>Potri.005G200100.1.v4.1 pep chromosome:Pop_tri_v4:5:20573067:20576421:1 gene:Potri.005G200100.v4.1 transcript:Potri.005G200100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200100.v4.1 MKVTADSCLGADETAWPPGFRFHPTDEELVLYYLKKKICKKRIKLNIIRELDVYKWDPEELPGQSILKTGDRQWFFFSPRDRKYPNGARSNRATRQGYWKATGKDRIVVCNSRNVGVKKTLVFYRGRAPSGQRTDWVMHEYTLDEEELNRCPNVQDYYALYKVFKKSGPGPKNGEQYGAPFKEEDWADDENPCVNSLVTPEIPVEQHNEVSLVDNVRVSAQLELPLNDFEDIIKQIAEEPALNHLQNNDFTYPLPQVASEEEAQSTLVDPSFREVVSEPAGELTTSGQHYNKHTSLNFNQSATSTLQLHEASEVTSAPQLNEEDFLEIDDLIGPEPSFYNTEQPAENLQFDGLSEFDLYHDAAMFLWDIGPVDQEAVSCSYVSSYGCDMVNQASYRLQPNSITNPVDYQLQPNLVANQVDYELHPQFFDAEQMNNQLWVHDHQNNALATSESHHGILFQSTGAVCESSDNSTGANGNQGSKEGDAANGWLSSALWGFVESIPTTPASASENPLVNKAFERMSSFSRIRMNVKNINVDAGNGAASARSTGGNKGFVLLSIIGVLCAILWVLVGGAKVLGRSISS >Potri.005G024800.1.v4.1 pep chromosome:Pop_tri_v4:5:1566850:1568713:1 gene:Potri.005G024800.v4.1 transcript:Potri.005G024800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024800.v4.1 MLVYQDLLTGDELLSDSFPYKEIENGVLWEVEGKWVVQGSIDVDIGANPSAEGGDDEGVDDQAVKVVDIVDTFRLQEQPAFDKKQFVTYIKRYIKLLTPKLEPEQQEVFKKNIEGATKFLFPKLKDFQFFVGESMHDDCSLVLAYYKEGATDPTFLYFAHGLKEVKC >Potri.004G059700.1.v4.1 pep chromosome:Pop_tri_v4:4:4984974:4985934:-1 gene:Potri.004G059700.v4.1 transcript:Potri.004G059700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059700.v4.1 MEGSQLIQSLESLWFFTNVVSSRASHARNRTIEDSPQPMTPVLETSLQNHEDSPKPEFFTPKCLKCGDFIAEIQEHNGDQPNIKTEGVEIPKPTKKEEKRKRRERRRRRSKRKILGELGLGFDKSSDYYKLDYGFGMFNDEEARGYGMFGSQRQHQVKMPPLNDGMAMKEHLKSWAYVVASTVR >Potri.007G009000.2.v4.1 pep chromosome:Pop_tri_v4:7:685869:687734:-1 gene:Potri.007G009000.v4.1 transcript:Potri.007G009000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009000.v4.1 MASRDDSPFCDPATFWDRQYHSIPRNIVEQPSSSSKRHVLAQSPLPVAMSQWEQLLNLQLLHHHVQQSHLLSQSTIPFCLPHLYGYQVPGVNAPGVPRPFTPIGTIDGSWHFAVVGGGGTSLKNHPQVSNLGYQFVCPYPFFPGPWDTSSWWQLCSPCTYTVPGLCGYVRSQPPNMPGSATSLLESFQRGIIRTPEKLSQKHQQLWDAQNVQLWSVIGLLQSEITDYKSRIMKLQAEIPPVKQAVEDPIAHVAESAVSRKASKRGRPKKLAASVDAPPSLDKPQLQAQARKSEPCKVQPEARAHIFEEVVLNKNKKNSKMLPDIHQQMLGVQMCAVGHDSSLDMKSNDYMAGDPSISIKVFSQEANNIDNKGALATCLGEMNKSNLAWPYTVSSKDSGKHVLNMSSETSYNNGSVIQQVEKFIPGWSFMNGEDASNEFVDGLAALSKDKNEEEMRDDACEIGQGSPHISNC >Potri.003G141000.2.v4.1 pep chromosome:Pop_tri_v4:3:15705402:15712826:1 gene:Potri.003G141000.v4.1 transcript:Potri.003G141000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141000.v4.1 MENLISLVNKIQRACTALGDHGEATALPTLWDSLPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIDEGSREYAEFLHLPRKRFTDFAAVRREIQDETDRETGRTKQISSVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPDSIVQDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAADILEGKSYRLKFPWVGVVNRSQADINKNVDMIAARHREREYFASTPEYKHLAHRMGSEHLAKMLSNHLEVVIKSKIPGIQSLVNKTIAELESELSRLGKPIAADAGGKMYSIMEICRLFDQIYKEHLDGVRSGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSVVSIRGPAEAAVDAVHALLKELVHKAISETIELKQYPALRVEVSDAAIESLERMKQASKKATLQLVDMECSYLTVDFFRKLPQDVDKGGNPTHSIFDRYNDSYLRRIGSTVLSYVNMVCASLRNSIPKSIVYCQVREAKRSLLDHFFTELGKLEQKQLSSLLNEDPAVMERRTALAKRLELYRSAQAEIDAVAWSK >Potri.016G087400.1.v4.1 pep chromosome:Pop_tri_v4:16:6926976:6928524:-1 gene:Potri.016G087400.v4.1 transcript:Potri.016G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G087400.v4.1 MADDFEFSDQSPPSFQNMGNVIKDAEPKGLNPGLIVLLVIGGLLMSFLVGNFVLYTYAQKTLPPKKKKPVSKKKMKKERLKQGVSAPGE >Potri.015G069301.1.v4.1 pep chromosome:Pop_tri_v4:15:9502976:9503539:-1 gene:Potri.015G069301.v4.1 transcript:Potri.015G069301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069301.v4.1 MLLGDFKSILSQDDKHNGDLISNYETSDFREFCSDLGLVDLNSTGCYFTWTNGTVWTKIDRVMVNIHWFSLQQMTHVHFGTSRAFSDHSPATVQLGIWEFHGKQNFKFYNMWATHPQFLEIISQHWSLDIYGTHIYILCNKLKQLNGALKSLNNLHFSHISKRVARAEKTWMILSFFYRMTGIMVIS >Potri.010G195200.1.v4.1 pep chromosome:Pop_tri_v4:10:18942249:18944928:1 gene:Potri.010G195200.v4.1 transcript:Potri.010G195200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195200.v4.1 MRKLCPNFDREDGLDTVLEVPIPEEMFASNKHGNRSWQNMKAWMRPHNERSMTTRFGGKNTEIQFLLGVVGAPLIPLPILCDHQFINRNIKDHPIESSMAKYIVQQYIAAVGGERALNSVDSMYAMGKVKMVASEFCAGEGSLNNNKTLKVKNQKHGGGEMGGFVLWKKRPDLWCLELMVSGCKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPKSTANLFSNSVCTGEKTINNEDCFVLKLEADPSSLRARSTSNVEIIRHTVWGYFSQRTGLLVQLEDSHLLRIKAPGNDSIFWETTMESSIQDYRTIDGINIAYKGRTSVSLFRFGETSESHSRTRMEEIWAIEEVDFNIKGLSMDCFLPPSDLKKEEEVCDNVAISNSARLPFKFRSASSRICVSKVVAIDDVDDSDEIYDEDEDRE >Potri.012G127500.1.v4.1 pep chromosome:Pop_tri_v4:12:14338211:14339187:-1 gene:Potri.012G127500.v4.1 transcript:Potri.012G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127500.v4.1 MAKSSLVLLLLSILYIAGTATSASTTSSSASATNFIKASCTATTYPALCVQSLSLYATSINQSPRQLIQTALAVSLDKAQSTKTFVYKLTKFKGVKPREKAAIKDCFEEIDDTVDRLVKSVKELKNMGSSKGSDFQWHISNVQTWISAGLTDENTCVDGFAGKALNGRIKNSIKARFVHVERVTSNALALINKFGSKY >Potri.002G139800.1.v4.1 pep chromosome:Pop_tri_v4:2:10473592:10480866:-1 gene:Potri.002G139800.v4.1 transcript:Potri.002G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139800.v4.1 MINMDSSKNSLGNIALVSILIASVLYLCTWSSASRSSPLLFSSRRSSNHWPSHSQSEMKRLKFPIDELELALEKASTPNKTVIIAVVNKAYVEQSIHAETTMLDLFLESLWLGEDTRPLLDHLLLVAVDQVAYERCLFKRLNCYKLETEGLGHFGEEKIFMSQDFLKMMWRRTLLLLDVLKHGYNFIFTDTDVMWLRNPFSRLGIYNESVDLQISTDWFNGDPHSEKNAINTGFYYIRSNNKTISLFDAWYGRKDNSTGKKEQDVLFDIMKAGMFGQLGLQARFLDTVYFSGFCTDSKDINAVITVHANCCRSINAKVKDLTAVLRDWKKFKATTAKAAAVHSNITVPFSWTGHFGCWESWKTKV >Potri.006G215600.1.v4.1 pep chromosome:Pop_tri_v4:6:22163613:22164644:1 gene:Potri.006G215600.v4.1 transcript:Potri.006G215600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215600.v4.1 MWRFAALAIILLFSLPNHADSVRLSRPGKLNRQKPLLAAKLSTPAEFLAAHNKIREIHNLTLLAWDQKLAGYARWWADTRLDNCRKLLHSPNSPYGENLFWALRDHWNASKVVKYWGDERNLYDPNTNECINNSVCGHYTQIVWNATQRVGCAHVLCHNIQGHLFVCSYDPPGNIYYHGPFGGRFNKSIVNPPSPNNASSTILGSQSGITGNKANYIVSSTTGHPTNKT >Potri.002G033201.1.v4.1 pep chromosome:Pop_tri_v4:2:2207243:2207946:1 gene:Potri.002G033201.v4.1 transcript:Potri.002G033201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033201.v4.1 MANLLNHVPSLVLLSLFLFLVLSTGCGDADDRSQTLPEAKQILLTVLVNARRCKGQFMELATHSSQDLHVSATSTVNQVLNSMPE >Potri.002G102300.1.v4.1 pep chromosome:Pop_tri_v4:2:7481266:7483351:1 gene:Potri.002G102300.v4.1 transcript:Potri.002G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102300.v4.1 MDVEKTTKTFTKKKSSCHSNKIITCVFLLLLIPILVHLQASPFYFFSSPTATSRAFFNKWKGIALDASAGPSIPKNSDGLISKLRESVTFLPLKDLRFAETAMEGNTWFMSSLNDTHEANEAEYLYFPSQMSKGRLLCIKGRHATDGTKNSYALVWPEALPDSATLMKGLTFVSDTFYDYGNLWHGLTGMAPFVGWSMKNKCLNPTRWVLFHWGELRSKMGSWLQHLMQANFGDVKIEGFGGDGPYCFEKAVVMRHNEGSMGKERKLQVFDLLRCNARRFCGISPEGKGQETNERGEPIIRLTLLMRTGSRSFKNASAVTDIFARECAKVEGCTFKVAQSENLSFCDQVRVMTYTDVVASPHGAQLTNMLFMDRNSSVMEFFPKGWLELAGVGQYAHHWMADQSGMNHRGAWWDPLDKKECPFPQQDLDCFNFYKNGKVGHNETHFAEWARIVLDQVRISKMQIATRSPTNKPQPNSIACKC >Potri.003G108200.1.v4.1 pep chromosome:Pop_tri_v4:3:13110945:13114181:-1 gene:Potri.003G108200.v4.1 transcript:Potri.003G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108200.v4.1 MARGSFVSLAILIDWIVLLLFCCKASLASNAAEAEALLRWKDSLGNQSILQSWVAPANANSSTPSPCQWRGITCDDAGNVTQINLPNVGLTGTLQYLDFSSLTNLLRLDLRENQLTGTIPSSIGTLYKLQYLDLATNFLYGTLPLSLANLTQAYELDFSRNNITGIIDPRLFPDGSAANKTGLVSLKNFLLQTTGLGGRIPEEIGNCKFLSLLALDENRFHGPIPSSLGNSSELTVLRLSNNLLSGNIPPNIGTLSKLTDLRLLTNQLSGFVPAELGNLSSLTVLHLAENNFTGHLPQQVCQGGKLVNFSAAFNNFSGPIPASLKNCHTLYRVRLEHNQLSGFLEQDFGVYPNLTYIDLSFNRVRGELSPKWGECKKLTVLRVAGNLLGGKIPDEVVLLNQLRVIDLSSNQIFGELPAQLGKLSNLLVLNLKDNMLSGQVPVGIDGLSSLENLDLSLNMLSGPIPYQIGECSKLRFLSLGRNRLNGTIPYQIGNLVGLHDLLDLGYNLLSGGIPSQLAKLTSLAQLNLSHNNLSGSIPASLSNMLSLVAVNFSYNNLEGPLPDSSIFHLVEPNSYSNNRDLCGEVQGLRRCTIRANEKGGGDKKSKLVIIVASITSALFLLLALVGIIAFLHHRNSRNVSARESRSRREIPLPIWFFKGKIAYGDIIEATKNFDDKYCIGEGGTGKVYKAEMSDGQVFAVKRLNYLVQDEEIETTKSFSNEVEALTELRHRNIVKLHGFCSQGRHAFLIYEFLERGSLAGMLSDEEGARELDWGKRIAVVKGIAHALSYMHHDCVPPIVHRDISSNNVLLNSELEAHVSDFGTARFLKPESSNWTAIAGTYGYIAPELAYTMEVNEKSDVYSFGVLAFEVLMGKHPGDLISYLHSSANQEIHFEDASDPRLSPPAERKAVDLLSCIITLARLCVCVDPQSRPTMRTVSQQLEMKAAGSE >Potri.004G028701.1.v4.1 pep chromosome:Pop_tri_v4:4:2215013:2223770:1 gene:Potri.004G028701.v4.1 transcript:Potri.004G028701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028701.v4.1 MLFIFVILFSFLLCFSLVFLVFGYLVVSPFNQYIVLFQKKSTHLTNTQSLNQIMNPIERFLSALFFFLVFPSCLSIDIIAPNQSIKDGDVLVSSGQSYELGFFSSGIDSTRRYVGIWYHKVSERTVVWVANRDNPINGTSGFLAINKQGNLVIYENNRSSVPVWSTNVAASSMTNCTAQLKDSGNLVLVQQDSKRVLWQSFDHGTDTLLPGMKLGLDLKIGLNRFLSSWKSKDDPGTGNILYGLDPSGFPQFFLYKGQTPLWRGGPWTGLRWSGIPEMIATYIFNATFVNSIDEVSIFYTMNNPSIISRVVVNESGGVQRLSWDDRGKKWIGIWSAPKEPCDTYRQCGPNSNCDPYQTNKFMCKCLPGFEPKSPQEWYLRDWSGGCVRKPKVSTCHGGEGFVEVARVKLPDTSIASANMSLRLKECEQECLRNCSCTAYASADERGLGCLRWYGDLVDTRTFSDVGQEIYIRVDRAELVPAKYEKSGPLANKGIQAILIVSVGVTLFLIIFLVCWFVKKRRKARDRKRRNEFPLSLTSRSNSWRDLPIKEFEEGTTSSDLPLFDLSVMAAATNNFSDANKLGEGGFGSVYKGLLHDGKEIAVKRLAKYSGQGINEFRNEVELIAKLQHRNLVRILGCCIQGREKMLIYEYLPNKSLDSFIFNEPRRSQLDWSTRHNIICGIARGILYLHEDSRLRIIHRDLKASNVLLDASMNPKISDFGMARIFGVDQIEANTNRVVGTYGYMSPEYAMQGLFSVKSDVYSFGILLLEVITGRKNSNFYDESNFSSLVGYVWDLWREGRALELVDTLMGDSYPEDQVLRCIQIGLLCVQESAMDRPSMSNVVFMLSNDTTLPSPKQPAFILKKSYNSGDPSTSEGSHSINEVTITMLGPR >Potri.003G134500.1.v4.1 pep chromosome:Pop_tri_v4:3:15253859:15255804:-1 gene:Potri.003G134500.v4.1 transcript:Potri.003G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134500.v4.1 MSWCWWSGATDAAKKEDEATRGCQSVALIIGVTGIVGNSLAEILPLSDTPGGPWKVHGVARRSRPNWNQDHPVEYIQCDIADTAQTQSKLSKLTDVTHIFYVTWASKDTEVENCEINGLMFRNVLQAVIPNAPNLRHVCLQTGGKHYLGPFELLGKIEAHDPPFTEDLPRLNDFPNFYYTLEDVMYEEVAKKEGVTWSVHRPDVIFGFSPHSLMNMIVTISVYAAICKHEGAPLIFPGTKEAWNSYAIASDANLIAEHEIWACVEPKAKNEAFNIHNGDIFKWKHLWTVLAQEFGIEKYGFVEGESSVTFAEKMKDKGPVWEEIVKKNQLLANKLEQVGGWWFGDLMFSGPGCVTSMNKAKEHGFLGFRNSKKSFVSWIHKMRAYKVVP >Potri.005G186001.2.v4.1 pep chromosome:Pop_tri_v4:5:19357939:19361563:-1 gene:Potri.005G186001.v4.1 transcript:Potri.005G186001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186001.v4.1 MISNRDPDAIFSGGGISFLNGSRTAKFNYGYSSFKGKRASMEDFYETRISEVDGQMVAFFGVFDGHGGVRTAEYLKNNLFKNLSSHPDFIRDTKTAIVEAFRQTDADYLHEEKAHQKDAGSTASTAVLLGDRLLVANVGDSRVVACRAIPLSIDHKPDRSDERQRIEEAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIGGVEFIIVASDGLWNVLSNKDAVALVQGIADAEAAARKLIQEAYARGSHDNITCVVVRFDNS >Potri.005G186001.1.v4.1 pep chromosome:Pop_tri_v4:5:19357966:19361563:-1 gene:Potri.005G186001.v4.1 transcript:Potri.005G186001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186001.v4.1 MISNRDPDAIFSGGGISFLNGSRTAKFNYGYSSFKGKRASMEDFYETRISEVDGQMVAFFGVFDGHGGVRTAEYLKNNLFKNLSSHPDFIRDTKTAIVEAFRQTDADYLHEEKAHQKDAGSTASTAVLLGDRLLVANVGDSRVVACRGGSAIPLSIDHKPDRSDERQRIEEAGGFIIWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIGGVEFIIVASDGLWNVLSNKDAVALVQGIADAEAAARKLIQEAYARGSHDNITCVVVRFDNS >Potri.007G064100.1.v4.1 pep chromosome:Pop_tri_v4:7:7987530:7990373:-1 gene:Potri.007G064100.v4.1 transcript:Potri.007G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064100.v4.1 MTALSTSLLSITTPQAHFSSAGSSLKPKDQCLICVRPGNLSSKGKPTTHKKSLTIQASGERGGSSGAGIFIGGFILGGMVAGTLGCVYAPQISKALAGADRKDLMRKLPKFIYDEEKALEKTRQVLADKIAQLNSAIDEVSAQLHPEDAPNGTAVASDEIQASI >Potri.014G154200.2.v4.1 pep chromosome:Pop_tri_v4:14:10834276:10837177:1 gene:Potri.014G154200.v4.1 transcript:Potri.014G154200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154200.v4.1 MFSHDHDPSCCRRLWQSRFTASFFCSVSFHEIKGHCLVFFFFFGMFRPKEITEKAELEGVTEDHGKLAKELEKASPLAIINKALQDFIDGSAISFSGAEDVSLILQSGVFGLDTGRLNSQTDKLLDDVEKYYGMDIEYMHQECCQVLQVRPLRRALKDLCAWITGQRKDQSPGIRATVPVLQIDHPSVEGIGCGTISLVKWNPVENLGVQAIWNFLRTMNVPVNSLHALGYVSIGCETLTGPALPWQHEREGRWWWEEGKLKECGLHKGNMESEDAQIREHINGVVSFTNVNDPIADVFNSQNLTSLSRAGMEILGTLENRSGPWRHFCQAMEDSYVELAAKLVNAKVKIGKFRVDGEHKANAKQKLQPRSFTTTVIFPASRPIKYSSEKRDIDSQLAFVNSLQW >Potri.014G154200.3.v4.1 pep chromosome:Pop_tri_v4:14:10834276:10837175:1 gene:Potri.014G154200.v4.1 transcript:Potri.014G154200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154200.v4.1 MFSHDHDPSCCRRLWQSRFTASFFCSVSFHEIKGHCLVFFFFFEITEKAELEGVTEDHGKLAKELEKASPLAIINKALQDFIDGSAISFSGAEDVSLILQSGVFGLDTGRLNSQTDKLLDDVEKYYGMDIEYMHQECCQVLQVRPLRRALKDLCAWITGQRKDQSPGIRATVPVLQIDHPSVEGIGCGTISLVKWNPVENLGVQAIWNFLRTMNVPVNSLHALGYVSIGCETLTGPALPWQHEREGRWWWEEGKLKECGLHKGNMESEDAQIREHINGVVSFTNVNDPIADVFNSQNLTSLSRAGMEILGTLENRSGPWRHFCQAMEDSYVELAAKLVNAKVKIGKFRVDGEHKANAKQKLQPRSFTTTVIFPASRPIKYSSEKRDIDSQLAFVNSLQW >Potri.006G142500.2.v4.1 pep chromosome:Pop_tri_v4:6:12015074:12017869:-1 gene:Potri.006G142500.v4.1 transcript:Potri.006G142500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142500.v4.1 MSLFGLGSRNQKTFRPKKNAPSGSKGAQLQRHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNILYGTLTEFCTAANCPTMTAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIEAQLDDELIFPQKLGAPFPPNFQDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIDKGELAPLYDLVESILKL >Potri.006G142500.3.v4.1 pep chromosome:Pop_tri_v4:6:12015075:12017520:-1 gene:Potri.006G142500.v4.1 transcript:Potri.006G142500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142500.v4.1 MSLFGLGSRNQKTFRPKKNAPSGSKGAQLQRHIDATLGSGNLREAVRLPPGEDINEWLAVNTVDFFNQVNILYGTLTEFCTAANCPTMTAGPKYEYRWADGVTIKKPIEVSAPKYVEYLMDWIEAQLDDELIFPQKLGAPFPPNFQDVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFVLFTWEFRLIDKGELAPLYDLVESILKL >Potri.003G038400.32.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4261491:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.32.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERSLEHT >Potri.003G038400.15.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4261738:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MQINDLSFLTRVDDQMKDSFIFIIFNWDCYYFFLFDWNLCEIVEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFEDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.30.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4260251:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.34.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4260251:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.34.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEESL >Potri.003G038400.22.v4.1 pep chromosome:Pop_tri_v4:3:4256631:4259466:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFEDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.27.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4261540:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MQINDLSFLTRVDDQMKDSFIFIIFNWDCYYFFLFDWNLCEIVEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFEDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.16.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4261738:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MQINDLSFLTRVDDQMKDSFIFIIFNWDCYYFFLFDWNLCEIVEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFEDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.20.v4.1 pep chromosome:Pop_tri_v4:3:4256242:4261514:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEESP >Potri.003G038400.24.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4261540:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MQINDLSFLTRVDDQMKDSFIFIIFNWDCYYFFLFDWNLCEIVEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.28.v4.1 pep chromosome:Pop_tri_v4:3:4256243:4261540:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.35.v4.1 pep chromosome:Pop_tri_v4:3:4256631:4258678:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.35.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEESL >Potri.003G038400.29.v4.1 pep chromosome:Pop_tri_v4:3:4256243:4261540:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.26.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4261540:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MQINDLSFLTRVDDQMKDSFIFIIFNWDCYYFFLFDWNLCEIVEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.14.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4261540:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MQINDLSFLTRVDDQMKDSFIFIIFNWDCYYFFLFDWNLCEIVEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEESP >Potri.003G038400.33.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4260251:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.33.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERSLEHT >Potri.003G038400.25.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4261540:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MQINDLSFLTRVDDQMKDSFIFIIFNWDCYYFFLFDWNLCEIVEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.003G038400.31.v4.1 pep chromosome:Pop_tri_v4:3:4256372:4260251:1 gene:Potri.003G038400.v4.1 transcript:Potri.003G038400.31.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038400.v4.1 MIEQLDDSYLGCERWLPSRPKVEKPPSVFNAATLAYIDDSIFELYARRHFLFPPLSLEEHNDCVIAVVRCEAQDALLQKLLNDNYLSEEERGVLRLGKNVGSAKT >Potri.019G041400.2.v4.1 pep chromosome:Pop_tri_v4:19:5728408:5733211:-1 gene:Potri.019G041400.v4.1 transcript:Potri.019G041400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041400.v4.1 MEGNENTTQKDYYKILEVDYDATDEKIRLNYRRLALKWHPDKHKGDNAVTTKFQEINEAYNVLRDPDKRFDYDLTGIYEIDKYTLREYLTRFKGMILTCNGLGIGNTSIWTQQLTEIKEFAEK >Potri.008G176300.2.v4.1 pep chromosome:Pop_tri_v4:8:12193608:12195774:-1 gene:Potri.008G176300.v4.1 transcript:Potri.008G176300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176300.v4.1 MGNCASVQKKTGPALKLKCTIDSQGNCIHIESPVKDSSTVNGDHSMTEQLNPKPQSLSPVPYQASVNDLSNREDMFFDSHPWIESDCEDYLSVDGDFTPSCGTTPIHQGSYIEIPLPCEESLCSSSSARSIPEPSPADRKKQLIELFRENINDDLADDNQSFQDTVNGKPIAVYLPSKYTNGSPYQYAESSVRSNETTPHSGSKSGKEKPTHFSHCCLPNIVRSLSFSERRKRLSPAHSG >Potri.001G166300.1.v4.1 pep chromosome:Pop_tri_v4:1:14163270:14166394:1 gene:Potri.001G166300.v4.1 transcript:Potri.001G166300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166300.v4.1 MTEKHGNSTNPHKKSHGKLQCKNLSSSFAKVMGLDWHFHPFLFLFIIFTLHFSLTSSVSDSEALLRLKKSFTNAGALSSWISGSVPCNRQTHWNGLLCFNGIVTGLQLENMGLSGTIDVDALATIQGLRSLSFARNSFTGAIPELNRLGNLKAIYLRGNQFSGEIPSDFFSKMKSLKKVWLSDNKFTGGIPPSLAELPRLSELHLENNQFSGTIPSIDQPTLMSFNVSNNMLEGEIPPNLAIFNYSSFDGNDHLCGDRFGRGCENTMQTSSESPTGVGLDADMMVSKDRGHNSNNVIKTVAGAVTLAVLLLSITALIIFRMRRRDKDFDVIENSSNGNAAAAALEVQVSLSNRPKGVDATKKMGSSRKGSNNGRGGVGELVIVNNEKGVFGLPDLMKASAEVLGNGGMGSLYKAQMANGAMVVVKRTREMNTLSKDQFDAEIRKLGRLHHTNILTPLAFLYRPDEKLLVYEYMPKGSLLYLLHGDRGTSHAELNWFVRLKIVQGIAKGLGYLHTKLASSPLPHGNLKSSNVFLSNDNEPLLSEFGLSPLISPPMLAQALFGYKAPEAAQYGVSPMCDVYCLGIIVLEILTGKFPSQYLNKAKGGTDVVQWVESAVSDGRETDLLDPEIASSTNSLGQMRQLLGIGAACVKRNPQQRLDITDAIQMIQGIKLEDSNHEGRTMQVLPSLRDGYADAPQTSVSDIQEVDGESPWRRHGSGSFMDGTKHPSRDHFSFPAPI >Potri.003G117100.1.v4.1 pep chromosome:Pop_tri_v4:3:13935987:13938093:-1 gene:Potri.003G117100.v4.1 transcript:Potri.003G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117100.v4.1 MGSEQNDGTSFPPAEPKLCVNGCGFFGTAANMNLCSKCYRDLRAEEEQAASAKAAMEKTLNINPKQNIDSKVVVDAPQVVVANSVQSVVSAEASSSAETVVAGGDQVPSKPANRCFSCSKKVGLTGFQCKCGGTYCGTHRYAENHECLFDFKGAGRDAIAKANPVIKANKVERF >Potri.008G081200.3.v4.1 pep chromosome:Pop_tri_v4:8:5072412:5090364:-1 gene:Potri.008G081200.v4.1 transcript:Potri.008G081200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081200.v4.1 MTQPPATPPLASQPPPQPHPAGGSVQVRCAGCRMILTVGPGITEFVCPSCKMPQMLPPELMIKAVAPPLLKNNNMLHKMTSQQQTPAYGIDPSKMQLPCANCKAILNVPHGLARFQCPQCFVDLAVDLSKIKQLFPPHATPPLPLPPPSRTVLPLPPLPRLVLPPPPLEEVNEVAIEVEREEDEGGTAGETFTDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLKIKDDLESTKALSCLQIETLVYACQRHMQHLPNGARAGFFIGDGAGVGKGRTIAGLIWENWRHARRKVLWISVGSDLKFDARRDLDDVGAAHIEVHALNKLPYSKLDSKSVGVREGVVFLTYNNLIASSEKGRSRLQQLVQWCGSEFNGLLIFDECHKAKNLIPEAGSQPTRTGEAVLDIQARLPEARVIYCSATGASEPRNMGYMVRLGLWGDGTCFDVFQKFLGALEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEIVEAPLEPEMMDMYKKAAEFWAELRVELLSASTFLTNDKPNSSQLWRVYWSSHQRFFRHMCMSAKVPATVRIAKQALKEEKCVVIGLQSTGEARTEEAVSKYGSELDDFISGPRELLLKFVEENYPLPGKPEQGEEGVKELQRKRHSATPGVSLKGRVRKAARWKPESDDEIDEGSGTDSGGESNGSDDEFQICEICNSEEGRKELLQCSCCGQLVHPSCLVPPVTDLASEDWSCHSCKEKTEEFLQQQHAYLVELTKRYETALERKLKILEIIRSLDLPNNPLDDIIDQLGGPDNVAEMTGRRGMLVRATSGKGVTYLPRNSKDVTMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRSKNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPEYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAHGKKALMVMYRGIMEQDTLPVVPPGCSSEKPETVQEFITKAKAALVSVGIVRDSVLGNGKDYGKLSGRIIDSDMHDVGRFLNRILGLPPEFQNRMFELFVRILDLLIQNARIEGDLDSGIVDMKAYIIELQGTPKTVHIDLMSGASTVLFTFTLDRGITWESASTMLVEKQEDGLSSSNDGFYESRRDWLGRRHFILAFESSASGMFKIVRPAVGESVREMPLAELKNKYRKLLSLDKARSGWEDEYEVSSKQCMHGPNCRLGNFCTVGRRRQEVNVLGGLILPVWGTIEKALSKQARQSHKRLRVVRIETTTDNRRIVGLLVPNAAVESVLQDLAWVQDIDD >Potri.007G062122.1.v4.1 pep chromosome:Pop_tri_v4:7:7040311:7041907:1 gene:Potri.007G062122.v4.1 transcript:Potri.007G062122.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062122.v4.1 MGSLQERITSTRSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPQIVGEEHYETAQRVKQTLQRYKELQDIIAIRTNYPKRIA >Potri.014G176075.2.v4.1 pep chromosome:Pop_tri_v4:14:13212967:13214523:-1 gene:Potri.014G176075.v4.1 transcript:Potri.014G176075.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176075.v4.1 MAHLSIQIITTSAEYCSPHCGLYYSVDTVDCKCFYCGLHCWWGHAGRLQLAGPKRQAGGVSLQDPGSGFKGSGSGVRIHDQWI >Potri.014G176075.3.v4.1 pep chromosome:Pop_tri_v4:14:13213006:13214493:-1 gene:Potri.014G176075.v4.1 transcript:Potri.014G176075.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176075.v4.1 MAHLSIQIITTSAEYCSPHCGLYYSVDTVDCKCFYCGLHCWWGHAGRLQLAGPKRQAGGVSLQDPGSGFKGSGSGVRIHDQWI >Potri.014G176075.4.v4.1 pep chromosome:Pop_tri_v4:14:13213074:13214493:-1 gene:Potri.014G176075.v4.1 transcript:Potri.014G176075.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176075.v4.1 MAHLSIQIITTSAEYCSPHCGLYYSVDTVDCKCFYCGLHCWWGHAGRLQLAGPKRQAGGVSLQDPGSGFKGSGSGVRIHDQWI >Potri.014G176075.1.v4.1 pep chromosome:Pop_tri_v4:14:13212967:13214523:-1 gene:Potri.014G176075.v4.1 transcript:Potri.014G176075.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176075.v4.1 MAHLSIQIITTSAEYCSPHCGLYYSVDTVDCKCFYCGLHCWWGHAGRLQLAGPKRQAGGVSLQDPGSGFKGSGSGVRIHDQWI >Potri.011G089000.2.v4.1 pep chromosome:Pop_tri_v4:11:11414985:11419077:-1 gene:Potri.011G089000.v4.1 transcript:Potri.011G089000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089000.v4.1 MLMTRMLSRLSRSVGQRSLHLCSPSQNHHLLLIPSSQFHEYPNKCITSKVCLFHHSALNSSLFQNFGFTSSASPEPGEKEHGSAVENDGAPTDVKSEETNGSAKLSDPTKVSVSRETKESDAEAVSDLSMDDLVKLVMEKEELLKEKHKEMETMQDKVLRTYAEMENVKERTKREAENSKKFAIQNFAKSLLDVADNLGRASSVVKGNFSKINVSNDAADVVPLLKTLLEGVEMTEKQLGEVFKKYGVEKFDPINEPFDPHRHNAMFEVPDPLKPPGIVAAVLKVGYMLHERVIRPAEVGVTRAVEKDL >Potri.011G089000.5.v4.1 pep chromosome:Pop_tri_v4:11:11415142:11419013:-1 gene:Potri.011G089000.v4.1 transcript:Potri.011G089000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089000.v4.1 MLMTRMLSRLSRSVGQRSLHLCSPSQNHHLLLIPSSQFHEYPNKCITSKVCLFHHSALNSSLFQNFGFTSSASPEPGEKEHGSAVENDGAPTDVKSEETNGSAKLSDPTKVSVSRETKESGFNSESHQTIFQSVKRRRRGIKRTAFSDSDAEAVSDLSMDDLVKLVMEKEELLKEKHKEMETMQDKVLRTYAEMENVKERTKREAENSKKFAIQNFAKSLLDVADNLGRASSVVKGNFSKINVSNDAADVVPLLKTLLEGVEMTEKQLGEVFKKYGVEKFDPINEPFDPHRHNAMFEVPDPLKPPGIVAAVLKVGYMLHERVIRPAEVGVTRAVEKDL >Potri.002G042700.1.v4.1 pep chromosome:Pop_tri_v4:2:2790394:2794466:1 gene:Potri.002G042700.v4.1 transcript:Potri.002G042700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G042700.v4.1 MHHCTVTLESPLPYHAPSSHPIFSPPLHPRHFILPFSPPNTGGLHHHHHSVFTCKGIQLSVPSHGSASLVPFNGNGAAIHDWKLRSVGLKSIDVATLGNLCVDIVLNVPKLPPRSREARFAYMQELSKSPPDRKYWEAGGNCNMAIAAARLGLHCATIGHVGDEIYGQFLLDVLREERISMVGMSEDDDIVDSSSASYETLLCWVLVDPLQRHGFCSRADFSKEPAFSWMSKLSGEVKVAIKQSKILFCNGFGFDELSPGLIMLALDYAVDVGTSIFFDPGPRGKSLMTGSPEERQALRHFLKMSDVLLLTSDEAESLTGIGNPILAGKELLKNGIRTKWVIVKMGSKGSILVTMSSISCALAFKVNVIDTVGCGDSFVAAIAFGYIHNMPLVHTLAIANAVGAATAMGCGAGRNVATLDKVTELMRASDINEDDEFWSELVKDLNTEEITFLSKMVINGRNSQVNHIALQKVVSELLPKLENSWLEGKVAS >Potri.006G063600.1.v4.1 pep chromosome:Pop_tri_v4:6:4540873:4542042:-1 gene:Potri.006G063600.v4.1 transcript:Potri.006G063600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063600.v4.1 MMEDTPSKEEITRVLKVLEALKQASHDLQTHPGPNSAESNSPALKALLELETESDTILSKDPLLSTLSEHLASLKSLFDTLQRSCGHGLRSFLTRRVSTQSISRVAGSIESEIQAWIDRESIDRLMKGLKDPLQIEEDELVGLLSQFEDRVLQGFNRELQDLVLKSKIFCLLERISCDPSCSRKVREQCAFVVSALIRFNKDVFVGQVLMGRLIHGVVSMASWKSMKVLCSLIKSIKSPLVDEIESNGEIPKIISFLDYKDLHLRVVTMDCILEIGYFGRKEAIEAMLREALIKKLVELQRSKLGGDLIDIGMFDDEKERGKGETRFLENHPFASCVARFAVQLEVGEGLRQRERRAFKQEILKTVRNACVSNAEAATIVAEVLWGSSP >Potri.004G174800.1.v4.1 pep chromosome:Pop_tri_v4:4:19008630:19013416:-1 gene:Potri.004G174800.v4.1 transcript:Potri.004G174800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174800.v4.1 MAVKCHVLLIASLLSLLLTSVIAHGDKKKGVTYDGRSLIINGKRELLFSGSIHYPRSTPEMWPELIQKAKRGGLNVIQTYVFWNIHEPEQGKFNFEGSYDLVKFIKTIGENGMSATIRLGPFIQAEWNHGGLPYWLREIPDIIFRSDNAPFKLHMERFVTMIINKLKEEKLFASQGGPIILAQIENEYNTVQLAYRNLGVSYVQWAGNMALGLKTGVPWVMCKQKDAPGPVINTCNGRHCGDTFTGPNSPDKPSLWTENWTAQFRVFGDPPSQRSAEDTAFSVARWFSKNGSLVNYYMYHGGTNFDRTAASFVTTRYYDEAPLDEYGLQREPKWGHLKDLHRALNLCKKALLWGTPNVQRLSADVEARFFEQPRTNDCAAFLANNNTKDPETVTFRGKKYYLPAKSISILPDCKTVVYNTMTVVSQHNSRNFVKSRKTDGKLEWKMFSETIPSNLLVDSRIPRELYNLTKDKTDYAWFTTTINVDRNDLSARKDINPVLRVASLGHAMVAFINGEFIGSAHGSQIEKSFVLQHSVKLKPGINFVTLLGSLVGLPDSGAYMEHRYAGPRGVSILGLNTGTLDLSSNGWGHQVALSGETAKVFTKEGGRKVTWTKVNKDGPPVTWYKTRFDAPEGKSPVAVRMTGMKKGMIWINGKSIGRYWMNYISPLGEPTQSEYHIPRSYLKPTNNLMVILEEEGASPEKIEILTVNRDTICSYVTEYHPPNVRSWERKNKKFTPVADDAKPAARLKCPNKKKIVAVQFASFGDPSGTCGNFAVGTCDSPISKQVVEQHCLGKTSCDIPMDKGLFNGKKDNCPNLTKNLAVQVKCSNKGPKRSANQA >Potri.015G019100.2.v4.1 pep chromosome:Pop_tri_v4:15:1327985:1335306:-1 gene:Potri.015G019100.v4.1 transcript:Potri.015G019100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019100.v4.1 MNQPQEKFVRFQDWKSEKTTEGRYSASNGIYPGKIRTTISSVSEKVQRGLESGSASFRRISKSLKSHSFNSEFASKQKILDPQGPFLQRWNKIFVLSCVIAVSLDPLFFYVPVIDDKKKCLSLDNTMEITASVLRSFTDIFYILHIIFEFRTGFIAPSSRVFGRGVLVEDTWAIAKRYLSSYFLIDILAVLPLPQVVILIIIPKMGGSSYLNTKNLLKFVVIFQYVPRFVRIYPLYKEVTTSGILTETAWAGAAFNLFLYMLASHILGAFWYLFSIERETNCWRKACGKNCGCKPELLFCDRDVVGDVTFLDGYCPIQTPNETIFNFGIFLDALQSGVVLSSMDFPQKFFYCFWWGLQNLSSLGQNLQTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRIKRRDAEQWMSHRLLPDNIKERIRRYEQYRWQETRGVDEETLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPALYTEESYIVREGDPVDEMLFVMRGKLLTMTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALAKASASSPSLGATIYASRFAANALRALRRGSNRKSRVPDRVPPMLLQKPAEPDFTAEE >Potri.015G019100.1.v4.1 pep chromosome:Pop_tri_v4:15:1327944:1335318:-1 gene:Potri.015G019100.v4.1 transcript:Potri.015G019100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019100.v4.1 MNQPQEKFVRFQDWKSEKTTEGRYSASNGIYPGKIRTTISSVSEKVQRGLESGSASFRRISKSLKSHSFNSEFASKQKILDPQGPFLQRWNKIFVLSCVIAVSLDPLFFYVPVIDDKKKCLSLDNTMEITASVLRSFTDIFYILHIIFEFRTGFIAPSSRVFGRGVLVEDTWAIAKRYLSSYFLIDILAVLPLPQVVILIIIPKMGGSSYLNTKNLLKFVVIFQYVPRFVRIYPLYKEVTTSGILTETAWAGAAFNLFLYMLASHILGAFWYLFSIERETNCWRKACGKNCGCKPELLFCDRDVVGDVTFLDGYCPIQTPNETIFNFGIFLDALQSGVVLSSMDFPQKFFYCFWWGLQNLSSLGQNLQTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRIKRRDAEQWMSHRLLPDNIKERIRRYEQYRWQETRGVDEETLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPALYTEESYIVREGDPVDEMLFVMRGKLLTMTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALAKASASSPSLGATIYASRFAANALRALRRGSNRKSRVPDRVPPMLLQKPAEPDFTAEE >Potri.015G019100.4.v4.1 pep chromosome:Pop_tri_v4:15:1328031:1335297:-1 gene:Potri.015G019100.v4.1 transcript:Potri.015G019100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019100.v4.1 MNQPQEKFVRFQDWKSEKTTEGRYSASNGIYPGKIRTTISSVSEKVQRGLESGSASFRRISKSLKSHSFNSEFASKQKILDPQGPFLQRWNKIFVLSCVIAVSLDPLFFYVPVIDDKKKCLSLDNTMEITASVLRSFTDIFYILHIIFEFRTGFIAPSSRVFGRGVLVEDTWAIAKRYLSSYFLIDILAVLPLPQVVILIIIPKMGGSSYLNTKNLLKFVVIFQYVPRFVRIYPLYKEVTTSGILTETAWAGAAFNLFLYMLASHILGAFWYLFSIERETNCWRKACGKNCGCKPELLFCDRDVVGDVTFLDGYCPIQTPNETIFNFGIFLDALQSGVVLSSMDFPQKFFYCFWWGLQNLSSLGQNLQTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRIKRRDAEQWMSHRLLPDNIKERIRRYEQYRWQETRGVDEETLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDAMCDRLKPALYTEESYIVREGDPVDEMLFVMRGKLLTMTTNGGRTGFFNSEYLKAGDFCGEELLTWALDPHSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALAKASASSPSLGATIYASRFAANALRALRRGSNRKSRVPDRVPPMLLQKPAEPDFTAEE >Potri.017G019466.1.v4.1 pep chromosome:Pop_tri_v4:17:1429977:1436749:-1 gene:Potri.017G019466.v4.1 transcript:Potri.017G019466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G019466.v4.1 MFSEKPFIRKRGSKVSKNNDKIEPAPDPDGNMFIECADNKRRKVSEVWKHFDKVKDKENGAVWAICKGCQKKYPGESTKGTSNLHKHLKSCSKKGQRDAKQHTLPSDLGGNFVFDQERSHLNFVRMIMKLGFPLDMVQNEFFKTFVSDLQPKFQLCSQDSVQADALSIYRQEKEKLMKYLDNLSSLFSITIDLQSYGDNKITCCLTMHFIDDGWRMNKKILAFRSIEHDYMNEAVKDVLVEWNIIKKVHFMFAEIAPPNSQMTREFRSKLVSQFPHMNGDLLCFSSYAQTLELLARDGFYEIKDVLNKIRGCVEYVNATPINQDKFREAINNVKLQDMKAASHDDPTRWDTTFVMLMSALELREAFTQLEQVDFDFKVNPSAEEWKMAMSVCECLKVIHKSLGSSSSSIDTFFFHVCSIYKNLLSWEKSEHAYVCSMAKRMKVNLDRHWSEWSFAFGILLVLDPRCKLKFVQYGFLLMYGSDASKYLLEFRSKLTCMYNSYANDTGYIASPASDISCLPSYDLDSDGMFLGFCEWLKVDPPKSDLDRYLGEPVENRDKVSDVLAWWRVNAPRFPTLGKMARDFLAIPISAILSKSTISGEATKVNPAFNVLGPETIEGFICGQDWLESSENNSKEGKPGSSLVSSNVRFDSPGKQMSKYLVGPFIVSKPTSPEDLKLIQYVFDSSLSESETIVQCKNYHLSRRELYSLRPETWLDDNVLSTISDAMTLVKRKMEDSVNWYLPIVFAANADDPSKCISFAKKHRIKENYMSDLLCCEKAFVPVFDNERRHFFLFVLQLKKQVVEIWDSLAASCQSDWVDRRLHNLLVSLDALFKDDIDQNYQKVWSFTDFRVERPSNVPQQQNGHDCGVYVIKFMLAPEEATNPDFVFYSENERLEVVLRLLVSDVNSCRNDLASKAETYYEQRFGNH >Potri.001G448400.1.v4.1 pep chromosome:Pop_tri_v4:1:47463535:47465606:-1 gene:Potri.001G448400.v4.1 transcript:Potri.001G448400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND1B MPEDMMNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVANEKIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFKRIGLRKTLVFYRGRAPHGQKSDWIMHEYRLDDSTNDTNVSNPIGEAIPEEGWVVCRVFRKKNYQKTLESPKSSSCSLDSKAHQILGSGNDGVLDQILLYMGRTCKMENETFSNMNISNNNSSLRFLSDNSISDGLHERFMHLPRLDSPPLPSIPLSSPSFDQDRSFKSCYHQSYDEMLTENEPSSSNQIGNGTFDMISSSVIHGSKSGQLNDWVTLDRLVASQLNGHEAETSKHLSCFTTGPNASFGLSPDDDMQLSHLQNSHRSSSNIQANTSHVYTNENDLWGFTKSSSPSSSSDPLCHLSV >Potri.014G168000.2.v4.1 pep chromosome:Pop_tri_v4:14:12251473:12252668:1 gene:Potri.014G168000.v4.1 transcript:Potri.014G168000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168000.v4.1 MNSFSRVPSLIFSFASWSLLLFCYFIIFRVCTIPYSFTVRSHFLITLGLSFSIFIGITIVSFQKNRLHFLSFLLPAGVPLPLVPFLVLLELISYCFRALSSGIRLFANMMAGHSLVKILNGFAWTMLCMNNLFYFL >Potri.015G057100.6.v4.1 pep chromosome:Pop_tri_v4:15:7939063:7944832:1 gene:Potri.015G057100.v4.1 transcript:Potri.015G057100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057100.v4.1 MDGKLEMSLDKLPVKRLESIEENGFERFPTDIGYDEKQVALIRRIDFAWAVEKEDKEKKQKKKQKKSSRESSSTTTPWPWQNMVENLHLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNEILADLAVSTATKLQCYRNLGKYFKQSAKALEQQVAREARFYGALIRLQQNWKVKRQRVAAIAPGNEGFMIDLFDNSLYDSVAVFQPSSLSTIRIDHDSDGMLAINLPSKSCHSLVFGFLSGHSNVPKKSNKIKTHGSLKNPSKNPEKESLSDNECVKDTHLLLRKVHRTIFDEQVFDMVNRGAVNQSSGLNVTGIQENYLQLCIGPGISIFISIVPSDQGDQAIDSEGPENLESAVVPLDSFDGVKLAEEKHNSLTKKPRFPNCITYEIYLKQIFHEYVFVEAKGRPSFTGTRMPGQPANDGSGLLSHFCLSLSHRIISNKVLMELENVVCRVPYLHLISHPTWHSRSSAWTIFMKIPPSILHASSQTRTPDIQNMKNVVKSEFWTKVVVHDDCINIEAEGAPNVVGLFKDSSDDKCSTNKYDCNLDDLPVIILQQVASQVIRWLHEEALAVGIKANRDFLCLSFELEQGEILNLVAHVDPEDTQGCISWWLTMEDGFAEEKKLHMNIADGASEYRKFLGYLPLDVLYSTLMDLVSLCGGGSH >Potri.015G057100.7.v4.1 pep chromosome:Pop_tri_v4:15:7939227:7944293:1 gene:Potri.015G057100.v4.1 transcript:Potri.015G057100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057100.v4.1 MDGKLEMSLDKLPVKRLESIEENGFERFPTDIGYDEKQVALIRRIDFAWAVEKEDKEKKQKKKQKKSSRESSSTTTPWPWQNMVENLHLAHQELSVIIDLINTVEANDAVTVAGMTRPKPLPNEILADLAVSTATKLQCYRNLGKYFKQSAKALEQQVAREARFYGALIRLQQNWKVKRQRVAAIAPGNEGFMIDLFDNSLYDSVAVFQPSSLSTIRIDHDSDGMLAINLPSKSCHSLVFGFLSGHSNVPKKSNKIKTHGSLKNPSKNPEKESLSDNECVKDTHLLLRKVHRTIFDEQVFDMVNRGAVNQSSGLNVTGIQENYLQLCIGPGISIFISIVPSDQGDQAIDSEGPENLESAVVPLDSFDGVKLAEEKHNSLTKKPRFPNCITYEIYLKQIFHEYVFVEAKGRPSFTGTRMPGQPANDGSGLLSHFCLSLSHRIISNKVLMELENVVCRVPYLHLISHPTWHSRSSAWTIFMKIPPSILHASSQTRTPDIQNMKNVVKSEFWTKVVVHDDCINIEAEGAPNVVGLFKDSSDDKCSTNKYDCNLDDLPVIILQQVASQVIRWLHEEALAVGIKANRDFLCLSFELEQGEILNLVAHVDPEDTQGCISWWLTMEDGFAEEKKLHMNIADGASEYRKFLGYLPLDVLYSTLMDLVSLCGGGSH >Potri.008G156000.1.v4.1 pep chromosome:Pop_tri_v4:8:10663072:10666188:-1 gene:Potri.008G156000.v4.1 transcript:Potri.008G156000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156000.v4.1 MDSKTSGEAGDVMPEKKPDSQEGSANSKVKGIGGVSSKDMFFRADKIDLKSLDAQLEKHLSRVWSRNTEIQRPKEEWEIDSSKLEIRHEVARGTFGTVYRGTYDNQDVAVKMLDWGEDGIATTAETTAVRASFQQEVAVWHKLDHPNVTKFVGASMGTSNLKIPAKNPSDGYISLPARACCVVVEYLPGGTLKHYLIRNSRKKLAFKVVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDSHRNLKIADFGVARVEAQNPCDMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYPNLSFADVSSAVVRQNLRPEIPRCCPSSLANVMRKCWDGNAEKRPEMAEVVKMLEAVDTSKGGGMIPEDQASVCFCLTPARGP >Potri.008G179401.1.v4.1 pep chromosome:Pop_tri_v4:8:12383967:12385073:1 gene:Potri.008G179401.v4.1 transcript:Potri.008G179401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179401.v4.1 MASSRWIRPEVFPLFASVGVAVGICAMQLVRNICTNPEVRVTKENRAAGVLDNFKEGEKYAEHGLRKFVRNKTPQIMPSINGFFSDPDLPTN >Potri.001G233950.1.v4.1 pep chromosome:Pop_tri_v4:1:25279566:25281272:1 gene:Potri.001G233950.v4.1 transcript:Potri.001G233950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233950.v4.1 MCLAYHENYFDHESCFDKVNAISDPPIPAATGKLEKLQTVDHSNHTFCGQAPSPLGYLKSLNTYSSLQSWQIQVALAFSWLSQNLLVYERK >Potri.001G122700.2.v4.1 pep chromosome:Pop_tri_v4:1:10050169:10053063:-1 gene:Potri.001G122700.v4.1 transcript:Potri.001G122700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122700.v4.1 MAKSYFKQEHGLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSAIYEDKKDEDGFLYVTYSGENTFGSQIPL >Potri.006G179100.1.v4.1 pep chromosome:Pop_tri_v4:6:18537013:18541592:-1 gene:Potri.006G179100.v4.1 transcript:Potri.006G179100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179100.v4.1 MGDPTHDSSDFLTDKSAKIFVAGHRGLVGSAIVRKLQSLGFTNLVLRSHSELDLTRQFDVDSFFAAEKPRFVILAAAKVGGIHANNTYPADFIAINLQIQTNVIDSSFRHGVKKFLFLGSSCIYPKLAPQPIPENALLTGPLEPTNEWYAIAKIAGIKMCQAYRIQYSWDAISGMPTNLYGRNDNFHPENSHVLPALMRRFHEAKVNNAKQVLVWGTGSPLREFLHVDDLADAVVFLMDKYSGLEHLNVGSGKEVTIKDLAELVKEVVGFEGDLVWDTSKPDGTPRKLMDNSKLLGLGWTPKISLKDGLVDTYKWYVENVAGQ >Potri.005G086900.2.v4.1 pep chromosome:Pop_tri_v4:5:6044631:6047853:1 gene:Potri.005G086900.v4.1 transcript:Potri.005G086900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086900.v4.1 MGCVQSKSSMYSPPQSPEKLKQENRYVKGENRGRPIGQREAGKMSRQELEKNGREKLVEGEGLKNDVGNVSQRFSLKKAVGDELVDGWPKWFVDNFPSEVLAGLVPKSVDSYNKLANVNSNVYKARDRDTGKIVALKKVRFDTSEPESVKFMAREIMMLQKLDHLNVIKLEGVATSRMQYSLYLVFDFMQSNLTRIVSRPGERLTEPQVKCCMQQLLSGLQHCHERESPDFGLANFFIPKPKQPLTSGVVTLWYRAPELLLGFPDYGVGFDLSNVGCLLAEMFIRRPIMPGRTEFEQLHRIFKLCGSPPEDYWKIMRLPTSFRPPQHYKPTYRGTAASALQSHFFSSSPLPCQLPDLPVIYKEEDEPAQISDRKRRRSRNQSSRASREEVYSCFNLLNIHVEKISEPSTNNNLETGNGASSSSTFSVLKPIQIEEPSLHRSSFSPILNHSNQNRLLRTEAHSNATKNIQNFTLLQASITDIINHNGGNAMLAGYRRSVSTLDFRTFDSEKISKLFGLDKDEAKKNFTSYDLQLICQCEVASSALAFAVM >Potri.005G127650.1.v4.1 pep chromosome:Pop_tri_v4:5:9599590:9599943:-1 gene:Potri.005G127650.v4.1 transcript:Potri.005G127650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127650.v4.1 MVTSVASCNVPNSSHNHSSVKRKATETSIPQPKKKGSGMGAMLFSHLDRLVESVSIATNYTMPSRDKKGCNIQEVMEELNSIHGVDFGSPIHTFATEFFYARSKKGDVEILTENTLG >Potri.006G247200.1.v4.1 pep chromosome:Pop_tri_v4:6:24718009:24725138:-1 gene:Potri.006G247200.v4.1 transcript:Potri.006G247200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247200.v4.1 MNKENATTAKLEEPATRITRARAKALGASVGIYPASKPSFKQEQRHPLRAKTKRAASDENKSASTSIAGFKHKRRAVLKDASNIFCENSHQNCIHATKQYTSKLARKCPPKTNAEVAARISMKISPVQEDVKEKLAEELSKIRMGEAQNFTSPAKLEVKQDSLCHGTGEGGVADPMLLIPVSTKFSGVESPLKKEVNEISKKLDASSGASVVDIDLNIKDPQFCSLYAPHIYNNIRVKELDLRPSVDYMEKLQRDISPGMRGILIDWLVEVSEEYTLVPDTLYLTVNLIDRFLSQNYIEKQRLQLLGVTCMLIASKYEEIIPPRVEGFCFITDNTYTRGEVLKMESQVLNFLYFQLSVPTTKTFLRRFIQAAQASCKVPCVELVFLANYLAELTLVEYNFLKFLPSLIAASAVFLARWTLNQSDHPWNSTLEHYTRYTASELKTTVLSLEDLQLNTNGCCLNAIRDKYRQQKFKSVATLTSVRRVSSLF >Potri.017G032200.1.v4.1 pep chromosome:Pop_tri_v4:17:2203641:2209182:1 gene:Potri.017G032200.v4.1 transcript:Potri.017G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032200.v4.1 MTIQPSPGSSGFLPGSSGYLDMFPERRMSYFSNSYVIGLTVAAGIGGLLFGYDTGVISGALLYIKDEFEVVNQSSFLQETIVSMALVGAIIGAAGGGWINDAYGRKKATLLADVVFAAGSIVMAAAPNPYVLILGRLFVGLGVGIASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLVNLAFTEVPGTWRWMVGVAAVPAVIQFCIMLCLPESPRWLFMKDNKAKAIAILSKIYDVARLQDEIDHLSITEEEECQKRNDVKISDVFKSKEIRLAFLVGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLVIAAMNAAGTVLGIYLIDHFGRKKLAISSLAGVIASLFILAGAFFGKSSGSSNELYGWIAVLGLALYIACFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAQTFLSIAEAVGTGSTFLMLAGIAVLAVVFVIMYVPETMGLAFVEVEQIWKERAWGSSYNTESLLEQGNDK >Potri.017G032200.3.v4.1 pep chromosome:Pop_tri_v4:17:2203594:2209198:1 gene:Potri.017G032200.v4.1 transcript:Potri.017G032200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032200.v4.1 MVGVAAVPAVIQFCIMLCLPESPRWLFMKDNKAKAIAILSKIYDVARLQDEIDHLSITEEEECQKRNDVKISDVFKSKEIRLAFLVGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLVIAAMNAAGTVLGIYLIDHFGRKKLAISSLAGVIASLFILAGAFFGKSSGSSNELYGWIAVLGLALYIACFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAQTFLSIAEAVGTGSTFLMLAGIAVLAVVFVIMYVPETMGLAFVEVEQIWKERAWGSSYNTESLLEQGNDK >Potri.017G032200.2.v4.1 pep chromosome:Pop_tri_v4:17:2203641:2209181:1 gene:Potri.017G032200.v4.1 transcript:Potri.017G032200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032200.v4.1 MVPSLLMVPGTWRWMVGVAAVPAVIQFCIMLCLPESPRWLFMKDNKAKAIAILSKIYDVARLQDEIDHLSITEEEECQKRNDVKISDVFKSKEIRLAFLVGAGLQAFQQFTGINTVMYYSPTIVQMAGFSSNQLALLLSLVIAAMNAAGTVLGIYLIDHFGRKKLAISSLAGVIASLFILAGAFFGKSSGSSNELYGWIAVLGLALYIACFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAQTFLSIAEAVGTGSTFLMLAGIAVLAVVFVIMYVPETMGLAFVEVEQIWKERAWGSSYNTESLLEQGNDK >Potri.010G138700.1.v4.1 pep chromosome:Pop_tri_v4:10:15288142:15288978:1 gene:Potri.010G138700.v4.1 transcript:Potri.010G138700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138700.v4.1 MEDHGEEFPGFQTCVHKEELEYRRPLSRLQRRAPCQLQIKVNNKAPLECKSAPKISSASAATSSFNSFYHSKDPIPLLSPLVLPSLLESSYIQQGNPPKPR >Potri.011G162900.1.v4.1 pep chromosome:Pop_tri_v4:11:18813382:18815384:1 gene:Potri.011G162900.v4.1 transcript:Potri.011G162900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162900.v4.1 MTSLSSSMLPFLLILLFPFSWGTSAHTHEDFLQCLSLYSEDSAATSKVIYTPNNTSYATVLQFSIRNLRFNSSKLTPVVIVTPTILSHIQATIHCSQKHNLQIRIRSGGHDYEGLSYMSVLPFVILDLINLRKITVDLSTKTAWVQAGATLGELYYSIAEKSRTLAFPAGACHTVGVGGQFSGGGYGGLLRKYGLAADNVIDAELIDANGRVLDRKSMGEDLFWAIRGGGGNSFGVVTAWKVNLVEVPPTVTVFAVPKVLKENATKLIHRWQYVANKLPEDIVIAAYVNRINSSQGGNPTIQATFTSLFLGGVDRLLPLMQESFPELGLVKDDCIELSWIEFALFLAGFPSNASLDVLLDRTPDQSITSFKAKSDYVKQPLPETALEGMWETFFEKDIESPSLFMVPYGGKMEEISESSIPFPHRAGNLYKIHYYVAWTEEGKEASERHISWIRRLYSYMTPYVSKNPREAYVNYRDLDLGINNLAGNTSYKQASIWGRKYFKNNFDKLVRIKTEVDPANFFRNEQSIPPFSSW >Potri.005G232700.1.v4.1 pep chromosome:Pop_tri_v4:5:23162843:23164116:-1 gene:Potri.005G232700.v4.1 transcript:Potri.005G232700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232700.v4.1 MAEEGQVIACHTVDTWKEHFEKGKGSQKLIVVDFTASWCPPCKMIAPIFAELAKKFPNVTFLKVDVDELKAVAEEWNVEAMPTFIFLKDGKLVDKTVGADKDGLPTLVAKHATA >Potri.003G159100.1.v4.1 pep chromosome:Pop_tri_v4:3:16952002:16954441:1 gene:Potri.003G159100.v4.1 transcript:Potri.003G159100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159100.v4.1 MAWRGQLSKNLKELRILLCQSSPSSSTTRTFIERSYKDLKTLNPKLPILIRECNGIEPQLWARYDFGVERGVRLEGLSEAQISKALEELGKVGASLKV >Potri.004G097500.1.v4.1 pep chromosome:Pop_tri_v4:4:8400994:8405530:-1 gene:Potri.004G097500.v4.1 transcript:Potri.004G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097500.v4.1 MEGDKYLQEFLVETSMFNSIVLGHLLPSNWWVTLPHFLQTWLRNYIAGTLLYFISGFLWCFYIYYLKRNVYVPKDTIPSNRAMLLQIYVAMKAMPWYTLLPTVSEYMIENGWTKCFSSISEIGWFAYIIYFPIYLVIVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPVDGILQAVPHVIALFLVPTHFRSHVALLFIEAIWTANIHDCIHANLWPVMGAGYHTIHHTTYKHNYGHYTIWMDWMLGTLRDPEDDSCQRAQKVQ >Potri.005G163000.1.v4.1 pep chromosome:Pop_tri_v4:5:16082862:16084886:-1 gene:Potri.005G163000.v4.1 transcript:Potri.005G163000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163000.v4.1 MASISHLIIFTSLLALFSSLVPAVATARLLALVQEQPLVLKYHNGPLLKGNITVNIVWYGKFSPAQRSIIVDFLHSLNSMKPPAPSVSTWWRTTGRFRGGPRTVVVGKQVLEEKYSLGKLLKTPQTVTLASKAGHGKNSINLILTSADVAIDGFCMSKCGTHGSGQDKVGKFAYAWVGNSATQCPGQCAWPFHQPIYGPQGPPLVAPNGDVGIDGMIVNLATVLAGTVTNPFNNGYFQGPANAPLEAVSACTGIFGKGAYPGYPGEVLVDKTTGASYNAFGINGRKYLLPAMWDPTTSTCKTLV >Potri.006G141300.1.v4.1 pep chromosome:Pop_tri_v4:6:11854982:11861060:-1 gene:Potri.006G141300.v4.1 transcript:Potri.006G141300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G141300.v4.1 MSTLDSEVTLVPVGETSGTAGPSSSKKPKRFEIKKWNAVSLWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Potri.004G077650.2.v4.1 pep chromosome:Pop_tri_v4:4:6423775:6429532:-1 gene:Potri.004G077650.v4.1 transcript:Potri.004G077650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077650.v4.1 MEKTEEELVAGRSLVDLVFSWSIGDVLNKDLCRNKVKKIPETFMSTTHYMKSFIPALIEETRADLCSNMIMISQAPTREIFSVGMDKKNKPPEDLFYNIWFKKRRNKANGKEIYEPDVGDLLALTDVRPKDIDDLNRPGFNYLLAYVHRLSEWQDDDDKYVILSTLTSKPIQFEIEDQENKKESIIAGKGRRKTMKANVYVVYLVNMMTNIRIWRSLNSDLEGGNMNIIQNVLHTSSADGQDCSHCLSEVNKSATLSGMEETIISSSNLNDSQQDAIVSCIGLSECQHQSTVKLIWGPPGTGKTKMIGLLLFSLLKLKCRTLTCAPTNIAVLEVTSRLLRLVTDSREDDTYGLGDIILFGNGKRMKISENDDLEDIFLGHRVKVLEYCFSPSNGWKHTVDSLINLLEDPENQYRRYLENMEKKNEEGEREDQEDEMLEIEEINNKKEKDEVVNDQNKKGRNRVLLQALKDDMKKEKQKQKQKVFSHQENLTKCEEKEYKDGKVNKEDILSFEEFVKEWFKFLSAKLDILIAGLYTHLPTSIISLEVVKSMTRAVDSLSCLKPLLYSVSVGDEGLKQVLNDFENEGSSAGQFSRLSFMRNYCIQTLNSLPREFEVPNFFDNRAARYFCLGNACLVFCTASSSAKLHTEGVTPIKLLVIDEAAQLKECESTIPLQLSGLRHAILIGDERQLPAMVQSKISEEAEFGRSLFERLVILEHEKHLLNTQYRMHPSISLFPNKEFYDMLIQDASNVKERNYQKQFLQGNMYGPYSFINVANGKEQSNDGRSKKNLVEVAVVSAIVAGLFKEFKRARKRMSIGVISPYNAQVYAIQQKIGNTYSTFSDFAVNVRSVDGFQGSEEDVIIISTVRCNASGSVGFLSNRQRANVALTRARYCLWILGNGATLVNSGSIWKKLVTDAKERGCFYNADEDKSLSKAIMDALLELDQLDDLLNVNFLLFRNARWKFCFSENFRKSIMKVGNEARQEVISLLAKLSSGWRQSPEERNIIVLHGTSSELLENYRVNDQLSLIWTVNIIKENKNDTQILKVWDVLSLHDLPKLARSLDAVVGNYTVNKMNRCRHKCTEGDLVVPMRWSISSGASLESSNPETDPAQLLSQPLASLVIRDESEAPATTSRQPLRSKKDGFSSGTRGSKPTW >Potri.004G077650.1.v4.1 pep chromosome:Pop_tri_v4:4:6423771:6429304:-1 gene:Potri.004G077650.v4.1 transcript:Potri.004G077650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077650.v4.1 MEKTEEELVAGRSLVDLVFSWSIGDVLNKDLCRNKVKKIPETFMSTTHYMKSFIPALIEETRADLCSNMIMISQAPTREIFSVGMDKKNKPPEDLFYNIWFKKRRNKANGKEIYEPDVGDLLALTDVRPKDIDDLNRPGFNYLLAYVHRLSEWQDDDDKYVILSTLTSKPIQFEIEDQENKKESIIAGKGRRKTMKANVYVVYLVNMMTNIRIWRSLNSDLEGGNMNIIQNVLHTSSAMLCLILQDGQDCSHCLSEVNKSATLSGMEETIISSSNLNDSQQDAIVSCIGLSECQHQSTVKLIWGPPGTGKTKMIGLLLFSLLKLKCRTLTCAPTNIAVLEVTSRLLRLVTDSREDDTYGLGDIILFGNGKRMKISENDDLEDIFLGHRVKVLEYCFSPSNGWKHTVDSLINLLEDPENQYRRYLENMEKKNEEGEREDQEDEMLEIEEINNKKEKDEVVNDQNKKGRNRVLLQALKDDMKKEKQKQKQKVFSHQENLTKCEEKEYKDGKVNKEDILSFEEFVKEWFKFLSAKLDILIAGLYTHLPTSIISLEVVKSMTRAVDSLSCLKPLLYSVSVGDEGLKQVLNDFENEGSSAGQFSRLSFMRNYCIQTLNSLPREFEVPNFFDNRAARYFCLGNACLVFCTASSSAKLHTEGVTPIKLLVIDEAAQLKECESTIPLQLSGLRHAILIGDERQLPAMVQSKISEEAEFGRSLFERLVILEHEKHLLNTQYRMHPSISLFPNKEFYDMLIQDASNVKERNYQKQFLQGNMYGPYSFINVANGKEQSNDGRSKKNLVEVAVVSAIVAGLFKEFKRARKRMSIGVISPYNAQVYAIQQKIGNTYSTFSDFAVNVRSVDGFQGSEEDVIIISTVRCNASGSVGFLSNRQRANVALTRARYCLWILGNGATLVNSGSIWKKLVTDAKERGCFYNADEDKSLSKAIMDALLELDQLDDLLNVNFLLFRNARWKFCFSENFRKSIMKVGNEARQEVISLLAKLSSGWRQSPEERNIIVLHGTSSELLENYRVNDQLSLIWTVNIIKENKNDTQILKVWDVLSLHDLPKLARSLDAVVGNYTVNKMNRCRHKCTEGDLVVPMRWSISSGASLESSNPETDPAQLLSQPLASLVIRDESEAPATTSRQPLRSKKDGFSSGTRGSKPTW >Potri.018G090000.2.v4.1 pep chromosome:Pop_tri_v4:18:10986000:10989163:-1 gene:Potri.018G090000.v4.1 transcript:Potri.018G090000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090000.v4.1 MGTKLEYAITLLATSQNSSSISVHCMDDLEYLQTRGLEKNLQVSGIDKFGSSMDKMPGKHNIDFIKKTMQLHEDIFKQQVRELHRLYSVQKLLMEELKKGIKQNRKYWTPITSSDINCSQFVNRPNSAAQTTCGYSFHIQSLREDPNSRERSGSCSGETVKMSRGFDLERPAEEDISTGVSAVDENQAGPSAYATQKRKMSIDGSDEDSEVELTLSIGGSTSKKISKNYQTLELDSSASFKSERREDRSTPTTPLSSSRATFDQDRKRPQWLFQSLSINRS >Potri.018G090000.1.v4.1 pep chromosome:Pop_tri_v4:18:10985970:10989154:-1 gene:Potri.018G090000.v4.1 transcript:Potri.018G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090000.v4.1 MGTKLEYAITLLATSQNSSSISVHCMDDLEYLQTRGLEKNLQVSGIDKFGSSMDKMPGKHNIDFIKKTMQLHEDIFKQQVRELHRLYSVQKLLMEELKKGIKQNRKYWTPITSSDINCSQFVNRPNSAAQTTCGYSFHIQSLREDPNSRERSGSCSGETVKMSRGFDLERPAEEDISTGVSAVDENQAGPSAYATQKRKMSIDGSDEDSEVELTLSIGGSTSKKISKNYQTLELDSSASFKSERREDRSTPTTPLSSSRATFDQDRKRPQWLFQSLSINRS >Potri.014G121801.1.v4.1 pep chromosome:Pop_tri_v4:14:8196769:8197406:1 gene:Potri.014G121801.v4.1 transcript:Potri.014G121801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121801.v4.1 MLSLSLIQKGIVLPVCMVVMSKRWVQRTKAEVVHNVTCFRSDTCFGQAGGSTLPIGVAIAFFNFLVIGWGFSNVKFHTNPGCDRFLKPVRVTYALKMWKNVVDNMSIDGMLSYKIKKIKKSEIILGSCLY >Potri.001G212900.1.v4.1 pep chromosome:Pop_tri_v4:1:21912545:21916934:1 gene:Potri.001G212900.v4.1 transcript:Potri.001G212900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212900.v4.1 MMFQRLFLLQSFLLFSLTTATATAKRLNTIPRHSPIGPRVWRDQPDKTTSCEVDEEGFETCFYNQTLDHFNYRPESYDTFPQRYVINSKYWGGANASILVYLGAEASIDGYRDAAGFLDDNAVQFKSLLVFIEHRYYGHSFPPGAWGKRGYFSSAQALADYAAIIIDIKENRSAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDAYYSVVTKEFREASETCYQTIKTSWSEIDELASKPDGLSMLSTKFNTCKNLTDASELKDYLRLMYAYAAQNNSPPTYPVNEVCKGIDDDASGDDILSRIFRGVVAYYGNQTSCYVNKVAYQSEATLGWSWQRCSEMVIPLGVGDNSMFQPNPFNLTDHIERCKSLYGVRPRPHWVTTYYGGHDIKLILQRFGSNIIFSNGLRDPYSSGGVLNNISDSIVAVTTVNGSHCLDIQRANPSTDPDWLVMQRKKEVEIIEGWITQYYEDLYEFKDPFLIIRGGTKVDAAVSG >Potri.018G137700.2.v4.1 pep chromosome:Pop_tri_v4:18:15738742:15739855:1 gene:Potri.018G137700.v4.1 transcript:Potri.018G137700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137700.v4.1 MVSTEDFSFPRITNPLPQFAFSPSLWRVSSLVYPDYRYEDDERELPFFKQSFSFTTCQELKIESIEEKMDRLWEKFNDEELQRASSDSLGGKKGSYSVDSLDSECARGELKHLCRVKKELKISKSDMISASTQSKRQQIVMVFKVLKKMFLHPISSKGLKVDRI >Potri.012G106900.4.v4.1 pep chromosome:Pop_tri_v4:12:12861848:12864080:-1 gene:Potri.012G106900.v4.1 transcript:Potri.012G106900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106900.v4.1 MLKFLSKVKIEFNALDPRVASCMEFLAQCNARKAKESNPACQVLVKRRTDDFPPQITVTFVNGVEEAFDATSTPAQAIRTMILEKGQLLETEQMFREAGEKWPVIIPEEELHQFAPGTKVSACWLYLFLVF >Potri.012G106900.5.v4.1 pep chromosome:Pop_tri_v4:12:12862111:12863918:-1 gene:Potri.012G106900.v4.1 transcript:Potri.012G106900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106900.v4.1 MLKFLSKVKIEFNALDPRVASCMEFLAQCNARKAKESNPACQVLVKRRTDDFPPQITVTFVNGVEEAFDATSTPAQAIRTMILEKGQLLETEQMFREAGEKWPVIIPEEELHQFAPGTKPRKAEEKKQ >Potri.001G182400.2.v4.1 pep chromosome:Pop_tri_v4:1:16109401:16112290:1 gene:Potri.001G182400.v4.1 transcript:Potri.001G182400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182400.v4.1 MLTSIFGIGNMRKLSLLVLILCILISVKNQNAEIKKSSSSSKTAVKWPYSSWDSFPSVFLSPLTSMEDDDGEVSWHSNRSLEYDFYRDSCPEAERIIRRVVHELYEVNSSVAPALLRLVFHDCFIEGCDASILLDAATGIDSEKDSPPNKNLKGFDIIDKIKSEIEMVCPGVVSCADIVALAGREGVVQAGGPFYPLYTGRRDAMHSFRDVATSELPSPNADLSETLASFASRGFDLRETVSLLGGHSIGVIHCKFFQNRLYNFGRTNKPDPSLDTGFLNLLRSRCNDSNSSMAASPSPSPSFKATPPAPSTSCDGTNSPAPSTSCDGTKSPAPSTSFDGTKSPAPAPSTSFDGTMSPSTAPSLSCSGSPSSSSRAAEMRGSPSLLSAPSPSLKGSISSPPSSSTPSSASFEDSLLSSLEEPGMNMAYEGPGVDFGTLYYRSLLQGKGILYSDQQLMAGIDTGIWVRAYASDISLFPRDFALAMMKLSNLRFLTGSKGQVRLHCSKVA >Potri.004G073950.1.v4.1 pep chromosome:Pop_tri_v4:4:6153837:6154429:1 gene:Potri.004G073950.v4.1 transcript:Potri.004G073950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073950.v4.1 MEEKKGKKNCHRSDAINSPSTCAILKRAPESILMTSKKIIVARKKQEQHVRTTKICADTGTWTSGSKLLMHALIAS >Potri.002G101500.3.v4.1 pep chromosome:Pop_tri_v4:2:7418120:7420001:-1 gene:Potri.002G101500.v4.1 transcript:Potri.002G101500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101500.v4.1 MLCCAKSTLPHSWASLPTGSRRRSQVYGTNPSFHQATSKHNHRIQFLGHQKMNIFTTTLEVLHHGKNRKMDMAVYSTGVESGIPFPLPFNLPFDLNPGSWQTWVLGLIVALTPFGISKWWPILRSKVDSLMQTTEAVAETVERVADKVDKVVEDLADSLPDGKLKQAARYIENIAEKAERDAHLVDEAIEKLEEIEIELKEEAERFVQANAESKEAENS >Potri.015G066400.5.v4.1 pep chromosome:Pop_tri_v4:15:9211720:9216573:1 gene:Potri.015G066400.v4.1 transcript:Potri.015G066400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066400.v4.1 MLRAKQLGNLSSSARSFFLSGSRCSATDGSSSCTCSEDETCVSTRQQPRNSILLAQKPSNFGSKTSARVEASVSGDGSSFLLPQKRSSIASKTSARVENSVSGDAVKLVGSQKDASVEHPNSFPQVASTHSSCGMSGCVSYAIGIDIAEKDVGHSSPPISDQFVRVGIAAVSFLSDLVNYKLPTSDGTVINSTINCMIDPTRQLSNIKSSNVKPIRRENFTKAYPNSSAEIPVGSNAAVNYNSMKDRGNKSSFVRGFKQVSSIAADSSLDSHSLPSDAFDKRRTIPQRLKAQPNRLVSKFNSNVNTSDVNVGKPFTDGYRRPSRDTKMPAVVARSARQFVSTGHVVENVSQILRQLRWGPSAEEALVNLNCHMDAYQANQVLKQLQDHTVALGFFHWLKQLPGFKHDGYTYTTMVGILGRAKQFVAINKLLDQMVRDGCQPTVVTYNRLIHSYGRANYLNDAVEVFNQMQKAGCEPDRVTYCTLIDIHAKAGFLNFAMEMYQRMQAAGLSPDTFTYSVMINCLGKAGHLAAADKLFCEMIEQGCVPNLVTYNIMIALQAKARNYQNALKLYRDMQNAGFEPDKVTYSIVMEVLGHSGYLDEAEAIFSEMKRKNWVPDEPVYGLLVDLWGKAGNVEKAWEWYQAMLHAGLCPNVPTCNSLLSAFLRVNRLPDAYNLLQSMLNLGLNPSLQTYTLLLSCCTEARSPYDMGCYCELMSVTGHPAHMFLSSLPSAGPDGQNVRHHVSKFLDMMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEIAAQRNVYPDAVKEKSSCYWLINLHVMSEGTAVTALSRTLAWFRRQMLVSGVIPSRIDIVTGWGRRSRVTGSSLVRQAVQELLHIFSFPFFTENGNTGCFVGCGEPLSRWLLQSYVERMHLL >Potri.015G066400.4.v4.1 pep chromosome:Pop_tri_v4:15:9211823:9216525:1 gene:Potri.015G066400.v4.1 transcript:Potri.015G066400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066400.v4.1 MLRAKQLGNLSSSARSFFLSGSRCSATDGSSSCTCSEDETCVSTRQQPRNSILLAQKPSNFGSKTSARVEASVSGDGSSFLLPQKRSSIASKTSARVENSVSGDAVKLVGSQKDASVEHPNSFPQVASTHSSCGMSGCVSYAIGIDIAEKDVGHSSPPISDQFVRVGIAAVSFLSDLVNYKLPTSDGTVINSTINCMIDPTRQLSNIKSSNVKPIRRENFTKAYPNSSAEIPVGSNAAVNYNSMKDRGNKSSFVRGFKQVSSIAADSSLDSHSLPSDAFDKRRTIPQRLKAQPNRLVSKFNSNVNTSDVNVGKPFTDGYRRPSRDTKMPAVVARSARQFVSTGHVVENVSQILRQLRWGPSAEEALVNLNCHMDAYQANQVLKQLQDHTVALGFFHWLKQLPGFKHDGYTYTTMVGILGRAKQFVAINKLLDQMVRDGCQPTVVTYNRLIHSYGRANYLNDAVEVFNQMQKAGCEPDRVTYCTLIDIHAKAGFLNFAMEMYQRMQAAGLSPDTFTYSVMINCLGKAGHLAAADKLFCEMIEQGCVPNLVTYNIMIALQAKARNYQNALKLYRDMQNAGFEPDKVTYSIVMEVLGHSGYLDEAEAIFSEMKRKNWVPDEPVYGLLVDLWGKAGNVEKAWEWYQAMLHAGLCPNVPTCNSLLSAFLRVNRLPDAYNLLQSMLNLGLNPSLQTYTLLLSCCTEARSPYDMGCYCELMSVTGHPAHMFLSSLPSAGPDGQNVRHHVSKFLDMMHSEDRESKRGLVDAVVDFLHKSGLKEEAGSVWEIAAQRNVYPDAVKEKSSCYWLINLHVMSEGTAVTALSRTLAWFRRQMLVSGVIPSRIDIVTGWGRRSRVTGSSLVRQAVQELLHIFSFPFFTENGNTGCFVGCGEPLSRWLLQSYVERMHLL >Potri.010G210500.4.v4.1 pep chromosome:Pop_tri_v4:10:19946079:19946908:-1 gene:Potri.010G210500.v4.1 transcript:Potri.010G210500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210500.v4.1 MPSSKMSLKLLIDSKHNKVVFAEAGKDFVDFLLTLLALPLGTVIQLLTKSTMIGCISSLYGSLEKLDESYLQPNQNKDSLLKSSITTQVTNPNFLLPDTKKPENRKSGITRYMSQEVKFVGTNVSASTDTPASDQDGGYVKGLVTYMVTGDLSVSPMSMVSGVGLLNKFDIKDFGVLEEKVVEFGINEGLELLKASLLSKDALSAVFLPKLN >Potri.004G017700.1.v4.1 pep chromosome:Pop_tri_v4:4:1271006:1272905:1 gene:Potri.004G017700.v4.1 transcript:Potri.004G017700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017700.v4.1 MMTQVFLFLLVFLLSVYLLLTKNASKRLPPGSLGLPIIGQSLSFLSAMRKNTAEEWLQDRIRKYGPISKMSILGAPTLFIHGQAANKFVFSCDSNTLDSQQPSSISRVCGERNILELSGHDHKRVRGALLSFLKPEVLKQYVSKMDEEIRKHFEMHWHGKKTVLAMPSIKTLTFNIMSSLIIGIEQSAKRDMLLQLFQQLMEGILSVPFNFPFTRFNRSLQTSGKIRQILEDLIREKRAALEHGTAFPQQDLITTLLSLRNEENSAVLTDGEIIDNAIVIMIAGYDTSSVLLSLLIRLLADDPSIYASILQEQAEISKNKASGELLTWDDLTRMKHTWSVALETLRMTPPVFSMFRKVLKDIEYEGYLIPKGWQVMLSTSMTHMDDSIFPHASRFDPERFQNKASVPPYSFLSFGGGARICPGYEFARLETLITIHYLVNRFIWKLCHPGISFSREPFPLFKDGLEIEIEPRTPL >Potri.006G204600.10.v4.1 pep chromosome:Pop_tri_v4:6:21265171:21273917:-1 gene:Potri.006G204600.v4.1 transcript:Potri.006G204600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204600.v4.1 MWRSLWRSIDRFSLQYFKHVINELQKIKVVDMHNRELVVDLLQSIVEIVTYGDRQDSQIFECFMEHQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMDSEYAIYYCLSNDYVNNIITHPYKFDGGDLAQYYISFLRSVSNKINGDTLCLLVKVHGDAVVSFPLYSEALKFAQHGEKMIQTAIRALTLNIYNVSDDMVYQFITTPPVSSYFSDLIHNLKEQCTHLDNLVHALEEMGVNQRRKELLLKTDRIVDDLYYLKDILCVGESRLSKVVTQNVLNLLLIPILHPLLHSRQSDGSNLSPITSLYIVSCLIQVIGGKSIVNYVAGVLLYPYMSLSVREAREACLSSAFFSNFNDMEKSSCSTESEGAESVNGSPLHRHLPEGRILDFILSDNHSLSLASLFLLLTLAESKDLEDLLASMVSLSAMQHGNGGKYTCEVYASDFKCIIECFSKRTTNHCANKVAYWMVLAKATGFSRNQA >Potri.006G204600.1.v4.1 pep chromosome:Pop_tri_v4:6:21265108:21273924:-1 gene:Potri.006G204600.v4.1 transcript:Potri.006G204600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204600.v4.1 MWRSLWRSIDRFSLQYFKHVINELQKIKVVDMHNRELVVDLLQSIVEIVTYGDRQDSQIFECFMEHQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMDSEYAIYYCLSNDYVNNIITHPYKFDGGDLAQYYISFLRSVSNKINGDTLCLLVKVHGDAVVSFPLYSEALKFAQHGEKMIQTAIRALTLNIYNVSDDMVYQFITTPPVSSYFSDLIHNLKEQCTHLDNLVHALEEMGVNQRRKELLLKTDRIVDDLYYLKDILCVGESRLSKVVTQNVLNLLLIPILHPLLHSRQSDGSNLSPITSLYIVSCLIQVIGGKSIVNYVAGVLLYPYMSLSVREAREACLSSAFFSNFNDMEKSSCSTESEGAESVNGSPLHRHLPEGRILDFILSDNHSLSLASLFLLLTLAESKDLEDLLASMVSLSAMQHGMVMEESILVKFMPQILNALLNVLASEPPTTVQIKWHTGWFLRKLLVFQGIRLDEHNFHLFNTSYERSCICVEKELDGCWFDHIMDVLRNEWASCKTALEESSQSKDPLFLLEFTICQIADGDATSSHVAWQRMVDVVKVFILHLQLKAFISKGEFLEKSSLDSIVVLPFDSAKNLASGLSSASFGSEVSLGSGIPCRIAFSNAGIRDIYLIPVARGISGKLLLVEKHPFRSQRGVVIAIAPLAGLSPKIDEDQPTWLHLRIREFEPRINGGKNRSYNTKEFIQVADGRWTIGFPNAKACGTARLAILEETSKQRSSVRNALGPLFQNDYGGGSSNRQDGRALV >Potri.006G204600.6.v4.1 pep chromosome:Pop_tri_v4:6:21265099:21273930:-1 gene:Potri.006G204600.v4.1 transcript:Potri.006G204600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204600.v4.1 MWRSLWRSIDRFSLQYFKHVINELQKIKVVDMHNRELVVDLLQSIVEIVTYGDRQDSQIFECFMEHQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMDSEYAIYYCLSNDYVNNIITHPYKFDGGDLAQYYISFLRSVSNKINGDTLCLLVKVHGDAVVSFPLYSEALKFAQHGEKMIQTAIRALTLNIYNVSDDMVYQFITTPPVSSYFSDLIHNLKEQCTHLDNLVHALEEMGVNQRRKELLLKTDRIVDDLYYLKDILCVGESRLSKVVTQNVLNLLLIPILHPLLHSRQSDGSNLSPITSLYIVSCLIQVIGGKSIVNYVAGVLLYPYMSLSVREAREACLSSAFFSNFNDMEKSSCSTESEGAESVNGSPLHRHLPEGRILDFILSDNHSLSLASLFLLLTLAESKDLEDLLASMVSLSAMQHGMVMEESILVKFMPQILNALLNVLASEPPTTVQIKWHTGWFLRKLLVFQGIRLDEHNFHLFNTSYERSCICVEKELDGCWFDHIMDVLRNEWASCKTALEESSQSKDPLFLLEFTICQIADAWQRMVDVVKVFILHLQLKAFISKGEFLEKSSLDSIVVLPFDSAKNLASGLSSASFGSEVSLGSGIPCRIAFSNAGIRDIYLIPVARGISGKLLLVEKHPFRSQRGVVIAIAPLAGLSPKIDEDQPTWLHLRIREFEPRINGGKNRSYNTKEFIQVADGRWTIGFPNAKACGTARLAILEETSKQRSSVRNALGPLFQNDYGGGSSNRQDGRALV >Potri.006G204600.9.v4.1 pep chromosome:Pop_tri_v4:6:21265190:21273925:-1 gene:Potri.006G204600.v4.1 transcript:Potri.006G204600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204600.v4.1 MWRSLWRSIDRFSLQYFKHVINELQKIKVVDMHNRELVVDLLQSIVEIVTYGDRQDSQIFECFMEHQVLAEFVRVLKISKNSRIEAPLLQYLSIMIQNMDSEYAIYYCLSNDYVNNIITHPYKFDGGDLAQYYISFLRSVSNKINGDTLCLLVKVHGDAVVSFPLYSEALKFAQHGEKMIQTAIRALTLNIYNVSDDMVYQFITTPPVSSYFSDLIHNLKEQCTHLDNLVHALEEMGVNQRRKELLLKTDRIVDDLYYLKDILCVGESRLSKVVTQNVLNLLLIPILHPLLHSRQSDGSNLSPITSLYIVSCLIQVIGGKSIVNYVAGVLLYPYMSLSVREAREACLSSAFFSNFNDMEKSSCSTESEGAESVNGSPLHRHLPEGRILDFILSDNHSLSLASLFLLLTLAESKDLEDLLASMVSLSAMQHGMVMEESILVKFMPQILNALLNVLASEPPTTVQIKWHTGWFLRKLLVFQGIRLDEHNFHLFNFSLTDLI >Potri.001G256600.4.v4.1 pep chromosome:Pop_tri_v4:1:27250053:27252065:1 gene:Potri.001G256600.v4.1 transcript:Potri.001G256600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256600.v4.1 MSSSGEENCSQSRTMQFLEEPKPHEQPSVSTPSTSNVPSNPPASPSQPLIASNPSPPQALMASNSSPPPVPQPPTMNPPFQALSTPTPRPPPPQRFANYEDSDRYFNSFPPGYRFRPHDHELVLHYLDKKVKGLPLPMNRIINADLYQFDPEDLAAQYSHHGEKEWYFFTPRNRKYKNGTRPNRAAGGGYWKATGADKGITYEKRVIEAGKKITYQKTLVGHRKALVYYAGKPPKGDKTNWIMHEFRLDDSPLHVRNNRDDMRLDDCVLCRIYKKREKSTTNASTNVRNRQSNEENPLLTIDDDDEDYINDHDYDPNHLSAIEASFAGEIYGMVTNSLENSFPILDELSQQQTGTLFHNKIWTSFSEICATQIP >Potri.001G256600.3.v4.1 pep chromosome:Pop_tri_v4:1:27250053:27251881:1 gene:Potri.001G256600.v4.1 transcript:Potri.001G256600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256600.v4.1 MSSSGEENCSQSRTMQFLEEPKPHEQPSVSTPSTSNVPSNPPASPSQPLIASNPSPPQALMASNSSPPPVPQPPTMNPPFQALSTPTPRPPPPQRFANYEDSDRYFNSFPPGYRFRPHDHELVLHYLDKKVKGLPLPMNRIINADLYQFDPEDLAAQYSHHGEKEWYFFTPRNRKYKNGTRPNRAAGGGYWKATGADKGITYEKRVIEAGKKITYQKTLVGHRKALVYYAGKPPKGDKTNWIMHEFRLDDSPLHVRNNRDDMRLDDCVLCRIYKKREKSTTNASTNVRNRQSNEENPLLTIDDDDEDYINDHDYDPNHLSAIEASFAGEIYGMVTNSLENSFPILDELSQQQTGTSIFPDANNFFMFQDSQIPGLEETFGTPAPMDSVNPEDWSSEQMGLQDEFLNPTYSSPFNIPDHFFNLYSIMPTNLPMNPSPHVNICPMNTAPIRSLPPMNTAPSTGDLPPINTDPLAAPFPPANSQPTSEIMNAAPNHPGQPPQHR >Potri.001G256600.2.v4.1 pep chromosome:Pop_tri_v4:1:27250053:27252065:1 gene:Potri.001G256600.v4.1 transcript:Potri.001G256600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256600.v4.1 MSSSGEENCSQSRTMQFLEEPKPHEQPSVSTPSTSNVPSNPPASPSQPLIASNPSPPQALMASNSSPPPVPQPPTMNPPFQALSTPTPRPPPPQRFANYEDSDRYFNSFPPGYRFRPHDHELVLHYLDKKVKGLPLPMNRIINADLYQFDPEDLAAQYSHHGEKEWYFFTPRNRKYKNGTRPNRAAGGGYWKATGADKGITYEKRVIEAGKKITYQKTLVGHRKALVYYAGKPPKGDKTNWIMHEFRLDDSPLHVRNNRDDMRLDDCVLCRIYKKREKSTTNASTNVRNRQSNEENPLLTIDDDDEDYINDHDYDPNHLSAIEASFAGEIYGMVTNSLENSFPILDELSQQQTGTSIFPDANNFFMFQDSQIPGLEETFGTPAPMDSVNPEDWSSEQMGLQDEFLNPTYSSPFNIPDHFFNLYSIMPTNLPMNPSPHVNICPMNTAPIRSLPPMNTAPSTGDLPPINTDPLAAPFPPANSQPTSEIMNAAPNHPGQPPQHR >Potri.018G053400.2.v4.1 pep chromosome:Pop_tri_v4:18:5246069:5250852:-1 gene:Potri.018G053400.v4.1 transcript:Potri.018G053400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053400.v4.1 MSADRQNHLVIEASSDDRSILYMQDQYCSNDIWSGEGNDPITPQQHTLMELHELIHLFVIDAGVDEIRCIVANITLNLYCGGLQEVEHLEVALPEDKTAYHGSVRDACPSTSIPDSSMSVSTRPQAFQVHPQAGMMLVLLTPTWILQICHRVERVGVML >Potri.008G155400.4.v4.1 pep chromosome:Pop_tri_v4:8:10616914:10621711:-1 gene:Potri.008G155400.v4.1 transcript:Potri.008G155400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155400.v4.1 MGTIDDKWGDECSVIGDKGEIEYIDYQNDKSVCSYDPSEEGPIVISAPFPFEEGKPRSVFVGETAIDSITIKNTTAEAVDLWTKIYASTPEDSFKLSLMKPPSANDVKCQEGFMDFSVMEDRMLQPGESLTIWLSCKPKELGLYTTVVHFDVGNDRIERVAFLLADDNISQSLASKKPFSRGQRKKKFSTDTFISAGSRPARAPGRAYKNRLPRYDIPKDIRALIERKQIPDVIMGGLTIDNYASYFKTLLIMEEIQLEEDMRSHDMECVTMRRKGNYLSLVVPGLAERRPSLVQGDDIFVKLADADDTTTPYQGYIYRVEADEVYLKFYQEFHSCHNDGHLYNVHFKYNRVSMRRLYQAIDAAKDLETEMLFPSETSGSRLIETSTLVPISCSLNEEQICSVEMILGCKGGPPYVIYGPPGTGKTMTIIEAILQLYQNRKHARILVCAPSNSAADHLLEKLLSEEAVHIQEKEIFRLNATSRPFDDIKPDLIRFCLFDEHIFTCPPLGALTRYRIIISTYMSASLLNAEGVKRGQFSHIFLDEAGQASEPESMISVSNFCNRDTVVVLAGDPMQLGPVIFSRDAESYGLGKSYLERLFECESYDSGDENYVTKLIRNYRCHPEILHLPSTLFYEGELIACKESNDDSTSLMTLTNLLPGKNFPVLFFGIQGCDEREANNPSWFNRIEASKVVEIVKKLATRGNLSDSDIGVITPYRQQVLKLKKALDNIDMPDIKVGSVEQFQGQERKVIIVSTVRSTIKHNDFDRVHCLGFLSNPRRFNVAITRAISLLIITGNPHIISKDQYWNKLLWHCVDNDSYQGCALPEKRLECVDNYPTYEDRVDYDGGPVTNEADWCDGWQPSSSGEVGWDHPGSSQAQIPEPVTDEAEWSDGWQ >Potri.008G155400.1.v4.1 pep chromosome:Pop_tri_v4:8:10616939:10621784:-1 gene:Potri.008G155400.v4.1 transcript:Potri.008G155400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155400.v4.1 MGTIDDKWGDECSVIGDKGEIEYIDYQNDKSVCSYDPSEEGPIVISAPFPFEEGKPRSVFVGETAIDSITIKNTTAEAVDLWTKIYASTPEDSFKLSLMKPPSANDVKCQEGFMDFSVMEDRMLQPGESLTIWLSCKPKELGLYTTVVHFDVGNDRIERVAFLLADDNISQSLASKKPFSRGQRKKKFSTDTFISAGSRPARAPGRAYKNRLPRYDIPKDIRALIERKQIPDVIMGGLTIDNYASYFKTLLIMEEIQLEEDMRSHDMECVTMRRKGNYLSLVVPGLAERRPSLVQGDDIFVKLADADDTTTPYQGYIYRVEADEVYLKFYQEFHSCHNDGHLYNVHFKYNRVSMRRLYQAIDAAKDLETEMLFPSETSGSRLIETSTLVPISCSLNEEQICSVEMILGCKGGPPYVIYGPPGTGKTMTIIEAILQLYQNRKHARILVCAPSNSAADHLLEKLLSEEAVHIQEKEIFRLNATSRPFDDIKPDLIRFCLFDEHIFTCPPLGALTRYRIIISTYMSASLLNAEGVKRGQFSHIFLDEAGQASEPESMISVSNFCNRDTVVVLAGDPMQLGPVIFSRDAESYGLGKSYLERLFECESYDSGDENYVTKLIRNYRCHPEILHLPSTLFYEGELIACKESNDDSTSLMTLTNLLPGKNFPVLFFGIQGCDEREANNPSWFNRIEASKVVEIVKKLATRGNLSDSDIGVITPYRQQVLKLKKALDNIDMPDIKVGSVEQFQGQERKVIIVSTVRSTIKHNDFDRVHCLGFLSNPRRFNVAITRAISLLIITGNPHIISKDQYWNKLLWHCVDNDSYQGCALPEKRLECVDNYPTYEDRVDYDGGPVTNEADWCDGWQPSSSGEVGWDHPGSSQAQIPEPVTDEAEWSDGWQ >Potri.008G155400.5.v4.1 pep chromosome:Pop_tri_v4:8:10616906:10621175:-1 gene:Potri.008G155400.v4.1 transcript:Potri.008G155400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155400.v4.1 MGTIDDKWGDECSVIGDKGEIEYIDYQNDKSVCSYDPSEEGPIVISAPFPFEEGKPRSVFVGETAIDSITIKNTTAEAVDLWTKIYASTPEDSFKLSLMKPPSANDVKCQEGFMDFSVMEDRMLQPGESLTIWLSCKPKELGLYTTVVHFDVGNDRIERVAFLLADDNISQSLASKKPFSRGQRKKKFSTDTFISAGSRPARAPGRAYKNRLPRYDIPKDIRALIERKQIPDVIMGGLTIDNYASYFKTLLIMEEIQLEEDMRSHDMECVTMRRKGNYLSLVVPGLAERRPSLVQGDDIFVKLADADDTTTPYQGYIYRVEADEVYLKFYQEFHSCHNDGHLYNVHFKYNRVSMRRLYQAIDAAKDLETEMLFPSETSGSRLIETSTLVPISCSLNEEQICSVEMILGCKGGPPYVIYGPPGTGKTMTIIEAILQLYQNRKHARILVCAPSNSAADHLLEKLLSEEAVHIQEKEIFRLNATSRPFDDIKPDLIRFCLFDEHIFTCPPLGALTRYRIIISTYMSASLLNAEGVKRGQFSHIFLDEAGQASEPESMISVSNFCNRDTVVVLAGDPMQLGPVIFSRDAESYGLGKSYLERLFECESYDSGDENYVTKLIRNYRCHPEILHLPSTLFYEGELIACKESNDDSTSLMTLTNLLPGKNFPVLFFGIQGCDEREANNPSWFNRIEASKVVEIVKKLATRGNLSDSDIGVITPYRQQVLKLKKALDNIDMPDIKVGSVEQFQGQERKVIIVSTVRSTIKHNDFDRVHCLGFLSNPRRFNVAITRAISLLIITGNPHIISKDQYWNKLLWHCVDNDSYQGCALPEKRLECVDNYPTYEDRVDYDGGPVTNEADWCDGWQPSSSGEVGWDHPGSSQAQIPEPVTDEAEWSDGWQ >Potri.007G044800.1.v4.1 pep chromosome:Pop_tri_v4:7:3940366:3941844:1 gene:Potri.007G044800.v4.1 transcript:Potri.007G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044800.v4.1 MEWVRGGCLGYGSSSTVHLATTKKSSSSYPAVMAVKSCNQSDTTLLKNEREIFNEIGFCPEIIQCFGDCQTVEEDNERLYNLLLEYAKGGSLAYQLKKSGGCLQESDVKDYTRSILKGLRHIHSKGFVHCDMKLDNMLLFENGEVKIADFGLAKKAGEKQERVEIRGTPLYMAPESVNNNEYESGVDIWALGCSIVEMVTGKSAWNSKPGANMFVLLIRIGEGDELPIIPEELSQEGKDFLSKCFVKDPTQRWTADMLLEHPFVADQVKETVPFKEESEELLPTSPRCHFDFSEWVSIQSLSPISEVWSDGKLESIFPSLHSSCLASPSDRIRQLTGDRSCNWCDSGCWITIR >Potri.019G076101.1.v4.1 pep chromosome:Pop_tri_v4:19:11715134:11716931:1 gene:Potri.019G076101.v4.1 transcript:Potri.019G076101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076101.v4.1 MASFAEAPPGDSKVGEKIFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSAAHKNMAVTWEEKTLYDYLLNPKKYIPGTKKDFPGLKKPQERADVIAYLKQSTAS >Potri.011G047500.1.v4.1 pep chromosome:Pop_tri_v4:11:3763367:3765219:-1 gene:Potri.011G047500.v4.1 transcript:Potri.011G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047500.v4.1 MGLSSKQVTGDGLDWSQTLLQAHTLELPKPPVIRRQQQQNQLQFEPLKCPRCESTNTKFCYYNNYNKSQPRHFCKSCKRHWTKGGNLRNVPVGGGRKNKRQKTSKTSSNTTTSSSTTASTTAPSNNNSATNMTNWVNPQLAIQAQQQKQNLPDLVLGGQKDLSEILYQAMINPPSSVLQQNSISCNNFDTKSFNVNNNGVLLGSSVSIPQNQGLLFPYSSSFDTHPSSICTSFQPSNVYNYTGESMEDSTITTTMPPTSSTITHSLQVPNTSSGMDMTNYWNWDDIENYVSADLNVPWDDSEIKP >Potri.007G087401.1.v4.1 pep chromosome:Pop_tri_v4:7:11256534:11259031:-1 gene:Potri.007G087401.v4.1 transcript:Potri.007G087401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087401.v4.1 MRTSGPHQDKETNLSLKSVSKTKKCFLDVGFGSFICFWSGVVDAQYNELINVRDTARFADGIVFDRSYKRGRPLTMRIGVGKVIGRLDQGILGVEVVLSMQEGGKHKLHIPPLLAYGPEHAGCFSGDCNIPGNSTLLYDINFVRV >Potri.018G064400.2.v4.1 pep chromosome:Pop_tri_v4:18:7663910:7667484:1 gene:Potri.018G064400.v4.1 transcript:Potri.018G064400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064400.v4.1 MKPKVLEIPESKPKVEMPHIVIVDDHYHDQFLSTPLSNKGTTEDDAISVEQYSEDRDLNVAVMSSLNSSKEANFSGSKPEVVQIVNLDYYHDRFLGMPVSNKGTGENNAISVEEYGEDRDLNIAIMASLKSNKEANFIDPSQDYFYYYNDEDDDIKVLDFLPEVIPSRKQKEPTFIESVAEKGQSSNSQIDPDFVCQICVEPTILKNSFLIKGCTHAYCTECMVKYVSSKLQENITKICCPVPDCKGALEPEDCRSVLPENVFDRWGNALCEAVILGSQKFYCPFKDCSAMLIDDGEEVVRESECPNCWRMFCAQCKVPWHSQISCEEYKMLHKDERERDDILLMNLAKNKNWRRCPKCRIFVEKIEGCRYMKCRCGTPFCYRCGSTEICTGTHYCNRCKA >Potri.018G064400.1.v4.1 pep chromosome:Pop_tri_v4:18:7663946:7666823:1 gene:Potri.018G064400.v4.1 transcript:Potri.018G064400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064400.v4.1 MKPKVLEIPESKPKVEMPHIVIVDDHYHDQFLSTPLSNKGTTEDDAISVEQYSEDRDLNVAVMSSLNSSKEANFSGSKPEVVQIVNLDYYHDRFLGMPVSNKGTGENNAISVEEYGEDRDLNIAIMASLKSNKEANFIDPSQDYFYYYNDEDDDIKVLDFLPEVIPSRKQKEPTFIESVAEKGQSSNSQIDPDFVCQICVEPTILKNSFLIKGCTHAYCTECMVKYVSSKLQENITKICCPVPDCKGALEPEDCRSVLPENVFDRWGNALCEAVILGSQKFYCPFKDCSAMLIDDGEEVVRESECPNCWRMFCAQCKVPWHSQISCEEYKMLHKDERERDDILLMNLAKNKNWRRCPKCRIFVEKIEGCRYMKCRCGTQFCYSCGSTDLNPVTHYCYKCKGIW >Potri.015G143650.1.v4.1 pep chromosome:Pop_tri_v4:15:14908872:14909651:-1 gene:Potri.015G143650.v4.1 transcript:Potri.015G143650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143650.v4.1 MPFQLIGALLSFFTRTANAQIETQASSPTSIGSSLVWSNRFRDGRKSFKESNKKNVKTLCSPLKQL >Potri.004G156500.4.v4.1 pep chromosome:Pop_tri_v4:4:17761232:17763753:-1 gene:Potri.004G156500.v4.1 transcript:Potri.004G156500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156500.v4.1 MEAKKLGLVLGIITIVAAFTRILHILEAPEVQHALLGEFNFIIPGMLKLASSPGKDQQEKPLVILPNLTSCHESMGRSDLPVYCCPPMNQSNVAIIDFQFPDPSLPLRVRRPTHLLDDNYISKYKKAITIMKSLPDTDPRSYTRQANLHCLFCTGAYNQQGSNSPLNIHRSWLFFPWHRMLIYFHERILGSLIGDDTFALPFWPWDIPEGMVIPEMYMKAPFFHEARDFSHFPPSVVDLDYSCTTPSSEDYRCFESGLGPEDQVHTNLVMMYNQMVAGAKKMELFMGCPYKAGEGGSCNGPGTIELAPHNTVHKWVGSNLNPGSREDMGVFYSAARDPIFYPHHANIDRLWDVWRTLHGNKVITDPDWLDSSFFFYDEKLQLNRIKIRDVLSIRKLQYAYEKVDFTWLNNRPKPSVPLEVARNILRMRQNAKQQQLQRNDILSSNFSPHGRFLDATLRTRVNRPKVRRTKKEKEEEEEILVVHGIDIPEERYVKFDVYVNVVNETIMNPRFREFAGTFVHIDPGVTRVARESNIEVFRKKTDLKLGISELLEDLEAEGDENIWVTLLPRSEGCINTTVDGLRIEYIR >Potri.004G156500.1.v4.1 pep chromosome:Pop_tri_v4:4:17761223:17763682:-1 gene:Potri.004G156500.v4.1 transcript:Potri.004G156500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156500.v4.1 MEAKKLGLVLGIITIVAAFTRILHILEAPEVQHALLGEFNFIIPGMLKLASSPGKDQQEKPLVILPNLTSCHESMGRSDLPVYCCPPMNQSNVAIIDFQFPDPSLPLRVRRPTHLLDDNYISKYKKAITIMKSLPDTDPRSYTRQANLHCLFCTGAYNQQGSNSPLNIHRSWLFFPWHRMLIYFHERILGSLIGDDTFALPFWPWDIPEGMVIPEMYMKAPFFHEARDFSHFPPSVVDLDYSCTTPSSEDYRCFESGLGPEDQVHTNLVMMYNQMVAGAKKMELFMGCPYKAGEGGSCNGPGTIELAPHNTVHKWVGSNLNPGSREDMGVFYSAARDPIFYPHHANIDRLWDVWRTLHGNKVITDPDWLDSSFFFYDEKLQLNRIKIRDVLSIRKLQYAYEKVDFTWLNNRPKPSVPLEVARNILRMRQNAKQQQLQRNDILSSNFSPHGRFLDATLRTRVNRPKVRRTKKEKEEEEEILVVHGIDIPEERYVKFDVYVNVVNETIMNPRFREFAGTFVHIDPGVTRVARESNIEVFRKKTDLKLGISELLEDLEAEGDENIWVTLLPRSEGCINTTVDGLRIEYIR >Potri.004G156500.3.v4.1 pep chromosome:Pop_tri_v4:4:17760913:17763646:-1 gene:Potri.004G156500.v4.1 transcript:Potri.004G156500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156500.v4.1 MEAKKLGLVLGIITIVAAFTRILHILEAPEVQHALLGEFNFIIPGMLKLASSPGKDQQEKPLVILPNLTSCHESMGRSDLPVYCCPPMNQSNVAIIDFQFPDPSLPLRVRRPTHLLDDNYISKYKKAITIMKSLPDTDPRSYTRQANLHCLFCTGAYNQQGSNSPLNIHRSWLFFPWHRMLIYFHERILGSLIGDDTFALPFWPWDIPEGMVIPEMYMKAPFFHEARDFSHFPPSVVDLDYSCTTPSSEDYRCFESGLGPEDQVHTNLVMMYNQMVAGAKKMELFMGCPYKAGEGGSCNGPGTIELAPHNTVHKWVGSNLNPGSREDMGVFYSAARDPIFYPHHANIDRLWDVWRTLHGNKVITDPDWLDSSFFFYDEKLQLNRIKIRDVLSIRKLQYAYEKVDFTWLNNRPKPSVPLEVARNILRMRQNAKQQQLQRNDILSSNFSPHGRFLDATLRTRVNRPKVRRTKKEKEEEEEILVVHGIDIPEERYVKFDVYVNVVNETIMNPRFREFAGTFVHIDPGVTRVARESNIEVFRKKTDLKLGISELLEDLEAEGDENIWVTLLPRSEGCINTTVDGLRIEYIR >Potri.013G136200.19.v4.1 pep chromosome:Pop_tri_v4:13:14112694:14117449:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MRLRKSRQCFSSCSSRHRVDEDDVYWKRKKSSEELEWSHNSTRVISQLTQCFANAMVGPRSWIAGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPASFHRLLFKQGGQRATWEYPFAVAGINVSFMLIQMLDLRSEKPRCLPGVTFVKLLGAFEMMDAQWLAMRASYMEFNEVLQVTRTQLERELSLEDVHRIKDLPAYNLLYQ >Potri.013G136200.20.v4.1 pep chromosome:Pop_tri_v4:13:14112729:14117449:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MRLRKSRQCFSSCSSRHRVDEDDVYWKRKKSSEELEWSHNSTRVISQLTQCFGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPASFHRLLFKQGGQRATWEYPFAVAGINVSFMLIQMLDLRSEKPRCLPGVTFVKLLGEDESAFDVLFCIAFEMMDAQWLAMRASYMEFNEVLQVTRTQLERELSLEDVHRIKDLPAYNLLYQ >Potri.013G136200.9.v4.1 pep chromosome:Pop_tri_v4:13:14112477:14117462:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MRLRKSRQCFSSCSSRHRVDEDDVYWKRKKSSEELEWSHNSTRVISQLTQCFANAMVGPRSWIAGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPASFHRLLFKQGGQRATWEYPFAVAGINVSFMLIQMLDLRSEKPRCLPGVTFVKLLGEDESAFDVLFCIAFEMMDAQWLAMRASYMEFNEVLQVTRTQLERELSLEDVHRIKDLPAYNLLYQ >Potri.013G136200.22.v4.1 pep chromosome:Pop_tri_v4:13:14112971:14117449:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MVGPRSWIAGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPMLDLRSEKPRCLPGVTFVKLLGEDESAFDVLFCIAFEMMDAQWLAMRASYMEFNEVLQVTRTQLERELSLEDVHRIKDLPAYNLLYQ >Potri.013G136200.17.v4.1 pep chromosome:Pop_tri_v4:13:14112895:14117449:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MVGPRSWIAGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPGGQRATWEYPFAVAGINVSFMLIQMLDLRSEKPRCLPGVTFVKLLGEDESAFDVLFCIAFEMMDAQWLAMRASYMEFNEVLQVTRTQLERELSLEDVHRIKDLPAYNLLYQ >Potri.013G136200.21.v4.1 pep chromosome:Pop_tri_v4:13:14112694:14117449:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MRLRKSRQCFSSCSSRHRVDEDDVYWKRKKSSEELEWSHNSTRVISQLTQCFANAMVGPRSWIAGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPMLDLRSEKPRCLPGVTFVKLLGEDESAFDVLFCIAFEMMDAQWLAMRASYMEFNEVLQVTRTQLERELSLEDVHRIKDLPAYNLLYQ >Potri.013G136200.12.v4.1 pep chromosome:Pop_tri_v4:13:14112895:14117449:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MVGPRSWIAGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPASFHRLLFKQGGQRATWEYPFAVAGINVSFMLIQMLDLRSEKPRCLPGVTFVKLLGEDESAFDVLFCIAFEMMDAQWLAMRASYMEFNEVLQVTRTQLERELSLEDVHRIKDLPAYNLLYQ >Potri.013G136200.8.v4.1 pep chromosome:Pop_tri_v4:13:14112477:14117459:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MRLRKSRQCFSSCSSRHRVDEDDVYWKRKKSSEELEWSHNSTRVISQLTQCFANAMVGPRSWIAGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPGGQRATWEYPFAVAGINVSFMLIQMLDLRSEKPRCLPGVTFVKLLGEDESAFDVLFCIAFEMMDAQWLAMRASYMEFNEVLQVTRTQLERELSLEDVHRIKDLPAYNLLYQ >Potri.013G136200.18.v4.1 pep chromosome:Pop_tri_v4:13:14112703:14117449:1 gene:Potri.013G136200.v4.1 transcript:Potri.013G136200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136200.v4.1 MRLRKSRQCFSSCSSRHRVDEDDVYWKRKKSSEELEWSHNSTRVISQLTQCFANAMVGPRSWIAGLFTRSPYKRNDKVLDFCLTPHLEQRLQKLQERMRTPFDETRPDHQEALRSLWNAAFPDIPLKGLISEQWKDMGWQGANPSTDFRGCGFISLENLLFFSRTYPASFHRLLFKQGGQRATWEYPFAVAGINVSFMLIQMLDLRSEKPRCLPGVTFVKLLGEDESAFDVLFCIAFEMMDAQWLAMRASYMEFNVYPLSQQRGFTSNKDTIGEGTIFGRCSSNKRFTSIQPFVSIAIYSEVLLKCPKVLARSSRTFRRTQRFRYKFTCRTAEL >Potri.013G006400.1.v4.1 pep chromosome:Pop_tri_v4:13:427299:431279:1 gene:Potri.013G006400.v4.1 transcript:Potri.013G006400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006400.v4.1 MLQCIFILSDSGEVMLEKQLIGHKVDRSICAWFWDQVISQGDSFKQQSVIASPTHYLFQIVREGITFLACTQLEMPPLMGIEFLCRVADVLSDYLEGLNEDVIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKMLSVVTGNSSNVSDTLPGATASCVPWRTTDIKYANNEVYVDLVEEMDAIINRDGVLVKCEIYGEVQVNSHITGVPELTLSFANPSIMDDVRFHPCVRFRPWESHHILSFVPPDGLFKLMSYRVKKLKSTPIYVKPQITSDAGTCRINVMVGIRNDPGKMVDSITVQFQLPSCVLSADVTANHGAVTVFTNKMCNWSIDRIPKDRAPALSGTLMLETGLERLHVFPTFRVGFRIQGVALSGLQLDKLDLRVVPSRLYKGFRALTRSGLYEVRS >Potri.014G151900.1.v4.1 pep chromosome:Pop_tri_v4:14:10593999:10595093:-1 gene:Potri.014G151900.v4.1 transcript:Potri.014G151900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151900.v4.1 MEDFSINHSSMNKAVSVSPAEESGWTSYFEDFSNHKEEDHSLCSITFDSSSMVSDAASFPPWKSSHAVACSSINGGLPKELTFKKTRAKEISLDDSLEDTASSPVNSPKVRDLRPISENPTKTNDQYFNSSLGNKEGSGLEQYAEGLETSERCEIIFSSGKNDCIDLKKRGLCLVPLSMLVNYLG >Potri.001G040500.2.v4.1 pep chromosome:Pop_tri_v4:1:2901822:2905326:-1 gene:Potri.001G040500.v4.1 transcript:Potri.001G040500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040500.v4.1 MIPRRVSLIFFLLFLVPEIATVSALIMARPNCTETCGNITIPFPFGIGTGCYMNDWFSVHCNETTADSPSRAFLSRINMEVFKISLESSVVRVNSPIISSGCSGRGANLAINMTGSPFSFSSSNIFTAMGCNNRALLNGIEPEIVGCTSTCGASTEGKENSYCSGNNCCQTTIPSSLQVVNASLGTPEHPINDQGRNQCKVAFIVQEKWFRNNISSPEVVQDMQYVPVILDWVMYYGADIPEGVTLYSDAKNSDAMYCDPPMNLTSGRSGLRTVTLYSNSTICSCNWGYDGNPYLPDGCTDIDECKIPRGNSCSGMTKCVNVPGGFKCELDKAKITFLILGAATGLLLLLVGIWRLYKLVKKRKNIELKKKFFKRNGGLLLQQQLSSSDGSIQKTKIFTSKELEKATDRFNDNRILGQGGQGTVYKGMQADGMIVAVKKSILVDEEKLEEFINEVVILSQVNHRNVVKLLGCCLETEVPLLVYEFIPNGNLFEYIHDQKEEFEFSWEMRLRIATEVARALSYLHSAASIPVYHRDIKSTNIMLDEKFRAKVSDFGTSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERSEERRSLATHFILLMEENKIFDILDERLMGQDREEEVIAVANLARRCLNLNGRKRPTMREVALELEQIRLSKGALHAQQSSKELENIRDEVPNVWEIAGPTTSVTIGDFRNLWMSKQ >Potri.001G416900.2.v4.1 pep chromosome:Pop_tri_v4:1:44507081:44510428:1 gene:Potri.001G416900.v4.1 transcript:Potri.001G416900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416900.v4.1 MLEELLRCLNDGAIKRIAVWGMGGIGKTTLVKNFNNLLESPPLMQSFDVVIWVTVSKDLDLRRVQSRIAERLNLEFDVGESTEGRAIKLHETLMKTRFLLILDDVWEKLDLDIVGIPQDDEHAECKILLTTRNLDVCRGMMTTVNIKMDVLNEAAAWNLFAESAGDVVELEVINPLARAIARRCCGLPLAIKTMGSSMRNKNMTELWENVLCQLQHSTLHVRSVMEEVYLPLNLSYISLPSKIHRWCFLYCSLYPENFSIEANELIQCWIADGLIDDHQTLEQSFNYGISLIENLKDSCMLEQGEGVGTVRMHGLARDMAIWISIETGFFCQAGTSVSVIPQKLQKSLTRISFMNCNITRIPSQLFRCSRMTVLLLQGNPLEKIPDNLFREVRALRVLNLSGTLIKSLPSTLLHLVQLRAFLVRDCCYLEKLPLFGDLCELQMLDLSGTRLRELPWKRGMLGNLRYLNLSHTLYLENIETGTLRGLSSLEALDMSSSAYKWDAMGNVGEPRAAFDELLSLQKLSVLHLRLDSANCLTLESDWLKRLRKFNIRISPRSCHSNYLPTQHDEKRVILRGVDLMTGGLEGLFCNASALDLVNCGGMDNLSEVVVRHNLHGLSGLKSLTISSCDWITSLINGETILRSMLPNLEHLKLRRLKNLSAILEGIVPKRGCLGMLKTLEVVDCGRLEKQLISFSFLRQLKNLEEIKVGECRRIKRLIAGSASNSELPKLKIIEMWDMVNLKGVCTRTVHLPVLERIGVSNCSLLVKLPITAYNAAAIKEIRGELEWWNNITWQDYEIKSLVQRRFQACAVSTSLGKEERAEEPETPERSW >Potri.001G416900.1.v4.1 pep chromosome:Pop_tri_v4:1:44506916:44510427:1 gene:Potri.001G416900.v4.1 transcript:Potri.001G416900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416900.v4.1 MEIVGAFVAEVTQCMSIFLFRKISTLVSLHGNMKSLQSEIQKLISRKNELEEDIRLAITEGKNPTSQALNWIKRVEEIEHDVQLMMEDAGNSCVCGSNLDCCMHSGLRLRKTAKKKCGEVKQLLIDSCTLHIMVLDRKPPIKPVENMTAPSLAGQKAAEEMLEELLRCLNDGAIKRIAVWGMGGIGKTTLVKNFNNLLESPPLMQSFDVVIWVTVSKDLDLRRVQSRIAERLNLEFDVGESTEGRAIKLHETLMKTRFLLILDDVWEKLDLDIVGIPQDDEHAECKILLTTRNLDVCRGMMTTVNIKMDVLNEAAAWNLFAESAGDVVELEVINPLARAIARRCCGLPLAIKTMGSSMRNKNMTELWENVLCQLQHSTLHVRSVMEEVYLPLNLSYISLPSKIHRWCFLYCSLYPENFSIEANELIQCWIADGLIDDHQTLEQSFNYGISLIENLKDSCMLEQGEGVGTVRMHGLARDMAIWISIETGFFCQAGTSVSVIPQKLQKSLTRISFMNCNITRIPSQLFRCSRMTVLLLQGNPLEKIPDNLFREVRALRVLNLSGTLIKSLPSTLLHLVQLRAFLVRDCCYLEKLPLFGDLCELQMLDLSGTRLRELPWKRGMLGNLRYLNLSHTLYLENIETGTLRGLSSLEALDMSSSAYKWDAMGNVGEPRAAFDELLSLQKLSVLHLRLDSANCLTLESDWLKRLRKFNIRISPRSCHSNYLPTQHDEKRVILRGVDLMTGGLEGLFCNASALDLVNCGGMDNLSEVVVRHNLHGLSGLKSLTISSCDWITSLINGETILRSMLPNLEHLKLRRLKNLSAILEGIVPKRGCLGMLKTLEVVDCGRLEKQLISFSFLRQLKNLEEIKVGECRRIKRLIAGSASNSELPKLKIIEMWDMVNLKGVCTRTVHLPVLERIGVSNCSLLVKLPITAYNAAAIKEIRGELEWWNNITWQDYEIKSLVQRRFQACAVSTSLGKEERAEEPETPERSW >Potri.012G010445.1.v4.1 pep chromosome:Pop_tri_v4:12:612526:616115:1 gene:Potri.012G010445.v4.1 transcript:Potri.012G010445.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G010445.v4.1 MGFSPLSLSQSLSFILFLFHFHSTISSSHFCALHQSFSLLQFKESFSINSSASVLCQHPKTESWKEGTDCCLWNGVTCDLNTGHVTALDLSCSMLYGTLHSNSTLFSLHDLQKLDLSDNHFNSSHISSRFGQFSNLTLLNLNYSVFAGQVPSEISLLSKLVSLDLSRNFYDLSLEPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLNDCGLQRKLPSSMGKFKHLQYLDLGGNNLTGPIPYDFDQLTELVSLYLSENFYLSPEPISFHKIVQNLTKLRDLDLTSVNMSLVAPNSLTNLSSSLSSLSLSGCGLQGKFPGNNFLLPNLESLDLSYNEGLTGSFPSSNLSNVLSQLRLSNTRISVYLENDLISNLKSLEYMSLRNCNIIRSDLPLLGNLTQLIILDLSSNNFSGQIPPSLSNLTQLIYLVLSSNNFSGQIPQSLRNLTQLTFLDLSSNNFNGQIPSSLGNLVQLRSLYLSSNKLMGQVPDSLGSLVNLSDLDLSNNQLVGAIHSQLNTLSNLQYLFLYGNLFNGTIPSFLFALPSLYYLYLHNNNFIGNISELQYYSLRILDLSNNYLHGTIPSSIFKQENLQVLILASNSKLTGEISSSICKLRFLRVLDLSTNSLSGSMPQCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNSLEYLSLNGNEIEGKISSSIINCTMLQVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFGKGPTAYNSFSKLRILDISDNNFSGPLPTGYFNSLEAMMASDQIMIYMTTNYTGYVYSIEMTWKGVEIEFTKIRSTIRVLDLSNNNFTGEIPKMIGKLKALQQLNLSHNSLTGQIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGRIPSGEQFNTFTATSFEGNLGLCGFQVLKECYGDEAPSLPPSSFDEGDDSTLFGEGFGWKSVTMGYGCGFVFGVATGYIVFRTRKPSWFFRMVEDIWNLKSKKTKKNVGRCGAGRN >Potri.008G097000.10.v4.1 pep chromosome:Pop_tri_v4:8:6040385:6042847:1 gene:Potri.008G097000.v4.1 transcript:Potri.008G097000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097000.v4.1 MGRGVSAGGGQSSLGYLFGSGEAPKPGTNNAQAAPSESLPANNPPPSKPAAAPQPADINKQVPAGINSTSTNNYLRADGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGGSN >Potri.008G097000.9.v4.1 pep chromosome:Pop_tri_v4:8:6040470:6042877:1 gene:Potri.008G097000.v4.1 transcript:Potri.008G097000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097000.v4.1 MGRGVSAGGGQSSLGYLFGSGEAPKPGTNNAQAAPSESLPANNPPPSKPAAAPQPADINKQVPAGINSTSTNNYLRADGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGGSN >Potri.008G097000.2.v4.1 pep chromosome:Pop_tri_v4:8:6040328:6042900:1 gene:Potri.008G097000.v4.1 transcript:Potri.008G097000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097000.v4.1 MGRGVSAGGGQSSLGYLFGSGEAPKPGTNNAQAAPSESLPANNPPPSKPAAAPQPADINKQVPAGINSTSTNNYLRADGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGGSN >Potri.008G097000.8.v4.1 pep chromosome:Pop_tri_v4:8:6040340:6042847:1 gene:Potri.008G097000.v4.1 transcript:Potri.008G097000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097000.v4.1 MGRGVSAGGGQSSLGYLFGSGEAPKPGTNNAQAAPSESLPANNPPPSKPAAAPQPADINKQVPAGINSTSTNNYLRADGQNTGNFITDRPSTKVHAAPGGGSSLGYLFGGGSN >Potri.019G133200.2.v4.1 pep chromosome:Pop_tri_v4:19:15504138:15505018:1 gene:Potri.019G133200.v4.1 transcript:Potri.019G133200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133200.v4.1 MCELTEVHAISTMKRFVDLTADETSDLWFTAKKVGSQLERFHSATSLTFAIQDGPQAGQTVPHVHIHIIPRKGGDFEKNDEIYDAIDEKEKELKQKLDLDKERSDRSMEEMAQEADDYRLLFL >Potri.001G452600.1.v4.1 pep chromosome:Pop_tri_v4:1:47956525:47958951:1 gene:Potri.001G452600.v4.1 transcript:Potri.001G452600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452600.v4.1 MGMAGKLMHAIQYDSYDGGPAALKHVEVPLPSPKKYEVLLKLEATSLNPIDWKIQKGKLRPFFPRKFPYVPGTDVAGEVVEVGAEVKNFKIGDRVVAELGHLYGGGLAEYAVAKESLTVVRPAEVSAAEGAGLLVSGITAYQALTQHGGIKLDGSGQQKNILITAASGGVGLYAVQLAKLGNTHVTATCGARNIELVKSLGADEVLDYKTPEGAALKSPSGKKYDVVLHCALGISWSTFEPTLSESGKVIDITPGASAMMTFVLKKLTFSKKQLVPILTVSPSIESLDCLIKLVKEGKLKTIIDSRHPLRKAEDAWAKSIDGHATGKIVVET >Potri.008G130700.7.v4.1 pep chromosome:Pop_tri_v4:8:8589195:8594167:-1 gene:Potri.008G130700.v4.1 transcript:Potri.008G130700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130700.v4.1 MSHQSRFNPCKTLESVHGIRVAQHSPFALKQIDQQGAFPQSTSESSGKGLDQQLLMRRVWQQRPGCLRPIQGCIHGDQHLAETVANVITSLPFIVLGIQAPRKNLNTKLYANSLIGVGVVSSLYHSSRGKLRKYLRWFDYTMIATATVCLSRALRNENSKYLTAASAALLPIQPLMVSAIHTGMMEVAFAKRALKDPDLRMAHNLHKMSLLLGGVLFVADDCLPGTPFLHAGWHLAAATGVGTCNKLLE >Potri.019G003400.1.v4.1 pep chromosome:Pop_tri_v4:19:921406:923293:-1 gene:Potri.019G003400.v4.1 transcript:Potri.019G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003400.v4.1 MEMMEDVVIVGAGIAGLATAVALKRVGVRALVLERSEGLRATGAALTLFPNAWPALDALGVSHKLTPIYAPTSMGYVTNVSAGDVQQVLFRVANTGGDVQGIRTLHRKALLEALAEELPVDSIQFSSKLAVIENEEQGGASIVVIHLEDGTTIKSKVLIGCDGVNSVVARWLGLAEPVHSGRSAVRGLAVFPQGHGFKQEVHQFVDVGKRAGFVPLNDRELYWFLTYSGDKMAGEPEQIQKHVLEKHVEKFPSTYLDVVRHADLSTLTWAPLMFRQPWGIIFGKLSKGHVTVAGDAMHPMTPDLGQGGGSSLEDAVVLGRHIGNSVINNGGLIVPGDMAKAIDDYVKERRWRAAFLVTGSYLAGWVQLGGDKWWMKFLRDGIFYKYLFGRISGLVHKDCGKLPAMSFGDMDHSSKKD >Potri.009G105400.1.v4.1 pep chromosome:Pop_tri_v4:9:9210517:9212020:1 gene:Potri.009G105400.v4.1 transcript:Potri.009G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105400.v4.1 MEMEIVMPVPPVDFNFDSTCSSPYMTAPSSPQRFGNLLFSAPTSPTRMSSFYRELNDITLSTNSSSTIPFDWEKKPGTPKSKNQSEDNNKNDGDLDDYNEDFEFDFSGQLERTSLSAEELFDGGKIKPLKPPPGYDSSVSSPRSPRSPRSRATKKKEFDPFQAAIEETCRGEVKLQINQQGQAPHQRGRGRSCGSGSSFSGSIRKGSRSLSPLRVSDITFHQEENSQNSNNISSTASTPKSSYTSSILSAISFTSKRYKKWKLKDLLLFRSASEGSRTTSCNDPLTKYSVLSKKEVAEDVKNSSFRSTDSIGSSRRRSGPISAHEVHYTVNRAVSEEMKRKTFLPYKQGLLGCLGFNRAASVHEISRGVRSLTRG >Potri.005G221100.4.v4.1 pep chromosome:Pop_tri_v4:5:22329329:22332096:1 gene:Potri.005G221100.v4.1 transcript:Potri.005G221100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221100.v4.1 MGDKFWVNGEKGMVEAVLGVEACEFLITSASKNLLNDLVSPPVSLGVQQGLVQLVEGFNWNYAIFWHASGLKTGGSILVWGDGICRDPKGQGIGDGSSSGDGKSEGAEKRKEVKKRVLQKLHMCFNGPDDDNFAASVDEVSDVEMFYLTSMYFTFRCDSTYGPGEAYQSGRSIWALGMPSCLGHYQLRSVLARSAGFQTVVFLPVKSGVLELGSVKSIPEQHDFVEKARSIFGASNTAQAKAAPKIFGRELSLGSSKSRSISINFSPKVEDELIFTSEPYTMQAMSTDQDYVSTFSGHPSDKSEAKLFPHLNQTIAGFNAETLVGGLEQPKDDLSPQGDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITFITDLQKKIRVLETERGVVNNNQKQLPVPEIDFQPRQDDAVVRASCPMESHPVSTIIETFREHQITAQDCNVSVEGDKIVHTFSIRTQGGAADQLKEKLEAALSK >Potri.005G221100.2.v4.1 pep chromosome:Pop_tri_v4:5:22329286:22331583:1 gene:Potri.005G221100.v4.1 transcript:Potri.005G221100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221100.v4.1 MGDKFWVNGEKGMVEAVLGVEACEFLITSASKNLLNDLVSPPVSLGVQQGLVQLVEGFNWNYAIFWHASGLKTGGSILVWGDGICRDPKGQGIGDGSSSGDGKSEGAEKRKEVKKRVLQKLHMCFNGPDDDNFAASVDEVSDVEMFYLTSMYFTFRCDSTYGPGEAYQSGRSIWALGMPSCLGHYQLRSVLARSAGFQTVVFLPVKSGVLELGSVKSIPEQHDFVEKARSIFGASNTAQAKAAPKIFGRELSLGSSKSRSISINFSPKVEDELIFTSEPYTMQAMSTDQDYVSTFSGHPSDKSEAKLFPHLNQTIAGFNAETLVGGLEQPKDDLSPQGDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITFITDLQKKIRVLETERGVVNNNQKQLPVPEIDFQPRQDDAVVRASCPMESHPVSTIIETFREHQITAQDCNVSVEGDKIVHTFSIRTQGGAADQLKEKLEAALSK >Potri.010G042900.1.v4.1 pep chromosome:Pop_tri_v4:10:7476606:7478119:1 gene:Potri.010G042900.v4.1 transcript:Potri.010G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042900.v4.1 MEVTGDNLLTPEDSNQIHGDILESIFNHVPLVDLVPASYVTKSWKLAVSTSLQGVGGRRRRCPNRIKPWLLIYTQNTRFPHSTTAHAYDPRSHVWIEIHEPSIKFISALRSSHSTLLYMLSPSSLSLSYDPLHLTWHHIKAPLIWRIDPIVAMVGKRVIIAGGTCDFEDDPLAVEMYDLETCKWEMCESMPAILRESASSTWLSIAVNSNKNKMYVVEKSTGVAYSFDPSIKAWQGPYHLRPPQTTSTISHCFIGFANHDRLIFVGIIGDDIKSIKLWEVDGESLEVFREIGEMPKQLVERMKVVSSEDDAENTIYFGMPTPSSIGVSLMDDLVYIYISSVGGAIIMCELSGDHSGACSWTSVKNITGIDIDRCKLTQGTVLGCSRVGLDDLDKALRESRKFHVKETV >Potri.003G223800.6.v4.1 pep chromosome:Pop_tri_v4:3:21656563:21662820:1 gene:Potri.003G223800.v4.1 transcript:Potri.003G223800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223800.v4.1 MDSRKSVRNLAESLHSLLGIKANLTSNWIKSVCDIIKTLPSEKSVDMQPTNSDINDDDNEDDDDCSAISKIKDELTVLTNHINQLNIKRRQILNEFLDLKGNIRVFCRIRPITSGENCGHLRPVVASDSNKVVLKLMNSKSKSYNFDKVLHPGSSQDEVFTEVEPIIKSVLDGYNACIFAYGQTGTGKTFTMEGDEDTPGIVPRAMEALFKQAVDSNRAFLISFSMLEIYMGNLKDLLVPKPTKATYPMPPCLSIQTDPTGGVEIDNLVAIKVNDFNQALRLYRLGCRFRSTASTNSNLTSSRSHCMIRVAITCFNAPERRRETNKIWLVDLGGSERVLKTKAWGKRLNEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGNDSKTIMLVHVSPKEEDLCETICSLNFATRVKGVHLGNDETIEAKEKKEVAMANLQQKMKHIEDEWLLARSDIEILNKKLENLTGTSTSSEEQMEAYHSSIEEPLTKSRIADITASPLSKLPRFMRPTICSRRKSGTRYQTSEGRDGTVLARRRRPTFHRAESVSFPVKHHSENNSDHSFSRSSCLAGLNMKDSTDDATEYSQDTTETGFKFNGLQEQERAPRNLISQKPGISHIQKNSSRQMNKINRVKFSKIDSWLHLQKSESTLSGCTQRKKRVLAVPIPEKKHKSTVESKADNFFDENVHDYAYAKQVVNHDEINDLATTGAVGKPISEAVMENPLKMLKDLFNEDSRSDVTSPLQTTGGETMVQKLQLSVGDILAGDNEYDTFSPQDGCFPSLEECEDGNRVNDMSTTKAPEGKILLLKISGRSECCPSELYTTSVCSKRDSGVSFAVLELESCCQQAPTESNMEDSERQGFYSFQSLAKETRHGLLQLNSALFMNSENHENLVVTFGKPEGKLQNTGICHVVKQKIEILCSSALLGLGLYDLGLDHDFFYGLML >Potri.003G223800.8.v4.1 pep chromosome:Pop_tri_v4:3:21656405:21662696:1 gene:Potri.003G223800.v4.1 transcript:Potri.003G223800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223800.v4.1 MDSRKSVRNLAESLHSLLGIKANLTSNWIKSVCDIIKTLPSEKSVDMQPTNSDINDDDNEDDDDCSAISKIKDELTVLTNHINQLNIKRRQILNEFLDLKGNIRVFCRIRPITSGENCGHLRPVVASDSNKVVLKLMNSKSKSYNFDKVLHPGSSQDEVFTEVEPIIKSVLDGYNACIFAYGQTGTGKTFTMEGDEDTPGIVPRAMEALFKQAVDSNRAFLISFSMLEIYMGNLKDLLVPKPTKATYPMPPCLSIQTDPTGGVEIDNLVAIKVNDFNQALRLYRLGCRFRSTASTNSNLTSSRSHCMIRVAITCFNAPERRRETNKIWLVDLGGSERVLKTKAWGKRLNEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGNDSKTIMLVHVSPKEEDLCETICSLNFATRVKGVHLGNDETIEAKEKKEVAMANLQQKMKHIEDEWLLARSDIEILNKKLENLTGTSTSSEEQMEAYHSSIEEPLTKSRIADITASPLSKLPRFMRPTICSRRKSGTRYQTSEGRDGTVLARRRRPTFHRAESVSFPVKHHSENNSDHSFSRSSCLAGLNMKDSTDDATEYSQDTTETGFKFNGLQEQERAPRNLISQKPGISHIQKNSSRQMNKINRVKFSKIDSWLHLQKSESTLSGCTQRKKRVLAVPIPEKKHKSTVESKADNFFDENVHDYAYAKQVVNHDEINDLATTGAVGKPISEAVMENPLKMLKDLFNEDSRSDVTSPLQTTGGETMVQKLQLSVGDILAGDNEYDTFSPQDGCFPSLEECEDGNRVNDMSTTKAPEGKILLLKISGRSECCPSELYTTSVCSKRDSGVSFAVLELESCCQQAPTESNMEDSERQGFYSFQSLAKETRHGLLQLNSALFMNSENHENLVVTFGKPEGKLQNTGICHVVKQKIEILCSSALLGLGLYDLGLDHDFFYGLML >Potri.003G223800.5.v4.1 pep chromosome:Pop_tri_v4:3:21656532:21662681:1 gene:Potri.003G223800.v4.1 transcript:Potri.003G223800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223800.v4.1 MDSRKSVRNLAESLHSLLGIKANLTSNWIKSVCDIIKTLPSEKSVDMQPTNSDINDDDNEDDDDCSAISKIKDELTVLTNHINQLNIKRRQILNEFLDLKGNIRVFCRIRPITSGENCGHLRPVVASDSNKVVLKLMNSKSKSYNFDKVLHPGSSQDEVFTEVEPIIKSVLDGYNACIFAYGQTGTGKTFTMEGDEDTPGIVPRAMEALFKQAVDSNRAFLISFSMLEIYMGNLKDLLVPKPTKATYPMPPCLSIQTDPTGGVEIDNLVAIKVNDFNQALRLYRLGCRFRSTASTNSNLTSSRSHCMIRVAITCFNAPERRRETNKIWLVDLGGSERVLKTKAWGKRLNEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGNDSKTIMLVHVSPKEEDLCETICSLNFATRVKGVHLGNDETIEAKEKKEVAMANLQQKMKHIEDEWLLARSDIEILNKKLENLTGTSTSSEEQMEAYHSSIEEPLTKSRIADITASPLSKLPRFMRPTICSRRKSGTRYQTSEGRDGTVLARRRRPTFHRAESVSFPVKHHSENNSDHSFSRSSCLAGLNMKDSTDDATEYSQDTTETGFKFNGLQEQERAPRNLISQKPGISHIQKNSSRQMNKINRVKFSKIDSWLHLQKSESTLSGCTQRKKRVLAVPIPEKKHKSTVESKADNFFDENVHDYAYAKQVVNHDEINDLATTGAVGKPISEAVMENPLKMLKDLFNEDSRSDVTSPLQTTGGETMVQKLQLSVGDILAGDNEYDTFSPQDGCFPSLEECEDGNRVNDMSTTKAPEGKILLLKISGRSECCPSELYTTSVCSKRDSGVSFAVLELESCCQQAPTESNMEDSERQGFYSFQSLAKETRHGLLQLNSALFMNSENHENLVVTFGKPEGKLQNTGICHVVKQKIEILCSSALLGLGLYDLGLDHDFFYGLML >Potri.003G223800.7.v4.1 pep chromosome:Pop_tri_v4:3:21656642:21662725:1 gene:Potri.003G223800.v4.1 transcript:Potri.003G223800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223800.v4.1 MDSRKSVRNLAESLHSLLGIKANLTSNWIKSVCDIIKTLPSEKSVDMQPTNSDINDDDNEDDDDCSAISKIKDELTVLTNHINQLNIKRRQILNEFLDLKGNIRVFCRIRPITSGENCGHLRPVVASDSNKVVLKLMNSKSKSYNFDKVLHPGSSQDEVFTEVEPIIKSVLDGYNACIFAYGQTGTGKTFTMEGDEDTPGIVPRAMEALFKQAVDSNRAFLISFSMLEIYMGNLKDLLVPKPTKATYPMPPCLSIQTDPTGGVEIDNLVAIKVNDFNQALRLYRLGCRFRSTASTNSNLTSSRSHCMIRVAITCFNAPERRRETNKIWLVDLGGSERVLKTKAWGKRLNEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGNDSKTIMLVHVSPKEEDLCETICSLNFATRVKGVHLGNDETIEAKEKKEVAMANLQQKMKHIEDEWLLARSDIEILNKKLENLTGTSTSSEEQMEAYHSSIEEPLTKSRIADITASPLSKLPRFMRPTICSRRKSGTRYQTSEGRDGTVLARRRRPTFHRAESVSFPVKHHSENNSDHSFSRSSCLAGLNMKDSTDDATEYSQDTTETGFKFNGLQEQERAPRNLISQKPGISHIQKNSSRQMNKINRVKFSKIDSWLHLQKSESTLSGCTQRKKRVLAVPIPEKKHKSTVESKADNFFDENVHDYAYAKQVVNHDEINDLATTGAVGKPISEAVMENPLKMLKDLFNEDSRSDVTSPLQTTGGETMVQKLQLSVGDILAGDNEYDTFSPQDGCFPSLEECEDGNRVNDMSTTKAPEGKILLLKISGRSECCPSELYTTSVCSKRDSGVSFAVLELESCCQQAPTESNMEDSERQGFYSFQSLAKETRHGLLQLNSALFMNSENHENLVVTFGKPEGKLQNTGICHVVKQKIEILCSSALLGLGLYDLGLDHDFFYGLML >Potri.005G154000.1.v4.1 pep chromosome:Pop_tri_v4:5:13881744:13894115:1 gene:Potri.005G154000.v4.1 transcript:Potri.005G154000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G154000.v4.1 MIRVSSIQFSHELRVHNSHGCSNQHNSAIRKSRVQLINVNLSSQFLRQDPWSLHLQNCINRSLSPVPSRCIVFLCRSVLTPGEGNGIPVLKSAAMALTRSYDALCGTTLVLKLIPAVCIIAFAAWGLDPLMCLGRAVFLHKSDSSWKKSRTYLVMTSYLQPLLLWTGAMLICRALDVVVLPSEASQAVKLRLLNFVRSLSTVMAFAYCLSSLIQQAQKFFTETNDSSDARNMGFSFAGKAVYSAVWIAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSVMIHATRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFNVSVVRNLSQKTHWRIKTHFAISHLDVIKINNIVADMRKVLAKNPQIEQQRLHRRVFLDNINPENQALMILVSCFVKTSHFEEYLCVKEAVLLDLLRVISHHRARLATPIRTVQKIYGEADLENVPFSDSIFTRSGATASRPLLLIEPFYKINGEDKVKASHRPLHANEEKDAKVEAALVSEFKADTKAGSTPIVDSKREKVITKSTSNSSTNSRVSAVLASDPQLISSMPDNSVQNNSGAQQSNGSMGDGWKETMGQNSEGTTSKGATPERSSVAADPESGIERTESPVISQVKQDIERSVASPSVTRPSLEENLVLGVALEGSKRTLPIEEEMDPSPFPIESKELAACRNGGAPPGKDKKDSQDNS >Potri.016G014300.1.v4.1 pep chromosome:Pop_tri_v4:16:755436:757084:-1 gene:Potri.016G014300.v4.1 transcript:Potri.016G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014300.v4.1 MKKTQLVFVPAPGFGHLVPAVQLAKTVLERNDSFLITMLAINNPFDGGISKKIKSLASIYTEIRFIEIPETIPAPPPDALAVSPASAFTSYINDHKTLVKDTIVNLVMAPNPAPIASVVVDMFCTAFIDVAKELGVPSHVFYTCDAAFLALTLYLSDREDKGEPKFSPTDPDYIIPCYSNPVPYRVMPLLHTDVAYEAIANHGRKFKDSNGIIVNTFSEAESHAVSALLARDDIPPIFNVGPLIDHTGKSLSGSDAVKRDEILKWLDDQPEKSVVFLCFGSRGCFDEAQLKEIAIGLERSGQRFLWSIRLKPSKGKLQASFFDNYGEILPQEFLERTKNIGMLCGWAPQVEILAHKAVGAFVSHCGWNSTLETLWYAVPIITWPLHAEQHMNAFQLVKDLGLAVELTLDFRRDCPTDFVKAEVITKAVKTVMEHGGELRNKAKETSEMAKKAVMEGGSSYVAFGNLIDQWLGCKP >Potri.001G023500.2.v4.1 pep chromosome:Pop_tri_v4:1:1812013:1813656:1 gene:Potri.001G023500.v4.1 transcript:Potri.001G023500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023500.v4.1 MPLSPGTGSPYPWLRELKSEDRGLCLIHLLLACANHVAAGSIENANIGLEQISHLASPDGDTMQRIAAYFTAGLADRILKGWPGLHKALNPKQASLISEEILVQRLFFELFPFLKLSYVITNQAIIEAMEGEKMVHIIDLNSFEPAQWINLLQTLSARPEGPPHLRITGIHEQKEVLEQMALRLTEEAEKLDIPFQFNPIVSKLENLDLENLRVKTGEALAVSSVLQLHTLLAMDDEMHRRNSPSGYKNPNSNHFQRVQINQNRRTLGDWLERDVVNAYSSSPDSALSPLSLAASPKMGSFLNALRSLSPKLMVITEQESNHNGFNLMERVTEALNFYAALFDCLESTVSRVSLERHKVEKMLFGEEIKNIIACEGTDRKERHEKLEKWILRLELAGFGIIPLSYHGRLQANRFLQSYGYDGYKIKEENGCLVICWQDRPLFSVSAWRFRRYD >Potri.001G023500.1.v4.1 pep chromosome:Pop_tri_v4:1:1810418:1813617:1 gene:Potri.001G023500.v4.1 transcript:Potri.001G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023500.v4.1 MAGMAQEEGSSSVTSSPLQFFPWMPLSPGTGSPYPWLRELKSEDRGLCLIHLLLACANHVAAGSIENANIGLEQISHLASPDGDTMQRIAAYFTAGLADRILKGWPGLHKALNPKQASLISEEILVQRLFFELFPFLKLSYVITNQAIIEAMEGEKMVHIIDLNSFEPAQWINLLQTLSARPEGPPHLRITGIHEQKEVLEQMALRLTEEAEKLDIPFQFNPIVSKLENLDLENLRVKTGEALAVSSVLQLHTLLAMDDEMHRRNSPSGYKNPNSNHFQRVQINQNRRTLGDWLERDVVNAYSSSPDSALSPLSLAASPKMGSFLNALRSLSPKLMVITEQESNHNGFNLMERVTEALNFYAALFDCLESTVSRVSLERHKVEKMLFGEEIKNIIACEGTDRKERHEKLEKWILRLELAGFGIIPLSYHGRLQANRFLQSYGYDGYKIKEENGCLVICWQDRPLFSVSAWRFRRYD >Potri.009G106000.1.v4.1 pep chromosome:Pop_tri_v4:9:9244842:9245943:1 gene:Potri.009G106000.v4.1 transcript:Potri.009G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106000.v4.1 MARLTHAQVLILLLSASMWAISMASRQYGFNNTDWSYKRRPCRQNSTAAPNKIVVGGSQNWTFGINYADWALKNGPFYFNDTLVFKYDPPSDTNTHPHSVYLLPNLWSFLKCDLSRAKLVASETQGGGDGFEFVLKSWQPHYFACGGGAGFHCNNGTMKFFVMPMFRRRY >Potri.011G125500.2.v4.1 pep chromosome:Pop_tri_v4:11:15760384:15764344:1 gene:Potri.011G125500.v4.1 transcript:Potri.011G125500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125500.v4.1 MNPQQQQQNPVDPDHPQLPTIKIHHPSSPRHSHHHSHLHAATPTAGARRKIGVAVDLSDESAYAVSWAVDHYIRPGDAVILLHVSPTSVLFGADWGPLPLSTPTQSQLDLLNNTSKFNNEIDSKNESSEKPQQQNEDDEDAFTASKAADLARPLKEAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAEKRGSDERLGSVSDYCVHHCVCPVVVVRYPEDKDGGVADLEAVVNVPEDVEAAEGKPKDA >Potri.011G125500.1.v4.1 pep chromosome:Pop_tri_v4:11:15760414:15764425:1 gene:Potri.011G125500.v4.1 transcript:Potri.011G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125500.v4.1 MNPQQQQQNPVDPDHPQLPTIKIHHPSSPRHSHHHSHLHAATPTAGARRKIGVAVDLSDESAYAVSWAVDHYIRPGDAVILLHVSPTSVLFGADWGPLPLSTPTQSQLDLLNNTSKFNNEIDSKNESSEKPQQQNEDDEDAFTASKAADLARPLKEAQIPYKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGAEKRGSDERLGSVSDYCVHHCVCPVVVVRYPEDKDGGVADLEAVVNVPEDVEAAEGKPKEKINSSKVLMAIDKAYQ >Potri.008G057166.1.v4.1 pep chromosome:Pop_tri_v4:8:3362644:3363140:-1 gene:Potri.008G057166.v4.1 transcript:Potri.008G057166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057166.v4.1 MEADAIFGNLVGDGLCGNCATMVKQEHTNLKNRVPISIFIFFTSEIQEFGLNRNNIFLIFKSHSLKNKFQT >Potri.015G100102.1.v4.1 pep chromosome:Pop_tri_v4:15:11992381:11993029:1 gene:Potri.015G100102.v4.1 transcript:Potri.015G100102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100102.v4.1 MEVSSIAFEYAGRGACIALVARRKERLIQVAAMAELIGSPEAIFIPGDVTKDEDCERFIDATVKHFGHLDHLVANAGVATVGVFEDAHDVTPFAPAIQRHKLLGLSVLYLLCNSALKKEKLKDRSSCFSCRISTYPKTKLL >Potri.014G090500.1.v4.1 pep chromosome:Pop_tri_v4:14:5876191:5879986:-1 gene:Potri.014G090500.v4.1 transcript:Potri.014G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090500.v4.1 MGLLTYLTKSSSTLKPTTLLRHLRFMSNVPENTVYGGPKPQNPHQRVTLTHLKQKHKKGDPITVVTAYDYPSAVHLDTAGIDVCLVGDSASMVVHGHDTTLPISLDEMLVHCRAVARGAKRPLLVGDLPFGTYESSSNQAVDTAVRILKEGGMDAIKLEGGSPSRITAAKAIVEAGIAVMGHVGLTPQAISVLGGFRPQGKNIASAVKVVETALALQEAGCFSVVLECVPAPVAAAATSALKIPTIGIGAGPFCSGQVLVYHDLLGMMQHPHHAKVTPKFCKQYAHVGDVINKALVEYKEEVANGSFPGPAHSPYKISETEINGFMSELQKLGLDKAAASTAAAAEKIKMSVSSNGPAND >Potri.001G352900.2.v4.1 pep chromosome:Pop_tri_v4:1:36706162:36708685:1 gene:Potri.001G352900.v4.1 transcript:Potri.001G352900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352900.v4.1 MELCPCVKNILLLDFEGKRVASKYFCDDWPTNGAKEAFEKAVFNKTQKTNARSEVEVTMLENNIVVYKFVQDLHFFVTGGEEENEVILATVLQGFFDAVGLLLRGNVEKREALEYLDLILLCIDEIVDGGIILETDANVIVGKVASHSTVAEGLSEQTFSQALATAREHLARSLLK >Potri.013G157250.1.v4.1 pep chromosome:Pop_tri_v4:13:15215564:15222600:-1 gene:Potri.013G157250.v4.1 transcript:Potri.013G157250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157250.v4.1 MPSPSPSSLRFCLQFLLLQRCNPFFHRHCELWKAITTPPPSAPAAFTPVRPTMQLLLRQHNNLFTGASQQPSRLAPATTVGENETWAATSRLPLRLQPLPRTSPLAVTKFISSLLNFNLQMSSQKGYQLTVLNVLFPNSSPIRCLDCEGMLGNYRNYD >Potri.010G167500.2.v4.1 pep chromosome:Pop_tri_v4:10:17055345:17058112:1 gene:Potri.010G167500.v4.1 transcript:Potri.010G167500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167500.v4.1 MARKSVLYATPYNNSRNVLACYSSSAPSSSSSSPCSSMGMVYTDIGSLSLSPNFGMTTPASSSHEMENGRLTWGFPFMANYHASSDAVAEVKVSDCSDGFGENNETINHNANSSHEENPNENMISGRETDSGQSKLCARGHWRPAEDTKLKELVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFTEEEEERLMQTHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKFREQSSAYRRRRLSQSVNRRMEEIPSFVGRDAGMKAEQPPCCPNIPSAGGLNNLSSYRIVNFNGAGCGGVDNGLNGSPHMTIEGEAVSSIKVPQSGFCAQQTPFDFFFDPKSKDMLGMFSQTRSWDRPNEEPHISGFYPQHYPPYLMATQQSNYQNPYCFSDFTASTLPQEVSVSQPSPSSPSVADQSRVSGHFETVAPPFIDFLGVGAT >Potri.017G111800.21.v4.1 pep chromosome:Pop_tri_v4:17:11946169:11950538:-1 gene:Potri.017G111800.v4.1 transcript:Potri.017G111800.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111800.v4.1 MDPRRAPRTVSDPKVRKVGFFTDPPDRSLWGPPDHPISSFPTSPPSIVDSSPPSAGNSLSPVMIPPPRHSSATLSPLRRDSAEFFPPPVSPTASSLSDDVASAMMRRGVAAASSSLPGGLGFDIAAVKSAASSVPASGLTTVSVVNNLPIEKGGGVAVEMQNDQSSRSKSLKEKTTKAERRALQEAQRAAKAAAKAEGGKTPAAASGAAASAKSAKSVKPPSQKNDSATVAVSEKKGGDRPPEKDRKKEVPQPRMQYDDKSRVEKAKRRAVVKQTEARNRVELFRHLPQYERRTQLTELESKFFELDPMHPAVYKVGLQYLSGDVCGGNARCIAMLQAFQEAIKDYSTPSEKTLARDLTAKIGSYLSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSEAEVKATLHSDIDRFINEKIILADKVIVKHAVTKISDGDVLLTYGSPSAVEMVLLHAHELGKQFRVVVVDSRPKLEGQLLLHRLVGKGIDCTYTHVNAISYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLVCCEAYKFHERVQLDSLCSNELGDPDVISKVRGREDINLLDGSTDSENLQLLNLIYDATPSDYISMIITDYGMVPPTSVPVIVRDYQREHLWI >Potri.017G111800.20.v4.1 pep chromosome:Pop_tri_v4:17:11946171:11950732:-1 gene:Potri.017G111800.v4.1 transcript:Potri.017G111800.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111800.v4.1 MDPRRAPRTVSDPKVRKVGFFTDPPDRSLWGPPDHPISSFPTSPPSIVDSSPPSAGNSLSPVMIPPPRHSSATLSPLRRDSAEFFPPPVSPTASSLSDDVASAMMRRGVAAASSSLPGGLGFDIAAVKSAASSVPASGLTTVSVVNNLPIGISEKGGGVAVEMQNDQSSRSKSLKEKTTKAERRALQEAQRAAKAAAKAEGGKTPAAASGAAASAKSAKSVKPPSQKNDSATVAVSEKKGGDRPPEKDRKKEVPQPRMQYDDKSRVEKAKRRAVVKQTEARNRVELFRHLPQYERRTQLTELESKFFELDPMHPAVYKVGLQYLSGDVCGGNARCIAMLQAFQEAIKDYSTPSEKTLARDLTAKIGSYLSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSEAEVKATLHSDIDRFINEKIILADKVIVKHAVTKISDGDVLLTYGSPSAVEMVLLHAHELGKQFRVVVVDSRPKLEGQLLLHRLVGKGIDCTYTHVNAISYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLVCCEAYKFHERVQLDSLCSNELGDPDVISKVRGREDINLLDGSTDSENLQLLNLIYDATPSDYISMIITDYGMVPPTSVPVIVRDYQREHLWI >Potri.017G111800.22.v4.1 pep chromosome:Pop_tri_v4:17:11946170:11950494:-1 gene:Potri.017G111800.v4.1 transcript:Potri.017G111800.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111800.v4.1 MDPRRAPRTVSDPKVRKVGFFTDPPDRSLWGPPDHPISSFPTSPPSIVDSSPPSAGNSLSPVMIPPPRHSSATLSPLRRDSAEFFPPPVSPTASSLSDDVASAMMRRGVAAASSSLPGGLGFDIAAVKSAASSVPASGLTTVSVVNNLPIEKGGGVAVEMQNDQSSRSKSLKEKTTKAERRALQEAQRAAKAAAKAEGGKTPAAASGAAASAKSAKSVKPPSQKNDSATVAVSEKKGGDRPPEKDRKKEVPQPRMQYDDKSRVEKAKRRAVVKQTEARNRVELFRHLPQYERRTQLTELESKFFELDPMHPAVYKVGLQYLSGDVCGGNARCIAMLQAFQEAIKDYSTPSEKTLARDLTAKIGSYLSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSEAEVKATLHSDIDRFINEKIILADKVIVKHAVTKISDGDVLLTYGSPSAVEMVLLHAHELGKQFRVVVVDSRPKLEGQLLLHRLVGKGIDCTYTHVNAISYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLVCCEAYKFHERVQLDSLCSNELGDPDVISKVRGREDINLLDGSTDSENLQLLNLIYDATPSDYISMIITDYGMVPPTSVPVIVRDYQREHLWI >Potri.017G111800.1.v4.1 pep chromosome:Pop_tri_v4:17:11946171:11950497:-1 gene:Potri.017G111800.v4.1 transcript:Potri.017G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111800.v4.1 MDPRRAPRTVSDPKVRKVGFFTDPPDRSLWGPPDHPISSFPTSPPSIVDSSPPSAGNSLSPVMIPPPRHSSATLSPLRRDSAEFFPPPVSPTASSLSDDVASAMMRRGVAAASSSLPGGLGFDIAAVKSAASSVPASGLTTVSVVNNLPIGISEKGGGVAVEMQNDQSSRSKSLKEKTTKAERRALQEAQRAAKAAAKAEGGKTPAAASGAAASAKSAKSVKPPSQKNDSATVAVSEKKGGDRPPEKDRKKEVPQPRMQYDDKSRVEKAKRRAVVKQTEARNRVELFRHLPQYERRTQLTELESKFFELDPMHPAVYKVGLQYLSGDVCGGNARCIAMLQAFQEAIKDYSTPSEKTLARDLTAKIGSYLSFLIECRPLSISMGNAIRFLKNRIAKLPLTLSEAEVKATLHSDIDRFINEKIILADKVIVKHAVTKISDGDVLLTYGSPSAVEMVLLHAHELGKQFRVVVVDSRPKLEGQLLLHRLVGKGIDCTYTHVNAISYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHAFRVPVLVCCEAYKFHERVQLDSLCSNELGDPDVISKVRGREDINLLDGSTDSENLQLLNLIYDATPSDYISMIITDYGMVPPTSVPVIVRDYQREHLWI >Potri.004G209700.7.v4.1 pep chromosome:Pop_tri_v4:4:21614629:21631791:-1 gene:Potri.004G209700.v4.1 transcript:Potri.004G209700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209700.v4.1 MDKPKVNSSTDDQAQRSIEVFDPHNDNNATGTRSTDVQVVEEGSGSSPATNATSGSGREALNKWMTFERKSKNTSDRDDSITDQSNGTGTSATVKAGKDEDQGTSSDHNNSSSIGQSSPSSSNKILTGASIAERTAEWGIFVRSDVGERSFKAIATRSEQEENGGNRSKKNSFMVESTRTSEESEAGGTVPRVSQELKNALATLQQTFVVSDATKPDYPIMFASSGFFTMTGYSSKEVIGRNCRFLQGAGTDQNEVAKIRDAVKNGTSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGVNDKALRPNGLPKSLIRYDARQKAKALDSMTEVVQTVKHPKSHSRTVSHETSGNLDYVLPKSIDLDNVTAPGRLTPVNVSQSPTTFPDAAKNSRKSSRISLMGFKSKSTHSAEKHEEPPTIEPEVLMTKDIERSDSWDRAEWERDTRQGFDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKVRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSDATELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKNSRSWTAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELEGAGELYAMKAMEKSIMLNRNKVHRACIEREIISQLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFGLLDKQPMKLFNEESARFYAAEVVIGLEYLHCLGIVYRDLKPENILLQKDGHIVLSDFDLSFLTSCKPQIIKHAPPNKRRRSRSQAPPTFVAEPITQSNSFVGTEEYIAPEIITGMGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLSGRQLINALLNRDPSIRLGSKAGANEIKQHPFFRGINWPLIRCMNPPRLDAPLQLIGKDPKAKDVTWEDDGVLVQSMELDIF >Potri.004G209700.21.v4.1 pep chromosome:Pop_tri_v4:4:21614629:21631542:-1 gene:Potri.004G209700.v4.1 transcript:Potri.004G209700.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209700.v4.1 MDKPKVNSSTDDQAQRSIEVFDPHNDNNATGTRSTDVQVVEEGSGSSPATNATSGSGREALNKWMTFERKSKNTSDRDDSITDQSNGTGTSATVKAGKDEDQGTSSDHNNSSSIGQSSPSSSNKILTGASIAERTAEWGIFVRSDVGERSFKAIATRSEQEENGGNRSKKNSFMVESTRTSEESEAGGTVPRVSQELKNALATLQQTFVVSDATKPDYPIMFASSGFFTMTGYSSKEVIGRNCRFLQGAGTDQNEVAKIRDAVKNGTSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGVNDKALRPNGLPKSLIRYDARQKAKALDSMTEVVQTVKHPKSHSRTVSHETSGNLDYVLPKSIDLDNVTAPGRLTPVNVSQSPTTFPDAAKNSRKSSRISLMGFKSKSTHSAEKHEEPPTIEPEVLMTKDIERSDSWDRAEWERDTRQGFDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKVRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSDATELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKNSRSWTAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELEGAGELYAMKAMEKSIMLNRNKVHRACIEREIISQLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFGLLDKQPMKLFNEESARFYAAEVVIGLEYLHCLGIVYRDLKPENILLQKDGHIVLSDFDLSFLTSCKPQIIKHAPPNKRRRSRSQAPPTFVAEPITQSNSFVGTEEYIAPEIITGMGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLSGRQLINALLNRDPSIRLGSKAGANEIKQHPFFRGINWPLIRCMNPPRLDAPLQLIGKDPKAKDVTWEDDGVLVQSMELDIF >Potri.004G209700.22.v4.1 pep chromosome:Pop_tri_v4:4:21614627:21631492:-1 gene:Potri.004G209700.v4.1 transcript:Potri.004G209700.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209700.v4.1 MDKPKVNSSTDDQAQRSIEVFDPHNDNNATGTRSTDVQVVEEGSGSSPATNATSGSGREALNKWMTFERKSKNTSDRDDSITDQSNGTGTSATVKAGKDEDQGTSSDHNNSSSIGQSSPSSSNKILTGASIAERTAEWGIFVRSDVGERSFKAIATRSEQEENGGNRSKKNSFMVESTRTSEESEAGGTVPRVSQELKNALATLQQTFVVSDATKPDYPIMFASSGFFTMTGYSSKEVIGRNCRFLQGAGTDQNEVAKIRDAVKNGTSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGVNDKALRPNGLPKSLIRYDARQKAKALDSMTEVVQTVKHPKSHSRTVSHETSGNLDYVLPKSIDLDNVTAPGRLTPVNVSQSPTTFPDAAKNSRKSSRISLMGFKSKSTHSAEKHEEPPTIEPEVLMTKDIERSDSWDRAEWERDTRQGFDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKVRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSDATELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKNSRSWTAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELEGAGELYAMKAMEKSIMLNRNKVHRACIEREIISQLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFGLLDKQPMKLFNEESARFYAAEVVIGLEYLHCLGIVYRDLKPENILLQKDGHIVLSDFDLSFLTSCKPQIIKHAPPNKRRRSRSQAPPTFVAEPITQSNSFVGTEEYIAPEIITGMGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLSGRQLINALLNRDPSIRLGSKAGANEIKQHPFFRGINWPLIRCMNPPRLDAPLQLIGKDPKAKDVTWEDDGVLVQSMELDIF >Potri.004G209700.23.v4.1 pep chromosome:Pop_tri_v4:4:21615435:21631474:-1 gene:Potri.004G209700.v4.1 transcript:Potri.004G209700.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209700.v4.1 MDKPKVNSSTDDQAQRSIEVFDPHNDNNATGTRSTDVQVVEEGSGSSPATNATSGSGREALNKWMTFERKSKNTSDRDDSITDQSNGTGTSATVKAGKDEDQGTSSDHNNSSSIGQSSPSSSNKILTGASIAERTAEWGIFVRSDVGERSFKAIATRSEQEENGGNRSKKNSFMVESTRTSEESEAGGTVPRVSQELKNALATLQQTFVVSDATKPDYPIMFASSGFFTMTGYSSKEVIGRNCRFLQGAGTDQNEVAKIRDAVKNGTSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGVNDKALRPNGLPKSLIRYDARQKAKALDSMTEVVQTVKHPKSHSRTVSHETSGNLDYVLPKSIDLDNVTAPGRLTPVNVSQSPTTFPDAAKNSRKSSRISLMGFKSKSTHSAEKHEEPPTIEPEVLMTKDIERSDSWDRAEWERDTRQGFDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKVRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSDATELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKNSRSWTAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELEGAGELYAMKAMEKSIMLNRNKVHRACIEREIISQLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFGLLDKQPMKLFNEESARFYAAEVVIGLEYLHCLGIVYRDLKPENILLQKDGHIVLSDFDLSFLTSCKPQIIKHAPPNKRRRSRSQAPPTFVAEPITQSNSFVGTEEYIAPEIITGMGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLSGRQLINALLNRDPSIRLGSKAGANEIKQHPFFRGINWPLIRCMNPPRLDAPLQLIGKDPKAKDVTWEDDGVLVQSMELDIF >Potri.004G209700.19.v4.1 pep chromosome:Pop_tri_v4:4:21614187:21631747:-1 gene:Potri.004G209700.v4.1 transcript:Potri.004G209700.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209700.v4.1 MDKPKVNSSTDDQAQRSIEVFDPHNDNNATGTRSTDVQVVEEGSGSSPATNATSGSGREALNKWMTFERKSKNTSDRDDSITDQSNGTGTSATVKAGKDEDQGTSSDHNNSSSIGQSSPSSSNKILTGASIAERTAEWGIFVRSDVGERSFKAIATRSEQEENGGNRSKKNSFMVESTRTSEESEAGGTVPRVSQELKNALATLQQTFVVSDATKPDYPIMFASSGFFTMTGYSSKEVIGRNCRFLQGAGTDQNEVAKIRDAVKNGTSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGVNDKALRPNGLPKSLIRYDARQKAKALDSMTEVVQTVKHPKSHSRTVSHETSGNLDYVLPKSIDLDNVTAPGRLTPVNVSQSPTTFPDAAKNSRKSSRISLMGFKSKSTHSAEKHEEPPTIEPEVLMTKDIERSDSWDRAEWERDTRQGFDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKVRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSDATELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKNSRSWTAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELEGAGELYAMKAMEKSIMLNRNKVHRACIEREIISQLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFGLLDKQPMKLFNEESARFYAAEVVIGLEYLHCLGIVYRDLKPENILLQKDGHIVLSDFDLSFLTSCKPQIIKHAPPNKRRRSRSQAPPTFVAEPITQSNSFVGTEEYIAPEIITGMGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLSGRQLINALLNRDPSIRLGSKAGANEIKQHPFFRGINWPLIRCMNPPRLDAPLQLIGKDPKAKDVTWEDDGVLVQSMELDIF >Potri.004G209700.20.v4.1 pep chromosome:Pop_tri_v4:4:21614361:21631590:-1 gene:Potri.004G209700.v4.1 transcript:Potri.004G209700.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209700.v4.1 MDKPKVNSSTDDQAQRSIEVFDPHNDNNATGTRSTDVQVVEEGSGSSPATNATSGSGREALNKWMTFERKSKNTSDRDDSITDQSNGTGTSATVKAGKDEDQGTSSDHNNSSSIGQSSPSSSNKILTGASIAERTAEWGIFVRSDVGERSFKAIATRSEQEENGGNRSKKNSFMVESTRTSEESEAGGTVPRVSQELKNALATLQQTFVVSDATKPDYPIMFASSGFFTMTGYSSKEVIGRNCRFLQGAGTDQNEVAKIRDAVKNGTSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGVNDKALRPNGLPKSLIRYDARQKAKALDSMTEVVQTVKHPKSHSRTVSHETSGNLDYVLPKSIDLDNVTAPGRLTPVNVSQSPTTFPDAAKNSRKSSRISLMGFKSKSTHSAEKHEEPPTIEPEVLMTKDIERSDSWDRAEWERDTRQGFDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKVRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSDATELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKNSRSWTAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELEGAGELYAMKAMEKSIMLNRNKVHRACIEREIISQLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFGLLDKQPMKLFNEESARFYAAEVVIGLEYLHCLGIVYRDLKPENILLQKDGHIVLSDFDLSFLTSCKPQIIKHAPPNKRRRSRSQAPPTFVAEPITQSNSFVGTEEYIAPEIITGMGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLSGRQLINALLNRDPSIRLGSKAGANEIKQHPFFRGINWPLIRCMNPPRLDAPLQLIGKDPKAKDVTWEDDGVLVQSMELDIF >Potri.004G209700.24.v4.1 pep chromosome:Pop_tri_v4:4:21615473:21631161:-1 gene:Potri.004G209700.v4.1 transcript:Potri.004G209700.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209700.v4.1 MDKPKVNSSTDDQAQRSIEVFDPHNDNNATGTRSTDVQVVEEGSGSSPATNATSGSGREALNKWMTFERKSKNTSDRDDSITDQSNGTGTSATVKAGKDEDQGTSSDHNNSSSIGQSSPSSSNKILTGASIAERTAEWGIFVRSDVGERSFKAIATRSEQEENGGNRSKKNSFMVESTRTSEESEAGGTVPRVSQELKNALATLQQTFVVSDATKPDYPIMFASSGFFTMTGYSSKEVIGRNCRFLQGAGTDQNEVAKIRDAVKNGTSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNTIKFIGMQVEVSKYTEGVNDKALRPNGLPKSLIRYDARQKAKALDSMTEVVQTVKHPKSHSRTVSHETSGNLDYVLPKSIDLDNVTAPGRLTPVNVSQSPTTFPDAAKNSRKSSRISLMGFKSKSTHSAEKHEEPPTIEPEVLMTKDIERSDSWDRAEWERDTRQGFDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYTREEILGRNCRFLQGPETDQATVSKVRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLQNRLSDATELQSAKLVKATAENVDEAVRELPDANLRPEDLWAIHSQPVFPRPHKKNSRSWTAIQKITSRGEKIGLHHFKPIKPLGCGDTGSVHLVELEGAGELYAMKAMEKSIMLNRNKVHRACIEREIISQLDHPFLPTLYSSFQTSTHVCLITDFFPGGELFGLLDKQPMKLFNEESARFYAAEVVIGLEYLHCLGIVYRDLKPENILLQKDGHIVLSDFDLSFLTSCKPQIIKHAPPNKRRRSRSQAPPTFVAEPITQSNSFVGTEEYIAPEIITGMGHSSAIDWWALGILLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLSGRQLINALLNRDPSIRLGSKAGANEIKQHPFFRGINWPLIRCMNPPRLDAPLQLIGKDPKAKDVTWEDDGVLVQSMELDIF >Potri.013G005000.1.v4.1 pep chromosome:Pop_tri_v4:13:338572:341272:1 gene:Potri.013G005000.v4.1 transcript:Potri.013G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005000.v4.1 MATMTLSKILLLAFAFFFTYIEGRVHGKTKPKHLHIVSLISLPPAPAPAPAPQAASPSYYTDSPSPGPSPNLVRSLANVYNVLSFGAVGDGDTDDTQAFKMAWDTACFQNEPAILLAPDDYSFMIQPTVFTGPCKTSLVFQIDGTIMPPDGPESWPSKTNKRQWLVFYKIDGMSMQGGGVIDGRGEKWWNLPCKPHKGPNGATLPGPCDSPVAMRFFMSSNLIVQGLEVKNSPQFHFRFDNCQDVTVQMLSIKSPPLSPNTDGIHIENTNNVQIYNSVISNGDDCVSIGAGCHNVDIKNITCGPSHGISIGSLGIGNSRACVSNITVRDSVIKHSDNGVRIKTWQGGYGSVSKISFHNINMETVRNPIIIDQYYCQTKNCTNQTSAVHISDILYTSIKGTYDVRSPPLHLACSDSVPCTNLELAEIELLPAHGQFVADPFCWNAYGAMQNLTIPPISCLLDGIPQYIGQNTIDQYCQNNLY >Potri.013G005000.2.v4.1 pep chromosome:Pop_tri_v4:13:338572:341272:1 gene:Potri.013G005000.v4.1 transcript:Potri.013G005000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005000.v4.1 MATMTLSKILLLAFAFFFTYIEGRVHGKTKPKHLHIVSLISLPPAPAPAPAPQAASPSYYTDSPSPGPSPNLVRSLANVYNVLSFGAVGDGDTDDTQAFKMAWDTACFQNEPAILLAPDDYSFMIQPTVFTGPCKTSLVFQIDGTIMPPDGPESWPSKTNKRQWLVFYKIDGMSMQGGGVIDGRGEKWWNLPCKPHKAMRFFMSSNLIVQGLEVKNSPQFHFRFDNCQDVTVQMLSIKSPPLSPNTDGIHIENTNNVQIYNSVISNGDDCVSIGAGCHNVDIKNITCGPSHGISIGSLGIGNSRACVSNITVRDSVIKHSDNGVRIKTWQGGYGSVSKISFHNINMETVRNPIIIDQYYCQTKNCTNQTSAVHISDILYTSIKGTYDVRSPPLHLACSDSVPCTNLELAEIELLPAHGQFVADPFCWNAYGAMQNLTIPPISCLLDGIPQYIGQNTIDQYCQNNLY >Potri.003G103000.2.v4.1 pep chromosome:Pop_tri_v4:3:12725280:12729247:1 gene:Potri.003G103000.v4.1 transcript:Potri.003G103000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103000.v4.1 MDVSDDEMELEIETPENGKKGFMYLAFRLTSALLFPIFAFLFLSILLGFLAILMGHFSITTPPSLPFQCRILSSSVDLRSSKICELGLLNYKAKHVFYPNNRSKFRCRYDYYWASVFEVEYEDYSLGQTQFALAEAPNEALPLNCRPNFGAAWLAKDKFKVNKTYDCWYTSGISKVSLYRDDLFSCQAKDPSQAEMIKRYFILSKEMLHSSPVWKKGKASYWGWETIAGVITGFSTSIITISFIKILQYIKSWLRLTSVARMFSRANVVFFKRACFLVAYFSFMGWLTIQCGKRFGLPEIYRVDNY >Potri.001G006600.1.v4.1 pep chromosome:Pop_tri_v4:1:463885:468673:1 gene:Potri.001G006600.v4.1 transcript:Potri.001G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006600.v4.1 MERKGIDELEVNDIIKAGLCIDNAKEFHKILKETIGAAKGSDPRELWQKLVAKRVLKPCYPHGLHQLVYYSVYAHWDSTNNGPPLYWFPSLDQSKHTNLGRIMEVHGPRLLGTSYKDPISSFNLFQKFTAQHPEAYWSILLKELPVVFREPPRCILDITDKSKRGGTWLPGSVLNIAECCLQPSRHPRKDDDSLAVIWRDEGCDSKLHCMTLKELRERVMLVANAVDATFSKGDVIAIDMPMTVHAIIIYFAIILAGCVVVSIADSFAAKEIATRLHVSNAKGIFTQDFILRGGRKFPLYSRVVEAAPHKAIVLPVSGEDVCIKLREQDQSWGDFLASVINLPRPNHYSPVYNPIDFPTNILFSSGTTGEPKAIPWTQLSPIRAANDGWAYVDLQVGDVFCYPTNLGWVAGPIVLYEAFLSGATFALYHGSPLGGGFGKFVQDAGVTILGTIPTMVKAWKSTNCMKGLNWTKIRSFVTAGEASDVDNVLWLSSRAYYKPIIEVCGGTELASSYLQGTLLQPQAFGALSTASMATGVVILNDNGVPYPDDQPCVGEVGLFPLIMGASDRLLNADHDKIYFKGMPMYKGMQLRRHGDIIKRTAGGYFIVQGRADDTMNLGGIKTSSVEIEHACNNVDQSIVESIAISAAPEDGGPELLVMFAVLKEGYSSKPEDLQKKFTRAIQTNLNPLFKVNHVRIVPEIPRTSSNKLLRRVLRDQMKKELSVRSKI >Potri.003G074600.1.v4.1 pep chromosome:Pop_tri_v4:3:10220161:10223698:1 gene:Potri.003G074600.v4.1 transcript:Potri.003G074600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074600.v4.1 MIMIIMGLASLFIVHVSGENSLSFDHQKLSTDGHYHDQMQNMKAFKHSLLTRRQLVTPTISSSPAPAPQAMNQPPASRPHVYEVTSYGADPTGKLDSTEALLKAITDAFNGPSEGFLMKGIANLGGAYINLQGGNYRISKPLRLPAAGVGNLMISGGTLTASDDFPTDGYLIDLSASSSSSSSSYNYEYITIKDLMLDCRYRGGGISVINSLRTSIDNCYIAHFNTEGILVQDGHETYIRNSFLGQHITAGGDPGERNFSGTAINLMGNDNAVTDVVIFSAAIGVMISGQANTLSGVHCYNKATGFGGTGIYLKLPSLTQTRIVNCYLDYSGIVAEDPVQLTISSSFFLGDAYILFKSVKGLAKGINIVDNMFSGSNKGIEIVQLDQSKGPFKQIDQVVVDRNNVNGMNLKATVAKGSVQGNGTSWSIDFSPVLLFPNLIDHVQYSVSSSGTLFPSHALRNVSENRVVIESDVAVPASVFVTVNQGVSS >Potri.005G174300.1.v4.1 pep chromosome:Pop_tri_v4:5:17983340:17984313:-1 gene:Potri.005G174300.v4.1 transcript:Potri.005G174300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174300.v4.1 MAETKRSTGTVKWFSAQKGFGFIAPDDGGEDLFVHQTSIQSDGFRTLSDGQPVEFSVDSGEDGRTKAVDVVGVSKSRRPPRGGRGGGRGYYGGGGRGGGGFGRGGRSSGGGYGGGGYGAGGGGGGGACFNCGRYGHLARDCYQGSGGGGIYGGGGGRGYSGGGGGGGGGGGRGGGCYNCGEEGHFARDCPNTDNK >Potri.008G102100.2.v4.1 pep chromosome:Pop_tri_v4:8:6459401:6461324:-1 gene:Potri.008G102100.v4.1 transcript:Potri.008G102100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102100.v4.1 MLLWLITTAPSSGNLMFNHAHHLIENMANENCPPNTITSNTFIKGLCCSGKVEWAMKVLDQMGKYGCSPNVTKYNELLDGLFNANRIQEALRIVGEIEEMEIELNLVTYNTILSGFCHAGMLKDASQLVGKMLVGGTKPDAITYNTVIYAYCEQGEVKTAVQLVDRLTEERGIQTYLHALVFWGVLQLDWST >Potri.007G018700.2.v4.1 pep chromosome:Pop_tri_v4:7:1450013:1450774:1 gene:Potri.007G018700.v4.1 transcript:Potri.007G018700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018700.v4.1 MTSSSATTRKALSKIACNRLQKELVEWQVNPPTGFKHKVTDNLQRWVIEVIGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLGNHMYVLYWL >Potri.007G018700.1.v4.1 pep chromosome:Pop_tri_v4:7:1449840:1452716:1 gene:Potri.007G018700.v4.1 transcript:Potri.007G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018700.v4.1 MTSSSATTRKALSKIACNRLQKELVEWQVNPPTGFKHKVTDNLQRWVIEVIGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSPAKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >Potri.013G119250.1.v4.1 pep chromosome:Pop_tri_v4:13:12751876:12752640:1 gene:Potri.013G119250.v4.1 transcript:Potri.013G119250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119250.v4.1 MRSYRLTSLFIVLAMTSCLLSTTEARKAGRCQNDNDCVNVCEKGCAVCTCVPAFKQCECGNFLPKLIPAEAILGESSRHNNDTGIH >Potri.016G060900.1.v4.1 pep chromosome:Pop_tri_v4:16:4234756:4235778:-1 gene:Potri.016G060900.v4.1 transcript:Potri.016G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060900.v4.1 MAKISTNATSSFVFLFNIIILFSLTLVTVKSDSFSGHLSPKKLGLKREKLSHLHFYFHDIVGGRNPTAVPVVRAAITKKSFSSFGLVTMMDDPLTVKPEIGSKLVGRAQGIYASASQSELSFLMALNFVFTEGKYNGSTLSILGRNNVFSGIREMPIVGGSGLFRFARGYAQANTHEIDFKTGNAIVEYNVYVFHY >Potri.009G098700.1.v4.1 pep chromosome:Pop_tri_v4:9:8807109:8809533:1 gene:Potri.009G098700.v4.1 transcript:Potri.009G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098700.v4.1 MYQMGSISSNNKSIFHISILFLPCFTCLTYCQDFQGLSPLISPPLIPEILNFLDQRLALVYPIIQDFKNTITSDPLDITQTWVGADICNYKGFYCTSPPDNDSAITLASIDFNGFQLSAPTLDGFIDQLPDLALFHANSNKFSGTISPKITKLPFLYELDISNNNFFGSFPMEVLAIPALSFLDIRFNFFTGTVPPQVFTQRLDVLFLNNNNFMQGLPENLGSTPVLYLTLAYNKFIGPIPRSIIKASATLTEVLFSHNLLTGCLPYELGFLRKLVLFDASYNFLTGPLPCSLGCLAKLEQFNLASNLLYGQVPEVVCALGKLVNLSLSSNYFTKLGPKCTKLERSGVLDIRKNCIPDLPGQRSARECAAFSLHRRYCSNPASFNFIPCKVPSLSHPQIGTKRNLVGYSALLRQAVEFPGRN >Potri.006G054600.1.v4.1 pep chromosome:Pop_tri_v4:6:3841590:3848528:-1 gene:Potri.006G054600.v4.1 transcript:Potri.006G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054600.v4.1 METEKTKKKAAALSLQEFISITSPLLDLEKESEISASIGSGALRNLDSAQKKGSTILNLKCVDAQTGLMGKTLLEFQSNKGDVLPAHKFGTHDVVVLKPNKADLGSPALGQGVVYRLKDSSITVAFDDIPDEGLNSPLRLEKVANEVTYRRMKDALIQLSKGVHRGPAADLIPVLFGERQPTMSKKDVTFTPINSHLDHSQKDAISKALSSKNVFLLHGPPGTGKTTTVVEIILQEVKRGSKILACAASNIAVDNIVERLVPHRVKLVRLGHPARLLPQVLDSALDAQVLRGDNSALANDIRKEMKALNGKLLKTKDKSTRRDIQKELRTLSKEERKRQQLAVIDVIKNADVVLTTLTGAFSHKLNTTSFDLVIIDEAAQALEITCWLALLKGSRCILAGDHLQLPPTIQSVEAEKKGLGRTLFERLTDLYGDEVTSMLTVQYRMHELIMNWSSKELYNSKIKAHPSVAAHMLFDLEGVKRSSSTEPTLLLVDIAGCDMEEKKDEEDSTMNEGEAEVAVAHAKRLVQSGVQASDIGIITPYAAQVVLLRILKNNDDKLKDMEISTVDGFQGREKEAIIISMVRSNSKKEVGFLSDHRRMNVAVTRARRQCCLVCDTETVSGDGFLKRLIEHFEEHGEYLSASEYLNE >Potri.012G045500.5.v4.1 pep chromosome:Pop_tri_v4:12:4129599:4133297:-1 gene:Potri.012G045500.v4.1 transcript:Potri.012G045500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045500.v4.1 MMDGGVPNIKKWVVLYPVYINSKKTIAEGRRISAEKACENPTCVEIGDCCGHLKLPFAIEIDKAYPRDFMQVGRVRVLLKREDGSLCNPAIPSRKQLMFHVAELVPRHPGRTKKQEPASTANVSTSKSGKGGRKKR >Potri.010G142001.1.v4.1 pep chromosome:Pop_tri_v4:10:15489587:15489858:1 gene:Potri.010G142001.v4.1 transcript:Potri.010G142001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142001.v4.1 MAKSKNHTAHNQSHKAHQNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKCDETATEEE >Potri.010G142001.2.v4.1 pep chromosome:Pop_tri_v4:10:15489433:15490033:1 gene:Potri.010G142001.v4.1 transcript:Potri.010G142001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142001.v4.1 MAKSKNHTAHNQSHKAHQNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKCDETATEEE >Potri.005G047701.1.v4.1 pep chromosome:Pop_tri_v4:5:3030665:3032317:-1 gene:Potri.005G047701.v4.1 transcript:Potri.005G047701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047701.v4.1 MSINMIKFLLLSPSFFIFYPSVVISQTTPSSDGSHGRWDLLSESVGISAMHMQLMHDNKVVIYDRTDFGPSNISLPGGLCRADPYDDALKIDCTAHSILYDTITDTYRPLMIQTDTWCSSGAVLPNGTLVQTGGFHDGDNVTRMFTPCTNDSCDWVEFPKYLSRRRWYATNQILPDGRIIIIGGRREFNYEFFPRSSPRRTFQLSFLRETREGDVENNLYPFVHLLPDGNLFIFANTRSILFDYNQNRVVREFPSIPGGDPRNYPSTGSSVLLPLDENEYRIDPEVLVCGGAPNGAFQLAARGTFVRATPTCGRLRVTDQNASWVMETMPIPRAMGDMLLLPTGDVIVINGVQLGTAGWELGRLPATRPVIYHPSHPSDQRFSVMPPSPRPRMYHSAAILLADGRVLVGGGNPHVYYNFTNVVYPTDLSLETFSPPYLSTDYASVRPVILSVDGTISRGQRFLVSFSVEEYLTQSVLSVRIVAPSFTAHSFSMNQRMVVLKIDDIIYDDTSSYTSSVFGPSSAEIAPPGYYMLFVVHSGIPSSGVWVRIQ >Potri.003G014750.1.v4.1 pep chromosome:Pop_tri_v4:3:1637416:1638172:-1 gene:Potri.003G014750.v4.1 transcript:Potri.003G014750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014750.v4.1 MEPVHLFTKKLKPTHISHTLSFPTHVLEYFSFTEGAHMMKFEVIDATDNDWTFCLSTRLTGAYPKPVLLRSSWHRFVEQKGLVPEDRVVFFMERDDMVRRYTVRAQRKVMILMGQDVWVDVEDLPLYGL >Potri.004G110951.1.v4.1 pep chromosome:Pop_tri_v4:4:10088295:10088660:-1 gene:Potri.004G110951.v4.1 transcript:Potri.004G110951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110951.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEDCHKKVTKRCAIEISNSIYTDKTASEYCCQKHVTTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.008G062250.1.v4.1 pep chromosome:Pop_tri_v4:8:3747603:3748278:-1 gene:Potri.008G062250.v4.1 transcript:Potri.008G062250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062250.v4.1 MVGSDLQVSCKATNTRSNFRLVSRISKGLETMIRGPVPNPNSVRVQNPPHSAGSATAIFSDRCNPELTLQYLDQPYLRIIKVDANIQKISEDHNQPSCT >Potri.003G210301.1.v4.1 pep chromosome:Pop_tri_v4:3:20824143:20825226:1 gene:Potri.003G210301.v4.1 transcript:Potri.003G210301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210301.v4.1 MFILLKRFKHSLLSPFNPYPAVKEYQATTVFLSSCSETTSAISKQPHVTYISTSAEPTKNTNPFPTISLCIHFPLFKSKSPAREAIIAVNVTEFGSNPYSLVSFPIMIITRNNCIPTFHISLRHFSFPKRIALRI >Potri.014G082800.1.v4.1 pep chromosome:Pop_tri_v4:14:5318436:5320554:1 gene:Potri.014G082800.v4.1 transcript:Potri.014G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082800.v4.1 MIYKAMNPRTDKIVRRTTMVATAVASYFLLTADYGPQPNAVEPIKRAILSAQSSVKEFIFGSGKES >Potri.006G103300.1.v4.1 pep chromosome:Pop_tri_v4:6:7949964:7954319:-1 gene:Potri.006G103300.v4.1 transcript:Potri.006G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103300.v4.1 MALEITQFLLAAQSPDANIRTQAEASLRQFQEQNLPLFLLSLSVELANNVKPLESRRLAGIVLKNSLDAKDSVRKEHLVQQWMTIEISIKSQIKDSLLRTLGSSASEARHTSAQVIAKVASIEIPRKQWPELIGSLLNNMTQQDSPVALKQATLETLGYVCEVISHQDLVQDEVNSVLTAVVQGMNLAEHSHEVRLAATKALYNALDFAQTNFDNEMERNYIMKVVCETAISKEADIRQAAFECLVSIASTYYEVLEPYMQTLFQLTSNAVKGDEESVALQAIEFWSSICDEEIELQEYGTVEGGDSGSAHSRFIEKALPYLVPLLLDTMLKQEDQDQDDSIWNISMAGGTCLGLVARTVGDSIVKLVMPFVEGNILNPDWHCREAATYAFGSILEGPSVETLGPLVTNGLDFLLNAIRDENNNVKDTTAWTLSRIFEFLHCPASGFSVISPEKLERIVTVLLESINDAPHVAEKVCGAIYYLAQGYEDSGTSSSLLTQHIPRIISELLKTAERTDGSDFKLRTSAYETLNEVVRSSNVVETSLIILELLKSILHKLGQTLELQIVSSDDREKQGDLQASLCAVIQVIIQKLSSTDETKPSTLQAADPIMILLLRVFACRSSTVHEEAMLAIGALAHASGPEFEKYMPELYKYLEMGLQNFEEYEVCAITVGVIGDICRALEDKVLPYCDGIMNHLVCNLQSAELNRSVKPPIFSCFGDIALAIGEQFSKYIEPTVAMMRSAAEVCAQMDNSDEELMDYGNQLKRSIFEAYSGILQGFKDSKPELMLPHAGHLFQFIELVFREKYRDESVTKAAVAVMGDLADALGPNTKILFKDKAFCVQFLGECLQSEDEHLKETANWTQVMIARVVS >Potri.006G103300.2.v4.1 pep chromosome:Pop_tri_v4:6:7949945:7952974:-1 gene:Potri.006G103300.v4.1 transcript:Potri.006G103300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103300.v4.1 MTIEISIKSQIKDSLLRTLGSSASEARHTSAQVIAKVASIEIPRKQWPELIGSLLNNMTQQDSPVALKQATLETLGYVCEVISHQDLVQDEVNSVLTAVVQGMNLAEHSHEVRLAATKALYNALDFAQTNFDNEMERNYIMKVVCETAISKEADIRQAAFECLVSIASTYYEVLEPYMQTLFQLTSNAVKGDEESVALQAIEFWSSICDEEIELQEYGTVEGGDSGSAHSRFIEKALPYLVPLLLDTMLKQEDQDQDDSIWNISMAGGTCLGLVARTVGDSIVKLVMPFVEGNILNPDWHCREAATYAFGSILEGPSVETLGPLVTNGLDFLLNAIRDENNNVKDTTAWTLSRIFEFLHCPASGFSVISPEKLERIVTVLLESINDAPHVAEKVCGAIYYLAQGYEDSGTSSSLLTQHIPRIISELLKTAERTDGSDFKLRTSAYETLNEVVRSSNVVETSLIILELLKSILHKLGQTLELQIVSSDDREKQGDLQASLCAVIQVIIQKLSSTDETKPSTLQAADPIMILLLRVFACRSSTVHEEAMLAIGALAHASGPEFEKYMPELYKYLEMGLQNFEEYEVCAITVGVIGDICRALEDKVLPYCDGIMNHLVCNLQSAELNRSVKPPIFSCFGDIALAIGEQFSKYIEPTVAMMRSAAEVCAQMDNSDEELMDYGNQLKRSIFEAYSGILQGFKDSKPELMLPHAGHLFQFIELVFREKYRDESVTKAAVAVMGDLADALGPNTKILFKDKAFCVQFLGECLQSEDEHLKETANWTQVMIARVVS >Potri.016G005300.5.v4.1 pep chromosome:Pop_tri_v4:16:257029:257277:-1 gene:Potri.016G005300.v4.1 transcript:Potri.016G005300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005300.v4.1 MGHAHLNLQPIASSARLKQFAKVSSAETILRKIVPDTDNCLARESSISCINGEVVQSVWLRLCAVKSGEIELKIKLIDPSVA >Potri.016G005300.4.v4.1 pep chromosome:Pop_tri_v4:16:256852:259195:-1 gene:Potri.016G005300.v4.1 transcript:Potri.016G005300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005300.v4.1 MREQLGLLKVTVVQGKKLVIRDFRTSDPYVVVKLGNQTAKTKFINSCLNPVWNEELSFSLKEPVGVLSLEVFDKDRFKSDDKMGHAHLNLQPIASSARLKQFAKVSSAETILRKIVPDTDNCLARESSISCINGEVVQSVWLRLCAVKSGEIELKIKLIDPSVA >Potri.016G005300.3.v4.1 pep chromosome:Pop_tri_v4:16:256866:259195:-1 gene:Potri.016G005300.v4.1 transcript:Potri.016G005300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005300.v4.1 MREQLGLLKVTVVQGKKLVIRDFRTSDPYVVVKLGNQTAKTKFINSCLNPVWNEELSFSLKEPVGVLSLEVFDKDRFKSDDKMGHAHLNLQPIASSARLKQFAKVSSAETILRKIVPDTDNCLARESSISCINGEVVQSVWLRLCAVKSGEIELKIKLIDPSVA >Potri.007G078650.2.v4.1 pep chromosome:Pop_tri_v4:7:10328391:10362019:1 gene:Potri.007G078650.v4.1 transcript:Potri.007G078650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078650.v4.1 MDYCLGGESPAVLQLHKWGPSQFNIDLSEFREGFISPTRELLLLLSYQCEALLLPLVAGESVSNRVSEPLNDERLQCRSSAAFSSESWTEPSRSDLKDDIPYTSASVKDFDNGFSLEHEFSRSNNSRFVCDVNSLAWGVCGDTYNQHKEASFREFLFVSSSNGVTVHAFRKPDIDGGTTKTALEDEFGQGRWVDWGPSSTPAQNLKDRGSSGLCSEGTSTVVADDRANGNRGSLQDIDKESGADELLRGVASKRWLRSFSIKVKTIKSEGNIWTRFPEKASFPGSAEVVSFSVFDRNSPLLNLLYHDNSITTNGEESKCESMFNPENERVITKSDDFCIGSYKCSRVFPSNSHHLIGFVLTLVDSAFVSTGNESERSKTKSILLVGKLDSWGIQWVSLVKLTQSVHVDYVSEWADFCFSDNLLVCLNASGLIYFYAAMSGELVAYVDILQASGLNPHSVLWQQEKMTMAADFQIKQVEEVHDKSTSQCVDLLGKGMFRKLLTGSHTSFLAVVDEYGVVYVMRTGDYFSNNSYACDKLLPHFQHLGLGILAGWKVGGSDIGHQWVYSNDPSTRNEKVFFLDYAGKNALHKIQISNCHGCEDLMNGFSEIATHTFHDSEVCSHLMRKVFLPTNRSSEDDYICFSPMGVTRLIKKHDAKSQSTTQLVHFNMHTSSAVLDDRCLNTRVNMSYSQGKGEASFGEAVGCTFQGFFYLVTEVGLSVVLPSFSATSDFLPVETIGYQQHLINTDIGWRARRMLEIRESIEPFSPWKVEVLDRVLLYDGPAEADHLCLTNGWELKISRLWWLQMALEYLKYDEIERSLEMLVSINLAEEGILRLLFAAAYLMSHRNSNDNEVSAASRLLALASHFTTKMIRKCGLLQHKKDAYVLPGFGMIPLLSLPPVLPHKLQNEMGDSRSLHDMAHLLEIIRNLQSGLSSKLKNTGVGLVDGREELSLVEANLSQDESQLSILSADATSSDTPNQQELLVSASSVVSNNEKLALMHRDSLDIEDSNGVSVLVPQGGDWGKNVFPSENPKEMIARWKMDNLDVKTVVKDALLSGRLPLAVLQLHLHRSRDSETNKEPPDTFSEVRDIGRAIAYDLFLKGETELAVATLQRLGEDVETCLKQLLFGTVRRSLQLQVAEDMRRYGYLGPYEWETLEKILIIERLYPSSSFWRTFVGRQKALKRATSTLNSPGQIKLQLLPSYLFSNLIIECGEIDGVVLGSWTSINGNSPDPVVDEDTAHAGYWAAAAAWSSAWDQRTIDRIVLDQPFLMGVHVLWESQLEYYLCHNDCEEVSKLLYLIPTSVLSDGSLQITLDNLQHAPEVGCNREIPEYNSYICSIEELDSACIDIPGVKIFRFPANAFCSMWLRMLMEQELAKKFIFLKEYWEDTAEIVALLARSGIITSRSDKMTLEDYSVEASSDLNITDDAVPMEALHKLLLHYCVQYNLPNLLDLYLDHCKLVLDNDSLGSLQETAGDCQWAKWLLLSRIKGHEYNASFSNARTIMSPNIVSDSNLNVLEIDEIIHTVDDIAEGGGEMAALATLMYAPDPIQNCLSSGSVKRHGSSSAQCTLENLRPTLQRFPTLWRTLVAASFGHDTTSNFLGPKGNNALADYLNWRDNIFFSTTHDTSLLQMLPYWFPKTVRRLIQLYIQGPLGWQSVSGLPTADTLLYRDFDFFMHSDENTELNAVYWEATIQKHVQEELYDSSLEETKLGLEHHLHCGRTLAAFNHILSVRVQKLKLEGQSVALSHGQQNFQSDVQALLAPLTQSEEAVLSSVIPLGVAHFEDSVLVASCAFLLELCGLSASILHVDVSALRRVSSFYKLSENNERYSQISPKGKGSALHVVSREGNVVESLARSLADEYLHNDCVTNTKLKGTSNSFIGKQSSRVPMLVLQHLEKASLPIMMDGKTCGSWLLTGSGDGTELRDQQKVASQHWNLVTAFCQMHQLPLSTKYLAVLARDNDWVGFLSEAQIGGYPFDTVVEVATKEFSDPRLKIHILTVLKGMQSRKKSGSPAYSDTGESGSETYCFQEDILIPAELFRILADCEKQKNPGESLLKKAKEMSWSILALIASCFPDVSPLSCLTVWLEITAARETSSIKVNDIASQIANNVGAAVEAINSLPAGSRVLTVHYNRHNAKRRRLMEPIYVDVLTTYGGPTRSVAQGTVAEDERRVDVRESVNVSSDSGQGPVSLSKMVAVLCEQLLFLPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEASAHLSSFSVRIKDEQSSMQANIGIEGQVRTSWISSTAVKAANAMLVTCPSPYEKRCLLQLLAATDFGDGGSAATYYRRLYWKINLAEPSLRKDDTVHLGNQALDDDSLLEALEKNGHWEQARNWARQLDASGGPWKSSVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFVRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPVPLLREIETRVWLLAVESEAQAKSDRDFTSTTLSRDPLIGNTSTIIDRTASLITKMDNHINTMRSRTIEKQDARENNLTQHKNQVLDSITQTTGSSTKPKRRAKGNALSRRPLMDPIDKNTEPEDFSTNLFSRGDLLLPDENLKIEMSFSKWEERVGPAELERAVLSLLEFAQITASKQLQYKLSPAHTPHEFILVDVTLKLATISTPGSKISISMLDEEVRSVVKSHNILTEQHLVDPLQMHGLEFFTMPMKILEKLVTVFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIDLLQLLALKAQESFEQASLIVQTHSMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGKEIPHACEVELLILSHHFYKSSACLDGVDVLVSLAATRVEAYVSEGDFPCLARLITGVGNFHVLNFILGILIENGQLDLLLQKYSAAAETNVEAAEAVRGFRMAVLTSLKHFNPKDHDAFAMVYNHFDMKHETAALFESRAWQSSEQWFHRYDKDQNEDLLESMRYFIEAAGVHSSIDAGNKTRRACAHASLVSLQIRMPDCKWLNLSETNARRLLVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPELTEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLRVQLATTATGFSDILDVCMKALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.007G078650.3.v4.1 pep chromosome:Pop_tri_v4:7:10328391:10362100:1 gene:Potri.007G078650.v4.1 transcript:Potri.007G078650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078650.v4.1 MDYCLGGESPAVLQLHKWGPSQFNIDLSEFREGFISPTRELLLLLSYQCEALLLPLVAGESVSNRVSEPLNDERLQCRSSAAFSSESWTEPSRSDLKDDIPYTSASVKDFDNGFSLEHEFSRSNNSRFVCDVNSLAWGVCGDTYNQHKEASFREFLFVSSSNGVTVHAFRKPDIDGGTTKTALEDEFGQGRWVDWGPSSTPAQNLKDRGSSGLCSEGTSTVVADDRANGNRGSLQDIDKESGADELLRGVASKRWLRSFSIKVKTIKSEGNIWTRFPEKASFPGSAEVVSFSVFDRNSPLLNLLYHDNSITTNGEESKCESMFNPENERVITKSDDFCIGSYKCSRVFPSNSHHLIGFVLTLVDSAFVSTGNESERSKTKSILLVGKLDSWGIQWVSLVKLTQSVHVDYVSEWADFCFSDNLLVCLNASGLIYFYAAMSGELVAYVDILQASGLNPHSVLWQQEKMTMAADFQIKQVEEVHDKSTSQCVDLLGKGMFRKLLTGSHTSFLAVVDEYGVVYVMRTGDYFSNNSYACDKLLPHFQHLGLGILAGWKVGGSDIGHQWVYSNDPSTRNEKVFFLDYAGKNALHKIQISNCHGCEDLMNGFSEIATHTFHDSEVCSHLMRKVFLPTNRSSEDDYICFSPMGVTRLIKKHDAKSQSTTQLVHFNMHTSSAVLDDRCLNTRVNMSYSQGKGEASFGEAVGCTFQGFFYLVTEVGLSVVLPSFSATSDFLPVETIGYQQHLINTDIGWRARRMLEIRESIEPFSPWKVEVLDRVLLYDGPAEADHLCLTNGWELKISRLWWLQMALEYLKYDEIERSLEMLVSINLAEEGILRLLFAAAYLMSHRNSNDNEVSAASRLLALASHFTTKMIRKCGLLQHKKDAYVLPGFGMIPLLSLPPVLPHKLQNEMGDSRSLHDMAHLLEIIRNLQSGLSSKLKNTGVGLVDGREELSLVEANLSQDESQLSILSADATSSDTPNQQELLVSASSVVSNNEKLALMHRDSLDIEDSNGVSVLVPQGGDWGKNVFPSENPKEMIARWKMDNLDVKTVVKDALLSGRLPLAVLQLHLHRSRDSETNKEPPDTFSEVRDIGRAIAYDLFLKGETELAVATLQRLGEDVETCLKQLLFGTVRRSLQLQVAEDMRRYGYLGPYEWETLEKILIIERLYPSSSFWRTFVGRQKALKRATSTLNSPGQIKLQLLPSYLFSNLIIECGEIDGVVLGSWTSINGNSPDPVVDEDTAHAGYWAAAAAWSSAWDQRTIDRIVLDQPFLMGVHVLWESQLEYYLCHNDCEEVSKLLYLIPTSVLSDGSLQITLDNLQHAPEVGCNREIPEYNSYICSIEELDSACIDIPGVKIFRFPANAFCSMWLRMLMEQELAKKFIFLKEYWEDTAEIVALLARSGIITSRSDKMTLEDYSVEASSDLNITDDAVPMEALHKLLLHYCVQYNLPNLLDLYLDHCKLVLDNDSLGSLQETAGDCQWAKWLLLSRIKGHEYNASFSNARTIMSPNIVSDSNLNVLEIDEIIHTVDDIAEGGGEMAALATLMYAPDPIQNCLSSGSVKRHGSSSAQCTLENLRPTLQRFPTLWRTLVAASFGHDTTSNFLGPKGNNALADYLNWRDNIFFSTTHDTSLLQMLPYWFPKTVRRLIQLYIQGPLGWQSVSGLPTADTLLYRDFDFFMHSDENTELNAVYWEATIQKHVQEELYDSSLEETKLGLEHHLHCGRTLAAFNHILSVRVQKLKLEGQSVALSHGQQNFQSDVQALLAPLTQSEEAVLSSVIPLGVAHFEDSVLVASCAFLLELCGLSASILHVDVSALRRVSSFYKLSENNERYSQISPKGKGSALHVVSREGNVVESLARSLADEYLHNDCVTNTKLKGTSNSFIGKQSSRVPMLVLQHLEKASLPIMMDGKTCGSWLLTGSGDGTELRDQQKVASQHWNLVTAFCQMHQLPLSTKYLAVLARDNDWVGFLSEAQIGGYPFDTVVEVATKEFSDPRLKIHILTVLKGMQSRKKSGSPAYSDTGESGSETYCFQEDILIPAELFRILADCEKQKNPGESLLKKAKEMSWSILALIASCFPDVSPLSCLTVWLEITAARETSSIKVNDIASQIANNVGAAVEAINSLPAGSRVLTVHYNRHNAKRRRLMEPIYVDVLTTYGGPTRSVAQGTVAEDERRVDVRESVNVSSDSGQGPVSLSKMVAVLCEQLLFLPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEASAHLSSFSVRIKDEQSSMQANIGIEGQVRTSWISSTAVKAANAMLVTCPSPYEKRCLLQLLAATDFGDGGSAATYYRRLYWKINLAEPSLRKDDTVHLGNQALDDDSLLEALEKNGHWEQARNWARQLDASGGPWKSSVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFVRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPVPLLREIETRVWLLAVESEAQAKSDRDFTSTTLSRDPLIGNTSTIIDRTASLITKMDNHINTMRSRTIEKQDARENNLTQHKNQVLDSITQTTGSSTKPKRRAKGNALSRRPLMDPIDKNTEPEDFSTNLFSRGDLLLPDENLKIEMSFSKWEERVGPAELERAVLSLLEFAQITASKQLQYKLSPAHTPHEFILVDVTLKLATISTPGSKISISMLDEEVRSVVKSHNILTEQHLVDPLQILEKLVTVFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIDLLQLLALKAQESFEQASLIVQTHSMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGKEIPHACEVELLILSHHFYKSSACLDGVDVLVSLAATRVEAYVSEGDFPCLARLITGVGNFHVLNFILGILIENGQLDLLLQKYSAAAETNVEAAEAVRGFRMAVLTSLKHFNPKDHDAFAMVYNHFDMKHETAALFESRAWQSSEQWFHRYDKDQNEDLLESMRYFIEAAGVHSSIDAGNKTRRACAHASLVSLQIRMPDCKWLNLSETNARRLLVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPELTEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLRVQLATTATGFSDILDVCMKALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.007G078650.1.v4.1 pep chromosome:Pop_tri_v4:7:10328287:10362100:1 gene:Potri.007G078650.v4.1 transcript:Potri.007G078650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078650.v4.1 MDYCLGGESPAVLQLHKWGPSQFNIDLSEFREGFISPTRELLLLLSYQCEALLLPLVAGESVSNRVSEPLNDERLQCRSSAAFSSESWTEPSRSDLKDDIPYTSASVKDFDNGFSLEHEFSRSNNSRFVCDVNSLAWGVCGDTYNQHKEASFREFLFVSSSNGVTVHAFRKPDIDGGTTKTALEDEFGQGRWVDWGPSSTPAQNLKDRGSSGLCSEGTSTVVADDRANGNRGSLQDIDKESGADELLRGVASKRWLRSFSIKVKTIKSEGNIWTRFPEKASFPGSAEVVSFSVFDRNSPLLNLLYHDNSITTNGEESKCESMFNPENERVITKSDDFCIGSYKCSRVFPSNSHHLIGFVLTLVDSAFVSTGNESERSKTKSILLVGKLDSWGIQWVSLVKLTQSVHVDYVSEWADFCFSDNLLVCLNASGLIYFYAAMSGELVAYVDILQASGLNPHSVLWQQEKMTMAADFQIKQVEEVHDKSTSQCVDLLGKGMFRKLLTGSHTSFLAVVDEYGVVYVMRTGDYFSNNSYACDKLLPHFQHLGLGILAGWKVGGSDIGHQWVYSNDPSTRNEKVFFLDYAGKNALHKIQISNCHGCEDLMNGFSEIATHTFHDSEVCSHLMRKVFLPTNRSSEDDYICFSPMGVTRLIKKHDAKSQSTTQLVHFNMHTSSAVLDDRCLNTRVNMSYSQGKGEASFGEAVGCTFQGFFYLVTEVGLSVVLPSFSATSDFLPVETIGYQQHLINTDIGWRARRMLEIRESIEPFSPWKVEVLDRVLLYDGPAEADHLCLTNGWELKISRLWWLQMALEYLKYDEIERSLEMLVSINLAEEGILRLLFAAAYLMSHRNSNDNEVSAASRLLALASHFTTKMIRKCGLLQHKKDAYVLPGFGMIPLLSLPPVLPHKLQNEMGDSRSLHDMAHLLEIIRNLQSGLSSKLKNTGVGLVDGREELSLVEANLSQDESQLSILSADATSSDTPNQQELLVSASSVVSNNEKLALMHRDSLDIEDSNGVSVLVPQGGDWGKNVFPSENPKEMIARWKMDNLDVKTVVKDALLSGRLPLAVLQLHLHRSRDSETNKEPPDTFSEVRDIGRAIAYDLFLKGETELAVATLQRLGEDVETCLKQLLFGTVRRSLQLQVAEDMRRYGYLGPYEWETLEKILIIERLYPSSSFWRTFVGRQKALKRATSTLNSPGQIKLQLLPSYLFSNLIIECGEIDGVVLGSWTSINGNSPDPVVDEDTAHAGYWAAAAAWSSAWDQRTIDRIVLDQPFLMGVHVLWESQLEYYLCHNDCEEVSKLLYLIPTSVLSDGSLQITLDNLQHAPEVGCNREIPEYNSYICSIEELDSACIDIPGVKIFRFPANAFCSMWLRMLMEQELAKKFIFLKEYWEDTAEIVALLARSGIITSRSDKMTLEDYSVEASSDLNITDDAVPMEALHKLLLHYCVQYNLPNLLDLYLDHCKLVLDNDSLGSLQETAGDCQWAKWLLLSRIKGHEYNASFSNARTIMSPNIVSDSNLNVLEIDEIIHTVDDIAEGGGEMAALATLMYAPDPIQNCLSSGSVKRHGSSSAQCTLENLRPTLQRFPTLWRTLVAASFGHDTTSNFLGPKGNNALADYLNWRDNIFFSTTHDTSLLQMLPYWFPKTVRRLIQLYIQGPLGWQSVSGLPTADTLLYRDFDFFMHSDENTELNAVYWEATIQKHVQEELYDSSLEETKLGLEHHLHCGRTLAAFNHILSVRVQKLKLEGQSVALSHGQQNFQSDVQALLAPLTQSEEAVLSSVIPLGVAHFEDSVLVASCAFLLELCGLSASILHVDVSALRRVSSFYKLSENNERYSQISPKGKGSALHVVSREGNVVESLARSLADEYLHNDCVTNTKLKGTSNSFIGKQSSRVPMLVLQHLEKASLPIMMDGKTCGSWLLTGSGDGTELRDQQKVASQHWNLVTAFCQMHQLPLSTKYLAVLARDNDWVGFLSEAQIGGYPFDTVVEVATKEFSDPRLKIHILTVLKGMQSRKKSGSPAYSDTGESGSETYCFQEDILIPAELFRILADCEKQKNPGESLLKKAKEMSWSILALIASCFPDVSPLSCLTVWLEITAARETSSIKVNDIASQIANNVGAAVEAINSLPAGSRVLTVHYNRHNAKRRRLMEPIYVDVLTTYGGPTRSVAQGTVAEDERRVDVRESVNVSSDSGQGPVSLSKMVAVLCEQLLFLPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEASAHLSSFSVRIKDEQSSMQANIGIEGQVRTSWISSTAVKAANAMLVTCPSPYEKRCLLQLLAATDFGDGGSAATYYRRLYWKINLAEPSLRKDDTVHLGNQALDDDSLLEALEKNGHWEQARNWARQLDASGGPWKSSVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFVRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPVPLLREIETRVWLLAVESEAQAKSDRDFTSTTLSRDPLIGNTSTIIDRTASLITKMDNHINTMRSRTIEKQDARENNLTQHKNQVLDSITQTTGSSTKPKRRAKGNALSRRPLMDPIDKNTEPEDFSTNLFSRGDLLLPDENLKIEMSFSKWEERVGPAELERAVLSLLEFAQITASKQLQYKLSPAHTPHEFILVDVTLKLATISTPGSKISISMLDEEVRSVVKSHNILTEQHLVDPLQILEKLVTVFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIDLLQLLALKAQESFEQASLIVQTHSMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGKEIPHACEVELLILSHHFYKSSACLDGVDVLVSLAATRVEAYVSEGDFPCLARLITGVGNFHVLNFILGILIENGQLDLLLQKYSAAAETNVEAAEAVRGFRMAVLTSLKHFNPKDHDAFAMVYNHFDMKHETAALFESRAWQSSEQWFHRYDKDQNEDLLESMRYFIEAAGVHSSIDAGNKTRRACAHASLVSLQIRMPDCKWLNLSETNARRLLVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPELTEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLRVQLATTATGFSDILDVCMKALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.007G078650.4.v4.1 pep chromosome:Pop_tri_v4:7:10347506:10362012:1 gene:Potri.007G078650.v4.1 transcript:Potri.007G078650.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078650.v4.1 MKIFTTLFFLMNSLYFHALKISQLNYIYRETSSIKVNDIASQIANNVGAAVEAINSLPAGSRVLTVHYNRHNAKRRRLMEPIYVDVLTTYGGPTRSVAQGTVAEDERRVDVRESVNVSSDSGQGPVSLSKMVAVLCEQLLFLPLLRAFEMFLPSCSLLPFIRSLQAFSQMRLSEASAHLSSFSVRIKDEQSSMQANIGIEGQVRTSWISSTAVKAANAMLVTCPSPYEKRCLLQLLAATDFGDGGSAATYYRRLYWKINLAEPSLRKDDTVHLGNQALDDDSLLEALEKNGHWEQARNWARQLDASGGPWKSSVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFVRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPVPLLREIETRVWLLAVESEAQAKSDRDFTSTTLSRDPLIGNTSTIIDRTASLITKMDNHINTMRSRTIEKQDARENNLTQHKNQVLDSITQTTGSSTKPKRRAKGNALSRRPLMDPIDKNTEPEDFSTNLFSRGDLLLPDENLKIEMSFSKWEERVGPAELERAVLSLLEFAQITASKQLQYKLSPAHTPHEFILVDVTLKLATISTPGSKISISMLDEEVRSVVKSHNILTEQHLVDPLQILEKLVTVFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIDLLQLLALKAQESFEQASLIVQTHSMPAASIAQILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGKEIPHACEVELLILSHHFYKSSACLDGVDVLVSLAATRVEAYVSEGDFPCLARLITGVGNFHVLNFILGILIENGQLDLLLQKYSAAAETNVEAAEAVRGFRMAVLTSLKHFNPKDHDAFAMVYNHFDMKHETAALFESRAWQSSEQWFHRYDKDQNEDLLESMRYFIEAAGVHSSIDAGNKTRRACAHASLVSLQIRMPDCKWLNLSETNARRLLVEQSRFQEALIVAEAYGLNQPSEWALVLWNQMLKPELTEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLERSFRCLLKRTRDLRLRVQLATTATGFSDILDVCMKALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.006G020700.9.v4.1 pep chromosome:Pop_tri_v4:6:1391461:1397151:-1 gene:Potri.006G020700.v4.1 transcript:Potri.006G020700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020700.v4.1 MDIDLRLPSGDHDKEGEEPNDVNNMLSEVKLHNGDVEIGNVVDVAEQVLSIEGGDVNSPTTSMGFKEDIKLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTGRRSCSKTDCKASMHVKRRSDGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLGLEAGETKILLDFFTQMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYSNFSDVVNFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCTLLSDESAATYSWLMQTWLRAMGGQAPKVIITDQDKAMKQVISDVFPNAHHCFCLWNILGKVSENLGNVIKQNGNFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESINSYFDKYVHKKTTVQEFVRQYGSILQDRYEEEAKADSDTWNKQPTLKSPSPLEKSVSGMYTHAVFKKFQVEVLGVVACHPKMESQDETSISFRVQDLEKEQDFTVLWNQTGLEVSCICRLYEYKGYLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSRHLLGEECEQVQSRVQRYNDLCQRALKLSEEASLSQESYNMAFRALEEAFGNCISMNNSNKNLVEAGTSATHGLLCIEDDNQNRSVTKTNKKKNQTKKRKVNSEQVITTVGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMHGLGQMDFFRTPAGFSYGIRDDPNVRTAQLHDDGSRHA >Potri.006G020700.2.v4.1 pep chromosome:Pop_tri_v4:6:1391368:1397157:-1 gene:Potri.006G020700.v4.1 transcript:Potri.006G020700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020700.v4.1 MDIDLRLPSGDHDKEGEEPNDVNNMLSEVKLHNGDVEIGNVVDVAEQVLSIEGGDVNSPTTSMGFKEDIKLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTGRRSCSKTDCKASMHVKRRSDGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLGLEAGETKILLDFFTQMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYSNFSDVVNFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCTLLSDESAATYSWLMQTWLRAMGGQAPKVIITDQDKAMKQVISDVFPNAHHCFCLWNILGKVSENLGNVIKQNGNFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESINSYFDKYVHKKTTVQEFVRQYGSILQDRYEEEAKADSDTWNKQPTLKSPSPLEKSVSGMYTHAVFKKFQVEVLGVVACHPKMESQDETSISFRVQDLEKEQDFTVLWNQTGLEVSCICRLYEYKGYLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSRHLLGEECEQVQSRVQRYNDLCQRALKLSEEASLSQESYNMAFRALEEAFGNCISMNNSNKNLVEAGTSATHGLLCIEDDNQNRSVTKTNKKKNQTKKRKVNSEQVITTVGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMHGLGQMDFFRTPAGFSYGIRDDPNVRTAQLHDDGSRHA >Potri.006G020700.3.v4.1 pep chromosome:Pop_tri_v4:6:1391366:1397166:-1 gene:Potri.006G020700.v4.1 transcript:Potri.006G020700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020700.v4.1 MDIDLRLPSGDHDKEGEEPNDVNNMLSEVKLHNGDVEIGNVVDVAEQVLSIEGGDVNSPTTSMGFKEDIKLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTGRRSCSKTDCKASMHVKRRSDGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLGLEAGETKILLDFFTQMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYSNFSDVVNFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCTLLSDESAATYSWLMQTWLRAMGGQAPKVIITDQDKAMKQVISDVFPNAHHCFCLWNILGKVSENLGNVIKQNGNFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESINSYFDKYVHKKTTVQEFVRQYGSILQDRYEEEAKADSDTWNKQPTLKSPSPLEKSVSGMYTHAVFKKFQVEVLGVVACHPKMESQDETSISFRVQDLEKEQDFTVLWNQTGLEVSCICRLYEYKGYLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSRHLLGEECEQVQSRVQRYNDLCQRALKLSEEASLSQESYNMAFRALEEAFGNCISMNNSNKNLVEAGTSATHGLLCIEDDNQNRSVTKTNKKKNQTKKRKVNSEQVITTVGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMHGLGQMDFFRTPAGFSYGIRDDPNVRTAQLHDDGSRHA >Potri.006G020700.4.v4.1 pep chromosome:Pop_tri_v4:6:1391385:1397157:-1 gene:Potri.006G020700.v4.1 transcript:Potri.006G020700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020700.v4.1 MDIDLRLPSGDHDKEGEEPNDVNNMLSEVKLHNGDVEIGNVVDVAEQVLSIEGGDVNSPTTSMGFKEDIKLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTGRRSCSKTDCKASMHVKRRSDGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLGLEAGETKILLDFFTQMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYSNFSDVVNFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCTLLSDESAATYSWLMQTWLRAMGGQAPKVIITDQDKAMKQVISDVFPNAHHCFCLWNILGKVSENLGNVIKQNGNFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESINSYFDKYVHKKTTVQEFVRQYGSILQDRYEEEAKADSDTWNKQPTLKSPSPLEKSVSGMYTHAVFKKFQVEVLGVVACHPKMESQDETSISFRVQDLEKEQDFTVLWNQTGLEVSCICRLYEYKGYLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSRHLLGEECEQVQSRVQRYNDLCQRALKLSEEASLSQESYNMAFRALEEAFGNCISMNNSNKNLVEAGTSATHGLLCIEDDNQNRSVTKTNKKKNQTKKRKVNSEQVITTVGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMHGLGQMDFFRTPAGFSYGIRQDDPNVRTAQLHDDGSRHA >Potri.006G020700.10.v4.1 pep chromosome:Pop_tri_v4:6:1392808:1397157:-1 gene:Potri.006G020700.v4.1 transcript:Potri.006G020700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020700.v4.1 MDIDLRLPSGDHDKEGEEPNDVNNMLSEVKLHNGDVEIGNVVDVAEQVLSIEGGDVNSPTTSMGFKEDIKLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTGRRSCSKTDCKASMHVKRRSDGKWVIHSFVKEHNHELLPAQAVSEQTRKMYAAMARQFAEYKNVVGLKNDPKNPFDKGRNLGLEAGETKILLDFFTQMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYSNFSDVVNFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCTLLSDESAATYSWLMQTWLRAMGGQAPKVIITDQDKAMKQVISDVFPNAHHCFCLWNILGKVSENLGNVIKQNGNFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESINSYFDKYVHKKTTVQEFVRQYGSILQDRYEEEAKADSDTWNKQPTLKSPSPLEKSVSGMYTHAVFKKFQVEVLGVVACHPKMESQDETSISFRVQDLEKEQDFTVLWNQTGLEVSCICRLYEYKGYLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSRHLLGEECEQVQSRVQRYNDLCQRALKLSEEASLSQESYNMAFRALEEAFGNCISMNNSNKNLVEAGTSATHGLLCIEDDNQNRSVTKTNKKKNQTKKRKVGGQKRM >Potri.004G065200.3.v4.1 pep chromosome:Pop_tri_v4:4:5591759:5596390:-1 gene:Potri.004G065200.v4.1 transcript:Potri.004G065200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065200.v4.1 MDQITNVMEYQEIARQKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMSTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAADTIMTLSSWATSSVEEVASTGPGVRFFQLYVHKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTMPPYLTLKNFEGLDLGKMDKTDDSGLASYVAEQIDRSLSWKDVKWLQTITSLPILLKGVLTAEDARLAVQNGAAGIIVSNHGARQLDYVPSTIIALEEVVKAVQGRVPVFLDGGVRRGTDVFKAMALGASGIFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALNGCRSLKEISRNHIVADWDPPRVVPKL >Potri.004G065200.2.v4.1 pep chromosome:Pop_tri_v4:4:5591764:5597086:-1 gene:Potri.004G065200.v4.1 transcript:Potri.004G065200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065200.v4.1 MDQITNVMEYQEIARQKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMSTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAADTIMTLSSWATSSVEEVASTGPGVRFFQLYVHKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTMPPYLTLKNFEGLDLGKMDKTDDSGLASYVAEQIDRSLSWKDVKWLQTITSLPILLKGVLTAEDARLAVQNGAAGIIVSNHGARQLDYVPSTIIALEEVVKAVQGRVPVFLDGGVRRGTDVFKAMALGASGIFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALNGCRSLKEISRNHIVADWDPPRVVPKL >Potri.004G065200.1.v4.1 pep chromosome:Pop_tri_v4:4:5591760:5596453:-1 gene:Potri.004G065200.v4.1 transcript:Potri.004G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065200.v4.1 MDQITNVMEYQEIARQKLPKMVYDYYASGAEDQWTLKENRNAFSRILFRPRILIDVSKIDMSTTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAADTIMTLSSWATSSVEEVASTGPGVRFFQLYVHKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTMPPYLTLKNFEGLDLGKMDKTDDSGLASYVAEQIDRSLSWKDVKWLQTITSLPILLKGVLTAEDARLAVQNGAAGIIVSNHGARQLDYVPSTIIALEEVVKAVQGRVPVFLDGGVRRGTDVFKAMALGASGIFIGRPVVFSLAADGEAGVRKVLQMLRDEFELTMALNGCRSLKEISRNHIVADWDPPRVVPKL >Potri.007G098500.2.v4.1 pep chromosome:Pop_tri_v4:7:12342208:12347915:1 gene:Potri.007G098500.v4.1 transcript:Potri.007G098500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098500.v4.1 MDRRSWLWRRKSSEKSPGETDSSGSISSRSERFSDDQVYTIHNPQSPEVTSKSVLTDEDHSDNVRTLTEKLSAALLNISAKEELVKQHAKVAEEAVSGWEKAENDLSALKQQLEDATKKNSALEDRVGHLDAALKECVRQLRQSREEQDERINEVVTKKISEWESTKSELEAQLQTAKDEATTSADSDLWKRFDAVEKENMSLKRELLSRAEEIEIRILERDLSTQAAETASKLHLESIKKLAKLEAECRKLKAMARKASAANDYKSLTASSIGDESITDRQSDIGERLLAVESHSCKMSGLEMNECDPSCSDSRACAHATEFDQYKNWKPIGRNRTVHSVEINLMDDFLEMERLAAFPYTLSGRSYLEAEPVSDKGNGSGNPWKEELESMINRTAELEEKLDKMEEEKNKSEMALTKCQRQLETLRSHLHEADTKIGELQAKLALANESSQAREEEMKDIEAKSEETKSQLRIAEAEIKTLLSKVVSLDSEVEKERALSTENAVKSQQLEDELSKMKCEAELQHENERRRVASFNEELKITQGKELAVAASKLADCQKTISSLGLQLKSLATFEDLLFDSEKSSDASSEGLKAHADDEQQRPDPRNLSSGRDSEAFQVSRGALRSKKGSNRESSLSLNSSFVSEKNRNGFGKFPPRGLSRVRNEN >Potri.007G098500.3.v4.1 pep chromosome:Pop_tri_v4:7:12341809:12347074:1 gene:Potri.007G098500.v4.1 transcript:Potri.007G098500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098500.v4.1 MDRRSWLWRRKSSEKSPGETDSSGSISSRSERFSDDQVYTIHNPQSPEVTSKSVLTDEDHSDNVRTLTEKLSAALLNISAKEELVKQHAKVAEEAVSGWEKAENDLSALKQQLEDATKKNSALEDRVGHLDAALKECVRQLRQSREEQDERINEVVTKKISEWESTKSELEAQLQTAKDEATTSADSDLWKRFDAVEKENMSLKRELLSRAEEIEIRILERDLSTQAAETASKLHLESIKKLAKLEAECRKLKAMARKASAANDYKSLTASSIGDESITDRQSDIGERLLAVESHSCKMSGLEMNECDPSCSDSRACAHATEFDQYKNWKPIGRNRTVHSVEINLMDDFLEMERLAAFPYTLSGRSYLEAEPVSDKGNGSGNPWKEELESMINRTAELEEKLDKMEEEKNKSEMALTKCQRQLETLRSHLHEADTKIGELQAKLALANESSQAREEEMKDIEAKSEETKSQLRIAEAEIKTLLSKVVSLDSEVEKERALSTENAVKSQQLEDELSKMKCEAELQHENERRRVASFNEELKITQVSILRLTRSSITIPTPSS >Potri.014G163200.3.v4.1 pep chromosome:Pop_tri_v4:14:11760671:11765431:-1 gene:Potri.014G163200.v4.1 transcript:Potri.014G163200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163200.v4.1 MPPNRPSSGWIIDSDLDEIDLDPSDFTSSLPLKKVPNGDVFQASRAGDVERLKYLLESGVNVNARDKWDSVALYYACLAGHLDAARMLLESGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLAPLQAALRDTFLSCEANRVYLEQSEAIYRVSGLSSSGVSNANHFPPDVVFFVQGRPIEAHRVILSARSPFFKRKFKTDWRGRSEVRLAREKLSYPALYSLVHFFYSDRLEIAVDDMEDLVRICKVCKCESLQRVLEKELIHQKYAEYKALRDLDNSQKRYILQGLSLPEEDRLSAALHRVLQSSLARSTMQQNLENDVDRLVSSFNVVQMNDCVDDLADICVRVDNKIFRCHQVVLASRSEYFRARLSHMKDFHEGKVGLPSGAVPCFEEHDLSMEAFEKMVEYM >Potri.014G163200.2.v4.1 pep chromosome:Pop_tri_v4:14:11760600:11765742:-1 gene:Potri.014G163200.v4.1 transcript:Potri.014G163200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163200.v4.1 MPPNRPSSGWIIDSDLDEIDLDPSDFTSSLPLKKVPNGDVFQASRAGDVERLKYLLESGVNVNARDKWDSVALYYACLAGHLDAARMLLESGAICSEHTFDGDRCHYAALNLKVRKLLKAFEARPPPLAPLQAALRDTFLSCEANRVYLEQSEAIYRVSGLSSSGVSNANHFPPDVVFFVQGRPIEAHRVILSARSPFFKRKFKTDWRGRSEVRLAREKLSYPALYSLVHFFYSDRLEIAVDDMEDLVRICKVCKCESLQRVLEKELIHQKYAEYKALRDLDNSQKRYILQGLSLPEEDRLSAALHRVLQSSLARSTMQQNLENDVDRLVSSFNVVQMNDCVDDLADICVRVDNKIFRCHQVVLASRSEYFRARLSHMKDFHEGKVGLPSGAVPCFEEHDLSMEAFEKMVEYMYTDGLKDINPGQAEEMFDAASRYLLFPLKRAVADVLLPQLEMVSPAELCHWLILSDMYGVIKIREYCLDTIACNFETFADTRDFRAMLLTVPPPSGDSSLRTTAPSAPGAALNTDQGNLLDDLREKWLEAEAADLDKRDESALLFDKRLEMLMLVAKKESETVVDDIQDSPA >Potri.009G114400.2.v4.1 pep chromosome:Pop_tri_v4:9:9699193:9699943:1 gene:Potri.009G114400.v4.1 transcript:Potri.009G114400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114400.v4.1 MKSFLFFLVLLSFLSFVELNHARKEPRENYWKSMTKDQPIPGAIRDLFVQDPAAGADKMNHFVKDFDTKHNAIIYHSHEKDKLKEKKSMNPTNTWDHEKEKE >Potri.001G293001.1.v4.1 pep chromosome:Pop_tri_v4:1:30482881:30483249:-1 gene:Potri.001G293001.v4.1 transcript:Potri.001G293001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293001.v4.1 MVVLPFILDHYFLIELQIRVSKSKSSLACSVKFHQLHYCLCFGSRGGQNRGPFGSNQATDYVHLRSLNFIVLFQGSVQFIFVTRAVHSMSYFGSVVNQTLVNVVIFLESFTNQICSGASLLK >Potri.009G018500.1.v4.1 pep chromosome:Pop_tri_v4:9:3071853:3075241:-1 gene:Potri.009G018500.v4.1 transcript:Potri.009G018500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018500.v4.1 MGVQTMASQRNGQQSHLQPYRLTRQNSWYNLTLNEVENQLGNLGKPLCSMNLDELLKNVWSTEAHQSVGMDSESTSMSSLQHQASLTLARALSGKTVDQVWKEIQQGQEKRFGEEMKVQEREQTLGEMTLEDFLVQAGLFAKATISPSLDLVTVDAVTPQSFSQKMVLSSSPSTSTLSDTTTSGRKRDTPDAFEKSIERKLKRKIKNRESAARSRARKQAYHNELVSKISHLGEENIKLKKEKEFEKKFPIEPSAEQKYQLRRTSSTSF >Potri.009G018500.5.v4.1 pep chromosome:Pop_tri_v4:9:3071893:3075241:-1 gene:Potri.009G018500.v4.1 transcript:Potri.009G018500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018500.v4.1 MGVQTMASQRNGQQSHLQPYRLTRQNSWYNLTLNEVENQLGNLGKPLCSMNLDELLKNVWSTEAHQSVGMDSESTSMSSLQHQASLTLARALSGKTVDQVWKEIQQGQEKRFGEEMKVQEREQTLGEMTLEDFLVQAGLFAKATISPSLDLVTVDAVTPQSFSQKMVLSSSPSTSTLSDTTTSGRKRDTPDAFEKSIERKLKRKIKNRESAARSRARKQAYHNELVSKISHLGEENIKLKKEKVGRIFHFYQFAFSFSINTCQPLLEGR >Potri.007G088086.1.v4.1 pep chromosome:Pop_tri_v4:7:11291188:11293051:-1 gene:Potri.007G088086.v4.1 transcript:Potri.007G088086.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088086.v4.1 MESWFLILVSISISLFLKIIFNNFLTSKNLPPGPLSFPFIGHLLWIRMSAFKIEPILRSLHAKFGPMVTLRIGTRPAIFVADRTLAHEALIHGGAVFAGRPPAVATSKIITSNQHNISSSSYGPTWRLLRRNLTAEILHPSRVKSYTHARNWVLQILQNRFESQAKAGRPIWVMEHFQYAMFCLLVLMCFGDKLDENQIKKIMEVQRQMIVNFGRFNILNFWPGVTKIVLRNRWRELFCLRKCQEDVLIPLIRARKKAKEERVNKSKEDKKDYEDEYVLCYVDTILALELPEEKRKLNEEEMVSVCSEFLNGGTDTTSTALQWIMANLVKYPQIQEKLFMEIKGVVQDGEENIKEEDLHKMPYLKAIILEGLRRHPPAHFVLPHAVTEDAALGKYVVPKDGTINFMVAEMGWNPKVWEDPMAFKPERFLSSGGETFDITGSREIKMMPFGAGRRICPAYGLAILHLEYFVANLIWRFEWKAVDGDDVDLSEKEEFTVVMKNPLQAQICPRLK >Potri.008G026800.1.v4.1 pep chromosome:Pop_tri_v4:8:1389587:1393039:1 gene:Potri.008G026800.v4.1 transcript:Potri.008G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026800.v4.1 MVAESWFRSLWKIPQKREPGPQKAVVGVLAFEVTSLMSKLVHLWHSLSDKQVARLREEIGSSEGIKKLIAEDDDFIGRLICLEMMESMVHVAKPVARIGNKCSDPSLKGFEHLFDEMIKIHADPYGWGFSWKKMDKKVKKMERFISVNSTLYQEMEMLSDLEQTVRRMKGCDPEPNNLLDYQKKLVWKQHEVRNLKEISLWNKTYDYTVRLLVRSLFTIYRRISHVFGIDSTVYPGESKALDSDYFYRSQSVSALLQSSVHPSENSTLPRFSSGPLGKFTANSGPILKSSKNNFYSGPLGGSIAKSGPISEKNRNLNFFSGPLGGPTTKSGPISGITKTGKKSWWTPQSPAFLGRKPPSKPNRLTQVGPFKGCMVASNTSPVANCYLSSADVHSRNLKGARESNADHLPLGNVSRTGPSIFSSQHKLLQALPETLGGAALALHYANVIVVIEKLAASPHLIGHDARDDLYNMLPARVRAALRERLKPYSKSLDSPVYDTVLAGEWTEAMTSILEWLAPLAHNMIRWQSERSYEQQTFVSRTNVLLVQTLYFANQEKTESAITELLVGLNYIWRFGRELNTKALQECASSRVFDEYLEVEK >Potri.008G026800.2.v4.1 pep chromosome:Pop_tri_v4:8:1389621:1393026:1 gene:Potri.008G026800.v4.1 transcript:Potri.008G026800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026800.v4.1 MVAESWFRSLWKIPQKREPGPQKAVVGVLAFEVTSLMSKLVHLWHSLSDKQVARLREEIGSSEGIKKLIAEDDDFIGRLICLEMMESMVHVAKPVARIGNKCSDPSLKGFEHLFDEMIKIHADPYGWGFSWKKMDKKVKKMERFISVNSTLYQEMEMLSDLEQTVRRMKGCDPEPNNLLDYQKKLVWKQHEVRNLKEISLWNKTYDYTVRLLVRSLFTIYRRISHVFGIDSTVYPGESKALDSDYFYRSQSVSALLQSSVHPSENSTLPRFSSGPLGKFTANSGPILKSSKNNFYSGPLGGSIAKSGPISEKNRNLNFFSGPLGGPTTKSGPISGITKTGKKSWWTPQSPAFLGRKPPSKPNRLTQVGPFKGCMVASNTSPVANCYLSSADVHSRNLKGARESNADHLPLGNVSRTGPSIFSSQHKLLQALPETLGGAALALHYANVIVVIEKLAASPHLIGHDARDDLYNMLPARVRAALRERLKPYSKSLDSPVYDTVLAGEWTEAMTSILEWLAPLAHNMIRWQSERSYEQQTFVSRTNVLLVQTLYFANQEKTESAITELLVGLNYIWRFGRELNTKALQECASSRVFDEYLEVEK >Potri.014G003150.1.v4.1 pep chromosome:Pop_tri_v4:14:215394:216519:-1 gene:Potri.014G003150.v4.1 transcript:Potri.014G003150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003150.v4.1 MGVHLASTLIDESLGMNFQLDLSRYANLSLFFTWFCIPAFSLLHSGVALLEFNLPSLVFYYLPGFRLF >Potri.002G120500.7.v4.1 pep chromosome:Pop_tri_v4:2:9141567:9143346:-1 gene:Potri.002G120500.v4.1 transcript:Potri.002G120500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120500.v4.1 MVLTNFSGPGVGFGFGVGCGFGVGWGFGGMPVNILGLGAGAGCGVGLGLGWGFGTAFGSQYRSSTVTFQGMELAKKEESDDNQS >Potri.002G120500.6.v4.1 pep chromosome:Pop_tri_v4:2:9141568:9143346:-1 gene:Potri.002G120500.v4.1 transcript:Potri.002G120500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120500.v4.1 MVLTNFSGPGVGFGFGVGCGFGVGWGFGGMPVNILGLGAGAGCGVGLGLGWGFGTAFGSQYRSSTVTFQGMELAKKEESDDNQS >Potri.017G086600.3.v4.1 pep chromosome:Pop_tri_v4:17:9984087:9987462:1 gene:Potri.017G086600.v4.1 transcript:Potri.017G086600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086600.v4.1 MDHYSGLFELKQELKERIESLKEKSSKDGVFTSESEFSEEMMDIRKDLVTIHGEMVLLKNYSSLNFAGLVKILKKYDKRTGGLLRLPFTQLALHQPFFTTEPLTRLVHECEDNLELLFPLEAEVIESTNIVQDQSNPSLNNTTNISPGPPTTLGEETIDIYRSTLAAMKAIRGLQKASSTSNPLSFSSFFMIQDDESTGAVTAANSTSNSSATTHDGEEIDQEDVHSV >Potri.017G086600.1.v4.1 pep chromosome:Pop_tri_v4:17:9984092:9987462:1 gene:Potri.017G086600.v4.1 transcript:Potri.017G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086600.v4.1 MKFGKEFKTHLEETLPEWRDKFLCYKPLKKLLKQLPPTVDSLNLDRPVNFQLHPHPPPLTGDVHGNTNRPLVDLQEWFVRILNEELDKFNDFYVDKEEDFVIRLQELKERIESLKEKSSKDGVFTSESEFSEEMMDIRKDLVTIHGEMVLLKNYSSLNFAGLVKILKKYDKRTGGLLRLPFTQLALHQPFFTTEPLTRLVHECEDNLELLFPLEAEVIESTNIVQDQSNPSLNNTTNISPGPPTTLGEETIDIYRSTLAAMKAIRGLQKASSTSNPLSFSSFFMIQDDESTGAVTAANSTSNSSATTHDGEEIDQEDVHSV >Potri.002G138900.1.v4.1 pep chromosome:Pop_tri_v4:2:10429588:10433458:1 gene:Potri.002G138900.v4.1 transcript:Potri.002G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138900.v4.1 MDQGGREVPNYGLQVSFSTPQAIHEMGFVQFEENQVLSFLAPSQSSQISQPLNTNTTTNSHMGFSHNDEQVGTMDPKPSSDENCTGNANNDGNNSWWRSSSSEKNRLKVRRKLREPRFCFQTRSDVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCEDSNSSEHECFTSF >Potri.006G080400.1.v4.1 pep chromosome:Pop_tri_v4:6:5974847:5977160:1 gene:Potri.006G080400.v4.1 transcript:Potri.006G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080400.v4.1 MAGEQELLSTEIVNRGIENSGPYAGSLNFSVRVRRGLPDFLNSVNLKYVKLGYSYLLSPVFYFLTAPVLMVIFSAQIGKLFIWQDFCPKCDPIDALFIVGLLSLIIYIYLYLSPRSTYLVDFACYRPPNELKISKDEFIELAKKSGKFNGAAIEFQRRALKNSGIGDETYMPRIVFQPGHKITLKDGREEAAMVMFGAVDDLLAATKIRPKDIKILIVNCGILNTTPSLSSMVINHYKLRHDIQNFNLGGMGCAAGIIAIDLAKDLLNAYPGCYALVVSTEAVSYTWYSGNDMDMLLPNCFFRLGAAAMLLSSCRLDRWRSKYELKTLVRTHKGMDNRSFKSIHLREDAERKQGLSVSKDLVEVGGHALKANITTLGPLVLPVSEQVHFFTNLLFKKKNRPYIPNYKLAFEHVCILATSKKVLDEIQKNLELTEEYMEASKKTLEQFGNTSSSSVWYELAYLETNTRIKRGDRIWQLAFGSGFKCNSVVWKALGNVGKPKRSPWIQDSN >Potri.003G015466.1.v4.1 pep chromosome:Pop_tri_v4:3:1714780:1715968:1 gene:Potri.003G015466.v4.1 transcript:Potri.003G015466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015466.v4.1 MFTLAIIATALISFFTIVSSFLILFFNRVTDGMPCGASSPAFPAVLGELMWFYFAGAAVLLFCCISLIY >Potri.006G043700.1.v4.1 pep chromosome:Pop_tri_v4:6:2974176:2975377:-1 gene:Potri.006G043700.v4.1 transcript:Potri.006G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043700.v4.1 MKFIKKLSQKILSASRKDRSTVSRSDPSSFGSGTASSSSSASSSASTSAAAKTGFRTPTSILPQVSGDWTDISADLYFELTQAFKLIDRDNDGLVSRNELEALLTRLGAEPPSSEEMAVILGEVDHISVEALASRLGTACEPAGDDELRDAFVFFDSDRDGKITADELLNVYKAFGDEKCTLEDCRRMIAVVDKNGDGFVCFEDFCRMMELRR >Potri.002G062600.2.v4.1 pep chromosome:Pop_tri_v4:2:4298062:4302009:-1 gene:Potri.002G062600.v4.1 transcript:Potri.002G062600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062600.v4.1 MAIPLSNGLRALFSVLGCLMVATLIYTIYVDGFPFRRDLLTPWMAATLVDFYINIIALAAWISYKESNFITATVWIVLLICSGSIATCAYIFIQLLKLSPEESLQDPLYHVLLRHENKLTYRGVEQKTKQSAVVTARIAFSILGLLMLGTLIYTLITDGSPFRKELLTPWMVATLIDFYINVVALSVWVAYKESSWISAFLWILLLICFGSITTCAYIVKQLLQLTSQDPVYLILFNRGNSYLSMVGQKTGMKDVNLI >Potri.002G062600.13.v4.1 pep chromosome:Pop_tri_v4:2:4298068:4301984:-1 gene:Potri.002G062600.v4.1 transcript:Potri.002G062600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062600.v4.1 MAIPLSNGLRALFSVLGCLMVATLIYTIYVDGFPFRRDLLTPWMAATLVDFYINIIALAAWISYKESNFITATVWIVLLICSGSIATCAYIFIQLLKLSPEESLQDPLYHVLLRHENKGVEQKTKQSAVVTARIAFSILGLLMLGTLIYTLITDGSPFRKELLTPWMVATLIDFYINVVALSVWVAYKESSWISAFLWILLLICFGSITTCAYIVKQLLQLTSQDPVYLILFNRAAQLFVHGRAENRYERREPHLDDRS >Potri.002G062600.14.v4.1 pep chromosome:Pop_tri_v4:2:4298111:4301967:-1 gene:Potri.002G062600.v4.1 transcript:Potri.002G062600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062600.v4.1 MAIPLSNGLRALFSVLGCLMVATLIYTIYVDGFPFRRDLLTPWMAATLVDFYINIIALAAWISYKESNFITATVWIVLLICSGSIATCAYIFIQLLKLSPEESLQDPLYHVLLRHENKGVEQKTKQSAVVTARIAFSILGLLMLGTLIYTLITDGSPFRKELLTPWMVATLIDFYINVVALSVWVAYKESSWISAFLWILLLICFGSITTCAYIVKQLLQLTSQDPVYLILFNRGNRQV >Potri.002G062600.3.v4.1 pep chromosome:Pop_tri_v4:2:4298062:4301981:-1 gene:Potri.002G062600.v4.1 transcript:Potri.002G062600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062600.v4.1 MAIPLSNGLRALFSVLGCLMVATLIYTIYVDGFPFRRDLLTPWMAATLVDFYINIIALAAWISYKESNFITATVWIVLLICSGSIATCAYIFIQLLKLSPEESLQDPLYHVLLRHENKGVEQKTKQSAVVTARIAFSILGLLMLGTLIYTLITDGSPFRKELLTPWMVATLIDFYINVVALSVWVAYKESSWISAFLWILLLICFGSITTCAYIVKQLLQLTSQDPVYLILFNRGNSYLSMVGQKTGMKDVNLI >Potri.002G062600.5.v4.1 pep chromosome:Pop_tri_v4:2:4298068:4302009:-1 gene:Potri.002G062600.v4.1 transcript:Potri.002G062600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062600.v4.1 MAIPLSNGLRALFSVLGCLMVATLIYTIYVDGFPFRRDLLTPWMAATLVDFYINIIALAAWISYKESNFITATVWIVLLICSGSIATCAYIFIQLLKLSPEESLQDPLYHVLLRHENKGVEQKTKQSAVVTARIAFSILGLLMLGTLIYTLITDGSPFRKELLTPWMVATLIDFYINVVALSVWVAYKESSWISAFLWILLLICFGSITTCAYIVKQLLQLTSQDPVYLILFNRGNRAENRYERREPHLDDRS >Potri.002G062600.4.v4.1 pep chromosome:Pop_tri_v4:2:4298071:4301964:-1 gene:Potri.002G062600.v4.1 transcript:Potri.002G062600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062600.v4.1 MAIPLSNGLRALFSVLGCLMVATLIYTIYVDGFPFRRDLLTPWMAATLVDFYINIIALAAWISYKESNFITATVWIVLLICSGSIATCAYIFIQLLKLSPEESLQDPLYHVLLRHENKGVEQKTKQSAVVTARIAFSILGLLMLGTLIYTLITDGSPFRKELLTPWMVATLIDFYINVVALSVWVAYKESSWISAFLWILLLICFGSITTCAYIVKQLLQLTSQDPVYLILFNRGNRKQV >Potri.002G176100.2.v4.1 pep chromosome:Pop_tri_v4:2:13661514:13665391:-1 gene:Potri.002G176100.v4.1 transcript:Potri.002G176100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176100.v4.1 MQRVSSKTIFFSILKGPNNYSALLHSNILLLIHRQQLHQNPSNNISNKTTNGFGLISLKTQQPQPQPHERDNKVDEFASDVEKVYRLLRKFHSRVPKLELALQESGVVLRNGLTERVLNRCGDAGILAYKFFVWASKQPCYRHCYENYKAMIKVLSKMKQFGAVWALLEEMRDNSVLITSEVFVVVMRRFASSRMVNKAIEVLDEMPKYGCEPDEYVFGCLLDALCKNGSVKEAASLFEDMRVRFSPSLKHFTCLLYGWCKEGKLLEAKHVLVQMREAGFEPDIVVYNNLLSGYATAGKMGDAFDLLKEIRRKGCDPNATSYTILIQALCGQEKMDEAMRVFVEMERSGCDADVVTYTALVSGFCKWRMIDKGYQILQSMIQKGHMPNQLTYLHLMLAHEKKEELEECKELMGEMQKIGCIPDLSIYNVVIRLACKLGEVNAGVDAWNEMEVSGLSPGLDTFVIMINGFLGHGYLVEACQYFKEMVERGLLSSRQYGILKDLLNALLRGEKLELAKDLWSCIVTKGCELNVDSWTIWIHALFSNGHVKEACSYCLDMMDADLMPKPETFAKLMRGLRKLYNRQFAAEITEKVRKMAADRHVTFKMYKRRGERDLIEKAKAKKDGRKRRARRRQWGGGHNRASIL >Potri.006G251700.2.v4.1 pep chromosome:Pop_tri_v4:6:25037497:25040345:1 gene:Potri.006G251700.v4.1 transcript:Potri.006G251700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251700.v4.1 MAFALSSSSASTAFQSLTSLHSSDGLHLRPSNLLLPRHSLATSTLAFSRRRNHNSTVTSSSKKKKKSVSKKEVREEDEIDEDAFEALFGMLEEDLKSDDLSTGDGDDENGDLSEKDLEKLQRELEEALGVGGDDDVEVEMASSVGDDVEDNDGDEEEEEEGERPVMLKNWQLRRLARVLKIGRRKTGIKSLAAELCLDRAIVLNLLRDPPPNLVMMSAALPDEPAPTLVMPETKPSEIVPEETREENVVKSESEMKLPVHVRQDSWFARKRLKKVHVETLERVYRRTKRPTNTMISSIVHVTNLPRKRVVKWFEDKRAGDGVPEHRNPFQRSVPETVSSS >Potri.003G069900.9.v4.1 pep chromosome:Pop_tri_v4:3:9696693:9700913:-1 gene:Potri.003G069900.v4.1 transcript:Potri.003G069900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069900.v4.1 MVKLTMIARVTDGLPLAEGLDDGRDVKDAEMYKQQVKALFKNLASGHNDASRMSIETGPYIFHYIIEGRICYLTMCDRSYPKKLAFQYLEDLKNEFERVNGPQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRVYADKARDLNRQALIRKWAPVAIVLGVVFLLFWIKTKLW >Potri.003G069900.10.v4.1 pep chromosome:Pop_tri_v4:3:9696703:9700879:-1 gene:Potri.003G069900.v4.1 transcript:Potri.003G069900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069900.v4.1 MVKLTMIARVTDGLPLAEGLDDGRDVKDAEMYKQQVKALFKNLASGHNDASRMSIETGPYIFHYIIEGRICYLTMCDRSYPKKLAFQYLEDLKNEFERVNGPQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNIAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRVYADKARDLNRQALIRKWAPVAIVLGVVFLLFWIKTKLW >Potri.011G052100.1.v4.1 pep chromosome:Pop_tri_v4:11:4157464:4159126:1 gene:Potri.011G052100.v4.1 transcript:Potri.011G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052100.v4.1 MAKSNKIFTNTLRLLALAATVVAIVFMVTSHDSAQVLNLTFTAKYSNTPAFKFLVIGEAIAGGYTVISILLSFKGLFWRLIVILDMVTTVLLTSSISAALAIAQVGKKGNTHAGWLPICGQVPDFCDYVTIALIAGFAAAIIYFVLLLCSLYVVLSPIFVATP >Potri.003G100900.1.v4.1 pep chromosome:Pop_tri_v4:3:12585295:12586561:-1 gene:Potri.003G100900.v4.1 transcript:Potri.003G100900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100900.v4.1 MAILSDHEEPQQKQQTPTSPSSFSFNTVLDPSNPLGFLDSALNFLSQKSNVLKNDVSPLVKEFKKRIRAEEDSKKAEEKIRAEKKKREEEKKRMRVPNKGNGLDMESHSWAQTLQEVTITVHVPPGTKSKDVVCEIKRKSVKVGLRGHSPILDGELFETIKIDDCIWNLEDQKTVSVLLTKCDRMNWWKSLLKGGPEIDIQKAEPEPSRLSDLDPEIRSTVEKMMFDQQQEQLGLPTSKEIENESLLKLFMAQNPNFSNKNPNIDFSNMNMK >Potri.004G032900.1.v4.1 pep chromosome:Pop_tri_v4:4:2540564:2541375:1 gene:Potri.004G032900.v4.1 transcript:Potri.004G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032900.v4.1 MVSGTILAEHTSAVPADRLWKASFCDGHNLIPKLLPGIISSIDILEGDGAAVGSVKKFNFTDVIKDYSYVKDRVEVMDQENHIVKYSTLEGGVIGVKVKSYSVEISLTSTSEGGCLSKMKIEYESIGDSLLSEEDANDMQQGIFAMVKAIDAHLVENPTAYA >Potri.010G022000.5.v4.1 pep chromosome:Pop_tri_v4:10:3232180:3239330:1 gene:Potri.010G022000.v4.1 transcript:Potri.010G022000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022000.v4.1 MFGFSKRRTKLGRVKKVQLSDSTPGIRSPIRPPKRIISSNNANSEGVALTTNNSDELDYNCSSSAPLDISASTSGNAENWMVLSISGDEPTPRFNHAATVIGNKMIVVGGDSGSGLLDDVQVLKFDQFTWTTISSKLYLSPSSLPLKIPACRGHCLVSWGKKALLIGGKTDPASDRISVWAFHTETECWSIIEAKGDIPIARCGHTVVRASSVLILFGGEDAKRKKLNDLHMFDLKSFTWLPLHCTGTGPSPRTNHVAALYDDKILLIFGGTSKSRTLNDLYSLDFETMVWSRTKIRGFHPSPRAGCCGVLCGTKWYIAGGGTRKKRHSETFIFDILKVEWSVAFPSPSSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPSNQVEVMGIEKNESSMGRHSAPSEGPRNLLLEKCSSSTVLADQLGTGSSQHSVDSITRQNLVSAIEQHGSGRKSLSESLLVDPNSASGNVSRRKDFHHKEENTTAAKTAKNLDGNFASLATELQRNHSDVSVQPNNPFGKINAEEMSCVFQMETSNSHNQGTGNLSIDNEDVPLPESDCTTGGARASIYRLYETKIAALTRKNRVLEGQLAAAFIGREAAEKNVSSALKSRQEMEKKLVDTVREMELLKEKLACVELAQEEANSLSNIVHSDNLRLEHDVAFLKAVLDDTQKELHSTRGVLSGERARAFQLQIEVFHLKQRLQSMENRTPTPRKPFHVH >Potri.010G022000.1.v4.1 pep chromosome:Pop_tri_v4:10:3232094:3239329:1 gene:Potri.010G022000.v4.1 transcript:Potri.010G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022000.v4.1 MFGFSKRRTKLGRVKKVQLSDSTPGIRSPIRPPKRIISSNNANSEGVALTTNNSDELDYNCSSSAPLDISASTSGNAENWMVLSISGDEPTPRFNHAATVIGNKMIVVGGDSGSGLLDDVQVLKFDQFTWTTISSKLYLSPSSLPLKIPACRGHCLVSWGKKALLIGGKTDPASDRISVWAFHTETECWSIIEAKGDIPIARCGHTVVRASSVLILFGGEDAKRKKLNDLHMFDLKSFTWLPLHCTGTGPSPRTNHVAALYDDKILLIFGGTSKSRTLNDLYSLDFETMVWSRTKIRGFHPSPRAGCCGVLCGTKWYIAGGGTRKKRHSETFIFDILKVEWSVAFPSPSSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPSNQVEVMGIEKNESSMGRHSAPSEGPRNLLLEKCSSSTVLADQLGTGSSQHSVDSITRQNLVSAIEQHGSGRKSLSESLLVDPNSASGNVSRRKDFHHKEENTTAAKTAKNLDGNFASLATELQRNHSDVSVQPNNPFGKINAEEMSCVFQMETSNSHNQGTGNLSIDNEDVPLPESDCTTGGARASIYRLYETKIAALTRKNRVLEGQLAAAFIGREAAEKNVSSALKSRQEMEKKLVDTVREMELLKEKLACVELAQEEANSLSNIVHSDNLRLEHDVAFLKAVLDDTQKELHSTRGVLSGERARAFQLQIEVFHLKQRLQSMENRTPTPRKPFHVH >Potri.010G022000.6.v4.1 pep chromosome:Pop_tri_v4:10:3232359:3239348:1 gene:Potri.010G022000.v4.1 transcript:Potri.010G022000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022000.v4.1 MFGFSKRRTKLGRVKKVQLSDSTPGIRSPIRPPKRIISSNNANSEGVALTTNNSDELDYNCSSSAPLDISASTSGNAENWMVLSISGDEPTPRFNHAATVIGNKMIVVGGDSGSGLLDDVQVLKFDQFTWTTISSKLYLSPSSLPLKIPACRGHCLVSWGKKALLIGGKTDPASDRISVWAFHTETECWSIIEAKGDIPIARCGHTVVRASSVLILFGGEDAKRKKLNDLHMFDLKSFTWLPLHCTGTGPSPRTNHVAALYDDKILLIFGGTSKSRTLNDLYSLDFETMVWSRTKIRGFHPSPRAGCCGVLCGTKWYIAGGGTRKKRHSETFIFDILKVEWSVAFPSPSSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPSNQVEVMGIEKNESSMGRHSAPSEGPRNLLLEKCSSSTVLADQLGTGSSQHSVDSITRQNLVSAIEQHGSGRKSLSESLLVDPNSASGNVSRRKDFHHKEENTTAAKTAKNLDGNFASLATELQRNHSDVSVQPNNPFGKINAEEMSCVFQMETSNSHNQGTGNLSIDNEDVPLPESDCTTGGARASIYRLYETKIAALTRKNRVLEGQLAAAFIGREAAEKNVSSALKSRQEMEKKLVDTVREMELLKEKLACVELAQEEANSLSNIVHSDNLRLEHDVAFLKAVLDDTQKELHSTRGVLSGERARAFQLQIEVFHLKQRLQSMENRTPTPRKPFHVH >Potri.003G124200.2.v4.1 pep chromosome:Pop_tri_v4:3:14430300:14436858:-1 gene:Potri.003G124200.v4.1 transcript:Potri.003G124200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124200.v4.1 MDCLALATTSATSSSFSVRKILFPSPSVAVRARSSRKEIWFPRKNGFLVLAAKEEGQPKLDQWDQMELKFGHLLGEDPKLTLAKIMARKENPDVSYLEVEKSFYKNKGRAVEIKEVPFDVSMKKKPSNVLDGLNLVRPVPKEGFKFQEEDKPVAPPKIKKSNQPVEKAMDNAKRSVPNVILRKPSLYVEDDVEDRPSRNRVNILPNLTLKMGNDQNKEKFSDMTLLRKPRPMSVDEKPDSGNLGTEVNHDGAGMRVEKEEGENRYSGFTLLKKPKTMKIEFKESSETGDASFVEEQEVEDNYISGRQPSEKSNIEFTEEEAALNQQSGNNLVDSAVKISMEAALQGKPKRLDQYVEATSASRVEDLNLVNAENLGNANEDVTSISPLEDADWKRADDLLRTGDRVEVELISFSVRGFIVSFGSLVGFLPYRNLAARWKFLAFESWLKQKGLDPSLYKKNLGIIGSYNVPEKNSSLDSSIVPNMDRKIEVENKPDMKLEDLLMLYDQEKLKFLSSFVGQKIKVNVVIADRKLRKLVVSLRPKEKEELVEKKRHLMATLQIGDVVKCCIKKVTYFGIFVEVEGVPALIHASEVSWDATLNPASCFKVGQIVEAKVHQLDFTLQRIFLSLKEITPDPLIETLESVFGGRAPLDGRLQAAEADSEWADVETLVKELQQIEGIQSVSRGRFFLSPGLAPAFQVYMASMFENQYKLLARSGNKVQEVIVQASLSKEEMKSTILSCTNRVE >Potri.003G124200.1.v4.1 pep chromosome:Pop_tri_v4:3:14430298:14436842:-1 gene:Potri.003G124200.v4.1 transcript:Potri.003G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124200.v4.1 MDCLALATTSATSSSFSVRKILFPSPSVAVRARSSRKEIWFPRKNGFLVLAAKEEGQPKLDQWDQMELKFGHLLGEDPKLTLAKIMARKENPDVSYLEVEKSFYKNKGRAVEIKEVPFDVSMKKKPSNTIKEVPFDVSMKKKPSNVLDGLNLVRPVPKEGFKFQEEDKPVAPPKIKKSNQPVEKAMDNAKRSVPNVILRKPSLYVEDDVEDRPSRNRVNILPNLTLKMGNDQNKEKFSDMTLLRKPRPMSVDEKPDSGNLGTEVNHDGAGMRVEKEEGENRYSGFTLLKKPKTMKIEFKESSETGDASFVEEQEVEDNYISGRQPSEKSNIEFTEEEAALNQQSGNNLVDSAVKISMEAALQGKPKRLDQYVEATSASRVEDLNLVNAENLGNANEDVTSISPLEDADWKRADDLLRTGDRVEVELISFSVRGFIVSFGSLVGFLPYRNLAARWKFLAFESWLKQKGLDPSLYKKNLGIIGSYNVPEKNSSLDSSIVPNMDRKIEVENKPDMKLEDLLMLYDQEKLKFLSSFVGQKIKVNVVIADRKLRKLVVSLRPKEKEELVEKKRHLMATLQIGDVVKCCIKKVTYFGIFVEVEGVPALIHASEVSWDATLNPASCFKVGQIVEAKVHQLDFTLQRIFLSLKEITPDPLIETLESVFGGRAPLDGRLQAAEADSEWADVETLVKELQQIEGIQSVSRGRFFLSPGLAPAFQVYMASMFENQYKLLARSGNKVQEVIVQASLSKEEMKSTILSCTNRVE >Potri.004G105800.2.v4.1 pep chromosome:Pop_tri_v4:4:9294516:9296477:1 gene:Potri.004G105800.v4.1 transcript:Potri.004G105800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105800.v4.1 MVMMGLESSSPSPNEKIRAPEKLNLCVGFSLDSIIYDKIDKSENIRVEIRSRKAKKLIEETLKIADSPRTKTYSFSVLLLVVIIISNV >Potri.004G168600.10.v4.1 pep chromosome:Pop_tri_v4:4:18632921:18635598:1 gene:Potri.004G168600.v4.1 transcript:Potri.004G168600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168600.v4.1 MRILPVFRGAVLCFYVSLLFAATFCYAGDKTVEVVGTGECADCAESNIKTVHAFSGLRVTIDCKPENGEFKTRGFGELDEEGKFKVSLPSEVVKDGKLKEECYAQLHSASAAPCPSHDGLESSKIVLKSKTDEKHTFGLAGKLKFSPVTCTSAFLWPHFKYPPLPKLPPLPKWKLPPLKDFHHPYLFPPKVFPPFPPKVFPPLPPKLFPPKPFPPPLPVYKKPLPPPVPIYKPEPKPPVFKPPPVPIYKKPLPPPAPIPIYKPEPKPPVFKPPPVPIYKKPLPPPVPIYKKPLPPPVPIYKPKPKPPVFKPPPVPIYKPKPPVFKLPPVPIYKKPLPPPVPIYKPKPKPPVFKPPPVPIYKPKPPVFKPPPVPVYKPKPKPPIYKPLPPPVPISKPLPPIPKIPPFYKKPLPPLPKLPPHPKIPPIYKPKPPVFKPPPVPIYKPEPKPPIYKPLPPPVPIYKPLPPIPKIPPFYKKPCPPLPKLPPHPKIPPKYLNHPKFGKWPPLPPYSPIH >Potri.005G232650.1.v4.1 pep chromosome:Pop_tri_v4:5:23157996:23158460:-1 gene:Potri.005G232650.v4.1 transcript:Potri.005G232650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232650.v4.1 MCSSNILWWIYMMWSVDRVNLLPQILWKLPNVTFLKVDVDELKSVAQEWDVQAMPTFIFLKDGEIVEKFTGVN >Potri.001G141400.1.v4.1 pep chromosome:Pop_tri_v4:1:11538158:11540345:1 gene:Potri.001G141400.v4.1 transcript:Potri.001G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141400.v4.1 MALSSNVIGAINFVAMLLSIPIIGAGIWLAMEPDNSCVKILQWPVIILGILILIVALAGFVGGFWRIPWLLISYLIAMLILIILLACLVVFIYMVTVRGSGHLEPSRAYLEYRLDDFSGWLRRRVQSSYKWDRIRGCLSSTNMCAELNQSYRMAQDFFNAHISPLQSGCCKPPTQCGYTFVNPTYWISPINNAADMDCLQWNNDQNQLCYNCDSCKAGLLANLKEEWRRADIILLITLVALICVYLVGCCAFRNAKTEDLFRRYKQGYT >Potri.001G294100.3.v4.1 pep chromosome:Pop_tri_v4:1:30567617:30570356:-1 gene:Potri.001G294100.v4.1 transcript:Potri.001G294100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294100.v4.1 MSNSKHRKQRKRSAGSSKTSKVPQAPLLFSNFGKKPLDLVEAGYSKDHKFSISTCSTTGAKLTSRAVKRGQLSTASVAAQYKYKDATINVIVDSKSPISTTLTLSRKFLPSLNISASLKLPKYDYSTISTTLTLSRKFLPSLNTSASLKLPKYDSSKLSASVGTSSLAFGIQTKYEIASRQFREIDAGFSMTKPNYDASITMGNKGDFLRASYIHYFDHKKKVAAAAVISHRFSKKENALTVGGSWIVDNITTVKARVDDRGKIMMLLQYGIKSKSCLTIASEFDTKSLNKIPGIGLAFSLVL >Potri.001G294100.1.v4.1 pep chromosome:Pop_tri_v4:1:30567517:30570356:-1 gene:Potri.001G294100.v4.1 transcript:Potri.001G294100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294100.v4.1 MSNSKHRKQRKRSAGSSKTSKVPQAPLLFSNFGKKPLDLVEAGYSKDHKFSISTCSTTGAKLTSRAVKRGQLSTASVAAQYKYKDATINVIVDSKSPISTTLTLSRKFLPSLNISASLKLPKYDYSTISTTLTLSRKFLPSLNTSASLKLPKYDSSKLQAQYFHKYAALATSFSLHHTPKIQLSASVGTSSLAFGIQTKYEIASRQFREIDAGFSMTKPNYDASITMGNKGDFLRASYIHYFDHKKKVAAAAVISHRFSKKENALTVGGSWIVDNITTVKARVDDRGKIMMLLQYGIKSKSCLTIASEFDTKSLNKIPGIGLAFSLVL >Potri.001G038000.1.v4.1 pep chromosome:Pop_tri_v4:1:2756765:2761786:1 gene:Potri.001G038000.v4.1 transcript:Potri.001G038000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038000.v4.1 MKLTVKTLKGSHFEIKVQPTDTVMGVKKNIEDVQGKDNYPCGQQLLIHNGKVLKDETTLVDNKVTEDGFLVVMLSKSKTAAAGTSSTQPVSTPPTTTPTSNSTPDAPAPDAQAPASKSASASDTATANAQSDTYGQAASNLVAGSSLEQTIQQIMDIGGGNWDKETVTRALRAAYNNPERAVDYLYSGIPETAEVAVPVARFPADQGIETGAAPAAPALAPGGPNSSPLNMFPETLSGGGGDAGLVLGSLDFLRNNQQFQALRSMVQANPQILQPMLQELGKQNPQLLRMIQEHNAEFLQLINEPLDGSEGDIFDQPDQDMPHAINVTPAEQEAIERLVAMGFDRALVIEAFLACDRNEELAANYLLENGADFED >Potri.013G029700.1.v4.1 pep chromosome:Pop_tri_v4:13:1921747:1924785:-1 gene:Potri.013G029700.v4.1 transcript:Potri.013G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029700.v4.1 MMSSLQKPFSKLLQVLFFLLLMCIPSFFAFPSNSSATSFGAAKYEAAEGNEEAEALLKWRASLDDNHSQSVLSSWVGSSPCKWLGITCDNSGSVANFSLPHFGLRGTLHSFNFSSFPNLLTLNLRNNSLYGTIPSHISNLTKITNLNLCHNHFNGSLPPEMNNLTHLMVLHLFSNNFTGHLPRDLCLGGLLVNFTASYNHFSGPVPKSLRNCTSLFRVRLDWNQLTGNISEDFGLYPNLNYVDLSHNNLYGELTWKWGGFNNLTSLKLSNNNITGEIPSEIGKATGLQMIDLSSNLLKGTIPKELGKLKALYNLTLHNNHLSGVVPFEIQMLSQLRALNLASNNLGGSIPKQLGECSNLLQLNLSHNKFIGSIPSEIGFLHFLEDLDLSGNLLAGEIPSEIGQLKQLETMNLSHNKLSGLIPTAFVDLVSLTTVDISYNELEGPIPKIKGFIEAPLEAFMNNSGLCGNANGLKPCTLLTSRKKSNKIVILILFPLLGSLLLLLIMVGCLYFHHQTSRERISCLGERQSPLSFVVWGHEEEILHETIIQATNNFNFNNCIGKGGYGIVYRAMLPTGQVVAVKKLHPSRDGELMNLRTFRNEIRMLIDIRHRNIVKLHGFCSLIEHSFLVYEFIERGSLKMNLSSEEQVMDLDWNRRLNVVKGVASALSYLHHDCSPPIIHRDISSSNVLLDSEYEAHVSDFGTARLLMPDSTNWTSFAGTLGYTAPELAYTMRVNEKCDVYSFGVVTMEVIMGMHPGDLISFLYASAFSSSSCSQINQQALLKDVIDQRIPLPENRVAEGVVSIIKIAFACLLANPQSRPTMRQVASELIARWPPLPKSFSAITLEDLMPQTTVTG >Potri.001G237700.2.v4.1 pep chromosome:Pop_tri_v4:1:25558256:25559242:1 gene:Potri.001G237700.v4.1 transcript:Potri.001G237700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237700.v4.1 MQHFKPRMSRLTFVHLLLAWLLLVASQQRFSSNIKVQAVEAVHFKPNPAQLTSKSHKGNVLPVWVAEKRIHKSPSGPNPVGNHNPPSKQ >Potri.018G112900.1.v4.1 pep chromosome:Pop_tri_v4:18:13007757:13008774:1 gene:Potri.018G112900.v4.1 transcript:Potri.018G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112900.v4.1 MTTTTTTMHNLTTITTNKPTVAFTTPPNYATRLSHLLTLKSFTPLWCPTITTEPTQQTLSSLALHLSPHSLSLLSAIAFPSRTAITAFSTAALSLTTPLLPPREDTFIIAALGKDVELIDSTFLLTFCGDDISWVNVLVPTIATPSGLVQLLGTGRGRKVLCPVPRVVGLEEPPVVPDFLRELEGAGWVPIRVDAYETRWLGPACGKGVVELSEGGLLDAMVFTSSGEVEGLLKSLREFGWDWEMVRRRWPHLVVAAHGPVTAAGAERLGVTVDVVSGRFDSFQGVVDAVEAKLRGLDSSCM >Potri.012G137200.3.v4.1 pep chromosome:Pop_tri_v4:12:15079680:15081737:1 gene:Potri.012G137200.v4.1 transcript:Potri.012G137200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G137200.v4.1 MRSIFSENMDQRFLQRLFSLVSSAEILFLLLLPLTFIILKNIIRSCSESKYLPPGPKPWPIIGNLLHVGNQPHVSLAEIAKIHGPLISLRLGTQLLVVGSSAKAAAEILKTHDRFLSARHVPQVIPRESHVLRRVALVWCPESIDTWKLLRGLCRTELFSAKAIESSATLREKKVGELMDFLVAREGKVVSIGEVVFSTVFNTISNLLFSNDLAGLEEKGMSSGLKSHVRKLMLLVATPNIADFYPIFAGLDPQGLRRKLSKLVEETFAIWAINIKERRNSYVHDSPKRDFLDVFLANGFDDDQINWLAAELFSAGTDTTATTIEWAVAEILKNKEVMKKVDEELEREITKNTISESDVSGLPYLNACIKETLRLHPPVPLLVPHRATETCEVMKYTIPKDSQVLVNVWAISRDPSTWEDPLSFKPDRFLGSNLEFKGGNYEFLPFGAGRRICPGLPMANKLVPLILASLIRCFDWSLPNGEDLAKLDMKDKFGVVLQKEQPLVLVPKRRL >Potri.002G099100.3.v4.1 pep chromosome:Pop_tri_v4:2:7224201:7228016:1 gene:Potri.002G099100.v4.1 transcript:Potri.002G099100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099100.v4.1 MEEGDEYRRWDELIPDALGLIFRNLSLQEILTMVPRVCKSWSRAVSGPYCWQEINIEEWSTRCHPDHLDRMLQMLITRSCGSLRKLCVSGLHNDTNFSFLADHAGSLQTLRIPRSDIGDSIVEQIAGRLSTVTFLDVSYCNKISGRALEAIGKHCKLLVGLCRNMHPLDTEGLEAQDDEAYAIATTMPKLKRLEMAYHLVSTESLLQILSSCTNLEFMDLRGCWNVNLDNKFFKEKFQKLTVLGPLVMEDYYEANDWEEDCSEYSDDSDYLAWGFLAGDGGDYDDDESYDEMWDDEGRLEELELRFYEGADAGLYGWPPSP >Potri.010G235900.1.v4.1 pep chromosome:Pop_tri_v4:10:21628283:21633305:-1 gene:Potri.010G235900.v4.1 transcript:Potri.010G235900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235900.v4.1 MPSVGLRRTTRVFGVIKGVDGARVLRSGRRLWQESGDGKLRRSNDGDEWYHTIIKNDNYQTKNQNKNSDLKYKENSGWAHDDKLKKDLGVVIAIAAPKRIKRVKSEKKFGIVYRRKRKRLGGEKSEDSEDKKFGIQFSRRQRRSLDDESSESLVCTPELVVLVEDFSSSSSNGLSCFLSSVLRYIKRVNLSLSELADFLLSEPISSVFASNGLHFARDLSADRIGICKFFGTRQLLPMFSVDFSSIPSCFVHMHLSLFVRFKFLSPIPVNNSLDEDDEDDDVMMSGSKVDQSCTTMKTDFALKITAVPEIDNSGSKAVVHPSVRASKLAGRSTQYRNGLNSRGIQKRRSSLRRGRPRNSAIAGLHKASGALVSDLISSRRKGIPFSSVVSKNKLRRSVRSSPAANIKEMNSAAVGVKKDMNMSSCSANILVSESDRCYRIEGATVMFEFTGSREWVLVVKKDGLTRYTHLAQKSMRTCASNRFTHDIIWTGDDNWKLEFPNRQDWFIFKELYKECSDCNVPASVSKVISVPGVREVLGYENGGGAPFLRPYAYISSENDEVARALARSTASYDMDSEDEEWLKKYNNDFLAESDHLSEDNFELLIDALEKSYYCNPDDFTDENAAAKYCKDFGRREVAEAVYSYWMKKRKQKCSPLLRVFQGHQAKKTPVIPKPVLRKRRSFKRPPSQFGRGKQPSLLPVMAADQDALEGYNAMHKIEEAENSVKRSLEAAILKRRRAQLLMKNADLATYKAAMALKIAEAALVASSTDVAVTQLCD >Potri.006G119100.1.v4.1 pep chromosome:Pop_tri_v4:6:9365004:9368399:1 gene:Potri.006G119100.v4.1 transcript:Potri.006G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119100.v4.1 MAAPILTLIAASLLVGCTTLAMAWEETYGVINVAGKVMCQDCTKGYNDWINGDRPIKGSKVCLTCTDDRGRVIHYDSDVTDERGEFDMIVSKYINGKQLKEKKCSVRLVSSPDPSCNILTDFAGGKSGVKLKRPTSVYRDTVKYMLTPFYFTSPMCEEPDTTDQYDDTQGNNY >Potri.006G119100.2.v4.1 pep chromosome:Pop_tri_v4:6:9367052:9368399:1 gene:Potri.006G119100.v4.1 transcript:Potri.006G119100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119100.v4.1 MYIYGLLVSKDLVSLIYQERERKQRKMAAPILTLIAASLLVGCTTLAMAWEETYGVINVAGKVMCQDCTKGYNDWINGDRPIKGSKVCLTCTDDRGRVIHYDSDVTDERGEFDMIVSKYINGKQLKEKKCSVRLVSSPDPSCNILTDFAGGKSGVKLKRPTSVYRDTVKYMLTPFYFTSPMCEEPDTTDQYDDTQGNNY >Potri.003G165300.2.v4.1 pep chromosome:Pop_tri_v4:3:17448192:17449179:-1 gene:Potri.003G165300.v4.1 transcript:Potri.003G165300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165300.v4.1 MSDHHHSPPPQGIPPPYPPPDFQPPPVPAPPPPGYQSYFYEGPPPPPPPSHAYHVRHDHGGSSGCCSFLRG >Potri.003G165300.1.v4.1 pep chromosome:Pop_tri_v4:3:17445769:17449223:-1 gene:Potri.003G165300.v4.1 transcript:Potri.003G165300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165300.v4.1 MSDHHHSPPPQGIPPPYPPPDFQPPPVPAPPPPGYQSYFYEGPPPPPPPSHAYHVRHDHGGSSGCCSFLRGCLAALCCCCVWEECCCCCCL >Potri.001G392400.1.v4.1 pep chromosome:Pop_tri_v4:1:41549991:41550808:1 gene:Potri.001G392400.v4.1 transcript:Potri.001G392400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392400.v4.1 MAKSFTIAAFVLCFSSLLISAYAADYLDVEGKVYCDPCRVEFQTKISEGIPAAKVKLVCNNRDNGTETYTVEGATDNSGTYRLPVAGDHEDDICEVRLVESSRPDCNEPFRSIDSARILLTKNVGVVDKTRYPNALGYMKKEAQPECADVLKEMGFLPLEV >Potri.012G007400.2.v4.1 pep chromosome:Pop_tri_v4:12:306616:310421:-1 gene:Potri.012G007400.v4.1 transcript:Potri.012G007400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007400.v4.1 MHGCGHDAHTTMLLGAAKLLNERKHLLKGTVRLLFQPAEEGGAGASHMIKDGALGDAEAIFGMHVNYKIPTGTIASLSGPVFAAASRFQVKIEGRGGHAAVPHNAVDPLLAASFAILALQQLISRELDPLQSQVLSITYVRGGTTLNVIPPYFEFGGTLRSLTTESLHQLQRRLKEVVEGQAAVHRCHAHVDMYEKEDVPLYPATVNDEKLNLHVERVSRLLFNPENFKMGQKVMAAEDFSFYQEVIPGVMLDIGIRNENVGAIHSLHSPYFFLDEDVLSIGAALHTALAEIYLNEHQQSAAQ >Potri.012G007400.1.v4.1 pep chromosome:Pop_tri_v4:12:306617:310583:-1 gene:Potri.012G007400.v4.1 transcript:Potri.012G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007400.v4.1 MGTFLYLILFQVLLSVLVCFDSSQSTFDRQTYREHLLSSSQRDKDWLITIRRQIHENPELRFEEHNTSALIRSELDKLAISYTYPLAKTGIVAQIGSGSPPVVALRADMDALPLQELVEWEHKSKVDGKMHGCGHDAHTTMLLGAAKLLNERKHLLKGTVRLLFQPAEEGGAGASHMIKDGALGDAEAIFGMHVNYKIPTGTIASLSGPVFAAASRFQVKIEGRGGHAAVPHNAVDPLLAASFAILALQQLISRELDPLQSQVLSITYVRGGTTLNVIPPYFEFGGTLRSLTTESLHQLQRRLKEVVEGQAAVHRCHAHVDMYEKEDVPLYPATVNDEKLNLHVERVSRLLFNPENFKMGQKVMAAEDFSFYQEVIPGVMLDIGIRNENVGAIHSLHSPYFFLDEDVLSIGAALHTALAEIYLNEHQQSAAQ >Potri.008G183100.2.v4.1 pep chromosome:Pop_tri_v4:8:12662530:12664536:-1 gene:Potri.008G183100.v4.1 transcript:Potri.008G183100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183100.v4.1 MDTRKVILAVFSVVLVFRLADSFDYTEEDLASEERLRDLYERWRSHHTVSRSLAEKQERFNVFKENLKHIHKVNHKDRPYKLKLNSFADMTNHEFLQHYGGSKVSHYRVLRGQRQGTGSMHEDTSKLPSSVDWRKNGAVTGIKDQGKCGSCWAFSTVAAVEGINKIKTGELISLSEQELVDCDSDNHGCNGGLMEDAFNFIKQIGGLTSENTYPYRAKEEPCDSNKMNSPVVNIDGYEMVPENDENALMKAVANQPVAIAMDAGGKDLQFYSEASF >Potri.008G183100.1.v4.1 pep chromosome:Pop_tri_v4:8:12662530:12664536:-1 gene:Potri.008G183100.v4.1 transcript:Potri.008G183100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183100.v4.1 MDTRKVILAVFSVVLVFRLADSFDYTEEDLASEERLRDLYERWRSHHTVSRSLAEKQERFNVFKENLKHIHKVNHKDRPYKLKLNSFADMTNHEFLQHYGGSKVSHYRVLRGQRQGTGSMHEDTSKLPSSVDWRKNGAVTGIKDQGKCGSCWAFSTVAAVEGINKIKTGELISLSEQELVDCDSDNHGCNGGLMEDAFNFIKQIGGLTSENTYPYRAKEEPCDSNKMNSPVVNIDGYEMVPENDENALMKAVANQPVAIAMDAGGKDLQFYSEGVFTGDCGTELNHGVALVGYGTTQDGTKYWIVKNSWGTDWGEKGYIRMQRGIDAEEGLCGITMEASYPVKLRSDNKKAPSRKDEL >Potri.005G008800.2.v4.1 pep chromosome:Pop_tri_v4:5:743867:748097:-1 gene:Potri.005G008800.v4.1 transcript:Potri.005G008800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008800.v4.1 MNHSSLKFFSSENNRLVTEPMSFHDLIPKFQLVFFHLSNSPTSKAVNVEIPNFLYYQYNLRFLDLSHNNITGMFPSWLLKNNTRLEQLFMSENSFVGTLQLQDHPNPNMTELDISNNNMHGQISKDICLIFPNLYTLRMAKNGFTGCIPSCLGNISSLGILDLSNNQLSTVKLKQLTTIGFLKLSNNNLGGQLLASVVNSSGLVFLYLSGNNFWGQISDFPLDGWKKMWTVLDLSNNQFSGMLPRWIVNSTQLSAIDLSKNHFKGPIPRDFCKLQGLEYLDLSENNLSGSIPSCFNPPQITHVHLSENRLSGPLTCGFYNSSSLITMDLRNNSFTGSIPNWIGNLSSLSFLLLRANHFDGDFPDHLCLLEKLSILDVSQNHLSGPLPACLGNLTFKENSKKAFADIENVFGSAYTGKSYYDTMNPKLVDNFQILGNPSQSNIAEEVIEFTTKNMYYGYKGKILSFMSGIDLSSNNFLGAIPQELGYLSKILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLTGAIPQQLTEITTLTVFSVAHNNLSGKTPEEKYQFGTFDESCYEGNPFLCGPPLRNNCSKEPMSLQPVPNDEQEDDDFIDMEFFYISFSVCYTIVVMMIAAVLYINPYW >Potri.018G085100.4.v4.1 pep chromosome:Pop_tri_v4:18:10282452:10286131:-1 gene:Potri.018G085100.v4.1 transcript:Potri.018G085100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085100.v4.1 MEDENGLELSLGLGCGGSSAKSKGKSGSSSDTRTEEGDRGNKLVDDFKNFLHGNTQKQDSSTGSQRSDSVKPQEKFFNDLSKNNADADAPINLNNRGLWVSSANRSAETDEEKRSDLGNKRKLLFDDLNNQKKHERDAHHSDLHDKKSHISITTEDGSTAENEDVAESEVEGSTSRLASHHDDGSKQFVGVGGPPEATNEIRGFSDSSVVELQGQRRLSSSSENEFKQGNLNYGVPFSVQPVSIMNVHSFPIKESNSVGAPSTSGHPITGMVQVLPTSNGEQRPGNQSVNPGNLPVMFGYSPVQLPTLDKDNSWGLVSHLQQFHPSYPGRAPSNAEKQNDGLKISQAMQAIARNSTEVAQFDGRTLGRAKGDRKQLITEEGSSSHTEDDAKGSTMNFRAKDAPPERSTAETICFDFSAIKPGIGPAVKFGGCGSYPNLPWVSTTAPGPNGRTISGVTYKYSANQIRIVCACHGYHMSPEEFVRHASEENANPDAGNGLATFPNANPAASTQS >Potri.018G085100.5.v4.1 pep chromosome:Pop_tri_v4:18:10282464:10286491:-1 gene:Potri.018G085100.v4.1 transcript:Potri.018G085100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085100.v4.1 MEDENGLELSLGLGCGGSSAKSKGKSGSSSDTRTEEGDRGNKLVDDFKNFLHGNTQKQDSSTGSQRSDSVKPQEKFFNDLSKNNADADAPINLNNRGLWVSSANRSAETDEEKRSDLGNKRKLLFDDLNNQKKHERDAHHSDLHDKKSHISITTEDGSTAENEDVAESEVEGSTSRLASHHDDGSKQFVGVGGPPEATNEIRGFSDSSVVELQGQRRLSSSSENEFKQGNLNYGVPFSVQPVSIMNVHSFPIKESNSVGAPSTSGHPITGMVQVLPTSNGEQRPGNQSVNPGNLPVMFGYSPVQLPTLDKDNSWGLVSHLQQFHPSYPGRAPSNAEKQNDGLKISQAMQAIARNSTEVAQFDGRTLGRAKGDRKQLITEEGSSSHTEDDAKGSTMNFRAKDAPPERSTAETICFDFSAIKPGIGPAVKFGGCGSYPNLPWVSTTAPGPNGRTISGVTYKYSANQIRIVCACHGYHMSPEEFVRHASEENANPDAGNGLATFPNANPAASTQS >Potri.018G085100.3.v4.1 pep chromosome:Pop_tri_v4:18:10282393:10286348:-1 gene:Potri.018G085100.v4.1 transcript:Potri.018G085100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085100.v4.1 MEDENGLELSLGLGCGGSSAKSKGKSGSSSDTRTEEGDRGNKLVDDFKNFLHGNTQKQDSSTGSQRSDSVKPQEKFFNDLSKNNADADAPINLNNRGLWVSSANRSAETDEEKRSDLGNKRKLLFDDLNNQKKHERDAHHSDLHDKKSHISITTEDGSTAENEDVAESEVEGSTSRLASHHDDGSKQFVGVGGPPEATNEIRGFSDSSVVELQGQRRLSSSSENEFKQGNLNYGVPFSVQPVSIMNVHSFPIKESNSVGAPSTSGHPITGMVQVLPTSNGEQRPGNQSVNPGNLPVMFGYSPVQLPTLDKDNSWGLVSHLQQFHPSYPGRAPSNAEKQNDGLKISQAMQAIARNSTEVAQFDGRTLGRAKGDRKQLITEEGSSSHTEDDAKGSTMNFRAKDAPPERSTAETICFDFSAIKPGIGPAVKFGGCGSYPNLPWVSTTAPGPNGRTISGVTYKYSANQIRIVCACHGYHMSPEEFVRHASEENANPDAGNGLATFPNANPAASTQS >Potri.013G062401.2.v4.1 pep chromosome:Pop_tri_v4:13:4624492:4625633:-1 gene:Potri.013G062401.v4.1 transcript:Potri.013G062401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062401.v4.1 MAGIMHKIEETLNIGGKKDERKGETQGGYNQQDHRDGAQGERKEGFVAQMKDKLPGGGGGMTQGGYNQQEHRGGAQGGYNQQEHRGDAQGERKEGFVDKIKGKIPGGGGGSGVRGEGGEKKKKKDKKKNEDGHSSSSDSD >Potri.013G062401.1.v4.1 pep chromosome:Pop_tri_v4:13:4624499:4625633:-1 gene:Potri.013G062401.v4.1 transcript:Potri.013G062401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062401.v4.1 MAGIMHKIEETLNIGGKKDERKGETQGGYNQQDHRDGAQGQRKEGFVDQMKDKIPGGGGGVHKGETQGGYNHQEQGGYNQQQHRGGAQGGYNQQEHRGDAQGERKEGFVDKIKGKIPGGGGGSGVRGEGGEKKKKKDKKKNEDGHSSSSDSD >Potri.008G090600.1.v4.1 pep chromosome:Pop_tri_v4:8:5657704:5662856:-1 gene:Potri.008G090600.v4.1 transcript:Potri.008G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090600.v4.1 MEVVRSESGVRSEKIAAPVAPVIARTKRLQVWFIRVCSSILLWTCLVQLLTVGELWHSSFINIIAPSVKHPPLPLLPLRNYTSNGYLKVSCNGGLNQMRSAICDMVAVARLLNLTLVVPELDKTSFWADNSTFEDIFDVKHFIESLRDEIRIVRRLPKRFSSKYGYKVFEMPPVSWSSEEYYLQQILPLFSKQKVLHFNKTDARLANNGVSIDLQKVRCRVTFQALKFTPEIESLGYKLVRVLHERGPFVALHMRYEMDMLAFSGCTHGCTKEEAEELKQLRYAYPWWREKEIVSEERRSQGLCPLTPEETALILQALGFDKDTQIYIAAGEIYGSERRLAVLRAAYPRTVRKEMLLDSGELQQFQNHSSQMAALDFMVSIASDTFIPTYDGNMAKVVEGHRRYLGFKKTVLLDRKRLVELMDLHQIGTLTWNEFVFAVRSAHEKRMGKPTRRRVIADKPKEEDYFYANPQECLCEGTSCDDLLGPGNSSSVP >Potri.001G272900.1.v4.1 pep chromosome:Pop_tri_v4:1:28703915:28707288:1 gene:Potri.001G272900.v4.1 transcript:Potri.001G272900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272900.v4.1 MDGLNTSSRRKGGFRACTFIFTLGALENMGFIANMASLVLYFYYIMHFDIATSANTLTNFMGSVFMLSLVGAFIADTFLNRFYTCLLFGIMEVMGLALLTTQAYFKDLQPEFCLRSTCVEGGQAVMLYGSLCLYALGSGGVKGAIPALGGDQFDHHQQKKGALARYYNWNLLISTTGSIVGVTAVVWVSMNKGWYKGFFVSTVATLIGFTVLALGKPFYRIQPPGNSTFVRIAQVIAVTFRNRDLSLPKNPDKLFEIHDASRDPSEERLSHSNQFRLLDKAAILREGTEPQPWKVCTVTQVEEVKIIIRMIPIIASTILMNTSMAQLQTFSVQQGVTMDAHLGTKTIPTPSIPVIPLVFMSVLIPIYEFVAVPFARKITGHPSGITQLQRVGVGLVLSILSMAVAGFIELYRKHEVHKNPPNKISVFWLSFQYGIFGIADMFTVVGLLEFFYNEAPSGMRSVSTSFTWLTLALGYFTSTVFVDIINSVTKRITPSKQGWLHGNDLNSNNLNLFYWFLAILNVINFVFYLFSASWYKYKSDDRESETIAKAEKGPNGEITLVK >Potri.004G226400.2.v4.1 pep chromosome:Pop_tri_v4:4:23032114:23036849:1 gene:Potri.004G226400.v4.1 transcript:Potri.004G226400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226400.v4.1 GEESRRGIRLPVQGSINRRLRSRKSNLLSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSYAEKEGLAFIETSALEATNVEKAFQTILSEIYRIVSKKSLSSEESAPASIKDGKTIVVGGPEPDTKKTCCSSS >Potri.016G124800.1.v4.1 pep chromosome:Pop_tri_v4:16:12851901:12852698:1 gene:Potri.016G124800.v4.1 transcript:Potri.016G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G124800.v4.1 MGYRHGDVKRDQVGKLVIELMEGEKGKEMKRKAMEWKTKAEEAASPGCSLQELRKSASRHSFGAKNKK >Potri.014G191400.1.v4.1 pep chromosome:Pop_tri_v4:14:16716842:16719584:-1 gene:Potri.014G191400.v4.1 transcript:Potri.014G191400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191400.v4.1 MSTQTQGVKSPPLRGYRRRKTVLDLNAPPTEGRGDEGTSSRTEPQGVQASQQGQSLPPPTIDVDVFDDDVIESSPTAFAEAKNNSRRARGRAVVVDVESGRTSRLSHNNLNKRRRVPPNQTIINCDLYINLEGGSSSSSRSMRENVQTLPPKEPTFNCPICLCPLVEEMSTKCGHIFCKTCIADAIKRQAKCPTCRKRVTNKELIRVFLPATS >Potri.014G191400.4.v4.1 pep chromosome:Pop_tri_v4:14:16716618:16719657:-1 gene:Potri.014G191400.v4.1 transcript:Potri.014G191400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191400.v4.1 MSTQTQGVKSPPLRGYRRRKTVLDLNAPPTEGRGDEGTSSRTEPQGVQASQQGQSLPPPTIDVDVFDDDVIESSPTAFAEAKNNSRRARGRAVVVDVESGRTSRLSHNNLNKRRRVPPNQTIINCDLYINLEGGSSSSSRSMRENVQTLPPKEPTFNCPICLCPLVEEMSTKCGHIFCKTCIADAIKRQAKCPTCRKRVTNKELIRVFLPATS >Potri.005G187400.1.v4.1 pep chromosome:Pop_tri_v4:5:19494616:19497588:-1 gene:Potri.005G187400.v4.1 transcript:Potri.005G187400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187400.v4.1 MGSPNNRPTRIFSLHHHNTPPCTRSHQIGALLLVASTFFLTRLFDHPFSTCPPSSLNHDHTSQNVVHFSDGGSLSWPQMGYGTHLSLKIYVYEEDEIDGLKELLRGREGRISADACVKGQWGTQVKIHRLLLQSRFRTRKKGEANLFFVPAYAKCVRMMGGLNDKEINHTYVKALSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINRSIILSPEGDRTDKKDTSSFNTWKDIIIPGNVEDGMTKRGAAMAQPLPLSKRKYLANYLGRAQGKVGRLKLIELAKQYPDKLECPELKFSGPEKFGRMEYFQHLRNAKFCVAPRGESSWTLRFYESFFVECVPVILSDQAEFPFQNVIDYTQISIKWPSTRIGLELLEYLESIPDEDVERMIAAGRQVRCLWVYAPELELCSAMQGIMWELQRKVRQFHQSAETFWLHNRTIVNRNMVEFSSWKPPMPLP >Potri.004G077700.1.v4.1 pep chromosome:Pop_tri_v4:4:6431064:6436127:-1 gene:Potri.004G077700.v4.1 transcript:Potri.004G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077700.v4.1 MEKKVAKTKTKEGLVIPGRSLVDLVFSWSIGHVLNKDLYKNQVKKIPETFMSTTHYMESFIPALIEETRADLCSNMTMVSQAPKREIFSLGIAKENKPPKDLFYKIWFEKMRNNVNGEGIYEPGVGDLLALTDVRPKDIDDLNRPGFNYLLAYVHGLSIAKDDNDKYDILSILTSKPIQFELEDRENKKESVIAGKGRRKNMKANVFVVYLVNMMTNIRTWRSLNSELEGGNMNIIQNVLHTSSADGQDCTHCLSEVNRSATLSGMEETSSNLNDSQQDAIVSCIGLSECQHQSTVKLIWGPPGTGKTTMVGLLLLSLLKLKCRTLTCAPTNIAVLGVTSRLLRQVRQVTDSLEYDTYGLGDIVLYGNGKRMKISENHDLEDIFLDNRVEALYHCFNPSTGWKHTLGSLITLLEDPEHQYRRYLESKDGMHGFEEINTSKGKDEAVNDQEKKGTNSRKVLKKALIQAMKDNKKKEKQKQNKDGKVKKEVILSFEEFVKDSFEFLSAKLDVLIVDLYTHLPTSIISLEVVKNMIIALGGLKSFKPLLYSVSVGDEGLKQVLSDFENEGSGAGQFSRLAFTRKYCVQTLNSLPRVFDIPNIFEVEGIAARNFCLGNACLVFCTASSSVKLHTEGATPIKLLVIDEAAQLKECESTIPLQLSGLRHAILIGDERQLPAMVQSKISEEAEFGRSLFERLVILEHGKHLLNMQYRMHPSISLFPNKEFYDRLIEDSSNVKERNYRKQFLQGSMYGPYSFINVATGKEQSYNGRSKKNLVEVAVVSAIVASLFKKFIRARKRMSIGVISPYKAQVYAIQEKIGNAYSKYSDFAVKVRSVDGFQGSEEDVIIISTVRCNANGSVGFLSNRQRVNVALTRARYCLWILGNGATLVNSDTIWKKLVTDAKERGCFYNADEDKSLSKAIMDALLELDQLDYLLNANFLLFRNARWKFFFSDSFRKSIMKVGNEARHEVISLLAKLSSGWRQSPEERNIIVLHGTSSELLENYRVNDQLSLIWTVDIIKENKNDTQILKVWDVLPLRDLPKLARSLDAVFGNYTVNKMNRCRHKCTEGDVVVPMRWSISSGAALESSNPETDPAQLLSQTLASLVIRDESEAPAATSRQPWRSKKDGFSSGTRRSKPRWRRTNDN >Potri.016G086001.1.v4.1 pep chromosome:Pop_tri_v4:16:6764633:6765049:1 gene:Potri.016G086001.v4.1 transcript:Potri.016G086001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086001.v4.1 MKTLHMCLLHALLPRNSGPLVSLHIPLVYINTLVFGLVYSGEESPNILSVKYAFSWVFMGIMDLKELAVFQVLKELDSDDIKTMEISLISGYAKREFHSNADSHAGQVLQDHGFHQQMAHGRDQLLIIGSGIYEPAQP >Potri.015G042500.1.v4.1 pep chromosome:Pop_tri_v4:15:3944168:3955220:-1 gene:Potri.015G042500.v4.1 transcript:Potri.015G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042500.v4.1 MPCCIRMNTIMSLRPTSTKTAKSLHFHYKAKTTLKPSLFSSSSFVSVRNHQTLSFTNQPLPRPLRFRHHGIFSFKCFGVAGFHSKKLALPYTRSMQSFNYGRFAYRDVSSDESDYELGSSQKEMTGSTLDNVDDWKWKLTMLLQSKDQQEVVSREKKDRRDFGHLSAMATRMGLHSRQYSRIVVFSKVPLPNYRHDLDDKRPQREVILPFGLQREVDAHFKAYISKKPTSRGLFPPNSLSRSNGGRSMDTDERIYERPELSVQNSVAMERILSRKSLQLRNQQEKWQESPEGQKMIEFRRSLPAYKEKDVLLKAISENQVIVVSGETGCGKTTQLPQYILESEIEAARGAACSIICTQPRRISAMAVSERVAAERGEKLGESVGYKVRLEGMRGRDTRLLFCTTGILLRRLLLDRNLKGVTHVIVDEIHERGMNEDFLLIVLRDLLPRRPELRLILMSATLNAELFSSYFGDAPAIHIPGFTYPVRAHFLENILEITGYRLTPYNQIDDYGQEKTWKMQKQAQAFKKRKSQIASSVEDALEVADFKGCSSRTRESLSCWNPDSIGFNLIEHVLCHIVKKERPGAVLVFMTGWDDINSLKDQLQAHPILGDPCRVLLLACHGSMASSEQRLIFDKPEDGVRKIVLATNMAETSITINDVVFVVDCGKAKETSYDALNNTPCLLPSWISKAAARQRKGRAGRVQPGECYHLYPRCVYDAFADYQLPELLRTPLQSLSLQIKSLQLGSISEFLSRALQPPEPLSVQNAVEYLKLIGALDEHENLTVLGRHLSVLPVEPKLGKMLILGTIFNCLDPIMTVVAGLSVRDPFLIPFDKKDLAESAKAQFAGRDCSDHLALVRAYNGWKDAERQQYGHEYCWKNFLSAQTLKAIDSLRKQFFYLLKDTGLVDKQIENCNSRSIDEHLMRAVICAGLFPGLCSVVNKEKSITLKTMEDGQVLLYSNSVNAGVPKIPYPWLVFNEKVKVNSVFLRDSTGVSDSVLLLFGGNIEKGGLDGHLKMLGGYLEFFMKPTLGDMYLSLKRELEELIQNKLLDPKLDIQSHNELLMAIRLLVSEDQCEGRFVFGRQLPAPSKKAEKAKNVAGDGGDNSKNELQTLLARAGHESPAYKTKQLKNNQFRSTVFFNGLDFAGQPCSSKKLAEKDAAAAALLWLKGETHSYSRNTDHFSVLLKKSKTTNQNRIPVRGGKWN >Potri.013G089400.4.v4.1 pep chromosome:Pop_tri_v4:13:9014981:9021958:-1 gene:Potri.013G089400.v4.1 transcript:Potri.013G089400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089400.v4.1 MRSLYVLNPTNLNQRVLSIVSKCNHLNHLKQLQSFLTILGHSQTNFYTFKLIRCCLLQLNNLYYARFIFNNFEFPNIYLYTAMVTAYASIQDHQSSFDLFRFMLRRGHPKPNHFLFPHVLKYCQVTKFVHAQIEKLGFGQYPVVQTALIDSYSRSGYDIGIARRMFDEMSERNVVSWTAMISGYTRLGEIENAITLFDEMPERDVPSWNAVISGCAQNGLFTRAITIFKKMVGLSLEVQHRDMRPNQTTVVCALSACGHTGMLHVGKWIHGYVYRNMRSSDSFVLNALVDMYGKCGCLKEAKKVFDATSKKSLTSWNSMINCLALHGQSERAICVFEEMLHYVADMMTLNYGIEPEIEHYGCLIDILGRAGRLEEALEVLREMKIQPDEVVWGSLLNGCKIHGRADLAEFAVKKLLEIDPNNGGYGITLANVYGKLGKWDDVRRVRKMLKELNVPKTPGCSWIEVDNKVSQFHSVDKSHPRAEEIYQILENLVYFN >Potri.013G089400.1.v4.1 pep chromosome:Pop_tri_v4:13:9020174:9021948:-1 gene:Potri.013G089400.v4.1 transcript:Potri.013G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089400.v4.1 MRSLYVLNPTNLNQRVLSIVSKCNHLNHLKQLQSFLTILGHSQTNFYTFKLIRCCLLQLNNLYYARFIFNNFEFPNIYLYTAMVTAYASIQDHQSSFDLFRFMLRRGHPKPNHFLFPHVLKYCQVTKFVHAQIEKLGFGQYPVVQTALIDSYSRSGYDIGIARRMFDEMSERNVVSWTAMISGYTRLGEIENAITLFDEMPERDVPSWNAVISGCAQNGLFTRAITIFKKMVGLSLEVQHRDMRPNQTTVVCALSACGHTGMLHVGKWIHGYVYRNMRSSDSFVLNALVDMYGKCGCLKEAKKVFDATSKKSLTSWNSMINCLALHGQSERAICVFEEMLHYVADVRPNEITFLGLLNACTHGGLVEKGRFYFQMMTLNYGIEPEIEHYGCLIDILGRAGRLEEALEVLREMKIQPDEVVWGSLLNGCKIHGRADLAEFAVKKLLEIDPNNGGYGITLANVYGKLGKWDDVRRVRKMLKELNVPKTPGCSWIEVDNKVSQFHSVDKSHPRAEEIYQILENLVYFN >Potri.013G089400.2.v4.1 pep chromosome:Pop_tri_v4:13:9013916:9021977:-1 gene:Potri.013G089400.v4.1 transcript:Potri.013G089400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089400.v4.1 MRSLYVLNPTNLNQRVLSIVSKCNHLNHLKQLQSFLTILGHSQTNFYTFKLIRCCLLQLNNLYYARFIFNNFEFPNIYLYTAMVTAYASIQDHQSSFDLFRFMLRRGHPKPNHFLFPHVLKYCQVTKFVHAQIEKLGFGQYPVVQTALIDSYSRSGYDIGIARRMFDEMSERNVVSWTAMISGYTRLGEIENAITLFDEMPERDVPSWNAVISGCAQNGLFTRAITIFKKMVGLSLEVQHRDMRPNQTTVVCALSACGHTGMLHVGKWIHGYVYRNMRSSDSFVLNALVDMYGKCGCLKEAKKVFDATSKKSLTSWNSMINCLALHGQSERAICVFEEMLHYVADVRPNEITFLGLLNACTHGGLVEKGRFYFQMMTLNYGIEPEIEHYGCLIDILGRAGRLEEALEVLREMKIQPDEVVWGSLLNGCKIHGRADLAEFAVKKLLEIDPNNGGYGITLANVYGKLGKWDDVRRVRKMLKELNVPKTPGCSWIEVDNKVSQFHSVDKSHPRAEEIYQILENLVYFN >Potri.013G089400.3.v4.1 pep chromosome:Pop_tri_v4:13:9004116:9021963:-1 gene:Potri.013G089400.v4.1 transcript:Potri.013G089400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089400.v4.1 MRSLYVLNPTNLNQRVLSIVSKCNHLNHLKQLQSFLTILGHSQTNFYTFKLIRCCLLQLNNLYYARFIFNNFEFPNIYLYTAMVTAYASIQDHQSSFDLFRFMLRRGHPKPNHFLFPHVLKYCQVTKFVHAQIEKLGFGQYPVVQTALIDSYSRSGYDIGIARRMFDEMSERNVVSWTAMISGYTRLGEIENAITLFDEMPERDVPSWNAVISGCAQNGLFTRAITIFKKMVGLSLEVQHRDMRPNQTTVVCALSACGHTGMLHVGKWIHGYVYRNMRSSDSFVLNALVDMYGKCGCLKEAKKVFDATSKKSLTSWNSMINCLALHGQSERAICVFEEMLHYVADVRPNEITFLGLLNACTHGGLVEKGRFYFQMMTLNYGIEPEIEHYGCLIDILGRAGRLEEALEVLREMKIQPDEVVWGSLLNGCKIHGRADLAEFAVKKLLEIDPNNGGYGITLANVYGKLGKWDDVRRVRKMLKELNVPKTPGCSWIEVDNKVSQFHSVDKSHPRAEEIYQILENLVYFN >Potri.010G226100.4.v4.1 pep chromosome:Pop_tri_v4:10:20957450:20961172:-1 gene:Potri.010G226100.v4.1 transcript:Potri.010G226100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226100.v4.1 MEWKRFLSIPVCSVFLLMGFVYYITVFIFIEDWVGLQSSAGTLNAMIFTFMASLCLFSFLFCVLKEPGYVPSPYVPDVEGAAVPPHQEPLNNSSQLRRCDKCVTYKPPRAHHCRVCRRCVLRMDHHCLWINNCVGYWNYKAFFILVLYATIASIYSSVMIISCASQKNWNFSGRIPMKIFFVVSGAMMFGLSITFGTLLGWHIYLMSCNMTTIENYEGIRAAWLARKSGHSYRHPFNLSVYKNITSVLGPNILKWLCPTAVSHLKDGMSYPTAHDS >Potri.010G226100.11.v4.1 pep chromosome:Pop_tri_v4:10:20957922:20961172:-1 gene:Potri.010G226100.v4.1 transcript:Potri.010G226100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226100.v4.1 MEWKRFLSIPVCSVFLLMGFVYYITVFIFIEDWVGLQSSAGTLNAMIFTFMASLCLFSFLFCVLKEPGYVPSPYVPDVEGAAVPPHQEPLNNSSQLRRCDKCVTYKPPRAHHCRVCRRCVLRMDHHCLWINNCVGYWNYKAFFILVLYATIASIYSSVMIISCASQKNWNFSGRIPMKIFFVVSGAMMFGLSITFGTLLGWHIYLMSCNMTTIENYEGIRAAWLARKSGHSYRHPFNLSVYKNITSVLGPNILKWLCPTAVSHLKDGMSYPTAHDS >Potri.017G111150.1.v4.1 pep chromosome:Pop_tri_v4:17:11892318:11893371:1 gene:Potri.017G111150.v4.1 transcript:Potri.017G111150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111150.v4.1 MKAFLIACILLATIVFSPLSSCTARELAEQAIPSPGLNPGNVPFNCGRGKRYCVPSQPPKRCPPYKRNC >Potri.017G009600.2.v4.1 pep chromosome:Pop_tri_v4:17:717621:726251:1 gene:Potri.017G009600.v4.1 transcript:Potri.017G009600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009600.v4.1 MFSAILRHLFGAHVALLLLVTLSTHHSCSARKNINDCAPSSCGNIRNISYPFRLKTDPKGCGNQNFELDCENNVRPTLILNKVKYYVQAIRYSDFTIRLVDASVQKDDCFSLPHQLVDYRPYKFFSSIVDFPKLIFITCENQIPSPPDYILDTSSCINGNSTAYNSAFSSSVSSPRSINMEGHSYVMVGADLLDVPDLCLINLIYFLPRSLLPENKANMSYTDVHDILVDGFELSWFSFCCDSVKEHRCSYLDEATADKNSCGYSFYSNIMDDPIRVVTEFLADEVLTKLGKRYLEFGLVTKNGHYMTGTISSNLLSILTVLLITLLLLIGIYRALLFLSGFSCLVILLIYKWRRRHLLMYDNIEKFLQSHENDLMPIRYSYSEIKKITTGFRDKLGEGGFGLVYKGKLRSGRFAAVKVLGKSKANGQDFINEVATIGRIHHVNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSKQGSVPLSNERIYEISLRVARGIEYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTSNNTVPLTAARGTIGYMAPELFYKNIGGVSYKADVYSFRMLLMDMVGRRKNLNALANHSSQIYFPSWVYEQVSAGNDIEVQENTTEYEKKTTKKMIIVALWCIQLKPSDRPSMHKVVEMLESDVESLQMPPKPFLTLQHIPEDDDRDNPAKLSDPPNDCIDSSYQFGR >Potri.017G009600.3.v4.1 pep chromosome:Pop_tri_v4:17:717621:726252:1 gene:Potri.017G009600.v4.1 transcript:Potri.017G009600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009600.v4.1 MFSAILRHLFGAHVALLLLVTLSTHHSCSARKNINDCAPSSCGNIRNISYPFRLKTDPKGCGNQNFELDCENNVRPTLILNKVKYYVQAIRYSDFTIRLVDASVQKDDCFSLPHQLVDYRPYKFFSSIVDFPKLIFITCENQIPSPPDYILDTSSCINGNSTAYNSAFSSSVSSPRSINMEGHSYVMVGADLLDVPDLCLINLIYFLPRSLLPENKANMSYTDVHDILVDGFELSWFSFCCDSVKEHRCSYLDEATADKNSCGYSFYSNIMDDPIRVVTEFLADEVLTKLGRKTWYLQDIWLTFDQTKGKRYLEFGLVTRIYRALLFLSGFSCLVILLIYKWRRRHLLMYDNIEKFLQSHENDLMPIRYSYSEIKKITTGFRDKLGEGGFGLVYKGKLRSGRFAAVKVLGKSKANGQDFINEVATIGRIHHVNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSKQGSVPLSNERIYEISLRVARGIEYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTSNNTVPLTAARGTIGYMAPELFYKNIGGVSYKADVYSFRMLLMDMVGRRKNLNALANHSSQIYFPSWVYEQVSAGNDIEVQENTTEYEKKTTKKMIIVALWCIQLKPSDRPSMHKVVEMLESDVESLQMPPKPFLTLQHIPEDDDRDNPAKLSDPPNDCIDSSYQFGR >Potri.017G009600.1.v4.1 pep chromosome:Pop_tri_v4:17:717621:726251:1 gene:Potri.017G009600.v4.1 transcript:Potri.017G009600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009600.v4.1 MFSAILRHLFGAHVALLLLVTLSTHHSCSARKNINDCAPSSCGNIRNISYPFRLKTDPKGCGNQNFELDCENNVRPTLILNKVKYYVQAIRYSDFTIRLVDASVQKDDCFSLPHQLVDYRPYKFFSSIVDFPKLIFITCENQIPSPPDYILDTSSCINGNSTAYNSAFSSSVSSPRSINMEGHSYVMVGADLLDVPDLCLINLIYFLPRSLLPENKANMSYTDVHDILVDGFELSWFSFCCDSVKEHRCSYLDEATADKNSCGYSFYSNIMDDPIRVVTEFLADEVLTKLGRKTWYLQDIWLTFDQTKGKRYLEFGLVTKNGHYMTGTISSNLLSILTVLLITLLLLIGIYRALLFLSGFSCLVILLIYKWRRRHLLMYDNIEKFLQSHENDLMPIRYSYSEIKKITTGFRDKLGEGGFGLVYKGKLRSGRFAAVKVLGKSKANGQDFINEVATIGRIHHVNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSKQGSVPLSNERIYEISLRVARGIEYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTSNNTVPLTAARGTIGYMAPELFYKNIGGVSYKADVYSFRMLLMDMVGRRKNLNALANHSSQIYFPSWVYEQVSAGNDIEVQENTTEYEKKTTKKMIIVALWCIQLKPSDRPSMHKVVEMLESDVESLQMPPKPFLTLQHIPEDDDRDNPAKLSDPPNDCIDSSYQFGR >Potri.017G009600.4.v4.1 pep chromosome:Pop_tri_v4:17:717621:726251:1 gene:Potri.017G009600.v4.1 transcript:Potri.017G009600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009600.v4.1 MEGHSYVMVGADLLDVPDLCLINLIYFLPRSLLPENKANMSYTDVHDILVDGFELSWFSFCCDSVKEHRCSYLDEATADKNSCGYSFYSNIMDDPIRVVTEFLADEVLTKLGRKTWYLQDIWLTFDQTKGKRYLEFGLVTKNGHYMTGTISSNLLSILTVLLITLLLLIGIYRALLFLSGFSCLVILLIYKWRRRHLLMYDNIEKFLQSHENDLMPIRYSYSEIKKITTGFRDKLGEGGFGLVYKGKLRSGRFAAVKVLGKSKANGQDFINEVATIGRIHHVNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSKQGSVPLSNERIYEISLRVARGIEYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTSNNTVPLTAARGTIGYMAPELFYKNIGGVSYKADVYSFRMLLMDMVGRRKNLNALANHSSQIYFPSWVYEQVSAGNDIEVQENTTEYEKKTTKKMIIVALWCIQLKPSDRPSMHKVVEMLESDVESLQMPPKPFLTLQHIPEDDDRDNPAKLSDPPNDCIDSSYQFGR >Potri.006G166400.5.v4.1 pep chromosome:Pop_tri_v4:6:16378124:16381907:1 gene:Potri.006G166400.v4.1 transcript:Potri.006G166400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166400.v4.1 MPINKDPSTPPPMIGKIGPYTVFMTPPSTPSPKSTATAAAANESTFPVFDSPKKVVSPPPVQPPPQQIDKSVYSHQVADGSVLGLFKNAVNKVQNAHSSLDDHLARWFGLNQSKYQWALDDYYETKGLEKEGAKAKEISSKVQSV >Potri.006G166400.4.v4.1 pep chromosome:Pop_tri_v4:6:16378124:16381907:1 gene:Potri.006G166400.v4.1 transcript:Potri.006G166400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166400.v4.1 MPINKDPSTPPPMIGKIGPYTVFMTPPSTPSPKSTATAAAANESTFPVFDSPKKVVSPPPVQPPPQQIDKSVYSHQVADGSVLGLFKNAVNKVQNAHSSLDDHLARWFGLNQSKYQWALDDYYETKGLEKEGAKAKEISSKVQSV >Potri.006G275600.2.v4.1 pep chromosome:Pop_tri_v4:6:26705058:26710893:-1 gene:Potri.006G275600.v4.1 transcript:Potri.006G275600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275600.v4.1 MDLIYYPNYTITPSLSSQNPKPRSWIILQRSNFRSSPIRAAAASSNVETTKNGSASTTTRRNVTVKTTDRGSSSAMDQLDIERGVCVPFRKYSPETVRSKVLESRGAISSLMLRGVEIVWNLGLYWSNLAYDFLVGRDEEVVPYRARQLRNLLCDLGPSFIKAGQVLASRPDIIREDYMNELCILQDDVPPFPNQVAFNIIEEELGQPLEAVFSKISPQTIAAASLGQVYRATLRATGEDVAIKVQRPRIEPIIFRDLFLFRTLASFLNGISLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPRVYRKLSGSRVLVMEWIDGIRCTNPQAIRDAGIDLNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMRDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYAEMANDFTRLGFLASDTDVAPIIPALEAIWQNSAGKGLADFNFRTVTGKFNQLVYNYPIRIPERFSLVIRSLLTQEGICLTLEPDFKFLEVAYPYIAKRLLTDPNPALRERLIQVLFKGGVFQWKRLENLIVLAKENVAKMSSNPALQVKDIQNSRSLQIEKKLDLTNTIKDGARLFFTDEGIRRQLLLAMTEDSKLHIEELVDVYRLVEDQIDIPSVTREVVRDIPTVIRDLILSWSDSILSDR >Potri.015G041300.2.v4.1 pep chromosome:Pop_tri_v4:15:3805279:3808975:1 gene:Potri.015G041300.v4.1 transcript:Potri.015G041300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041300.v4.1 MRAKALPFLMLFLSITHCISHAAELNGPSKQSETISFGTAGGLRQGFPEGFVFGTATSAYQVEGMADKDGRGPSIWDAFVKIPGIVANNATGEVSVDQYHRYKEDVDIMKKLNFDAYRFSISWSRIFPDGAGKVNWNGVAYYNRLIDYMIERGITPYANLYHYDLPLALEKKYNGLLSNQVVKDFADYADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGFFAPGRCSKAFGNCTVGNSATEPYIVAHHLILSHAAAVQRYREKYQEKQKGRIGILLDFVYYEPLTRSKADNLAAQRARDFHVGWFIHPIVYGEYPKTMQNIVGSRLPKFTEEEVKMVKGSMDFVGINHYTTYYMYDPHQSKPKNLGYQQDWNAGFAYKKKGVEIGPRANSYWLYNVPWGMYKAVMYIKERYGNPTMILSENGMDDPGNLTRSKAMQDTTRIGYYKAYLSQLKKAADDGANLVGYFAWSLLDNFEWRLGYTSRFGIVYVDYSNLKRYPKMSANWFKHLLERNKH >Potri.019G082400.1.v4.1 pep chromosome:Pop_tri_v4:19:12257363:12260548:1 gene:Potri.019G082400.v4.1 transcript:Potri.019G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082400.v4.1 MKTRRSPLILLNTTPLLLLVLLSSSIISSAESTTPPEKINTVLELDESNFDSTISAYDYVFVDFYAPWCGHCKRLAPELDVAAPILAELKKPIVIAKVNADKYTRLARKHEVDGFPTLKIYMHGVPTDYYGPRKAELLVCFLRKFVAPDVTILNSDSAIREFVEEAGTHFPIFIGFGLNETVMSNLAIKYKKKAWFSVASDFSDDVMVQYDFDKIPALVSIHPSYNDHTVFYGPFEEEFMEEFITQNSLPLAVPINSETLKVLKDDQRKIVLTILEDDSEEKSQNLIKILKAAASANRDLVFGFVGVKQWEEFTETFGANKETKLPKMIVWDGDEEYLSVIGSESIEEEDQGSQISQFLAGYRGGRTERNRVSGPSLLGYISSLIGIRTVYIIVFLVAMLMFIQHISKEEPLRVGTRDQAEPATSSKAESSEYRPEDKQD >Potri.014G129100.1.v4.1 pep chromosome:Pop_tri_v4:14:8655910:8658186:1 gene:Potri.014G129100.v4.1 transcript:Potri.014G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129100.v4.1 MSRPMEEDAPSKNEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >Potri.002G250400.2.v4.1 pep chromosome:Pop_tri_v4:2:24047724:24051524:-1 gene:Potri.002G250400.v4.1 transcript:Potri.002G250400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250400.v4.1 MCGRARCTLRADDIPRACHRNTATVRSVNMDRYRPSYNASPGSNLAVVRRDDAASGDGASGGDGYAIHCMKWGLIPGFTKKSEKPDFYKMFNARSESLSEKASFRRLIPKSRCLVAVEGFYEWKKDGSKKQPYYIHFKDGRPLVFAALYDSWQNSEGEILYTFTIVTTAASSAIQWLHERMPVILGDKEATDTWLSVSSNSKFDTVLKPYEHSDLVWYPVTPAMGKPSFDGPECIKEIHLKMEEKGTISKFFSRKEFKEESNPEESTHGKSLKLEPKSVKEENESEEKLETPCSAKTVDYDLKSELETFSHEGETKCKTKRDREELVDSKLKTDEIVKPRASPAKKKANLKSVDDKQPTLLSYFGKK >Potri.009G147500.5.v4.1 pep chromosome:Pop_tri_v4:9:11654891:11656761:1 gene:Potri.009G147500.v4.1 transcript:Potri.009G147500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147500.v4.1 MTTQKPILEQQMSQMQIKLKSSGIISNYNESSLLRDEKDEEMSRSALAMFRAKEEEIEKKKMEVRDKVHAHLGRVEEATKRLAEIREELEALTDPMRKEVSMVRKRIDTVNRELKPLGLSCQKKEREYKEALEAFNDKNKEKAQLVSKLVELVGESEKLRMKKLEELSRNIEALP >Potri.009G147500.7.v4.1 pep chromosome:Pop_tri_v4:9:11654887:11656727:1 gene:Potri.009G147500.v4.1 transcript:Potri.009G147500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147500.v4.1 MTTQKPILEQQMSQMQIKLKSSGIISNYNESSLLRDEKDEEMSRSALAMFRAKEEEIEKKKMEVRDKVHAHLGRVEEATKRLAEIREELEALTDPMRKEVSMVRKRIDTVNRELKPLGLSCQKKEREYKEALEAFNDKNKEKAQLVSKLVELVGESEKLRMKKLEELSRNIEALP >Potri.005G259900.1.v4.1 pep chromosome:Pop_tri_v4:5:24926166:24927218:-1 gene:Potri.005G259900.v4.1 transcript:Potri.005G259900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259900.v4.1 MKSHSVSSSPSSSPSGNSSPLARLRRKLSPRKPDNNHPVSLPAPTNVNNSTSAINVIGNNQLQRVFNYFDEDGDGRISPAELRSCITTVGGQLSIEEAEAAVSFSDMDGDGLLGFQDFQCLMAGSASEEEKTEELRQAFGMYETEPGSGCITPTSLKRMLSRLGKSRSINDCKAMIRTFDLNGDGVLSFHEFSVMMR >Potri.002G081700.2.v4.1 pep chromosome:Pop_tri_v4:2:5780167:5782575:1 gene:Potri.002G081700.v4.1 transcript:Potri.002G081700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081700.v4.1 MVDHPDILGIPTGALISVQKKQAFNFKEFCKACLSIVTRWHRFKRKSSPTSPGEEELEAKFEAISHGPEELGYDTEDEKTMNGIHSLRYNADNMRGDNPSSPRGFFKHKSMDGCFPNISSPLSRTGSRKSPSLKPPFLKRNMSRRSTDSHHGSSASLSRNASRNSTSNIMFSNSTGKMKPPAIERPLECTLDELCYGCMKKIKITRDVITNTGQIIQEEEILTIKVKPGWKKGTKITFEGMGNERPGSCPADIIFVIAEKRHSLFRREGEDLEIGVEIPLVKALTGCQISIPLLGGKKTSLLIDDIIYPGYERIIEGQGMPNTKEQGKRGSLKVVFLVEFPTELTDEQRSDILSILQDSS >Potri.007G007300.1.v4.1 pep chromosome:Pop_tri_v4:7:504765:505640:1 gene:Potri.007G007300.v4.1 transcript:Potri.007G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007300.v4.1 MSKADKKVINLSMSGPRVVLVTGCAKGGIGFEYCKAFAEHNCHVVASDIPQRISEMLELESENIETLGLDVSSKESVSSAVSTVVSKYGHVDVLINNAGIGSTGPLAELSLDVIRKAWEINTLGQLRLVQNVVPFMASRGSGTIVNIGSVVGKVPTPWAGSYCASKAAVHAMSNTLRVELKPFGINVVLVLPGAIRSNFGSASVERLGNHDWKLYKDFKEAIVERAKASQGGKATDATIFARHVAKKVLSPRPPRQIMFGHMTGLFAMLSCSPLWVRDLFFSTRFNLNKKV >Potri.018G034800.1.v4.1 pep chromosome:Pop_tri_v4:18:2673308:2676818:-1 gene:Potri.018G034800.v4.1 transcript:Potri.018G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034800.v4.1 MAGGGSRRDEGSLVINNTNVFAALETLRKKKKSDKDRGGSKSGKGGSKSGKEQQSKEPEPEVFWAPAKLTAKSWADVDDEDDDDYYATTAPPPSVWGSSQQQKSEEKSAHVEESESEEDILDEGDDDVEEENDHEPEAPVQPEPVVKKALEVPVLPKETERQLSKKERKKKELEELEALLADFGVAQKDSNGQDESREAAHEKKDGETNEEGDKKENVAGESKSAKKKKKKEKSAKEPQDQPASSEADNGPEEAARAEQAEEDASAVDVKERLKRMASAKKKKSSKEMDGAAKAAAHEAAARSARLAAAKKKEKNHYNQQPVR >Potri.006G157100.1.v4.1 pep chromosome:Pop_tri_v4:6:14083046:14084664:-1 gene:Potri.006G157100.v4.1 transcript:Potri.006G157100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157100.v4.1 MASWVEELHFPHVNIPITIAHLLPVSPAGPISAAPGDSLYLSNLDDIIGARVFTPTIYFYRFDFLNNSTRKSVMKTLFDALSHVLVPYYPLSGRLRETKNGKLEVFFEQGQGALMVEAYSEMALDKLGELTVPNPAWSPLIFRFPNEEPYKILDMPLLIAQVTLFSCGGFSLGLRLCHCICDGLGAMQFLRAWAATAKSGTLVTNPDPCWNRKFFQPRNPPVVKYPHMEFMKIEEGSSLTRSLWQEKPVQKCYRISREFQAQLKSVAAQTNDQKFSYTTFDALAAHVWRSWVKALDVKPVNYKLRLTFSVNARNKLKNPPLKDGFYGNVVCLACAVSSVYELITRHLTETAHLVHDARIGVSEEYLRSTIDYVEVDRPTRLEFGGKLTITQWTRFSIYECADFGWGRPIYAGPIDLTPTPQVCVFLPEGEADPSDRMVVCICLPESATDKFKEFLSSVDQSRDEDVDRNN >Potri.019G022700.1.v4.1 pep chromosome:Pop_tri_v4:19:3457968:3464935:-1 gene:Potri.019G022700.v4.1 transcript:Potri.019G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G022700.v4.1 MRLLLFTSPNPIKTSSSLYFLNSLRSNLTKRTLPTRRSFIPARMAIPPRSIASAPSCTTTSGRSNINIEEGLASKFWIKFRRESVFAMYTPFVISLASGTLKIDSFRHYISQDSHFLKSFAHAFELAEECADDDEAKLAISELRKGVLEELKMHNSFVQEWGIDPGKEGTINSATVKYTDFLLATASGKVEGVKGLGKLATPFERTKVAAYTLGAMTPCMRLYSFLGKELQAVLDPEEDGHPYKKWIDSYSSESFQASALQTEDLLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFLAQPIAQTTLAPLTKGHNPEEDRLVIFSDFDLTCTVVDSSAILAEIAILTAPKSDVVQPETQIARMSSADLRNTWGLLSGQYTEEYEQCIESIMPSAKVEFNYEALCKALEQLSDFERRANSRVIDSGVLKGLNLEDVKRAGERLILQDGCIGFFQKIVKNENLNTNVHVLSYCWCGDLIRSAFSSGGLDALNIHANELIFEESISTGEIVKKVESPMDKAQAFNDILKNYSSDRKNLTVYIGDSVGDLLCLLQADIGIVVGSSASLRSVGSQYGVSFVPLFPGLVRKQKESDGESPNWKGLSGILYTVSSWSEIHAFILGW >Potri.019G022700.4.v4.1 pep chromosome:Pop_tri_v4:19:3458127:3464956:-1 gene:Potri.019G022700.v4.1 transcript:Potri.019G022700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G022700.v4.1 MTPCMRLYSFLGKELQAVLDPEEDGHPYKKWIDSYSSESFQASALQTEDLLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFLAQPIAQTTLAPLTKGHNPEEDRLVIFSDFDLTCTVVDSSAILAEIAILTAPKSDVVQPETQIARMSSADLRNTWGLLSGQYTEEYEQCIESIMPSAKVEFNYEALCKALEQLSDFERRANSRVIDSGVLKGLNLEDVKRAGERLILQDGCIGFFQKIVKNENLNTNVHVLSYCWCGDLIRSAFSSGGLDALNIHANELIFEESISTGEIVKKVESPMDKAQAFNDILKNYSSDRKNLTVYIGDSVGDLLCLLQADIGIVVGSSASLRSVGSQYGVSFVPLFPGLVRKQKESDGESPNWKGLSGILYTVSSWSEIHAFILGW >Potri.019G022700.3.v4.1 pep chromosome:Pop_tri_v4:19:3457996:3464741:-1 gene:Potri.019G022700.v4.1 transcript:Potri.019G022700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G022700.v4.1 MRLLLFTSPNPIKTSSSLYFLNSLRSNLTKRTLPTRRSFIPARMAIPPRSIASAPSCTTTSGRSNINIEEGLASKFWIKFRRESVFAMYTPFVISLASGTLKIDSFRHYISQDSHFLKSFAHAFELAEECADDDEAKLAISELRKGVLEELKMHNSFVQEWGIDPGKEGTINSATVKYTDFLLATASGKVEGVKGLGKLATPFERTKVAAYTLGAMTPCMRLYSFLGKELQAVLDPEEDGHPYKKWIDSYSSESFQASALQTEDLLDKLSVSLTGEELDIIEKLYHQAMKLEIEFFLAQPIAQTTLAPLTKGHNPEEDRLVIFSDFDLTCTVVDSSAILAEIAILTAPKSDVVQPETQIARMSSADLRNTWGLLSGQYTEEYEQCIESIMPSAKVEFNYEALCKALEQLSDFERRANSRVIDSGVLKGLNLEDVKRAGERLILQDGCIGFFQKIVKNENLNTNVHVLSYCWCGDLIRSAFSSGMLLCENHE >Potri.003G182000.4.v4.1 pep chromosome:Pop_tri_v4:3:18742662:18747385:-1 gene:Potri.003G182000.v4.1 transcript:Potri.003G182000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182000.v4.1 MDSSPRSSFTGKVKQTPWWMKSLTGWSYVIYEMLFQKMQARHLKNPLPLPPLHDVTCIITGSTSGIGLETARQLAVSGAHVVMAVRNQSAAFDLIMKWQDERHGTSLLSVEVMELNLLSLTSVVRFARAWNSRSKPLQVLINNAGIFSMGEPQKFSKDGYETHQQVNFLAPALLSILLLPSLVKGSPSRIINVNSIMHYMGFVDTDDMNFTLRKEKFNSTKAYSSSKLAQVMFNSVLQKRLPPEAGINVLCVSPGTVHTNVTRDLPKLVQVAYNFIPLFLFSAQEGSRSALYAATAPEIPEYCKALKADEWPTCAYISHDCRPTRAANEAHNTRAANEVWEKTLDMIGLPLDAVEKLLQGEEVMCKYKDS >Potri.006G228600.1.v4.1 pep chromosome:Pop_tri_v4:6:23237592:23238561:-1 gene:Potri.006G228600.v4.1 transcript:Potri.006G228600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228600.v4.1 MSDKVFPSSKPAANGTATNPTTTTTNNPPPATTNKSHLYNPTSRLPYRPQPHTRRHRSRSGRNICCCCCFWTILTILLLLLLAAIAGAALYILYRPHRPSFTITSLRIHRLNLTTSPDSSSSHLSTLFNLTIISKNPNSHISLDYEPFTVSALSDGNDVFLGNGTLPAFSLSKKNQTSFRNVVVSGSNDLDVDAVNSLRSDLKKKKSENGSVMLKIEMDTKVKMKVGGLKTKKVGIRVTCDGIKGSIPKGKTPTVAVTAKSECKVDLRIKIWRWTF >Potri.015G101700.1.v4.1 pep chromosome:Pop_tri_v4:15:12108112:12110047:-1 gene:Potri.015G101700.v4.1 transcript:Potri.015G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101700.v4.1 MASFSIHCPWIFTFGLLGNFVSFVVFLAPIPTFLRICRKKTTEGFQSLPYVVALFSAMIWLYYASLKSDVLLLITINSVGCFIEMIYIALYVAYAPKQARIATLRILILFNFGGFCSILLLSHFFVKGSNRVKVLGWACVIFSVSVFAAPLNIMRIVIRTKSVEFMPFTLSFFLTLSAITWLVYGVLVKDYYIAIPNIVGFIFGVLQMVLYVIYKNFKTAVPMEPKLPYSIDIAKLSPVSCEMKPAVCPQSNEEDDHTDQNSKDRSSQEQPNQFEV >Potri.014G036300.2.v4.1 pep chromosome:Pop_tri_v4:14:2281850:2283743:-1 gene:Potri.014G036300.v4.1 transcript:Potri.014G036300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036300.v4.1 MSGLRRRRFPSPLLSLLIFQILPLTISFTPNHLNDNNTQFLKDVLKEISVKQDWDLEGIEISKLEVSKVRIFSSQRYEFKIRVGKSYMLLKFPDEIDSRKKLSKPKSSIDFGDLIKEFGSVPVLDTLKLQGPFDLWVSGHDNFSLLLPMNASYGGLKRIIVGEGISVEVKGAKEVSLFQDFDLSLALNGSDINNNKGGNGFYPFGDSICPPLLPIRIIGSASLVANKNWDPDAEIETRLLSKKTIELVSDKCYDRNVYKIRASTMHFLSSSIARLEEVLRSFLGDRITRNGLSSFLRATAKASTLIRFQLELEKSFGSNETAQEVFAEWRTRPTVERVWFEVIARVEGEKLKPVIVKKVRPFIAVDSASWSNLMSNISFTNFPSVLLPPEALTLDVKW >Potri.004G114800.1.v4.1 pep chromosome:Pop_tri_v4:4:10525022:10529909:1 gene:Potri.004G114800.v4.1 transcript:Potri.004G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G114800.v4.1 MGSVCCCLHADDFEDYMNPENSVYRNCMCLGCFVQNFLHVYTSIFQRGQLHSVPSSIQGAASLTSSSSLDNSLADMYQSPPRPLPYDADPRCIRFQRDGLVSRRDKGSSHSHEESEPLRSDSDVDSESFSTGDKWNVSACEDGGKEQRSRSSLKLSSAKATVANGHVYSSSEEEDVCPTCLDEYTQENPKIMTKCTHHFHLGCIYEWMERSDSCPVCGKVMVFDETT >Potri.002G021400.1.v4.1 pep chromosome:Pop_tri_v4:2:1336291:1341869:-1 gene:Potri.002G021400.v4.1 transcript:Potri.002G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021400.v4.1 MGGVCPGGTKRKNVKAVEEKKNNSGNNTSGKLRSLHSIGKKRESPYRNNNGDDFRKTMPERSNSGEFLSSFSRELKPSTPARTEANKINQKKSFLGKAGTVGLEKAVEVLDTLGSSMSNLNPRGGFAYGMGSRGNRISILAFEVANTIAKGANLFQSLSEKNVEFLKKEVLHSEGVHKLVSTDMKELLIIAASDKREEFDVFSREVIRFGDLCKDPQWHNLGRYFSKLDSENSFERQHRTEAEVTMQELTTLVQHTSELYHELNALDRFDQDYQRKLDEMQSLNLPQKGESLTILQSELKQQKKLVMSLKKKSLWSKTLEEIMEKLVDIVTFLQQAILEAFGNNGVILADKEAGRGPQRLGTSGLALHYANMINQIDNITSRPTSLPPNTRDSLYQGMPNSVKAALRSRLQMVDSKEEFTMALVKGEMEKTLHWLAPIATNTTKAHQGFGWVGEWANTGNDFGKNTPENTNLIRLQTLYHADKQKTDLYILELVTWLHRLINLVRQRDHGFSSMPVRSPARRGLVFRTKMQRIQSQNHDAQLCQEDRDLLANACQRRLVPGKSKSQEFSLDKKRGQVLTLSRSAGNSPVRRFAARQKSDHQKTNIMDVMDGLDNTI >Potri.016G063000.1.v4.1 pep chromosome:Pop_tri_v4:16:4406451:4410646:1 gene:Potri.016G063000.v4.1 transcript:Potri.016G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063000.v4.1 MILEECLSFKERESSTTFSFKSTASDMDVLARSIISPQVGEKGNQLTRTDSLPDKKLNLSLLESGNQRHQAAVTLQKVYKSFRTRRQLADCAVVVEQRWWKLLEFAELKRSSISFFDIEKPETAISRWSRARMRAAKVGKGLSKDAKARKLALLHWLEAIDPRHRYGHNLQFYYVNWLHCQSTQPFFYWLDIGAGKEVNLDRCARSKLQQQCIKYLGPAEREAFEVAVQNGRLLYKQSGKLLHTTEGPKDAKWIFVLSTSKTLYVGLKIKGTFQHSSFLAGGATLSAGRLVVEDGVLKAVWPHSGHYLPTDENFQAFMSFLREQSVDLTDVKESPTDEEDESVIKKDIRGSLRDQPDADLLEDTKATNVEVLALENTVSRKQDCNVAENANLHTSKLSRGLQFKITELEIPTRGDVLDTFKTEQLGPSCQAEDPDSSGEDGYETAEDSFLTEEDFMITKLNLFDEDNEEENEEPVPKEKILQRIDSHKGMKSYQLAKQLPSSKWTTGAGPRIGCMSDYPSELRFRVLENANLSPRTRSDNSSPRTAPRLSPKVSSPMVLTQASLLKETSCRSPLAPDQVLFSRTANL >Potri.016G063000.3.v4.1 pep chromosome:Pop_tri_v4:16:4406451:4410646:1 gene:Potri.016G063000.v4.1 transcript:Potri.016G063000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063000.v4.1 MRAAKVGKGLSKDAKARKLALLHWLEAIDPRHRYGHNLQFYYVNWLHCQSTQPFFYWLDIGAGKEVNLDRCARSKLQQQCIKYLGPAEREAFEVAVQNGRLLYKQSGKLLHTTEGPKDAKWIFVLSTSKTLYVGLKIKGTFQHSSFLAGGATLSAGRLVVEDGVLKAVWPHSGHYLPTDENFQAFMSFLREQSVDLTDVKESPTDEEDESVIKKDIRGSLRDQPDADLLEDTKATNVEVLALENTVSRKQDCNVAENANLHTSKLSRGLQFKITELEIPTRGDVLDTFKTEQLGPSCQAEDPDSSGEDGYETAEDSFLTEEDFMITKLNLFDEDNEEENEEPVPKEKILQRIDSHKGMKSYQLAKQLPSSKWTTGAGPRIGCMSDYPSELRFRVLENANLSPRTRSDNSSPRTAPRLSPKVSSPMVLTQASLLKETSCRSPLAPDQVLFSRTANL >Potri.001G089600.1.v4.1 pep chromosome:Pop_tri_v4:1:7098662:7102481:-1 gene:Potri.001G089600.v4.1 transcript:Potri.001G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089600.v4.1 MEITAFDFTLSQSFLIASSVTLLSLLLAFLTLRSKSPKPDLTVHSSTSAASTTKTITCRCCCSCNGEIGSVNSADSFTAEEKYLNGGGAAEEMVVEKQTGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQDSVTPVNGWKAYYAATRAIVNVNTEFFNIIRERSLQAMSQLWLHADYVKCTHASGENLSGYNAVIQSWQFAFNWEQGLDFQVRDVRARVLTDMAWVTMKMYVVEMDNGPFNVTNVFEFHNGRWHLVHHHCSVMVLDGEVDQQIMHA >Potri.009G022050.1.v4.1 pep chromosome:Pop_tri_v4:9:3421197:3423036:1 gene:Potri.009G022050.v4.1 transcript:Potri.009G022050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022050.v4.1 MVPLPALSIFMGCIYFLQLVFLYVSSVSFILLIRFVMKCAAKALELFLQDLCDRTYEITLKRGAKTLNSLHLKQCVQTFNVFDFLREIVSKVPDLGGPDAAFDEHGIGKRRKVADDEDNDSDEECNRSRTHETTQTSSSGRGRGRGRGRGRGTRAMERERAAQDGKLEDEPDIVHHNDKHSPNLGRLDKDYVPEDLKDNIPAGRNLRTPVHDFDLNLDLDENGETKTVLASVPASSSTKPDTVVPASSSTKPDTVVPASSSTKPIPELKHEEVPGWSLADIEGMDIDPIQLANLNTRIDEEDYDEEED >Potri.009G022050.2.v4.1 pep chromosome:Pop_tri_v4:9:3419520:3423037:1 gene:Potri.009G022050.v4.1 transcript:Potri.009G022050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022050.v4.1 MRKKLDTRFPAARIKKIMQTDEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLKRGAKTLNSLHLKQCVQTFNVFDFLREIVSKVPDLGGPDAAFDEHGIGKRRKVADDEDNDSDEECNRSRTHETTQTSSSGRGRGRGRGRGRGTRAMERERAAQDGKLEDEPDIVHHNDKHSPNLGRLDKDYVPEDLKDNIPAGRNLRTPVHDFDLNLDLDENGETKTVLASVPASSSTKPDTVVPASSSTKPDTVVPASSSTKPIPELKHEEVPGWSLADIEGMDIDPIQLANLNTRIDEEDYDEEED >Potri.009G138600.2.v4.1 pep chromosome:Pop_tri_v4:9:11125923:11129925:1 gene:Potri.009G138600.v4.1 transcript:Potri.009G138600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138600.v4.1 MARNTGGHSTKIAEASMAVAHFGFAEEKVKETLKRLVKLYKDDWKLIEEDNYKELIDILTKESNEESNLNYNFEHRHGQASFSAVNFANKKAKILGSSPPAQDKGKSLESPQAAARGTRSNFVKDKVMEDRVHEGVSNSSQFDIASSVNSEVKVSLICNSSGNSYFRQRSLDAVLEEVEDECRKTYGIQNPDFSLVKLMEKVCRFFLEMDNGSSSETGRNICEKSKQPEGKEVVTDDNEDNSTLEPSKVLQDSQSSEPQMMEAVLQKKYPIYLKDISRGEDNIPVPLVNESSTLELPDFIYIKNNMVYQGGHVDFSLARISEDNCCAQCLGDCLSSDLPCACAAETGGEFVYTQKGMLKEEFLDEAIAVSLDPQRKHFYYCEICPLQNEPQQRYGKIKRCKGHLTRKFIKECWSKCGCNKKCGNRVVQRGIQVALQVFAAPEGKGWGVQSVNALKKGTFICEYVGEIVTNQELYERNNERAAKKERHTYPVLLDADWGSERILEDEEALCLDATEFGNIGRFINHRCYDSNLIEIPVEVETPDHHYYRHAFFTTRGIEPMEELTWDYGIQFDDKHHPIKAFKCKCGSTGCRDKKRRH >Potri.015G079100.1.v4.1 pep chromosome:Pop_tri_v4:15:10522589:10530670:1 gene:Potri.015G079100.v4.1 transcript:Potri.015G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079100.v4.1 MMEQLHGLQSTGTDYSLQVPSENAGAPLVANYYHHMGFPSVAGEASFPFFGSEQLFCGSSVSDAASMVVELHHHHQQQQQQRGGGGGCVGDNSNSNNSQEEASCAIRAKIASHPLYPKLLEAYIDCQKVGAPPEMAYLLDEIREENDVSKRSDNTVASCLGADPELDEFMETYCDILMKYKADLSRPFDEATAFLNDIEAQFNTLCNGASRSQVHDEAVGSSDEDASGGDAEVQDCTRANEDRELKDKLLCKYSGYISTLKHEFSKKKKKGKLPKEAREVLLNWWTVHYKWPYPTEADKVALAESTGLEQKQINNWFINQRKRHWKPSENMQFAVVDSLYGPFFMND >Potri.006G099402.1.v4.1 pep chromosome:Pop_tri_v4:6:7610417:7611997:-1 gene:Potri.006G099402.v4.1 transcript:Potri.006G099402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099402.v4.1 MLHILVHEWLEQCRYMQFPNNEIRNHHISQQSERCPPLSVLQTISPFSVRCKLESSSPTEQSHLINLHASCFHEVKTAVVLIGDDEIHLVAMPSKQKKFPCFWCFAAPVGLYNSCLRMLNMRCLSIVFDLDETLIVANTMKSFEDRIEALRVWIAQSIMDPMRVSGMYAEMKRYIDDRLFLKQYIESDVVMDNGKTYKVQLEEVL >Potri.013G074000.1.v4.1 pep chromosome:Pop_tri_v4:13:6186188:6187432:1 gene:Potri.013G074000.v4.1 transcript:Potri.013G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G074000.v4.1 MAWNHSILLLFLLLVISPLSDGAIDRVHNLRIHKVNIHSPVAFGFLPKGLPVPPSAPSKRHNDHREGEGPVSSAKDGGDREVHP >Potri.019G123500.3.v4.1 pep chromosome:Pop_tri_v4:19:14800505:14803431:1 gene:Potri.019G123500.v4.1 transcript:Potri.019G123500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G123500.v4.1 MASSPGSLDTSANSHSEGSSNYFSFNTNSSSHYPFMTSSSLTDLLASSDEEPNNHITNNSKLSDRIAERTGSGVPKFKSIPPPSLPLSPPPVSPSSYFAIPAGLSPAELLDSPVLLNPSNILPSPTTGTFPAQAFNWKSSYGNSLQNVKKEDKTFSDFSFQQPARPPTTSTAMFQSSNATIQPEQQQTWGFQESAKQGAFVSGKSSMVKMEYNSNSMQSFSPEIAAIQTNPQSNNGFQSDYGNQQQQYQSVREQRRSEDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKILERSLEGQVTEIVYKGSHNHPKPQSTRRSSSSTTASNLGMIPAPNSNPNEIQEQSYVTHGSGQMDSSVATPENSSISIGDDDFDSQRSRSGGGDDFDEDEPEAKRWKREGDNEGISAPGSKAVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTYQGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGTGSRSLPGHNNNGNNNGNNNSNHAVMAIRPSAVNHVFNNSINNPVRDQRVPTTTSEGNMPFTLEMLQSPGSFGFSGFGNLMGPYMNQSSTDEVFSRAKRELEVENFW >Potri.009G025500.8.v4.1 pep chromosome:Pop_tri_v4:9:3709783:3713112:-1 gene:Potri.009G025500.v4.1 transcript:Potri.009G025500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025500.v4.1 MNIPERIAVRLPQLVFPSSSEVVLYNHNRVPKLGFVPFLTHKRHLGLGFSKKMSSSMENCHVDVQNKLEVVRPATEVYAQEAIEALKAGKVIAVPTDTLYGFACDACSLEAVNRIYEMKGRKHTSPLAICVGDVSQIQHFAVTEYLPHGLLDSLLPGPVTVILRRGESSALEKSLNPGLDSVGVRVPDCNFIRVVARGLGKAVALTSANLSGQPSSLCIKDFENLWNRCAYVYDGGVLPSNRAGSTIVDLTRPGKYKILRPGSAKEETLAILEKHSLVEEAPAI >Potri.009G025500.3.v4.1 pep chromosome:Pop_tri_v4:9:3709838:3714271:-1 gene:Potri.009G025500.v4.1 transcript:Potri.009G025500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025500.v4.1 MSSSMENCHVDVQNKLEVVRPATEVYAQEAIEALKAGKVIAVPTDTLYGFACDACSLEAVNRIYEMKGRKHTSPLAICVGDVSQIQHFAVTEYLPHGLLDSLLPGPVTVILRRGESSALEKSLNPGLDSVGVRVPDCNFIRVVARGLGKAVALTSANLSGQPSSLCIKDFENLWNRCAYVYDGGVLPSNRAGSTIVDLTRPGKYKILRPGSAKEETLAILEKHSLVEEAPAI >Potri.009G025500.9.v4.1 pep chromosome:Pop_tri_v4:9:3709752:3713015:-1 gene:Potri.009G025500.v4.1 transcript:Potri.009G025500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025500.v4.1 MNIPERIAVRLPQLVFPSSSEVVLYNHNRLGFSKKMSSSMENCHVDVQNKLEVVRPATEVYAQEAIEALKAGKVIAVPTDTLYGFACDACSLEAVNRIYEMKGRKHTSPLAICVGDVSQIQHFAVTEYLPHGLLDSLLPGPVTVILRRGESSALEKSLNPGLDSVGVRVPDCNFIRVVARGLGKAVALTSANLSGQPSSLCIKDFENLWNRCAYVYDGGVLPSNRAGSTIVDLTRPGKYKILRPGSAKEETLAILEKHSLVEEAPAI >Potri.011G006000.2.v4.1 pep chromosome:Pop_tri_v4:11:505676:506560:1 gene:Potri.011G006000.v4.1 transcript:Potri.011G006000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006000.v4.1 MRGLLIKIFLYLHNSCVYHFSFYPLFCRVCKQDPNISPAALPTAFNASLHLHFPPSPNPPFKPITTVAQPPLPTAQHPHTNHRRQPAADPNNCIAIFVQTPITDRNHHAGKKTTAPIAILQTSTLLTSTALSLAKQSPRVVHLITKPPHTTTQQTPRASQTHQISHRKQHHLQQPKTAAVITKEGKTAEPKGPDLPRQLLVNNATITDR >Potri.017G140700.2.v4.1 pep chromosome:Pop_tri_v4:17:14141422:14142974:1 gene:Potri.017G140700.v4.1 transcript:Potri.017G140700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140700.v4.1 MGLPWYRVYTIILNDPGPLVAGWAGSIALYELAVFYPSDPVLNPMWRYCMFIIPFMTRLGITNSWGGWSITRGTITNPGKPFLDLPKIFGIHLFLSEVACFRFGALHVTGLYGFDPFIPGGIASHHITTRTLGILAGLFHLSVRPPQCLYKGLRMGNIETVLFSSIVVVFFAAFVVARTMWYAGTPIELFGPTRYQWDQGYFQQKIYRRVAWSKIPEKLAFYYYIGNNPAKGGLFRAGSMDNEDGITIGWLGHPIFKDKEGRELFVHRMPTFFKTFPVVFEFKYSVEQVGVTVEFYDGELNGISYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPRGWFTFEHASFALLFFFGHIWHGSRTLFIDVFVDIDPDLDAQVEFEAFQKLRDPTTRRQVV >Potri.005G114300.1.v4.1 pep chromosome:Pop_tri_v4:5:8303767:8328429:-1 gene:Potri.005G114300.v4.1 transcript:Potri.005G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114300.v4.1 MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFPASSIILLQITKPQFVDNSEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNASAAVEDVKPMEISTSSDQGLLSTGHIGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPDKVPPHLKTNFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVAIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACYETLRPLAYSLLAEIVHHVRSDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDHSTMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEDGKERATLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTHSQVLVSPSSSLPAPQAFKGMREDEVWKASGVLKSGVHCLALFKEKDEERDMLNLFSQILSIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSSLLQSSKVYRPFADVLVNFLVCSKLDVLKNPDSPAAKLVLNLFRFIFGAVSKAPAEFERILQPHVLVIMEVCMKNATEVEKPLGYMQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGPTGEDMRDLLLELCLTMPARLSSLLPHLPRLMRPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILSLWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLAPECKDNPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVINKNSGMDAFYRKQSLKFLRVCLSSQLNLPGNVSDEGYTARELSTTLVSAVDSSWRRSETSDIKADLGVKTKTQLMAEKSVFKILLMTIIASSAEPDLHDPKDDFVVNVCRHFAMIFHIDYNSNNPSIPSALGGPMLSSSSSVSSRSKTSTNLKELDPLIFLDALVDVLSDDNRVHAKAALGALNIFAETLLFLARSKHGDVLMSRAGPGTPMIVSSPSMNPVYSPPPSVCIPVFEQLLPRLLHCCYGTTWQAQMGGVMGLGALVGKVTVETLCHFQVRIVRGLVYVLKRLPPYASKEQDETSQVLTQVLRVVNNVDEANSEPRRKSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLYQPLLQPLITRPLRSKTVDQQVGIVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADENVWAVKFMNPKYTLSLNKLRTACIELLCTAMAWADFKTQNHSELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSSWFNVTLGGKLLEHLKKWMEPDKLSQSIKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSDPKYFRRFMYILRSDAGQPLRDELAKSPQKILASAFPEFLPKSDVEMTSSSSTPPSALLGEESLVAPPADGANLPSIPTGATSDAYFQGLALIKMLVKLIPGWLHSNQLVFDTLVLVWKSPARVSRLHNEQELNLVQVKESKWLVKCFLNYLRHDKKEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNAQSWEVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKLLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPCNTTTENRRLAIELAGLVVGWERQRQHEMKVMTDGDVPSQSNDGFNPGSAGTDSKRAVDGSTFPEDASKRVKVEPGLQSICVMSPGVASSIPNIETPGPGGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEATTMYKQALELLSQALEVWPNANVKFNYLEKLFNSMQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKQKMLDAGKSLCSLLKMVFVAFPPDVASTPPDVKLLYQKVDDLIQKHIDSVTSPQTLGEDTSVSSISFVLLVIKTLTEVGKYIEPPILVRILQRLARDMGSSAGSHLRQGQRTDPDSAVSSSRQGADLGAVICNLKSVLKLICEKVMVVPDCKRSVTQVLNALLSEKGTDSSVLLCILDVIKGWIEDDFCKPGRVTSSGFISHKEIVSFLQKLSQVDKQNFGPDAHEDWDRKYLQLLYGICADSKYLLALRQEVFQKVERQFMLGLRARDPDIRKKFFLLYHESLGKSLFTRLQYIIQLQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPVVVSSSLPDSSGMQQLVADVPEGSEEAPLTFDSLVLKHAQFLNEMNKLQVADLVIPLRELAHTDANVAYQLWVLVFPIVWVTLHKEEQVTLAKPMITLLSKDYHKKQQASRPNVVQALLEGLKWSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDALVDFGKSMENYEILLDSLWKLPDWTYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDAENTVGKGVDLALEQWWQLPEMSVHSRIPLLQQFQQLIEVQESARILVDIANGNKLSSTSVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNSVIDAFKDFVTTNPQLYHLGFRDKAWNVNKLAHIARKQGLNDVCVTILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEIFRLRGDFLLKLNDSEDANIAYSNAISVFKNLPKGWISWGNYCDTAYRDTQDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSESVGRAFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVFPQALYYWLRTYLLERRDVANKSELGRLAMAQQRMQQNASGAGAASLGLTDGNARVQSHGGGGALATDNTVHQGTQSSGGIGSHDGGNTHGHEPERSTAVESSVHAGNDQTLQQSSSMISESGQNAVRRNVALGFVASAASAFEAAKEIMEALRSKHSNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSVDAVNKHVDFVRDYKQDFERDLDPESIATFPATLSELTARLKHWKNVLQSNVEDRFPTVLKLEEESRVLRDFHVVDVEVPGQYFCDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKIYVSDGIFSQYMYKTLLNGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSKHLWHQLAMFFRDELLSWSWRRPLGLNLGPAASGSSMNPADFKHKVTTNVDNVINRITGIAPQYLSEEEENAVDPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >Potri.005G114300.7.v4.1 pep chromosome:Pop_tri_v4:5:8303968:8316558:-1 gene:Potri.005G114300.v4.1 transcript:Potri.005G114300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114300.v4.1 MYILRSDAGQPLRDELAKSPQKILASAFPEFLPKSDVEMTSSSSTPPSALLGEESLVAPPADGANLPSIPTGATSDAYFQGLALIKMLVKLIPGWLHSNQLVFDTLVLVWKSPARVSRLHNEQELNLVQVKESKWLVKCFLNYLRHDKKEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNAQSWEVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKLLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPCNTTTENRRLAIELAGLVVGWERQRQHEMKVMTDGDVPSQSNDGFNPGSAGTDSKRAVDGSTFPEDASKRVKVEPGLQSICVMSPGVASSIPNIETPGPGGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEATTMYKQALELLSQALEVWPNANVKFNYLEKLFNSMQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKQKMLDAGKSLCSLLKMVFVAFPPDVASTPPDVKLLYQKVDDLIQKHIDSVTSPQTLGEDTSVSSISFVLLVIKTLTEVGKYIEPPILVRILQRLARDMGSSAGSHLRQGQRTDPDSAVSSSRQGADLGAVICNLKSVLKLICEKVMVVPDCKRSVTQVLNALLSEKGTDSSVLLCILDVIKGWIEDDFCKPGRVTSSGFISHKEIVSFLQKLSQVDKQNFGPDAHEDWDRKYLQLLYGICADSKYLLALRQEVFQKVERQFMLGLRARDPDIRKKFFLLYHESLGKSLFTRLQYIIQLQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPVVVSSSLPDSSGMQQLVADVPEGSEEAPLTFDSLVLKHAQFLNEMNKLQVADLVIPLRELAHTDANVAYQLWVLVFPIVWVTLHKEEQVTLAKPMITLLSKDYHKKQQASRPNVVQALLEGLKWSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDALVDFGKSMENYEILLDSLWKLPDWTYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDAENTVGKGVDLALEQWWQLPEMSVHSRIPLLQQFQQLIEVQESARILVDIANGNKLSSTSVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNSVIDAFKDFVTTNPQLYHLGFRDKAWNVNKLAHIARKQGLNDVCVTILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEIFRLRGDFLLKLNDSEDANIAYSNAISVFKNLPKGWISWGNYCDTAYRDTQDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSESVGRAFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVFPQALYYWLRTYLLERRDVANKSELGRLAMAQQRMQQNASGAGAASLGLTDGNARVQSHGGGGALATDNTVHQGTQSSGGIGSHDGGNTHGHEPERSTAVESSVHAGNDQTLQQSSSMISESGQNAVRRNVALGFVASAASAFEAAKEIMEALRSKHSNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSVDAVNKHVDFVRDYKQDFERDLDPESIATFPATLSELTARLKHWKNVLQSNVEDRFPTVLKLEEESRVLRDFHVVDVEVPGQYFCDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKIYVSDGIFSQYMYKTLLNGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSKHLWHQLAMFFRDELLSWSWRRPLGLNLGPAASGSSMNPADFKHKVTTNVDNVINRITGIAPQYLSEEEENAVDPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >Potri.005G114300.5.v4.1 pep chromosome:Pop_tri_v4:5:8303792:8328375:-1 gene:Potri.005G114300.v4.1 transcript:Potri.005G114300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114300.v4.1 MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFPASSIILLQITKPQFVDNSEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNASAAVEDVKPMEISTSSDQGLLSTGHIGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPDKVPPHLKTNFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVAIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACYETLRPLAYSLLAEIVHHVRSDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDHSTMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEDGKERATLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTHSQVLVSPSSSLPAPQAFKGMREDEVWKASGVLKSGVHCLALFKEKDEERDMLNLFSQILSIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSSLLQSSKVYRPFADVLVNFLVCSKLDVLKNPDSPAAKLVLNLFRFIFGAVSKAPAEFERILQPHVLVIMEVCMKNATEVEKPLGYMQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGPTGEDMRDLLLELCLTMPARLSSLLPHLPRLMRPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILSLWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLAPECKDNPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVINKNSGMDAFYRKQSLKFLRVCLSSQLNLPGNVSDEGYTARELSTTLVSAVDSSWRRSETSDIKADLGVKTKTQLMAEKSVFKILLMTIIASSAEPDLHDPKDDFVVNVCRHFAMIFHIDYNSNNPSIPSALGGPMLSSSSSVSSRSKTSTNLKELDPLIFLDALVDVLSDDNRVHAKAALGALNIFAETLLFLARSKHGDVLMSRAGPGTPMIVSSPSMNPVYSPPPSVCIPVFEQLLPRLLHCCYGTTWQAQMGGVMGLGALVGKVTVETLCHFQVRIVRGLVYVLKRLPPYASKEQDETSQVLTQVLRVVNNVDEANSEPRRKSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLYQPLLQPLITRPLRSKTVDQQVGIVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADENVWAVKFMNPKYTLSLNKLRTACIELLCTAMAWADFKTQNHSELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSSWFNVTLGGKLLEHLKKWMEPDKLSQSIKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSDPKYFRRFMYILRSDAGQPLRDELAKSPQKILASAFPEFLPKSDVEMTSSSSTPPSALLGEESLVAPPADGANLPSIPTGATSDAYFQGLALIKMLVKLIPGWLHSNQLVFDTLVLVWKSPARVSRLHNEQELNLVQVKESKWLVKCFLNYLRHDKKEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNAQSWEVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKLLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPCNTTTENRRLAIELAGLVVGWERQRQHEMKVMTDGDVPSQSNDGFNPGSAGTDSKRAVDGSTFPEDASKRVKVEPGLQSICVMSPGVASSIPNIETPGPGGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEATTMYKQALELLSQALEVWPNANVKFNYLEKLFNSMQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKQKMLDAGKSLCSLLKMVFVAFPPDVASTPPDVKLLYQKVDDLIQKHIDSVTSPQTLGEDTSVSSISFVLLVIKTLTEVGKYIEPPILVRILQRLARDMGSSAGSHLRQGQRTDPDSAVSSSRQGADLGAVICNLKSVLKLICEKVMVVPDCKRSVTQVLNALLSEKGTDSSVLLCILDVIKGWIEDDFCKPGRVTSSGFISHKEIVSFLQKLSQVDKQNFGPDAHEDWDRKYLQLLYGICADSKYLLALRQEVFQKVERQFMLGLRARDPDIRKKFFLLYHESLGKSLFTRLQYIIQLQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPVVVSSSLPDSSGMQQLVADVPEGSEEAPLTFDSLVLKHAQFLNEMNKLQVADLVIPLRELAHTDANVAYQLWVLVFPIVWVTLHKEEQVTLAKPMITLLSKDYHKKQQASRPNVVQALLEGLKWSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDALVDFGKSMENYEILLDSLWKLPDWTYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDAENTVGKGVDLALEQWWQLPEMSVHSRIPLLQQFQQLIEVQESARILVDIANGNKLSSTSVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNSVIDAFKDFVTTNPQLYHLGFRDKAWNVNKLAHIARKQGLNDVCVTILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEIFRLRGDFLLKLNDSEDANIAYSNAISVFKNLPKGWISWGNYCDTAYRDTQDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSESVGRAFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVFPQALYYWLRTYLLERRDVANKSELGRLAMAQQRMQQNASGAGAASLGLTDGNARVQSHGGGGALATDNTVHQGTQSSGGIGSHDGGNTHGHEPERSTAVESSVHAGNDQTLQQSSSMISESGQNAVRRNVALGFVASAASAFEAAKEIMEALRSKHSNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSVDAVNKHVDFVRDYKQDFERDLDPESIATFPATLSELTARLKHWKNVLQSNVEDRFPTVLKLEEESRVLRDFHVVDVEVPGQYFCDQVIERLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKIYVSDGIFSQYMYKTLLNGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSKHLWHQLAMFFRDELLSWSWRRPLGLNLGPAASGSSMNPADFKHKVTTNVDNVINRITGIAPQYLSEEEENAVDPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >Potri.005G114300.4.v4.1 pep chromosome:Pop_tri_v4:5:8303947:8328375:-1 gene:Potri.005G114300.v4.1 transcript:Potri.005G114300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114300.v4.1 MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFPASSIILLQITKPQFVDNSEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNASAAVEDVKPMEISTSSDQGLLSTGHIGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPDKVPPHLKTNFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVAIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACYETLRPLAYSLLAEIVHHVRSDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDHSTMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEDGKERATLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTHSQVLVSPSSSLPAPQAFKGMREDEVWKASGVLKSGVHCLALFKEKDEERDMLNLFSQILSIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSSLLQSSKVYRPFADVLVNFLVCSKLDVLKNPDSPAAKLVLNLFRFIFGAVSKAPAEFERILQPHVLVIMEVCMKNATEVEKPLGYMQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGPTGEDMRDLLLELCLTMPARLSSLLPHLPRLMRPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILSLWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLAPECKDNPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVINKNSGMDAFYRKQSLKFLRVCLSSQLNLPGNVSDEGYTARELSTTLVSAVDSSWRRSETSDIKADLGVKTKTQLMAEKSVFKILLMTIIASSAEPDLHDPKDDFVVNVCRHFAMIFHIDYNSNNPSIPSALGGPMLSSSSSVSSRSKTSTNLKELDPLIFLDALVDVLSDDNRVHAKAALGALNIFAETLLFLARSKHGDVLMSRAGPGTPMIVSSPSMNPVYSPPPSVCIPVFEQLLPRLLHCCYGTTWQAQMGGVMGLGALVGKVTVETLCHFQVRIVRGLVYVLKRLPPYASKEQDETSQVLTQVLRVVNNVDEANSEPRRKSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLYQPLLQPLITRPLRSKTVDQQVGIVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADENVWAVKFMNPKYTLSLNKLRTACIELLCTAMAWADFKTQNHSELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSSWFNVTLGGKLLEHLKKWMEPDKLSQSIKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSDPKYFRRFMYILRSDAGQPLRDELAKSPQKILASAFPEFLPKSDVEMTSSSSTPPSALLGEESLVAPPADGANLPSIPTGATSDAYFQGLALIKMLVKLIPGWLHSNQLVFDTLVLVWKSPARVSRLHNEQELNLVQVKESKWLVKCFLNYLRHDKKEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNAQSWEVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKLLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPCNTTTENRRLAIELAGLVVGWERQRQHEMKVMTDGDVPSQSNDGFNPGSAGTDSKRAVDGSTFPEDASKRVKVEPGLQSICVMSPGVASSIPNIETPGPGGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEATTMYKQALELLSQALEVWPNANVKFNYLEKLFNSMQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKQKMLDAGKSLCSLLKMVFVAFPPDVASTPPDVKLLYQKVDDLIQKHIDSVTSPQTLGEDTSVSSISFVLLVIKTLTEVGKYIEPPILVRILQRLARDMGSSAGSHLRQGQRTDPDSAVSSSRQGADLGAVICNLKSVLKLICEKVMVVPDCKRSVTQVLNALLSEKGTDSSVLLCILDVIKGWIEDDFCKPGRVTSSGFISHKEIVSFLQKLSQVDKQNFGPDAHEDWDRKYLQLLYGICADSKYLLALRQEVFQKVERQFMLGLRARDPDIRKKFFLLYHESLGKSLFTRLQYIIQLQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPVVVSSSLPDSSGMQQLVADVPEGSEEAPLTFDSLVLKHAQFLNEMNKLQVADLVIPLRELAHTDANVAYQLWVLVFPIVWVTLHKEEQVTLAKPMITLLSKDYHKKQQASRPNVVQALLEGLKWSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDALVDFGKSMENYEILLDSLWKLPDWTYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDAENTVGKGVDLALEQWWQLPEMSVHSRIPLLQQFQQLIEVQESARILVDIANGNKLSSTSVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNSVIDAFKDFVTTNPQLYHLGFRDKAWNVNKLAHIARKQGLNDVCVTILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEIFRLRGDFLLKLNDSEDANIAYSNAISVFKNLPKGWISWGNYCDTAYRDTQDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSESVGRAFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVFPQALYYWLRTYLLERRDVANKSELGRLAMAQQRMQQNASGAGAASLGLTDGNARVQSHGGGGALATDNTVHQGTQSSGGIGSHDGGNTHGHEPERSTAVESSVHAGNDQTLQQSSSMISESGQNAVRRNVALGFVASAASAFEAAKEIMEALRSKHSNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSVDAVNKHVDFVRDYKQDFERDLDPESIATFPATLSELTARLKHWKNVLQSNVEDRFPTVLKLEEESRVLRDFHVVDVEVPGQYFCDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKIYVSDGIFSQYMYKTLLNGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSKHLWHQLAMFFRDELLSWSWRRPLGLNLGPAASGSSMNPADFKHKVTTNVDNVINRITGIAPQYLSEEVTSISNIPSNVMSQLRILVVMNSRDTGPDIFG >Potri.005G114300.6.v4.1 pep chromosome:Pop_tri_v4:5:8303767:8328304:-1 gene:Potri.005G114300.v4.1 transcript:Potri.005G114300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114300.v4.1 MSPIQNFEQHSRHLVEPDLPIQTRLQMAMEVRDSLEIAHTAEYLNFLKCYFPASSIILLQITKPQFVDNSEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRLTVSHFFDNASAAVEDVKPMEISTSSDQGLLSTGHIGNGQLNPSTRSFKIVTESPLVVMFLFQLYSRLVQTNIPHLLPLMVAAISVPGPDKVPPHLKTNFIELKGAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVTCSDSVAIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACYETLRPLAYSLLAEIVHHVRSDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDHSTMDEARILLGRILDAFVGKFSTFKRTIPQLLEEGEDGKERATLRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTHSQVLVSPSSSLPAPQAFKGMREDEVWKASGVLKSGVHCLALFKEKDEERDMLNLFSQILSIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSSLLQSSKVYRPFADVLVNFLVCSKLDVLKNPDSPAAKLVLNLFRFIFGAVSKAPAEFERILQPHVLVIMEVCMKNATEVEKPLGYMQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGPTGEDMRDLLLELCLTMPARLSSLLPHLPRLMRPLVLCLKGSDDLVSLGLRTLEFWVDSLNPDFLEPSMANVMSEVILSLWSHLRPAPYPWGGKALQLLGKLGGRNRRFLKEPLAPECKDNPEHGLRLILTFEPSTPFLVPLDRCINLAVAAVINKNSGMDAFYRKQSLKFLRVCLSSQLNLPGNVSDEGYTARELSTTLVSAVDSSWRRSETSDIKADLGVKTKTQLMAEKSVFKILLMTIIASSAEPDLHDPKDDFVVNVCRHFAMIFHIDYNSNNPSIPSALGGPMLSSSSSVSSRSKTSTNLKELDPLIFLDALVDVLSDDNRVHAKAALGALNIFAETLLFLARSKHGDVLMSRAGPGTPMIVSSPSMNPVYSPPPSVCIPVFEQLLPRLLHCCYGTTWQAQMGGVMGLGALVGKVTVETLCHFQVRIVRGLVYVLKRLPPYASKEQDETSQVLTQVLRVVNNVDEANSEPRRKSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLEPLYQPLLQPLITRPLRSKTVDQQVGIVTALNFCLALRPPLLKLTQELVNFLQEALQIAEADENVWAVKFMNPKYTLSLNKLRTACIELLCTAMAWADFKTQNHSELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVINQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSSWFNVTLGGKLLEHLKKWMEPDKLSQSIKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGALPPGQVYSEINSPYRLPLTKFLNRYATLAVDYFLARLSDPKYFRRFMYILRSDAGQPLRDELAKSPQKILASAFPEFLPKSDVEMTSSSSTPPSALLGEESLVAPPADGANLPSIPTGATSDAYFQVWKSPARVSRLHNEQELNLVQVKESKWLVKCFLNYLRHDKKEVNVLFDILSIFLFHSRIDYTFLKEFYIIEVAEGYPPNMKRALLLHFLNLFQSKQLGHDHLVVVMQMLILPMLAHAFQNAQSWEVVDPGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVHHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYQAPEKIILQVFVALLRTCQPENKLLVKQALDILMPALPRRLPLGDSRMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPCNTTTENRRLAIELAGLVVGWERQRQHEMKVMTDGDVPSQSNDGFNPGSAGTDSKRAVDGSTFPEDASKRVKVEPGLQSICVMSPGVASSIPNIETPGPGGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEATTMYKQALELLSQALEVWPNANVKFNYLEKLFNSMQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKQKMLDAGKSLCSLLKMVFVAFPPDVASTPPDVKLLYQKVDDLIQKHIDSVTSPQTLGEDTSVSSISFVLLVIKTLTEVGKYIEPPILVRILQRLARDMGSSAGSHLRQGQRTDPDSAVSSSRQGADLGAVICNLKSVLKLICEKVMVVPDCKRSVTQVLNALLSEKGTDSSVLLCILDVIKGWIEDDFCKPGRVTSSGFISHKEIVSFLQKLSQVDKQNFGPDAHEDWDRKYLQLLYGICADSKYLLALRQEVFQKVERQFMLGLRARDPDIRKKFFLLYHESLGKSLFTRLQYIIQLQDWEALSDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPVVVSSSLPDSSGMQQLVADVPEGSEEAPLTFDSLVLKHAQFLNEMNKLQVADLVIPLRELAHTDANVAYQLWVLVFPIVWVTLHKEEQVTLAKPMITLLSKDYHKKQQASRPNVVQALLEGLKWSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNETKCSESLAELYRLLNEEDMRCGLWKKRSITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDALVDFGKSMENYEILLDSLWKLPDWTYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGVGDAENTVGKGVDLALEQWWQLPEMSVHSRIPLLQQFQQLIEVQESARILVDIANGNKLSSTSVGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEMYNSVIDAFKDFVTTNPQLYHLGFRDKAWNVNKLAHIARKQGLNDVCVTILEKMYGHSTMEVQEAFVKIREQAKAYLEMKGELTSGLNLINSTNLEYFPVKHKAEIFRLRGDFLLKLNDSEDANIAYSNAISVFKNLPKGWISWGNYCDTAYRDTQDEIWLEYAVSCFLQGIKFGVSNSRSHLARVLYLLSFDTPSESVGRAFDKYLDQIPHWVWLSWIPQLLLSLQRTEAPHCKLVLLKIATVFPQALYYWLRTYLLERRDVANKSELGRLAMAQQRMQQNASGAGAASLGLTDGNARVQSHGGGGALATDNTVHQGTQSSGGIGSHDGGNTHGHEPERSTAVESSVHAGNDQTLQQSSSMISESGQNAVRRNVALGFVASAASAFEAAKEIMEALRSKHSNLASELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSVDAVNKHVDFVRDYKQDFERDLDPESIATFPATLSELTARLKHWKNVLQSNVEDRFPTVLKLEEESRVLRDFHVVDVEVPGQYFCDQEIAPDHTVKLDRVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHICIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKIYVSDGIFSQYMYKTLLNGNHMWAFKKQFAIQLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSKHLWHQLAMFFRDELLSWSWRRPLGLNLGPAASGSSMNPADFKHKVTTNVDNVINRITGIAPQYLSEEEENAVDPPQSVQRGVTELVEAALTPRNLCMMDPTWHPWF >Potri.013G161700.2.v4.1 pep chromosome:Pop_tri_v4:13:15538175:15545198:-1 gene:Potri.013G161700.v4.1 transcript:Potri.013G161700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161700.v4.1 MLRNNNVVARIFQRQIQTPPPGTSVHCARRFYENLVPSFTIYDVECPDHSFRKFTDDGQYLISFSRNHQDLIVYRPTWLSYSYKQEDCHLPSRAKRFDSFFTQLYCVPLASSNEVICKDFFLYVITNQFGLFATSTAQIHDAPAIGGAIQGVPSIERITFHLLRLEDGVILDEKVFRNDFINLAHNMGVFLYDDLLAVVSLRYQTIYILQIRESGNLVDVRAIGAFCREDDELFLNSNSQCMAVADRSKLHQSSGNHVDNGVHSNQLNSDNSFLCGIKQRLLSFIFQGIWSEETDKFQRVQCLKKKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGVSRSADHHASFFAVYNMETTEIVAFYQNSADELYLLFEQFCDHFYAMSRNSSYMNFISSHSNNIHALEQLRSIKYKASSLSQFVKKMLTSLPFSCQSLSPSPYFDQSLFRYDEKLISATDRHRPSTDHPIKFISRRQPHTLKFKIKPGPEAGSLDGRTKKVSSFLFHPFLPLALSIQQTLFLQPSVNIHFRG >Potri.013G161700.6.v4.1 pep chromosome:Pop_tri_v4:13:15538175:15545198:-1 gene:Potri.013G161700.v4.1 transcript:Potri.013G161700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161700.v4.1 MLRNNNVVARIFQRQIQTPPPGTSVHCARRFYENLVPSFTIYDVECPDHSFRKFTDDGQYLISFSRNHQDLIVYRPTWLSYSYKQEDCHLPSRAKRFDSFFTQLYCVPLASSNEVICKDFFLYVITNQFGLFATSTAQIHDAPAIGGAIQGVPSIERITFHLLRLEDGVILDEKVFRNDFINLAHNMGVFLYDDLLAVVSLRYQTIYILQIRESGNLVDVRAIGAFCREDDELFLNSNSQCMAVADRSKLHQSSGNHVDNGVHSNQLNSDNSFLCGIKQRLLSFIFQGIWSEETDKFQVGYLAFQFHVLIRSQDYL >Potri.013G161700.1.v4.1 pep chromosome:Pop_tri_v4:13:15538062:15545198:-1 gene:Potri.013G161700.v4.1 transcript:Potri.013G161700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161700.v4.1 MLRNNNVVARIFQRQIQTPPPGTSVHCARRFYENLVPSFTIYDVECPDHSFRKFTDDGQYLISFSRNHQDLIVYRPTWLSYSYKQEDCHLPSRAKRFDSFFTQLYCVPLASSNEVICKDFFLYVITNQFGLFATSTAQIHDAPAIGGAIQGVPSIERITFHLLRLEDGVILDEKVFRNDFINLAHNMGVFLYDDLLAVVSLRYQTIYILQIRESGNLVDVRAIGAFCREDDELFLNSNSQCMAVADRSKLHQSSGNHVDNGVHSNQLNSDNSFLCGIKQRLLSFIFQGIWSEETDKFQRVQCLKKKFYFHFQDYVDLIIWKVQFLDRHHLLIKFGSVDGGLQVSRSADHHASFFAVYNMETTEIVAFYQNSADELYLLFEQFCDHFYAMSRNSSYMNFISSHSNNIHALEQLRSIKYKASSLSQFVKKMLTSLPFSCQSLSPSPYFDQSLFRYDEKLISATDRHRPSTDHPIKFISRRQPHTLKFKIKPGPEAGSLDGRTKKVSSFLFHPFLPLALSIQQTLFLQPSVNIHFRG >Potri.015G036800.1.v4.1 pep chromosome:Pop_tri_v4:15:3145653:3146508:1 gene:Potri.015G036800.v4.1 transcript:Potri.015G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036800.v4.1 MGNATSCAPSIISNGVVKVLTNGGNLQIYTKPVKAAELMLENPGQFVCDSTSLKVGHRVHGLLADDQLERRQFYFVLPMELLYSVLTHEEMSSLTYKATKALKYNNFGKIFPVLSEFCIFPSEGKTMDSMATEPQPMERYSKQRSWKPALETIVETPNCRRP >Potri.001G006750.1.v4.1 pep chromosome:Pop_tri_v4:1:475855:476359:-1 gene:Potri.001G006750.v4.1 transcript:Potri.001G006750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006750.v4.1 MSNGRYKSIEHRVIANGSNNRISVPIFINPMPSDKISPFPEVLASGEKAVYKEVLYSDYVKHFFRKAHDGKKTIDLAKI >Potri.001G091800.2.v4.1 pep chromosome:Pop_tri_v4:1:7249681:7255287:1 gene:Potri.001G091800.v4.1 transcript:Potri.001G091800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091800.v4.1 MAYVRSLSTRANIVRRRYNASFSYILHDDDRKHNSIEEGPSSKGMSNLFQQRSFGSSRKRFDNNLAVFGFFHNRRCLDLSLSPSIGVSFCRDMSTIGGGSENIELINDVADVLTDTTFEAVSAQAPVVNEVAIAAADSYFPVAALQHVIDAVHSFTGFNWWASIIVTTLLIRGATVPLLINQLKATTKLTLMRPHLEEIRQQMSDKAMDPMALAEGQKQMKKLFKEYGVSPLTPLKGLFIQGPIFVSFFLAISNMTEKVPSFKSGGAYWFLDLTTPDSLYILPILTGLTFWITVECNMQEGLEGNPIAATMKKVSRVFAVASVPLTMGFPNAIFCYWVTSNLFSLFYGLALKAPGVKKFLGLPEIPVAPASTTPPSSFDLLEALKQQVAARQEPASPLPVEPSSKPGVPRISPASVLSQRLRSLEKQVKGRKKNNNKR >Potri.012G140067.1.v4.1 pep chromosome:Pop_tri_v4:12:15241691:15244551:1 gene:Potri.012G140067.v4.1 transcript:Potri.012G140067.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140067.v4.1 MLSDIINKKLKGYLGQLSSTRLSLGQKQAFTQLLPLSPQEICWYRDSNLKLGGSRPPSPELNAARPTLWVPNPLLVRSLFSCLSHLYLFSNHLAIQTSIKSP >Potri.012G140067.2.v4.1 pep chromosome:Pop_tri_v4:12:15241691:15244551:1 gene:Potri.012G140067.v4.1 transcript:Potri.012G140067.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140067.v4.1 MLSDIINKKLKGYLGQLSSTRLSLGQKQAFTQLLPLSPQEICWYRDSNLKLGGSRPPSPELNAARPTLWVPNPLLVRSLFSCLSHLYLFSNHLAIQTSIKSP >Potri.012G140067.3.v4.1 pep chromosome:Pop_tri_v4:12:15243478:15244146:1 gene:Potri.012G140067.v4.1 transcript:Potri.012G140067.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140067.v4.1 MLSDIINKKLKGYLGQLSSTRLSLGQKQAFTQLLPLSPQEICWYRDSNLKLGGSRPPSPELNAARPTLWVPNPLLVRSLFSCLSHLYLFSNHLAIQTSIKSP >Potri.011G077440.1.v4.1 pep chromosome:Pop_tri_v4:11:8331537:8331707:-1 gene:Potri.011G077440.v4.1 transcript:Potri.011G077440.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077440.v4.1 MITVFSHVNGTSLLIFFLAVKDSCILCCHRTPCSFLLFYSVRFKDKSVHDLFEHWT >Potri.003G048100.2.v4.1 pep chromosome:Pop_tri_v4:3:6970705:6973809:-1 gene:Potri.003G048100.v4.1 transcript:Potri.003G048100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048100.v4.1 MEGCSKNVEACPQLLDLIPKEREWLGKGEDERSSSEDRKLELRLGPPGEDWSLKSTSNRERRERHKSQLLSFGYFTNGNQQTHKFPSSAENSHVWFNKKQQGKVPPSYLNFPSSSTPPTATATQQSLPVMDKESSQPCCTKVVVELPQCAEKKAFSTPAPANTAVPNSSQKRIAPGPVVGWPPIRSFRKNLATSSGSNSKPTFESQNKPAGTCKKGLFVKINMEGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSCNGIMNKQEGEKAIMGVLDGSGEYKLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSEVSALNLGSSKHEKVPV >Potri.003G048100.1.v4.1 pep chromosome:Pop_tri_v4:3:6970705:6974021:-1 gene:Potri.003G048100.v4.1 transcript:Potri.003G048100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048100.v4.1 MEGCSKNVEACPQLLDLIPKEREWLGKGEDERSSSEDRKLELRLGPPGEDWSLKSTSNRERRERHKSQLLSFGYFTNGNQQTHKFPSSAENSHVWFNKKQQGKVPPSYLNFPSSSTPPTATATQQSLPVMDKESSQPCCTKVVVELPQCAEKKAFSTPAPANTAVPNSSQKRIAPGPVVGWPPIRSFRKNLATSSGSNSKPTFESQNKPAGTCKKGLFVKINMEGVPIGRKVDLKAYDSYEKLSTAVDELFRGLLAAQRDSSCNGIMNKQEGEKAIMGVLDGSGEYKLVYEDNEGDRMLVGDVPWHMFVSTVKRLRVLKSSEVSALNLGSSKHEKVPV >Potri.001G080300.1.v4.1 pep chromosome:Pop_tri_v4:1:6389036:6391615:1 gene:Potri.001G080300.v4.1 transcript:Potri.001G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080300.v4.1 MVKEAARKCSHCGQNGHNSRTCTKDCIKLFGVSIEKREQTIKGSASLDNIASLDDIHGAHHVDPGYSSDGVIGSKRGRTAYTRKKGKPWTEEEHRTFLSGLSNLGKGDWRGISKKFVITRTPSQVASHAQKYFLRQQASNEKKKRRSSLFDMTFKGTDLASHQDAPKLPLIKTCGSSSQASTSSASPLRKAGEDIPSQAISPLHLINQFPLLCLHNPQVMSPTVAAGTGVSNYNPCMQRVLANGRRSFPASKAAPFVSMMNYPRAYHPYMLNSPASLAGCAPCIAHQPSGIPSPSSFPQSFSPQGASTSLAKMEDPLELKIGQPPKSPQGANISSPASGAISVI >Potri.003G121900.1.v4.1 pep chromosome:Pop_tri_v4:3:14286700:14289554:-1 gene:Potri.003G121900.v4.1 transcript:Potri.003G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121900.v4.1 MGANLSLTFTDPNACSLVGSLCLQISSPPATKPSLGDLPEGCVALILEYLNPQEICKLARLNRAFRGASWADFVWESKLPVNYEDLIGRVLGDDLKDKLCKREIYTRLCRANAFDDGTKKAWLDKRTRGVCLSIASKGLAITGIDDRRYWNHIPTEESRFNSVAYLQQIWWFEVDGQFEFPFPAGTYSLFFRLQLGRAAKRFGRRICNTEHVHGWEIKPVQFQIWTSDGQHASSQCFLNDPGKWNLYHAGDFVVDGSNASTKLKFSMTQIDCTHTKGGLCLDSVLVYPCKLREGLKHF >Potri.019G016114.1.v4.1 pep chromosome:Pop_tri_v4:19:2583687:2583893:-1 gene:Potri.019G016114.v4.1 transcript:Potri.019G016114.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016114.v4.1 MGAISWFLKFMFINALIFSHVSGVASFSAIPSNAIDYKFKRLPIKMELRQPPSPPPPPQLATKRGPGH >Potri.009G078500.1.v4.1 pep chromosome:Pop_tri_v4:9:7547496:7551430:1 gene:Potri.009G078500.v4.1 transcript:Potri.009G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078500.v4.1 MMSSVGLLKFAVKCLDILAWPVFGLGYPLCASILAIETNSNSDTQKLITYWVSISVVLLFEHTFQLQWLAFWPYIKLMIVGCLVLPYFHGSLHVYKHLVLPCLSMGPRIITCQFNKLKLFFKKDDFLVEVKRYMKENGSDALEDLIASTKMSAKPNVAVNEIRAVAAEDWPKSEQPKLPVRYKDSNAVKITEKIEVASTKQLKLEQPKLPVRLEDSNAVEVTEKKEVKEVASTAQLKFEQPKLPVLFKDSNAAEITEKREVTSTKQVRQVESNISQTENSTFPPLEFINTVTTTEGGRDLCEILPPEKVLNVWTCAICQMTVQSETVLNSHLQGNRHKAACERLKVKNQIPKSEVPPVSEGKKFNVTTATGIDVTCVVCQLTLKNQIDLSSHLQGKRHKKACELLNSKNQSSNSNVSPASVGKNANFPESKAEKCTVNNSTPPENRIHEAKKLENLMKSRFVEIRDSKWWCTICNISCTGEGNMRSHLNAKKHLTRMRALDGPGSGVHA >Potri.009G078500.5.v4.1 pep chromosome:Pop_tri_v4:9:7547573:7551568:1 gene:Potri.009G078500.v4.1 transcript:Potri.009G078500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078500.v4.1 MQPKSEQPKLPVRYKDSNAVKITEKIEVASTKQLKLEQPKLPVRLEDSNAVEVTEKKEVKEVASTAQLKFEQPKLPVLFKDSNAAEITEKREVTSTKQVRQVESNISQTENSTFPPLEFINTVTTTEGGRDLCEILPPEKVLNVWTCAICQMTVQSETVLNSHLQGNRHKAACERLKVKNQIPKSEVPPVSEGKKFNVTTATGIDVTCVVCQLTLKNQIDLSSHLQGKRHKKACELLNSKNQSSNSNVSPASVGKNANFPESKAEKCTVNNSTPPENRIHEAKKLENLMKSRFVEIRDSKWWCTICNISCTGEGNMRSHLNAKKHLTRMRALDGPGSGVHA >Potri.005G258800.1.v4.1 pep chromosome:Pop_tri_v4:5:24871031:24872540:-1 gene:Potri.005G258800.v4.1 transcript:Potri.005G258800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258800.v4.1 MRSSSNKPSIKKTSSFLLNKPPDNYNPMEHPKPNPPRPPRPPPPPQKIEYPASPQIILGEETLRHTSHPQHPLSQVEVSDLFTCSGCKEYGSGFRFTCQQCEFQLHVFCALAPPLLKEHPFHRQHQLSLHSKPVKGGILKSKCDVCWKPTKGYTFRCNACSYQMHPCCAMLSDQINITVHPHPLKLLPANVTNSPNGDSASGFVCGECKRKRSGRVYRCTVCEYHLHAVCAKNMVNGLEANGIKGPEKPSMLGTAARLASQVFSEFIGGIIEGLGEGVGEMFIQTITRGRRPAVNNNSD >Potri.006G043650.1.v4.1 pep chromosome:Pop_tri_v4:6:2962787:2965457:-1 gene:Potri.006G043650.v4.1 transcript:Potri.006G043650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043650.v4.1 MLIVNLKKVTTTFDLRLSSPTTSSLKLLYSTLTLNPNTIQNPKTPPIQNPTKPLTTPQLKTLVLSQYSHGKFSNLIQNVVGLPSVLLTASQNLITHHSNDGLNCTESNELAESTQPNLSLYHSVSKRFSIEEMGREIFENRFDIEAHCVKMSDEGETLVLPNLKLKVFIEAIRIVLEIVYDDRFVTFSYGGRVNMGRHTAIRYLKNSVNNPSWWFSVCFNDVKFDKRKVDKLYLFIEEKIKDGILIDVIKRLFDCGVLKIELGRCYLAKGLPQECGLCSILINVYFNGFDREIQEMRLRMSKENPKLDQNEIGEGSSSSYKPLKMYAVRYLDEILVITSGSKMLTMNLKKWVLKFLEGELELNVDRVKTAIHSAVSEKIDFLGMELQAVPPSVLHPPMSEKAIRARKKYLRQKEVKALELKNARERNRKKLGLKLLSHVFKKSKQSDGFKFDFQIENEVREIFQTWADEVVQEFLGSLEERWTWHRMLSAGDFLSLRHIRDQLPQELVDSYDKFQEQVHKHLSPVKARKALEEEGRRIKEEEEMKYAERTVDDLTKLCMKVSAPIELVRKAVKMAGFTNKMGRPRPISILTSLEDADIIKWYAGVGRRWLDFFCCCHNLKMVKTVVSYHLRFSCILTLAEKHEATKIECIRHYTKDLKVPDMNGSEEVHFPTEKEVKMMGDKNLSDPTPVDGALSLLLIRLASDEPSHSCVGHFCDKTDTVMYRVRLLQNILNVNPADEDKWVPRMSAIHESLNQMCFPLCSDHKSDLYTGKITLQDVDCTSYVNVD >Potri.017G000300.1.v4.1 pep chromosome:Pop_tri_v4:17:15534:20873:-1 gene:Potri.017G000300.v4.1 transcript:Potri.017G000300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000300.v4.1 MKVIDKIRAAAAAGDSNGGDSSSNNKVVFSFEFFPPKTDDGVDNLFERMDRMVSHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQIQGGLACALDLVKHIRSKYGDYFGITVAGYPEAHPDVIGSDGFATLEDYHKDLAYLKQKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGINCPIVPGIMPINNYKGFIRMAGFCKTKIPAEVTAALEPIKDNEEAVRAYGIHLGTEMCKKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKITRPLPWRPPTNVFRVKEDVRPIFWANRPKSYLTRTIGWDQYPHGRWGDSRNPSYGALSDYQFMRPRARGKKLLEEWAAPLKSVEDIYEKFKVYCLGKLKSSPWSELDGLQPETKIINEQLGKINLKGFLTINSQPAVNGEKSDSPSVGWGGPGGYVYQKAYLEFFCSKDKLNALVDKCKSFPFVTYIAVNKGGSWISNVALTDVNAVTWGVFPGKEIIQPTVVDPTSFSVWKDEAFEIWSRGWASLYPEGDPSRTLLEEVQSSYFLVSLVDNDYIHGDIFAVFADL >Potri.007G025700.1.v4.1 pep chromosome:Pop_tri_v4:7:1947402:1954958:-1 gene:Potri.007G025700.v4.1 transcript:Potri.007G025700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025700.v4.1 MVHNAYDSFELLTNSPNKIDAIESYCSKLLVACSDGALRIYAPESTISDKSPPLDYHNHGDQLRKEPYALERTVNGFSKKPILSMKVLASRELLLSLSESIAFHRLPNLETIAVLTKAKGANVFDWDDKRGFLCFARQKRVCIFRHDGGRGFVEVKDFGVSDTVKSMSWCGENICMGIRKEYWILNATNGALSQVFPSGRLAPPLVVSLPSRELLLWKDNIGVFVDQNGKHLQAEKLCWSEAPSVVVIQKSYAIALLPRRIEIRSLRVPYSLIQAVVLQNVRHLIESNNAIIVALSNSVCALFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSNLRAAKEGSIHLRYAHYLFDNGSYEEAMEQFLASQVDITYVLSLYPSIVLPKTSMVPEPEKLIDMSPDVPYLSRGSSGLSDDMESSPDFDEHSALESKKMSHNTLKALIKYLQKRRYSIIEKATAEVTDEVVLDAVGDNYGAYDSSRFKKSSKGRGNIAINSGAREMAAILDTALLQAVLLTGQTSAALELLKGVNYCDLKICEEILQKWNHYSALLELYKCNGMHREALKLLHQLVEESNQSQPELNPKFKPESIIEYLKPLCGTDPMLVLEFSMLVLESCPTQTIELFLSGNIPADLVNSYLKQHAPSMQGRYLELMLAMDENGISGNLQNEMVQIYLLEVLDWHAELNAQEKWDEKAYSPSRKKLLSALGSISGYNPESLLKCLPADALFEERALLLGKMNQHELALSLYVHKLHVPDLALSYCDRVYESAAHPPSVKSSSNMYLTLLQIYLNPHKTTKNFEERITNLVSPQNTNIPKISSGTLVKAKGGRATKKIAAIEGAEDIRVSLSGTDSSRSDGDADEFGEEGGSTIMLDEVLDLLSKRWDRINGAQALKLLPKETKLQNLLPFLGPLLKKSSEAYRNLSVIKSLRQSESLQVKDEMYNRRKTVVKITSDTTCALCNKKIGTSVFAVYPNGQTIVHFVCFKDSQSMKAVAKGSALRKR >Potri.017G123700.1.v4.1 pep chromosome:Pop_tri_v4:17:12827201:12828060:-1 gene:Potri.017G123700.v4.1 transcript:Potri.017G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123700.v4.1 MAPRAEKKPAEKKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGAAVAGDKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.001G103400.5.v4.1 pep chromosome:Pop_tri_v4:1:8326514:8331494:1 gene:Potri.001G103400.v4.1 transcript:Potri.001G103400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103400.v4.1 MSTSTYSHIMERRSPKSPLPRSPFPKSPMPQSPEFYEKYKSKCAYGLINIFHFRQRHSKKLISDKAVLKRHAVEMKKIAEEDMPIKQQIKKTTAKVEHVQSDTELAGDSSTSHRKAIKATRKPLRLPIYGCYDVATMGHAKSVHENSADDSSKKLESAVTKESLSNQVQPRNETDCNCRSIQHGKHGQSKEINLQVRMNEATEAFINQKLIDGKHLSTDGADDQSKHFMDALDILNSNKDLFIKLLQDPNSLLVKHIEDLRDSQEKVQQIKSYPEDKLSEQHKNNSRECERTGCTRNFNSIDRYLSKRTGDPQPSETIVVLKPGSASLQNCADGISHDSPPVHYRLKNVQQSVKPSFFPLVKMKRKLMHAMRMSRKERQLMLTDGAVQKSKYIFQESEKCGGGGVDINERNSPGETSCYLGRTTMDVRSEDQMDKVEIIESSVREEAATASEKGHESSRFSNLRHSKETNNDKYVETRVHLSDFLMNENVNLSRKQRPKTRDGMSSLPEIEFFPMAGPRCKAEHARVTPQMRFSPYTSYQMVNKNKWNQSEKRNNTSPTRQTLEAPPWANDKKCEDQLQIIETEKTISDKLFPDVKEDENISSLENDSSPGGKKDSKISEEYSPSGVPSRLDGSYNSDANESTRTANTTDEHESSKFCRLDSSADNQMSPSSTSDYLSSPSTIQRVEDLDKAKERAEQPSPVSVLEHFFMEDMITRPSSHASRPAELSALPLRIGKEEDYLAALVHSPLDLKINSSTSLEELGSVLEYIGAFLRASGFNLDDLSSKCHGESCGGHKLVCDYFVEVLLEVYLNYMRSSPWLSFVEPKVRPVTMAENMAQQVMKHVERNILLQPPSRTLDHLVEKDLTSSVTWLDVRIDAEYVVSMIVESVLEEVIMETAIE >Potri.001G103400.3.v4.1 pep chromosome:Pop_tri_v4:1:8326549:8331466:1 gene:Potri.001G103400.v4.1 transcript:Potri.001G103400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103400.v4.1 MSTSTYSHIMERRSPKSPLPRSPFPKSPMPQSPEFYEKYKSKCAYGLINIFHFRQRHSKKLISDKAVLKRHAVEMKKIAEEDMPIKQQIKKTTAKVEHVQSDTELAGDSSTSHRKAIKATRKPLRLPIYGCYDVATMGHAKSVHENSADDSSKKLESAVTKESLSNQVQPRNETDCNCRSIQHGKHGQSKEINLQVRMNEATEAFINQKLIDGKHLSTDGADDQSKHFMDALDILNSNKDLFIKLLQDPNSLLVKHIEDLRDSQEKVQQIKSYPEDKLSEQHKNNSRECERTGCTRNFNSIDRYLSKRTGDPQPSETIVVLKPGSASLQNCADGISHDSPPVHYRLKNVQQSVKPSFFPLVKMKRKLMHAMRMSRKERQLMLTDGAVQKSKYIFQESEKCGGGGVDINERNSPGETSCYLGRTTMDVRSEDQMDKVEIIESSVREEAATASEKGHESSRFSNLRHSKETNNDKYVETRVHLSDFLMNENVNLSRKQRPKTRDGMSSLPEIEFFPMAGPRCKAEHARVTPQMRFSPYTSYQMVNKNKWNQSEKRNNTSPTRQTLEAPPWANDKKCEDQLQIIETEKTISDKLFPDVKEDENISSLENDSSPGVSIKIIGKKDSKISEEYSPSGVPSRLDGSYNSDANESTRTANTTDEHESSKFCRLDSSADNQMSPSSTSDYLSSPSTIQRVEDLDKAKERAEQPSPVSVLEHFFMEDMITRPSSHASRPAELSALPLRIGKEEDYLAALVHSPLDLKINSSTSLEELGSVLEYIGAFLRASGFNLDDLSSKCHGESCGGHKLVCDYFVEVLLEVYLNYMRSSPWLSFVEPKVRPVTMAENMAQQVMKHVERNILLQPPSRTLDHLVEKDLTSSVTWLDVRIDAEYVVSMIVESVLEEVIMETAIE >Potri.011G005400.1.v4.1 pep chromosome:Pop_tri_v4:11:434958:435808:1 gene:Potri.011G005400.v4.1 transcript:Potri.011G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005400.v4.1 MEQVAMEKALSKSDATNNRMELPMESLGNFPIPDGQNYFEFVAMDTGDQPWEFKVSIRNEGRYKKPWMSGQWGSYAHEKGLKKGDRVKLIMQVEGNVVRSYRITAERNLTMGMWIPVEQFAN >Potri.003G211700.1.v4.1 pep chromosome:Pop_tri_v4:3:20929506:20932177:-1 gene:Potri.003G211700.v4.1 transcript:Potri.003G211700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211700.v4.1 MQGLNIQYLHIMDFQLPYCFFFLLLLLLPFSGNGQAHSNISSGLFLTAASDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDLVQGGSKVQLTRDGELVLNDQSGRTIWSPVFGGSGAAYAAMLDTGNFVVASQAGANLWQSFDEPTDTLLPTQNLNLGAQLIAPYLEKNYSDGRFKFILQADSNLVLYTTRYPTTTSNVAYWSAQISTGSGYRVIFNQSGYMYLADQNGNTLNSVFSNSVSMQDFYLRATLDYDGVFRQYAYPKTASSSTRWAMAWTTLPNFIPSNICVVIRGPVGSGACGFNSYCILGDDQRPRCKCPPGYTFFDPNDERKGCKKNFISQDCDHPSQEIDNFMIWDMLNKNFPFTDYEDFFSVDEDWCRQACLSDCYCAVATYNSGHCWKKRGPLSNGVTDPSIGDKALMKVRKGNRTAGSSAKKSDRSILITTGSVLLGSSIFLIVLSLLGIYVFFTRWNQQKQKMVPQLHVMPEMNLQNFTYNELETATGGFKEELGRGAFGIVYRGALANEDKPLIAVKKLEKMAGEGDTEFNTEVKVIGRTNHKNLVQLVGFCNEGENRLLVYEYMSSGSLSNYIFGYSRPSWHRRMQIAFGVARGLLYLHEECSSQIIHCDIKPQNILLDESLNARISDFGLAKLLKTDQTKTTTGIRGTKGYVAPEWFKNLPVTTKVDTYSFGILLLELVCCRKNFEINAMQEDQIVLADWACDCLKEGKLNLLVEEDEEAMEDMKRVERFVMVAIWCIQEDPSLRPGMKKVVQMLEGSVQVSVPPDPSSFISTI >Potri.014G041800.1.v4.1 pep chromosome:Pop_tri_v4:14:2731547:2733329:1 gene:Potri.014G041800.v4.1 transcript:Potri.014G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041800.v4.1 MVSVNNEETMAVVENETAKPHVAIMPSPGIGHITPLLEIAKRLVVLHDFHVSFIVIATNEASAGQGNLLQSSTLPPGLDVVYLPTVDVFAVTTNGMPLAARLCAIVEEAIKSLKSVLVKLGKIKAVVVDLFCTQAFDICSELSIPAYLFFTASIALLNFSLYLPTLDREVEGEFVDLPEPVKVPGCPPIRPEDLLDQVKNRKIDEYKWYLFHSSRFHLGAGIFLNSWEDLEPANFKAITEDPFFKQIHTPPVHPVGPLIKIEEPLTASDADCLAWLDKQPPNSVLFVSLGSGGTLTVEQLTELAWGLELSHQRFIFVVRMPTNSSASAAFFNAGSDVSDPKTYLPTGFLERTQERGLVVPSWAPQVLVLKHPSTGGFLTHCGWNSTLEAVTHGMPMIAWPLYAEQRMNATILAEEIGIAIKPVAEPGASLVGREEVERVVRLAILEGKEMRKKIEELKDSAAKAMEIGGSSYDSLACLAKEWKS >Potri.012G114166.3.v4.1 pep chromosome:Pop_tri_v4:12:13225071:13226137:-1 gene:Potri.012G114166.v4.1 transcript:Potri.012G114166.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114166.v4.1 MPAVKVTVACNKGNNTQQQLILILLFCRSASLVWFFFSVRCSFLLPQWKICCRGADRSAAFVLMGRRSPGLWGWRLVVRLCRLLELGERLEEVCPLLSLCSCWSGESRRRRWLASVEKTPLQGWWGGDEEDGGGCCRRPVCG >Potri.012G114166.2.v4.1 pep chromosome:Pop_tri_v4:12:13225069:13226137:-1 gene:Potri.012G114166.v4.1 transcript:Potri.012G114166.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114166.v4.1 MPAVKVTVACNKGNNTQQQLILILLFCRSASLVWFFFSVRCSFLLPQWKICCRGADRSAAFVLMGRRSPGLWGWRLVVRLCRLLELGERLEEVCPLLSLCSCWSGESRRRRWLASVEKTPLQGWWGGDEEDGGGCCRRPVCG >Potri.012G114166.1.v4.1 pep chromosome:Pop_tri_v4:12:13224899:13226137:-1 gene:Potri.012G114166.v4.1 transcript:Potri.012G114166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114166.v4.1 MTEPVDRGLLPLLYLLMPAVKVTVACNKGNNTQQQLILILLFCRSASLVWFFFSVRCSFLLPQWKICCRGADRSAAFVLMGRRSPGLWGWRLVVRLCRLLELGERLEEVCPLLSLCSCWSGESRRRRWLASVEKTPLQGWWGGDEEDGGGCCRRPVCG >Potri.007G086000.1.v4.1 pep chromosome:Pop_tri_v4:7:11081084:11081737:1 gene:Potri.007G086000.v4.1 transcript:Potri.007G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086000.v4.1 MSDIAILVAEEYERRVKNSRKAAGGEGDMEINWVSCASVLAQSIKNNIGKEKMELLKLVLEPRSQVSLAASNGFFSA >Potri.006G096100.1.v4.1 pep chromosome:Pop_tri_v4:6:7311269:7320891:1 gene:Potri.006G096100.v4.1 transcript:Potri.006G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096100.v4.1 MEPSALRVLLVCFLLFTSSIHGEESNKSKFRDREATDDALGYPHLDEDALLNTQCPRNLELRWQTEVSSSVYATPLIADINSDGKLDIVVPSFVHYLEVLEGSDGDKMAGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTDKLEVPRRRVKKNWYVGLDLDPVDRSHPDVHDDQLVLEASEKKSESHTTGSAHQNTPETDSSISTSTENSHPANASSETEKKMNENQTEPIIKLPLHVDNSSLGARSNGTDKAESGTSNAHNGTNTVDKGTNNAENRTNTGRRLLEDDNSKGSHEGGSESKENDHENVHAATVENDEGLEADADSSFELFRDSDELTDEYSYDYNDYVDESMWGDEEWTEGQHEKLEDYVNIDSHILCTPVIADIDNDGVAEMIVAVSYFFDNEYYDNPEHLKELGDIDVGKYVASSIVVFNLDTKLVKWTRELDLSTNTANFRAYIYSSPSVVDLDGDGNLDILVGTSFGLFYVLDHHGNIREKFPLEMAEIQGAVVAADINDDGKIELVTTDVHGNVAAWTSQGKEIWERNLKSLIPQGPTIGDVDGDGRTDIVVPTLSGNIYVLSGKDGSIVRPYPYRTHGRVMNQVLLVDLSKRGEKSKGLTLVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVSTMNGNVFCFSTPVPHHPLKAWRSSNQGRNNVVNRYNREGVYVTPSSRSFRDEEGKSFWVEFEIVDKYRFPSGSQAPYNVTTTLLVPGNYQGERRIKQSQIFDRPGNYRVKLPTVGVRTTGTVLVEMVDKNGLYFSDDFSLTFHMHYYKLLKWLLVLPMLGMFCVLVILRPQEAMPLPSFSRNTDL >Potri.001G033200.1.v4.1 pep chromosome:Pop_tri_v4:1:2467734:2473223:-1 gene:Potri.001G033200.v4.1 transcript:Potri.001G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033200.v4.1 MRKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTYEITLQRGAKTMNSLHLKHCVQSYNVFDFLREIVSKVPDYSHGHSDAAADHRPLQKRKPTVDECNDSDEELKRSRMHETSHAGSSGRGRGRGRGRGRGRGARNIERETSSRDLESESSTTIPQSVKNNSNPEVVMDNGSESKESVMENNIPSDAASQAERNFDLNAEVNDSEDAKAAAAPAAATTSATAAAAAPAAATAAAAPAAATATAPSLSAEPAAETNHEEYPGWSLSEMDKMAIDPLQLAQLSTRVDEEEEDYDEEG >Potri.011G135200.1.v4.1 pep chromosome:Pop_tri_v4:11:16766947:16771575:1 gene:Potri.011G135200.v4.1 transcript:Potri.011G135200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135200.v4.1 MKARLRLGVPWHLVTLMLLSLPSIIFNVCYGQTDDYESPAAPPPGLDECNGIFLTYSFTSREKEYPKVKNASAQAWAFKSLATIINTGEHELKGWQMFVGFQHKEILVSASGAIVVDGDDFPVAVGNGTIFAGNPQVDLKTAIETAGDFTQISAQIEITGSVFGIKPPGVPMPKNIKLMNDGYKCPKPTLRGKSYMRVCCKKDPKAKEEKKRLKFLPRRYGDLSLTYDVLQAYGNNYQAQVTMDNIHPLGRLDHWNLTWEWMNGEFISTMRGAYTHKRDFSECIYGTAGKYYKDFDFSTIMNCEKKPVISDLPPDRKNDSQVGKLPYCCRNGTLLPAIMDESKARSIFQLQVYKMPPFLNRTALVPPDKWKIDGVVNPQYKCGPPIRVDPTEFPDPSGLDSKTYAVASWQVTCNITRPKEKLSRCCVSFSAYYNRSAIPCNTCACGCDNNKGCSQNAKAMLLPPESLLVPFDNRTEKALAWAALNKFKVPNPRPCPDNCPVSINWHIDSDFKTGWTASVTLFNWDDSPFEDWFAAIKLDKAYKGLEGVYSLNGTKFDNLNNTILLQGLPGLNFLMGEVNGTKPGDPRVPGKQQTKISFIKKRTPGINIPRGDGFPTRILFNGEECALPTQIPRSSAQQKSHFKFLIVIIMAIVTFILMSDHLH >Potri.002G235700.4.v4.1 pep chromosome:Pop_tri_v4:2:22885754:22904458:1 gene:Potri.002G235700.v4.1 transcript:Potri.002G235700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235700.v4.1 MASTAAPSSVLMTSLPQIRTDDTFSRKNRINLIRSPLIRFQASHHQSCHCISSKRWKHEFAAGRSGTSRKKNNAWKHCSSFLGERVVEASFPEQFKCMSCSLNRRRSRYSIKRSIPRAFIDKSAFRLSGHSFDTASAKHVHVPCASMGPNEPHAASIACPDGILERQDSHLLDSELERARLLEFLNSELPCHPKLHRGQLKNGLCYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKDADGDLLPSVLDALNEIAFHPSFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDAEKIRKFHERWYFPANATLYIVGDIDNISKTVHQIENVFGQTGLENKTVSAPSPSAFGAMASFLAPKVSVGLPGSSSREKSSSSLDQSKIIKRERHAVRPPVEHYWSLPGSNANLKPPQIFQHEFLQNFSINMFCKIPVSKVQTNGDLCSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLEFIMESDALGHTVMDQRQGHESLFAVAGMVTLEEVNSIGAKLLEFISDFGKPTAPIPAAIVACVPTKVHIDGLGETEFKISSSEITAAIKSGLEEAIEAEPELEVPKELISSTQLEELRLERRPSFVPLLPDAGYTKLHDQETGITQCRLSNGIAVNYKISKSESRGGVMRLIVGGGRAAESSESKGAVVVGVRTLSEGGRVGSFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMQAAFELLHMVLENSVWLDDAFDRARQLYLSYYRSIPKSLERATAHKLMTAMLNGDERFIEPTPQSLQNLTLKSVKDAVMNQFVGGNMEVSIVGDFSEEEVQSCIIDYLGTVRATRDSDQEQEFNPVMFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGTDLFKSMSGFSVSAAGQCFADAQPISETQQIDGMDVQKDMQGKLRCHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVVSVTSTPGKVHKAVDACKSVLRGLHSNKVAQRELDRARRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDVSCIKDLTSLYEAATIEDIYLAYEQLKVDEDSLYSCIGVAGTQAGEEINAPLEVEETDDGLQGGIPVGRGLSTMTRPTT >Potri.002G235700.5.v4.1 pep chromosome:Pop_tri_v4:2:22885754:22904455:1 gene:Potri.002G235700.v4.1 transcript:Potri.002G235700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235700.v4.1 MASTAAPSSVLMTSLPQIRTDDTFSRKNRINLIRSPLIRFQASHHQSCHCISSKRWKHEFAAGRSGTSRKKNNAWKHCSSFLGERVVEASFPEQFKCMSCSLNRRRSRYSIKRSIPRAFIDKSAFRLSGHSFDTASAKHVHVPCASMGPNEPHAASIACPDGILERQDSHLLDSELERARLLEFLNSELPCHPKLHRGQLKNGLCYLILPNKVPPNSFQFFRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKDADGDLLPSVLDALNEIAFHPSFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDAEKIRKFHERWYFPANATLYIVGDIDNISKTVHQIENVFGQTGLENKTVSAPSPSAFGAMASFLAPKVSVGLPGSSSREKSSSSLDQSKIIKRERHAVRPPVEHYWSLPGSNANLKPPQIFQHEFLQNFSINMFCKIPVSKVQTNGDLCSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLEFIMESDALGHTVMDQRQGHESLFAVAGMVTLEEVNSIGAKLLEFISDFGKPTAPIPAAIVACVPTKVHIDGLGETEFKISSSEITAAIKSGLEEAIEAEPELEVPKELISSTQLEELRLERRPSFVPLLPDAGYTKLHDQETGITQCRLSNGIAVNYKISKSESRGGVMRLIVGGGRAAESSESKGAVVVGVRTLSEGGRVGSFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMQAAFELLHMVLENSVWLDDAFDRARQLYLSYYRSIPKSLERATAHKLMTAMLNGDERFIEPTPQSLQNLTLKSVKDAVMNQFVGGNMEVSIVGDFSEEEVQSCIIDYLGTVRATRDSDQEQEFNPVMFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGTDLFKSMSGFSVSADAQPISETQQIDGMDVQKDMQGKLRCHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVVSVTSTPGKVHKAVDACKSVLRGLHSNKVAQRELDRARRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDVSCIKDLTSLYEAATIEDIYLAYEQLKVDEDSLYSCIGVAGTQAGEEINAPLEVEETDDGLQGGIPVGRGLSTMTRPTT >Potri.002G235700.6.v4.1 pep chromosome:Pop_tri_v4:2:22895220:22904455:1 gene:Potri.002G235700.v4.1 transcript:Potri.002G235700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235700.v4.1 MDALLKDSEHLAAMIDNVSSVDNLEFIMESDALGHTVMDQRQGHESLFAVAGMVTLEEVNSIGAKLLEFISDFGKPTAPIPAAIVACVPTKVHIDGLGETEFKISSSEITAAIKSGLEEAIEAEPELEVPKELISSTQLEELRLERRPSFVPLLPDAGYTKLHDQETGITQCRLSNGIAVNYKISKSESRGGVMRLIVGGGRAAESSESKGAVVVGVRTLSEGGRVGSFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMQAAFELLHMVLENSVWLDDAFDRARQLYLSYYRSIPKSLERATAHKLMTAMLNGDERFIEPTPQSLQNLTLKSVKDAVMNQFVGGNMEVSIVGDFSEEEVQSCIIDYLGTVRATRDSDQEQEFNPVMFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGTDLFKSMSGFSVSADAQPISETQQIDGMDVQKDMQGKLRCHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVVSVTSTPGKVHKAVDACKSVLRGLHSNKVAQRELDRARRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDVSCIKDLTSLYEAATIEDIYLAYEQLKVDEDSLYSCIGVAGTQAGEEINAPLEVEETDDGLQGGIPVGRGLSTMTRPTT >Potri.002G235700.2.v4.1 pep chromosome:Pop_tri_v4:2:22885754:22905407:1 gene:Potri.002G235700.v4.1 transcript:Potri.002G235700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235700.v4.1 MASTAAPSSVLMTSLPQIRTDDTFSRKNRINLIRSPLIRFQASHHQSCHCISSKRWKHEFAAGRSGTSRKKNNAWKHCSSFLGERVVEASFPEQFKCMSCSLNRRRSRYSIKRSIPRAFIDKSAFRLSGHSFDTASAKHVHVPCASMGPNEPHAASIACPDGILERQDSHLLDSELERARLLEFLNSELPCHPKLHRGQLKNGLCYLILPNKVPPNRFEAHMEVHVGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTCTKDADGDLLPSVLDALNEIAFHPSFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDAEKIRKFHERWYFPANATLYIVGDIDNISKTVHQIENVFGQTGLENKTVSAPSPSAFGAMASFLAPKVSVGLPGSSSREKSSSSLDQSKIIKRERHAVRPPVEHYWSLPGSNANLKPPQIFQHEFLQNFSINMFCKIPVSKVQTNGDLCSVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELTRYMDALLKDSEHLAAMIDNVSSVDNLEFIMESDALGHTVMDQRQGHESLFAVAGMVTLEEVNSIGAKLLEFISDFGKPTAPIPAAIVACVPTKVHIDGLGETEFKISSSEITAAIKSGLEEAIEAEPELEVPKELISSTQLEELRLERRPSFVPLLPDAGYTKLHDQETGITQCRLSNGIAVNYKISKSESRGGVMRLIVGGGRAAESSESKGAVVVGVRTLSEGGRVGSFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMQAAFELLHMVLENSVWLDDAFDRARQLYLSYYRSIPKSLERATAHKLMTAMLNGDERFIEPTPQSLQNLTLKSVKDAVMNQFVGGNMEVSIVGDFSEEEVQSCIIDYLGTVRATRDSDQEQEFNPVMFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGTDLFKSMSGFSVSADAQPISETQQIDGMDVQKDMQGKLRCHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVVSVTSTPGKVHKAVDACKSVLRGLHSNKVAQRELDRARRTLLMRHEAEIKSNAYWLGLLAHLQASSVPRKDVSCIKDLTSLYEAATIEDIYLAYEQLKVDEDSLYSCIGVAGTQAGEEINAPLEVEETDDGLQGGIPVGRGLSTMTRPTT >Potri.001G014025.1.v4.1 pep chromosome:Pop_tri_v4:1:979715:979979:1 gene:Potri.001G014025.v4.1 transcript:Potri.001G014025.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014025.v4.1 MGNGNRALFVLFSLILIHVLMSSLPCLHHERKVLLLYRNQGRRLLVSVSSLLPSESLETSLRKAPPSLSNPTQNK >Potri.001G339700.1.v4.1 pep chromosome:Pop_tri_v4:1:34854882:34855686:-1 gene:Potri.001G339700.v4.1 transcript:Potri.001G339700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339700.v4.1 MARQVLALALIFVAVVGAFAAEAPSATPLASPSPKASVAPAKAPTADTPKASASAPAISGAPNGSASSPVAEEGPAGAPEEEISSPPAPASLSAEGPAGPAAGPIADVVSDAPATPPAPQKDGAATIKISTAAVVAGLLVFLSF >Potri.017G065900.18.v4.1 pep chromosome:Pop_tri_v4:17:7348437:7354091:1 gene:Potri.017G065900.v4.1 transcript:Potri.017G065900.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065900.v4.1 MSALLNSSLNGSASNLPDTTGRSFATSFSGQSGAASPVFHHSGLHNIHGSFNVPNMPGTLASRNSTVNNIPSGGVQQPTGSLSGGRFASNNLPVGLSQLSHGSSHGHSGVTNRGGISVVGNLGYGSNTNGIGGSIPGILPASAGIGNRNAVPGLGVSQILGNTGPRITSPGGTMVSGGNIGRSLSTGGGLSVPGLASRLNLTANSGSSSLSLQGQNRLMGGVLSQGNPQVIPMLGNSYHTAVGPLSQSHVPGVNNLSSMGMGMLNDVNSNDISPFDINNDFPQLTSRPSSAGGPQGQLGSLRKPGIGGSPIVQQSQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTISMMQSQHFSIGRSAGFNLGDTYSSYRPQQQQQQQQQQQHSPAVSSSISFASINNQDLHDSEIFPSSHSAYHPQTSGPPGLGLRPLNLPNTVSSVGSYDQLIQQYQHPSHSQFRLQQMSAVNQPFRDQGIKSMQAAQSAPDPFGLLGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSEEPAKGDLEFNVPKCYYAKPPPPLDHLYFSKFSTETLLYAFYSMPKDEAQLHAANILYERGWLYHKEQRRWLKRVPNTEPLVKTSAYERGSYHCFEPNTFEIKLQENFVLYYEMVEKRPSLPHH >Potri.017G065900.12.v4.1 pep chromosome:Pop_tri_v4:17:7348413:7354077:1 gene:Potri.017G065900.v4.1 transcript:Potri.017G065900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065900.v4.1 MSALLNSSLNGSASNLPDTTGRSFATSFSGQSGAASPVFHHSGLHNIHGSFNVPNMPGTLASRNSTVNNIPSGGVQQPTGSLSGGRFASNNLPVGLSQLSHGSSHGHSGVTNRGGISVVGNLGYGSNTNGIGGSIPGILPASAGIGNRNAVPGLGVSQILGNTGPRITSPGGTMVSGGNIGRSLSTGGGLSVPGLASRLNLTANSGSSSLSLQGQNRLMGGVLSQGNPQVIPMLGNSYHTAVGPLSQSHVPGVNNLSSMGMGMLNDVNSNDISPFDINNDFPQLTSRPSSAGGPQGQLGSLRKPGIGGSPIVQQSQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTISMMQSQHFSIGRSAGFNLGDTYSSYRPQQQQQQQQQQQHSPAVSSSISFASINNQDLHDSEIFPSSHSAYHPQTSGPPGLGLRPLNLPNTVSSVGSYDQLIQQYQHPSHSQFRLQQMSAVNQPFRDQGIKSMQAAQSAPDPFGLLGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSEEPAKGDLEFNVPKCYYAKPPPPLDHLYFSKFSTETLLYAFYSMPKDEAQLHAANILYERGWLYHKEQRRWLKRVPNTEPLVKTSAYERGSYHCFEPNTFEIKLQENFVLYYEMVEKRPSLPHH >Potri.017G065900.17.v4.1 pep chromosome:Pop_tri_v4:17:7348437:7354030:1 gene:Potri.017G065900.v4.1 transcript:Potri.017G065900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065900.v4.1 MSALLNSSLNGSASNLPDTTGRSFATSFSGQSGAASPVFHHSGTFQGLHNIHGSFNVPNMPGTLASRNSTVNNIPSGGVQQPTGSLSGGRFASNNLPVGLSQLSHGSSHGHSGVTNRGGISVVGNLGYGSNTNGIGGSIPGILPASAGIGNRNAVPGLGVSQILGNTGPRITSPGGTMVSGGNIGRSLSTGGGLSVPGLASRLNLTANSGSSSLSLQGQNRLMGGVLSQGNPQVIPMLGNSYHTAVGPLSQSHVPGVNNLSSMGMGMLNDVNSNDISPFDINNDFPQLTSRPSSAGGPQGQLGSLRKPGIGGSPIVQQSQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTISMMQSQHFSIGRSAGFNLGDTYSSYRPQQQQQQQQQQQHSPAVSSSISFASINNQDLHDSEIFPSSHSAYHPQTSGPPGLGLRPLNLPNTVSSVGSYDQLIQQYQHPSHSQFRLQQMSAVNQPFRDQGIKSMQAAQSAPDPFGLLGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSEEPAKGDLEFNVPKCYYAKPPPPLDHLYFSKFSTETLLYAFYSMPKDEAQLHAANILYERGWLYHKEQRRWLKRVPNTEPLVKTSAYERGSYHCFEPNTFEIKLQENFVLYYEMVEKRPSLPHH >Potri.017G065900.16.v4.1 pep chromosome:Pop_tri_v4:17:7348437:7354102:1 gene:Potri.017G065900.v4.1 transcript:Potri.017G065900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065900.v4.1 MSALLNSSLNGSASNLPDTTGRSFATSFSGQSGAASPVFHHSGTFQGLHNIHGSFNVPNMPGTLASRNSTVNNIPSGGVQQPTGSLSGGRFASNNLPVGLSQLSHGSSHGHSGVTNRGGISVVGNLGYGSNTNGIGGSIPGILPASAGIGNRNAVPGLGVSQILGNTGPRITSPGGTMVSGGNIGRSLSTGGGLSVPGLASRLNLTANSGSSSLSLQGQNRLMGGVLSQGNPQVIPMLGNSYHTAVGPLSQSHVPGVNNLSSMGMGMLNDVNSNDISPFDINNDFPQLTSRPSSAGGPQGQLGSLRKPGIGGSPIVQQSQEFSIQNEDFPALPGFKGGNADYTMDMHQKEQLHDNTISMMQSQHFSIGRSAGFNLGDTYSSYRPQQQQQQQQQQQHSPAVSSSISFASINNQDLHDSEIFPSSHSAYHPQTSGPPGLGLRPLNLPNTVSSVGSYDQLIQQYQHPSHSQFRLQQMSAVNQPFRDQGIKSMQAAQSAPDPFGLLGLLSVIRMNDPDLTSLALGIDLTTLGLNLNSTENLHKTFGSPWSEEPAKGDLEFNVPKCYYAKPPPPLDHLYFSKFSTETLLYAFYSMPKDEAQLHAANILYERGWLYHKEQRRWLKRVPNTEPLVKTSAYERGSYHCFEPNTFEIKLQENFVLYYEMVEKRPSLPHH >Potri.010G007866.1.v4.1 pep chromosome:Pop_tri_v4:10:1317507:1318209:-1 gene:Potri.010G007866.v4.1 transcript:Potri.010G007866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007866.v4.1 MARQVDRLTRIGLEGFALIENGYGWPGRSGRLPSTQVQQNHHHYHYHHPHQYQDQQTLVYQGPQITTVRMPVIISNEVVQYYGTMVKKPVIPSNEAAQYQGVCGERACYH >Potri.001G438100.4.v4.1 pep chromosome:Pop_tri_v4:1:46426723:46428695:-1 gene:Potri.001G438100.v4.1 transcript:Potri.001G438100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438100.v4.1 MDGLERQMEVLSIKNKEKWIKHYSSSQKILLVGEGDFSFAACMGKAFGSAVNMVATSLYSKETMMLKYSKAATNLRELEDLGCLVMHEVDAHTMSKHPLLNQKLFDRIVFNFPATALKRSESNIRQIELVKGFLGSAHDMLEVNGEIHVTHKTTEPYSKWEIERLAEDAGLRLVEKVRFKKADYPGFSNKRGSGPRADQTFSAGNSCTFKFSRNIT >Potri.001G438100.1.v4.1 pep chromosome:Pop_tri_v4:1:46426723:46428695:-1 gene:Potri.001G438100.v4.1 transcript:Potri.001G438100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438100.v4.1 MDGLERQMEVLSIKNKEKWIKHYSSSQKILLVGEGDFSFAACMGKAFGSAVNMVATSLYSKETMMLKYSKAATNLRELEDLGCLVMHEVDAHTMSKHPLLNQKLFDRIVFNFPATALKRSESNIRQIEKHQRLVKGFLGSAHDMLEVNGEIHVTHKTTEPYSKWEIERLAEDAGLRLVEKVRFKKADYPGFSNKRGSGPRADQTFSAGNSCTFKFSRNIT >Potri.001G438100.3.v4.1 pep chromosome:Pop_tri_v4:1:46426723:46428695:-1 gene:Potri.001G438100.v4.1 transcript:Potri.001G438100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438100.v4.1 MDGLERQMEVLSIKNKEKWIKHYSSSQKILLVGEGDFSFAACMGKAFGSAVNMVATSLYSKETMMLKYSKAATNLRELEDLGCLVMHEVDAHTMSKHPLLNQKLFDRIVFNFPATALKRSESNIRQIEKHQRLVKGFLGSAHDMLEVNGEIHVTHKTTEPYSKWEIERLAEDAGLRLVEKVRFKKADYPGFSNKRGSGPRADQTFSAGNSCTFKFSRNIT >Potri.001G438100.2.v4.1 pep chromosome:Pop_tri_v4:1:46426468:46428986:-1 gene:Potri.001G438100.v4.1 transcript:Potri.001G438100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438100.v4.1 MDGLERQMEVLSIKNKEKWIKHYSSSQKILLVGEGDFSFAACMGKAFGSAVNMVATSLYSKETMMLKYSKAATNLRELEDLGCLVMHEVDAHTMSKHPLLNQKLFDRIVFNFPATALKRSESNIRQIEKHQRLVKGFLGSAHDMLEVNGEIHVTHKTTEPYSKWEIERLAEDAGLRLVEKVRFKKADYPGFSNKRGSGPRADQTFSAGNSCTFKFSRNIT >Potri.014G070700.1.v4.1 pep chromosome:Pop_tri_v4:14:4498959:4500153:1 gene:Potri.014G070700.v4.1 transcript:Potri.014G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070700.v4.1 MLNSFRTCLSSLHRRAKNFLQQPRNTERVSKCNRRKNKRLLSSSFDLLTYSFAAMEMSNQFKQVFKVIDANGDGKISCHELSEVLLCLGYEKSKAAWEAERMVREMDCNGDGFIDLDEFINAVNDDGNFGSGNKEDYLMDVFLIFDTDKNGLISARELQTVLTSLGCKKCSLEDCRRMIKGVDKDGDGFVDFHEFRSMMTTSASWLED >Potri.004G148700.1.v4.1 pep chromosome:Pop_tri_v4:4:17083573:17086778:1 gene:Potri.004G148700.v4.1 transcript:Potri.004G148700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G148700.v4.1 MEFIIEEGKCLNKETSTLILPALSIGNVGQLAVDLLVSSTRAERIGYLDDPYILPCVGNDAYGPTPCGELALPLEAYDSRNNGVALVQQRSPVVKGMMVEFARNLAHFAVATGMNHVLVLSSLEFMRLQKIDTSSGMQIFYLSSTNTDGTDDCCERLGWKKWQEYNPDQRSWKYLSSLAEGNARQEDNLPFEDEPEDEDYYPSLPFAALFSCFKAKGIKVTCLLCYCSEGDNTPEAFSLAEATSKLLGLSIDNSHGEGGKWLIPFSWRTVYGPPPDLSMF >Potri.012G085300.2.v4.1 pep chromosome:Pop_tri_v4:12:11104018:11107712:1 gene:Potri.012G085300.v4.1 transcript:Potri.012G085300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085300.v4.1 MQHDEVIWQVIRHSHCSFMAKITTGNFCRNPYNITGVCNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNELWERVKLPRNYEKALEIIDKHLMYWPKFLVHKAKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEIKRESRREKKAETAAELDKSIEKELLERLNGGLYGDIHNILPEHFNKILDENELHAVSEDEEYEEQEPEIEYVQGYDDLEEEDDIEDFGGFAIDKSLKNNDDASEDEEEMDSVDGKRVKRRSESAHQKMEEDERRKSKKKAKVLVEVEHEDASERQRATF >Potri.012G085300.1.v4.1 pep chromosome:Pop_tri_v4:12:11104003:11107787:1 gene:Potri.012G085300.v4.1 transcript:Potri.012G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085300.v4.1 MQHDEVIWQVIRHSHCSFMAKITTGNFCRNPYNITGVCNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNELWERVKLPRNYEKALEIIDKHLMYWPKFLVHKAKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEIKRESRREKKAETAAELDKSIEKELLERLNGGLYGDIHNILPEHFNKILDENELHAVSEDEEYEEEPEIEYVQGYDDLEEEDDIEDFGGFAIDKSLKNNDDASEDEEEMDSVDGKRVKRRSESAHQKMEEDERRKSKKKAKVLVEVEHEDASERQRATF >Potri.011G118500.1.v4.1 pep chromosome:Pop_tri_v4:11:14954441:14964581:-1 gene:Potri.011G118500.v4.1 transcript:Potri.011G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118500.v4.1 MATTNAHLTTPTKTPSTNSSSLSRKHQNNPSNSRFEAYNRLQAAAVAFGEKLPIPEIVALGGQSDGKSSLLEALLGFRFNVREVEMGTRRPLILQMVHDPSALEPRCRFQEEDSEEYGSSVVSSTTIADIIKSRTEVLLKRTKTAVSSKPIVMRAEYAHCPNLTIIDTPGFVLKARKGEPENTPDEILSMVKSLASPPHRILLFLQQSSVEWCSSLWLDAIKDIDPNFRRTVIVVSKFDNRLKEFSDRWEVDRYLSASGYLGENTRPFFVALPKDKNTITNDEFRRQISQVDSEILHHLRDGVKGGFDEEKFRPYIGFSTLRDYLESELQKRYKEAAPATLALLEQRCCEVNAELDRMDSKILATSDVAHLRRSAMLHAASISNHVGALIDGAADPAPEQWGKTTVEEQAESGIGIWPGVTVDIKPPNATLRLYGGAAFERVMHEFRCAAYSIECPPVSREKVANILLAHAGRGGGRGVTEAAAEIARAASRSWLAPLLDTACDRLAFVLGNLFDLALERNHIRDSEYDKKNGNMDGYVGFHAALRHAYSRFIKDLAKQCKQLVRHHLDSVTSPYSQVCYENDFQGGFGLSTTSCFKFNQVSAGPFYLELSDCGAPSRDETTRDQENIPPEKIVQQTTPGKVAEAREALKESQMTVPETPSPDQPCDVVYAGVRKENVNCNEIGPRKRISRMIGHTKNTENLRVQNGGSLLFGNGDSGSRSGSAYLEICSSAAQHFARIREVLVERSVTSTLNSGFLTPCRDRLVVALGLDLFAVNDEKFMDMFVAPGAIDVLQNERHSLQKRQKILQSCLNEFKSLARSL >Potri.008G099300.3.v4.1 pep chromosome:Pop_tri_v4:8:6209072:6211072:-1 gene:Potri.008G099300.v4.1 transcript:Potri.008G099300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099300.v4.1 MMEFLYAMCSLKEMAETFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKADVDYEKIVRDTCRNIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHFSKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYYNENGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILQIVKESFDFRPGMISINLDLKRGGNSRFLKTAAYGHFGRDDPDFTWEVVKPLKWDNKVQA >Potri.008G099300.1.v4.1 pep chromosome:Pop_tri_v4:8:6208500:6211128:-1 gene:Potri.008G099300.v4.1 transcript:Potri.008G099300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099300.v4.1 MAETFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKADVDYEKIVRDTCRNIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHFSKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYYNENGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILQIVKESFDFRPGMISINLDLKRGGNSRFLKTAAYGHFGRDDPDFTWEVVKPLKWDNKVQA >Potri.004G174150.1.v4.1 pep chromosome:Pop_tri_v4:4:18916309:18918000:-1 gene:Potri.004G174150.v4.1 transcript:Potri.004G174150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174150.v4.1 MHGLCSFCKLCPRKSFDWLWILQPLLAIRHCQCCNRRSPCWCLSGLVPIEMYISQKKIGRWTSQWLARASDFQYELPRDHHSCSLLALLPGLCWISKLTSHAFKSSYRGLRERAMN >Potri.015G143700.5.v4.1 pep chromosome:Pop_tri_v4:15:14910112:14910969:-1 gene:Potri.015G143700.v4.1 transcript:Potri.015G143700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143700.v4.1 MITGYTTAIYIFIHVSSSNVNLVSVFLQQLHPFLMLFGLIIIGGEAIMSYKSLPLKKEVKKLIHLVLHAIAIILGCVGIAAAFKNHNESNIANLYSLHSWLGITIISLYGIQWIYGFLVFFYPKGSPTLRSECLPWHVLFGIFVYILAVGNAALGFLEKLTFLESSGIAKYGSEALLVNFTAVVTVLYGAFVILSVLGQAPVEDDDSYSAI >Potri.015G143700.1.v4.1 pep chromosome:Pop_tri_v4:15:14909946:14913904:-1 gene:Potri.015G143700.v4.1 transcript:Potri.015G143700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143700.v4.1 MALEFRALPLTFLAHALAIAGAVMVLVWVLYYRGGMAWEAANKNLIFNLHPFLMLFGLIIIGGEAIMSYKSLPLKKEVKKLIHLVLHAIAIILGCVGIAAAFKNHNESNIANLYSLHSWLGITIISLYGIQWIYGFLVFFYPKGSPTLRSECLPWHVLFGIFVYILAVGNAALGFLEKLTFLESSGIAKYGSEALLVNFTAVVTVLYGAFVILSVLGQAPVEDDDSYSAI >Potri.005G045700.1.v4.1 pep chromosome:Pop_tri_v4:5:2895912:2900917:-1 gene:Potri.005G045700.v4.1 transcript:Potri.005G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045700.v4.1 MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKSTVNFNGETFESPTFYSTLRLAEHAAAEVALNTLASRGPSKALIAGVLDETGVYKNLLQETAHRAGLKLPVYTTIRSGPGHVPVFSCNVELAGMSFTGESARTKKQAQKNAAMAAWSALKRLVQHSTSSSNSSTSPPVEAKRISEEQEQVVIARVLASLQPAELKNSKQNDSQRGQERFFPVCNDLTPPIPTLYPVQCHSWAYPSFSPEMAIYQMWQQEELFQLQNRLLALQIPSVSPGPQILPYMQSILPSDSVLFGPLREQEPVPVGPRITIATSRPLYLADHVVPDPIKGESTVTISEIHEEKPEESLQCSTSVIPDPPVGGNFNAEPRSKDPVDMDDKQMKVELERKVENVQPGDNQTRKFEWASSSNTDSGYRPADFQAQNKHSFHSSQATLQYPPRASTFRSCRPAPSAAPPVMIRSVRPLPSSTAPSALNNNMGPPSVPKLQDLAAQNPAPPRMRTGGSHSYQARPLPQRMNLGGVHPRFMAPAVRIRSVVPVCSAPPARRMPTSGQVVPDRESKATAVPEDVKTASSELGKLQK >Potri.005G045700.2.v4.1 pep chromosome:Pop_tri_v4:5:2895927:2900710:-1 gene:Potri.005G045700.v4.1 transcript:Potri.005G045700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045700.v4.1 MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKSTVNFNGETFESPTFYSTLRLAEHAAAEVALNTLASRGPSKALIAGVLDETGVYKNLLQETAHRAGLKLPVYTTIRSGPGHVPVFSCNVELAGMSFTGESARTKKQAQKNAAMAAWSALKRLVQHSTSSSNSSTSPPVEAKRISEEQEQVVIARVLASLQPAELKNSKQNDSQRGQERFFPVCNDLTPPIPTLYPVQCHSWAYPSFSPEMAIYQMWQQEELFQLQNRLLALQIPSVSPGPQILPYMQSILPSDSVLFGPLREQEPVPVGPRITIATSRPLYLADHVVPDPIKGESTVTISEIHEEKPEESLQCSTSVIPDPPVGGNFNAEPRSKDPVDMDDKQMKVELERKVENVQPGDNQTRKFEWASSSNTDSGYRPADFQAQNKHSFHSSQATLQYPPRASTFRSCRPAPSAAPPVMIRSVRPLPSSTAPSALNNNMGPPSVPKLQDLAAQNPAPPRMRTGGSHSYQARPLPQRMNLGGVHPRFMAPAVRIRSVVPVCSAPPARRMPTSGQVVPDRESKATAVPEDVKTASSELGKLQK >Potri.005G045700.3.v4.1 pep chromosome:Pop_tri_v4:5:2895931:2900911:-1 gene:Potri.005G045700.v4.1 transcript:Potri.005G045700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045700.v4.1 MLSSAQDETGVYKNLLQETAHRAGLKLPVYTTIRSGPGHVPVFSCNVELAGMSFTGESARTKKQAQKNAAMAAWSALKRLVQHSTSSSNSSTSPPVEAKRISEEQEQVVIARVLASLQPAELKNSKQNDSQRGQERFFPVCNDLTPPIPTLYPVQCHSWAYPSFSPEMAIYQMWQQEELFQLQNRLLALQIPSVSPGPQILPYMQSILPSDSVLFGPLREQEPVPVGPRITIATSRPLYLADHVVPDPIKGESTVTISEIHEEKPEESLQCSTSVIPDPPVGGNFNAEPRSKDPVDMDDKQMKVELERKVENVQPGDNQTRKFEWASSSNTDSGYRPADFQAQNKHSFHSSQATLQYPPRASTFRSCRPAPSAAPPVMIRSVRPLPSSTAPSALNNNMGPPSVPKLQDLAAQNPAPPRMRTGGSHSYQARPLPQRMNLGGVHPRFMAPAVRIRSVVPVCSAPPARRMPTSGQVVPDRESKATAVPEDVKTASSELGKLQK >Potri.018G097600.2.v4.1 pep chromosome:Pop_tri_v4:18:11823944:11825813:-1 gene:Potri.018G097600.v4.1 transcript:Potri.018G097600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097600.v4.1 MKHRQLPEDLRKRVRRFVQYKWLATRGVNEESILRGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFVIRGKLESSTTNGGRTGFFNSIMLKPGDFCGEELLSWALHPKSSLNLPSSTRTVKALNEVEAFVLRAEDLKFVANQFRRLHSKRLQHTFRYHSHHWRTWAACFIQAAWRRHKKRMVENNLTMSESFALNEREATEPEEEDEAHFSDRSNPSQAKLNLGVTILASRFAANTRRGAQKIKDVEMPKLPKPEEPDFSSDVD >Potri.018G097600.1.v4.1 pep chromosome:Pop_tri_v4:18:11823954:11836148:-1 gene:Potri.018G097600.v4.1 transcript:Potri.018G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097600.v4.1 MIVGGRNKLNGNSEIGRSKVFPEDLAPWRQRILDPGSDIVLRWNKIFLFSCLMALFVDPLYFYLPTVGGAGESSCVNTDFKLRIVVTFFRTIADLFYWLHMLIKFRTAYVAPSSRVFGRGELVMDPKKIARRYIRSDFFIDLIATLPLPQIVIWFVIPATRSSRTDHKNNALALIVLLQYVPRLYLIFPLSSEIIKATGVVTRTAWAGAAYNLLLYMLASHVLGASWYLLSIDRYTSCWKSQCRKENSPVKCLLAYLDCDTFNDGEHKAWARGTSVFKNCDPDNDIEFKYGIFENAVKKNVVSSNFIEKYLYCLWWGLQQLSSYGQNLSTSTFIGETSFAVLISILGLVLFAHLIGNMQTYLQSLTVRLEEWRLKRRDTEEWMKHRQLPEDLRKRVRRFVQYKWLATRGVNEESILRGLPADLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVTEMLFVIRGKLESSTTNGGRTGFFNSIMLKPGDFCGEELLSWALHPKSSLNLPSSTRTVKALNEVEAFVLRAEDLKFVANQFRRLHSKRLQHTFRYHSHHWRTWAACFIQAAWRRHKKRMVENNLTMSESFALNEREATEPEEEDEAHFSDRSNPSQAKLNLGVTILASRFAANTRRGAQKIKDVEMPKLPKPEEPDFSSDVD >Potri.014G148050.1.v4.1 pep chromosome:Pop_tri_v4:14:10165985:10166561:1 gene:Potri.014G148050.v4.1 transcript:Potri.014G148050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148050.v4.1 MSAHPDVPGEPTTGTALLETATAAVQSFGPAKKIHQHLCAFHFYSHDMTRQVEAHHFLWPPERGNAPMPDIQ >Potri.008G195900.10.v4.1 pep chromosome:Pop_tri_v4:8:13835637:13848444:1 gene:Potri.008G195900.v4.1 transcript:Potri.008G195900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195900.v4.1 METKNDRGPFLVVVPSSVLPGWETEINFWAPGILRIIYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNAELKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEDNLGSIGNPKARSVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDSFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKREVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRQAKEMATWKNLLLGQGMDALEHQPPLPSRLVTDDDLKAFYKAMNLYDVPKAGVESNAGVKRKGQSLGGLDTQHYGRGKRAREVRSYEEQWTEEEFEKMCEAESPDSPMRKEETGERNLLKDASGSLLAIGSSEPQAPPQLPRPPPSVEPPPPPPSVEPLPPPPSVEPLPPPPSAEPLPPPPSAEPLPPPPSAEPLPPPPSVGPLSLQQSKEVTPSKRGRGRPRRVTLDKAPVAMALSVPLGTGKVDTELQKGMESCSSKTSAPDSSPVPNLGSNSRGTPHSGSGISPCTQPITPVSVTLGTQTTPASLSMPLQSRGRGRKVQGGVQTPRRRGKNQVAISSTPASSAVPDPNINDQSVNVSVNPSIIAMGGTVSSAPMSQHPSNLPGSAAAEGTNATTHHSGPGTTLDSEPKPPNPSISPIIQSIAPSPSVPMQVKGQNQKTQSGTGTPRRRGRKEVPVSPSVPDVSDGQLSKSNPTLSQDKSGESSGSKAIFTMSNQQNDALERDVNQEQLSREAGQDQKATEHSDDVAQHRQPASSPTTHDGITRSMACAGSSGQIRGADMQDVVSVTKEVSAENSSLKAKVGEVSRNEGGAILSTPLLSNLFLEVVHNQSSEDKPSPVVCPPTESLLGSATVESVGKTVHQLTPKIASCSQEISSYPSVTPIFQSNTPEAMQVKRQGRKAPTRVETPRRRGKKQGSVSLAVDASVDQDPIINAQTQNKSRDSLGGMAMSLRSGQGNDFKELKNVVQEACVPSGLVGQDPKRKEASGIPAFGQIQTSDVTDVARVMKEIFSETCSSKTKSGDYSGVEVRSAPVSSKMSVEVAKDRSSDCKALSAVSVLEAEAPVMRSSIDDSKQSGSGDGVKMEGDNASEAEAPVMGSSIDDSKQSGSGDGVKMEGDNASDLAKAHISEIDVSTIENNTSHGPIEKMTDTIQASTKNPITGSYIKVNHSVFDACEMDNIPSLGSAHEGLLGDGGDPPMVTQSVSDVMEHPGSDSGNRTEASKASPRSSPDVVRLGNTTLSVKPDGIDYHSKGTVTLIADHSDARNIHSVADGVSISSNKPSPKESLESSLETRNVEAQTQTQSGIDKTKVKGEEVCNMQIDPPVSEASSLKYLSSSNEQELNSSAAGASHEKDVSQCGGVMPQDISENSLPVREEENADGSCENGLIGRSAVMEEPLKSEAGNEAEASQVGAVVLKDFSENTDGSCENGLVGSSAVMEEPHKTEAGNEAEASQVGAVVLKDFSENTDGSCENGLVGSSAVMEEPHKTEAGNEAEQVGAVVLKDFSENTDGSCENGLVGSSAVMEEPHKTEAGNEAEASQVGAVVLKDFSENTDGSCENGLVGSSAVMEEPHKTEAGNEAEASQVGVVVPKDFSENTVLPSSPLVGEEEKDSRSFDQGLAGSSIEPEMSSVAQLTSKKDVSNADVIVSEITPEHTVLPQSSLEAEENFKGSLENDLACHPVVPGEEKGSEAEIDDQMGILKVFESAPEILDPQPSSLVLEEEEQVKGSSENGAPCHSVILQKSGGLEAEAGEQLDASHADTLVPENASENMVSPRSSLASEAPMVEGSLEKDTFVSSVVLEASKGSATNEDQAIPSQVDGIMPETNVGLPSSFIGMQESEIKSSSENHPVDSSVAEKLKTSVIEEGSQGILSEVGGIVPETSLVNSVVPSSSETAVENVNCLSEKSSHSILLALEEPKQFETENSNQIVVCEPMTGPEDSLDNMCQPSCSLSMDADKVEGYSEKSPCGIAVQVKGSKGAEIENVESHVASMVYESQVVGTESEHTQVGSIGPEDTFGNTDKSSSSLGMQEDTIGGSSQNIRGESNRSEAETDDQTQCGEMAITNMSENSAQPSSPLVEEKADVLSAKDPKVSDVGE >Potri.008G195900.9.v4.1 pep chromosome:Pop_tri_v4:8:13827929:13845103:1 gene:Potri.008G195900.v4.1 transcript:Potri.008G195900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195900.v4.1 MTSSQSSQNVEFEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTSGTQMGDSSTAQYGGSSQAVGVGKDSKAGSAENEISKIDTFASSRPPVGPGTAGHDYYQGSGTQRSSQSFDHESPSSLDTRSANSQSQERGVNQKDGKKAAAKRKRVDSSLHSEMHGDNPQQLNPRNTIVNPRRGKMNKVDSPGGYPVRGGENTSFNKVPNSGQLEVSSSFVSAGQQQGGSLPSAHESLTSRGMWNQNKAGLPLERSHIPRFSSNAVSGNTTAEIQLQQSAISSLGSSAFSKVHGGMPVTSNPTGPMGELGFAGPVQYSSSEHQKHGLTKGAVASSAEKTSEGHFFAANRVDDFPTSLSTGKILENGGSSNMFAEASKIVQGGRQTSNSELTMIRSTSPRDVGKSPVFQGSALSGMPFNEQQLRQLRAQCLVFLAFRNDLMPKKLHLDIALGNAVSKDGGTLDGPRKELIDYKGKAQSSNESTSIPEVLMSCGRLNNAKESDKVLPGSGARFVDGNYVPKEADTLKMVEDPPSVPLILADERKYLLSTRKPDAEMQSQEAVESQGFFPSAMQQPDSASGGLLLSNPVDGMDNTCLHVGKTDHASSTSFVNKQANLEAVSWTGIGNQSLPFRSVQLGLVPDRKDNASSQFHSLGNSIASDDSRLSEFQTRYAPDGYKVVPVDVSLRNGISFTTEQDDEDKSASTDSQPSPKYTMSEKWIMDHQRKKLLTEQNWVLKQQRTKQRISTCFYKLKETVSFSKDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMDRLKSCKKHKHGRRIRQLEKYEQKMKEERQKRIRERQKEFFDEIEVHKERLDDVFKIKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRTATVVEKNETAAENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGILRIIYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNAELKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEDNLGSIGNPKARSVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDSFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKREVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRQAKEMATWKNLLLGQGMDALEHQPPLPSRLVTDDDLKAFYKAMNLYDVPKAGVESNAGVKRKGQSLGGLDTQHYGRGKRAREVRSYEEQWTEEEFEKMCEAESPDSPMRKEETGERNLLKDASGSLLAIGSSEPQAPPQLPRPPPSVEPPPPPPSVEPLPPPPSVEPLPPPPSAEPLPPPPSAEPLPPPPSAEPLPPPPSVGPLSLQQSKEVTPSKRGRGRPRRVTLDKAPVAMALSVPLGTGKVDTELQKGMESCSSKTSAPDSSPVPNLGSNSRGTPHSGSGISPCTQPITPVSVTLGTQTTPASLSMPLQSRGRGRKVQGGVQTPRRRGKNQVAISSTPASSAVPDPNINDQSVNVSVNPSIIAMGGTVSSAPMSQHPSNLPGSAAAEGTNATTHHSGPGTTLDSEPKPPNPSISPIIQSIAPSPSVPMQVKGQNQKTQSGTGTPRRRGRKEVPVSPSVPDVSDGQLSKSNPTLSQDKSGESSGSKAIFTMSNQQNDALERDVNQEQLSREAGQDQKATEHSDDVAQHRQPASSPTTHDGITRSMGSSGQIRGADMQDVVSVTKEVSAENSSLKAKVGEVSRNEGGAILSTPLLSNLFLEVVHNQSSEDKPSPVVCPPTESLLGSATVESVGKTVHQLTPKIASCSQEISSYPSVTPIFQSNTPEAMQVKRQGRKAPTRVETPRRRGKKQGSVSLAVDASVDQDPIINAQTQNKSRDSLGGMAMSLRSGQGNDFKELKNVVQEACVPSGLVGQDPKRKEASGIPAFGQIQTSDVTDVARVMKEIFSETCSSKTKSGDYSGVEVRSAPVSSKMSVEVAKDRSSDCKALSAVSVLEAEAPVMRSSIDDSKQSGSGDGVKMEGDNASEAEAPVMGSSIDDSKQSGSGDGVKMEGDNASDLAKAHISEIDVSTIENNTSHGPIEKMTDTIQASTKNPITGSYIKVNHSVFDACEMDNIPSLGSAHEGLLGDGGDPPMVTQSVSDVMEHPGSDSGNRTEASKASPRSSPDVVRLGNTTLSVKPDGIDYHSKGTVTLIADHSDARNIHSVADGVSISSNKPSPKESLESSLETRNVEAQTQTQSGIDKTKVKGEEVCNMQIDPPVSEVCFLFCFSYLGFFF >Potri.008G195900.3.v4.1 pep chromosome:Pop_tri_v4:8:13827929:13848317:1 gene:Potri.008G195900.v4.1 transcript:Potri.008G195900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195900.v4.1 MTSSQSSQNVEFEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTSGTQMGDSSTAQYGGSSQAVGVGKDSKAGSAENEISKIDTFASSRPPVGPGTAGHDYYQGSGTQRSSQSFDHESPSSLDTRSANSQSQERGVNQKDGKKAAAKRKRVDSSLHSEMHGDNPQQLNPRNTIVNPRRGKMNKVDSPGGYPVRGGENTSFNKVPNSGQLEVSSSFVSAGQQQGGSLPSAHESLTSRGMWNQNKAGLPLERSHIPRFSSNAVSGNTTAEIQLQQSAISSLGSSAFSKVHGGMPVTSNPTGPMGELGFAGPVQYSSSEHQKHGLTKGAVASSAEKTSEGHFFAANRVDDFPTSLSTGKILENGGSSNMFAEASKIVQGGRQTSNSELTMIRSTSPRDVGKSPVFQGSALSGMPFNEQQLRQLRAQCLVFLAFRNDLMPKKLHLDIALGNAVSKDGGTLDGPRKELIDYKGKAQSSNESTSIPEVLMSCGRLNNAKESDKVLPGSGARFVDGNYVPKEADTLKMVEDPPSVPLILADERKYLLSTRKPDAEMQSQEAVESQGFFPSAMQQPDSASGGLLLSNPVDGMDNTCLHVGKTDHASSTSFVNKQANLEAVSWTGIGNQSLPFRSVQLGLVPDRKDNASSQFHSLGNSIASDDSRLSEFQTRYAPDGYKVVPVDVSLRNGISFTTEQDDEDKSASTDSQPSPKYTMSEKWIMDHQRKKLLTEQNWVLKQQRTKQRISTCFYKLKETVSFSKDISAKTKSVIELKKLQLLELQRRLRSDFLNDFFKPITNDMDRLKSCKKHKHGRRIRQLEKYEQKMKEERQKRIRERQKEFFDEIEVHKERLDDVFKIKRERWKGFNKYVKEFHKRKERIHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRTATVVEKNETAAENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLQGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGILRIIYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWHYIIIDEGHRIKNASCKLNAELKHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSSEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENELPEKIERLVRCEASAYQKLLMKRVEDNLGSIGNPKARSVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDSFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKREVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRQAKEMATWKNLLLGQGMDALEHQPPLPSRLVTDDDLKAFYKAMNLYDVPKAGVESNAGVKRKGQSLGGLDTQHYGRGKRAREVRSYEEQWTEEEFEKMCEAESPDSPMRKEETGERNLLKDASGSLLAIGSSEPQAPPQLPRPPPSVEPPPPPPSVEPLPPPPSVEPLPPPPSAEPLPPPPSAEPLPPPPSAEPLPPPPSVGPLSLQQSKEVTPSKRGRGRPRRVTLDKAPVAMALSVPLGTGKVDTELQKGMESCSSKTSAPDSSPVPNLGSNSRGTPHSGSGISPCTQPITPVSVTLGTQTTPASLSMPLQSRGRGRKVQGGVQTPRRRGKNQVAISSTPASSAVPDPNINDQSVNVSVNPSIIAMGGTVSSAPMSQHPSNLPGSAAAEGTNATTHHSGPGTTLDSEPKPPNPSISPIIQSIAPSPSVPMQVKGQNQKTQSGTGTPRRRGRKEVPVSPSVPDVSDGQLSKSNPTLSQDKSGESSGSKAIFTMSNQQNDALERDVNQEQLSREAGQDQKATEHSDDVAQHRQPASSPTTHDGITRSMACAGSSGQIRGADMQDVVSVTKEVSAENSSLKAKVGEVSRNEGGAILSTPLLSNLFLEVVHNQSSEDKPSPVVCPPTESLLGSATVESVGKTVHQLTPKIASCSQEISSYPSVTPIFQSNTPEAMQVKRQGRKAPTRVETPRRRGKKQGSVSLAVDASVDQDPIINAQTQNKSRDSLGGMAMSLRSGQGNDFKELKNVVQEACVPSGLVGQDPKRKEASGIPAFGQIQTSDVTDVARVMKEIFSETCSSKTKSGDYSGVEVRSAPVSSKMSVEVAKDRSSDCKALSAVSVLEAEAPVMRSSIDDSKQSGSGDGVKMEGDNASEAEAPVMGSSIDDSKQSGSGDGVKMEGDNASDLAKAHISEIDVSTIENNTSHGPIEKMTDTIQASTKNPITGSYIKVNHSVFDACEMDNIPSLGSAHEGLLGDGGDPPMVTQSVSDVMEHPGSDSGNRTEASKASPRSSPDVVRLGNTTLSVKPDGIDYHSKGTVTLIADHSDARNIHSVADGVSISSNKPSPKESLESSLETRNVEAQTQTQSGIDKTKVKGEEVCNMQIDPPVSEASSLKYLSSSNEQELNSSAAGASHEKDVSQCGGVMPQDISENSLPVREEENADGSCENGLIGRSAVMEEPLKSEAGNEAEASQVGAVVLKDFSENTDGSCENGLVGSSAVMEEPHKTEAGNEAEASQVGAVVLKDFSENTDGSCENGLVGSSAVMEEPHKTEAGNEAEQVGAVVLKDFSENTDGSCENGLVGSSAVMEEPHKTEAGNEAEASQVGAVVLKDFSENTDGSCENGLVGSSAVMEEPHKTEAGNEAEASQVGVVVPKDFSENTVLPSSPLVGEEEKDSRSFDQGLAGSSIEPEMSSVAQLTSKKDVSNADVIVSEITPEHTVLPQSSLEAEENFKGSLENDLACHPVVPGEEKGSEAEIDDQMGILKVFESAPEILDPQPSSLVLEEEEQVKGSSENGAPCHSVILQKSGGLEAEAGEQLDASHADTLVPENASENMVSPRSSLASEAPMVEGSLEKDTFVSSVVLEASKGSATNEDQAIPSQVDGIMPETNVGLPSSFIGMQESEIKSSSENHPVDSSVAEKLKTSVIEEGSQGILSEVGGIVPETSLVNSVVPSSSETAVENVNCLSEKSSHSILLALEEPKQFETENSNQIVVCEPMTGPEDSLDNMCQPSCSLSMDADKVEGYSEKSPCGIAVQVKGSKGAEIENVESHVASMVYESQVVGTESEHTQVGSIGPEDTFGNTDKSSSSLGMQEDTIGGSSQNIRGESNRSEAETDDQTQCGEMAITNMSENSAQPSSPLVEEKADVLSAKDPKVSDVGE >Potri.018G093900.1.v4.1 pep chromosome:Pop_tri_v4:18:11409137:11414985:-1 gene:Potri.018G093900.v4.1 transcript:Potri.018G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093900.v4.1 MGSKPAPFSDIGKRAKDLLTKDYNYDHKFTLSILSSTGMGFTATGLKKDEIFNGDISTLYRSKNTVVDVKVDTYSIVSTKVTVNDIFPSTKAALSFKIPDHKSGKLDVQYLHHHAAIDSSIGLNPNPLLELSAAIGSNNLSVGGEVGFDTASSSFVKCNAGIGLNKPDFSAAFLLTDKGQTLKASYVHSVNPLHSVAAEMTHKLSSFENSFTIGSSHAVDPFTVVKTRFSDNGKAAMLCQHEWRPKSLITFSAEYDSKATHAAAPKFGLALALKP >Potri.018G093900.2.v4.1 pep chromosome:Pop_tri_v4:18:11409470:11414610:-1 gene:Potri.018G093900.v4.1 transcript:Potri.018G093900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093900.v4.1 MGSKPAPFSDIGKRAKDLLTKDYNYDHKFTLSILSSTGMGFTATGLKKDEIFNGDISTLYRSKNTVVDVKVDTYSIVSTKVTVNDIFPSTKAALSFKIPDHKSGKLDVQYLHHHAAIDSSIGLNPNPLLELSAAIGSNNLSVGGEVGFDTASSSFVKCNAGIGLNKPDFSAAFLLTDKGQTLKASYVHSVNPLHSVAAEMTHKLSSFENSFTIGSSHAVDPFTVVKTRFSDNGKAAMLCQHEWRPKSLITFSAEYDSKATHAAAPKFGLALALKP >Potri.016G068200.2.v4.1 pep chromosome:Pop_tri_v4:16:4839823:4844337:1 gene:Potri.016G068200.v4.1 transcript:Potri.016G068200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068200.v4.1 MTMKIKEHEGIVHNPSGQLSTVPWWSAFGSQTVTGESCGLLKALPVEQFAIGGEAATKQARMSTDQRLDKGNITQFTIFPGDCKTSGYGQKNLQAAISLQTALPEYRAHFDLGFGQPVICTKYPLVDQCYGVFSTFGPQISGRIMLPMSMTADDGPIYVNAKQYHGIMRRRKSRAKAVLENKSTNSRKPYMHYSRHLHAMRRPRGCGGRFLNTKELNEGKGTMEAKKAGDFQPSQATGSQNSEVLESGGATLNSSMEANGGGSIFSGSEVTSMYNRGELDLLQINRLGPTFHSFSGMMDRGHGIVMPSKWVAAADNCCNLK >Potri.001G376600.1.v4.1 pep chromosome:Pop_tri_v4:1:39628431:39636531:-1 gene:Potri.001G376600.v4.1 transcript:Potri.001G376600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376600.v4.1 MIMTEVCGLRTRCLHFLLRSSSSSFCRHGRQFATTTTCSIPVPNKKEKLIVISGPTGSGKTRLALELAKRLNGEIVSADSVQVYRGLDVGSAKPTESERKEVRHHLLDILHPSEDYSVGQFYEDARQATQDILKNGRVPIVTGGTGLYLRWFIYGKPDVPKASPEIASEVYSELAELERNKDWDAAVQLVVKAGDSQAQFLAANDWYRLRRSLEIIKTSGSPPSAFQVPYKSFKDQFDTRLTDSSLDVNSSGDLDYEFICFFLSSPRLDLYKSIDYRCEDMVAGSNGILTEAKWLLDEGLLPNSNSATRAIGYRQAMEYLLRCREDGGSSSAGDFYAFLSEFQKASRNFAKRQMTWFRNEHIYHWLDASKPLEMVLNFVYDAYHDQTGSFVVPESIRMKKDMTSRREVLQLKAYRTKNRHFVSRNDCSDILDWIRTTQGEAVSVV >Potri.016G074300.1.v4.1 pep chromosome:Pop_tri_v4:16:5576260:5580543:-1 gene:Potri.016G074300.v4.1 transcript:Potri.016G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074300.v4.1 MLRQKGSATMEELLPGKIRKRGCSSSASSSSSIIQNYRFKRAILVGKRGGSSTPVPTWKLMGKRTPSSILRAMESSPKSINGKGKQQHAPVSARKLAATLWEMNEMPSPKMKEEMVEERRLRKEGRGRERRSVHSGSLPPHLSDPSHSPVSERIDRSGTGSRHRRTSSISQRLRLMDQSIGAFDSVSNASLMEIETRSRAQTPSGSTVGVRPRLKDVSNALTTSKELLKIINRVWGNEDRPSSSMSLISALHAELERARLQVNHLIQEQRSDQNEINYLMKCFAEEKAAWKNKEQKVVEAAIESIAGELDVEKKLRRRFESLNKKLGKELAETKASLLKAVKELESEKRARAVMEQVCDELARDFGDDKAEVEELKRESAKLCEEVEKEREMMQLADVLREERVHMKLSEAKYQLEEKNAAVDKLRNQLEAFLGTKRNKEKGRCSSHINDEEIAACLSKNRFVSHRSEVNEEDGEVDDRVVCEEGSAESDLHSIELNMDNNNKSYKWTYPSGTPRDLRKAAMDEEDIKGRNSTSSKLPRRSSSLQRSVSDGVEWGIQNERVPFPGDGIDWGRFSELERQGQGKGYGDEMHGHQSMKGLRDYLLSVSRLDSPRGYASPVRQVGQLRSSREPNLAQERPPVIPGNVSKSRLSDAKAEGVNLRKSKW >Potri.005G009900.1.v4.1 pep chromosome:Pop_tri_v4:5:858567:860753:-1 gene:Potri.005G009900.v4.1 transcript:Potri.005G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009900.v4.1 MAMIAVQVVLEKLASFVAEETRFLGGVRGGIVELLDDLYSMKSFLQDAEERSESDQGLRAWVKQVRDVAYDAEDILEEFMLRFAPSHESGFIHHLRNSYRSIRKLSARHRLAVQLQSIKARVKAISERRNAFSLNRIDMPSTSSATVEKWHDPRLAALYLDEADVVGIENPKHLLVSWLVEGEEKLSSISVVGMGGLGKTTLVKKVYDSHPIRRSFDTHSWVTVSKSFASTELLRVALQGFLVTANEPVPDNLQSMTDFQLIDALRNYLWRRRYVIVLDDVWNVNAWETIKYAFPDCNCGSRIIFTTRLSNLAESIENTSHVYELQALLENEAWTLFCMKAFRGEHKAVCPPELEEMSRNILKKCEGLPLAIVAIGGLLSKKKNGGLEWKKVHDCLATELKSNDDLGSLRRILQLSYDNLPYYLKQCYLYLSVFPEDYLIKRMKLIRLWIVERFVEEKQGFTMEEVAEEHLVLAFSQSSKLGGPMFFLFPFESTSKK >Potri.002G130200.1.v4.1 pep chromosome:Pop_tri_v4:2:9870763:9874363:1 gene:Potri.002G130200.v4.1 transcript:Potri.002G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130200.v4.1 MPLSSKHMMRAASPFPYLSLQISPPAVLEAKETGDDGGLARKALCKDRSSATDSGSSGSDLSHENGLFNQDRIAYNLGPSQPTLSLGFDMADLSSPSFQLPRNLNHLHHHNPQIYGGDFKRSARMISGVRRSKRAPRMRWTTTLHAHFVHAVQLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDKGSGQGQTDMGLNQRARILEVDAAGLSSGKADASNPSQSLNNPSPPSPLPSVQKNQRNPRSLSMAGDEKNRSSLDSLTYSSDFNAHDQSKEDGQKATLHMAANMKERLDSSSLSSSDMLLNLEFTLGRPSWHLNYDESSNELTLLKC >Potri.005G160900.1.v4.1 pep chromosome:Pop_tri_v4:5:15647830:15649669:-1 gene:Potri.005G160900.v4.1 transcript:Potri.005G160900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160900.v4.1 MTTHTPSFLQLLGFNHALLMVLLLLYTSQFSIAQPARNSSMPPPPTPDNQNSTPQPKVNPLMAILMVVLVSVFFLLGFFSVYVRQCADRRFRGTRFDPAAFAGAGRGSWRGNHGLEQEVIDTFPTFLYSTVKGLKIGEGSLECAVCLIEFEDDQTLRLIPKCSHVFHPDCIDAWLTSHVTCPVCRANLVPKPGDLPFNPVHVDDPKNDLVEPDSHDNVPDETQNEVQIHIRNEITREGRQQITRSPNMNLSSPVNQNRPLQSWSTGWRLNALISRSNSINNSLIQSEENRERFTLRLPQEVHNQLINSRLNRTKSCGSFSRAMSPRRGYRSRSGGAGRSKNLFYHEQLDQEGRPPDRQGLTMTPPFICRTGSVPSKDESTSDGVNATPPKNFLKSARSFKSPFDRLFLGIDNSNRNSNNDDVGERSFDRLRPDSQV >Potri.002G169400.1.v4.1 pep chromosome:Pop_tri_v4:2:13008962:13011814:-1 gene:Potri.002G169400.v4.1 transcript:Potri.002G169400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169400.v4.1 MNGGDAYSAAEVQYIRRHHQHEPAENQCTSALVKHIKAPAHLVWSLVRRFDQPQRYKPFVSRCVMNGELGIGSVREVNVKSGLPATTSTERLELLDDEEHILGVKIVGGDHRLKNYSSIMTVHPEIIDGRPGTLVIESFIVDVPDGNTKDETCYFVKALIRCNLKSLADVSERMAVQDLVEPINQF >Potri.010G098600.1.v4.1 pep chromosome:Pop_tri_v4:10:12168699:12170754:-1 gene:Potri.010G098600.v4.1 transcript:Potri.010G098600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098600.v4.1 MRKFPACLSAFKRNHHSLSFYRNVSPSFSLIPKTLNSPSLSFPFAFLPTNVTAMCSYCSQVAPLEQTFERSGRRNRVRECDVDKICETIMGSSSFSNCDHNDHNLEKTLDQLGLELTTDLVLNVLGRLHFEEKTSFRFFMWAGHQKNYSHEPCAYNEMIDILTSTKYKARQFRIVCDMLDYMKRNNKNVVPVEVLLTILRNYTEKYLTRVQKFAKKKRIRVKTQPEINAFNLLLDALCKCCLAEDAEGLFKRVKNKVKPDANTYNVMFFGWCRVRNPTRGMKVLEEMIQLGHTPDSFTYITAIDAFCRAGMVNEAAELFEFMRTKGSTMSSPTAKTYAIMIGALVRNNRMDECFKLLEDMINSGCLPDVSTFKELIEGMCSAGKIDEAYRFLQEMGNKGYPPDIVTYNCFLKVLCENKNSEEALRLYERMIEAGCFPSVQTHNMLISMFFEMGDPDGAFETWYEMDKRGCAQDVDTYIVMIDGLFGCNKVEDACFLIEDIVNKGMKLPYQKFDSFLMQLSVIGNIRAIHRLSEHMRTFHNPSMARRYVLNQKRKSMSVRGR >Potri.013G054300.2.v4.1 pep chromosome:Pop_tri_v4:13:3955070:3960264:1 gene:Potri.013G054300.v4.1 transcript:Potri.013G054300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054300.v4.1 MAAFPSAQNFTNTQMQNFGFQGTEVKAESVESQVIVTLLIKHLPEDIPFDTLSRLFSHYGAFSVRPCNSGRLRNCAFVDFQSEGLAYQAHRQLNGLRFLGKVLSVERASKLNEDSKFKQSEAQQGKDSVLPTSLMKDASVTRDVIEGSNFGSLPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPLPPPSPPPPPPPPCLTENSSLAEQSSSESEMESSDEEVDDKAPYGASGAVKQRRKRIKRKAIVGPAADKDVVHESVGLKPASLVPKEIPIIKKKNTVLQIKIAPKVTHNEYKDDSIMTESEDPGTEGSDQKHYATAEEIESKRLVPEEILSLPKFKNYTVGNPASVLYIKNLDKEVVADDFFYIFGSLFGSIDAAKSGLSVKLMQEGRMRGQAFVTFSSVELAHQALNLVNGYVFKDKPMIIQFGRNPSAAKPN >Potri.015G003300.1.v4.1 pep chromosome:Pop_tri_v4:15:218639:220617:1 gene:Potri.015G003300.v4.1 transcript:Potri.015G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003300.v4.1 MSPGPVVEAEPAAFSTTEEVKSTVEETLQKKPPQQNEDEPVVEDVKEDEKDDEDEDEDDEDEDDDDDTPGANGSSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMSSMLPKSDASTAAASAPPEEEEEEVDDTGVEPRDIDLVMTQAGVSRTKAVKALQTNNGDIVSAIMELTT >Potri.015G003300.2.v4.1 pep chromosome:Pop_tri_v4:15:218637:220636:1 gene:Potri.015G003300.v4.1 transcript:Potri.015G003300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003300.v4.1 MSPGPVVEAEPAAFSTTEEVKSTVEETLQKKPPQNEDEPVVEDVKEDEKDDEDEDEDDEDEDDDDDTPGANGSSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFFISKPDVFKSPNSETYVIFGEAKIEDLSSQLQTQAAQQFRMPDMSSMLPKSDASTAAASAPPEEEEEEVDDTGVEPRDIDLVMTQAGVSRTKAVKALQTNNGDIVSAIMELTT >Potri.015G120700.1.v4.1 pep chromosome:Pop_tri_v4:15:13483055:13483816:1 gene:Potri.015G120700.v4.1 transcript:Potri.015G120700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120700.v4.1 MSHHNTYQSQEAYPPPSQQAPPQYSDPPPSQQAHPPQGYHQGPYVAPPAAEKKRHKCWRCCCVYSCCKRLYCWRCCLDCCCECCSSI >Potri.001G268500.1.v4.1 pep chromosome:Pop_tri_v4:1:28341524:28341733:-1 gene:Potri.001G268500.v4.1 transcript:Potri.001G268500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268500.v4.1 MEFATMKFLAAVLVMAMFASVHVSAQDFGEMAPAPAPAIGAGAASYSFGTSGALICSSLFLSMLALLRH >Potri.003G068800.1.v4.1 pep chromosome:Pop_tri_v4:3:9578717:9581665:-1 gene:Potri.003G068800.v4.1 transcript:Potri.003G068800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068800.v4.1 MALDWHFHPFLFLFIIFTLQFSLTSSVSESESLIRLKKSFTNAGAISSWLPGSVPCNKQTHWRGVVCFNGIVTVLQLENMGLSGTIDVDALANMQGLRSLSFAYNYFTGTIPALNRLGYLKAIYLRGNQFSGEIPSDFFLKMKSLKKVWISDNNFSGGIPSSLAELSRLSELHLENNQFSGTIPSIDQPTLMSFNVSNNKLDGEIPPKLARFNSSSFRGNDGLCGQKIGKGCELQGSSEPPTDVGVDANMMVSEGSDNKRNSVTKTVAGLVTLAVLLVSIIAVVIFRMWRRGKDFDAIESRSSGNAAALEVQVSLSNRPKEMEVAKKMGSGHKGSNNGRGVVGELVIVNNEKSVFGLPDLMKASAEVLGNGVLGSSYKTQMANGVVVVVKRMREMNTLSKSQFNAEIRKLGRLHHPNILTPLAFHYRPDEKLLIYDFVPKGSLLYLLHGDRGPSHAELSWSVRLKIVQGIAKGLGYLHTELAPSNLPHGNLKSSNVFLSNDNEPLLSEFGLSPLISPPMLAQALFGYEAPEAAEFGVSPKCDVYCLGIIILEILSGKIPSQYLNNARGGTDVVHWVESAISDGRETDFLDPEIASSKNSLCQMKQLQGIGAACVKRNPEQRLDITQAIQLIQEIKLEDGDYAGGTTQVLPSLRDGYADA >Potri.013G103600.1.v4.1 pep chromosome:Pop_tri_v4:13:11257683:11259926:1 gene:Potri.013G103600.v4.1 transcript:Potri.013G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103600.v4.1 MRIATTLSQPTTTTTIYSASKTPQPQNNPNSISSQQELSLLSKLHYCKSVSQLKQIHAFIIKTPRSQTHHIYAKKLIYSLLQLNHFLSPPNDKNLNYAHSLVKQWDKPDVYAYNALIQRMSSTSMQSFHLYQEMLIKGIIPDTYTIPFVLKACSHSLALWEGQQIHAHCIKMFFMENVYVNNTLMRLYAVCGMLDVVEKLFEQGPVRDLVSWTALIQAYLKMGFPIDAVSAFFRMCQANLRPDNMILVVVLSACSKLGDLSLGRKIHEYMVHLKVNVDSDVFLGNALVDMYLKCGEAGFARHMFDKMPVKNVVSWNSMISGLAQQGQFKEALDVFRRMQKVGLKPDDVTLVAVLNSCANLGMLDLGKWVHAYIDKSHLRADGFIGNALVDMYAKSGSIDQALRVFQAMKLRDVYSYTAMIVGLAMHGEVESALSIFSEMPRTGIKPDEVTFVGVLSACSHAGLVKEGRRYFEDMSKVYNLEPQAEHYGCMVDLLGRAGLISEAQEFIQNMPIAPDAFVWGALLGACKMHAKVELGESVMEKLLEVEPRKDGAYTLMSNMYSSANRWRDALKWRKAMKERNIKKTPGCSSIEVDGLVHEFRKGEKAHPKVKELYKLLEAMNGHLRNYGNLVHSNGLC >Potri.003G189300.1.v4.1 pep chromosome:Pop_tri_v4:3:19332049:19333497:-1 gene:Potri.003G189300.v4.1 transcript:Potri.003G189300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189300.v4.1 MATNISSTGNLISFIQKRFLLTSAAATVTLSPNSPLPTTTSCSSSSSSSTAQFLVNSCGLSLQSALSVSKKFQIHENDLHKLRSVVQFMKAHGFSETHLAKLIQSRPGVLHCRVEGNLKPKFEFLTENGVVGQLLPELILSNTHILRRGLDSQIKPCFEFLKSVLGCNENVLVALKHSSWLLTLRLKGTMQPNYDLLIEEGVAVDRIAKLIMMDPRAIQNKRDKMISTVSTLKDLGLEPNAPVFIHALRAMLSISESTRKKKIEVLKSLGWSEKDIWHAFKRHPLLLGYSEEKIRAGMDFFVNTLKLGLQHVIACPQLLTYSIDKRLLPRYNVLKILESKKLIKKDLKIKNLLKINEKEFLKNYVYKYVDDIPGLLDLYGGADKAKKIHLMTEK >Potri.002G047700.1.v4.1 pep chromosome:Pop_tri_v4:2:3140438:3159762:-1 gene:Potri.002G047700.v4.1 transcript:Potri.002G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047700.v4.1 MKWVTLLKDIKEKVGLTHSPSSSSPATTVPPPSSPAYSHNASSSSTFQDFSASPSRDRHELELDFKRFWEEFRSSSSEKEKETALNLTVDVFCRLVKQHANVAQLVTMLVETHIFSFVVGRAFVTDIDKLKIGSKTRSLDVEKVLRFFSEVTKDDISPGSNLLTAVEALVSGPIDKQSLLDSGILCCLIHILNALLSTEANQRQKLTNCEGPLPPEKDQDGALEQVRRLEVEGSVMHIMKALASHPSAAQSLIEDDSLQSLFQMVANGSLTIFSRYKEGLVPLHSIQLHRHAMQILGLLLVNDNGSTARYIRKHHLIKVLLMAVKDFNPDCGDSAYTMGIVDLLLECVELSYRQEAGGVRLREDIHNAHGYQFLVQFALVLSSLPKSQDSQSFYSKTSRAFDGIAGISHVMNDERRQDFTEKEDPSPAQLSPALSRLLDVLVNLSQTGPAESTAWPGGKSSKPSHTRHGRSRMSSLDRDADENWEKDNGKVKDLEAVQMLQDIFLKADSAVLQAEVLNRMFKIFSSHLENYKLCQQLRTVPLLILNMAGFPPSLQDIILKILEYAVTVVNCVPEQELLSLCCLLQQPIASELKHTILSFFLKLLSFDQQYKKVLREVGLLEVLLDDLKQHKFILGLEQQTVSPSQSERKSSSSSFKKHMDSKDAILSSPKLMESGGSGKFPIFEIEGAISVAWDCMVSLVKKAEASQASFRSANGVTIVLPFLVSNVHRPGVLRILSCLITEDTAQAHPEELGLLVEVLKSGMVTSSMGHQYRLQSDAKCDTMGALWRILGVNTSAQRVFGEATGFSLMLTTLHSFQGDGEQTEESSLEVYMKVFTYLLRLMTAAVCDNAINRTKLHAIISSHTFYDLLSESGLLCVECEKQVIQLLSELALEIVLPPFLSPDSALPTDMIESGSAGFLLTSPSGLFNPDKERVYNAAAVRVLIRLLLLFTPKMQLEVLNLIERLAHAGPFNQENLTSVDCVELLLETIHPFLPGSSPLLLYTLKIVEVLGAYRLSASELRLLIRYILQMRLMKSGHILVDMMERLILMEDMASENVSLAPFVEMDMSKIGHAAVQVSLGERSWPPSAGYSFVCWFQFRHFLKSQVKDTEPSKAGPSKRRSSSNGQHILRIFSVGMASDDSTYYAELYLQEDGVLTLATSNSSALSFSGLEFEEGRWHHLAVVHSKPNALAGLFQTSVANVYLNGKLKHTGKLGYSPSPAGKPLQVTIGTPQNCAKVSKLTWKLRSCYLFEEVLTSGCICFMYILGRGYRGLFQDTDLLRFVPNQACSGGSMAILDSLDADLPLATPKLESTNKQGDSKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTCTESVLASGVFSLLNLVDPMSAAASPIGGIPRFGRLHGDIYVCRQSVIGDAIRPVGGMAVVLALVEAAETRDMLHMALTLLACALHQNPQNVKDMKKYRGYHLLALFLRRRMSLFDMQSLEIFFQIAACEASFSEPKKLERRQATLLPATFMQETSFEVLSLSKFRDEISSVGSHGDMDDFSVPKDSFSHISELENSDVLVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLGFLEHLVSMHWYRSHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKLRHQIVRESMGKHVIVRNMLLEMLIDLQVTIKSDELLEQWHKIVSSKLVTYFLDEAVHPTSMRWIMTLLGVSLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLVFGKPVYPRLPEVRMLDFHALIPSDGSYVELKYVELLESVVAMAKSTFDRLSRQSMLAHQTGNLSQVGASLVAELVEGNADMTGELQGEALMHKTYAARLMGGEASAPAAATAVLRFMVDLAKMCPPFSAVCRRPEFLESCIDLYFSCIRAAYAVMMVKELSDKAEEKDLNDCDDTSSSQNTFSSLPLEQEKSAKTSISIGSFPQGHASTSSEDMPMSLNDLADVKTEIGISNSHEELKKSAKGVPPFQNLDGDNVDLVSATSSSNEFNIHNVDGNMHSFRQAESQSSASLNIPDSPIISEKSSSRIPLTPSSSPAVPLSSWLGNASPNEHKASLQATPSMESSMSVSEFDPSAGLKSSSQGPSSANSFLAISSKILLEIDDSGYGGGPCSAGATAMLDFIAEILSDFITEQIKAAQVIEGILETVPLYVDAESVLVFQGLCLSRLMNFVERRLLRDDEEDEKKLDKIRWTSNLESLSWMIVDRVYMGAFPQPAGVLKTLEFLLSLLQLANKDGRIEEAAPAGKSLLSITRGSRQLDTYINSLLRNTNRMIMYCFFPSFLATIGEDGLLSCLGSLIEPKKKLSSNSSQEDSGIDICTVLQLLVAHKRVILCPSNVDTDLNCCLCVNLVSLLRDQRRNVQNMAVDIVKYLLVLRRAALEDLLVSKPNQGQHMDALHGGFDKLLTGSLSNFFEWLRSSELMVNKVLEQCAAIMWVQCIAGSAKFPGVRIKGMEGRRRREMGRRSRDILKSDQKHWEQVNERRYALEMLRDAMSTELRVVRQDKYGWVLHAESEWQTLLQQLVHERGIIPLQKSSATEDPEWQLCPIEGPYRMRKKLERCKLRVDTIQNVLDGQFELGEADLSEGKYEGGADASDTCTESFFHLLTDGAKQNGMGGEMYGEFFKESDDVKGEDSARNGWNDDRASSMNEASLYSALEFGVKSSAVSVPMSESIQEKSDVGTPMQSLSNKADEIIIMEDKSDKGLNDNGEYLIRPYLEPHEKIRLKYNCERVVGLDKHDGIFLIGELSLYIIENFYIDDSECICEKECEDELSVIDQALGVKKDVTGSADFQSKSTSSWSTTAKACIGGRAWAYNGGAWGKEKVCTSGNLPHPWHMWKLNSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSVKQESNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLSDYESENLDLSNPKSFRKLEKPMGCQTQEGEEEFRKRYETWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNGIRDTWLSAAGKGNTSDVKELIPEFFYIPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSAREFIRKHREALESDFVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRSDRRIPHPLKYSSHLVPYEIRKSSSAITQIVTVHEKILVAGTNSLLKPTTYNKYVAWGFPDRSLRFMSYDQDRLLSTHENLHGGSSQIQCASASHDGQILVTGADDGLLCVWRISKDGPRVLQNLQLENALCGHTAKITCLHVSQPYMLILSGSDDCTVIVWDLSSLVFVRQLPEFPVPISAIYVNDLTGEIMTAAGILLAVWSINGDCLAVINTSQLPSDSILSVTSCTFSDWLDTNWYVTGHQSGAVKVWQMVHCSNQVSALSKFISSSTGGLNLGDKVPEYRLLLHKVLKFHKHPVTSLHLTSDLKQLLSGDSGGHLLSWTLPDQSLMASSNQG >Potri.002G047700.2.v4.1 pep chromosome:Pop_tri_v4:2:3140507:3159713:-1 gene:Potri.002G047700.v4.1 transcript:Potri.002G047700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047700.v4.1 MNHRLVETHIFSFVVGRAFVTDIDKLKIGSKTRSLDVEKVLRFFSEVTKDDISPGSNLLTAVEALVSGPIDKQSLLDSGILCCLIHILNALLSTEANQRQKLTNCEGPLPPEKDQDGALEQVRRLEVEGSVMHIMKALASHPSAAQSLIEDDSLQSLFQMVANGSLTIFSRYKEGLVPLHSIQLHRHAMQILGLLLVNDNGSTARYIRKHHLIKVLLMAVKDFNPDCGDSAYTMGIVDLLLECVELSYRQEAGGVRLREDIHNAHGYQFLVQFALVLSSLPKSQDSQSFYSKTSRAFDGIAGISHVMNDERRQDFTEKEDPSPAQLSPALSRLLDVLVNLSQTGPAESTAWPGGKSSKPSHTRHGRSRMSSLDRDADENWEKDNGKVKDLEAVQMLQDIFLKADSAVLQAEVLNRMFKIFSSHLENYKLCQQLRTVPLLILNMAGFPPSLQDIILKILEYAVTVVNCVPEQELLSLCCLLQQPIASELKHTILSFFLKLLSFDQQYKKVLREVGLLEVLLDDLKQHKFILGLEQQTVSPSQSERKSSSSSFKKHMDSKDAILSSPKLMESGGSGKFPIFEIEGAISVAWDCMVSLVKKAEASQASFRSANGVTIVLPFLVSNVHRPGVLRILSCLITEDTAQAHPEELGLLVEVLKSGMVTSSMGHQYRLQSDAKCDTMGALWRILGVNTSAQRVFGEATGFSLMLTTLHSFQGDGEQTEESSLEVYMKVFTYLLRLMTAAVCDNAINRTKLHAIISSHTFYDLLSESGLLCVECEKQVIQLLSELALEIVLPPFLSPDSALPTDMIESGSAGFLLTSPSGLFNPDKERVYNAAAVRVLIRLLLLFTPKMQLEVLNLIERLAHAGPFNQENLTSVDCVELLLETIHPFLPGSSPLLLYTLKIVEVLGAYRLSASELRLLIRYILQMRLMKSGHILVDMMERLILMEDMASENVSLAPFVEMDMSKIGHAAVQVSLGERSWPPSAGYSFVCWFQFRHFLKSQVKDTEPSKAGPSKRRSSSNGQHILRIFSVGMASDDSTYYAELYLQEDGVLTLATSNSSALSFSGLEFEEGRWHHLAVVHSKPNALAGLFQTSVANVYLNGKLKHTGKLGYSPSPAGKPLQVTIGTPQNCAKVSKLTWKLRSCYLFEEVLTSGCICFMYILGRGYRGLFQDTDLLRFVPNQACSGGSMAILDSLDADLPLATPKLESTNKQGDSKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTCTESVLASGVFSLLNLVDPMSAAASPIGGIPRFGRLHGDIYVCRQSVIGDAIRPVGGMAVVLALVEAAETRDMLHMALTLLACALHQNPQNVKDMKKYRGYHLLALFLRRRMSLFDMQSLEIFFQIAACEASFSEPKKLERRQATLLPATFMQETSFEVLSLSKFRDEISSVGSHGDMDDFSVPKDSFSHISELENSDVLVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIALLGFLEHLVSMHWYRSHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKLRHQIVRESMGKHVIVRNMLLEMLIDLQVTIKSDELLEQWHKIVSSKLVTYFLDEAVHPTSMRWIMTLLGVSLASSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLVFGKPVYPRLPEVRMLDFHALIPSDGSYVELKYVELLESVVAMAKSTFDRLSRQSMLAHQTGNLSQVGASLVAELVEGNADMTGELQGEALMHKTYAARLMGGEASAPAAATAVLRFMVDLAKMCPPFSAVCRRPEFLESCIDLYFSCIRAAYAVMMVKELSDKAEEKDLNDCDDTSSSQNTFSSLPLEQEKSAKTSISIGSFPQGHASTSSEDMPMSLNDLADVKTEIGISNSHEELKKSAKGVPPFQNLDGDNVDLVSATSSSNEFNIHNVDGNMHSFRQAESQSSASLNIPDSPIISEKSSSRIPLTPSSSPAVPLSSWLGNASPNEHKASLQATPSMESSMSVSEFDPSAGLKSSSQGPSSANSFLAISSKILLEIDDSGYGGGPCSAGATAMLDFIAEILSDFITEQIKAAQVIEGILETVPLYVDAESVLVFQGLCLSRLMNFVERRLLRDDEEDEKKLDKIRWTSNLESLSWMIVDRVYMGAFPQPAGVLKTLEFLLSLLQLANKDGRIEEAAPAGKSLLSITRGSRQLDTYINSLLRNTNRMIMYCFFPSFLATIGEDGLLSCLGSLIEPKKKLSSNSSQEDSGIDICTVLQLLVAHKRVILCPSNVDTDLNCCLCVNLVSLLRDQRRNVQNMAVDIVKYLLVLRRAALEDLLVSKPNQGQHMDALHGGFDKLLTGSLSNFFEWLRSSELMVNKVLEQCAAIMWVQCIAGSAKFPGVRIKGMEGRRRREMGRRSRDILKSDQKHWEQVNERRYALEMLRDAMSTELRVVRQDKYGWVLHAESEWQTLLQQLVHERGIIPLQKSSATEDPEWQLCPIEGPYRMRKKLERCKLRVDTIQNVLDGQFELGEADLSEGKYEGGADASDTCTESFFHLLTDGAKQNGMGGEMYGEFFKESDDVKGEDSARNGWNDDRASSMNEASLYSALEFGVKSSAVSVPMSESIQEKSDVGTPMQSLSNKADEIIIMEDKSDKGLNDNGEYLIRPYLEPHEKIRLKYNCERVVGLDKHDGIFLIGELSLYIIENFYIDDSECICEKECEDELSVIDQALGVKKDVTGSADFQSKSTSSWSTTAKACIGGRAWAYNGGAWGKEKVCTSGNLPHPWHMWKLNSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSVKQESNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLSDYESENLDLSNPKSFRKLEKPMGCQTQEGEEEFRKRYETWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNGIRDTWLSAAGKGNTSDVKELIPEFFYIPEFLENRFNLDLGEKQSGEKVGDVVLPPWAKGSAREFIRKHREALESDFVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPAMKASILAQINHFGQTPKQLFLKPHVKRRSDRRIPHPLKYSSHLVPYEIRKSSSAITQIVTVHEKILVAGTNSLLKPTTYNKYVAWGFPDRSLRFMSYDQDRLLSTHENLHGGSSQIQCASASHDGQILVTGADDGLLCVWRISKDGPRVLQNLQLENALCGHTAKITCLHVSQPYMLILSGSDDCTVIVWDLSSLVFVRQLPEFPVPISAIYVNDLTGEIMTAAGILLAVWSINGDCLAVINTSQLPSDSILSVTSCTFSDWLDTNWYVTGHQSGAVKVWQMVHCSNQVSALSKFISSSTGGLNLGDKVPEYRLLLHKVLKFHKHPVTSLHLTSDLKQLLSGDSGGHLLSWTLPDQSLMASSNQG >Potri.006G138600.5.v4.1 pep chromosome:Pop_tri_v4:6:11542037:11544168:-1 gene:Potri.006G138600.v4.1 transcript:Potri.006G138600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138600.v4.1 MATAQLTASSISVSARNLSSFEGLRASNVKFASFKPAGGFALSQRPFRCLVVKAATVVAPKYTSIKPLGDRVLVKIKTVEEKSEGGILLPSSAQTKPQAGEVVAVGEGKSIGKTKLDISVKTGARVVYSKYAGTEVEFDGSSHLILKEDDIIGILETDDIKDLKPLNDRVFIKLAEAEEKTAGGLLLTEATKEKPSIGTVIAVGPGPLDEEGNRKALPVSPGSTVLYAKYAGNDFKGSDGANYIALKASDVMAILS >Potri.006G138600.3.v4.1 pep chromosome:Pop_tri_v4:6:11542065:11543873:-1 gene:Potri.006G138600.v4.1 transcript:Potri.006G138600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138600.v4.1 MATAQLTASSISVSARNLSSFEGLRASNVKFASFKPAGGFALSQRPFRCLVVKAATVVAPKYTSIKPLGDRVLVKIKTVEEKSEGGILLPSSAQTKPQAGEVVAVGEGKSIGKTKLDISVKTGARVVYSKYAGTEVEFDGSSHLILKEDDIIGILETDDIKDLKPLNDRVFIKLAEAEEKTAGGLLLTEATKEKPSIGTVIAVGPGPLDEEGNRKALPVSPGSTVLYAKYAGNDFKGSDGANYIALKASDVMAILS >Potri.011G079100.1.v4.1 pep chromosome:Pop_tri_v4:11:8491279:8496442:1 gene:Potri.011G079100.v4.1 transcript:Potri.011G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079100.v4.1 MEKEPLLPYVSPRKRTPQPPPLLCPLPEDDEISLPLPLTPSELKDRLIFGPSSSSPGDRSPLVEALTFSLNSPRPSSSNQDFNSFLDSPELQQHHHHQSYLVDPNYPWTKTNLHRSKTAPAMAVINDFNQPVIAKPRFGSQSIIGQAFLLLVLYLSLGVLIYSLNRDKFEGIETHPVADALYFCIVTMCTIGYGDITPNSTATKLFSILFVLVGFGFIDIFLSGMVSYVLDLQESHLLRNVKRGVEKESAGSYIIDVKKGRMRIRMKVGLALGVVVLCIGVGVGFMHFVERLGWLDSLYLSVMSVTTVGYGDRAFTSLAGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRRMAKWVLGQHMTVSEFLAADIDNNGFVSKSEYVIYKLKEMGKISEKDILQICQQFERLDTGNCGKITLADLMESHP >Potri.002G248000.1.v4.1 pep chromosome:Pop_tri_v4:2:23833647:23838517:-1 gene:Potri.002G248000.v4.1 transcript:Potri.002G248000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248000.v4.1 MVSIRRRKLIGRPTGFVGRGSSSAMHPSIPDAQVPKYPERGGKLANMRHIPLVTLNQPVEVKEGKDTLTNPPAKKQKVHVQIDPENPMEVHAYLMQLKEDNKLEPGPMQRSEKMSVKELMEKCYPHIFRVFPQDPKVILEFPNKSEQNEFLYCQYWLFLFEVRDIVSIDKSVAPEHVESLVKVIKNLEKQGFDCRFLRSELVVVGYKMKKQLEITLAEKSVISTRTTSLERELQAAARRKDREAELDKRGNQIEGMTPEIETSSKPELDQDNRLGELNKLPSTALEQEFVQVILERHKKMLECKEEEERRLDRVLEKINQLLQDKAFP >Potri.001G318800.6.v4.1 pep chromosome:Pop_tri_v4:1:32844497:32865637:-1 gene:Potri.001G318800.v4.1 transcript:Potri.001G318800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318800.v4.1 MATPSHARAVKSLNKSPGLHGRRFVFKTFSQRIEEIEIDVYSSLNKIKSAPSEGSTFMRDCLIEFRELNTAEDFISFYEEMMPFVQTLPLVILHKETIFSQLLSRLQVKARLSVEAILRLIAALCRDLPDDFVSFLPRIVDSLVSLLKSGADREPDIIEQIFVAWSYILMYLQKSLLENNRLVDVLKLTVKLRYYPKEYVQEFMAATTSLLLRNASEGQLRKGIAKVILEVVKKPLPVKNYGASALLYFVMRGTMSRPYSRPDRVLQQLTSKKIFSIGDECDQGSKTVDEVLTTTLQRLCEELEPKELDFLWNSLYQKIDYYAINDHLPYLSRFLSLLISSAQINDGHKVSDYQPMLECVKNLFERFIIPYVALKGENHFSEVIDKVLQLLLCTLDGLKSSNDMATISHCLLQWAPAFKLRNSSILTFLSELMKRDPCILYEFRANILSAMNDLIETSQKEIVFLLLTFCEKLQMDPLRSIFLDGSPEGRYSRITGFLQQTVRFWLAVIDNIVNGNGSFTPIERGELTLLWQVVCCYPYMMDLQEMPSLLMDLIDALDRLFIIEAENIAGFPKHTWQSLIGASLSSYYKCGKKFELEETSKVLCLAKTYKSSSQVLSAVADYLDHVHGSTLEADTSHKIYHPEFEGKKAVDAFDVFADNLCNPDKGIRVPTLRILCHYEPQGCQMSAIDQPPEKKMKTEFSETCPEDSQSIDVLQLLLSIEATTLSISTSRKVVLLISRIQMGLSAGRIAEAYIPILLSGMIGIFHNRFSYQCASASECLAVLIGKHVALAWDKFVCYLEHCQSVFHMFHDKPGGSAELSDQSSDLAECSFVTPVSDSTPCATVLSSLLQTLQKIPSVAESRSRQIIPLFLKFLGYNNNDLASVGLFNPVTCKGKEWKGILKEWLNLLKLMRNSKAFYQNQFVKDVLQTRLIDEDDVHIQTSVLDCLLTWKDDFLLQYEQHLRNLISSNHLREELITWSLSRESAVIEEGHRANLVPLVILLLMPKVRKLKMLASRKHTSINQRKVVLRFIAQLDVGELTLFFVSLLKPLHILPEGVDSAAIFFWNLCKSSVDEFQTSNILKHFTMEKIMALSWKQRTGFLHVVEDILGVFDESRTRPFLDLLMGCVVRLLGSCTASLDAVKDASSVVEDNTSDNQKLHENNNAILNQVARSTAVKQFKDMRSLCLRIVSLVLNKYDDHDFGDEFWELFFKSVKPLIDSFKQEGSSSEKPSSLFSCFLAMSRSSHLVPFLFREKNLAPNIFSILTIPTASEAIISCVLKFIENLLNLEDDLDDEDNAAQKLLLLNLDELINSLHHLFQSDKATKRYPGEIQIRIFKFLSKYIKDQLPARQLVDILLSSLAMRYKDSDVCIEYLQVVRDIIPVVGSESGSKILKAVSPLLTSVGLDVRLPICDLLDALAKSDPSFLFVAKLLHELNATSATEMGGLDYDTVFKAYEKVGVGLFYTIPVDQALVILSHCVYDMSSVDITLRHCAYSSLLSFVEFSSAILCGEDQNQPVITNCEGCWTRASIQRTINKFLLKYMGNAMKARSSVRKEWIELLRDMVLKLPKVAKFSSFKALCSEDAEVDFFNNIIHLQKRMIARALLRFKTVISESTASEDILNKIFVPLFFNMLLEEQGGKGEHIKSACLEALASISALMEWKSYYNLLTRCFQEMNVHLDKQKILLRLICSILDQFHFSQICSSQEVKDPPDSSLADTSDSCSMAVSRKCVGGTSSAMVHKNGTSVGLSEILACLHKTVLPKIQKLLDSDSDKVNANISVAALKVLKLLPGDTIDSQLPSIIHRIANQLKSRMESIRDEARLALSACLKELGLEYLQFIVRVLRATLKRGYELHVLGYSLNFILSKFLSGPVCGKLDYCLQDLLSAVENDILGDVAEEKEVEKLASKMKETRKQKSFETLKMIAQNITFKTHALKLLSPVTTHMLKHLTPKVKPRLESMLNHIAAGIEHNPSADQTDLFIFIYGLIEDWIKEENGSFKNSSSAVAKLHSRGDVSQKTVSSGRVVGTKSVCSHLIALFALRLFQNRIKRVKLDKNAEQLLSMLDPFVELLGNCLSSNYEDILSASLTCLTPLVRLPLPSLTSQADRIKVTLLDIAQSSVNSSSPLMQSCLRLLIALLWSTNVTLSSEQLHLLIEFPLFVDLERNPSFIALSLLKAIVNRKLVVPQMYDLAIRVAELMVTSQVESIRKKCSQVLLKFLLHYRLSKKNLQQHLDFLLNNLSYEHSTGREAALEMLHAIIIKCGKINIEEFNSQEGSQKKFLEKHGQSLFIHLVQCLANDSDNKVRLMTGAVIKLLIRHISSDGFNSIMDFILSWYMDEKQNLQSLGAQTMGLPVEICLDMKEEVERMKEEVKGMKEEVEDMSDKIGVLTRKAEAMKKEIEDKSKRIEGMKEEVKGMEEEVEVLAKKDKVMKEDIEDKKKNVKDKSAKIEGIERKIEATKKKIEVLKKSLDKYIHSALPVSKKILQSAVKVVASEPLLDHSDAAIPLWKEAYYSLVMLEKILNCFHDLCFERDLEDIWEAICELLLHPHTWLRNVSSRLVAFYFASANKAIKQDHEKSLGMFFLMRPSRVFMIAVSLCCQLETEVIDDAMSNLITNNLATASFATHILMGRMECVDPCKLWSALDQHEQGQFLEAFQLLDTRKGRGMLLHVISGVRRHDNVNQSDNLQYFLVSNLLKKMGDIALLKDAIQMETVFNCFKEFVAHFSKEEKEFAAHINQDDCQHYAFDMLLPLYKVCEGFAGKVVPG >Potri.001G318800.8.v4.1 pep chromosome:Pop_tri_v4:1:32844398:32859121:-1 gene:Potri.001G318800.v4.1 transcript:Potri.001G318800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318800.v4.1 MATISHCLLQWAPAFKLRNSSILTFLSELMKRDPCILYEFRANILSAMNDLIETSQKEIVFLLLTFCEKLQMDPLRSIFLDGSPEGRYSRITGFLQQTVRFWLAVIDNIVNGNGSFTPIERGFPKHTWQSLIGASLSSYYKCGKKFELEETSKVLCLAKTYKSSSQVLSAVADYLDHVHGSTLEADTSHKIYHPEFEGKKAVDAFDVFADNLCNPDKGIRVPTLRILCHYEPQGCQMSAIDQPPEKKMKTEFSETCPEDSQSIDVLQLLLSIEATTLSISTSRKVVLLISRIQMGLSAGRIAEAYIPILLSGMIGIFHNRFSYQCASASECLAVLIGKHVALAWDKFVCYLEHCQSVFHMFHDKPGGSAELSDQSSDLAECSFVTPVSDSTPCATVLSSLLQTLQKIPSVAESRSRQIIPLFLKFLGYNNNDLASVGLFNPVTCKGKEWKGILKEWLNLLKLMRNSKAFYQNQFVKDVLQTRLIDEDDVHIQTSVLDCLLTWKDDFLLQYEQHLRNLISSNHLREELITWSLSRESAVIEEGHRANLVPLVILLLMPKVRKLKMLASRKHTSINQRKVVLRFIAQLDVGELTLFFVSLLKPLHILPEGVDSAAIFFWNLCKSSVDEFQTSNILKHFTMEKIMALSWKQRTGFLHVVEDILGVFDESRTRPFLDLLMGCVVRLLGSCTASLDAVKDASSVVEDNTSDNQKLHENNNAILNQVARSTAVKQFKDMRSLCLRIVSLVLNKYDDHDFGDEFWELFFKSVKPLIDSFKQEGSSSEKPSSLFSCFLAMSRSSHLVPFLFREKNLAPNIFSILTIPTASEAIISCVLKFIENLLNLEDDLDDEDNAAQKLLLLNLDELINSLHHLFQSDKATKRYPGEIQIRIFKFLSKYIKDQLPARQLVDILLSSLAMRYKDSDVCIEYLQVVRDIIPVVGSESGSKILKAVSPLLTSVGLDVRLPICDLLDALAKSDPSFLFVAKLLHELNATSATEMGGLDYDTVFKAYEKVGVGLFYTIPVDQALVILSHCVYDMSSVDITLRHCAYSSLLSFVEFSSAILCGEDQNQPVITNCEGCWTRASIQRTINKFLLKYMGNAMKARSSVRKEWIELLRDMVLKLPKVAKFSSFKALCSEDAEVDFFNNIIHLQKRMIARALLRFKTVISESTASEDILNKIFVPLFFNMLLEEQGGKGEHIKSACLEALASISALMEWKSYYNLLTRCFQEMNVHLDKQKILLRLICSILDQFHFSQICSSQEVKDPPDSSLADTSDSCSMAVSRKCVGGTSSAMVHKNGTSVGLSEILACLHKTVLPKIQKLLDSDSDKVNANISVAALKVLKLLPGDTIDSQLPSIIHRIANQLKSRMESIRDEARLALSACLKELGLEYLQFIVRVLRATLKRGYELHVLGYSLNFILSKFLSGPVCGKLDYCLQDLLSAVENDILGDVAEEKEVEKLASKMKETRKQKSFETLKMIAQNITFKTHALKLLSPVTTHMLKHLTPKVKPRLESMLNHIAAGIEHNPSADQTDLFIFIYGLIEDWIKEENGSFKNSSSAVAKLHSRGDVSQKTVSSGRVVGTKSVCSHLIALFALRLFQNRIKRVKLDKNAEQLLSMLDPFVELLGNCLSSNYEDILSASLTCLTPLVRLPLPSLTSQADRIKVTLLDIAQSSVNSSSPLMQSCLRLLIALLWSTNVTLSSEQLHLLIEFPLFVDLERNPSFIALSLLKAIVNRKLVVPQMYDLAIRVAELMVTSQVESIRKKCSQVLLKFLLHYRLSKKNLQQHLDFLLNNLSYEHSTGREAALEMLHAIIIKCGKINIEEFNSQEGSQKKFLEKHGQSLFIHLVQCLANDSDNKVRLMTGAVIKLLIRHISSDGFNSIMDFILSWYMDEKQNLQSLGAQTMGLPVEICLDMKEEVERMKEEVKGMKEEVEDMSDKIGVLTRKAEAMKKEIEDKSKRIEGMKEEVKGMEEEVEVLAKKDKVMKEDIEDKKKNVKDKSAKIEGIERKIEATKKKIEVLKKSLDKYIHSALPVSKKILQSAVKVVASEPLLDHSDAAIPLWKEAYYSLVMLEKILNCFHDLCFERDLEDIWEAICELLLHPHTWLRNVSSRLVAFYFASANKAIKQDHEKSLGMFFLMRPSRVFMIAVSLCCQLETEVIDDAMSNLITNNLATASFATHILMGRMECVDPCKLWSALDQHEQGQFLEAFQLLDTRKGRGMLLHVISGVRRHDNVNQSDNLQYFLVSNLLKKMGDIALLKDAIQMETVFNCFKEFVAHFSKEEKEFAAHINQDDCQHYAFDMLLPLYKVCEGFAGKVVPDNLKQLAQEVCDRIRKVIGMENFVQVYSNIRTNIKVRREKRKREGKVMAVTNPVRHAKRKLQVAAKNRAHKKRKIMTMKMKMGRWTR >Potri.001G318800.7.v4.1 pep chromosome:Pop_tri_v4:1:32844398:32865637:-1 gene:Potri.001G318800.v4.1 transcript:Potri.001G318800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318800.v4.1 MATPSHARAVKSLNKSPGLHGRRFVFKTFSQRIEEIEIDVYSSLNKIKSAPSEGSTFMRDCLIEFRELNTAEDFISFYEEMMPFVQTLPLVILHKETIFSQLLSRLQVKARLSVEAILRLIAALCRDLPDDFVSFLPRIVDSLVSLLKSGADREPDIIEQIFVAWSYILMYLQKSLLENNRLVDVLKLTVKLRYYPKEYVQEFMAATTSLLLRNASEGQLRKGIAKVILEVVKKPLPVKNYGASALLYFVMRGTMSRPYSRPDRVLQQLTSKKIFSIGDECDQGSKTVDEVLTTTLQRLCEELEPKELDFLWNSLYQKIDYYAINDHLPYLSRFLSLLISSAQINDGHKVSDYQPMLECVKNLFERFIIPYVALKGENHFSEVIDKVLQLLLCTLDGLKSSNDMATISHCLLQWAPAFKLRNSSILTFLSELMKRDPCILYEFRANILSAMNDLIETSQKEIVFLLLTFCEKLQMDPLRSIFLDGSPEGRYSRITGFLQQTVRFWLAVIDNIVNGNGSFTPIERGELTLLWQVVCCYPYMMDLQEMPSLLMDLIDALDRLFIIEAENIAGFPKHTWQSLIGASLSSYYKCGKKFELEETSKVLCLAKTYKSSSQVLSAVADYLDHVHGSTLEADTSHKIYHPEFEGKKAVDAFDVFADNLCNPDKGIRVPTLRILCHYEPQGCQMSAIDQPPEKKMKTEFSETCPEDSQSIDVLQLLLSIEATTLSISTSRKVVLLISRIQMGLSAGRIAEAYIPILLSGMIGIFHNRFSYQCASASECLAVLIGKHVALAWDKFVCYLEHCQSVFHMFHDKPGGSAELSDQSSDLAECSFVTPVSDSTPCATVLSSLLQTLQKIPSVAESRSRQIIPLFLKFLGYNNNDLASVGLFNPVTCKGKEWKGILKEWLNLLKLMRNSKAFYQNQFVKDVLQTRLIDEDDVHIQTSVLDCLLTWKDDFLLQYEQHLRNLISSNHLREELITWSLSRESAVIEEGHRANLVPLVILLLMPKVRKLKMLASRKHTSINQRKVVLRFIAQLDVGELTLFFVSLLKPLHILPEGVDSAAIFFWNLCKSSVDEFQTSNILKHFTMEKIMALSWKQRTGFLHVVEDILGVFDESRTRPFLDLLMGCVVRLLGSCTASLDAVKDASSVVEDNTSDNQKLHENNNAILNQVARSTAVKQFKDMRSLCLRIVSLVLNKYDDHDFGDEFWELFFKSVKPLIDSFKQEGSSSEKPSSLFSCFLAMSRSSHLVPFLFREKNLAPNIFSILTIPTASEAIISCVLKFIENLLNLEDDLDDEDNAAQKLLLLNLDELINSLHHLFQSDKATKRYPGEIQIRIFKFLSKYIKDQLPARQLVDILLSSLAMRYKDSDVCIEYLQVVRDIIPVVGSESGSKILKAVSPLLTSVGLDVRLPICDLLDALAKSDPSFLFVAKLLHELNATSATEMGGLDYDTVFKAYEKVGVGLFYTIPVDQALVILSHCVYDMSSVDITLRHCAYSSLLSFVEFSSAILCGEDQNQPVITNCEGCWTRASIQRTINKFLLKYMGNAMKARSSVRKEWIELLRDMVLKLPKVAKFSSFKALCSEDAEVDFFNNIIHLQKRMIARALLRFKTVISESTASEDILNKIFVPLFFNMLLEEQGGKGEHIKSACLEALASISALMEWKSYYNLLTRCFQEMNVHLDKQKILLRLICSILDQFHFSQICSSQEVKDPPDSSLADTSDSCSMAVSRKCVGGTSSAMVHKNGTSVGLSEILACLHKTVLPKIQKLLDSDSDKVNANISVAALKVLKLLPGDTIDSQLPSIIHRIANQLKSRMESIRDEARLALSACLKELGLEYLQFIVRVLRATLKRGYELHVLGYSLNFILSKFLSGPVCGKLDYCLQDLLSAVENDILGDVAEEKEVEKLASKMKETRKQKSFETLKMIAQNITFKTHALKLLSPVTTHMLKHLTPKVKPRLESMLNHIAAGIEHNPSADQTDLFIFIYGLIEDWIKEENGSFKNSSSAVAKLHSRGDVSQKTVSSGRVVGTKSVCSHLIALFALRLFQNRIKRVKLDKNAEQLLSMLDPFVELLGNCLSSNYEDILSASLTCLTPLVRLPLPSLTSQADRIKVTLLDIAQSSVNSSSPLMQSCLRLLIALLWSTNVTLSSEQLHLLIEFPLFVDLERNPSFIALSLLKAIVNRKLVVPQMYDLAIRVAELMVTSQVESIRKKCSQVLLKFLLHYRLSKKNLQQHLDFLLNNLSYEHSTGREAALEMLHAIIIKCGKINIEEFNSQEGSQKKFLEKHGQSLFIHLVQCLANDSDNKVRLMTGAVIKLLIRHISSDGFNSIMDFILSWYMDEKQNLQSLGAQTMGLPVEICLDMKEEVERMKEEVKGMKEEVEDMSDKIGVLTRKAEAMKKEIEDKSKRIEGMKEEVKGMEEEVEVLAKKDKVMKEDIEDKKKNVKDKSAKIEGIERKIEATKKKIEVLKKSLDKYIHSALPVSKKILQSAVKVVASEPLLDHSDAAIPLWKEAYYSLVMLEKILNCFHDLCFERDLEDIWEAICELLLHPHTWLRNVSSRLVAFYFASANKAIKQDHEKSLGMFFLMRPSRVFMIAVSLSA >Potri.001G318800.3.v4.1 pep chromosome:Pop_tri_v4:1:32844391:32865637:-1 gene:Potri.001G318800.v4.1 transcript:Potri.001G318800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318800.v4.1 MATPSHARAVKSLNKSPGLHGRRFVFKTFSQRIEEIEIDVYSSLNKIKSAPSEGSTFMRDCLIEFRELNTAEDFISFYEEMMPFVQTLPLVILHKETIFSQLLSRLQVKARLSVEAILRLIAALCRDLPDDFVSFLPRIVDSLVSLLKSGADREPDIIEQIFVAWSYILMYLQKSLLENNRLVDVLKLTVKLRYYPKEYVQEFMAATTSLLLRNASEGQLRKGIAKVILEVVKKPLPVKNYGASALLYFVMRGTMSRPYSRPDRVLQQLTSKKIFSIGDECDQGSKTVDEVLTTTLQRLCEELEPKELDFLWNSLYQKIDYYAINDHLPYLSRFLSLLISSAQINDGHKVSDYQPMLECVKNLFERFIIPYVALKGENHFSEVIDKVLQLLLCTLDGLKSSNDMATISHCLLQWAPAFKLRNSSILTFLSELMKRDPCILYEFRANILSAMNDLIETSQKEIVFLLLTFCEKLQMDPLRSIFLDGSPEGRYSRITGFLQQTVRFWLAVIDNIVNGNGSFTPIERGELTLLWQVVCCYPYMMDLQEMPSLLMDLIDALDRLFIIEAENIAGFPKHTWQSLIGASLSSYYKCGKKFELEETSKVLCLAKTYKSSSQVLSAVADYLDHVHGSTLEADTSHKIYHPEFEGKKAVDAFDVFADNLCNPDKGIRVPTLRILCHYEPQGCQMSAIDQPPEKKMKTEFSETCPEDSQSIDVLQLLLSIEATTLSISTSRKVVLLISRIQMGLSAGRIAEAYIPILLSGMIGIFHNRFSYQCASASECLAVLIGKHVALAWDKFVCYLEHCQSVFHMFHDKPGGSAELSDQSSDLAECSFVTPVSDSTPCATVLSSLLQTLQKIPSVAESRSRQIIPLFLKFLGYNNNDLASVGLFNPVTCKGKEWKGILKEWLNLLKLMRNSKAFYQNQFVKDVLQTRLIDEDDVHIQTSVLDCLLTWKDDFLLQYEQHLRNLISSNHLREELITWSLSRESAVIEEGHRANLVPLVILLLMPKVRKLKMLASRKHTSINQRKVVLRFIAQLDVGELTLFFVSLLKPLHILPEGVDSAAIFFWNLCKSSVDEFQTSNILKHFTMEKIMALSWKQRTGFLHVVEDILGVFDESRTRPFLDLLMGCVVRLLGSCTASLDAVKDASSVVEDNTSDNQKLHENNNAILNQVARSTAVKQFKDMRSLCLRIVSLVLNKYDDHDFGDEFWELFFKSVKPLIDSFKQEGSSSEKPSSLFSCFLAMSRSSHLVPFLFREKNLAPNIFSILTIPTASEAIISCVLKFIENLLNLEDDLDDEDNAAQKLLLLNLDELINSLHHLFQSDKATKRYPGEIQIRIFKFLSKYIKDQLPARQLVDILLSSLAMRYKDSDVCIEYLQVVRDIIPVVGSESGSKILKAVSPLLTSVGLDVRLPICDLLDALAKSDPSFLFVAKLLHELNATSATEMGGLDYDTVFKAYEKVGVGLFYTIPVDQALVILSHCVYDMSSVDITLRHCAYSSLLSFVEFSSAILCGEDQNQPVITNCEGCWTRASIQRTINKFLLKYMGNAMKARSSVRKEWIELLRDMVLKLPKVAKFSSFKALCSEDAEVDFFNNIIHLQKRMIARALLRFKTVISESTASEDILNKIFVPLFFNMLLEEQGGKGEHIKSACLEALASISALMEWKSYYNLLTRCFQEMNVHLDKQKILLRLICSILDQFHFSQICSSQEVKDPPDSSLADTSDSCSMAVSRKCVGGTSSAMVHKNGTSVGLSEILACLHKTVLPKIQKLLDSDSDKVNANISVAALKVLKLLPGDTIDSQLPSIIHRIANQLKSRMESIRDEARLALSACLKELGLEYLQFIVRVLRATLKRGYELHVLGYSLNFILSKFLSGPVCGKLDYCLQDLLSAVENDILGDVAEEKEVEKLASKMKETRKQKSFETLKMIAQNITFKTHALKLLSPVTTHMLKHLTPKVKPRLESMLNHIAAGIEHNPSADQTDLFIFIYGLIEDWIKEENGSFKNSSSAVAKLHSRGDVSQKTVSSGRVVGTKSVCSHLIALFALRLFQNRIKRVKLDKNAEQLLSMLDPFVELLGNCLSSNYEDILSASLTCLTPLVRLPLPSLTSQADRIKVTLLDIAQSSVNSSSPLMQSCLRLLIALLWSTNVTLSSEQLHLLIEFPLFVDLERNPSFIALSLLKAIVNRKLVVPQMYDLAIRVAELMVTSQVESIRKKCSQVLLKFLLHYRLSKKNLQQHLDFLLNNLSYEHSTGREAALEMLHAIIIKCGKINIEEFNSQEGSQKKFLEKHGQSLFIHLVQCLANDSDNKVRLMTGAVIKLLIRHISSDGFNSIMDFILSWYMDEKQNLQSLGAQTMGLPVEICLDMKEEVERMKEEVKGMKEEVEDMSDKIGVLTRKAEAMKKEIEDKSKRIEGMKEEVKGMEEEVEVLAKKDKVMKEDIEDKKKNVKDKSAKIEGIERKIEATKKKIEVLKKSLDKYIHSALPVSKKILQSAVKVVASEPLLDHSDAAIPLWKEAYYSLVMLEKILNCFHDLCFERDLEDIWEAICELLLHPHTWLRNVSSRLVAFYFASANKAIKQDHEKSLGMFFLMRPSRVFMIAVSLCCQLETEVIDDAMSNLITNNLATASFATHILMGRMECVDPCKLWSALDQHEQGQFLEAFQLLDTRKGRGMLLHVISGVRRHDNVNQSDNLQYFLVSNLLKKMGDIALLKDAIQMETVFNCFKEFVAHFSKEEKEFAAHINQDDCQHYAFDMLLPLYKVCEGFAGKVVPDNLKQLAQEVCDRIRKVIGMENFVQVYSNIRTNIKVRREKRKREGKVMAVTNPVRHAKRKLQVAAKNRAHKKRKIMTMKMKMGRWTR >Potri.001G318800.4.v4.1 pep chromosome:Pop_tri_v4:1:32844398:32865637:-1 gene:Potri.001G318800.v4.1 transcript:Potri.001G318800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318800.v4.1 MATPSHARAVKSLNKSPGLHGRRFVFKTFSQRIEEIEIDVYSSLNKIKSAPSEGSTFMRDCLIEFRELNTAEDFISFYEEMMPFVQTLPLVILHKETIFSQLLSRLQVKARLSVEAILRLIAALCRDLPDDFVSFLPRIVDSLVSLLKSGADREPDIIEQIFVAWSYILMYLQKSLLENNRLVDVLKLTVKLRYYPKEYVQEFMAATTSLLLRNASEGQLRKGIAKVILEVVKKPLPVKNYGASALLYFVMRGTMSRPYSRPDRVLQQLTSKKIFSIGDECDQGSKTVDEVLTTTLQRLCEELEPKELDFLWNSLYQKIDYYAINDHLPYLSRFLSLLISSAQINDGHKVSDYQPMLECVKNLFERFIIPYVALKGENHFSEVIDKVLQLLLCTLDGLKSSNDMATISHCLLQWAPAFKLRNSSILTFLSELMKRDPCILYEFRANILSAMNDLIETSQKEIVFLLLTFCEKLQMDPLRSIFLDGSPEGRYSRITGFLQQTVRFWLAVIDNIVNGNGSFTPIERGELTLLWQVVCCYPYMMDLQEMPSLLMDLIDALDRLFIIEAENIAGFPKHTWQSLIGASLSSYYKCGKKFELEETSKVLCLAKTYKSSSQVLSAVADYLDHVHGSTLEADTSHKIYHPEFEGKKAVDAFDVFADNLCNPDKGIRVPTLRILCHYEPQGCQMSAIDQPPEKKMKTEFSETCPEDSQSIDVLQLLLSIEATTLSISTSRKVVLLISRIQMGLSAGRIAEAYIPILLSGMIGIFHNRFSYQCASASECLAVLIGKHVALAWDKFVCYLEHCQSVFHMFHDKPGGSAELSDQSSDLAECSFVTPVSDSTPCATVLSSLLQTLQKIPSVAESRSRQIIPLFLKFLGYNNNDLASVGLFNPVTCKGKEWKGILKEWLNLLKLMRNSKAFYQNQFVKDVLQTRLIDEDDVHIQTSVLDCLLTWKDDFLLQYEQHLRNLISSNHLREELITWSLSRESAVIEEGHRANLVPLVILLLMPKVRKLKMLASRKHTSINQRKVVLRFIAQLDVGELTLFFVSLLKPLHILPEGVDSAAIFFWNLCKSSVDEFQTSNILKHFTMEKIMALSWKQRTGFLHVVEDILGVFDESRTRPFLDLLMGCVVRLLGSCTASLDAVKDASSVVEDNTSDNQKLHENNNAILNQVARSTAVKQFKDMRSLCLRIVSLVLNKYDDHDFGDEFWELFFKSVKPLIDSFKQEGSSSEKPSSLFSCFLAMSRSSHLVPFLFREKNLAPNIFSILTIPTASEAIISCVLKFIENLLNLEDDLDDEDNAAQKLLLLNLDELINSLHHLFQSDKATKRYPGEIQIRIFKFLSKYIKDQLPARQLVDILLSSLAMRYKDSDVCIEYLQVVRDIIPVVGSESGSKILKAVSPLLTSVGLDVRLPICDLLDALAKSDPSFLFVAKLLHELNATSATEMGGLDYDTVFKAYEKVGVGLFYTIPVDQALVILSHCVYDMSSVDITLRHCAYSSLLSFVEFSSAILCGEDQNQPVITNCEGCWTRASIQRTINKFLLKYMGNAMKARSSVRKEWIELLRDMVLKLPKVAKFSSFKALCSEDAEVDFFNNIIHLQKRMIARALLRFKTVISESTASEDILNKIFVPLFFNMLLEEQGGKGEHIKSACLEALASISALMEWKSYYNLLTRCFQEMNVHLDKQKILLRLICSILDQFHFSQICSSQEVKDPPDSSLADTSDSCSMAVSRKCVGGTSSAMVHKNGTSVGLSEILACLHKTVLPKIQKLLDSDSDKVNANISVAALKVLKLLPGDTIDSQLPSIIHRIANQLKSRMESIRDEARLALSACLKELGLEYLQFIVRVLRATLKRGYELHVLGYSLNFILSKFLSGPVCGKLDYCLQDLLSAVENDILGDLASKMKETRKQKSFETLKMIAQNITFKTHALKLLSPVTTHMLKHLTPKVKPRLESMLNHIAAGIEHNPSADQTDLFIFIYGLIEDWIKEENGSFKNSSSAVAKLHSRGDVSQKTVSSGRVVGTKSVCSHLIALFALRLFQNRIKRVKLDKNAEQLLSMLDPFVELLGNCLSSNYEDILSASLTCLTPLVRLPLPSLTSQADRIKVTLLDIAQSSVNSSSPLMQSCLRLLIALLWSTNVTLSSEQLHLLIEFPLFVDLERNPSFIALSLLKAIVNRKLVVPQMYDLAIRVAELMVTSQVESIRKKCSQVLLKFLLHYRLSKKNLQQHLDFLLNNLSYEHSTGREAALEMLHAIIIKCGKINIEEFNSQEGSQKKFLEKHGQSLFIHLVQCLANDSDNKVRLMTGAVIKLLIRHISSDGFNSIMDFILSWYMDEKQNLQSLGAQTMGLPVEICLDMKEEVERMKEEVKGMKEEVEDMSDKIGVLTRKAEAMKKEIEDKSKRIEGMKEEVKGMEEEVEVLAKKDKVMKEDIEDKKKNVKDKSAKIEGIERKIEATKKKIEVLKKSLDKYIHSALPVSKKILQSAVKVVASEPLLDHSDAAIPLWKEAYYSLVMLEKILNCFHDLCFERDLEDIWEAICELLLHPHTWLRNVSSRLVAFYFASANKAIKQDHEKSLGMFFLMRPSRVFMIAVSLCCQLETEVIDDAMSNLITNNLATASFATHILMGRMECVDPCKLWSALDQHEQGQFLEAFQLLDTRKGRGMLLHVISGVRRHDNVNQSDNLQYFLVSNLLKKMGDIALLKDAIQMETVFNCFKEFVAHFSKEEKEFAAHINQDDCQHYAFDMLLPLYKVCEGFAGKVVPDNLKQLAQEVCDRIRKVIGMENFVQVYSNIRTNIKVRREKRKREGKVMAVTNPVRHAKRKLQVAAKNRAHKKRKIMTMKMKMGRWTR >Potri.001G318800.5.v4.1 pep chromosome:Pop_tri_v4:1:32844398:32865637:-1 gene:Potri.001G318800.v4.1 transcript:Potri.001G318800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318800.v4.1 MMPFVQTLPLVILHKETIFSQLLSRLQVKARLSVEAILRLIAALCRDLPDDFVSFLPRIVDSLVSLLKSGADREPDIIEQIFVAWSYILMYLQKSLLENNRLVDVLKLTVKLRYYPKEYVQEFMAATTSLLLRNASEGQLRKGIAKVILEVVKKPLPVKNYGASALLYFVMRGTMSRPYSRPDRVLQQLTSKKIFSIGDECDQGSKTVDEVLTTTLQRLCEELEPKELDFLWNSLYQKIDYYAINDHLPYLSRFLSLLISSAQINDGHKVSDYQPMLECVKNLFERFIIPYVALKGENHFSEVIDKVLQLLLCTLDGLKSSNDMATISHCLLQWAPAFKLRNSSILTFLSELMKRDPCILYEFRANILSAMNDLIETSQKEIVFLLLTFCEKLQMDPLRSIFLDGSPEGRYSRITGFLQQTVRFWLAVIDNIVNGNGSFTPIERGELTLLWQVVCCYPYMMDLQEMPSLLMDLIDALDRLFIIEAENIAGFPKHTWQSLIGASLSSYYKCGKKFELEETSKVLCLAKTYKSSSQVLSAVADYLDHVHGSTLEADTSHKIYHPEFEGKKAVDAFDVFADNLCNPDKGIRVPTLRILCHYEPQGCQMSAIDQPPEKKMKTEFSETCPEDSQSIDVLQLLLSIEATTLSISTSRKVVLLISRIQMGLSAGRIAEAYIPILLSGMIGIFHNRFSYQCASASECLAVLIGKHVALAWDKFVCYLEHCQSVFHMFHDKPGGSAELSDQSSDLAECSFVTPVSDSTPCATVLSSLLQTLQKIPSVAESRSRQIIPLFLKFLGYNNNDLASVGLFNPVTCKGKEWKGILKEWLNLLKLMRNSKAFYQNQFVKDVLQTRLIDEDDVHIQTSVLDCLLTWKDDFLLQYEQHLRNLISSNHLREELITWSLSRESAVIEEGHRANLVPLVILLLMPKVRKLKMLASRKHTSINQRKVVLRFIAQLDVGELTLFFVSLLKPLHILPEGVDSAAIFFWNLCKSSVDEFQTSNILKHFTMEKIMALSWKQRTGFLHVVEDILGVFDESRTRPFLDLLMGCVVRLLGSCTASLDAVKDASSVVEDNTSDNQKLHENNNAILNQVARSTAVKQFKDMRSLCLRIVSLVLNKYDDHDFGDEFWELFFKSVKPLIDSFKQEGSSSEKPSSLFSCFLAMSRSSHLVPFLFREKNLAPNIFSILTIPTASEAIISCVLKFIENLLNLEDDLDDEDNAAQKLLLLNLDELINSLHHLFQSDKATKRYPGEIQIRIFKFLSKYIKDQLPARQLVDILLSSLAMRYKDSDVCIEYLQVVRDIIPVVGSESGSKILKAVSPLLTSVGLDVRLPICDLLDALAKSDPSFLFVAKLLHELNATSATEMGGLDYDTVFKAYEKVGVGLFYTIPVDQALVILSHCVYDMSSVDITLRHCAYSSLLSFVEFSSAILCGEDQNQPVITNCEGCWTRASIQRTINKFLLKYMGNAMKARSSVRKEWIELLRDMVLKLPKVAKFSSFKALCSEDAEVDFFNNIIHLQKRMIARALLRFKTVISESTASEDILNKIFVPLFFNMLLEEQGGKGEHIKSACLEALASISALMEWKSYYNLLTRCFQEMNVHLDKQKILLRLICSILDQFHFSQICSSQEVKDPPDSSLADTSDSCSMAVSRKCVGGTSSAMVHKNGTSVGLSEILACLHKTVLPKIQKLLDSDSDKVNANISVAALKVLKLLPGDTIDSQLPSIIHRIANQLKSRMESIRDEARLALSACLKELGLEYLQFIVRVLRATLKRGYELHVLGYSLNFILSKFLSGPVCGKLDYCLQDLLSAVENDILGDVAEEKEVEKLASKMKETRKQKSFETLKMIAQNITFKTHALKLLSPVTTHMLKHLTPKVKPRLESMLNHIAAGIEHNPSADQTDLFIFIYGLIEDWIKEENGSFKNSSSAVAKLHSRGDVSQKTVSSGRVVGTKSVCSHLIALFALRLFQNRIKRVKLDKNAEQLLSMLDPFVELLGNCLSSNYEDILSASLTCLTPLVRLPLPSLTSQADRIKVTLLDIAQSSVNSSSPLMQSCLRLLIALLWSTNVTLSSEQLHLLIEFPLFVDLERNPSFIALSLLKAIVNRKLVVPQMYDLAIRVAELMVTSQVESIRKKCSQVLLKFLLHYRLSKKNLQQHLDFLLNNLSYEHSTGREAALEMLHAIIIKCGKINIEEFNSQEGSQKKFLEKHGQSLFIHLVQCLANDSDNKVRLMTGAVIKLLIRHISSDGFNSIMDFILSWYMDEKQNLQSLGAQTMGLPVEICLDMKEEVERMKEEVKGMKEEVEDMSDKIGVLTRKAEAMKKEIEDKSKRIEGMKEEVKGMEEEVEVLAKKDKVMKEDIEDKKKNVKDKSAKIEGIERKIEATKKKIEVLKKSLDKYIHSALPVSKKILQSAVKVVASEPLLDHSDAAIPLWKEAYYSLVMLEKILNCFHDLCFERDLEDIWEAICELLLHPHTWLRNVSSRLVAFYFASANKAIKQDHEKSLGMFFLMRPSRVFMIAVSLCCQLETEVIDDAMSNLITNNLATASFATHILMGRMECVDPCKLWSALDQHEQGQFLEAFQLLDTRKGRGMLLHVISGVRRHDNVNQSDNLQYFLVSNLLKKMGDIALLKDAIQMETVFNCFKEFVAHFSKEEKEFAAHINQDDCQHYAFDMLLPLYKVCEGFAGKVVPDNLKQLAQEVCDRIRKVIGMENFVQVYSNIRTNIKVRREKRKREGKVMAVTNPVRHAKRKLQVAAKNRAHKKRKIMTMKMKMGRWTR >Potri.009G165000.4.v4.1 pep chromosome:Pop_tri_v4:9:12646246:12650628:1 gene:Potri.009G165000.v4.1 transcript:Potri.009G165000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165000.v4.1 MAGGGVIHQLLRRKLQSHSGATSVLSSFTSKKVHGDAGSVGMKSLRAFALFGAGLSGFLSFASVASADEAEHGLECPSYPWPHKGILSSYDHSSIRRGQQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >Potri.009G165000.1.v4.1 pep chromosome:Pop_tri_v4:9:12646246:12650723:1 gene:Potri.009G165000.v4.1 transcript:Potri.009G165000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165000.v4.1 MAGGGVIHQLLRRKLQSHSGATSVLSSFTSKKVHGDAGSVGMKSLRAFALFGAGLSGFLSFASVASADEAEHGLECPSYPWPHKGILSSYDHSSIRRGQQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYANEQAARFANGGAYPPDLSLITKARHNGQNYVFALLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGTPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >Potri.019G063000.1.v4.1 pep chromosome:Pop_tri_v4:19:10311853:10312503:1 gene:Potri.019G063000.v4.1 transcript:Potri.019G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063000.v4.1 MSLANIAVGFRFNPTEAELACHYLYNKVMGLPLSCPYIVQDYDLYGHEEPWQIWENFGEYNNTTSDDEDDDEVEAGPSPQDDLFFFTNLRKINPGMKSSKFDRGVGSNGGRWHGDDVHSFHSKGITWNRRRYCYKNPNIGTPDASWIMLEYSLDDSLMQNVPHYTVLCRIRKKSNKNCSRKRKSSQSSSQPEPKRQSKKLNSKLRTPPIKEKKLFM >Potri.006G062900.6.v4.1 pep chromosome:Pop_tri_v4:6:4484991:4488701:-1 gene:Potri.006G062900.v4.1 transcript:Potri.006G062900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062900.v4.1 MASVEITDGININAAIDSVHNGQHRHNIELVVVPQQLPPTGDGGERKRHLQLYQAALSGDWDTAEGIYKLCPGEVNARITKRGETALHIAAAAEHTHFVKQLVGMMSIEALAYRSSAGNTAFCFAAISGVEALAKVMMDKKPDLAMTRGRGNLLPIYMATLLGHRGMVSYLYDETKEQLTDGDRIKLLVALINSDIYDVAWKMLKEHRGLAYARDEHQLTALHAFSQKSCMPSNVVDQSPPGFWNKCLNPFSEFKLARMKKLMHKEALDIIQYLWEQVVLLDDATISRQIGEPLPLIFTAAERGNLDFLTVLIRLYPELIFKVEHNMYSIFHISILNRHEDIFKIIYQIGSIKNLITTYKDTEGNNMLHLAAKVLESPSRLNAIPGAALQLQRELLWFEEVKKVVQPRHIEEKNFHGKTPGALFIEQHRDLMKEGEQWMRDTADSCMLVATLIATVVFAAAFTVPGGNFQDKGTPVFLKEIAFKFFAISDAISLVTSASSLLTFLSTRTSRYAEQNFLWSLPNRLIIGLTTLFISIGAMMVAFMATFFLVFGNKLLPYSIPIAVVASLPVIFFIWQHFRLFVDMIHSTYTSRSLFKPNKSPLFSKKLKPKVA >Potri.006G062900.7.v4.1 pep chromosome:Pop_tri_v4:6:4484992:4488691:-1 gene:Potri.006G062900.v4.1 transcript:Potri.006G062900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062900.v4.1 MKKLMHKEALDIIQYLWEQVVLLDDATISRQIGEPLPLIFTAAERGNLDFLTVLIRLYPELIFKVEHNMYSIFHISILNRHEDIFKIIYQIGSIKNLITTYKDTEGNNMLHLAAKVLESPSRLNAIPGAALQLQRELLWFEEVKKVVQPRHIEEKNFHGKTPGALFIEQHRDLMKEGEQWMRDTADSCMLVATLIATVVFAAAFTVPGGNFQDKGTPVFLKEIAFKFFAISDAISLVTSASSLLTFLSTRTSRYAEQNFLWSLPNRLIIGLTTLFISIGAMMVAFMATFFLVFGNKLLPYSIPIAVVASLPVIFFIWQHFRLFVDMIHSTYTSRSLFKPNKSPLFSKKLKPKVA >Potri.006G062900.1.v4.1 pep chromosome:Pop_tri_v4:6:4484992:4488803:-1 gene:Potri.006G062900.v4.1 transcript:Potri.006G062900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062900.v4.1 MASVEITDGININAAIDSVHNGQHRHNIELVVVPQQLPPTGDGGERKRHLQLYQAALSGDWDTAEGIYKLCPGEVNARITKRGETALHIAAAAEHTHFVKQLVGMMSIEALAYRSSAGNTAFCFAAISGVEALAKVMMDKKPDLAMTRGRGNLLPIYMATLLGHRGMVSYLYDETKEQLTDGDRIKLLVALINSDIYDVAWKMLKEHRGLAYARDEHQLTALHAFSQKSCMPSNVVDQSPPGFWNKCLNPFSEFKLARMKKLMHKEALDIIQYLWEQVVLLDDATISRQIGEPLPLIFTAAERGNLDFLTVLIRLYPELIFKVEHNMYSIFHISILNRHEDIFKIIYQIGSIKNLITTYKDTEGNNMLHLAAKVLESPSRLNAIPGAALQLQRELLWFEEVKKVVQPRHIEEKNFHGKTPGALFIEQHRDLMKEGEQWMRDTADSCMLVATLIATVVFAAAFTVPGGNFQDKGTPVFLKEIAFKFFAISDAISLVTSASSLLTFLSTRTSRYAEQNFLWSLPNRLIIGLTTLFISIGAMMVAFMATFFLVFGNKLLPYSIPIAVVASLPVIFFIWQHFRLFVDMIHSTYTSRSLFKPNKSPLFSKKLKPKVA >Potri.005G089600.4.v4.1 pep chromosome:Pop_tri_v4:5:6233682:6236925:-1 gene:Potri.005G089600.v4.1 transcript:Potri.005G089600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089600.v4.1 MGCFSYNLFSSSPSCQVPVTGSFACQFKTTPYKQLPTLFKQKKKHFNGCQRASRVVSYYALKTPPYKLDALEPYMSKRTVEVHWKEHHGAYVEGLNKALAKSDILYGYTLDDLVKVTYNNGNPSPDFNNAAQVWNHDFFWESMQPGGGDMPELGMLEQIEKDFGSFTNFRNKFAETALTLFGSGWVWLVLKREEPRLEVVKTLNAVTPIVWGDIPIINLDMWEHAYYLDYKNDKENYVNAFMDHLVSWNMAMARMARAEAFVNLGEPKIPIA >Potri.018G069100.5.v4.1 pep chromosome:Pop_tri_v4:18:8353566:8356490:1 gene:Potri.018G069100.v4.1 transcript:Potri.018G069100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069100.v4.1 MLSMTATINAWQCSGFHCKQYARMPEISLTSRGFLKVGTLDSKTPTTAGLNSSALPYVGDYGYASRNMNRGGIHVVSPDISSPSPGFAVGFLEAFDDEYDGVIIDPNKLPSSANAFASALRAALSKWKLKGRKGIWLKILSEQVDLVPIAIKEGFQYHHAEPGYVMLTYWIPDYPCMLPTSPSHQIGVGGFVINDKKEVLAVKEKCPCSCSNVWKMPTGYINKSEDIFCGAMREVKEETGLPSVCRSTQVSSRWLLSGTLTCWRSTNQIYYLYAC >Potri.018G069100.1.v4.1 pep chromosome:Pop_tri_v4:18:8353566:8356490:1 gene:Potri.018G069100.v4.1 transcript:Potri.018G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069100.v4.1 MLSMTATINAWQCSGFHCKQYARMPEISLTSRGFLKVGTLDSKTPTTAGLNSSALPYVGDYGYASRNMNRGGIHVVSPDISSPSPGFAVGFLEAFDDEYDGVIIDPNKLPSSANAFASALRAALSKWKLKGRKGIWLKILSEQVDLVPIAIKEGFQYHHAEPGYVMLTYWIPDYPCMLPTSPSHQIGVGGFVINDKKEVLAVKEKCPCSCSNVWKMPTGYINKSEDIFCGAMREVKEETGVDTSFLKMVAFRHAHMLAFDKSDILFVCMLRPLSYEIAIDEKEIQAAMWMPLDEFVGQPFYEEDHMSRKVIEACVAAYEDRYSGFTAHQLTSKLDGQSSLLYYDCSSG >Potri.018G069100.4.v4.1 pep chromosome:Pop_tri_v4:18:8353566:8356490:1 gene:Potri.018G069100.v4.1 transcript:Potri.018G069100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069100.v4.1 MLSMTATINAWQCSGFHCKQYARMPEISLTSRGFLKVGTLDSKTPTTAGLNSSALPYVGDYGYASRNMNRGGIHVVSPDISSPSPGFAVGFLEAFDDEYDGVIIDPNKLPSSANAFASALRAALSKWKLKGRKGIWLKILSEQVDLVPIAIKEGFQYHHAEPGYVMLTYWIPDYPCMLPTSPSHQIGVGGFVINDKKEVLAVKEKCPCSCSNVWKMPTGYINKSEDIFCGAMREVKEETGVDTSFLKMVAFRYFDFYVFYTHAHALFTVLLVSVQLIRGTLTCWRSTNQIYYLYAC >Potri.018G069100.3.v4.1 pep chromosome:Pop_tri_v4:18:8353566:8356490:1 gene:Potri.018G069100.v4.1 transcript:Potri.018G069100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069100.v4.1 MLSMTATINAWQCSGFHCKQYARMPEISLTSRGFLKVGTLDSKTPTTAGLNSSALPYVGDYGYASRNMNRGGIHVVSPDISSPSPGFAVGFLEAFDDEYDGVIIDPNKLPSSANAFASALRAALSKWKLKGRKGIWLKILSEQVDLVPIAIKEGFQYHHAEPGYVMLTYWIPDYPCMLPTSPSHQIGVGGFVINDKKEVLAVKEKCPCSCSNVWKMPTGYINKVDTSFLKMVAFRHAHMLAFDKSDILFVCMLRPLSYEIAIDEKEIQAAMWMPLDEFVGQPFYEEDHMSRKVIEACVAAYEDRYSGFTAHQLTSKLDGQSSLLYYDCSSG >Potri.010G110200.3.v4.1 pep chromosome:Pop_tri_v4:10:13056742:13063520:-1 gene:Potri.010G110200.v4.1 transcript:Potri.010G110200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110200.v4.1 MMASTISAQLNLNFPSLLYTPFNTLLEVTRPTRRKSSLSSHFHINSNPKLCSNRTVLTRVCGDGGGSGAIDASPQQKKIEETKGSSSSFGDSYVALFVRMLGLDNDPLDREQAIVALWQYSLGGKKCIDNIMQFQGCINLTVNLLQSESSSACEAAAGLLRSISSVNVYRDVVAESGAIEEITGLLSQPSLTPEVMEQSLCILWNLSVDEKLRVKIANPDILPLLIKSLEDEDLRVKEAAGGVLANLTLTHSNHKIMVEAGVVPKLADFLKSAVEEESKVIRKEARNALVELSKNEYYRILVIEEGLVPVPLIGIAAYRSFTPSLLSWPSLPDGSKIERTSKGPSRFGASELLLGLNIDDKNANLEEAKMNAIIGRSKQQFLARSGAIEVEDTKLPQNELSKNRKFTLLPWMDGVARLVLILELEDESAICRAAESIADASINEHLRNSFKEAGAVKNLIQLLDHNNDAIRFAAVGALERLSISNAVCQTIEAEGVMAPLIKILKNSETSEGMMEKTLNLLARILDPNRKKKSKFYDGPVNGFKKELDAARGDDDPTGLTRKVYEMPDSKKNTRQDVLAFDVVARLVDMLKHPSLELQRKVASVLEFVAISESIMDTIISANIESGLLAIFQQVELNDLESDVESQQTEIHAIQVEEVGLAISAASRLLTKLLDLEQFRRAINSAHFTKLLHKILKSNIPLRYKDWVAACLVKIDSLYGPISILEFENPIDMEVTLYEKIPRLIEQIRSAFSLEAQEAAVVELNRIISEGMVDATRTVASEGGIFPLVKLIEGGSEKAVEAALSILYNLSMDSENHAAIIAAGAVPPLRRIILSERSQWKRALRLLRNLPT >Potri.007G121400.1.v4.1 pep chromosome:Pop_tri_v4:7:13904195:13910553:1 gene:Potri.007G121400.v4.1 transcript:Potri.007G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121400.v4.1 MFGGQVVPPSNSPHLRKSGSRPVVFDLDGEQGNTEMEGLLHSTESDDLKIPSLPISTAAIMPSPILLWRFKVLLFFLWGFFCCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPISMTAYLYLYSHGEVSLAASQPVFLYCAVALILIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFSDFFLADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIILVLPYIFRLFQCLRQYKDTKEKTALFNALKYSTAVPVIFVSALKYHVLPDSWTNFYRPLWLLSGVLNSLYSFYWDVTRDWDLSCFTRIFKFNKPSLCSHLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWTKMSSKSNLQLSEISSEEDKLLAPSNHNV >Potri.018G100100.4.v4.1 pep chromosome:Pop_tri_v4:18:12037088:12038355:-1 gene:Potri.018G100100.v4.1 transcript:Potri.018G100100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100100.v4.1 MRAMEQNLIGMAREMEKLHIDVLNADNRARAPNQYCVGYANPDASYPPPVEGGGANIDGYGRTLVHMGVGSARDWIIPYNSSNGEVSSVAFGGATTSNAGGATMSNTGGVVHWVGPFDPSLARG >Potri.018G100100.3.v4.1 pep chromosome:Pop_tri_v4:18:12037176:12038311:-1 gene:Potri.018G100100.v4.1 transcript:Potri.018G100100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100100.v4.1 MRAMEQNLIGMAREMEKLHIDVLNADNRARAPNQYCVGYANPDASYPPPVEGGGANIDGYGRTLVHMGVGSARDWIIPYNSSNGEVSSVAFGGATTSNAGGATMSNTGGVVHWVGPFDPSLARG >Potri.018G100100.2.v4.1 pep chromosome:Pop_tri_v4:18:12037088:12038355:-1 gene:Potri.018G100100.v4.1 transcript:Potri.018G100100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100100.v4.1 MLHRGTICEETRYERGGMKKVEQMRAMEQNLIGMAREMEKLHIDVLNADNRARAPNQYCVGYANPDASYPPPVEGGGANIDGYGRTLVHMGVGSARDWIIPYNSSNGEVSSVAFGGATTSNAGGATMSNTGGVVHWVGPFDPSLARG >Potri.018G004000.1.v4.1 pep chromosome:Pop_tri_v4:18:301017:306219:-1 gene:Potri.018G004000.v4.1 transcript:Potri.018G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004000.v4.1 MDSSAATTLSSEQTEANAINKRAETGTEALTEAGQQQGQSQSQDKWPGWPGDNVFRLIVPVSKVGSIIGRKGELVKKMCDETRARIRILEGPLGITDRIVLISGKEEPEAPLSPAMDAVLRVFKRVSGLSPGEGDNTGSAAAAAAAGSAFCSIRLLVASSQAINLIGKQGSIIKSIQENTGVVVRVMAEDDIPTYATSDERIVEIHGEAMKVFKALEAVIGHLRKFLVDHSVIPIFEKTYNATISLERPADACADNAQPSLHSAPAFSSGIISDYSLSLKRDPSIYEHETHFEHKISQPGFSLYGDPGLVGLRSTGLGRATAPIVTQVTQTMQVPLSYAEDIIGVAGSNIAYIRRTSGAILSIQESRGLPDEITVEIKGTSSQVQMAQQLIQEFISNHKEPTPNMYGKIDVGLNAYSQIAESGYPSSSFTSHLGGYGSSSIGGYGSPGAGGAGGYNSYRY >Potri.002G201200.1.v4.1 pep chromosome:Pop_tri_v4:2:16416378:16421656:1 gene:Potri.002G201200.v4.1 transcript:Potri.002G201200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201200.v4.1 MSAKGDSTEKLKGVQISSLDEDDEVEEVVEEEEEEEEGDDSEEEEEPVTLGFLEKTKNCWSLLRQVFPSKAGGVPAWLDPVNLPSGRSCVCDICEEPLQFLLQVYAPITEKESTFHRTLFVFMCPSMSCLHRDQHEQWKRSPEKPSRSVKVFRCQLPCSNPFYSSEPPRNDGTDKPSGSGVSLCNWCGTWKGDKVCSGCKRTKYCSHKHQVLHWHSGHKIDCQQLSLSVDSSSSKNGTTSAEIIKVVSNALWPEYEMINDDENEYDAEMSDDNIPTHSLVSRNKMDSTMNSLLDIFEGNSDKKCWASFQQRIAKAPEQVLRYCRNASAKPLWPLSSGQPSKADIPNCSYCGGPSDFEFQILPQLLYYFGVKNDADSLDWATIVVYTCKSSCEASMAYKEEFPWVQLYPTSAT >Potri.011G101700.1.v4.1 pep chromosome:Pop_tri_v4:11:12931969:12936964:1 gene:Potri.011G101700.v4.1 transcript:Potri.011G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101700.v4.1 MTVTVSSILVSIVYVAVLRWAWRLLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKESSDMLKEARTKPIGLSDALLPRVMPFLHQLVKDYGKNSFMWVGPKPRVNIMNPDQIKDVFMKINEYQKPSHPLLKAIACGLPSHEGEKWSNHRKIINPAFHQEKIKLMIPAFYESCSGMINKWEKLVSIDEGSCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTDLTVHVIIKAIVIPGYRFLPIPSNRRLKAIDKEIKASLNALINKREQAMSAGEDAKNDLLGLLLESNFREIQEHGNTKSVGMSIEDVIDECRIFYFAGQETTTVLLTWTMVLLAQYPNWQARAREEVVQVFGNKKPDFDGLNHLKVVTMIFYEVLRLYPPVIMLNRDVHEEIKLGNLLLPAGVQVSVPTILLHQDHELWGDDASEFKPGRFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMILQRCSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.004G091300.2.v4.1 pep chromosome:Pop_tri_v4:4:7775710:7778165:-1 gene:Potri.004G091300.v4.1 transcript:Potri.004G091300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091300.v4.1 MMTGEIWTQLSSAITGLVLAWVMFEQYFPYQLRGYLHKYSQKLMSYAYPYIQVTFHEFTSERLKRSEAFSAIQSYLGSNSTKNAKRLKADVVRNNEPLVLTMDDYEEVTDVFDGVKVWWSSSKTVPKTQSISFYPAADERRHYRLTFHKSNRDVITKKYIEHVLKEGKLIAVKNRQRKLFTNNPSENWYGWKSTKWSHVVFEHPATFDTLAMETKKKEEIKKDLTKFSKGKDYYAKIGKAWKRGYLLYGPPGTGKSTMISAMANLLDYDIYDLELTTVKDNSELRKLLIETTGKSIIVIEDIDCSLDLTGQRKKTKEKDDDDQSDKEKDPVSKKKRRLKKKEKAAARLLYLDF >Potri.004G080800.1.v4.1 pep chromosome:Pop_tri_v4:4:6641026:6652528:-1 gene:Potri.004G080800.v4.1 transcript:Potri.004G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080800.v4.1 MAQILRGYELRLLRCTLTPPPPPSDSPSPCPPSDPNNLHSHINFLLTCIQSGNYLQALFSDSAKLVTASTQLDSTKSPDRVYNELVERVEQFIRDGGGGGDEEDGFRVILVICVAIAAFFCFIQGNITGPVSEIPECPLLLKVEESIEWDSWARNQLISDGAHLLGKFSNLECIVFAKMLVMKAKDLLFEGSISSAYGIRSISWWLARVLLVEQRILDELSSSLFDLLQVSMGETLRHFGTLEHVANYWGDELGNEEAADIVSTVHLEAGIMEQTYGHVDSCRLHLESAEVASGIQLSLTGVLGYRTVHQVEPKQQRLLVVDRSSSHTGSTSSTMSPDIKTRDSTTAKNDQHEISQASDIHRTPVLLETGDKSEIGAQGNQNVAPRGAAPLKAVQQAVILARCLLIEISSRHDELQRWDMAPFIETIDSQPTSFFTLRCFCDLLRIRWEKTRSRTKQRALEMMEKLVEGMHNSLPGVAQRIPFCYIANIPTIPALRKEHGELLISCGLMGEAITIFESLELWDNLIYCYCLLEKKAAAVQLIKKRLSEMPNDPRLWCSLGDVTNDDSCYEKAIEVSNNKSARAKRSLARSAYNRGDYETSKIMWEAALALNSLYPDGWFALGSAALKARDVDKALVGFTKAVQFDPENGEAWNNIACLHMIRKRSEEAFIAFNEALKFKRDSWQMWAQYSHVALDVGNVHKALESVRMVLNITSGKATGKEIDADILERIMLEIEERISRRPFKPPSVSDDTSLTTQHCPDDSHNDSINKSEQRIAVGRSRETEQLVDLLGKILQQIVKRVSRADIWGLYARWHKLKGDLTMCSEALLKQVRSYQGSDLWKDRDRFKLYARASLELCKVYMEISSSTGSHRELSTAEMHLKNIVRQAGSFSDTEEFKDVQACLDEVKKRQSD >Potri.004G080800.5.v4.1 pep chromosome:Pop_tri_v4:4:6641468:6652389:-1 gene:Potri.004G080800.v4.1 transcript:Potri.004G080800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080800.v4.1 MAQILRGYELRLLRCTLTPPPPPSDSPSPCPPSDPNNLHSHINFLLTCIQSGNYLQALFSDSAKLVTASTQLDSTKSPDRVYNELVERVEQFIRDGGGGGDEEDGFRVILVICVAIAAFFCFIQGNITGPVSEIPECPLLLKVEESIEWDSWARNQLISDGAHLLGKFSNLECIVFAKMLVMKAKDLLFEGSISSAYGIRSISWWLARVLLVEQRILDELSSSLFDLLQVSMGETLRHFGTLEHVANYWGDELGNEEAADIVSTVHLEAGIMEQTYGHVDSCRLHLESAEVASGIQLSLTGVLGYRTVHQVEPKQQRLLVVDRSSSHTGSTSSTMSPDIKTRDSTTAKNDQHEISQASDIHRTPVLLETGDKSEIGAQGNQNVAPRGAAPLKAVQQAVILARCLLIEISSRHDELQRWDMAPFIETIDSQPTSFFTLRCFCDLLRIRWEKTRSRTKQRALEMMEKLVEGMHNSLPGVAQRIPFCYIANIPTIPALRKEHGELLISCGLMGEAITIFESLELWDNLIYCYCLLEKKAAAVQLIKKRLSEMPNDPRLWCSLGDVTNDDSCYEKAIEVSNNKSARAKRSLARSAYNRGDYETSKIMWEAALALNSLYPDGWFALGSAALKARDVDKALVGFTKAVQFDPENGEAWNNIACLHMIRKRSEEAFIAFNEALKFKRDSWQMWAQYSHVALDVGNVHKALESVRMVLNITSGKATGKEIDADILERIMLEIEERISRRPFKPPSVSDDTSLTTQHCPDDSHNDSINKSEQRIAVGRSRETEQLVDLLGKILQQIVKRVSRADIWGLYARWHKLKGDLTMCSEALLKQVRSYQGSDLWKDRDRFKLYARASLELCKVYMEISSSTGSHRELSTAEMHLKNIVRQAGSFSDTEEFKDVQACLDEVKKRQSD >Potri.010G001600.1.v4.1 pep chromosome:Pop_tri_v4:10:182321:187873:-1 gene:Potri.010G001600.v4.1 transcript:Potri.010G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001600.v4.1 MASDLKNSLTKEYVGMELWVIIVVCLGLVCVFVLVVSLWLSFRKKSRRENNMPPVSYMPKVSEEIKEIGVDQNSANNDGFPSLHDKFGDKEEADKVLIQLENGDDSSQSGSFNHVEKVGVGSQSGEEGGTKVASVHRPSSHPLTAPSPLSGLPEFSQLGWGHWFTLRDLQVATNRFSKDNIIGDGGYGVVYQGHMINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCMEGTQRMLVYEYVNNGNLEQWLRGGMRQHGYLTWEARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFDAKISDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPVDYDRPENEVNLVEWLKMMVAGRRSEEVVDPMIENRPATSALKRALLTALRCVDPDAEKRPKMSQVVRMLESEEYPIPRQDRRRWRSHAGEGETA >Potri.016G022700.10.v4.1 pep chromosome:Pop_tri_v4:16:1248681:1252209:-1 gene:Potri.016G022700.v4.1 transcript:Potri.016G022700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022700.v4.1 MATVIIKRLAKSPFVLITVLVTLAAFSFTPRFPFKSFRNSLQQQRLFTVEELALYNGTDDTLPILLGILGSVFDVTKGKSHYAVGGGYHHFSGRDASRAFVSGNFTGDGLTDSLRGLSSTEVKSIVEWREFYFRSYTFVGKLVGRYYDSEGNPTKSLKGVEAKAARGTQLLEKQKKEEAKQPSCNSRWSQGEGGEVWCDDGFPRLVQRPLEIALTGKMSKRCACFKEDQLSETGLEVYEGCDYLSKTCRV >Potri.016G022700.8.v4.1 pep chromosome:Pop_tri_v4:16:1248638:1252250:-1 gene:Potri.016G022700.v4.1 transcript:Potri.016G022700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022700.v4.1 MATVIIKRLAKSPFVLITVLVTLAAFSFTPRFPFKSFRNSLQQQVQRLFTVEELALYNGTDDTLPILLGILGSVFDVTKGKSHYAVGGGYHHFSGRDASRAFVSGNFTGDGLTDSLRGLSSTEVKSIVEWREFYFRSYTFVGKLVGRYYDSEGNPTKSLKGVEAKAARGTQLLEKQKKEEAKQPSCNSRWSQGEGGEVWCDDGFPRLVQRPLEIALTGKMSKRCACFKEDQLSETGLEVYEGCDYLSKTCRV >Potri.016G022700.9.v4.1 pep chromosome:Pop_tri_v4:16:1248680:1252248:-1 gene:Potri.016G022700.v4.1 transcript:Potri.016G022700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022700.v4.1 MATVIIKRLAKSPFVLITVLVTLAAFSFTPRFPFKSFRNSLQQQRLFTVEELALYNGTDDTLPILLGILGSVFDVTKGKSHYAVGGGYHHFSGRDASRAFVSGNFTGDGLTDSLRGLSSTEVKSIVEWREFYFRSYTFVGKLVGRYYDSEGNPTKSLKGVEAKAARGTQLLEKQKKEEAKQPSCNSRWSQGEGGEVWCDDGFPRLVQRPLEIALTGKMSKRCACFKEDQLSETGLEVYEGCDYLSKTCRV >Potri.002G220566.1.v4.1 pep chromosome:Pop_tri_v4:2:20065474:20068599:1 gene:Potri.002G220566.v4.1 transcript:Potri.002G220566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220566.v4.1 MASATFSVAKPSLQASGKGFTDFSGLRSSSAFLPFTKKTSDDFVSVVAFQTSMGNSSGGYRKSAAEAKIKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFDADVKPVGDNAISVDGKVIKVVSSRNPLDLPWKDMEVDLVIEGTGVFVDREGAGKHITAGAKKVIITAPGKGDIPTYVVGVNADAYNPDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNEGFRESAEKELKGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLAHIVADQWK >Potri.001G396001.1.v4.1 pep chromosome:Pop_tri_v4:1:42102808:42103122:-1 gene:Potri.001G396001.v4.1 transcript:Potri.001G396001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396001.v4.1 MVGSSAISMELLQEFQLNSCHVSLFTSICQIPDFCLTPTNPMQLPFFRWYNPNKRCDVMVGYSAIYWNSCKNFKKRVRKSVSKRQVEFVKESVIGYIIPRTSSE >Potri.013G066000.1.v4.1 pep chromosome:Pop_tri_v4:13:4903008:4905475:1 gene:Potri.013G066000.v4.1 transcript:Potri.013G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066000.v4.1 MDSTIRIGSSIVFPISSSSPKLSNLRRKNKIEYGSRFGGNGCISAITHNGSVSPSAASHGESSSVGDVHRRRSSLESTFRYDKPIPEERIEEPVGISLAEKVIGDNPRCTDCQAKGAVLCTTCAGSGLYVDSILESQGIIVKVRCLGCGGTGNIMCSECGGRGHVGLK >Potri.009G157100.1.v4.1 pep chromosome:Pop_tri_v4:9:12236114:12237026:1 gene:Potri.009G157100.v4.1 transcript:Potri.009G157100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157100.v4.1 MASASSTFKFLSLLAALFAVAEMAISGDPDILSDFVAPLNLTTVDGAFFTFTGMRALVGAPPASAFKVSKASAAEFPALIGQSVSYAVLQFPAGTTNPPHTHPRSAELLFLVDGSLQVGFVDTTNKLFTQTLQSGDMFIFPKGLVHFQYNADSQNSALAFSAFGSASAGTVSLPTTLFATSIDDNILAKAFKTDVATVQALKAGLKP >Potri.008G202166.1.v4.1 pep chromosome:Pop_tri_v4:8:14484224:14484996:-1 gene:Potri.008G202166.v4.1 transcript:Potri.008G202166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202166.v4.1 MSPVLKLRTSCQENILPSLRHQYCKRPKDLHEVMASFLLYSTSLISLLSLPLEADIFVMRRRDSFGGNFASSNSFHFQVAESNNYSDAVIHIEFFM >Potri.001G259900.1.v4.1 pep chromosome:Pop_tri_v4:1:27604435:27607126:1 gene:Potri.001G259900.v4.1 transcript:Potri.001G259900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259900.v4.1 MTRLLSQTFRSVKLKASSSKPPPPQQQQPSSSSSLPRSRKTRAKSSSNSKSRSWSVYLILSTNHPIKTYVGVTTNFSRRLKQHNGELKGGAKASRAGRPWICACIIRGFNDRSEACKFESKWKSFSRKFPRKRIDDDQMKQSRKDSHRLLQHRKTALDRVKGSFDLSHLEIDWKLNTF >Potri.010G129932.1.v4.1 pep chromosome:Pop_tri_v4:10:14643183:14645119:-1 gene:Potri.010G129932.v4.1 transcript:Potri.010G129932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129932.v4.1 MQPLGHRWTLMKAPVIGFQILLFMRLEGTSPGAEHFPCLILCSTFFDSRSWGFAVYRLVEKIVFLLNSGAGSGRYFAVTSNAQDYFGFLHNGSRLLGWWSIDEGSRKERARIYCAGGSGYVFYATI >Potri.002G107300.2.v4.1 pep chromosome:Pop_tri_v4:2:7960715:7964136:1 gene:Potri.002G107300.v4.1 transcript:Potri.002G107300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107300.v4.1 MASIRRTLSQVYQDRSYQNGVASAQAHKLFSTNNNSGKYSSLTSTSAVAAASVYLRRKGFRRSFYRCTIFFILGLLLGIFPFGQVDNDINKHDFSFEMKPPHVNVQLDTKDNFALAAVSFGVEKTTPQLDRFSRFDYVERKQVIVITPTYNRALQAYFLNRLGQVLRLVQPPLLWIVVEMTSASAETAEILRKTGVMYRHLVCVNKNNTNVKDRGVHQRNAGLEHIERHRLDGIVYFADDDNVYSLQLFESLRNISHFGTWPVAMLAQSKNKAIVEGPVCNASQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWNRPFSNPIRQLDTVKEGFQETTFIEQVVEDESQMESVPPSCSRILNWHLHLDAHGLVYPRGWLLQKNLEVVQPIK >Potri.002G107300.4.v4.1 pep chromosome:Pop_tri_v4:2:7960774:7964120:1 gene:Potri.002G107300.v4.1 transcript:Potri.002G107300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107300.v4.1 MASIRRTLSQVYQDRSYQNGVASAQAHKLFSTNNNSGKYSSLTSTSAVAAASVYLRRKGFRRSFYRCTIFFILGLLLGIFPFGQVDNDINKHDFSFEMKPPHVNVQLDTKDNFALAAVSFGVEKTTPQLDRFSRFDYVERKQVIVITPTYNRALQAYFLNRLGQVLRLVQPPLLWIVVEMTSASAETAEILRKTGVMYRHLVCVNKNNTNVKDRGVHQRNAGLEHIERHRLDGIVYFADDDNVYSLQLFESLRNISHFGTWPVAMLAQSKNKAIVEGPVCNASQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWNRPFSNPIRQLDTVKEGFQETTFIEQVVEDESQMESVPPSCSRILNWHLHLDAHGLVYPRGWLLQKNLEVVQPIK >Potri.002G107300.1.v4.1 pep chromosome:Pop_tri_v4:2:7960774:7964120:1 gene:Potri.002G107300.v4.1 transcript:Potri.002G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107300.v4.1 MASIRRTLSQVYQDRSYQNGVASAQAHKLFSTNNNSGKYSSLTSTSAVAAASVYLRRKGFRRSFYRCTIFFILGLLLGIFPFGQVDNDINKHDFSFEMKPPHVNVQLDTKDNFALAAVSFGVEKTTPQLDRFSRFDYVERKQVIVITPTYNRALQAYFLNRLGQVLRLVQPPLLWIVVEMTSASAETAEILRKTGVMYRHLVCVNKNNTNVKDRGVHQRNAGLEHIERHRLDGIVYFADDDNVYSLQLFESLRNISHFGTWPVAMLAQSKNKAIVEGPVCNASQVIGWHTNEKSKRLRRFHVDMSGFAFNSTILWDPKRWNRPFSNPIRQLDTVKEGFQETTFIEQVVEDESQMESVPPSCSRILNWHLHLDAHGLVYPRGWLLQKNLEVVQPIK >Potri.006G147500.2.v4.1 pep chromosome:Pop_tri_v4:6:12624092:12627518:-1 gene:Potri.006G147500.v4.1 transcript:Potri.006G147500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147500.v4.1 MADNNNNSLPGSSKDNPDHDSETNPVSKENSSLSTIVRRFKDSVSLGKTHKFWESQPVGQFKDIGDSNLPEGPIEPPTLLSEVKQEPYNLPSQYEWTTCDMESDETCVEVYNLLKNNYVEDDENMFRFNYSQEFLTWALRPPGYYRNWHIGVRAKTSKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRNMELRDVPAVTRLLRNYLSQFVVAPDFDENDVEHWLLPTENVVDSYLVESPETHEITDFCSFYTLPSSILGNQNHSTLKAAYSYYNVSMKTPLLQLMNDALIVAKQKDFDVFNALDVMHNEPFLKELKFGPGDGQLHYYLYNYRIRHALRPSELGLVLL >Potri.006G147500.1.v4.1 pep chromosome:Pop_tri_v4:6:12625551:12627625:-1 gene:Potri.006G147500.v4.1 transcript:Potri.006G147500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147500.v4.1 MADNNNNSLPGSSKDNPDHDSETNPVSKENSSLSTIVRRFKDSVSLGKTHKFWESQPVGQFKDIGDSNLPEGPIEPPTLLSEVKQEPYNLPSQYEWTTCDMESDETCVEVYNLLKNNYVEDDENMFRFNYSQEFLTWALRPPGYYRNWHIGVRAKTSKKLVAFITGVPARIRVRDEVVKMAEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRNMELRDVPAVTRLLRNYLSQFVVAPDFDENDVEHWLLPTENVVDSYLVESPETHEITDFCSFYTLPSSILGNQNHSTLKAAYSYYNVSMKTPLLQLMNDALIVAKQKDFDVFNALDVMHNEPFLKELKFGPGDGQLHYYLYNYRIRHALRPSELGLVLL >Potri.010G204700.1.v4.1 pep chromosome:Pop_tri_v4:10:19619461:19621122:-1 gene:Potri.010G204700.v4.1 transcript:Potri.010G204700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G204700.v4.1 MTAPTAPSMAAPPAPSMVSRMTALFLRVLTFAFLMVSLVIMTTNTGTIEIGIDEFKVRSKDFYSYRYMLAAIAFGLTYTILQIALTLNHISKRNGAQTSGDGNLVFDFYGDKVVSYILATGAAAAFGATKELKTQLAGLGGDKFFNKGYASASLLLLGFVCTAILSVFSSYALPKKV >Potri.006G089901.1.v4.1 pep chromosome:Pop_tri_v4:6:6855021:6856308:1 gene:Potri.006G089901.v4.1 transcript:Potri.006G089901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089901.v4.1 MAGHKTIQFLHFCGNQFAQLGTGSDQGESIPRLLDAPCLESKQAKMVSCGARHSAILTEDGQVFSWGWNKHGQLGVGDSMDRCRPKSVACGWWLTLLLAETPLRS >Potri.016G082400.4.v4.1 pep chromosome:Pop_tri_v4:16:6348226:6355323:1 gene:Potri.016G082400.v4.1 transcript:Potri.016G082400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082400.v4.1 MDYSQSQQQKRCDTVLLSPAQTPRSSVRRSLRDSLSMDLWSSNIKPDRGVNVEVILRCRPLNDDEKQLKLPVVISCNEGRGEVSVVQNTAYKQIDKTFSFDKVFGPTSQQKELFDEAISPIVNEVLEGYNCTIFAYGQTGTGKTYTMEGGRVGEVESGEFPSDVGIIPRAVQQILDVLEARNEEYSMKVTFLELYNEDIMDLLAPDESLNGPDDKSRKPIALMEDGRGGVFIRGLEQEVVCTADGIYKILEKGSAKRHTADSLLNMQSSRSHTIFSITIHVKESSSNGEELMKCGKLNLVDLAGSENVVRSGAKEGRVREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGNTKTCIIATVSPSIHSLEETLNTLDYAHRAKKIKNRPEVNQRVAKSELIKDLYKEIDRHRQEIYAEREKNGIYIPHNRFQSEEAERKALVEQIKSMEFDLVFKDKELMGLQKLYDKQQTLTAELSEKLQMTQKDFEKTQNTLLEIEGRNRKANAMIKEKEHLISHLLQSEKSLTKQALELREELEHAASEASNLFSKLELQDKLENGNKILVQKFQTQLAQQLDVLHLTVAASVTQQEEHLKSMEKDFNYSLSKKMGGIQELTTQVRHLKNTHESSIKSLDDISEELDMNYRSAFSNLTSEVSRNSSALVGLLEEKFLEINDILDDVQRDLFNQQEKLAEFAEQQRQGHSKTLQLTRSMSEAMMKFFETLGTHTSSLTRIMEGTQKINGQKLYDLAKEFEDCAAFEKRQLLEKVAELLDISNDRKKNLVQTAINSLLESTASRTCKLQNEMSNLQDFSCSVKSELTTHMETIATSYLVATAVMDNGKDGFEKCLQQCMSKARMGVSQLRNAQESVLDVQKRNVGSLDSIARNELETSGMILSKVSSFALSALEETGIAYKSLLSSIENLLKLDHDAHKNIRSVAVSSFEDMKGSGSNHYHTILKIKEAGQCFLDEYKVNEPYCLTLEKRSSNTPSTESIKELRNPTFQKLSRTFSGDSSVQQESEDQNILSDVCESVRPLSNSRVTLTAIN >Potri.001G198501.3.v4.1 pep chromosome:Pop_tri_v4:1:19589357:19590388:-1 gene:Potri.001G198501.v4.1 transcript:Potri.001G198501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198501.v4.1 MQKSMMDLTLRHRLCFLNFLVRRISLEDLHGVFLSLIVFLYGVLYLCVRRLEMEDAVAAFPRFAKVPIKMLIGDRVVDGISESLTHLTSHFFGVYDGHGGAQVANYCDQIHLALAEEVENIKNNSNVGIIWGNQQVQWEKAFTSCYHKVDDEIGGKSVRGIIKGDENASIARFEPVAPETVGSTAGYRWSVRPTS >Potri.009G166400.1.v4.1 pep chromosome:Pop_tri_v4:9:12713478:12718139:1 gene:Potri.009G166400.v4.1 transcript:Potri.009G166400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166400.v4.1 MDDHGSSTPNSTFDIFSGNVTNWDFMDEILYQGWFEKDGGFNFLQQGLSTSNVLHDPSLYFPVTGTTNWSIASNQQDYQEETERHCHGKSPLDYPNSTELVQTELQVEDSVETSTPFSHPASCRVEAAGLGFEWQMVQRVNPGTSCSVKERVMQAVGYLKNCIQDRDILIQIWLPMEKEGKRVLATIDQPYFVDPSCKSLASYRKVSTAYHFQAEEDAKCSVGFPGRVFLEKLPEWTPDVRLFRSEEYPHRDHAVQHNIRGSLALPLFKQGSETCLGIVEIATTIQKISYRSELQDICKVLQAVDLRSSEDFCSPGVETCNRLNRAAVPEISDIVKSVCKTYSLPLALTWALCSRQGKIGRQQFPERFSSCISTVDSACFLADRGFSGFHMASFEQYLFLGQGIVGRAFTTQKQCFTNDITSFSKKDYPLAHHAKIFGLHAAIAIPLRSISTGLVEFVVELFLPKDCQETEEQKKMWDLLPIVLKQVCRSLQIVMDKELHIGENKSFESSPSKEAPPDESSWIASMLEAQKKGKSCIVTWDYPKEPEEEFKTIIHWDDSAVEIDQKQVISELGRLQQHSRPNINIEGDGVSFAFGGRRSLGSTKAGKKRRTKTEIQTISLGVLRQYFAGSLNDAAQSIGVCPTTLKRICRQHGIKRWPSRKIKKVDHSLRKLQQVIDTVQGAKGAVQIESFYPAFPELSSPKLSNHTSYSSFRRIDNSKHLDSPPDDSCPSGTASKSHSSPCSRSSCSSNCCSGRAQQHAATTITGSSNGNGSLLAETSNGIFKRTCSNELAELHSLNNQGGPDFLVRSQIHKTRTVSDHIHQNELESPPRFGPSLREGGVFGVKAIYGVEKVRLGLQPKWGLRDLQQEIGKRFEIDDFTCIGLKYMDDDGEWVLLTCDSDLEECKEIHRFSQTNTIKISLHKYSSPFGFRGSF >Potri.012G109600.1.v4.1 pep chromosome:Pop_tri_v4:12:13017098:13021376:1 gene:Potri.012G109600.v4.1 transcript:Potri.012G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109600.v4.1 MEIWKWVFVGVLWYAGFVLSTGAVELGRSQHTERISGSAGDVLEDDPVGRLKVFVYELPRKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPDEADWFYTPVYTTCDLTTNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKDGSITVPPYAPPQKMQTHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVYVDEEDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDRSVYLRPSEKILNWTAGPVGDLKPW >Potri.012G109600.2.v4.1 pep chromosome:Pop_tri_v4:12:13016925:13021382:1 gene:Potri.012G109600.v4.1 transcript:Potri.012G109600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109600.v4.1 MEIWKWVFVGVLWYAGFVLSTGAVELGRSQHTERISGSAGDVLEDDPVGRLKVFVYELPRKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPDEADWFYTPVYTTCDLTTNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKDGSITVPPYAPPQKMQTHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVYVDEEDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDRSVYLRPSEKILNWTAGPVGDLKPW >Potri.002G054900.1.v4.1 pep chromosome:Pop_tri_v4:2:3722632:3724391:-1 gene:Potri.002G054900.v4.1 transcript:Potri.002G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054900.v4.1 MASSIHHFLCSALLLLLLSPSIAQQSFRPKALVVPVTKDSATLQYVTQIKQRTPQVPINLVVDLGGQFLWVDCDKNYVSSTYRPARCGSALCSLARAGGCGDCFSGPRPGCNNNTCGVIPDNTVTRTATGGELATDVVSVNSTNGSNPGREASVPRFLFSCAPTFLLQGLASGVVGMAGLGRTRIAFPSQFASAFSFNRKFAICLTSPAPAKGVIIFGDGPYNFLPNIQLTSQSLSFTPLFINPVSTASAFSQGEPSAEYFIGVKSIRISDKTVPLNATLLSIDSQGKGGTKISTVNPYTVLESSIFNAVTRAFINESAARNITRVASVAPFDVCFSSDNIFSTRLGAAVPTISLVLQNENVIWRIFGANSMVQVSDNVLCLGFVNGGSNPTTSIVIGGYQLEDNLFQFDLAASRLGFSSLLFGRQTTCANFNFTSIA >Potri.012G060600.2.v4.1 pep chromosome:Pop_tri_v4:12:6178454:6183769:-1 gene:Potri.012G060600.v4.1 transcript:Potri.012G060600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060600.v4.1 MIQRFVDAVLAVTKESVKTVTYESLNNIARLINGVSAILLTILPAKANVLEGLQGWELRPTFRGPRLPRWMENGVSSFNKFIHELPMDSDTSSMDYSSTEEDGDGMYPPTPSSQCSRMSRASTFSRNRGHSTGWTIFLFSWILFPLRFLLGIPIRFFRLFYIRRSTASPRGSHQNSPLHTFKKIHSLRDHVIHRTTDRRRGVIEDLHLAIEVFIEVIFDFFHKAAHFLLSPSEVLKAICRWFLSWTSGNEDIHGGVSDTSIPAATLGEDDPAPTETKTTLHNSLNTDARTCQDVITELGYPYEAIHVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIEKEISSQKYWRYSINEHGTEDIPAMIEKIHQVKSAELKISQPDLEEETNDDQHYKLCAISHSLGGAAMLMYVITSRIEEKSHRLSRLILMSPAGFHHDSSLVFTAFEYMFLPLAPILACFVPAFYIPTRFFRMLLNKLARDFHNYPAVGGVVQTLMSYVVGGDSSNWVGVIGLPHYNMNDMPGVSFYVAHHLAQMKRARRFRMYDYGSASANMDAYGFPEPLDLGENYGFIDIPVDLVAGKKDNVIRPSMVRKHYKLMKEACVEVSYTEFEYAHLDFTFSHHEELLAYVMSRLLLVEPAQKQLRNEKSLRLKRKGQTKF >Potri.012G060600.4.v4.1 pep chromosome:Pop_tri_v4:12:6178498:6183738:-1 gene:Potri.012G060600.v4.1 transcript:Potri.012G060600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060600.v4.1 MENGVSSFNKFIHELPMDSDTSSMDYSSTEEDGDGMYPPTPSSQCSRMSRASTFSRNRGHSTGWTIFLFSWILFPLRFLLGIPIRFFRLFYIRRSTASPRGSHQNSPLHTFKKIHSLRDHVIHRTTDRRRGVIEDLHLAIEVFIEVIFDFFHKAAHFLLSPSEVLKAICRWFLSWTSGNEDIHGGVSDTSIPAATLGEDDPAPTETKTTLHNSLNTDARTCQDVITELGYPYEAIHVITSDGYVLLLERIPRRDSRKAVYLQHGILDSSMGWVSNGVVGSPAFAAYDQGYDVFLGNLRGLVSREHIEKEISSQKYWRYSINEHGTEDIPAMIEKIHQVKSAELKISQPDLEEETNDDQHYKLCAISHSLGGAAMLMYVITSRIEEKSHRLSRLILMSPAGFHHDSSLVFTAFEYMFLPLAPILACFVPAFYIPTRFFRMLLNKLARDFHNYPAVGGVVQTLMSYVVGGDSSNWVGVIGLPHYNMNDMPGVSFYVAHHLAQMKRARRFRMYDYGSASANMDAYGFPEPLDLGENYGFIDIPVDLVAGKKDNVIRPSMVRKHYKLMKEACVEVSYTEFEYAHLDFTFSHHEELLAYVMSRLLLVEPAQKQLRNEKSLRLKRKGQTKF >Potri.008G221102.1.v4.1 pep chromosome:Pop_tri_v4:8:18434381:18434999:-1 gene:Potri.008G221102.v4.1 transcript:Potri.008G221102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221102.v4.1 MIFSSQIRSPIVDRRWQAILGVRSCSAWKTIYSDSYQTQIQDPQV >Potri.001G410300.1.v4.1 pep chromosome:Pop_tri_v4:1:44298148:44299536:1 gene:Potri.001G410300.v4.1 transcript:Potri.001G410300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410300.v4.1 MAIFNTRTRIVFFCTLSLLVLFSPRLSFSSSIHDLLISKGLPAGLLPKEVKSYTLSEDGYLEVFLDGPCLTKYENRVFFESVVRANLTYRSLSGVVGLSQEELFLWLPVKDIIVDDPGSGLILFDIGVAHKQLSLSLFEDPPNCKPQGGELKNHARKEKGFAAVR >Potri.004G006200.2.v4.1 pep chromosome:Pop_tri_v4:4:385023:399771:1 gene:Potri.004G006200.v4.1 transcript:Potri.004G006200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006200.v4.1 MAAMIAKSRINRGGGNKIRRFQGAGLKFSCRETRERVEKTLENRFNEVVASKARRKTSCKKVEVIQLSSDSDDDDDDWSPRRRKKALNRKLILAPESDLSESDHQCSPSERRKTLSEQIKAPPEIELSKTDDEQFLGARRKASYEKIKAASEIESLKNDDQWCSGTRRKASSKKIKAPSETELLKSDDQQCMGTRRKASSKKIMLAPDVGFSESDGKLPPMRFETSSKKIKSVSEIELLKSDDEWSPRVRRKSSSKKVKPVTVSEFLQSNVVPKGQQSGLNKRSRSSSVGVDFEGDSEEDLLEAICVVKMRERKRTRNSGRDINERSLKEAREKNIGSVNSSSSSSSASASSSSSVSKRDGYCNGVSAVRNVKVKGQDIKRCHQCMKKERIVVVLCKKCNRVYCIQCIKQWYPEMTEGHFAKRCPFCRKKCNCNVCLHSSGLIKTSKRDITNSEKVQHLHYLIKLLLPFLEQICDEQTEEMQIEAGIRGSPFDIAENFCYSDERVYCNHCTTSIIDFHRSCPNCSYELCLSCCREIRKGSLSRRAEKKFWYVDRGSGYMHGGDPLPCHSQNPYDHIEPLVLSWNANEDGSISCPPNEMGGCGDCALELKHILPPRQVAELKRKAAELLEICGTEQASLMCKCNETGKGLLRRAAFREGSEDNYLYCPASKDILEDEKLFHFQKHWAKGEPVIVRDVLEETTHLSWEPMVMWRALCENVDSDISSKMSEVKAIDCLACCEVEINTRQFFKGYMEGRTYHNFWPEMLKLKDWPPSDKFENILPRHCDEFIRALPFQEYSGPNAGILNVAAKFPEEKLKPDLGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTQTADVLLSEAQRSAIEQLKMKHREQDEKEHLEKDKVDNPHIELDQGNDSLKEENDVSEIRGPQPHPSEINEKLKNSEDVLRGAALSGLPSEGETADTAGGGALWDIFRREDVPKLEEYLRKHFKEFRHTFCAPVEQVDHPIHDQCFYLNLEHKRKLKEEFGVEAWTFEQRVGEAVFIPAGCPHQVRNLQSCTKVAVDFVSPENIKECLRLTEEFRQLPMNHRAREDKLEIKKMIIYAIDKAIIDLQELIESRR >Potri.004G006200.5.v4.1 pep chromosome:Pop_tri_v4:4:385930:399772:1 gene:Potri.004G006200.v4.1 transcript:Potri.004G006200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006200.v4.1 MGTRRKASSKKIMLAPDVGFSESDGKLPPMRFETSSKKIKSVSEIELLKSDDEWSPRVRRKSSSKKVKPVTVSEFLQSNVVPKGQQSGLNKRSRSSSVGVDFEGDSEEDLLEAICVVKMRERKRTRNSGRDINERSLKEAREKNIGSVNSSSSSSSASASSSSSVSKRDGYCNGVSAVRNVKVKGQDIKRCHQCMKKERIVVVLCKKCNRVYCIQCIKQWYPEMTEGHFAKRCPFCRKKCNCNVCLHSSGLIKTSKRDITNSEKVQHLHYLIKLLLPFLEQICDEQTEEMQIEAGIRGSPFDIAENFCYSDERVYCNHCTTSIIDFHRSCPNCSYELCLSCCREIRKGSLSRRAEKKFWYVDRGSGYMHGGDPLPCHSQNPYDHIEPLVLSWNANEDGSISCPPNEMGGCGDCALELKHILPPRQVAELKRKAAELLEICGTEQASLMCKCNETGKGLLRRAAFREGSEDNYLYCPASKDILEDEKLFHFQKHWAKGEPVIVRDVLEETTHLSWEPMVMWRALCENVDSDISSKMSEVKAIDCLACCEVEINTRQFFKGYMEGRTYHNFWPEMLKLKDWPPSDKFENILPRHCDEFIRALPFQEYSGPNAGILNVAAKFPEEKLKPDLGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTQTADVLLSEAQRSAIEQLKMKHREQDEKEHLEKDKVDNPHIELDQGNDSLKEENDVSEIRGPQPHPSEINEKLKNSEDVLRGAALSGLPSEGETADTAGGGALWDIFRREDVPKLEEYLRKHFKEFRHTFCAPVEQVDHPIHDQCFYLNLEHKRKLKEEFGVEAWTFEQRVGEAVFIPAGCPHQVRNLQSCTKVAVDFVSPENIKECLRLTEEFRQLPMNHRAREDKLEIKKMIIYAIDKAIIDLQELIESRR >Potri.004G012700.1.v4.1 pep chromosome:Pop_tri_v4:4:786205:787662:1 gene:Potri.004G012700.v4.1 transcript:Potri.004G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012700.v4.1 MWTELSATISSLAVAFFMFEKYLNFFPYTIRWYAERNFRKVVNFVNPYVEISFHEFTSERLKRSDAFFAIQNYLGTISTENARRLKADVVKDSQSVVLSMDANEEVTDVFNGVRVWWASGKIPPQSKSISLFPGSEEKRYYKLTFHKHYREIITKSYVQHVLKKGKEIAVKNRQRMLYTNNPSKDWHGWKSTKWGNIVFEHPSTFDTLAMDTAKKEEIKKDLIKFSKGKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMANLLDYDVYDLELTTIKDNSELRKLLIETKGKSIIVIEDIDCSLDLTGQRKKRKEKDDDEADKEKDPISKMKREAEEESGSGSKVTLSGLLNVIDGIWSACGGERIIIFTTNYVDKLDPALIRRGRMDKHIVMSYCCFEAFKVLAKNYLDIESHELFGKIEELFVETKMSPADVADNLMPKSDEQDEETCLKRLVEALEASKEEARKKSEEEAMLKTKDGVVTEG >Potri.011G042500.1.v4.1 pep chromosome:Pop_tri_v4:11:3309205:3318409:-1 gene:Potri.011G042500.v4.1 transcript:Potri.011G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042500.v4.1 MNSLSLFKRASRCFDDYPSLAKLVVICTISGGCYVAYADANGTGSAPPVLEEIKKKKVVVLGTGWAGTSFLKKLNNPSYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRSIVRKKSIDIRYWEAECFKIEAENKKVHCRPNSESSKNGKEEFVVDYDYLIIAMGARPNTFNTPGVVENCNFLKEVEDAQQIRQSVINSFEKASLPTFSDEERKRILHFVVVGGGPTGVEFAAELHDFVNEDLVKLYPAAKDFVKITLLEASDHILNMFDKRITGFAEEKFQRDGIDVKLGSMVVKVSDKEISTKVRGNDGEITTIPYGMVVWSTGNGTHPVIKDFMQQIGQSNRRALATDEWLRVEGCSTIYALGDCATVNQRKVMEDIAAIFKKADKDNSGTLTVKEFREVIKDICERYPQVELYLKNKKMRDLVDLLKMAKGDVAKEAIELNIEEFKKALSEVDSQMKNLPATAQVAAQQGTYLANCFNRMEEAEKNPEGPIRFREEGRHRFHPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGQSSQWLWYSVYASKLVSWRTRVLVISDWTRRFVFGRDSSGI >Potri.019G086001.1.v4.1 pep chromosome:Pop_tri_v4:19:12534304:12536350:-1 gene:Potri.019G086001.v4.1 transcript:Potri.019G086001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086001.v4.1 MELLLSSSSENISRFIATQGPLPHTYEDFWEMIILHHCPVIVMLTRLVDNYETVKCGDNFQAEDGPRDFGNISIVTKWVKTTDTSLLLRSLDVGYKEAEESPMCVLHIQYPEWPDHGVSTNTNAVREILRRAYHMPPSLGPGNLLDLHNI >Potri.008G028600.1.v4.1 pep chromosome:Pop_tri_v4:8:1505957:1508213:-1 gene:Potri.008G028600.v4.1 transcript:Potri.008G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028600.v4.1 MAAKLVTLPYFASVVLTCLLVVSVSAIPSKKINSLKLIKQVNSKGPYIGLLTVFPPEENAFLGTADFKPNPEHPFVNLSGRRYRVGTIYGKKVIYVRCGIGMVNAAAVTQQMLDLFDVAGIVHFGISGNINNSMSIGDVSIPKQFANTGLWNWLNPKGTVDPDDVAQLEVGKYNVPKGDGVNLLGKLSYSPEQLFSVSREPNDATTLFWAGVSQHWLKLASSLEGMELEKCVNSSLCLPQKPKLVVGLKGSTADIFVDNAAYRDFLYKAFGVSSADMESSAVVMTCLSNGIPVIVIRGMSDLAGGQSGENAMDTYGSLAALNTAKAVLKFISKLPGYNSR >Potri.010G136100.1.v4.1 pep chromosome:Pop_tri_v4:10:15119869:15124402:1 gene:Potri.010G136100.v4.1 transcript:Potri.010G136100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136100.v4.1 MDMSDKDKFELGKSNDNPINYHSPGGLSSDWRFNSTSIPNSSLGLVPIDNQMSVCRGDLVGAASCSSASVIDSFGPAMWEHPTNSQNLVFCDINVQNIASSSNTVGIGKGAPASLRNGIDRTLEMGWNPPNSMLKGGIFLPNAPGVLPQSLSQFPADSAFIERAARFSCFNGGDFGDMVNPFGVPESMGLFSRGGGMMQGPGEVFVGSGMKSVSGGQAQKNVMNAGEASKDVSMSVDHMATEGSPLKNETKRESLARSRDEAKKGVGGSGNDSDEAEFSGGSGQDEPSLLEGNCGELSAKSLGSKKRKRSGEDAELDQAKGTPQSAKGSPETQQKGDQKPTSTTSKASGKQGKQGSQGSDQPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDFNIEGLLAKDILQSRAVPPSSLAFSSEMPMAYPALHQSQPGLIPTAFPGMESHSDIIRRTINSQLTAMTAGFKEPAQLPNVWDDELHNVVQMTYGTSAPQDSQDVNEPLPPGHLKVEL >Potri.018G132700.2.v4.1 pep chromosome:Pop_tri_v4:18:14222128:14228194:-1 gene:Potri.018G132700.v4.1 transcript:Potri.018G132700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132700.v4.1 MEYESSSSIWDGVYYYPHLFGGLMLTAALLGLSTSYFGGLGFSSLPYMWSDLGIFHKKKCQKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIVANKGPPVLSMEERLALVSGLKWVDEVIADAPYAITEKFMNSLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSLKDTKACENHDDSSSLPGIALKGSQSNSAHISQFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIHTDQIVSEHRGKGHPIMHLHERSLSVLACRYVDEVIIGAPWEVTKDMVTTFNITLVVHGTVAENNSLLAGEPDPYAVPKSMRIFRMLDSPKTITTTSVAQRIVANHEAYVKRNAKKAENEKKYYAEKVYVSGD >Potri.018G132700.3.v4.1 pep chromosome:Pop_tri_v4:18:14222119:14228030:-1 gene:Potri.018G132700.v4.1 transcript:Potri.018G132700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132700.v4.1 MEYESSSSIWDGVYYYPHLFGGLMLTAALLGLSTSYFGGLGFSSLPYMWSDLGIFHKKKCQKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIVANKGPPVLSMEERLALVSGLKWVDEVIADAPYAITEKFMNSLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSLKDTKACENHDDSSSLPGIALKGSQSNSAHISQFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIHTDQIVSEHRGKGHPIMHLHERSLSVLACRYVDEVIIGAPWEVTKDMVTTFNITLVVHGTVAENNSLLAGEPDPYAVPKSMRIFRMLDSPKTITTTSVAQRIVANHEAYVKRNAKKAENEKKYYAEKVYVSGD >Potri.018G132700.4.v4.1 pep chromosome:Pop_tri_v4:18:14222250:14227980:-1 gene:Potri.018G132700.v4.1 transcript:Potri.018G132700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132700.v4.1 MEYESSSSIWDGVYYYPHLFGGLMLTAALLGLSTSYFGGLGFSSLPYMWSDLGIFHKKKCQKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIVANKGPPVLSMEERLALVSGLKWVDEVIADAPYAITEKFMNSLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRILSSLKDTKACENHDDSSSLPGIALKGSQSNSAHISQFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIHTDQIVSEHRGKGHPIMHLHERSLSVLACRYVDEVIIGAPWEVTKDMVTTFNITLVVHGTVAENNSLLAGEPDPYAVPKSMRIFRMLDSPKTITTTSVAQRIVANHEAYKRNAKKAENEKKYYAEKVYVSGD >Potri.010G215700.1.v4.1 pep chromosome:Pop_tri_v4:10:20292423:20297067:-1 gene:Potri.010G215700.v4.1 transcript:Potri.010G215700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215700.v4.1 MIDPHSFTDSTHPLTTHVSLTLYFHFPSSTIHATALLTLQTPHTGPLSLDTRSLTIHKILDPATLAPLPFTLSSSEHPIKGRLLTVYLDNNSSVLILYSTSPSSSALQWLSPPQTFNKTHPFVYTQCHPVHARSVFPCQDTPAARVCYSAKLNLPRELSAVMSARHCDCHDPVGTDLDGVLTNEESGFGFDLKSLWCEEGRVVEEFVMEQPIPPYLFAFAVGELGFREVGPRTRVYSEAVDGVLDAAAREFAGTEEMIRQAERLFGEYDWERFDLLVLPPSFPYAGMENPRMVFLTPTVIKGDASGARLVAHELAHSWTGNLITNKNNEHFWLNEGFTTYAERRIVEVVQGEDIAALNIGIGWRGLNEEMERFKDNMEFTKLKNNQEGVDPDDMYSRVPYEKGFQFLWRIEHQIGRSAFDEFLKKYIATFKFKSIDTETFLDFLKANVHGIEKDIDLQLWTEGTGIPPDAHEPVSNLYIKITSLAKDFKLGRMPREDEVANWKGQEWELYLKNLPRAVEASQVLALDARYRLSESKDYEVKVAFLQLAISSRCRDYYGEVEKTLKEVGRMSFLRLLYSGLVEGPGNEEEKILAKRVFAEARECYHPIAQGIVEAIFAKHV >Potri.001G464900.1.v4.1 pep chromosome:Pop_tri_v4:1:49037983:49039104:1 gene:Potri.001G464900.v4.1 transcript:Potri.001G464900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464900.v4.1 MASTPLRQQLSIMRQSFFDEGLLDQGQVSYLETLENEDDPDFIENVFTMFLRDSSRYIASIEKALETTPVDRLVMERMMYRLKGSSASIGASKINDENNKLRKLFHEGDLESGKAALQKLKAEHVNFKEKLSVYVGMLKQAKLSEY >Potri.001G213600.2.v4.1 pep chromosome:Pop_tri_v4:1:22054424:22060279:1 gene:Potri.001G213600.v4.1 transcript:Potri.001G213600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213600.v4.1 MGPLFPAGILIAVVVFHATVVLSSFPATLHLERGVPASHKLKLSQLKERDRVRHSRMLQSSGGGVVDFPVQGTFDPFLVGLYYTRLQLGSPPRDFYVQIDTGSDVLWVSCSSCNGCPVSSGLHIPLNFFDPGSSPTASLISCSDQRCSLGLQSSDSVCAAQNNQCGYTFQYGDGSGTSGYYVSDLLHFDTILGGSVMKNSSAPIVFGCSTLQTGDLTKPDRAVDGIFGFGQQDMSVISQLASQGITPRVFSHCLKGDDSGGGILVLGEIVEPNIVYTPLVPSQPHYNLNLQSIYVNGQTLAIDPSVFATSSNQGTIIDSGTTLAYLTEAAYDPFISAITSTVSPSVSPYLSKGNQCYLTSSSINDVFPQVSLNFAGGTSMILIPQDYLIQQSSINGAALWCVGFQKIQGQEITILGDLVLKDKIFVYDIAGQRIGWANYDCSMSVNVSTAMNTGKSEYVNPGTLINNGSPENMPHKLIPVTMIPFLLHVLLLSCYLFL >Potri.001G213600.4.v4.1 pep chromosome:Pop_tri_v4:1:22054518:22060319:1 gene:Potri.001G213600.v4.1 transcript:Potri.001G213600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213600.v4.1 MGPLFPAGILIAVVVFHATVVLSSFPATLHLERGVPASHKLKLSQLKERDRVRHSRMLQSSGGGVVDFPVQGTFDPFLVGLYYTRLQLGSPPRDFYVQIDTGSDVLWVSCSSCNGCPVSSGLHIPLNFFDPGSSPTASLISCSDQRCSLGLQSSDSVCAAQNNQCGYTFQYGDGSGTSGYYVSDLLHFDTILGGSVMKNSSAPIVFGCSTLQTGDLTKPDRAVDGIFGFGQQDMSVISQLASQGITPRVFSHCLKGDDSGGGILVLGEIVEPNIVYTPLVPSQPHYNLNLQSIYVNGQTLAIDPSVFATSSNQGTIIDSGTTLAYLTEAAYDPFISAITSTVSPSVSPYLSKGNQCYLTSSSINDVFPQVSLNFAGGTSMILIPQDYLIQQSSINGAALWCVGFQKIQGQEITILGGIDTERSSFFLLIPWNYLLVKII >Potri.018G016400.2.v4.1 pep chromosome:Pop_tri_v4:18:1122075:1123801:-1 gene:Potri.018G016400.v4.1 transcript:Potri.018G016400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016400.v4.1 MDNNMSCIRRAGVGVVVVPHGTSSRSLRMVRGVVVVSLGSSSRSSRRGCGVVRASMVDSYESYSDFVKRMEQAWLISQQPRPVACTSCDSNGQVECQWCRGTGFFILGDNMLCQVPSRNTTCVICAGKGSMCCSDCKGTGFRARWLGKPPISK >Potri.019G017082.1.v4.1 pep chromosome:Pop_tri_v4:19:271407:276038:-1 gene:Potri.019G017082.v4.1 transcript:Potri.019G017082.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017082.v4.1 MLKQKRKQSKDEDNDSSSRKRRKADLSKPVSFVSTATMTEPGSSRSSPEGAYDVFLSFRGEDTRKTFTDHLYAALDQAGIRAFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILECKKRKTGQIVLPIFYDIDPSDVRKQNGSFAEAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLDVPELLVGMDRLSRNIFDFLSTATHDVRIVGIHGMPGIGKTTIAKVVFNQLRYRFEGSCFFSNINETSKQFNGLALLQEQLLHDILKQDVANINCVDRGKVLIKERLRRKRVLVVADDVTRQDQLNALMGERGWFGPGSRVIITTRDSSFLHKADQTYQIEELKPDESFQLFSWHALRDTKPAEDYIELSKDVVDYCGGIPLALEVMGACLSGKNRDGWKSVIDKLRRIPNRDIQGKLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLQTLHERSLIKVLGETVTMHDLLRDMGREVVREKSPKQPGERTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSAGSFAEMKCLNLLQINGVHLTGSFKLLSKELMWICWLQCPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILNRLKILNLSHSQHLIKTPNLHSSSLEKLILKGCSSLVEVHQSIENLTSLVFLNLKGCWRLKNLPERIGNVKSLKTLNISGCSQLEKLPERMGDMESLTKLLADGIENEQFLSSIGQLKHCRRLSLHGDSSTPPSSSLISTGVLNWKRWLPASFIEWISVKHLELSNSGLSDRATNCVDFSGLSALEKLDLTGNKFSRLPSGIGFLPKLTYLSVEGCKYLVSIPDLPSSLGHLFACDCKSLKRVRIPSEPIKGLFIKLDDSHSLEEFQGIEDLSNCFWYIRVDDRSHSPSKLLKSVVELMCNGRHGYFIRHTPSEMPNWMSYRGEGCSLSFHIPPVFQGLVVWFDKDDHYYFHTDIIIIIRNKSNGIQLFEEERTVPENENNTSKLVERTVSERTVPPN >Potri.019G017082.2.v4.1 pep chromosome:Pop_tri_v4:19:271407:276038:-1 gene:Potri.019G017082.v4.1 transcript:Potri.019G017082.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017082.v4.1 MTEPGSSRSSPEGAYDVFLSFRGEDTRKTFTDHLYAALDQAGIRAFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILECKKRKTGQIVLPIFYDIDPSDVRKQNGSFAEAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLDVPELLVGMDRLSRNIFDFLSTATHDVRIVGIHGMPGIGKTTIAKVVFNQLRYRFEGSCFFSNINETSKQFNGLALLQEQLLHDILKQDVANINCVDRGKVLIKERLRRKRVLVVADDVTRQDQLNALMGERGWFGPGSRVIITTRDSSFLHKADQTYQIEELKPDESFQLFSWHALRDTKPAEDYIELSKDVVDYCGGIPLALEVMGACLSGKNRDGWKSVIDKLRRIPNRDIQGKLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLQTLHERSLIKVLGETVTMHDLLRDMGREVVREKSPKQPGERTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSAGSFAEMKCLNLLQINGVHLTGSFKLLSKELMWICWLQCPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILNRLKILNLSHSQHLIKTPNLHSSSLEKLILKGCSSLVEVHQSIENLTSLVFLNLKGCWRLKNLPERIGNVKSLKTLNISGCSQLEKLPERMGDMESLTKLLADGIENEQFLSSIGQLKHCRRLSLHGDSSTPPSSSLISTGVLNWKRWLPASFIEWISVKHLELSNSGLSDRATNCVDFSGLSALEKLDLTGNKFSRLPSGIGFLPKLTYLSVEGCKYLVSIPDLPSSLGHLFACDCKSLKRVRIPSEPIKGLFIKLDDSHSLEEFQGIEDLSNCFWYIRVDDRSHSPSKLLKSVVELMCNGRHGYFIRHTPSEMPNWMSYRGEGCSLSFHIPPVFQGLVVWFDKDDHYYFHTDIIIIIRNKSNGIQLFEEERTVPENENNTSKLVERTVSERTVPPN >Potri.019G017082.3.v4.1 pep chromosome:Pop_tri_v4:19:271407:275837:-1 gene:Potri.019G017082.v4.1 transcript:Potri.019G017082.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017082.v4.1 MTEPGSSRSSPEGAYDVFLSFRGEDTRKTFTDHLYAALDQAGIRAFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILECKKRKTGQIVLPIFYDIDPSDVRKQNGSFAEAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLDVPELLVGMDRLSRNIFDFLSTATHDVRIVGIHGMPGIGKTTIAKVVFNQLRYRFEGSCFFSNINETSKQFNGLALLQEQLLHDILKQDVANINCVDRGKVLIKERLRRKRVLVVADDVTRQDQLNALMGERGWFGPGSRVIITTRDSSFLHKADQTYQIEELKPDESFQLFSWHALRDTKPAEDYIELSKDVVDYCGGIPLALEVMGACLSGKNRDGWKSVIDKLRRIPNRDIQGKLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLQTLHERSLIKVLGETVTMHDLLRDMGREVVREKSPKQPGERTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSAGSFAEMKCLNLLQINGVHLTGSFKLLSKELMWICWLQCPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKGCWRLKNLPERIGNVKSLKTLNISGCSQLEKLPERMGDMESLTKLLADGIENEQFLSSIGQLKHCRRLSLHGDSSTPPSSSLISTGVLNWKRWLPASFIEWISVKHLELSNSGLSDRATNCVDFSGLSALEKLDLTGNKFSRLPSGIGFLPKLTYLSVEGCKYLVSIPDLPSSLGHLFACDCKSLKRVRIPSEPIKGLFIKLDDSHSLEEFQGIEDLSNCFWYIRVDDRSHSPSKLLKSVVELMCNGRHGYFIRHTPSEMPNWMSYRGEGCSLSFHIPPVFQGLVVWFDKDDHYYFHTDIIIIIRNKSNGIQLFEEERTVPENENNTSKLVERTVSERTVPPN >Potri.002G146675.1.v4.1 pep chromosome:Pop_tri_v4:2:11044225:11045089:-1 gene:Potri.002G146675.v4.1 transcript:Potri.002G146675.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146675.v4.1 MLVINGTSSVTNDGQRAAEIISLYFGDEQLSHSRRAMPFNPRDHRYSQSQSMMMTSRQNGAVVSFVNGLTDGTVTGPVKPLFQEITKDVYRTEAKIVDMVTQAYAKFLNHINFCSLKNHYHARVSLRLDEALPRSLDLPTEFSVGICTTIPLVKN >Potri.001G065600.1.v4.1 pep chromosome:Pop_tri_v4:1:4906075:4913181:1 gene:Potri.001G065600.v4.1 transcript:Potri.001G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065600.v4.1 MGKNETAGGGRSSGIEDVEEGEISDTASVEEISEEDFNKQEVVIVKETPSSNNSSQKVWTVRDLYKYQVGGGYMSGLYNLAWARAVQNKPLNELFVEVELDDSSKKSSINSSEEDKRTVVIDDSGDEMDVVKVIDIEKEEGELEEGEIDLDSEPVVVQSEGMVSVDVENRVKSIRKDLESVSVIETEKSFEAVCLKLHKVLESLKELVGGNDNSFPSKDGLVQLLFMAIRVVNSVFCSMNKKLKEQNKGVFSRFFSLLNSHYPPFFSPGQNKEIELMVSSTGSNYILSSSRTGEDRETQVSGEVNENHNDSLAKTAGYDLTTMSEKLPAAETFVQNKPNKSIEAPKPPGVPSFKSRGVLLPLLDLKKYHDEDSLPSPTQETTPFPVQRLLAIGDGMVSSGLPVPKVTPVAEEPRMHPYETDALKAVSSYQQKFNRNSFFTNELPSPTPSEESGNGDGDTAGEVSSSSTVVNYRTVNPPVSDQKNAPPSPPPLPPPPPHPDSSNIRGVVPTRNSAPVSSGPSSTIKASAKSRDPRLRYVNIDACALDHNQRALPMVNNLPRVEPAGAIVGSKKHKIEEDVLDDPSLKRQRNSFDNYGAVRDIESMTGTGGWLEDTDMAEPQTVNKNQWAENVEPGQRINNGFVCPSSGNVKSNVNGSGNAQSPFMGISNITGSEQAQVTSTATTSLPDLLKDIAVNPTMLINILKMGQQQRLALDGQQTLSDPAKSTSHPPISNTVLGAIPTVNVASSQPSGIFPRPAGTPVPSQIATSDESGKIRMKPRDPRRFLHNNSLQRAGSMGSEQFKTTTLTPTTQGTKDDQNVQKQEGLAELKPTVPPDISFPFTKSLENIADILSVSQASTTPPFISQNVASQPMQTKSERVDGKTGISISDQKTGPASSPEVVAASSHSQNTWKDVEHLFEGYDDQQKAAIQRERARRLEEQKKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPYRHIFRIPHMGMWTKLRPGIWNFLEKASKLFELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDGDPFDGDERVPKSKDLEGVLGMESGVVIIDDSVRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSFAVIEKIHQNFFTHRSLDEADVRNILASEQRKILGGCRILFSRVFPVGEVNPHLHPLWQMAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRIVVHPGWVEASALLYRRANEQDFSIKP >Potri.001G065600.3.v4.1 pep chromosome:Pop_tri_v4:1:4908078:4913289:1 gene:Potri.001G065600.v4.1 transcript:Potri.001G065600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065600.v4.1 MVSSGLPVPKVTPVAEEPRMHPYETDALKAVSSYQQKFNRNSFFTNELPSPTPSEESGNGDGDTAGEVSSSSTVVNYRTVNPPVSDQKNAPPSPPPLPPPPPHPDSSNIRGVVPTRNSAPVSSGPSSTIKASAKSRDPRLRYVNIDACALDHNQRALPMVNNLPRVEPAGAIVGSKKHKIEEDVLDDPSLKRQRNSFDNYGAVRDIESMTGTGGWLEDTDMAEPQTVNKNQWAENVEPGQRINNGFVCPSSGNVKSNVNGSGNAQSPFMGISNITGSEQAQVTSTATTSLPDLLKDIAVNPTMLINILKMGQQQRLALDGQQTLSDPAKSTSHPPISNTVLGAIPTVNVASSQPSGIFPRPAGTPVPSQIATSDESGKIRMKPRDPRRFLHNNSLQRAGSMGSEQFKTTTLTPTTQGTKDDQNVQKQEGLAELKPTVPPDISFPFTKSLENIADILSVSQASTTPPFISQNVASQPMQTKSERVDGKTGISISDQKTGPASSPEVVAASSHSQNTWKDVEHLFEGYDDQQKAAIQRERARRLEEQKKMFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPYRHIFRIPHMGMWTKLRPGIWNFLEKASKLFELHLYTMGNKLYATEMAKVLDPKGVLFAGRVISRGDDGDPFDGDERVPKSKDLEGVLGMESGVVIIDDSVRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSFAVIEKIHQNFFTHRSLDEADVRNILASEQRKILGGCRILFSRVFPVGEVNPHLHPLWQMAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRIVVHPGWVEASALLYRRANEQDFSIKP >Potri.011G163600.10.v4.1 pep chromosome:Pop_tri_v4:11:18858925:18864180:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSEACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVIEHMEEDQACRFGDIALSYFRPKVLIVSTPNYEYNVILQRSSPVTQEEYPDEKSQSESCKFRNHDHKFEWTREQFNHWASELAKKHNYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLLTKQNSSQHCKVVWNWNCADRSVVGTLSNK >Potri.011G163600.7.v4.1 pep chromosome:Pop_tri_v4:11:18858962:18866022:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MEIGVKKTIFSPKAIINQKFGSKACYKVEEVQEESTQNGFPGLAIPQKAPLFRCQLELPEFTVVSDICRKKKDAEQSAADLALKRLGHHSVAENPSDKDPCDALIDQIKYLFSDEFSLPLHPLRGHLRAALLRRGDLYGLVPASVITTCDTKTSNLCKLLNPEVELKPFLALSLIMRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVIEHMEEDQACRFGDIALSYFRPKVLIVSTPNYEYNVILQRSSPVTQEEYPDEKSQSESCKFRNHDHKFEWTREQFNHWASELAKKHNYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLLTKQNSSQHCKVVWNWNCADRSVVGTLSNK >Potri.011G163600.6.v4.1 pep chromosome:Pop_tri_v4:11:18858860:18866065:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MEIGVKKTIFSPKAIINQKFGSKACYKVEEVQEESTQNGFPGLAIPQKAPLFRCQLELPEFTVVSDICRKKKDAEQSAADLALKRLGHHSVAENPSDKDPCDALIDQIKYLFSDEFSLPLHPLRGHLRAALLRRGDLYGLVPASVITTCDTKTSNLCKLLNPEVELKPFLALSLIMRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSEACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVIEHMEEDQACRFGDIALSYFRPKVLIVSTPNYEYNVILQRSSPVTQEEYPDEKSQSESCKFRNHDHKFEWTREQFNHWASELAKKHNYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLLTKQNSSQHCKVVWNWNCADRSVVGTLSNK >Potri.011G163600.8.v4.1 pep chromosome:Pop_tri_v4:11:18858925:18866657:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MRGCDFYENFWRLLMEIGVKKTIFSPKAIINQKFGSKACYKVEEVQEESTQNGFPGLAIPQKAPLFRCQLELPEFTVVSDICRKKKDAEQSAADLALKRLGHHSVAENPSDKDPCDALIDQIKYLFSDEFSLPLHPLRGHLRAALLRRGDLYGLVPASVITTCDTKTSNLCKLLNPEVELKPFLALSLIMRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSEACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVFIFLL >Potri.011G163600.11.v4.1 pep chromosome:Pop_tri_v4:11:18858924:18864180:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVIEHMEEDQACRFGDIALSYFRPKVLIVSTPNYEYNVILQRSSPVTQEEYPDEKSQSESCKFRNHDHKFEWTREQFNHWASELAKKHNYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLLTKQNSSQHCKVVWNWNCADRSVVGTLSNK >Potri.011G163600.4.v4.1 pep chromosome:Pop_tri_v4:11:18858964:18866423:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MRGCDFYENFWRLLMEIGVKKTIFSPKAIINQKFGSKACYKVEEVQEESTQNGFPGLAIPQKAPLFRCQLELPEFTVVSDICRKKKDAEQSAADLALKRLGHHSVAENPSDKDPCDALIDQIKYLFSDEFSLPLHPLRGHLRAALLRRGDLYGLVPASVITTCDTKTSNLCKLLNPEVELKPFLALSLIMRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSEACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVIEHMEEDQACRFGDIALSYFRPKVLIVSTPNYEYNVILQRSSPVTQEEYPDEKSQSESCKFRNHDHKFEWTREQFNHWASELAKKHNYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLLTKQNSSQHCKVVWNWNCADRSVVGTLSNK >Potri.011G163600.5.v4.1 pep chromosome:Pop_tri_v4:11:18858995:18866337:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MRGCDFYENFWRLLMEIGVKKTIFSPKAIINQKFGSKACYKVEEVQEESTQNGFPGLAIPQKAPLFRCQLELPEFTVVSDICRKKKDAEQSAADLALKRLGHHSVAENPSDKDPCDALIDQIKYLFSDEFSLPLHPLRGHLRAALLRRGDLYGLVPASVITTCDTKTSNLCKLLNPEVELKPFLALSLIMRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVIEHMEEDQACRFGDIALSYFRPKVLIVSTPNYEYNVILQRSSPVTQEEYPDEKSQSESCKFRNHDHKFEWTREQFNHWASELAKKHNYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLLTKQNSSQHCKVVWNWNCADRSVVGTLSNK >Potri.011G163600.1.v4.1 pep chromosome:Pop_tri_v4:11:18858768:18866022:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MEIGVKKTIFSPKAIINQKFGSKACYKVEEVQEESTQNGFPGLAIPQKAPLFRCQLELPEFTVVSDICRKKKDAEQSAADLALKRLGHHSVAENPSDKDPCDALIDQIKYLFSDEFSLPLHPLRGHLRAALLRRGDLYGLVPASVITTCDTKTSNLCKLLNPEVELKPFLALSLIMRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSEACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVIEHMEEDQACRFGDIALSYFRPKVLIVSTPNYEYNVILQRSSPVTQEEYPDEKSQSESCKFRNHDHKFEWTREQFNHWASELAKKHNYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLLTKQNSSQHCKVVWNWNCADRSVVGTLSNK >Potri.011G163600.9.v4.1 pep chromosome:Pop_tri_v4:11:18859862:18865631:-1 gene:Potri.011G163600.v4.1 transcript:Potri.011G163600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163600.v4.1 MEIGVKKTIFSPKAIINQKFGSKACYKVEEVQEESTQNGFPGLAIPQKAPLFRCQLELPEFTVVSDICRKKKDAEQSAADLALKRLGHHSVAENPSDKDPCDALIDQIKYLFSDEFSLPLHPLRGHLRAALLRRGDLYGLVPASVITTCDTKTSNLCKLLNPEVELKPFLALSLIMRTIPRLSGCVTSKGQLSIQKQNPYPTEIIESSDIQQSDSPESILVKAIQIPASLDKTVQPVTLNISSAGYYLDVIAEQLGVTDASKVLLSRTIGKASSETRLYFAASESLVMDLLSDLANVKDFHVEGPPNARASYFCGQGIYGDAIMASIGYTWRSKELFHEHVSLQSYYRMLISKIPSGNYKLSREAILAAELPSVFTTKANWRGSFPREILFAFCHQHRLSEPIFSTTSVPLKASCELLRSQKKLKVTEVAGLATEYANGGGLNAGDGESVGLESNFRCEVKVFSKGRDLIIECSPKEIYRKQTDATHSASLKVLSWLNAYFKDLGMPLEKLNCSADALDISFSLENFHKEFALSQSIHNVQQSGTQGSKLPESKSTDMQYTLSGQDVCLPNIEGSDSGVFPSNGSLLCISYSVSLVTEGGHTKELIESKDEFEFEMGAGAVISALEAVVTQMSAGQCAHFNMNLPPQEFILAAVDDPGRIHSLLSSACWLEYHVTLLRVTKPPEERMEQALFSPPLSKQRVEYAVQHIKKSCAATLVDFGCGSGSLLDSLLDYPTSLEKIVGVDISQKSLGRAAKVLHAKLSSKSDAGIKSAILYDGSITEFEPQLCGFDIGTCLEVFIFLL >Potri.001G008800.3.v4.1 pep chromosome:Pop_tri_v4:1:592252:600100:-1 gene:Potri.001G008800.v4.1 transcript:Potri.001G008800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008800.v4.1 MESSESEEPEKKRTHLNSPLSPTMARNSSTSPPDNKSVDVTVLQCQNQKLVQQLDVQKHEFHGLEAKIKELKDKQASYDGMLITVNKLWNQLVDDLVLLGIRAGGGQDFLQILDHADHSGGSIPPCPAEQIFLCRLLKTDSIQSNGNDGIVRSVEEALASRHSSTMELMKFLEDTIDAQRAKTESIVENLNGKLYTEDAIIQLSKIDDMMKDEAKNLREVIDVLHSKHKEYSDEIQTCISNHSTDQSEIKRVAGDLEEIMAELEESRRKLVNLKMQKDAAVGIHMPAPSAVNGNLSPEKTADRSKRLRELRDSLDETKILAADRLSELEDARDENQTLSKELEDLENELKDDKHIYSSRLYSLVDDQLQHWNDEVERYKTLTDSLQADRSFVVRREKEVKAKVESADAARNTMDTAVPRIEELELKLRKCIIEKNDLEIKMEEAVQDSGRKDIKEEFRVMASALSKEMGMMEAQLNRWKQTAHEAVSLREESKSLKALLNEKTNEQKCLAGKCAEQVADIKSLKTLIEKLQKEKQELQIVLDMYGQEGYDNRNLNEIKESERRARTQAEVLKSALDEHSLELRVKAANEAEAACQQRLSATEAEIAELRAKLDASERDVSELKEAIKSKDKEAEAYISEIENIGQAYEDMQTQNQHLLQQVGERDDYNIKLVSESVKTKQTQNFLLSEKQALAKHLQQVNVSVESLKLRIAQSEEQMKHCLIEAVRSTEEDRHLAINLESARWELMDAEKELKWLKYAVSSSEKEYEQVQKKINEIQTELDSERSERRRLEEELMEVNNKVAELTSETGAAAIQRLQDEIKDCKSILKCSVCSDRPKEVVIVKCYHLFCNPCIQRNLEIRHRKCPGCGTAFGQNDVRFVKI >Potri.006G189000.1.v4.1 pep chromosome:Pop_tri_v4:6:19619014:19623015:-1 gene:Potri.006G189000.v4.1 transcript:Potri.006G189000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189000.v4.1 MEIFHCLYFRILLTFTFIVAVVVATNPYSEALLSLKSELIDDSNSLDDWSVPPGGQTGERVQACSWSGVRCNNNSTVVIALDLSMKNLGGELSGKQFSVFTELVDLNFSYNSFSGQLPVGIFNLTNLKILDISRNNFSGQFPEGISGLRNLVVLDAFSNSFSGPLPVEVSQLDYLKILNLAGSYFDGPIPSKYGSFKSLEFIHLAGNFLGGTIPPELGQLKTVTHMEIGYNSYEGSVPWQLSNMSELQYLDIASANLSGPIPKQLSNLTKLESLFLFRNQLTGSVPWEFGKIVPLASLDLSDNHLSGPIPESFAELKNLKLLSLMYNEMNGTVPQGIGQLPSLETFLIWNNFFSGSLPRDLGRNLKLKWVDVSTNNFIGSIPPDICAGGLVKLILFSNNFTGKLSPSISNCSSLVRLRIEDNSFSGEIPLKFSQLPDITYVDLSGNEFSGGIPTDISQASNLRYFNISNNPGLGGMIPAKTWSSPLLQNFSASACNISGNLPPFHSCKSVSVIELHTNNLAGSVPGSVSDCQALRKMDLAFNKFTGHIPEDLASLPGLSVLDLSHNNFSGPIPAKFGASSSLVLLNVSFNDISGSIPSNNVFRLMGSNAYEGNPKLCGAPLKPCSASIAIFGGKGTRKLTWVLLLCAGLVVLIVASILGIFYIRRGSKGQWKMVSFSGLPRFTANDVLRSFSSTESMEAVPAESSSVCKAVLPTGITVSVKKIELETKRMKKATEFMTRLGVARHKNLIRLLGFCYNKQLAYVLHDYQPNGNLAEKISLKRDWMAKYKLVIGIARGLCFLHHDCYPAIPHGDLKLSNILFDENMEPHLAEFGFKYLVEMTKGSSPATISMRETGELNSAIKEELCMDTYKFGEIVLEILTNGRLANAGGSIQSKPKEVLLREIYSANQTGSADAMQEEIKLVFEVALLCMRSRPSDRPSMEDALKLLSGVKSEVN >Potri.006G242200.4.v4.1 pep chromosome:Pop_tri_v4:6:24378568:24382671:-1 gene:Potri.006G242200.v4.1 transcript:Potri.006G242200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242200.v4.1 MMAAAAAAVRLGGSETFFIGSQTQRCQMKMMGMLLLLSPTTSSLLSSNHSSSISSSSSSSRDKHKHDDASSFRNIDDALASFNHMLHREPLPCIIQFTKLLSAIVKMGQYYGAVISLSKQMELAGLSPDIYTLSILIDCFSHLQRVDLAFSVFSKMIKLGLQPDAVTLNTLINGLCKVGKFAQAVEFFDDFEASGCQPTVYTYTTIINGLCKIGETTAAAGLFKKMEEAGCQPNVVTYNILIDSLCKDKLVNEALDIFSYMKAKRISPDIFTYNSLIQGLCNFRRWKEASALLNEMTSLNIMPNIFTFNVLVDAICKEGKVSEAQGVFKTMTEMGVEPDVVTYSSLMYGYSLRMEIVEARKLFDAMITKGCKPDAFSYNILIKGYCKAKRIDEAKQLFNEMIHQGLTPDNVNYNTLIHGLCQLGRLREAQDLFKNMHSNGNLPDLFTYSMLLDGFCKEGYLGKAFRLFRVMQSTYLKPDIAMYNILIDAMCKFGNLKDARKLFSELFVQGLLPNVQIYTTIINNLCKEGLLDEALEAFRNMEGDGCPPDEFSYNVIIRGFLQHKDESRAAQLIGEMRDRGFVAEAGTTTW >Potri.017G107400.1.v4.1 pep chromosome:Pop_tri_v4:17:11632554:11633711:-1 gene:Potri.017G107400.v4.1 transcript:Potri.017G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107400.v4.1 MRPAFNSTAPAVHAGYQDRNSPIPYLFSSIGLMFGLVAVALMILACSYRKSSSTSATDPEVQEKSANQVEMKAEMEPKIVVIMAGDDRPTYLANPVSCNCQSDEQV >Potri.011G167900.1.v4.1 pep chromosome:Pop_tri_v4:11:19127881:19130227:1 gene:Potri.011G167900.v4.1 transcript:Potri.011G167900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167900.v4.1 MAEMKDAHIVEIPVDEEHQQKLICAMNTITAIQNHPLAEISNCPGHLLLLKLWQREENLFGRRIALKESRMVSIKREIFHLCCFFLVFHGIFLTILFTSSVDSKEHTCKNWWIPSLVSVCTSLVFVSLVQVKICSYWKVSRQLQREKNNDRALTKCIQELRMKGASFDLSKEPVSGKRMKSSSVEIKWKPFTWCSQYLITICLVSFSCLVFPASKFILCGF >Potri.018G015000.1.v4.1 pep chromosome:Pop_tri_v4:18:1052438:1052629:-1 gene:Potri.018G015000.v4.1 transcript:Potri.018G015000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G015000.v4.1 MSGNNKSSGTSGAGAGKAPSSGGTGSGSSKGGGSMKAPGQDFRISRDGFEKNPAGYFRDLHKK >Potri.005G237700.1.v4.1 pep chromosome:Pop_tri_v4:5:23569772:23573200:-1 gene:Potri.005G237700.v4.1 transcript:Potri.005G237700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9B2 MGVKPSSRGCFGWFIVAVILALIIGAIVYTVKKKSEKSEGPAPIPGPPGATDKKYADALKIATQFFDIQKSGKLVDKKISWRGDSALRDGSQAKLDLSKGMYDAGDHMKFGLPMAFTATVLSWAILEYGDQMDVVNQFEPAKDSLKWITDYLINAHPEANVLYIQVGDPDTDHGCWDRPEDMTEKRPLTQVNTSFPGTDVAAETAAALASASLVFKSDSTYSSTLLRHAKELFTFADKYRGLYSESIPEVATYYNSTGYGDELLWAASWLYHATGDKSYLQYVTGQNGKLFAQWGSPTWFSWDNKLAGAQVLLSRLTFLGNKDTSNSGLQMYRKTAEAVMCGLIPDSPTATKSRTDGGLIWVSQWNALQHPVASAFLAALYSDYMLSSGTAKLSCNGDSYKPSELRKFAKSQADYVLGNNPMKMSYLVGYGEKYPQYVHHRGASIPTGAKTGCKDGWTWLESKEPNPNEATGALVGGPFLNETFVSNDRNNSMQAEPSTYNSALIVGLLSGLVTTSSVVQSFT >Potri.008G113000.1.v4.1 pep chromosome:Pop_tri_v4:8:7192595:7195534:1 gene:Potri.008G113000.v4.1 transcript:Potri.008G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113000.v4.1 MASFSVEDFVGNGVLKDLLPTLLKEGWDDVPTLKIMNKEDTDAMNMTQQQKDALEIRSYLHDRALLQYGDKLEASGKCLPELLSISTVDLSSQFGMKRGHIARFVDRTSACADPLLKSYAPLTARNRNSIVSRNNSNFKSYASVNSRKIQASSSMNHDKPLEQSLADFKIKDDHIFKGIVAAGPAEPRACGCVQPPPVVDSVAPYSAIENISVQKLTPEYKIGMERLVKTKTPPMKASELWRDKPAVLLCIRRPGCIMCRAEAHQLYAKKPIFDALGIRLFAVLHEHIESEVKNFWPRYWGGVVLFDRSMKFFKALGGGQLLKDSFISGFIFNPRAIANYKRAKATGSEQNFKGEGEIKGGLFIVGREKSGIAYQFIERNFGDWAPLAEVIDICSKLQNQQQSQEESIKTSQQYE >Potri.006G084250.1.v4.1 pep chromosome:Pop_tri_v4:6:6293685:6293972:1 gene:Potri.006G084250.v4.1 transcript:Potri.006G084250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084250.v4.1 MYMDVRSWQTQCSLVQLCAESKYTWVWQVVEPNILGFNYALRLSICGFDKLLDPTSLDSAMYRAQVHVDLIIMLSLNTYGFDELLNLTSLGSVMR >Potri.010G063700.1.v4.1 pep chromosome:Pop_tri_v4:10:9264717:9267702:1 gene:Potri.010G063700.v4.1 transcript:Potri.010G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063700.v4.1 MPSFLFKCIPFIHPKPHPFPLSHLTAMSIKTKTRLRGVVFDMDGTLTVPVIDFSSMYKAVLGETEYRRIRQENPSGIDILHLIESWSPDEQRKANEIILDFERLGRERLQIMPGAAELCGFLDSKKIRRGLITRNVKEAVDLYHQRFEIVFSPALSREFRPYKPDPAPLLHICSTWDVQPNEVLMVGDSLKDDVACGKRAGAFTCLLDEKGRYGSADFTELDLEPDFKVASLAEVHSLLETNFDLMP >Potri.004G092500.1.v4.1 pep chromosome:Pop_tri_v4:4:7854629:7856255:1 gene:Potri.004G092500.v4.1 transcript:Potri.004G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092500.v4.1 MSSETPEPAKLAIPWRTRLVLTMISAATDLSRRSNGTINRRLLNLLDFKSSPSPNKPIHSIISSDITVDPTRNLWFRLYTPENSGVDGSDTPSLPVVVFFHGGGFSFLSAASSSYDVVCRRFARIFPAIVLSVNYRLTPEHRFPCQYDDGFEVLRFLDNDRANGLLPPNADLSKCFLVGDSAGANLAHHVAVRACRAGFQNVKVIGLVSIQPYFGGQERTESELQLVGYPFVTVERTDWCWRVFLPDGSDRDHYAVNVSGPNAENISDLDFPDTIVIVGGFDPLQDWQRRYYEWLKRSGKEATLIEYSNMFHAFYIFPELPESSRLFSEIKEFVTKRLSKP >Potri.005G038000.1.v4.1 pep chromosome:Pop_tri_v4:5:2452290:2455750:1 gene:Potri.005G038000.v4.1 transcript:Potri.005G038000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038000.v4.1 MAYPSKHYGLRSNGALRVVLVLLAIFFVGYIVGSGLFSKGSSNAASCSCDCDCSQELELIWTVEFINNSYPDCGKHNPEMGEEMQKNAVDLISDEIELQRRVANERLEQTRTLVITARSTSSQYQIEAQKCSAHTQTCEEGRERAEAGLVEERKLTALWEQRALELGWRENIT >Potri.017G037200.1.v4.1 pep chromosome:Pop_tri_v4:17:2462234:2463941:1 gene:Potri.017G037200.v4.1 transcript:Potri.017G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G037200.v4.1 MALRKKLWENIVEEFSKTYFMHSRFYSSRVDFKKLRPMILKRIQNRAKDYPVKGMVPVAREVLEKRKLLIQGVSTLMEVFPVLACKFCPEVYIGEKGHLIQTCYGYKRCGRKRVHEWIPGGLNDILVPVETFRLDNMFQDVIEHDQRFDFDRVPAVVELCRQAGANIDDENLHPGMLDLDGGIGHIDGGEPFSPSHLMYIAKEILDAWEKLRSGVQRLLLVYPSKVCKHCSEVHIGPSGHKARLCGVFKFESWHGKHFWKKAEVDDLVPPKIVWRRRPQDPLVLVNEGRDFYGHAPAVVDLCTKTGIIVPTKYSCMMKIQGLSAPVSR >Potri.013G078866.1.v4.1 pep chromosome:Pop_tri_v4:13:6751187:6753357:1 gene:Potri.013G078866.v4.1 transcript:Potri.013G078866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G078866.v4.1 MEKDERGKEKEREKVCKRCKQTFTSSSNTSSACRFHPSIFVCRRHDDQKRYYELGPEDPPYAAKFYDCCGAEDPEASGCTTSFHVSYDD >Potri.018G049800.3.v4.1 pep chromosome:Pop_tri_v4:18:4766970:4770932:-1 gene:Potri.018G049800.v4.1 transcript:Potri.018G049800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049800.v4.1 MSNRPELLAPPEIFYDDSEARKYTSSSRIIDIQARLSERALELLALSVDGIPRLLLDIGCGSGLSGETLTENGHQWIGLDVSQSMLNIALEREVEGDLLLGDMGQGLALRPGIIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVFQVYPENIAQRELILRSAMHAGFAGGVVIDYPHSAKSRKEYLVLTCGVPSISTAVPRGKGEDGESCSEDESSEENQTVCISDRNRPKKKQKITRKGKGKDWIFKKKEQLRRKGNAVPPDTRYTGRKRKARF >Potri.010G029300.2.v4.1 pep chromosome:Pop_tri_v4:10:4230943:4234361:-1 gene:Potri.010G029300.v4.1 transcript:Potri.010G029300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029300.v4.1 MDRGESEEDGSIRFPVDELRRLSETYGSATIFEPQSDIGKRDSSTGNSVSPTLPAPEKKLTLFALRLAIFEKAATCLGTLGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRIYSRSHELEWQHQATWSITDAGINSFRALRSSSHFIIETVKALFRPITRVQKQSLHTREIRKNPDAEISGNWGVQRKLTRTWTSSDVPILPYAQWFFLSKNVSKLLYWLQLASASACVVLSLMKLIKHNYGEVEKGNTDKRNRQSALNIFYSLALAEALLFLMERAYWEWKASYCKLLEEVSRECDLGLSGIVSIRRFFYDAYSRCLEGSIFDGLKMNMVTFAMDLLASNSPDEQLIGARILRQFVMNPQFSDDTLKNIGTNISMIDRLVEVLNWKDPQEEEIRRSAAEILSKLAGKKQNSLRVAGIPGALKSISSLLQTNRSCSTTADEIGEKTIICDHAHYGFWTFNHLGLLILKKLARDHDNCGKIGNTRGLLPKIIDFTHVEERLLKDENVTPSQILTVKRSLQLVKMLASTTGTTGNNLRREISEIVFTISNIRDILRHGEKHPMLQKLSIEILTSLALEEDAKERIGGTGGVLKELFNIFFSQGIPENQNHARIAAGDALAMLALESRRNCLRILKLKVLERLVGALEVPLLRVNAARILRNLCTYSRVDCFDQLKGVAAAVPTVLEAVMSEENKLQEVMVGLAAEAFKFMTPQESNIMFERTGIKEAELANKILQILKKYENPPVKVPRIRRFSIELAIWMMRNNTANVRTFKDLGLEKELEGVLESTAEVESFNIFSGTSGLSRHSTTIHSLVETALQLLEDR >Potri.008G075600.1.v4.1 pep chromosome:Pop_tri_v4:8:4679957:4682070:-1 gene:Potri.008G075600.v4.1 transcript:Potri.008G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075600.v4.1 MRALKNYLCRFNPRNVPSRHFSSSPSPFSKIDELSIEEDAERKIGWLLKSIFVGTAVFVGYQFFPYMGDNMLRQSVSLLHVKDPFFKRSGASRLARFAIDDERRMKIVEIGGAQELLIMLEAAKEDRTRKAALKALAALSQSDEALGALHLAGAISVIKSIPDSSEEAEIEKFKASLLKRFQDLKYENSS >Potri.005G141400.1.v4.1 pep chromosome:Pop_tri_v4:5:11312185:11314145:-1 gene:Potri.005G141400.v4.1 transcript:Potri.005G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141400.v4.1 MAVELMMAYRNSGFLVTKMEENAVEEEASGLESVNKLIRLLSQQNQENLHQSSTPTSRTSMDVEMDCKAVADVAVPKFKKVVSLLPRNRTGHARFRRAPVSTPPVNQRQEQDYQVLEANQLYYATPIQQIPPPVHNQNHYPIVEPKNGEIERKDSATTINFSCSSAGNSFVSSLTGDTDSKQPSSSSSFHITNVSRVSSAGKPPLSTSSLKRKCSSENSDSSGKCASSGRCRCSKKRKMRLKRVVRVPAISLKMSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGEHSHTISVAETSNLILESS >Potri.005G141400.2.v4.1 pep chromosome:Pop_tri_v4:5:11312255:11314125:-1 gene:Potri.005G141400.v4.1 transcript:Potri.005G141400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141400.v4.1 MAVELMMAYRNSGFLVTKMEENAVEEEASGLESVNKLIRLLSQQNQENLHQSSTPTSRTSMDVEMDCKAVADVAVPKFKKVVSLLPRNRTGHARFRRAPVSTPPVNQRQEQDYQVLEANQLYYATPIQQIPPPVHNQNHYPIVEPKNGEIERKDSATTINFSCSSAGNSFVSSLTGDTDSKQPSSSSSFHITNVSRVSSAGKPPLSTSSLKRKCSSENSDSSGKCASSGRCRCSKKSRKMRLKRVVRVPAISLKMSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGEHSHTISVAETSNLILESS >Potri.004G109633.1.v4.1 pep chromosome:Pop_tri_v4:4:9759007:9759372:-1 gene:Potri.004G109633.v4.1 transcript:Potri.004G109633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109633.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEECHKKVTKRCAIEISNSIYTNKTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.010G125900.2.v4.1 pep chromosome:Pop_tri_v4:10:14323589:14324793:1 gene:Potri.010G125900.v4.1 transcript:Potri.010G125900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125900.v4.1 MEAEKSKREVDGKMNGKRGKEEGGLKGSQQEVEEEEVEEFFAILRRIQVAVKYFEKSEGKKWRPLLEREEFKELNGDLDTEMKEGNSFYGNSGLDLNLDPNPND >Potri.014G033000.4.v4.1 pep chromosome:Pop_tri_v4:14:2026332:2028750:1 gene:Potri.014G033000.v4.1 transcript:Potri.014G033000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G033000.v4.1 MPLNSSISHIQTLRSPIQPPTFGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNEQNRPLFAAKDINDFYLENCPKIFHQDGCPLASAGKLIKSLRGPTYDGKFLHSIVKEKLGDKRLHQTMTNIVIPTFDIKRLQPTIFSSYQVKNDPSTDALLSDICIGPSAAPTYLPAHYFETKDPSGKVREFNLIDGGVAANNPTLVAMSEVSKEITRKNPDFFPTAPMDYGRFLVLSLGTGSAKCEEKYDADEAAKWGVLGWLTSENSTPLVDVFTEASGDMIDLHISTVFQALHCEENYLRIQDDTLTGTLSSVDIATKENLENLVKVGEKLLKKPVSRVDLGTGIFTPVDKMTNEEALIKMAKLLSREKHLRDSRSPVGKVATSKWI >Potri.004G135000.1.v4.1 pep chromosome:Pop_tri_v4:4:15637932:15642169:1 gene:Potri.004G135000.v4.1 transcript:Potri.004G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135000.v4.1 MQKMNQAFEKVKMLVGMEVEDEEEGVATVESSSFTFMDDFNRDCTLSTKQRFYGFAICFSTGLACVLLSMLVFFNPVKFGITFTFGNLLSLGSTAFLIGPKRQVSMMLDPVRIYATALYLASIIIALLCALYVHNKLLTLLAIILEFGALIWYSLSYIPFARAMVSKIMLACFDTEF >Potri.001G127700.1.v4.1 pep chromosome:Pop_tri_v4:1:10473449:10477332:-1 gene:Potri.001G127700.v4.1 transcript:Potri.001G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127700.v4.1 MSSPTSTVEPLNAVEDRYGGVRVDLVEEHMDCDVYVPRLKASISQWRQQGVKGVWIKLPIRLAHLVEPTVKEGFRYHHAESDYLMLVYWIPETPDTLPANASHTVGIGAFVMNNNREVLVVQEKSGAFGAKGVWKFPTGVANQGEDIWTAAIREVKEETDIDTEFVEILAFRQTHKTFCGKSDLFFVCMLRPLCFDINKQDSEIKAAQWMPIEEYMAQPYIQKHESFKYVAEICSGQSKSSCSGFCPVPALSTSGKKSYTYFNKLQLQNKKNELGG >Potri.001G364600.1.v4.1 pep chromosome:Pop_tri_v4:1:38128027:38129816:-1 gene:Potri.001G364600.v4.1 transcript:Potri.001G364600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G364600.v4.1 MEQLQIPTSLVLLPSLLFIFMVLRILKKSKTKDFTPNLPPGPRKLPVIGNLHQLFGSLPHHRLRDLAEKHGPIMHLQLGQVQTIVISSPETAEQVMKVHDINFAHRPHLLVGQIIFYNCTDIATAAYGDYWRQLRKISIVELLSPKRVQSFRSIREEEVSSLIGSISSSAGSIINLSRKLFSVAYNITTRAAFSKLRKEEEIFVPLVQGIIQVAAGFNIGDLFPSIKLLPWITGMRSRMERLHQEADRILESIIKEHRARKAEGNSSNESKADDLVDVLLDLQEHGNLAFSLTTDNIKAVILDLFIAGTETSSTILEWAMSELLKHPEVMEKAQTEVREVFGKDGSVGELNYLKMVIRETMRLHPPLPLLFPRECREECGINGYNIPIKSRVLVNVWAIGRDSNYWVEAERFHPERFLDSAIDYKGVNFEFTPFGAGRRMCPGILFGISNVDLLLANLLYHFDWKLPGDMKPESLDMSEAFGATVRRKNALHLTPILHHPHPDRS >Potri.016G066300.2.v4.1 pep chromosome:Pop_tri_v4:16:4646996:4647511:1 gene:Potri.016G066300.v4.1 transcript:Potri.016G066300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066300.v4.1 MPFASSSSLIKRRPLLRHANSSGSSCCSGRFGEIAGGTTAECAAICCCCPCGLVNLLVLTIYKIPAGICRRALKRKQRKKLIKKGLFPPRGRGYGCGSCDDPELHIHPMARVEDSLREFDGEEAVKKEEAMLRLEKEMWETFYGTGFWRSPSQRELPAKRSSPRETPNARL >Potri.001G353600.1.v4.1 pep chromosome:Pop_tri_v4:1:36744820:36745233:-1 gene:Potri.001G353600.v4.1 transcript:Potri.001G353600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353600.v4.1 MAFNLKLSLFIAFLACSSLDCYKARARPSASVSNLMARLKLDGDSQNNCWDSLVQLQACSGEIILFFLNGETQLGRSCCQALRTIGEHCWPNMIDTLGFTAEEGQILEGYCDKAADPTTPSPPAPSVMPAKVVPKQT >Potri.002G204900.2.v4.1 pep chromosome:Pop_tri_v4:2:16975653:16979725:1 gene:Potri.002G204900.v4.1 transcript:Potri.002G204900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204900.v4.1 MARIPNKKRKMFVKPIVKKKQANVDHITGDKIPKSFVFARGKLPGSLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVSHFLILSKTETAPYLRVARTPQGPTLTFKIHEYSLAADVAQSQLHPRCPQDLFKNAPLIVLSGFGSGEQHLKLTTVMFQKIFPAIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIKLQPVGVSRRLRKFVQNRQVPDLRNLQDVSDFVTKAGYGSESEGDDEAATVTLANDLGRVNKASTKSAVKLQEIGPRMVLQLVKIEEGLCSGDVIFSEYGTASEQRQETNQNNEEDAGDDEDSD >Potri.002G204900.1.v4.1 pep chromosome:Pop_tri_v4:2:16975714:16979787:1 gene:Potri.002G204900.v4.1 transcript:Potri.002G204900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204900.v4.1 MARIPNKKRKMFVKPIVKKKQANVDHITGDKIPKSFVFARGKLPGSLRQLQMDLRKLMLPYTALKLKEKKRNNLKDFLNVAGPMGVSHFLILSKTETAPYLRVARTPQGPTLTFKIHEYSLAADVAQSQLHPRCPQDLFKNAPLIVLSGFGSGEQHLKLTTVMFQKIFPAIDINTVKLSSCQRIVLLNYNKDTKLIDFRHYSIKLQPVGVSRRLRKFVQNRQVPDLRNLQDVSDFVTKAGYGSESEGDDEAATVTLANDLGRVNKASTKSAVKLQEIGPRMVLQLVKIEEGLCSGDVIFSEYGTASEQRQETNQNNEEDAGDDEDSD >Potri.011G003300.1.v4.1 pep chromosome:Pop_tri_v4:11:234263:235785:1 gene:Potri.011G003300.v4.1 transcript:Potri.011G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003300.v4.1 MAKGRRLTTSRSERLLGSNYSYGNGQVPMVNEVSELGEEDVWSMVDDTADRSDSAVSNSNGAWNPRAELESSFDHMSISGGRRRIPRDDRNMGGLSLAFEDSSSGGNKTASSRIVHQFRGNDLVASASPRHMATSAPVNVPDWSKIYRVNSVESLHDSDDGFDDQESEMVPPHEYLAREYARSRKTGGASVVEGVGRTLKGRDMRRVRDAVWSQTGFDG >Potri.001G105300.3.v4.1 pep chromosome:Pop_tri_v4:1:8469034:8471197:-1 gene:Potri.001G105300.v4.1 transcript:Potri.001G105300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105300.v4.1 MAVSINRRKSKPSKKAETNGHCSCATFLFKILSRIPLGILLLILVYLWSSSTTIISGKIVHICVSSRKLSNLYCLSAGTQPNFEIPVPLINNSFTSPGSTSTIKDIDASPGSNSSVTAYVKAASTPEPITDSKEIANALSSSTIGNIKEVANVFTKDSIPAVIDGTDKNRNEEIAIAKKAVKEQLQLHRSWMPNTNHASCDGRGVYVYDLPSKFNKDLIGQCGDMMPWTDFCKYFNNEALGEPIANLGKGWYHTHQYSLEPIFHSRILSHPCRVYNESEAKLFYVPYYGGLDILRWHFKNVSDDVKDALAMDLMKWLEHRRPWVQNSGTDHVFVLGKISWDFRRKNYTSWGTRFLELEQMQNPIKLLIERQPWEVNDIAIPHPTFFHPHSDDDIVAWQQKIIGTTRKNLVSFAGAARPDQPESIRSTLINQCTSTSSDKCQFLDCKSGGCNQPESVTKLFLESEFCLQPPGDSPTRKSVFDSLVSGCIPVLFDPFTAYYQYPWHLPEDHGKYSVFIDQEEVRQMKVNVVGRLSSISARERDDMRRYIVYELLPGLVYGDSSCRFQKFQDAFSITVNTLLERVSKMQ >Potri.011G120700.2.v4.1 pep chromosome:Pop_tri_v4:11:15143729:15144489:1 gene:Potri.011G120700.v4.1 transcript:Potri.011G120700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120700.v4.1 MATVLESLTAPSRSSAVLPKPTTTLVTAFASTINRRSLRFPQLKGLKIHFHSSSTVNRSLGSVSQSSSRLACAGRIVCEAQDIAVKVPAVTDATWKSLVLESESPVLVEFWAPWCGPCRMIHPVIDELANQYAGKLKCYKLNTDDCSSIATEYGIRSIPTVIIFKNGEKKEAIIGAVPKTTLTTSIEKFL >Potri.001G357900.2.v4.1 pep chromosome:Pop_tri_v4:1:37333156:37345158:-1 gene:Potri.001G357900.v4.1 transcript:Potri.001G357900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357900.v4.1 MSVWNYVVTAHKPTNVTHSCVGNFTSSQELNLIIAKCTRIEINLLTPQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQVIPFDNKGQLKEAFNIRLEELQVLDIKFLHGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFIEGPWSQNNLDNGADLLIPVPPPFCGVLIIGEETIVYCSANVFRAIPIRPSITKAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVFIGSSYGDSQLVKLNLHPDAKGTYVEVLDRYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSLTDDPFDTFLVVSFISETRILAMNIEDELEETEIEGFCSQVQTLFCHCAVFNQLVQVTSSSVRLVSSTTRELRQEWNAPSGYSINVATANATQVLLATGGGHLVYLEIGDGTLTQAKHAQLECEISCLDINPIGENPNYSQLAAVGMWTDISVRIFSLPDLNLITKEPLGGEIIPRSVLLCSFEGIAYLLCALGDGHLLNFLLNLSTGGLKDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELSIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFSICSMKNQSNAEESEMHFIRLLDDQTFEFISTYPLDTFEYGCSILSCSFSDDSNVYYCVGTAYVLPEENEPTKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPHEQYLFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVDAKNFLDGDLIESFLDLSRSRMDEISKAMEISVEELCKRVEELTRLH >Potri.001G357900.1.v4.1 pep chromosome:Pop_tri_v4:1:37332825:37345213:-1 gene:Potri.001G357900.v4.1 transcript:Potri.001G357900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357900.v4.1 MSVWNYVVTAHKPTNVTHSCVGNFTSSQELNLIIAKCTRIEINLLTPQGLQPMLDVPIYGRIATLELFRPHGEAQDFLFIATERYKFCVLQWDAETSELITRAMGDVSDRIGRPTDNGQIGIIDPDCRLIGLHLYDGLFKVIPFDNKGQLKEAFNIRLEELQVLDIKFLHGCSKPTIVVLYQDNKDARHVKTYEVALKDKDFIEGPWSQNNLDNGADLLIPVPPPFCGVLIIGEETIVYCSANVFRAIPIRPSITKAYGRVDADGSRYLLGDHAGLLHLLVITHEKEKVTGLKIELLGETSIASTISYLDNAFVFIGSSYGDSQLVKLNLHPDAKGTYVEVLDRYVNLGPIVDFCVVDLERQGQGQVVTCSGAYKDGSLRIVRNGIGINEQASVELQGIKGMWSLRSLTDDPFDTFLVVSFISETRILAMNIEDELEETEIEGFCSQVQTLFCHCAVFNQLVQVTSSSVRLVSSTTRELRQEWNAPSGYSINVATANATQVLLATGGGHLVYLEIGDGTLTQAKHAQLECEISCLDINPIGENPNYSQLAAVGMWTDISVRIFSLPDLNLITKEPLGGEIIPRSVLLCSFEGIAYLLCALGDGHLLNFLLNLSTGGLKDRKKVSLGTQPITLRTFSSKNTTHVFAASDRPTVIYSSNKKLLYSNVNLKEVSHMCPFNSAAFPDSLAIAKEGELSIGTIDDIQKLHIRSIPLGEHARRICHQEQSRTFSICSMKNQSNAEESEMHFIRLLDDQTFEFISTYPLDTFEYGCSILSCSFSDDSNVYYCVGTAYVLPEENEPTKGRILVFIVEDGKLQLIAEKETKGAVYSLNAFNGKLLAAINQKIQLYKWMLRDDGTRELQSECGHHGHILALYVQTRGDFIVVGDLMKSISLLIYKHEEGAIEERARDYNANWMSAVEILDDDIYLGAENNFNLFTVRKNSEGATDEERGRLEVVGEYHLGEFVNRFRHGSLVMRLPDSDVGQIPTVIFGTVNGVIGVIASLPHEQYLFLEKLQSNLRKVIKGVGGLSHEQWRSFNNEKKTVDAKNFLDGDLIESFLDLSRSRMDEISKAMEISVEELCKRVEELTRLH >Potri.004G193700.1.v4.1 pep chromosome:Pop_tri_v4:4:20738292:20747613:1 gene:Potri.004G193700.v4.1 transcript:Potri.004G193700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G193700.v4.1 MPFLSDTASAIKSRFGFHDRSVSESVPSTPDLLKSVSRDHNLASAQSLVAMSAARRIDDWEEDDNGGVTGSVVSVPRHAQSFEFSEDPSFWKEHNVQVIIRLRPLSSSEISVQGHGKCVRQESCQTITWTGHPESRFTFDLVADETVSQEKMFKMAGLPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKEVRKDEKIKFTCKCSFLEIYNEQILDLLDPSSTNLQIREDVKKGVYVENLKEIEVASARDVLHQLIQGAANRKVAATNMNRASSRSHSVFTCIIESKWESQGVTHHRFAQLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSISNGKSHHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSLCCSLETLSTLKFAQRAKFIKNNAIVNEDASGDVILMRLQIQQLKKEVSRLRSLVNGGAENLDSDTSSLSFLGSPGSFKWEGFHGSSSPLMSENRMSQKKDYEVALVGAFRREKDKDIALKALTAENQAVMQLTKQREDEIKSLKMILRFREAGVKRLEAVSAGKISAETHLLKEKEEHLKQIEVLRTQVDRNQEVTRFATENLRLKEEIRRLKSFCEEGERVMMNEQIMVLQNKLLEALDWKLMHESDSLAVQKTSLDMETAAVHDDPPILSQEPRSPCQSSIKEENEFLRIQVIQNQAEIDLLLKKLDFCFEEKERLERHVNDLMMKLEEERFFRATNEKTEQLELPLSTDASVINVELKTMVDAIAAASQQEAEAHEKAITLSTENNELQLKLETFIEANKELQSKLKALIEEKNSLIEMYERAASKSNYKNVNDDESEQNGMEVHDNDSPPELANAREREMKTVENLEQQLMETHEENEKLMGLYEIAMHERDELRRLLSSDERNRVGEKLMEVDGEKCLQSPASPLNAEQAFIEFDKVLREIEVTEEGLQIKQEEFRSLELLSSEMQEKRALVDKKLSALRYSVSSFVSSIAYFEQREHRAKARVNASTSYLEKKKEELARLQVCKEESEATMGRIQQSEIELKNVLAVLKSKLMEENQRQESEKVLFPIDNIENVDTSQRNWQLGGKATELLKSEEEKTKIQNEMKLSRENLGLIKKEFDVLSKKLGKIEGEMQVVQTDIQKGSKSVEEMELALQAVTHEKETLLEIREAGMHEIQSMILEYQQSVFDADLKGAEKEMLEEELQLELRRNEELKIQRAEASEKMTKLLENTSSRPCFARKMEE >Potri.010G162500.8.v4.1 pep chromosome:Pop_tri_v4:10:16752326:16756465:-1 gene:Potri.010G162500.v4.1 transcript:Potri.010G162500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162500.v4.1 MGSRWRATASLLLNHITTKAFKFLSPNLPRPIYHSHPLTQTVCGFKFRSFSAIPSRVSVYSNEIESGSHDLAINYDLGPKEDEESGKIPVKAYFLCTSINLKSMQAENLSYVVPPTSRSTNYVVLKFFDFSSDISALGIREYISCRYMVVFQYGSAVLFNIEDPDVERYLEMVRRHTSGLLSEMRKDDYAIKEKPLLDEDMQGGLDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVISCPNTLFMVQY >Potri.010G162500.6.v4.1 pep chromosome:Pop_tri_v4:10:16751920:16756508:-1 gene:Potri.010G162500.v4.1 transcript:Potri.010G162500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162500.v4.1 MGSRSFSAIPSRVSVYSNEIESGSHDLAINYDLGPKEDEESGKIPVKAYFLCTSINLKSMQAENLSYVVPPTSRSTNYVVLKFFDFSSDISALGIREYISCRYMVVFQYGSAVLFNIEDPDVERYLEMVRRHTSGLLSEMRKDDYAIKEKPLLDEDMQGGLDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFAGINRAMEKTGTFSMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVQG >Potri.010G162500.7.v4.1 pep chromosome:Pop_tri_v4:10:16751920:16756508:-1 gene:Potri.010G162500.v4.1 transcript:Potri.010G162500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162500.v4.1 MQAENLSYVVPPTSRSTNYVVLKFFDFSSDISALGIREYISCRYMVVFQYGSAVLFNIEDPDVERYLEMVRRHTSGLLSEMRKDDYAIKEKPLLDEDMQGGLDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFAGINRAMEKTGTFSMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVQG >Potri.010G162500.3.v4.1 pep chromosome:Pop_tri_v4:10:16751920:16756508:-1 gene:Potri.010G162500.v4.1 transcript:Potri.010G162500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162500.v4.1 MVVFQYGSAVLFNIEDPDVERYLEMVRRHTSGLLSEMRKDDYAIKEKPLLDEDMQGGLDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFAGINRAMEKTGTFSMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVQG >Potri.010G162500.1.v4.1 pep chromosome:Pop_tri_v4:10:16751920:16756508:-1 gene:Potri.010G162500.v4.1 transcript:Potri.010G162500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162500.v4.1 MGSRWRATASLLLNHITTKAFKFLSPNLPRPIYHSHPLTQTVCGFKFRSFSAIPSRVSVYSNEIESGSHDLAINYDLGPKEDEESGKIPVKAYFLCTSINLKSMQAENLSYVVPPTSRSTNYVVLKFFDFSSDISALGIREYISCRYMVVFQYGSAVLFNIEDPDVERYLEMVRRHTSGLLSEMRKDDYAIKEKPLLDEDMQGGLDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFAGINRAMEKTGTFSMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVQG >Potri.010G162500.4.v4.1 pep chromosome:Pop_tri_v4:10:16751920:16756508:-1 gene:Potri.010G162500.v4.1 transcript:Potri.010G162500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162500.v4.1 MQAENLSYVVPPTSRSTNYVVLKFFDFSSDISALGIREYISCRYMVVFQYGSAVLFNIEDPDVERYLEMVRRHTSGLLSEMRKDDYAIKEKPLLDEDMQGGLDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFAGINRAMEKTGTFSMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGNLDFKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVQG >Potri.014G095700.3.v4.1 pep chromosome:Pop_tri_v4:14:6247180:6251624:1 gene:Potri.014G095700.v4.1 transcript:Potri.014G095700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095700.v4.1 MDRDDISRNPAKSASGKWVVGNGLEDDRNRDDWGDAAGREPDEVNLQIRYTKGSRRSGQMSGRQCGDRGEMEGFQRILRSEGEGMRSSTSNYPDEGPSNYNFDSSYGYGDQLRNVDEQSGPSRVQYLEKDRAELLRKLDELKEQLSRSCDVADKPNEKVPLNGRMAPPDSYGGSDKWFEGSSSMPNRASMQFFAPDRHATGPSYFNHHSESFAYTNGHEMAMNSFHPSVHKSNLIPGYGDPFGPQILRRTPHKLPGQYQQPPRQYFSGQYFDTNPDLFEPYPSNAAFHQPSCSCFHCYEKHHGVSATVPPTSFGNIRFPDMSNNPIMYQHRNSAAFGPHMNNSRIPVPSQLNFRSSQSHKRWPSDLNSEMAGFARPHTRRVVLASGSRCCRPIAGGAPFLTCFNCFELLQLPKKVLLMANNQQKMQCSTCSSVINFSVVNKKLMLSVNTEATQIPTEVDDSSSEMIKTHTSYSQDHINRINANFSSDDYDNSGYDFQTVETDPIGHHLNSTNPQETQSFHSSSPSTSEYENIPDILIAPINGTQQASLSPPPPGSPLQQHFDYSSNNHAVNRFGKGNRSNRADHERVITNKANTRQNSMKEAPVATEMEVSFPDYSNTAASQDSGDVSREDSQSRNNKGGDSFFANIIKKSFKDFSRSHQTDEHGRNNVLVNGRHIPDRLVKKAEKLAGPIHPGQYWYDYRAGFWGVVGGPCLGIIPPFIEELNYPMPEKCAGGNTGIFVNGRELHQKDFDLLASRGLPTDRDRSYIVEISGRVLDEDTGEEMDSLGKLAPTVEKVKRGFGMKVPKAAVQ >Potri.014G095700.2.v4.1 pep chromosome:Pop_tri_v4:14:6246545:6251590:1 gene:Potri.014G095700.v4.1 transcript:Potri.014G095700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095700.v4.1 MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKNKNRDTDTLSLEKSDEVSVAGVATISPNSVENVVELSDASDTDVKSNAGSLRCEEKNHEKNDMDRDDISRNPAKSASGKWVVGNGLEDDRNRDDWGDAAGREPDEVNLQIRYTKGSRRSGQMSGRQCGDRGEMEGFQRILRSEGEGMRSSTSNYPDEGPSNYNFDSSYGYGDQLRNVDEQSGPSRVQYLEKDRAELLRKLDELKEQLSRSCDVADKPNEKVPLNGRMAPPDSYGGSDKWFEGSSSMPNRASMQFFAPDRHATGPSYFNHHSESFAYTNGHEMAMNSFHPSVHKSNLIPGYGDPFGPQILRRTPHKLPGQYQQPPRQYFSGQYFDTNPDLFEPYPSNAAFHQPSCSCFHCYEKHHGVSATVPPTSFGNIRFPDMSNNPIMYQHRNSAAFGPHMNNSRIPVPSQLNFRSSQSHKRWPSDLNSEMAGFARPHTRRVVLASGSRCCRPIAGGAPFLTCFNCFELLQLPKKVLLMANNQQKMQCSTCSSVINFSVVNKKLMLSVNTEATQIPTEVDDSSSEMIKTHTSYSQDHINRINANFSSDDYDNSGYDFQTVETDPIGHHLNSTNPQETQSFHSSSPSTSEYENIPDILIAPINVNRFGKGNRSNRADHERVITNKANTRQNSMKEAPVATEMEVSFPDYSNTAASQDSGDVSREDSQSRNNKGGDSFFANIIKKSFKDFSRSHQTDEHGRNNVLVNGRHIPDRLVKKAEKLAGPIHPGQYWYDYRAGFWGVVGGPCLGIIPPFIEELNYPMPEKCAGGNTGIFVNGRELHQKDFDLLASRGLPTDRDRSYIVEISGRVLDEDTGEEMDSLGKLAPTVEKVKRGFGMKVPKAAVQ >Potri.014G095700.1.v4.1 pep chromosome:Pop_tri_v4:14:6246382:6251625:1 gene:Potri.014G095700.v4.1 transcript:Potri.014G095700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095700.v4.1 MAESTKVRLVRCPKCENLLPELADYSVYQCGGCGAVLRAKNKNRDTDTLSLEKSDEVSVAGVATISPNSVENVVELSDASDTDVKSNAGSLRCEEKNHEKNDMDRDDISRNPAKSASGKWVVGNGLEDDRNRDDWGDAAGREPDEVNLQIRYTKGSRRSGQMSGRQCGDRGEMEGFQRILRSEGEGMRSSTSNYPDEGPSNYNFDSSYGYGDQLRNVDEQSGPSRVQYLEKDRAELLRKLDELKEQLSRSCDVADKPNEKVPLNGRMAPPDSYGGSDKWFEGSSSMPNRASMQFFAPDRHATGPSYFNHHSESFAYTNGHEMAMNSFHPSVHKSNLIPGYGDPFGPQILRRTPHKLPGQYQQPPRQYFSGQYFDTNPDLFEPYPSNAAFHQPSCSCFHCYEKHHGVSATVPPTSFGNIRFPDMSNNPIMYQHRNSAAFGPHMNNSRIPVPSQLNFRSSQSHKRWPSDLNSEMAGFARPHTRRVVLASGSRCCRPIAGGAPFLTCFNCFELLQLPKKVLLMANNQQKMQCSTCSSVINFSVVNKKLMLSVNTEATQIPTEVDDSSSEMIKTHTSYSQDHINRINANFSSDDYDNSGYDFQTVETDPIGHHLNSTNPQETQSFHSSSPSTSEYENIPDILIAPINGTQQASLSPPPPGSPLQQHFDYSSNNHAVNRFGKGNRSNRADHERVITNKANTRQNSMKEAPVATEMEVSFPDYSNTAASQDSGDVSREDSQSRNNKGGDSFFANIIKKSFKDFSRSHQTDEHGRNNVLVNGRHIPDRLVKKAEKLAGPIHPGQYWYDYRAGFWGVVGGPCLGIIPPFIEELNYPMPEKCAGGNTGIFVNGRELHQKDFDLLASRGLPTDRDRSYIVEISGRVLDEDTGEEMDSLGKLAPTVEKVKRGFGMKVPKAAVQ >Potri.007G069400.4.v4.1 pep chromosome:Pop_tri_v4:7:9009447:9012851:-1 gene:Potri.007G069400.v4.1 transcript:Potri.007G069400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069400.v4.1 MNCLSRRLILKPPLHSNLTFNFPSINVPFYSKPISIHQKRKLSANTAITSLMQNPLSGAEEDLVGYVFGKKKATEVAHLIWKRVVQNGDTVIDATCGNGYDTLAMLKMVADELGRGRVYGMDIQGDALKRTSSLLDESVTLKEKELVKLFSICHSRMEEIVPANSPVRLVAFNLGYLPGGDKTITTASETTQLALEAAKKILIPGGLISLVVYVGHPGGMEELETVEAFASGLSVDNWICCKFQMLNRPLAPVLVLLFKREVAETQ >Potri.007G069400.3.v4.1 pep chromosome:Pop_tri_v4:7:9009483:9012852:-1 gene:Potri.007G069400.v4.1 transcript:Potri.007G069400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069400.v4.1 MNCLSRRLILKPPLHSNLTFNFPSINVPFYSKPISIHQKRKLSANTAITSLMQNPLSGAEEDLVGYVFGKKKATEVAHLGRVYGMDIQGDALKRTSSLLDESVTLKEKELVKLFSICHSRMEEIVPANSPVRLVAFNLGYLPGGDKTITTASETTQLALEAAKKILIPGGLISLVVYVGHPGGMEELETVEAFASGLSVDNWICCKFQMLNRPLAPVLVLLFKREVAETQ >Potri.010G054700.1.v4.1 pep chromosome:Pop_tri_v4:10:8600942:8601593:-1 gene:Potri.010G054700.v4.1 transcript:Potri.010G054700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054700.v4.1 MESGLSWADQWDYNTPDPPPQSSSNKDDKKGKGGKKKKSFGKKVLSLAWMKDIRKKSQK >Potri.002G209602.1.v4.1 pep chromosome:Pop_tri_v4:2:17623393:17627248:-1 gene:Potri.002G209602.v4.1 transcript:Potri.002G209602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209602.v4.1 MALKLNLVALPSHNLKSAKVFAGSTMQYSTTRGSESTKRDSYRPAKEVHFQVTHSMPPEKIEIFKSMESWATKNILTHLKPVEKSWQPQDFLPQADSEGFYEQVRELRERSKELPDDYFVVLVGDMITEEALPTYQTALNTLDGVRDETGASLSPWAVWNRGWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGCGMDFKFENNPYNCFIYTSFQERATFISHGNTARLAKRYGDAKLAQICGTIAADEKRHEIAYTMIAEKLFEIDPDTTILALADMMRKKIAMPALLMYDGQDDNLFENFAAVAQNLGVYTAKDYADNLEFLVGRWNVAGLTGLSGEGRRAQDFVCRLPSRIRRLEERAQEKAKQASTIPFSWIHGREVRV >Potri.008G170900.3.v4.1 pep chromosome:Pop_tri_v4:8:11820758:11821748:-1 gene:Potri.008G170900.v4.1 transcript:Potri.008G170900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170900.v4.1 MASLVTHLCASLFLLPIGLRRLFCSSSLYLKNTSLFRSKTWYLSDPKWINLDLYALTVALPIASFSLIFLFFSISTGHITYRFSFLHQSLLLFLYWVLAILFVLRDNIDLLVILDNFLFVFGGILFFVEYSFIGEGTSGLVGEAVYGLCGNLSLVCGLCCLYLAIRPGAFFAEYCLCCGLVLKGTWFLQAGLCLYTDVFGLKGCHKIEILPGSGNVELKCDLEEDGLRGVALVNLLFVGHAIIVFLVSLGMLALLSSNTNLRHGEASGPLLAGLESESALMRALPEFEVE >Potri.018G121100.3.v4.1 pep chromosome:Pop_tri_v4:18:13386131:13389988:1 gene:Potri.018G121100.v4.1 transcript:Potri.018G121100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121100.v4.1 MAKENGKNKKQVGRQSSENDMKQPKVGNNSPKALDKDTAVFISMSQELKEEGNKLFQKRDHEGAMLKYEKAIKLLPRNHIDVSYLRSNMAACYMQMGLSEYPRAIHECNLSLEVTPKYSKALLKRARCYEALNRLELAMRDVSTVLKMEPNNFMASEISERVKKTIEQKGLRVNDTVIELPPEYVEPPVASSKLAKQKTKKKKGKKVEEKKTAGETEQKMVGDEVEGQNAGKEIEYSRVDSQLEGKKAEDKVVVEEKLRKTEEPKKSVKLVFGEDIRWAQLPINCNLLQLREVIADRFPGSEEILIKYRDHEGDLVTITSDEELRWVEASAETQVSIKLYLVEANPKKDPSFDRLKLEEVQKLDIKQKLATENGNMENGKLSENRSYCFDEWIVEFAKLFKNHVGFDSDSYLGLHELGMKVYSDAMEETVTSEEAQDLFNTAASKFQEMAALALFNWGNIHMSRARKRLGFTEEASRESILKEIRKSYDWAQKEYIKAGKRYEEALRIKPDFYEGLLAQAQQQFERAKLSWYYAIGNNVDLETWPSEEVVQLYNMAEDNMEKGMVMWEEFEAQHLNISNVAKVKAQSQKTGSDKLFKDVFSEDATEQARNMRSQINLLWGTVLYERSIMEFKLGLPVWQECLEVAIEKFHLAGASPTDIAVMIKNHVSNDNALEGLGFRIDEIVQAWKEMHEAKRWRNGVPTFRLEPLLRRRVSDIYHALEIL >Potri.018G121100.1.v4.1 pep chromosome:Pop_tri_v4:18:13386031:13390173:1 gene:Potri.018G121100.v4.1 transcript:Potri.018G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121100.v4.1 MAKENGKNKKQVGRQSSENDMKQPKVGNNSPKALDKDTAVFISMSQELKEEGNKLFQKRDHEGAMLKYEKAIKLLPRNHIDVSYLRSNMAACYMQMGLSEYPRAIHECNLSLEVTPKYSKALLKRARCYEALNRLELAMRDVSTVLKMEPNNFMASEISERVKKTIEQKGLRVNDTVIELPPEYVEPPVASSKLAKQKTKKKKGKKVEEKKTAGETEQKMVGDEVEGQNAGKEIEYSRVDSQLEGKKAEDKVVVEEKLRKTEEPKKSVKLVFGEDIRWAQLPINCNLLQLREVIADRFPGSEEILIKYRDHEGDLVTITSDEELRWVEASAETQVSIKLYLVEANPKKDPSFDRLKLEEVQKLDIKQKLATENGNMENGKLSENRSYCFDEWIVEFAKLFKNHVGFDSDSYLGLHELGMKVYSDAMEETVTSEEAQDLFNTAASKFQEMAALALFNWGNIHMSRARKRLGFTEEASRESILKEIRKSYDWAQKEYIKAGKRYEEALRIKPDFYEGLLAQAQQQFERAKLSWYYAIGNNVDLETWPSEEVVQLYNMAEDNMEKGMVMWEEFEAQHLNISNVAKVKAQSQKTGSDKLFKDVFSEDATEQARNMRSQINLLWGTVLYERSIMEFKLGLPVWQECLEVAIEKFHLAGASPTDIAVMIKNHVSNDNALEGLGFRIDEIVQAWKEMHEAKRWRNGVPTFRLEPLLRRRVSDIYHALEIL >Potri.016G106600.1.v4.1 pep chromosome:Pop_tri_v4:16:11138326:11140729:-1 gene:Potri.016G106600.v4.1 transcript:Potri.016G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106600.v4.1 MEDRISELSDDILSFILSFLTMQDAVKTRLLSHRWRYLSPPLSHLQFDVFTLFGTHGESSSKFVTAVDQVLLACRGPKIGTLKVRFGLGDGHAFHVDRWVSFSSAMQVEKIAFDFSCSPKSCGSYNFPCHILPADKASHLKHLCLVSCTLRLSPKFTSQLNPLRTLDLDGVPLDQSGLDIITSACPKLTLLRMIGCGLPKIVCIHGQLLCLKTLIIHDSLISVELKSINLEIFEFFGHPRKLTFVDVPHLKKALVRSLFIYRRTSPICNALAKDLPQLQFLSLIVKDEVHQGTITPSQVLIPATFV >Potri.016G106600.2.v4.1 pep chromosome:Pop_tri_v4:16:11138289:11140769:-1 gene:Potri.016G106600.v4.1 transcript:Potri.016G106600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106600.v4.1 MEDRISELSDDILSFILSFLTMQDAVKTRLLSHRWRYLSPPLSHLQFDVFTLFGTHGESSSKFVTAVDQVLLACRGPKIGTLKVRFGLGDGHAFHVDRWVSFSSAMQVEKIAFDFSCSPKSCGSYNFPCHILPADKASHLKHLCLVSCTLRLSPKFTSQLNPLRTLDLDGVPLDQSGLDIITSACPKLTLLRMIGCGLPKIVCIHGQLLCLKTLIIHDSLISVELKSINLEIFEFFGHPRKLTFVDVPHLKKALVRSLFIYRRTSPICNALAKDLPQLQFLSLIVKDEVLPLPATSPKFISLKQLDLSIWPFIDSDLLTVIYLLNASPLLEILQLKIGHQGEGRSNGERREYSRHTHSHLKEVKMEGFRDKWNAMELAIYLLKNTIALERMVVVVSDSTMQMDLRQRVDNLLQKEKGNSTAELIIL >Potri.016G106600.3.v4.1 pep chromosome:Pop_tri_v4:16:11138289:11140769:-1 gene:Potri.016G106600.v4.1 transcript:Potri.016G106600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106600.v4.1 MQVEKIAFDFSCSPKSCGSYNFPCHILPADKASHLKHLCLVSCTLRLSPKFTSQLNPLRTLDLDGVPLDQSGLDIITSACPKLTLLRMIGCGLPKIVCIHGQLLCLKTLIIHDSLISVELKSINLEIFEFFGHPRKLTFVDVPHLKKALVRSLFIYRRTSPICNALAKDLPQLQFLSLIVKDEVLPLPATSPKFISLKQLDLSIWPFIDSDLLTVIYLLNASPLLEILQLKIGHQGEGRSNGERREYSRHTHSHLKEVKMEGFRDKWNAMELAIYLLKNTIALERMVVVVSDSTMQMDLRQRVDNLLQKEKGNSTAELIIL >Potri.011G154600.2.v4.1 pep chromosome:Pop_tri_v4:11:18155697:18158926:-1 gene:Potri.011G154600.v4.1 transcript:Potri.011G154600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154600.v4.1 MGILYDDVVIIRHSEKEGDPAVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYIVFSVVGKSTTRWGLLKKRLVGACPSCSSASGISYYTAELQPPRPPDVFLLKLACHDRKGLLHDVTGVLCELELTIKKVKVSTTPDGRVMDLFFVTDTRELLHTNKRKEDTYDHLRAVMGNSMISCDIEMVGPEITACSAESSFLPTAITENILPLQMPDELPSSLTSTSVSVIMDNLLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKHRRTCEIDLFIMQADGKKIVDPNKQKALSSRLEMELVRPLRVAVVSRGPDTELMVANPVELSGKGRPLVFHDITLALTMLNSCIFSAEIRRRMIGDREFEVYRVLLDEGEGLAVPRRKIEEQVWKMLMGWEQ >Potri.011G154600.3.v4.1 pep chromosome:Pop_tri_v4:11:18155645:18158962:-1 gene:Potri.011G154600.v4.1 transcript:Potri.011G154600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154600.v4.1 MGILYDDVVIIRHSEKEGDPAVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYIVFSVVGKSTTRWGLLKKRLVGACPSCSSASGISYYTAELQPPRPPDVFLLKLACHDRKGLLHDVTGVLCELELTIKKVKVSTTPDGRVMDLFFVTDTRELLHTNKRKEDTYDHLRAVMGNSMISCDIEMVGPEITACSAESSFLPTAITENILPLQMPDELPSSLTSTSVSVIMDNLLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKHRRTCEIDLFIMQADGKKIVDPNKQKALSSRLEMELVRPLRVAVVSRGPDTELMVANPVELSGKGRPLVFHDITLALTMLNSCIFSVLSYLLAWQYLLDITLAFPSQQLTG >Potri.011G154600.1.v4.1 pep chromosome:Pop_tri_v4:11:18155653:18158943:-1 gene:Potri.011G154600.v4.1 transcript:Potri.011G154600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154600.v4.1 MGILYDDVVIIRHSEKEGDPAVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYIVFSVVGKSTTRWGLLKKRLVGACPSCSSASGISYYTAELQPPRPPDVFLLKLACHDRKGLLHDVTGVLCELELTIKKVKVSTTPDGRVMDLFFVTDTRELLHTNKRKEDTYDHLRAVMGNSMISCDIEMVGPEITACSAESSFLPTAITENILPLQMPDELPSSLTSTSVSVIMDNLLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKHRRTCEIDLFIMQADGKKIVDPNKQKALSSRLEMELVRPLRVAVVSRGPDTELMVANPVELSGKGRPLVFHDITLALTMLNSCIFSAEIRRRMIGDREFEVYRVLLDEGEGLAVPRRKIEEQVWKMLMGWEQ >Potri.011G154600.11.v4.1 pep chromosome:Pop_tri_v4:11:18155640:18158946:-1 gene:Potri.011G154600.v4.1 transcript:Potri.011G154600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154600.v4.1 MGILYDDVVIIRHSEKEGDPAVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYIVFSVVGKSTTRWGLLKKRLVGACPSCSSASGISYYTAELQPPRPPDVFLLKLACHDRKGLLHDVTGVLCELELTIKKVKVSTTPDGRVMDLFFVTDTRELLHTNKRKEDTYDHLRAVMGNSMISCDIEMVGPEITACSAESSFLPTAITENILPLQMPDELPSSLTSTSVSVIMDNLLSPAHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFYIKHRRTCEIDLFIMQADGKKIVDPNKQKALSSRLEMELVRPLRVAVVSRGPDTELMVANPVELSGKGRPLVFHDITLALTMLNSCIFSAEIRRRMIGDREFEVYRVLLDEGEGLAVPRRKIEEQVWKMLMGWEQ >Potri.001G160900.1.v4.1 pep chromosome:Pop_tri_v4:1:13615180:13617105:-1 gene:Potri.001G160900.v4.1 transcript:Potri.001G160900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160900.v4.1 MEGAFSNSMPSHFSYTFTPGTSSAYVTDTVTNTWMDTRIWSKLPQSLIDRVIAFLPPPAFFRARCVCKRWYSLLFSNNFLELYIQISPRRHWFLFFKHKSLKSYICGNNSNTTRGSGGQTSTTNIFEGYLFDPYDIAWYRISFPLVPSGFSPAAASGGLICWVSDEAGAKSIILCNPLIGSLSQLPPTLRPRLFPSTGLKVGPSSIDVAVAGDDLISPYAVKNLSTESFHIDAGGFYSLWGTTSSLPRLCSLESGQMVCVDDRFYCMNYNPFSVLAYEIAANSWCKIQAPMRRFLRSPSLVESMEKLILVAAVEKSKLNVPKSLRLWSLQACGTTWVEIERMPQQLYQQFEEMEAGHGFDCVGHGEFIAIIIRGSDKALLFDILRKAWQWIPPCPYMNHGGGGDDELHGFAYEPTVTTPVTGLLDQLTIPFQSFSGLIG >Potri.019G068200.1.v4.1 pep chromosome:Pop_tri_v4:19:10871461:10876080:-1 gene:Potri.019G068200.v4.1 transcript:Potri.019G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068200.v4.1 MASTSSSTTTPQEKYDVFLSFRGEDTRVCFVSHLYAALKRKQISTFIDYKLNRGEEISPSLLKAIEDSKLSVVVFSDNYASSKWCLEELAKILECKKVKGQMVIPVFYRVDPSHVRNQTGSFADAFARHDQLLKEKMEKVLNWRAAMREAANLSGWDSHNIKSESEFVDDIVRDILNKLHQTSMSTHHTSLIGIDARIKKVETLLKMESQDVRIVGIWGMGGIGKTTIAKAVYDNVSAQFEGFLFVANVREEIKRHSVVGLQKNILPELLDQDILNTGPLSFGNAFVMDRLLRKKVLIVLDDVDSSRQLEELLPEPHVSFGPGSKILLTSRDKQVLTNVVDEIYDVERLNHHEALQLFNMKAFKNYNPTIDHSELVEKIVDYAQGNPLALIVLGSALYGRSKEEWCSVLNKLGKVSSREIQNVLRISYDGLDDEQQEIFLDLAFFFNGANRDRVTKILDGCYSAACLDISVLFEKSLITTPGCTVNMHDSLREMAFSIVREESKIPGKRSRLCDPEDVYQALVKKKGTEAVEGICLDISESREMHLKSDAFSRMDRLRILKFFNHFSLDEIFIMDNKDKVHLPHSGLDYLSDELRYLHWDGFPLKTLPQSFCAENIVELIFPDSKIEKLWTGVQDLVHLRRMDLSGSPYLLEIPDLSMAENIESINLKFCKSLIEVNPSIQYLTKLEVLQLSYCDNLRSLPSRIGSKVLRILDLYHCINVRICPAISGNSPVLRKVDLQFCANITKFPEISGNIKYLYLQGTAIEEVPSSIEFLTALVRLYMTNCKQLSSIPSSICKLKSLEVLGLSGCSKLENFPEIMEPMESLRRLELDATAIKELPSSIKYLKFLTQLKLGVTAIEELSSSIAQLKSLTHLDLGGTAIKELPSSIEHLKCLKHLDLSGTGIKELPELPSSLTALDVNDCKSLQTLSRFNLRNFQELNFANCFKLDQKKLMADVQCKIQSGEIKGEIFQIVLPKSEIPPWFRGQNMGSSVTKKLPLNCHQIKGIAFCIVFASPTPLLSDCANFSCKCDAKSDNGEHDHVNLLWYDLDPQPKAAVFKLDDSDHMLLWYESTRTGLTSEYSGSEVTFEFYDKIEHSKIKRCGVYFLFDKNRSSSCDEDSSHQDDN >Potri.010G251600.1.v4.1 pep chromosome:Pop_tri_v4:10:22404428:22407842:1 gene:Potri.010G251600.v4.1 transcript:Potri.010G251600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G251600.v4.1 MMDGINGSNISARMQTSTEAQNPSMQMHYDHHQNHQNGIQMMNNANGMIMNSGDNDQDHNGVVNGGGDDDDHGGTMMVAADNHGKENGDQLTLTFQGQVYVFDSVSPGKVQAVLLLLGGREVPAASTPTVPITTHHNSNNRGLSGTPQRFSVPQRLASLIRFREKRKERNFDKKIRYTVRKEVALRMQRNKGQFTSSKPNHDDSASAATSWGSNESGGSDSNGSQHQEAICRHCGISEKSTPMMRRGPEGPRTLCNACGLMWANKGTLRDLSKAAPHTGPSSSSMNSNAMDGNTDGNQRVAWNNDFS >Potri.008G092000.2.v4.1 pep chromosome:Pop_tri_v4:8:5745812:5748007:-1 gene:Potri.008G092000.v4.1 transcript:Potri.008G092000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092000.v4.1 MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLKLPTDDSLLTQIKDGFGEGKDLVVTVMSSMGEEQICALKDIGPK >Potri.004G113500.1.v4.1 pep chromosome:Pop_tri_v4:4:10418652:10422526:-1 gene:Potri.004G113500.v4.1 transcript:Potri.004G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113500.v4.1 MLKMWKTEDSGEEWEMKLKLCGGGKFKKLKTSVVSRSPMKLWMTRAITTVLLWTCVVHLMSMGETWGPRLLKSWTSCYSHQDVELISVPAEVILPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWNDPSEFQDIFDVHHFIASLRGEVRILKELPPRLKTRVELGLFYSLPPVSWSNISYYTHQILPLLQKFKVVHLNKTDARLANNGLPLEIQKLRCRVNFNAFKFTSKIEELGRKVVKILREKGPFLVLHLRYERDMLAFSGCTHGCNDEEVEKLTRMRYAYPWWKEKVINSEMKRKEGLCPLTPEETALVLTALGIDRNVQIYIAAGEIYGGERRMKTLMEAFPNLVRKEDLLEPSDLKFFQNHSSQMAALDYLVSLESDIFVPTYDGNMAKVVEGHRRFLGFKKTSLLDRKLLVGLIDEYNKGSLSWDEFSSTVKETHADRMGSPKRRVVIPDKPKDEDYFYANPQECLQLLDEPLRST >Potri.014G015500.1.v4.1 pep chromosome:Pop_tri_v4:14:916392:919476:1 gene:Potri.014G015500.v4.1 transcript:Potri.014G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015500.v4.1 MALHEEDSLISELNLACSDLKTLLQASSKIEDTLEKIDKKFDVIDESLSTSSRRVAPLHSLAMAAKALETRINRAVSPALALLDSFKLCESLQQKLLEVSSQLSADKNPKKRLKLLLKLVDCVDKLNAVINTISEDGEPVIQKLQEVVEFLSRTKATDQYRAYRLRETLVTLKILYETEIDAMKFDGLLDEALLHLQDEHESILQKLKHHNIDESQGGNKLDMADSDLGTELDIEVLRRISETLAANDCLDICIDIYVKVRYVRAAKALMRLNPDYLKTYTPEEIDEMEWGTLETAISLWIQHFELALRTVFVSEKKLSNQILGGILDGAVWLECFVKIADKIMAVFFRFGEGVARSNKEPQKLFKLLDMFDSLEKLKTEFSEIFEGEAGADICTRFRELEKLLVHSSSKVFWEFGLQIEGNSDGFPPPQDGSVPKLVRYAINYLKYLASETYSAPMAKVLLTEKIWKAGILSKPEPEENLLRDAIANIMEALQRNVESKKLRYKDRILPQVFAMNTYWYIYMRTRNTELGKLLGEQYLKMNYKVVAEESAYMYQRQAWKPLVRLLDKEELKRENKSDNEDTRALIREKMEGFLKGVSEVSHRHRSGSYTIHDVDLREQIKEATVKLVVPAYIEFLNAYSSALPSKSYVKPEAVQGLLDQIFNGSDGKLKRRDSKHWTRGGTSSSFDGESKDLRRLRSYTSDM >Potri.017G089900.6.v4.1 pep chromosome:Pop_tri_v4:17:10315580:10322325:1 gene:Potri.017G089900.v4.1 transcript:Potri.017G089900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089900.v4.1 MSFSLSSWFRQSRGSVKYCNESKHSGSGSSSNNAVFDSTECYACTQVGVPVFHSTSCDSVHQPEWQALAGSSLVPIHAKSDPISKPARCQTPNTKGPFGSILDPRSKWVKKWNRVLLLTRGIALAIDPLFFYALSLSIGKGGAPCLYVNIGFAAIVTVARSCVDAVHLWHLWLQFRLAYVSRESLVFGCGKLVWNARAIAYHYVRSLKGFWFDVFVILPIPQAIFWLLVPKLIREEKIKQVLTMLLVTFSFQFLPKVYHSFCLARRMRKVTGYIFGTIWWGFGLNLVAYLIASHVTGGCWYVLATERVATCLKKQCERNGNCDLTLQCSMNVCYQFMYPADNYGNPCGRNSTWIAKPLCLDDNGPFNYGIYSPALLVVSSNSLAVKILYPIFWGLLNLSSFGNELAPTSNLVEVMFSIYIVLCGFTLFTLLIGNIQVFLHVVMAKNKKMQLRRQDVEWWMRRRQLPTGLRQRFRHFERQKWRVMGGEDEMSWIEELPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKLLVYSKDEKILREGDPVLRMVFIVHGRVKYSQCLSKGMVATSVLEPGGFLGDELLSWCLRRPFIDRLPASSATFVCMEPTEAFVLDAYDLRYISEHFRYRFASKRLKRTMRYYSSNWRTWAAVNIQFAWRRYRIRKRGLATPDMVNVSMENRLRLCAAMFMSLRPHDHFQ >Potri.017G089900.2.v4.1 pep chromosome:Pop_tri_v4:17:10315555:10322343:1 gene:Potri.017G089900.v4.1 transcript:Potri.017G089900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089900.v4.1 MSFSLSSWFRQSRGSVKYCNESKHSGSGSSSNNAVFDSTECYACTQVGVPVFHSTSCDSVHQPEWQALAGSSLVPIHAKSDPISKPARCQTPNTKGPFGSILDPRSKWVKKWNRVLLLTRGIALAIDPLFFYALSLSIGKGGAPCLYVNIGFAAIVTVARSCVDAVHLWHLWLQFRLAYVSRESLVFGCGKLVWNARAIAYHYVRSLKGFWFDVFVILPIPQAIFWLLVPKLIREEKIKQVLTMLLVTFSFQFLPKVYHSFCLARRMRKVTGYIFGTIWWGFGLNLVAYLIASHVTGGCWYVLATERVATCLKKQCERNGNCDLTLQCSMNVCYQFMYPADNYGNPCGRNSTWIAKPLCLDDNGPFNYGIYSPALLVVSSNSLAVKILYPIFWGLLNLSSFGNELAPTSNLVEVMFSIYIVLCGFTLFTLLIGNIQVFLHVVMAKNKKMQLRRQDVEWWMRRRQLPTGLRQRFRHFERQKWRVMGGEDEMSWIEELPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKLLVYSKDEKILREGDPVLRMVFIVHGRVKYSQCLSKGMVATSVLEPGGFLGDELLSWCLRRPFIDRLPASSATFVCMEPTEAFVLDAYDLRYISEHFRYRFASKRLKRTMRYYSSNWRTWAAVNIQFAWRRYRIRKRGLATPDMVNVSMENRLRLCAAMFMSLRPHDHFQ >Potri.017G089900.5.v4.1 pep chromosome:Pop_tri_v4:17:10315581:10322397:1 gene:Potri.017G089900.v4.1 transcript:Potri.017G089900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089900.v4.1 MSFSLSSWFRQSRGSVKYCNESKHSGSGSSSNNAVFDSTECYACTQVGVPVFHSTSCDSVHQPEWQALAGSSLVPIHAKSDPISKPARCQTPNTKGPFGSILDPRSKWVKKWNRVLLLTRGIALAIDPLFFYALSLSIGKGGAPCLYVNIGFAAIVTVARSCVDAVHLWHLWLQFRLAYVSRESLVFGCGKLVWNARAIAYHYVRSLKGFWFDVFVILPIPQAIFWLLVPKLIREEKIKQVLTMLLVTFSFQFLPKVYHSFCLARRMRKVTGYIFGTIWWGFGLNLVAYLIASHVTGGCWYVLATERVATCLKKQCERNGNCDLTLQCSMNVCYQFMYPADNYGNPCGRNSTWIAKPLCLDDNGPFNYGIYSPALLVVSSNSLAVKILYPIFWGLLNLSSFGNELAPTSNLVEVMFSIYIVLCGFTLFTLLIGNIQVFLHVVMAKNKKMQLRRQDVEWWMRRRQLPTGLRQRFRHFERQKWRVMGGEDEMSWIEELPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKLLVYSKDEKILREGDPVLRMVFIVHGRVKYSQCLSKGMVATSVLEPGGFLGDELLSWCLRRPFIDRLPASSATFVCMEPTEAFVLDAYDLRYISEHFRYRFASKRLKRTMRYYSSNWRTWAAVNIQFAWRRYRIRKRGLATPDMVNVSMENRLRLCAAMFMSLRPHDHFQ >Potri.002G051200.1.v4.1 pep chromosome:Pop_tri_v4:2:3441641:3442686:1 gene:Potri.002G051200.v4.1 transcript:Potri.002G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051200.v4.1 MAGTAGRNLNLCFINKIKRPLPPDHQPPSNPLTPDDHSHPFLFKNYNSLYDHTIDSASASTSTSISSSSSSSEPDFASVYASQRFFFSSPGSSNSIIESTPSIVTSTESSDNLVAPQPDSNGLIINHSTGKSLLLDGCNNSHPLHDQQPPQLLKSPTVKDSMAVSTYSHDPYMDFRRSMQEMVDARDLVDVKANWEYLHELLSSYLSLNPKSTHKFIVGAFADLLVSLLSTEMTEDGGRREEDFSSDGCGISRQCI >Potri.011G143700.10.v4.1 pep chromosome:Pop_tri_v4:11:17307597:17313198:-1 gene:Potri.011G143700.v4.1 transcript:Potri.011G143700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143700.v4.1 MQVEKRLEKLKKGKAKDSQSKLKEDAEKSAMERIRHALMDGKPARSVTLTDFEKDAVNHLCLLTMKPVIYVENVAESDLADSAINPNVKEVMNLASELQSGLVTISAQVESELTELPFEERSEFLNSLGVSESCLGNLIRATYSLLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETLRTVQEGDVMLFRFNV >Potri.011G143700.7.v4.1 pep chromosome:Pop_tri_v4:11:17307597:17313199:-1 gene:Potri.011G143700.v4.1 transcript:Potri.011G143700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143700.v4.1 MLQPFDVLLPLLVAELRQLSNGKSRLRLVLFWALWRFVLEVVLCFDDNDIVHVNGKVDPKWDFDVINLELVFSDLDQVEKRLEKLKKGKAKDSQSKLKEDAEKSAMERIRHALMDGKPARSVTLTDFEKDAVNHLCLLTMKPVIYVENVAESDLADSAINPNVKEVMNLASELQSGLVTISAQVESELTELPFEERSEFLNSLGVSESCLGNLIRATYSLLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETLRTVQEGDVMLFRFNV >Potri.011G143700.6.v4.1 pep chromosome:Pop_tri_v4:11:17308452:17309999:-1 gene:Potri.011G143700.v4.1 transcript:Potri.011G143700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143700.v4.1 MERIRHALMDGKPARSVTLTDFEKDAVNHLCLLTMKPVIYVENVAESDLADSAINPNVKEVMNLASELQSGLVTISAQVESELTELPFEERSEFLNSLGVSESCLGNLIRATYSLLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETLRTVQEGDVMLFRFNV >Potri.011G143700.9.v4.1 pep chromosome:Pop_tri_v4:11:17307597:17313198:-1 gene:Potri.011G143700.v4.1 transcript:Potri.011G143700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143700.v4.1 MQVEKRLEKLKKGKAKDSQSKLKEDAEKSAMERIRHALMDGKPARSVTLTDFEKDAVNHLCLLTMKPVIYVENVAESDLADSAINPNVKEVMNLASELQSGLVTISAQVESELTELPFEERSEFLNSLGVSESCLGNLIRATYSLLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETLRTVQEGDVMLFRFNV >Potri.011G143700.8.v4.1 pep chromosome:Pop_tri_v4:11:17307597:17313199:-1 gene:Potri.011G143700.v4.1 transcript:Potri.011G143700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143700.v4.1 MQVEKRLEKLKKGKAKDSQSKLKEDAEKSAMERIRHALMDGKPARSVTLTDFEKDAVNHLCLLTMKPVIYVENVAESDLADSAINPNVKEVMNLASELQSGLVTISAQVESELTELPFEERSEFLNSLGVSESCLGNLIRATYSLLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETLRTVQEGDVMLFRFNV >Potri.011G143700.3.v4.1 pep chromosome:Pop_tri_v4:11:17307597:17313198:-1 gene:Potri.011G143700.v4.1 transcript:Potri.011G143700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143700.v4.1 MLQPFDVLLPLLVAELRQLSNGKSRLRLVLFWALWRFVLEVEKRLEKLKKGKAKDSQSKLKEDAEKSAMERIRHALMDGKPARSVTLTDFEKDAVNHLCLLTMKPVIYVENVAESDLADSAINPNVKEVMNLASELQSGLVTISAQVESELTELPFEERSEFLNSLGVSESCLGNLIRATYSLLGLRTYFTSGEKETKAWTILSGMTAPQAAGVIHSDFEKGFIRAETLRTVQEGDVMLFRFNV >Potri.010G047900.2.v4.1 pep chromosome:Pop_tri_v4:10:8000898:8015393:-1 gene:Potri.010G047900.v4.1 transcript:Potri.010G047900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047900.v4.1 MELPSSVSVVSIFTLLLISTVQWVAFATSPCHFPAIFNFGDSNSDTGGLSAVFGQAPPPHGESYFHHPAGRYCDGRLIIDFIAKSFGLPYLSAYLDSVGSNFTHGTNFATAGSTIRPQNSTLHQSGFSPISLDVQWNEFYDFHRRSQIIRSQGGVYKKLLPKAEDFSHALYTFDIGQNDLTSGYFSNMTSSEVKAYVPDVLDQFKNIVSYIYGQGGRNFWIHNTGPFGCLAYVLERIPISAAEVDKSGCGTPFNEVAQYFNRGLKKVVFQLRKELPLAAITYVDVYSVKYKLISQARKHGFNESLRACCGHGGKYNYNRQLGCGAKRTVGGREILVGKSCKDPSEWISWDGVHYTQAANKWIFDRIVDGSFSDPPVPLKMACQRQPVH >Potri.001G453900.1.v4.1 pep chromosome:Pop_tri_v4:1:48029798:48032026:-1 gene:Potri.001G453900.v4.1 transcript:Potri.001G453900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453900.v4.1 MKTILSSETMDIPDGVKIKISARIIEVEGPRGKLSRNFKHLNLDFQLIKDEEGKRKLKIDAWFATRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLDGVSILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVVEEE >Potri.015G118500.1.v4.1 pep chromosome:Pop_tri_v4:15:13261301:13266918:1 gene:Potri.015G118500.v4.1 transcript:Potri.015G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118500.v4.1 MESSEEDDDFPSIESITSQSKIDSSYQSHTEKGIRKVCCELLDLKDAVENLCGNMQTKYFAFSRMSEEVVEMEHELVELRKHISAQGILVQDLMTGVCRELEEWNSANGNIGDCQQDPQVDELQSSLLSDADNRKAIFLENIDVLLAEHKVEEAVEALEAEEKNCPELKGSGDTSSMELSSYRSAFLKRKSMLEDQLIEITEQPLVSILELKKALSALIKLGKGPLAHQLLLKSYGSRLQKSIELFLPSCSVYPKTFPATLSRLVFSIISVTTKESGLIFGDNPVYTNRVVQWVEWEIEYFVRLVKENAPSSEKLFALGTASNCVQASLTYSSMLESQGLKLSKLLLVLLRPYIEEVLELNFRWARRAALDVTEIDESSLLSPRSMSPLSAFATLSDSVLVDSGMKFMDIIEDILAQLTPMAVLHFGANVLTRISQLFDKYMDMLIKSLPGPSDDDNLTELKEVIHFRAETDSEQLALLGFAFTILDELLPLGVLKVWSLTNESKELESENIVPNASITAELKEWKRSLQHSFDKLRDHFCRQYVLTFIYSRQGKTRLNALIYLSGEGADLYWDSDPLPSLPFQALFSKLQQLATVAGDVLLGKEKIQKILLARLTETVVMWLSEEQEFWDVFEDESVPLKPLGLQQLILDMHFTVEIARFAGYPSRHVHQIASAIIARAIRTFSARGIDPQSALPEDEWFVETARTAINKLLLGTSGSDASEIDEDHIIIHDEMVSDSDETASSLSSIESFKSFASANMGELDSPVYFTDPEG >Potri.001G344700.2.v4.1 pep chromosome:Pop_tri_v4:1:35571057:35573368:-1 gene:Potri.001G344700.v4.1 transcript:Potri.001G344700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344700.v4.1 MAMVSWNLGAYQKTPAVHVTCRKKERDRDHSSPYKVIEITPPPKNLGIRCFPTNLQCGESVTIEGQAYTISAVTHRYQLRKGKYEPSEKRLDVLSTGRYILNLYLENLLEQS >Potri.008G135500.1.v4.1 pep chromosome:Pop_tri_v4:8:9009108:9015967:1 gene:Potri.008G135500.v4.1 transcript:Potri.008G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135500.v4.1 MAALQRVAPSLGTSASTYGSCKGAGADVIVSDQFPAGLRVLVVDDDITCLRLLEKMLRRCLYHVTTCSQATAALKLLRERKGCFDVVLSDVHMPDMDGFKLLELVGLEMDLPVIMMSADGRTSAVMRGISHGACDYLIKPIREEELKNIWQHVIRKKWNENKEQEHSGSFEDNDRHKRGNDAENASSVSEGAEGVLKGQKKRSIAKDEDDAELENDDPSASKKPRVVWSVELHQQFVSAVNHLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQGGISNPFCGLLDSNVKLNPLGRFDIQVLAASGQIPPQTLAALHAELFGHPAGSMVTTVDQPALLQASVQGPKCIPAEHGMAFGQPLVKCQTNMSKHFPQNVVSAEEVATGFVAWPSNSVGTVGPISNLGGMSSKNSNMLMDVVQQQKQQQQSPQLPSLPEPSRIINVQPSCLVVPSQSSATFQAGNSPASVNQNCSYSRSPMIDYSLLSPQSNNSSLNIGQISNGDLKTTGVSGYSGSGSISPLSSCSVNPDNTSQQGQSSTMTIRAARQLPGLGPNISNFQGSYGAKSSEVLDQGPLRNLGFVGKCTSIPSRLAVDEFESTMSNLEHEKLYMETNGNKVKQEPNSNAVKQEPNVEFMDNAKVLIPILPQLPPNTSDLMSVFKE >Potri.018G033500.1.v4.1 pep chromosome:Pop_tri_v4:18:2540701:2542683:-1 gene:Potri.018G033500.v4.1 transcript:Potri.018G033500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033500.v4.1 MSNDTAFPPNLLPDEASPEWFNKADNAWQLTAATLVGLQSIPGLMILYGGGVKKKWAVNSAFMVLYAFACVMFCWVTWGYRMSFGSKLLPFWGEANVALDQKYLLDPAFLGKFPNATMVYFQSVFAAITLILIAGAVLGRMNFYAWMIFVPLWLTFSYTFTAFSVWCPDGFLAKMGLIDYSGGYVIHLSSGVAGYTAAYWVGPRLTKDRERFPPNNILLMLFGAGLLWMGWTGFNGGDPYVVSTDASLAVLNTHLCTATSLLTWVALDIIFFRKASVIGAVQGMITGLVCITPAAGVVQGWAATIMGLCSGSIPWITMMVIHKNSELLQKVDDTMAVLHTHAIAGSLGGILTGLFAEPKLNRLFFGSSGHYIGLVYGFDDKSRIGSGVRQMGVQFIGILFVVFVNVLTTSIICILIRLVVPLRMSNEDLEIGDDAAHGEEAYAIWGNGDRQENSFYRGSINPKIELPVSTNRAARQAEKT >Potri.005G101501.2.v4.1 pep chromosome:Pop_tri_v4:5:7343290:7345314:1 gene:Potri.005G101501.v4.1 transcript:Potri.005G101501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101501.v4.1 MATAPGKCFLVTGPPGVGKTTLIMRVFETLKTSNPTLKIQGFYTREIREGIERVGFEVVTLDGRKAPLASTTISTPESIRWPTVGKYKVDIASFEALALPELQIKEDTDLFIIDEVGKMELFSSSFFPAVLKVLESNIPLLASIPIPKFGRDIPAGISAEHTSQKSKYLQSLSQSVD >Potri.005G101501.3.v4.1 pep chromosome:Pop_tri_v4:5:7343093:7345495:1 gene:Potri.005G101501.v4.1 transcript:Potri.005G101501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101501.v4.1 MTPTMFRACACPSAWGCDPLETDRKIKIKMMATAPGKCFLVTGPPGVGKTTLIMRVFETLKTSNPTLKIQGFYTREIREGIERVGFEVVTLDGRKAPLASTTISTPESIRWPTVGKYKVDIASFEALALPELQLQG >Potri.005G101501.1.v4.1 pep chromosome:Pop_tri_v4:5:7343093:7345495:1 gene:Potri.005G101501.v4.1 transcript:Potri.005G101501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101501.v4.1 MTPTMFRACACPSAWGCDPLETDRKIKIKMMATAPGKCFLVTGPPGVGKTTLIMRVFETLKTSNPTLKIQGFYTREIREGIERVGFEVVTLDGRKAPLASTTISTPESIRWPTVGKYKVDIASFEALALPELQIKEDTDLFIIDEVGKMELFSSSFFPAVLKVLESNIPLLASIPIPKFGRDIPAVARLRDHPGAKIFTLSPSNSDAVKEQIYTQLVDSE >Potri.007G083300.1.v4.1 pep chromosome:Pop_tri_v4:7:10851016:10852969:-1 gene:Potri.007G083300.v4.1 transcript:Potri.007G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G083300.v4.1 MAWILTTLALIPLAFFLRAWLSKRKIKDSKLPPGPIGFPIFGSLHLLGKFPHHDLHQLAKKYGPIMYMRLGLVPTVVVSSPRAAELILKTHDLVFANRPPNEAAKHITYEQKGLTFAPYGSYWRNVRKMCTLELLSNHKINSFMSTRKEELDLLIDYIKDASRDRVAVDLSAKVSSLNADISCRMIFGKKDLEKEFDERGFEPLTHEGIRIAASFNLGDYIPPIAPLDLQGLTKRMKAIGKVFDDFFEKIIDEHIQFKDENRTKDFLDVMLDFLGSEETEYRIGRGNIKAIILDMLVGSMDTPAAAIEWTLSELIKHPRVMKKVQKELEEKIGMDRMVEESDLEGLEYLHMVIKEAFRLHPVAPLLVPHESMEDCTIDGFLIPQKTRVLVNVWAIGRDQSAWTDDANKFIPERFAGSNIDVRGRDFQLLTFGAGRRSCPGMHLGLTMVRQIVAQLVHCFDWELPNNMLPEELDMTEAFGLVTPRANHLCATPTYRLHL >Potri.011G124184.1.v4.1 pep chromosome:Pop_tri_v4:11:15471107:15472745:-1 gene:Potri.011G124184.v4.1 transcript:Potri.011G124184.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124184.v4.1 MDVSIISRELIKPSSPSIHHLLPFKLSLLDQLLPTTYVPMVFFYPRNNNQDFKGLQISIQLKRSLSQTLSTFYPFSGRVRNNSIIDNYEKGAPFVETRVKGSLFDFLIQPQLNLLNKFLPCQPFGYQSDPEATPQVAIQVNTFDCGGTALGLCFSHKIIDVATAIAFLDSWAANTRGHYLEQINPALFEASSRFPPQNKFLVQFPLWVAENYLFKEGNVTKRFVFDADAIATLRAKAKSKRVPNPSRTETLTAFIWKSCTEACRSLCALPRPSVSLHAVNIRQRTKPSFSRYSIGNLWWRSLTACELADTKIELNDLVSLTRESFTNINDDLLKDFQGENGLQGISESLLKQLVGIGSRNPEIFLFSSWLNFDLNDVDFGWGKPIWVGLTGEVGRPSGWANATFFKQTGRNNEIEVWMTLNEKIMSVVERNPEFLEFSTPNPSIFMPHVSS >Potri.008G118200.1.v4.1 pep chromosome:Pop_tri_v4:8:7579300:7586288:1 gene:Potri.008G118200.v4.1 transcript:Potri.008G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118200.v4.1 MNDSHSSSSDSASMAAIDQNVGRSRRSGSGDQTLATDIPRHWHDIFWLGVFLFHLMGLALALAVLGLNRFKKSDRFNFDRYTSNMTVTVENSHGLTEDYWPMYGLGGGVGTLLGWAWLLLLGSQANHMMKISVHILTTYLAVVSVLCFWSEQIFWGVTFATGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVSYAFMLVMLLWMGLWSFGAAGVVASNINLNGRWWLLVVLSLSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPANPLMKSLRYSVTKSFGSICYGSLFTAAIRTLRWEIRGLRSKIGKNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVHGKSFNRSARDAWELFQSTGVEALVAYDCSGAVLLMVTLLGGLISGTCSGVWTHVKWNDDRVTMVGSTAMLMGMVLVGLAMVAVESAVTSIYICYAEDPLLIHRWDAEFFNQLSEALHQRLQHRSSRAREVLTHNRFNAGIQETVPV >Potri.001G461200.1.v4.1 pep chromosome:Pop_tri_v4:1:48664188:48669292:1 gene:Potri.001G461200.v4.1 transcript:Potri.001G461200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461200.v4.1 MATSALSLTNQLCRFSSEVHFLFINKNFSTPKSTRLISHVWSYSKTTRIPALHSANGYIKEAIEEGEGMVDSDKVRVLKQKIEVLGIKCDDSCFPGQYYHLLCPKCKGGKTMERSLSFNITQDVDVAMWRCFGTSCGWTGQAFADSRMPIAGVNKIFKVKSSKQVTPENVVLEPLGDKLIAYFSERMISEETLRRNAVMQMSGDKYIIAFTYRQNGAIVGCKYRTMEKRFWQEKDTRKWLYGLDDINEATEIIIVEGEIDKLSVEEAGFRNCVSVPGGAPQIVSAKDLPSIQKDRAYQYLWNSKEYLDKLSRIVLATDGDTSGRSLAEELARRLGKERCWVVRWPEKDDSRCFKDANEVLKCLGPAALKGVIQTAEKYEACQLHRLN >Potri.017G122950.1.v4.1 pep chromosome:Pop_tri_v4:17:12782810:12786354:-1 gene:Potri.017G122950.v4.1 transcript:Potri.017G122950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122950.v4.1 MENEETKTVPKSWAMVGGDGPRSYAQNSSYQRGVLDVVNELMNEGIKETLDFKSPCFDSSNVCTFRIADFGCSAGPNTFLAMEKIIEAVEQKYHAQFKNSPPLEFQVFFNDVTTNDFNTLFKTLPLYQKYFAAGVPGTFYGRLFPKSTLRLAYSSYSLHWLSKVPEEVVDTKSPAWNKGSIQCSGTAKEVAKAYSAQFKTDMDNFLNARAQEIIGGGLMVIITLGLPDGILMSQTGAGIFNDFFGSCLIDMAKVGVISEEKVDTFNLPLYYSSAKELEEIIKNHGHFCIERLNMLNHPMMKRKIDVQSHISQFRSIFQGLLEAHFGRDDVDKILEYHAKKLAENYDSVFNVAKHQHVEHFILLKRNIN >Potri.007G016100.2.v4.1 pep chromosome:Pop_tri_v4:7:1181226:1186769:1 gene:Potri.007G016100.v4.1 transcript:Potri.007G016100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016100.v4.1 MSTTSSTTATSFLSRTQRYAAGALFAIALHQAQIHQTRPLGLFHDDSEQEERTSCSSSHSNGSSSDSVSEDPDLWIHENSGLLRPVFRFLEVESVAWTGLEETAGGSPAKHHVGAFLRLLSEESGDASSSSSQSSDQEFALSKAVDVMEETMGANPVSSQSKKEKHKEYETQCREKLSAGSEVKSTSEVENDSPKTAKDDHSADVSDAPRDSGHNVDEEPVEEEKMLSNQRKVTVLYEILSACLADRREENKKCTRKRNGYDARHRVALRLLATWLDIKWTKMEAIELMVACSAMAVAKEEASKEETKSPKSKWAKWKRGGIIGAAAITGGTLLAITGGLAAPAIAAGFGALAPTLGTIIPVIGASGFAAAASAAGTVAGSVAVAASFGAAGAGLAGTKMARRTGSVDEFEFKAVGDNHNQGRLAVGIMVSGCVFDEEDFIKPWEGQNDNLERYALHWEPKNLIAVSTAIQDWLTSRIAMEFMRQGAMLTVLSTLLVALAWPATLLAATDFIDSKWTIAIDRSDKAGKLLAEVLLKGLQGNRPVTLVGYSLGARVIFKCLETLAETEHSAEVVERVVLLGAPISIKDQKWEAARKMVAGRFVNAYSTGDWTLGVAFRASLLTQGLAGIQPVDVPGIENVDVTDLVDGHSSYLWATQQILEQLELDAYYHVFRSPNPPEHTD >Potri.005G033200.1.v4.1 pep chromosome:Pop_tri_v4:5:2195706:2197735:1 gene:Potri.005G033200.v4.1 transcript:Potri.005G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033200.v4.1 MVARTPPKQRKMVVPLDPVLIRETLKKVDRCMARLQELQYTVAGGNKVIAGVSLSPRSTRGYLRTSLRCKQESLRIKSGVPMKSPVGKLPATSIGEWRRMSLPAMLVGETMGEILQASQFAREIVAAVCCKTKKLTLEDPKTPLTQQRKQRPHPEDTELKSKRKKEKQTKLQSIRSEFGSPTLQRARSRINFKVSPPKKREMDKENARYLANRVSPRNRPWVKKTVLFPNPLFLSTDSAQQQKFCKTRSPVIARNKKQTTPHKFLIKSPPSGSKFQVKIKNPPVLSLSPTRPTNLSKKSPKSSTASKFRRSFSPSRLAHKLMSPLKGRKIVQKSDVLMSGLKQRPIATPRRFSLGRI >Potri.015G135200.1.v4.1 pep chromosome:Pop_tri_v4:15:14428980:14432260:1 gene:Potri.015G135200.v4.1 transcript:Potri.015G135200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135200.v4.1 MGKEWYYWGGGKTSKKGGGGGGEGGREGEKDSANLPTGCMCAVFQLFDFHHQFQFPLNHEQQSSNLLQPNSFLPLEDPPIPKGFEAPRNSLELEEEEPSLPSSKDENLYIPMGIQIRTKGVPNDSSSSEISSSPGTKTPNLVARLMGLDLLPDHLTYSPSHSSSSTLGTPNLPPKSHFQYHHCRPQQPPHRSKRSSPRSCTLDHDFSGTRSLPETPRISSARRSDVEHRLSLQINKENAGENLVLPRFSSLKRKELKVEDENRSPGHFARQIVKQVKESVSRKAGLDITNTVINREQTRRRDQELELVSQYKSKKTLSKAPSTTKTVGASGNSSGKHSVNTTSISPRLKFLEPKNKPITTLPCKDHNNISNSQKPPSLLSQSTKPSTKPDLPKVLQDQHQHQQRPFKKCNKVTEEKFGPPPPRFVKKPLKTSHIIRTKQEEPFVSSTSARETNITDKKCKKTPLSNDLNISLPTLLPVKKDPTPPATKIPQKQVSNAAQESKWCSQLSSCSSQSYKQPQATRRLDARENNNDDRSHNGVATNIITTGDGAAQEEYEYISRILKRTGIDKDTPVSFTRWFSPSHPLDPSTFYYLEHFTTPASTTTCQARQAMDRRCNRKLLFNLVDEILVDILRPYINVKPWSSSTRFGLCTRNFLLSHMNGSHLVHMLCTKLRSFPCADCHDLEDIDGLIDKDLPQLMKEQSEIAFGEEGEGIVMEIENEIVETLIHETAWIFYRH >Potri.005G103400.1.v4.1 pep chromosome:Pop_tri_v4:5:7494811:7495994:1 gene:Potri.005G103400.v4.1 transcript:Potri.005G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G103400.v4.1 MASLSPINSAHHPVRSISLPSRSHPNSLKVEAQLTKLRTWESSTNPLRAETIQMSLTKLAELFNCIQDLIHSPLTQQAFHHQHLSHVEGAIEGSVGLLDVCSTVRDLFLTMKEHVQDMQSLLRRRGARDLSIESNVLAYVSFRKKTTKEITRSIRTLKRTASNVNGSYHSSTEVDNQQYSYVIEVINEARAIAISIFRSLVLFLSMPEVKKNTGGWSLISKLIRSGLLASDKGQKIFNEVGNVDIALCSIQGQMRKNDAKIDVQEVQRRLETLDVCINGFNAKLDCIFRCLIQNRVSLLNLVTP >Potri.015G005400.4.v4.1 pep chromosome:Pop_tri_v4:15:321512:327285:1 gene:Potri.015G005400.v4.1 transcript:Potri.015G005400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005400.v4.1 MAVAENAGVRNGTAGQNFDNTVVSSETNDLERTSSKPRNESVGFSNTKDSNFQSQNNDHQSVNGKSGETGGLSNGKTNGAQMQNGFDMNQSGGYGDDQIRHQKSKSNEVNDMNDLVEMLSKLNPMAEEFVPPSLANHHGYFGNGFGINANNFVVQTINGNANGPINRRKKNFNQGRRRMNSRTSMAQQEEIIRKTVYVSDIDQQVTEEQLAGLFIHCGQVVDCRICGDPNSVLRFAFVEFTDEEDARTALSLSGTVLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREICARTIYCTNIDKKITQADVKLFFESFCGEVHRLRLLGDYHHSTRIAFVEFAVAEGAIAALNCSGAVLGSLPIRVSPSKTPVRPRIPRPLFN >Potri.015G005400.5.v4.1 pep chromosome:Pop_tri_v4:15:321918:327287:1 gene:Potri.015G005400.v4.1 transcript:Potri.015G005400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005400.v4.1 MAVAENAGVRNGTAGQNFDNTVVSSETNDLERTSSKPRNESVGFSNTKDSNFQSQNNDHQSVNGKSGETGGLSNGKTNGAQMQNGFDMNQSGGYGDDQIRHQKSKSNEVNDMNDLVEMLSKLNPMAEEFVPPSLANHHGYFGNGFGINANNFVVQTINGNANGPINRRKKNFNQGRRRMNSRTSMAQQEEIIRKTVYVSDIDQQVTEEQLAGLFIHCGQVVDCRICGDPNSVLRFAFVEFTDEEDARTALSLSGTVLGYYPLRVLPSKTAIAPVNPTFLPRSEDEREICARTIYCTNIDKKITQADVKLFFESFCGEVHRLRLLGDYHHSTRIAFVEFAVAEGAIAALNCSGAVLGSLPIRVSPSKTPVRPRIPRPLFN >Potri.002G067600.1.v4.1 pep chromosome:Pop_tri_v4:2:4661241:4663406:1 gene:Potri.002G067600.v4.1 transcript:Potri.002G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067600.v4.1 MKIPSTLLLLLSLLSLQSQPSCSTTILVDGVSEWKDPNVYIGDSIIFKHKFHYSLYIFQNQRAFNICNFTQATLLTKPNSTSYTWYPSRPGFFYFTFNNGSLKSCNQDSQKLSIKVSPAPPPPPAQLPPTASPPVPAQIPGDIVSSSPAYQWPSRPRDETAFSPAPEPGGSAASSPMATVPTLMPDKGGGIPFINSNPAVPLPTGEIDSATIRPLPTSGHHKLVAVGLLGFHMPLFCVVLLLPLL >Potri.012G069300.1.v4.1 pep chromosome:Pop_tri_v4:12:9050447:9053221:1 gene:Potri.012G069300.v4.1 transcript:Potri.012G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069300.v4.1 MGSGTGSFFKVLLKNFDVLSGPVVSLVYPLYASIRAIETKSHVDDKQWLTYWILYSMITLFELTFAKVIEWIPIWPYARLILTCWLVIPYFSGAAYVYENFVRPFFANPQQTLNIWYVPRKKDVFSKPDDVLTAAEKYIEENGTSAFEKLITKGKSKRRSLFDDEDDRY >Potri.003G058500.8.v4.1 pep chromosome:Pop_tri_v4:3:8529418:8533018:1 gene:Potri.003G058500.v4.1 transcript:Potri.003G058500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058500.v4.1 MAAFNIPPPSTTTTTFSSLPSSYCHSNIIRTNYFNNDYNNNKKFSRGFHFSLFSSQTNPSSSSSSKTKRELSKMPTHFSKSGSEHSWIQDGSMNRNSTSSNKGMQGPLHSVFPSTPALVSSVEDLFEFICSGPLISKLGLTSEMIAESIDKWISYGLQLCRLFQLNELYLTVPQKARFYHYYIPVFVWCEDKISKHVTQFKDSEDIPPLVIGFSAPQGCGKTTLVFALDYLFKVTGRKSAMLSIDDFYLTAEGQAKLREASPGNALLEFRGNAGSHDLPFSIETLSALNKLTKEGMKMRLPRYDKSAYSGRGDRADPSTWPEVEGPLTVVLFEGWMLGFKPLPVEVVQAVDPQLQIINKNLEAYYDAWDKFIKAWVVIKIQDPSCVYQWRLQAEIAMREDGNPGMTDEEVKDFVSRYLPAYKAYLPTLYAEGPNGSDPENLLVIEIDEGRNPILGN >Potri.003G093100.1.v4.1 pep chromosome:Pop_tri_v4:3:11952982:11954704:1 gene:Potri.003G093100.v4.1 transcript:Potri.003G093100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093100.v4.1 MASLDAPQTWIPHVNIKDCSQGFCSLYCPQWCYIISPPPPPFEFPDDDSSPIFSPLVIAIIGILASAFLLVCYYTIISKYCGNDYSARRRDQNHGQNEEFEDDHNSSLHEPWHAATTGVDEALIRSITVCKYKKGDGLIEGTDCSVCLSEFEEDESIRLLPKCSHAFHVPCIDTWLRSHSNCPLCRANIVFFSASLPQLPPPVTETPQGNESLQESQRANENVSVTQDTERVARDEQATQNLAGVPKTPSRVFRDSGNLEERDIIIEMRDIDRYQRIRRSFSMDHPCQSHTSIAEIIRMNQDEVVPVEDCSGDVGSSKHSAEISKCVSSSCRRVLNCVLNPVTMKRSFSSGRFFLTGHGRMRDTISLV >Potri.006G088801.1.v4.1 pep chromosome:Pop_tri_v4:6:6749314:6751541:-1 gene:Potri.006G088801.v4.1 transcript:Potri.006G088801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088801.v4.1 MLFRIVFLVRVLVSLAIAASQDLNFTFSGFRSTNLSLDGLAELTSNGLLRLTNETKQRTGHAFYPNPVTFKNSINSTAFTFSTAFVFAIIPEYATLGGHGIAFVIAPTRGLPGALPNQHLGLFNNTNNGKQTNHVVAVELDTIYNSEYNDINDNHVGIDINDLDSERSASAGYYSQLNGKLTNLTLISGHPMQVWMEYDGMEKQLNVTIAPIDVDKPSRPLLTLSRDLSPILNSSMYIGFSSSTSNSYFASQYVLGWSFKMNGLAEAVDISRLPKLPRVGPKKTSKFLTIGLPVLCLSLVLVAVSSISYAIRWTRKFAEVLEDWELDYGPHRFKFKDLYTATKGFRDEELLGSGGFGRVYKGVFPTSKIQIAVKRLSHDSRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDHPTVALNWSQRFRIIKGVASGLFYLHEEWEQVVVHRNVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTSTDVFAFGAFLLEVASGRRPI >Potri.014G038200.1.v4.1 pep chromosome:Pop_tri_v4:14:2453610:2456384:1 gene:Potri.014G038200.v4.1 transcript:Potri.014G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038200.v4.1 MEFFYLPFLTNNMTSNPMFFIFIFICSIFWISRKFLAGTGKKKAAPKAGGAWPVIGHLHLLGGAEPPHKVLGSMAEKYGPIFTIKMGVHRALVVSNWETAKECFTTHDKAFSGRPRTLASELLTYDGAMMGFSPYGPYWRQVRKITTVELLSNYRLEKLKDVRESEVRAFLKELYKLWDENRCSASKSKSSLVLVEMKRWFGDLTLNIVLRTIVGKTVGYISNVEDEESVEGWKKGLKDFFHWTGVFSVSDALPFLRFLDLGGHGEAMKKTAKELDLVVEDWLQEHKRKRAAGIVKGKEDFMDLMLDVFDNDAEAVQGGDSDTTIKATSLALILAASNTTAVTLIWALSLLVNNPNVLKKVQLELDTQVGKERQVEESDMQNLVYLKVVIKETLRLYPATPLLIPHEAIEDCTIDGYHVPRGTRLLINVPKIHRDERVWSNPNEFDPERFLTTHRGFDVRGKNFEFFPFGSGRRKCPGVSFALHVMDLALATLLHGFDFATPSGEPVDMHESSGLTNLRATPLEVLLSPRLPSRLYGH >Potri.012G100300.1.v4.1 pep chromosome:Pop_tri_v4:12:12334014:12334574:-1 gene:Potri.012G100300.v4.1 transcript:Potri.012G100300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100300.v4.1 MEANYEQRGFTSNGKLVMSWYQASNPSSSTVHDVRLVIPNQSSSVASVSRYSDAQSIDAKAASYISTVRQRFTLE >Potri.002G125700.1.v4.1 pep chromosome:Pop_tri_v4:2:9555681:9557481:-1 gene:Potri.002G125700.v4.1 transcript:Potri.002G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125700.v4.1 MALAMASLSKPPPPLSLHALSNPISLFSSPAVVLSFPFNRTAFASPSARAIKTGSDSSDLLRKPIAPSEKDLFGISEEEEEDSEGERDEEEEEGFVDWEDRILEDTVPLVGFVRMILHSDKYESGDRLSQEHDRTIVDRLLAYHPDFDNKIGCGIDYITVGYHPDFADSRCLFIVRKDGQAVDFSYWKCLKGLIKKNYPLYADSFILRHFRR >Potri.012G023700.1.v4.1 pep chromosome:Pop_tri_v4:12:2376041:2377196:1 gene:Potri.012G023700.v4.1 transcript:Potri.012G023700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023700.v4.1 MSYQILIEGVVDFDQAVAGDHAEAAESFACVDDDDHQQQLEQISRRKKKKKKGSKMNTRRFSDEQVRSLESMFESETKLEPRKKMQLARELGLQPRQVAIWFQNRRARWKTKQMEQKYKTLKASYDNLASSYESLKNERESLLLQLQTVSNQLGNPCKGLERCDRGIDEVLHDGITNLEVEAQQGQDNSTIMANVCDDMSMGNGHSGDQGQGFLYTGSPEYSDGTLASAYEKCYSTDSGAPFDLSWNNSYCFSFRS >Potri.013G045410.1.v4.1 pep chromosome:Pop_tri_v4:13:3181271:3182378:1 gene:Potri.013G045410.v4.1 transcript:Potri.013G045410.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045410.v4.1 MNKISNLIVFMLVHGLVILSPSTSAMHMVQASDTADQQAALETTSSRNWDLPRKLKVAYPPENMRGNDKYYTAKKLKGIKIMLSLDSDRQSGTVKIASTKHFSAEVNAELERILGTPKPSSPNPNPLHHYGTPPRNAMDTSMPKATCKPKCKL >Potri.003G154400.5.v4.1 pep chromosome:Pop_tri_v4:3:16608564:16612985:1 gene:Potri.003G154400.v4.1 transcript:Potri.003G154400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154400.v4.1 MWPPELATTSTANEIGGSYEINTVPSMIQPPIEQYMSFLSGCLDSPTIPDSKQKRKAPDDVNRDQERKKEADRAYRQRCKFKKIKNEEKLCALTEENNRLDRENKHLKNEEVRLKEVVQTQNENMKQLQGHFCQLKSQLDKQNIVVEVLSKQLAMCKDIDRQREIERLKCENDLLTKSINNRDSLNIIQLEAKNTKLEQEKRSLQMIIDALCTKINKDSEHELKEAC >Potri.014G108400.1.v4.1 pep chromosome:Pop_tri_v4:14:7281820:7285032:-1 gene:Potri.014G108400.v4.1 transcript:Potri.014G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108400.v4.1 MGIKRKKSESEAMEDYDDDVVVDRKEKKKMKKMEKEKEKEKEKEKKKEILPSMIKNKEKRSAVHAKLKQQKKVEKRKKLKARDAEEKRALELGEEPPPRKIPRTIENTREFDETVCKPDDELFAGNDADEFSSVLKRDRIPKILITTSRFNSTRGPAFISELLEVIPNAHYYKRGTYDLKKIVEYAKKQDFTSLIVVHTNRREPDGLLIIGLPDGPTAHFKLSRLVLRKDIKSHGNPTSHEPELVLTNFTTCLGHRIGRLIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFETKESKQSDSKGKNRKDGKGEKNTQQKVIARLQECGPRFTLKLRSLQHETFDTKGGEYEWVHKPEMDTSRRRFFL >Potri.016G140500.3.v4.1 pep chromosome:Pop_tri_v4:16:14368405:14373427:1 gene:Potri.016G140500.v4.1 transcript:Potri.016G140500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140500.v4.1 MQSTMRFILKQAPLFFLLSVVLFACILAESDSDNSERLRSAPHKNVRSSVIDGSGTENAVDFEGTSDGLEERKGGYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPHWEGLCGGTAAGVMLAASFDLIREGQGHGAGSWVVIGILSGGIFILLCKKFLEQYGEVSMLDITGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMMLASKGFSPQNAMLWSVITSLPQPIVAVPAFMCADAFSKFLPFCMGFAAGCMIWMVVAEVLPDAFKLLVSSKMGFFPLISLLALGAAFVHVSSSSILKIAGRKKASVNNLPTVTGFPVSVHTLQSFLSCGAVAFHALAEGLALGVAAPEAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHSALAAATLIGFVGPISAIGAILARIDYSGLDHVMVFACGGLLPSFGSIIRRGVRLDTRRGGFGLAVGVGFASLCLMCTKLVCLHTPYCNSAPEAVR >Potri.016G031100.8.v4.1 pep chromosome:Pop_tri_v4:16:1726617:1730770:-1 gene:Potri.016G031100.v4.1 transcript:Potri.016G031100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031100.v4.1 MALPLLVLVSIFVLLLAYILYQRLRFKLPPGPRPWPIVGNLYAIKPIRFRCFAEWAQAYGPVVSVWFGSTLNVVVCNAELAKQVLKENDQQLADRHRSRLAARFSRDGKDLIWADYGPHYVKVRRVSTLELFSAKRLEELRPIREDEVTFMAESIFKDCTNPENHGKSLLVKKYLGDVAFNNITRLAFGKRFMNSEGIIDEQGQEFKAIVSNGVRLGGSLTMAEHIPWLQWMFPLEEEAVEKHNARRDGLTRVIMEEHTNARKKSGGAKKHFVDALLTLQEKYDLSEVTITGLLWDMITAGMDTTAITVEWAMAELIKNPRVQQKAQDELDRVVGFERVMTEADFPNLPYLQAVVKESLRLHPPTPLMLPHRASTTVKIGGYDIPKGSVVHVNVWAVARDPALWKNPLEFRPERFFEEDVDMKGHDFRLLPFGAGRRVCPGAQLAINLVTSMIGHLLHHFHWTTPDGVKPEEIDMSERPGIVTYMMTPLQAVATPRLPPHLYKRVASDM >Potri.006G078500.3.v4.1 pep chromosome:Pop_tri_v4:6:5837895:5842611:-1 gene:Potri.006G078500.v4.1 transcript:Potri.006G078500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078500.v4.1 MFHLILFTRKFKTTDIPGNVSKGAPGFCSVYVISKGKISSVRSASGPPPPKHSIQTQMQSRDTVETLFKSSLGPRVPERSPYTLQGLQEDMEIKSPFTRRGGIAKYEPSIPDSDISFVSSGRPSIDHTFPAIYDAFETGMTPRLSNAFETGMTPRLSNGSDYDYRSFGSLYSGNKSIDSSSQYNFSSTSQESSNTSWSSSQNMDDMESEMRRLRLELKQTMDMYSSACREALTAKQKARELHHWKLEEQQRSEGARLAEEAALQLVAKERAKCKAAIEAAESSQRIAELELQKRLNAEMIAQKEFQEKNKALASIANSDLRYRKYTIEEIEAATDEFSNSLKIGEGGYGPVYRSYLDHTPVAIKVLRPDAAHGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDCLFHRGNSPPLSWQLRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSIANTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGILLLQIITAKPPMGLTHHVERAIEKGTFAEMLDPAVLDWPIEEAMNFAKLSLCCAELRRKDRPDLGAVILPELKRLRELAEENMPSVMPGGSTGSSPYHSQFSSVQDVITASYNLDMIAQEATQAHHLEEEDEGRLKNTLL >Potri.006G078500.2.v4.1 pep chromosome:Pop_tri_v4:6:5837895:5843078:-1 gene:Potri.006G078500.v4.1 transcript:Potri.006G078500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078500.v4.1 MYSKQITSQAKSLFLPFRCFCTRKEIKCNEVVVEKMDVAKGIIEYVTTNAIEILILGSSSKGGLVRKFKTTDIPGNVSKGAPGFCSVYVISKGKISSVRSASGPPPPKHSIQTQMQSRDTVETLFKSSLGPRVPERSPYTLQGLQEDMEIKSPFTRRGGIAKYEPSIPDSDISFVSSGRPSIDHTFPAIYDAFETGMTPRLSNAFETGMTPRLSNGSDYDYRSFGSLYSGNKSIDSSSQYNFSSTSQESSNTSWSSSQNMDDMESEMRRLRLELKQTMDMYSSACREALTAKQKARELHHWKLEEQQRSEGARLAEEAALQLVAKERAKCKAAIEAAESSQRIAELELQKRLNAEMIAQKEFQEKNKALASIANSDLRYRKYTIEEIEAATDEFSNSLKIGEGGYGPVYRSYLDHTPVAIKVLRPDAAHGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDCLFHRGNSPPLSWQLRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSIANTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGILLLQIITAKPPMGLTHHVERAIEKGTFAEMLDPAVLDWPIEEAMNFAKLSLCCAELRRKDRPDLGAVILPELKRLRELAEENMPSVMPGGSTGSSPYHSQFSSVQDVITASYNLDMIAQEATQAHHLEEEDEGRLKNTLL >Potri.006G078500.4.v4.1 pep chromosome:Pop_tri_v4:6:5837895:5841696:-1 gene:Potri.006G078500.v4.1 transcript:Potri.006G078500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078500.v4.1 MTPRLSNAFETGMTPRLSNGSDYDYRSFGSLYSGNKSIDSSSQYNFSSTSQESSNTSWSSSQNMDDMESEMRRLRLELKQTMDMYSSACREALTAKQKARELHHWKLEEQQRSEGARLAEEAALQLVAKERAKCKAAIEAAESSQRIAELELQKRLNAEMIAQKEFQEKNKALASIANSDLRYRKYTIEEIEAATDEFSNSLKIGEGGYGPVYRSYLDHTPVAIKVLRPDAAHGRSQFQQEVEVLSCIRHPNMVLLLGACPEYGCLVYEYMANGSLEDCLFHRGNSPPLSWQLRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPPSIANTVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGILLLQIITAKPPMGLTHHVERAIEKGTFAEMLDPAVLDWPIEEAMNFAKLSLCCAELRRKDRPDLGAVILPELKRLRELAEENMPSVMPGGSTGSSPYHSQFSSVQDVITASYNLDMIAQEATQAHHLEEEDEGRLKNTLL >Potri.014G004500.1.v4.1 pep chromosome:Pop_tri_v4:14:353556:359972:1 gene:Potri.014G004500.v4.1 transcript:Potri.014G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G004500.v4.1 MDKVGASLLRWLALLIWFFFIVKLTAASRKIFQSENGKSLGVRLHKHHHRMVMDNGLVQVTLSSPGGDITGIQYNGIHNVLETRNREGNRGYWDVVWNLPGNHIAYDRLKGTDFNVIMEDEDQVELSFKKSWNFTHGGSSAPLNVDKRYIMRRGSSGVYLYAILERLEGWPDMDMDQIRIVFKLQNDKFHFMAISDDRQRIMPMPRDRISGEPLAYPEAVLLTNPTNPQLGGEVDDKYHYSCENKDNRVHGWISENPTVGFWMITASDEFRAGGPLKQDLTSHVGPTVLSMFTSTHYSGKDLNTKYRNGKPWKKVLGPVFVYLNSISSLEDPTTLWEDAKDQMSIEVNSWPYNFPQSEDFPSSDRRGTVSGQLLVGDKYISDKPMWASYAYVGLAAPGGVGSWERDAMGYQFWVQANEEGHFLIENIRAGHYNLYAWVPGIVGDCRYDVIIHIQPGCDVKLGVLVYEPPRNGPTLWEIGIPDRTAAEFFVPDTYPTLMNKLFINQSTHKFRQYGLWERYSDLYPKHDLIYTTGISNYHQDWFFAQVPRNMGNHTYQATTWQIKFEIENATPRTGNYTLQVALASASASELQVRFNDRRAKRPHFRTRLIGRDNAIARHGIHGLYWFYSINVPSRLLRQGNNTVYLTQSRSKSPVGGIMYDYIRLEGPPETGPSVE >Potri.005G080300.1.v4.1 pep chromosome:Pop_tri_v4:5:5466128:5473372:1 gene:Potri.005G080300.v4.1 transcript:Potri.005G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080300.v4.1 MGHNKPSRRFKTHNSHRGQSSRTNHLDREDESLPHDQVPEEDTTGPKIQLAMWDFGQCDAKKCTGRKLARFDLLKELRVSSGFGGIVLSPVGSHCVSREDYNLIKRKGLAVVDCSWARLEDVPFVKLRCASPRLLPWLVAANPVNYGRPCQLSCVEALSAALIICGEEETANLLLGKFKWGHAFLSLNRELLKSYSECGNSAEIISVQNAWLTQQREVPKAVSDTKGADLSENEGSSNDSEDGLPPLERNMNHLNFQESEDESE >Potri.005G091800.1.v4.1 pep chromosome:Pop_tri_v4:5:6388120:6391193:-1 gene:Potri.005G091800.v4.1 transcript:Potri.005G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G091800.v4.1 MGLSAFVLRLFLCSFALFLSASSWELDDKIKALQDADRILGLPGQPPVKFRQYSGYVTVDETYGKALFYWFFEATYQPEKKPLLLWLNGGPGCSSVGFGEAQELGPFLVKEGPSIVFNNYTWNKAANLLFLDSPAGVGFSYSNTSLDVQGDSMTALDAHTFLLNWFKRFPQYKSSEFYIAGESYAGHFVPQLAEVIFDENKNSTEDTYINLKGFMIGNAILDDETDQKGMVDYAWDHAIISDGVYNSIKKNCDFITNLTEECWDSLLKYYNVYKIINVYSLYSPTCPLDQPFAKSTKMFAVPKSLKTIDILRRIPAGYDPCSMNHATDYFNLPDVQAALHANVTNIPGPYVLCNNDVNSAWQDSATSILPVIKKLINGGIRVWVFSGDTDGRVPVTSTRYTLNKLGLNITEDWTPWYNHREVGGWTITYDGLTFITVRGAGHQVPTYAPKRALQLVRHFLANKKLPSVAF >Potri.003G180600.1.v4.1 pep chromosome:Pop_tri_v4:3:18631835:18633167:-1 gene:Potri.003G180600.v4.1 transcript:Potri.003G180600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180600.v4.1 MEPPARSEACLSETSSLISVSNAPSCLRPSLIMESQKEGRKQLEEDQEAEEQEPREGGDTNHDLVLDLSLSNKDSNQESSKPELNLIDSFDMNPSSQSLDAPQGNETEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRISEASFSLLRPNYSHQNRYTSLASLPLHGSFNRSLGIQVHSMINKPSNTSSSSNIYGQNGWSRKPIDRQPEIGRLESEKFLMGKNISGSSSINGVARFESARRFSPVITEGIGGFWWEVGVNHLKAKQDDLQKLDLSLKL >Potri.012G113533.1.v4.1 pep chromosome:Pop_tri_v4:12:13265633:13268295:1 gene:Potri.012G113533.v4.1 transcript:Potri.012G113533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113533.v4.1 MGSIAAIQCALTAILLVSTTVSSDDKSPIPADPSSLNTWFQDNVKPLADRKGTIDPALEAAEAKPRTIKVRQDGSGEFKTLKDAINSIPTGNTERVIVDIGPGEYIEKLKIERSKPFVTFLGSPSNKPTLSFDGTAKEYGTVYSATLEAEADYFVAANIIFKNSAPRPNGELKGEQAVALRISGDKSAFYNCRLIGFQDTLCDDKGRHLFKDCYIEGTVDYIFGSGKSLYLGTELHVIGDENGNFITAHARNSEAEDTGFSFVHCKVDGTGAKGAYLGRAWQARPRVVFSYTTMSSVVNPEGWSNNFHPERDQTALFGEYKCEGEGANPAGRAKATKQLTPDQAAPFISLGFIEGSKWLLHPPN >Potri.012G040700.2.v4.1 pep chromosome:Pop_tri_v4:12:3611811:3613298:1 gene:Potri.012G040700.v4.1 transcript:Potri.012G040700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MDEDNSLNIRNWGYYEPTTVKGNLGLQLMAPTMPEKPFSGSRSAAIMTSMNGGFNHRDIGVSQHMFPMEHMRDASIDKREKFHNVFTGNHDYDVVFPETSSANHMQMFQPPNSANDETLDQVEGAGVVEKENGPDKKKQRPKALKCLKAKKGKRGPQVPKPDGSPSAQQGKSSKKTVEIMINGISMDISLFPIPVCSCTGTPQQCYRWGCGGWQSACCTTCISVHPLPMSMKRRGARIAGRKMSLGAFKKVLEKLAGEGYDFSNAIDLRTHWAKHGTNKFVTIK >Potri.012G040700.1.v4.1 pep chromosome:Pop_tri_v4:12:3611704:3613386:1 gene:Potri.012G040700.v4.1 transcript:Potri.012G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MDEDNSLNIRNWGYYEPTTVKGNLGLQLMAPTMPEKPFSGSRSAAIMTSMNGGFNHRDIGVSQHMFPMEHMRDASIDKREKFHNVFTGNHDYDVVFPETSSANHMQMFQPPNSANDETLDQVEGAGVVEKENGPDKKKQRPKALKCLKAKKGKRGPQVPKPDGSPSAQQGKSSKKTVEIMINGISMDISLFPIPVCSCTGTPQQCYRWGCGGWQSACCTTCISVHPLPMSMKRRGARIAGRKMSLGAFKKVLEKLAGEGYDFSNAIDLRTHWAKHGTNKFVTIK >Potri.010G161300.7.v4.1 pep chromosome:Pop_tri_v4:10:16680788:16683373:1 gene:Potri.010G161300.v4.1 transcript:Potri.010G161300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161300.v4.1 MDMDMDIDPPSQIKDKDLFKAAETGDSSTFKSLPPERLSKALSLQNDDGRSVLHVAASSGHPEVVKILSDIDQSSSAVVNGKDEEGWAPLHSAASIGNVEIVEILLSKGADVNLKNDGGRAALHYAASKGWLKIAQLLISHGAKINIKDKVGCTPLHRAASTGNSELCELLIEEGAEVDAVDKADQTPLMSAIICNNKEVALLLIRHGADVDVEDKEGYTVLGRASEDFRPILIDAAKAMLEG >Potri.001G114300.2.v4.1 pep chromosome:Pop_tri_v4:1:9259789:9262556:1 gene:Potri.001G114300.v4.1 transcript:Potri.001G114300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114300.v4.1 MARQGRQWHHKILEFAAIGNNNIAKQDRDAVVTSRPESGDEVSGLTFDYEDILLPRDKKWPFLHRFSIGCFASPATQFLHIIPFINLALWLLALAILIYVSFTYILKCIFYFEAVKREYFHPVRVNFFFAPWVVCMFLANSVPPLLAPENLHPALWCAFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVRAILASKVGWKEAAKLWAVGFAHYLVVFVTLYQRLPTSEPLPKELHPVYSMFIAAPSPASIAWETIYGDFDGLSRTFSVALWSYTFPMTTASVTTIKYAENVPGVLSKGLALALSFMSSTMVVVLLVFTLLHAFVWHTLFPNDVAVAVAIAMKKRRLVKEKKPFEEACDI >Potri.019G054000.1.v4.1 pep chromosome:Pop_tri_v4:19:9097561:9105731:1 gene:Potri.019G054000.v4.1 transcript:Potri.019G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054000.v4.1 MVLFSGTKSYFYSSPILCKSTASNSNHSHAAHSLDAAYIKRAAQIADNSAGFSSPHPNFGCVIATHSGIVAGEGYLYAQGTKAAEVLAVEAAGGFSKGSTAYLNMEPGDCHGDQSAVSALVQAGITRVVVGIRHPLQHLHGNAIRSLRGQGLQVDVVGEDLKSKIMEEARKSCLLVNAPLIHRATSQVPFSVLKYAMTLDGKIATTSGHATWISSKKSRNRVFELRGRSDAIIVGGNTVRKDNPRLTARHGGGHTPIRIVMTQTFNLPEEANLWDISDVSTIVVTQRGARRSFQKLLASKGVEVVEFDILNPREVMEYFHDRGYLSILWECGGTLAASAISSGVIHKVFAFVAPKIIGGKNAPSPVGELGMVEMSQALDLIDVCFEQVGPDMLISGFLQPVPDLVPVIPSEDETFAIDPSITPYESRIIFFYKTWDPYGAFSNFSPHPIQMPDGSGAYATWSSVEHYYQAHKFVGVDEPVAKDCVEKIKFAKSPEEAARMGRSMQKQRPDLVRSDWEGLKIDVMYRALKCKFSIYPHLQSMLLSTAGSVLVEASPHDLFWGGGREGEGLNYLGRLLMLIRSEFLGECSSPSEITCEAL >Potri.002G178700.1.v4.1 pep chromosome:Pop_tri_v4:2:13982103:13983865:-1 gene:Potri.002G178700.v4.1 transcript:Potri.002G178700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PtVNS10 MTENMSISVNGQSQVPPGFRFHPTEEELLDYYLRKKVSYEKIDLEVIRDVDLNKLEPWDIQERCKIGTAPQNDWYFFSHKDKKYPAGTRTNRATAAGFWKATGRDKVIYGTGKRVGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDNPSETNVSNVMGEAAQEDGWVVCRIFKKKNLNKTLDRAMSSSPITADTRNQTLSSCNEGSLDQMLHYMGSTCKEENEADNSARYLWPIDTAINHVHHDNRFMELPSLESPNSTSSLNFYQSMITENEGSITNQVSYPLDSGLNNWVALDSLVASQINGQAETSRQSACFPNDPTMTYCTPTDLHHDLQFPTLRSSFSFPSNRSYHETQDYNSEIDLWNFSSRSSSDPQWHLPNTSV >Potri.001G319900.2.v4.1 pep chromosome:Pop_tri_v4:1:32954142:32956821:1 gene:Potri.001G319900.v4.1 transcript:Potri.001G319900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319900.v4.1 MAFFNKLGSLARQSISQKGQVPMVSMLNSIRCMSSSKLFIGGLPWSTDDQTLKDAFSGFGEVTEARVIMDRETGRSRGFGFVHYDSVENASEALSAMDGQNLGGRTVRVSFAEERRPPQSYNDNHQGSPPQSYNDNHQGSRGFDN >Potri.002G185400.1.v4.1 pep chromosome:Pop_tri_v4:2:14705713:14714499:1 gene:Potri.002G185400.v4.1 transcript:Potri.002G185400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185400.v4.1 MSSFNHIGRLLSGISLVAKEIAKRSKALETGDFETLIASTAKKALVSATDLSGLTKGKVREFSPPRLNGSVAYFNNSPDLAAESTPAESQLPIGDNGKESSASDGVLTPSVSFQDKILEEKRISKEEIGDLDRDNKGHAGAGEVAVAPAETVAAPPVVKRRKPRERRVPSSPFTRALGFAGLGAGLAWGTVQESAKRLVFGTPSSQDKQSAYSPFLSDKNAERLALALCRMRGAALKIGQMLSIQDESLVPAPILAALDIVRQGADVMPKSQLNQVLDAELGADWSTKLTSFDYEPVAAASIGQVHKATKDGMEVAMKIQYPGVADSIESDIENVKLLLDYTNLIPKGLFLDRAIKVAKVELSRECDYELEAANQKQFRSLLSDAEGFYVPLVVDDLSCKRVLSTEFVSGIPIDKVASLNQETRNYVGRKLLELTLMELFVFRFMQTDPNWSNFLYDEATNTINLIDFGAARDYPKRFVDDYLRMVVACANGERDVVIEMSKRLGFLTGEESEAMLDAHVQAGFIVGLPFSNPGGYDFRSSNITHSMSSLGATMLRHRLTPPPDEVYSLHRKLSGAFLACIKLGAVVPCRELLLEVYKDYQFGEDGDNQMLSGSIS >Potri.008G107100.2.v4.1 pep chromosome:Pop_tri_v4:8:6769332:6770334:-1 gene:Potri.008G107100.v4.1 transcript:Potri.008G107100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107100.v4.1 MYLMSTEKAVRLGERKDLNSMSKAFGGNGQCQKCFQSGHWTYECKNERVYMSRPSRTQQLKNPKLRMEVSTSCDLENPEGEDEEGRKREKKSKRKHRSDSDSGSDSEASVFETDSGSSSVTGSESSDEESSSGCSSSSSEEERRRRRKKRKQKKKERRRRYSSSSDESSDSDSGSESDSDDKSSRKKRRHSRRR >Potri.008G107100.1.v4.1 pep chromosome:Pop_tri_v4:8:6769352:6770701:-1 gene:Potri.008G107100.v4.1 transcript:Potri.008G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107100.v4.1 MSSKKEEKSQVAAERIKAAALSAAKGLSRAQAERAAAAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDLNSMSKAFGGNGQCQKCFQSGHWTYECKNERVYMSRPSRTQQLKNPKLRMEVSTSCDLENPEGEDEEGRKREKKSKRKHRSDSDSGSDSEASVFETDSGSSSVTGSESSDEESSSGCSSSSSEEERRRRRKKRKQKKKERRRRYSSSSDESSDSDSGSESDSDDKSSRKKRRHSRRR >Potri.012G083400.1.v4.1 pep chromosome:Pop_tri_v4:12:10883333:10884124:-1 gene:Potri.012G083400.v4.1 transcript:Potri.012G083400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083400.v4.1 MADFRQQQHQQRPGGQGFASTLPGKGPSTSQVLAVVTLLSVGGTLLFLSGLTLVGTLIGLAVTTPVFVIFSPVLVPTALVIGLGVLGFLASGAFGVTALSSLSWMASHSQSLIRGPLTQTLDQAKRKAQETAGQLGQKARETGQTVQGKAQEATKGAPGQEVSRT >Potri.002G215900.1.v4.1 pep chromosome:Pop_tri_v4:2:20222358:20227005:-1 gene:Potri.002G215900.v4.1 transcript:Potri.002G215900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215900.v4.1 MASLALRNPNSKRLLPFSSQFYCCCRGSPSSLTESLHSSNDRTSSSPWWRSMATFTRTKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETAKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAADGPMPQTKEHILLARQVGVPSLVCFLNKVDVVSDPELIELVEMEVRELLSFYKFPGDEIPIVRGSALSALQGTNEEIGKKAILKLMDAVDEYIPDPVRQLEKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLSKEGPKRTTVTGVEMFKKLLDQGQAGDNVGLLLRGLKREDVQRGQVIAKPGTVKTYKRFEAEIYSLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPENVKMVMPGDNVTAIFELILPVPLEKGQRFALREGGRTVGAGVVSKVLQ >Potri.015G006000.1.v4.1 pep chromosome:Pop_tri_v4:15:354273:364424:1 gene:Potri.015G006000.v4.1 transcript:Potri.015G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006000.v4.1 MAQLAGPDEIESFRIELAEIGRSIRTSFRSHVSSFRSVSTVKSEHGRDADDEDVSQWVDVERLPTFERIATALFEEQDGTAGNGDVKWGKRIINVSKLGAQERHMFIEKLIKHIENDNLRLLHKLRKRIDKVGVQLPTVEVRYKNLCVESECEIVQGKPLPTLWNTAKSILSGIANLSCSKQRTKISIIKDVSGVIKPGRMTLLLGPPGCGKTTMLLALSGKLSHSLKVAGEMSYNGHKLEEFVPQKSSAYVSQYDLHIPEMTVRETIDFSARCQGAGSRAEIMMEVSRREKQAGILPDSDVDAYMKAISVEGLKSNLQTDYILKILGLDICADTMVGDAMRRGISGGQKKRLTTGEMIVGPTRALFMDEISNGLDSSTTLQIISCLQHLSHIMDATVLISLLQPAPETFDLFDDIILMTEGKIVYHGPRSSICKFFEDCGFRCPERKGVADFLQEVISRKDQGQYWFLTEEPYSYVSVDQFVKKFKESQLGKNLEEEISKPFDKSKNHKSALSFTSYSLTKWEMFKACSVREFLLMKRNSFIYVFKTTQLFIIASITMTVLLRTRMAIDAIHASYYMGALFYGLLILLVDGFPELQMTVSRLSVFYKHRELCFYPAWAYAIPSAILKVPVSLLEAFVWTALTYYVIGYSPEFGRFLRQFLLLFLVHLTSTSMFRFVASVFQTVVASTAAGSLAILVALVFGGFVIAKPAMPVWLAWGFWISPLTYGEIGLTVNEFLAPRWEKVVSGYTSLGQQTLESRGLNFHGYFYWISVGALIGMTVLLNIGFTMALTFLKPPGNSRAFISREKYNQLQGKINDRDFFDKDMTLTAAPAKSSTETKKGRMVLPFEPLTMTFTDVQYYVDTPLEMRKRGNQQKKLQLLSDITGAFKPGILTALMGVSGAGKTTLMDVLSGRKTGGTIEGEIRIGGYLKVQDSFARISGYCEQTDIHSPQITVEESLVYSAWLRLPPEINARTKTEFVNEVIDIIELDEIKDSLAGMPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAAKNIVETGRTVVCTIHQPSIDIFEAFDELILMKIGGRIIYSGPLGQGSSRVIEYFESIPGVPKIKDNYNPATWILEVTSQSAEAELGVDFGRIYEGSTLYQENEDLVKQLSSPTPGSKELHFPTRFPQNGWEQLKACLWKQNLSYWRSPSYNLVRIVFMSSGASLFGLLYWQQGKKIKNEQDLFNIVGSMYALIVFFGINNCSSVLPFVTTERTVLYRERFAGMYSSWAYSFAQVLVEVPYLLAQSIIYLIITYPMIGYSSSAYKIFWSFHSMFCTLLFFNYQGMLLVSLTPNIQVAAILASFSYTMLNFFSGFVVPKPHIPKWWLWLYYICPTSWALNGMLTSQYGDVDEEISVFGEARALSDFIEDYFGFHHSFLSVVGVVLVIFPIVTASLFAYFIGRLNFQRR >Potri.015G047500.1.v4.1 pep chromosome:Pop_tri_v4:15:4742046:4750470:1 gene:Potri.015G047500.v4.1 transcript:Potri.015G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047500.v4.1 MSKLLLLLHSSPPLPPPPFHFTTAPILHHRATTLLRASILSKKPPNLSSSLALYRKATLSSSFLSPSSSFRCRTFSVRAFDSDDSKTEQEEEKKESFHVKKSDEDYPSGEFDFQEIGAWNRFLVKLKMLIAFPWERVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFIKAAYDPRISGIYLHIDGLNCGWAKVEELRRHIFNFKKSGKFVVAYLPACREKEYYLASACDDLYLPPTAYFSFYGFTVQAAFLAGVFENVGIQPDVQRIGKYKSAGDQLTRKSMSKENCEMLTAILDNIYGNWLDKVSSTKGKKIEDMKNFINEGVYKVERLKEEGLITNMHYDDEVISMLKEKVGVQKDKVLPMVDYSKYSRVRNWTLGLTGGRDLIAIIRASGSISRVKSPLSLSGSGIIGEQLIEKIRQARESKKYKAAIIRIDSPGGDALASDLMWREIRLLAESKPVIASMSDVAASGGYYMAMAADTIVAENLTLTGSIGVVTGKFSLGKLYEKIGFNKEIISRGKYAELLAADQRPLRPDEAELFAKSAQNAYEQFRDKAAFSRSMPVDKMEEVAQGRVWTGQDAASRGLVDAIGGFSRAVAIAKQKANIPQDRQVMLVELSRPSPTLPEILSGIGSSVVGAERTLKELLQDLAFSNGVQARMDGILFQGLEEASYDNPILTLIKDYLGSL >Potri.015G047500.6.v4.1 pep chromosome:Pop_tri_v4:15:4742072:4750466:1 gene:Potri.015G047500.v4.1 transcript:Potri.015G047500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047500.v4.1 MSKLLLLLHSSPPLPPPPFHFTTAPILHHRATTLLRASILSKKPPNLSSSLALYRKATLSSSFLSPSSSFRCRTFSVRAFDSDDSKTEQEEEKKESFHVKKSDEDYPSGEFDFQEIGAWNRFLVKLKMLIAFPWERVRKGSVLTMKLRGQISDQLKSRFSSGLSLPQICENFIKAAYDPRISGIYLHIDGLNCGWAKVEELRRHIFNFKKSGKFVVAYLPACREKEYYLASACDDLYLPPTAYFSFYGFTVQAAFLAGVFENVGIQPDVQRIGKYKSAGDQLTRKSMSKENCEMLTAILDNIYGNWLDKVSSTKGKKIEDMKNFINEGVYKVERLKEEGLITNMHYDDEVISMLKEKVGVQKDKVLPMVDYSKYSRVRNWTLGLTGGRDLIAIIRASGSISRVKSPLSLSGSGIIGEQLIEKIRQARESKKYKAAIIRIDSPGGDALASDLMWREIRLLAESKPVIASMSDVAASGGYYMAMAADTIVAENLTLTGSIGVVTGKFSLGKLYEKIGFNKEIISRGKYAELLAADQRPLRPDEAELFAKSAQNAYEQFRDKAAFSRSMPVDKMEEVAQGRVWTGQDAASRGLVDAIGGFSRAVAIAKQKANIPQDRQVMLVELSRPSPTLPEILSGIGSSVVGAERTLKELLQDLAFSNGVQARMDGILFQGLEEASYDNPILTLIKDYLGSL >Potri.012G143701.1.v4.1 pep chromosome:Pop_tri_v4:12:15461982:15465182:1 gene:Potri.012G143701.v4.1 transcript:Potri.012G143701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143701.v4.1 MAAGRDVKDAIVKEISTAKVDPMELDLSSLASVRKFASDFSSSGRPLNLLILSQVPRRACAKKCPAVQQRAMWH >Potri.002G144800.1.v4.1 pep chromosome:Pop_tri_v4:2:10905005:10908185:-1 gene:Potri.002G144800.v4.1 transcript:Potri.002G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144800.v4.1 MSTGDLLNIHPIELKFPFELKKQSSCSMQLTNKSDKYVAFKVKTTNPRKYCVRPNSGIVMPGSTCSVTVTMQAQKEAPSDMQCKDKFLLQSVVAPDGTTTKGITSELFNKEGDKVVEEFKLRVVYIPANPPSPVPEESDEGSSPPRPSVLENGNQDSSLLDAVSRTLEEPKEKSFETWSLISRLTEEKTSALQQNQKLQHELELMRKQISKKHAGGFSLLVVFLVGLFGILVGYIFK >Potri.007G062081.1.v4.1 pep chromosome:Pop_tri_v4:7:7032105:7032383:-1 gene:Potri.007G062081.v4.1 transcript:Potri.007G062081.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062081.v4.1 LQPKHAEEPSDVPALLIECQYVAVLSVTSVARNIERAFLACFIKVSFWPYLAGDDDVELAAEKDSAFRRAARWCGT >Potri.005G256600.5.v4.1 pep chromosome:Pop_tri_v4:5:24735487:24738010:1 gene:Potri.005G256600.v4.1 transcript:Potri.005G256600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256600.v4.1 MVFTAFTFPAIASTALAATGVAEDSRVYTDDANKFKISIPQGWQVGAGEPSGYKSVTAFYPEEASNSSVSVVITGLGPDFTRLESFGKVDAFAETLVGGLDRSWQRPPGVAAKLIDSKAANGLYYIEYTLQNPGESRRHLLSALGVTFNGWYNRLYTVTGQFVDEESEKFGTEIRKAVSSFRFI >Potri.005G256600.2.v4.1 pep chromosome:Pop_tri_v4:5:24735394:24737458:1 gene:Potri.005G256600.v4.1 transcript:Potri.005G256600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256600.v4.1 MACISSLNSLSQRPFNPNFSSFSSTKPSLYILKSKLNTNIPAPSTPLSCSRRRNQHQHVLCCNNNYKQEEELLFCLGEVPRGAGTKRREALFNMVFTAFTFPAIASTALAATGVAEDSRVYTDDANKFKISIPQGWQVGAGEPSGYKSVTAFYPEEASNSSGIFSVVITGLGPDFTRLESFGKVDAFAETLVGGLDRSWQRPPGVAAKLIDSKAANGLYYIEYTLQNPGESRRHLLSALGVTFNGWYNRLYTVTGQFVDEESEKFGTEIRKAVSSFRFI >Potri.006G281200.1.v4.1 pep chromosome:Pop_tri_v4:6:27048048:27052857:1 gene:Potri.006G281200.v4.1 transcript:Potri.006G281200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281200.v4.1 MGGEDNKETATDSQDSSSPAVKKRSGGGILSRVWKAVFRPHGDDFEKRLQHISKEEAAVLSRINRRSRNRRKITRHLIVFSVLFEVIAVGYAIMTTRSMDMNWKMRAFRILPMFLLPALSSLAYSAFVSFTRMCDRRDQKTLERLQAERQAKIDELKEKTNYYSTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDESKPTLPTGKSNDAEFVQASGLRNRKQVHTTSSSSGSTLVQHSDEEMPLSVQSEGPLTSEHNQLVVEHYTPQGYTTYDGGWVARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCRALNQPKQLEERVSGSSSPGLSTLRKGTGGSAEPISNAGDSPSDDSILASNSLDGAGSEIEEVTETIASREVTS >Potri.006G281200.3.v4.1 pep chromosome:Pop_tri_v4:6:27048020:27052806:1 gene:Potri.006G281200.v4.1 transcript:Potri.006G281200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281200.v4.1 MGGEDNKETATDSQDSSSPAVKKRSGGGILSRVWKAVFRPHGDDFEKRLQHISKEEAAVLSRINRRSRNRRKITRHLIVFSVLFEVIAVGYAIMTTRSMDMNWKMRAFRILPMFLLPALSSLAYSAFVSFTRMCDRRDQKTLERLQAERQAKIDELKEKTNYYSTQQLIQRYDPDPAAKAAAATVLASKLGADSGLKVYVGDESKPTLPTGKSNDAEFVQASGLRNRKQVHTTSSSSGSTLVQHSDEEMPLSVQSEGPLTSEHNQLVVEHYTPQGYTTYDGGWVARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCRALNQPKQLEERVSGSSSPGLSTLRKGTGGSAEPISNAGDSPSDDSILASNSLDGAGSEIEEVTETIASREVTS >Potri.018G028000.3.v4.1 pep chromosome:Pop_tri_v4:18:2086351:2087139:1 gene:Potri.018G028000.v4.1 transcript:Potri.018G028000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028000.v4.1 MNGQNAKTNFPTSHLDQDTNLGKDNNSPLPAKALAELLNSKLRKCCGKDPSPSLTCLRLDNDNSHIGVWQKKAGSRSSSNWVMKVELGNYNKKTESSPTVEIEPENGTEEEDRIAMQMIEELLNRN >Potri.018G028000.2.v4.1 pep chromosome:Pop_tri_v4:18:2086032:2087105:1 gene:Potri.018G028000.v4.1 transcript:Potri.018G028000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028000.v4.1 MFLVYFVYRKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPTSHLDQDTNLGKDNNSPLPAKALAELLNSKLRKCCGKDPSPSLTCLRLDNDNSHIGVWQKKAGSRSSSNWVMKVELGNYNKKTESSPTVEIEPENGTEEEDRIAMQMIEELLNRN >Potri.018G028000.1.v4.1 pep chromosome:Pop_tri_v4:18:2085939:2087133:1 gene:Potri.018G028000.v4.1 transcript:Potri.018G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028000.v4.1 MVQSKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPTSHLDQDTNLGKDNNSPLPAKALAELLNSKLRKCCGKDPSPSLTCLRLDNDNSHIGVWQKKAGSRSSSNWVMKVELGNYNKKTESSPTVEIEPENGTEEEDRIAMQMIEELLNRN >Potri.011G066400.4.v4.1 pep chromosome:Pop_tri_v4:11:5741590:5756218:1 gene:Potri.011G066400.v4.1 transcript:Potri.011G066400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066400.v4.1 MSGDQRPKDSAEGSSRSGGDHYQPQPAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQSNCNHVLDFLRYLDQFGKTKVHLHGCVFFGQPNPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSAETNPFGNGAIRVYLREVKECQAKARGIPYKKKTKKKTQIRARNEAKPPMQSA >Potri.011G066400.3.v4.1 pep chromosome:Pop_tri_v4:11:5741510:5756221:1 gene:Potri.011G066400.v4.1 transcript:Potri.011G066400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066400.v4.1 MSGDQRPKDSAEGSSRSGGDHYQPQPAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQSNCNHVLDFLRYLDQFGKTKVHLHGCVFFGQPNPPAPCTCPLRQAWGSLDALIGRLRAAYEEHGGSAETNPFGNGAIRVYLREVKECQAKARGIPYKKKTKKKTQIRARNEAKPPMQSA >Potri.001G277900.1.v4.1 pep chromosome:Pop_tri_v4:1:29131877:29133637:-1 gene:Potri.001G277900.v4.1 transcript:Potri.001G277900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM5 MANTNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >Potri.007G001500.11.v4.1 pep chromosome:Pop_tri_v4:7:92380:98584:1 gene:Potri.007G001500.v4.1 transcript:Potri.007G001500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001500.v4.1 MFGDATNWNEDAYKESILKDREIQTLTVFRTAWPPSSNPNPNTIVLASSDGSLTSYSISEIPLGFSDSRAPQVKPNAFLQGHDGPAYDVKFYGNAEEDALLLSCGDDGRIRGWRWEEFMQSQVPVALQGNHIEPIVDMVNPQHKGPWGALSPIPENNAIAVDTQRGSIFSAAGDSCAYCWDVETCKIKMCFKGHLDYLYCIVARNSTNQIITGSEDGSARLWDCRSGKCIRVIDSVKDEKFKGFSSSVSCVALDASESWLACGSGRSLSVWNLPASECVSRMCTRASIQDVIFSDNQILAVGAEPVMSRFDINGAVLSQIPSAPQSAFSVSVHPSGVTAVGGYEGLVDIISQFGSHYCTFHCQCV >Potri.007G001500.9.v4.1 pep chromosome:Pop_tri_v4:7:92416:98387:1 gene:Potri.007G001500.v4.1 transcript:Potri.007G001500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001500.v4.1 MFGDATNWNEDAYKESILKDREIQTLTVFRTAWPPSSNPNPNTIVLASSDGSLTSYSISEIPLGFSDSRAPQVKPNAFLQGHDGPAYDVKFYGNAEEDALLLSCGDDGRIRGWRWEEFMQSQVPVALQGNHIEPIVDMVNPQHKGPWGALSPIPENNAIAVDTQRGSIFSAAGDSCAYCWDVETCKIKMCFKGHLDYLYCIVARNSTNQIITGSEDGSARLWDCRSGKCIRVIDSVKDEKFKGFSSSVSCVALDASESWLACGSGRSLSVWNLPASECVSRMCTRASIQDVIFSDNQILAVGAEPVMSRFDINGAVLSQIPSAPQSAFSVSVHPSGVTAVGGYEGLVDIISQFGSHYCTFHCQCV >Potri.001G450000.1.v4.1 pep chromosome:Pop_tri_v4:1:47602856:47603441:1 gene:Potri.001G450000.v4.1 transcript:Potri.001G450000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450000.v4.1 MNSMFSSFDALCAELLGQKVRSSLALTTMDCNTKRVLTAGDNSEVGEDKLIKNREGSSSRSLARKQEKKVPRFAPELDGLNCFETIVNY >Potri.013G151333.1.v4.1 pep chromosome:Pop_tri_v4:13:14766403:14767369:-1 gene:Potri.013G151333.v4.1 transcript:Potri.013G151333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151333.v4.1 MEPLKFYLNESFSSLSQHQKYLITITFFQEKLHLMPSKDHNTTP >Potri.005G212900.2.v4.1 pep chromosome:Pop_tri_v4:5:21649604:21650240:-1 gene:Potri.005G212900.v4.1 transcript:Potri.005G212900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212900.v4.1 MDQRLQNTHVKLLAFDLLSLAQTPSFSTYDPITFTRKNTTISRTEILGVITSRELKPNKFLKFTIDDGTGCVTCVLWLNQLTSPYFSRRNPANVKLIADMAAHFASEIKIGLVARVRGRIAGYRGAVQVTVSDVVVERDPNVEAFHWLDCIRLARNCYNVVAGGAF >Potri.017G006900.1.v4.1 pep chromosome:Pop_tri_v4:17:524293:530242:-1 gene:Potri.017G006900.v4.1 transcript:Potri.017G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G006900.v4.1 MGQDRNVDIFEDEDKEALKGLSPVPLPPRNIHSHSQQLRATSSGPTTKKHHPGTRKHSLDDIPKPVLSDPFYYESSSEDDEFCPRSSSPSNTTRSRGGSGEDFFVGTAEDDQLMQFQPLPEFIANGGGTGIFKFPTRSAVHAGRPPCLELRPHPLRETQVGKFLRNIACTDKQLWAGQECGVRFWSFEDVYEAGSGLGGRARRGDEDAAPFFESGNTSPTMCLIIDSANRLVWSGHKDGKIRSWKMDQHLDDANSHFKEGLSWQAHKGPVLSIVMSSFGDLWSGGENGVVRIWPWDAIEKSLSLSPEEKHMAALLVERSFIDLRSQVTVNGACSISSSDIKCLLADNVRAKIWCAQPLSFSLWDARTKELLKVFNTEGQIENRADLPSVQQQDQPVEDEMKVKFVVPSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFADDAKRTEALVLTIDGMIWSGCPNGLLVQWDGSGNRLLEFNHHSSAVQCFCTFGTQIYVGYASGVIQVLDLEGKLICAWVAYSNPVLKLAVGNGYVFSLANHGGIRGWSIASPGPIDRIIRSEVASKELEYTRRNSFRILVGTWNVGQGRASQDALKAWLGSTASDVGIIVIGLQEVEMGAGFLAMSAAKETVGLEGSAIGQWWLDNIGKALDEGMAFERMGSRQLAGLLISLWVRKNLRKHVGDVDAGAVPCGFGRAIGNKGGVGLRIRVLDRIMCFVNCHLAAHLEAVNRRNADFDHIFRNMSFGRSSNPAAAAGVSTASHTIKGTNAASANNEEPKLDLAEADMVVFLGDFNYRLFGISYDEARDLVSQRSFDWLREKDQLRAEMQAGKVFQGMREALIVFPPTYKFARNQPGLGGYDSGEKKRIPAWCDRIIYRDNRSAPVSECSLDCPVVSSVIQYGACMDVIESDHKPVRCKFHVQVAHVDRSVRRQEFGLVVRSNEKVRSILEQLRYVPETTVSTNIIRLQSQDTVILRITNKDVKEKAIFRIVCAGVCTVNEDEDESTYHSRGSYGFPRWLEVTPAAGIIKQDHFVDISVHHEEFHSLEEHFDGIPQNWWCEDTRDKEAMLSVNIQGSNATGIQSHQIIVRHCYSAKTVRIDSISNNSRKGQGGSVHRSDLRQQSGSSDAVDDFRDF >Potri.017G006900.2.v4.1 pep chromosome:Pop_tri_v4:17:524292:530101:-1 gene:Potri.017G006900.v4.1 transcript:Potri.017G006900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G006900.v4.1 MGQDRNVDIFEDEDKEALKGLSPVPLPPRNIHSHSQQLRATSSGPTTKKHHPGTRKHSLDDIPKPVLSDPFYYESSSEDDEFCPRSSSPSNTTRSRGGSGEDFFVGTAEDDQLMQFQPLPEFIANGGGTGIFKFPTRSAVHAGRPPCLELRPHPLRETQVGKFLRNIACTDKQLWAGQECGVRFWSFEDVYEAGSGLGGRARRGDEDAAPFFESGNTSPTMCLIIDSANRLVWSGHKDGKIRSWKMDQHLDDANSHFKEGLSWQAHKGPVLSIVMSSFGDLWSGGENGVVRIWPWDAIEKSLSLSPEEKHMAALLVERSFIDLRSQVTVNGACSISSSDIKCLLADNVRAKIWCAQPLSFSLWDARTKELLKVFNTEGQIENRADLPSVQQQDQPVEDEMKVKFVVPSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFADDAKRTEALVLTIDGMIWSGCPNGLLVQWDGSGNRLLEFNHHSSAVQCFCTFGTQIYVGYASGVIQVLDLEGKLICAWVAYSNPVLKLAVGNGYVFSLANHGGIRGWSIASPGPIDRIIRSEVASKELEYTRRNSFRILVGTWNVGQGRASQDALKAWLGSTASDVGIIVIGLQEVEMGAGFLAMSAAKETVGLEGSAIGQWWLDNIGKALDEGMAFERMGSRQLAGLLISLWVRKNLRKHVGDVDAGAVPCGFGRAIGNKGGVGLRIRVLDRIMCFVNCHLAAHLEAVNRRNADFDHIFRNMSFGRSSNPAAAGMVRFLFLCCVLAFSTFLFWLLYVSGFPLVLSIAAGVSTASHTIKGTNAASANNEEPKLDLAEADMVVFLGDFNYRLFGISYDEARDLVSQRSFDWLREKDQLRAEMQAGKVFQGMREALIVFPPTYKFARNQPGLGGYDSGEKKRIPAWCDRIIYRDNRSAPVSECSLDCPVVSSVIQYGACMDVIESDHKPVRCKFHVQVAHVDRSVRRQEFGLVVRSNEKVRSILEQLRYVPETTVSTNIIRLQSQDTVILRITNKDVKEKAIFRIVCAGVCTVNEDEDESTYHSRGSYGFPRWLEVTPAAGIIKQDHFVDISVHHEEFHSLEEHFDGIPQNWWCEDTRDKEAMLSVNIQGSNATGIQSHQIIVRHCYSAKTVRIDSISNNSRKGQGGSVHRSDLRQQSGSSDAVDDFRDF >Potri.018G076400.2.v4.1 pep chromosome:Pop_tri_v4:18:9268533:9269588:-1 gene:Potri.018G076400.v4.1 transcript:Potri.018G076400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076400.v4.1 MGKLSLGKVLDCLCFTTPGSSCSCFCINSLDSQDDDFEKKPLIPSDKGRLVRLKDVVADNQTLAFQLKPKMVVLRVSMHCNGCARKVKKHVSKMEGVSSYKVDLESKMVVVIGDIIPFEVLESVSRVKNAELWNSPSS >Potri.009G141300.6.v4.1 pep chromosome:Pop_tri_v4:9:11279835:11285769:1 gene:Potri.009G141300.v4.1 transcript:Potri.009G141300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141300.v4.1 MGGLKLPPQTLHGIHGGRRPLTAPSSKPSFTIQPNYSSSISTGNFAGFTTRNVALFSPSIFPCGYFILGGARERRFGARNTQASVQPVTEELVEDKTKENPVSGDAIRRRFLEFYASRSHKVLPSASLVPDDPTVLLTIAGMLQFKPIFLGKAPRQVPRATTAQKCIRTNDVENVGRTTRHHTFFEMLGNFSFGDYFKKEAIKWAWELSTKEFGLPADRLWVSVYEDDDEAFEIWHDEVGVPVERIKRMGEEDNFWTSGATGPCGPCSELYYDFHPERGYKNTDLGDDSRFIEFYNLVFMQYNKMDDGSLEPLKQKNIDTGLGLERLARILQKVPNNYETDLIYPIIEKAAELANISYALADDRTKMNLKIIGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRAVRTGRLLGVKGGGEDGVFLPAIAEKVIELSPHIDPDVKARGHSILDELQREELRFVQTLERGEKLLDQMLAEALLNAQKSETLPCLSGKDVFLLYDTFGFPVEITTEVAEEQGVKIDMDGFEVEMENQRRQSQAAHNVVKLAVENGGDLAENVHDTEFLGYDTLSARAVVESLLLNGKSVIQVSEGSEVEVLLNKTPFYAESGGQIGDHGFLYVTQDQSKQTAVVEIKDVQKSLGSVFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQSALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLHDSELEEIENLINGWIGDGTLLQTKVMSLTDAKEAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTSEIRAFKIISEQGIASGIRRIEAVAGEAFIEYINARDSQMKLLCSTLKVKAEEVTTRVDNLLEELRTVRNEVSALRAKAAVYKASMIASKAFSVGTSKTIRVLVESMDDFDADALKSAAEYLMDTLQDPAAIILGSCPDEGKVSLVAAFTPGVVDIGIQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLTNALEKARTDLILILTEKAN >Potri.009G141300.7.v4.1 pep chromosome:Pop_tri_v4:9:11279828:11285769:1 gene:Potri.009G141300.v4.1 transcript:Potri.009G141300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141300.v4.1 MGGLKLPPQTLHGIHGGRRPLTAPSSKPSFTIQPNYSSSISTGFTTRNVALFSPSIFPCGYFILGGARERRFGARNTQVQPVTEELVEDKTKENPVSGDAIRRRFLEFYASRSHKVLPSASLVPDDPTVLLTIAGMLQFKPIFLGKAPRQVPRATTAQKCIRTNDVENVGRTTRHHTFFEMLGNFSFGDYFKKEAIKWAWELSTKEFGLPADRLWVSVYEDDDEAFEIWHDEVGVPVERIKRMGEEDNFWTSGATGPCGPCSELYYDFHPERGYKNTDLGDDSRFIEFYNLVFMQYNKMDDGSLEPLKQKNIDTGLGLERLARILQKVPNNYETDLIYPIIEKAAELANISYALADDRTKMNLKIIGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRAVRTGRLLGVKGGGEDGVFLPAIAEKVIELSPHIDPDVKARGHSILDELQREELRFVQTLERGEKLLDQMLAEALLNAQKSETLPCLSGKDVFLLYDTFGFPVEITTEVAEEQGVKIDMDGFEVEMENQRRQSQAAHNVVKLAVENGGDLAENVHDTEFLGYDTLSARAVVESLLLNGKSVIQVSEGSEVEVLLNKTPFYAESGGQIGDHGFLYVTQDQSKQTAVVEIKDVQKSLGSVFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQSALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLHDSELEEIENLINGWIGDGTLLQTKVMSLTDAKEAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTSEIRAFKIISEQGIASGIRRIEAVAGEAFIEYINARDSQMKLLCSTLKVKAEEVTTRVDNLLEELRTVRNEVSALRAKAAVYKASMIASKAFSVGTSKTIRVLVESMDDFDADALKSAAEYLMDTLQDPAAIILGSCPDEGKVSLVAAFTPGVVDIGIQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLTNALEKARTDLILILTEKAN >Potri.009G141300.1.v4.1 pep chromosome:Pop_tri_v4:9:11279834:11286100:1 gene:Potri.009G141300.v4.1 transcript:Potri.009G141300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141300.v4.1 MGGLKLPPQTLHGIHGGRRPLTAPSSKPSFTIQPNYSSSISTGFTTRNVALFSPSIFPCGYFILGGARERRFGARNTQASVQPVTEELVEDKTKENPVSGDAIRRRFLEFYASRSHKVLPSASLVPDDPTVLLTIAGMLQFKPIFLGKAPRQVPRATTAQKCIRTNDVENVGRTTRHHTFFEMLGNFSFGDYFKKEAIKWAWELSTKEFGLPADRLWVSVYEDDDEAFEIWHDEVGVPVERIKRMGEEDNFWTSGATGPCGPCSELYYDFHPERGYKNTDLGDDSRFIEFYNLVFMQYNKMDDGSLEPLKQKNIDTGLGLERLARILQKVPNNYETDLIYPIIEKAAELANISYALADDRTKMNLKIIGDHLRAIVYLISDGVLPSNIGRGYVVRRLIRRAVRTGRLLGVKGGGEDGVFLPAIAEKVIELSPHIDPDVKARGHSILDELQREELRFVQTLERGEKLLDQMLAEALLNAQKSETLPCLSGKDVFLLYDTFGFPVEITTEVAEEQGVKIDMDGFEVEMENQRRQSQAAHNVVKLAVENGGDLAENVHDTEFLGYDTLSARAVVESLLLNGKSVIQVSEGSEVEVLLNKTPFYAESGGQIGDHGFLYVTQDQSKQTAVVEIKDVQKSLGSVFVHKGTIREGVLEVGREVEAAVDAKLRQRAKVHHTATHLLQSALKKVIGQETSQAGSLVAFDRLRFDFNFHRPLHDSELEEIENLINGWIGDGTLLQTKVMSLTDAKEAGAIAMFGEKYGEQVRVVEVPGVSMELCGGTHVSNTSEIRAFKIISEQGIASGIRRIEAVAGEAFIEYINARDSQMKLLCSTLKVKAEEVTTRVDNLLEELRTVRNEVSALRAKAAVYKASMIASKAFSVGTSKTIRVLVESMDDFDADALKSAAEYLMDTLQDPAAIILGSCPDEGKVSLVAAFTPGVVDIGIQAGKFIGPIAKLCGGGGGGRPNFAQAGGRKPENLTNALEKARTDLILILTEKAN >Potri.010G118900.1.v4.1 pep chromosome:Pop_tri_v4:10:13746524:13750761:-1 gene:Potri.010G118900.v4.1 transcript:Potri.010G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G118900.v4.1 MADSVEDLDSLFDYRRVQPIAILDEDDDDDEYDKPPVPSPKKRKISKHNVEIVGGDREASQVTNDDEDWLLPPPKDSSESPKQIDEDSTIKELRLRKQELKALTSKECLFQYLESPNRQSDSVQADLESGAEQPSKPHHERAKIVISIQDKDEVKQFRVYKDEKFERLFKRYADKVKLGIESLVFMFDGDKINLTATPDSLGMDDEDIIEVLAKKS >Potri.001G273600.2.v4.1 pep chromosome:Pop_tri_v4:1:28740611:28747482:1 gene:Potri.001G273600.v4.1 transcript:Potri.001G273600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273600.v4.1 MGSLLGFQDETVAVVDDDEEKSTSSSSSYGYKLVPWLNWNEWECVRDSFFSESPENILSAINRISTWRSRGCLPVVIDVTASIIEVQQKDPLYRKDLPDDALHSEQMLAMLYCMAILRLVNCVVEKTRKKTQVSIAEAAGAIGIPRTLIDIRHEGSHRDLPALALVQDSAVKAIDWLKSYYWEPQTKQIPFQSDGTASIRKEIESKLLELVSCLKVKKSPEPGSSAIKEKRSKKNITKTLKNLVRLYSSFSSEVLSVLFEFLLQALDSSNLVELTKGCLVGEDMSSFLDDWKLVITKFSKKEPELLLMLLKAVLNMIDAQEAMKYEMGTHLTSRAYRTETGQIERLSSLFAWLVGQLKGLKPLRCKETAAERKASSIGMNLSNTILMEVLRKCLLVSSNGNKQLMDSALHLAQLMGDTSVMGKLKKLSSLVLSDPDVTQEKSSLPSLNNLLIQQDESIHQATKKLEFVKFCRTKSKAVKRTDGEVGSSGGWAVAKSWNPCPIGMLPRDLGSSGHLPVLDCADDGKKPVHSSEWKQSWELKQGSSGDIRFSYGVERTSSKREAGCDIYLLDKSSAKKMRETADSFESDCENVLLSGDDKGCLMINGVWKKIGEEELLTIMSDVRILV >Potri.012G003301.1.v4.1 pep chromosome:Pop_tri_v4:12:1263263:1264804:-1 gene:Potri.012G003301.v4.1 transcript:Potri.012G003301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003301.v4.1 MFSRVLLCAVFFVVLLINHEPCAAKTNHLCEHPSSCGHLTNISYPFRLQGDPENCGDPSYQLTCENNRAILSLYSGKYYVKEINYKYSTIRIADVGLQEDNCSTLPLYHLSENNFTKTYFYVARILCSPLYISALILSSKKAYRYVVSGDMKASEVGDSCPVDLVVMATPLDNKRSLSYIDIHKILVNGLTLEWDTIYCRECKAQGFCLLDNTTRLCLAIRLVCGAPCVFIFLIYKWRRRHLSTYDNIEDFLQSHHNFMPIRYSYPEIKKMTSYFKEKLGEGGYC >Potri.001G232700.1.v4.1 pep chromosome:Pop_tri_v4:1:25132087:25132559:1 gene:Potri.001G232700.v4.1 transcript:Potri.001G232700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232700.v4.1 MISPSVVAAAMAALMMFLLLTPPSEAAISCSDVIKDLRPCVSYLMNGTGKPPAACCSGISAIQASASTTADKQAACNCIKSASKQINPSPQLAQALPANCGITLPFTVSPNVDCSKIT >Potri.011G081500.3.v4.1 pep chromosome:Pop_tri_v4:11:8791983:8800320:-1 gene:Potri.011G081500.v4.1 transcript:Potri.011G081500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G081500.v4.1 MDESWRMRMGVPTPSVPRRRSMEDIATTRHSMDARLDPDDFSDVFGGPPRSVLSRKFPADFTRSSSSSSSFYEEIFLPETDSLSKGKKNGGRSLPAFRIPARGEGFYSDVFRLSDEGRRSRQRSRANSKSKSNSSSVLSSEELSPQRRMVTGDDVALSSFASKLRWNSATGRPEGQPAGRQWNMPSFPRNHTEKCYMENEYIDEKVRCCSSYFKVSRQVSSPETISLEPHSHRSIKISVDDLELNSPSSPASSLCHEPEAKAGVQCNVMLEEELEQVEDEDEDEVMSSYVIEINSDHREGTGEAVSIDEAIAWAKEKFRSRSFDRQHENVSMDHHSDEAEERRNVHDFVGHQLDGHGSRQCTMEEELKKSRREEVEKSEKDMEMELLDEDIRLWSAGKETNIRLLLSTLHHILWPNSGWYAIALTSLIESSQVKKAYQKARLCLHPDKLQQRGGTLSQKYVAEKAFSILQDAWASFISQDLLFN >Potri.011G081500.2.v4.1 pep chromosome:Pop_tri_v4:11:8792002:8800775:-1 gene:Potri.011G081500.v4.1 transcript:Potri.011G081500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G081500.v4.1 MDESWRMRMGVPTPSVPRRRSMEDIATTRHSMDARLDPDDFSDVFGGPPRSVLSRKFPADFTRSSSSSSSFYEEIFLPETDSLSKGKKNGGRSLPAFRIPARGEGFYSDVFRLSDEGRRSRQRSRANSKSKSNSSSVLSSEELSPQRRMVTGDDVALSSFASKLRPINVPYRWNSATGRPEGQPAGRQWNMPSFPRNHTEKCYMENEYIDEKVRCCSSYFKVSRQVSSPETISLEPHSHRSIKISVDDLELNSPSSPASSLCHEPEAKAGVQCNVMLEEELEQVEDEDEDEVMSSYVIEINSDHREGTGEAVSIDEAIAWAKEKFRSRSFDRQHENVSMDHHSDEAEERRNVHDFVGHQLDGHGSRQCTMEEELKKSRREEVEKSEKDMEMELLDEDIRLWSAGKETNIRLLLSTLHHILWPNSGWYAIALTSLIESSQVKKAYQKARLCLHPDKLQQRGGTLSQKYVAEKAFSILQDAWASFISQDLLFN >Potri.012G132200.1.v4.1 pep chromosome:Pop_tri_v4:12:14686597:14688375:1 gene:Potri.012G132200.v4.1 transcript:Potri.012G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132200.v4.1 MILKCFCCVYREVEEEPKISKKNSSREYPWEIYTLKEILHATNNFHDDNKIGEGGFGSVYWGQTSTGTEIAVKRLKAMSSKAEMEFAVEVEMLGRVRHKNLLGLRGFYAGGNERLIVYDYMPNHSLIAHLHGQVAEDCLLDWNRRMNIIIGSAEGIAYLHHEANPHIIHRDIKASNVLLDVEFQAKVADFGFAKLIPEGVSHLTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISAKKPLEKLPGGVKRDIVQWATPYVQKGAFDHIADPRLKGRYDRAQLNLAIMIAMRCSDTNAENRPSMMKVVEWLNGGLGRTKEVSDVEDMVDEDEYEEEKL >Potri.018G117900.2.v4.1 pep chromosome:Pop_tri_v4:18:13295248:13297655:1 gene:Potri.018G117900.v4.1 transcript:Potri.018G117900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G117900.v4.1 MSLLEVLDLSNNGLSGNIPEQLVENCLSLGVLMLSNNYLKGQLFWKNFNLTYLTELILRGNQLTGILPNSLSNCSALQALDVSLNNLSGKIPRWIGYMSSLQYLDLSENNLFGSLPSNFCSSRMMIEVYLSKNKLEGSLIGALDGCLSLKRLDLSHNYFKGGIPESIGSSLELSVLLLGYNNLEAEIPRQLCELKKLRLIDLSHNNLCGHILPCLQPRSEWYREWDSAPGPSTMLLASAPMPLEDPSVNKSVEITIKSISYSFKGIILNLISGIDLSCNNLTGEIPFELGNLNNIELLNLSHNSLTGPIPPTFSNLKKIETLDLSYNNLNGEIPPQLLNLNSLSAFSVAHNNLSGKTPEMVAQFSTFNKSCYEGNPLLCGPPLAKNCTGAIPPSPLPRSQTHKKEENGVIDMEAFYVTFSVAYIMVLLAIGAVLYINPQWRQAWFYFIGQSINNCYYFLVDNLPVPARFRRFQPCV >Potri.011G065000.8.v4.1 pep chromosome:Pop_tri_v4:11:5547061:5554228:-1 gene:Potri.011G065000.v4.1 transcript:Potri.011G065000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065000.v4.1 MADSCLGPLISKASSPSLLLHSPPQLLFPFSSFPKPIPKPLVLSMTSLQCSPSPSSISNSSPRPSQPSLLVFSGGTAFNGVVEELKKLTTSVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSSAEALAVRTLLGHRLPLDPQDSKSQWYDIVGGEHSLWKGVSRPYSETIRAFLVYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTSGTMQPVDKGCSSVPALPSRIKRVFYMSNEGGNSLHEVFPMVNASVLDRLSNVDCIVYAMGSLFTSVCPSLVLRGIGEIISSRNCPKVLLLNGTHDRETNGFSASGFVTSITDALNRKYGDTDSCLENFVSG >Potri.011G065000.2.v4.1 pep chromosome:Pop_tri_v4:11:5544834:5554225:-1 gene:Potri.011G065000.v4.1 transcript:Potri.011G065000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065000.v4.1 MADSCLGPLISKASSPSLLLHSPPQLLFPFSSFPKPIPKPLVLSMTSLQCSPSPSSISNSSPRPSQPSLLVFSGGTAFNGVVEELKKLTTSVAHVLPVSDDGGSTAEIVRVLGGPAVGDIRSRCLRLSDQSSAEALAVRTLLGHRLPLDPQDSKSQWYDIVGGEHSLWKGVSRPYSETIRAFLVYFQNEILRRPNESFCFSNGSIGNFFFAGARIFFQSLDAAIFLFSRVSDIPAESLVLPVISTNDRLTLGCELWDGTIIRGQNEISHPTSGTMQPVDKGCSSVPALPSRIKRVFYMSNEGGNSLHEVFPMVNASVLDRLSNVDCIVYAMGSLFTSVCPSLVLRGIGEIISSRNCPKVLLLNGTHDRETNGFSASGFVTSITDALNRKYGDTDSCLENFPNQYINTLLVPKDGQIPIDVQCLTSQGIFDVIMVDSVCDPKVGVIFNPKSLIDALANLIGR >Potri.001G163000.1.v4.1 pep chromosome:Pop_tri_v4:1:13838091:13841996:-1 gene:Potri.001G163000.v4.1 transcript:Potri.001G163000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163000.v4.1 MDLTAPKYFQPPPVFVPNGEPALETKTSTSFYVKVKENPFADSFQDPLCKLNLKETSEFVKSFPMANSSAECRGFLEVSVPRRTEGVNSVTQRRVEAPPTPGRPVFSFSVGNLAKKSFPSKWDDAEKWLISSSCHESPAHVIKPSPESSKIHKQCDNFKQQIEVFAEKSRVTEEKAPKVTTSFQGSVALDQHNSATAFNGTSVSADMLLKDKFTSEVEPVLPSFIYSGPSKEGFLFRNLDNESMKDAGTEIIHEVKHRDVGTEMTPLGSSMNSRCHTPFKSSSPARHNTPASRSGPLALGNSGSGNNSIDISQLQECHLAKLHLGTQYGSVTSNWSSREEEEEEISKSLRHFETGIVSQKSVSDSRAAAWEEEEKTKCCLRYQREEAKIQAWVNLEGAKAEAQSRKLEVKIQKMRSNLEEKLMKRMAVVHRKAEEWRAAAHHRHTEQTQRASVQAQKIIIDRHNMQFSGHTSCGCLPCNNNH >Potri.001G258150.1.v4.1 pep chromosome:Pop_tri_v4:1:27360949:27361568:-1 gene:Potri.001G258150.v4.1 transcript:Potri.001G258150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G258150.v4.1 MHPLNLAQRGETNAEQSLVLTLFCKICPAFLWKLETLCCSEGVTLHCFQFFQLESSVVLDLWGQQIPFRDAYIMH >Potri.013G138300.1.v4.1 pep chromosome:Pop_tri_v4:13:15624323:15625384:-1 gene:Potri.013G138300.v4.1 transcript:Potri.013G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbA MTAILERRESESLWGRFCNWITSTENRLYIGWFGVLMIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPSTNG >Potri.013G138300.3.v4.1 pep chromosome:Pop_tri_v4:13:15624276:15624925:-1 gene:Potri.013G138300.v4.1 transcript:Potri.013G138300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbA MPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPSTNG >Potri.013G138300.2.v4.1 pep chromosome:Pop_tri_v4:13:15624282:15625298:-1 gene:Potri.013G138300.v4.1 transcript:Potri.013G138300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbA MIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAASVDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHGYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPSTNG >Potri.003G190101.2.v4.1 pep chromosome:Pop_tri_v4:3:19400686:19404205:1 gene:Potri.003G190101.v4.1 transcript:Potri.003G190101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190101.v4.1 MSLSPKPLLHRLCFNELPCIYTFRVPTRFHLQKSKSPSSNLSHFQRLCSVTGDNNVSGSSGSHNKNHDHEGSRERSEMSRSSASNDLLKKLKRYGISGILSYGLLNTAYYLTTFLLVWFYVAPAPGKMGYFAAAERFLKVMAMVWAGSQVTKLVRAGGALALAPFVDRGLSWFTVKFKFESQEKAFIAIVGFCFGLALILFLVMTLLWA >Potri.004G075375.1.v4.1 pep chromosome:Pop_tri_v4:4:6273971:6276072:-1 gene:Potri.004G075375.v4.1 transcript:Potri.004G075375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075375.v4.1 MENARTVGIGMDYSSTSKAALRWAAENLIGEGDRIILIQVQPPNADHTRKQLFGGTGSPLVPLAEFRDINFSKQYGLTYDPEVLDILDTVSRTKGAEVVAKVYWGDPREKLIDAVEDLKLDSLVMGSRGLGAIKRVLLGSVSNYVVTNAPCPVTVVKGSKP >Potri.016G031850.1.v4.1 pep chromosome:Pop_tri_v4:16:1787114:1787964:1 gene:Potri.016G031850.v4.1 transcript:Potri.016G031850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031850.v4.1 MHYLQAAIAETLRLYPPVPVDTKACQSDDVLPDGTFVGKNWFVTYHAYAMGRMESIWGKNCRDFVPERWLENGIYRQESPFKFPVFHAGPRMCLGKDMAYIQMKSIAASVIERFEIDVQNKEKCPDHLLSLTLRMKGGLQVKVKER >Potri.001G086900.1.v4.1 pep chromosome:Pop_tri_v4:1:6919642:6922209:-1 gene:Potri.001G086900.v4.1 transcript:Potri.001G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086900.v4.1 MFESLKYLTGSAGASGYGSKSTGEQVTENCGDLHSITAIITGATSGIGAETARVLAKRGARLVLPARSLKAAEDAKARIISENPDTEIIVMGLDLSSLNSVRNFVSEFESFDLPLNLLINNAGKFAHEHAISEDGIEMTFATNFLGHFLLTKLLLKKMIETAKTTGIQGRIVNVSSSIYNWFSGDPIRYLGQISRNKLRDFDPTRAYALSKLANVLHTKELAQRLKQMEANVTVNCVHPGVVRTRLTREREGIVTDMAFFLTSKLLKTIPQAAATTCYVATHPRLVNVTGKYFSDCNEASTSKLGSNSTEAARLWTASEIMVSRGSKAVFDPLTSTLDYDISIKQFK >Potri.001G127650.1.v4.1 pep chromosome:Pop_tri_v4:1:10472163:10473266:1 gene:Potri.001G127650.v4.1 transcript:Potri.001G127650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127650.v4.1 MAITSPSTPIFSSFFCCKRAYGPCLLFLVMNASMLRNFVIDSARWGLSIIVQVLAKFERQRVCVCFYFAFSCFTFGGFSGKTAMAKYICVLNKVPTDSSSPPLLYE >Potri.008G015600.1.v4.1 pep chromosome:Pop_tri_v4:8:791306:793720:1 gene:Potri.008G015600.v4.1 transcript:Potri.008G015600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G015600.v4.1 MWKRVFREAIARQPWSTITSKRWSSTSPTSISAAVDTLLLRSLKEHYLQVSKMNPPPKVNPPPAFSIIKGALDGNGPVLIRTYGNEEIKLSIMRMAYIAPGDGESDGNDEDVNQFFLHVDVSKPGQDKSLHFLCGLYTDALGIHSVSLRPKLDGADFLEDTTTYSGPHFVELDERMRDAFHRFIEERGVNENLFDFLQAWLYVKEHRGLMRWFKTVGTYINENRPAKNLNIFIKGSHKKIHLQAKNRQRCVTSCWYIYLSCVA >Potri.018G113600.1.v4.1 pep chromosome:Pop_tri_v4:18:13059659:13065163:-1 gene:Potri.018G113600.v4.1 transcript:Potri.018G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113600.v4.1 MAGGGKRRPNHSNENRNNQNNNRNAKTDSGGGGSSRRRSKSIRNSLFIEGGLLEDWSPSHSGRSVNVNSNSKWVSKPGNSSQGKVGSSSKNGPRKSYGNAFGYSYASSELQEGVGRDMDESQPIVVVDSKETEIVACLDETPTSKPYNLNSTYNYSSDFLLGESSHKGLGFCEELEATTGAELSSKQMEEEEKNGSSFDSSSSDKEMDADDTANCEAGEEMLTAAFSQKKNSAFLSIGSIKLFTQDISDGESDESLDESSESSEQGQRVVSQSNDSEDTSDCETDVDDEVVKDYLEGIGGSSSILDAKWLVENDLGDSDKDSSSSGCFDETLKKLSGIALEEASRSYGMKKPQSRKCHSLSARDVSPFLDDFMLVKDPRAISVKKKHVARLPQSWPLEAQRSKNFRNFPGEKKKHRKEMIAVKRRQRMLARGIDMEKLNKKLEQIVLDEVDIFSFQPMHSRDCSQVRRLAAIYRLHSGTQGSGKKSFVTVSRTQHTCMPSASDKLRLEKLIGAGDDNADLAVNEGPRTKSASADRNRKKKSARGSGGRNGLYASGGRNGLYANQPVSFVSSGVMQSGDVETITVDSREINETGEKKDATSSSKFGAFEVHTKGFGSKMMAKMGFIEGGGLGKDGQGMAQPVEVTQRPKSLGLGVDFSDISVDSVKNKPQSSRTGTSGKHSKTENLGAFEKHTKGFGSKIMAKMGFVEGMGLGKDSQGIVNPIVAVKRPKARGLGAKS >Potri.018G113600.2.v4.1 pep chromosome:Pop_tri_v4:18:13059865:13065158:-1 gene:Potri.018G113600.v4.1 transcript:Potri.018G113600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113600.v4.1 MDESQPIVVVDSKETEIVACLDETPTSKPYNLNSTYNYSSDFLLGESSHKGLGFCEELEATTGAELSSKQMEEEEKNGSSFDSSSSDKEMDADDTANCEAGEEMLTAAFSQKKNSAFLSIGSIKLFTQDISDGESDESLDESSESSEQGQRVVSQSNDSEDTSDCETDVDDEVVKDYLEGIGGSSSILDAKWLVENDLGDSDKDSSSSGCFDETLKKLSGIALEEASRSYGMKKPQSRKCHSLSARDVSPFLDDFMLVKDPRAISVKKKHVARLPQSWPLEAQRSKNFRNFPGEKKKHRKEMIAVKRRQRMLARGIDMEKLNKKLEQIVLDEVDIFSFQPMHSRDCSQVRRLAAIYRLHSGTQGSGKKSFVTVSRTQHTCMPSASDKLRLEKLIGAGDDNADLAVNEGPRTKSASADRNRKKKSARGSGGRNGLYASGGRNGLYANQPVSFVSSGVMQSGDVETITVDSREINETGEKKDATSSSKFGAFEVHTKGFGSKMMAKMGFIEGGGLGKDGQGMAQPVEVTQRPKSLGLGVDFSDISVDSVKNKPQSSRTGTSGKHSKTENLGAFEKHTKGFGSKIMAKMGFVEGMGLGKDSQGIVNPIVAVKRPKARGLGAKS >Potri.008G173000.4.v4.1 pep chromosome:Pop_tri_v4:8:11946997:11951531:1 gene:Potri.008G173000.v4.1 transcript:Potri.008G173000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G173000.v4.1 MPCPKKKKKKKKKREEKKPCYFPCEKKKHHRSIIHHSIVKKKKKMETQHSWRLRLSFKNATIVMTVLNIITVLFLLKGFLSSPSTRNNKLAPDSFNSVELSYIKESEEMRLALQPWKLIKRIKEIEQEAYAEPETVQHKDMKQTAAVDLSKRLQDIRSVNDVSSFKALEEWRKRKMERARQRAVGKNGIGNSQA >Potri.018G075000.5.v4.1 pep chromosome:Pop_tri_v4:18:9445440:9454217:-1 gene:Potri.018G075000.v4.1 transcript:Potri.018G075000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075000.v4.1 MGSYIEENSASPVKLPTIKFTKLFINGEFVDSVSGKTFETIDPRTGEVIARVAEGDKEDVDLAVKAARQAFDDGPWPRMSGAERGRIMMKYADLIDEHIEELAALDAIDAGKLFSGGKAVDIPNVARLLRYYAGAADKIHGEVLKMSRELHGYTLREPIGVSGHIIPWNFPSSMFFMMSAPALAAGCTMIVKPAEQTPLSALFYGHLAKQAGMPDGVINVITGYGPTAGAAIASHMDVDKVCFTGSTEVGRKIMQAAATSNLKQVSLELGGKSPLLIFDDADVDKAADLALLGILYNKGEICVASSRVFVQEGIYDEFVKKLKEKAKDWVVGDPFDPRSRLGPQVDKQQFDKILSYIEHGKREGASLLTGGKPVGKKGYFIEPTVFTDVKEDMMIATDEIFGPVMSLMKFKTIDEAIKKANNTKYGLAAGIVTKNLDVANTVSRSIRAGTIWINCYFAFDNDCSYGGYKMSGFGRHLGMEALHKFLQVKSVVTPIYNSPWL >Potri.015G038600.3.v4.1 pep chromosome:Pop_tri_v4:15:3334527:3343749:1 gene:Potri.015G038600.v4.1 transcript:Potri.015G038600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038600.v4.1 MASNPANLWVLLGLGLAGIFLFTKKFKKVLREDFGAFIHKLQLLPPPQPAPPKAPHPLTGLTFAVSDLFDIEGYVTGFGHPDWAKTHEAASRTSVVVSTLVEGGATCVGKTVIDELAYSITGENKHYGTPTNPVEPARVPGGSSSGAAVAVAANLVDFSLGVDTVGGVRVPAGFCGIIGFRPSYGAISKIGVLPVSVSLDTVGWFAKDPNILRRVGHVLLQSAFGGQRSPRQIIMADDCFQLLKIPVDRIAQVVVKSTEKHFGRQLLKHEILDVYLNSKVPSLKEFHNKKTNGEVKTSSIRLLANVMQLLHRYEFRSNHEEWINTVKPILEPNLSAQMNEIMELSDAEIELCKSIREEMRSAINSLLKEHLEKKTHCTSILICDDGILVVPTTAYLPPKLGGKEILSEEYQSSSFGLLSIASLSGCCQVTVPLGYYNKCPVSVSLIARHGSDRFLLDTVQTMYKTLQEQAETYVNSKSSNKDSRENSAEMAKEKGNQAFKEQQWQKAISYYNEAIKLNDKNATYYSNRAAAYLELGSFQHAEADCSNAINLDKKNVKAYLRRGTAREMLGYYKDAIEDFKYALVLEPTNKRASLSAERLQKVFAAGY >Potri.015G038600.1.v4.1 pep chromosome:Pop_tri_v4:15:3334422:3343772:1 gene:Potri.015G038600.v4.1 transcript:Potri.015G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038600.v4.1 MASNPANLWVLLGLGLAGIFLFTKKFKKVLREDFGAFIHKLQLLPPPQPAPPKAPHPLTGLTFAVSDLFDIEGYVTGFGHPDWAKTHEAASRTSVVVSTLVEGGATCVGKTVIDELAYSITGENKHYGTPTNPVEPARVPGGSSSGAAVAVAANLVDFSLGVDTVGGVRVPAGFCGIIGFRPSYGAISKIGVLPVSVSLDTVGWFAKDPNILRRVGHVLLQSAFGGQRSPRQIIMADDCFQLLKIPVDRIAQVVVKSTEKHFGRQLLKHEILDVYLNSKVPSLKEFHNKKTNGEVKTSSIRLLANVMQLLHRYEFRSNHEEWINTVKPILEPNLSAQMNEIMELSDAEIELCKSIREEMRSAINSLLKDDGILVVPTTAYLPPKLGGKEILSEEYQSSSFGLLSIASLSGCCQVTVPLGYYNKCPVSVSLIARHGSDRFLLDTVQTMYKTLQEQAETYVNSKSSNKDSRENSAEMAKEKGNQAFKEQQWQKAISYYNEAIKLNDKNATYYSNRAAAYLELGSFQHAEADCSNAINLDKKNVKAYLRRGTAREMLGYYKDAIEDFKYALVLEPTNKRASLSAERLQKVFAAGY >Potri.004G037900.1.v4.1 pep chromosome:Pop_tri_v4:4:2996246:3001598:-1 gene:Potri.004G037900.v4.1 transcript:Potri.004G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037900.v4.1 MEFSKSFNIQALVKTIKEELLSDNNDPYSFIAPSAYDTAWLAMVPDMSEPCQPMFKNCLDWVLNNQNVEGFWGEYDGHGMPTIECLPATIACMIALKRWNAGEMIIDKGMAFIEANAEKLIGEIYDSNCPRWFAIVFPAMVEMAQINGLEIIFPDRIKRVEMSIFYKREQILEREELVDKYHYPPLLSYLEALPALYNFDQEDALKHLHADGSLFQSPSATASAFMATGNKDCLNYLQTLVQKCTNGVPQTYPMDEELLKLCMVNQLQRLGLAEHFNQEIEELLEQVYRNYMNRESWPKWTNSMATQLYKDSLAFCLLRMHGFRVSPWMFCWFLLEEEVQDQIESNHEYFSSVILNVYRATDLMFPGDHELEEARSFSRKLLEKTTSMGNEDQHTVPFPSFHSVIKHELRFPWMARLDHLEHRMWMEEKNSSGLWMGKTSFHRLSCLHNDKLKQLAVKNYEFRQTTYKSELEELTRWSKSWGLSDMGFGREKTAYCYFAVAASTSLPQDSEIRMMVAKSAIVITVADDFYDMEGSLDDLEKITDAVQRWDATGLSGHSKTIFDALDSLVNELARKYFRQHGTDITNSLRDIWSETFASWFTEAKWSKSGFIPAAEEYLETGMTSIASHTLVLPASCFLSPSIPDYKLNPVQYESITKLLMVIPRLLNDIQSYKKEQKEGKTNFVLLHLKENPEADIEDSIAYAREILDKKKKELLEHALMDGFNDFSKPCRHLHLSCVKVFQMFFDSSNRYDSNTEMFQDIQKAFYIPVEVGAPKPLPPHPGSKQRYPTVVASYHFNQRYKNRIIRLAANSVVSHPISGNPYMKMPMAPKLKFCFM >Potri.005G122700.1.v4.1 pep chromosome:Pop_tri_v4:5:9089926:9091313:-1 gene:Potri.005G122700.v4.1 transcript:Potri.005G122700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122700.v4.1 MMHRCSSSQCNMVGSCSCGMYHSQSNSFSMLFSMPDHRKSFDETDMYPSTPPSSSVDCTLSLGTPSTRLSEDDEKRTRHDQRRSGSCMSNYCWDILQTKNDSTPYPPQAHKTSRGNNTCHGSNSNFANNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAANASNANASNANANASGGMEQHYGYHQNNSWVQNQKMPCFSPANEFSFIEDNDRDSDTGNIPFLSWRLNVTDRPSQLVHDFTRY >Potri.011G057100.4.v4.1 pep chromosome:Pop_tri_v4:11:4655922:4660353:1 gene:Potri.011G057100.v4.1 transcript:Potri.011G057100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057100.v4.1 MAYNRLDAHSAAEKAVSVIGFGYDLTKDIRLSYCKPGLFGSRLIKLDLTRNQELVVPGGVVVQNVPNGIKCDKGERTRFLSDVLSFNQMSEKFNQELCLSGKIPSGLFNTMFNFRGSWQKDAASAKSLAFDGWFITLYNIELERSHITLSEKVKQEVPTTWDPVALAEFIEKYGTHIVVGVRMGGKDVIHMKQQQKSNLEPPEVQKLLKEYADKLFFGDVDPAELSGKPKDEHVMPWDFNGVFAHSMRPPVITSIKNEDITSICIRRGGVDVGQSHNQWLPTISQSPNVISMSLVPITSLLNGIRGNGFVSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVYGDLPLTLKRRKQATPSLRFTFMGPKLYVNTTQESAYIWRVREVTIWLSISSIFPLFPICSNSQMIGSNQLMNLKTETILNQFYGAYSHMSALPQWSTMVPALMTPLLL >Potri.011G057100.5.v4.1 pep chromosome:Pop_tri_v4:11:4656009:4660346:1 gene:Potri.011G057100.v4.1 transcript:Potri.011G057100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057100.v4.1 MGGKDVIHMKQQQKSNLEPPEVQKLLKEYADKLFFGDVDPAELSGKPKDEHVMPWDFNGVFAHSMRPPVITSIKNEDITSICIRRGGVDVGQSHNQWLPTISQSPNVISMSLVPITSLLNGIRGNGFVSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVYGDLPLTLKRRKQATPSLRFTFMGPKLYVNTTQVDSGNRPVTGIRLHLEGKRSDHLAIHLQHLSSLPNMLQLSDDRLESTHEPEDRDYFEPVLWSIFSHVCTAPVEYNGARIDDTASIVTMAWFEVKVVGMKKVLFLRLGFSMLASARLCRSEWDGPSALSRKSGVFSMLISTRFSAGLNPPEKPAVKVNLNSAVFPGGPPLPSRAPKMSNFVDTKEMVRGPEDLPGYWVVTGAKLCVDGGRISIKVKYSLLATISEEAMMLL >Potri.011G057100.1.v4.1 pep chromosome:Pop_tri_v4:11:4655922:4660353:1 gene:Potri.011G057100.v4.1 transcript:Potri.011G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057100.v4.1 MAYNRLDAHSAAEKAVSVIGFGYDLTKDIRLSYCKPGLFGSRLIKLDLTRNQELVVPGGVVVQNVPNGIKCDKGERTRFLSDVLSFNQMSEKFNQELCLSGKIPSGLFNTMFNFRGSWQKDAASAKSLAFDGWFITLYNIELERSHITLSEKVKQEVPTTWDPVALAEFIEKYGTHIVVGVRMGGKDVIHMKQQQKSNLEPPEVQKLLKEYADKLFFGDVDPAELSGKPKDEHVMPWDFNGVFAHSMRPPVITSIKNEDITSICIRRGGVDVGQSHNQWLPTISQSPNVISMSLVPITSLLNGIRGNGFVSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVYGDLPLTLKRRKQATPSLRFTFMGPKLYVNTTQVDSGNRPVTGIRLHLEGKRSDHLAIHLQHLSSLPNMLQLSDDRLESTHEPEDRDYFEPVLWSIFSHVCTAPVEYNGARIDDTASIVTMAWFEVKVVGMKKVLFLRLGFSMLASARLCRSEWDGPSALSRKSGVFSMLISTRFSAGLNPPEKPAVKVNLNSAVFPGGPPLPSRAPKMSNFVDTKEMVRGPEDLPGYWVVTGAKLCVDGGRISIKVKYSLLATISEEAMMLL >Potri.011G057100.6.v4.1 pep chromosome:Pop_tri_v4:11:4656046:4660355:1 gene:Potri.011G057100.v4.1 transcript:Potri.011G057100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057100.v4.1 MAYNRLDAHSAAEKAVSVIGFGYDLTKDIRLSYCKPGLFGSRLIKLDLTRNQELVVPGGVVVQNVPNGIKCDKGERTRFLSDVLSFNQMSEKFNQELCLSGKIPSGLFNTMFNFRGSWQKDAASAKSLAFDGWFITLYNIELERSHITLSEKVKQEVPTTWDPVALAEFIEKYGTHIVVGVRMGGKDVIHMKQQQKSNLEPPEVQKLLKEYADKLFFGDVDPAELSGKPKDEHVMPWDFNGVFAHSMRPPVITSIKNEDITSICIRRGGVDVGQSHNQWLPTISQSPNVISMSLVPITSLLNGIRGNGFVSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVYGDLPLTLKRRKQATPSLRFTFMGPKLYVNTTQVMP >Potri.011G057100.3.v4.1 pep chromosome:Pop_tri_v4:11:4656647:4660403:1 gene:Potri.011G057100.v4.1 transcript:Potri.011G057100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057100.v4.1 MMMSEKFNQELCLSGKIPSGLFNTMFNFRGSWQKDAASAKSLAFDGWFITLYNIELERSHITLSEKVKQEVPTTWDPVALAEFIEKYGTHIVVGVRMGGKDVIHMKQQQKSNLEPPEVQKLLKEYADKLFFGDVDPAELSGKPKDEHVMPWDFNGVFAHSMRPPVITSIKNEDITSICIRRGGVDVGQSHNQWLPTISQSPNVISMSLVPITSLLNGIRGNGFVSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPVYGDLPLTLKRRKQATPSLRFTFMGPKLYVNTTQVDSGNRPVTGIRLHLEGKRSDHLAIHLQHLSSLPNMLQLSDDRLESTHEPEDRDYFEPVLWSIFSHVCTAPVEYNGARIDDTASIVTMAWFEVKVVGMKKVLFLRLGFSMLASARLCRSEWDGPSALSRKSGVFSMLISTRFSAGLNPPEKPAVKVNLNSAVFPGGPPLPSRAPKMSNFVDTKEMVRGPEDLPGYWVVTGAKLCVDGGRISIKVKYSLLATISEEAMMLL >Potri.011G129350.1.v4.1 pep chromosome:Pop_tri_v4:11:16265546:16269607:1 gene:Potri.011G129350.v4.1 transcript:Potri.011G129350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129350.v4.1 MSAMPVCQCLAKFKPKSVEAWNTMDWSQGCVRNKELECQKGDGFIKLDGLKVPDATDSWVNKTMNLKECRAKCLQNCSCMAYTNLDIRGRGSGCAIWFGDLIDIRQVPIGGQTLYVRLHASEIEAKAKPKIRIAVITTVTIAVVLGMLMAIYYVRKSKAKRAERMEKCLQNDHENDKGKKEDLELPLFEFTAIANATSNFSINNKLGEGGYGPVYKGKLVDGQEIAVKRLSRSSRQGLNEFKNEMILLNKLQHRNLVKLLGCCIERDEKMLIYEYMPNGSLDSFIFNGTKGKMLDWSRHFNIISGIARGLLYLHQDSRLRIIHRDLKSSNVLLDDHMNPKISDFGLARMFLADQTEGDTSRVVGT >Potri.011G129350.2.v4.1 pep chromosome:Pop_tri_v4:11:16265546:16269664:1 gene:Potri.011G129350.v4.1 transcript:Potri.011G129350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129350.v4.1 MSAMPVCQCLAKFKPKSVEAWNTMDWSQGCVRNKELECQKGDGFIKLDGLKVPDATDSWVNKTMNLKECRAKCLQNCSCMAYTNLDIRGRGSGCAIWFGDLIDIRQVPIGGQTLYVRLHASEIEAKAKPKIRIAVITTVTIAVVLGMLMAIYYVRKSKAKRAERMEKCLQNDHENDKGKKEDLELPLFEFTAIANATSNFSINNKLGEGGYGPVYKGKLVDGQEIAVKRLSRSSRQGLNEFKNEMILLNKLQHRNLVKLLGCCIERDEKMLIYEYMPNGSLDSFIFNGTKGKMLDWSRHFNIISGIARGLLYLHQDSRLRIIHRDLKSSNVLLDDHMNPKISDFGLARMFLADQTEGDTSRVVGT >Potri.010G228700.1.v4.1 pep chromosome:Pop_tri_v4:10:21163461:21168425:1 gene:Potri.010G228700.v4.1 transcript:Potri.010G228700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228700.v4.1 MAGKEENRIFVGGLSWDITERQLENAFDRFGKIVESQVMLERDTGRPRGFGFITFADRRAMDDAIREMHGRDFGDRVISVNKAQPKIGGDDSDHGYRGGYSTGSRGGYGGGDRPAGQDECFKCGRSGHWARDCPSAGGRGGGGGLISSRSRFGGAGDRGDRFGGDRDRYIDDRYDGGRYGDKDRFDSRDNKYGGRDRYASDRYPPNGDRFSGDRYGGSDRYAQNGYGKDKGYDRDVGPRGGNDRYGSGGPQRNDRSSYRNRPAPYDRPSRGGHPSSFERY >Potri.010G228700.7.v4.1 pep chromosome:Pop_tri_v4:10:21167158:21168180:1 gene:Potri.010G228700.v4.1 transcript:Potri.010G228700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228700.v4.1 MLERDTGRPRGFGFITFADRRAMDDAIREMHGRDFGDRVISVNKAQPKIGGDDSDHGYRGGYSTGSRGGYGGGDRPAGQDECFKCGRSGHWARDCPSAGGRGGGGGLISSRSRFGGAGDRGDRFGGDRDRYIDDRYDGGRYGDKDRFDSRDNKYGGRDRYASDRYPPNGDRFSGDRYGGSDRYAQNGYGKDKGYDRDVGPRGGNDRYGSGGPQRNDRSSYRNRPAPYDRPSRGGHPSSFERY >Potri.010G228700.2.v4.1 pep chromosome:Pop_tri_v4:10:21163534:21168404:1 gene:Potri.010G228700.v4.1 transcript:Potri.010G228700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228700.v4.1 MAGKEENRIFVGGLSWDITERQLENAFDRFGKIVESQVMLERDTGRPRGFGFITFADRRAMDDAIREMHGRDFGDRVISVNKAQPKIGGDDSDHGYRGGYSTGSRGGYGGGDRPAGQDECFKCGRSGHWARDCPSAGGRGGGGGLISSRSRFGGAGDRGDRFGGDRDRYIDDRYDGGRYGDKDRFDSRDNKYGGRDRYASDRYPPNGDRFSGDRYGGSDRYAQNGYGKDKGYDRDVGPRGGNDRYGSGGPQRNDRSSYRNRPAPYDRPSRGGHPSSFERY >Potri.004G033600.1.v4.1 pep chromosome:Pop_tri_v4:4:2591440:2595068:-1 gene:Potri.004G033600.v4.1 transcript:Potri.004G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033600.v4.1 MPAVGIAVGDNKKEYPGNLTPFVTVTCIVAAMGGLIFGYDIGISGGVTSMPSFLKKFFPSVYRKQQEDKTSNQYCQYDSQTLTMFTSSLYLAALLASLVASIVTRKFGRKLSMLFGGVLFCAGAIINGVAKAVWMLILGRILLGFGIGFANQSVPLYLSEMAPYKFRGALNIGFQLSITIGILVANVLNYFFAKIHGGWGWRLSLGGAMVPALIITVGSLVLPDTPNSMIERGQHDEAREKLRRVRGVDDVDEEFNDLVAASEASMKVEHPWRNLLQRKYRPHITMAVMIPIFQQLTGINVIMFYAPVLFNTIGFGSNASLMSAVITGVVNVVATMVSIYGVDKWGRRFLFLEGGFQMLICQAIVAACIGAKFGVNGNPGELPKWYAIVVVLFICIYVAGFAWSWGPLGWLVPSEIFPLEIRSAAQSINVSVNMLFTFIVAQIFLTMLCHLKFGLFLFFAFFVVLMSIFVYYFLPETKGIPIEEMGQVWKTHWFWSRYVTDEDYPKAGGYEMTKGGQGPKNV >Potri.009G001700.1.v4.1 pep chromosome:Pop_tri_v4:9:713104:720028:-1 gene:Potri.009G001700.v4.1 transcript:Potri.009G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G001700.v4.1 MGAAPFPAGILIAAVVFHATVVLSSFPATFHLERGITANYKLKLSKLKERDRVRHGRMLQSSGVGVVDFPVQGTFDPFLVGLYYTRLQLGTPPRDFYVQIDTGSDVLWVSCGSCNGCPVNSGLHIPLNFFDPGSSPTASLISCSDQRCSLGLQSSDSVCSAQNNLCGYNFQYGDGSGTSGYYVSDLLHFDTVLGGSVMNNSSAPIVFGCSALQTGDLTKSDRAVDGIFGFGQQDMSVVSQLASQGISPRAFSHCLKGDDSGGGILVLGEIVEPNIVYTPLVPSQPHYNLNMQSISVNGQTLAIDPTVFGTSSSQGTIIDSGTTLAYLAEAAYDPFISAITSIVSPSVRPYLSKGNHCYLISSSINDIFPQVSLNFAGGASMILIPQDYLIQQSSIGGAALWCIGFQKIQGQGITILGDLVLKDKIFVYDIANQRIGWANYDCSMSVNVSTAIDTGKSEFVNAGTLSNNGSPKNMPHKLTPVTMMSFLLHMLLLSCYMFL >Potri.017G134350.1.v4.1 pep chromosome:Pop_tri_v4:17:13635056:13636924:-1 gene:Potri.017G134350.v4.1 transcript:Potri.017G134350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134350.v4.1 MGSSRSSILCFLMLCASFLVPTSCHSYSHGALFIFGDSFYDAGNNIYLNTSNTSLAKLNIFPYGETYFKHPTGRVSDAEFAKLPLIPPYLQPGNHQFTNGVNFASGGAGALVENNQGPIMGLKTQLRNFKNMEKQLRKKLGASEIKTLLSTAVYMFSIGSNDYLVPFITNSTLLQSYSKKEYVKMVIGNITTVIQEIYKIGGRKFGLSKLIPLGCFPFSRAQKLSSTALLEALKELKKSQLKGYTYSIFDAYTAATAIFNNPSKYGFEEVKMACCGSGPLRGSLLACGLKVYQLCDNVSQYFFFDELHPTEKANYQFAKLMWDGSPKIVKPYNLKTLFKK >Potri.014G135600.2.v4.1 pep chromosome:Pop_tri_v4:14:9164593:9167092:-1 gene:Potri.014G135600.v4.1 transcript:Potri.014G135600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135600.v4.1 MADLLVEQPQEITISKTFPTKPKLKSKPKTPSKTPESKYWSSFKSHQISNLISSIPSIDFSPISPHHFAAANSASLTLFSPHTLSPASTISSSDVVTSCSFRCDGSLIAASDLSGLIRVFDVKTRTPLRRLRSHARPVRFVKYPLLDKLHLVSGGDDSVVKYWDVAGESVVLDLYGHRDYVRCGDCSPTDGEMFVTGSYDHTVKLWDVRVDNKESVIEVNHGNPVEDVIFLPSGGMVATAGGNSVKIWDLIGGGKMVYSMESHNKTVTSICVGKVGKESGEEALQYRIFSVALDGYMKVFDYAKMKVTHSMRFPAPLMSIGFSPDCMTRVIGSSNGIIFAGRRKAKEDVGESKVGNFWALGSVEEPQRRALRPTYFRYFHRSQGEKPNEGDHLIMRQKKVKLAEHDKLLKKFRHKEALVSVLGGKNTENVVAVMEELVARRKLLKCVVNLDDEELGLLLGFLHKHSTMPRHSGLLTGLTRKVLEMRAEDIRASDALKGHIRNLKRSVEEEIRIQHSLQEIQGVISPLLRIAGRR >Potri.005G000401.1.v4.1 pep chromosome:Pop_tri_v4:5:115316:116563:1 gene:Potri.005G000401.v4.1 transcript:Potri.005G000401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000401.v4.1 MTLVMNRNYSYSTIKHLGPTPLLRSGSSGTWNELLGGHSNATTSEHYSSSSVMMMEKRQLFLRSYQFCRKRTVTERIKGSFIRVKRVMWLKLRSVRKLRRLVWSRLRNAFCYRRRIRFLPLKL >Potri.006G187300.1.v4.1 pep chromosome:Pop_tri_v4:6:19429303:19433079:-1 gene:Potri.006G187300.v4.1 transcript:Potri.006G187300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187300.v4.1 MIGVGKIKQYSNVLEKPLSKGKQEVSLSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRGVLDSAGFPAVVTAHFVPMEGQQRPRTTILIKFAEEVLRREARLG >Potri.008G153300.3.v4.1 pep chromosome:Pop_tri_v4:8:10521904:10525678:1 gene:Potri.008G153300.v4.1 transcript:Potri.008G153300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153300.v4.1 MAVEKYEIAKDVTELIGKTPLVYLNNVLDGCVARIAAKLEGMEPCSSVKDRIGYSMIADAEAKGLITPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSNERRIILRAFGAELVLTNPAKGMKGAVQKAEEIKAKTPNAYILQQFENPSNPKVHYETTGPEIWKGSGGKVDALVSGIGTGGTITGAGKYLKEQNPNIKLYGVEPVESPILSGGKPGPHKIQGIGAGFVPGVLDVKIVDEVVQISSDEAIETAKLLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFDSVKREAESMKFEP >Potri.008G153300.4.v4.1 pep chromosome:Pop_tri_v4:8:10522328:10525678:1 gene:Potri.008G153300.v4.1 transcript:Potri.008G153300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153300.v4.1 MAVEKYEIAKDVTELIGKTPLVYLNNVLDGCVARIAAKLEGMEPCSSVKDRIGYSMIADAEAKGLITPGESVLIEPTSGNTGIGLAFMAAAKGYKLIITMPASMSNERRIILRAFGAELVLTNPAKGMKGAVQKAEEIKAKTPNAYILQQFENPSNPKVHYETTGPEIWKGSGGKVDALVSGIGTGGTITGAGKYLKEQNPNIKLYGVEPVESPILSGGKPGPHKIQGIGAGFVPGVLDVKIVDEVVQISSDEAIETAKLLALKEGLLVGISSGAAAAAAVKIAKRPENAGKLIVVVFPSFGERYLSSVLFDSVKREAESMKFEP >Potri.018G055300.1.v4.1 pep chromosome:Pop_tri_v4:18:5497943:5506926:1 gene:Potri.018G055300.v4.1 transcript:Potri.018G055300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055300.v4.1 MADESTYSTATDTTPPSSNKRKFDDQSAPPPSTRRQTGFSSPISDPAPPPSYNSVPPPVDEIQMAKQKAQEIAARIMSGAGADIKRPRAENGASGFDSVESNKGFSSAPPDMKSTISNSAPSSIPASYGSYPGGSGLSKKIDVPQGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSPYRIVELMGTPEQIAKAEQLINDVLAEADAGGSGTISRRYAGQGGSEHFSMKIPNNKVGLVIGKGGDSIKNMQARSGARIQVIPLHLPPGDTSTERTVHIEGTSEQVEAAKQLVNEVTSENRMRNPNMGGGYPQQGYQARPPTSWGPSSGPPMQQAGYGYMQAGAYPGASAQYNTSQPAYPGYPPQQPSGGYPPNWDQSSVSANQQNQGYDYYSQPPTSQQQTSGGAAAPADGTGYNYSQAPASGYNQQGQGYSQDGYGGYQQPGYGQPPPYDQQQGYTSAPSYSNVANPAQEGHAPSYGAQGDSAQGSSQPSAMGQQGYSTGQQPSPNPASYPPQGAAQPGYGLPPSSQSGYGSQPAAQYGSYGAPQSQKPPANPPVYGQSQQSPTTPGSYGQPTGQPGYPHSQPLPSGYAQPDSGSQSAPPSSYGAAGAQPGYAPPYGVPPAGQPGYGQGPPPYSGTSYGSGYSQPAAYSADSNATNNGRGTYESAPASQTAQQSGVAKASPQS >Potri.016G138000.6.v4.1 pep chromosome:Pop_tri_v4:16:14151178:14161299:-1 gene:Potri.016G138000.v4.1 transcript:Potri.016G138000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138000.v4.1 MTSGRELLSSLDNSPESRQPLPHPSGSFGALGISRPDGSFNTSILHLARGEPFEVDCLEKDKSEVRFVGDTNLHSVNPVGESFNSVVTTKRLHSLDSEFFEEVSLQCATQPSKHLVSWGSSAKEMLHNDNNTTFSASLEISRDSGNLGKPKGRSRRKSVQFDEGVLREEDARFIYINDPRRTNDQYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQCGQFRSKEWKRIRAGEVLKISADETIPCDMVLLGTSDPSGVAYIQTMNLDGESNLKTRFAKQEASLAVLEGGAISGLIRCEQPNRNIYEFTANMEFNGQKFSLSQSNIVLRGCQLKNTGWIIGVVVYAGQETKAMLNSAASPSKRSKLEAYMNRETLWLSIFLFMMCLVVAVGMGLWLSRYENQLDYLPYYRKRYLTPGKDYGKRYKFYGIPMEIFFSFLSSIIVFQIMIPISLYITMELVRIGQSYFMIGDRHMYDSSSNSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFQRASVNGKNYGGSLLTADQLLEENVSGATTNRRWKLKSTIAVDSELLELLHKDLVGDERIVAHEFFLALAACNTVVPIRTHDGFSSCTDCQFFEDVETIDYQGESPDEQALVAAASAYGYTLFERTSGHIVIDVNGEKLRFGVLGMHEFDSVRKRMSVVIRFPNNAVKVLVKGADTSVLSILAKDSGIDDRARRAATQSHLTEYSSQGLRTLVIAARDLTEEELELWQCRFDDASTSLTDRAAKLRQTAALIECDLNLLGATAIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLVPDMEQIIINGNSENECRKLLADAKAKCGLKPSNKGSQYLTCNKNAEIDHLERPERKEEAPISLIIDGNSLVYILEKELESDLFDIATYCKVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLNRLLLVHGHWNYQRMGYLVLYNFYRNAVFVLMLFWYILFTAFSTTSALTDWSSVLYSVVYTSVPTIVVGVLDKDLSHRTLLRYPKIYGVGYRHEAYNKRLFWVTMADTLWQSLVLFGIPVIVYKESTIDIWSIGNLWTVAVVIIVNVHLAMDVRRWVSITHIAVWGSVIVAFACVVVLDSIPIFPNYGTIYHLAKSPTYWLTIFLTIVIGLLPHFLFKLVHHHFWPSDIQIAREAEILRRGPDYWVSKPVGGSS >Potri.016G138000.4.v4.1 pep chromosome:Pop_tri_v4:16:14151152:14161022:-1 gene:Potri.016G138000.v4.1 transcript:Potri.016G138000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138000.v4.1 MTSGRELLSSLDNSPESRQPLPHPSGSFGALGISRPDGSFNTSILHLARGEPFEVDCLEKDKSEVRFVGDTNLHSVNPVGESFNSVVTTKRLHSLDSEFFEEVSLQCATQPSKHLVSWGSSAKEMLHNDNNTTFSASLEISRDSGNLGKPKGRSRRKSVQFDEGVLREEDARFIYINDPRRTNDQYEFTGNEIRTSKYTLITFLPKNLFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQCGQFRSKEWKRIRAGEVLKISADETIPCDMVLLGTSDPSGVAYIQTMNLDGESNLKTRFAKQEASLAVLEGGAISGLIRCEQPNRNIYEFTANMEFNGQKFSLSQSNIVLRGCQLKNTGWIIGVVVYAGQETKAMLNSAASPSKRSKLEAYMNRETLWLSIFLFMMCLVVAVGMGLWLSRYENQLDYLPYYRKRYLTPGKDYGKRYKFYGIPMEIFFSFLSSIIVFQIMIPISLYITMELVRIGQSYFMIGDRHMYDSSSNSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFQRASVNGKNYGGSLLTADQLLEENVSGATTNRRWKLKSTIAVDSELLELLHKDLVGDERIVAHEFFLALAACNTVVPIRTHDGFSSCTDCQFFEDVETIDYQGESPDEQALVAAASAYGYTLFERTSGHIVIDVNGEKLRFGVLGMHEFDSVRKRMSVVIRFPNNAVKVLVKGADTSVLSILAKDSGIDDRARRAATQSHLTEYSSQGLRTLVIAARDLTEEELELWQCRFDDASTSLTDRAAKLRQTAALIECDLNLLGATAIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAISIGLSCKLLVPDMEQIIINGNSENECRKLLADAKAKCGLKPSNKGSQYLTCNKNAEIDHLERPERKEEAPISLIIDGNSLVYILEKELESDVKIIFLHLIQHLDLISYFTLYFLAFDTLSSMSIFVEPTLLGINLILLITSSFMISLQLFDIATYCKVVLCCRVAPLQKAGIVDLIKSRTDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLNRLLLVHGHWNYQRMGYLVLYNFYRNAVFVLMLFWYILFTAFSTTSALTDWSSVLYSVVYTSVPTIVVGVLDKDLSHRTLLRYPKIYGVGYRHEAYNKRLFWVTMADTLWQSLVLFGIPVIVYKESTIDIWSIGNLWTVAVVIIVNVHLAMDVRRWVSITHIAVWGSVIVAFACVVVLDSIPIFPNYGTIYHLAKSPTYWLTIFLTIVIGLLPHFLFKLVHHHFWPSDIQIAREAEILRRGPDYWVSKPVGGSS >Potri.015G089000.3.v4.1 pep chromosome:Pop_tri_v4:15:11235485:11241576:1 gene:Potri.015G089000.v4.1 transcript:Potri.015G089000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089000.v4.1 MNIIAKPRSSRLKDKQKGEGEMLVKEQFVKNMIQNNDLLHILGKGSSVVLLPRGSSDISVCSKLRVGSQLRDNPTLGLCSQKDVMKSSKSSGSGDILQDKNQEINQVKGIFSVKAKFASLCERNRFSTLNGNECSQSMNIGTERGRSIHGDKLSDQRLFSCVTCGILSFDCLAIIQPKEAASRYLMSADCSFFNDWAVGSGVTRDVFAVAGGIANISEQNSSRWVEKNTAAGFYDVPVQSPNYQIQMADQGVEVASSSAKQLEASALGLLALNYGNSSDSEEDQVEADLSHHDEINMTNCPLENKYQCQSSAFPSYKQKDYDAATGGLPQSPSRLDERDDVPLKANDMNPEHGDRRDDFKDKTDECSFGFPTGNLASIESNSLDGRYRDPMSMSHVSLNCSPIVHDIEKTKFNRPIAPIENPDMPFTQRSDKDSSCMHVFCLEHAVEIEQQLRQIGGVHILLLCHPEYPRIEGEAKLVSEELGIDHLWNDITFRDAAKEDEERIQSALDSEEAIPGSGDWAVKLGINLFFSANLSRSPFYSKQMPYNSVIYNAFGLASSVSSTPKFKVYGRRSGKPKKVVAGKWCGKVWMSNQVHPFLVISDHVDQDHEQEQERSFHASATPDEKLEKKPQTSNKTETTRKSGRKRKITAGSRSIKKVKCLEAEEPDSEDSMGDNCHRQRVRCRRKWAKSVESDDAVSDDPLAEHVRQQYRRMRRSKQAKSIKRENTVSYASVENKFQKQLKRAHRSDRAKFFERRSAASDNSLDDNSDQWHERAPRSTQAKYTESEDAFSDDSPEESSRWLHGRVPKSKQLKYIDKEGVISDDSMESDSHQHNRRVSRGKRAQLIKRNDVVSDDSLDESAYQRLPRFSRSKLAKLIERENAVSDDSLDDNIHQHHGRVLKSKQAKFVEGEDAISDDSHEDNTDWQRKRIPRSKMAKFVESEDAASDDLQEDDTHEHRRRIPKSKKMNFIEREVVISDDLWENNTHRHPRKAPRSKQARFIEREDLVSDDLLEDNSDQQQKRILRSKQKKSATLCQMKQGTRKPKHIAPKMIKKETLKLIKQERQIKQETPQQRIGKSELNARQFDSHSEEGVEGGPSTRLRKRPSKPPKQLETKLKEKQQNSRKKLKDASAVKAPVGRKNVKIKDEEAEYQCDIDGCTMSFGSKQELAMHKRNICPVKGCGKKFFSHKYLVQHRRVHIDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGERPYVCAEEGCGQTFRFVSDFSRHKRKTGHSAKKSRG >Potri.015G089000.1.v4.1 pep chromosome:Pop_tri_v4:15:11231870:11241796:1 gene:Potri.015G089000.v4.1 transcript:Potri.015G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089000.v4.1 MAAPSGLSLDPPQPPTTTEVCQWLKNLPLAPEYRPTLSEFQDPIAYIFKIEKEASQYGICKIIPPVLPSAKKTTLSNLNRSLCARNGGSSAPTFTTRQQQIGFCPRKPRPVQKPVWQSGETYTFQEFETKARTFEKNYLKKFFKKGALSPLEIETLYWKATLDKPFSVEYANDMPGSAFSPRKKEGQGGVAGEGMSVGDTEWNMRGVSRAKGSLLRFMKEEIPGVTSPMVYVGMMFSWFAWHVEDHDLHSLNYMHMGAGKTWYGVPREAAVAFEEVVRVHGYGGEINPLVTFAVLGEKTTVMSPEVFISAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEAANIATPEWLMVAKDAAIRRASINYPPMVSHFQLLYDLALEFCTRIPMNIIAKPRSSRLKDKQKGEGEMLVKEQFVKNMIQNNDLLHILGKGSSVVLLPRGSSDISVCSKLRVGSQLRDNPTLGLCSQKDVMKSSKSSGSGDILQDKNQEINQVKGIFSVKAKFASLCERNRFSTLNGNECSQSMNIGTERGRSIHGDKLSDQRLFSCVTCGILSFDCLAIIQPKEAASRYLMSADCSFFNDWAVGSGVTRDVFAVAGGIANISEQNSSRWVEKNTAAGFYDVPVQSPNYQIQMADQGVEVASSSAKQLEASALGLLALNYGNSSDSEEDQVEADLSHHDEINMTNCPLENKYQCQSSAFPSYKQKDYDAATGGLPQSPSRLDERDDVPLKANDMNPEHGDRRDDFKDKTDECSFGFPTGNLASIESNSLDGRYRDPMSMSHVSLNCSPIVHDIEKTKFNRPIAPIENPDMPFTQRSDKDSSCMHVFCLEHAVEIEQQLRQIGGVHILLLCHPEYPRIEGEAKLVSEELGIDHLWNDITFRDAAKEDEERIQSALDSEEAIPGSGDWAVKLGINLFFSANLSRSPFYSKQMPYNSVIYNAFGLASSVSSTPKFKVYGRRSGKPKKVVAGKWCGKVWMSNQVHPFLVISDHVDQDHEQEQERSFHASATPDEKLEKKPQTSNKTETTRKSGRKRKITAGSRSIKKVKCLEAEEPDSEDSMGDNCHRQRVRCRRKWAKSVESDDAVSDDPLAEHVRQQYRRMRRSKQAKSIKRENTVSYASVENKFQKQLKRAHRSDRAKFFERRSAASDNSLDDNSDQWHERAPRSTQAKYTESEDAFSDDSPEESSRWLHGRVPKSKQLKYIDKEGVISDDSMESDSHQHNRRVSRGKRAQLIKRNDVVSDDSLDESAYQRLPRFSRSKLAKLIERENAVSDDSLDDNIHQHHGRVLKSKQAKFVEGEDAISDDSHEDNTDWQRKRIPRSKMAKFVESEDAASDDLQEDDTHEHRRRIPKSKKMNFIEREVVISDDLWENNTHRHPRKAPRSKQARFIEREDLVSDDLLEDNSDQQQKRILRSKQKKSATLCQMKQGTRKPKHIAPKMIKKETLKLIKQERQIKQETPQQRIGKSELNARQFDSHSEEGVEGGPSTRLRKRPSKPPKQLETKLKEKQQNSRKKLKDASAVKAPVGRKNVKIKDEEAEYQCDIDGCTMSFGSKQELAMHKRNICPVKGCGKKFFSHKYLVQHRRVHIDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGERPYVCAEEGCGQTFRFVSDFSRHKRKTGHSAKKSRG >Potri.010G170200.2.v4.1 pep chromosome:Pop_tri_v4:10:17203654:17208224:1 gene:Potri.010G170200.v4.1 transcript:Potri.010G170200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170200.v4.1 MFFIKVPLKLKNKYTWEGRQGSEYLCSIQHFPILYPTPTGLTALTIEIIHCKSEGHDFDMSPLFSYRKELNQVLFEGDSISRKVILNRPEKLNILNYEMVSQMLKNLKAFENDSAVRLVILKGNGNIFCAGGDIVSNFLSVTQGHWSYVEKFYEKQLILDYILATYRKPLISLVDGIVMGGGAGLSMHGRFRIVTENTVFAMPETLIGHFPDLGASHFLSRLPGHFGEYLGLTGARLDGAEMLACGLATHFVLSRDLLALENALQALGSSDTATISEAINNFGHKPTIKDDSAYGRLEVINKCFSKETVEEVLLSLENEVENRTEKWILVAIKSIKSVSPISLKVALRSIREGRTQNLKQCLVREYTICCNFIRATVSNDALEGARAMLVDKDKKPQWEPSRLELVSKDMVDRCFTGIDDDDDWQFLQLPDRSSMAEVLKPKL >Potri.009G063600.1.v4.1 pep chromosome:Pop_tri_v4:9:6480979:6481524:1 gene:Potri.009G063600.v4.1 transcript:Potri.009G063600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063600.v4.1 MEMKKIACAILFAAASVSAVMADEVAAPAPSPASGASASLPIVGSLVGASLASFIALYLQ >Potri.019G108800.1.v4.1 pep chromosome:Pop_tri_v4:19:14035593:14038721:-1 gene:Potri.019G108800.v4.1 transcript:Potri.019G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108800.v4.1 MSATATAAQHLSKTSPLLAPAVVAAYAAYRYKSELADGCQEIVGANKPFSSSSSVACESNLPEWPTLSISRSSRFRSHQQLPCWSSKENTMSHVLPAHSSMFGDIEGLLDTIPWSPAQRRSITPPRAMHKNELFGFRYPVLAEKPEWWWRTLACVPYLIALQISDTGYFIQPFLEHYDVLGDLIYFVPGAITRLPVWFSMIYCYFAYIGIVKNKDVPHFFRFHLMMGMLLETGLQILWYTSNFFPLIHYNGMFGMHYWAGVGLAYIVILLQCVRCALAGGYAHLPLVSDAAYIHTLFNIGGYQRPF >Potri.007G142700.1.v4.1 pep chromosome:Pop_tri_v4:7:15192432:15193128:1 gene:Potri.007G142700.v4.1 transcript:Potri.007G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142700.v4.1 MAMKEYCEDEELELCVGLYTGEDAEVFECGIHVIVEKTDSFEGSEWDHESEGGRDRVIPAPPYLSQYARYNFFEIYGKQGLSNLSKNTKDRLLERIFNYHFLEYFIPFKAYFVPYDYSIIPKWFSYGAEGCSLSFDIPPDFEGLVIWAVCSGGGHQEFKAIIKNKSNSPKLKAIERYLRHHIICFTIPVMVQ >Potri.010G096800.1.v4.1 pep chromosome:Pop_tri_v4:10:12032874:12035426:-1 gene:Potri.010G096800.v4.1 transcript:Potri.010G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096800.v4.1 MHDLTSQPIMMIDSSPPLLHHYGELTRLPPQIPTLECNDLPSGAVVMKEYCQLPLIDLSCLNSTIERERLACAEAICRASSEWGFFQVVNHGISPELVRNMRREQVELFQTPFDKKATCGVLNNSYRWGTPTATCPRQFSWSEAFHIPLSRVSEQACYGEFSSLREVMMEFAAAMSKLARVLAGVLAENLGHPRGVFENICQEINCFLRLNRYPACPISSEIFGLVPHTDSDFLTILSQDEVGGLQLMKDSKWVAVNPNQDALIVNIGDLFQAWSNDVYKSVEHKVVANGKMERYSIAYFLCPSYDSLIGSCMEPSIYREFTFGEYRSQVQEDVKRTGRKIGLPRFLL >Potri.008G004600.1.v4.1 pep chromosome:Pop_tri_v4:8:191116:194461:1 gene:Potri.008G004600.v4.1 transcript:Potri.008G004600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004600.v4.1 MASNGAKQPLLSGLVDPASQIINECHRNRRRIRHVKSPPLAESVPSDTSGNGPIPCCGSFWGGLHQSLKKVALLLAVYLGLGTICFYAVRDDIKGKKTNPILDSVYFCIVTMTTVGYGDLVPNSALVKLLACVFVFVGMALVGLILSKAADYLVDKQEILLIKALHKHEKPGPAASLKEIETSKVKYKCYLALAILSVLMLVGTVFLYMVEDLNIIDAFYCVCCTITTLGYGDKSFSTGGGRLFALFWMLTGTIGLGLLFLYIAELFTESRQRTLVHWVLTRKTTNLDLEAADIDDDGVVGAAEFILYKLKEMGKISQEDIALVMEEFEDLDVDQSGTLSDSDITPAQSTQMKK >Potri.005G166300.1.v4.1 pep chromosome:Pop_tri_v4:5:16632694:16636945:1 gene:Potri.005G166300.v4.1 transcript:Potri.005G166300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G166300.v4.1 MAEITTYSMENDLGCGLIGGAFLRRHFWPTKTSVHSLPASSTNIISLPSIDSNSKKPPIHNSKRQQNKPEPVILYSSNLPKSSPKVGKKRHSLVPPRPSTSHQKKEGRRPSDAARSSTSSSSSSGRVKVLQTDKAELKWDSTSDSIELSRTVIANHRKSNDNKALVRATSGNVMLLGNLGNLRQSRNGDFIGNNSPKATIKTVGYLNKNLQEVNFSPRPRNGYSKLGSNGVMGNIVRQPSDELRQGQDLIISMDPEVLKNKGNERYKQGRFEEALAFYDRAIALDSAKATYRSNRSAALIGLGRLIEAVVECKEAIRLDPSYQRAHYRLATIYFRLGETEKALSHYKQSGAITDSKDLAQAQALQKNLNRCIEARKLEEWSRLLKETERTVSSGADSAPQVFAMQAEALLRLHRHQEAYTAYQKRPNFSVESCAKLFGLTIASYLLVIGAKVYMAAGRFEDAMAAAQQAARLDPGNREASTVLKSARAVASARLSGNLLFKASKFTEACIAYSEGLEHDPCNSILLCNRAACRSKLGQFEKAVEDCTAALSLQPNYSKARLRRAHCNAELGRWEASIQDFEMLIRESPADEEVGRALFEAQVQLKKQRGEDTQDLKFGSNLVFVSSNERFRHFVTSPGMSVVLFCSKYNGQTVLQLMEQVSKKFPSVNFLKVEVEDHPYLAKSERVTFLPSFKIYKNGSRVKEIPGNNHDLLEKSVKLYSR >Potri.006G152100.1.v4.1 pep chromosome:Pop_tri_v4:6:13355309:13360272:-1 gene:Potri.006G152100.v4.1 transcript:Potri.006G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152100.v4.1 MSTPRILLCGDVLGRLNQLFKRVQSVNKSAGPFDALFCVGQFFPDSAEQLEEFTDYIEGGGGRSQIPLPTYFIGDYGVAAPKVLSTASRNSANLGFKMDGFKICDNLFWLRGSGKFTLHGLSVVYLSGRQSSNGQHFGTYSQDDVDALRALAEEPGVVDLFLTNEWPSGVTNRASASDIPAGFLDTTGSDSSVSELVAEIKPRYHFAGTKGVFYAREPYSNVDAVHVTRFFGLAMVGNKDKQKFIHAISPTPASTMSAAEISMKPPNTTLSPYTLVVDKTALEEVTKRSTASVSDSQYWRYDVSHKRHKSGSGEGNKLCFKFIYSGSCPRGEKCNFQHDMDAREQYLGGVCLDFLIKGKCERGPDCNFKHNLQNEGESHSRRRRGSENDNGNRSKECWFCLSSPNVESHLIISIGEFYYCALPKGPLVQDHVLVIPIEHAPCTLSLTQQSNSELVKFQNSLKLYYKNRGKEAILFEWISKRSSHANIQVVPVPSTKAAAVQDICNLAAEKLGFKFVVMKFNNSSDGREWLRTQFDRNYSFFYVELTEGTILSHSVEENENFPAQFGREVLAGLLNMPERADWRNCALGKDEETKMAEEFKKQFEELDPNQ >Potri.003G172100.4.v4.1 pep chromosome:Pop_tri_v4:3:18041736:18043252:1 gene:Potri.003G172100.v4.1 transcript:Potri.003G172100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172100.v4.1 MLTNVEQLVLNVDSKDVLERKLEDSPSASVSNSPTQNSRPTSMVVKKAHTIIPAHLIAEAISTIRGLDLRWSGPITLSEMQYVRQYVLAKYPQYCNGIVADGDSTFNLTNLCIDEESSKSTPDSKRGLPQSFGARESTPKFTRSLSDLDKTQLEASRLVDILNKKTSFQGNFISIPEIQVQNRALKHCGLSEADYLVIFMPNYRDAMVIIGESYPFFRGNYYMTIIEEENDMIREFATSKESKVIPMPETWLDLRIKGSQLSQYFRRKCKHIPKGLFSYPAIVNETRYSMHWISEAHRNSWHVLLDATGLVSGEERLALALHRPDFVLCTLDNTHAQPSKITCLLVRKLSFDTSASLD >Potri.003G172100.3.v4.1 pep chromosome:Pop_tri_v4:3:18036315:18043251:1 gene:Potri.003G172100.v4.1 transcript:Potri.003G172100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172100.v4.1 MLTNVEQLVLNVDSKDVLERKLEDSPSASVSNSPTQNSRPTSMVVKKAHTIIPAHLIAEAISTIRGLDLRWSGPITLSEMQYVRQYVLAKYPQYCNGIVADGDSTFNLTNLCIDEESSKSTPDSKRGLPQSFGARESTPKFTRSLSDLDKTQLEASRLVDILNKKTSFQGNFISIPEIQVQNRALKHCGLSEADYLVIFMPNYRDAMVIIGESYPFFRGNYYMTIIEEENDMIREFATSKESKVIPMPETWLDLRIKGSQLSQYFRRKCKHIPKGLFSYPAIVNETRYSMHWISEAHRNSWHVLLDATGLVSGEERLALALHRPDFVLCTLDNTHAQPSKITCLLVRKLSFDTSASLD >Potri.005G089750.1.v4.1 pep chromosome:Pop_tri_v4:5:6244822:6246243:1 gene:Potri.005G089750.v4.1 transcript:Potri.005G089750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089750.v4.1 MPSYSPHNIVPSFFFFFLHFSPCFFFFHPLCSLNFCVLFFLSFFYEKSLEKVDQNIFVFHEILRFVLCCCGDEHEGGNDDEASHGLFSGSGSSNSSNVGTRYVWSWDRVFWEAFNSSAFKES >Potri.011G082300.2.v4.1 pep chromosome:Pop_tri_v4:11:8947775:8950855:-1 gene:Potri.011G082300.v4.1 transcript:Potri.011G082300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082300.v4.1 MLYNFVPYSKFPKLVAYIPFFHFVHTAKKHYRTTPFPYIHMFLSLFSSTNHGPHSYFDSDSSTTNSTSHLVETENSYDANDGFGKMGFNFSNAHCQVYSDGFSDEVEESDEERGQGNSSCTDRNQGTKMDEDWRRIEIHEDEFRHPLVREICRLIECRSAWNHKLEGKMRHLLRGLKPRLVCAVLLSQSDERVALDFFFWSDRQWRYRHDPIVYCVMLDVLSKTKLCQGARRVLRLMVRRGIQRTPQDFCCVMVSYSRAGKLRNAMQVLTMMQKAGIEPNLLVCNTAIHVLVMANMLEKALRFLERMQLLGIMPNVVTYNCLIKGYCDLHRVEDAMELISEMPLKGCSPDKVSYYTVMGFLCKNRRIREVMDVIEKMEDTKLLADQVTYNTLIHMLCKHQHADEALQFLREAQKRGFQVDKVGYSAIVDSYCKEGRMDQAKEIVNEMFTRGCIPDVVTYTAIINGFSQAGEVGQARKMLQQMYKHGCKPNTVSYTAFLKGLCQKGNSSEAREMMKASEEQWWTPNAITYSVVMHGFRREGKLSDACDVVREMIGKGFFPTPVEINLLLQSLCRIGRVDEAKKFMEECLNMGCAVNAVNFTTVIHRFCQQDDIEAALSLLDDMYLSNKHPDAVTYTTIIDALGKKGRIEEATELTLKMLKKGIDPTPVTYRTVIHRYGQIGRVEDLLNLLDKMLTRQECRTAFNQVIEKLCTFGNLEAADKLLGKVLRTASRIDANTCHVLMESYLRKGIPLSAYKVACRMFSRSLIPDLKLCEKVCKKLMQEGKSEEADNLFLRFVERGNISSHCLRHSQTE >Potri.003G066600.1.v4.1 pep chromosome:Pop_tri_v4:3:9382127:9384053:-1 gene:Potri.003G066600.v4.1 transcript:Potri.003G066600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066600.v4.1 MELSTFAALLLATVAVITLFRHLTRPKLNLPPGPKPWPIIGNLNLLTGPLPHRNMHALVQKYGPIMQLKFGSFPVVVGSSVEMAEAVLKTNDVKLADRPKIAAGKYTTYNYSNITWSQYGPYWRQARKICLMEIFSPKRLDQFETVRVQELHALLRKLFVSAGKPINARDEFSDLSLSVISRLVLGKNYTVKTGNQKQYMSPKEFKEMIDELFLLNGVLDIGDSIPWLAFLDLQGYIKRMKAVGQLFDGFLEYTLNEHQQRRKGVKDYVPQDMMDILLQLSDDPNLEVQLDRTAVKAFTMDLIAGGTESSAVTTEWAMAELLKKPEYFKRANEELDRVIGRDRWIEEKDIVNLPFINAICKETMRLHPVSPFLVPRLAREDIQLGGYDIPKGTRVMVNVWTIGRDASIWEKPHEFCPERFIGKSIDVKGHNFELLPFGAGRRMCVGYSLGLKVIQASVANLLHGFKWKLPGDMKTEELNMQEIFGLSTPKQIPLVAELEPRLPAHMYSM >Potri.002G164100.5.v4.1 pep chromosome:Pop_tri_v4:2:12566620:12581405:1 gene:Potri.002G164100.v4.1 transcript:Potri.002G164100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164100.v4.1 MKDSIDGIRSNRNSKSSSVASLPLILDIDDFKGDFSFDALFGNLVNDLLPSFQDEEADSADGVGGSDVIATGHARAPSDAAKLAQGLSSPLFPEVDSLLSLFRDSCRELIDLRKQIDGRLYNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDTRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYMMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQDLTVTSVMGNATASRGLEVAVTNLQDYCNELENRLLARFDAASQKRELSTMAECAKFLSQFNRGTSAMQHYVATRPMFIDVEVMNADSRLVLGDQGSQASPSNVARGLSSLFKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNLPPMEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSSHKDEYPEHEQASLRQLYQAKMEELRAESQQPSESTGTIGRSKGASAASSHQQISVTVVTEFVRWNEEAISRCTLFSSLPATLAANVKAVFTCLLDQVGQYITEGLERARDGLTEAATLRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQCFANSISRLLLPVDGAHAASCEEMATAMSTAEAAAYKGLQQCIETVMAEVERLLPAEQKATDYRSPDDGMAPDHRPTNACTKVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLGSLVDKL >Potri.002G164100.1.v4.1 pep chromosome:Pop_tri_v4:2:12566631:12580377:1 gene:Potri.002G164100.v4.1 transcript:Potri.002G164100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164100.v4.1 MKDSIDGIRSNRNSKSSSVASLPLILDIDDFKGDFSFDALFGNLVNDLLPSFQDEEADSADGVGGSDVIATGHARAPSDAAKLAQGLSSPLFPEVDSLLSLFRDSCRELIDLRKQIDGRLYNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDTRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYMMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQDLTVTSVMGNATASRGLEVAVTNLQDYCNELENRLLARFDAASQKRELSTMAECAKFLSQFNRGTSAMQHYVATRPMFIDVEVMNADSRLVLGDQGSQASPSNVARGLSSLFKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNLPPMEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSSHKDEYPEHEQASLRQLYQAKMEELRAESQQPSESTGTIGRSKGASAASSHQQISVTVVTEFVRWNEEAISRCTLFSSLPATLAANVKAVFTCLLDQVGQYITEGLERARDGLTEAATLRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQCFANSISRLLLPVDGAHAASCEEMATAMSTAEAAAYKGLQQCIETVMAEVERLLPAEQKATDYRSPDDGMAPDHRPTNACTKVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLGSLVDKL >Potri.002G164100.4.v4.1 pep chromosome:Pop_tri_v4:2:12566588:12581404:1 gene:Potri.002G164100.v4.1 transcript:Potri.002G164100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164100.v4.1 MKDSIDGIRSNRNSKSSSVASLPLILDIDDFKGDFSFDALFGNLVNDLLPSFQDEEADSADGVGGSDVIATGHARAPSDAAKLAQGLSSPLFPEVDSLLSLFRDSCRELIDLRKQIDGRLYNLKKEVSVQDSKHRKTLAELEKGVDGLFDSFARLDTRISSVGQTAAKIGDHLQSADAQRETASQTIELIKYMMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDIGRQDLTVTSVMGNATASRGLEVAVTNLQDYCNELENRLLARFDAASQKRELSTMAECAKFLSQFNRGTSAMQHYVATRPMFIDVEVMNADSRLVLGDQGSQASPSNVARGLSSLFKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNLPPMEEGGLLLYLRMLAVAYEKTQELARDLRAVGCGDLDVEGLTESLFSSHKDEYPEHEQASLRQLYQAKMEELRAESQQPSESTGTIGRSKGASAASSHQQISVTVVTEFVRWNEEAISRCTLFSSLPATLAANVKAVFTCLLDQVGQYITEGLERARDGLTEAATLRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQCFANSISRLLLPVDGAHAASCEEMATAMSTAEAAAYKGLQQCIETVMAEVERLLPAEQKATDYRSPDDGMAPDHRPTNACTKVVAYLSRVLEAAFTALEGLNKQAFLTELGNRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLGSLVDKL >Potri.008G201700.1.v4.1 pep chromosome:Pop_tri_v4:8:14360669:14365908:-1 gene:Potri.008G201700.v4.1 transcript:Potri.008G201700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G201700.v4.1 MHHLFFFFITMPPSSSFTPKPLKSSAASSSSSNTGNTNSNPNPSTLPCKHSPSATLDLLILILVLFSGTFLLTSYFSYIFNSLSLLLSNTSLSLHFPPFPYICGFFALFILSVLFIEFCCGPRSRKCDKPGCKGLKKAIEFDLQLQTEDCAKSTAGDDVDKLPWKGGTESNPDYECLRAELRKMAPPNGRAILLFRAKCGCPVAKLEGWGPKRGRRHKKALANVAANGGDHR >Potri.009G142500.1.v4.1 pep chromosome:Pop_tri_v4:9:11353202:11354248:1 gene:Potri.009G142500.v4.1 transcript:Potri.009G142500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142500.v4.1 MALHHARSNSLPSRPHPLSSQIDEHFSRLNASQVISSSSSSSSSICHKVSCLQDLHDCVDKLILLPLTQKSLAQEQNEKWVDDVLDGSLRVLDVCSMATDALLQTKECAQELQSIMRRRRGNEIGVSSEIKKYLTSRKVVKNTIHKALRGLKYLENKSSLSPFNKDHEAVAVVSMLKEVEAVTLTVLESLLTLISGGAKAQSKLSGWSLVSKLMHHKRIACETEETEVNEFEKLDSALHTLIYQKTSKSDNTGSLENVQQQLKDFDLCNQELEEGLENLYRRLIKTRVSLLNTFVN >Potri.001G325800.1.v4.1 pep chromosome:Pop_tri_v4:1:33486757:33487540:1 gene:Potri.001G325800.v4.1 transcript:Potri.001G325800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325800.v4.1 MYQTESWGSYMPARTNLGDPLERIGRLASENAVVIFSISSCCMCHAIKRLFCGMGVNPTVYELDEDPRGKEMEKALMRLLGSSSAVPVVFIGGKLVGAMDRVMASHINGTLVPLLKEAGALWL >Potri.007G002300.3.v4.1 pep chromosome:Pop_tri_v4:7:157719:159238:-1 gene:Potri.007G002300.v4.1 transcript:Potri.007G002300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002300.v4.1 MWAIGSHDIKLIFKRGGSATVACYWDPQASPLRCTTARAWRALHSEVKILYWALEVLARGSNQ >Potri.007G039800.1.v4.1 pep chromosome:Pop_tri_v4:7:3262108:3267696:1 gene:Potri.007G039800.v4.1 transcript:Potri.007G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039800.v4.1 MATDENFSSVRRGHGSRFSWNNPRGLRIGGGGGYDCRDRPRISRQKNLRHLIDQDLPGHQKQVAPPDHHVDSGALSRSTSASVAVPLPLPLPVPSGDGDSRFPSPKERIRDGFRERERDRGDRIGEGLYPSNSPISSVFAGRNMKKMAERLDTRSSRTVRPDFSSAQSSRDNFEINIPIRSAPTSPFASPVHSPPRTSSTAGMFPYYRMIAREQKVWSAPEMAALDTPGLPPPAFMDVSAFSNDSSPLQSPSNISPRRRARSPTGPLSPLTSRSSIESSAARRESNANFEVHPLPLPPGAAVPSPSVPVPIALHKPEFPSMKSQWQKGKLIGRGTFGSVYVASNRETGALCAMKEVEMFPDDPKSAESIKQLEQEIKVLSQLKHPNIVQYYGSEVVDDKFYIYLEYVHPGSINKYVHEHCGAITESVVSNFSRHIVSGLAYLHSMKTIHRDIKGANLLVDASGVVKLADFGMAKLLTGQAADLSLKGSPYWMAPELMQAVMQKDVSSDLALAVDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMRDSPGIPEILSPEGKDFLRCCFRRNPAERPTAAMLLDHRWLKNCQHVNVSYTIQSINGIKLTDISQSRRASEFKSDHLPGLPSSKGTKGKATSDSYMHYSFSPARLSNDLAMKLPT >Potri.008G047200.7.v4.1 pep chromosome:Pop_tri_v4:8:2732856:2739568:-1 gene:Potri.008G047200.v4.1 transcript:Potri.008G047200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047200.v4.1 MKKLFFFRSSSSSAGNNNTSSPPSADKQVYWEAPFQGKQEYDNQSTSNSLGLRRSRSLSSTAFLDDGKGKGNFPCIDQTRTPPSSSSGAHQQHDRSSQNNLFPERRAKTKRFEVAATGLERSGYSNSHHDSSGNSTSSNVSSKVVDRYIDGEQQQEMSKPKNSSQRSFIGNRNADGKLPPRVQYIAPTSPMDNIKDKPRSHSFREYGGTRQKFSSRDWVAKGFGHESPRKLARNVMERLSLGRSYPKSSPKEFACDIPITIEDVYGGSMNSCMDVPARKSYSLEEPCETNNGYNGDDFSGYQKPNYFPGDEFEDMNTVSREDLIDVELQQRSKEAEERIVLLSEELEQEGFLQDSRFDAPLLMQTIQSLTEDKLSLAIEVSGLLKSRIADRNSAKEGFQLAKAEWEARNQRLEKEKNELQTALEKELDRRSSDWSLKLEKCQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRDLTSKVEKVSDENQDLKHNLSELQKKYAVAEEDQDCIKRNFEEKNKECKDLQKSITRLLRTCSDQEKTIEGLRENFSEEIEKKPPLDKFDKNVTRLQMEQLRLTGLELALRREVESCRLEIDSLRHENINLLKRLKCNGEEIGALTFKLDKEMWTRTCCLQNQGLSMLNESTQLSSKLLEIIKGKVGGHFQEIKQGMEVLGNGLDEQFIVESDMKIQGFKRGTESLTRSLQTISCLLQGKSNLGASKSQSPSSNVNGSGKLDHHIPEESLRFELKAETLLTSLLTEKLYSKELELEQLQAELATAVRGNDILRCEVDNSLDSLACVSHQLKNLELQMLKKDENVDRLQSDLQASAKELATTKGILAKVSQERDIMWEEVKQFKEKNMLLNSEINVLKKKIEALEEDILLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.008G047200.10.v4.1 pep chromosome:Pop_tri_v4:8:2732854:2736997:-1 gene:Potri.008G047200.v4.1 transcript:Potri.008G047200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047200.v4.1 MSKPKNSSQRSFIGNRNADGKLPPRVQYIAPTSPMDNIKDKPRSHSFREYGGTRQKFSSRDWVAKGFGHESPRKLARNVMERLSLGRSYPKSSPKEFACDIPITIEDVYGGSMNSCMDVPARKSYSLEEPCETNNGYNGDDFSGYQKPNYFPGDEFEDMNTVSREDLIDVELQQRSKEAEERIVLLSEELEQEGFLQDSRFDAPLLMQTIQSLTEDKLSLAIEVSGLLKSRIADRNSAKEGFQLAKAEWEARNQRLEKEKNELQTALEKELDRRSSDWSLKLEKCQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRDLTSKVEKVSDENQDLKHNLSELQKKYAVAEEDQDCIKRNFEEKNKECKDLQKSITRLLRTCSDQEKTIEGLRENFSEEIEKKPPLDKFDKNVTRLQMEQLRLTGLELALRREVESCRLEIDSLRHENINLLKRLKCNGEEIGALTFKLDKEMWTRTCCLQNQGLSMLNESTQLSSKLLEIIKGKVGGHFQEIKQGMEVLGNGLDEQFIVESDMKIQGFKRGTESLTRSLQTISCLLQGKSNLGASKSQSPSSNVNGSGKLDHHIPEESLRFELKAETLLTSLLTEKLYSKELELEQLQAELATAVRGNDILRCEVDNSLDSLACVSHQLKNLELQMLKKDENVDRLQSDLQASAKELATTKGILAKVSQERDIMWEEVKQFKEKNMLLNSEINVLKKKIEALEEDILLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.008G047200.9.v4.1 pep chromosome:Pop_tri_v4:8:2732957:2739568:-1 gene:Potri.008G047200.v4.1 transcript:Potri.008G047200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047200.v4.1 MKKLFFFRSSSSSAGNNNTSSPPSADKQVYWEAPFQGKQEYDNQSTSNSLGLRRSRSLSSTAFLDDGKGKGNFPCIDQTRTPPSSSSGAHQQHDRSSHRNNLFPERRAKTKRFEVAATGLERSGYSNSHHDSSGNSTSSNVSSKVVDRYIDGEQQQEMSKPKNSSQRSFIGNRNADGKLPPRVQYIAPTSPMDNIKDKPRSHSFREYGGTRQKFSSRDWVAKGFGHESPRKLARNVMERLSLGRSYPKSSPKEFACDIPITIEDVYGGSMNSCMDVPARKSYSLEEPCETNNGYNGDDFSGYQKPNYFPGDEFEDMNTVSREDLIDVELQQRSKEAEERIVLLSEELEQEGFLQDSRFDAPLLMQTIQSLTEDKLSLAIEVSGLLKSRIADRNSAKEGFQLAKAEWEARNQRLEKEKNELQTALEKELDRRSSDWSLKLEKCQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRDLTSKVEKVSDENQDLKHNLSELQKKYAVAEEDQDCIKRNFEEKNKECKDLQKSITRLLRTCSDQEKTIEGLRENFSEEIEKKPPLDKFDKNVTRLQMEQLRLTGLELALRREVESCRLEIDSLRHENINLLKRLKCNGEEIGALTFKLDKEMWTRTCCLQNQGLSMLNESTQLSSKLLEIIKGKVGGHFQEIKQGMEVLGNGLDEQFIVESDMKIQGFKRGTESLTRSLQTISCLLQGKSNLGASKSQSPSSNVNGSGKLDHHIPEESLRFELKAETLLTSLLTEKLYSKELELEQLQAELATAVRGNDILRCEVDNSLDSLACVSHQLKNLELQMLKKDENVDRLQSDLQASAKELATTKGILAKVSQERDIMWEEVKQFKEKNMLLNSEINVLKKKIEALEEDILLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.008G047200.8.v4.1 pep chromosome:Pop_tri_v4:8:2732923:2738844:-1 gene:Potri.008G047200.v4.1 transcript:Potri.008G047200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047200.v4.1 MKKLFFFRSSSSSAGNNNTSSPPSADKQVYWEAPFQGKQEYDNQSTSNSLGLRRSRSLSSTAFLDDGKGKGNFPCIDQTRTPPSSSSGAHQQHDRSSHRNNLFPERRAKTKRFEVAATGLERSGYSNSHHDSSGNSTSSNVSSKVVDRYIDGEQQQEMSKPKNSSQRSFIGNRNADGKLPPRVQYIAPTSPMDNIKDKPRSHSFREYGGTRQKFSSRDWVAKGFGHESPRKLARNVMERLSLGRSYPKSSPKEFACDIPITIEDVYGGSMNSCMDVPARKSYSLEEPCETNNGYNGDDFSGYQKPNYFPGDEFEDMNTVSREDLIDVELQQRSKEAEERIVLLSEELEQEGFLQDSRFDAPLLMQTIQSLTEDKLSLAIEVSGLLKSRIADRNSAKEGFQLAKAEWEARNQRLEKEKNELQTALEKELDRRSSDWSLKLEKCQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRDLTSKVEKVSDENQDLKHNLSELQKKYAVAEEDQDCIKRNFEEKNKECKDLQKSITRLLRTCSDQEKTIEGLRENFSEEIEKKPPLDKFDKNVTRLQMEQLRLTGLELALRREVESCRLEIDSLRHENINLLKRLKCNGEEIGALTFKLDKEMWTRTCCLQNQGLSMLNESTQLSSKLLEIIKGKVGGHFQEIKQGMEVLGNGLDEQFIVESDMKIQGFKRGTESLTRSLQTISCLLQGKSNLGASKSQSPSSNVNGSGKLDHHIPEESLRFELKAETLLTSLLTEKLYSKELELEQLQAELATAVRGNDILRCEVDNSLDSLACVSHQLKNLELQMLKKDENVDRLQSDLQASAKELATTKGILAKVSQERDIMWEEVKQFKEKNMLLNSEINVLKKKIEALEEDILLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.003G194900.1.v4.1 pep chromosome:Pop_tri_v4:3:19736320:19740330:-1 gene:Potri.003G194900.v4.1 transcript:Potri.003G194900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194900.v4.1 MSELVARTGRLQQRYEGGCRLVAGCIPYRFRDYCEDGDDSAKVVEVLMINSPSGPGLLFPKGGWENDETAKEAAVREAIEEAGVRGDLMDFIGDYQFKSKTLQDECCPDGLCKAAMYALFVKEELESWPEQSTRTRSWLTIPEAVDSCRHKWMEEALKDFSSWLEDKM >Potri.019G087400.2.v4.1 pep chromosome:Pop_tri_v4:19:12640470:12644644:1 gene:Potri.019G087400.v4.1 transcript:Potri.019G087400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087400.v4.1 MSILDSFFDKGFKAAKCKTLLKLTIPRIKLLRNRREIQIKQMRRDIAKLLETGQEATSRIRVENIIREENMMAAQEIIELFCELITVRLPIIETQRECPLDLKEAISSVCFAAPRCGDLPELLQVQTLFASKYGKEFAAAATELRPACGVNRQLIQLLSVCAPSPEAKLKLLKEIAEEHALDWDPAASETELLKKNEDLLNGPTHISGPTHITILPLPKEKHDEVLVAPDLAKHEQSDSDTGFDPLDFSEVPEVSLLPSANSASAPGIAPPAQAALHPDIDHESSNHYVTNKDLSQEPHIVVHKDVIEEKSAATRDEISSTTASAREEKQFLSSITPPSLSSPSFSSPPSALTTKSEVNVDLQNVSATVGGTVETAKHATIPSTSPPHVTRTGTEANVDLQDVLAAAHAAAETAEHAAAAARSAATLAQARIGELTKKNSERLHESGYDNPFFVDTPDLSASADKPPFVHQQSFGDGDNVPSYKDLHRLHEGHQASELLDIPSFEKLEVDYDSPRSDHVVEQGSVSHQPQRLPSMDDEPYFSYPNLFTSQNSNLGSSALSATDHSNSIHDL >Potri.001G470300.2.v4.1 pep chromosome:Pop_tri_v4:1:49387266:49391534:1 gene:Potri.001G470300.v4.1 transcript:Potri.001G470300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470300.v4.1 MGTKSRTNCFYALFFLLVGFQDSVQGRLLLINKPDPNDPAASARWLVSQNSWGVLNTISSDLGGAPFGNVVSFSDGLPGKGSGIPYFYLTTLDPTAKNALQDQRSSFTISEYPLGTCGKKDPENPSCAKITLTGKLKVLKENSKEAEIAKSALFVKHPEMRGWPKGHNFQFFKLDIEDIFLINWFGGPKPLTVDQYLHYKVIGLVDSL >Potri.002G109000.4.v4.1 pep chromosome:Pop_tri_v4:2:8128911:8134179:1 gene:Potri.002G109000.v4.1 transcript:Potri.002G109000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109000.v4.1 MLGFCCLPYYDVLNIGSFLLAKIHHILYSYNLKHFRLLHNRFYLPHNIFLFFPQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEAEARRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGASLLRTTCGTPNYVAPEVLSHKGYDGAIADVWSCGVILYVLMAGYLPFDELDLTTLYSKIDRAEFSCPSWFPVGAKSLIHRILDPKPETRITVEQIRNDEWFKKGYVPIKPVEYEDVNLDDVNAVFDDPEEQRADEQHGNEEMSPLILNAFDLIILSQGLNLAALFDRGQDSLKHQTRFVSKKPATVVLSSMEVVAQSMGFKTHIRNFKMRVEGLSADKAGHFSVILEVFEIAPTFLMVDIQRAAGDAAEYLKFYKNFCSNLEDIIWKPSTESSKSRMTKSKSKRR >Potri.002G109000.1.v4.1 pep chromosome:Pop_tri_v4:2:8128852:8134179:1 gene:Potri.002G109000.v4.1 transcript:Potri.002G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109000.v4.1 MVAIRKVGKYEVGRTIGEGTFAKVKFAQNTETGESVAMKILDRSTIIKHKMVDQIKREISIMKLVRHPYVVRLHEVLASRTKIYIILEFITGGELFDKIVHHGRLSEAEARRYFQQLIDGVDYCHSKGVYHRDLKPENLLLDSQGNLKISDFGLSALPEQGASLLRTTCGTPNYVAPEVLSHKGYDGAIADVWSCGVILYVLMAGYLPFDELDLTTLYSKIDRAEFSCPSWFPVGAKSLIHRILDPKPETRITVEQIRNDEWFKKGYVPIKPVEYEDVNLDDVNAVFDDPEEQRADEQHGNEEMSPLILNAFDLIILSQGLNLAALFDRGQDSLKHQTRFVSKKPATVVLSSMEVVAQSMGFKTHIRNFKMRVEGLSADKAGHFSVILEVFEIAPTFLMVDIQRAAGDAAEYLKFYKNFCSNLEDIIWKPSTESSKSRMTKSKSKRR >Potri.017G027500.1.v4.1 pep chromosome:Pop_tri_v4:17:1795859:1803826:-1 gene:Potri.017G027500.v4.1 transcript:Potri.017G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027500.v4.1 MQRLISLRSKSNSLKSLFNPLKSPQKHSISADWSSCFHQSWNLIASKGCFSRVFSYGLWPSCAKMRNFDKNQGFVRRFSSEAQRESIEYDVVIVGAGPAGLSAAIRLKQLCSEKGVDLSVCVVEKGPEVGAHILSGNVFEPRALDELLPHWKEEEAPINVPVSSDKFWFLTKDRAFSLPCPFDNKGNYVISLSQLVRWMGIKAEEFGVEIYPGFAASEILYDANDSVVGIGTNDMGIAKDGSKKDTFQRGVELKGRVTLLAEGCRGSLSEKLIKKYKLREKGHAQHQTYALGIKEVWEIDESKHKPGAVLHTIGWPLDQKTYGGSFLYHMKDRQVSIGLVVALNYHNPYLNPYEEFQKFKHHPAIRPLLEGGTVIQYGARTLNEGGIQSIPYPVFSGGAIIGCSAGFLNVPKIKGTHTAMKSGMLAAEAAFGSLREGTSLKSYWETLRSSWIWDELHQARNYRPAFEYGVIPGMAISALERYILKGRSPFTLKHGKPDHESTNAARLHSPIEYPKPDGVFSFDVPTSLHRSNTNHDHDQPAHLRLKDPKIPETLNLPEYAGPESRYCPARVYEYISDENSQLKLQINAQNCLHCKACDIKDPKQNIEWTVPEGGGGPGYSVM >Potri.001G200100.1.v4.1 pep chromosome:Pop_tri_v4:1:19851615:19856722:-1 gene:Potri.001G200100.v4.1 transcript:Potri.001G200100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200100.v4.1 MNINTDNLLWIALAISLSSTAIIFLYRNSSWFSSSSMMTIRLSSSKYKTPLPLGTLGWPFIGETIDFVSCAYSDRPESFMDKRRRMYGKVFKSHIFGSPTIVSTDAEVSKFILQSDAKVFVPSYPKSLTELMGKSSILLINGSLQRRIHGLIGAFFKSPHLKAQITRDMQSYVQESMEKWREDQPIFIQDETKNIAFQVLVKALISLDPGEEMELLKKQFQEFIAGLMSLPLNIPGSQLYRSLQAKKKMVKLVQKIIQSKREGRAGIVSMAPKDVAEVLLNDASEQLTDDLIADNMIDMMIPGEDSVPVLMTLAVKYLSDCPAALQQLTKENMKLKSLKAQHGEPLCWTDYLSLPFTQTVITETLRMGNIIIGVMRKAMKDTEIKGYLIPKGWCAFAYFRSVHLDENNYEWPYEFNPWRWQDKDMSINGSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWVAEEDTVVNFPTVRMKRRMPIWVKRGGEN >Potri.001G024800.1.v4.1 pep chromosome:Pop_tri_v4:1:1869501:1872612:-1 gene:Potri.001G024800.v4.1 transcript:Potri.001G024800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024800.v4.1 MATLRVPEVVPSPTQDCEKLRDAVQGLGTDEKAIIWILGHRNASQRKKIRETYQQLYNESLIDRLNSELSGDFRKAVILWTTDPPERDAKLANEALKANKKGMKQLQVVVEITCASSPNHLQEVRQAYCSIFDCSLEEDIVSAVPLPLRKILVAVASSYRYDKELVDTKVANAEAAKLHEVIKSKKLDQDDIILILSTRNFHQLRATFACYNQNFGNSIDQDIKSCGKGDLESLLRVVIKCIDTPEKHFAEVIGEAIIGFGTDEDSLTRAIVARAEIDTMKIRGEYFNIFKTNLDGAVTGDTSGDYKDFLMTLLGARI >Potri.018G040200.2.v4.1 pep chromosome:Pop_tri_v4:18:3198438:3204623:1 gene:Potri.018G040200.v4.1 transcript:Potri.018G040200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040200.v4.1 MEVHIHNFSPNFSPLRPLTNPNSSIKLYHSRDSTFSIPLSKSLKLGFRSSPLLAASTPITNTDTSLASNGENERSVSPVYVPTPPNRGLRTPHSGYHYDGTTRNFFEGWYFKVSIPERKQNFCFMYSVENPAFPKKLTALESAQHGSRSIGVGAQILGAYDKYICQFSEESQDFWGSRHELALGNTFVAERNMRPPTKEVPPQEFDKRVLEGFQVSPLWHQGFIRDDGRSDYVETVKTARWQYSTRPVYGWGNVGSTQKSTAGWLAAFPVFEPHWQICMAGGLSTGWIEWDGERFEFEDAPSYSEKNWGGAFPRKWFWVQCNVFEGASGEVALTAAGGLRQIPGPSETFENAALVGVHYDGIFYEFVPWNGVVNWEISPWGYWYMAADNGTHLVELEATTKELGTALRAPTAEAGLSPACKDTGFSFLKLQIWERRYDGTKGKLILDVTSDMALVEVGGGPWFTTWKGKTSMPELVSRTIGAPIDVDGIFSFVPLFKPPGL >Potri.008G114101.1.v4.1 pep chromosome:Pop_tri_v4:8:7257467:7258147:-1 gene:Potri.008G114101.v4.1 transcript:Potri.008G114101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114101.v4.1 MASLKAMKPVGTKPIEPVKKEPAAKPSSSAPKTPASKPAPKKVEQKPREPKKKASGSSCKPTAK >Potri.008G178200.10.v4.1 pep chromosome:Pop_tri_v4:8:12311696:12316162:-1 gene:Potri.008G178200.v4.1 transcript:Potri.008G178200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178200.v4.1 MAAGRYRGCHDNESRDHESDSELSREDFPYSKDKFDRIENGNGENDRGRVRELRDRARVRQKDIREREVTNGGYSSSSGRSDSGSSGSGGAHGPRKCGLSARATDREPGELSSESGSDDAIDSESHAHKDSEVLKVVENGTRTPVEKKRKFSPIVWDRDDKPVSNSSKSGSPPAVTALPPPPPLPKAYRQSPSFIPEGGVGISPVKSSKNQNLLSSSPLKGSVGNSASESPVELASSPMEEQWGNDQEAEHIEDEDYVPMRNISSSRWAAGNNSPVDEGEIVEDQEIPKRRKKMPHSEFLDSRARNKLSTPDPGDFKREGSDVARGRSSESDERGTCARPLSGDDYHGNDSGRDDYMDIDEEHNNSDSDIDPKDEDDSCETPEPAGPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKTYTHLVVTLWYRAPELLLGGKQYSTTIDMWSLGCIMAELLSKGPLFNGKTEVDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQLPAG >Potri.008G178200.7.v4.1 pep chromosome:Pop_tri_v4:8:12312087:12316162:-1 gene:Potri.008G178200.v4.1 transcript:Potri.008G178200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178200.v4.1 MAAGRYRGCHDNESRDHESDSELSREDFPYSKDKFDRIENGNGENDRGRVRELRDRARVRQKDIREREVTNGGYSSSSGRSDSGSSGSGGAHGPRKCGLSARATDREPGELSSESGSDDAIDSESHAHKDSEVLKVVENGTRTPVEKKRKFSPIVWDRDDKPVSNSSKSGSPPAVTALPPPPPLPKAYRQSPSFIPEGGVGISPVKSSKNQNLLSSSPLKGSVGNSASESPVELASSPMEEQWGNDQEAEHIEDEDYVPMRNISSSRWAAGNNSPVDEGEIVEDQEIPKRRKKMPHSEFLDSRARNKLSTPDPGDFKREGSDVARGRSSESDERGTCARPLSGDDYHGNDSGRDDYMDIDEEHNNSDSDIDPKDEDDSCETPEPAGPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKTYTHLVVTLWYRAPELLLGGKQYSTTIDMWSLGCIMAELLSKGPLFNGKTEVDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALKHDWFREVPLPKSKDFMPTFPAQHAQDRRLRRIRKSPDPLEEQRRKELQQGELGTGGLFG >Potri.008G178200.6.v4.1 pep chromosome:Pop_tri_v4:8:12312087:12316126:-1 gene:Potri.008G178200.v4.1 transcript:Potri.008G178200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178200.v4.1 MAAGRYRGCHDNESRDHESDSELSREDFPYSKDKFDRIENGNGENDRGRVRELRDRARVRQKDIREREVTNGGYSSSSGRSDSGSSGSGGAHGPRKCGLSARATDREPGELSSESGSDDAIDSESHAHKDSEVLKVVENGTRTPVEKKRKFSPIVWDRDDKPVSNSSKSGSPPAVTALPPPPPLPKAYRQSPSFIPEGGVGISPVKSSKNQNLLSSSPLKGSVGNSASESPVELASSPMEEQWGNDQEAEHIEDEDYVPMRNISSSRWAAGNNSPVDEGEIVEDQEIPKRRKKMPHSEFLDSRARNKLSTPDPGDFKREGSDVARGRSSESDERGTCARPLSGDDYHGNDSGRDDYMDIDEEHNNSDSDIDPKDEDDSCETPEPAGPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKTYTHLVVTLWYRAPELLLGGKQYSTTIDMWSLGCIMAELLSKGPLFNGKTEVDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALKHDWFREVPLPKSKDFMPTFPAQHAQDRRLRRIRKSPDPLEEQRRKELQQGELGTGGLFG >Potri.008G178200.2.v4.1 pep chromosome:Pop_tri_v4:8:12311682:12316126:-1 gene:Potri.008G178200.v4.1 transcript:Potri.008G178200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178200.v4.1 MAAGRYRGCHDNESRDHESDSELSREDFPYSKDKFDRIENGNGENDRGRVRELRDRARVRQKDIREREVTNGGYSSSSGRSDSGSSGSGGAHGPRKCGLSARATDREPGELSSESGSDDAIDSESHAHKDSEVLKVVENGTRTPVEKKRKFSPIVWDRDDKPVSNSSKSGSPPAVTALPPPPPLPKAYRQSPSFIPEGGVGISPVKSSKNQNLLSSSPLKGSVGNSASESPVELASSPMEEQWGNDQEAEHIEDEDYVPMRNISSSRWAAGNNSPVDEGEIVEDQEIPKRRKKMPHSEFLDSRARNKLSTPDPGDFKREGSDVARGRSSESDERGTCARPLSGDDYHGNDSGRDDYMDIDEEHNNSDSDIDPKDEDDSCETPEPAGPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKTYTHLVVTLWYRAPELLLGGKQYSTTIDMWSLGCIMAELLSKGPLFNGKTEVDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALKHDWFREVPLPKSKDFMPTFPAQHAQDRRLRRIRKSPDPLEEQRRKELQQGELGTGGLFG >Potri.008G178200.9.v4.1 pep chromosome:Pop_tri_v4:8:12312107:12316120:-1 gene:Potri.008G178200.v4.1 transcript:Potri.008G178200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178200.v4.1 MAAGRYRGCHDNESRDHESDSELSREDFPYSKDKFDRIENGNGENDRGRVRELRDRARVRQKDIREREVTNGGYSSSSGRSDSGSSGSGGAHGPRKCGLSARATDREPGELSSESGSDDAIDSESHAHKDSEVLKVVENGTRTPVEKKRKFSPIVWDRDDKPVSNSSKSGSPPAVTALPPPPPLPKAYRQSPSFIPEGGVGISPVKSSKNQNLLSSSPLKGSVGNSASESPVELASSPMEEQWGNDQEAEHIEDEDYVPMRNISSSRWAAGNNSPVDEGEIVEDQEIPKRRKKMPHSEFLDSRARNKLSTPDPGDFKREGSDVARGRSSESDERGTCARPLSGDDYHGNDSGRDDYMDIDEEHNNSDSDIDPKDEDDSCETPEPAGPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKTYTHLVVTLWYRAPELLLGGKQYSTTIDMWSLGCIMAELLSKGPLFNGKTEVDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALKHDWFREVPLPKSKDFMPTFPAQHAQDRRLRRIRKSPDPLEEQRRKELQQGELGTGGLFG >Potri.008G178200.8.v4.1 pep chromosome:Pop_tri_v4:8:12311692:12316190:-1 gene:Potri.008G178200.v4.1 transcript:Potri.008G178200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178200.v4.1 MAAGRYRGCHDNESRDHESDSELSREDFPYSKDKFDRIENGNGENDRGRVRELRDRARVRQKDIREREVTNGGYSSSSGRSDSGSSGSGGAHGPRKCGLSARATDREPGELSSESGSDDAIDSESHAHKDSEVLKVVENGTRTPVEKKRKFSPIVWDRDDKPVSNSSKSGSPPAVTALPPPPPLPKAYRQSPSFIPEGGVGISPVKSSKNQNLLSSSPLKGSVGNSASESPVELASSPMEEQWGNDQEAEHIEDEDYVPMRNISSSRWAAGNNSPVDEGEIVEDQEIPKRRKKMPHSEFLDSRARNKLSTPDPGDFKREGSDVARGRSSESDERGTCARPLSGDDYHGNDSGRDDYMDIDEEHNNSDSDIDPKDEDDSCETPEPAGPPQRSVNMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSVVDVKEVVVGSSLDSIFMVMEYMEHDLKGLMESMRQPFSQSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKTYTHLVVTLWYRAPELLLGGKQYSTTIDMWSLGCIMAELLSKGPLFNGKTEVDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKHQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALKHDWFREVPLPKSKDFMPTFPAQHAQDRRLRRIRKSPDPLEEQRRKELQQGELGTGGLFG >Potri.001G299400.1.v4.1 pep chromosome:Pop_tri_v4:1:31040916:31041810:1 gene:Potri.001G299400.v4.1 transcript:Potri.001G299400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299400.v4.1 MFHHVYVLSLLVLLAINKVSAVDYTVTNRASATAGGARFTRDIGIDYSKKTLASATDFIWRTLKQSNAAGRKNVQTVNLFIDVMGGVAYAIDNEIHVSNDYIGNYSGDVRREITGVLYHEMAHIWQWNGNGKAPGGLIEGIADFVRLKANYAPSHWVQAGQGDSWDQGYDVTAKFLDYCNGLRNGFVAKLNKKMKTGYSAQYFVDLLGKTVDQLWKDYKAKYGK >Potri.007G076100.1.v4.1 pep chromosome:Pop_tri_v4:7:10013934:10015410:-1 gene:Potri.007G076100.v4.1 transcript:Potri.007G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076100.v4.1 MPTSLLIASPIYQLLIPKAAMRFTKQFQFVCLALLFILGAWPSKSTARTLLDAPMYERHEQWMTQYGRVYKDDNERATRYSIFKENVARIDAFNSQTGKSYKLGVNQFADLTNEEFKASRNRFKGHMCSPQAGPFRYENVSAVPSTVDWRKEGAVTPVKDQGQCGCCWAFSAVAAMEGINKLTTGKLISLSEQEVVDCDTKGEDQGCNGGLMDDAFKFIEQNKGLTTEANYPYKGTDGTCNTNKAAIHAAKITGFEDVPANSEAALMKAVAKQPVSVAIDAGGSDFQFYSSGIFTGSCDTQLDHGVTAVGYGVSDGSKYWLVKNSWGAQWGEEGYIRMQKDISAKEGLCGIAMQASYPTA >Potri.009G043700.1.v4.1 pep chromosome:Pop_tri_v4:9:5034419:5036336:1 gene:Potri.009G043700.v4.1 transcript:Potri.009G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043700.v4.1 METLPFLLTLAAATSVYLLWFYLLARKLTGPKVWPIVGSLPLLFVNRRNFHDWLAANLRATGGAGTYQTCTIALPFFARKQGFYTVTCHPKNMEHILRTKFDNYPKGPEWQTAFHDLLGQGIFNSDGETWLIQRKTAALEFTTRTLRQAMARWVNQTIKNRLWNILDKAVTEKHSVDLQELLLRLTFDNICGLTFGKDPETLSPEMPENPFAIAFDTATEATLQRLLYPGILWRVEKLLGIGAERRLKKSLEVVETYMDDAIAARKENPSDDLLSRFMKKRDVEGNHFPISVLQRIALNFVLAGRDTSSVALSWFFWLVMNHPEVEAKIIKEISAILTETRGNDRQKWLDEPLVFDEADKLVYLKAALAETLRLYPSVPQDFKYVVENDVLPDGTHVPAGSTITYSIYSVGRMKSIWGEDCMEFKPERWLSPEEDKFEPPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSLVPGHRVEQKMSLTLFMKNGLHVLLHPRTLA >Potri.001G168200.1.v4.1 pep chromosome:Pop_tri_v4:1:14380164:14384559:1 gene:Potri.001G168200.v4.1 transcript:Potri.001G168200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168200.v4.1 MAVPTIALYASPPSSVCSTPYPCQINAHANYDFELNSRSSSTASSSASSSQKPIVGGLSRLFSSPAVKHASFSGDREELGWHDRGDELKELSSSFCYTPSKCLAGSSIKRDQSPVSVLQGQVSCSSSPPTRIARERSGCDVGFQSSIHGSFRSGANGLFNGFVRNALGSCVDYDSPSFEVHNNGIDEDSSSVVVDELTFSMEDSCVDANYEPYAKELLFGAQSRHTIFCDDFVIKAFHEAEKAHRGQMRASGDPYLQHCVETAVLLAIIGANSTVVAAGLLHDTLDDSFISYDHIFKTFGAGVADLVEGVSKLSQLSKLARENNTASKTVEADRLHTMFLAMADARAVLIKLADRLHNMMTLDALPLVKQQRFAKETSEIFAPLANRLGISSWKEQLENLCFKHLNPDQHKDLSARLVDSFDEAMIASAKEKLEKALTDEAISYDLSGRHKSLYSTYCKMLKKKLNMDQIHDIHGLRLIVENNEDCYRALRVVQRLWSEVPGKFKDYINNPKFNGYRSLHTVVMGEGTVPLEVQIRTREMHLQAEFGFAAHWRYKEGDSKHSSFVLQMVEWARWVITWQCETMSKDHSFIGCGDSIKPPCTFPSHSDGCPYSYKPHCGQDGPVFVIMIESDKMSVQEFPANSTVMDLLERAGRTSSRWSPYGFPVKEELRPRLNHRPVYDVTCKLKMGDVVELTPAIPDKSLSDYREEIQRMYERGSAPVSSTVPAVSGTVG >Potri.009G080400.1.v4.1 pep chromosome:Pop_tri_v4:9:7694078:7694831:1 gene:Potri.009G080400.v4.1 transcript:Potri.009G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080400.v4.1 MALRTHLFFPNTLIRPPKFHSCPFPTTTHFRTRIPCTNKNSLTDADLASGLATEVAKINTHLVQREEAMKKSRELLFTELCNYLALDKEEVEKKWSKMDQEEIRVLVKGFVNEWGANFHPLSARSVEEMIEEYLHEEKPSSNSSRSMLFPGLKRIMGFSE >Potri.012G067000.3.v4.1 pep chromosome:Pop_tri_v4:12:8595463:8611553:-1 gene:Potri.012G067000.v4.1 transcript:Potri.012G067000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067000.v4.1 MQITEEQRQRSEANRLAALEKRKAYIINQQQQQQPPPPQNPWRLFKCRKLSPKPSSSKTTTNPPLFNRVNPDLDTHLPQTFRVRLEICSPDSFSITPEAMKGFPYPGEEKCLNTLKSRLSNAMEPRYTQINGGGRACVYNIRDYDVVLTCLKNCKGIEIEKIPFTTLNIIQRLSNSFDAGRWEPCRPEHFTDEKVDEFIRMLPRKLLDVLLPFQHDGLRFGLRRGGRCLIADEMGLGKTLQAIAIAGCFINEGPILVVCPAILRFSWAEELERWMPFCLPSEIHLVFGHRTNPMHLTRCPKVVVISYTMLHHLRKTMLEQEWALLIVDESHHVRCSKNKSEPNEIKAVLDVAEKVKRIVLLSGTPSLSRPFDIFHQINMLWPGLLGQNKYDFAKTYCALRLVRTYEGKGFQDFSKGIRLEELNVLLRQTVMIRRLKEHVLKQLPPKRRQIIRLLLKRSDIISAKAACGGLVNHDASERNAAEVINSENIDGSDESGGCCRSKKLSYQELGIAKLSGFCEWLSIHPLISESDGVAKLDVNHSSQKMIIFAHHLKVLDGVQEFVHEKGVGFVRIDGNTLASDRQNAVLSFQSSNKVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQAEDRAHRRGQSNAVNIYIFCAKDTMDETCWQNLNKSLHRVSSITDGKYDAVPEILVERISYFGKSDKGIRRSSEVQVKLPDSGSVWDSQPFKTDDEENVMLIGSTFQTDDLNLGAVMVLDNVTDKDSVANKNLEGISEIEIRSSSRVSSSESSEGHEGNDQSEKENKLCVQTTETNDSELAQQNEADECWSNEVYSLRFEVSKYTGRIHLYSCILGKDSRPQPLYENFQPEELESLNLPAANDSKETDFKFLKGNPVSRHALLSFIKEWNALRPIERRKLRGKTLQLPLRVELCYLNESTNHKIGGLLKGGSKRRLTPLGEISHPLPSNAILKKVHLSSSYGQKEKQYTQGWTLMDEPLCKLCQMPCKGSNAKTPVYFEDLFCNLICCEEYRLRTSSRSLRQLDCHQLVRTIKPLSLERRREYIEEVAPNVASQKKLLDKLANDPSEGNAWHADHIVPVYRGGGECKLENMRTLCVACHSNVTAAQRAERCSTREKARKQLEVIMNDIKCMEEETSTYVKGQGHPQMQEEDLVDDLLVKVPGSAYSRGQSTDPESEDMKKSS >Potri.012G067000.5.v4.1 pep chromosome:Pop_tri_v4:12:8595411:8611541:-1 gene:Potri.012G067000.v4.1 transcript:Potri.012G067000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067000.v4.1 MLWPGLLGQNKYDFAKTYCALRLVRTYEGKGFQDFSKGIRLEELNVLLRQTVMIRRLKEHVLKQLPPKRRQIIRLLLKRSDIISAKAACGGLVNHDASERNAAEVINSENIDGSDESGGCCRSKKLSYQELGIAKLSGFCEWLSIHPLISESDGVAKLDVNHSSQKMIIFAHHLKVLDGVQEFVHEKGVGFVRIDGNTLASDRQNAVLSFQSSNKVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQAEDRAHRRGQSNAVNIYIFCAKDTMDETCWQNLNKSLHRVSSITDGKYDAVPEILVERISYFGKSDKGIRRSSEVQVKLPDSGSVWDSQPFKTDDEENVMLIGSTFQTDDLNLGAVMVLDNVTDKDSVANKNLEGISEIEIRSSSRVSSSESSEGHEGNDQSEKENKLCVQTTETNDSELAQQNEADECWSNEVYSLRFEVSKYTGRIHLYSCILGKDSRPQPLYENFQPEELESLNLPAANDSKETDFKFLKGNPVSRHALLSFIKEWNALRPIERRKLRGKTLQLPLRVELCYLNESTNHKIGGLLKGGSKRRLTPLGEISHPLPSNAILKKVHLSSSYGQKEKQYTQGWTLMDEPLCKLCQMPCKGSNAKTPVYFEDLFCNLICCEEYRLRTSSRSLRQELFEIEHGVCTICQLDCHQLVRTIKPLSLERRREYIEEVAPNVASQKKLLDKLANDPSEGNAWHADHIVPVYRGGGECKLENMRTLCVACHSNVTAAQRAERCSTREKARKQLEVIMNDIKCMEEETSTYVKGQGHPQMQEEDLVDDLLVKVPGSAYSRGQSTDPESEDMKKSS >Potri.012G067000.1.v4.1 pep chromosome:Pop_tri_v4:12:8595417:8611585:-1 gene:Potri.012G067000.v4.1 transcript:Potri.012G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067000.v4.1 MQITEEQRQRSEANRLAALEKRKAYIINQQQQQQPPPPQNPWRLFKCRKLSPKPSSSKTTTNPPLFNRVNPDLDTHLPQTFRVRLEICSPDSFSITPEAMKGFPYPGEEKCLNTLKSRLSNAMEPRYTQINGGGRACVYNIRDYDVVLTCLKNCKGIEIEKIPFTTLNIIQRLSNSFDAGRWEPCRPEHFTDEKVDEFIRMLPRKLLDVLLPFQHDGLRFGLRRGGRCLIADEMGLGKTLQAIAIAGCFINEGPILVVCPAILRFSWAEELERWMPFCLPSEIHLVFGHRTNPMHLTRCPKVVVISYTMLHHLRKTMLEQEWALLIVDESHHVRCSKNKSEPNEIKAVLDVAEKVKRIVLLSGTPSLSRPFDIFHQINMLWPGLLGQNKYDFAKTYCALRLVRTYEGKGFQDFSKGIRLEELNVLLRQTVMIRRLKEHVLKQLPPKRRQIIRLLLKRSDIISAKAACGGLVNHDASERNAAEVINSENIDGSDESGGCCRSKKLSYQELGIAKLSGFCEWLSIHPLISESDGVAKLDVNHSSQKMIIFAHHLKVLDGVQEFVHEKGVGFVRIDGNTLASDRQNAVLSFQSSNKVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQAEDRAHRRGQSNAVNIYIFCAKDTMDETCWQNLNKSLHRVSSITDGKYDAVPEILVERISYFGKSDKGIRRSSEVQVKLPDSGSVWDSQPFKTDDEENVMLIGSTFQTDDLNLGAVMVLDNVTDKDSVANKNLEGISEIEIRSSSRVSSSESSEGHEGNDQSEKENKLCVQTTETNDSELAQQNEADECWSNEVYSLRFEVSKYTGRIHLYSCILGKDSRPQPLYENFQPEELESLNLPAANDSKETDFKFLKGNPVSRHALLSFIKEWNALRPIERRKLRGKTLQLPLRVELCYLNESTNHKIGGLLKGGSKRRLTPLGEISHPLPSNAILKKVHLSSSYGQKEKQYTQGWTLMDEPLCKLCQMPCKGSNAKTPVYFEDLFCNLICCEEYRLRTSSRSLRQELFEIEHGVCTICQLDCHQLVRTIKPLSLERRREYIEEVAPNVASQKKLLDKLANDPSEGNAWHADHIVPVYRGGGECKLENMRTLCVACHSNVTAAQRAERCSTREKARKQLEVIMNDIKCMEEETSTYVKGQGHPQMQEEDLVDDLLVKVPGSAYSRGQSTDPESEDMKKSS >Potri.012G067000.4.v4.1 pep chromosome:Pop_tri_v4:12:8595438:8611546:-1 gene:Potri.012G067000.v4.1 transcript:Potri.012G067000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067000.v4.1 MQITEEQRQRSEANRLAALEKRKAYIINQQQQQQPPPPQNPWRLFKCRKLSPKPSSSKTTTNPPLFNRVNPDLDTHLPQTFRVRLEICSPDSFSITPEAMKGFPYPGEEKCLNTLKSRLSNAMEPRYTQINGGGRACVYNIRDYDVVLTCLKNCKGIEIEKIPFTTLNIIQRLSNSFDAGRWEPCRPEHFTDEKVDEFIRMLPRKLLDVLLPFQHDGLRFGLRRGGRCLIADEMGLGKTLQAIAIAGCFINEGPILVVCPAILRFSWAEELERWMPFCLPSEIHLVFGHRTNPMHLTRCPKVVVISYTMLHHLRKTMLEQEWALLIVDESHHVRCSKNKSEPNEIKAVLDVAEKVKRIVLLSGTPSLSRPFDIFHQINMLWPGLLGQNKYDFAKTYCALRLVRTYEGKGFQDFSKGIRLEELNVLLRQTVMIRRLKEHVLKQLPPKRRQIIRLLLKRSDIISAKAACGGLVNHDASERNAAEVINSENIDGSDESGGCCRSKKLSYQELGIAKLSGFCEWLSIHPLISESDGVAKLDVNHSSQKMIIFAHHLKVLDGVQEFVHEKGVGFVRIDGNTLASDRQNAVLSFQSSNKVKIAIIGITAGGVGLDFSSAQNVVFLELPQSPSLMLQDTMDETCWQNLNKSLHRVSSITDGKYDAVPEILVERISYFGKSDKGIRRSSEVQVKLPDSGSVWDSQPFKTDDEENVMLIGSTFQTDDLNLGAVMVLDNVTDKDSVANKNLEGISEIEIRSSSRVSSSESSEGHEGNDQSEKENKLCVQTTETNDSELAQQNEADECWSNEVYSLRFEVSKYTGRIHLYSCILGKDSRPQPLYENFQPEELESLNLPAANDSKETDFKFLKGNPVSRHALLSFIKEWNALRPIERRKLRGKTLQLPLRVELCYLNESTNHKIGGLLKGGSKRRLTPLGEISHPLPSNAILKKVHLSSSYGQKEKQYTQGWTLMDEPLCKLCQMPCKGSNAKTPVYFEDLFCNLICCEEYRLRTSSRSLRQELFEIEHGVCTICQLDCHQLVRTIKPLSLERRREYIEEVAPNVASQKKLLDKLANDPSEGNAWHADHIVPVYRGGGECKLENMRTLCVACHSNVTAAQRAERCSTREKARKQLEVIMNDIKCMEEETSTYVKGQGHPQMQEEDLVDDLLVKVPGSAYSRGQSTDPESEDMKKSS >Potri.007G020500.1.v4.1 pep chromosome:Pop_tri_v4:7:1561948:1563639:-1 gene:Potri.007G020500.v4.1 transcript:Potri.007G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020500.v4.1 MFSPTNMPRSVSTLFSAYASFAGSIMLIRSMEKELIPDELRSYLSTAIPYLFTPLSPNITLVIDEHFGVSRNQVYDAAEIYLKTKISPSTERLKIGKTPRQRTFSVAIEKGEVVTDVYENIMLKWAYVCTEQQNDGYSEEKRSFELSFNKKYKEKVMDCYLPHVLKRGKEIEDEEKVVKLYNRQGSINLEHPSTFDTLALDPELKKMIVDDLKRFLGRKEFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSLSSNSDLRRVLLSTTSRSILVIEDIDCSVQTRDRQQGGDQYDGSNSTLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDPALLRPGRMDVHINMPYCTPQAFSILASNYLDIRDKNHYLYDEIEGLMESTNVTPAEVAEELMASENADVALEGLVNFLKRKHSEANEVKSEENGKVEEAKILN >Potri.007G038900.1.v4.1 pep chromosome:Pop_tri_v4:7:3156023:3158151:1 gene:Potri.007G038900.v4.1 transcript:Potri.007G038900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038900.v4.1 MDLGSFPEDQRIPATTLIDMWAELYNQDEDDAIANLHELSDRNLIEVVVTRKDANEDDGSYNEHFVMQHDLLRELAIRGSNSGNIEHRKRVLLEIIENKIPEWLMEQDQLSIRAKLLSISKDETFSSSWSTMQAPEVEVLLLNFQTQKYSLPEFIERMNKLKVLVLHNYGFVPAELSNFPLLGSLSNLKRIRLEKVSIPSLFLTSMKWRKLEKMSLVMCNIDQAFNKSTNKISDAFPKLVDLTIDYCNDLEELPTGFCDLVLLRKLSITNCHKLLALPEDMGNLLDLEVLRLNSCIELTELPGTIGRLHKLQILDLSECLSVTELPEQIGQLDDLRKLYMIECSSCELPSSVANLVHLKEVIGDQETAMSWNRFKPCLPSLTIKVHKENNLNWLG >Potri.003G046700.1.v4.1 pep chromosome:Pop_tri_v4:3:5543615:5546204:1 gene:Potri.003G046700.v4.1 transcript:Potri.003G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046700.v4.1 MAIAATMSHNTNNEQNNNNNDCNSNSNNKDDDHEHDMVMPGFRFHPTEEELVEFYLRRKVEGKRFNVELITFLDLYRYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRTENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPHHETERYQKVEISLCRVYKRAGVEDHPSLPRSLPSRASSSRGPQSDKKHQSHLTLERFQPFVGQSSQQIEMEKMSETDASSSSDVTTALGLSKHNSNAYHPTPPISNSLGLPASVGEGMFLNLPKQASSSLIPSFTNLFSVTSSVSSSPVDDLHRLLNYQQASINHHHHHQQQQQQQFYLLQQPQHQSSQLSSMTPQTSQQLPLNMLPELLPPTFPDRLWEWNQMPEANRDFNNPFK >Potri.010G157800.1.v4.1 pep chromosome:Pop_tri_v4:10:16458436:16464308:1 gene:Potri.010G157800.v4.1 transcript:Potri.010G157800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157800.v4.1 MATQVDESTVKLDPKVVGNAFAEQYYNTLSKSPELLHNFYNDASLIGRPGSDGSVSPISTLEEIKKLILSLDYKNCVVEIQTIDSQESYENGVMVLVTGFFAGKDSTSQNFTQAFFLVPQDDGRRYYVLNDIFRYMEESENKKIIDEDNIAPATPVIPCPEPASIPNHSVSANMSTTLEEGDDQAKESGHPLDNGEIPTYEKEVVVEKVVTTQNDDQAKESGHPLDNGEIPTYEKEVVVEKVVATQNDAHPVSEAVASSVQEEDAPKKSYASVANALNFKTQPFQQRVSPVKPVKQSHTAVPPVVTSQQTGSRPPSNNSVEINNNSAAVEGYSIFVANLPLDATVDQLVQAFTRFGAIKPNGVQVRSYKQEKNCFGFVEFESADSVEKAVEVSTVMIGTRTAHIERKNAAKNGGEKYPSRKGGFRNGNFRSRGNLNGGHGYGRNDFENQGGVSGQSWGTTGRNGEANKKVYLNGEARGPRQARAENN >Potri.010G157800.2.v4.1 pep chromosome:Pop_tri_v4:10:16458382:16464319:1 gene:Potri.010G157800.v4.1 transcript:Potri.010G157800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157800.v4.1 MATQVDESTVKLDPKVVGNAFAEQYYNTLSKSPELLHNFYNDASLIGRPGSDGSVSPISTLEEIKKLILSLDYKNCVVEIQTIDSQESYENGVMVLVTGFFAGKDSTSQNFTQAFFLVPQDDGRRYYVLNDIFRYMEESENKKIIDEDNIAPATPVIPCPEPASIPNHSVSANMSTTLEEGDDQAKESGHPLDNGEIPTYEKEVVVEKVVTTQNDDQAKESGHPLDNGEIPTYEKEVVVEKVVATQNDAHPVSEAVASSVQEEDAPKKSYASVANALNFKTQPFQQRVSPVKPVKQSHTAVPPVVTSQQTGSRPPSNNSVEINNNSAAVEGYSIFVANLPLDATVDQLVQAFTRFGAIKPNGVQVRSYKQEKNCFGFVEFESADSVEKAVEVSTVMIGTRTAHIERKNAKNGGEKYPSRKGGFRNGNFRSRGNLNGGHGYGRNDFENQGGVSGQSWGTTGRNGEANKKVYLNGEARGPRQARAENN >Potri.012G055100.1.v4.1 pep chromosome:Pop_tri_v4:12:5267452:5276939:1 gene:Potri.012G055100.v4.1 transcript:Potri.012G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055100.v4.1 MATSLPEIQQCGEGVVLSKSAKRVDSKGGLESEEEDTKSPILIFLYFHKAIRNELDTLHLLTLAFATGHQTVEIKPLFQRYRFLRLVYKYHSNAEDEVIFPALDNRVKNVANSYSLEHKGESNLFDQLFELLNSYTQNDESFPRELASCTGVLQTSLSQHMAKEEQQVFPLLIEKFSVEEQASLVWQFICSIPVNMLAEFLPWLSSSISPVEYQDMLKCLSMIIPKEKLLQQVIFTWMEGKKSTDAVESYVDNHEVLSQKDSPTSMLILELDKEKCTCKKSKTGKRKYLEPSNEDSDTMETHPIDEILLWHNAIKRELNEIADEAKKIQSSGDFTNLSAFDERLQFIAEVCIFHSIAEDKVIFPAVGGEFSFSKEHAEEETQFNEFRCLIESIQSAGASSNSVADFYAKLCSHADQIIETIQRHFHNEEIQVLPLARQHFSFRKQKELLYQSLCMMPLKVIERVLPWLVGLLTEHEARNFLKNMQSAAPAVDTALVTLFTGWACKGRSQGVCLSSSVIHCCPADIEEKIVPSCCARLCAFCSKDSPVSISEGIKRPQKRNISGSCKNSNVCNPIETPDAHEPSCSDRSCCVPGLGVNSKNLGLTSLSTTKSLRSLSVKSSAPALNSSLFVWESDMVSSDLGYTERPIDTIFKFHKAISKDLEYLDVESGNLSDCDEAFLQQFIGRFRLLWGLYRAHSNAEDEIVFPALESKEALHNVSHSYTLDHKQEEELFEDISFVLSELSHLHENLQKFQVMEDPTGSTLEHSIGHLDDYMGKYNELATKIQWMCKSIRVTLDQHINREELELWPLFDRHFSIQEQDRLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATRNTMFNEWLNECWKETSASLVQTEPSGDTIYKEGREFQESLDQSDLFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKSYLVQNLLTSRWIAAQQKSPQETAGENSTAADVMGCFPTFRDPEKQVYGCEHYKRSCKLRASCCGKLFACRFCHDKVSDHTMDRKATLEMMCMRCLKVQPVGQICATPTCNGLSMAKYYCNICKFFDDESAPVRALPCGHYMHSSCFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCDQKGSAQFHWLYHKCGLCGSYNTRVIKNEVTHPICTTRL >Potri.012G055100.3.v4.1 pep chromosome:Pop_tri_v4:12:5267442:5276749:1 gene:Potri.012G055100.v4.1 transcript:Potri.012G055100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055100.v4.1 MATSLPEIQQCGEGVVLSKSAKRVDSKGGLESEEEDTKSPILIFLYFHKAIRNELDTLHLLTLAFATGHQTVEIKPLFQRYRFLRLVYKYHSNAEDEVIFPALDNRVKNVANSYSLEHKGESNLFDQLFELLNSYTQNDESFPRELASCTGVLQTSLSQHMAKEEQQVFPLLIEKFSVEEQASLVWQFICSIPVNMLAEFLPWLSSSISPVEYQDMLKCLSMIIPKEKLLQQVIFTWMEGKKSTDAVESYVDNHEVLSQKDSPTSMLILELDKEKCTCKKSKTGKRKYLEPSNEDSDTMETHPIDEILLWHNAIKRELNEIADEAKKIQSSGDFTNLSAFDERLQFIAEVCIFHSIAEDKVIFPAVGGEFSFSKEHAEEETQFNEFRCLIESIQSAGASSNSVADFYAKLCSHADQIIETIQRHFHNEEIQVLPLARQHFSFRKQKELLYQSLCMMPLKVIERVLPWLVGLLTEHEARNFLKNMQSAAVDTALVTLFTGWACKGRSQGVCLSSSVIHCCPADIEEKIVPSCCARLCAFCSKDSPVSISEGIKRPQKRNISGSCKNSNVCNPIETPDAHEPSCSDRSCCVPGLGVNSKNLGLTSLSTTKSLRSLSVKSSAPALNSSLFVWESDMVSSDLGYTERPIDTIFKFHKAISKDLEYLDVESGNLSDCDEAFLQQFIGRFRLLWGLYRAHSNAEDEIVFPALESKEALHNVSHSYTLDHKQEEELFEDISFVLSELSHLHENLQKFQVMEDPTGSTLEHSIGHLDDYMGKYNELATKIQWMCKSIRVTLDQHINREELELWPLFDRHFSIQEQDRLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATRNTMFNEWLNECWKETSASLVQTEPSGDTIYKEGREFQESLDQSDLFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKSYLVQNLLTSRWIAAQQKSPQETAGENSTAADVMGCFPTFRDPEKQVYGCEHYKRSCKLRASCCGKLFACRFCHDKVSDHTMDRKATLEMMCMRCLKVQPVGQICATPTCNGLSMAKYYCNICKFFDDERSVYHCPFCNLCRVGKGLGIDFFHCMTCNCCLGIKSVNHKCLEKGLETNCPICCDFLFTSSAPVRALPCGHYMHSSCFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCDQKGSAQFHWLYHKCGLCGSYNTRVIKNEVTHPICTTRL >Potri.012G055100.2.v4.1 pep chromosome:Pop_tri_v4:12:5267419:5277208:1 gene:Potri.012G055100.v4.1 transcript:Potri.012G055100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055100.v4.1 MATSLPEIQQCGEGVVLSKSAKRVDSKGGLESEEEDTKSPILIFLYFHKAIRNELDTLHLLTLAFATGHQTVEIKPLFQRYRFLRLVYKYHSNAEDEVIFPALDNRVKNVANSYSLEHKGESNLFDQLFELLNSYTQNDESFPRELASCTGVLQTSLSQHMAKEEQQVFPLLIEKFSVEEQASLVWQFICSIPVNMLAEFLPWLSSSISPVEYQDMLKCLSMIIPKEKLLQQVIFTWMEGKKSTDAVESYVDNHEVLSQKDSPTSMLILELDKEKCTCKKSKTGKRKYLEPSNEDSDTMETHPIDEILLWHNAIKRELNEIADEAKKIQSSGDFTNLSAFDERLQFIAEVCIFHSIAEDKVIFPAVGGEFSFSKEHAEEETQFNEFRCLIESIQSAGASSNSVADFYAKLCSHADQIIETIQRHFHNEEIQVLPLARQHFSFRKQKELLYQSLCMMPLKVIERVLPWLVGLLTEHEARNFLKNMQSAAPAVDTALVTLFTGWACKGRSQGVCLSSSVIHCCPADIEEKIVPSCCARLCAFCSKDSPVSISEGIKRPQKRNISGSCKNSNVCNPIETPDAHEPSCSDRSCCVPGLGVNSKNLGLTSLSTTKSLRSLSVKSSAPALNSSLFVWESDMVSSDLGYTERPIDTIFKFHKAISKDLEYLDVESGNLSDCDEAFLQQFIGRFRLLWGLYRAHSNAEDEIVFPALESKEALHNVSHSYTLDHKQEEELFEDISFVLSELSHLHENLQKFQVMEDPTGSTLEHSIGHLDDYMGKYNELATKIQWMCKSIRVTLDQHINREELELWPLFDRHFSIQEQDRLVGRIIGTTGAEVLQSMLPWVTSALTQDEQNKMMDTWKQATRNTMFNEWLNECWKETSASLVQTEPSGDTIYKEGREFQESLDQSDLFKPGWKDIFRMNQNELESEIRKVYRDSTLDPRRKSYLVQNLLTSRWIAAQQKSPQETAGENSTAADVMGCFPTFRDPEKQVYGCEHYKRSCKLRASCCGKLFACRFCHDKVSDHTMDRKATLEMMCMRCLKVQPVGQICATPTCNGLSMAKYYCNICKFFDDERSVYHCPFCNLCRVGKGLGIDFFHCMTCNCCLGIKSVNHKCLEKGLETNCPICCDFLFTSSAPVRALPCGHYMHSSCFQAYTCSHYTCPICCKSLGDMAVYFGMLDALLAAEELPEEYRDRCQDILCNDCDQKGSAQFHWLYHKCGLCGSYNTRVIKNEVTHPICTTRL >Potri.006G018500.1.v4.1 pep chromosome:Pop_tri_v4:6:1142568:1144192:-1 gene:Potri.006G018500.v4.1 transcript:Potri.006G018500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018500.v4.1 MVETQVTTMVIKVDLGCEKCHKKIKKVLCGIPQIQNQTFDKKANTVTITVVCCCPEKIMKKIHCKEVELSSAFRSSLRRILNHHRILNHHRILNHQRILNHYRILNHQRILNYQNHTDAHVVKNAAKAHVVITFVCQQYLHIVMYLVEGQSVIYGEMVVVVAEVGVTMCAEVYMFMKSTTIPRHAESCKGKSSSEDR >Potri.006G018500.5.v4.1 pep chromosome:Pop_tri_v4:6:1142192:1144447:-1 gene:Potri.006G018500.v4.1 transcript:Potri.006G018500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018500.v4.1 MVETQVTTMVIKVDLGCEKCHKKIKKVLCEIQNQTFDKKANTVTITVVCCCPEKIMKKIHCKEVELSSAFRSSLRRILNHHRILNHHRILNHQRILNHYRILNHQRILNYQNHTDAHVVKNAAKAHVVITFVCQQYLHIVMYLVEGQSVIYGEMVVVVAEVGVTMCAEVYMFMKSTTIPRHAESCKGKSSSEDR >Potri.006G018500.6.v4.1 pep chromosome:Pop_tri_v4:6:1142245:1144401:-1 gene:Potri.006G018500.v4.1 transcript:Potri.006G018500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018500.v4.1 MRKMPQENQESTVWYSSEIQNQTFDKKANTVTITVVCCCPEKIMKKIHCKEVELSSAFRSSLRRILNHHRILNHHRILNHQRILNHYRILNHQRILNYQNHTDAHVVKNAAKAHVVITFVCQQYLHIVMYLVEGQSVIYGEMVVVVAEVGVTMCAEVYMFMKSTTIPRHAESCKGKSSSEDR >Potri.002G198500.1.v4.1 pep chromosome:Pop_tri_v4:2:16129256:16135258:-1 gene:Potri.002G198500.v4.1 transcript:Potri.002G198500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198500.v4.1 MIPSCLNLQLTPLFPSPFLSVNRLSLLPSSTFPAFAAKSRRLAFAATKAPSISTMAAEQGNAGNLKQKLAKLFQLSLEATVPGEPDIEPLVAACTAKFGDYQCNNAMGLWSKIKGRPGIEFRGPPAVGQAIMKNLPQSEMIESCSVAGPGFVNVVLSKNWMAKNIQKMLVDGIETWAPKLSIKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLASMLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDVNETAIGDLQAFYKESKQRFDVDAEFKDRAQKAVVRLQSGEQMYRKAWAQICEISRREFDQVYQRLGVHLEEKGESFYNPYIPGVIEALTNQGLVEESKGARVIFIEGINIPLIVVKSDGGYNYASTDMTALWYRLTEEKAEWIIYVTDVGQQQHFDMFFKAAKRAGWLPADDRQYPKASHVGFGLVLGEDGKRFRTRSTEVVRLADLLDEAKTRSKAALIERGKAAEWTEEELEQTAEAVGYGAVKYADLKNNRLTNYTFDFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDTGELKKTGKIVLDHADERALGLHLLQFAEVVEEACTNLLPNVLCEYLYNLSENYTRFYSNCQVVGSAEETSRLLLCEATAVVMRKCFFLLGIKPVYKI >Potri.002G198500.2.v4.1 pep chromosome:Pop_tri_v4:2:16129368:16135278:-1 gene:Potri.002G198500.v4.1 transcript:Potri.002G198500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198500.v4.1 MAAEQGNAGNLKQKLAKLFQLSLEATVPGEPDIEPLVAACTAKFGDYQCNNAMGLWSKIKGRPGIEFRGPPAVGQAIMKNLPQSEMIESCSVAGPGFVNVVLSKNWMAKNIQKMLVDGIETWAPKLSIKRAVVDFSSPNIAKEMHVGHLRSTIIGDTLASMLEFSNVEVLRRNHVGDWGTQFGMLIEFLFEKFPNFEDVNETAIGDLQAFYKESKQRFDVDAEFKDRAQKAVVRLQSGEQMYRKAWAQICEISRREFDQVYQRLGVHLEEKGESFYNPYIPGVIEALTNQGLVEESKGARVIFIEGINIPLIVVKSDGGYNYASTDMTALWYRLTEEKAEWIIYVTDVGQQQHFDMFFKAAKRAGWLPADDRQYPKASHVGFGLVLGEDGKRFRTRSTEVVRLADLLDEAKTRSKAALIERGKAAEWTEEELEQTAEAVGYGAVKYADLKNNRLTNYTFDFDQMLNDKGNTAVYLLYAHARICSIIRKSGKDTGELKKTGKIVLDHADERALGLHLLQFAEVVEEACTNLLPNVLCEYLYNLSENYTRFYSNCQVVGSAEETSRLLLCEATAVVMRKCFFLLGIKPVYKI >Potri.002G228900.5.v4.1 pep chromosome:Pop_tri_v4:2:21870216:21875931:-1 gene:Potri.002G228900.v4.1 transcript:Potri.002G228900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228900.v4.1 MNFKSRGDQQSYKNAISQKWTLFLCLACFCSGMLLANWTWNVPEPKGINRTTTVEAEKLKLVSEGCGDEIKEVKRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESILSGSPLSDDLKRTGSSGKRRYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSATSGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATAVTLWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLAKYISINQHVLHKFANEDVSLGSWFIGLDAEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGENALWSATF >Potri.002G228900.1.v4.1 pep chromosome:Pop_tri_v4:2:21870121:21875935:-1 gene:Potri.002G228900.v4.1 transcript:Potri.002G228900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228900.v4.1 MNFKSRGDQQSYKNAISQKWTLFLCLACFCSGMLLANWTWNVPEPKGINRTTTVEAEKLKLVSEGCGDEILHQKEVKRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESILSGSPLSDDLKRTGSSGKRRYLMVIGINTAFSSRKRRDSVRATWMPQGEKRKKLEEEKGIIVRFVIGHSATSGGILDRAIEAEDKKHGDFLRLDHVEGYLELSAKTKIYFATAVTLWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLAKYISINQHVLHKFANEDVSLGSWFIGLDAEHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGENALWSATF >Potri.002G153100.1.v4.1 pep chromosome:Pop_tri_v4:2:11711195:11715048:-1 gene:Potri.002G153100.v4.1 transcript:Potri.002G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153100.v4.1 MVASYIGISSLNSSCFPPRPCFAKRKLFAVSAAMSDDPISDWIMSEGNATQITRTSPIGGGCINNARRYDTDAGSFFVKTNRGIGPSMFEGEALGLGAMYETRTIRVPRPFKVGPLPTGGSYIIMEFIEFGASRGNQSVLGRKLAEMHKAGKSEKGFGFDVDNTIGSTPQINTWTSDWIEFYGKHRLGYQLKLALDQYGDSTIYQKGKRLVKNMAPLFQNIVIEPCLLHGDLWSGNISSDKNGEPVILDPACYYGHNEAEFGMSWCAGFGGSFYNAYFEVMPKQPGFEKRREIYLLYHYLNHYNLFGSSYRSSAMSIIDDYLLMLGV >Potri.002G094400.1.v4.1 pep chromosome:Pop_tri_v4:2:6871660:6875964:-1 gene:Potri.002G094400.v4.1 transcript:Potri.002G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094400.v4.1 MDGLMSKLRNFDAYPKINEDFYSRTLSGGVITLASSIVMFLLFFSELRLYLHAVTETKLVVDTSRGETLRINFDVTFPALPCSILSLDAMDISGEQHLDVKHDIIKKRLDSHGNVIESRQDGIGAPKIEKPLQRHGGRLEHNETYCGSGFFFYPIRICLLATRNKHTSILLFTLSVSDEDCCNSCEEVREAYQKKGWAVTNPDLMDQCEREGFLQRIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSGVHVHDLLAFQKDSFNTSHKINRLAFGEYFPGVVNPLDGVQWTQETPSGMYQYFIKVVPTLYTDVSGHTIQSNQFSVTEHFRGADIGRLQSLPGVFFFYDLSPIKVTFTEEHVSFLHFLTNVCAIVGGVFTVSGILDSFIYHGQKAIKKKMEIGKFS >Potri.006G114400.1.v4.1 pep chromosome:Pop_tri_v4:6:8876845:8882690:-1 gene:Potri.006G114400.v4.1 transcript:Potri.006G114400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114400.v4.1 MGLGGATARLLFFLSFFTSGIHLLLSDTDPRDAAALKSLKSQWQNTPPSWDQSDDPCGAPWEGVTCSNSRITALGLSTMSLVGKLSGDIGGLAELRSLDLSFNSNLTGPLSPRLGDLLNLNILILAGCGFSGSIPDELGNLAKLSFLALNSNKFSGGIPPSLGKLSKLYWLDLADNQLTGTIPISKGTIPGLDLLLNAKHFHFNKNQLSGSLPPELFNSDMMLIHVLFDGNQLEGNIPYTICQVQSLEVLRLDRNSLDGEVPDNLNNLTNLNELNLAHNKLRGPFPNLTRMDALNYVDLSNNSFESSEAPDWFLTLPSLTTLVIEQGSLQGTFPSEVFSFPQIQQVLLRNNAFNGSFNMSVSISPQLQLVDLQNNQISSVTLTADYTNRLILVGNPVCIALSNTSYCQLQQQSTKPYSTSLANCGSKLCPIEQKLSPQSCECAYPYEGTLYFRGPSFRELSNDNTFHSLEMSLWDQLGLTPGSVFLQNPFFNVDDYLQVQVALFPPTGNFFNRSEIQRIGFALSNQTYKPPKYFGPYYFIASNYPFPDGSRGNSLSTGVVVGIGIGCGLLVMSLVGVGIYAIRQKKRAEKAIGLSKPFASWAPSGNDSGGAPQLKGARWFSYDELKKCTCNFSQSNEIGSGGYGKVYRGMLSDGQVVAIKRAQKGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEYMPNGTLRESLSGKSGIYLDWKRRLRIALGSARGLTYLHELADPPIIHRDVKTTNILLDENLTAKVADFGLSKLVSDISKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVRMTMDRDDEEHHGLKEIMDPGIRNMGNLVGFRRFLELAMQCVEESAAERPPMSEVVKEIEMILKNDGMNTNSTTSASSSATDFGASRVGPLRHPYNDVTAKNKDVNSVDSVNHDAFDHSGGSTLSGKAEST >Potri.006G114400.3.v4.1 pep chromosome:Pop_tri_v4:6:8876837:8881612:-1 gene:Potri.006G114400.v4.1 transcript:Potri.006G114400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114400.v4.1 MMLIHVLFDGNQLEGNIPYTICQVQSLEVLRLDRNSLDGEVPDNLNNLTNLNELNLAHNKLRGPFPNLTRMDALNYVDLSNNSFESSEAPDWFLTLPSLTTLVIEQGSLQGTFPSEVFSFPQIQQVLLRNNAFNGSFNMSVSISPQLQLVDLQNNQISSVTLTADYTNRLILVGNPVCIALSNTSYCQLQQQSTKPYSTSLANCGSKLCPIEQKLSPQSCECAYPYEGTLYFRGPSFRELSNDNTFHSLEMSLWDQLGLTPGSVFLQNPFFNVDDYLQVQVALFPPTGNFFNRSEIQRIGFALSNQTYKPPKYFGPYYFIASNYPFPDGSRGNSLSTGVVVGIGIGCGLLVMSLVGVGIYAIRQKKRAEKAIGLSKPFASWAPSGNDSGGAPQLKGARWFSYDELKKCTCNFSQSNEIGSGGYGKVYRGMLSDGQVVAIKRAQKGSMQGGHEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEYMPNGTLRESLSGKSGIYLDWKRRLRIALGSARGLTYLHELADPPIIHRDVKTTNILLDENLTAKVADFGLSKLVSDISKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELIIAKQPIEKGKYIVREVRMTMDRDDEEHHGLKEIMDPGIRNMGNLVGFRRFLELAMQCVEESAAERPPMSEVVKEIEMILKNDGMNTNSTTSASSSATDFGASRVGPLRHPYNDVTAKNKDVNSVDSVNHDAFDHSGGSTLSGKAEST >Potri.009G103300.1.v4.1 pep chromosome:Pop_tri_v4:9:9093268:9096425:-1 gene:Potri.009G103300.v4.1 transcript:Potri.009G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103300.v4.1 MAVLQVNKQKEKRGRAPKVNGIKKVPSNLSVKSESHTSKRAKIDDLYDNEEVKSDVMMRAKEIQSNLSPELPSIIKHMLPSNVTRVFWLHFPKRFCEAYLPKEDTMIVLEDERGKIYETKYLARKVGLSAGWRGFSIDHKIMEGDVLIFHLVEPAKFKVYIVRVNDSEEVDGALALLKLEAGVKQMGPINSSEVDGALGLLKLEAAIKQMTPINEAVHVEKLSKVSEEMEDLDFEHLSLDNPEKNNEKNVKLACLTTFGPISDLYEYESEDLGSETTDGIRLSKSAVDFKEVKCFEDFDILVNGLVISSELSKPLQTKYYKLCCSQNSFLHDHLLDGLNCKLVVGMLSETINIADAIRASKLTNSLESFAIWEKTLKAFEGLGMNVGFLLARLGQLMHLSAKSKRYEEATLQRVNAKEEMTTLKAKLLEVKDTINRLGVEIEKLVVDSENLELKFQEVAKAPW >Potri.009G020700.2.v4.1 pep chromosome:Pop_tri_v4:9:3313396:3317968:-1 gene:Potri.009G020700.v4.1 transcript:Potri.009G020700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020700.v4.1 MGNCVRKPVKCAHASSSNFPPSGATKPNGDAKQVSTSPSGESSFRSLNKSLIASKVDVSAHSNLKSFSFTDLKNATKNFRSETLLGEGGFGCVFKGWIDLNTFAPTKPGSGVIVAVKKLKPESCQGHKEWLTEVTYLGQLHHENLVKLIGYCSESDNRLLVYEFMPKGSLEQHLFRKGVQPITWTMRMNIAIDVARGLSFLHGLDANVIYRDLKASNVLLDSDYNAKLSDFGLARDGPTGDNTHVSTKVLGTRGYAAPEYVATGHLTPKSDVYSYGVVLLELLSGRRAMDEERGGFDDETLVDWAKPFLIDSRRVLRIMDTRLGGQYPKKAAQAAAALALQCLHTDPKNRPPMIDVLTTLEKLITSKDIPRTARPVKLDNHGIKPMNSSYRITKT >Potri.008G125200.3.v4.1 pep chromosome:Pop_tri_v4:8:8132826:8139309:1 gene:Potri.008G125200.v4.1 transcript:Potri.008G125200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125200.v4.1 MLKKVCEFCMALRPVVYCNADAAYLCLSCDAKVHSANALFNRHLRTLLCDSCRNHPAYAQCLDHRMLMCLGCDRCLHEVSSHHQKRLVSSYLGCPSAKDFASLWGFEFGDLDKSIVKDQLVSTPCSSSVQPSASKFDIPGKSCQQIGRSSRKSRVIHSTLVSGAESDVGSGNQRPELSYKGPQQESTCFILEQILDLKRLDVNNNTPMKRGQEQKNISSMLNTSKKLDYNLNHSQHSQDLVTILQQADCQRQGLKVDSLPLPFSQPEHLPFFSTAANALPGESFWPCKSPIENSQLWSQNMQDLGVCEDIICHDDDYIIPDVDKTFCNFEEFFGGDQDPIGAFLDENDFSCSFIEKDMPPEKSNNSDGRARKDASVTSSVYISCSVHIDNDKDPSNQAYNFPGSLDPAQTIRSPYSRYSISSHDAESRSNEYLDSELSPYISNGEASCYSPDLEDAHTEARENAMMRYKEKKKARMQDKQIRYTSRKPKNDVRKRGNG >Potri.008G125200.9.v4.1 pep chromosome:Pop_tri_v4:8:8132832:8139308:1 gene:Potri.008G125200.v4.1 transcript:Potri.008G125200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125200.v4.1 MALRPVVYCNADAAYLCLSCDAKVHSANALFNRHLRTLLCDSCRNHPAYAQCLDHRMLMCLGCDRCLHEVSSHHQKRLVSSYLGCPSAKDFASLWGFEFGDLDKSIVKDQLVSTPCSSSVQPSASKFDIPGKSCQQIGRSSRKSRVIHSTLVSGAESDVGSGNQRPELWSQNMQDLGVCEDIICHDDDYIIPDVDKTFCNFEEFFGGDQDPIGAFLDENDFSCSFIEKDMPPEKSNNSDGRARKDASVTSSVYISCSVHIDNDKDPSNQAYNFPGSLDPAQTIRSPYSRYSISSHDAESRSNEYLDSELSPYISNGEASCYSPDLEDAHTEARENAMMRYKEKKKARMQDKQIRYTSRKPKNDVRKRGNG >Potri.008G125200.4.v4.1 pep chromosome:Pop_tri_v4:8:8132646:8139865:1 gene:Potri.008G125200.v4.1 transcript:Potri.008G125200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125200.v4.1 MEKVCEFCMALRPVVYCNADAAYLCLSCDAKVHSANALFNRHLRTLLCDSCRNHPAYAQCLDHRMLMCLGCDRCLHEVSSHHQKRLVSSYLGCPSAKDFASLWGFEFGDLDKSIVKDQLVSTPCSSSVQPSASKFDIPGKSCQQIGRSSRKSRVIHSTLVSGAESDVGSGNQRPELSYKGPQQESTCFILEQILDLKRLDVNNNTPMKRGQEQKNISSMLNTSKKLDYNLNHSQHSQDLVTILQQADCQRQGLKVDSLPLPFSQPEHLPFFSTAANALPGESFWPCKSPIENSQLWSQNMQDLGVCEDIICHDDDYIIPDVDKTFCNFEEFFGGDQDPIGAFLDENDFSCSFIEKDMPPEKSNNSDGRARKDASVTSSVYISCSVHIDNDKDPSNQAYNFPGSLDPAQTIRSPYSRYSISSHDAESRSNEYLDSELSPYISNGEASCYSPDLEDAHTEARENAMMRYKEKKKARMQDKQIRYTSRKPKNDVRKRGNG >Potri.008G125200.5.v4.1 pep chromosome:Pop_tri_v4:8:8132640:8139399:1 gene:Potri.008G125200.v4.1 transcript:Potri.008G125200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125200.v4.1 MEKVCEFCMALRPVVYCNADAAYLCLSCDAKVHSANALFNRHLRTLLCDSCRNHPAYAQCLDHRMLMCLGCDRCLHEVSSHHQKRLVSSYLGCPSAKDFASLWGFEFGDLDKSIVKDQLVSTPCSSSVQPSASKFDIPGKSCQQIGRSSRKSRVIHSTLVSGAESDVGSGNQRPELSYKGPQQESTCFILEQILDLKRLDVNNNTPMKRGQEQKNISSMLNTSKKLDYNLNHSQHSQDLVTILQQADCQRQGLKVDSLPLPFSQPEHLPFFSTAANALPGESFWPCKSPIENSQLWSQNMQDLGVCEDIICHDDDYIIPDVDKTFCNFEEFFGGDQDPIGAFLDENDFSCSFIEKDMPPEKSNNSDGRARKDASVTSSVYISCSVHIDNDKDPSNQAYNFPGSLDPAQTIRSPYSRYSISSHDAESRSNEYLDSELSPYISNGEASCYSPDLEDAHTEARENAMMRYKEKKKARMQDKQIRYTSRKPKNDVRKRGNG >Potri.008G125200.6.v4.1 pep chromosome:Pop_tri_v4:8:8132832:8139308:1 gene:Potri.008G125200.v4.1 transcript:Potri.008G125200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125200.v4.1 MALRPVVYCNADAAYLCLSCDAKVHSANALFNRHLRTLLCDSCRNHPAYAQCLDHRMLMCLGCDRCLHEVSSHHQKRLVSSYLGCPSAKDFASLWGFEFGDLDKSIVKDQLVSTPCSSSVQPSASKFDIPGKSCQQIGRSSRKSRVIHSTLVSGAESDVGSGNQRPELSYKGPQQESTCFILEQILDLKRLDVNNNTPMKRGQEQKNISSMLNTSKKLDYNLNHSQHSQDLVTILQQADCQRQGLKVDSLPLPFSQPEHLPFFSTAANALPGESFWPCKSPIENSQLWSQNMQDLGVCEDIICHDDDYIIPDVDKTFCNFEEFFGGDQDPIGAFLDENDFSCSFIEKDMPPEKSNNSDGRARKDASVTSSVYISCSVHIDNDKDPSNQAYNFPGSLDPAQTIRSPYSRYSISSHDAESRSNEYLDSELSPYISNGEASCYSPDLEDAHTEARENAMMRYKEKKKARMQDKQIRYTSRKPKNDVRKRGNG >Potri.008G125200.8.v4.1 pep chromosome:Pop_tri_v4:8:8132826:8139309:1 gene:Potri.008G125200.v4.1 transcript:Potri.008G125200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125200.v4.1 MLKKVCEFCMALRPVVYCNADAAYLCLSCDAKVHSANALFNRHLRTLLCDSCRNHPAYAQCLDHRMLMCLGCDRCLHEVSSHHQKRLVSSYLGCPSAKDFASLWGFEFGDLDKSIVKDQLVSTPCSSSVQPSASKFDIPGKSCQQIGRSSRKSRVIHSTLVSGAESDVGSGNQRPELWSQNMQDLGVCEDIICHDDDYIIPDVDKTFCNFEEFFGGDQDPIGAFLDENDFSCSFIEKDMPPEKSNNSDGRARKDASVTSSVYISCSVHIDNDKDPSNQAYNFPGSLDPAQTIRSPYSRYSISSHDAESRSNEYLDSELSPYISNGEASCYSPDLEDAHTEARENAMMRYKEKKKARMQDKQIRYTSRKPKNDVRKRGNG >Potri.008G125200.2.v4.1 pep chromosome:Pop_tri_v4:8:8132640:8139399:1 gene:Potri.008G125200.v4.1 transcript:Potri.008G125200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125200.v4.1 MEKVCEFCMALRPVVYCNADAAYLCLSCDAKVHSANALFNRHLRTLLCDSCRNHPAYAQCLDHRMLMCLGCDRCLHEVSSHHQKRLVSSYLGCPSAKDFASLWGFEFGDLDKSIVKDQLVSTPCSSSVQPSASKFDIPGKSCQQIGRSSRKSRVIHSTLVSGAESDVGSGNQRPELWSQNMQDLGVCEDIICHDDDYIIPDVDKTFCNFEEFFGGDQDPIGAFLDENDFSCSFIEKDMPPEKSNNSDGRARKDASVTSSVYISCSVHIDNDKDPSNQAYNFPGSLDPAQTIRSPYSRYSISSHDAESRSNEYLDSELSPYISNGEASCYSPDLEDAHTEARENAMMRYKEKKKARMQDKQIRYTSRKPKNDVRKRGNG >Potri.008G125200.7.v4.1 pep chromosome:Pop_tri_v4:8:8132836:8139293:1 gene:Potri.008G125200.v4.1 transcript:Potri.008G125200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125200.v4.1 MEKVCEFCMALRPVVYCNADAAYLCLSCDAKVHSANALFNRHLRTLLCDSCRNHPAYAQCLDHRMLMCLGCDRCLHEVSSHHQKRLVSSYLGCPSAKDFASLWGFEFGDLDKSIVKDQLVSTPCSSSVQPSASKFDIPGKSCQQIGRSSRKSRVIHSTLVSGAESDVGSGNQRPELSYKGPQQESTCFILEQILDLKRLDVNNNTPMKRGQEQKNISSMLNTSKKLDYNLNHSQHSQDLVTILQQADCQRQGLKVDSLPLPFSQPEHLPFFSTAANALPGESFWPCKSPIENSQLWSQNMQDLGVCEDIICHDDDYIIPDVDKTFCNFEEFFGGDQDPIGAFLDENDFSCSFIEKDMPPEKSNNSDGRARKDASVTSSVYISCSVHIDNDKDPSNQAYNFPGSLDPAQTIRSPYSRYSISSHDAESRSNEYLDSELSPYISNGEASCYSPDLEDAHTEARENAMMRYKEKKKARM >Potri.018G023200.1.v4.1 pep chromosome:Pop_tri_v4:18:1705403:1711056:1 gene:Potri.018G023200.v4.1 transcript:Potri.018G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023200.v4.1 MLCHGLLSCTLLLLTFVFFTSSASVPLSHGASVPFPTFSALPPAVAGRADEAKYEMEFSWGTRRPVVEAPIGEPVDNSPVLVLAPKRTYRKDPLNDFKRYTGGWNISDRHYWASVGFTAAPLFAIAAVWFLVFGLCLLFGCLCHFCCKRQRYGYSQTAYALSLVFLILFSICAMIGCVVLYTAQERFHKSTTETLEYVVNQADTTVDKLRAVSDFIASAKLVGVDEVFLPSNVQTDIDQIGTRINSSASVLADKTVDNSEDIKDLLDSVRVALITTAAIMLLLTFLGFLFSIFGMQFLVYILVIVGWILVAGTFILCGTFLLLHNVAGDTCVAMDHWVHNPTAHTALDDILPCVDQATTQDTLIKSKEITSQLVEVVNQVITNVSNLNFSPNFKPMYINQSGPLVPILCNPFYADLTIRPCSAGEVDLTNATQVWSSYVCQVSPSGICATTGRLTPAFYSQMSAAINVSYGLNNYAPFLIELGDCTFARETFSDIYKDHCPSLRRYSRWIYIGLVMVSTAVMLSLIFWVIYGRERRHRVYSKQLVSESAQGSEREKSS >Potri.011G073341.3.v4.1 pep chromosome:Pop_tri_v4:11:7421939:7442472:1 gene:Potri.011G073341.v4.1 transcript:Potri.011G073341.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073341.v4.1 MIITVTLLHSQRLQSSRQASSGIGQPYLCPKNRFHSQLSIRHNSGGMGFDEISVFHATMVLLHLFFCQQRWLPTPALLQQRWLPIVNGWCCPFAAHAMATTQHLTQLTNLLCSSLTANRLSGNIPAHLGSFTALAYLSLESNQFSGVVPPELGKLVNLKNLILSGNKLVGTLPEALAQIKDLKDFRVSDNNLNGTVPEFIGNWTQLQKLELYATGLQGPIPLAIFHLEKLSDLRIADMPGPEFQLPKKPIERKYLVLRNINLAGTIPEDAWKVEKTLDLTFNKLVGEIPPTTIRRQFTFLSGNKLTGTVQDSFLQNSQNLDVSYNNFSRSPRCSSSNGNNINWFRSSSSYNNLSDLLPCSEISRCPKYYRSFYINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMDDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNRNFTIAFNTAVTDRTLEIRLYWAGKGTTSIPRRGNYGPIISAISVCSGYRTYCEEPEEASKKPIVIGVVTSAAFLILLVMGVIYWKFCYGDKYTRERGAELKGLDLKTGSFTLRQLKATPDNFNSENKIGEGGLGSVYKGETSSLI >Potri.011G073341.2.v4.1 pep chromosome:Pop_tri_v4:11:7421939:7442472:1 gene:Potri.011G073341.v4.1 transcript:Potri.011G073341.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073341.v4.1 MLTIFQLCQVMVMISFWSSITLLASDQLHPGEVEALRQIGKTVNEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNSTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKYLSFISLTANRLSGNIPAHLGSFTALAYLSLESNQFSGVVPPELGKLVNLKNLILSGNKLVGTLPEALAQIKDLKDFRVSDNNLNGTVPEFIGNWTQLQKLELYATGLQGPIPLAIFHLEKLSDLRIADMPGPEFQLPKKPIERKYLVLRNINLAGTIPEDAWKVEKTLFLSGNKLTGTVQDSFLQNSQNLDVSYNNFSRSPRCSSSNGNNINWFRSSSSYNNLSDLLPCSEISRCPKYYRSFYINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMDDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNRNFTIAFNTAVTDRTLEIRLYWAGKGTTSIPRRGNYGPIISAISVCSGYRTYCEEPEEASKKPIVIGVVTSAAFLILLVMGVIYWKFCYGDKYTRERGAELKGLDLKTGSFTLRQLKATPDNFNSENKIGEGGLGSVYKGETSSLI >Potri.011G073341.1.v4.1 pep chromosome:Pop_tri_v4:11:7421939:7442472:1 gene:Potri.011G073341.v4.1 transcript:Potri.011G073341.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073341.v4.1 MLTIFQLCQVMVMISFWSSITLLASDQLHPGEVEALRQIGKTVNEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNSTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKYLSFISLTANRLSGNIPAHLGSFTALAYLSLESNQFSGVVPPELGKLVNLKNLILSGNKLVGTLPEALAQIKDLKDFRVSDNNLNGTVPEFIGNWTQLQKLELYATGLQGPIPLAIFHLEKLSDLRIADMPGPEFQLPKKPIERKYLVLRNINLAGTIPEDAWKVEKTLDLTFNKLVGEIPPTTIRRQFTFLSGNKLTGTVQDSFLQNSQNLDVSYNNFSRSPRCSSSNGNNINWFRSSSSYNNLSDLLPCSEISRCPKYYRSFYINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMDDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNRNFTIAFNTAVTDRTLEIRLYWAGKGTTSIPRRGNYGPIISAISVCSGYRTYCEEPEEASKKPIVIGVVTSAAFLILLVMGVIYWKFCYGDKYTRERGAELKGLDLKTGSFTLRQLKATPDNFNSENKIGEGGLGSVYKGETSSLI >Potri.017G145500.1.v4.1 pep chromosome:Pop_tri_v4:17:14558838:14566111:-1 gene:Potri.017G145500.v4.1 transcript:Potri.017G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145500.v4.1 MATSVQRNLISLIINFQLVRPSWFLSALCRVTGWVKLHVYFTKAVFFILGLIYSHSFVNNAVVFLWMDYLIDVGKLPLPLATIAVNVQEGLYVICTFLIANIADAYTGPYKAIVFSTASYAFGLLLWFLANRFWGNSSSTVIILLYLMMVLATVGKASQDPCLKAFLRDQLVEHKPNLNADKDQADEVPSKPDKAKVRRKFWWRIASTVGTVSSVIMAGVSNQRMIAVISFSVMALACLTFALGKSFYYPDKPTGIPLIVIYRVLKAAFVKRHLQHAREPNDYYMNDSGGLHLLPNFRIIRWLDKAALLETSKSLSAEEQEKNRRLFSVQDVQQVKQLLAMVPMWTALPIHGLVLATGSTFFVVQSESLYSDPVFSINVLFLLQGFVTVIVPYVHDILVSGWIKKLPMHHGRTVWIQKLLKHRVGLVRIAIGIACSIICCMVSMLVETRRLNLIKTRGDAEPFPMSTVWLVPQFFLIGLVEGLVADGLADFYNVHVDESLKHYESPFNESAIGVGKFLSAALVLTLSGSWFHHTLNTSHLDKYYMLLGILSSVNLCFYLLVMYAYAWKAQVNGSPDPEVST >Potri.004G166800.6.v4.1 pep chromosome:Pop_tri_v4:4:18530019:18532341:1 gene:Potri.004G166800.v4.1 transcript:Potri.004G166800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166800.v4.1 MIGSFLSRTLLMIFGYAYPAYECFKAAENNRTDIAQVLFWCRYWILVAMLTVCERVGDHLIFWLPMYSEAKLAFFIYLWHPKTKGTEYVYDCFFRPFVAKHETEIDRNLLEMRVQAEEIALIYWQKAAAYGQTKFFEILQCVSSQSASMPRSDQQQEELNAKNGEPKASSSISEATTEKQPEEHDQLHSSSSASLSQQSHEQASELEEQPGEPMQSSENGDINSPRQETVPKESVQLKHGRWKLFQSPQKPSNS >Potri.004G166800.2.v4.1 pep chromosome:Pop_tri_v4:4:18530022:18532341:1 gene:Potri.004G166800.v4.1 transcript:Potri.004G166800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166800.v4.1 MIGSFLSRTLLMIFGYAYPAYECFKAAENNRTDIAQVLFWCRYWILVAMLTVCERVGDHLIFWLPMYSEAKLAFFIYLWHPKTKGTEYVYDCFFRPFVAKHETEIDRNLLEMRVQAEEIALIYWQKAAAYGQTKFFEILQCVSSQSASMPRSDQQQEELNAKNGEPKASSSISEATTEKQPEEHDQLHSSSSASLSQQSHEQASELEEQPGEPMQSSENGDINSPRQETVPKESVQLKHGRWKLFQSPQKPSNS >Potri.004G166800.8.v4.1 pep chromosome:Pop_tri_v4:4:18530019:18532341:1 gene:Potri.004G166800.v4.1 transcript:Potri.004G166800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166800.v4.1 MIGSFLSRTLLMIFGYAYPAYECFKAAENNRTDIAQVLFWCRYWILVAMLTVCERVGDHLIFWLPMYSEAKLAFFIYLWHPKTKGTEYVYDCFFRPFVAKHETEIDRNLLEMRVQAEEIALIYWQKAAAYGQTKFFEILQCVSSQSASMPRSDQQEELNAKNGEPKASSSISEATTEKQPEEHDQLHSSSSASLSQQSHEQASELEEQPGEPMQSSENGDINSPRQETVPKESVQLKHGRWKLFQSPQKPSNS >Potri.004G166800.10.v4.1 pep chromosome:Pop_tri_v4:4:18530019:18532341:1 gene:Potri.004G166800.v4.1 transcript:Potri.004G166800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166800.v4.1 MIGSFLSRTLLMIFGYAYPAYECFKAAENNRTDIAQVLFWCRYWLPMYSEAKLAFFIYLWHPKTKGTEYVYDCFFRPFVAKHETEIDRNLLEMRVQAEEIALIYWQKAAAYGQTKFFEILQCVSSQSASMPRSDQQQEELNAKNGEPKASSSISEATTEKQPEEHDQLHSSSSASLSQQSHEQASELEEQPGEPMQSSENGDINSPRQETVPKESVQLKHGRWKLFQSPQKPSNS >Potri.004G166800.7.v4.1 pep chromosome:Pop_tri_v4:4:18530022:18532341:1 gene:Potri.004G166800.v4.1 transcript:Potri.004G166800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166800.v4.1 MIGSFLSRTLLMIFGYAYPAYECFKAAENNRTDIAQVLFWCRYWLPMYSEAKLAFFIYLWHPKTKGTEYVYDCFFRPFVAKHETEIDRNLLEMRVQAEEIALIYWQKAAAYGQTKFFEILQCVSSQSASMPRSDQQQEELNAKNGEPKASSSISEATTEKQPEEHDQLHSSSSASLSQQSHEQASELEEQPGEPMQSSENGDINSPRQETVPKESVQLKHGRWKLFQSPQKPSNS >Potri.004G166800.9.v4.1 pep chromosome:Pop_tri_v4:4:18530022:18532341:1 gene:Potri.004G166800.v4.1 transcript:Potri.004G166800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166800.v4.1 MIGSFLSRTLLMIFGYAYPAYECFKAAENNRTDIAQVLFWCRYWLPMYSEAKLAFFIYLWHPKTKGTEYVYDCFFRPFVAKHETEIDRNLLEMRVQAEEIALIYWQKAAAYGQTKFFEILQCVSSQSASMPRSDQQEELNAKNGEPKASSSISEATTEKQPEEHDQLHSSSSASLSQQSHEQASELEEQPGEPMQSSENGDINSPRQETVPKESVQLKHGRWKLFQSPQKPSNS >Potri.004G166800.11.v4.1 pep chromosome:Pop_tri_v4:4:18530019:18532341:1 gene:Potri.004G166800.v4.1 transcript:Potri.004G166800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166800.v4.1 MIGSFLSRTLLMIFGYAYPAYECFKAAENNRTDIAQVLFWCRYWLPMYSEAKLAFFIYLWHPKTKGTEYVYDCFFRPFVAKHETEIDRNLLEMRVQAEEIALIYWQKAAAYGQTKFFEILQCVSSQSASMPRSDQQEELNAKNGEPKASSSISEATTEKQPEEHDQLHSSSSASLSQQSHEQASELEEQPGEPMQSSENGDINSPRQETVPKESVQLKHGRWKLFQSPQKPSNS >Potri.004G166800.5.v4.1 pep chromosome:Pop_tri_v4:4:18530022:18532341:1 gene:Potri.004G166800.v4.1 transcript:Potri.004G166800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166800.v4.1 MIGSFLSRTLLMIFGYAYPAYECFKAAENNRTDIAQVLFWCRYWILVAMLTVCERVGDHLIFWLPMYSEAKLAFFIYLWHPKTKGTEYVYDCFFRPFVAKHETEIDRNLLEMRVQAEEIALIYWQKAAAYGQTKFFEILQCVSSQSASMPRSDQQEELNAKNGEPKASSSISEATTEKQPEEHDQLHSSSSASLSQQSHEQASELEEQPGEPMQSSENGDINSPRQETVPKESVQLKHGRWKLFQSPQKPSNS >Potri.007G043500.2.v4.1 pep chromosome:Pop_tri_v4:7:3736929:3738663:-1 gene:Potri.007G043500.v4.1 transcript:Potri.007G043500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043500.v4.1 MVISFASVPPDAEYYQNQSGASQGSLCINRGVDSSPIDFILSSWLHLSLPNEGAMNITNIQGYLKSSTDTPHFQFELVQCSPTYFIFFLDLIPRKDIVLHPDYLNTFYEDSQLEALRKQLDTQVLEAKPYFSSSLYFRNVVSPTGILVSITCGDGGTTERAEEIIRDNIDPIANEVLELWMDSCVCKGGTATIEENERDQLEKRDRMIKSRAVEMDLSSSMPIQFGQDVADRILGVIRGVFRI >Potri.006G098700.1.v4.1 pep chromosome:Pop_tri_v4:6:7564503:7568517:-1 gene:Potri.006G098700.v4.1 transcript:Potri.006G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098700.v4.1 MTEPPKTVRKVLVEVVDARDLLPKDGQGSSSAYVIADFDGQRKRTTTKYRDLNPVWKETFEFTVSDPSNMEFEELEIEVFNDKKFCNGSGRKNHFLGRVKVYGSQFSKRGDEGIVYFPLEKKSVFSWIRGEIGLRICYYDELLEEDQQQPPPPPEKDAPPPQQQDPQKSPAVTMVEEVRVFQVAEHAEFNYHDYHHHQNDHHQQHQNGTHSPPVAIEESPPPVVHVHSEPPPQQPQGPLPEEPPPGLNAPPLHSMETHTHYHPEVRMMQTTRESSGNNRVKIMRRPNGDFTPKVISGRFKSEPTERILPYDLVEPMQYLFIRIVKARGLSQNESPFIKLRTSTHFVRSKPASYRPGDSPGSFEWHQVFALGHNNKTDVQSSDAGIIEISVWDSQSEQFLGGVCLDLSDVPVRDPPDSPLAPQWYRLESGAAADQNSCRVSGDIQLSVWIGTQADDAFPEAWSSDAPYVAHTRSKVYQSPKLWYLRVTVIEAQDLRIASNLPPLTAPEIRVKAQLGFQSAKTRRGSMSNHSTSFQWIEDLIFVAGEPLEESLILLVEDRTNKEALLLGHIIIPVSSIEQRIDERHVASKWFALEGGGDTGGGGGGVNGGSYRGRIHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAIGVLELGILGARGLLPMKTKGGGKGSTDAYCVAKFGKKWVRTRTITDSFDPRWNEQYTWQVYDPCTVLTIGVFDNWHMFGDMSDDKPDCRIGKIRIRVSTLESNKVYTNSYPLLVLLRTGLKKMGEIELAVRFACPSLLPDTCAAYGQPLLPKMHYLRPLGVAQQEALRGAATRMVSLWLARSEPPLGPEVVRYMLDADSHTWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWRNSVTTVLVHALYLVLVWYPDLVVPTGFLYVILIGVWYYRFRPKIPAGMDIRLSQAETVDPDELDEEFDTIPSMKPPEIIRARYDRLRVLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIGVCLAITLILYVVPPKMVAVALGFYYLRHPMFRDPMPPASLNFFRRLPSLSDRLM >Potri.019G060900.7.v4.1 pep chromosome:Pop_tri_v4:19:10089842:10104764:-1 gene:Potri.019G060900.v4.1 transcript:Potri.019G060900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060900.v4.1 MGRRKQARPHRSGGLIIQNNAAASASAELKNQRKASSSDAQPTELVGIDEQPYFVEVERNSWASNHHRDASELVLHGLNLRQEYSSFRVTDGFYHDSKYSLRFRVSNVKQSVLSRIKLGHWPVFSSSDISLELIEKSMVEEDREVESVIFSGSFDGPDEGITGLVHLTNMEFLTLRPVLGVDFSEKMTPLRMRVEILEKAFDACESLLESTRQIWKKSMMNVMAWLRPEVMTSEARYRHAKSTEMEVNMAAEIGDDTSNSGKRAQFDVAGLYEAIKPSKSDPMLEDDLPDLLPTLRPYQRRAAHWMVQQEKGESSSVKERSQFFSPLCMPVDFLDTCSKMFYNPFSGNVSFHPEFSPPYVSGGILADEMGLGKTVELLACILAHRKSTSDDGSVVAPTWQNTGNQKINLKRLKRERVECVCGAVSDSYKYRGLWVQCDICDAWQHADCVGYSPRGKKKMSVDDEQKHRNKTTISYVERDGEHVCQMCSELIEVADTPIATGATLIVCPAPILPQWHSEITRHTRPGSLKTYVYEGVRDTSLSNTFVVDIGQLVNADIVLTTYDVLKEDLLHDSDRHGGDRHILRFQKRYPVTPTILTRIFWWRVCLDEAQMVESNAAAATEMALRLSTKHRWCITGTPIQRKLDDLYGLLRFLKASPFNVSRWWIDVIRDPYERRDADAMEFTHKFFKQIMWRSSKIHVADELQLPPQEECVSWLTFSAIEKHFYQMQHETCVSYAREVIGSFKDDVVKRKVPGCVSTDASTDPLITHAEAAKLLNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILMVLVGKMKIEGEEALRKLVVALNALAGIAILEQNFPQAVSLYKEALALSEEHLEDFRLDPLLNIHIHHNLADILALVMDHSTEVPSNGQQLHGNSEKASKINKSETCDLNDAKKQKASGEDSDFTIDAGNSLDLSENCSVGNKKGNNNHDMSSTSFSTQYLRTACENFKQKYLSVFSSKLSAAQLDFNKSYTQVCNAFGERKNLHTVWWLDALNHAEQNKDSTGELIRKIEEAVSGTLNNSRSSRIASRLRSITGLKYHIHTHLDQLEASRQTLLDRILEIDQTMANPKEEDIERVRHCRICQAIDDGPTCVHCELEESFQEHEARLFRLNKLHGGIITSAEEAVNLQKRNSERNRYYWNLDRQKKNLLPSSDFNEESKKRKTGETVMVSKSPSELEVILGVIKSYCKAQLENEAVSAASLQIHILEGMRKEYGHARSLAVAQAQLLRAHDELKMATARLHLRENENDTSMDALGEDELESASVLHSNEKFMSLNLLSHTKGKLRYLKGLVQSKQKPTSESSNNSSLTEEMAAVPMTTEKISEYLPKDDEEACPICQEKLNNQKMVFPCGHVTCCKCFFAMTERKMHDNRFQRKWVMCPTCRQHTDFGNIAYADDRRDKSCSSAMLDAIQGCEKTEASLAVQGSYGTKVEAVTRRILWIKSSDPKAKVLVFSSWNDVLDVLEHALNANEITYIRMKGGRKSHVAISEFRAQNSSPKRTHRQQQETKSIQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAVSRVHRIGQEQRTLVHRFIVKDTVEESIYKLNRSRSTSSFISGNTKNQDQPLLTLKDVESLFATVPSTVPESDGKPTENLRHLPPSVAAALAAERRLKENTAGISV >Potri.019G060900.1.v4.1 pep chromosome:Pop_tri_v4:19:10089821:10104769:-1 gene:Potri.019G060900.v4.1 transcript:Potri.019G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060900.v4.1 MGRRKQARPHRSGGLIIQNNAAASASAELKNQRKASSSDAQPTELVGIDEQPYFVEVERNSWASNHHRDASELVLHGLNLRQEYSSFRVTDGFYHDSKYSLRFRVSNVKQSVLSRIKLGHWPVFSSSDISLELIEKSMVEEDREVESVIFSGSFDGPDEGITGLVHLTNMEFLTLRPVLGVDFSEKMTPLRMRVEILEKAFDACESLLESTRQIWKKSMMNVMAWLRPEVMTSEARYRHAKSTEMEVNMAAEIGDDTSNSGKRAQFDVAGLYEAIKPSKSDPMLEDDLPDLLPTLRPYQRRAAHWMVQQEKGESSSVKERSQFFSPLCMPVDFLDTCSKMFYNPFSGNVSFHPEFSPPYVSGGILADEMGLGKTVELLACILAHRKSTSDDGSVVAPTWQNTGNQKINLKRLKRERVECVCGAVSDSYKYRGLWVQCDICDAWQHADCVGYSPRGKKKMSVDDEQKHRNKTTISYVERDGEHVCQMCSELIEVADTPIATGATLIVCPAPILPQWHSEITRHTRPGSLKTYVYEGVRDTSLSNTFVVDIGQLVNADIVLTTYDVLKEDLLHDSDRHGGDRHILRFQKRYPVTPTILTRIFWWRVCLDEAQMVESNAAAATEMALRLSTKHRWCITGTPIQRKLDDLYGLLRFLKASPFNVSRWWIDVIRDPYERRDADAMEFTHKFFKQIMWRSSKIHVADELQLPPQEECVSWLTFSAIEKHFYQMQHETCVSYAREVIGSFKDDVVKRKVPGCVSTDASTDPLITHAEAAKLLNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILMVLVGKMKIEGEEALRKLVVALNALAGIAILEQNFPQAVSLYKEALALSEEHLEDFRLDPLLNIHIHHNLADILALVMDHSTEVPSNGQQLHGNSEKASKINKSETCDLNDAKKQKASGEDSDFTIDAGNSLDLSENCSVGNKKGNNNHDMSSTSFSTQYLRTACENFKQKYLSVFSSKLSAAQLDFNKSYTQVCNAFGERKNLHTVWWLDALNHAEQNKDSTGELIRKIEEAVSGTLNNSRSSRIASRLRSITGLKYHIHTHLDQLEASRQTLLDRILEIDQTMANPKEEDIERVRHCRICQAIDDGPTCVHCELEESFQEHEARLFRLNKLHGGIITSAEEAVNLQKRNSERNRYYWNLDRQKKNLLPSSDFNEESKKRKTGETVMVSKSPSELEVILGVIKSYCKAQLENEAVSAASLQIHILEGMRKEYGHARSLAVAQAQLLRAHDELKMATARLHLRENENDTSMDALGEDELESASVLHSNEKFMSLNLLSHTKGKLRYLKGLVQSKQKPTSESSNNSSLTEEMAAVPMTTEKISEYLPKDDEEACPICQEKLNNQKMVFPCGHVTCCKCFFAMTERKMHDNRFQRKWVMCPTCRQHTDFGNIAYADDRRDKSCSSAMLDAIQGCEKTEASLAVQGSYGTKVEAVTRRILWIKSSDPKAKVLVFSSWNDVLDVLEHALNANEITYIRMKGGRKSHVAISEFRAQNSSPKRTHRQQQETKSIQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAVSRVHRIGQEQRTLVHRFIVKDTVEESIYKLNRSRSTSSFISGNTKNQDQPLLTLKDVESLFATVPSTVPESDGKPTENLRHLPPSVAAALAAERRLKENTAGISV >Potri.019G060900.6.v4.1 pep chromosome:Pop_tri_v4:19:10089934:10104769:-1 gene:Potri.019G060900.v4.1 transcript:Potri.019G060900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060900.v4.1 MGRRKQARPHRSGGLIIQNNAAASASAELKNQRKASSSDAQPTELVGIDEQPYFVEVERNSWASNHHRDASELVLHGLNLRQEYSSFRVTDGFYHDSKYSLRFRVSNVKQSVLSRIKLGHWPVFSSSDISLELIEKSMVEEDREVESVIFSGSFDGPDEGITGLVHLTNMEFLTLRPVLGVDFSEKMTPLRMRVEILEKAFDACESLLESTRQIWKKSMMNVMAWLRPEVMTSEARYRHAKSTEMEVNMAAEIGDDTSNSGKRAQFDVAGLYEAIKPSKSDPMLEDDLPDLLPTLRPYQRRAAHWMVQQEKGESSSVKERSQFFSPLCMPVDFLDTCSKMFYNPFSGNVSFHPEFSPPYVSGGILADEMGLGKTVELLACILAHRKSTSDDGSVVAPTWQNTGNQKINLKRLKRERVECVCGAVSDSYKYRGLWVQCDICDAWQHADCVGYSPRGKKKMSVDDEQKHRNKTTISYVERDGEHVCQMCSELIEVADTPIATGATLIVCPAPILPQWHSEITRHTRPGSLKTYVYEGVRDTSLSNTFVVDIGQLVNADIVLTTYDVLKEDLLHDSDRHGGDRHILRFQKRYPVTPTILTRIFWWRVCLDEAQMVESNAAAATEMALRLSTKHRWCITGTPIQRKLDDLYGLLRFLKASPFNVSRWWIDVIRDPYERRDADAMEFTHKFFKQIMWRSSKIHVADELQLPPQEECVSWLTFSAIEKHFYQMQHETCVSYAREVIGSFKDDVVKRKVPGCVSTDASTDPLITHAEAAKLLNSLLKLRQACCHPQVGSSGLRSLQQSPMTMEEILMVLVGKMKIEGEEALRKLVVALNALAGIAILEQNFPQAVSLYKEALALSEEHLEDFRLDPLLNIHIHHNLADILALVMDHSTEVPSNGQQLHGNSEKASKINKSETCDLNDAKKQKASGEDSDFTIDAGNSLDLSENCSVGNKKGNNNHDMSSTSFSTQYLRTACENFKQKYLSVFSSKLSAAQLDFNKSYTQVCNAFGERKNLHTVWWLDALNHAEQNKDSTGELIRKIEEAVSGTLNNSRSSRIASRLRSITGLKYHIHTHLDQLEASRQTLLDRILEIDQTMANPKEEDIERVRHCRICQAIDDGPTCVHCELEESFQEHEARLFRLNKLHGGIITSAEEAVNLQKRNSERNRYYWNLDRQKKNLLPSSDFNEESKKRKTGETVMVSKSPSELEVILGVIKSYCKAQLENEAVSAASLQIHILEGMRKEYGHARSLAVAQAQLLRAHDELKMATARLHLRENENDTSMDALGEDELESASVLHSNEKFMSLNLLSHTKGKLRYLKGLVQSKQKPTSESSNNSSLTEEMAAVPMTTEKISEYLPKDDEEACPICQEKLNNQKMVFPCGHVTCCKCFFAMTERKMHDNRFQRKWVMCPTCRQHTDFGNIAYADDRRDKSCSSAMLDAIQGCEKTEASLAVQGSYGTKVEAVTRRILWIKSSDPKAKVLVFSSWNDVLDVLEHALNANEITYIRMKGGRKSHVAISEFRAQNSSPKRTHRQQQETKSIQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAVSRVHRIGQEQRTLVHRFIVKDTVEESIYKLNRSRSTSSFISGNTKNQDQPLLTLKDVESLFATVPSTVPESDGKPTENLRHLPPSVAAALAAERRLKENTAGISV >Potri.009G054600.1.v4.1 pep chromosome:Pop_tri_v4:9:5853239:5866551:1 gene:Potri.009G054600.v4.1 transcript:Potri.009G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054600.v4.1 MGSLKNEGEMEPIGGESSKDAILYVNGVRRVLSDGLAHLTLLEYLRDIGLTGTKLGCGEGGCGACTVMVSHYNKVLKKCVHYAVNACLAPLYSIEGMHIITVEGVGNRKIGLHPIQESLARSHGSQCGFCTPGFIMSMYALLRSSEVPPTEEQIEECLAGNLCRCTGYRPIIDAFQVFAKTDDAFYTNTSSSSLQSGEFLCPSTGKPCSCKSKSLNGEGTCKRSTANGNKYEPVSYSEVDGSTYTDKELIFPPELLLRKLTPLNLNGFGGLKWFRPLKIQHLLELKAKYPDAKLVMGNTEVGIEMRLKRIQYKVLISVAHVPELNVLNVKDDGLEIGAAVRLMELLQMFRKVVNERAAHETSSCKAFIEQIKWFAGTQIKNVASVGGNICTASPISDLNPLWMAAGAKFQIIDCKGNIRTIMAENFFLGYRKVDLASGEILLSIFLPWTRPLEHVKEFKQAHRRDDDIAIVNAGMRVFLEEKGEDLVVSDALIVYGGVAPLSLSAVKTKEFIIGKKWDQELLQGALKFLEIDIFLKEDAPGGMVEFRKSLTLSFFFKFFLWVSQQISVKKSTGIPLSYLSAAQPFQRPSIMGSQDYEIRKHGTSVGSPEIHLSSRLQVTGEAEYADDAPMPSNGLHAALVLSRKPHAKILSIDDSEAKSLPGVAGIFLAKDVPGDNHIGAIIHDEELFATKYVTCVGQVIGVVVADTHENAKLAAAKVVVEYEELPAILSIQEAVDAKSFHPNSEKCLKKGDVDVCFQSGQCDKIIHGEVHVGGQEHFYLETQSSLVWTMDCGNEVHMISSTQAPQKHQKYVAQVLGLPMSKVVCKTKRIGGGFGGKETRSAFIAAAASVPSYLLNRPVKITLDRDVDMMITGQRHAFLGKYKVGFTKEGRLLALDLEIYNNAGNSLDLSLSVLERAMFHSDNVYEIPNIRVLGRVCFTNFPSHTAFRGFGGPQGMLIAENWIQKIAVELNKSPEEIREINFQGEGSILHYSQQLQHCTLGQLWNELKLSSDLLRALEDVKQFNLQNRWKKRGVAMVPTKFGISFTTKFMNQAGALVHVYTDGTVLVTHGGVEMGQGLHTKVAQVAASAFNIPLSSVFISETSTDKVPNTSPTAASASSDLYGAAVLDACEQIKARMEPVALKHNFSSFAELAGACYMQQIDLSAHGFYITPDIGFDWTTGKGNPFNYFTYGAAFAEVEIDTLTGDFHTRTANIILDLGYSINPAIDVGQIEGAFVQGLGWVAIEELKWGDAAHKWIPPGCLYTSGPGSYKIPSMNDVPFKFSVSLLKGHPNVKAIHSSKAVGEPPFFLASAVFFAIKDAIIAARAEVGHHEWFPLDNPATPERIRMACLDEFSTRFIDSDFRPKLSV >Potri.013G084100.2.v4.1 pep chromosome:Pop_tri_v4:13:7587325:7598539:-1 gene:Potri.013G084100.v4.1 transcript:Potri.013G084100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084100.v4.1 MIFIFLSLLLLVALLFKFLTSDGDFTLMSKRHAKREEIEDKVVWITGASRGIGEVLAKQLASLGAKLILSSRNEAELERVKNQLTGKHAPGEVKIIPLDLASGEEFLKEAVEKAESFFSGAGVDYMIHNAAYERPKSTALDVNEESLKATFNINVLGPISLTRLLASSMLSRGRGHFVVMSSAAGKTPTPGQAIYSASKFALNGYFHSLRSELCQKGIKVTIVCPGPIETSNGFGSTTSGKKGTFERRVSSERCAELTIIAATHGLKEVWISDQPVLAVLYLVQYMPTVGYWLMDKIGGNRLAAAAQKGNTYSLSLLFGKKKAT >Potri.013G084100.3.v4.1 pep chromosome:Pop_tri_v4:13:7587660:7598593:-1 gene:Potri.013G084100.v4.1 transcript:Potri.013G084100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084100.v4.1 MIFIFLSLLLLVALLFKFLTSDGDFTLMSKRHAKREEIEDKVVWITGASRGIGEVLAKQLASLGAKLILSSRNEAELERVKNQLTGKHAPGEVKIIPLDLASGEEFLKEAVEKAESFFSGAGVDYMIHNAAYERPKSTALDVNEESLKATFNINVLGPISLTRLLASSMLSRGRGHFVVMSSAAGKTPTPGQAIYSASKFALNGYFHSLRSELCQKGIKVTIVCPGPIETSNGFGSTTSGKKGTFERRVSSERCAELTIIAATHGLKEVWISDQPVLAVLYLVQYMPTVGYWLMDKIGGNRLAAAAQKGNTYSLSLLFGKKKAT >Potri.002G118700.1.v4.1 pep chromosome:Pop_tri_v4:2:8978925:8980880:1 gene:Potri.002G118700.v4.1 transcript:Potri.002G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118700.v4.1 MKPKSLQSPSRSPEMDPAIWSRLPEELLEHVLSCLPLKTLLNLRSTCKRFKSLMFSPSFMSKHTTRGTAFSSFLLLSHPQFYQQFPLYDSIIGSWRHLALSLSFLLPVTGSNGSPSCSLLSSSNGLFCFSLPSSNSFLVCNFLAKSSRIVEFPSYPFAFESLAFVSMPFGYKIFVLCSKFSSNSVFVYDSKVHSWQKFDRFEPILGDNYRQEGVFFNGSLYFTTSEPFSIVCFDLESGRWERLDNELPGDLTFVRLVSDGENKLYLIGGVGRNGISRSMKLWELGDGRNWIEVESLPEMMCKKFVSVCYHNYERVYCFWHQGMICICCYTWPEILYCKVSRRTWHWLPKCPSLPEKWSCGFRWFSFVPELYATV >Potri.001G174300.1.v4.1 pep chromosome:Pop_tri_v4:1:14969201:14969956:1 gene:Potri.001G174300.v4.1 transcript:Potri.001G174300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174300.v4.1 MASVLTFACSVPAPVRASSGSPRTPDPYGKKSGSSTWWSPLFGWSSSPDYLNGNSIAGGTGDDVPDKESGLSGSDQEPGRPRSRFALGSFTEEKAKQLRRKTLEGSTFHDMMYHSAIASRLASDGSGRQEK >Potri.003G106300.15.v4.1 pep chromosome:Pop_tri_v4:3:12928724:12934499:-1 gene:Potri.003G106300.v4.1 transcript:Potri.003G106300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106300.v4.1 MGVPRFTRPKTPATDSPSPYLYVANCGPAVGLSYDTIASVFNTFGQVKGIYAADESGTRVIVSYSEANSAQQALTALNGKSCADLGGRSLHIRYSVIQPNCEAESQQVNEHIPVSLLDSEVNIPGLYLVHDFLSPQEEKELLAAVDERPWIGLSKRRVQHYGYEFCYGTRNVDTKLHLGELPSFVSSVLERISLFPGLNSSTSILLDQLTVNEYPPGVGLSPHIDTHSAFEGLIFSLSLAGPCIMEFRRYLDGSWVPDAASSAYTKVENVVNCSNLVRRSLYLPPRSILLLSGEARYAWQHYIPHHKIDMVNQSVIRRGARRVSFTFRKVLRGPCQCEFPQYCDSER >Potri.017G144541.1.v4.1 pep chromosome:Pop_tri_v4:17:14484643:14488984:-1 gene:Potri.017G144541.v4.1 transcript:Potri.017G144541.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144541.v4.1 MAFEKIKVANPIVEMDGDEMTRVFWQSIKEKLIFPFLELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEDRVKEFKLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKAICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQDEKTELEVYNFTGAGGVALAMYNTDESIRSFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNAKLLDFTEKLEAACVGAVESGKMTKDLALLIHGSKVSRDQYLNTEEFIDAVAVELKARLSVEA >Potri.017G144541.2.v4.1 pep chromosome:Pop_tri_v4:17:14485109:14488894:-1 gene:Potri.017G144541.v4.1 transcript:Potri.017G144541.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144541.v4.1 MAFEKIKVANPIVEMDGDEMTRVFWQSIKEKLIFPFLELDIKYFDLGLPHRDATDDKVTIESAEATLKYNVAIKCATITPDEDRVKEFKLKQMWKSPNGTIRNILNGTVFREPIICKNVPRLVPGWTKAICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQDEKTELEVYNFTGAGGVALAMYNTDESIRSFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNAKLLDFTEKLEAACVGAVESGKMTKDLALLIHGSKVSRDQYLNTEEFIDAVAVELKARLSVEA >Potri.005G006600.1.v4.1 pep chromosome:Pop_tri_v4:5:475656:479385:1 gene:Potri.005G006600.v4.1 transcript:Potri.005G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006600.v4.1 MDMADVLPFLAMVIVQFGYAGMNITSKLAMDSGMKPLVLVGYRQIFATIAMVPFAYFFEWKTRPKITMSLLLQIFICSLTGVTGNQVFYFIGLENSTPTIGCALTNILPAVTFILAVLFRQESVGIKKTSGQAKLLGTIVCVGGAMLLSFYHGHMINIGESSIHWNYADSTGNSSTDKKSNLVLGSLFIIASAVSWAIWFTVQAKVSLKFPAPYTCTLLMCFMGSIECGVIGIGANHKVSEWSLRSPGRLIAALYAGIVCSALAFSLTSWSIQRKGALYVSVFSPLLLVIVAVLSWALLHEKIYVGTAVGSILIVAGLYAVLWGKDKELKEEIEETKVMKIGNKEWNNHDLELQLHAISNGNRN >Potri.010G149301.1.v4.1 pep chromosome:Pop_tri_v4:10:15958785:15960013:-1 gene:Potri.010G149301.v4.1 transcript:Potri.010G149301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149301.v4.1 MEFEHARLRPHQDWINGKWIKEESIVLEGNGRTPKPKFSKGTRVEVKSEEVGFQGSWYSATIVEVMENDKFLVQYHNLVTDDETGSLRHKAFTPSYSTCLRF >Potri.013G102900.1.v4.1 pep chromosome:Pop_tri_v4:13:11193774:11196311:-1 gene:Potri.013G102900.v4.1 transcript:Potri.013G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102900.v4.1 MVAVTPTLPLLTPYKMGKFNLSHRIVLAPLTRQRSYDNVPQPHAVLYYSQRATKGALLISEATGVSDTAQGFLHAPSIWTREQVEAWKPIVDAVHAKGGIFFCQIWHAGRVSNSGFQPDGQAPVSSTDKPISSQVEGMEFTPPRRLRTDEIPQIVNDFRIAARNAIEAGFDGVEIHGAHGFLIDQFMKDQVNNRTDQYGGSLENRCRFPLEIVEAIANEIGSDKLGIRLSPHVNYMESGDSDPEALGLYMVKSLNKYGIAYCHMVEPRMKIGAGNPKFSESLLPMRKAFNSTFIVAGGYDREDGNKAVEENRGDLVAYGRLFLANPDLPRRFELHAPLNKYNRETFYTHDPVVGYTDYPFLEDTA >Potri.014G028500.2.v4.1 pep chromosome:Pop_tri_v4:14:1787013:1795724:1 gene:Potri.014G028500.v4.1 transcript:Potri.014G028500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028500.v4.1 MSPLLFFFLVLPLLLESKAKAIEAGDNCSLITHQITGKIGGVINYSSRLGKEQKVAMEMAVEDYFRSSCSKQLTLQLEDSGGDSSRVASATTELISSKRVQSIIGAMTAQETGLFSEVDMNMKNIPIISLTSPAITPPSMPHQLPYFLQMSNHITLHMQCIVDIVGHFKWRKVTALYEHKNGFSAYSGIITLLSDKLKVVNSEISYHSDLSSLSSISNPEITIEQELIKLRSKSNRVFIVLVSSLELAILLFEKANQMRMMEKDYVWIVTDEIASLLDSVDSSVVNNMQGVIGFKTNFARTRDTFKRFKSRFRKKYGSKYPEEEEYSNPSIFALRAYDATWAIARAMEKSQGKITSKELSGNILSSNFEGLSGTVRFENNVLWQSPSFQIINVVGNSYRVMAVWSPKFGFSQSEEKYNGATANGSLKNLGPVYWPGGMPSTNPRGWAISDADIPLKIGVPAMGAFKQFVRVTFDQTQNATCVTGFTINVFEAVVKRLPYNLPYVLVPFYGTYDEMVEQVYRNGLDAAVGDTEIMADRFQYVEFSQPYVDSGLVMVVTQKAETSHATWMLKTFTKKLWLLMIAMHVFIGLLVWLIERGNNTEFDGIGTMLWFSVTIIFYAHRQPLTSNLSRLVLTPWLFVILIVVASFTASLSSAMTVSRLEPSVLDIETLQRTNAPVGCNGNSFIVRYLINVLLFKPENIKKINSIHDYPEAFETGYVKAAFFVEPHARVFLGKYCKGYTKAGPTLKLGGFGFVFPKGSPLAFDISEATLKVIESGELRQLEELLSSSNCTSRTTAIDTSSLGLEPFAGLFILSGTIAAFGSLVAIFRLGRNLHILSYIQTELTRRRIWRWASLQLSRKSSTKEISSTQAC >Potri.012G011800.1.v4.1 pep chromosome:Pop_tri_v4:12:1345173:1347165:-1 gene:Potri.012G011800.v4.1 transcript:Potri.012G011800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011800.v4.1 MGENDRLKSPSIPIEKLRQDILIIIRDDLETSFRSEQCIYEVPSALRDRKEDAYTPRVISIGPIHHYSEKLVAMEIQKRRYLKEFCRLRVEKEQEELLETLLRTISSQEDHIRRCYAADSSKLSGDQFVMMVLLDAVFIFELFLRNEEYLGDNSNYQDDFIIGQPWLRAAIRRDLILLENQLPFSTLNELYDCAMSTTHCKPFMYLSFRYFDKYKKTSEPSQKILHFTDLVRCFLSFKHPDLKIDQNAEPIKTLYSAKMLQQAGIKFKPLPNVSLLDIRAWKPLSKVQTPLSDKKGKLLMPSLEIDNNTECLLRNLIALEQLHYPGEEYICRYVKLLDFLVDLDNDVDLLIENKVIVSKLGDSKAVAELINGLCREMVEVSSTFDPLSKLLNDYYDSSWNKNKAYLVSVYFKNIWTGTGTVVGSLILLVTVTRFILYLLRY >Potri.014G030900.1.v4.1 pep chromosome:Pop_tri_v4:14:1934699:1935317:1 gene:Potri.014G030900.v4.1 transcript:Potri.014G030900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030900.v4.1 MAIKTCCFSVDGKRVMSIEEFKRWLRKFDADKDGKISRKELEDAIAGGWFTRWKGKPGIRSADSNGNGFIDESEIDNLVEFAQKYLGVKILQF >Potri.014G124400.1.v4.1 pep chromosome:Pop_tri_v4:14:8362157:8363221:1 gene:Potri.014G124400.v4.1 transcript:Potri.014G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124400.v4.1 MDFNTKGSESEDMDSTQSSKGNEIKRRCMDCQTTRTPCWRGGPAGPRTLCNACGIRQRKKRRALHGSDKGGAERSKNKIAKSSNSSKLGVSLKLDLMGFRRDGILQEDWKRKLGEEEQAAILLMALSCGLVRA >Potri.001G050100.1.v4.1 pep chromosome:Pop_tri_v4:1:3791602:3794902:1 gene:Potri.001G050100.v4.1 transcript:Potri.001G050100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050100.v4.1 MDMSQVLPEECLAHIISFTSPRDACGSALVSRNFRSAADSDAVWKGFLPSDHVEIISSSPASSSSQLTALSKKELYFHLCNNPILVNNGIMSFALEKHGGKKCYMIGARGLSITWGDTPDYWTWKPLPDQSRFSEVAELRYVWWLDVRGRIDAKIFSPKTTYAAYLVFKLTDSTRGFDERLVELSVNFEESVGEEKLHVFLDVPPDYDMPPLPRERSDGWMEIEMGEFFYDNEDDGSVVAYLREVDNYTTKNGLIIEGIEFRPKEVR >Potri.006G226800.2.v4.1 pep chromosome:Pop_tri_v4:6:23114893:23117382:-1 gene:Potri.006G226800.v4.1 transcript:Potri.006G226800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226800.v4.1 MEGVVVKEEETVTCTGGGASSSSSSSSFSPHPMEGLNEVGPPPFLTKTYEMVEDPSTDTVVSWSGGRNSFIVWDSHKFSTTLLPKHFKHSNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKHLLKTIKRKRHLSQTTQQQGGGACIELGQFEFEGELERLKRDRNVLMAEIVRLRQQQQQSREHIAAMEDRLRSTERKQQRVMTFLAKALNNPSFIEQFAQRAAQRREIRGVEIGRKRRLTASPSVENLQEVASVALGSSQFVDYMNQDLPTIENEMETLFSAVLDNESSSDIKDPIASSMDTASGGSTLDAVNETIWEELLTDDLVSGEPNEVVVSDEPEVDVEVEDLVAKPVDWSDDFQDLVDQMGYLRPERQQRIFRRGVWI >Potri.006G226800.6.v4.1 pep chromosome:Pop_tri_v4:6:23114977:23117380:-1 gene:Potri.006G226800.v4.1 transcript:Potri.006G226800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226800.v4.1 MEGVVVKEEETVTCTGGGASSSSSSSSFSPHPMEGLNEVGPPPFLTKTYEMVEDPSTDTVVSWSGGRNSFIVWDSHKFSTTLLPKHFKHSNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKHLLKTIKRKRHLSQTTQQQGGGACIELGQFEFEGELERLKRDRNVLMAEIVRLRQQQQQSREHIAAMEDRLRSTERKQQRVMTFLAKALNNPSFIEQFAQRAAQRREIRGVEIGRKRRLTASPSVENLQEVASVALGSSQFVDYMNQDLPTIENEMETLFSAVLDNESSSDIKDPIASSMDTASGGSTLDAVNETIWEELLTDDLVSGEPNEVVVSDEPEVDVEVEDLVAKPVDWSDDFQDLVDQMGYLRSNP >Potri.006G226800.5.v4.1 pep chromosome:Pop_tri_v4:6:23113081:23117410:-1 gene:Potri.006G226800.v4.1 transcript:Potri.006G226800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226800.v4.1 MEGVVVKEEETVTCTGGGASSSSSSSSFSPHPMEGLNEVGPPPFLTKTYEMVEDPSTDTVVSWSGGRNSFIVWDSHKFSTTLLPKHFKHSNFSSFIRQLNTYGFRKVDPDRWEFANEGFLGGQKHLLKTIKRKRHLSQTTQQQGGGACIELGQFEFEGELERLKRDRNVLMAEIVRLRQQQQQSREHIAAMEDRLRSTERKQQRVMTFLAKALNNPSFIEQFAQRAAQRREIRGVEIGRKRRLTASPSVENLQEVASVALGSSQFVDYMNQDLPTIENEMETLFSAVLDNESSSDIKDPIASSMDTASGGSTLDAVNETIWEELLTDDLVSGEPNEVVVSDEPEVDVEVEDLVAKPVDWSDDFQDLVDQMGYLRSNP >Potri.003G133800.1.v4.1 pep chromosome:Pop_tri_v4:3:15190164:15193881:-1 gene:Potri.003G133800.v4.1 transcript:Potri.003G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133800.v4.1 MLSLSQQPILTPSIPKLRVFNRPRNSSLFAARNNRIFESNSKLPKHKWRVSCFRNEEISQVNSGSDSVERYVPEELVKPELDNSTNVKRDWISSLREAANVVLRAFGSSWTVPWTAETIVQVMLLWVVSFWFIGSWLIPFAAHIAGFNKESLTFRGQALFSLVTDVTEGLAGIAILHCCLSRFHPLSSDWFRFRLKGNWLFDVALGCLMFPLVNRLSQFNLSLLPILPSTPVTLSSVEQSIAARDPVAMVLYAIVVSVCAPVWEEIVFRGFLLPSLTRYMPVWCAILVSSVAFALVHFNVQRMLPLIFLGVVMGVIFARSRNLLPSMLLHSLWNGFVFLDLMK >Potri.013G035800.7.v4.1 pep chromosome:Pop_tri_v4:13:2334700:2349554:1 gene:Potri.013G035800.v4.1 transcript:Potri.013G035800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035800.v4.1 MASNVPPGAPRQPPPPSYNPNYQQNPNILSDNFQNLNLNRPSSMPNSAPRPSPFGQPPPFPSSAPSPQFSRPGAPPPGVVPRPSVPPSGLPPSTFPPNVTPGRPTGPPFSQPQPFSQTQPFSQPQPFSQPQPFSQTQPFGSRPPPGSFPSSASSGLAMGPVSGAPPQGSLVPPLGSRPSPAAPSSSPLSMPPSSSFGGLMSNGPPAPAFQSAPRFPSPVSAPQQPPMGPPPTVGLARAPPQSMRHLMGSSGISGQPVAPFSAPPQGTPFSAQHGMAPPPVAQPPPIPGSAQPPRMFGMPPPLPNQMTAISPVMGQTGSPLSGASKIDPNQIPRPIPGSSVILHDTRAGNQANPPPPATSDYIVSDTGNCSPRYMRCTINQIPCTVDLLSTSGMPLALLVQPLALPHPSEDPVQVVDFGESGPVRCSRCKGYINPFMKFIDQGRQFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMHAIQTGATAAACSSINQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIHDVYTPLQTDVIVPVSECRQHLELLLDSIPTMFQNSRIVESAFSAAIKAAFLAMKNTGGKLLVFQSVLPSVGIGALSAREAEGRSNISAGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDVFITTQTYVDIASISVIPKTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQEYHGNFCKRIPTDIDLAAIDCDKTIMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTNLSLPCTNNLSNLFRLADLDSQFVCFLKQAASEIPSNPPLVIRDRVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLKLDGRIDDRSFWINYVSSVSTPLAIPLVHPRMIAIHDLDSQEAIGSLIPPALPLSSEYVNDNGVYLLENGQDVSIYIGNSVNPDILQKLFGISSVAEIPTQYVLEQYDNSLSKKLNDVVNEIRRQRCSFLRLKLCKKGDPSGMTFFSYLVEDKVPAGTLSYVEFLVQVHRQIQVKMSS >Potri.013G035800.9.v4.1 pep chromosome:Pop_tri_v4:13:2334628:2349842:1 gene:Potri.013G035800.v4.1 transcript:Potri.013G035800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035800.v4.1 MASNVPPGAPRQPPPPSYNPNYQQNPNILSDNFQNLNLNRPSSMPNSAPRPSPFGQPPPFPSSAPSPQFSRPGAPPPGVVPRPSVPPSGLPPSTFPPNVTPGRPTGPPFSQPQPFSQTQPFSQPQPFSQPQPFSQTQPFGSRPPPGSFPSSASSGLAMGPVSGAPPQGSLVPPLGSRPSPAAPSSSPLSMPPSSSFGGLMSNGPPAPAFQSAPRFPSPVSAPQQPPMGPPPTVGLARAPPQSMRHLMGSSGISGQPVAPFSAPPQGTPFSAQHGMAPPPVGSPFAPQMQPQSVAQPPPIPGSAQPPRMFGMPPPLPNQMTAISPVMGQTGSPLSGASKIDPNQIPRPIPGSSVILHDTRAGNQANPPPPATSDYIVSDTGNCSPRYMRCTINQIPCTVDLLSTSGMPLALLVQPLALPHPSEDPVQVVDFGESGPVRCSRCKGYINPFMKFIDQGRQFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMHAIQTGATAAACSSINQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIHDVYTPLQTDVIVPVSECRQHLELLLDSIPTMFQNSRIVESAFSAAIKAAFLAMKNTGGKLLVFQSVLPSVGIGALSAREAEGRSNISAGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDVFITTQTYVDIASISVIPKTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQEYHGNFCKRIPTDIDLAAIDCDKTIMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTNLSLPCTNNLSNLFRLADLDSQFVCFLKQAASEIPSNPPLVIRDRVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLKLDGRIDDRSFWINYVSSVSTPLAIPLVHPRMIAIHDLDSQEAIGSLIPPALPLSSEYVNDNGVYLLENGQDVSIYIGNSVNPDILQKLFGISSVAEIPTQYVLEQYDNSLSKKLNDVVNEIRRQRCSFLRLKLCKKGDPSGMTFFSYLVEDKVPAGTLSYVEFLVQVHRQIQVKMSS >Potri.013G035800.8.v4.1 pep chromosome:Pop_tri_v4:13:2334650:2349822:1 gene:Potri.013G035800.v4.1 transcript:Potri.013G035800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035800.v4.1 MASNVPPGAPRQPPPPSYNPNYQQNPNILSDNFQNLNLNRPSSMPNSAPRPSPFGQPPPFPSSAPSPQFSRPGAPPPGVVPRPSVPPSGLPPSTFPPNVTPGRPTGPPFSQPQPFSQTQPFSQPQPFSQPQPFSQTQPFGSRPPPGSFPSSASSGLAMGPVSGAPPQGSLVPPLGSRPSPAAPSSSPLSMPPSSSFGGLMSNGPPAPAFQSAPRFPSPVSAPQQPPMGPPPTVGLARAPPQSMRHLMGSSGISGQPVAPFSAPPQGTPFSAQHGMAPPPVGSPFAPQMQPQSVAQPPPIPGSAQPPRMFGMPPPLPNQMTAISPVMGQTGSPLSGASKIDPNQIPRPIPGSSVILHDTRAGNQANPPPPATSDYIVSDTGNCSPRYMRCTINQIPCTVDLLSTSGMPLALLVQPLALPHPSEDPVQVVDFGESGPVRCSRCKGYINPFMKFIDQGRQFICNLCGFTDETPRDYHCNLGPDGRRRDADERPELCRGTVEFVATKEYMVRDPMPAVYFFLIDVSMHAIQTGATAAACSSINQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIHDVYTPLQTDVIVPVSECRQHLELLLDSIPTMFQNSRIVESAFSAAIKAAFLAMKNTGGKLLVFQSVLPSVGIGALSAREAEGRSNISAGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDVFITTQTYVDIASISVIPKTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQVQEYHGNFCKRIPTDIDLAAIDCDKTIMVTLKHDDKLQDGSECAFQCALLYTTVYGQRRIRVTNLSLPCTNNLSNLFRLADLDSQFVCFLKQAASEIPSNPPLVIRDRVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLKLDGRIDDRSFWINYVSSVSTPLAIPLVHPRMIAIHDLDSQEAIGSLIPPALPLSSEYVNDNGVYLLENGQDVSIYIGNSVNPDILQKLFGISSVAEIPTQYVLEQYDNSLSKKLNDVVNEIRRQRCSFLRLKLCKKGDPSGMTFFSYLVEDKVPAGTLSYVEFLVQVHRQIQVKMSS >Potri.004G146100.1.v4.1 pep chromosome:Pop_tri_v4:4:16861098:16863093:-1 gene:Potri.004G146100.v4.1 transcript:Potri.004G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146100.v4.1 MGEVDPAFIQDLEHRPKLEIIIAEGIPLIDLSIICSRNTNLDNCQALDDLVKEIGNACKNLGFFQVINHGVPLDKRQKIENASRQFFGQPLEEKRKVRRDGRKVLGYSDTEHTKNVRDWKEVFDFAVKTPTIVPSSYEPDDKEVTEWFHDQWPEYPLELREALEEYAKDVEKLAFKLLGLVALSLGLPENRFHGFFEDQISFLRLNHYSPCPVPQLALGVGRHKDGGALTILAQDDVGGLEVKRKTDGEWIRVKPTPDAFIINVGDIIQVWSNDAYESVEHRVMVNSERERFSIPFFFNPAHYTDVKPLEELTNEQNPVRYKPYNWGKFFVTRKRGNFKKLDVENIQIYHFRIPESELADKLEGALSIK >Potri.010G146400.2.v4.1 pep chromosome:Pop_tri_v4:10:15754580:15757873:1 gene:Potri.010G146400.v4.1 transcript:Potri.010G146400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146400.v4.1 MDQTRIENLILVTRDRNGIATITINRPKSLNSLTRPMMVRLARQINALGKDESVRVIILTGSGRAFCSGVDLTAAEKVFKGDVKDVETDTVAQMELCKKPIIGAINGFAVTAGFEIALACDILIAAKGAKFMDTHSRFGIFPSWGLSQKLSRIIGANRAREASLTAMPISAEQAERWGLVNHVVEEGEVLRKAQEVAEAIVTKNQDLVLRYKAVINDGLKLDLGHALSLEKERAHAYYSGMSKDQFQKMQEFIAGRSSKKPSKL >Potri.005G023500.1.v4.1 pep chromosome:Pop_tri_v4:5:1492792:1494561:-1 gene:Potri.005G023500.v4.1 transcript:Potri.005G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023500.v4.1 MGIDLKRGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSRFNAVILKRLFMSKINKAPLSLSRLITFMKGKEDKIAVLVGTVTDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPFVRAKGRKFEKARGKRNSRGFRV >Potri.006G106000.1.v4.1 pep chromosome:Pop_tri_v4:6:8166665:8175990:-1 gene:Potri.006G106000.v4.1 transcript:Potri.006G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106000.v4.1 MASSYLSFASKLSFLHNGNYKNDAVGRNLLFDNPNSYKWNRKFSDSCFSKTRCSGVGVTRAASTTEAVVDNNRVSKEDSRVLRVGLVCGGPSAERGISLNSARSVLDHIEGDDLQVSCYYIDYDMNAFAISSAQVYSNTPADFDFKLESLAKGFSSLDEFAEHLAASVDIVFPVIHGRFGEDGGIQELLERHNVPFVGTGSRECRKAFDKYDASLELSKQGFITVPSFLVQGSEIEECELSKWFTSNQLDPNSGKVVVKPARAGSSIGVTVAYGVSDSLKKANDLISEGIDDKILVEIFLEGGSEFTAIVLDVGSGFDCHPVVLLPTEVEIQFHGSVDVREEDAIFNYRRKYLPTQQVAYHTPPRFPLKVIENIREGASILFRQLGLRDFARIDGWFLPNSMHALSSSAGKFGRTELGTIIYNDINLISGMEQTSFLFQQASKVGFSHSNILRSVIHRACLRFPNLASHNEVSAHLPRRSKSLPFDEAFNKREGIRKIFVLFGGDTSERQVSLMSGTNVWLNLLAFDELDVTPCLLAPSDDHSDDGSRVVWSLPYSLVLRHTTEEVLDACIEAIEPAQAALTSHLRNQVMNDLMECLKKHSWFTGFDIADEQPVRYSLEKWIKLAKEVQATVFIAVHGGIGEDGTLQSLLESEGVPHTGPGAAASKTCMDKVATSLALSHLADLGVLTINKDVRRKEDLLNMPALEIWDELISKLQCETLCVKPARDGCSTGVARLCCVEDLAVYIKALKDCLLRIPPDSFSKSHGMIEMPSPPPERLIFEPFIETDEIVVSSKSGGEKAQGLVWKGNSRWVEITVGVIGTLGSMRSLSPSVTVKETGDILSLEEKFQGGTGINLTPPPASIVSNEALERCKHRIELIANTLQLEGFSRIDAFLNVDSGEVLIIEVNTVPGMTPSTVLIHQALAEQPPMYPHKFFRTLLDLASERII >Potri.006G106000.3.v4.1 pep chromosome:Pop_tri_v4:6:8166644:8175840:-1 gene:Potri.006G106000.v4.1 transcript:Potri.006G106000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106000.v4.1 MASSYLSFASKLSFLHNGNYKNDAVGRNLLFDNPNSYKWNRKFSDSCFSKTRCSGVGVTRAASTTEAVVDNNRVSKEDSRVLRVGLVCGGPSAERGISLNSARSVLDHIEGDDLQVSCYYIDYDMNAFAISSAQVYSNTPADFDFKLESLAKGFSSLDEFAEHLAASVDIVFPVIHGRFGEDGGIQELLERHNVPFVGTGSRECRKAFDKYDASLELSKQGFITVPSFLVQGSEIEECELSKWFTSNQLDPNSGKVVVKPARAGSSIGVTVAYGVSDSLKKANDLISEGIDDKILVEIFLEGGSEFTAIVLDVGSGFDCHPVVLLPTEVEIQFHGSVDVREEDAIFNYRRKYLPTQQVAYHTPPRFPLKVIENIREGASILFRQLGLRDFARIDGWFLPNSMHALSSSAGKFGRTELGTIIYNDINLISGMEQTSFLFQQASKVGFSHSNILRSVIHRACLRFPNLASHNEVSAHLPRRSKSLPFDEAFNKREGIRKIFVLFGGDTSERQVSLMSGTNVWLNLLAFDELDVTPCLLAPSDDHSDDGSRVVWSLPYSLVLRHTTEEVLDACIEAIEPAQAALTSHLRNQVMNDLMECLKKHSWFTGFDIADEQPVRYSLEKWIKLAKEVQATVFIAVHGGIGEDGTLQSLLESEGVPHTGPGAAASKTCMDKVATSLALSHLADLGVLTINKDVRRKEDLLNMPALEIWDELISKLQCETLCVKPARDGCSTGVARLCCVEDLAVYIKALKDCLLRIPPDSFSKSNILFFLCNVQSHGMIEMPSPPPERLIFEPFIETDEIVVSSKSGGEKAQGLVWKGNSRWVEITVGVIGTLGSMRSLSPSVTVKETGDILSLEEKFQGGTGINLTPPPASIVSNEALERCKHRIELIANTLQLEGFSRIDAFLNVDSGEVLIIEVNTVPGMTPSTVLIHQALAEQPPMYPHKFFRTLLDLASERII >Potri.009G136800.1.v4.1 pep chromosome:Pop_tri_v4:9:11021477:11023791:1 gene:Potri.009G136800.v4.1 transcript:Potri.009G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136800.v4.1 MIVCVAVVGHQNNPLYIQSFTEADDALKLHHIVHCSLDVVDERVNNPKKSGLTLNETFLGLLYPTENYKVYGYLTNTKVKFILVTTDLDVRDADVRNFFRRFHAAYVDAVSNPFHVPGKKITSRTFAERVSNIVKSFGLSSAG >Potri.019G014300.1.v4.1 pep chromosome:Pop_tri_v4:19:1849286:1853977:1 gene:Potri.019G014300.v4.1 transcript:Potri.019G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014300.v4.1 MLRALASRFLLISYLAILVTASDNDFANCNCDDEGFWSIHNIMEAQRVSDVFIAIAYFSIPIELLWFISCSNFPFKWVLLQFIAFIVLCGLTHLINAWTYYGPHSFQLILSLTIAKFLTALVSCATAITLLTLIPLLLKWKVRELFLKQNVLELDQEVGMMKKQKEASWHVRMLTQEIRKSLDKHTILYTTLVELSQTLDLHNCAVWMPNENRTEFHLTHELKGNSKIYRRSIPVNDPDVLEIQGSKGVKVLRPDSALGASSGRELEESGAVAAIRMPMLQVSNFKGGTPELVDTCYAILVLVLPSMSCRGWSPEELEIVEVVADQVAVALSHAAVLEESKVMREKLSEQNRALQQARNNAMMASQARNSFQKVMSHGMRRPMHSILGLLSMFQNDNMGFEQRIVIDTLVKTSNVLSTLINDVMDISAEDNTGRFPLGMRPFRLRSMIKEACCLAKCLCVYKGFDFELDVQSSLPDLVIGDERRAFQVILHMVGYLLNIYDGGGNVIFRVFSESDSEGKTDRMLGMWKSNAPDEFVCIKFDMEIREGSSLSDGASSTTNSSGRRQNSAEAKEGLGFIMCKRLVQMMQGNIWISLNPLGFAQSMTLVLRFQIRPSYGRATFASGLSSEQPSSIPQFRGLRVILADDDALNRTVTKKLLEKLGCEVTAVSSGFECLSALSSAENSFRLVVLDIQMPEMDGFEVATRIRKIRSRSWPLIIAVTSSAEDNVWERCLQMGMNGMIRKPVLLQGMADELQRVLQRPGEG >Potri.008G051700.2.v4.1 pep chromosome:Pop_tri_v4:8:3035141:3040438:-1 gene:Potri.008G051700.v4.1 transcript:Potri.008G051700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051700.v4.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRISETDSRAEPQTIKINQPDPSASGGQAAQKSACCGS >Potri.008G051700.6.v4.1 pep chromosome:Pop_tri_v4:8:3035129:3040387:-1 gene:Potri.008G051700.v4.1 transcript:Potri.008G051700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051700.v4.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRISETDSRAEPQTIKINQPDPSASGGQAAQKSACCGS >Potri.017G094300.2.v4.1 pep chromosome:Pop_tri_v4:17:10688811:10691582:1 gene:Potri.017G094300.v4.1 transcript:Potri.017G094300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094300.v4.1 MTDEKPILALESSRSSASDSSSRSSEVENDIPASPFIQSKQADVGLAQGPILPADVESSQGSVFPAEVPSHNPVINIQVLPPPSPQLHVMGQPGGYDPNRIPSSIFAKPSNPAEWSVASNDSLFSIYMGNGSFSRDHAFMLYKSGELPRFDETGNASTSLSPVIEVENNDRKNEDISIDIKVKEEESTDSEEEESESTAEIRPTKEVPGATEKISNCQEKMHLVEEIRVSFSSSNRSFQFPLLDATSGRSSSFNEVMKKHSSEKHLEQESQPAETPGTTQKAEGNSWFPCFSCCSHGC >Potri.003G191200.2.v4.1 pep chromosome:Pop_tri_v4:3:19457203:19459421:1 gene:Potri.003G191200.v4.1 transcript:Potri.003G191200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191200.v4.1 MDIFQMERSGYGSKRFPKLVVSFLIAFCLLYMVSMVYRSSTFVLIGEVAKDNGTGEVAENVTTPSGTESEDKHPSGTESMVVDKLLGGLLATGFDEESCISRYQATSYRKTSPHKPSAYLVSKLRKYEDLHKRCGPNTESYKRALKKLSSSHINGTTDCNYIVWTPSNGLGNRIISMASSFLYAVLTNRVLLVDHGTDMAGIFCEPFPNTSWLLPMDFPLTNQFYSLQPGNAHSYGHLLKINNMNISTVSQPPSFLHIYLAYNYDKHDKLFFQDQNQGFLQKVPWLILKSDQYFVPYLFLIPSFQQELGKLFPDKETVFHHLVRYLFHPSNQAWGLITRFYRAYLASADQKIGLQVRVFDRKASPVNVVLEQILGCIKKEKLLPQVDEQKPIASPSKNQTLRAITIASLYPEYYERIKSMYWMKPTVNGDVIGVYQPSHEEVQHFGNNIHNMKAWAEISILSLSDILVTSSWSTFGYVAQGLGGLKPWILYVPAGNQPTDQPCPRGMSMEPCFHFPPDYHRIPNTRQRLDTGSLVPHVRQCEDASKGIKLFNAKQL >Potri.010G119800.2.v4.1 pep chromosome:Pop_tri_v4:10:13821899:13822646:-1 gene:Potri.010G119800.v4.1 transcript:Potri.010G119800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119800.v4.1 MNAEWVALLLIQKFQALLLDGEATVTNPNLRNQVQEATNNLNLLHQSLKVSRNKEQAKRLLHAFYSAEDAADTFLVRTLLLQRQKLRGYNETICQPLRGFKDFWIQFLVTFQIKKSMSLIEDGTIQNPRNEGTRRVSSSYLEAGKDVVDLGDQAKDLEEHLICTNEKIQAATHEIFIIS >Potri.016G046350.1.v4.1 pep chromosome:Pop_tri_v4:16:2971683:2972988:1 gene:Potri.016G046350.v4.1 transcript:Potri.016G046350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046350.v4.1 MKGMKETAANVAASATAGMEKTKATVQEKVEKMSAHDPVQKEMAREKKQERMTQAELNKQDAKQHNAASKHAASATGGTGGYMTSGGHDTHTHSATGVTGYPMGTHQMSAMPGHGTGQPYGGQVEEGVARTHPGGLPGDTTGHNTRAGDAAFGTGTGGTGYTTGTGTGSNAPGWQL >Potri.014G075500.1.v4.1 pep chromosome:Pop_tri_v4:14:4877521:4881719:-1 gene:Potri.014G075500.v4.1 transcript:Potri.014G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075500.v4.1 MEPDDEIYANGGADVSNNRKRPIISSEQLDIEAYAGLYTGRTKITRLLFIADRCGQNNNTAMKMEALRMAYEEIKKGENTQLFRDVVQKIDGRLGSNYGMDSAWCEMVDRRADQRKEKLENELNAYRTNLIKESIRMGYNDFGDYYYAHGYLADAFKSYARTRDYCTTSKHVIHTCMSAILVSIEMGQFTHVTSYVSKAEQTAEALEPPTVSKLRCAAGLAHLEAKKYKLAARKFLEVSPELGNSYNEVIAPQDVATYGGLCALASFDRTELKSKVIDNPNFRNFLELVPEVRELIHDFYSSHYASCLDYLGNLKANLMLDIHLHDHVQTLYDQIRNKALIQYTHPFVSVDLHMMANAFKTTVAGLGKELEALIADNQIQARIDSHNKILYARHADQRNATFQRVLQTGNEFDRDVRAMLLRANLIKHEYKASRKL >Potri.004G173500.1.v4.1 pep chromosome:Pop_tri_v4:4:18876403:18880010:1 gene:Potri.004G173500.v4.1 transcript:Potri.004G173500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173500.v4.1 MVRDCPAWVVQPKCLLLFFVLLIFLIFAFTSPKQDEVKEEEQEYEITHRVYLDVDIDEQRQGRIVIGLYGKVVSKTAENFRALCTGEKGKGASGKPLHYKGTPFHRIISGFMIQGGDIVYGDGRGSDSIYGSIFPDENFKIKHSHAGVVSMVNSGPNSNGSQFFITTIKTSWLDGEHVVFGKVIQGMDTVYAIEGGAGTYSGKPRKKVIIADSGEIPKDKWDEET >Potri.004G173500.3.v4.1 pep chromosome:Pop_tri_v4:4:18876407:18879946:1 gene:Potri.004G173500.v4.1 transcript:Potri.004G173500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173500.v4.1 MVRDCPAWVVQPKCLLLFFVLLIFLIFAFTSPKQDEVKEEEQEYEITHRVYLDVDIDEQRQGRIVIGLYGKVVSKTAENFRALCTGEKGKGASGKPLHYKGTPFHRIISGFMIQGGDIVYGDGRGSDSIYGSIFPDENFKIKHSHAGVVSMVNSGPNSNGSQFFITTIKTSWNFASSMMHETKSIPDLY >Potri.010G145000.1.v4.1 pep chromosome:Pop_tri_v4:10:15683514:15687542:-1 gene:Potri.010G145000.v4.1 transcript:Potri.010G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145000.v4.1 MHLFSPSSSSSTSKATFTLFSPSQNPNNNSKLYFKVHTKTRTTIPCSSKESPPVLDPKPLSLESSRTSELSNRESNVVIESKRDSLEGMLVVRRPEMEVPGEDSGESSEKQSDDDNTKSLTIIDVGLEEFAKKMPIFEPERVGSSGSGPQEKPLVVNLDLALYKAKVLARRFKYKEAEEILRKCISYWPEDGKPYVALGRILGKQSKSEAARAVYEKGCQATQGENPYVWQCWAVLENKMGNIRRARELFDAATVADKRHVAAWHGWAILELKQGNVKKARQLLAKGLKFCGGNEYVYQTLALLEAKANRYKQAQYLFRQATKCNPKSCASWLAWAQLEMQQENNLVARKLFEKAVQASPKNRFAWHVWGVFEVNIGNIEKARKLLTIGHALNPRDAVLLQSLALLEYRHSTANLARVLFRKASELDPRHQPVWIAWGWMEWKEGNISTARELYQKALSINTTTESAARCLQAWGVLEQRAGNLSAARRLFRSSLNINSQSYVTWMTWAQLEDDQGNSVRAEEIRNLYFQQRTEVVDDVPWVTGFLDILDPAVDSIKKLLNMDQDPYKKAQEALRNISRGKEKGVDDNSAGNPSSNSFGNDKEGRGSGLDLDSFIKERLSLDATKFDVNLETSAANPAPWRGKSPRSIWKPVKSNRKNVAKF >Potri.016G003400.4.v4.1 pep chromosome:Pop_tri_v4:16:172836:176009:1 gene:Potri.016G003400.v4.1 transcript:Potri.016G003400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003400.v4.1 MTKSDLVNNLGTIARSGTKEFMEAVTAGADVSMIGQFGVGFYSAYLVADKVVVTSKHNDDEQYVWESQAGGSFTITRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGNVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYDKFYEAFSKNLKLGIHEDSQNKSKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMIDAIDEYAVGQLKEFEGKKLVSATKEGLKIDETEDEKKKKEELKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWSANMERIMKAQALRDSSMGGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETAMLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDSADADTDMPPLEDADDAEGSKMEEVD >Potri.016G003400.1.v4.1 pep chromosome:Pop_tri_v4:16:172741:176125:1 gene:Potri.016G003400.v4.1 transcript:Potri.016G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003400.v4.1 MADTETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFDSLTDKSKLDAQPELFIHIIPDKATNTLTIVDSGIGMTKSDLVNNLGTIARSGTKEFMEAVTAGADVSMIGQFGVGFYSAYLVADKVVVTSKHNDDEQYVWESQAGGSFTITRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEGNVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYDKFYEAFSKNLKLGIHEDSQNKSKIAELLRYHSTKSGDEMTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMIDAIDEYAVGQLKEFEGKKLVSATKEGLKIDETEDEKKKKEELKEKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWSANMERIMKAQALRDSSMGGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETAMLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDSADADTDMPPLEDADDAEGSKMEEVD >Potri.006G105400.1.v4.1 pep chromosome:Pop_tri_v4:6:8101036:8105180:-1 gene:Potri.006G105400.v4.1 transcript:Potri.006G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105400.v4.1 MKIQKTSQTNANNFFDLLSEEIIFTILDFTNTNPFDRKSFSLVCKSFYITESKHRKNLKPLRQEHLPRILNRYPNVNHLDLSLCLRLNNSSLTVISNICKDSLNSIDLSRSRSFSYNGLMSLALNCKNLVSIDLSNATELRDAAAAAVAEAKNLERLWLVRCKLITDTGIGCIAVGCKKLRLISLKWCIGVSDLGVGLIAVKCKEIRSLDLSYLPITNKCLPSILKLQYLEHIALEGCFGIDDDSLAALKHGCKSLKALDMSSCQNISHVGLSSLTSGAEGLQQLTLGYGSPVTLALANSLRSLSILQSVKLDGCPVTSAGLKAIGNWCISLSELSLSKCLGVTDEGLSSLVTKHKDLKKLDITCCRKITDVSIAYITSSCTNLTSLRMESCTLVPSEAFVFIGQQCQFLEELDLTDNEIDDKGLKSISKCSKLSSLKIGICLNISDKGLSHIGMKCSKLADLDLYRSAGITDLGILAICRGCSGLEMINMSYCMDITDSSLLALSKCSRLNTFESRGCPLITSSGLAAIAVGCKQLNKLDIKKCHNIGDAVMLQLARFSQNLRQITLSYSSVTDVGLLALASISCLQSMTVLHLKGLTPSGLSAALLACGGLTKVKLHVSFKSLLPQPLFEHLEARCCVFEWRDKEFQAELDPKCYKLQWEDIAQ >Potri.018G092600.3.v4.1 pep chromosome:Pop_tri_v4:18:11327842:11329726:1 gene:Potri.018G092600.v4.1 transcript:Potri.018G092600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092600.v4.1 MSLTDVPRLTEMNWGIPIQKFSGLNNLKQMQLTLDVFDEEGLLYSRSLIEASPSLHRLSLQLRPRPKEMFGEMTWRSIGGQKHQSLKVVEIVGFTDLTCDLELALDLVKYAMSLQKLIIDPRQLFWLEEESSSNEDTRELEAARNRQIVPKTCKQNYLHELNWKYFNLSWFFFSYVFIKLGNSKSCLI >Potri.018G092600.2.v4.1 pep chromosome:Pop_tri_v4:18:11327842:11329726:1 gene:Potri.018G092600.v4.1 transcript:Potri.018G092600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092600.v4.1 MSLTDVPRLTEVSVGGPYCDHLLKDMLSDFSSYLCQLEELSLCRGFKAFDDYLNFLEFQMNWGIPIQKFSGLNNLKQMQLTLDVFDEEGLLYSRSLIEASPSLHRLSLQLRPRPKEMFGEMTWRSIGGQKHQSLKVVEIVGFTDLTCDLELALDLVKYAMSLQKLIIDPRQLFWLEEESSSNEDTRELEAARNRQIVPKTCKQNYLHELNWKYFNLSWFFFSYVFIKLGNSKSCLI >Potri.001G221100.2.v4.1 pep chromosome:Pop_tri_v4:1:23085505:23087414:-1 gene:Potri.001G221100.v4.1 transcript:Potri.001G221100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221100.v4.1 MGSRMPIAIITFTLVIFLYACTHAGAQSVTFVVKNNCPYTVWPGTLTAAGRPTISSTGFTLATGASSSLSVPATWSGRLWARTQCSTDSSGKFVCATADCASGVIECNGAGAIPPASLAEFTLRGDGGKDFYDISLVDGFNIPISITPQGGSTGCPSTSCAANVNAVCDPSLAVKGADGTVIACKSACLAFNQPQYCCTGDHNTADTCPPTQYSMTFKQQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.001G221100.3.v4.1 pep chromosome:Pop_tri_v4:1:23085713:23087294:-1 gene:Potri.001G221100.v4.1 transcript:Potri.001G221100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221100.v4.1 MGSRMPIAIITFTLVIFLYGAQSVTFVVKNNCPYTVWPGTLTAAGRPTISSTGFTLATGASSSLSVPATWSGRLWARTQCSTDSSGKFVCATADCASGVIECNGAGAIPPASLAEFTLRGDGGKDFYDISLVDGFNIPISITPQGGSTGCPSTSCAANVNAVCDPSLAVKGADGTVIACKSACLAFNQPQYCCTGDHNTADTCPPTQYSMTFKQQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.016G133200.1.v4.1 pep chromosome:Pop_tri_v4:16:13742791:13743682:-1 gene:Potri.016G133200.v4.1 transcript:Potri.016G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G133200.v4.1 MLRSATSSVDHPQKALEMKQDDKFFSRLMSKENSMANPSFRVYYGGVSVAVPFVWESQPGTPKYTFCEKTLPPLTPPPSYYTNSNKKPTKNHSRSNLLRFLFPRNNLRKTNVSSSATNLSTSPSSASWSSLTSSSNLRSLSTPRKYHERSGFSSRGSSFDSRVFDDFEESHNMGSSPSSKLCFGISGRSTSTGGLRGCYGVW >Potri.006G035200.1.v4.1 pep chromosome:Pop_tri_v4:6:2219580:2222501:-1 gene:Potri.006G035200.v4.1 transcript:Potri.006G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035200.v4.1 MASRVQQHQQEVTGEALAGVNKNVKKNAAAGEGKNRRVLGDIGNLVTVRGMEGKPQPSRPITRSFCAQLLANAQAAAALENNKKQVCVDVEKVPAAGVDGVDAVGRKVAVKKPAQKKVTVKPKPEEVVVISPDSEEVVKQEKPEKKKKEGEGSTKKNKPTLTSVLTARSKAACGIANKLKEQIIDIDAADVNNDLAGVEYVEDIYKFYKLVENESRPNNYMDMQPEINEKMRAILVDWLVDVHQKFQLSPETFYLTINIIDRFLSVKTVPRRELQLVGIGATLMASKYEEIWAPEVNDLVCVSDRAYSHEQILVMEKTILANLEWTLTVPTHYVFLARFIKASIPEKEVENMVNFIAELGMMHYDTTMFCPSMVAASAVYVARCTLNKTPFWTDTLKKHTGFSEPQLKDCAGLLVYFHSKASEHRLQTVYRKYSKPERGAVALLPPAKNLLPGGLRL >Potri.005G040500.1.v4.1 pep chromosome:Pop_tri_v4:5:2634607:2636884:1 gene:Potri.005G040500.v4.1 transcript:Potri.005G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040500.v4.1 MAKKKVIHQTQDPEQQNPRDQNQNLTTQHQHQQPSMEDPDDKFQSLKTVNDLLVKEAKQRRQQVESLVKAKEALETELALYCKEKSELESELGKISDGRVSLEIEKALFCVFIETRMVEMGSFVDGLVREKRGKDNEIGALESEVKGLVMNVETERDRLSRVYRERDLLKSDVDNWMKGADGLKDSVVELEKMEREGEEEIEKLYKQYALLDKEMKDGEKEIEELQRLRGLAENNLVEKVNEIEDLKREIGRIEKERNEIAGEKSEQKVKIGELERKAGELDEIVSSLQKEKGVLSGKAMELEKSLGLALEKENAMVREIDGLMEEKKEKERTIVRLMEEKDDDCKYKIMAYAEIEDKKGLIEELLREKNEIEEVKVIKEGEIVKLHEEVGQLRGDIFSMQESIKDREDKNKQVVSEASHYKDAFEKVRLERDTAQKSLGEERKNAMNLRSKVLEMEKRVEETVEERAKMKNEHESLVSQKKEMESQVATLEKEKDLLQKHFTEAERKIDELRTKIESAGTNYDRALAMLKNTAALLCESNNVKEDMIVTEKMLNGEIEPYASKLEVIKTAFSNKQTVVEEMKQQLEFLQNSVAKADKKNSLLSLLSSATAVVAAAVSLAYVARLR >Potri.015G074400.1.v4.1 pep chromosome:Pop_tri_v4:15:10026322:10031358:-1 gene:Potri.015G074400.v4.1 transcript:Potri.015G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074400.v4.1 MKDSSDGFVRADQIDLKSLDEQLERHLNRVLTLENKNKRDDDVVVFDAANLSSTPSSTKVTPFKKKRQEWEIDPTLLAIKTVIARGTFGTVHRGVYDSQDVAVKLLDWGEEGQRTEAEIAALRAAFTQEVAVWHKLDHPNVTKFIGATMGLADLQIQTANGQIGMPNNICCVVVEYLAGGALKSYLIKNRRRKLAFKVVVELALDLARGLNYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARIEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYSDLSFSEVTSAVVRQNLRPEIPRCCPSSLANVMKRCWDANPDKRPEMDEVVSMLEAIDVSKGGGMIPPDQQGGCFCFRRHRGP >Potri.005G131100.2.v4.1 pep chromosome:Pop_tri_v4:5:10106829:10108883:1 gene:Potri.005G131100.v4.1 transcript:Potri.005G131100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131100.v4.1 MGLPLIPVSLTVRNKLIILSSLGLFVYSLLSDFFIPGYPLYSLFVNIIQSIFLFFYSCSSFTLFTANRNINSLMLKKGSRRASSSRGARIFSEDNSVHKFSRIKNTNLLEDDDDIYNTRNRQDQFLTNSNSSSPYNDRSSSSPMDKSPWSSQTNVNVPFEDNDDNSSPNVLMGSLVREEGHIYSLATSGDLLYTGSDSKNIRVWRNQKEFAGFKSNSGLVKAIVISGERIFTGHQDGKIRVWKVSSKDPSVHKRVGTLPTMKDYIKNSMKTGNYFEVRRHKNSVWFRHYDAISCLSLSEDKTFLYSSSWDKTFKVWRISNSRCIESVIAHDDSVNSIVAGYDGLVFTGSADGTVKVWRRELQGKGTKHFFSQTLLKQECAVTAVAVNPDTTVVYCGSSDGLVNFWGRENHLSHGGVLRGHKLAVLCLITAGNLVLSGSADMGICVWRRMGIDHTCLSLLTGHNGPVKCLAAERDDESKSNGRRWILYSGSLDKSVKMWRVSENSPPMAWKGCASSAPIAIPSSLPAAPSFPAQSRVNDVQN >Potri.004G089200.1.v4.1 pep chromosome:Pop_tri_v4:4:7586182:7587061:-1 gene:Potri.004G089200.v4.1 transcript:Potri.004G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G089200.v4.1 MADERPELERIFKRFDLNGDGQISAAELGDCVKTLGSVTAEEIKRMMAEIDTDGDGFISFQEFLDFAKANSGLIKDVAKIF >Potri.008G220700.1.v4.1 pep chromosome:Pop_tri_v4:8:18339932:18342394:1 gene:Potri.008G220700.v4.1 transcript:Potri.008G220700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220700.v4.1 MMVDIKGGRVGVGEEDMSDGMQCSDHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIRGSSSSSSSPSFRSDIGVGSSSNGGAGTSLSFAVRPTTTKCRNDGGNNSHYQEYYTRRARIPFLLAKKKKKIMVSSSSDRDIVFKRSKSTTTPRRGHFLNSATDDGENFSPRRRGFWSFLYLSSSKSSTSTRKTEKMSSLAATTQPPPAAAATTNGSMVRPKEKCLGSSLSKKGDNIVVVEDDDDSPNSQATASATSFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPVTVGTSHMKGRVRCGGIFGGFIITSSSSSSSSSYWVSSSAEDMNGKSPGAGPLAHGRSRSWGWAFASPMRALGSKPSSKDGKRDINRNTTPNLSGIPSLLAVRG >Potri.003G150300.1.v4.1 pep chromosome:Pop_tri_v4:3:16349149:16350324:-1 gene:Potri.003G150300.v4.1 transcript:Potri.003G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150300.v4.1 MGKLVLVFSLVVLGLAVTCKAATYMVGDNSGWDISTDIDTWAQDKTFAVGDVLMFQYSSSHSVDEVKKEDFDSCNTTNVLRTFTTGNTTVSLTNPGTRYFVCGNKLHCLGGMKLQVNVASNQADSPTGAPQTHPGGNISQPSSKSNNPASVIPTSAGSVYGGRDSIVMAFLGFMATLSWAVQV >Potri.015G129200.2.v4.1 pep chromosome:Pop_tri_v4:15:14007913:14019143:-1 gene:Potri.015G129200.v4.1 transcript:Potri.015G129200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129200.v4.1 MSTTNHHHEVPSSSSSLKTMPFPSPSTLNSSSSSSPSSFKLRKIPPIPIRRIQKPEAPLNDTESINNDDGNDTDCGDKNDDKEKLEKRNYKADENSPIIMASALGLNHIRTRSLPIPSPLRFSSSAATPSYLGNAQVNNNNDDEVKTGVDTRLNGSSFEQGKKISWGEAKPFRAHSQLNPAMESNHAAFFAKEIQSPRFQAILRVTSGRMKKSPDIKSFSHELNSKGVRAFPVWKSRAFGHMEEVMVAIRMKFIRLKEEVDSDLGIFAGDLVGILEKTSDTHPKWRESLEDLLVVARQCAKMSSNEFWVKGEGIVQNLDDKRQELPMGIVKQAHTRLLFILTRCTRLVQFQKESGCDEDKILGIHQCSDLGVYREQIIEIAQQDFSGPLVGWKTAGEKQRKKSCGIEQDTQVTKQDQVDQSLEVGTAKSVDSTASSFKMSSWRKLPSAAEKNRKDTDVVQTPFKDKSEPLLDRDDYSENLETPDHPPSPGTKRVSWGLWVDQHNVAYENSMICRICEVEIPIVHVEEHSLICTIADRCDLKGFTVNERLERVAETLEKILRSWSQKTTPKSTPKRCDTPRVSPEVERVPTSGIHEVSDGLSPKRNNFSSCCSEEMLDVVPDSFVMEDINVFPEISCEARSTQTPDADKITSSRESLTPRSPLLTPRTSQIGLLLSGQRTITELEGCHQISKLLDIARSVASLNDNDYSALESMLDCLEDLKYSVQDRKVDALIVETFGRRIEKLLLEKYVHLCTQIDDEKSDPSNQMADEDSSEENDAVRSLRTSPVNMSSKDRTSIDDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLRKADMICKNAVESILEERKILISVCNPFVVRFFYSFTCSENLYLVMEYLNGGDLYSLLRNLGCLDEDMARMYIAEVVLALEYLHSSNVIHRDLKPDNLLINQDGHIKLTDFGLSKVGLINSTDDLSVPLVSSSGFLDDDELKGQSSSKREERQKHSVAGTPDYLAPEILLGMGHGATADWWSVGIILFELLVGIPPFNADTPQKIFDNVMNRDIPWPRVPEEMSFDACDLIDKLLTENPLQRLGAIGAREVKNHSFFRDINWETLARQKAMFIPSGEAHDTSYFLSRYIWNPEGENVHGGSDFEDLTDTCSSGSFSNTHDDDVDGCGTMAGFGAPTLDVKYSFSNFSFKNLSQLASINHDLVVKSTKEAADASKPPVQ >Potri.012G104400.1.v4.1 pep chromosome:Pop_tri_v4:12:12644909:12647220:-1 gene:Potri.012G104400.v4.1 transcript:Potri.012G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104400.v4.1 MKIPENDPITLSNDLLHSLLNHHIPLIQSFKGKWGLIKSKLADLQTQLTDFSEFQTSLTNPLSLDLLHSISQTLTDAILSAEKCQDTNLTEGKLKTQSDIDSILAKLNQNVKDCEILIKSGVLQDGIVSGSGSKRELVRAESRNLTTRLQIGSPESKNLAMDSVLSLIQEDDKNVMIAVAQGIVPVLVRLLDCNSCLDIKEKTVAAISIISMVDSSKHVLIAEGLLLLNQLIRILESGSGFAKEKACIALQTLSFSRENARAIGSRGGICSLLEICQAGTPSSQGLASGVLRNLAVFEETRENFIEENAVFVLIGLAASGTALAQENAIGCLCNLVKDDENLKLLIVKEGVIECLRNYWDSCPPMRSPEVAVELLRELASSQAIAEGLVSDGFIVRLVAVLNLGVSGVRIAAARAVSELSCNTKTRKEMGELGCIGPLIKMLDGKAVEEKEAAAKALSLLVLYAGNRRIFRKSEGGIVSTVQLLDTSIQNLDKKYPVSILASLVHSKKCRKQMIAAGASVHLKKLVDMNVEGSKKLLDGLGRGKIWGVFARP >Potri.006G170300.1.v4.1 pep chromosome:Pop_tri_v4:6:17212725:17214639:-1 gene:Potri.006G170300.v4.1 transcript:Potri.006G170300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170300.v4.1 MALSLSRYASPYRERLTSILKERDQKEREMSVVMATMTGHPLPEDVVIEILSRLPVKNLLQFKCVCKSWYAIITSPNFISKHLRNYYSKSDDSDCLLVQYCVTQAGELESLELLLDETPKVLSYASLGNMPFHSPFLCGPCDGIFYMYRDYYDFRAFWNPAVNEFKFLPPLPNPPSNFSYSPQYDAYGFGLHPVTKDYEVVVMKDYWREKQEERGGCRYPLRVFVYSSSTGSWRHWGDLSRYYYLQNNKCYICMNGVFFWLGSYEIFGDPEKVIISFDMATETCQEIQLPDCGKSHNCQCLATYQDSLAILDVHEKFLHMWTLNERCWVKNFSIGPLPEISYPIGHWKNSKLILVSDSGELILCDPSTQEISGLGLTRWVRCVGVFAYKESLVLVNNGNGCERHNQKARIDSDFVIRSRNARNEECFLMESYYDGISSLFD >Potri.012G034200.1.v4.1 pep chromosome:Pop_tri_v4:12:3080022:3084796:1 gene:Potri.012G034200.v4.1 transcript:Potri.012G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034200.v4.1 MVAAGENDAGLKKLEYLSLVSKVCSELETHLGFGDKILAEFITELGRSCDTVDEFDAKLKENGAEMPDYFVRTLLTIIHAILPPKAEKEVKKDTEGDGSGKDSKFKALSIQDSRDRVKEIDKELEIEAKEKSRRENEERHRERDTEDKHGRTDRRDGDRDRYRDRERERDRYDRDDRRRDRERRRDGYDREDGEGERERRNVRHGYDREDGGGERERRNVRHGYDREDGEGERERRNVRQGYGGGNSNELELYGVYKGRVSRVMDTGCFVQLSDFRGKEGLVHVSQIATRRLGNAKDAVKRDQEVYVKVISILGNKLSLSMRDVDQDSGKDLLPLKKRDEEDGFRSNALGSSKEGPVTRTGLSGIRIVEEEEDTGPSRRPLKRMSSPEKWEAKQLIASGVLSVQEHPMYDDEVDGFLYQEEGVEEELEIEMNEDEPAFLQGQTRYSVDVSPVKIFKNPEGSLSRAAALQSALIKERREVRDQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKALTFGQRSKLSIQEQRQSLPIYKLKKELIQAIHENQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLMREILIDENLSQYSVIMLDEAHERTINTDVLFGLLKKLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEIMYTKQPESDYLDASLITVLQIHLTEPEGDVLLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFEPVPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRGGRTGPGKCYRLYTESAYRNEMSPTSVPEIQRVNLGFTTLTMKAMGINDLLSFDFMDPPSPQALISALEQLYSLGALDEEGLLTKLGRKMAEFPLEPPLSKMLLASVDLGCTDEILTIISMITTGNIFYRPREKQALADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFSKIRKAITAGFFFHVARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREGTVVDPKWLVELAPRFFKVADPTKMSKRKRQERVEPLYDRYHEPNSWRLSKRRA >Potri.016G048300.1.v4.1 pep chromosome:Pop_tri_v4:16:3108203:3110368:1 gene:Potri.016G048300.v4.1 transcript:Potri.016G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048300.v4.1 MTHFGKFKIFKWVSSNFVGSNKTPLSSMVSLFNIAENPRFYSTKRIVQTENGQSISPVSRTEAQAALLEYLHFTRNIQFTDAENMSKNSPHFLEKLLAKVDIDANIGQSITRYLCFHPINEFEPFFESLGLKPHDYNPLLPRDLMFLCDDDLLLENYHVLCNYGIARSKIGKIYKEAAEVFGYDYGVLVLKLKAYEELGLGQSFMMKLVVCSPYLLIGEVNADFVKVLEILRKEGVDISRIEEHLSEKSSYDWSKLLALLNLFRHAGYNEEQLGGLISRHLGIFFEDSVDRIYLLIGFLLKFGSTMNQICSMFLQFPQMEFEEFFSNLRHCFLFLKEIQMEAHEIRNIFHSHPLMLGSCRLKKPNTLRLALHAADKRMCEVIQESPQVLKKWVMGSKVERLQNLILKSRMQKTKFLLDLGIVDDSNEIGKALKVFRGSGAKIQERFDCIVEAGLSRKDVCEMIKASPQILNQTKDVLEMKIDFLVNKVGYPVSYLVTFPSYLNYTMERVELRLAMYNWLRDQGKSVPMLSLSTVISLSDKKFINEYVNSHRRGPEIWQNLKKEIYAE >Potri.015G064900.1.v4.1 pep chromosome:Pop_tri_v4:15:9045004:9045749:1 gene:Potri.015G064900.v4.1 transcript:Potri.015G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064900.v4.1 MGENEGAEKKEKHEKGDDEKEEKGEEKTKDRKKKDKESKDKKDKEDGEKGKKNKNPEDKKDPAKLRAKLQKLDAKMEALSKKREDILKLLQEVEINVTEASGEAV >Potri.005G050600.1.v4.1 pep chromosome:Pop_tri_v4:5:3200855:3203112:1 gene:Potri.005G050600.v4.1 transcript:Potri.005G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050600.v4.1 MAETTVSSTTTATTTPPPLPLKIIAGADAFGCSLKDTLVSHLRSLNIEVEDLGTSSYYSIAAEVGRLVSAASTTPSSPTPEIRGLVACGTGVGVSIFANKFPGVFAATCLSTADAANSRSINNSNVLAVSGMATSPDSAIEILDTWLKTPFKSPCPASNSAPWGGEIESFLDNSLSEMPVIGAKLSDPKQQEEGSINTSACALCCLVKNRKLDPIEIIPGGAMKIVRESPTSAIVSFKAGSVEPAHHHTFGHDLVVLKGSKRVWNMSKKAKYDLVVGDYLFTPAGDVHRVKYFEDTEFFIKWEGKWDIFFDEEMEVAKSEIEKEAEDGFELVK >Potri.003G025702.1.v4.1 pep chromosome:Pop_tri_v4:3:2713717:2714139:1 gene:Potri.003G025702.v4.1 transcript:Potri.003G025702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025702.v4.1 MQPCVGRSCCGAGFAAGGRRRADLWCWPDEGDRRSEETVGTALWYAAGWLKEMKQRAGLLLCSVVRGRKVTVGSVGLNLEEWLLRGKGTKNPEGGGPAALAGSGEFFFCQGREGKEMALGRGECGAPGDELKICVDEEGE >Potri.002G139700.3.v4.1 pep chromosome:Pop_tri_v4:2:10466778:10471803:-1 gene:Potri.002G139700.v4.1 transcript:Potri.002G139700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139700.v4.1 MPPRAVKRGAAAGKKRTLRKVLSQQHPEAAEDVLNLEVKPTVVDEKPVVVEEEKSVFVEDKLVIDEKKDREGEIEANLVANGPASLKKEEEGKESVDEYEKDERLDFDDNEPEYEPEEYGGVDYDDKEIEQEDVQEVEDEVEEENEEENVGEEEQGDLVEEELEEDPEEHEGEEDDEHAGEEVEHAEMADVEEEDEHHEVFKERRKRKEFEVFVGGLDKDATEDDLRKIFSRVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVENVKDLTLVEDSNNAGMNRGFAFLEFSSRSDAMDAFKRLQKRDVLFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLPASWDEDRVRVLLKKYGEIEKIELARNMPSARRKDFGFVTFDTHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHLSRGDFRPGYGASRVVKGPWVRPVPHSYSTRPVRGIATRAPPFSLKSSTGLRERRPPVMSMPARSRPLAPPSRSFERRPPPPSYAKSLKREYGRRDEPPPSRSRPAVDYDPRSIPERRPSYRDEYSSRGTSYADLPRSTSRMSARRAYADDGYSQRYERPPPNYREGHGRDYDSVAGSKRPYPAMDDIPPRYADAGVRHSRPRLDYELGSSASQYGDAYGDRLGRSAAGYGGSRSSISSQDSHGMYSSRQGSYGGNDGGMYSSSYGGDYMSRSGDVGGSSYSSMYSSRGGMGSSSSYMGTGSSGSYY >Potri.002G139700.2.v4.1 pep chromosome:Pop_tri_v4:2:10466639:10471817:-1 gene:Potri.002G139700.v4.1 transcript:Potri.002G139700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139700.v4.1 MPPRAVKRGAAAGKKRTLRKVLSQQHPEAAEDVLNLEVKPTVVDEKPVVVEEEKSVFVEDKLVIDEKKDREGEIEANLVANGPASLKKEEEGKESVDEYEKDERLDFDDNEPEYEPEEYGGVDYDDKEIEQEDVQEVEDEVEEENEEENVGEEEQGDLVEEELEEDPEEHEGEEDDEHAGEEVEHAEMADVEEEDEHHEVFKERRKRKEFEVFVGGLDKDATEDDLRKIFSRVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVENVKDLTLVEDSNNAGMNRGFAFLEFSSRSDAMDAFKRLQKRDVLFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLPASWDEDRVRVLLKKYGEIEKIELARNMPSARRKDFGFVTFDTHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHLSRGDFRPGYGASRVVKGPWVRPVPHSYSTRPVRGIATRAPPFSLKSSTGLRERRPPVMSMPARSRPLAPPSRSFERRPPPPSYAKSLKREYGRRDEPPPSRSRPAVDYDPRSIPERRPSYRDEYSSRGTSYADLPRSTSRMSARRAYADDGYSQRYERPPPNYREGHGRDYDSVAGSKRPYPAMDDIPPRYADAGVRHSRPRLDYELGSSASQYGDAYGDRLGRSAAGYGGSRSSISSQDSHGMYSSRQGMGYGGSYGGNDGGMYSSSYGGDYMSRSGDVGGSSYSSMYSSRGGMGSSSSYMGTGSSGSYY >Potri.002G139700.4.v4.1 pep chromosome:Pop_tri_v4:2:10466769:10471711:-1 gene:Potri.002G139700.v4.1 transcript:Potri.002G139700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139700.v4.1 MPPRAVKRGAAAGKKRTLRKVLSQQHPEAAEDVLNLEVKPTVVDEKPVVVEEEKSVFVEDKLVIDEKKDREEEEEGKESVDEYEKDERLDFDDNEPEYEPEEYGGVDYDDKEIEQEDVQEVEDEVEEENEEENVGEEEQGDLVEEELEEDPEEHEGEEDDEHAGEEVEHAEMADVEEEDEHHEVFKERRKRKEFEVFVGGLDKDATEDDLRKIFSRVGEVTEVRLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVENVKDLTLVEDSNNAGMNRGFAFLEFSSRSDAMDAFKRLQKRDVLFGVDRPAKVSFADSFIDPGDEIMAQVKTVFIDGLPASWDEDRVRVLLKKYGEIEKIELARNMPSARRKDFGFVTFDTHDAAVTCAKSINNAELGEGDNKAKVRARLSRPLQRGKGKHLSRGDFRPGYGASRVVKGPWVRPVPHSYSTRPVRGIATRAPPFSLKSSTGLRERRPPVMSMPARSRPLAPPSRSFERRPPPPSYAKSLKREYGRRDEPPPSRSRPAVDYDPRSIPERRPSYRDEYSSRGTSYADLPRSTSRMSARRAYADDGYSQRYERPPPNYREGHGRDYDSVAGSKRPYPAMDDIPPRYADAGVRHSRPRLDYELGSSASQYGDAYGDRLGRSAAGYGGSRSSISSQDSHGMYSSRQGMGYGGSYGGNDGGMYSSSYGGDYMSRSGDVGGSSYSSMYSSRGGMGSSSSYMGTGSSGSYY >Potri.005G024700.1.v4.1 pep chromosome:Pop_tri_v4:5:1555273:1561433:-1 gene:Potri.005G024700.v4.1 transcript:Potri.005G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024700.v4.1 MGLGNSGNDVIVDDGGGGGGGGLNGKSFGGSVSCSICLEVVTDNGDRSWAKLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYANGCRSLPEFTMDDWAHDEDLYDLSYSEMSFGVHWCPFGSLARLPSSFEEGEFPSNAYHDLLGHAIFAEHTAAVSSATHQCPYIAYFGPIHPSSSNASVSVSDGSSFNNHWNGPSVPSEIPSSYAFPAMDIHYHSWEHHSPPFSTTGNRIGNADQPSVPPVTQRSARTSSDLPPRSGSLMHPFLVGHSSSARAGSSVASSMIPPYQGSNARARDRVQALQAYYQQQQPGNSPPIHTPVVSGSRRSSSHRGLPQVGTVASTSDQTGFYFIPSGASSRNFQEAENPPPTRFRSWESHLPPFSVSQVDRDSGRSTFHQAGGGSDPSIRSGSFRQRHGSERMSSQNR >Potri.008G057666.1.v4.1 pep chromosome:Pop_tri_v4:8:3406112:3406673:-1 gene:Potri.008G057666.v4.1 transcript:Potri.008G057666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057666.v4.1 MNLPFDSFAVECSRVGNNNITLSCKIACERLADFDG >Potri.001G365432.1.v4.1 pep chromosome:Pop_tri_v4:1:38200720:38201274:-1 gene:Potri.001G365432.v4.1 transcript:Potri.001G365432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365432.v4.1 MEQLQIPSSLVLLPSLLFIFMVLRMLKKSKTKDLTPNLPPGPRKLPVIGNLHQLFGSLPHHHLRDLAEKHGPIMHLQLGQVQTIVISSPETAEQVMKVHDINFVHRPHLLVGQIIFYNCTDIVNAAYGDYWRQLRKISILELLSAKRVQSFRSIREEEVSSLIGSISSSAGSIVNLSRMLFSVA >Potri.001G280900.2.v4.1 pep chromosome:Pop_tri_v4:1:29464727:29465598:1 gene:Potri.001G280900.v4.1 transcript:Potri.001G280900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280900.v4.1 MEEQLYHTIASTLKPLQTANHISGNSETTEDLRRLCVIFEHVEKLLTLAVSLHRKFMQAPCLSEAIFTDYHNFYLPRMGTGSTGLLDVDEKAIMCDMESLWNGKILTISYRLEVDAYT >Potri.001G226904.1.v4.1 pep chromosome:Pop_tri_v4:1:24568087:24568605:1 gene:Potri.001G226904.v4.1 transcript:Potri.001G226904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226904.v4.1 MPTEAAPLDEELKLFLMKPGFALLKYFPSQIQARKVMTVLDVISSHSPDEECIGEQMEPSWEENPSLGLPLKGLMQD >Potri.001G110400.1.v4.1 pep chromosome:Pop_tri_v4:1:8891115:8893794:-1 gene:Potri.001G110400.v4.1 transcript:Potri.001G110400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110400.v4.1 MAGGAFAPTSGGKEYPGKFTFRVFFTCLFAATGGLIFGYDLGISGGVTSMDVFLKDFFPDVYQKESSVKPSDDQYCKFDSQILTLFTSSLYLAALVSSIGASMATRTYGRRPTMMTSGLLFAAGAIVNGLAKNVVMLIVGRLLLGFGIGCANQSVPIYLSEVAPYKYRGALNMMFQLFITIGILIANSLNYAFARLIGGDMAWRLSLGGAIVPGLIILLGSCFLPDTPNSEIERGNYERAKDLLLKLRDVDNVDEEFNDLVEASEKAKLVKHAWLNIFKRKYRPQLVFAFCIPMFQQLTGMNVIVFYAPVLFKTIGFGSNASLLSSLITGFVNMVATFVSIFTVDKLGRRKLFLMGGTQMLICQVVITIAIAMKFGVSGNPGVISGTYAGAVVAFICVYVAGFAWSWGPLGWLVPSEIFPLEVRSAAQSINVAVNMIFTFVIAQIFTAMLCHLKFGLFICFAVCVVIMSIFIYKLLPETKGVPIEEMTIVWRNHPHWSKYFDEDDAKFETSKAKDIASA >Potri.014G183500.3.v4.1 pep chromosome:Pop_tri_v4:14:14646268:14648330:-1 gene:Potri.014G183500.v4.1 transcript:Potri.014G183500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G183500.v4.1 MVVLPYTVAFLLLSCLQTVKIANSQSFISINYGQVADNLPPPPSTAKLLQSTSIQKVRLYGSDPAIIKALANTRIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNEVMTSNDQNLMNKLLPAMQNVQNALDDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINTYPYFAYRSDTRPETLAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALSSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTFGPGSERSSGSQTQSLAATPQLPPTAATNTSTSTTTSNNNSTTSTSTSTGTGTSTSTSSSTNTISISSGSNKVYLIRIFNLSLLYGFMGLSLICLFFYDLQT >Potri.014G183500.2.v4.1 pep chromosome:Pop_tri_v4:14:14646968:14648330:-1 gene:Potri.014G183500.v4.1 transcript:Potri.014G183500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G183500.v4.1 MVVLPYTVAFLLLSCLQTVKIANSQSFISINYGQVADNLPPPPSTAKLLQSTSIQKVRLYGSDPAIIKALANTRIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNEVMTSNDQNLMNKLLPAMQNVQNALDDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINTYPYFAYRSDTRPETLAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALSSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTFGPGSERSSGSQVRTKFTCISLVFRVFNSQVFYS >Potri.004G085500.1.v4.1 pep chromosome:Pop_tri_v4:4:7102197:7110855:1 gene:Potri.004G085500.v4.1 transcript:Potri.004G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085500.v4.1 MTRDMDATEKLIQKISKILEETKTSNATHIRKLKELSLLLFSKRSPNQSPQIPNSFQFASAFCKSLTPLFLFQRRSASAERVVKFVSVFAASTTARDGKENEGAGAAGDGFLEEFLRFLMTASLAANKSVRFRACQIISEIILRLPDDAEVSNELWDVVIESMKLRVADKVPAIRTFAVRALSRFANDTENSDILDLFLEVLPLEQNAEVRKTIVLALPPSNATSPAIIDCTLDMSESVRKAAFCVLANKFPLQSLSIKLRTVILQRGLADRSAAVAKECLKLMRDEWLSKCCNDDPIELLKYLDVETYELVGESVMEALLKDGLIKLHGDRSIRQYILSTFGENGEEPENCSASIQLMEPEFALYWKTVCRHLQTEAQAKGSDAATTMGTEAAVYAAEASDNNDLLERILPATVSDYVVLVGAHIDAGPNYRFASRQLLLLGAMLDFSDSTSRKVASAFVQDLLHRPLDHEVDDEGNKVIIGDGINLGGDKEWAGAVSSLAKKVHAAAGEFEKVFLAVVEELATPCRERTADFMQWMHSLAVTGLLLENAKSLYWLQGKAIEPIELLQSLLLPGAKHAHLDVQRVAIRCLGLFGLLEKKPSEELLKQLRLSFAKGPAPVSIMACKALIDLVMWHGPQEVDRVIGLDHSSNFQGDKMAVDLVDFSKADDNLNVELLDLLYAGFDRNNWGDVETEENETVQAALGEGFAKILLLSENYPSIPAALHPLHLAKLIKLYFSNETKELQRLKQCLSVFFEHYPSLSANHKKHLSKAFILVMRSMWPGIYGNAGGSAVVVSNMRKRAVQASRFMLQMMQAPLYAKPTENGGENCSTQPTETVDGSLQPSFECSDEGLGIRIAAEVASFTTKKTPAERSYVSALCRILDLLHFRVSEQGAIKLMRKLLNRVAGNVSTEKDLVKELKQMAERLKSVDKQPEEELLEDQAKLILGKLEVDINLDVDSPAAMPQTPAPPQSTRPSRTRRQARHEDSSDEEASPTTVVQTAPRTIGSRSQRASKTAALTKMTANLAARIVEIDDEEEGSEMTSEEDSDDSVHHAE >Potri.004G061000.1.v4.1 pep chromosome:Pop_tri_v4:4:5084501:5088921:1 gene:Potri.004G061000.v4.1 transcript:Potri.004G061000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061000.v4.1 MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDSKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVDNAFAEVLNQIYSIVSKKAMETGDNAAASAVPSKGEKIDVNKDVSAMKRVGCCSS >Potri.001G452100.1.v4.1 pep chromosome:Pop_tri_v4:1:47911001:47912749:-1 gene:Potri.001G452100.v4.1 transcript:Potri.001G452100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452100.v4.1 MDSFSSHSSMGSGSPQMSAEDFKDQLKNQLAQAYAQEFLETVRDKCFAKCITKPGSSLSGSESSCISRCVERYIEATGIISKALFNAPR >Potri.007G019900.1.v4.1 pep chromosome:Pop_tri_v4:7:1519414:1520790:-1 gene:Potri.007G019900.v4.1 transcript:Potri.007G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019900.v4.1 MASSSGASSGSTTMLRNSSSEEDLQQVMDLRKRKRMLSNRESARRSRVKKQKHLDDLMGQLGQLSKENNEILKRMNVTSQLYMNIEAENSILRAQMAELSHRLNSLNEIIEYVHFCSGTFERREDAAAPTTGAFGHQLGDDFFMNPWNNADFHLNQPIMDMIMY >Potri.004G174700.6.v4.1 pep chromosome:Pop_tri_v4:4:18996771:19006109:1 gene:Potri.004G174700.v4.1 transcript:Potri.004G174700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174700.v4.1 METRSRKRAEASSSAATTTSPTTRPNKRSRTATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESDKGKEKEHEVRVSRENREINNNLDSGNDNNNLNVDDDDDSEGGGIGAFHHNLTSASSALQGLLRKLGAGLDDLLPSPVTGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPILVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVAQAASLISTSSSGGGQASLNAPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKDILLGSAGSAISSVPPALSRPADQVFEIVNLANELLPPLPQGTISLPTSSSMLAKGSVVKKSPSSSSGKQDDNNGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGASVNSPVRHKCLSVIGKLMYFSNAEMIQSLLNVTNISSFLAGVLAWKDPHVLVPALQIAKIIMEKLPGTFSKMFVREGVVHAVDQLILAGSPNTGPTQAASAEKDNDSVPGSSSRSRRYKRRSGNSNPEANSSEESKTQVCANAGSPPSSIEIPTVNSNLRLAVSACAKDFRDKHFPSDPGAAEVGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASHLIDNSANKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNYFSCGYFTKERISEANLPKLRQQALRRFKSFVALALPSSIDGGGATSMTVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRVQGEKGLRDYSSNVVLIDPLASLAAVEEFLWPRVQRNETGQKVSESAGNSESGTTHPGAGASSPSTSTPATATRRHSSRSRSSVNIGDSARKEPIPEKSTSSSKGKGKAVLKPAQEETKGPQTRNAARRRAALDKDAELKPVNGDSSSEDEELDISPVEIDDALVIEDDDISDDDDHEDVLRDDSLPVCMPDKVHDVKLGDTPEDSNVAPAASDSQSNPASGSSSRAAAVRGLDSTDFRSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAGGKQLNRHLTIYQAIQRQLVLEDDDEDRYGGSDFISSDGSRLWSDIYTIAYQRADGQADRASVGGSSSSTSKSTKGGPSNSNSDAQMHRMSLLDSILQAELPCDLEKSNPTYNILALLRILEALNQLAPRLRVQLLSDNFSEGKISSLNELTATGARVPAEEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALFRLQQLQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMDMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVSLGMWRSNSAAGKPSMEIDGDDEKNGKSNNGSGTAVAADLVQAPLGLFPRPWPPTASASEGSQFYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDFLSFDAEFGKTLQELHALVRRKQYLESISAENNEVNADLCFRGTPIKDLCLDFTLPGYPDYMMKPGDETLQVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDISSLQIFTPQELDYLLCGRRELWELETLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMLNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMHKKLLYAISEGQGSFDLS >Potri.004G174700.2.v4.1 pep chromosome:Pop_tri_v4:4:18996795:19006171:1 gene:Potri.004G174700.v4.1 transcript:Potri.004G174700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174700.v4.1 METRSRKRAEASSSAATTTSPTTRPNKRSRTATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESDKGKEKEHEVRVSRENREINNNLDSGNDNNNLNVDDDDDSEGGGIGAFHHNLTSASSALQGLLRKLGAGLDDLLPSPVTGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPILVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVAQAASLISTSSSGGGQASLNAPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKDILLGSAGSAISSVPPALSRPADQVFEIVNLANELLPPLPQGTISLPTSSSMLAKGSVVKKSPSSSSGKQDDNNGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGASVNSPVRHKCLSVIGKLMYFSNAEMIQSLLNVTNISSFLAGVLAWKDPHVLVPALQIAKIIMEKLPGTFSKMFVREGVVHAVDQLILAGSPNTGPTQAASAEKDNDSVPGSSSRSRRYKRRSGNSNPEANSSEESKTQVCANAGSPPSSIEIPTVNSNLRLAVSACAKDFRDKHFPSDPGAAEVGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASHLIDNSANKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNYFSCGYFTKERISEANLPKLRQQALRRFKSFVALALPSSIDGGGATSMTVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRVQGEKGLRDYSSNVVLIDPLASLAAVEEFLWPRVQRNETGQKVSESAGNSESGTTHPGAGASSPSTSTPATATRRHSSRSRSSVNIGDSARKEPIPEKSTSSSKGKGKAVLKPAQEETKGPQTRNAARRRAALDKDAELKPVNGDSSSEDEELDISPVEIDDALVIEDDDISDDDDHEDVLRDDSLPVCMPDKVHDVKLGDTPEDSNVAPAASDSQSNPASGSSSRAAAVRGLDSTDFRSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAGGKQLNRHLTIYQAIQRQLVLEDDDEDRYGGSDFISSDGSRLWSDIYTIAYQRADGQADRASVGGSSSSTSKSTKGGPSNSNSDAQMHRMSLLDSILQAELPCDLEKSNPTYNILALLRILEALNQLAPRLRVQLLSDNFSEGKISSLNELTATGARVPAEEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALFRLQQLQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMDMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVSLGMWRSNSAAGKPSMEIDGDDEKNGKSNNGSGTAVAADLVQAPLGLFPRPWPPTASASEGSQFYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDFLSFDAEFGKTLQELHALVRRKQYLESISAENNEVNADLCFRGTPIKDLCLDFTLPGYPDYMMKPGDETVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDISSLQIFTPQELDYLLCGRRELWELETLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMLNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMHKKLLYAISEGQGSFDLS >Potri.004G174700.8.v4.1 pep chromosome:Pop_tri_v4:4:18996795:19003695:1 gene:Potri.004G174700.v4.1 transcript:Potri.004G174700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174700.v4.1 METRSRKRAEASSSAATTTSPTTRPNKRSRTATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESDKGKEKEHEVRVSRENREINNNLDSGNDNNNLNVDDDDDSEGGGIGAFHHNLTSASSALQGLLRKLGAGLDDLLPSPVTGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPILVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVAQAASLISTSSSGGGQASLNAPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKDILLGSAGSAISSVPPALSRPADQVFEIVNLANELLPPLPQGTISLPTSSSMLAKGSVVKKSPSSSSGKQDDNNGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGASVNSPVRHKCLSVIGKLMYFSNAEMIQSLLNVTNISSFLAGVLAWKDPHVLVPALQIAKIIMEKLPGTFSKMFVREGVVHAVDQLILAGSPNTGPTQAASAEKDNDSVPGSSSRSRRYKRRSGNSNPEANSSEESKTQVCANAGSPPSSIEIPTVNSNLRLAVSACAKDFRDKHFPSDPGAAEVGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASHLIDNSANKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNYFSCGYFTKERISEANLPKLRQQALRRFKSFVALALPSSIDGGGATSMTVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRVQGEKGLRDYSSNVVLIDPLASLAAVEEFLWPRVQRNETGQKVSESAGNSESGTTHPGAGASSPSTSTPATATRRHSSRSRSSVNIGDSARKEPIPEKSTSSSKGKGKAVLKPAQEETKGPQTRNAARRRAALDKDAELKPVNGDSSSEDEELDISPVEIDDALVIEDDDISDDDDHEDVLRDDSLPVCMPDKVHDVKLGDTPEDSNVAPAASDSQSNPASGSSSRAAAVRGLDSTDFRSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAGGKQLNRHLTIYQAIQRQLVLEDDDEDRYGGSDFISSDGSRLWSDIYTIAYQRADGQADRASVGGSSSSTSKSTKGGPSNSNSDAQMHRMSLLDSILQAELPCDLEKSNPTYNILALLRILEALNQLAPRLRVQLLSDNFSEGKISSLNELTATGARVPAEEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALFRLQQLQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMDMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVSLGMWRSNSAAGKPSMEIDGDDEKNGKSNNGSGTAVAADLVQAPLGLFPRPWPPTASASEGSQFYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQVGYQTIFYCTLAIFCCRTVDLIG >Potri.004G174700.5.v4.1 pep chromosome:Pop_tri_v4:4:18996714:19006207:1 gene:Potri.004G174700.v4.1 transcript:Potri.004G174700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174700.v4.1 METRSRKRAEASSSAATTTSPTTRPNKRSRTATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESDKGKEKEHEVRVSRENREINNNLDSGNDNNNLNVDDDDDSEGGGIGAFHHNLTSASSALQGLLRKLGAGLDDLLPSPVTGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPILVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVAQAASLISTSSSGGGQASLNAPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKDILLGSAGSAISSVPPALSRPADQVFEIVNLANELLPPLPQGTISLPTSSSMLAKGSVVKKSPSSSSGKQDDNNGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGASVNSPVRHKCLSVIGKLMYFSNAEMIQSLLNVTNISSFLAGVLAWKDPHVLVPALQIAKIIMEKLPGTFSKMFVREGVVHAVDQLILAGSPNTGPTQAASAEKDNDSVPGSSSRSRRYKRRSGNSNPEANSSEESKTQVCANAGSPPSSIEIPTVNSNLRLAVSACAKDFRDKHFPSDPGAAEVGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASHLIDNSANKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNYFSCGYFTKERISEANLPKLRQQALRRFKSFVALALPSSIDGGGATSMTVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRVQGEKGLRDYSSNVVLIDPLASLAAVEEFLWPRVQRNETGQKVSESAGNSESGTTHPGAGASSPSTSTPATATRRHSSRSRSSVNIGDSARKEPIPEKSTSSSKGKGKAVLKPAQEETKGPQTRNAARRRAALDKDAELKPVNGDSSSEDEELDISPVEIDDALVIEDDDISDDDDHEDVLRDDSLPVCMPDKVHDVKLGDTPEDSNVAPAASDSQSNPASGSSSRAAAVRGLDSTDFRSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAGGKQLNRHLTIYQAIQRQLVLEDDDEDRYGGSDFISSDGSRLWSDIYTIAYQRADGQADRASVGGSSSSTSKSTKGGPSNSNSDAQMHRMSLLDSILQAELPCDLEKSNPTYNILALLRILEALNQLAPRLRVQLLSDNFSEGKISSLNELTATGARVPAEEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALFRLQQLQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMDMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVSLGMWRSNSAAGKPSMEIDGDDEKNGKSNNGSGTAVAADLVQAPLGLFPRPWPPTASASEGSQFYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDFLSFDAEFGKTLQELHALVRRKQYLESISAENNEVNADLCFRGTPIKDLCLDFTLPGYPDYMMKPGDETLQVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDISSLQIFTPQELDYLLCGRRELWELETLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMLNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMHKKLLYAISEGQGSFDLS >Potri.004G174700.7.v4.1 pep chromosome:Pop_tri_v4:4:18996400:19006166:1 gene:Potri.004G174700.v4.1 transcript:Potri.004G174700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174700.v4.1 METRSRKRAEASSSAATTTSPTTRPNKRSRTATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESDKGKEKEHEVRVSRENREINNNLDSGNDNNNLNVDDDDDSEGGGIGAFHHNLTSASSALQGLLRKLGAGLDDLLPSPVTGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPILVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVAQAASLISTSSSGGGQASLNAPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKDILLGSAGSAISSVPPALSRPADQVFEIVNLANELLPPLPQGTISLPTSSSMLAKGSVVKKSPSSSSGKQDDNNGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGASVNSPVRHKCLSVIGKLMYFSNAEMIQSLLNVTNISSFLAGVLAWKDPHVLVPALQIAKIIMEKLPGTFSKMFVREGVVHAVDQLILAGSPNTGPTQAASAEKDNDSVPGSSSRSRRYKRRSGNSNPEANSSEESKTQVCANAGSPPSSIEIPTVNSNLRLAVSACAKDFRDKHFPSDPGAAEVGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASHLIDNSANKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNYFSCGYFTKERISEANLPKLRQQALRRFKSFVALALPSSIDGGGATSMTVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRVQGEKGLRDYSSNVVLIDPLASLAAVEEFLWPRVQRNETGQKVSESAGNSESGTTHPGAGASSPSTSTPATATRRHSSRSRSSVNIGDSARKEPIPEKSTSSSKGKGKAVLKPAQEETKGPQTRNAARRRAALDKDAELKPVNGDSSSEDEELDISPVEIDDALVIEDDDISDDDDHEDVLRDDSLPVCMPDKVHDVKLGDTPEDSNVAPAASDSQSNPASGSSSRAAAVRGLDSTDFRSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAGGKQLNRHLTIYQAIQRQLVLEDDDEDRYGGSDFISSDGSRLWSDIYTIAYQRADGQADRASVGGSSSSTSKSTKGGPSNSNSDAQMHRMSLLDSILQAELPCDLEKSNPTYNILALLRILEALNQLAPRLRVQLLSDNFSEGKISSLNELTATGARVPAEEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALFRLQQLQGADGHGSTNEREVRVGRLQRQKVRVSRNRILDSAAKVMDMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVSLGMWRSNSAAGKPSMEIDGDDEKNGKSNNGSGTAVAADLVQAPLGLFPRPWPPTASASEGSQFYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDFLSFDAEFGKTLQELHALVRRKQYLESISAENNEVNADLCFRGTPIKDLCLDFTLPGYPDYMMKPGDETVDINNLEEYISLVVDATVKTGIMRQMEAFRAGFNQVFDISSLQIFTPQELDYLLCGRRELWELETLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPEQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMLNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMHKKLLYAISEGQGSFDLS >Potri.013G096300.1.v4.1 pep chromosome:Pop_tri_v4:13:9984963:9986270:1 gene:Potri.013G096300.v4.1 transcript:Potri.013G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096300.v4.1 MYLKVPKTSLPIQETGLDCSSTVVKQLNRTYTKHQENASLKYVVRFAMVVGSVELGVIFIVRCFFIRTHRISSAGTQNHHRITTGFRKFTLSELKKATQGFSKEIGRGAGGVVYRGMLSDHRIAAVKRLHDAYQGEAEFQAEVSTIGKLNHMNLTEMWGYCAEGKHRLLVYKYMEHGSLAEQLSSNSLGWEKRFDIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVSDFGLSRPLKRGGQGNKGFSKIRGTRGYMAPEWVFNLPITSKVDVYSYGMVLLEMISGKCPAEEIENRRLVTWVREKMKQATEMSSWIEMIIDPKLEGKYDKGRMEILFEVALKCVAEDRDERPTMSQVVEMLLHQENDSELV >Potri.005G221600.5.v4.1 pep chromosome:Pop_tri_v4:5:22356518:22360086:-1 gene:Potri.005G221600.v4.1 transcript:Potri.005G221600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221600.v4.1 MNSRRDIRNNRAALFDGIEEGGIRASSSYSSHEIDEQDNERALEGLQDRVILLKRLSGDINEEVDNHNLMLDRMGNDMDSSRGVLSGTMDRFKMVFETKSSRRMFTLVASFVVLFLIIYYLTR >Potri.T125108.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:75469:81277:1 gene:Potri.T125108.v4.1 transcript:Potri.T125108.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125108.v4.1 MARLFSVFSLRNLLVLSLALNVSLILRVLYEKESGVISGLSLDNRREALRTDSMPSEAHATQREHLSMRSSSSSSSTVDSNGRDRVINLDHGDPTMYERYWQQTGDKSTILIPGWQSMSYFSDAGSLCWFLEPEFAKEIFRLHKVVGNAVTEDRYIVVGTGSTQLYQAVLYALSPLDAAEPLSVVSAAPYYSSYPLITDCLKSGLYKWAGDAQSFNKEGPYIELVTSPNNPDGFVRQSVVNKSGGILVHDLAYYWPQYSPIAAAADHDIMLFTVSKSTGHAGMRIGWALVKDEEVAKKMVKYVELNTIGVSKDSQLRAAKVLQVVTDGCKYPPSKEGSLFDFAAHLMEERWKLLRAAVRQSGLFTLPEFPPGSCRFLNISFAPRPAFAWLKCEAPIEDCEAFLRSNNILTRSGIHFGVGPQYVRISMLDRDENYDEFVERLSTIHLRQSMHVDETAE >Potri.T125108.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:75532:81244:1 gene:Potri.T125108.v4.1 transcript:Potri.T125108.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125108.v4.1 MARLFSVFSLRNLLVLSLALNVSLILRVLYEKESGVISGLSLDNRREALRTDSMPSEAHATQREHLSMRSSSSSSSTVDSNGRDRVINLDHGDPTMYERYWQQTGDKSTILIPGWQSMSYFSDAGSLCWFLEPEFAKEIFRLHKVVGNAVTEDRYIVVGTGSTQLYQAVLYALSPLDAAEPLSVVSAAPYYSSYPLITDCLKSGLYKWAGDAQSFNKEGPYIELVTSPNNPDGFVRQSVVNKSGGILVHDLAYYWPQYSPIAAAADHDIMLFTVSKSTGHAGMRIGWALVKDEEVAKKMVKYVELNTIGVSKDSQLRAAKVLQVVTDGCKYPPSKEGSLFDFAAHLMEERWKLLRAAVRQSGLFTLPEFPPGSCRFLNISFAPRPAFAWLKCEAPIEDCEAFLRSNNILTRSGIHFGVGPQYVRISMLDRDENYDEFVERLSTIHLRQSMHVDETAE >Potri.016G027800.2.v4.1 pep chromosome:Pop_tri_v4:16:1546080:1547995:-1 gene:Potri.016G027800.v4.1 transcript:Potri.016G027800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G027800.v4.1 MANLLFNKLMESAKAIEGGNLDLAESLLEEIRHLVSTEPNIATRKVVKNFAEALVRRVNGLHPRYPLPLVPSSNLEDFYNYVFYPFYWFSYFTTTHAIHAFRTGNKPLHVIDFSVMLYTSLWHDLMRDLANQPGGLPSFRLTSIVPKLSRNFDYLQQIRSKLTRAAERLRVDFELRQVVANNAKEIIECCVSKIRRTREDEMLVVRWSFSLHKLLSQEGALEQVLSKVKDLKPDIMIIVEQEANHNGSDFMDRFVKSFQYYSTIFHSLEEDNFYRDVDGMELWKRNFRRQIGNVVACEGIDRVERHESLYQWQERLLHAGFHPMQQWWKNDRHTLQLKYRIQEKDGHPMLYRHNCPLLVTSVWKHSDPSQFSDGSITLQDVTMFPLAEKSDLMTSSESVDEQADDREDSMWSPRVAF >Potri.005G188500.2.v4.1 pep chromosome:Pop_tri_v4:5:19612947:19616458:1 gene:Potri.005G188500.v4.1 transcript:Potri.005G188500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188500.v4.1 MINSIGSPTHTSASHEDRNYASDIDHQPVRFVHTISEAGRLLPSASQWNSIELDFHLAPQSNTTYDSLPSRYSKSFDYELVITDKKYFKRFVYVSILIVFVVLAIVLLVQFLPHKHKHHGKSKNITLALNQALMFFDAQKSGNYPSNSPVKFRGSSGLQDGNTSNPPADLKGGFYDSGKNIKFSFPTAYTITLLSWTVIEYHDKYDSIGELDHVKDIIRWGSDYLLKIFDPPNSTTAPIIIYSQVGSNHTNGYNDVTCWQRPEDMNYTRPVSVCNETASDLAGEIMAALSAASIVFKDDTGYSIKLIQSAEKLFEVATKNDTGHHQGTYTAVEDCGGEARMYYDSSGYQDELIWGGTWLFFATGNTSYLGYATSNFSAAEGEETASELGVFYWNNKLTANAVLLTRLRYFHDLGYPYEVGLGSSSNKIDLLICSYLSREIYSRTPGGLILLRPDHGEPLQFAATASFLGKLYSDYLELLRRSGVSCSSKFYSVEMLREFSISQVNYILGDNPMKMSYMVGFGNKYPTHVHHRAASIPWDDQHYSCPEGDRWLYSTDPNPNILYGAMVAGPDKFDNFLDDRDKPWFTEPTIASNAGLVAALIALHDPPYKSSDSNGTNLGIDLTGIFKNLQLVPPGT >Potri.015G143150.1.v4.1 pep chromosome:Pop_tri_v4:15:14867467:14868240:-1 gene:Potri.015G143150.v4.1 transcript:Potri.015G143150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143150.v4.1 MVHGPDPFCGIDGEKQVAGLPSDAYKRKMKMKMAGGLQFVLESFKNQFTPQNNIEIWIYISIVLKYWAQLMRRLQE >Potri.009G138850.1.v4.1 pep chromosome:Pop_tri_v4:9:11136155:11136616:-1 gene:Potri.009G138850.v4.1 transcript:Potri.009G138850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138850.v4.1 CCSGCELSSAVLLYLLFNFLIVVIIFWSYKPCKEELDELWPPLLSSCGDAYVKSKGENESNENAEPSCDVAGDICVNIKGENDNNDNGNGIDNDDEKHSCSDGCNEDDDNNGSAAEIGWQDVDEEIDENLEKRIEDFIAKVNYGWREEMLRDQ >Potri.005G084400.1.v4.1 pep chromosome:Pop_tri_v4:5:5802083:5805377:-1 gene:Potri.005G084400.v4.1 transcript:Potri.005G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084400.v4.1 MLTSMVSLSNTTASTYTAFQCCNHHHKLLQIRASSAAPGVDLKTLESALAKKDSNAVKEALDQLREVGWAKRWSSQPYVSRRTTSLRELTTLGIKNAENLAIPSVRNDAAFLFTVVGTTGFLGVLAGQLPGDWGFFVPYLIGSISLVVLAVGSISPGLLQAAISGFSAVFPDYQERIARHEAAHFLIGYLIGLPILDYSLDIGKEHVNLIDEKLEKLIYSGQLDAKELDRLAVVAMAGLAAEGLQYDKVVGQSADLFTLQRFINRSKPQISKDQQQNLTRWAVLFGGSLIKNNKSLHEALMTAMSNKATVLECIQAIEKAA >Potri.019G093300.1.v4.1 pep chromosome:Pop_tri_v4:19:13050082:13051167:1 gene:Potri.019G093300.v4.1 transcript:Potri.019G093300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093300.v4.1 MATSPLSLVLLSLFLSLSLHAQAQAPAAPAPAPSGPVNFTAVLVKGGQFVTFISLLNKTQTFNQIENQINSSSEGMTIFAPTDNAFSNLKSGALNGLSQQQQVQLLQYHMLPKFYSLSNLLLVSNPVPTQASGQEGVWGLNFTGQSNQVNVSTGLVEVQVNNALRQDFPLAVYPVDKVLLPDELFGVKPPSASPPAPATKGSSSGKSNSSDTAAEPSPGKNSAGGRNVALGLIFGLGFVSMGILS >Potri.010G148450.2.v4.1 pep chromosome:Pop_tri_v4:10:15924564:15925757:-1 gene:Potri.010G148450.v4.1 transcript:Potri.010G148450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148450.v4.1 MIFQEQIRNHRLKPFNLDNRKKTLNPRLDQKESNTGDNPLRALSVNAGEGGFLEGFPWRFASGGYGQLWLWWKQRDLNLVTGMKAVFGGGFSHYKRDKDGFRVFDFW >Potri.010G148450.3.v4.1 pep chromosome:Pop_tri_v4:10:15924564:15925755:-1 gene:Potri.010G148450.v4.1 transcript:Potri.010G148450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148450.v4.1 MIFQEQIRNHRLKPFNLDNRKKTLNPRLDQKESNTGDNPLRALSVNAGEGGFLEGFPWRFASGGYGQLWLWWKQRDLNLVTGMKAVFGGGFSHYKRDKDGFRVFDFW >Potri.006G039500.1.v4.1 pep chromosome:Pop_tri_v4:6:2632771:2637235:-1 gene:Potri.006G039500.v4.1 transcript:Potri.006G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039500.v4.1 MGTLKITKKHHKHLNNPFPSTPRSLPFIQGRLLFNSQTVPPNKIFSVGKDFQLLWSIKNGGSLSIYHQSQPTKALWSTIPGQAFVTAALCETEVEESRGSFAIKDRNVYLVCDHQTIEDIRVISEPDHHFDQENDHDLSSGNMSFAQKNDWKDTQFPALVITGWLFSNRRKKRHQESGIYKDIQFETRGPPTCARYWVLFDQKNNNQIGFQVRVGPPNFEFQQRISPTPLGRHRRLRWKLGKIRRRKLGWYRFFTRSRGFVAVSSSSEEEMEMKSAELTEFNRVCITYSSEGNERFYGFGEQFSHMDFKGKRVPIFVQEQGIGRGDQPITFAANLVSYRAGGDWSTTYAPSPFYMTSKMRSLYLEGYDYSVFDMTRHDRVQIQIQSNSVRGRILNGNSPSEIIENFTETIGRPPELPKWIISGAVVGMQGGTEAVRRVWDELKDHKVPVSAFWLQDWVGQRETMIGSQLWWNWEVDTTRYHGWQQLINDLGAKNINVMTYCNPCLAPTDEKPNQRRNLFEEAKKLDILVKDKYGEPYMVPNTAFDVGMLDLTHPDTAAWFKQVLQEMVDDGVKGWMADFGEGLPVDATLYSGEDPISAHNRYPELWAQINREFVEEWKSGRAGKEREDPEEALVFFMRAGFRDSPKWGMLFWEGDQMVSWQANDGIKSSVVGLLSSGISGYAFNHSDIGGYCAVNLPFIKYHRSEELLMRWMELNAFTTVFRTHEGNKPSCNSQFYSNHKTLSHFARCAKLYKAWYFYRIQLVKEAARKGLPVCRHLFLHYPNDRNVHSLSYQQFLIGTEILVVPVLDKGKKNVKAYFPEGETCSWQHIWSGKLFKEQGSEAWVEAPVGYPPVFIKAGSTVGETFVENLRNFGIL >Potri.001G131600.2.v4.1 pep chromosome:Pop_tri_v4:1:10725688:10727941:1 gene:Potri.001G131600.v4.1 transcript:Potri.001G131600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131600.v4.1 MQQRQRQRHNLITWLPEQVPHQYPKQKIDATGRKVTTKHIAIVEDKKRQEDELKMQAVENARLKDENESLVKEKDTILSPKLELAANVIDQLKSENHDLKRISDHQKIRLDALTEKIASHDELKSLRDEVARLRENVNIQDPRMQEKKQLLEEHLRLANENRLLELQNEFYCRMIQNERHPGN >Potri.001G131600.1.v4.1 pep chromosome:Pop_tri_v4:1:10725688:10727941:1 gene:Potri.001G131600.v4.1 transcript:Potri.001G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131600.v4.1 MNPDHFDNQEAVWEWGWERCIQEPTGDTSFLDAAKATPKAQLDNMAAGTSTTSVPKTEDRRDRKKGYDKAYRDRCREDKKRQEDELKMQAVENARLKDENESLVKEKDTILSPKLELAANVIDQLKSENHDLKRISDHQKIRLDALTEKIASHDELKSLRDEVARLRENVNIQDPRMQEKKQLLEEHLRLANENRLLELQNEFYCRMIQNERHPGN >Potri.007G115300.1.v4.1 pep chromosome:Pop_tri_v4:7:13538911:13542433:1 gene:Potri.007G115300.v4.1 transcript:Potri.007G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115300.v4.1 MDENYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESTSLNLNQLWKRFRGSDTPPESLGASKEYNVDMIPKFIIANGGLVRVLIHTDVTKYLHFKAVDGSFVYNKGKIYKVPATDVEALKSPLMGLFEKRRARKFFIYVQDYEENDPKSHEGLDLTKVTAREVISKYGLEDDTIDFIGHALALHLDDSYLDQPASDFVKRMKLYADSLARFQGGSPYIYPLYGLAELPQSFARLSAVYGGTYMLNKPECKVEFDESGKAIGVTSEGETAKCKKVVCDPSYLPNKVKNVGKVARAICIMSHPIPATSDSHSAQVILPQKQLGRKSDMYLFCCSYAHNVAPQGKFIAFVSAEAETDNPEIELKPGIDLLGPVDEIFYETYDRYVPINTMKDDNCFISTSYDATTHFETTVQDVIAMYSEITGKTLDLSVDLSAASAAAE >Potri.017G013300.3.v4.1 pep chromosome:Pop_tri_v4:17:923812:926910:1 gene:Potri.017G013300.v4.1 transcript:Potri.017G013300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013300.v4.1 MTSTGREILHKMKVKAGLSSSASGDTGKGKSKMSKHITHGYHTVEGKSNHAMEDYVVSDFKQVHDKELGLFAIFDGHLGHDVASYLQTHLFDNILKEHDFWTDTESAIRRAYRATDAEILENAIALGKGGSTAVTAILINGQKLVVANVGDSRAVMCKNGVAKQLSVDHEPSREKGMIESRGGFVSNIPGDVPRVDGQLAVARAFGNKSLKIHLSSEPDMSEQMIHNDIEFLILASDGIWKVMSNQEAVDCIKHIKDAHSAAKNLVEEALSRKSKDDISCIVVRFR >Potri.017G013300.4.v4.1 pep chromosome:Pop_tri_v4:17:923811:926767:1 gene:Potri.017G013300.v4.1 transcript:Potri.017G013300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013300.v4.1 MTSTGREILHKMKVKAGLSSSASGDTGKGKSKMSKHITHGYHTVEGKSNHAMEDYVVSDFKQVHDKELGLFAIFDGHLGHDVASYLQTHLFDNILKEHDFWTDTESAIRRAYRATDAEILENAIALGKGGSTAVTAILINGQKLVVANVGDSRAVMCKNGVAKQLSVDHEPSREKGMIESRGGFVSNIPGDVPRVDGQLAVARAFGNKSLKIHLSSEPDMSEQMIHNDIEFLILASDGIWKVMSNQEAVDCIKHIKDAHSAAKNLVEEALSRKSKDDISCIVVRFR >Potri.005G232800.1.v4.1 pep chromosome:Pop_tri_v4:5:23164898:23166592:1 gene:Potri.005G232800.v4.1 transcript:Potri.005G232800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232800.v4.1 MALARVISTHKPSLNPNTTTLSALNPNNLSFLSNSPPSLASLLHHRRHKRRTASLKCSASSFSEKHHNTNHPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLHTDLRFGVIYSDAVSGTAEVGCVGEIVKHERLVDERFFLICKGQERFRVTNVVRTKPYFVAEVTWLEDRPSGEEDLEALATEVETCMKDVIRLSNRLNEKPEKEAQDLRRNLFPTPFSFFVGNTFEGAPGEQQALLELEDTATRLKREKETLRNTLNYLSAASAVKDVFPSS >Potri.001G369400.2.v4.1 pep chromosome:Pop_tri_v4:1:38699618:38705218:-1 gene:Potri.001G369400.v4.1 transcript:Potri.001G369400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369400.v4.1 MGTTANQDQAIKQLQSIMDQNESMKNTYQNMHQGYPTETLVRFLKARDWNVAKAHKMLVDCLEWRIQNKIDDMLAKPIIPSNLYRAVRDSQLLGLSGYSKEGLPIITIGAGLSTFDKASVHYYVQSHIQINEYRDRVILPTATKKYGRHISTCLKVLDMTGLKLSALNHLKLLTTMSTIDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLQGCGRDELLKIMDYSSLPHFCRKEGSGSSKNTEDGSNCFSPDHAFHQQLYSYIKQQAELLDSISPIKQGSVHVGFPDPDPEDAKIARTIESEFHRLRNLNGLSNSVNGLKVDGN >Potri.001G369400.1.v4.1 pep chromosome:Pop_tri_v4:1:38699519:38705218:-1 gene:Potri.001G369400.v4.1 transcript:Potri.001G369400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369400.v4.1 MGTTANQDQAIKQLQSIMDQIDESMKNTYQNMHQGYPTETLVRFLKARDWNVAKAHKMLVDCLEWRIQNKIDDMLAKPIIPSNLYRAVRDSQLLGLSGYSKEGLPIITIGAGLSTFDKASVHYYVQSHIQINEYRDRVILPTATKKYGRHISTCLKVLDMTGLKLSALNHLKLLTTMSTIDDLNYPEKTETYYIVNAPYIFSACWKVVKPLLQERTRKKIQVLQGCGRDELLKIMDYSSLPHFCRKEGSGSSKNTEDGSNCFSPDHAFHQQLYSYIKQQAELLDSISPIKQGSVHVGFPDPDPEDAKIARTIESEFHRLRNLNGLSNSVNGLKVDGN >Potri.017G086100.1.v4.1 pep chromosome:Pop_tri_v4:17:9883300:9885107:-1 gene:Potri.017G086100.v4.1 transcript:Potri.017G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086100.v4.1 MIRKRTNDRSTNRKQPSSLWKNCNNLLALKQIHATLIIKGFNSNRAALRELIFAGAMTISGAINYAHQVFAQITEPDIFMWNTMMRGSSQSKNPSKVVLLYTQMENRGVKPDKFTFSFLLKGCTRLEWRKTGFCVHGKVLKYGFEVNSFVRNTLIYFHSNCGDLVIARSIFYDLPERSVVSWSALTAGYARRGELGVARQIFDEMPVKDLVSWNVMITGYVKNGEMENARTLFDEAPEKDVVTWNTMIAGYVLRGEQRQALEMFEEMRNVGECPDEVTMLSLLSACADLGDLQVGRKLHCSISEMTRGDLSVLLGNALVDMYAKCGSIEIALQVFKKMREKDVTTWNSVIGGLAFHGHAEESIKLFAEMQALKNIKPNEITFVGVIVACSHAGNVEEGRRYFKLMRERYDIEPNMIHHGCMVDLLGRAGLLSEAFELIAKMEIEPNAIIWRTLLGACRVHGNVELGRLANERLLKLRRDESGDYVLLSNIYASAGEWDGAEEVRKLMDDGGVRKEAGRSLIEADDRAVMQFLFDPKPKLNSRGQVS >Potri.010G138800.1.v4.1 pep chromosome:Pop_tri_v4:10:15291177:15301996:1 gene:Potri.010G138800.v4.1 transcript:Potri.010G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138800.v4.1 MSLSRISRITRNGSRWFLSHQPSSYGFLLSNNSHSSTPKVFSCRETYSWTVPSLHVFKHQIHHQSSSLVEEQLDPFSLVADELSVLANRLRSMVIAEVPKLASAAEYFFKMGVEGKRFRPTVLLLMATALNVRILETTTSGVETTTRGVGDALTTELRKRQQSVAEITEMIHVASLLHDDVLDDADTRRGIGSLNFVMGNKVAVLAGDFLLSRACVALASLKNTEVVTLLATVVEHLVTGETMQMTSTSEQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTTEVAMLAFEYGKNLGLAYQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPILFAMDEFPQLRSVIDWGFDKPENIDVALEYLGKSRGIQRTRELAAKHANLAAAAIDSLPETDDEEVRKSRRALVDLTQRVITRNK >Potri.007G104300.1.v4.1 pep chromosome:Pop_tri_v4:7:12758844:12764916:1 gene:Potri.007G104300.v4.1 transcript:Potri.007G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104300.v4.1 MKGAIFYRLRGRHFSATLLFLTFTTFFFWTWEKNPFATTLWSAQEQFNFHTSEFVVDTLKGSSSDSLTPKKHVEETDSDSTSSGESQIPEKEFDASNFTNSFAPQLEDRDADRTPSSKIEDCNYSKGRWVAESRRPLYSGFECKQWLSEMWACRLTQRTDFSFEGYRWQPDNCKILEFEKSAFLRRMQDKTIAFIGDSLGRQQFQSLMCMATGGEWRADVEDVGKEYGLFKPRGAIRPNGWAYRFSNTNTTILYYWSSTLADLEPLNITDKATDVAMHLDRVPAFMRQFLHQFDVLVLNTGHHWNRGKITANHWVMYVNGKPLKDRRLMAVGNAKNLTVHSVARWLDSQLPSHPRLRAFFRTISPRHFRNGDWNTGGNCDNTTPFTGGSEVSQDESSDPVIAAAVKGTNITLLDITALSDLRDEGHISRYSVKATAGVNDCLHWCLPGIPDTWNELLVAQI >Potri.007G104300.7.v4.1 pep chromosome:Pop_tri_v4:7:12759031:12764873:1 gene:Potri.007G104300.v4.1 transcript:Potri.007G104300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104300.v4.1 MKGAIFYRLRGRHFSATLLFLTFTTFFFWTWEKNPFATTLWSAQEQFNFHTSEFVVDTLKGSSSDSLTPKKHVEETDSDSTSSGESQIPEKEFDASNFTNSFAPQLEDRDADRTPSSKIEDCNYSKGRWVAESRRPLYSGFECKQWLSEMWACRLTQRTDFSFEGYRWQPDNCKILEFEKSAFLRRMQDKTIAFIGDSLGRQQFQSLMCMATGGEWRADVEDVGKEYGLFKPRGAIRPNGWAYRFSNTNTTILYYWSSTLADLEPLNITDKATDVAMHLDRVPAFMRQFLHQFDVLVLNTGHHWNRGKITANHWVMYVNGKPLKDRRLMAVGNAKNLTVHSVARWLDSQLPSHPRLRAFFRTISPRHFRNGDWNTGGNCDNTTPFTGGSEVSQDESSDPVIAAAVKGTNITLLDITALSDLRDEGHISRYSVKATAGVNDCLHWCLPGIPDTWNELLVAQI >Potri.007G104300.3.v4.1 pep chromosome:Pop_tri_v4:7:12758835:12764840:1 gene:Potri.007G104300.v4.1 transcript:Potri.007G104300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104300.v4.1 MKGAIFYRLRGRHFSATLLFLTFTTFFFWTWEKNPFATTLWSAQEQFNFHTSEFVVDTLKGSSSDSLTPKKHVEETDSDSTSSGESQIPEKEFDASNFTNSFAPQLEDRDADRTPSSKIEDCNYSKGRWVAESRRPLYSGFECKQWLSEMWACRLTQRTDFSFEGYRWQPDNCKILEFEKSAFLRRMQDKTIAFIGDSLGRQQFQSLMCMATGGEWRADVEDVGKEYGLFKPRGAIRPNGWAYRFSNTNTTILYYWSSTLADLEPLNITDKATDVAMHLDRVPAFMRQFLHQFDVLVLNTGHHWNRGKITANHWVMYVNGKPLKDRRLMAVGNAKNLTVHSVARWLDSQLPSHPRLRAFFRTISPRHFRNGDWNTGGNCDNTTPFTGGSEVSQDESSDPVIAAAVKGTNITLLDITALSDLRDEGHISRYSVKATAGVNDCLHWCLPGIPDTWNELLVAQI >Potri.009G148400.1.v4.1 pep chromosome:Pop_tri_v4:9:11711716:11713482:-1 gene:Potri.009G148400.v4.1 transcript:Potri.009G148400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148400.v4.1 MANWASAGRSTSNIMRAALRATKKSASPTARVSVSQFSSPIRLPNLTLHCHSRISSSRLVRRELSSLLPVHSAIASACLVSKLPSEVSTSSEGRFANYISPI >Potri.001G202000.1.v4.1 pep chromosome:Pop_tri_v4:1:20231105:20232318:1 gene:Potri.001G202000.v4.1 transcript:Potri.001G202000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G202000.v4.1 MAMMTSRAATRGPPNLSSCSSYNPTRNKLTIMGSSFLNNPCFLLSSNIAKLQKFVPAQASNSQGGGGGGGGGGGEGEETPSLNGTTSGTSQEDWNYLLKLGAGSLAGAAVIKYGSILFPEITRPNILQALIMISTPVVVAVVLLIKLSYQE >Potri.012G116000.4.v4.1 pep chromosome:Pop_tri_v4:12:13382612:13392095:-1 gene:Potri.012G116000.v4.1 transcript:Potri.012G116000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116000.v4.1 MKMEEGMQLIDGNGKFNVEGLKDFMTATEFAQGVLSYAIVAIIGPQSSGKSTLMNHVFGTNFKMLDAYKRRGQTTKGIWIAKCNDMKPFTIAMDFEGTDSNARGEDNTAFERQSALFALAIADIILINMWYKDIGLEHAASRPLLKTAFQVMKRLFKPRKRTLLFVIRDHSKTPFEYLETALKEDIDKIWDSVAEPETSRSVVLSDFLMCVEIAALSSYDFEEENFKEQVARLRQRFISPGGRTDQREAEPASGFFIRAENIWKTIKDNKDLDLPALKVMVATVRCEEIAEEKLRQFTTDDDWLALKEDVQAGPVSGFGATLDSILETYLSQYDMEVIHFDQDVRNAKRRQMESQALEVVRNAYDTMLEHLYSNTLESFKTSLEQLVNGGEGFVASARTCAQSCFLQFDKGCEDAFIRLSGWNVSGVREKISRHMLSEMMAKYVKQFTDVLADEVQSLFEAGEADTWVSVRNLLASKTDVAESELSNAHVDFEVPRSEIDTRLGYLKENARSVVERKARESAATRRVLMRMKDRFAKVFNHDENSKSGAWTTEQNIEEIDRNALSASLKILEIMAAIRLDQTTDQIEHVLFSSLMDGNGAVPASGAPPDLLTSNAWEEVSPNATLLTPVECKSLWMQFKADIKYIMNQATSAQQTLRQAKRAITIVVGVVVVVGAAVVATVGTPTAMGIAARPEVAAVLKAVGPGLAAVMKDIGPEVLATLKDIGPEVAGAVRSLGPQIVTAVIAMMTNGFARPHQQ >Potri.012G116000.5.v4.1 pep chromosome:Pop_tri_v4:12:13382617:13391380:-1 gene:Potri.012G116000.v4.1 transcript:Potri.012G116000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116000.v4.1 MVATVRCEEIAEEKLRQFTTDDDWLALKEDVQAGPVSGFGATLDSILETYLSQYDMEVIHFDQDVRNAKRRQMESQALEVVRNAYDTMLEHLYSNTLESFKTSLEQLVNGGEGFVASARTCAQSCFLQFDKGCEDAFIRLSGWNVSGVREKISRHMLSEMMAKYVKQFTDVLADEVQSLFEAGEADTWVSVRNLLASKTDVAESELSNAHVDFEVPRSEIDTRLGYLKENARSVVERKARESAATRRVLMRMKDRFAKVFNHDENSKSGAWTTEQNIEEIDRNALSASLKILEIMAAIRLDQTTDQIEHVLFSSLMDGNGAVPASGAPPDLLTSNAWEEVSPNATLLTPVECKSLWMQFKADIKYIMNQATSAQQTLRQAKRAITIVVGVVVVVGAAVVATVGTPTAMGIAARPEVAAVLKAVGPGLAAVMKDIGPEVLATLKDIGPEVAGAVRSLGPQIVTAVIAMMTNGFARPHQQ >Potri.012G116000.3.v4.1 pep chromosome:Pop_tri_v4:12:13382612:13392095:-1 gene:Potri.012G116000.v4.1 transcript:Potri.012G116000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116000.v4.1 MKMEEGMQLIDGNGKFNVEGLKDFMTATEFAQGVLSYAIVAIIGPQSSGKSTLMNHVFGTNFKMLDAYKRRGQTTKGIWIAKCNDMKPFTIAMDFEGTDSNARGEWHYFTVSSCDCMHIEGQINLDNTAFERQSALFALAIADIILINMWYKDIGLEHAASRPLLKTAFQVMKRLFKPRKRTLLFVIRDHSKTPFEYLETALKEDIDKIWDSVAEPETSRSVVLSDFLMCVEIAALSSYDFEEENFKEQVARLRQRFISPGGRTDQREAEPASGFFIRAENIWKTIKDNKDLDLPALKVMVATVRCEEIAEEKLRQFTTDDDWLALKEDVQAGPVSGFGATLDSILETYLSQYDMEVIHFDQDVRNAKRRQMESQALEVVRNAYDTMLEHLYSNTLESFKTSLEQLVNGGEGFVASARTCAQSCFLQFDKGCEDAFIRLSGWNVSGVREKISRHMLSEMMAKYVKQFTDVLADEVQSLFEAGEADTWVSVRNLLASKTDVAESELSNAHVDFEVPRSEIDTRLGYLKENARSVVERKARESAATRRVLMRMKDRFAKVFNHDENSKSGAWTTEQNIEEIDRNALSASLKILEIMAAIRLDQTTDQIEHVLFSSLMDGNGAVPASGAPPDLLTSNAWEEVSPNATLLTPVECKSLWMQFKADIKYIMNQATSAQQTLRQAKRAITIVVGVVVVVGAAVVATVGTPTAMGIAARPEVAAVLKAVGPGLAAVMKDIGPEVLATLKDIGPEVAGAVRSLGPQIVTAVIAMMTNGFARPHQQ >Potri.002G062100.3.v4.1 pep chromosome:Pop_tri_v4:2:4277057:4278798:1 gene:Potri.002G062100.v4.1 transcript:Potri.002G062100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062100.v4.1 MAACFSLYYYNHQNHISWAPLIHKKGKSNVSERLQRPTRHANQDRQVLRSRTCCSAGVTASLDVDFSPSHATISGEPFSVLEAVRNIKVDIPTTSEATSNLIQRLMLADLDPATAKLAIGILGPFLSAFSFLFVLRIVMSWYPKLPVGKFPYVIAYAPTEPLLIPTRKLIPPLGGVDVTPVVWFGLSSFLNEILVGPQGLLVLLSQQIN >Potri.001G330200.1.v4.1 pep chromosome:Pop_tri_v4:1:33875416:33878820:-1 gene:Potri.001G330200.v4.1 transcript:Potri.001G330200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330200.v4.1 MEMQNDFDRLLFFEHARKTAEDAYAQDPLDADNLLKWGGALLELAQFQSVPDSKKMMLDGITKLEESLLINPKKHEAIWYLGNAHTSYAFLTADQDVANESFEKAAVYFQQAVDEDPDNELYRKSLEVSSKAPELHSQIHKHGGLDQLEMGAAPASAASTSSSAKSSKKKKSSDLTYDVCGWVILAVGIVAWIGFAKSQMPSPPTQ >Potri.009G044500.1.v4.1 pep chromosome:Pop_tri_v4:9:5117197:5117889:1 gene:Potri.009G044500.v4.1 transcript:Potri.009G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044500.v4.1 MESILRSLTGQDPNPDDYRNIEFWSDPERSGWLTKQGDYIKTWRRRWFVLKQGKLLWFKERSVTRGSIPRGVIPVGKCLTVKGAEDVLNKPYAFELSTSQETMYFIADSEKEKEEWINSIGRSIVQHSRSVTDSEIVDYDSTR >Potri.001G122800.6.v4.1 pep chromosome:Pop_tri_v4:1:10075574:10079558:1 gene:Potri.001G122800.v4.1 transcript:Potri.001G122800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122800.v4.1 MPFHLQAKGGVDIAGFASICSQQEAWTHRQQQQQQQQQQQQPLQVNSFASKESTSVLHMRRSQSPPTSVSTLSSSSNGGAGGNTTNITATDKAVNPVSNERKDEWATELQPIPSGLEFVSSGARCGLGLEDWENMLSEPSQEQSFLRWIAGDVDDTQFGLKQLLQSGNNQLEYDGNADAGAGGGGLGIVDQGHGFESLSGIPCGVSSIGTNLAPFPGPGVSNVGSGLVAPGSFSGLVNYKNVGFGNNNSSVQSPVFSSSSNSVSLPLSLPPGMVYHHNQQQQIEASEEKPHILDPQVLMNQQQSHNPHAQNPNLFLPLPFSQQENRPLHSQLKRHNSGGIDPISHVIPKAPFSVPGQEFLLRKHQQQQLGFPQGVQFLHQQLQQKPLMVKKEDLGVQQQQQQQQQHALLDQLCKAAELVGTGNFLHAQGILARLNQQLSPTGKPFHRAAFYFKEALQLLLLMNNNSVTAPPPRSPTPFDVIFKMSAYKVLSEVSPLIQFVNFTCNQALLEAVDDADSIHIVDFDIGFGAQWASFMQELPRNRGVRSLKTTAFASPSTHHPVELGLMRDNLTQFANEIGLSFELDVINFDSLEQNCYSLPFFRTNENEAVVVNFPIWCSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHILHALQSYVHLLESLDAVNATTDAVNKIERFLLQPRIESTVLGRLRAPEKMPNWKTIFASVGFSPVTFSNFTETQAECVVKRTPVRGFHVEKRQALLVLCWQRRELMSASAWRC >Potri.001G122800.2.v4.1 pep chromosome:Pop_tri_v4:1:10075478:10079578:1 gene:Potri.001G122800.v4.1 transcript:Potri.001G122800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122800.v4.1 MRRSQSPPTSVSTLSSSSNGGAGGNTTNITATDKAVNPVSNERKDEWATELQPIPSGLEFVSSGARCGLGLEDWENMLSEPSQEQSFLRWIAGDVDDTQFGLKQLLQSGNNQLEYDGNADAGAGGGGLGIVDQGHGFESLSGIPCGVSSIGTNLAPFPGPGVSNVGSGLVAPGSFSGLVNYKNVGFGNNNSSVQSPVFSSSSNSVSLPLSLPPGMVYHHNQQQQIEASEEKPHILDPQVLMNQQQSHNPHAQNPNLFLPLPFSQQENRPLHSQLKRHNSGGIDPISHVIPKAPFSVPGQEFLLRKHQQQQLGFPQGVQFLHQQLQQKPLMVKKEDLGVQQQQQQQQQHALLDQLCKAAELVGTGNFLHAQGILARLNQQLSPTGKPFHRAAFYFKEALQLLLLMNNNSVTAPPPRSPTPFDVIFKMSAYKVLSEVSPLIQFVNFTCNQALLEAVDDADSIHIVDFDIGFGAQWASFMQELPRNRGVRSLKTTAFASPSTHHPVELGLMRDNLTQFANEIGLSFELDVINFDSLEQNCYSLPFFRTNENEAVVVNFPIWCSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHILHALQSYVHLLESLDAVNATTDAVNKIERFLLQPRIESTVLGRLRAPEKMPNWKTIFASVGFSPVTFSNFTETQAECVVKRTPVRGFHVEKRQALLVLCWQRRELMSASAWRC >Potri.001G122800.4.v4.1 pep chromosome:Pop_tri_v4:1:10075430:10079769:1 gene:Potri.001G122800.v4.1 transcript:Potri.001G122800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122800.v4.1 MPFHLQAKGGVDIAGFASICSQQEAWTHRQQQQQQQQQQQQPLQVNSFASKESTSVLHMRRSQSPPTSVSTLSSSSNGGAGGNTTNITATDKAVNPVSNERKDEWATELQPIPSGLEFVSSGARCGLGLEDWENMLSEPSQEQSFLRWIAGDVDDTQFGLKQLLQSGNNQLEYDGNADAGAGGGGLGIVDQGHGFESLSGIPCGVSSIGTNLAPFPGPGVSNVGSGLVAPGSFSGLVNYKNVGFGNNNSSVQSPVFSSSSNSVSLPLSLPPGMVYHHNQQQQIEASEEKPHILDPQVLMNQQQSHNPHAQNPNLFLPLPFSQQENRPLHSQLKRHNSGGIDPISHVIPKAPFSVPGQEFLLRKHQQQQLGFPQGVQFLHQQLQQKPLMVKKEDLGVQQQQQQQQQHALLDQLCKAAELVGTGNFLHAQGILARLNQQLSPTGKPFHRAAFYFKEALQLLLLMNNNSVTAPPPRSPTPFDVIFKMSAYKVLSEVSPLIQFVNFTCNQALLEAVDDADSIHIVDFDIGFGAQWASFMQELPRNRGVRSLKTTAFASPSTHHPVELGLMRDNLTQFANEIGLSFELDVINFDSLEQNCYSLPFFRTNENEAVVVNFPIWCSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHILHALQSYVHLLESLDAVNATTDAVNKIERFLLQPRIESTVLGRLRAPEKMPNWKTIFASVGFSPVTFSNFTETQAECVVKRTPVRGFHVEKRQALLVLCWQRRELMSASAWRC >Potri.001G122800.5.v4.1 pep chromosome:Pop_tri_v4:1:10075775:10080184:1 gene:Potri.001G122800.v4.1 transcript:Potri.001G122800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122800.v4.1 MPFHLQAKGGVDIAGFASICSQQEAWTHRQQQQQQQQQQQQPLQVNSFASKESTSVLHMRRSQSPPTSVSTLSSSSNGGAGGNTTNITATDKAVNPVSNERKDEWATELQPIPSGLEFVSSGARCGLGLEDWENMLSEPSQEQSFLRWIAGDVDDTQFGLKQLLQSGNNQLEYDGNADAGAGGGGLGIVDQGHGFESLSGIPCGVSSIGTNLAPFPGPGVSNVGSGLVAPGSFSGLVNYKNVGFGNNNSSVQSPVFSSSSNSVSLPLSLPPGMVYHHNQQQQIEASEEKPHILDPQVLMNQQQSHNPHAQNPNLFLPLPFSQQENRPLHSQLKRHNSGGIDPISHVIPKAPFSVPGQEFLLRKHQQQQLGFPQGVQFLHQQLQQKPLMVKKEDLGVQQQQQQQQQHALLDQLCKAAELVGTGNFLHAQGILARLNQQLSPTGKPFHRAAFYFKEALQLLLLMNNNSVTAPPPRSPTPFDVIFKMSAYKVLSEVSPLIQFVNFTCNQALLEAVDDADSIHIVDFDIGFGAQWASFMQELPRNRGVRSLKTTAFASPSTHHPVELGLMRDNLTQFANEIGLSFELDVINFDSLEQNCYSLPFFRTNENEAVVVNFPIWCSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHILHALQSYVHLLESLDAVNATTDAVNKIERFLLQPRIESTVLGRLRAPEKMPNWKTIFASVGFSPVTFSNFTETQAECVVKRTPVRGFHVEKRQALLVLCWQRRELMSASAWRC >Potri.001G122800.7.v4.1 pep chromosome:Pop_tri_v4:1:10075455:10078678:1 gene:Potri.001G122800.v4.1 transcript:Potri.001G122800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122800.v4.1 MRRSQSPPTSVSTLSSSSNGGAGGNTTNITATDKAVNPVSNERKDEWATELQPIPSGLEFVSSGARCGLGLEDWENMLSEPSQEQSFLRWIAGDVDDTQFGLKQLLQSGNNQLEYDGNADAGAGGGGLGIVDQGHGFESLSGIPCGVSSIGTNLAPFPGPGVSNVGSGLVAPGSFSGLVNYKNVGFGNNNSSVQSPVFSSSSNSVSLPLSLPPGMVYHHNQQQQIEASEEKPHILDPQVLMNQQQSHNPHAQNPNLFLPLPFSQQENRPLHSQLKRHNSGGIDPISHVIPKAPFSVPGQEFLLRKHQQQQLGFPQGVQFLHQQLQQKPLMVKKEDLGVQQQQQQQQQHALLDQLCKAAELVGTGNFLHAQGILARLNQQLSPTGKPFHRAAFYFKEALQLLLLMNNNSVTAPPPRSPTPFDVIFKMSAYKVLSEVSPLIQFVNFTCNQALLEAVDDADSIHIVDFDIGFGAQWASFMQELPRNRGVRSLKTTAFASPSTHHPVELGLMRDNLTQFANEIGLSFELDVINFDSLEQNCYSLPFFRTNENEAVVVNFPIWCSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHILHALQSYVHLLESLDAVNATTDAVNKIERFLLQPRIESTVLGRLRAPEKMPNWKTIFASVGFSPVTFSNFTETQAECVVKRTPVRGFHVEKRQALLVLCWQRRELMSASAWRC >Potri.007G053600.1.v4.1 pep chromosome:Pop_tri_v4:7:5492348:5493875:-1 gene:Potri.007G053600.v4.1 transcript:Potri.007G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053600.v4.1 MSCNGCRVLRKGCSENCILRPCLQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPENQRPSLFQSLLFEACGRTVNPVNGAAGLLWTGNWHVCQAAVETVLRGGTLQPMPELLTGGGGSPSPSSDEASEVEVACTDIWKLQDPNPNHHPRFSISRSRLSPKRKRTEEPVAVKVQHNDLDLGLTPSFSLKGFPYKQQIRRPGTPSMNSAESVTTNTACFDSAGLGDEGGETKLLNLFL >Potri.006G001800.1.v4.1 pep chromosome:Pop_tri_v4:6:180240:181540:1 gene:Potri.006G001800.v4.1 transcript:Potri.006G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001800.v4.1 MAMKQKIVFKVQMNCERCRIKTLKVVSDADGVDSMGFEGERRENVVVIGDGVDAATLASRLRKKVGHTEIISVALAK >Potri.001G339150.1.v4.1 pep chromosome:Pop_tri_v4:1:34811163:34812130:-1 gene:Potri.001G339150.v4.1 transcript:Potri.001G339150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339150.v4.1 MSLISQLCVDEIFDPFLSMINKCPVLNTPTDWKETPDAHIFVSDLPGLKKEEVTVEVVDEGKVLQISGDRKNEEISEDNKTDKWHHVERCRGKFLRRFRLPGNAKSDEVKASMDNGVLVVTVPKQEVKKPEKKVIEIEEIKG >Potri.005G209300.4.v4.1 pep chromosome:Pop_tri_v4:5:21365468:21369395:1 gene:Potri.005G209300.v4.1 transcript:Potri.005G209300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209300.v4.1 MGRKPKALLKLIERANVDESTVPSVLGMQLEPNNTNPSLQPESSKQPANSTFEGVEPNLQMQSHFAKSYSRKTARQTCAKVRRSERLKNSVVFTHNQDLEHVIEEITLIGGEEGDGHADAKISEHTSMGKNLEEKVDYILEMLEAQGKTADVKFKVEALMEENYQLNLKFQNALGKIEAYEKGNPMACDVLEKFNEILLSSLSKISAREAGLEHRTPAKRKTLDKQTRKN >Potri.005G209300.5.v4.1 pep chromosome:Pop_tri_v4:5:21365468:21369396:1 gene:Potri.005G209300.v4.1 transcript:Potri.005G209300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209300.v4.1 MGRKPKALLKLIERANVDESTVPSVLGMQLEPNNTNPSLQPESSKQPANSTFEGVEPNLQMQSHFAKSYSRKTARQTCAKVRRSERLKNSVVFTHNQDLEHVIEEITLIGGEEGDGHADAKISEHTSMGKNLEEKVDYILEMLEAQGKTADVKFKYEKGNPMACDVLEKFNEILLSSLSKISAREAGLEHRTPAKRKTLDKQTRKN >Potri.005G209300.1.v4.1 pep chromosome:Pop_tri_v4:5:21365468:21369395:1 gene:Potri.005G209300.v4.1 transcript:Potri.005G209300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209300.v4.1 MGRKPKALLKLIERANVDESTVPSVLGMQLEPNNTNPSLQPESSKQPANSTFEGVEPNLQMQSHFAKSYSRKTARQTCAKVRRSERLKNSVVFTHNQDLEHVIEEITLIGGEEGDGHADAKISEHTSMGKNLEEKVDYILEMLEAQGKTADVKFKATKNSFSGSCSGGDDITYKSLYIDSQKKVEALMEENYQLNLKFQNALGKIEAYEKGNPMACDVLEKFNEILLSSLSKISAREAGLEHRTPAKRKTLDKQTRKN >Potri.005G209300.3.v4.1 pep chromosome:Pop_tri_v4:5:21365506:21368959:1 gene:Potri.005G209300.v4.1 transcript:Potri.005G209300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209300.v4.1 MGRKPKALLKLIERANVPESSKQPANSTFEGVEPNLQMQSHFAKSYSRKTARQTCAKVRRSERLKNSVVFTHNQDLEHVIEEITLIGGEEGDGHADAKISEHTSMGKNLEEKVDYILEMLEAQGKTADVKFKATKNSFSGSCSGGDDITYKSLYIDSQKKVEALMEENYQLNLKFQNALGKIEAYEKGNPMACDVLEKFNEILLSSLSKISAREAGLEHRTPAKRKTLDKQTRKN >Potri.004G208900.1.v4.1 pep chromosome:Pop_tri_v4:4:21584654:21590959:-1 gene:Potri.004G208900.v4.1 transcript:Potri.004G208900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208900.v4.1 MASDGNNNTEEKMVDTKEEEEEAANEKEPAPDNLKPEGEPEAEQEGGAETKASSEDPKGNDVDATAAPILTPPSLALNDSALEDKDNIQSSVDLTDTNPATPHPHDHDTCNAAAAQDFIKSEGKGDETVDNNNNNNKDPHDEPNTDVATTATNVAGAKQLSSPPLKNDDEGTHAPPPPSSHSDPKAEDEEDDDEKGAVVPTEIDKKEAPTEISALNTPPPPTKSFLLDSSADGYESGTEEEQAAFMKDVESFHKQNHLEFKPPKFYKEELNLLKLWRLVIKLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRIFYEKALLEYEKNKLKNGELPFSDGPLTESMRAENQAGGSQALASGRARRDAAARAMQGWHSQRLLGNGEVCHPIIKDKNLSSTPKGDKQLKTNGLLKRKRLSPVEGAVHFGHKKAIKSQGDAMVIDVGPPADWVKINVQKTNDCFEIYALVPGLLREEVHVQSDPAGRLIISGQPEHLDNPWGVTPFKKVVSLPTRIDPHQTSAVVTLHGQLFVRVPFEMSDL >Potri.004G208900.2.v4.1 pep chromosome:Pop_tri_v4:4:21584650:21590959:-1 gene:Potri.004G208900.v4.1 transcript:Potri.004G208900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208900.v4.1 MASDGNNNTEEKMVDTKEEEEEAANEKEPAPDNLKPEGEPEAEQEGGAETKASSEDPKGNDVDATAAPILTPPSLALNDSALEDKDNIQSSVDLTDTNPATPHPHDHDTCNAAAAQDFIKSEGKGDETVDNNNNNNKDPHDEPNTDVATTATNVAGAKQLSSPPLKNDDEGTHAPPPPSSHSDPKAEDEEDDDEKGAVVPTEIDKKEAPTEISALNTPPPPTKSFLLDSSADGYESGTEEEQAAFMKDVESFHKQNHLEFKPPKFYKEELNLLKLWRLVIKLGGYEQVTSCKLWRQVGESFKPPKTCTTVSWTFRIFYEKALLEYEKNKLKNGELPFSDGPLTESMRAENQAGGSQALASGRARRDAAARAMQGWHSQRLLGNGEVCHPIIKDKNLSSTPKGDKQLKTNGLLKRKRLSPVEGAVHFGHKKAIKSQGDAMVIDVGPPADWVKINVQKTNDCFEIYALVPGLLREEVHVQSDPAGRLIISGQPEHLDNPWGVTPFKKVVSLPTRIDPHQTSAVVTLHGQLFVRVPFEMSDL >Potri.003G092900.1.v4.1 pep chromosome:Pop_tri_v4:3:11940427:11941640:-1 gene:Potri.003G092900.v4.1 transcript:Potri.003G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092900.v4.1 MLSRAACKYGFCVLVGLSCVVFLVFATYENARHGPHTVRLSVHLSSLTFKELNLGTSRKLDTVWNTSIAVFAKNPEPWASFNPTRGSFAFVKYGGVGISCGELVEDGEELPMGVGNVSTLQFKSKPCDFPNQIDDDGLGARLRSDLDRKEHNASGCSRDMDLRLV >Potri.007G057900.4.v4.1 pep chromosome:Pop_tri_v4:7:6105934:6133121:-1 gene:Potri.007G057900.v4.1 transcript:Potri.007G057900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057900.v4.1 MSPIQNFELHSRHLVEPDLSIQMRLQNAMEVRDSLEIAHTAEYLNFLKCYFRAFSVILLQITKPQFVDNPEHKLRNIVVEILNRLPHSEVLRPFVQDLLKVAMQVLTTDNEENGLICIRIIFDLLRNFRPTLENEVQPFLDFVCKIYQNFRMTISHFFDNTSVAVEDVKPMEISTSSDQGLLSAGHVGNGQLNPSTRSFKIVTESPLVVIFLFQLYSRLVQTNIPHLLPLMVAAISVPGPDKVPPRLKTNFIELKSAQVKTVSFLTYLLKSFADYIRPHEESICKSIVNLLVACSDSVSIRKELLVALKHVLGTDFKRGLFPLIDTLLEERVLVGTGRACYETLRPLAYSLLAEIVHHVRSDLSLSQLSRIIYLFSSNMHDASLSLSIHTTCARLMLNLVEPIFEKGVDQSTMDEARILLGRILDAFVGKFSTFKRIIPQLLEEGKEGREHATMRSKLELPVQAVLNLQVPVEHSKEVSDCKNLIKTLVMGMKTIIWSITHAHLPRSQVSPSTHGTHSQVLVSPPSNLPSPQAFKGMREDEVGKASGVLKSGVHCLALFKEKDEERDMLNVFSQILCIMEPRDLMDMFSLCMPELFECMISNTQLVHIFSLLLQSSKVYRPFADALVNFLVSRKLDVLKNPDSPAAKLVLHLFRFILGAVAKVPADFERILQPHALLIMEVCMKNATEVERPLGYMQLLRTMFRALAGCKFELLLRDLIPMLQPCLNMLLTMLEGPTGEDMRDLLLELCLTLPARLSSLLPHLPHLMRPLVFCLKGSDDLVSLGLRTLEFWIDSLNPDFLEPSMAIVMSEVILSLWSHLRPAPYPWGGKAVQILGKLGGCNRRFVKESLALECKDNPEHGLRLILTFEPSTPFLVPLDRCINLAVTAVINKNSGMDAFYRKQALMFLRVCLSSQLNLPGNVSDEGYTARQLSTLLVSAVDSSWRRSETSDVKADLGVKTKTQLMAEKSVFKILLMTIIASSAEPDLHDPKDDFVVNVCHHFAMIFHIDYTSNNPSIPTAALGGPMLSSIASVSSRSKASTNLKELDPLIFLDALVDVLADENRVHAIAALGALNVFAETLLLLACSKHGDVLMSRGGPGTPIIVSSPSMNPVYSPLPSVRIPVFEHLLPRLLHCCYGTTWQAQVGGVMGLGALVGKVTVETLCHFQVRIVQGLVYVLKRLPLYASKEQEETSQVLTQVLRVVNNVDEANSEPRRKSFQGVVDFLASELFNPNASIIVRKNVQSCLALLASRTGSEVSELLQPLYQPLLQPLITRPLRSKTVDQQVGIVTALNFCLALRPPLLNLTQELVNFLQEALQIAEADENVWAVKFMNPKYALPLNKLRTACIELLCTAMAWADFKTQNHSELRAKIISMFFKSLTCRTPEIVAVAKEGLRQVISQQRMPKELLQSSLRPILVNLAHTKNLSMPLLQGLARLLELLSSWFNVTLGGKLLEHLKKWLEPEKLAQSLKSWKAGEEPKIAAAIIELFHLLPHAASKFLDELVTLTIDLEGAFPPGQVHSEINSPYRLPLTKFLNRYATLAVDYFLARLSDPKYFRRFMYIIRSDAGQPLRDELAKSQQKILASAFPEFLPKSDVEMTPGSSTPPSALLGDESLVAPPADGANLPSFNPGATLDAYFQGLALIKTLAKLMPGWLQSNRLVFDTLVLVWKSPARISRLHNEQELNLVQVKESKWIVKCFLNYLHHDKTEVNVLFDILSIFLFHSRIDYTFLKEFYIIKVAEGYPPNMKRALLLHFLNLFQSKQLCHDHLVVVMQMLILPMLAHAFQNGRSWEVVDSGIIKTIVDKLLDPPEEVSAEYDEPLRIELLQLATLLLKYLQNDLVQHRKELIKFGWNHLKREDSASKQWAFVNVCHFLEAYEAPEKIILQVFVALLRTCQPENKLLVKQALDILMPALPRRLPLGDSQMPIWIRYTKKILVEEGHSIPNLIHIFQLIVRHSDLFYSCRAQFVPQMVNSLSRLGLPLNTTTENRRLAIELAGLVVGWERQRQNEIKVVIDSDVPSKSNDEFNPGSAGTDPKRAVDGSTYPEDASKRFKVEPGLQSICVMSPGGASSIPNIETPGPGGQPDEEFKPNAAMEEMIINFLIRVALVIEPKDKEASTMYEQALELLSQALEVWPNANVKFNYLEKLLNSMQPSQSKDPSTALAQGLDVMNKVLEKQPHLFIRNNINQISQILEPCFKQKLFDAGKSLCSLLKMVFVAFPPDAASTPPDVKLLYQKVDDLIQKHIDSVTSPQTSGEDTFVSSISFILLVIKTLTEVGKYIEPPILVRILQHLARDMGSSTGSHLRQGQRTDPDSAVSSSRQGADLVAVISNLKSVLKLVSEKVMAVPDCKRSVTQVLNSLLSEKGTDSSVLLCILDVIKGWIEDDFCKPGRVTSSGFISHKEIVSFLQKLSQVDKQNLSPDALEEWDRKYLQLLYGICADSKYQLALRQEVFQKVERQCMLGLRARDPDIRKKFLLLYHESLGKSLFTRLHYIIQVQDWEALGDVFWLKQGLDLLLAILVEDKPITLAPNSARVQPIVVSSSVPDSSGMLQQVADVPDGSEEAPLTFDSLVLKHAQFLNEMNKLQVADLVIPLRELAHTDANVAYHLWVLVFPIVWVTLHKEQQVTLAKPMITLLSKDYHKKQQASRPNVVQALLEGLQSSHPQPRMPSELIKYIGKTYNAWHIALALLESHVMLFMNQTSCSESLAELYRLLNEEDMRCGLWKKRSITAETRAGLSLVQHGYWQRAQSLFYQAMVKATQGTYNNTVPKAEMCLWEEQWLYCASQLSQWDGLVDFGKSIDNYEILLDSLWKFPDWAYMKDHVIPKAQVEETPKLRLIQAFFALHDRNTNGIGDAESIAGKGVDLALEQWWQLPEMSVHSRIPLLQQFQQLVEVQESARILVDIANGNKLSSTSAGVHGNLYADLKDILETWRLRTPNEWDNMSVWYDLLQWRNEIYNSVIDAFKDFGTSNPQLYHLGFRDKAWNVNKLAHIARKQGLYDVCVTILEKMYGHSTMEVQEAFVKIREQAKAYLEIKGELTSGLNLIDGTNLEYFPVKHKAEIIRLRGDFLWKLNDSEGANLAYSNAISLFKNLPKGWISWGNYCDMAYRDTRDEIWLEYAVSCFLEGIKFGVSNSRSHLARVLYLLSFDTPSESVGRAFDKYLEQVPHWVWLSWIPQLLLSLQRTEAPRSKLVLLKIATVYPQALYYWLRTYLLERRDVANKSER >Potri.002G116750.1.v4.1 pep chromosome:Pop_tri_v4:2:8852451:8853061:-1 gene:Potri.002G116750.v4.1 transcript:Potri.002G116750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G116750.v4.1 MNKECFPSTEPKKFNSSCNSLLVSIVTEVPRHEFLQPCLQIRGRLISKFLLSITNICVCIWNIPVAWKLHNIPFSLNLQKPFQNTDKISNWHRRCIPQIENPQLCRPFLLPSTPGTLLCCIQRTQTTLHNIINVGKIPSNSPVIRSQ >Potri.007G044700.1.v4.1 pep chromosome:Pop_tri_v4:7:3925355:3926134:1 gene:Potri.007G044700.v4.1 transcript:Potri.007G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044700.v4.1 MGNSTLQHYFTLLIVLFTIFLAFSPRIQAQVDETSLKAMGDALEWPMSMYFDESSELDGGLVDLDDGEETSRRSLLWTRTHYYISYGALSANRIPCPARSGRSYYSHNCFKSRIPVNPYSRGCSRITRCRR >Potri.015G010300.2.v4.1 pep chromosome:Pop_tri_v4:15:670881:673676:1 gene:Potri.015G010300.v4.1 transcript:Potri.015G010300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010300.v4.1 MGRVVVYVLITIAFIVFMTFSPINNHGRTTPGLNRRLGSKFSMPDFDPLVVKMQRLAEEKGYTGEGGAINLENNGYAKEVEDADEYLSDDGRLNITLRLLVLFPLLDKKPRDGLISFEELEAWNVEQARERLAYRTQREIQSRDKDGDGAINFKEYLPQFSNEDIERNEMGHGEAGWWMQQFRNADVDRNGTLDFDEFNNFLHPEDSNNKDIQKWILREKLKCRRMDDDRDGKLNLAEFSMYAYDGNYKSYAEFEPNVARVGTAEEKFLELDVNKDNFLSEEELIPMIPYLKPGELSYAKHYTSYLIHETDENGDGYLSIDEMLNHEYTFYGTFFQDDEDYDDEFHEEL >Potri.015G010300.1.v4.1 pep chromosome:Pop_tri_v4:15:670881:673676:1 gene:Potri.015G010300.v4.1 transcript:Potri.015G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010300.v4.1 MGRVVVYVLITIAFIVFMTFSPINNHGRTTPGLNRRLGSKFSMPDFDPLVVKMQRLAEEKGYTGEGGAINLENNGYAKEVEDADEYLSDDGRLNITLRLLVLFPLLDKKPRDGLISFEELEAWNVEQARERLAYRTQREIQSRDKDGDGAINFKEYLPQFSNEDIERNEMGHGEAGWWMQQFRNADVDRNGTLDFDEFNNFLHPEDSNNKDIQKWILREKLKRMDDDRDGKLNLAEFSMYAYDGNYKSYAEFEPNVARVGTAEEKFLELDVNKDNFLSEEELIPMIPYLKPGELSYAKHYTSYLIHETDENGDGYLSIDEMLNHEYTFYGTFFQDDEDYDDEFHEEL >Potri.006G150000.6.v4.1 pep chromosome:Pop_tri_v4:6:13040984:13044695:-1 gene:Potri.006G150000.v4.1 transcript:Potri.006G150000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150000.v4.1 MDVGARLKREDYKRTKHDSSFSKWQLLIGPSDWQDYFSGKEGASRYRIHNLPTTSGPGLYELGIAVPRSGLSRRDGGKLVRDDIVVVYLGQADNVRTRLQQYGRSGAHLGNTYSTGHVNDSKDDSLQKGLGLFEEIFSRGQSIVYRWALMKDKRDAEETEGKLLGTFDYAWNKGSNGTRRPSDVLQKLNSRTTRPPDIFQWLPFSSHKLGGIKIKASKPLSPEKHAGFGDEDSKKLFFGIFKLSRSQPRLVTDKYGINEDSDCICGFIMVDGIPCRRPPVPGGERCEEHKGRRVYGSSYKSIAQGNLHYPHGANLDSTTHNDREHETTCGVNLGDGTFCRKQAVAGRKRCEEHKGMRVNTSVLEPAAEDKIRMSAPSSVFNSFADGVNNNASSKHNADSTWQCGSSNNPVKEHFPNTCGVMLGNGSFCRRQPILGNKRCWQHKGQRVECNLSGVDSSEPAAEEKIRMSAPSSVFNSFADSVNNNASSKHNADSTWQCGSSNNPVKEHFPNTCGVMLGNGSFCRRQPILGNKRCWQHKGQRVECNLSGVDSSEPAVEDKIRMSAPSLVFNSFADSVNNNASSKHNADSTWQCGSSNNPVKEHFPNICAVMLGNGSFCRRQPIQGNKRCWQHKGQRVECNLSGVDSSEPAAEEKIRMSAPSSVFNSFADSVNNNASSKHNAYSTWQCGSSNNPVKELFPNTCGVMLGNGSFCRRQPIQGNKRCWQHKGKRVECNFSGVDSSSLRFDAPICEVTLRDGSVCLRAPVQGRKRCDQHKGMRVSTSYHY >Potri.006G150000.1.v4.1 pep chromosome:Pop_tri_v4:6:13041271:13044674:-1 gene:Potri.006G150000.v4.1 transcript:Potri.006G150000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150000.v4.1 MDVGARLKREDYKRTKHDSSFSKWQLLIGPSDWQDYFSGKEGASRYRIHNLPTTSGPGLYELGIAVPRSGLSRRDGGKLVRDDIVVVYLGQADNVRTRLQQYGRSGAHLGNTYSTGHVNDSKDDSLQKGLGLFEEIFSRGQSIVYRWALMKDKRDAEETEGKLLGTFDYAWNKGSNGTRRPSDVLQKLNSRTTRPPDIFQWLPFSSHKLGGIKIKASKPLSPEKHAGFGDEDSKKLFFGIFKLSRSQPRLVTDKYGINEDSDCICGFIMVDGIPCRRPPVPGGERCEEHKGRRVYGSSYKSIAQGNLHYPHGANLDSTTHNDREHETTCGVNLGDGTFCRKQAVAGRKRCEEHKGMRVNTSVLEPAAEDKIRMSAPSSVFNSFADGVNNNASSKHNADSTWQCGSSNNPVKEHFPNTCGVMLGNGSFCRRQPILGNKRCWQHKGQRVECNLSGVDSSEPAAEEKIRMSAPSSVFNSFADSVNNNASSKHNADSTWQCGSSNNPVKEHFPNTCGVMLGNGSFCRRQPILGNKRCWQHKGQRVECNLSGVDSSEPAVEDKIRMSAPSLVFNSFADSVNNNASSKHNADSTWQCGSSNNPVKEHFPNICAVMLGNGSFCRRQPIQGNKRCWQHKGKRVECNFSGVDSSSLRFDAPICEVTLRDGSVCLRAPVQGRKRCDQHKGMRVSTSYHY >Potri.004G017800.1.v4.1 pep chromosome:Pop_tri_v4:4:1276055:1278002:1 gene:Potri.004G017800.v4.1 transcript:Potri.004G017800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017800.v4.1 MDPAMIFFLLLLLLPLYLLLSHKRPKGLPPGSLGLPIVGQSLSFLRAMRENTAEQWLQNRIDKYGPIWKMSLFGKPTVFLCGQAANKFIYTCDGTKLASQKPLSVRRICGDKNILELSGHEHKRVRGALVSFLKPEALRQYVGKMDERVRKHLEMHWHGKQKVSAMPLMKILTFNIMSSLIMGIEEGAKRDMLVGLFQQLIKGSLSVPINFPFTRFSRSLQASRKIRMILADLIRERRAAQKEQTAFPQQDLITTLLNLRNEDSSAALSDEEIVDNAITIMIAGHDTISVLLTTLIRHLANDQAVYAGIAQEQEDVAKSRASGELLTWDDLARMKYTWRVALECLRMFPPVFNSFRKVLEDLEYKGYLIPKGWQVTWAASMTHMDESLFPDPTKFDPNHFDTKIPPYSFVAFGGGARICPGYEFARLETLITIHHLVNRFTWKLRCPDISFSRDPMPTFKDGLEIEIVPKLSLKVN >Potri.003G170000.2.v4.1 pep chromosome:Pop_tri_v4:3:17867123:17875741:1 gene:Potri.003G170000.v4.1 transcript:Potri.003G170000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170000.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGSLAKILKRHRSYFEEKTALSNGANDAELYHGKYEKKIKSFAELLQTVQSIHRQVGDSNFEELTLSDLEQTEMQVDAALRRTRARKTELMLETINALNDKEKTLREENQRLQTQ >Potri.003G170000.7.v4.1 pep chromosome:Pop_tri_v4:3:17867232:17867444:1 gene:Potri.003G170000.v4.1 transcript:Potri.003G170000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170000.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGRYLLLFMSL >Potri.003G170000.1.v4.1 pep chromosome:Pop_tri_v4:3:17867180:17875772:1 gene:Potri.003G170000.v4.1 transcript:Potri.003G170000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170000.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGSLAKILKRHRSYFEEKTALSNGANDAELYHGKYEKKIKSFAELLQTVQSIHRQVGDSNFEELTLSDLEQTEMQVDAALRRTRARKTELMLETINALNDKEKTLREENQRLQTQVVAMNNDNETNGMMSGSFEPLFGLLKQ >Potri.013G048000.3.v4.1 pep chromosome:Pop_tri_v4:13:3422496:3427259:-1 gene:Potri.013G048000.v4.1 transcript:Potri.013G048000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048000.v4.1 MSSSFPVLPTAVEEKHPNLLCSFQVSLEREMMRNSASQQASSLSPGNRSVGPLFSSSSRFSNDMHVSSVSPQGRQSHNSPFISQSLRDRGNFTPTHDSHSEVQSTEFIAYSDENKDLSWPVDPLQDLLDFAGNVHVQNGQVESSAGVFASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNKTDSRQKELKPSPNISVKQPPIHQHQTAHSGEVCAVANPLSAAPTTKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKHMNVEGLTIYHVKSHLQKYRSARYKPESSDEKKTSPIEEMKSLDLKTSMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRHLQEMFEKQRKIEDDKSKAPSSSQDDPSPLQAKLEQSSANYKLEASELDLVKTSNESALLEESSQSISRKQKAPEERNDQVLDQIDEESSPAPIKRPRRDETAELSTGAASN >Potri.013G048000.4.v4.1 pep chromosome:Pop_tri_v4:13:3422497:3427437:-1 gene:Potri.013G048000.v4.1 transcript:Potri.013G048000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048000.v4.1 MMRNSASQQASSLSPGNRSVGPLFSSSSRFSNDMHVSSVSPQGRQSHNSPFISQSLRDRGNFTPTHDSHSEVQSTEFIAYSDENKDLSWPVDPLQDLLDFAGNVHVQNGQVESSAGVFASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNKTDSRQKELKPSPNISVKQPPIHQHQTAHSGEVCAVANPLSAAPTTKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKHMNVEGLTIYHVKSHLQKYRSARYKPESSDEKKTSPIEEMKSLDLKT >Potri.013G048000.5.v4.1 pep chromosome:Pop_tri_v4:13:3422495:3427510:-1 gene:Potri.013G048000.v4.1 transcript:Potri.013G048000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048000.v4.1 MMRNSASQQASSLSPGNRSVGPLFSSSSRFSNDMHVSSVSPQGRQSHNSPFISQSLRDRGNFTPTHDSHSEVQSTEFIAYSDENKDLSWPVDPLQDLLDFAGNVHVQNGQVESSAGVFASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNKTDSRQKELKPSPNISVKQPPIHQHQTAHSGEVCAVANPLSAAPTTKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKHMNVEGLTIYHVKSHLQKYRSARYKPESSDGNTN >Potri.013G048000.1.v4.1 pep chromosome:Pop_tri_v4:13:3422496:3427517:-1 gene:Potri.013G048000.v4.1 transcript:Potri.013G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048000.v4.1 MMRNSASQQASSLSPGNRSVGPLFSSSSRFSNDMHVSSVSPQGRQSHNSPFISQSLRDRGNFTPTHDSHSEVQSTEFIAYSDENKDLSWPVDPLQDLLDFAGNVHVQNGQVESSAGVFASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNKTDSRQKELKPSPNISVKQPPIHQHQTAHSGEVCAVANPLSAAPTTKPRMRWTPELHEAFVEAVNQLGGSERATPKGVLKHMNVEGLTIYHVKSHLQKYRSARYKPESSDEKKTSPIEEMKSLDLKTSMGITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRHLQEMFEKQRKIEDDKSKAPSSSQDDPSPLQAKLEQSSANYKLEASELDLVKTSNESALLEESSQSISRKQKAPEERNDQVLDQIDEESSPAPIKRPRRDETAELSTGAASN >Potri.008G213669.1.v4.1 pep chromosome:Pop_tri_v4:8:17399995:17401057:-1 gene:Potri.008G213669.v4.1 transcript:Potri.008G213669.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213669.v4.1 MGVFTYESEASTVIPPARLFKALFVDAAEVMPKALPQAIKSIVTLEGDGGPGTIKQTYFGDGSLSFKERTDAIDKENLSYAYTVFEGAVLANTYEKIFNESKIEASPDGGSVCKTSTTYYTVGNVDAKADEIKDGQEKQMGLFKAIEAYLLANPDA >Potri.013G110600.2.v4.1 pep chromosome:Pop_tri_v4:13:11880006:11882542:1 gene:Potri.013G110600.v4.1 transcript:Potri.013G110600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110600.v4.1 MALNNGLRSASKLFTASESLLSKSVNRCIHSTGVKRMGGGHAHGHDEPFYLHAKHMYNLDRMKYQKIKMPLAVLTAFSIGVIVPVYAVIFQQKKTASG >Potri.001G006100.1.v4.1 pep chromosome:Pop_tri_v4:1:405917:410976:-1 gene:Potri.001G006100.v4.1 transcript:Potri.001G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006100.v4.1 MCVTRNKGVNYEKFIEHFQGKTRKFERLSLALAVFVFLGSVFCCFCIEVAMVSVPLGFEISGFDRSRTWVSQNGVFAFGFLESCSKEDEVDSFVVGIRYNLGDNEAVNVPVWSVGGGLRVSMNSTIRLSMDGRLILLDNPSGVIVWSSDTSSLGIRKASLLNNGNLVLVGIEDNVLWQSFNSPTSTLLPGQSLHFPQTLRAPSKKSTSSYYSFVIRHSGELALVWENNVTYWSNHVNLLGSVKEAILDGNGLLGLIDTSNKTVWSISSKDFDEPSPTLRRLKMDSDGNLRIYSWNHVLHEWKVGWQAVENQCDVFGSCGLYSLCGLNSSGAVCDCLYQDSVNWGTGLSTVDSGSSGCKKMVDLGNCKMNTSMMVMRQTFLYGLYPPQDVDIMLSEKACKEYCSNDTTCIAATSKNDGTGICTIKRTSFISGYGNPSVSATSFLKVCLVPQAVSARGANPHVTAKPIPTTRGGDGKNFTAAIALIVLVTASGFLAIEMFVFWFMYRKRKIKAHVRTPFGKDAQMNAHYNSLIRLTFEEIKEITSDLANKLGPSVYKGALPNKTTVIVKALNDVTANEKDFRVAVSTLGRMHHRNLVLLMGFCFEANNRFLMYEFVQNGSLDKWLLNMEPDHNEGTWQQRLDIALGVARALAYLHSECQICVAHGNLKLENVLLDENFIPKLTDFGLGSLFEEEAASSSVSPSERDIYMFGEMLLQIVTCKRDILSENLNHLVEKTNEEPNSEDSIISEEVERVVRIALWCMQNQPFLRPSIGEVVKVLEGTLSVDRPPLGFAFRPDQMEGRVLTEVEVDSS >Potri.003G074100.1.v4.1 pep chromosome:Pop_tri_v4:3:10177859:10179169:1 gene:Potri.003G074100.v4.1 transcript:Potri.003G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074100.v4.1 MEGAFNNAMLLPFSYTFTPGTSSTNVTDSVTSPWMDTRIWSKLPQTLIDRVLAFLPPPAFFRARCVCKRWYGLLFSNNFLELYIQISPRRHWFLFFKHKSLKSCIYRNNNSTSDSGGKTMTANICEGYLFDPYDIAWYRISFSLVPSGFSPAAASGGLICWVSDEAGAKSIILCNPLSGSLSQLPPTLRPRLFPSIGLKVGPSSIDVAVAGDDLISPYAVKNLSTESFHIDVGGFYSLWGTTSSLPRLCSLESGQMVCVDDRFYCMNYNPFSVLAYEIAANSWCKIQAPMRRFLRSPRLVESMGKLILVAAVEKSKLNVPKSLRLWRLQPCGTTWIEIERMPQQLYLQFAELGGGHGFDCVGHGEFIAIIIRGSIKVLLFDILRKMWQWIPPCSCIDGVGDDDDELHGFAYEPTVTTPVTGLLDQITIPIFQSFTS >Potri.008G129500.2.v4.1 pep chromosome:Pop_tri_v4:8:8464412:8467966:-1 gene:Potri.008G129500.v4.1 transcript:Potri.008G129500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129500.v4.1 MAVSPSSISSLELTISMPGFSSSPPCPSSDLDINQLPSGTGEEEWITAGMEDEEESTNGGPPRKKLRLSKEQSRLLEESFRQHHTLNPRQKEALALQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRALKVGPPTVMSPHSCEPLPASTLTMCPSCERVTTTGLDKGSTKTTTTAVATPTIAATLSSKVGTPALQSRQSSAAC >Potri.008G129500.3.v4.1 pep chromosome:Pop_tri_v4:8:8464412:8467966:-1 gene:Potri.008G129500.v4.1 transcript:Potri.008G129500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129500.v4.1 MAVSPSSISSLELTISMPGFSSSPPCPSSGTGEEEWITAGMEDEEESTNGGPPRKKLRLSKEQSRLLEESFRQHHTLNPRQKEALALQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRALKVGPPTVMSPHSCEPLPASTLTMCPSCERVTTTGLDKGSTKTTTTAVATPTIAATLSSKVGTPALQSRQSSAAC >Potri.008G129500.4.v4.1 pep chromosome:Pop_tri_v4:8:8464412:8467256:-1 gene:Potri.008G129500.v4.1 transcript:Potri.008G129500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129500.v4.1 MEDEEESTNGGPPRKKLRLSKEQSRLLEESFRQHHTLNPRQKEALALQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRALKVGPPTVMSPHSCEPLPASTLTMCPSCERVTTTGLDKGSTKTTTTAVATPTIAATLSSKVGTPALQSRQSSAAC >Potri.008G129500.1.v4.1 pep chromosome:Pop_tri_v4:8:8464412:8467966:-1 gene:Potri.008G129500.v4.1 transcript:Potri.008G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129500.v4.1 MAVSPSSISSLELTISMPGFSSSPPCPSSEVCAVKDLDINQLPSGTGEEEWITAGMEDEEESTNGGPPRKKLRLSKEQSRLLEESFRQHHTLNPRQKEALALQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRALKVGPPTVMSPHSCEPLPASTLTMCPSCERVTTTGLDKGSTKTTTTAVATPTIAATLSSKVGTPALQSRQSSAAC >Potri.014G121701.2.v4.1 pep chromosome:Pop_tri_v4:14:8190782:8197032:1 gene:Potri.014G121701.v4.1 transcript:Potri.014G121701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121701.v4.1 MALTESSQSLANQGLERIFGVCVVDVTTSRIILGQFGDDAECSSLCCLLSELRPVEIVKPAKMLSSETERVMVRHTRNPLVNELAPLSEFWDAERTVQEVKTIYKHIGDLSASGPLNKTDLDTTNLNVGEYRPSCLPSILLEFVNKGENGSLALSALGGALYYLKQAFLDETLLRFAKFESLPCSDFCEVAKKPYMILDAAALENLEIFENSRNGDTSGTLYAQLNHCVTAFGKRLLKTWLARPLYHLESIKDRQDAVAGLRGVNQPMMLEFQKVLSRLPDIERLLARIFSTSEANGRNANKVVLYEDAAKKQLQEFISALRGCELVAQACSSLAVILENVESGQLHHLLTPGKGLPDILPILKHFKSAFDWVEANNSGRIIPHEGVDVEFDSACEKVKEVESSLARHLKEQQKLLGDKSITYVTVGKEAYLLEVPEHLRGSIPQDYELRSSKKGFYRYWTPSIKKFLGELSQAESEKESALKSILQRLIVCFCKYHDKWRQLVSATAELDVLISLAIASDFYEGPACCPTIVGSSLSSQVPCLSAKKLGHPVLRSDSLGKGAFVPNDISIGGSGRASFILLTGPNMGGKSTLLRQVCLAVILAQIGADVPAESFELSPVDRIFVRMGAKDHIMAGQSTFLTELSETALMLSSATCNSLVALDELGRGTSTSDGQAIAESVLEHFVHKVQCRGMFSTHYHRLAVDYQKDSKVSLYHMSCQVGNGVGVEEVTFLYRLRPGACPKSYGVNVARLAGLPDSILHNAAAKSREFEAVYGRHRKGSEGKLAIQSCDKMAVLIRSLINATTSLSGHKSAGIDISSVTKLQDKARIFLQ >Potri.014G121701.1.v4.1 pep chromosome:Pop_tri_v4:14:8187905:8197272:1 gene:Potri.014G121701.v4.1 transcript:Potri.014G121701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121701.v4.1 MAPSRKPSNGRSPIVNPQRQITAFFSKTTTPSPSPSPTLSKKQIPKSHTKPNPNPSSRTQSPSSSPTTPSPVQSKPKKPLLVIGQTPSPSPSKVGVYGKEAVERRVRVYWPLDKSWYEGLVKSYDDESKKHLIQYDDSEEELLDLNNEKIEWVEPCVKKFKRLRRGSLGFRKIVLEDDEMENVEADNGGAGGGSGGDDSSDEDWGKNAEKDVSEEEDVDLMDEEEADDGKKGKRGGKDSRKRKASGEGGKLDLGKKGKSGGDASTGGVKVSVVEPVKNKENGVFNGFENALMTYASERFSTREAEKFPFLGRERRDAKRRRPGDVGYDPRTLYLPAEFAKSLTGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGEQPHCGFPEKNFSLNVEKLARKGYRVLVVEQTETPEQLELRRKEKGSKDKVVKREICAVITKGTLTEGEFLSANPDASYLMALTESSQSLANQGLERIFGVCVVDVTTSRIILGQFGDDAECSSLCCLLSELRPVEIVKPAKMLSSETERVMVRHTRNPLVNELAPLSEFWDAERTVQEVKTIYKHIGDLSASGPLNKTDLDTTNLNVGEYRPSCLPSILLEFVNKGENGSLALSALGGALYYLKQAFLDETLLRFAKFESLPCSDFCEVAKKPYMILDAAALENLEIFENSRNGDTSGTLYAQLNHCVTAFGKRLLKTWLARPLYHLESIKDRQDAVAGLRGVNQPMMLEFQKVLSRLPDIERLLARIFSTSEANGRNANKVVLYEDAAKKQLQEFISALRGCELVAQACSSLAVILENVESGQLHHLLTPGKGLPDILPILKHFKSAFDWVEANNSGRIIPHEGVDVEFDSACEKVKEVESSLARHLKEQQKLLGDKSITYVTVGKEAYLLEVPEHLRGSIPQDYELRSSKKGFYRYWTPSIKKFLGELSQAESEKESALKSILQRLIVCFCKYHDKWRQLVSATAELDVLISLAIASDFYEGPACCPTIVGSSLSSQVPCLSAKKLGHPVLRSDSLGKGAFVPNDISIGGSGRASFILLTGPNMGGKSTLLRQVCLAVILAQIGADVPAESFELSPVDRIFVRMGAKDHIMAGQSTFLTELSETALMLSSATCNSLVALDELGRGTSTSDGQAIAESVLEHFVHKVQCRGMFSTHYHRLAVDYQKDSKVSLYHMSCQVGNGVGVEEVTFLYRLRPGACPKSYGVNVARLAGLPDSILHNAAAKSREFEAVYGRHRKGSEGKLAIQSCDKMAVLIRSLINATTSLSGHKSAGIDISSVTKLQDKARIFLQ >Potri.006G054100.1.v4.1 pep chromosome:Pop_tri_v4:6:3812860:3828841:1 gene:Potri.006G054100.v4.1 transcript:Potri.006G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054100.v4.1 MENQQEAEVLIRKLDSTESMISVTIGRAITTLLSSRTKKLQDSIHRLSLDSTNKKPSLGSLEDSLWFLHKYVKDAIERHEKLDLILVPITQHCLRNVNLKHGGQAMTIINWLFQDEFVFEAVAMDLTNIIERKEDRYVALGWCILVRGLVEYENFTEQHTLNGIRDNYNALLKMLCSCIPRLVCIVRKGSTLQDRLELPSRLSVSAADCILSITEALTKKTKVASNKPKSLNSNTLHAISLVSTAIKEKKVKPSESSEVFSIEMAHLLWKQIEVLITLLQRLLAWSSKSRPLHAKGVEQVLKWLQEIKRHHGQVENETGGNIFKTEALLVSSCWKHYSTLLHLEDCRFSQHCDDLLNQYISGIQYYTDNHTEGHTENKDGGLETRKFFLRCLCLLLGRLDNKKFETALSENGLQISQVLLSQLHCADEDVVEGAVYILKSVILKPNHSSGNGLTDSRQMDAVLPLLLHLLDERDGTARAVVMLIAECCSMSTDSNCLKQVLSRLASGNALQRRNAIDVISELVSISSNSANKNSHLAWQDIANNLLECINDEETIICELASNSLSMIDPSLVLPTLVQLVCSSAGKQSSASASFIAMLKYHSSRPEVICLLLDCLSNLNKSPDPSNTAGDIREGSKVDIDRVLKLIPEWSKTVQDWNPLIGPLIDKMFSEPANATIVRFLSYISEQLAEVVNEIFHPVLLKMNGQKEIDEGFISMWESRKYTDEDSVKMQQSLFEHLCPLLIIRLLPLRVFNDLSSSVLYGQLPSQSIAHVSECGDVNIIDECLAALLLKRAFNKYEFEDVRKLAAELCGRIHPQVLLPIVSSVLEHAAASHDVLKIKACLFSVCTSLVVRGVDSISHPAILKIRKMIETILLWPSLDGDEVSKAQHGCIDCLALMICAKLQVPSSFKESSKNLGAARKTSYCGNAASGNCVLLYVINLLINDENALVSASMSGSENSAFEAPTTHSFRVCMANVLISACQKISDSGKKRFAKKTVPRLLQAVEGVMHPDIRAACIQVLFSAVYHLKSAVLPYSSDLLNLSLKFLSRGSEKERMASAKLIASLMASEDVIVKSISGGLLEARSVLSRVSFSDSSPELQQICQQLLACITSP >Potri.008G102600.1.v4.1 pep chromosome:Pop_tri_v4:8:6482803:6483625:1 gene:Potri.008G102600.v4.1 transcript:Potri.008G102600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102600.v4.1 MRTSVSSLSILLYILLLSAPLPLTQCGDLVGQICKKTPFYDLCVLSLQPNSGTDVKTLASKMANLVLSNVTDTLNFIQGLVKQETGTSLERPLADCAELYIPVVKYNLPQAIDALIRGRYGFADYVFSDVSKQADACEKNFSGEDESPLTDRNKLISNLCDVAVAIINILQKGF >Potri.012G115400.1.v4.1 pep chromosome:Pop_tri_v4:12:13347497:13348437:1 gene:Potri.012G115400.v4.1 transcript:Potri.012G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115400.v4.1 MQPNLSRFTLFLLFLIAGGSFARNIGGTSNRVVVGDMKFASPEMSTVPVGQTGPPSGASGSGHGPNWDYNWGWGSTPNGGWGYGSGSGRSPTGFGKGFGYGSGSGSGSGSGYGYGTGSGGAQGGGYGAGSGSGNSAGGGSGEGSGGPSNYGTQSPSDPRQRTNHG >Potri.002G013400.3.v4.1 pep chromosome:Pop_tri_v4:2:819216:824955:-1 gene:Potri.002G013400.v4.1 transcript:Potri.002G013400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013400.v4.1 MDGEKFSVEAGKPILCKAAVARKPGEPLVIEEIIVAPPSHHEVRVRIICTSLCQSDVTFWKLKDLPAVFPRILGHEAIGVVESVGEDVDEVVEGDVVIPVFLPDCGDCADCKSKKSNLCSKLPFQVSPWMPRDKTSRFTNLKGEVLYHFLNVSSFSEYTVVDTAHIVKIDPSIPPSKACLLSCGVSTGVGAAWRTANVEAGSTVVIFGLGSIGLAVAEGARISGATKIIGVDVNQEKFEIAKKFGVTDFVNVGECGDKPVSQVINEMTGGGADYCFECVGLVSLMEQAYACCRKGWGKTIILGVEKPESRVSLPCADVLQSGKTLTGALFGGLKAKSDIPILLKRYMDKELELDKFVTHEINFEDINKAFDLLLEGKSLRCVIWMDKQASYSKGVCIS >Potri.009G116900.2.v4.1 pep chromosome:Pop_tri_v4:9:9869732:9871897:1 gene:Potri.009G116900.v4.1 transcript:Potri.009G116900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116900.v4.1 MVNTLSLYKPLLQGLMKLAGVTPRAVEIEPGTVIRFWVPSETTTTNKPAVVFLHGFGLDGILTWQFQVLALAKKYAVYVPDLLFFGDSITDKTERSPAFQAECMAKGLRKLRVEKCTLVGLSYGGIVGFKMAEMFPNLVDSMVITCSVMALTESITCAGLQRIGFSSWADYLIPETVEGVKKLLDVAFYKLPWIPDFVYRHILEALYFDHKKERHELLEALIVKDKDFTVPSFTQRIYLLWGVDDIIFDKEEVRNLKELLEGKATVHCIERAGHLVELERPFAYNRQLKQILASLYEDGKEN >Potri.006G063100.2.v4.1 pep chromosome:Pop_tri_v4:6:4507420:4510784:1 gene:Potri.006G063100.v4.1 transcript:Potri.006G063100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063100.v4.1 MGKRKRSSGDHNKTKCTSSSDDLPCSSGTELLSREKSYLLESSESRPLIPMVDTSDNTVKVLNAQHSHAHQNHNLGRSIVLKRSRHYYGHQYSRRNLGSHADASTSHGKTALSRNERLTFKLISQPGSKPGCHTENKEIEFSRPDRVRFSSLVMNAVSSDAVKMVCGICQKLVRRKNYFLGNALTTGEFSVVAILVCGHVYHSECLEQKTSIEDMRDPPCPLCSGLLSEADASREEE >Potri.006G063100.5.v4.1 pep chromosome:Pop_tri_v4:6:4507420:4510810:1 gene:Potri.006G063100.v4.1 transcript:Potri.006G063100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063100.v4.1 MIGLKMIVVSYVADDLPCSSGTELLSREKSYLLESSESRPLIPMVDTSDNTVKVLNAQHSHAHQNHNLGRSIVLKRSRHYYGHQYSRRNLGSHADASTSHGKTALSRNERLTFKLISQPGSKPGCHTENKEIEFSRPDRVRFSSLVMNAVSSDAVKMVCGICQKLVRRKNYFLGNALTTGEFSVVAILVCGHVYHSECLEQKTSIEDMRDPPCPLCSGLLSEADASREEE >Potri.008G130800.1.v4.1 pep chromosome:Pop_tri_v4:8:8612336:8612647:1 gene:Potri.008G130800.v4.1 transcript:Potri.008G130800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130800.v4.1 MIFHRKVGVAAARGRRYSGAKAAIIFLFWILLILAQLGLLIAFGHEETGKLVKSLPRKARFFETRFHAPPSQDQPLDIDKGDPDTVYEDDKRIIHTGPNPLHN >Potri.005G079000.3.v4.1 pep chromosome:Pop_tri_v4:5:5368859:5373641:1 gene:Potri.005G079000.v4.1 transcript:Potri.005G079000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079000.v4.1 MASSAIETDGQEILDLEKNRQAEMSQWVLNAPEPPSLWRELMDSARETVLPRGKRFPYLKDKDGLSKTVISVLQAMFPIFSWCRHYNATKLRNDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSRDIAIGPVAVVSLLMSSMVPKLEDPEANPIAYRNLVLTTTFFAGIFQAAFGLFRLGFLVDFLSHAAIVGFVSGAAIVIGLQQMKGLLGIAHFTNKTDVISVMQAIWRSVHQYWNPHNFILGCSFLSFIKLTRFVGKRNRKLFWLPATAPLISVVLSTLLVYLTRADKHGVMIIKHIKKGLNPGSIHELQFNNPHIGEVAKTGLIVAVIAITEATAVGRSFASIKGYHINGNQEMVAFGFMNILGSFTSCYVATGSFSRSAVNFSAGCETAMSNIVMAITVIISLELFTRLLYFTPIAVLSAIILSALPGLVDPHEAYYIWKVDKLDFLVCIGAFFGVLFASVEIGLLAAVIISFVKIIIFSIRPGTEELGRLPGTDIFCDVNQYPMAVKNSKALIIRVKSGLLCFANANFVKEKIMKWATEEEENDSQGKRTVQVVILDMSNLMNIDMSGIASLLELQNNLASGGMELAITNPKWQVIHKLRLANFVTKMGGRVFLTVGEAVDACLGAKMAAV >Potri.005G079000.5.v4.1 pep chromosome:Pop_tri_v4:5:5368859:5373641:1 gene:Potri.005G079000.v4.1 transcript:Potri.005G079000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079000.v4.1 MASSAIETDGQEILDLEKNRQAEMSQWVLNAPEPPSLWRELMDSARETVLPRGKRFPYLKDKDGLSKTVISVLQAMFPIFSWCRHYNATKLRNDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSRDIAIGPVAVVSLLMSSMVPKLEDPEANPIAYRNLVLTTTFFAGIFQAAFGLFRLGFLVDFLSHAAIVGFVSGAAIVIGLQQMKGLLGIAHFTNKTDVISVMQAIWRSVHQYWNPHNFILGCSFLSFIKLTRFVGKRNRKLFWLPATAPLISVVLSTLLVYLTRADKHGEATAVGRSFASIKGYHINGNQEMVAFGFMNILGSFTSCYVATGSFSRSAVNFSAGCETAMSNIVMAITVIISLELFTRLLYFTPIAVLSAIILSALPGLVDPHEAYYIWKVDKLDFLVCIGAFFGVLFASVEIGLLAAVIISFVKIIIFSIRPGTEELGRLPGTDIFCDVNQYPMAVKNSKALIIRVKSGLLCFANANFVKEKIMKWATEEEENDSQGKRTVQVVILDMSNLMNIDMSGIASLLELQNNLASGGMELAITNPKWQVIHKLRLANFVTKMGGRVFLTVGEAVDACLGAKMAAV >Potri.005G079000.1.v4.1 pep chromosome:Pop_tri_v4:5:5368858:5373798:1 gene:Potri.005G079000.v4.1 transcript:Potri.005G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079000.v4.1 MASSAIETDGQEILDLEKNRQAEMSQWVLNAPEPPSLWRELMDSARETVLPRGKRFPYLKDKDGLSKTVISVLQAMFPIFSWCRHYNATKLRNDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSRDIAIGPVAVVSLLMSSMVPKLEDPEANPIAYRNLVLTTTFFAGIFQAAFGLFRLGFLVDFLSHAAIVGFVSGAAIVIGLQQMKGLLGIAHFTNKTDVISVMQAIWRSVHQYWNPHNFILGCSFLSFIKLTRFVGKRNRKLFWLPATAPLISVVLSTLLVYLTRADKHGVMIIKHIKKGLNPGSIHELQFNNPHIGEVAKTGLIVAVIAITEATAVGRSFASIKGYHINGNQEMVAFGFMNILGSFTSCYVATGSFSRSAVNFSAGCETAMSNIVMAITVIISLELFTRLLYFTPIAVLSAIILSALPGLVDPHEAYYIWKVDKLDFLVCIGAFFGVLFASVEIGLLAAVIISFVKIIIFSIRPGTEELGRLPGTDIFCDVNQYPMAVKNSKALIIRVKSGLLCFANANFVKEKIMKWATEEEENDSQGKRTVQVVILDMSNLMNIDMSGIASLLELQNNLASGGMELAITNPKWQVIHKLRLANFVTKMGGRVFLTVGEAVDACLGAKMAAV >Potri.005G079000.4.v4.1 pep chromosome:Pop_tri_v4:5:5368859:5373641:1 gene:Potri.005G079000.v4.1 transcript:Potri.005G079000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079000.v4.1 MASSAIETDGQEILDLEKNRQAEMSQWVLNAPEPPSLWRELMDSARETVLPRGKRFPYLKDKDGLSKTVISVLQAMFPIFSWCRHYNATKLRNDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSRDIAIGPVAVVSLLMSSMVPKLEDPEANPIAYRNLVLTTTFFAGIFQAAFGLFRLGFLVDFLSHAAIVGFVSGAAIVIGLQQMKGLLGIAHFTNKTDVISVMQAIWRSVHQYWNPHNFILGCSFLSFIKLTRFVGKRNRKLFWLPATAPLISVVLSTLLVYLTRADKHGVMIIKHIKKGLNPGSIHELQFNNPHIGEVAKTGLIVAVIAITEATAVGRSFASIKGYHINGNQEMVAFGFMNILGSFTSCYVATGSFSRSAVNFSAGCETAMSNIVMAITVIISLELFTRLLYFTPIAVLSAIILSALPGLVDPHEAYYIWKVDKLDFLVCIGAFFGVLFASVEIGLLAAVIISFVKIIIFSIRPGTEELGRLPGTDIFCDVNQYPMAVKNSKALIIRVKSGLLCFANANFVKEKIMKWATEEEENDSQGKRTVQVVILDMSNLMNIDMSGIASLLELQNNLASGGMELAITNPKWQVIHKLRLANFVTKMGGRVFLTVGEAVDACLGAKMAAV >Potri.005G079000.2.v4.1 pep chromosome:Pop_tri_v4:5:5368858:5373798:1 gene:Potri.005G079000.v4.1 transcript:Potri.005G079000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079000.v4.1 MASSAIETDGQEILDLEKNRQAEMSQWVLNAPEPPSLWRELMDSARETVLPRGKRFPYLKDKDGLSKTVISVLQAMFPIFSWCRHYNATKLRNDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSRDIAIGPVAVVSLLMSSMVPKLEDPEANPIAYRNLVLTTTFFAGIFQAAFGLFRWLGFLVDFLSHAAIVGFVSGAAIVIGLQQMKGLLGIAHFTNKTDVISVMQAIWRSVHQYWNPHNFILGCSFLSFIKLTRFVGKRNRKLFWLPATAPLISVVLSTLLVYLTRADKHGVMIIKHIKKGLNPGSIHELQFNNPHIGEVAKTGLIVAVIAITEATAVGRSFASIKGYHINGNQEMVAFGFMNILGSFTSCYVATGSFSRSAVNFSAGCETAMSNIVMAITVIISLELFTRLLYFTPIAVLSAIILSALPGLVDPHEAYYIWKVDKLDFLVCIGAFFGVLFASVEIGLLAAVIISFVKIIIFSIRPGTEELGRLPGTDIFCDVNQYPMAVKNSKALIIRVKSGLLCFANANFVKEKIMKWATEEEENDSQGKRTVQVVILDMSNLMNIDMSGIASLLELQNNLASGGMELAITNPKWQVIHKLRLANFVTKMGGRVFLTVGEAVDACLGAKMAAV >Potri.005G079000.6.v4.1 pep chromosome:Pop_tri_v4:5:5368859:5373641:1 gene:Potri.005G079000.v4.1 transcript:Potri.005G079000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079000.v4.1 MASSAIETDGQEILDLEKNRQAEMSQWVLNAPEPPSLWRELMDSARETVLPRGKRFPYLKDKDGLSKTVISVLQAMFPIFSWCRHYNATKLRNDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSRDIAIGPVAVVSLLMSSMVPKLEDPEANPIAYRNLVLTTTFFAGIFQAAFGLFRLGFLVDFLSHAAIVGFVSGAAIVIGLQQMKGLLGIAHFTNKTDVISVMQAIWRSVHQYWNPHNFILGCSFLSFIKLTRFVGKRNRKLFWLPATAPLISVVLSTLLVYLTRADKHGEATAVGRSFASIKGYHINGNQEMVAFGFMNILGSFTSCYVATGSFSRSAVNFSAGCETAMSNIVMAITVIISLELFTRLLYFTPIAVLSAIILSALPGLVDPHEAYYIWKVDKLDFLVCIGAFFGVLFASVEIGLLAAVIISFVKIIIFSIRPGTEELGRLPGTDIFCDVNQYPMAVKNSKALIIRVKSGLLCFANANFVKEKIMKWATEEEENDSQGKRTVQVVILDMSNLMNIDMSGIASLLELQNNLASGGMELAITNPKWQVIHKLRLANFVTKMGGRVFLTVGEAVDACLGAKMAAV >Potri.005G079000.7.v4.1 pep chromosome:Pop_tri_v4:5:5369057:5373579:1 gene:Potri.005G079000.v4.1 transcript:Potri.005G079000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079000.v4.1 MKGLLGIAHFTNKTDVISVMQAIWRSVHQYWNPHNFILGCSFLSFIKLTRFVGKRNRKLFWLPATAPLISVVLSTLLVYLTRADKHGVMIIKHIKKGLNPGSIHELQFNNPHIGEVAKTGLIVAVIAITEATAVGRSFASIKGYHINGNQEMVAFGFMNILGSFTSCYVATGSFSRSAVNFSAGCETAMSNIVMAITVIISLELFTRLLYFTPIAVLSAIILSALPGLVDPHEAYYIWKVDKLDFLVCIGAFFGVLFASVEIGLLAAVIISFVKIIIFSIRPGTEELGRLPGTDIFCDVNQYPMAVKNSKALIIRVKSGLLCFANANFVKEKIMKWATEEEENDSQGKRTVQVVILDMSNLMNIDMSGIASLLELQNNLASGGMELAITNPKWQVIHKLRLANFVTKMGGRVFLTVGEAVDACLGAKMAAV >Potri.002G036500.1.v4.1 pep chromosome:Pop_tri_v4:2:2402513:2404268:1 gene:Potri.002G036500.v4.1 transcript:Potri.002G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036500.v4.1 MYLQFYINDNGDKVYTTKKESPLGLPTQSAHPARFSPDDKYSRQRVLLKKRFGLLPTQQSPLKY >Potri.013G015900.5.v4.1 pep chromosome:Pop_tri_v4:13:1027387:1030394:-1 gene:Potri.013G015900.v4.1 transcript:Potri.013G015900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015900.v4.1 MEILGSLTSTVVELLIVPIRRSVSRVFNCSRNVQSLRTHLDELSGTEKRVLHSVEEARNRIEDIEDDVGKWLASVNVITDKASRVFEDEDKAKKRCFMGLFPNVTRRYKFSTKIESIAEEVVKINHRGRFDRVSYLPARRGIGDRSLKDYEAFESRRPVLDEILEALKDDDVDLVGVYGMAGVGKTTLVKKVAEQVKAGRIFDVVVQAVVSQTPNLRKIQGEIADKLGLKLDAETDSGRADFLYERLKRETKVLVILDDIWERLELDDVGIPSGSDHRGCKILMTSRDRNVLSRGMVTKKVFWLQVLPENEAWNLFKKTAGDVVKYPDLQLVAVEVAKRCAGLPILIVTVARALKDGKLSEWKDALVRLKRFDKDEMDSRVCSALELSYDSLKGEEIKSVFLLCGQLEPHSIAILDLLKYTVGLGLFKRISTLEEARNRLHRLVNDLKASCLLLEGGADGIVKMHDVVHGFAAFVASRDHHVFTLASGTVLKEWPAMLEQCSAISLPRCKIPGLPEVLNFPKAESFILYNEDPSLKIPDSLFKGTQTLQLVDMTAVQLPTLPSSLQFLEKLQTLCLDSCGLKDIAMIGELKMLKVLSLIGSNIVRLPREIGQLTRLQLLDLSNNPRLEMIPPNVLSCLTQLEDLYMENSFLQWRIEGLDSQRNNASLAELKYLPNLSTLYLHITDPMILPRDFFSKKLERFKILIGEGWDWSRKRETSTTMKLKISASIQSEEGIQLLLKRTEDLHLDGLKGVKSVSYELDGQGFPRLKHLHIQNSLEIRYIVDSTMLSPSIAFPLLESLSLDNLNKLEKICNSQPVAESFSNLRILKVESCPILKNLFSLHMERGLLQLEHISIIDCKIMEVIVAEESGGQADEDEAIKLTQLRTLTLEYLPQFTSVSSKSNAASISQTRPEPLITDVGSNEIASDNELGTPMTLFNKKVCFILMTMA >Potri.013G015900.4.v4.1 pep chromosome:Pop_tri_v4:13:1019239:1030143:-1 gene:Potri.013G015900.v4.1 transcript:Potri.013G015900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015900.v4.1 MGLFPNVTRRYKFSTKIESIAEEVVKINHRGRFDRVSYLPARRGIGDRSLKDYEAFESRRPVLDEILEALKDDDVDLVGVYGMAGVGKTTLVKKVAEQVKAGRIFDVVVQAVVSQTPNLRKIQGEIADKLGLKLDAETDSGRADFLYERLKRETKVLVILDDIWERLELDDVGIPSGSDHRGCKILMTSRDRNVLSRGMVTKKVFWLQVLPENEAWNLFKKTAGDVVKYPDLQLVAVEVAKRCAGLPILIVTVARALKDGKLSEWKDALVRLKRFDKDEMDSRVCSALELSYDSLKGEEIKSVFLLCGQLEPHSIAILDLLKYTVGLGLFKRISTLEEARNRLHRLVNDLKASCLLLEGGADGIVKMHDVVHGFAAFVASRDHHVFTLASGTVLKEWPAMLEQCSAISLPRCKIPGLPEVLNFPKAESFILYNEDPSLKIPDSLFKGTQTLQLVDMTAVQLPTLPSSLQFLEKLQTLCLDSCGLKDIAMIGELKMLKVLSLIGSNIVRLPREIGQLTRLQLLDLSNNPRLEMIPPNVLSCLTQLEDLYMENSFLQWRIEGLDSQRNNASLAELKYLPNLSTLYLHITDPMILPRDFFSKKLERFKILIGEGWDWSRKRETSTTMKLKISASIQSEEGIQLLLKRTEDLHLDGLKGVKSVSYELDGQGFPRLKHLHIQNSLEIRYIVDSTMLSPSIAFPLLESLSLDNLNKLEKICNSQPVAESFSNLRILKVESCPILKNLFSLHMERGLLQLEHISIIDCKIMEVIVAEESGGQADEDEAIKLTQLRTLTLEYLPQFTSVSSKSNAASISQTRPEPLITDVGSNEIASDNELGTPMTLFNKKIEFPSLEDLKLSSIKVEKIWQDQPGELSYWFPRLTSLIVEGCGNLKYLFTSSMVESLAQLKRLELRDCTPMEEIIIKNGLREEENVRGIMFPKLQFLKLKGLLNLTRFCTSHLIECYSLKELRIENCPALKTFISNSLSTDAVANNQFEETNSTLFDEKVSFSNIEKLHILGMDNLNMIWHTEFHSDSFCKLKALKVKQANKLLNIFPPNMLRRFHNLDNLEVDDCSSLEEVFDLRSLMNEKDSHAVTAFKLRDMYVGNLPNLKKVWNTNPHGILSFQNLHLVNAWNCPSLKSLFPTSVALGLSQLEKLQLTSCGVEEIVAEEERLGEELEFVFPKTTSFILWQLPKLKSFYPGRHTSEWPVLKKIDVYHCHEVPVFDSELQSTQGACTQDQLEIQVQQPLFSFEKIIPNLEELSLNSKDAAKVCQGQFPADLFHKIRVLELQCFHDASAEFPFGIMHRFQNMEKLLVTHGYFKELFPCRLVDEEEHTLARILYLKLFNLPDLEKIWNQDLQVDQLLQNLGTLEVRSCDSLINLAPSASSFGNLTALHVWDCEALKYLVTSSTARSLVQLSAMSIKECKMVTEIVASKGDEAGNEIIFWKLESLKLDCLASLTSFCSINFTFKFPSLTEVIVTNCPKMKTFSPGISTPKLQKVWLSEEKDKGHWERDLNITIQQLSV >Potri.008G062300.1.v4.1 pep chromosome:Pop_tri_v4:8:3750544:3751462:-1 gene:Potri.008G062300.v4.1 transcript:Potri.008G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062300.v4.1 MSLIPSSLFGGRRTNVFDPFSLDIWDPFQDFPFTSTALSAPRSEVAKETSAFASTRIDWKETPEAHVFKADLPGLKKEEVKVEIEEGKVLQISGERSKENEEKNDKWHRVERSSGKFMRRFRLPENAKADQVKASMENGVLTVTVPKEEVKKPDVKSIEISG >Potri.003G113900.1.v4.1 pep chromosome:Pop_tri_v4:3:13621004:13623023:1 gene:Potri.003G113900.v4.1 transcript:Potri.003G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G113900.v4.1 MEKKHEMASSVKISMVVVMAVVFSSCTTTLTKAQESSTSCATKLTACQAFLATTTTPPDNCCNPIKEAVAKELPCLCKLYNDPNLFPSLGINVTQAVNLSQRCGVTTNLTSCGASTPTGTPPGVPVKDGGARMAWTGLSGLLVLLAASLLY >Potri.001G405400.1.v4.1 pep chromosome:Pop_tri_v4:1:43271614:43272276:1 gene:Potri.001G405400.v4.1 transcript:Potri.001G405400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405400.v4.1 MAFFCFLVDQTRKVRSFKPVAGSCSRCGGGASVANMRTSTRFCYVPFYWKSWRAIICTFCGAILKSYR >Potri.007G062622.1.v4.1 pep chromosome:Pop_tri_v4:7:7214635:7214937:-1 gene:Potri.007G062622.v4.1 transcript:Potri.007G062622.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062622.v4.1 MDPLKYFTFSMIIFILGIWGILLNRRNIPIMLMPIELMLLAVNLNFLVFSVSLDDMMGQLFALLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQG >Potri.012G031900.1.v4.1 pep chromosome:Pop_tri_v4:12:882459:883364:-1 gene:Potri.012G031900.v4.1 transcript:Potri.012G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031900.v4.1 MHGVQERKGMESITFRAGGRVKEQQERCGGFQMPLHYPRYSRSEYETMPEGQLDLLLTEYGLPMVGNVEQKRKFAMGAFLWPR >Potri.001G072300.1.v4.1 pep chromosome:Pop_tri_v4:1:5435040:5437904:1 gene:Potri.001G072300.v4.1 transcript:Potri.001G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072300.v4.1 MSVHNHSAVFLTRPPPPAALLSPPCRLNMIYIRNNCFTSSDCKLIMHATIASSNGSSSGGTTAGGGRDGRVKKKGVPDSNYVVPLDKSLASAYTSCITRPLSEILRDLNKRIPDNIIKPPNSSSTLIPWHHANRMLSFYAPGWSGEIRDVIFAENGSVTVIFRVTILGSDGEAHRESSGTVSSSDGDIEDPVAAAEEIAFCRACARFGLGLYLYHEE >Potri.009G152600.1.v4.1 pep chromosome:Pop_tri_v4:9:11997225:11999202:-1 gene:Potri.009G152600.v4.1 transcript:Potri.009G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152600.v4.1 MSREFAVPPVVFPSGGNPTVATGGNIQQRRVPTAPFQPSRPSNSGIPFMSFEIGSAATNTSGPIGGGTGPIGGAANFDDEEPLLDELGIHPDQIWKKTKSILNPFRVNPTFHKDSDLSGPIFLYLSFCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRHGNLDLHTCTSVIGYCLLPVVILSAVSLFVPQNGAIRLGISGVFVIWSTRACTNLMVAVADGGEEHRGLIAYACFLIYTLFSLLVIF >Potri.017G111700.1.v4.1 pep chromosome:Pop_tri_v4:17:11943114:11945021:1 gene:Potri.017G111700.v4.1 transcript:Potri.017G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111700.v4.1 MAVPVIKFPTLMRLIRLMGVLVTALVLTWTVHYRGGLALVSANKDLIFNAHPVLMVIGLVLVNGEAMLAYKTVPGTKSFKKLVHLTLQFLAFCLSLIGFWAALKFHNDKGIDNFYSLHSWLGLACLFLFSIQWASGFVTFWYPGGSRNSRATLLPWHVFSGVYIYALSVATATTGILEKVTFLQTNNVISRYSTEALLVNSLGILMIVLGGFVVLASVSSLNSKGDIPRNATE >Potri.001G406000.3.v4.1 pep chromosome:Pop_tri_v4:1:43336879:43340692:-1 gene:Potri.001G406000.v4.1 transcript:Potri.001G406000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406000.v4.1 MQRAQELSELLGTKRPHFLILDDLWDTFDPEKVGIPIQEDGCKLIITTRSLKVCRGMGCIHKIKVEPLTCDEAWTLFMEKLKHDVELSPEVEQIAKSVTTECAGLPLGIITMAGSMRGVDDLHEWRNTLEKLKESKVRDMEDEGFRLLRFSYDRLDDLALQQCFLYCALFPEGISRDDLIGYLIDEGIIDGIKSRQAEFDEGHTMLNELENVCLLESCDDYNGCRGVRMHDLIRDMTHQIQLMNCPIMVGEELRDADKWKEDLVRVSWTSGKFKEISPSHSPRCPNLSTLLLPCNDALKFIADSFFKQLNRLKILDLSRTNIELLPDSVSDLVSLRALLLKGCKQLRHVPSLKKLRLLKRLDLSDTVLENVPQDMEYLSNLRYLKLNGCRQKEFPTGILPKLSSLQVFVLDDDWVNGQYAPVTVEGKEVTCLRKLETLKCHFELFSDFVGYLKSWDETLSLSTYNFLVGQCNNDDVAFLEFSGRSKIVWLCNFNNRTCLEFPTDIQELVILKSTLKLCCVIEWRVCFHLLGSALLHYHFHLMTYFLISKTFIVMGVQV >Potri.011G159400.1.v4.1 pep chromosome:Pop_tri_v4:11:18505160:18506977:-1 gene:Potri.011G159400.v4.1 transcript:Potri.011G159400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G159400.v4.1 MVPSSSSILSVLLVLLLSPFIVSHALQDRFLKCLSRTSESSFPFSTVLYTPKNSSFTSVLQSSAQNLRFTLPSVRKPEFIFTPLQESHIQAVVICSKQLGIHLRVRSGGHDFEGLSYVSENETPFIVVDLAKLRSISVDIENNSAWVQAGATNGELYYRISEKSKTHGFPSGTCPTVCMGGLISGGGYGSMLRKYGLAADNVVDVHIIDVHGRLLDRKSMGEDLFWAIRGGAGGSFGIVTTWKVKLVPVPSAVTVFTVTKTLEQGATQLLYRWQQIADQLDKDLFIRVQIQTANVSSHGKRTITTSYNALFLGDANRLLQVMKHSFPELGLTRQDCIETNWINSTVYMAGLSNNTPPEFFLQRTNPDRAYFKGKSDYARKPIPEKALEGLWQKLFEAESPLVVFTPYGGMMNQISESQTPFPHRKGTKFMILYWSSWQDAKENVAKHINWTRKVYSYMTPYVSKNPREAYVNYRDIDLGMNNNSNASFVEASVFGIKYFKDNFYRLVHVKTKVDPHNFFRHEQSIPPLSLHMR >Potri.004G209800.15.v4.1 pep chromosome:Pop_tri_v4:4:21633679:21637093:1 gene:Potri.004G209800.v4.1 transcript:Potri.004G209800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209800.v4.1 MDTGGNSLSSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVILQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHQVLEEIRCKLLDNLSKLQHAPSVQFQERVPDTELPEVSFAYFYKDKIGGIDSNCSHNSQLRFYSLSTWASHLNSF >Potri.004G209800.6.v4.1 pep chromosome:Pop_tri_v4:4:21633695:21637108:1 gene:Potri.004G209800.v4.1 transcript:Potri.004G209800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209800.v4.1 MDTGGNSLSSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVILQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHQVLEEIRCKLLDNLSKLQHAPSVQFQERVPDTELPEAEEEQNEADERWDPDSDMDVDEERKPLPGRVKREIVEAEPKESEGQKGSSGHARGFDTTIAENASGKALDAGPKQIDEAGVRVEQENVNKASSDQMFSKS >Potri.004G209800.12.v4.1 pep chromosome:Pop_tri_v4:4:21633621:21637121:1 gene:Potri.004G209800.v4.1 transcript:Potri.004G209800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209800.v4.1 MDTGGNSLSSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVILQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHQVLEEIRCKLLDNLSKLQHAPSVQFQERVPDTELPEAEEEQNEADERWDPDSDMDVDEERKPLPGRVKREIVEAEPKESEGQKGSSGHARGFDTTIAENASGKALDAGPKQIDEAGVRVEQENVNKASSDQMFSKS >Potri.004G209800.13.v4.1 pep chromosome:Pop_tri_v4:4:21633626:21637093:1 gene:Potri.004G209800.v4.1 transcript:Potri.004G209800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209800.v4.1 MDTGGNSLSSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVILQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHQVLEEIRCKLLDNLSKLQHAPSVQFQERVPDTELPEAEEEQNEADERWDPDSDMDVDEERKPLPGRVKREIVEAEPKESEGQKGSSGHARGFDTTIAENASGKALDAGPKQIDEAGVRVEQENVNKASSDQMFSKS >Potri.004G209800.14.v4.1 pep chromosome:Pop_tri_v4:4:21633700:21637144:1 gene:Potri.004G209800.v4.1 transcript:Potri.004G209800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209800.v4.1 MDTGGNSLSSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVILQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHQVLEEIRCKLLDNLSKLQHAPSVQFQERVPDTELPEVSFAYFYKDKIGGIDSNCSHNSQLRFYSLSTWASHLNSF >Potri.004G209800.4.v4.1 pep chromosome:Pop_tri_v4:4:21633679:21637121:1 gene:Potri.004G209800.v4.1 transcript:Potri.004G209800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209800.v4.1 MDTGGNSLSSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDVRDIGYSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVILQCGADSLSGDRLGCFNLSIKGHAECVKFMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSHQVLEEIRCKLLDNLSKLQHAPSVQFQERVPDTELPEAEEEQNEADERWDPDSDMDVDEERKPLPGRVKREIVEAEPKESEGQKGSSGHARGFDTTIAENASGKALDAGPKQIDEAGVRVEQENVNKASSDQMFSKS >Potri.010G035300.1.v4.1 pep chromosome:Pop_tri_v4:10:6421908:6424867:1 gene:Potri.010G035300.v4.1 transcript:Potri.010G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G035300.v4.1 MPQQPHHSFLLLSILMLICSLYSIISADPLFHECTSKQDTSLRSSSCPPFASTSPPPFPFSLSPGCGHPFFQLKCSSPHSIISINNLSFALLHFDPNSSSLLLSPQLSSLTSSTTIRPAATCFSDLSSIPAHSINLSSSPFRVSDSYCSRLSVLRSCSPPNLPNCSHCPWECKLVKNPLNLLNDCGSATPRSLQKQGCQTDILEYLDNFLKIGFQVEYDESQDSFFSSCRECKSKKGICGFNSSDPEKNFFCFQSRTRFSPTWIHQNDPNRVAILCSISAVLCLVIAVLVFGIVFRFRRLKSLKTEDDPTTLFLHRHRSASLLPPAFTFEELESSTNRFDPKRKIGDGGFGSVYLGQLSDARIVAVKYLHRHHQAAAAGRAFSTKSFCNEILILSSINHSNLVKLHGYCSDPRGLLLVYDYVPNGTLADHLHGTNNLHRKSSLTWQVRLDIALQTALAIEYLHFSVKPPIVHRDITSSNIFIERDMRIKVGDFGLSRLLVLPETSSSSSGYVCTGPQGTPGYLDPDYHRSFRLTEKSDVYSFGVVLLELVSGLRAVDQSRDKREMALADLVVSKIQMGLLRQVVDPVLGVDEETMNGIESVAELAFRCVAADKDDRPDSREVVEELSRIRSRTIGIK >Potri.017G050900.1.v4.1 pep chromosome:Pop_tri_v4:17:3609091:3612834:-1 gene:Potri.017G050900.v4.1 transcript:Potri.017G050900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050900.v4.1 MAAAFCSLHQGSSLKKLQYYHVRQSYATRSYPGALTSNASKKSSLCCCTAQNFVCGSRISRLEFKKGIQCWRAFSGSIANYADKSHEGETGEDVPKATLIWRAVKLPIYSVALVPLTVGGAAAYLQTGMFSARRYFSLLVSSILIITWLNLSNDVYDFDTGADKNKKESVVNLVGSRSVAFIAAYSSLLLGFAGLAWTSMGEGNIHAILFLACAILCGYVYQCPPFRLSYQGLGEPLCFAAFGPFATSAFYLLLGSTSEMSILPLTGTILSASLLVGFTTTLILFCSHFHQVEEDKAVGKFSPLVRLGTERGSGVVKVAVAMLYSLLFASGLSRTLPLACILLCSLTLPMGKLVVGFVEENYKDKGKIFMAKYFCVRLHALFGAALASGLVAARVFQGYFP >Potri.007G108701.1.v4.1 pep chromosome:Pop_tri_v4:7:13094056:13097356:-1 gene:Potri.007G108701.v4.1 transcript:Potri.007G108701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108701.v4.1 MASTGQVIRCKAAVAWEAGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLEPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLSDGKSRFSIRGQPIYHFVGTSTFSEYTVIHVGCLAKINPAAPLDKVCLLSCGISTGLGATLNVAKPKPGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLHSSRFDEAKKFGVTEFVNPNDHDKPVHEVIAEMTDGGVDRSVECTGSISAMISAFECVHDGWGVAVLVGVPSKDDSFKTNPMNFLSERTLKGTFFGNYKPRSDLPSVVEKYMNKELELEKFISHEVPFSEINKAFEYMLAKASLRCIIRMGA >Potri.007G064600.2.v4.1 pep chromosome:Pop_tri_v4:7:8068718:8069634:1 gene:Potri.007G064600.v4.1 transcript:Potri.007G064600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064600.v4.1 MNSSSSSSPNRERVKWTLEENKLFENAIAEFDPGSPDFFEKISERIPEKTLKQTEDHFLILIEDVEKIESGLTPLPDYGTTSRGDKGKGSNSNDKPKQRKKGVPWTGEEHELFLNGLKKYGKGDWRSISRNCVVTRTPSQVASHAQKYFLRLQNSSNTEHKQWYSSTSRHRRRNSSSSSTTDKAAASMAAAPSPHLSVPASISNEFAATPFSHFSSD >Potri.002G192400.15.v4.1 pep chromosome:Pop_tri_v4:2:15512749:15523062:1 gene:Potri.002G192400.v4.1 transcript:Potri.002G192400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192400.v4.1 MALKAFAAQVEEGREGKDGKPSVGPVYRNLLSKKEYPPLDRDVTTSWDIFSSSAKKYPEYKMLGWRKFVDGKVGPYVWKTYKEVYDEVLQISSALRASGAEPGYRVGIYGSNCPQWIEAMEACNAQSLVCVPLYDTIGPRAVNFIIDHAEIDFVFVQDKKVKELLDPDCASAQRLKAIVCFTSLTEEEKDRAAQMGIKSYSWEEYLCMGKENPSEIFPPQPLSICTIMYTSGTSGDPKGVVILHETVAAFVRGIDLFMEQFEDKMTTDDVYLSFLPLAHVLDRMIEEYFFRKGASVGYYHGDLNALRDDLMELKPTCLAGVPRVFEKIQEGIKKALQELSPLRRNIFDVLYRYKLGWMNRGYRQKYASPLADLLAFRKVKAKLGGRLRLIICGGASLSTEVEEFLRVTSCAFVIQGYGLTESCGPATMAFPDEMCMLGTVGAVAVYNDLCLEEVPEMGYDPLGNPPCGEICLRGKTLFSGYYKNPELTRESMKDGWFHTGDIGEILPNGVVKIIDRKKNLIKLSQGEYIAIEYLENVYNNTPIVEDIWIYGDSCKSMLVAVVVLHEENTKSWAYLNGHMGSFTELCSLHQLQKLVLSELKTTAERNKLKGFEHIKGVILESCPFDIEKDLVTATLKKKRNKLVSHYRVEIDELYQKLAEGRA >Potri.002G192400.17.v4.1 pep chromosome:Pop_tri_v4:2:15512749:15523005:1 gene:Potri.002G192400.v4.1 transcript:Potri.002G192400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192400.v4.1 MALKAFAAQVEEGREGKDGKPSVGPVYRNLLSKKEYPPLDRDVTTSWDIFSSSAKKYPEYKMLGWRKFVDGKVGPYVWKTYKEVYDEVLQISSALRASGAEPGYRVGIYGSNCPQWIEAMEACNAQSLVCVPLYDTIGPRAVNFIIDHAEIDFVFVQDKKVKELLDPDCASAQRLKAIVCFTSLTEEEKDRAAQMGIKSYSWEEYLCMGKENPSEIFPPQPLSICTIMYTSGTSGDPKGVVILHETVAAFVRGIDLFMEQFEDKMTTDDVYLSFLPLAHVLDRMIEEYFFRKGASVGYYHGDLNALRDDLMELKPTCLAGVPRVFEKIQEGIKKALQELSPLRRNIFDVLYRYKLGWMNRGYRQKYASPLADLLAFRKVKAKLGGRLRLIICGGASLSTEVEEFLRVTSCAFVIQGYGLTESCGPATMAFPDEMCMLGTVGAVAVYNDLCLEEVPEMGYDPLGNPPCGEICLRGKTLFSGYYKNPELTRESMKDGWFHTGDIGEILPNGVVKIIDRKKNLIKLSQGEYIAIEYLENVYNNTPIVEDIWIYGDSCKSMLVAVVVLHEENTKSWAYLNGHMGSFTELCSLHQLQKLVLSELKTTAERNKLKGFEHIKGVILESCPFDIEKDLVTATLKKKRNKLVSHYRVEIDELYQKLAEGRA >Potri.002G192400.16.v4.1 pep chromosome:Pop_tri_v4:2:15512746:15523060:1 gene:Potri.002G192400.v4.1 transcript:Potri.002G192400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192400.v4.1 MALKAFAAQVEEGREGKDGKPSVGPVYRNLLSKKEYPPLDRDVTTSWDIFSSSAKKYPEYKMLGWRKFVDGKVGPYVWKTYKEVYDEVLQISSALRASGAEPGYRVGIYGSNCPQWIEAMEACNAQSLVCVPLYDTIGPRAVNFIIDHAEIDFVFVQDKKVKELLDPDCASAQRLKAIVCFTSLTEEEKDRAAQMGIKSYSWEEYLCMGKENPSEIFPPQPLSICTIMYTSGTSGDPKGVVILHETVAAFVRGIDLFMEQFEDKMTTDDVYLSFLPLAHVLDRMIEEYFFRKGASVGYYHGDLNALRDDLMELKPTCLAGVPRVFEKIQEGIKKALQELSPLRRNIFDVLYRYKLGWMNRGYRQKYASPLADLLAFRKVKAKLGGRLRLIICGGASLSTEVEEFLRVTSCAFVIQGYGLTESCGPATMAFPDEMCMLGTVGAVAVYNDLCLEEVPEMGYDPLGNPPCGEICLRGKTLFSGYYKNPELTRESMKDGWFHTGDIGEILPNGVVKIIDRKKNLIKLSQGEYIAIEYLENVYNNTPIVEDIWIYGDSCKSMLVAVVVLHEENTKSWAYLNGHMGSFTELCSLHQLQKLVLSELKTTAERNKLKGFEHIKGVILESCPFDIEKDLVTATLKKKRNKLVSHYRVEIDELYQKLAEGRA >Potri.002G192400.14.v4.1 pep chromosome:Pop_tri_v4:2:15512749:15523150:1 gene:Potri.002G192400.v4.1 transcript:Potri.002G192400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192400.v4.1 MALKAFAAQVEEGREGKDGKPSVGPVYRNLLSKKEYPPLDRDVTTSWDIFSSSAKKYPEYKMLGWRKFVDGKVGPYVWKTYKEVYDEVLQISSALRASGAEPGYRVGIYGSNCPQWIEAMEACNAQSLVCVPLYDTIGPRAVNFIIDHAEIDFVFVQDKKVKELLDPDCASAQRLKAIVCFTSLTEEEKDRAAQMGIKSYSWEEYLCMGKENPSEIFPPQPLSICTIMYTSGTSGDPKGVVILHETVAAFVRGIDLFMEQFEDKMTTDDVYLSFLPLAHVLDRMIEEYFFRKGASVGYYHGDLNALRDDLMELKPTCLAGVPRVFEKIQEGIKKALQELSPLRRNIFDVLYRYKLGWMNRGYRQKYASPLADLLAFRKVKAKLGGRLRLIICGGASLSTEVEEFLRVTSCAFVIQGYGLTESCGPATMAFPDEMCMLGTVGAVAVYNDLCLEEVPEMGYDPLGNPPCGEICLRGKTLFSGYYKNPELTRESMKDGWFHTGDIGEILPNGVVKIIDRKKNLIKLSQGEYIAIEYLENVYNNTPIVEDIWIYGDSCKSMLVAVVVLHEENTKSWAYLNGHMGSFTELCSLHQLQKLVLSELKTTAERNKLKGFEHIKGVILESCPFDIEKDLVTATLKKKRNKLVSHYRVEIDELYQKLAEGRA >Potri.002G192400.11.v4.1 pep chromosome:Pop_tri_v4:2:15512642:15523141:1 gene:Potri.002G192400.v4.1 transcript:Potri.002G192400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192400.v4.1 MALKAFAAQVEEGREGKDGKPSVGPVYRNLLSKKEYPPLDRDVTTSWDIFSSSAKKYPEYKMLGWRKFVDGKVGPYVWKTYKEVYDEVLQISSALRASGAEPGYRVGIYGSNCPQWIEAMEACNAQSLVCVPLYDTIGPRAVNFIIDHAEIDFVFVQDKKVKELLDPDCASAQRLKAIVCFTSLTEEEKDRAAQMGIKSYSWEEYLCMGKENPSEIFPPQPLSICTIMYTSGTSGDPKGVVILHETVAAFVRGIDLFMEQFEDKMTTDDVYLSFLPLAHVLDRMIEEYFFRKGASVGYYHGDLNALRDDLMELKPTCLAGVPRVFEKIQEGIKKALQELSPLRRNIFDVLYRYKLGWMNRGYRQKYASPLADLLAFRKVKAKLGGRLRLIICGGASLSTEVEEFLRVTSCAFVIQGYGLTESCGPATMAFPDEMCMLGTVGAVAVYNDLCLEEVPEMGYDPLGNPPCGEICLRGKTLFSGYYKNPELTRESMKDGWFHTGDIGEILPNGVVKIIDRKKNLIKLSQGEYIAIEYLENVYNNTPIVEDIWIYGDSCKSMLVAVVVLHEENTKSWAYLNGHMGSFTELCSLHQLQKLVLSELKTTAERNKLKGFEHIKGVILESCPFDIEKDLVTATLKKKRNKLVSHYRVEIDELYQKLAEGRA >Potri.002G192400.13.v4.1 pep chromosome:Pop_tri_v4:2:15512538:15523138:1 gene:Potri.002G192400.v4.1 transcript:Potri.002G192400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192400.v4.1 MALKAFAAQVEEGREGKDGKPSVGPVYRNLLSKKEYPPLDRDVTTSWDIFSSSAKKYPEYKMLGWRKFVDGKVGPYVWKTYKEVYDEVLQISSALRASGAEPGYRVGIYGSNCPQWIEAMEACNAQSLVCVPLYDTIGPRAVNFIIDHAEIDFVFVQDKKVKELLDPDCASAQRLKAIVCFTSLTEEEKDRAAQMGIKSYSWEEYLCMGKENPSEIFPPQPLSICTIMYTSGTSGDPKGVVILHETVAAFVRGIDLFMEQFEDKMTTDDVYLSFLPLAHVLDRMIEEYFFRKGASVGYYHGDLNALRDDLMELKPTCLAGVPRVFEKIQEGIKKALQELSPLRRNIFDVLYRYKLGWMNRGYRQKYASPLADLLAFRKVKAKLGGRLRLIICGGASLSTEVEEFLRVTSCAFVIQGYGLTESCGPATMAFPDEMCMLGTVGAVAVYNDLCLEEVPEMGYDPLGNPPCGEICLRGKTLFSGYYKNPELTRESMKDGWFHTGDIGEILPNGVVKIIDRKKNLIKLSQGEYIAIEYLENVYNNTPIVEDIWIYGDSCKSMLVAVVVLHEENTKSWAYLNGHMGSFTELCSLHQLQKLVLSELKTTAERNKLKGFEHIKGVILESCPFDIEKDLVTATLKKKRNKLVSHYRVEIDELYQKLAEGRA >Potri.013G103850.1.v4.1 pep chromosome:Pop_tri_v4:13:11291993:11293802:1 gene:Potri.013G103850.v4.1 transcript:Potri.013G103850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103850.v4.1 MENEMSKILIFGGTGYIGKYMVKASVSMGHKTYVYARSITTQSSPAKIGIHKEFQAMGVTIVQGEFDEQEKIVSVLRHVDVVISTVAYPQVLDQLKIIEAIKVAGNIKRFFPSDFGVEEDRVTPLPPFEAFLEKKRKIRRATEEAGIPYTFVSANCFGAYFVNYLLRPHEQPQDISVYGSGEAKAVINYEEDIAMYTIKIADDPETCNRVVIYRPQKNIVTQLELISLWEKKTGKTFNRIYVPEDEIVKLSETLPHPQNIPVSILHSLFVKGDMMGFELGEDDLEASGLYPDLEFRTIDQLLDIFLTSPPDPAAAAFE >Potri.001G184575.1.v4.1 pep chromosome:Pop_tri_v4:1:16362603:16365902:1 gene:Potri.001G184575.v4.1 transcript:Potri.001G184575.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184575.v4.1 MATRRNMITIVASKSNKATDSSHQHTIEDSSTPSLKTKSIVELYEQTKPTLKLTSTSNAKGAQDLASKMKPQVTPLQESSGEREILFSTQGDFDNSPMKTSPCQSPSKASGFGTMSVMMTETTSLEDQVANLTKLVEGFSTSLKAKDHEIAKLMNKLESLNEGGQTSTTKAFQADQLDVIEESAIGAVKNVRGITDGIFTTNQLKELIKEAITDQVESSIQPSYSYAKPYTQRIDLLKMPLSYQPPKFQQFDGKGNPQQHVAHFVETCNNAGTNGDLMVKQFVRSLKGNAFDWYTDLESGLIDTWEQLEREFLNRFYSTRRVVSMIELTNARQWKEEPVIDYIHRWRNLSLNCRDRLTKTSALDMCIQGMHWGLRYILQGIKPKSFEELATRAHDMELSIAAAESSLLPMQEPKRNKPNGRRFEKSTLKVEGKQSLVVNSAAVRVPTGVKRNDHATPTTFQKGERKKPSLKERQEKVYPFPDSDISRMLDDLLEANIIELPEVKRPEEANQVDNPNYCKYHRLISHPVEKCFVLKDKIMRLHENEDIIFDDEIAASNITTTVNLGPRQSLPTISFGSFEPIRLNAIFPMSFAASSSLTPCMTLAPQIDNLKPDSSENYDDEGWTLVTHLLLGSKLYNRPFFIKGYVDQKMVNRILVDDGSAVNILPLKTMKELGIPMDELFPSHLMIQGFNQGGQNAIGKIRLAMHMEDMESNALFHVIDAKTTYNMLLGRPWMHENGIISSTLHQCFKYCRDGQIRKIVADTDPFTITEAHFADAKFYFKSNVMEELRSPPDHLGEGRIDSKSSKGHKSSANERVSQPTRNKGKEKVVENFVVNKLPRKATVLRYIPVSARKEGQSSFAKDEEKINKGLENLTLPATNLALNKVSKPLLKGFVHQTESMVINPRRLPDKRANGFDPNAYKLLARAGYNHEDINELAKDGDTPQLEGKQVSARTRKAWREKKTSGKTVRAGLGYESSTPLHFQINK >Potri.005G200600.1.v4.1 pep chromosome:Pop_tri_v4:5:20643962:20648045:-1 gene:Potri.005G200600.v4.1 transcript:Potri.005G200600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200600.v4.1 MMAINRVLAFQILRFLLFGGFLCCQAIVHHTFVVKDVPYTRLCSTKNIMTVNGQFPGPTLYVTKGETIIVDVINKSPHNITIHWHGVKQPKYPWSDGPEYITQCPIQPGGKFSQRVIFSNEEGTLWWHAHSDWTRATVYGAIVIYPKKGTEYPFPMPHADVPIILGEWWKKDIFEIFDQFRASGADPNVSDAYTINGQPGDLYPCSKSDTFKLSVDYGKTYLLRLINAALQDILFFSITNHQVTVVGTDASYTKPLKVDYIAISPGQTIDVLLEANQPLDHYYMAAKVYSSANGVQYDNTTATAIVQYNGNYTPSSTPSLPYLPYFNDTTASVNFTGRLRSLADNNHPIYVPMSISTPLFFTVSVNIFTCANTSCGANQSRLAASVNNISFQTPTRMDILRAYYNQINGVYGDHFPDKPPLFFNFTADTIPLIYKTPSKGTEVKVLEYNSTVEIVFQGTNVAAGTDHPMHIHGTSFYVVGWGFGNFDKDKDPLRYNLVDPPLQNTIVIPKNGWSVIRFKATNPGVWFVHCHLERHLSWGMEMAFIIKNGRGKKAQMLPPPPYMPPC >Potri.004G129000.1.v4.1 pep chromosome:Pop_tri_v4:4:14312535:14320074:1 gene:Potri.004G129000.v4.1 transcript:Potri.004G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129000.v4.1 MVLGPDSINVADWTVGKSKLKKKKDGAAEESGCWIKFRFIGSCISSRTRVDSSISGTSTQYAESKSTNDTGRDQPAVQVGSSSSTSNVESSPSTPNTGEELKVSSQLRKFSFNELKSATRNFRPESILGEGGFGCVFKGWINENGTAPVKPGTGLSVAVKTLNHDGLQGHKEWLAEVNYLGDLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSVRMKVLLGAAKGLTFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKHRPNGEHNLVEWAQPHLGERRRFYRMIDPRLEGRFSIKGAQKAIQLAAHCLNRDPKARPLMSDVVEALKPLPCLKDMACSSSYFQAMQSERGSSNPSAQNGSRVRPGYSRNMQPTKSFPKFNGHHGNSYYRSPVPKAGEP >Potri.004G129000.2.v4.1 pep chromosome:Pop_tri_v4:4:14314469:14319958:1 gene:Potri.004G129000.v4.1 transcript:Potri.004G129000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129000.v4.1 MVFVFICDSAESKSTNDTGRDQPAVQVGSSSSTSNVESSPSTPNTGEELKVSSQLRKFSFNELKSATRNFRPESILGEGGFGCVFKGWINENGTAPVKPGTGLSVAVKTLNHDGLQGHKEWLAEVNYLGDLLHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSVRMKVLLGAAKGLTFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKHRPNGEHNLVEWAQPHLGERRRFYRMIDPRLEGRFSIKGAQKAIQLAAHCLNRDPKARPLMSDVVEALKPLPCLKDMACSSSYFQAMQSERGSSNPSAQNGSRVRPGYSRNMQPTKSFPKFNGHHGNSYYRSPVPKAGEP >Potri.006G136700.5.v4.1 pep chromosome:Pop_tri_v4:6:11259982:11265153:1 gene:Potri.006G136700.v4.1 transcript:Potri.006G136700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136700.v4.1 MSVLTRVQSIRERLDETLKTHRNEIVALLTRIEGKGKGILQHHQIIAEFEAIPEEIRKILAGGAFSEVLRSTQEAIVLPPWVALAVRPRPGVWEYVRVNVQALVVEELRVAEYLHFKEELVDGGSNGNFVLELDFEPFSASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLSSLKPETPYSQFEHKFQEIGLERGWGNTAERVLQMIQLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALESEMLLRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVYGSEHCDILRVPFRDEKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNVVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTEKKLRLTSFHEEIEELLYSSVENDEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYGKNTKLRELANLVVVGGDRRKESKDIEEQAEMKKMYSHIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFHIDPYHGVQAAELLVDFFEKCKADPSYWDKISQGGLQRIQEKYTWKIYSQRLLTLTGVYGFWKHVSNLDHRESRRYLEMFYALKYRKLADSVPLTIE >Potri.006G136700.1.v4.1 pep chromosome:Pop_tri_v4:6:11258120:11265154:1 gene:Potri.006G136700.v4.1 transcript:Potri.006G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136700.v4.1 MSVLTRVQSIRERLDETLKTHRNEIVALLTRIEGKGKGILQHHQIIAEFEAIPEEIRKILAGGAFSEVLRSTQEAIVLPPWVALAVRPRPGVWEYVRVNVQALVVEELRVAEYLHFKEELVDGGSNGNFVLELDFEPFSASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLSSLKPETPYSQFEHKFQEIGLERGWGNTAERVLQMIQLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALESEMLLRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVYGSEHCDILRVPFRDEKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNVVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTEKKLRLTSFHEEIEELLYSSVENDEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYGKNTKLRELANLVVVGGDRRKESKDIEEQAEMKKMYSHIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFHIDPYHGVQAAELLVDFFEKCKADPSYWDKISQGGLQRIQEKYTWKIYSQRLLTLTGVYGFWKHVSNLDHRESRRYLEMFYALKYRKLADSVPLTIE >Potri.006G136700.4.v4.1 pep chromosome:Pop_tri_v4:6:11260449:11265167:1 gene:Potri.006G136700.v4.1 transcript:Potri.006G136700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136700.v4.1 MSVLTRVQSIRERLDETLKTHRNEIVALLTRIEGKGKGILQHHQIIAEFEAIPEEIRKILAGGAFSEVLRSTQEAIVLPPWVALAVRPRPGVWEYVRVNVQALVVEELRVAEYLHFKEELVDGGSNGNFVLELDFEPFSASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLSSLKPETPYSQFEHKFQEIGLERGWGNTAERVLQMIQLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALESEMLLRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVYGSEHCDILRVPFRDEKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNVVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTEKKLRLTSFHEEIEELLYSSVENDEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYGKNTKLRELANLVVVGGDRRKESKDIEEQAEMKKMYSHIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFHIDPYHGVQAAELLVDFFEKCKADPSYWDKISQGGLQRIQEKYTWKIYSQRLLTLTGVYGFWKHVSNLDHRESRRYLEMFYALKYRKLADSVPLTIE >Potri.006G136700.3.v4.1 pep chromosome:Pop_tri_v4:6:11257994:11265268:1 gene:Potri.006G136700.v4.1 transcript:Potri.006G136700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136700.v4.1 MSVLTRVQSIRERLDETLKTHRNEIVALLTRIEGKGKGILQHHQIIAEFEAIPEEIRKILAGGAFSEVLRSTQEAIVLPPWVALAVRPRPGVWEYVRVNVQALVVEELRVAEYLHFKEELVDGGSNGNFVLELDFEPFSASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLSSLKPETPYSQFEHKFQEIGLERGWGNTAERVLQMIQLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALESEMLLRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVYGSEHCDILRVPFRDEKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNVVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTEKKLRLTSFHEEIEELLYSSVENDEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYGKNTKLRELANLVVVGGDRRKESKDIEEQAEMKKMYSHIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFHIDPYHGVQAAELLVDFFEKCKADPSYWDKISQGGLQRIQEKYTWKIYSQRLLTLTGVYGFWKHVSNLDHRESRRYLEMFYALKYRKLADSVPLTIE >Potri.006G136700.7.v4.1 pep chromosome:Pop_tri_v4:6:11257840:11265001:1 gene:Potri.006G136700.v4.1 transcript:Potri.006G136700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136700.v4.1 MSVLTRVQSIRERLDETLKTHRNEIVALLTRIEGKGKGILQHHQIIAEFEAIPEEIRKILAGGAFSEVLRSTQEAIVLPPWVALAVRPRPGVWEYVRVNVQALVVEELRVAEYLHFKEELVDGGSNGNFVLELDFEPFSASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLSSLKPETPYSQFEHKFQEIGLERGWGNTAERVLQMIQLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALESEMLLRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVYGSEHCDILRVPFRDEKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNVVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTEKKLRLTSFHEEIEELLYSSVENDEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYGKNTKLRELANLVVVGGDRRKESKDIEEQAEMKKMYSHIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFHIDPYHGVQAAELLVDFFEKCKADPSYWDKISQGGLQRIQEKYTWKIYSQRLLTLTGVYGFWKHVSNLDHRESRRYLEMFYALKYRKLADSVPLTIE >Potri.006G136700.2.v4.1 pep chromosome:Pop_tri_v4:6:11259883:11265171:1 gene:Potri.006G136700.v4.1 transcript:Potri.006G136700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136700.v4.1 MSVLTRVQSIRERLDETLKTHRNEIVALLTRIEGKGKGILQHHQIIAEFEAIPEEIRKILAGGAFSEVLRSTQEAIVLPPWVALAVRPRPGVWEYVRVNVQALVVEELRVAEYLHFKEELVDGGSNGNFVLELDFEPFSASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLSSLKPETPYSQFEHKFQEIGLERGWGNTAERVLQMIQLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALESEMLLRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVYGSEHCDILRVPFRDEKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNVVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTEKKLRLTSFHEEIEELLYSSVENDEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYGKNTKLRELANLVVVGGDRRKESKDIEEQAEMKKMYSHIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFHIDPYHGVQAAELLVDFFEKCKADPSYWDKISQGGLQRIQEKYTWKIYSQRLLTLTGVYGFWKHVSNLDHRESRRYLEMFYALKYRKLADSVPLTIE >Potri.006G136700.6.v4.1 pep chromosome:Pop_tri_v4:6:11259982:11265023:1 gene:Potri.006G136700.v4.1 transcript:Potri.006G136700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136700.v4.1 MSVLTRVQSIRERLDETLKTHRNEIVALLTRIEGKGKGILQHHQIIAEFEAIPEEIRKILAGGAFSEVLRSTQEAIVLPPWVALAVRPRPGVWEYVRVNVQALVVEELRVAEYLHFKEELVDGGSNGNFVLELDFEPFSASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIHNLDSLQYVLRKAEEYLSSLKPETPYSQFEHKFQEIGLERGWGNTAERVLQMIQLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALESEMLLRIKQQGLDITPRILIITRLLPDAVGTTCGQRLEKVYGSEHCDILRVPFRDEKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNVVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTEKKLRLTSFHEEIEELLYSSVENDEHLCVLKDRNKPILFTMARLDRVKNLTGLVEWYGKNTKLRELANLVVVGGDRRKESKDIEEQAEMKKMYSHIEKYKLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVHGKSGFHIDPYHGVQAAELLVDFFEKCKADPSYWDKISQGGLQRIQEKYTWKIYSQRLLTLTGVYGFWKHVSNLDHRESRRYLEMFYALKYRKLADSVPLTIE >Potri.006G215100.1.v4.1 pep chromosome:Pop_tri_v4:6:22144427:22146580:1 gene:Potri.006G215100.v4.1 transcript:Potri.006G215100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215100.v4.1 MEVQKLPRTISKPSLSPTLAEIQKGVHDSQSDMISDYSTPVHHQVLELGHACSSIPPSNPFVLSFDNLTYSVKVGQKMSLPICGKDSSLDSSETGKKILLNDISEAAREGEITAVLGASGSGKSTLIDALADRIAKESLKGSVTLNGEVLESRLLKTISAYVMQDDLLFPMLTVEETLMFSAEFRLPRSLSKSKKKARVQALIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGIDIVHDPILLFLDEPTSGLDSTSALMVVKVLQRIAQSGSIVIMSIHQPSYRLLTLLDRLIFLSHGQTVYAGSPGSLPEFFAEFGHPIPENENRTEFALDYIRDLEEIPGGTKTLVKFNKSWQAMKNAKNRFSNASKLSLKDTISVSISRGKLVSGAPNDSNSTSSPVLSFANPLWVEVMVIGKRSSLNAKRMPELFGIRLAAVLVTGIILATVYYHLDNSPRGVQERLGFFAFAISTTYYTSAESIPAFIQERYIFMRETAYNAYRRSSYVLAHSLISIPSLIVLSIAFATTTFWAVGLDGGFSGFCFYFFTIFCAFWAGSSFVTFLSGVVSHVMLSFTLVVAILSYFPLFSGFYISRDRIPPFWLWFHYMSLVKYPYEAALQNEFHSPTKCFVMGVQMFDNTPLAVMPLSMKLKMLKTISTRLGRNITSNTCAVTGTDILQQQGITQLSKWNCLWITIAWGFFFRILFYFALLLWSKNKRR >Potri.009G077400.1.v4.1 pep chromosome:Pop_tri_v4:9:7468514:7471034:1 gene:Potri.009G077400.v4.1 transcript:Potri.009G077400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077400.v4.1 MGYIYREAAAGCHVVAMPYPGRGQINPMMELCKSLVRRKDDIRVTFVVTEEWLSFIGSDPKPDQISFSTIPNVVPSELVRASNMLEFFEALMTNMEAPFERFLDHLVQPPAVIIADTYLLWAVRVGNRKNIPVASFWPMSVNVFLMFHYLDLLRENGQFLVDLLERGYERADYIPGVSSTSLVDFPSFINGSNPYMLGRIVEVFSWVPKAQYLLFPSIYELEPQAIDAIKAGFSFPVYTVGPSIPYSKLEDGSHTITAHGDIDYLRWLDDQPSKSVLYISMGSFLSFSSAQMDEIAGGLHDSGVRYLWVARGETSRLKEVCGDKGLVVPWCDQLRVLCHPSVGGFWTHCGWNSVREGVFAGVPFLTYLISADQRPNSKLIVEDWKVGWRVEKEYRVENLVRREEIGGLVRDFMDLDSNEGKEMRRRVKGFQEICQQAVAEPRGCKRGQLPLHFFLKYFLY >Potri.003G223700.2.v4.1 pep chromosome:Pop_tri_v4:3:21651909:21653600:1 gene:Potri.003G223700.v4.1 transcript:Potri.003G223700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223700.v4.1 MLNLAMLNPIVLDTVALDEVVLDLIVLDLIVLDLVVLHLSILDLAVLDLKLFDPIVLDPSMLDLVVIDLAMLDPIVLNHVVINLIVPDLVVLKPSMLDPIALHSSMLNLVVLILIVLNLIMLDWVLLDMVVLHPRISPDSARLGSPQSKHAWANSALLGSPRST >Potri.010G191000.1.v4.1 pep chromosome:Pop_tri_v4:10:18650800:18658620:-1 gene:Potri.010G191000.v4.1 transcript:Potri.010G191000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191000.v4.1 MSNQKQGEEAMVSTFNDTEHEEKEEVSKDESGFRLKSILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGFLGSWTAYLISVLYVEYRSRKEKENVNFKNHVIQWFEVLDGLLGPTWKAVGLAFNCTFLLFGSVIQLIACASNIYYIDDKFDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIASLVHGQVDGVTHSGPAKAVLYFTGATNILYTFGGHAVTVEIMHAMWKPQRFKYIYLLATLYVFTLTLPSAAATYWAFGDQLLTHSNAFSLLPRTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSILLRALCRLPVVIPIWFFAIIFPFFGPINSAVGALLVTFTVYIIPALAHMLTYRSASARQNAVEKPPVFLPSWTAMYVLNAFIVVWVLVVGFGLGGWASMSNFIKQVDTFGLFAKCYQCPPSAAAKHH >Potri.010G191000.4.v4.1 pep chromosome:Pop_tri_v4:10:18653305:18657830:-1 gene:Potri.010G191000.v4.1 transcript:Potri.010G191000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191000.v4.1 MSNQKQGEEAMVSTFNDTEHEEKEEVSKDESGFRLKSILWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQMGMLSGIILQIFYGFLGSWTAYLISVLYVEYRSRKEKENVNFKNHVIQWFEVLDGLLGPTWKAVGLAFNCTFLLFGSVIQLIACASNIYYIDDKFDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMTIASLVHGQVDGVTHSGPAKAVLYFTGATNILYTFGGHAVTVEIMHAMWKPQRFKYIYLLATLYVFTLTLPSAAATYWAFGDQLLTHSNAFSLLPRTGWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSILLRALCRLPVVIPIWFFAIIFPFFGPINSAVGALLVTFTVYIIPALAHMLTYRSASARQNAVEKPPVFLPSWTAMYVLNAFIVVWVLVVGFGLGGWASMSNFIKQVDTFGLFAKCYQCPPSAAAKHH >Potri.006G020800.1.v4.1 pep chromosome:Pop_tri_v4:6:1400121:1404874:1 gene:Potri.006G020800.v4.1 transcript:Potri.006G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020800.v4.1 MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFFAPFLGGKIWEYVLLGTYTPVVLLVFILYVRCTAINPADPGIMSKFNSNVANKLNVKHGFSVKDLPRKFDETGSAMHSSFSSPSRSSIGPANSSKKGSVGEVERVETAVQSPTRKPSHNIGLIFCAPFVHEDCRKHGEIADQQGNGEDALFCTLCNAEVLKFSKHCRSCDKCVDGFDHHCRWLNNCVGYKNYVTFISLMATGLAWLVLEAGVGIAVFVRCFVNKQSMKIEIVETLGNGFSIAPFATVVAVCTVVSILACVPLSELFFFHMILIRKGITTYEYVVAMRAMSEAPAGASEYEEMPNLVYSPSGSATTGFSGGSSLGLQYKGAWCTPPRVFVDYQDEVIPHLEPGMVPSTVDPDAAGAAERGSKVPKRPVRISAWKLAKLDSTEAMRAAAKARASSSVLKPVDNRRLPDTECSSSGNMSVRSSVSTDMGTNKEIKNEPRLTALGNSFAPSQGSRDEYETGTQSVSSFSSPSHVQESVMLSPLPQTQGLGRFNAATSAPGLVPDHPVTSKSPLPTANNPLSHPASGFDEKITQKGSSTDPLLLSAPAASLLRDVKRTSVVWDQEAGRYVSVPLSASEARNRTATQTVLPKSNAETSNDGRKPAIPPQQSSSSSAKAPAQSSEKLLYTGDSIFFGGPLLSVPVRDSLRNEGSSGLREGQQRFALNLPRESRFKRDSISNQLPVFVPGGFDTNPSSGSGLR >Potri.014G082700.1.v4.1 pep chromosome:Pop_tri_v4:14:5316582:5317324:-1 gene:Potri.014G082700.v4.1 transcript:Potri.014G082700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082700.v4.1 MKNQVCSTALLFCFLFLVTFCLTIQAQTCKPSGSIEGRKPPPNQCNQENDSDCCADGKFYPIFKCSPRVTSSTKATLTLNSFQKGGDGGAPSECDNQYHSDDTPVVALSTGWYNNGNRCLNSINIHGNGKSVKAMVVDECDSTMGCDSDHDYQPPCANNIVDASKAVWKALGVPESDWGEMDIYWSDA >Potri.004G231500.6.v4.1 pep chromosome:Pop_tri_v4:4:23533639:23539634:-1 gene:Potri.004G231500.v4.1 transcript:Potri.004G231500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231500.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWENTPRNWVGGDPCGGKWEGISCYNSRVTSITLAAVGLTGELSGDISYLSELEVLDLSYNTGLNGTLPPSIVNLKKLKNLKLAGCSFYGPIPELIGSLQLLESLDLNSNRFTGSIPHSIGNLSKLIVLDLFNNLLDGAILVSSGTTSGLDMLVNAKHFHLGRNRFSGTIPKELFRSDMTLIHVLLHDNNLTGSIPSTLGLVHTLEAVRFEGNSLTGPVPSNLNNLTNVTTLMLSNNKFTGPVPNLTGMAYLSYLMMENTGLEGRIPPTLFDLPSLQTLILRNNQLSGTLDIATSSSSQLKVIDMRNNLISSFYSETPERRNNVDVILVGNPVCEHPEATENYCTVPQANSSYTRLPEKCVPLHCISDQISSPNCKCSYPYRGVLVYKPPFLESRNLTYYVHLEEESLMRSFKFHQLPVDSVEVNFPAKDSFGYLESNLSMFPSGQNHFNTATISEIGFVLTLQTYENSDIFGPTYFKGSAYPYFDGKPTVSKELSSTGRIIGAAAGGASFLLLLLLAGVCAYRQKKRRERASEQKNHFAYLDSRNSNSVPQLKGARCFSFNEIMKCTNNFSEANHIGSGGYGMVYRGMLPTGQLIAIKRCRQGSVQGGLEFNSEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLKVALGAARGLAYLHELVNPRIIHRDVKSANILLDESLNAKVADFGLSKPMDNSELILATTQVKGTRGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYSLHELLDPSIGLDTKPKGLDKIVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNTESESTSASFEEASQDEFPPSLKEEELSLS >Potri.004G231500.5.v4.1 pep chromosome:Pop_tri_v4:4:23533637:23539586:-1 gene:Potri.004G231500.v4.1 transcript:Potri.004G231500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231500.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWENTPRNWVGGDPCGGKWEGISCYNSRVTSITLAAVGLTGELSGDISYLSELEVLDLSYNTGLNGTLPPSIVNLKKLKNLKLAGCSFYGPIPELIGSLQLLESLDLNSNRFTGSIPHSIGNLSKLIVLDLFNNLLDGAILVSSGTTSGLDMLVNAKHFHLGRNRFSGTIPKELFRSDMTLIHVLLHDNNLTGSIPSTLGLVHTLEAVRFEGNSLTGPVPSNLNNLTNVTTLMLSNNKFTGPVPNLTGMAYLSYLDLSNNSFDASDFPLSFSNLRALTTLMMENTGLEGRIPPTLFDLPSLQTLILRNNQLSGTLDIATSSSSQLKVIDMRNNLISSFYSETPERRNNVDVILVGNPVCEHPEATENYCTVPQANSSYTRLPEKCVPLHCISDQISSPNCKCSYPYRGVLVYKPPFLESRNLTYYVHLEEESLMRSFKFHQLPVDSVEVNFPAKDSFGYLESNLSMFPSGQNHFNTATISEIGFVLTLQTYENSDIFGPTYFKGSAYPYFDGKPTVSKELSSTGRIIGAAAGGASFLLLLLLAGVCAYRQKKRRERASEQKNHFAYLDSRNSNSVPQLKGARCFSFNEIMKCTNNFSEANHIGSGGYGMVYRGMLPTGQLIAIKRCRQGSVQGGLEFNSEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLKVALGAARGLAYLHELVNPRIIHRDVKSANILLDESLNAKVADFGLSKPMDNSELILATTQVKGTRGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYSLHELLDPSIGLDTKPKGLDKIVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNTESESTSASFEEASQDEFPPSLKEEELSLS >Potri.003G145200.4.v4.1 pep chromosome:Pop_tri_v4:3:16021490:16025700:1 gene:Potri.003G145200.v4.1 transcript:Potri.003G145200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145200.v4.1 MVDVADKLAYFQAITGLEDPDLCTQILQAHNWDLELAISSFTSNHNNDPLSYSSSTATATTSTVVEPPISSIHRSDSTAIAPVAPPPPGLGWKIITLPISIVSGSLRLISGAVGFGFWAAGSIFSYSLGFIGFSSNSGRGGDSSAQLVTVSAASREALEFIAQFERDYGGSGSSSRPNFVGEGFMDALTRSRNSFKLLFVYLHSPDHPDTPAFCEGTLCSEVFSAFVNENFVAWGGSLRGSEGFKMSNSLKASRFPFCAVVMPATNQRIALLQQVEGPKSPEEMLMTLQRVLEESAPVLVAARLEAEERTTNMRLREEQDAAYRAALEADQARERQLREEQERLEREAAEAERKRKEEEEAQERATREAAEKEAALARMRQEKALSLGAEPEKGPNVTQVTCLILFIAFLMMLLSVIFYCLGLQDDYSIFCTTSIGTLCSLDLVS >Potri.003G145200.3.v4.1 pep chromosome:Pop_tri_v4:3:16021488:16025077:1 gene:Potri.003G145200.v4.1 transcript:Potri.003G145200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145200.v4.1 MVDVADKLAYFQAITGLEDPDLCTQILQAHNWDLELAISSFTSNHNNDPLSYSSSTATATTSTVVEPPISSIHRSDSTAIAPVAPPPPGLGWKIITLPISIVSGSLRLISGAVGFGFWAAGSIFSYSLGFIGFSSNSGRGGDSSAQLVTVSAASREALEFIAQFERDYGGSGSSSRPNFVGEGFMDALTRSRNSFKLLFVYLHSPDHPDTPAFCEGTLCSEVFSAFVNENFVAWGGSLRGSEGFKMSNSLKASRFPFCAVVMPATNQRIALLQQVEGPKSPEEMLMTLQRVLEESAPVLVAARLEAEERTTNMRLREEQDAAYRAALEADQARERQLREEQERLEREAAEAERKRKEEEEAQERATREAAEKEAALARMRQEKALSLGAEPEKGPNVTQVLVRFPTGERKERRFHSTATIQSLYDYVDSLGCLDVENYSLVSNFPRVVYGTDKVSLSLKEAGLHPQASLFVELN >Potri.002G008375.1.v4.1 pep chromosome:Pop_tri_v4:2:466851:474735:-1 gene:Potri.002G008375.v4.1 transcript:Potri.002G008375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008375.v4.1 MASIDYEPKLLAVLSISFFLSCIFVSSTGLVAALDDSALLASEGKALLESGWWSDYSNLTSHRCKWTGIVCDRAGSITEISPPPEFLKVGNKFGKMNFSCFSNLVRLHLANHELSGSIPHQISILPQLRYLNLSSNYLAGELPSSLGNLSRLVELDFSSNNFINSIPPELGNLKSLVTLSLSYNSFSGPIHSALCHLDNLTHLFMDHNRLEGALPREIGNMRNLEILDVSYNTLNGPIPRTLGRLAKLRSLIFHVNKINGSIPFEIRNLTNLEYLDLSSNILGGSIPSTLGLLSNLNFVDLLGNQINGPIPLKIGNLTNLQYLHLGGNKITGFIPFSLGNLKSLTMLDLSHNQINGSIPLEIQNLTNLKELYLSSNSISGSIPSTLGLLSNLISLDLSDNQITGLIPFLLGNLTSLIILDLSHNQINGSTPLETQNLTNLKELYLSSNSISGSIPSTLGLLSNLTFLDLSNNQITGLIPFLLDNLTSLIILDLSHNQINGSIPLKIQNLTNLEELYLSSNSTSGSIPSTLSLLSNLILLDLSYNQITGLIPFLLGNLTNLTTLYLSHNQINGSIPSSLKYCNNLAYLDLSFNNLSEEIPSELYDLDSLQYVNFSYNNLSGSVSLPLPPPFNFHFTCDFVHGQINNDSATLKATAFEGNKDLHPDFSRCPSIYPPPSKTYLLPSKDSRIIHSIKIFLPITTISLCLLCLGCYLSRCKATEPETTSSKNGDLFSIWNYDGRIAYEDIIAATENFDLRYCIGTGGYGSVYRAQLPSGKLVALKKLHRREAEEPAFDKSFKNEVELLTQIRHRSIVKLYGFCLHQRCMFLVYEYMEKGSLFCALRNDVGAVELKWMKRAHIIEDIAHALSYLHHECNPPIVHRDISSSNVLLNSESKSFVADFGVARLLDPDSSNHTVLAGTYGYIAPELAYTMVVTEKCDVYSFGVVALETLMGRHPGDILSSSAQAITLKEVLDPRLPPPTNEIVIQNICTIASLIFSCLHSNPKNRPSMKFVSQEFLSPKRLLGGLEISLLELRNLDMHTNVGEITVPR >Potri.001G256100.2.v4.1 pep chromosome:Pop_tri_v4:1:27210822:27219703:1 gene:Potri.001G256100.v4.1 transcript:Potri.001G256100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256100.v4.1 MTTSKLNSTDPHGNGDASLPCSLPDNKFSDSLTLFHSEKAVQELLQQTPIQHTDDHLIEFSEALRTVAKALKQAAEGKAFAQAEAAEWKRRFELERAQNQQLEHKGKSPGECNGDINAQRMENSTNQPMLRNEANEQSENCCTNGLCSHEILHDGETDSHAKAVQNKMMRKASFKLSWWCKGDISDQHKHDIVSFERGNITTAGRSSKQISLKWESNPQTVLVMTKPNSTSVQILCAEMIRWMKEHKKLNIYVEPRVMGELLSESSYFNFVQTWKDEKEILSLHTKVDLVVTLGGDGTVLWAASMFKGPVPPIVSFSLGSLGFMTPFHSEQYRDCLDSILKGPISITLRHRMQCHVIRDAAKNEYETEEPILVLNEVTIDRGISSFLANLECYCDNSFVTRVQGDGLILSTTSGSTAYSLGAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLAAGDALVCSMAPWPVPTACQIDSTNDFLRSIHDGLHWNLRKTQSVDGPQDL >Potri.001G256100.5.v4.1 pep chromosome:Pop_tri_v4:1:27210824:27219673:1 gene:Potri.001G256100.v4.1 transcript:Potri.001G256100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256100.v4.1 MTTSKLNSTDPHGNGDASLPCSLPDNKFSDSLTLFHSEKAVQELLQQTPIQHTDDHLIEFSEALRTVAKALKQAAEGKAFAQAEAAEWKRRFELERAQNQQLEHKGKSPGECNGDINAQRMENSTNQPMLRNEANEQSENCCTNGLCSHEILHDGETDSHAKAVQNKMMRKVHSITCLDGNQLVLASFKLSWWCKGDISDQHKHDIVSFERGNITTAGRSSKQISLKWESNPQTVLVMTKPNSTSVQILCAEMIRWMKEHKKLNIYVEPRVMGELLSESSYFNFVQTWKDEKEILSLHTKVDLVVTLGGDGTVLWAASMFKGPVPPIVSFSLGSLGFMTPFHSEQYRDCLDSILKGPISITLRHRMQCHVIRDAAKNEYETEEPILVLNEVTIDRGISSFLANLECYCDNSFVTRVQGDGLILSTTSGSTAYSLGAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLAAGDALVCSMAPWPVPTACQIDSTNDFLRSIHDGLHWNLRKTQSVDGPQDL >Potri.009G098800.1.v4.1 pep chromosome:Pop_tri_v4:9:8812014:8813330:-1 gene:Potri.009G098800.v4.1 transcript:Potri.009G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098800.v4.1 MKTNTNTKFILLHPSIHKTLPSSHRLRLLFIVTSFTIAFTLTLFTTTTFPTTTTTSTLTTSTTSLPLSITAALLHYASTANSTTPHMSSAELSTIVTTLQNCSPHCNFLVFGLTHETLLWKSLNFHGRTIFLDESEYFVSNFEKDHPDIEAYDIQFTSKVSEMSDLLLVTKGQVNGDCRPVQNLLFSDCKLGINDMPNHIYEISWDVILIDGPRGYFAAAPGRMSPIFTAAVMARSKRGGNKKTHVFVHEIDREVEGVYSEEFLCEENLVETVDSLGHFVLERKEANCFEFCKNSTSLSSSLPTSITKTASLSSGDDDD >Potri.011G117400.2.v4.1 pep chromosome:Pop_tri_v4:11:14856768:14861014:-1 gene:Potri.011G117400.v4.1 transcript:Potri.011G117400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117400.v4.1 MPKTSLDTALPLLQAYDHHCEDQNGEGRCWWIKLLDVEEGKGQVLFSLPMILTNAFYYLITLVSVMFAGHLGQLELAGATLGNSWCTVTGIAFMTGLSGALETLCGQAFGAKLYRTLGIHLQASCIISFLCSIIISIIWLYTEPLLIFLRQDPQISKAAALYLKYLIPGIFAFGFLQNILRFLQTQSVVMPLILLSGIPMCIHIGTAYALVHKTALGFRGASLAVSISLWISTLVLVIYVIYSKKFKHTWEGFSSESLRHIPINLKLALPSAAMVCLEYWAFELLVLIAGVMPNAELTTSVIAMCVNTEDIAYMCTSGLSATVSTRVSNELGAGNPDKAKQAMATTLKLSVLLALLIVLALATGHDIWAGFFTDDLSIIKAFASMTPFLAISIALDAFQVVFTGVTRGCGWQNLAVIVNVATFFCIGMPMATLLGFKFKLYSKGLWIGLICGLSCQTCTLLLITLRTKWTRMDLSEPEENAGYSPTLSKPEDQSSSWYRRAS >Potri.011G117400.4.v4.1 pep chromosome:Pop_tri_v4:11:14858309:14860923:-1 gene:Potri.011G117400.v4.1 transcript:Potri.011G117400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117400.v4.1 MPKTSLDTALPLLQAYDHHCEDQNGEGRCWWIKLLDVEEGKGQVLFSLPMILTNAFYYLITLVSVMFAGHLGQLELAGATLGNSWCTVTGIAFMTGLSGALETLCGQAFGAKLYRTLGIHLQASCIISFLCSIIISIIWLYTEPLLIFLRQDPQISKAAALYLKYLIPGIFAFGFLQNILRFLQTQSVVMPLILLSGIPMCIHIGTAYALVHKTALGFRGASLAVSISLWISTLVLVIYVIYSKKFKHTWEGFSSESLRHIPINLKLALPSAAMVCLEYWAFELLVLIAGVMPNAELTTSVIAMCVNTEDIAYMCTSGLSATVSTRVSNELGAGNPDKAKQAMATTLKLSVLLALLIVLALATGHDIWAGFFTDDLSIIKAFASMTPFLAISIALDAFQVVFTGVTRGCGWQNLAVIVNVATFFCIGMPMATLLGFKFKLYSKGLWIGLICGLSCQTCTLLLITLRTKWTRMDLSEPEENGKENSNLP >Potri.008G086400.2.v4.1 pep chromosome:Pop_tri_v4:8:5403786:5407971:-1 gene:Potri.008G086400.v4.1 transcript:Potri.008G086400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086400.v4.1 MRRPHARNRVDSNTTDQQKMDPSIKLHSRTISTRSTKFKYAKSKLVIIITLIALLSCYYLFKSKTKSFSKMYGIIIDGGSTGTRIHVLGYRIESGGKVVFDLEEGAMKVNPGLSAYAGDPEGAGGSVEELVEFGKGRVPRELWGETEVRLMATAGMRLLDSEARDRILDVCRRVLRKSGFKFQDSWASVITGSDEGLYAWVIANYALGTLGGDPLETTGIIELGGASAQVAFVSTEPVPPEFSRTVEFGNITYNVYSHSFLNLGQNAASEALRESLVSGNHHPAAESLGKGIFVDPCTPKGYSRVVESLKLSPGSLTEKNRFVSTLHSRGNFSECRSAALTLLQKGKEICSYQHCQIGSVFIPKLQGKFLAMENFFYTSKFFGLGQRAFLSNLMIAGEHFCGEDWSTLKKKHHSFKDEDLVHYCFSSAYIVALLHDSLGIAVDDPRIEFANQVGNIPLDWALGAFILHTNVALDMEHSDWIATIISDDSPTLLSLIGFAILIMFIAWSISKWRKPQLKTVYDLEKGRYIVTRVGKS >Potri.013G020200.7.v4.1 pep chromosome:Pop_tri_v4:13:1279265:1288018:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MLTGARFGGYGTFLPTYQRSPSIWSNPVAQQKVQSQGIQASSHDDQLAEGAMQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGAQNHSNSEFLDLLPSDTINQLEKSAGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFAEDVNLAMEALRKSQAAFAAAEIILAETGNMEGISSIKKVLDMGFHDVEGLLQLVRFAIESLRKTP >Potri.013G020200.10.v4.1 pep chromosome:Pop_tri_v4:13:1278597:1287790:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MGEGEIEEGEACDYTNNDDRNIDIDVALSYIDDKIQDILGQYQKDFEGGVSADTLGARFGGYGTFLPTYQRSPSIWSNPVAQQKVQSQGIQASSHDDQLAEGAMQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGAQNHSNSEFLDLLPSDTINQLEKSAGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFKM >Potri.013G020200.2.v4.1 pep chromosome:Pop_tri_v4:13:1278597:1288018:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MGEGEIEEGEACDYTNNDDRNIDIDVALSYIDDKIQDILGQYQKDFEGGVSADTLGARFGGYGTFLPTYQRSPSIWSNPVAQQKVQSQGIQASSHDDQLAEGAMQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGAQNHSNSEFLDLLPSDTINQLEKSAGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFAEDVNLAMEALRKSQAAFAAAEIILAETGNMEGISSIKKVLDMGFHDVEGLLQLVRFAIESLRKTP >Potri.013G020200.8.v4.1 pep chromosome:Pop_tri_v4:13:1278597:1287877:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MLTGARFGGYGTFLPTYQRSPSIWSNPVAQQKVQSQGIQASSHDDQLAEGAMQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGAQNHSNSEFLDLLPSDTINQLEKSAGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFAEDVNLAMEALRKSQAAFAAAEIILAETGNMEGISSIKKVLDMGFHDVEGLLQLVRFAIESLRKTP >Potri.013G020200.6.v4.1 pep chromosome:Pop_tri_v4:13:1278597:1288018:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MLTGARFGGYGTFLPTYQRSPSIWSNPVAQQKVQSQGIQASSHDDQLAEGAMQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGAQNHSNSEFLDLLPSDTINQLEKSAGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFAEDVNLAMEALRKSQAAFAAAEIILAETGNMEGISSIKKVLDMGFHDVEGLLQLVRFAIESLRKTP >Potri.013G020200.5.v4.1 pep chromosome:Pop_tri_v4:13:1278597:1287804:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MGEGEIEEGEACDYTNNDDRNIDIDVALSYIDDKIQDILGQYQKDFEGGVSADTLGARFGGYGTFLPTYQRSPSIWSNPVAQQKVQSQGIQASSHDDQLAEGAMQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFAEDVNLAMEALRKSQAAFAAAEIILAETGNMEGISSIKKVLDMGFHDVEGLLQLVRFAIESLRKTP >Potri.013G020200.11.v4.1 pep chromosome:Pop_tri_v4:13:1278600:1288017:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGAQNHSNSEFLDLLPSDTINQLEKSAGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFAEDVNLAMEALRKSQAAFAAAEIILAETGNMEGISSIKKVLDMGFHDVEGLLQLVRFAIESLRKTP >Potri.013G020200.4.v4.1 pep chromosome:Pop_tri_v4:13:1278597:1287877:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MGEGEIEEGEACDYTNNDDRNIDIDVALSYIDDKIQDILGQYQKDFEGGVSADTLGARFGGYGTFLPTYQRSPSIWSNPVAQQKVQSQGIQASSHDDQLAEGAMQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGAQNHSNSEFLDLLPSDTINQLEKSAGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFAEDVNLAMEALRKSQAAFAAAEIILAETGNMEGISSIKKVLDMGFHDVEGLLQLVRFAIESLRKTP >Potri.013G020200.9.v4.1 pep chromosome:Pop_tri_v4:13:1279265:1287877:1 gene:Potri.013G020200.v4.1 transcript:Potri.013G020200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020200.v4.1 MLTGARFGGYGTFLPTYQRSPSIWSNPVAQQKVQSQGIQASSHDDQLAEGAMQNSTVSIRETVSARPEAASRSAFPLRVAKPLPEDRLVKPRRSREFISDFEPLKGPGNPSNQKVLKVRIKVGSDNCLPELKTSAIYSNLGLDMSPSSSSEDTDSPSECERDFPDSLEEHIYSPSCIVRIMTSSPIPSGAMLSPLRDCFLNLSEQGFWDRKRTVPVQECSAMQADEKVLVDKQKKPGDRSDRLELKNEHCNDPTNSFSACSKNESRMETSKGNHMLSDAGNHPPESKTTKVGKVAVGTGIIFRANLSEAKKELFSQKACKIHEVSAKATLTGKVQKDKKLGCEPRDNGSKGDKSLALFKDNYMPEEMRKCGDRTLDLTKQEFEKKKATHLQDDMKVSHGGKQLSTGKKKSKESKNDYSSTAGTSKNSMRIRLSAAPKEKIFQKSCKGDNALLEDLRKVKVKHTVSVSDRKARGNDSRSCLLGTSVEDETKDNTLEVPEKEPLAFRNKSKVSSGDKKSSFVSTSKENLRGDINDVAPLAGPLPTEVPTFLIEEDWVCCDKCHKWRLLPYGTNPNQLPQKWLCSMLDWLPGMNHCTFSEEETTDALHALYRLPIAGNHGDQLSHSVSAESSITLVNTLHDLDQNPQDPSFSGGKKKLEMKEVSHPAQYSVNKKRLNSTMKNEQVFIERRSLHNRSLSSLETKLEKRLGDVQPQKFKSMREADQEDFKLSKKARMEGMQYAVEDHNTGGISKKEMGSNNKKYSSYRDVRYLSKDSTILNVEKHQFQKTMVTEDLDPMGIEGKKRKSDWMNSQIYPGNHSGNGQDNSISVEETSWSECRKERHSRKCKYEGKVSSASKGVCKLFGKEKINYLSQSTVDAKNSERRDLRDGLQCTEATSSPSLISSTCKVKINFPDVKGSPVESVCSSPLKISNRVSPRSFSGNHGCTDVGFCHFSGQTKCLEGESVGVSNWSGTLRKENAPVVAPIKEKSRDNYRHNDDKVEKKFTGQKVSSIKSLNNSTREDDQFGREGYEAMFRKMDGVFQKDCKSGAQNHSNSEFLDLLPSDTINQLEKSAGRHKSLDFLFFGNKKENQCWKSRESDALEVDGSSCDGLGRAPRQLGKDDGQNGIDDVMRRHPLLAASNILASNHLRNDFFSQVANDALEGAKDLKHSADPLQISASGLQIAELFFQAALKFLHGASLFNPNNNNSTNNGNMTSAEMYGHAAKLCEYCASEFERCNDLASAFLARKCIEVAYMRVVYSNDLTASRDRYELQTVLQRVSAVESPSSSDSDVENLNSEVKVGKRQITKDVGCSEATRDHVIPARNQPNFVRLLNFAEDVNLAMEALRKSQAAFAAAEIILAETGNMEGISSIKKVLDMGFHDVEGLLQLVRFAIESLRKTP >Potri.018G066900.3.v4.1 pep chromosome:Pop_tri_v4:18:8050847:8052897:1 gene:Potri.018G066900.v4.1 transcript:Potri.018G066900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066900.v4.1 MIRKKYHQISPQAGAWLNKYIKPPNPSRKITNYIDNLAQLLNKQCYASASVVQDPSLQLGKRLDTMSLTAGGDWMCSACQHLNFKKRETCQLCGYPKYGGPDPATYICNATKVLAGDWYCTVINCHAHNYASRSSCYSCGTLKSGHAAGGYASDGSDPPGWKTGDWICNRSGCGVHNYASRMECYRCRTPREYGGGY >Potri.012G116130.1.v4.1 pep chromosome:Pop_tri_v4:12:13407082:13421750:-1 gene:Potri.012G116130.v4.1 transcript:Potri.012G116130.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116130.v4.1 MKMEEGMQLIDENGKFNVEGLKDFMTATGFAQCGLSYAIVAIIGPQSSGKSTLMNHVFGTNFKMLDAYKGRGQTTKGIWIAKCNDMKPFTIAMDFEGTDSNARGEDNTAFERQSALFALAIADIILINMWYKDIGLEHAASRPLLKTVFQVMKRLFKPRKRTLLFVIRDHSKTPFEYLETAFKEDIDKIWDSVAEPETSRSVVLSDFFNVEIAALTSYDYEEENFKEQVARLRQRFISPGGLTGDQREAEPASGFFIRAENIWKTIKDNKDLDLPALKVMVATVRCEEIAEEKLRQFTTDDDWLALKRAVQAGPVSGFGAALGSILETYLSQYDTEVIHFDQDVRNAKRRQMESQALEVVRNAYDTMLEHLYSNTLESFKTSLEQLLNGGEGFVASARTCAQSCFLQFDKGCEDAFIRLSGWNVSGVRGTVSRHMLSEMMAKYVKQFTDVLADEVQSLLEAGEADTWVSVRNLLASKTDVAESELSNAHVDFEVPRSEIDTRLGYLKENARSVVERKARESAATGRVLMRMKDRFAKVFNHDENSTPRVWTPEQNIEEIERKALSASLKILAVMAAIRLDNTADQIENVLSSSLMGAVPAVDPLASNTWEEESNMRQVSPNATLLTPVQCKSLWIQFTADITYIVNQAKSAQEARKQAKKVIQQMFRLVLLAMMTLLSAYGAMGIAAKPEVAAVMKEVWQALAVLMKDLGPEVLAVLKDEVPKALSFLGPQMVAAIMALLTKMMARWR >Potri.012G116130.2.v4.1 pep chromosome:Pop_tri_v4:12:13407083:13421467:-1 gene:Potri.012G116130.v4.1 transcript:Potri.012G116130.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116130.v4.1 MKMEEGMQLIDENGKFNVEGLKDFMTATGFAQCGLSYAIVAIIGPQSSGKSTLMNHVFGTNFKMLDAYKGRGQTTKGIWIAKCNDMKPFTIAMDFEGTDSNARGEDNTAFERQSALFALAIADIILINMWYKDIGLEHAASRPLLKTVFQVMKRLFKPRKRTLLFVIRDHSKTPFEYLETAFKEDIDKIWDSVAEPETSRSVVLSDFFNVEIAALTSYDYEEENFKEQVARLRQRFISPGGLTGDQREAEPASGFFIRAENIWKTIKDNKDLDLPALKVMVATVRCEEIAEEKLRQFTTDDDWLALKRAVQAGPVSGFGAALGSILETYLSQYDTEVIHFDQDVRNAKRRQMESQALEVVRNAYDTMLEHLYSNTLESFKTSLEQLLNGGEGFVASARTCAQSCFLQFDKGCEDAFIRLSGWNVSGVRGTVSRHMLSEMMAKYVKQFTDVLADEVQSLLEAGEADTWVSVRNLLASKTDVAESELSNAHVDFEVPRSEIDTRLGYLKENARSVVERKARESAATGRVLMRMKDRFAKVFNHDENSTPRVWTPEQNIEEIERKALSASLKILAVMAAIRLDNTADQIENVLSSSLMGAVPAVDPLASNTWEEVSPNATLLTPVQCKSLWIQFTADITYIVNQAKSAQEARKQAKKVIQQMFRLVLLAMMTLLSAYGAMGIAAKPEVAAVMKEVWQALAVLMKDLGPEVLAVLKDEVPKALSFLGPQMVAAIMALLTKMMARWR >Potri.014G023301.1.v4.1 pep chromosome:Pop_tri_v4:14:1415811:1417285:1 gene:Potri.014G023301.v4.1 transcript:Potri.014G023301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G023301.v4.1 MEYQFPAVLQNCLGNSNGVLDRFKTQAIYSKIASLTQKWLGKDRQHSPYEIVKAKYNQSGAVNIHYTLGKEQQSICGDCRAMSNYF >Potri.006G251800.2.v4.1 pep chromosome:Pop_tri_v4:6:25048039:25050664:1 gene:Potri.006G251800.v4.1 transcript:Potri.006G251800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251800.v4.1 MQEQAASSIPAYSFPSSSERSSSSAPQLEVKEGMESDEEIRRVPEMSGEPAGTSASGRETGSIAGPGRVQPAGEGQSQRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLTELETRVKDLEKKNSELEEKLSTLQNENQMLRHILKNTTASRRGGSNSANADGSS >Potri.004G058900.2.v4.1 pep chromosome:Pop_tri_v4:4:4914114:4922288:-1 gene:Potri.004G058900.v4.1 transcript:Potri.004G058900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058900.v4.1 MKDYQTRSSECLPGIDPILGRRLSGGDNNWKTKVALLHDLKNEHGNLGSCKAVYVGKRHLWFRKHVKSIALMFALMGSLFLLDSFTVSLFDSISLQNSSPPMTSSGVKGDRTAYANEEERSVQMHGRLQNLAYSALAEKEFKQDTSNFWEEPRKASLWKPCGDRKDAEKLGQPDKNNGYIMVSANGGLNQQRVAICNAIALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMNIMKDEVNIVKELPSHLKSLDIDAIGSLITDADIVKEATPIDYLTKTLPLLLQNGVVHFLGFGNRLGFDPLPSKLQKLRCKCNFHALKFVPKIQEAGSLLIRRIRKNDAAQTTLDRQLFGEFLTGNPSNKHDSARGSSKYLALHLRFEVDMIAYSLCDFGGGQKERRELQAYRESHFPMLMERLKHSMPISSSVLRNLGRCPLTPEEAALMLAGLGVKHGTYIYLASSHIYGGKFRMHSFTNLYPNLVTKETLLTPSELAPFRNFSSQLAALDFIACATADVFAMTDSGSQLSSLVSGFRTYYGGGHAPTLRPNKKRLAAILSENGTIGWNSFEDRVRKMIKDGQSVRIRGFGRSIYRQPRCPECMCKTY >Potri.004G058900.3.v4.1 pep chromosome:Pop_tri_v4:4:4914114:4922288:-1 gene:Potri.004G058900.v4.1 transcript:Potri.004G058900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058900.v4.1 MKDYQTRSSECLPGIDPILGRRLSGGDNNWKTKVALLHDLKNEHGNLGSCKAVYVGKRHLWFRKHVKSIALMFALMGSLFLLDSFTVSLFDSISLQNSSPPMTSSGVKGDRTAYANEEERSVQMHGRLQNLAYSALAEKEFKQDTSNFWEEPRKASLWKPCGDRKDAEKLGQPDKNNGYIMVSANGGLNQQRVAICNAIALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMNIMKDEVNIVKELPSHLKSLDIDAIGSLITDADIVKEATPIDYLTKTLPLLLQNGVVHFLGFGNRLGFDPLPSKLQKLRCKCNFHALKFVPKIQEAGSLLIRRIRKNDAAQTTLDRQLFGEFLTGNPSNKHDSARGSSKYLALHLRFEVDMIAYSLCDFGGGQKERRELQAYRESHFPMLMERLKHSMPISSSVLRNLGRCPLTPEEAALMLAGLGVKHGTYIYLASSHIYGGKFRMHSFTNLYPNLVTKETLLTPSELAPFRNFSSQLAALDFIACATADVFAMTDSGSQLSSLVSGFRTYYGGGHAPTLRPNKKRLAAILSENGTIGWNSFEDRVRKMIKDGQSVRIRGFGRSIYRQPRCPECMCKTY >Potri.004G058900.5.v4.1 pep chromosome:Pop_tri_v4:4:4914114:4922288:-1 gene:Potri.004G058900.v4.1 transcript:Potri.004G058900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058900.v4.1 MKDYQTRSSECLPGIDPILGRRLSGGDNNWKTKVALLHDLKNEHGNLGSCKAVYVGKRHLWFRKHVKSIALMFALMGSLFLLDSFTVSLFDSISLQNSSPPMTSSGVKGDRTAYANEEERSVQMHGRLQNLAYSALAEKEFKQDTSNFWEEPRKASLWKPCGDRKDAEKLGQPDKNNGYIMVSANGGLNQQRVAICNAIALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMNIMKDEVNIVKELPSHLKSLDIDAIGSLITDADIVKEATPIDYLTKTLPLLLQNGVVHFLGFGNRLGFDPLPSKLQFVPKIQEAGSLLIRRIRKNDAAQTTLDRQLFGEFLTGNPSNKHDSARGSSKYLALHLRFEVDMIAYSLCDFGGGQKERRELQAYRESHFPMLMERLKHSMPISSSVLRNLGRCPLTPEEAALMLAGLGVKHGTYIYLASSHIYGGKFRMHSFTNLYPNLVTKETLLTPSELAPFRNFSSQLAALDFIACATADVFAMTDSGSQLSSLVSGFRTYYGGGHAPTLRPNKKRLAAILSENGTIGWNSFEDRVRKMIKDGQSVRIRGFGRSIYRQPRCPECMCKTY >Potri.004G058900.1.v4.1 pep chromosome:Pop_tri_v4:4:4914114:4922288:-1 gene:Potri.004G058900.v4.1 transcript:Potri.004G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058900.v4.1 MKDYQTRSSECLPGIDPILGRRLSGGDNNWKTKVALLHDLKNEHGNLGSCKAVYVGKRHLWFRKHVKSIALMFALMGSLFLLDSFTVSLFDSISLQNSSPPMTSSGVKGDRTAYANEEERSVQMHGRLQNLAYSALAEKEFKQDTSNFWEEPRKASLWKPCGDRKDAEKLGQPDKNNGYIMVSANGGLNQQRVAICNAIALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMNIMKDEVNIVKELPSHLKSLDIDAIGSLITDADIVKEATPIDYLTKTLPLLLQNGVVHFLGFGNRLGFDPLPSKLQKLRCKCNFHALKFVPKIQEAGSLLIRRIRKNDAAQTTLDRQLFGEFLTGNPSNKHDSARGSSKYLALHLRFEVDMIAYSLCDFGGGQKERRELQAYRESHFPMLMERLKHSMPISSSVLRNLGRCPLTPEEAALMLAGLGVKHGTYIYLASSHIYGGKFRMHSFTNLYPNLVTKETLLTPSELAPFRNFSSQLAALDFIACATADVFAMTDSGSQLSSLVSGFRTYYGGGHAPTLRPNKKRLAAILSENGTIGWNSFEDRVRKMIKDGQSVRIRGFGRSIYRQPRCPECMCKTY >Potri.004G058900.4.v4.1 pep chromosome:Pop_tri_v4:4:4914114:4922288:-1 gene:Potri.004G058900.v4.1 transcript:Potri.004G058900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058900.v4.1 MKDYQTRSSECLPGIDPILGRRLSGGDNNWKTKVALLHDLKNEHGNLGSCKAVYVGKRHLWFRKHVKSIALMFALMGSLFLLDSFTVSLFDSISLQNSSPPMTSSGVKGDRTAYANEEERSVQMHGRLQNLAYSALAEKEFKQDTSNFWEEPRKASLWKPCGDRKDAEKLGQPDKNNGYIMVSANGGLNQQRVAICNAIALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFMNIMKDEVNIVKELPSHLKSLDIDAIGSLITDADIVKEATPIDYLTKTLPLLLQNGVVHFLGFGNRLGFDPLPSKLQFVPKIQEAGSLLIRRIRKNDAAQTTLDRQLFGEFLTGNPSNKHDSARGSSKYLALHLRFEVDMIAYSLCDFGGGQKERRELQAYRESHFPMLMERLKHSMPISSSVLRNLGRCPLTPEEAALMLAGLGVKHGTYIYLASSHIYGGKFRMHSFTNLYPNLVTKETLLTPSELAPFRNFSSQLAALDFIACATADVFAMTDSGSQLSSLVSGFRTYYGGGHAPTLRPNKKRLAAILSENGTIGWNSFEDRVRKMIKDGQSVRIRGFGRSIYRQPRCPECMCKTY >Potri.004G125301.1.v4.1 pep chromosome:Pop_tri_v4:4:12151849:12154455:-1 gene:Potri.004G125301.v4.1 transcript:Potri.004G125301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125301.v4.1 MAVALTISCPKSKTLQKPSSLKVLNSSFLGGPLKDVSSQLKSRRERTDKFSLVIAAPSNTSTSTCTNSGGGGGRFYLNFTGFPFPLGPFLNRRTIRTEAVKGCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWLHAPLAPTKECIQIRDWINSIVRDWKFKRIIPCSN >Potri.001G071500.1.v4.1 pep chromosome:Pop_tri_v4:1:5395130:5401820:-1 gene:Potri.001G071500.v4.1 transcript:Potri.001G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071500.v4.1 MAVYTPIKGQEEDNGDRNKSLKSNDEGGHVDDDEAVDENKSFILPTHSRQQDDVQRQKQQRLVSLDVFRGLTVALMILVDDAGGVLPAINHSPWNGLTLADVVMPFFLFIVGVSLGLTYKKLSCKAVATRKAILRTLKLLIIGLFLQGGFLHGLNDLTYGVDMTQIRWMGILQRIAIGYLVGAMCEIWLKGGNHVTSGLSMLRKYQFQWAAVLMFVTIYLSLLYGLHVPDWEYQIPVAASASTPKIFPVKCGVRGHTGPACNAGGMIDRTILGIQHLYRKPIYARTKPCSINSPGYGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKEHKDRTLHWMVPSTCFLVLGLVLDLLGMHVNKALYTFSYMCVTAGAAGIVFTGIYLLVDVCGFRWPMLVLEWMGMHALLIFTLATSNILPVVLQGFYWKQPGNNILRFIGIGR >Potri.011G038100.1.v4.1 pep chromosome:Pop_tri_v4:11:2944798:2945448:-1 gene:Potri.011G038100.v4.1 transcript:Potri.011G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038100.v4.1 MGLGNSEVAVALLLFLSCSCSVYGDAGDTITTSQPIKDPEAIESAGKMFQLGFFSPVNSTYRYVGIWYSNISASTPVLWVANRNKPINDSSGMMTISEDGNLVVLNGQGEVLWSSNVSNGFNQSTAQLTDDGNLVLKAGPNGNLVWQSFRQPTDTCLSKMTLTANARTGNKTLLMSWRSSSDPSVGNYSAGVNPLGIPELFIWYCHDPNPGSMTGT >Potri.006G043800.1.v4.1 pep chromosome:Pop_tri_v4:6:2986913:2992076:-1 gene:Potri.006G043800.v4.1 transcript:Potri.006G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043800.v4.1 MDISNEASVDPFKIGPSSIIGRTIAFRVLFCKSISHLRQKIFHVLLNYIYRVGEFVAPMLSWFHPRNPQGILAMMTIIAFLLKRYANVKLRAETAYRRKFWRNTMRTALTYEEWFHAAKMLDKETPKMHECDLYDEELVRNKLQELHHRRQEGCLRDIIFFMRADLVRNLGNMCNPELHKGRLQVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLMPRIIAGSSVGSIMCSVVATRSWPELQSFFEDSWHSFQFFDQLGGIFTVVKRVMRQGAVHEIRQLQWMLRHLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGELVPYHPPFNLDPEEGSDAPMRRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKDIVRAYGGSFAAKLAHLAEMEVKHRCNQVLELGFPLGGLAKLFAQEWEGDVTVVMPATLAQYSKIIQNPNHLELQKASNQGRRCTWEKLSAIKANCGIELALDECVSVLNHMRRLKRSAERAAAASHGQASSASTLRFSASKRIPSWNCIARENSTGSLEEDFLADVASTFHQGVGVAGGTSTGRNLRTQRNLHHDGSDSESESVDLNSWTRSGGPLMRTASANKFIDFVQSLDVDSELRKGFMAHPNSPGAQMGGRDPYNQISRVTTPDRNSESEFDQRDFSNRNSTGGSSITVTEGDFLQPERIHNGFVLNIVKKEDLAHPNRIHDLENYNSEVPECVQLDCPEKDMDASSESDYAAEEDDSPATDSLHKSASTLDHTDDSVVHDIQEKHVVDG >Potri.006G179600.3.v4.1 pep chromosome:Pop_tri_v4:6:18626729:18634031:-1 gene:Potri.006G179600.v4.1 transcript:Potri.006G179600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179600.v4.1 MHMHKLLFHVSFQMPPAFGACKSFGFTRGFLSLGSDEGAEDEKNPQEEGKTGELVCLCILSRWATWCKLRIFVIIQG >Potri.003G159600.1.v4.1 pep chromosome:Pop_tri_v4:3:16982153:16985351:1 gene:Potri.003G159600.v4.1 transcript:Potri.003G159600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159600.v4.1 MMMEGSDDAPEYSRELSVSFGYQCNVTGPRIPSIVPEGFDILNSTMIQDSCMKMSSSFSCLSGAALSANATLANTNLCNGLIGEEILPNLDSPKSFRRMASSPSLSRLDFTSSSSYSSFTSLGGSTPKDKDFAETLWKSMSAPTRTESSTFLNTMEVQMAGGAAGEDRVQAVCSEENGWLFCGIYDGFNGRDAADFLAGTLYENICFYLHMLEWNKKKQPGSFKSTLEGENVTLHIALPDDPGHSNSEMEQAKLPNYVDEEFTHENYSSDIISCLNRALAQAEGDFMYMVEQEMEDRPDLVSVGSCVLAILLYGDHIYVQNLGDSRAILATSTIQEEGVLKAIQLTETHTVDNESECNKVLADHPDDPSPIIYGRVKGKLKLTRAFGVGYLKKSKMNDVLMGILRVRNLCSPPYVYNHPFTMSHRVSDKDQFVVLGSDGLFDFFSNDEVVKLVHLFIQNNPSGDPAKHLVEQLVQRAADNAGFSTEDLMSIPAGRRRKYHDDVTVLVVILGNKQRTSAASAYL >Potri.001G267400.5.v4.1 pep chromosome:Pop_tri_v4:1:28237065:28245167:-1 gene:Potri.001G267400.v4.1 transcript:Potri.001G267400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267400.v4.1 MEAAPFTPPPTSSFKTPSISSSSSLISLSKNFSRNHLPSKFKSPRNLSVRASNDDSVVTLLDYGAGNVRSVRNAIRHLGFQIKDVQTPKDILNARRLIFPGVGAFAPAMDVLNNTGMGEALCTYIQNDRPFLGICLGLQLLFESSEENGPVGGLGLIPGVVGRFDSSNGFRVPHIGWNALQITKDSEILDDIGNRHVYFVHSYRAMPSNENKEWISSTCNYGDEFIASVRKGKVHAVQFHPEKSGDVGLSVLRSFLLPKSSLTEKRTERKASKLAKRVIACLDVRTNDEGDLVVTKGDQYNVREHAEKNEVRNLGKPVELAGKYYEDGADEVSFLNITGFRDFPLGDLPMLQVLRCASENVFVPLTVGGGIRDFTDSNDRYYSSLEVASEYFRSGADKISIGSDAVHAAEEYLKTKVKTGKSSIEQISRVYGNQAVVVSIDPRRVYLNDPSDVEFKSIRLTNPGPNGEEYAWYQCTINGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDIDLIKMISDAVNIPVIASSGAGAVEHFSDVFSKTNASAALAAGIFHRKEVPIRSVKEHLLKEGIEVRI >Potri.001G267400.6.v4.1 pep chromosome:Pop_tri_v4:1:28237082:28245168:-1 gene:Potri.001G267400.v4.1 transcript:Potri.001G267400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267400.v4.1 MEAAPFTPPPTSSFKTPSISSSSSLISLSKNFSRNHLPSKFKSPRNLSVRASNDDSVVTLLDYGAGNVRSVRNAIRHLGFQIKDVQTPKDILNARRLIFPGVGAFAPAMDVLNNTGMGEALCTYIQNDRPFLGICLGLQLLFESSEENGPVGGLGLIPGVVGRFDSSNGFRVPHIGWNALQITKDSEILDDIGNRHVYFVHSYRAMPSNENKEWISSTCNYGDEFIASVRKGKVHAVQFHPEKSGDVGLSVLRSFLLPKSSLTEKRTERKASKLAKRVIACLDVRTNDEGDLVVTKGDQYNVREHAEKNEVRNLGKPVELAGKYYEDGADEVSFLNITGFRDFPLGDLPMLQVLRCASENVFVPLTVGGGIRDFTDSNDRYYSSLEVASEYFRSGADKISIGSDAVHAAEEYLKTKVKTGKSSIEQISRVYGNQAVVVSIDPRRVYLNDPSDVEFKSIRLTNPGPNGEEYAWYQCTINGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDIDLIKMISDAVNIPVIASSGAGAVEHFSDVFSKTNASAALAAGIFHRKEV >Potri.001G267400.2.v4.1 pep chromosome:Pop_tri_v4:1:28237001:28245189:-1 gene:Potri.001G267400.v4.1 transcript:Potri.001G267400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267400.v4.1 MEAAPFTPPPTSSFKTPSISSSSSLISLSKNFSRNHLPSKFKSPRNLSVRASNDDSVVTLLDYGAGNVRSVRNAIRHLGFQIKDVQTPKDILNARRLIFPGVGAFAPAMDVLNNTGMGEALCTYIQNDRPFLGICLGLQLLFESSEENGPVGGLGLIPGVVGRFDSSNGFRVPHIGWNALQITKDSEILDDIGNRHVYFVHSYRAMPSNENKEWISSTCNYGDEFIASVRKGKVHAVQFHPEKSGDVGLSVLRSFLLPKSSLTEKRTERKASKLAKRVIACLDVRTNDEGDLVVTKGDQYNVREHAEKNEVRNLGKPVELAGKYYEDGADEVSFLNITGFRDFPLGDLPMLQVLRCASENVFVPLTVGGGIRDFTDSNDRYYSSLEVASEYFRSGADKISIGSDAVHAAEEYLKTKVKTGKSSIEQISRVYGNQAVVVSIDPRRVYLNDPSDVEFKSIRLTNPGPNGEEYAWYQCTINGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDIDLIKMISDAVNIPVIASSGAGAVEHFSDVFSKTNASAALAAGIFHRKEVPIRSVKEHLLKEGIEVRI >Potri.008G085000.1.v4.1 pep chromosome:Pop_tri_v4:8:5313932:5320434:-1 gene:Potri.008G085000.v4.1 transcript:Potri.008G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085000.v4.1 MTSQSSPASFSSYQILPLLCPCKSFHQTNYPSLPFSKRRRKKLITDLTHQNFRRKFLTFHACVFPNDTRNSNVNIDELSKGTKRFVFKRIANELETGELSQEPSISNFTGFQEDPIVGKLRTQLGAIHPIPSPPINRNIVGLFVFFFFVGVVSDKVWTSRKREKSNEEGKRAGAWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWLVGLLQPVIDDLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLKFSIIPIMLPVSVRDFDIDGELWVKLRLIPTEPWVGAASWAFVSLPKIKFELSPFRLFNLMAIPVLSLFLKKLLTEDLPRLFVRPKKIVLDFQNGKAVGPVANESGEMQEGNEDFVGELSVTLVDARKLSYVFFGKTDPYVILSLGDQIMRSKKNSRTTVIGRPGEPIWNQDFHMLVANPRKQKLNIQVKDSLGFTDLTVGTGEVDLGSLQDTVPTDKIVALQGGWGLFRKASSGEILLRLTYKAYVEDEDDDKYGVEPVDTGASDDELSDSDDSNAIYEPSRRDSSNEMDKESFMGVLAALIVSEEFQGIVASDTGNSKLSIDASSLNAESMPSDSNNSSEGSAGSILVWFAVITSILVLIAVTMDGSSFFNP >Potri.014G022400.3.v4.1 pep chromosome:Pop_tri_v4:14:1338965:1343572:1 gene:Potri.014G022400.v4.1 transcript:Potri.014G022400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022400.v4.1 MGSSDTGLNNDDDSATVSDESNTETDSDMRTETDEECQSSHPPSVFVNDEKVLAFHSGQYYEAKVIRALYEENKWGFFVHYTGWNKTWDEWVGTDRLLKHTEENVQTQKALKERLEMEMKTKAVQAPQMKLKNSGVSRGRKRKNDNLNKEKDLVPLEKLVNLQIPPTLKKQLVDDCEFITHQSKLVKLPRAPNVQDICKKYCEYRSKKDVMMPESTAEIMKGLRCYFDKALPAMLLYKSERQQYTYAIRDDVSPSMVYGAEHLLRLFVKLPELLVHANIEEETLTELHQKLVDFLRFLQKNQSAFFLSTYHVPEDSEANTIKQDH >Potri.014G022400.2.v4.1 pep chromosome:Pop_tri_v4:14:1338926:1343598:1 gene:Potri.014G022400.v4.1 transcript:Potri.014G022400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022400.v4.1 MGSSDTGLNNDDDSATVSDESNTETDSDMRTETDEECQSSHPPSVFVNDEKVLAFHSGQYYEAKGWNKTWDEWVGTDRLLKHTEENVQTQKALKERLEMEMKTKAVQAPQMKLKNSGVSRGRKRKNDNLNKEKDLVPLEKLVNLQIPPTLKKQLVDDCEFITHQSKLVKLPRAPNVQDICKKYCEYRSKKDVMMPESTAEIMKGLRCYFDKALPAMLLYKSERQQYTYAIRDDVSPSMVYGAEHLLRLFVKLPELLVHANIEEETLTELHQKLVDFLRFLQKNQSAFFLSTYHVPEDSEANTIKQDH >Potri.011G076900.1.v4.1 pep chromosome:Pop_tri_v4:11:8207028:8208192:1 gene:Potri.011G076900.v4.1 transcript:Potri.011G076900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076900.v4.1 MAEEFQESEVIFSDHEHGCKKYFNYQEMGVFSNNNKKVKKKKQKQSSSVPVSIPNREFQLSDSDDFEEDYGGDDLVPPHIIVERRIAGKMAFSVRTGNGRTLKGRDLSEVRNSILRMTGFLET >Potri.018G078450.2.v4.1 pep chromosome:Pop_tri_v4:18:9612585:9620780:1 gene:Potri.018G078450.v4.1 transcript:Potri.018G078450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G078450.v4.1 MQLKIDMPRPVHEELERWKAVNFPVPKPDYGFSEPNKILQKEFYTYAKEDNFNALFGLVSDKLEHVSSEEVLNVIFKHVAASGNSLLHVAASHGSEGVTQLLCHHFPLLITRKNFLGDNALHLAARAGRFDTIQNLVKHVKIDPHKTLELASLLRMKNNKGNTPLHDAVIKGCREVACFLVNEDLEVSYHKNKEDKSPLYLAVESCDEKMIVSLIEAMPEGNLEKLADGKPDIMLPEDKKGGSLLHLAASMGFLFGARLLVSRCPVAASQRNEEGNLPIHVACQKGDLEVVLELLTYWFDPMDFLNEKGQNILHVAAESGQMKLVEEILGNRDLEALINEKDYDGNTPLHLAAMYGRSEIVHALVRDKRVDTRIVNNEKLKPSGVVVKLLQGGRFEAPKSDGMNKLIDTKHEDDAARGVWNKSQKAEVRKMREVLTVLVEADDKTEFDIKLLFDLLRTTLTTEELNRGVGNLLVVAVLVAGVTFAGAITVPGSGSDFNSGSSKNLMRAYIFFDMLAMNFSLIAAIILGRISLGRASYVTSCMEMATFLNFYSLLCMGIAYTFILAITVQERNGFFTTIITFQACLFFTQLVCSYRLMVSTANSILSFVQANLFKLMAGMKRRSDVRTSLMGTK >Potri.018G078450.1.v4.1 pep chromosome:Pop_tri_v4:18:9612255:9617875:1 gene:Potri.018G078450.v4.1 transcript:Potri.018G078450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G078450.v4.1 MQLKIDMPRPVHEELERWKAVNFPVPKPDYGFSEPNKILQKEFYTYAKEDNFNALFGLVSDKLEHVSSEEVLNVIFKHVAASGNSLLHVAASHGSEGVTQLLCHHFPLLITRKNFLGDNALHLAARAGRFDTIQNLVKHVKIDPHKTLELASLLRMKNNKGNTPLHDAVIKGCREVACFLVNEDLEVSYHKNKEDKSPLYLAVESCDEKMIVSLIEAMPEGNLEKLADGKPDIMLPEDKKGGSLLHLAASMGFLFGARLLVSRCPVAASQRNEEGNLPIHVACQKGDLEVVLELLTYWFDPMDFLNEKGQNILHVAAESGQMKLVEEILGNRDLEALINEKDYDGNTPLHLAAMYGRSEIVHALVRDKRVDTRIVNNEKLKPSGVVVKLLQGGRFEAPKSDGMNKLIDTKHEDDAARGVWNKSQKAEVRKMRKVLQVLVEADDKNEFDNNLISDLPRTTLTTEELNRGVGNLLVVAVLVVGVSFAGVITVPGSGSDLSSDSSKYLRSSFIGFDMLAMNFSLIAAIILCRISLGRASDVTSSMKNATYYNFYSLFCMGIAFTFILLITVQEHTGFFLTIITSHAYLFFIQLVCSYGFMLSTANKIRSFLRANPFKFMARMKRRMDVRTSLMGRE >Potri.008G026700.1.v4.1 pep chromosome:Pop_tri_v4:8:1383166:1385565:1 gene:Potri.008G026700.v4.1 transcript:Potri.008G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026700.v4.1 MTTVVPSSMSISKIDKERGVIDSSTELDAGALFVLQSRGSWIHCGFHLTTSIVGPVIFSLPFALALLGWVPGVLIIALAALVTFYAYNLLSAVLEHHEKLGKRQIRFRDMARDILGPGWGKFFVGPLQFSICYGAVIACTLLGGQSLKFIYMLYNSNGTMQLYQFIIIFGAATLFLAQMPSFHSLRHINLFSLILCLAYSACVAAGSIHTGKSKNAPSKDYSIKGSQENQFFSAINAISIISTTYASGIIPEIQATIAPPIKGKMFKGLCMCYAVIVSTYFSVGISGYWSFGNRAQPSILANFMVDGQPLLPRWFLLLTNIFTLMQVTAIALIYLQPTNEVFEKWFADPKMDQFSIRNVIPRLIFRSLSVISATFLAAMLPFFGDIMALFGAFGCIPLDFILPMVFYNVTFKPSKKGLVFWGNTLIAVASTLLAAVGAVASVRQIVLDARTYSLFADV >Potri.019G112300.2.v4.1 pep chromosome:Pop_tri_v4:19:13364198:13366291:1 gene:Potri.019G112300.v4.1 transcript:Potri.019G112300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G112300.v4.1 MQDPKNSHTRKPWYQKAIEMATQWKTISKSTEISTTTTNPGLWKAISKSKEVPNKNRTLWKTISRSTEIPTTNPNRAKLRKCSSVRVATTFTRVCLCAPISSYNEVFRADVPPRRSNSYPRSKPFPAVQERVPSARPSMEGRRVIFRGKSLTDDVLMRRFVLEEEAMMQTKRRNQVEVIWRRTMLRRKKLGPSPLSRMVMATIEEF >Potri.001G052000.1.v4.1 pep chromosome:Pop_tri_v4:1:3923087:3929266:-1 gene:Potri.001G052000.v4.1 transcript:Potri.001G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G052000.v4.1 MTRETPGSSGQKLFGDKIKVVASDRIAADRNANNICVQTGEEFSPQFARRRVPELHELGKMGFNHNHNNLMGSENHTSTRGMRRKESDCDALGYVSRIEATGHAAELENKAYNDDTGRYHWEYAPNAQKPSNYVDETSNYGQVTLCPSPTSPPKCVQETPWPYQPFVTRVSESTFSGKMKFLCSFGGRILPRPNDGKLRYVGGETRIISIRKNVTRGELAKKTLAICGHPHTIKYQLPGEDLDALISVSSDEDLYHMIEEYQELERNGGTQRLRIFLVSSGEPDSPNSFEGKTSQQRNADYHYAVAVNSMLDLSSQKSSSGQSSASHQGTASDYKNLSPPVTPLNIQYRDHKNSKGLFYVDQSFPDSNKKHGAFSEEKFPSDTTYYNNLPRVPMPLVNQACHHQYPVETDQTSKQLEMHLHNRCESGDFLPYQLCPQNSINSDWPSIMERAFSDSQLRENGEVSKKWLEEAVITLSLCNNEREKSPSLKMSNSSSERPMLWPYVMDEKHQFTDFENLCREKLGNIDTDQEVLNWMDRNVNYSDVDREQNEGNVKASSNDNVIEHRNLPNLKYRPSAYHHPQDSQAYGRVVSATRVYPLENSADIAREHPQGHLSGTKAPYLFVENQKVSKDQQCAMTESIRGQRISHGDPEYLHSASLESRDKKPKVLSSKSDRSASTRFLSLCDEDPVEYPEKIEKIRDKGQSYEEPLDRDAQFVQSQPLDDHHDDKMLEPEVIVEDVTGTTPPDIPLSLNVVPRVEEEVTEGFQSDRDTEAESTCQDQEYESEDIEDSDRDTNDSISDAAMAEIEAGIYHLQIIKYADIEEVQELGSGTFGTVYYGKWRGSDVAIKRIKRSCFSGNSSEQERLTRDFWREARILSDLHHPNVLAFYGVVPDGPGGTMATVTEYMVNGSLRRVLRKKDRALDRRKKLIIALDAAFGMEYLHLRDIIHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLDGNSNRVSEKVDVFSFGIAMWEILTGEEPYANMQFGAIIGGIVSNTLRPRIPENCDAGWRKLMEECWAFDPEARPSFTEITNRLRVMSTALQPKRHNHANK >Potri.005G246500.1.v4.1 pep chromosome:Pop_tri_v4:5:24112111:24120306:1 gene:Potri.005G246500.v4.1 transcript:Potri.005G246500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246500.v4.1 MGDTESCSSRAVDFVPRNQRHKLDVFNDVFDRLKESNHEEASRLGFEDELWAHFLRLPPRYAMDVNAERAEDVIMHKRLLQMAHNPATRPAIEVRLVQVPFQSGAHSSDSVDSELQLQYFDYLGKHSIHPPPAFGSLSDSELLHKYQDKDITVITGPLCFRLVHEITISTIDQPKLLSQLTSLLSEIGLNIQEAHAFSTTDGYSLDVFVVDNMPLEDTERLRSMVFKEIPKIEEDADSKSHAVYRVTEQDQIGISLVSNLMNVPADSIDVWEIDARQLIREKKIANGSSGDLYKGTFCSQDVAIKVLRGEHLNNKLQSEFYQEVSIMRKVRHKNVVKFIGACTRPPSLCIITEFMSGGSMYDFLHKQKGSLSLQSLLRVAIDVSKGMHCLHQNNIVHRDLKSANLLMDENGVAKVADFGVARVQDQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEHLSPLQAAVGVVQQGLRPSIPSHSHPKLAELLERCWQQDPSLRPDFSEIVELLQQLDRMVADERQDKQKGKSPRARRVVTAIRGDTR >Potri.005G246500.5.v4.1 pep chromosome:Pop_tri_v4:5:24112235:24120070:1 gene:Potri.005G246500.v4.1 transcript:Potri.005G246500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246500.v4.1 MGDTESCSSRAVDFVPRNQRHKLDVFNDVFDRLKESNHEEASRLGFEDELWAHFLRLPPRYAMDVNAERAEDVIMHKRLLQMAHNPATRPAIEVRLVQVPFQSGAHSSDSVDSELQLQYFDYLGKHSIHPPPAFGSLSDSELLHKYQDKDITVITGPLCFRLVHEITISTIDQPKLLSQLTSLLSEIGLNIQEAHAFSTTDGYSLDVFVVDNMPLEDTERLRSMVFKEIPKIEEDADSKSHAVYRVTEQDQIGISLVSNLMNVPADSIDVWEIDARQLIREKKIANGSSGDLYKGTFCSQDVAIKVLRGEHLNNKLQSEFYQEVSIMRKVRHKNVVKFIGACTRPPSLCIITEFMSGGSMYDFLHKQKGSLSLQSLLRVAIDVSKGMHCLHQNNIVHRDLKSANLLMDENGVSGSLFQYLIYSLASVPVPVFSLLSSETATVSELRRQYFILIN >Potri.005G246500.4.v4.1 pep chromosome:Pop_tri_v4:5:24112236:24120025:1 gene:Potri.005G246500.v4.1 transcript:Potri.005G246500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246500.v4.1 MGDTESCSSRAVDFVPRNQRHKLDVFNDVFDRLKESNHEEASRLGFEDELWAHFLRLPPRYAMDVNAERAEDVIMHKRLLQMAHNPATRPAIEVRLVQVPFQSGAHSSDSVDSELQLQYFDYLGKHSIHPPPAFGSLSDSELLHKYQDKDITVITGPLCFRLVHEITISTIDQPKLLSQLTSLLSEIGLNIQEAHAFSTTDGYSLDVFVVDNMPLEDTERLRSMVFKEIPKIEDADSKSHAVYRVTEQDQIGISLVSNLMNVPADSIDVWEIDARQLIREKKIANGSSGDLYKGTFCSQDVAIKVLRGEHLNNKLQSEFYQEVSIMRKVRHKNVVKFIGACTRPPSLCIITEFMSGGSMYDFLHKQKGSLSLQSLLRVAIDVSKGMHCLHQNNIVHRDLKSANLLMDENGVAKVADFGVARVQDQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEHLSPLQAAVGVVQQGLRPSIPSHSHPKLAELLERCWQQDPSLRPDFSEIVELLQQLDRMVADERQDKQKGKSPRARRVVTAIRGDTR >Potri.015G057600.3.v4.1 pep chromosome:Pop_tri_v4:15:7987163:7995362:-1 gene:Potri.015G057600.v4.1 transcript:Potri.015G057600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057600.v4.1 MDLWVVGAAAAAGYIAKYWQNLSKHRASSLELSSGTSTCEKPETSSSPFHKLIRRKQAEDTLTSGRHFSDGGFSDIYHLDGASDTEAPSTSSFGERIVRLRTYDDCNVLSMSSLPPGFSVNENLKENEDGNGLNDNMDDNSGNPYTGELDSFHCSGKNSLRTKHSGSHVVKPFSSLDSCLMAQLYKEHARMEEYVLSALQSPSTIMKPLLITDGTQIISRADRDSLSAQIASDNNRWHKEEIVCGVPPLPKIGFADHLKKIKSKSGKRQNERSSSSQKHLQSPNGSHDGTVLFCLGVSIGIISSLMANGREVNQLKEDLKHTQNLVQDLQEELEMKDSLTVKQLTNENNESQDTCDNSFHYRASNPHLLIQNVNDSMNNIGAVSYNENTEQSPESMSKIEAELEAELERLGLNMNPSGPPRRLSELVELDPDSTADFARGELRLDMVNRQAAAQSESNQDARGTSPTCSANYAVSPRELSLHLHEVIQSRLQERVKELETALQNSQRKVHLLESEHKNAQRLFSTTELRYSSGEVSPVVEGDFSCIDQPLVMNLSREALDAYNEAYEELNKMNESEEEDSLSGDFEDNQAGLHLFDQRVSWGQNGRANGSFSLSSHNQEKTPNDLHTGPLKSSAEYGAGIEELLYDRISEDENSDCDDEMEKQLIQQIVEKTKKGSPVVLNAQRLLFSVDDNEH >Potri.015G057600.2.v4.1 pep chromosome:Pop_tri_v4:15:7987127:7995398:-1 gene:Potri.015G057600.v4.1 transcript:Potri.015G057600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057600.v4.1 MDLWVVGAAAAAGYIAKYWQNLSKHRASSLELSSGTSTCEKPETSSSPFHKLIRRKQAEDTLTSGRHFSDGGFSDIYHLDGASDTEAPSTSSFGERIVRLRTYDDCNVLSMSSLPPGFSVNENLKENEDGNGLNDNMDDNSGNPYTGELDSFHCSGKNSLRTKHSGSHVVKPFSSLDSCLMAQLYKEHARMEEYVLSALQSPSTIMKPLLITDGTQIISRADRDSLSAQIASDNNRWHKEEIVCGVPPLPKIGFADHLKKIKSKSGKRQNERSSSSQKHLQSPNGSHDGTVLFCLGVSIGIISSLMANGREVNQLKEDLKHTQNLVQDLQEELEMKDSLTVKQLTNENNESQDTCDNSFHYRASNPHLLIQNVNDSMNNIGAVSYNENTEQSPESMSKIEAELEAELERLGLNMNPSGPPRRLSELVELDPDSTADFARGELRLDMVNRQAAAQSESNQDARGTSPTCSANYAVSPRELSLHLHEVIQSRLQERVKELETALQNSQRKVHLLESEHKNAQRLFSTTELRYSSGEVSPVVEGDFSCIDQPLVMNLSREALDAYNEAYEELNKMNESEEEDSLSGDFEDNQAGLHLFDQRVSWGQNGRANGSFSLSSHNQEKTPNDLHTGPLKSSAEYGAGIEELLYDRISEDENSDCDDEMEKQLIQQIVEKTKKGSPVVLNAQRLLFSVDDNEH >Potri.014G057200.2.v4.1 pep chromosome:Pop_tri_v4:14:3634200:3635827:-1 gene:Potri.014G057200.v4.1 transcript:Potri.014G057200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057200.v4.1 MGGGAMHMDLIPMIQFKPSTSAIPSLPSTSTSLNFPVSVSETIASLGQSCTASSCYCQSGTCPRMLQSVIHGKDHDTRTNGVSPYNVVLGTVPSQLEVESAIASLQNFLSEVSSSGQMLLDCCGVRTLLSLGYGRVRDAFRLLQTDPSIKRLVISLASDKAVWDAVMSNEAVRKLQGSCYPDCRKESCEEESDIAACVLRWIMDITKAKIIELLDKFTLLMNEVFQPIEKEKPGEETNHNVDDKVRSSLLLSIVILLIVVVARTRGA >Potri.014G057200.1.v4.1 pep chromosome:Pop_tri_v4:14:3634146:3635822:-1 gene:Potri.014G057200.v4.1 transcript:Potri.014G057200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057200.v4.1 MGGGAMHMDLIPMIQFKPSTSAIPSLPSTSTSLNFPVSVSETIASLGQSCTASSCYCQSGTCPRMLQSVIHGKDHDTRTNGVSPYNVVLGTVPSQLEVESAIASLQNFLSEVSSSGQMLLDCCGVRTLLSLGYGRVRDAFRLLQTDPSIKRLVISLASDKAVWDAVMSNEAVRKLQGSCYPAEDCRKESCEEESDIAACVLRWIMDITKAKIIELLDKFTLLMNEVFQPIEKEKPGEETNHNVDDKVRSSLLLSIVILLIVVVARTRGA >Potri.005G207000.1.v4.1 pep chromosome:Pop_tri_v4:5:21173691:21176759:1 gene:Potri.005G207000.v4.1 transcript:Potri.005G207000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207000.v4.1 MAMAMNVSPCFCVASNRRSGNRVRAVASEDLVFSKIKDDKVKLGGSDLEVTRLGIGAWSWGDTSYWNNFEWDDRKMKAAKAAFDTSVDCGITFFDTAEVYGSRFSFGAINSETLLGRFIKERKVKDPEVEVAVATKYAALPWRLGRQSVLTALKDSLNRLGLSSVELYQLHWPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYSASRLRDAYEKLKKRGIPLASNQVNYSLIYRAPEENGVKAACDELGVTLIAYSPIAQGVLTGKYTPENPPTGPRGQIYTPEFLTKLQPLLNRIKEMGENYSKTNTQVVLNWLVAQENVIPIPGAKNAQQAEEFAGALGWRLTSEEIDELRSLAMEIKPVTGFPVEKL >Potri.007G053750.1.v4.1 pep chromosome:Pop_tri_v4:7:5583709:5584651:-1 gene:Potri.007G053750.v4.1 transcript:Potri.007G053750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053750.v4.1 MFRYQLIGQRFLHQLQNHHPRSIIPPVQSTISTGKTSPALTSATGGISGPTVVDREFLLPRLLLQVCWQY >Potri.001G237800.1.v4.1 pep chromosome:Pop_tri_v4:1:25559066:25566613:-1 gene:Potri.001G237800.v4.1 transcript:Potri.001G237800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237800.v4.1 MARTLLSSPPFIGTPLPSLSRHALTTNRRFISTRIKLSLHDNIPPIHHHLHSSVDFNTIISRAEGFLYTLADAAVAVDSAASTTSSDTADAAQKNGGWFGFISDGMEFVLKVLKGGLSAVHVPYAYGFAIILLTIAVKVATLPLTKKQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGVLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWHDTAAYLVLPVLLIASQYVSMEIMKPPQTDDPTQKNTLLVFKFLPIMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRKLGGAKPVVNENASGIITAGRAKRSASQPGQPGDRFKQLKEQDKSKTLRKALPTEGVQALDSASGSDEDSDEETNDKGEEVLEETYASSASKRVPDISRPKRSKRSKRKRTV >Potri.019G010400.5.v4.1 pep chromosome:Pop_tri_v4:19:1472314:1474091:-1 gene:Potri.019G010400.v4.1 transcript:Potri.019G010400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010400.v4.1 MVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Potri.019G010400.4.v4.1 pep chromosome:Pop_tri_v4:19:1472361:1475066:-1 gene:Potri.019G010400.v4.1 transcript:Potri.019G010400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010400.v4.1 MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Potri.019G010400.2.v4.1 pep chromosome:Pop_tri_v4:19:1471171:1475195:-1 gene:Potri.019G010400.v4.1 transcript:Potri.019G010400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010400.v4.1 MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Potri.019G010400.3.v4.1 pep chromosome:Pop_tri_v4:19:1472314:1475066:-1 gene:Potri.019G010400.v4.1 transcript:Potri.019G010400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010400.v4.1 MADSEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Potri.002G116600.1.v4.1 pep chromosome:Pop_tri_v4:2:8843696:8846332:-1 gene:Potri.002G116600.v4.1 transcript:Potri.002G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G116600.v4.1 MPMYQPNSHRNLRLDVGAGGQILDLETAIKDGILGGGGGLVCAGVTEKLDLKKMIEELESIEVPSVFICPISLDPMQDPVTLCTGQTYERSNILKWFSLGHCTCPTTMQELWDDTVTPNRTLQQLIYSWFSQKYLAMKKRSEDVLGRATELLDSLKKVKGQARVQTLKELRHVVVAHSMAKKTVMDKGGVALISTLLGPFTTHAVGSEVIGILVNLDLDLPSKANLRQPAKISLVVDMLNEGSIETKINCTKLIEMLIGRKDLGSENVSSLSLLAGLLRLVKDKRHPNGVLAGLGLLNTICLDESVRNSVVSIGAVPPLVELLPGLNNECLELALHILEVLSTIPEGRLALKDCANTIPNVVKFLMSKSESCTQLALSILWAVCNLAPEECAALAVEAGLAAKLLLVIQSGCNPVLKQRSVELLKLCSLNYTATIFISKCKLSRTIE >Potri.006G096600.3.v4.1 pep chromosome:Pop_tri_v4:6:7356581:7359525:1 gene:Potri.006G096600.v4.1 transcript:Potri.006G096600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096600.v4.1 MNPTTEPPQLQPPQPPQPHRHSTSCDRHPEEHFTGFCPSCLCERLAVLDPNTSSAASSSSSRKPTATAALKAIFKPSQLSSSSNNNNNNNNNNNKSSSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRERYTLWSLFTQDDERNPSVKKEPFKGGPEIEAVEPRISCSSVRGPVFESKEEEEIESETDTENENANTSVNANIGGDILVNGVLEESNLTARNSNANPIDEIIEEEEDEDEDEDEEEGIIIEPEPVHETVLEELKTMKDHIDLDSQSKKTSGRDLKEIAGSFWSAASVFSKKLQKWRQKQKLKKQRNGGPGSATLPVEKPIGRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVNVVNVVSRSDTQIPVEEPPRISMNSINEDDEDETVPGGSVQTRDYYSDSSSRRRKSLDRSNSIRKTAAAVVAEIDELKAVSNAKVTPATVDYIHGPKLVVPDRDFRDSNSNSLRDDCSENFDMGFRDNASVVGNGERKGVKKPRRWSKAWSIWGFIHRRSVNKDEDEDRYSRANGVERSFSESWPELRGERNGDVRGGFNPKMLRSNSSVSWRNSHNFGGGSFSSARKSSVETNGNGRKKRDEFVLERNRSARYSPNNMDNGLLRFYLTPLRNSRRNGWGKSKSSHAQSIARSVLRLY >Potri.013G029800.1.v4.1 pep chromosome:Pop_tri_v4:13:1931453:1936266:-1 gene:Potri.013G029800.v4.1 transcript:Potri.013G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029800.v4.1 MDLQSADGERRNSTLICAPIMAESVDQMLVQMKRAKELGADVAEVRVDFLKNFSPRNDLEALIKQCPLPTLITYRPKWEGGQYDGDENKRQKALQIAMELGADFIDIELKVAQEFYNFIQGKKPEKVKIIVSSHNYECTPSIEEIGDLVARIQATGADIVKVATTALDITDNARMFHIIVNLQVPMIGLVMGERGLMSRVLAAKYGAFLTFGSIEAGVVSAPGQPTVKDLLELYNLRQIEADTKVHGVIGNPIGHSKSPHLYNAAFKSVGFNGIYLPLLVDSVANYISTYSSPDFAGYSYTIPHKEDGLKCCDEVDPIAKEIGAISCMIRRPDDGKLKGYNVDYLGAIAAIEEALGASNGAPASVSPLAGKLFVVMGAGGAGKALAYGAYEKGARVVVANRTYGKAKELASKVGGQAIALAKLKDFHPEEGMILANTTSVGMKPRIEDTPLAKEALKHYALVFDAIYTPKLTTLLREAQEAGSTIVYGTEMFINQAFVQFERFTGLPAPKQLIRDVLARNT >Potri.017G090400.2.v4.1 pep chromosome:Pop_tri_v4:17:10351592:10354982:-1 gene:Potri.017G090400.v4.1 transcript:Potri.017G090400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090400.v4.1 MAEEGGGEELFEVESSKSEKVEVVKEGVASIALLPSGSISGHFIQLPHSICYGLHGTELACERECSRGEDYRLIKLTIVDFNSGKEQAVVVECKGHDAARFHNVDQAHGWEKDIVGMVEEKHGKKKIHVSFECETLKADKAAEDHIKQFMPKLAGLDAVVNIGRMSISGLDFEAEDAGIKQTMIQ >Potri.017G090400.3.v4.1 pep chromosome:Pop_tri_v4:17:10351625:10354991:-1 gene:Potri.017G090400.v4.1 transcript:Potri.017G090400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090400.v4.1 MEEGGGEELFEVESSKSEKVEVVKEGVASIALLPSGSISGHFIQLPHSICYGLHGTELACERECSRGEDYRLIKLTIVDFNSGKEQAVVVECKGHDAARFHNVDQAHGWEKDIVGMVEEKHGKKKIHVSFECETLKADKAAEDHIKQFMPKLAGLDAVVNIGRMSISGLDFEAEDAGIKQTMIQ >Potri.006G252666.1.v4.1 pep chromosome:Pop_tri_v4:6:25116778:25117077:-1 gene:Potri.006G252666.v4.1 transcript:Potri.006G252666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252666.v4.1 MLEGKYDEQQVKTALLVGLACLHPDTKGRPTIRKVEQILLKPNEPLMKVSESRPTAIFVPLYSSASTTRSTAVLGLKVTMPCCSQPLDKIITHHEDCDI >Potri.001G193300.1.v4.1 pep chromosome:Pop_tri_v4:1:17852375:17856252:-1 gene:Potri.001G193300.v4.1 transcript:Potri.001G193300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193300.v4.1 MDCACRPFFLWGTWMSTTPRTTSPPNTISLPLINSRSSTPPSPPRLKATTSPFNFSVDLPSGGNNNHGGRNSNNNNKGWWWRRWWNDGSDSSNTFSLLFLLSSSIVLQLASSAAARTQQEEGEEVWEVKGSNRARLIPDFSKDEFVVSPTSSSSLFSVNDLWSQCTTLFVRLMLPQGFPRSVTSDYLDYSLWRAVQGIASQISGVLATQALLYAVGLGKGAIPTAAAINWVLKDGIGYLSKIVLSKYGRHFDVHPKGWRLFADLLENAAFGLEMLTPAFPHLFVFIGATAGAGRSAAALIQAATRSCFYAGFAAQRNFAEVIAKGEAQGMVSKFIGIMLGIALANCIGSSTPLALASFSVVTWIHMFCNLKSYQSIQLRTLNPYRASLVFSEYLLSGQAPPVKEINDEEPLFPAVPFLNIYSKGNVQSIVLSSEARNAAAEIEQRLQLGSKLSDVVNNKDDVLALFNLYRDEGYILTEHKGRFCVVLKESSSPHDMLKSLFQVNYLYWLERNAGIEARSISADCRPEGRLQISLEYARREFNHVKNDSVSMGWVADGLIARPSPIRVCPGNIASSIAS >Potri.006G140801.2.v4.1 pep chromosome:Pop_tri_v4:6:11810638:11811255:1 gene:Potri.006G140801.v4.1 transcript:Potri.006G140801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140801.v4.1 MELLNFSLSIKVGLVGYFSTAHFSVSVIGSGSARGGLSFHPTQCVIIRFVMEKLVMVVHLRVLKGMAMELQNMHARL >Potri.006G140801.1.v4.1 pep chromosome:Pop_tri_v4:6:11810638:11811353:1 gene:Potri.006G140801.v4.1 transcript:Potri.006G140801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140801.v4.1 MARMELLNFSLSIKVGLVGYFSTAHFSVSVIGSGSARGGLSFHPTQCVIIRWTNVSWCLLRFVMEKLVMVVHLRVLKGMAMELQNMHARL >Potri.015G008050.1.v4.1 pep chromosome:Pop_tri_v4:15:478291:479190:-1 gene:Potri.015G008050.v4.1 transcript:Potri.015G008050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008050.v4.1 MSHMLLEILAEEALKGSKPSSTFKAESFVKVATEISQKFNVQCEPKHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKAHPNHDKYLYKKLDMYEAMAIVVGKDMATGNYAKSYADVNLEENTEEQSISIENEGEYEETSKGKETSSSSTQKRQHRKRNRMYEDDGVEKLSKQIGDVALAIQSLSKNQLDVNALYAEVMKIEGFDEITLGEAFDHLVQNEMLAKAFMAKNANLRKIWVQNFVNQHYYRPAC >Potri.002G152900.1.v4.1 pep chromosome:Pop_tri_v4:2:11704579:11708694:1 gene:Potri.002G152900.v4.1 transcript:Potri.002G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152900.v4.1 MQTSYILQQKHIYLSLPLCACQIVPLSPVGSVPDMTSEQFLSVEVTKNNASSFAEGVRILVVESDPTCLRIVSKMLQAFGYEVTTATRATDALHILREKEDEINLILIETHLPDMDQYEIIETVRAMSSSLPIVVFSADNNVSAMLGWLYKGAALYLMKPIVKDDVKNLWQLTYRKKKKTAVSSVGSNSFHAGLAEENASSVTAGIPSLLSTTGQSDQMGKRKELEETDNDDEDNDNLTVLKKPELVWTNELHNRFLQAIRILGVDGAHPKKILQHMNVSGLKKENVSSHLQKYRLSLKREQDTTQKTMIRDHHPSLTLNLQGGFSQFTNPQFFMAISQSEHRNNIQNNLCSPVSMHSLFSAHSLTRVNTNYDGMLIPSYGQQSKQLDRTYPNCSHTGIGTTNDGNFASLGQKGNHNVEEHLNQGTTFSNVGTHGPTFLGNSQQQLQFPLLQPQPLPEKEDESDIPNIVTEARNELLVMKNEFLDYPNNDLWL >Potri.015G139500.1.v4.1 pep chromosome:Pop_tri_v4:15:14691995:14696278:-1 gene:Potri.015G139500.v4.1 transcript:Potri.015G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139500.v4.1 MLKSTISLPLSLSVSPKTSLSPFLYRSRKTSQSNFKAEKKVQDFMSILYKEKLCSGMNKKEKCIIMDNSIQESLLVPEELSNVDLKTRIWTESKMIWKIAFPAMVARVTSFGMIVVTQAFLGHIGKLELAAYALLQSFIVRFINGILIGMSSATETLCGQAFGARHDHMMGIYLQRSWIVDGVSATILLPLIIFAAPIFRLLGQEEDVAIAAGNMSPWFIPYVYYLVFSLTIQMYLQAQLKNKVVGWFSAISFVLHILLSWIFVNKLELGTAGAMGALTISTWSLVIGLLVYIFGGWCPNTWKGFTKAAFADILPVVKLSISSGFMICLEIWYNSIIILAAGYVKNATTAISAFSLCQNILTWEFMLSFGFLGAACVRVANELGRGNPEAAKFSVEIILSTSIIIGVLIWVLCLIFGKEISRFLTSDEEVAETVSSLAVLLAFSILLNSVQPVLTGVAVGAGVQSMVAFVNLGSYYIIGLPAGILLGYVVHLEVQGLWMGLLSGVVVQTLILSYIVWRTDWDEQVNKASESLRRWFLKSEKDSIESSAPAA >Potri.017G053000.1.v4.1 pep chromosome:Pop_tri_v4:17:3986419:3988576:1 gene:Potri.017G053000.v4.1 transcript:Potri.017G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053000.v4.1 MMDTRSQCYRCGQRFSVPPNTATIMCPSCKFETKTQSFERLKSQDGGQISFRSFIETARTKLSRSGTGSSKSNKSLNCKPSTLLFSESLSGLRPCRKRALLIGVTYKNSKQKLKGTIIDVKGFRGLLMDTFTFPEEDIRVLTEEEPEPDFVPTKKNIQNSFKWLVEDCMAGDSLVFYFSGHGVRQFDFDSDESDGFDETICPVDFVEVGTITDDEIKSAIVRPLKKGVTLNAIVDACHSGTVLDLPYVYNRKAKKWEISKRLSEDEKHTDRGLAISIAACEDRQVAADTSSCIGKSSINGGAMTSVLIQIVKKNPTITYGKLLDSIHEDIEKANKEGCLPGILKRMLNNFLSQKPQLSASKEFNVNSRFLILQ >Potri.019G031600.1.v4.1 pep chromosome:Pop_tri_v4:19:4429217:4431554:1 gene:Potri.019G031600.v4.1 transcript:Potri.019G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031600.v4.1 MTMEEAIVVNKGDDLIDLPPGFRFHPTDEEIITHYLTEKVMNSSFCASAIGEVDLNKVEPWDLPKKAKMGEKEWYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIYKGKHSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSYYSLPKVARDEWVVCRIFHKSTGIKKTSIHDLLRVNSFGDDFLDYSSLPPFMDPLNYNSRPSTSSFSGGDNNEFKEMATRSSDGNYFSNTSMLNNNQNFAQPPNTNYQAPNSSFHPQIPASNPLYTFQTSPNMPGYLHQGKSSSNSFQNFQNSIFSDNEHTLLRSLARNSYREASGLEKQCKVERFSSNQSMVSLSQDTGLSTDVNTTAEISSVVSKQEIGSHDKVFEDLEGPSAGPIADLDCLWDY >Potri.019G031600.3.v4.1 pep chromosome:Pop_tri_v4:19:4429458:4431465:1 gene:Potri.019G031600.v4.1 transcript:Potri.019G031600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031600.v4.1 MGEKEWYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIYKGKHSLVGMKKTLVFYKGRAPKGEKSNWVMHEYRLEGKFSYYSLPKVARDEWVVCRIFHKSTGIKKTSIHDLLRVNSFGDDFLDYSSLPPFMDPLNYNSRPSTSSFSGGDNNEFKEMATRSSDGNYFSNTSMLNNNQNFAQPPNTNYQAPNSSFHPQIPASNPLYTFQTSPNMPGYLHQGKSSSNSFQNFQNSIFSDNEHTLLRSLARNSYREASGLEKQCKVERFSSNQSMVSLSQDTGLSTDVNTTAEISSVVSKQEIGSHDKVFEDLEGPSAGPIADLDCLWDY >Potri.014G120300.2.v4.1 pep chromosome:Pop_tri_v4:14:8080691:8082968:-1 gene:Potri.014G120300.v4.1 transcript:Potri.014G120300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120300.v4.1 MAFSLCSTKTLLFLLLISAIPIAFIISMELAKPPTHVYHYHSSGFFRESAKWDDLNRRFLVSFMEGGIGEIAVPEDHGSSLEEAVLKEVTVVKDPDLTGNSSLGIVVDRPRNRLLVAISDVLGNNYNALAAYDLSTWDRLFLTKLGGSDNEKSFADDVAVDAEGNAYVTDAKSNKIWKVGADGKFVSFIRNPHFVAKEWYKNLIGLNGIVYHPDGFLIVIHTFSGMLYKIDIAKEDHEVKLIKVAGGSLAFGDGLELLSPTKLVVAGNPSGRLVESSDRWETASVVAKFKGPAHRLATAATVKDGRVYLNHLLGMGYPKRKHALVEAVFSI >Potri.004G105700.1.v4.1 pep chromosome:Pop_tri_v4:4:9280063:9283380:-1 gene:Potri.004G105700.v4.1 transcript:Potri.004G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105700.v4.1 MKVYAMNQLPFGSKHHALRKNTPTIALTVTFTLVFFTVVPLCYPLLGYPLYLLKSFSKSQISISSYVAVKYGSIFEHESEQKCDIFTGEWIPNPHAPYYTNTTCWAIHEHQNCMKYGRPDTGFMKWRWQPDGCELPVFNPAQFLEIVKGKSMAFVGDSVGRNQMQSLICLLSRVEYPIDVSYTPDEHFKRWRYPSYNFTLATFWTPHLVKAEETDAYGPTHTGLFNLYLDEFDEGWTTQIEEFDYVIINAGHWFYRPCVYYENRQVVGCRFCLLENVTDLPMYFGYRKAFRTAFRALNSLENYKGITFLRTFAPSHFENGEWNKGGNCVRRRPFRSNETSLEGINFELYMTQLEEFKLAEKEGKKRGLRFRLLDTTQAMLLRPDGHPSRYGHWPHENVTLYNDCVHWCLPGPIDTWNDFLLEMLKMEGVRSYEEKLDLSDRKMKLR >Potri.016G088500.1.v4.1 pep chromosome:Pop_tri_v4:16:7041814:7045495:1 gene:Potri.016G088500.v4.1 transcript:Potri.016G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088500.v4.1 MMSGDAFSLPSLIAGFAQDQNNANPKPNPNPVAKRKRNLPGTPDPDAEVIALSPKTLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDSSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALADESARITSVQDTDLNFRNDTVNLPHGFSNRPGVPDIAGISQFSAGFRPDFSGMSSPGNSLGADQQKTGLSLWINQANSHITPNSNLYVPPISTGLPEMVQMVANLYSSSSSANIHGNLTLSGLSHELKEEGINKANMGDTSASLYSDRIQNKQLKLAAVPMSATALLQKAAQMGSTRSNQPFFGNSYGLMSSSSSSSPTTNPISLNQNPNELYHVFQNVKQPASESLTATYNSVAMSDAVMGTSSNLDQLVMQTSGNLQNDPTQLKLQRGSNSTESGLTRDFLGMSSESGRLFLPHDLAKFASISSAMSSNHFTANH >Potri.005G127800.2.v4.1 pep chromosome:Pop_tri_v4:5:9625909:9628804:1 gene:Potri.005G127800.v4.1 transcript:Potri.005G127800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127800.v4.1 MSLKKSIAVRLFAFSKLSNQYITHCRIFSSLQTRIRQHPGHPLIRPNHPGESGTFRRLFHKPAIFSPELRQLPFGEGLIDKIRGFDIMRDRIRLDGLTPPPEVAAEEGLTVEDARKLLKAVQVEGVKLRLREMEKTWISYSEFVRVCSESCSDLENGILVARSLDESGTVVVLGNLVLLKPEQVLKAIGGLIPLPATNPSDPRRRELQEMEKQKALIDQKARALVRRELWGGLVFLVVQTAGFMRLTFWELTWDVMEPICFYLTSIYFMASYAFFLRTSKEPSFQGFYQSRLVAKQKQLAKLYNFDVKRYSELQKVCCPHSSSLEQIQAITSFDQAGKIC >Potri.005G127800.3.v4.1 pep chromosome:Pop_tri_v4:5:9625909:9628806:1 gene:Potri.005G127800.v4.1 transcript:Potri.005G127800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127800.v4.1 MSLKKSIAVRLFAFSKLSNQYITHCRIFSSLQTRIRQHPGHPLIRPNHPGESGTFRRLFHKPAIFSPELRQLPFGEGLIDKIRGFDIMRDRIRLDGLTPPPEVAAEEGLTVEDARKLLKAVQVEGVKLRLREMEKTWISYSEFVRVCSESCSDLENGILVARSLDESGTVVVLGNLVLLKPEQENETFVVTLQI >Potri.012G024500.2.v4.1 pep chromosome:Pop_tri_v4:12:2413702:2418075:1 gene:Potri.012G024500.v4.1 transcript:Potri.012G024500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024500.v4.1 MLITSNNIPGGGIQLSDGGGGFWSELLVATPPSITTVAFTALAGTALFAALLYTTSRGRLKSPWSRRKRKRALTPRQWKSLFTSDGKPRDGGVKFLKKVRSGGIDPCIRAEVWPFLLGVYDLNSSTEEREIIRTQKRKEYEKFRRQCRRFLKRINECSKGTSETSCIEDSGSPTQDSDSSSYEDVVSARESLSSEERSPDVEDSDDPSSALLEGDDGSGQATNTDASALNTESSDSDSSEDPEVIQASSSSEGREENDPDVPLKENISPSRADLHPNQCSGENFATWQRIIRVDAVRANSEWIPYSPSQATVSELRACRAAEAVGLKDYDHLEPCRVFHAARLVAILEAYAVYDPEIGYCQGMSDLLSPIIAVVTEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTEDLLLYAIAASVLQKRKLIIEKYSSTDEILRECNSMSGQLDVWKLLDDAHNLVVNLHDKIETPF >Potri.012G024500.1.v4.1 pep chromosome:Pop_tri_v4:12:2413702:2418832:1 gene:Potri.012G024500.v4.1 transcript:Potri.012G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024500.v4.1 MKTLKRSPTSPSSSNSSSPPSSSSSLSSSSWIHLRSILFVVNSSSPAYCSSSSSSDRGRLKSPWSRRKRKRALTPRQWKSLFTSDGKPRDGGVKFLKKVRSGGIDPCIRAEVWPFLLGVYDLNSSTEEREIIRTQKRKEYEKFRRQCRRFLKRINECSKGTSETSCIEDSGSPTQDSDSSSYEDVVSARESLSSEERSPDVEDSDDPSSALLEGDDGSGQATNTDASALNTESSDSDSSEDPEVIQASSSSEGREENDPDVPLKENISPSRADLHPNQCSGENFATWQRIIRVDAVRANSEWIPYSPSQATVSELRACRAAEAVGLKDYDHLEPCRVFHAARLVAILEAYAVYDPEIGYCQGMSDLLSPIIAVVTEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTEDLLLYAIAASVLQKRKLIIEKYSSTDEILRECNSMSGQLDVWKLLDDAHNLVVNLHDKIETPF >Potri.012G024500.4.v4.1 pep chromosome:Pop_tri_v4:12:2413698:2417799:1 gene:Potri.012G024500.v4.1 transcript:Potri.012G024500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024500.v4.1 MVLFFTIFGCRKEYEKFRRQCRRFLKRINECSKGTSETSCIEDSGSPTQDSDSSSYEDVVSARESLSSEERSPDVEDSDDPSSALLEGDDGSGQATNTDASALNTESSDSDSSEDPEVIQASSSSEGREENDPDVPLKENISPSRADLHPNQCSGENFATWQRIIRVDAVRANSEWIPYSPSQATVSELRACRAAEAVGLKDYDHLEPCRVFHAARLVAILEAYAVYDPEIGYCQGMSDLLSPIIAVVTEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLNIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTEDLLLYAIAASVLQKRKLIIEKYSSTDEILRECNSMSGQLDVWKLLDDAHNLVVNLHDKIETPF >Potri.018G080001.1.v4.1 pep chromosome:Pop_tri_v4:18:9831682:9832956:-1 gene:Potri.018G080001.v4.1 transcript:Potri.018G080001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G080001.v4.1 MLLGNSICTTKKFFQKTVQRFKSFLSGDHYQKLPKTPARNNPRPPFTGGSDMKAQTNFINNKDSDNLYNDFTGRRDDKDKEKPKRDEKKTASAPSSSSAKQERDQDQNSGFMNLSKATAVKNYQYLQRRDQDYTTDDPNNEIKSSYARKRSPLLDDNSSSKHITCEGRSFLVAQKLKELEMMDVSNVEHVLDVEEVLHYYSRLTCPAYLDIVDKFFMDMYAEFIAPPANPRSVNSRPRLRSVR >Potri.010G242300.1.v4.1 pep chromosome:Pop_tri_v4:10:21942010:21943850:-1 gene:Potri.010G242300.v4.1 transcript:Potri.010G242300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242300.v4.1 MASCTFILPLGLLSFIIVANTVSSLPSSSGGIRLGVIRKPSADVPVFREAPAFRNGDSCGPLRIHIVMTLDANYLRGTMAAIFSILRHSTCPENMEFHFLWARFDREVFSSIKSTFPYLNFKFYRFDSNRVRGKISKSIRQSLDQPLNYARIYLADIIPSNVKRVIYLDSDLLLVDDIAKLWEVDLEDRVLAAPEYCHANFTYYFSNLFWLDPVLARTFHGRRPCYFNTGVMVVDVEKWRQVQLTQKVEGWMTVQKQKRIYHLGSLPPFLLVLAGNIKGVDHRWNQHGLGGDNMEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSLHVLEE >Potri.015G070300.2.v4.1 pep chromosome:Pop_tri_v4:15:9589658:9593142:1 gene:Potri.015G070300.v4.1 transcript:Potri.015G070300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070300.v4.1 MALSYTDIIDPPSRHHAYSRKQKSLGLLCTNFLTLYNRDDIDVIGLDDAASKLGVERRRIYDIVNVLESVGVLARKAKNKYSWKGFASVPKALQDLKEEGLRDNVNTIDRQSNNSAKVANDDEDEDDDSDSNPNTGSQNENSSIIKSMAVSRSDHRREKSLGLLTQNFVKLFVCSNANLISLDESAKLLLGDGHNLSIMRTKVRRLYDIANVLSSLKLIEKTHTADTRKPAFRWLGFRGKSENGSGDPLAPFESRKRTFGADITNTCFKRNKMDSSVDGDKSQNLKMQQIKDENMVTVAERGNFGQDLQQKSGSFQFGPFAPVSVAKAGNPEDNVTRIYDWEGLSSTFRPQYHNQALRDLFSHYTEAWKLWYTEVAGKKPLHIS >Potri.004G149900.1.v4.1 pep chromosome:Pop_tri_v4:4:17190942:17194007:-1 gene:Potri.004G149900.v4.1 transcript:Potri.004G149900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149900.v4.1 MEDEGIEAVLAKAIDLRLKISNCIHRATTTSITSNKKTPSFEEEEQESEGLGEKGWKKKSPENSEFLDGVSLSEAEEGEDDETERLLLIRDAFESLESQLSNLQALQQQQHYEKEVALSEIEHSRKILLDKLKEYRGEDLEVIKEASAFAGETVEHNNDLLLPPYPSRHPQSLVLNSRYLYHFPSTRNSNGIIAGEAKRHLDEPEGNQAQTASKNSRKGLGHFISAAAKTVITLVGVISVLSLAGFGPSIGKKGAPLKVLGLFQQPALEERKEAVQCPPGRILVLEDGEARCVVKGRVAVPFNSLVGKPDVNYGSG >Potri.006G029200.1.v4.1 pep chromosome:Pop_tri_v4:6:1906786:1907490:-1 gene:Potri.006G029200.v4.1 transcript:Potri.006G029200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G029200.v4.1 MQDILSRFSPGFRFDPTGEQLISYYLARKVHGRLTPEETDMIKVCNILYGEGARPPWEIFKLAGHKGGDDALYFFTTLIKKTRKSGARRMSRTVGTDGGTWHGDGVEEVTCRLDNREFKGTKRRFRYQNKQRPDQHGCWTLLEYGSESISENVVICKLKISEHGLKESRKRKRMDYSSEGVCLAGDNAVTPENAAPAVSAIPAMGHSMRDTLENDPNWFENHQGLMGTNFSMEY >Potri.003G110000.8.v4.1 pep chromosome:Pop_tri_v4:3:13236294:13244535:-1 gene:Potri.003G110000.v4.1 transcript:Potri.003G110000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110000.v4.1 MDRNLGKSMVDQQKNYEQIQSNNMEARNEGKGSVNQRFFHDPSANINTNMRPPDYNMSMGARPVLNYSIQTGEEFALEFMRERVNPRQQFFPSARIDPNSSTGYVGLEGVLGISHMGSESGADISMISSVEKARNQESDRKGSSVNEDQSYYDPVPSVPRTSPRNDSSRGIHGYPSSGASDSSSTKLKFLCSFGGTILPRPSDGKLRYVGGETRIIRISKNISWQELMQKTVAIYNQSHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVSEDGGSKKPRMFLFSCNDLEDSQFGLGSGEGENSEIQYVVAVNGMDLGSRKNSMNLASASGNNLDELLCLNVERESGRVAAEFTGSNVPSSAVNMLPSTIQSSQPVPMISSSAQESNSQPYHGQKMHRGDNSQRPASSMQPIESFSHVDRKGINPLPVPIQFGFDSHLPDHATVGENLVGVPFHVYPPTQQGVLGEEKLYSGIHVQNAEVSVKDTKLKRDSSGKKINEPEKVKTMDKEAAKKEFKMKRDDSFQKLNETFKIRAVENDTVSLHPHDSSAPNYTSREEVSVANSMQEVGSPLQLMKTNKGPQEAVLSSMPTEAVTEGIKNNRDDHFHSSGDPFAPGYGGSEADPTDFSYPEPSVVSHRVFHSERIPREQAELNRLSKSDDSFDPQILITQARSGSQPVIESIDKLHEGNVASQTDQPRTSARSRYANPQTVEDGLAQFEKYKEFADNISKVNPNIAQGLGSNVQKSELRRVVFNPVDDYEGSQVKGNYTDRSINDNKAVGLTHSTASQGTSSKHPEDPALGPQEFERTDIGADNNNGNNTKVSVQPLAWTESPVRAVSQGEPSFGVGTPEQKDIRIDINDRFPPDFLSDIFSKAKIHETGLGPQEFERTDFGADNNNGNNTKVSVQPLAWTGSPVRAVSQGEPSIGVGAPEQKDICIDINDRFPHDFLSDSFSKAKTHETGVSPVHVDGVGLSLNMENHDPKRRSYFQNLAQDQSASKVFSLIDQDHLSYSSSLTNVEGGAPIDYSYPPLKSDGVGLPHIEEDVRQETSGVVGPNTMDSHADYGHFELKGIESAWLDGMNARIPESEYEGGKLDIRNIGTHLVDLSLGEFDISTLQIIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRTSEQERLTTEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFAIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSFCDPEWRLLMEQCWAPDPMARPSFTEIARRLRAMSDACRTKQIPK >Potri.003G110000.2.v4.1 pep chromosome:Pop_tri_v4:3:13236233:13244587:-1 gene:Potri.003G110000.v4.1 transcript:Potri.003G110000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110000.v4.1 MDRNLGKSMVDQQKNYEQIQSNNMEARNEGKGSVNQRFFHDPSANINTNMRPPDYNMSMGARPVLNYSIQTGEEFALEFMRERVNPRQQFFPSARIDPNSSTGYVGLEGVLGISHMGSESGADISMISSVEKARNQESDRKGSSVNEDQSYYDPVPSVPRTSPRNDSSRGIHGYPSSGASDSSSTKLKFLCSFGGTILPRPSDGKLRYVGGETRIIRISKNISWQELMQKTVAIYNQSHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVSEDGGSKKPRMFLFSCNDLEDSQFGLGSGEGENSEIQYVVAVNGMDLGSRKNSMNLASASGNNLDELLCLNVERESGRVAAEFTGSNVPSSAVNMLPSTIQSSQPVPMISSSAQESNSQPYHGQKMHRGDNSQRPASSMQPIESFSHVDRKGINPLPVPIQFGFDSHLPDHATVGENLVGVPFHVYPPTQQGVLGEEKLYSGIHVQNAEVSVKDTKLKRDSSGKKINEPEKVKTMDKEAAKKEFKMKRDDSFQKLNETFKIRAVENDTVSLHPHDSSAPNYTSREEVSVANSMQEVGSPLQLMKTNKGPQEAVLSSMPTEAVTEGIKNNRDDHFHSSGDPFAPGYGGSEADPTDFSYPEPSVVSHRVFHSERIPREQAELNRLSKSDDSFDPQILITQARSGSQPVIESIDKLHEGNVASQTDQPRTSARSRYANPQTVEDGLAQFEKYKEFADNISKVNPNIAQGLGSNVQKSELRRVVFNPVDDYEGSQVKGNYTDRSINDNKAVGLTHSTASQGTSSKHPEDPALGPQEFERTDIGADNNNGNNTKVSVQPLAWTESPVRAVSQGEPSFGVGTPEQKDIRIDINDRFPPDFLSDIFSKAKIHETGLGPQEFERTDFGADNNNGNNTKVSVQPLAWTGSPVRAVSQGEPSIGVGAPEQKDICIDINDRFPHDFLSDSFSKAKTHETGVSPVHVDGVGLSLNMENHDPKRRSYFQNLAQDQSASKVFSLIDQDHLSYSSSLTNVEGGAPIDYSYPPLKSDGVGLPHIEEDVRQETSGVVGPNTMDSHADYGHFELKGIESAWLDGMNARIPESEYEGGKLDIRNIGTHLVDLSLGEFDISTLQIIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRTSEQERLTTEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFAIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSFCDPEWRLLMEQCWAPDPMARPSFTEIARRLRAMSDACRTKQIPK >Potri.003G110000.9.v4.1 pep chromosome:Pop_tri_v4:3:13236271:13244513:-1 gene:Potri.003G110000.v4.1 transcript:Potri.003G110000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110000.v4.1 MDRNLGKSMVDQQKNYEQIQSNNMEARNEGKGSVNQRFFHDPSANINTNMRPPDYNMSMGARPVLNYSIQTGEEFALEFMRERVNPRQQFFPSARIDPNSSTGYVGLEGVLGISHMGSESGADISMISSVEKARNQESDRKGSSVNEDQSYYDPVPSVPRTSPRNDSSRGIHGYPSSGASDSSSTKLKFLCSFGGTILPRPSDGKLRYVGGETRIIRISKNISWQELMQKTVAIYNQSHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVSEDGGSKKPRMFLFSCNDLEDSQFGLGSGEGENSEIQYVVAVNGMDLGSRKNSMNLASASGNNLDELLCLNVERESGRVAAEFTGSNVPSSAVNMLPSTIQSSQPVPMISSSAQESNSQPYHGQKMHRGDNSQRPASSMQPIESFSHVDRKGINPLPVPIQFGFDSHLPDHATVGENLVGVPFHVYPPTQQGVLGEEKLYSGIHVQNAEVSVKDTKLKRDSSGKKINEPEKVKTMDKEAAKKEFKMKRDDSFQKLNETFKIRAVENDTVSLHPHDSSAPNYTSREEVSVANSMQEVGSPLQLMKTNKGPQEAVLSSMPTEAVTEGIKNNRDDHFHSSGDPFAPGYGGSEADPTDFSYPEPSVVSHRVFHSERIPREQAELNRLSKSDDSFDPQILITQARSGSQPVIESIDKLHEGNVASQTDQPRTSARSRYANPQTVEDGLAQFEKYKEFADNISKVNPNIAQGLGSNVQKSELRRVVFNPVDDYEGSQVKGNYTDRSINDNKAVGLTHSTASQGTSSKHPEDPALGPQEFERTDIGADNNNGNNTKVSVQPLAWTESPVRAVSQGEPSFGVGTPEQKDIRIDINDRFPPDFLSDIFSKAKIHETGLGPQEFERTDFGADNNNGNNTKVSVQPLAWTGSPVRAVSQGEPSIGVGAPEQKDICIDINDRFPHDFLSDSFSKAKTHETGVSPVHVDGVGLSLNMENHDPKRRSYFQNLAQDQSASKVFSLIDQDHLSYSSSLTNVEGGAPIDYSYPPLKSDGVGLPHIEEDVRQETSGVVGPNTMDSHADYGHFELKGIESAWLDGMNARIPESEYEGGKLDIRNIGTHLVDLSLGEFDISTLQIIKNEDLEELRELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRTSEQERLTTEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVTEFMVNGSLRHVLLSKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFAIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSFCDPEWRLLMEQCWAPDPMARPSFTEIARRLRAMSDACRTKQIPK >Potri.004G077800.1.v4.1 pep chromosome:Pop_tri_v4:4:6437534:6444718:-1 gene:Potri.004G077800.v4.1 transcript:Potri.004G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077800.v4.1 MEGSKSLIQMVFSWSVQDVLNQNLYKDKVRKIPETFPSVTHYRSSFILPLLEETRSDLCSSMMTVSSAPVCEILYIEKSGDYKEPNDLIYDVGVNKMNDAENGKEVYVPENGDLLVLAEVWPKCIGDLSWFNESYKIALVQRKKRFEHEDYDEIQILSSKPIKEQDMQQHTKQKTRFSVFLTNMKTNVRIWKALSLLGEGNMNIIQQVLQTDSSVVDNCANCFIREKHNVDASTLGAYIRSFDLNASQEEAVLSCICARECHHRNSVKLIWGPPGTGKTKTIGALLFAFFKRKCRTLTCAPTNVAVLEVTTRLLNLVIPKLEYQTYGLGDIILFGNGERMKICNHDDLLDVFLDCRAHILSNCLAPSSGWNYHLRLMICLLEDPGKLYHEYLQELANRKKDKNFKAQEKGILRNEKIQNNKEKQDDVNSKKSRNQNNNVFWRKVILQTLEESKKTWKEKSCCRKESRLKHNRKVDMVHFSQDHEIEGLTFEEFVNCKFNYCKDQMRMHVVIMHTHLPSSVISPRVVKMMIEFLEFLELLDSLLQAADEGLSHAFSQSMDEPYGIGFSKQHKLEEAREHCLKLLKLLHSKFTLPDISGESKIKLFCLEKARLIFCTASSSAKLYAEDMLRSSLEVLIIDEAAQLKECESTIPLQFPGLRHAILVGDECQLPAMVQSKISEKAEFGRSLFLRLAQLGHKKHLLNVQYRMHPSISLFPNVEFYGKQILDAPLVKERSYEKCFLQGKMYGSYSFINVDYGHEEADDRHSRKNVVEVAVVSEIVAKLFEESVSMKETLSVGVISPYSAQVSAIQEKLGKTLSRGSGNGFSVSVRSVDGFQGGEEDIIVISTVRCDLMGLVGFLKSPQRTNVALTRARYCLWIVGNGVTLGNSDSVWERMVIDAKTRGYFYNADEDESLAQAIIAALVEVGKTDQFPNAHLVLFKSATWKVSFHDGFSKFVARTKTMEICKEVISMLRKLLSGWRQPHKGRDPNFTNGVSSQLLEQYKINGSLYLVWTVDILEENACIFQVLKVWDLLHLSEIPNLAKLVDTFYGKYTGDQINRCKLRHFEGNLQVPMTWPVHSNEHPKDDPVEFLSIQFASLSLLD >Potri.003G048600.1.v4.1 pep chromosome:Pop_tri_v4:3:7058113:7062038:1 gene:Potri.003G048600.v4.1 transcript:Potri.003G048600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048600.v4.1 MSSMHSTVHYCCVARGNRTLYVYSRGDHEIENSAALCLESTPSYHKWYFETIAEKTFGFLIEDGSVYFTIVDEGLGHPSVLQFLEHVRDEFKKVARKGSRGGFSGMNSINVQEQLVPVICSLITSLEHVVCNDGAGESSSSDNVGLSPSPLNANEQIEVVNSTKAPLLGKSNKQDKRKSKDHVITIRDIELEEHRNSTDRGAKLDSATLDSNNQGGVGSSISLQKDLGSMRIRSSSQSIRKKWWRQVRIVLAIDVAICLILFLTWLSICGGFGCTH >Potri.001G288200.1.v4.1 pep chromosome:Pop_tri_v4:1:30035562:30038946:1 gene:Potri.001G288200.v4.1 transcript:Potri.001G288200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288200.v4.1 MSRRPGNPARRFADGGSLPFVGSMHSKSRSSPLLSIGLLVVGAILLIGYLYSGSGGRTSDREALGNAEGGVSCTSEVQRAIPILKKAYGDSMRKVLHVGPDTCLAVSSLLKEEDTEAWGVEPYDLDDVSANCKSLVRKGLVRVADIKFPLPYRAKSFSLVVVSDALDYLSPKYLNKTLPELARVSADGLVIFSGHPGQQRVKVAEMSKFGRPAKFRSSSWWIRYFVQIGLQVNEPAIKKFEQASLKKSYKPACQVFHLQSHD >Potri.001G288200.5.v4.1 pep chromosome:Pop_tri_v4:1:30035735:30038825:1 gene:Potri.001G288200.v4.1 transcript:Potri.001G288200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288200.v4.1 MSRRPGNPARRFADGGSLPFVGSMHSKSRSSPLLSIGLLVVGAILLIGYLYSGSGGRTSDREALGNAEGGVSCTSEVQRAIPILKKAYGDSMRKVLHVGPDTCLAVSSLLKEEDTEAWGVEPYDLDDVSANCKSLVRKGLVRVADIKFPLPYRAKSFSLVVVSDALDYLSPKYLNKTLPELARVSADGLVIFSGHPGQQRVKVAEMSKFGRPAKFRSSSWWIRYFVQIGLQVNEPAIKKFEQASLKKSYKPACQVFHLQSHD >Potri.001G288200.3.v4.1 pep chromosome:Pop_tri_v4:1:30035562:30038822:1 gene:Potri.001G288200.v4.1 transcript:Potri.001G288200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288200.v4.1 MSRRPGNPARRFADGGSLPFVGSMHSKSRSSPLLSIGLLVVGAILLIGYLYSGSGGRTSDREALGNAEGGVSCTSEVQRAIPILKKAYGDSMRKVLHVGPDTCLAVSSLLKEEDTEAWGVEPYDLDDVSANCKSLVRKGLVRVADIKFPLPYRAKSFSLVVVSDALDYLSPKYLNKTLPELARVSADGLVIFSGHPGQQRVKVAEMSKFGRPAKFRSSSWWIRYFVQIGLQVNEPAIKKFEQASLKKSYKPACQVFHLQSHD >Potri.014G143300.1.v4.1 pep chromosome:Pop_tri_v4:14:9774114:9783317:-1 gene:Potri.014G143300.v4.1 transcript:Potri.014G143300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143300.v4.1 MAGFGKAMACRQHVRIRLGTRFMSSSSSSWWQSVEPAPKDPILGVTEAFLADPSPDKVNVGVGAYRDDNGKPVVLQCVREAERRIAGNLNMEYLPMGGSVNMVEETLKLAYGENSEFIKDKRIAAVQSLSGTGACRLFADFQKRFRPDSQIYIPVPTWANHHNIWRDAQVPQRTYHYYHPESKGLDFSALMDDIKNAPNGSFFLLHACAHNPTGVDPSEEQWREISHQFKVKGHFAFFDMAYQGFASGDPDRDAKSIRIFLQDGHHIGISQSYAKNMGLYGQRVGCLSVLCEDEKQAVAVKSQLQQLARPMYSNPPVHGALVVSTILGDPELKKLWLKEVKVMADRIIGMRSALRENLEKLGSPLSWKHITDQIGMFCYSGMTPEQVDRLTKEFHIYMTRNGRISMAGITTGNVGYLANAINEVTSSA >Potri.004G045300.10.v4.1 pep chromosome:Pop_tri_v4:4:3583481:3588605:1 gene:Potri.004G045300.v4.1 transcript:Potri.004G045300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045300.v4.1 MDTNSHLNDQKEKPSLFVEVANLEKQLWALIHTKGLLDPNVQDLYRKICSGYERIILSDHKLGDLQDTEYSLWKLHYRHIDEYRKRMKRNSANGETTTFATPQSVVAAKESSDNHVVGFKSFLSKATEFYQNLIFKIKRYYGLPEDFSFLRSGGNSASVEPKKMQKLQFLCHRFLVCLGDFARYREQCEKSDAQSHNWSVAVAHYLEATIIWPDSGNPQNQLAVLAIYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRASHMQYLSSEASFDFLQPSECSVQTKVQSTNDLLNCKPLKAEDEGSRETNLWSLIIRTISFLFITASFEDFPCTFASTIKEVDVLMALDDAKLEAAMESYQHMNSARTGPFRTLQCVSVFIFVIENLINSPDRKDSKDRTEAQQLVLTQAALTASFIFMGRLTGRCLKVVLLDSCPLLPALLIFVEWLAIILDELETYGSDDKSTSAMSYFFGEFLELLKQFDVNSSEVEPPRSVALWEDYELRGFAPLARSQVPLDFANHWGHRTSYKNGTQYRANRIIDAAIKIADRSNSNHKWIFYDKSGRNFSVGGSDKFPDRKESEKTESASAVVQEKVPDQQIFHFTEKSEKAILEEKPSSPFVNGKSVSLEEEEVILFKPLTRYNSAPLYSSITSNDQTPSEDTGDKIVPAEECLRRATSLLIAQYQGQGDPSAFHSDLSNFRCNKPMKKQEPLVKDTVEHLLSEASISHWTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKSDMSKHSLAPIQEIASASMNDLCISETDSVISLGHESMTPHHSFRPYSAPVPSAPFLPDDAVPLNGRQSTFTDYNSAGTINRTNSNYFETPQVSGYLNWTGSHQPLDYGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNLERSTSHLWPVHSYAIGNTGNFHDMSSSGLFDQRGIPWASNQLIYEGSPPLHPGFPPVYETVDQRNKFIYGYQRPSPYGCGVTNEPEPLLQYLKEKEWLLQQDPTLRGPTYMGS >Potri.004G045300.6.v4.1 pep chromosome:Pop_tri_v4:4:3582829:3588622:1 gene:Potri.004G045300.v4.1 transcript:Potri.004G045300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045300.v4.1 MDTNSHLNDQKEKPSLFVEVANLEKQLWALIHTKGLLDPNVQDLYRKICSGYERIILSDHKLGDLQDTEYSLWKLHYRHIDEYRKRMKRNSANGETTTFATPQSVVAAKESSDNHVVGFKSFLSKATEFYQNLIFKIKRYYGLPEDFSFLRSGGNSASVEPKKMQKLQFLCHRFLVCLGDFARYREQCEKSDAQSHNWSVAVAHYLEATIIWPDSGNPQNQLAVLAIYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRASHMQYLSSEASFDFLQPSECSVQTKVQSTNDLLNCKPLKAEDEGSRETNLWSLIIRTISFLFITASFEDFPCTFASTIKEVDVLMALDDAKLEAAMESYQHMNSARTGPFRTLQCVSVFIFVIENLINSPDRKDSKDRTEAQQLVLTQAALTASFIFMGRLTGRCLKVVLLDSCPLLPALLIFVEWLAIILDELETYGSDDKSTSAMSYFFGEFLELLKQFDVNSSEVEPPRSVALWEDYELRGFAPLARSQVPLDFANHWGHRTSYKNGTQYRANRIIDAAIKIADRSNSNHKWIFYDKSGRNFSVGGSDKFPDRKESEKTESASAVVQEKVPDQQIFHFTEKSEKAILEEKPSSPFVNGKSVSLEEEEVILFKPLTRYNSAPLYSSITSNDQTPSEDTGDKIVPAEECLRRATSLLIAQYQGQGDPSAFHSDLSNFRCNKPMKKQEPLVKDTVEHLLSEASISHWTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKSDMSKHSLAPIQEIASASMNDLCISETDSVISLGHESMTPHHSFRPYSAPVPSAPFLPDDAVPLNGRQSTFTDYNSAGTINRTNSNYFETPQVSGYLNWTGSHQPLDYGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNLERSTSHLWPVHSYAIGNTGNFHDMSSSGLFDQRGIPWASNQLIYEGSPPLHPGFPPVYETVDQRNKFIYGYQRPSPYGCGVTNEPEPLLQYLKEKEWLLQQDPTLRGPTYMGS >Potri.004G045300.8.v4.1 pep chromosome:Pop_tri_v4:4:3583482:3588621:1 gene:Potri.004G045300.v4.1 transcript:Potri.004G045300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045300.v4.1 MNVHLGFCQEILFLPVMDTNSHLNDQKEKPSLFVEVANLEKQLWALIHTKGLLDPNVQDLYRKICSGYERIILSDHKLGDLQDTEYSLWKLHYRHIDEYRKRMKRNSANGETTTFATPQSVVAAKESSDNHVVGFKSFLSKATEFYQNLIFKIKRYYGLPEDFSFLRSGGNSASVEPKKMQKLQFLCHRFLVCLGDFARYREQCEKSDAQSHNWSVAVAHYLEATIIWPDSGNPQNQLAVLAIYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRASHMQYLSSEASFDFLQPSECSVQTKVQSTNDLLNCKPLKAEDEGSRETNLWSLIIRTISFLFITASFEDFPCTFASTIKEVDVLMALDDAKLEAAMESYQHMNSARTGPFRTLQCVSVFIFVIENLINSPDRKDSKDRTEAQQLVLTQAALTASFIFMGRLTGRCLKVVLLDSCPLLPALLIFVEWLAIILDELETYGSDDKSTSAMSYFFGEFLELLKQFDVNSSEVEPPRSVALWEDYELRGFAPLARSQVPLDFANHWGHRTSYKNGTQYRANRIIDAAIKIADRSNSNHKWIFYDKSGRNFSVGGSDKFPDRKESEKTESASAVVQEKVPDQQIFHFTEKSEKAILEEKPSSPFVNGKSVSLEEEEVILFKPLTRYNSAPLYSSITSNDQTPSEDTGDKIVPAEECLRRATSLLIAQYQGQGDPSAFHSDLSNFRCNKPMKKQEPLVKDTVEHLLSEASISHWTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKSDMSKHSLAPIQEIASASMNDLCISETDSVISLGHESMTPHHSFRPYSAPVPSAPFLPDDAVPLNGRQSTFTDYNSAGTINRTNSNYFETPQVSGYLNWTGSHQPLDYGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNLERSTSHLWPVHSYAIGNTGNFHDMSSSGLFDQRGIPWASNQLIYEGSPPLHPGFPPVYETVDQRNKFIYGYQRPSPYGCGVTNEPEPLLQYLKEKEWLLQQDPTLRGPTYMGS >Potri.004G045300.2.v4.1 pep chromosome:Pop_tri_v4:4:3582840:3588605:1 gene:Potri.004G045300.v4.1 transcript:Potri.004G045300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045300.v4.1 MDTNSHLNDQKEKPSLFVEVANLEKQLWALIHTKGLLDPNVQDLYRKICSGYERIILSDHKLGDLQDTEYSLWKLHYRHIDEYRKRMKRNSANGETTTFATPQSVVAAKESSDNHVVGFKSFLSKATEFYQNLIFKIKRYYGLPEDFSFLRSGGNSASVEPKKMQKLQFLCHRFLVCLGDFARYREQCEKSDAQSHNWSVAVAHYLEATIIWPDSGNPQNQLAVLAIYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRASHMQYLSSEASFDFLQPSECSVQTKVQSTNDLLNCKPLKAEDEGSRETNLWSLIIRTISFLFITASFEDFPCTFASTIKEVDVLMALDDAKLEAAMESYQHMNSARTGPFRTLQCVSVFIFVIENLINSPDRKDSKDRTEAQQLVLTQAALTASFIFMGRLTGRCLKVVLLDSCPLLPALLIFVEWLAIILDELETYGSDDKSTSAMSYFFGEFLELLKQFDVNSSEVEPPRSVALWEDYELRGFAPLARSQVPLDFANHWGHRTSYKNGTQYRANRIIDAAIKIADRSNSNHKWIFYDKSGRNFSVGGSDKFPDRKESEKTESASAVVQEKVPDQQIFHFTEKSEKAILEEKPSSPFVNGKSVSLEEEEVILFKPLTRYNSAPLYSSITSNDQTPSEDTGDKIVPAEECLRRATSLLIAQYQGQGDPSAFHSDLSNFRCNKPMKKQEPLVKDTVEHLLSEASISHWTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKSDMSKHSLAPIQEIASASMNDLCISETDSVISLGHESMTPHHSFRPYSAPVPSAPFLPDDAVPLNGRQSTFTDYNSAGTINRTNSNYFETPQVSGYLNWTGSHQPLDYGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNLERSTSHLWPVHSYAIGNTGNFHDMSSSGLFDQRGIPWASNQLIYEGSPPLHPGFPPVYETVDQRNKFIYGYQRPSPYGCGVTNEPEPLLQYLKEKEWLLQQDPTLRGPTYMGS >Potri.004G045300.4.v4.1 pep chromosome:Pop_tri_v4:4:3582834:3588617:1 gene:Potri.004G045300.v4.1 transcript:Potri.004G045300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045300.v4.1 MDTNSHLNDQKEKPSLFVEVANLEKQLWALIHTKGLLDPNVQDLYRKICSGYERIILSDHKLGDLQDTEYSLWKLHYRHIDEYRKRMKRNSANGETTTFATPQSVVAAKESSDNHVVGFKSFLSKATEFYQNLIFKIKRYYGLPEDFSFLRSGGNSASVEPKKMQKLQFLCHRFLVCLGDFARYREQCEKSDAQSHNWSVAVAHYLEATIIWPDSGNPQNQLAVLAIYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRASHMQYLSSEASFDFLQPSECSVQTKVQSTNDLLNCKPLKAEDEGSRETNLWSLIIRTISFLFITASFEDFPCTFASTIKEVDVLMALDDAKLEAAMESYQHMNSARTGPFRTLQCVSVFIFVIENLINSPDRKDSKDRTEAQQLVLTQAALTASFIFMGRLTGRCLKVVLLDSCPLLPALLIFVEWLAIILDELETYGSDDKSTSAMSYFFGEFLELLKQFDVNSSEVEPPRSVALWEDYELRGFAPLARSQVPLDFANHWGHRTSYKNGTQYRANRIIDAAIKIADRSNSNHKWIFYDKSGRNFSVGGSDKFPDRKESEKTESASAVVQEKVPDQQIFHFTEKSEKAILEEKPSSPFVNGKSVSLEEEEVILFKPLTRYNSAPLYSSITSNDQTPSEDTGDKIVPAEECLRRATSLLIAQYQGQGDPSAFHSDLSNFRCNKPMKKQEPLVKDTVEHLLSEASISHWTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKSDMSKHSLAPIQEIASASMNDLCISETDSVISLGHESMTPHHSFRPYSAPVPSAPFLPDDAVPLNGRQSTFTDYNSAGTINRTNSNYFETPQVSGYLNWTGSHQPLDYGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNLERSTSHLWPVHSYAIGNTGNFHDMSSSGLFDQRGIPWASNQLIYEGSPPLHPGFPPVYETVDQRNKFIYGYQRPSPYGCGVTNEPEPLLQYLKEKEWLLQQDPTLRGPTYMGS >Potri.004G045300.9.v4.1 pep chromosome:Pop_tri_v4:4:3582848:3588604:1 gene:Potri.004G045300.v4.1 transcript:Potri.004G045300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045300.v4.1 MAGQEILFLPVMDTNSHLNDQKEKPSLFVEVANLEKQLWALIHTKGLLDPNVQDLYRKICSGYERIILSDHKLGDLQDTEYSLWKLHYRHIDEYRKRMKRNSANGETTTFATPQSVVAAKESSDNHVVGFKSFLSKATEFYQNLIFKIKRYYGLPEDFSFLRSGGNSASVEPKKMQKLQFLCHRFLVCLGDFARYREQCEKSDAQSHNWSVAVAHYLEATIIWPDSGNPQNQLAVLAIYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRASHMQYLSSEASFDFLQPSECSVQTKVQSTNDLLNCKPLKAEDEGSRETNLWSLIIRTISFLFITASFEDFPCTFASTIKEVDVLMALDDAKLEAAMESYQHMNSARTGPFRTLQCVSVFIFVIENLINSPDRKDSKDRTEAQQLVLTQAALTASFIFMGRLTGRCLKVVLLDSCPLLPALLIFVEWLAIILDELETYGSDDKSTSAMSYFFGEFLELLKQFDVNSSEVEPPRSVALWEDYELRGFAPLARSQVPLDFANHWGHRTSYKNGTQYRANRIIDAAIKIADRSNSNHKWIFYDKSGRNFSVGGSDKFPDRKESEKTESASAVVQEKVPDQQIFHFTEKSEKAILEEKPSSPFVNGKSVSLEEEEVILFKPLTRYNSAPLYSSITSNDQTPSEDTGDKIVPAEECLRRATSLLIAQYQGQGDPSAFHSDLSNFRCNKPMKKQEPLVKDTVEHLLSEASISHWTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKSDMSKHSLAPIQEIASASMNDLCISETDSVISLGHESMTPHHSFRPYSAPVPSAPFLPDDAVPLNGRQSTFTDYNSAGTINRTNSNYFETPQVSGYLNWTGSHQPLDYGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNLERSTSHLWPVHSYAIGNTGNFHDMSSSGLFDQRGIPWASNQLIYEGSPPLHPGFPPVYETVDQRNKFIYGYQRPSPYGCGVTNEPEPLLQYLKEKEWLLQQDPTLRGPTYMGS >Potri.016G124700.2.v4.1 pep chromosome:Pop_tri_v4:16:12845285:12848798:-1 gene:Potri.016G124700.v4.1 transcript:Potri.016G124700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G124700.v4.1 MASTFSRSVSAFSLATKQGDAASGGHGISSLPLFSRKKRNTSLVVSSASGTLTGLVFQPFEEVKREEFLVPISRQVSLARQFYVDECEAAINEQINVEYSASYVYHAMFAYFDRDNIALKGLAKFFKESSEEEREHAEKLMKYQNIRGGKVVLHSILTPVSEFEHVEKGDALYAMELALSLEKLTNEKLLSLHKVADENNDPQMQDFIESEFLEEQVESIKKIAEYVTQLRMVGKGHGVWHFDQTLLHEV >Potri.017G143433.1.v4.1 pep chromosome:Pop_tri_v4:17:14364515:14367849:-1 gene:Potri.017G143433.v4.1 transcript:Potri.017G143433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143433.v4.1 MEPLYILIKKTQRLLLSTQKRKRNSTLISIIFFPIKHFLPSLKKKMAEAFAADIAKSLLGKLGSFAVQEFRLAWGLEDDLARLEEILKAINAVLSDAEQKQSKNNGIRLWLHMLREVLYDAEDVLDEIECETLQRKVVKTKGSTSRKVLHFFTRSPFRLIIGHKIKKIIERLGEISALKSDFNLSEQAIDCSHVLHEETEMNRSFESFSGLIGRDKDKERIINLLAAPSKVGDAHPVVLPIVGMGGLGKTSLAKSVCDAENVKSHFELKMEVCVSDDFSLKQVIQKIIKSATGERCADLDEGELNKKLEEIVNGRKYLLLLDDVWNEDAQKWLLLKPLLSKGADGSKIIVTTRSQRVAEIMGTVPADNLSLLGQEDCLSLFYKCAFKEGQKELYPNLVGIGKEIVEKCKQVPLAVINLGTQLYGKTDEKEWQSVRDSEKWEEEGDGILPALKISYQRLPTHLKRCFLYCSVFPKDYQFVDLELVQFWMAHGLIHQSSNPNENLEDVGLRYVRELISRCFFQDYENKIIIASFKMHDLMHDLASSLAQNEFSIISSQNHQISKTTRHLSVLDSDSFFHRTLPKFPNNFHQVRSIGFADSIVGPTCKTDFEKCLLEFKHLRSLELMDDSEFETFPESIGALKHLRYLYFGSNTKIKRLPKSIFKLQNLQALAVTGEEGLEELPKDVRYMISLRFLFLFTKQKRLPEGGIGCLECLQTLFIAQCENLENLCEDMQGLKSLRKLVIFECDSLISLPRSIKCLTTLEELFISNCQKLDLMKIKEVKEKKIQPLSLSLRIVLFVAVPATIALPEQLLEGSTESLQTFIIRDCPNIEEMPECISNLKKLQNLQIINCPRLSERCIRGTGEDWPKIKHIPKIKVDDDDSGEETFN >Potri.002G073400.2.v4.1 pep chromosome:Pop_tri_v4:2:5152274:5156776:-1 gene:Potri.002G073400.v4.1 transcript:Potri.002G073400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G073400.v4.1 MSLVGPAELSATPYGNHKLYSLKGSNDNSGLSAQIFCPDKRQNMYMTDSYSSESYEKYFLDSPTEELIQPSSSGISGNSAPPQGTSSYQLRKNLGSSMSPQDDPYDACFTLTTPCDGYQFNSESDYLDIESPDPLNYDEYKMKLKFQELERALLNDNDEDGMFGNSQSMEMDGEWSDPIQNGMLHDSPKESSSSDSSLSSISSNKEVSQLSPRTPRRLLFECANAISEGNIEKASTLINELRQLVSIQGDPPQRIAAYMVEGLAARMAESGKYLYKALKCKEPPSSDRLAAMQILFEICPCFKFGFMAANGAMIEAFKGERRVHIIDFDINQGSQYITLIQTLANHQGKLPHLRLTGVDDPESVQRPVGGLRIIGQRLEKLAEAYKVSFEFHAVASKTSLVNPSMLNCKPGEALIVNFAFQLHHMPDESVSTVNERDQLLRMAKSLNPKLVTVVEQDVNTNTAPFFPRFTEAYNYYSAVFDSLDATLPRESQDRLNVEKQCLARDIVNIVACEGEERIERYEVAGKWRARMMMAGFTSCSITPNVVDMIRKLIKEYCDRYMLKQEVGALHFGWEDKSLIVASAWK >Potri.002G073400.3.v4.1 pep chromosome:Pop_tri_v4:2:5153302:5157064:-1 gene:Potri.002G073400.v4.1 transcript:Potri.002G073400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G073400.v4.1 MSLVGPAELSATPYGNHKLYSLKGSNDNSGLSAQIFCPDKRQNMYMTDSYSSESYEKYFLDSPTEELIQPSSSGISGNSAPPQGTSSYQLRKNLGSSMSPQDDPYDACFTLTTPCDGYQFNSESDYLDIESPDPLNYDEYKMKLKFQELERALLNDNDEDGMFGNSQSMEMDGEWSDPIQNGMLHDSPKESSSSDSSLSSISSNKEVSQLSPRTPRRLLFECANAISEGNIEKASTLINELRQLVSIQGDPPQRIAAYMVEGLAARMAESGKYLYKALKCKEPPSSDRLAAMQILFEICPCFKFGFMAANGAMIEAFKGERRVHIIDFDINQGSQYITLIQTLANHQGKLPHLRLTGVDDPESVQRPVGGLRIIGQRLEKLAEAYKVSFEFHAVASKTSLVNPSMLNCKPGEALIVNFAFQLHHMPDESVSTVNERDQLLRMAKSLNPKLVTVVEQDVNTNTAPFFPRFTEAYNYYSAVFDSLDATLPRESQDRLNVEKQCLARDIVNIVACEGEERIERYEVAGKWRARMMMAGFTSCSITPNVVDMIRKLIKEYCDRYMLKQEVGALHFGWEDKSLIVASAWK >Potri.018G102000.1.v4.1 pep chromosome:Pop_tri_v4:18:12173386:12174761:1 gene:Potri.018G102000.v4.1 transcript:Potri.018G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102000.v4.1 MKVTSEKRYALLQAARDSDYVKKVYGGYFNVFVAAFAEEGETWDLFRVVEGEFPDMNELHKYDGFVVTGSPYDAYGNDYWVLKLCFILQTLDAMEKKVLGICFGHQVLCRALGGKVGKAYSGWDIGLRRVSIVKDLSPCSFPGDLTEIPPSLSIIECHQDEVWEVPLGAEVIAFSDKTGVEMFTIGDHILGIQGHPEYTKDILYNLIDRLLSNNCIESAFAEKAKFGLEIAEPDRKCWEKICKNFLKGR >Potri.002G081800.1.v4.1 pep chromosome:Pop_tri_v4:2:5782569:5788146:-1 gene:Potri.002G081800.v4.1 transcript:Potri.002G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081800.v4.1 MATEEEKQMVFDVEAANMLTKELRDVFASGKTRSYEWRISQLKSMIKMCDEHEEDIVDALHQDLSKPKLESIVYEITMLKNSCTLAIKELKQWMMPEKAKTSLLTFPSSAEIVPEPLGVVLIISAWNYPFLLSLDPLVGAIAAGNAMVLKPSEFSPATSSLLAKLLPEYLDISSIKVVEGAVSETSALLEQKWDKIFYTGNGIVGRIVMAAAAKHLTPVVLELGGKSPVVVDSAIDLQIATRRLIAGKWGCNNGQACVSPDYIITTKDCADKLVDSLKKELETFYGKNPLESKDLSRIVNSKHFSRLTKLLDEDKVSRKIVYGGERDEANLKISPTILVDVPCDSLIMKEEIFGPLLPILIVSKIEDSFDMINSGTKPLAAYLFTNNKKLKEQFVMSVSAGGVVINDIAMHLAIHTLPFGGVGESGTGSYHGKFSFDAFSHKKAVLYRSFMGDAALRYPPYTRGKLRLMKAFMTSNFWTILRALFGRS >Potri.010G234000.1.v4.1 pep chromosome:Pop_tri_v4:10:21497844:21502794:1 gene:Potri.010G234000.v4.1 transcript:Potri.010G234000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234000.v4.1 MAKGDDAVAKKKNKERRKKMQKEGSSVSARVASIIAAKKRRLSGKRRMCQGMCFSLPTLDDPFNDRNGKLEFQKKETKNKPSARKNEKAFVKGKMKGIENDKAMSMGSEIRKSLISNNNLGIDQEKAKVQMNGKAGRGRQRQARENMDCPSKFLMYSLNEIEKALRHEGTYNDDEDESLFVSPWGVEFLKCYSTGKDILETSGSSCTTEQIAWVVSMAADIIVRKEEEDLSVPSPSFLFLVPSQEEAVKVRMVCKPLKALGIHTVSVHPGSSIDHQIHGLASCEPEFLVSTPDRLLELVSLKAIDISGVSFLVVDGVESLYNGGCLNALKSIRQSISGSLRTVVFNNFFSGACVPVLQNLLLGSICRLSIDQSIPIQSACIVQTINLCTSEEERLLKSIQVLNDACGSQLCSQPLKVLYVVGNDNSSFNLVKMLEINGYTVSVESNRSISNDDNSLDTNIRMKPVVSVINVERISTTNLAFYETVILPNFVPSIDNYVQILTRMARHSIKGNFHSFLTKEDALIARPLMGILEQCGQAVPEALRNLHLTSSVPES >Potri.004G109732.1.v4.1 pep chromosome:Pop_tri_v4:4:9781008:9782892:-1 gene:Potri.004G109732.v4.1 transcript:Potri.004G109732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109732.v4.1 MIKLSSIIPLVKSLQGLQFILMKKNPELSQHLEQCHAKVTKCCAIKISNSIYNNNTLSEYCCQKHITIGKACHDDFKKLFMSKLSNEKVTFVVGMGDQIWNHCVAIVDLAPIASTLSILP >Potri.004G200200.4.v4.1 pep chromosome:Pop_tri_v4:4:21064933:21065181:1 gene:Potri.004G200200.v4.1 transcript:Potri.004G200200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200200.v4.1 MMSRPMLLVFLLLILIITSQFEWRQPLVNDIDTKPAVNPKQLQISKWEEGVKEKVRSVVFHYVFFIHLLGWFVNEIICGCNC >Potri.004G200200.2.v4.1 pep chromosome:Pop_tri_v4:4:21064338:21066907:1 gene:Potri.004G200200.v4.1 transcript:Potri.004G200200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200200.v4.1 MMSRPMLLVFLLLILIITSQFEWRQPLVNDIDTKPAVNPKQLQISKWEEGVKEKIILSQEKNIHRLNELVRSLKQQLLQCKCTNEPRTGPSGTLTERIIELERQQILED >Potri.013G103100.1.v4.1 pep chromosome:Pop_tri_v4:13:11219851:11220114:1 gene:Potri.013G103100.v4.1 transcript:Potri.013G103100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103100.v4.1 MDLAPKYAFGKMLAIGSGPPFKVKGSWLFRGQEIPQFGIDECYDMELYEWKKVDITDEEQKERVSQMIEDHELLRGSLYWMPNASSD >Potri.003G005100.1.v4.1 pep chromosome:Pop_tri_v4:3:597748:599139:1 gene:Potri.003G005100.v4.1 transcript:Potri.003G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005100.v4.1 MECVEITVEEEQRDESTQELLQHLPTEIGMGGNLLYLYQGFWCPQVSIKGMMLFQQHFKAQETDLILASIPKSGTTWLKALIYTIVNRSHHSLEKSPLLTNGPHGVVPFLEFDISSKNQFLEQDKLPEPRIFGTHSPYTALPCSVKDSAAKIVYVCRNPLDMFISYWKFSVNIPKENEKHLSLGDAFDKFCQGLHGYGPFWDHLLGYWKASLERPDKVLFLKYEDIKKNNVPSIKKLATFLGLPFSVEEEKQGLIEETSRLCSVESMKNHEATMTGTGPLGIPASAFLRKGKVGDSLNYLTPSMVSRVENLIQEKLQDSGLCFCLSSTFQNSA >Potri.009G000066.1.v4.1 pep chromosome:Pop_tri_v4:9:53125:61460:-1 gene:Potri.009G000066.v4.1 transcript:Potri.009G000066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G000066.v4.1 MALSNSYSCLARPDVVITEYRFVIHSMEKGIPKLQASGNSGKSLIAIQRSNQKLWPFQNVIQAWRDQTSSSPSIDSSSTPWKGASRNSSKSLTGIQRSNQTLWPFRTVSQAWRDQTSSSPSIDSSSTPCKRASENSSKSLTVIQRASRNSSKSLTEIQWSDQKSWPFRNVSQAWREQTSGSRSIDSSSTPCKRASENSSKSLTGIQWSNQKLWPFRTVSQAWRGQTSSSPSIDSSSTPCKRASGNSSESLTGIQRSNQKLWPFRTVSQAWRDQTTSSPSINSSSTPSKRASGNSSKSLTAIQQSHQKASRNSSKSLTGIQRSNQKLWPFRTVTQAWRDQTSISSSSDSSSTPCNRASRKSSKSLTAIQRSHQKLWPFRIVSQAWRDQTSSSPSIDSSSTPCKRASRNSSKSLTGIQRASGNSSKSLTGIQGSDQKLCLQTVTQAWRDKTSSLPSIDSSSTPWKRASRNSSKSLTEIQWSDQKSWSFRNVSQTWREQTSGSPSIDSSSTPCKRASENSSKSLTGIQWSNQKLWPFRTVSQAWRGQTSSSPSIDSSSTPCKRASGNSSESLTGIQRSNQKLWPFRTVSQAWRDQTTSSPSINSSSTPSKKASGNSSKSLTAIQQASQNSSKSLTGIQQSNQKLWPFRTVTQAWRDQTSISPSIDSSSTPCKRASRNSSKSLTAIQRSHQKLWPFRIVSQAWRDQTSSSPSIDSSSTPCKRASGNSSKSLTGIQRTNQTLWPFRTVSQAWRDQTSSSPSIDSSSTPCKRASGNSSKSLTAIQRSDQKSWPASGNSSKSFTGIQRTNQKLWPFRTVSQAWRDETSSSPSIDSSSTPCKRASRNSSKSLTGIQRSNQKLWPFRTVTQAWREQTSSSPSIDSSSTPCKRASGNSSKSLTAIQRSDQKSWPASGNSSKSFTGIQRTNQTLWPFRTVSQAWRDQTPSSPSIDSSSTPCKRASENSSKSLTGIQRSNQKLWPFRTVSHAWRGQTSSSPSIDSSSTPCKRASGNSSESLTGIQRSNQKLWPFRTITQAWRDQTSSSPSIHSSSTPSKRASGNSSKSLTAIQQSHQKASRNSSKSLTGIQWSNQKLWPFRTVTQAWRDETSISPSIDSSSTPCKRASRNSSKSLTAIQRSRQKLWPFRIVSQAWRDQTSSSPSIDSSSTPCKRASENSSKSLTGIQRSNQKLWPFRTVSHAWRGQTSSSPSIDSSSTPCKRASGNSSESLTGIQRSNQKLWPFRTITQAWRDQTSSSPSIHSSSTPSKRASGNSSKSLTAIQQSHQKASRNSSKSLTGIQWSNQKLWPFRTVTQAWRDETSISPSIDSSSTPCKRASRNSSKSLTAIQRSRQKLWPFRIVSQAWRDQTSSSPSIDSSSTPCKRASENSSKSLTGIQRSNQKLWPFRTVSHAWRGQTSSSPSIDSSSTPCKRASGNSSESLTGIQRSNQKLWPFRTITQAWRDQTSSSPSIHSSSTPSKRASGNSSKSLTAIQQSHQKASRNSSKSLTGIQWSNQKLWPFRTVTQAWRDETSISPSIDSSSTPCKRASRNSSKSLTAIQRSRQKLWPFRIVSQAWRDQTSSSPSIDSSSTPCKRASGNSSKSLTGIQRSNQKLWPFRTVTQAWRDQTSSLPSIDSSSTPWKRASRNSSKSLTAIQQSHQKASGNSSKSLTGIQRSNQKLWPLRTVSQAWRDQTSSSPSIDSSSTPCKRASRNSSKSLTGIQRSNQKLWPFRTVTQAWRDQTSSSPSIDSSSTPWKRASRNSSKSLTGIQWSDQKLCLQTVTQTWRDQTSSSPSIDSSSTPCKRASGNSSKSFTGIQRSNQKLWPFRTVSQAWRDETSSSPSIDSSSTPCKRASRNSSKSLTGIQRSNQKLWPFRTVTQAWREQTSSSPSIDSSSTPCKRASRKSSKSLTANQRSHQKLWPFRIVSQAWRDQTSSSPSIDSSSTPCKRASRNSSKSLTGIQRSNQKLWPFRTVTQSWRDQTSSSSSIDSSSTPCKRASIKSSKSLTAIQRSHQKLWPFRTVTHAWRDQTSSSPSIDSSSTPCKRASRNSSKSLTGIQRSNQKLWPFRTVTQAWRDQTSSSPSIDSSSTPCKRASGNSRASRNSSKSLTEIQWSDQKSWSFRNVSQAWREQTSGSPSIDSSSTPSKRASANSSKSLTAIQRSHQKLWPFRIVSQAWRDQTSSSPSIDSSSTPCKRASGNSSKSLTGIQ >Potri.002G090300.1.v4.1 pep chromosome:Pop_tri_v4:2:6527118:6529300:-1 gene:Potri.002G090300.v4.1 transcript:Potri.002G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090300.v4.1 MDPSSTNSVNGFYSFLTRGIDDLERVYLANNFMSIQFLQRVLSLLRSFHSQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGVSGIENYYSAGFNITSSLDNHRHLSPQLSRQVIRAISGCRREAVGLEEDNRALMETRIQPLSLRFDEKISIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESSFLRGGYEGCLFFGSAFMISTGRLQQRVAAEINQINGRPGILLYEFRRSKMAMEELRGELERKCGQGGAVEWETEVGMRERVDNLRGCFGVLRSGAENIIGQLDDFFDEIVEGRKKLLDFCSHR >Potri.015G093400.1.v4.1 pep chromosome:Pop_tri_v4:15:11589261:11591211:-1 gene:Potri.015G093400.v4.1 transcript:Potri.015G093400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093400.v4.1 MTTKATRDESLPDYDSSSSSTTVPDSSRSWMSNLSFGSRRSSISISSSVADSASICSTARMKPHKANQAAWQAIKRLQRATGRVGLDHFRLLRRLGSGDIGNVYLCQIRNPVVGLPQCFYAMKVVDKEALAIRNKLQRAEMEKEILGMLDHPFLPTLYAEFEASHYSCLVTEYCPGGDLYAARQRQPWKRFSISSAKFYAAETLLALEYLHMMGIVYRDLKPENVLVREDGHIMLSDFDLSFKCDVVPKLLRSKPSLEAIVRHKNETTSFAPLTFCATPIHPVLSCFSSSNKKRKPRITTTITEQIDGQGYDEEVETELVAEPISARSKSFVGTHEYLAPEVISGQGHGSAVDWWTLGVFLYEMLYGRTPFKGENNEKTLINILKQPLTFPRIGVNSSKEFEEMVKLQDLVGKLLVKNPKRRIGSLKGSVEIKRHEFFKGVNWALIRSIKPPESPSDLCRFRSRAHIPMLSKKERQEPYQIPSHFEYF >Potri.005G195400.1.v4.1 pep chromosome:Pop_tri_v4:5:20244990:20251919:1 gene:Potri.005G195400.v4.1 transcript:Potri.005G195400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G195400.v4.1 MENLWCQVGEGSSNDQWTEELPHYEHIERNDFIYRKHKKQKEEDIAICECKFNGDDPDSACGERCLNLLTSTECTPGYCPCGVYCKNQRFQKFEYAKTQLFKTEGRGWGLLADEEIKAGQFIIEYCGEVISWKEAKKRSQVYENQGLKDAFIISLNSTESIDATKKGSLARFINHSCQPNCETRKWTVLGEIRVGIFAKQNISIGTELAYDYNFEWYGGAKVRCLCGAVNCSGFLGAKSRGFQEDTYLWEDDDDRYSIEKIPLYDSAEDEPSSKFLKIANSDSEYDIGGKIEYSTVMNFDVESDKPLESTVLSVQPLDSFPMEGVVMNAVKAEANEEMALYSQGTPQSFAPKNAMISRIRSNSACRNYHIGSGPVPKKRSKQYSTGKLKHLMQKQVDAKRVTKLLAVKEAQEEVLTYEEMKNDAASELSLLYNEIRPVIEEHERDSQDSVPTTVAEKWIQVCCTKLKAEFDLYSSIIKNIACTPQRTLEQARPSEEPGNDNEVKFLGY >Potri.001G376100.1.v4.1 pep chromosome:Pop_tri_v4:1:39553563:39554344:1 gene:Potri.001G376100.v4.1 transcript:Potri.001G376100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376100.v4.1 MANMRVFLFFILSLLFFSTFETRSIDRISHRGDRSLIESAQEMLKESIARHELIEGFNESFRLSPGGPDPRHH >Potri.010G053500.2.v4.1 pep chromosome:Pop_tri_v4:10:8487526:8491527:1 gene:Potri.010G053500.v4.1 transcript:Potri.010G053500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053500.v4.1 MESQLALIEKSFNTRYSPWVREALEELPHNFTITDPTISGHPIVFASPGFLKMSGFRRDQVIGNNGRMFQGPKTNRKTVMEIREAIREERAVQVSLWNYRKDGTPFWMLFQMSPVFSKEDGRVIHFIGVQVPILRNKRSTDDGADAAWNEIVFGSCRREVCSDSLVELGRVLALDTYTNCRGVETEEPWEASDLEKQRAATTINNILSVLTHYSESTGRLACGKRCSSPAAGLINSSLNISLGRINQSFVLIDPHLPNMPIVYASDAFLKLTGYDRHEVLGCNWRFLSGVGTDSSVLNQIQESMQVEQACTVCFLNYRKDKSTFWNLLHMSPVRNATGKMEEKCKSQDRHGLSPETRQLSAVGAVKVAVRSLSMGAGCSKSSDRFNTL >Potri.010G053500.1.v4.1 pep chromosome:Pop_tri_v4:10:8487392:8491590:1 gene:Potri.010G053500.v4.1 transcript:Potri.010G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053500.v4.1 MESQLALIEKSFNTRYSPWVREALEELPHNFTITDPTISGHPIVFASPGFLKMSGFRRDQVIGNNGRMFQGPKTNRKTVMEIREAIREERAVQVSLWNYRKDGTPFWMLFQMSPVFSKEDGRVIHFIGVQVPILRNKRSTDDGADAAWNEIVFGSCRREVCSDSLVELGRVLALDTYTNCRGVETEEPWEASDLEKQRAATTINNILSVLTHYSESTGRLACGKRCSSPAAGLINSSLNISLGRINQSFVLIDPHLPNMPIVYASDAFLKLTGYDRHEVLGCNWRFLSGVGTDSSVLNQIQESMQVEQACTVCFLNYRKDKSTFWNLLHMSPVRNATGKIAYFVGVQMEEKCKSQDRHGLSPETRQLSAVGAVKVAVRSLSMGAGCSKSSDRFNTL >Potri.009G084300.1.v4.1 pep chromosome:Pop_tri_v4:9:7911013:7917795:-1 gene:Potri.009G084300.v4.1 transcript:Potri.009G084300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084300.v4.1 MTLLLRSFPLITLRTTIPSSLPLFQNLLRYHFPKSLQAKRTRALVTLSASSSSPETILKPQQQQPNDTLHWVSRTNFCGQLSTNDVGTRVRLCGWVALHRVHGGLTFLNLRDHTGIVQVATSPDEFPDAHSIINDLRVEYVVVVEGFVRSRPVESVNKKLITGSIEVVAEHVQLLNAVKAKLPFLVTTADDAKDSVKEEIRLRYRCLDLRRQQMSSNIMLRHRVVKLIRRYLEDVQGFVEIETPILSRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMELAFTTLEDMLRLNEDLIRKVFLEIKGVQLPNPIPRLTYAEAMSKYGSDRPDTRFDLHLKEVSDIFAESSFRVFADSLNSGGIIKVLCVPSGAKSYSNSALKKGDIYNEAIKSGAKGLPFLKVLDDGELEGISALVSSLDSTNKEQLLSRCSAGPGDLILFAVGHHPSVHRTLDRLRLFIANQLGLIDHSRHSILWVTDFPMFEWNETEQRLEALHHPFTAPNPEDMEDLSSARALAYDMVYNGVEIGGGSLRIYKREVQQKVLEIVGISPEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLAMLLAGATSIRDVIAFPKTTTAQCALTRTPSEVDPQQLRDLSFSIQQ >Potri.001G447500.1.v4.1 pep chromosome:Pop_tri_v4:1:47272507:47274438:1 gene:Potri.001G447500.v4.1 transcript:Potri.001G447500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G447500.v4.1 MATPPSLSFAVRRCEPELIAPAKATPHEFRQLSDIDRQLYLQFQSPHYNLYAHNPSMQGKDPVKVIKEAIAQALVYYYPFAGRIRQGPDNKLIVDCTGEGVLFIEADADATVEQFGDPIPSPFPCFQELLYNVPGSEGILNTPLLLFQVTRLKCGGFVLGFRLNHPMTDALGIVQLLNAIGEIARGAQAPSILPVWQRELLCARNPPRVTCRHNEYGNDAPVAVDPTAKVPEFHGQVHAVAHRSFVLNRKELSNIRRWIPSHLHPCSNFEVISACLWRCYAMASQANPNEEMRMQMLVNARSKFNPPLPKGYYGNVLALPAAVTNARKLCLNSLGYAVEMIRNAKNRITEEYMRSLADLMEITKGQPIGLQSYVVSDLTSIGFDQVDYGWGNTIYTGPPKAMPDEISIAGTYFLPYRFKNGERGVMLLVSLRAPVMERFAILLEELARHDPERSQEQQEMIPSSL >Potri.007G128300.5.v4.1 pep chromosome:Pop_tri_v4:7:14332115:14349609:1 gene:Potri.007G128300.v4.1 transcript:Potri.007G128300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128300.v4.1 MGHSSKKKKKGGGGSGRRSKGRSQSKDHSFNALDDNELLAEEITALNAIFQEDCQVISDSPPQITIKLRPYSKDMGYEDLDVSALLSVRCLPGYPDKCPRLQITPEKGLTKCDADNLLSLLNDQANSNAREGRVMIFNLVEAAQEFLSEIAPLVPAPEPVLCSSINSSIQLFQKDIAVSSNKSCLSRGPFVYGFIDLFSGCGESWHWGLAVDELKSHVLDHSEVGYEVQEKKLDKITKPLTVQEAKQGLLVSPIAKLDTLEEESEYENKGLSTSNSSRSLVEELAGIDMKGEKQGIFLEEHGYGLEDDDDQDDGDNSNDDEDFESEPWESLSSNSLGFNQASQTIEKDLIMVHLLHLACASKGELVDSLPQITTELCNLGIIPESVRELASKPSSTFNKTFDHVFHQHTVSSRVSQFWKPTSDLGGASASLPSSRYLNDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKTLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVVGIFGDSTWGSATAASSTFSYKGASSAGVGQENKLESTYLYIQMEFCPRTLRQVFESYNHFDKNLAWHLCRQIVEGLAHIHAQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLELEQLDHDAALPTDTAGVSMDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGIVFFEVWHPFGTAMERHVILSDLKQKGELPPSWVAQFPEQASLLRRLMSPSPSDRPSAKDLLKHAFPPRMESELLDNMLRTMQTSEDRSVYDKVVNAIFDEEMLHMKNQHQRAGRLRIARDDTSCIQLEDLDTELRDCVIEIVREVFKLHCAKHLEIIPVRLLDDSPQFNRNTVKLLTHGGDLLELCHELRLPFVKWLIANQKSSFKRYEISSVFRRAIGHSPPNRYLQGDFDIIGGASALTEAEAIKVTMDIVTRFFFPDSCDIHLNHGDLLDAIWSWVGIKPEHRQKVAELLSLMGSLRPQSSERKLKWAVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQALPRLRGALPADNRIRKALDELSDLFIHLRVWKIENHVYINALMPPTENYHRDLFFQIYLTKENNPGSVNEGALLAVGGRYDYLLHQMWDNEYRASPPGAVGTSLALETIIQYSPGEFKPVRNEAATAVLVCSRGGGGLLVERMELVSELWEGNIKAEFVPQPDPSLTEQYEYASEHDIRCLVIITDAGVSRTDVVKVRHIELKKEKEVAREKLVRFLLDAMATLFRNPSVWN >Potri.007G128300.2.v4.1 pep chromosome:Pop_tri_v4:7:14332098:14349720:1 gene:Potri.007G128300.v4.1 transcript:Potri.007G128300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128300.v4.1 MGHSSKKKKKGGGGSGRRSKGRSQSKDHSFNALDDNELLAEEITALNAIFQEDCQVISDSPPQITIKLRPYSKDMGYEDLDVSALLSVRCLPGYPDKCPRLQITPEKGLTKCDADNLLSLLNDQANSNAREGRVMIFNLVEAAQEFLSEIAPLVPAPEPVLCSSINSSIQLFQKDIAVSSNKSCLSRGPFVYGFIDLFSGCGESWHWGLAVDELKSHVLDHSEVGYEVQEKKLDKITKPLTVQEAKQGLLVSPIAKLDTLEEESEYENKGLSTSNSSRSLVEELAGIDMKGEKQGIFLEEHGYGLEDDDDQDDGDNSNDDEDFESEPWESLSSNSLGFNQASQTIEKDLIMVHLLHLACASKGELVDSLPQITTELCNLGIIPESVRELASKPSSTFNKTFDHVFHQHTVSSRVSQFWKPTSDLGGASASLPSSRYLNDFEELQPLGHGGFGHVVLCKNKLDGRQYAVKKIRLKDKTLPVNDRILREVATLSRLQHQHVVRYYQAWFETGVVGIFGDSTWGSATAASSTFSYKGASSAGVGQENKLESTYLYIQMEFCPRTLRQVFESYNHFDKNLAWHLCRQIVEGLAHIHAQGIIHRDLTPNNIFFDARNDIKIGDFGLAKFLELEQLDHDAALPTDTAGVSMDGTGQVGTYFYTAPEIEQGWPKIDEKADMYSLGIVFFEVWHPFGTAMERHVILSDLKQKGELPPSWVAQFPEQASLLRRLMSPSPSDRPSAKDLLKHAFPPRMESELLDNMLRTMQTSEDRSVYDKVVNAIFDEEMLHMKNQHQRAGRLRIARDDTSCIQLEDLDTELRDCVIEIVREVFKLHCAKHLEIIPVRLLDDSPQFNRNTVKLLTHGGDLLELCHELRLPFVKWLIANQKSSFKRYEISSVFRRAIGHSPPNRYLQGDFDIIGGASALTEAEAIKVTMDIVTRFFFPDSCDIHLNHGDLLDAIWSWVGIKPEHRQKVAELLSLMGSLRPQSSERKLKWAVIRRQLLQELNLAEAVVNRLQTVGLRFCGAADQALPRLRGALPADNRIRKALDELSDLFIHLRVWKIENHVYINALMPPTENYHRDLFFQIYLTKENNPGSVNEGALLAVGGRYDYLLHQMWDNEYRASPPGAVGTSLALETIIQYSPGEFKPVRNEAATAVLVCSRGGGGLLVERMELVSELWEGNIKAEFVPQPDPSLTEQYEYASEHDIRCLVIITDAGVSRTDVVKVRHIELKKEKEVAREKLVRFLLDAMATLFRNPSVWN >Potri.010G241500.1.v4.1 pep chromosome:Pop_tri_v4:10:21907037:21908528:1 gene:Potri.010G241500.v4.1 transcript:Potri.010G241500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241500.v4.1 MKIPTLFRGKETEHTWQKWPSCKHPKTLSFRAGDDVIKTVNSVFFDPSEGVETPESWFTDSSETTSFSTESEDYDGESLEVVVRGVRSERLFFEPGDTNSILEEAKTGGFPFKESVELEMESEDPYVDFRRSMEEMVESHGLKDWDCLEELLGWYLKVNGKKNHGYIVGAFVDLLCGIAAAPCSDSTSSSSSPLCSLKGHNEIDEEEQMV >Potri.001G338900.2.v4.1 pep chromosome:Pop_tri_v4:1:34759066:34764705:-1 gene:Potri.001G338900.v4.1 transcript:Potri.001G338900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338900.v4.1 MEPPKEILSSLWNFIIFLPFFFGLLVLGTVKGVVFCPLVCLIMTIGNSAIILGLWPLHIVKTYYSILRTKHIGPVLKIVLCICLPATLILWLVLGIVGSIIGGALYGLLSPIFATFDAVGERKTNMLYHCFYDGTWDTVKGSFTVVRDFGDVCYHSYFSLLDDLRQGAPDVKYYEIRLLPLPGAIIAASLGIVFDFPLVSLIAICKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVSSIFLGAYAGVVVYQESFWFGLCYIVASLAIYDEYSNDILDMPEGSCFPRPKYQKDPKLTKTTSRAASFSGSTSVRNPLSRGGSFNHPMVDLKPLELLDRIFKECQHHGEIFVSEGLITQQDIDDAKSGKGSRVISIGLPAYCILQALLRSVKANSVGILLSDNVTEITSTNRPKDTFYEWFLNPFLIIKDQIKAENLSEEEEGYLGRLVLLNGDPTKLKSLNSGPPPESERKRAELDALARRLQGITKSVSRYPTSRRNFDHLVKNLSENLAKKNGETKAINAIPRSKSAFARMFSQNSFKKKTSYHGSSDQETESISARNVEIHIV >Potri.001G338900.6.v4.1 pep chromosome:Pop_tri_v4:1:34759066:34764661:-1 gene:Potri.001G338900.v4.1 transcript:Potri.001G338900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338900.v4.1 MEPPKEILSSLWNFIIFLPFFFGLLVLGTVKGVVFCPLVCLIMTIGNSAIILGLWPLHIVKTYYSILRTKHIGPVLKIVLCICLPATLILWLVLGIVGSIIGGALYGLLSPIFATFDAVGERKTNMLYHCFYDGTWDTVKGSFTVVRDFGDVCYHSYFSLLDDLRQGAPDVKYYEIRLLPLPGAIIAASLGIVFDFPLVSLIAICKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVSSIFLGAYAGVVVYQESFWFGLCYIVASLAIYDEYSNDILDMPEGSCFPRPKYQKDPKLTKTTSRAASFSGSTSVRNPLSRGGSFNHPMVDLKPLELLDRIFKECQHHGEIFVSEGLITQQDIDDAKSGKGSRVISIGLPAYCILQALLRSVKANSVGILLTDAGDNVTEITSTNRPKDTFYEWFLNPFLIIKDQIKAENLSEEEEGYLGRLVLLNGDPTKLKSLNSGPPPESERKRAELDALARRLQGITKSVSRYPTSRRNFDHLVKNLSENLAKKNGETKAINAIPRSKSAFARMFSQNSFKKKTSYHGSSDQETESISARNVEIHIV >Potri.001G338900.1.v4.1 pep chromosome:Pop_tri_v4:1:34759067:34764710:-1 gene:Potri.001G338900.v4.1 transcript:Potri.001G338900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338900.v4.1 MEPPKEILSSLWNFIIFLPFFFGLLVLGTVKGVVFCPLVCLIMTIGNSAIILGLWPLHIVKTYYSILRTKHIGPVLKIVLCICLPATLILWLVLGIVGSIIGGALYGLLSPIFATFDAVGERKTNMLYHCFYDGTWDTVKGSFTVVRDFGDVCYHSYFSLLDDLRQGAPDVKYYEIRLLPLPGAIIAASLGIVFDFPLVSLIAICKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVSSIFLGAYAGVVVYQESFWFGLCYIVASLAIYDEYSNDILDMPEGSCFPRPKYQKDPKLTKTTSRAASFSGSTSVRNPLSRGGSFNHPMVDLKPLELLDRIFKECQHHGEIFVSEGLITQQDIDDAKSGKGSRVISIGLPAYCILQALLRSVKANSVGILLTDAGDNVTEITSTNRPKDTFYEWFLNPFLIIKDQIKAENLSEEEEGYLGRLVLLNGDPTKLKSLNSGPPPESERKRAELDALARRLQGITKSVSRYPTSRRNFDHLVKNLSENLAKKNGETKAINAIPRSKSAFARMFSQNSFKKKTSYHGSSDQETESISARNVEIHIV >Potri.001G338900.7.v4.1 pep chromosome:Pop_tri_v4:1:34759065:34764615:-1 gene:Potri.001G338900.v4.1 transcript:Potri.001G338900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338900.v4.1 MEPPKEILSSLWNFIIFLPFFFGLLVLGTVKGVVFCPLVCLIMTIGNSAIILGLWPLHIVKTYYSILRTKHIGPVLKIVLCICLPATLILWLVLGIVGSIIGGALYGLLSPIFATFDAVGERKTNMLYHCFYDGTWDTVKGSFTVVRDFGDVCYHSYFSLLDDLRQGAPDVKYYEIRLLPLPGAIIAASLGIVFDFPLVSLIAICKSPYMLFKGWHRLFHDLIGREGPFLETICVPFAGLAILLWPLAVVGAVLGSMVSSIFLGAYAGVVVYQESFWFGLCYIVASLAIYDEYSNDILDMPEGSCFPRPKYQKDPKLTKTTSRAASFSGSTSVRNPLSRGGSFNHPMVDLKPLELLDRIFKECQHHGEIFVSEGLITQQDIDDAKSGKGSRVISIGLPAYCILQALLRSVKANSVGILLTDAGDNVTEITSTNRPKDTFYEWFLNPFLIIKDQIKAENLSEEEEGYLGRLVLLNGDPTKLKSLNSGPPPESERKRAELDALARRLQGITKSVSRYPTSRRNFDHLVKNLSENLAKKNGETKAINAIPRSKSAFARMFSQNSFKKKTSYHGSSDQETESISARNVEIHIV >Potri.001G320500.1.v4.1 pep chromosome:Pop_tri_v4:1:33042021:33044696:1 gene:Potri.001G320500.v4.1 transcript:Potri.001G320500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320500.v4.1 MALLVEKTTSGREYKVKDMSQADFGRLEIELAEVEMPGLMSCRTEFGPSQPFKGARITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPDGGPDLIVDDGGDATLLIHEGVKAEEIYEKTGASPDPASTDNAEFQIVLTIIRDGLKSDPKKYHKMKERLVGVSEETTTGVKRLYQMQINGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAAMKQAGARVIVTEIDPICALQALMEGLQVLTLEDVISEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMLGLETFPGVKRITIKPQTDRWVFPDTNSGIIVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNERKTGKYERKVYVLPKHLDEKVASLHLGKLGARLTKLSKDQADYINVPIEGPYKPAQYRY >Potri.011G048400.1.v4.1 pep chromosome:Pop_tri_v4:11:3866512:3867850:1 gene:Potri.011G048400.v4.1 transcript:Potri.011G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G048400.v4.1 MESSLPSTQILDSGESAERKNEAKNYNEVMSTLPKVKGLNGCDYYLYQCFWYDPSFLEGIMSVQERFNPQSTDIFLASFPKTGTTWLKALTFAICTRSRLSGSTTSSLLSKMPHDCVPFMEYDLAQNPSNRDLAIPLVSTHVPYTCLPKSIISSCCKIIYICRDAKDVFVSLWYFLARLQMSENVEPLLLEEAFELFCNGIANFGPYWDHVLGYWRASLEFPEKILFLTYEEMKKDTAAHIKKVAEFMDCSFTLEEEGEGEVQKIISMCSFEELSTLEVNKHGQRRLDTSITIQNSIYFRKGEIGDWANHLTPEMGARLDDIMERKLKGSGLKLPR >Potri.014G061600.1.v4.1 pep chromosome:Pop_tri_v4:14:3974240:3975137:1 gene:Potri.014G061600.v4.1 transcript:Potri.014G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061600.v4.1 MAESHSLNLGFQVDSIVAQHAIFALFVDTLSNQIQVKYQSMRVSPFDTHQRVMSTFLAALFIYATTSVAEVILRTQKSVHQRLVGNIRLFASALATILLLVTLSLIVSCIISVLWTCLFVKLAYESCQDLCQLLSQTIDEVLRPLKKLIATVRSPK >Potri.011G144500.5.v4.1 pep chromosome:Pop_tri_v4:11:17347048:17356447:-1 gene:Potri.011G144500.v4.1 transcript:Potri.011G144500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144500.v4.1 MGEANLAPDPYVLLMKDHKPICRTQCLNPPAELPKCWSLSDIVPRARVHILGDLPSCFLSPAPESSRDKFEWGRFLTYLQKKDSVGIAKVKSCQFYILPPDKDSNFSHVQVVYRVEKTCSGNDGQEHCEDNGSPSDRFQGPIEDHHGGNTATETCRRHQPLAAKQVPPSEKNYAQAHPSYLETLGQVHYAWIFGAIAELVDNSRDAEATRLDISIEEIYSKRAGKEIPMLSVIDDGHGMIHREVEKMVRFGHKKPEADDPDRIGRFGVGFKTGAMRLGRDALVITQTNDSRSIAFLSQSLNEGKDNLEIPIVSYRRKGQFMEVDTSVQLEALAKYNLKVIKEFSPFDKYLIGAKAGLFRENRTGTQIFIWNLDEWGSQYCLEWDRGLTGGSSFHKGDILIRSRRLRSRPGQISKTVFLDYSLRSYLEVIFLVPRIRIYLQGSLVKSRPLAKYLNQTSEATGNIMGKRVHLTLGRSQLEFEQANCGIFLYWHGRLIEAYKRVGGMIHNGDWGRGVIGVIDVTDLMNEGNGRVGVHNAKQSFLDCEPYARLEAWLGAKADEYWTNNFETLKLKKGGSLYKPDHEWVQCDKCRKWRMLSSGFDVKTLPEEWFCYMGPFNGSCEIPEQKVDRGVITVSAKQNRQDIKDVDDDAMISSDGISDEDSNQTERDGKRDLKRLRKGLPRACKKGP >Potri.011G144500.7.v4.1 pep chromosome:Pop_tri_v4:11:17347084:17356464:-1 gene:Potri.011G144500.v4.1 transcript:Potri.011G144500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144500.v4.1 MGEANLAPDPYVLLMKDHKPICRTQCLNPPAELPKCWSLSDIVPRARVHILGDLPSCFLSPAPESSRDKFEWGRFLTYLQKKDSVGIAKVKSCQFYILPPDKDSNFSHVQVVYRVEKTCSGNDGQEHCEDNGSPSDRFQGPIEDHHGGNTATETCRRHQPLAAKQVPPSEKNYAQAHPSYLETLGQVHYAWIFGAIAELVDNSRDAEATRLDISIEEIYSKRAGKEIPMLSVIDDGHGMIHREVEKMVRFGHKKPEADDPDRIGRFGVGFKTGAMRLGRDALVITQTNDSRSIAFLSQSLNEGKDNLEIPIVSYRRKGQFMEVDTSVQLEALAKYNLKVIKEFSPFDKYLIGAKAGLFRENRTGTQIFIWNLDEWGSQYCLEWDRGLTGGSSFHKGDILIRSRRLRSRPGQISKTVFLDYSLRSYLEVIFLVPRIRIYLQGSLVKSRPLAKYLNQTSEATGNIMGKRVHLTLGRSQLEFEQANCGIFLYWHGRLIEAYKRVGGMIHNGDWGRGVIGVIDVTDLMNEGNGRVGVHNAKQSFLDCEPYARLEAWLGAKADEYWTNNFETLKLKKGGSLYKPDHEWVQCDKCRKWRMLSSGFDVKTLPEEWFCYMGPFNGSCEIPEQKVDRGVITVSAKQNRQDIKDVDDDAMISSDGISDEDSNQTERDGKRDLKRLRKGLPRACKKGP >Potri.011G144500.8.v4.1 pep chromosome:Pop_tri_v4:11:17347078:17356432:-1 gene:Potri.011G144500.v4.1 transcript:Potri.011G144500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144500.v4.1 MGEANLAPDPYVLLMKDHKPICRTQCLNPPAELPKCWSLSDIVPRARVHILGDLPSCFLSPAPESSRDKFEWGRFLTYLQKKDSVGIAKVKSCQFYILPPDKDSNFSHVQVVYRVEKTCSGNDGQEHCEDNGSPSDRFQGPIEDHHGGNTATETCRRHQPLAAKQVPPSEKNYAQAHPSYLETLGQVHYAWIFGAIAELVDNSRDAEATRLDISIEEIYSKRAGKEIPMLSVIDDGHGMIHREVEKMVRFGHKKPEADDPDRIGRFGVGFKTGAMRLGRDALVITQTNDSRSIAFLSQSLNEGKDNLEIPIVSYRRKGQFMEVDTSVQLEALAKYNLKVIKEFSPFDKYLIGAKAGLFRENRTGTQIFIWNLDEWGSQYCLEWDRGLTGGSSFHKGDILIRSRRLRSRPGQISKTVFLDYSLRSYLEVIFLVPRIRIYLQGSLVKSRPLAKYLNQTSEATGNIMGKRVHLTLGRSQLEFEQANCGIFLYWHGRLIEAYKRVGGMIHNGDWGRGVIGVIDVTDLMNEGNGRVGVHNAKQSFLDCEPYARLEAWLGAKADEYWTNNFETLKLKKGGSLYKPDHEWVQCDKCRKWRMLSSGFDVKTLPEEWFCYMGPFNGSCEIPEQKVDRGVITVSAKQNRQDIKDVDDDAMISSDGISDEDSNQTERDGKRDLKRLRKGLPRACKKGP >Potri.011G144500.9.v4.1 pep chromosome:Pop_tri_v4:11:17347081:17356432:-1 gene:Potri.011G144500.v4.1 transcript:Potri.011G144500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144500.v4.1 MGEANLAPDPYVLLMKDHKPICRTQCLNPPAELPKCWSLSDIVPRARVHILGDLPSCFLSPAPESSRDKFEWGRFLTYLQKKDSVGIAKVKSCQFYILPPDKDSNFSHVQVVYRVEKTCSGNDGQEHCEDNGSPSDRFQGPIEDHHGGNTATETCRRHQPLAAKQVPPSEKNYAQAHPSYLETLGQVHYAWIFGAIAELVDNSRDAEATRLDISIEEIYSKRAGKEIPMLSVIDDGHGMIHREVEKMVRFGHKKPEADDPDRIGRFGVGFKTGAMRLGRDALVITQTNDSRSIAFLSQSLNEGKDNLEIPIVSYRRKGQFMEVDTSVQLEALAKYNLKVIKEFSPFDKYLIGAKAGLFRENRTGTQIFIWNLDEWGSQYCLEWDRGLTGGSSFHKGDILIRSRRLRSRPGQISKTVFLDYSLRSYLEVIFLVPRIRIYLQGSLVKSRPLAKYLNQTSEATGNIMGKRVHLTLGRSQLEFEQANCGIFLYWHGRLIEAYKRVGGMIHNGDWGRGVIGVIDVTDLMNEGNGRVGVHNAKQSFLDCEPYARLEAWLGAKADEYWTNNFETLKLKKGGSLYKPDHEWVQCDKCRKWRMLSSGFDVKTLPEEWFCYMGPFNGSCEIPEQKVDRGVITVSAKQNRQDIKDVDDDAMISSDGISDEDSNQTERDGKRDLKRLRKGLPRACKKGP >Potri.005G196000.3.v4.1 pep chromosome:Pop_tri_v4:5:20293794:20294039:1 gene:Potri.005G196000.v4.1 transcript:Potri.005G196000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196000.v4.1 MCQYRPWEDDSAQIEDYEQRTRNNTAHVDTRLEARVALLEARMANVEARVERLEAKMFFLQKGMRVVCALFLVTLAYAVCK >Potri.005G196000.2.v4.1 pep chromosome:Pop_tri_v4:5:20292781:20294291:1 gene:Potri.005G196000.v4.1 transcript:Potri.005G196000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196000.v4.1 MCQYRPWEDDSAQIEDYEQRTRNNTAHVDTRLEARVALLEARMANVEARVERLEAKMFFLQKGMRVVCALFLVTLAYAVCK >Potri.001G170400.1.v4.1 pep chromosome:Pop_tri_v4:1:14614860:14616759:-1 gene:Potri.001G170400.v4.1 transcript:Potri.001G170400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170400.v4.1 MSFLFGKRKTPSELLRENKRMMDKSIREIERERQGLQTQEKKLIVEIKKNAKQGQMGAVRVMAKDLIRTRHQIEKFYKLKSQLQGVALRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMTSEVMGDAIDDALEGDEEEEETEELVNQVLDEIGIGINNELVNAPSAAVSVPAAKNKVAQAESTGNHEDGGGGIDDDLQARLDNLRKM >Potri.018G097100.6.v4.1 pep chromosome:Pop_tri_v4:18:11772959:11779787:1 gene:Potri.018G097100.v4.1 transcript:Potri.018G097100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097100.v4.1 MSKDCHNWQLVKEEFTFPANSSPFNSCHASTIVEVDKNHFLVAYFGGTKEGAPDVKIWIQTYKDGYWQSPIIVDDQLDVPMWNPVLFKLASEELLLFYKVGQEVQKWSGCMKRSYDKGVTWSKREQLPPGILGPSKNKPILLENGLLLCGSSVESWNSWGAWMEVTADAGRSWRKHGPIYVENTSLSVIQPVPFQTADGTFRVLLRSFDDIGRVCMSESYDGGVTWGYAKPTELPNPNSGIDGVKLKDGPVLLAYNTISRGVLKVAVSKDDGDSWHDVVTLEEKLDMEFSYPAVIQASDGSVHITYTYNRTQIKHVVLQPS >Potri.018G097100.1.v4.1 pep chromosome:Pop_tri_v4:18:11773135:11779662:1 gene:Potri.018G097100.v4.1 transcript:Potri.018G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097100.v4.1 MFQVLFRKVTGINTNYTVLQPKISNMSKDCHNWQLVKEEFTFPANSSPFNSCHASTIVEVDKNHFLVAYFGGTKEGAPDVKIWIQTYKDGYWQSPIIVDDQLDVPMWNPVLFKLASEELLLFYKVGQEVQKWSGCMKRSYDKGVTWSKREQLPPGILGPSKNKPILLENGLLLCGSSVESWNSWGAWMEVTADAGRSWRKHGPIYVENTSLSVIQPVPFQTADGTFRVLLRSFDDIGRVCMSESYDGGVTWGYAKPTELPNPNSGIDGVKLKDGPVLLAYNTISRGVLKVAVSKDDGDSWHDVVTLEEKLDMEFSYPAVIQASDGSVHITYTYNRTQIKHVVLQPS >Potri.008G160400.1.v4.1 pep chromosome:Pop_tri_v4:8:11014554:11023344:-1 gene:Potri.008G160400.v4.1 transcript:Potri.008G160400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160400.v4.1 MERAYLVKLNWLSCLPPPPPPHLLSLTISPHSPTPSLLRSSFSAPRSLSTKRSSAIQALMDASVSSSPKDLPVGLDAKTEEEYASLSSLLQEFTSIPNIDKAWTFKSNTGIGSQAMFSISQANLLANKRRKYALSANISKGSGNSVNFQWSPFPVEMTGVSTVVPSASGSKLLVVRNPENESPTRFEIWNQGHVEKEFNIPQSVHGSVYCDGWFEGISWNSNETLIAYVAEEASPSKPTFNDSGYKKGGSADKDCGSWKGQGEWEEDWGETYAGKRQPALFWIDINSGQVQPVKGISKSLSVGQVVWAPSTQGLHQYLVFVGWSSNPRKLGIKYCYNRPCALYAARAPVYASEANDLELKESPNEDSPVLNLTQSISSAFFPSFSPDGRFLVFLSGRSSVDSGAHSATDSLHRIDWPVNGQLSSLKIIDVIPIVQSAEDGCFPGLYCSNFIPNPWLSDGCTMIVSSTWGSSEVILSVNVLSGDVSRISPTDSNFSWNLLTLDGDSIIAVFSSPVDVPQIRYGYLVDKEIKNAAWDWSDVSSPIFGCSAKVNSLLSSRQFTILKIPVKDVSECLTKGASKPFEAIFVSRQSKKNDVCDPLIVVLHGGPHSVSLSGFAKSYAFLSSLGYSLLIVNYRGSLGFGEEALQSLPGKVGSQDVKDVITAIDHVIDTGVASPSKIAVIGGSHGGFLTTHLIGQAPDKFVAAAARNPVCNLVSMVGITDIPDWCYVETYGVEGKTKFTEAPSAEDLALFHSKSPISHISKVKTPTIFVLGAQDLRVPLSNGLQYARALKEKGVEVKILVFPNDVHAIERPQSDHEGFLNIAVWFNKYCK >Potri.007G079900.3.v4.1 pep chromosome:Pop_tri_v4:7:10442091:10446410:1 gene:Potri.007G079900.v4.1 transcript:Potri.007G079900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079900.v4.1 MFWRDRERENKDQNGGPPCGQVRVLVVGDSGIATFEMEYGHWLEEQNRHICDMRTALNAHISDVELHILVESDMSHYSELFRLKAIAAKADVFYVMSGLWKSSAERFFLWIGGFRPSELLKILVPCMEPLTEQQVVHVLNLRQSCQLAEDALSQGLEKLQQNVAETVAAGQLGEASYSPHMETAMEKLEALACFVQQADHIRQETLQQMSRILTTRQAARGLLALGEYFQRLRALSSLWATRPREPA >Potri.008G009400.1.v4.1 pep chromosome:Pop_tri_v4:8:455356:459313:-1 gene:Potri.008G009400.v4.1 transcript:Potri.008G009400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009400.v4.1 MAGTGIHPYHQQWPPAQAPPPPTAPGAPPPSIHHAPPHVLFDNSNRGPPTHEEVRTIFITGFPDDVKERELQNLLRWLPGYEASQVNYKGDKAMGFALFSSSQHAIAAKDSLQDMVFDVETKSVLHTEMAKKNLFVKRGIVADSNAYDQSKRLRTGGDYSHAAYTTPSPFHPPPPVWGPHGYMAPVPPPYDPYGGYPAPQVPMPPAPIPAPSSYVPIQNTKDNPPCNTLFIGNLGQNINEDELRGLFSVQPGFKQMKILRQERHTVCFIEFEDLNSATNVHHSLQGAVIPSSGSIGMRIQYSKNPFGRRKDGIHHVASHSANGASPPITYQ >Potri.014G019200.1.v4.1 pep chromosome:Pop_tri_v4:14:1172914:1173506:-1 gene:Potri.014G019200.v4.1 transcript:Potri.014G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019200.v4.1 MCKMAGQKTFTLSQVAQHRSKKDCWFVINGRVLDVTKFLDEHPAGGEVLVEVAGKDATREFSNIGHSKEAQNLLLKYQVGVLQGHAFNEADKYASFVESKHKEMSAFVIKDDDKMPKYQAFLEFVLPLVFTGFYFGYRYL >Potri.017G073300.4.v4.1 pep chromosome:Pop_tri_v4:17:8039661:8041723:-1 gene:Potri.017G073300.v4.1 transcript:Potri.017G073300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G073300.v4.1 MESRNESSEISRNKCAACFRQFNKMEHLVEHMRISYHSVHEPMCGICKKHCRSYESLREHLIGPLPKQECKNIFSIRGCKFCLTILDSPNARRVHQERCQLSGVNAGLLASFANLGLRDNLTIDNGYARGRQVVALACKMVGGGSDGSIDLCARVCLIDENENIIFHTYVKPPIPVTNYRYETTGIRPEYLRDATPLRQVQKKIQDFLCNGEPTWKIRPRGGKARILVGHDLDRLQLEYPAVMMRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGMQDPYEDCVATMRLYIRMRSQNHTIEDYPLAFDPQNRNNFASWRQSELERMSPEEMFAISRSDYYCWCLDS >Potri.017G073300.1.v4.1 pep chromosome:Pop_tri_v4:17:8039661:8041723:-1 gene:Potri.017G073300.v4.1 transcript:Potri.017G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G073300.v4.1 MESRNESSEISRNKCAACFRQFNKMEHLVEHMRISYHSVHEPMCGICKKHCRSYESLREHLIGPLPKQECKNIFSIRGCKFCLTILDSPNARRVHQERCQLSGGLLASFANLGLRDNLTIDNGYARGRQVVALACKMVGGGSDGSIDLCARVCLIDENENIIFHTYVKPPIPVTNYRYETTGIRPEYLRDATPLRQVQKKIQDFLCNGEPTWKIRPRGGKARILVGHDLDRLQLEYPAVMMRDTAKYPPLMKTSKLSNSLKYLTQAYLGYDIQTGMQDPYEDCVATMRLYIRMRSQNHTIEDYPLAFDPQNRNNFASWRQSELERMSPEEMFAISRSDYYCWCLDS >Potri.001G343500.1.v4.1 pep chromosome:Pop_tri_v4:1:35411875:35420451:-1 gene:Potri.001G343500.v4.1 transcript:Potri.001G343500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343500.v4.1 MDLVSGYKGMVGLVFGNDNSGSNEDSYVERLLDRISNGVLPDDRRNAMAELQSVVAESRGAQLAFGAMGFPVLMGVLKEERDDVEMIRGALETLVSALTPIDHAKGPQNEVQPALMNTDLLSREAENISLLLSLLSEEDFYVRYYTLQILTALLTNSQNRLQEAILTIPRGITRLMDMLMDREVIRNEALLLLTHLTREAEEIQKILVFEGAFEKIFSIIKEEGGSEGGVVVQDCLELLNNLLRNNASNQVLLRETLGFDAIISILKLRGSAYSFTQQKTINLLSALETINLLLMGGSESDPGKDMNKLTNRTVLVQNKVFDYLLLLGVESQWAPIPVRCAALRCIGDLIVGHPKNLDTLASKVLGEQPQVEPALNSILRIILRTSSVQEFIEADHVFKSFCERNSDGQTMLASTLIPQPYSMTHAPIEEDVRMSFGSMLLHGLTLGESDGDLETCCRAASVLSHILRDNIQCKERVLRIELESPTPSLGAPEPLMHRMVKYLALASNMKNKDGKTSTKENSYVQPIILKLLVTWLADCPNAIQCFLASRPHLTYLLELVSNPSATMCIRGLGAVLLGECVIYNKSGESGKDAFTVVDAISQKIGLTSYFLKFDEMMKSFLFSSVKPTKLHKPLTRSAAASMAEIDDVDEQDSSDHKNEDHPILSSLFDSHFVNFVKSLEGNIRETIVDVYSRPKSEVAVVPAELELKRGESDKDYIERLKSFVQKQCSEIQNLLGRNATLAENLTKTGGSVSSQPEQRTSGGLDRVQAETLRRDLQEASQRIEMLKAEKAKIESEASMYQNLAGKMESDLKSLSDAYNSLEQANFHLEKEVKALKSGGASTPPDVEAIRAEAREEAQKESEAELNDLLVCLGQEQSRVEKLSARLMELGEDVDKLLEGVGDDMGLPEDSEKEED >Potri.018G034700.1.v4.1 pep chromosome:Pop_tri_v4:18:2648709:2666445:-1 gene:Potri.018G034700.v4.1 transcript:Potri.018G034700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034700.v4.1 MSLKLNSPFLGIPVNGRNRTHSLCSGRGHLSKRGFGKCVCVKKYSDWVAQAIRFSHFCGKNVELLRNAIGLRNGLRVECVKEPFVQSKALVRSLAPVWKEGLLIVRCSVFGAVISGVCLLVWYGQNRAKCYIEAKLLPSVCSVLSDYVQREIDFGKVRNVSPLSVTLESCSIGPHGEEFSCGEVPTMKLKLRPFASLRRGKIVIDAILSHPSVMVVQKKDYTWLGIPSSEGGLQRHLSNEEGIDYRTKTRRLAREESAARWDIERDDGAKEAAEKGYTVPERDPDIAGYDVPKKDATHSTDLTNYEFFPFMDDKMHWKDHHCMDTGLDYDKRHAHLEKSFGVKFPGSGLKLWSSVIRGPKKHKFKKKANGSDISAASINAKRRILERSSTAAVAYFQGLYSEKSDEPSQSSGGYDVMNLDSLLAQSGGDYSLDISIDASTGDEDSTAKSQNKDSVNQPLAAGQNVHGHIDKFNIIRDTFLATVVHLVEVQKVIENFPSIRNLSGDAKTNNINDVDLAVDAVNRRIDARDSGTQSHHASQSLSSVKLESGPATYLPVPGWSLSLTSGLPSFSRRLSNLLSHLLAGPFQEIKSGVGPKVEDIVAELVDGVDVVQSERIEKMLPVSLDSVHFKGGTLMLLAYGDREPREMGNVNGHLKFQNHYGRVHVQLSGNCRMWRSDAVSEDGGWLSADVFVDVVEQTWHANLKIINLFAPLFERILEIPIAWSKGRATGEVHMCMSRGETFPNLHGQLDVTGLSFQINDAPSWFSDISASLCFRGQRIFLHNASGWFGNVPLEASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMRPLLFPLAGSVTAVFNCQGPLDAPIFVGSGVVSRKISHSFSDVPASVALEAMLKSKEAGAVAAFDRIPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDAAIDVNFSGNFSSDKIIHRYIPEYLQSMPLKLGDLTGETKLSGSLLRPRFDIKWIAPKAEGSFSDARGDIMISHDYITIKSSSVAFELDTKVQTSYPDEYFPDRKEFDGNKILPFTVEGVELDLRMRGFEFFSLVSFYPFDSPRPTHLKATGKIKFQGKVLKPSSIVNEQDLASGRDMQHVKVEGTAQGTQSLVGEVSVTGLRLNQLMLAPQLAGQLSISRDRIKVDAMGRPDESLAVEVLGPLQPGYDESSPNRKFSSFNLQKGQLKANVSFQPQHSATLEVRNLPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLHPKFSGVLGEALDVAARWSGDVITLEKTVLEQINSCYELQGEYVLPGTRDRNLAGKENGGLFKMAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFMQSLQSVGLYPECSQDLLEVMRGHYTPSNEVILEDISLPGLAELKGHWHGSLDASGGGNGDTMAEFDFHGEDWEWGTYKTQRVVAVGAYSNNDGLRLERIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVIESSAADIVHSLRQLLAPIRGILHMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAEVVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPINFVQNTSLEEEDQETDKSRAKWVPGWEKERDKGYADEAREKVYRERVEDGRNNQLAESLKVLNWNFLDVGEVRVDADIKDGGMMLLTALSPYVNWLHGNADIMLQVRGTVDQPVLDGFATFHRASILSPVLRKPLTNFGGTVHVKSNRLCITSLESRVSRRGKLLIKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQMQITGSILQPNISGNIKLSHGEAYLPHDRGSGASPFNRLSSNQSRLPAGGVNHAVASRYVSRFFSSEPAASKTKFPQPAVKSNKVEKDLEQVNIKPKIDIRLSDLKLVLGPELRVVYPLILNFAVSGEIELNGLAHPKRIKPKGVLTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLVLVGSEWQFKIQSRASNWQDKLVVTSSSVEQDALSPTEAARVFESQLAESILEGDGQLAFKKLATATLEQLMPRLEGKGEFLHARWRLVYAPQIPSLLSVDPTVDPLKSLANNISCGTEVEVQLGKRLQASIVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >Potri.018G034700.4.v4.1 pep chromosome:Pop_tri_v4:18:2648709:2666448:-1 gene:Potri.018G034700.v4.1 transcript:Potri.018G034700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034700.v4.1 MSLKLNSPFLGIPVNGRNRTHSLCSGRGHLSKRGFGKCVCVKKYSDWVAQAIRFSHFCGKNVELLRNAIGLRNGLRVECVKEPFVQSKALVRSLAPVWKEGLLIVRCSVFGAVISGVCLLVWYGQNRAKCYIEAKLLPSVCSVLSDYVQREIDFGKVRNVSPLSVTLESCSIGPHGEEFSCGEVPTMKLKLRPFASLRRGKIVIDAILSHPSVMVVQKKDYTWLGIPSSEGGLQRHLSNEEGIDYRTKTRRLAREESAARWDIERDDGAKEAAEKGYTVPERDPDIAGYDVPKKDATHSTDLTNYEFFPFMDDKMHWKDHHCMDTGLDYDKRHAHLEKSFGVKFPGSGLKLWSSVIRGPKKHKFKKKANGSDISAASINAKRRILERSSTAAVAYFQGLYSEKSDEPSQSSGGYDVMNLDSLLAQSGGDYSLDISIDASTGDEDSTAKSQNKDSVNQPLAAGQNVHGHIDKFNIIRDTFLATVVHLVEVQKVIENFPSIRNLSGDAKTNNINDVDLAVDAVNRRIDARDSGTQSHHASQSLSSVKLESGPATYLPVPGWSLSLTSGLPSFSRRLSNLLSHLLAGPFQEIKSGVGPKVEDIVAELVDGVDVVQSERIEKMLPVSLDSVHFKGGTLMLLAYGDREPREMGNVNGHLKFQNHYGRVHVQLSGNCRMWRSDAVSEDGGWLSADVFVDVVEQTWHANLKIINLFAPLFERILEIPIAWSKGRATGEVHMCMSRGETFPNLHGQLDVTGLSFQINDAPSWFSDISASLCFRGQRIFLHNASGWFGNVPLEASGDFGIHPEEGEFHLMCQVPCVEVNALMKTFKMRPLLFPLAGSVTAVFNCQGPLDAPIFVGSGVVSRKISHSFSDVPASVALEAMLKSKEAGAVAAFDRIPFSYLSANFTFNTDNCVADLYGIRASLVDGGEIRGAGNAWICPEGEVDDAAIDVNFSGNFSSDKIIHRYIPEYLQSMPLKLGDLTGETKLSGSLLRPRFDIKWIAPKAEGSFSDARGDIMISHDYITIKSSSVAFELDTKVQTSYPDEYFPDRKEFDGNKILPFTVEGVELDLRMRGFEFFSLVSFYPFDSPRPTHLKATGKIKFQGKVLKPSSIVNEQDLASGRDMQHVKVEGTAQGTQSLVGEVSVTGLRLNQLMLAPQLAGQLSISRDRIKVDAMGRPDESLAVEVLGPLQPGYDESSPNRKFSSFNLQKGQLKANVSFQPQHSATLEVSVRNLPLDELELASLRGTIQRAEIQLNLQKRRGHGVLSVLHPKFSGVLGEALDVAARWSGDVITLEKTVLEQINSCYELQGEYVLPGTRDRNLAGKENGGLFKMAMTGHLGSVISSMGRWRMRLEVPRAEVAEMLPLARLLSRSTDPAVRSRSKDLFMQSLQSVGLYPECSQDLLEVMRGHYTPSNEVILEDISLPGLAELKGHWHGSLDASGGGNGDTMAEFDFHGEDWEWGTYKTQRVVAVGAYSNNDGLRLERIFIQKDNATIHADGTLLGPKTNLHFAVLNFPVSLVPTVVQVIESSAADIVHSLRQLLAPIRGILHMEGDLRGSLAKPECDVQVRLLDGAIGGIDLGRAEVVASLTSTSRFLFNAKFEPIIQNGHVHIQGSVPINFVQNTSLEEEDQETDKSRAKWVPGWEKERDKGYADEAREKVYRERVEDGRNNQLAESLKVLNWNFLDVGEVRVDADIKDGGMMLLTALSPYVNWLHGNADIMLQVRGTVDQPVLDGFATFHRASILSPVLRKPLTNFGGTVHVKSNRLCITSLESRVSRRGKLLIKGNLPLRTSEASLGDKIDLKCEVLEVRAKNILSGQVDTQMQITGSILQPNISGNIKLSHGEAYLPHDRGSGASPFNRLSSNQSRLPAGGVNHAVASRYVSRFFSSEPAASKTKFPQPAVKSNKVEKDLEQVNIKPKIDIRLSDLKLVLGPELRVVYPLILNFAVSGEIELNGLAHPKRIKPKGVLTFENGDVNLVATQVRLKREHLNIAKFEPEHGLDPMLDLVLVGSEWQFKIQSRASNWQDKLVVTSSSVEQDALSPTEAARVFESQLAESILEGDGQLAFKKLATATLEQLMPRLEGKGEFLHARWRLVYAPQIPSLLSVDPTVDPLKSLANNISCGTEVEVQLGKRLQASIVRQMKDSEMAMQWTLIYQLTSRLRVLLQSAPSKRLLFEYSATSQD >Potri.006G267300.1.v4.1 pep chromosome:Pop_tri_v4:6:26198375:26201737:-1 gene:Potri.006G267300.v4.1 transcript:Potri.006G267300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267300.v4.1 MASPILKFPFLSHTTSLSKPLAFYPLSLTPKPRKTSRPIHVKQQQQGSIKCHAVTDLTSGNTVPSSIEDQSKGEDNDDHLVLVGPSSEEERRGEREVADYDWTEEWYPLYLTKDVPDDAPLGLTVFDKQVVLYKDGQGELRCFEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGEGNCVKIPQLPANAKIPQSACVKTYEVRESQGVVWVWMSSKSQPNINKLPWFENFARPGFKDSSAVHELPYDHSILLENLMDPAHIPISHDRTDLSAKRENAQPLRFEVTERTDRGFAGWWGGGDAQTLPNFLRFEAPCVLSNNREFIDEKGVKQYFSGLFLCRPTGQGKSMLIVRFGGTAMPQIANWIPEWFFHQRGCTVFEQDMGFLSSQNEVLMKEKVPTKKLYLNLRSSDTWVAEYRKWMDKTGHGMPYHFGHNTISLPELPAVVEHAPAGLVAGVSASFPAKGGTGTMHAPNLANRYFRHVIHCKGCSGALKAFNTWKKALSAISLALTALAILVSGRQWKAALLVSTSLCLAGVYACSTLIQMSTTNFIRTHRRF >Potri.006G267300.2.v4.1 pep chromosome:Pop_tri_v4:6:26198464:26200969:-1 gene:Potri.006G267300.v4.1 transcript:Potri.006G267300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267300.v4.1 MSSKSQPNINKLPWFENFARPGFKDSSAVHELPYDHSILLENLMDPAHIPISHDRTDLSAKRENAQPLRFEVTERTDRGFAGWWGGGDAQTLPNFLRFEAPCVLSNNREFIDEKGVKQYFSGLFLCRPTGQGKSMLIVRFGGTAMPQIANWIPEWFFHQRGCTVFEQDMGFLSSQNEVLMKEKVPTKKLYLNLRSSDTWVAEYRKWMDKTGHGMPYHFGHNTISLPELPAVVEHAPAGLVAGVSASFPAKGGTGTMHAPNLANRYFRHVIHCKGCSGALKAFNTWKKALSAISLALTALAILVSGRQWKAALLVSTSLCLAGVYACSTLIQMSTTNFIRTHRRF >Potri.001G091700.2.v4.1 pep chromosome:Pop_tri_v4:1:7247292:7247630:1 gene:Potri.001G091700.v4.1 transcript:Potri.001G091700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091700.v4.1 MEMSTSVAPQPCVVVTGLDGPTIESYPKTQLGDSGRLPKPNDNTCPICLSEYQPKDTLRTIPNCNHYFHANCVDEWLKMNATCPLCRNSPDGSSVMTHSSSLTSSYSSLSTP >Potri.001G091700.1.v4.1 pep chromosome:Pop_tri_v4:1:7244779:7247800:1 gene:Potri.001G091700.v4.1 transcript:Potri.001G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091700.v4.1 MAPPILFLLLLFLQKATSNNTTCHNPCLRNSLEVRFPFGFDNNRCSYPGFKLSCDSKTQIITLDLPNIGNFTVRNINYGSQTVTITDPQNCLAKKFIDSSFDLSGSPFNIPEYYNHFFTFLNCSTNSTTVISGIRRVNCLSNENFTVVTLPTNIYESFPDFMPPFCTELKKRVAVPPWYRWSDSETRLIWNEPYCLPCEVDGGTCQFKGNTGLTIDCNGRSKGPLPRSAKYGIIIGAGIPGLLCIIGIVSYVFGRLKARSSGNEPTMEMSTSVAPQPCVVVTGLDGPTIESYPKTQLGDSGRLPKPNDNTCPICLSEYQPKDTLRTIPNCNHYFHANCVDEWLKMNATCPLCRNSPDGSSVMTHSSSLTSSYSSLSTP >Potri.010G226600.3.v4.1 pep chromosome:Pop_tri_v4:10:21012321:21019615:-1 gene:Potri.010G226600.v4.1 transcript:Potri.010G226600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226600.v4.1 MQAEEPVNTSDMVMPGINTLILIDREVDMVTPMCSQLTYEGLLDEFLHINNGAVELDPSIMGAQQEGKKIKVPLNSSDKLFKEIRDLNFEVVAQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKQSFLSRLDMEQTLIEAQSYDICFDYIEESIHKQEPLVSVLRLLILFSITNSGLPKRNFDHLRRELLHSYGFEHIAMLNNLEKAGLLKKQENKSNWLTIKRTLQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQQAVRSGWRPMEEILKLLPGPHSETKRGGFTSSPSFDTLHGASAAVDRVADGRRSLVLVVFIGGVTFAEISALRFLSAQETMAYDLIIGTTKIVSGNTLIETYMEKLG >Potri.010G226600.2.v4.1 pep chromosome:Pop_tri_v4:10:21012233:21019543:-1 gene:Potri.010G226600.v4.1 transcript:Potri.010G226600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226600.v4.1 MRFICSHIHNDTSKGLQREYYVYFVPRREVVCEKVLEEEKVHNLMTIGEYPLYMVPLDEDVLSFELDLANKECLVDGNTSSLWHIAKAIHKLESSFGVIPYVRAKGKASVRVADILNRMQAEEPVNTSDMVMPGINTLILIDREVDMVTPMCSQLTYEGLLDEFLHINNGAVELDPSIMGAQQEGKKIKVPLNSSDKLFKEIRDLNFEVVAQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKQSFLSRLDMEQTLIEAQSYDICFDYIEESIHKQEPLVSVLRLLILFSITNSGLPKRNFDHLRRELLHSYGFEHIAMLNNLEKAGLLKKQENKSNWLTIKRTLQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQQAVRSGWRPMEEILKLLPGPHSETKRGGFTSSPSFDTLHGASAAVDRVADGRRSLVLVVFIGGVTFAEISALRFLSAQETMAYDLIIGTTKIVSGNTLIETYMEKLG >Potri.010G226600.4.v4.1 pep chromosome:Pop_tri_v4:10:21012323:21019599:-1 gene:Potri.010G226600.v4.1 transcript:Potri.010G226600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226600.v4.1 MKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKQSFLSRLDMEQTLIEAQSYDICFDYIEESIHKQEPLVSVLRLLILFSITNSGLPKRNFDHLRRELLHSYGFEHIAMLNNLEKAGLLKKQENKSNWLTIKRTLQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQQAVRSGWRPMEEILKLLPGPHSETKRGGFTSSPSFDTLHGASAAVDRVADGRRSLVLVVFIGGVTFAEISALRFLSAQETMAYDLIIGTTKIVSGNTLIETYMEKLG >Potri.010G226600.1.v4.1 pep chromosome:Pop_tri_v4:10:21012306:21019546:-1 gene:Potri.010G226600.v4.1 transcript:Potri.010G226600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226600.v4.1 MSQIPNLDNSPLNLKFLREQSQRELVNILNNIRGKKCLVIDPKLSGSLSLIIKSTILKENGADLRHLSAEPVDIDCTKVVYLVRSEFSLMRFICSHIHNDTSKGLQREYYVYFVPRREVVCEKVLEEEKVHNLMTIGEYPLYMVPLDEDVLSFELDLANKECLVDGNTSSLWHIAKAIHKLESSFGVIPYVRAKGKASVRVADILNRMQAEEPVNTSDMVMPGINTLILIDREVDMVTPMCSQLTYEGLLDEFLHINNGAVELDPSIMGAQQEGKKIKVPLNSSDKLFKEIRDLNFEVVAQVLRQKATSMKQDYTEMTTTNQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKQSFLSRLDMEQTLIEAQSYDICFDYIEESIHKQEPLVSVLRLLILFSITNSGLPKRNFDHLRRELLHSYGFEHIAMLNNLEKAGLLKKQENKSNWLTIKRTLQLVVEDTDTANPNDIAYVFSGYAPLSIRLVQQAVRSGWRPMEEILKLLPGPHSETKRGGFTSSPSFDTLHGASAAVDRVADGRRSLVLVVFIGGVTFAEISALRFLSAQETMAYDLIIGTTKIVSGNTLIETYMEKLG >Potri.008G194000.1.v4.1 pep chromosome:Pop_tri_v4:8:13694046:13696453:1 gene:Potri.008G194000.v4.1 transcript:Potri.008G194000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194000.v4.1 MGFDYYNVLKLNRNATEDDMKKAYKRLAMKWHPDKNPVNKKEAEAKFKLISEAYDVLSDPNKRQIYDLYGEEGLKSFDQAPPPNTNVGASFKFNPRDADDIFSEFFGSGGSDGVGKGYFRNNNHNSYGAEVNRKAAPVESKLLCTLEELYKGTRRKMRISRSVPDDFGKPKTIEEILKIDIKPGWKKGTKITFPEKGNQEPGTIPADLIFVVDEKPHPVFKRDGNDLVVNQKMSLLEALTGKTIELTTLDGRYLTVPVSDIVKPGHEVLISDEGMPVSKEPNKRGNLRIKFDITFPSRLTAEQKSDLKKALSDN >Potri.010G017450.4.v4.1 pep chromosome:Pop_tri_v4:10:2820956:2830370:-1 gene:Potri.010G017450.v4.1 transcript:Potri.010G017450.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G017450.v4.1 MDSIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFSPGKSKNRYLGIWYGKISVQTAVWVANRETPLDDSSGVVRLTNQGVLVLLNRSGSIIWSSNTSTPARNPVAQLLDSGNLVVKEEGDNNMENSLWQSSDYPGNTLLPGMKVGRNIITGMDWHLTSWKSPDDPSRGNISIILIPDGYPEYAVLEDSTVKYRSGPWNGLGLSGLPRLKPNPVYTFEFVFNDKEIFFRENLLNNSRNWRVFVSQSGDIQHLLWIEQTQSWFLYETGNTDNCERYALCGANGICSINNSPVCNCLNGFVPKVPRDWDKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYTNLDIRDGGSGCLLWFNDLIDMRTFLQNEQDIFIRMAASELDNGGSAKANTKSKVKKMIIVSSVLSTGILFVGLCLVLYVWKKKQQKNSNLQRRSNNKDLKEELEIPFFNVDALACATNNFSVSNKLGQGGYGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDETRSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVGGYRNRGFRHPDHHLNLIGHAWRLFKQGRPLELAAGSKGETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPQPKQPGFFTERDLVEASHSSSESKPHSANICSVSVLEAR >Potri.010G017450.3.v4.1 pep chromosome:Pop_tri_v4:10:2820957:2830472:-1 gene:Potri.010G017450.v4.1 transcript:Potri.010G017450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G017450.v4.1 MDSIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFSPGKSKNRYLGIWYGKISVQTAVWVANRETPLDDSSGVVRLTNQGVLVLLNRSGSIIWSSNTSTPARNPVAQLLDSGNLVVKEEGDNNMENSLWQSSDYPGNTLLPGMKVGRNIITGMDWHLTSWKSPDDPSRGNISIILIPDGYPEYAVLEDSTVKYRSGPWNGLGLSGLPRLKPNPVYTFEFVFNDKEIFFRENLLNNSRNWRVFVSQSGDIQHLLWIEQTQSWFLYETGNTDNCERYALCGANGICSINNSPVCNCLNGFVPKVPRDWDKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYTNLDIRDGGSGCLLWFNDLIDMRTFLQNEQDIFIRMAASELDNGGSAKANTKSKVKKMIIVSSVLSTGILFVGLCLVLYVWKKKQQKNRKMTGNLQRRSNNKDLKEELEIPFFNVDALACATNNFSVSNKLGQGGYGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDETRSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVGGYRNRGFRHPDHHLNLIGHAWRLFKQGRPLELAAGSKGETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPQPKQPGFFTERDLVEASHSSSESKPHSANICSVSVLEAR >Potri.014G101300.2.v4.1 pep chromosome:Pop_tri_v4:14:6696934:6697776:-1 gene:Potri.014G101300.v4.1 transcript:Potri.014G101300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101300.v4.1 MSSIPSSCETFPDCGFRQPDSGVVLSCLDCFLKKGSLYSFEYGVPPTVFIAKVRGGTCTTAASDPPETVIHRAMYLLQNGFGNYDVFHNNCEDFAMYCKTGLLIMDRLGVGRSGQASSVIGAPLAAILSSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRSDVIKVAVEDLAMNLGWAGPLEEVPEDNEASGALIAR >Potri.014G101300.1.v4.1 pep chromosome:Pop_tri_v4:14:6696935:6700047:-1 gene:Potri.014G101300.v4.1 transcript:Potri.014G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101300.v4.1 MGFLSHRVERSEIKPGDHIYTYRAVFTYSHHGVFVGGSKVVHFTPRQNANSSSDTSSDFYDSMSSIPSSCETFPDCGFRQPDSGVVLSCLDCFLKKGSLYSFEYGVPPTVFIAKVRGGTCTTAASDPPETVIHRAMYLLQNGFGNYDVFHNNCEDFAMYCKTGLLIMDRLGVGRSGQASSVIGAPLAAILSSPLKLLMPSPVGVATVTAGMYCMSRYATDIGVRSDVIKVAVEDLAMNLGWAGPLEEVPEDNEASGALIAR >Potri.001G006850.1.v4.1 pep chromosome:Pop_tri_v4:1:478568:479830:1 gene:Potri.001G006850.v4.1 transcript:Potri.001G006850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006850.v4.1 MANDPNNDQPLPGGEPVSRREFDALATSIEEIRQLLLNMGNNNNNNNNGRDNQCGGGRGNRDGRRQQEEIESNSEEEMERPENAFAANLNNRQSIEDYRMKADIPYFNGHLSIEGFLDWLMEVERFFEIMSVPEERMTKISAFRLKGSAAIWWDNLQKSRQRQAKQPVRIWRRMKQLMMDRFLPVDYEQHLYRLYHNCTQGSRTVEDYTDEFLRYVNGLTMSIHDRIGLQVFWDIHEAQNMAMKAQQLEKELKEHSYVPNKEKKEVQPIQRNNYKGQNYRGESSQNNDINQNRNQRPNHGPYARATSDVCYRCFQPGHRSNNYLKQKQANLVEGTEEADDHSGNYDDDYDGAEFAYEDNNEVVNLMVNHTAIEEDEVLSMML >Potri.009G059400.1.v4.1 pep chromosome:Pop_tri_v4:9:6177734:6181685:1 gene:Potri.009G059400.v4.1 transcript:Potri.009G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059400.v4.1 MESVHAVNGSAPACPDFISYTVKNAAGKVISIGLAFVFSNLSHQLLKPLSQPRIASDIAVGLVLGNISLIRHAFDEQFIDILNSIAEFGMICYMFVLGMEMDPYVIFKAPSRNAMVAYAGMVPTIILVCSITPLLHYYQHPTIGFTLSLSTTLSGSSSHILTRLITSLKIGKSDIGKLVIAAGMHSDMISVLLVSIGYLLFPTAITVNDIAANIRMTLTMAAALLLQIIFTATVSPIFMNWVNNENPEGKPMKGSHLVLSIAYMAFVCSGAPIYGYSPILSAFVAGVFLPSEGRVSKWAVGKINYLLPTIFYPVFFFWMGFHADFSKFEASHWGTWGRFLVLVFITIFGKVIGTVICGAMLGFHQRESAELGLLLTAKGHFHVFLAVIGILLNITTTTTSISIIIVIFLSVLPTPLVVSQIIKRARKRAPTQRVALEWLDPSNELRILLCIQGVHNVLSTINFMEISQGASDPGILVYLTDMVELTDHIASTLVQEGMDTVTVMDKDVTEMRDQISTAVQAYVEENGNGITLRRMLALSTFDGMAKDICNLAEDLMISLIILPFHKNRHANGTLDGGNPGFRYVNRKVLRNAPCSVGILVDRGYGLVEKISKSVSSFQVAVIFFGGKDDREALAYAGRVARHPGVKLTVIRFLLDSDSVTTSRRAGNYRINAAKQEEEMKLDDESFAQFYERHIAGGHVSYSEKHVANSAETYTTLRSLEGQYGLIIVGRGGRVDSILTIGMNDWQQCPELGPIGDVLSGSDSSHTTSVLIIQQHSLKGELDGVDDEFSIM >Potri.013G161800.1.v4.1 pep chromosome:Pop_tri_v4:13:15549964:15552658:1 gene:Potri.013G161800.v4.1 transcript:Potri.013G161800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161800.v4.1 MGLGSIWVVLVVIFCGLGVGQWILKRVNWWLYEAKLGAKKDSLPPGDLGWPFIGNMWSFLTAFKSSDPDSFIRSFVNRYGHTGIYKAFMFGNPSVLVTTPEGCRRLLTDDNAFKPGWPLATLKLIGEKSFIDIPYEEHKRLRRLTSASVNGHEALSTYIPYIEQNVIAELEKWTTMGQIEFLTKMRKLTFRIIIYIFLSKTSERVMEALEKEYTTLNYGIRAMAINLPGFAYYEALKARKKLVAIFQSIVDGRRNLKKDDVTNTKKKDMMDSLLDVEDENGRKLTDEEVIDIMLMYLNAGHESSGHITTWATIFLQDHPEYFQKAKEEQEQIIKRRPLTQKGLSLKEVREMKYLSKVIDETLRMVTFSLTVFREAKTDFCMNGYTIPKGWKVLAWFRTIHLDPEVYPNPKEFNPSRWDDYTPKAGTFLPFGAGSRLCPGNNLAKLEISIFLHYFLLDYRLERQNPECSWRFLPHTRPIDNCLARIKKVSSESV >Potri.005G213100.5.v4.1 pep chromosome:Pop_tri_v4:5:21651677:21657580:-1 gene:Potri.005G213100.v4.1 transcript:Potri.005G213100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213100.v4.1 MGHPAAETSSFMTDFLRQSGGVAIIDGGLATELERHGADLNDPLWSAKCLLTSPHLVREVHLDYLEAGADIIITASYQATIQGFEAKGFSGEESESLLRKSVKIACEARDIYYDRCQKGSPDSNNGRVLKQRPILVAASIGSYGAYLADGSEYSGNYGDAITLETLKDFHRRRVQILAESGADLIAFETVPNKVEAQAYVELLKEEDIKIPAWFSFNSKDGVNVVSGDSLLDCASIAESCQNVVAVGINCTPPRFIHGLILSIKKVTTKPILIYPNSGESYDGKRKEWVQNTGISDQDFVSYVNKWCEIGAALVGGCCRTTPNTIRAIYRTLSSRSPAPSS >Potri.005G213100.4.v4.1 pep chromosome:Pop_tri_v4:5:21652677:21657579:-1 gene:Potri.005G213100.v4.1 transcript:Potri.005G213100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213100.v4.1 MGHPAAETSSFMTDFLRQSGGVAIIDGGLATELERHGADLNDPLWSAKCLLTSPHLVREVHLDYLEAGADIIITASYQATIQGFEAKGFSGEESESLLRKSVKIACEARDIYYDRCQKGSPDSNNGRVLKQRPILVAASIGSYGAYLADGSEYSGNYGDAITLETLKDFHRRRVQILAESGADLIAFETVPNKVEAQAYVELLKEEDIKIPAWFSFNSKDGVNVVSGDSLLDCASIAESCQNVVAVGINCTPPRFIHGLILSIKKVTTKPILIYPNSGESYDGKRKEWVQNTGISDQDFVSYVNKWCEIGAALVGGCCRTTPNTIRAIYRTLSSRSPAPSS >Potri.001G407401.1.v4.1 pep chromosome:Pop_tri_v4:1:43467971:43469228:1 gene:Potri.001G407401.v4.1 transcript:Potri.001G407401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407401.v4.1 MADSNSPNLAFEKESIIIVQHGIFALLLKTLSNYIQVKYQSIQASPMDTHHLIMFIFLLALFIYATALVVEVMLRASESIYHTHVGNIRLFAGGFAAILLLAILYPIMGCIISLMWACLFVKIAYESFQELYSFLCQTVAGVLHMLRRLIGSVRCLEEEEPNQPPA >Potri.015G036700.5.v4.1 pep chromosome:Pop_tri_v4:15:3137012:3141487:-1 gene:Potri.015G036700.v4.1 transcript:Potri.015G036700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036700.v4.1 MMDGGVPNIKKWVVLYPVYINSKKTIAEGRRISVEKACENPTCVEIGDCCSHLKLPFAIEIDKAYPRDFMQVGRVRVLLKREDGSLSNPAIPSRKQLMLHVAELVPRHPGRTKKQEPASTSNAATSKSGKGGKKKR >Potri.008G090100.1.v4.1 pep chromosome:Pop_tri_v4:8:5632107:5634417:1 gene:Potri.008G090100.v4.1 transcript:Potri.008G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090100.v4.1 MALRLGMLKCAAGGNSGCRSGIRRWAHVPAMARPLDGALESYIASPQLTLPELDANQDTSSNSSNSGFGLPGFSFGGSMELMAVPKRKVTPHKRGIRNGPKALKPIPVIIRCKSCGQVKLPHFYCCSGDRGKNGERNN >Potri.017G140800.1.v4.1 pep chromosome:Pop_tri_v4:17:14144492:14146701:1 gene:Potri.017G140800.v4.1 transcript:Potri.017G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140800.v4.1 MVSLKLQKRLAASVLKCGKGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMNEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLADQFEAKRAKNKASRERKFARREERLAMGPGAEKAVAAPPAASQPTEGTKKSKK >Potri.001G283000.3.v4.1 pep chromosome:Pop_tri_v4:1:29642795:29647114:1 gene:Potri.001G283000.v4.1 transcript:Potri.001G283000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283000.v4.1 MIVGCLVLPDFDGSLCVYQRLVHPCLSMDLRIIICQFKKLEELFFKKDDFLVEVERYVKENGIDALENLIASTKRSAKPNVAVNEIKAVAAEDRLKFEPPNLQVPLKDSSAAKITEKIEVASTKQLKLEQPKLPVQLNDSNAVEITEEKEVASTTQLKFEQPKLPVLPRDSNAVEITEKKEVSSTNQVRQIEPNIGQTENRTFQPLKNITTAAEAIGGRDLYEILPPEKVQKVWTCALCQVTAQSETVLNSHLQGKRHKAAREQLKVKSQTPKGEVSSASVGKKSNVTMATARIGVRDHTGILSPQNAQKVWTCLTCQVTLKSQTDINSHLQGKQHEQARALLNSKNQASHSNASSASVGKKTNFPENKPEKCTISNNTSPENRIHEAKKQGKQENPMKSLFVEIRNSKWRCTICNVSCTSEGDMACHLKGNKHLDVSISKWRCTICNVNCTSEGDMACHLKGNKHLDVSISKWQCTICNVNCTSEGDLACHLKGNKHLAVSISKWQCTICNVNCTSEGDIHCHLNGNKHLARMRELDGLGGSRHA >Potri.001G283000.2.v4.1 pep chromosome:Pop_tri_v4:1:29642900:29647032:1 gene:Potri.001G283000.v4.1 transcript:Potri.001G283000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283000.v4.1 MMSFVGVVKFVVKCLDIVAWPVFGLGYPLCASIQAIETNSNSDTQKLIAYWVSISVVLLFERAFQLEWLTFWPYIKLMIVGCLVLPDFDGSLCVYQRLVHPCLSMDLRIIICQFKKLEELFFKKDDFLVEVERYVKENGIDALENLIASTKRSAKPNVAVNEIKAVAAEDRLKFEPPNLQVPLKDSSAAKITEKIEVASTKQLKLEQPKLPVQLNDSNAVEITEEKEVASTTQLKFEQPKLPVLPRDSNAVEITEKKEVSSTNQVRQIEPNIGQTENRTFQPLKNITTAAEAIGGRDLYEILPPEKVQKVWTCALCQVTAQSETVLNSHLQGKRHKAAREQLKVKSQTPKGEVSSASVGKKSNVTMATARIGVRDHTGILSPQNAQKVWTCLTCQVTLKSQTDINSHLQGKQHEQARALLNSKNQASHSNASSASVGKKTNFPENKPEKCTISNNTSPENRIHEAKKQGKQENPMKSLFVEIRNSKWRCTICNVSCTSEGDMACHLKGNKHLDVSISKWQCTICNVNCTSEGDLACHLKGNKHLAVSISKWQCTICNVNCTSEGDIHCHLNGNKHLARMRELDGLGGSRHA >Potri.015G112400.3.v4.1 pep chromosome:Pop_tri_v4:15:12859860:12863048:-1 gene:Potri.015G112400.v4.1 transcript:Potri.015G112400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112400.v4.1 MYICAPPPHRFISLKFPELHSSSKLNDLQVLRSFGLSKRNFKLFSVTVSSLSSMSEASNYIPAAPIFLPEGPWQQIPGEVTAAKGFKAAGMYGGLRAKGEKPELALVSCDVDATVAGAFTTDMVAAAPVLYYKNALDISKTVIQSIIFALLVFGHL >Potri.015G112400.4.v4.1 pep chromosome:Pop_tri_v4:15:12859860:12863048:-1 gene:Potri.015G112400.v4.1 transcript:Potri.015G112400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112400.v4.1 MYICAPPPHRFISLKFPELHSSSKLNDLQVLRSFGLSKRNFKLFSVTVSSLSSMSEASNYIPAAPIFLPEGPWQQIPGEVTAAKGFKAAGMYGGLRAKGEKPELALVSCDVDATVAAISATTSACHVNVLHRKKARNQASDRILIILLAYDA >Potri.008G187900.1.v4.1 pep chromosome:Pop_tri_v4:8:13120799:13125693:-1 gene:Potri.008G187900.v4.1 transcript:Potri.008G187900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187900.v4.1 MIRWWVSALHLTELFVSAVVHLLFGFYIFSTALAGDLSQAMNEWFSKPNVHVVVKEEETRETTSSSTTKTTTSVDDLPPIVLVHGIFGFGKGRLGGLSYFAGAEKKDERVLVPDLGSLTSIYDRARELFYYLKGGQVDYGEEHSMAYGHSQFGRIYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFKGYENTSDKWVLSLTSVSGAFNGTTRTYLDGMQPEDWRNMKPICLLQFCRLGTIIYDWLDIAWLKAYYNFGFDHFNISWKKMGIFGLIDCLSGNAGPFASGDWILPDLTIQGSMQLNCHLQTFPDTYYFSYATKRTKRIFGITVPSGIFGIHPLLFIRVLQMSQWRHPPDVFPPYKGYRDEDWQDNDGALNTISMTHPRIPVEHPSHFVGHDSECQPLQPGIWYYKIVEGDHILFIVNRDRAGIQFDMIYDSIFERCRKYACRKSRQTLPNEIHQ >Potri.017G093833.1.v4.1 pep chromosome:Pop_tri_v4:17:10642466:10645192:-1 gene:Potri.017G093833.v4.1 transcript:Potri.017G093833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093833.v4.1 MGDPDMVIPGLEGEGNLIAAAKQTMMALRSAKDLTDDEMEILGDLHTQLTTTITIGERKADEKNKIEKRLNVIQEEIMSWERYWPMIWDSHLDQATAYLNAAGEVRELNERLETLCRNDDSKKKMLQRAQKVLQLAMKRLEVVFKHMLVENRQPFEPNHASFFSSAADRVSKISPGDYLVENSDDGCIFNRNSEEFIIDLVQYDAISELRRIANLMFISGYGDECSLAYISLRRDAWNEYLFNLEKEKPRIEDVLFSKWDSFKSELDSIKSKNKRWIQNMKIFVRVYLASEKWLSGQVFGELRTVNLVSLPGDLILLLLKYFGKDTSIHPLNPEKLFHNLDIYEILAGLHPNIDSLYSDKDISRVRVNSDEVLRGLADSVRRTLHEFQNYIVTYMTRDSFANIPSEGIHPLTKYVLKYISTLADYSETLNFLLKDYDGEDPMLASPCKSSDVEEESGGTCDFSPIAHSFRSNASILKWLYSGSPMSSAMQEESISGDTSNFSPIAFQLGSDASFLKWLSNCFLLSSATEEESINGGTCDISPVALYFRVVASILEYELYNRAKSFKDASLQHIFLMNNRHYVAEKVKNSKLQFILGEEWRREHTKKFQQLVLNYERITWNPIHNILNDEGSDSNFVSKALLRERLRSFYLAFEEVCRTQTTCSIPDTQLREDLRNSAPLKVIHAYKKFVELHANHVSSKLISSKLIKYSNLQNRLLHLFEGSKLG >Potri.006G119600.5.v4.1 pep chromosome:Pop_tri_v4:6:9413021:9447774:1 gene:Potri.006G119600.v4.1 transcript:Potri.006G119600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119600.v4.1 MASPKQLLSTIESTLLNPSPPSAAERVELMHAIRSSLPSLQALLFYPPPKPSDRAQVVQSKEVRLPDSPAISLDDQDVQIALKLSDDLHLNEIECVRLLVSANQEWGLMAREPLEILRLAAGLWYTERRDLITALHMLLRAVVLDRGLEDDIVSDIQKYLEDLINGGLRQRLISLIKELNLEEPAGFGGPLCEHYVLDSRGALVERQAVVCRERLILGHCLVLSVLVVRTSPKDVKDIFNCLKDSAAEPMEGTNTLKHQITFSLLFSLVIAFISDALSAVPDKGSILSHDASFRKEFHEIVMAVGNNPNVEGFVGVIRLAWCVHLMLINDGVSASSSNNSGYVNSCLEFIFSHNVFQFLLDNILRTAAYQNDDEDMIYMYNAYMHKLTTCLLSHQLVRDKVKESKDKAMSTLNSYRLAVSQDLMHDSNPDSQQATETGPLLFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSALASSQEGAAKVYELLQGNAFRSVGWSTLFDCLTIYDEKFKQSVQTAGTMLPEFQEGDAKALVAYLDVLQKVIENGNPVDRKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDAIWSYLEQYDLPVVVGAQVGNIAQPTGTQVYDMQYELNEIEARRERYPSTISFLNLLNALIGEEKDVSDRGRRFIGIFRFICDHVFGPFPQRAYADPCEKWQLVVSCLQHFHMMLSMYEIEDEDIDSVVDLSQLSTGTQPSSLQMQLPVLELLKDFMSGRIVFRNIMGILLPGVNSIITERTSQIYGQLLEKAVQLSLEIIILVLEKDLLVSDYWRPLYQPLDVILSQDHNLIVALLEYVRYDFLPKIQQCSIKIMSILSSRVVGLVQLLLKSNAANSLVEDYAACLEVRSEECQIIENKVDDPGVLIMQLLIDNISRPAPNVTHLLLKFDIDHAVERTVLQPKFHYSCLKVILEILDRLLKPEKNAMLHEFGFQLLYELSVDPLTCGPTMDLLSKKKYQFFVKHLDTIGIAPLPKRNSNQPLRISSLHQRAWLLKLLAVELHSGYVGGPSHREACQTILAHLFGRDVIESGPDHVVYDSVILRNGTEHAGTQTISKNKVLELLEVIQFRSPDTTMKLSQIVSNMKYDLMAEEILGDPRTSGRGGIYYYSERADRLIDLASFRDKLWQKFNSVYPQLSNFENEAELNDVRETIQQLLRWGWKYNKNLEEQAAQLHMLTGWSHIVEVSASRRIHSLENRSDILYRVLDASLSASASRDCSLRMAFVLSQVALTCMAKLRDERFLCSGGLNSDNMTCLDVITAKKLSNGACHSILFKLIMAILRNESSESLRRRQYALLLGYFQYCQHMLDPNIPTSVMQFLMLEEQDSEDLDFQKIDKDQAELARTNFSIMRKEAQAILDLVINDATKGSEPGKTIALYVLVALICIDHERYFLSQLQSRGFLRTCLTSISNFSNQRASTLEAELALLLRISYKYGKSGAQVLFSMGALEHLASCRAVSLQGCLRRFDRKLRRDVSVDFDKQCMIVTPMLRLLFSLTSLVDTSDIFEVKNKIVREVIDFVKGHQMLFDQILREDISTADELTVEQINLVVGILCKVWPYEESDEFGFVQGLFSMMRALFSCDSGAPTVGKLAQSSENKSKVELNSFRLCFSLGSYLYFLVTKMSLRLQVSDSSIDYHSPAMLQQPTLMLLDSLLRSVATSLEMAAEEKSLLLNKIQDINELSRQEVDEIINMCVMQESVSSSDDIQKRRYIAMMEMSRVAGERDQLITLLLPLAEHVLDIILVHFREGSMASDNSGATKAVTFGTHSDPRQDLSWMCGMLVPTLERLELLSEDKVGHNLKVFRRLVTSLKEMAIQNLAL >Potri.006G119600.4.v4.1 pep chromosome:Pop_tri_v4:6:9413078:9447823:1 gene:Potri.006G119600.v4.1 transcript:Potri.006G119600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119600.v4.1 MASPKQLLSTIESTLLNPSPPSAAERVELMHAIRSSLPSLQALLFYPPPKPSDRAQVVQSKEVRLPDSPAISLDDQDVQIALKLSDDLHLNEIECVRLLVSANQEWGLMAREPLEILRLAAGLWYTERRDLITALHMLLRAVVLDRGLEDDIVSDIQKYLEDLINGGLRQRLISLIKELNLEEPAGFGGPLCEHYVLDSRGALVERQAVVCRERLILGHCLVLSVLVVRTSPKDVKDIFNCLKDSAAEPMEGTNTLKHQITFSLLFSLVIAFISDALSAVPDKGSILSHDASFRKEFHEIVMAVGNNPNVEGFVGVIRLAWCVHLMLINDGVSASSSNNSGYVNSCLEFIFSHNVFQFLLDNILRTAAYQNDDEDMIYMYNAYMHKLTTCLLSHQLVRDKVKESKDKAMSTLNSYRLAVSQDLMHDSNPDSQQATETGPLLFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSALASSQEGAAKVYELLQGNAFRSVGWSTLFDCLTIYDEKFKQSVQTAGTMLPEFQEGDAKALVAYLDVLQKVIENGNPVDRKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDAIWSYLEQYDLPVVVGAQVGNIAQPTGTQVYDMQYELNEIEARRERYPSTISFLNLLNALIGEEKDVSDRGRRFIGIFRFICDHVFGPFPQRAYADPCEKWQLVVSCLQHFHMMLSMYEIEDEDIDSVVDLSQLSTGTQPSSLQMQLPVLELLKDFMSGRIVFRNIMGILLPGVNSIITERTSQIYGQLLEKAVQLSLEIIILVLEKDLLVSDYWRPLYQPLDVILSQDHNLIVALLEYVRYDFLPKIQQCSIKIMSILSSRVVGLVQLLLKSNAANSLVEDYAACLEVRSEECQIIENKVDDPGVLIMQLLIDNISRPAPNVTHLLLKFDIDHAVERTVLQPKFHYSCLKVILEILDRLLKPEKNAMLHEFGFQLLYELSVDPLTCGPTMDLLSKKKYQFFVKHLDTIGIAPLPKRNSNQPLRISSLHQRAWLLKLLAVELHSGYVGGPSHREACQTILAHLFGRDVIESGPDHVVYDSVILRNGTEHAGTQTISKNKVLELLEVIQFRSPDTTMKLSQIVSNMKYDLMAEEILGDPRTSGRGGIYYYSERADRLIDLASFRDKLWQKFNSVYPQLSNFENEAELNDVRETIQQLLRWGWKYNKNLEEQAAQLHMLTGWSHIVEVSASRRIHSLENRSDILYRVLDASLSASASRDCSLRMAFVLSQVALTCMAKLRDERFLCSGGLNSDNMTCLDVITAKKLSNGACHSILFKLIMAILRNESSESLRRRQYALLLGYFQYCQHMLDPNIPTSVMQFLMLEEQDSEDLDFQKIDKDQAELARTNFSIMRKEAQAILDLVINDATKGSEPGKTIALYVLVALICIDHERYFLSQLQSRGFLRTCLTSISNFSNQDGGHSLDSLQRASTLEAELALLLRISYKYGKSGAQVLFSMGALEHLASCRAVSLQGCLRRFDRKLRRDVSVDFDKQCMIVTPMLRLLFSLTSLVDTSDIFEVKNKIVREVIDFVKGHQMLFDQILREDISTADELTVEQINLVVGILCKVWPYEESDEFGFVQGLFSMMRALFSCDSGAPTVGKLAQSSENKSKVELNSFRLCFSLGSYLYFLVTKMSLRLQVSDSSIDYHSPAMLQQPTLMLLDSLLRSVATSLEMAAEEKSLLLNKIQDINELSRQEVDEIINMCVMQESVSSSDDIQKRRYIAMMEMSRVAGERDQLITLLLPLAEHVLDIILVHFREGSMASDNSGATKAVTFGTHSDPRQDLSWMCGMLVPTLERLELLSEDKVGHNLKVFRRLVTSLKEMAIQNLAL >Potri.006G119600.3.v4.1 pep chromosome:Pop_tri_v4:6:9413078:9447779:1 gene:Potri.006G119600.v4.1 transcript:Potri.006G119600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119600.v4.1 MASPKQLLSTIESTLLNPSPPSAAERVELMHAIRSSLPSLQALLFYPPPKPSDRAQVVQSKEVRLPDSPAISLDDQDVQIALKLSDDLHLNEIECVRLLVSANQEWGLMAREPLEILRLAAGLWYTERRDLITALHMLLRAVVLDRGLEDDIVSDIQKYLEDLINGGLRQRLISLIKELNLEEPAGFGGPLCEHYVLDSRGALVERQAVVCRERLILGHCLVLSVLVVRTSPKDVKDIFNCLKDSAAEPMEGTNTLKHQITFSLLFSLVIAFISDALSAVPDKGSILSHDASFRKEFHEIVMAVGNNPNVEGFVGVIRLAWCVHLMLINDGVSASSSNNSGYVNSCLEFIFSHNVFQFLLDNILRTAAYQNDDEDMIYMYNAYMHKLTTCLLSHQLVRDKVKESKDKAMSTLNSYRLAVSQDLMHDSNPDSQQATETGPLLFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSALASSQEGAAKVYELLQGNAFRSVGWSTLFDCLTIYDEKFKQSVQTAGTMLPEFQEGDAKALVAYLDVLQKVIENGNPVDRKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDAIWSYLEQYDLPVVVGAQVGNIAQPTGTQVYDMQYELNEIEARRERYPSTISFLNLLNALIGEEKDVSDRGRRFIGIFRFICDHVFGPFPQRAYADPCEKWQLVVSCLQHFHMMLSMYEIEDEDIDSVVDLSQLSTGTQPSSLQMQLPVLELLKDFMSGRIVFRNIMGILLPGVNSIITERTSQIYGQLLEKAVQLSLEIIILVLEKDLLVSDYWRPLYQPLDVILSQDHNLIVALLEYVRYDFLPKIQQCSIKIMSILSSRVVGLVQLLLKSNAANSLVEDYAACLEVRSEECQIIENKVDDPGVLIMQLLIDNISRPAPNVTHLLLKFDIDHAVERTVLQPKFHYSCLKVILEILDRLLKPEKNAMLHEFGFQLLYELSVDPLTCGPTMDLLSKKKYQFFVKHLDTIGIAPLPKRNSNQPLRISSLHQRAWLLKLLAVELHSGYVGGPSHREACQTILAHLFGRDVIESGPDHVVYDSVILRNGTEHAGTQTISKNKVLELLEVIQFRSPDTTMKLSQIVSNMKYDLMAEEILGDPRTSGRGGIYYYSERADRLIDLASFRDKLWQKFNSVYPQLSNFENEAELNDVRETIQQLLRWGWKYNKNLEEQAAQLHMLTGWSHIVEVSASRRIHSLENRSDILYRVLDASLSASASRDCSLRMAFVLSQVALTCMAKLRDERFLCSGGLNSDNMTCLDVITAKKLSNGACHSILFKLIMAILRNESSESLRRRQYALLLGYFQYCQHMLDPNIPTSVMQFLMLEEQDSEDLDFQKIDKDQAELARTNFSIMRKEAQAILDLVINDATKGSEPGKTIALYVLVALICIDHERYFLSQLQSRGFLRTCLTSISNFSNQDGGHSLDSLQRASTLEAELALLLRISYKYGKSGAQVLFSMGALEHLASCRAVSLQGCLRRFDRKLRRDVSVDFDKQCMIVTPMLRLLFSLTSLVDTSDIFEVKNKIVREVIDFVKGHQMLFDQILREDISTADELTVEQINLVVGILCKVWPYEESDEFGFVQGLFSMMRALFSCDSGAPTVGKLAQSSEVSQNKSKVELNSFRLCFSLGSYLYFLVTKMSLRLQVSDSSIDYHSPAMLQQPTLMLLDSLLRSVATSLEMAAEEKSLLLNKIQDINELSRQEVDEIINMCVMQESVSSSDDIQKRRYIAMMEMSRVAGERDQLITLLLPLAEHVLDIILVHFREGSMASDNSGATKAVTFGTHSDPRQDLSWMCGMLVPTLERLELLSEDKVGHNLKVFRRLVTSLKEMAIQNLAL >Potri.006G119600.6.v4.1 pep chromosome:Pop_tri_v4:6:9413078:9431382:1 gene:Potri.006G119600.v4.1 transcript:Potri.006G119600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119600.v4.1 MASPKQLLSTIESTLLNPSPPSAAERVELMHAIRSSLPSLQALLFYPPPKPSDRAQVVQSKEVRLPDSPAISLDDQDVQIALKLSDDLHLNEIECVRLLVSANQEWGLMAREPLEILRLAAGLWYTERRDLITALHMLLRAVVLDRGLEDDIVSDIQKYLEDLINGGLRQRLISLIKELNLEEPAGFGGPLCEHYVLDSRGALVERQAVVCRERLILGHCLVLSVLVVRTSPKDVKDIFNCLKDSAAEPMEGTNTLKHQITFSLLFSLVIAFISDALSAVPDKGSILSHDASFRKEFHEIVMAVGNNPNVEGFVGVIRLAWCVHLMLINDGVSASSSNNSGYVNSCLEFIFSHNVFQFLLDNILRTAAYQNDDEDMIYMYNAYMHKLTTCLLSHQLVRDKVKESKDKAMSTLNSYRLAVSQDLMHDSNPDSQQATETGPLLFVSLLEFVSEIYQKEPELLSGNDVLWTFVNFAGEDHTNFQTLVAFLKMLSALASSQEGAAKVYELLQGNAFRSVGWSTLFDCLTIYDEKFKQSVQTAGTMLPEFQEGDAKALVAYLDVLQKVIENGNPVDRKNWFPDIEPLFKLLSYENVPPYLKGALRNAIATFVHVSPVLKDAIWSYLEQYDLPVVVGAQVGNIAQPTGTQVYDMQYELNEIEARRERYPSTISFLNLLNALIGEEKDVSDRGRRFIGIFRFICDHVFGPFPQRAYADPCEKWQLVVSCLQHFHMMLSMYEIEDEDIDSVVDLSQLSTGTQPSSLQMQLPVLELLKDFMSGRIVFRNIMGILLPGVNSIITERTSQIYGQLLEKAVQLSLEIIILVLEKDLLVSDYWRPLYQPLDVILSQDHNLIVALLEYVRYDFLPKIQQCSIKIMSILSSRVVGLVQLLLKSNAANSLVEDYAACLEVRSEECQIIENKVDDPGVLIMQLLIDNISRPAPNVTHLLLKFDIDHAVERTVLQPKFHYSCLKVILEILDRLLKPEKNAMLHEFGFQLLYELSVDPLTCGPTMDLLSKKKYQFFVKHLDTIGIAPLPKRNSNQPLRISSLHQRAWLLKLLAVELHSGYVGGPSHREACQTILAHLFGRDVIESGPDHVVYDSVILRNGTEHAGTQTISKNKVLELLEVIQFRSPDTTMKLSQIVSNMKYDLMAEEILGDPRTSGRGGIYYYSERADRLIDLASFRDKLWQKFNSVYPQLSNFENEAELNDVRETIQQLLRWGWKYNKNLEEQAAQLHMLTGWSHIVEVSASRRIHSLENRSDILYRVLDASLSASASRDCSLRMAFVLSQVALTCMAKLRDERFLCSGGLNSDNMTCLDVITAKKLSNGACHSILFKLIMAILRNESSESLRRRQYALLLGYFQYCQHMLDPNIPTSVMQFLMLEEQDSEDLDFQKIDKDQAELARTNFSIMRKEAQAILDLVINDATKGSEPGKTIALYVLVALICIDHERYFLSQLQSRGFLRTCLTSISNFSNQVFLLSLLLY >Potri.010G064500.1.v4.1 pep chromosome:Pop_tri_v4:10:9331273:9335642:-1 gene:Potri.010G064500.v4.1 transcript:Potri.010G064500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064500.v4.1 METLQSWRVRLSFKNATIFMTLLNTITALFLLQGSLSSPSSRNSKLSPDHSNSVKLSYIKESEEMRLAMQPWKLIKRVKEIEQEVHAGPETVQQKDIKQTAAADLSKRLQDFRSLNNASSLKALDEWRKRKMERARQWALEKNGTVTSQA >Potri.002G099600.3.v4.1 pep chromosome:Pop_tri_v4:2:7269199:7271885:1 gene:Potri.002G099600.v4.1 transcript:Potri.002G099600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099600.v4.1 MDTELGNMNEPDQHQNKKHMKAFLVFLNCMLMAVGQVAGPLLVRIYYLHGGKSNWLGAWLLTAGFPILIIPIAISYIRARARAQAGRLLVTPWLFSASVILGLLLGLDSYLYSFGMSYLPVSVSSILGSSQLAFTAIFAYIIVKHKFTHYSINAVALMTFGSVILGFHMNGDRPSGESKGKYILGFFMTIGGAALHGFLMPALEFTYLKAGKAITFDLVLQVQFLISMFATLFCSIPMIINKDFKVSDK >Potri.002G099600.1.v4.1 pep chromosome:Pop_tri_v4:2:7269009:7271085:1 gene:Potri.002G099600.v4.1 transcript:Potri.002G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099600.v4.1 MDTELGNMNEPDQHQNKKHMKAFLVFLNCMLMAVGQVAGPLLVRIYYLHGGKSNWLGAWLLTAGFPILIIPIAISYIRARARAQAGRLLVTPWLFSASVILGLLLGLDSYLYSFGMSYLPVSVSSILGSSQLAFTAIFAYIIVKHKFTHYSINAVALMTFGSVILGFHMNGDRPSGESKGKYILGFFMTIGGAALHGFLMPALEFTYLKAGKAITFDLVLQVQFLISMFATLFCSIPMIINKDFKAISKEAAEFGLGKTKYYTILLIAAIVLQLLVIGSLGVIFNSSSLLGGLVSSLLVPVQQAFAVMILKEVFNAEKGMALAMCLWGFASYLYGEYQKPEANKEESTH >Potri.001G011500.1.v4.1 pep chromosome:Pop_tri_v4:1:745785:747677:-1 gene:Potri.001G011500.v4.1 transcript:Potri.001G011500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX6 MSSVLATVICVVMLFWGISDAQLSPTFYASTCPNVSSIVRGVVEQAARNDVRLGAKLIRMHFHDCFVDGCDGSILLVDANGINSEQDELPNQSVEGYGVVDDIKTAVENVCPGIVSCADILALASEILVTLAGGPTWQVPLGRRDSTTANAARTSDIPSPFETFENLSLKFSNKELDSTDLVALSGAHTFGRSQCQFFSQRLNDTNPDPTLDTTYLQTLRQACPQGGNPSRLNNLDPTTPDDFDNNYFTNLQNNRGLLQTDQILFSTSGADTVAVVNRFANSQTAFFDSFAQSMIKLGNLSPLTGSNGEIRADCKRVN >Potri.010G168400.1.v4.1 pep chromosome:Pop_tri_v4:10:17092668:17094295:1 gene:Potri.010G168400.v4.1 transcript:Potri.010G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168400.v4.1 MEQTPGEEIGIRIYTASPQNEPKLNKISTGSHQDDETKPSKPLCTLTKAPKEPGSKRRAVAKGMQKTISKTSMLVNFLPTGTLLTFEMLLPSISKNGVCTPVTALMIYALLGLCSLSCFFFHFTDSFKGPDDKIYYGFVTTKGLAVFKPGLTVDVPKDERYRVGFTDLVHAVMSVMVFMAIALSDHRVTGCLFPGHVKEMGEVMESFPLMVGVICSGLFLVFPTSRHGIGCMSN >Potri.002G235800.1.v4.1 pep chromosome:Pop_tri_v4:2:22905738:22906394:1 gene:Potri.002G235800.v4.1 transcript:Potri.002G235800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235800.v4.1 MARLVKSSAPLFVFLVLYTLLVSGVSGNGGGMVGGRAKVSDVKTNKEIQELGRFSVKEFNNHRSTYGKGGEVGELMLSEVVEAQTQVVSGVKYYLKIEATTQSEEKLMFDSVLVVKPWLRSKELLAFEPSIGLRV >Potri.001G432820.2.v4.1 pep chromosome:Pop_tri_v4:1:45820883:45822970:1 gene:Potri.001G432820.v4.1 transcript:Potri.001G432820.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432820.v4.1 MKKKYKPSGSPPPITFPFNNPSRPFICFPFHTAAPSPPTLSIFIHTSQTQPPPSITPTPINSRSLPLTAASSSPVNELRLFSDNHSSNLERNRRACEVAKLLPLLDLLQSIASIKADYIAQVDERTQFGKEIGIVVPVSDSQKTINRKQLSTKS >Potri.001G432820.1.v4.1 pep chromosome:Pop_tri_v4:1:45820883:45822088:1 gene:Potri.001G432820.v4.1 transcript:Potri.001G432820.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432820.v4.1 MKKKYKPSGSPPPITFPFNNPSRPFICFPFHTAAPSPPTLSIFIHTSQTQPPPSITPTPINSRSLPLTAASSSPVNELRLFSDNHSSNLERNRRACEVAKLLPLLDLLQSIASIKADYIAQVDERTQFGKEIGIVVPVSDSQKTINRKQYVLNFFSFFSFSLVDWNLELPTKVCIRS >Potri.018G085600.6.v4.1 pep chromosome:Pop_tri_v4:18:10307887:10312613:1 gene:Potri.018G085600.v4.1 transcript:Potri.018G085600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085600.v4.1 MLPLFGLRLAWRLALASWRCSLYYIRCTQAQVLSITSRVRKTLRGSSDDIGWLQHTRDMAPVEDGTVRFLELLEKIRNGEHNLPNSFVYLLIPGLFSNHGPLYFVGTKRFFSKMGLACHIAKIHSEASVEHNAWELKQYIEELYWGSGKRVILLGHSKGGVDAAAALSIYSSDLKNKVAGLALVQSPYAGTPIASDILREGQIADKETRRIMELLICKIIKGDIRALEDLTYDKRREFISKHQLSKEIPLISFHSEASIAPGVLATMTHIAHAELPWLPLPKFGSEESDDFQAGHQVPVVIPVSAAMAVCALHLQLRYGEKSDGLVTCRDAEVPGSVVVRPDRKLDHAWMVYSSRKKDPSEPDSCEMCEALLTMLVELGKMKQERDVSGAF >Potri.018G085600.1.v4.1 pep chromosome:Pop_tri_v4:18:10307872:10312614:1 gene:Potri.018G085600.v4.1 transcript:Potri.018G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085600.v4.1 MGDFCIKEEISDIPSSRHQFDHPSSECGLLERHGCQIKHVAHNDAVNSLLVDSVGSPSSLDDIFTCLLTLTTYLRRRLVHFIEDLIYQDVNGYSESFVASSSGPHSHSSGHNIYRSNVEGFSLFSEHHIANKSPEIHRTRAAEVREELLVNTRYEARTGCSRRGVSLIIQGLMLPLFGLRLAWRLALASWRCSLYYIRCTQAQVLSITSRVRKTLRGSSDDIGWLQHTRDMAPVEDGTVRFLELLEKIRNGEHNLPNSFVYLLIPGLFSNHGPLYFVGTKRFFSKMGLACHIAKIHSEASVEHNAWELKQYIEELYWGSGKRVILLGHSKGGVDAAAALSIYSSDLKNKVAGLALVQSPYAGTPIASDILREGQIADKETRRIMELLICKIIKGDIRALEDLTYDKRREFISKHQLSKEIPLISFHSEASIAPGVLATMTHIAHAELPWLPLPKFGSEESDDFQAGHQVPVVIPVSAAMAVCALHLQLRYGEKSDGLVTCRDAEVPGSVVVRPDRKLDHAWMVYSSRKKDPSEPDSCEMCEALLTMLVELGKMKQERDVSGAF >Potri.008G183600.3.v4.1 pep chromosome:Pop_tri_v4:8:12719345:12725219:-1 gene:Potri.008G183600.v4.1 transcript:Potri.008G183600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183600.v4.1 MGGKSSKGSRGRDYSSYGSANSSSSWNQYGYPPASSYPSPQHDPYWTQQHHHAPAPNPSYPYESQMPPQQPHKRLDRKYSRIADNYQTLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRRSLHDIRNGQNPYEQAISIIGRTMSAFDEDNLIPCFGFGDASTHDQDVFSFFPEERFCNGFEEVLTRYKEIVPNLRLAGPTSFAPVIEMAITIVEQGGGQYHVLLIIADGQVTRSVDTHHGQLSPQERRTIDAIVKASEYPLSIILVGVGDGPWEMMREFDDNIPARAFDNFQFVNFTEIMSKNVSQSRKEAEFALAALMEIPSQYKATIELGLLGNHHRGNAPERVPLPPPLYGQTSSSKSKASRSSSFQQRVPSYSAYDTPVSGYETTAEKASSLYDTPVSGYETTAERASSSSSVYDNQVCPICLTNPKNMAFGCGHQTCCDCGEDLQVCPICRGPIQARIRLY >Potri.014G041700.1.v4.1 pep chromosome:Pop_tri_v4:14:2724118:2727427:-1 gene:Potri.014G041700.v4.1 transcript:Potri.014G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041700.v4.1 MSGATKLRSAEKQMQEPPRAILGPAGNRVRVSEEAKRKIEVLKKSLQKPKKPVEKMSQAAVKNNLSVDSTCSSDSYSSSSSSGRNVKRNGIKQVKDVPNGGEIKDASSKKDGPVKRCDWITPNSDPLYMSFHDEEWGVPVHDDRKLFELLVFSQALAELSWLAILHMRDIFRKLFDQFDPSSIAQFTEKKLLSLKVNGNLLLSEPKLRAIVENAKQMLKIQQEFGSFSNYCWRFVNQKPLRNGFRYGRQVPAKTPKAELISKDLMQRGFRCVGPTVVYSFMQVAGIANDHLISCFRYQECNADVEKDFKPPKSQEIEIVTKALGNTCFSHN >Potri.005G065100.5.v4.1 pep chromosome:Pop_tri_v4:5:4214473:4221313:-1 gene:Potri.005G065100.v4.1 transcript:Potri.005G065100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065100.v4.1 MKGNFCRLKGRHLSVTLLVLTFTTIFLWAWEKNPFVTTLRSAQEQFNFHTSEFVVDTPKGSSFDSLTPNEHVQGRDSNPTRSEESQIPEKEFDASNFTNSVVPETEDGDADRKPSSKIKEFVVDTPKGSSFDSLIPNEHVQETDSDPTRLEESIIPEKEFDASNFTSSVVSQTEDGDADRKSSSKIKDCNYSKGRWVADSRRPLYSGFECKQWLSEMWACRLTQRTDFSFEGYRWQPENCKMLEFEKSAFLRRMQDRTIAFIGDSLGRQQFQSLMCMASGGERREDIENVGKEYGLVKARGAIRPDGWAYRFSNTNTTILYYWSASLADLEPLNITDKATDVAMHLDRAPAFMRRFLHRFDVLVLNTGHHWNRGKITANRWVMYVNGKPLKDRKLLEIGNAKNFTVHSVARWVDSQLPSHPRLKAFFRTISPRHFRNGDWNTGGNCDNTTPLTGGSEISQDESSDPVIAAAVKGTNITLLDITALSELRDEGHISRYSVKATTGVNDCLHWCLPGIPDTWNELLIAQV >Potri.005G065100.1.v4.1 pep chromosome:Pop_tri_v4:5:4214625:4221259:-1 gene:Potri.005G065100.v4.1 transcript:Potri.005G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065100.v4.1 MKGNFCRLKGRHLSVTLLVLTFTTIFLWAWEKNPFVTTLRSAQEQFNFHTSEFVVDTPKGSSFDSLTPNEHVQGRDSNPTRSEESQIPEKEFDASNFTNSVVPETEDGDADRKPSSKIKEFVVDTPKGSSFDSLIPNEHVQETDSDPTRLEESIIPEKEFDASNFTSSVVSQTEDGDADRKSSSKIKDCNYSKGRWVADSRRPLYSGFECKQWLSEMWACRLTQRTDFSFEGYRWQPENCKMLEFEKSAFLRRMQDRTIAFIGDSLGRQQFQSLMCMASGGERREDIENVGKEYGLVKARGAIRPDGWAYRFSNTNTTILYYWSASLADLEPLNITDKATDVAMHLDRAPAFMRRFLHRFDVLVLNTGHHWNRGKITANRWVMYVNGKPLKDRKLLEIGNAKNFTVHSVARWVDSQLPSHPRLKAFFRTISPRHFRNGDWNTGGNCDNTTPLTGGSEISQDESSDPVIAAAVKGTNITLLDITALSELRDEGHISRYSVKATTGVNDCLHWCLPGIPDTWNELLIAQV >Potri.003G211800.1.v4.1 pep chromosome:Pop_tri_v4:3:20936459:20939038:-1 gene:Potri.003G211800.v4.1 transcript:Potri.003G211800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211800.v4.1 MQGLQIQYLHIMDFQLPYCFFFLLLLLLPFSGNGQAHSNISSGLFLTAASDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDLVQGGSRVQLTRDGELVLNDQSGRTIWSPVFGGSGAAYAAMLDTGNFVLASQADANLWQSFDEPTDTLLPSQNLNSGAQLIAPYLEKNYSEGRYKFILQADGNLILYTTHYPLTTSNFAYWSTQSSIGSGYQVIFNQSGFMYLVARNGTVLNPVFSNSVSMQDLYLRATLDYDGVLRQYVYPKTASSSRSRAMAWTTLSNSIPSNICLRITGQQGGGACGFNSYCRLADDQRPSCKCLPGYTFFDPNDERKGCKKDFISQDCDHPSQEIDSFEIKEMPNTNWPFNDYEMFGSVDEDWCRQACLSDCYCAVAIFNTAGQCWMKRVPLSNGVTDPSVGGKALIKVRKGNSTAGSSAKKCDRSNLITTGSVLLGSSIFLIVLSLLGIYVFFSRWNRQQQKMIPQHRLMPDMNMQNFTYNELERATGGFTEELGRGAFGTVYKGVLANEDKPLIAVKKLDQMAGEGDREFNTEVKVIGRTNHKNLVQLIGFCNEGQHRLLVYEYMSNGSLANFLFGDSRPNWYRRMQIAFDIARGLLYLHEECSSQIIHCDIKPQNILLDKSFNARISDFGIAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPVTTKVDTYSLGILLLELVCCRKNFDIDKKEGQIVLADWAWDCLKEGKLDLLVEDDEEAAEDMKTVERFVIVAIWCLQEDPSLRPEMKKVVLMLEGAVQVSIPPHPSSFISTI >Potri.013G159000.6.v4.1 pep chromosome:Pop_tri_v4:13:15343732:15346904:-1 gene:Potri.013G159000.v4.1 transcript:Potri.013G159000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159000.v4.1 MATPVRSNSRLSLAMERTDQWVFSQDIPTDVVVVVCEATFSLHKFMLVAKSNNIRKLILESKEPALTKIDLSEIPGGPEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDVYCDYNLAGRTEDFLAQVALSSLSGAIVVLKSCEDLLPLAEDLKIVQRCVDVISLKACNEANFPSRTPPNWWTEELSILDIEFIGRILSGMKKRGSKALTLASALITYTERNLRDLVRDQSGKCTKSSDSDDPNLFARERELLQSIVSLLPSEKASFPINFLCCLLRTAIFVNASNSCKNELEKRISVILEHVTVDDLLVMSFTYDGERLFDLDSVRKIISGFVEKEKNMAVFGGADFKGSNSAAMHRVAKTVDSYLGEIASYPDLTISKFNGIAILVPKGARKVDDDLYRAIDIFLKAHPNLDEIEREKVCSVMDPLKLSYEARLHASQNKRLPVQIVLHALYYDQLKLRSGVNDQPDAVATRSQLQSDVSLVRENEALRSELMKMKLYISDMQKNQGSSTKGIAATAAPTTTGSRKHSFFSSMSKTLGKLNPFKHGSKDTFHINDNIGVDITKPRRRRFSIS >Potri.013G159000.5.v4.1 pep chromosome:Pop_tri_v4:13:15343729:15346904:-1 gene:Potri.013G159000.v4.1 transcript:Potri.013G159000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159000.v4.1 MATPVRSNSRLSLAMERTDQWVFSQDIPTDVVVVVCEATFSLHKFMLVAKSNNIRKLILESKEPALTKIDLSEIPGGPEIFEKAAKFCYGVNFEITVHNVAALRCAAEYLQMTDVYCDYNLAGRTEDFLAQVALSSLSGAIVVLKSCEDLLPLAEDLKIVQRCVDVISLKACNEANFPSRTPPNWWTEELSILDIEFIGRILSGMKKRGSKALTLASALITYTERNLRDLVRDQSGKCTKSSDSDDPNLFARERELLQSIVSLLPSEKASFPINFLCCLLRTAIFVNASNSCKNELEKRISVILEHVTVDDLLVMSFTYDGERLFDLDSVRKIISGFVEKEKNMAVFGGADFKGSNSAAMHRVAKTVDSYLGEIASYPDLTISKFNGIAILVPKGARKVDDDLYRAIDIFLKAHPNLDEIEREKVCSVMDPLKLSYEARLHASQNKRLPVQIVLHALYYDQLKLRSGVNDQPDAVATRSQLQSDVSLVRENEALRSELMKMKLYISDMQKNQGSSTKGIAATAAPTTTGSRKHSFFSSMSKTLGKLNPFKHGSKDTFHINDNIGVDITKPRRRRFSIS >Potri.017G123800.1.v4.1 pep chromosome:Pop_tri_v4:17:12826149:12827223:1 gene:Potri.017G123800.v4.1 transcript:Potri.017G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123800.v4.1 MANFLGYDVYDLELTTVKDNSDLRKLLIETTGKSIIVIEDIDCSLDLTGQRKKKEKDENDAETGKEKDPISKKKREAEEESKRTSKVTLSGLEFHRWNLVSMWGRKNYCVHYQLCGQTGSCFNKEGEDGQEHKMSYCRFEAFKVLAKNYLELESHELFGKIEELLGKTDISC >Potri.007G025800.4.v4.1 pep chromosome:Pop_tri_v4:7:1958404:1961986:-1 gene:Potri.007G025800.v4.1 transcript:Potri.007G025800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025800.v4.1 MFRRKHSQNHQENGLAEQEAKQVSELRAALGPLSDRSVKYCTDACLRRYLIARNWNVDKAKKMLEETLKWRATYKPEEIRWHEVAHEGETGKVSRADFHDRSGRTVLIMRPGMQNTTCAEDNVRHLVYLIENGILNLGEGQEQMSWLIDFTGWGLSVKVPIKTARECINILQNHYPERLAVAFLYNPPRIFEAFWKVVKFFLDPITIQKVKFVYPKKENSFELMKSFFDVDNLPNEFGGKATLTYDHEEFSRLMAQDDVKTAKFWGLDEKPSHIANSHSGAQVAPEPTPLALPAS >Potri.007G025800.1.v4.1 pep chromosome:Pop_tri_v4:7:1958416:1962097:-1 gene:Potri.007G025800.v4.1 transcript:Potri.007G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025800.v4.1 MFRRKHSQNHQENGLAEQEAKVSELRAALGPLSDRSVKYCTDACLRRYLIARNWNVDKAKKMLEETLKWRATYKPEEIRWHEVAHEGETGKVSRADFHDRSGRTVLIMRPGMQNTTCAEDNVRHLVYLIENGILNLGEGQEQMSWLIDFTGWGLSVKVPIKTARECINILQNHYPERLAVAFLYNPPRIFEAFWKVVKFFLDPITIQKVKFVYPKKENSFELMKSFFDVDNLPNEFGGKATLTYDHEEFSRLMAQDDVKTAKFWGLDEKPSHIANSHSGAQVAPEPTPLALPAS >Potri.011G052600.4.v4.1 pep chromosome:Pop_tri_v4:11:4192468:4199685:-1 gene:Potri.011G052600.v4.1 transcript:Potri.011G052600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052600.v4.1 MDMLRSDSDWESCSDNGSSSEDIEELDFLYGGRASSIFSSLEESIGKIDDFLSFERGFVHGDIVSSVTDPSGQMGRVVNVNMLVNLENRHGKIIKDVDSKKLLKIRSISVGDYVVHGPWIGRVDKVVDNVTVVFDDGTSCEVTAVDQEKLLPISSNILEDPTYPYYPGQRVRIRLSAVSKSARWLCGVWKENQDVGTVSAVKAGLVYVDWLACALVDLSLPAPQRLQDARNLTLLSCFLHENWQLGDWCMLPLADCKGMNGQIFFDASIIKIIKEDRRIGHGFKGQNPYLNFQDIFVIVKTKTIVDVVWQDGGCSQGLDSQSLLPVNIVNAHDFWPGQFVLEKGACDDPHVSGNQKWGVVNCVDAKERTVMVKWKFIGVNQVNNVGSGQIEETVSAYELVEHPDYSYSYGDIVFKNLDQANKDHVNRETGMNADAPLEGSDHGKDQVDYLCCIGYVTGFEDGSVEVTWASSLKTKVSPNDIFRIDKNEVSAETMVQHEQREEEVNQETVDHDKQFSVLKGKDLLNSISIGDESTKCPWESSSFSLLPQSALGFFSRITGGIFGPFGSTSVSGPVASDLISEDGNEFKTPEEKENPEACDLCMEMQPLVAGDMLRFEGTNLKLEINDDQESKEHRSSSASKRPERFDQFDMVADCSDHHFLDGAGNVPALSQVKRGWLRKVQQEWSILEKNLPESIYVRIYEDRMDLLRAAIVGSNGTPYHDGLFFFDIFLPPGYPHEPPLVHYHSGGLRVNPNLYESGKICLSLLNTWTGTGSEVWNPESSSILQVLLSLQALVLNEKPYFNEAGYDKQIGRAEGEKNSISYNENAFLMTWKSMLYLLRQPPKHFEPLIEEHLKLRSQNILLACKSYLEGAPVAYALDSGSTEHENQKGGSTGFKIMLGKLFPKLVETFSGKGIDCSRFTEEEK >Potri.011G052600.1.v4.1 pep chromosome:Pop_tri_v4:11:4192441:4200299:-1 gene:Potri.011G052600.v4.1 transcript:Potri.011G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052600.v4.1 MDMLRSDSDWESCSDNGSSSEDIEELDFLYGGRASSIFSSLEESIGKIDDFLSFERGFVHGDIVSSVTDPSGQMGRVVNVNMLVNLENRHGKIIKDVDSKKLLKIRSISVGDYVVHGPWIGRVDKVVDNVTVVFDDGTSCEVTAVDQEKLLPISSNILEDPTYPYYPGQRVRIRLSAVSKSARWLCGVWKENQDVGTVSAVKAGLVYVDWLACALVDLSLPAPQRLQDARNLTLLSCFLHENWQLGDWCMLPLADCKGMNGQIFFDASIIKIIKEDRRIGHGFKGQNPYLNFQDIFVIVKTKTIVDVVWQDGGCSQGLDSQSLLPVNIVNAHDFWPGQFVLEKGACDDPHVSGNQKWGVVNCVDAKERTVMVKWKFIGVNQVNNVGSGQIEETVSAYELVEHPDYSYSYGDIVFKNLDQANKDHVNRETGMNADAPLEGSDHGKDQVDYLCCIGYVTGFEDGSVEVTWASSLKTKVSPNDIFRIDKNEVSAETMVQHEQREEEVNQETVDHDKQFSVLKGKDLLNSISIGDESTKCPWESSSFSLLPQSALGFFSRITGGIFGPFGSTSVSGPVASDLISEDGNEFKTPEEKENPEACDLCMEMQPLVAGDMLRFEGTNLKLEINDDQESKEHRSSSASKRPERFDQFDMVADCSDHHFLDGAGNVPALSQVKRGWLRKVQQEWSILEKNLPESIYVRIYEDRMDLLRAAIVGSNGTPYHDGLFFFDIFLPPGYPHEPPLVHYHSGGLRVNPNLYESGKICLSLLNTWTGTGSEVWNPESSSILQVLLSLQALVLNEKPYFNEAGYDKQIGRAEGEKNSISYNENAFLMTWKSMLYLLRQPPKHFEPLIEEHLKLRSQNILLACKSYLEGAPVAYALDSGSTEHENQKGGSTGFKIMLGKLFPKLVETFSGKGIDCSRFTEEEK >Potri.011G052600.5.v4.1 pep chromosome:Pop_tri_v4:11:4192643:4198388:-1 gene:Potri.011G052600.v4.1 transcript:Potri.011G052600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052600.v4.1 MDMLRSDSDWESCSDNGSSSEDIEELDFLYGGRASSIFSSLEESIGKIDDFLSFERGFVHGDIVSSVTDPSGQMGRVVNVNMLVNLENRHGKIIKDVDSKKLLKIRSISVGDYVVHGPWIGRVDKVVDNVTVVFDDGTSCEVTAVDQEKLLPISSNILEDPTYPYYPGQRVRIRLSAVSKSARWLCGVWKENQDVGTVSAVKAGLVYVDWLACALVDLSLPAPQRLQDARNLTLLSCFLHENWQLGDWCMLPLADCKGMNGQIFFDASIIKIIKEDRRIGHGFKGQNPYLNFQDIFVIVKTKTIVDVVWQDGGCSQGLDSQSLLPVNIVNAHDFWPGQFVLEKGACDDPHVSGNQKWGVVNCVDAKERTVMVKWKFIGVNQVNNVGSGQIEETVSAYELVEHPDYSYSYGDIVFKNLDQANKDHVNRETGMNADAPLEGSDHGKDQVDYLCCIGYVTGFEDGSVEVTWASSLKTKVSPNDIFRIDKNEVSAETMVQHEQREEEVNQETVDHDKQFSVLKGKDLLNSISIGDESTKCPWESSSFSLLPQSALGFFSRITGGIFGPFGSTSVSGPVASDLISEDGNEFKTPEEKENPEACDLCMEMQPLVAGDMLRFEGTNLKLEINDDQESKEHRSSSASKRPERFDQFDMVADCSDHHFLDGAGNVPALSQVKRGWLRKVQQEWSILEKNLPESIYVRIYEDRMDLLRAAIVGSNGTPYHDGLFFFDIFLPPGYPHEPPLVHYHSGGLRVNPNLYESGKICLSLLNTWTGTGSEVWNPESSSILQVLLSLQALVLNEKPYFNEAGYDKQIGRAEGEKNSISYNENAFLMTWKSMLYLLRQPPKHFEPLIEEHLKLRSQNILLACKSYLEGAPVAYALDSGSTEHENQKGGSTGFKIMLGKLFPKLVETFSGKGIDCSRFTEEEK >Potri.011G052600.3.v4.1 pep chromosome:Pop_tri_v4:11:4192467:4199795:-1 gene:Potri.011G052600.v4.1 transcript:Potri.011G052600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052600.v4.1 MDMLRSDSDWESCSDNGSSSEDIEELDFLYGGRASSIFSSLEESIGKIDDFLSFERGFVHGDIVSSVTDPSGQMGRVVNVNMLVNLENRHGKIIKDVDSKKLLKIRSISVGDYVVHGPWIGRVDKVVDNVTVVFDDGTSCEVTAVDQEKLLPISSNILEDPTYPYYPGQRVRIRLSAVSKSARWLCGVWKENQDVGTVSAVKAGLVYVDWLACALVDLSLPAPQRLQDARNLTLLSCFLHENWQLGDWCMLPLADCKGMNGQIFFDASIIKIIKEDRRIGHGFKGQNPYLNFQDIFVIVKTKTIVDVVWQDGGCSQGLDSQSLLPVNIVNAHDFWPGQFVLEKGACDDPHVSGNQKWGVVNCVDAKERTVMVKWKFIGVNQVNNVGSGQIEETVSAYELVEHPDYSYSYGDIVFKNLDQANKDHVNRETGMNADAPLEGSDHGKDQVDYLCCIGYVTGFEDGSVEVTWASSLKTKVSPNDIFRIDKNEVSAETMVQHEQREEEVNQETVDHDKQFSVLKGKDLLNSISIGDESTKCPWESSSFSLLPQSALGFFSRITGGIFGPFGSTSVSGPVASDLISEDGNEFKTPEEKENPEACDLCMEMQPLVAGDMLRFEGTNLKLEINDDQESKEHRSSSASKRPERFDQFDMVADCSDHHFLDGAGNVPALSQVKRGWLRKVQQEWSILEKNLPESIYVRIYEDRMDLLRAAIVGSNGTPYHDGLFFFDIFLPPGYPHEPPLVHYHSGGLRVNPNLYESGKICLSLLNTWTGTGSEVWNPESSSILQVLLSLQALVLNEKPYFNEAGYDKQIGRAEGEKNSISYNENAFLMTWKSMLYLLRQPPKHFEPLIEEHLKLRSQNILLACKSYLEGAPVAYALDSGSTEHENQKGGSTGFKIMLGKLFPKLVETFSGKGIDCSRFTEEEK >Potri.001G302300.1.v4.1 pep chromosome:Pop_tri_v4:1:31274567:31276027:-1 gene:Potri.001G302300.v4.1 transcript:Potri.001G302300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302300.v4.1 MESPPFQLHIAFFPFMAQGHIIPTVDMARTFARHGVKATIITTPLNAPLFSRTIERDIEMGSKICILIMKFPSAEAGLPVGCENASSIETLEMVPKFFKAVSLLQQPLEYLLEECRPSCLVADMMFPWATKVASKFGIPRLVFHGTSYFALCVSDCLKRFEPYKSIETDLEPFTVPGLPDKIKLTRLQLPSHVKENSELSKLMDEISRADLESYGVIMNSFHELEPAYSEHYKKVIGRKAWHIGPVSLCNRDTRDKMQRGGVASIDENECLRWLAMKKSRSVLYICFGSMSKSDFSATQLFEIAKALAASGQNFIWAVKNGEKTKGEDREEWLPEGFEKKIQGKGLIIRGWAPQMLILDHEAVGGFMTHCGWNSALEGITAGVPMVTWPLCAEQFYNEKLITDVLKIGVAVGAQEWSRHERKILVKKEEIENAITQLMVGEVAEGLRNRTKALKEMARRATEVEGSSYCDLNALIEDLRAIKSTSY >Potri.001G294600.1.v4.1 pep chromosome:Pop_tri_v4:1:30601559:30605296:-1 gene:Potri.001G294600.v4.1 transcript:Potri.001G294600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294600.v4.1 MAELKSGVLVKFLQEMDVDGNTIRDCKPVLLQIRSIIPVLREGNLWPNQGFFLKISDLTHALYVSLPQEQDELILYDKLHLGQFMYVEKLEAAYPVPMLRGIKPLPGRHPCYGNPKELLSIDNLKNFLGVSSLETMVEDCIEVPKKTETEKPRSLSVPKVSAYEGTGYKTGGLSCITDQGGNDMVKKPGVGSRSISASIMSSREGMPGRAPNYRPHKMEVESALSHRPKKSGAGSNYKGLARHKSTNAYEDSDMESTMSFTSTSSTFKRRSWHGREAPAVDISDTPIDKNDLGMDTRSSRLSISPINSLKYDSSDDNSSSRSKRTVVSLSTKSSKISSKGSRISVPGKISEDSVDRKVNFSSLNNKNSTGTTISCDFLPSTLVKLGQEVSRQRDIAVLAAVEALQEASAAERLLKCLSTYSQLLKAKGNDQQLSVDKFFTLQDDLNNTRLILHSLTSIGQRRTADTDPNAPDAVGEALRLALDRKQNATSWTKAAVASDLNPISDHSKTKTLFLEATCAEKSSTKSIRGKRKGGLMARKQVSNDELYAGLALDMDKQPDWVKGSASPVCAELANSLNDESRTWFLSNLEEYLDWVSSKTNSRKSDSQVAEMMFMIKRVNDWVDNVRRKDGSTLKDSELEACGRVRNKIYEILLKHVERTCQESNG >Potri.005G258700.1.v4.1 pep chromosome:Pop_tri_v4:5:24863172:24869637:1 gene:Potri.005G258700.v4.1 transcript:Potri.005G258700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258700.v4.1 MEKVGAQVAAPMFIHQALSSRYCDLASMAKKRDLSYQMPNFQLQQHHFLETSLEKNWNSKAWDWDSVGFVARPSDAAETSRLGTASRETKKKDESDYKTKSNSANEDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPANGSYPMCQVDNCKENLTTAKDYHRRHKVCEVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLVPGNQDINSNGNLDIVNLLTALARSQGRADDKSTTCTTVPDKDQLIQILSKINSLPLPMDLAAKLSNIASLNGKNPDQPSSAHQNRLHGTASSSSTVDLLAVLSATLAASAPDALAILSQRSSQSSDSDKSKLTGPNQVTGSDLQKRSNIEFPSVGGERVSYCYESPVEDSDCQIQESRPNFPLQLFSSSPENDSPPKLASSRKYFSSDSSNPIEDRSPSSSPPVAQKLFPLQSTAETMKSEKMSISREVNANVEGSRSHACVLPLELFRGSNREPDHGSFQNFPYQGGYTSSSGSDHSPSSQNSDSQDRTGRLIFKLFDKDPSHFPGTLRTQIYNWLSNSPSEMESYIRPGCVVLSVYLSMSSAAWEQLERNLLQQVNSLVQDSDSDLWRSGRFLLNTGGQLASHKDGKIRLCKSWRTWSSPELISVSPVAVVGGQETSLQLKGRNLTSPGTKIHCMHMGGYTLKEITDSTSPGSIYDEINMGGFKIHGPSPSILGRCFIEVENGFKVNSFPVIIADASICKELRLLESEFDEKAKVGDIVSEEQAHDLGRPRSREEVLHFLNELGWLFQRKRESSILEVPDFSLSRFRFLLIFSVERDYCVLVKTILDMLVERNMCRDELSKESLEMLSEVQLLNRSVKRSCRKMVDLLIHYSIVSHDNSSRTYIFPPNVRGPGGITPLHLVACASGSDGLVDALTNDPHEIGLSCWNSLLDANGQSPYAYALMTKNHSYNLLVARKLADKINAQVSVTIGNEIEQPALEQEHGAVSQFQQGRKSCAKCAIVAAKFHKRVPGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPNIGLVAPFKWENLDFGTI >Potri.005G258700.7.v4.1 pep chromosome:Pop_tri_v4:5:24863189:24869631:1 gene:Potri.005G258700.v4.1 transcript:Potri.005G258700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258700.v4.1 MEKVGAQVAAPMFIHQALSSRYCDLASMAKKRDLSYQMPNFQLQQHHFLETSLEKNWNSKAWDWDSVGFVARPSDAAETSRLGTASRETKKKDESDYKTKSNSANEDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPANGSYPMCQVDNCKENLTTAKDYHRRHKVCEVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLVPGNQDINSNGNLDIVNLLTALARSQGRADDKSTTCTTVPDKDQLIQILSKINSLPLPMDLAAKLSNIASLNGKNPDQPSSAHQNRLHGTASSSSTVDLLAVLSATLAASAPDALAILSQRSSQSSDSDKSKLTGPNQVTGSDLQKRSNIEFPSVGGERVSYCYESPVEDSDCQIQESRPNFPLQLFSSSPENDSPPKLASSRKYFSSDSSNPIEDRSPSSSPPVAQKLFPLQSTAETMKSEKMSISREVNANVEGSRSHACVLPLELFRGSNREPDHGSFQNFPYQGGYTSSSGSDHSPSSQNSDSQDRTGRLIFKLFDKDPSHFPGTLRTQIYNWLSNSPSEMESYIRPGCVVLSVYLSMSSAAWEQLERNLLQQVNSLVQDSDSDLWRSGRFLLNTGGQLASHKDGKIRLCKSWRTWSSPELISVSPVAVVGGQETSLQLKGRNLTSPGTKIHCMHMGGYTLKEITDSTSPGSIYDEINMGGFKIHGPSPSILGRCFIEVENGFKVNSFPVIIADASICKELRLLESEFDEKAKVGDIVSEEQAHDLGRPRSREEVLHFLNELGWLFQRKRESSILEVPDFSLSRFRFLLIFSVERDYCVLVKTILDMLVERNMCRDELSKESLEMLSEVQLLNRSVKRSCRKMVDLLIHYSIVSHDNSSRTYIFPPNVRGPGGITPLHLVACASGSDGLVDALTNDPHEIGLSCWNSLLDANGQSPYAYALMTKNHSYNLLVARKLADKINAQVSVTIGNEIEQPALEQEHGAVSQFQQGRKSCAKCAIVAAKFHKRVPGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPNIGLVAPFKWENLDFGTI >Potri.005G258700.5.v4.1 pep chromosome:Pop_tri_v4:5:24863280:24869544:1 gene:Potri.005G258700.v4.1 transcript:Potri.005G258700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258700.v4.1 MEKVGAQVAAPMFIHQALSSRYCDLASMAKKRDLSYQMPNFQLQQHHFLETSLEKNWNSKAWDWDSVGFVARPSDAAETSRLGTASRETKKKDESDYKTKSNSANEDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPANGSYPMCQVDNCKENLTTAKDYHRRHKVCEVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLVPGNQDINSNGNLDIVNLLTALARSQGRADDKSTTCTTVPDKDQLIQILSKINSLPLPMDLAAKLSNIASLNGKNPDQPSSAHQNRLHGTASSSSTVDLLAVLSATLAASAPDALAILSQRSSQSSDSDKSKLTGPNQVTGSDLQKRSNIEFPSVGGERVSYCYESPVEDSDCQIQESRPNFPLQLFSSSPENDSPPKLASSRKYFSSDSSNPIEDRSPSSSPPVAQKLFPLQSTAETMKSEKMSISREVNANVEGSRSHACVLPLELFRGSNREPDHGSFQNFPYQGGYTSSSGSDHSPSSQNSDSQDRTGRLIFKLFDKDPSHFPGTLRTQIYNWLSNSPSEMESYIRPGCVVLSVYLSMSSAAWEQLERNLLQQVNSLVQDSDSDLWRSGRFLLNTGGQLASHKDGKIRLCKSWRTWSSPELISVSPVAVVGGQETSLQLKGRNLTSPGTKIHCMHMGGYTLKEITDSTSPGSIYDEINMGGFKIHGPSPSILGRCFIEVENGFKVNSFPVIIADASICKELRLLESEFDEKAKVGDIVSEEQAHDLGRPRSREEVLHFLNELGWLFQRKRESSILEVPDFSLSRFRFLLIFSVERDYCVLVKTILDMLVERNMCRDELSKESLEMLSEVQLLNRSVKRSCRKMVDLLIHYSIVSHDNSSRTYIFPPNVRGPGGITPLHLVACASGSDGLVDALTNDPHEIGLSCWNSLLDANGQSPYAYALMTKNHSYNLLVARKLADKINAQVSVTIGNEIEQPALEQEHGAVSQFQQGRKSCAKCAIVAAKFHKRVPGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPNIGLVAPFKWENLDFGTI >Potri.005G258700.6.v4.1 pep chromosome:Pop_tri_v4:5:24863245:24869634:1 gene:Potri.005G258700.v4.1 transcript:Potri.005G258700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258700.v4.1 MEKVGAQVAAPMFIHQALSSRYCDLASMAKKRDLSYQMPNFQLQQHHFLETSLEKNWNSKAWDWDSVGFVARPSDAAETSRLGTASRETKKKDESDYKTKSNSANEDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPANGSYPMCQVDNCKENLTTAKDYHRRHKVCEVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLVPGNQDINSNGNLDIVNLLTALARSQGRADDKSTTCTTVPDKDQLIQILSKINSLPLPMDLAAKLSNIASLNGKNPDQPSSAHQNRLHGTASSSSTVDLLAVLSATLAASAPDALAILSQRSSQSSDSDKSKLTGPNQVTGSDLQKRSNIEFPSVGGERVSYCYESPVEDSDCQIQESRPNFPLQLFSSSPENDSPPKLASSRKYFSSDSSNPIEDRSPSSSPPVAQKLFPLQSTAETMKSEKMSISREVNANVEGSRSHACVLPLELFRGSNREPDHGSFQNFPYQGGYTSSSGSDHSPSSQNSDSQDRTGRLIFKLFDKDPSHFPGTLRTQIYNWLSNSPSEMESYIRPGCVVLSVYLSMSSAAWEQLERNLLQQVNSLVQDSDSDLWRSGRFLLNTGGQLASHKDGKIRLCKSWRTWSSPELISVSPVAVVGGQETSLQLKGRNLTSPGTKIHCMHMGGYTLKEITDSTSPGSIYDEINMGGFKIHGPSPSILGRCFIEVENGFKVNSFPVIIADASICKELRLLESEFDEKAKVGDIVSEEQAHDLGRPRSREEVLHFLNELGWLFQRKRESSILEVPDFSLSRFRFLLIFSVERDYCVLVKTILDMLVERNMCRDELSKESLEMLSEVQLLNRSVKRSCRKMVDLLIHYSIVSHDNSSRTYIFPPNVRGPGGITPLHLVACASGSDGLVDALTNDPHEIGLSCWNSLLDANGQSPYAYALMTKNHSYNLLVARKLADKINAQVSVTIGNEIEQPALEQEHGAVSQFQQGRKSCAKCAIVAAKFHKRVPGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPNIGLVAPFKWENLDFGTI >Potri.005G258700.8.v4.1 pep chromosome:Pop_tri_v4:5:24863746:24869547:1 gene:Potri.005G258700.v4.1 transcript:Potri.005G258700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258700.v4.1 MEKVGAQVAAPMFIHQALSSRYCDLASMAKKRDLSYQMPNFQLQQHHFLETSLEKNWNSKAWDWDSVGFVARPSDAAETSRLGTASRETKKKDESDYKTKSNSANEDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPANGSYPMCQVDNCKENLTTAKDYHRRHKVCEVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLVPGNQDINSNGNLDIVNLLTALARSQGRADDKSTTCTTVPDKDQLIQILSKINSLPLPMDLAAKLSNIASLNGKNPDQPSSAHQNRLHGTASSSSTVDLLAVLSATLAASAPDALAILSQRSSQSSDSDKSKLTGPNQVTGSDLQKRSNIEFPSVGGERVSYCYESPVEDSDCQIQESRPNFPLQLFSSSPENDSPPKLASSRKYFSSDSSNPIEDRSPSSSPPVAQKLFPLQSTAETMKSEKMSISREVNANVEGSRSHACVLPLELFRGSNREPDHGSFQNFPYQGGYTSSSGSDHSPSSQNSDSQDRTGRLIFKLFDKDPSHFPGTLRTQIYNWLSNSPSEMESYIRPGCVVLSVYLSMSSAAWEQLERNLLQQVNSLVQDSDSDLWRSGRFLLNTGGQLASHKDGKIRLCKSWRTWSSPELISVSPVAVVGGQETSLQLKGRNLTSPGTKIHCMHMGGYTLKEITDSTSPGSIYDEINMGGFKIHGPSPSILGRCFIEVENGFKVNSFPVIIADASICKELRLLESEFDEKAKVGDIVSEEQAHDLGRPRSREEVLHFLNELGWLFQRKRESSILEVPDFSLSRFRFLLIFSVERDYCVLVKTILDMLVERNMCRDELSKESLEMLSEVQLLNRSVKRSCRKMVDLLIHYSIVSHDNSSRTYIFPPNVRGPGGITPLHLVACASGSDGLVDALTNDPHEIGLSCWNSLLDANGQSPYAYALMTKNHSYNLLVARKLADKINAQVSVTIGNEIEQPALEQEHGAVSQFQQGRKSCAKCAIVAAKFHKRVPGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPNIGLVAPFKWENLDFGTI >Potri.012G097300.1.v4.1 pep chromosome:Pop_tri_v4:12:12108914:12117791:-1 gene:Potri.012G097300.v4.1 transcript:Potri.012G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097300.v4.1 MAHLGGGAEAHARFKQYEYRANCSLVHTTDTRQRDTHEPTGEPESLWGRIDPRSFGDRAHRGRPSELDEKINKAKGKKKERDALSEAVRGCQAKRRRLREESVLTSTEEGVYHPKTKETRAAYEAMLSVIQQQLGGQPLNIVSAAADEILAVLKNESVRTQDKRKEIEKLLNPIPNSMFDQFVSIGKLITDYQDGGDGAGVSVANGDDVLNDNVGVAVEFDEDNEDEEGDSDLDMVPQEEEEEEEDDDVVEAGGSGAMQMGGRIDDDEMRGANEGMNLNVQDIDAYWLQRKISQAYEQQIDPQQCQKLAEEVLKLLAEGDDREVETKLLLHLQFDKFSFIKFLLWNRLKIVWSTRLVRSKDQEERKQIEEEMMGSDPDLAGILEELHATRATAKERQKNLEKSIREEARWLKDGAGGDGDRGRRGLVDRDAESGWLKGQPQLLDLDSIAFEQGAGLLMANKKCDLPVGSFKHQKKGYEEVHVPALKPRAIPPNERFVKISEMPDWAQPAFEGMQQLNRVQSKVYETALFKADNILLSAPTGAGKTNVAVLTILQQIALNRNLDGSFNNNNYKIVYVAPMKALVAEVVGNLSNRLQEYGVQVKELSGDQTMTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKENIRLVGLSATLPNFEDVALFLRVDLEKGLFHFDNSYRPVPLSQQYIGININKPLQRFQLMNDICHEKVMDVAGKHQVLIFVHSRKETAKTARAIRDTALANDTLSRFLREDSASREILQTDSELVKSNDLKDLLPYGFAIHHAGMTRGDRHLVEERFRDRHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEEGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFVSKLADQLNAEIVLGSVQNAREACHWLEYTYLYVRMMRNPTLYGLAPDVLTRDITLEERRADLIHSAATILDKNNLVKYDRKSGYFQVTDLGRIASYYYITHGTMSTYNEHLKPTMGDIELCHLFSLSEEFKYVTVRQDEKMELAKLLDCVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWARLAEKALNLCKMINKRMWSVQTPLRQFHGILNETLMMLEKKDLSWERYYDLKPQEIGELIRFPKMGKTLHKFIHQFPKLNLAAHVQPITRTVLRVELTITADFLWDENAHGYVEPFWVIMEDNNGDSILHHEYFMLKRQSVDEEQVVDPTLNFTVLIHEPLPPQYFIRVVSDKWLGSQTVLPISLRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTASGKTTCAEFAILRNHQKGPECVMRAVYIAPLEVIAKERYRDWERKFGQGLGMRVVELTGETATDLKLLERGQIIISTPEKWDALSRRWKQRKYVQQVNLFIIDELHLIGDQGGPVLEVIVSRMRYISSQIENKIRIVALSSSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTCIVKHAKNGKPAIVFVPTRKHVQLAAVDLMTYSSVDGGEKPAFLLRSEELEPFIGKIQEEMLRATLYHGVGYLHEGLSSLDQEVVCQLFEAGWIQVCVMSSSLCWGLPLSAHLVVVMGTQYYDGQEDARTDYPVIDLLQMMGHASRPLIDNSGKCVILCHAPRKEYYKKFLHEAFPVESHLHHFLHDNFNAEVVAGVIENKQDAVDYLTWTFMYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLADLEKSKCVAIEDDMDLSPLNLGMIASYYYISYTTIERFSSSLTPKTKMKGLLEILSSASEYVQLPIQPGEEEVLRRLINHQRFSFENPRYADRHVKANVLLQAHFSRQSVGGNLALEQREVLLSASRLLQAMIYVISSNGWLNCALLAMEVSQMVTQGMWERDSMLLQLPHFTKELAKKCQENPGKSIETVFDLVEMEDDERRELLQLSDSQVLDIVRFCNQFPNIDMSYEVMDGDNVRAGEDITLLVTLARDLEGTEVGPVDAPRYPKPKEEGWWLVVGDTKSNLLLAIKRVSLQRKSKVKLEFAAPTDAGRMSYTLYFMCDSYLGCDQEYNFSVDVGEAAGPDEDSEGE >Potri.016G117300.1.v4.1 pep chromosome:Pop_tri_v4:16:12279172:12282343:1 gene:Potri.016G117300.v4.1 transcript:Potri.016G117300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117300.v4.1 MEGHLHHQHHNQHHLQQYQLLQQQHHISVNVEASDKFPQWSIQETKEFLMIRAELDPTFMEKKRNKVLWEVISNKMKEKGYNRSAEQCKCKWKNLFTRYKGCETIEPEAMRQQFPFYNELQAIFTSRMQRMLRAEAEGAATGLKKKAVKLSSDDEDKNEEIIEGEKGGSRKKIKKGKAIGGTSSSGNSISLKESLEDFTKQQIQMEMQWREVFEARENERMMKEMEWRQTMKQLENDRIVMERRWREREEQRRVREEARAEKRDALITALLNKLRREDM >Potri.013G128001.1.v4.1 pep chromosome:Pop_tri_v4:13:13529992:13530339:-1 gene:Potri.013G128001.v4.1 transcript:Potri.013G128001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128001.v4.1 MELQNFGRPKGAIQTFPDKYQITAASFPDISDKIFTGVIDNDIKVRDIRKGEVTMTLEGHQDMITGMQLSPDGSYLLMNGMDNKLCIWDMRPFAPQNRCVKIFEGHQHNNFEKNL >Potri.017G058200.1.v4.1 pep chromosome:Pop_tri_v4:17:4660810:4662744:-1 gene:Potri.017G058200.v4.1 transcript:Potri.017G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058200.v4.1 MMPPKRKKWTEVEEKTLIEKYGEMVSDGTLAKMKTREKKYKPIALYVNSVHHVHDPMAYPWQWTWKDVSTKVQNMRHQYMLVKQKIKKPEFSSMENSGCGGGECGNEDEFDWLEGLTHWSNFLRYKEVFGDLPVAYGTNGSTCSDLMGVLNEDRETGGGLLGGVRGMDMAEFGQIGNSADGDFAGIDGGENGVLGLGFDYEGEEAEENCNGNDRVREDGDDGFMYEEPDPNVSNLRKKRKALKGLRKRVFGFLSNQLGQLRDMEARFEQRELERERERRRGESIQVEREREWERKLEEREKEREEMEKAREKLMRQRIQEWEAMEKESEERERRRREDMIQEREWEERMNRKRSEWKKSIDEMLNQHQTEMGQIQSRFLHEQQNLTGQLLGIVSQWTAPTGLSDHTGASGHYLSQMMQNLHHVNGMVHGDSRVDGDTQDDQFIVDG >Potri.005G057000.2.v4.1 pep chromosome:Pop_tri_v4:5:3562183:3565150:-1 gene:Potri.005G057000.v4.1 transcript:Potri.005G057000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057000.v4.1 MAPIILFLLLALSFTASAQRQTNITLGSSLTPITNSSWLSPSGLYAFGFFRQRNGYSLGVFLSGISLKTVVWAARRDDAPVPGNATLLFTSDGRVVLTSAQGGETLIVSASQPASLASMSDSGNFVLYNSDREIIWQSFDHPTDTLLPTQQLKAGAELFSPVSETELSTGIYRLKMQNDGNLVQYPVNTPDTGSYAYFASGTDGAGDNVTLNLDPDGRLYLLNSTGFNIKNITAGGYPTKEAINMMKLDTDGIFRLYSQNLTRNGNRLDVWSSTSNKCDPKGSCGLNGYCVMKDKEAECTCLPGFEFVSQGNWTSGCERDFDAESCKDKNGSSTYTMEELSNTVWEDVSYSVLSSTTKDNCKQACLEDCNCEAALFTGGQYCRKQRLPLRFGRRNLESSNLAVVKVGRPISIMDRKEPITEKKNLGTGRTILIISGSFVAFGLAMVAISGIIIYRYHVLAYKKVPSNDSTGLNEEFAPRAFTYAELENVTGGFKEEIGRGSFGTVYKGIISSNQKVVAVKRLEKVLAEGEREFQNEMKVIGKTHHRNLVRLLGYCHDGNHRLLVYEYMSNGSLADILFSLEKRPCFPERLEIARNIARGIVYLHEECETQIIHCDIKPQNILIDESRCPKVSDFGLAKLLKSDQTKTFTGIRGTRGYVAPEWHRNMPVTVKADVYSFGVMLLEITCCRKNVDWLLPEDEAVLEQWVYQCFQDGDMDKLVGDEIVDKKELDRMVKVGLWCTLDEPSLRPSMKKVLLMLEGTVEIPIPPSPTSFFTAI >Potri.004G168100.3.v4.1 pep chromosome:Pop_tri_v4:4:18606488:18609778:-1 gene:Potri.004G168100.v4.1 transcript:Potri.004G168100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168100.v4.1 MDPFTDFNPPPPPPVPRHVPPTRPNPNPKSSEAEIKDSVSARKIQKADREKLRRDRLNEHFVELGNTLDPDRPKNDKATILADTIQLLKDLTSQVDKLKAEYATLSEESLELTQEKNDLREEKASLKSDIENLNIQCQQRLRAPYPWPAMDHSFMMAPPSYPFPMPVPMPPGAIPLHSSIQPYPFFGNQNPAVIHNPCSTFVPCMAPNTLVDQQSAQHVSSLSQPASRSHVSGEQDLKNKPSGECKIEKSEGSNDVTTDLELKTPGSTADQDLSSGQRKSKKSQRKESSVTERSSSSRCSSSHSVQDSSSNSVVDSTKHDDLDKLE >Potri.004G168100.2.v4.1 pep chromosome:Pop_tri_v4:4:18605922:18609879:-1 gene:Potri.004G168100.v4.1 transcript:Potri.004G168100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168100.v4.1 MDPFTDFNPPPPPPVPRHVPPTRPNPNPKSSEAEIKDSVSARKIQKADREKLRRDRLNEHFVELGNTLDPDRPKNDKATILADTIQLLKDLTSQVDKLKAEYATLSEESLELTQEKNDLREEKASLKSDIENLNIQCQQRLRAPYPWPAMDHSFMMAPPSYPFPMPVPMPPGAIPLHSSIQPYPFFGNQNPAVIHNPCSTFVPCMAPNTLVDQQSAQHVSSLSQPASRSHVSGEQDLKNKPSGECKIEKSEGSNDVTTDLELKTPGSTADQDLSSGQRKSKKSQRKESSVTERSSSSRCSSSHSVQDSSSNSVVDSTKHDDLDKLE >Potri.004G168100.1.v4.1 pep chromosome:Pop_tri_v4:4:18605920:18609841:-1 gene:Potri.004G168100.v4.1 transcript:Potri.004G168100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168100.v4.1 MDPFTDFNPPPPPPVPRHVPPTRPNPNPKSSEAEIKDSVSARKIQKADREKLRRDRLNEHFVELGNTLDPDRPKNDKATILADTIQLLKDLTSQVDKLKAEYATLSEESLELTQEKNDLREEKASLKSDIENLNIQCQQRLRAPYPWPAMDHSFMMAPPSYPFPMPVPMPPGAIPLHSSIQPYPFFGNQNPAVIHNPCSTFVPCMAPNTLVDQQSAQHVSSLSQPASRSHVSGEQDLKNKPSGECKIEKSEGSNDVTTDLELKTPGSTADQDLSSGQRKSKKSQRKESSVTERSSSSRCSSSHSVQDSSSNSVVDSTKHDDLDKLE >Potri.010G152700.8.v4.1 pep chromosome:Pop_tri_v4:10:16209741:16218260:-1 gene:Potri.010G152700.v4.1 transcript:Potri.010G152700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152700.v4.1 MAMEVSLVAQLLNDTLSPDSTVVHTATESLDRFSHSPHFPFSLLSISTGGGNNGQSVAAATYLKNFTRRNINSENPNSKSNVSKEFKDKLMRSLLQVEPPVLKVLVETFRIIIAAEFVKQNNWPELVPELWSAIQNSNLISTGANCEWKTINALTVLQALVRPFQYFLNPKVAREPVPPQLELIAKEILVPILSLFHQLVQKALSDQGRIEMEMEMILLIVCKCIYFTVRSHMPSALVPLLPSFCCNLIGLLGSLSFDHGVVPDDQYFLRLKTGKRTLLIFRALITRHRKYSDKLMPDIINSALKIVRYSTNISKLDFLSERIISLAFDVISNILETGPGWRLVSSHFSFLLDSAILPALVLNEKDVSEWEEDVEEYIRKNLPSELEEISGWREDLFTARKSAMNLLGVISMSKGPPMGTSSNGSSASSKRKKSEKNKSNNQRCSMGELLVLPFLSKFPIPSGTNASEARIINDYFGVLMAYGGLQDFIREQKPGYITTLVQTRLLPLYKIPVSSPYLIASANWVIGELASCLTAEINADVYSSLLKALTMPDNEHTSCYPVRISAAGAIAELLENDYPPPDWLPLLQVVISRINVEDEETLILFQLLSSVVEAGDESVMDHIPFMITSLVGVLSKSIHPRMEAWPQVVERGFATLAVMSQSWENFIPEETEQIESSEKWISGRTVNGKSLSALLEQAWLAPMHPVDGEVRPTPICLDDSSTLLRSVMLSVTGSNAIQQLKLSELLLVWADLIADWHAWEELEDLSVFDCIKEVVTLHSKYGLENFIVRQMPSPPAPPVPQQSIIEGIGAFVSEAISQYPSATWRASSCVHMLLNVPSYSFETENVKQSLVTAFSQAAFSRFREIQSKPCSLWKPLLLVISSCYLCYPDTVESILERASEGGFTIWVSAVALVATGSFEPGLSTKSEIKLTAMTLAKVIERLLGQQKSGVGLSIDCFKSLLEALVRLKEVQDEMEEDEEDGEAEEDGDEEDDDDDNEDSEEDELEETEEEFLERYAKAASALENGVVVEEGDVEDQEHEIELGSLDEADEEKVVLSLIERFHHVLIQGHGIPPQIISSFLDAFPKFSCFFQQ >Potri.010G152700.1.v4.1 pep chromosome:Pop_tri_v4:10:16209719:16218260:-1 gene:Potri.010G152700.v4.1 transcript:Potri.010G152700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152700.v4.1 MAMEVSLVAQLLNDTLSPDSTVVHTATESLDRFSHSPHFPFSLLSISTGGGNNGQSVAAATYLKNFTRRNINSENPNSKSNVSKEFKDKLMRSLLQVEPPVLKVLVETFRIIIAAEFVKQNNWPELVPELWSAIQNSNLISTGANCEWKTINALTVLQALVRPFQYFLNPKVAREPVPPQLELIAKEILVPILSLFHQLVQKALSDQGRIEMEMEMILLIVCKCIYFTVRSHMPSALVPLLPSFCCNLIGLLGSLSFDHGVVPDDQYFLRLKTGKRTLLIFRALITRHRKYSDKLMPDIINSALKIVRYSTNISKLDFLSERIISLAFDVISNILETGPGWRLVSSHFSFLLDSAILPALVLNEKDVSEWEEDVEEYIRKNLPSELEEISGWREDLFTARKSAMNLLGVISMSKGPPMGTSSNGSSASSKRKKSEKNKSNNQRCSMGELLVLPFLSKFPIPSGTNASEARIINDYFGVLMAYGGLQDFIREQKPGYITTLVQTRLLPLYKIPVSSPYLIASANWVIGELASCLTAEINADVYSSLLKALTMPDNEHTSCYPVRISAAGAIAELLENDYPPPDWLPLLQVVISRINVEDEETLILFQLLSSVVEAGDESVMDHIPFMITSLVGVLSKSIHPRMEAWPQVVERGFATLAVMSQSWENFIPEETEQIESSEKWISGRTVNGKSLSALLEQAWLAPMHPVFYKDQDGEVRPTPICLDDSSTLLRSVMLSVTGSNAIQQLKLSELLLVWADLIADWHAWEELEDLSVFDCIKEVVTLHSKYGLENFIVRQMPSPPAPPVPQQSIIEGIGAFVSEAISQYPSATWRASSCVHMLLNVPSYSFETENVKQSLVTAFSQAAFSRFREIQSKPCSLWKPLLLVISSCYLCYPDTVESILERASEGGFTIWVSAVALVATGSFEPGLSTKSEIKLTAMTLAKVIERLLGQQKSGVGLSIDCFKSLLEALVRLKEVQDEMEEDEEDGEAEEDGDEEDDDDDNEDSEEDELEETEEEFLERYAKAASALENGVVVEEGDVEDQEHEIELGSLDEADEEKVVLSLIERFHHVLIQGHGIPPQIISSFLDAFPKFSCFFQQ >Potri.010G152700.7.v4.1 pep chromosome:Pop_tri_v4:10:16209742:16218330:-1 gene:Potri.010G152700.v4.1 transcript:Potri.010G152700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152700.v4.1 MAMEVSLVAQLLNDTLSPDSTVVHTATESLDRFSHSPHFPFSLLSISTGGGNNGQSVAAATYLKNFTRRNINSENPNSKSNVSKEFKDKLMRSLLQVEPPVLKVLVETFRIIIAAEFVKQNNWPELVPELWSAIQNSNLISTGANCEWKTINALTVLQALVRPFQYFLNPKVAREPVPPQLELIAKEILVPILSLFHQLVQKALSDQGRIEMEMEMILLIVCKCIYFTVRSHMPSALVPLLPSFCCNLIGLLGSLSFDHGVVPDDQYFLRLKTGKRTLLIFRALITRHRKYSDKLMPDIINSALKIVRYSTNISKLDFLSERIISLAFDVISNILETGPGWRLVSSHFSFLLDSAILPALVLNEKDVSEWEEDVEEYIRKNLPSELEEISGWREDLFTARKSAMNLLGVISMSKGPPMGTSSNGSSASSKRKKSEKNKSNNQRCSMGELLVLPFLSKFPIPSGTNASEARIINDYFGVLMAYGGLQDFIREQKPGYITTLVQTRLLPLYKIPVSSPYLIASANWVIGELASCLTAEINADVYSSLLKALTMPDNEHTSCYPVRISAAGAIAELLENDYPPPDWLPLLQVVISRINVEDEETLILFQLLSSVVEAGDESVMDHIPFMITSLVGVLSKSIHPRMEAWPQVVERGFATLAVMSQSWENFIPEETEQIESSEKWISGRTVNGKSLSALLEQAWLAPMHPVDQDGEVRPTPICLDDSSTLLRSVMLSVTGSNAIQQLKLSELLLVWADLIADWHAWEELEDLSVFDCIKEVVTLHSKYGLENFIVRQMPSPPAPPVPQQSIIEGIGAFVSEAISQYPSATWRASSCVHMLLNVPSYSFETENVKQSLVTAFSQAAFSRFREIQSKPCSLWKPLLLVISSCYLCYPDTVESILERASEGGFTIWVSAVALVATGSFEPGLSTKSEIKLTAMTLAKVIERLLGQQKSGVGLSIDCFKSLLEALVRLKEVQDEMEEDEEDGEAEEDGDEEDDDDDNEDSEEDELEETEEEFLERYAKAASALENGVVVEEGDVEDQEHEIELGSLDEADEEKVVLSLIERFHHVLIQGHGIPPQIISSFLDAFPKFSCFFQQ >Potri.001G089100.1.v4.1 pep chromosome:Pop_tri_v4:1:7078496:7082449:-1 gene:Potri.001G089100.v4.1 transcript:Potri.001G089100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089100.v4.1 MVANGRCLFFLLLFLSLSPSNSKSVANPQFPCKPPTHNTYSFCNKSLPITRRAQSLISHLTLQEKIQQLSDNASGIPRLGIPHYEWWSESLHGISINGPGVSFKNGGPVTSATGFPQVIVSAASFNRTLWFLIGSAIAIEARAMYNVGQAGLTFWAPNINIFRDPRWGRGQETPGEDPMVASAYAIEFVKGFQGGHWKNGTETESTDRHGIGQKRVLLGEDGEINDDKLMLSACCKHSTAYDLEKWGNFSRYSFNAVVTEQDMEDTYQPPFRSCIQKGKASCLMCSYNEVNGVPACAREDLLQKPRTEWGFKGYITSDCDAVATIFEYQNYSKSPEDAVAIALKAGMDINCGTYVLRNAQSAVEKGKLQEEDIDRALHNLFSVQLRLGLFDGDPRKGQFGKLGPKNVCTKEHKTLALEAARQGIVLLKNDKKLLPLNKKAVSSLAIIGPLANMANSLGGDYTGYPCDPQSLFEGLKAYVKKTSYAIGCLDVACVSDTQFHKAIIVAKRADFVIIVAGLDLSQETEEHDRVSLLLPGKQMSLVSSVAAASKKPVILVLTGGGPLDVSFAKGDPRIASILWIGYPGEAGAKALAEIIFGEYNPGGRLPMTWYPESFTEVSMTDMNMRPNPSRGYPGRTYRFYTGNRVYGFGGGLSYTNFTYKILSAPSKLSLSGSLSSNSRKRILQQGGERLSYINVNEITSCDSLRFYMQILVENVGNMDGGHVVMLFSRVPTVFRGAPEKQLVGFDRVHTISHRSTEMSILVDPCEHLSVANEQGKKIMLLGGHGLMLGDLEHFVTIQIY >Potri.001G089100.4.v4.1 pep chromosome:Pop_tri_v4:1:7078416:7082394:-1 gene:Potri.001G089100.v4.1 transcript:Potri.001G089100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089100.v4.1 MDINCGTYVLRNAQSAVEKGKLQEEDIDRALHNLFSVQLRLGLFDGDPRKGQFGKLGPKNVCTKEHKTLALEAARQGIVLLKNDKKLLPLNKKAVSSLAIIGPLANMANSLGGDYTGYPCDPQSLFEGLKAYVKKTSYAIGCLDVACVSDTQFHKAIIVAKRADFVIIVAGLDLSQETEEHDRVSLLLPGKQMSLVSSVAAASKKPVILVLTGGGPLDVSFAKGDPRIASILWIGYPGEAGAKALAEIIFGEYNPGGRLPMTWYPESFTEVSMTDMNMRPNPSRGYPGRTYRFYTGNRVYGFGGGLSYTNFTYKILSAPSKLSLSGSLSSNSRKRILQQGGERLSYINVNEITSCDSLRFYMQILVENVGNMDGGHVVMLFSRVPTVFRGAPEKQLVGFDRVHTISHRSTEMSILVDPCEHLSVANEQGKKIMLLGGHGLMLGDLEHFVTIQIY >Potri.004G015034.1.v4.1 pep chromosome:Pop_tri_v4:4:1036808:1037945:-1 gene:Potri.004G015034.v4.1 transcript:Potri.004G015034.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015034.v4.1 MKTSLLTAEETERFQEGLRVYKLDLLSLWKFDVPHRDPSLLPRQLRIALGTQKSYKQDAARKEKRRISEAKKRSKTADLANWKPASDKEDNQADRTGGGNSSGDDCVDNSNKAYVHQAFLSDWRPGALSAISSDPLSKEDTNTREHPNNCRPGEAQLWSDNMNGFPYGSSSNQYSLTHIKPSPPNTILPNYQISNMSLSTSKSQIHLRPYRSPKTDSVRIVRLAPDLTPVNLPRLFI >Potri.018G148366.1.v4.1 pep chromosome:Pop_tri_v4:18:15949100:15949456:1 gene:Potri.018G148366.v4.1 transcript:Potri.018G148366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148366.v4.1 MQSVHIPTHLNITNGLGAGLDLTIHCKSKDDDLGQHVVPFGGEYTIDFCTNFWRTTVFFCGMSWSSEFHWFDIYDASRDPYCGDCNWTIQATGPCVDYYKYIWKEFVCYPWNKKVYLH >Potri.006G259101.1.v4.1 pep chromosome:Pop_tri_v4:6:25547265:25548173:1 gene:Potri.006G259101.v4.1 transcript:Potri.006G259101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259101.v4.1 MAFDKSMVIFFLIFMAFFRGVSMAAVYQVGDSAGWTSMGQVDYQEWAASKNFHVGDTLVFNYNNQFHNVKQATQQGFEACNATSPIATYTNGYDTVTLEKLGHFYFICGYPGHCQAGQKIDILVSSPTSSLSPAPSTQTSEPSVASSLYFRYNLSWILGVLLAFCLSGFAY >Potri.005G045800.1.v4.1 pep chromosome:Pop_tri_v4:5:2906175:2909804:1 gene:Potri.005G045800.v4.1 transcript:Potri.005G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045800.v4.1 MSFVGSSSHLPPPLPVHTEHALPLLRNSTPQFVSKYFSKINTMIRTISYLLEDINMDVPATILVTLSAIFSVIWYGWIYAKSMKRSSSLPPGPRGLPLIGNLASLEPDIHSYFAKLAQTHGPIFKLQLGSKLGIVVTSPSLASEVLKDHDITFANRDIPDVSRAMDYGRSNIVATPYGPEWRMLRKVCVAKMLSNATLDSLYPLRSREVRNTIKYIYSHAGSPINVGDQLFLTVFNVVTSMLWGGTVLGKDRASLGAEFRGVVAEMTELLSKPNVSDFFPSLARFDLQGVVKKMRGLAMKFEQIFEKMIDKRLKVDENGTRDAARSRSIECEDFLGFLLKLKDEGDPKTPLTMTHVKALLMDMVVGGTETSSNAVEFAMAEIMRKPEVMRKAQQELDEVIGKDRMVQESDINKLPYLYAIMKESLRLHPVLPLLVPHCPSQTCTVGGYTIPKGVRVFVNVWAIHRDPTVWENPLDFNPERFLNGSSKWDYSGSDLSYFPFGSGRRSCAGIAMAERMFMYFLATLLHCFDWELPEGKEPDLSEKFGIVIKLKNPLVVIPAPRLPDPNLYE >Potri.009G138100.4.v4.1 pep chromosome:Pop_tri_v4:9:11093986:11096913:-1 gene:Potri.009G138100.v4.1 transcript:Potri.009G138100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138100.v4.1 MDVDHYNVLGLPSGEEGAKLTEKEIAKAYKLKALVLHPDKRPDDPNAHENFQKLKLSYEVLKDEKARKLFDDLLRAKREQRARRGQQDAKRRRMVSDLEERERAAFAVDPAEVEKRRVEKIDKELRAQVDKIKAMFANKGVPVVKKETVGVKESRIEEDEKKILNVRWEKVDSEGYSAERLRELFSKFGEVKDVVIRSNKEKKKRGQALVEMATEEAAVAALGNVYGNLSNPLLVLPYGKKVAATTIPTRESDGLNIFSGINHQAYEDNILEKLQKAAENQRQKA >Potri.009G138100.2.v4.1 pep chromosome:Pop_tri_v4:9:11093964:11096881:-1 gene:Potri.009G138100.v4.1 transcript:Potri.009G138100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138100.v4.1 MDVDHYNVLGLPSGEEGAKLTEKEIAKAYKLKALVLHPDKRPDDPNAHENFQKLKLSYEVLKDEKARKLFDDLLRAKREQRARRGQQDAKRRRMVSDLEERERAAFAVDPAEVEKRRVEKIDKELRAQVDKIKAMFANKGVPVVKKETVGVKESRIEEDEKKILNVRWEKVDSEGYSAERLRELFSKFGEVKDVVIRSNKEKKKRGQALVEMATEEAAVAALGNVYGNLSNPLLVLPYGKKVAATTIPTRESDGLNIFSGINHQAYEDNILEKLQKAAENQRQKA >Potri.015G143800.3.v4.1 pep chromosome:Pop_tri_v4:15:14920941:14923925:1 gene:Potri.015G143800.v4.1 transcript:Potri.015G143800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143800.v4.1 MSQDRDLNILLSQNSEQESSFRSSVGGNSSFKSILRQDSMPPKGAVDGITTKNRLHRRTSTDWSMGSRSDGSLVGSTNSPEQSLPREFQEASDETVERLKSELSSLMRQSELSELELQTLRKQITKESRRGQDLSRQVKELEEERDELKTECEQVKSSRKSVEEESLNQLRAEYEDSLVQLEEVRRELSHQKDLNTNLKLQLQKTQDSNSELILAVGDLDEMLEEKKGEISCLSSKLDEVQEKNCKCSMKEDTDQHAVLAPEEKAREDDELCLLKQRVIDLSDEIEVHRENREKLENYIEQLTQDYENLKQENYDVSSKLEQSKIQEHKSSESLATIKELESQVQRLEERLKTQTQEFSESLVSINELEIQVKGLGKELEKQAQGFENDLDAMTHARIEQEQRAIRAEEALRKTRWKNAVTAERIQEEFRKLSVEMAGKFDENEKLTKKSISEADELRAQNIILEENLQKANEELAVVMDQKGVKMEELSVQLDLKTKHVEQMSVELEDASNQLKQGGEMQEAFQVEIQMLKKEIETLRKEKNDISEQENVNLRDETEKLKTSCEETNILTERWKREREEIEEKFASTKKEAENTRQELFNVRSLKDEKEAMIKNLSSQLQSLRDQQIALKHSLSEEECEKEKLQQQVIKLKGELQKKEHGNTSVMEKLSFSDEKNLTPMDDEMQMNGRKGIERKARTCSKEELVVGTFHLMDEGNLTELLTEMAQLKEKNKCMEIELKEMQERYSEISLKFAEVEGERQQLVMTVRNLKNGKKS >Potri.015G143800.1.v4.1 pep chromosome:Pop_tri_v4:15:14919439:14923923:1 gene:Potri.015G143800.v4.1 transcript:Potri.015G143800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143800.v4.1 MFKSWRNDKKKIKATFKLQFQATQVPHLKKPALTISLVPEDVGKTTFKLEKAAVQDGICSWDNPVYVTVKLIKEPKSGILHEKIYHFIVASGSSKSGYLGEASIDFADFADEPEPLTVSLPLKFANSGAVLHVTIQKMQGDVDPRKIGDNGDPVLSQDRSLKSQQSNDHTDENDESFTEDRDLNILLSQNSEQESSFRSSVGGNSSFKSILRQDSMPPKGAVDGITTKNRLHRRTSTDWSMGSRSDGSLVGSTNSPEQSLPREFQEASDETVERLKSELSSLMRQSELSELELQTLRKQITKESRRGQDLSRQVKELEEERDELKTECEQVKSSRKSVEEESLNQLRAEYEDSLVQLEEVRRELSHQKDLNTNLKLQLQKTQDSNSELILAVGDLDEMLEEKKGEISCLSSKLDEVQEKNCKCSMKEDTDQHAVLAPEEKAREDDELCLLKQRVIDLSDEIEVHRENREKLENYIEQLTQDYENLKQENYDVSSKLEQSKIQEHKSSESLATIKELESQVQRLEERLKTQTQEFSESLVSINELEIQVKGLGKELEKQAQGFENDLDAMTHARIEQEQRAIRAEEALRKTRWKNAVTAERIQEEFRKLSVEMAGKFDENEKLTKKSISEADELRAQNIILEENLQKANEELAVVMDQKGVKMEELSVQLDLKTKHVEQMSVELEDASNQLKQGGEMQEAFQVEIQMLKKEIETLRKEKNDISEQENVNLRDETEKLKTSCEETNILTERWKREREEIEEKFASTKKEAENTRQELFNVRSLKDEKEAMIKNLSSQLQSLRDQQIALKHSLSEEECEKEKLQQQVIKLKGELQKKEHGNTSVMEKLSFSDEKNLTPMDDEMQMNGRKGIERKARTCSKEELVVGTFHLMDEGNLTELLTEMAQLKEKNKCMEIELKEMQERYSEISLKFAEVEGERQQLVMTVRNLKNGKKS >Potri.015G079600.1.v4.1 pep chromosome:Pop_tri_v4:15:10583574:10585421:-1 gene:Potri.015G079600.v4.1 transcript:Potri.015G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079600.v4.1 MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVFVDGKVRTDKTYPSGFMDVVSIPKTNESFRLLYDTKGRFRLHSLREDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLENYKIVDYIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWVSLPKGKGIKLSIIEEAKKRLAASQNAA >Potri.003G173900.1.v4.1 pep chromosome:Pop_tri_v4:3:18165633:18170624:1 gene:Potri.003G173900.v4.1 transcript:Potri.003G173900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173900.v4.1 MHRFTSSLASKARIARSTTKQIGSRLSWSRNYAAKDIRFGVEARAVMLKGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDAAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGISMAVESVVTNLKSRTRMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLSNELEVVEGLKLDRGYISPYFITDQKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKATLQDLAVLTGAEVITEELGLNLEKVDLDMLGSCKKVTVSKDDTVILDGAGDKKSIEERCEQIRSAVESSTSDYDKEKLHERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGAALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIATNAGVEGAVVVGKLLEQDNPDLGYDAAKDEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVITELPKDENDAPAMGPGMGMDY >Potri.003G173900.3.v4.1 pep chromosome:Pop_tri_v4:3:18165789:18170586:1 gene:Potri.003G173900.v4.1 transcript:Potri.003G173900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173900.v4.1 MHRFTSSLASKARIARSTTKQIGSRLSWSRNYAAKDIRFGVEARAVMLKGVEELADAVKVTMGPKGRNVVIEQSFGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDAAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGISMAVESVVTNLKSRTRMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLSNELEVVEGLKLDRGYISPYFITDQKTQKCELDDPLILIHDKKVSNLHAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCAIKAPGFGENRKATLQDLAVLTGAEVITEELGLNLEKVDLDMLGSCKKVTVSKDDTVILDGAGDKKSIEERCEQIRSAVESSTSDYDKEKLHERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGAALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIATNAGVEGAVVVGKLLEQDNPDLGYDAAKVSPR >Potri.005G077300.1.v4.1 pep chromosome:Pop_tri_v4:5:5257133:5259519:-1 gene:Potri.005G077300.v4.1 transcript:Potri.005G077300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077300.v4.1 MAAAIDIYNTTVPVFSDPCREELMKALEPFMKSASPSPTSTYSSPSPSTSSPPFSSHPSCFYNNNSLISSYPNLDLSFCSPTSTQMFSNGFLDYNQMGFEQTGPIGLNHLTPSQILQIQAKIHFQQQQQQKMENLATTTSQFVHNQRASNFLAPKPVPMKQSAASPQKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTYDTAEEAALAYDNAAYKLRGEYARLNFPHLRHQGAHVSGEFGDYKPLHSSVDAKLQAICQSLGLQKQGKTREPSSVANSKKTATAPLQAKIEDDCSLRGELKTEYENFGVEDYKVEIPSPSPASSDESLAGSSSPESEISFLDFSGSLQWDEFENFGLEKYPSVEIDWSSI >Potri.006G157600.2.v4.1 pep chromosome:Pop_tri_v4:6:14352282:14355274:-1 gene:Potri.006G157600.v4.1 transcript:Potri.006G157600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157600.v4.1 MAQAARLNLRMQKELKLLLTDPPPGASFPFLSTASDFSSLSTIDAQIEGPEGSVYAKGIFSIKIQIPDRYPFQPPSVTFATPIYHPNIDNGGRICLDILNLPPKGAWQPSLNISTVLTSIGLLLCEPNPDDGLMCEASREYKYNRLVFDQRAQAMTEKYAKPGANIGSCSTQCIQSDTNSSPMVEIKGPDKESTNQNKDFVSNHKKPSGIGGKLSLEFATSACNKGSDGDVNNKQNHDLLFDFRNHTETKGEGGESIVMPEEYNVKYEKPSGIGKKLSLESSVRFPEHNTQNINPKQYQSFCNPQTAPMTSLDLLVLHVGNCNEQRLHKQHDKISTFDSESTSSDNLCQVSLKMLSGALDAYQTNDGINEEILVTPELLPSQSHSNSTPETLLVASSFKHNELPCRDSFIGIDNTTINARCNKPPPVSKKLSLGFKTSSQGQEKDDEENIVPIHELPFSNPQTAKKIGISQKLSLGPLTQLQGSNEDNSRLLLHSQNLFPDTLQKQQSIQHQIRKIDKGIESSGGDSPVAESVIVLDSEDSEEDINGSARFELSLVRKCMKKRKSCA >Potri.006G157600.3.v4.1 pep chromosome:Pop_tri_v4:6:14352284:14355273:-1 gene:Potri.006G157600.v4.1 transcript:Potri.006G157600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157600.v4.1 MCEASREYKYNRLVFDQRAQAMTEKYAKPGANIGSCSTQCIQSDTNSSPMVEIKGPDKESTNQNKDFVSNHKKPSGIGGKLSLEFATSACNKGSDGDVNNKQNHDLLFDFRNHTETKGEGGESIVMPEEYNVKYEKPSGIGKKLSLESSVRFPEHNTQNINPKQYQSFCNPQTAPMTSLDLLVLHVGNCNEQRLHKQHDKISTFDSESTSSDNLCQVSLKMLSGALDAYQTNDGINEEILVTPELLPSQSHSNSTPETLLVASSFKHNELPCRDSFIGIDNTTINARCNKPPPVSKKLSLGFKTSSQGQEKDDEENIVPIHELPFSNPQTAKKIGISQKLSLGPLTQLQGSNEDNSRLLLHSQNLFPDTLQKQQSIQHQIRKIDKGIESSGGDSPVAESVIVLDSEDSEEDINGSARFELSLVRKCMKKRKSCA >Potri.003G177600.1.v4.1 pep chromosome:Pop_tri_v4:3:18419001:18421463:1 gene:Potri.003G177600.v4.1 transcript:Potri.003G177600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177600.v4.1 MSSQRNGSEAAPYHVLHKLPPGDSPYVRAKHVQLVEKDPGAAIALFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAIKAFRDRCSKQAQESLDNVLIDLYKKCGKIEEQIELLKQKLRMIHQGEAFNGKATKTARSHGRKFQVTVKQETSRILGNLGWAYMQKGNYLAAEVVYRKAQSIDPDANKACNLGLCLIKQTRYAEAQAVLDDIFRGKLLGSDEPKSRNRAQELLCELETSQSSSMFSEPSGSSLEDVFVEGLDQLTSQWTPYRSRRLPIFEEISSFRDQLAC >Potri.014G196200.1.v4.1 pep chromosome:Pop_tri_v4:14:17677549:17679057:-1 gene:Potri.014G196200.v4.1 transcript:Potri.014G196200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196200.v4.1 MDSGGEIRIHQQRGLPDFLQSVNLKYVKLGYHYLISNLLTLCFIPLIIIISIESSQMNIKDLRQLWIHLQYNLVTVITCSAFLVFGFTVFIMTRPRPVYLIDYSCYRAPDHLKAPFNQFMEHSRLTGDFDDTSLEFQRKILERSGLGEETYVPEAMHYIPPRPSMAAAREEAEQVMFGALDNLFTNTQVNPKSIGILVVNCSLFNPTPSLSAMIVNKYRLRGNIRSFNLGGMGCSAGVIAIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNESADKRKGKYKLVHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLVVKKLLNKKMMPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYIEAKQRMQKGNRVWQIAFGSGFKCNSAVWEALRDVKPSCNSPWEDCIDMYPVKLVA >Potri.014G196200.2.v4.1 pep chromosome:Pop_tri_v4:14:17677329:17678892:-1 gene:Potri.014G196200.v4.1 transcript:Potri.014G196200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196200.v4.1 MNIKDLRQLWIHLQYNLVTVITCSAFLVFGFTVFIMTRPRPVYLIDYSCYRAPDHLKAPFNQFMEHSRLTGDFDDTSLEFQRKILERSGLGEETYVPEAMHYIPPRPSMAAAREEAEQVMFGALDNLFTNTQVNPKSIGILVVNCSLFNPTPSLSAMIVNKYRLRGNIRSFNLGGMGCSAGVIAIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNESADKRKGKYKLVHVVRTHRGADDKAFRCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFATLVVKKLLNKKMMPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPVHVEASRMTLHRFGNTSSSSIWYELAYIEAKQRMQKGNRVWQIAFGSGFKCNSAVWEALRDVKPSCNSPWEDCIDMYPVKLVA >Potri.013G117000.1.v4.1 pep chromosome:Pop_tri_v4:13:12538176:12539347:1 gene:Potri.013G117000.v4.1 transcript:Potri.013G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117000.v4.1 MASALQNAAVSLQSKIPPSARLPTNFTSPKPLNLSFSATFPSLNLSTTTTLRLRGGSALGTKMSAAAAGSYAAALADVAKSNNTLDATSSDMGKVDKLFSDTAVYDFFANPTVDTEKKRQMVDEFAKSSPLQPHTVNFVNILIDAKRIDLVKDIVKEFEMVYNSLTDTELAVVSSVVPLESQHLAQIAKQVQKLTGAKNVRVKPVIDPSLVAGFTVRYGNSGSKLIDMSVKKQLEEIAAQLDLSDIELAA >Potri.011G103966.1.v4.1 pep chromosome:Pop_tri_v4:11:13201454:13201825:1 gene:Potri.011G103966.v4.1 transcript:Potri.011G103966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103966.v4.1 MFENQTLVHILSMPRAKKCLGQKELRMRMRRTGKADAIKMTSIIQKVMHEHRNLDSNKYAVCNSMLTENNTILICSGSVYLLHWHADAEEKTFSVLRSDSRNISKSIYLWLDRSKNYPSPSLV >Potri.014G070800.1.v4.1 pep chromosome:Pop_tri_v4:14:4509286:4510188:1 gene:Potri.014G070800.v4.1 transcript:Potri.014G070800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070800.v4.1 MDPVSAHGRPLPPPFHTRDFHLHQFQHHQQQNSEDEQSGNGDLNRGQKREHDEINNNNNTVEGLELVPSSSGGEGEISRRPRGRPAGSKNKPKPPIIITRDSANALRSHVMEIATGSDIMESVSTFARRRQRGVCILSGTGTVTNVTLKQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTVYLAGGQGQVIGGSVAGPLLASGPVVVMAASFGNAAYERLPLEEDIESQTPMLGSGPLGSPGINNIGQQQQNQQQQQLMQDPKTSLFQGLPQNLLNSVQLPAEAYWGTGGRPPY >Potri.006G153900.1.v4.1 pep chromosome:Pop_tri_v4:6:13713506:13716575:-1 gene:Potri.006G153900.v4.1 transcript:Potri.006G153900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153900.v4.1 MSGQATPPAPELAEMAISDGPSLKHPFSDRAPVRSIVCRPDGGAGLAGQRVRAGGWVKTGREQGKGSFAFLEVNDGSCPANLQVIVDAGVADLSTLVQTGTCVLVEGLLKAPPEGTKQKIELRVDKVLHVGPTDPASYPIPKTKLSLEFLRDHIHLRARTNTISAVARIRNALAFATHSFFQEHGFLYVHTPIITTSDCEGAGEMFQATTLISEAEKLEKELILNPPPTEADLEAAKLTVGEKGNIVSQLKAAKATKEEISASVADLKIAKENLSRVEERAKLKPGIPKKDGKIDYGQDFFSRQAFLTVSGQLQVETYACAVSSVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLQDDMNCAEAYVKYMCQWLLNKCFDDMELMAKLYDKGCIDRLRMVSSTPFERISYTEAVQLLEEAVRGGKEFEKNVEWGIDLASEHERYLTEDIFKKPVIVYNYPKGIKAFYMRLNDDSKTVAAMDILVPKVGELIGGSQREERYEVIQQRIAEMGLPIEPYEWYLDLRRYGTVKHCGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL >Potri.006G228100.3.v4.1 pep chromosome:Pop_tri_v4:6:23207159:23210315:-1 gene:Potri.006G228100.v4.1 transcript:Potri.006G228100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228100.v4.1 MAEKPEPVKVLYCPLCSLPAEYCEFGPDFEKCKPWLIINAPELYPDLLKEANEKEAERVSEQLQSAGISSSGADGAASFVQSGVTSSSKQEEVKRLPGGKIKKKARQEVVIEKVVRNKRKCITIIKGLDLFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVEFITETWPDVPETAIFFIEDGKKVPAA >Potri.006G228100.4.v4.1 pep chromosome:Pop_tri_v4:6:23206956:23210294:-1 gene:Potri.006G228100.v4.1 transcript:Potri.006G228100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228100.v4.1 MAEKPEPVKVLYCPLCSLPAEYCEFGPDFEKCKPWLIINAPELYPDLLKEANEKEAERVSEQLQSAGISSSGADGAASFVQSGVTSSSKQEEVKRLPGGKIKKKARQEVVIEKVVRNKRKCITIIKGLDLFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVEFITETWPDVPETAIFFIEDGKKVPAA >Potri.001G012300.1.v4.1 pep chromosome:Pop_tri_v4:1:848129:851721:1 gene:Potri.001G012300.v4.1 transcript:Potri.001G012300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012300.v4.1 MATSLEELLAKEGFRAGRSGTRARPAFKGEAASMPRYPSGDQGKKDSPSGPSISRIKTERTRSDVTRYTLRGESPVSNSSLGWRPRDDLVRREKIDSRLKTELRCRGSKDVQEDKTLNSDTLEDVKGSEIVEVGVEEDGRFKDIYSDKAYYSERKERSSKGNGSSERYKERKGKDKKVTERRGSNSNEKLLKYTGLSNNNRKSMDQVEAAYESSVRGSKNANGFEDDQRTKNEKSAPAVPEIALDEVAVKAVTSILNGYIKRFLKDAKFRTTLRRNCFSSLAFIETEEGDSIESMAKANLEQAIATVEKVSEAAARANDLKTAALQLSVITGLNSNDLKDEYTSGTPNSRLSACAHIYLSVIYKLQKKDKASAKHLLQVFCDSPFLARTLLLSELWDYLFFPHLSHLKTWYKQEADALFRKPSKITKLKFLAKVYNETLDSCTYQFAVYYKDWLTEGVEAPSIPSVDIPFTSQQGGSRDHSSGPASPSAPFSPQPTVSKKLYDAVFGRSSKPRVHEAEDNGKAENFNNGAHISGSSPIEIKHTVTISFKMVTYPGQDIENHSPENVPDNTSIPGKGLLTASNKEWKLVKVSVSPGTDLNADTCNSSAREEPEGDTTHMLNSSSHTKENELALKTLAKSVFELQRTEDSGDPTVSDLSHSKKAINADASSEGPNGSHEHFDEGSIFDSIPHDFICPLTGQLFEDPVTLETGQTFEREAIREWFDQGNKTCPVSGKTLACSTVPLTNSILKLVIDSWKLVKE >Potri.001G012300.2.v4.1 pep chromosome:Pop_tri_v4:1:847976:851717:1 gene:Potri.001G012300.v4.1 transcript:Potri.001G012300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012300.v4.1 MATSLEELLAKEGFRAGRSGTRARPAFKGEAASMPRYPSGDQGKKDSPSGPSISRIKTERTRSDVTRYTLRGESPVSNSSLGWRPRDDLVRREKIDSRLKTELRCRGSKDVQEDKTLNSDTLEDVKGSEIVEVGVEEDGRFKDIYSDKAYYSERKERSSKGNGSSERYKERKGKDKKVTERRGSNSNEKLLKYTGLSNNNRKSMDQVEAAYESSVRGSKNANGFEDDQRTKNEKSAPAVPEIALDEVAVKAVTSILNGYIKRFLKDAKFRTTLRRNCFSSLAFIETEEGDSIESMAKANLEQAIATVEKVSEAAARANDLKTAALQLSVITGLNSNDLKDEYTSGTPNSRLSACAHIYLSVIYKLQKKDKASAKHLLQVFCDSPFLARTLLLSELWDYLFFPHLSHLKTWYKQEADALFRKPSKITKLKFLAKVYNETLDSCTYQFAVYYKDWLTEGVEAPSIPSVDIPFTSQQGGSRDHSSGPASPSAPFSPQPTVSKKLYDAVFGRSSKPRVHEAEDNGKAENFNNGAHISGSSPIEIKHTVTISFKMVTYPGQDIENHSPENVPDNTSIPGKGLLTASNKEWKLVKVSVSPGTDLNADTCNSSAREEPEGDTTHMLNSSSHTKENELALKTLAKSVFELQRTEDSGDPTVSDLSHSKKVSFHFSWQEILNS >Potri.003G068700.1.v4.1 pep chromosome:Pop_tri_v4:3:9570155:9572712:-1 gene:Potri.003G068700.v4.1 transcript:Potri.003G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068700.v4.1 MEPPENYSTDTSFAVPFEDAQKIILRWDSTASEEARERMIFDGDRQEVDLYLQAVDEIQKSMSSTSISSDHHDQDSNDNKVTSAIQIAMARLEDEFRNILINHTSPVELDSIIISDRASLNHYTSSVRSITEFDQEEVRRGGGDHDDGLDPIQRADSTNSSASYRSTSSIREIDLIPLEAAADLQSIAKRMISAGYFRECIQVYGSVRKSALDASFRRLGIEKLSIGDIQRLEWETLETKIRRWIRAAKVCVRILFASEKQLCEEIFYGIGTAIDDACFMETVKGPAIQLFNFAEAISISRRSPEKMFKILDLHDALMGLSPDIDVVFESKSADSVRVQAAEILSRLAEAARGILSEFESAVLREPSTVAVPGGTIHPLTRYVMNYISLISDYKQTLIELIMSKPSTGSRYSGDPTTPDMEFAELEGKTPLALHLIWIIVILQFNLEGKSKHYKDASLAHLFMMNNVHYIVQKVKGSPELREMIGDDYLRKLTGKFRQAATSYQRATWVSVLYCLRDEGLHVSGSFSSGVSKSALRERFKTFNAMFEEVHRTQATWLIPDSQLREELRISISEKLIPAYRSFLGRFRSHIESGKHPENYIKYSVEDLENAVLDFFEGYPVSQHLRRRSQ >Potri.010G203500.1.v4.1 pep chromosome:Pop_tri_v4:10:19539856:19543396:-1 gene:Potri.010G203500.v4.1 transcript:Potri.010G203500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203500.v4.1 MGRKFFVGGNWKCTGTTEEVKKIVSTLNDAQVPSSDVVEVVVSPPYVFLPLVKSSLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLGIPWVILGHSERRSLLNESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTMEVVAAQTKAIAARVSNWADVVLAYEPVWAIGTGKVASPAQAQEVHFELRKWLHANTSPEVAATTRIIYGGSVNGANCKELAAKPDVDGFLVGGASLKPEFIDIIKSAEVKKSA >Potri.009G056301.2.v4.1 pep chromosome:Pop_tri_v4:9:5975285:5979710:-1 gene:Potri.009G056301.v4.1 transcript:Potri.009G056301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056301.v4.1 MMVDIHINIVVNNNNSQSGDSHMSTMRRLNLTSPLRIVINGSSRMAISSPPQPPLHQPHNCDWSFDFYFPPLNIIASVLRLAWTAEVMRHVINLTVSEVLFVPAIEELIIITRGLILLEGEDEFMFACAHCCLGIMESTFRHGNDWAFVLIAAHGKGFGQASHDARQLFERQGMEPIVDSDITQLNLLPHRSMRWFYLRYCCGCLDCQRTSNLNCHSLAPVFFNWIPHGLSWHCPDNSFFSSVTVAIIDCLNKTIKDNQSRIKPSVPTTRVNS >Potri.015G120800.1.v4.1 pep chromosome:Pop_tri_v4:15:13486377:13487481:1 gene:Potri.015G120800.v4.1 transcript:Potri.015G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120800.v4.1 MSYYNNQYQAPGGMYAPPPPGSYNPPNHQVYPPPPQSYPPPIQGYPQGHYVAPPPMGYPMKNGPQYPQQPPPPPETKHRGDGFCSGCCAALCCCCLLDMCF >Potri.015G120800.2.v4.1 pep chromosome:Pop_tri_v4:15:13484428:13487219:1 gene:Potri.015G120800.v4.1 transcript:Potri.015G120800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120800.v4.1 MYAPPPPGSYNPPNHQVYPPPPQSYPPPIQGYPQGHYVAPPPMGYPMKNGPQYPQQPPPPPETKHRGDGFCSGCCAALCCCCLLDMCF >Potri.003G053301.2.v4.1 pep chromosome:Pop_tri_v4:3:7857900:7863228:1 gene:Potri.003G053301.v4.1 transcript:Potri.003G053301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053301.v4.1 MSSPAPGVSPVPNNSTSPPPVSSLTSPPPPDTTVSQAPPPTNSSGALVPPPPAAGSKAPSSPVLAALIVGVILGVLAGVGISVYVYRRKKRKEAQRLLLGGQPSQVASKDDGLPLHWQPNMAPAADNKIMMWPKPTIPQGAPPNYQLQPMLGIFAEQPSTSSGMGSDKQVISHTSGISLGYSQTTFTSEELAMATDNFSNANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFQAEIEIISRVHHRHLVSLVGYCITGSQRMLVYEFVPNYTLEFHLHGNGNPTMSWSTRMRIAVGSAKGLTYLHEDCQPKIIHRDIKAANILIDQSFEAKVADFGLARYSLDTETHVSTRVMGTFGYMAPEYASSGKLTEKSDVYSFGVVLLELISGRRPVDRTQSYIDDSIVDWVSICFSALAYNSAKLSNQSLYH >Potri.003G053301.1.v4.1 pep chromosome:Pop_tri_v4:3:7858020:7863244:1 gene:Potri.003G053301.v4.1 transcript:Potri.003G053301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053301.v4.1 MSSPAPGVSPVPNNSTSPPPVSSLTSPPPPDTTVSQAPPPTNSSGALVPPPPAAGSKAPSSPVLAALIVGVILGVLAGVGISVYVYRRKKRKEAQRLLLGGQPSQVASKDDGLPLHWQPNMAPAADNKIMMWPKPTIPQGAPPNYQLQPMLGIFAEQPSTSSGMGSDKQVISHTSGISLGYSQTTFTSEELAMATDNFSNANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFQAEIEIISRVHHRHLVSLVGYCITGSQRMLVYEFVPNYTLEFHLHGNGNPTMSWSTRMRIAVGSAKGLTYLHEDCQPKIIHRDIKAANILIDQSFEAKVADFGLARYSLDTETHVSTRVMGTFGYMAPEYASSGKLTEKSDVYSFGVVLLELISGRRPVDRTQSYIDDSIVDWARPLLKQALEDSNYDAVVDPKLQDYDSNEMVRMICCAAACVRHLARFRPRMSQIVRALEGNMPLDELNEGITPGLSSVYSSASSDYSNRQHEEDMKKFRKLALESLEHDSTVNPPASTSSQECSAGESDQNPFSASTEGRQTTQEMDSQRMEKNIKDTQERS >Potri.013G028900.1.v4.1 pep chromosome:Pop_tri_v4:13:1879306:1880254:1 gene:Potri.013G028900.v4.1 transcript:Potri.013G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028900.v4.1 MSSKEGGASTKGGRGKPKASKSVSRSQKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKMGKGKGDIGSASQEF >Potri.005G157901.1.v4.1 pep chromosome:Pop_tri_v4:5:15124603:15125654:1 gene:Potri.005G157901.v4.1 transcript:Potri.005G157901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157901.v4.1 MIYNCNNNSDNNQNNNDGVGGGSGSGSGSSGDDDGSRSGGSSINGGGSSDTNGDGGGRSSDDSDNNDNDNDITTANNSGSGSSNNNNSSSSRGGGSHSINDSSGNSTNSRSSNNNDISNSSGSRSKRRRRSKSSNGSGSLTSSGSNSSSGSCGGGGRSSRSSNNSSSSSSSSSSSSNISRGSDSSRSSSGGGGDGSGNSGGGGGGSRDSDDNSGGGGGGGNGNNN >Potri.006G072400.1.v4.1 pep chromosome:Pop_tri_v4:6:5264803:5267137:-1 gene:Potri.006G072400.v4.1 transcript:Potri.006G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072400.v4.1 MAVDLVRYSKMEDQMAIQEAASAGLESMEHLIFAFSNQTRQSHQLDCGEITNFTVAKFKQVISMLNRTGHARFRRGPTSSPSSYPVPVRPVPQEPQKLNLDFVNSNSPPKAESKNDLSLGSQYSKDSLSSGTTTSSFVSSVTADGSVSNGKQGGSSLFGTQARSTGKPPLSSTHRKKCHDHALSARKISSGGSCHCSKRRKSRVKRTIRVPAVSSKIADIPADEYSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAVDDSAMLIVTYEGEHRHSHTPLPGDVTASAAMRHVFHST >Potri.017G009100.2.v4.1 pep chromosome:Pop_tri_v4:17:636845:640524:1 gene:Potri.017G009100.v4.1 transcript:Potri.017G009100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009100.v4.1 MFSRVFRHLFGAYAALPFLVILSSYHSCSARMNTSNYCAPSSCGNIHNISYPFRLNTDPQSCGNKLFELACENNVRPTLYLYMEKYYVQAINYNNFTIRLVDAAVQKDDCFSIPHQSITEYPLASADGYYFYEIYRAKGYVLTFLCCKNQMLNPPDYIMDTSSCKNGSGTAYNSSSSSSISSPSCVDMEGYSYVMVDGQIQDVPDLCSINLIYYVSKNMRNKSYTDVHDILVYGFELSWFDFCCDYGKENRCNLDEATMKKHYCFYKEPYRHFTRGKLVHLFVEGIDRIICRSNGDYCQYRITTPSIVLSFLIFFPTVTLALIVTYHVFLLPCGLPCLLTLLIYKWRRRHLSMYDDIEKFLQSHDNDLMPIRYTYSEIKKITNGFKDKLGEGGFGSVYKGKLRSGRFAAIKILGKSKANGQDFINEVATIGRIHHVNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFYREGSVPLSNEKMYEISLGVARGIEYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTSNNTVPLTAARGTIGYMAPELFYKNIGGVSYKADVYSYGMLLMEMVGRRKNLNALAIHSSQIYFPSWVYDQVSEGKDIEVQKDAMEHEKKTTKKMIIVALWCIQLKPIDRPSMHKVVEMLESDVESLRMPPKPFLTPHQMPEEDDRANHAKLSDPPNDCIDSSYQFGR >Potri.003G052400.1.v4.1 pep chromosome:Pop_tri_v4:3:7713333:7718658:1 gene:Potri.003G052400.v4.1 transcript:Potri.003G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052400.v4.1 MGVDMSNPPNSHIKDFFASPALSLSLAGIFRGANVSHSAAAGSVEVEEGDEGSGGGRREETVEISSETSGPMRSRSDDDLEGEGEHDEDDGDGDGDDDDKNKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKTEMDKLREENKTMRETINKACCPNCGTATTSRGTALTTEEQQLRIENAKLKAEVEKLRVVIGKYSPGATASCSAENDQENRSSLDFYTGIFGLDKTRITEIANQAMEELKKMATAGEPLWIRSVETGREILNYDEYTKEFGSENSSNNGRPKRSIEASRETRVVFVDLPRLVQSFMDVNRWKEMFPCLISKAATVDVICNGEGANRNGAVQLMFAEVQMLTPMVPTREVYFVRYCKQLNAEQWAIVDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLECQKSAVHTMFRTVVHSGLAFGARHWIATLQLQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCRAIGASSYHTWSKVSSKTGEDIRISSRKNLNEPGEPVGLILCAVSSVWLPVPPHILFDFLRDEARRNEWDIMSNGGPVQATANLAKGQDRGNAVTILKMKSKENNMWVLQDSCTNAYESMVIYAPVDTNGMQSVINGCDSSNLAILPSGFSILPDGHESRPLVITSRQEEKSTEGGSLLTIAFQILTNTSPTAKLTMESVESVNALISCTLKNIKTSLQCEDS >Potri.003G052400.3.v4.1 pep chromosome:Pop_tri_v4:3:7713524:7718625:1 gene:Potri.003G052400.v4.1 transcript:Potri.003G052400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052400.v4.1 MRSRSDDDLEGEGEHDEDDGDGDGDDDDKNKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKTEMDKLREENKTMRETINKACCPNCGTATTSRGTALTTEEQQLRIENAKLKAEVEKLRVVIGKYSPGATASCSAENDQENRSSLDFYTGIFGLDKTRITEIANQAMEELKKMATAGEPLWIRSVETGREILNYDEYTKEFGSENSSNNGRPKRSIEASRETRVVFVDLPRLVQSFMDVNRWKEMFPCLISKAATVDVICNGEGANRNGAVQLMFAEVQMLTPMVPTREVYFVRYCKQLNAEQWAIVDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLECQKSAVHTMFRTVVHSGLAFGARHWIATLQLQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCRAIGASSYHTWSKVSSKTGEDIRISSRKNLNEPGEPVGLILCAVSSVWLPVPPHILFDFLRDEARRNEWDIMSNGGPVQATANLAKGQDRGNAVTILKMKSKENNMWVLQDSCTNAYESMVIYAPVDTNGMQSVINGCDSSNLAILPSGFSILPDGHESRPLVITSRQEEKSTEGGSLLTIAFQILTNTSPTAKLTMESVESVNALISCTLKNIKTSLQCEDS >Potri.014G129600.11.v4.1 pep chromosome:Pop_tri_v4:14:8685966:8688962:1 gene:Potri.014G129600.v4.1 transcript:Potri.014G129600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129600.v4.1 MASGWGITGNKGRCYDFWMDFSECMSQCREPKDCAFLREDYLECLHHSKEFQRRNRIYKEEQRKLRAASQKADGGDGKDNHH >Potri.001G146200.23.v4.1 pep chromosome:Pop_tri_v4:1:12064551:12071034:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGMHADAWGCPVMPPHNPYSTIPHYASGFQSASMVNNSCGMPQKLFDLQPAEEVIDKVVKEAINKPWLPLPLGLKPPSADSVLAELSRQGVSSIPPRINGSNSF >Potri.001G146200.41.v4.1 pep chromosome:Pop_tri_v4:1:12064720:12071025:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.41.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATDACRCVGLPCDATT >Potri.001G146200.39.v4.1 pep chromosome:Pop_tri_v4:1:12064717:12071078:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.39.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGVALVIYNTLNFF >Potri.001G146200.36.v4.1 pep chromosome:Pop_tri_v4:1:12064476:12071075:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.36.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGMHADAWGCPVMPPHNPYSTIPHYASGFQSASMVNNSCGMPQKLFDLQPAEEVIDKVVKEAINKPWLPLPLGLKPPSADSVLAELSRQGVSSIPPRINGSNSF >Potri.001G146200.27.v4.1 pep chromosome:Pop_tri_v4:1:12064716:12071025:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGMHADAWGCPVMPPHNPYSTIPHYASGFQSASMVNNSCGMPQKLFDLQPAEEVIDKVVKEAINKPWLPLPLGLKPPSADSVLAELSRLC >Potri.001G146200.22.v4.1 pep chromosome:Pop_tri_v4:1:12064545:12071029:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGMHADAWGCPVMPPHNPYSTIPHYASGFQSASMVNNSCGMPQKLFDLQPAEEVIDKVVKEAINKPWLPLPLGLKPPSADSVLAELSRLC >Potri.001G146200.42.v4.1 pep chromosome:Pop_tri_v4:1:12064716:12071025:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.42.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEVMKVEGLTRHNVASHLQVRSGLTLTKFVSFCSDRSHF >Potri.001G146200.38.v4.1 pep chromosome:Pop_tri_v4:1:12064720:12071025:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.38.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGMHADAWGCPVMPPHNPYSTIPHYASGFQSASMVNNSCGRGGYRQGSERGNQQAMATFALGPQAPVCR >Potri.001G146200.40.v4.1 pep chromosome:Pop_tri_v4:1:12064701:12071030:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.40.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGVALVIYNTLNFF >Potri.001G146200.35.v4.1 pep chromosome:Pop_tri_v4:1:12064722:12071025:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.35.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGMHADAWGCPVMPPHNPYSTIPHYASGFQSASMVNNSCGMPQKLFDLQPAEEVIDKVVKEAINKPWLPLPLGLKPPSADSVLAELSRQGVSSIPPRINGSNSF >Potri.001G146200.37.v4.1 pep chromosome:Pop_tri_v4:1:12064729:12071048:-1 gene:Potri.001G146200.v4.1 transcript:Potri.001G146200.37.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146200.v4.1 MVCTTNDLSAWKDFPKGLRVLLLDEDSMSAAEIKSKLEAMDYIVYTFCNETEALSAISNEPGSFHVAIVEVSMSNSSRSFKFLETSKDLPTIMTSSIDCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSKSLKPVKDSVVSMLELKDGLEENENKNMEKTENVSQAQESNEQQSPASDKYPAPSTPQLKQGERLLDDGDCQDHINCLIEKESVEQEGDSKSVETTCAMSEETLQAGDPQSFTETVIKEEDDSTDGVKSENNMCPNSQNKDTLNHSNGCAEKASSLHNSHGTRANRKKMKVDWTPELHRKFVQAVEKLGVDQAIPSRILEVMKVEGLTRHNVASHLQKYRMHRRHILPKEDERQWTQHRDQVQRSYYPHKPIMAYPPYHSNHALPPGPVYPMWGATGSHTAGVHMWGPPGYSPWPPTESCHWKPYPGMHADAWGCPVMPPHNPYSTIPHAEEVIDKVVKEAINKPWLPLPLGLKPPSADSVLAELSRQGVSSIPPRINGSNSF >Potri.009G017400.9.v4.1 pep chromosome:Pop_tri_v4:9:2933347:2938391:-1 gene:Potri.009G017400.v4.1 transcript:Potri.009G017400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017400.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDSTQQQHQQQPPNMIFFNPSSSTAASNNGLHTGNLPHGPPQNHHFVGIPLAAPSSNITSLTPDSHSRPSLHGVVPSHVHYNIWGSIDQNSVATACESSAANDVVSPQVGFRRPVAVSPGRQGLSLSLSSQQAPTPPTPYNRAIGNKHHEIQALHPHVSVVSSGDEIRVSGNSPSSVSAVSNGVSGLQNMVLGSRYLRATQELLDEAVNVGKDLIKSGLIEGSSKEKMKMTKESITGDGSSGGEAYAANRGAELTTAHRQELQMKKGKLVNMLDEVEQRYRQYHHQMQVVVSSFEQASGFGAAKSYTALALQTISKQFRSLKDTISSQIRAASKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEIKEQEKTGSEENANKNENKESRSHSSAPGESSTLQMDQRKGVLHSKQPEKPRNQNASPPRFSYPAISMSPMGAPLQQQAGFTLIGPAEMAGAISHRSSKKPSSHDMQNSPSSILSMDMDVKQGETSREISANFGGERLIKDGYPLITSSGGFGAYPMGDLGRFNLEQMAPRFSGNSVSLSLGLPHCENLSLSGTQQNYHSNQNINQLGGRRLEIGSGTEPDFSGINTSQNSHSSTGFESIEMQNRKRFPAQLLPDFVA >Potri.009G017400.11.v4.1 pep chromosome:Pop_tri_v4:9:2933270:2938027:-1 gene:Potri.009G017400.v4.1 transcript:Potri.009G017400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017400.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDSTQQQHQQQPPNMIFFNPSSSTAASNNGLHTGNLPHGPPQNHHFVGIPLAAPSSNITSLTPDSHSRPSLHGVVPSHVHYNIWGSIDQNSVATACESSAANDVVSPQVGFRRPVAVSPGRQGLSLSLSSQQAPTPPTPYNRAIGNKHHEIQALHPHVSVVSSGDEIRVSGNSPSSVSAVSNGVSGLQNMVLGSRYLRATQELLDEAVNVGKDLIKSGLIEGSSKEKMKMTKESITGDGSSGGEAYAANRGAELTTAHRQELQMKKGKLVNMLDEVEQRYRQYHHQMQVVVSSFEQASGFGAAKSYTALALQTISKQFRSLKDTISSQIRAASKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEIKEQEKTGSEENANKNENKESRSHSSAPGESSTLQMDQRKGVLHSKQPEKPRNQNASPPRFSYPAISMSPMGAPLQQQAGFTLIGPAEMAGAISHRSSKKPSSHDMQNSPSSILSMDMDVKQGETSREISANFGGERLIKDGYPLITSSGGFGAYPMGDLGRFNLEQMAPRFSGNSVSLSLGLPHCENLSLSGTQQNYHSNQNINQLGGRRLEIGSGTEPDFSGINTSQNSHSSTGFESIEMQNRKRFPAQLLPDFVA >Potri.009G017400.12.v4.1 pep chromosome:Pop_tri_v4:9:2933255:2938005:-1 gene:Potri.009G017400.v4.1 transcript:Potri.009G017400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017400.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDSTQQQHQQQPPNMIFFNPSSSTAASNNGLHTGNLPHGPPQNHHFVGIPLAAPSSNITSLTPDSHSRPSLHGVVPSHVHYNIWGSIDQNSVATACESSAANDVVSPQVGFRRPVAVSPGRQGLSLSLSSQQAPTPPTPYNRAIGNKHHEIQALHPHVSVVSSGDEIRVSGNSPSSVSAVSNGVSGLQNMVLGSRYLRATQELLDEAVNVGKDLIKSGLIEGSSKEKMKMTKESITGDGSSGGEAYAANRGAELTTAHRQELQMKKGKLVNMLDEVEQRYRQYHHQMQVVVSSFEQASGFGAAKSYTALALQTISKQFRSLKDTISSQIRAASKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEIKEQEKTGSEENANKNENKESRSHSSAPGESSTLQMDQRKGVLHSKQPEKPRNQNASPPRFSYPAISMSPMGAPLQQQAGFTLIGPAEMAGAISHRSSKKPSSHDMQNSPSSILSMDMDVKQGETSREISANFGGERLIKDGYPLITSSGGFGAYPMGDLGRFNLEQMAPRFSGNSVSLSLGLPHCENLSLSGTQQNYHSNQNINQLGGRRLEIGSGTEPDFSGINTSQNSHSSTGFESIEMQNRKRFPAQLLPDFVA >Potri.009G017400.8.v4.1 pep chromosome:Pop_tri_v4:9:2933278:2938426:-1 gene:Potri.009G017400.v4.1 transcript:Potri.009G017400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017400.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDSTQQQHQQQPPNMIFFNPSSSTAASNNGLHTGNLPHGPPQNHHFVGIPLAAPSSNITSLTPDSHSRPSLHGVVPSHVHYNIWGSIDQNSVATACESSAANDVVSPQVGFRRPVAVSPGRQGLSLSLSSQQAPTPPTPYNRAIGNKHHEIQALHPHVSVVSSGDEIRVSGNSPSSVSAVSNGVSGLQNMVLGSRYLRATQELLDEAVNVGKDLIKSGLIEGSSKEKMKMTKESITGDGSSGGEAYAANRGAELTTAHRQELQMKKGKLVNMLDEVEQRYRQYHHQMQVVVSSFEQASGFGAAKSYTALALQTISKQFRSLKDTISSQIRAASKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEIKEQEKTGSEENANKNENKESRSHSSAPGESSTLQMDQRKGVLHSKQPEKPRNQNASPPRFSYPAISMSPMGAPLQQQAGFTLIGPAEMAGAISHRSSKKPSSHDMQNSPSSILSMDMDVKQGETSREISANFGGERLIKDGYPLITSSGGFGAYPMGDLGRFNLEQMAPRFSGNSVSLSLGLPHCENLSLSGTQQNYHSNQNINQLGGRRLEIGSGTEPDFSGINTSQNSHSSTGFESIEMQNRKRFPAQLLPDFVA >Potri.009G017400.13.v4.1 pep chromosome:Pop_tri_v4:9:2933351:2938005:-1 gene:Potri.009G017400.v4.1 transcript:Potri.009G017400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017400.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDSTQQQHQQQPPNMIFFNPSSSTAASNNGLHTGNLPHGPPQNHHFVGIPLAAPSSNITSLTPDSHSRPSLHGVVPSHVHYNIWGSIDQNSVATACESSAANDVVSPQVGFRRPVAVSPGRQGLSLSLSSQQAPTPPTPYNRAIGNKHHEIQALHPHVSVVSSGDEIRVSGNSPSSVSAVSNGVSGLQNMVLGSRYLRATQELLDEAVNVGKDLIKSGLIEGSSKEKMKMTKESITGDGSSGGEAYAANRGAELTTAHRQELQMKKGKLVNMLDEVEQRYRQYHHQMQVVVSSFEQASGFGAAKSYTALALQTISKQFRSLKDTISSQIRAASKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEIKEQEKTGSEENANKNENKESRSHSSAPGESSTLQMDQRKGVLHSKQPEKPRNQNASPPRFSYPAISMSPMGAPLQQQAGFTLIGPAEMAGAISHRSSKKPSSHDMQNSPSSILSMDMDVKQGETSREISANFGGERLIKDGYPLITSSGGFGAYPMGDLGRFNLEQMAPRFSGNSVSLSLGLPHCENLSLSGTQQNYHSNQNINQLGGRRLEIGSGTEPDFSGINTSQNSHSSTGFESIEMQNRKRFPAQLLPDFVA >Potri.009G017400.14.v4.1 pep chromosome:Pop_tri_v4:9:2933192:2937720:-1 gene:Potri.009G017400.v4.1 transcript:Potri.009G017400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017400.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDSTQQQHQQQPPNMIFFNPSSSTAASNNGLHTGNLPHGPPQNHHFVGIPLAAPSSNITSLTPDSHSRPSLHGVVPSHVHYNIWGSIDQNSVATACESSAANDVVSPQVGFRRPVAVSPGRQGLSLSLSSQQAPTPPTPYNRAIGNKHHEIQALHPHVSVVSSGDEIRVSGNSPSSVSAVSNGVSGLQNMVLGSRYLRATQELLDEAVNVGKDLIKSGLIEGSSKEKMKMTKESITGDGSSGGEAYAANRGAELTTAHRQELQMKKGKLVNMLDEVEQRYRQYHHQMQVVVSSFEQASGFGAAKSYTALALQTISKQFRSLKDTISSQIRAASKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEIKEQEKTGSEENANKNENKESRSHSSAPGESSTLQMDQRKGVLHSKQPEKPRNQNASPPRFSYPAISMSPMGAPLQQQAGFTLIGPAEMAGAISHRSSKKPSSHDMQNSPSSILSMDMDVKQGETSREISANFGGERLIKDGYPLITSSGGFGAYPMGDLGRFNLEQMAPRFSGNSVSLSLGLPHCENLSLSGTQQNYHSNQNINQLGGRRLEIGSGTEPDFSGINTSQNSHSSTGFESIEMQNRKRFPAQLLPDFVA >Potri.009G017400.10.v4.1 pep chromosome:Pop_tri_v4:9:2933277:2938136:-1 gene:Potri.009G017400.v4.1 transcript:Potri.009G017400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017400.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDSTQQQHQQQPPNMIFFNPSSSTAASNNGLHTGNLPHGPPQNHHFVGIPLAAPSSNITSLTPDSHSRPSLHGVVPSHVHYNIWGSIDQNSVATACESSAANDVVSPQVGFRRPVAVSPGRQGLSLSLSSQQAPTPPTPYNRAIGNKHHEIQALHPHVSVVSSGDEIRVSGNSPSSVSAVSNGVSGLQNMVLGSRYLRATQELLDEAVNVGKDLIKSGLIEGSSKEKMKMTKESITGDGSSGGEAYAANRGAELTTAHRQELQMKKGKLVNMLDEVEQRYRQYHHQMQVVVSSFEQASGFGAAKSYTALALQTISKQFRSLKDTISSQIRAASKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEIKEQEKTGSEENANKNENKESRSHSSAPGESSTLQMDQRKGVLHSKQPEKPRNQNASPPRFSYPAISMSPMGAPLQQQAGFTLIGPAEMAGAISHRSSKKPSSHDMQNSPSSILSMDMDVKQGETSREISANFGGERLIKDGYPLITSSGGFGAYPMGDLGRFNLEQMAPRFSGNSVSLSLGLPHCENLSLSGTQQNYHSNQNINQLGGRRLEIGSGTEPDFSGINTSQNSHSSTGFESIEMQNRKRFPAQLLPDFVA >Potri.009G017400.7.v4.1 pep chromosome:Pop_tri_v4:9:2933345:2937950:-1 gene:Potri.009G017400.v4.1 transcript:Potri.009G017400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017400.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDSTQQQHQQQPPNMIFFNPSSSTAASNNGLHTGNLPHGPPQNHHFVGIPLAAPSSNITSLTPDSHSRPSLHGVVPSHVHYNIWGSIDQNSVATACESSAANDVVSPQVGFRRPVAVSPGRQGLSLSLSSQQAPTPPTPYNRAIGNKHHEIQALHPHVSVVSSGDEIRVSGNSPSSVSAVSNGVSGLQNMVLGSRYLRATQELLDEAVNVGKDLIKSGLIEGSSKEKMKMTKESITGDGSSGGEAYAANRGAELTTAHRQELQMKKGKLVNMLDEVEQRYRQYHHQMQVVVSSFEQASGFGAAKSYTALALQTISKQFRSLKDTISSQIRAASKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYAEEIKEQEKTGSEENANKNENKESRSHSSAPGESSTLQMDQRKGVLHSKQPEKPRNQNASPPRFSYPAISMSPMGAPLQQQAGFTLIGPAEMAGAISHRSSKKPSSHDMQNSPSSILSMDMDVKQGETSREISANFGGERLIKDGYPLITSSGGFGAYPMGDLGRFNLEQMAPRFSGNSVSLSLGLPHCENLSLSGTQQNYHSNQNINQLGGRRLEIGSGTEPDFSGINTSQNSHSSTGFESIEMQNRKRFPAQLLPDFVA >Potri.007G097400.1.v4.1 pep chromosome:Pop_tri_v4:7:12235416:12236492:-1 gene:Potri.007G097400.v4.1 transcript:Potri.007G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097400.v4.1 MAGWPASNNQKMNSRALKENDLLLGPLLSPSSGFDLMQNCDLPPPLKVFSGSDKTVISSMNRAFSMIGREHDHDDFDVSRGCGGENYEKLEVLKALRLSQTRARDAERKAASLIKERDCVANALFHDSFQLFAYRQWVRLLEFQVLKAQEQWQQQEKKLCCGCGRSKEVKDQLEEEEGSDDGSREYWINVALKFFLGFVGFGLAFGCCYLL >Potri.006G275100.1.v4.1 pep chromosome:Pop_tri_v4:6:26677060:26681303:-1 gene:Potri.006G275100.v4.1 transcript:Potri.006G275100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275100.v4.1 MDPYPSSSIAKKTWELENNIIPIDTATPTTTDTSSSSSSSDAIFYFDEAAQAKFQKEKPWSNDPNYFRRVKISALALLKMVVHARSGGTIEVMGLMQGKTDGDSIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVIGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPVSEYQTIPLNKIEDFGVHCKQYYSLDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEHAENQLAHSRLGPLMTPQRKKDEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVHQSNRSQTEPSGPEPMVET >Potri.002G075300.2.v4.1 pep chromosome:Pop_tri_v4:2:5259685:5262811:-1 gene:Potri.002G075300.v4.1 transcript:Potri.002G075300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075300.v4.1 MSGASILSSLLSNKTLNLQTRPANVKALTKQILSHLEANRLKQAVSILFASPDCFAYSLYAHLFQVCSSSLAIVEARKVESQLLGACPTPPTFLLNRAIDTYGKCRCLEDAKELFDEMPQRDGGSWNAMIRACLQCVRPEKALSYFGDMHKQGVYANEVTFSSALRACGDVLELCLSRQIHGLIVKYGFCGNVIVGSSLVDVYGKCGAMSESRRIFDEIENPNNVTWNIIVRRYLEVGDENEAVVMFFKMFRAKLRPLSYTFSNALVACSDMRAVKEGMQIHGVATKINFEEEEVVLSSLIDMYVKCGEIESARRVFDLPGSRDLISWTSMVSAYAMSGRMREARELFDEMPERNMVSYNALLAGYIRSLQWEEALDFVYLMCRTTENIDHITFQLMLNVCSGLSDVDMGKQVHGFIYRHGWLSNTVIGNALLDMYCKCGNLRSAGVWFHQMKQSRDSVSWNVLLTSYARRQMSEQAMSIFREMQWETKPHKFIFATLLAACANTFALDQGKQIHGFMIRNGYDIDTVIAGALLDMYSKCRCLEYALIVFREADKRDLVLWNSMILGCCHLGRGKLALRLFGFMEEEGTKPDNVTFQGILLACVYEGHVDLARQYFNSMSSKYCIIPRLEHYECIIELLSRYGCMKELENFIKDMPFDPTAPMLTRVVDACKEHQCWRLGEWAAKRLDELSPSVPLPFEIADRTKFSLL >Potri.016G022800.5.v4.1 pep chromosome:Pop_tri_v4:16:1253761:1258907:1 gene:Potri.016G022800.v4.1 transcript:Potri.016G022800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022800.v4.1 MGVKQAIKSLDAFPRAEEHLLQKTQSGALVSVIGLVIMATLFYHELAYYLTTYTVHQMSVDLQRGEILPIHVNITFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSHGHITGTEYLSDLVEKEHEAHNHDHDKDHHKDSHEEQHTHGFDDAAETMIKKVKQALANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFDGAKHVNVSHIIHDLSFGPKYPGIHNPLDGTARILRETSGIFKYYIKIVPTEYRYISKDVLPTNQFSVTEYFSPITDFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAILGGTFALTGMLDRWMYRLLEALTKPNRGSGFL >Potri.016G022800.4.v4.1 pep chromosome:Pop_tri_v4:16:1253904:1258909:1 gene:Potri.016G022800.v4.1 transcript:Potri.016G022800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022800.v4.1 MQLTVWCCKRVKKMGVKQAIKSLDAFPRAEEHLLQKTQSGALVSVIGLVIMATLFYHELAYYLTTYTVHQMSVDLQRGEILPIHVNITFPSLPCDVLSVDAIDMSGKHEVDLDTNIWKLRLNSHGHITGTEYLSDLVEKEHEAHNHDHDKDHHKDSHEEQHTHGFDDAAETMIKKVKQALANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFDGAKHVNVSHIIHDLSFGPKYPGIHNPLDGTARILRETSGIFKYYIKIVPTEYRYISKDVLPTNQFSVTEYFSPITDFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAILGGTFALTGMLDRWMYRLLEALTKPNRGSGFL >Potri.004G080700.1.v4.1 pep chromosome:Pop_tri_v4:4:6639957:6641035:1 gene:Potri.004G080700.v4.1 transcript:Potri.004G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080700.v4.1 MRVKIALAEKGLNYESKEEDLFGGKSELLLKSNPVYQKVPVLLHNGKPLNESAIIVGYIDEKWPSPPLLPACAYGRSQARFWADYIDKKLFDATCTVWRSTGEAVEVAKKDFIEVLKVLEEALGEKKFFGGKTFGFVDIVAIPMASWFYASEKFGNFTVEAECPKLSAWIKRSMQRESVAKALPDPEKVYDFVVMFRKMQGIE >Potri.004G043400.2.v4.1 pep chromosome:Pop_tri_v4:4:3429890:3432140:1 gene:Potri.004G043400.v4.1 transcript:Potri.004G043400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043400.v4.1 MVLSNVAPSNYTFTSVIKSCADLVALRHGRIIHGHVLVNGFGSDVYVQTALMSFYGKCGVLCNARKVFDKMRDRSVVTWNSMISGYEQNGFAKEAIRLFDRMKEIGVEPNSATFVSVLSACAHLGAFILGCWVHEYAVGNGLDLNVVLGTSLINMYTRCGNVSKAREVFDSMKERNVVAWTAMISGYGTNGYGSQAVELFHEMRRNGLLPNSITFVAVLSACAHAGLVNEGRRVFESMREEYRLVPEVEHHVCLVDMLGRAGLLDEAYNFIKEIHEEPAPAIWTAMLGACKMHKNFGLGAQVAEHLLASEPGNPAHYVILSNIYALAGRMDQVEMVRDNMIRKCLKKQVGYSTIDLDRKTYLFSMGDKSHTETNEIYHYLDQLMRKCREAGYVTVSDSVMHELEEEEREYALGYHSEKLAIAFGLLKTSRGTVIRIVKNLRMCEDCHSAIKYISVISNREIIVRDKLRFHHFKNGSCSCLDFGEDSFWHL >Potri.004G043400.1.v4.1 pep chromosome:Pop_tri_v4:4:3429802:3432139:1 gene:Potri.004G043400.v4.1 transcript:Potri.004G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043400.v4.1 MEIKQHHKHPHSPAYNLLLQAGPRLKLLHQVHAHVIVSGYGCSRFLLTKLLNLACAAGSISYTRQIFLIVPNPDSFLFTSLIRSTSKFHNFSVYSLYFYTRMVLSNVAPSNYTFTSVIKSCADLVALRHGRIIHGHVLVNGFGSDVYVQTALMSFYGKCGVLCNARKVFDKMRDRSVVTWNSMISGYEQNGFAKEAIRLFDRMKEIGVEPNSATFVSVLSACAHLGAFILGCWVHEYAVGNGLDLNVVLGTSLINMYTRCGNVSKAREVFDSMKERNVVAWTAMISGYGTNGYGSQAVELFHEMRRNGLLPNSITFVAVLSACAHAGLVNEGRRVFESMREEYRLVPEVEHHVCLVDMLGRAGLLDEAYNFIKEIHEEPAPAIWTAMLGACKMHKNFGLGAQVAEHLLASEPGNPAHYVILSNIYALAGRMDQVEMVRDNMIRKCLKKQVGYSTIDLDRKTYLFSMGDKSHTETNEIYHYLDQLMRKCREAGYVTVSDSVMHELEEEEREYALGYHSEKLAIAFGLLKTSRGTVIRIVKNLRMCEDCHSAIKYISVISNREIIVRDKLRFHHFKNGSCSCLDFGEDSFWHL >Potri.014G145400.2.v4.1 pep chromosome:Pop_tri_v4:14:9942869:9949909:1 gene:Potri.014G145400.v4.1 transcript:Potri.014G145400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145400.v4.1 MRTLKNKRASILQLVCVAAFFSLAVFIIQSSFFTGDNGSSDLNKYFKKEIQILSDFQSSVKQCVANRGFGLTASIVDHCKLILKFPQGTNSTWYNQQFKKFEPLEYNYDVCDAILLWEQYRNMTTVLTREYLDTRPDGWLDYAALRIAQLGAKNCSNRALCEDHLNVILPAKPPFHPRQFHTCAVVGNSGDLLKTEFGAEIDSHDAVIRDNEAPVNEKYAKHVGLKRDFRLVVRGAAANMVKILNGSTDEVLIIKSQTHRDFNAMIKLIPNPVYLFQGIVLRRGAKGTGMKTIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRTQDWSDVPSREMISRAHAAALRLKRGHVNQAADSGQFGSCKVWGNVDPDNSGPISGSSDMSDVRRNSNYSKWEVMPFGSLRKEAQDHYAQMGGVSLYKMDGNRLDDLVCVRHSLKSEA >Potri.014G145400.1.v4.1 pep chromosome:Pop_tri_v4:14:9942869:9949899:1 gene:Potri.014G145400.v4.1 transcript:Potri.014G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145400.v4.1 MRTLKNKRASILQLVCVAAFFSLAVFIIQSSFFTAGDNGSSDLNKYFKKEIQILSDFQSSVKQCVANRGFGLTASIVDHCKLILKFPQGTNSTWYNQQFKKFEPLEYNYDVCDAILLWEQYRNMTTVLTREYLDTRPDGWLDYAALRIAQLGAKNCSNRALCEDHLNVILPAKPPFHPRQFHTCAVVGNSGDLLKTEFGAEIDSHDAVIRDNEAPVNEKYAKHVGLKRDFRLVVRGAAANMVKILNGSTDEVLIIKSQTHRDFNAMIKLIPNPVYLFQGIVLRRGAKGTGMKTIELALSMCDIVDIYGFTVDPGYTEWTRYFSTPRKGHNPLQGRAYYQLLECLGVIRIHSPMRAQRTQDWSDVPSREMISRAHAAALRLKRGHVNQAADSGQFGSCKVWGNVDPDNSGPISGSSDMSDVRRNSNYSKWEVMPFGSLRKEAQDHYAQMGGVSLYKMDGNRLDDLVCVRHSLKSEA >Potri.004G006350.1.v4.1 pep chromosome:Pop_tri_v4:4:403666:404255:-1 gene:Potri.004G006350.v4.1 transcript:Potri.004G006350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006350.v4.1 MGNVSLIFMLVVVVLAIRVGVGQSQLTYDYYRSSCLNVETIVRQEMLGIFLVDVTAPAAFLRLMFHDCQVQVKKNYISLFVLCLEKIW >Potri.011G101800.1.v4.1 pep chromosome:Pop_tri_v4:11:12968243:12972893:1 gene:Potri.011G101800.v4.1 transcript:Potri.011G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101800.v4.1 MAMSMCSTQAWNILHHFPLKSPNHPVSLPFYKTHSDFSPLSTIPHLNNNSTPTHLSFKASSSSSSSSSSSFSVGSAEYTEETATKEKFQRSLSLPGCSTSLSLLGTGYREKVFAIIGVKVYAAGLYVNPSILSTLSTWKGQSASEIQENSALFSSIFQAPLEKSLQIVLVRDIDGKTFWDALDDAISPRIKTAIPVDESALSIFRSIFQGRSLKKGTLIFLTWLNPSKMLVCVSSDGIPSSVDARIESENVTSALFDVFFGDAPVSPSLKNSAANGLATILK >Potri.006G156176.1.v4.1 pep chromosome:Pop_tri_v4:6:14854005:14855261:-1 gene:Potri.006G156176.v4.1 transcript:Potri.006G156176.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G156176.v4.1 MENRFRLRISSMFRGSCRTRNLSDVAEKAVFVPQNHKNFHLIDHLPPKARPFPSICIRKCPEATNQAINPSIISRKNLSHRYPPASPIFPMNPFYKELGFQEKTKGRCSSIRNRSKKKKNITNKKDQMSLLSSSSQDSACFGGRYYWFSSEDENKREDDESDTLFSSRSLSSDSSGSLRHPSSRRRKYTSRRRRAKVKSSQVGGLPLDGNVKDSFAVVKSSSDPYNDFRKSMVEMIVEKQIFAAKDLEQLLQCYLSLNSYHHHGIIVEVFMEIWEALFSNWTAC >Potri.015G144500.1.v4.1 pep chromosome:Pop_tri_v4:15:14950864:14952033:-1 gene:Potri.015G144500.v4.1 transcript:Potri.015G144500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144500.v4.1 MANAASGMAVDDECKLKFLELKAKRNYRFIIFKIESQQVVVEKLGSPEETYEEFAASLPADECRYAVFDYDFITNENCQKSKIFFIAWSPDTSRVRSKMVYASSRDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >Potri.001G318700.1.v4.1 pep chromosome:Pop_tri_v4:1:32838876:32843538:-1 gene:Potri.001G318700.v4.1 transcript:Potri.001G318700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318700.v4.1 MGISPDNVHGLVLAVSSSVFIGSSFIVKKKGLLKSGNNGTRAGAGGHSYLCEPWWWAGMIAMIVGEIANFAAYAFAPAILVTPLGALSIIFSAVLAHFILKEKLHIFGVLGCVLCVVGSTSIVLHAPQERAIESVKEVWHLATEPGFLVYSGVVLVVVSVLIFRFAPLYGEKNMIVYVGICSLMGSITVMSVKALGIALKLTFSGSNQFIYYQTWLFTIVVVFACLLQINYLNKALDTFNTAVISPVYYVMFTSLTILASMIMFKDWDSQNASQIVTEVCGFITILSGTFLLHRTKDMGNAPNSKSSPETPVSTKENSTTSANTEEQQMAIF >Potri.005G170400.1.v4.1 pep chromosome:Pop_tri_v4:5:17419894:17423036:1 gene:Potri.005G170400.v4.1 transcript:Potri.005G170400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170400.v4.1 MELACASVQASCSLTVPASSITSRRRSPSLSFFRYSISNPIISSKLNGCSLPVKSARRRKSSLVAAVGGTTTVPSDCEGEKLSFSGSSEPAAINDDENDGVDDGKMARVCDKLIDVFMVDKPTPNDWRKLLAFSKEWNNLRPHFYKRSQERADSEDDPGKKHNLLKFARKFKEIDEDMQRHNELLGVIKKAPSELSEVVAKHRKDFTKEFFAHLYTVAQSYHDNPSEQNALAKLGNDCVAAVQAYDSATENTEALNAAEIKLQDIINSPSLDAACKKIDDLAEKNKLDSALVLMITKAWSAAKESNMTKDEVKDVLYHLYKTAVGNLQRNVPKDIRILKYLLTIEDPEECLCALNDAFTQGEGLEGKDVDSLCTTPEKLYSWIKAMVDAYHLSQEGTLMREARDLMSPKTIKKMEELKKLVKDHFM >Potri.015G048400.8.v4.1 pep chromosome:Pop_tri_v4:15:5065226:5070023:-1 gene:Potri.015G048400.v4.1 transcript:Potri.015G048400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048400.v4.1 MEYVDERQAGESTCGCSTMASKPHYKSFKFIDNSREIRGLEGDKGTALELHRQKGEAVARNQPGVDAFMAWVNPVHGTEYPTSLSELEESRGRRKIYIKSNVMDSDMPEMVAFFQDSNYHFVKDIFMDGEVHCKDICSVENCQLDHNSISSILNFDVESCSASTVGTQDSVPSISNESKYMEDDDCHNLLINQHGSRMSMKDFDGQEYVLVEKNVHGSHMVKKVPSKEAILSSSIVLYTTEEVYYDCPTSAATFRNEVDDTIRNTEADFEQVVETESMSKPEDGMSGTLTSSSRSLGDENVQGESSFFAVDPPPGLTYSEGPIYCGSVSSHSFAFPILPSEWDGSPERMASTDQVQFRRHQRWRMRFLCCKF >Potri.015G048400.2.v4.1 pep chromosome:Pop_tri_v4:15:5065076:5070243:-1 gene:Potri.015G048400.v4.1 transcript:Potri.015G048400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048400.v4.1 MEYVDERQAGESTCGCSTMASKPHYKSFKFIDNSREIRGLEGDKGTALELHRQKGEAVARNQPGVDAFMAWVNPVHGTEYPTSLSELEESRGRRKIYIKSNVMDSDMPEMVAFFQDSNYHFVKDIFMDGEVHCKDICSVENCQLDHNSISSILNFDVESCSASTVGTQDSVPSISNESKYMEDDDCHNLLINQHGSRMSMKDFDGQEYVLVEKNVHGSHMVKKVPSKEAILSSSIVLYTTEEVYYDCPTSAATFRNEVDDTIRNTEADFEQVVETESMSKPEDGMSGTLTSSSRSLGDENVQGESSFFAVDPPPGLTYSEGPIYCGSVSSHSFAFPILPSEWDGSPERMASTDQVQFRRHQRWRMRFLCCKF >Potri.015G048400.6.v4.1 pep chromosome:Pop_tri_v4:15:5065076:5070243:-1 gene:Potri.015G048400.v4.1 transcript:Potri.015G048400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048400.v4.1 MEYVDERQAGESTCGCSTMASKPHYKSFKFIDNSREIRGLEGDKGTALELHRQKGEAVARNQPGVDAFMAWVNPVHGTEYPTSLSELEESRGRRKIYIKSNVMDSDMPEMVAFFQDSNYHFVKDIFMDGEVHCKDICSVENCQLDHNSISSILNFDVESCSASTVGTQDSVPSISNESKYMEDDDCHNLLINQHGSRMSMKDFDGQEYVLVEKNVHGSHMVKKVPSKEAILSSSIVLYTTEEVYYDCPTSAATFRNEVDDTIRNTEADFEQVVETESMSKPEDGMSGTLTSSSRSLGDENVQGESSFFAVDPPPGLTYSEGPIYCGSVSSHSFAFPILPSEWDGSPERMASTDQVQFRRHQRWRMRFLCCKF >Potri.015G048400.7.v4.1 pep chromosome:Pop_tri_v4:15:5065226:5070023:-1 gene:Potri.015G048400.v4.1 transcript:Potri.015G048400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048400.v4.1 MEYVDERQAGESTCGCSTMASKPHYKSFKFIDNSREIRGLEGDKGTALELHRQKGEAVARNQPGVDAFMAWVNPVHGTEYPTSLSELEESRGRRKIYIKSNVMDSDMPEMVAFFQDSNYHFVKDIFMDGEVHCKDICSVENCQLDHNSISSILNFDVESCSASTVGTQDSVPSISNESKYMEDDDCHNLLINQHGSRMSMKDFDGQEYVLVEKNVHGSHMVKKVPSKEAILSSSIVLYTTEEVYYDCPTSAATFRNEVDDTIRNTEADFEQVVETESMSKPEDGMSGTLTSSSRSLGDENVQGESSFFAVDPPPGLTYSEGPIYCGSVSSHSFAFPILPSEWDGSPERMASTDQVQFRRHQRWRMRFLCCKF >Potri.015G048400.3.v4.1 pep chromosome:Pop_tri_v4:15:5065076:5070243:-1 gene:Potri.015G048400.v4.1 transcript:Potri.015G048400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048400.v4.1 MEYVDERQAGESTCGCSTMASKPHYKSFKFIDNSREIRGLEGDKGTALELHRQKGEAVARNQPGVDAFMAWVNPVHGTEYPTSLSELEESRGRRKIYIKSNVMDSDMPEMVAFFQDSNYHFVKDIFMDGEVHCKDICSVENCQLDHNSISSILNFDVESCSASTVGTQDSVPSISNESKYMEDDDCHNLLINQHGSRMSMKDFDGQEYVLVEKNVHGSHMVKKVPSKEAILSSSIVLYTTEEVYYDCPTSAATFRNEVDDTIRNTEADFEQVVETESMSKPEDGMSGTLTSSSRSLGDENVQGESSFFAVDPPPGLTYSEGPIYCGSVSSHSFAFPILPSEWDGSPERMASTDQVQFRRHQRWRMRFLCCKF >Potri.015G048400.9.v4.1 pep chromosome:Pop_tri_v4:15:5065076:5070243:-1 gene:Potri.015G048400.v4.1 transcript:Potri.015G048400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048400.v4.1 MEYVDERQAGESTCGCSTMASKPHYKSFKFIDNSREIRGLEGDKGTALELHRQKGEAVARNQPGVDAFMAWVNPVHGTEYPTSLSELEESRGRRKIYIKSNVMDSDMPEMVAFFQDSNYHFVKDIFMDGEVHCKDICSVENCQLDHNSISSILNFDVESCSASTVGTQDSVPSISNESKYMEDDDCHNLLINQHGSRMSMKDFDGQEYVLVEKNVHGSHMVKKVPSKEAILSSSIVLYTTEEVYYDCPTSAATFRNEVDDTIRNTEADFEQVVETESMSKPEDGMSGTLTSSSRSLGDENVQGESSFFAVDPPPGLTYSEGPIYCGSVSSHSFAFPILPSEWDGSPERMASTDQVQFRRHQRWRMRFLCCKF >Potri.018G121600.2.v4.1 pep chromosome:Pop_tri_v4:18:13417658:13425629:-1 gene:Potri.018G121600.v4.1 transcript:Potri.018G121600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121600.v4.1 MANLTLKAKSFCSLSHNTNTQTSVFKTTTSFKNNSLNFLHSGNSLKFRVWCGVKEKENVKEGERVPDVLAGLKKADELDPKRGSSLDFEQRLGDDSGSSEVGFDWKWPPWKNIPLRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNASTAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLQIGVLTWSVATALLPFLAGYMPGFVLSRVLVGIGEGVSPSAATDLIARSIPLEERSRAVAFVFGGLSVGSVTGLLLAPPLIQNFGWASVFYIFGFLGVAWFLGFQYLEEGQASFAAKPTSRSQTIYSEKSSSNALAELGGSLKDVPWKAFFQTPAVWAMIYAHFCGSWGHYTCLSWLPSYFSEELSLNLTEAAWVSILPPLASVFVTSIAAQLADKLISNGVEITTVRKICQTIAFLSPALCMTLSSVDLGLPPWEIVGILTAGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAIPGIVGIPLTGYLLDTTHSWSIALFVPSIFFYLTGTIVWLAFASSKPTNFSNTD >Potri.002G028300.1.v4.1 pep chromosome:Pop_tri_v4:2:1888427:1896251:-1 gene:Potri.002G028300.v4.1 transcript:Potri.002G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028300.v4.1 MGALGPVSPWIPEDDVLLKNSIEAGASLESLAKGAVQFSQKFTVREIRDRWYSLLYDPVVSSEAAFHMTEFECSASTFPSKFSRAGNSKENKCFSGKRKTESVRHCYYAPRKRICSKPFNSMELSFLDSPNNNNYVGNEYEQLSTQCMLGDPVTTHFALQESNLDMMHHVFPPIVSSGTAHAFDTEFHNTIQEDYSMEQDNIHIPHILGEDPSNTENRTVVEELSQQLPVDDDLLHGCSNFDGNDVLSSPVPECSLSFHNLEFSSPLPEMPIWRMDEGISVPDIPNNLGLHDKDMHHGDAFSLLDDGDIKNACSTSFDDLQKDSELKMEILSDVPQNSSHSTEDFLAELTNYLSNDEEGASVDMDGKDFSTNSYIACLNTILLDSPNNSNENHRPNVTEPEASISADCSKNHSGACPGNLWENRGSHCSVDAGCNSEFQFVSSTSLLDPHPEVKDGIICCVLNTEDTEIPFNDDIDLPTDWRPRSVTSLVQRNFQHAGKPNSLSAKELPSNKIGSVVSVVAHRDLENPGQLLASSQMVRLQAMPEPGPVHPVGDHSLKLELPSSDSTRRSAGFAFGGSTQFNSADTKMETLVPTKLKEETTETPMAKHMSNESADSLLEKPSLVSDFFTYPQTNVSAIKQVEDAPDRVQNHQVSHVKVGSSDFASSELVVNHSVSDPAEPSIQSDDDVPYFSDIEAMILDMDLDPEDQDLYCSEEVSRYRHEDTKRAIMRLEQGAHSYMQRAIARHGAFAVIHGRHSKHYIKKSEVLLGRATEDAIVDIDLGREGRRNIISRRQATINLDKSGSFYLKNLGKCSLSVNDKEIAPGQSLSLTSGCLIEIRGMPFIFEINQTCVKHYLARKTQENQTWEHLV >Potri.016G106100.1.v4.1 pep chromosome:Pop_tri_v4:16:11056480:11060035:1 gene:Potri.016G106100.v4.1 transcript:Potri.016G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106100.v4.1 MLRLLFLLTCALALLASSVASAAIVERSFYVKNLTLRRLCSEQVVTAVNGSLPGPTLRVREGDTLIVHVFNKSPYDLSIHWHGVFQLLSAWADGPSMVTQCPITPGGKYTYKFKLLQQEGTLWWHAHFSLLRATVYGALIIRPRSGHPYPFPKPNKEIPILLGEWWNADVVGIERKAAATGAPPKISDAYTINGLPGDLYNCSQNRMYKLKVQKGKTYLLRIINAALDNQLFFKIANHNMTVVAVDAGYTVPYVTDVVVTGPGQTVDVLLAADQEVGSYFMAANAYASAGPAPPAFPAPPPFDNTTTRGIVVYEGAPTSATPIMPLMPAFTDTPTAHKFFTSITGLAGGPHWVPVPRHIDEHMFVTVGLGLSICPTCLNGTRLSASMNNFSFARPSSLSMLQAFFFNVSGIYTPDFPDTPPVKFDYTNVINAVNPSLLITPKSTSVKVLKYNATVEMVLQNTALLGVENHPIHLHGFNFHVLAQGFGNYDPVNDPKKFNLINPLSRNTINVPVGGWGVIRFTANNPGVWFIHCHLEAHLPMGLATAFVVENGPTPESTLPPPPVDLPQC >Potri.006G084300.2.v4.1 pep chromosome:Pop_tri_v4:6:6294692:6297865:1 gene:Potri.006G084300.v4.1 transcript:Potri.006G084300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084300.v4.1 MMSSKSSSLIKRVTPLFTSWIRQNHRLLSSSALREASTLVDDSIHLTDNCIRRLKELQTSEGSAKDKMLRLGVETGGCSGFQYVFDLDDKTNPDDRVFETEGVRLVVDNISYDFLKGATVDYVEELIRAAFIVATNPSAVGGCSCKSSFMVKQ >Potri.010G124000.1.v4.1 pep chromosome:Pop_tri_v4:10:14172963:14177362:1 gene:Potri.010G124000.v4.1 transcript:Potri.010G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124000.v4.1 MDLSFTLRFRPPNPPLSRRKLESPIIHPLKRFLPTFHRPDIKPNAKPFPMSSRTHQSTVVTAKLNKPIDGVSEELNLIASQNLDHAPARRRVRSAFVQVQQELDHPLFKMTPPGKTYEWYERNSRGLEIFCKRWIPEPGVRMKGAVFFCHGYGDTCTFFFEGIAKRIVASGYGVYALDHPGFGLSEGLHGYIPSFDELADNVIEQYTKIKGRPELRGLPCFLLGQSMGGAVALKVHLKKPRAWDGIILVAPMCRIAEDVQPPAPVLKALILLSKVMPKAKLLPQKDLAALCFRDLKKRKMADYNVICYNDQMRLKTAVELLNATKDIEKQVEKVSSPLLILHGAADKVTDPLVSQFLYQKASSKDKTLKLYEGAYHSILEGEPDTKIFAVFDDIIAWLDSRCSAK >Potri.011G093400.2.v4.1 pep chromosome:Pop_tri_v4:11:12038517:12040657:-1 gene:Potri.011G093400.v4.1 transcript:Potri.011G093400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G093400.v4.1 MPTFSLFFLVLISHATITFSRHPLLRISEATKWSSTRRQLGNDACRTGNPIDDCWRCDPDWETNRKVLADCAIGFGRNAVGGRDGNLYVVTNSDNDDPVNPIPGTLRYGVIQEEPLWIIFDQDMVINLKEELIMNSHKTIDGRGHNIQIADGPCITIQNVSNIIIHNIYIHGCVPGGNAIVRDSTKHYGLRGNSDGDGISIFAARDVWIDHCTLANCHDGLIDAVFGSTSITISNNYMFNHNEVMLMGHSDDFLDDKNMQVTIAFNFFGENLVQRMPRCRHGYFHIVNNIYTGWEKYAIGGSADPTINSQGNVFMALDNSDTKEVTWHESLTGFEEWKSWNWRSDGDMMLNGAFFTPSGQKSSASYIKASSMVARPASYLTNMSPQAGALNCQKGYQC >Potri.002G229650.1.v4.1 pep chromosome:Pop_tri_v4:2:21993181:21993495:1 gene:Potri.002G229650.v4.1 transcript:Potri.002G229650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229650.v4.1 MGTPGLAGRSIAPSGEPMPQLSKYLAGCAAKLTGKCGAEIFLSFSGNNNNPSDSCCQKLVATGIDCHNAFTEFLESKEPQENPSKISLRSLDIWNHCVAVAAKP >Potri.012G057601.1.v4.1 pep chromosome:Pop_tri_v4:12:5678934:5680073:-1 gene:Potri.012G057601.v4.1 transcript:Potri.012G057601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G057601.v4.1 MEGAWFQPTLPLAFTVFCTAKICYNLEGRTPFKKLCFPVKLDTCSLVGTTMRRGPHIPFPHARCASKAIAMIVFPIPVASARTFSHTRKQASQGLRADIQEEGTSEEKGKKGTMF >Potri.004G134900.2.v4.1 pep chromosome:Pop_tri_v4:4:15627096:15628409:-1 gene:Potri.004G134900.v4.1 transcript:Potri.004G134900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134900.v4.1 MPKWGQLVGDVLLQILNRLSFVDVQRAKTVCSHWNSVAKQLKLYTKFHRIPWLLIPPQDEESNASSDTNTKLFSIEDGQLYSSKYAASKEICCQSFCVGSSHGYMISINCERNNLFVFNPLTEKRTELPAMDTFLGFYRGWTCSRQLREHFIQKAILSCDPCHNKSYGVVLICCQNSRIAFCQCGDSGAMSWMYLEGMDAPFVDIMCHENKLYVLANCGSLAVWDLGSDSFPVSTKTITSLFSCASLRQTLNVSSDLYTSRLYLVESSGGVLLVVRRIGEFVDEEGRVLHEGDLLTDKAAEPLVCAYRTLLFGLFRMDFDKETWVSMTSLDDQAVFVGGNHSASVLTCDLPGCEKNSVYFTDDYWERMNEDYLYGGHDMGVFNLKDKSVKHFYQLDALKIQPPPCWFLPNPW >Potri.006G242350.1.v4.1 pep chromosome:Pop_tri_v4:6:24385440:24385838:-1 gene:Potri.006G242350.v4.1 transcript:Potri.006G242350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242350.v4.1 MCPYAYPHNHKLSGVFACCHLLCILLGVLDALLWGPGSFSVDEQGDFFTSSSFSVILSQFYFVFFPLVYLFFVLSSPPPISLCSCFLFAAYTCSSLSLQHCSLLFFNFSLYRLIFVISLMFLKFFCSGLVCF >Potri.004G020400.3.v4.1 pep chromosome:Pop_tri_v4:4:1475079:1480965:-1 gene:Potri.004G020400.v4.1 transcript:Potri.004G020400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020400.v4.1 MFQANMFEGHHMFDMASKSSENDSSKLKDDDYETKSGTETMEAQSGDDQDPSEQHPKKKRYHRHTQRQIQDMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERSENSILKAENERLRVENNRYKEALRNASCPNCGGPAALGEMSFDEQHLRIENVRLREEIDRISGIAAKYVGKPLSSLSNLSPHLPSRSLDLGVSNFGAQSGFVGEMFGATDLLRSVTGPTEADKSMIVEIAVAAMEELMRIAQAGEPLWIQGENNTEMLNEEEYLRTFTRGIGPKPLGMRSEASRESAVVIMNHVNLVEILMDANQWSTIFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPIVPTRENYFVRYCKQHTDGTWAVVDVSLDSLRPSLLSKCRRRPSGCLIQELPNGYSKVVWVEHIEVDDRSVQNIYRPLVNSGLAFGAKRWVGTLDRQCERLASSMAINIPSGDLCVITTAEGRKSMLKLAERMVMSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVQSKRMFDFLRDENHRSEWDILSNGGEVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGPGYGSAGILDVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVMCDNA >Potri.004G020400.6.v4.1 pep chromosome:Pop_tri_v4:4:1475218:1479772:-1 gene:Potri.004G020400.v4.1 transcript:Potri.004G020400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020400.v4.1 MFQANMFEGHHMFDMASKSSENDSSKLKDDDYETKSGTETMEAQSGDDQDPSEQHPKKKRYHRHTQRQIQDMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERSENSILKAENERLRVENNRYKEALRNASCPNCGGPAALGEMSFDEQHLRIENVRLREEIDRISGIAAKYVGKPLSSLSNLSPHLPSRSLDLGVSNFGAQSGFVGEMFGATDLLRSVTGPTEADKSMIVEIAVAAMEELMRIAQAGEPLWIQGENNTEMLNEEEYLRTFTRGIGPKPLGMRSEASRESAVVIMNHVNLVEILMDANQWSTIFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPIVPTRENYFVRYCKQHTDGTWAVVDVSLDSLRPSLLSKCRRRPSGCLIQELPNGYSKVVWVEHIEVDDRSVQNIYRPLVNSGLAFGAKRWVGTLDRQCERLASSMAINIPSGDLCVITTAEGRKSMLKLAERMVMSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVQSKRMFDFLRDENHRSEWDILSNGGEVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGPGYGSAGILDVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVMCDNA >Potri.004G020400.5.v4.1 pep chromosome:Pop_tri_v4:4:1475205:1480881:-1 gene:Potri.004G020400.v4.1 transcript:Potri.004G020400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020400.v4.1 MFQANMFEGHHMFDMASKSSENDSSKLKDDDYETKSGTETMEAQSGDDQDPSEQHPKKKRYHRHTQRQIQDMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERSENSILKAENERLRVENNRYKEALRNASCPNCGGPAALGEMSFDEQHLRIENVRLREEIDRISGIAAKYVGKPLSSLSNLSPHLPSRSLDLGVSNFGAQSGFVGEMFGATDLLRSVTGPTEADKSMIVEIAVAAMEELMRIAQAGEPLWIQGENNTEMLNEEEYLRTFTRGIGPKPLGMRSEASRESAVVIMNHVNLVEILMDANQWSTIFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPIVPTRENYFVRYCKQHTDGTWAVVDVSLDSLRPSLLSKCRRRPSGCLIQELPNGYSKVVWVEHIEVDDRSVQNIYRPLVNSGLAFGAKRWVGTLDRQCERLASSMAINIPSGDLCVITTAEGRKSMLKLAERMVMSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVQSKRMFDFLRDENHRSEWDILSNGGEVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGPGYGSAGILDVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVMCDNA >Potri.004G020400.4.v4.1 pep chromosome:Pop_tri_v4:4:1475208:1480903:-1 gene:Potri.004G020400.v4.1 transcript:Potri.004G020400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020400.v4.1 MFQANMFEGHHMFDMASKSSENDSSKLKDDDYETKSGTETMEAQSGDDQDPSEQHPKKKRYHRHTQRQIQDMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKAQHERSENSILKAENERLRVENNRYKEALRNASCPNCGGPAALGEMSFDEQHLRIENVRLREEIDRISGIAAKYVGKPLSSLSNLSPHLPSRSLDLGVSNFGAQSGFVGEMFGATDLLRSVTGPTEADKSMIVEIAVAAMEELMRIAQAGEPLWIQGENNTEMLNEEEYLRTFTRGIGPKPLGMRSEASRESAVVIMNHVNLVEILMDANQWSTIFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPIVPTRENYFVRYCKQHTDGTWAVVDVSLDSLRPSLLSKCRRRPSGCLIQELPNGYSKVVWVEHIEVDDRSVQNIYRPLVNSGLAFGAKRWVGTLDRQCERLASSMAINIPSGDLCVITTAEGRKSMLKLAERMVMSFCTGVGASTAHAWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVQSKRMFDFLRDENHRSEWDILSNGGEVQEMAHIANGRDPGNCVSLLRVNSANSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGPGYGSAGILDVGSGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVMCDNA >Potri.001G353100.4.v4.1 pep chromosome:Pop_tri_v4:1:36714391:36723021:-1 gene:Potri.001G353100.v4.1 transcript:Potri.001G353100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353100.v4.1 MSGRGGVNNNNGKGNTGISGIPAASRKMVQSLKEIVSCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKDSTDSRSRGANNMSNRGGRGGADRNGRGGPGRPAYFSSNDSSTLHGKPSYKKENGANAYAGPSPSASSMAGNNINWQPPYHSDSVAIENKMTTVGAGDGVSSSAQPSPGYQSAWMGVPGQVSMADIVKMGRPQNKASTMPSHQSVNHQHATAPPLAASHHDFHSSENHAPKVVEINTEPEIDVNQRVHSNDEWPSIEQPTTASASPVREVPADSEFYGDLSNLPLDRGSQHVKSQFDDVQSSEDAHDESFDANHVGPASVSTRNMQEDCSGGSSIFDNNMYGNINSYQSHRHTFENNEAEDGASSVAANLHQLSLRNDDQGVQPEEDNPSVIIPNHLQVHTRECSHLSFGSFGSGMNSAFSGHYASMPVNNSLEETSEVVDASSTDHSDTRNPEYYGDEHLRNTVDESLVHRAGVSAVNYDTPPVPQAETLKETSEAAQGNQYAFPSSTPGYSYENTQQLNAAFNNSQTSTQMQNIAPFSSVMQAYTNSLPSALLASTVQTGRETDLPYSPFPVTQSLPTKYSSAASSISGPGISMSEALRAGGVSTPQPTPQTHPGANVATGPALPQHLAMHSYSQPTLPLGHFANMISYPFLAQSYTYMPSAYQQTFSGNNTYHQSLAAVLPQYKNSVSVSSLPQSAAVPSGYGYGSSTSIPTGNFPLNAPAAPAGTTIGYDDVLSSQYKDASHLISLQQNENSAMWMHGPGSRTMSAVPASTYYNFQGQNQQPGVFRQGQQPSQHFGAPGYPNYYHSQSGMSLEHQQQNTRDGSLGGSQGQPSKQAQQLWQNGY >Potri.011G050000.1.v4.1 pep chromosome:Pop_tri_v4:11:3992757:3996631:-1 gene:Potri.011G050000.v4.1 transcript:Potri.011G050000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050000.v4.1 MKIISSLLLLFSLLSFLQISPILAVKKSYVVYLGRNSHTSKPSTLGNDRMTESYYDLLGSCLKSKEKAKEAIFYLYTSHINGFAATLEDDEVDQLSNRPEVVSVFPNEVNQLHTTRSWEFLGLERNGQIPADSIWLKARFGEDVIIGNLDTGVWPESESFNDEGMGPIPTRWKGYCETNDGVKCNRKLIGARYFNKGYEAALGRPLDSSNNTARDTNGHGTHTLSTAGGRFVSGANFLGSAYGTAKGGSPNARVASYKVCWPGCYDADILAAFDAAIQDGVDILSISLGRALAIPYFRYGIAIGSFQAVKNGILVVCSAGNSGQFLSFGTTSNVAPWVLTVAASTIDREFPSNVVLGNNKEFKGTSFNTNNLSARKYYPIVYSVDAKVANASAQLAQLCYPESLNPTKVRGKIVYCLRGMIPDVEKSLVVAQAGGVGMILADQSAESSSMPQGFFVPTSIVSAIDGLSVLSYIYSTKSPVAYISGSTEIGKVVAPVMAFFSSTGPNEITPEILKPDITAPGVSILAAYTKAPRRLSRLIDQRPLSFNVISGTSMACPHVSGIAGLLKTMHPDWSPAAIKSAIMTTARTCSNARQPIVKASAAEATPFNYGSGHLRPNRAMDPGLVYDLTTTDYLNFLCSIGYNATQMSIFIEEPYACPPKNISLLNFNYPSITVPNLSGNVTLTRTLKNVGTPGLYTVRVKKPDGILVKVEPESLKFSKLNEEKTFKVTLKAKDNWFDSSYVFGGLTWSDGVHRVKSPIVVRKAVNPTLN >Potri.001G398500.1.v4.1 pep chromosome:Pop_tri_v4:1:42370369:42373637:-1 gene:Potri.001G398500.v4.1 transcript:Potri.001G398500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398500.v4.1 MRALKEFAGKLTNGSIITSWSSKTDCCQWEGVVCRSNINGSIHSRVTMLILSKMGLQGLIPPSLGRLDQLKSVNLSFNQLSGGLPSELSSLKQLEDLDLSHNLLSGQVSGVLSRLLSIRTLNISSNLFKEDLLELGGYPNLVAFNMSNNSFTGRISSQICSSSEGIQILDLSANHLVGDLEGLFNCSRSLQQLHLDSNSLSGSLPDFLYSMSALQHFSIPNNNFSGQLSKEVSKLFNLKNLVIYGNQFSGHIPNAFVNLTYLEQFVAHSNMLSGPLPSTLSFCSKLHILDLRNNSLTGPIDLNFSGMPSLCTLDLASNHLSGPLPNSLSVCRELKILSLVKNELTGKIPESFANLSSLLFLSLSNNSFVDLSGALTVLQQCQNLSTLILTKNFVGEEIPRNVSGFRNLMVLAFGNCALKGQIPVWLLRCRKLEVLDLSWNHLDGSIPSWIGQMENLFYLDFSNNSLTGEIPLSLTQLKSLANSSSPHLTASSGIPLYVKRNQSASGLQYNQASSFPPSILLSNNRITGTIPPEVGRLQDLHVFDLSRNNITGTIPSSFSQMENLEVLDLSSNNLYGSIPPSLEKLTFLSKFSVANNHLRGQIPSGGQFYSFPSSSFEGNPGLCGVIVSPCNVINNMMKPGIPSGSDSSRFGRGNILSITITIVVGLALVLAVVLHKMSRRNVGDPIGDLEEEVSLPHRLSEALRSSKLVLFQNSDCKDLTVPDLLKSTNNFNQANIIGCGGFGLVYKANLPNGTKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHESVDGGSVLKWEVRLKIAQGAACGLAYLHKVCEPHIVHRDVKSSNILLDEKFEAHLADFGLSRLLCPYDTHVTTDLVGTLGYIPPEYSQTLMATCRGDVYSFGVVLLELLTGRRPVEVCKGKNCRNLVSWLFQMKSEKREAEIIDSAIWGKDRQKQLFEMLEIACRCLDQDPRRRPLIEEVVSWLDGIGFQAAQQ >Potri.001G398500.2.v4.1 pep chromosome:Pop_tri_v4:1:42370394:42375060:-1 gene:Potri.001G398500.v4.1 transcript:Potri.001G398500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398500.v4.1 MAMVETAPMTFLRSVFFACFLCSSWGLKTTTQSCDPNDMRALKEFAGKLTNGSIITSWSSKTDCCQWEGVVCRSNINGSIHSRVTMLILSKMGLQGLIPPSLGRLDQLKSVNLSFNQLSGGLPSELSSLKQLEDLDLSHNLLSGQVSGVLSRLLSIRTLNISSNLFKEDLLELGGYPNLVAFNMSNNSFTGRISSQICSSSEGIQILDLSANHLVGDLEGLFNCSRSLQQLHLDSNSLSGSLPDFLYSMSALQHFSIPNNNFSGQLSKEVSKLFNLKNLVIYGNQFSGHIPNAFVNLTYLEQFVAHSNMLSGPLPSTLSFCSKLHILDLRNNSLTGPIDLNFSGMPSLCTLDLASNHLSGPLPNSLSVCRELKILSLVKNELTGKIPESFANLSSLLFLSLSNNSFVDLSGALTVLQQCQNLSTLILTKNFVGEEIPRNVSGFRNLMVLAFGNCALKGQIPVWLLRCRKLEVLDLSWNHLDGSIPSWIGQMENLFYLDFSNNSLTGEIPLSLTQLKSLANSSSPHLTASSGIPLYVKRNQSASGLQYNQASSFPPSILLSNNRITGTIPPEVGRLQDLHVFDLSRNNITGTIPSSFSQMENLEVLDLSSNNLYGSIPPSLEKLTFLSKFSVANNHLRGQIPSGGQFYSFPSSSFEGNPGLCGVIVSPCNVINNMMKPGIPSGSDSSRFGRGNILSITITIVVGLALVLAVVLHKMSRRNVGDPIGDLEEEVSLPHRLSEALRSSKLVLFQNSDCKDLTVPDLLKSTNNFNQANIIGCGGFGLVYKANLPNGTKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHESVDGGSVLKWEVRLKIAQGAACGLAYLHKVCEPHIVHRDVKSSNILLDEKFEAHLADFGLSRLLCPYDTHVTTDLVGTLGYIPPEYSQTLMATCRGDVYSFGVVLLELLTGRRPVEVCKGKNCRNLVSWLFQMKSEKREAEIIDSAIWGKDRQKQLFEMLEIACRCLDQDPRRRPLIEEVVSWLDGIGFQAAQQ >Potri.001G398500.3.v4.1 pep chromosome:Pop_tri_v4:1:42370379:42375062:-1 gene:Potri.001G398500.v4.1 transcript:Potri.001G398500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398500.v4.1 MAMVETAPMTFLRSVFFACFLCSSWGLKTTTQSCDPNDMRALKEFAGKLTNGSIITSWSSKTDCCQWEGVVCRSNINGSIHSRVTMLILSKMGLQGLIPPSLGRLDQLKSVNLSFNQLSGGLPSELSSLKQLEDLDLSHNLLSGQVSGVLSRLLSIRTLNISSNLFKEDLLELGGYPNLVAFNMSNNSFTGRISSQICSSSEGIQILDLSANHLVGDLEGLFNCSRSLQQLHLDSNSLSGSLPDFLYSMSALQHFSIPNNNFSGQLSKEVSKLFNLKNLVIYGNQFSGHIPNAFVNLTYLEQFVAHSNMLSGPLPSTLSFCSKLHILDLRNNSLTGPIDLNFSGMPSLCTLDLASNHLSGPLPNSLSVCRELKILSLVKNELTGKIPESFANLSSLLFLSLSNNSFVDLSGALTVLQQCQNLSTLILTKNFVGEEIPRNVSGFRNLMVLAFGNCALKGQIPVWLLRCRKLEVLDLSWNHLDGSIPSWIGQMENLFYLDFSNNSLTGEIPLSLTQLKSLANSSSPHLTASSGIPLYVKRNQSASGLQYNQASSFPPSILLSNNRITGTIPPEVGRLQDLHVFDLSRNNITGTIPSSFSQMENLEVLDLSSNNLYGSIPPSLEKLTFLSKFSVANNHLRGQIPSGGQFYSFPSSSFEGNPGLCGVIVSPCNVINNMMKPGIPSGSDSSRFGRGNILSITITIVVGLALVLAVVLHKMSRRNVGDPIGDLEEEVSLPHRLSEALRSSKLVLFQNSDCKDLTVPDLLKSTNNFNQANIIGCGGFGLVYKANLPNGTKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNDRLLIYSYMENGSLDYWLHESVDGGSVLKWEVRLKIAQGAACGLAYLHKVCEPHIVHRDVKSSNILLDEKFEAHLADFGLSRLLCPYDTHVTTDLVGTLGYIPPEYSQTLMATCRGDVYSFGVVLLELLTGRRPVEVCKGKNCRNLVSWLFQMKSEKREAEIIDSAIWGKDRQKQLFEMLEIACRCLDQDPRRRPLIEEVVSWLDGIGFQAAQQ >Potri.001G466400.1.v4.1 pep chromosome:Pop_tri_v4:1:49146205:49147561:-1 gene:Potri.001G466400.v4.1 transcript:Potri.001G466400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466400.v4.1 MLASSVKLTDLCDFMENPDGSLTRNSAFPDVPPTEQKTPGSKELSLSKDIPLNPNNKTFLRLFRPLNPPQNTALPLIIYFHGGGFVLYSAATLAFHQTCSDMASHFPALVLSVDYRLAPEHRLPAAYEDAMESIKWVQNQVLDINGPSCEPWFKEYLDFSRCFLMGMSAGGNIAYHANLLALNIDIKPLKIIGLILNVPYFSAVTRTESEKRLINDPVLPLATSDRMWALSLPEDTDRDDEYCNPIVGGSLEKNKIERLPRCFFRGYGGDPLVDKQKELVKMLESRGVDVVARFDEDGFHGVEVFDPAKAKAFYDYGKEFVYTTV >Potri.013G068501.4.v4.1 pep chromosome:Pop_tri_v4:13:5418889:5430211:1 gene:Potri.013G068501.v4.1 transcript:Potri.013G068501.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068501.v4.1 MEAKSPSECPNTVTVRRNPHRRARPTPSASINPNPNAQNPNMKREISSFPIQDILAMEIPQKNPPEPPAPATSEDLKVYLRIRPIVTLKPNTKDQKIFRQRQKNAWPQNPSSKNNSANVKKNNTTTTTSSSNEVCIDVNDSHSVTLSPPASLQDSKRIKSEVYEGFSHVFASDSTQNEVFEKMVKPLVDDFLNGKSGLLAALGPSGSGKTHTVFGTPREPGMVHLALKQIFKEAQQCGSKLTREFKVSVFEIYSDRGKGEKISDLSPDGGDLSMQQATIKGLQEVAISSAAQAESLIACAMLKRTTAMTNTNSQSSRSQCIINIHSFVRDPDVEPNNAVLTIVDLAGAEREKRTGNQGSRLIESNFINNTSMVFGLCLRSLLEHQSNPKKPLKMHFKNSMLTRYLRDYLEGKRRMTLILTVKPGEHDYSDTSYLLRQASPFMKIKFTNVEEPSMFLNKRNIEMLPRVEQAKKMKCSGRYAKTEEGKSVRDEHPLLPKVTKRIYTSDSVCAALVKPDSIDLPRERNHQVMQNFAKALWNVLKQYKEKLMVAEREIQGLNEIIGNEKTRYFKLEKELKDFKSYCSCSMENSTVSTLVNIDTKSKALDPRCHNDFEPGNDQDVFAEAPECNTTQKFDGTPGHDQNIIFEIEESAHFLNLKASECDGSPRKDREATPKKCDSTPIQDQNVIYEIKEKDHFLNLKASECNGSPRKDQCATKKCDYTLGHDQNVFFQIEEKDHFLNLKAPECNGSPKKDRGATKNCDYTTGNDQYVFSQTEEHVHSLNRRASECDGSPKKYQDVVTKKSLDPSVSPKDVASIQKCNLDVPESELLSDSSCKPLNVLKPKRRLLPASSTLLRDIPLGIEDESEKPKGNRGAKKSAADETKRTQGSISLLRLLQSNLHV >Potri.013G068501.1.v4.1 pep chromosome:Pop_tri_v4:13:5418885:5430220:1 gene:Potri.013G068501.v4.1 transcript:Potri.013G068501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068501.v4.1 MEAKSPSECPNTVTVRRNPHRRARPTPSASINPNPNAQNPNMKREISSFPIQDILAMEIPQKNPPEPPAPATSEDLKVYLRIRPIVTLKPNTKDQKIFRQRQKNAWPQNPSSKNNSANVKKNNTTTTTSSSNEVCIDVNDSHSVTLSPPASLQDSKRIKSEVYEGFSHVFASDSTQNEVFEKMVKPLVDDFLNGKSGLLAALGPSGSGKTHTVFGTPREPGMVHLALKQIFKEAQQCGSKLTREFKVSVFEIYSDRGKGEKISDLSPDGGDLSMQQATIKGLQEVAISSAAQAESLIACAMLKRTTAMTNTNSQSSRSQCIINIHSFVRDPDVEPNNAVLTIVDLAGAEREKRTGNQGSRLIESNFINNTSMVFGLCLRSLLEHQSNPKKPLKMHFKNSMLTRYLRDYLEGKRRMTLILTVKPGEHDYSDTSYLLRQASPFMKIKFTNVEEPSMFLNKRNIEMLPRVEQAKKMKCSGRYAKTEEGKSVRDEHPLLPKVTKRIYTSDSVCAALVKPDSIDLPRERNHQVMQNFAKALWNVLKQYKEKLMVAEREIQGLNEIIGNEKTRYFKLEKELKDFKSYCSCSMENSTVSTLVNIDTKSKALDPRCHNDFEPGNDQDVFAEAPECNTTQKFDGTPGHDQNIIFEIEESAHFLNLKASECDGSPRKDREATPKKCDSTPIQDQNVIYEIKEKDHFLNLKAFECDGSPRKDQGATKKYDYTLGHDQHVFSQIKEKDHFLNLKASECNGSPRKDQCATKKCDYTLGHDQNVFFQIEEKDHFLNLKAPECNGSPKKDRGATKNCDYTTGNDQYVFSQTEEHVHSLNRRASECDGSPKKYQDVVTKKSLDPSVSPKDVASIQKCNLDVPESELLSDSSCKPLNVLKPKRRLLPASSTLLRDIPLGIEDESEKPKIPYLFAMQGNRGAKKSAADETKRTQGSISLLRLLQSNLHV >Potri.013G068501.2.v4.1 pep chromosome:Pop_tri_v4:13:5418874:5430202:1 gene:Potri.013G068501.v4.1 transcript:Potri.013G068501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068501.v4.1 MEAKSPSECPNTVTVRRNPHRRARPTPSASINPNPNAQNPNMKREISSFPIQDILAMEIPQKNPPEPPAPATSEDLKVYLRIRPIVTLKPNTKDQKIFRQRQKNAWPQNPSSKNNSANVKKNNTTTTTSSSNEVCIDVNDSHSVTLSPPASLQDSKRIKSEVYEGFSHVFASDSTQNEVFEKMVKPLVDDFLNGKSGLLAALGPSGSGKTHTVFGTPREPGMVHLALKQIFKEAQQCGSKLTREFKVSVFEIYSDRGKGEKISDLSPDGGDLSMQQATIKGLQEVAISSAAQAESLIACAMLKRTTAMTNTNSQSSRSQCIINIHSFVRDPDVEPNNAVLTIVDLAGAEREKRTGNQGSRLIESNFINNTSMVFGLCLRSLLEHQSNPKKPLKMHFKNSMLTRYLRDYLEGKRRMTLILTVKPGEHDYSDTSYLLRQASPFMKIKFTNVEEPSMFLNKRNIEMLPRVEQAKKMKCSGRYAKTEEGKSVRDEHPLLPKVTKRIYTSDSVCAALVKPDSIDLPRERNHQVMQNFAKALWNVLKQYKEKLMVAEREIQGLNEIIGNEKTRYFKLEKELKDFKSYCSCSMENSTVSTLVNIDTKSKALDPRCHNDFEPGNDQDVFAEAPECNTTQKFDGTPGHDQNIIFEIEESAHFLNLKASECDGSPRKDREATPKKCDSTPIQDQNVIYEIKEKDHFLNLKAFECDGSPRKDQGATKKYDYTLGHDQHVFSQIKEKDHFLNLKASECNGSPRKDQCATKKCDYTLGHDQNVFFQIEEKDHFLNLKAPECNGSPKKDRGATKNCDYTTGNDQYVFSQTEEHVHSLNRRASECDGSPKKYQDVVTKKSLDPSVSPKDVASIQKCNLDVPESELLSDSSCKPLNVLKPKRRLLPASSTLLRDIPLGIEDESEKPKGNRGAKKSAADETKRTQGSISLLRLLQSNLHV >Potri.018G014900.1.v4.1 pep chromosome:Pop_tri_v4:18:1038164:1041713:-1 gene:Potri.018G014900.v4.1 transcript:Potri.018G014900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014900.v4.1 MATPICSISLLSVFLLLFSLEKGYAVEENEATKSYLHIIKVNSLLPTTACNHSSKVSNSLSLEVVHRHGPCIGIVNQEKGADAPSNMEIFLRDQNRVDSIHARLSSRGMFPEKQATTLPVQSGASIGAGDYVVTVGLGTPKKEFTLIFDTGSDITWTQCEPCVKTCYKQKEPRLNPSTSTSYKNISCSSALCKLVASEGSQSCSSSTCLYQVQYGDGSYSIGFFATETLTLSSSNVFKNFLFGCGQQNNGLFGGAAGLLGLGRTKLALPSQTAKTYKKLFSYCLPASSSSKGYLSLGGQVSKSVKFTPLSADFDSTPFYGLDITGLSVGGRKLSIDESAFSAGTVIDSGTVITRLSPTAYSELSSAFQNLMTDYPSTSGYSIFDTCYDFSKYDTVRIPKVGVTFKGGVEMDIDVSGILYPVNGLKKVCLAFAGNDDDSDTSIFGNVQQRTYQVVYDGAKGRVGFAPGGCS >Potri.014G146000.2.v4.1 pep chromosome:Pop_tri_v4:14:9978734:9980471:-1 gene:Potri.014G146000.v4.1 transcript:Potri.014G146000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146000.v4.1 MIKQPHFLLVTLPLQGHINPSVQFAKRLTLIGARVTLATALSAQRRMSKTLFPDGLSFVTFSDGYDDGLKPEDDRVHYMSELKRRGSQTLNELIVDSAKEGKPITCLVYTVLLPWAVEVARAQHLPAAFLWIQPATVFDIYFYYFNCYGDIFSNCKDTSNVIALPGLPQFASRDLPSFLLPSNTSTAALHLFQEQLEQLGQETNPKVLVNSFDALELGAMNATEKFSLIGIGPLIPSAFLDGKDPLDKSFGGDLFQGSEDYTEWLNSKPKSSVVYVSFGSILVLSNRQMEEISRGLVQGGLPFLWVVRDEQNKKKEKEEDDQLSACREAILEKQGMVVPWCCQVEVLSHPSIGCFVTHCGWNSTLESLVSGVPVVAFPHWTDQGTNAKLIEDVWKTGVRVVANEEGIVEGDEIKRSLDLVMADGKTGEDFRKNAKKWKDLALDAVKDGGSSDKNLKAFVDEVGKGCF >Potri.010G076500.1.v4.1 pep chromosome:Pop_tri_v4:10:10384408:10390369:1 gene:Potri.010G076500.v4.1 transcript:Potri.010G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076500.v4.1 MDETFFDLMEFLKKPSITETFVDILLCAVPIWLAVMIGLVIGWSWRPRWTGLVFLGLRGKFRFLWAPPGFGARRLWLAFTALSALSVCRTIWSNFKGKDGKSVPAAAASSSGANSGERRDGSVSSTGELEDREDIVTEKDLEHLLHLLEGKDGRKEWQCMMERSTSNMRYQAWRHEPQEGPTVYRSRTVFEDATPELVRDFFWDDEFRPKWDPMLAYFKILEECPHTGRMIVHWIKKFPFFCSDREYIIGRRIWDARKASYCVTKGVPYPGLHKRDKPRRVDLYFSSWVIRAVESRRGDGQMSACEVTLLHYEDMGIPKDVAKLGVRHGMWGAVKKLHSGMRAYQNARKSEASLSRSALMARITTKISFDEGMDSSEPVTGGEEDKSQAVDIQRHNDHGIDWKWIAIGGTVTLVCCLHSGAIGKALLLGAGQRIARR >Potri.018G005900.1.v4.1 pep chromosome:Pop_tri_v4:18:481899:486445:1 gene:Potri.018G005900.v4.1 transcript:Potri.018G005900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005900.v4.1 MEVSDFEEETLKKRHKKNPFTSSMGEVNFMHEDDDNDRLETARARFSNVIKRHTALAERLSRDSDKMIFERLQKEFEAARASQAEEVYLDGEEWNDGLLATIRERVHMEVDRKAMLAETDVIPNHHVEEKITYRVGNKVICCLEGARIGIQYETTFAGDPCELYHLVLESKSFLEKMTVLEHTIPFFLPLREAENDLLSSNAMRFIDYIGELLQAYVDRKEQVRLIKELYGNQIGELYHSLPYHIIEFVLDDFPCKVIVSLRYADLVSVLPTRVRVIAWPIDQFKKSEVMGAHPSPRRLSYAEDALKSMSLPEAYAEIVLRLPQVTQENFFEDRNPS >Potri.008G103900.1.v4.1 pep chromosome:Pop_tri_v4:8:6570839:6571630:-1 gene:Potri.008G103900.v4.1 transcript:Potri.008G103900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103900.v4.1 MCPYGTSLAAGTKRGGARSDFRPAFDVLDADHDGKISKDDLRMFYAGFSSNGADDDFIGSMMSVADFNKDGFVEYDEFERVLDGASEKKKSSSSTRSSSGVMEDVFKVMDKDGDGKLSVDDLKSYMQWAGFDASDDDIKAMIKSACYSGGGDKDGVTYDDFLKILALDNSSAA >Potri.017G075400.1.v4.1 pep chromosome:Pop_tri_v4:17:8306793:8309590:-1 gene:Potri.017G075400.v4.1 transcript:Potri.017G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075400.v4.1 MDKDTTQKMGTFDAEAESVITSSFQDLSFTNSTVSIGLCSSVSDNSVSNSSNVSNGTAASESKESEKASLICDPNEASFRSSYPSKPHKGNDFRWDAVQCVKGKDGDMGMGLGHFRLLKKLGVGDIGSVYLAELRGMGCLFAMKVMDKGMLAGRKKLLRARTEREILGLLDHPFLPTLYSHFETDKFSCLLMEFCSGGDLHILRQRQPGKHFSEQAARFYASEVLLALEYLHMMGVVYRDLKPENVLVREDGHIMLSDFDLSLRCCVSPTLVQSSTVSSCKISSYCIEPSCIDPACKLPVCVEPSCLQPSCLKPRFFKPKTAKVRNEKPNLANSNSLPVLVAEPTTARSMSFVGTHEYLAPEIIRGDGHGSAVDWWTFGIFLYELILGRTPFKGNGNRETLFNVVGQPLKFPEGSSVSFAAKDLIRGLLMKDPQKRLGFKRGATEIKQHPFFETVNWALIRSTHPPEIPKPVDLLSLNLAFKSSLAPNDNRATDSDRSSGPFLDFEFF >Potri.003G084000.1.v4.1 pep chromosome:Pop_tri_v4:3:11059335:11060075:-1 gene:Potri.003G084000.v4.1 transcript:Potri.003G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084000.v4.1 MEAKRWSSFILLVVVVLGMWEVNKADAALSAAQCKEERRLGLNACKPVIYGKLPSPACCERVRVSHVECVCPVITPKLAALIDLDRAIRLIEGCGRRVPRHFKCGSITTP >Potri.008G107600.1.v4.1 pep chromosome:Pop_tri_v4:8:6803278:6810065:1 gene:Potri.008G107600.v4.1 transcript:Potri.008G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107600.v4.1 MQSSLTLSLSQSATASTIPKSNYTLNHALRFPPSKLINLRFCGLRREAFLGSSSLSRSQSLQNKTLSKKINNAISASLSGNGSAPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVAAAGYDRQGVADHANNLAMKIRNNLTNSMKALGVDILTGFGSILGPQTVRYGKLDDSPGNTVTAKDIIVATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAENGKPVTIELIDARTKEPKDTLEVDAALIATGRAPFTKGLGLDNVQVALKNGFVPVDERMQVLNFEGNPVPHLYCIGDANGKMMLAHAASAQGISVVEQITGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEVSVTKTSFKANTKALAENEGEGIAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVKAHVPGPVSEPVAV >Potri.008G107600.2.v4.1 pep chromosome:Pop_tri_v4:8:6803327:6810017:1 gene:Potri.008G107600.v4.1 transcript:Potri.008G107600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107600.v4.1 MQSSLTLSLSQSATASTIPKSNYTLNHALRFPPSKLINLRFCGLRREAFLGSSSLSRSQSLQNKTLSKKINNAISASLSGNGSAPKSFDYDLIIIGAGVGGHGAALHAVEKGLKTAIIEGDVVGGTCVNRGCVPSKALLAVSGRMRELQSEHHMKALGLQVAAAGYDRQGVADHANNLAMKIRNNLTNSMKALGVDILTGFGSILGPQTVRYGKLDDSPGNTVTAKDIIVATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAENGKPVTIELIDARTKEPKDTLEVDAALIATGRAPFTKGLGLDNVQVALKNGFVPVDERMQVLNFEGNPVPHLYCIGDANGKMMLAHAASAQGISVVEQITGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEVSVTKTSFKANTKALAENEGEGIAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVLDELFKSAKVSF >Potri.010G182200.1.v4.1 pep chromosome:Pop_tri_v4:10:18048730:18049680:1 gene:Potri.010G182200.v4.1 transcript:Potri.010G182200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182200.v4.1 MMRLLTGEQKDNDVPFDFSPISITSFGNLVVVEGCNTSRSISWVHAWTVTDGIITQVREYFNTSLTVTRLGNQSQPSDFKSKSNSSSTPEISLLHCPSIWESTLSNRVGKSVPGLVLAI >Potri.017G088700.2.v4.1 pep chromosome:Pop_tri_v4:17:10166071:10170898:-1 gene:Potri.017G088700.v4.1 transcript:Potri.017G088700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088700.v4.1 MEAKLLGASCPAFLASKESRFSRANYSLGLQTGQNGTTLRVSCPNLTKRRILSVQASAVSMGLTEKKRVDISENLTLDNIRSSLILQEDSIIFSLLERSQYCYNASTYDPEAFALEGFHGSLIEFILKETEKLHAQLGRYKSPDEHPFFPDDLPEPVLPPLQYPQVLHPITDSININKTVWDMYFRELIPRLVKEGDDGNCGSTAVCDTICLQALSKRIHYGEFVAEAKFRASPDDYEAAIRAQDSKRLMEILTYPAVEEAVKKRVEMKAKAFGQEVTMEGEIDGTDPVYKIRPTLVADLYGEWIMPLTKEVQVQYLLRRLD >Potri.018G132800.1.v4.1 pep chromosome:Pop_tri_v4:18:14231795:14233811:-1 gene:Potri.018G132800.v4.1 transcript:Potri.018G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132800.v4.1 MIPSSPSHLEVSFNSPKKKKSSPVDVVLGNDDFVGEILLHLPVKTVLKLKLISKRWLSIISHPSFAIRHTYLNPHTISGLLLNVAYHFKKPSSYLYVSIDGKSVVNVSSDFLRFDPDKPGSTYVSQSCNGLLLCSKRMRYSAARTKPTYYYVFNPTTRQFVELTLPSGDGIRSNRIQLVFDPSKSPYYKVLCIHYFKSLLEIHVYSSETKIWKLSLKQENFDSSSVDLNNGVFWNGAIHWISRMGNGFCFLLDKECLQAMPSPPLPESWETNNFRYFGESGGQLHFIGLLTGEQKADIRGVNASPEMLDDKMTSSSSSNIDSQYVVVYAMEKGCSKWFVKYYLDVNAIVMAYPEITDQDPSVSPCFVSGSIYVSSFIEENDEEGPLLVINMPGEIISYSFKHKAFKKLFSFHPCTHYSCYALKFTETLSLV >Potri.009G029200.1.v4.1 pep chromosome:Pop_tri_v4:9:4020685:4022023:-1 gene:Potri.009G029200.v4.1 transcript:Potri.009G029200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029200.v4.1 MDVAGSGGAPGNSRWNPTKEQISMLESFYSQGIRTPSTEMIEQITSRLKAYGHIEGKNVFYWFQNHKARQRQKQKQESMAYINNYLHKVHQPVFAPPCANVVCSPYFPQQSEVMGFCQQHPKMLLPSNFKMRPRSEARTYAFNGYEPAAPYGYHNRITMNKGERTLVTINHKSSSDQATLPLFPLHPTGTLEGATSICPVGSTDPAENSTNTPSSSEITTGIEEHSGDCKPFFDFFYGKDS >Potri.T084800.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:124959:127832:1 gene:Potri.T084800.v4.1 transcript:Potri.T084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084800.v4.1 MDFQLPYCFFFLLLLLLPFSSNGQAHSNISSGLFLTAASDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDLVQGGSRVQLLVLNDQSGRTIWSPVFGGSGAAYAAMLDTGNFVVASQAGANLWQSFDEPTDTLLPTQNLNLGAQLIAPYLEKNYSDGRFKFILQADSNLVLYTTRYPTTTSNYAYWSTQDSIGSGYRVVFNQSGYMYLAAQNGAMLNSVFSNSVSMQDFYLRATIDYDGVFRQYAYPKTASSSTRWAMAWTTLPNFIPSNICVVIRGPVGSGACGFNSYCIVGDDQRPTCKCLPGYTFFDPNDERKGCKKDFISQDCDHPSQEIDNFMIRDMLNTNFPYTDYEDFFSVDEDWCRQACLSDCYCAVATYNSGHCWKKRGPLSNGVTDPSIGDEALMKVRKGNRTAGSSAKKSDRSILITTGSVLLGSSIFLIVLSLLGIYVFFTRSNQQKQKVVPQLHVMPEMNLQNFTFNELETATGGFKEEVGRGAFGIVYRGALANEDKPLIAVKKLEKMAGEGDTEFNTEVKVIGRTNHKNLVQLIGFCNEGENRLLVYEYMSGGSLSNYIFGYSRPSWHRRMQIAFECSSQIIHCDIKPQNILLDESLNARISDFGLAKLLKTDQTKTTTGIRGTKGYVAPEWFKNLPVTTKVDTYSFGILLLELVCCRKNFEINAMQEDQIVLADWACDCLKEGKLNLLVEEDEEAMEDMKRVERFVMVAIWCIHEDPSLRPGMKKVVQMLEGSVQVSVPPDPSSFISTI >Potri.018G060300.3.v4.1 pep chromosome:Pop_tri_v4:18:6110024:6114819:1 gene:Potri.018G060300.v4.1 transcript:Potri.018G060300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G060300.v4.1 MEEMYRAVAVPFRVGNSVCESPSLDTHMDITRLLMADTASLLSDTVTKVSTVGNKDCNCCDLDDEVKDTAVQAPKEDKGGGGGPLLDMISENERNWVVGDDVITRDSEEDDSLSLEGDPILDCSCSLSVASETSSLCGEDFLSFEATFEVGTPSSVDIEKSAGGVDIIPKTADLGDLNVDAIVSDPLSVAGIVEEEVGDGSDAKTSAVVPKLTLERGASGTISRSVFEVDYIPLWGFTSVCGRRPEMEDAVAAVPYFLKIHIQMLIGDRLLDGMSNCLPLQTAHFFGVYDGHGGSQVANYCRDRFHSALSEEIEFVKNGLIDGSIKDGCQEQWKKAFTSCFLKVDAEVGGKGSAEPVAPETVGSTAVVATICSSHIIVANCGDSRAVLCRGKEPVALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARKRILVWHKKNGVTLSSSRGGGIDPAAQAAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >Potri.018G060300.2.v4.1 pep chromosome:Pop_tri_v4:18:6110024:6114522:1 gene:Potri.018G060300.v4.1 transcript:Potri.018G060300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G060300.v4.1 MEEMYRAVAVPFRVGNSVCESPSLDTHMDITRLLMADTASLLSDTVTKVSTVGNKDCNCCDLDDEVKDTAVQAPKEDKGGGGGPLLDMISENERNWVVGDDVITRDSEEDDSLSLEGDPILDCSCSLSVASETSSLCGEDFLSFEATFEVGTPSSVDIEKSAGGVDIIPKTADLGDLNVDAIVSDPLSVAGIVEEEVGDGSDAKTSAVVPKLTLERGASGTISRSVFEVDYIPLWGFTSVCGRRPEMEDAVAAVPYFLKIHIQMLIGDRLLDGMSNCLPLQTAHFFGVYDGHGGSQVANYCRDRFHSALSEEIEFVKNGLIDGSIKDGCQEQWKKAFTSCFLKVDAEVGGKGSAEPVAPETVGSTAVVATICSSHIIVANCGDSRAVLCRGKEPVALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARKRILVWHKKNGVTLSSSRGGGIDPAAQAAAEYLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >Potri.009G164900.4.v4.1 pep chromosome:Pop_tri_v4:9:12638785:12645048:-1 gene:Potri.009G164900.v4.1 transcript:Potri.009G164900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164900.v4.1 MFTKAVIVLLISLLVWTYQTIIQPPPPNICGLPGGPPVTSPRIKLSDGRYLAYRERGVAKEKSEFKIIMVHGFDNSKDMALVASQELILELRIYFLSFDRAGYGESDPNPNRSVKSDTFDIQELADKLQLGSNFYVLGISMGGYPTWGCLKYIPNRLAGAALVVPIVNYWWPSIPCHLSREAYKRQLQRDQWKLRIAHYVPGLLYWWMSQKWFPSSSYVEIVPEVYGNRDKQILKMMSGTLTHNQTMIRQQGVFESLHRDLMVGFGSWDFDPMELSNPFPHNESFIHIWQGFEDPLVPVKLQRYVCRKLQWIRYHEVTDGGHLIMYDTNLFEAILRELLLPSGV >Potri.001G148300.1.v4.1 pep chromosome:Pop_tri_v4:1:12241279:12262442:1 gene:Potri.001G148300.v4.1 transcript:Potri.001G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148300.v4.1 MWVVMCPFLMQWQLFCCILLGFFCASTISFAPGQYEGGGIWSGNGLHSSGSVSSNHSRSGTSSYVKTLKFSLPLNSSVSCEELEGVGSLNTTCVVNSNLYLNSDLYIYGTGNLEIIPHVSIVCPIEGCMVTVNMTGNVNIGQHAAIIAGSVVFSAANLTMDSHSSINTTALGGSPPPQTSGTPVGDDGGGGGHGGRGASCLKRNKTSNWGGDVYAWSTLAEPWSYGSKGGGTSSQNKCGGNGGGRVKLQVKEILYLNGSVAAEGGDGGLNGGGGSGGSIFVHAVKLKGYGTISAAGGRGWGGGGGGRVSLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTFFNADLLSLRVSNDYVMTETETPLLDFPTMTLWSNVFVENYAKVLVPLVWSRVQVRGQISLYRGGSIVFGLSEFPVSEFELVAEELLMSDSIIKVFGAFRVAIKMLLMWNSKIEIDGGGNTVVTASVLEVRNLIVLRAGSVLGSNANLGLYGQGLLKLTGHGDTIRGQRLSLSLFYNITVGPGSLLQAPLDDDASRSVVTKSLCESHTCPIDLITPPDDCHVNYTLSFSLQICRVEGLLVNGIIKGSIIHIHRARTIIIDTDGLITASELGCNDGIGKGNYSKGAGSGAGHGGRGGSGCFNGIVSNGGNKYGNADLPCELGSGTQGPNQSYGNVIGGGMIVMGSIQWPLLRLNLYGSLMVDGQSFDKASVNSNASLIGGLGGASGGTVLLFLQELMLAEKSSLSVRGGNGSPLGGGGGGGGRVHFHWYKIDTGDEYVPVASISGSINNSGGAGENGGLFGEEGTVTGKKCPKGLYGTFCKECPLGTFKDVDGSDESLCIPCSLDLLPNRANFIHVRGGVSQPSCPYKCISDKYRMPNCYTPLEELVYTFGGPWPFALILSVLLVLLALLLSTARIKLVGSGKCYDASSVEHQSHHHFPHLLSLSEVRGTRAEESQSHVYRMYFMGPNTFREPWHLPYFLPNAIIEIVYEDAFNRFIDDINSVAAYDWWEGSVHSILSVLAYPCAWSWKQWRQRNKIHRLQEYVKSEYDHLCLRSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMSPYNLHSDTLLTNLLGQHVPATVWNHLVAGLNAQLRIVRHGSIRSALLPVIDWICSHGNPQLEFHGVKMELGWFQATASGYYQLGVLVMVGDYSLHSIHQSDWVDKGNGEPTRNSASCASRSLKQLQQERPYLSQSLSRKRMTGGINGGLLNEATLKSLDFKRDFLSPLSLLLHNTRPVGRQDALQLFITIMLLADLSVTLLTLLQFYWISLGAFLAVLLVLPLSLLSPFPAGLNALFSREPRRASHARVYALWNATSLSNIAVAFTCGIFHYGFSSLRPPDEENTWNIRREDNKWWLLSTILLLFKSVQARLVDWHIANLEIQDISLFCPDPDAFWAHESSS >Potri.004G091250.1.v4.1 pep chromosome:Pop_tri_v4:4:7776558:7776938:-1 gene:Potri.004G091250.v4.1 transcript:Potri.004G091250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091250.v4.1 MDKHIELSYCCFEAFKVLAKNYLELESHEMFGKIEELLGETKMTPADVAENLMPMSDEEDEEDCLKRLIEGLETAKEEARKKTEEEAVSKAEKADKEGGETSSQVAKENGEISAEEAKENGVIAGG >Potri.003G180100.1.v4.1 pep chromosome:Pop_tri_v4:3:18601039:18607256:1 gene:Potri.003G180100.v4.1 transcript:Potri.003G180100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180100.v4.1 MASPSLLPVAGSSNEIPSIRSNLSFGTSCELDFEVETLNSEGQYKKRRTSLKSEPRCEDFRMVEALLPTLRSVDYYMEPCLMDLAAGEVVDPGYCSRVLDFTVGRFGYGRVKFLGKTDVRRLNLDQIVKFNRHEVIVYEDENAKPMVGQGLNKPAEVSLTLKLKLLDFNKGRINDVVEKLRESMERQGAEFISFDPVIGEWKFLVCHFSRFGLSGDDEEDITMDDAAEVQDPAEMKGGEIVDMDEETPEEVEANEPVLYHSLPAHLGLDPVRMNEMRTWMFPDDEEEVVEDLIGLRQKFPYNKESIGSPLQNSTQRMSHRASSPVMRKTPLALLEYKPGSFDSSSPGTILLAQQHKGLTSKMMKGVGFTLNLEHETPISGSHSCNVVDAGLFMGRSFRVGWGPNGVLVHSGAPVGGNNSQRFLSSIIHVEKVALDKVVRDENNKSRKELVDFSFDSPLNLHKAINRETKEVEIGSFKLKLQKVVSNRLMLSEICRSYIDIVERQLEVPWLSSSARLVLMHQVMIWELIKVLFSERENSGQSKSVGADNEEDMMQDLKESSLEVDQEALPLIRRAEFSCWLQESVCHRVQDEVSSLNESSYLEHIFLLLTGRQLDAAVEMAASRGDVRLACLLSQAGGLNHADIARQLDLWRSNGLDFNFIEKERVRLYELLSGNIHGALHDLKIDWKRFLGLLMWYQMPPHTPLPIIFQTYQLLFVNGKAPYPLPIYIDEGPVDADVHFSEKHFDLSYYLMLLHANGEGEFSALKTMLSAFSSTHDPLDYHMIWHQRAVLEAVGIFTSKDLQVLDMGLVSQLLCIGQCHWAIYVVLHMPQCDDYPYLHATVIREILFQYCETWCSDESQQRFIENLDIPLSWLHEAMAVYFSYHGDLSKALEHYLECANWQKAHSIFVTSVAHKLFLSADHSEIWRLAIAMEDHKSEIANWDLGAGIYISFYSIKNSFQDDTSTMSELDSIESKNSACRDFLDHLKDSLDVLRDQLPMDARVAYSKMAEEISELLLSDPDIREGSTRDAQLSCFDTVLRAPIPEDLRSNHLQDAVSLFTCYLSEMAA >Potri.004G133260.2.v4.1 pep chromosome:Pop_tri_v4:4:15443371:15443886:1 gene:Potri.004G133260.v4.1 transcript:Potri.004G133260.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133260.v4.1 MGEEGEKQTVPPPPPSAAAEETRAPELQSDPPTPFDPSRMIGIIKRKGLIKELAAVYHAQCLFYCQQLLDLQKNCQEPFVELRAATAADDSRKETMRPPKRLKKSR >Potri.011G070300.1.v4.1 pep chromosome:Pop_tri_v4:11:6184779:6189144:1 gene:Potri.011G070300.v4.1 transcript:Potri.011G070300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070300.v4.1 MAGYRAEDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSLNVDGKVIKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHSTFENVERWLRELRDHTDPNIVVMLIGNKSDLRHLVAVSTEDGKSFAERESLYFMETSALEATNVDSAFAEVLTQIYRIVSKKAMETGDEAAASAVPSKGEKIDVSKDVSAMKRVGCCSS >Potri.002G056400.1.v4.1 pep chromosome:Pop_tri_v4:2:3835551:3837220:1 gene:Potri.002G056400.v4.1 transcript:Potri.002G056400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056400.v4.1 MIKGGEKQVGVPVIIAMKGHPGTGKSTLASSLASSLKIPLIDKDDVRDCTVSIQNSSPATASKLLNDLSYDVVWQIASTQLRLGLSVIIDSPLSRRAHLDRLVQVASSTGSLLVIVECKTLDEGLWRRRLEQRGKGDQASWHKPSTWQDLERLLEGYGGSTDYDVGDVPKIVLDTSVAVAVDELVSRVVDFVVSSACTGYHN >Potri.017G028400.1.v4.1 pep chromosome:Pop_tri_v4:17:1879611:1880954:1 gene:Potri.017G028400.v4.1 transcript:Potri.017G028400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028400.v4.1 MNHLLSECHYKCAKSLIAKQTIESLDRLPSLEPMAKQLRSLLSSCLLSVTVSIVLLHIPTRVHSVTLKSDVEALQALMQAVDPVSIVRYSYLHSWDFAFDPCEAAGVFQGILCTFPTDKSANRIMAIDLDPAGYDGFLTASIGNLTELTSLRISKNNFRGPIPETIANLQKLTRLSLPQNLFTGRIPQGIINLKHLQILDLSQNNLSSKIPADITTLRSLVQLSLSNNALSGRIPDLSALWQLSTLDLSSNNLDGIVPNLPINLRKLSLSHNVLSGHISPVSVLQHLTVLDLSDNRLSGLIRQEILTLPLVERLNISNNQFTEMEPMPYPREGLQLRVLDAHANRLHGHLPISLVNIANLSSIDLSHNLFSGRIPLEYGAKLGSSWKSLLLEDNFLIGNLPPQFINGTVAVRANFAHNCLRCPPNIPFCRGGQRANTECVEQQDHST >Potri.007G076750.1.v4.1 pep chromosome:Pop_tri_v4:7:10109216:10110128:1 gene:Potri.007G076750.v4.1 transcript:Potri.007G076750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076750.v4.1 MFFQKQISSRNYYHLYNLYVKCPIGSGVYDGSSKVLTDTDQQNTKCREDRIKVVQSYIQMLMLSNSIDLKTQISYDSGKAYACTVIKGEKYLTNIYIYIYIYDQSKS >Potri.003G093600.1.v4.1 pep chromosome:Pop_tri_v4:3:11983906:11987913:-1 gene:Potri.003G093600.v4.1 transcript:Potri.003G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093600.v4.1 MDCNRDFSGGNVVHVIPISDPESWILNSSDSSSVWATEDDYRDWNNSDILNDNASSNSNYQSSTRSGSEPPNKKSKNNSQELNSKKSIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQDIVAAHEEEKGNTVDVREEFQIPSIVGFGAETQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGGSGAGAGAGVGVGVGVGGGGGGEGGGGNAGSNAVNVKPSNWKTRICNKWELTGYCPFGNKCHFAHGVGELHRYGGGLVDTEAKDSSSVPVESKQGGMPSKTPPETAVASVTSVPHSDVYHLGVSSQRSSILIQRSGQRTHEKWKGPDKISRIYGDWIDDIE >Potri.003G093600.2.v4.1 pep chromosome:Pop_tri_v4:3:11984048:11987883:-1 gene:Potri.003G093600.v4.1 transcript:Potri.003G093600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093600.v4.1 MDCNRDFSGGNVVHVIPISDPESWILNSSDSSSVWATEDDYRDWNNSDILNDNASSNSNYQSSTRSGSEPPNKKSKNNSQELNSKKSIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQDIVAAHEEEKGNTVDVREEFQIPSIVGFGAETQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGGSGAGAGAGVGVGVGVGGGGGGEGGGGNAGSNAVNVKPSNWKTRICNKWELTGYCPFGNKCHFAHGVGELHRYGGGLVDTEAKDSSSVPVESKQGGMPSKTPPETAVASVTSVPHSDVYHLGVSSQRSSILIQRSGQRTHEKWKGPDKISRIYGDWIDDIE >Potri.003G093600.3.v4.1 pep chromosome:Pop_tri_v4:3:11983689:11987222:-1 gene:Potri.003G093600.v4.1 transcript:Potri.003G093600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093600.v4.1 MDCNRDFSGGNVVHVIPISDPESWILNSSDSSSVWATEDDYRDWNNSDILNDNASSNSNYQSSTRSGSEPPNKKSKNNSQELNSKKSIGKMFFKTKLCCKFRAGTCPYITNCNFAHSIEELRRPPPNWQDIVAAHEEEKGNTVDVREEFQIPSIVGFGAETQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGGSGAGAGAGVGVGVGVGGGGGGEGGGGNAGSNAVNVKPSNWKTRICNKWELTGYCPFGNKCHFAHGVGGMPYEL >Potri.017G124500.1.v4.1 pep chromosome:Pop_tri_v4:17:12872506:12886232:-1 gene:Potri.017G124500.v4.1 transcript:Potri.017G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124500.v4.1 MILQMTLSSPFLLSSCSSAHRLNPLLFSKRRHCPIKFSHFPFHLLTKPRFLSVAAAATENGVFTSPENAKSFDFSSEERIYNWWESQGFFKPTFDRGSDPFVVSMPPPNVTGSLHMGHAMFVTLEDIMVRYNRMKGRPTLWLPGTDHAGIATQLVVEKMLASEGIKRTDLSRDEFTKRVWEWKEKYGGTITNQIKRLGASCDWTRERFTLDEQLSQSVIEAFIKLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGTLYHIKYRVAGQSDFLTVATTRPETLFGDVAIAVNPKDDRYSKFIGKMAIVPMTYGRHVPIIADRHVDKDFGTGVLKISPGHDHNDYYLARKLGLPILNVMNKDGTLNEVAGLYCGLDRFEARKKLWSELEETGLAIKKEPHTLRVPRSQRGGEIIEPLVSKQWFVTMEPLAEKALRAVEKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHRIPVWYIVGKNCEEDYIVARNADEALEKAREKYGKNVEIYQDPDVLDTWFSSALWPFSTLGWPDVSAEDFKKFYPTTMLETGHDILFFWVARMVMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTISLGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNMPSQTDVSAWEAIRNCKFDKEESVLRLPLPECWVVSELHVLIDMVTASYDKFFFGDVGREIYDFFWSDFADWYIEASKARLYQSGANSACSEAQAVLLYVFKNVLKLLHPFMPFVTEELWQALPDPKEALIVSPWPQTSLPRFPNSIKKFENFQALTRAIRNARAEYSVEPAKRISASIVASEEVIQYISNEKEVLALLSRLDLQNIHFTDSPPGDANQSVHLVASEGLEAYLPLADMVNISAEVERLSKRLSKMQVEYDGLAARLSSRKFVEKAPEDVVRGVREKAAEAEEKIKLTKNRLAFLKSSSLVSQ >Potri.001G419100.2.v4.1 pep chromosome:Pop_tri_v4:1:44695834:44703620:-1 gene:Potri.001G419100.v4.1 transcript:Potri.001G419100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419100.v4.1 MWRDPGQPADSYYQVRPECTDVPKTRFKIKAGKTLSPRKWQAAFTPEGYLDISKTLSRIYRGGIHPSIRGEVWEFLLGCYDPKSTFDERDEIRQRRRIQYIRWKEECRQIFPVVGSGRFITAPVITEDGQPIQEPLVILETNQDRGPSAETGNADGNGTNQSRINASCSEMVRDLTSHGPLDQKVIQWLLTLHQIGLDVHRTDRTLVFYEKQENLSKLWDILAVYARIDTDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTESSVGVETQLSNLAEITQVVDPKLHQHLDALGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSVYEEPELNGEKAEGSKGRTKSIRHYGKFERENMKNGAVNSESPLPISIFLVASVLKDKSSTLLQEARGLDDVVKILNDMTGNLDAKKACSSAMKLHKKYLKKAKKP >Potri.008G144200.2.v4.1 pep chromosome:Pop_tri_v4:8:9788193:9791824:-1 gene:Potri.008G144200.v4.1 transcript:Potri.008G144200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144200.v4.1 MVAATQPQQHPSTAAASTTTANATSVEDEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCYYWLNGNCLNPKCGFRHPPLDGLFGTQAIASAGSSLPPSHTAVASATHAPHNPGKQAVPCIFFQQGICVKGDKCAFSHGPNLAGNKVSQPMAPTPGAEALPPKKAFGGLQKCAQEHKIPQTKISKAVEAPSVAKPVSKAEIVHARNIAGVVRSAVPPTSMDGEIPRYKAANLPLMVNGNLSRSSRLHQLQVADDHVIQNGKDADEYLRESSPGFDVLVDNELRDSDYYHGEEQLGRTTGNEGRNLNSVDEYDTGHSADYGLLHDDQEMYRDPRGYDSYELTPGQHAWEQHRASSERMLMAPVLPERRGYAKADSPEHTEESDLRYRLSKQRRVNGLRSVVSNDFVPENHVEERGYRGSSRRDSHHLPSHESSISSRLRGRIKLPGRSPNNGGDVRAEREIDRGRNRGRLSPVRSPVTSQQGRLRDRMKARVEEDYNEGRSFRGPQVRGELMDDGSTDFAGPKSLAELKGGKNAEGKLQQSLGKRKHSEDNPSSDAVLSFEGPMPLSEILKRKREGGAAASRSGISSVIKDNNNLKESKQSLISNSKKADAEMQSGISSVSKDDNSSQMLKNKEESKVATDGAIGTEENIEIAHGQSSQLPNPSEIETEDGIIADDGMEDHEYEGDDQRDDNYEYEQVDEGEYNYEEGENIDGEEEYEDEEDGEDFAKKIGVVIS >Potri.008G144200.1.v4.1 pep chromosome:Pop_tri_v4:8:9788137:9791780:-1 gene:Potri.008G144200.v4.1 transcript:Potri.008G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144200.v4.1 MVAATQPQQHPSTAAASTTTANATSVEDEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCYYWLNGNCLNPKCGFRHPPLDGLFGTQAIASAGSSLPPSHTAVASATHAPHNPGKQAVPCIFFQQGICVKGDKCAFSHGPNLAGNKVSQPMAPTPGAEALPPKKAFGGLQKCAQEHKIPQTKISKAVEAPSVAKPVSKAEIVHARNIAGVVRSAVPPTSMDGEIPRYKAANLPLMVNGNLSRSSRLHQLQVADDHVIQNGKDADEYLRESSPGFDVLVDNELRDSDYYHGEEQLGRTTGNEGRNLNSVDEYDTGHSADYGLLHDDQEMYRDPRGYDSYELTPGQHAWEQHRASSERMLMAPVLPERRGYAKADSPEHTEESDLRYRLSKQRRVNGLRSVVSNDFVPENHVEERGYRGSSRRDSHHLPSHESSISSRLRGRIKLPGRSPNNGGDVRAEREIDRGRNRGRLSPVRSPVTSQQGRLRDRMKARVEEDYNEGRSFRGPQVRGELMDDGSTDFAGPKSLAELKGGKNAEGKLQQSLGKRKHSEDNPSSDAVLSFEGPMPLSEILKRKREGGAAASRSGISSVIKDNNNLKESKQSLISNSKKADAEMQSGISSVSKDDNSSQMLKNKEESKVATDGAIGTEENIEIAHGQSSQLPNPSEIETEDGIIADDGMEDHEYEGDDQRDDNYEYEQVDEGEYNYEEGENIDGEEEYEDEEDGEDFAKKIGVVIS >Potri.011G139500.3.v4.1 pep chromosome:Pop_tri_v4:11:17043306:17048583:-1 gene:Potri.011G139500.v4.1 transcript:Potri.011G139500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139500.v4.1 MEGIVVRRVIPSDNSCLFNAVGYVMDHDKNKAYGLRQVIAGTVASDPEKYNEAFLGKPNGEYCNWIRDSEKWGGAIELSILADYYGREIAAYDIQTMRCDLYGQDRKYSERAMLIYDGLHYDALAMSPFEGAPEEFDQTIFTVQKDRTIGPAEGHALNLVKEQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQTTGHVNFQEYR >Potri.011G139500.1.v4.1 pep chromosome:Pop_tri_v4:11:17043373:17048402:-1 gene:Potri.011G139500.v4.1 transcript:Potri.011G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139500.v4.1 MSFSCCVSASGLVLLGGNLGPFQVFGRFLIIDIMEGIVVRRVIPSDNSCLFNAVGYVMDHDKNKAYGLRQVIAGTVASDPEKYNEAFLGKPNGEYCNWIRDSEKWGGAIELSILADYYGREIAAYDIQTMRCDLYGQDRKYSERAMLIYDGLHYDALAMSPFEGAPEEFDQTIFTVQKDRTIGPAEGHALNLVKEQQRKRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQTTGHVNFQEYR >Potri.016G031600.1.v4.1 pep chromosome:Pop_tri_v4:16:1768680:1773121:-1 gene:Potri.016G031600.v4.1 transcript:Potri.016G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031600.v4.1 MDQGSSPCDGIGIVEFLQGKNYFITGATGFLGKALVEKMLRGIPDVGKLFLLIKGKDKEATMKRLKSEIINAEVFKILKAIHGSSYEAFMMSKLVPVCGDVCSANLGIDADTTNEIAKEIDVIINSAAKTTWDTRYDVAININTKGPARVLEFGKKCKKLRLFLHVSSAYVIGTRQGVFFEKPFCLEPRTARRDTITSKAQEISVPFLDIDAEIKLASVAVESIGRNADRIMRELGMERARIHGWCSTYEMTKAMGEMLIDSMRSSIPTVIIRPSLIESTYREPFPGWIQGYKVPILAAYGQCQLPGFVGDPDTIADTVPMDMVVNATLTALAKHGIDGKPELHVYHVATSVANPHSFKDAFNYAYDYFSSSPLLDSKGKKIAIRPMKFLASMDSFTDFIKNEVAQRSGLTPDDNVYMSDPKRYLRMQLACFKTVHRFMRIANLYKAYMFYKGRFDVTNTKRLIEDMSIEERKRFNFDIESINWEHYIKSVHIPGVRKHLLRQPPASKL >Potri.003G097900.2.v4.1 pep chromosome:Pop_tri_v4:3:12364811:12366230:-1 gene:Potri.003G097900.v4.1 transcript:Potri.003G097900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097900.v4.1 MKIPKLMFSFLLFALTAISFQRAIDAQGPKEAVVDSNDNEVLLGLDYYIAVTSPFDGMSLAMEASCPPPVVHRNNLSLLPIKFSSVVDSNDNVVREDTSLNLEFNVELNNSDCNVPTIWKVEFNASMQQWLVMIGGDRSHNRFQIAKACPYRKYFYQLRYCPVLGSIQFPCVTVRSLFKNGLNYLALNGDPIAIVLGQLVSST >Potri.002G055000.4.v4.1 pep chromosome:Pop_tri_v4:2:3737577:3746015:1 gene:Potri.002G055000.v4.1 transcript:Potri.002G055000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055000.v4.1 MHADVETDEVYAQMTLQPLSPEDKKDAYLLPAELGTASKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRTSPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHAGLKDDDLSINSPMMWLQGGVGDLGVHSLNFQNFGAAPWIQPRFEASMPALQPDVYQTMAAAALQEMRTVESSKLASQSLLQFQQSQNLSTGPAALVQRQMLQQSNLQNAFLQNFQENQASTQTQLLQQQLQQHIQYTDQQQQQQQRHQPQHQHQHQHQQVQQPKQLNELSAQQQIPNVISALPHLTSVAPSHSPSLQAIPSQCQQQAFSEPLGNLIAASGVSSVPSIMGSLPQDRGHLLNSNGSNPVSSSALLSKQAAFGPQLSSGAAPGVLPQVEQSGTTQSAVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFTASTFTSATGSDIPLTSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGQLEDRQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLTSAASVPSQKLSNSTSDGYMNRQEFRNSSNPDGYLNRQDFRNSSNGIASMGSLDYQGRCS >Potri.002G055000.1.v4.1 pep chromosome:Pop_tri_v4:2:3737159:3746013:1 gene:Potri.002G055000.v4.1 transcript:Potri.002G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055000.v4.1 MRLSSSSSSTTGFNQVTQEGDNKCLNSELWHACAGPLVSLPHVGSRAVYFPQGHSEQVAASTNKEVDAHIPNYPSLPAQLICQLHNVTMHADVETDEVYAQMTLQPLSPEDKKDAYLLPAELGTASKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRTSPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHAGLKDDDLSINSPMMWLQGGVGDLGVHSLNFQNFGAAPWIQPRFEASMPALQPDVYQTMAAAALQEMRTVESSKLASQSLLQFQQSQNLSTGPAALVQRQMLQQSNLQNAFLQNFQENQASTQTQLLQQQLQQHIQYTDQQQQQQQRHQPQHQHQHQHQQVQQPKQLNELSAQQQIPNVISALPHLTSVAPSHSPSLQAIPSQCQQQAFSEPLGNLIAASGVSSVPSIMGSLPQDRGHLLNSNGSNPVSSSALLSKQAAFGPQLSSGAAPGVLPQVEQSGTTQSAVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFTASTFTSATGSDIPLTSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGQLEDRQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLTSAASVPSQKLSNSTSDGYMNRQEFRNSSNPDGYLNRQDFRNSSNGIASMGSLDYQGRCS >Potri.002G055000.5.v4.1 pep chromosome:Pop_tri_v4:2:3738158:3746000:1 gene:Potri.002G055000.v4.1 transcript:Potri.002G055000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055000.v4.1 MHADVETDEVYAQMTLQPLSPEDKKDAYLLPAELGTASKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRTSPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHAGLKDDDLSINSPMMWLQGGVGDLGVHSLNFQNFGAAPWIQPRFEASMPALQPDVYQTMAAAALQEMRTVESSKLASQSLLQFQQSQNLSTGPAALVQRQMLQQSNLQNAFLQNFQENQASTQTQLLQQQLQQHIQYTDQQQQQQQRHQPQHQHQHQHQQVQQPKQLNELSAQQQIPNVISALPHLTSVAPSHSPSLQAIPSQCQQQAFSEPLGNLIAASGVSSVPSIMGSLPQDRGHLLNSNGSNPVSSSALLSKQAAFGPQLSSGAAPGVLPQVEQSGTTQSAVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFTASTFTSATGSDIPLTSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGQLEDRQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLTSAASVPSQKLSNSTSDGYMNRQEFRNSSNPDGYLNRQDFRNSSNGIASMGSLDYQGRCS >Potri.002G055000.6.v4.1 pep chromosome:Pop_tri_v4:2:3737574:3746014:1 gene:Potri.002G055000.v4.1 transcript:Potri.002G055000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055000.v4.1 MHADVETDEVYAQMTLQPLSPEDKKDAYLLPAELGTASKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRTSPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHGLKDDDLSINSPMMWLQGGVGDLGVHSLNFQNFGAAPWIQPRFEASMPALQPDVYQTMAAAALQEMRTVESSKLASQSLLQFQQSQNLSTGPAALVQRQMLQQSNLQNAFLQNFQENQASTQTQLLQQQLQQHIQYTDQQQQQQQRHQPQHQHQHQHQQVQQPKQLNELSAQQQIPNVISALPHLTSVAPSHSPSLQAIPSQCQQQAFSEPLGNLIAASGVSSVPSIMGSLPQDRGHLLNSNGSNPVSSSALLSKQAAFGPQLSSGAAPGVLPQVEQSGTTQSAVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFTASTFTSATGSDIPLTSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGQLEDRQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLTSAASVPSQKLSNSTSDGYMNRQEFRNSSNPDGYLNRQDFRNSSNGIASMGSLDYQGRCS >Potri.002G055000.3.v4.1 pep chromosome:Pop_tri_v4:2:3737201:3746039:1 gene:Potri.002G055000.v4.1 transcript:Potri.002G055000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055000.v4.1 MRLSSSSSSTTGFNQVTQEGDNKCLNSELWHACAGPLVSLPHVGSRAVYFPQGHSEQVAASTNKEVDAHIPNYPSLPAQLICQLHNVTMHADVETDEVYAQMTLQPLSPEDKKDAYLLPAELGTASKQPSNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRTSPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPARWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHGLKDDDLSINSPMMWLQGGVGDLGVHSLNFQNFGAAPWIQPRFEASMPALQPDVYQTMAAAALQEMRTVESSKLASQSLLQFQQSQNLSTGPAALVQRQMLQQSNLQNAFLQNFQENQASTQTQLLQQQLQQHIQYTDQQQQQQQRHQPQHQHQHQHQQVQQPKQLNELSAQQQIPNVISALPHLTSVAPSHSPSLQAIPSQCQQQAFSEPLGNLIAASGVSSVPSIMGSLPQDRGHLLNSNGSNPVSSSALLSKQAAFGPQLSSGAAPGVLPQVEQSGTTQSAVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFTASTFTSATGSDIPLTSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGQLEDRQRSGWQLVFVDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLTSAASVPSQKLSNSTSDGYMNRQEFRNSSNPDGYLNRQDFRNSSNGIASMGSLDYQGRCS >Potri.005G193300.2.v4.1 pep chromosome:Pop_tri_v4:5:20085270:20088741:1 gene:Potri.005G193300.v4.1 transcript:Potri.005G193300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193300.v4.1 MRRWVSPPKTTAGLGLAAISYVVVDYLRHLSPTWHERLQPALWSILALIAVSRVPFYKHWSSEFRAAIPFVASMLFMLTCLLFEALSVRFVTAVLGLDWHSDTSPLPDTGQWLLLSLNEKLPETLVEILRARIIGLHHFLMLFMMLAFSVLFDSVEAPGLGLGARYMFTMAIGRLLRAITFVSTILPSARPWCAAARFRVPPYPHHWAQKYYVPYASDANAIRQIINQDIAYADTGEYLGDYQPDWGSMNFLINFLRPTPAEGASWYSLLKKAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGCSSAFIWLLVMHSAQREIRERHHYTVDCVVAIYVGILLWKMTGFIWPAKDSMRSRRLAKLERIQGRLIQAAKDSDMDEVRELLKEVELGTQESQNKGHSKHLWLFSCATIFSALTIVLLAFTWTSDG >Potri.009G096600.1.v4.1 pep chromosome:Pop_tri_v4:9:8703471:8706748:1 gene:Potri.009G096600.v4.1 transcript:Potri.009G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096600.v4.1 MAATTPTAASNLLITKKNLSSLSLISTNKLPTFSPLHTSSRRQRFIVLFASLNSKPTVLVAEKLGEAGINLLKDFANVDCSYNLSPDELCTKISLCDALIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTIAAAEHGIALLAAMARNVAQADASVKAGKWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEALATADFISLHMPLTPATAKILNDETFVKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTVEPPPQDSKLVQHERVTVTPHLGASTMEAQEGVAIEIAEAVVGALKGELASTAVNAPMVPAEVLTELKPFVELAEKLGRLAVQLVSGGSGVKDVKVTYASARAPDDLDTRVLRAMITKGLIEPISSVFVNLVNADFSAKQRGLRISEERILLDGSPESPLDFIQVQIANVESKFASAISETGEIKVEGRVKDGIPHLTRVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSVLGGQNVNVSFMSVGRIAPRKQAVMAIGVDEQPSKETLKKIGDIPAVEEFVFLKL >Potri.017G076000.8.v4.1 pep chromosome:Pop_tri_v4:17:8414416:8421424:1 gene:Potri.017G076000.v4.1 transcript:Potri.017G076000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076000.v4.1 MASTGQSQSLKRRDAPVTREGGDQLTLTPLGAGNEVGRSCVYMSFKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTLDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQLHQPRHLREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWANHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFSDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKTIGKLAERTPDVGETVSGILVKKGFTYQIMAPGDLHVFSQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEESGFPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSEEENEKKAEKVIYALLVSLFGDVKLGENGKLVLRVDGNVAELDKQSGDVESENEGLKERVRTAFRRIRSAVRPIPLPLPAPAS >Potri.017G076000.3.v4.1 pep chromosome:Pop_tri_v4:17:8414382:8421428:1 gene:Potri.017G076000.v4.1 transcript:Potri.017G076000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076000.v4.1 MASTGQSQSLKRRDAPVTREGGDQLTLTPLGAGNEVGRSCVYMSFKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTLDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQLHQPRHLREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWANHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFSDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKTIGKLAERTPDVGETVSGILVKKGFTYQIMAPGDLHVFSQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEESGFPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSEEENEKKAEKVIYALLVSLFGDVKLGENGKLVLRVDGNVAELDKQSGDVESENEGLKERVRTAFRRIRSAVRPIPLPLPAPAS >Potri.017G076000.2.v4.1 pep chromosome:Pop_tri_v4:17:8414284:8421623:1 gene:Potri.017G076000.v4.1 transcript:Potri.017G076000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076000.v4.1 MASTGQSQSLKRRDAPVTREGGDQLTLTPLGAGNEVGRSCVYMSFKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTLDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQLHQPRHLREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWANHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFSDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKTIGKLAERTPDVGETVSGILVKKGFTYQIMAPGDLHVFSQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEESGFPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSEEENEKKAEKVIYALLVSLFGDVKLGENGKLVLRVDGNVAELDKQSGDVESENEGLKERVRTAFRRIRSAVRPIPLPLPAPAS >Potri.017G076000.9.v4.1 pep chromosome:Pop_tri_v4:17:8414409:8421361:1 gene:Potri.017G076000.v4.1 transcript:Potri.017G076000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076000.v4.1 MASTGQSQSLKRRDAPVTREGGDQLTLTPLGAGNEVGRSCVYMSFKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTLDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQLHQPRHLREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWANHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFSDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKTIGKLAERTPDVGETVSGILVKKGFTYQIMAPGDLHVFSQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEESGFPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSEEENEKKAEKVIYALLVSLFGDVKLGENGKLVLRVDGNVAELDKQSGDVESENEGLKERVRTAFRRIRSAVRPIPLPLPAPAS >Potri.017G076000.6.v4.1 pep chromosome:Pop_tri_v4:17:8414382:8421552:1 gene:Potri.017G076000.v4.1 transcript:Potri.017G076000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076000.v4.1 MASTGQSQSLKRRDAPVTREGGDQLTLTPLGAGNEVGRSCVYMSFKGKTVLFDCGIHPAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTLDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQLHQPRHLREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWANHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFSDVGPSVVMASPGGLQSGLSRQLFDMWCSDKKNACVLPGYVVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFADGNTKIITPKNCQSVEMYFNSEKMAKTIGKLAERTPDVGETVSGILVKKGFTYQIMAPGDLHVFSQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEESGFPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSEEENEKKAEKVIYALLVSLFGDVKLGENGKLVLRVDGNVAELDKQSGDVESENEGLKERVRTAFRRIRSAVRPIPLPLPAPAS >Potri.018G049700.1.v4.1 pep chromosome:Pop_tri_v4:18:4761153:4763368:-1 gene:Potri.018G049700.v4.1 transcript:Potri.018G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049700.v4.1 MQPSNGGLSYIHHHHHQQQERQQHSMEDDCCCSPSVLQNLNHPCLSHLQQEQHKQPHQVFLQQHHQLFYPFQQQQQQQEQQSQGQGTPQNPSLFTMSFKLGRNEGSGNRKASALNNQDEVAATPTLLDGNEHINPPHAILMPRSWHPLEDYTTIKEPFWKQLEKKRWGSEEEEISGNRTRYFRPLEIEHVVDESRERCRNLDIKFPIFNELEAIYSLAKIAEANQTGSGSVLTGDHSPTNAGVSVPSSATNRQNVGANGAANNVTGVDHGSENSIGEEASLRKSQKRKRKRKLKEKLSYMAGFFENTVKKVMDHQEMLHRKFLEVIERMDRERTDREETWRQQEAEKHNREAISRAHEWASTSSREAQIVSYIERITGQSINLPIRMAPPLLQPEISNEPIKEITSTKSDSHSRWPKDEVEALIKVRSRIEIKFQEPGVKGPLWEEVSSLMSSMGYQRSAKRCKEKWENINKYFRKAKESPERRSQRSKTCSYFNQLDQLYSSSLINYPSSTTYMPSRGIEFDIEKQGHSEVLEAFAVGKDHLATITNPPGENIKGAEMSSSRFEFDGIAEKNEELEEGSSEQDKEVYKDDKQENGEQGKDDGSGDEENEN >Potri.005G237800.2.v4.1 pep chromosome:Pop_tri_v4:5:23575746:23576896:-1 gene:Potri.005G237800.v4.1 transcript:Potri.005G237800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237800.v4.1 MPVAPSIPRLHSPFLCCHLQEISSSSLSSFKSPRNNHQRSPVSHPCIRAVDLDQNTIVAISVGAVSIAVGIGIPVFYESQIDNAAKRENTQPCFPCSGSGAQKCRFCLGTGSVTLELGGDDKEVSPCINCEGVGSLTCTTCQGSGIQPRYLDRREFKDDD >Potri.007G016600.2.v4.1 pep chromosome:Pop_tri_v4:7:1263334:1264733:-1 gene:Potri.007G016600.v4.1 transcript:Potri.007G016600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016600.v4.1 MECVEGALKTSFRKEMAMKFSPQVLDDFWAVNVPNGMSSDDFSVEKLLDFSNENDFIEEEEEEGGDKEKPCVFSVSVSPKQEALEEDKNSDSSPGFAVKDDFFSVPTSELCVPTDDFASLEWLSHFVEDSNSEYAAPFPTNVSPPEPKKENPVEQEKLVLEEPLFKTPVPGKARSKRTRNGVRVWPLGSPSLTESSSSSSSTSSSSPSSPWLVYSKPCLKVEPVWFEKPVAKKMKKPAVEAAAKGCGSNSSRRCSHCGVQKTPQWRAGPNGSKTLCNACGVRYKSGRLLPEYRPACSPTFSKELHSNHHRKVLEMRRNKEGLVPTEPGLAQPFVPSFG >Potri.007G016600.1.v4.1 pep chromosome:Pop_tri_v4:7:1263339:1265101:-1 gene:Potri.007G016600.v4.1 transcript:Potri.007G016600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016600.v4.1 MLSSLIQCFTKLFSSPKFTTPSLLSFSYPLRFRFKKQEMECVEGALKTSFRKEMAMKFSPQVLDDFWAVNVPNGMSSDDFSVEKLLDFSNENDFIEEEEEEGGDKEKPCVFSVSVSPKQEALEEDKNSDSSPGFAVKDDFFSVPTSELCVPTDDFASLEWLSHFVEDSNSEYAAPFPTNVSPPEPKKENPVEQEKLVLEEPLFKTPVPGKARSKRTRNGVRVWPLGSPSLTESSSSSSSTSSSSPSSPWLVYSKPCLKVEPVWFEKPVAKKMKKPAVEAAAKGCGSNSSRRCSHCGVQKTPQWRAGPNGSKTLCNACGVRYKSGRLLPEYRPACSPTFSKELHSNHHRKVLEMRRNKEGLVPTEPGLAQPFVPSFG >Potri.010G063800.1.v4.1 pep chromosome:Pop_tri_v4:10:9267769:9273612:1 gene:Potri.010G063800.v4.1 transcript:Potri.010G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063800.v4.1 MFPLSIASQSPVTKLSPDNPKIQIFPSNKLSRLKPVTCSLHKKNPNTINKPSKPKTYPFSSILIAPDQVSPKKGKISRGYEERRGFGHLGSQQMLALCGFGYWMQGFRCFPWLALNFHMAHNLNLHSSTLQLLQNCGNFPMVAKPLYGILSDALYTGGAHRIPYILVGVFLQVLAWGPLALIPVAREALGILMACILISNLGASITEIAKDALVAEYGQKNRIGGLQSYAFMALAVGGILGNLLGGCFLQKTPPKTMFLVFSVLLSLQLAFSSTVREKSLGLSEPSDHNLVKKSIWENIRKQISDLKTALNDDNISCPLTWIVASIATVPVLSGSIFCYQTQCLHFDPSIIGMSRAIGQLILLSMTIIYDRFWKEVPVRKLVSAVQFLYACSLLLDLVLVRQINLKLGIPNEVFACCFSGLAETLAQFKLLPFSMLLASLCPQGCEGSLTSFLASSLCLSSIVSGFLGVGLASLIGITSGNYSSLPVGILIQFLAALLPLGWIHRLPMSKPIVEKERRRGMSKRTRKNRRVGRVVLGSIYVYHRERESDSQR >Potri.014G198300.1.v4.1 pep chromosome:Pop_tri_v4:14:12821307:12823302:1 gene:Potri.014G198300.v4.1 transcript:Potri.014G198300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G198300.v4.1 MLRIRRVLKEKKLLNLIARSSRTRWMSSGTEVMSFGDGSHGALGLPTSLIGHGMDAYEPTPVTGLPSDITSVSAGHYHSLAVTSHGQLWAWGRNHEVQLGRGLLSPRDTWNEPKRVEGLDQVQVTAAFASGVVSAAIGDDGSLWVWGKSKRGQLGLGKGITEASVPSKVEALEGQKIAKVSFGWGHTLAQTVEGKLFGWGYLADGRLGKMGGLVEASPLDSSMNVVKHEVITQSTVEVAERLVLEGMEKEKDMPIVWDPCSVEELKGVEVVDIACGLDHSLVLCRDGTLLSSGSNVYGQLGRANHDMGFFPVDISFPALAIASGLGHSLAICQAKSPEGKGDATSIVSWGWNQSSQLGRPGPENVPMEVEGLAGETAILVSGGRVHSIAVTSKGEVWVWGCGRNGRLGLGSSCDEAEPIMLDSLEGCEVLQAVSGFDHNLILIAQ >Potri.001G207000.1.v4.1 pep chromosome:Pop_tri_v4:1:21156299:21160265:-1 gene:Potri.001G207000.v4.1 transcript:Potri.001G207000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G207000.v4.1 MWYLCVFYHRLLSYRRAEVESLAEMFGVFSSLEWRLPENQHPDSPFHLVNLPSEDIARNIANRSILVKGIYELWGEGSSFEQLEEAIKSYPEDRKLPYLTCETTFKVTVDSFGKAISFQEQNDRIKSLAYIPFKGRVNLKNPDINFWLIETDDYGAPNGLPPVVQKRIFFGRLVGGADRKLLPTYQLKSRRYLGPTAMDAEMAFLMANQALVMPGKLVYDPFVGTGSILVAAAHFGAMTMGADIDIRAVRDGRGPDCNVWSNFKQYGLPLPVALLRADNNLPPWRSGLKEIFDAIICDPPYGVRAGGRKSGGRKLLKGIVGPYTVPDDKRTDHIPSTAPYSLAECVHDLLDLAARMLVMGGRLVYFYPVLREDDVMENHFPEHPCFKLIASSEQILSSRYSRVLVTMVKTGSYTDKVAEAAKIKHQEFKENYVKWLEHGNLHSSVFGPAHLHLGEETDSKLCKELKPKYRGKYV >Potri.002G067100.1.v4.1 pep chromosome:Pop_tri_v4:2:4626205:4635463:-1 gene:Potri.002G067100.v4.1 transcript:Potri.002G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067100.v4.1 MGSNAFDHPLKKSEEEGLESSYKLKRSIDQISGGDESVTVDYASSEEQSEAGPLQEAEKQRTKSGKQSSTLEIFKSKELNFSPSPRKGMNRCITTPVETHRHALFNDDDNITFHRSMTENRASRHELKLNRLSEREKKKLIIELVKIQNDGTVEVDIDENAPVASELLELHSVEGASFYVNESISGCNKPIPRLKVAMLVVGTRGDVQPFLAIAKRLQEFGHRVRLATHANFRDFVRSAGVDFYPLGGDPRVLAGYMARNKGFIPSAPGEISIQRKQLKAIIESLLAACTEPDMETGVPFKAQAIIANPPAYGHAHVAEALGVPIHIFFTMPWTPTYEFPHPLARVPQSAGYWLSYIVVDLLIWWGIRGYINEFRKRKLKLPPIAYLSMYHGSISHLPTGYMWSPHLVPKPSDWGPLVDVVGYSFLNLGSKYEPIEEFMQWIQKGKEPIYIGFGSMPLEDPKNTMDIILEALKDTGQRGIVDRGWGDLGNFMEVPDSVFLLKDCPHDWLFPQCAAVVHHGGAGTTATGLRAGCPTTIVPFFGDQFFWGDRVHQKGLGPVPIPIAKLSAENLSDAIRFMLEPEVKSRAMELAKLIENEDGVAAAVDAFHRHLPPELPLPTSSSEDNDQPNPLQWFFIQIEKWCCLPCS >Potri.001G008700.6.v4.1 pep chromosome:Pop_tri_v4:1:587201:592240:1 gene:Potri.001G008700.v4.1 transcript:Potri.001G008700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008700.v4.1 MSVKKVDQTSAVELQSIKMTATSSSSGASTTSGPKVSLFAAKSGFVIPKNKLLGSLVSIVKGGKKPGSKNAVNGESTNQEQVQRKTKWGPDLTQDASVKRGRALAYQIRVDQIVQQLELGIPEPGRDGDSHDSNELEDPKSSIPQIHTKNSEILELEKQEAIGEILILNPSYKAPPNYKPLLKETTVPIPVKEYPGYNFIGLIFGLGSETQKRLEKETGAKIQVHGSNVHTGEKVEISPSDGNETKVAYEELSVHVTADTFEKVDAAVVLIELLITSVSGNLAAGDNANVSQNQAASTAFMVSTAVNQGVVLSFTPQQGQFQYQNSWLPAATPLHPPPGLIFPQTSSAPVLNNPIPLQSASFNSSTMPSLFGPRLAQAFSNPYQPRNFPMPTPQPQSFTGSQPHPTGLYSVARPPLLQPSSSGSHDGLLVPSGWSGSPASVPASLGFVNMGQTTTPIVPSPGPWPTVPQLGFPSNAPPPNAANMVSPVTFPPGPSSLQSHSVSMNHPTLIQSSLVAPLPISSINPVLGSTPISGVVGAFSGTTSNFASMRSPTITDAKIQHSGPGDFTFQPHHLQNPAPQIAPRLSSHHAAQNGPLPRPMMQSPAPQGPPFHFEVPNSTPLPGRQMFPRPQVSNQMGQVPFVGNPTGPSLPPSLPAFSNANSFGQPVMQMVSRNLSSTPHIPYLTGPLPPRPGNPLQLQQNYPVPIAPRGQSFAPNQQPFISLASARPASFHGGQHVYDPFSPTSVSTASQRQGANLGEGRKPENDPL >Potri.001G008700.2.v4.1 pep chromosome:Pop_tri_v4:1:587123:592300:1 gene:Potri.001G008700.v4.1 transcript:Potri.001G008700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008700.v4.1 MSVKKVDQTSAVELQSIKMTATSSSSGASTTSGPKVSLFAAKSGFVIPKNKLLGSLVSIVKGGKKPGSKNAVNGESTNQEQVQRKTKWGPDLTQDASVKRGRALAYQIRVDQIVQQLELGIPEPGRDGDSHDSNELEDPKSSIPQIHTKNSEILELEKQEAIGEILILNPSYKAPPNYKPLLKETTVPIPVKEYPGYNFIGLIFGLGSETQKRLEKETGAKIQVHGSNVHTGEKVEISPSDGNETKVAYEELSVHVTADTFEKVDAAVVLIELLITSVSGNLAAGDNANVSQNQAASTAFMVSTAVNQGVVLSFTPQQGQFQYQNSWLPAATPLHPPPGLIFPQTSSAPVLNNPIPLQSASFNSSTMPSLFGPRLAQAFSNPYQPRNFPMPTPQPQSFTGSQPHPTGLYSVARPPLLQPSSSGSHDGLLVPSGWSGSPASVPASLGFVNMGQTTTPIVPSPGPWPTVPQLGFPSNAPPPNAANMVSPVTFPPGPSSLQSHSVSMNHPTLIQSSLVAPLPISSINPVLGSTPISGVVGAFSGTTSNFASMRSPTITDAKIQHSGPGDFTFQPHHLQNPAPQIAPRLSSHHAAQNGPLPRPMMQSPAPQGPPFHFEVPNSTPLPGRQMFPRPQVSNQMGQVPFVGNPTGPSLPPSLPAFSNANSFGQPVMQMVSRNLSSTPHIPYLTGPLPPRPGNPLQLQQNYPVPIAPRGQSFAPNQQPFISLASARPASFHGGQHVYDPFSPTSVSTASQRQGANLGEGRKPENDPL >Potri.001G008700.4.v4.1 pep chromosome:Pop_tri_v4:1:587123:592136:1 gene:Potri.001G008700.v4.1 transcript:Potri.001G008700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008700.v4.1 MSVKKVDQTSAVELQSIKMTATSSSSGASTTSGPKVSLFAAKSGFVIPKNKLLGSLVSIVKGGKKPGSKNAVNGESTNQEQVQRKTKWGPDLTQDASVKRGRALAYQIRVDQIVQQLELGIPEPGRDGDSHDSNELEDPKSSIPQIHTKNSEILELEKQEAIGMFDVSHQIMLLFPFCYVWLDSIFLDFPGEILILNPSYKAPPNYKPLLKETTVPIPVKEYPGYNFIGLIFGLGSETQKRLEKETGAKIQVHGSNVHTGEKVEISPSDGNETKVAYEELSVHVTADTFEKVDAAVVLIELLITSVSGNLAAGDNANVSQNQAASTAFMVSTAVNQGVVLSFTPQQGQFQYQNSWLPAATPLHPPPGLIFPQTSSAPVLNNPIPLQSASFNSSTMPSLFGPRLAQAFSNPYQPRNFPMPTPQPQSFTGSQPHPTGLYSVARPPLLQPSSSGSHDGLLVPSGWSGSPASVPASLGFVNMGQTTTPIVPSPGPWPTVPQLGFPSNAPPPNAANMVSPVTFPPGPSSLQSHSVSMNHPTLIQSSLVAPLPISSINPVLGSTPISGVVGAFSGTTSNFASMRSPTITDAKIQHSGPGDFTFQPHHLQNPAPQIAPRLSSHHAAQNGPLPRPMMQSPAPQGPPFHFEVPNSTPLPGRQMFPRPQVSNQMGQVPFVGNPTGPSLPPSLPAFSNANSFGQPVMQMVSRNLSSTPHIPYLTGPLPPRPGNPLQLQQNYPVPIAPRGQSFAPNQQPFISLASARPASFHGGQHVYDPFSPTSVSTASQRQGANLGEGRKPENDPL >Potri.001G008700.5.v4.1 pep chromosome:Pop_tri_v4:1:587236:592247:1 gene:Potri.001G008700.v4.1 transcript:Potri.001G008700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008700.v4.1 MSVKKVDQTSAVELQSIKMTATSSSSGASTTSGPKVSLFAAKSGFVIPKNKLLGSLVSIVKGGKKPGSKNAVNGESTNQEQVQRKTKWGPDLTQDASVKRGRALAYQIRVDQIVQQLELGIPEPGRDGDSHDSNELEDPKSSIPQIHTKNSEILELEKQEAIGEILILNPSYKAPPNYKPLLKETTVPIPVKEYPGYNFIGLIFGLGSETQKRLEKETGAKIQVHGSNVHTGEKVEISPSDGNETKVAYEELSVHVTADTFEKVDAAVVLIELLITSVSGNLAAGDNANVSQNQAASTAFMVSTAVNQGVVLSFTPQQGQFQYQNSWLPAATPLHPPPGLIFPQTSSAPVLNNPIPLQSASFNSSTMPSLFGPRLAQAFSNPYQPRNFPMPTPQPQSFTGSQPHPTGLYSVARPPLLQPSSSGSHDGLLVPSGWSGSPASVPASLGFVNMGQTTTPIVPSPGPWPTVPQLGFPSNAPPPNAANMVSPVTFPPGPSSLQSHSVSMNHPTLIQSSLVAPLPISSINPVLGSTPISGVVGAFSGTTSNFASMRSPTITDAKIQHSGPGDFTFQPHHLQNPAPQIAPRLSSHHAAQNGPLPRPMMQSPAPQGPPFHFEVPNSTPLPGRQMFPRPQVSNQMGQVPFVGNPTGPSLPPSLPAFSNANSFGQPVMQMVSRNLSSTPHIPYLTGPLPPRPGNPLQLQQNYPVPIAPRGQSFAPNQQPFISLASARPASFHGGQHVYDPFSPTSVSTASQRQGANLGEGRKPENDPL >Potri.001G008700.7.v4.1 pep chromosome:Pop_tri_v4:1:587228:592135:1 gene:Potri.001G008700.v4.1 transcript:Potri.001G008700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008700.v4.1 MSVKKVDQTSAVELQSIKMTATSSSSGASTTSGPKVSLFAAKSGFVIPKNKLLGSLVSIVKGGKKPGSKNAVNGESTNQEQVQRKTKWGPDLTQDASVKRGRALAYQIRVDQIVQQLELGIPEPGRDGDSHDSNELEDPKSSIPQIHTKNSEILELEKQEAIGEILILNPSYKAPPNYKPLLKETTVPIPVKEYPGYNFIGLIFGLGSETQKRLEKETGAKIQVHGSNVHTGEKVEISPSDGNETKVAYEELSVHVTADTFEKVDAAVVLIELLITSVSGNLAAGDNANVSQNQAASTAFMVSTAVNQGVVLSFTPQQGQFQYQNSWLPAATPLHPPPGLIFPQTSSAPVLNNPIPLQSASFNSSTMPSLFGPRLAQAFSNPYQPRNFPMPTPQPQSFTGSQPHPTGLYSVARPPLLQPSSSGSHDGLLVPSGWSGSPASVPASLGFVNMGQTTTPIVPSPGPWPTVPQLGFPSNAPPPNAANMVSPVTFPPGPSSLQSHSVSMNHPTLIQSSLVAPLPISSINPVLGSTPISGVVGAFSGTTSNFASMRSPTITDAKIQHSGPGDFTFQPHHLQNPAPQIAPRLSSHHAAQNGPLPRPMMQSPAPQGPPFHFEVPNSTPLPGRQMFPRPQVSNQMGQVPFVGNPTGPSLPPSLPAFSNANSFGQPVMQMVSRNLSSTPHIPYLTGPLPPRPGNPLQLQQNYPVPIAPRGQSFAPNQQPFISLASARPASFHGGQHVYDPFSPTSVSTASQRQGANLGEGRKPENDPL >Potri.002G153750.1.v4.1 pep chromosome:Pop_tri_v4:2:11751346:11751423:1 gene:Potri.002G153750.v4.1 transcript:Potri.002G153750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153750.v4.1 MTETSASLLELFFLLLKKIAWVACL >Potri.008G224093.1.v4.1 pep chromosome:Pop_tri_v4:8:18958845:18960785:-1 gene:Potri.008G224093.v4.1 transcript:Potri.008G224093.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224093.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGREGSRRDKRQATGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAISELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATRSGIARRANAWARARQFNATPTPASSSPRNPQARRSAPATLGRNLPPDWGCIPKQPDSQTAPRGAAGSSHDGALTLSDAPFQGTWAWSAAEDASPDYNSDAAGARFSSWAFPGSLAVTRGILVDARGSGRSRGRRARARQCPRVSQPPLVVATVAEDSILGQPRAGARGRPVSAPALVSRGEWGRRCVTPRQTCPRPRGLGRNLRSKTRWFTGFCNSHQVSHFATFFIDARAEISVAESRLDYHQKKARPRRRGYGGALLVLNFLGASRAGVRLRAAQGAGASLHGPRGREGRVPPEPSMSCHGFAGRSARQVSTMILPQVHLRKPCYDFSFL >Potri.008G079132.1.v4.1 pep chromosome:Pop_tri_v4:8:4895834:4896324:1 gene:Potri.008G079132.v4.1 transcript:Potri.008G079132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079132.v4.1 MEFDAKEPKRRLPKPLVSQLTEEQLRAIFMQSDINKDGLLSKKELKHAFSRLGALIPAFRAARGLHHADANHDGLVDKDELDDLIKYAYRLGYKVT >Potri.002G197400.2.v4.1 pep chromosome:Pop_tri_v4:2:16012452:16018777:-1 gene:Potri.002G197400.v4.1 transcript:Potri.002G197400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G197400.v4.1 MLETDSHSKTFLGVRFVLFGFDPISETEVKSKLVNGGGVDAVQYSENCTHVIVDKIVYDDPVCVGARNDGKTVVRGLWVNHSFDIGMPVDATSIMYRPLRDLNGIPRAKNITMCLTGYQRQDRDDIMTMVGLMGAHFSKPLVANRVTHLICYKFEGEKYELANKMKKIKLVNHRWLEDCLRNWELLPEDNYSKSGYELEMLEAEAKDSEDEAEGTSVKQPSCENVNKSQNLKAGTSKSCEMPKTGEVLKVSHNLSEPEGLSRVPGHLDACGFKGASSNDPPDPKERTPISTRTSNDLEFVSRIVERPSHSDAKYNATISYTRRTPRRSPSSIYPGNSGNTRGSPKVLLGESVNKSSAKVLNPSVTNAVQGSEPTHLVDGPSRINNHSPLGNTGHSVHDMSSMNAVLNSHAKYSTAKSSKFSRNTVTEDNAFLANMVLETGENESANNKTPQPSSRDLRENNLVLRSDSGGFVVERYEQMVAEAGEPQNQQQDGGGPFSLKELEIDKSDMLSDLHVLRAGKDDFITKPVRKKMIAKKTLGSRSKLKSNESQKGSIYLNATASQNDPTVTMAEVKEREEDGNFSDATELETSLAIVNVAVTEKMETESATKLGNNIEVKIGFMDDETEAPDEKNECENFLEEEQADMIDLPHKADNKIEMKLEADNSAAYMRNGPVEGKNPVEIQKRDESILTEDFVKGKGRKQPSGKTNTKTVTSIVRKEESKKVLNMEENLNGKKIEENAAEKESTEPHRAGQGKSRIISRKKSKNSVEAEKENKPAVDGDQYASLDDKRVGETAAKSNKAPVKFNHKVSKSNLGSTTGREVTKQVKAEPLWFILSGHRLQRKEYQKVIKSLKGKLCRDSHQWSYQATHYIAPGPIRRTEKFFAAAASGRWILKTDYLTACSQAGRFLAEESYEWHKNGLSEDGTINLEAPRKWRLLKERTGHGAFYGMRIIIYGECMTPPLDTLKRVVKAGDGTILATSPPYTRFITSGVDFAIISPGITRADVWVQEFLKHKIPCIVADYLVDYVCKPGNSLERHVLYNTNDLAEKSFSNLLSKAEMIPEDLTMSKDCDGGDDIACEVCCSCDRGEDMLICGDECGSVGCGAGIHIDCCDPPLESIPEEDWFCPKCSGSRSTSPKKKRIKKALH >Potri.002G197400.3.v4.1 pep chromosome:Pop_tri_v4:2:16012510:16016030:-1 gene:Potri.002G197400.v4.1 transcript:Potri.002G197400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G197400.v4.1 MLEAEAKDSEDEAEGTSVKQPSCENVNKSQNLKAGTSKSCEMPKTGEVLKVSHNLSEPEGLSRVPGHLDACGFKGASSNDPPDPKERTPISTRTSNDLEFVSRIVERPSHSDAKYNATISYTRRTPRRSPSSIYPGNSGNTRGSPKVLLGESVNKSSAKVLNPSVTNAVQGSEPTHLVDGPSRINNHSPLGNTGHSVHDMSSMNAVLNSHAKYSTAKSSKFSRNTVTEDNAFLANMVLETGENESANNKTPQPSSRDLRENNLVLRSDSGGFVVERYEQMVAEAGEPQNQQQDGGGPFSLKELEIDKSDMLSDLHVLRAGKDDFITKPVRKKMIAKKTLGSRSKLKSNESQKGSIYLNATASQNDPTVTMAEVKEREEDGNFSDATELETSLAIVNVAVTEKMETESATKLGNNIEVKIGFMDDETEAPDEKNECENFLEEEQADMIDLPHKADNKIEMKLEADNSAAYMRNGPVEGKNPVEIQKRDESILTEDFVKGKGRKQPSGKTNTKTVTSIVRKEESKKVLNMEENLNGKKIEENAAEKESTEPHRAGQGKSRIISRKKSKNSVEAEKENKPAVDGDQYASLDDKRVGETAAKSNKAPVKFNHKVSKSNLGSTTGREVTKQVKAEPLWFILSGHRLQRKEYQKVIKSLKGKLCRDSHQWSYQATHYIAPGPIRRTEKFFAAAASGRWILKTDYLTACSQAGRFLAEESYEWHKNGLSEDGTINLEAPRKWRLLKERTGHGAFYGMRIIIYGECMTPPLDTLKRVVKAGDGTILATSPPYTRFITSGVDFAIISPGITRADVWVQEFLKHKIPCIVADYLVDYVCKPGNSLERHVLYNTNDLAEKSFSNLLSKAEMIPEDLTMSKDCDGGDDIACEVCCSCDRGEDMLICGDECGSVGCGAGIHIDCCDPPLESIPEEDWFCPKCSGSRSTSPKKKRIKKALH >Potri.006G017400.1.v4.1 pep chromosome:Pop_tri_v4:6:1091226:1097165:-1 gene:Potri.006G017400.v4.1 transcript:Potri.006G017400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017400.v4.1 MVIMSVSNDVFVAWEEHIICHERGSRVVHYHLKDTFGGLVLAVIGTERSIRHMTYVVSDEFLEAYGSNESLNASTKWRARREVVDWLTSMVSNEGSPLHVSNAQINGSAQGSGSFGVSVTGFNASKTYLPVRMARSKLKVQNSHIKWFGAAWICAKELKHYPAFFRNGTTITVHSFVFIMAEEKGRYLGYLEDMYEDKKGQKKVKVRWFHHNQEVKGVIPQLNPHPQEVFITPNVQVISAEYIDCPATVLTPRHYDKCMAGVSHTSTSGVHMCFRQFKNNKIKPFALTKLHGYFNQAILSTLDGSIVPKKKVRYDNLYKEDEEELTHDYPTSVGSKRSRTSKEQDRLESGSGLRNWACGNQIAKCKSGYPKLKLRLSKVSMGIEFVIPQSKCSAPFKVNEKIEMLCQDSGIRGCWFRCKVLQSSQKHLKVQYEDVQYVEGSGNLEEWVPASRVAAPDKLGMRCLGRKTIRPHPQNHSTDHIFEVGAPVDAWWSDGWWEGVVSGVDISGSDCLQVYLPGEGKFLTVPRKNVRSSRDWVDNRWVDVMAKPDILHHLSADAISIIQLEARGCDTAASLEHKFVKTSRLEAIEEDEPLPGSVVSGDLKNVKEVYLRQRHGVNEKDELKTHGAVDDDDVGGDFHHEEKANNVAIAAYVDNGGEDSSGNNTEFLLDEDLKSVNKISEAAKTL >Potri.012G060100.1.v4.1 pep chromosome:Pop_tri_v4:12:6062500:6076005:1 gene:Potri.012G060100.v4.1 transcript:Potri.012G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060100.v4.1 MMGWRRVLKSLQALAAHSLLFSFTLFLVLKLDHVASYSWWLIFFPLWTFHGVVARGRFALPAPSVPHNRHWAPCHAVVATPLLIAFELLLCIYLESVYVYHIPAVNLKIVFIPLLAFEIIILIDNFRMCKALMPGEEESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLCGDVGALGWWDLFINFSIAEFFAFLVCTKWSNPAIHRNSRTREVSSSSTTIRYLDWNGGLMVSPEENQHQDRMCGLQEIGGHIMKIPLIGFQVLLFMHLEGRPTGARNLPFLVLFSPLFLLQGAGVLFAASKLAEKLILLLRSEAGTGRYFRFSSRAHDCLGFLHHGSRLLGWWSIDEGSREEQARLYHGGDAGYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYSQQEFERLTNEKVLCRVCFEGEISVVLLPCRHRILCSTCCEKCKKCPICRVSVEECLSVYDV >Potri.009G072400.1.v4.1 pep chromosome:Pop_tri_v4:9:7093606:7095355:-1 gene:Potri.009G072400.v4.1 transcript:Potri.009G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072400.v4.1 MAKHHPDLIMCRKQPGIAIGRVCEKDDGKCVICDSLVRPCTLVRICDECNYGSFQGRCVICGGVGISDAYYCKECTQLEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Potri.010G054800.1.v4.1 pep chromosome:Pop_tri_v4:10:8601880:8604779:-1 gene:Potri.010G054800.v4.1 transcript:Potri.010G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054800.v4.1 MKLIQLSSIQSRVSFLSVDARPFCTLSVSAHQKISATNSNSLSECGLRRTNPPNKGTNNSLIFMEMSKACLTMFPSIHQNKVASLSPRKRHGTGSYRIFYTCSKPLISRTVPPIVRPPSSLVLAACVTGSDAPQRSEEWFALRRDRLTTSTFSTAMGFWKGKRRPELWHEKVFGSETQTLEASANSAMQWGVLNEAAAINRYKNITSREVSSLGFAIHSEEQFDWLGASPDGLLGASPDGLLGCFPGGGILEVKCPYNKGKPEKGLPWSTMPFYYVPQVQGQLEIMDREWADLYCWTPNGSTIFRVCRDRGYWEIIHGILREFWWENVIPAREALLIGREEEAKSYMPASTHKQTGLAIVKSLKLATESKLLCREIAGHVEFFR >Potri.012G109100.2.v4.1 pep chromosome:Pop_tri_v4:12:12998503:12999386:-1 gene:Potri.012G109100.v4.1 transcript:Potri.012G109100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109100.v4.1 MLLERAFTFGLLKNNILRLGLLGLVTWVCSTLQNPWLKNKSSTPYPPKQQKELPKKQQQQKEPQKEEPQQQEEEEEEEEEYEEVEEEEEDNEDDPDEETNQNAQISAVENLNDDDDEDEEPTEKLLKPFGKDQLINLLREAADGHRDFADKIQQVADLKRRTIRA >Potri.010G155701.1.v4.1 pep chromosome:Pop_tri_v4:10:22744931:22745470:-1 gene:Potri.010G155701.v4.1 transcript:Potri.010G155701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155701.v4.1 MFFYFFLFICLSLDKSGLYIQGQLDQWLNPLHHLELLLPLGVPTTTVQTE >Potri.014G095800.1.v4.1 pep chromosome:Pop_tri_v4:14:6256466:6260079:1 gene:Potri.014G095800.v4.1 transcript:Potri.014G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095800.v4.1 MVKEMRSVVNPFSPPKHNNVIIKFAVSFLLVGLAFHLLISDSFRFSSVVETLVPANEETEPESLVASLPAEEPVSNDFAANESQTSQPGKCDLFVGDWIPDPSGPFYTNQSCLQIEGHQNCMKNGRPDSGYLHWRWNPRGCSLPRFNPKKFLRLMRNKSWAFIGDSISRNHVQSLLCTLSQVEQAVEVYHDEEYRSRIWRFPSHNFTLSVIWTPFLIKADIFEDMNGVSSSEIQLHLDKLDKKWTDQYRNLDYAIIAGGKWFLKTAIYHENNVVTGCHYCPGKNLTELGFDYAYRKAMQLIFNFITNSGHKALAFFRTTTPDHFENGEWFSGGTCNRKVPFKEDEVNMTDVDTIMHNIELEEFEKATALGPEKGVALKLLDTTRLSLLRPDGHPGPYRQFQPFAEDKNAKVQNDCLHWCLPGPIDSWNDLVMEMIVNGGIYQ >Potri.004G193800.1.v4.1 pep chromosome:Pop_tri_v4:4:20747736:20753321:-1 gene:Potri.004G193800.v4.1 transcript:Potri.004G193800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G193800.v4.1 MDPESKKFGRGPRELTGAVDLISHFKLLPHHEFFCKRSLPLSIADTHYLHNVVGDTEIRKGEGMQLDQLIQNTSRDSNAHIEPFDLDVLREAFQLKETTPIDLPSAEKGTPTIAGKSKVESKDKDRKHKKHKERDKEKEKEKEHKKRKHRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHDKKRKHDGDEDLNDVHKHKKSKHKSSKIDEIGVIKVAG >Potri.001G286300.5.v4.1 pep chromosome:Pop_tri_v4:1:29899060:29902008:-1 gene:Potri.001G286300.v4.1 transcript:Potri.001G286300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286300.v4.1 MSDVIGFPFSTGMELSTAKDAFDRVAKKQKLSFSKSHEVIHQVSHEIEQALVKIQSDEDPMFPIDQKSILTELKHKLIAIAPLKQLEGSQKELNLNLSKLPKILEKSFPDISMAYRNVDFDFHIVNQIIASHFYRQGLFDLGDCLINEAGEPEAAALRSHLLELHQILEAMRIKNIEPALKWVSTNREKLMQNGSNLELKLHQQQFVEILKRGSRADALNYVKTHLASFASSHMKEFQKLTVCIMWMGRLEKCPHSELFAPICWEKLTEELNRDFCNFIGQSLQSPLSVAIAAGIEGLPTLLKLVNVMAAKKQEWQALKQLPVPVELGKEFQFHSIFVCPVSRDQGNEENPPMLLPCFHVLCKQSIMKLSKGSSRSFKCPYCPAEASAVVCRQLYI >Potri.001G286300.1.v4.1 pep chromosome:Pop_tri_v4:1:29898945:29902078:-1 gene:Potri.001G286300.v4.1 transcript:Potri.001G286300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286300.v4.1 MELSTAKDAFDRVAKKQKLSFSKSHEVIHQVSHEIEQALVKIQSDEDPMFPIDQKSILTELKHKLIAIAPLKQLEGSQKELNLNLSKLPKILEKSFPDISMAYRNVDFDFHIVNQIIASHFYRQGLFDLGDCLINEAGEPEAAALRSHLLELHQILEAMRIKNIEPALKWVSTNREKLMQNGSNLELKLHQQQFVEILKRGSRADALNYVKTHLASFASSHMKEFQKLTVCIMWMGRLEKCPHSELFAPICWEKLTEELNRDFCNFIGQSLQSPLSVAIAAGIEGLPTLLKLVNVMAAKKQEWQALKQLPVPVELGKEFQFHSIFVCPVSRDQGNEENPPMLLPCFHVLCKQSIMKLSKGSSRSFKCPYCPAEASAVVCRQLYI >Potri.001G286300.6.v4.1 pep chromosome:Pop_tri_v4:1:29898955:29902153:-1 gene:Potri.001G286300.v4.1 transcript:Potri.001G286300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286300.v4.1 MELSTAKDAFDRVAKKQKLSFSKSHEVIHQVSHEIEQALVKIQSDEDPMFPIDQKSILTELKHKLIAIAPLKQLEGSQKELNLNLSKLPKILEKSFPDISMAYRNVDFDFHIVNQIIASHFYRQGLFDLGDCLINEAGEPEAAALRSHLLELHQILEAMRIKNIEPALKWVSTNREKLMQNGSNLELKLHQQQFVEILKRGSRADALNYVKTHLASFASSHMKEFQKLTVCIMWMGRLEKCPHSELFAPICWEKLTEELNRDFCNFIGQSLQSPLSVAIAAGIEGLPTLLKLVNVMAAKKQEWQALKQLPVPVELGKEFQFHSIFVCPVSRDQGNEENPPMLLPCFHVLCKQSIMKLSKGSSRSFKCPYCPAEASAVVCRQLYI >Potri.011G120800.1.v4.1 pep chromosome:Pop_tri_v4:11:15152340:15154717:1 gene:Potri.011G120800.v4.1 transcript:Potri.011G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120800.v4.1 MEHHFFDHEAHFESEEVVGLEQKYKSIPAPAAVSDNDSDLFECNICLDSAHDPVVTFCGHLYCWPCMYKWLHVKTSSPDAVQQQPSCPVCKAAISPTSLVPLYGRGPPSSESKSMGSSVDAALPRRPLPSGLNTASTNTSRQSRQPHSNSFNPQSQSFQHQQYFHDPHGGYAAMTSSNLRSTVMTGFFNPMMGMFNEMGCSRIFGTSVTNMFAHPYTNPLMGSNNPRMRRQEMQLDKSLNRVSIFLFCCFILCLLLF >Potri.011G120800.2.v4.1 pep chromosome:Pop_tri_v4:11:15153022:15154683:1 gene:Potri.011G120800.v4.1 transcript:Potri.011G120800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120800.v4.1 MQNVWIYMEHHFFDHEAHFESEEVVGLEQKYKSIPAPAAVSDNDSDLFECNICLDSAHDPVVTFCGHLYCWPCMYKWLHVKTSSPDAVQQQPSCPVCKAAISPTSLVPLYGRGPPSSESKSMGSSVDAALPRRPLPSGLNTASTNTSRQSRQPHSNSFNPQSQSFQHQQYFHDPHGGYAAMTSSNLRSTVMTGFFNPMMGMFNEMGCSRIFGTSVTNMFAHPYTNPLMGSNNPRMRRQEMQLDKSLNRVSIFLFCCFILCLLLF >Potri.012G071700.1.v4.1 pep chromosome:Pop_tri_v4:12:9522196:9527287:-1 gene:Potri.012G071700.v4.1 transcript:Potri.012G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071700.v4.1 MGNCNTCVRPDTSPEDTETHQTKTKKPKKSNPYSEDFPHHQTTTNNRSSPAPIRVLKDSSVPLSHRPRISDKYILGRELGRGEFGITYLCTDRENKEALACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLKATYEDYENVHLVMELCEGGELFDRIVARGHYSERAAAHVARTVAEVVRMCHANGVMHRDLKPENFLFANKKENSALKAIDFGLSVFFKPEERFSEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISESAKSLVRQMLEPDPRKRLNAQQVLEHPWLQNAKKAPNVPLGDIVRSRLKQFSVMNRFKKKALRVIAEHLTVEEVEVIRDMFALMDTDNDGKVTYEELRTGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDTDGSGYIELDELRGALADEYGETDNDVLNDIMREVDTDKDGCISYEEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLHLHDAFTGQSVAV >Potri.013G090900.1.v4.1 pep chromosome:Pop_tri_v4:13:9224368:9228207:-1 gene:Potri.013G090900.v4.1 transcript:Potri.013G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090900.v4.1 MAALLLELPPPPFLNCNHHQFLSTSKTSLRLHNFPSKTPPPPPPTFTPTTTSCFLSTVTSSSISKEEKEKEPHSTAVASTKAPEEGSQQDRRKVVQIAWEKLVRWSRSWRSKNNKTDLLERTNKVVVLGGGSFGTAMAAHVAYRKSSLEVQMLLRDPLLCQSINENHCNCKYFPEHKLPDNVIATTDAKAALLDADFCLHAVPVQFSSSFLEGIAEYVDPGLPFISLSKGLELNTLRMMSQIIPQALGNPYQPFVLLSGPSFALELMNKLPTAMVVASKDKKLAHAAQQLLASSHLRISTSRDVTGVEIAGALKNVLAIAAGIVEGMNLGNNSMAALVAQGCSEIRWLATKMGAKPSTITGLSGTGDIMLTCFVNLSRNRTVGVRLGSGEKLDDILSSMNQVAEGVSTAGAVIALAQKYNVKMPVLTAVARIIDNELTPKKAVLELMNLPQVEEV >Potri.008G067300.1.v4.1 pep chromosome:Pop_tri_v4:8:4059190:4061753:-1 gene:Potri.008G067300.v4.1 transcript:Potri.008G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067300.v4.1 MAATTAVAASYFSGTRTQYTKQNPGKIQALFGFGTKKSPPPPPPKKSSPKQFEDRLVWFPGASPPEWLDGTMVGDRGFDPFALGKPAEYLQFDLDSLDQNLAKNLAGDVIGVRVDATEVKPTPFQPYSEVFGLQRFRECELIHGRWAMLGTLGAIAVEALTGVAWQDAGKVELIEGSSYLGQPLPFSLTTLIWIEVIVVGYIEFQRNAELDPEKRLYPGGYFDPLGLASDPEKIENLQLAEIKHARLAMVAFLIFGIQAAFTGKGPISFVATFNN >Potri.019G055900.1.v4.1 pep chromosome:Pop_tri_v4:19:9471613:9474272:-1 gene:Potri.019G055900.v4.1 transcript:Potri.019G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055900.v4.1 MEAAVMSNNNGKRGRKPLADCTNLSQTSSTNSNISSSKKTPILSSSLRKSNAGSTHNAAASKSTALLLPFSSTTPPQSSSLPGVGGDGIFEPHSVYTRRQSATDKRNKSKGKAIAVPISFAPAPNTEFAWDKMNEGGVTHPSKSCTFHHKKKQRRKLSDEDEKKHALPQDFIEQQRAYFAEIDAFELSEVEVDSSNELD >Potri.009G153900.5.v4.1 pep chromosome:Pop_tri_v4:9:12061155:12066115:1 gene:Potri.009G153900.v4.1 transcript:Potri.009G153900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153900.v4.1 MGRLSRPILGFLLLCCLIVAGEAEYLKYKDPKMPIGARIKDLMKRMTLEEKIGQMVQIERTVATPDVMKQYFIGSVLSGGGSVPGPKASAEAWVNLVNGIQKASLSTRLGIPMIYGIDAVHGHSNVYNATIFPHNVGLGVTRDPQLVKKIGEATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHRIVQLMTEIIPGLQGELPANSKKGVPFVAPGNTKVAACAKHFVGDGGTTKGIDENNTVISMNGLLNIHMPAYYNAISKGVATVMVSYSSWNGKRMHINRDLVTGFLKNKMKFRGFVISDWQGIDRVTSPPHANYSSSVHAGVDAGIDMIMVPFNFTEFIDDLTYQVKNNIIPMSRINDAVQRILRVKFVMGLFEKPLADLSMANQLGSQEHRELAREAVRKSLVLLKNGKYSTAKPFLPLPKKAPKILIAGSHADNLGYQCGGWTITWQGLGGNDLTTGTTILNAVKNTVDPTTQVVYNENPDSNFVKSNKFSYAIVVVGEPPYAEMYGDSSNLTISEPGPSTINNVCGAVKCVVIVISGRPVVIQPYLEKIDALVAAWLPGTEGQGVVDNLFGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLFPFGFGITTKPAKN >Potri.009G153900.1.v4.1 pep chromosome:Pop_tri_v4:9:12061061:12065986:1 gene:Potri.009G153900.v4.1 transcript:Potri.009G153900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153900.v4.1 MGRLSRPILGFLLLCCLIVAGEAEYLKYKDPKMPIGARIKDLMKRMTLEEKIGQMVQIERTVATPDVMKQYFIGSVLSGGGSVPGPKASAEAWVNLVNGIQKASLSTRLGIPMIYGIDAVHGHSNVYNATIFPHNVGLGVTRDPQLVKKIGEATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHRIVQLMTEIIPGLQGELPANSKKGVPFVAPGNTKVAACAKHFVGDGGTTKGIDENNTVISMNGLLNIHMPAYYNAISKGVATVMVSYSSWNGKRMHINRDLVTGFLKNKMKFRGFVISDWQGIDRVTSPPHANYSSSVHAGVDAGIDMIMVPFNFTEFIDDLTYQVKNNIIPMSRINDAVQRILRVKFVMGLFEKPLADLSMANQLGSQEHRELAREAVRKSLVLLKNGKYSTAKPFLPLPKKAPKILIAGSHADNLGYQCGGWTITWQGLGGNDLTTGTTILNAVKNTVDPTTQVVYNENPDSNFVKSNKFSYAIVVVGEPPYAEMYGDSSNLTISEPGPSTINNVCGAVKCVVIVISGRPVVIQPYLEKIDALVAAWLPGTEGQGVVDNLFGDYGFTGKLARTWFKTVDQLPMNVGDPHYDPLFPFGFGITTKPAKN >Potri.011G019750.1.v4.1 pep chromosome:Pop_tri_v4:11:1574202:1578812:-1 gene:Potri.011G019750.v4.1 transcript:Potri.011G019750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G019750.v4.1 MDSFLGRRANMDSEMIQERPYIAAMNGDWENMVDYYKENLQYLFSPVTLSLDTGLHLAVHSNDEQPLKELLAIMEGREFFLTESLNKFGNTVLHEATIYGNSEAVRLLVDRYPYLISITNKYGETPLFTAAAFGEAEIVEFLIATKPEECVDSDGRILSIHRQRSKDGQSILHQRSKDGLSILGAAIIGQHFETALLLLELDESLHGLEDKMGRTALQLLAEMPTGFESGYPMGIFERLIYCCLPVIRHHEVKSQVQPWCRAMKDLESGTLGSNLVNYLKVPKESKMISNLLRCRFLPQVGFWPVRLERFWNQKINHVFALRLAKILIKEDKSWKSVSKTEEGQEEKQTDPPSSLVKQGDQKKGKEEEQGMGGGKNKKGENEFSYLRQTSEITSKAKEIEEVQHPAAQPSVTNSSLTSNEQISLFLATGNGIEEIVRGIIKQYPHAIKQLNVTNSPLTREEQIPLLIATRNGIEEIVWEIIKLYPHAVEKLNDKGQSILDVAVIHRQKRIFNLVKQQRIPLARLQRVIDKKGNTLLHHVADMEHYRGGTKPGPALKLQEELQWFEQVREVIPSHYVTLRNDEGKTADELFKESHKDQLENAQKWIKETTQSCSTVAALVATVVFAAAYTVPGGSDENGKPNFINSPYFLVFTVSDVLSLASSLTSLVVFLSLLTSPFEQQEFHFSLPRKLLAGFTFLFFAVITTMLSFGATILILIQSEKKLTTLLLSIAAFLPVLVFAIMQFHLYVSFMGSTFNILKKTRKARTPFYVPCLRWGKMLGLKKKE >Potri.003G112600.1.v4.1 pep chromosome:Pop_tri_v4:3:13500388:13505882:1 gene:Potri.003G112600.v4.1 transcript:Potri.003G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112600.v4.1 MADPSPLLPVSNSLEPSYSPAPEGAVSAGCPATHLRRSKKVLIAVFSGLLVVSLILATINNNNGGRHVQYHSQEDEDASLATPKEMAKPETLLPAGYSRGVSAGVSEKANVNLKGAQVKDYPWNNSMLSWQRTAFHFQPEENWMNDPNGPLYYKGWYHFFYQYNPHAAVWGDIVWGHAVSKDLIHWLHLPLAMVADKWYDKNGVWTGSATILPDGKIVMLYTGSTNESVQVQNLAYPADHDDPLLLKWVKYSGNPVLVPPPGIGAKDFRDPTTAWKTSEGKWRIIIGSKINKTGIALVYDTEDFINYELLSGILHGVPKTGMWECVDFYPVSKTGQNGLDTSVNGPQVKHVIKTSLDDDRHDYYALGTYADKVGKWYPDNPEIDVGIGIRYDYGIFYASKTFYDQSKGRRVLWGWIGESDSEVADVKKGWASLQGIPRTVVLDTKTGSNLLQWPVEEVESLRLKSKNFNNIEVKAGSAVPLELDGATQLDIVAEFELDRKAIERTAESNVEFSCSTNGGASHRGALGPFGLLVLADDDLTEYTPVYFFVAKGNNGSLKTFFCTDQSRSSVANDVRKEIYGSYVPVLEGEKLSVRILVDHSIIESFAQGGRTCITSRVYPTRAIYGSARLFLFNNATEAGVTSSLKIWNMNSAFIRPYSNEQQ >Potri.009G157600.1.v4.1 pep chromosome:Pop_tri_v4:9:12267225:12268321:1 gene:Potri.009G157600.v4.1 transcript:Potri.009G157600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157600.v4.1 MDISTPSTTPKYSSSPIMMNPNPNSASPTTVSAISSTPSRYENQKRRDWNTFCQYLRNHKPPLSFPRCNGTHVLEFLCYLDQFGKTKVHHQTCQFFGHPKPPALCPCPLRQAWGSLDALIGRLRAAYEAHGGKPEENPFGARAVRIYLREVRDFQAKSRGVSYTKKRKRTSKQAEGNNGTTTS >Potri.010G001100.1.v4.1 pep chromosome:Pop_tri_v4:10:120147:123684:-1 gene:Potri.010G001100.v4.1 transcript:Potri.010G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001100.v4.1 MLSLTLNLLFFFIFATIPFANSQTPTPEAPAPASSTCNGIFLSYQYNGGSQIKPTDPTLQPYRFKSTLTVQNNGRDELKSWKVFVGFQNDELLVSASNAVLADGTTLPAAVGNGTVFAGFPMTDLKTAIETAGDDTQTSVQVQFLGTQFGVPLKDVPWPSNISLANDGFVCPATTKEGSVMFVCCTRDPKFKTNITLDEEFLPRQNGDLTIMYDVIRTYDSSYWAQVSIANHNPLGRLDNWKLSWDWMKDEFINSMKGAYPYILDSTDCIFGPQGKYYGELDFSNVLNCERRPTIVDLPPTKANDTTLGLIPFCCRNGTILPPSMDPSKSTSAFQLQVFKMPPDLNRSQISPPQNWKINGTLNPDYQCGPPVRVSPSQFPDPSGLPANKTAVASWQVVCNITHPKGVSPRCCVSFSAYYNDSVIPCNTCACGCPSNTARTCSATAPAVLLPPQALLVPYENRTAMSVAWSALKHRAVPNPFPCADNCGVSINWHLFTDYNRGWSARITLFNWQETSFPDWFAAVQLDKAAAGFEAMYSFNGSMLEMDGVNNTILMEGLPGLNYLVAETDGASPQKDPRVPGKQQTVISFTKKNIPGVNIAAGDGFPTKVFFNGEECSLPSIYPTSNSNGKGSTMIVSILLAAVVVLLIQQ >Potri.001G344800.3.v4.1 pep chromosome:Pop_tri_v4:1:35577823:35580717:1 gene:Potri.001G344800.v4.1 transcript:Potri.001G344800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344800.v4.1 MTTLALAHSLPCSSSKKHLPFRFIAHASTQLSPASLKQQLSHQKTGVIVIGAGLAGLATATRLNSQNIPFLLLEASDAVGGRVRTDVVDGFLLDRGFQIFITAYPEAQRLLDYQALELQKFYSGARIYFDGRFHTVADPLCHFFDSVKSLTNPIGSVIDKLLIALTRIQVLSKSDDEIMRADEVPTIDLLKRIGFSDSIVERFFQPFFGGIFFDKELGTTSRLFDFIFKCLALGNNTLPAKGIGAIPNQLASKLPADSILLNTRVVSVGLDEDQNTPMPFVRLENGDILQSELGVIVAVEEPHVNELLAEINSIGPVQSKKPARSTVCLYFSADRDDIPVRDPVLFLNGSGEGIVNNMFFATNVAPSYGPPGKALVSVTLIGLFDDTSDHDLVDEVISELSGWFGGSMVGSWKPLRTYRVRYAQPNQCPPTNLMKNPQIGSGLYLCGDHLTSATFDGALASGRKAAEALLRDRAFIKV >Potri.002G214000.1.v4.1 pep chromosome:Pop_tri_v4:2:19797463:19803372:-1 gene:Potri.002G214000.v4.1 transcript:Potri.002G214000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214000.v4.1 MAEGEKEKKSSSSNNEESVKLFVGQVPKHMTEDEVLAMFKEFALVDEVNIIKDKTTRASRGCCFLICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAELSDLFSKYGIIKDLQILRGSQQTSKGCAFLKYETKEQAHAALEDINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQAMPNTDSQHPSLFGALPMGYAPPYNGYGYQAPGAYELVPYRLRGGIRPDLSLNISPRNYAPAGYMGSAYPTMPGLQYPIAYPGAIMSHRPLSNSPGTLSPTVPSCNSATSSGASGSSGGQVEGPPGANLFIYHIPQEFGDQELANTFQAFGQVLSAKVFVDKATCVSKCFGFVSYDSPAAAQNAITMMNGCQLGGKMLKVQLKKDNKQSKPY >Potri.001G045800.3.v4.1 pep chromosome:Pop_tri_v4:1:3324808:3347905:-1 gene:Potri.001G045800.v4.1 transcript:Potri.001G045800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045800.v4.1 MPVDISSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADLLDYESLKEAIQGCDGVFHTASPLTDDPEQMVEPAVNGTKNVIMAASEAKVRRVVFTSSIGTVYMDPNRGPDVVVDESCWSDLEFCKNTKNWYCYGKTVAEQDAWDVAKKNGVDLVVVNPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVLPGVSHPHQMMKKTC >Potri.001G045800.2.v4.1 pep chromosome:Pop_tri_v4:1:3337958:3347904:-1 gene:Potri.001G045800.v4.1 transcript:Potri.001G045800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045800.v4.1 MPVDISSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADLLDYESLKEAIQGCDGVFHTASPLTDDPEQMVEPAVNGTKNVIMAASEAKVRRVVFTSSIGTVYMDPNRGPDVVVDESCWSDLEFCKNTKNWYCYGKTVAEQDAWDVAKKNGVDLVVVNPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVLPGVSHPHQQTERSCQCELSPRHELADSGCQHRTVSVV >Potri.001G045800.6.v4.1 pep chromosome:Pop_tri_v4:1:3330301:3347904:-1 gene:Potri.001G045800.v4.1 transcript:Potri.001G045800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045800.v4.1 MPVDISSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADLLDYESLKEAIQGCDGVFHTASPLTDDPEQMVEPAVNGTKNVIMAASEAKVRRVVFTSSIGTVYMDPNRGPDVVVDESCWSDLEFCKNTKNWYCYGKTVAEQDAWDVAKKNGVDLVVVNPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVLPGVSHPHQLGL >Potri.001G045800.1.v4.1 pep chromosome:Pop_tri_v4:1:3341779:3347670:-1 gene:Potri.001G045800.v4.1 transcript:Potri.001G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045800.v4.1 MPVDISSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADLLDYESLKEAIQGCDGVFHTASPLTDDPEQMVEPAVNGTKNVIMAASEAKVRRVVFTSSIGTVYMDPNRGPDVVVDESCWSDLEFCKNTKNWYCYGKTVAEQDAWDVAKKNGVDLVVVNPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVLPGVSHPHQVSSYDFEQF >Potri.001G045800.5.v4.1 pep chromosome:Pop_tri_v4:1:3325116:3347904:-1 gene:Potri.001G045800.v4.1 transcript:Potri.001G045800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045800.v4.1 MPVDISSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADLLDYESLKEAIQGCDGVFHTASPLTDDPEQMVEPAVNGTKNVIMAASEAKVRRVVFTSSIGTVYMDPNRGPDVVVDESCWSDLEFCKNTKNWYCYGKTVAEQDAWDVAKKNGVDLVVVNPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVLPGVSHPHQVFR >Potri.011G079600.1.v4.1 pep chromosome:Pop_tri_v4:11:8570652:8572877:-1 gene:Potri.011G079600.v4.1 transcript:Potri.011G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079600.v4.1 MATALVIKTRLLSAPRLHHHHHCYPLLFSTTSPKPPKSQSLKSIKMESGNEITEEAKENVNQKKKKIFVAGATGSTGKRIVEQLLAKGFEVKAGVRDLDKAKTILSEHNPSLQIVTADVTKGSDKLVQAIGDDSEAVICATGFRPGWNLFAPWKVDNLGTVNLVEACRKLGVKRFILISSILVNGAAMGQILNPAYIFLNVFGLTLVAKLQAENYIRKSGINYTIVRPAGLKNEPPSGNLVIEPEDTLYEGIISRDVVAEVAVEALGLPESSYKVVEIVSRADAPKRTYEDLFGSIKQK >Potri.001G019000.4.v4.1 pep chromosome:Pop_tri_v4:1:1405551:1412127:-1 gene:Potri.001G019000.v4.1 transcript:Potri.001G019000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019000.v4.1 MERGLFGMVSNAEILSGGIIRLQVAEAEVNDISICFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSFIPQPAGDTGKVDLIMNVVERPNGGFSAGGGISSGITSGPLSGLIGSFAYSHRNVFGRNRKLNISLERGQIDSIFRVNYTDPWIEGDDKRTSRTIMVQNSRTPGNLVHSNQPGNSSLTIGRVTAGLEFSRPLRPKWSGTGGLIFQHAGVRDENGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVFNMEQGLPLWPEWLFFNRVNARARKGAQIGPALCLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVSSGRSYVIGSGEISFPMLGPVEGVIFADYGTDLGSGPTVPGMFFVIS >Potri.001G019000.1.v4.1 pep chromosome:Pop_tri_v4:1:1405552:1412107:-1 gene:Potri.001G019000.v4.1 transcript:Potri.001G019000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019000.v4.1 MSKNDDVSFTSSSLRTPPFLHHQTKPSLPLFSQLAKTKLTFASFLDSLLTRPSTRTPNSPPLCSASLSLSHSSCSDPKPLPILGSASLSLSQSQLGESNQLDESNQLDSVVTAQQKSGGASGVHGSGRNDEERVLISEVLVKNKDGEELERKDLEGEALAALKACRANSALTVREVQEDVHRIISSGYFSSCMPVAVDTRDGIQLVFQVEPNQEFHGLVCEGASVLPTKFIQDAFRGGYGKVVNIKHLDEVINSINGWYMERGLFGMVSNAEILSGGIIRLQVAEAEVNDISICFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSFIPQPAGDTGKVDLIMNVVERPNGGFSAGGGISSGITSGPLSGLIGSFAYSHRNVFGRNRKLNISLERGQIDSIFRVNYTDPWIEGDDKRTSRTIMVQNSRTPGNLVHSNQPGNSSLTIGRVTAGLEFSRPLRPKWSGTGGLIFQHAGVRDENGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVFNMEQGLPLWPEWLFFNRVNARARKGAQIGPALCLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVSSGRSYVIGSGEISFPMLGPVEGVIFADYGTDLGSGPTVPGDPAGARLKPGSGYGYGFGIRVDSALGPLRLEYAFNDKNMKRFHFGVGHRN >Potri.001G019000.2.v4.1 pep chromosome:Pop_tri_v4:1:1405553:1412132:-1 gene:Potri.001G019000.v4.1 transcript:Potri.001G019000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019000.v4.1 MSKNDDVSFTSSSLRTPPFLHHQTKPSLPLFSQLAKTKLTFASFLDSLLTRPSTRTPNSPPLCSASLSLSHSSCSDPKPLPILGSASLSLSQSQLGESNQLDESNQLDSVVTAQQKSGGASGVHGSGRNDEERVLISEVLVKNKDGEELERKDLEGEALAALKACRANSALTVREVQEDVHRIISSGYFSSCMPVAVDTRDGIQLVFQVEPNQEFHGLVCEGASVLPTKFIQDAFRGGYGKVVNIKHLDEVINSINGWYMERGLFGMVSNAEILSGGIIRLQVAEAEVNDISICFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSFIPQPAGDTGKVDLIMNVVERPNGGFSAGGGISSGITSGPLSGLIGSFAYSHRNVFGRNRKLNISLERGQIDSIFRVNYTDPWIEGDDKRTSRTIMVQNSRTPGNLVHSNQPGNSSLTIGRVTAGLEFSRPLRPKWSGTGGLIFQHAGVRDENGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVFNMEQGLPLWPEWLFFNRVNARARKGAQIGPALCLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVSSGRSYVIGSGEISFPMLGPVEGVIFADYGTDLGSGPTVPGMFFVIS >Potri.001G019000.3.v4.1 pep chromosome:Pop_tri_v4:1:1405553:1412124:-1 gene:Potri.001G019000.v4.1 transcript:Potri.001G019000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019000.v4.1 MERGLFGMVSNAEILSGGIIRLQVAEAEVNDISICFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSFIPQPAGDTGKVDLIMNVVERPNGGFSAGGGISSGITSGPLSGLIGSFAYSHRNVFGRNRKLNISLERGQIDSIFRVNYTDPWIEGDDKRTSRTIMVQNSRTPGNLVHSNQPGNSSLTIGRVTAGLEFSRPLRPKWSGTGGLIFQHAGVRDENGNPIIKDHYSSPLTASGKTHDDMLLAKFESVYTGSGDHGSSMFVFNMEQGLPLWPEWLFFNRVNARARKGAQIGPALCLLSLSGGHVVGNFSPHEAFAIGGTNSVRGYEEGAVSSGRSYVIGSGEISFPMLGPVEGVIFADYGTDLGSGPTVPGDPAGARLKPGSGYGYGFGIRVDSALGPLRLEYAFNDKNMKRFHFGVGHRN >Potri.004G001400.1.v4.1 pep chromosome:Pop_tri_v4:4:108728:110733:1 gene:Potri.004G001400.v4.1 transcript:Potri.004G001400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001400.v4.1 MANTGPVRFERVAAAFNEASRVIRLYESGGSEHFSPDNSSADLVNSFIETEYRNQFGGIGGDQNNKGHRDRLEDSSDCSYSENKERLENLLNIIDDVREKICKEIGFIGERSSFKHRLMSRLRDRGFDAGLCKSRWEKFGRHPAGDYEYVDVIVSGNRYIVEVFFAGEFEIARPTSRYAELLDVFPRVYVGTPEDVKQIVRLMCNAMRESMKAVGMHVPPWRRNGYLQAKWFGHYKRTTLNEVSTRTSGSKSDHEGTPAKRATAFETLPVRVYYCRDDIASNGGLGVSHLTAAFRSNGIDR >Potri.003G156900.2.v4.1 pep chromosome:Pop_tri_v4:3:16788039:16789714:-1 gene:Potri.003G156900.v4.1 transcript:Potri.003G156900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156900.v4.1 MDVLVHASPPNSPKPHLYSPSALKTKNNIETIQEQQKPHQDSSSSQSPVITMSNDSISVQEDQKARTNKRYIFLLVINYSFLFVGSLSSSLLSKFYFNHQGSSRWVSTWVQSAGFPLLLFPIYLPFYVFKCTDRRPFSLFTPKLLILSIFIGLMLGLNNLLFSWGNSYLPVSTSSLLLSSQLVFNLILSAIIVKQKITFQNFNCVILLTLSSVLLALGSSHDKSQGLTRAKYFIGFLSTIGAGLLFALYLPVMEKIYRRIYCYEMVVEMQLVMEIAATALATMGMASAGGFSEMKRESQVRFDKGARVYWVTVFANVVTWQLCFMGTAGMVFLTSSLTGGICMTALLAMNVLGGVVVYGDEFGGVKVVSTVLCGWGFCSYVYGLYLKMKEEKEMENKNHGMEMAHNAVAPNNV >Potri.018G100600.4.v4.1 pep chromosome:Pop_tri_v4:18:12070315:12080520:-1 gene:Potri.018G100600.v4.1 transcript:Potri.018G100600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100600.v4.1 MAFFRRLLPLPSSLLRTNISSITGIREGLGGSFRSYNAVALTEQIVLKLDEVDGLVLDGEVAKIRGEFEAAKQGFLRIPEAVKGMPKMNPEGIYVNKNLRLDNIQVYGFDYDYTLAHYSPNLQQLIYDLAKEHMVNEFRYPEICLKFRYDQTFPIRGLSYDKKNGCLLKLDFFGSIEPDGCYFGRRKLNRKEIEQIYGTRHIGRDQARGLVGLMDFFCFSEACLLADVVQHFVDAKLEFDATYIYQDVDRAIQHVHRSGLVHRGILSDPYRYLVKNGQVLRFLTMLREKGKKLFLLTNSPYNFVDGGMRFMLEDSLGYRDSWRELFDVVIAKANKPEFYTSEHPFRYYDMEKDTLAFNKVDAFLPNKIYYHGSLKSFLQITKWNGPEVIYFGDHLFSDLRGPSKAGWRTAAIIHELENEIRIQNDDNYRFEQAKFHIIQELLGKLHATVANSQRSEVCQLLSEELNDERLKARQKMKKMFNESFGATFLTDKGQESAFAYYIHQYADVYTSKPENFLLYPPEAWLHVPFDIKIMPHHVKVPSSLFKNQ >Potri.010G179700.1.v4.1 pep chromosome:Pop_tri_v4:10:17859836:17863521:-1 gene:Potri.010G179700.v4.1 transcript:Potri.010G179700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179700.v4.1 MPRDREPLSVGRVIGDVLDPFTRSISLRVNYNSREVNNGCELKPSHVVNQPRVDIGGEDLRTFYTLVMVDPDAPSPSNPNLREYLHWLVTDIPATTGANFGQEVVCYESPRPTAGIHRFVFVLFRQLGRQTVYPPGWRQNFNTRDFAELYNLGSPVAAVYFNCQRESGSGGRRP >Potri.003G172750.1.v4.1 pep chromosome:Pop_tri_v4:3:18085007:18086132:1 gene:Potri.003G172750.v4.1 transcript:Potri.003G172750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172750.v4.1 MPVGTEKHRAMEFQEAKNGKEAVYLHLAGASFDLIIMDNQMPIMTGIQATQLLRKMGVKSPIIGVTSESDHQAFIDAGADECLKMPLDTA >Potri.004G131200.1.v4.1 pep chromosome:Pop_tri_v4:4:14863779:14864782:1 gene:Potri.004G131200.v4.1 transcript:Potri.004G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131200.v4.1 MEGEMGRSGSSSKKRKMWLFKRLKMKESWRWRLRFLVSAFKWKRLKIQLSLLDDLLFKIISVLEAIVLVITLCFFFLCCGCHF >Potri.010G161250.1.v4.1 pep chromosome:Pop_tri_v4:10:16677491:16678459:1 gene:Potri.010G161250.v4.1 transcript:Potri.010G161250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161250.v4.1 MIGLVHYQSSIILIYRVSVSYDSRYTPEEWLQRTQGVGFLAVSYKMDKALCVLRRNEVEIIMIYCLVLHPHRR >Potri.005G089100.2.v4.1 pep chromosome:Pop_tri_v4:5:6202080:6203463:-1 gene:Potri.005G089100.v4.1 transcript:Potri.005G089100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089100.v4.1 MKFTKQSQFICLALLFVLGAWPSKSVARTLQDVSMYERHEQWMAQYGRVYKDDAEKETRYNIFKENVARIDAFNSQTGKSYNLGVNQFADLTNEEFKASRNRFKGHMCSPQAGPFRYENVSAVPATMDWRKKGAVTPVKDQGQCGCCWAFSAVAAMEGINQLTTGKLISLSEQEVVDCDTKGEDQGCNGGLMDDAFKFIEQNKGLTTEANYPYTGTDGTCNTQKEVSHAAKITGFQDVPANSEAALMKAVAKQPVSVAIDAGGFEFQFYSSGIFTGSCGTELDHGVTAVGYGGSDGTKYWLVKNSWGAQWGEEGYIRMQKDISAKEGLCGIAMQASYPTA >Potri.013G151500.1.v4.1 pep chromosome:Pop_tri_v4:13:14790047:14791097:-1 gene:Potri.013G151500.v4.1 transcript:Potri.013G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151500.v4.1 MKQQHSLSSFSFFLLLLHCANTFAQSPAATPAQAPAAVVAQPPAATPTQAAQPHGITNVTKILEKAGHFTIFIRLLRSTQEENHLFSALNDSSSGVTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVVPTFLSTSQFQTVSNPLGTWAGTGSRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFASKAPAPAPVAPAPAKPTKAVPAATVESPVAPVDISSALMFAHNNVVGSVGIVAAAMFAL >Potri.013G152300.1.v4.1 pep chromosome:Pop_tri_v4:13:14814885:14816501:-1 gene:Potri.013G152300.v4.1 transcript:Potri.013G152300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152300.v4.1 MARFGFYFLIFLGILVLQAMADKSEAQHEGVKPKGVEAEEKPALSPLQEETESREAEAEAPEIRRLGKHHSYDKSVAGGGVIIGGLATATFAAVFCYIKVTRKRHGDQGEK >Potri.001G258700.1.v4.1 pep chromosome:Pop_tri_v4:1:27415082:27417588:-1 gene:Potri.001G258700.v4.1 transcript:Potri.001G258700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MYB2 MRKPEASGKNNDISNKFKKGLWSPEEDDKLMNYILNNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEEMTIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKSLQSSNASPNTSDSSSEPSKEVMGGFITMQEQGILPMNMDPSLSSSSSLETSMQAMILNTMMDPLLPMLDHDHGLNMYIGGASGYEFNGAPPCMTHQVRVNSSDHGLYGPEGIFGGVNVGIPPLESVSCMEENAKSQTIQDNSTDKHPYSSYVSSLNKNCNSTSTDHNKTDNAAAEMGNFWHGEELKVGEYWDLEDFMRDVSACPSLDFQS >Potri.015G052600.1.v4.1 pep chromosome:Pop_tri_v4:15:6853467:6853949:1 gene:Potri.015G052600.v4.1 transcript:Potri.015G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G052600.v4.1 MTEAALHVEQLNQLKEIFGRFDMDSDGSLTILELAALLRSLGLKPSGDQIHVLLAGMDSNGNGSIEFEELVHAILPDVNEEVLVNQEKLLEVFHIFDRNGNGYISAAELAGSMAKMGQPLTYKELTEMIEEADTDGDGVISFNEFATVMAKSAMEFLGIT >Potri.002G125800.1.v4.1 pep chromosome:Pop_tri_v4:2:9559520:9565675:1 gene:Potri.002G125800.v4.1 transcript:Potri.002G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125800.v4.1 MDGGDGTVRLGALNLKPDHSGFDSGPDVSVSSPVTRQKAAAAKQFIENHYKNHLQGLQDRKERRRALQMRAQEAQVSNEEQEEMLRNLERRETEYMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKDTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIQSIHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDDKYSNLLENENFSTQEGRNESEGHSTSDRPPWLMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFCSDEPRITCRKIINWKTCLKFPEEPKISSEAKDLICHLLCDVETRLGTRGVEELKVHPWFRSTQWDFLYELEAAYKPTVNGDLDTQNFEKFPDLEGPPSTIPSVGPWRKMLTSKDTNFIGFTYKKSDVLKSMQSSGTDMKLNDSSKAPSLISLLGQIDLQETATSEGEQKPET >Potri.001G035450.1.v4.1 pep chromosome:Pop_tri_v4:1:2591167:2592906:1 gene:Potri.001G035450.v4.1 transcript:Potri.001G035450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035450.v4.1 MLIRPTQQFHPDRQVVVSMKTQEERLLFRARTSGESEQKCDGRYHGALSNSSLDYSFPFPNMSHACSRTIVHCNFNRANNRDVSSPAKQFRFEPQSINMGRTAVR >Potri.001G309000.2.v4.1 pep chromosome:Pop_tri_v4:1:31949254:31950133:-1 gene:Potri.001G309000.v4.1 transcript:Potri.001G309000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309000.v4.1 MAGYETHHQSHHHHHQQQQIPKETAFQAINTIIQLHFEKTLEKKRAIDLQKKELHKLFLLFFIFLSLIFMAEAQPNRLQCRHCWVPITLLSLSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLRELKTRLASGGSDQYGHELVVVSDEGELEIHYQEPPESYFGKFKRNWALHFGFLILIYSFMVSSSVVLLCY >Potri.008G224282.1.v4.1 pep chromosome:Pop_tri_v4:8:19046317:19046736:1 gene:Potri.008G224282.v4.1 transcript:Potri.008G224282.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224282.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATDALVATPGQAGLPAEFKHINKRRKRNLQGFP >Potri.010G039233.2.v4.1 pep chromosome:Pop_tri_v4:10:6909574:6911896:1 gene:Potri.010G039233.v4.1 transcript:Potri.010G039233.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039233.v4.1 MMSRSCSQCGNNGHNSRTCGESPCCGDQNVTPTGIMLFGVRVAEGAASFRKSASMINLSQYEQPHEEPNADVAAGYESDDVVHASGRSRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNQNRRRRRSSLFDITTDTFMISGGSSMEEDQVHQETPALALPQLQPQPQPRLNNNRPEGLPMSTFPVISPVTSPLSGDNPMEKLTLGQTNVDKMSPKLFRPTPIIPIPPSSKLADINLNQKGPKDQLTALSLKLSTPSSEEQSTPASTHSSVFQAISSSDSIISVA >Potri.010G039233.1.v4.1 pep chromosome:Pop_tri_v4:10:6909574:6911907:1 gene:Potri.010G039233.v4.1 transcript:Potri.010G039233.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039233.v4.1 MMSRSCSQCGNNGHNSRTCGESPCCGDQNVTPTGIMLFGVRVAEGAASFRKSASMINLSQYEQPHEEPNADVAAGYESDDVVHASGRSRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNQNRRRRRSSLFDITTDTFMISGGSSMEEDQVHQETPALALPQLQPQPQPRLNNNRPEGLPMSTFPVISPVTSPLSGDNPMEKLTLGQTNVDKMSPKLFRPTPIIPIPPSSKLADINLNQKGPKDQLTALSLKLSTPSSEEQSTPASTHSSVFQAISSSDSIISVA >Potri.005G122150.1.v4.1 pep chromosome:Pop_tri_v4:5:9039018:9039483:1 gene:Potri.005G122150.v4.1 transcript:Potri.005G122150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122150.v4.1 MKMKIAAMFSIKGNINTVIHEFASKPWKAIVITR >Potri.008G075100.1.v4.1 pep chromosome:Pop_tri_v4:8:4652471:4653835:1 gene:Potri.008G075100.v4.1 transcript:Potri.008G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075100.v4.1 MKVPEWAYGVPPISVIGESYCTPYPLELIVKKKINKLSSSQFQVFDLSGNFLLKVDGGVWNFKLKRVLLDPAGFPILTLRGKALAFRHKWKAHAGESTHDTSNILFSVKQSHPLQIKKQINIFLANNFKKKEPDFHITGAYTSLSFKVYEGRRLIAEVKHNFTLESFCKGKEKYKVKVYPEVDYAFIVALLVILDENDTP >Potri.001G262400.6.v4.1 pep chromosome:Pop_tri_v4:1:27835819:27840892:1 gene:Potri.001G262400.v4.1 transcript:Potri.001G262400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G262400.v4.1 MATAACTSTTSSLMLTYAASTVHPQDLTPSLLSFKPKTLSLYPLVSERKSSNWVKFYAQTEAKSRPCRVVVAAALAAEAEVGEEVEETEGEEGGGVATATVIPPKPKKGKAALPLKRDRTRSKRFLEIQKLREIKKEYDLGAAISLLKKTASTKFVESAEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNAGADLVGGEDLIQQIKGGYMEFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVTTDIPQAIAEFKKGKVEYRADKTGIVHIPFGKADFSEEDLLVNLLAAVKSVEANKPSGAKGVYWKSAHICSSMGPSIRLNTREMLDYKPPSNA >Potri.014G027400.3.v4.1 pep chromosome:Pop_tri_v4:14:1711287:1715364:1 gene:Potri.014G027400.v4.1 transcript:Potri.014G027400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027400.v4.1 MANCNDEHFCQDKLLLDPKEASLKELVLLLFFSDVRSRKFVDCPEENRLRDINRRWLIFISVLVQKILLSCREPLAQTGHTVEYWLNLISNNGGLFKLLLNYLKDKVVRPDESSATFRSAVGHSDWRVELDKSSRPGEIKYNTSLSLMAAKLSYENKAFIETIVKDHWNMEFLGSYDYWNGYQERASTQALLFQDKKVDPTLIVVAFRGTNPFDADDWTTDVDLSWYDLQGIGHSLGGALAILFMGVLALHQEAWLLERLEGVYTFGQPRVGDGQFGEFMVDKLKRYEVRYMRHVYSNDIVPRLPYDDNLILFKHFGPCIYFNSFYKGKVMQEEPNKNYFSLLLILPKYLNALWELIRSFIIPYLHGQDYRESWFMRLLRIAGLIVPGIPEHILQDYDNSTRLGSLQLKDSQQELHQE >Potri.014G027400.2.v4.1 pep chromosome:Pop_tri_v4:14:1711287:1715242:1 gene:Potri.014G027400.v4.1 transcript:Potri.014G027400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027400.v4.1 MANCNDEHFCQDKLLLDPKEASLKELVLLLFFSDVRSRKFVDCPEENRLRDINRRWLIFISVLVQKILLSCREPLAQTGHTVEYWLNLISNNGGLFKLLLNYLKDKVVRPDESSATFRSAVGHSDWRVELDKSSRPGEIKYNTSLSLMAAKLSYENKAFIETIVKDHWNMEFLGSYDYWNGYQERASTQALLFQDKKVDPTLIVVAFRGTNPFDADDWTTDVDLSWYDLQGIGKLHRGFLKALGLQENGWPKEIEQDSGHSYAYYEIRQMLRNILLKNEKAKFILTGHSLGGALAILFMGVLALHQEAWLLERLEGVYTFGQPRVGDGQFGEFMVDKLKRYEVRYMRHVYSNDIVPRLPYDDNLILFKHFGPCIYFNSFYKGKVMQEEPNKNYFSLLLILPKYLNALWELIRSFIIPYLHGQDYRESWFMRLLRIAGLIVPGIPEHILQDYDNSTRLGSLQLKDSQQELHQE >Potri.002G243350.3.v4.1 pep chromosome:Pop_tri_v4:2:23520032:23523576:-1 gene:Potri.002G243350.v4.1 transcript:Potri.002G243350.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243350.v4.1 MQPYQMLPSRNLQQSNMSVSGAVSGADRGVRMLSSGNGMGMMPGMNRSMPLPRSGFQGTASSSMLNSGSMLSNNVVGMSSPVNMHTGSGQGNLMRPREALHMLRLGHNHEHQRQMMVPELQMQPTQGNNQGISAFNGVPTAFANQTTTSPVQTYPGHPQQQHQMPAQQSNMLSNPHHPNLRGPNQATGSQHPAYAMHLPKGRQMQQRLLQQQQQQQQQQHFSASSALMPHVQHQSQLPISSSMQNSSQISPPSASQPVSLPAITPPSPMTPISMQQQQQQKHNLPHHAVSRSPQSGSSGLTNQMGKQRQRQPQQFQQSGRHHPQQRQHSQSPQQAKLLKGMGRGNMVVHQNLPNDHSPLNGLSVPPGNHGVEKGEQIMHLMQGQGLYSGTGLSPIHTSKPLGPSQSPNHSQPQQKLYSGPTTPSSKPLQQMPSHLESSTQGQVQPVPSGQTLTATHQNTPVMVPSHQHLQQHPQPHQKQVSQPQPTVQRMLQQSRLLNSDLPTKPQTDQGHADQQTSNNISQTGTSTSTGMPLACNDTSNVAPVVSSVSEMQWKSSEPSLLDSGMADTASKVGPIGSPPLTSAAGSEQVVPVSQGSVHRQLSGGLPLHCHNGGTRWQHQQPHQSTASPSPCQQLLQSQEPQLQQERQQSPQQLPPQQPTQKQTQHLQSGQGNLYITPSNSGLE >Potri.002G243350.2.v4.1 pep chromosome:Pop_tri_v4:2:23520195:23524697:-1 gene:Potri.002G243350.v4.1 transcript:Potri.002G243350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243350.v4.1 MPNQGAVASTLPTSGAISSLQGSSGVVLGNNSSSPSGPLNAPHRDGRYNVPRTSLPVDEHQRMQPYQMLPSRNLQQSNMSVSGAVSGADRGVRMLSSGNGMGMMPGMNRSMPLPRSGFQGTASSSMLNSGSMLSNNVVGMSSPVNMHTGSGQGNLMRPREALHMLRTYPGHPQQQHQMPAQQSNMLSNPHHPNLRGPNQATGSQHPAYAMHLPKGRQMQQRLLQQQQQQQQQQHFSASSALMPHVQHQSQLPISSSMQNSSQISPPSASQPVSLPAITPPSPMTPISMQQQQQQKHNLPHHAVSRSPQSGSSGLTNQMGKQRQRQPQQFQQSGRHHPQQRQHSQSPQQAKLLKGMGRGNMVVHQNLPNDHSPLNGLSVPPGNHGVEKGEQIMHLMQGQGLYSGTGLSPIHTSKPLGPSQSPNHSQPQQKLYSGPTTPSSKPLQQMPSHLESSTQGQVQPVPSGQTLTATHQNTPVMVPSHQHLQQHPQPHQKQVSQPQPTVQRMLQQSRLLNSDLPTKPQTDQGHADQQTSNNISQTGTSTSTGMPLACNDTSNVAPVVSSVSEMQWKSSEPSLLDSGMADTASKVGPIGSPPLTSAAGSEQVVPVSQGSVHRQLSGGLPLHCHNGGTRWQHQQPHQSTASPSPCQQLLQSQEPQLQQERQQSPQQLPPQQPTQKQTQHLQSGQGNLYITPSNSGLE >Potri.002G243350.1.v4.1 pep chromosome:Pop_tri_v4:2:23520406:23527169:-1 gene:Potri.002G243350.v4.1 transcript:Potri.002G243350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243350.v4.1 MPNQGAVASTLPTSGAISSLQGSSGVVLGNNSSSPSGPLNAPHRDGRYNVPRTSLPVDEHQRMQPYQMLPSRNLQQSNMSVSGAVSGADRGVRMLSSGNGMGMMPGMNRSMPLPRSGFQGTASSSMLNSGSMLSNNVVGMSSPVNMHTGSGQGNLMRPREALHMLRLGHNHEHQRQMMVPELQMQPTQGNNQGISAFNGVPTAFANQTTTSPVQTYPGHPQQQHQMPAQQSNMLSNPHHPNLRGPNQATGSQHPAYAMHLPKGRQMQQRLLQQQQQQQQQQHFSASSALMPHVQHQSQLPISSSMQNSSQISPPSASQPVSLPAITPPSPMTPISMQQQQQQKHNLPHHAVSRSPQSGSSGLTNQMGKQRQRQPQQFQQSGRHHPQQRQHSQSPQQAKLLKGMGRGNMVVHQNLPNDHSPLNGLSVPPGNHGVEKGEQIMHLMQGQGLYSGTGLSPIHTSKPLGPSQSPNHSQPQQKLYSGPTTPSSKPLQQMPSHLESSTQGQVQPVPSGQTLTATHQNTPVMVPSHQHLQQHPQPHQKQVSQPQPTVQRMLQQSRLLNSDLPTKPQTDQGHADQQTSNNISQTGTSTSTGMPLACNDTSNVAPVVSSVSEMQWKSSEPSLLDSGMADTASKVGPIGSPPLTSAAGSEQVVPVSQGSVHRQLSGGLPLHCHNGGTRWQHQQPHQSTASPSPCQQLLQSQEPQLQQERQQSPQQLPPQQPTQKQTQHLQSGQGNLYITPSNSGLE >Potri.011G112400.1.v4.1 pep chromosome:Pop_tri_v4:11:14259842:14262235:1 gene:Potri.011G112400.v4.1 transcript:Potri.011G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112400.v4.1 MASAAAAANLGSSSSSLKRPGKKPNTHCSLQTPSTLHFPKQSTTYTPSSTTISTPTTKHPKRDTPSPVAPSPKQQQKQWNFLQSAAAMALDAVETALVSHERQHPLPKTADPGVQISGNFAPVPEQPVVQDLPVTGKIPDCIQGAYLRNGANPFHEPVAGHHFFDGDGMVHAVQFKKGSVSYSSRFTETNRLVQERGLGRPLFPKAIGELHGHSGIARLLLFYARGAFGIVDPSHGTGVANAGLVYFDGHLLAMSEDDLPYHVRVLPSGDLQTVGRYDFDGQLKTTMIAHPKVDPVSGELFALSYDVVQKPYLKYFRFSPDGKKSPNVEIPLDQPTMMHDFAITERFVVVPDQQVVFKLPEMIRGGSPVIYDKNKMARFGILDKNATDASNIRWIETPDCFCFHLWNAWEEPETDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLKTGKSTRRQILSEADQVNLEAGMVNRNLLGRKSRFAYLALAEPWPKVSGFAKVDLSTGEVHKYIYGDQKFGGEPLFLPRDPNSEREDDGYILAFVHDEKEWKSELQIVNAMNLKLEATVKLPSRVPYGFHGTFIGAKDLEKQA >Potri.002G206400.1.v4.1 pep chromosome:Pop_tri_v4:2:17180138:17183660:1 gene:Potri.002G206400.v4.1 transcript:Potri.002G206400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G206400.v4.1 MDGKKLEYKGWVALKEIERLTEKADEVQETILKAILMQNGETEYLSKYMKGSKDVDEFKFHVPVITYKDVCPYIQRIATGEDSSLVTGHPVTEMLCSSGTSAGEPKLMPSIAEDLDRRTFVYNLIMPIMNQYIFGLDEGKAMFLYFIKAEMSTPCGLPARTVLTSYYKSKHFKCRTRDAFNDFTSPDQAILCKDSNQSMYCQLLSGLVHRHQVLRLGAVFASAFLRAISFLERNWGRLCNDIRSGDLDPTITDPECRSCMSMLLTSPNPSLADEIEDICSNTSWKGILCHLWPRAKYIEAVVTGSMAQYIPSLEYYSEGKLPLVCTMYASSECYFGVNLKPLCDPADVAFTLLPNMCYFEFIHLGENGTWLVNKDEEGEVPNDKLVNLVNVRLGSYYELVVTTFAGLYRYRIGDVLRVTGFHNKAPQFQFICRRNVVLSIDNDKTNEEDLHKSITAAKKLLEPYDALLVEYTSYADTSSVPGHYVLYWEILHDASFPDSSAQLDVKLLQECCISVEEELDYVYRRCRAHDKSVGPLEIRVVESGTFEALMDFFIGQGASINQYKTPRSIKSNAALKLLNSHVKASAFSPRDPAWIP >Potri.016G052901.1.v4.1 pep chromosome:Pop_tri_v4:16:3460583:3463670:-1 gene:Potri.016G052901.v4.1 transcript:Potri.016G052901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052901.v4.1 MTSHRARGRPSKSSYKNTNLHSPVNNLRSMVSYFPRHRVRKSRAETITVAVKTWHRLGSEDSETLLGGLMEKKSSRPQWIRRKKSSVDKETQLRWLMEKISSLRIGEKKCIDLMEKKSSLPQWIRRKRSMVPKFNEQLKNTSRTSNDESSLSIARIFVGSMDGKKCDSGTNSGSRFFSRPRVEVLFGIARAQEQKLDCLEQTIINLAFLMGNTMEKKKLKAKGKKGRSK >Potri.016G052901.2.v4.1 pep chromosome:Pop_tri_v4:16:3460583:3463670:-1 gene:Potri.016G052901.v4.1 transcript:Potri.016G052901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052901.v4.1 MTSHRARGRPSKSSYKNTNLHSPVNNLRSMVSYFPRHRVRKSRAETITVAVKTWHRLGSEDSETLLGGLMEKKSSRPQWIRRKKSSVDKETQLRWLMEKISSLRIGEKKCIDLMEKKSSLPQWIRRKRSMVPKFNEQLKNTSRTSNDESSLSIARIFVGSMDGKKCDSGTNSGSRFFSRPRVEVLFGIARAQEQKLDCLEQTIINLAFLMGNTMEKKKLKAKGKKGRSK >Potri.016G052901.3.v4.1 pep chromosome:Pop_tri_v4:16:3462911:3464001:-1 gene:Potri.016G052901.v4.1 transcript:Potri.016G052901.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052901.v4.1 MTSHRARGRPSKSSYKNTNLHSPVNNLRSMVSYFPRHRVRKSRAETITVAVKTWHRLGSEDSETLLGGLMEKKSSRPQWIRRKKSSVDKETQLRWLMEKISSLRIGEKKCIDLMEKKSSLPQWIRRKRSMVPKFNEQLKNTSRTSNDESSLSIARIFVGSMDGKKCDSGTNSGSRFFSRPR >Potri.001G213100.2.v4.1 pep chromosome:Pop_tri_v4:1:21957836:21958117:1 gene:Potri.001G213100.v4.1 transcript:Potri.001G213100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213100.v4.1 MSNKEVFADILSSFPLIFSASILLSALCSNPCASTIPVAFIGSDSPTPAPCVASAIGSCSSTCGASFFLSVVSWGSASIGIGFSEVESESLSP >Potri.012G040800.2.v4.1 pep chromosome:Pop_tri_v4:12:3628922:3630757:1 gene:Potri.012G040800.v4.1 transcript:Potri.012G040800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G040800.v4.1 MTSKNGVHDFFFCASFVEEEKNPQKPLRLFPNHSLDIRNWGYYEPTSVKGNVGLQLMSPTMPEKPLLGSRSKTIMKSVKGGFHHRDIGVSPSMFPMEYMRGAWIGQSEKFLKMFPGNHNYAEVLPETSAARHMQMFQPPYSANDETLDQVEAAGVVEKANGPEKKRQHPKALKSLKAKKGKRGPQVPKPDGSPSAQRGRSAKKTAEILINGISMDISVFPIPVCSCTGSPQQCYRWGCGGWQSACCTTCISGHPLPISTKRRGTRIEGRKMSLGALRKFSRNFLVKAMSWLIGLI >Potri.006G059400.1.v4.1 pep chromosome:Pop_tri_v4:6:4189631:4190165:1 gene:Potri.006G059400.v4.1 transcript:Potri.006G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059400.v4.1 MGFFSSICCCFYRPSRVASDGVLGAERQPVRSNFIESDARAPILVPHFPVNSKFSRL >Potri.005G091700.1.v4.1 pep chromosome:Pop_tri_v4:5:6374110:6382337:-1 gene:Potri.005G091700.v4.1 transcript:Potri.005G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G091700.v4.1 MQSVTMALYSFSLHLFLLLFFILSSARASEADFELTQDVLARQEADRVIRLPGQPEVTFKQYAGYVTVNESHGRALFYWFFEAIENPEEKPLLLWLNGGPGCSSIGYGEAEELGPFFPKIGGQELQFNPHTWNNVANLLFLESPVGVGFSYSNTTSDLKELGDTVTAQDSYIFLVRWFQRFPQFKSHEFYISGESYAGHYVPQLAEVIYDGNKKVSEKDHINLKGFIIGNALLDDETDQKGMIDYAWDHAVISDRLYHDVKKKCNFSEKNPSHDCKNALHQYFSVYRIIDMYSLYSPRCINSNFSDARDRPVIHGNMAPQLLSKFADWHKRPAGYDPCASDYTEIYMNRPAVQAALHANVTKIPYPWTHCSEDITFWSDAPQSILPIIKKLIAGGIRIWVYSGDTDGRIPVTATRYTLNKLGLNTIEEWTPWYHGKQVAGWTIVYDGLTFVTIRGAGHQVPTFKPKQSLTFIKRFLENKKLSSEAF >Potri.014G087700.1.v4.1 pep chromosome:Pop_tri_v4:14:5690679:5691791:-1 gene:Potri.014G087700.v4.1 transcript:Potri.014G087700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087700.v4.1 MGFPVGYSEVLLPKLFVHALSLLGFIRGLVLCLFTYVGLSDFLETDNIWPDYPTRTSFYPSLSAALIREILPVIKFEDLLGGDGGCCDLPESCAVCLYEFEGEDEIRWLKNCKHIFHRACLDRWMDHNRNTCPLCRTSFVPDEMQGEFNQRLWAANSDDSDFYDE >Potri.007G126200.2.v4.1 pep chromosome:Pop_tri_v4:7:14162413:14164742:1 gene:Potri.007G126200.v4.1 transcript:Potri.007G126200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126200.v4.1 MGDIVLELPDAVKLYIAKIDYKSQVIHATDPQGCLRSHHSNFYSSGFHIQFKMSKDNFTIFNCSLNNAISRPRIACLSTHQYDVLAVDSEQSIDDNELLLSCTKMYDLPYQRDIRLSWSNPNGVNCEETGKQCGLRINSSSELETECYGLPRPKKGARKRNLTIGVTTGSILFGVVVIAVYQIYNFRKSEEEYQAKVERFLDDYRAMNPTRYSHADLKKMTNQFRDELGQGAYGTVFKGKLTSEIPVAVKVLTNSSEKGEEFVNEMGTMARIHHVNVVRLIGFCADGFRRALVYEYLPQDSLQRFISSANAKNVFLGWERLHHIALGVAKGIEYLHQGCDQTILHFDIKPHNILLDNDFNPKIADFGLAKLCSKYKSAISMTTARGTVGYIAPEVFSRNFGNVSYKSDVYCFGMLVLEMVGGRKNVDDTAENGDQVYFPEWIYNLLEEGEDLRFQIEEEGDAEIAKKLAIVGLWCIQWNPVDRPSMKIVVQMLEGEGDNLTKPPNPLRSSAPKRKTASIAGRRLHQELAAISETE >Potri.003G079400.1.v4.1 pep chromosome:Pop_tri_v4:3:10648771:10651591:-1 gene:Potri.003G079400.v4.1 transcript:Potri.003G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079400.v4.1 MKNAAFNLDKNTTKPSSWIKKKQTQPLKSPLSLLLILSLFLFIFLFISFFKITPNSLFSKTITNNPLISQCTKFQTLALGEKFLWYAPHSGFSNQLSEFKNGILMAGILNRTLIVPPVLDHHAVALGSCPKFRVLGPKEIRVSVWDHVLDLVKTGRYVSMADIIDISSLVPSSIQAIDFRVFASQWCNVKMDFTCSNDLNAQSSLFDSLNLCGSILSGIDGNVDKCLYAVDEDCRTTVWTYKNGDEDRVFDSFQPDEQLKKKKKISYVRRRQDVYKSLGPGSEAGSATVLAFGSLFTAPYKGSELHIDIHEARRDQRIQSLIDNSEFLPFVPEILNAGKKFALETIKAPFLCAQLRLLDGQFKNHWKATFQGLKQKLEVLKQSGSKPIHIFVMTDLPQGNWTGSFLGDMASEVNHFKLYFLREEDELVKKTAKNLAVAGHGLRFGSVPRSHNGESKMKMNCPHQRLIDILLYIEKSVCSCASLGFVGTAGSTIAESIELMRKSDVCSKS >Potri.008G141900.1.v4.1 pep chromosome:Pop_tri_v4:8:9546929:9550041:1 gene:Potri.008G141900.v4.1 transcript:Potri.008G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141900.v4.1 MEVPGSSKPMIATQEEMVEARVPIPYRDQCAHLLIPLNKCRHAEFFLPWKCESERHIYEKCEYELVMERMLQMQKIREAEAKLKQSHKQGTIPLIPKTANA >Potri.019G009855.1.v4.1 pep chromosome:Pop_tri_v4:19:159621:160485:-1 gene:Potri.019G009855.v4.1 transcript:Potri.019G009855.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009855.v4.1 MSHILLEILADEALKGSKPSSTFKAKSFFNVQYEPKHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDAYPNHDKYLNKKLDMYEAMTIVVGKDMATGNYVKSYVDGKETSSSSTQKMQHRKRNRMYEDDGVEKLSKQIGDVAFAIQSLIKNQLDVNALYAEEMKIEGFDEITLEDAFDHLVQNEMFAKAFMAKNANLRKIWV >Potri.019G095400.2.v4.1 pep chromosome:Pop_tri_v4:19:13317795:13323105:1 gene:Potri.019G095400.v4.1 transcript:Potri.019G095400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095400.v4.1 MASGSSGRANSGSKGFDFGTDDILCSYEDYGTNNQDSSNGSHSDPVIGSNSSKDFHKSKMTRSSVYPASSYSQPEDSFHFSPDVVSTVEKGMKKHTDNIMRFLEGISSRLSQLELSCYNLDKAIGDMRSDSIRDNEEADLKLKSLEKHIQEVHRSVQILRDKQELAETQKELAKLQLAQKEPSSSSQSQSNEEKAPPAASDPKATDNASDIRNQQLALALPHQVAPQQHAPPVPPLSQAPPQNVTQQQSYYLPPAQLPTPAAPIQHPQNQYLPSDPQYRTPQMQDVSRVAPQPAQPQVNQTLQGQQFPQYQQQWPQQLPLQVQPPQQTQPQIKPPSTTAYTPYQPPCQPTNPSPQETLPNSLPMQVSYSGVPQSLASRADTMPYGYGAGRTAVPQQPRQPSLQQIKGTFGGQHSDAYATAGPHPGQPPVSAYMVYDGEGGRTHHPPQQSHFPQGGYPPQPAAGTGMLPRHSSPSHFFRNHPYSDLIEKLVSMGFRGDHAASVIQRMEESGEPVDFNAVLDRLNVQSSGGSQRGGWSG >Potri.012G049200.1.v4.1 pep chromosome:Pop_tri_v4:12:4630929:4636084:1 gene:Potri.012G049200.v4.1 transcript:Potri.012G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G049200.v4.1 MTELAETYACVPSTERGRGILISGHPKTNKILYTNNRSILILNLDNPLDVSVYGEHAYQATVARYSPNGEWIASADVSGTVRIWGAYNDHVLKKEFKVLTGRIDDLQWSPDGLRIVASGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKSSHRDHSNFVNCVRFSPDGSKFISVSSDKKGILFDGKTGEKIGQISSEDGHKGSIYAVSWSPDGKQVLTVSADKSAKVWEICDDGSGKLTKTLTSSGSGGVDDMLVGCLWQNDHLVTVSLGGTISIFSASDLDKSPLKIAGHMKNVTSLSVLKNVPKTILSSSYDGLIIKWIQGIGYSSKLQRKENTQIKCLAAAEEEIVTSGFDNKIWRVHLLDDQCGDADSIDVGNQPKDISLALLCPELALVTIESGVVMLRGTKVVSTINLGFAVTASAIAPDGSEAIIGGLDGKLHIYSVTGDTLTEEAVLEKHRGAISVIRYSPDDSMFASGDLNREAVVWDRVSREVKLKNMLYHTARINCLAWSPDSSMVATGSLDNCVIIYEIDKPASSRMTIKGAHLGGVYGLAFADDHSVVSSGEDACVRVWRVNPQ >Potri.019G026820.1.v4.1 pep chromosome:Pop_tri_v4:19:3883772:3885433:1 gene:Potri.019G026820.v4.1 transcript:Potri.019G026820.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026820.v4.1 MEEIRRAAVAYYENQPAKNKKLARKAFKAMDKSRDGKISLSEYVDYLKKKKASDFTHQSIFSLLDKDGNGSLDFEEAIVLYYIMQSGRALICKSCNTFLAGAYFSCSQCFFNDSVSTYEICCECYGGKNFKHHDCAIFCDNYTLLRQSRSAIQAAPIKKRRKRHLVADITGGVADLALNFYCSIM >Potri.007G113400.2.v4.1 pep chromosome:Pop_tri_v4:7:13424535:13425492:1 gene:Potri.007G113400.v4.1 transcript:Potri.007G113400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113400.v4.1 MIELESREREFNAIQLSIEDRSEELKGKDRQLKSVQLSIGEWEKELKAMKEHKYPIQKSVVECSEELQSKEKNLILARESLRECCDNLESKKVQLDSIQRTGYDYRGLKTMQLIQQFNLSLMQP >Potri.T045000.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:432819:437300:1 gene:Potri.T045000.v4.1 transcript:Potri.T045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045000.v4.1 MVLPKGPFWEYVKETGESRQCTFCGHPFSKQTPITRFKLHWSGVQRRGTTICDKVPEPVRDAAFTAVDGPPEKKLKTTATSSNDGAHNTISTSLLEKNIQVGNVVTDVETEPELYFPSLGDLIPGEQVEQECERNAQDNLPLSVEDYRIEGMIMELNQLVVRGGSPERLTVNEDEPRGDLSQPTDPLCFGLERHYDQPSSSSVNNDVMMIDVENMIREHLQPVVRDSSREGLQPIGDESGRDVFLTEELRGGEFENNKNAIWSWVMNDEASSSIGIYGMGGVGKTTLLTHIYNQLLQERGTFPHVHWITVSQDFSVYKLQNLIARRIDLDLSKEDCERTRAAKLSKALIEKQRWVLILDDLWNCFDFDEVGIPIKVKGCKLILTTRSFEVCKRMVCQEKIKVEPLSMEEAWALFMKILGCIPPEVEEIAKSMASECAGLPLGIKTMAGTMRGVDDICEWRNALEELKQSRVWLEDMDEKVFQILRFSYMHLKESALQQCFLHCALFPEDFQIPREDLIAYLIDEGVIKGLTRREAEFDKGHTMLNKLESACLLEDAKLYSGRRCVRAVKMHDLIRDMAIQILQENSQGMVKAGAQLRELPGAEEWTENLTRVSLMQNQIKEIPFSHSPRCPSLSTLLLCRNPKLQFIADSFFEQLHGLKVLDLSYTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTWALEKIPQGMECLCNLRYLIMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPRPTGDYRERQDAPITVKGKEVGCLRKLESLACHFEGCSDYMEYLKSQDETKSLTTYQILVGPLDKYDYCYCYGYDGCRRKAIVRGNLSIDRDGGFQVMFPKDIQQLSIHNNDDATSLCDFLSLIKSVTELEAITIFSCNSMESLVSSSWFRSAPLPSPSYNGIFSSLKKFFCSGCSSMKKLFPLVLLPNLVKLEEITVTKCEKMEEIIGGTRSDEEGVMGEESSSSSITDLKLTKLSSLTLIELPELESICSAKLICDSLKEIAVYNCKKLKRMPICLPLLENGQPSPPPSLRKIEVYPEEWWESVVEWEHPNAKDVLRPIVQF >Potri.018G107000.5.v4.1 pep chromosome:Pop_tri_v4:18:12563773:12570806:-1 gene:Potri.018G107000.v4.1 transcript:Potri.018G107000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107000.v4.1 MAESESFEALAVQNKEHKREGNEQNDGDFVGEEELEGDYNEEEEEEEEEEEKESQLSELQQIVPSPQKEEQVREEQVETLTVVPRSSTEILPKENDQYSGLKGNSAAETHVEAEYKEQVGLSHQEVLGSVADKNSGAQTQNQLQPSVCPTSLSELSPTSVTQPISSAPSPTPPVKKLSPPEVKNACISEAGNQSSAELKALYVPVAKTSIPDGYNWRKYGQKQVKSPRGSRSYYKCTYFDCCAKKIECSDHSGHVIEIVNKGMHSHDPPRKNKSTRKSRTGLSVGPILQTTVTEHTVRMLKDSEPATLSIELVQETSAISERKRQSSSSSDENKETQIKEENTSEPEPKRRLKGNLECSKAVLKPGKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIETAVDNTNALIITYKGVHDHDMPVPKKRHGPPSAPLVAAAAPASMSNLPIKKADALQGQVTSTQWSVGKEGELNGETLDLGGEKEKAIESARTLLSIGFEIKPC >Potri.018G107000.7.v4.1 pep chromosome:Pop_tri_v4:18:12563765:12570757:-1 gene:Potri.018G107000.v4.1 transcript:Potri.018G107000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107000.v4.1 MAESESFEALAVQNKEHKREGNEQNDGDFVGEEELEGDYNEEEEEEEEEEEKESQLSELQQIVPSPQKEEQVREEQVETLTVVPRSSTEILPKENDQYSGLKGNSAAETHVEAEYKEQVGLSHQEVLGSVADKNSGAQTQNQLQPSVCPTSLSELSPTSVTQPISSAPSPTPPVKKLSPPEVKNACISEAGNQSSAELKALYVPVAKTSIPDGYNWRKYGQKQVKSPRGSRSYYKCTYFDCCAKKIECSDHSGHVIEIVNKGMHSHDPPRKNKSTRKSRTGLSVGPILQTTVTEHTVRMLKDSEPATLSIELVQETSAISERKRQSSSSSDENKETQIKEENTSEPEPKRRLKGNLECSKAVLKPGKKPKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIETAVDNTNALIITYKGVHDHDMPVPKKRHGPPSAPLVAAAAPASMSNLPIKKADALQGQVTSTQWSVGKEGELNGETLDLGGEKEKAIESARTLLSIGFEIKPC >Potri.010G247300.2.v4.1 pep chromosome:Pop_tri_v4:10:22169144:22170136:1 gene:Potri.010G247300.v4.1 transcript:Potri.010G247300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247300.v4.1 MIEWLQAMYNARQQWAPVYFRNTFAALSSYHGISSFFDGYVNQQTTIPLFFKQYELALEHSLEKEIEAAYDTICTAPVLKTPSPMELQAANIYTKEVFAKFQEELVEAFVYTANKIERDGMATKYRVAKYEHNDRACIVTLSTSEMQASCSCQMFEYCDVLCRHVLTVFTVTNVLTLPSHYILKRWTRNAKTWIGSEEQSADSQGLDALTSRFNNLCLEAIKYVEEGAIAIETCNAAISNLKEGGKKIVSVKKSVAKVEPPSSHGSGNNQEENNKKTPTTPREMIPSLWPWQNAMPPRFNLNDGSMTLTPAGEVAAINLKVYSSSLLMSS >Potri.002G144700.2.v4.1 pep chromosome:Pop_tri_v4:2:10899323:10902531:1 gene:Potri.002G144700.v4.1 transcript:Potri.002G144700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144700.v4.1 MKAMPLPFEDFQAKGLLGFSSYSSSSPDPFHQHHHKWQNNNSKESCGFLVGSTEPTSVLDTISRQSPPTSSSTLSSSQGGGGGGGGASTDTTNGAAGAGGSSPSVDEKCGPQLGMEDWESVLSGSPIQEQSILRLIMGDIEDPSLGLYKLLQSGSRSQDMELNASGFGAVDQVFGFEVPNMSTASANLAVNHNNFDPSSIHGTSPDFPLVNLKATISFNIGCVLNQNPTPNPVLFTSGINLLPGLFQQHHQQAAFDQDEKPQILNPGAMINQNQHQFVQNPAMLLPLSYAQLEEHHKNLHSLSPPPLKRLNTGPVGANHVPKVFDLRPPELFLPRQQQQNHQFQMTQHQRQGMITKQKIASDELANQQQLQQAIINPICQAAELIETGNPVLAQGILARLNHQLSVPIGKPYQRTAFYFKEALQLLLNMNNNNSIGTACNLIFKIGAYKSFSEISPILQFASFTCNQALLEAFEGFERIHVVDFDIGYGGQWASLMQELALRNGGAPSLKITAFASPSSHDELELGFTQENLKMFASEINMPFEIEILSLESLSSGSWPMPLRLTEKEVIAVNLPVGSFSNYPSTLPLVLRFVKQLLPKVVVSLDRGCDRSDLPFAHHVNHAIQSYTSLLESLDAVNVNLDAVQKIERFLVQPGIEKTVLGRHSCPDRTPPWRSLFLQSGFTPLTFSNFTESQAEYLVQRTPVRGFHVEKRQSSLVLCWQHKDLVSASAWRC >Potri.002G144700.1.v4.1 pep chromosome:Pop_tri_v4:2:10899327:10902596:1 gene:Potri.002G144700.v4.1 transcript:Potri.002G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144700.v4.1 MKAMPLPFEDFQAKGLLGFSSYSSSSPDPFHQHHHKWQNNNSKESCGFLVGSTEPTSVLDTISRQSPPTSSSTLSSSQGGGGGGGGASTDTTNGAAGAGGSSPSVDEKCGPQLGMEDWESVLSGSPIQEQSILRLIMGDIEDPSLGLYKLLQSGSRSQDMELNASGFGAVDQVFGFEVPNMSTASANLAVNHNNFDPSSIHGINLLPGLFQQHHQQAAFDQDEKPQILNPGAMINQNQHQFVQNPAMLLPLSYAQLEEHHKNLHSLSPPPLKRLNTGPVGANHVPKVFDLRPPELFLPRQQQQNHQFQMTQHQRQGMITKQKIASDELANQQQLQQAIINPICQAAELIETGNPVLAQGILARLNHQLSVPIGKPYQRTAFYFKEALQLLLNMNNNNSIGTACNLIFKIGAYKSFSEISPILQFASFTCNQALLEAFEGFERIHVVDFDIGYGGQWASLMQELALRNGGAPSLKITAFASPSSHDELELGFTQENLKMFASEINMPFEIEILSLESLSSGSWPMPLRLTEKEVIAVNLPVGSFSNYPSTLPLVLRFVKQLLPKVVVSLDRGCDRSDLPFAHHVNHAIQSYTSLLESLDAVNVNLDAVQKIERFLVQPGIEKTVLGRHSCPDRTPPWRSLFLQSGFTPLTFSNFTESQAEYLVQRTPVRGFHVEKRQSSLVLCWQHKDLVSASAWRC >Potri.004G072800.6.v4.1 pep chromosome:Pop_tri_v4:4:6089010:6091435:1 gene:Potri.004G072800.v4.1 transcript:Potri.004G072800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072800.v4.1 MPIGDNRCRAIEKVLESLKVRCSNWRYGCRENICFSKKYEHDKCCSHALCTCPLLGCNFQGSSKQLYLHCRRKHLGKLTSFQFNTSFPLFITVNDKFCILQEDKEGVLFILNNRSDTLGHVITVSCMGLSSSKPGYFYELMTRAEGSNIRFQSSTRNVRTRVDDPPSLGCLLVPNDFLGTYGQITLDVCIWRLGSYPAISSV >Potri.004G072800.3.v4.1 pep chromosome:Pop_tri_v4:4:6089023:6091597:1 gene:Potri.004G072800.v4.1 transcript:Potri.004G072800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072800.v4.1 MEEIAREEEEEEEEEEEEEEEEDDDDEDTSDESEEEDEEEVEEIVRPPPRPPQQQNNQMETVGLQLLQPRLYESPIFLEPTLVRPSRNGAIFVALSDPEVLDCPTCCETLTIPVFQCENGHVACSSCSKKLQHKCPSCAMPIGDNRCRAIEKVLESLKVRCSNWRYGCRENICFSKKYEHDKCCSHALCTCPLLGCNFQGSSKQLYLHCRRKHLGKLTSFQFNTSFPLFITVNDKFCILQEDKEGVLFILNNRSDTLGHVITVSCMGLSSSKPGYFYELMTRAEGSNIRFQSSTRNVRTRVDDPPSLGCLLVPNDFLGTYGQITLDVCIWRLGSYPAISSV >Potri.004G072800.2.v4.1 pep chromosome:Pop_tri_v4:4:6088951:6092235:1 gene:Potri.004G072800.v4.1 transcript:Potri.004G072800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072800.v4.1 MRKFILEDGEGSSYPRPKRQRPSSPSSPPPPPPEFPMEEIAREEEEEEEEEEEEEEEEDDDDEDTSDESEEEDEEEVEEIVRPPPRPPQQQNNQMETVGLQLLQPRLYESPIFLEPTLVRPSRNGAIFVALSDPEVLDCPTCCETLTIPVFQCENGHVACSSCSKKLQHKCPSCAMPIGDNRCRAIEKVLESLKVRCSNWRYGCRENICFSKKYEHDKCCSHALCTCPLLGCNFQGSSKQLYLHCRRKHLGKLTSFQFNTSFPLFITVNDKFCILQEDKEGVLFILNNRSDTLGHVITVSCMGLSSSKPGYFYELMTRAEGSNIRFQSSTRNVRTRVDDPPSLGCLLVPNDFLGTYGQITLDVCIWRLGSYPAISSVRA >Potri.011G010300.1.v4.1 pep chromosome:Pop_tri_v4:11:955462:956949:1 gene:Potri.011G010300.v4.1 transcript:Potri.011G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010300.v4.1 METSSVLSLSQLSFFLPKPYRNKAYPSWSCKKPRTTQPTRLYCQKMYVPGFGEASPEKKAAKNLHDFFTYIAVRIVTAQLESYSPEAYEELMEFLSRHSLNDGDKFCAELMRESSRHKGLAMRILEVRSAYCKNDFEWDNLKRLSVKMVDESNTSLMRDYVVETSPTKESEK >Potri.009G150000.2.v4.1 pep chromosome:Pop_tri_v4:9:11850063:11852535:-1 gene:Potri.009G150000.v4.1 transcript:Potri.009G150000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150000.v4.1 MAPFPHHHLVTGCVEEKNMIVSDQTPIIITTKDHDPPGNQVVHKVGTSGSDHTLEPNNLGGGGTGCSGGSGSESVVENTLKRKRGRPRKYDAGANLVSSPPLSPPPGLSSSLSSCEKRVRGRPRGSGKLQLLASLGGFAAETAGGSFTPHVVPVHTGEDIVTKLLVFSQKGARAVCILSATGVVSSVIMRQPGSSGGILRYDGPFEILSLSGSFTFSKTGGSNRKNGMLSISLAKPNGRVFGGGVAGSLIAAGPIQLIIASFKQNIGKEIKRRQSADPPTAPSLLANSDMVRVPTQIAGTTDAEDNCTTPTSALSEPRNEEAGSTIISNQQANTGSQNSSGQNVLQSQQPIPDQTVPPDANAGDPRL >Potri.009G150000.3.v4.1 pep chromosome:Pop_tri_v4:9:11850063:11852535:-1 gene:Potri.009G150000.v4.1 transcript:Potri.009G150000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150000.v4.1 MAPFPHHHLVTGCVEEKNMIVSDQTPIIITTKDHDPPGNQVVHKVGTSGSDHTLEPNNLGGGGTGCSGGSGSESVVENTLKRKRGRPRKYDAGANLVSSPPLSPPPGLSSSLSSCEKRVRGRPRGSGGFAAETAGGSFTPHVVPVHTGEDIVTKLLVFSQKGARAVCILSATGVVSSVIMRQPGSSGGILRYDGPFEILSLSGSFTFSKTGGSNRKNGMLSISLAKPNGRVFGGGVAGSLIAAGPIQLIIASFKQNIGKEIKRRQSADPPTAPSLLANSDMVRVPTQIAGTTDAEDNCTTPTSALSEPRNEEAGSTIISNQQANTGSQNSSGQNVLQSQQPIPDQTVPPDANAGDPRL >Potri.009G150000.4.v4.1 pep chromosome:Pop_tri_v4:9:11850063:11851751:-1 gene:Potri.009G150000.v4.1 transcript:Potri.009G150000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150000.v4.1 MSSHVFNLIFHVIKEKKIMISFCESGFAAETAGGSFTPHVVPVHTGEDIVTKLLVFSQKGARAVCILSATGVVSSVIMRQPGSSGGILRYDGPFEILSLSGSFTFSKTGGSNRKNGMLSISLAKPNGRVFGGGVAGSLIAAGPIQLIIASFKQNIGKEIKRRQSADPPTAPSLLANSDMVRVPTQIAGTTDAEDNCTTPTSALSEPRNEEAGSTIISNQQANTGSQNSSGQNVLQSQQPIPDQTVPPDANAGDPRL >Potri.001G209300.1.v4.1 pep chromosome:Pop_tri_v4:1:21433587:21434506:-1 gene:Potri.001G209300.v4.1 transcript:Potri.001G209300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209300.v4.1 MVQGRGSAMVATVAVMLCMLLLHFDMAHAATYTVGGPGGWTFNVSGWPKGKSFKAGDILVFNYSTAAHNVVAVNKAGYSSCTSPRGAKVYTSGKDQIKLVKGQNFFICSFAGHCQSGMKIAVNAA >Potri.003G195000.1.v4.1 pep chromosome:Pop_tri_v4:3:19746985:19747188:1 gene:Potri.003G195000.v4.1 transcript:Potri.003G195000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195000.v4.1 MVRCFSTVSVVILTALAAAMAVLPLLLPPLPPPPLMLLFFPVGIMAALMFLAFSPSDAAANFALYTV >Potri.007G002250.1.v4.1 pep chromosome:Pop_tri_v4:7:157667:158820:-1 gene:Potri.007G002250.v4.1 transcript:Potri.007G002250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002250.v4.1 MASEFLNIDLFPVSFHGAAKLIINSQLEDGHHWCVREKYHVKFCSVLKNLPNVGSCRIPEKGASSTVTDGACSLLELRLQVLLLNISRTSSNMVMPKKPQRACSTWQRYSPRAHIGKMFRYAE >Potri.002G157900.2.v4.1 pep chromosome:Pop_tri_v4:2:12041828:12044511:1 gene:Potri.002G157900.v4.1 transcript:Potri.002G157900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157900.v4.1 MKRWGSPTVFFFFFLVLLLGAFFHWVDHTIITSIKGHKKSPSIIELPLKRSLDGSMEQSRVSNYPVIFRPNNLSSTACPEYFRWIHEDLRIWKSTGISRAMVERAKDYAHFRLVILKGKIYVEKYKKSFHTRDVFTIWGILQLLRLYPGKVPDLELMFWCDDRPVILKKDYQGTNATSSPSIFQYCGREDALGIVFPDWTFWGWAETNVSPWKTLSKDLKEANKRTKWKDRVPYAYWRGNPNVAASRRQLMWCNVSDKYDWNARLYKQDWRTESEQGYEHSRLEDQCTHRYKIYIEGRGWSVSDKYILACDSMTLFVKPEYYDFFIRSMVPLQHYWPVSARNKCRDIKFAVEWGNNHTDKARAIGKAGSKFIQENLKMEYVYDYMFHLLTNYANLLKFKPRIPEGAVEVYSETMARPHRGLWKKFMAETLVNFPSDTLPCTMPPPYESRTLEAFIESKETVTRQVERWEKENSKKN >Potri.006G031000.1.v4.1 pep chromosome:Pop_tri_v4:6:1928419:1932438:1 gene:Potri.006G031000.v4.1 transcript:Potri.006G031000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031000.v4.1 MSTMVLDPRPAPTVEPRSDLVPDPTTVPMEDDDLYSRFKSLQRQLEFIDIQDEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQTNGIVGSTTGSNYYVRILSTIDRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDMEDYVSRPDKISAAEIAAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >Potri.002G080066.1.v4.1 pep chromosome:Pop_tri_v4:2:5634123:5643879:1 gene:Potri.002G080066.v4.1 transcript:Potri.002G080066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080066.v4.1 MLRIIEPLASRCAKFRFKPLSEEITSSRILHICNEEGLTLDGEALSTLSSISQGDLCRAITYLQGAARLFGSSISSEDLISVSGAIRQEVTEALYEACKSGDFDLANKEVNNIIAEGYPVSQILAQMGENTSAKNQLPHQVFSVSIDTNPQSGSKWFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMLLFSLVTYYTSILLSACYRSGDPVNGKRNYTYMDAVRANLGGGKVKICGFVQYVNLFGVAIGYTIASSISMMAIKRSNCFHQSGGQDPCHMNAYPYMIAFGIAEILLSQIPGFDQLHWLSLVAAVMSFTYSSIGLGLGIGKVVENKRVMGSLTGISIGTVTQTQKIWRSFQALGDIAFAYSYSMILIEIQDTVKAPPTEAKTMKKATLISVAVTTLFYMFCGCFGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAMVIHLVGIYQFSCQPLYAFIEKEAAQRFPDSEFITKDIKIPIPGFRPYNLNLFRMIWRTLFVVLTTVISMLLPFFNDIVRLLGALGFWPLTVYFPVEMYIVQKKIRKWSTRWLCLQILSVACLIISIAAAAGSVAGIVGDLKSIKPFQTSY >Potri.005G122800.1.v4.1 pep chromosome:Pop_tri_v4:5:9111173:9112359:-1 gene:Potri.005G122800.v4.1 transcript:Potri.005G122800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122800.v4.1 MGLFSMTLAGSGFILIGAWESLTSSTASQNRNSVSNPSSPLKQTPKSATQRNSNSSSSSANYITVTIFSLLFIVNSLISFFNAVNSRDQVGSALQLQILAVAALFLLYSVLGLLSNFSSFVRFPSSILNLIVLFAFVEEFLLFYMQRKDPSGIENRYFDLMLVPILICVVSTTLELKSPWKSNDYPRIARGVGLILQGMWIVQMGLSFYTNLIVHGCSLHEKSRGNYSIKCKGHPEYHRARGIATLQFNCHLALLVISAMSVYSVMAKNNGARGDSYKPLGAEMQQMENHGMFTLDSDDDEIREEEDVTMKKGAVVAVNGFGSHE >Potri.002G153600.2.v4.1 pep chromosome:Pop_tri_v4:2:11734857:11739298:1 gene:Potri.002G153600.v4.1 transcript:Potri.002G153600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153600.v4.1 MKAIFCGNLDYDARQSDVERLFRRYGRIDRVDMKSGFAFVYMEDERDAEDAIRRLDQTEFGRKGRRLRVEWTKQERDSKPAGSRRSSANMTPSKTLFVINFDPIHTRTRDLERHFDPYGKILSTRIRRNFAFVQYELQEDATKALEATNMSKLMDRVISVEYAARDDDERRNGYSPERRGRDRSPDRNYSRERSPSPYRRDRGSPDYGHGQNTNARPQRGNHAYEKAEGPENERYRSRSPPSRERSRS >Potri.012G055600.1.v4.1 pep chromosome:Pop_tri_v4:12:5368294:5370685:-1 gene:Potri.012G055600.v4.1 transcript:Potri.012G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055600.v4.1 MRVDIMSSSKKTTSSKEDDAELRRGPWTLEEDTLLVHYIARHGEGRWNLLAKRAGLRRTGKSCRLRWLNYLKPDVKRGNLTPQEQLLILDLHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARHLRIDANSTAFQDIIRRSWMPRLLQKIGGSSTSSSAMTCQSPAVSQLIPNYAPQLSAFPTALPPPQQQEAPLDMSVMMHCMEHREQTSDSEHGTSSCISSAESMNVSQMSHLSEYPKSPFQAMGNNGDYSTLVKDCYYVDSNFYDMEAINLATMSVPGELGISAVDRHMGDGDWAGYDFGDTVWNMDELWQFRNLQGKEI >Potri.016G070400.1.v4.1 pep chromosome:Pop_tri_v4:16:5132733:5135550:-1 gene:Potri.016G070400.v4.1 transcript:Potri.016G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070400.v4.1 MPQGDYIELHRKRHGYRLDHFERKRKKEAREVHKHSERAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESTSRRKVDDDVHDGAIPAYLLDRENTTRAKILSNSIKQKRKEKAGKWEVPLPKVRPVAEDEMFKVIRSGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPTYTSLGVITKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Potri.005G202000.4.v4.1 pep chromosome:Pop_tri_v4:5:20755310:20762844:1 gene:Potri.005G202000.v4.1 transcript:Potri.005G202000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202000.v4.1 MEQNSKDAVPGPSKIPAVNSSKKVVTGAWGIPLRIDAFQSSSDASLFSSSLPVLSHEKLNFNDSENYGRSIDDSPPSLNNLDLETEVTDLFEDIEPSAIGNLLPDDDELLAGIMDDFDLSGLPSQLEDLEEIDLFGPGGGMELDFESQESLRIGMSKLNMTDGIPANGVGHYALPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQYGDIRTLYTACKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDVNQGTLVVFNLDASVSNDDLRLIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNKSDIAGKRIKLEPSRPGGARRNIMQQITQELEQDEVRSFRHQVGSPVGNSPPSTWLQFGSPVEHNPLHGFSKSPGLGTFSPVNGNNLPGLASILPLHVSNPAKIAPIGKDHGRVNHANQMLANSGSMQGAAYQHSRSFTDQKLSTSPVPMSTFGESKSNSSGIGTLTGPQFLWGSPASYSESASSSAWPTSSVGNAFPSHGQGQGFPHISRHGSLLGSHHHHVGSAPSVLPLDRHFGFFPESPETSFMNQVALGGMGLNRSTGSYMMNMGGHAAVGAGIGLPGPPLTENGSPNYRMMSLPRHNPMFFGAGSYSGPGTIGNEGFAERVRSRRVENSGSQIDSKKQYQLDLDKIISGEDNRTTLMIKNIPNKYTSKMLLAAIDENHRGTYDFLYLPIDFKNKCNVGYAFINMVSPACIISFYEAFNGKRWEKFNSEKVASLAYARIQGKAALVTHFQNSSLMNEDKRCRPILFHSEGQEATDQEPFLSGNLNICIRQPDGSYSGDSLDCPEDSLDEKLEKN >Potri.017G133732.1.v4.1 pep chromosome:Pop_tri_v4:17:13558222:13558992:1 gene:Potri.017G133732.v4.1 transcript:Potri.017G133732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133732.v4.1 MLPLLVHYHGGGFCIGSTFTSALKNFLSTLATQANVIAISIDYRLATEHQLLIAYDDSWAGLLWIAKHSNGNGPEPWINEYVNLGRVILAGESAGGTIAHYVAVQAGAAGLAVVAIERLIIAHPYF >Potri.007G076600.2.v4.1 pep chromosome:Pop_tri_v4:7:10092456:10093089:1 gene:Potri.007G076600.v4.1 transcript:Potri.007G076600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076600.v4.1 MAAMAMAELKALCAIHPKTFTDSDVAAAQQLVQLSGEDNSSSSNKKGRKNENESGFEDDQESDRSSQNEITSRMIEEIFGIEEEVARPRKKRYRSLVSIYQAMDMPLRNNVRYGKKVSTEENPKRVSASAEEGNQSSKT >Potri.006G044500.1.v4.1 pep chromosome:Pop_tri_v4:6:3023418:3028311:-1 gene:Potri.006G044500.v4.1 transcript:Potri.006G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044500.v4.1 MRTKLRIWWPNQLASSEPATPLNKNNLLLGWFASCSSVSVDVVVAFACNEASFSHSNSTLQEILRGVNGKMPVFLQERAAFSLLGQCVLYGRGNGKMSRDGMEGGDWRNSFTDGFDSVMNSRDLSDGKDGGSSCGCNELDGSHEQCRKECVENGLWIRLVYDSCEGYGKDVHRVPKLHHLHWNGQIVSHCNVHVVVYEIPVYGVHHFSLNLWKSSKPVKDPVKKPKWVNELEKNQPLLDLDTVILAINSAAAAKLALETRVGFKRSVSGFFIIISRSIAFMWQVLAIFVATISTLFYIVLQLFHNFSSFGSKTRIYTTSARVFCTTWTQIQIRCCQILYWPIVLQDNGLRSQSCVEYKENAVLHRNSMWSSLAVDLLLGNLMGLALLIHAESVCQWILTFANVITNELLRSGSVWLMGVPAGFKLNTELAGVLGMISLNAIQIWSTLWIFIGFLFIYFIKGLALLGILFGATIPAALIIDMASIATLHVSTLHWAISLLYSWQIQALAALWRLFRGRKWNPLRQRLDSYDYTVKQHIVGSLLFTPLLLLLPTTSVFYMFFTILSTTIALSCILIEVTISMIHSTPYIKIFLWLMRRRRFPSGIWFEIASCQNDSLEFARHDKVCSSSKKSYRNNDRGENRPSIMVSFLHSNFLSIGQVVLPHYRKVFSGVSDFAITSAHGALTGKRTVSTLGTCLPSTMPWLSIPAREYWCFCRNSVLACMEERDCNECQ >Potri.006G044500.2.v4.1 pep chromosome:Pop_tri_v4:6:3023427:3028316:-1 gene:Potri.006G044500.v4.1 transcript:Potri.006G044500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044500.v4.1 MFTYVVVYEIPVYGVHHFSLNLWKSSKPVKDPVKKPKWVNELEKNQPLLDLDTVILAINSAAAAKLALETRVGFKRSVSGFFIIISRSIAFMWQVLAIFVATISTLFYIVLQLFHNFSSFGSKTRIYTTSARVFCTTWTQIQIRCCQILYWPIVLQDNGLRSQSCVEYKENAVLHRNSMWSSLAVDLLLGNLMGLALLIHAESVCQWILTFANVITNELLRSGSVWLMGVPAGFKLNTELAGVLGMISLNAIQIWSTLWIFIGFLFIYFIKGLALLGILFGATIPAALIIDMASIATLHVSTLHWAISLLYSWQIQALAALWRLFRGRKWNPLRQRLDSYDYTVKQHIVGSLLFTPLLLLLPTTSVFYMFFTILSTTIALSCILIEVTISMIHSTPYIKIFLWLMRRRRFPSGIWFEIASCQNDSLEFARHDKVCSSSKKSYRNNDRGENRPSIMVSFLHSNFLSIGQVVLPHYRKVFSGVSDFAITSAHGALTGKRTVSTLGTCLPSTMPWLSIPAREYWCFCRNSVLACMEERDCNECQ >Potri.017G016000.1.v4.1 pep chromosome:Pop_tri_v4:17:1174226:1176704:1 gene:Potri.017G016000.v4.1 transcript:Potri.017G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016000.v4.1 MGVDYYKILQVDKTAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKKISEAYEVLSDPQKKAVYDQYGEEGLKGQVPPPGAAGAAGGGPGGAGPGGATFFSTGDGPTTFQFNPRNADDIFTEFFGFSSPFGGMGGGGGGMRQTRFQGGMFGNDIFSSYSEGGGGSMHQGAPRKAPQIEKKLPCSLEELYKGATKRMKISRDIADASGKTMQVEEILTIDIKPGWKKGTKITFPEKGNEQPNIVPADLVFIIDEKPHPTFTRDGNDLVVTQKISLTEALTGYTVHLTALDGRNLTIPVNTLIHPNYEEVVPREGMPIQKDPTKRGNLRIKFDIKFPTRLTAEQKAGIKRLLGP >Potri.006G148400.1.v4.1 pep chromosome:Pop_tri_v4:6:12729522:12736278:-1 gene:Potri.006G148400.v4.1 transcript:Potri.006G148400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148400.v4.1 MAETPLFTFSPLRKSHLKSQTYRTLVRILSHPQLCQFVPPPPGNGGDGGPHVLGNQVCSRHVELCHESLLDKNGQERGFSDTQAVINEVENIMGIEEDENLVIDQTACGTGLQDRDLIQAQHLLIDELEHLMKGNVELVCHNNSGPVTNRNRNAAGDVVAVSNNQDEHFERHPVVIKECRGVGQLQLDEETLCQNNKISSEVSKPVDNCEESSLSRTNGLEVNNEMQQKEIQSDKSVCADATMGYPPEDGEIEEREVSGEFEVDDGSVDMFSEDAVAPQEKKVDEKQASEAIIDKNRLPINEKNEANKKHSWFSSSMAYTVENAKDRREVEAQQRFSSEMACKRKVVTYEDPILAEEGVWCKKQKKCHGVREQNDGSAAKDQKRDSRVKEQKKGNVANDGVGCPVVCPNNLASFTENSDQTASANQGIVSKEKDAGLCNKKKRGPPSKEKKAKKKEKERKKRAEKNRQLGVKRMKLLPVLNQKPVSPCRHFLKGRCREGQKCKFSHDAIPLTKSEPCHHFARHKCMKGDNCPYDHQLSKYPCTNYVSKGYCIRGDSCMFSHKEDLASTSNVSNVCTPKVKPPSLPSTSNSKRQLDISGTSNQTAKALPDSTGVISDDRRAALNVAKTVQNLPALVPKGLSFLSGGKKSMVESSPKTSSPSLKSNVFVKVGNQIDQCASVTVQSCNEIPRKIPSVVAPKGINFLSFGKAPLDKCSSTMKLKSLAFNQGNGVNLFSSKNFAVHEEACSSSNKDNSVLVGKETHQSVSNMAAHGLNKMLQKTEPTAFQDASADSFRRNQQASLPLSSGSGVHASVQESKIASNKHVELSALQGRLPASPLGSGQSSDQLAHACLKNKPISAQKALMSTLAFATKVESLMKMNQSTGGAFAVSSMVSKESRDSTTPRGLQIDSEKASKVLDFLSGIGGETKQ >Potri.010G108000.2.v4.1 pep chromosome:Pop_tri_v4:10:12886622:12887571:-1 gene:Potri.010G108000.v4.1 transcript:Potri.010G108000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108000.v4.1 MALEWVVLGYAAGAEAIMVLLLTIPGLDGLRKGLSAVTRNLLKPFMSVVPFCLFLLMDIYWKYETRPSCEGESCTPTEHLRHQKSIMKSQRNALLIGVALVFYWLLYSVTHLVVKIEQLNQRVERLKNKE >Potri.003G032500.1.v4.1 pep chromosome:Pop_tri_v4:3:3649137:3650802:1 gene:Potri.003G032500.v4.1 transcript:Potri.003G032500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032500.v4.1 MKGGLVVDDGFVYEEEKHLTVFKTCLFFANDGFTVYDCKGELVFRVDSYGPGSRDKGEHVLMDAHGRCLLTVRRKRPSLHQRWEGYIGEGTDGSNPIFSVRRTSMIGRCTVTVEVCGNPGEEYQIEGSFATRSCTILNTVKEAVAEIRRKVDASTDVVLGKDVLSLCLKPGFDGAFAMGLVLILDQINGYDSVENEVKESPTTED >Potri.004G100500.1.v4.1 pep chromosome:Pop_tri_v4:4:8723962:8728864:-1 gene:Potri.004G100500.v4.1 transcript:Potri.004G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100500.v4.1 MEKERKKFQKPKPETESNNLYDISCLFFQKGIMGSFLLISIFSLLLRVSVSLHSYSGAGSPPKFGDFEAQRHWMEITTNLPIKDWYFNTTNNDLSYWGLDYPPLTAYQSYFHGLILKYFDPNSVSLFSSRGYETHFGKLLMRWTVLSSDLLIFFPAVLYFIFVYHGGNRSGGDKSDVAWHMAVILINPCLILIDHGHFQYNCISLGLTLGAVAAVLSRKNLLACVLFCLSLNHKQMSAYYAPAFFSHLFGSCLRRKNPPLEVLKLGLAVLGTFAIVWWPYLHSRDAFFGVLSRLAPFERGIYEDYVANFWCSTSILIKWKRLFTTHSLRFVSLVATILTFLPSMIQQILAPSSKGFLYGLLNSSFAFYLFSFQVHEKSILLPLLPATLLAMELPGVHSMLLMLCALLSMFPLLCRDKLVVPYMALYASSILLYLAPSGRRQIKKHLSRPMITFSIVMIQFLCSLIFHIIYLTIRPPEKYPYLFEAMIMNFCFSHLLGFTVYTNVKQWMLPRQFTSGDKEKKLN >Potri.001G232800.1.v4.1 pep chromosome:Pop_tri_v4:1:25141967:25145022:1 gene:Potri.001G232800.v4.1 transcript:Potri.001G232800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232800.v4.1 MTSNLVISSRCSLFLTLFYLLVSASLTATSAQWVWPKPRTLSWPIPLATILSPNFTISSPYHQHLSPAVNRYRLQILTEHHRPLVPPPVNLSNSSPPLQALTITVKDLSAPLQHSVDESYALAIPTASSTANLTAETVWGAMRGLETFSQLVWGLKPLLVPVGLDVWDSPLFEHRGIMLDTSRNYYPVDDILRTIKAMSANKLNVFHWHITDSHSFPLVLPSEPALADKGSYGNDMLYSPADVATIVRFGLEHGVRVLPEIDSPAHTGSWAEAYPDIVTCANMFWWPAESEWADRLASEPGTGQLNPLNPNTYQVLKNVIGDAVALFPEPFFHAGGDEIIPGCWKADPAIQSFLSKNGTLSQLLEKFVNSTFPYIVSLNRTVVYWEDILLDANVKVGPSFLPPEHTILQTWNNGPNNTKLIVSSGYRAIVSSSEFYYLDCGHGDFPGNDSQYDPPPTSGDSGNGGSWCGPFKTWQTIYNYDIAYGLTPEETKLVLGGEVALWSEQADPTVLDVRIWPRASAMAETLWSGNRDESGKKRYAEAMDRLNDWRHRMVNKGIRAEPLQPLWCIKNPGMCNTVHPSD >Potri.001G429660.2.v4.1 pep chromosome:Pop_tri_v4:1:45326686:45334429:-1 gene:Potri.001G429660.v4.1 transcript:Potri.001G429660.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429660.v4.1 MALENAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKELKENLVSAKERLQVDVETAKRNAEEIDKVVKKWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKLGENSRKFSEVSHIPHRQPIEFLPTKEFTSSESSKEAFEQIMKALKDDSVNMIGLSGKGGVGKTTLVKELGRRAKELQLFSEVLMATVSQNPNVTDIQNQMADKLGLDFKEKSNAGRTDRLWQRLKEVEKMLIILDDVREEIDLKEIGIPFGDDHRGCKILLTTRLQVICSYMECQQKVYLCVLSEKEAWDLFRINAGLRDGDSTLNRVAREVARECQGLPIALVTVGRALRDKSAVQWEVASKQLKDSQFPRMEQFDEQKNAFTCLKLSYENLKHEETKLCFLLCSLFPEDYDIPIEDLMRYTVGYWLHQDEEPIEDAREQVHVAIKDLKACCLLLGTETEEHVRMHDLVRDVAIQIASSKEYGFMVKAGIGLKEWPMINKSFEGCTTISLMGNKLAELPEGLVCPKLKVLLLELDDGMNVPERFFEGMKEIEVLSLKGGCLSLHSLELSTKLKSLVLIRCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGDLKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGDCSFDGWDVVGCDSTGGMNASLTELNSLSNLAVLSLKIPEVESIPRDFVFPGLLKYDIIIGNYNPFIRDPVGYPTSTRLHLDNTSATSLNAKISEQLFPTVSQIVFRRVEGLKNIVLLSDHKQQNGFFQRLEFVEVWHCGDICTLFPAKVLQVLKNLRSVKINGCKSLEEVFELDDESNVEDELPLLSSLTRLELEMLPELKCIWKGPTRHVSLQSLIHLNLNRLHKLTFIFTPSLAQSLPRLEKLEIRNCLELKHVLREEDDEWEIIPESLGFPKLKTLYIFACAELEYVFPVTVSPSLQNLEEIRIDNANNLKQIFYSEGDARIITFPQLRELILWSESNYSFFGPKNFAAQLPSLQNLTIHGHEELGNLLVQLQGFSDLKHIYVRECGGAQDGIQVVSFVTDGRGGHELSLPSLEKLYLNSLPDMRCIWKGLVLCNLTILVVNGCKRLTHVFTYGMIASLVQLKVLKTSSCEELEQIIAKDDDERYQMLSGDHLISLCFPSLCEIEVEECNKLKSLFPVAMASGIPELQLLKITKASQFLGVFGQNDQASHVNVEKEMVLPKLKELSLEQLPCIVCFSFGCCDFLFPRLEKLKVYECAKLSTKFATTPDGSMSAQSEISQVAEDSSSNREWTRDYGWKEGGNLLAQLQGLTNLETLCLESLPNMRCIWEGLVLRKLTTLEVVKCKRLTHVFTCSMIVSLVQLKVLKILSCEELEQIIARDNDDEKGQILPGDHLQSLCFPNLCEVEIRECNKLESLFPVAMASGFPKLQTLRVSEASQLLVVFGQDDHASLINIEKEMVLPNLIVLWLEQLSSIVCFSFGCCDFLFPHLETLMVHQCPKLTTKFAITPDGSMSARSETSQVAEDSGSNREWTRDNGWKEDGKKMVSGDQLDNR >Potri.001G429660.3.v4.1 pep chromosome:Pop_tri_v4:1:45326686:45334429:-1 gene:Potri.001G429660.v4.1 transcript:Potri.001G429660.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429660.v4.1 MALENAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKELKENLVSAKERLQVDVETAKRNAEEIDKVVKKWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKLGENSRKFSEVSHIPHRQPIEFLPTKEFTSSESSKEAFEQIMKALKDDSVNMIGLSGKGGVGKTTLVKELGRRAKELQLFSEVLMATVSQNPNVTDIQNQMADKLGLDFKEKSNAGRTDRLWQRLKEVEKMLIILDDVREEIDLKEIGIPFGDDHRGCKILLTTRLQVICSYMECQQKVYLCVLSEKEAWDLFRINAGLRDGDSTLNRVAREVARECQGLPIALVTVGRALRDKSAVQWEVASKQLKDSQFPRMEQFDEQKNAFTCLKLSYENLKHEETKLCFLLCSLFPEDYDIPIEDLMRYTVGYWLHQDEEPIEDAREQVHVAIKDLKACCLLLGTETEEHVRMHDLVRDVAIQIASSKEYGFMVKAGIGLKEWPMINKSFEGCTTISLMGNKLAELPEGLVCPKLKVLLLELDDGMNVPERFFEGMKEIEVLSLKGGCLSLHSLELSTKLKSLVLIRCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGDLKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGDCSFDGWDVVGCDSTGGMNASLTELNSLSNLAVLSLKIPEVESIPRDFVFPGLLKYDIIIGNYNPFIRDPVGYPTSTRLHLDNTSATSLNAKISEQLFPTVSQIVFRRVEGLKNIVLLSDHKQQNGFFQRLEFVEVWHCGDICTLFPAKVLQVLKNLRSVKINGCKSLEEVFELDDESNVEDELPLLSSLTRLELEMLPELKCIWKGPTRHVSLQSLIHLNLNRLHKLTFIFTPSLAQSLPRLEKLEIRNCLELKHVLREEDDEWEIIPESLGFPKLKTLYIFACAELEYVFPVTVSPSLQNLEEIRIDNANNLKQIFYSEGDARIITFPQLRELILWSESNYSFFGPKNFAAQLPSLQNLTIHGHEELGNLLVQLQGFSDLKHIYVRECGGAQDGIQVVSFVTDGRGGHELSLPSLEKLYLNSLPDMRCIWKGLVLCNLTILVVNGCKRLTHVFTYGMIASLVQLKVLKTSSCEELEQIIAKDDDERYQMLSGDHLISLCFPSLCEIEVEECNKLKSLFPVAMASGIPELQLLKITKASQFLGVFGQNDQASHVNVEKEMVLPKLKELSLEQLPCIVCFSFGCCDFLFPRLEKLKVYECAKLSTKFATTPDGSMSAQSEISQVAEDSSSNREWTRDYGWKEGGNLLAQLQGLTNLETLCLESLPNMRCIWEGLVLRKLTTLEVVKCKRLTHVFTCSMIVSLVQLKVLKILSCEELEQIIARDNDDEKGQILPGDHLQSLCFPNLCEVEIRECNKLESLFPVAMASGFPKLQTLRVSEASQLLVVFGQDDHASLINIEKEMVLPNLIVLWLEQLSSIVCFSFGCCDFLFPHLETLMVHQCPKLTTKFAITPDGSMSARSETSQVAEDSGSNREWTRDNGWKEDGKKMVSGDQLDNR >Potri.001G429660.1.v4.1 pep chromosome:Pop_tri_v4:1:45326497:45334529:-1 gene:Potri.001G429660.v4.1 transcript:Potri.001G429660.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429660.v4.1 MALENAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKELKENLVSAKERLQVDVETAKRNAEEIDKVVKKWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKLGENSRKFSEVSHIPHRQPIEFLPTKEFTSSESSKEAFEQIMKALKDDSVNMIGLSGKGGVGKTTLVKELGRRAKELQLFSEVLMATVSQNPNVTDIQNQMADKLGLDFKEKSNAGRTDRLWQRLKEVEKMLIILDDVREEIDLKEIGIPFGDDHRGCKILLTTRLQVICSYMECQQKVYLCVLSEKEAWDLFRINAGLRDGDSTLNRVAREVARECQGLPIALVTVGRALRDKSAVQWEVASKQLKDSQFPRMEQFDEQKNAFTCLKLSYENLKHEETKLCFLLCSLFPEDYDIPIEDLMRYTVGYWLHQDEEPIEDAREQVHVAIKDLKACCLLLGTETEEHVRMHDLVRDVAIQIASSKEYGFMVKAGIGLKEWPMINKSFEGCTTISLMGNKLAELPEGLVCPKLKVLLLELDDGMNVPERFFEGMKEIEVLSLKGGCLSLHSLELSTKLKSLVLIRCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGDLKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGDCSFDGWDVVGCDSTGGMNASLTELNSLSNLAVLSLKIPEVESIPRDFVFPGLLKYDIIIGNYNPFIRDPVGYPTSTRLHLDNTSATSLNAKISEQLFPTVSQIVFRRVEGLKNIVLLSDHKQQNGFFQRLEFVEVWHCGDICTLFPAKVLQVLKNLRSVKINGCKSLEEVFELDDESNVEDELPLLSSLTRLELEMLPELKCIWKGPTRHVSLQSLIHLNLNRLHKLTFIFTPSLAQSLPRLEKLEIRNCLELKHVLREEDDEWEIIPESLGFPKLKTLYIFACAELEYVFPVTVSPSLQNLEEIRIDNANNLKQIFYSEGDARIITFPQLRELILWSESNYSFFGPKNFAAQLPSLQNLTIHGHEELGNLLVQLQGFSDLKHIYVRECGGAQDGIQVVSFVTDGRGGHELSLPSLEKLYLNSLPDMRCIWKGLVLCNLTILVVNGCKRLTHVFTYGMIASLVQLKVLKTSSCEELEQIIAKDDDERYQMLSGDHLISLCFPSLCEIEVEECNKLKSLFPVAMASGIPELQLLKITKASQFLGVFGQNDQASHVNVEKEMVLPKLKELSLEQLPCIVCFSFGCCDFLFPRLEKLKVYECAKLSTKFATTPDGSMSAQSEISQVAEDSSSNREWTRDYGWKEGGNLLAQLQMAAHGHGQQNSFLQRLEFVQVRDCGDVRTSFPAKLLQALKNLKSVEIEDCKSLEEVFELGEADEGISEEKELPLLSSLTELQLRGLPELKCIWKEPTRHVSLQSLTLLNLYSLNKLTFIFTLSLAQSLPKLERLEISDCGELKYLIREEDGEREIIPESPSFPELKTIIVYDCGKLEYVFPVSVCPSLLSLEVMWIFYSDNLKQIFYNGEGDTLTTYRIIEFPRLRKLALYSTSNYSFFGSKNIPAHLPSLQNLCLSGHEELGNLSTQLQGLTNLETLCLESLPNMRCIWEGLVLRKLTTLEVVKCKRLTHVFTCSMIVSLVQLKVLKILSCEELEQIIARDNDDEKGQILPGDHLQSLCFPNLCEVEIRECNKLESLFPVAMASGFPKLQTLRVSEASQLLVVFGQDDHASLINIEKEMVLPNLIVLWLEQLSSIVCFSFGCCDFLFPHLETLMVHQCPKLTTKFAITPDGSMSARSETSQVAEDSGSNREWTRDNGWKEDGKKMVSGDQLDNR >Potri.013G050400.1.v4.1 pep chromosome:Pop_tri_v4:13:3579495:3582835:-1 gene:Potri.013G050400.v4.1 transcript:Potri.013G050400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G050400.v4.1 MQEEGEMATPGEVLGKATELKAGKGAYIGSYRDTDIQYVFSSLTGLRRTLSPSPDSPDQRPTVEVTGHKAHGAVPEPGSVVIARVTKVMAKTASADIMCVGPKSVQEKFTGIIRQQDVRATEIDKVDMHLSFRPGDIVRALVLSLGDARAYYLSTAKNELGVVSAESAAGATMVPISWTEMQCPLTGQIEQRKVAKVGS >Potri.009G039500.1.v4.1 pep chromosome:Pop_tri_v4:9:4773314:4776864:1 gene:Potri.009G039500.v4.1 transcript:Potri.009G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039500.v4.1 MGGRCSKLSLCWWPSHLKSNLNYPSDLENEELLPGGFREYSLEQLRAATSGFSSDNIVSEHGEKAPNVVYRGKLQEDDRWIAVKRFNKSAWPDSRQFLEEARAVGQLRNERLANLIGCCCEGQERLLVAEFMPNETLSKHLFHWENQPMKWTMRLRVALYLAQALDCCSSKGRALYHDLNAYRILFDQDANPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQYEARERPNAKSLVSALAPLQKETEVPSYILMGIPHGTQSPKQTMLLTPLGEACSRLDLTAIHQILEKVGYKDDEGIANELSFQMWTDQIQETLNCKKHGDAAFRTKDFNTAIDCYTQFIDGGTMVSPTVFARRSLCYLISDMPQQALGDTMQAQALSPEWPTAFYLQAATLFSLGMDSDAQETLKDGTYLEAKNH >Potri.005G131600.1.v4.1 pep chromosome:Pop_tri_v4:5:10170544:10172049:1 gene:Potri.005G131600.v4.1 transcript:Potri.005G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131600.v4.1 MPTELSSSEKTRRPPLSTTPTSNKPGGAPPQEQEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGTRKNAKRSRTSATTAASFAGPIIDNNIDNLPLPATPVLVPLTASQGFSVHFGGGSDGKGNGGCGSGALGGSFTSLLNTPGPAGILALGRFGHGLGHGLEDVGCGLGRGLWSFPGIGDSGAGVGGHGGVTVTAGLDNTWQFGSAGQNGFVGGDCFSWPELAISTPANGFK >Potri.006G195300.1.v4.1 pep chromosome:Pop_tri_v4:6:20369162:20369957:1 gene:Potri.006G195300.v4.1 transcript:Potri.006G195300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195300.v4.1 MAKIFANATSSFIFLFNVILFSLTLAAAKPEGFSRNLSPKTLGLKREKLSHLHFYFHDIVSGSNPTAVPVARAAMTNNSFSSFGLVTMMDDPLTVKPEISSKLVGRAQGIYASASQSELSFLMALNFVFTEGKYNGSTLSILGRNSVLSGIREMPVVGGSGLFRFARGYAQAKTHDLDFKTGDAIVEYNVYVFHY >Potri.001G084400.9.v4.1 pep chromosome:Pop_tri_v4:1:6748235:6751747:-1 gene:Potri.001G084400.v4.1 transcript:Potri.001G084400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084400.v4.1 MKIEEAIEKKEIEREEMEMEMEVDPQDEPEGSRRPQPWTKQITVRGFTASILIGAIYSVIVMKLNLTTGLAPNFNVSAALLAFVFVRSWTKMLRRAGFVVKPFTRQENTMIQTCAVACYSIAHGGGFASYLLGLNRKTYELSGVQTEGNPSTSIKEPGFGWMSGYLFLVCFVGLFVLIPLRKILIVDMNLTFPSGMATAVLINGFHSRGNKMAKKQVRGFMKYFSISFLWAFFQWFYTGKEGCGFSQFPTFGLKAWKHTFFFDFNTTFIGAGMLVSHLVNLSLLLGAVLSYGVMWPLIGQLKGDWFPASLEETSMKSLFGYKVFLAVALILGDGLYTFVKVMVCTIINVHGRVRDKKLSAAVDHQKKHLDDQRVNETFLRETIPLWVAVIGYVAFSILSVIAVPIIFPQLKWYYVIAAYVLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAAVSGRENGVVAALAGCGLVKSVLSVACILMQDFKTAQMTSTSPRAMFLSQVVGTAIGCIAAPSSFFLFYKAFDVGNPYGEFKAPYALIYRNMAILGVDGFSALPQHCLQLCYGFFAFAIAINLVRDFSPQKIGQWMPLPMVMGVPFLIGASFAIDMSIGSLIVLIWHKRDTKKAEFMVPAVASGLICGEGLWTLPEAVLALAQVKPPICMKFVAS >Potri.001G084400.8.v4.1 pep chromosome:Pop_tri_v4:1:6748640:6751958:-1 gene:Potri.001G084400.v4.1 transcript:Potri.001G084400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084400.v4.1 MKIEEAIEKKEIEREEMEMEMEVDPQDEPEGSRRPQPWTKQITVRGFTASILIGAIYSVIVMKLNLTTGLAPNFNVSAALLAFVFVRSWTKMLRRAGFVVKPFTRQENTMIQTCAVACYSIAHGGGFASYLLGLNRKTYELSGVQTEGNPSTSIKEPGFGWMSGYLFLVCFVGLFVLIPLRKILIVDMNLTFPSGMATAVLINGFHSRGNKMAKKQVRGFMKYFSISFLWAFFQWFYTGKEGCGFSQFPTFGLKAWKHTFFFDFNTTFIGAGMLVSHLVNLSLLLGAVLSYGVMWPLIGQLKGDWFPASLEETSMKSLFGYKVFLAVALILGDGLYTFVKVMVCTIINVHGRVRDKKLSAAVDHQKKHLDDQRVNETFLRETIPLWVAVIGYVAFSILSVIAVPIIFPQLKWYYVIAAYVLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAAVSGRENGVVAALAGCGLVKSVLSVACILMQDFKTAQMTSTSPRAMFLSQVVGTAIGCIAAPSSFFLFYKAFDVGNPYGEFKAPYALIYRNMAILGVDGFSALPQHCLQLCYGFFAFAIAINLVRDFSPQKIGQWMPLPMVMGVPFLIGASFAIDMSIGSLIVLIWHKRDTKKAEFMVPAVASGLICGEGLWTLPEAVLALAQVKPPICMKFVAS >Potri.001G084400.1.v4.1 pep chromosome:Pop_tri_v4:1:6748646:6751628:-1 gene:Potri.001G084400.v4.1 transcript:Potri.001G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084400.v4.1 MKIEEAIEKKEIEREEMEMEMEVDPQDEPEGSRRPQPWTKQITVRGFTASILIGAIYSVIVMKLNLTTGLAPNFNVSAALLAFVFVRSWTKMLRRAGFVVKPFTRQENTMIQTCAVACYSIAHGGGFASYLLGLNRKTYELSGVQTEGNPSTSIKEPGFGWMSGYLFLVCFVGLFVLIPLRKILIVDMNLTFPSGMATAVLINGFHSRGNKMAKKQVRGFMKYFSISFLWAFFQWFYTGKEGCGFSQFPTFGLKAWKHTFFFDFNTTFIGAGMLVSHLVNLSLLLGAVLSYGVMWPLIGQLKGDWFPASLEETSMKSLFGYKVFLAVALILGDGLYTFVKVMVCTIINVHGRVRDKKLSAAAVDHQKKHLDDQRVNETFLRETIPLWVAVIGYVAFSILSVIAVPIIFPQLKWYYVIAAYVLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAAVSGRENGVVAALAGCGLVKSVLSVACILMQDFKTAQMTSTSPRAMFLSQVVGTAIGCIAAPSSFFLFYKAFDVGNPYGEFKAPYALIYRNMAILGVDGFSALPQHCLQLCYGFFAFAIAINLVRDFSPQKIGQWMPLPMVMGVPFLIGASFAIDMSIGSLIVLIWHKRDTKKAEFMVPAVASGLICGEGLWTLPEAVLALAQVKPPICMKFVAS >Potri.001G084400.11.v4.1 pep chromosome:Pop_tri_v4:1:6748221:6751624:-1 gene:Potri.001G084400.v4.1 transcript:Potri.001G084400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084400.v4.1 MKIEEAIEKKEIEREEMEMEMEVDPQDEPEGSRRPQPWTKQITVRGFTASILIGAIYSVIVMKLNLTTGLAPNFNVSAALLAFVFVRSWTKMLRRAGFVVKPFTRQENTMIQTCAVACYSIAHGGGFASYLLGLNRKTYELSGVQTEGNPSTSIKEPGFGWMSGYLFLVCFVGLFVLIPLRKILIVDMNLTFPSGMATAVLINGFHSRGNKMAKKQVRGFMKYFSISFLWAFFQWFYTGKEGCGFSQFPTFGLKAWKHTFFFDFNTTFIGAGMLVSHLVNLSLLLGAVLSYGVMWPLIGQLKGDWFPASLEETSMKSLFGYKVFLAVALILGDGLYTFVKVMVCTIINVHGRVRDKKLSAAVDHQKKHLDDQRVNETFLRETIPLWVAVIGYVAFSILSVIAVPIIFPQLKWYYVIAAYVLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAAVSGRENGVVAALAGCGLVKSVLSVACILMQDFKTAQMTSTSPRAMFLSQVVGTAIGCIAAPSSFFLFYKAFDVGNPYGEFKAPYALIYRNMAILGVDGFSALPQHCLQLCYGFFAFAIAINLVRDFSPQKIGQWMPLPMVMGVPFLIGASFAIDMSIGSLIVLIWHKRDTKKAEFMVPAVASGLICGEGLWTLPEAVLALAQVKPPICMKFVAS >Potri.001G084400.10.v4.1 pep chromosome:Pop_tri_v4:1:6748650:6751713:-1 gene:Potri.001G084400.v4.1 transcript:Potri.001G084400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084400.v4.1 MKIEEAIEKKEIEREEMEMEMEVDPQDEPEGSRRPQPWTKQITVRGFTASILIGAIYSVIVMKLNLTTGLAPNFNVSAALLAFVFVRSWTKMLRRAGFVVKPFTRQENTMIQTCAVACYSIAHGGGFASYLLGLNRKTYELSGVQTEGNPSTSIKEPGFGWMSGYLFLVCFVGLFVLIPLRKILIVDMNLTFPSGMATAVLINGFHSRGNKMAKKQVRGFMKYFSISFLWAFFQWFYTGKEGCGFSQFPTFGLKAWKHTFFFDFNTTFIGAGMLVSHLVNLSLLLGAVLSYGVMWPLIGQLKGDWFPASLEETSMKSLFGYKVFLAVALILGDGLYTFVKVMVCTIINVHGRVRDKKLSAAVDHQKKHLDDQRVNETFLRETIPLWVAVIGYVAFSILSVIAVPIIFPQLKWYYVIAAYVLAPSLAFCNAYGAGLTDINMAYNYGKVALFVLAAVSGRENGVVAALAGCGLVKSVLSVACILMQDFKTAQMTSTSPRAMFLSQVVGTAIGCIAAPSSFFLFYKAFDVGNPYGEFKAPYALIYRNMAILGVDGFSALPQHCLQLCYGFFAFAIAINLVRDFSPQKIGQWMPLPMVMGVPFLIGASFAIDMSIGSLIVLIWHKRDTKKAEFMVPAVASGLICGEGLWTLPEAVLALAQVKPPICMKFVAS >Potri.012G033866.1.v4.1 pep chromosome:Pop_tri_v4:12:3051173:3052333:1 gene:Potri.012G033866.v4.1 transcript:Potri.012G033866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033866.v4.1 MAFCTQAVILILSVLIVSWCKPSYGAGIAIYWGQNGNEGTLAATCNSGNYQFVNVAFLSAFGNGQTPVLNLAGHCNPSANTCTGLSADIKACQGKGIKVLLSIGGASGAYSLSSADDARQVASYIWNNFLGGQSSSRPLGDAILDGVDFDIEAGSGQFWDDLARALNGFRQQKKVYLAAAPQCPFPDAHLDTAIKTGLFDYVWVQFYNNPPCQYSGNANNLLSAWSQWTTVQANQVFLGLPAAPEAANSGGFIPADVLTSQVLPSVKNSPKYGGVMLWNKQFDNGYSAAIKGSV >Potri.001G188500.3.v4.1 pep chromosome:Pop_tri_v4:1:16884922:16887323:-1 gene:Potri.001G188500.v4.1 transcript:Potri.001G188500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G188500.v4.1 MEGPKFFTGGYNDCGATEFFSEKKSADQHFTVEDLLDFPNDDDDIMAGGFFSDIDGIKTTTKNCTTANHSFTNTHSFSSASVEYGQTFADSQFSTELCFLYDDMAELEWLSNFVEDSFSTDQSLQTNIHILSGSKPPTPESSSSETHHPEPITCNPSNPAFQPETPLPGKARSKRSRAAPCDWSTRLLHVPSTTKMSSEKQLRESPDPNLDSNAMVRRCLHCGAEKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVSAKHSNSHRKVLELRRQKEVQGAQQQQFLSQSSIFGV >Potri.010G096700.2.v4.1 pep chromosome:Pop_tri_v4:10:12029593:12030402:1 gene:Potri.010G096700.v4.1 transcript:Potri.010G096700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096700.v4.1 MIIIRRRRRIRRGTVMTLPSLKKKKKKTLAKNSKFEAKRRKGNQDTDNKELNDDTDSVINKKTSNRFKRKRKAKSKAGEELSNEDLVSIAEEYVKVDEDSRKKHTSSRERKLQRQLTTATSSKHDLEESLIVPDDEHTSASCETLLLIVQL >Potri.006G099900.1.v4.1 pep chromosome:Pop_tri_v4:6:7644805:7649201:-1 gene:Potri.006G099900.v4.1 transcript:Potri.006G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099900.v4.1 MASLQEPWLLENGNLKGSSKEMRHGRTAHNMSSSSLRKKSDLTLVSKVKCGMLRLLLTNLQEVILGTKLSVLFPAIPLAIAAQCYGFGRPWIFALSLLGLTPLAERISFITEQIAYYTGPTVGGLLNATCGNATELIIAIFALWQHKVEVVKYSLLGSILSNLLLVLGTSLFCGGIANLGKEQKYDRRQADVNALLLLLALLCHMLPLLFRISGASASLTAVPTLQLSRASSIVMLVAYITYIIFQLVTHRQLFEAQEDSDEDDGDVNSEETPVIGFRSGIVWLVGMTAVVALLSEYVVGTIEDASESWGLSVSFISIILLPIVGNAAEHAGAVIFAFKNKLDISLGVALGSATQISLFVVPLCVVVSWMLGINMDLNFNLLETGALAISIITTAFTLQDGTSHYLKGLTLLLCYVVIGACFFVSKTPLNHGNVINLGVNSEVGVITA >Potri.006G099900.6.v4.1 pep chromosome:Pop_tri_v4:6:7644950:7649164:-1 gene:Potri.006G099900.v4.1 transcript:Potri.006G099900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099900.v4.1 MASLQEPWLLENGNLKGSSKEMRHGRTAHNMSSSSLRKKSDLTLVSKVKCGMLRLLLTNLQEVILGTKLSVLFPAIPLAIAAQCYGFGRPWIFALSLLGLTPLAERISFITEQIAYYTGPTVGGLLNATCGNATELIIAIFALWQHKVEVVKYSLLGSILSNLLLVLGTSLFCGGIANLGKEQKYDRRQADVNALLLLLALLCHMLPLLFRISGASASLTAVPTLQLSRASSIVMLVAYITYIIFQLVTHRQLFEAQEDSDEDDGDVNSEETPVIGFRSGIVWLVGMTAVVALLSEYVVGTIEDASESWGLSVSFISIILLPIVGNAAEHAGAVIFAFKNKLDISLGVALGSATQISLFVVPLCVVVSWMLGINMDLNFNLLETGALAISIITTAFTLQDGTSHYLKGLTLLLCYVVIGACFFVSKTPLNHGNVINLGVNSEVGVITA >Potri.002G119900.1.v4.1 pep chromosome:Pop_tri_v4:2:9107298:9114779:-1 gene:Potri.002G119900.v4.1 transcript:Potri.002G119900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119900.v4.1 MDPNIMKLLEEDEDETMHSGADVEAFQAALNRDIGGDVSTSQPSDSSSVLSHENNQSSSQQFPNWPTVGQNDNASNTEELDAKSVEQQHHQEQQSSAMETKQHVPNADNQQQKGDVPQEPTHPPVLQKKFQDDIKQELVEQAPVQTPQSIGAQSSEQNPTPKSEPDKMQISDVDSQFLNFQKIGNQQTAGTDQAGNQKNSKQIPFVLLLPALKPHLDKDREMQLQTLFNKLRKNEIAKDQFVRLMRNIVGDQVLRLAVAQLQSQSGSNQSQLQSQAGRQNNVRMPAGISAAQFPDPHHFVLHPRGSISSEPSRNPPSAVQLQTDSSIVNSQKSKTVEWQPDSLGMQASQLHSSNTSIVNQERERSSISMQGQNKQPQHVHFPPTSFPMYGSSGGNYNQYPGTKVSTSGPSVKPQPHDQQTRQISHHQNMGVTQVGGPPHNMISTPKFERQNSANDPSRVHSGSVSHYTNKSAVQQNSVPWQAPPNREQSPAPFSSVNYVKPGSLEQAGEQQNKPQLLSPQVLPPAPVEQGNAISGNLKDQSLDKQSSKVVFSTSGGMVPPNSVSPSIATQLDPNGQVSSRNPSVASPAGVSARTPPKKHSVGQKKPFEALGSSPLASSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGTKEDSRVSEASRRVVQEEEERLILQKKPLQKKLGEIMAKCGLKNIGNDVGRCLSLCVEERMRGLISDLIRLSKQRVDAEKPRHQTLITSDVRQQIMTMNRKAREEWEKRQAEAEKLQKVNDPEGENGGEGDKEKDEGRVKSVKANKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREVGMEGASSSQPVNDVNRNPQSPSGRNVKENLEAEKRSPAVPSASGAVRKFGRNQAIVPQTKVVRTITVKDVMAVLEREPQMSRSTLIYQLYERIRSDAAPE >Potri.002G119900.2.v4.1 pep chromosome:Pop_tri_v4:2:9107301:9113837:-1 gene:Potri.002G119900.v4.1 transcript:Potri.002G119900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119900.v4.1 METKQHVPNADNQQQKGDVPQEPTHPPVLQKKFQDDIKQELVEQAPVQTPQSIGAQSSEQNPTPKSEPDKMQISDVDSQFLNFQKIGNQQTAGTDQAGNQKNSKQIPFVLLLPALKPHLDKDREMQLQTLFNKLRKNEIAKDQFVRLMRNIVGDQVLRLAVAQLQSQSGSNQSQLQSQAGRQNNVRMPAGISAAQFPDPHHFVLHPRGSISSEPSRNPPSAVQLQTDSSIVNSQKSKTVEWQPDSLGMQASQLHSSNTSIVNQERERSSISMQGQNKQPQHVHFPPTSFPMYGSSGGNYNQYPGTKVSTSGPSVKPQPHDQQTRQISHHQNMGVTQVGGPPHNMISTPKFERQNSANDPSRVHSGSVSHYTNKSAVQQNSVPWQAPPNREQSPAPFSSVNYVKPGSLEQAGEQQNKPQLLSPQVLPPAPVEQGNAISGNLKDQSLDKQSSKVVFSTSGGMVPPNSVSPSIATQLDPNGQVSSRNPSVASPAGVSARTPPKKHSVGQKKPFEALGSSPLASSKKQKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGTKEDSRVSEASRRVVQEEEERLILQKKPLQKKLGEIMAKCGLKNIGNDVGRCLSLCVEERMRGLISDLIRLSKQRVDAEKPRHQTLITSDVRQQIMTMNRKAREEWEKRQAEAEKLQKVNDPEGENGGEGDKEKDEGRVKSVKANKEEDDKMRTTAANVAARAAVGGDDMLSKWQLMAEQARQKREVGMEGASSSQPVNDVNRNPQSPSGRNVKENLEAEKRSPAVPSASGAVRKFGRNQAIVPQTKVVRTITVKDVMAVLEREPQMSRSTLIYQLYERIRSDAAPE >Potri.018G092100.2.v4.1 pep chromosome:Pop_tri_v4:18:11292337:11298400:1 gene:Potri.018G092100.v4.1 transcript:Potri.018G092100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092100.v4.1 MESSSSSIKVSPLDLMQAIIKGKVDPANVSSESGGSVAEVATLILENREFVMILTTSIAVLIGCVVVLIWRRSSGYQRPKVPVPPKPLIVKDLEPEVDDGKKKVTIFFGTQTGTAEGFAKALAEEAKARYDKATFKTVDLDDYAGDDDEYEEKLKKEDLVIFFLATYGDGEPTDNAARFYKWFTEGNERGEWLKDLPFAVFGLGNRQYEHFNKIAIVVDKIFADQGGKRLAAVGLGDDDQCMEDDFAAWRELLWPEMDQLLLDGDDPTAVSTPYAATVSEYRVVVHDPEDAPLEDDNWSNANGHAVYDAQHPCRANVAVRRELHTPASDRSCTHLELEISGTGLAYGTGDHVGVYCENLSETVEEALQLLGLSPDTYFSIHNDNVDGTPLSGSALPPPFPPSTLKTALARYADLLSLPKKSALMALAAHATDPTEADRLRHLASPAGKDEYAQLLVANQRSLLEVMAEFPSAKPPLGVFFASVAPRLQPRYYSISSSPSMAPSRIHVTCALVLEKTPGGRIHKGVCSTWMKNAVPLEKSHDCSWAPVFVRQSNFKLPEDAKVPIIMIGPGTGLAPFRGFLQERLALKEAGSELGSSVLFFGCRNRKMDFIYEDELNNFVESGALSELVVAFSREGPTKEYVQHKMMQKASDIWNMISQGGYLYVCGDAKGMAKDVHRALHTIVQEQGSFDNSRTESFVKSLQMNGRYLRDVW >Potri.019G108700.2.v4.1 pep chromosome:Pop_tri_v4:19:14042114:14043143:1 gene:Potri.019G108700.v4.1 transcript:Potri.019G108700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108700.v4.1 MEPPRTTSTDSRPNSFTKFRVSSSSSTTSRPSPPRSRQPSNDSEPSLNIDGEPISNLSITNRPGGSVLQPTSSSLVSSKPAREILRAAEEERTVAASTKIQRQSMITEAKPDFEAQLERLKKLSEMEAESNVVVEIAYIVNSLKASSSSKSIPEEIIKKCQEGEMSLRQGLMLAKKAQELLRSALDEGLFSA >Potri.019G108700.1.v4.1 pep chromosome:Pop_tri_v4:19:14042114:14043196:1 gene:Potri.019G108700.v4.1 transcript:Potri.019G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108700.v4.1 MEPPRTTSTDSRPNSFTKFRVSSSSSTTSRPSPPRSRQPSNDSEPSLNIDGEPISNLSITNRPGGSVLQPTSSSLVSSKPAREILRAEEERTVAASTKIQRQSMITEAKPDFEAQLERLKKLSEMEAESNVVVEIAYIVNSLKASSSSKSIPEEIIKKCQEGEMSLRQGLMLAKKAQELLRSALDEGLFSA >Potri.001G385400.3.v4.1 pep chromosome:Pop_tri_v4:1:40410146:40417984:1 gene:Potri.001G385400.v4.1 transcript:Potri.001G385400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385400.v4.1 MAILTCSLFFLLYLRSLLANRLSGSIPREIGSFATLKSLVLEDNLLGGSLHPDLGNLKSLERLLLSANNFSGTIPDTFGNLKNLNDFRIDGSELSGKIPDFIGNWTNITTLDLQGTSMEGPIPSTISLLKKLTTLRISDLKGSNSTFPDLKDMTKMEKLILRNCSLTGSIQEYLGNMADLDTLDLSFNKLTGPIPGPLESLTKNIKFMFLNNNLLTGEVPAWILGSRKDLDLSYNNFTGSADQSCQQLPVNLVASHVSTGSNKISWCLNKDLVCTRKPQYHSLFINCGGSSETVGDNVYEDDTTPGGAADFASISERWGYSSTGTYIGTDDGAYKATNSYGLNVTGEGFYQTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVEANFNIMEEAGGVGIGITKVFDGIIVNGSTLEIHLYWSGKGTTAVPDRGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCALVALVLLVLWKKGYLGGKDLEDKELRALDLQTGYFSLRQIKHATNNFDPANKIGEGGFGPVYKGVLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKIEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNPDARFKAFELLSHDSQTHVSNGSESSQIQKSISMDGPWIDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.001G385400.1.v4.1 pep chromosome:Pop_tri_v4:1:40409016:40418053:1 gene:Potri.001G385400.v4.1 transcript:Potri.001G385400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385400.v4.1 MAFVQSLSKTASVFVFCFVVLNCFVVDKFRSHAQEVTPLLPQDEVQILQTISDKLKNNNWTTIDRTSCSSAQWKLYISDPPKNDRIQSNVTCDCTFENNTVCHVISFKLKGFNLTGVLPVEFRNLTQLREIDLSRNYLNGSIPGSLAELPNLQSLSLLANRLSGSIPREIGSFATLKSLVLEDNLLGGSLHPDLGNLKSLERLLLSANNFSGTIPDTFGNLKNLNDFRIDGSELSGKIPDFIGNWTNITTLDLQGTSMEGPIPSTISLLKKLTTLRISDLKGSNSTFPDLKDMTKMEKLILRNCSLTGSIQEYLGNMADLDTLDLSFNKLTGPIPGPLESLTKNIKFMFLNNNLLTGEVPAWILGSRKDLDLSYNNFTGSADQSCQQLPVNLVASHVSTGSNKISWCLNKDLVCTRKPQYHSLFINCGGSSETVGDNVYEDDTTPGGAADFASISERWGYSSTGTYIGTDDGAYKATNSYGLNVTGEGFYQTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVEANFNIMEEAGGVGIGITKVFDGIIVNGSTLEIHLYWSGKGTTAVPDRGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCALVALVLLVLWKKGYLGGKDLEDKELRALDLQTGYFSLRQIKHATNNFDPANKIGEGGFGPVYKGVLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKIEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNPDARFKAFELLSHDSQTHVSNGSESSQIQKSISMDGPWIDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.001G385400.4.v4.1 pep chromosome:Pop_tri_v4:1:40410146:40417984:1 gene:Potri.001G385400.v4.1 transcript:Potri.001G385400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385400.v4.1 MEGPIPSTISLLKKLTTLRISDLKGSNSTFPDLKDMTKMEKLILRNCSLTGSIQEYLGNMADLDTLDLSFNKLTGPIPGPLESLTKNIKFMFLNNNLLTGEVPAWILGSRKDLDLSYNNFTGSADQSCQQLPVNLVASHVSTGSNKISWCLNKDLVCTRKPQYHSLFINCGGSSETVGDNVYEDDTTPGGAADFASISERWGYSSTGTYIGTDDGAYKATNSYGLNVTGEGFYQTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVEANFNIMEEAGGVGIGITKVFDGIIVNGSTLEIHLYWSGKGTTAVPDRGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCALVALVLLVLWKKGYLGGKDLEDKELRALDLQTGYFSLRQIKHATNNFDPANKIGEGGFGPVYKGVLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKIEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNPDARFKAFELLSHDSQTHVSNGSESSQIQKSISMDGPWIDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.001G378700.2.v4.1 pep chromosome:Pop_tri_v4:1:39943869:39945798:-1 gene:Potri.001G378700.v4.1 transcript:Potri.001G378700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378700.v4.1 MKQKIVMEVSMNSSKHRTKAMKIAAVADGVNSVEIEGTDKVVVTGEVDSVKLAHALRKKFGHVMIVSVKEEKEEKEEKKTEEKDVLYWPNNYFHHYPPPMMYGDVYSPHQPPTCSIL >Potri.005G110400.1.v4.1 pep chromosome:Pop_tri_v4:5:8022817:8023968:1 gene:Potri.005G110400.v4.1 transcript:Potri.005G110400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110400.v4.1 MGCLDRISELCDWPHDSTGLRKREPLETVEIKVKMDCEGCETKVRNSVTGMKGVIQVEVDRKLQKLTVTGYVDPDEVLHRVRYRTGKKAEFWPYVPAEVVPLPYSPGVYDKKAPPGYVRNPLQLEDPQASSIASAGSFEVKTTTAFSDDNPNACVIM >Potri.008G121000.4.v4.1 pep chromosome:Pop_tri_v4:8:7841009:7841853:-1 gene:Potri.008G121000.v4.1 transcript:Potri.008G121000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121000.v4.1 MNHCAIQQNAFSTREEIRNSVSIPISERRDPVVCPKPRRLGLLNDHPARSLRFQLSHQSELCDSIAGTDFLEIILAKGCYGMDNQSFCTQVSSSPPPFFCGSPPSRVANPLIQDARFGNEKFSPFSPVTPIPPQMDLSSSSSSPRKGGLVRSSFGSKPVVRIEGFDCLDRDCRNCSVPALA >Potri.008G121000.3.v4.1 pep chromosome:Pop_tri_v4:8:7840567:7842638:-1 gene:Potri.008G121000.v4.1 transcript:Potri.008G121000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121000.v4.1 MNHCAIQQNAFSTREEIRNSVSIPISERRDPVVCPKPRRLGLLNDHPARSLRFQLSHQSELCDSIAGTDFLEIILAKGCYGMDNQSFCTQVSSSPPPFFCGSPPSRVANPLIQDARFGNEKFSPFSPVTPIPPQMDLSSSSSSPRKGGLVRSSFGSKPVVRIEGFDCLDRDCRNCSVPALA >Potri.017G152601.5.v4.1 pep chromosome:Pop_tri_v4:17:15038959:15046307:-1 gene:Potri.017G152601.v4.1 transcript:Potri.017G152601.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152601.v4.1 MDGLQLVSMPPRNAFRADRPKARRGPQPDVPHSIKPCTRAFLETGAWHQSQNKGSNKATRSNELSDYPASQSSVTCPDFYYSTTKGCNALSSCEACIDSSNVDKSAKKKCKPKTRRRGKQNKRVSSDTGSTEPEVLSEYAQGSSTSKGCSYNDFGDELTCSATSPEVSLRDASSNHIDFEGDTRFSSPEAPAICMSNIDEVAIVETFEALDVSSPDGSSSQNDFEVDSIISTSEALPICTSNIVEVATVEPIIPSIAQNFPGEHQMINLEITLQTKGEGFSLSDIGVQCSSQTSCCNDTQSKDFSYASDSSLVFDYLSIGSNSDDGTNDSHHVKTYHEGSSRGSVLEAPGFNSKKGSLSHKNSLNGAVDTYHQTEGSKHRGQNFSCSDAQLLMSGKKGKQIKTLPRSSASAHKYGGFENLHGRTGKENNHSVWKKVQRNDTADECSPKMKMSHACFLSDLTLKEGPSLKGNCTLSDVNSSSRTEGKKLPKDKVTKKLKRKNSPGSKQEYSSKHEYRCHGRGYSSNKAILNAHAKTGVQQHEIFDLTAQVNDKKGGKSISRTHSLNSCLTAGFHPSRVECMNSESVNSTQVSPDALQPLQSTCDTVSSTRQCHTENGGSLPAKLCNSLEQHAVKVPPVYLPHHFFNKVPQLEKEVTVAEYCKQNHSSGTVMQKWIPIGVKDPELTTSARFGNSSPDPSDGPAGEDLTLRNVQDKANFDSQDLVSSLMLGTCQDSGNAVCFPQEDDRIQKLKNSTLWMDELNKKHVAADALTSESSYQQFSAFEDESIKIIQAVKDTCRVQMESEAIQMAAGGPIAEFERFLHLSSPVINFPSLSCCQTCLDDRLVGASLCRHEIPNIPLGCIWKWYEEHGNYGLEVRAEECENSNSGSFDHFSFHGYFVPFLSAVQLFKNHSSQPINNKNSAPDHEISDTYKASESSENSNVGRLPIFSLLIPQPRTTAVAQSVNLTCSDGAELLFEYFESEQPQQRRPLYEKIQELARGDASSRYKMYGDPTNLASLNLHDLHPRSWYSVAWYPIYRIPDGHFRAAFLTYHSLGHLVHKSAEVDYASKDACIVSPVVGLQSYNAQGECWFQLRHSVNQAAGTPISNPSVILKERLRTLGETASLIARAVVNKGNQTSINRHPDYEFFLSRGRYSLP >Potri.017G152601.1.v4.1 pep chromosome:Pop_tri_v4:17:15038942:15046763:-1 gene:Potri.017G152601.v4.1 transcript:Potri.017G152601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152601.v4.1 MDGLQLVSMPPRNAFRADRPKARRGPQPDVPHSIKPCTRAFLETGAWHQSQNKGSNKATRSNELSDYPASQSSVTCPDFYYSTTKGCNALSSCEACIDSSNVDKSAKKKCKPKTRRRGKQNKRVSSDTGSTEPEVLSEYAQGSSTSKGCSYNDFGDELTCSATSPEVSLRDASSNHIDFEGDTRFSSPEAPAICMSNIDEVAIVETFEALDVSSPDGSSSQNDFEVDSIISTSEALPICTSNIVEVATVEPIIPSIAQNFPGEHQMINLEITLQTKGEGFSLSDIGVQCSSQTSCCNDTQSKDFSYASDSSLVFDYLSIGSNSDDGTNDSHHVKTYHEGSSRGSVLEAPGFNSKKGSLSHKNSLNGAVDTYHQTEGSKHRGQNFSCSDAQLLMSGKKGKQIKTLPRSSASAHKYGGFENLHGRTGKENNHSVWKKVQRNDTADECSPKMKMSHACFLSDLTLKEGPSLKGNCTLSDVNSSSRTEGKKLPKDKVTKKLKRKNSPGSKQEYSSKHEYRCHGRGYSSNKAILNAHAKTGVQQHEIFDLTAQVNDKKGGKSISRTHSLNSCLTAGFHPSRVECMNSESVNSTQVSPDALQPLQSTCDTVSSTRQCHTENGGSLPAKLCNSLEQHAVKVPPVYLPHHFFNKVPQLEKEVTVAEYCKQNHSSGTVMQKWIPIGVKDPELTTSARFGNSSPDPSDGPAGEDLTLRNVQDKANFDSQDLVSSLMLGTCQDSGNAVCFPQEDDRIQKLKNSTLWMDELNKKHVAADALTSESSYQQFSAFEDESIKIIQAVKDTCRVQMESEAIQMAAGGPIAEFERFLHLSSPVINFPSLSCCQTCLDDRLVGASLCRHEIPNIPLGCIWKWYEEHGNYGLEVRAEECENSNSGSFDHFSFHGYFVPFLSAVQLFKNHSSQPINNKNSAPDHEISDTYKASESSENSNVGRLPIFSLLIPQPRTTAVAQSVNLTCSDGAELLFEYFESEQPQQRRPLYEKIQELARGDASSRYKMYGDPTNLASLNLHDLHPRSWYSVAWYPIYRIPDGHFRAAFLTYHSLGHLVHKSAEVDYASKDACIVSPVVGLQSYNAQGECWFQLRHSVNQAAGTPISNPSVILKERLRTLGETASLIARAVVNKGNQTSINRHPDYEFFLSRGRYSLP >Potri.017G152601.4.v4.1 pep chromosome:Pop_tri_v4:17:15038958:15046381:-1 gene:Potri.017G152601.v4.1 transcript:Potri.017G152601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152601.v4.1 MDGLQLVSMPPRNAFRADRPKARRGPQPDVPHSIKPCTRAFLETGAWHQSQNKGSNKATRSNELSDYPASQSSVTCPDFYYSTTKGCNALSSCEACIDSSNVDKSAKKKCKPKTRRRGKQNKRVSSDTGSTEPEVLSEYAQGSSTSKGCSYNDFGDELTCSATSPEVSLRDASSNHIDFEGDTRFSSPEAPAICMSNIDEVAIVETFEALDVSSPDGSSSQNDFEVDSIISTSEALPICTSNIVEVATVEPIIPSIAQNFPGEHQMINLEITLQTKGEGFSLSDIGVQCSSQTSCCNDTQSKDFSYASDSSLVFDYLSIGSNSDDGTNDSHHVKTYHEGSSRGSVLEAPGFNSKKGSLSHKNSLNGAVDTYHQTEGSKHRGQNFSCSDAQLLMSGKKGKQIKTLPRSSASAHKYGGFENLHGRTGKENNHSVWKKVQRNDTADECSPKMKMSHACFLSDLTLKEGPSLKGNCTLSDVNSSSRTEGKKLPKDKVTKKLKRKNSPGSKQEYSSKHEYRCHGRGYSSNKAILNAHAKTGVQQHEIFDLTAQVNDKKGGKSISRTHSLNSCLTAGFHPSRVECMNSESVNSTQVSPDALQPLQSTCDTVSSTRQCHTENGGSLPAKLCNSLEQHAVKVPPVYLPHHFFNKVPQLEKEVTVAEYCKQNHSSGTVMQKWIPIGVKDPELTTSARFGNSSPDPSDGPAGEDLTLRNVQDKANFDSQDLVSSLMLGTCQDSGNAVCFPQEDDRIQKLKNSTLWMDELNKKHVAADALTSESSYQQFSAFEDESIKIIQAVKDTCRVQMESEAIQMAAGGPIAEFERFLHLSSPVINFPSLSCCQTCLDDRLVGASLCRHEIPNIPLGCIWKWYEEHGNYGLEVRAEECENSNSGSFDHFSFHGYFVPFLSAVQLFKNHSSQPINNKNSAPDHEISDTYKASESSENSNVGRLPIFSLLIPQPRTTAVAQSVNLTCSDGAELLFEYFESEQPQQRRPLYEKIQELARGDASSRYKMYGDPTNLASLNLHDLHPRSWYSVAWYPIYRIPDGHFRAAFLTYHSLGHLVHKSAEVDYASKDACIVSPVVGLQSYNAQGECWFQLRHSVNQAAGTPISNPSVILKERLRTLGETASLIARAVVNKGNQTSINRHPDYEFFLSRGRYSLP >Potri.017G152601.2.v4.1 pep chromosome:Pop_tri_v4:17:15038959:15046959:-1 gene:Potri.017G152601.v4.1 transcript:Potri.017G152601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152601.v4.1 MDGLQLVSMPPRNAFRADRPKARRGPQPDVPHSIKPCTRAFLETGAWHQSQNKGSNKATRSNELSDYPASQSSVTCPDFYYSTTKGCNALSSCEACIDSSNVDKSAKKKCKPKTRRRGKQNKRVSSDTGSTEPEVLSEYAQGSSTSKGCSYNDFGDELTCSATSPEVSLRDASSNHIDFEGDTRFSSPEAPAICMSNIDEVAIVETFEALDVSSPDGSSSQNDFEVDSIISTSEALPICTSNIVEVATVEPIIPSIAQNFPGEHQMINLEITLQTKGEGFSLSDIGVQCSSQTSCCNDTQSKDFSYASDSSLVFDYLSIGSNSDDGTNDSHHVKTYHEGSSRGSVLEAPGFNSKKGSLSHKNSLNGAVDTYHQTEGSKHRGQNFSCSDAQLLMSGKKGKQIKTLPRSSASAHKYGGFENLHGRTGKENNHSVWKKVQRNDTADECSPKMKMSHACFLSDLTLKEGPSLKGNCTLSDVNSSSRTEGKKLPKDKVTKKLKRKNSPGSKQEYSSKHEYRCHGRGYSSNKAILNAHAKTGVQQHEIFDLTAQVNDKKGGKSISRTHSLNSCLTAGFHPSRVECMNSESVNSTQVSPDALQPLQSTCDTVSSTRQCHTENGGSLPAKLCNSLEQHAVKVPPVYLPHHFFNKVPQLEKEVTVAEYCKQNHSSGTVMQKWIPIGVKDPELTTSARFGNSSPDPSDGPAGEDLTLRNVQDKANFDSQDLVSSLMLGTCQDSGNAVCFPQEDDRIQKLKNSTLWMDELNKKHVAADALTSESSYQQFSAFEDESIKIIQAVKDTCRVQMESEAIQMAAGGPIAEFERFLHLSSPVINFPSLSCCQTCLDDRLVGASLCRHEIPNIPLGCIWKWYEEHGNYGLEVRAEECENSNSGSFDHFSFHGYFVPFLSAVQLFKNHSSQPINNKNSAPDHEISDTYKASESSENSNVGRLPIFSLLIPQPRTTAVAQSVNLTCSDGAELLFEYFESEQPQQRRPLYEKIQELARGDASSRYKMYGDPTNLASLNLHDLHPRSWYSVAWYPIYRIPDGHFRAAFLTYHSLGHLVHKSAEVDYASKDACIVSPVVGLQSYNAQGECWFQLRHSVNQAAGTPISNPSVILKERLRTLGETASLIARAVVNKGNQTSINRHPDYEFFLSRGRYSLP >Potri.017G152601.3.v4.1 pep chromosome:Pop_tri_v4:17:15038944:15046875:-1 gene:Potri.017G152601.v4.1 transcript:Potri.017G152601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152601.v4.1 MDGLQLVSMPPRNAFRADRPKARRGPQPDVPHSIKPCTRAFLETGAWHQSQNKGSNKATRSNELSDYPASQSSVTCPDFYYSTTKGCNALSSCEACIDSSNVDKSAKKKCKPKTRRRGKQNKRVSSDTGSTEPEVLSEYAQGSSTSKGCSYNDFGDELTCSATSPEVSLRDASSNHIDFEGDTRFSSPEAPAICMSNIDEVAIVETFEALDVSSPDGSSSQNDFEVDSIISTSEALPICTSNIVEVATVEPIIPSIAQNFPGEHQMINLEITLQTKGEGFSLSDIGVQCSSQTSCCNDTQSKDFSYASDSSLVFDYLSIGSNSDDGTNDSHHVKTYHEGSSRGSVLEAPGFNSKKGSLSHKNSLNGAVDTYHQTEGSKHRGQNFSCSDAQLLMSGKKGKQIKTLPRSSASAHKYGGFENLHGRTGKENNHSVWKKVQRNDTADECSPKMKMSHACFLSDLTLKEGPSLKGNCTLSDVNSSSRTEGKKLPKDKVTKKLKRKNSPGSKQEYSSKHEYRCHGRGYSSNKAILNAHAKTGVQQHEIFDLTAQVNDKKGGKSISRTHSLNSCLTAGFHPSRVECMNSESVNSTQVSPDALQPLQSTCDTVSSTRQCHTENGGSLPAKLCNSLEQHAVKVPPVYLPHHFFNKVPQLEKEVTVAEYCKQNHSSGTVMQKWIPIGVKDPELTTSARFGNSSPDPSDGPAGEDLTLRNVQDKANFDSQDLVSSLMLGTCQDSGNAVCFPQEDDRIQKLKNSTLWMDELNKKHVAADALTSESSYQQFSAFEDESIKIIQAVKDTCRVQMESEAIQMAAGGPIAEFERFLHLSSPVINFPSLSCCQTCLDDRLVGASLCRHEIPNIPLGCIWKWYEEHGNYGLEVRAEECENSNSGSFDHFSFHGYFVPFLSAVQLFKNHSSQPINNKNSAPDHEISDTYKASESSENSNVGRLPIFSLLIPQPRTTAVAQSVNLTCSDGAELLFEYFESEQPQQRRPLYEKIQELARGDASSRYKMYGDPTNLASLNLHDLHPRSWYSVAWYPIYRIPDGHFRAAFLTYHSLGHLVHKSAEVDYASKDACIVSPVVGLQSYNAQGECWFQLRHSVNQAAGTPISNPSVILKERLRTLGETASLIARAVVNKGNQTSINRHPDYEFFLSRGRYSLP >Potri.017G152601.6.v4.1 pep chromosome:Pop_tri_v4:17:15038950:15044224:-1 gene:Potri.017G152601.v4.1 transcript:Potri.017G152601.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152601.v4.1 MDGLQLVSMPPRNAFRADRPKARRGPQPDVPHSIKPCTRAFLETGAWHQSQNKGSNKATRSNELSDYPASQSSVTCPDFYYSTTKGCNALSSCEACIDSSNVDKSAKKKCKPKTRRRGKQNKRVSSDTGSTEPEVLSEYAQGSSTSKGCSYNDFGDELTCSATSPEVSLRDASSNHIDFEGDTRFSSPEAPAICMSNIDEVAIVETFEALDVSSPDGSSSQNDFEVDSIISTSEALPICTSNIVEVATVEPIIPSIAQNFPGEHQMINLEITLQTKGEGFSLSDIGVQCSSQTSCCNDTQSKDFSYASDSSLVFDYLSIGSNSDDGTNDSHHVKTYHEGSSRGSVLEAPGFNSKKGSLSHKNSLNGAVDTYHQTEGSKHRGQNFSCSDAQLLMSGKKGKQIKTLPRSSASAHKYGGFENLHGRTGKENNHSVWKKVQRNDTADECSPKMKMSHACFLSDLTLKEGPSLKGNCTLSDVNSSSRTEGKKLPKDKVTKKLKRKNSPGSKQEYSSKHEYRCHGRGYSSNKAILNAHAKTGVQQHEIFDLTAQVNDKKGGKSISRTHSLNSCLTAGFHPSRVECMNSESVNSTQVSPDALQPLQSTCDTVSSTRQCHTENGGSLPAKLCNSLEQHAVKVPPVYLPHHFFNKVPQLEKEVTVAEYCKQNHSSGTVMQKWIPIGVKDPELTTSARFGNSSPDPSDGPAGEDLTLRNVQDKANFDSQDLVSSLMLGTCQDSGNAVCFPQEDDRIQKLKNSTLWMDELNKKHVAADALTSESSYQQFSAFEDESIKIIQAVKDTCRVQMESEAIQMAAGGPIAEFERFLHLSSPVINFPSLSCCQTCLDDRLVGASLCRHEIPNIPLGCIWKWYEEHGNYGLEVRAEECENSNSGSFDHFSFHGYFVPFLSAVQLFKNHSSQPINNKNSAPDHEISDTYKASESSENSNVGRLPIFSLLIPQPRTTAVAQSVNLTCSDGAELLFEYFESEQPQQRRPLYEKIQELARGDASSRYKMYGDPTNLASLNLHDLHPRSWYSVAWYPIYRIPDGHFRAAFLTYHSLGHLVHKSAEVDYASKDACIVSPVVGLQSYNAQGECWFQLRHSVNQAAGTPISNPSVILKERLRTLGETASLIARAVVNKGNQTSINRHPDYEFFLSRGRYSLP >Potri.001G386000.1.v4.1 pep chromosome:Pop_tri_v4:1:40517606:40518390:1 gene:Potri.001G386000.v4.1 transcript:Potri.001G386000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386000.v4.1 MAPEYAMRGYLSDKADVYSFGIVALEIVSGKSNTSHRTKEDTVYLLDWALVLKEKGTLLELVDPKLGQDYNKEEAITMINVALLCSNVSAAVRPAMSSVVSMLEGKAVVQDIGIPDKSMSTDEKKIEQMRRHFQVINEQEISETRTLSMDGPWTAASTSAGDLYPVSLVSDYLKGRD >Potri.007G039700.3.v4.1 pep chromosome:Pop_tri_v4:7:3251234:3255268:1 gene:Potri.007G039700.v4.1 transcript:Potri.007G039700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039700.v4.1 MAKWWRSLRTAIQSPQQPLSPPQPFQSSRYHTIQAIPREVVGSRVSAREREQGRIPAVVFSQSLLDINPSNRYTSRKRLLTTEKKQILAILKSVEIPFFCSTTFPLQIRAGTGSSVLLESGTVLPIKIHRDEKTGKILNLVFAWADEGTEMKVDVPVVFKGEENCPGLKKGGHLKMMRSTLKYLCPAEHIPQKIEVDISNLDIEDGLFMRDIEVHSSLKLLSKNENMPVCKIVATNLDTPESAALNLESSEPAEKQPVECL >Potri.001G442500.2.v4.1 pep chromosome:Pop_tri_v4:1:46719565:46727350:-1 gene:Potri.001G442500.v4.1 transcript:Potri.001G442500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442500.v4.1 MNIFKKKTSPKEALRTSKREMTVATRGIEREIASLQLEEKKLVAEIKQTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQMQSAKMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAPNTVSSPEPPNVDDLEKRLASLRRV >Potri.018G121750.1.v4.1 pep chromosome:Pop_tri_v4:18:13433121:13433693:1 gene:Potri.018G121750.v4.1 transcript:Potri.018G121750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121750.v4.1 MARSWNLEEGCFLDQYGEQPLVTARFNFYPPCPRPDRILGVKPHADASAVTFLLQDKEVEGLQFLKATSGLEFPSFHMLICKVNR >Potri.001G050600.1.v4.1 pep chromosome:Pop_tri_v4:1:3831801:3834356:-1 gene:Potri.001G050600.v4.1 transcript:Potri.001G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050600.v4.1 MTRQRKESEAPYHHVIHKLPPPGDSPYVRAKHAQLVEKDPEAAIALFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAVKAFRDRCSKQAQESLDNVLIDLYKKCGKIEEQIDLLKQKLKMIHQGEAFNRKATKTARSHGRKFQVTVKQETSRILGNLGWAYMQRGNYMAAEAVYHKAQSFDPDANKACNLCLCLIKQTRYAEAKSVIDDILQGKLLGSDEPKSRNRAQELLRELETCQSSSMFSEPSGLSLEDAFVEGLDQLMSQWTPYRSRRLPIFEEISPFMDQLAC >Potri.001G050600.2.v4.1 pep chromosome:Pop_tri_v4:1:3831761:3834293:-1 gene:Potri.001G050600.v4.1 transcript:Potri.001G050600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050600.v4.1 MTRQRKESEAPYHHLVEKDPEAAIALFWKAINAGDRVDSALKDMAVVMKQQDRAEEAIEAVKAFRDRCSKQAQESLDNVLIDLYKKCGKIEEQIDLLKQKLKMIHQGEAFNRKATKTARSHGRKFQVTVKQETSRILGNLGWAYMQRGNYMAAEAVYHKAQSFDPDANKACNLCLCLIKQTRYAEAKSVIDDILQGKLLGSDEPKSRNRAQELLRELETCQSSSMFSEPSGLSLEDAFVEGLDQLMSQWTPYRSRRLPIFEEISPFMDQLAC >Potri.019G048300.1.v4.1 pep chromosome:Pop_tri_v4:19:7698342:7703533:-1 gene:Potri.019G048300.v4.1 transcript:Potri.019G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048300.v4.1 MERKALVLCSVVGLLGLLSVATGFGAEATRIKGSEVQFTSATQCTYPRSPALGLGLTAAVALTIAQVIINVATGCVCCKRSQHSSNSNWTTAFVCFVISWFTFVIAFLLLLTGAALNNQHGEETMYFGNYYCYVVKPGVFAGGAVLAFASVALGILCYLTLNSAKDSNDPWPNPPLSNQSGIAMGQPQFAPHTQDPVFVHEDTYIRRQFT >Potri.011G064900.1.v4.1 pep chromosome:Pop_tri_v4:11:5534408:5535848:-1 gene:Potri.011G064900.v4.1 transcript:Potri.011G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064900.v4.1 MAFIPENKLIFAVVLLLGLWTSHVWSRSLYEASMMERHETWMAQYGRAYKGHVEKERRLNIFKNNVEFIESFNKVGKKPYKLSVNEFADLTNEEFQASRNGYKMSAHLSSSSTKPFRYENVSAVPSTMDWRKKGAVTPIKDQGQCGCCWAFSAVAATEGITQLSTGKLISLSEQELVDCDTSGEDQGCNGGLMDDAFDFIIQNKGLTTEANYPYQGADGACNSGKAAAKITGYEDVPANSEAALLKAVANQPVSVAIDAGGSAFQFYSSGVFTGDCGTDLDHGVTAVGYGMSDDGTKYWLVKNSWGTSWGENGYIRMERDIDAQEGLCGIAMEASYPTA >Potri.017G134200.1.v4.1 pep chromosome:Pop_tri_v4:17:13629522:13631796:-1 gene:Potri.017G134200.v4.1 transcript:Potri.017G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134200.v4.1 MVNMQTPRSPLQRPAHGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINEFYLENCPKIFPQDSSPFSSVANLVNTLRGPKYDGNFLHSIVKEKLGDTRLHQTLTNIVIPTFDIKRLQPTIFSSYKVKNNPLTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVREFNLIDGGVAANNPTLVAISEVSKAINRESPDFFRINAMEYGRFLVLSLGTGTAKSEGKYDADEAAKWGILGWLTSDHSTPLVDVFTQASGDMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKQVSRVNLATGVFEPINKMTNEEALRKMAKLLSKEKHLRAAKSAVGNNIGRYSCT >Potri.017G134200.2.v4.1 pep chromosome:Pop_tri_v4:17:13629522:13631798:-1 gene:Potri.017G134200.v4.1 transcript:Potri.017G134200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134200.v4.1 MLAAPNKQNRPLFAAKDINEFYLENCPKIFPQDSSPFSSVANLVNTLRGPKYDGNFLHSIVKEKLGDTRLHQTLTNIVIPTFDIKRLQPTIFSSYKVKNNPLTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVREFNLIDGGVAANNPTLVAISEVSKAINRESPDFFRINAMEYGRFLVLSLGTGTAKSEGKYDADEAAKWGILGWLTSDHSTPLVDVFTQASGDMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKQVSRVNLATGVFEPINKMTNEEALRKMAKLLSKEKHLRAAKSAVGNNIGRYSCT >Potri.009G170300.2.v4.1 pep chromosome:Pop_tri_v4:9:12937286:12939481:-1 gene:Potri.009G170300.v4.1 transcript:Potri.009G170300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170300.v4.1 MPIWKWQALKNGNSSILWFFRDRGFDDNAVHEMFRKCKCLQGTQGDRASGNWAYLKTIGIQERKLPSIISKCPKVLTLGLNEKLIPMVECLATLGSKPREIASAITRFPHILSHSVEEKLCPLLAFFQALGVPEKQLGKILLLNPRLISYSIDSKLTQIVDFLAALGLTKDGMIGKVLVKHPFIMGYSVDKRLRPTSEFLKSVGLTELDLQTVVMNFPEVLCRDVNKILKPNFAYLRRCGFNDRQIAALVTGYPPILIKSIRNSLEPRIKFLVEVMGRQIDEVVDYPNFFQHGLKKTLESRHKLLKQRKLDCSLSEMLGCNQKKFLMKYALLKDPPEIFVRSFMTLGDLYLYTELNSCEDAINGNSSFVIPFVLKFTEMGHKFVNDLIDPALSMLISSGTLAKRCLQKDMDVMYHNFIVFLTIVFEMATIELTNKKSWKSCT >Potri.003G207400.2.v4.1 pep chromosome:Pop_tri_v4:3:20652431:20656088:1 gene:Potri.003G207400.v4.1 transcript:Potri.003G207400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207400.v4.1 MEQEEQADYTTLRLGSPTGPDQVAQPPSFVTLSPFPPISTPSSRRRLSSQFTPSRAVPLARRLARVSLQGRLVDAEEASSAKAIGLSGEAGVAWELFSPVQRFLIVAVIGVAVSESKKNRIINQLKKSVELRDQVLSSMQQKLDDLCDQLSSINNQAGTKGNASFNNKKNLEPPCNDVFGCDKIKFVDCGCWHCDQHHDLLAGLMGNSVVKVSKGDEVLQYKMPFINEVEQEERRMSDLSDWASSVTSAAEMQMNTYAIDQDMFNLKRECEEKDATIKELNSILQTNNMADSKRIAELEDIIRRKNTMITRLRKDLMVLEQKVVHLTRLRRPSSSLCVSDSLELPLMVDNIIYDMDSTTSPSSSDSDSSPANQPRAPAAQIQETPVQSSELGLTKSQKSAPAKASSSVVELHKKSRSESPLKEISTNQKSIGLPSSRPKQLSAEIRKVRRRTQSAIKDASSKKRWF >Potri.002G229700.2.v4.1 pep chromosome:Pop_tri_v4:2:22004568:22004882:1 gene:Potri.002G229700.v4.1 transcript:Potri.002G229700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229700.v4.1 MGTPGLAGRSIAPSGESMPQLSKYLAGCAAKLTGKCGAEIFLSFSGNNNNPSDSCCQKLVATGIDCHNAFTEFLEAKEPQENPSKISLRSLDIWNHCVAVAAKP >Potri.017G108500.1.v4.1 pep chromosome:Pop_tri_v4:17:11700182:11701211:-1 gene:Potri.017G108500.v4.1 transcript:Potri.017G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108500.v4.1 MADNTNKMSFQAGEAKGQAEEKASTLVNKAGNAVQTAKESVVGEKTSPTMMDKAGTAAQYAKESVQGAGQQVMSTAQGAVEGIKKATGMNK >Potri.007G136266.1.v4.1 pep chromosome:Pop_tri_v4:7:14813321:14813870:-1 gene:Potri.007G136266.v4.1 transcript:Potri.007G136266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G136266.v4.1 MGVDYYKILQVEKPHPVFTRDGNDLIVTQKIPLAEALTGYTVHLTTLDGRNLTIPINTVIDPNYEEVVPREGMPIQKDPTKRGNLRIKFNIKFPTRLTAEQKAGIKKLLG >Potri.004G146600.4.v4.1 pep chromosome:Pop_tri_v4:4:16896472:16907317:1 gene:Potri.004G146600.v4.1 transcript:Potri.004G146600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146600.v4.1 MKAMETVHDLIEEAKLRTVWWCLCIFSATYFLSHTSSSMWMNLPISILIVSALRILSNEVEFSWKARRSVHRQSYLSHLEKKQLSKNDSRLSSVPPAPKWKRKIDSPVVEAAIGGFIDKILKDFVVDLWYSEITPDREAPELIRSVIMDALGEVSGRAKEINLIDLLTRDLVDLIGDHLDLFRRNQAAIGADVMATLSTEERDERLKHHLMASKELHPALISLESEYKVLQRLIGGVLAIVLRPREAQCPLVRTIAREIVTCLVMQPLMNLASPVYINEVLELILLSIKDDSPKDTVGDQPAESVHNADSTLRKDPSVNSQRTGIVDNKRDYQGTDTTLSKIDDCGEMYLDYDSQQDHMQPHPAEWARMLEVATQRRTEILTPENLENMWTKGRNYKMKEKKKVKAGVQQSMAKSLVTSIATDSNLGKDMLMNTNVIFKEMDEKAIGRLTPRLSLDTLTSHENKDGRQSTQDGSQELSFEGAHVGGELENAGNLSLNEKRGGLKRSNSTSALEALPDKNNAFTGDGGGSIISEFYSPDFHRSPDHAVKVSNMVVSSEGRHSPKLKCRVMGAYFEKLGSKSFAVYSIAVTDAENRTWFVRRRYRNFERLHKHLKEIPNYTLHLPPKRIFSSSTEDAFVQQRCIQLDKYIQDLMSIANVAEQHEVWDFLSVSSKNYSFAKSSSVMRTLAVNVDDAVDDIVRQFKDVSDGFMRKVVGSTSPLDETNSSIYNRNLSWHLDDVNKHVSRQDTLETANSYSETEECHNQGSYDQKGVGSTAEASGCHSVNELNANGFPPLVFKHDEESRALGLEKKPVLEEKSERINHGVFSVANSAIASSHMDDPVGMPPEWTPSNVSVPLLNLVDKVFQLKRRGWLRRQVFWISKQILQLIMEDAIDDWLLRQIYWLRREDTIAFGIQWVQDILWPNGMFFTRARVAQSKVDDDQLNLIPFQISQLSGCKVSNKGSFEEQLEAACRASDIKNMLFDGAPATLVSLIGNKQYKRCARDIFYFTQSTICVKQLAYGILELLVISVFPELRDVLLGLNEKMRAPPA >Potri.004G146600.5.v4.1 pep chromosome:Pop_tri_v4:4:16896476:16902959:1 gene:Potri.004G146600.v4.1 transcript:Potri.004G146600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146600.v4.1 MKAMETVHDLIEEAKLRTVWWCLCIFSATYFLSHTSSSMWMNLPISILIVSALRILSNEVEFSWKARRSVHRQSYLSHLEKKQLSKNDSRLSSVPPAPKWKRKIDSPVVEAAIGGFIDKILKDFVVDLWYSEITPDREAPELIRSVIMDALGEVSGRAKEINLIDLLTRDLVDLIGDHLDLFRRNQAAIGADVMATLSTEERDERLKHHLMASKELHPALISLESEYKVLQRLIGGVLAIVLRPREAQCPLVRTIAREIVTCLVMQPLMNLASPVYINEVLELILLSIKDDSPKDTVGDQPAESVHNADSTLRKDPSVNSQRTGIVDNKRDYQGTDTTLSKIDDCGEMYLDYDSQQDHMQPHPAEWARMLEVATQRRTEILTPENLENMWTKGRNYKMKEKKKVKAGVQQSMAKSLVTSIATDSNLGKDMLMNTNVIFKEMDEKAIGRLTPRLSLDTLTSHENKDGRQSTQDGSQELSFEGAHVGGELENAGNLSLNEKRGGLKRSNSTSALEALPDKNNAFTGDGGGSIISEFYSPDFHRSPDHAVKVSNMVVSSEGRHSPKLKCRVRFLF >Potri.002G263300.3.v4.1 pep chromosome:Pop_tri_v4:2:25055857:25057610:1 gene:Potri.002G263300.v4.1 transcript:Potri.002G263300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G263300.v4.1 MNWVQRKIYLYNVTFGLFMLDWWERCLFNILVIVLMWFIFYNGSRYVTDFCKRHLW >Potri.017G004400.2.v4.1 pep chromosome:Pop_tri_v4:17:291014:296363:1 gene:Potri.017G004400.v4.1 transcript:Potri.017G004400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G004400.v4.1 MAVGGAKLALLLIHILGVAGNGYSSLQENNYGSDDHEHRKKLISSLKSGFLIGYVFSSVSILTIFMFCCVPWARLLKRKGNGVMMKTPMTTSLMERQEKKRKEANVQNSELEKLVTRISFAALNIATRSFDQDNVIGVGKTGTMYRAARPYDCFTAVKRLHDSQHLGKQFRSELIILAKFRHMNIIPLLGFCIESGERLLVYKYMPNGNLHDWLHPVKCKAEKLDWHVRVKIAIGVARGLAWLHDFNNFLIVHLGICSRSILLDKYFEPKISNFGGATHRNSNDKGLIASRKIGEMELIKQDVYQFGILLLELIAVHDPDHNSKSSHTLEDNLFERIAHLSSSSSGLYHAVDKSLLGQGFDGEILYFLKIASSCIHPILDQRPTMLQAFQMLMVLRKRERFIQNPKLLDQLTSRC >Potri.003G199301.2.v4.1 pep chromosome:Pop_tri_v4:3:20036595:20041805:1 gene:Potri.003G199301.v4.1 transcript:Potri.003G199301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G199301.v4.1 MPDVQALVNDFLIKLKKRKIEGSQATARQAAELLRSVISQQRVPYTNQAGALIDAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLTTAAISGLNLSATSDGDDDDDGDGERDEHTVLSAAAAARNALRPPSLQTLLEDMPESVAIPHTYSSGGDSEGKSKSADKSSRTRRLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSKTVLEFLYAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLHTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIVPVGLNMVALAAQKHAVPFVVLAGSHKVI >Potri.003G199301.1.v4.1 pep chromosome:Pop_tri_v4:3:20036510:20041821:1 gene:Potri.003G199301.v4.1 transcript:Potri.003G199301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G199301.v4.1 MPDVQALVNDFLIKLKKRKIEGSQATARQAAELLRSVISQQRVPYTNQAGALIDAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLTTAAISGLNLSATSDGDDDDDGDGERDEHTVLSAAAAARNALRPPSLQTLLEDMPESVAIPHTYSSGGDSEGKSKSADKSSRTRRLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSKTVLEFLYAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLHTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIVPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGSGTGSPLLHVVNPAFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVRRRVALGN >Potri.001G325700.1.v4.1 pep chromosome:Pop_tri_v4:1:33464673:33471907:1 gene:Potri.001G325700.v4.1 transcript:Potri.001G325700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325700.v4.1 MGSSFNPQIFVEKLAKLNSTQASIETLSHWCIFHMNKAKQVVETWARQFHSSPRDRRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDMIENGDEFGRNNAQRLIGIWEERKVFGSRGQILKEELVGKQVENNNRNGKHIGTKMKQPTGSTIDKIVASYHVVYGGQMDEDAVFSNCRNAISSLEKVDKEIGGDFNSGQFHGAAFVEELQGQHAILRGSIEQLTAIESSRASLVSYLREALQEQESKLEQVRHQLQAAHSHSEHASRITQQLLHSNNVPLVAEQSPKEANTSMVPPSFVAGDREQSAPSMYTQQVSFPENTSHVEEDPRKSAAAAVAEKLTASTSSAQMLSYVLSSLASEGVIGNSKKESSSDYPAEKRAKLDKDQPYIPTQNPSHLQTPTFQHPESFQQNVASSTQQSTPNNPPPPPSSPPPVPPPLPPMQPYSMPQFMQTAGSINGVQYSYAMSQLQPPSLAGYPSAGAPMTGMAPFTMPPANTYPSYQGSDGNLYTQPSSMPMAPISRQ >Potri.001G325700.3.v4.1 pep chromosome:Pop_tri_v4:1:33464624:33471721:1 gene:Potri.001G325700.v4.1 transcript:Potri.001G325700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325700.v4.1 MLSFFQNNIACRNLHMCMNFLASTSAYIAKFKYFLLLHFCCLYTQIGIWEERKVFGSRGQILKEELVGKQVENNNRNGKHIGTKMKQPTGSTIDKIVASYHVVYGGQMDEDAVFSNCRNAISSLEKVDKEIGGDFNSGQFHGAAFVEELQGQHAILRGSIEQLTAIESSRASLVSYLREALQEQESKLEQVRHQLQAAHSHSEHASRITQQLLHSNNVPLVAEQSPKEANTSMVPPSFVAGDREQSAPSMYTQQVSFPENTSHVEEDPRKSAAAAVAEKLTASTSSAQMLSYVLSSLASEGVIGNSKKESSSDYPAEKRAKLDKDQPYIPTQNPSHLQTPTFQHPESFQQNVASSTQQSTPNNPPPPPSSPPPVPPPLPPMQPYSMPQFMQTAGSINGVQYSYAMSQLQPPSLAGYPSAGAPMTGMAPFTMPPANTYPSYQGSDGNLYTQPSSMPMAPISRQ >Potri.001G325700.2.v4.1 pep chromosome:Pop_tri_v4:1:33464571:33471718:1 gene:Potri.001G325700.v4.1 transcript:Potri.001G325700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325700.v4.1 MGSSFNPQIFVEKLAKLNSTQASIETLSHWCIFHMNKAKQVVETWARQFHSSPRDRRLAFLYLANDILQNSRRKGSEFVGEFWKVLPDALRDMIENGDEFGRNNAQRLIGIWEERKVFGSRGQILKEELVGKQVENNNRNGKHIGTKMKQPTGSTIDKIVASYHVVYGGQMDEDAVFSNCRNAISSLEKVDKEIGGDFNSGQFHGAAFVEELQGQHAILRGSIEQLTAIESSRASLVSYLREALQEQESKLEQVRHQLQAAHSHSEHASRITQQLLHSNNVPLVAEQSPKEANTSMVPPSFVAGDREQSAPSMYTQQVSFPENTSHVEEDPRKSAAAAVAEKLTASTSSAQMLSYVLSSLASEGVIGNSKKESSSDYPAEKRAKLDKDQPYIPTQNPSHLQTPTFQHPESFQQNVASSTQQSTPNNPPPPPSSPPPVPPPLPPMQPYSMPQFMQTAGSINGVQYSYAMSQLQPPSLAGYPSAGAPMTGMAPFTMPPANTYPSYQGSDGNLYTQPSSMPMAPISRQ >Potri.001G246500.1.v4.1 pep chromosome:Pop_tri_v4:1:26345651:26347926:-1 gene:Potri.001G246500.v4.1 transcript:Potri.001G246500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246500.v4.1 MAANNVGLPAGVSKEQAYGMAATEMEYRVELFNRLLNTCFNKCIDKRHKDAELNMGENSCVDRCVSKYWAVNGIIGQMLSAGQRPM >Potri.002G254300.7.v4.1 pep chromosome:Pop_tri_v4:2:24315760:24324317:-1 gene:Potri.002G254300.v4.1 transcript:Potri.002G254300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254300.v4.1 MEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFAKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALMGASKCSFVSGLFPPLAEESSKQSKFSSIGSRFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIFENKNALQQLRCGGVMEAIRISCAGFPTRKTFDEFVDRFGLLAPEVLDGSSDEVTACKRLLEKVGLTGYQIGKTKVFLRAGQMAELDARRSEVLGRSASIIQRKVRSYLSRRSFITLRRSAIQIQSACRGQIARHVYENMRREAASLRIQRDLRMYIARKAYKDLCYSAISIQTGMRGMAARDDLRFRRQTRAAIMIQSQCRKYLARLHYKKLKKAAITTQCAWRGRVARKELRNLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEEAKTQENAKLQSALQEMQLQFKETKEMLVKEREAAIKVTEKVPVIQEVPVVDHVALEKLTIENEKLKALVTSLEKKIDETEKKFEETSRISEERLKQALEAESKIVELKTAMHRLEEKFSDIETENQVLRQQGLLQTPAKKLSERPPIPPTQSLENGHHLNDENKANEPQSATPVKTYGTESDSKFRRSHIERQHENIDALISCVTNNIGFSHGKPVAALTIYRCLLHWKSFEAERTSVFDRLIQMIGSAIENEENNEHMAYWLSNTSTLLFLLQRSIKAAGASATPQRKPPSATSLFGRMTMGFRSSPSSSNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELASLLSLCIQAPRTSKGSVLRSGRSFGKDSPLSHWQSIVDSLNTLLSTLKQNFVPPVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWSAQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPGVISSMRVLMTEDSNSAVSNSFLLDDNSGIPFSVDDLSNSLQEKDFMDVQPAEELLENPAFQFLHE >Potri.002G254300.1.v4.1 pep chromosome:Pop_tri_v4:2:24315764:24329818:-1 gene:Potri.002G254300.v4.1 transcript:Potri.002G254300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254300.v4.1 MASAASLVVGSLVWLEDPDEAWIDGEVVEINKEDIKVLCTSGKTVTVKASKTYPKDAEAPPCGVDDMTKLAYLHEPGVLQNLRSRYDMNEIYTYVGNILIAVNPFTRLPHLYNSHMMAQYKGASFGELSPHPFAVADASYRLMMNEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQGGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPPEDVQKYKLGNPRTFHYLNQSNCYELDVVDDSKEYIATRRAMEIVGISAEEQDAIFRVVAAVLHLGNIEFAKGKEMDSSVPKDEKSWFHLRTVAELLMCDSKALEDSLCKRVIVTRDETITKWLDPESAAVSRDALAKVVYSRLFDWLVDKINSSIGQDPHSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFAKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALMGASKCSFVSGLFPPLAEESSKQSKFSSIGSRFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIFENKNALQQLRCGGVMEAIRISCAGFPTRKTFDEFVDRFGLLAPEVLDGSSDEVTACKRLLEKVGLTGYQIGKTKVFLRAGQMAELDARRSEVLGRSASIIQRKVRSYLSRRSFITLRRSAIQIQSACRGQIARHVYENMRREAASLRIQRDLRMYIARKAYKDLCYSAISIQTGMRGMAARDDLRFRRQTRAAIMIQSQCRKYLARLHYKKLKKAAITTQCAWRGRVARKELRNLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEEAKTQENAKLQSALQEMQLQFKETKEMLVKEREAAIKVTEKVPVIQEVPVVDHVALEKLTIENEKLKALVTSLEKKIDETEKKFEETSRISEERLKQALEAESKIVELKTAMHRLEEKFSDIETENQVLRQQGLLQTPAKKLSERPPIPPTQSLENGHHLNDENKANEPQSATPVKTYGTESDSKFRRSHIERQHENIDALISCVTNNIGFSHGKPVAALTIYRCLLHWKSFEAERTSVFDRLIQMIGSAIENEENNEHMAYWLSNTSTLLFLLQRSIKAAGASATPQRKPPSATSLFGRMTMGFRSSPSSSNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELASLLSLCIQAPRTSKGSVLRSGRSFGKDSPLSHWQSIVDSLNTLLSTLKQNFVPPVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWSAQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPGVISSMRVLMTEDSNSAVSNSFLLDDNSGIPFSVDDLSNSLQEKDFMDVQPAEELLENPAFQFLHE >Potri.002G254300.10.v4.1 pep chromosome:Pop_tri_v4:2:24315762:24322140:-1 gene:Potri.002G254300.v4.1 transcript:Potri.002G254300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254300.v4.1 MAELDARRSEVLGRSASIIQRKVRSYLSRRSFITLRRSAIQIQSACRGQIARHVYENMRREAASLRIQRDLRMYIARKAYKDLCYSAISIQTGMRGMAARDDLRFRRQTRAAIMIQSQCRKYLARLHYKKLKKAAITTQCAWRGRVARKELRNLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEEAKTQENAKLQSALQEMQLQFKETKEMLVKEREAAIKVTEKVPVIQEVPVVDHVALEKLTIENEKLKALVTSLEKKIDETEKKFEETSRISEERLKQALEAESKIVELKTAMHRLEEKFSDIETENQVLRQQGLLQTPAKKLSERPPIPPTQSLENGHHLNDENKANEPQSATPVKTYGTESDSKFRRSHIERQHENIDALISCVTNNIGFSHGKPVAALTIYRCLLHWKSFEAERTSVFDRLIQMIGSAIENEENNEHMAYWLSNTSTLLFLLQRSIKAAGASATPQRKPPSATSLFGRMTMGFRSSPSSSNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELASLLSLCIQAPRTSKGSVLRSGRSFGKDSPLSHWQSIVDSLNTLLSTLKQNFVPPVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWSAQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPGVISSMRVLMTEDSNSAVSNSFLLDDNSGIPFSVDDLSNSLQEKDFMDVQPAEELLENPAFQFLHE >Potri.002G254300.9.v4.1 pep chromosome:Pop_tri_v4:2:24315758:24322601:-1 gene:Potri.002G254300.v4.1 transcript:Potri.002G254300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254300.v4.1 MEAIRISCAGFPTRKTFDEFVDRFGLLAPEVLDGSSDEVTACKRLLEKVGLTGYQIGKTKVFLRAGQMAELDARRSEVLGRSASIIQRKVRSYLSRRSFITLRRSAIQIQSACRGQIARHVYENMRREAASLRIQRDLRMYIARKAYKDLCYSAISIQTGMRGMAARDDLRFRRQTRAAIMIQSQCRKYLARLHYKKLKKAAITTQCAWRGRVARKELRNLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEEAKTQENAKLQSALQEMQLQFKETKEMLVKEREAAIKVTEKVPVIQEVPVVDHVALEKLTIENEKLKALVTSLEKKIDETEKKFEETSRISEERLKQALEAESKIVELKTAMHRLEEKFSDIETENQVLRQQGLLQTPAKKLSERPPIPPTQSLENGHHLNDENKANEPQSATPVKTYGTESDSKFRRSHIERQHENIDALISCVTNNIGFSHGKPVAALTIYRCLLHWKSFEAERTSVFDRLIQMIGSAIENEENNEHMAYWLSNTSTLLFLLQRSIKAAGASATPQRKPPSATSLFGRMTMGFRSSPSSSNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELASLLSLCIQAPRTSKGSVLRSGRSFGKDSPLSHWQSIVDSLNTLLSTLKQNFVPPVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWSAQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPGVISSMRVLMTEDSNSAVSNSFLLDDNSGIPFSVDDLSNSLQEKDFMDVQPAEELLENPAFQFLHE >Potri.002G254300.8.v4.1 pep chromosome:Pop_tri_v4:2:24315759:24323320:-1 gene:Potri.002G254300.v4.1 transcript:Potri.002G254300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254300.v4.1 MFPRSTHETFAQKLYQTFKNHKRFAKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALMGASKCSFVSGLFPPLAEESSKQSKFSSIGSRFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIFENKNALQQLRCGGVMEAIRISCAGFPTRKTFDEFVDRFGLLAPEVLDGSSDEVTACKRLLEKVGLTGYQIGKTKVFLRAGQMAELDARRSEVLGRSASIIQRKVRSYLSRRSFITLRRSAIQIQSACRGQIARHVYENMRREAASLRIQRDLRMYIARKAYKDLCYSAISIQTGMRGMAARDDLRFRRQTRAAIMIQSQCRKYLARLHYKKLKKAAITTQCAWRGRVARKELRNLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEEAKTQENAKLQSALQEMQLQFKETKEMLVKEREAAIKVTEKVPVIQEVPVVDHVALEKLTIENEKLKALVTSLEKKIDETEKKFEETSRISEERLKQALEAESKIVELKTAMHRLEEKFSDIETENQVLRQQGLLQTPAKKLSERPPIPPTQSLENGHHLNDENKANEPQSATPVKTYGTESDSKFRRSHIERQHENIDALISCVTNNIGFSHGKPVAALTIYRCLLHWKSFEAERTSVFDRLIQMIGSAIENEENNEHMAYWLSNTSTLLFLLQRSIKAAGASATPQRKPPSATSLFGRMTMGFRSSPSSSNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELASLLSLCIQAPRTSKGSVLRSGRSFGKDSPLSHWQSIVDSLNTLLSTLKQNFVPPVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWSAQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPGVISSMRVLMTEDSNSAVSNSFLLDDNSGIPFSVDDLSNSLQEKDFMDVQPAEELLENPAFQFLHE >Potri.002G254300.6.v4.1 pep chromosome:Pop_tri_v4:2:24315759:24327455:-1 gene:Potri.002G254300.v4.1 transcript:Potri.002G254300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254300.v4.1 MTKLAYLHEPGVLQNLRSRYDMNEIYTYVGNILIAVNPFTRLPHLYNSHMMAQYKGASFGELSPHPFAVADASYRLMMNEGISQSILVSGESGAGKTESTKLLMRYLAYMGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDQGGRISGAAIRTYLLERSRVCQLSDPERNYHCFYMLCAAPPEDVQKYKLGNPRTFHYLNQSNCYELDVVDDSKEYIATRRAMEIVGISAEEQDAIFRVVAAVLHLGNIEFAKGKEMDSSVPKDEKSWFHLRTVAELLMCDSKALEDSLCKRVIVTRDETITKWLDPESAAVSRDALAKVVYSRLFDWLVDKINSSIGQDPHSKYLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKMEQEEYTKEEIDWSYIEFVDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAQKLYQTFKNHKRFAKPKLARSDFTICHYAGDVTYQTELFLDKNKDYVVAEHQALMGASKCSFVSGLFPPLAEESSKQSKFSSIGSRFKQQLQALLETLSATEPHYIRCVKPNNLLKPAIFENKNALQQLRCGGVMEAIRISCAGFPTRKTFDEFVDRFGLLAPEVLDGSSDEVTACKRLLEKVGLTGYQIGKTKVFLRAGQMAELDARRSEVLGRSASIIQRKVRSYLSRRSFITLRRSAIQIQSACRGQIARHVYENMRREAASLRIQRDLRMYIARKAYKDLCYSAISIQTGMRGMAARDDLRFRRQTRAAIMIQSQCRKYLARLHYKKLKKAAITTQCAWRGRVARKELRNLKMAARETGALQAAKNKLEKQVEELTWRLQLEKRMRADVEEAKTQENAKLQSALQEMQLQFKETKEMLVKEREAAIKVTEKVPVIQEVPVVDHVALEKLTIENEKLKALVTSLEKKIDETEKKFEETSRISEERLKQALEAESKIVELKTAMHRLEEKFSDIETENQVLRQQGLLQTPAKKLSERPPIPPTQSLENGHHLNDENKANEPQSATPVKTYGTESDSKFRRSHIERQHENIDALISCVTNNIGFSHGKPVAALTIYRCLLHWKSFEAERTSVFDRLIQMIGSAIENEENNEHMAYWLSNTSTLLFLLQRSIKAAGASATPQRKPPSATSLFGRMTMGFRSSPSSSNLAAAAALAVVRQVEAKYPALLFKQQLAAYVEKIYGIIRDNLKKELASLLSLCIQAPRTSKGSVLRSGRSFGKDSPLSHWQSIVDSLNTLLSTLKQNFVPPVLIQKIYTQTFSYINVQLFNSLLLRRECCTFSNGEYVKSGLAELELWSAQAKEEYAGSSWDELKHIRQAVGFLVIHQKYRISYDEITNDLCPILSVQQLYRICTLYWDDNYNTRSVSPGVISSMRVLMTEDSNSAVSNSFLLDDNSGIPFSVDDLSNSLQEKDFMDVQPAEELLENPAFQFLHE >Potri.001G155900.1.v4.1 pep chromosome:Pop_tri_v4:1:13113333:13117848:1 gene:Potri.001G155900.v4.1 transcript:Potri.001G155900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155900.v4.1 MAAPFFSTPFQPYVYQNHQDAVIPFQIFGGEAQVVQIMLKPQEKVIAKPGSMCFMSGSIEMENVFIHENEVGVWQWFFGKAVTSVVFHNPGPSDGFVGISAPSLGRILPIDLAKFGGEILCQPDAFLCSVSDVKVSNTVDQRARNVMPSIEGFLRQKLTGQGLAFILAGGSVAQKVLEVGEVLAVDVSCIAALNTTVNVQIKYNGPVRRAVFGGDNLVTATLTGPGIVFIQSLPFRRFSQRIARAVTSPNMRDNPKFFVQIAIFFFLAYVVIVSSLILTDV >Potri.016G112300.1.v4.1 pep chromosome:Pop_tri_v4:16:11507540:11508815:1 gene:Potri.016G112300.v4.1 transcript:Potri.016G112300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112300.v4.1 MNRGIGMLSPASYLQNSNWFFHESRGTKWTPEENKQFENALALYDKDTPDRWLKVAAMIPGKTVGDVIKQYRELEEDVSDIEAGLIPIPGYNSDCFTLEWVSNHGYEGLKQFYSPGGKRGTATRPSEQERKKGVPWTEEEHRQFLLGLQKYGKGDWRNISRNYVTTRTPTQVASHAQKYFIRQSTGGKDKRRSSIHDITTVNLPDARSPSPENRKPSSPDHSTTTKQSQAPPITTGMVNGLFDWKPQNEGTATVFNPANGNLLMAPFCGISSYGSKLQEQNLLGGTLPGYQFGPYNLIFQMQSMQHH >Potri.011G053900.1.v4.1 pep chromosome:Pop_tri_v4:11:4385829:4388234:-1 gene:Potri.011G053900.v4.1 transcript:Potri.011G053900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053900.v4.1 MSTTAVTLSSLSHHTTTAPTSTSTTTPRLSLLKPTTKLPFSFSLSPKSPFLYLFSSHPKIITTITRANSSDIGTSFFDNVNPEEEVVFDPPTQPEDYIPPPSFDEGPMETEEEIAAAYEELYGPAYSGVSFLGKDIYVMDSKKTKDGLDERVVQVRRVTKVVKGGKQLHFRAVVVVGDKQGQVGVGVGKAKEVIAAVQKSALNARRNIITVPMTKYLTFPHRSEGDFGAAKVMLRPASPGTGVITGGAVRIVLEMAGVENALNNARATVVAVQKMRQFSDVARERGIPMEELWK >Potri.012G116551.1.v4.1 pep chromosome:Pop_tri_v4:12:13483753:13487509:1 gene:Potri.012G116551.v4.1 transcript:Potri.012G116551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116551.v4.1 MMNQATPHLGAESSLINNESSNRRRQPEMGTALTFEWKASTRMSQYMGRTKKALVSKQRHPITMDHQRGGPIFHISFPTGLPSPSRQQSPHTPISFPQQPTTTSEDQPLHSPLSPAVSASITDRARSPLRFLPQRPRQLQCCRSHRQQSKPGRTASSGSNHQTASTPSASSAVPARPRIHHRPAAPPKAEEKETKSMRQRRDEAGKRSGSEEKWRRSGKMKPIEKQRTRLKSICFVAFFGLLCRTTSLQKGRGREIVPDLPISWLVPAVHAPPAAVARWGTRRHCSSGSRGLPDTVSGCFGSLF >Potri.005G052000.1.v4.1 pep chromosome:Pop_tri_v4:5:3290174:3291382:-1 gene:Potri.005G052000.v4.1 transcript:Potri.005G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G052000.v4.1 MASLSLLPSPSSSSSSSSLSSLHHTTRSSSRLTLRTPSLNLRTRTKISSIKAQSAPVLTQDDLKKLAADKAVEYVKSGMVLGLGTGSTAAFVVAKLGELLKTGELKDIIGVPTSKRTEEQARSLNIPLSVLDDHPHIDLAIDGADEVDPLLNLVKGRGGALLREKMVEAASDEFVVVADDTKLVDGLGGSKLAMPVEVVQFCWKYNLLRLQEMFKDEGVEAKLRAGEDGKPYVTDNFNYIVDLYFENPIKDGYAAGKEISGLEGVVEHGLFLDMATAVIIAGKTGVEVKSK >Potri.010G157700.2.v4.1 pep chromosome:Pop_tri_v4:10:16445939:16452246:-1 gene:Potri.010G157700.v4.1 transcript:Potri.010G157700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157700.v4.1 MCGIALIVSGIRIDLSSSTLLQSLNPEPLVTVSVDDLKAALRRRGPDSLGSKKIILQYNKNLSSVDDRELVSVVTDDAEIENDQSFLQNCSNGKAGNYNQLGYSVGELLFIGATLQLRGLNPIIQPFVDSYGNVLIYNGEIFGGIHVESDRNDGEVLMQTLGNCCSCGSNGHTGPCSAAGHGCSSVPNVFSTIKGPWAIIYWQESSRTLWFGRDAFGRRSLVVHWPTVKDSRFLISSVSPFSSVDQSSDFEVENGTHHNFWEELSCGIYSLSMDASKLDGYLVGEVKNHEWGNAMLLELIKWERVSFNPKPNELHGSLNGTLEGQHHMYPASSDIMTFESGPNQTMISLPAHNVLSALRKSVMLRASQHRIFEAGRCYSRREEHVPVAVLFSGGLDSMILSALLDECLDPSYGIDLLNVSFDGQSAPDRISAKAGVKELRRIAPLRRWKLVEIDSDLSKLTLEMKHVMSLINPANTYMDLNIGVALWLAASGDGWVSERPSTNSDENQQRLSYKSEARIVLVGSGADEQCAGYGRHRTKYRCGGWHGLNEEMKLDMQRIWKRNMGRDDRCIADNGKEARFPFLDEDVIRVLLDIPLWEVTNLDQPSGTGDKKILREVAQMLGLHEAAVLPKRAIQFGSRIARESNRKNFGSNRAANQASAGSVMINRS >Potri.010G157700.3.v4.1 pep chromosome:Pop_tri_v4:10:16446019:16452193:-1 gene:Potri.010G157700.v4.1 transcript:Potri.010G157700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157700.v4.1 MCGIALIVSGIRIDLSSSTLLQSLNPEPLVTVSVDDLKAALRRRGPDSLGSKKIILQYNKNLSSVDDRELVSVVTDDAEIENDQSFLQNCSNGKAGNYNQLGYSVGELLFIGATLQLRGLNPIIQPFVDSYGNVLIYNGEIFGGIHVESDRNDGEVLMQTLGNCCSCGSNGHTGPCSAAGHGCSSVPNVFSTIKGPWAIIYWQESSRTLWFGRDAFGRRSLVVHWPTVKDSRFLISSVSPFSSVDQSSDFEVENGTHHNFWEELSCGIYSLSMDASKLDGYLVGEVKNHEWGNAMLLELIKWERVSFNPKPNELHGSLNGTLEGQHHMYPASSDIMTFESGPNQTMISLPAHNVLSALRKSVMLRASQHRIFEAGRCYSRREEHVPVAVLFSGGLDSMILSALLDECLDPSYGIDLLNVSFDGQSAPDRISAKAGVKELRRIAPLRRWKLVEIDSDLSKLTLEMKHVMSLINPANTYMDLNIGVALWLAASGDGWVSERPSTNSDENQQRLSYKSEARIVLVGSGADEQCAGYGRHRTKYRCGGWHGLNEEMKLDMQRIWKRNMGRDDRCIADNGKEARFPFLDEDVIRVLLDIPLWEVTNLDQPSGTGDKKILREVAQMLGLHEAAVLPKRAIQVCVTSSCFYLSQLCMPDP >Potri.014G135100.1.v4.1 pep chromosome:Pop_tri_v4:14:9064515:9065258:-1 gene:Potri.014G135100.v4.1 transcript:Potri.014G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135100.v4.1 MASFCSTFLAMSTVFMAFFSSPALASQIHARISTISAAPAFLPVAPLSSPPTLSPDIEPLLPTPGAGAPSPTESSLPTIPSSRSPPNPGDILAPGPARFSISPSGSLPASSSVSTTSSGPLNLAFFLGLLVLCSMQLSGV >Potri.004G033100.1.v4.1 pep chromosome:Pop_tri_v4:4:2557007:2558709:-1 gene:Potri.004G033100.v4.1 transcript:Potri.004G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033100.v4.1 MGRAPCCDKNGLKKGPWTPEEDQKLVDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILSSSLYNSSDQMNMSRMLGVPPLVNPGLLRLATSLLSSQRDQTQNFVIQNGQEENHLPNPQVQQSQYESMIHQANIQFQTPGQEMPTCTTLTTSPCVTFSNEARIMDPNVDQYQSSTITNFSSPNSQFSTHDQWQNNGMGSNLAEDYYVPAVSSYNSNGYYGSDLMDPSSETSTFISNSSNQNFGFASVLSTPSSSPTPLNSNSTYINSSSAEDERESYCSNILKFEIPDILDAINFM >Potri.001G307300.2.v4.1 pep chromosome:Pop_tri_v4:1:31690806:31698816:1 gene:Potri.001G307300.v4.1 transcript:Potri.001G307300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307300.v4.1 MVSSSNCWKYDVFLSFRGQETRNTFTAHLYHALCNKGINAFIDDKLERGEHITSQLYRVIEDSRISLLIFSENYARSIYCLDELVKILECKESKGQVVFPVFYNVDPSDVEEQNGSFGEALLFHETYWGIDTERVQKWREALTKAAQLSGWHLNNGNEAKFIWRIVEKVLSQLNHTSLHIAAYQVGLNNHIEEINHMLNTRSDGVCMVGLCGIGGVGKTTISKAVYNLIANQFEGSCFLSNVREISKQHGLLRLQETLLYEILGDKNLVLGSVDRGINVIRDRLRNKKVLIVIDDADNLDQLKQLAGEPDWFGLGSRVIITTRDEHLLVAHGVERLYKVKELCPDDALMLFSWNAFRNPHPSEDHLEVSLRAVRYAQGLPLALVVLGSFLYGRSIREWESELDRLKKIPNKQIYEVLKISFDGLEYHEKTIFLDIACFFKGQEKDYVIKILDACDVNPDIGIQVLIEKSLIYIENNKIQMHELLQSMGRQIVHQESPNIPGRRSRLWFHEDVLHVLTENIGSCEIEGIILDLPKAEKLCLSADTFTKMKRLRILIVHNVHVFGVLENLPNELRWLEWPECPIESMPSTFHARKLVVLNMQRSCIRQLGEGFMLKDYSYLKHIDFRDCEFLTETPDFSTILNLERLNLEGCTSLVKIHNSIGCLDKLVFLSLEFCSNLKSLSSSLRLRSLQTLLLTGCSKLEKFPNIEDRMTSVERVCLNETAIEELPSSIENLVGLQVLTLSFCRNLSSIPSSIYMLQHLKHLLLEGCSNLKNFPENVGNERQPIFSMVSLKLNYGSKWFPRLTCLDLKNCNLLEVDFLMNPDCFSMLKDLDLSGNSFFRLPTSICSFKKLRRLKLVNCKWLREIPQLPPSIKCIGARDCISLERFSQLTRVFKISKAERLKRLHDLDFSNCHKLAENPLSSLTSIALANTSLDEDGDVLDANSDGFCENFRIEVFLPGSEIPDWMSYYSDESYLSFLVPSHMYGEIIAVVLCTILSLEDDVTANISREVFINGQTVISFSRQFFSLESDHMWLYYLPCRMIQGFNSLQNDWSRFEVSFRILGAPMNATLKGCGVHLVYKNGEKVNFPSLIYGNEDDHDTSLSTYNESINFSETCTSLSLDLMRSCSDGGEFEEYQQSSLKWQHQPLRLDSSEVPTVTLSSPMVQLIHQDSFNGLNGFLRRKRKLEECEDHDPSSSGNKHQKFRFTGSSQGLSLTDRNELHLSLALSLQITGGPVTPDPSGIE >Potri.003G046800.4.v4.1 pep chromosome:Pop_tri_v4:3:5642978:5646685:-1 gene:Potri.003G046800.v4.1 transcript:Potri.003G046800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046800.v4.1 MPLNSIVPKPDHKSVPMEGLPKMSEINKRAVVAYPGQANSQSVHQLTIYPTTLKFEEVVYKVKQDQKGLCWGGTWTTREKTILNEITGMVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNGQPFSGAMKRRTGFVAQDDILYPHLTVTETLLFTALLRLPKTLTRDEKAQHVQRVITELGLSRCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGHPIYYGPASAALEYFSSIGFSTSMTVNPADLLLDLANGIGPDSKNATDQGENMEQEQKLVKEALISAYEKNISTRLKAELCNSDPNNYNYTKDASAKTETKSEQWCTSWWYQFKVLFQRGLRERRYESFNRLRIFQVLSVSVLGGLLWWHTPTSHIEDRVRAFLLAYYITM >Potri.003G046800.3.v4.1 pep chromosome:Pop_tri_v4:3:5643073:5646684:-1 gene:Potri.003G046800.v4.1 transcript:Potri.003G046800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046800.v4.1 MVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNGQPFSGAMKRRTGFVAQDDILYPHLTVTETLLFTALLRLPKTLTRDEKAQHVQRVITELGLSRCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGHPIYYGPASAALEYFSSIGFSTSMTVNPADLLLDLANGIGPDSKNATDQGENMEQEQKLVKEALISAYEKNISTRLKAELCNSDPNNYNYTKDASAKTETKSEQWCTSWWYQFKVLFQRGLRERRYESFNRLRIFQVLSVSVLGGLLWWHTPTSHIEDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLVKERASGMYHLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKADPITFILSLLVVLYSVLVSQSLGLAIGALLMDIKQATTLASVTTLVFLIAGGYYVQQIPPFIVWLKYLSYSYYSYKLLLGVQYNEDDYYECSKGVLCRVGDFPSVKSMGLNHLWVDVAIMALMLVGYRLVAYLALHRVQLR >Potri.003G046800.2.v4.1 pep chromosome:Pop_tri_v4:3:5642333:5646686:-1 gene:Potri.003G046800.v4.1 transcript:Potri.003G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046800.v4.1 MPLNSIVPKPDHKSVPMEGLPKMSEINKRAVVAYPGQANSQSVHQLTIYPTTLKFEEVVYKVKQDQKGLCWGGTWTTREKTILNEITGMVCPGEILAMLGPSGSGKTTLLTALGGRLTGKLSGKITYNGQPFSGAMKRRTGFVAQDDILYPHLTVTETLLFTALLRLPKTLTRDEKAQHVQRVITELGLSRCRNSMIGGPLFRGISGGEKKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGHPIYYGPASAALEYFSSIGFSTSMTVNPADLLLDLANGIGPDSKNATDQGENMEQEQKLVKEALISAYEKNISTRLKAELCNSDPNNYNYTKDASAKTETKSEQWCTSWWYQFKVLFQRGLRERRYESFNRLRIFQVLSVSVLGGLLWWHTPTSHIEDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLVKERASGMYHLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKADPITFILSLLVVLYSVLVSQSLGLAIGALLMDIKQATTLASVTTLVFLIAGGYYVQQIPPFIVWLKYLSYSYYSYKLLLGVQYNEDDYYECSKGVLCRVGDFPSVKSMGLNHLWVDVAIMALMLVGYRLVAYLALHRVQLR >Potri.008G182900.1.v4.1 pep chromosome:Pop_tri_v4:8:12615820:12621151:-1 gene:Potri.008G182900.v4.1 transcript:Potri.008G182900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182900.v4.1 MADSLSASGRSSEKLSLQSLQSKMKIDPEGYETELGLVYNQFKSALDLFQQQAALSFASSSGVCADPTIYKDLSDRATFLSHVTPFYPKQLAEFPAQLAEFLKSSARTLPSGLRCHVTQALILLINRDMVDISETLALFMELQTLGDRTLRNLAFTHVVHSIRRMNKKHKNEAKNRALQNILFSLLQQDDEARAKRALITLCELHRRKVWFDDRTANSICMACFHSSSRIMIAALSFLLDYEKIEDNDNDDSDASSGEDDPNPRTAQVVISKESIYKAHNKGTVASKKKKKAKLQRAIRSMKRQQRLSSENNNSNYYSPFNHLKDAQGFAERLFSRLQTCNERFEVKMMMLKVIARTVGLHRLILLNFYPFLQKYVQPHQRDITNLLAAAVQACHDLVPPDAVEPLFKQIVNQFVHDHSRPEAIAIGLNVIREICLRIPLLMNEDLLQDLVLYKKSHEKAVSIAARSLITLFREVCPSLLIKKDRGRPIDPKARPKAYGEVNIVSSVPGVELLEELNDDDDDDEDKEDSDDVDDLASRGSDDDSENEEMVSASDEGDQIYSDDAESEDGDVQDGSVDEDGDDAVDNDSGGGEGGDEDEDQEENDEDSYARAIINKVNKSTARKRKFSDFDGQLLAADTSLRALKKMTEEKLKKPPSDSTDGILSNEDFQRIKELTAKKDARIALNRQGFKVPSSDDLSAKRVDPATLEVHVRARLNKEERLALVRAGREDRESYKSRIAVKQKKTGGQSNRQKEHKKQMPLAAKRAKVARSRQEKKKKQSLSGKQFRGKKAWK >Potri.008G212400.1.v4.1 pep chromosome:Pop_tri_v4:8:17455306:17456298:1 gene:Potri.008G212400.v4.1 transcript:Potri.008G212400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212400.v4.1 MGLITFENEFSVAVPPAKLFKVYCLETDTLIPKILPQAIISSEIIEGNGGPGTIRKVTFVEGKGLTYVKQKIETIDEENFAYSFSLIESNVWMEGVEKVIFEHKFVPTPEGGSICKRTSKYYIKDGAEIKEDQIKKDGKKTEGLFKAVEAYFLANPDA >Potri.010G033400.2.v4.1 pep chromosome:Pop_tri_v4:10:5952942:5955351:1 gene:Potri.010G033400.v4.1 transcript:Potri.010G033400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033400.v4.1 MIKFSPPAEMLTRSFSFHHLCADQEDGLLQSINNYRTSLNLTALTKNDNAECLAGELADQFKDQPCTYATGSNTVPGTETQFPNYPSLLAKCHLNVSNTRDGALMPACVPNLDPSLVLTNFTQTQYSGSLDDAKFTGAGIGSDGNWIVVVLTTSTPEGSFVTSKADSSDYNAANLTDKNIGLIYLLFLLTASLFLL >Potri.010G033400.1.v4.1 pep chromosome:Pop_tri_v4:10:5952822:5955395:1 gene:Potri.010G033400.v4.1 transcript:Potri.010G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033400.v4.1 MASSRFSLLFPFFVSFLLCIISHPVLCDDQEDGLLQSINNYRTSLNLTALTKNDNAECLAGELADQFKDQPCTYATGSNTVPGTETQFPNYPSLLAKCHLNVSNTRDGALMPACVPNLDPSLVLTNFTQTQYSGSLDDAKFTGAGIGSDGNWIVVVLTTSTPEGSFVTSKADSSDYNAANLTDKNIGLIYLLFLLTASLFLL >Potri.005G071500.3.v4.1 pep chromosome:Pop_tri_v4:5:4672504:4679526:-1 gene:Potri.005G071500.v4.1 transcript:Potri.005G071500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071500.v4.1 MRKSSFHRTTTRRNPSPPRHHRPPLTTNNNNNNFPTTRNARRTSPNFIIHLHLDPTLAPSNKRPDPNTVNSVISQCNPTPPPDRIILPTTTTTKTKLTASLHFQEWSHTLNFMTTLWELRLRGAHSFSPKLQSYILLPSDTEELKRNLTKKFSDYLKGLIKGVGSNVNDDENVVGRWQAKVSEKSDEIAQLMKLLKGRNRMMGFSELNERKKGLMAERDLIVKRLEEFRASMKCILKYIEGGREEEGERGLEVFVFDGEIDWERIHRLVLREIRRLVDGLPIYAYRQQILEKIHSKQVMVLVGETGSGKSTQLVQFLTDSGIPGKESIVCTQPRKIAAISLADRVNEESRGCYENSSVVSYPTFSSAQQFGSKVIFMTDHCLLQHYMNDTTLSGISCIIVDEAHERSLNTDLLLALIRGLLCERPDLRLVIMSATADAKQLSDYFYGCEIFHVEGRNFPVEVRYTPSSEETASGIVSPYVYDTLRITTEIHKQESEGTILAFLTSQMEVEWACEKFDAASAVALALHGKLPFEEQSRVFQDFDGKRKVIFATNLAETSLTIPGVKYVVDSGLAKESKFEAATGMNVLRVCRISQSSAKQRAGRAGRTVPGICYRLYTESDFESMSPNQEPEIRRVHLGVAVLRMLALGIKNVQEFDFVDAPSTKAIDMAIRNLVQLGAITLKGGICELTEEGRYMVKMGIEPRLGKIIISSFHYRLGKEGLVLAAVMANASSIFCRVGSQDDKQKADCLKVQFCHRSGDLFTVLSVYKEWEALPQDRRNKWCWENSINAKSMRRCQDTVKELEFCLEKELTVIIPSYWNWNPNKSTEHDKYLKKIILSALAENVAMHSGHDRLGYEVALTGQHIQLHPSCSLLVFGEKPNWVVFGELLSISNDYLVCVTAFDFESLSTLCPPPLFDALKMESQKLQVKVLTSFGSSLLKRFCGKSNSNLQSLVTCVRIACMDERIGVEVHVDQNEILLFATAEDMQKVSSLVSEALECERKWLHNECMEKFLYLGADLSPMALFGAGAEIKYLELEKRCLTVNVFFSNANTIDDKEVLMFLEEYTSGTVCSVHKSVGSGQEGDEKEKWGQITFLSPDSARKAAQLNEVEFKGSKLKVVPSQTIIGGNHKMFSFPAVKAKIVWPRKVSKGLAIVKCYVHDVDFMICDFSNLEIGGRYVRCSAGRCVDSIVVSGFSKELSEADILRALRSATNRRILDFFIVRGDAVENPPLGACEKALLREISPFMPKRNPQTSCCRVQVFPPELKDAFMKAFITFDGRLHLEAARALEHMEGKVLPGCHSWQKIKCEQMFHSLISCSASIYVAIKKQLDSLLASFSRVKGTFSGLTFLLLHFVKFCYLFCDCSHETLTVYFPKFSLFPFSFQKVFFIGAALQDGIFYSFFLFFSRCGCIIYFTIIAI >Potri.005G071500.2.v4.1 pep chromosome:Pop_tri_v4:5:4672503:4679599:-1 gene:Potri.005G071500.v4.1 transcript:Potri.005G071500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071500.v4.1 MRKSSFHRTTTRRNPSPPRHHRPPLTTNNNNNNFPTTRNARRTSPNFIIHLHLDPTLAPSNKRPDPNTVNSVISQCNPTPPPDRIILPTTTTTKTKLTASLHFQEWSHTLNFMTTLWELRLRGAHSFSPKLQSYILLPSDTEELKRNLTKKFSDYLKGLIKGVGSNVNDDENVVGRWQAKVSEKSDEIAQLMKLLKGRNRMMGFSELNERKKGLMAERDLIVKRLEEFRASMKCILKYIEGGREEEGERGLEVFVFDGEIDWERIHRLVLREIRRLVDGLPIYAYRQQILEKIHSKQVMVLVGETGSGKSTQLVQFLTDSGIPGKESIVCTQPRKIAAISLADRVNEESRGCYENSSVVSYPTFSSAQQFGSKVIFMTDHCLLQHYMNDTTLSGISCIIVDEAHERSLNTDLLLALIRGLLCERPDLRLVIMSATADAKQLSDYFYGCEIFHVEGRNFPVEVRYTPSSEETASGIVSPYVYDTLRITTEIHKQESEGTILAFLTSQMEVEWACEKFDAASAVALALHGKLPFEEQSRVFQDFDGKRKVIFATNLAETSLTIPGVKYVVDSGLAKESKFEAATGMNVLRVCRISQSSAKQRAGRAGRTVPGICYRLYTESDFESMSPNQEPEIRRVHLGVAVLRMLALGIKNVQEFDFVDAPSTKAIDMAIRNLVQLGAITLKGGICELTEEGRYMVKMGIEPRLGKIIISSFHYRLGKEGLVLAAVMANASSIFCRVGSQDDKQKADCLKVQFCHRSGDLFTVLSVYKEWEALPQDRRNKWCWENSINAKSMRRCQDTVKELEFCLEKELTVIIPSYWNWNPNKSTEHDKYLKKIILSALAENVAMHSGHDRLGYEVALTGQHIQLHPSCSLLVFGEKPNWVVFGELLSISNDYLVCVTAFDFESLSTLCPPPLFDALKMESQKLQVKVLTSFGSSLLKRFCGKSNSNLQSLVTCVRIACMDERIGVEVHVDQNEILLFATAEDMQKVSSLVSEALECERKWLHNECMEKFLYLGADLSPMALFGAGAEIKYLELEKRCLTVNVFFSNANTIDDKEVLMFLEEYTSGTVCSVHKSVGSGQEGDEKEKWGQITFLSPDSARKAAQLNEVEFKGSKLKVVPSQTIIGGNHKMFSFPAVKAKIVWPRKVSKGLAIVKCYVHDVDFMICDFSNLEIGGRYVRCSAGRCVDSIVVSGFSKELSEADILRALRSATNRRILDFFIVRGDAVENPPLGACEKALLREISPFMPKRNPQTSCCRVQVFPPELKDAFMKAFITFDGRLHLEAARALEHMEGKVLPGCHSWQKIKCEQMFHSLISCSASIYVAIKKQLDSLLASFSRVKELRRPLEELMRGQTINHPSLTPTILQHLFSGQGINLMKSIQRETGTYIHFDRRNFNLKIFGRPDKIAPAQQKFIQLLLANHESKQLEIHLRGGDLPPDLMKEVVKRFGPDLHGLKEKVPGADLTLSTRHHVISVHGDKELKQNVEEIIFEMAQMGYDSAERLDGGDACPVCLCEVEDAYRLESCGHLFCRMCLVEQLESALKNLDSFPICCAHGSCRAPILLTDLRSLLSSDKLEELFRASLGSFVASSGGTYRFCPSPDCPSVYRVADPVTGGDPFVCGACFAETCTRCHLDYHPYLSCKKYMEFKEDPDLSLKDWCKGKENVKSCPVCGYTIEKGEGCNHVECKCGGHVCWVCLESYNNSEDCYNHLRSMHGGIA >Potri.005G071500.1.v4.1 pep chromosome:Pop_tri_v4:5:4672414:4679606:-1 gene:Potri.005G071500.v4.1 transcript:Potri.005G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071500.v4.1 MRKSSFHRTTTRRNPSPPRHHRPPLTTNNNNNNFPTTRNARRTSPNFIIHLHLDPTLAPSNKRPDPNTVNSVISQCNPTPPPDRIILPTTTTTKTKLTASLHFQEWSHTLNFMTTLWELRLRGAHSFSPKLQSYILLPSDTEELKRNLTKKFSDYLKGLIKGVGSNVNDDENVVGRWQAKVSEKSDEIAQLMKLLKGRNRMMGFSELNERKKGLMAERDLIVKRLEEFRASMKCILKYIEGGREEEGERGLEVFVFDGEIDWERIHRLVLREIRRLVDGLPIYAYRQQILEKIHSKQVMVLVGETGSGKSTQLVQFLTDSGIPGKESIVCTQPRKIAAISLADRVNEESRGCYENSSVVSYPTFSSAQQFGSKVIFMTDHCLLQHYMNDTTLSGISCIIVDEAHERSLNTDLLLALIRGLLCERPDLRLVIMSATADAKQLSDYFYGCEIFHVEGRNFPVEVRYTPSSEETASGIVSPYVYDTLRITTEIHKQESEGTILAFLTSQMEVEWACEKFDAASAVALALHGKLPFEEQSRVFQDFDGKRKVIFATNLAETSLTIPGVKYVVDSGLAKESKFEAATGMNVLRVCRISQSSAKQRAGRAGRTVPGICYRLYTESDFESMSPNQEPEIRRVHLGVAVLRMLALGIKNVQEFDFVDAPSTKAIDMAIRNLVQLGAITLKGGICELTEEGRYMVKMGIEPRLGKIIISSFHYRLGKEGLVLAAVMANASSIFCRVGSQDDKQKADCLKVQFCHRSGDLFTVLSVYKEWEALPQDRRNKWCWENSINAKSMRRCQDTVKELEFCLEKELTVIIPSYWNWNPNKSTEHDKYLKKIILSALAENVAMHSGHDRLGYEVALTGQHIQLHPSCSLLVFGEKPNWVVFGELLSISNDYLVCVTAFDFESLSTLCPPPLFDALKMESQKLQVKVLTSFGSSLLKRFCGKSNSNLQSLVTCVRIACMDERIGVEVHVDQNEILLFATAEDMQKVSSLVSEALECERKWLHNECMEKFLYLGADLSPMALFGAGAEIKYLELEKRCLTVNVFFSNANTIDDKEVLMFLEEYTSGTVCSVHKSVGSGQEGDEKEKWGQITFLSPDSARKAAQLNEVEFKGSKLKVVPSQTIIGGNHKMFSFPAVKAKIVWPRKVSKGLAIVKCYVHDVDFMICDFSNLEIGGRYVRCSAGRCVDSIVVSGFSKELSEADILRALRSATNRRILDFFIVRGDAVENPPLGACEKALLREISPFMPKRNPQTSCCRVQVFPPELKDAFMKAFITFDGRLHLEAARALEHMEGKVLPGCHSWQKIKCEQMFHSLISCSASIYVAIKKQLDSLLASFSRVKGAECSLDRNENGSYRVKISANATKTVAELRRPLEELMRGQTINHPSLTPTILQHLFSGQGINLMKSIQRETGTYIHFDRRNFNLKIFGRPDKIAPAQQKFIQLLLANHESKQLEIHLRGGDLPPDLMKEVVKRFGPDLHGLKEKVPGADLTLSTRHHVISVHGDKELKQNVEEIIFEMAQMGYDSAERLDGGDACPVCLCEVEDAYRLESCGHLFCRMCLVEQLESALKNLDSFPICCAHGSCRAPILLTDLRSLLSSDKLEELFRASLGSFVASSGGTYRFCPSPDCPSVYRVADPVTGGDPFVCGACFAETCTRCHLDYHPYLSCKKYMEFKEDPDLSLKDWCKGKENVKSCPVCGYTIEKGEGCNHVECKCGGHVCWVCLESYNNSEDCYNHLRSMHGGIA >Potri.015G038100.1.v4.1 pep chromosome:Pop_tri_v4:15:3276507:3282433:1 gene:Potri.015G038100.v4.1 transcript:Potri.015G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038100.v4.1 MQKYNLTLSLLIFSLLPPSLLAGPFVLILSQDDLKDIPSSATTTDADPAESLPEWDEFGDSDSKPEHELEPGSWRPIFEPDAVNSSTSESKLDTEMEQYYSAVEKMLSAVSDGEVGVIEESVAEIEELASVKGNSHAQSVLGFLYGLGQIKERNKAKAFLYHNFAAKGGNLQSKLAIAYTYYRQHMYEKAVKLYAELAEVAVNSFLISKYSPVIEPVRIHNGAEENKEALRKSRGEDDDVFQILEYQAQKGNAGAMYKIGYFYYFGLRGLRRDHAKALAWFSKAVEKGEPRSMELLGEIYARGAGVERNYTKALEWLTLAAKQQLYSAYNGMGYLYVKGYGVEKKNYTKAKEYFERAADNEDAGGHYNLGVIHLKGIGVKRDVKLACQYFIVAANAGQPKAFYQLAKMFHKGVGLKKNLPMATGLYKLVAERGPWNSLSRWALESYLKGNVGKASLLYSRMAELGYEIAQSNAAWILDKYAEHSMCVGESGFCTDSERHQRAHSLWWEASEQGNEHAALLIGDAYYYGRGTVRDYERAAEAYMHAKSQSNAQAMFNLGYMHEHGKGLPFDLHLAKRYYDQALEIDSAAKLPVTLALTSLWIRKNYADSVMVHVIDSLPEFYPKIEAWVENVILEEGNATILTLFVCLLTVLYFRERQRRNAGVAAGEVGIPDQPIEHAPPAPN >Potri.015G038100.2.v4.1 pep chromosome:Pop_tri_v4:15:3276508:3282431:1 gene:Potri.015G038100.v4.1 transcript:Potri.015G038100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038100.v4.1 MQKYNLTLSLLIFSLLPPSLLAGPFVLILSQDDLKDIPSSATTTDADPAESLPEWDEFGDSDSKPEHELEPGSWRPIFEPDAVNSSTSESKLDTEMEQYYSAVEKMLSAVSDGEVGVIEESVAEIEELASVKGNSHAQSVLGFLYGLGQIKERNKAKAFLYHNFAAKGGNLQSKLAIAYTYYRQHMYEKAVKLYAELAEVAVNSFLISKYSPVIEPVRIHNGAEENKEALRKSRGEDDDVFQILEYQAQKGNAGAMYKIGYFYYFGLRGLRRDHAKALAWFSKAVEKGEPRSMELLGEIYARGAGVERNYTKALEWLTLAAKQQLYSAYNGMGYLYVKGYGVEKKNYTKAKEYFERAADNEDAGGHYNLGVIHLKGIGVKRDVKLACQYFIVAANAGQPKAFYQLAKMFHKGVGLKKNLPMATGLYKLVAERGPWNSLSRWALESYLKGNVGKASLLYSRMAELGYEIAQSNAAWILDKYAEHSMCVGESGFCTDSERHQRAHSLWWEASEQGNEHAALLIGDAYYYGRGTVRDYERAAEAYMHAKSQSNAQAMFNLGYMHEHGKGLPFDLHLAKRYYDQALEIDSAAKLPVTLALTSLWIRKNYADSVMVHVIDSLPEFYPKIEAWVENVILEEGNATILTLFVCLLTVLYFRERQRRNAGVAAGEVGIPDQPIEHAPPAPN >Potri.009G043800.1.v4.1 pep chromosome:Pop_tri_v4:9:5036821:5042264:-1 gene:Potri.009G043800.v4.1 transcript:Potri.009G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043800.v4.1 MNTSRALLYSFTDPTFRPLKSPPDISSPKRRPRERLNFRVRLHTDHRCCVFRVSVRNSGRGETGKVYADVKSEPYEILETVPESAKLEEDALDDVVLDSTVPWWEEFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAENNWNPATVGLIQSSFFWGYLLTQVAGGIWADTVGGKKVLGFAVIWWSIATILTPVAAKVGLPFLLVVRAFMGIGEGVAMPAMNNILSKWVPVSERSRSLAFVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWFAAWLSKAHSSPLDDPQLRPAEKKLIFANSFSKEPVESIPWRLILSKAPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTAATGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKILD >Potri.019G119000.1.v4.1 pep chromosome:Pop_tri_v4:19:14510786:14511925:1 gene:Potri.019G119000.v4.1 transcript:Potri.019G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119000.v4.1 MKNVSRNKLLLCFKPVVNVDQLLLDHSKVGVVDHSNIQALKLLGRRKKEDMKRLVSNSSFLSDDKRRCSAIISSSKNSLILHPPKKNFSRVIKAVFFETILSKRVRDRKGSQCQDHSNGSKHISSSSPMNSKRTLDDTSDHHDNTDHQVSEANLVKSCSSSLSETRKMSKIKKNSTNPNQELEFKARNMDKSDIYLLLMSFTVTILWGKLCAIFCNLIWLYFLPRRRHNTSRPENVRTSLWLPEKKESKDQYYYKKKVIMAGLLERKHQRVNDIKFLT >Potri.010G103200.2.v4.1 pep chromosome:Pop_tri_v4:10:12570644:12571906:-1 gene:Potri.010G103200.v4.1 transcript:Potri.010G103200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103200.v4.1 MHKRFRQVFCALKEHTSVSYAKIATFGGFCDVDLIIVKATAPDDLPLPEKYMHELVKIFSFSNSSFHSFSLSFTRRFGNTRSWKVALKCLILLNRLLRSLPEDSPVRAELLWIRSNGLLSLYPCHFRDDSSSNPEAYTVFIRSYAQLLDQSLDCFSLDNKATEEEVMHESLQHKIKQVSRKLELLPRLQSLIDRVLDCIPTGVAPRSLIVHQAMKHIIRDSFVCYTTFRREIVLVLDNLLEMPYRSCVSAFGIYKKAAMQAGQLCEFYDWCKAKGFCGSFEYPFIDRIPQIHIQALETFLNGMWQLTNQSSSSLTTSPSSWVEFKSNSTEDDDQGKQVVKTNTLIKISSQLERSEENGFASNFELGKEEVAPLIQLEEGEDDNWEALLEASLNTSSHDHRKNFLVYPNSFLQWLWRWTWV >Potri.010G032301.2.v4.1 pep chromosome:Pop_tri_v4:10:5282068:5284499:-1 gene:Potri.010G032301.v4.1 transcript:Potri.010G032301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032301.v4.1 MDQQGHGQPPTVGMIGRTAPVPYGMASYQPNQMMGPSATGSVQSPTQPAVLAAASAQLAQHQLAYQHIHQQQQQQLQQQLQTFWANQYQEIEQTADFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASVPRGSLPVGGPPDALPYYYMPHQLAPQVSAPGMTVGKPVVDQSLYGQQSRPYVAQQIWPQQTPQPPEDS >Potri.010G032301.1.v4.1 pep chromosome:Pop_tri_v4:10:5282072:5284398:-1 gene:Potri.010G032301.v4.1 transcript:Potri.010G032301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032301.v4.1 MDQQGHGQPPTVGMIGRTAPVPYGMASYQPNQMMGPSATGSVQSPTQPAVLAAASAQLAQHQLAYQHIHQQQQQQLQQQLQTFWANQYQEIEQTADFKNHSLPLARIKKIMKADEDVRMISAEAPVIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASVPRGSLPVGGPPDALPYYYMPHQLAPQVSAPGMTVGKPVVDQSLYGQQSRPYVAQQIWPQQTPQPPEDS >Potri.018G113100.3.v4.1 pep chromosome:Pop_tri_v4:18:13016694:13033053:-1 gene:Potri.018G113100.v4.1 transcript:Potri.018G113100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113100.v4.1 METTTTKTTKVKVRIVEREGGSDGSSGSWESSGGGGGGSGGGGSGGGGSGGGGGGEERGIYVYSGWVYHLGTNSIGRQYCHLRFLFIKGKYVQMYKRDPQDHPGIKHIRKGVIGPTLKVEELGRRKVNHGDIYVLRFYNRLDETKKGEIACATAGEVKQWMEAFDQGKQQAEFELARGLSARNKLNMETEIINLEGHRPRVRRYAYGLKKLIRIGQGPETLLRQSSSVAAKVRPDRYFEGEVGDAIDFHQWKCVRTANGVRIFEDVSNSKNGKGVLVKAVSVIEASADTVFEVILNLDQHQRYEWDMLTGDLELLDSYDGHYDIVYGTCDSNYLSRWKSNRDFVFSRQWFHGQDGTYTILQLPAVHKERPPRSGYQREKINPSTWEIRDLNTPMESNTARCLVMQMLEIQEAGWCRWKKSRGSKFEKTISFALLSQVEGLKEYISANPAFKFEHSTTVINSRISDGAISSSEYEDSEVQDEFYDAMADDSSSSSSEEESDDDHEKGVKVKLRNVSWAITGLALKRAPDTDARKDLDPCIAPINIDPSQFHGSLNKGKDENDSNCWTSPSGTGFMVRGKTYLKDSSKVMGGDPLLKLISVDWFKVDKAIDGISLHPRCLVQTEAGKKLPFVLVINLQIPSKPNYSLVLYYAADRPINKSSLLGKFVDGTDLFRDSRFKLIPSIVEGYWMVKRAVGTKACLLGKAVTCKYLRQDNFLEIAVDIGSSSVARGVIGLVLGYVTSLVVELAILIEAKEEADLPEYILGTVRLNRLRIDTAVPLEV >Potri.008G015100.1.v4.1 pep chromosome:Pop_tri_v4:8:771579:771884:1 gene:Potri.008G015100.v4.1 transcript:Potri.008G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G015100.v4.1 MHWSASGTRASDEFLISLAPAADVSPLKNTAYTELPTHDPISDVTKKDLAWHHKSIGENAIHLIPGVLILCALTLWIFSHPY >Potri.019G057901.1.v4.1 pep chromosome:Pop_tri_v4:19:9734849:9737229:1 gene:Potri.019G057901.v4.1 transcript:Potri.019G057901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057901.v4.1 MDLMILFEHPSFESKVSSPTIILVTLLALVAGFYYKHKASKHAGKKLPPGSLGFPLVGESISLVRAQKRDKIDDWMWKRIDKFGPIFKTSIFGTKTVVLTGQAGNRFLFSGGDGISYKQPKTIASILGKYSLFEISGSRHKLIRGAIVGFLKPERIQKIVGEINLLVQQQLSKELDGEDSVKIVPFMKRIAFNITCNIFFGIPDGKEKDTLFEEFSVAVKGCWAVPLDIPGTVFHRAMQARARLCKILSKIIDERKRQMEEGTVDVNENIIYSFLSLRDENDEPLIEEEILDMVLSLIMASHDSTTILLCLLVRLLSRDAEIYNKVLEEQREVIKVKGGRDGKITWNEIQMMKYSWRVAQEVMRFYPPIFGNFRQITKDIEFDGFDIPKGWQVLWVASGTHMDKSIFEDPEKFDPSRFDTSSKTFPPYTYVPFGAGLRICPGADFVRIESMLVIHHFITKYQWKEIIPDEPIIRDPMPYPAMGLPVKFYPRSGDLAIAGNDI >Potri.014G126900.1.v4.1 pep chromosome:Pop_tri_v4:14:8522376:8525402:-1 gene:Potri.014G126900.v4.1 transcript:Potri.014G126900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126900.v4.1 MAFSVSSFTLLVLFSCFATFLVCHGFPVHHNNPFHHRRHPRFASHNYRDALTKSILFFEGQRSGKLPSSQRITWRRDSGLTDGSAMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVLEFGGLMKGELQNAREAIRWATDYLLKATAHPDTIYVQVGDANKDHACWERPEDMDTPRSVYKVDKNSPGSEVAAETAAALAAASLVFRRCDPTYAKLLVRRAIRVFQFADKYRGAYSNGLKKYVCPFYCSYSGYEDELLWGAAWLHKATKNPTYLNYIQVNGQTLGAAQFDNTFGWDNKHVGARILLSKAFLVQKVQSLHDYKDHADNFICSLIQGAPFSSAQYTPGGLLFKMNDSNMQYVTSTSFLLLSYAKYLTYARKIVNCGVTAVTPKRLRNIAKKQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSMAAHPAKIQCSSGFSVMNSQSPNPNILVGAIVGGPDEHDRFPDERSDYEQSEPATYMNAPLVGALAYLAHSFGQL >Potri.001G273100.14.v4.1 pep chromosome:Pop_tri_v4:1:28711902:28718785:-1 gene:Potri.001G273100.v4.1 transcript:Potri.001G273100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273100.v4.1 MRNFVNVVARSFSLSSSLLSHLHKVQFSPRKNRIISILVGSQISPHLATITEVIDHCKRLTTRVSFDEGEVKDDNLNQMLNAVENAPESATRKIGTAYVHNLCKAGNLFTAVRLLQSLCDKNIFLGPSAYNIILVAASEKNDIAILSQVFKDLIVSCQSLPSTSYLKLARGFVKTNDDVQLLRLVKEVSEMTFPSSMMVVNRIIFAFAECGQFDKALLIFKQMENLKCKPDLVTYNTVLDLLGHAGRIDEMLCEFASMKEAGILPDFISYNTLLNQLWKVGRLDLCSVYSRDMVESGIEPDLLTYTALIGSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKVELAMTLLEEMNASMSNLAGHKDFKRTRK >Potri.001G273100.10.v4.1 pep chromosome:Pop_tri_v4:1:28714177:28718854:-1 gene:Potri.001G273100.v4.1 transcript:Potri.001G273100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273100.v4.1 MRNFVNVVARSFSLSSSLLSHLHKVQFSPRKNRIISILVGSQISPHLATITEVIDHCKRLTTRVSFDEGEVKDDNLNQMLNAVENAPESATRKIGTAYVHNLCKAGNLFTAVRLLQSLCDKNIFLGPSAYNIILVAASEKNDIAILSQVFKDLIVSCQSLPSTSYLKLARGFVKTNDDVQLLRLVKEVSEMTFPSSMMVVNRIIFAFAECGQFDKALLIFKQMENLKCKPDLVTYNTVLDLLGHAGRIDEMLCEFASMKEAGILPDFISYNTLLNQLWKVGRLDLCSVYSRDMVESGIEPDLLTYTALIGSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKVELAMTLLEEMNASMSNLAGHKDFKRTRK >Potri.001G273100.13.v4.1 pep chromosome:Pop_tri_v4:1:28710449:28718785:-1 gene:Potri.001G273100.v4.1 transcript:Potri.001G273100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273100.v4.1 MRNFVNVVARSFSLSSSLLSHLHKVQFSPRKNRIISILVGSQISPHLATITEVIDHCKRLTTRVSFDEGEVKDDNLNQMLNAVENAPESATRKIGTAYVHNLCKAGNLFTAVRLLQSLCDKNIFLGPSAYNIILVAASEKNDIAILSQVFKDLIVSCQSLPSTSYLKLARGFVKTNDDVQLLRLVKEVSEMTFPSSMMVVNRIIFAFAECGQFDKALLIFKQMENLKCKPDLVTYNTVLDLLGHAGRIDEMLCEFASMKEAGILPDFISYNTLLNQLWKVGRLDLCSVYSRDMVESGIEPDLLTYTALIGSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKVELAMTLLEEMNASMSNLAGHKDFKRTRK >Potri.001G273100.15.v4.1 pep chromosome:Pop_tri_v4:1:28714270:28718762:-1 gene:Potri.001G273100.v4.1 transcript:Potri.001G273100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273100.v4.1 MRNFVNVVARSFSLSSSLLSHLHKVQFSPRKNRIISILVGSQISPHLATITEVIDHCKRLTTRVSFDEGEVKDDNLNQMLNAVENAPESATRKIGTAYVHNLCKAGNLFTAVRLLQSLCDKNIFLGPSAYNIILVAASEKNDIAILSQVFKDLIVSCQSLPSTSYLKLARGFVKTNDDVQLLRLVKEVSEMTFPSSMMVVNRIIFAFAECGQFDKALLIFKQMENLKCKPDLVTYNTVLDLLGHAGRIDEMLCEFASMKEAGILPDFISYNTLLNQLWKVGRLDLCSVYSRDMVESGIEPDLLTYTALIGSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKVELAMTLLEEMNASMSNLAGHKDFKRTRK >Potri.001G273100.12.v4.1 pep chromosome:Pop_tri_v4:1:28714701:28718827:-1 gene:Potri.001G273100.v4.1 transcript:Potri.001G273100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273100.v4.1 MRNFVNVVARSFSLSSSLLSHLHKVQFSPRKNRIISILVGSQISPHLATITEVIDHCKRLTTRVSFDEGEVKDDNLNQMLNAVENAPESATRKIGTAYVHNLCKAGNLFTAVRLLQSLCDKNIFLGPSAYNIILVAASEKNDIAILSQVFKDLIVSCQSLPSTSYLKLARGFVKTNDDVQLLRLVKEVSEMTFPSSMMVVNRIIFAFAECGQFDKALLIFKQMENLKCKPDLVTYNTVLDLLGHAGRIDEMLCEFASMKEAGILPDFISYNTLLNQLWKVGRLDLCSVYSRDMVESGIEPDLLTYTALIGSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKVELAMTLLEEMNASMSNLAGHKDFKRTRK >Potri.001G273100.4.v4.1 pep chromosome:Pop_tri_v4:1:28711959:28718776:-1 gene:Potri.001G273100.v4.1 transcript:Potri.001G273100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273100.v4.1 MRNFVNVVARSFSLSSSLLSHLHKVQFSPRKISPHLATITEVIDHCKRLTTRVSFDEGEVKDDNLNQMLNAVENAPESATRKIGTAYVHNLCKAGNLFTAVRLLQSLCDKNIFLGPSAYNIILVAASEKNDIAILSQVFKDLIVSCQSLPSTSYLKLARGFVKTNDDVQLLRLVKEVSEMTFPSSMMVVNRIIFAFAECGQFDKALLIFKQMENLKCKPDLVTYNTVLDLLGHAGRIDEMLCEFASMKEAGILPDFISYNTLLNQLWKVGRLDLCSVYSRDMVESGIEPDLLTYTALIGSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKVELAMTLLEEMNASMSNLAGHKDFKRTRK >Potri.001G273100.11.v4.1 pep chromosome:Pop_tri_v4:1:28714177:28718854:-1 gene:Potri.001G273100.v4.1 transcript:Potri.001G273100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273100.v4.1 MRNFVNVVARSFSLSSSLLSHLHKVQFSPRKNRIISILVGSQISPHLATITEVIDHCKRLTTRVSFDEGEVKDDNLNQMLNAVENAPESATRKIGTAYVHNLCKAGNLFTAVRLLQSLCDKNIFLGPSAYNIILVAASEKNDIAILSQVFKDLIVSCQSLPSTSYLKLARGFVKTNDDVQLLRLVKEVSEMTFPSSMMVVNRIIFAFAECGQFDKALLIFKQMENLKCKPDLVTYNTVLDLLGHAGRIDEMLCEFASMKEAGILPDFISYNTLLNQLWKVGRLDLCSVYSRDMVESGIEPDLLTYTALIGSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKVELAMTLLEEMNASMSNLAGHKDFKRTRK >Potri.001G273100.9.v4.1 pep chromosome:Pop_tri_v4:1:28714270:28718762:-1 gene:Potri.001G273100.v4.1 transcript:Potri.001G273100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273100.v4.1 MRNFVNVVARSFSLSSSLLSHLHKVQFSPRKNRIISILVGSQISPHLATITEVIDHCKRLTTRVSFDEGEVKDDNLNQMLNAVENAPESATRKIGTAYVHNLCKAGNLFTAVRLLQSLCDKNIFLGPSAYNIILVAASEKNDIAILSQVFKDLIVSCQSLPSTSYLKLARGFVKTNDDVQLLRLVKEVSEMTFPSSMMVVNRIIFAFAECGQFDKALLIFKQMENLKCKPDLVTYNTVLDLLGHAGRIDEMLCEFASMKEAGILPDFISYNTLLNQLWKVGRLDLCSVYSRDMVESGIEPDLLTYTALIGSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKVELAMTLLEEMNASMSNLAGHKDFKRTRK >Potri.002G118800.1.v4.1 pep chromosome:Pop_tri_v4:2:8990684:8992586:1 gene:Potri.002G118800.v4.1 transcript:Potri.002G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118800.v4.1 MDMEKKNSANFKYMGRSISDLSVNDDSLAFSDCNSDKSGEFPASASQSRRLLLSCAADNSDDLIRQLVSDLESCSIDEQKQAAMEIRLLAKNKPENRLKIAKAGAIKPLISLISSSDSQLQEYGVTAILNLSLCDENKGLIASSGAIKPLVRALKTGTSTAKENAACALLRLSQMEENKVAIGRSGAIPLLVCLLETGGFRGKKDSATALYLLCSVKENKIRAVQAGIMKPLVELMADFGSNMVDKSAFVLSMLVTVPEAKTAVVEEAGIPVLVEIVEVGSQRQKEIAVSILLQICEDNMVYCSMVAREGAIPPLVALSQSGTNRAKQKAEALIELLRQLRSGNAAAKTSDVSV >Potri.002G118800.2.v4.1 pep chromosome:Pop_tri_v4:2:8990689:8992582:1 gene:Potri.002G118800.v4.1 transcript:Potri.002G118800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118800.v4.1 MDMEKKNSANFKYMGRSISDLSVNDDSLAFSDCNSDKSGEFPASASQSRRLLLSCAADNSDDLIRQLVSDLESCSIDEQKQAAMEIRLLAKNKPENRLKIAKAGAIKPLISLISSSDSQLQEYGVTAILNLSLCDENKGLIASSGAIKPLVRALKTGTSTAKENAACALLRLSQMEENKVAIGRSGAIPLLVCLLETGGFRGKKDSATALYLLCSVKENKIRAVQAGIMKPLVELMADFGSNMVDKSAFVLSMLVTVPEAKTAVVEEAGIPVLVEIVEVGSQRQKEIAVSILLQICEDNMVYCSMVAREGAIPPLVALSQSGTNRAKQKVSVIVKSMSAGATDVAGSF >Potri.004G121500.1.v4.1 pep chromosome:Pop_tri_v4:4:11668621:11672240:-1 gene:Potri.004G121500.v4.1 transcript:Potri.004G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121500.v4.1 MCVCFVLSVFLFFVLYLPDMAEEAGMFMVHQTIGSVLCCKCGIPMQPNAANMCVKCLRSEVDITEGLKKNVILLHCPECDTYLDPPSTRIRAQLESRELMAFCLKKLKLKSTGVILVNAEFIWTEPHSKRIKLRVRVQKEVLHGAILEQAYVIEYVQQDQMCDSCTRVQANPDQWVAGVQLRQHVAHRRTFFYLEQLILKHDAAARAIKIKQMDHGIDFFFANRSHGVKFVDFVGKVAPVKSRTDKQLVSHDTKSNNYNYKHTFSVEISPICREDLVCLPPRVAVSLGNLGPLVICSKVTNSIALLDPFTLRHCFLDADQYWRTPFKSLLTSRQLVEYIVFDVDFVSPEVNIGGSRYALADATVARMSDFGKNDTMFNIKTHLGHILKPGDYALGYDLHGANSNDMELDKYKNLVIPEAILVKKSYEEKRQRKRGKPRSWKLKSLNMEVDDTRARGDQEKMNSEYEQFLRDLEENPELRFNVSLYRNKEYQPSEMASMTDGEDIPSIPLEELLADLEINDAEDEDEGMGE >Potri.004G121500.2.v4.1 pep chromosome:Pop_tri_v4:4:11668598:11672241:-1 gene:Potri.004G121500.v4.1 transcript:Potri.004G121500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121500.v4.1 MAEEAGMFMVHQTIGSVLCCKCGIPMQPNAANMCVKCLRSEVDITEGLKKNVILLHCPECDTYLDPPSTRIRAQLESRELMAFCLKKLKLKSTGVILVNAEFIWTEPHSKRIKLRVRVQKEVLHGAILEQAYVIEYVQQDQMCDSCTRVQANPDQWVAGVQLRQHVAHRRTFFYLEQLILKHDAAARAIKIKQMDHGIDFFFANRSHGVKFVDFVGKVAPVKSRTDKQLVSHDTKSNNYNYKHTFSVEISPICREDLVCLPPRVAVSLGNLGPLVICSKVTNSIALLDPFTLRHCFLDADQYWRTPFKSLLTSRQLVEYIVFDVDFVSPEVNIGGSRYALADATVARMSDFGKNDTMFNIKTHLGHILKPGDYALGYDLHGANSNDMELDKYKNLVIPEAILVKKSYEEKRQRKRGKPRSWKLKSLNMEVDDTRARGDQEKMNSEYEQFLRDLEENPELRFNVSLYRNKEYQPSEMASMTDGEDIPSIPLEELLADLEINDAEDEDEGMGE >Potri.004G121500.3.v4.1 pep chromosome:Pop_tri_v4:4:11668599:11671087:-1 gene:Potri.004G121500.v4.1 transcript:Potri.004G121500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121500.v4.1 MAEEAGMFMVHQTIGSVLCCKCGIPMQPNAANMCVKCLRSEVDITEGLKKNVILLHCPECDTYLDPPSTRIRAQLESRELMAFCLKKLKLKSTGVILVNAEFIWTEPHSKRIKLRVRVQKEVLHGAILEQAYVIEYVQQDQMCDSCTRVQANPDQWVAGVQLRQHVAHRRTFFYLEQLILKHDAAARAIKIKQMDHGIDFFFANRSHGVKFVDFVGKVAPVKSRTDKQLVSHDTKSNNYNYKHTFSVEISPICREDLVCLPPRVAVSLGNLGPLVICSKVTNSIALLDPFTLRHCFLDADQYWRTPFKSLLTSRQLVEYIVFDVDFVSPEVNIGGSRYALADATVARMSDFGKNDTMFNIKTHLGHILKPGDYALGYDLHGANSNDMELDKYKNLVIPEAILVKKSYEEKRQRKRGKPRSWKLKSLNMEVDDTRARGDQEKMNSEYEQFLRDLEENPELRFNVSLYRNKEYQPSEMASMTDGEDIPSIPLEELLADLEINDAEDEDEGMGE >Potri.016G046200.4.v4.1 pep chromosome:Pop_tri_v4:16:2958345:2959707:-1 gene:Potri.016G046200.v4.1 transcript:Potri.016G046200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046200.v4.1 MGAGGRMSPPYSPGKVESHVLKRAPDSKPPFTLGQLKKAIPPHCFRRSVLRSFSYVVYDLIIASLFYYVATNYFHLLPHPLSYVAWPIYWAVQGCVLTGVWVLAHECGHHAFSDYQLLDDIVGLILHSCLLVPYFSWKHSHRRHHSNTGSLERDEVFVPKQKSSISWFSKYLNNPPGRFLTITITLTLGWPLYLACNVSGRPYDRFACHYDPYGPIFNDRERAEIYISDAGVLAVTYGLYRLAVAKGLAWVLCVYGGPLLVVNAFLVLITYLQHTHVALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDGTPFYKAMWREGKECIYVQQDEGKPKSKGVYWYSNKFD >Potri.016G046200.3.v4.1 pep chromosome:Pop_tri_v4:16:2958202:2964945:-1 gene:Potri.016G046200.v4.1 transcript:Potri.016G046200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046200.v4.1 MGAGGRMSPPYSPGKVESHVLKRAPDSKPPFTLGQLKKAIPPHCFRRSVLRSFSYVVYDLIIASLFYYVATNYFHLLPHPLSYVAWPIYWAVQGCVLTGVWVLAHECGHHAFSDYQLLDDIVGLILHSCLLVPYFSWKHSHRRHHSNTGSLERDEVFVPKQKSSISWFSKYLNNPPGRFLTITITLTLGWPLYLACNVSGRPYDRFACHYDPYGPIFNDRERAEIYISDAGVLAVTYGLYRLAVAKGLAWVLCVYGGPLLVVNAFLVLITYLQHTHVALPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYHAMEATKAIKPILGEYYQFDGTPFYKAMWREGKECIYVQQDEGKPKSKGVYWYSNKFD >Potri.006G098800.2.v4.1 pep chromosome:Pop_tri_v4:6:7572339:7574266:1 gene:Potri.006G098800.v4.1 transcript:Potri.006G098800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098800.v4.1 MTSEITEEKDDDSPCFFNTEDERQRIRQIIEYQKSLYWSSSSPSLSSSTASCSSFSSSHKSSSLLDLMKVGSTSLRRLFDMEHTSLATHFQDYSGSPMIKPIPLWGSDTENEVHDPWASIRQIGAFSDPGSDEPSKFASGSCKNDDFASKDKKAKNRKLTRKKSFRRLPGFRVWRFRRFSFRLRLKRLRIMICGKIF >Potri.006G098800.3.v4.1 pep chromosome:Pop_tri_v4:6:7572339:7574266:1 gene:Potri.006G098800.v4.1 transcript:Potri.006G098800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098800.v4.1 MSSVSSNQEPQHSKLRRQLEGGKREKTNSENKMTSEITEEKDDDSPCFFNTEDERQRIRQIIEYQKSLYWSSSSPSLSSSTASCSSFSSSHKSSSLLDLMKVGSTSLRRLFDMEHTSLATHFQDYSGSPMIKPIPLWGSDTENEVHDPWASIRQIGAFSDPGSDEPSKFASGSCKNDDFASKDKKAKNRKLTRKKSFRRLPGFRVWRFRRFSFRLRLKRLRIMICGKIF >Potri.006G098800.5.v4.1 pep chromosome:Pop_tri_v4:6:7572339:7574266:1 gene:Potri.006G098800.v4.1 transcript:Potri.006G098800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098800.v4.1 MTSEITEEKDDDSPCFFNTEDERQRIRQIIEYQKSLYWSSSSPSLSSSTASCSSFSSSHKSSSLLDLMKVGSTSLRRLFDMEHTSLATHFQDYSGSPMIKPIPLWGSDTENEVHDPWASIRQIGAFSDPGSDEPSKFASGSCKNDDFASKDKKAKNRKLTRKKSFRRLPGFRVWRFRRFSFRLRLKRLRIMICGKIF >Potri.006G098800.4.v4.1 pep chromosome:Pop_tri_v4:6:7572339:7574266:1 gene:Potri.006G098800.v4.1 transcript:Potri.006G098800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098800.v4.1 MTSEITEEKDDDSPCFFNTEDERQRIRQIIEYQKSLYWSSSSPSLSSSTASCSSFSSSHKSSSLLDLMKVGSTSLRRLFDMEHTSLATHFQDYSGSPMIKPIPLWGSDTENEVHDPWASIRQIGAFSDPGSDEPSKFASGSCKNDDFASKDKKAKNRKLTRKKSFRRLPGFRVWRFRRFSFRLRLKRLRIMICGKIF >Potri.001G403300.2.v4.1 pep chromosome:Pop_tri_v4:1:42919670:42921959:-1 gene:Potri.001G403300.v4.1 transcript:Potri.001G403300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403300.v4.1 MALQAASLVSSAFVVPKEGKSSASLKESSLLGVSLSDHVKADFSSSTLKWKREFNQRVRTVRAQSMATATPAVNRASSDGKKTLRQGCCIVTGASSGLGLAAAKALAETGKWHVIMACRDFLKAERAAKSAGIAKENCTIMHLDLASLESVRQFVDTFRRSGRALDVLVCNAAVYLPTAKEPTFTAEGFELSVGTNHLGHFLLSRLLLEDMKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLAGGLNGLNSSAMIDGGEFDGAKAYKDSKVCNMLTMQEFHRRFHEETGITFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEEDAGKRLAQVVSDPSLTKSGVYWSWNKDSASFENQLSQEASDAEKARKVWEVSEKLVGLA >Potri.006G262300.1.v4.1 pep chromosome:Pop_tri_v4:6:25852045:25855428:-1 gene:Potri.006G262300.v4.1 transcript:Potri.006G262300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262300.v4.1 MNCNPLIILGLGFFLFNNFLFFVSNADTGPSCPKTSPYVGFESKFTMVRHQVRGFLTIVDDCSFRVSQFDMLSGSDVHFWGSIAPDFDNFTNGFMISDYKLNETYKNASFSVKLSRNVTWDRIQVLSICDLLTESDFGHVILSNGSDLAPTLSPDLAPSPASNYSMGEEGKFGPFRVPTMFDNCKVLSNDYRIRWSLSAERDFIDIGLEAAIAIQNYMAFGWADPKANSEVMIGGDVAVAGFTEEGMPFVDDFYITKYSECTINKDGSAHGVCPDTIYEGSDPVGLVNNTKLIYGHRKDGVSFIRYRRPMVSVDTKYDLPVKYTENVTVIWALGLMRPPDTFRPYYSPQNHGGPMSVTYGHLVLNVSEQVNECLGPLDAANKEDQDLVIADANKPLVVTTGPAVHYPNPPNPSKVLYINKKEAPVLKVERGVPVRFSVQAGHDVALYITSDLIGGNATLRNKTETIYAGGPEAEGVLASPMELIWEPDRNTPDQVYYQSLYQKKMGWRVQVVDGGLSDMYNNSVLLDDQQVTFFWTLSKDSISIAARGEKKSGYIAIGFGIGMVNSYAYVGWVDDTGKGHVNSYWIDGRDASRVHPTNENLTNIRCKSENGIITFEFVRPLKPCSHNNRVECKNIIDPTTPLKVIWALGTKWSDEHLNEKNMHSETSHRPIRVLLMGGSAEAEQDLRPVLAVHGFMMFLSWGILLPGGILAARYLKHVKGDSWYQIHVSLQYSGLAILLLGLLFAVAELRGLNISSAHVKFGLAAIFLACVQPVNASMRPKKSANGEEVSSKRRLWEYFHFIAGRSAIIVGIAALFSGMKHLGDRYGDENVHGYIWALILWFVIGTMIVMYLEYHEKQRRRDRVFGRSNWVLGNLEEDDSSDLLNPARASSQKDKQHSGLMEVQLEPLNR >Potri.011G055212.1.v4.1 pep chromosome:Pop_tri_v4:11:2352663:2353770:-1 gene:Potri.011G055212.v4.1 transcript:Potri.011G055212.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055212.v4.1 MLIRMDVIACDVINPDHIDVEFELIGGLESIKQALYELVILHLRKPELFSHGKLLGLQKGVLLYEPL >Potri.006G016001.1.v4.1 pep chromosome:Pop_tri_v4:6:1016911:1017264:-1 gene:Potri.006G016001.v4.1 transcript:Potri.006G016001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016001.v4.1 MFFFVKRDGDFYFKSLLYNWSKRFKSMFVYSKVKRHKENPRHVEVLHRELLRVMGWSHIPLPCVAASLPLQFGTGHEANIYIYNCLFLYLMLISVLPMMRINTILPSFGTEWLLKPC >Potri.005G256100.1.v4.1 pep chromosome:Pop_tri_v4:5:24702200:24704719:-1 gene:Potri.005G256100.v4.1 transcript:Potri.005G256100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256100.v4.1 MGLRVLSALDGAKIQYYHFKAIIIAGMGLFTDAYDLFCIPPIMKLLGRVYYEDNPHDKYQIPQVVLATMLGTVLLGTVIGQLVFGILGDRMGRRRVYGISLMLMVLSSVGCGFSICRTKTCVLVSLGFFRFFLGLGIGGDYPLSATIMSEFANKNTRGAFIAAVFSMQGLGILASSMVTMVVSKTFEAAASKKLSHDHTPEDADIAWRLILMLGAVPAGLTYYWRMMMPETARYTALVENNALQAARDMEKVLDVSIFQIAEDDPMQQPQNPSSYPLISMQFLRVHGIDLFSCATTWLLLDIAFYSSSLFQSQIYRKNLHLQDTNVYNEAFKVAFFQTMVAIAATIPGYWFTVYFIDRIGRKKIQMMGFLCMGIVYFAIGIPYHYWRTHENKGFLVLYGLTFFFANFGPNTTTFIVPAELFPARFRSTCHGISGAMGKVGAFFGTLGFLWATKNNDPRIKAMRIALVSLGGICLLGMAVTYLFTRETNGRSLEENEKEKENSELRFFRCLMDADRHPKTSVPEEEIAL >Potri.010G144900.2.v4.1 pep chromosome:Pop_tri_v4:10:15677494:15678541:1 gene:Potri.010G144900.v4.1 transcript:Potri.010G144900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144900.v4.1 MIKLEAGTKRLVPIWGHSEISNLEFDWDHNGSTEISHIYISHDNHIRFIQFQYVEENGVLSKLSPMPVKNPYGWRFNVVKLDYPREFLKGISGDWSHGNVNSLTFTTNRGTYGPFGCKAENGTEFDFQTGDEPLFAGLHGSFDDAGLRTIGIYVNPEQASF >Potri.016G076601.2.v4.1 pep chromosome:Pop_tri_v4:16:5784171:5785548:-1 gene:Potri.016G076601.v4.1 transcript:Potri.016G076601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076601.v4.1 MVLVDMRNHGKSVDIEGLDPPHNMFNAAMDVANLVKEKGWEWPDVVIGHSMGGKVALQFAESCTRGDYGHSVSFPKQNSCGYWILCL >Potri.016G076601.1.v4.1 pep chromosome:Pop_tri_v4:16:5784171:5785548:-1 gene:Potri.016G076601.v4.1 transcript:Potri.016G076601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076601.v4.1 MVLVDMRNHGKSVDIEGLDPPHNMFNAAMDVANLVKEKGWEWPDVVIGHSMGGKVALQFAESCTRGDYGHSVSFPKQLWVLDSVPVEVSPEYSDGEVEKVLRTLHSLPSPIPSRR >Potri.003G019400.7.v4.1 pep chromosome:Pop_tri_v4:3:2011603:2014175:-1 gene:Potri.003G019400.v4.1 transcript:Potri.003G019400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019400.v4.1 MESLPDAVVQYIFSFMNNARDVAVCNCVSKRWKDSLPYIKSLYFPRNSFDNHSGIDHPDTAVWKMISSVVKLEELVVYSPFSSIGLASWMLLVGSSLKHLELRMDNLAEYQNCTESPSKLECISTAKNLESLKLWGVLMMNSPKWDAFPKLQSLEIVGAKLEDPALTAALQACPNLKNLLLLGCEGVRSVLIELPSLEQCKLDFYGVGNYSLTLTSPKIEFLEVQGCSWISVRETACLRSLSISNNAGRVYMVDFGKLAALEFLSIRGVQWCWNAISKMLQLASEVKHLYMKVEFTGDFDNLQPFPEIDFVDFFNSHPKLQKFDIHGAMFAALCQKNSLKNVQSGFVIPCLEEVVITVRSPLNAEQKISTLESLLKYGKVIKSMAIRILQMRSSHSSADDFFDEICRFQRMNHNTVRIE >Potri.003G019400.1.v4.1 pep chromosome:Pop_tri_v4:3:2011603:2014171:-1 gene:Potri.003G019400.v4.1 transcript:Potri.003G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019400.v4.1 MESLPDAVVQYIFSFMNNARDVAVCNCVSKRWKDSLPYIKSLYFPRNSFDNHSGIDHPDTAVWKMISSVVKLEELVVYSPFSSIGLASWMLLVGSSLKHLELRMDNLAEYQNCTESPSKLECISTAKNLESLKLWGVLMMNSPKWDAFPKLQSLEIVGAKLEDPALTAALQACPNLKNLLLLGCEGVRSVLIELPSLEQCKLDFYGVGNYSLTLTSPKIEFLEVQGCSWISVRETACLRSLSISNNAGRVYMVDFGKLAALEFLSIRGVQWCWNAISKMLQLASEVKHLYMKVEFTGDFDNLQPFPEIDFVDFFNSHPKLQKFDIHGAMFAALCQKNSLKNVQSGFVIPCLEEVVITVRSPLNAEQKISTLESLLKYGKVIKSMAIRILQMRSSHSSADDFFDEICRFQRMNHNTVRIE >Potri.009G020800.1.v4.1 pep chromosome:Pop_tri_v4:9:3323249:3327466:1 gene:Potri.009G020800.v4.1 transcript:Potri.009G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020800.v4.1 MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHTVHRHSGMAVAGLAADGRQIVARAKSEASNYQSVYGEPIPVKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVLEVAKIIYKLHDEAKDKAFELEMSWVCDESKRQHQKVPDELLEGAKAAARIALEEMDAD >Potri.006G077400.2.v4.1 pep chromosome:Pop_tri_v4:6:5749039:5749239:-1 gene:Potri.006G077400.v4.1 transcript:Potri.006G077400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077400.v4.1 MGGGMEANKNKFIEDWGSARETLEQNFRWTRRNFALIGLFGIAVPILVYKGIVKEFVISINLPPFS >Potri.006G077400.1.v4.1 pep chromosome:Pop_tri_v4:6:5746464:5749239:-1 gene:Potri.006G077400.v4.1 transcript:Potri.006G077400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077400.v4.1 MGGGMEANKNKFIEDWGSARETLEQNFRWTRRNFALIGLFGIAVPILVYKGIVKEFNMQDEDAGRPYRKFM >Potri.009G136700.1.v4.1 pep chromosome:Pop_tri_v4:9:11016577:11020460:-1 gene:Potri.009G136700.v4.1 transcript:Potri.009G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136700.v4.1 MADLLHKPLLDIEEQLHTSKKPPRAASLDVFRGLCVFLMMLVDYGGAIIPIIAHSPWNGLHLADSVMPFFLFIAGVSLALVYKKVPNRIEATWKAVLKAIKLFLLGVVIQGGYFHGINSLTYGVDMKRIRWLGILQKISVGYIVAALCEIWLSCRTRRGVSFLKSYYWHWCVAFSLSAIYLGLLYGLYVPDWQFEMSNATSSVFPTNHSYVYMVKCSLRGDLGPACNSAGMIDRYILGIDHLYKKPVYRNLKECNMSTDGQVPDNSASWCHAPFDPEGVLSSLTAAVTCIIGLQYGHLLAHLQDHKGRMENWTLFSFSLLVVGLLLVVIGDPVNKSLYTFSYMLITSASAGITYSALYLLVDVYDYRCLTFVLEWMGKHSLSIFVLVSSNLAVITIQGFCWAAPENNMIHWIVSRFVRR >Potri.014G107500.2.v4.1 pep chromosome:Pop_tri_v4:14:7242501:7245918:-1 gene:Potri.014G107500.v4.1 transcript:Potri.014G107500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107500.v4.1 MEPVGYRFHPTEEEIIDHYLSCKLNGLDSLVDAYIGEIDHLYQWDPWDLPDFAVIQSNDRVWYFFCRHDYKFPNSKRVNRTTKNGNWKLTGKVRNITRRGTNEVIGTKKNLVFLHKCPDSKKTRWVIHEFQAKTPPPDERAIVLCKLKNKADDSAANSPNDEGAETNILEVDAEQRLSLFNSQREDSNFPSPLQSLINAQNYLSDEEDFNFPSPLQSLINAQNYFSDEEDVTGFAFADSLIVDPDEYGTENAALSLPNDHSSPRSSRKAYAEDSSDRTTYHANGESANLYGGHGNLKISRQLQMAHGDDILLMGASSTDSTTATRHEHIKLMQSGGEVIPRTRQPPPPVAPSFVERKEVSHKRVKLLRGVSKAGEGRKESADIDLPQKESLGRESETNRKIVPVINAKPRAKSRSNELGGNDRKGRFIHLETTISSHGSSPLSVYLLNAVLGLLLFLIMLREALTVH >Potri.007G092400.1.v4.1 pep chromosome:Pop_tri_v4:7:11806757:11809964:1 gene:Potri.007G092400.v4.1 transcript:Potri.007G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092400.v4.1 MERNAAVSKPHTSTADLLTWKEAPPSASPSSASSHRPHQPSDKISKVLFGGQVSQEEAESLMKKKPCSGYKLKEMTGSGIFNGQDGTSESGDANPNNKTTVRVYQQAVTGISQISFSSEESISPKKPTSVPEVAKQRELSGTLQNDFDMKSNKLISNAKFKEISGHDIFAPPSEIAPRSLAAARSMETKENKDIGEPAPRNIRTSVRVSNPAGGQSNILFGEEPVMKTARKIHNQKFNELTGNGIFKGDVPPGSAEKPLSTAKLREMSGSGIFSDGKAPSRDYLGGVRQPPGGQSSIALV >Potri.006G261500.1.v4.1 pep chromosome:Pop_tri_v4:6:25774336:25777220:-1 gene:Potri.006G261500.v4.1 transcript:Potri.006G261500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261500.v4.1 MLCRMVMLNRKKKTGTVPVYLNVYDLTTVNGYAYWVGLGVYHSGVQVHGVEYSFGAHDHETTGIFEVEPKQCPGFMFRKSILIGRTDLGPKEVRAFMEKLAQEYPGNTYHLITKNCNHFCNDVCFKLTGKTIPQWVNRLARLGFLCNCVLPAELNQTKIRQVRSEESAREGEKKKLRSRSTRFISSSNPVNTSPSLTSYPSSSESRSGRQKRSIPVSPRSFVHDESSSSTWSLKA >Potri.003G057400.1.v4.1 pep chromosome:Pop_tri_v4:3:8442282:8444433:-1 gene:Potri.003G057400.v4.1 transcript:Potri.003G057400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G057400.v4.1 MPSRSLTDAFRSHPVHLHQKHLDFSSLQEIPDSHKWTQLDDIEQQHPSVESFITESVPVIDLLDPNVLQNIGNACKTWGVLQVTNHGIPISLLESVEGVSRSLFSLPVQQKLKAARSPDGVSGYGVARISSFFSKLMWSEGFTIVGSPLEHFRQLWPQDYTKFCDVIEEHEKEMQKLARRLTWLMLGSLGITKKDLNWAGPKGESKEGGAALQLNSYPACPDPDLAMGLAAHTDSTLLTILYQNNTSGLQVLKEGIGWVTVPPIPGGLVVNVGDLLHILSNGLYPSVLHRAVVNRTKHRLSIAYLYGPPSSVQISPIQKLVGPNHPPLYRPITWNEYLVAKAKHFNKALSSVRICAPLNGLVDVNDHNSVKVG >Potri.009G012400.2.v4.1 pep chromosome:Pop_tri_v4:9:2265923:2274375:1 gene:Potri.009G012400.v4.1 transcript:Potri.009G012400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012400.v4.1 MRGRDQILQLLLLYVFLSVLLTLATTSYGVNVTYDHRALLIDGKRRVLVSGSIHYPRSTVEMWADLIQKSKDGGLDVIETYVFWNAHEPVQNQYNFEGRYDLVKFIKLVGEAGLYAHLRIGPYVCAEWNYGGFPLWLHFVPGIKFRTDNEPFKAEMQRFTAKIVDMMKQEKLYASQGGPIILSQIENEYGNIDSSYGPAAKSYINWAASMAVSLDTGVPWVMCQQADAPDPIINTCNGFYCDQFTPNSKNKPKMWTENWSGWFLSFGGAVPYRPVEDLAFAVARFYQLGGTFQNYYMYHGGTNFGRSTGGPFISTSYDYDAPLDEYGLTRQPKWGHLKDLHKSIKLCEEALVATDPVTSSLGQNLEATVYKTGTGLCSAFLANFGTSDKTVNFNGNSYNLPGWSVSILPDCKNVALNTAKINSMTVIPNFVHQSLIGDADSADTLGSSWSWIYEPVGISKNDAFVKPGLLEQINTTADKSDYLWYSLSTVIKDNEPFLEDGSQTVLHVESLGHALHAFVNGKLAGSGTGNAGNAKVAVEIPVTLLPGKNTIDLLSLTAGLQNYGAFFELEGAGITGPVKLEGLKNGTTVDLSSLQWTYQIGLKGEESGLSSGNSQWVTQPALPTKQPLIWYKTSFNAPAGNDPIAIDFSGMGKGEAWVNGQSIGRYWPTKVSPTSGCSNCNYRGSYSSSKCLKNCAKPSQTLYHVPRSWVESSGNTLVLFEEIGGDPTQIAFATKQSASLCSHVSESHPLPVDMWSSNSEAERKAGPVLSLECPFPNQVISSIKFASFGTPRGTCGSFSHGQCKSTRALSIVQKACIGSKSCSIGASASTFGDPCRGVAKSLAVEASCA >Potri.013G035700.2.v4.1 pep chromosome:Pop_tri_v4:13:2331010:2331891:-1 gene:Potri.013G035700.v4.1 transcript:Potri.013G035700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035700.v4.1 MACAAARATLSFHLPQLSPPKSRNHFSKLSFLSIHKPQKTHLFSLHSIDVSREDKTPTLSDSTQTNPPTESPPEEEEEEEPEFDKRRLEEKFAVLNTGIYECRSCGYKYDEAVGDPSYPLPPGFQFDKLPDDWRCPTCGAAKSFFESKSVEIAGFAQNQQYGLGGNALTSGQKTILIYGTLLFFFVLFLSGYFLQ >Potri.004G122300.1.v4.1 pep chromosome:Pop_tri_v4:4:11573692:11576295:-1 gene:Potri.004G122300.v4.1 transcript:Potri.004G122300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G122300.v4.1 MGACKTLITTSLTSLPKPFSPFSKTQTQTLTHPPSVSFTPCIQHTRPRSSTATQSAFSLDPPDDTVELLLTKRDDVLRLMKMERRITKTHDDTVLGSHWFPYFDRFKCEGVDLSSSEVLEAVGPYMMEERKERIRNTVKSRSYSLCLVVEGLTDFGNVSAVFRSADALGFQSVHVISCDSSKRYKENRHVSMGAEKWLDIELWDSAKDCFQVLKSRGYRIATTHVGIDAVSIYDMDWSCPTAIVVGNENRGISDEALELSDLHCSIPMKGMVDSFNVSVAAGILMHHAVCDRTSRLGSHGDLTPEETQILLAEFSLRHSKNMISIAHDYAKRKAATPKPKL >Potri.003G133700.3.v4.1 pep chromosome:Pop_tri_v4:3:15177982:15184963:-1 gene:Potri.003G133700.v4.1 transcript:Potri.003G133700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133700.v4.1 MIIMEQKHIVLSALSVGVGVGIGLASGKTVSKWRGDAASASKGINSETMEQELLKQVIDGRESGVTFDQFPYYLSEQTRVLLTSAAYVYLKHAEASKYTRNLSPASRTILLSGPAEPYQQMLAKALAHFFEAKLLLLDATDFSLKIQSKYGANKESLFRRSTSETTLERLSGFFGSFSILPQKEEPKGTLRRQSSGVDIPSRGLDSSHNPPKIRRNSSAAANLSNEITQSTPANTAPSRHMSSWSFDEKLLVQSLYKVLVYVSKTSPIVLYLRDVEKISFRSNRIYNLFQKMFDKLSGSVLILGSRVVNLSNDSREVDERLTALFPYNIEIKPPEDETHLVSFKNRLEEDMKMIQVRDNRNHVMEVLSANDLDCDDLDSVCVADTMALSNYIEEIVLSAISYHLMNNKYPEYRNGKLFVSSKSLSHGLSIFQESKSTGKDSLKLEAKAETSKEKGKNETVTMKPGTKAEGVNAENKSEVEKKASAVKADGEDSLSASKARGVPPDNEFEKRIRPEVIPSNEINVTFTDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMTHWDGLLTHQGQRILVLAATNRPFDLDEAMIRRFERRIMVGLPSSEHRESILKTLLGKEKEEGIDFKKLATMTVGYSGSDLKNLCTTAAYRPVRELIQQEILKDLVKNQRAEAAQKSGEATDTKGEEKEERVINLRPLTMEDFELAKNQVAASFAAEGASMNELKQWNELYGEGGSRKKQQLAYFL >Potri.001G436800.1.v4.1 pep chromosome:Pop_tri_v4:1:46291312:46293215:1 gene:Potri.001G436800.v4.1 transcript:Potri.001G436800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436800.v4.1 MAGDQVTLLDFWSSPFGMRVRIALAEKGVKYEYSEQDLWNKGALYLQMNPVHKKIPVLVHDGKPICESLIIVQYIDEVWKDKAPLLPSDPYERAQSRFWADFVDKKVYELGKKICTTKGEDQEAAKKDFIDSLKLLEGELGDKPCFGGETLGYVDVALLPFYCWFYAYETIGNFNIEADCPKLIAYCKRCLEKESVSKSLKDPQKVYDFVVMMRKKLGLE >Potri.010G199500.10.v4.1 pep chromosome:Pop_tri_v4:10:19218199:19224875:-1 gene:Potri.010G199500.v4.1 transcript:Potri.010G199500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199500.v4.1 MNTYRVLEPTLKDILNGIQPLREDWVVRFKVIEELEDVVKSVESLRGSTVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKRRKQNLLEDVLKALRQRGGWQRLQFIPNARVPILKFENASISCDVSIDNMQGLMKSKFLFWINEIDRRFRDMVLLVKEWAKTHNINNPKTGSLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNVIDDLTGVRTDAERRIGEICAANISRYRSNKSRAINRNSLSELFISFLTKFYDISSKATELGICPFTGKWEEIRSNTRWLPRTYALFIEDPFEQPENTARAVSAANLMKISEAIQTTHHRLVTANQNQISFLGMLVRPRISRIIAGTPASNSSYTAGHQVRIPVGTPSYTSVHRVRTPVGTSSYMAGQHITTRSQTSRSVYSPSQAQFQTLNISPASHPSNLSSQTLNIRPASHTSNLSSQRMGSGSRNSTNERQENQTINSTRQRYVPHYHIQGQPAWRPKSDQ >Potri.010G199500.9.v4.1 pep chromosome:Pop_tri_v4:10:19218129:19225173:-1 gene:Potri.010G199500.v4.1 transcript:Potri.010G199500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199500.v4.1 MNTYRVLEPTLKDILNGIQPLREDWVVRFKVIEELEDVVKSVESLRGSTVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKRRKQNLLEDVLKALRQRGGWQRLQFIPNARVPILKFENASISCDVSIDNMQGLMKSKFLFWINEIDRRFRDMVLLVKEWAKTHNINNPKTGSLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNVIDDLTGVRTDAERRIGEICAANISRYRSNKSRAINRNSLSELFISFLTKFYDISSKATELGICPFTGKWEEIRSNTRWLPRTYALFIEDPFEQPENTARAVSAANLMKISEAIQTTHHRLVTANQNQISFLGMLVRPRISRIIAGTPASNSSYTAGHQVRIPVGTPSYTSVHRVRTPVGTSSYMAGQHITTRSQTSRSVYSPSQAQFQTLNISPASHPSNLSSQTLNIRPASHTSNLSSQRMGSGSRNSTNERQENQTINSTRQRYVPHYHIQGQPAWRPKSDQ >Potri.010G199500.8.v4.1 pep chromosome:Pop_tri_v4:10:19218228:19225150:-1 gene:Potri.010G199500.v4.1 transcript:Potri.010G199500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199500.v4.1 MNTYRVLEPTLKDILNGIQPLREDWVVRFKVIEELEDVVKSVESLRGSTVEPFGSFVSNLFTRWGDLDISIVLSNGSYISSAGKRRKQNLLEDVLKALRQRGGWQRLQFIPNARVPILKFENASISCDVSIDNMQGLMKSKFLFWINEIDRRFRDMVLLVKEWAKTHNINNPKTGSLNSYSLSLLVIFHFQTCVPAILPPLKEIYPRNVIDDLTGVRTDAERRIGEICAANISRYRSNKSRAINRNSLSELFISFLTKFYDISSKATELGICPFTGKWEEIRSNTRWLPRTYALFIEDPFEQPENTARAVSAANLMKISEAIQTTHHRLVTANQNQISFLGMLVRPRISRIIAGTPASNSSYTAGHQVRIPVGTPSYTSVHRVRTPVGTSSYMAGQHITTRSQTSRSVYSPSQAQFQTLNISPASHPSNLSSQTLNIRPASHTSNLSSQRMGSGSRNSTNERQENQTINSTRQRYVPHYHIQGQPAWRPKSDQ >Potri.010G229900.2.v4.1 pep chromosome:Pop_tri_v4:10:21249022:21253313:1 gene:Potri.010G229900.v4.1 transcript:Potri.010G229900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229900.v4.1 MGSSDSATSLAPGFRFHPTDEELVRYYLKRKVTNKPFRLDAISVTDVYKSEPWDLPVKSKLKSRDLEWYFFSMLDKKYGNGAKTNRATEKGYWKTTGKDRPINWNSRVVGMKKTLVYHQGRAPRGERSNWVMHEYRLADEELEKAGIAQDAFVLCRIFQKSGTGPKNGEQYGAPFIEEEWENDEVPLLPSEEMVPAGEAPVGDGSYLELNELDQNFDSGITSENTARPLNFYYGETSNHVEQPSNFSDNDIKPVIRGAENRNGPAPPADQNLDLPGQYEMDADKKEHNAEPINIVNALNANYLFDESYLDALGDLPPSEGFFLEANDLSKPVEPETGGDSSGFDMVDEYLNFFDAVDENMSFDPSDIFGSETAVSDQLPPQEDVKGQTEELSTASLNLLETHGTIDPSSSKQKPEAMKFDSGADFKYPFIKQASHRLGNIPAPPALASEFPSKDAALHLHPASSSSINVTAGMIRIENMSLGGNEMEWSFGKNGNVNIILSFGLPQQGGPASLVPMNSLFPGKTESVVSRGWLYLMFFWVLILSVSYKIGTCICAK >Potri.010G229900.3.v4.1 pep chromosome:Pop_tri_v4:10:21249043:21253824:1 gene:Potri.010G229900.v4.1 transcript:Potri.010G229900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229900.v4.1 MGSSDSATSLAPGFRFHPTDEELVRYYLKRKVTNKPFRLDAISVTDVYKSEPWDLPVKSKLKSRDLEWYFFSMLDKKYGNGAKTNRATEKGYWKTTGKDRPINWNSRVVGMKKTLVYHQGRAPRGERSNWVMHEYRLADEELEKAGIAQDAFVLCRIFQKSGTGPKNGEQYGAPFIEEEWENDEVPLLPSEEMVPAGEAPVGDGSYLELNELDQNFDSGITSENTARPLNFYYGETSNHVEQPSNFSDNDIKPVIRGAENRNGPAPPADQNLDLPGQYEMDADKKEHNAEPINIVNALNANYLFDESYLDALGDLPPSEGFFLEANDLSKPVEPETGGDSSGFDMVDEYLNFFDAVDENMSFDPSDIFGSETAVSDQLPPQEDVKGQTEELSTASLNLLETHGTIDPSSSKQKPEAMKFDSDFKYPFIKQASHRLGNIPAPPALASEFPSKDAALHLHPASSSSINVTAGMIRIENMSLGGNEMEWSFGKNGNVNIILSFGLPQQGGPASLVPMNSLFPGKTESVVSRGWLYLMFFWVLILSVSYKIGTCICAK >Potri.006G226700.3.v4.1 pep chromosome:Pop_tri_v4:6:23107072:23109955:-1 gene:Potri.006G226700.v4.1 transcript:Potri.006G226700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226700.v4.1 MGRQPLIIVADPELCKEVAIKKFKDIPNRSVPSPISASPLHQKGLFFTRDARWSTMRNTILSVYQPSHLASLVPTMQSFIESATDNFQSSNEEITFSNFSLKLATDVIGQAAFGVDFGLSKPQSASDSINSFHNQGKDNCDVSEFINQHIYSTTQLKMDLSGSFSIIIGLLVPILQEPFRQILKRIPGTMDWKVDRTNRNISGRLDEIVRKKMEEKNRGSKDFLSLILRARESETLSKKVFTPDYISAVTYEHLLAGSITTSFTLSSVVYLVAQHPETEKKLLAEIDGFGPHEQIPTALDLQNKFPYLDQVVKEAMRFYVVSPLVARETSKEVEIGGYVLPKGTWIWLAPGVLAKDPKNFPEPDRFKPERFDPNCEEEKRRHPCALIPFGIGPRACIGKKFSIQEIKLSLIHLYRKYLFRHSPTMEKPLEFEFGIVLNFKRGVKLRIIKRT >Potri.006G226700.2.v4.1 pep chromosome:Pop_tri_v4:6:23107049:23109996:-1 gene:Potri.006G226700.v4.1 transcript:Potri.006G226700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226700.v4.1 MDLQVLFTDVPVVTAIICTVFAMLLGLLGYLYGPYWGVRKVPGPPVIPLLGHLPLMAKHGPDVFSVLAKRYGPIFRFHMGRQPLIIVADPELCKEVAIKKFKDIPNRSVPSPISASPLHQKGLFFTRDARWSTMRNTILSVYQPSHLASLVPTMQSFIESATDNFQSSNEEITFSNFSLKLATDVIGQAAFGVDFGLSKPQSASDSINSFHNQGKDNCDVSEFINQHIYSTTQLKMDLSGSFSIIIGLLVPILQEPFRQILKRIPGTMDWKVDRTNRNISGRLDEIVRKKMEEKNRGSKDFLSLILRARESETLSKKVFTPDYISAVTYEHLLAGSITTSFTLSSVVYLVAQHPETEKKLLAEIDGFGPHEQIPTALDLQNKFPYLDQVVKEAMRFYVVSPLVARETSKEVEIGGYVLPKGTWIWLAPGVLAKDPKNFPEPDRFKPERFDPNCEEEKRRHPCALIPFGIGPRACIGKKFSIQEIKLSLIHLYRKYLFRHSPTMEKPLEFEFGIVLNFKRGVKLRIIKRT >Potri.012G011700.3.v4.1 pep chromosome:Pop_tri_v4:12:1310808:1320005:-1 gene:Potri.012G011700.v4.1 transcript:Potri.012G011700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011700.v4.1 MAMVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKILPIVDASSSDSGAFDGVLELLIRSGRTLPEAVMMMIPEAWQNDKNMDPQRRALYEYSSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTRSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHTVVDDEALKQQYSLARPYGEWLKRQKIELSDIVNSVQESDKVAPAISGVVAASDDDDSMVHMGIHGLLAPLKSFGYTVEALEMLMLPMAKDGTEPLGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCRRLSLKGPLLSIGEMEAIKKMNYNGWRSKVLDITYSIKRGRKGLEETLDRICTEAHEAIKEGYTVLVLSDRAFSSKRVAVSSLLAVGAVHQYLVKKLERTQVGLIVESAEPREVHHFCTLVGFGADAICPYLAIDAIWRLQVDGKIPPKSTGELHSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEGLGLSSEVIDKCFAGTPSRVEGATFEMLAHDSLRLHELAFPSRALPPGSAEAVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARGNSVAAYKEYSKRIQELNKACNLRGLLKFKVADVKVSLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAQAMNKIGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLRDKFAGEPEHVINFFFMLAEELREIMAQLGFRTMNEMVGRSDMLEVDKEVVKSNEKLENIDLSSLLRPAADIRPGAAQYCVQKQDHGLDMALDQKLIKLSEAALEKSLPVYIETPIRNVNRAVGTMLSHEVTKRYHLAGLPADTIHIKLKGSAGQSLGAFLCPGIMLELEGDGNDYVGKGLSGGKIVVYPPKGSLFDPKENIIIGNVALYGATGGEAYLNGMAAERFCVRNSGARAVVEGIGDHGCEYMTGGTIVVLGKTGRNFAAGMSGGVAYVLDLDGKFKSRCNLELVDLDKVEEEEDIMTLKMMIQQHQRHTNSLLAREVLADFDNLLPKFIKVFPRDYKRVLANMKEESASKEAAELAAKEAEEKNEAELREKDAFEELKKMAAASLNGKSNQVVEDEPLKRPTRVNNAVKHRGFIAYEREGVQYRDPNVRMNDWKEVMESSKPGPLLNTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDDPVSIKNIECSIIDKAFEEGWMVPRPPLKRTGKRVAIVGSGPSGLAAADQLNKRGHLVTVYERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMAKEGINFVVNANVGIDPLYSLDQLRQENDAIVLAVGATKPRDLPVPGREMSGVHFAMEFLHKNTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCMGTSIRHGCSGVVNLELLPEPPQTRAPGNPWPQWPKVFRVDYGHQEAASKFGKDPRSYEVLTKRFIGDEDGSVKGLEVVRVHWEKDASGKFQYKEVEGSEEIIEADLVLLAMGFLGPEPNVAKKLGLEQDNRSNFKAEYGRFSTNVEGIFAAGDCRRGQSLVVWAISEGRQAASQVDKYLMKEEDVTISTDNTQDELVKKHEDLTKRHQDSSKHTVMT >Potri.012G011700.2.v4.1 pep chromosome:Pop_tri_v4:12:1310796:1321908:-1 gene:Potri.012G011700.v4.1 transcript:Potri.012G011700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011700.v4.1 MSAAASSSSAILQPKSVKSSISSLNKSSYCVFSPSLNVATAASISRRRGRATRCVSARNSAVVERKSFLGSKVRGSPSERLHFWLSEGPGREPKLRVVVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAELSGENSRKTVNDALEMSVRMAHRGACGCETNTGDGAGILVALPHDYYKEVAKDIGFELPPSGEYAVGMFFLPTSDNRREESKNVFTKVAESLGHTVLGWRPVPTDNSALGNAALQTEPVIEQVFLTATPRSKADFEKQMYILRRVSMVAITAALNLQYGGVKDFYICSLSSRTVVYKGQLKPDQLKAYYYADLGNETFTSYMAMVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLLKCKELGLSKNEMKKILPIVDASSSDSGAFDGVLELLIRSGRTLPEAVMMMIPEAWQNDKNMDPQRRALYEYSSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTRSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHTVVDDEALKQQYSLARPYGEWLKRQKIELSDIVNSVQESDKVAPAISGVVAASDDDDSMVHMGIHGLLAPLKSFGYTVEALEMLMLPMAKDGTEPLGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCRRLSLKGPLLSIGEMEAIKKMNYNGWRSKVLDITYSIKRGRKGLEETLDRICTEAHEAIKEGYTVLVLSDRAFSSKRVAVSSLLAVGAVHQYLVKKLERTQVGLIVESAEPREVHHFCTLVGFGADAICPYLAIDAIWRLQVDGKIPPKSTGELHSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEGLGLSSEVIDKCFAGTPSRVEGATFEMLAHDSLRLHELAFPSRALPPGSAEAVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARGNSVAAYKEYSKRIQELNKACNLRGLLKFKVADVKVSLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAQAMNKIGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLRDKFAGEPEHVINFFFMLAEELREIMAQLGFRTMNEMVGRSDMLEVDKEVVKSNEKLENIDLSSLLRPAADIRPGAAQYCVQKQDHGLDMALDQKLIKLSEAALEKSLPVYIETPIRNVNRAVGTMLSHEVTKRYHLAGLPADTIHIKLKGSAGQSLGAFLCPGIMLELEGDGNDYVGKGLSGGKIVVYPPKGSLFDPKENIIIGNVALYGATGGEAYLNGMAAERFCVRNSGARAVVEGIGDHGCEYMTGGTIVVLGKTGRNFAAGMSGGVAYVLDLDGKFKSRCNLELVDLDKVEEEEDIMTLKMMIQQHQRHTNSLLAREVLADFDNLLPKFIKVFPRDYKRVLANMKEESASKEAAELAAKEAEEKNEAELREKDAFEELKKMAAASLNGKSNQVVEDEPLKRPTRVNNAVKHRGFIAYEREGVQYRDPNVRMNDWKEVMESSKPGPLLNTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDDPVSIKNIECSIIDKAFEEGWMVPRPPLKRTGKRVAIVGSGPSGLAAADQLNKRGHLVTVYERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMAKEGINFVVNANVGIDPLYSLDQLRQENDAIVLAVGATKPRDLPVPGREMSGVHFAMEFLHKNTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCMGTSIRHGCSGVVNLELLPEPPQTRAPGNPWPQWPKVFRVDYGHQEAASKFGKDPRSYEVLTKRFIGDEDGSVKGLEVVRVHWEKDASGKFQYKEVEGSEEIIEADLVLLAMGFLGPEPNVAKKLGLEQDNRSNFKAEYGRFSTNVEGIFAAGDCRRGQSLVVWAISEGRQAASQVDKYLMKEEDVTISTDNTQDELVKKHEDLTKRHQDSSKHTVMT >Potri.012G011700.4.v4.1 pep chromosome:Pop_tri_v4:12:1310795:1317989:-1 gene:Potri.012G011700.v4.1 transcript:Potri.012G011700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011700.v4.1 MGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCRRLSLKGPLLSIGEMEAIKKMNYNGWRSKVLDITYSIKRGRKGLEETLDRICTEAHEAIKEGYTVLVLSDRAFSSKRVAVSSLLAVGAVHQYLVKKLERTQVGLIVESAEPREVHHFCTLVGFGADAICPYLAIDAIWRLQVDGKIPPKSTGELHSKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEGLGLSSEVIDKCFAGTPSRVEGATFEMLAHDSLRLHELAFPSRALPPGSAEAVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARGNSVAAYKEYSKRIQELNKACNLRGLLKFKVADVKVSLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAQAMNKIGGKSNTGEGGEQPSRMEPLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAVTRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPSARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKSAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLRDKFAGEPEHVINFFFMLAEELREIMAQLGFRTMNEMVGRSDMLEVDKEVVKSNEKLENIDLSSLLRPAADIRPGAAQYCVQKQDHGLDMALDQKLIKLSEAALEKSLPVYIETPIRNVNRAVGTMLSHEVTKRYHLAGLPADTIHIKLKGSAGQSLGAFLCPGIMLELEGDGNDYVGKGLSGGKIVVYPPKGSLFDPKENIIIGNVALYGATGGEAYLNGMAAERFCVRNSGARAVVEGIGDHGCEYMTGGTIVVLGKTGRNFAAGMSGGVAYVLDLDGKFKSRCNLELVDLDKVEEEEDIMTLKMMIQQHQRHTNSLLAREVLADFDNLLPKFIKVFPRDYKRVLANMKEESASKEAAELAAKEAEEKNEAELREKDAFEELKKMAAASLNGKSNQVVEDEPLKRPTRVNNAVKHRGFIAYEREGVQYRDPNVRMNDWKEVMESSKPGPLLNTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDDPVSIKNIECSIIDKAFEEGWMVPRPPLKRTGKRVAIVGSGPSGLAAADQLNKRGHLVTVYERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMAKEGINFVVNANVGIDPLYSLDQLRQENDAIVLAVGATKPRDLPVPGREMSGVHFAMEFLHKNTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCMGTSIRHGCSGVVNLELLPEPPQTRAPGNPWPQWPKVFRVDYGHQEAASKFGKDPRSYEVLTKRFIGDEDGSVKGLEVVRVHWEKDASGKFQYKEVEGSEEIIEADLVLLAMGFLGPEPNVAKKLGLEQDNRSNFKAEYGRFSTNVEGIFAAGDCRRGQSLVVWAISEGRQAASQVDKYLMKEEDVTISTDNTQDELVKKHEDLTKRHQDSSKHTVMT >Potri.017G131900.2.v4.1 pep chromosome:Pop_tri_v4:17:13455168:13457471:1 gene:Potri.017G131900.v4.1 transcript:Potri.017G131900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131900.v4.1 MESDMVIHNGGCHCRRVRWRVQAPSSVVAWNCNCSDCSMRGNTHFIVPSEKFELLGDSKEFLTTYTFGTHTAKHTFCKFCGITSFYIPRSNPDGVAVTFRCVDPGTLTHVEIKHYDGRNWESSYNQTGIASCSQGTD >Potri.017G131900.3.v4.1 pep chromosome:Pop_tri_v4:17:13455188:13457318:1 gene:Potri.017G131900.v4.1 transcript:Potri.017G131900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131900.v4.1 MESDMVIHNGGCHCRRVRWRVQAPSSVVAWNCNCSDCSMRGNTHFIVPSEKFELLGDSKEFLTTYTFGTHTAKHTFCKFCGITSFYIPRSNPDGVAVTFRCVDPGTLTHVEIKHYDGRNWESSYNQTGIASCSQGTD >Potri.006G152600.1.v4.1 pep chromosome:Pop_tri_v4:6:13501077:13506470:-1 gene:Potri.006G152600.v4.1 transcript:Potri.006G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MDSIFAPKSSSISSLSSSALLSRFLEASSSRKFSSLTFIGSRPFRPSSYSTTFAMASNDVSKPKIITGDFGYVLEDVPHFTDYIPDLSTYSNPLQDNPAFCVVKQYFVHVDDSVPQKIVVHKDSPRGIHFRRAGPRQKVYFDSDEVHACIVTCGGLCPGLNTVIREIVYSLYHMYGVTTVLGIDGGYRGFYARNTIALTPKVVNDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGASVIFEEIRRRGLKVVVAGIPKTIDNDIPVIDKSFGFDTAVEEAQRAINAAHVEAESVENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLDGKGGLFEYIEKQLKENGHMVIVIAEGAGQELLSESMQSRNQQDASGNKLLQDVGLWISQGIKDYFSRQKTMAINLKYIDPTYMIRAVPSNASDNVYSTLLAQSAVHGAMAGYTGFTSGLVNGRQTYIPFYRIIEKQNKVVITDRMWARLLSSTNQPSFMSDKDVIEDKTECISGEKKEEDPATQFLDNGNRADGVLENKEVSSV >Potri.005G072300.8.v4.1 pep chromosome:Pop_tri_v4:5:4794385:4796406:1 gene:Potri.005G072300.v4.1 transcript:Potri.005G072300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072300.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.005G072300.7.v4.1 pep chromosome:Pop_tri_v4:5:4794380:4796390:1 gene:Potri.005G072300.v4.1 transcript:Potri.005G072300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072300.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.008G004100.2.v4.1 pep chromosome:Pop_tri_v4:8:163275:166374:-1 gene:Potri.008G004100.v4.1 transcript:Potri.008G004100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004100.v4.1 MVLPKELDEPIPLPSPPTLAVPFELRFQLPDLKLAVRDFMRSREAGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFLEVIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRAMTSAQEKWSQSECPRVQLGPLSLSFSLSWISPVAVGGAAAGIFSTLACHPLEVLKDRLTVSRDIYPTLSIAISKIYKDGGIGAFYAGISPTLIGMLPYSTCYYFMYDTMKTSYCKGKNKKSLNRPEMLLIGAFSGFTASTLSFPLEVARKRLMVGALQGKCPPHMAAALSEVIREGGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVEKRLL >Potri.008G004100.3.v4.1 pep chromosome:Pop_tri_v4:8:163272:166288:-1 gene:Potri.008G004100.v4.1 transcript:Potri.008G004100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004100.v4.1 MDFETESQKKKCGVLGDVLGGVTMVLPKELDEPIPLPSPPTLAVPFELRFQLPDLKLAVRDFMRSREAGEFLSGALAGAMTKAVLAPLETIRTRMVVGVGSKNISGSFLEVIEQQGWQGLWAGNGINMLRIIPTQAIELGTFECVKRAMTSAQEKWSQSECPRVQLGPLSLSFSLSWISPVAVGGAAAGIFSTLACHPLEVLKDRLTVSRDIYPTLSIAISKIYKDGGIGAFYAGISPTLIGMLPYSTCYYFMYDTMKTSYCKGKNKKSLNRPEMLLIGAFSGFTASTLSFPLEVARKRLMVGALQGKCPPHMAAALSEVIREGGLMGLYRGWGASCLKVMPSSGITWMFYEAWKDILLVEKRLL >Potri.008G220800.4.v4.1 pep chromosome:Pop_tri_v4:8:18386069:18392620:-1 gene:Potri.008G220800.v4.1 transcript:Potri.008G220800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220800.v4.1 MSKSSVSCFAKSHDHERPDLESIRGIVDSINEYMIGFLENVESWNSLKSQCTSMLNTIQNQKFFEFSEHSVLSNLYWGIESIEAAIQAKFPEEKTDHLRNSERLLQVPALLDEHGVTAGIQNQFLVCFSYFYLSAIKKLQNDEWQVALHYLQAMLVSPRLVRTEFAPEFCRVLFPLSNKSEIEDESSWDFGEDNTDEAIRQIARRYKHWLMYCQIMLHGETSGHCRSRNTSSPDKESQDLSHVMKSSSDLSNSVKQGHCLHNYHKYEKVHPLDLQGNRIEGTANEPMSNDIQEFQYYSNALKHLDQVPKVNIQNANLEKCKSIRRLEEILMEGELDSPTSVSSCDSYDLEEHNSEENMDDSKNSTTTTRTGVHDLQAECWDQMLQAPCSTVHSMSTTKILPHASQHRMREEASEVNIDDLFSERFLSSVSDLDLRVLELGGKRSDIQWNSHLKKSSQKLVQHRAIATKQDPHSRENFNKFCVHYRRDSSAEFIGDIEKVISKLCFSEGLAKFDEDYAGEVMTIYKMLNNKRGVKYTMLKDVMLDQLLTAISTSKEERVIRASVSILTTIISINKSAIEDIKNKGLRLCDLATALKRNVHEAAILIHMINPSPAEMKTLELLPALVEVVCSSNSYMERPATPLLTPPAASLMIIEVLVTAFDCATNNTHLAAINSPRVLRELLNVAGNNNLEGYVSLANVIVKCMQFDGQCRESVAQCIPVAPFIRLLQSNEKGAKFAALRFFHELLRMPRSPATNLLQQIRKEGGTKIMKVLVYCVRELPTDYQLLAANLLLQLDTLEESSEKGSFKEEAIQVILKSVDSEVSSPTQQLSAFIFANLGGTYAWTGEPYTVAWLVKKAGLTSLCHRNMIRNYDWLDQNLQDGVVDSWSSKIGKHVIDVGKPVFHALEKGLRSKAKRVSRDSLTAIAWIGFEIARCPTSLRYSACEILLGGIEQFLHPGLELEERLLACLCIYNYASGRGMQKLIHFSEGVRESLRRFSGVTWMADELHRVADYYLPNQSRISCVHTQILEASDSSSGAITSLIYYKGLLYSGHSDGSIKVWDIKQQSATIIWDLKEHKKAVTCFSLFEAGESLLSGSSDKTIRVWKMVQRKPECTEVIAMEEPIRQLEKYDQMIFVITQGHRMKVYDSSRTARDICKAKKVKSMRVVQGKIYIGCKDSSIQELTIATKREQEIKAPTKSWIMQKKPINAIVVYRDWLYSASSVIEGSKVKEWRTHHKPRISIAADKGRNVLLMGVVEDFIYLNSSSSTSTLQIWLRGMQQKVGRISAGSKITSLLTANDMVLCGTEKGLIKGWIPL >Potri.008G220800.2.v4.1 pep chromosome:Pop_tri_v4:8:18386062:18392620:-1 gene:Potri.008G220800.v4.1 transcript:Potri.008G220800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220800.v4.1 MSKSSVSCFAKSHDHERPDLESIRGIVDSINEYMIGFLENVESWNSLKSQCTSMLNTIQNQKFFEFSEHSVLSNLYWGIESIEAAIQAKFPEEKTDHLRNSERLLQVPALLDEHGVTAGIQNQFLVCFSYFYLSAIKKLQNDEWQVALHYLQAMLVSPRLVRTEFAPEFCRVLFPLSNKSEIEDESSWDFGEDNTDEAIRQIARRYKHWLMYCQIMLHGETSGHCRSRNTSSPDKESQDLSHVMKSSSDLSNSVKQGHCLHNYHKKLMQYEKVHPLDLQGNRIEGTANEPMSNDIQEFQYYSNALKHLDQVPKVNIQNANLEKCKSIRRLEEILMEGELDSPTSVSSCDSYDLEEHNSEENMDDSKNSTTTTRTGVHDLQAECWDQMLQAPCSTVHSMSTTKILPHASQHRMREEASEVNIDDLFSERFLSSVSDLDLRVLELGGKRSDIQWNSHLKKSSQKLVQHRAIATKQDPHSRENFNKFCVHYRRDSSAEFIGDIEKVISKLCFSEGLAKFDEDYAGEVMTIYKMLNNKRGVKYTMLKDVMLDQLLTAISTSKEERVIRASVSILTTIISINKSAIEDIKNKGLRLCDLATALKRNVHEAAILIHMINPSPAEMKTLELLPALVEVVCSSNSYMERPATPLLTPPAASLMIIEVLVTAFDCATNNTHLAAINSPRVLRELLNVAGNNNLEGYVSLANVIVKCMQFDGQCRESVAQCIPVAPFIRLLQSNEKGAKFAALRFFHELLRMPRSPATNLLQQIRKEGGTKIMKVLVYCVRELPTDYQLLAANLLLQLDTLEESSEKGSFKEEAIQVILKSVDSEVSSPTQQLSAFIFANLGGTYAWTGEPYTVAWLVKKAGLTSLCHRNMIRNYDWLDQNLQDGVVDSWSSKIGKHVIDVGKPVFHALEKGLRSKAKRVSRDSLTAIAWIGFEIARCPTSLRYSACEILLGGIEQFLHPGLELEERLLACLCIYNYASGRGMQKLIHFSEGVRESLRRFSGVTWMADELHRVADYYLPNQSRISCVHTQILEASDSSSGAITSLIYYKGLLYSGHSDGSIKVWDIKQQSATIIWDLKEHKKAVTCFSLFEAGESLLSGSSDKTIRVWKMVQRKPECTEVIAMEEPIRQLEKYDQMIFVITQGHRMKVYDSSRTARDICKAKKVKSMRVVQGKIYIGCKDSSIQELTIATKREQEIKAPTKSWIMQKKPINAIVVYRDWLYSASSVIEGSKVKEWRTHHKPRISIAADKGRNVLLMGVVEDFIYLNSSSSTSTLQIWLRGMQQKVGRISAGSKITSLLTANDMVLCGTEKGLIKGWIPL >Potri.005G107066.1.v4.1 pep chromosome:Pop_tri_v4:5:7706813:7707722:-1 gene:Potri.005G107066.v4.1 transcript:Potri.005G107066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107066.v4.1 MGLERAQAGAHYKRKLSSSESIIPFSAFWLRSSVVSVLISLISDTWANGSHDIKFIFLGGGSITVACYWGSRASPLRCTIALAWRSPPTLVPKALIYSV >Potri.018G071200.2.v4.1 pep chromosome:Pop_tri_v4:18:8702784:8707557:-1 gene:Potri.018G071200.v4.1 transcript:Potri.018G071200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G071200.v4.1 MGSHQKGERGGSSNGSGGGAVAVDKGVDFAQYFCTYAFLYHQKEMLSDRVRMDAYFNAIFENKHHFKGKTVLDVGTGSGILAMWSAQAGARKVYAVEATTMSGHAQALVKANNLQDVVEVLEGSMEDVTLPEQVDVIISEWMGYFLLRESMFDSVICARDRWLKPGGVMYPSHARMWMAPIRSGLGDQKKSDYDGSMNDWHAFMEDTKEYYGVDMSVLTKPFSEEQMKYYLQTSLWQNLHPHQVIGTAAIIKEIDCLTATVNDILKVKSDFLSSITLENTRLCGFGGWFDVHFRGSKVNPAQQEIELTTAPSVDNSTHWGQQVFLLHPPVHVSEGDAISVSFTMDRSKENHRLMEVELGCEIKQSSGKQPPPSRNKFYIE >Potri.001G017300.9.v4.1 pep chromosome:Pop_tri_v4:1:1272014:1275086:-1 gene:Potri.001G017300.v4.1 transcript:Potri.001G017300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G017300.v4.1 MEHQHHFLHGHNEHQQIHQKQMNPGLTRYQSAPSSYLSNILDRDFCEEFLNRPASPETERILARFLASSSGNTENISSQNLCEIKQDSPEAVSQTNQQPQMVAAMNNLGSDTRLQQQSNYSASQGFYQNQSKPPLPDQKPGSGMNYRSMNSMGMERLPSMKTSSSNNSNLVRHSSSPAGLFSNINSEFENGYAVLRGMGDLGAGNRDTNYSAASRPPPSSGRMGPVAEMGNKNMGENSPESGSYSETRSSNYVSGYPIGSWDDSETQNEEGGNRPPMLVHHMSLPKTAAEISAIEKFLQFQDSVPCRTRAKRGCATHPRSIAERVRRTRISERMRKLQDLVPNMDKQTNTSDMLDLAVDYIKDLQRQVQTLSEIRARCACINKQQQQP >Potri.008G125350.1.v4.1 pep chromosome:Pop_tri_v4:8:8148067:8148333:-1 gene:Potri.008G125350.v4.1 transcript:Potri.008G125350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125350.v4.1 MISSTHRMNHVGVIHTRRNAWTDAQNKFQIQRTNQGTSELIKHCSIELTETASPESKRREQICCEYHSCHFKTHDLSVANIKSSCIDK >Potri.003G172600.15.v4.1 pep chromosome:Pop_tri_v4:3:18069290:18075210:-1 gene:Potri.003G172600.v4.1 transcript:Potri.003G172600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172600.v4.1 MSSVSLMEWNGKPHLQWDWENLIMFNAITNENSKQLSLTDLETDGEKGNDSGFFYSSGSVSRSRGSISDLELASFSKSSKSASTNSSSAGEVKTSKFTLGASKTNPSDYNKEELVKAKATDTSPTLEASVGSGDQLLGLKLGKRTYFEDACAGSNAQSSSISTIPVPSFTPAKKLKSSNHSQRAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKCPKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNPSRLSSSLYDERQQMSHVWDKAPLVHSRPNANLTWESTSTSKFTITKEYIAKPAEIGGSDRRLHLPGIDLTNSIAIQHHHKSNGFLPSKAKGTAGLDYSLVPSKVEATPEFHRATPEFHRALSLLSTDSWGSCEPQSISFEQPMHANHTSMPQSVLHTVPQSSPLASSEYWRTEQQSNDPQVHTLSSRTDGSNYLQEFQLLRTSNGNDFYSSHMN >Potri.003G172600.12.v4.1 pep chromosome:Pop_tri_v4:3:18068649:18075331:-1 gene:Potri.003G172600.v4.1 transcript:Potri.003G172600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172600.v4.1 MSSVSLMEWNGKPHLQWDWENLIMFNAITNENSKQLSLTDLETDGEKGNDSGFFYSSGSVSRSRGSISDLELASFSKSSKSASTNSSSAGEVKTSKFTLGASKTNPSDYNKEELVKAKATDTSPTLEASVGSGDQLLGLKLGKRTYFEDACAGSNAQSSSISTIPVPSFTPAKKLKSSNHSQRAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKCPKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNPSRLSSSLYDERQQMSHVWDKAPLVHSRPNANLTWESTSTSKFTITKEYIAKPAEIGGSDRRLHLPGIDLTNSIAIQHHHKSNGFLPSKAKGTAGLDYSLVPSKVEATPEFHRATPEFHRALSLLSTDSWGSCEPQSISFEQPMHANHTSMPQSVLHTVPQSSPLASSEYWRTEQQSNDPQVHTLSSRTDGSNYLQEFQLLRTSNGNDFYSSHMN >Potri.003G172600.13.v4.1 pep chromosome:Pop_tri_v4:3:18068981:18075290:-1 gene:Potri.003G172600.v4.1 transcript:Potri.003G172600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172600.v4.1 MSSVSLMEWNGKPHLQWDWENLIMFNAITNENSKQLSLTDLETDGEKGNDSGFFYSSGSVSRSRGSISDLELASFSKSSKSASTNSSSAGEVKTSKFTLGASKTNPSDYNKEELVKAKATDTSPTLEASVGSGDQLLGLKLGKRTYFEDACAGSNAQSSSISTIPVPSFTPAKKLKSSNHSQRAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKCPKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNPSRLSSSLYDERQQMSHVWDKAPLVHSRPNANLTWESTSTSKFTITKEYIAKPAEIGGSDRRLHLPGIDLTNSIAIQHHHKSNGFLPSKAKGTAGLDYSLVPSKVEATPEFHRATPEFHRALSLLSTDSWGSCEPQSISFEQPMHANHTSMPQSVLHTVPQSSPLASSEYWRTEQQSNDPQVHTLSSRTDGSNYLQEFQLLRTSNGNDFYSSHMN >Potri.003G172600.14.v4.1 pep chromosome:Pop_tri_v4:3:18069031:18075264:-1 gene:Potri.003G172600.v4.1 transcript:Potri.003G172600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172600.v4.1 MSSVSLMEWNGKPHLQWDWENLIMFNAITNENSKQLSLTDLETDGEKGNDSGFFYSSGSVSRSRGSISDLELASFSKSSKSASTNSSSAGEVKTSKFTLGASKTNPSDYNKEELVKAKATDTSPTLEASVGSGDQLLGLKLGKRTYFEDACAGSNAQSSSISTIPVPSFTPAKKLKSSNHSQRAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKCPKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNPSRLSSSLYDERQQMSHVWDKAPLVHSRPNANLTWESTSTSKFTITKEYIAKPAEIGGSDRRLHLPGIDLTNSIAIQHHHKSNGFLPSKAKGTAGLDYSLVPSKVEATPEFHRATPEFHRALSLLSTDSWGSCEPQSISFEQPMHANHTSMPQSVLHTVPQSSPLASSEYWRTEQQSNDPQVHTLSSRTDGSNYLQEFQLLRTSNGNDFYSSHMN >Potri.003G172600.10.v4.1 pep chromosome:Pop_tri_v4:3:18069265:18076281:-1 gene:Potri.003G172600.v4.1 transcript:Potri.003G172600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172600.v4.1 MSSVSLMEWNGKPHLQWDWENLIMFNAITNENSKQLSLTDLETDGEKGNDSGFFYSSGSVSRSRGSISDLELASFSKSSKSASTNSSSAGEVKTSKFTLGASKTNPSDYNKEELVKAKATDTSPTLEASVGSGDQLLGLKLGKRTYFEDACAGSNAQSSSISTIPVPSFTPAKKLKSSNHSQRAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKCPKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNPSRLSSSLYDERQQMSHVWDKAPLVHSRPNANLTWESTSTSKFTITKEYIAKPAEIGGSDRRLHLPGIDLTNSIAIQHHHKSNGFLPSKAKGTAGLDYSLVPSKVEATPEFHRATPEFHRALSLLSTDSWGSCEPQSISFEQPMHANHTSMPQSVLHTVPQSSPLASSEYWRTEQQSNDPQVHTLSSRTDGSNYLQEFQLLRTSNGNDFYSSHMN >Potri.003G172600.9.v4.1 pep chromosome:Pop_tri_v4:3:18069289:18075289:-1 gene:Potri.003G172600.v4.1 transcript:Potri.003G172600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172600.v4.1 MSSVSLMEWNGKPHLQWDWENLIMFNAITNENSKQLSLTDLETDGEKGNDSGFFYSSGSVSRSRGSISDLELASFSKSSKSASTNSSSAGEVKTSKFTLGASKTNPSDYNKEELVKAKATDTSPTLEASVGSGDQLLGLKLGKRTYFEDACAGSNAQSSSISTIPVPSFTPAKKLKSSNHSQRAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKCPKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNPSRLSSSLYDERQQMSHVWDKAPLVHSRPNANLTWESTSTSKFTITKEYIAKPAEIGGSDRRLHLPGIDLTNSIAIQHHHKSNGFLPSKAKGTAGEVLNQGLDYSLVPSKVEATPEFHRATPEFHRALSLLSTDSWGSCEPQSISFEQPMHANHTSMPQSVLHTVPQSSPLASSEYWRTEQQSNDPQVHTLSSRTDGSNYLQEFQLLRTSNGNDFYSSHMN >Potri.003G172600.11.v4.1 pep chromosome:Pop_tri_v4:3:18068559:18075466:-1 gene:Potri.003G172600.v4.1 transcript:Potri.003G172600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172600.v4.1 MSSVSLMEWNGKPHLQWDWENLIMFNAITNENSKQLSLTDLETDGEKGNDSGFFYSSGSVSRSRGSISDLELASFSKSSKSASTNSSSAGEVKTSKFTLGASKTNPSDYNKEELVKAKATDTSPTLEASVGSGDQLLGLKLGKRTYFEDACAGSNAQSSSISTIPVPSFTPAKKLKSSNHSQRAPRCQVEGCNLDLSSAKDYHRKHRVCESHSKCPKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNPSRLSSSLYDERQQMSHVWDKAPLVHSRPNANLTWESTSTSKFTITKEYIAKPAEIGGSDRRLHLPGIDLTNSIAIQHHHKSNGFLPSKAKGTAGLDYSLVPSKVEATPEFHRATPEFHRALSLLSTDSWGSCEPQSISFEQPMHANHTSMPQSVLHTVPQSSPLASSEYWRTEQQSNDPQVHTLSSRTDGSNYLQEFQLLRTSNGNDFYSSHMN >Potri.001G079000.1.v4.1 pep chromosome:Pop_tri_v4:1:6280523:6287503:-1 gene:Potri.001G079000.v4.1 transcript:Potri.001G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079000.v4.1 MDGNSVQEPLLLSEISVKKTSLFVSSVKWILKILMWAIFVAWIGVIFLFPTQFGNGLLEKYIHATNGNPCGITGSLFLVLSGPVLVIAFLSIFHLIISGDEEFQQKKSSKHPGVRLWTFPFLVDGPFGVVSAAEFIGILLFVVYVIWALYAYIIQSLNRISGEDLTLMEESILFLKMMGGHLGSMGLYCMAFLFLPVARGSVLLRFINIPFEHATRYHVWLGHLTMVLFTLHGLLYVVGWAMEGNLLHKLLSWKDIGVAILPGVISLVAGLLMWVTSLPPVRKWNFELFFYTHQLYVVFVLGLALHVGDFIFSMAAGGIFLFMLDRFLRLCQSRRTVDIISAKSLPCGTVELVLSKPGNLRYNALSFVFLQIRELSWLQWHPFSVSSSPLDGKYHLSILIKVLGEWTARLRGSIMNISEAEQPASPSQPRPKITASVEGPYGHEAPYHLMYENLILVAGGIGISPFLAILSDILHRVNEGRPCLPRNILIVWAVKRSNELPLLSTMDLESICPYFYDKLNLEISIYVTRESDPPLEEGDIHNVTVSSVCPMSKGCGMSVLVGTGDSIWSGLYVISSTVGFVISLGILYIFYINPCSISTWWYKGLLFFGCMLASVVIFGGLVVGLWHLWEKKISAREEYEENRLKPGMVQHNEDAVNLFQKNHTSITTIQYGSRPDFKEIFGSISEHWGYADVGVIICGPPTLETSVAREIRSRNLKRESHHPVFHFHSHAFDL >Potri.012G091500.2.v4.1 pep chromosome:Pop_tri_v4:12:11623238:11626006:-1 gene:Potri.012G091500.v4.1 transcript:Potri.012G091500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091500.v4.1 MANPSLCLLLLLSLLTPALVSSSPVQDPEFVVQEVHRAINASRRKLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGRNGKIYVVTESGNDDPVNPKPGTLRHAVIQEEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLNIHDCKRGGNAMVRDSPNHFGWRTVSDGDGVSIFGGAHIWVDHNSLSNCNDGLVDAIHGSSAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFVAPDIRFSKEVTKHEDAPESEWKNWNWRSEGDLLLNGAFFVASGAGASSSYARASSLGARPSSLVGPITMGAGALNCRKGGRC >Potri.011G019600.1.v4.1 pep chromosome:Pop_tri_v4:11:1563109:1565158:-1 gene:Potri.011G019600.v4.1 transcript:Potri.011G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G019600.v4.1 MKKYPDAIVLLNETNSSLTRKEHIPLFIATINGIEEIVWEIINQYPHAVEHLNEEGQSILDVAVKHRQKNIFSLVKHQKIPLARLHRVVDKKGNTLLHHVADMEHYRGGTKPGPALKLQEELQWFEQVQKVIPSHYVTLRNEECKTAKELFEESHKDQLTNAQKWIKETTQSCSTVAALVATVVFAAAYTVPGGSDKNGTPNFIDSPYFLVFTVSDVLSLASSLTSLVVFLSLLTSPFELQEFHFSLPRKLLVGFTFLFFAVITTMLSFGATILILIQSERKLTTLLLSIAAFLPVLVFAIMQFRLYVSFMGSTYNILRITVKALPPFLAPCLPREKKLRRVD >Potri.008G164250.1.v4.1 pep chromosome:Pop_tri_v4:8:11307173:11308941:1 gene:Potri.008G164250.v4.1 transcript:Potri.008G164250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164250.v4.1 MLYMVCLALTMKLILLKSIANVEEKKQAGLKVTAQGNGSKIVPSSFSFANLASDNSFKQGWSDTEGNSDELSEDNIGGIKCSCVDAMPWVFNYASCDDDTRSTQFQFIISQASSDSVIDLFHERFQCIAECFREDRWSCLRLP >Potri.003G142201.1.v4.1 pep chromosome:Pop_tri_v4:3:15787028:15788240:1 gene:Potri.003G142201.v4.1 transcript:Potri.003G142201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142201.v4.1 MEGRLKGQATTNVAPPLHTVKPLRRTIFNRVFAAIYALAILTLLYYHAKTLIYSTNLVSFSTTLALLFSDLVLAFMWVNTQTFRMCPVYRKQFPENVEKVLKRSDFPAFDVFVCTADPYKEPPIGVVNTALSVMAYDYPTEKISVYISDDGGSALTLFAFMEAAKFATHWLPFCKKNNILERNPEALFESNHPCTSESEKIKVRYCNIDDVLLIYILEIFFISKLRNF >Potri.008G100000.1.v4.1 pep chromosome:Pop_tri_v4:8:6235201:6237374:-1 gene:Potri.008G100000.v4.1 transcript:Potri.008G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100000.v4.1 MGLSFTKLLGRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Potri.010G044001.2.v4.1 pep chromosome:Pop_tri_v4:10:7568987:7572404:1 gene:Potri.010G044001.v4.1 transcript:Potri.010G044001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044001.v4.1 MKSTKHSSVRFFLFVGVAIFITFAIISVEARKHHNNRHKPHKHLKDKGGNGHKAPDPATNIPGPAPAPLPHYGSYPTNSNIFDVLSFGAKGDGVSDDSKALLAAWKAACQVSGAIVEIPAEFKFLIKPIILQGPCMPHLVLEIDGILLSPPRVGAWPKSSLFQWINFKWVHDFTIQGTGKVDGQGSDWWSPSEVYYIQKKFKHNPDMKPTALRFYDSHNVTVRDIEIVNSPQCHLKFDSSSGIKVDNITINSPEMSPNTDGIHLQNTKDVEIQHSNIGCGDDCVSIQTGCSNIHVHDINCGPGHGISVGGLGKDKSVACVSDIVVEKISLQNTLSGVRIKTWQGGIGSVKNVTFSNIEVSDVKYPVIIDQFYCDKKTCKNQTDAVAISGVKYDSIKGSYSVQPIHLACSNDVPCTGVDLIDIQLKPSSNGYGGFRQALCWNSYGKSQAPLVPSSIDYCLRTESGSVKGTARSRHEHIC >Potri.002G096900.6.v4.1 pep chromosome:Pop_tri_v4:2:7076005:7081516:1 gene:Potri.002G096900.v4.1 transcript:Potri.002G096900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096900.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSTLLEVIKSTGATQLFFNHLYDPLSLVRDHRAKEVLTAQGIAVRSFNADLLYEPWDVNDAQGRPFTTFATFWDRCLSMPFDPEAPLLPPKRIISGDASRCPSEMLVFEDELEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDGGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDINMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSSDVRNSTGDGRAEVPRDVNVNQQPRRDTLNQGFVQSVHNDNSLPPFNVVRGLANVEDSTAESSSSSRRERDGGIVPVWSPPASSYSEQFVGDENGIGATSSYLPRHPQSHQILNWRRLPQTG >Potri.002G096900.2.v4.1 pep chromosome:Pop_tri_v4:2:7076062:7081794:1 gene:Potri.002G096900.v4.1 transcript:Potri.002G096900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096900.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSTLLEVIKSTGATQLFFNHLYDPLSLVRDHRAKEVLTAQGIAVRSFNADLLYEPWDVNDAQGRPFTTFATFWDRCLSMPFDPEAPLLPPKRIISGDASRCPSEMLVFEDELEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDGGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDINMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSSDVRNSTGDGRAEVPRDVNVNQQPRRDTLNQGFVQSVHNDNSLPPFNVVRGLANVEDSTAESSSSSRRERDGGIVPVWSPPASSYSEQFVGDENGIGATSSYLPRHPQSHQILNWRRLPQTG >Potri.002G096900.3.v4.1 pep chromosome:Pop_tri_v4:2:7076015:7081806:1 gene:Potri.002G096900.v4.1 transcript:Potri.002G096900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096900.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSTLLEVIKSTGATQLFFNHLYDPLSLVRDHRAKEVLTAQGIAVRSFNADLLYEPWDVNDAQGRPFTTFATFWDRCLSMPFDPEAPLLPPKRIISGDASRCPSEMLVFEDELEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDGGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDINMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSSDVRNSTGDGRAEVPRDVNVNQQPRRDTLNQGFVQSVHNDNSLPPFNVVRGLANVEDSTAESSSSSRRERDGGIVPVWSPPASSYSEQFVGDENGIGATSSYLPRHPQSHQILNWRRLPQTG >Potri.002G096900.4.v4.1 pep chromosome:Pop_tri_v4:2:7076033:7081793:1 gene:Potri.002G096900.v4.1 transcript:Potri.002G096900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096900.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSTLLEVIKSTGATQLFFNHLYDPLSLVRDHRAKEVLTAQGIAVRSFNADLLYEPWDVNDAQGRPFTTFATFWDRCLSMPFDPEAPLLPPKRIISGDASRCPSEMLVFEDELEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDGGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDINMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSSDVRNSTGDGRAEVPRDVNVNQQPRRDTLNQGFVQSVHNDNSLPPFNVVRGLANVEDSTAESSSSSRRERDGGIVPVWSPPASSYSEQFVGDENGIGATSSYLPRHPQSHQILNWRRLPQTG >Potri.002G096900.7.v4.1 pep chromosome:Pop_tri_v4:2:7076008:7080328:1 gene:Potri.002G096900.v4.1 transcript:Potri.002G096900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096900.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSTLLEVIKSTGATQLFFNHLYDPLSLVRDHRAKEVLTAQGIAVRSFNADLLYEPWDVNDAQGRPFTTFATFWDRCLSMPFDPEAPLLPPKRIISGDASRCPSEMLVFEDELEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDGGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDINMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSSDVRNSTGDGRAEVPRDVNVNQQPRRDTLNQGFVQSVHNDNSLPPFNVVRGLANVEDSTAESSSSSRRERDGGIVPVWSPPASSYSEQFVGDENGIGATSSYLPRHPQSHQILNWRRLPQTG >Potri.002G096900.5.v4.1 pep chromosome:Pop_tri_v4:2:7076062:7081793:1 gene:Potri.002G096900.v4.1 transcript:Potri.002G096900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096900.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSTLLEVIKSTGATQLFFNHLYDPLSLVRDHRAKEVLTAQGIAVRSFNADLLYEPWDVNDAQGRPFTTFATFWDRCLSMPFDPEAPLLPPKRIISGDASRCPSEMLVFEDELEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDGGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDINMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSSDVRNSTGDGRAEVPRDVNVNQQPRRDTLNQGFVQSVHNDNSLPPFNVVRGLANVEDSTAESSSSSRRERDGGIVPVWSPPASSYSEQFVGDENGIGATSSYLPRHPQSHQILNWRRLPQTG >Potri.002G096900.1.v4.1 pep chromosome:Pop_tri_v4:2:7075957:7080299:1 gene:Potri.002G096900.v4.1 transcript:Potri.002G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096900.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSTLLEVIKSTGATQLFFNHLYDPLSLVRDHRAKEVLTAQGIAVRSFNADLLYEPWDVNDAQGRPFTTFATFWDRCLSMPFDPEAPLLPPKRIISGDASRCPSEMLVFEDELEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSKNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNKAGEESVNLFLKSIGLREYSRYLSFNHPYSHERPLLGHLKFFPWVVDGGYFKAWRQGRTGYPLVDAGMRELWATGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDGREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPIAFPQDINMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSSDVRNSTGDGRAEVPRDVNVNQQPRRDTLNQGFVQSVHNDNSLPPFNVVRGLANVEDSTAESSSSSRRERDGGIVPVWSPPASSYSEQFVGDENGIGATSSYLPRHPQSHQILNWRRLPQTG >Potri.008G069000.2.v4.1 pep chromosome:Pop_tri_v4:8:4170423:4176945:-1 gene:Potri.008G069000.v4.1 transcript:Potri.008G069000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069000.v4.1 MAGPEAETGRAVPDVEAVLDFLRKNGLRDAELALKEDMIERSNELGSFDFEKFLFVLPPVRVPASVRPLDVEEGGGAVERLRSSSGSPSDDEFVSLGSSTSASEVYSSEFSNPYGLHSTSQANSETSSDRLSQFGTARDYPDFDMQNDSYWYDEKEEGHFMSPCFNGPDYFGCPSEDKFVMTSETGKQFENSLGLYDKSEGETQGNIDYLDKQCLYNVTSVNNKNEAQSMNYHHDFDKKNQLEGDIDRDGSSAHNCKFFTETGGIYGKNSVDCIYTSSKGPDLGDFQLKVGESPTDYDTVPVHMENKNANYYGIKGSKSDWIEGFKSTSGIVENGIDDFEVGDGGGVNGEAHELAAAIGGEDVNANELLMYYNQEDEYEVFNLRVIHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVIQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKIVNKLDPADERHILRLYDYFYHQEHLFIVCELLKANLYEFQKFNQESGGEAYFTLSRLQVITRQCVEALEYLHDLGIIHCDLKPENILIKSYRKCEIKVIDLGSSCFKSDNLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVLFPNDAVVMILTRMIGMLGPLDPEMLETGQETHKYFTEEYDLYHLNEETNQVEYLIPEESSLEHHLQVSDVGFIDFVRDLLELNPLRRPTAREALEHPWLSHSY >Potri.006G116900.7.v4.1 pep chromosome:Pop_tri_v4:6:9127615:9132785:-1 gene:Potri.006G116900.v4.1 transcript:Potri.006G116900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116900.v4.1 MERLTSTQMIPDAFQGARDDVTMQFAMIWGQIKAPLIVPLLRLAVAICLIMSLMLFIERVYMGIVIVLVKLFGRKPDRRYKWEPMKDDVEAGNSTYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDMVELECQRWASKGINIKYEVRDSRNGYKSGALKEGMKRSYVKSCDYVAIFDADFQPEPDFLRRTIPFLVHNPELGLVQTRWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWEFLYLSSVKVKNELPSTLKAYRYQQHRWSCGPANLFRKMLMEIITNKKVTSWKKVHVIYSFFLVRKIVAHLVTFIFYCVVLPATVLVPEVEVPKWGAVYIPSIITILNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDALKSKANKAAKKPRFRFGERLHLLELGTGAYLFFCGCYDVVFGKNHYFIYLYAQAIAFFIVGLGCVGTIVPRS >Potri.006G116900.2.v4.1 pep chromosome:Pop_tri_v4:6:9127483:9133042:-1 gene:Potri.006G116900.v4.1 transcript:Potri.006G116900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116900.v4.1 MERLTSTQMIPDAFQGARDDVTMQFAMIWGQIKAPLIVPLLRLAVAICLIMSLMLFIERVYMGIVIVLVKLFGRKPDRRYKWEPMKDDVEAGNSTYPMVLVQIPMYNEREVYQLSIGAACGLSWPSDRIIIQVLDDSTDPTIKDMVELECQRWASKGINIKYEVRDSRNGYKSGALKEGMKRSYVKSCDYVAIFDADFQPEPDFLRRTIPFLVHNPELGLVQTRWKFVNADECLMTRMQEMSLDYHFTVEQEVGSSTYAFFGFNGTAGVWRIAALNEAGGWKDRTTVEDMDLAVRASLKGWEFLYLSSVKVKNELPSTLKAYRYQQHRWSCGPANLFRKMLMEIITNKKVTSWKKVHVIYSFFLVRKIVAHLVTFIFYCVVLPATVLVPEVEVPKWGAVYIPSIITILNAVGTPRSLHLLVFWILFENVMSLHRTKATFIGLLEAGRVNEWIVTEKLGDALKSKANKAAKKPRFRFGERLHLLELGTGAYLFFCGCYDVVFGKNHYFIYLYAQAIAFFIVGLGCVGTIVPRS >Potri.010G119700.1.v4.1 pep chromosome:Pop_tri_v4:10:13814881:13820921:1 gene:Potri.010G119700.v4.1 transcript:Potri.010G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119700.v4.1 MATTIQSDIRSALHVANLIFINPNLILSRATASSARWSFSPRPLLSSYRRISTPTSFRARTFSSESTEPVVKVPEKPPICTADELHYVSVSNSDWRPALWRYHPSPQAPPRNHPLLLLSGLGTNAIGYDLSPGSSFARYMSGQGFETWILEVRGAGLSVQGSTPKVVQQAAHEVSEQMEAVTKSMANGSLSTDQQPSKVPSPVSDSRVSFVEEDPHLAGIVTVWDESKLVTKLTEVFMRLSERLSGFLSESQSKIMFAKLVDQIAKILEDSQLSERMNEIRGKLLSLLEARQNSAIAGQFRDLSQGLVNVIEEGQKSVSPQLFDLQERLSSTIEDFQKQLDLIVKYDWDFDNYLEEDVPAAMEYIRAQTKPKDGKLLAIGHSMGGILLYAMLSRCSYEGRDSGLAAIGTLASSLNYTPSKSRLKLLLPLADPAQALNVPVVPLGAMLSAAYPLSTRPPYVLSWLNDLISAQDMMHPELLEKLVLNNFCTIPAKLILQLATAFRNGGLCDRSGKFFYQDHLHKNKVPVLAIAGDRDLICPPVAVEETVKLIPEHLATYKVFGEPGGPHYAHYDLVGGRLAVEQVYPCIIEFLSRYDLT >Potri.005G053150.1.v4.1 pep chromosome:Pop_tri_v4:5:3360276:3360649:1 gene:Potri.005G053150.v4.1 transcript:Potri.005G053150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053150.v4.1 MTATNIWEKVVSFHDPIHPQQRPNNWEILMCQRRRKMLYGKFYYAVKGLCLLSLCEIFLLGMPDNSMQEFGGKIGFGRSFMKIFSSSNLSCFPFF >Potri.008G112700.1.v4.1 pep chromosome:Pop_tri_v4:8:7164839:7171354:1 gene:Potri.008G112700.v4.1 transcript:Potri.008G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112700.v4.1 MAPVLSRSLATYASLISLPSSIRHPNNKVLNLRSVFLSQNNGLKKEFSCSGLKWKLEKRNDRISVRCEAAVAEKEATDTSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGDLEIRIRPDPDNGTITITDTGIGMTKEELVDCLGTIAQSGTSKFLKALKENKDAGADNGLIGQFGVGFYSAFLVAEKVVVSTKSPKSDKQHVWESEADSSSYVIKEETDPEKLLRRGTQITLYLREDDKYEFSDPVRIQGLVKNYSQFVAFPIYTWEEKSRTVEVEEEEEPKEGEEVPEGEKKKTKKTKTEKYWDWELVNETKPIWMRNPKEVEKDEYQEFYKKTFNEFLDPLAYAHFTTEGEVEFRSVLYIPGMGPLNNEEVINPKTKNIRLYVKRVFISDDFDGELFPRYLSFVRGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKTFDMIQDLSESENKEDYKKFWENFGRFLKLGCVEDSGNHKRITPLLRFYTSKSEEELTSLDEYIENMGENQKAIYYLATDSLKSAKSAPFLEKLVQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGGDDEVEERETKQEYNLLCDWIKQQLGEKVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMKAQALGDQSSLEFMRGRRILEINPDHPIIKDLNAACKNAPDSSDAKRAVDLLYDTALISSGFTPDSPAELGGKIYEMMAMALGGRWGRSDGDEAEDNAEESDANASETSEPQVIEPSEVRTESDPWQD >Potri.008G106200.1.v4.1 pep chromosome:Pop_tri_v4:8:6706974:6710154:1 gene:Potri.008G106200.v4.1 transcript:Potri.008G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106200.v4.1 MENLNGTHSAGLGSTIRKKRSIISRRPCSDPQKFLQTSTLLSSSTQFLNCGHNEEQVASGSDGLRSEKRLKKLKLKFGGVTHTIHAKPATEYAFNGGSSLTKSYQGFDASRSREKLLVQDSSFRKGNSSGRKMSGESIFVNGTSHFEAVRKSNRVPKRCAMDVEFDEDGDIDEEVRYLGRLNAYKERRRGVSMVSDGRQEDKDFVQEEEPTSEDEPGYPSKRLGYVGGRNESTTTAHKRARQTAKDDFLVPGASLLEFPNGLPSIPPKKQKTKLSEVEQQLKKAETAQRRRMQSEKAAREAEAEAIRKIRGQDSGRKKKEEKMRKQRDEVVQAKAAKADAIGPNTVRWVIGPTGTTVIFSDDIGLPRIFNSVPCSYPPPREKCAGPNCTNAYKYRDSKSKLPLCSLHCYKAIHGKMQPLITC >Potri.007G008100.5.v4.1 pep chromosome:Pop_tri_v4:7:614076:619054:-1 gene:Potri.007G008100.v4.1 transcript:Potri.007G008100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008100.v4.1 MAARSLLFPIIIIIITSTTIIFTPPTLASQPLNHLSSKRAPRFLSKHSYPIKTQLEEQQQYRYESKYFYQQLDHFSFLNLPKFPQRYLINTDHWAGPERRGPIFLYCGNEGDIEWFAVNTGFVWEIAPLFGAMVLFPEHRYYGESMPYGSREEAYKNASTLSYLTAEQALADFAVLITDLKRNLSAQACPVVLFGGSYGGMLAAWMRLKYPHVAIGALASSAPILQFEDIVPPETFYNIVSNDFKRESTSCFNTIKESWDALLSEGLKKNGLVQLTKTFHLCRTEDLANWLDSAYSYLAMVDYPYPSSFMMPLPGYPIGEVCKRIDGCPDGTSILERIFEGISIYYNYTGELHCFELDDDPHGLDGWNWQACTEMVMPMSSSHNASMFPTYDFNYSSYQEGCWEEFGVIPRPRWITTEFGGQDIKTALETFGSNIIFSNGLLDPWSGGSVLQNISETVVALVTEEGAHHIDLRPSTPEDPDWLVEQRETEVKLIKGWIDGYLKEKKTAFSM >Potri.007G008100.1.v4.1 pep chromosome:Pop_tri_v4:7:614012:619054:-1 gene:Potri.007G008100.v4.1 transcript:Potri.007G008100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008100.v4.1 MAARSLLFPIIIIIITSTTIIFTPPTLASQPLNHLSSKRAPRFLSKHSYPIKTQLEEQQQYRYESKYFYQQLDHFSFLNLPKFPQRYLINTDHWAGPERRGPIFLYCGNEGDIEWFAVNTGFVWEIAPLFGAMVLFPEHRYYGESMPYGSREEAYKNASTLSYLTAEQALADFAVLITDLKRNLSAQACPVVLFGGSYGGMLAAWMRLKYPHVAIGALASSAPILQFEDIVPPETFYNIVSNDFKRESTSCFNTIKESWDALLSEGLKKNGLVQLTKTFHLCRELKSTEDLANWLDSAYSYLAMVDYPYPSSFMMPLPGYPIGEVCKRIDGCPDGTSILERIFEGISIYYNYTGELHCFELDDDPHGLDGWNWQACTEMVMPMSSSHNASMFPTYDFNYSSYQEGCWEEFGVIPRPRWITTEFGGQDIKTALETFGSNIIFSNGLLDPWSGGSVLQNISETVVALVTEEGAHHIDLRPSTPEDPDWLVEQRETEVKLIKGWIDGYLKEKKTAFSM >Potri.010G062000.1.v4.1 pep chromosome:Pop_tri_v4:10:9144115:9155834:1 gene:Potri.010G062000.v4.1 transcript:Potri.010G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062000.v4.1 MASAQALPGSRKQEHLEAGKRRLEEFRKKKAAERAKKAAPTSQPHALDVSLDQKQLLETEHARLTDSDGVGTSDGPGRPVEPIGASMNNGNKIYITEKVELSSLKDSEPSRPSLSDYSTSFSGITQKHTNHFDSNRHDASGLAGSANVKYGQETEKMNNESGIYTGSQGDRSSSDHSIVSGFYGSSSQSSLYGRELLQSKENNISLKHSVVDNDSTHFLTSYQSSASSEQQTFKPSYSSFPATVVDSTLPNMKLRDSDSEVEQDMRFNYPMNLDFGERNFSSSTSNLRTVHDTAAQTSESTGFNSNSSRRSRPSFLDSLNVSRSSSSSYVQRTEPEDSFIINTSKSNGIDALGSSAFQKLPVETKTDRSLSEMASSNMPSSVDNATKFSVSLTNGVGIMNTNTNENIMERKHEFYQPMQNEDFSALEQHIEDLTQEKFSLQRALEASRALAESLAAENSSLTDSYNQQRGVVNQLKSDMEQLQEEIKIHLVELESVKIACANAQLECNAADERAKLLASEVISLEEKALRLRSSELKLGRQLENSQAEITSYKKKMSSLEKDRQDLQSTIDALQEEKKLLQSKLRKASVTEKSPGVSRSAEKKNVATSTEDLDDIPVTSSQETHGTSSVPRSDATDFPMLPENGQSNLEASSVYIPSDQMRMIQNINTILSELALEKEELMHALTSESSQCSKLKDLNNELSRKLEVQTQRLELLTAQSMANENIPARLPNSHTVQDSNTYADEGDEVVERVLGWIMKLFPGGPSRRRTGKRL >Potri.003G164200.1.v4.1 pep chromosome:Pop_tri_v4:3:17345505:17347072:-1 gene:Potri.003G164200.v4.1 transcript:Potri.003G164200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164200.v4.1 MEEQDKDDNSASFSWWSDSHKRPQQSQWLQATLSDLDNKTKTILNIIQDDGDSFAKRAEMFYQRRPELINLVHDLHKSYRSLAEKYDQIRSECVYVSHLRSISSSSSLNSSKQVQLFQARNEENAGSTKSEAPTSLPDSVAEEKYSIRRENESMSSEQYKILKTDRDDDRNVNGSGLEKIINGIGLNGNIDGGDFQMKSLEKEKLRNELRLQVSELIDDSLQQQSELIKRNDEKREVIKHLRAQISRLMEENRVLKSYLPSYKADMKRNTKSHVSKLKGLNCIGNFKG >Potri.008G036400.2.v4.1 pep chromosome:Pop_tri_v4:8:2012085:2014152:1 gene:Potri.008G036400.v4.1 transcript:Potri.008G036400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036400.v4.1 MSKILHSPPSLRFLSPNSIPRDPSRDLSVLSSTISCPNPAISIFKKLSSPGKFNLPGKNRDFRAWSQEGPLQEVDDSPVSFELEPIYSESQFDRVIAEAQQLEESVIIVWMASWCRKCIYLKPKLEKLAADYYRRSRFYRVDVNNVPHKLVTRAGVTKMPTIQLWKDSKKQAEVIGGHKAYLVINEVREMIENEDTL >Potri.013G069700.1.v4.1 pep chromosome:Pop_tri_v4:13:5611858:5617037:-1 gene:Potri.013G069700.v4.1 transcript:Potri.013G069700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069700.v4.1 MEGKINEKLLRKGGEVDAEELRFKDKLWTETKKMWVVAGPAIFTRFSTFGINVVSQAFIGHIGSTELAAYSLVFTVLLRFSNGILLGMASALETLCGQAFGAKQYHMLGVYLQRSWIVLILSAVLLLPLFFFTSSLLKALGQEDYIAEVSGNISLWLIPVMFSFIPSFTCQMFLQAQSKNMIIAYLAALTLVIHVFLSWLLTVKYKFGIPGAMMSTILAYWIPNIGQLMFVTCGGCRETWKGFSTLAFKDLWPVIKLSLSSGVMLCLELWYNTVLVLLTGNMKNAEVAIDALSICLNINGWEMMISLGFLAAASVRVSNELGRGSSKAAKFSIVVTVLTSFSIGLLLFLLFLFARGNLAYIFTTSHEVASAVANLSPLLAFSILLNSVQPVLSGVAVGAGWQSIVAYINIACYYLVGIPIGVVLGYVMDMQVKGVWIGMLIGTFIQTVVLLIVTYRTDWEKQVIVAHNQINKWFVADSEETSTQVA >Potri.013G069700.7.v4.1 pep chromosome:Pop_tri_v4:13:5611828:5616868:-1 gene:Potri.013G069700.v4.1 transcript:Potri.013G069700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069700.v4.1 MCRLNLQLGMASALETLCGQAFGAKQYHMLGVYLQRSWIVLILSAVLLLPLFFFTSSLLKALGQEDYIAEVSGNISLWLIPVMFSFIPSFTCQMFLQAQSKNMIIAYLAALTLVIHVFLSWLLTVKYKFGIPGAMMSTILAYWIPNIGQLMFVTCGGCRETWKGFSTLAFKDLWPVIKLSLSSGVMLCLELWYNTVLVLLTGNMKNAEVAIDALSICLNINGWEMMISLGFLAAASVRVSNELGRGSSKAAKFSIVVTVLTSFSIGLLLFLLFLFARGNLAYIFTTSHEVASAVANLSPLLAFSILLNSVQPVLSGVAVGAGWQSIVAYINIACYYLVGIPIGVVLGYVMDMQVKGVWIGMLIGTFIQTVVLLIVTYRTDWEKQVIVAHNQINKWFVADSEETSTQVA >Potri.013G069700.3.v4.1 pep chromosome:Pop_tri_v4:13:5611793:5616977:-1 gene:Potri.013G069700.v4.1 transcript:Potri.013G069700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069700.v4.1 MCRLNLQLGMASALETLCGQAFGAKQYHMLGVYLQRSWIVLILSAVLLLPLFFFTSSLLKALGQEDYIAEVSGNISLWLIPVMFSFIPSFTCQMFLQAQSKNMIIAYLAALTLVIHVFLSWLLTVKYKFGIPGAMMSTILAYWIPNIGQLMFVTCGGCRETWKGFSTLAFKDLWPVIKLSLSSGVMLCLELWYNTVLVLLTGNMKNAEVAIDALSICLNINGWEMMISLGFLAAASVRVSNELGRGSSKAAKFSIVVTVLTSFSIGLLLFLLFLFARGNLAYIFTTSHEVASAVANLSPLLAFSILLNSVQPVLSGVAVGAGWQSIVAYINIACYYLVGIPIGVVLGYVMDMQVKGVWIGMLIGTFIQTVVLLIVTYRTDWEKQVIVAHNQINKWFVADSEETSTQVA >Potri.013G069700.8.v4.1 pep chromosome:Pop_tri_v4:13:5611794:5616095:-1 gene:Potri.013G069700.v4.1 transcript:Potri.013G069700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069700.v4.1 MCRLNLQLGMASALETLCGQAFGAKQYHMLGVYLQRSWIVLILSAVLLLPLFFFTSSLLKALGQEDYIAEVSGNISLWLIPVMFSFIPSFTCQMFLQAQSKNMIIAYLAALTLVIHVFLSWLLTVKYKFGIPGAMMSTILAYWIPNIGQLMFVTCGGCRETWKGFSTLAFKDLWPVIKLSLSSGVMLCLELWYNTVLVLLTGNMKNAEVAIDALSICLNINGWEMMISLGFLAAASVRVSNELGRGSSKAAKFSIVVTVLTSFSIGLLLFLLFLFARGNLAYIFTTSHEVASAVANLSPLLAFSILLNSVQPVLSGVAVGAGWQSIVAYINIACYYLVGIPIGVVLGYVMDMQVKGVWIGMLIGTFIQTVVLLIVTYRTDWEKQVIVAHNQINKWFVADSEETSTQVA >Potri.006G214800.2.v4.1 pep chromosome:Pop_tri_v4:6:22119984:22127015:-1 gene:Potri.006G214800.v4.1 transcript:Potri.006G214800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214800.v4.1 MNVVGKVGSLISQGVNSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKRAEKIVRINVNGVEANFHMYLDNSGEAYFIKEVEPGKGSEANGVIKDSDSMAMSNEDVSVGFSDVVDNNVVGISRLEHSVSDSRVIQLREEDDSSGAARLQRAESDGDRRYYDLEDEQPSLDDSVELSEYGSNRYDGLDGEHPAVSQRSHSEVILVSVDGHVLTAPVLESEQNTENVQLCTPQFHLGRGDDTEEFNSGDDSWAANYINTLNASTSNVASDNAYSASNGDNICQPEVCEGDEEHACQGQEIQDISRSEGDLLAQSDSDTSVRINREDIFKSCSALPEWAKQAGIVDLEEMDSSLEVQKDSREESPCSPPAADQTTDGDFGEFTDNGCNANGLHGSPTLLVELEATDKNASRTEHLGADSTCISVSIVNSSDEKGEESDHISTVCDGSNSSLHRPVPKDESSKSETVELQRAISIEEMQTCSSKGFEISLCGKELHAGMGLDAAAEVFAAHCVSAAEFKNSATSIIKNENLIIRYGQKYFPWEKAAPIVLGMVAFGLDLPAEPKDAIPVELDETVAQRDDDAVISSASSSRIWRLWPIPFRRVQISRESSSEELFVDSESGVQNTNVESTSASHGGSVSPHKQFIRTNLPTSEQIASLNLKDGQNMITFSFSTRVLGTQQVDCHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVAKLFCAIKENGYQLLFLSARAIVQAYLTRSFLFNVKQDGKTLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKRLFPTDCNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHRIDVKSYTSLHTLVDDMFPPTSLAEQEDYNSWNFWKVPLPDIEI >Potri.006G214800.1.v4.1 pep chromosome:Pop_tri_v4:6:22119919:22126139:-1 gene:Potri.006G214800.v4.1 transcript:Potri.006G214800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214800.v4.1 MNVVGKVGSLISQGVNSVATPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKRAEKIVRINVNGVEANFHMYLDNSGEAYFIKEVEPGKGSEANGVIKDSDSMAMSNEDVSVGFSDVVDNNVVGISRLEHSVSDSRVIQLREEDDSSGAARLQRAESDGDRRYYDLEDEQPSLDDSVELSEYGSNRYDGLDGEHPAVSQRSHSEVILVSVDGHVLTAPVLESEQNTENVQLCTPQFHLGRGDDTEEFNSGDDSWAANYINTLNASTSNVASDNAYSASNGDNICQPEVCEGDEEHACQGQEIQDISRSEGDLLAQSDSDTSVRINREDIFKSCSALPEWAKQAGIVDLEEMDSSLEVQKDSREESPCSPPAADQTTDGDFGEFTDNGCNANGLHGSPTLLVELEATDKNASRTEHLGADSTCISVSIVNSSDEKGEESDHISTVCDGSNSSLHRPVPKDESSKSETVELQRAISIEEMQTCSSKGFEISLCGKELHAGMGLDAAAEVFAAHCVSAAEFKNSATSIIKNENLIIRYGQKYFPWEKAAPIVLGMVAFGLDLPAEPKDAIPVELDETVAQRDDDAVISSASSSRIWRLWPIPFRRVQISRESSSEELFVDSESGVQNTNVESTSASHGGSVSPHKQFIRTNLPTSEQIASLNLKDGQNMITFSFSTRVLGTQQVDCHIYLWKWNARIVISDVDGTITKSDVLGQFMPLVGKDWTQSGVAKLFCAIKENGYQLLFLSARAIVQAYLTRSFLFNVKQDGKTLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKRLFPTDCNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHRIDVKSYTSLHTLVDDMFPPTSLAEQEDYNSWNFWKVPLPDIEI >Potri.015G127900.1.v4.1 pep chromosome:Pop_tri_v4:15:13926935:13930418:1 gene:Potri.015G127900.v4.1 transcript:Potri.015G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127900.v4.1 MGVLKRVLVFGVVITMVMVMRVASHDYGDALTKSILFFEGQRSGKLPPTQRMTWRKDSGLQDGFQIGVDLVGGYYDAGDNVKFNFPMAFSTTMLAWSVLDFGNFMGPDLPHALEAIKWATDYFLKATSIPGFVFVQVGDPYGDHNCWERPEDMDTPRIPYAASKQFPGSEVSAEIAAALAASSMVFRPSNPAYSARLLKRAAMVFEFADANRGSYNDTLGPWVCPFYCDFSGYEDELIWGAAWLYRATKAPNYWSYVVQNISNLEKNVAKHTDRVGYGGGSFAEFGWDTKNAGINILVSKLLLSSKTSDVGPFIPNADKFVCTVLPESPTVYVSYSPGGLLFKPGGSNLQHATALSFLLLAYARYLNQSNREIHCGNVVATPARLIQFARGQVDYILGTNPLKMSYMVGYGSKFPRKIHHRGSSLPSVDQHPASINCQGGTPYFQSNDPNPNLLIGAVVGGPDKGDSYSDSRADFVHTEPTTYINAPLVGLLAYFRSHPPPL >Potri.013G100700.1.v4.1 pep chromosome:Pop_tri_v4:13:10775179:10777198:1 gene:Potri.013G100700.v4.1 transcript:Potri.013G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100700.v4.1 MSTVNLAALLLLGLLLVMPQQSMQASLIDPIAEIERSNCKIAHLRLGLVFTSDNNERALQDSGLYSPDSEDSSVDIAGRRFHSGTLNGSSIVYVKTGSHSVNMATTLQILLVRFSIHGVIYFGNAGSLDKKTMVPGDVSVPQAVAFTGVWNWKKFGSEKGKLVFGDFNYPENGENLLGTVQYEKINMFSPSEAPKEVFWLPITKSWYNAATEALKDMKLRKCYSDECLPGKPKVVFGSKSSTSDFYVRNKAYGDFLNDNFDAKTADTASASVALTSLSNEKLFVVFQGVSNVAGETSSNKGVSYLASYNAFLAATKFINSIPTPRLACE >Potri.003G125300.1.v4.1 pep chromosome:Pop_tri_v4:3:14508620:14509928:1 gene:Potri.003G125300.v4.1 transcript:Potri.003G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125300.v4.1 MAKVHALPQVDSSSCSTSTNSYISSKPETFTIWMKSLVMQTNGCTVYNENGEVVYRVDNYDKKGSNKVYLMDLKGNVLFTILRRKLFFFRQWRGYKSDGLKLRNQEPYFQAKSTEIFQGNLSCRITVRSSEAPDQRCHYKLESLAGKLAFKITNSNGEIVAEAKRKQSSSGVLLGDDVLTLVVEPHVDHSFIIGLVTVYGLMHHKL >Potri.015G123600.4.v4.1 pep chromosome:Pop_tri_v4:15:13654203:13657088:1 gene:Potri.015G123600.v4.1 transcript:Potri.015G123600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123600.v4.1 MSPQFITNQEKSLVEFDKAKVLVTDQKIANVQEIVPVLEKTTQLSVPLLIIAEDISKPVLETLVVNKMKGLLNVAAVKCPGFGDRKKALLQDIALMTGADFLSGDFGLTLGSVTSDQLGIARKVTITSNSTTIVADSATKAEIQARILQIKKDLAETDNAALSRKLSERIAKLSGGVAVIKVGAHTETELEDRKLRIEDAKNATFAAMDEGIVPGGGATYVHLSEQISSIKNSMKDENEKIGADIVAKALLAPAKTIATNAGVDGAVVVENIRSCDWRTGYNAMTGRYEDLLNAGVVDPCRVSRCALQSAVSIAGIILTTQAVLVEKIKKPKPAVPYVPGITP >Potri.015G123600.3.v4.1 pep chromosome:Pop_tri_v4:15:13653622:13657092:1 gene:Potri.015G123600.v4.1 transcript:Potri.015G123600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123600.v4.1 MDMAVKELVKVLKKNSFPVKGKDDIKVVASISAGNDEFVGNLIAETIEKIGSDGVISLESSSTSDTFVIIEEGMKFDKGYMSPQFITNQEKSLVEFDKAKVLVTDQKIANVQEIVPVLEKTTQLSVPLLIIAEDISKPVLETLVVNKMKGLLNVAAVKCPGFGDRKKALLQDIALMTGADFLSGDFGLTLGSVTSDQLGIARKVTITSNSTTIVADSATKAEIQARILQIKKDLAETDNAALSRKLSERIAKLSGGVAVIKVGAHTETELEDRKLRIEDAKNATFAAMDEGIVPGGGATYVHLSEQISSIKNSMKDENEKIGADIVAKALLAPAKTIATNAGVDGAVVVENIRSCDWRTGYNAMTGRYEDLLNAGVVDPCRVSRCALQSAVSIAGIILTTQAVLVEKIKKPKPAVPYVPGITP >Potri.014G077900.1.v4.1 pep chromosome:Pop_tri_v4:14:5035903:5036880:1 gene:Potri.014G077900.v4.1 transcript:Potri.014G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077900.v4.1 MASKVSNKPMFTSTSIADAATWYCALVLLALILFTSLREASPTYDDDHHHDFVAVKGNQILDRPCDEIYVVKEGETLHTISDKCGDPFIVEENPHIHDPDDVYPGLVIKITPSRFTYTSIKKSPN >Potri.004G175600.2.v4.1 pep chromosome:Pop_tri_v4:4:19086578:19089756:1 gene:Potri.004G175600.v4.1 transcript:Potri.004G175600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175600.v4.1 MAKNNKSGPRKPGKLSVYLYIPNIIGYTRVFMNCYAFAICFSNKWLFCALYFISFVCDGVDGWCARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPGMVFLSLLALDIASHWLQMYSTFLLGKVSHKDVKDSTNWLFKAYYGNRMFMAYCCVACEVLYITLFLLAKNDSEKLMDVLKASITEGSPISVLVGLSLFGWAIKQLVNVIQMKTAADICVLHDINKKVRP >Potri.014G149800.3.v4.1 pep chromosome:Pop_tri_v4:14:10329504:10330007:1 gene:Potri.014G149800.v4.1 transcript:Potri.014G149800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149800.v4.1 MTSKHVIATTHTGSIKNRFARRFLRSLLKVKRSDRLGTGTGFQSNEEIRKRNAQRIKTAAYRSMARVVGPRKNWSRALLFKLRYPARIQGAGLRKRCLVSKKKRVLRKENKVQVISREPSRADNLRKLVPGGDSMDICSLLDETAHYIKCLATQVKVMESIADLYSE >Potri.014G073600.1.v4.1 pep chromosome:Pop_tri_v4:14:4688398:4688619:1 gene:Potri.014G073600.v4.1 transcript:Potri.014G073600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073600.v4.1 MQGEIAGDGLDVFENEPDVPSELIALDNVVLSPHRAVHTEETLMSLVELVMGNLEASPPPPNKPLLSAVILDG >Potri.004G013000.1.v4.1 pep chromosome:Pop_tri_v4:4:798382:799787:1 gene:Potri.004G013000.v4.1 transcript:Potri.004G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013000.v4.1 MFRLPCKSIVTGSSVRASSVHSFLENPSILSCLRYISSVNTDDDIKEHSFTVSYLMNKCGFSLKSALEVSKQVHFETPDKPDSVLAVFKNYGFSKSQILNLVRRRPPVLLSRPNTTLLPKLEFFQSKGFSSHDVIKIISSYPWVLMYSLENKLVPAFDFLENLLQSDAVVIIVIMRSPRILNSNVENMARIVDVLQGNGVPKKNIALPIRCQPSIMISNLENFKKLIEEVTLMGFHPSKSQFVSAITVLRSMSGSTWEKKLTVYRRWGLSEEEILTAFVKFPMFMRKSAEKIAASMDLFVNKLGWESSYLAKNPTCSSYSLEKRLIPRALVLQFLVSKRLVEKSFRSLAFFNTPEDKFRRIFIDQHAESTQILKFYREKLNLSSVVNSSTF >Potri.014G115000.1.v4.1 pep chromosome:Pop_tri_v4:14:7741262:7742675:1 gene:Potri.014G115000.v4.1 transcript:Potri.014G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115000.v4.1 MAFLQEIVVLGLLVLCMTAAVSSHSRHSRYTPPNVMRLTDAFSHVSIGQEYYTFFGGSNTKLLNNGSSANLALDKSSGSGLASRNKYYYGFFSAAIKLPSGLSPGVVVAFYLSNADNYPHNHDEIDIELLGHDMRNDWVLQTNVYANGSTGTGREEKFYLWFDPTEQHHHYSIIWNSHHIVFLVDNVPVREFAHSSSYPSVYPSKPMSLYATIWDGSQWATHGGKYPVNYKYAPFVVSFAEMEMTGCIFNQTALVTSCSKANPSSLDPVDGPEFVRLSNEQSVAMDWARRKLMFYSYCNDRSRFKAMPPECK >Potri.018G035750.1.v4.1 pep chromosome:Pop_tri_v4:18:2776362:2777788:-1 gene:Potri.018G035750.v4.1 transcript:Potri.018G035750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035750.v4.1 MEKVEKAQTDTLNYMNCCCSMSRQIQFPLQTVSLFLKHPCFVPLNSLTFSVNSHFHCSLIQISVSRFNLSLSRDHGESREGTGREETVAIELILFQVYECYVYLVTFSLHVLKRKLSFPLYG >Potri.008G192500.1.v4.1 pep chromosome:Pop_tri_v4:8:13544153:13550041:1 gene:Potri.008G192500.v4.1 transcript:Potri.008G192500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192500.v4.1 MALSHLKSFSSRSNLVKPLATAFSLRRQISTSTDPITIETSVPFATHRCDPPSRTVETNPQELQSFFRVMATMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKNDSIITAYRDHCTFLGRGGTLLEVFSELMGRQGGCSKGKGGSMHFYKKDSGFYGGHGIVGAQVPLGCGLAFAHKYNKDDAVAFALYGDGAANQGQLFEALNISALWDLPIILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDAFAVKQACKFAKEHALKSGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLILTHDLATEKELKDIEKEVRKQVDEAIAQAKESPMPDPSELFTNVYVKGLGVEAYGADRKEVRAVLP >Potri.019G082866.1.v4.1 pep chromosome:Pop_tri_v4:19:12289229:12290177:-1 gene:Potri.019G082866.v4.1 transcript:Potri.019G082866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082866.v4.1 MASSATLSDRAPNVLKEWDTTFRATKTASSLRTASFSSSSSSSSLSSCSSLYILDDSPLSTATPLRFSGVPFSWEHLPGIPKKQSYKKKDSSSLKELPLPPPAASTSISKRFNSGEIGIRKKNSNDSFRRDPFFAALVQCSKDDDDDEQDLSNNNFWGGPKVSRRTSDRFGFINLYTSCKKTCAVSESIVYLPRSSRTSYDRISRRSR >Potri.002G172200.1.v4.1 pep chromosome:Pop_tri_v4:2:13273753:13274497:1 gene:Potri.002G172200.v4.1 transcript:Potri.002G172200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL13 MTRLQIPDTTRGSGTRHPAYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAAYCLKGRKAQLNFPEEADDLPIPSTCTARDIQAAAAKAAHSVLIPMKKSSETNNDGGGDGEVAGDDFWGEIELPELLLSNSGYSWDSCGWNTTLASDNSTWQPDGEGLQPSMACL >Potri.005G001001.2.v4.1 pep chromosome:Pop_tri_v4:5:144791:146612:1 gene:Potri.005G001001.v4.1 transcript:Potri.005G001001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001001.v4.1 MRRAYRKMWRVVPDCTSKRILRTESMRDIEEILKSKLATIKEDESESDEVEKSAVTPAILARSKKRSEIRKKGRCFVAHLSFKNRMLFMTAGLQVCLHR >Potri.005G001001.3.v4.1 pep chromosome:Pop_tri_v4:5:146030:146329:1 gene:Potri.005G001001.v4.1 transcript:Potri.005G001001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001001.v4.1 MRRAYRKMWRVVPDCTSKRILRTESMRDIEEILKSKLATIKEDESESDEVEKSAVTPAILARSKKRSEIRKKGRCFVAHLSFKNRMLFMTAGLQVCLHR >Potri.013G040300.2.v4.1 pep chromosome:Pop_tri_v4:13:2746917:2751989:-1 gene:Potri.013G040300.v4.1 transcript:Potri.013G040300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040300.v4.1 MVDVFTEKQIAEFQEAFCLSDKDGDGRITFEELATVIKSLDHGATEEELRHMIREVDVDGNGTIEFGEFWNLMARKIKENDADDELKEAFKVFDKDQDGYISPNELRHVMINLGEQVTDKELELMIQVADLDGDGQVNYEEFMRMMLAI >Potri.011G088100.2.v4.1 pep chromosome:Pop_tri_v4:11:11249537:11253709:1 gene:Potri.011G088100.v4.1 transcript:Potri.011G088100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088100.v4.1 MESDLGKLFIGGISWDTDEERLKEYFSKYGEVVEAVIMRDRATGRARGFGFVVFADLTVAERVIMEKHVIDGRTVEAKKAVPRDDQHILSRSISNIHGSPGPGRTKKIFVGGLASTVTENEFKKYFEQYGIIIDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLMGYNHGLTRANNFLNSYAQGYNMSSVGGFGMRMDGRFNPLVTGRSGFAPFGTSGYGTSMNLEPVLSPSYGGGSNFGNAPAYGRISSPYYSSNPSRYSTPIGYGVGNARNDSLLSPATRNVWGNGGLNTATNPGSPGPLVGSGSGSFGVSFGNNGANWGTSTVSAQGGGIASGYTSGSMGYGNGDSNYSFGGSGYARNSGASVPPTSSFSGSIGGYEGSYGELYRSGSVYGDSTWRTAIPELDGSGSFGYGLGDVASDVTTKSSEGYIGSYGVTSRQSNRGIAT >Potri.011G088100.1.v4.1 pep chromosome:Pop_tri_v4:11:11249578:11253613:1 gene:Potri.011G088100.v4.1 transcript:Potri.011G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088100.v4.1 MESDLGKLFIGGISWDTDEERLKEYFSKYGEVVEAVIMRDRATGRARGFGFVVFADLTVAERVIMEKHVIDGRTVEAKKAVPRDDQHILSRSISNIHGSPGPGRTKKIFVGGLASTVTENEFKKYFEQYGIIIDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLMGYNHGLTRANNFLNSYAQGYNMSSVGGFGMRMDGRFNPLVTGRSGFAPFGTSGYGTSMNLEPVLSPSYGGGSNFGNAPAYGRISSPYYSSNPSRYSTPIGYGVGNARNDSLLSPATRNVWGNGGLNTATNPGSPGPLVGSGSGSFGVSFGNNGANWGTSTVSAQGGGIASGYTSGSMGYGNGDSNYSFGGSGYARNSGASVPPTSSFSGSIGGYEGSYGELYRSGSVYGDSTWRTAIPELDGSGSFGYGLGDVASDVTTKSSEGYIGSYGVTSRQSNRGEGISLNR >Potri.019G021024.1.v4.1 pep chromosome:Pop_tri_v4:19:390536:391857:-1 gene:Potri.019G021024.v4.1 transcript:Potri.019G021024.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021024.v4.1 MFKFTPNQWSTSHRTFKLLSKELMWICWLQCPLKYFPSDFTLDNLVVLDMQYSNLKELWKGKKILNRLKIINLSHSQHLIKTPNLHSSSIEKLILKGCSSLVEVNQSIENLTSVVFLNLEGCWRLKILPESIGNVKSLKRLNISGCSQLEKLPERMGDMKSLTELLADGIENEQFLSSIGQLKYVRRLSLRGYSFSQDSPSWLSPSSTSWPPSISSFISASVLCLKRLLPTTFIDWRSVKSLELPYVGLSDQATYCVDFRGFSSLEELDLSGNKFSSLPSGIGFLPKLRVLFVTDANILYQSQMFPQV >Potri.T011301.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:42752:43089:1 gene:Potri.T011301.v4.1 transcript:Potri.T011301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011301.v4.1 MEIKRSFGLFFLLLIVLASQEVVVPTEARVCQSQSHYFKGPCARDHNCAWVCRNEGFSGGRCKGFRRRCFCTKLC >Potri.015G140600.2.v4.1 pep chromosome:Pop_tri_v4:15:14743408:14744137:1 gene:Potri.015G140600.v4.1 transcript:Potri.015G140600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140600.v4.1 MSSLASRICNHMASIFAKPTGPHPPPLDLMITTLTSTAAQNGRVFLYGVGREGLMLKALCMRLAHLGISTHFVFDMTTPPITSNDLLIASAGPGGFSTVDALCSLARSYGATVLLLTAQPETGSCVKHASVVCYVPAQTMADDKGDGDGGEEKSRPLMPMGSVFEGALFVFFEMVVYKLGEALGQSPEVIRSRHTNLE >Potri.015G140600.3.v4.1 pep chromosome:Pop_tri_v4:15:14743460:14744138:1 gene:Potri.015G140600.v4.1 transcript:Potri.015G140600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140600.v4.1 MITTLTSTAAQNGRVFLYGVGREGLMLKALCMRLAHLGISTHFVFDMTTPPITSNDLLIASAGPGGFSTVDALCSLARSYGATVLLLTAQPETGSCVKHASVVCYVPAQTMADDKGDGDGGEEKSRPLMPMGSVFEGALFVFFEMVVYKLGEALGQSPEVIRSRHTNLE >Potri.015G140600.1.v4.1 pep chromosome:Pop_tri_v4:15:14743405:14744022:1 gene:Potri.015G140600.v4.1 transcript:Potri.015G140600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140600.v4.1 MGTETPSMSSLASRICNHMASIFAKPTGPHPPPLDLMITTLTSTAAQNGRVFLYGVGREGLMLKALCMRLAHLGISTHFVFDMTTPPITSNDLLIASAGPGGFSTVDALCSLARSYGATVLLLTAQPETGSCVKHASVVCYVPAQTMADDKGDGDGGEEKSRPLMPMGSVFEGALFVFFEMVVYKLGEALGQSPEVIRSRHTNLE >Potri.015G018000.1.v4.1 pep chromosome:Pop_tri_v4:15:1264387:1268077:-1 gene:Potri.015G018000.v4.1 transcript:Potri.015G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018000.v4.1 MELKIFQVMDMDLSLLCLLLLLLVSHGVGLKDMCQEARCKKHGPVIRFPFRIKGKQPDYCGYPGFDLSCTDRKETLLELPTSVKLYINNIDYASELITATDPENCLPRQLLNFNLSTSPFKFAAQLQRDLDFFNCTSRRRDSYLWTSCLGVPGYDIYAFMSGSIVTYFDLTSCSKMYNLSSVPDDLNSRDNILHLNWSRPACGLCGALGKFCRLKNNTDRIETECYDKPKSNEGIIKKIVAAVITVGSAILLLILFALYRVYSSDKAAKQHQKRIEIFLADYKALKPARYTYADIKRITNDFKEKLGQGAYGTVFKGKLSNEIFVAVKILNNSTGNGEEFVNEVGTMGRIHHVNIVRLVGYCADGFRRALVYDYLPNESLEKFVSSEHGKTCPLSWEKLQDIALGIARGIEYLHQGCDQRILHFDIKPHNILLDDNFSPKISDFGLAKLCSKDQSAVSMTTARGTMGYIAPEVFSRNFGNVSSKSDVYSYGMVLLEMVGGRKTVDKEVENNTQIYFPEWVYNSLDNGEELIIRVEKEGDAQIAKKLTIVGLWCIQWHPVERPSMNTVVQMLEGEGDKLTMPPSPFSSAGPGRMKSHLPGRPLHQILEVISETD >Potri.007G017200.1.v4.1 pep chromosome:Pop_tri_v4:7:1321895:1322639:1 gene:Potri.007G017200.v4.1 transcript:Potri.007G017200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G017200.v4.1 MEATLHNHFLSRIFSYTLPKPKNPPNDPTHFIFAMKNPFKPIFISPKTITFNSRSQDPKSCHVTANFVMATENKNQQIESTVMSKQGEEESKKKTAPPPPPPPEKPEPGDCCGSGCVRCVWDVYYEELEEYDKLYKSDSSKS >Potri.005G078600.1.v4.1 pep chromosome:Pop_tri_v4:5:5338049:5339846:1 gene:Potri.005G078600.v4.1 transcript:Potri.005G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078600.v4.1 MSLGKRPRGPMRRTTSMTGIAVDLPSNVGAGSSEPSDDTTQNHQMIIKGLHATAGLEEGSLDYHNKNNMMDTSCGENTSGFLHDQPFLASVLSPRNHHKSSGSGNHFVEDSHFLRTCGLCKRRLAPGKDLYMYRGDTAFCSQECRAQQMKQDERKENRNVMITSKKGERHASASTTSSKSSRKSETVAAA >Potri.008G035000.1.v4.1 pep chromosome:Pop_tri_v4:8:1903559:1905389:1 gene:Potri.008G035000.v4.1 transcript:Potri.008G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035000.v4.1 MVHRRIYKKSWKDLTSRNKNLKIVIIFHSWVFLSNELPLGFDKMHGFSMVDGFAEITESLAEMIKYVANEPSVGLFYIQQHTQNAVPNVIRLKNNVVEKSRETNLRTEDLEDSITVVKSMKECGFPVADEMIRDIRTSLAILSAKQPRRGLINSPVSGFQMGRTSSWGPGTWGRNGDDAKKDGKRTSNYVSTVFKSAKERASNFKWPPRDSKESTTNQAEKPLSYPNPSQLVGISSSSLPAAELDELPLSSLTADEQELDKEKDQVGVNSPPHNILLVTENHNDFQADKEAKLEEWLGRTADNQDKVQWSK >Potri.008G035000.3.v4.1 pep chromosome:Pop_tri_v4:8:1903534:1905453:1 gene:Potri.008G035000.v4.1 transcript:Potri.008G035000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035000.v4.1 MHGFSMVDGFAEITESLAEMIKYVANEPSVGLFYIQQHTQNAVPNVIRLKNNVVEKSRETNLRTEDLEDSITVVKSMKECGFPVADEMIRDIRTSLAILSAKQPRRGLINSPVSGFQMGRTSSWGPGTWGRNGDDAKKDGKRTSNYVSTVFKSAKERASNFKWPPRDSKESTTNQAEKPLSYPNPSQLVGISSSSLPAAELDELPLSSLTADEQELDKEKDQVGVNSPPHNILLVTENHNDFQADKEAKLEEWLGRTADNQDKVQWSK >Potri.004G115750.1.v4.1 pep chromosome:Pop_tri_v4:4:10817956:10818042:-1 gene:Potri.004G115750.v4.1 transcript:Potri.004G115750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115750.v4.1 MHANDFFYFLKIIFDISTSKRSKTYKPY >Potri.018G133000.2.v4.1 pep chromosome:Pop_tri_v4:18:14246892:14251291:1 gene:Potri.018G133000.v4.1 transcript:Potri.018G133000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133000.v4.1 MANSSSFNNRNAVITSIFLVSFLLTVFLFNKRALEPSLFFYRDFFPQRATAPLTSSPNFTLPDSEQPVDSATNQPVSRNETIESKTGDDSTKVEVSAENEKDNPDGDFESGGGSSDNSTNVEVSSENEKDPDGNLETGADLDGNDDDKLMASLKSCDLYMGTWVKDEEYPIYEAGSCPYVDEAFDCQGNGRKDSDYLKWRWKPYDCDLPRFNATDFLTRLRGKRLMLVGDSMNRNQFESMLCLLREGLPDKSKMFEIHGNKITKGRGYYVFKFVVLGQDYECTVEFVRSHFLVKEGVHINAQGSSNPTLSIDVIDKTAGRWKRADILVFNTGHWWAHGKTARGKNYYKEGDYLYPKFDAVEAYRRALKTWAKWIDQNVDPKKQLVFYRGYSSAHFRGGDWDSGGTCNGETEPVLSGAILNNYPVKMKIVEEVIQEMKNPVTLLNVTRLTNFRKDGHPSIFGKNATAGIKVSTRRQDCSHWCVPGVPDAWNELIYATLLQQTVSKS >Potri.018G133000.1.v4.1 pep chromosome:Pop_tri_v4:18:14246892:14251291:1 gene:Potri.018G133000.v4.1 transcript:Potri.018G133000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133000.v4.1 MANSSSFNNRNAVITSIFLVSFLLTVFLFNKRALEPSLFFYRDFFPQRATAPLTSSPNFTLPDSEQPVDSATNQPVSRNETIESKTGDDSTKVEVSAENEKDNPDGDFESGGGSSDNSTNVEVSSENEKDPDGNLETGADLDGNDDDKLMASLKSCDLYMGTWVKDEEYPIYEAGSCPYVDEAFDCQGNGRKDSDYLKWRWKPYDCDLPRFNATDFLTRLRGKRLMLVGDSMNRNQFESMLCLLREGLPDKSKMFEIHGNKITKGRGYYVFKFVDYECTVEFVRSHFLVKEGVHINAQGSSNPTLSIDVIDKTAGRWKRADILVFNTGHWWAHGKTARGKNYYKEGDYLYPKFDAVEAYRRALKTWAKWIDQNVDPKKQLVFYRGYSSAHFRGGDWDSGGTCNGETEPVLSGAILNNYPVKMKIVEEVIQEMKNPVTLLNVTRLTNFRKDGHPSIFGKNATAGIKVSTRRQDCSHWCVPGVPDAWNELIYATLLQQTVSKS >Potri.002G086500.4.v4.1 pep chromosome:Pop_tri_v4:2:6196195:6200358:1 gene:Potri.002G086500.v4.1 transcript:Potri.002G086500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086500.v4.1 MGTLLLFLSTSFLFHVLVSGVTYTELIFPNFTASSFKFVDNAGAFLFSRNGTFKVAIFNPGVQKAHYYLCVMHAVSGTVIWSANRDGPISSSGKMTLTAIGITVAEQDGNDKWSTPPLRSSVNALQLTEMGNLVLLDQFNHSLWESFHYPTDTIVMGQHLLEDTILSSAVSDDDLSTGDYKLTVSDSDVLLQWYGQTYWKLSMDARAYRNSNYINEYMEINGTGLFLFGRNGSAVVTQVTLPPSKFRIAQLDASGQFMISSFLGTDRKQEFVGPIDGCRIPFVCGRIGLCTGTTSNGPICSCPQGFLRGSQNSSGCAPSDGYSLPLACNSAKNVSQLNSSDVSYLRLGYGMSYFSIDFSEPIEYGVNLSVCQDVCTTDCSCLGIYYQNSSGSCYAFENELGSIIASTTDDEDHLGYIKTLGGNDSPSNNGSTNQRQDFPLFALVLLPFTGFFIIVVFGFLWWRKQSFLKIKETKLGHANSISSGDLDAFYIPGLPQRFDYEELEVATDNFKTKIGSGGFGVVYKGTLPDKSAVAVKKITNLGVQGKKDFCTEIAVIGNIHHVNLVKLRGFCAQGRQRLLVYEYMNRGSLDRTLFGSGPVLEWQERFEIALGTARGLAYLHSGCEQKIIHCDVKPENILLHDCFQAKISDFGLSKLLGPEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCSMDASNSGCGQSISSSGSGFVYFPLFALEMHEQGNYLELADPRLEGRVTSEEAERLVRVALCCVQEEPLLRPTMVSVVGMLESSTPLSQPRIKSLNFLRFYGRRFTEASMIGEENEQSDIILYPEANTSATSTTNGSHACFSYISSQQISGPR >Potri.002G086500.2.v4.1 pep chromosome:Pop_tri_v4:2:6196146:6200408:1 gene:Potri.002G086500.v4.1 transcript:Potri.002G086500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086500.v4.1 MHAVSGTVIWSANRDGPISSSGKMTLTAIGITVAEQDGNDKWSTPPLRSSVNALQLTEMGNLVLLDQFNHSLWESFHYPTDTIVMGQHLLEDTILSSAVSDDDLSTGDYKLTVSDSDVLLQWYGQTYWKLSMDARAYRNSNYINEYMEINGTGLFLFGRNGSAVVTQVTLPPSKFRIAQLDASGQFMISSFLGTDRKQEFVGPIDGCRIPFVCGRIGLCTGTTSNGPICSCPQGFLRGSQNSSGCAPSDGYSLPLACNSAKNVSQLNSSDVSYLRLGYGMSYFSIDFSEPIEYGVNLSVCQDVCTTDCSCLGIYYQNSSGSCYAFENELGSIIASTTDDEDHLGYIKTLGGNDSPSNNGSTNQRQDFPLFALVLLPFTGFFIIVVFGFLWWRKQSFLKIKETKLGHANSISSGDLDAFYIPGLPQRFDYEELEVATDNFKTKIGSGGFGVVYKGTLPDKSAVAVKKITNLGVQGKKDFCTEIAVIGNIHHVNLVKLRGFCAQGRQRLLVYEYMNRGSLDRTLFGSGPVLEWQERFEIALGTARGLAYLHSGCEQKIIHCDVKPENILLHDCFQAKISDFGLSKLLGPEQSSLFTTMRGTRGYLAPEWLTNSAISEKTDVYSFGMVLLELVSGRKNCSMDASNSGCGQSISSSGSGFVYFPLFALEMHEQGNYLELADPRLEGRVTSEEAERLVRVALCCVQEEPLLRPTMVSVVGMLESSTPLSQPRIKSLNFLRFYGRRFTEASMIGEENEQSDIILYPEANTSATSTTNGSHACFSYISSQQISGPR >Potri.017G120600.2.v4.1 pep chromosome:Pop_tri_v4:17:12653788:12656594:-1 gene:Potri.017G120600.v4.1 transcript:Potri.017G120600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120600.v4.1 MEQSWERERLQKTEERTWASTTVVEELKKVSCMAAPMVVLMVSLYLLQVVSLMMVGHLGGLSLSAVSIGGSFATVTGFSLLFGLTGGLETLCGQAYGAEQYQKLGTYTYCAIISLIPICIPVSILWIFMDRILIEIGQDPEISTVACQYAICLIPALFADAILQSLLRYFQSMNLILPMLLSTSVTLFFHIPLCWALIFKWKLGVKGAALAIDVSYWLNVIFLGLYMGFSSSCKKTRVINWNDIFSSIKEFFCFALPSAVMVCLEWWTFELLILLAGLLPNSQLETSVLSICLTTISLHFYLQSGIAAAGSAQVSNNLGAGNHEAVQVVIRAVLKISLIEAVIVSTNLFCYRNVFGYAFSNERVVVDYVTELAPLLCLSIVADSLQTVLSGIARGCGWQHIGAYINLGAYYFVGIPVAVLLCFILHLGGKGLWIGILTGNIVQATLLALITGFTDWEKQATKARERIFEGTFSADNGLP >Potri.013G132100.1.v4.1 pep chromosome:Pop_tri_v4:13:13800991:13804656:-1 gene:Potri.013G132100.v4.1 transcript:Potri.013G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G132100.v4.1 MLSVSVTRPLTFKISPPSPAFSLRTHMESPPRTHFTPTSHSPQTNPDIKDTSDGFTITLQEWQGWGAVSPLPAKVVEIVKDLQLLEKSTEVQMSFSGHRGKLEGDFGMQENKKHRATYQALGDSEKKLQFFSARQIACRLLGSRGYLCQKCWLPLEEDCMCSKVKPSSLWRGIRFWLYMHPKDFLRQNNTGKLLWQVLGVESATLCLYGIAEDEEIMWNACKIAGKDKVWCLYPNKNVATKSVQDAVCQEISADPECNTTMTNEYKPLNFILIDGTWSNSAAMFNRLKEKTKSVWGVEDLPCISLSAGVSTMHKLRPQPSWDRTCTAAAAIGLLSELQVLPQFSSYGLDKQNEALENAVDVLLEALTARRLRMGRSITRRLRHSSNIC >Potri.012G081900.1.v4.1 pep chromosome:Pop_tri_v4:12:10718146:10721544:1 gene:Potri.012G081900.v4.1 transcript:Potri.012G081900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081900.v4.1 MRPQIVLFGDSITEQSFRSGGWGSSLANTYSRKADVLVRGYGGYNTRWALFLLTHIFPLNSTKPPAATTIFFGANDAALLGRNSERQHVPVEEYKENLKKMVLHLKECSPAMLVVLITPPPVDEEGRKEYANSLYGEKAMQFPERTNEMAGVYARQCVELAKDLGIRAIDLWSKMQGTDGWQKKFLSDGLHLTPEGNAVVHEEVVRVFSEAWLSAEDMPYDFPHHSEIDGKNPEKAFLQKCL >Potri.016G144100.1.v4.1 pep chromosome:Pop_tri_v4:16:14599502:14605037:-1 gene:Potri.016G144100.v4.1 transcript:Potri.016G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G144100.v4.1 MGLGGGTARLLFLLTFFTSGIHLIFSDTDPSDAAALQSLKKQWQNTPPSWGQSHDPCGAPWEGVTCSNSRITALGLSTMNLKGKLSGDIGGLTELRSLDLSFNTNLTGSLTPRFGDLLKLNILILAGCGFSGSIPDELGNLAELSFLALNSNNFSGGIPPSLGKLSKLYWLDLADNQLTGPIPISKNTTPGLDLLLNAKHFHFNKNQLSGSIPPELFSSDMVLIHVLFDGNQLEGNIPSTLGLVQTLEVLRLDRNALSGKVPKNLNNLSSLNELNLAHNKLIGPLPNLTKMDALNYVDLSNNSFYSSEAPDWFSTLPSLTTLVIEHGSLHGTLPSKVFSFPQIQQVLLRNNALNGSFNMGDSISTQLQLVDLQNNQISSVTLTADYTNTLILVGNPVCTALSDTNYCQLQQQSTKPYSTSLANCGSKMCPPEQKLSPQSCECAYPYEGTLYFRAPSFRELSNVNMFHSLEMSLWGKLGLTPGSVFLQNPFFNVDDYLQVQVALFPPTDKYFNRSEIQSIGFDLTNQTYKPPKDFGPYYFIASPYPFPDASRGSSMSTGVVVGIGIGCGLLVMSLVGVGIYAIRQKKRAEKAIGLSKPFASWAPSGKDSGGVPQLKGARWFSYEELKRCTYNFTESNEIGSGGYGKVYRGMLSDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEYMPNGTLRECLSGKSGIYLDWRRRLRIALGSARGLAYLHELANPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSDSSKGHVSTQVKGTLGYLDPEYYMTQQLTEKSDVYSFGVVMLELIAAKQPIEKGKYIVREVRMAMDRNDEEHYGLKEIMDPGLRNMGGNLVGFGRFLEVAMQCVEESATERPTMSEVVKAIEMILQNDGVNTNSTTSASSSATDFGASRGGGPLRHPYNHDVVAAKNKVDVVDNINNNNAFDYSGGYTLSAKVEPK >Potri.016G144100.3.v4.1 pep chromosome:Pop_tri_v4:16:14599540:14604906:-1 gene:Potri.016G144100.v4.1 transcript:Potri.016G144100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G144100.v4.1 MGLGGGTARLLFLLTFFTSGIHLIFSDTDPSDAAALQSLKKQWQNTPPSWGQSHDPCGAPWEGVTCSNSRITALGLSTMNLKGKLSGDIGGLTELRSLDLSFNTNLTGSLTPRFGDLLKLNILILAGCGFSGSIPDELGNLAELSFLALNSNNFSGGIPPSLGKLSKLYWLDLADNQLTGPIPISKNTTPGLDLLLNAKHFHFNKNQLSGSIPPELFSSDMVLIHVLFDGNQLEGNIPSTLGLVQTLEVLRLDRNALSGKVPKNLNNLSSLNELNLAHNKLIGPLPNLTKMDALNYVDLSNNSFYSSEAPDWFSTLPSLTTLVIEHGSLHGTLPSKVFSFPQIQQVLLRNNALNGSFNMGDSISTQLQLVDLQNNQISSVTLTADYTNTLILVGNPVCTALSDTNYCQLQQQSTKPYSTSLANCGSKMCPPEQKLSPQSCECAYPYEGTLYFRAPSFRELSNVNMFHSLEMSLWGKLGLTPGSVFLQNPFFNVDDYLQVQVALFPPTDKYFNRSEIQSIGFDLTNQTYKPPKDFGPYYFIASPYPFPDASRGSSMSTGVVVGIGIGCGLLVMSLVGVGIYAIRQKKRAEKAIGLSKPFASWAPSGKDSGGVPQLKGARWFSYEELKRCTYNFTESNEIGSGGYGKVYRGMLSDGQVVAIKRAQQGSMQGGLEFKTEIELLSRVHHKNLVGLVGFCFEQGEQMLVYEYMPNGTLRECLSGKSGIYLDWRRRLRIALGSARGLAYLHELANPPIIHRDVKSTNILLDENLTAKVADFGLSKLVSDSSKGHVSTQGYLDPEYYMTQQLTEKSDVYSFGVVMLELIAAKQPIEKGKYIVREVRMAMDRNDEEHYGLKEIMDPGLRNMGGNLVGFGRFLEVAMQCVEESATERPTMSEVVKAIEMILQNDGVNTNSTTSASSSATDFGASRGGGPLRHPYNHDVVAAKNKVDVVDNINNNNAFDYSGGYTLSAKVEPK >Potri.014G169100.2.v4.1 pep chromosome:Pop_tri_v4:14:12343326:12346935:-1 gene:Potri.014G169100.v4.1 transcript:Potri.014G169100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169100.v4.1 MAGLISLRSTRVPFLTKPKPSPLHHGPNNFSMNPNPGAGNRFSVTSIKAQQAEASTVNKEDELKAKEWEVRMLQEEVAASQGIRIRRRPPTGPPVHYVGPFEFRIQSEGNTPRNILEEIVWNKDVEVSHMKQRKPLSSLKKYLENAPPTRDFVGALREANHRTGFPGLIAEVKKASPSRGILREDFDPVEIAKAYEKGGAACLSVLTDEKYFKGSFENLEAIRNAGVKCPLLCKEFVVDAWQIFYARIKGADAILLIAAVLPDLDIKYMTKICKMLGLTALVEVHDEREMDRVLAIEGVELIGINNRNLETFEVDISNTKKLLEGERGQLICQKDIIVVGESGLFTPDDIAYVQEAGVKAVLVGESIVKQSDPGKGISGLFGKDISL >Potri.018G144401.1.v4.1 pep chromosome:Pop_tri_v4:18:14950410:14951718:1 gene:Potri.018G144401.v4.1 transcript:Potri.018G144401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144401.v4.1 MAFQVTTSLFGIESKTLLTHIKSPHLPYMTIKADPTYEFTSIFFSKTSPSTHLPKPKSPHAPRTFTNVISSGSNPSSLISINNPIASLAKPILEYPEIIEFHDTKSLSPISSNTFRANPISPQLASIVVKE >Potri.016G040000.2.v4.1 pep chromosome:Pop_tri_v4:16:2470678:2472557:1 gene:Potri.016G040000.v4.1 transcript:Potri.016G040000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040000.v4.1 MSANLQEIVISRHDIAMSSTGQSHCGWHNSTMADTSEEIHSGSLDSMMAATSEEINVSNRQTVPKFPKVPSTIRQIKQNRECYDPSLVSIGPYHHGKDELKEMEKLKVTFARQFVKDCVNDVNQERYKEKYQEMYRGVEQVASRVRKYYIEDESSRLKNEEFAQMMFFDGCFILQFLFCLLKQPEKLKMSSHDVVLVARDLFLLENQLPFEVLNELTRLRFGGENIALFEAFFKHIRSMPTQRESCREKKKKHLLTISKFFRRILPSTNPKGQESEMTAPHKHAHLLERFHLTFLGSKDVPHASRQSWYRADSRKTWSGRYFPVTELSNVGIHFKPSKTSLFTDVKFRRTLLAGSLYIPPLTIDVSTKPLLLNLVAYEACLGDIDKLWVTSYICFMNSLIDHPEDVRVLRSQGVLLVTLGSEEEVAKLFSEVANYLVPNPRAFKKVKKDIESHCRHTFKRWILHYKATVYTVIFKYSFIFGLIVSALKYVKVFPAEPLYGVCRLPGNNSTLYP >Potri.001G022601.1.v4.1 pep chromosome:Pop_tri_v4:1:1760033:1761423:-1 gene:Potri.001G022601.v4.1 transcript:Potri.001G022601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022601.v4.1 MMMMKGVLGSNGDIHVTYRVALEIGYLTRETTRLDDPLVLNLNHRYFKLLCLPFLESFWPVNDMLAHFFNGLCSMAISRDRVKGPGLSRGNLFMRKDQVFELANLNSHKSVCQSWIMNPENVLFWLKDSENENCIREEVWLVGQWESHGTNEIGEGLARHIDMLSATERDVKELLVAFDVDDDMGH >Potri.014G104000.4.v4.1 pep chromosome:Pop_tri_v4:14:6965581:6969102:1 gene:Potri.014G104000.v4.1 transcript:Potri.014G104000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104000.v4.1 MEINVFKLCSGLKVLGYLMILLVAAIIAVSYHAVVIVTCGPQLLRGGAHSVLAFAIIMIFHFLLIMLLWSYFTVLSKDPGSVPENWRAVLPEEALETGSSLNDRSDCVVATDGLDRRAFCNHCENGKPPRCHHCSVCQRCVLKMDHHCVWVVNCVGARNYKFFLLFLLYTFMVTTMDTLVLLPGFINFFGKAKNHSSSPGDLAVIFLAFVLNLAFALSLLCFLVMHASLVSSNTTSIEVYEKKGAARWKYDLGRKKNFEQVFGTKKALWFFPLFSKEDVDKIPALHGLDFPIRADLES >Potri.017G154700.1.v4.1 pep chromosome:Pop_tri_v4:17:15136595:15139266:1 gene:Potri.017G154700.v4.1 transcript:Potri.017G154700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154700.v4.1 MDLAVSNIFVVTTFVVFNLIFGLSSTMPVHSLYQTKVFFPEERDALMQIRDSVSSTLDLHGNWTGPPCNQNSGRWAGIICSNWHVVGLVLEGIQLTGSLPPTFLQNITFLAYLSFRNNSIYGPLPNLSNLVLLESVFFSYNRFTGPIPSEYIELPNLEQLELQQNYLDWEIPPFDQPTLTLFNVSYNHLQGSIPDTDVLQRFSESSYDHNSNLCGIPLEPCPVLPLAQLIPPPSPPISPPQSKKRKLPIWIVVLVAVVSTLVALMVMFVFLCCYKKAQEKETPKEHQAGEDGSSEWTDKKTAYSRSAEDPERSVELQFFDKNIPVFDLDDLLRASAEVLGKGKLSTTYKANLESGAVISVKRVEYMDSLSKKEFIQQMQLLGKMRHENLVQIISFYYSKEEKLIVYEFVPGGSLFELLHENRGVGRIPLNWAARFSIIKDIAKGMAFLHQSLPSHKVPHANLKSSNVLIRRDRLSYHTKLTNYGFLPLLPSRKLSERLAVGRSPEFCQGKKLTHKADVYCFGIILLEVITGKIPGGTSPEGNYEKADDLSDWVRMVVNNDWSTDILDVEILASREGHNEMMKLTEIALQCTDMAPEKRPKMSEVLIRIEEIDRTNRGND >Potri.006G178000.1.v4.1 pep chromosome:Pop_tri_v4:6:18408929:18410663:1 gene:Potri.006G178000.v4.1 transcript:Potri.006G178000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178000.v4.1 MAENTKPTEALAMTIAEETTKTKKEVVGNTKSEKVNQVKNYASILSIITFVLSVVILASAIWLLYMKDYDCEKLLWLPRLQIGIGIGLIFVSLISNIAVLLRSRFPVPGFFLVMVPLIVMLTMGLALVGANKLESRRLMATPKWFREKVRHNDNWENIKSCIYNTGTCDDLVSRSLNLKAFDFSIKKLSPIEAGCCKPPSICQMEYVNATFWTKVEGAVDESQQQYSDCATWQNDQNILCYNCGSCRHGFVRVMESKWRNLGVLLILMGLLLIIAHISLFVMVMWEHYN >Potri.001G009501.1.v4.1 pep chromosome:Pop_tri_v4:1:636870:637210:1 gene:Potri.001G009501.v4.1 transcript:Potri.001G009501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009501.v4.1 MEGPEMSSSTPTATIKTSNNNDFKPQKPLFRPAQDDTKPPLKDPILRSDPIETEEAVLKLPPFPFCKSK >Potri.003G158000.5.v4.1 pep chromosome:Pop_tri_v4:3:16896780:16904808:-1 gene:Potri.003G158000.v4.1 transcript:Potri.003G158000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158000.v4.1 MGRAILSHFLILSNPAPLLSSSSISKLNGFASKSVRIRQNSKYSNGFRCYCDVSHSQQTSPENSPTSVTVKKRIVSGVQPTGSVHLGNYLGAIKNWIALQNTYETLFFIVDLHAITLPYDTKELSKATRDTAAIYLACGIDASKASVFVQSHVHAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPALEFDNPERPECNNLLSVYQLVSGKTKEDVAKECQGLNWGSFKPLLTDALIEHLHPIQVRYEEIHSDSAYLDSVLEEGARKASEIADATLKNVYQAMGFLRR >Potri.003G158000.4.v4.1 pep chromosome:Pop_tri_v4:3:16896782:16904807:-1 gene:Potri.003G158000.v4.1 transcript:Potri.003G158000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158000.v4.1 MGRAILSHFLILSNPAPLLSSSSISKLNGFASKSVRIRQNSKYSNGFRCYCDVSHSQQTSPENSPTSVTVKKRIVSGVQPTGSVHLGNYLGAIKNWIALQNTYETLFFIVDLHAITLPYDTKELSKATRDTAAIYLACGIDASKASVFVQSHVHAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPALEFDNPERPECNNLLSVYQLVSGKTKEDVAKECQGLNWGSFKPLLTDALIEHLHPIQVRYEEIHSDSAYLDSVLEEGARKASEIADATLKNVYQAMGFLRR >Potri.003G158000.6.v4.1 pep chromosome:Pop_tri_v4:3:16897629:16904800:-1 gene:Potri.003G158000.v4.1 transcript:Potri.003G158000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158000.v4.1 MGRAILSHFLILSNPAPLLSSSSISKLNGFASKSVRIRQNSKYSNGFRCYCDVSHSQQTSPENSPTSVTVKKRIVSGVQPTGSVHLGNYLGAIKNWIALQNTYETLFFIVDLHAITLPYDTKELSKATRDTAAIYLACGIDASKASVFVQSHVHAHVELMWLLSSATPIGWLNRMIQFKEKSRKAGDENVGVALLTYPVLMASDILLYQSDFVPVGEDQKQHLELTRELAERVNYLYGGRKWKKLGGRGGAIFKVPEPLIPPAGARVMSLTDGLSKMSKSAPSDQSRINLLDPKDVIANKIKRCKTDSFPALEFDNPERPECNNLLSVYQLVSGKTKEDVAKECQGLNWGSFKPLLTDALIEHLHPIQVRYEEIHSDSAYLDSVLEEGARKASEIADATLKNVYQAMGFLRR >Potri.010G246800.1.v4.1 pep chromosome:Pop_tri_v4:10:22139219:22142861:-1 gene:Potri.010G246800.v4.1 transcript:Potri.010G246800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246800.v4.1 MDFMPLPSADVLGVLIAIFSVTAIAAASSYYFLSRKPKGCLDPQKFKEFKLIKKTQISPDVARFRFSLPTPKSVLGLPVGTHVVCRGKDSEGQEVTRPYTPITLDSQAGYFELVVKMYPKGRMSHHYREMREGDYLAVKGPQGRFNYKPGQVRAFGMIAGGSGITPMFQLTRAILENPKDKTIVRLIYANTTFEDILLKEDLDDFATKFPNRFKVYYVLSQPPEAWSGGGGHVSKEMIQNHCPPPAPDIRILRCGPPGMNKAMAAHLNALGYTSSMQFEF >Potri.008G180800.2.v4.1 pep chromosome:Pop_tri_v4:8:12466204:12467959:1 gene:Potri.008G180800.v4.1 transcript:Potri.008G180800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180800.v4.1 MAYGLWPCILFNDQTPLQWYVLVVLLSTASAMGRRPCCDKVGLKRGTWSVEEDQKLMNFILSNGIKCWRHVPKLAGLLRCGKSCRLRWMNYLRPDLKRGAFSEAEEDHLVELHSRLGNRWSKIAAFFPGRTDNEIKNHWNTRIKKRLKLLGVDPQGHEPPIEQAETNCDAKESTFAAMKEVVGNINVDQKLLENPVSKVETRLDHDHSCSGHNTSKALREDSDAGWSTFPSLTDLQSDSCLSRSMDEYVVQESSSESYWIDTYVDSLLSWDGLSFSNLE >Potri.008G180800.1.v4.1 pep chromosome:Pop_tri_v4:8:12466187:12467998:1 gene:Potri.008G180800.v4.1 transcript:Potri.008G180800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180800.v4.1 MGRRPCCDKVGLKRGTWSVEEDQKLMNFILSNGIKCWRHVPKLAGLLRCGKSCRLRWMNYLRPDLKRGAFSEAEEDHLVELHSRLGNRWSKIAAFFPGRTDNEIKNHWNTRIKKRLKLLGVDPQGHEPPIEQAETNCDAKESTFAAMKEVVGNINVDQKLLENPVSKVETRLDHDHSCSGHNTSKALREDSDAGWSTFPSLTDLQSDSCLSRSMDEYVVQESSSESYWIDTYVDSLLSWDGLSFSNLE >Potri.017G079800.6.v4.1 pep chromosome:Pop_tri_v4:17:8880056:8884807:1 gene:Potri.017G079800.v4.1 transcript:Potri.017G079800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079800.v4.1 MGSLCRASKTLKSQKYLSLLHTYSNFPPFSSTFTLNDSRLGVAHDFCSNSRQNSIDLAQYSPERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKCNHNGSNIKDADKAPTYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAVQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPERVKAQLKSMFDLEPSDCLLTSAKTGLGLEQVLPSVIDRIPPPSGSTSSPLRMLLLDSYYDEYKGVICHVAIVDGVLRKGDKISSAATGHTYEVADVGIMHPELTPTGVLLTGQVGYIVSGMRSTKEARVGDTLHHSRFSVESLPGFKPAKHMVFSGLYPVDGSDFDALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEHGAHVISTVPTVPYIFEYSDGSKVQVQNPAMLTSNPNKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFVDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSDYQESDLVKLDIVLNGQSVDAMATIVHKLKAQRIGRELVEKLKKFIDRQMFEITIQAAIGAKVIARET >Potri.017G079800.1.v4.1 pep chromosome:Pop_tri_v4:17:8880056:8884815:1 gene:Potri.017G079800.v4.1 transcript:Potri.017G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079800.v4.1 MGSLCRASKTLKSQKYLSLLHTYSNFPPFSSTFTLNDSRLGVAHDFCSNSRQNSIDLAQYSPERIRNFSIIAHVDHGKSTLADRLLELTGTIKRGHGQPQYLDKLQVERERGITVKAQTATMFHKCNHNGSNIKDADKAPTYLLNLIDTPGHVDFSYEVSRSLAACQGALLVVDAVQGVQAQTVANFYLAFESNLAIIPVINKIDQPTADPERVKAQLKSMFDLEPSDCLLTSAKTGLGLEQVLPSVIDRIPPPSGSTSSPLRMLLLDSYYDEYKGVICHVAIVDGVLRKGDKISSAATGHTYEVADVGIMHPELTPTGVLLTGQVGYIVSGMRSTKEARVGDTLHHSRFSVESLPGFKPAKHMVFSGLYPVDGSDFDALNHAIERLTCNDASVSVTKESSSALGLGFRCGFLGLLHMDVFHQRLEQEHGAHVISTVPTVPYIFEYSDGSKVQVQNPAMLTSNPNKRVTASWEPTVIATIIIPSEYVGPVITLCSERRGQQLEYSFVDSQRAFMKYRLPLREIVVDFYNELKSITSGYASFDYEDSDYQESDLVKLDIVLNGQSVDAMATIVHKLKAQRIGRELVEKLKKFIDRQMFEITIQAAIGAKVIARETISAMRKNVLAKCYGGDVTRKKKLLEKQKEGKKRMKRIGSVDIPQEAFHELLRVS >Potri.017G147900.2.v4.1 pep chromosome:Pop_tri_v4:17:14712785:14716782:-1 gene:Potri.017G147900.v4.1 transcript:Potri.017G147900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147900.v4.1 MAANDVPCCEPMFWTYLIICMALVSFAGLMSGLTLGLMSLTVVDLEVLIKAGQPQERKNAEKILPIVKNQHLLLCTLLIGNALAMEALPIFLDALLPAWGAILISVTLILTFGEIIPQAVCSRYGLSIGAKLSIVVRFIVIVLFPLAYPISKLLDWILGEKHSALLRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTPISETFSLDINCKLDEKTMGLIIRKGHSRVPIYTGNPTNIIGLILVKNLIRCRPEDETPIRDLTIRRIPRVPDLLPLYDIMNQFQKGHSHMAVVVKSKNDANETAQKANYKPTMLGKRVSGSYQLGQKDQFIIPVNSPSVYSSGTDIESPKQIDFRNLRDNLHPKLQNQEHQHGNLSHEELEFLSASDEEVIGVITLEDVMEELIQEEILDETDEYVDVHNKITINMIPPRRSPGAGTASPVSPYHQSPVSPILLSPIPPYAYSPFIRPTLYASPPAKSVPNSPAHLTGSPNYSPSSNKVSRKSYEKLRRPDGM >Potri.017G147900.3.v4.1 pep chromosome:Pop_tri_v4:17:14712784:14716782:-1 gene:Potri.017G147900.v4.1 transcript:Potri.017G147900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147900.v4.1 MAANDVPCCEPMFWTYLIICMALVSFAGLMSGLTLGLMSLTVVDLEVLIKAGQPQERKNAEKILPIVKNQHLLLCTLLIGNALAMEALPIFLDALLPAWGAILISVTLILTFGEIIPQAVCSRYGLSIGAKLSIVVRFIVIVLFPLAYPISKLLDWILGEKHSALLRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTPISETFSLDINCKLDEKTMGLIIRKGHSRVPIYTGNPTNIIGLILVKNLIRCRPEDETPIRDLTIRRIPRVPDLLPLYDIMNQFQKGHSHMAVVVKSKNDANETAQKANYKPTMLGKRGSYQLGQKDQFIIPVNSPSVYSSGTDIESPKQIDFRNLRDNLHPKLQNQEHQHGNLSHEELEFLSASDEEVIGVITLEDVMEELIQEEILDETDEYVDVHNKITINMIPPRRSPGAGTASPVSPYHQSPVSPILLSPIPPYAYSPFIRPTLYASPPAKSVPNSPAHLTGSPNYSPSSNKVSRKSYEKLRRPDGM >Potri.017G147900.5.v4.1 pep chromosome:Pop_tri_v4:17:14712784:14716782:-1 gene:Potri.017G147900.v4.1 transcript:Potri.017G147900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147900.v4.1 MAANDVPCCEPMFWTYLIICMALVSFAGLMSGLTLGLMSLTVVDLEVLIKAGQPQERKNAEKILPIVKNQHLLLCTLLIGNALAMEIIPQAVCSRYGLSIGAKLSIVVRFIVIVLFPLAYPISKLLDWILGEKHSALLRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTPISETFSLDINCKLDEKTMGLIIRKGHSRVPIYTGNPTNIIGLILVKNLIRCRPEDETPIRDLTIRRIPRVPDLLPLYDIMNQFQKGHSHMAVVVKSKNDANETAQKANYKPTMLGKRGSYQLGQKDQFIIPVNSPSVYSSGTDIESPKQIDFRNLRDNLHPKLQNQEHQHGNLSHEELEFLSASDEEVIGVITLEDVMEELIQEEILDETDEYVDVHNKITINMIPPRRSPGAGTASPVSPYHQSPVSPILLSPIPPYAYSPFIRPTLYASPPAKSVPNSPAHLTGSPNYSPSSNKVSRKSYEKLRRPDGM >Potri.017G147900.4.v4.1 pep chromosome:Pop_tri_v4:17:14712784:14716782:-1 gene:Potri.017G147900.v4.1 transcript:Potri.017G147900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147900.v4.1 MAANDVPCCEPMFWTYLIICMALVSFAGLMSGLTLGLMSLTVVDLEVLIKAGQPQERKNAEKILPIVKNQHLLLCTLLIGNALAMEIIPQAVCSRYGLSIGAKLSIVVRFIVIVLFPLAYPISKLLDWILGEKHSALLRRAELKTLVDMHGNEAGKGGELTHDETTIITGALDLTQKTAKDAMTPISETFSLDINCKLDEKTMGLIIRKGHSRVPIYTGNPTNIIGLILVKNLIRCRPEDETPIRDLTIRRIPRVPDLLPLYDIMNQFQKGHSHMAVVVKSKNDANETAQKANYKPTMLGKRVSGSYQLGQKDQFIIPVNSPSVYSSGTDIESPKQIDFRNLRDNLHPKLQNQEHQHGNLSHEELEFLSASDEEVIGVITLEDVMEELIQEEILDETDEYVDVHNKITINMIPPRRSPGAGTASPVSPYHQSPVSPILLSPIPPYAYSPFIRPTLYASPPAKSVPNSPAHLTGSPNYSPSSNKVSRKSYEKLRRPDGM >Potri.006G205800.1.v4.1 pep chromosome:Pop_tri_v4:6:21404818:21411437:1 gene:Potri.006G205800.v4.1 transcript:Potri.006G205800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205800.v4.1 MSLHIGNLSSHIRRDELERVFQRFGRCNVRMKDGYGFVVYEFPPNAEKALRALHKRNICGKPLTLSWSNKQPRPLKRFARTDRSYEPQHRGNSDRGGDHVKRKLGSNDQQDYRLSFTQADRNSRIPSSADMHQEEIVNHQDDIEDHTNKEHQGYREDFLDERGRVGPDPMDNDRWGEQFHNPPNEVGVENGVEFDRYDPYEGFDRRNNDENLQKAYSGGTLALQSSQENAGGDHASDATLNRLSDVKSQETCYKCGGSGHKMRNCPQENASQRNVTRFDSRHTDDIHRSGRGKGDPEKNGSRSWEKLQSSRDAIPGRRLKNDKESSGSGRHEEVTRNGRSAVSKETDWSCKKEYERKRQRRKEIESPKRHGTKKGRRSTSSFLHSDNTTSRLHSTSHSSKQLQRSHSHSRSKSLSSSLRSGSTSLYSRSQSSKTMSRSISPTSLSLSVSLGQALPSSSNKAQLIMKGSSDNATTPESKEVFIEEVQPVEGETGLQGDKHGLKTVAVNDNAVSSSKADTEMEKYQPLQKDSDDCLMVSNSLHKATNSSTQILSEEGTLAAGNLSLEPVLEMECQNSDTFETEHVQVPLKKLDPQAPASSSSFHSTSISAGELSSVLKHYGLGCQDENEKPLPAEAYFGSARLWPWEIIHYRRLKKGLISIENYARRVDQNREFGIVDKYIRSSSGWGELHQENL >Potri.006G205800.2.v4.1 pep chromosome:Pop_tri_v4:6:21404767:21412152:1 gene:Potri.006G205800.v4.1 transcript:Potri.006G205800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205800.v4.1 MSLHIGNLSSHIRRDELERVFQRFGRCNVRMKDGYGFVVYEFPPNAEKALRALHKRNICGKPLTLSWSNKQPRPLKRFARTDRSYEPQHRGNSDRGGDHVKRKLGSNDQQDYRLSFTQADRNSRIPSSADMHQEEIVNHQDDIEDHTNKEHQGYREDFLDERGRVGPDPMDNDRWGEQFHNPPNEVGVENGVEFDRYDPYEGFDRRNNDENLQKAYSGGTLALQSSQENAGGDHASDATLNRLSDVKSQETCYKCGGSGHKMRNCPQENASQRNVTRFDSRHTDDIHRSGRGKGDPEKNGSRSWEKLQSSRDAIPGRRLKNDKESSGSGRHEEVTRNGRSAVSKETDWSCKKEYERKRQRRKEIESPKRHGTKKGRRSTSSFLHSDNTTSRLHSTSHSSKQLQRSHSHSRSKSLSSSLRSGSTSLYSRSQSSKTMSRSISPTSLSLSVSLGQALPSSSNKAQLIMKGSSDNATTPESKEVFIEEVQPVEGETGLQGDKHGLKTVAVNDNAVSSSKADTEMEKYQPLQKDSDDCLMVSNSLHKATNSSTQILSEEGTLAAGNLSLEPVLEMECQNSDTFETEHVQVPLKKLDPQAPASSSSFHSTSISAGELSSVLKHYGLGCQDENEKPLPAEAYFGSARLWPWEIIHYRRLKKGLISIENYARRVDQNREFGIVDKYIRSSSGWGELHQENL >Potri.006G186700.13.v4.1 pep chromosome:Pop_tri_v4:6:19384356:19389467:-1 gene:Potri.006G186700.v4.1 transcript:Potri.006G186700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186700.v4.1 MKQKRSRKGVKTKQKPVVKEKNDGEEGSEKQVFGEENSKEDRKEKINREEGCKEQGFQEDHSEEGKKAKKKVFKEKKSQKEGVHFLRERVRSRERGKRELKFEGKQAMDTKCSQRKMHEFLSNLKGKKRSIIENSIFSAFLDIPRCPINRNLAAALIECYDSEKDAFIVAGQSLKFCGKEIEKCIGLSFEGKRVDMNILGGHIDTQIIWKYFEIVNGNARIKEKSTDASNEKDDGELKSSFLIPESLLLQKLNLMSVDGKGEAEDFLRLSIIYMFNIYFFPSASKYIYWWPLKFLENLHDFGSYAWGRAAYDYLHISLEKAASKLACQNKFYVSLNACVPLLQTLATERISKLQVLAPPTNLNPSVLNYTKNESRSFDTIQKVLAKLQPDEIKKCKDCEGVKNSTRLQGGANVKSTKRQKTQNEEEDGLVITI >Potri.006G186700.7.v4.1 pep chromosome:Pop_tri_v4:6:19384356:19389780:-1 gene:Potri.006G186700.v4.1 transcript:Potri.006G186700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186700.v4.1 MEREEKELEDEIKLAGYALLSSPSSVSQLLLLLEKLEDCLKRVNQSPSKSMQRAVELAMKALMAKELLNHSDVDVKVSVASCFNHILIITAQTFSYDDEQMKEILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCVLMVDLQCYSLIIEMFKHFLTNIREHHPDIVYSSMGLIMIIILDEIKEIPLEIVNLLLDCIRNRNQDVLPIAQKLGERIFENCGSKLAPYMPQANYCGQTHIRGKLQDSGSHTELVVKDNSDRGEGCGYQVFEEGNREESRKIKENAPYVPQANYCGQTHIRGKLQDSGSHTELVVKDNSDRGEGCGYQVFEKEGNREESRKIKEKDFKEKTLKEEGEIFLREFMKQKRSRKGVKTKQKPVVKEKNDGEEGSEKQVFGEENSKEDRKEKINREEGCKEQGFQEDHSEEGKKAKKKVFKEKKSQKEGVHFLRERVRSRERGKRELKFEGKQAMDTKCSQRKMHEFLSNLKGKKRSIIENSIFSAFLDIPRCPINRNLAAALIECYDSEKDAFIVAGQSLKFCGKEIEKCIGLSFEGKRVDMNILGGHIDTQIIWKYFEIVNGNARIKEKSTDASNEKDDGELKSSFLIPESLLLQKLNLMSVDGKGEAEDFLRLSIIYMFNIYFFPSASKYIYWWPLKFLENLHDFGSYAWGRAAYDYLHISLEKAASKLACQNKFYVSLNACVPLLQTLATERISKLQVLAPPTNLNPSVLNYTKNESRSFDTIQKVLAKLQPDEIKKCKDCEGVKNSTRLQGGANVKSTKRQKTQNEEEDGLVITI >Potri.006G186700.12.v4.1 pep chromosome:Pop_tri_v4:6:19384357:19389620:-1 gene:Potri.006G186700.v4.1 transcript:Potri.006G186700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186700.v4.1 MEREEKELEDEIKLAGYALLSSPSSVSQLLLLLEKLEDCLKRVNQSPSKSMQRAVELAMKALMAKELLNHSDVDVKVSVASCFNHILIITAQTFSYDDEQMKEILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCVLMVDLQCYSLIIEMFKHFLTNIREHHPDIVYSSMGLIMIIILDEIKEIPLEIVNLLLDCIRNRNQDVLPIAQKLGERIFENCGSKLAPYMPQANYCGQTHIRGKLQDSGSHTELVVKDNSDRGEGCGYQVFEEGNREESRKIKENAPYVPQANYCGQTHIRGKLQDSGSHTELVVKDNSDRGEGCGYQVFEKEGNREESRKIKEKDFKEKTLKEEGEIFLREFMKQKRSRKGVKTKQKPVVKEKNDGEEGSEKQVFGEENSKEDRKEKINREEGCKEQGFQEDHSEEGKKAKKKVFKEKKSQKEGVHFLRERVRSRERGKRELKFEGKQAMDTKCSQRKMHEFLSNLKGKKRSIIENSIFSAFLDIPRCPINRNLAAALIECYDSEKDAFIVAGQSLKFCGKEIEKCIGLSFEGKRVDMNILGGHIDTQIIWKYFEIVNGNARIKEKSTDASNEKDDGELKSSFLIPESLLLQKLNLMSVDGKGEAEDFLRLSIIYMFNIYFFPSASKYIYWWPLKFLENLHDFGSYAWGRAAYDYLHISLEKAASKLACQNKFYVSLNACVPLLQTLATERISKLQVLAPPTNLNPSVLNYTKNESRSFDTIQKVLAKLQPDEIKKCKDCEGVKNSTRLQGGANVKSTKRQKTQNEEEDGLVITI >Potri.006G186700.3.v4.1 pep chromosome:Pop_tri_v4:6:19384357:19389736:-1 gene:Potri.006G186700.v4.1 transcript:Potri.006G186700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186700.v4.1 MEREEKELEDEIKLAGYALLSSPSSVSQLLLLLEKLEDCLKRVNQSPSKSMQRAVELAMKALMAKELLNHSDVDVKVSVASCFNHILIITAQTFSYDDEQMKEILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCVLMVDLQCYSLIIEMFKHFLTNIREHHPDIVYSSMGLIMIIILDEIKEIPLEIVNLLLDCIRNRNQDVLPIAQKLGERIFENCGSKLAPYMPQANYCGQTHIRGKLQDSGSHTELVVKDNSDRGEGCGYQVFEKEGNREESRKIKEKDFKEKTLKEEGEIFLREFMKQKRSRKGVKTKQKPVVKEKNDGEEGSEKQVFGEENSKEDRKEKINREEGCKEQGFQEDHSEEGKKAKKKVFKEKKSQKEGVHFLRERVRSRERGKRELKFEGKQAMDTKCSQRKMHEFLSNLKGKKRSIIENSIFSAFLDIPRCPINRNLAAALIECYDSEKDAFIVAGQSLKFCGKEIEKCIGLSFEGKRVDMNILGGHIDTQIIWKYFEIVNGNARIKEKSTDASNEKDDGELKSSFLIPESLLLQKLNLMSVDGKGEAEDFLRLSIIYMFNIYFFPSASKYIYWWPLKFLENLHDFGSYAWGRAAYDYLHISLEKAASKLACQNKFYVSLNACVPLLQTLATERISKLQVLAPPTNLNPSVLNYTKNESRSFDTIQKVLAKLQPDEIKKCKDCEGVKNSTRLQGGANVKSTKRQKTQNEEEDGLVITI >Potri.010G219400.1.v4.1 pep chromosome:Pop_tri_v4:10:20499212:20501974:-1 gene:Potri.010G219400.v4.1 transcript:Potri.010G219400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219400.v4.1 MARGAALLHLLRSQSKQLSTIRNFHSGYHPCRFGTWPHDQCAKLNFNHASLTATQKRWASRATTTKDDNKISIGPRRGKEAGEDEKETGVVYYGPITSTIKKVKMLSLSTCCLSVSLGPVVTFMTSQDTNVILKGAVASSVIFFSASTTAALHWFVSPYIHKLRWQPGSDSFEVEMMSWLATYIPKTIKFADIRLPETNRPFVTFKANGNFYFVDSEHCHNKALLNRLTPQKSAHESAFKNL >Potri.010G189900.5.v4.1 pep chromosome:Pop_tri_v4:10:18583831:18586106:-1 gene:Potri.010G189900.v4.1 transcript:Potri.010G189900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189900.v4.1 MLVIAMKIAKGKGTARTEKKEVLLPVEDRKIGKRKAALKANESSKKRVKKEKITKKDPNKPKRPPSAFFVFLEEFRKVYKQEHPNVKAVSAVGKAGGEKWKSLSAAEKAPYEAKAAKRKSDYEKLMTAYNKKQDTDDGDDDDNDDDNIEQSHKSKSEVNGQNDESDEEDEDDDGDDDDEDD >Potri.010G189900.2.v4.1 pep chromosome:Pop_tri_v4:10:18583393:18586963:-1 gene:Potri.010G189900.v4.1 transcript:Potri.010G189900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189900.v4.1 MKIAKGKGTARTEKKEVLLPVEDRKIGKRKAALKANESSKKRVKKEKITKKDPNKPKRPPSAFFVFLEEFRKVYKQEHPNVKAVSAVGKAGGEKWKSLSAAEKAPYEAKAAKRKSDYEKLMTAYNKKQDTDDGDDDDNDDDNIEQSHKSKSEVNGQNDESDEEDEDDDGDDDDEDD >Potri.016G023000.3.v4.1 pep chromosome:Pop_tri_v4:16:1272318:1283551:1 gene:Potri.016G023000.v4.1 transcript:Potri.016G023000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023000.v4.1 MDKSSALEYINQMFPTEASLSGVEPLMQKIHSEIRRVDAGILAAVRQQSNSRTKAKEDLAAATHAVEELMYKIREIKSKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQLLKSHVFSDFSSLGTGKETEEANLLQHLSDACLVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRIRTNEDTWKIFPPSWHVPYRLCIQFCKKTRKQLEVILDYLKEKPDVGTLLMALQRTREFEDELAEKFGGGTRSREIGNEIEEIGKENNGQNVSDIRKKYEKKFAANQGSVPEEKDGNKDLSVPGAGFNFHGIISSCFEPHLIVYIELEQKTLMENLEKLVQEETWDIEEGGQNNVLTSSMQLFLIIKRSLKRCSNLTKNQTLLNLFKVFERVLKAYAAKLKARLPKGGMGIVAAATGMDGQIKTSDRDERVICFIVNSAEYCQITSGELAESVSKIIDHQLATGVDISAVEEEFSGLITEALMTLVHGLETKFDAEMAAMTRVPWATLESVGDQSEYVNGINMILSSSIPALGRLLSPIHFQYFLDKLASSLGPRFFANIFKCKQISETGAQQMLLDTQAVKTILLEVPSLGRQTSSAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQTILDDFNKHSPAITQPSIAPSVAPAAPLVPATPAIANSTAGFSASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Potri.016G023000.6.v4.1 pep chromosome:Pop_tri_v4:16:1272582:1283540:1 gene:Potri.016G023000.v4.1 transcript:Potri.016G023000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023000.v4.1 MDKSSALEYINQMFPTEASLSGVEPLMQKIHSEIRRVDAGILAAVRQQSNSRTKAKEDLAAATHAVEELMYKIREIKSKAEQSETMVQEICRDIKKLDFAKKHITTTITALHRLTMLVSAVEQLQVMASKRQYKEAAAQLEAVNQLCSHFEAYRDIPKITELREKFKNIKQLLKSHVFSDFSSLGTGKETEEANLLQHLSDACLVVDALEPSVREELVNNFCSRELTSYEQIFEGAELAKLDKTERRYAWIKRRIRTNEDTWKIFPPSWHVPYRLCIQFCKKTRKQLEVILDYLKEKPDVGTLLMALQRTREFEDELAEKFGGGTRSREIGNEIEEIGKENNGQNVSDIRKKYEKKFAANQGSVPEEKDGNKDLSVPGAGFNFHGIISSCFEPHLIVYIELEQKTLMENLEKLVQEETWDIEEGGQNNVLTSSMQLFLIIKRSLKRCSNLTKNQTLLNLFKVFERVLKAYAAKLKARLPKGGMGIVAAATGMDGQIKTSDRDERVICFIVNSAEYCQITSGELAESVSKIIDHQLATGVDISAVEEEFSGLITEALMTLVHGLETKFDAEMAAMTRVPWATLESVGDQSEYVNGINMILSSSIPALGRLLSPIHFQYFLDKLASSLGPRFFANIFKCKQISETGAQQMLLDTQAVKTILLEVPSLGRQTSSAASYSKFVSREMSKAEALLKVILSPVDSVADTYRALLPEGTPMEFQRILELKGLKKADQQTILDDFNKHSPAITQPSIAPSVAPAAPLVPATPAIANSTAGFSASREDVLTRAAALGRGAATTGFKRFLALTEAAKDRKDGPFRKLFNA >Potri.002G061400.1.v4.1 pep chromosome:Pop_tri_v4:2:4249437:4253609:1 gene:Potri.002G061400.v4.1 transcript:Potri.002G061400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061400.v4.1 MVFWEGYVSDEVMGIFAPIVIYWLYGGFYQLLPPLDGYRLHTRKEEEEKNLLSLSKVVKGVLLQQLLQAAVAHALFLLTSTADESGITIQPSIPIQIVQIIIGMFVMDTWQYFMHRYMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTIGGAIAFLTSGMTARTSVFFFSFATVKTVDDHCGLWLPGNIFHIFFQNNTAYHDIHHQLPGTKYNYSQPFFSIWDKILGTHMPYTLVKRPEGGFEARLVKD >Potri.002G061400.5.v4.1 pep chromosome:Pop_tri_v4:2:4252716:4253180:1 gene:Potri.002G061400.v4.1 transcript:Potri.002G061400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061400.v4.1 MFVMDTWQYFMHRYMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGLLLDTIGGAIAFLTSGMTARTSVFFFSFATVKTVDDHCGLWLPGNIFHIFFQNNTAYHDIHHQLPGTKYNYSQPFFSIWDKILGTHMPYTLVKRPEGGFEARLVKD >Potri.009G022900.1.v4.1 pep chromosome:Pop_tri_v4:9:3507393:3512983:1 gene:Potri.009G022900.v4.1 transcript:Potri.009G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022900.v4.1 MDYEPYDSSGTDDDLPPSHQNRIPRGGRVAGNGRPVGGSVPYPRMYGETDMETQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLARVNADDVIRRIREWRQAGGHQSGMLTTGQAVHDPIPSPTVSASRKKQKMTSSIPSQSFVGPSPSFHPPAVSASHQPSSSAAKRGPGTGPKGKKQKPGLPGASSMKSIPYPSSGPSGRGQVASRISSGAVPEGADQYIGKRVKTRWPDDNHFYEAVITDYNPIEGRHALVYDMGTANETWEWVNLSEISPGDIQWVDEDPGISHRGNYGGSGHGINRAMGRDGGPGPGRSRGVTKGQSRKDLLPSQNGIGKKVPDDIQILHTDTLIREVERVFSANHPDPLEIDKAKKVLKDHEQALVDAISRLADISDGESDEGGRRYGQALERG >Potri.004G219100.2.v4.1 pep chromosome:Pop_tri_v4:4:22485242:22492435:1 gene:Potri.004G219100.v4.1 transcript:Potri.004G219100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219100.v4.1 MANSKGSANIRNFMYSGKHALLPPKSPFPIVSPSYVDYVPSSSIGSKTVQKPREGNTHHQRTSSEMLFIEDQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDAANASNMDYAAQDGCRYKNVISIPSWGSQDFDYYKDVRRTPLYAEMNMSKQKNRAWDSSLNAQTYPSSLSSPRENAGLQSSGSSCAPREADSVSESEKQDPLDGSHDSKISSEKDSSNSKSSASETDTKRAKQQFAQRSRVRKLQYIADLERNVQALQAEGSEVSAELEFVNQQNLILSMENKALKQRFENLAHEQLIKCLEHEVLEREIGRLRALYQQQQQQQPASNHRRSKSKDLDSQFANLSLKHKDANSSRDPVTGSLRI >Potri.004G219100.1.v4.1 pep chromosome:Pop_tri_v4:4:22485246:22492387:1 gene:Potri.004G219100.v4.1 transcript:Potri.004G219100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219100.v4.1 MANSKGSANIRNFMYSGKHALLPPKSPFPIVSPSYVDYVPSSSIGSKTVQKPREGNTHHQRTSSEMLFIEDQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDAANASNMDYAAQDGCRYKNVISIPSWGSQDFDYYKDVRRTPLYAEMNMSKQKNRAWDSSLNAQTYPSSLSSPRENAGLQSSGSSCAPREADSVSESEKQDPLDGSHDSKISSEKDSSNSKSSASETDTKRAKQQFAQRSRVRKLQYIADLERNVQALQAEGSEVSAELEFVNQQNLILSMENKALKQRFENLAHEQLIKCLEHEVLEREIGRLRALYQQQQQQQPASNHRRSKSKDLDSQFANLSLKHKDANSSRDPVTGSLRI >Potri.010G085300.1.v4.1 pep chromosome:Pop_tri_v4:10:11189730:11191199:1 gene:Potri.010G085300.v4.1 transcript:Potri.010G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085300.v4.1 MASRGINMGLVMVLIVAVLSAKAMAQSGCTNVLISMAPCLSYVTGSSSTPSSSCCSQLASVVLSQPQCLCAALNGGGASLGLNINETLALALPGACKVQTPPVSKCNDINGPVMSPADSPDGLPGGSKTVPATGGSPGNGLIINKTLQLVLFVVFMASSASTFSSY >Potri.016G067650.1.v4.1 pep chromosome:Pop_tri_v4:16:4780321:4780662:-1 gene:Potri.016G067650.v4.1 transcript:Potri.016G067650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067650.v4.1 MGKNLFNVGLCQVWSLVKLSLDHCQDKFGTIMGWENMHMALHKIITKSHWLVTKLVWCDKGKCKAMMMLFTPKAFYDESYNAAFLHCQTRLPVLDSCFQSMKAVEQNYFDFLL >Potri.005G101900.1.v4.1 pep chromosome:Pop_tri_v4:5:7375877:7384405:-1 gene:Potri.005G101900.v4.1 transcript:Potri.005G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101900.v4.1 MGIIKRLPVSARSTMRSGILVFDLTRVVEELVFNSLDAGAKKVSVYVAVGTCYVKVSDDGCGISRDGLVLLGERYVTSKVQRLADMDVASGNFGFRGEALSSIADVSVLDVLTKARGMPNGYRKVMKGSKCLCLGIDDDIKDVGTTVVVRDLFYNQPVRRKYMQSSPKKILHLVKKCALRVALMHSEVSFKVVDIESDEELLCTNPSSAMSLLMSGFGIEDSSSLHELNISDSVLKLSGYISGPCSSFFIKAFQYVYINSRFVCKGPIHKLLNHLASRFEHPDLQKANSVSQKGKKSRPQPCPAYILNLSCPFSLYDLTFEPSKTHAEFKDWNPILAFIEKVIQQLWRECTVIGESSTRATDTFQKNDIWQEDFFDADFSGFAIKKGGVKIHQSSHHLISCPLKMLDKEVDHLFHGKHDKVPQEFYSNVSEFKEEQVDKEFVLQGDYSSQTWNGSISGYMPRATKTDECHLLTSDKNFLLTDNCFLEDSFTTRERLSDHMQSHFSSSEWQNESPKIDSVARNKSLGSAFSFDHYGFRNELPFSKSNIKPILQSCSSQKSLSLDRDFFADKEAFEFLNDGFKNKRRRLWTAENVGIPKGDTIFDIFPCALLQDNASCTQQLPADTDGAEMSAAFDLLPGAYVNSSSPNGKILAKGKGLASNSILQLEMYASGNHSSMSDWCSVTSSAFFQAKVWDAEHFPDDNASEGSKGWGKKENCWHLPDSWEIMSKPSSQDNFFSSCTSSVLDFKNSADSSKDICKLPQWQDQNNEFSLQHSDISVGETDWLLLDPGSKDPKRNDECERQENQLRYKACVRDRVAKERYRRSNSTPPFYRLKRRFISLNNHSMRKEEEPYTQLFHDWLTSPEANDFEHLPLQPSHVEEDLTQRTKSNGKNMPDTMPNKETPEGNPEHFQHPKAYDSSPEAFMPKDTQESMDYRIKWRNGCQQIANHNTSSNVGSQRNILDISSGFLHLAGNLLVPESIHKKCLQDARVLHQVDKKFIPIVAGGTLAVIDQHAADERIRLEELRQKVLSGEEKTVTYLDAEQELILPEIGYQLLHNYAEQVREWGWICSIQGSGTFKKNLNILHQQPTVITLLAVPCILGVNLSDGDLLEFLQQLSDTDGSSTLPPSVLRVLNYKACRGAIMFGDSLLPSECSLIVEELKQTTLCFQCAHGRPTTIPVVNLEALQKQVAKLGVLNDGSNDLWHGLRRQELSLERAAQRLSAARG >Potri.005G101900.2.v4.1 pep chromosome:Pop_tri_v4:5:7375875:7384400:-1 gene:Potri.005G101900.v4.1 transcript:Potri.005G101900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101900.v4.1 MGIIKRLPVSARSTMRSGILVFDLTRVVEELVFNSLDAGAKKVSVYVAVGTCYVKVSDDGCGISRDGLVLLGERYVTSKVQRLADMDVASGNFGFRGEALSSIADVSVLDVLTKARGMPNGYRKVMKGSKCLCLGIDDDIKDVGTTVVVRDLFYNQPVRRKYMQSSPKKILHLVKKCALRVALMHSEVSFKVVDIESDEELLCTNPSSAMSLLMSGFGIEDSSSLHELNISDSVLKLSGYISGPCSSFFIKAFQYVYINSRFVCKGPIHKLLNHLASRFEHPDLQKANSVSQKGKKSRPQPCPAYILNLSCPFSLYDLTFEPSKTHAEFKDWNPILAFIEKVIQQLWRECTVIGESSTRATDTFQKNDIWQEGNDITSVKQDFFDADFSGFAIKKGGVKIHQSSHHLISCPLKMLDKEVDHLFHGKHDKVPQEFYSNVSEFKEEQVDKEFVLQGDYSSQTWNGSISGYMPRATKTDECHLLTSDKNFLLTDNCFLEDSFTTRERLSDHMQSHFSSSEWQNESPKIDSVARNKSLGSAFSFDHYGFRNELPFSKSNIKPILQSCSSQKSLSLDRDFFADKEAFEFLNDGFKNKRRRLWTAENVGIPKGDTIFDIFPCALLQDNASCTQQLPADTDGAEMSAAFDLLPGAYVNSSSPNGKILAKGKGLASNSILQLEMYASGNHSSMSDWCSVTSSAFFQAKVWDAEHFPDDNASEGSKGWGKKENCWHLPDSWEIMSKPSSQDNFFSSCTSSVLDFKNSADSSKDICKLPQWQDQNNEFSLQHSDISVGETDWLLLDPGSKDPKRNDECERQENQLRYKACVRDRVAKERYRRSNSTPPFYRLKRRFISLNNHSMRKEEEPYTQLFHDWLTSPEANDFEHLPLQPSHVEEDLTQRTKSNGKNMPDTMPNKETPEGNPEHFQHPKAYDSSPEAFMPKDTQESMDYRIKWRNGCQQIANHNTSSNVGSQRNILDISSGFLHLAGNLLVPESIHKKCLQDARVLHQVDKKFIPIVAGGTLAVIDQHAADERIRLEELRQKVLSGEEKTVTYLDAEQELILPEIGYQLLHNYAEQVREWGWICSIQGSGTFKKNLNILHQQPTVITLLAVPCILGVNLSDGDLLEFLQQLSDTDGSSTLPPSVLRVLNYKACRGAIMFGDSLLPSECSLIVEELKQTTLCFQCAHGRPTTIPVVNLEALQKQVAKLGVLNDGSNDLWHGLRRQELSLERAAQRLSAARG >Potri.012G007866.1.v4.1 pep chromosome:Pop_tri_v4:12:358372:358476:1 gene:Potri.012G007866.v4.1 transcript:Potri.012G007866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007866.v4.1 MLFEFYVIVFLLQDLQQELSAKFVISIPIISQVC >Potri.019G058400.2.v4.1 pep chromosome:Pop_tri_v4:19:9772044:9775459:1 gene:Potri.019G058400.v4.1 transcript:Potri.019G058400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058400.v4.1 MSNQRVINLLILLLLRGLMMSVIMGKGCDGWVFELPRKLMTRESPESSPIPAPFQYYIETKERCSDPMVKRGCRDLTHNLAACLLSSSTSSRELFLLVQNDGEGILKVNLTITDIKVTFPEIQLSKHDAKKIDVSENIEGSPSIILYTGNGSCTIEMDSKKQKVKYEPFFGHGTYLSPKYGAYLFLIALISGGACACCWFLKSSHVDGVPYQELEMERPDSHSANNVETTGGWDEGWDDDWDEIKEVKQPNGHQTANVLSDVIASRNSDAEEGRKDWDD >Potri.013G074950.1.v4.1 pep chromosome:Pop_tri_v4:13:6300445:6300624:1 gene:Potri.013G074950.v4.1 transcript:Potri.013G074950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G074950.v4.1 MLPMVTGFMNYGQQTIRATRYIGQSFMTTLSHVNRLPVTIEYPYENINHIGGFPQSNLF >Potri.009G081000.2.v4.1 pep chromosome:Pop_tri_v4:9:7722164:7725307:1 gene:Potri.009G081000.v4.1 transcript:Potri.009G081000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081000.v4.1 MEERKIVQQLLSCEATQEGYPTVIPKTSIGGIGKTSRARLAYNDERVTQHFDVKIWVFVSEIFYAKMITKTVTESATKKICKKEMDALQSKLWGLLHRKRYLIVLDDAWSKDHIEWGKLRPLLRGGLAGSTLIITSRSKKVAMMMDSPIFPYHLKGLDEDDCCALFRQRAFRRGEEEQDPNLLPIGKQIARKFGGLPLAAKTLGSLTRFEREDREWLLVENSELWSLNVNHGGILPSLMLSYYHLPIHLKHCFAFCSIFPKAYEIKEKGVKPPEDIGNEYFKDLLWMVFFQDAEKYDDGNMNCYKMHDIIHDLARYVSGEEFMIVEHDLLPFGLVQTCHSCSVGEVGSFTVPEALYEAEQLRTLLLVGVEGLKDIPFKLFSSFKYLRVLDLNSCGLAIFPPSVERLRHLQTLNLYGCTGLAQLPDLTTMADLRHLILTGRDCLPLIPFSIRKLHLLQALPKYFVHRDYNCTRHLAHLDLCALTSIPFNIEELPQLQTLPTFIVDGYYNGIQHLEHVNLHGELKIKHLQNVWNAHLLGLHWEERDNREECNDNLMSILEALQPHQNVKQLVIEVISLTNCRKCERLPALGNLTLLKTLSLCGMDGLKPFPSLEELSLRGFPNLKEWSTANDGDAFSKLRKLIVDNCPILINMPRFPSLQHLELRNCNQAMLSIANFTSLLTLAIERIPEIHSISGSFLAGNTFLTSLEIISCPKLILIPSELGSLTALKSLTIRWCEELMSLPQSLQNPNALESLEISLSSLRTLSIENCNSLTSHLTIMYCPSLGSLPDGLLHLFALRSLTILSCPQLLSLPEELK >Potri.009G065500.4.v4.1 pep chromosome:Pop_tri_v4:9:6613230:6622553:-1 gene:Potri.009G065500.v4.1 transcript:Potri.009G065500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065500.v4.1 MVRSRGVKLVGWLARRSLPFNLLEDSADSVYKSVLSGSFRRLSGAAGVYNPVRSFGNHTHKNVNQKNWLLLGALNANFGAARSIHGSAHMSKDYYDVLGISKNASASDIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVSKAYEVLKDDQKREQYDQLGHDAFENQDNYQPGGPGFESPFGDFFRMEDIFSNIFRQNVAGQDVKVSIELSFMEAVQGCTKTITFQTDVACEACGGEGVPPGVKPQMCKRCKGRGVISTQKGFFSFQQTCDQCGGTGQTVSSFCRSCHGRKVVRGSKTISLNIPTGVDDNETMKLPRSGGADPEKNQPGDLYVTIKVREDPVFRREGSNIHVDAVLGITQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKNKGIKARASYSFGDQFVHFNVKIPTTLTPRQRELIEEFAKEEQGECDKRAAGASG >Potri.004G018200.3.v4.1 pep chromosome:Pop_tri_v4:4:1296125:1299598:-1 gene:Potri.004G018200.v4.1 transcript:Potri.004G018200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018200.v4.1 MAQAVEDWYKQMPIITRSYVTAAVVTTIGCSLDIISPSNLYLNPRLVMKNYEFWRLVTNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTSIVIVGGNIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGIFTFTAAYLPWVLLGFSVLVGASAWVDLLGMTAGHAYYFLEDVYPRMTGRRPLQTPGFIKSMFADEAVVVARPVNIRFAPPPAEELHQD >Potri.004G018200.1.v4.1 pep chromosome:Pop_tri_v4:4:1296124:1299592:-1 gene:Potri.004G018200.v4.1 transcript:Potri.004G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018200.v4.1 MAQAVEDWYKQMPIITRSYVTAAVVTTIGCSLDIISPSNLYLNPRLVMKNYEFWRLVTNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGATVLTSIVIVGGNIPYLSESFAKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGIFTFTAAYLPWVLLGFSVLVGASAWVDLLGMTAGHAYYFLEDVYPRMTGRRPLQTPGFIKSMFADEAVVVARPVNIRFAPPPAEELHQD >Potri.006G011100.1.v4.1 pep chromosome:Pop_tri_v4:6:732448:735010:1 gene:Potri.006G011100.v4.1 transcript:Potri.006G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011100.v4.1 MNWYNSYECVIELWNEWELRAMILLSLSLQIFLIVTGHQRKYTAGIWFGMFVWLAYLSADWLATVTLGILASSQGGSESKGTTSNASFIPAFWAPMLLVHLGGPDTITSYSVEDNEMWSRHLLQLLTQLAVAFYASFRSWWSKDPLIYVVIPIFVSGVIKYGERVLVLCLASSDQFRDSVNKERVALQGQIERSFPDEYFDGMSTEYIDDILGFNSIIPEAKYLHEAHLLFRTSKLVFADYLVTFRTHTNSYHMLKRKNAVEAFKLIEVEVGFLFDLLFTKVMTTVRWRPRIILRFINFLSPVSALIAFSSMTRKSHTYSKIDIIISYLLLFGAVVLEIYSAILMFFSDWAMLWLSKQRKPPADSFYRGICSSRLLSFFSNNERWKASMAQNRLTDYPKTSSKHIPKLFSTGNIQNWEVVVDDLKELIFKRLLDMRSRCNFPGDKNDILEERGEHALRSKRCDVKLQRYLEQINFHNSLLTWHIAVNNCFDQDSSDSIHRNMSRSLSRYMMYLWKDLPLMLPKALGEPLYKLSFRVRDDAEKLSKLLDWQQEKESWSVDEKWELISEVLVEMLTYVASQCKWKEHARALKGGGELLTLVAILMAHLGLHGQCIYN >Potri.002G191100.1.v4.1 pep chromosome:Pop_tri_v4:2:15320158:15322438:-1 gene:Potri.002G191100.v4.1 transcript:Potri.002G191100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191100.v4.1 MSASLIKIPHLFSFTPTMILCFFFPLLINCQCSRNPVIFIFGDSNSDTGAYYSGLGLMFGVPNGRSYFNQPSGRLCDGRLVIDLLCESLNTSYLTPYLEPLGPDFRNGVNFAFSGAATQPRYKPFSLDVQILQFLRFRARSPELFSKGYKDFVDEDAFKDAIHIIDIGQNDLAGSFEYLSYEQVIKNISSYIKEINYAMQNIYQHGGRNFWIHNTGPLGCLPQKLATFDKKSSDFDQYGCLKALNDAAKQFNDQLRVLCEELRSELKNSTIVYVDMYSIKYDLIANATTYGFGNSLMACCGYGGPPYNYNPIITCSRAGYSVCEGGSKFISWDGVHYTEAANAVVASKILSTNYSTPQIKFSYFCSN >Potri.002G244400.3.v4.1 pep chromosome:Pop_tri_v4:2:23603661:23605606:-1 gene:Potri.002G244400.v4.1 transcript:Potri.002G244400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244400.v4.1 MSSSGSSNKPRTVKLRCPSVSSIASFFAWDEQRLDLGSIARTFGLDPSTLKLNGYFISRGADLISSSVTWRSLLSFFSAKGLSTGKDDKEALIVDGKLSKVGTKRAHDPQSASSRSNYRAEVEGIGVSNSRQQRQDIDLLMNKRMKESNSGCDESYQMPKWNGLGFKRKRSIEHHVNLLKKLKINGANSGTCPDFRGDILSVSSCTLDL >Potri.018G145512.1.v4.1 pep chromosome:Pop_tri_v4:18:15133601:15138145:-1 gene:Potri.018G145512.v4.1 transcript:Potri.018G145512.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145512.v4.1 MGLFLQVFTVLVITVSLQGWVPLGCLEEERIALLHLKDSLNYPNGTSLPSWIKADAHCCDWESIGCNSSTGRVTELDLWSVRNEELGDWYLNASLFLPFQQLNSLILMDNRIAGWVENKGGYELQKLSNLEILDLESNSFNNSILSFVEGLPSLKSLYLDYNRLEGSIDLKESLSSLEYLDLSGNNINKLVASRGPSNLKTLWLENITIYGSSFQLLQSLRAFPNLTKLYLGSNDFRGRILGDELQNLSSLESLYLDDCSLDEHSLQSLGALHSLKNLSLRELNGAVPSGGFLDLKNLEYLDLSYSTLNNSIFQAIRTMTSLKTLNLMGCSLNDQIPTTQGFLNLKNLEYLDLSDNTLDNNILQTIGTMTSLKTLSLSSCKLNSQIPTTQGLCDLNHLQVLYMSDNDLSGFLPPCLANLTSLQRLYLSSNHLKIPMSLSPLYNLSKLKSFYGSGNEIYAEEDDHNLSPKFQLESLYLSSRGQGAGAFPRFLYHQFSLRYLDLTNIQIKGEFPNWLIENNTYLQELYLENCSLLGPFLLPKTSRVNLSILSISMNHFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQAFDLSNNSLQGQIPGWIGNMSSLEFLDLSRNNFSGRLPPRFGTSSNLRYVYLSRNKLQGPIAMTFYDSFEIFTLDLSHNNLTGTIPEWIDRLSNLRFLLLSYNNLEGEIPFQLSRLDQLTLIDLSHNHLSGNILSWMISTHPFPQQYNSRSSMSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSFNNFTGEIPPEIGNLSMIKALNLSHNSLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLIELFSLEVFNVAYNNLSGKIPARVAQFATFDESCYKDNPFLCGEPLPKICGAAMPPSLTPTSTNNKDNGGFIDMEVFYVTFWVTYIMVLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.001G389150.1.v4.1 pep chromosome:Pop_tri_v4:1:41185644:41186725:-1 gene:Potri.001G389150.v4.1 transcript:Potri.001G389150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G389150.v4.1 SEASSSPGSSSLASPSIQMVSKSVSERLLGSSFDAYQYGFDNEQSGLWSPLIPARRVFLASPAGHIYSEDEFFSKLKKAKRACTRRIACFNAFWCN >Potri.013G121700.2.v4.1 pep chromosome:Pop_tri_v4:13:13088169:13092936:-1 gene:Potri.013G121700.v4.1 transcript:Potri.013G121700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121700.v4.1 MALDTHHCPGGVMFLFRGEFGCLMYTGDFQWEVDSKRAKDARSRLLNVLKNETVDVLYLDNTYCNPSYDFPTREVAAQQVVDIIASHLEHDIVIGIDTLGKEELLIHISRVLNIKIWLWLERLQTMHLLGFHDTFTTKNSLTRVRAVPCYSLSVETLEGLNTMRPTIGIMPSGLPWVLKHVKGDDNLFGSLLTSRYKKKQPSDKLDGNLAYAERYHQYMFSVPYSDHLCFAESNQLT >Potri.010G188800.8.v4.1 pep chromosome:Pop_tri_v4:10:18512586:18517027:-1 gene:Potri.010G188800.v4.1 transcript:Potri.010G188800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188800.v4.1 MTAPISHYFIYTGHNSYLTGNQLSSDCSDVPIINALKKGVRVIELDIWPNSDKDDVEVLHGRTLTTPVQLIKCLRSIKEHAFTASEFPVVITLEDHLTPDLQSKVSKMVTQTFGDTLFSPGSECLKEFPSPESLKRRIIISTKPPKEYLEAKEIKDKESDSQKGNAAPDEEAWGKEILNLKGADDKNELDEDDNDAEEDPGEGDHKLPHDIAPEYKRLIAIPAGKPKGGLEECLKVDPDKARRLSLSEQQLENAAETHGKEIVRFTQRNILRVYPKGIRVNSSNYNPLIGWMHGAQMVAFNMQGYGRSLWMMQGMFRANGGCGFVKKPDFLLKSGPHGEVFDPKAKLPVQKTLKVKIYMGEGWYYDFHHTHFDAYSPPDFYVRVGIAGVPADTGMKKTRTLEDNWIPVWDEGFEFPLTVPDLALLRIEVHEYDMSEKDDFGGQTCLPVRELREGIRAVPLHDRKGEKYNSVKLLVRLEFV >Potri.010G188800.7.v4.1 pep chromosome:Pop_tri_v4:10:18512519:18516832:-1 gene:Potri.010G188800.v4.1 transcript:Potri.010G188800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188800.v4.1 MSKQTYRVCLCFSRRFKLAVAEAPEEIRALFNQYSDNGIMTDNHLHRFLIEVQKQEKATLEEAQAIIESLKHLAIFHRKGLNLEAFFKYLFGDNNPPLDLKLGAHHDMTAPISHYFIYTGHNSYLTGNQLSSDCSDVPIINALKKGVRVIELDIWPNSDKDDVEVLHGRTLTTPVQLIKCLRSIKEHAFTASEFPVVITLEDHLTPDLQSKVSKMVTQTFGDTLFSPGSECLKEFPSPESLKRRIIISTKPPKEYLEAKEIKDKESDSQKGNAAPDEEAWGKEILNLKGADDKQNELDEDDNDAEEDPGEGDHKLPHDIAPEYKRLIAIPAGKPKGGLEECLKVDPDKARRLSLSEQQLENAAETHGKEIVRFTQRNILRVYPKGIRVNSSNYNPLIGWMHGAQMVAFNMQGYGRSLWMMQGMFRANGGCGFVKKPDFLLKSGPHGEVFDPKAKLPVQKTLKVKIYMGEGWYYDFHHTHFDAYSPPDFYVRVGIAGVPADTGMKKTRTLEDNWIPVWDEGFEFPLTVPDLALLRIEVHEYDMSEKDDFGGQTCLPVRELREGIRAVPLHDRKGEKYNSVKLLVRLEFV >Potri.010G188800.1.v4.1 pep chromosome:Pop_tri_v4:10:18512651:18517100:-1 gene:Potri.010G188800.v4.1 transcript:Potri.010G188800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188800.v4.1 MSKQTYRVCLCFSRRFKLAVAEAPEEIRALFNQYSDNGIMTDNHLHRFLIEVQKQEKATLEEAQAIIESLKHLAIFHRKGLNLEAFFKYLFGDNNPPLDLKLGAHHDMTAPISHYFIYTGHNSYLTGNQLSSDCSDVPIINALKKGVRVIELDIWPNSDKDDVEVLHGRTLTTPVQLIKCLRSIKEHAFTASEFPVVITLEDHLTPDLQSKVSKMVTQTFGDTLFSPGSECLKEFPSPESLKRRIIISTKPPKEYLEAKEIKDKESDSQKGNAAPDEEAWGKEILNLKGADDKNELDEDDNDAEEDPGEGDHKLPHDIAPEYKRLIAIPAGKPKGGLEECLKVDPDKARRLSLSEQQLENAAETHGKEIVRFTQRNILRVYPKGIRVNSSNYNPLIGWMHGAQMVAFNMQGYGRSLWMMQGMFRANGGCGFVKKPDFLLKSGPHGEVFDPKAKLPVQKTLKVKIYMGEGWYYDFHHTHFDAYSPPDFYVRVGIAGVPADTGMKKTRTLEDNWIPVWDEGFEFPLTVPDLALLRIEVHEYDMSEKDDFGGQTCLPVRELREGIRAVPLHDRKGEKYNSVKLLVRLEFV >Potri.004G084233.1.v4.1 pep chromosome:Pop_tri_v4:4:6979685:6981484:1 gene:Potri.004G084233.v4.1 transcript:Potri.004G084233.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084233.v4.1 METPRSLIQPPTYGSQITILSIDGGGIRGIIPGTILFFLESELQKLDGADARLADYFDVISGTSTGGLLTAMLAAPNKQNRPLFAAKDINAFYLENSPKIFPQDGSPFASAENLIKTLKGPKYDGKFLHSIVKEKLGDTRLHQTLTNIVIPTFDIKNLQPTIFSSYRVKNNPSTDALLSDICIGTSAAPTYLPAYYFQTKDPSGNVREFNLIDGGVAANNPTLVAISEVSKEINRYNPDFFPIKPMEYDRFLVLSLGTGTAKSEGKYDADEAARVSWDG >Potri.015G013200.1.v4.1 pep chromosome:Pop_tri_v4:15:851385:855678:1 gene:Potri.015G013200.v4.1 transcript:Potri.015G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL8 MGCCQSKGTKTTAWCKELTVLASGTPFTVSEVEALHELFKKLSSSVIGDGFISKEDLQFALFRNNNKKNLFANRMFDLFDVKCNGVIEFGEFVQSLGVFHPNAPVEEKIYFAFRLYDLRQTGFIEQEELKEMVVALLQESNLELSDDVVQTIVDKTFSDADSKGDGKIDPEEWKEFVSKNPSIIKNMTLPYLKDVTVAFPSFVLISELGESEM >Potri.001G049400.7.v4.1 pep chromosome:Pop_tri_v4:1:3716258:3725144:-1 gene:Potri.001G049400.v4.1 transcript:Potri.001G049400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049400.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVHPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEHQQLQLMRQAQLQRGGPNNPIVGGPVNSIGSEGMLGQSNASALAAKMYEERMKHSNQMESETSQPHLDARMALLKSTTNHPGQLVQGNPGNVTAALQQIQDVKPEVSLGAAQRSLPMDPSTIYGQGIMQSKPGIGNAGLNPGVNGLPLRGWPLTGLEQIRPSLGAQVQRPLLHGPSQFQLLPQQQQQQLLAQVQAQGNLAASPMYGDMDSRKFRGLPRGALNSKDGQPNVNDGSIGSPMHSTSSKMNLPQMQQSSSQQDPLHPQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMPKGLMYSGDATGALASSTNPLEDIEHFADVGSLDDNVESFLSHDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECTQEEHTHIITDVRFRPNSTQLATSSFDTSVRLWDAAEPRYSLQTFTGHTSHVVSLDFHPKKNDLFCSCDGNNEIRFWNINQYPCTRISKGGTTQVRFQPRIGQLLAAASDNVVSIFDIESDRQTHSLQGHSTEVHSVCWDVNGEYLASVSQESVRVWSLATGDCIHELSSSGNKFHSCVFHPSYATLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.001G049400.5.v4.1 pep chromosome:Pop_tri_v4:1:3716121:3725354:-1 gene:Potri.001G049400.v4.1 transcript:Potri.001G049400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049400.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVHPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEHQQLQLMRQAQLQRGGPNNPIVGGPVNSIGSEGMLGQSNASALAAKMYEERMKHSNQMESETSQPHLDARMALLKSTTNHPGQLVQGNPGNVTAALQQIQDVKPEVSLGAAQRSLPMDPSTIYGQGIMQSKPGIGNAGLNPGVNGLPLRGWPLTGLEQIRPSLGAQVQRPLLHGPSQFQLLPQQQQQQLLAQVQAQGNLAASPMYGDMDSRKFRGLPRGALNSKDGQPNVNDGSIGSPMHSTSSKMNLPQMQQSSSQQDPLHPQQVNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMPKGLMYSGDATGALASSTNPLEDIEHFADVGSLDDNVESFLSHDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECTQEEHTHIITDVRFRPNSTQLATSSFDTSVRLWDAAEPRYSLQTFTGHTSHVVSLDFHPKKNDLFCSCDGNNEIRFWNINQYPCTRISKGGTTQVRFQPRIGQLLAAASDNVVSIFDIESDRQTHSLQGHSTEVHSVCWDVNGEYLASVSQESVRVWSLATGDCIHELSSSGNKFHSCVFHPSYATLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.001G049400.4.v4.1 pep chromosome:Pop_tri_v4:1:3716041:3725401:-1 gene:Potri.001G049400.v4.1 transcript:Potri.001G049400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049400.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVHPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEHQQLQLMRQAQLQRGGPNNPIVGGPVNSIGSEGMLGQSNASALAAKMYEERMKHSNQMESETSQPHLDARMALLKSTTNHPGQLVQGNPGNVTAALQQIQDVKPEVSLGAAQRSLPMDPSTIYGQGIMQSKPGIGNAGLNPGVNGLPLRGWPLTGLEQIRPSLGAQVQRPLLHGPSQFQLLPQQQQQQLLAQVQAQGNLAASPMYGDMDSRKFRGLPRGALNSKDGQPNVNDGSIGSPMHSTSSKMNLPQMQQSSSQQDPLHPQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMPKGLMYSGDATGALASSTNPLEDIEHFADVGSLDDNVESFLSHDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECTQEEHTHIITDVRFRPNSTQLATSSFDTSVRLWDAAEPRYSLQTFTGHTSHVVSLDFHPKKNDLFCSCDGNNEIRFWNINQYPCTRISKGGTTQVRFQPRIGQLLAAASDNVVSIFDIESDRQTHSLQGHSTEVHSVCWDVNGEYLASVSQESVRVWSLATGDCIHELSSSGNKFHSCVFHPSYATLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.001G049400.3.v4.1 pep chromosome:Pop_tri_v4:1:3716024:3725397:-1 gene:Potri.001G049400.v4.1 transcript:Potri.001G049400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049400.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVHPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEHQQLQLMRQAQLQRGGPNNPIVGGPVNSIGSEGMLGQSNASALAAKMYEERMKHSNQMESETSQPHLDARMALLKSTTNHPGQLVQGNPGNVTAALQQIQDVKPEVSLGAAQRSLPMDPSTIYGQGIMQSKPGIGNAGLNPGVNGLPLRGWPLTGLEQIRPSLGAQVQRPLLHGPSQFQLLPQQQQQQLLAQVQAQGNLAASPMYGDMDSRKFRGLPRGALNSKDGQPNVNDGSIGSPMHSTSSKMNLPQMQQSSSQQDPLHPQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMPKGLMYSGDATGALASSTNPLEDIEHFADVGSLDDNVESFLSHDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECTQEEHTHIITDVRFRPNSTQLATSSFDTSVRLWDAAEPRYSLQTFTGHTSHVVSLDFHPKKNDLFCSCDGNNEIRFWNINQYPCTRISKGGTTQVRFQPRIGQLLAAASDNVVSIFDIESDRQTHSLQGHSTEVHSVCWDVNGEYLASVSQESVRVWSLATGDCIHELSSSGNKFHSCVFHPSYATLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.001G049400.6.v4.1 pep chromosome:Pop_tri_v4:1:3715989:3725378:-1 gene:Potri.001G049400.v4.1 transcript:Potri.001G049400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049400.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVHPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEHQQLQLMRQAQLQRGGPNNPIVGGPVNSIGSEGMLGQSNASALAAKMYEERMKHSNQMESETSQPHLDARMALLKSTTNHPGQLVQGNPGNVTAALQQIQDVKPEVSLGAAQRSLPMDPSTIYGQGIMQSKPGIGNAGLNPGVNGLPLRGWPLTGLEQIRPSLGAQVQRPLLHGPSQFQLLPQQQQQQLLAQVQAQGNLAASPMYGDMDSRKFRGLPRGALNSKDGQPNVNDGSIGSPMHSTSSKMNLPQMQQSSSQQDPLHPQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMPKGLMYSGDATGALASSTNPLEDIEHFADVGSLDDNVESFLSHDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECTQEEHTHIITDVRFRPNSTQLATSSFDTSVRLWDAAEPRYSLQTFTGHTSHVVSLDFHPKKNDLFCSCDGNNEIRFWNINQYPCTRISKGGTTQVRFQPRIGQLLAAASDNVVSIFDIESDRQTHSLQGHSTEVHSVCWDVNGEYLASVSQESVRVWSLATGDCIHELSSSGNKFHSCVFHPSYATLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.005G115200.2.v4.1 pep chromosome:Pop_tri_v4:5:8412459:8413730:1 gene:Potri.005G115200.v4.1 transcript:Potri.005G115200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115200.v4.1 MHCHKPLHFTYLSPRQEIPVNYAALQYELKWYELCFHKRHACMIRKKYLPCILEMAKKIKDQNRVVKFYTTRGGRDGWSCKGKGINLDHPMTFDTLAMDGNLKQKVIEDLDKFIKGKECYKRIGKVWKRGYLLYGPLGTGKSSLIAAMANHLNFDIYNLKLLLSVLILPWSFCYFNMSNHSILVVEDINYSIELQIREAGNHPSDHDRTPRRPWVGFLFCCYSFFIS >Potri.017G036100.1.v4.1 pep chromosome:Pop_tri_v4:17:2398009:2400455:-1 gene:Potri.017G036100.v4.1 transcript:Potri.017G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036100.v4.1 MSSEAKEREGFSSSTTPASLTKILIRSLSRLNSRTPSNTIPLSSLSLNSVWLHDWWLVKVEGNGLAVSGFTSREGVGTRLFCSAAIVKRHYTTILEAKDGITVTLSGFINRDRAHENGFSFQICDRFQLGFPYSWEEIAAKLRGEESANGGSPRGKSGLVELNTSSGISTNTASVSFDDIPVTRIRDILMHPLGDPKDCALEDILGSFCSNTMEHTPMLTDPFSNSKSPVTVARKKKRTKADQKHRDGGKITHTDDTVMGECITPRRGVVTRSMSRLRNLAKNNPG >Potri.018G138200.2.v4.1 pep chromosome:Pop_tri_v4:18:15810794:15815175:-1 gene:Potri.018G138200.v4.1 transcript:Potri.018G138200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138200.v4.1 MHAPVLVLRDSLKRESGRKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLGGEMLHVAEAFIEKNYHPTVICRAYSKALEDAIAVIDKIAMSIDVNDRPTMLGLVKSCIGTKFTSQFGDLIADLAIDATSIVGVDLGQGLREVDIKKYIKVEKVPGGQLEDSKVLKGVMFNKDVVAPGKMKRKIVNPRVILLDCPVEYKKGENQTNAELVREEDWEVLLKMEEEYIENMCAQILKLKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGATVVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGEITDMKERKIWDAYNVKAQTFKTAIESACMLLRIDDIVSGIKKKQAPGAQGPSKPKIETEADADSEQILPD >Potri.018G104500.1.v4.1 pep chromosome:Pop_tri_v4:18:12410660:12411357:1 gene:Potri.018G104500.v4.1 transcript:Potri.018G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104500.v4.1 MRGRILAWLLLLICFTALSCYACEGKVAPQYNALMRRDQLQNVYGIISESKEKGDRRRLEGVMHGVDLKSLQRAKGIYGGGDMLRPRTKPKSGAHTLLLKSSTILSRTFGSAVVGFVMFFVFF >Potri.001G380200.1.v4.1 pep chromosome:Pop_tri_v4:1:40060404:40063342:1 gene:Potri.001G380200.v4.1 transcript:Potri.001G380200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380200.v4.1 MSDQEKNRGVVVDHRHHEDQPPPPPPPQPQYGTFQGVANYPPPSQSHVIGFPQPVPPPGITAEPYAQGYQTVPGYAVAEGRPVRQRRLPCCGCGVGWFLFIIGFFLGAIPWYIGLFIIACMRIDPREKPGYVACTIAAILATIAIILGATKGADEW >Potri.017G078900.4.v4.1 pep chromosome:Pop_tri_v4:17:8726517:8732875:-1 gene:Potri.017G078900.v4.1 transcript:Potri.017G078900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078900.v4.1 MGRLKLNTGIKSIEEEPEERDAAVESNRADLLAYSINSEVSAVLAVMRRNVRWGGRYISGDDQLEDSLIQSLKTLRKQIFSWQNPWHTINPALYLQPFLDVIRSDETGAPITGVALLSVYKILTLDVIDENTVNVEDAMQLVVDAVTSCRFEVTDPSSEEMVLMKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAGSKSELLQRISRHTMHELVKCIFSHLPDVESAEQTLVNGVTSHKHEIGGLDNDYAFGSKQMENGNGNSELDGQASTVSFGSNASTALVAREENAIGTGGGKDGLPFDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHIGMGPRSNTIAFDEDVPLFALGLINSAIELGGPSIRCHPRLLSLIQDELFRNLMQFGLSVSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEELANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSVSSEQGPVNLEEYTPFWMVKCDNYSDPNHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAWTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLTELYHSICKNEIRTTPEQGFGYPEMTPSRWIDLMHKSKKTAPFILSDSRAYLDHDMFAIMSGPTIAAISVVFDNAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNQSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELAADPVHGKPITNSLSSVHMQSMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHVDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLSNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVTRLVKANATHIRSLMGWRTITSLLSITARHPEASEAGFDALLFIMTDEAHLLPANYVLCVDAARQFSESRVGQAERSVRALELMAGSVNCLARWSHDAKETMGEEESAKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDEINLPHGLWLQCFDLVIFTMLDDLLEIAQGHQKDYRNMEGTLIIAVKLLSKVFLQLLNELAQLTTFCKLWLGVLSRMEKYLKVKVKGKKNENLQETVPELLKNTLLAMKSRGVLMQRSALGGDSLWELTWLHVNNIAPSLQAEVFPDQDREQSHHKLGETGGSLVSDETDSVSSKESVHAEVAGTGG >Potri.017G078900.2.v4.1 pep chromosome:Pop_tri_v4:17:8725910:8732728:-1 gene:Potri.017G078900.v4.1 transcript:Potri.017G078900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078900.v4.1 MLQSSTCNIWLHCTEMGRLKLNTGIKSIEEEPEERDAAVESNRADLLAYSINSEVSAVLAVMRRNVRWGGRYISGDDQLEDSLIQSLKTLRKQIFSWQNPWHTINPALYLQPFLDVIRSDETGAPITGVALLSVYKILTLDVIDENTVNVEDAMQLVVDAVTSCRFEVTDPSSEEMVLMKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAGSKSELLQRISRHTMHELVKCIFSHLPDVESAEQTLVNGVTSHKHEIGGLDNDYAFGSKQMENGNGNSELDGQASTVSFGSNASTALVAREENAIGTGGGKDGLPFDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHIGMGPRSNTIAFDEDVPLFALGLINSAIELGGPSIRCHPRLLSLIQDELFRNLMQFGLSVSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEELANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSVSSEQGPVNLEEYTPFWMVKCDNYSDPNHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAWTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLTELYHSICKNEIRTTPEQGFGYPEMTPSRWIDLMHKSKKTAPFILSDSRAYLDHDMFAIMSGPTIAAISVVFDNAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNQSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELAADPVHGKPITNSLSSVHMQSMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHVDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLSNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVTRLVKANATHIRSLMGWRTITSLLSITARHPEASEAGFDALLFIMTDEAHLLPANYVLCVDAARQFSESRVGQAERSVRALELMAGSVNCLARWSHDAKETMGEEESAKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDEINLPHGLWLQCFDLVIFTMLDDLLEIAQGHQKDYRNMEGTLIIAVKLLSKVFLQLLNELAQLTTFCKLWLGVLSRMEKYLKVKVKGKKNENLQETVPELLKNTLLAMKSRGVLMQRSALGGDSLWELTWLHVNNIAPSLQAEVFPDQDREQSHHKLGETGGSLVSDETDSVSSKESVHAEVAGTGG >Potri.017G078900.3.v4.1 pep chromosome:Pop_tri_v4:17:8725910:8732925:-1 gene:Potri.017G078900.v4.1 transcript:Potri.017G078900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078900.v4.1 MGRLKLNTGIKSIEEEPEERDAAVESNRADLLAYSINSEVSAVLAVMRRNVRWGGRYISGDDQLEDSLIQSLKTLRKQIFSWQNPWHTINPALYLQPFLDVIRSDETGAPITGVALLSVYKILTLDVIDENTVNVEDAMQLVVDAVTSCRFEVTDPSSEEMVLMKILQVLLACMKSKASVMLSNQHVCTIVNTCFRIVHQAGSKSELLQRISRHTMHELVKCIFSHLPDVESAEQTLVNGVTSHKHEIGGLDNDYAFGSKQMENGNGNSELDGQASTVSFGSNASTALVAREENAIGTGGGKDGLPFDLHLMTEPYGVPCMVEIFHFLCSLLNVVEHIGMGPRSNTIAFDEDVPLFALGLINSAIELGGPSIRCHPRLLSLIQDELFRNLMQFGLSVSPLILSMVCSIVLNLYHHLRTELKLQLEAFFSCVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDITCSNVFEELANLLSKSAFPVNCPLSAMHILALDGLIAVIQGMAERIGNGSVSSEQGPVNLEEYTPFWMVKCDNYSDPNHWVPFVRRRKYIKRRLMIGADHFNRDPKKGLEFLQGTHLLPDKLDPQSVACFFRYTAGLDKNLVGDFLGNHDEFCVQVLHEFAWTFDFQDMNLDTALRLFLETFRLPGESQKIQRVLEAFSERYYEQSPQILANKDAALLLSYSLIMLNTDQHNVQVKKKMTEEDFIRNNRHINGGNDLPREFLTELYHSICKNEIRTTPEQGFGYPEMTPSRWIDLMHKSKKTAPFILSDSRAYLDHDMFAIMSGPTIAAISVVFDNAEHEDVYQTCIDGFLAVAKISACHHLEDVLDDLVVSLCKFTTLLNQSSVEEPVLAFGDDAKARMATVTVFTIANRYGDYIRTGWRNILDCILRLHKLGLLPARVASDAADESELAADPVHGKPITNSLSSVHMQSMGTPRRSSGLMGRFSQLLSLDTEEPRSQPTEQQLAAHQRTLQTIQKCHVDSIFTESKFLQAESLLQLARALIWAAGRPQKGNSSPEDEDTAVFCLELLIAITLSNRDRIVLLWQGVYEHIANIVQSTVMPCALVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVTRLVKANATHIRSLMGWRTITSLLSITARHPEASEAGFDALLFIMTDEAHLLPANYVLCVDAARQFSESRVGQAERSVRALELMAGSVNCLARWSHDAKETMGEEESAKLSQDIGEMWLRLVQGLRKVCLDQREEVRNHALLSLQKCLTGVDEINLPHGLWLQCFDLVIFTMLDDLLEIAQGHQKDYRNMEGTLIIAVKLLSKVFLQLLNELAQLTTFCKLWLGVLSRMEKYLKVKVKGKKNENLQETVPELLKNTLLAMKSRGVLMQRSALGGDSLWELTWLHVNNIAPSLQAEVFPDQDREQSHHKLGETGGSLVSDETDSVSSKESVHAEVAGTGG >Potri.018G008400.1.v4.1 pep chromosome:Pop_tri_v4:18:606263:609608:-1 gene:Potri.018G008400.v4.1 transcript:Potri.018G008400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008400.v4.1 MAELGRSDVNGNDMIENLIAARKSLKLSLEKSKALGFSLEKAGPRLDEINQRLPSLEAAVRPIRADKEALLAAGGHINRAIGPAAAVLKVFDAVHGLEKSLSDPRNNLPGYLSVLKRLEEALRFLGDNCGLAIQWLDDILEYLEDNVMADEQHLLNLKKSLKGLRESQSDDERARLDGGLLNAALDKLEGEFRRLLTEHSVPLPMSSSPDLGEQAVIAPSLLPVSVIHKLQAILGRLRTNNRLEKCISIHVEVRSSNVRASLQALDLDYLEISIAEFNDVQSIEGYIAQWGKHLEFAVKHLFEAEYKLCNDVFERIGLDVCMGCFSKIAAHTGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNRLRLDFNRLFGGAACIEIQNLTRDLIKRVIDGAAEIFWELLVQVELQRQIPPPPDGNVPILVSIITDYCNKLLGDNYKPILNQVLLIHRSWKREKFQERILVSEVLNIVKAIELNLETWTKAYEDSIISNLFAMNNHYHLYKHLKGTKVGDLLGDSWFREHEQYKDYYAAIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKNFNEAFDEMYKRQSSWVVPDRDLRDKICQQIVQAVVPVYRSYMQSYGPLVELDGSSSKYAKYSVQTLEQMLSSLFLPKPGRYASFKGRQLSAKFNNGVADLRRTTSAVV >Potri.010G231300.1.v4.1 pep chromosome:Pop_tri_v4:10:21332602:21333369:-1 gene:Potri.010G231300.v4.1 transcript:Potri.010G231300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231300.v4.1 MAPKAEKKPAEKKPAAAEKAPAEKKPRAEKKLPKEGVSEKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.003G161900.3.v4.1 pep chromosome:Pop_tri_v4:3:17147619:17150495:-1 gene:Potri.003G161900.v4.1 transcript:Potri.003G161900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161900.v4.1 MATISKLSNPSPAASLSAPSRSSSLPKVFLGFSTKTSLSKVRLSLKNTQPSPRTSLVVRCSQSSGNGSPIKRTTLHDLYEREGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISSSNAYNDQFRELVQSGKDIETAYWELVVKDIQDACKLFESIYDQTDGGDGYVSVEVSPRLADDTQGTVEAAKWLHKVVDRPNVYIKIPATAPCIPSIKEVISLGISVNVTLIFSLTRYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALDLRGKAAVAQAALAYKLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSHLVSQKPVCWIYVDALS >Potri.006G047000.2.v4.1 pep chromosome:Pop_tri_v4:6:3199934:3203248:1 gene:Potri.006G047000.v4.1 transcript:Potri.006G047000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047000.v4.1 METKPSEISSSKMFGGYNKRYKHFSPTLGCSMTFYIYFPPSPSPSHKFPVLYWLSGLSCTDENFIAKSGAQRVASTEGIVLIAPDTSPRGLNVEGEADSWDFGVGAGFYLNATQEKWKNWRMYDYVVKELPKLLSENFPQLETSKASIFGHSMGGHGALTIYLKNLDKYKSVSAFSPVANPINCPWGQKAFTNYLGPSKADWEEYDATSLVSKVHDVSATILIDQGDEDKFLHDQLLPNKFEEACRSANVSVLMRLQPGYDHSYFFIATFIDDHIHHHAHALKL >Potri.001G016800.3.v4.1 pep chromosome:Pop_tri_v4:1:1241820:1248932:-1 gene:Potri.001G016800.v4.1 transcript:Potri.001G016800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016800.v4.1 MEKYLVPAEENPRRPTRLYQWKRSLIELNGRLESKYRHDLSALLLQSYSQIGAFPHLYHTIGGGDAAIPCQTNLNSRGLASDSTRQFAINKQGVSALEFDNKGIYLVSVTKSGCLTVHDFESLYCQANDSFPCFGKDERKCEDESKHVLHNSLGRQLDSVRWNLANQDEVACTSMKTNEVQIFDIGYISSEPVEVLKTRRAVTVHGSDIHKGLTDIAFTSESRLIASDTNGGVNVWDRRMSALPCLELTSNSRSTLNSIKLNVENQMVFGAGRHGIVYMWDLRGGRAPSAFQIHKEMCHPPVTSWKLSSMLERIGSLKAQSDIVSKEVHSIDFDPSCPYQLAFHLDDGWSGILDIYNFQVTHVHCPPPAWLNGSFTDLLSLRKPSWLATHSIYVVGSSTDNGIHLLDFYPDPSSPCHVDYSPIEDAERPSRVNRRNKQNRFIPLSEGVTACAAHPLNGTIIAGTQLSSLLVVSQQKHSEVD >Potri.006G248700.3.v4.1 pep chromosome:Pop_tri_v4:6:24859301:24861228:1 gene:Potri.006G248700.v4.1 transcript:Potri.006G248700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248700.v4.1 MSSSWSHALVRISPYTFSAVGIAIAIGVSVLGAAWGIYITGSSLIGAAVKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQIYDPESLRAGYAIFASGIIVGFANLFCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPARSV >Potri.010G137200.3.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.9.v4.1 pep chromosome:Pop_tri_v4:10:15178093:15183296:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLNKWTRSLYFPVKSPILTSLSSLIFSKLSISSAANLDTTTINTTTPLVQISTDPVHAILSGFRDLGFRQFVSGHYFKDLVLMLNQAQMDDVIENLSVQNADFVADFYHLSRNEFGFQHSRVSRFLVSHVLARKRRFKDLRLVLDQMLQEEGTGSAPLLCKLLFSSFKGWDSSNVVWDMLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.19.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.20.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.16.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.4.v4.1 pep chromosome:Pop_tri_v4:10:15178155:15183296:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLNKWTRSLYFPVKSPILTSLSSLIFSKLSISSAANLDTTTINTTTPLVQISTDPVHAILSGFRDLGFRQFVSGHYFKDLVLMLNQAQMDDVIENLSVQNADFVADFYHLSRNEFGFQHSRVSRFLVSHVLARKRRFKDLRLVLDQMLQEEGTGSAPLLCKLLFSSFKGWDSSNVVWDMLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.11.v4.1 pep chromosome:Pop_tri_v4:10:15178229:15183339:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.22.v4.1 pep chromosome:Pop_tri_v4:10:15178464:15183348:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.8.v4.1 pep chromosome:Pop_tri_v4:10:15178229:15183339:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLNKWTRSLYFPVKSPILTSLSSLIFSKLSISSAANLDTTTINTTTPLVQISTDPVHAILSGFRDLGFRQFVSGHYFKDLVLMLNQAQMDDVIENLSVQNADFVADFYHLSRNEFGFQHSRVSRFLVSHVLARKRRFKDLRLVLDQMLQEEGTGSAPLLCKLLFSSFKGWDSSNVVWDMLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.15.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.7.v4.1 pep chromosome:Pop_tri_v4:10:15178242:15183378:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLNKWTRSLYFPVKSPILTSLSSLIFSKLSISSAANLDTTTINTTTPLVQISTDPVHAILSGFRDLGFRQFVSGHYFKDLVLMLNQAQMDDVIENLSVQNADFVADFYHLSRNEFGFQHSRVSRFLVSHVLARKRRFKDLRLVLDQMLQEEGTGSAPLLCKLLFSSFKGWDSSNVVWDMLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.13.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.5.v4.1 pep chromosome:Pop_tri_v4:10:15178230:15183390:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLNKWTRSLYFPVKSPILTSLSSLIFSKLSISSAANLDTTTINTTTPLVQISTDPVHAILSGFRDLGFRQFVSGHYFKDLVLMLNQAQMDDVIENLSVQNADFVADFYHLSRNEFGFQHSRVSRFLVSHVLARKRRFKDLRLVLDQMLQEEGTGSAPLLCKLLFSSFKGWDSSNVVWDMLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.12.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.17.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.21.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183376:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.6.v4.1 pep chromosome:Pop_tri_v4:10:15178242:15183378:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLNKWTRSLYFPVKSPILTSLSSLIFSKLSISSAANLDTTTINTTTPLVQISTDPVHAILSGFRDLGFRQFVSGHYFKDLVLMLNQAQMDDVIENLSVQNADFVADFYHLSRNEFGFQHSRVSRFLVSHVLARKRRFKDLRLVLDQMLQEEGTGSAPLLCKLLFSSFKGWDSSNVVWDMLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.14.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.10.v4.1 pep chromosome:Pop_tri_v4:10:15178229:15183390:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MLAFIYSRFEMVHDALFVLVKMKEQNLRPSIQTYNSLLYNLRHTDIMWDVYNDIKDSGTPQSARTSSIIVDGLCGQSRFRDAVLFLRQNDGKEFAPSVVSFNTIMSRYCKLGLADVAKSFFCMMLKYGILPDTYSYNILIHGLIVAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.010G137200.18.v4.1 pep chromosome:Pop_tri_v4:10:15178158:15183379:-1 gene:Potri.010G137200.v4.1 transcript:Potri.010G137200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137200.v4.1 MGYADSLDFEMQFCSCGRMMGRSLHLLLFLLIPSCQAGSMEEALELTNDMEKQGLQPDMVTYKIVAKGFHLLGLMSGAREIIQKMLTDEGLKPDLVTYTVLICGHCQMGNIEEALRLRRDLLSSGFQLNVILYSVLLSSLCKRGQVDEALQLLYEMEANNLQPDLVTYSILIHGLCKQGKVQQAIQLYKEMCFNRIFPNSFAHSGILKGLCEKGMLSDARMYFDSLIMSNLRPDVTLYNIMIDGYVKLGDVEEAVRLYKRLRDKAITPSIVTFNSLIYGFCKNRKVVEARRLLESIKLHGLEPSAVTYTTLMNAYCEEGNINKLHELLLEMNLKDIEPTVVTYTVVIKGLCKQRKLEESVQLLEDMRAKGLAPDQITYNTIIQCFCKAKDMRKAFELLDDMLIHNLEPTPATYNVLIDGLCRYGDVEDADRVLVSLQDRNINLTKVAYTTMIKAHCVKGDAQRAVKVFHQMVEKGFEVSIKDYSAVINRLCKRCLINEAKYYFCIMLSDGVSPDQEIFEMMLNAFHRAGHVHSVFELLAVMIKFGLLHD >Potri.019G042600.1.v4.1 pep chromosome:Pop_tri_v4:19:5885778:5888659:-1 gene:Potri.019G042600.v4.1 transcript:Potri.019G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042600.v4.1 MSSAVVAGTTSTSCFATFSKNHSLKTTTPTSTKSFISSHYQKSNFQGLSLQDGKRGCSDIFMPNSSCSTLINVRTGLQVTARTAGAAKTIEVEVDKPLGLTLGQKSGGGVVITGVEGGGNAAKAGLKSGDQVLYTSSFFGDELWPADKLGFTKTAIQAKPDSIYFVVSRGAEVDVKRLPKRPAPPRFGRKLTEAQKARATHICIDCGFIYTLQKSFDEQPEAYVCPQCRAPKKRFARYDVNTGRAVGGGLPPIGVIIGLVAGIGAVGALLVYGLQ >Potri.017G129300.4.v4.1 pep chromosome:Pop_tri_v4:17:13260053:13262626:-1 gene:Potri.017G129300.v4.1 transcript:Potri.017G129300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129300.v4.1 MILSSDTAEETKQPAMSTTAADAKAEHFELNNGTIRVTVTNYGATITSLYVPDKHGKVADVVLGFDSIEAYVKDNASYFGCIVGRVANRIKEGKFHLNGVDYSLPKNNGPNSLHGGNKGFDKVVWDVVEQKDGEQPSITFKYESHDGEEGYPGDVIVTATYTLTSSKTLRLDMEAVPKNKTTPINLAHHTYWNLAGHSSGNVLEHLVQISGSQITPVDKNSIPTGELLPVKGTAFDFTSEKKVGSSIREVPGLGYDHNYVLDYGEKKEGLKHVAKVKDPSSSRVLNLWTDAPGVQFYTANYVNGVPGKGGAVYEKHSGLCLETQGFPNAINQPNFPSIVVVPGDKYKHSMLFEFSEE >Potri.017G129300.1.v4.1 pep chromosome:Pop_tri_v4:17:13260009:13262664:-1 gene:Potri.017G129300.v4.1 transcript:Potri.017G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129300.v4.1 MILSSDTAEETKQPAMSTTAADAKAEHFELNNGTIRVTVTNYGATITSLYVPDKHGKVADVVLGFDSIEAYVQKDNASYFGCIVGRVANRIKEGKFHLNGVDYSLPKNNGPNSLHGGNKGFDKVVWDVVEQKDGEQPSITFKYESHDGEEGYPGDVIVTATYTLTSSKTLRLDMEAVPKNKTTPINLAHHTYWNLAGHSSGNVLEHLVQISGSQITPVDKNSIPTGELLPVKGTAFDFTSEKKVGSSIREVPGLGYDHNYVLDYGEKKEGLKHVAKVKDPSSSRVLNLWTDAPGVQFYTANYVNGVPGKGGAVYEKHSGLCLETQGFPNAINQPNFPSIVVVPGDKYKHSMLFEFSEE >Potri.T124504.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:17892:20234:1 gene:Potri.T124504.v4.1 transcript:Potri.T124504.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124504.v4.1 MCQLLPPPKRYPKEDPPPGAAWTLVEGRSVMNNLFSEQIRGPHLKREFWNQVYCYDHTRDGTHICCSCRRFEPRNEKFVSLGDDRRDGRRICNDCFSTAILETQGIEPLVRYVLRFFDHLNMKIKAPIPVFSVDRGEMRRQTAGGTAPVHPDTTVLGLTMCSYRDITSVDKSRLQGRKIVTEMETYRFGHEYRIKILVLFGLPLVMTGGILAHEFMHAWLRLQGVSRLNPEIEEGICQVMGYQWLDWFEAVDPEASSSRSEKAQFMRNLKKTFKGEVENMLDGAYGDGFRDAQWAVSRYGLDHVIRHIIRHKTLPRE >Potri.003G216500.1.v4.1 pep chromosome:Pop_tri_v4:3:21217892:21219305:1 gene:Potri.003G216500.v4.1 transcript:Potri.003G216500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216500.v4.1 MGSFIGHVLPGMAFFILGLWHLFNHIKLYSLRPNSYISSPWFPTTKLRYLELYLIMLGSSISISMELFIGPEKHHPFDVDGTIPSNHLRNFEHSLISMSFFVYATFAILLDKISSEAQRGLTHILGALAFGQQLLMFHLHSTDHKGLEGQYHLLLQNVVVISLATALMGIGLPKSFLVSFVRSLCILFQGVWFVVMGFMLWTPGLIPKGCSLYNDDGHKIVRCTSEEALHRAKSLVNIQFGWFVIGITIFAITLYLAMVETYSKNVKYSSLAKEFEEIHEETSDIESLKKNKLEGSKSLLVERGFAPFDMER >Potri.007G065700.1.v4.1 pep chromosome:Pop_tri_v4:7:8290708:8294966:-1 gene:Potri.007G065700.v4.1 transcript:Potri.007G065700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065700.v4.1 MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDESLKRFKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAFQTFSRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFAQMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIIKTCMNDQKDKLWPMLQKLGVVMKSDEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPATAQRYRVENLYEGPLDDAYANAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFAGKVTTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVIDKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKIRGKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYMVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVVESFGFSGTLRAATSGQAFPQCVFDHWDTMSSDPMEAGTQAAQLVAEIRKRKGLKEQMTPLSDFEDKL >Potri.014G099400.1.v4.1 pep chromosome:Pop_tri_v4:14:6516746:6519601:-1 gene:Potri.014G099400.v4.1 transcript:Potri.014G099400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099400.v4.1 MEGKTRNASTLFFSFTCIFLFLSTTTTLSTSLQFQTLTLNPLPNKPTISWADTEPGTQTFTDQTTSEPSSSATTFLSVQLHHIDALSSDKSSQDLFNSRLVRDAARVKSLISLAATVGGTNLTRARGPGFSSSVISGLAQGSGEYFTRLGVGTPARYVYMVLDTGSDIVWIQCAPCIKCYSQTDPVFDPTKSRSFANIPCGSPLCRRLDYPGCSTKKQICLYQVSYGDGSFTVGEFSTETLTFRGTRVGRVVLGCGHDNEGLFVGAAGLLGLGRGRLSFPSQIGRRFNSKFSYCLGDRSASSRPSSIVFGDSAISRTTRFTPLLSNPKLDTFYYVELLGISVGGTRVSGISASLFKLDSTGNGGVIIDSGTSVTRLTRAAYVALRDAFLVGASNLKRAPEFSLFDTCFDLSGKTEVKVPTVVLHFRGADVPLPASNYLIPVDNSGSFCFAFAGTASGLSIIGNIQQQGFRVVYDLATSRVGFAPRGCA >Potri.007G127600.1.v4.1 pep chromosome:Pop_tri_v4:7:14267174:14272846:-1 gene:Potri.007G127600.v4.1 transcript:Potri.007G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NTH1 MSVAVIRVPCSLQTTFGLIVKCKKMPNTRFSSKSLQSKTEISTSDTVPGPNEVSVPEVRVFVRKRKVKTTVEAAEKEVKVEPRKQKLSALPDIEEFAYKKGNGPALIRKLKSTENVLPVDSEAASTIRPAGEPPLNWDKVLEGIHKMRSSEDAPVDTMGCEKAGISLPPGERRFAVLASALLSSQTKDHVTHGAIQRLQQNNLLTADAIDKADETAIKDLIYPVGFYTRKASNLKKIAKICLLKYDGDIPSSLEDLLSLPGIGPKMAHLVMNIAWNNVQGICVDTHVHRICNRLGWVARPGTKQKTSTPEETREALQLWLPKDEWVPINPLLVGFGQTICTPLRPRCGMCCISEFCPSAFKETSSPASKQKRSGGSKKL >Potri.012G022000.2.v4.1 pep chromosome:Pop_tri_v4:12:2251018:2256509:1 gene:Potri.012G022000.v4.1 transcript:Potri.012G022000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022000.v4.1 MAVAENAGVRNGTTGQNFDNTVVSSETKDLERSSSKPRNEPVVANNTKDSNFQGQNNDHQSINGMSGETGGLSNGKTNGPQMQNGFDMNQSGGYGDDQIHHQRAKSNGVNDMNDLVEMLSKLNPMAEEFVPPSLANHPGFFGNGFGFNANNFLVQINNGIANGQTNRRKNSYNQGRRRMNNRTSMAQRDEIIKRTVYVSDIDQQVTEEQLAGLFIHCGQVVDCRICGDPNSVLRFAFVEFTDEEGARTALSLSGTVLGFYPLRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKITQADVRLFFESFCGEVHRLRLLGDYHHSTRIAFVEFAVAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRLPRPPFN >Potri.012G022000.3.v4.1 pep chromosome:Pop_tri_v4:12:2251050:2256507:1 gene:Potri.012G022000.v4.1 transcript:Potri.012G022000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022000.v4.1 MAVAENAGVRNGTTGQNFDNTVVSSETKDLERSSSKPRNEPVVANNTKDSNFQGQNNDHQSINGMSGETGGLSNGKTNGPQMQNGFDMNQSGGYGDDQIHHQRAKSNGVNDMNDLVEMLSKLNPMAEEFVPPSLANHPGFFGNGFGFNANNFLVQINNGIANGQTNRRKKNSYNQGRRRMNNRTSMAQRDEIIKRTVYVSDIDQQVTEEQLAGLFIHCGQVVDCRICGDPNSVLRFAFVEFTDEEGARTALSLSGTVLGFYPLRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKITQADVRLFFESFCGEVHRLRLLGDYHHSTRIAFVEFAVAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRLPRPPFN >Potri.012G022000.4.v4.1 pep chromosome:Pop_tri_v4:12:2251343:2256513:1 gene:Potri.012G022000.v4.1 transcript:Potri.012G022000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022000.v4.1 MAVAENAGVRNGTTGQNFDNTVVSSETKDLERSSSKPRNEPVVANNTKDSNFQGQNNDHQSINGMSGETGGLSNGKTNGPQMQNGFDMNQSGGYGDDQIHHQRAKSNGVNDMNDLVEMLSKLNPMAEEFVPPSLANHPGFFGNGFGFNANNFLVQINNGIANGQTNRRKKNSYNQGRRRMNNRTSMAQRDEIIKRTVYVSDIDQQVTEEQLAGLFIHCGQVVDCRICGDPNSVLRFAFVEFTDEEGARTALSLSGTVLGFYPLRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKITQADVRLFFESFCGEVHRLRLLGDYHHSTRIAFVEFAVAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRLPRPPFN >Potri.012G022000.1.v4.1 pep chromosome:Pop_tri_v4:12:2251014:2256515:1 gene:Potri.012G022000.v4.1 transcript:Potri.012G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022000.v4.1 MAVAENAGVRNGTTGQNFDNTVVSSETKDLERSSSKPRNEPVVANNTKDSNFQGQNNDHQSINGMSGETGGLSNGKTNGPQMQNGFDMNQSGGYGDDQIHHQRAKSNGVNDMNDLVEMLSKLNPMAEEFVPPSLANHPGFFGNGFGFNANNFLVQINNGIANGQTNRRKKNSYNQGRRRMNNRTSMAQRDEIIKRTVYVSDIDQQVTEEQLAGLFIHCGQVVDCRICGDPNSVLRFAFVEFTDEEGARTALSLSGTVLGFYPLRVLPSKTAIAPVNPTFLPRSEDEREMCARTVYCTNIDKKITQADVRLFFESFCGEVHRLRLLGDYHHSTRIAFVEFAVAESAIAALNCSGAVLGSLPIRVSPSKTPVRPRLPRPPFN >Potri.004G007100.1.v4.1 pep chromosome:Pop_tri_v4:4:434327:435193:1 gene:Potri.004G007100.v4.1 transcript:Potri.004G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007100.v4.1 MKLLDIFFVLAIIMALAVSLTATPSEEDQSSLDFSENEDEENFDLPWLESQETTSSLRGANRFLAQKIRAVMTCDKYPRACRAKGSPGPDCCKKKCVNVMTDRLNCGMCGKKCKYPEICCKGQCVNPMSNKKNCGGCSNKCKKGSKCQYGMCSYA >Potri.001G333300.1.v4.1 pep chromosome:Pop_tri_v4:1:34163336:34168918:-1 gene:Potri.001G333300.v4.1 transcript:Potri.001G333300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333300.v4.1 MGWSSWLLFVYLSWSFFISSTHESQTYQLQLLLQLRKHLEHPPQLDILEGYNGDLCNLSSSPNLGIVCLENTVTELKIMGDKLVKVSNDFNGFAIPNQTLSESFSIDSFVTTLTRLTSLKVLRLVSLGIWGPLPDKIHRLYSLEVLDLCSNFFFGSVPPQLSRLVKLNSLTLDGNYFNGSVPDWLDSLSNLTILSLKSNRFNGQFPSSICRIITLTDIALSHNHLTGKLPDLSTLSSLHMLDLRENKLDSDLPGMPKELITVLLSNNSFSGKIPGQFGQLNQLQHLDLSLNHLSGTPPSSMFSLPNISYLNLASNMLSGSLPNHLLCGSKLGFVDLSSNKLIGGLPSCLGSMLDKRVVKFGGNCLSVDSQNQHQESYCNVANEEGKQSRCRAVGILVAAIGGAVLVISLLALLVVFLRRRYRSRRTFKQNIISKVEQDNIPTGVSSEVLANARFISEAAKLGTQGAPACRVFTLEELKEATNNFDSSSFMGEGSIGKIYKGRLENGTCVAIRSLSLLKKHSIQNLKVRLDLLSKLHHSHLVGLLGHCVDSGLQNDSSSTKVFLVYEYLPNGNYRTHLSEMCPEKALGWPERLAILIGVAKAVHFLHTGVIPGAFNNRLKTDNILLDEHRIAKLSDYGMSIITDEIEKPEAKGDVLKSSHKINLEDDVYNFGFILLESLVGPIVTGKGEAFLLNEMASFGSQDGRRKIVDPIVLTTCSQESLSILVSITSKCISPEPSTRPSFEDVLWNLQYAAQVQAMADADQ >Potri.019G014336.1.v4.1 pep chromosome:Pop_tri_v4:19:2126172:2133810:1 gene:Potri.019G014336.v4.1 transcript:Potri.019G014336.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014336.v4.1 MEGVPPSCNEDDVNLTSNQFDIQSPEDSFNISELLLPADNQGSILYNDQGGQNMVVDNNVINDSIQQTTQFPPSFPQTIVSAMEGVPPSCNEDDVNLTSNQFDIQSPEDSFNISEFLFRPADNQGSISCNDQGGQTTDQFPPSFPQTMTTMEVLDGTSSSWINQNEPNWPQAPSRYLPNLFDPQCANPLRDQQRTNYQLPMSNQVPAMNQWHQYSNTHQPQPGHCYMTSNATTSELCGNHIQPGPSFPAPRSQYFSNQAAVIPNIDNIQQENFVPRHFGNSTRSQMDNLKLRGLQNQTTRPNASNPGPDTSLQSQIRGLNTQQVGYGSFRNYVEEGADDGRMKCKFCPHTYAIKTSISRIKWHLSGEEGHGVAICRGVPKEVQEVAFLAANKRQKITASSVNVNDCGISQAIAGNDEVSMTGMRAQEGRVSKGALESRLRTEPADRALEQSNAVLGNLVGGAGRIQAGVQGVLEQGAGEERINRVRQRTEPVEEDVENSQRSVQVGAGARSSESLKYNKTRGVPLPTSSTKPVGQAFEENTKVIWSFLMDGDVSIIGIYGMGGVGKSKILKDIYNELLQQPNICDHVWWVNVSQDFSINRLQNLIAEHLDLDLSRKNDELHRASELLEKLSKKQKWILILDDLWNDFTLDRVGIPKKLKGCKLILTTRSEIVCHGIGCDHKIQVKPLSEGEAWTLFKENLEHDITLSSKVEGIAKAIARECDGLPLGIITVAGSLRGVDDLHQWRNTLTKLRESEFRDMDEKVFKLLRFSYDRLGDLALQQCLLYCALFPEDSEIEREELIGYLIDEGIIKRKRSRGDAFDEGHTMLNKLENVCLLESANMYYVARRRVKMHDLIRDMAIQILLDNSQGMVKAGAQLKELPDAEEWTENLTRVSLIRNKIKEIPSSHSPMCPYLSTLLLCQNHCLRFIADSFFKQLHGLKVLDLSGTSIENLPDSVSDLVSLTALLLNECENLRHVPSLEKLRALKRLDLYWTPLKKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEELMGQFSDYAPITVKGKEVRSLRNLESLECHFEGFSDFVEYLRSRDGIQSLSKYTILVGMMDDGYWFGTYHFPSKTVGLGNLSINGDGDFQVKFLNGIQGLVCECIDARSLCDVLSLEKATELELISIQGCNSMESLVSSSWFCYAPPRLPSYNGTFSGLKEFYCYGCESMKKLFPLVLLPNLGNLERIVVEDCEKMEEIIGTTDEESSTYNSIMELIFPKLRSLRLFELPELKSICSAKLICNSLKDIDVEDCQKLKRMPICLPLLENGQPSPPPSLKEITVYPEEWWETVVEWEHPNAKDVLRPFVHFV >Potri.007G123900.1.v4.1 pep chromosome:Pop_tri_v4:7:14068622:14075810:-1 gene:Potri.007G123900.v4.1 transcript:Potri.007G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123900.v4.1 MSGSMECSGVLAFLPSTPSKVCKSTSLSCSLHQSAPSTATTELKNNSTDCSDNAPQKFSYSRASPSVRWPQLKLNETYHSSPQTQFTEMSKDGILNHSSLDQLTEMSKDEILDVGSFELSDDDDDDGSQEEKLRWRKSRTRVKKMNKLALKRAKDWRERVKYLTDRILGLTQDQFVADVLDDRKVQMTPTDLCFVVKSVGQESWHRALEVYEWLNLRHWYSPNARMLSTILSVLGKANQEALAVEVFMRAEPSAGNTVQVYNAMMGVYARRGRFNKVQELLDLMRERGCKPDLVSFNTLINARLKAGAMMPNLAIELLNEVRRSGLRPDTITYNTLISACSRASNLEEAAKVFDDMEAHHCQPDLWTYNAMISVYGRCGLSGKAEQLFNDLESRGFFPDAVSYNSLLYAFAREGNVEKVKEIWEEMVKIGFGKDEMTYNTMIHMYGKQGQNELALQLYRDMQSSGRNPDAVTYTVLIDSLGKTNKIAEAAGVMSEMLNTGVKPTLKTYSALICGYAKAGKPVEAEETFDCMLRSGIRPDHLAYSVMLDIHLRFNEPKRAMTLYKEMLHDGITLDHSLYELMLRTLRKVNKVEDIGRVIRDMEEICGMNTQTISSILVKGECYDEAAKMLRRAISDHFEIDRENLLSILSSYSSSGRHAEALDLLEFLKEHSPRSSQMITEALVVMLCKAQQLDAALKEYSNNRELGFTGSFTMFESLIQCCLENELITEASQVFSDMRFCGIKASESLYESMVLLYCKMGFPETAHHLIDFAESDGILLNNISLYVNVIEAYGRLKLWQKAESVAGNLRQRCITVDRKVWNALIEAYAASGCYERARAIFNTMMRDGPSPTVDTINGLLQALIVDGRLDELYVVVQELQDMGFKISKSSILLMLDAFARAGNIFEVKKIYHGMKAAGYFPTMHLYRVMARLLSRGKQVRDVEAMLSEMEEAGFKPDLSIWNSVLKMYVAIEDFRKTIQVYQRIKEDGLEPDEDTYNTLIVMYCRDHRPEEGFSLMHEMRVAGLEPKLDTYKSLVASFGKQQLVEQAEELFEELQSKGCKLDRSFYHTMMKIYRNSGSHSKAERLFSMMKDAGVEPTIATMHLLMVSYGSSGQPQEAEKVLSNLKETGSNLSTLPYSSVIDAYLRNGDYNIGIQKLIQMKKEGLEPDHRIWTCFIRAASLSRRTSEAIVLLNALQDAGFDLPIRLLTEKPESLVSALDRCLEMLETLEDNAAFNFVNALEDLLWAFELRATASWVFQLAIKKRIYRHDVFRVADKNWGADFRKLSGGAALVGLTLWLDHMQDASLQGCPESPKSVVLITGTAEYNMVSLDSTLKACLWEMGSPFLPCKSRSGLLIAKAHSLRMWLKDSPFCLDLELKNAPSLPESNSMQLIEGCFIRSGLVPAFKEINEKVGFVRPKKFAKFALLSDDRREKAIQAFIEGGKEKKEKMKKRGELGKKRNIKVMLRKRKFTRQAMPSNRGRIA >Potri.007G123900.2.v4.1 pep chromosome:Pop_tri_v4:7:14070132:14075784:-1 gene:Potri.007G123900.v4.1 transcript:Potri.007G123900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123900.v4.1 MSGSMECSGVLAFLPSTPSKVCKSTSLSCSLHQSAPSTATTELKNNSTDCSDNAPQKFSYSRASPSVRWPQLKLNETYHSSPQTQFTEMSKDGILNHSSLDQLTEMSKDEILDVGSFELSDDDDDDGSQEEKLRWRKSRTRVKKMNKLALKRAKDWRERVKYLTDRILGLTQDQFVADVLDDRKVQMTPTDLCFVVKSVGQESWHRALEVYEWLNLRHWYSPNARMLSTILSVLGKANQEALAVEVFMRAEPSAGNTVQVYNAMMGVYARRGRFNKVQELLDLMRERGCKPDLVSFNTLINARLKAGAMMPNLAIELLNEVRRSGLRPDTITYNTLISACSRASNLEEAAKVFDDMEAHHCQPDLWTYNAMISVYGRCGLSGKAEQLFNDLESRGFFPDAVSYNSLLYAFAREGNVEKVKEIWEEMVKIGFGKDEMTYNTMIHMYGKQGQNELALQLYRDMQSSGRNPDAVTYTVLIDSLGKTNKIAEAAGVMSEMLNTGVKPTLKTYSALICGYAKAGKPVEAEETFDCMLRSGIRPDHLAYSVMLDIHLRFNEPKRAMTLYKEMLHDGITLDHSLYELMLRTLRKVNKVEDIGRVIRDMEEICGMNTQTISSILVKGECYDEAAKMLRRAISDHFEIDRENLLSILSSYSSSGRHAEALDLLEFLKEHSPRSSQMITEALVVMLCKAQQLDAALKEYSNNRELGFTGSFTMFESLIQCCLENELITEASQVFSDMRFCGIKASESLYESMVLLYCKMGFPETAHHLIDFAESDGILLNNISLYVNVIEAYGRLKLWQKAESVAGNLRQRCITVDRKVWNALIEAYAASGCYERARAIFNTMMRDGPSPTVDTINGLLQALIVDGRLDELYVVVQELQDMGFKISKSSILLMLDAFARAGNIFEVKKIYHGMKAAGYFPTMHLYRVMARLLSRGKQVRDVEAMLSEMEEAGFKPDLSIWNSVLKMYVAIEDFRKTIQVYQRIKEDGLEPDEDTYNTLIVMYCRDHRPEEGFSLMHEMRVAGLEPKLDTYKSLVASFGKQQLVEQAEELFEELQSKGCKLDRSFYHTMMKIYRNSGSHSKAERLFSMMKDAGVEPTIATMHLLMVSYGSSGQPQEAEKVLSNLKETGSNLSTLPYSSVIDAYLRNGDYNIGIQKLIQMKKEGLEPDHRIWTCFIRAASLSRRTSEAIVLLNALQDAGFDLPIRLLTEKPESLVSALDRCLEMLETLEDNAAFNFVNALEDLLWAFELRATASWVFQLAIKKRIYRHDVFRVADKNWGADFRKLSGGAALVGLTLWLDHMQDASLQGCPESPKSVVLITGTAEYNMVSLDSTLKACLWEMGSPFLPCKSRSGLLIAKAHSLRMWLKDSPFCLDLELKNAPSLPESNSMQLIEGCFIRSGLVPAFKEINEKVGFVRPKKFAKFALLSDDRREKAIQAFIEGGKEKKEKMKKRGELGKKRNIKVMLRKRKFTRQAMPSNRGRIA >Potri.003G047300.1.v4.1 pep chromosome:Pop_tri_v4:3:6784764:6785606:-1 gene:Potri.003G047300.v4.1 transcript:Potri.003G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047300.v4.1 MAKIAVALFMMMALCGVCFGAGYNVGESDGWTIGVDYNQWASTKKFQVGDTLVFNYNTMFHNVLQVTKQDYESCNVKSPVATFASGRDFITLDKAGHSYFVCGFPGHCQAGLKVAISVRASSSQSPDVPSPPSTPREIPPPPPPQTLSAPGQPNFHPPPLGSPNVPLPPGFPNFGTPSGPGFPYLPPFESGASLHSSNLKAAMLSVIMTNLFAVFAY >Potri.011G142000.1.v4.1 pep chromosome:Pop_tri_v4:11:17187486:17195176:1 gene:Potri.011G142000.v4.1 transcript:Potri.011G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142000.v4.1 MEDIGLVKRGRKWLQTQKHAYWRAKTTVGCWRDKIGMFIERHWPMVCNGCARFGRLMRLLLIYWKDCVVRGFNSVIKLGSAALLLIMWSCFLSLTSMSSLLYVLLCMGAAGAAVQYLGYTPGLFIVGLFAILILWMYANFLITGTLFIVGGYLFSQNHARLVVLMATIYAIYCVKVRVGWHGVFLSINLTFFSNDILNFLLQWCDNVRESTQFEEHKETETVLEDEFFSEECEFSIPADESEKVQSCKSSSKPAASASVVNNQKESSARKVVVEEETSSADEMKRILDSGGHYEALGFPRHKRIDVAILKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRSRSVCEKSHSTSRQDNSDYCSEESRWIHCTKCGNSHIWVCTNRNKAKARWCQDCRQYHQAKDGDGWVEYKGSLIFDKPQKMEIPRAFVCGESKIFDVSEWAICQGMLCRPNTHRPSFHVNMVGLEKTKWSNSSKYPWDLDAEMMDEKEEEFEVRLQQAFGRFCETSKRRKSWSPFKLPQKKGKKQWRRTST >Potri.011G142000.4.v4.1 pep chromosome:Pop_tri_v4:11:17187455:17195108:1 gene:Potri.011G142000.v4.1 transcript:Potri.011G142000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142000.v4.1 MEDIGLVKRGRKWLQTQKHAYWRAKTTVGCWRDKIGMFIERHWPMVCNGCARFGRLMRLLLIYWKDCVVRGFNSVIKLGSAALLLIMWSCFLSLTSMSSLLYVLLCMGAAGAAVQYLGYTPGLFIVGLFAILILWMYANFLITGTLFIVGGYLFSQNHARLVVLMATIYAIYCVKVRVGWHGVFLSINLTFFSNDILNFLLQWCDNVRESTQFEEHKETETVLEDEFFSEECEFSIPADESEKVQSCKSSSKPAASASVVNNQKESSARKVVVEEETSSADEMKRILDSGGHYEALGFPRHKRIDVAILKKEYRKKAMLVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRKEESRSRSVCEKSHSTSRQQDNSDYCSEESRWIHCTKCGNSHIWVCTNRNKAKARWCQDCRQYHQAKDGDGWVEYKGSLIFDKPQKMEIPRAFVCGESKIFDVSEWAICQGMLCRPNTHRPSFHVNMVGLEKTKWSNSSKYPWDLDAEMMDEKEEEFEVRLQQAFGRFCETSKRRKSWSPFKLPQKKGKKQWRRTST >Potri.005G143900.1.v4.1 pep chromosome:Pop_tri_v4:5:11584153:11586086:1 gene:Potri.005G143900.v4.1 transcript:Potri.005G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G143900.v4.1 MEESIMFLVLTISFVILALNFLLKTKKQEYKNLPPSPFALPIIGHLHLMKQPIYRTIHNLSQKYGPIMSLRFGSRFVVIVNSPEAVEECFTKNDVILANRPPFCHGKYLNYNFTTMGAANYGDHWRNLRRIGNNEIFSPKRLNGFQELRKKEVKNLMKRVSRVSGENAGKVELRSMILDLTFNIVMTMLAGKRYYGEDVSELEDALQFRDMMNQYAEFAKEAHLGDLFPILSNIDYNGFVKRMKTLSKNMDLFLQRLIEEHRADRERNTMVNHLLALQETQPQYYTDSIIKGLILIMAVAGTRTSAASLEWAICNLLNNRHVLKKAKEELDTQLGQDHLIEEEDISKLHYLQGIISENLRLYPVAAMLVPHVASDYCTIGGYDVPPGTMVFANAWSIQRDPKVWDDPLNFKPERFLDGKAEAYKVMPFGLGRRSCPGEGLAHRLMTLTLGSLIQCFEWDTVDGKEINMDEKVATLMSRVHPLEVVLKARSDLDNIIS >Potri.001G306800.1.v4.1 pep chromosome:Pop_tri_v4:1:31653001:31654454:-1 gene:Potri.001G306800.v4.1 transcript:Potri.001G306800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306800.v4.1 MEPQDFMIIKSTAKILLHILLLSLLHQITTAKLTDQELDFALFSLRSHGYTLFPNAIATSDLRLQFLNQSNHAKSSFSTFTLFSPPDSLLFSLDLASAASHYTKSLSLHVSPFRLSTSDLRNLTASSGGASIDSLVPNHRLLIYNSLAHVNGTVVESVLVNRVRVSVPDLFLGRSIAVHGLDGIIVAGFEDRVQDTSFEAATSSQIDAIWSEELNSPLAGRRNGDRRGNNRRGRILNGGTRGDVVSHGAFASFNHH >Potri.015G045900.6.v4.1 pep chromosome:Pop_tri_v4:15:4490037:4492081:1 gene:Potri.015G045900.v4.1 transcript:Potri.015G045900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045900.v4.1 MVLILASTNLLTARIAAGCFIASLLVMLLVAKNWTLRGLCIGFVLFLGVVWVLQETTKIHILRYIILFIGVMNSLFSVYDIYDDLISRRVHSSDAEKFAEVCPCPCNGAGWGFIWGVISFLFLCGAMYLGLVILS >Potri.002G189700.2.v4.1 pep chromosome:Pop_tri_v4:2:15150091:15155027:1 gene:Potri.002G189700.v4.1 transcript:Potri.002G189700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189700.v4.1 MDDSCAVCAEALEWVAYGACGHLDVCSTCVSRLRFICDDRRCCICKTESSVVFVTKALGDYTRMINDFLLLPSEPKEGRMGSYWYHEDTQAFFDDVDHYKMIKAMCRLSCSVCDKEESNDGSKRRGKFRNINQLKGHLFHQHKLHMCSLCLEGRKVFICEQKLYTRAQLNQHISTGDSDVDGSESERGGFMGHPMCEFCKKPFYGDNELYKHMSTEHYTCHLCQRQHPGQYEYYKNYDDLEIHFRRDHFLCDDEGCLAKKFIVFQTEAELKRHNTIEHAGHMSRSQRNAALQIPTSFRYRRSNEQDNRHGRGRTFRRDQSDNQLSIAIQASLEAAYSESTSRDRSSSAQAISDHVDLSDIDPIVQPFESLSATDPETTLRYLQALGPSSRNAPLQESSFPPLFTTTSSGQQKAKDESESLPNNTMATHLRRQNNRNATVVNSPQQWPAASRGHVSSSPALYRPTVDTSPLSSRSSASGPGLSSYASSIQSHAQTRPAAVRGHPSAGSVGISGTTSRISSTASASNLADSGSLKPSVSDFPPVSAVPMHKMPTSSQVVLNVEEFQTANKSLVEKIRAALENDEDRYTLFKDISGQYRQGSIDTGEYLDYVQQFGLSRLIPELARLCPDAQKQKELVETYNASLRSSGKKENGWGRGSAQLKGTNGSKEGKGIAENDSSSKDRLTDSFINTVRALQSNYKPVEDEAQLLSKDGYRAAKGKSNVMLDERQMEPRIQNGSLSAGDGSSKNLKDGGTEKQRKKTSKVHRARLGDGSMAALLDLQNSEPDPRETVENRIDDSSNSVGGLPVRGVWRKGGGQKLFP >Potri.013G079201.1.v4.1 pep chromosome:Pop_tri_v4:13:6794063:6802901:1 gene:Potri.013G079201.v4.1 transcript:Potri.013G079201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079201.v4.1 MWLEIVCGLIVYKLCKCFFSDADDVLAVQSSDTNALFNVANKLEKLYGGKVYAGLRIPDADTGSRQNIDIVLVTKGEAVVISVKNFSGFVSISGDGSWVCEGEGRHKSERHPDPVEETKKQASILESYLEQRGVALPEGYLSCKVVLPNPNLHTIHSGYFAPEVITYDQWVLLKPEPKGLFSGWIKGAFRGGKKEMQESIHQKLNFTLRTAPMWDRLELKGNKYVLGEFLEFKGKQEDTMALRNIKRSKVSCLIMQKTSMFGLANSKLQVLYSGRDYRSEGASASEWKEETVRSSTEVLFQAENSAKVRKFKLSSIISMSLSA >Potri.017G010200.1.v4.1 pep chromosome:Pop_tri_v4:17:773211:778277:-1 gene:Potri.017G010200.v4.1 transcript:Potri.017G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010200.v4.1 MEGGSQADTEMADAPQQQQLQQQPPFMGGGGGGGGMENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETTEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRVPFNDVYIAYELMDTDLHQIIRSNQVLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTTAIDVWSVGCIFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELEFLNENAKRYIRQLQLHRRQSFLEKFPTVHPAAIDLVEKMLTFDPRQRITVEDALAHPYLTSLHDISDEPVCMTPFSFDFEQHALTEEQMKELIYREALAFNPEYQNQ >Potri.005G147600.2.v4.1 pep chromosome:Pop_tri_v4:5:12322571:12325700:-1 gene:Potri.005G147600.v4.1 transcript:Potri.005G147600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147600.v4.1 MQSAYASGSWISAQACVKLRNSKAKLTTETYYYNCFDNAGGGGGGGGGDNSRFALPIPRIIIKTAAAGGHVKSITSTSNPFVKHCLKLQQNSSYRHSHASALVVGSTPIREIHRSQESLKERTVELEYLLLLDEAEVSQVLDDKSSARVVRVSSVVMKKLSQLQSTESVDAIALMKFPTTYFVVDNHQDCSRKWFPSPHRILVLEGIQDPGNLGTLLRSALALGWGGVFLLPGCCDPFNSKVLRASRGASFQIPIVSGSWYHLEALKDEYQMKMLAGHPDCNDKSRPVSQLSQGLADCFARVPLCLVLGSEGHGLSEKAQRECELLSIPMAGEFESLNVAVAGGIFLYMLQPKSQKIV >Potri.005G210700.1.v4.1 pep chromosome:Pop_tri_v4:5:21496090:21498084:-1 gene:Potri.005G210700.v4.1 transcript:Potri.005G210700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210700.v4.1 MGTLFFPLSLCCPPSLRLRSNQYSSSRTHLSKPISYPHISLITSRSNRFRGKTVVFGGNSSDIPNESQFLDENGAVDDMDGYLNYLSLEYDSVWDTKPSWCQPWTITLTGVLVIAGSWLILHSVVVTTIVLLLICTWWYIFLYSYPKVYADMIAERRKRVTNGVEDTFGMTKSQ >Potri.004G151650.1.v4.1 pep chromosome:Pop_tri_v4:4:17303590:17304670:-1 gene:Potri.004G151650.v4.1 transcript:Potri.004G151650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151650.v4.1 MLILKTCKWGCACSFLFRSSKPLKTSFVKIFSLCITDITSRVRHHLHSQLVPNHHGNIWFPLQETDRQSLKDYQSLHYRSLHHTLQLPSFSSSLALRYSFHSHKKCGRRDILEAHQFMKEF >Potri.019G090200.1.v4.1 pep chromosome:Pop_tri_v4:19:12855405:12859060:1 gene:Potri.019G090200.v4.1 transcript:Potri.019G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090200.v4.1 MAILFKPGLFLSLFLLISSYHTATEASKVAQSLNFNSTFSNGTSNARELAGKCNWFRGKWVFDPKYPLYDSNCPFIDPQFNCQKYGRPDSYYLKYRWQPFACDLPRFNGLYFLEKWRGKKIMFVGDSLSLNQWTSLTCLIHSWVPNSKYTLFRTDVLSSLTFEDFGVKILLYRTTYLVDLVNDKAGRVLKLDSINNGNAWLGMDMLIFNTWHWWTHTGRSQPWDYIQEGGKLYKDMNRLIAFYKGLTTWARWVNHNVDPSKTKVFFQGVSPTHYVGGDWNEPSKSCAAETQPFFGTRYPAGTPLAWAVVNKVLSRIQKPVYLLDVTTLSQYRKDAHPSHYGGDNGGTDCSHWCLPGLPDTWNQLLYAALFG >Potri.014G021100.1.v4.1 pep chromosome:Pop_tri_v4:14:1283443:1285681:1 gene:Potri.014G021100.v4.1 transcript:Potri.014G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G021100.v4.1 MNSISEKENCVRITRAAKKRAAALASAEDRPLNKKRVVLGELPNLSNTTVSVNEVHKQKAKTKSNTSKRTLTKKEGVFKEDVDGKPEDPQMCAPYASDIYEYLHKMEVDPKRRPLPDYIEKVQKDVSPNMRGILVDWLVEVAEEYKIVSDTLYLTVSYIDRFLSFNVLNRQRLQLLGVSAMLIASKYEEINPPNVEDFCYITDNTYTKEEVVKMEADILKSLKFEVGNPTIKTLLRRFTRAAQEDYKTSDLQFEFLGFYLAELSLLDYNCVKYLPSLVAASVIFLTRFLMRPKTHPWSSTLQQYTGYKATDLKDCVLIIHDLYLSRRGGGLQAVREKYKQHKFKCVANMPSPPEIPALYFDEV >Potri.004G070900.1.v4.1 pep chromosome:Pop_tri_v4:4:5971826:5976925:-1 gene:Potri.004G070900.v4.1 transcript:Potri.004G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G070900.v4.1 MEEAIVLYPSPPIGHLISMVEVGKLLLTQKPSLSIHILITSVPYDSGSTAPYIANVAATIPSIKFHHLPTVTLPSTKTTHHEELTFEVLRLSNPHVREQLLSISKNYTIHGLVMDFFCCAALNVAKELNIPGYHFSPSGAGFLVVFLYFPTIHNTTTKSLKDLKSLLHIPGVPPIPSSDMPIPLLDRDDKSYENFLDSSRSFPESAGIVVNTFASLEARAVKTLSEGFCVPNNRAPPIYCIGPLIATEGPKDDAGTRNGTTLECLTWLDSQPVGSVVFLCFGSLGLFSKEQLREIAYGLERSGHRFLWVVRNPPSDKKSVALSARPNIDLDSLLPEGFLNRTKERGLVLKSWAPQVAVLNHPSVGGFVSHCGWNSVLEAVCAGVPLVAWPLYAEQRLNRIFLVEEMKLALPMNESDNGFVSSAEVEERVLGLMESEEGKLIRERAIAMKIAAQAALNEGGSSRVALSQLVESWKDK >Potri.006G055800.1.v4.1 pep chromosome:Pop_tri_v4:6:3952824:3953027:-1 gene:Potri.006G055800.v4.1 transcript:Potri.006G055800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055800.v4.1 MAATQVTLSRAFLLLLVIVTFAVVSAQESEMAPAPAPMDAGAGFSLPVSGAIVGFSLVVSLLGFLKH >Potri.001G275500.1.v4.1 pep chromosome:Pop_tri_v4:1:28907572:28910886:1 gene:Potri.001G275500.v4.1 transcript:Potri.001G275500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275500.v4.1 MQQNMSAKRPPKLAPSIFLFLLVLLHDQIHASPIKSVVVLVMENRSFDHMLGWMKKINPEINGVDGTQWNPLNITDPNSPKFFFNNQAQYVDPDPGHSFQAIREQIFGSEDTSKNPPPMNGFAQQAFSMDPSTNMSRDVMNGFEPDMLAVYRALVSEFAVFDRWFASVPSSTQPNRLFVHSGTSAGATSNIPAMLAKGYPQRTIFENLDDAGISWGIYYQNIPATLFYRNLRKVKYTSRFHPYDSSFKKDAGKGKLPGYVVIEQRYTDLKTAPANDDHPSHDVYRGQMFVKEVYETLRASPQWNETLLVITYDEHGGFYDHVATPVIGVPSPDGIVGPAPFFFKFDRLGVRVPSIMVSPWIEKGTVVHGPNGSPFPTSEYEHSSIPATVKKLFNMTTFLTKRDEWAGTFEGIVQTRTEPRTDCPEQLPIPTRIRKSEPNEDAKLTEFQQELLQLAAVLKGEDTLTSYLEKIGKEMTVKQGNDYMEDAVKRFLEAGLHATRMGVDEEQIVQMRPSLTTRTSKPTTNQP >Potri.014G148900.2.v4.1 pep chromosome:Pop_tri_v4:14:10234037:10237550:1 gene:Potri.014G148900.v4.1 transcript:Potri.014G148900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148900.v4.1 MERDKLFVSEGANTAATIWNSCSFGMEMQANELSCGPEKLANCFLNPNWDNSLDQSDPFESALSSIVSSPVASGANANANAIPNAGVGGDSLMIRELIGRLGNICNSGDISLQSFVNNNNNSTNTSCYSTPMNSPPKLNLSMMDSQMRGNLPIPGNSVVKHPGLAPFPADFVERAARYSCFGSNNPGGINKQFGLNESELINRLMPRVEPGKLSRVSSNNSMKVTVSQANVQESNKSSPQDGSLNSEKKFSRQSRPTTSENGDSREESSLSEQVPGGKLSMKSQNDANSRKRKSIPRGKAKETPSSSPSASDVKVAAENDESKAKRSKSDETNGSDKDTAKEKEEENGNQKQNKNNSKPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKLSSVNPRMEINMETLLSKDIFQSRGSMPHSLYPLDASTPVFPYGYQSQQGLALQNGMPSNAETQFSMNPLNAALRRNPSMHLPHLDGFGDPAALQASAMWEDDLQSVVQMGYGQNHQESFQGSVPSTQMKIEL >Potri.014G041466.2.v4.1 pep chromosome:Pop_tri_v4:14:2714850:2716615:1 gene:Potri.014G041466.v4.1 transcript:Potri.014G041466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041466.v4.1 MIKLFKVKENQRETQSADGKPPVKKQSSGELRLQKDITELNLPKSCCISFPDGKDSLMNFEVTIKPGEGYYK >Potri.016G028200.2.v4.1 pep chromosome:Pop_tri_v4:16:1565384:1572589:1 gene:Potri.016G028200.v4.1 transcript:Potri.016G028200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028200.v4.1 MMEDKSFKGLKVEGMTSQRQDWRAELTSEVRKKVVEKILGNLKDHYKMQASSDPEFLNRLRNIAVQFEDKTFRNSATQGSYAYTIGKKMGSFGTIKSEASQTTGLGQTSIVNDVGQSSETNAIAQFSQYLVGNNLVEQNMQPNMSPDFQSEVPGSQSSDQKLMYQLQQQEFQDQILKDKVQNISKRFAIQPDIHNRQPHQRQKHVTSQTSQNLYSQPPSASPQYQQSSFSQPSNSTMFQQNQQLRQQHDASEQRQWSMPQQNVLPSFQQPLGQQRDVSEIQHQQKMAGPQSIILNSQLHQSSSHMIQQQGVTASEQKVQKITQPVQSHQILGSQKQSGLSQEGIQPRPQTSASFHQQRSIADQQKLFQSHRSFAGSSSASAEPKSSNQLANTADGHDADYQKLQDFKQQYRPQMEYIYKNLKSLLDKETDPDQAMRYMKQVIWIEKNMPIFSLQRNQMANASKEKLQMAQQAIIKFVNYFRKKSSASVEQQADLCLQNSGQSQISQPPLNWNEKLQFHPVNLTMTNGLGSSSLTSSMQSGFLNSRPSFFSSLQYSSGMDLEQRNGPSMVQQPARKTGIISSNNIVNAFDSPFAHQTTLTQNLEQQMQKQNIQHKKEQMLIRNSQKSGSPAMESPENLHLPLISTTIHQPHSSSPRKSQLSSARMDQQTFPLASPASTPLTSTSEYVAFNQVDSQTQSHNQSTASGTPGISASPLLEEYTSSPNTSDTNQPLQRLLQAVKSLSPDVLSAAVHDIDSVVNVVDKIAGGSAERHSKGAIGEDLVSETIFHVQERNFALQHLSMKDKEMEHQSNAMASDTIGQPMDWISDFDSTATSRFNKLRTEPRNDLLNEIRHVNKLLVETTVDVDSTEDDSLPEASAGTIIKCSYTAVAVSGDFKSLSSSPMFPELTLRLLVPAEFPNSSPIILDKLPSGLSDELEDLSEKTKLRFSVALRNLSEPMSLLEIARTWDACARAVLLEHVKPLGGECFSSRYGTWENCLTA >Potri.016G028200.1.v4.1 pep chromosome:Pop_tri_v4:16:1565384:1572589:1 gene:Potri.016G028200.v4.1 transcript:Potri.016G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028200.v4.1 MMEDKSFKGLKVEGMTSQRQDWRAELTSEVRKKVVEKILGNLKDHYKMQASSDPEFLNRLRNIAVQFEDKTFRNSATQGSYAYTIGKKMGSFGTIKSEASQTTGKNPSGLGQTSIVNDVGQSSETNAIAQFSQYLVGNNLVEQNMQPNMSPDFQSEVPGSQSSDQKLMYQLQQQEFQDQILKDKVQNISKRFAIQPDIHNRQPHQRQKHVTSQTSQNLYSQPPSASPQYQQSSFSQPSNSTMFQQNQQLRQQHDASEQRQWSMPQQNVLPSFQQPLGQQRDVSEIQHQQKMAGPQSIILNSQLHQSSSHMIQQQGVTASEQKVQKITQPVQSHQILGSQKQSGLSQEGIQPRPQTSASFHQQRSIADQQKLFQSHRSFAGSSSASAEPKSSNQLANTADGHDADYQKLQDFKQQYRPQMEYIYKNLKSLLDKETDPDQAMRYMKQVIWIEKNMPIFSLQRNQMANASKEKLQMAQQAIIKFVNYFRKKSSASVEQQADLCLQNSGQSQISQPPLNWNEKLQFHPVNLTMTNGLGSSSLTSSMQSGFLNSRPSFFSSLQYSSGMDLEQRNGPSMVQQPARKTGIISSNNIVNAFDSPFAHQTTLTQNLEQQMQKQNIQHKKEQMLIRNSQKSGSPAMESPENLHLPLISTTIHQPHSSSPRKSQLSSARMDQQTFPLASPASTPLTSTSEYVAFNQVDSQTQSHNQSTASGTPGISASPLLEEYTSSPNTSDTNQPLQRLLQAVKSLSPDVLSAAVHDIDSVVNVVDKIAGGSAERHSKGAIGEDLVSETIFHVQERNFALQHLSMKDKEMEHQSNAMASDTIGQPMDWISDFDSTATSRFNKLRTEPRNDLLNEIRHVNKLLVETTVDVDSTEDDSLPEASAGTIIKCSYTAVAVSGDFKSLSSSPMFPELTLRLLVPAEFPNSSPIILDKLPSGLSDELEDLSEKTKLRFSVALRNLSEPMSLLEIARTWDACARAVLLEHVKPLGGECFSSRYGTWENCLTA >Potri.017G101600.4.v4.1 pep chromosome:Pop_tri_v4:17:11293140:11298912:1 gene:Potri.017G101600.v4.1 transcript:Potri.017G101600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101600.v4.1 MKGEGKMVIMSKMKWVGLVGLVLSAFSLFVHFLLARYTEEGISDYQSSVTIFSWRPIFENSDFAKNSPLYRRLWGQVRRLESLHPDANPRGYYADPRSESSGYVFVRIQGGFHEIRNSICDVVAISRLLNATLVIPEIQSTTSSKGISSEFKSFAYLYNEDQFMAALVKDVKVVKTLPQNLKGARRKKKIPSFRVPNSASPYFYLHHVLPVLNKHAVVELVVSEGGCLQAILPPHLEEYQRLRCRVGFHALRFRQEVQELATKILHRLRAPGRPFIAFDPGMTRDALAYHGCAELFQDVHTELIQHKRAWMKKHGIVKGKLSVNSAKQRLNGSCPLMPEEVGILLRAYGYSWDTILYISGGEVFGGQRTLIPLHAMFENTVDRTSLGAAWEMSRIYGREVNIVDTKLRAPPSVVQEKKLGAWKNAGPRPHPLPPPPARPKYPHNIEGWWGWVAESDNEPESTVMELRTNAHKLLWEAIDYLICVEADVFIPGFDRDGKGRPNFASLVMGHRLYQSAASKTFRLDR >Potri.017G101600.1.v4.1 pep chromosome:Pop_tri_v4:17:11293090:11298948:1 gene:Potri.017G101600.v4.1 transcript:Potri.017G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101600.v4.1 MKGEGKMVIMSKMKWVGLVGLVLSAFSLFVHFLLARYTEEGISDYQSSVTIFSWRPIFENSDFAKNSPLYRRLWGQVRRLESLHPDANPRGYYADPRSESSGYVFVRIQGGFHEIRNSICDVVAISRLLNATLVIPEIQSTTSSKGISSEFKSFAYLYNEDQFMAALVKDVKVVKTLPQNLKGARRKKKIPSFRVPNSASPYFYLHHVLPVLNKHAVVELVVSEGGCLQAILPPHLEEYQRLRCRVGFHALRFRQEVQELATKILHRLRAPGRPFIAFDPGMTRDALAYHGCAELFQDVHTELIQHKRAWMKKHGIVKGKLSVNSAKQRLNGSCPLMPEEVGILLRAYGYSWDTILYISGGEVFGGQRTLIPLHAMFENTVDRTSLGAAWEMSRIYGREVNIVDTKLRAPPSVVQEKKLGAWKNAGPRPHPLPPPPARPKYPHNIEGWWGWVAESDNEPESTVMELRTNAHKLLWEAIDYLICVEADVFIPGFDRDGKGRPNFASLVMGHRLYQSAASKTFRLDRKEVVKLLEENREHLYQANHTWLTSIRKHLRRSLIDGVIEASSKSKPFSFLSHPAPECSCLRYDPTKPVEASLGVMHSCPKWMDSEIKTKSKDKETEEDSDEDVSSSSGLFFKNIGGNNQSGGGELIKEESQLDDQEELEGSD >Potri.003G075800.1.v4.1 pep chromosome:Pop_tri_v4:3:10330336:10331462:1 gene:Potri.003G075800.v4.1 transcript:Potri.003G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075800.v4.1 MSDTMASQSSDWVQLYQQGSIHGQATSSFVFSDATIVNVTSGASDNIINPNSSSITSSTGDQRLTPQGCVSKPVTRRSRASKKTPATLINASTANFRALVQQFTGCPPSTSISFGSHKGPINLNFGLGSAQNHSCATAETEPLGNSYYHRRLSQMQQPRQNAQQLHQDQGCNVSLDNLPNSNGYSSVSSDLGPHLEMPADYGLFNMDDIALQELVNESFSDENMNNIDCF >Potri.005G034400.1.v4.1 pep chromosome:Pop_tri_v4:5:2265926:2267189:-1 gene:Potri.005G034400.v4.1 transcript:Potri.005G034400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G034400.v4.1 MVSHKVSLFVFVLLQAIFLLSSPVHCDEEDDLLQGLNSYRHSLNLPALVKHTNAGCLADKIAGKLEDEPCTSARAASPVQIDDYPDLLSKCGIDVNHTNEGVALPVCVPHLVPTLLLTNYTRTPYARYINASRFSGAGLGHEDDWMVVVLTTSTPRGDFAGATSLVSRVGLGHCLVTLLLGALVYLLY >Potri.004G192000.1.v4.1 pep chromosome:Pop_tri_v4:4:20595994:20599470:-1 gene:Potri.004G192000.v4.1 transcript:Potri.004G192000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G192000.v4.1 MWVSWLMMLLLLWPVAATTRSDIVKPGCLETCGNVSVPYPFGILERSCAMNDFFFLNCSSNDEGHPELWFGTGMAIHNISQLEGTVTLGIDAAFKCYNKAGIQTESFAQSMTLGSGPFMFSHLRNIFTAIGCDTFAQVTNYELTYGAACLSLCTEYVNMSDGNPCSGSGCCQTSIPKGLKSLDISLFSFYNYTNVSDFNLCGFAFLVDKNSLKISDWPLSRKPKYGKDAYTTDIVIEWVVKNETCEQAKANQSAYACGTNANCTYPESGQGYRCLCNEGFEGNPYLQEGCQDIDECKDPERYPCHGRCKNTIGNYKCRCPLGMYGDGKIGCQGFGIITLITAIGAAILLVIICLLLYMMENGGVVVKHQRVRIFSEAELEKATKNYDDDQKLEEGGFGSVYKGVLADGVQVAVKKFKGVDKAQMNEEFQKEMGVVSQVNHKNVVKLLGLCLETKVPLLVYEFISNGTLFKHIHDKRSQLLASWSSRLRIASEIALALNYLHSLADPPIIHGDVKSVNILLDNNNTAKVADFGASYLMTGILTARSDVFSFGFLWSFSREKSQTPVSSSGEKRNLIQHFISALETNNLFRILDFQAADEGEMDEIEAVAELAKGCLNSMGVNRPTMKEVSDELSKLKDFHQKSWAQQNSDETNYLLGESSQSFGKKVLEIWQK >Potri.010G232500.1.v4.1 pep chromosome:Pop_tri_v4:10:21411071:21416072:1 gene:Potri.010G232500.v4.1 transcript:Potri.010G232500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232500.v4.1 MGAKQENGDPEDILPPPEQELSHELDMKVKKYLRGEGADLEVLKDKKLKGQLYDRENLYGKSAKAAAKTEKWLLPSEGGYLEAEGIEKTWRIKQDTIGREVDISSAKNQYDIVLPDFGPYTLDFTSSGRYMAAAGRKGHLAVVDMKNMSLIKEMQVRETVRDVVFLHNELFFAAAQKKYPYIYNRDGVELHCLKEHGAVTRLQFLKNHFLLASINKFGQLRYQDVTMGEMISNFRTGLGRTDVMQANPFNGVVALGHSGGTVSMWKPTSAVPLVKMLCHPGPITAMAFHPDGHLMATSGKEKKIKIWDVRKFEVLQTIRGHAKTLDFSQKGLLAAGTGSFVQVFGDFSGSRNYSRHMGHSIVKGYQIGKVAFRPYEDVLGIGHSTGWSSILIPGSGEPNFDTWLANPFETTKQRREKEIHSLLDKLPPETIMLDPSKIGTVKSAKKKEKPTKKEMEADMEAAVEAAKGTAIKNKTKGKNKPSKIAVKKKEIVVRAKKPFLDQQMKEEENVAKKKQKISEEISLPTALQRFARKKATA >Potri.018G115500.2.v4.1 pep chromosome:Pop_tri_v4:18:13164005:13174471:-1 gene:Potri.018G115500.v4.1 transcript:Potri.018G115500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115500.v4.1 MGSNIADKIHGAGEAEGSETNIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPIPSEGLSNHSASDPASGSSHHTGQVAPSVVIETFSVPDQGDAVPPEISRIVSAVLGSFGFSNIGGVSEGVDVERGLRRTSAAGGTMDASQLQSEQTGTRGQSDRSQNVFGLPTAVSLGSLNPPVIPDSLTTLSQYLSNLRREFDAIGRGGENIAQADAALRMEQIDSNTTSLSGTGQERLPSPASLAEVMLSSRQLLTEQVGECLLQLARQLENQAHITDTAARHATQSSALRTGVQLHNLGALLLELGRTSMTLRLGQAPSEAVVNAGPAVFINQSGPNPLMVQPLPFQPGTSFGAVPLGSMQPGSGLTNGVGTGFLPRRIDIQIRRGSSTATPNNNREEHGQTQQTAGQRNPPTSSGGENPSSQTSSRLSEGSSFAGEPVRVVPLRTVVAAVPGTFGRLPSDSSGSLPPNLRQEPSISRSISINILSASGAQNNQDSERHIPDNILQLLRTILPGDIHMEDASLRGTTTSSVPETAGQSTATAEAEPRATDEGIFLSNLLREIMPLISQSGGAEPSAIPPGEAQNSGNQRPRDSSTHAENSGIGTSRGHDTEPSPPNSKRQKTE >Potri.018G115500.3.v4.1 pep chromosome:Pop_tri_v4:18:13165092:13174458:-1 gene:Potri.018G115500.v4.1 transcript:Potri.018G115500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115500.v4.1 MGSNIADKIHGAGEAEGSETNIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPIPSEGLSNHSASDPASGSSHHTGQVAPSVVIETFSVPDQGDAVPPEISRIVSAVLGSFGFSNIGGVSEGVDVERGLRRTSAAGGTMDASQLQSEQTGTRGQSDRSQNVFGLPTAVSLGSLNPPVIPDSLTTLSQYLSNLRREFDAIGRGGENIAQADAALRMEQIDSNTTSLSGTGQERLPSPASLAEVMLSSRQLLTEQVGECLLQLARQLENQAHITDTAARHATQSSALRTGVQLHNLGALLLELGRTSMTLRLGQAPSEAVVNAGPAVFINQSGPNPLMVQPLPFQPGTSFGAVPLGSMQPGSGLTNGVGTGFLPRRIDIQIRRGSSTATPNNNREEHGQTQQTAGQRNPPTSSGGENPSSQTSSRLSEGSSFAGEPVRVVPLRTVVAAVPGTFGRLPSDSSGNSIGLYYPLLGRFQNVASGHGNSGRGSQASGEYHTAEVQTEQLPTPEPALQQQNFEQRTRDGSLPPNLRQEPSISRSISINILSASGAQNNQDSERHIPDNILQLLRTILPGDIHMEDASLRGTTTSSVPETAGQSTATAEAEPRATDEGIFLSNLLREIMPLISQSGGAEPSAIPPGEAQNSGNQRPRDSSTHAENSGIGTSRGHDTEPSPPNSKRQKVWHV >Potri.018G115500.1.v4.1 pep chromosome:Pop_tri_v4:18:13163991:13174491:-1 gene:Potri.018G115500.v4.1 transcript:Potri.018G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115500.v4.1 MGSNIADKIHGAGEAEGSETNIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHLVVRQPIPSEGLSNHSASDPASGSSHHTGQVAPSVVIETFSVPDQGDAVPPEISRIVSAVLGSFGFSNIGGVSEGVDVERGLRRTSAAGGTMDASQLQSEQTGTRGQSDRSQNVFGLPTAVSLGSLNPPVIPDSLTTLSQYLSNLRREFDAIGRGGENIAQADAALRMEQIDSNTTSLSGTGQERLPSPASLAEVMLSSRQLLTEQVGECLLQLARQLENQAHITDTAARHATQSSALRTGVQLHNLGALLLELGRTSMTLRLGQAPSEAVVNAGPAVFINQSGPNPLMVQPLPFQPGTSFGAVPLGSMQPGSGLTNGVGTGFLPRRIDIQIRRGSSTATPNNNREEHGQTQQTAGQRNPPTSSGGENPSSQTSSRLSEGSSFAGEPVRVVPLRTVVAAVPGTFGRLPSDSSGNSIGLYYPLLGRFQNVASGHGNSGRGSQASGEYHTAEVQTEQLPTPEPALQQQNFEQRTRDGSLPPNLRQEPSISRSISINILSASGAQNNQDSERHIPDNILQLLRTILPGDIHMEDASLRGTTTSSVPETAGQSTATAEAEPRATDEGIFLSNLLREIMPLISQSGGAEPSAIPPGEAQNSGNQRPRDSSTHAENSGIGTSRGHDTEPSPPNSKRQKTE >Potri.008G013500.1.v4.1 pep chromosome:Pop_tri_v4:8:672741:679125:-1 gene:Potri.008G013500.v4.1 transcript:Potri.008G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013500.v4.1 MEAMARILMKMMGIMLWLMVLIAMAVGEDVKYKDPKQPVAVRIKDLMSRMTLEEKIGQMVQIDRLTASPDIMQTYCIGSVLSGGGSAPLPNASAEDWVNMINGFQHGSLSSRLGIPMIYGIDAVHGHNNVFNATIFPHNIGLGATRDPDLVKRIGSATALEVRATGIPYVFAPCIAVCKDPRWGRCYESYSEDHKVVQAMTEIIPGLQGDIPVNSRKGVPYVGGKKKVAACAKHFVGDGGTTKGINENNTVIDKHGLLSIHMPAYSDSIIKGVSTIMVSYSSWNGEKMHANRELITGFLKNTLKFKGFVISDWQGIDRITSPPRANYSYSVQAAIQAGIDMVMLPFNHTEFIDDLTYLVKTNVIPVDRIDDAVGRILLVKFTLGLFENPLADLSLVNELGSQAHRDLAREAVRKSLVLLKNGKNETDPLLPLPRKVSKILVAGTHADNLGYQCGGWTIQWQGFSGNGYTRGTTILGAIKSTVDPSTEVVFQENPDSKFIKDNNFAYAIIVVGEAPYAETAGDSMDLTMIDPGPSVISNVCETVKCVVVVISGRPIVIEPYLSSIDALVAAWLPGTEGQGVADALFGDYGFTGKLPRTWFKNVDQLPMNVGDPHYDPLFPFDFGLKTKSAPDIVRRSTSAGIIGRPYALFLMVSVIFSLCFIG >Potri.003G107700.9.v4.1 pep chromosome:Pop_tri_v4:3:13048552:13068633:1 gene:Potri.003G107700.v4.1 transcript:Potri.003G107700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107700.v4.1 MDDSRVFGDSNIPTTFRSGVGTISRIRLENFMCHDNLQIELDQWVNFVTGRNGSGKSAILTALCIAFGCRAKGTQRAATLKDFIKTGCSYAVVEVEVRNRGEESFKPDIYGDSIIIERRINQSSSTTVLKDHQGRKVASRREDLRELIEHFNIDVENPCVIMSQDKSREFLHSGNEKDKFKFFFKATLLQQVNDLLLSINEQLKSANALVDELEASIKPIEKELTELQGKIKNMEHLEEMSQQAQQLKKKLAWSWVYSVDKELQEQMVKLGKLKERIPTCQARIDHELMKVEELRKTFIEKKAQTAHMVERAKEVRNKQDELRNTVSLATKKKLELENEHNRRTNQIHSMVKRVKLLEQQARDIHEQQVKNTQAEECEIEEKLKELQDMIDAADFTLSRLKEEESTLLESVSKGMDEIRKITEEIEEYGKKEQEIRAYIRELQLNKTNKVTAFGGDRVIQLLRTIERHHQRFGSPPIGPIGAHVTLANGDRWAPAVENAVGKLLNAFIVTDHRDSLLLRGCAREANYNNLQIIIYDFSRPRLTIPSHMLPQTNHPTTFSVIRSDNDTILNVLVDMGSAERQVLVEDYDAGKAVAFEKQISNLKEVYTIDGYKMFSRGSVQTVLPPNKKLRAGRLCGSFDDQIRNLDQSKSNVQKEADQCRKRKRDSEASLQHLQHGLKIMKEKCRNAERDLVSKKLGLQDAKNSYASATSSQAAASTVDELQQEISSIQEEIQEKKMQLESLQVRINEADSKARDLELTFEDLRESVKEEINAIEKAESELVKIEKDLQFAEAEKARYEGVMTTRVLPDIEMAEAQYRELEENRKESCRKASIICPESEIEALGGCDGSTPEQLSVHLNKLNQRLQNECQQHSDSIDDLRMFYQKKERKILRKRQTYRAFREKLKTCEEALNLRWSKFQRNASDLKRQLTWNFNGHLGEKGISGSIKISYEEKTLKVEVKMPQDASCSSVRDTRGLSGGERSFSTLCFALALHQMTEASFRAMDEFDVFMDAVSRKISLDTLVKFALAQGSQWIFITPHDISGVKHHERIKKQQLAAPRS >Potri.003G107700.8.v4.1 pep chromosome:Pop_tri_v4:3:13048618:13068560:1 gene:Potri.003G107700.v4.1 transcript:Potri.003G107700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107700.v4.1 MDDSRVFGDSNIPTTFRSGVGTISRIRLENFMCHDNLQIELDQWVNFVTGRNGSGKSAILTALCIAFGCRAKGTQRAATLKDFIKTGCSYAVVEVEVRNRGEESFKPDIYGDSIIIERRINQSSSTTVLKDHQGRKVASRREDLRELIEHFNIDVENPCVIMSQDKSREFLHSGNEKDKFKFFFKATLLQQVNDLLLSINEQLKSANALVDELEASIKPIEKELTELQGKIKNMEHLEEMSQQAQQLKKKLAWSWVYSVDKELQEQMVKLGKLKERIPTCQARIDHELMKVEELRKTFIEKKAQTAHMVERAKEVRNKQDELRNTVSLATKKKLELENEHNRRTNQIHSMVKRVKLLEQQARDIHEQQVKNTQAEECEIEEKLKELQDMIDAADFTLSRLKEEESTLLESVSKGMDEIRKITEEIEEYGKKEQEIRAYIRELQLNKTNKVTAFGGDRVIQLLRTIERHHQRFGSPPIGPIGAHVTLANGDRWAPAVENAVGKLLNAFIVTDHRDSLLLRGCAREANYNNLQIIIYDFSRPRLTIPSHMLPQTNHPTTFSVIRSDNDTILNVLVDMGSAERQVLVEDYDAGKAVAFEKQISNLKEVYTIDGYKMFSRGSVQTVLPPNKKLRAGRLCGSFDDQIRNLDQSKSNVQKEADQCRKRKRDSEASLQHLQHGLKIMKEKCRNAERDLVSKKLGLQDAKNSYASATSSQAAASTVDELQQEISSIQEEIQEKKMQLESLQVRINEADSKARDLELTFEDLRESVKEEINAIEKAESELVKIEKDLQFAEAEKARYEGVMTTRVLPDIEMAEAQYRELEENRKESCRKASIICPESEIEALGGCDGSTPEQLSVHLNKLNQRLQNECQQHSDSIDDLRMFYQKKERKILRKRQTYRAFREKLKTCEEALNLRWSKFQRNASDLKRQLTWNFNGHLGEKGISGSIKISYEEKTLKVEVKMPQDASCSSVRDTRGLSGGERSFSTLCFALALHQMTEASFRAMDEFDVFMDAVSRKISLDTLVKFALAQGSQWIFITPHDISGVKHHERIKKQQLAAPRS >Potri.005G138500.1.v4.1 pep chromosome:Pop_tri_v4:5:10893174:10895929:1 gene:Potri.005G138500.v4.1 transcript:Potri.005G138500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138500.v4.1 MKQNLISSQSLGAFPSPGGARYQENKGWSSERIPHPSSGSGRRHISALTPFYSGRALPSKWEDAERWICSPVLGYGVAKSSQCHPLRRAKSKSGPINLPPGIGYYHNCSPSMGVIDGGIGRNFMVNSPFSTGVLMPNGVGAHCSGSGGGGGQGHVERLASALSRSDLASEPSSSSSQDERPEGVDDGNNTVDRIISRRDMATQMSPEGSTHSSCRGRSSSPPSTDPVLEPQSDHPAKLEIREVQVDKRATVIRWSKRPGSRRIKRGQPDVEEFNPNAADAHSSSWDISEEVSDFSKLQREEAKITAWENLQKARAEAAIRKLEMKLEKKRSSSMDKIMNKLRIAQMKAEEMRSSMSIRQDQQVSQKSHKIKLFHKRARLTSLGSCFTCHAF >Potri.009G156200.1.v4.1 pep chromosome:Pop_tri_v4:9:12183558:12188984:-1 gene:Potri.009G156200.v4.1 transcript:Potri.009G156200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156200.v4.1 MDPESKKFGRGPRELTGAVDLISHYKLLPHYEYFCKRSLPLSIADTHYLHNVVGDTEIRKGERMQLDQLIQNTSRDSNACIQPFDLNVLREAFQLKETTPIDLPSAEKGTPTIAGKSKGESKDKDRKHKKQKDRDKEKDKEHKKHKRRHKDKDRSKDKDKEKKKDRSGHHDSGADHSKKHHEKKRKHDGDEDLNDVHKHKKSKHKSSKIDEIGVIKVAG >Potri.002G129400.4.v4.1 pep chromosome:Pop_tri_v4:2:9800542:9805077:-1 gene:Potri.002G129400.v4.1 transcript:Potri.002G129400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129400.v4.1 MGETAHNHHQPPPPQAAAAAPPPPPPSLALSRGPTWTPAEQLQQLHYCIHSNPSWPETCLLAFEHYIVMLGTTVLIARNLVPRMGGDPGDTARVIQTLLFMSGINTLLQTIIGTRLPTVMGPSYAFVLPVLSIMRDYNNETFSNEHDRFVDTMRTIQGSLIVSSFANIILGFSKAWGNLTRFFSPITVAPVVCVVGLGLFMRGFPLLANCVEIGLPMLILLIICQYLKYLHPRANPAIERFGLLVCVGIIWAFAAILTVSGAYNNVGQQTKQSCRTDRSYLMSSASWVKVPYPFQWGAPIFRASHVFGMIGAALVSSAESTGTFFAAARLAGATHPPAHVLSRSIGLQGVSLLLDGIFGAAVGTTASVENVGLLGLTHVGSRRVVQISTAFMFFFSIFGKFGALFASIPLPIFAAIYCVLFGIVAAIGISFIQFSNNNSMRNHYILGMALFLGISIPQYFVSNTTGDGHGPVRTNGGWFNDILNTLFSSPPTVAMIVGTLLDSTLEARQTINDRGIPWWKPFQSRKGDVRTDEFYSLPLRINEWMPTRFL >Potri.002G129400.5.v4.1 pep chromosome:Pop_tri_v4:2:9800657:9805598:-1 gene:Potri.002G129400.v4.1 transcript:Potri.002G129400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129400.v4.1 MGETAHNHHQPPPPQAAAAAPPPPPPSLALSRGPTWTPAEQLQQLHYCIHSNPSWPETCLLAFEHYIVMLGTTVLIARNLVPRMGGDPGDTARVIQTLLFMSGINTLLQTIIGTRLPTVMGPSYAFVLPVLSIMRDYNNETFSNEHDRFVDTMRTIQGSLIVSSFANIILGFSKAWGNLTRFFSPITVAPVVCVVGLGLFMRGFPLLANCVEIGLPMLILLIICQYLKYLHPRANPAIERFGLLVCVGIIWAFAAILTVSGAYNNVGQQTKQSCRTDRSYLMSSASWVKVPYPFQWGAPIFRASHVFGMIGAALVSSAESTGTFFAAARLAGATHPPAHVLSRSIGLQGVSLLLDGIFGAAVGTTASVENVGLLGLTHVGSRRVVQISTAFMFFFSIFGKFGALFASIPLPIFAAIYCVLFGIVAAIGISFIQFSNNNSMRNHYILGMALFLGISIPQYFVSNTTGDGHGPVRTNGGWFNDILNTLFSSPPTVAMIVGTLLDSTLEARQTINDRGIPWWKPFQSRKGDVRTDEFYSLPLRINEWMPTRFL >Potri.002G129400.6.v4.1 pep chromosome:Pop_tri_v4:2:9800561:9805174:-1 gene:Potri.002G129400.v4.1 transcript:Potri.002G129400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129400.v4.1 MGETAHNHHQPPPPQAAAAAPPPPPPSLALSRGPTWTPAEQLQQLHYCIHSNPSWPETCLLAFEHYIVMLGTTVLIARNLVPRMGGDPGDTARVIQTLLFMSGINTLLQTIIGTRLPTVMGPSYAFVLPVLSIMRDYNNETFSNEHDRFVDTMRTIQGSLIVSSFANIILGFSKAWGNLTRFFSPITVAPVVCVVGLGLFMRGFPLLANCVEIGLPMLILLIICQYLKYLHPRANPAIERFGLLVCVGIIWAFAAILTVSGAYNNVGQQTKQSCRTDRSYLMSSASWVKVPYPFQWGAPIFRASHVFGMIGAALVSSAESTGTFFAAARLAGATHPPAHVLSRSIGLQGVSLLLDGIFGAAVGTTASVENVGLLGLTHVGSRRVVQISTAFMFFFSIFGKFGALFASIPLPIFAAIYCVLFGIVAAIGISFIQFSNNNSMRNHYILGMALFLGISIPQYFVSNTTGDGHGPVRTNGGWFNDILNTLFSSPPTVAMIVGTLLDSTLEARQTINDRGIPWWKPFQSRKGDVRTDEFYSLPLRINEWMPTRFL >Potri.015G009700.2.v4.1 pep chromosome:Pop_tri_v4:15:640142:645385:1 gene:Potri.015G009700.v4.1 transcript:Potri.015G009700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009700.v4.1 MGSLSEEEYRFFDAYEDVASISDAKSDSIEIFDSHSSFDNSITRSPPYELWIKSPGSVQERRSKFFDWMGVGVDQNGYRNLEEFSLEGESDRIRESSGAVLRKSCFEDEFCSTRSMMSCWSNGESNLLAELGSVENFVCRDGVSGSGMMCNVDELGQHGKANEGCEVGSEQSVTAEESEKTSESYPSFQQLVQKEVGEPNTLVDTPRRLKKGWLSRIRSITCIVDRPQEADKLRHDDDDALLRHRVQRIKVRRCGKRTKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLEGERSNELDIPEIDPSCMYFTVNQLPELKPLFFDKEKTAKMRSMRKTSDSACVIFPPKVFRILEKPLHEFHGHSGEVLDLSWSKNNHLLSASEDTTVRLWQVGCDCCLRVFPHSNYVTCVQFNPVDDNHFMSGSIDGKVRIWAVNSRQVVDWTDIKDMVTAVCYRPDGQGGIVGSMTGNCRFYNMSDSHLQLDAQICLLGKKKSPCKRITGFQFSQQDSSKVMVTCADSQVRILQGLDVIGKYKGNAANQISASFTLDGKHIVSTCEDSSVHLWNYVDQEQPSTPRSKNSRSYEHFTANASVAIPWCGMKYGGSENGWGFRVSDNSSQEVLPLSSPASFSLSQEYFVESFPKGSATWPEEKLPSSPLSASSTMHKSQYKFLKNSCQSTAASHAWGLVIVTAGWDGRIKSFHNYGLPVAD >Potri.015G009700.3.v4.1 pep chromosome:Pop_tri_v4:15:640668:645385:1 gene:Potri.015G009700.v4.1 transcript:Potri.015G009700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009700.v4.1 MGSLSEEEYRFFDAYEDVASISDAKSDSIEIFDSHSSFDNSITRSPPYELWIKSPGSVQERRSKFFDWMGVGVDQNGYRNLEEFSLEGESDRIRESSGAVLRKSCFEDEFCSTRSMMSCWSNGESNLLAELGSVENFVCRDGVSGSGMMCNVDELGQHGKANEGCEVGSEQSVTAEESEKTSESYPSFQQLVQKEVGEPNTLVDTPRRLKKGWLSRIRSITCIVDRPQEADKLRHDDDDALLRHRVQRIKVRRCGKRTKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLEGERSNELDIPEIDPSCMYFTVNQLPELKPLFFDKEKTAKMRSMRKTSDSACVIFPPKVFRILEKPLHEFHGHSGEVLDLSWSKNNHLLSASEDTTVRLWQVGCDCCLRVFPHSNYVTCVQFNPVDDNHFMSGSIDGKVRIWAVNSRQVVDWTDIKDMVTAVCYRPDGQGGIVGSMTGNCRFYNMSDSHLQLDAQICLLGKKKSPCKRITGFQFSQQDSSKVMVTCADSQVRILQGLDVIGKYKGNAANQISASFTLDGKHIVSTCEDSSVHLWNYVDQEQPSTPRSKNSRSYEHFTANASVAIPWCGMKYGGSENGWGFRVSDNSSQEVLPLSSPASFSLSQEYFVESFPKGSATWPEEKLPSSPLSASSTMHKSQYKFLKNSCQSTAASHAWGLVIVTAGWDGRIKSFHNYGLPVAD >Potri.015G009700.1.v4.1 pep chromosome:Pop_tri_v4:15:640073:645317:1 gene:Potri.015G009700.v4.1 transcript:Potri.015G009700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009700.v4.1 MGSLSEEEYRFFDAYEDVASISDAKSDSIEIFDSHSSFDNSITRSPPYELWIKSPGSVQERRSKFFDWMGVGVDQNGYRNLEEFSLEGESDRIRESSGAVLRKSCFEDEFCSTRSMMSCWSNGESNLLAELGSVENFVCRDGVSGSGMMCNVDELGQHGKANEGCEVGSEQSVTAEESEKTSESYPSFQQLVQKEVGEPNTLVDTPRRLKKGWLSRIRSITCIVDRPQEADKLRHDDDDALLRHRVQRIKVRRCGKRTKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLEGERSNELDIPEIDPSCMYFTVNQLPELKPLFFDKEKTAKMRSMRKTSDSACVIFPPKVFRILEKPLHEFHGHSGEVLDLSWSKNNHLLSASEDTTVRLWQVGCDCCLRVFPHSNYVTCVQFNPVDDNHFMSGSIDGKVRIWAVNSRQVVDWTDIKDMVTAVCYRPDGQGGIVGSMTGNCRFYNMSDSHLQLDAQICLLGKKKSPCKRITGFQFSQQDSSKVMVTCADSQVRILQGLDVIGKYKGNAANQISASFTLDGKHIVSTCEDSSVHLWNYVDQEQPSTPRSKNSRSYEHFTANASVAIPWCGMKYGGSENGWGFRVSDNSSQEVLPLSSPASFSLSQEYFVESFPKGSATWPEEKLPSSPLSASSTMHKSQYKFLKNSCQSTAASHAWGLVIVTAGWDGRIKSFHNYGLPVAD >Potri.014G133500.8.v4.1 pep chromosome:Pop_tri_v4:14:8949513:8954563:1 gene:Potri.014G133500.v4.1 transcript:Potri.014G133500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133500.v4.1 MGVVTVGELKPSISGKRAFRPSSSIRHATEWPISDVSSDLTVEVGAANFALHKFPLVSRSGRIRKLLLEAKDSKISRINIPAVPGGPEAFELAAKFCYGVNVEITQSNVAMLCCAAHFLEMTEDFAEKNLEARAEAYLKEMVLPNISSSISVIHRCETLLPISEEINLVSRLINAIASNACKEQLTSGLLKLDHNFPAKSMPHMEPETPSDWWGKSLAVLSLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVGRDPQLVKGSLLDLELQKKQRVIVEAIVSLLPTQSRKCPVPMAFLSSLLKTAIASSATTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHCTMYDTDSILRIFSIFLNLDDEDDDEDNNLRDESEMVYDFDSPGSPKQSSILKVSKLLDNFLAEVALDSNLLPSKFIALAELLPDHARIVGDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQLFFGALNGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTKKLSKLNTLFRINGLKPLGGKANSETRFLFQKRRRHSVS >Potri.014G133500.9.v4.1 pep chromosome:Pop_tri_v4:14:8951747:8954531:1 gene:Potri.014G133500.v4.1 transcript:Potri.014G133500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133500.v4.1 MGVQPYGRSSYMVSKLLAPTNVPISDVSSDLTVEVGAANFALHKFPLVSRSGRIRKLLLEAKDSKISRINIPAVPGGPEAFELAAKFCYGVNVEITQSNVAMLCCAAHFLEMTEDFAEKNLEARAEAYLKEMVLPNISSSISVIHRCETLLPISEEINLVSRLINAIASNACKEQLTSGLLKLDHNFPAKSMPHMEPETPSDWWGKSLAVLSLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVGRDPQLVKGSLLDLELQKKQRVIVEAIVSLLPTQSRKCPVPMAFLSSLLKTAIASSATTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHCTMYDTDSILRIFSIFLNLDDEDDDEDNNLRDESEMVYDFDSPGSPKQSSILKVSKLLDNFLAEVALDSNLLPSKFIALAELLPDHARIVGDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQLFFGALNGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTKKLSKLNTLFRINGLKPLGGKANSETRFLFQKRRRHSVS >Potri.014G133500.10.v4.1 pep chromosome:Pop_tri_v4:14:8950223:8954648:1 gene:Potri.014G133500.v4.1 transcript:Potri.014G133500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133500.v4.1 MLCCAAHFLEMTEDFAEKNLEARAEAYLKEMVLPNISSSISVIHRCETLLPISEEINLVSRLINAIASNACKEQLTSGLLKLDHNFPAKSMPHMEPETPSDWWGKSLAVLSLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVGRDPQLVKGSLLDLELQKKQRVIVEAIVSLLPTQSRKCPVPMAFLSSLLKTAIASSATTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHCTMYDTDSILRIFSIFLNLDDEDDDEDNNLRDESEMVYDFDSPGSPKQSSILKVSKLLDNFLAEVALDSNLLPSKFIALAELLPDHARIVGDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQLFFGALNGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTKKLSKLNTLFRINGLKPLGGKANSETRFLFQKRRRHSVS >Potri.014G133500.7.v4.1 pep chromosome:Pop_tri_v4:14:8950110:8954377:1 gene:Potri.014G133500.v4.1 transcript:Potri.014G133500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133500.v4.1 MGVVTVGELKPSISGKRAFRPSSSIRHATECPASIILPSCRPISDVSSDLTVEVGAANFALHKFPLVSRSGRIRKLLLEAKDSKISRINIPAVPGGPEAFELAAKFCYGVNVEITQSNVAMLCCAAHFLEMTEDFAEKNLEARAEAYLKEMVLPNISSSISVIHRCETLLPISEEINLVSRLINAIASNACKEQLTSGLLKLDHNFPAKSMPHMEPETPSDWWGKSLAVLSLDFFQRVLSAVKSKGLKQDMISKILINYAHNSLQGLVGRDPQLVKGSLLDLELQKKQRVIVEAIVSLLPTQSRKCPVPMAFLSSLLKTAIASSATTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHCTMYDTDSILRIFSIFLNLDDEDDDEDNNLRDESEMVYDFDSPGSPKQSSILKVSKLLDNFLAEVALDSNLLPSKFIALAELLPDHARIVGDGLYRAVDIFLKVHPNIKDSERYRLCKTIDCQKLSQEACSHAAQNERLPVQMAVQVLYFEQIRLRNAMNGGHNQLFFGALNGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRLTDLEKDHVSMKQELVRTHPANKLFKSFTKKLSKLNTLFRINGLKPLGGKANSETRFLFQKRRRHSVS >Potri.004G035500.3.v4.1 pep chromosome:Pop_tri_v4:4:2783466:2785304:-1 gene:Potri.004G035500.v4.1 transcript:Potri.004G035500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035500.v4.1 MEVKLWNDKREREMYENFAELYAIIKATEKLEKAYVRDIISSSEYEMECQKLIAHFKTLASSLKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAVSSTTSASIVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLSILPPDFEGKTKMKEWILRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >Potri.001G228500.3.v4.1 pep chromosome:Pop_tri_v4:1:24773549:24785927:1 gene:Potri.001G228500.v4.1 transcript:Potri.001G228500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228500.v4.1 MNTQKRKVHEQNCSLETFTSKQMASDGNMGFCFQFNLPVLHEGSIPKFSPGTSSTPSAPIISSHFASSASAPYAPEGYKGLSCDTSSLSTCSPVGKTQCSVVNPQNSILDLKSSVNLINLHNNQDPRSTENTYQDLYRNLSGSSLFPPILQKSANKLAALNRRIMHVDNRFKDHQNHEVAYQPFTSQVTKPTSHFQPQKQPAYSPYDMTSGANNSVSLGATIKRKIRVRWTQDLHKRFVESVNRLGGAEKATPKGILREMDVHGLTILHVKSHLQKYRTVRYLPESKEDAGRLEKTPKIVVTKFDQKIGSHIAKALQLQLDVQRRMHEQLEIQRNLRSQIEEQGRQLKQMLDQQLKTRNTDLGSIRNNSNPIDPSDDFEFKNVLTIKRLEDAH >Potri.001G228500.2.v4.1 pep chromosome:Pop_tri_v4:1:24773549:24785927:1 gene:Potri.001G228500.v4.1 transcript:Potri.001G228500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228500.v4.1 MNTQKRKVHEQNCSLETFTSKQMASDGNMGFCFQFNLPVLHEGSIPKFSPGTSSTPSAPIISSHFASSASAPYAPEGYKGLSCDTSSLSTCSPVGKTQCSVVNPQNSILDLKSSVNLINLHNNQDPRSTENTYQDLYRNLSGSSLFPPILQKSANKLAALNRRIMHVDNRFKDHQNHEVAYQPFTSQVTKPTSHFQPQKQPAYSPYDMTSGANNSVSLGATIKRKIRVRWTQDLHKRFVESVNRLGGAEKATPKGILREMDVHGLTILHVKSHLQKYRTVRYLPESKEVCDSDAGRLEKTPKIVVTKFDQKIGSHIAKALQLQLDVQRRMHEQLEIQRNLRSQIEEQGRQLKQMLDQQLKTRNTDLGSIRNNSNPIDPSDDFEFKNVLTIKRLEDAH >Potri.001G228500.4.v4.1 pep chromosome:Pop_tri_v4:1:24773549:24785927:1 gene:Potri.001G228500.v4.1 transcript:Potri.001G228500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228500.v4.1 MNTQKRKVHEQNCSLETFTSKQMASDGNMGFCFQFNLPVLHEGSIPKFSPGTSSTPSAPIISSHFASSASAPYAPEGYKGLSCDTSSLSTCSPVGKTQCSVVNPQNSILDLKSSVNLINLHNNQDPRSTENTYQDLYRNLSGSSLFPPILQKSANKLAALNRRIMHVDNRFKDHQNHEVAYQPFTSQVTKPTSHFQPQKQPAYSPYDMTSGANNSVSLGATIKRKIRVRWTQDLHKRFVESVNRLGGAEKATPKGILREMDVHGLTILHVKSHLQKYRTVRYLPESKEEALTLLKHCNCNSMCRGACMNSWRYKEI >Potri.001G228500.5.v4.1 pep chromosome:Pop_tri_v4:1:24773549:24775119:1 gene:Potri.001G228500.v4.1 transcript:Potri.001G228500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228500.v4.1 MNTQKRKVHEQNCSLETFTSKQMASDGNMGFCFQFNLPVLHEGSIPKFSPGTSSTPSAPIISSHFASSASAPYAPEGYKGLSCDTSSLSTCSPVGKTQCSVVNPQNSILDLKSSVNLINLHNNQDPRSTENTYQDLYRNLSGSSLFPPILQKSANKLAALNRRIMHVDNRFKDHQNHEVAYQPFTSQVTKPTSHFQPQKQPAYSPYDMTSGANNSVSLGATIKRKIRVRWTQDLHKRFVESVNRLGGAEKATPKGILREMDVHGLTILHVKSHLQKYRTVRYLPESKEGIFT >Potri.001G198900.1.v4.1 pep chromosome:Pop_tri_v4:1:19625659:19627968:1 gene:Potri.001G198900.v4.1 transcript:Potri.001G198900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198900.v4.1 MDEEEDMPLFWLQATNTRHRSRGLRRQTSSIFLNSGVFLVILLVVALAFVLVVVSSIGSLTSQILRPQSIKKSWDSLNLVLVLFAIVCGFLSSNNSSGSSGSSGSGSGSGGDNENTSYYEDQSLSNVQKPSHPSSTPSHRWFEHQDRTVSYNTLNRLRSFSSYPDLRQESLERWRFYDDTHLNNYRFSTSSDQIHHHYPQQVEETKKQEEGVGVKDIDVDTFVINQKEVSYPSSPPPFPPPHPSSSPPLPPSPPPKLVRRKVKRTYQDLGYEKRTDHEEKVLENFYNIPPPSPPPPPPPPPPPPPPIFSKNEKRRGKDFLISLRRKKKKQRQKSVENLDSFFNPQPTPTSTLPLIPPPPPPPPPPHFLQNLFSKKGKTKKLHPVPPPPPPPPVTRVSKVVSQKVTSRTKVQVAPLTSDKPPEPAKTRRFHSVEENVERGNASRLIPLPPPPPPPPFKMPAWKFVHDGDYVRVGSFNSSRSGSPDLDSIEDASSEKDQSSPVAAASGSDSAATALFCPSPDVNTKADNFIARFRAGLTLEKVNSANRRSNLGPEASTSTGTSTS >Potri.001G230900.1.v4.1 pep chromosome:Pop_tri_v4:1:25031656:25032334:-1 gene:Potri.001G230900.v4.1 transcript:Potri.001G230900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230900.v4.1 MMMESSTGDMLLKVGVFVAVQALVYVILSKSSNIFSKTHRERRSSSFKIARSVSIQRILAALQDLPAGGELSPASSKTTPMQSPTVERSKDRRSCFAD >Potri.002G234850.1.v4.1 pep chromosome:Pop_tri_v4:2:22802795:22803231:-1 gene:Potri.002G234850.v4.1 transcript:Potri.002G234850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234850.v4.1 MCNKYLVFCKHLTITISISTATTVTISDVSITTTDVMITIPRSPLISTCLMLSLHNNELNFFFLTQLSHSKYYFQRYIIGSTIFNHFLNEFIYLFYLYNLIQKKKQ >Potri.019G012702.1.v4.1 pep chromosome:Pop_tri_v4:19:1747685:1748462:1 gene:Potri.019G012702.v4.1 transcript:Potri.019G012702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012702.v4.1 MGSQRKNQCLTMIIFVAAVFGPCFQQILAGRPLEGEQWLKQNLGNIQSLQKGGSQCTHIPGHGSGHCPLGEMNFAGNIVAHAPPAFPDAIVDFAAVSVTNNETQKQDSSS >Potri.001G002350.1.v4.1 pep chromosome:Pop_tri_v4:1:151546:151803:1 gene:Potri.001G002350.v4.1 transcript:Potri.001G002350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002350.v4.1 MSTRHTQTSSHASGGQAKGIDEVEWETTRCYIKGDWLHAILTCSRKLKSVLQDTRQDWARVVSW >Potri.013G107050.1.v4.1 pep chromosome:Pop_tri_v4:13:11600676:11603538:-1 gene:Potri.013G107050.v4.1 transcript:Potri.013G107050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107050.v4.1 MPSSDTQTPLLHEAVDGCVDYNGRPVYRSISGGWRSAFFIIGVEVAERSAYYGISSNLITYLTGALGQSTATAAENVNVWSGTTMLLPLLGAFIADSFLGRYRTIVVASCIYILGLSLLTLSAVLPSSRDSGCQTADAISLCSPDPRQVILFFFSLYIVAIGQGGHKPCVQAFGADQFDGQHPEESKAKSSFFNWWYFSMNSGMVVALLILNYIQDNLNWGLGFGIPCIIMVGALIVFLLGTKTYRYGIKTAERSAFLRIGQVFVEAVRNWRTNSSAIDCREEEALGIVPHQCSEQFKFLNKALLTPNGSKEDGKVCSIGEVEEAKAVLRLVPIWTTCLIYGIVFAQSSTFFTKQGATMDRSISPGLDVPAASLQSLISLSIVFLIPFYDRVLVPTARAITRKPSGITMLQRIGTGIFLSALSMVLSAVVEMKRLKTAREYGLVDLPNTTIPMSVCWLVPQYIVYGAADVFAMVGLQEFFYDQVPSELRSVGLSLYLSIFGVGSFLSSFLISGIEKATGGDGHGSWFADNLNRAHLDYFYWLLAGLSVVQLVAFLYFSKSYIYNREGTR >Potri.016G075200.2.v4.1 pep chromosome:Pop_tri_v4:16:5650962:5654825:-1 gene:Potri.016G075200.v4.1 transcript:Potri.016G075200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075200.v4.1 MSQTGKLMPHLDQQSTKMLNLTVLQRMDTFIEEILITAAHVTFYAFNIETNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTENLVENLLGDFEYEVQAPYLLYRNASQEVNGIWFYNPRECEDVANLFTRILNAYSKVPAKPKVASGKSEFEDLEAVTNMSVFEGPLEPSSTASAANDSSEDSSFENFFSTAMNIGSTASTVTNSRQQYQPSPTILLSSHTPNVVMPSAPVPQIPSLPLSSLTTSKSIHGPPDPISSGSHVANLVKPSSFFAPPSSSSALMAPPLSSPLPSAPSLQPPLNLQRPYGTPMLQPFPPPAPPPSLTPSSTTTPPLIGRDEVRDALLMLAQDDKFIDMFHQALLKVHHHP >Potri.006G173200.2.v4.1 pep chromosome:Pop_tri_v4:6:17837651:17839060:1 gene:Potri.006G173200.v4.1 transcript:Potri.006G173200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G173200.v4.1 MHPAFVDVKEIRRNNYFVGHQKNSFVKDFLKKELLFNDTMLKEYSTPEEYHDALSRGSHNGGVAAIFDEIPYVRRFLDKYRCSKFQMVGPTYQTDGFGFAFPLNSPLVSHISRAILNVTEDHDKMEAIKRKSFGREITCEDRGTETSSGGLRLSSFAGLFLISGVASISSLLIYIIRFLCSNYPASNTMHEEQSMWLRILEVAKRFDQKDPSVHHLRRTESRVHAVTGPESIGASPETGNVHEMTSNEGAEDVGENQNHDNLTSGNSGTNFIASNADTVAPNTPEINRASPDTAYVHEMTSDEGAEVVVGDQNRGNPTSVNSGTNTNTM >Potri.005G064800.3.v4.1 pep chromosome:Pop_tri_v4:5:4170611:4174787:-1 gene:Potri.005G064800.v4.1 transcript:Potri.005G064800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064800.v4.1 MEGFQEFEPIFNEPRIGWAKNSNPGSGLMDQFLMHIFAPDDNHLKIQVTDYHSNTFEAVKSVMQLDDMRDCIGIGGSWAEFVEYLVASFKAEDVKLVLEKLSDSDGVAYAKLVAQKSKGMPLISISLTKLLDNAARDAMANMSFGLFKAFKRTKNLVLQEKEHSLQLTKVISAEKERSENIQSQLGKRQKLEKMNSSDRLDVSGPPASNGAQNSPDKLAGRDPASTKVTNRVVPAFRRAKVRGALLQDIEDDKDN >Potri.014G155300.1.v4.1 pep chromosome:Pop_tri_v4:14:10940990:10945756:1 gene:Potri.014G155300.v4.1 transcript:Potri.014G155300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155300.v4.1 MANAISPPNLFQKVVLKYPIHRAFDITIFFLLVSLLVYRLLYLSNHGFAWVLALLCESCFTFIWVVTVSCKWNPVEYKTYPERLSQKAQDLPPVDMFVTSADPVLEPSILTVNTVISLLAVDYPADKLACYVSDDGCSPITYYSLVEASKFAKIWVPFCKKYNIQTRAPFRYFSSELILTGSCNSLEFQQEYNKMKDEYEELASKIKDAVEKSMEWDQIGDFAIFSNIERKNHPTIIKVIRENEAGLSDALPHLIYISREKRPKHPNRYKAGAMNVLTRVSGLITNAPFMLNVDCDMFVNNPQIFLHAMCLLLGSKNERESGFVQCPQYFYDGLKDDPFGNQFVVGHKFMGNGVAGIQGPFYGGTGCFHRRKVIYGSCPDDIGNQAKRLTPVHGGLSYKEQLRIFGDSKEFIRSAAHALQGKENISPKNLPNLVEAAHQVAGCGYEYGTSWGTEVGWQYGSATEDVLTGLMIHARGWRSLLCTPDPRAFLGCAPRGGPISMTQQKRWATGFLEILISRRSPIIATVTAKLQFRQCLAYLSLLTWGLRSIPELCSAVLPAYCTITDSSFLPEVHEPAIYIYMALFLSYVIYTLIEYLETGLSIRAWWNNQRMARINAMNAWLFGFISVILKVLRISDTVFEVTQKDQSSSNDGDEGRFTFDASLLFVPGTTVLLLQLTALIMGFRGMQLSVNDGSGLGERLCSIMVVICFWPFLKGLFAKGKYGIPLSTIFKSAFLALCFVLLAKRA >Potri.004G053300.2.v4.1 pep chromosome:Pop_tri_v4:4:4335700:4337861:1 gene:Potri.004G053300.v4.1 transcript:Potri.004G053300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053300.v4.1 MASENRGEKSQKLISLSRRLSLYEPPPHLNNPARRQHGIPKSANPKRAAVLICIFEGNDGELRVILTQRSSQLSSHSGEVALPGGKREEGDADDIATALREAKEEIGLDPSLVDVVTVIEPYMTRNENRREVEDEWMGDKFLCHFFDYQSGEKGFIIWAFTAAILIRVATIVYQRPPAFLERRPTLWNGIPDKDLAKL >Potri.004G053300.1.v4.1 pep chromosome:Pop_tri_v4:4:4335700:4337861:1 gene:Potri.004G053300.v4.1 transcript:Potri.004G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053300.v4.1 MASENRGEKSQKLISLSRRLSLYEPPPHLNNPARRQHGIPKSANPKRAAVLICIFEGNDGELRVILTQRSSQLSSHSGEVALPGGKREEGDADDIATALREAKEEIGLDPSLVDVVTVIEPYMTRFHVTVIPVIGILFDKKAFNPTPDASEVESVFDVPLEMFLKNENRREVEDEWMGDKFLCHFFDYQSGEKGFIIWAFTAAILIRVATIVYQRPPAFLERRPTLWNGIPDKDLAKL >Potri.006G118000.2.v4.1 pep chromosome:Pop_tri_v4:6:9265230:9269784:-1 gene:Potri.006G118000.v4.1 transcript:Potri.006G118000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118000.v4.1 MKLMVLMVPLILVSGFVVIFGLRSTTTSSWDFIARQPAWLWWGHGNSSLNGASSVTVHAKESDESPPLINGFSPPPQAVEALPFEEPNVLKQDVSETSGPIAAPANEALDLARKTRHKTEHTSLEKLEAGLQKARVALKEAKNGKQADDPDYAPAGPMYWNAEVFHRSYLEMEKQFKVFVYGEGEPPVFHNGPCRSIYSMEGNFIHRMEIDGHFRTKDPDKAHVYFLPFSVAMMVRFVYERESRDFGPIRRTVSDYINLISGKYPFWNRSLGADHFMLACHDWGPEASFSVPHLGKISIRALCNANTSEKFNPIKDVSLPEINLRTGSIKGFVGGLSPSKRSILAFFAGRLHGPIRPVVLEHWENKDDDIKVHQQLPKGVSYYEMMRGSKFCLCPSGYEVASPRIVEALYAGCVPVLISDHYVPPFSDVLNWKSFSVEVPVSDIPSLKKILTSISPRQYIRMQRRVLQVRRHFEVNSPPKRFDVFHMILHSIWLRRLNVGIHDDQLAITS >Potri.017G046400.1.v4.1 pep chromosome:Pop_tri_v4:17:3299810:3300397:1 gene:Potri.017G046400.v4.1 transcript:Potri.017G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G046400.v4.1 MASFNSFILALFIALSISGGEATRQLLQLPPLPAVPNLPKPTLPPMPSIPTLPQPTLPTAQPSLPKPTLPPLPSLPTMPSLPKVTLPPLPSMPSMPTIPIPTTIPSIPFLSPPPGN >Potri.002G098000.2.v4.1 pep chromosome:Pop_tri_v4:2:7134095:7141046:-1 gene:Potri.002G098000.v4.1 transcript:Potri.002G098000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098000.v4.1 MSRPLLRGATGGRVSGNSNDLWDSQMKDKTEKEDLDRNRSSDQSYLALRFPFRVLFPENNSPSKYGSGENGFASDPFIVGSPRSRHKWALLLLKLSLAVIVILALTGSFWWTISITTMSRGQILHNYRRLQEQLVSDLWDIGELSLGSSRLQELEFCSEESENYAPCFNVSENLALGYSDGSENTRLCGQSSRQSCLVLPPVNYRIPLRWPTGRDIIWVANVKITAQEVLSSGSLTKRMMMLDEEQISFRSVSPMFDGVEDYSHQIAEMIGLRNESNFVQAGVRTILDIGCGYGSFGAHLFSKQLITICIANYEPSGSQVQLTLERGLPAMIGSFNSNQLPYPSLSFDMLHCARCGIDWDLKDGYFLIEADRVLKPGGYFVWTSPLTNARNKENQKRWNFVRDFAENLCWEMLSQQDETVVWKKTSKRSCYSSRKPGAGPSTCSKGHDVESPYYRPLQGCIAGTQSRRWIPIQEKTTWPSRSHLNKTELAIYGLHPEDFSEDAEIWKTTVTNYWSVLSPIIFSDHPKRPGEEDPSPPYNMVRNVLDMNAHLGGFNSALLEAGKSVWVMNAVPTSGPNYLPLILDRGFVGVLHDWCEPFPTYPRSYDLVHAKGLLTLQTHQQRRCTMLDLFTEIDRLLRPEGWVIIRDTAPLVESARMLITRLKWDARVIEIESNSDDRLLICQKPFFKRQGVSS >Potri.002G098000.9.v4.1 pep chromosome:Pop_tri_v4:2:7134159:7141118:-1 gene:Potri.002G098000.v4.1 transcript:Potri.002G098000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098000.v4.1 MSRPLLRGATGGRVSGNSNDLWDSQMKDKTEKEDLDRNRSSDQSYLALRFPFRVLFPENNSPSKYGSGENGFASDPFIVGSPRSRHKWALLLLKLSLAVIVILALTGSFWWTISITTMSRGQILHNYRRLQEQLVSDLWDIGELSLGSSRLQELEFCSEESENYAPCFNVSENLALGYSDGSENTRLCGQSSRQSCLVLPPVNYRIPLRWPTGRDIIWVANVKITAQEVLSSGSLTKRMMMLDEEQISFRSVSPMFDGVEDYSHQIAEMIGLRNESNFVQAGVRTILDIGCGYGSFGAHLFSKQLITICIANYEPSGSQVQLTLERGLPAMIGSFNSNQLPYPSLSFDMLHCARCGIDWDLKDGYFLIEADRVLKPGGYFVWTSPLTNARNKENQKRWNFVRDFAENLCWEMLSQQDETVVWKKTSKRSCYSSRKPGAGPSTCSKGHDVESPYYRPLQGCIAGTQSRRWIPIQEKTTWPSRSHLNKTELAIYGLHPEDFSEDAEIWKTTVTNYWSVLSPIIFSDHPKRPGEEDPSPPYNMVRNVLDMNAHLGGFNSALLEAGKSVWVMNAVPTSGPNYLPLILDRGFVGVLHDWCEPFPTYPRSYDLVHAKGLLTLQTHQQRRCTMLDLFTEIDRLLRPEGWVIIRDTAPLVESARMLITRLKWDARVIEIESNSDDRLLICQKPFFKRQGVSS >Potri.002G098000.1.v4.1 pep chromosome:Pop_tri_v4:2:7134159:7140834:-1 gene:Potri.002G098000.v4.1 transcript:Potri.002G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098000.v4.1 MSRPLLRGATGGRVSGNSNDLWDSQMKDKTEKEDLDRNRSSDQSYLALRFPFRVLFPENNSPSKYGSGENGFASDPFIVGSPRSRHKWALLLLKLSLAVIVILALTGSFWWTISITTMSRGQILHNYRRLQEQLVSDLWDIGELSLGSSRLQELEFCSEESENYAPCFNVSENLALGYSDGSENTRLCGQSSRQSCLVLPPVNYRIPLRWPTGRDIIWVANVKITAQEVLSSGSLTKRMMMLDEEQISFRSVSPMFDGVEDYSHQIAEMIGLRNESNFVQAGVRTILDIGCGYGSFGAHLFSKQLITICIANYEPSGSQVQLTLERGLPAMIGSFNSNQLPYPSLSFDMLHCARCGIDWDLKDGYFLIEADRVLKPGGYFVWTSPLTNARNKENQKRWNFVRDFAENLCWEMLSQQDETVVWKKTSKRSCYSSRKPGAGPSTCSKGHDVESPYYRPLQGCIAGTQSRRWIPIQEKTTWPSRSHLNKTELAIYGLHPEDFSEDAEIWKTTVTNYWSVLSPIIFSDHPKRPGEEDPSPPYNMVRNVLDMNAHLGGFNSALLEAGKSVWVMNAVPTSGPNYLPLILDRGFVGVLHDWCEPFPTYPRSYDLVHAKGLLTLQTHQQRRCTMLDLFTEIDRLLRPEGWVIIRDTAPLVESARMLITRLKWDARVIEIESNSDDRLLICQKPFFKRQGVSS >Potri.006G040600.1.v4.1 pep chromosome:Pop_tri_v4:6:2719785:2722316:1 gene:Potri.006G040600.v4.1 transcript:Potri.006G040600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040600.v4.1 MVRPYGKGHKKRKKGERYDKEEEEVEEEQVEEEKADSETEMRAQDGEEKTEEEKEMQLPGVEEGIPIVPSHQTGKKPGVIFVLEKASLEVAKVGKSYQILNSEEHANFLRRNKKNPADYRPDIIYQALLSILDSPLNKAGRLRAVYVKTDKGVLFEVKPHVRIPRTYKRFAGIMLQLLQKLSITAVGNREKLLRVIKNPVTQYLPLNSRKIGFSHSSEKLVQMEKYVAGVGDDTDLVFVVGAMSHGKIECDYVDDFISVSEYPLSAAWCIARVCEAVSKKWRVL >Potri.004G104600.1.v4.1 pep chromosome:Pop_tri_v4:4:9187071:9187845:-1 gene:Potri.004G104600.v4.1 transcript:Potri.004G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104600.v4.1 MKALVIAILIATIAFSPLSMAARELVDYGKVSVTVNDGSNGRIWMPPPSPVTNCRPNQRCYPPPTND >Potri.010G194300.1.v4.1 pep chromosome:Pop_tri_v4:10:18881629:18885946:-1 gene:Potri.010G194300.v4.1 transcript:Potri.010G194300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194300.v4.1 MAKIRDRTEDFKDAVRHTAVSLGYNEAKLAAIMASFIIHKPRQRSPFTKAALKTLESIGALEQFMLKHRKDYVDLHRTTEQERDSIEQEVTAFIKACKEQIDILKDSINNEEANTKGWLGIKADTSNADTIAHKHGVVLILSEKLHSVTARFDQLRAIRFQDAINKRIPRRKVNRAANKNTSSVDSSKTNNLDFMEPDEIQPEPLRVQQQLLDDETRALQVELTSLLDAVQETETKMVEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAVQRNSSSRTFLLLFLFVLTFSILFLDWYS >Potri.013G158600.3.v4.1 pep chromosome:Pop_tri_v4:13:15324180:15326908:-1 gene:Potri.013G158600.v4.1 transcript:Potri.013G158600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158600.v4.1 MGILKELKDLQKDPPTSCSAGPVDEDMFHWQATIMGPADSPYAGGIFLVSIHFPPDYPFKPPKDNLCLNPSSWALCGDFIRQNFMLFVLAIFIMLILSA >Potri.013G158600.4.v4.1 pep chromosome:Pop_tri_v4:13:15325296:15326850:-1 gene:Potri.013G158600.v4.1 transcript:Potri.013G158600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158600.v4.1 MGILKELKDLQKDPPTSCSAGPVDEDMFHWQATIMGPADSPYAGGIFLVSIHFPPDYPFKPPKVALRT >Potri.007G024100.2.v4.1 pep chromosome:Pop_tri_v4:7:1845119:1846333:-1 gene:Potri.007G024100.v4.1 transcript:Potri.007G024100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024100.v4.1 MPKSLNYNPPDNRDSSSKVPNSAPARRDHHHAAAVLPHALGHQSLYQQQQQQQAQKPTTDLDLTPDPVQATTPIATTGAINTAQTPSRSLSRSPPPTPASSASTRYRECLKNHAASMGGHVLDGCGEFMPGGEEGTLESFKCAACECHRNFHRREIDGEPQCVANSTLYKISNGQRNILPPQHLVTSCAPRQPFPHQHHRYHQGTLSAYTTPIAPMIMSFGRGDGGGAAAESSSEDLNMYQSNLQGQASVQPSMSRKRFRTKFSQDQKDKMTEFAEKLGWRIQKQDEQEVQQFCSQVGVKRKVFKVWMHNNKQAMKKKQV >Potri.007G024100.1.v4.1 pep chromosome:Pop_tri_v4:7:1845181:1846623:-1 gene:Potri.007G024100.v4.1 transcript:Potri.007G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024100.v4.1 MELRGQDKGIVMPKSLNYNPPDNRDSSSKVPNSAPARRDHHHAAAVLPHALGHQSLYQQQQQQQAQKPTTDLDLTPDPVQATTPIATTGAINTAQTPSRSLSRSPPPTPASSASTRYRECLKNHAASMGGHVLDGCGEFMPGGEEGTLESFKCAACECHRNFHRREIDGEPQCVANSTLYKISNGQRNILPPQHLVTSCAPRQPFPHQHHRYHQGTLSAYTTPIAPMIMSFGRGDGGGAAAESSSEDLNMYQSNLQGQASVQPSMSRKRFRTKFSQDQKDKMTEFAEKLGWRIQKQDEQEVQQFCSQVGVKRKVFKVWMHNNKQAMKKKQV >Potri.017G045000.1.v4.1 pep chromosome:Pop_tri_v4:17:3168062:3168379:1 gene:Potri.017G045000.v4.1 transcript:Potri.017G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045000.v4.1 MAGFSCFLILAIFMALSVSGGEAARHLLQFPPLPSVPNLPKPTLPSMPTLPQLTLPTSPKSQLSLPKPTLPPLPSLPTMPSLPKVALPPLPTMPSIPFLSPPPGN >Potri.019G099800.1.v4.1 pep chromosome:Pop_tri_v4:19:13654493:13656969:1 gene:Potri.019G099800.v4.1 transcript:Potri.019G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099800.v4.1 MTPSEYNVGDRFSPTKEELITHFLMQKLLGNDHLVSRITLLDVYQSDPWDLPYFAGTDSDDGEGYFFSPLHPKYPGTNNGRINRSTRTGSWLAKGKDYEITSQHNGEVIGIRRIFVHSCNKDGIKYVMHEFSIPNQNSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLSSGLMKKKMPDKKSAGPCKKVKSSEKKADHMPYNEENITPVPDEGFDFENWITSVMSDYNNIIDNKEQQTEKSTFDNGGTNCLKTSSASVYHVADSTTPEDHQGGPEILPHLQSFSGYDQQDLSLHDFTLDDLPLMLPEQVNTSNGPAGTSMSVPKDDLYIWLEPLWS >Potri.019G099800.5.v4.1 pep chromosome:Pop_tri_v4:19:13654493:13656553:1 gene:Potri.019G099800.v4.1 transcript:Potri.019G099800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099800.v4.1 MTPSEYNVGDRFSPTKEELITHFLMQKLLGNDHLVSRITLLDVYQSDPWDLPYSDDGEGYFFSPLHPKYPGTNNGRINRSTRTGSWLAKGKDYEITSQHNGEVIGIRRIFVHSCNKDGIKYVMHEFSIPNQNSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLSSGLMKKKMPDKKSAGPCKKVKSSEKKADHMPYNEENITPVPDEEQQTEKSTFDNGGTNCLKTSSASVYHVADSTTPEDHQGGPEILPHLQSFSGYDQQDLSLHDFTLDDLPLMLPEQVNTSNGPAGTSMSVPKDDLYIWLEPLWS >Potri.019G099800.4.v4.1 pep chromosome:Pop_tri_v4:19:13654493:13656553:1 gene:Potri.019G099800.v4.1 transcript:Potri.019G099800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099800.v4.1 MTPSEYNVGDRFSPTKEELITHFLMQKLLGNDHLVSRITLLDVYQSDPWDLPYFAGTDSDDGEGYFFSPLHPKYPGTNNGRINRSTRTGSWLAKGKDYEITSQHNGEVIGIRRIFVHSCNKDGIKYVMHEFSIPNQNSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLSSGLMKKKMPDKKSAGPCKKVKSSEKKADHMPYNEENITPVPDEGFDFENWITSVMSDYNNIIDNKEQQTEKSTFDNGGTNCLKTSSASVYHVADSTTPEDHQGGPEILPHLQSFSGYDQQDLSLHDFTLDDLPLMLPEQVNTSNGPAGTSMSVPKDDLYIWLEPLWS >Potri.019G099800.3.v4.1 pep chromosome:Pop_tri_v4:19:13654493:13656969:1 gene:Potri.019G099800.v4.1 transcript:Potri.019G099800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099800.v4.1 MTPSEYNVGDRFSPTKEELITHFLMQKLLGNDHLVSRITLLDVYQSDPWDLPYSDDGEGYFFSPLHPKYPGTNNGRINRSTRTGSWLAKGKDYEITSQHNGEVIGIRRIFVHSCNKDGIKYVMHEFSIPNQNSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLSSGLMKKKMPDKKSAGPCKKVKSSEKKADHMPYNEENITPVPDEEQQTEKSTFDNGGTNCLKTSSASVYHVADSTTPEDHQGGPEILPHLQSFSGYDQQDLSLHDFTLDDLPLMLPEQVNTSNGPAGTSMSVPKDDLYIWLEPLWS >Potri.019G099800.2.v4.1 pep chromosome:Pop_tri_v4:19:13654493:13656969:1 gene:Potri.019G099800.v4.1 transcript:Potri.019G099800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099800.v4.1 MTPSEYNVGDRFSPTKEELITHFLMQKLLGNDHLVSRITLLDVYQSDPWDLPYSDDGEGYFFSPLHPKYPGTNNGRINRSTRTGSWLAKGKDYEITSQHNGEVIGIRRIFVHSCNKDGIKYVMHEFSIPNQNSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLSSGLMKKKMPDKKSAGPCKKVKSSEKKADHMPYNEENITPVPDEGFDFENWITSVMSDYNNIIDNKEQQTEKSTFDNGGTNCLKTSSASVYHVADSTTPEDHQGGPEILPHLQSFSGYDQQDLSLHDFTLDDLPLMLPEQVNTSNGPAGTSMSVPKDDLYIWLEPLWS >Potri.011G124366.1.v4.1 pep chromosome:Pop_tri_v4:11:15496114:15497191:-1 gene:Potri.011G124366.v4.1 transcript:Potri.011G124366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124366.v4.1 MKNYLTEIPASYSPRCPNLSTLLLSQNYMLRSIEGSFFTQLNGLAVLDLSNTGIKSLPGSISNLVCLTSLLLRRCQQLRHVPTLAKLTALKKLDLVYTQLEELPEGMKLLSNLRYLDLSHTRLKQLSAGIIPKLCRLQVLGVLLSSETQVTLKGEEVACLKRLEALECNFCDLIDFSKYVKSWEDTQPPRAYYFIVGPAVPSLSGIHKTELNNTVRLCNCSINREADFVTLPKTIQALEIVQCHDMTSLCAVSSMKHAIKLKSLVIWDCNGIACLLSLSRISADTLQSLETLCLSSLKTCVASLADKELLHLYFHSRGVA >Potri.014G075901.1.v4.1 pep chromosome:Pop_tri_v4:14:4911999:4914898:1 gene:Potri.014G075901.v4.1 transcript:Potri.014G075901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075901.v4.1 MDDYFSSVLAILLLLMEKGFKFQPSNEELISKYLVPKTRGDIMEGLPMAVVNLCEHEPWDLPGKSIIKLAGQVTWYFLCPRDLRGKVHRRKTKAGYWKLTCQQKSITAEGTTTKIGVMRTLRFYENEVRTGWMMYEFDLITNSSQFKKGQYVLCKLEINSKGEKSKKGEQSHHIAPVSHSEVEPSQSTDSDSENINPSEMAMRYSPCDESELSHHAGSHFGNQNPSELMNNSARQLSELSHHMASDSENNLMPNLVYDGSGSSHSTVFNCEELYWNQPTVDSAYSPYMAFDSENQNPNEILTVDNSASNVSRNDGMAFGLANHNPNVSLTVDNSTSNVSESHHMALVLENQNLNNSLSILTCENSLMASRGLENQEPFFPPCSFINQSTYDKSESSSLMDFDFENQNLVKEFDISAFGEGVWSNTTATPPEFLNQNPCKKTDMSTLEEGYSSYFKSSFSDNDLPDVALPEQVSPGLQAGIEGCFEQENSPNPALVQLPACMEESHSFMGFGTLVSN >Potri.014G075901.5.v4.1 pep chromosome:Pop_tri_v4:14:4912080:4914898:1 gene:Potri.014G075901.v4.1 transcript:Potri.014G075901.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075901.v4.1 MEGLPMAVVNLCEHEPWDLPGKSIIKLAGQVTWYFLCPRDLRGKVHRRKTKAGYWKLTCQQKSITAEGTTTKIGVMRTLRFYENEVRTGWMMYEFDLITNSSQFKKGQYVLCKLEINSKGEKSKKGEQSHHIAPVSHSEVEPSQSTDSDSENINPSEMAMRYSPCDESELSHHAGSHFGNQNPSELMNNSARQLSELSHHMASDSENNLMPNLVYDGSGSSHSTVFNCEELYWNQPTVDSAYSPYMAFDSENQNPNEILTVDNSASNVSRNDGMAFGLANHNPNVSLTVDNSTSNVSESHHMALVLENQNLNNSLSILTCENSLMASRGLENQEPFFPPCSFINQSTYDKSESSSLMDFDFENQNLVKEFDISAFGEGVWSNTTATPPEFLNQNPCKKTDMSTLEEGYSSYFKSSFSDNDLPDVALPEVSPGLQAGIEGCFEQENSPNPALVQLPACMEESHSFMGFGTLVSN >Potri.014G075901.4.v4.1 pep chromosome:Pop_tri_v4:14:4912080:4914898:1 gene:Potri.014G075901.v4.1 transcript:Potri.014G075901.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075901.v4.1 MEGLPMAVVNLCEHEPWDLPGKSIIKLAGQVTWYFLCPRDLRGKVHRRKTKAGYWKLTCQQKSITAEGTTTKIGVMRTLRFYENEVRTGWMMYEFDLITNSSQFKKGQYVLCKLEINSKGEKSKKGEQSHHIAPVSHSEVEPSQSTDSDSENINPSEMAMRYSPCDESELSHHAGSHFGNQNPSELMNNSARQLSELSHHMASDSENNLMPNLVYDGSGSSHSTVFNCEELYWNQPTVDSAYSPYMAFDSENQNPNEILTVDNSASNVSRNDGMAFGLANHNPNVSLTVDNSTSNVSESHHMALVLENQNLNNSLSILTCENSLMASRGLENQEPFFPPCSFINQSTYDKSESSSLMDFDFENQNLVKEFDISAFGEGVWSNTTATPPEFLNQNPCKKTDMSTLEEGYSSYFKSSFSDNDLPDVALPEQVSPGLQAGIEGCFEQENSPNPALVQLPACMEESHSFMGFGTLVSN >Potri.014G075901.3.v4.1 pep chromosome:Pop_tri_v4:14:4912078:4914898:1 gene:Potri.014G075901.v4.1 transcript:Potri.014G075901.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075901.v4.1 MDDYFSSVLAILLLLMEKGFKFQPSNEELISKYLVPKTRGDIMEGLPMAVVNLCEHEPWDLPGKSIIKLAGQVTWYFLCPRDLRGKVHRRKTKAGYWKLTCQQKSITAEGTTTKIGVMRTLRFYENEVRTGWMMYEFDLITNSSQFKKGQYVLCKLEINSKGEKSKKGEQSHHIAPVSHSEVEPSQSTDSDSENINPSEMAMRYSPCDESELSHHAGSHFGNQNPSELMNNSARQLSELSHHMASDSENNLMPNLVYDGSGSSHSTVFNCEELYWNQPTVDSAYSPYMAFDSENQNPNEILTVDNSASNVSRNDGMAFGLANHNPNVSLTVDNSTSNVSESHHMALVLENQNLNNSLSILTCENSLMASRGLENQEPFFPPCSFINQSTYDKSESSSLMDFDFENQNLVKEFDISAFGEGVWSNTTATPPEFLNQNPCKKTDMSTLEEGYSSYFKSSFSDNDLPDVALPEVITKYHALQILFNFCSGVGVANPKLILNLHLTSSR >Potri.014G075901.2.v4.1 pep chromosome:Pop_tri_v4:14:4912080:4914898:1 gene:Potri.014G075901.v4.1 transcript:Potri.014G075901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075901.v4.1 MDDYFSSVLAILLLLMEKGFKFQPSNEELISKYLVPKTRGDIMEGLPMAVVNLCEHEPWDLPGKSIIKLAGQVTWYFLCPRDLRGKVHRRKTKAGYWKLTCQQKSITAEGTTTKIGVMRTLRFYENEVRTGWMMYEFDLITNSSQFKKGQYVLCKLEINSKGEKSKKGEQSHHIAPVSHSEVEPSQSTDSDSENINPSEMAMRYSPCDESELSHHAGSHFGNQNPSELMNNSARQLSELSHHMASDSENNLMPNLVYDGSGSSHSTVFNCEELYWNQPTVDSAYSPYMAFDSENQNPNEILTVDNSASNVSRNDGMAFGLANHNPNVSLTVDNSTSNVSESHHMALVLENQNLNNSLSILTCENSLMASRGLENQEPFFPPCSFINQSTYDKSESSSLMDFDFENQNLVKEFDISAFGEGVWSNTTATPPEFLNQNPCKKTDMSTLEEGYSSYFKSSFSDNDLPDVALPEVSPGLQAGIEGCFEQENSPNPALVQLPACMEESHSFMGFGTLVSN >Potri.T042048.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:12009:18505:1 gene:Potri.T042048.v4.1 transcript:Potri.T042048.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T042048.v4.1 MAKQQPLQQPSTPWGTLEELLLACAVNRHGTDRWDSIAMEVSNRTSTLSSLTSQNCIDKFDDLKRRFGFPTELQNDTASLLVDELRKLRVDELRREVHQRDVSIVSLEMKVKRLEEDREKSLKEKPPDLPKPSPETVAGKSATGEECGDGDERSFNESNSTSQQPQKAEAEAKKERDEDTEVKPEPDSIKDDPDPARLGSDPEAEREWSYNGKLEDEDDKKPKKEMKIESVSRVGVLGPDSNELGESVGESKREEKEKDIKQINNSNNNNNNNNSDVQSSVSLSLKKKKRRRGSGEGSSSGEEEREGGDDEVSPATKTLPAVKSEPWLKLLEIIRSHQLGSIFEKRLRSQESERYKKLIRQHMDLQMIQSRLDKGVYSKCFKKLFKDLLILLNNAIVFFRKNSPENLAANELRAVVLKEMKEKLQKPKPKPVAVKPATEQYSASFSKPNKSTSTMVACSKHSSIKAISEGAGKKDDKKDAEIEEKPKANEKKLEVSIVRIEEKGLKKKTTKERSVSGRRNSRASNKNGEIKHQYGGNELSSHDALEITVDRKESTGRKKLGAASFLKRMKQNSPGQVTENDDDDSSSSEDESKDSKTVDKKRRRREADRITKRVTRSSKGRGLGEDSRNIKRGRPPKKQMDSGGGTGKRGREDDDSEVGVGGAGRAKKRSRR >Potri.001G126300.1.v4.1 pep chromosome:Pop_tri_v4:1:10380798:10384841:1 gene:Potri.001G126300.v4.1 transcript:Potri.001G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126300.v4.1 MFGGSGGGSMLRVVGRAVARAGVTNFQEPISTNTLSSNTTTTTVTSPRATRKLNSLNNDNNTLSLSSSGSVLSVPISANSGGPISTWWPSFTGSYPDDYEWVSVDESEDERLVGFSDDFVLGPVPSMDEVHDAVTALTHVFDAPLYSQLIRDKFACTVDKDLADQISSPAVLSQVSSVGSDFDWKEPSPALCNQRALHSYGSHTVHEAFHLLLTEPSVQKMVVSLSSDKAVWDAVLNNAVVQELRETYYADENTDPLTSESSDETGEETNPALNFVKWIFDNTRARVVEVIETVTKLVNELFKPPADEKTSAGDKDPFEDKLRRSFLLSIMVLLIVVVTRVQKA >Potri.016G067700.1.v4.1 pep chromosome:Pop_tri_v4:16:4781138:4782687:-1 gene:Potri.016G067700.v4.1 transcript:Potri.016G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067700.v4.1 MKSVKGRFLKKLNFIPSISTLKQGLVSHLNSSENFSDQSLQIPPIYIQKDHKKDSLSGDFGVSKQKPESKDEELDLEINLFDKVNITPSIVSNDRLSVMDSPEAPVATDTNVEQYTGNEAEKEIEGHPSLSDFEEICLPGGSQAVILYTTSLRSIRKTFEDCHAIRFLLESFKVIFHEKDVSLHLEFREELWRIMGDRVIPPRLFIKGRYIGGADEVTGLHEQGKLKNLLAGIPLNLSNCPCTGCGNIRFIVCSDCNGSRKVFADDQNDETYIRCPECNENGLVKCLICS >Potri.006G023600.1.v4.1 pep chromosome:Pop_tri_v4:6:1602410:1604326:1 gene:Potri.006G023600.v4.1 transcript:Potri.006G023600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023600.v4.1 MGSKQEANYLPHIVLIPCPLQSHIKTMLKLAKLLHYKGFHITFVNTEFNHKRFLKSRGPNALDGLPNFCFETIPDGIPSSDIDATQEIDSITVAVQNNMLAPFKELLAKLVNPPLTCIVSDAFMPFTITAAEEAGLPVVMFVTMSACGYMGYKQLHGLKEKGFVPLKDESYLTNGYLENTIIEGIPGMKAIQLKDFPFIRTTCENDLSLNFVIGVAETSVKAQAITFHTFDALELDVLDGLSTIFPRVYSIGPFQLLLNQIQDDGLKSIGYNLWKEESECLQWLDTKELKSVVYVNFGSITVMTAEQLVEFAMGLADSKISFLWIIRPDLVIGDSAILPAEFAVETQKRGFIASWCPQEEVLNHPSIGGFLTHSGWNSTVESLCAGVPMICWPFFADQAINCSYAGSEWGVGMEIDNKVKREEVEKLVRELMEGEKGEKMRGKAMEWKKLAEEAAAPHGSSSINLDKFINEILQSKTTS >Potri.016G125600.1.v4.1 pep chromosome:Pop_tri_v4:16:12920833:12924563:1 gene:Potri.016G125600.v4.1 transcript:Potri.016G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G125600.v4.1 MMQRWHRKKSHFPLVAFIFLGIIVCSILYNESSIQQVHEEDPSNQGPNHQQHATTVTYVKPNLGTHSNFAPVLLDRFSRCNSTSEYSGKRIRWGDSKVERGRRKSLESCDVFAGKWVFDSESYPLYNESDCPYMSDQLACHKHGRSDLGYQHWRWQPHDCNLKRWNVTEMWEKLRGKRLMFVGDSLNRGQWISMVCLLQSVIPADKRSMSPNAPLTIFRAEEYNATIEFLWAPLLVDSNSDDPVNHRLDERIMRPDSVLKHSSKWEHADILVFNSYLWWRQGPVKLLWSAEENGACEELDGLGAMELAMGAWADWVASKVDPQKKRVFFVTMSPTHLWSREWEPGSNGNCYSEKMPIDWEGYWGSGSDMPTMRMVEKVLGRLGSKVSVLNITQLSEYRKDGHPSIYRKFWETLSPEQLSNPKSYSDCIHWCLPGVPDVWNELLFHLL >Potri.004G147200.2.v4.1 pep chromosome:Pop_tri_v4:4:16945184:16948095:1 gene:Potri.004G147200.v4.1 transcript:Potri.004G147200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147200.v4.1 MAPRKEVRPTRSLQADQRPLWHFFKIITQSTLKDKKLRIPNKFARKFGEELSDVAKVVLPNGHSWQIGLTKSNNSVSFDDGWLQFLEQHSVGYGHLLVFGYRGCSNFNALIFDKTACEIPYHRCRGGTSGGKINYDEKCSPYDVDVMKDEGTIASIDSQYCCALESGVFDEDAGDSRQRHPSKPPPSENNAQERPCFECSGDKRGKIPVKKELIVMAELDDTDESKRRKLSKKCRLPRPHGSLIDETKVNKGKSKTKFDETDFSPRCGEDTDIIVCGFAKASEESKKAIHAARMFRPKNPSFMVLLRSYNKCFVAVPAEFSKRHLSGVSEHIKLQVSDGRQWPLRLNKTQRARMIISRGWNEFKRENNLKEGDVCVFELIKNKKFSLQVSMFRAVDGSGPSN >Potri.005G236300.3.v4.1 pep chromosome:Pop_tri_v4:5:23429666:23434842:1 gene:Potri.005G236300.v4.1 transcript:Potri.005G236300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236300.v4.1 MEFQNQREIRVLEAVYPRPSAIPPNPAFSVDLESSQHNDHQIPLIPITPIEDEDAAEEPSDVMGPSMGPMSSQAQLLASGVPSSQSSIPSIPNEKPTAGLLPGVEPGAVAAASAAFAAVKSNEQGSLIDHDLLIKILSNPKLVEKLVTDYGAVANAQNIPKPPLSDPQPPHLTLPNPAHIQMKRAESITQASLTATPSGSFYTQPNGTGMGVLPSARVLPPGVPSSPSIGATQTKDMSYYKNLIQQHGGDRQETPQQFGGRYNHQIGTSQDLVNSKSRESKHKIMKPCIYFNTPRGCRNGANCAYQHDSSSQKKGSSIAEVQSAKRMKMDREISS >Potri.005G236300.1.v4.1 pep chromosome:Pop_tri_v4:5:23429665:23434884:1 gene:Potri.005G236300.v4.1 transcript:Potri.005G236300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236300.v4.1 MRGLRKSKRVCWASDVNLCQVRLFLSEESPSLVGSGAQDHLQAKSSWPSHSAGTDDFQPPGFEGGHPASQLQIKVSEIPVIKWRCPPRLVLNLTWQVVSGEESKDMEFQNQREIRVLEAVYPRPSAIPPNPAFSVDLESSQHNDHQIPLIPITPIEDEDAAEEPSDVMGPSMGPMSSQAQLLASGVPSSQSSIPSIPNEKPTAGLLPGVEPGAVAAASAAFAAVKSNEQGSLIDHDLLIKILSNPKLVEKLVTDYGAVANAQNIPKPPLSDPQPPHLTLPNPAHIQMKRAESITQASLTATPSGSFYTQPNGTGMGVLPSARVLPPGVPSSPSIGATQTKDMSYYKNLIQQHGGDRQETPQQFGGRYNHQIGTSQDLVNSKSRESKHKIMKPCIYFNTPRGCRNGANCAYQHDSSSQKKGSSIAEVQSAKRMKMDREISS >Potri.T126406.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:169306:173491:-1 gene:Potri.T126406.v4.1 transcript:Potri.T126406.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126406.v4.1 MTYRQKKILKGREKLEEKGELKSYQDFYGNWTSSGIPACQLKDHLAPQIMQSSPPSILSQQKQLQGSEQLQYQQISIPFVAPSAYGSITNPYSMPGLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTVSLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEKTSSQRRVVKMPEVETQTNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTGSLSETPKACQAV >Potri.T126406.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:169306:173491:-1 gene:Potri.T126406.v4.1 transcript:Potri.T126406.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126406.v4.1 MTYRQKKILKGREKLEEKGELKSYQDFYGNWTSSGIPACQLKDHLAPQIMQSSPPSILSQQKQLQGSEQLQYQQISIPFVAPSAYGSITNPYSMPGLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTVSLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEKTSSQRRVVKMPEVETQTNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTGSLSETPKGKPNFSQRGSQLSSLLTNAQPVRQCKSNPCLDASEDASNNGPADEGAREVKASQ >Potri.T126406.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:169306:173491:-1 gene:Potri.T126406.v4.1 transcript:Potri.T126406.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126406.v4.1 MTYRQKKILKGREKLEEKGELKSYQDFYGNWTSSGIPACQLKDHLAPQIMQSSPPSILSQQKQLQGSEQLQYQQISIPFVAPSAYGSITNPYSMPGLSHIQSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTVSLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEKTSSQRRVVKMPEVETQTNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTGSLSETPKGKPNFSQRGSQLSSLLTNAQPVRQCKSNPCLDASEDASNNGPADEGAREVKASQ >Potri.005G203800.2.v4.1 pep chromosome:Pop_tri_v4:5:20898353:20899477:1 gene:Potri.005G203800.v4.1 transcript:Potri.005G203800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203800.v4.1 MAKKTWSLKKVMMKKDQLWPWKISGIKWKRGLDFQLNIIDNLVFKVLYVAEAVVLVSTLCFFYLCCGCHI >Potri.008G172700.1.v4.1 pep chromosome:Pop_tri_v4:8:11929929:11935404:1 gene:Potri.008G172700.v4.1 transcript:Potri.008G172700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172700.v4.1 MASTLFRNIQTHPILLYPSVLFRRKNGALYCTMKSSSSSTTQTQTATQEKQQNPQTKVSPQTQTKGIDKRIKDYEAIIGIETHVQLSTLTKAFCGCPYNYGAQPNTSICPVCMGLPGALPVLNSKVIEFAVKLGLALNCKLSFNSKFDRKQYFYPDLPKGYQISQFDIPIASNGYVDLDLPVEFGGGHRRFGITRVHMEEDAGKLLHSGNGSFSQVDLNRAGVPLLEIVSEPDMRNGIEAAEYAAEMQRVVRYLGISNGNMQEGSLRCDVNVSIRPIGQSEFGTKVEIKNLNSFSSVNRAIDFEISRQVLLHSQGQGNSIVQETRLWEEGAQKTVTMRKKEGLSDYRYFPEPDLPEVILKKEYVNSIQNSLPELPEMKRRRYENMGLSMQDVLFLANDINVAKFFDATIAKGADVKLATNWIMGDIAAYMKNEKVSINDIKLIPRELAELIASIKGGTISGKIGKEILFELIEKGGTVKGLIEEKDLVQIVDPAEIEKMVDKVLSENPNQLEQYRAGKTKIQGYFAGQVMKLSKGKANPGLLNKILQQKLNASS >Potri.014G128000.3.v4.1 pep chromosome:Pop_tri_v4:14:8581836:8587883:-1 gene:Potri.014G128000.v4.1 transcript:Potri.014G128000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128000.v4.1 MAASCFFEKPSKNSKSKQCQCLFLTWPCPPLSILLGCTSKSHESSLAPSQAFLMANSLKRPLKVSTGHDASSLGNKRQREAQVLDGPDIVSEKIKAIEDLHDMGTRQLREQAILRGLSSSGSKKELLDRLCAESEKESKNGKEEDEEKETSNKDEKVTASFNKVTAELDQYLPDHLKTQYHVLEHGDSIYDATLNQTNVGNNNNKFYLIQALESNDSSKFMVYTRWGRVGIKGQDKLQGPYTSRGSAIQEFEAKFFAKTKNRWPNRKDFICHPKCYTWLETDHDMNKESSEENPIFTVERQLQVTRLDPRIANFISLICDVRMMKQRMMELGYNAEKLPLGKLSKSTILKGYDVLRRICENIGKSDTEKLEELSGEFYTIIPHDFGFNKMREFTIDNHYKLKCKLEMVEALGEIEIATSLIKDDIYTQKDPLYSKYHCLRCELVPLDVVSKEFSMIEKYIRNTGDETHYRIDIVQIFRASREGENERFKKFSQTKNRMLLWHGSRLTNWTGILSEGLRIAPPEAPSTHSLGNGLYFGDMFSKSAPYCHANWINSDAVLVLCEVALGDMLDYGSFNCHDKLPKGKLSVKVAGGTVPDSSQAQVLEDGVLVPLGKPVELPYSQGMWPRNEYIILDVDQIRIRYVVHAKFCYQTC >Potri.014G128000.2.v4.1 pep chromosome:Pop_tri_v4:14:8581969:8587883:-1 gene:Potri.014G128000.v4.1 transcript:Potri.014G128000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128000.v4.1 MAASCFFEKPSKNSKSKQCQCLFLTWPCPPLSILLGCTSKSHESSLAPSQAFLMANSLKRPLKVSTGHDASSLGNKRQREAQVLDGPDIVSEKIKAIEDLHDMGTRQLREQAILRGLSSSGSKKELLDRLCAESEKESKNGTPEGKEEDEEKETSNKDEKVTASFNKVTAELDQYLPDHLKTQYHVLEHGDSIYDATLNQTNVGNNNNKFYLIQALESNDSSKFMVYTRWGRVGIKGQDKLQGPYTSRGSAIQEFEAKFFAKTKNRWPNRKDFICHPKCYTWLETDHDMNKESSEENPIFTVERQLQVTRLDPRIANFISLICDVRMMKQRMMELGYNAEKLPLGKLSKSTILKGYDVLRRICENIGKSDTEKLEELSGEFYTIIPHDFGFNKMREFTIDNHYKLKCKLEMVEALGEIEIATSLIKDDIYTQKDPLYSKYHCLRCELVPLDVVSKEFSMIEKYIRNTGDETHYRIDIVQIFRASREGENERFKKFSQTKNRMLLWHGSRLTNWTGILSEGLRIAPPEAPSTHSLGNGLYFGDMFSKSAPYCHANWINSDAVLVLCEVALGDMLDYGSFNCHDKLPKGKLSVKVAGGTVPDSSQAQVLEDGVLVPLGKPVELPYSQGMWPRNEYIILDVDQIRIRYVVHAKFCYQTC >Potri.002G259500.1.v4.1 pep chromosome:Pop_tri_v4:2:24762440:24762912:1 gene:Potri.002G259500.v4.1 transcript:Potri.002G259500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259500.v4.1 MAKLYSSSSLFILALLLLISLDSGLSQVGKLSRCVSSWDCKDKQACKDECSSRYAGGYGLCTGHPRACICSYDCPPLYMNNAV >Potri.001G355500.6.v4.1 pep chromosome:Pop_tri_v4:1:36935959:36938393:-1 gene:Potri.001G355500.v4.1 transcript:Potri.001G355500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355500.v4.1 MLSTVLSSGCSDAAGLAGNLFAFVLFVSPIPTCRRIIRNQSTEQFSELPCIYALLNCLICLWYGMPFVTPGVILVATVNSIGAAFQLIYAIIFIIYADKSKKLRMSALLIAVFAFFGMVVFVSLRFLETHLRQMNLVIKTQSVEYMPFYLSLSTFLTSLSFSTYGVLKFDPFLYVPNGIGTILGIVQLALYYYYSSKYGEGCSREPLLASYA >Potri.001G355500.8.v4.1 pep chromosome:Pop_tri_v4:1:36935862:36938707:-1 gene:Potri.001G355500.v4.1 transcript:Potri.001G355500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355500.v4.1 MPFVTPGVILVATVNSIGAAFQLIYAIIFIIYADKSKKLRMSALLIAVFAFFGMVVFVSLRFLETHLRQMVVGYLSVFSLISMFASPLFIINLVIKTQSVEYMPFYLSLSTFLTSLSFSTYGVLKFDPFLYVPNGIGTILGIVQLALYYYYSSKYGEGCSREPLLASYA >Potri.001G355500.2.v4.1 pep chromosome:Pop_tri_v4:1:36935772:36938706:-1 gene:Potri.001G355500.v4.1 transcript:Potri.001G355500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355500.v4.1 MLSTVLSSGCSDAAGLAGNLFAFVLFVSPIPTCRRIIRNQSTEQFSELPCIYALLNCLICLWYGMPFVTPGVILVATVNSIGAAFQLIYAIIFIIYADKSKKLRMSALLIAVFAFFGMVVFVSLRFLETHLRQMVVGYLSVFSLISMFASPLFIINLVIKTQSVEYMPFYLSLSTFLTSLSFSTYGVLKFDPFLYVPNGIGTILGIVQLALYYYYSSKYGEGCSREPLLASYA >Potri.001G355500.1.v4.1 pep chromosome:Pop_tri_v4:1:36935824:36938706:-1 gene:Potri.001G355500.v4.1 transcript:Potri.001G355500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355500.v4.1 MLSTVLSSGCSDAAGLAGNLFAFVLFVSPIPTCRRIIRNQSTEQFSELPCIYALLNCLICLWYGMPFVTPGVILVATVNSIGAAFQLIYAIIFIIYADKSKKLRMSALLIAVFAFFGMVVFVSLRFLETHLRQMVVGYLSVFSLISMFASPLFIINLVIKTQSVEYMPFYLSLSTFLTSLSFSTYGVLKFDPFLYVSMFLQVPNGIGTILGIVQLALYYYYSSKYGEGCSREPLLASYA >Potri.001G355500.7.v4.1 pep chromosome:Pop_tri_v4:1:36935862:36938707:-1 gene:Potri.001G355500.v4.1 transcript:Potri.001G355500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355500.v4.1 MPFVTPGVILVATVNSIGAAFQLIYAIIFIIYADKSKKLRMSALLIAVFAFFGMVVFVSLRFLETHLRQMVVGYLSVFSLISMFASPLFIINLVIKTQSVEYMPFYLSLSTFLTSLSFSTYGVLKFDPFLYVSMFLQVPNGIGTILGIVQLALYYYYSSKYGEGCSREPLLASYA >Potri.001G233000.1.v4.1 pep chromosome:Pop_tri_v4:1:25157558:25160945:-1 gene:Potri.001G233000.v4.1 transcript:Potri.001G233000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233000.v4.1 MMAAHLLRRSWGSTISLLIVILLLSCSSQMTSAEAAPASRKSSSISMSQHKMLDAHQHLKRLNKPPLKTIKSPDGDIIDCVHIAHQPAFDHPLLKNHTIQTRPNFHPEGTKFEESKRVSAQKATSSKPITQLWHLKGRCPEGTIPIRRTKKEDVLRASSVERFGKKKPTKIPHQPRSAQPDLITQTGHQHAIVYVEGDKYYGAKATINVWEPKTQQPNEFSLSQIWILGGTFGQDLNSIEAGWQVSPDLYGDNRTRLFTYWTSDAYQATGCYNLLCTGFIQINNEIAMGASIFPVSGYRGSQYDISLLVWKDPKEGNWWIQFGNDYVLGYWPGFLFSYLTDSATMIEWGGEIVNSESDEQHTTTEMGSGHFPEEGFGKAGYFRNIQIVDGSNSLRDPKGLGTFTEQSSCYDVQNGRSGDWGTYFFYGGPGRNPNCP >Potri.015G123750.1.v4.1 pep chromosome:Pop_tri_v4:15:13679388:13679951:-1 gene:Potri.015G123750.v4.1 transcript:Potri.015G123750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123750.v4.1 MTFTCYQTISPLTSIASVQSVMYGKKIVQHGFWIYQWSCCSQKSNMVFSRQQTALPLVTACNALEKNCEAQNFDITGASIDQTNPTHHMVLGRNRRKVKLQSQSGSIQETVEHLEDSSSESMESGIKQGNSRFTKQKQPQGAYTMERDEEVQNMLNDGVRLGLGDIQELNVFAESIWETVNKEFETV >Potri.001G162700.2.v4.1 pep chromosome:Pop_tri_v4:1:13803666:13806093:1 gene:Potri.001G162700.v4.1 transcript:Potri.001G162700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162700.v4.1 MVKYVDEVEQQAFRRKTRKRLIIVVVSSIVLLAVIIGAVIGVVLHNRNNNSSSNPVPPPELTPAASLKAVCSVTRYPTSCFSSISALETGNTTDPEELFKLSLHVAMNELSKLKDYPDKLIQSIDDATLQDALKVCATVFDDAVDRLNDSISSMAVGEGGKILSTAKMDDLKTWISTTITDQETCLDALQELNVTKHFNQTLVDHVKAEMENSTEFVSNSLAIVAKILGLLSDFNIPIHRRLLGFERTHNSRFPHWVGLGDRRLLQMSTPKPDVTVAKDDSGDCTTLREAVGKIPKKSKSRFIIYVKEGEYLENVILDKSKWNVMIYGDGKDKTIISGSLNFVDGTPTFSTASFAVAGKSFFARDIKFINTAGAEKHQAVAFRSGSDMSVLFRCAFDGFQDTLYAHSNRQFYRDCDITGTIDLSMFGSLVAGAFQITFRVKMHANDVFLFFKNYF >Potri.001G162700.3.v4.1 pep chromosome:Pop_tri_v4:1:13803608:13806836:1 gene:Potri.001G162700.v4.1 transcript:Potri.001G162700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162700.v4.1 MVKYVDEVEQQAFRRKTRKRLIIVVVSSIVLLAVIIGAVIGVVLHNRNNNSSSNPVPPPELTPAASLKAVCSVTRYPTSCFSSISALETGNTTDPEELFKLSLHVAMNELSKLKDYPDKLIQSIDDATLQDALKVCATVFDDAVDRLNDSISSMAVGEGGKILSTAKMDDLKTWISTTITDQETCLDALQELNVTKHFNQTLVDHVKAEMENSTEFVSNSLAIVAKILGLLSDFNIPIHRRLLGFERTHNSRFPHWVGLGDRRLLQMSTPKPDVTVAKDDSGDCTTLREAVGKIPKKSKSRFIIYVKEGEYLENVILDKSKWNVMIYGDGKDKTIISGSLNFVDGTPTFSTASFAVAGKSFFARDIKFINTAGAEKHQAVAFRSGSDMSVLFRCAFDGFQDTLYAHSNRQFYRDCDITGTIGFMFGNAAVVFQNCNIQPRQPLPNQFNTITAQGKKDPNQNTGISIQNCKFSASGNVTAPTYLGRPWKDYSTAVIMQSDIGPFLRPSGWMSWVSGVDPPATIFYAEYQNTGPGARVDGRVK >Potri.002G046300.5.v4.1 pep chromosome:Pop_tri_v4:2:3012034:3014616:-1 gene:Potri.002G046300.v4.1 transcript:Potri.002G046300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046300.v4.1 MPFPMKIQPIDYQTLNESVAHRFEPVKPVVKSRLKRLFERQFLRNSAAEKVGAIEESHLKDGSNEFEPSSVCLAKMVQNFLEENNDKQTSVRCSRNRCNCFNRNCNDSSEDEFDSFGGFGDSNLSSSAEACEILKSLVPCASVCERNLLADTAKIVDKNKISKRKDDVCRKIVTDGLLGLGYDASICKSRWEKAPSYPAGEYEYIDVIISGERLLIDIDFRSEFEIARSTKSYKSLLQILPSIFVGKADRLQKIIAIVSDAAKQSLKKKGMPTPPWRKTEYIKAKWLSPHTRTTPPLSSKETDPQLEREQTLVQNEIAELGLSCQEKNSVADDTELGGSVYAVSSEGSVAEDEVIVVKEWKPPEVKPKSLQIGIKIVTGLAAVIEDEP >Potri.017G057800.1.v4.1 pep chromosome:Pop_tri_v4:17:4607060:4613891:-1 gene:Potri.017G057800.v4.1 transcript:Potri.017G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057800.v4.1 MAGNEWINGYLEAILDSGGGAGAIEEHKPAPSMNLRDTGDFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLATRRWERELGRRDATEDMSEDLSEGEKGDGLGELVQSETPRKRFQRNLSNLEVWSDDKKEKKLYIVLVSLHGLVRGDNMELGRDSDTGGQVKYVVELARALARMPGVYRVDLFTRQISSAEVDWSYGEPTEMLTAGPEDDGGNEVGESSGAYIVRIPFGPRDKYIRKELLWPYIQEFVDGALSHILNMSKALGEQIGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEGEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLERVLRARARRGVNCHGRYMPRMVVIPPGMDFSSVVVQEEAPEVDGELATLISSVDGSSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMTGGNASVLTTVLKMIDKYDLYGLVAYPKHHKQADVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQAISDALLKLVSEKNLWSDCRNNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTDTPEDEVAAEESSLNDSLMDVQDMSLRLSIDGDKPSLNGSLDYSAAATGDPTVSDQVQRVLNKIKKPEPRPVFSESGKPEAVVSKHPMLRRRRRLIVIALDCYDSNGVPEKKMIKIVQNIIKAVRSDSLFAKVAGLALSTAMSLTETTEFLTSSKIQVNDFDALICSSGGEVYYPGTYTEEDGKLARDPDYAAHIDYRWGCDGLRKTIWKLMNTTEGGKKSDESSSPIEEDKKSSNAHCIAYLVKDRSKVKRVDDLRQRLRMRGLRCHLMYCRNSTRLQIIPLLASRAQALRYLFVRWRLNVADMFVILGENGDTDYEEMISGAHKTVILKDVVTKGSDDLLRTTDLRDDIVPKDSPLIAYLSGNATASDIADVLKQVSKSSGGM >Potri.017G057800.3.v4.1 pep chromosome:Pop_tri_v4:17:4607091:4613944:-1 gene:Potri.017G057800.v4.1 transcript:Potri.017G057800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057800.v4.1 MNLRDTGDFNPTKYFVEEVVTGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLATRRWERELGRRDATEDMSEDLSEGEKGDGLGELVQSETPRKRFQRNLSNLEVWSDDKKEKKLYIVLVSLHGLVRGDNMELGRDSDTGGQVKYVVELARALARMPGVYRVDLFTRQISSAEVDWSYGEPTEMLTAGPEDDGGNEVGESSGAYIVRIPFGPRDKYIRKELLWPYIQEFVDGALSHILNMSKALGEQIGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEGEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLERVLRARARRGVNCHGRYMPRMVVIPPGMDFSSVVVQEEAPEVDGELATLISSVDGSSPKAIPAIWSEVMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIDEMTGGNASVLTTVLKMIDKYDLYGLVAYPKHHKQADVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQAISDALLKLVSEKNLWSDCRNNGWKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTDTPEDEVAAEESSLNDSLMDVQDMSLRLSIDGDKPSLNGSLDYSAAATGDPTVSDQVQRVLNKIKKPEPRPVFSESGKPEAVVSKHPMLRRRRRLIVIALDCYDSNGVPEKKMIKIVQNIIKAVRSDSLFAKVAGLALSTAMSLTETTEFLTSSKIQVNDFDALICSSGGEVYYPGTYTEEDGKLARDPDYAAHIDYRWGCDGLRKTIWKLMNTTEGGKKSDESSSPIEEDKKSSNAHCIAYLVKDRSKVKRVDDLRQRLRMRGLRCHLMYCRNSTRLQIIPLLASRAQALRYLFVRWRLNVADMFVILGENGDTDYEEMISGAHKTVILKDVVTKGSDDLLRTTDLRDDIVPKDSPLIAYLSGNATASDIADVLKQVSKSSGGM >Potri.001G054500.2.v4.1 pep chromosome:Pop_tri_v4:1:4108842:4111556:1 gene:Potri.001G054500.v4.1 transcript:Potri.001G054500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054500.v4.1 MTEAVIRNKPGMASVKDMPILQDGPPPGGFAPVRFARRIPSKGPSAMAIFLAAFGAFSYGMYEVGKGNKIRRALKEEKYAARRAILPLLQAEEDERFVKEWKKYLEYEADVMKDVPGWKVGESVYNSGRWMPPATGELRPEVW >Potri.001G158400.1.v4.1 pep chromosome:Pop_tri_v4:1:13381758:13382606:-1 gene:Potri.001G158400.v4.1 transcript:Potri.001G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158400.v4.1 MASKSTTSLALFLAVNLLFFSLVTAKRSSCPPPPKPKPTPTPSPSGGKCPKDALKLGVCADLLGSLLNVTVGTPPVEPCCSLIQGLLDLEAAVCLCTAIKANILGINLNIPVSLSLLLNVCGKKVPKDFQCA >Potri.006G274800.1.v4.1 pep chromosome:Pop_tri_v4:6:26648462:26651285:-1 gene:Potri.006G274800.v4.1 transcript:Potri.006G274800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274800.v4.1 MQPYQSSRVDLVELRTQIVKKVGVERSKKYFYYLNRFLSQKLCKSEFDKSCFRFLGRENLPLHNNLIRSILKNACQVKTPPPSYEAGPTKSPIQASKSSPVREDGHEQIGSLIPNQSVPIRPNGILPVSPKKVRSVTRDQKLRDRPSSLGPNGKVECISHRSIGTEDNGSKFVVENGELAPCDYQRPVQHLPTVAEQPENEREGSAQRPIERPRTQNKDQTAFVEDRDEVEQANHLSFSRSPLLAPLGIPNCSASMGGARKAMPVASSGDFVSYYDSGGLSDTEMLRKRMEQIADAQGLGGVTTECANTLNKMLDVYLKRLIKSCVKLVGAQSLRDPRKYAVHKQQVQNKVVNGMWPSNHLHMQSSSGPVEGVQEQRPHSSISMLDFKVAMELNPQQLGEDWPLLLEKICMQSFED >Potri.014G176400.1.v4.1 pep chromosome:Pop_tri_v4:14:13297192:13298343:1 gene:Potri.014G176400.v4.1 transcript:Potri.014G176400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176400.v4.1 MSGEQEKECQAKRLNIDEKVLEKLDQLIGVAKKAEVNDKEIFKKALDDLVNVNSLFTIAVFVGLSVASRNQYSLENRRECDAGPEVAKRLVVFEVISFACFLLSSLIAKSLKVFLNLFRPHKFEGHRFFGWARGVAFLSSVMASTTGIVLLTLSMADVVQIKVGKLSCGSYYSVTAVATLSVIVFIGVLIYLPSMLFTIYYIMFRFPNQVSSNDKGSQSWV >Potri.017G060701.1.v4.1 pep chromosome:Pop_tri_v4:17:4956908:4958642:-1 gene:Potri.017G060701.v4.1 transcript:Potri.017G060701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060701.v4.1 MMSTEECKMKLKTKTEIGENQMDKKATDFCQVEDNRTNMNDNGIVKATARAFSEGGSHEWENIWYELKFQITNLREENLRQQAELARRNIEKRLVIDKLWLELEHFEGSEQVPPELHQLFKSWRGSATHFR >Potri.006G018901.2.v4.1 pep chromosome:Pop_tri_v4:6:1194212:1195497:-1 gene:Potri.006G018901.v4.1 transcript:Potri.006G018901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018901.v4.1 MVETKVTTMVIKVVDLGCEKCHKKIKKVLCGIPQIQNQIYDKKANTVTISVVCCCPEKIKKKIYCKGGEAVKCIEIKLPSPPPPPPPPPPPPPPPPPPPPPPPPPPPCTCTCCEKCRRGPCCHHFCMPTVPPYFHVPCRWSECDLWGDGCCSCRSRGYYVCRSAYVYEEYYYPPTCK >Potri.011G122900.3.v4.1 pep chromosome:Pop_tri_v4:11:15319457:15323246:-1 gene:Potri.011G122900.v4.1 transcript:Potri.011G122900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122900.v4.1 MELCKKKNQDRLWMDELAAMQASQLELPYLKTSGIVLAGEENYPGQIGGLSGGKHSGSIDASDSATSLGSLDLNQDSGLPTSAQMQSTDGKAHMPMPWPNHHPQFKHNFQGPVFQQMSPYQGYLFPGMQVGSPYFPGNMQWPPNVDDSSYGQDWEPDNREKHKSSSRNKKSSRQKELQASNQDESTEPSDSSSETESDENLRSGKKQASVDKMHRKRHGKKSSRKVVIRNINYITSTKDGEKGSMSGSTSDEGGFIDGEALKQQVQEAVGSLERRHKSTSRHHKKSQRSTIDGSNGATDQEGKNITENNREGEKVEHWGAFQSLLMQDRYLDSFGIEPHPPQVHRDDFTAKGYEEGRSLEFNVESEGIRKQRALANDSFIAAKRGPGNEGESRVENFEASANGHPVMNKRDSTYEELLFSQRAGESGNLPRPTVADYSTESPLIKSQKEGDWFISSQLDRDDHRDHKPFSDDYDSSLTGEHFQSEKNKKEVLADDSFMIQARPLVDDQSDSLLRTDISIAPDVIEATLYENEMREISHDKSEAFDVYEPDDLYMVLGRDSAAEHALPSWTPEMDYETNTAQDKLPSNSMGTNGKTSVNSGGKVAGKEVRSKVPNGSLGRGKSDIMSRTKKPTSASRTTLPKSKSEKEEVNRKRMEELLIQRQKRIAERNAAGSIPATSKRIPAGKVSTSTSIKNEKPKSKSPSQETKKALFRSSTIDRLATARATTKSPSTELKAAQPKKANLKANGLAQKTAGADNKKPPNTVKSDVNRKKVGTIARAEKPADLLPMQAAQSADEIDGFKDIKELHSVASTENNAGNVISAGNLDDKGCNGDSLHMDSSAPHNHSRAGDEGFSKVAPVVCEDIETSDNHGEYISETIIHPVLESPNRALNPCAVDIRENGAFSEILESPEKTEIEISTPPPDEMNPEPIHSRKKWNSEDHSPKVAKGFRKLLLFGRKGRASAAN >Potri.011G122900.4.v4.1 pep chromosome:Pop_tri_v4:11:15319362:15322632:-1 gene:Potri.011G122900.v4.1 transcript:Potri.011G122900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122900.v4.1 MQSTDGKAHMPMPWPNHHPQFKHNFQGPVFQQMSPYQGYLFPGMQVGSPYFPGNMQWPPNVDDSSYGQDWEPDNREKHKSSSRNKKSSRQKELQASNQDESTEPSDSSSETESDENLRSGKKQASVDKMHRKRHGKKSSRKVVIRNINYITSTKDGEKGSMSGSTSDEGGFIDGEALKQQVQEAVGSLERRHKSTSRHHKKSQRSTIDGSNGATDQEGKNITENNREGEKVEHWGAFQSLLMQDRYLDSFGIEPHPPQVHRDDFTAKGYEEGRSLEFNVESEGIRKQRALANDSFIAAKRGPGNEGESRVENFEASANGHPVMNKRDSTYEELLFSQRAGESGNLPRPTVADYSTESPLIKSQKEGDWFISSQLDRDDHRDHKPFSDDYDSSLTGEHFQSEKNKKEVLADDSFMIQARPLVDDQSDSLLRTDISIAPDVIEATLYENEMREISHDKSEAFDVYEPDDLYMVLGRDSAAEHALPSWTPEMDYETNTAQDKLPSNSMGTNGKTSVNSGGKVAGKEVRSKVPNGSLGRGKSDIMSRTKKPTSASRTTLPKSKSEKEEVNRKRMEELLIQRQKRIAERNAAGSIPATSKRIPAGKVSTSTSIKNEKPKSKSPSQETKKALFRSSTIDRLATARATTKSPSTELKAAQPKKANLKANGLAQKTAGADNKKPPNTVKSDVNRKKVGTIARAEKPADLLPMQAAQSADEIDGFKDIKELHSVASTENNAGNVISAGNLDDKGCNGDSLHMDSSAPHNHSRAGDEGFSKVAPVVCEDIETSDNHGEYISETIIHPVLESPNRALNPCAVDIRENGAFSEILESPEKTEIEISTPPPDEMNPEPIHSRKKWNSEDHSPKVAKGFRKLLLFGRKGRASAAN >Potri.011G122900.1.v4.1 pep chromosome:Pop_tri_v4:11:15319370:15325837:-1 gene:Potri.011G122900.v4.1 transcript:Potri.011G122900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122900.v4.1 MDSRTLLDHALFQLTPTRTRCDLVIYAGGVNEKLASGLLEPFLQHLKTAKDQISKGGYSISLRPLSPNAFWFTKATLQRFVWFVSSPEVLERFVTIETELEQIECSVQSNELFNADAEGAAGNYQKSSASSKSREDHSGGSNGVQEENSKVRLQRALESRKAVLRKEQAMAYARALVTGFEPDCINDLIFFADAFGASRLREACINFMELCKKKNQDRLWMDELAAMQASQLELPYLKTSGIVLAGEENYPGQIGGLSGGKHSGSIDASDSATSLGSLDLNQDSGLPTSAQMQSTDGKAHMPMPWPNHHPQFKHNFQGPVFQQMSPYQGYLFPGMQVGSPYFPGNMQWPPNVDDSSYGQDWEPDNREKHKSSSRNKKSSRQKELQASNQDESTEPSDSSSETESDENLRSGKKQASVDKMHRKRHGKKSSRKVVIRNINYITSTKDGEKGSMSGSTSDEGGFIDGEALKQQVQEAVGSLERRHKSTSRHHKKSQRSTIDGSNGATDQEGKNITENNREGEKVEHWGAFQSLLMQDRYLDSFGIEPHPPQVHRDDFTAKGYEEGRSLEFNVESEGIRKQRALANDSFIAAKRGPGNEGESRVENFEASANGHPVMNKRDSTYEELLFSQRAGESGNLPRPTVADYSTESPLIKSQKEGDWFISSQLDRDDHRDHKPFSDDYDSSLTGEHFQSEKNKKEVLADDSFMIQARPLVDDQSDSLLRTDISIAPDVIEATLYENEMREISHDKSEAFDVYEPDDLYMVLGRDSAAEHALPSWTPEMDYETNTAQDKLPSNSMGTNGKTSVNSGGKVAGKEVRSKVPNGSLGRGKSDIMSRTKKPTSASRTTLPKSKSEKEEVNRKRMEELLIQRQKRIAERNAAGSIPATSKRIPAGKVSTSTSIKNEKPKSKSPSQETKKALFRSSTIDRLATARATTKSPSTELKAAQPKKANLKANGLAQKTAGADNKKPPNTVKSDVNRKKVGTIARAEKPADLLPMQAAQSADEIDGFKDIKELHSVASTENNAGNVISAGNLDDKGCNGDSLHMDSSAPHNHSRAGDEGFSKVAPVVCEDIETSDNHGEYISETIIHPVLESPNRALNPCAVDIRENGAFSEILESPEKTEIEISTPPPDEMNPEPIHSRKKWNSEDHSPKVAKGFRKLLLFGRKGRASAAN >Potri.005G163950.1.v4.1 pep chromosome:Pop_tri_v4:5:16232941:16233656:1 gene:Potri.005G163950.v4.1 transcript:Potri.005G163950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163950.v4.1 MEELSVFFMCSAGVAHGFKDGNGFVACTIKDQRKWRGGWFSRFV >Potri.005G123000.1.v4.1 pep chromosome:Pop_tri_v4:5:9128217:9136118:-1 gene:Potri.005G123000.v4.1 transcript:Potri.005G123000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123000.v4.1 MVHNAYDSFELLTNCPNKIDAIESYGSKLLIACSDGALRIYAPVSAISDKSPPSDYHNHGDQLRKEPYSLERTVNGFSKKPMLSMKVLASRELLLSLSESIAFHRLPNLETIAVLTKAKGANVFDWDDKRGFLCFARQKRVCIFRHDGGRGFVEVKDFGVSDTVKSMSWCGENICLGIRKEYWILNSTNGALSQVFPSGRLAPPLVVSLPSGELLLGKDNIGVFVDQNGKHLQAEKICWSEAPSLVVIQKSYAISLLPRRIEIRSLRVPYSLIQAFVLQNVRHLIESNNAIIVALSNSVRALFPVPLGAQIVQLTASGNFEEALALCKLLPPEDSNLRAAKEGSIHIRYAHYLFDNGSYEEAMEHFLASQVDIIYVLSLYPSIVLPKTSLVPEREKLIDISQDAPYLSRGSCGLSDIMEPSPPIHLSDFDEHSALESKKMSHNTLMALIKYLQKRRFGIVEKATAEGTDEVVLDAVGDNYGPYDSNRFKKSNKGRGNIAINSGAREMAAILDTALLQALLLTGQTSAALELLKGLNYCDLKICEEILQKWNHYTALLELYKCNAMHREALKLLHQLVEESKSNQSKPELNPKFKPESIVEYLKPLCWTDPMLVLEFSMLVLESCPTQTIELLLSGNIPADLVNSYLKQHAPSMQGRYLELMLVMNENGISGNLQNEMVQIYLSEVLDWHAELNAQEKWDEKAYSPTRNKLLSALESISGYNPEALLKRLPADALYEERALLLGKMNQHELALSLYVHKLHVPDLALSYCDRVYESAAHLPSAKSSGNIYLTLLQIYLNPRKTTMNFEKRITNLVSFQNTNVPKVSSVTPVKAKGGRATKKIAAIEGAEDLRVSPSGTDSSRSDGDADEFGDEGGSTIMLDEVLDLLSKRWDRINGAQALKLLPRETKLQNLLPFLGPLLKKSSEAYRNLSVIKSLRQSENLQVRDEMYSRRKTVVKITSDTTCSLCNKKIGTSVFAVYPNGKTIVHFVCFKDSQSIKAVAKGSALRKR >Potri.006G006500.1.v4.1 pep chromosome:Pop_tri_v4:6:456827:461565:-1 gene:Potri.006G006500.v4.1 transcript:Potri.006G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006500.v4.1 MVSRSSTRFLNICIASFCKAKHLQRAETVIIDGVRLGVLPDVVTYNTLINAYSRFVSFDAAYSVLDRMKEASIKPDIVTYNSLIAGAMRHCLLSNCMDLFEEMLELGIKPDIWSYNTLMHCFFKLERPDDAYRVFTDIILCYLSPSQATYNTMLNGLCKCGFVENALMLFRKLKRHGFVPSLVTYNILINGLCKAWKLKTARWMLKELGASGLVPNVITYTTVMRCCFRSRRFEQGLEIFEEMIDKGYTFDGFAYCTVVGALVKTCRIEEASHYMERMVNTDIGLDMASYNTLINLYCKGGKLEMAHGVLDKMEKEGFERDEYTNTILMNGLCEEGDVEGAMEYMNVAGFNSNLVALNTMVDRWCKADQIDYAFKIFDSMDMRDSFTYSSLIHNLCKVGRFRCASKLLLSCVRSRTRILPSAKRAVFDCLRHSGHQREAKRLKSEIKLALSAVV >Potri.006G006500.2.v4.1 pep chromosome:Pop_tri_v4:6:457467:461538:-1 gene:Potri.006G006500.v4.1 transcript:Potri.006G006500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006500.v4.1 MVSRSSTRFLNICIASFCKAKHLQRAETVIIDGVRLGVLPDVVTYNTLINAYSRFVSFDAAYSVLDRMKEASIKPDIVTYNSLIAGAMRHCLLSNCMDLFEEMLELGIKPDIWSYNTLMHCFFKLERPDDAYRVFTDIILCYLSPSQATYNTMLNGLCKCGFVENALMLFRKLKRHGFVPSLVTYNILINGLCKAWKLKTARWMLKELGASGLVPNVITYTTVMRCCFRSRRFEQGLEIFEEMIDKGYTFDGFAYCTVVGALVKTCRIEEASHYMERMVNTDIGLDMASYNTLINLYCKGGKLEMAHGVLDKMEKEGFERDEYTNTILMNGLCEEGDVEGAMEYMNVAGFNSNLVALNTMVDRWCKADQIDYAFKIFDSMDMRDSFTYSSLIHNLCKVGRFRCASKLLLSCVRSRTRILPSAKRAVFDCLRHSGHQREAKRLKSEIKLALSAVV >Potri.005G044100.5.v4.1 pep chromosome:Pop_tri_v4:5:2791859:2795553:-1 gene:Potri.005G044100.v4.1 transcript:Potri.005G044100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044100.v4.1 MVEERLKELGSKDRKVEERLKEIGSKDRKVGERLKEVGWKDRMVEERLKEVGLKDRKVEERLKEVGLKGREVEERVKEIALMEKNVGKRSEEVELNRRKLEEGFRELELKSREVEEIIKGVELKEKELEERCRGFDLKGKQIEEVQLKEKELEERLREVEFENKKCLERIKEFELKEKQVADACNARVKSETVDYSIDANLHFSVKMDGKALQILLNKRCKHDEKMKNEVSIALGLSSDPAKLVLDAMEGFHPPHLREGDVEFKEVVVKRSCNLLLEQLTKISPTIKPHVRKEATKLAFLWMIMMTVDGQHNLDVLGFFNLLAAYGLASAFDSDELISRLVIIARNRQTPEFLRVLELGDKIPGFIQNLILKNQPMEAIRFIYAFEMVNQFPPGPILRDYLSGSKIAARKIKRRSKSIEGLVESVKRRVADLMVVLKCIEDYKLETVFSPDTLKQQIKDVERQLSIRKTKLPNLGSNSPQPNLSEKKRLAPKAAASASVLASKSVSATKPALNSTMAACTATSTAPITVTSLAPTTSAIANPVVPIIVTSPSTTAAAAVTPIAVASRPTIRSAVVSPSSSSASPSGSIPKTEPQYQGGNKRCQAQYQGSDKHPHPQEQHQSGNKRPRIAKSPEVPLRTPSLQNTGFAQSVPVPHLRAEGPFINQNPSGGHYNCPGYRPPNPHMSSHYNYGYPYYH >Potri.014G017900.1.v4.1 pep chromosome:Pop_tri_v4:14:1111178:1112603:1 gene:Potri.014G017900.v4.1 transcript:Potri.014G017900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017900.v4.1 MANAWKRESNKQPKYLSPILLLLSLSLFLLFLFFFYARSPLNPPNSTLYVPRVYPIPPFDCLKSPQAHPVVANIVENLKYPFLYSLSDFGSLPDKPHKNIVRLLKGKPFRKPDISATIQQLLEGMKGRNGLVVDVGANVGMASFAAAVMGFKVLAFEPVIDNLLRICDGIWFNRVADLVTVFEAAVSDRIGNITFYKLVGRLDNSAVSATGAKLAFKSNEEIALQVRTIPLDELITDSEPVLLLKIDVQGWEYHVLKGAVKLLSRKKDEAPYLIYEEDERLLQASNSSAKEIRDFLRSVGFSHCIQHGTDAHCTKD >Potri.014G175000.1.v4.1 pep chromosome:Pop_tri_v4:14:13058286:13061674:1 gene:Potri.014G175000.v4.1 transcript:Potri.014G175000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175000.v4.1 MEKRCKAHCLVVSFPAQGHINPMLQFSKRLEHKGVKVTPVTTRFISNAIMSGSSSSSISLQTISDGYDEGGIGHAESIKSYLDRFWKVGLQTLDNLVEKLSGSDCPVDCIIYDAFMPWGLDVAKKFGLVGAAFFTQSCAVDSIYYHVYRGLIKLPVTETQILVPGLPPLEPQDLPSFIYHLGTYPDFFDMLLDQFSNIDRADWVFCNSFYMLEREVADWFAKLWPFRSIGPTIPSMYLDKQLENDRDYGFSFFMQNNDVCMNWLNDRAKGSVVHVSFGSLVDLKAEQMEELAWGLKRSDCYFLWVVRASEESKMSKDFAEESSAKGLVVRWCSQLEVLAHEAVGCFVTHCGWNSSLEALSLGVPMVAMPQRTDQSTNAKYITDVWNMGVKAAVDEKEIARRETIESCIREILEGEKGKEIKRNASKWKELAKEAVEEGGSSDKNIDEFVANLVLSRSSC >Potri.004G073000.2.v4.1 pep chromosome:Pop_tri_v4:4:6098648:6099996:-1 gene:Potri.004G073000.v4.1 transcript:Potri.004G073000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073000.v4.1 MKKAVLKLDLHDEKAKTKAMKKVSSLSGVDSISMDMKDKKLTVIGDVDPVDIVSKLRKLCNTEIITVGPAKEPEKKKEEPKKEEPKKQQDPKKKEQDAVDELVKAYKAYNPHMTTYYHVRSVEDDPNACVIS >Potri.003G196832.1.v4.1 pep chromosome:Pop_tri_v4:3:19875978:19877668:-1 gene:Potri.003G196832.v4.1 transcript:Potri.003G196832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196832.v4.1 MLRGSMSSIPVNILLLSLLLVVSVSLCFSYGSFTQGCSQIERDALLKFKHDLKDPSNRLASWAGFGGDCCTWRGVICDNVTGHVIELRLRSISFADYLASSGASTQYEDYLKLILSGRINPSLVSLKHLRYLDLRNNDFGGVQIPKFIGLIGSLKHLDLSDAGFAGTIPHGLGNLSDLNYLNLHDYYSQFNVENLNWLSQLSSLEFLDLSLVHLGNVFNWLEVINTLPSLVELHLSYCQLPPVPPILYVNFSSLSILDLSSNYVDESAISMLNFPRWVSHLKTLLSLNLANNNFQGPIPNGLQNLTLLKALDLSINHFSSSIPEWLYGFEHLKLLNLGSNNLQGVLSSAIGNMTSLISLDLSLNHELKFEGGIPGSFKKLCNLRTLSLSNVKLNQDIAEVLEVLLGCVSEEVESLDLAGCLLFGQLTNHLGKFRNLAYLGLRSNSISGPIPMALGELVSRPESRIHDRHIGKVPYLCEPKLTHKLIITQSEFNAALITKSTS >Potri.T011775.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:159966:161324:-1 gene:Potri.T011775.v4.1 transcript:Potri.T011775.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011775.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLSKPVSFVSTASMTELESSRSRPKGGGIGFIF >Potri.T011775.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:159667:161396:-1 gene:Potri.T011775.v4.1 transcript:Potri.T011775.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011775.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLSKPVSFVSTASMTELESSRSRPKGGGIGFIF >Potri.T011775.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:159667:162127:-1 gene:Potri.T011775.v4.1 transcript:Potri.T011775.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011775.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLSKPVSFVSTASMTELESSRSRPKGGGIGFIF >Potri.T011775.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:159667:161834:-1 gene:Potri.T011775.v4.1 transcript:Potri.T011775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011775.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLSKPVSFVSTASMTELESSRSRPKGGGIGFIF >Potri.010G045500.1.v4.1 pep chromosome:Pop_tri_v4:10:7724398:7727548:-1 gene:Potri.010G045500.v4.1 transcript:Potri.010G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045500.v4.1 MAEEKRIEEELACAILLAERVRSAVDEAESFKAECNHVGKHVDTIVEKLRAHVRSAQSFYERPIRRIVAEVCKNLERALTLVRKCKRRNVFRRVFTIISAADFRKVINLLEASVGDMKWLSSILDSNNDNSGIVITLPPIASNDPILAWVWSSISSIHMGPLPEKIEAANQLASLAHDNDRNKQIIVEEGGVPPFLRLLSETTSPDAQIAAATSLYHLSNDEDRVTTILDEAGVPIIVKVLADSPMRVQTWVARLVARMAERNSIAQDDFARENAMRPLVTLLSFETFMDDQKSFVGKQSIHSLVQINQEMEKKSLIDSNNNHHYRPYVSSFSSFHSSEGSSRGGSNHRRERENESPEVKHKLKISCAEALWMLARDSVLNSKRITETKGLLCLAKLVEKGEGELQFNCLMTIQEITAAAESNADLRRAAFKANSPAAKAVVDQLLRVIKELDSPELQVPAIRSIGSLARTFPARETRVVGPLVAHLSNRSQEVAAEAAITLGKFATPENFLCVAHAKAIIEFSGVPPLMRLLRGNEPAQLHGLILLCYLALHAGNSEALEQARVLNALEGVDQKMLAQFPDLKELVSKAIYHINLYHAGTHSQRLLYVP >Potri.014G013600.1.v4.1 pep chromosome:Pop_tri_v4:14:794654:795294:-1 gene:Potri.014G013600.v4.1 transcript:Potri.014G013600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013600.v4.1 MAATTSTNCSSFFSLRSNSVEPRVRTTSSHGSSPGCGKLDGVAMWFINGVASAFFASLERCSCIRIATEDDGDEANDAPLILNDGNMRHLEGGTISRRRTGKGKRSARAFDED >Potri.004G115600.1.v4.1 pep chromosome:Pop_tri_v4:4:10792155:10793808:1 gene:Potri.004G115600.v4.1 transcript:Potri.004G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115600.v4.1 MMGRGIAEQGWRKGPWTPEEDKLLSEYVSSNGEGRWSSVSRCSGLNRSGKSCRLRWVNYLRPGLKRGQITPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKKTDKSSQKQEKRKALILEQEVQHHHQQQQQLEAGEMKMVNTIDHVKMHEAQEMYFMYHNLEDQCSPVMTQDVASWADFVVEDYYGLWGGLWNLDDHPQG >Potri.015G026400.1.v4.1 pep chromosome:Pop_tri_v4:15:1977859:1979189:1 gene:Potri.015G026400.v4.1 transcript:Potri.015G026400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026400.v4.1 MAGIADHWKAFSGFNNWDGLLVHPINTDFRRYLIHYGERVGAIGDGFNNVKASNSFALSRYPPEELFSHVGLQNGNPFKYQVTKYFYLKQDDFADYMEFDIKESAWIGYVAVTTDEGNTVLGRRDILVCWRGTILASEWAKNLQFDLVSAAEILGGAHNPKMHHGFHSVYLAKSSSSKYNKTSAREQVLAEVRRLVDKYAHNGEDVSITVAGHSLGAALATLNAMDIVSNGYNKPAGSYTGFRVTVFAYASPRLGDKGFQDVFNGLANLHVLRIKNENDIIPSLPPAFYNTYKDIGEELEIDSSKSPYLKDPNAEPHNLDIYLHAIAGYQGKLGEFRLVIDRDIALVNKSADVLPEKYKVPPNWRTVMNKGMIQMDNGFWKLNDYVPDPPSEDDIEGNIITAIFLKDNMPPA >Potri.011G084201.1.v4.1 pep chromosome:Pop_tri_v4:11:9353286:9353600:1 gene:Potri.011G084201.v4.1 transcript:Potri.011G084201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G084201.v4.1 MSRRGTTEEKTAKSDLIYRNRLVNMLVNRILKHEKKSLAYQILYRAMKKIQQKTETNPLSVLHQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKNLPFVGY >Potri.019G109501.2.v4.1 pep chromosome:Pop_tri_v4:19:14093337:14094912:1 gene:Potri.019G109501.v4.1 transcript:Potri.019G109501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G109501.v4.1 MNNNASQPSQQPPSQPSQQPPSQPSQQLPPLSPTSQIQPSVTPDHQGKKPDIFSTFRDKRNNQESMNNNASQPSKQPPCQPSQQLLPLPSTSEIQPSVTPDHQREKADVFPFLWDTFPNKESMNNNASQPSKQPPSQPSQQLLTKCPFPNKNI >Potri.019G109501.3.v4.1 pep chromosome:Pop_tri_v4:19:14093337:14094912:1 gene:Potri.019G109501.v4.1 transcript:Potri.019G109501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G109501.v4.1 MNNNASQPSQQPPSQPSQQPPSQPSQQLPPLSPTSQIQPSVTPDHQGKKPDIFSTFRDKRNNQESMNNNASQPSKQPPCQPSQQLLPLPSTSEIQPSVTPDHQREKADVFPFLWDTFPNKESMNNNASQPSKQPPSQPSQQLLTKCPFPNKNI >Potri.019G109501.1.v4.1 pep chromosome:Pop_tri_v4:19:14093337:14094912:1 gene:Potri.019G109501.v4.1 transcript:Potri.019G109501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G109501.v4.1 MNNNASQPSQQPPSQPSQQPPSQPSQQLPPLSPTSQIQPSVTPDHQGKKPDIFSTFRDKRNNQESMNNNASQPSKQPPCQPSQQLLPLPSTSEIQPSVTPDHQREKADVFPFLWDTFPNKESMNNNASQPSKQPPSQPSQQLLTKCPFPNKNI >Potri.003G118300.1.v4.1 pep chromosome:Pop_tri_v4:3:14018257:14021331:1 gene:Potri.003G118300.v4.1 transcript:Potri.003G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118300.v4.1 MSLLRVPKRLLLGSSLSLSILNQTRKPRRPFCFNMSSESSQPLTHSLTLPTQLGQPIKIIAAPGVSDSQFRTAIESSLFKQWLKNLESENGILATGSFLLKQVLVQGVDMFGQRIGFLKFKADIFEKETGQKIPGIVFARGPAVTVLILLSSEGETYAVLTEQVRVPTGRLVLELPAGMLDADKGDFVGTAVREVEEETGIHLKLGDMVDLTAFLDPSTGGRVFPSPGGCDEEISVFLYRGCVGKEIITKLQGKETGLREHGELIKVHVVPYKELWRMTADAKVLMAIALYEMAKGGGLLPLKN >Potri.002G112200.2.v4.1 pep chromosome:Pop_tri_v4:2:8470651:8478758:-1 gene:Potri.002G112200.v4.1 transcript:Potri.002G112200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112200.v4.1 MSTALTPSKRLHDSKSTETNGKGKRQNTAGTNSPNQPLKSSPAAVVFRILCPAPKIGTVTGEGGAVISQIRQETGAKVIVEENIPGCDEQIIVITGSDEKTEVSIEQSKKDGDEEANVAEESDNKNDGNEKEEEKEGVPVEDSGTVKETSSMQKALLLVSGKMFEADPVTDGGDEENNKPSTFILRLLVLSSQVGCLLGKGGSVIKQMSAESGAQIRISPRDRLPICSSVSDELVEITGEIDAVRKALQSVSKQLLENPPRDHDSFPANPSGTSSHSSGHSHPRPEAYLQRHSFSGRGKPYAVRSRDRHESVIQDQMKPVPDVLTFRLLCHNERVGGVIGKGGTIIKILKQETGCDIKVMEGVSDSEDRVIVISGLAHPDDRISAPQDAVIRVQTRIAMAISNKEKAIIARLLVSSNQIGCLLGKGGAIMSEMRKSSGAYIRILGKDQIPNCASESEGVVQINGEFEVVKEALLQITTRLRHHFFQNLFPSMDHLSNPAFLDQVPPFPPYMGRKELSPPFHSFPNFDGMVGAPPHVSFPPHDDHPPFMHNIHRPGMPPHIAERKPWGPQGFVEGGGPMGLPDFAGPPQRRISGFGGVSHPAIITSTTIEVIVPRTIVPVIYGEDGACLKQIRQISDAKITINEPKPGASETVIIISGTPEQTHAAQSLIQAFVMSERESS >Potri.008G137900.2.v4.1 pep chromosome:Pop_tri_v4:8:9249304:9257601:-1 gene:Potri.008G137900.v4.1 transcript:Potri.008G137900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137900.v4.1 MALKMQQSYHHSVAVKVNDQQMGTKRGYTFIQANRTWLPKVLLLWVMAMALFSLTIYNGMDADNRVRRKEVLGSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKNPSAIDQETFAEYTARTAFERPLLSGVAYARRVIDSERHEFERQHGWTIKTMEREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPSPTVAQRIEATAGYLGGAFDIESLVENLLGQLAGNQAILVNVYDITNSSDLLIMYGHQNLDGDLSLLHESKLDFGDPFRKHLMTCRYHEKAPTSWTALSTAFLFFVIGLLVGYILYGAAIHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLALLLDTDLSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELEAVPFDIRSILDDVLSLFSEKSRNKGIELAVFVSDKVPEIVVGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLYENAKAITDTKVDTCLNGGSNESVLTSGSQKFKTLSGCEAADDQNSWDVFKHFSDEDFRFDASINVMTNNEASEDVGLMVCVEDTGIGIPLKAQGRVFMPFVQADSSTSRQYGGTGIGLSISKCLVELMGGQINFISRPEVGSTFSFTAVFGTCKKNTFNNMEKRNAEELPSGFRGLKALVVDGNPVRATVTRYHLKRLGILAEVVSSLKLAAIGCGKNGSLTSGGKIHPDIILVEKDSWISGEDGVSSVWQLDSKQNGHAFKLPKMILLATNITNSEFDIAKGAGFADTVILKPLRSSMVAACLLQVLGMGKKRSQGKGMPNGSSFLQSLLCGKRILVVDDNRVNRRVAAGALKKFGADAECAESGKEALKLLQPPHTYDACFMDIQMPEMDGFEATRRIRQMESQANEQMNGESMVEEGTARKVQWHIPILAMTADVIHATHDECLKSGMDGYVSKPFEEENLYQAVARFFDTKSTLKS >Potri.008G137900.3.v4.1 pep chromosome:Pop_tri_v4:8:9249301:9255816:-1 gene:Potri.008G137900.v4.1 transcript:Potri.008G137900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137900.v4.1 MEREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPSPTVAQRIEATAGYLGGAFDIESLVENLLGQLAGNQAILVNVYDITNSSDLLIMYGHQNLDGDLSLLHESKLDFGDPFRKHLMTCRYHEKAPTSWTALSTAFLFFVIGLLVGYILYGAAIHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLALLLDTDLSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELEAVPFDIRSILDDVLSLFSEKSRNKGIELAVFVSDKVPEIVVGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLYENAKAITDTKVDTCLNGGSNESVLTSGSQKFKTLSGCEAADDQNSWDVFKHFSDEDFRFDASINVMTNNEASEDVGLMVCVEDTGIGIPLKAQGRVFMPFVQADSSTSRQYGGTGIGLSISKCLVELMGGQINFISRPEVGSTFSFTAVFGTCKKNTFNNMEKRNAEELPSGFRGLKALVVDGNPVRATVTRYHLKRLGILAEVVSSLKLAAIGCGKNGSLTSGGKIHPDIILVEKDSWISGEDGVSSVWQLDSKQNGHAFKLPKMILLATNITNSEFDIAKGAGFADTVILKPLRSSMVAACLLQVLGMGKKRSQGKGMPNGSSFLQSLLCGKRILVVDDNRVNRRVAAGALKKFGADAECAESGKEALKLLQPPHTYDACFMDIQMPEMDGFEATRRIRQMESQANEQMNGESMVEEGTARKVQWHIPILAMTADVIHATHDECLKSGMDGYVSKPFEEENLYQAVARFFDTKSTLKS >Potri.008G137900.1.v4.1 pep chromosome:Pop_tri_v4:8:9249301:9257601:-1 gene:Potri.008G137900.v4.1 transcript:Potri.008G137900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137900.v4.1 MALKMQQSYHHSVAVKVNDQQMGTKRGYTFIQANRTWLPKVLLLWVMAMALFSLTIYNGMDADNRVRRKEVLGSMCDQRARMLQDQFSVSVNHVHALAILVSTFHYYKNPSAIDQETFAEYTARTAFERPLLSGVAYARRVIDSERHEFERQHGWTIKTMEREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARATGKAVLTSPFRLLGSHHLGVVLTFPVYKSKLPPSPTVAQRIEATAGYLGGAFDIESLVENLLGQLAGNQAILVNVYDITNSSDLLIMYGHQNLDGDLSLLHESKLDFGDPFRKHLMTCRYHEKAPTSWTALSTAFLFFVIGLLVGYILYGAAIHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLALLLDTDLSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELEAVPFDIRSILDDVLSLFSEKSRNKGIELAVFVSDKVPEIVVGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLYENAKAITDTKVDTCLNGGSNESVLTSGSQKFKTLSGCEAADDQNSWDVFKHFSDEDFRFDASINVMTNNEASEDVGLMVCVEDTGIGIPLKAQGRVFMPFVQADSSTSRQYGGTGIGLSISKCLVELMGGQINFISRPEVGSTFSFTAVFGTCKKNTFNNMEKRNAEELPSGFRGLKALVVDGNPVRATVTRYHLKRLGILAEVVSSLKLAAIGCGKNGSLTSGRGKIHPDIILVEKDSWISGEDGVSSVWQLDSKQNGHAFKLPKMILLATNITNSEFDIAKGAGFADTVILKPLRSSMVAACLLQVLGMGKKRSQGKGMPNGSSFLQSLLCGKRILVVDDNRVNRRVAAGALKKFGADAECAESGKEALKLLQPPHTYDACFMDIQMPEMDGFEATRRIRQMESQANEQMNGESMVEEGTARKVQWHIPILAMTADVIHATHDECLKSGMDGYVSKPFEEENLYQAVARFFDTKSTLKS >Potri.008G137900.4.v4.1 pep chromosome:Pop_tri_v4:8:9249301:9254429:-1 gene:Potri.008G137900.v4.1 transcript:Potri.008G137900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137900.v4.1 MYGHQNLDGDLSLLHESKLDFGDPFRKHLMTCRYHEKAPTSWTALSTAFLFFVIGLLVGYILYGAAIHIVKVEDDFHEMQELKVRAEAADVAKSQFLATVSHEIRTPMNGVLGMLALLLDTDLSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELEAVPFDIRSILDDVLSLFSEKSRNKGIELAVFVSDKVPEIVVGDPGRFRQIITNLVGNSVKFTERGHIFVKVHLYENAKAITDTKVDTCLNGGSNESVLTSGSQKFKTLSGCEAADDQNSWDVFKHFSDEDFRFDASINVMTNNEASEDVGLMVCVEDTGIGIPLKAQGRVFMPFVQADSSTSRQYGGTGIGLSISKCLVELMGGQINFISRPEVGSTFSFTAVFGTCKKNTFNNMEKRNAEELPSGFRGLKALVVDGNPVRATVTRYHLKRLGILAEVVSSLKLAAIGCGKNGSLTSGGKIHPDIILVEKDSWISGEDGVSSVWQLDSKQNGHAFKLPKMILLATNITNSEFDIAKGAGFADTVILKPLRSSMVAACLLQVLGMGKKRSQGKGMPNGSSFLQSLLCGKRILVVDDNRVNRRVAAGALKKFGADAECAESGKEALKLLQPPHTYDACFMDIQMPEMDGFEATRRIRQMESQANEQMNGESMVEEGTARKVQWHIPILAMTADVIHATHDECLKSGMDGYVSKPFEEENLYQAVARFFDTKSTLKS >Potri.001G389800.1.v4.1 pep chromosome:Pop_tri_v4:1:41224945:41227151:-1 gene:Potri.001G389800.v4.1 transcript:Potri.001G389800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G389800.v4.1 MAEEALIKKAGDKYRSFLHDEAENTTQWRHGGPPIYDSVNQLFEEGRTKEWPKGSLEEVVQNAVKSWEMELSHKTRLQDFKTINPDKFKLIVNGREGLSGEETLRIGSYNALLKSSLPKEFQYYKADEETFESSHDAFRSALPRGFAWEVLSVYSGPPVISFKFRHWGFFEGPFKGHAPTEEKVEFYGFGVLKVDESLRAEDVEVYYDPAELFGGLLKGALISPCQSEDNTVNTATATRGCPFSK >Potri.006G092200.1.v4.1 pep chromosome:Pop_tri_v4:6:7009144:7010017:1 gene:Potri.006G092200.v4.1 transcript:Potri.006G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092200.v4.1 MAQFLLLSLVLADAVVGLAMTSEKVQTMVQSSSASSSYPQAPTQNSYEAQAPMIRKLGKHHHHKMVQSFVAPRLSPSIAPPQAQENVHSTKGTSSLDQTRSSSEHSNTDHEESVSIQVQDIHLPGHHHSVDRSVAGGGVILGGLATTFLVAVFCYIRASGRHKADTTS >Potri.003G201100.1.v4.1 pep chromosome:Pop_tri_v4:3:20126656:20129982:1 gene:Potri.003G201100.v4.1 transcript:Potri.003G201100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201100.v4.1 MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIKEALAEGKPIPTELRNEEAALRQEIDLEDENTAIPRSHIDDEYAKATERDPKILLTTSRDPSAPLTQFVKELKFVFPNAERINRGGQVISEIIESCRAHDYTDVILVHEHRGVPDGLVICHLPFGPTAYFELLNVVTRHDIKDKKAIGTMPEAHPHLILNNFKSKLGERTANILKHLFPMPKQDTKRIITFANQSDYISFRHHIYEKHGGPKSVELKEIGPRFEMRLYQIKLGTVDQTEAQNEWVLRPYMNTTKKRKFIGD >Potri.005G140000.1.v4.1 pep chromosome:Pop_tri_v4:5:11088028:11090374:1 gene:Potri.005G140000.v4.1 transcript:Potri.005G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140000.v4.1 MEREEAEIDRLPIDLVAYIFGFIVSFTDLAQASSVCRKWKEGVKQSLAQRNSMSFAGWKMDDVSTTRLVRLAYNLKELDISRSRWDCQITDNGLYQISLANCIGNLTSISLWGMARITDRGVVQLISRANSLQHLNIGGTFVTDESLFAIADSCPRLKSIVLWSCRHVTEIGLLNLANKCRKLESINVWGTRVPVNCFIDLLTISPSLQIKPPGVLLNVGGAAILPVA >Potri.008G133600.4.v4.1 pep chromosome:Pop_tri_v4:8:8879862:8880585:1 gene:Potri.008G133600.v4.1 transcript:Potri.008G133600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133600.v4.1 MALEWVVLGYAAGAEAIMVLLLTIPGLDGLRKGLLAVTRNLLKPFMSVVPFCLFLLMDIYWKYETRPSCEGESCSPTEHLRHQKSIMKSQRNALLIGAALIFYWLLYSVTHLVVKIEQLNQRVERLKSKE >Potri.015G078000.1.v4.1 pep chromosome:Pop_tri_v4:15:10432652:10434975:1 gene:Potri.015G078000.v4.1 transcript:Potri.015G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078000.v4.1 MATAALLRSLRRRDVASAPLSSYRSLTNDVSPKWASSNFSQNWAGLSRALSAKPACGDFIGVDLGTANSCAAVMEGKNPKVFENAEGCRITPSAVTFSPKIVAGIFGKSPSKGVNPVGAVAMGAAIQGGILRGGVKELRLLDVAPLSLGIKTRVFSTGTDNKTQVTGIPPPPRGTPQIEVTSDIDQPNTSHILRPLSPHLPIYSPQVHSTFSIVNRISGAYLSALVLFFCLICLKAGSICFTYYSFYQFLFYSSKLILPVVDVTAALALTYHLFYGVRHLLH >Potri.018G024400.4.v4.1 pep chromosome:Pop_tri_v4:18:1798879:1804876:1 gene:Potri.018G024400.v4.1 transcript:Potri.018G024400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024400.v4.1 MAVTSSPVALKEKEVVEKRSRSKRPGVRVVGGRIYDSDNGKTCHQCRQKTMDFAAACAIQKGNKLCTLKFCHKCLLNRYGEKAEDVALLDDWQCPKCRGICNCSFCMKKRGHKPTGILVYTAKENGFCSVSELLQIKGSENLNHNKDVKASDGSSKSASSYKESTVASPWKPGKENSFDGNIETNLHPQNLTPISAEKKSKKTKRKGLKEVGSGNRDAEINLEESGQKKTKREGLGKVSNGSTKGGYKKPLITEDVYENEMKTNEKDKGDVAKEKKSKTKSMELSKDKVKENKKHEDVSEKKRKTKAHPQEISKKELKNGKNEDAFVEKRRTKTQPQEVSQNEVLLGANCNGGLVCGFRSDKIQTETKMAGDSCKVDKFPAESQTTANERRTKKLQNKEIDVDIQLPQGTCLTAVAGIELPPETVGNALQFLEFCASFGKVLGLKKGQAEIALREIINGRRGRRLQSYHLAQIHVQLLSLIQKDIGEESPTLTTTNENSWFQALRKSVSKCHFLSKELPSNCFDWGNEGYDKLNSSEKLRLLNLICDEALNTKELRSWIDDENSKFLERQKEAKEKVLAAKDKEKKLKQKMLDEVAKAIIEKNGAPISVSNHKEMVSRIKSEAAQAHSEMLEAMALKKGLLSNAVRTEPVLLDVDGRAFWKLNGYNGQSDILLQDMGTWNSVAPSEKWLVYADEQKLEIEKYITSSRTKRLRVQKASETPSIDALK >Potri.018G024400.5.v4.1 pep chromosome:Pop_tri_v4:18:1798954:1804891:1 gene:Potri.018G024400.v4.1 transcript:Potri.018G024400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024400.v4.1 MAVTSSPVALKEKEVVEKRSRSKRPGVRVVGGRIYDSDNGKTCHQCRQKTMDFAAACAIQKGNKLCTLKFCHKCLLNRYGEKAEDVALLDDWQCPKCRGICNCSFCMKKRGHKPTGILVYTAKENGFCSVSELLQIKGSENLNHNKDVKASDGSSKSASSYKESTVASPWKPGKENSFDGNIETNLHPQNLTPISAEKKSKKTKRKGLKEVGSGNRDAEINLEESGQKKTKREGLGKVSNGSTKGGYKKPLITEDVYENEMKTNEKDKGDVAKEKKSKTKSMELSKDKVKENKKHEDVSEKKRKTKAHPQEISKKELKNGKNEDAFVEKRRTKTQPQEVSQNEVLLGANCNGGLVCGFRSDKIQTETKMAGDSCKVDKFPAESQTTANERRTKKLQNKEIDVDIQLPQGTCLTAVAGIELPPETVGNALQFLEFCASFGKVLGLKKGQAEIALREIINGRRGRRLQSYHLAQIHVQLLSLIQKDIGEESPTLTTTNENSWFQALRKSVSKCHFLSKELPSNCFDWGNEGYDKLNSSEKLRLLNLICDEALNTKELRSWIDDENSKFLERQKEAKEKVLAAKDKEKKLKQKMLDEVAKAIIEKNGAPISVSNHKEMVSRIKSEAAQAHSEMLEAMALKKGLLSNAVRTEPVLLDVDGRAFWKLNGYNGQSDILLQDMGTWNSVAPSEKWLVYADEQKLEIEKYITSSRTKRLRVQKASETPSIDALK >Potri.001G142600.8.v4.1 pep chromosome:Pop_tri_v4:1:11698143:11701305:-1 gene:Potri.001G142600.v4.1 transcript:Potri.001G142600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142600.v4.1 MGEVAKDLTAGTVGGAAQLLVGHPFDTIKVKLQSQPAPLPGQAPKFAGAVDAVKQTLAAEGPRGLYKGMGAPFATVAAFNAVLFSVRGQMEALLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVARHVLKSGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGQDTSHLGRGSLIVAGGLAGASFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Potri.001G142600.3.v4.1 pep chromosome:Pop_tri_v4:1:11697640:11701202:-1 gene:Potri.001G142600.v4.1 transcript:Potri.001G142600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142600.v4.1 MGEVAKDLTAGTVGGAAQLLVGHPFDTIKVKLQSQPAPLPGQAPKFAGAVDAVKQTLAAEGPRGLYKGMGAPFATVAAFNAVLFSVRGQMEALLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVARHVLKSGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGQDTSHLGRGSLIVAGGLAGASFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Potri.001G142600.2.v4.1 pep chromosome:Pop_tri_v4:1:11697842:11701430:-1 gene:Potri.001G142600.v4.1 transcript:Potri.001G142600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142600.v4.1 MGEVAKDLTAGTVGGAAQLLVGHPFDTIKVKLQSQPAPLPGQAPKFAGAVDAVKQTLAAEGPRGLYKGMGAPFATVAAFNAVLFSVRGQMEALLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVARHVLKSGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGQDTSHLGRGSLIVAGGLAGASFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Potri.001G142600.6.v4.1 pep chromosome:Pop_tri_v4:1:11698125:11701335:-1 gene:Potri.001G142600.v4.1 transcript:Potri.001G142600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142600.v4.1 MGEVAKDLTAGTVGGAAQLLVGHPFDTIKVKLQSQPAPLPGQAPKFAGAVDAVKQTLAAEGPRGLYKGMGAPFATVAAFNAVLFSVRGQMEALLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVARHVLKSGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGQDTSHLGRGSLIVAGGLAGASFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Potri.001G142600.1.v4.1 pep chromosome:Pop_tri_v4:1:11698123:11701350:-1 gene:Potri.001G142600.v4.1 transcript:Potri.001G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142600.v4.1 MGEVAKDLTAGTVGGAAQLLVGHPFDTIKVKLQSQPAPLPGQAPKFAGAVDAVKQTLAAEGPRGLYKGMGAPFATVAAFNAVLFSVRGQMEALLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVARHVLKSGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGQDTSHLGRGSLIVAGGLAGASFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Potri.001G142600.7.v4.1 pep chromosome:Pop_tri_v4:1:11698122:11701305:-1 gene:Potri.001G142600.v4.1 transcript:Potri.001G142600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142600.v4.1 MGEVAKDLTAGTVGGAAQLLVGHPFDTIKVKLQSQPAPLPGQAPKFAGAVDAVKQTLAAEGPRGLYKGMGAPFATVAAFNAVLFSVRGQMEALLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVARHVLKSGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGQDTSHLGRGSLIVAGGLAGASFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Potri.001G142600.5.v4.1 pep chromosome:Pop_tri_v4:1:11698111:11701335:-1 gene:Potri.001G142600.v4.1 transcript:Potri.001G142600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142600.v4.1 MGEVAKDLTAGTVGGAAQLLVGHPFDTIKVKLQSQPAPLPGQAPKFAGAVDAVKQTLAAEGPRGLYKGMGAPFATVAAFNAVLFSVRGQMEALLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVARHVLKSGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGQDTSHLGRGSLIVAGGLAGASFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Potri.001G142600.4.v4.1 pep chromosome:Pop_tri_v4:1:11697776:11701559:-1 gene:Potri.001G142600.v4.1 transcript:Potri.001G142600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142600.v4.1 MGEVAKDLTAGTVGGAAQLLVGHPFDTIKVKLQSQPAPLPGQAPKFAGAVDAVKQTLAAEGPRGLYKGMGAPFATVAAFNAVLFSVRGQMEALLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVARHVLKSGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGQDTSHLGRGSLIVAGGLAGASFWASVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSLG >Potri.016G009951.1.v4.1 pep chromosome:Pop_tri_v4:16:475137:479489:-1 gene:Potri.016G009951.v4.1 transcript:Potri.016G009951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009951.v4.1 MLKITISRMKALITPPFSFSPMSKKATPLASSFFSLASKSNLFSNLQNPISYFPLKPSMISLPSNFPIQTRCVHSKSSLSSSSGEIHVIVGPMFAGKTTTLLHRVQAEINDGRNVAIIKSNKDNRYGLDSVVTHDGVKLPCWALPNLSSFKQSFGQDAYDQLDVIGIDEAQFFGDLYDFCREVADHDGKTVIVAGLDGDYLRRSFGSVLDIIPLADSVTKLSARCEICGKRAFFTLRKTEETRTELIGGADVYMPVCRQHYVSGQVAVEAARMVLESQKAQCGSCP >Potri.018G130900.1.v4.1 pep chromosome:Pop_tri_v4:18:14113805:14116287:-1 gene:Potri.018G130900.v4.1 transcript:Potri.018G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130900.v4.1 MVILSSSVPAWIGHFLAFMGGCFGCCTKPTPIIAVDEPSQGLRIQGRAVNKPSISNEFWSSSTCDLDNSTVQSQRSISSISVSNHNLGSSTGSMSSNNEFVNHGLLLWQQRRLRWVGSGTSGNQNQRRWESRLSWNATEGLQGSRNPFPRPIPLSEIVDFLVDVWEQEGLYD >Potri.015G147000.1.v4.1 pep chromosome:Pop_tri_v4:15:15095586:15096552:-1 gene:Potri.015G147000.v4.1 transcript:Potri.015G147000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147000.v4.1 MPTGELRYPSPPPVNSTTTVDVEAGLVWAQIKAEARRDAESEPALASYLYSTILSHSSLERSLAFHLGNKLCSSTLLSTLLYDLFLNTFTSDPCLRAAAVADLRAARVRDPACVSFSHCLLNYKGFLACQAHRVAHKLWTQSRRPLALALHSRVSDVFAVDIHPAAKIGKGILFDHATGVVIGETAVVGNNVSILHHVTLGGTGKASGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPRTTAVGNPARLVGGKEKPAKHEECPGESMDHTSFISDWSDYII >Potri.001G388900.1.v4.1 pep chromosome:Pop_tri_v4:1:41095240:41097403:1 gene:Potri.001G388900.v4.1 transcript:Potri.001G388900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PPO11 MAYNLSPLTTSPSAISTSSLCPSILKKHAPFVARNQSHPNFHTVSCKARNDDHQNPSTRRDVLIGLGGLYGATNLSDPFAYAKPIQPPDIADCSVINEPDPENPTNCCPPLTRKIIDFKLPSQNEPLRIRRPAHLVDDNYIAKYNRAIALMKQLPEDDPRNFTQQANVHCAYCDGGYHQVGMPDLNYQVHFSWLFFPWHRYYLYFYERILGKLINDPTFALPFWNWDSPQGMQIPAFFADPKSAVYDPLRDKSHQPPKIIDLDFPGVDFPLPDPVQVASNLNVMYRQVVTAKYPTLFMGRPYRAGDEPEPGAGSLEDVPHTTVHIWTGDADQPNRENMGVFYAAARDPIFFAHHGNIDRLWEVWKKLPGGKRENFTDPDWLDAAFLFYDENANLVRVKIRDCLDTTKLRYGFQDVASPWINARPKRKPNKPKPTVPVDTADPTKPIGLLNKTVSVVVQRPNKKRSKKDKDEAEEVLVIEGIEYRIDLYIKFNVLINDVPDTPGKADDAEFAGTFVNVPHNRNKTVKTSLRLGISELLEDLEAEDDESVVVTLVPVTNIGEATIGTLRIELLKD >Potri.008G046100.1.v4.1 pep chromosome:Pop_tri_v4:8:2659868:2663358:1 gene:Potri.008G046100.v4.1 transcript:Potri.008G046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046100.v4.1 MAALSRIPKTSIKSLSSCISRSFSITTLNSEIDLKVPSFLSHNSLPLRQEPKEGNIQWVFLGCPGVGKGTYASRLSNFLGVPHIATGDLVREEVNSSGPLASQLKETVNQGKLVSDEIIISLLSKRLQAGEAKGESGFILDGFPRTIRQAEILEGVTDIDLVVNLKLREEALLAKCLGRRICVECGRNYNIACIDIKGENGNPGIYMDPLPAPPQCAPKLIQRADDTEEVVKERLRIYYEKSQPVEEFYRSRGKLLEFDLPGGIPESWPKLLEALNLDHEDKCSAAA >Potri.017G070200.4.v4.1 pep chromosome:Pop_tri_v4:17:7592866:7598252:1 gene:Potri.017G070200.v4.1 transcript:Potri.017G070200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070200.v4.1 MGSGQWMVEKRSSFRSDSFSKEYETVPETGCLSIIVLGASGDLAKKKTFPALYNLYRRGFLQSNEVYIFGYARTKISDDDLRNRIRGYFGKDASEHSEDVSKFLQLIKYVSGSYDTEDGFRLLDKEISEHEVSKNSAEGSSRRLFYLALPPSVYPPVCRMIRKCCMNRSDLGGWTRIVIEKPFGKDLESAEKLSAQIGELFEEPQLYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDHSNTPTFATVVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYKQRYQGVAIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDDGEMKPLQYQPGSRGPVEADELLAKAGYVQTHGYIWIPPTL >Potri.017G070200.1.v4.1 pep chromosome:Pop_tri_v4:17:7592522:7598275:1 gene:Potri.017G070200.v4.1 transcript:Potri.017G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070200.v4.1 MGSGQWMVEKRSSFRSDSFSKEYETVPETGCLSIIVLGASGDLAKKKTFPALYNLYRRGFLQSNEVYIFGYARTKISDDDLRNRIRGYFGKDASEHSEDVSKFLQLIKYVSGSYDTEDGFRLLDKEISEHEVSKNSAEGSSRRLFYLALPPSVYPPVCRMIRKCCMNRSDLGGWTRIVIEKPFGKDLESAEKLSAQIGELFEEPQLYRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNIDNVQIVFREDFGTEGRGGYFDEYGIIRDIIQNHLLQVLCLVAMEKPVSLKPEHIRDEKVKVLQSVLPIKDEEVVLGQYEGYRDDPTVPDHSNTPTFATVVLRIHNERWEGVPFILKAGKALNSRKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYKQRYQGVAIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDDGEMKPLQYQPGSRGPVEADELLAKAGYVQTHGYIWIPPTL >Potri.009G034300.1.v4.1 pep chromosome:Pop_tri_v4:9:4468349:4468624:1 gene:Potri.009G034300.v4.1 transcript:Potri.009G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034300.v4.1 MAEKWKLSKKEGSSSFTRSFSTKSSSSKAPLLRSSSLKISSPKCPLPRSCSQKSSSISRKCSSLAKEQKARFYIMRRCVAMLVCWHKHGDS >Potri.019G116800.1.v4.1 pep chromosome:Pop_tri_v4:19:14361879:14362148:-1 gene:Potri.019G116800.v4.1 transcript:Potri.019G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116800.v4.1 MSSTSKAWAVATSIAAVEALKDQGFCRWNYTIRSLYQHAKNQGKSSSLPKKLSSPVSTVVASKVRENQKARQSEESLRQVMYLSCWGPY >Potri.001G215500.1.v4.1 pep chromosome:Pop_tri_v4:1:22217904:22224196:-1 gene:Potri.001G215500.v4.1 transcript:Potri.001G215500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215500.v4.1 MGAQKSVHAGKAKIDANVDFTHKLCAALRLPSLRSTGSPLSLIIGSLCIKHPNLFGGSEKLDVSWDKGLYDSNVIIAFRRPRPEWLSQKCFVIQHSFSPEIGVHGTPIDNFSRSGSGGVNLSRISVGLDRNEPATSDWSSTTSIKFEHVQIVNDSWRSITRDIDGFPLTRSGSPHDNMVVLKQESQYAKANDRSFYQFRMQIEQGIPILSKWLIFNKFKFIATKGVKLGPAFLLASLAGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVANSELTFPLNKMLDGALFLDCGTDLRSACLVPGNPAMRQGKPGSGVGLGYGLRFKSPIGHFMVNHAINDFQQRTVYFGISNLI >Potri.005G014802.1.v4.1 pep chromosome:Pop_tri_v4:5:1181280:1184404:1 gene:Potri.005G014802.v4.1 transcript:Potri.005G014802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014802.v4.1 MSILTLPENSKAYQKVSVARCRLYCMKNCYCVAYAYNSSGCFLWEGDLINLKQSEIAAGRAGAEIYIRLAASELEPQIGSGSIRTGGNIKWKIRTTLAVAVPVTLITLGLFTYFSCLRKGKLIHKAKERTGHNLLRFDFDADPNSTTNESSSVDNRKKRWSKNIEFPLFSYESVSVATGQFSDKLGEGGFGPVYKGKLPTGLEIAVKRLSERSGQGLEEFRNETTLIAKLQHRNLVRLLGSCIERDEKMLIYEYMPNKSLDFFLFDANRGQILDWGTRIRIIEGIAQGLLYLHRYSRLRIIHRDLKPSNILLDSEMNPKISDFGMARIFGGNETQAHTNRIVGTYGYMSPEYAMEGLFSIKSDVFSFGVLVLEIVSGKKNTSFYHSDTLHLLGHAWKLWNSNKALDLMDPILGDPPSTATLLRYINIGLLCVQESPADRPTMSDVISMIANEHVALPEPKQPAFVACRNMAEQGPLMSSSGVPSVNNMTITAIDGR >Potri.004G010900.2.v4.1 pep chromosome:Pop_tri_v4:4:612628:623804:-1 gene:Potri.004G010900.v4.1 transcript:Potri.004G010900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010900.v4.1 MNTRSSWADLASNSADERTNAGSSANNESVGTAGNAPTRSTYVPPHLRNRAPSSDPPAAAFSGPASSNDRPGYGGGSRWGVPRNDYRGGYGSGGGRGGWDRGREREVDPFGSDRASTRLGGFHGIKASNKGVIVLKDGGDGHSEKLGGFQFSRDTNSVAVFGSLKILSYNVWFRADLEMHRRMEALGELIQLHSPDVICLQIYDIFQQSSWWKAYQCSVSSEIASSRRYFCMQLSKLPVKSFSTKPFMNSIMGRELCIAELEVPGKKSLVVATSHLESPCPAPPKWDQMFSKERVDQAKEAINLLKKNSNVIFCGDMNWDDKLDGQFPFPDGWVDAWVELKPGDDGWEYLDTISKIDIIGKEAIPGLSYIKEKKVRREVKMLELPVLPSDHYGVLLTISGL >Potri.002G031800.1.v4.1 pep chromosome:Pop_tri_v4:2:2085757:2088418:1 gene:Potri.002G031800.v4.1 transcript:Potri.002G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031800.v4.1 MILNGCAMPSGSAFSSTRTCKTMPGIMVSDHVPYTTARAALLSSRASLERHLESKSWSSRGFLSLHLSAASTPLLSGDYCGFSHYIPTFPRQRRSYSCPRASKDVPYSFRFPPMTKKPRWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKLGMHFWTAVAFAYLFTVLECIRCALAGMYADVPFACDAAYIQIPYD >Potri.001G391600.2.v4.1 pep chromosome:Pop_tri_v4:1:41501290:41502358:-1 gene:Potri.001G391600.v4.1 transcript:Potri.001G391600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391600.v4.1 MDGSDTHDKASWSKAMLHMFCDICITTIERGMRPNTHFGKAGWKFVVQSFKDQTGLSLSKSQLKNKWDGIKKDWREMRGAKKFRHVGIKPSLCAKYDIMFTNIVATGQYAWTPSQGLLSDEDNVTAGMRNTTNEDTNMEEGSGDSEEDAIPDFTRDVSNMVGGSNVAHSCSNPSSSKRKGAHQTTPQLRKKKRGTGMGAVLVAQGSQLLVEIKKGCSIEEVMEELHSIDGVTFGSALHTFATKFFWARSKREMWAAMGCIDRKVSWLKIMFDQHRQT >Potri.013G113800.1.v4.1 pep chromosome:Pop_tri_v4:13:12222137:12223476:-1 gene:Potri.013G113800.v4.1 transcript:Potri.013G113800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G113800.v4.1 MMKRVLYLTCFSPFFLREKPSSIHIDEAINSFSSLLQDIKNLESSLTKNSLSLRWCLEATNLLRKMHFQFLDIFQESEEPMFWDGGNYTDEYMEDSLHILDFCNVLRSAISTMDRYRLIVDVAVKRFSDEGYSGSAMNMTEIEKLEREWLKLYGIENWKNVNLYKTRRPKTKTKDNRLCAAYVVRRTMNTVCLLLFCAIFYPVSIELDEEVYTDFPQLKLFSSSLRKLVCCFFEERQGVKDNSRPVLVETKMVESAVEEFKVQILNGGVPLNKEKLKKTIDSLQNSSLAMKEGLGIFDSVVNELFQEVVKGRNMILGLVASS >Potri.003G015800.3.v4.1 pep chromosome:Pop_tri_v4:3:1754828:1765046:1 gene:Potri.003G015800.v4.1 transcript:Potri.003G015800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015800.v4.1 MAIEKHVKKKVNNLSEGRKCEDEKQPKVNWLQHANALDNISSRRKFLSANFLYSLESQKPMSMRLSFCDVQNPQRLQSSQVEKVKMCIAWHALSTLQISSRNYIRPGQTGPVKNVSEDLSGNVGQRPTFQSSSNISKYSEGVYAQKNLSGKNSEAAKHMGNVIPADNASHVQSGNNQGWPSDIKASSGVNTNQSNVLAGSFVNHTVQTCQTKEFVETSADYIDDDDLIESIDVDQIVMEHYQATCTPQPAISKFPPITPTADQNSFIRPEEISVPPELCSNCSHGFKLGLCPEAAKHLQEMKDMLIAVSNDLLDNAAELSQAQIDKLRQDRLQLNKQIQQLEKYLRDEERQKSHFSASTLVRNLQYETPQSAACKIDPMRFDAQVHLRNDLNEYEKWNAPSVSFSSIDSFGVSSVPLEREPYIPSFVEVNYIEGSNDPKWSSTNFPWTKKLEANNKKVFGNHSFRPNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALVCPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEILRELCSDYCKYRLLYVTPEKVAKSDVLLRNLESLNGRGLLARIVIDEAHCVSQWGHDFRPDYKELGILKKKFEKTPVLALTATATASVKEDVVQALGLVDCIVFRQSFNRPNLWYSVIPKTKKCLEDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQECGHKTAFYHGNMDAAQRSFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMIIQGQAEQSPWTAGCGRNNMKSSDRILEKNTENLLRMVSYSENDVDCRRLLQLLHFGEKFDAGNCGNTCDNCSKIKTLVERDVTESAKQLVELVKLTGQHFSSSHILEVYRGSLSQFVKRHRHENLSLHGAGKHLAKGEASRILRHLVIEDFLAEDVKKSDFYGSVSSVLKVNESKAHKLCSGGQRIVLRFPSSVKASKQGKSEATPAKGSLMSGKLSPPQAGSPAQPQSEVDLNLSAKLFSALRMLRTALLKEAGDGVMAYHIFGNATLQHMSKRIPRTKEELLEINGIGKAKVSKYGDRVLETIESTIREYNKGDRNSSGSNESSDSIKRRRDASKALNGNMEEEDEFTKSTGRSKKRTVTRQNKGSEVHNSMEPVSCNQFLDDDLDFKDSYHDLEADA >Potri.003G015800.1.v4.1 pep chromosome:Pop_tri_v4:3:1754827:1765024:1 gene:Potri.003G015800.v4.1 transcript:Potri.003G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015800.v4.1 MAIEKHVKKKVNNLSEGRKCEDEKQPKVNWLQHANALDNISSRRKFLSANFLYSLESQKPMSMRLSFCDVQNPQRLQSSQVEKAWHALSTLQISSRNYIRPGQTGPVKNVSEDLSGNVGQRPTFQSSSNISKYSEGVYAQKNLSGKNSEAAKHMGNVIPADNASHVQSGNNQGWPSDIKASSGVNTNQSNVLAGSFVNHTVQTCQTKEFVETSADYIDDDDLIESIDVDQIVMEHYQATCTPQPAISKFPPITPTADQNSFIRPEEISVPPELCSNCSHGFKLGLCPEAAKHLQEMKDMLIAVSNDLLDNAAELSQAQIDKLRQDRLQLNKQIQQLEKYLRDEERQKSHFSASTLVRNLQYETPQSAACKIDPMRFDAQVHLRNDLNEYEKWNAPSVSFSSIDSFGVSSVPLEREPYIPSFVEVNYIEGSNDPKWSSTNFPWTKKLEANNKKVFGNHSFRPNQREVINATMSGYDVFVLMPTGGGKSLTYQLPALVCPGITLVISPLVSLIQDQIMHLLQANIPAAYLSANMEWTEQQEILRELCSDYCKYRLLYVTPEKVAKSDVLLRNLESLNGRGLLARIVIDEAHCVSQWGHDFRPDYKELGILKKKFEKTPVLALTATATASVKEDVVQALGLVDCIVFRQSFNRPNLWYSVIPKTKKCLEDIDKFIKENHFDECGIIYCLSRMDCEKVAEKLQECGHKTAFYHGNMDAAQRSFVQKQWSKDEINIICATVAFGMGINKPDVRFVIHHSLPKSIEGYHQECGRAGRDGQRSSCVLYYSYSDYIRVKHMIIQGQAEQSPWTAGCGRNNMKSSDRILEKNTENLLRMVSYSENDVDCRRLLQLLHFGEKFDAGNCGNTCDNCSKIKTLVERDVTESAKQLVELVKLTGQHFSSSHILEVYRGSLSQFVKRHRHENLSLHGAGKHLAKGEASRILRHLVIEDFLAEDVKKSDFYGSVSSVLKVNESKAHKLCSGGQRIVLRFPSSVKASKQGKSEATPAKGSLMSGKLSPPQAGSPAQPQSEVDLNLSAKLFSALRMLRTALLKEAGDGVMAYHIFGNATLQHMSKRIPRTKEELLEINGIGKAKVSKYGDRVLETIESTIREYNKGDRNSSGSNESSDSIKRRRDASKALNGNMEEEDEFTKSTGRSKKRTVTRQNKGSEVHNSMEPVSCNQFLDDDLDFKDSYHDLEADA >Potri.007G101000.1.v4.1 pep chromosome:Pop_tri_v4:7:12524819:12526549:1 gene:Potri.007G101000.v4.1 transcript:Potri.007G101000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101000.v4.1 MSFQYPFRNFSVGASLSSVPEKIPYDPLTSTTPQNTVTCAYQAYVAGYWRNLTVFWCKNHMNHTLNLIINNLEGEVCCNCKIDLKPWLFWSKKGSKSFELEGCQVDLHWDFRSAKFAGSPEPASDYYVAVVSDEEIVLSLGDYKKKAYKRANARPPLVEAILYLKKEHVFHKKTFSTRAKFDEKKHEHDIIVESSTGGPRDPEMWISMDGIIMIHVRNLQWKFRGNQTVMLSRQPVQVFWDVHDWLFTAPGTGHGLFIFKPGVSESEDDRDSSSYGAQSDTSDGSMYFSTRSVSATPEFCLFLYAWKIE >Potri.007G050800.3.v4.1 pep chromosome:Pop_tri_v4:7:4937669:4941999:1 gene:Potri.007G050800.v4.1 transcript:Potri.007G050800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050800.v4.1 MANSEQVVENDAGSSLIEQLGRALHELEACKDSSEEKVQWMEIEEHFRNLETVLKKKSEELEAREKEYEEKEAETRALLAEREVAVVTKELELLDRIQELKDTAVSVIAEARANHQPSSLECIDGGDNIDRKVSSSLAEINYPGEYSQKMGETADGVAADVKPRPELTQFCEQMDAKGLLSFSMENQKNLYAIRDELSVALEIATEPARLVLDSLEGFYPPVETGQQMDKKDAALQGMRKSCVIFMEAMAALLARIDPGADHLLNPEIKQQAKAFADEWKPKLASAGTDATNGDPLEAEAFLQLLSTFRIASEFDEEELCKLVLVIAQRRQAPELCHSLGLTHKIPGVVESLVNDGKQIDAVRFIHAFQLTEIFPPVPLLKTYLKDLRRNSQNTQRKGGNSGGGAGVQVDGNALELAALKVVIRCVEEYKLEADYPLDPLQKRLAQLEKSKSDKKRAGDFGKHYQSKKPKVNRGYRGLRGAATGPASGWRAPPPWTAYPGMLPERYPHTVPNPYEYQIPSQSAYGQQANDQKMYYNPQDDRVTAASSYSAAPPNYGSYMGAGTRSSHQPYMQ >Potri.007G050800.4.v4.1 pep chromosome:Pop_tri_v4:7:4937679:4941964:1 gene:Potri.007G050800.v4.1 transcript:Potri.007G050800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050800.v4.1 MANSEQVVENDAGSSLIEQLGRALHELEACKDSSEEKVQWMEIEEHFRNLETVLKKKSEELEAREKEYEEKEAETRALLAEREVAVVTKELELLDRIQELKDTAVSVIAEARANHQPSSLECIDGGDNIDRKVSSSLAEINYPGEYSQKMGETADGVAADVKPRPELTQFCEQMDAKGLLSFSMENQKNLYAIRDELSVALEIATEPARLVLDSLEGFYPPVETGQQMDKKDAALQGMRKSCVIFMEAMAALLARIDPGADHLLNPEIKQQAKAFADEWKPKLASAGTDATNGDPLEAEAFLQLLSTFRIASEFDEEELCKLVLVIAQRRQAPELCHSLGLTHKIPGVVESLVNDGKQIDAVRFIHAFQLTEIFPPVPLLKTYLKDLRRNSQNTQRKGGNSGGGAGVQVDGNALELAALKVVIRCVEEYKLEADYPLDPLQKRLAQLEKSKSDKKRAGDFGKHYQSKKPKVNRGYRGLRGAATGPASGWRAPPPWTAYPGMLPERYPHTVPNPYEYQIPSQSAYGQQANDQKMYYNPQDDRVTAASSYSAAPPNYGSYMGAGTRSSHQPYMQ >Potri.008G073500.5.v4.1 pep chromosome:Pop_tri_v4:8:4556560:4563822:-1 gene:Potri.008G073500.v4.1 transcript:Potri.008G073500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073500.v4.1 MKRKRLHQSKHPFNAHPFEALCCGSWQSVELIQIRDGAMTVHFVDGHHRIEEKGPFSNVRVKSRKATSSDCTCFLRPGIDVCVLSSSERAKNTGEGNSEPVWVDAKISSIKRKPHVSHCSCQFFVNLYVNQGPLGSERARLSKETEAVGINEISVLQKLDNDPCEADNNQQEAQFYRWEFCEDCSLVQRSKLFLGRFSADLTWLLVASVLKQVEFDVRSVQNKIVYQILGGENEHCSLKSNNHINCVTFKVKDSISTPFVVQLVPTDACSEAGHISDTNGTKQSPCYDVMSLRRSKRRNVQPERFLACDAPAETEIGWVRSLPYTPLKWKAEEEEEEEMHLPLAYLFGTHAGASCAEEQTCNEVGASSPKLELLEGIPVSRTKTYLKEIKSNVVNRRDHQTEPGEVRAGMAKRRECQKSTMADRIEHQTRLGDAESGMANRKKHGTQIREVKSGVANRREHQDQLAIVPVHTEDVLATFEQFDSPVKTPEPYSQAFIEFPISYYRKKSSPAAHRKNDRDEDLMFGNGWGGKFSTKKVQRARYRSTHLKQDGSCAPMTYKRTALSAGAYNKLISSYMKNIDATIKSKEVPRIIDQWEEFKAKHSSDQKEKMEPSSVKDDGESSETEMLWREMELCLASAYILEDNEALLSTRTTQKNCQHDFKLDEEIGILCQICGFVKTEIKYVSAPFMEHTGWTAESKPQNEEDSELKPDEDEGSSLFGNHTSGEDVPVSEVNDNVWDLIPELRPKLHMHQKKAFEFLWKNTAGSLVPAHMEKTSKKIGGCVVSHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGTRSSRAFKQTPAALRGSGPRPSQDVVHILDCLEKMQKWHAQPSVLVMGYTSFLTLMREDSKYNHRKYMAKVLRESPGMLILDEGHNPRSTKSRLRKVLMKVETDLRILLSGTLFQNNFCEYFNTLSLARPMFIKEVLKALDPKFKRKKKGAQKARHLLESRARKFFIDNIASKINSDEAEEKMQGLNMLRNMTNGFIDVYEGTASDTLPGIQIYTILMNPTDIQHQILVKLHKIMEKCPGYPLEVELLITLASIHPSLVNSSVCVKKFYNLEELMELEKLRFDCKKGSKVMFVLNLVYRVVKNEKVLIFCHNIAPIKLFLELFENIFRWQQGKEILVLTGELELFERGRVMDKFEELGGPSRVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLATGTVEEDKYRRTAWKEWVSRMIFSEEFVEDPSRWQAEKIEDDVLREIVEEDRVKSFHMIMKNEKASTS >Potri.008G073500.1.v4.1 pep chromosome:Pop_tri_v4:8:4556403:4563915:-1 gene:Potri.008G073500.v4.1 transcript:Potri.008G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073500.v4.1 MKRKRLHQSKHPFNAHPFEALCCGSWQSVELIQIRDGAMTVHFVDGHHRIEEKGPFSNVRVKSRKATSSDCTCFLRPGIDVCVLSSSERAKNTGEGNSEPVWVDAKISSIKRKPHVSHCSCQFFVNLYVNQGPLGSERARLSKETEAVGINEISVLQKLDNDPCEADNNQQEAQFYRWEFCEDCSLVQRSKLFLGRFSADLTWLLVASVLKQVEFDVRSVQNKIVYQILGGENEHCSLKSNNHINCVTFKVKDSISTPFVVQLVPTDACSEAGHISDTNGTKQSPCYDVMSLRRSKRRNVQPERFLACDAPAETEIGWVRSLPYTPLKWKAEEEEEEEMHLPLAYLFGTHAGASCAEEQTCNEVGASSPKLELLEGIPVSRTKTYLKEIKSNVVNRRDHQTEPGEVRAGMAKRRECQKSTMADRIEHQTRLGDAESGMANRKKHGTQIREVKSGVANRREHQDQLAIVPVHTEDVLATFEQFDSPVKTPEPYSQAFIEFPISYYRKKSSPAAHRKNDRDEDLMFGNGWGGKFSTKKVQRARYRSTHLKQDGSCAPMTYKRTALSAGAYNKLISSYMKNIDATIKSKEVPRIIDQWEEFKAKHSSDQKEKMEPSSVKDDGESSETEMLWREMELCLASAYILEDNEALLSTRTTQKNCQHDFKLDEEIGILCQICGFVKTEIKYVSAPFMEHTGWTAESKPQNEEDSELKPDEDEGSSLFGNHTSGEDVPVSEVNDNVWDLIPELRPKLHMHQKKAFEFLWKNTAGSLVPAHMEKTSKKIGGCVVSHTPGAGKTFLIIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVHLIHGTRSSRAFKQTPAALRGSGPRPSQDVVHILDCLEKMQKWHAQPSVLVMGYTSFLTLMREDSKYNHRKYMAKVLRESPGMLILDEGHNPRSTKSRLRKVLMKVETDLRILLSGTLFQNNFCEYFNTLSLARPMFIKEVLKALDPKFKRKKKGAQKARHLLESRARKFFIDNIASKINSDEAEEKMQGLNMLRNMTNGFIDVYEGTASDTLPGIQIYTILMNPTDIQHQILVKLHKIMEKCPGYPLEVELLITLASIHPSLVNSSVCVKKFYNLEELMELEKLRFDCKKGSKVMFVLNLVYRVVKNEKVLIFCHNIAPIKLFLELFENIFRWQQGKEILVLTGELELFERGRVMDKFEELGGPSRVLLASITACAEGISLTAASRVILLDSEWNPSKTKQAIARAFRPGQQKMVYVYQLLATGTVEEDKYRRTAWKEWVSRMIFSEEFVEDPSRWQAEKIEDDVLREIVEEDRVKSFHMIMKNEKASTS >Potri.009G111692.1.v4.1 pep chromosome:Pop_tri_v4:9:9540559:9544023:-1 gene:Potri.009G111692.v4.1 transcript:Potri.009G111692.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111692.v4.1 MDILNPTKPLSSSLFNTHFRKPTLLLNSKILKLKPQIHTLYSNNNKRSRLSVTIVTCSKLKTSKEIKDKDKNASGKILLSNSAPPVLSEESGGGGGGNGEKVPVKTGTGALGFLKRLPRKVLAVLSNLPLAIGEMFSIAVLMALGTLIDQGEAPEFYFQKFPEENPLLGFFTWKWVLTLGFDHMYSSPVFLGMLALLGVSLMACTYTTQIPLAKVARRWNYLHSADAIRKQEFSDNLPRASVQDLGVILMGSGYEVFLKGPSLYAFKGLAGRFSPIGVHLAMLLIMAGATLSATGSFRGSVTVPQGLNFVVGDVLGPSGFLSTPTEAFNTEVHVNRFYMDYYDGGDIKQFHTDLSLFDLNGKEVMRKTISVNDPLRYGGITMYQTDWSISALQVRKDDEGPFNLAMAPLKISGDNKLYGTFLPVGDVNSPNVKGISMLARDLQSIVLYDQEGKFVGVRRPNSKLPIDIDGMKIIIEDAIGSSGLELKTDPGVPVVYAGFGALMLTTCLSYLSHSQIWALQDGTAVIVGGKTNRAKAEFQYEINFLLDKVPEIVESSLSKQSDVASG >Potri.018G084700.1.v4.1 pep chromosome:Pop_tri_v4:18:10242824:10246847:-1 gene:Potri.018G084700.v4.1 transcript:Potri.018G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084700.v4.1 MTEDKENKNGIMERKRKRKQNDRNKKNPEENQNNHNQDQDQKNAVKEDKEKESEGKTTKQEVTSEDNNKRKHKIVFPFGNYRNYYGYRINEVDGDPRLKVFERDWFQGKDCLDIGCNSGILTIQIARKLHCKSILGIDIDSDRVSDAYWHLRKFARTENVEKNSTKVTRLEVKNKVNGAKRSASASSVETKEDSSASSKGDLFDVVSFRQENFVQSQRPSEKQYDTILCLSVTKWIHLNWGDDGLITLFSKIWRLLHPGGILVLEPQPWQSYEKNRRVSETTAMNYRTIMFRPESFREILLDKIGFRRVEDITDGLSGSKAGFDRPIFVYHK >Potri.011G165500.1.v4.1 pep chromosome:Pop_tri_v4:11:18998904:19000110:-1 gene:Potri.011G165500.v4.1 transcript:Potri.011G165500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165500.v4.1 MLSLPPPPPSLLPTEAAPPQEKRSFPVSLNQIVISKQARNPQSSSAETSNSDSKKLSKPPIFKHPRPRRTNPVIWCGAILCLIFSLVLIIFGIATLIIYLVIKPRNPVFDLPNANLNSVYFDSPEYFNGDLTFLANFSNPNRKIDVRFEYVDIELYFSDRLIGTQALQPFTQRSRETRLESVHIISSLVYLPQNLAVELQRQVQSNKVNYNIRGTFKVRANMGLLHYSYWLHGRCEIEMTGPPTGVIVARSCRTKR >Potri.001G063500.4.v4.1 pep chromosome:Pop_tri_v4:1:5976641:5979561:-1 gene:Potri.001G063500.v4.1 transcript:Potri.001G063500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063500.v4.1 MRLKAFILFHFIEMLMVLVMMASLQGRLPLCCLGEERIALLQLKDALHYPNGTSLPSWIKGHAHCCDWESIICSSSTGRVTALVLDSTRNQELGDWYLNASLFLPFQELNALYLSDNRIAGWVKNKGSYELLRLSNLEHLDLRYNRFDNSCCTCAASR >Potri.001G063500.5.v4.1 pep chromosome:Pop_tri_v4:1:5976994:5977805:-1 gene:Potri.001G063500.v4.1 transcript:Potri.001G063500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063500.v4.1 MGLFLQMLMVLVMMASLQGRLPLCCLGEERIALLQLKDALHYPNGTSLPSWIKGHAHCCDWESIICSSSTGRVTALVLDSTRNQELGDWYLNASLFLPFQELNALYLSDNRIAGWVKNKGSYELLRLSNLEHLDLRYNRFDNSCCTCAASR >Potri.001G063500.2.v4.1 pep chromosome:Pop_tri_v4:1:5973668:5979561:-1 gene:Potri.001G063500.v4.1 transcript:Potri.001G063500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063500.v4.1 MRLKAFILFHFIEMLMVLVMMASLQGRLPLCCLGEERIALLQLKDALHYPNGTSLPSWIKGHAHCCDWESIICSSSTGRVTALVLDSTRNQELGDWYLNASLFLPFQELNALYLSDNRIAGWVKNKGSYELLRLSNLEHLDLRYNRFDNSCCTCAASR >Potri.008G189200.1.v4.1 pep chromosome:Pop_tri_v4:8:13265368:13269770:1 gene:Potri.008G189200.v4.1 transcript:Potri.008G189200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189200.v4.1 MVNMRGFSFRSLAFMLFIVFLVWSASFDTCIARRGKHWRQSRSNPASLAKKKGKSHGNSHHQHHSGVSKPKAPPHKAPALPPPAPKEKAPKPSPPQKGSTTFNVLDFGAKGNGKSDDTKAFQAAWAAACKVEASTLIVPAEYVFLVGPISFSGPYCQANIVFQLDGTIIAPSNANAWGRGLLQWLEFTKLVGITIQGKGTIDGSGSVWWQDYPFEDPIDSESELIIPLNNTVQQHPPMPIRNELNGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCVGVVVHDMRVSSPGNSPNTDGIHLQNSKDVLIRSTDLACGDDCVSIQTGCTNVYIHNVNCGPGHGISIGGLGKDNTKACVSNITVRDVVMHGTMTGVRVKTWQGGSGSVQGVLFSNIQVSEVQLPIVIDQFYCDKRKCKNQTSAVALSGITYENIRGTYTVKPVHFACSDALPCMDVSLTTIELKPLQEQYHLYDPFCWQTFGELKTPTMPPISCLQIGKPSGNRPQRDYDAC >Potri.010G127500.2.v4.1 pep chromosome:Pop_tri_v4:10:14442095:14448577:1 gene:Potri.010G127500.v4.1 transcript:Potri.010G127500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127500.v4.1 MSTMEEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLALAEELGVFIPYVGGVEYAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRETDLVDWFIPLVKRLAAGEWFTSRVSACGLFHIAYPSAPEMLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVESVHLKADILSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPEHAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMEHIIPQVLEMSTNPHYLYRMTIIRAVSLLAPVMGSEITCSKLLPVVVNASKDRVSNMKFNVAKVLQSLIPIVDQSVAEKTIRPCLVELSEDPDVDVRFFANQALQTIDSVMMSS >Potri.010G127500.7.v4.1 pep chromosome:Pop_tri_v4:10:14442095:14448717:1 gene:Potri.010G127500.v4.1 transcript:Potri.010G127500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127500.v4.1 MSTMEEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLALAEELGVFIPYVGGVEYAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRETDLVDWFIPLVKRLAAGEWFTSRVSACGLFHIAYPSAPEMLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVESVHLKADILSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPEHAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMEHIIPQVLEMSTNPHYLYRMTIIRAVSLLAPVMGSEITCSKLLPVVVNASKDRVSNMKFNVAKVLQSLIPIVDQSVAEKTIRPCLVELSEDPDVDVRFFANQALQTIDSVMMSS >Potri.010G127500.1.v4.1 pep chromosome:Pop_tri_v4:10:14442016:14448659:1 gene:Potri.010G127500.v4.1 transcript:Potri.010G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127500.v4.1 MSTMEEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLALAEELGVFIPYVGGVEYAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRETDLVDWFIPLVKRLAAGEWFTSRVSACGLFHIAYPSAPEMLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVESVHLKADILSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRTDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPEHAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAMEHIIPQVLEMSTNPHYLYRMTIIRAVSLLAPVMGSEITCSKLLPVVVNASKDRVSNMKFNVAKVLQSLIPIVDQSVAEKTIRPCLVELSEDPDVDVRFFANQALQTIDSVMMSS >Potri.006G113200.4.v4.1 pep chromosome:Pop_tri_v4:6:8764922:8767305:-1 gene:Potri.006G113200.v4.1 transcript:Potri.006G113200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113200.v4.1 MEKYEKLEKVGEGTYGKVYKAKDKVTGQIVALKKTRLEMDEEGVPPTALREVSLLQMLSQSLYVVRLLSVEHVDANSTNSNLDDSNPSKRNHNNNNKSNLYLVFEYLDTDLKKFIDSHRKGANPRPLSPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDQEKGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSTGVDMWSVGCIFAEMSRRQALFPGDSEFQQLLHIFRLLGTPTEEQWPGVTALRDWHVYPKWEPQNLARVVQSLGPEGVDLLSKMLKYDPAERISAKAAMDHPYFDSLDKSQF >Potri.016G015200.2.v4.1 pep chromosome:Pop_tri_v4:16:800102:801357:-1 gene:Potri.016G015200.v4.1 transcript:Potri.016G015200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015200.v4.1 MAMTKKILMVLVLVLVLVSLNDGAQVHHVVGGDRGWHPYSDIGSWSSARTFRVGDKIWFTHSAAQGRIAEVETKEEYLTCDVSNPIRMYTDDSDGITLDGEGVRYFTSSSSDKCKNGLKLHVEVVVPEARTDTTTAQVASEGSDKAIAAPPESSAPSHFGASFALLMAGFWLSYMGI >Potri.001G295700.1.v4.1 pep chromosome:Pop_tri_v4:1:30686918:30688220:1 gene:Potri.001G295700.v4.1 transcript:Potri.001G295700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295700.v4.1 MSCNGCRVLRKGCSEDCVLRQGIGWINNPQAQANATVFVAKFFGRAGLMSFMSSVPQSQRPSLFQSLLFEAVGRAVNPVSGAVGLLWTGNWHLCQKAVMAVLRRGTVEPLPELEGGVSGPEFDSVSESGGFRPPIDHVSSGSQKLKRKRNDDGAKYGQTTDLDLRLMYQGSESPSEESETTTLESSLGDNCRFHEGGEPKLLRLFV >Potri.004G203300.1.v4.1 pep chromosome:Pop_tri_v4:4:21234043:21236635:1 gene:Potri.004G203300.v4.1 transcript:Potri.004G203300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203300.v4.1 MEHLPVEVIGNILSRLGGARDVVIASATCRKWREACRKHLHTLSFNSNDWHVYHDLTTRRLEILITQTIFQTTGLQGLSILMDDADDFSASTVIAWLMYTRETLHRLIYNVRTNPNVNILEICGRQKLETLELSHNSITGVEPNFQRFPCLKSLSLSYVSISALDLNLLLTACPKIETFGLVNPEIAMSDAQVTVELSSPTLKSVYVEAISLDKFILEADSIESLHLKDCALELFELIGKGTLKHFKIDDVSVIQLYIGETVDNLEIIDVSNFTIIWPKFYQMISKSSKLRKLRLWDVVFDDEDEIVDLENIAVCFPHLSHLALSYDLRDGVVNYGLQGSSHLEYVIILELGWTVINDLFSLWVEGLLKLCPNLKKLVIRGVVSEAKSHEECQMLANFTSSMVQLMRTYMHVDVQFDYE >Potri.016G004200.1.v4.1 pep chromosome:Pop_tri_v4:16:210029:213562:1 gene:Potri.016G004200.v4.1 transcript:Potri.016G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004200.v4.1 MNTAKRAAQLLSTQNIRSLFDSVEAFLFDCDGVIWKGDKLIDGVSQTLDWLRSKGKKLVFVTNNSLKSRIQYAKKFHSLGISVAEDEIFSSSFAAAMYLKVNNFPQEKKVYVIGGEGILEELQLAGYTGLGGPEDGEKRVELKPNSLFEHDKSVGAVVVGIDPRINYYKLQYGTLCIRENPGCLFIATNRDAVGHMTDLQEWPGAGSMVAAMCGSTEREPIVVGKPSTFMMDFLLQKFHINTSKMCMVGDRLDTDILFGQNAGCKTLLVLSGVTTQTTLRDPSNSVQPDYYTSQVSDLLHLLGP >Potri.004G078200.7.v4.1 pep chromosome:Pop_tri_v4:4:6462775:6471288:1 gene:Potri.004G078200.v4.1 transcript:Potri.004G078200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078200.v4.1 MQDFTQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSPSLLDSRDEASNGLMWLRGGSGEPGLPSLNFQANMLPWMQQRLDPTMLGNDHNQRYQAMLAAGMQNLGSGDPLRQQFMQLQQPFQYLQQSSSHNPLLQLQQQQQQQAIQQSIPHNILQAQNQISTESLPRHLLQQQLNNQPGDQAQQHQHIYHDGLQIQTDQLQRQQSNLPSPSFSKTEYMDSSSKFSATNTPMQNMLGSLCSEGSVNLLDFSRAGQSTLTEQLPQQSWVPKYAHQEVNAFANSISLPRTYPEKDPSMEAENCSSDAQNPTLFGANIDSSGLLLPTTVPRYSTSSIDADVSSMPLGDSGFQNSLYGCVQDSSELLSNAGQMDPPTPSGTFVKVYKSGSVGRSLDISRFSSYHELRGELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWELFVNNVWYIKILSPEDVLKLGEQGVEPSGPNAVHRMSGGVA >Potri.004G078200.3.v4.1 pep chromosome:Pop_tri_v4:4:6462009:6471973:1 gene:Potri.004G078200.v4.1 transcript:Potri.004G078200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078200.v4.1 MKLSTSGLGGQQAGQEGEKKCLNSELWHACAGPLVSLPTAGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKETFLPMDLGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYIKAVFHTRISVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSLFPLRLKRPWHPGSPSLLDSRDEASNGLMWLRGGSGEPGLPSLNFQANMLPWMQQRLDPTMLGNDHNQRYQAMLAAGMQNLGSGDPLRQQFMQLQQPFQYLQQSSSHNPLLQLQQQQQQQAIQQSIPHNILQAQNQISTESLPRHLLQQQLNNQPGDQAQQHQHIYHDGLQIQTDQLQRQQSNLPSPSFSKTEYMDSSSKFSATNTPMQNMLGSLCSEGSVNLLDFSRAGQSTLTEQLPQQSWVPKYAHQEVNAFANSISLPRTYPEKDPSMEAENCSSDAQNPTLFGANIDSSGLLLPTTVPRYSTSSIDADVSSMPLGDSGFQNSLYGCVQDSSELLSNAGQMDPPTPSGTFVKVYKSGSVGRSLDISRFSSYHELRGELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWELFVNNVWYIKILSPEDVLKLGEQGVEPSGPNAVHRMSGGVA >Potri.003G051100.1.v4.1 pep chromosome:Pop_tri_v4:3:7465525:7471953:-1 gene:Potri.003G051100.v4.1 transcript:Potri.003G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051100.v4.1 MKPYYNTMNESFLTISALAFALRDKNKGQIMASLDSPNSPPNPSFAIRETAAHKFLRSISIGEAPSFSHFPCSPAPRLSTNSNIHTSPIFSSPPRQGSCTPVDNLVTNSESTNYTYRCLSSVLKRDGQILSIAMSNSLIYSGSSTNIIRLWKLPEFSECGHLKSKARMVVALQVSHDRVYAAYADGKIRIWRRTWDGAFKHIRLATIPSSGGYVRSLIARKDKMMKHVGPITSLAINLSDDIIYSASLDRTVKVWRISDLKCIETIQAHLEPVNAVVVADDGILYTASDDASIRVWRRNFCSGEWPHSLTVTLSSKHSPVRTLTLTSDNGVLYGGCTDGYIHYWLKGWFPGQLQYGGALQGHTHAIMCMANVSKYVISGSADSTSRVWVRDSDGQHTCLAVLVGHRGPIRCVTAFLGRLEDDNEDGCTICTGSLDGVLKLWRVTRTNKESGSLSQNASDYFDL >Potri.019G081600.1.v4.1 pep chromosome:Pop_tri_v4:19:12185251:12189777:1 gene:Potri.019G081600.v4.1 transcript:Potri.019G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081600.v4.1 MQKLLQRNPSSPLLCTSVSTNYFLFKNKNYSYISLSMPAATYFYSNSSSLAASLAKKLTLAPALPSRFYSTTACSASRCAVGLITNTPTRSWVVARPAWYCTVAGGQEGSVVTAAALAAGEGVRSSGDGDEEGKGEKIEKGAEEKAARLSRRQKGTGGDMEGNADLLIIPGVGPKNLRKLVEKGFTGMAELKQFYKDKFLGKSSETMVEYLQSSVGIIHKNHAESITTFIKESVDEELKDLNSDARPKPKKRLTFCVEGNISVGKTTFLKRIVSDTIELRDLVEVVPEPIDKWQDVGPDHFNILDAFYADPSRYAYTFQNYVFVTRVMQERESSGGLKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSVLPGLIPDAFIYLRASPDTCHKRMMHRKRTEEGGVSLDYLRDLHDKHESWLFPFESGNHGVLSVSKLPLNLDNALHPDIRDRVFYLEGDHMHSSIQKVPALILDCEANIDFSRDVEAKENYARQVAEFFKHVKKMKEVPSTKGDGGVNQRKVVLPHEGGLLLPNGAHFPESALKSLDFTRAMSFMSGQ >Potri.014G140200.1.v4.1 pep chromosome:Pop_tri_v4:14:9519558:9524469:1 gene:Potri.014G140200.v4.1 transcript:Potri.014G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140200.v4.1 MRNGTWSVGESSSSTSLSSQHDNEDDRMIALVLTEEYANLDGGVAKRLSNLAPVPHVPRINSYIPNLSDASLDHQRLLQRLNVYGLCEVKVSGDGNCQFRALSEQMFKSPEHHKHVRKDVVKQLKEHRSLYEGHVPMKYKRYCKKMAKSGEWGDHVTLQAAADKFAAKICLLTSFRDTCFIEIMPQYQPPKRELWLSFWSEVHYNSLYEIRDAPVPQKPKKKHWLF >Potri.001G439000.1.v4.1 pep chromosome:Pop_tri_v4:1:46487503:46489959:1 gene:Potri.001G439000.v4.1 transcript:Potri.001G439000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G439000.v4.1 MDSKNNQSPTSRILVEFLEVAITSVVFLKGVYPSDAFERRRYMNVVVQRARHPQLRDYIHSAVSGLLPFIQKGLVERVAVIFFNTDNIPLERFIFKLAMDQSYGSKVEEGDLEFSLRSFLVKLSVSEGLTKVLPRDCRWEITAYFRSLPHVSTSKVADLWIPTDTKQWQQPSLITPIKSMSSEPLSVQLYLEHPSLSEPKPQEKKIL >Potri.016G038500.1.v4.1 pep chromosome:Pop_tri_v4:16:2373819:2377119:-1 gene:Potri.016G038500.v4.1 transcript:Potri.016G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038500.v4.1 MEQNLLTERPNEEEGGAHQEKENGSFGMESLGRCLSSFVDEGSTESHRYYLSRRTVLEMLKDRGYSVPSSEIDISLQDFRGVYGQNPDIELLKFSATHKSDPSKRMLVIFCGLGVVKVGMIRLITVQITDRDSLTGLILVLQNNITNQAMKALDLFKFKIEIFQITDLLVNITKHILKPKHQVLSEQAKQRLLKKYSIEEKQLPRLLKKDAISRYYGLERGQVVKVTYDGDITGSHVTYRCVW >Potri.016G020900.1.v4.1 pep chromosome:Pop_tri_v4:16:1127116:1129740:-1 gene:Potri.016G020900.v4.1 transcript:Potri.016G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020900.v4.1 MTCIILADHKPHAVCFPSPAQSHIKSMLKLAKLLHYKGFHITFVNTEFNHKRLLKSRGSDSLKGLPDFQFESIPDGLPPSDENATQDLPGLCEAARKNLLAPFNDLLDKLNDTASPDVPPVTCIVSDGFMPVAIDAAAKREIPIALFFTISACSFMGFKQFQALKEKGLTPLKDESFLTNGYLDRVVDWIAGMKDIRLRDLPSFIRTTDPNDCLFNFCMESVERSPSGSAVIFHTFDSLEQEVLTSLYSMFPRVYTIGPLQLLLNQIQEDDLDSIDCNLWKEEVECLQWLDSRKPNSVIYVNFGSIAVATKEQLVEFGMGLSKSGHPFLWIIRPDIITGDSAILPPEFTEETKERGFICSWCPQEEVLNHPSIGGFLTHCGWGSTIESISSGVPMLCWPSFGDQQTNCRYTCNEWAIGMEIDSNVTRENVEKQVRELMEGEEGKKMKKKAMEWKRLALEATRPSGSSSMNLDKLVTEVLLS >Potri.006G071100.2.v4.1 pep chromosome:Pop_tri_v4:6:5189915:5191210:-1 gene:Potri.006G071100.v4.1 transcript:Potri.006G071100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071100.v4.1 MTSSFPSCSQVSLNKPKQKKSSSVDAVIGNNDLVTQILLRVPAKSVLKFKLVSKKWLSIISHPSFAFHHTQLNLHTTSALLLRVLFLFKEPFIYRFLSLDGKSVVNVPSNFLCFDPDNPRSTYISQSCNGLHLCYRGIFCYIERNRSTYYKILCVSHLESTLEIYVYSSETKIWKLSVRHEDYDISPAGLSNCVFWNGAVHWIDPAGNGFCFLVDEECLRAMPRPPLPASWELNSFRYFGESDGQLHFIGLLAGEQNPDAMNMGVNVSPDMSAGQMARSRSSNIGSQFIVYYLDANAIAVAYAEMMEDPTVSPCLVNGSINISSFIEGNNEEEPLLVINMPGEIISYSFKSKTFKKLFSFHPCEDHICSALQYTETLAWV >Potri.003G087500.1.v4.1 pep chromosome:Pop_tri_v4:3:11400741:11403368:-1 gene:Potri.003G087500.v4.1 transcript:Potri.003G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087500.v4.1 MADLPGYMRACLHTGKLAFLAILVSGGIVLQILACALYNNWWPMLTVIMYVPLPMPLLFFVGSDSSTLLTESDSGWVNATKFLTGASVIGSIAIPVILKHAGVIGWGALAMELSSFFVFVIAIMCYIGTSDEADYSML >Potri.003G087500.2.v4.1 pep chromosome:Pop_tri_v4:3:11400735:11403337:-1 gene:Potri.003G087500.v4.1 transcript:Potri.003G087500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087500.v4.1 MADLPGYMRACLHTGKLAFLAILVSGGIVLQILACALYNNWWPMLTVIMYVPLPMPLLFFVGSDSSTLLTESDSGWVNATKFLTGASVIGSIAIPVILKHAGVIGWGALAMELSSFFVFVIAIMCYIGTSDEADYSML >Potri.005G175200.1.v4.1 pep chromosome:Pop_tri_v4:5:18045853:18047066:1 gene:Potri.005G175200.v4.1 transcript:Potri.005G175200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175200.v4.1 MCTYDGPSLDVRQDVLVTEVPKLGMEAALKAIEEWGRPKSNITHLIFSALAGIDMPGADYQLTRLLGLEPSIKRIMLYHQGCNIGAATLRIAKDFAENNAGARVLVVSSDLTVGTFRGPSNDNISCLVAQAITGEGAAALIIGADPDMSVERPLFQILSASQTIIPDSNDGINGHLREVGLTVHFSRNVPELISRNIGKCLVEAFGPIGVSDWNSLFWIVQPSGAAILNLIEAEVGLAQEKLSATRHVLSEFGNMGGPTVLFILDEIRRRSLEKRKTTTGEGMEWGVLIGLGAGITVDTVVLHSVPIAEGR >Potri.015G073200.1.v4.1 pep chromosome:Pop_tri_v4:15:9896264:9898729:-1 gene:Potri.015G073200.v4.1 transcript:Potri.015G073200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073200.v4.1 MGVKSVLLNLLPFAAMVMVECLDVGLTTLSKAAMSKGMSQFVFVVYSNALATLILLPSLIFYRTKRPPVTYSLLCKFFLLSLVGITLMQNCVFTGVSYSSPTLASAMGQLIPAFTFLLAVIFRMEKLDWRSSRSRIKIMGTLVSVSGALTITLYKGPAIGAITTQSNPDPIPSIMSTANSWIIGGLFLVTADLCVSIFTTLQAAILKEYPSEMAMVSFLCLFGTIQSSIVSLIAERNPNAWKLRPDIELISIIYSAVVGSVVTFGVTAWCIRKKGPVFVAIFKPVGIATAAFLGVIFLGDTLHVGSIVGAIIIVAGFYGVIWAQSKEDEHSKVNRPRNLQSLSQKTPLLESHMNA >Potri.018G096070.1.v4.1 pep chromosome:Pop_tri_v4:18:11658899:11659745:-1 gene:Potri.018G096070.v4.1 transcript:Potri.018G096070.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096070.v4.1 MDHHREGRNNTSPYLGTAKCNFNGAGRGTCETGDCNGLLQCQAFGKPPNTLAEYALNQFNNLDFFDISLVDGFNVPMDFSPVSGNCRGIRCAADINGQCPNPLRASGGCNNPCTVFKTDQYCCNSGTVAQQITLGFSSRGALTLIVILKMTKQAPSPVPVELITGLYSALEAVLSQDLMV >Potri.010G106900.1.v4.1 pep chromosome:Pop_tri_v4:10:12809843:12813309:-1 gene:Potri.010G106900.v4.1 transcript:Potri.010G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106900.v4.1 METLATVFNLVLLLIVNLVFNGIGADSNLSCIKRERDALLKFKQGLTDDSGQLLSWIGEDCCTWKGVSCSNRTGHVVQLDLRNRQVSFANKTTLRGEINHSLLNLTRLDYLDLSLNNFQGAEIPAFLGSLKNLKYLNLSHASFNGQVSHHLGNLSNLQYLDLSWNYGLKVDTLQWASTLPSLKHLDLSGLKLTKAIDWLESVNMLPSLVELHLSSCSLPHIPLFLQTNFTSLTVLDLNTNYFNSSFPQWLFNFSRIQTLNLRENGFRGSMSSDIGNLNLLAILDLSHNELEGEIPRTLRNLCNLRELDLSLNKFSGEISQPFGSPTSCLQNSLQSLVLETNHLRGSLPDSLGSYKHLVNLNLYSNAFSGPIPASIGRLSSLKLLDLSHNYLNGSVPESVGQLFNLEFLNIHNNSLSGIVSERHFSKLTSLTTLYLYLNSLVLDLRPTWVPPFQIRELALFSCKVGPQFPQWLQTQKNLSTLDMSNTSISDRIPDWFESISSNIVLLDLSLNQIGKNLPKLRKSFDASSRFIYLYSNKFEGPLTPFPSDVIELDVSNNFLRGQIPQDIGNMMPRLTLFHLSSNSLNGNIPVSLCKMGGLRFLDLSENQFSGGIPNCWSKLQHLRVMDLSSNILDDHIPSSLGSLQQLRSLHLRNNSLQGKVPASLEKLKHLHILDLSENVLNGTIPPWIGEGLSSLSVLDVHSNRFQGEIPQELCHLTSLRILSLAHNEMTGTIPSCFHNFTGMIANEFSVEEQWPYGPTIFDDIFGFQSVVYVENLWVYMKGMQLKYTKTLPFLFSIDLSRNRFVGEIPNQLMNLLELRNLNLSRNNFKGQIPWKIGDLRQLQSLDLSRNEISGLIPTSLSQLNFLSALNLSFNKLSGRIPSGNQLQTLDDKSIYAGNSGLCGFPLDDCQEVALPPDEGRPEDEFEILWFYGGMGVGFMTGFVGVSSTLYFKDSWRDELFRLVDKIYNKFRVMIVVSKNHLPRKIYGDRFGGHA >Potri.006G109700.6.v4.1 pep chromosome:Pop_tri_v4:6:8526459:8529532:1 gene:Potri.006G109700.v4.1 transcript:Potri.006G109700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109700.v4.1 MASLISPMTPALQQNPKIICFSAFKPPLHHDTPSFTDRLRSNPGRCKAFFGNIPDDLLENTLQLDQFPAFQSGLVQFQSVTEELSDTQKWGLLVFAGVAWIYLTARPGILIGAIDAYLLAPLQLGLDSLTGRRNLKRSDFLVGDKLGEGSFGVVYSGVVVPRNATVEEKVPKRGTGRALQLDERFKEKVILKKVKVGITGAEQFGEVEEWFNYRLSRAAPETCAKFLGSFVADQTSSQFTKGGKWLVWKFEGDRTLGDYMKDRNFPFNLESVMFGRVLQGVVSVKRSALIIKQVMRQIITSLKKIHDTGIVHRDVKPANLVVTKKGQVKLIDFGAATDLRIGKNYIPDQSLLDPDYCPPELFVLPEETPSPPPEPVAALLSPVIWQLNSPDLFDTYSAGIVLLQMAIPSLRPVSGLKNFNTEIKKARYDLNKWRESTRLRPDLTILELDSGRGWDLATKLISERGYLGRGRLSAAAALRHPYFLLGGDQAAAVLSKFSLIK >Potri.006G109700.1.v4.1 pep chromosome:Pop_tri_v4:6:8526535:8529138:1 gene:Potri.006G109700.v4.1 transcript:Potri.006G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109700.v4.1 MASLISPMTPALQQNPKIICFSAFKPPLHHDTPSFTDRLRSNPGRCKAFFGNIPDDLLENTLQLDQFPAFQSGLVQFQSVTEELSDTQKWGLLVFAGVAWIYLTARPGILIGAIDAYLLAPLQLGLDSLTGRRNLKRSDFLVGDKLGEGSFGVVYSGVVVPRNATVEEKVPKRGTGRALQLDERFKEKVILKKVKVGITGAEQFGEVEEWFNYRLSRAAPETCAKFLGSFVADQTSSQFTKGGKWLVWKFEGDRTLGDYMKDRNFPFNLESVMFGRVLQGVVSVKRSALIIKQVMRQIITSLKKIHDTGIVHRDVKPANLVVTKKGQVKLIDFGAATDLRIGKNYIPDQSLLDPDYCPPELFVLPEETPSPPPEPVAALLSPVIWQLNSPDLFDTYSAGIVLLQMAIPSLRPVSGLKNFNTEIKKARYDLNKWRESTRLRPDLTILELDSGRGWDLATKLISERGYLGRGRLSAAAALRHPYFLLGGDQAAAVLSKFSLIK >Potri.010G014401.1.v4.1 pep chromosome:Pop_tri_v4:10:2420870:2422622:-1 gene:Potri.010G014401.v4.1 transcript:Potri.010G014401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014401.v4.1 MILSLTPSTVPTISFTVVVAGSKALISTFFSPKTELTVALFPTPVFPRTKMFKEKSKSSFPSASSCVAIFLAFSRLKLLINNQPVQHLCNYGIFRGLSESLDNPQELHCHFLYLLNHHIFFIQIILHYIHDRFR >Potri.001G287800.1.v4.1 pep chromosome:Pop_tri_v4:1:30007521:30012296:1 gene:Potri.001G287800.v4.1 transcript:Potri.001G287800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287800.v4.1 MEEESQCLKTNGEEDFYENIEAPKFVDLNAPDHYHPGDDRYWFCLRVGCEQKHEEEMDSEAIYKKFVLRVMAARSPNIRLRRALYRKDSSANIKCPQTVPAKSSKPRVSRLALISSISKRMVDPKVRVKSLAKQNTTPNVRAKQSVVSKALTTPRNKKRLSNPDAFCSVRNPKATAVAVPNSRVVAKALFSPKKSTRTKTSIELDTTVKKICAGIKNLEIADGKKHALVCNRQLPSNAPRKQPRGREVKSRVYDGLLSQNCKGKESKSFKCVMKKNKGKNSKQCHGPMPREGAENDFIDMEIEEKSRNGFPGACYNAKCDEGNDALEGPLTTVKIEASMVENKVEALSDAKANTPGCNEPNQRLYSRIHERGLGENDVPKLVASREDGNGTNERNGKEDKRNSSMDNGIDGPMESNDSKHIFISDEKENDREAIGSDNKENASASDDNREMDLNTGHLKRQILSKHESVKSTQKIAKAKRKPSKESFVTHATGAQELKHRKPKPTNPKPFRLRTGERGILKEATSEKKLHPAPLKEILPVTRFPGGNLQKKHQNALPRNDKSLEQIESANDTQEACEVQRNTTQKEQHQNQTSSLKNKERARRKLSSAPQRHTVSSQQKLVAPLKKYSEDKTAAQNLGNVLKKTRSSFVRKVARPQETSSITNETLSIMIPGQLGVIKEDSPTFLRPKEAEKPRKSSASLEIKVSASTVSRQSLQGKRSATIPKEPNFHAIHTPKSCTRRVA >Potri.001G287800.4.v4.1 pep chromosome:Pop_tri_v4:1:30007617:30012350:1 gene:Potri.001G287800.v4.1 transcript:Potri.001G287800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287800.v4.1 MVDPKVRVKSLAKQNTTPNVRAKQSVVSKALTTPRNKKRLSNPDAFCSVRNPKATAVAVPNSRVVAKALFSPKKSTRTKTSIELDTTVKKICAGIKNLEIADGKKHALVCNRQLPSNAPRKQPRGREVKSRVYDGLLSQNCKGKESKSFKCVMKKNKGKNSKQCHGPMPREGAENDFIDMEIEEKSRNGFPGACYNAKCDEGNDALEGPLTTVKIEASMVENKVEALSDAKANTPGCNEPNQRLYSRIHERGLGENDVPKLVASREDGNGTNERNGKEDKRNSSMDNGIDGPMESNDSKHIFISDEKENDREAIGSDNKENASASDDNREMDLNTGHLKRQILSKHESVKSTQKIAKAKRKPSKESFVTHATGAQELKHRKPKPTNPKPFRLRTGERGILKEATSEKKLHPAPLKEILPVTRFPGGNLQKKHQNALPRNDKSLEQIESANDTQEACEVQRNTTQKEQHQNQTSSLKNKERARRKLSSAPQRHTVSSQQKLVAPLKKYSEDKTAAQNLGNVLKKTRSSFVRKVARPQETSSITNETLSIMIPGQLGVIKEDSPTFLRPKEAEKPRKSSASLEIKVSASTVSRQSLQGKRSATIPKEPNFHAIHTPKSCTRRVA >Potri.019G098900.1.v4.1 pep chromosome:Pop_tri_v4:19:13570998:13575746:1 gene:Potri.019G098900.v4.1 transcript:Potri.019G098900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098900.v4.1 MALFFLFLSIFLFVFIWRFIIRNCHISPSPSTPSTLTTAQPQVIKHDVFLSFRGEDTRGGFTSHLYAALDRKQIRAFIDYQLRRGDEISASLLRTIEEAKLSVIVFSENYASSKWCLEELAKIIERRRNNGQIVIPVFYKVDPSHVRNQTRSFGDALARLIKKKALTMDKEQSFRDALTAAANLSGWSLGNSELEFEFIKNIVGDVLEKLHAMSSSHTMAGLLGIDVHVSKVESLLNIESPDVLIVGIWGMGGIGKTTIAEAVCNKVHSQFERIFFANCRQQSDLPRRFLKRLLGQETLNTMGSLSFLDSFVRDRLRRIKVFIVLDDVDDLMRLDEWRDLLDGRNNSFGSGSKVLITSRNKQLLKNVVDETYEVEGLNYADAIQLFSSKALKNCIPTIDQRHLIIKNVRHVQGNPLALKVLGSSLYDKSIEEWRSALKKLALDPQIERALRISYDGLDLEQKPIFLDIAHFFKGRMQGEATGILDCLYGQSVNFDISTLIDKCLISTAKDYFHRDKLEMHDLLQEMAFNIVRAESDFPGERSRLSHPPDVVQLLEENKGTQQIKGISLDMSMLSRQIHLKSDAFAMMDGLRFLNIYFSRYSKEDKILHLPPTGLEYLPNELRYFLWSRFPLKSLPPSFRAEHLVELHLRKSKLVKLWTGVKDVGNLRRIDLSDSPYLTELPDLSMAKNLVSLDLTDCPSLIEVPSSLQYLDKLEKIYLFRCYNLRSFPMLDSKVLRFLLISRCLDVTTCPTISQNMEWLWLEQTSIKEVPQSVTGKLERLCLSGCPEITKFPEISGDIEILDLRGTAIKEVPSSIQFLTRLEVLDMSGCSKLESLPEITVPMESLHSLKLSKTGIKEIPSSLIKHMISLTFLNLDGTPIKALPELPPSLRYLTTHDCASLETVTSSINIGRLELGLDFTNCFKLDQKPLVAAMHLKIQSGEEIPDGGIQMVLPGSEIPEWFGDKGIGSSLTMQLPSNCHQLKGIAFCLVFLLPLPSHDMPYEVDDDIDVNLYLDYHVKSKNGEHDGDDEVVLASGERCHLTSKMKTCDSDHMVLHYMALRYELELVNRLRKYSGNEVTFKFYHHEVVNMARKVGNEIQRPFKLKSCGVYLHFGENLPADTDGYRYLPVNKQRFRRK >Potri.006G060700.1.v4.1 pep chromosome:Pop_tri_v4:6:4303153:4303955:1 gene:Potri.006G060700.v4.1 transcript:Potri.006G060700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060700.v4.1 MASRDVPYPRSNAQQQARTCLCSPTTHPGSFRCSLHRNFRKVSSGSRIGRVGSNHNWDLTVVAKANPFKAILLQIIKPTSHDLHRRRDFQPRPTRFCLMNANRNGVAVS >Potri.014G123200.1.v4.1 pep chromosome:Pop_tri_v4:14:8291208:8298250:1 gene:Potri.014G123200.v4.1 transcript:Potri.014G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G123200.v4.1 MYKYAFLSKSLSAVRSPLAGLLRFESISTKIKLRNYSFPARTLTQTLCLHTNTQNLSSYHPTQSQQREMKYVLVTGGVVSGLGKGVTASSIGVVLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVTLTKDNNITTGKIFQSVIEKERRGDYLGKTVQVVPHITDAIKDWIESVSAIPVDGKDGPADVCVIELGGTVGDIESMPFIEALRQLSFSVGQDNFCLVHVSLIPVLGVVGEQKTKPTQHSVRELRALGLTPHLLACRSAQPLLENTKEKLSQFCHVPAANILNIHDVPNIWHVPLLLRNQNAHDAILKQLNLLSIAMPPALQDWTMMAETYDNLTNSVRIAMVGKYVGLTDSYLSVVKALLHACIACSLKPSIDWIAASDLEEDSAESTPEAHAAAWETLKNASCILIPGGFGDRGVRGMILASKYARENNIPYLGICLGMQIAVIEFARSVLGLERADSNEFDTNTPDPVVIFMPEGSRTHMGSTMRLGSRRTLFQTPDCITAKLYGNTQYVEERHRHRYEVNPEVIEVLEEKGLKFVGKDETGKRMEILELPTHPFYVGVQFHPEFKSRPRRPSALFLGFILAATGKLEAHLKSHQNGV >Potri.001G076800.3.v4.1 pep chromosome:Pop_tri_v4:1:5788625:5790725:-1 gene:Potri.001G076800.v4.1 transcript:Potri.001G076800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076800.v4.1 MCGRTQAYASNTLTLDGLYAVPNNHAIDCTSAQPEDSQEQNRADTDMEINGNDHQTLINYLSAQPGFSMGPSTADTDLEVNDNNHQALDSLQVQPGSYDFSPDKATTKLEMNDKSHQTFDYLSAQQGSFQAAETPCRNNRVRKSPEGFDYLTSEKKRMADKAYREKCREDRENTKAENNRLKTEINRLNEECVRKNHALKSQEEENKHLQQKVRRLEGRLDTQDMVVKVLTTQLRQSQIIDLQRENTLLKSQMDQLTSCANNQDNSNIILQLQERNEQLRREKIVFEKTVEALCMKISNDKNHEEEHTR >Potri.001G076800.1.v4.1 pep chromosome:Pop_tri_v4:1:5788625:5790725:-1 gene:Potri.001G076800.v4.1 transcript:Potri.001G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076800.v4.1 MEGGNFWQKLFDASNTLTLDGLYAVPNNHAIDCTSAQPEDSQEQNRADTDMEINGNDHQTLINYLSAQPGFSMGPSTADTDLEVNDNNHQALDSLQVQPGSYDFSPDKATTKLEMNDKSHQTFDYLSAQQGSFQAAETPCRNNRVRKSPEGFDYLTSEKKRMADKAYREKCREDRENTKAENNRLKTEINRLNEECVRKNHALKSQEEENKHLQQKVRRLEGRLDTQDMVVKVLTTQLRQSQIIDLQRENTLLKSQMDQLTSCANNQDNSNIILQLQERNEQLRREKIVFEKTVEALCMKISNDKNHEEEHTR >Potri.016G047600.1.v4.1 pep chromosome:Pop_tri_v4:16:3051569:3055866:-1 gene:Potri.016G047600.v4.1 transcript:Potri.016G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047600.v4.1 MEYEVSDSSGTDDDIPPSDQNRVVRAVRISGSGQSAVISATYSRVQADMEAQIHHLEQEAYRAVLRAFKAQSDQLSWDKEGLITELRKELRVSDDEHREILTLVNRDDIIQKIREWREAGGQRAPRLNASQSVHDVFPSPTVSASRKKQKTFLMYPTGPPRNQHFTNHGSAYDDKEIGKEVWTRWPEDNNFYKAVITRYNPTEGRHALVYDMNTENETWEWVDLNEMAPEDIRWEGDDPGISRGGPRHMIKKSMSHGGFNSSSVRRRASTNDQSKREFLLTQNGIARKLSDNIELLNTESLVKEVERVFGVSYPDPLDLEKAKRMLKEHEQALVDAIARLADASDGESDADPRFLQGQAMEQE >Potri.004G025200.3.v4.1 pep chromosome:Pop_tri_v4:4:1871060:1875336:1 gene:Potri.004G025200.v4.1 transcript:Potri.004G025200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025200.v4.1 MAHSIWLSVFYTVILYIPLIAAQQFEYRYHICTSNSTYTTNSSFPLNLNATLSSLYENASRSDDFGSISVGQNSDRVYALFLCRGDNSPELCQGCIKTTSEAIMIRCPNYKEAIIWYDRCMLRYSNRSIFSVKEESPRAWLWNVNDIGDATDRNQFNTNLGGLMNQLITRAASSSNLFAMGDTNGTAFTRIYGMVQCTPDISPSQCRICLSGCVSFIPTCCNGKQGGNVLTPSCSMRFETYAFYTAPPSPPPPASSPSPPPPPATSLNPSGERKASSRTIVYISVPTSAFVVLLFSLCYCYVHKKARKEYNAIQEGNVGDEITSVQSLQFQLGTIEAATNNFAEENKIGKGGFGDVYRGTLPNGQHIAVKRLSKNSGQGAAEFKNEVVLVARLQHRNLVRLLGYCLEGEEKILIYEFVPNKSLDYFLFDPAKQGLLNWSSRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDGEMNPKIADFGMAKIFGGDQSQGNTSKIAGTFGYMPPEYAMHGQFSVKSDVYSFGVLILEIISGKKNSSFYQSDNGLDLVSYAWKQWKNGAVLELMDSSFGDSYSRNEITRCVHIGLLCVQEDPNDRPTLSTIVLMLTSFSVTLPLPREPAYFGQSRTVPKFPTTELESDRSTSKSKPLSVNDMSITELYPR >Potri.004G025200.2.v4.1 pep chromosome:Pop_tri_v4:4:1870780:1875336:1 gene:Potri.004G025200.v4.1 transcript:Potri.004G025200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025200.v4.1 MAHSIWLSVFYTVILYIPLIAAQQFEYRYHICTSNSTYTTNSSFPLNLNATLSSLYENASRSDDFGSISVGQNSDRVYALFLCRGDNSPELCQGCIKTTSEAIMIRCPNYKEAIIWYDRCMLRYSNRSIFSVKEESPRAWLWNVNDIGDATDRNQFNTNLGGLMNQLITRAASSSNLFAMGDTNGTAFTRIYGMVQCTPDISPSQCRICLSGCVSFIPTCCNGKQGGNVLTPSCSMRFETYAFYTAPPSPPPPASSPSPPPPPATSLNPSGERKASSRTIVYISVPTSAFVVLLFSLCYCYVHKKARKEYNAIQEGNVGDEITSVQSLQFQLGTIEAATNNFAEENKIGKGGFGDVYRGTLPNGQHIAVKRLSKNSGQGAAEFKNEVVLVARLQHRNLVRLLGYCLEGEEKILIYEFVPNKSLDYFLFDPAKQGLLNWSSRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDGEMNPKIADFGMAKIFGGDQSQGNTSKIAGTFGYMPPEYAMHGQFSVKSDVYSFGVLILEIISGKKNSSFYQSDNGLDLVSYAWKQWKNGAVLELMDSSFGDSYSRNEITRCVHIGLLCVQEDPNDRPTLSTIVLMLTSFSVTLPLPREPAYFGQSRTVPKFPTTELESDRSTSKSKPLSVNDMSITELYPR >Potri.003G220100.1.v4.1 pep chromosome:Pop_tri_v4:3:21441400:21450044:1 gene:Potri.003G220100.v4.1 transcript:Potri.003G220100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220100.v4.1 MDESTQLQQAQLAAVLGGDPSQFETLISSLMSSSNETRSQAELIFNLAKQHDPNSLCLKLAHLLQFSPHLDARAMSAVLLRKLLTRDDSYLWPRLSPQTQSSLKSILLACLQQESVKSNTKKLCDTVSELASGILPDNGWPELLPFMFQCVTSDSFKLQESAFLIFAQLSQYIGESLIPFIKELHGVFLQCLGSSTNFDVKIAALNAVINFIQCLDNSSDRDRFQDLLPSMIRTLTEALNNGNEATAQEALELLIELAGTEPRFLRRQLVDVVGSMLQIAEAESLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFGILMRMLLDIEDDPAWHSAENEDEDAGETSNYSVGQECLDRLAISLGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCSKVMLKNLEQVVTMVLNSFYDPHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGVVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKTILVNANDKANRMLRAKSMECISLVGMAVGKEKFRDDAKQVMDVLLSLQVSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIDDSDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAIEKGLAQGRNESYVKQLSDYIIPALVEALHKEPDTEICASMLDALNECLQISGVLVDEGQVRSVVDEIKLVITASSSRKRERAERAKAEDFDAEEGELIKEENEQEEEVFDQVGEILGTLIKTFKASFLPFFDELSSYLTPMWGKDKTAEERRIAICIFDDVAEQCREAALKYYDTYLPFLLEACNDDNPDVRQAAVYGLGVCAEVGGSVFKHLVGEALSRLNVVIRHPNAKQPDNVMAYDNAVSALGKICQFHRDSIDSAQVVPAWLNCLPITGDLIEAKAVHEQLCSMVERSDRELLGPNNQYLPKIVSVFAEVLCGKDLATEQTLSRMVNLLRQLQQTLPPATWASTLSVLHPQQQMALQSILSS >Potri.006G256500.1.v4.1 pep chromosome:Pop_tri_v4:6:25376756:25379800:1 gene:Potri.006G256500.v4.1 transcript:Potri.006G256500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256500.v4.1 MKNVCWPYFDPDFDNLSERIYGPTCRVCIDNESMEDCTVVKVDSVNKQGLLLEVVQVMTDLNLFISKSYISSDAEWFMDVFHVQDEQGKKLRDQNVINCIQQAIGTTRESTPSPPKARAYTNNVSKADHSNEHTAIEMSGTDRPGLFSEISATLADLHCNIVEAHAWSHNACLACVAYISDQSSHTPIEDPHRLASIEDHLITVLRANMETSISTGPFQIKNREVKTRGFLGGEGTMTNVERRLHQLMLSVRDFDGPRSSSEMNITERGTKMAVSIENCDQKGYSIVSIECKDRPRLMFDIVCTLTDMQYVIFHASVASHDGRAFQEYFIRHDDGYPLNTESEKQRVIKCLEAAIERRVCEGVWLKLCAENRVGLLSDITRVLRENGLAVVRADIATKGKNAVNAFYVRDVSGNEVDMGFIKSMKKEMGLIDLEVKNDNKTRRTNISSHERHHFSLGDLLKSQLERFSHNFIAIN >Potri.001G190200.1.v4.1 pep chromosome:Pop_tri_v4:1:17289018:17296096:-1 gene:Potri.001G190200.v4.1 transcript:Potri.001G190200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190200.v4.1 MNHLPRTSFLIPVLCFLCLQIQPLHSFSTQPMNCTDTTRLCTSFLAFKPQENLTLAMIQSMFDVLPQDVTREGNGHGYIFIKKNCSCLSKDKVYVTNSTYTVKFSGGYVYDIVINAYDGLAFLPNTTRQAKVGAVVSLRLFCGCSSGLWNYLVSYVMKEGDTVQSLSSRFGVSMDNIETVNGIQNPDNVTAGALYYIPLNSVPGEPYPLENDNPPAPVPAPPDDIFSANIPTIHKARVPYGWIIGGLGIGLALIVLCIIICVSLKSSSCLSESRGSHAKPPDGKISQKFHILRKQSFCCTSRRSICCKSVDWKQTNGESSSHQITIPKGLATDVFDEKPVVFTYEEILFATDEFLDSSLLGHGTYGSVYYGHLHDQEVAIKRMTATKTKEFMAEMKILCKVHHTNLVELIGYAASDAELFVIYEYAQKGSLRSHLHDPQNKGHTPLSWIMRVQIALDAARGLEYIHEHTKTHYVHRDIKTSNILLDGSFRAKISDFGLAKLVGKTGEGEATATKVVGTYGYLAPEYLSDGLATTKSDVYAFGVVLFEIISGKEAIIRTEGAVTKNPERRSLASTMLAALRNTPDSMSMSSLKDLIDPNMMDLYPHDCVFKLAMLAKQCVDEDPILRPDMKQVVISLSQIVLSSIEWEATLAGNSQVFSGLVQGR >Potri.016G043900.1.v4.1 pep chromosome:Pop_tri_v4:16:2779059:2789077:-1 gene:Potri.016G043900.v4.1 transcript:Potri.016G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043900.v4.1 MSPAAAVDIRSPVTSKHPSSLQNPNPTPIQNNHRQTVNATFYGNTSEIDMNKNGSHTGTGRARPRLMKVRKQLHGKNRGEGPGESGSGSDFNPFKSVGDDLDGNSCVSNVGFVFGANGGVKSGDFDQGLNSRVELDSKETGFGGNVGQWSEKEPALGLKVEPTELCNMGFVFDANGNGVGVKSDVENRELNECVVNVGGVEIEKASYAGDSEFCDDKSELRSSLNSNKGDSSGNGVKLGSDDVGFVFGAAREAFSTNVGASGSSFVFRANADDSIANVEVSGSGFVFSADTNDSSANVGVSGSGFVFGASWFDKKLNSNEGKRESGESSGNSVFSDTGKMIKFKNEAELHEVEGNSNGVFVFGSSSKKSCNLNECVVTNFPVEVKSSGGTFLNYSISKDQNGNLDSSVNGKGTFASFPNSSNAASTSSINPIFNLPEEIKKLNINEFKNVHGADNENSSANDDSLFVIRSSKKASASSNGSSDTCSPEQNAAVGSGGDKFESSDKNRSCNTGSTSIRTSSSELFRFQAGCVKTSFEGQLSEDRMNDDTKLNGAAPLTSFSLAGFDSQVHSEVSEATTMAGVERENNKSSSTSDLGGLGMPFTDFKTPCDPFCLKTSVFPESNKKPEFTVNNRSKKGKRLEMRVKLKQDSLRKQHPEQVHVQNERCGQENLNSPGCYSPMDFSPYQETAAAGKFSEETSVTLNDSNPQENNCAPSMLHSTATTGLREVEGLDVKKDDGRPREKMNQESSGCGSERCFMGDCISKGFVFGAEMSCPGFNFEQVSSSNDGAASAEVTHGLKTESSHQMQFSFASGLEDVDERKFSFSASSCSSTPKRQYRKKYRRKPPCEPFIFVPNPNGQGEDLSTRQKKVGNKSEINELAKQGSISSTRSVQEECEMWRARGNHAYQNGDMSKAEDFYTCGINSIPSSDISGCCLKPLVICYSNRAATRMSLGNMREAIRDCIKAADLDPNFFKVQIRAANCHLQLGEVEDALHYFNKCLESRVGVCLDRRITIEAADGVQKAQKVVECTNHSAKLLEERTYDAALNALDVIAEALSISPYSERLLEMKAKFLFMLRKYKEVIQMCEQTLGAAEKNFVSIGVDGQFVDIGCSESENCSFARVWRWHLISKSYFYLGKLEVALDLLQKLEQMGSISCKKADASKILESSVTLAVTIRDLLRHKSAGNEAVQSARYTEAVEHYTGALLNSIESRPFAAICFGNRAAAHQALGQIADAISDCSLAVALDGNYSKAVARRAALHERIRDYRQAASDLHRLISILENQSDGKVRQSSKPARSTSWTKALRQARQRLSLMEEEAKKGIHLDLYCILGVKDSETASDIKKAYHKAALKHHPDKAGQFLARSESGDDGRLWKEIVQEVHADADRLFKMIGEAYAVLSDPTKRSEYDLDEQIRRASKESNGSSPHGRTSYTRGNSNERNEYRRNWQDNWKTYGYWKTYGYSSSRW >Potri.006G145900.1.v4.1 pep chromosome:Pop_tri_v4:6:12399510:12401805:-1 gene:Potri.006G145900.v4.1 transcript:Potri.006G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145900.v4.1 MPRRGSPSPLLNLPLLIVILPIIALILLFFALPSLLSLTSHKIRPITNTTVIKSSWDSLYIFLVLFTILCGIFARRNDDESTTNEDNPSNHDKSKPHSVSNAPWFADDFSDPKIYANTNNSTPLGGTATAATGDRLKMNSRSYPDLMQDSFWETPDDRFRFFDDFEINKYRSPIHHRRHRNGVFEESCVKDIPVDTFVSCSSSKLQSPPPLKTSAPPPPPPPPPPPTTTKSNHKQKRTYRTLPSEIEKANENDLESTKIKTSPPTPPPPPPRHATPAPPKKMHGKSERKRTNATKEIKMVLASLYRKKTKKQKTQVLPKNDTLRSPSEPPSSTRPPPPPPPPPPPPPPPPPPSVFHFLFRKNSKSKRIHSFSPPPAPPPPPTTKRLARHKSQVPLPPPEPPRRRRSSTTGQPPLPTGVNNLYVDNVNNGGQSPLVAMPPLPPPPPCQMPGFQFVPRGDLVEKRSAQGSRCSSPDSEEVDKESSRQTVNKTDGKDGIGGPSFCPSPDVNMKADTFIARLRDGWRLEKINSLREKGSGDHGPGTDPTKI >Potri.001G204500.1.v4.1 pep chromosome:Pop_tri_v4:1:20752162:20752419:-1 gene:Potri.001G204500.v4.1 transcript:Potri.001G204500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204500.v4.1 MLTAFLQTGAIKNDRKLFDEIPDRNVSSWNSIITGYCGSGLMREARYLFDRIGGLKNLVSSMVVISWNAHQALDYTKGACSHVTK >Potri.014G058800.4.v4.1 pep chromosome:Pop_tri_v4:14:3773044:3792294:1 gene:Potri.014G058800.v4.1 transcript:Potri.014G058800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058800.v4.1 MEYGFEGSVLTLQTCLEYLKTDLKNIQLEPVLVSVFKFVLDKPNSTTVFCQSLRSLEITENFLEKLSNSLKLSVAEKIGIGLALTDAENADTRMFAKKFCMAQIEELCANPVLISSVDQVQNIVMFLQRSEGLSKHVDNFMQMLSLMQSKDVTPFVLTPLISDELREANFWRNMDLFHGSTESEFDAILAEMEKEMSLGDIVKELGYGCTFDALHCKEILSPFLPLSEVTISKILGTIARNLTGLEDNQSTFSTFGLALGCDITTDLQQLSSWDVDILVKTIKQLAPGTNWIQVIENLDHEGFYIPNEEAFSFLMSAYRQACQNPFPLHAICGSLWKNTEGQLSFLKHAVLAPPEMFTFAHSGRQLNYMDAVHGHKFQVGHANHAWLCLDLLDVLCQLAETGHASSVRSILEYPLKHCPELLLLGMFNINTAYSLLQYEVSFRVFPLILKSPACGGMMLYLWHLNPNLVLRGFVEAGNVESNIMTKILDVCQELKILPSVLDMIPFPSGIRLAALASRKELIDLEKWLSNNLITYKDSFFEECLRFLKEIQLGGSQDFSAKPFHHQSNIVNHYSETSSSFLKVLQAHTSLIISTQLSEEMERLHVTVMDSNPRLQNGSSADSSTPDGFSDDVEAEANSYFQQMFSGQLTIDAMVQMLARFKESSVKREQLIFECMIGNLFEEYRFFPKYPERQLKIAALLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKSLEQFVDRLIEWPQYCNHILQISHLRGTHTELVAFIERALARISSGHLESDGTNNASAAHHHGLLQAASVNGESNSINIPQLGQQLSSTLHSQQRHESSLDDRLKASAAPFNDTKPFLSSGGQSSAASSDASSIQKNTVTSSSLLSSSPGFVRPSRAVTSTRFGSALNIETLVAAAERRETHIEAPGSEIQDKISFIINNISVANVEAKAKEFIEILKEQHYPWFAQYMVMKRASIEPNFHDLYLKFLDKVYSKALSKEIVQNSYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDIAPTSLLKDRKREIEGNPDFSNKDVGASQPQLVPEVKSGIISPLNHVELPLEVASPPNSGGHAHLLSQYTSPVHALMEDDKLAALGLSDQLPSAQGLFQATPSQSTFSASQLPTAIPNIGTHVIINQKLNSWGLHVHFQRLVPAVMDRAIKDIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRSSISSQLRNSVQSFSLTSEILEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDTEIAQQLVRRKHRDGVGQTFFDANMYTQSSMGVVPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSHSSHVIPAGSASSGASGLASAYGSVSSDVASEAIESNSAALLSASSIHSAAADGVIPQSSENNSISASFSATAASSELHPVESSDVKELGVSSEPSLAASERAGSSVADASLNTRDALDKYQIIAQKLETLVASDSREAEIQGVVTEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSFYVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLISSELLNLAEYNVHMAKLIDGGRNKAATDFAISLVQALVVEESNVISELHNLVDALAKLAAKSGSAESLQQLIEIVRNPGANAASLTSLTLGKEDKARQSRDKKPISQLIANREDYGNIESVEPEGFREQVSMFFAEWYRICELPGANDAASTHYIFQLHQNGLLKGDEMTDRFFRVLTELSVAHCLSSEVINSSALQSPQQVQSLSFLAIDIYAKLVLSILKVEQGSSKLFLLSKILSVTMKLIQKDSEERKNSFNARPYFRLFISWLQDLLSPEPVIDGVNFQILTAFAGVFHNLQPLKVPGFSYVWLSLVSHRSFMPRLLTGNAQKGWPYVQRLLVDLFQFLEPYLRNAELAVPVHLLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPLNMRLPDPSTPNLKIDLLPEIMEPPRIFSEVDAALKAKQMKADVDEYLKTRQQGSSFLTELKQRLLLIPSEAASAGTRYNVPLINSLVLYAGMQAIQQLQARTPHGQSAGNTVPLAVFLVDAALDIYQTLILDLDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDDSMVSSWVSESAH >Potri.014G058800.5.v4.1 pep chromosome:Pop_tri_v4:14:3774300:3792463:1 gene:Potri.014G058800.v4.1 transcript:Potri.014G058800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058800.v4.1 MDLFHGSTESEFDAILAEMEKEMSLGDIVKELGYGCTFDALHCKEILSPFLPLSEVTISKILGTIARNLTGLEDNQSTFSTFGLALGCDITTDLQQLSSWDVDILVKTIKQLAPGTNWIQVIENLDHEGFYIPNEEAFSFLMSAYRQACQNPFPLHAICGSLWKNTEGQLSFLKHAVLAPPEMFTFAHSGRQLNYMDAVHGHKFQVGHANHAWLCLDLLDVLCQLAETGHASSVRSILEYPLKHCPELLLLGMFNINTAYSLLQYEVSFRVFPLILKSPACGGMMLYLWHLNPNLVLRGFVEAGNVESNIMTKILDVCQELKILPSVLDMIPFPSGIRLAALASRKELIDLEKWLSNNLITYKDSFFEECLRFLKEIQLGGSQDFSAKPFHHQSNIVNHYSETSSSFLKVLQAHTSLIISTQLSEEMERLHVTVMDSNPRLQNGSSADSSTPDGFSDDVEAEANSYFQQMFSGQLTIDAMVQMLARFKESSVKREQLIFECMIGNLFEEYRFFPKYPERQLKIAALLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKSLEQFVDRLIEWPQYCNHILQISHLRGTHTELVAFIERALARISSGHLESDGTNNASAAHHHGLLQAASVNGESNSINIPQLGQQLSSTLHSQQRHESSLDDRLKASAAPFNDTKPFLSSGGQSSAASSDASSIQKNTVTSSSLLSSSPGFVRPSRAVTSTRFGSALNIETLVAAAERRETHIEAPGSEIQDKISFIINNISVANVEAKAKEFIEILKEQHYPWFAQYMVMKRASIEPNFHDLYLKFLDKVYSKALSKEIVQNSYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDIAPTSLLKDRKREIEGNPDFSNKDVGASQPQLVPEVKSGIISPLNHVELPLEVASPPNSGGHAHLLSQYTSPVHALMEDDKLAALGLSDQLPSAQGLFQATPSQSTFSASQLPTAIPNIGTHVIINQKLNSWGLHVHFQRLVPAVMDRAIKDIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRSSISSQLRNSVQSFSLTSEILEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDTEIAQQLVRRKHRDGVGQTFFDANMYTQSSMGVVPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSHSSHVIPAGSASSGASGLASAYGSVSSDVASEAIESNSAALLSASSIHSAAADGVIPQSSENNSISASFSATAASSELHPVESSDVKELGVSSEPSLAASERAGSSVADASLNTRDALDKYQIIAQKLETLVASDSREAEIQGVVTEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSFYVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLISSELLNLAEYNVHMAKLIDGGRNKAATDFAISLVQALVVEESNVISELHNLVDALAKLAAKSGSAESLQQLIEIVRNPGANAASLTSLTLGKEDKARQSRDKKPISQLIANREDYGNIESVEPEGFREQVSMFFAEWYRICELPGANDAASTHYIFQLHQNGLLKGDEMTDRFFRVLTELSVAHCLSSEVINSSALQSPQQVQSLSFLAIDIYAKLVLSILKVEQGSSKLFLLSKILSVTMKLIQKDSEERKNSFNARPYFRLFISWLQDLLSPEPVIDGVNFQILTAFAGVFHNLQPLKVPGFSYVWLSLVSHRSFMPRLLTGNAQKGWPYVQRLLVDLFQFLEPYLRNAELAVPVHLLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPLNMRLPDPSTPNLKIDLLPEIMEPPRIFSEVDAALKAKQMKADVDEYLKTRQQGSSFLTELKQRLLLIPSEAASAGTRYNVPLINSLVLYAGMQAIQQLQARTPHGQSAGNTVPLAVFLVDAALDIYQTLILDLDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDDSMVSSWVSESAH >Potri.014G058800.1.v4.1 pep chromosome:Pop_tri_v4:14:3773044:3792306:1 gene:Potri.014G058800.v4.1 transcript:Potri.014G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058800.v4.1 MIELSSTIPSQIRFLLHTLNEANVDSVFRDLCQFMEYGFEGSVLTLQTCLEYLKTDLKNIQLEPVLVSVFKFVLDKPNSTTVFCQSLRSLEITENFLEKLSNSLKLSVAEKIGIGLALTDAENADTRMFAKKFCMAQIEELCANPVLISSVDQVQNIVMFLQRSEGLSKHVDNFMQMLSLMQSKDVTPFVLTPLISDELREANFWRNMDLFHGSTESEFDAILAEMEKEMSLGDIVKELGYGCTFDALHCKEILSPFLPLSEVTISKILGTIARNLTGLEDNQSTFSTFGLALGCDITTDLQQLSSWDVDILVKTIKQLAPGTNWIQVIENLDHEGFYIPNEEAFSFLMSAYRQACQNPFPLHAICGSLWKNTEGQLSFLKHAVLAPPEMFTFAHSGRQLNYMDAVHGHKFQVGHANHAWLCLDLLDVLCQLAETGHASSVRSILEYPLKHCPELLLLGMFNINTAYSLLQYEVSFRVFPLILKSPACGGMMLYLWHLNPNLVLRGFVEAGNVESNIMTKILDVCQELKILPSVLDMIPFPSGIRLAALASRKELIDLEKWLSNNLITYKDSFFEECLRFLKEIQLGGSQDFSAKPFHHQSNIVNHYSETSSSFLKVLQAHTSLIISTQLSEEMERLHVTVMDSNPRLQNGSSADSSTPDGFSDDVEAEANSYFQQMFSGQLTIDAMVQMLARFKESSVKREQLIFECMIGNLFEEYRFFPKYPERQLKIAALLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKSLEQFVDRLIEWPQYCNHILQISHLRGTHTELVAFIERALARISSGHLESDGTNNASAAHHHGLLQAASVNGESNSINIPQLGQQLSSTLHSQQRHESSLDDRLKASAAPFNDTKPFLSSGGQSSAASSDASSIQKNTVTSSSLLSSSPGFVRPSRAVTSTRFGSALNIETLVAAAERRETHIEAPGSEIQDKISFIINNISVANVEAKAKEFIEILKEQHYPWFAQYMVMKRASIEPNFHDLYLKFLDKVYSKALSKEIVQNSYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIIEAYEKGLMIAVIPFTSKVLEPCQSSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDIAPTSLLKDRKREIEGNPDFSNKDVGASQPQLVPEVKSGIISPLNHVELPLEVASPPNSGGHAHLLSQYTSPVHALMEDDKLAALGLSDQLPSAQGLFQATPSQSTFSASQLPTAIPNIGTHVIINQKLNSWGLHVHFQRLVPAVMDRAIKDIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRSSISSQLRNSVQSFSLTSEILEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDTEIAQQLVRRKHRDGVGQTFFDANMYTQSSMGVVPEALRPKPGHLSVSQQRVYEDFVRLPWQNQSSHSSHVIPAGSASSGASGLASAYGSVSSDVASEAIESNSAALLSASSIHSAAADGVIPQSSENNSISASFSATAASSELHPVESSDVKELGVSSEPSLAASERAGSSVADASLNTRDALDKYQIIAQKLETLVASDSREAEIQGVVTEVPEIILRCVSRDEAALAVAQKVFKGLYENASNSFYVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLISSELLNLAEYNVHMAKLIDGGRNKAATDFAISLVQALVVEESNVISELHNLVDALAKLAAKSGSAESLQQLIEIVRNPGANAASLTSLTLGKEDKARQSRDKKPISQLIANREDYGNIESVEPEGFREQVSMFFAEWYRICELPGANDAASTHYIFQLHQNGLLKGDEMTDRFFRVLTELSVAHCLSSEVINSSALQSPQQVQSLSFLAIDIYAKLVLSILKVEQGSSKLFLLSKILSVTMKLIQKDSEERKNSFNARPYFRLFISWLQDLLSPEPVIDGVNFQILTAFAGVFHNLQPLKVPGFSYVWLSLVSHRSFMPRLLTGNAQKGWPYVQRLLVDLFQFLEPYLRNAELAVPVHLLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPLNMRLPDPSTPNLKIDLLPEIMEPPRIFSEVDAALKAKQMKADVDEYLKTRQQGSSFLTELKQRLLLIPSEAASAGTRYNVPLINSLVLYAGMQAIQQLQARTPHGQSAGNTVPLAVFLVDAALDIYQTLILDLDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDDSMVSSWVSESAH >Potri.018G145552.1.v4.1 pep chromosome:Pop_tri_v4:18:15369602:15370636:1 gene:Potri.018G145552.v4.1 transcript:Potri.018G145552.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145552.v4.1 MINVSILMPAYIRIKYSISIVADKGLKKPLYTSERLKKGEVLYLETHSCRRENGKVTQASHSGA >Potri.008G066800.1.v4.1 pep chromosome:Pop_tri_v4:8:4035485:4038474:1 gene:Potri.008G066800.v4.1 transcript:Potri.008G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066800.v4.1 MSAFAESFAQSESTRPFDDDDSYAGYNSQPFDDSFATGDDVLESQPPIYGQFSPQENGEFGGSEGRISLSPSETEAEQGLALIEWRRQNVILLEDKERREKEVLSQIIKEAEDYKVEFYKKRQFTCENNKTTNREKEKLFLVNQEKFHAEADKNYWKSIAELIPNEVPAIEKRKGKKDQDKKPAIVVIQGPKPGKPTELSRMRQILLKLKHDTPPHLKHSPAAASSTANAAKTCDATGVTTSTKANTVVTAPEPVAVA >Potri.010G230701.1.v4.1 pep chromosome:Pop_tri_v4:10:21299100:21299555:1 gene:Potri.010G230701.v4.1 transcript:Potri.010G230701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230701.v4.1 MAPKAAEKKPAAEKAPAEKKPAEKKPAAGKAPAEKKPRAEKKLPKEGGAIDKKKKRAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.010G222200.2.v4.1 pep chromosome:Pop_tri_v4:10:20695455:20699835:-1 gene:Potri.010G222200.v4.1 transcript:Potri.010G222200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222200.v4.1 MDEVFSRMGGKVKSSITDSTIMSMLHSRMDKAHERVHSKEGVIARLHEISKFYELAVMQLEGCLKFVLEEADSSLESSDEEALGDLAEIRDRLEGRLRETELAIAEKDRELTERLENEMKLRHELELKERELESLRATLELERTKTDGIEEQVLGNLVSGDGNRDEGFSELKDSVDQQVWNIKQQLDPEDENIDKRRYHGFESLRVEQMSSDIDILKETMGLAFEKMQNAIFLSELEPPEQQLGWTIEKAVLVILIKGFMGDIQENFTAEVRRWQKQVSIGLSKHLADLMKEITCLQDELEPLSISHSSRERRISMKMKGKSSSEGDISYTPDDFTVRVGKIDQMKQLNVEDSEEDSAHYVAKMIKSHETIIRRKSEEIKSQKLEILKEKGCTCYRRSEKGPVSPRQRFRDVTAKLGNLLDWRENLDESFGYHGGEDHEETSSTKMLYHFDMKEQAKFHGTDALEKLNSISISHDANEKLHNVIRKLEMEKEDTYLQNVIVEDTYITLLEGLIHECCAELRSYDIAILVREGIYEHILKEIVNECDEKMQGDKIEDQITEEMFYLVSREALKDCCCTLDSVLTECRDARAERNCFQEHTLEGTTREEILSTFFTEIFKEWNEAEERCDDESIVKEDIDRIAFEETIRDMASTRIVSKFKELNYPGNSVDCVAQGNSFFEDVEYSVKEDVFMVFLKEMSKEWKAEIDSYDCEILIREEIFILIVVEAMAETHTISGETTAQDRFRILEDFTSADKLHISQDIGKEEHLVQKQDSQPEHVKFEYLKRQASPAMKEYKTPLHIVALKHEELNKSQHKRELLTEIDSTSISVCSEVKKALEQVAMSKGLLRELRSSLGVAVADTERFDEEVKVNLSNSDFTPILEFSQVLMDFKRIVEKKLVLNILRVEEATHYLSPLVELVSLQRREDLLYKKAFLRRCENLRRAETEVDLLGDQVDVLLSLLDKIYRTLYHYSPALQQFSEVSDILKMIEVELIGAARASGK >Potri.002G160500.6.v4.1 pep chromosome:Pop_tri_v4:2:12258546:12266799:1 gene:Potri.002G160500.v4.1 transcript:Potri.002G160500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160500.v4.1 MDTYKKVYLLIALLASSFCLGSAGDIVHHDDVAPKRPGCENNFVLVKVPTWINGVEDIEYVGVGARFGLTLESKEKHANLFILALADPPDCCSKPKNKLSGEIILAHRGNCSFTTKANVAEDAGASAILIINNRTELFKMVCEVNETDVKIGIASVMLPQDAGASLEKYLTSSSSVKVQLYSPRRPVVDVAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDGLDELIHMDGVRSSGIVNINTTSAILFVVIASCFLVMLYKLMSYWFIEVLVVLFCIGGVEGLQTCLAALLSCFRWFQPAGESFVKVPFFGAVSYLTLAVSPFCIAFAVVWAVFRSISFAWIGQDILGIALIITVLQIVRVPNLKVGTILLSCAFLYDIFWVFVSKWLFKESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLAKKNLRAGYFLWAMTAYGLGLLVTYVALNMMDGHGQPALLYIVPFTLGTFLTLGKQRGDLKALWTMGEPERPCRHIQFQPSGS >Potri.002G160500.5.v4.1 pep chromosome:Pop_tri_v4:2:12258508:12266898:1 gene:Potri.002G160500.v4.1 transcript:Potri.002G160500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160500.v4.1 MDTYKKVYLLIALLASSFCLGSAGDIVHHDDVAPKRPGCENNFVLVKVPTWINGVEDIEYVGVGARFGLTLESKEKHANLFILALADPPDCCSKPKNKLSGEIILAHRGNCSFTTKANVAEDAGASAILIINNRTELFKMVCEVNETDVKIGIASVMLPQDAGASLEKYLTSSSSVKVQLYSPRRPVVDVAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDGLDELIHMDGVRSSGIVNINTTSAILFVVIASCFLVMLYKLMSYWFIEVLVVLFCIGGVEGLQTCLAALLSCFRWFQPAGESFVKVPFFGAVSYLTLAVSPFCIAFAVVWAVFRSISFAWIGQDILGIALIITVLQIVRVPNLKVGTILLSCAFLYDIFWVFVSKWLFKESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLAKKNLRAGYFLWAMTAYGLGLLVTYVALNMMDGHGQPALLYIVPFTLGTFLTLGKQRGDLKALWTMGEPERPCRHIQFQPSGS >Potri.005G128200.1.v4.1 pep chromosome:Pop_tri_v4:5:9692049:9694671:1 gene:Potri.005G128200.v4.1 transcript:Potri.005G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128200.v4.1 MSCLSVVSSFVLFIVCCSSLIQAQQPYVGKATTNCSNTENSALGYSCNALNKSCQAYLIFRSQPPYNTVASISTLLGSDPSQLSEVNSVSETTSFPSNQLVIVPVNCSCSGEYSQANASYIVQPNDTLFLIANNTYQGLSTCQALQNQKTTRTDDILSGETLTVPLRCACPTKNQSDLGIRYLLSYLVTPGDDVPAISEQFGAATGRTLEANGLPEQNPTIFPFTTLLIPLQSTPTSSQTVVPPPPPASSSPPSPSPNPEKSSKKTWLYVVVGVVGGIALTIVIGTIIFFMLSRKSKKQPGPVIESQSFEAHEKPLNKKLDEESQEFFESISAIAQSIKVYKFEDLKAATDNFSPSCWIKGSVYRGLINGDFAAIKKMNGDVSKEIELLNKINHSNLIRLSGVCFNDGHWYLVYEYVASGQLSDWIYDRSNEGKFLNWTKRIQIASDVATGLNYLHSFTNYPHVHKDIKSSNILLDSDLRAKIANFSLARSTGDQDDEFVLTRHIVGTKGYMAPEYLENGVVSSKLDVYAFGILTLEIITGKEVAALHSEESRNLSDVLNGALSEVDGQEESLKQLIDPSLHENYPSGLAVLVVRLIDSCLNKNPGDRPTMDEIVQSLSRILTTSLAWELSSNVSGYHISS >Potri.005G053800.1.v4.1 pep chromosome:Pop_tri_v4:5:3411664:3413328:-1 gene:Potri.005G053800.v4.1 transcript:Potri.005G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053800.v4.1 MEFERDLNLEATELRLGLPGTATEQLEKQTPNSNVTKSNKRSLPDMNEDSAGRRESSSVSSNDKKSHEQETAPPTKTQVVGWPPIRSYRKNCLQARKLEAEAAGLYVKVSMDGAPYLRKIDLKVYKGYPELLEVVEEMFKFKVGEYSEREGYNGSEYVPTYEDKDGDWMLVGDVPWEMFINSCKRLRIMKESEARGLGCAV >Potri.002G022600.2.v4.1 pep chromosome:Pop_tri_v4:2:1412987:1413797:1 gene:Potri.002G022600.v4.1 transcript:Potri.002G022600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022600.v4.1 MAMATKFLIASLLLSLLVLHFAEADHDPVNSNLAASSPPKKIDCGSACKARCHLSSRPRLCKRACGTCCSRCSCVPPGTAGNYEACPCYASLTTHGGRRKCP >Potri.006G049300.2.v4.1 pep chromosome:Pop_tri_v4:6:3404468:3428965:-1 gene:Potri.006G049300.v4.1 transcript:Potri.006G049300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049300.v4.1 MGNSTRQLRAMLRKNWLLKIRHPFITSAEILLPTIVMLLLIAVRTRVDLQIHPAQACIKENMLVEVGKGMSPNFQEVLEALLVRGEFLAFAPDTEETRMMINLMSIKFPLLQQVSLIYKDELELETYLTSDLYGTCSQVKNCSNPKIKGAVVFHNQGPQLFDYSIRLNHTWAFSGFPDVRTIMDVNGPYLNDLELGVNIIPTMQYSSSAFFTLQQVVDSFIIFASQQTETESSTEHIELPSSNSFNKSSSLKLPWTKFSPSKIRIAPFPTREYTDDQFQSIIKRVMGVLYLLGFLYPISGLISYSVFEKEQKIREGLYMMGLKDGIFHLSWFITYALQFAISSGIITACTLNNLFKYSDKSVVFVYFFSFGLSAIMLSFLISTFFTRAKTAVAVGTLSFFGAFFPYYTVNDPAVPMILKVLASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLVCLLMMLFDTLIYCAIGLYLDKVLPRENGMRYPWNFLFQKCFWRKNNFVKHHGSSLESNFNDELSNERASFLGNNTQEPAVEAISLDMKQQELDKRCIQIRNLRKVYASKRGNCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNITTDMDEIRNGLGVCPQNDILFPELTVREHLEIFAALKGVKEDILERDVTDMVNEVGLADKVNTAVRALSGGMKRKLSLGIALIGNSKVVILDEPTSGMDPYSMRLTWQLIKRIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHQYGVGYTLTLVKSSPTASVASDIVYRHVPSATCVSEVGTEISFKLPLASSVSFESMFREIESCMRRSISKSEMSSSEDKSYPGIESYGISVTTLEEVFLRVAGCGYDETDDFVDRNNILSSNSTVPAAYDNRPSETIFDAKILGNYKKIIGFISAMVGRVSGLMAATILSFINFLGMQCCCCCIISRSTFWQHTKALFIKRAISARRDRKTIVFQLLIPAIFLLFGLLFLKLKSHPDQQSVTLTTSHFNPLLSGGGGGGPIPFDLSLPIAKEVAGYIKGGWIQNFRQSAYRFPDAERELADAIKAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDKKHDDGSLGYTILHNSSCQHAAPTFINLMNAAILRLATGDQNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAIIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSVLSYWVSTYIWDFISFLIPSSFALLLFYIFGLDQFIGKDCFLPTFLMFLEYGLAIASSTYCLTFCFSEHSMAQNVVLLVHFFTGLILMVISFIMGLIQTTASANNLLKNFFRLSPGFCFADGLASLALLRQGMKDKSSNAVFDWNVTGASLCYLGFESIGYFLLTLGWELLPFHKLTPVGIKQYWRSIMNLQHDTHDLEPLLKSPSETVDLNFDEDIDVQTERNRVLAGSIDNAIIYLRNLRKVYPGEKHRTKVAVRSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTDGSAFIFGKDTRSDPKAARRHIGYCPQFDALLEFLTVQEHLELYARIKGVADYRIDDVVMEKLLEFDLLKHANKPSFTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCQTIQSRLFDIPSHPRSLLDDIEVCIGRIDSITSENASVMEISLSQEMIILIGRWLGNEERVKTLVSSTPISDGVFGEQLSEQLVRDGGIPLPIFSEWWLAIEKFSAIDSFILSSFPGAAFQGCNGLSVKYQLPYSKDLSLADVFGHIEQNRNQLGIAEYSISQSTLETIFNHFAASS >Potri.006G049300.3.v4.1 pep chromosome:Pop_tri_v4:6:3404501:3428947:-1 gene:Potri.006G049300.v4.1 transcript:Potri.006G049300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049300.v4.1 MMGLKDGIFHLSWFITYALQFAISSGIITACTLNNLFKYSDKSVVFVYFFSFGLSAIMLSFLISTFFTRAKTAVAVGTLSFFGAFFPYYTVNDPAVPMILKVLASLLSPTAFALGSINFADYERAHVGLRWSNIWRESSGVNFLVCLLMMLFDTLIYCAIGLYLDKVLPRENGMRYPWNFLFQKCFWRKNNFVKHHGSSLESNFNDELSNERASFLGNNTQEPAVEAISLDMKQQELDKRCIQIRNLRKVYASKRGNCCAVNSLQLTLYENQILALLGHNGAGKSTTISMLVGLLPPTSGDALVFGKNITTDMDEIRNGLGVCPQNDILFPELTVREHLEIFAALKGVKEDILERDVTDMVNEVGLADKVNTAVRALSGGMKRKLSLGIALIGNSKVVILDEPTSGMDPYSMRLTWQLIKRIKKGRIILLTTHSMDEADELGDRIAIMANGSLKCCGSSLFLKHQYGVGYTLTLVKSSPTASVASDIVYRHVPSATCVSEVGTEISFKLPLASSVSFESMFREIESCMRRSISKSEMSSSEDKSYPGIESYGISVTTLEEVFLRVAGCGYDETDDFVDRNNILSSNSTVPAAYDNRPSETIFDAKILGNYKKIIGFISAMVGRVSGLMAATILSFINFLGMQCCCCCIISRSTFWQHTKALFIKRAISARRDRKTIVFQLLIPAIFLLFGLLFLKLKSHPDQQSVTLTTSHFNPLLSGGGGGGPIPFDLSLPIAKEVAGYIKGGWIQNFRQSAYRFPDAERELADAIKAAGPTLGPVLLSMSEFLMSSFNESYQSRYGAVVMDKKHDDGSLGYTILHNSSCQHAAPTFINLMNAAILRLATGDQNMTIQTRNHPLPMTKSQHLQHHDLDAFSAAIIVNIAFSFIPASFAVAIVKEREVKAKHQQLISGVSVLSYWVSTYIWDFISFLIPSSFALLLFYIFGLDQFIGKDCFLPTFLMFLEYGLAIASSTYCLTFCFSEHSMAQNVVLLVHFFTGLILMVISFIMGLIQTTASANNLLKNFFRLSPGFCFADGLASLALLRQGMKDKSSNAVFDWNVTGASLCYLGFESIGYFLLTLGWELLPFHKLTPVGIKQYWRSIMNLQHDTHDLEPLLKSPSETVDLNFDEDIDVQTERNRVLAGSIDNAIIYLRNLRKVYPGEKHRTKVAVRSLTFSVQAGECFGFLGTNGAGKTTTLSMLTGEESPTDGSAFIFGKDTRSDPKAARRHIGYCPQFDALLEFLTVQEHLELYARIKGVADYRIDDVVMEKLLEFDLLKHANKPSFTLSGGNKRKLSVAIAMIGDPPIVILDEPSTGMDPIAKRFMWEVISRLSTRQGKTAVILTTHSMNEAQALCTRIGIMVGGRLRCIGSPQHLKTRFGNHLELEVKPTEVSSVDLENLCQTIQSRLFDIPSHPRSLLDDIEVCIGRIDSITSENASVMEISLSQEMIILIGRWLGNEERVKTLVSSTPISDGVFGEQLSEQLVRDGGIPLPIFSEWWLAIEKFSAIDSFILSSFPGAAFQGCNGLSVKYQLPYSKDLSLADVFGHIEQNRNQLGIAEYSISQSTLETIFNHFAASS >Potri.005G241800.1.v4.1 pep chromosome:Pop_tri_v4:5:23855079:23855849:1 gene:Potri.005G241800.v4.1 transcript:Potri.005G241800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241800.v4.1 MEARFAPSTADRHQSISPSKKMKNPAKKINHTASRSFISRSVENLPPMNHNNYRNNSHGVLFFAPRHDSLYFSYPPPSSQSVLNSHQQNYYHERHAKPLSFPLPISKPHHNSLPSRSWGLSCPPSATRKTNRPRDQSLTPKKSKQPNTKKVEEPKEQSLIIGSTVPLGPDPKDLPKDVSKVLSSSSATVSGNGVINSTVLMEDFEKFSGSAFALSPHPSSLPLPKFSMRPKLSCSAEAAGIDAGATDNLRRLLRLR >Potri.004G064300.5.v4.1 pep chromosome:Pop_tri_v4:4:5442615:5451382:-1 gene:Potri.004G064300.v4.1 transcript:Potri.004G064300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTAG1 MEYQNESLESSPLRKLGRGKVEIKRIENTTNRQVTFCKRRSGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNDSVKSTIERYKKASADSSNTGSVSEANAQYYQQEAAKLRSQIGNLQNSNRHMLGEALSSLSVKELKSLEIRLEKGISRIRSKKNELLFAEIEYMQKREVDLHNNNQLLRAKISENERKRQSMNLMPGGADFEIVQSQPYDSRNYSQVNGLQPASHYSHQDQMALQLV >Potri.004G064300.2.v4.1 pep chromosome:Pop_tri_v4:4:5442615:5451579:-1 gene:Potri.004G064300.v4.1 transcript:Potri.004G064300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTAG1 MEYQNESLESSPLRKLGRGKVEIKRIENTTNRQVTFCKRRSGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNDSVKSTIERYKKASADSSNTGSVSEANAQYYQQEAAKLRSQIGNLQNSNRHMLGEALSSLSVKELKSLEIRLEKGISRIRSKKNELLFAEIEYMQKREVDLHNNNQLLRAKISENERKRQSMNLMPGGADFEIVQSQPYDSRNYSQVNGLQPASHYSHQDQMALQLV >Potri.004G064300.3.v4.1 pep chromosome:Pop_tri_v4:4:5442615:5451579:-1 gene:Potri.004G064300.v4.1 transcript:Potri.004G064300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTAG1 MEYQNESLESSPLRKLGRGKVEIKRIENTTNRQVTFCKRRSGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNDSVKSTIERYKKASADSSNTGSVSEANAQYYQQEAAKLRSQIGNLQNSNRHMLGEALSSLSVKELKSLEIRLEKGISRIRSKKNELLFAEIEYMQKREVDLHNNNQLLRAKISENERKRQSMNLMPGGADFEIVQSQPYDSRNYSQVNGLQPASHYSHQDQMALQLV >Potri.002G249100.2.v4.1 pep chromosome:Pop_tri_v4:2:23943762:23947619:1 gene:Potri.002G249100.v4.1 transcript:Potri.002G249100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249100.v4.1 METKEGNSGIEEYFDWKGKKADPKKHGGMRAASLACVVEVLENLVFLSNATNFVAYFTKTMHYTISESANMVTNFIGTSFLLTIFGGFIGDSFFTRFRTFVVFCIIELLGMILLTVQAHDTRLQPALQEKPSSSQAAVLFSGLYAIATGVGGIKATLPAHGADQSDHSKQRSISSFFNWFFFSLCIGGLLSSTIMVWIEENRGWNWSFKISVVVLGVALCIFIAGFPIYRFKRPGGSPITRILKVFASASRNRKVSSGLELINDEVGERSHKKFRFLDKALFDDTISAVQVKETRTFLGLLPIFASTIMMNCCLAQLQTFSVEQGSIMNRKLNNFQIPTQSLTVFPLIVVLASIPLFEHLANSYKNKMSENHYILDPLKRIGLGLALASASMAVAAIIEFKRREAAENGHTLSVFWLGGQYLLLGVSDMLTLEGMLEFFYSEAPDSMRSFCTALSWCSTSMGYFLSSVLVSIINSITGKLDHEWLGGHNLNHNRLDLFYTILCILNFLNLLNYIYWAKKY >Potri.002G249100.3.v4.1 pep chromosome:Pop_tri_v4:2:23943762:23947577:1 gene:Potri.002G249100.v4.1 transcript:Potri.002G249100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249100.v4.1 METKEGNSGIEEYFDWKGKKADPKKHGGMRAASLACVVEVLENLVFLSNATNFVAYFTKTMHYTISESANMVTNFIGTSFLLTIFGGFIGDSFFTRFRTFVVFCIIELLGMILLTVQAHDTRLQPALQEKPSSSQAAVLFSGLYAIATGVGGIKATLPAHGADQSDHSKQRSISSFFNWFFFSLCIGGLLSSTIMVWIEENRGWNWSFKISVVVLGVALCIFIAGFPIYRFKRPGGSPITRILKVFASASRNRKVSSGLELINDEVGERSHKKFRFLDKALFDDTISAVQVKETRTFLGLLPIFASTIMMNCCLAQLQTFSVEQGSIMNRKLNNFQIPTQSLTVFPLIVVLASIPLFEHLANSYKNKMSENHYILDPLKRIGLGLALASASMAVAAIIEFKRREAAENGHTLSVFWLGGQYLLLGVSDMLTLEGMLEFFYSEAPDSMRSFCTALSWCSTSMGYFLSSVLVSIINSITGKLDHEWLGGHNLNHNRLDLFYTILCILNFLNLLNYIYWAKKY >Potri.002G249100.1.v4.1 pep chromosome:Pop_tri_v4:2:23943762:23947515:1 gene:Potri.002G249100.v4.1 transcript:Potri.002G249100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249100.v4.1 METKEGNSGIEEYFDWKGKKADPKKHGGMRAASLACVVEVLENLVFLSNATNFVAYFTKTMHYTISESANMVTNFIGTSFLLTIFGGFIGDSFFTRFRTFVVFCIIELLGMILLTVQAHDTRLQPALQEKPSSSQAAVLFSGLYAIATGVGGIKATLPAHGADQSDHSKQRSISSFFNWFFFSLCIGGLLSSTIMVWIEENRGWNWSFKISVVVLGVALCIFIAGFPIYRFKRPGGSPITRILKVFASASRNRKVSSGLELINDEVGERSHKKFRFLDKALFDDTISAVQVKETRTFLGLLPIFASTIMMNCCLAQLQTFSVEQGSIMNRKLNNFQIPTQSLTVFPLIVVLASIPLFEHLANSYKNKMSENHYILDPLKRIGLGLALASASMAVAAIIEFKRREAAENGHTLSVFWLGGQYLLLGVSDMLTLEGMLEFFYSEAPDSMRSFCTALSWCSTSMGYFLSSVLVSIINSITGKLDHEWLGGHNLNHNRLDLFYTILCILNFLNLLNYIYWAKKY >Potri.002G249100.4.v4.1 pep chromosome:Pop_tri_v4:2:23944765:23947610:1 gene:Potri.002G249100.v4.1 transcript:Potri.002G249100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249100.v4.1 MHYTISESANMVTNFIGTSFLLTIFGGFIGDSFFTRFRTFVVFCIIELLGMILLTVQAHDTRLQPALQEKPSSSQAAVLFSGLYAIATGVGGIKATLPAHGADQSDHSKQRSISSFFNWFFFSLCIGGLLSSTIMVWIEENRGWNWSFKISVVVLGVALCIFIAGFPIYRFKRPGGSPITRILKVFASASRNRKVSSGLELINDEVGERSHKKFRFLDKALFDDTISAVQVKETRTFLGLLPIFASTIMMNCCLAQLQTFSVEQGSIMNRKLNNFQIPTQSLTVFPLIVVLASIPLFEHLANSYKNKMSENHYILDPLKRIGLGLALASASMAVAAIIEFKRREAAENGHTLSVFWLGGQYLLLGVSDMLTLEGMLEFFYSEAPDSMRSFCTALSWCSTSMGYFLSSVLVSIINSITGKLDHEWLGGHNLNHNRLDLFYTILCILNFLNLLNYIYWAKKY >Potri.001G018600.2.v4.1 pep chromosome:Pop_tri_v4:1:1372352:1374931:-1 gene:Potri.001G018600.v4.1 transcript:Potri.001G018600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018600.v4.1 MDHDETGCQAPPEGPILCTNNCGFFGSAATMNMCSKCHKGMLLKQEQANLAASSIGSIVNGSSSSNVFEPVIADIIDVQNNAVEPKTITVQPSCASGSGERVEAKPKEGPNRCTSCKKRVGLTGFKCRCGSLFCASHRYSDKHDCPFDYRSAAREAIAKANPVVKAEKLDKI >Potri.001G018600.3.v4.1 pep chromosome:Pop_tri_v4:1:1372339:1373119:-1 gene:Potri.001G018600.v4.1 transcript:Potri.001G018600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018600.v4.1 MLLKQEQANLAASSIGSIVNGSSSSNVFEPVIADIIDVQNNAVEPKTITVQPSCASGSGERVEAKPKEGPNRCTSCKKRVGLTGFKCRCGSLFCASHRYSDKHDCPFDYRSAAREAIAKANPVVKAEKLDKI >Potri.001G345100.1.v4.1 pep chromosome:Pop_tri_v4:1:35592389:35612888:-1 gene:Potri.001G345100.v4.1 transcript:Potri.001G345100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345100.v4.1 MKVLRFAAFLVAFTTLIVVGKTTSHLSPGPHVTDVNILLPPKMTRPVEYRLQGSDGCFKWSWDHHDILSVIPEYNLSYSHCSTSARLRSIAPFTGRKETAIYAADVHTGIVIRCKVFIDNISRIQIFHNSIKLDLDGLATLRARAFDREDNVFSSLAGLQFMWQLMPETDGLPHHLVHVPLRDSPLSDCGGLCGDLNIQIELEDSGVFSDLYVVKGVEIGHENVSVHLLEPQFKHLADKIVLTVAEAMSLEPPSPVLVLIGAAFRYTLKVIRGNILQVVALPSPHHRWSVLNSSVAEVDHLSGFAQALSLGVTSVIVKDTRVAGHMQVSSLNVVLPDTLCLFIMPLPVSGDPVDGLKAIPSLARWFVVSGRQYIIQMKVFLGGPDAQEIYITESDDLKLHHEQSEYWTIFMLSEDIVVKHGWRNSRILRAISLGQGKLTASLTYFSGHRERKKVLSAAQEIMVCDQVKFSLDGASGTHQTILLPWTPTIYQEVELKATGGCAKTSSDYKWFSSDTSTVSISPFGVLQAKKPGKATVRVVSIFDSSNHDEVVIEVSVPSSMIMLQNFPVETVVRSHLPAAVTMKASDGSYYYTCDAFHLFVKWKVGSESFVIVNATEEARIAEKLGAFHLYESVYGPPCSWAYIYASGPGQTMLQATLSKEHHLDQSFHGSIILKASSRIAAYPPLTVHQVVDGSQFGGYWLDLGHVEASNHLEPLESLYLVPGTSLDIMLLGGPAPWDKDVDYLETVEISGDKHASSKDGIHARRISGSYQSMYRVSCQTLGIFDLVFKRGNLVGDDHSLPAIAEVALSLTCSFPSSIALIVDEPVNRHDAIRFASLADRSTGQIRTTPVTVANGQTIRVAAVGIGVSGEAFANSSSLSLRWELSSCEGLAYWWADAYESQRLKSSWERFLVLQNESGECIVRATVIGLDDALGSHYLAQLRSLENVLTDAVKLQLVSSLRVNPEFNLLYFNPDAKVNLSIAGGSCLWEAVVNDSQVVEVAQPPPGLQCFQLRLLPKMLGTALVSISDIGLIPPTSASAVVQVADVDWIKIVSGEQISLMEGQSQPIHLMAGIKDGNAFDSNQYAYMKIHVHIEDPIVELVDKNGMPSDAGGYVNAPNFTINAKDLGFTTLYVSVRQQSGHEILSQSIKIEVYAPLRIHPDDIFLVPGACYMLAMKGGPTVGVYIQYASMDDGIATVDKSSGRLCAISPGNTTILSSVFGNGGVVVCQAHGSIYVGVPSLSMLSAQSDKLDVGREMPIYPSFPEGDLFSFYELCRSYKWTIEDEKVLSFNMAESSNVEKHWFPLDDEQELDFIKVLHGRSAGKANITVTFSCDFVSASFSQSRLYDASLSLLVVPPLPLALGVPMTWLLPPHYVTSSLLPSSLESHGQQDAQSRRGTIIYSLLSCEKNEVWKKNAISIDGDRIKTTESNNLACIQAKDRTTGRTEIASCVKVAEVAQIRIMNRDPPFHVIYLAVGANLDLPISYFDASGNPFYEAYDVISYHAETNYHEIVSVVHTRNGNGTIHLKAMQTGRALVRVSMNSNPRKFDYILVSVGAHIYPQNPVLQHGSSLNLSVIGINDQVSGCWHSADERVVSVDMRSGKIEACGIGSTHVFFKCPSMKLQTEITVLSGNIVSIHAPKEILTNIPYPAKGYSFPLSLSDTYNKLETLGNSKGVSYDCKVDPPFVGYAKPWMDLESGNSYCLFFPYSPEHLVHSVPRLKDMRPYVSISINVSLREASHVSGSASAIFIGGFSILEMDKSPMQLNLTPDSNKTTITILGNTDVEIHWLDRDSMKIALVHKEDFGIGSHAQYEVEVLRAKRLKDRITITLPANGQRMEIQVTYEPDAKTGAKTSFATFIGLLVAGCVILCVIYLGCSLLISEISSIHVPSTTPATPPSAAPQTPARGSPVLTEQSPRTPQPFVDYVRKTIDETPYYKREVRRRSNPQNTY >Potri.001G345100.5.v4.1 pep chromosome:Pop_tri_v4:1:35592312:35602921:-1 gene:Potri.001G345100.v4.1 transcript:Potri.001G345100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345100.v4.1 MLGTALVSISDIGLIPPTSASAVVQVADVDWIKIVSGEQISLMEGQSQPIHLMAGIKDGNAFDSNQYAYMKIHVHIEDPIVELVDKNGMPSDAGGYVNAPNFTINAKDLGFTTLYVSVRQQSGHEILSQSIKIEVYAPLRIHPDDIFLVPGACYMLAMKGGPTVGVYIQYASMDDGIATVDKSSGRLCAISPGNTTILSSVFGNGGVVVCQAHGSIYVGVPSLSMLSAQSDKLDVGREMPIYPSFPEGDLFSFYELCRSYKWTIEDEKVLSFNMAESSNVEKHWFPLDDEQELDFIKVLHGRSAGKANITVTFSCDFVSASFSQSRLYDASLSLLVVPPLPLALGVPMTWLLPPHYVTSSLLPSSLESHGQQDAQSRRGTIIYSLLSCEKNEVWKKNAISIDGDRIKTTESNNLACIQAKDRTTGRTEIASCVKVAEVAQIRIMNRDPPFHVIYLAVGANLDLPISYFDASGNPFYEAYDVISYHAETNYHEIVSVVHTRNGNGTIHLKAMQTGRALVRVSMNSNPRKFDYILVSVGAHIYPQNPVLQHGSSLNLSVIGINDQVSGCWHSADERVVSVDMRSGKIEACGIGSTHVFFKCPSMKLQTEITVLSGNIVSIHAPKEILTNIPYPAKGYSFPLSLSDTYNKLETLGNSKGVSYDCKVDPPFVGYAKPWMDLESGNSYCLFFPYSPEHLVHSVPRLKDMRPYVSISINVSLREASHVSGSASAIFIGGFSILEMDKSPMQLNLTPDSNKTTITILGNTDVEIHWLDRDSMKIALVHKEDFGIGSHAQYEVEVLRAKRLKDRITITLPANGQRMEIQVTYEPDAKTGAKTSFATFIGLLVAGCVILCVIYLGCSLLISEISSIHVPSTTPATPPSAAPQTPARGSPVLTEQSPRTPQPFVDYVRKTIDETPYYKREVRRRSNPQNTY >Potri.001G140000.1.v4.1 pep chromosome:Pop_tri_v4:1:11449367:11451000:-1 gene:Potri.001G140000.v4.1 transcript:Potri.001G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140000.v4.1 MADDRRGVVGYALLPKKQQSFIQDSLLSLCKSKGVDLVKIDQDRLLTDQGPFDCVLHKLYGEHWRKQLEEFQIQNPNSTIIDSPASIERLHNRISMLQVVSELKIESETDTFGIPKQIVIYDKETLFDRQSWEFLKYPVIAKPLIADGSAKSHKMALVFNHEGLNKLKPPIVLQEFVNHGGVIFKVYVVGEFVKCVKRKSLPDVSEEKLKSLEGSLSFSQVSNLTSDERNGDKYYKLMDLEDTELPPQSFITDIARGLRRGLKLNLFNFDVIRDARIGNRYLVIDINYFPGYAKMPGYETALTDFFCDLVGKSWSEEEEKDGIDKRQLVCNCDVEVRKIVSNTCCSDGEEQEKSPILQV >Potri.009G092200.1.v4.1 pep chromosome:Pop_tri_v4:9:8397521:8399634:-1 gene:Potri.009G092200.v4.1 transcript:Potri.009G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092200.v4.1 MAISSGIFSTFLSTETVPLLKFPSPTSTLPLRFLAAPPANPRMVRSTVVTCATASTGNRAPRGIMKPRRVSPEMADFIGAPEVSRTQALKLIWAHIKEHNLQDPSNKKNIICDEKLKKIFAGRDQVGFLEIAGLISPHFLK >Potri.016G003133.1.v4.1 pep chromosome:Pop_tri_v4:16:164395:165160:-1 gene:Potri.016G003133.v4.1 transcript:Potri.016G003133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003133.v4.1 MGKQEKYQETDTESESSDDEEDEEPEKWRRHYPSKHRMLLVGEDDFSFSLSLARPFVLLSTWFQLLLIPKGLSLFEVHIT >Potri.018G068000.1.v4.1 pep chromosome:Pop_tri_v4:18:8179097:8182892:-1 gene:Potri.018G068000.v4.1 transcript:Potri.018G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068000.v4.1 MENLVVCNNCPVVVKNSLISKKPPSSPKSPLRHLRSFSSLFNRSVTLRMALPPSGQNPVVEQQKVIISNKHGEKLVGLLHDTGSNEIVILCHGFRSTKGNDTMVNLAKALEKEGTSSFRFDFAGNGESEGSFAYGSYWREADDLRSVMEHFRGASRAISAILGHSKGGDVVLLYASKYQDITTVFNVSGRYDLKRGIEERIGKDFMEKIKQDGFINVKNRTGSVIYRVTEESLMDRLNTDIHKACLVINKECRVFTIHGSADEIIPVEDALEFAKIIPNHSLHIIEGANHSYTSHQTELAAVVLKLMKATLQQD >Potri.007G012200.1.v4.1 pep chromosome:Pop_tri_v4:7:960871:964898:-1 gene:Potri.007G012200.v4.1 transcript:Potri.007G012200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012200.v4.1 MTELNNPDNDDPFLVQYQPSELKIASEFLSTWLPFLSRDLCRDCTKTLSDRIRSLSVIVELKGEDKSGDSPKLNDKVELSNGNCCSDGNCENNSVGSLKEEDDTNSIVSWKDGTPGWSETPPMSEASTSGFHSERHLGMSWADMAQDDELAKEEKELEQQELNKREVNVNDSAGDLRITKVVGKPTTLAYEQSEYNRFMNVKRKKEFMCYEKVNGKLTNILQGLELHMDIFSTAEQKRIVDHVYALKEKGKKGELKEQTYSAPQKWMRGKGRVTLQFGCCYNHVTDKNGNPPGIVQDEMVDPIPVLFKVIIRRLVRWHVIPPTCVPDSCIVNIYEEGDCIPPHIDNHDFVRPFCTVSFLSECNMLFGSHLKIVGVGDFDGPIAIPLPVGSALVLNGNAADVAKHCVPSVHKRRISITFRKMDEAKRPIGFIPDPGLQGIQPLPHELDKTRRLNSPKSEPYMKRRPFDKEGKMEGRRFAENGSQSEPDYTSQSRRPAAYQRRIKENIGRADG >Potri.004G056000.2.v4.1 pep chromosome:Pop_tri_v4:4:4604529:4606843:-1 gene:Potri.004G056000.v4.1 transcript:Potri.004G056000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056000.v4.1 MAAKKCNTRIFVPFLLILAAWATKIACRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMYHGYKRQSSKLMSSSFRYENLSDIPTSMDWRNDGAVTPVKDQGTCGCCWAFSTVAAIEGIIKLQTGNLISLSEQQLVDCTAGNKGCQGGHMDTAFQYIIRNGGLTSEDNYPYQGVDGTCSSEKAASTEAQITGYEDVPQNNENALLQAVAKQPVSVAVEGGGHDFQFYKSGVFEGDCGTNLNHAVTAIGYGTDSDGTDYWLVKNSWGTSWGENGYMRMRRGIGSSEGLCGVAKDASYPTA >Potri.004G056000.3.v4.1 pep chromosome:Pop_tri_v4:4:4603304:4606843:-1 gene:Potri.004G056000.v4.1 transcript:Potri.004G056000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056000.v4.1 MAAKKCNTRIFVPFLLILAAWATKIACRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMYHGYKRQSSKLMSSSFRYENLSDIPTSMDWRNDGAVTPVKDQGTCGCCWAFSTVAAIEGIIKLQTGNLISLSEQQLVDCTAGNKGCQGGLMDTAFQYIIRNGGLTSEDNYPYQGVDGTCSSEKATSTEAQITGYEDVPQNNENALLQAVAKQPVSVAVDGGGNDFRFYKSGVFEGDCGTNLNHAVTAIGYGTDSDGTDYWLVKNS >Potri.007G006700.1.v4.1 pep chromosome:Pop_tri_v4:7:450406:451993:-1 gene:Potri.007G006700.v4.1 transcript:Potri.007G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006700.v4.1 MFFRSRFLSFPLVIGAVIIGVVSGKAIFGPPLDEYWKKKLDEEAAAKETDTSST >Potri.011G140750.1.v4.1 pep chromosome:Pop_tri_v4:11:17129318:17131711:-1 gene:Potri.011G140750.v4.1 transcript:Potri.011G140750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140750.v4.1 MADEVTLLNFWASPFGMRVKIALAEKEVKYEYSEQDLMNGKSDLLLQMNPVYKKIPVLIHRGKPVCESLIIVQYIDDVWRDKARSFATF >Potri.014G072900.1.v4.1 pep chromosome:Pop_tri_v4:14:4652051:4654359:1 gene:Potri.014G072900.v4.1 transcript:Potri.014G072900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072900.v4.1 MPSRHLRSLSPPPPPPLHHHHHHHHLLAPLLAGALTLFLIFIVILVILLYRKITRNRTAPITKSPNHHHQQCRCYSYSLLRRATSSFSPSNRLGHGGFGSVYKATLPNTNQHLAVKLMDQNGSLQGEREFHNELSIASCLDSPNIVSLLGYSCSRKKKLILVYELMENRSLQEALFDRKCEELMNWKVRFDLVIGVAKGLEYLHHSCNPPVIHGDIKPSNILLDSFFNAKIGDFGLARLKIEESNGVVEKKEGLGEENGSILEETESVGSVCGESGIIAVGGVERSPESFGGRVLDSDASPEMVSPEVGVDKGSVSEAGFDKMSVDSGRDLIGGGKKGGSRRDWWWKQDNGGGSESGRVKDYVMEWIGSEINKERRPKQEWNVVSPVSSDNKLLSTESLKIEPKKHKKRLEWWASLDEGRMKKEKNRKPREWWKEEFCEELTKKKKRGLSSSNSGDLWWQKDDDLVQERKKKRKSKGSIDWWLDGFSGEFRNGRRNSQDWASGEIPKSGGISSTPSMRGTVCYIAPEYGGGGLLSEKCDVYSFGVLLLVVVSGRRPLQVTASPMSEFERANLISWARQLAYNGKLLDLVDPSILSLDKDQALLCITIGLLCLQRSPSKRPTVKEIVGMLSGEAEPPHLPFEFSPSPPSNFPFKSPRKAR >Potri.001G044100.1.v4.1 pep chromosome:Pop_tri_v4:1:3183877:3186419:-1 gene:Potri.001G044100.v4.1 transcript:Potri.001G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044100.v4.1 MSLRIAADNFPTLPVGEMENFVVVREYDEGRDKVAVEEMERSCEVGQRGKHSLVTDLMGDPICRVRRFPSHVMLVAECGDGGEIVGVIRACVNTVRTRESSGYVKLAYILGLRVSPSHRRLGIGTKLVQEIEEWCKQKGAEYSYMATDCSNEPSINLFTRKCFYTKFRTLTMLVQPVHAHYKPLGSGIAIIQLPPKLAEAIYCRVFADAEFFPKDICTILSSKLNLGTFMAVPKKALPKWDPKTGILPSSFALLSVWNTKEVFKLQVKGVSKLTYACCTGTRLLDAWMPWLRLPSFPDVFRQFGVYFLYGLHMEGKNASRLMKALCAFAHNMARDDDGCGAVVAEVAQRDPVREVIPHWRRFSWAEDLWCIKKLADEKLDDVDRRCGQSDWMKHGSSSPVIFVDPRDI >Potri.008G197500.3.v4.1 pep chromosome:Pop_tri_v4:8:13976160:13981587:1 gene:Potri.008G197500.v4.1 transcript:Potri.008G197500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197500.v4.1 MIQQKNLWVLLALINTIGLVGFNHLCHAEGKAHRILLDTDVDTDDVFALFYLLKLNRSRFGLEAVTVNTNSWTDAGHGVSQIYDILYMMGRDDVSVGMGGEGGIAEDGHIFPDVGGFLPIVEQGKSTAGGCRYRQAIPVGPRGRLDLDSNYGLRRAFLPQGSRKYSPLEQPTAQQVLTEKISAGPITVLITGAHTNIGVFLMNNPHLKNNIEHIYAMGGAVRSDGNLFADLYSNPYAEFNIFADPFAAYQVLHSGIPLTLVPLDATNTIPTNENFFKAFEQNQHTYEAQYCFRSLKMTRDTRTDDHFYTSHYMWDTFAAGVAVSTMRNSQNRDGENEFAEMEYMNITVVTSNEPFGISDSSNPFFYHREVPRFNLTKGGVHSGHVQTGLRDPLCLGENGKGRCEDGYTKEVSGAEAVRVLVATRAKPNPDRNSTLDRAFYKSFLDVLNDPQQSGRFNFTTQFPHYKEVLYRPDFGTKGLGKPVVFDMDMSVGDFLALFYLLKVPVEEINVKGIIVSPTGWANAATIDIVYDFLHMMGRDDIPVGLGDVFAMNQSDPVFSAVGDCKYLKAIPHGSGGLLDSDTLYGLARDLPRSPRRYTAENSVKYGAPRDTDHPELRQPLALEIWDSIVRTLDPGSKITILTNGPLTSLAKIIQNENNTSSVIQDVYVVGGHISHSDTDKGNVLTIDSNEYTELNMFLDPLAAKTVFESSLDITLIPLGVQRRVSSFPEILERLRKINTTPEALFAQRLLSRLYHLKETHRRYQQMDTFLGEILGAVVLAGNFSKLDPTFRVKPIKVLAEGVESEDGRIVIDEKQGRLVKIVEKVDLGAYYDLFTEQLRSKEQSAVIGSFDEQRRNWSVPLNLTKGFH >Potri.002G147601.1.v4.1 pep chromosome:Pop_tri_v4:2:11084828:11087016:1 gene:Potri.002G147601.v4.1 transcript:Potri.002G147601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147601.v4.1 MEGERGTVCVTGGTGYLASCLIMKLLEQGYSVNTTVRPHPDGNKDISYLTGLPGAKERLQIFKADLNEPESFNEAIEGCAGVLHLAHSLDLADREPEEIATKRSLEGTLGILKACLNSKTVKRVVYTSSAAAILFSGNGQEVVDESAWTDMDYFKDLKLTARSYTASKTKTERAALEFAEQHGLDLVTLIPSLVLGPFNSPRIPASFYVGLAMIMGNRNLYRLLMESNMVHVEDVAMAHIFLLEYPGAKGRYICSSDRISLNGMSEFLSARYPDLQSPTKESLKDITGYKQCGLSSKKLLDCGFRFEHGLEDMFDGAIQSCKERGFI >Potri.015G122900.3.v4.1 pep chromosome:Pop_tri_v4:15:13604662:13609348:-1 gene:Potri.015G122900.v4.1 transcript:Potri.015G122900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122900.v4.1 MASHIFLFSTPFLVFSLLAYASFFASFAYSASTGAAEVANGRKEAEALLEWKVSLDNQSQSLLSSWAGDSPCNWFGISCDQSGSVTNISLSNSSLRGTLNSLRFSSFPNLIELTLSYNSLYGYVPSHIGNLSNLNILDLSFNNISCNIPPEVGNLVSLTSLNLSSNNLIGTIPTSLENLKNLSVLKLWNNNLFGSIIFIENLTSSLTILNLAFNNLTGTIPASLGNLKRLSAFIVGNNNLSGPIPPEMNNLTHLFALQIFSNRLSGNLPRDVCLGGSLLYFSASENYFTGPIPKSLRNCSSLLRLRLERNQLSGNISEAFGTHPHLNYMDLSDNELHGELSLNWEQFNNLTAFRISGNKISGEIPAALGKAAHLQALDLSSNQLVGRIPKELGNLKLIELALNDNRLSGDIPFDVASLSDLQRLGLAANNFSATILKQLGKCSKLILLNMSKNRFAGSIPAEMGYLQSLQSLDLSWNSLMGGIAPELGQMQQLEVLNLSHNMLSGLIPTSFSRLQALTKVDVSYNKLEGPIPDIKAFREAPFEAICNNTNLCGNATGLEACSDLVKNKTVHKKGPKVVFLTVFSLLGSLLGLIVGFLIFFQSRRKKRLVETPQRDVTARWCPGGDLRYEDIIEATEEFDSKYCIGTGGYGVVYKAVLPSEQVLAVKKFHQTPEVEMSSLKAFRSEIDVLMGIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKVLNDEEQAGKMDWDKRMNLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEARVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEKCDVYSFGVLTLEVMMGKHPGDFISSLILSASTSSSSPIGHNTVLKDVLDQRLPPPENELADGVAHVAKLAFACLQTDPHYRPTMRQVSTELTTRWPPLPKLFSTMELEDIMVHRNVIG >Potri.001G123000.1.v4.1 pep chromosome:Pop_tri_v4:1:10089058:10089231:1 gene:Potri.001G123000.v4.1 transcript:Potri.001G123000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123000.v4.1 MGIIRSGFLFIAGTVFGVYVAQNYNVPNVRKITNTGLLIANHIEETYRKPKKRDGDD >Potri.014G037700.1.v4.1 pep chromosome:Pop_tri_v4:14:2396031:2398401:1 gene:Potri.014G037700.v4.1 transcript:Potri.014G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037700.v4.1 MDFPFQFSATAVLILFAFITPSIYYLFRIPGKETSKKRAPPEAAGAWPLIGHLHLLGGSQPPHITLGNLADKYGPIFTVKLGVHRTLIVSNWEMAKECLRTNDKAFATRPKTLAMDILGYNYSMLGFSPYGTYWRLIRKIVTLEVLSNHRLEMFKHVREDEVRDAVGALYQQWTGNKSNSQKLLVEMKRWFSDITLNVILKIIVSKRYVDYVSRGEEKPSHEWGDSIRTFLELAGMFVVSDALPFLRWLDLGGVEKAMKRTSKNIDRAVEKWLEEHKQKKASGTAKGEEDFMDLMLSVLDDAKELSNRSADTINKATCLALILAASDTTSVTLTWTLSLLLNNREILKKAQDELDIHVGRERQVKESDMKNLVYLQAIIKETFRLYPAAPLSVPHESMEECTVGGYQIPAGTRLFTNLSKIHRDPQVWSDPDEFQPERFLTTQKDCDFRGQHFELIPFGSGRRMCPGVSFALQVVNLALATLLHGFDIETVDDAPIDMTETGGITNIKATPLEALLTPRLSPGLYDLQ >Potri.011G025000.9.v4.1 pep chromosome:Pop_tri_v4:11:1858443:1862620:-1 gene:Potri.011G025000.v4.1 transcript:Potri.011G025000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025000.v4.1 MFDSHHMLDMTPKSSENDLSKLKDDDYETKSGTETMEAPSGDDQDPSGQRPKKKRYHRHTQRQIQEMEAFFQECPHPDDKQRKELSRELGLDPLQVKFWFQNKRTQMKAQHERSENSILKAENEKLRMENNRYKEALSSASCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLSNLSHHLPSRSLDLGVSNYGAHSGFVGEMFGATALLGAVTGPTEADKPMIVEVAVAAMEELMRMAQAGEPLWIQGENNTEVLNEEEYLRTFTRGIGPRPLGMRSEASRESAVVIMSHVNLVEILMDANQWSTIFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHTDATWAVVDVSLDSLCPSLMSKCRRRSSGCLIQELPNGYSNVVWVEHTEVDDRSVHNIYRPLVNSGLAFGAKRWVGTLDRQCERLASSMAINIPTGDLCVITTPEGRKSILKLAERMVMSFCTGVGASTAHTWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPSKKVFDFLKDENHRSEWDILSNGGQVQEMAHIANGRDPGNCVSLLRVNSTNSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGPGYGPAGILDVGSGGSLLTVAFQILVDSVPSVKLSLGSVATVNSLIKCTVERIKAAVMCDNP >Potri.011G025000.8.v4.1 pep chromosome:Pop_tri_v4:11:1858463:1863938:-1 gene:Potri.011G025000.v4.1 transcript:Potri.011G025000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025000.v4.1 MFDSHHMLDMTPKSSENDLSKLKDDDYETKSGTETMEAPSGDDQDPSGQRPKKKRYHRHTQRQIQEMEAFFQECPHPDDKQRKELSRELGLDPLQVKFWFQNKRTQMKAQHERSENSILKAENEKLRMENNRYKEALSSASCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLSNLSHHLPSRSLDLGVSNYGAHSGFVGEMFGATALLGAVTGPTEADKPMIVEVAVAAMEELMRMAQAGEPLWIQGENNTEVLNEEEYLRTFTRGIGPRPLGMRSEASRESAVVIMSHVNLVEILMDANQWSTIFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHTDATWAVVDVSLDSLCPSLMSKCRRRSSGCLIQELPNGYSNVVWVEHTEVDDRSVHNIYRPLVNSGLAFGAKRWVGTLDRQCERLASSMAINIPTGDLCVITTPEGRKSILKLAERMVMSFCTGVGASTAHTWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPSKKVFDFLKDENHRSEWDILSNGGQVQEMAHIANGRDPGNCVSLLRVNSTNSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGPGYGPAGILDVGSGGSLLTVAFQILVDSVPSVKLSLGSVATVNSLIKCTVERIKAAVMCDNP >Potri.011G025000.7.v4.1 pep chromosome:Pop_tri_v4:11:1858451:1863938:-1 gene:Potri.011G025000.v4.1 transcript:Potri.011G025000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025000.v4.1 MFDSHHMLDMTPKSSENDLSKLKDDDYETKSGTETMEAPSGDDQDPSGQRPKKKRYHRHTQRQIQEMEAFFQECPHPDDKQRKELSRELGLDPLQVKFWFQNKRTQMKAQHERSENSILKAENEKLRMENNRYKEALSSASCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLSNLSHHLPSRSLDLGVSNYGAHSGFVGEMFGATALLGAVTGPTEADKPMIVEVAVAAMEELMRMAQAGEPLWIQGENNTEVLNEEEYLRTFTRGIGPRPLGMRSEASRESAVVIMSHVNLVEILMDANQWSTIFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHTDATWAVVDVSLDSLCPSLMSKCRRRSSGCLIQELPNGYSNVVWVEHTEVDDRSVHNIYRPLVNSGLAFGAKRWVGTLDRQCERLASSMAINIPTGDLCVITTPEGRKSILKLAERMVMSFCTGVGASTAHTWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPSKKVFDFLKDENHRSEWDILSNGGQVQEMAHIANGRDPGNCVSLLRVNSTNSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGPGYGPAGILDVGSGGSLLTVAFQILVDSVPSVKLSLGSVATVNSLIKCTVERIKAAVMCDNP >Potri.011G025000.4.v4.1 pep chromosome:Pop_tri_v4:11:1858407:1863995:-1 gene:Potri.011G025000.v4.1 transcript:Potri.011G025000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025000.v4.1 MFDSHHMLDMTPKSSENDLSKLKDDDYETKSGTETMEAPSGDDQDPSGQRPKKKRYHRHTQRQIQEMEAFFQECPHPDDKQRKELSRELGLDPLQVKFWFQNKRTQMKAQHERSENSILKAENEKLRMENNRYKEALSSASCPNCGGPAALGEMSFDEQHLRIENARLREEIDRISGIAAKYVGKPLSSLSNLSHHLPSRSLDLGVSNYGAHSGFVGEMFGATALLGAVTGPTEADKPMIVEVAVAAMEELMRMAQAGEPLWIQGENNTEVLNEEEYLRTFTRGIGPRPLGMRSEASRESAVVIMSHVNLVEILMDANQWSTIFCGIVSRAMTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHTDATWAVVDVSLDSLCPSLMSKCRRRSSGCLIQELPNGYSNVVWVEHTEVDDRSVHNIYRPLVNSGLAFGAKRWVGTLDRQCERLASSMAINIPTGDLCVITTPEGRKSILKLAERMVMSFCTGVGASTAHTWTTLSATGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVPSKKVFDFLKDENHRSEWDILSNGGQVQEMAHIANGRDPGNCVSLLRVNSTNSSQSNMLILQESCTDSTGSYVIYAPVDISAMNIVLSGGDPDYVALLPSGFAILPDGPGYGPAGILDVGSGGSLLTVAFQILVDSVPSVKLSLGSVATVNSLIKCTVERIKAAVMCDNP >Potri.009G006500.1.v4.1 pep chromosome:Pop_tri_v4:9:1347314:1350022:1 gene:Potri.009G006500.v4.1 transcript:Potri.009G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006500.v4.1 MVLEVKRPTMSNNRRGFYVRMKLLHRHAGLQQQEKKKNLCFKYYKWLLWFSLLLYFLSSCFFTHKPIPLSKTHVSESKTVVSRALFESSNSTFIQQSKNINRGLLKDLKVYIYELPSKYNTDWLANERCSNHLFASEVAIHKALSNSLDIRTFDPYEADFFFVPVYVSCNFSTVNGFPAIGHARSLLSSAVQLISSNYPFWNRSQGSDHVFVASHDYGACFHAMEERAMEDGIPEFLKRSIILQTFGVKFNHPCQDVENVVIPPYISPERVRTTLENYPLNGRRDIWAFFRGKMEVHPKNISGRYYSKKVRTVIWRKYSGDRRFYLQRHRFAGYQSEIVRSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPTAVRWSEISLTVAEKDVANLGTLLDHVAATNLSAIQKNLWDPDVRRALLFNDRVQEGDATWQVLYALARKLDRSYRTVRLPNQ >Potri.010G253301.1.v4.1 pep chromosome:Pop_tri_v4:10:22490918:22491193:1 gene:Potri.010G253301.v4.1 transcript:Potri.010G253301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253301.v4.1 MYAIARLRAFKSTSFVLYQYEQNGEVADSLLIHTILVLLHITKFFSWEDGYMSAMDIAHDRGLSILLAYIFHCPFNFELQVVINWIAIDYL >Potri.010G055100.4.v4.1 pep chromosome:Pop_tri_v4:10:8623342:8625273:-1 gene:Potri.010G055100.v4.1 transcript:Potri.010G055100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055100.v4.1 MASRTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFVKVKKYGLPMLLTQDEGVKSFIANLNAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDAEVVEKGLSREKSDKEIMREIQAIMRQIASSITYLPCLDEPCVFDVLAYTDKDVTVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEQ >Potri.014G190000.1.v4.1 pep chromosome:Pop_tri_v4:14:16421745:16424666:-1 gene:Potri.014G190000.v4.1 transcript:Potri.014G190000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G190000.v4.1 MDYNNDNGNGEHRGWQRDEHYLQKHFNGDISQCLWDEVTPNGEYLSYMLDETTPVKAYGDLAYHVDHDANMNKEHEEWRRTCLQLKKCRLQFDTQLEDSPFCDKEITPIFLKSNETKESLEEVFPQASQWDSGYQDISASSYNGLDQSSERWIADCFNDSEMHFSPNDMNLPRAFDIQIDISGMKSFIQTPTKLAIYVAYPFAFIKPCGVHGDVTLNEINQRIRTPPSKAKLRDEEPVVYPMSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Potri.010G010416.1.v4.1 pep chromosome:Pop_tri_v4:10:1788513:1788988:-1 gene:Potri.010G010416.v4.1 transcript:Potri.010G010416.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010416.v4.1 MARQADLLVKIGQEGFAAIDEHFGRAKTRPPVMKVPYAHPTYYYVPATEVIDSNGAAQRYKGRLYLDYRKGKPVPF >Potri.005G158400.1.v4.1 pep chromosome:Pop_tri_v4:5:15236112:15236773:1 gene:Potri.005G158400.v4.1 transcript:Potri.005G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158400.v4.1 MTASKGGTSSSQSSPECCMCGDCGLPHELFQCKVCQFRSQHRYCSNLYPKAESYQVCNWCLSHDTKEKSQNSSNSSSSNKNNSEDDSRNNKKKNGDNQAGPSKNHRGTLRLQINSPIKKQRSPERSPSTRRRLITNGKLEEKLRRTRSEEMSNNGFTKQVFRNKVRRYKLLDEVSS >Potri.007G146300.5.v4.1 pep chromosome:Pop_tri_v4:7:15459033:15462026:1 gene:Potri.007G146300.v4.1 transcript:Potri.007G146300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146300.v4.1 MASSDKPEIVDRDVKEDDKDEEKGGFIDKVKDFIQDIGEKIEGAIGFGKPTADVTEIHFPTINLEKAEIVVDVLIKNPNPVPIPLIDINYLIESDGRKLISGLIPDAGTIRAHGEETVKIPIHLVYDDIKNTYDDIKPGSIIPYRIKVDFIVDVPIFGRLTLPLEKTGEIPVPYKPDIDIEKIKFERFSFEETVAVLHLKLENKNDFDLGLNSLDYEVWLSNVSIGGAELAESTKLDKNGINYVDIPITFKPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLKKSKEDGGDDDDDDEE >Potri.007G146300.4.v4.1 pep chromosome:Pop_tri_v4:7:15459027:15461932:1 gene:Potri.007G146300.v4.1 transcript:Potri.007G146300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146300.v4.1 MASSDKPEIVDRDVKEDDKDEEKGGFIDKVKDFIQDIGEKIEGAIGFGKPTADVTEIHFPTINLEKAEIVVDVLIKNPNPVPIPLIDINYLIESDGRKLISGLIPDAGTIRAHGEETVKIPIHLVYDDIKNTYDDIKPGSIIPYRIKVDFIVDVPIFGRLTLPLEKTGEIPVPYKPDIDIEKIKFERFSFEETVAVLHLKLENKNDFDLGLNSLDYEVWLSNVSIGGAELAESTKLDKNGINYVDIPITFKPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLKKSKEDGGDDDDDDEVL >Potri.007G146300.2.v4.1 pep chromosome:Pop_tri_v4:7:15459033:15462083:1 gene:Potri.007G146300.v4.1 transcript:Potri.007G146300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146300.v4.1 MASSDKPEIVDRDVKEDDKDEEKGGFIDKVKDFIQDIGEKIEGAIGFGKPTADVTEIHFPTINLEKAEIVVDVLIKNPNPVPIPLIDINYLIESDGRKLISGLIPDAGTIRAHGEETVKIPIHLVYDDIKNTYDDIKPGSIIPYRIKVDFIVDVPIFGRLTLPLEKTGEIPVPYKPDIDIEKIKFERFSFEETVAVLHLKLENKNDFDLGLNSLDYEVWLSNVSIGGAELAESTKLDKNGINYVDIPITFKPKDFGSALWDMIRGKGTGYSMKGHINVDTPFGAMKLPISKEGGTTRLKKSKEDGGDDDDDDEE >Potri.019G001900.1.v4.1 pep chromosome:Pop_tri_v4:19:810618:814132:1 gene:Potri.019G001900.v4.1 transcript:Potri.019G001900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001900.v4.1 MARSISRSPSHRRRRSPSPADRPQRRRSRRADRIRSSYSNSHSRRKSDSDSPPPRRHQRSRSPSYRRRKSRSPTPSRRRRRHRSRSRTESLSPLSRSRSTSIASTKIAVEKLKKEEEEKKRRQLEAELNLLEEETTRRLEESIRKNVEEKLNSEEVQSEIERRIEEGQKKLFDDVVAQLLKEKEAALVEERRKEAQARKEREELDKMLKENSRRVEESQRREALEQQRKDEERFCELELIKRQKEEVAWRKKLEVEEDHTNQMKLSGKNKSRQSRPFGIG >Potri.010G252400.4.v4.1 pep chromosome:Pop_tri_v4:10:22448323:22452674:-1 gene:Potri.010G252400.v4.1 transcript:Potri.010G252400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252400.v4.1 MGSRYPSHRLGNGLVVSGRPEQPKEKAPTMSSAAMPYTGGDIKKSGELGKMFIPSEGSKSRKSGPLTGPPSRTGSFAGAASHSGSMMSNAAPRAGYTTSGPVPSGGLPGSASLKKSSSGPLNKHGEPIKKSSGPQSGGVTRQNSGSIPSALPATGLITSGPISSGPLNSSGAPRKVSGPLESTGSMKLNSSSISNNPAVTTLSHDDDYSVRKNFPKTVVWSVILIFVMGLLAGGFILGAVHNAILLVVVAVLFVIVAALVVWNMCRGSRSIIEFIGRYPDTDLRTAKNGQYVKVSGVVTCGNVPLESSFQRIPRCVYTSTRLYEYRAWGSKPANPNHRHFTWGLRSSETHGVDFYISDFQSGLRALIKTGSGTRVTPFVDDSFVVDINPGNKDLSPEFVRWLGKRNLSSDERLMRLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWPRCIFPASLDGIVLRHEDTSDVDVIPV >Potri.010G252400.5.v4.1 pep chromosome:Pop_tri_v4:10:22448366:22452671:-1 gene:Potri.010G252400.v4.1 transcript:Potri.010G252400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252400.v4.1 MGSRYPSHRLGNGLVVSGRPEQPKEKAPTMSSAAMPYTGGDIKKSGELGKMFIPSEGSKSRKSGPLTGPPSRTGSFAGAASHSGSMMSNAAPRAGYTTSGPVPSGGLPGSASLKKSSSGPLNKHGEPIKKSSGPQSGGVTRQNSGSIPSALPATGLITSGPISSGPLNSSGAPRKVSGPLESTGSMKLNSSSISNNPAVTTLSHDDDYSVRKNFPKTVVWSVILIFVMGLLAGGFILGAVHNAILLVVVAVLFVIVAALVVWNMCRGSRSIIEFIGRYPDTDLRTAKNGQYVKVSGVVTCGNVPLESSFQRIPRCVYTSTRLYEYRAWGSKPANPNHRHFTWGLRSSETHGVDFYISDFQSGLRALIKTGSGTRVTPFVDDSFVVDINPGNKDLSPEFVRWLGKRNLSSDERLMRLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWPRCIFPASLDGIVLRHEDTSDVDVIPV >Potri.010G252400.6.v4.1 pep chromosome:Pop_tri_v4:10:22448400:22452324:-1 gene:Potri.010G252400.v4.1 transcript:Potri.010G252400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252400.v4.1 MGSRYPSHRLGNGLVVSGRPEQPKEKAPTMSSAAMPYTGGDIKKSGELGKMFIPSEGSKSRKSGPLTGPPSRTGSFAGAASHSGSMMSNAAPRAGYTTSGPVPSGGLPGSASLKKSSSGPLNKHGEPIKKSSGPQSGGVTRQNSGSIPSALPATGLITSGPISSGPLNSSGAPRKVSGPLESTGSMKLNSSSISNNPAVTTLSHDDDYSVRKNFPKTVVWSVILIFVMGLLAGGFILGAVHNAILLVVVAVLFVIVAALVVWNMCRGSRSIIEFIGRYPDTDLRTAKNGQYVKVSGVVTCGNVPLESSFQRIPRCVYTSTRLYEYRAWGSKPANPNHRHFTWGLRSSETHGVDFYISDFQSGLRALIKTGSGTRVTPFVDDSFVVDINPGNKDLSPEFVRWLGKRNLSSDERLMRLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWPRCIFPASLDGIVLRHEDTSDVDVIPV >Potri.010G252400.3.v4.1 pep chromosome:Pop_tri_v4:10:22448418:22452072:-1 gene:Potri.010G252400.v4.1 transcript:Potri.010G252400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252400.v4.1 MGSRYPSHRLGNGLVVSGRPEQPKEKAPTMSSAAMPYTGGDIKKSGELGKMFIPSEGSKSRKSGPLTGPPSRTGSFAGAASHSGSMMSNAAPRAGYTTSGPVPSGGLPGSASLKKSSSGPLNKHGEPIKKSSGPQSGGVTRQNSGSIPSALPATGLITSGPISSGPLNSSGAPRKVSGPLESTGSMKLNSSSISNNPAVTTLSHDDDYSVRKNFPKTVVWSVILIFVMGLLAGGFILGAVHNAILLVVVAVLFVIVAALVVWNMCRGSRSIIEFIGRYPDTDLRTAKNGQYVKVSGVVTCGNVPLESSFQRIPRCVYTSTRLYEYRAWGSKPANPNHRHFTWGLRSSETHGVDFYISDFQSGLRALIKTGSGTRVTPFVDDSFVVDINPGNKDLSPEFVRWLGKRNLSSDERLMRLKEGYIKEGSTVSVMGIVQRNENVLMIVPPPEPLATGWQWPRCIFPASLDGIVLRHEDTSDVDVIPV >Potri.005G054500.1.v4.1 pep chromosome:Pop_tri_v4:5:3448711:3451379:1 gene:Potri.005G054500.v4.1 transcript:Potri.005G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054500.v4.1 MQQGGGSGKEVTWEDQQNINKFGRLNNRFHELEDEIKIAKETNDNLEDASNELILTDEEVVRFQIGEVFAHVPKDEVETRIEQMKEVTGQNLEKLEEEKNSVLAQMTELKKILYGKFGESINLEED >Potri.005G233000.2.v4.1 pep chromosome:Pop_tri_v4:5:23174691:23177424:1 gene:Potri.005G233000.v4.1 transcript:Potri.005G233000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233000.v4.1 MDVDPRHYEQIGIKDNDIHNIVLSYLVHNCYRETLESFVDCTGMPEPADYIEDMEKRKGIFCCVLEGNALKAIELTEQVACDLLENNKDLHFDLLSLHFVELVCAKKCTEALEFAQNKLMPFGKEQKLLEKLEDFLSLLAYEEPEKSPMFHLLGSEYRQHVADKLNRAILAHRNLPSYTAVERLIQQTIVVRQSLNQDHGKYVFL >Potri.005G233000.7.v4.1 pep chromosome:Pop_tri_v4:5:23174689:23178200:1 gene:Potri.005G233000.v4.1 transcript:Potri.005G233000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233000.v4.1 MDVDPRHYEQIGIKDNDIHNIVLSYLVHNCYRETLESFVDCTGMPEPADYIEDMEKRKGIFCCVLEGNALKAIELTEQVACDLLENNKDLHFDLLSLHFVELVCAKKCTEALEFAQNKLMPFGKEQKLLEKLEDFLSLLAYEEPEKSPMFHLLGSEYRQHVADKLNRAILGLFLQFFSLFHVFSEDSILTNVLLVDLMILSIIIVHTLLYLFVGPE >Potri.005G233000.1.v4.1 pep chromosome:Pop_tri_v4:5:23174693:23177424:1 gene:Potri.005G233000.v4.1 transcript:Potri.005G233000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233000.v4.1 MDVDPRHYEQIGIKDNDIHNIVLSYLVHNCYRETLESFVDCTGMPEPADYIEDMEKRKGIFCCVLEGNALKAIELTEQVACDLLENNKDLHFDLLSLHFVELVCAKKCTEALEFAQNKLMPFGKEQKLLEKLEDFLSLLAYEEPEKSPMFHLLGSEYRQHVADKLNRAILAHRNLPSYTAVERLIQQTIVVRQSLNQDHGKDEIPPFSLKDFLKG >Potri.008G117700.6.v4.1 pep chromosome:Pop_tri_v4:8:7538875:7549764:1 gene:Potri.008G117700.v4.1 transcript:Potri.008G117700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117700.v4.1 MADKQLIVAVEGTAAMGPFWLIIVSDYLEKIIRCYCGNETSGQKPPTSSAELSLVTFNSHGSYSACLVQRSGWTRDVDIFLHWLSAIPFAGGGFNDAAIAEGLSEALMMFPITPNGNQTQPNTDGQRNCILIAASNPHPLPTPVYRPQIQNLEQTENIDAQNESRLSDAETVAKSFPQCSVSLSVICPKQLPKLRLIYNAGKRNSRAADPPVDNIKNPHFLVLISENFMEARAALSRPGATSLPSNQTPVKVDIASVTSVTGPLPASIPSVNGSITNRPPISVGNLPTATVKVEPSTITSMANGSAFPHITSVPRPSSQGVPSMQTSSPSTTTQDMATNGENAQDLKPNVSVTTQSARSAPPAAANVNILNNLSQARQVMNSAALSGGTSIGLPSINQTSVAMHMSSMISSGMTSSVPAAQTVFSSGQPGVSSITGSGNLTGTTQITPNSGLGSFTSATSNMPGNSNLGGISQPMGNLHAGVSISQSAMSGLGTNPNTMSGSGAQMVQSGMGANPNTMSGLGASGVSSGSNTMIPTPGMSQQVQSGMQPLGANNNSAANLPLSQQTAGGLQPAQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISSQQQQMQQQHHQQMQQQQHPQLQQLQHQQQLPQLQQQQQLSQLQQQQQQLSQLQQQQPQQLSQLQQQQPQQLPQLQQQQQLSQLQQQQLPQMQQQLPQMQQQQQLQQQQQMQQQQQMQQQQQLQQQQQQQLQQQQQQQMQQQQQQQLQQMQQQQQMVGTGMGQTYVQGPGRSQLVSQGQVSSQGPANMSGGGFMSQ >Potri.010G067000.1.v4.1 pep chromosome:Pop_tri_v4:10:9503771:9513513:1 gene:Potri.010G067000.v4.1 transcript:Potri.010G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067000.v4.1 MASLVTHLCVTLLLLPIGIRRLFCSSSLYLKNPSLFRSKTWYLSDPKWKNLDLYVLTVALPIASFSLIFLFLSITTGHTTYRFSFLHESLLLFLYWVLAILFVLRDAIDPVVILDNFLFVFGGILFFVEYSFIGEGTSGLVVEVVYGLCGDLSLVCGFCCLYLAIRPGAFFAEFCFCCGLVLKGTWFLQAGLCLYTDVFGFKGCHEIEILPGSGNVELKCDLEEDGLRGVALVNLMFVGHAIVVFLVSLGLFGLLSSNRDLRHGEASGPLLAGLDSESALMRALPEFEVE >Potri.004G096166.1.v4.1 pep chromosome:Pop_tri_v4:4:8272774:8277706:1 gene:Potri.004G096166.v4.1 transcript:Potri.004G096166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096166.v4.1 MICRLIVDLGSRRKRFAQKVEEWRELQKKVDC >Potri.017G153333.1.v4.1 pep chromosome:Pop_tri_v4:17:15078259:15080230:1 gene:Potri.017G153333.v4.1 transcript:Potri.017G153333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153333.v4.1 MLKETCKQFVDRVYTSKPDRVAESAISMRRMKSTAPCQQTVVDSSSSSEDDLSLGADHKEAPAHNL >Potri.014G152301.1.v4.1 pep chromosome:Pop_tri_v4:14:10665817:10669817:-1 gene:Potri.014G152301.v4.1 transcript:Potri.014G152301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152301.v4.1 MASRPGILTDWPWKPLGSFKHVILAPCVIHNIYAFMVKDEKDLPSFLIFPILLWRVLHNQLWISLSRYRTAKGNNRIIDKGIEFDQVDRESNWDDQILFNGILFYVGSKIIPGASHLPMWRLDGVILTALIHMGPVEFLYYWLHRLLHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHISYSMLFAIPLITTIVTGTASLTSFAGYITYIDLMNNMGHCNFELIPRWLFIIFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPIYDYIYGTMDTSSVTLYEDSLKRPEEAPDVVHLTHLTTPDSIYHLRLGLACLASNPQESKWYLWLMWPVTLWTMMLTWTYGRTFVVERNRFHKLRLQTWTLPKYNIQYNLQWQKVSINTLIEEAVLEAEEKGVKVLSLGLLNQGEELNRYGELYVQRHPRLKTKVVDGSSLAVAAVMNSIPKGTTQVLHRGNLSKVAYAVALNLCQRGIQVVVPCEDDYQKLKKSFSITSDQNNLILSKSYSIKTWLVGDGLKEEDQKKAAEGTLFIPFSQFPPKKLRKDCFYHSIPVMAAPASLENVDSCENWLPRRVMSAWRVAGIVHALEGWDEHECGSTMADIDKVWQASIQHGFKPLVIKTPLKF >Potri.013G105500.3.v4.1 pep chromosome:Pop_tri_v4:13:11459003:11463506:1 gene:Potri.013G105500.v4.1 transcript:Potri.013G105500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105500.v4.1 MCVQSLCDYHLWQSLLRKKLFVHFVLDPILISNSGTEASFAARYGCLVNIENIERLDVGALVSIRGIGRVKLLNFVQSEPYLKGEVIPLQDRFIGANEISSKVIAVKDALRSLNSLEIKLKAPKEELLQTCTANSLTWAEKEPSLECDQSFIPSPAERISFAAFQPITRSTQSETLKLQQQKLRAMDLKDTLQRLDNSLDLVNENISMVAAKLAIQSLEMQ >Potri.013G105500.1.v4.1 pep chromosome:Pop_tri_v4:13:11459024:11463507:1 gene:Potri.013G105500.v4.1 transcript:Potri.013G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105500.v4.1 MLATILTALFSSSSFHYHSAPNKPNHKRSKRNQVRLSFSMICCSSSSSSIAILSTASRIDGTVSRTRTRNSLSLLSHSFHLQVNVNGNHQLFIRRRISRWCRVSPNASSSLELPLLPFNTNEVLVPSESKTLHLYEARYLALLEESLLRKKLFVHFVLDPILISNSGTEASFAARYGCLVNIENIERLDVGALVSIRGIGRVKLLNFVQSEPYLKGEVIPLQDRFIGANEISSKVIAVKDALRSLNSLEIKLKAPKEELLQTCTANSLTWAEKEPSLECDQSFIPSPAERISFAAFQPITRSTQSETLKLQQQKLRAMDLKDTLQRLDNSLDLVNENISMVAAKLAIQSLEMQ >Potri.003G003500.2.v4.1 pep chromosome:Pop_tri_v4:3:509197:512161:1 gene:Potri.003G003500.v4.1 transcript:Potri.003G003500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003500.v4.1 MPLTSAAADAFGVVTICLVAILILFGLLCIAYSFYLRSRVRSQGYFQLSYFSGPWIIRIIFILFVIWWGVGEIIRLSLLRRKGRVLNALDYKWQETVCKGYIVSNLGFAEPCLLLTLMFLLRAPLQKMESGILCRKWNGRTAVYVVLYCLPMFVLQLLAILIGPQLRKDKSISKRFPHYFTSAAHGMQNAAASNTALCTYPLLNTMLLGFFASALTVYLFWLGRRILKLVINKGLQKRVYTLIFSVSSFLPLRILLLGLSVLSKPEHFLFEALAFSAFLALSCCAGVCICMLVYYPVADSLALGNLRDLEVSRRYADEHNETISLDANQNHLEESAHLSPGRNSDASTKRGSISFRACQRGDETPPGPFVELSLFSPGRDATPPGSPPLLGWPMRPLVDPKTGQGTEFSW >Potri.003G003500.3.v4.1 pep chromosome:Pop_tri_v4:3:509509:512459:1 gene:Potri.003G003500.v4.1 transcript:Potri.003G003500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003500.v4.1 MPLTSAAADAFGVVTICLVAILILFGLLCIAYSFYLRSRVRSQGYFQLSYFSGPWIIRIIFILFVIWWGVGEIIRLSLLRRKGRVLNALDYKWQETVCKGYIVSNLGFAEPCLLLTLMFLLRAPLQKMESGILCRKWNGRTAVYVVLYCLPMFVLQLLAILIGPQLRKDKSISKRFPHYFTSAAHGMQNAAASNTALCTYPLLNTMLLGFFASALTVYLFWLGRRILKLVINKGLQKRVYTLIFSVSSFLPLRILLLGLSVLSKPEHFLFEALAFSAFLALSCCAGVCICMLVYYPVADSLALGNLRDLEVSRRYADEHNETISLDANQNHLEESAHLSPGRNSDASTKRGSISFRACQRGDETPPGPFVELSLFSPGRDATPPGSPPLLGWPMRPLVDPKTGQGTEFSW >Potri.014G094100.1.v4.1 pep chromosome:Pop_tri_v4:14:6099088:6101838:-1 gene:Potri.014G094100.v4.1 transcript:Potri.014G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094100.v4.1 MSLWSSKCSTVMLQQFLVVWVVMVAMWGSASYSKCDFEAIFNFGDSNSDTGGFWAAFPAQSGPFGMTYFKRPAGRASDGRLMVDFLAQALGLPFLSPYLQSIGSDYRHGANYATLASTVLLPNTSLFVTGISPFSLAIQINQMKEFKAKVHEFHSARKQGSTPLPSPDIFGKSLYTFYIGQNDFTSNLAAIGIGGVKQYLPQVAAQIAGSIKELYALGGRAFLVLNLAPIGCYPAFLVQLHHNTSDIDAFGCLISYNNAVVDYNNMLKKALSQTRMELPKASLIYVDIHAILLELFQHPGSHGLKYGTKACCGHGGGQYNFDPKAYCGNTRVINGSTVTASACGDPYKYVSWDGIHATEAANKLATIAILKGSYFDPPFPLHHLCDLQPIN >Potri.011G073566.1.v4.1 pep chromosome:Pop_tri_v4:11:7686254:7688789:1 gene:Potri.011G073566.v4.1 transcript:Potri.011G073566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073566.v4.1 MSNGILVLCAAGYRSFHINCGGQDVKNGKILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMDDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNRNFTIAFNTTVTDRTLEIRLYWAGKGTTSIPRRGNYGPIISAISVCSGYRTYCEEPEEASKKPIVIGVVTSAAFLILLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKATPDNFNSENKIGEGGLGSVYKNLSDQTENGHFTSQNSHLKQSRFMDNCSLPSSSSTVSSNSVKP >Potri.011G086700.1.v4.1 pep chromosome:Pop_tri_v4:11:10765227:10769927:-1 gene:Potri.011G086700.v4.1 transcript:Potri.011G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G086700.v4.1 MEASVFAVEKILNYKFKNKRHLEEALTHSSYTDSASYQRMEFLGDAALGLALSNYVYLAYPQLDPGHLSLLRAANISTEKLARVAIKHGLYRFVRHNATALDDKVKEFSDAVSGEDDDDALVYGGSIKAPKILADIVESVAAAVYVDVDLDLQTFWVIFRGILEPIVTLEDLQQQPQPVTMLFERCQKQGKNVDIKHWKNEAKSIASVYVDGQFIASSSSEQKEIAKLNAAKGALLKLSNSFPTNLNNVSLLDIFDGSSFEIEGAKQKLHELCGKKKWPKPNYNIENDMGPPHEKKFVCSVQIATVDGILYVTGDERTRVKDAENSAASSMIRALQDTDYL >Potri.001G203800.1.v4.1 pep chromosome:Pop_tri_v4:1:20618103:20619469:1 gene:Potri.001G203800.v4.1 transcript:Potri.001G203800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G203800.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAISGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGIGGGNGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGSGGGKGGKGSGGGGGGGGGGGGGSGSGSGSGYGSGSGYGEGYGGGKGN >Potri.001G203800.2.v4.1 pep chromosome:Pop_tri_v4:1:20618103:20621012:1 gene:Potri.001G203800.v4.1 transcript:Potri.001G203800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G203800.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAISGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGIGGGNGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGSGGGKGGKGSGGGGGGGGGGGGGSGSGSGSGYGSGSGYGEGYGGGKVEEVDLFENMQQWKHCLLQRNSS >Potri.004G055400.1.v4.1 pep chromosome:Pop_tri_v4:4:4536038:4538176:-1 gene:Potri.004G055400.v4.1 transcript:Potri.004G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055400.v4.1 MEFIKKRKAEENGISIPTGTSPPSPITPLTPEEIRKILEPFTKDQLLDILQSATLHHSDILNSVRSVADGDISLRKLFIRGLSSETTSDTLRILFSSFGELEEAIVIHDKNTGKSKGFGFITFKHVDSAMLSIREPSKKIDGRITVTQLASNNSSTGDVSLRKVYVGNVPFEITSERLLGFFSMYGEIEEGPLGFDKSTGKSKGFAFLIYKSEDGAKAAIADPMKNIDGHQVVCKLAVDNKRVNKTSQGGANAQNSQPLAHPSFPQPQPQNSIGGVTGSLQNYGSASNSYQLNTSLTSSGYNGAYRVPPYVGAVPNDGGLNNAGASMYRMPQSTVGTGSGVYPDAGSYALSQQQQHQQPSSMPLPPRFPHGAGGMYQGMPPPYY >Potri.014G153400.5.v4.1 pep chromosome:Pop_tri_v4:14:10778927:10786989:-1 gene:Potri.014G153400.v4.1 transcript:Potri.014G153400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153400.v4.1 MRDAMGQLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFKRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLNGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVARIEAYLRANKMFVDYDEPQAERVYSSYLQLDLADVEPCVSGPKRPHDRVPLREMKADWHSCLSNKVGFKGFAVPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLKVKPWIKTSLAPGSGVVTKYLQKSGLQKYFNEQGFHIVGYGCTTCIGNSGDLDESVASAISENDILAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFKDIWPTTEEVAEVVQSSVLPDMFKSTYEAITKGNPMWNELTVPAATSYAWDPNSTYIHEPPYFKNMTLNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHRDSPAAKFLLERGVDPKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAAMRYKSAGYDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKDGQDADTLGLTGHERYSIDLPSNIGEIRPGQDVTVTTDNGKSFICTVRFDTEVELAYFNHGGILPYAIRNLMKQ >Potri.014G153400.6.v4.1 pep chromosome:Pop_tri_v4:14:10779024:10786507:-1 gene:Potri.014G153400.v4.1 transcript:Potri.014G153400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153400.v4.1 MRDAMGQLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFKRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLNGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVARIEAYLRANKMFVDYDEPQAERVYSSYLQLDLADVEPCVSGPKRPHDRVPLREMKADWHSCLSNKVGFKGFAVPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLKVKPWIKTSLAPGSGVVTKYLQKSGLQKYFNEQGFHIVGYGCTTCIGNSGDLDESVASAISENDILAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFKDIWPTTEEVAEVVQSSVLPDMFKSTYEAITKGNPMWNELTVPAATSYAWDPNSTYIHEPPYFKNMTLNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHRDSPAAKFLLERGVDPKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAAMRYKSAGYDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKDGQDADTLGLTGHERYSIDLPSNIGEIRPGQDVTVTTDNGKSFICTVRFDTEVELAYFNHGGILPYAIRNLMKQ >Potri.014G153400.3.v4.1 pep chromosome:Pop_tri_v4:14:10778672:10787835:-1 gene:Potri.014G153400.v4.1 transcript:Potri.014G153400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153400.v4.1 MYTTSSSSASASASAILRASRARFSPSVSRTSLLSPPKFTPPSLTNNNQLRSLSFSSAVRSLRCSYRRWSHGVDWRSPATLRHQIRAVAPFVERFQRKIATMAPEHPFKGIFTSLPKPGGGEFGKFYSLPALNDPRIDKLPYSIRILLESAIRNCDNFQVTKDDVEKIIDWENTSPKQVEIPFKPARVLLQDFTGVPAVVDLASMRDAMGQLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFKRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEATMLGQPMSMVLPGVVGFKLNGKLRNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMGELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVARIEAYLRANKMFVDYDEPQAERVYSSYLQLDLADVEPCVSGPKRPHDRVPLREMKADWHSCLSNKVGFKGFAVPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAALVAKKACELGLKVKPWIKTSLAPGSGVVTKYLQKSGLQKYFNEQGFHIVGYGCTTCIGNSGDLDESVASAISENDILAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFDKEPIGTGKDGKSVYFKDIWPTTEEVAEVVQSSVLPDMFKSTYEAITKGNPMWNELTVPAATSYAWDPNSTYIHEPPYFKNMTLNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHRDSPAAKFLLERGVDPKDFNSYGSRRGNDEVMARGTFANIRLVNKLLNGEVGPKTVHIPTGEKLYVFDAAMRYKSAGYDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKDGQDADTLGLTGHERYSIDLPSNIGEIRPGQDVTVTTDNGKSFICTVRFDTEVELAYFNHGGILPYAIRNLMKQ >Potri.009G027900.1.v4.1 pep chromosome:Pop_tri_v4:9:3918591:3922256:-1 gene:Potri.009G027900.v4.1 transcript:Potri.009G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027900.v4.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNQNVEQVFFSIARDIKQRLSDTDTKAEPATSKIHLDQASGAGPAAQKSACCGS >Potri.008G159700.2.v4.1 pep chromosome:Pop_tri_v4:8:10960401:10965594:1 gene:Potri.008G159700.v4.1 transcript:Potri.008G159700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159700.v4.1 MSQSLHFFTPSNLTFSKQSLPKPSCNRRFPVTTLSPKLISIKASTSADPNSSTTPPQVLISDNGTGAGEILSSTPQDYDTPPSQSFLSDSSSIDVDAATEVELKENGFRSTRRTKLVCTIGPATCGFEELQALAVGGMNVARINMCHGTREWHKRVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRAFDLPRPERTINVNYDGFAEDVKVGDELLVDGGMVRFEVIEKIGPDVKCRCTDPGLMLPRANLTFWRDGSLVRERNAMLPTISSKDWLDIDFGIAEGVDFIAISFVKSAEVINHLKSYIAARSRDSDIAVIAKIESIDSLRNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQKIVQICRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPDKALAVLRSVSVRIEKWWREEKRYEAMELPAVGSSFSDSISEEICSSAAKMANNLGVDALFVYTKTGHMASLLSRCRPDCPIFAFTSTKSVRRRLNLQWGLIPFRVSFSDDMESNLNKTFSLLKARGMIKSGDLVIAVSDMLQSIQVMNVP >Potri.001G236300.1.v4.1 pep chromosome:Pop_tri_v4:1:25458932:25460059:-1 gene:Potri.001G236300.v4.1 transcript:Potri.001G236300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236300.v4.1 MQCLNRSVSLPLARRLLARHLSTKLFVGGLSYDTNETVLKDAFGKYGEIIEAVKVICHRVYGESKGYGFVQFSSEAAASTALKEMDGQLLDDRNIRVHYAHKWS >Potri.001G408900.1.v4.1 pep chromosome:Pop_tri_v4:1:43667793:43669904:1 gene:Potri.001G408900.v4.1 transcript:Potri.001G408900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408900.v4.1 MQSTKTKKTNSSTDQNRKNKNKNKFLLCFRPVVMDGLERQGLEEGGGHYGGGDPVLKCVKLEIKDGEVFPQIFPTNLPSSSKESTAYPEKRGHKKNISRLLKAILFETSLAKKNKKRKLLQKLKQSEKVEKESNPEKNSVDSKNKELAKKDDGINISNSNMTGSSHSSLWSSSSSSLSLNSRSLSDTSRSFRSNSSEFKPKQDNMQGNGKGHTSTIGLCLVLVSLMVLVLWGKVCAILCTSTWLFLVPRCSKARINNLSQKILDYEGIDSKEYKKMIIMEGLLERSRNHVL >Potri.013G018400.1.v4.1 pep chromosome:Pop_tri_v4:13:1155343:1158345:-1 gene:Potri.013G018400.v4.1 transcript:Potri.013G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018400.v4.1 MATRTESDSGPDNHTTTTTTITNNPTQVATPPTYHLSFNQNHTCFSVGLQNGFRVFDTDPFKPSFRRELDTHGGIGLVAMLYRCNLFCLVGGGPDPIYPSNKVMIWDDHVSRCIGELSFRSEVRNVKLRRDMIVVVLNQKIFVYNFLDLKLLLQIETVLNPNGLCEISQNSSPMVLVSLGLQKGQIRVENFGSKKSKFVMAHDSRVVCMSLTQDGGRLATASSKGTLIRVFNTLDGTLLQEVRRGADRADIYSLVFSSNAQFLAVSSDKGTVHIFSLKVDSGSLASLTNDRSHIASEPIHSRLSSLCLFKGVLPKYFSSEWSVAQFRLPEGLQYFVGFGQQKNTIVIIGMDGSFYRCEFDPVAGGEMIQLEYHNFLNAENF >Potri.002G145750.1.v4.1 pep chromosome:Pop_tri_v4:2:10997987:10998600:-1 gene:Potri.002G145750.v4.1 transcript:Potri.002G145750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145750.v4.1 MPAPTEDEHDSKERFLRKQFLQEWKLVKSLLDDIVSNQQLSDLSSVHKTRFIAMYGYSSPNTEMALPETAAHVNKNS >Potri.009G023600.1.v4.1 pep chromosome:Pop_tri_v4:9:3563601:3565544:1 gene:Potri.009G023600.v4.1 transcript:Potri.009G023600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G023600.v4.1 MQNKREMELGLSLGDAATTSKPFGFMEKSTQLTNKVTSGFCMGLSIGTNHTLQEEDEDKDDHKLSNNIDHNSDNTNTRTATVQANKGTILTVDTSTDPPIQLDLLPNTPVPRNQNSILTLASDNGTNFEAGSSGNMSRGFDVNRFSAVMVHEDQADQDGATLSSSPPNSATSSFQMDFCIYSSKGGSGSNIEADQAERASSRASDEEENGSARKKLRLSKEQSSFLEESFKEHNTLTPKQKLALAKELNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELQELRALKTSNPYYMQLPATTLTMCPSCERVAAAATSATATTATTTTTNTQNNTTDPTSNTTGLSLSSSRPRFYPFSHTQTHPHQPKA >Potri.019G125600.1.v4.1 pep chromosome:Pop_tri_v4:19:14938902:14940522:-1 gene:Potri.019G125600.v4.1 transcript:Potri.019G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125600.v4.1 MLAQVIPEQTLQVQGIDHVIGKDNSSSLSYKRLEGKVAIITGGARGIGEATVKLFVRHGAKVVIADIEDANGIALAESLSPSAVYVRCDVCLEEEIESLINLTISQYGRLDILFNNAGVLGNQSKHKSIINFDADEFDNIMRINVRGAALGMKHAARVMVPRRSGCVISTASVAGIIGGLGPHAYTASKHAIVGLTKNTACELSRYGIRVNCISPFGVATSMLVNAWRGCEEDGDDDEKCMDFGAPSEEEMEKMEELVRGLGNLKGATLKAKDIAEAALYLASDESKYVSGHNLVVDGGFTTFKNCVGL >Potri.013G114500.1.v4.1 pep chromosome:Pop_tri_v4:13:12277305:12282663:1 gene:Potri.013G114500.v4.1 transcript:Potri.013G114500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114500.v4.1 MLLQTTISSSPPLQHLHQHPHSLLPFGSLKFRPHKLSLQALFQHYSPPDLTNFRAGHGLNATQFTNFQIHGKESLMVSSSSPSTEKPSRNPQNIEDNACMKRVIVSVSALSFGQISLLTSVQVAHAGESIKPDALYEVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLMRRELDLSAKELQEQVRSGDASATGLFELGAVMLRRKFYPAATKYLLQAIEKWDGEDQDLAQVYNALGVSYILDGKLDKGIKQFEAAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDKVQMYKGVPIKSKDR >Potri.013G114500.10.v4.1 pep chromosome:Pop_tri_v4:13:12277456:12282587:1 gene:Potri.013G114500.v4.1 transcript:Potri.013G114500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114500.v4.1 MLLQTTISSSPPLQHLHQHPHSLLPFGSLKFRPHKLSLQALFQHYSPPDLTNFRAGHGLNATQFTNFQADTWKGVTNEPSRNPQNIEDNACMKRVIVSVSALSFGQISLLTSVQVAHAGESIKPDALYEVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLMRRELDLSAKELQEQVRSGDASATGLFELGAVMLRRKFYPAATKYLLQAIEKWDGEDQDLAQVYNALGVSYILDGKLDKGIKQFEAAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDKVQMYKGVPIKSKDR >Potri.013G114500.11.v4.1 pep chromosome:Pop_tri_v4:13:12280924:12282385:1 gene:Potri.013G114500.v4.1 transcript:Potri.013G114500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114500.v4.1 MKRVIVSVSALSFGQISLLTSVQVAHAGESIKPDALYEVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLMRRELDLSAKELQEQVRSGDASATGLFELGAVMLRRKFYPAATKYLLQAIEKWDGEDQDLAQVYNALGVSYILDGKLDKGIKQFEAAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDKVQMYKGVPIKSKDR >Potri.013G114500.9.v4.1 pep chromosome:Pop_tri_v4:13:12277456:12282586:1 gene:Potri.013G114500.v4.1 transcript:Potri.013G114500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114500.v4.1 MLLQTTISSSPPLQHLHQHPHSLLPFGSLKFRPHKLSLQALFQHYSPPDLTNFRAGHGLNATQFTNFQIHGKESLMVSSSSPKPSRNPQNIEDNACMKRVIVSVSALSFGQISLLTSVQVAHAGESIKPDALYEVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLMRRELDLSAKELQEQVRSGDASATGLFELGAVMLRRKFYPAATKYLLQAIEKWDGEDQDLAQVYNALGVSYILDGKLDKGIKQFEAAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDKVQMYKGVPIKSKDR >Potri.017G095566.1.v4.1 pep chromosome:Pop_tri_v4:17:10822030:10823974:1 gene:Potri.017G095566.v4.1 transcript:Potri.017G095566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095566.v4.1 MSSSSSSKSLSLTNLSLFTPLHLSFLFLHLTILVTGDLPPPYVPLDSIALDCGSSSQIFVNGRQWTADINSKVALLDQDSPTTNLTANGASTSSVPYYTARVSLSQFTYTFPVTTTGPKFVRLHFNPASYTGFNRSKASFSVTTGRYTFLSNFSGFHYTDPRGERGGYAREFILNVEDEQKNLSITFTPSPHVADAYAFINGIEIVSMPTNLYYSTAGDDPGPYDFDREFYLPLENKTALEIMYRVNVGGGEITPPYDTGMFRSWLSDVDYLTDARPSAFEYNDTIQLQYNNHTRYAAPDVLYRTARAMANNSTVNEKYNLTWEFPVHSRFTYLVRLHFCQFIPIILQKGDLVFKIYIANQTAENYADIISWADGYGVPIYKDYEVMMDARGNEEIQNLSIALHPIQSTKFFDAMLNGAEIFKLSNSDNLAGPNPDAYPDSPFSNTPSATSTKPKHSRRRTVAIIVLLFRALLSSLFYFS >Potri.012G145000.1.v4.1 pep chromosome:Pop_tri_v4:12:15540660:15543110:1 gene:Potri.012G145000.v4.1 transcript:Potri.012G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145000.v4.1 MAALPTPSGFSPKTVEKAVNALLKWRSSKLNTQKPQLLEHDEFVYLILTLKKIPHKGVSRINAHKIPLPCPLTNPLTEAPELCLIIDDRPKSGLNKDAAKKKIQNDNIPISKIIKISKLKTDYRPFEAKRKLCDSYDMFFADKRVVPLLPKMLGKQFFKKKKIPMTLDLKHQNWKEQIDKACGSALLFLRTGTCSVVKVGRISMSREEISKNVMAAINGIAEIVPRKWGGIRSFHLKLLDSLALPVYQAVPDLKLKIDGSAKEQEEEDVVAEEEDKVKEGKIGKKKGRIHEVRYMDNNDDGRVVDEDELGSVFEGDIDNHADDDVEKGSDELLNKKRKMGDNKGKGEKKVAKLKKEDGFKQKKAKNEDVTKQKKIKKNALALESGGMQVKDNKKKKRLAA >Potri.002G043000.5.v4.1 pep chromosome:Pop_tri_v4:2:2815310:2819449:1 gene:Potri.002G043000.v4.1 transcript:Potri.002G043000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043000.v4.1 MGFADRRECPHLCRLAAEYIRDCDGCEEDIYAFFSQEPDADSLYVKLVEEFERCILSYFAFHWSHADLLISQVLNSDPEPKRKLKQIVMAATRERTFERVAKDLKVARVFSTLVEEMKAMGLASNDDSQCTEVMAPVAHSNRSPVLLFMGGGMGAGKSTVLKDILNESFWAGAAGNAVVIEADAFKESDVIYRALSSRGHVDMIHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYKVGTGGAVTEEYWEKIDEEEQSLQEGSKRRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVKSQLKSHKRFANAFLTYCHLVDNARLYCTNALEGPPKVHRLSLWLRSPLVSLSS >Potri.002G043000.1.v4.1 pep chromosome:Pop_tri_v4:2:2815025:2819449:1 gene:Potri.002G043000.v4.1 transcript:Potri.002G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043000.v4.1 MVASSIGLIIAAVHYRLWKLRDRKIILRLRPIEAGHAEKLERFPHYVARQMGFADRRECPHLCRLAAEYIRDCDGCEEDIYAFFSQEPDADSLYVKLVEEFERCILSYFAFHWSHADLLISQVLNSDPEPKRKLKQIVMAATRERTFERVAKDLKVARVFSTLVEEMKAMGLASNDDSQCTEVMAPVAHSNRSPVLLFMGGGMGAGKSTVLKDILNESFWAGAAGNAVVIEADAFKESDVIYRALSSRGHVDMIHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYKVGTGGAVTEEYWEKIDEEEQSLQEGSKRRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVKSQLKSHKRFANAFLTYCHLVDNARLYCTNALEGPPKLIGWKDRDKTLLVDPDEIDCLKRVGCLNEEAESIYELYKYPNPACEDGSIWKDIVLSPSRLNIQRELKYSIQKVERSNDMFRVGGG >Potri.002G043000.4.v4.1 pep chromosome:Pop_tri_v4:2:2815025:2819449:1 gene:Potri.002G043000.v4.1 transcript:Potri.002G043000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043000.v4.1 MVASSIGLIIAAVHYRLWKLRDRKIILRLRPIEAGHAEKLERFPHYVDRRECPHLCRLAAEYIRDCDGCEEDIYAFFSQEPDADSLYVKLVEEFERCILSYFAFHWSHADLLISQVLNSDPEPKRKLKQIVMAATRERTFERVAKDLKVARVFSTLVEEMKAMGLASNDDSQCTEVMAPVAHSNRSPVLLFMGGGMGAGKSTVLKDILNESFWAGAAGNAVVIEADAFKESDVIYRALSSRGHVDMIHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYKVGTGGAVTEEYWEKIDEEEQSLQEGSKRRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVKSQLKSHKRFANAFLTYCHLVDNARLYCTNALEGPPKLIGWKDRDKTLLVDPDEIDCLKRVGCLNEEAESIYELYKYPNPACEDGSIWKDIVLSPSRLNIQRELKYSIQKVERSNDMFRVGGG >Potri.002G043000.2.v4.1 pep chromosome:Pop_tri_v4:2:2815025:2819449:1 gene:Potri.002G043000.v4.1 transcript:Potri.002G043000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043000.v4.1 MPKDYTSKQTYLIMVASSIGLIIAAVHYRLWKLRDRKIILRLRPIEAGHAEKLERFPHYVARQMGFADRRECPHLCRLAAEYIRDCDGCEEDIYAFFSQEPDADSLYVKLVEEFERCILSYFAFHWSHADLLISQVLNSDPEPKRKLKQIVMAATRERTFERVAKDLKVARVFSTLVEEMKAMGLASNDDSQCTEVMAPVAHSNRSPVLLFMGGGMGAGKSTVLKDILNESFWAGAAGNAVVIEADAFKESDVIYRALSSRGHVDMIHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYKVGTGGAVTEEYWEKIDEEEQSLQEGSKRRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVKSQLKSHKRFANAFLTYCHLVDNARLYCTNALEGPPKLIGWKDRDKTLLVDPDEIDCLKRVGCLNEEAESIYELYKYPNPACEDGSIWKDIVLSPSRLNIQRELKYSIQKVERSNDMFRVGGG >Potri.002G043000.3.v4.1 pep chromosome:Pop_tri_v4:2:2815025:2819449:1 gene:Potri.002G043000.v4.1 transcript:Potri.002G043000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043000.v4.1 MPKDYTSKQTYLIMVASSIGLIIAAVHYRLWKLRDRKIILRLRPIEAGHAEKLERFPHYVDRRECPHLCRLAAEYIRDCDGCEEDIYAFFSQEPDADSLYVKLVEEFERCILSYFAFHWSHADLLISQVLNSDPEPKRKLKQIVMAATRERTFERVAKDLKVARVFSTLVEEMKAMGLASNDDSQCTEVMAPVAHSNRSPVLLFMGGGMGAGKSTVLKDILNESFWAGAAGNAVVIEADAFKESDVIYRALSSRGHVDMIHTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWVPFVVQTITMARNVHRRRYRMGAGYKVGTGGAVTEEYWEKIDEEEQSLQEGSKRRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVKSQLKSHKRFANAFLTYCHLVDNARLYCTNALEGPPKLIGWKDRDKTLLVDPDEIDCLKRVGCLNEEAESIYELYKYPNPACEDGSIWKDIVLSPSRLNIQRELKYSIQKVERSNDMFRVGGG >Potri.012G119800.3.v4.1 pep chromosome:Pop_tri_v4:12:13777529:13779747:-1 gene:Potri.012G119800.v4.1 transcript:Potri.012G119800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119800.v4.1 MYYPTMPPQKMDKVLVLLNCFPSLHVPHHLPSSPPISSTSPSPPSPTRRALSLSIISLSTALFSIPTFSCPAASSATSITDFLDLPNSGGVKALDLRIGSGPVPDEGDQVAIHYYGRLAAKQGWRFDSTYDHKDSAGEPVPFVFTLGSGKVIAGIETAVRSMKVGGVRRVIIPPSQGYQNTTQEPLPPNFFDRQRLFTTIFNPTRLANGEGSTLGTLIFDIELVSLRNQ >Potri.016G099800.2.v4.1 pep chromosome:Pop_tri_v4:16:10086203:10094078:-1 gene:Potri.016G099800.v4.1 transcript:Potri.016G099800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G099800.v4.1 MILSRIGRSLSRSARSTLQRNVITTGNYLFNARTVLVDELTSRFAALESNGIRGLGIVRGYLSYSGAGKQIVSSTQLSNLNSILANPRVRRFFCSEAPKKRKYENYYPKDKKEIPKANESKSESKEDSGGAGGGDSQNTLKLFQNIITPLLFLAFVYSSMFFNTQEQKQISFQEFKNKLLEPGLVDHIVVSNKSVAKVHVRNSPQNANQSGDNVNGTSSRTNDGQYKFYFNIVSVESFEEKLEEAQQALGIDPHDFVPVTYVNEVNWFQELMRFAPTAMLLGVLWFMGRRMQSGLGVGGPGGRGGRGIFNMGKAHITKLDKNAKDKVFFKDVAGCDEAKQEIMEFVHFLKNPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESDVPFLSMSGSDFMEMFVGVGPSRVRSLFQEARQCAPSIIFIDEVDAIGRARGRGGFSGGNDERESTLNQLLVEMDGFGTTSGVVVLAGTNRPDILDKALLRPGRFDRQITIDKPDIKGRNQIFLIYLKKLKLDNEPSHYSQRLAALTPGFAGADIANICNEAALIAARNESAQVTMNHFEAAIDRVIGGLEKKNKVISRLERRTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLMTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQRDDAFEMSKPYSSETGAIIDSEVREWVGKAYDSTVKLIEEHKEQVAQIAELLLEKEVLHQDDLVRVLGERPFKTSEPTNYDRFKQGFEQDDKETAKGETFDDDGSSPIEPQVVPA >Potri.003G026600.3.v4.1 pep chromosome:Pop_tri_v4:3:152404:156333:-1 gene:Potri.003G026600.v4.1 transcript:Potri.003G026600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026600.v4.1 MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTFRKGCKPVERMDILGVSATTSPCSSYHPSPCASYNPSPGSSSFPSPASSSYAANANMDCNSLIPWLKNLSSASSSASSSKFPHLYIHGGSISAPVTPPLSSPTARTARIKADWEDQSIRPGWGGQHYSFLPSSTPPSPGRQIVPDPEWFRGIRIPQGGPTSPTFSLVASNPFGFKEEAFGGGGSNGGSRMWTPGQSGTCSPAIAAGSDHTADIPMAEISDEFAFRCNATGLVKPWEGERIHEECGSDDLELTLGNSRTR >Potri.003G026600.1.v4.1 pep chromosome:Pop_tri_v4:3:151189:156287:-1 gene:Potri.003G026600.v4.1 transcript:Potri.003G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026600.v4.1 MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTFRKGCKPVERMDILGVSATTSPCSSYHPSPCASYNPSPGSSSFPSPASSSYAANANMDCNSLIPWLKNLSSASSSASSSKFPHLYIHGGSISAPVTPPLSSPTARTARIKADWEDQSIRPGWGGQHYSFLPSSTPPSPGRQIVPDPEWFRGIRIPQGGPTSPTFSLVASNPFGFKEEAFGGGGSNGGSRMWTPGQSGTCSPAIAAGSDHTADIPMAEISDEFAFRCNATGLVKPWEGERIHEECGSDDLELTLGNSRTR >Potri.006G261022.1.v4.1 pep chromosome:Pop_tri_v4:6:25719520:25720433:-1 gene:Potri.006G261022.v4.1 transcript:Potri.006G261022.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261022.v4.1 MISMCKKPLFLGAKATILVLLILAASATLVIATRPSSLMTNKPKFGASSMYFPQSNRPIQPSGPNPCSYLSGPGQCKPPK >Potri.002G251000.2.v4.1 pep chromosome:Pop_tri_v4:2:24075406:24076636:1 gene:Potri.002G251000.v4.1 transcript:Potri.002G251000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G251000.v4.1 MEMAKRQLVVLLLVVVALFEGSRAVSVCDISEDGLAACKPSVTKPDPVEPPSVDCCKAVSGANFTCLCSYKNSYLLPYLGIDPDLAMALPSKCNLSTAVPSC >Potri.002G124100.1.v4.1 pep chromosome:Pop_tri_v4:2:9422869:9424297:1 gene:Potri.002G124100.v4.1 transcript:Potri.002G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124100.v4.1 MGSMKVHQLARGFWEHEPFLTLGFKRLRPLAPKLANTDHSAASFDLKSFIRPDSGPRNLASSDEKKDSPQGETHPGGTRWNPTQEQIGILEMLYRGGMRTPNGQQIEDITAQLSRYGKIEGKNVFYWFQNHKARERQKQKRNSLGLSHSPRTPSPVTIISLDTRGEVEGEEDSPYKRKCRSWTFECLELEDSRSCREKGDRTLELFPLHPEGR >Potri.004G076300.1.v4.1 pep chromosome:Pop_tri_v4:4:6351351:6353827:-1 gene:Potri.004G076300.v4.1 transcript:Potri.004G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076300.v4.1 MLKRSSGQPSSFISIRTTLNRWLCSLNFSLPWRSRGVDMSKRTNIVAKKKRKERERERNIGCIRNVLESRGL >Potri.001G308600.1.v4.1 pep chromosome:Pop_tri_v4:1:31909889:31917487:1 gene:Potri.001G308600.v4.1 transcript:Potri.001G308600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G308600.v4.1 MAAAVSDILRMTFSLLLLMLLTFCMKAIKLEAQAGLLASDEVDALLEIATQVGKRDWNNNVDPCSNETSWVTPTSSQRPMFDNKVVCDCSFPGGVCHIVAIFLKGQDLAGSLPKSIVKLPYLKNLDLWANYLSGTIPQEWASTKLEILSVAVNHLTGPIPSYLGRITTLRYLNIQNNMFSGTVPPELGNLTNLENITLSANNLTGELPLALANLTKLKELRLSSNNFIGRIPDFIQSWKQLDKLEIQAGGFSGPIPSSISLLTGITELRISNLLGDGSEFPNIEPMEGMTYLMLSNCNLSGSFPPYLTTMTRLKALDLSFNRLKGDLPTNYDSLVSLEKMYLTRNMLSGSIPTWIESRNTRYEFDLSYNNFTEIPSPANCKETLNLFKSSWGGNYSKPVECLTDYCSKDQYSVHINCGGPETTIGNTIYEADDEPGGATKYVPKREVWQLSTTGHVWDVRPTADDYMAQNKSILRMSNSQLYTNARLTPLSLTYHVRCLVNGNYTVKLHFAEIVMRDNKSFYSLGRRIFDVYIQDIVVLKDFDIVKAAQGVDKVYIHNSTALVTDRALEIRLHWAGKGTTTSPKIGIYGPLISAIDIESQFKPPNKGKRKRLIVAGAVVLPLFFIFVLLFTLWWKGYLGGKKSRDPELVGLDLVTGIFTFRQIKAATNDFDPENKLGEGGFGSVYKGVLSDGTIIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLYGKKEDQRKLDWHTRQRICVGIAKGLAFLHEESTLKIVHRDIKATNVLLDGDMNAKISDFGMAKLDEEDNTHITTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVAGMNNMRFRHDEDFVCLLDWALNLQQNGDIMELVDPKLGSGFDKKEAVRMIQVALLCTNQSPALRPKMSAVVKMLEGKGDVQELVMDPSTFGDPSRFKGYKHKPDQSSFRNISESQSLVRSSDGPWIDSSSTSAQDHYLE >Potri.001G365800.4.v4.1 pep chromosome:Pop_tri_v4:1:38227063:38232539:-1 gene:Potri.001G365800.v4.1 transcript:Potri.001G365800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365800.v4.1 MTGSGHCFVEWKEQFISQERGNRVVHYFLKDSAGESIIAVVGTERSVRHMFYVVAEEFVQVYGAENSIHAGFKWRSRREVVDWLTSMLSKQHVQGDGSKTLQGVESVNGLGGQHMQGCLLKNPSGHDSDIVWSGIAWTCGKQLKHYPAFCRNATTIAIQSFVFVMAKGQNHYLAYLEDMYEDKRGQKKVKVRWFHHSEEVKGVVPLRNAHPQEVFITPYSQVISAECVDGPAIVLTREHYEECFAAFPDALSTRIHLCFRQFRSKKVKPFDLSKLRGYFDQPILSCLNSKAFLGAERIACGLTEEDEVLNPSENIKLGAKRTRSGSVSEAFVTDHLGVGVSGSQIMAFDSSYLNLRYGWYSHLFKVDQKIELLCQDSGIRGCWFRCTVLQVSRKQIKIQYDDVQDEDEYGNLEEWVPAFKLAVADKLGMRRLGRPTMRPAPPPNEQTDPALEVGSAVDAWWSDGWWEGVVTKIDNNGGDVLQVYFPGENLFLDMHKKDVRISRDWVGNQWIDIQAKPDILSAISATTSPETKTSISLMINKDLVSDLVAMSCVEVPTSAKPNTIEERKPEFALLSGSDSHLEATECVDRVMPPTPGSIGNKDGCEDINISHGKGEVAGDDEVQGNGQVEVVGDVNDCADKIDVEVSETSEKNSKTTELMEVMA >Potri.001G365800.7.v4.1 pep chromosome:Pop_tri_v4:1:38227026:38232518:-1 gene:Potri.001G365800.v4.1 transcript:Potri.001G365800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365800.v4.1 MTGSGHCFVEWKEQFISQERGNRVVHYFLKDSAGESIIAVVGTERSVRHMFYVVAEEFVQVYGAENSIHAGFKWRSRREVVDWLTSMLSKQHVQGDGSKTLQGVESVNGLGGQHMQGCLLKNPSGHDSDIVWSGIAWTCGKQLKHYPAFCRNATTIAIQSFVFVMAKGQNHYLAYLEDMYEDKRGQKKVKVRWFHHSEEVKGVVPLRNAHPQEVFITPYSQVISAECVDGPAIVLTREHYEECFAAFPDALSTRIHLCFRQFRSKKVKPFDLSKLRGYFDQPILSCLNSKAFLGAERIACGLTEEDEVLNPSENIKLGAKRTRSGSVSEAFVTDHLGVGVSGSQIMAFDSSYLNLRYGWYSHLFKVDQKIELLCQDSGIRGCWFRCTVLQVSRKQIKIQYDDVQDEDEYGNLEEWVPAFKLAVADKLGMRRLGRPTMRPAPPPNEQTDPALEVGSAVDAWWSDGWWEGVVTKIDNNGGDVLQVYFPGENLFLDMHKKDVRISRDWVGNQWIDIQAKPDILSAISATTSPETKTSISLMINKDLVSDLVAMSCVEVPTSAKPNTIEERKPEFALLSGSDSHLEATECVDRVMPPTPGSIGNKDGCEDINISHGKGEVAGDDEVQGNGQVEVVGDVNDCADKIDVEVSETSEKNSKTTELMEVMA >Potri.001G365800.5.v4.1 pep chromosome:Pop_tri_v4:1:38227088:38232693:-1 gene:Potri.001G365800.v4.1 transcript:Potri.001G365800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365800.v4.1 MTGSGHCFVEWKEQFISQERGNRVVHYFLKDSAGESIIAVVGTERSVRHMFYVVAEEFVQVYGAENSIHAGFKWRSRREVVDWLTSMLSKQHVQGDGSKTLQGVESVNGLGGQHMQGCLLKNPSGHDSDIVWSGIAWTCGKQLKHYPAFCRNATTIAIQSFVFVMAKGQNHYLAYLEDMYEDKRGQKKVKVRWFHHSEEVKGVVPLRNAHPQEVFITPYSQVISAECVDGPAIVLTREHYEECFAAFPDALSTRIHLCFRQFRSKKVKPFDLSKLRGYFDQPILSCLNSKAFLGAERIACGLTEEDEVLNPSENIKLGAKRTRSGSVSEAFVTDHLGVGVSGSQIMAFDSSYLNLRYGWYSHLFKVDQKIELLCQDSGIRGCWFRCTVLQVSRKQIKIQYDDVQDEDEYGNLEEWVPAFKLAVADKLGMRRLGRPTMRPAPPPNEQTDPALEVGSAVDAWWSDGWWEGVVTKIDNNGGDVLQVYFPGENLFLDMHKKDVRISRDWVGNQWIDIQAKPDILSAISATTSPETKTSISLMINKDLVSDLVAMSCVEVPTSAKPNTIEERKPEFALLSGSDSHLEATECVDRVMPPTPGSIGNKDGCEDINISHGKGEVAGDDEVQGNGQVEVVGDVNDCADKIDVEVSETSEKNSKTTELMEVMA >Potri.001G365800.6.v4.1 pep chromosome:Pop_tri_v4:1:38227018:38232529:-1 gene:Potri.001G365800.v4.1 transcript:Potri.001G365800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365800.v4.1 MTGSGHCFVEWKEQFISQERGNRVVHYFLKDSAGESIIAVVGTERSVRHMFYVVAEEFVQVYGAENSIHAGFKWRSRREVVDWLTSMLSKQHVQGDGSKTLQGVESVNGLGGQHMQGCLLKNPSGHDSDIVWSGIAWTCGKQLKHYPAFCRNATTIAIQSFVFVMAKGQNHYLAYLEDMYEDKRGQKKVKVRWFHHSEEVKGVVPLRNAHPQEVFITPYSQVISAECVDGPAIVLTREHYEECFAAFPDALSTRIHLCFRQFRSKKVKPFDLSKLRGYFDQPILSCLNSKAFLGAERIACGLTEEDEVLNPSENIKLGAKRTRSGSVSEAFVTDHLGVGVSGSQIMAFDSSYLNLRYGWYSHLFKVDQKIELLCQDSGIRGCWFRCTVLQVSRKQIKIQYDDVQDEDEYGNLEEWVPAFKLAVADKLGMRRLGRPTMRPAPPPNEQTDPALEVGSAVDAWWSDGWWEGVVTKIDNNGGDVLQVYFPGENLFLDMHKKDVRISRDWVGNQWIDIQAKPDILSAISATTSPETKTSISLMINKDLVSDLVAMSCVEVPTSAKPNTIEERKPEFALLSGSDSHLEATECVDRVMPPTPGSIGNKDGCEDINISHGKGEVAGDDEVQGNGQVEVVGDVNDCADKIDVEVSETSEKNSKTTELMEVMA >Potri.001G365800.3.v4.1 pep chromosome:Pop_tri_v4:1:38227086:38232529:-1 gene:Potri.001G365800.v4.1 transcript:Potri.001G365800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365800.v4.1 MTGSGHCFVEWKEQFISQERGNRVVHYFLKDSAGESIIAVVGTERSVRHMFYVVAEEFVQVYGAENSIHAGFKWRSRREVVDWLTSMLSKQHVQGDGSKTLQGVESVNGLGGQHMQGCLLKNPSGHDSDIVWSGIAWTCGKQLKHYPAFCRNATTIAIQSFVFVMAKGQNHYLAYLEDMYEDKRGQKKVKVRWFHHSEEVKGVVPLRNAHPQEVFITPYSQVISAECVDGPAIVLTREHYEECFAAFPDALSTRIHLCFRQFRSKKVKPFDLSKLRGYFDQPILSCLNSKAFLGAERIACGLTEEDEVLNPSENIKLGAKRTRSGSVSEAFVTDHLGVGVSGSQIMAFDSSYLNLRYGWYSHLFKVDQKIELLCQDSGIRGCWFRCTVLQVSRKQIKIQYDDVQDEDEYGNLEEWVPAFKLAVADKLGMRRLGRPTMRPAPPPNEQTDPALEVGSAVDAWWSDGWWEGVVTKIDNNGGDVLQVYFPGENLFLDMHKKDVRISRDWVGNQWIDIQAKPDILSAISATTSPETKTSISLMINKDLVSDLVAMSCVEVPTSAKPNTIEERKPEFALLSGSDSHLEATECVDRVMPPTPGSIGNKDGCEDINISHGKGEVAGDDEVQGNGQVEVVGDVNDCADKIDVEVSETSEKNSKTTELMEVMA >Potri.006G188100.1.v4.1 pep chromosome:Pop_tri_v4:6:19523468:19528981:-1 gene:Potri.006G188100.v4.1 transcript:Potri.006G188100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188100.v4.1 MGNVTSSVAAKFAFFPPDPPTYDVFRERDGRLALPGVTADKNMDVHLLETKVGNKIVATFWKHPFARFTVLYSHGNAADLGQMHELFIELRAHLRVNIMSYDYSGYGASSGKPSEFNTYHDIEATYNCLKKDYGIKQEDLIVYGQSVGSGPTLHLASRLQRLRGVVLHSAILSGIRVLYPVKMTFWFDIFKNIDKIRLVSCPVLVIHGTNDDIVDLSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLRKFINSMEKISMVKPSKKLTQNQSIEVKHNKCLRFGKT >Potri.019G101001.1.v4.1 pep chromosome:Pop_tri_v4:19:13735773:13736370:-1 gene:Potri.019G101001.v4.1 transcript:Potri.019G101001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101001.v4.1 MGDFENKVKVRAKELTVLFKKGVKIVGESCKKGWIKVKNMKKR >Potri.003G196766.1.v4.1 pep chromosome:Pop_tri_v4:3:19872374:19874160:-1 gene:Potri.003G196766.v4.1 transcript:Potri.003G196766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196766.v4.1 MDISHNLFQGEVSEVHFANLKNLRNFSAAGNQLNLRVSPDWIPPQLVFIDLRSWNVGPQFPKWVRPLEHLSYLDISNSSISSTIPIWFWTMSFRMEYLNLSHNQIQGVIPSKLKLDFTASYPLVDLSSNQFKGPLPSIFSNVGALDLSNNSFSGSMLNFLCHKIDELKNMQVLNLGENLLSGVIPDCWSSWQYLVAIKLSNNKLSGNIPDSIGALSLLESLHIRNSSLSGKLPISLKNCTKLITLDVAENELVGSMPAWIGKRFSSMVVLNMRANKFHGRIPRELCNLASLQILDLAHNRLSWSIPTCFNKLSAMATRNDSLGKIYLDSGSSTFDNVLLVMKGKVVEYSTILKFVRSIDLSSNALCGEIPEEVTRLSELQSLNLSQNSLTGRIPEGIGSLRYLESMDFSVNQLSGEIPQSMSDLTFLSHLNLSDNRLRGRIPSGTQLQSFGPSSFSGNELCGPPLSKNCSVDNKFHVEHEREEDGNGLKGRWFYVSMVLGFIVGFWGVVGPLMFNRRWRYVYYHFLDRLRDQIWWRFM >Potri.004G181200.1.v4.1 pep chromosome:Pop_tri_v4:4:19546012:19549010:-1 gene:Potri.004G181200.v4.1 transcript:Potri.004G181200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181200.v4.1 MGEVVDANSTPVTPRPASVAPTPPISAPPSQFHSPSLSRSPLLTPDHIVPSKTPKNSTPRNATPRNRTPRFITPIGSPIRRALKLTRLDPEDAWLPITESRNGNAWYAAFHCLCSGIGFQALVLPVAFTVLGWAWGIIALTVAFAWQLYTLYLLVQLHENTETGVRYSRYLQIMSANFGEKKAKWLGLFPILYLSIGTCVALNIIGGSTSKLFFQTVCGQSCTVKTLTPVEWYLVFASAAVLLSQLPNLNSIAGVSLIGSITAVMYCTIMWMVSVNKDRLPGISYKPVRGPKEVDRLFEVLNALGIVAFAFRGHNLILEIQATMPSSEKHPSRVPMWRGAKAAYTVIAACIFPLAIGGFWAYGQRIPKNGGLQSAFYAYRRRDTSQFIMGLVSLLIIVNALSSFQIYAMPMFDELESIFTKRMKRPCQWWLRVILRAFFGYGVFFLAVAIPSIGSVGGLVGGISLPVTLAYPCFMWLKMRKPNKYSKMWYLNWGLGIIGLILSVCLMAAGVYVIKENDNKFQWFKPK >Potri.008G011380.1.v4.1 pep chromosome:Pop_tri_v4:8:570316:570576:1 gene:Potri.008G011380.v4.1 transcript:Potri.008G011380.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011380.v4.1 MFCYFMLPSLGFLGCFQCSIEEGPIKNWQGPNPCSVLLSKSLYRHVVKYMCTYINIWWFHTLCCILCLCKIPAFLACLFFDPARYD >Potri.005G039100.1.v4.1 pep chromosome:Pop_tri_v4:5:2504962:2514384:1 gene:Potri.005G039100.v4.1 transcript:Potri.005G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039100.v4.1 MVGQEQGEGEVEVIHSWSAPRSLSTSLMYSFAQRDDMEVLDEPLYATFLKVSDVERPYKEELLSKMESDGDKVVKEIIFAPGEKKYRFCKHMSKQRLPGLPSDLIKKGKHFILIRNPLDILPSFGKVVPPSFLDLGLSELVSIYNDLCQLGKRPPVIDATDLAQNPEATLHSLCEDLGIPFQDSMLRWEAGPKLIDGMWAPWWYKSVHKSTGFSSTRKYPEPFPHSHYDLLEQSLPLYNWLRRHVRQASTLLKTPLPPPDLPVPANEKLLAWVGDEILPRESAKVSVFDSVVQGGDSVWEGLRVYRGKIFKLEEHLDRLFDSAKALAFKNVPTREEVKEAIFRTLIRNGMFDNSHIRLSLTRGKKVTSGMSPAFNLYGCTLIVLPEWKPPVYDNTHGVTLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANADDAIMLDKDGFVSETNATNIFLVKKGRVLTPHADYCLPGITRATVMDLVVKEGLVLEERRISLSEFHTADEVWTTGTMGELTPAVKIDGREVGDGQVGPVTRRLQKAYKKLTEESGVPIPSYQEN >Potri.005G039100.3.v4.1 pep chromosome:Pop_tri_v4:5:2505129:2513804:1 gene:Potri.005G039100.v4.1 transcript:Potri.005G039100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039100.v4.1 MVGQEQGEGEVEVIHSWSAPRSLSTSLMYSFAQRDDMEVLDEPLYATFLKVSDVERPYKEELLSKMESDGDKVVKEIIFAPGEKKYRFCKHMSKQRLPGLPSDLIKKGKHFILIRNPLDILPSFGKVVPPSFLDLGLSELVSIYNDLCQLGKRPPVIDATDLAQNPEATLHSLCEDLGIPFQDSMLRWEAGPKLIDGMWAPWWYKSVHKSTGFSSTRKYPEPFPHSHYDLLEQSLPLYNWLRRHVRQASTLLKTPLPPPDLPVPANEKLLAWVGDEILPRESAKVSVFDSVVQGGDSVWEGLRVYRGKIFKLEEHLDRLFDSAKALAFKNVPTREEVKEAIFRTLIRNGMFDNSHIRLSLTRGKKVTSGMSPAFNLYGCTLIVLPEWKPPVYDNTHGVTLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANADDAIMLDKDGFVSETNATNIFLVKKGRVLTPHADYCLPGITRATVMDLVVKEGLVLEERRISLSEFHTADEVWTTGTMGELTPAVKIDGREVGDGQVGPVTRRLQKAYKKLTEESGVPIPSYQEN >Potri.005G039100.2.v4.1 pep chromosome:Pop_tri_v4:5:2505143:2525990:1 gene:Potri.005G039100.v4.1 transcript:Potri.005G039100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039100.v4.1 MVGQEQGEGEVEVIHSWSAPRSLSTSLMYSFAQRDDMEVLDEPLYATFLKVSDVERPYKEELLSKMESDGDKVVKEIIFAPGEKKYRFCKHMSKQRLPGLPSDLIKKGKHFILIRNPLDILPSFGKVVPPSFLDLGLSELVSIYNDLCQLGKRPPVIDATDLAQNPEATLHSLCEDLGIPFQDSMLRWEAGPKLIDGMWAPWWYKSVHKSTGFSSTRKYPEPFPHSHYDLLEQSLPLYNWLRRHVRQASTLLKTPLPPPDLPVPANEKLLAWVGDEILPRESAKVSVFDSVVQGGDSVWEGLRVYRGKIFKLEEHLDRLFDSAKALAFKNVPTREEVKEAIFRTLIRNGMFDNSHIRLSLTRGKKVTSGMSPAFNLYGCTLIVLPEWKPPVYDNTHGVTLVTATTRRNSPNNLDSKIHHNNLLNNILAKIEGNNANADDAIMLDKDGFVSETNATNIFLVKKGRVLTPHADYCLPGITRATVMDLVVKEGLVLEERRISLSEFHTADEVWTTGTMGELTPAVKIDGREVGDGQVGPVTRRLQNAYKKLTEESGVPIPSNQEN >Potri.009G118000.1.v4.1 pep chromosome:Pop_tri_v4:9:9927176:9929555:1 gene:Potri.009G118000.v4.1 transcript:Potri.009G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118000.v4.1 MDEKKSKVLIIGATGNLGYHLAQFSLKFSHPTFVLVRDSAPNDPVKAQKLQSLSNCGATLIKGSLEDEKSLVGAVKQVEVVICSIPSKHVLEQMVLIRVIKEAGCIKRFIPSEFGADPDRIQISDMDYNFYLRKAEIRRLVEAEGIPYTYISCNFLTSYLLPSLVQPGLKTPPRDKIRVFGDGNVKAVFVKEQDVAAFTICSMDDPRTLNKVLYLRPPGNVYSMNELVEIWESKIGKKLEKIYVPEDELLMKIKETPYPDNMQMIFIYSAFVKGDHTYFDIDSHSGAEGTQLYPNVKYATISEFLETLL >Potri.011G125901.2.v4.1 pep chromosome:Pop_tri_v4:11:16072627:16076451:-1 gene:Potri.011G125901.v4.1 transcript:Potri.011G125901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125901.v4.1 MRVPVYYIAILLFCSSLLLIIQTATAIDTINTTQSIRDGDNITSSGGNYVLGFFSPGNSKHRFLGIWYGKISVLTAVWVANREAPLNDSSGVLRLTDEGILVLLNRTGSVIWSSNTSTPARNAVAQLLDSGNLVVKEKGDHNLENLLWQSFEHLSDTLLPEMKLGRNRITGMDWYITSWKSTDDPSRGNVSEILVPYGYPEILVMENSIVRHRSGPWNGLRFSGTPQLKPNPMYTFEFVYNEKEIFYRYHVLNSSMLTRLVVTQNGDIQRFAWISRTQSWIIYLTVNTDNCERYALCGANGICSIDNSPVCNCLNGFVPNVQSEWEMMDWSSGCLRRTPLNCSGDGFRQLSGVKLPETKTSWFNKSMNLEECRNTCLKNCSCTAFSNLDIRNGGSGCLLWFGDLIDIRIFVDNKPDIYVRMAASELDNGGAVKINAKSNMKKRIIVSTALSTGILFLFLALFWYIWKKKQQKKGKVTGIVRSSINNPGEDLDLPLFYLDTLTLATNNFSVDNKLGEGGFGAVYKGTLKDGQEIAVKRLSKNSRQGLDEFKNEVKYMVKLQHRNLVKLLGCCIEGDEYMLIYEFLPNKSLNFFIFDETHSLKLDWPKRYNIINGIARGLLYLHQDSRLRVIHRDLKASNVLLDYEMNPKISDFGLARSLGGNETEANTNKVVGTYGYISPEYAIDGLYSPKSDVFSFGVLVLEILSGNRNRGFCHPDHNLNLLGHAWKLFTEGRPLELVSESIVETCNLSEALRLIHVGLLCVQENPEDRPTMSYVVLMLGNEDALPRPKQPGFYTERDLIEAAYTSNSSQSKPYSANECSISMIEAR >Potri.011G125901.1.v4.1 pep chromosome:Pop_tri_v4:11:16072627:16076451:-1 gene:Potri.011G125901.v4.1 transcript:Potri.011G125901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125901.v4.1 MRVPVYYIAILLFCSSLLLIIQTATAIDTINTTQSIRDGDNITSSGGNYVLGFFSPGNSKHRFLGIWYGKISVLTAVWVANREAPLNDSSGVLRLTDEGILVLLNRTGSVIWSSNTSTPARNAVAQLLDSGNLVVKEKGDHNLENLLWQSFEHLSDTLLPEMKLGRNRITGMDWYITSWKSTDDPSRGNVSEILVPYGYPEILVMENSIVRHRSGPWNGLRFSGTPQLKPNPMYTFEFVYNEKEIFYRYHVLNSSMLTRLVVTQNGDIQRFAWISRTQSWIIYLTVNTDNCERYALCGANGICSIDNSPVCNCLNGFVPNVQSEWEMMDWSSGCLRRTPLNCSGDGFRQLSGVKLPETKTSWFNKSMNLEECRNTCLKNCSCTAFSNLDIRNGGSGCLLWFGDLIDIRIFVDNKPDIYVRMAASELDNGGAVKINAKSNMKKRIIVSTALSTGILFLFLALFWYIWKKKQQKKGKVTGIVRSSINNPGEDLDLPLFYLDTLTLATNNFSVDNKLGEGGFGAVYKGTLKDGQEIAVKRLSKNSRQGLDEFKNEVKYMVKLQHRNLVKLLGCCIEGDEYMLIYEFLPNKSLNFFIFDETHSLKLDWPKRYNIINGIARGLLYLHQDSRLRVIHRDLKASNVLLDYEMNPKISDFGLARSLGGNETEANTNKVVGTYGYISPEYAIDGLYSPKSDVFSFGVLVLEILSGNRNRGFCHPDHNLNLLGHVSEKTVSFHNLKYRSHSNNMVSLYQAWKLFTEGRPLELVSESIVETCNLSEALRLIHVGLLCVQENPEDRPTMSYVVLMLGNEDALPRPKQPGFYTERDLIEAAYTSNSSQSKPYSANECSISMIEAR >Potri.009G040600.3.v4.1 pep chromosome:Pop_tri_v4:9:4825858:4832386:1 gene:Potri.009G040600.v4.1 transcript:Potri.009G040600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040600.v4.1 MEFYPLSNLYLQRPIWFLISLVFLLLLLLNGRVVLSDPNYLIGLGSYDITGPAADVNMMGYANTEQIASGIHFRLRARSFIVAQPQGNRVVFVNLDACMASQLVTIKVIERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEKCIIQAHENLQPGSIFVNKGEILDAGVNRSPSAYLNNPAEERSKYKYDVDKEMTLLKFVDAKWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFRHSGIGNLYSDEGVADGIPRRVSNIIPGLHDNHHKLLELAASFQSPPGRPATKILSVARRVRGALRQADKPGFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQLKKAVDLFNTASEKLNGKVDYRHSFIDFSQLEVTLPKQGGGSEVVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDKGNAFWRLVRNLIKTPGKEQVDCQHPKPILLDTGEMKKPYDWAPSILPIQILRVGQLVILSVPGEFTTMAGRRLRDAVKTVLMSGGNKKFNSNVHVVIAGLTNTYSQYVTTIEEYEMQRYEGASTLFGPHTLSAYIQEFKKLAAALTSGQSVEPGPQPPDLLDKQISLLTPVVMDATPPGVHFGDCSSDVPLNSTFKRGDTVKVVFWSACPRNDLMTEGTFSLVEILQGKDNWFPAYDDDDFCLLFKWSRPSKLSTRSHATMEWRIPQSANPGVYRMKHFGAAKSLLGSIRHFTGSSSAFVVT >Potri.009G040600.1.v4.1 pep chromosome:Pop_tri_v4:9:4825765:4832314:1 gene:Potri.009G040600.v4.1 transcript:Potri.009G040600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040600.v4.1 MEFYPLSNLYLQRPIWFLISLVFLLLLLLNGRVVLSDPNYLIGLGSYDITGPAADVNMMGYANTEQIASGIHFRLRARSFIVAQPQGNRVVFVNLDACMASQLVTIKVIERLKARYGDLYTEKNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEKCIIQAHENLQPGSIFVNKGEILDAGVNRSPSAYLNNPAEERSKYKYDVDKEMTLLKFVDAKWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFRHSGIGNLYSDEGVADGIPRRVSNIIPGLHDNHHKLLELAASFQSPPGRPATKILSVARRVRGALRQADKPGFVSAFCQSNCGDVSPNVLGAFCTDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQLKKAVDLFNTASEKLNGKVDYRHSFIDFSQLEVTLPKQGGGSEVVKTCPAAMGFGFAAGTTDGPGAFDFKQGDDKGNAFWRLVRNLIKTPGKEQVDCQHPKPILLDTGEMKKPYDWAPSILPIQILRVGQLVILSVPGEFTTMAGRRLRDAVKTVLMSGGNKKFNSNVHVVIAGLTNTYSQYVTTIEEYEMQRYEGASTLFGPHTLSAYIQEFKKLAAALTSGQSVEPGPQPPDLLDKQISLLTPVVMDATPPGVHFGDCSSDVPLNSTFKRGDTVKVVFWSACPRNDLMTEGTFSLVEILQGKDNWFPAYDDDDFCLLFKWSRPSKLSTRSHATMEWRIPQSANPGVYRMKHFGAAKSLLGSIRHFTGSSSAFVVT >Potri.011G058300.1.v4.1 pep chromosome:Pop_tri_v4:11:4798281:4799862:1 gene:Potri.011G058300.v4.1 transcript:Potri.011G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G058300.v4.1 MKSSQEVAYYFILSLLAASVVILCLVLTIFCRKIKPIESEESLPAAKISAQGYPLTDIDDATEGFNRRRIIGTGRLGTVYAAVLPSDQKPVAVKRIHPSLVLSNAGFGFTSILKTLSSARHPNIVPILGFSQAPGERIIVMEFVSAVSLDYYLHENSDGASSLLDWSRRLSIAAGAARGLEYLHEGMAPNIVHGCFKASNVLLDDKLCARVSDYGLSSLAPYEKRGLAGYVDDEHWRNGRGEACKEGDVYGFGVVLLDLLSGRRAEEGLLVRWALPLIKQMRFSELLDLRLVMPSDMRPLIRLAKVASACVSNSRKSRPTIVQVATILNNLEIEVCA >Potri.012G121876.1.v4.1 pep chromosome:Pop_tri_v4:12:13978268:13982760:1 gene:Potri.012G121876.v4.1 transcript:Potri.012G121876.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121876.v4.1 MAEALLSPILEQLTKIVAQQVHEEVTLVGGVKKQVDKLKSNLIVIQSVLEDAERKQVKDKAVRDWVDKLKDVCYDMDDVLDEWSSAILTWKMEEAEENTRSLQKMRCSFLGSPCFCLNQVVRRRDIALKIKEVCEKVDDIAKARAIYGFELYRATDELQRITSTSLVDESIVSGRDDEREAVVSKLLGESIQEAGYVEVISIVGMGGIGKTTLAQLAFNDAEVTAHFKKKIWVCVSDPFDEVRIAKAILEALQRGAPNLVELESLLQSVSESIKGKKFLLVLDDVWTENHGQWEPLKLSLKSGAPGSRILVTTRKHSVATMMGTDHMINLERLSDELCRSIFNQVAFHKRSKDECERLTEIGDKIANKCKGLPLAAKVLGGLMQSKRTREEWEQVLSSELWELEHVERGIFPPLLLSYYDLPSVVRRCFLYCAMFPKDYEMVKDELVKMWMAQGYLKETPSRDMELVGEEYFQVLAARSFFQDFKKYNRYDLREDMRFKMHDIVHDFAQFLTKYECLTMDVNNLGEPTTEISCERVRHLSMKLSEETSFPVSICKAKGLRSLLINTGDPSLGAALPDVFKQLTCIRSLNLSMSSIEEIPNEVGKLIHLRRLNLASCRELESLPETMCDLCNLQSLDVTGCRSLNELPRAIGKLVKLRHLWIDGSSVAFMPKGIERITCLRTLDEFIVCGGGENESKAANLRELRNLNHIGGSLSIWNLGRGIEDASDAAEAQLKNKKRLLRLVLDFDFNSENGILIEVLRPPSDLENLTISSYGGLELPYWMMTLTRLQVLTLGHCVNLEVLPPLGRLPNLESLELSGLKVRSLGVGFIGIKSVNEGEIARFNAFPKLKKLWMWNLKEVEEWDGIERRVGEDANTTSISIMPQLRELRIENCPLLRALPDYVLAAPLQELTVTGCPILRKRYGEEEMGGDWHKISHIRNIYI >Potri.012G121876.3.v4.1 pep chromosome:Pop_tri_v4:12:13979905:13982311:1 gene:Potri.012G121876.v4.1 transcript:Potri.012G121876.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121876.v4.1 MGGIGKTTLAQLAFNDAEVTAHFKKKIWVCVSDPFDEVRIAKAILEALQRGAPNLVELESLLQSVSESIKGKKFLLVLDDVWTENHGQWEPLKLSLKSGAPGSRILVTTRKHSVATMMGTDHMINLERLSDELCRSIFNQVAFHKRSKDECERLTEIGDKIANKCKGLPLAAKVLGGLMQSKRTREEWEQVLSSELWELEHVERGIFPPLLLSYYDLPSVVRRCFLYCAMFPKDYEMVKDELVKMWMAQGYLKETPSRDMELVGEEYFQVLAARSFFQDFKKYNRYDLREDMRFKMHDIVHDFAQFLTKYECLTMDVNNLGEPTTEISCERVRHLSMKLSEETSFPVSICKAKGLRSLLINTGDPSLGAALPDVFKQLTCIRSLNLSMSSIEEIPNEVGKLIHLRRLNLASCRELESLPETMCDLCNLQSLDVTGCRSLNELPRAIGKLVKLRHLWIDGSSVAFMPKGIERITCLRTLDEFIVCGGGENESKAANLRELRNLNHIGGSLSIWNLGRGIEDASDAAEAQLKNKKRLLRLVLDFDFNSENGILIEVLRPPSDLENLTISSYGGLELPYWMMTLTRLQVLTLGHCVNLEVLPPLGRLPNLESLELSGLKVRSLGVGFIGIKSVNEGEIARFNAFPKLKKLWMWNLKEVEEWDGIERRVGEDANTTSISIMPQLRELRIENCPLLRALPDYVLAAPLQELTVTGCPILRKRYGEEEMGGDWHKISHIRNIYI >Potri.012G121876.2.v4.1 pep chromosome:Pop_tri_v4:12:13979393:13982758:1 gene:Potri.012G121876.v4.1 transcript:Potri.012G121876.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121876.v4.1 MDDVLDEWSSAILTWKMEEAEENTRSLQKMRCSFLGSPCFCLNQVVRRRDIALKIKEVCEKVDDIAKARAIYGFELYRATDELQRITSTSLVDESIVSGRDDEREAVVSKLLGESIQEAGYVEVISIVGMGGIGKTTLAQLAFNDAEVTAHFKKKIWVCVSDPFDEVRIAKAILEALQRGAPNLVELESLLQSVSESIKGKKFLLVLDDVWTENHGQWEPLKLSLKSGAPGSRILVTTRKHSVATMMGTDHMINLERLSDELCRSIFNQVAFHKRSKDECERLTEIGDKIANKCKGLPLAAKVLGGLMQSKRTREEWEQVLSSELWELEHVERGIFPPLLLSYYDLPSVVRRCFLYCAMFPKDYEMVKDELVKMWMAQGYLKETPSRDMELVGEEYFQVLAARSFFQDFKKYNRYDLREDMRFKMHDIVHDFAQFLTKYECLTMDVNNLGEPTTEISCERVRHLSMKLSEETSFPVSICKAKGLRSLLINTGDPSLGAALPDVFKQLTCIRSLNLSMSSIEEIPNEVGKLIHLRRLNLASCRELESLPETMCDLCNLQSLDVTGCRSLNELPRAIGKLVKLRHLWIDGSSVAFMPKGIERITCLRTLDEFIVCGGGENESKAANLRELRNLNHIGGSLSIWNLGRGIEDASDAAEAQLKNKKRLLRLVLDFDFNSENGILIEVLRPPSDLENLTISSYGGLELPYWMMTLTRLQVLTLGHCVNLEVLPPLGRLPNLESLELSGLKVRSLGVGFIGIKSVNEGEIARFNAFPKLKKLWMWNLKEVEEWDGIERRVGEDANTTSISIMPQLRELRIENCPLLRALPDYVLAAPLQELTVTGCPILRKRYGEEEMGGDWHKISHIRNIYI >Potri.008G074800.1.v4.1 pep chromosome:Pop_tri_v4:8:4644052:4646682:1 gene:Potri.008G074800.v4.1 transcript:Potri.008G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074800.v4.1 MGNALRFLCGHCCKPTEAGDSYSLGPHGVSAATVGVSALARDLLHFEITSQVPEGLGKHVVSSKKAQANWYRKLLEAWKEAKPPPKTPEEAARLVIQTLKRHQKADVEGLLAFYGLPLPHTLIQVSTGIPASLPEGVKFEMPTLPVDAKAVADGDTITVYVSTTDPRESAYVPREVQTATVQRSKARAERNYGKADALHKKIVEAGYRVLNIQNQEILARKYRIRLRGIDAPESAMPYGKEAKEELANLVQGKCLRIFVYGEDRYGRCVGDIYCNGIFVQEIMLKKGLAWHYTAYDQRWELETWEKEARAKRVGLWASPNPEKPWEWRKDRREGR >Potri.009G070201.1.v4.1 pep chromosome:Pop_tri_v4:9:6935090:6935620:1 gene:Potri.009G070201.v4.1 transcript:Potri.009G070201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070201.v4.1 MSRHRRQASQVLPPGILAGNEPLVDFGQATAGADSTTHVSSGATTVAVINETSKSNPPINQHNQDQASHFPPNAKKPLSTGKPA >Potri.012G012825.1.v4.1 pep chromosome:Pop_tri_v4:12:1514227:1515179:1 gene:Potri.012G012825.v4.1 transcript:Potri.012G012825.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012825.v4.1 MRVISPSIETSVFFESSIVQTTVSKGKVPHEVGRLFRLPPELELTNNSLQKHIAANQSSCSKLKILGFASCFDPGVLVFIFYLFLKEAHQSNTSST >Potri.010G011800.4.v4.1 pep chromosome:Pop_tri_v4:10:2181960:2187221:-1 gene:Potri.010G011800.v4.1 transcript:Potri.010G011800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011800.v4.1 MLEHASRLKELLDYFVFMHGMVATHLVAALVPLIKLSRDLRDYTILVVRKAMFRREDAVRLSATNAIFNLILADKEAKREGSVSFQDSSSQASCSQHAEIPCIMDGGLFQELRGLLQRCLYQQAEIKEVMYHGLLKLVMADPSCGGPVLDFLLPHFRCFFKEDADVQLEISRCTKSLSGNVVIEEPLDALMSCVSSILLLQPHGKADCPDSSRPYFGFSLSQENELGSDLSRESFSNALLKIQKFLKKINLEDFLSRIQGDSSTSVQEEKRKCCALILAGILEVVVNTIATRLNKATDIEKVDLEKELVGFVNLHELLEKDLCTRLSTGIKRGNVRATAPAPGMASNIEPGNNRLTQERIPYLTTSSLCQLMQTARKLCNTECSKTIAASQHHSQLSSSKPVTCHKIMAFILNHSLHHIKSYQTVAKENPLRTLIYGEIKLMGPPLLKLIFLLKSGKKLVTDEKKKEKRIKDEDRKGYLHLALLCMKELVTISLQNPHLTGLLEDLVSVSTLEYPELDDKYEEASRIDDQHIRIKELFVVKVLKPLFSELLAQSYFHELEIISDMLLVIGEKLPRKQRNSHGAWSIHVCKSNVIKNSKAARSVVALAISLSSPPSDLIVAQDMAKELLKVTGSETSNAEEVSGSHSIINQSTSSSIISCIQKLIEAIIVDMDWAIKKLNIFTLVSQKSIHFSQNEEHITGLEYENNLYSRAEAVVKVLSCFVSMNLKEPQAEHLLRLTAKFYKHLAQMSRLRIASKGCKQLIPSLAFQKLVELTCKQLTVPLYNFVAEMQREQENANSKCTANKIKRENKCIPDLIYQIEDCEKYLIQLSKVSKINLLRHAKRSTSRDFKIIDSRKIITEEDEPNHEPACHAAATAENGSPESGDNEGNESDKVLSSQSGSPLSEEESASDGENGGALPHAKRVKRDRVVLDSDDEA >Potri.010G011800.5.v4.1 pep chromosome:Pop_tri_v4:10:2181960:2188024:-1 gene:Potri.010G011800.v4.1 transcript:Potri.010G011800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011800.v4.1 MLKTLFDVHEMARNEIIEQSKSRILSLKPEKNIPIIRLLGHLVQSYPYLMLEHASRLKELLDYFVFMHGMVATHLVAALVPLIKLSRDLRDYTILVVRKAMFRREDAVRLSATNAIFNLILADKEAKREGSVSFQDSSSQASCSQHAEIPCIMDGGLFQELRGLLQRCLYQQAEIKEVMYHGLLKLVMADPSCGGPVLDFLLPHFRCFFKEDADVQLEISRCTKSLSGNVVIEEPLDALMSCVSSILLLQPHGKADCPDSSRPYFGFSLSQENELGSDLSRESFSNALLKIQKFLKKINLEDFLSRIQGDSSTSVQEEKRKCCALILAGILEVVVNTIATRLNKATDIEKVDLEKELVGFVNLHELLEKDLCTRLSTGIKRGNVRATAPAPGMASNIEPGNNRLTQERIPYLTTSSLCQLMQTARKLCNTECSKTIAASQHHSQLSSSKPVTCHKIMAFILNHSLHHIKSYQTVAKENPLRTLIYGEIKLMGPPLLKLIFLLKSGKKLVTDEKKKEKRIKDEDRKGYLHLALLCMKELVTISLQNPHLTGLLEDLVSVSTLEYPELDDKYEEASRIDDQHIRIKELFVVKVLKPLFSELLAQSYFHELEIISDMLLVIGEKLPRKQRNSHGAWSIHVCKSNVIKNSKAARSVVALAISLSSPPSDLIVAQDMAKELLKVTGSETSNAEEVSGSHSIINQSTSSSIISCIQKLIEAIIVDMDWAIKKLNIFTLVSQKSIHFSQNEEHITGLEYENNLYSRAEAVVKVLSCFVSMNLKEPQAEHLLRLTAKFYKHLAQMSRLRIASKGCKQLIPSLAFQKLVELTCKQLTVPLYNFVAEMQREQENANSKCTANKIKRENKCIPDLIYQIEDCEKYLIQLSKVSKINLLRHAKRSTSRDFKIIDSRKIITEEDEPNHEPACHAAATAENGSPESGDNEGNESDKVLSSQSGSPLSEEESASDGENGGALPHAKRVKRDRVVLDSDDEA >Potri.010G011800.1.v4.1 pep chromosome:Pop_tri_v4:10:2181930:2189476:-1 gene:Potri.010G011800.v4.1 transcript:Potri.010G011800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011800.v4.1 MTTTATATASSKHQPPQLTDAEIIDLANQNQLHPYLLSTSSHPTLLSYLHNRTLSPSPSLPICQYTLSLLSLISLSPHTPSLSSLLSSLLADYTNLFLSFQIPRDSNSLKTIHFFSTVLNNVPIKDLEAVSESIVLNLSKLVSFEDTQMLDILPACFNLMINENGREFVGLILDRVIESEWSKGLLVKMVSLVREFIGFIDKVRGREFLEKVFKGMRRVDLQDLPSLVYQLLVLTSKGFNKREVIEGIVMFFGSEFGGPKRGSSIVRQVEGTVLLHVNFAVKQDPSLGKEVIGLVKLDFRALNHFTISVLLSVARVRRFSESSLGILKTVLLTAYRDHKFAKNCKWLPEDFKEECLQNVQIAEKALLRAVNESNYGREHIVPTIVQFSFQLLESLEDGNVRELWDSNAILGIEELSIQMLKTLFDVHEMARNEIIEQSKSRILSLKPEKNIPIIRLLGHLVQSYPYLMLEHASRLKELLDYFVFMHGMVATHLVAALVPLIKLSRDLRDYTILVVRKAMFRREDAVRLSATNAIFNLILADKEAKREGSVSFQDSSSQASCSQHAEIPCIMDGGLFQELRGLLQRCLYQQAEIKEVMYHGLLKLVMADPSCGGPVLDFLLPHFRCFFKEDADVQLEISRCTKSLSGNVVIEEPLDALMSCVSSILLLQPHGKADCPDSSRPYFGFSLSQENELGSDLSRESFSNALLKIQKFLKKINLEDFLSRIQGDSSTSVQEEKRKCCALILAGILEVVVNTIATRLNKATDIEKVDLEKELVGFVNLHELLEKDLCTRLSTGIKRGNVRATAPAPGMASNIEPGNNRLTQERIPYLTTSSLCQLMQTARKLCNTECSKTIAASQHHSQLSSSKPVTCHKIMAFILNHSLHHIKSYQTVAKENPLRTLIYGEIKLMGPPLLKLIFLLKSGKKLVTDEKKKEKRIKDEDRKGYLHLALLCMKELVTISLQNPHLTGLLEDLVSVSTLEYPELDDKYEEASRIDDQHIRIKELFVVKVLKPLFSELLAQSYFHELEIISDMLLVIGEKLPRKQRNSHGAWSIHVCKSNVIKNSKAARSVVALAISLSSPPSDLIVAQDMAKELLKVTGSETSNAEEVSGSHSIINQSTSSSIISCIQKLIEAIIVDMDWAIKKLNIFTLVSQKSIHFSQNEEHITGLEYENNLYSRAEAVVKVLSCFVSMNLKEPQAEHLLRLTAKFYKHLAQMSRLRIASKGCKQLIPSLAFQKLVELTCKQLTVPLYNFVAEMQREQENANSKCTANKIKRENKCIPDLIYQIEDCEKYLIQLSKVSKINLLRHAKRSTSRDFKIIDSRKIITEEDEPNHEPACHAAATAENGSPESGDNEGNESDKVLSSQSGSPLSEEESASDGENGGALPHAKRVKRDRVVLDSDDEA >Potri.010G011800.6.v4.1 pep chromosome:Pop_tri_v4:10:2182010:2187886:-1 gene:Potri.010G011800.v4.1 transcript:Potri.010G011800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011800.v4.1 MLKTLFDVHEMARNEIIEQSKSRILSLKPEKNIPIIRLLGHLVQSYPYLMLEHASRLKELLDYFVFMHGMVATHLVAALVPLIKLSRDLRDYTILVVRKAMFRREDAVRLSATNAIFNLILADKEAKREGSVSFQDSSSQASCSQHAEIPCIMDGGLFQELRGLLQRCLYQQAEIKEVMYHGLLKLVMADPSCGGPVLDFLLPHFRCFFKEDADVQLEISRCTKSLSGNVVIEEPLDALMSCVSSILLLQPHGKADCPDSSRPYFGFSLSQENELGSDLSRESFSNALLKIQKFLKKINLEDFLSRIQGDSSTSVQEEKRKCCALILAGILEVVVNTIATRLNKATDIEKVDLEKELVGFVNLHELLEKDLCTRLSTGIKRGNVRATAPAPGMASNIEPGNNRLTQERIPYLTTSSLCQLMQTARKLCNTECSKTIAASQHHSQLSSSKPVTCHKIMAFILNHSLHHIKSYQTVAKENPLRTLIYGEIKLMGPPLLKLIFLLKSGKKLVTDEKKKEKRIKDEDRKGYLHLALLCMKELVTISLQNPHLTGLLEDLVSVSTLEYPELDDKYEEASRIDDQHIRIKELFVVKVLKPLFSELLAQSYFHELEIISDMLLVIGEKLPRKQRNSHGAWSIHVCKSNVIKNSKAARSVVALAISLSSPPSDLIVAQDMAKELLKVTGSETSNAEEVSGSHSIINQSTSSSIISCIQKLIEAIIVDMDWAIKKLNIFTLVSQKSIHFSQNEEHITGLEYENNLYSRAEAVVKVLSCFVSMNLKEPQAEHLLRLTAKFYKHLAQMSRLRIASKGCKQLIPSLAFQKLVELTCKQLTVPLYNFVAEMQREQENANSKCTANKIKRENKCIPDLIYQIEDCEKYLIQLSKVSKINLLRHAKRSTSRDFKIIDSRKIITEEDEPNHEPACHAAATAENGSPESGDNEGNESDKVLSSQSGSPLSEEESASDGENGGALPHAKRVKRDRVVLDSDDEA >Potri.010G011800.2.v4.1 pep chromosome:Pop_tri_v4:10:2181931:2189439:-1 gene:Potri.010G011800.v4.1 transcript:Potri.010G011800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011800.v4.1 MTTTATATASSKHQPPQLTDAEIIDLANQNQLHPYLLSTSSHPTLLSYLHNRTLSPSPSLPICQYTLSLLSLISLSPHTPSLSSLLSSLLADYTNLFLSFQIPRDSNSLKTIHFFSTVLNNVPIKDLEAVSESIVLNLSKLVSFEDTQMLDILPACFNLMINENGREFVGLILDRVIESEWSKGLLVKMVSLVREFIGFIDKVRGREFLEKVFKGMRRVDLQDLPSLVYQLLVLTSKGFNKREVIEGIVMFFGSEFGGPKRGSSIVRQVEGTVLLHVNFAVKQDPSLGKEVIGLVKLDFRALNHFTISVLLSVARVRRFSESSLGILKTVLLTAYRDHKFAKNCKWLPEDFKEECLQNVQIAEKALLRAVNESNYGREHIVPTIVQFSFQLLESLEDGNVRELWDSNAILGIEELSIQMLKTLFDVHEMARNEIIEQSKSRILSLKPEKNIPIIRLLGHLVQSYPYLMLEHASRLKELLDYFVFMHGMVATHLVAALVPLIKLSRDLRDYTILVVRKAMFRREDAVRLSATNAIFNLILADKEAKREGSVSFQDSSSQASCSQHAEIPCIMDGGLFQELRGLLQRCLYQQAEIKEVMYHGLLKLVMADPSCGGPVLDFLLPHFRCFFKEDADVQLEISRCTKSLSGNVVIEEPLDALMSCVSSILLLQPHGKADCPDSSRPYFGFSLSQENELGSDLSRESFSNALLKIQKFLKKINLEDFLSRIQGDSSTSVQEEKRKCCALILAGILEVVVNTIATRLNKATDIEKVDLEKELVGFVNLHELLEKDLCTRLSTGIKRGNVRATAPAPGMASNIEPGNNRLTQERIPYLTTSSLCQLMQTARKLCNTECSKTIAASQHHSQLSSSKPVTCHKIMAFILNHSLHHIKSYQTVAKENPLRTLIYGEIKLMGPPLLKLIFLLKSGKKLVTDEKKKEKRIKDEDRKGYLHLALLCMKELVTISLQNPHLTGLLEDLVSVSTLEYPELDDKYEEASRIDDQHIRIKELFVVKVLKPLFSELLAQSYFHELEIISDMLLVIGEKLPRKQRNSHGAWSIHVCKSNVIKNSKAARSVVALAISLSSPPSDLIVAQDMAKELLKVTGSETSNAEEVSGSHSIINQSTSSSIISCIQKLIEAIIVDMDWAIKKLNIFTLVSQKSIHFSQNEEHITGLEYENNLYSRAEAVVKVLSCFVSMNLKEPQAEHLLRLTAKFYKHLAQMSRLRIASKGCKQLIPSLAFQKLVELTCKQLTVPLYNFVAEMQREQENANSKCTANKIKRENKCIPDLIYQIEDCEKYLIQLSKVSKINLLRHAKRSTSRDFKIIDSRKIITEEDEPNHEPACHAAATAENGSPESGDNEGNESDKVLSSQSGSPLSEEESASDGENGGALPHAKRVKRDRVVLDSDDEA >Potri.010G011800.3.v4.1 pep chromosome:Pop_tri_v4:10:2181931:2189439:-1 gene:Potri.010G011800.v4.1 transcript:Potri.010G011800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011800.v4.1 MTTTATATASSKHQPPQLTDAEIIDLANQNQLHPYLLSTSSHPTLLSYLHNRTLSPSPSLPICQYTLSLLSLISLSPHTPSLSSLLSSLLADYTNLFLSFQIPRDSNSLKTIHFFSTVLNNVPIKDLEAVSESIVLNLSKLVSFEDTQMLDILPACFNLMINENGREFVGLILDRVIESEWSKGLLVKMVSLVREFIGFIDKVRGREFLEKVFKGMRRVDLQDLPSLVYQLLVLTSKGFNKREVIEGIVMFFGSEFGGPKRGSSIVRQVEGTVLLHVNFAVKQDPSLGKEVIGLVKLDFRALNHFTISVLLSVARVRRFSESSLGILKTVLLTAYRDHKFAKNCKWLPEDFKEECLQNVQIAEKALLRAVNESNYGREHIVPTIVQFSFQLLESLEDGNVRELWDSNAILGIEELSIQMLKTLFDVHEMARNEIIEQSKSRILSLKPEKNIPIIRLLGHLVQSYPYLMLEHASRLKELLDYFVFMHGMVATHLVAALVPLIKLSRDLRDYTILVVRKAMFRREDAVRLSATNAIFNLILADKEAKREGSVSFQDSSSQASCSQHAEIPCIMDGGLFQELRGLLQRCLYQQAEIKEVMYHGLLKLVMADPSCGGPVLDFLLPHFRCFFKEDADVQLEISRCTKSLSGNVVIEEPLDALMSCVSSILLLQPHGKADCPDSSRPYFGFSLSQENELGSDLSRESFSNALLKIQKFLKKINLEDFLSRIQGDSSTSVQEEKRKCCALILAGILEVVVNTIATRLNKATDIEKVDLEKELVGFVNLHELLEKDLCTRLSTGIKRGNVRATAPAPGMASNIEPGNNRLTQERIPYLTTSSLCQLMQTARKLCNTECSKTIAASQHHSQLSSSKPVTCHKIMAFILNHSLHHIKSYQTVAKENPLRTLIYGEIKLMGPPLLKLIFLLKSGKKLVTDEKKKEKRIKDEDRKGYLHLALLCMKELVTISLQNPHLTGLLEDLVSVSTLEYPELDDKYEEASRIDDQHIRIKELFVVKVLKPLFSELLAQSYFHELEIISDMLLVIGEKLPRKQRNSHGAWSIHVCKSNVIKNSKAARSVVALAISLSSPPSDLIVAQDMAKELLKVTGSETSNAEEVSGSHSIINQSTSSSIISCIQKLIEAIIVDMDWAIKKLNIFTLVSQKSIHFSQNEEHITGLEYENNLYSRAEAVVKVLSCFVSMNLKEPQAEHLLRLTAKFYKHLAQMSRLRIASKGCKQLIPSLAFQKLVELTCKQLTVPLYNFVAEMQRVVDGLYGTCRSKKMLTASVLPTRSRGRISASPT >Potri.002G020000.3.v4.1 pep chromosome:Pop_tri_v4:2:1234661:1240196:1 gene:Potri.002G020000.v4.1 transcript:Potri.002G020000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020000.v4.1 MTLEDFFTLTEMKDGLTAPSRVHELVAVMQKEKHGVLNNVGDSTRQWAAVASTIAATENKDCLDLFVNLNGLLFIDRWLTIAQKFSNETNEGSVEESITALLRALEKLQIDKERSISSGVWGTVNNLLDHSSSRVQDRARALFDSWKPGEVSDAIHHDVQSVGAFDDVRMNDSETGKTECVAVKVPLSNGSADVENNAAERTGDESLQSRNSNCLQAESVQDVQIQTNDCDHQILDHRNLEDRTQVPLTAAVDRSLDPLNTSVVSKSDQESLSLKEKSPVSSAVEENVSTEPDSEAPKMLTDKSASSSKVEPGAISSSNVAAIAEEIVSESALQNNVDAKEDNCCTSTSGSSVVAIPVSTSKIGTDEAENRDQCQTPIFNSGAEDGEFSPDPPQHLAGNKSPLEKPDKFGSLFSRMEDVGASDDDREHSSDGAEDNSDFSKPTTDKCSPDLIGRRRSDIELEYGMVDALEVARQVAQEVEREVGDYREQSCSSSSEKILESGIKQPGSPDSINGERDLSTEIPPENVPTRLNQSSETCAEQEGRLIDSSNLENEAENGMHDLESSLVTEVAQEPEINTEKGLCDFDLNEEGCSDDMVLPMNTSPALISIVSASRPAAASGSPAAPLQFEGNLGWRGSAATSAFRPASPRKTSDGDKTVETVEAGGSSNCSKQRQVCLDIDLNVAEGGEEKVVDLISSRQIPVSSGFHSGESSLEVGSRRPERPNLDLNRTSDDGDASLTDLRMEGQLFYPWNGHRSPSPASSSSSMQPSLRNFDLNDRPFFHNDSLDHGLYHSKSSQTASVFGGSKLGDPVISIMGTRVEVGNRTEVDKKDFIPQAPSLPNSKPLEPVMGANLARMGGVLGMVPALPYTHAPVFGYSALPTAPAISIPSAMYGSAGSIPYMMDSRGTPVMPQIMGSAPSVPPYSQQPFIMSMSGAPLSLNGAGPSRPSFDLNSGFAMDGGSTGGLRQLFMPGQGSSQPSSSSGVGGKRKEPDSGWEPAYSLQYKHPQPPWR >Potri.002G020000.4.v4.1 pep chromosome:Pop_tri_v4:2:1234682:1240261:1 gene:Potri.002G020000.v4.1 transcript:Potri.002G020000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020000.v4.1 MTLEDFFTLTEMKDGLTAPSRVHELVAVMQKEKHGVLNNVGDSTRQWAAVASTIAATENKDCLDLFVNLNGLLFIDRWLTIAQKFSNETNEGSVEESITALLRALEKLQIDKERSISSGVWGTVNNLLDHSSSRVQDRARALFDSWKPGEVSDAIHHDVQSVGAFDDVRMNDSETGKTECVAVKVPLSNGSADVENNAAERTGDESLQSRNSNCLQAESVQDVQIQTNDCDHQILDHRNLEDRTQVPLTAAVDRSLDPLNTSVVSKSDQESLSLKEKSPVSSAVEENVSTEPDSEAPKMLTDKSASSSKVEPGAISSSNVAAIAEEIVSESALQNNVDAKEDNCCTSTSGSSVVAIPVSTSKIGTDEAENRDQCQTPIFNSGAEDGEFSPDPPQHLAGNKSPLEKPDKFGSLFSRMEDVGASDDDREHSSDGAEDNSDFSKPTTDKCSPDLIGRRRSDIELEYGMVDALEVARQVAQEVEREVGDYREQSCSSSSEKILESGIKQPGSPDSINGERDLSTEIPPENVPTRLNQSSETCAEQEGRLIDSSNLENEAENGMHDLESSLVTEVAQEPEINTEKGLCDFDLNEEGCSDDMVLPMNTSPALISIVSASRPAAASGSPAAPLQFEGNLGWRGSAATSAFRPASPRKTSDGDKTVETVEAGGSSNCSKQRQVCLDIDLNVAEGGEEKVVDLISSRQIPVSSGFHSGESSLEVGSRRPERPNLDLNRTSDDGDASLTDLRMEGQLFYPWNGHRSPSPASSSSSMQPSLRNFDLNDRPFFHNDSLDHGLYHSKSSQTASVFGGSKLGDPVISIMGTRVEVGNRTEVDKKDFIPQAPSLPNSKPLEPVMGANLARMGGVLGMVPALPYTHAPVFGYSALPTAPAISIPSAMYGSAGSIPYMMDSRGTPVMPQIMGSAPSVPPYSQQPFIMSMSGAPLSLNGAGPSRPSFDLNSGFAMDGGSTGGLRQLFMPGQGSSQPSSSSGVGGKRKEPDSGWEPAYSLQYKHPQPPWR >Potri.002G020000.1.v4.1 pep chromosome:Pop_tri_v4:2:1234673:1240677:1 gene:Potri.002G020000.v4.1 transcript:Potri.002G020000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020000.v4.1 MTLEDFFTLTEMKDGLTAPSRVHELVAVMQKEKHGVLNNVGDSTRQWAAVASTIAATENKDCLDLFVNLNGLLFIDRWLTIAQKFSNETNEGSVEESITALLRALEKLQIDKERSISSGVWGTVNNLLDHSSSRVQDRARALFDSWKPGEVSDAIHHDVQSVGAFDDVRMNDSETGKTECVAVKVPLSNGSADVENNAAERTGDESLQSRNSNCLQAESVQDVQIQTNDCDHQILDHRNLEDRTQVPLTAAVDRSLDPLNTSVVSKSDQESLSLKEKSPVSSAVEENVSTEPDSEAPKMLTDKSASSSKVEPGAISSSNVAAIAEEIVSESALQNNVDAKEDNCCTSTSGSSVVAIPVSTSKIGTDEAENRDQCQTPIFNSGAEDGEFSPDPPQHLAGNKSPLEKPDKFGSLFSRMEDVGASDDDREHSSDGAEDNSDFSKPTTDKCSPDLIGRRRSDIELEYGMVDALEVARQVAQEVEREVGDYREQSCSSSSEKILESGIKQPGSPDSINGERDLSTEIPPENVPTRLNQSSETCAEQEGRLIDSSNLENEAENGMHDLESSLVTEVAQEPEINTEKGLCDFDLNEEGCSDDMVLPMNTSPALISIVSASRPAAASGSPAAPLQFEGNLGWRGSAATSAFRPASPRKTSDGDKTVETVEAGGSSNCSKQRQVCLDIDLNVAEGGEEKVVDLISSRQIPVSSGFHSGESSLEVGSRRPERPNLDLNRTSDDGDASLTDLRMEGQLFYPWNGHRSPSPASSSSSMQPSLRNFDLNDRPFFHNDSLDHGLYHSKSSQTASVFGGSKLGDPVISIMGTRVEVGNRTEVDKKDFIPQAPSLPNSKPLEPVMGANLARMGGVLGMVPALPYTHAPVFGYSALPTAPAISIPSAMYGSAGSIPYMMDSRGTPVMPQIMGSAPSVPPYSQQPFIMSMSGAPLSLNGAGPSRPSFDLNSGFAMDGGSTGGLRQLFMPGQGSSQPSSSSGVGGKRKEPDSGWEPAYSLQYKHPQPPWR >Potri.003G099200.1.v4.1 pep chromosome:Pop_tri_v4:3:12466543:12470248:1 gene:Potri.003G099200.v4.1 transcript:Potri.003G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099200.v4.1 MSEGIVTFLLTKLGDFLLERGKQLETVKNEAEHVSDELAFNMKAFLRLADAMEESDSALKLLVKKVRDVAYDTEDALDELIRLCLANDNGHGVISCFRKIVSLLRMLELGVELLQKFKASNPESSVYQSHIGDTAIKITKWFMVQVPTASTTMECQRDALLLEEAVQKAKSRAIERLLGTKSGREVVSVVGMGGSGNTTLVKRVYEDKS >Potri.010G167901.1.v4.1 pep chromosome:Pop_tri_v4:10:17078789:17080240:1 gene:Potri.010G167901.v4.1 transcript:Potri.010G167901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167901.v4.1 MGLRHQNMNLVLSTDGKPRLKWTQELHQRFVEAVNQLGGADRATPKSLMRVMEIPGLTLYHLKSHLQKYRLGKSQQSLISIENNQEVLFVADAKEIQSSDDHFQESAFIQSSGGICSDGNQHPINGSFQIAQALQMQMEVKRKLHEQIEVQRHLQLRIEAQGKYLQSVLKKAQETLAGYNSYSMGVELAKAELSRLVSMANSGCPSSSISDLTETGGSSLRDMERTQTRSAVCSMESSLTSSESSGRKEDMQQKNEIHDTEKSNTASVELSLMDIHPQDNPLNTCSSNQGKKRSGRIISDGVSVEQPLASRLKNGDQLSLGMFDLNS >Potri.007G122600.3.v4.1 pep chromosome:Pop_tri_v4:7:13984220:13987369:1 gene:Potri.007G122600.v4.1 transcript:Potri.007G122600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122600.v4.1 MSEFDNAIPTAFDPFAEANAEDSGAGTKDYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKESIKIHGF >Potri.013G046900.6.v4.1 pep chromosome:Pop_tri_v4:13:3331770:3335957:1 gene:Potri.013G046900.v4.1 transcript:Potri.013G046900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046900.v4.1 MSIPPSSSTSQQFTYAASATSATAANGTYFPTPFHLQQSDPYPKPYVTSPPTVMAPVYPPAPIGPVYSLPQYQQAQQLFQRDAQTITPEALEGVKAALASSEIEHKAETKKKAVPRKAAGLTWEDPTLAEWPENDYRVFCGDLGNEVNDDVLSKAFSRFPSFNLARVSCCLTPFLDFFCIVCLVCETLPFPVQIFL >Potri.013G046900.1.v4.1 pep chromosome:Pop_tri_v4:13:3331723:3335381:1 gene:Potri.013G046900.v4.1 transcript:Potri.013G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046900.v4.1 MSIPPSSSTSQQFTYAASATSATAANGTYFPTPFHLQQSDPYPKPYVTSPPTVMAPVYPPAPIGPVYSLPQYQQAQQLFQRDAQTITPEALEGVKAALASSEIEHKAETKKKAVPRKAAGLTWEDPTLAEWPENDYRVFCGDLGNEVNDDVLSKAFSRFPSFNLARVVRDKRSGKTKGYGFVSFANPTDLAAALKEMNGKYVGNRPIKLRKSNWKERTDYGAVDRHKNHNHKKPKLSKKSILHK >Potri.009G049300.2.v4.1 pep chromosome:Pop_tri_v4:9:5472537:5473824:1 gene:Potri.009G049300.v4.1 transcript:Potri.009G049300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049300.v4.1 MQRPREMASTKTHALVLAWLLAVGARFLGDHKVSAQCGGSFVDIEAQCSQFVHKTGPKTPPSLGCCQVVKTLNVNCVCRFVTPQVEAMISMEKVVYVARTCGVTVRAGTQCGSYVVPPLV >Potri.001G241800.1.v4.1 pep chromosome:Pop_tri_v4:1:25933939:25937674:1 gene:Potri.001G241800.v4.1 transcript:Potri.001G241800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241800.v4.1 MDQNYTGLHDRFQNDSKFDETIMLPNSEQYPNIEHGLEFNIPSPDLSFMNLPFDPPDTDPDRFGLSFNSSPGVESFVPSMSLSPDGEALDPSSAWSPEAEASSPSEDSDSSDPLLKYISQMLMEENMEDQPHMFHDHFALSTTEKSLYDVLGEQYPSSLDSPESYVNLESPDSIFLASGSNCGDNTSKSTSTGTTSGTIDSAKETQWVGGDVGGMNPSFSRTPLPDDNHLHSNFQPNVQFTGNPSNGFTDTGDGLMGSSAGEMVQNMFSDAESVLQFKRGLEEASKFLPIASQLVIDLETNAVSSRQKEDAPIVVVKEENSERDSSPDGSRGRKNHEREDPDLEEGRRNKQSAVHVEESELSEMFDKVLLWTGGQCCGDDAVQDVASKNSQPDEQSNGSSGGKTRAKRQNKKKETVDLRTLLILCAQAVSANDFRTANELLKQIRQHSSQFGDGTQRLAHFFANGLEARLAGSGDGTRSFFTHLASKRTTAADMLKAYKTNLQACPFKKFSIFFAISMILQAAEKASTLHIVDFGVLYGFQWPILIQQLSLLPNGPPKLRLTGIELPQHGFRPSERIEETGRRLAKYCERFKVPFEYNPIAAQNWERIPIEDLKINRNEVLAVHCQCRFKNLFDETVEVDCPKNAILNLIRKMNPDIFVHTIINGSYNAPFFLTRFREALFHFSSLFDMFDSTLPREDQARIMFEGELYGRDAMNVVACEGQERVERPETYKQWQARTVRAGFKTLPLEQKLMTKFRGKLKTYYHKDFVIDEDNDWMLQGWKGRIIYASSCWVPA >Potri.001G241800.4.v4.1 pep chromosome:Pop_tri_v4:1:25933829:25937567:1 gene:Potri.001G241800.v4.1 transcript:Potri.001G241800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241800.v4.1 MDQNYTGLHDRFQNDSKFDETIMLPNSEQYPNIEHGLEFNIPSPDLSFMNLPFDPPDTDPDRFGLSFNSSPGVESFVPSMSLSPDGEALDPSSAWSPEAEASSPSEDSDSSDPLLKYISQMLMEENMEDQPHMFHDHFALSTTEKSLYDVLGEQYPSSLDSPESYVNLESPDSIFLASGSNCGDNTSKSTSTGTTSGTIDSAKETQWVGGDVGGMNPSFSRTPLPDDNHLHSNFQPNVQFTGNPSNGFTDTGDGLMGSSAGEMVQNMFSDAESVLQFKRGLEEASKFLPIASQLVIDLETNAVSSRQKEDAPIVVVKEENSERDSSPDGSRGRKNHEREDPDLEEGRRNKQSAVHVEESELSEMFDKVLLWTGGQCCGDDAVQDVASKNSQPDEQSNGSSGGKTRAKRQNKKKETVDLRTLLILCAQAVSANDFRTANELLKQIRQHSSQFGDGTQRLAHFFANGLEARLAGSGDGTRSFFTHLASKRTTAADMLKAYKTNLQACPFKKFSIFFAISMILQAAEKASTLHIVDFGVLYGFQWPILIQQLSLLPNGPPKLRLTGIELPQHGFRPSERIEETGRRLAKYCERFKVPFEYNPIAAQNWERIPIEDLKINRNEVLAVHCQCRFKNLFDETVEVDCPKNAILNLIRKMNPDIFVHTIINGSYNAPFFLTRFREALFHFSSLFDMFDSTLPREDQARIMFEGELYGRDAMNVVACEGQERVERPETYKQWQARTVRAGFKTLPLEQKLMTKFRGKLKTYYHKDFVIDEDNDWMLQGWKGRIIYASSCWVPA >Potri.001G241800.2.v4.1 pep chromosome:Pop_tri_v4:1:25933939:25937669:1 gene:Potri.001G241800.v4.1 transcript:Potri.001G241800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241800.v4.1 MDQNYTGLHDRFQNDSKFDETIMLPNSEQYPNIEHGLEFNIPSPDLSFMNLPFDPPDTDPDRFGLSFNSSPGVESFVPSMSLSPDGEALDPSSAWSPEAEASSPSEDSDSSDPLLKYISQMLMEENMEDQPHMFHDHFALSTTEKSLYDVLGEQYPSSLDSPESYVNLESPDSIFLASGSNCGDNTSKSTSTGTTSGTIDSAKETQWVGGDVGGMNPSFSRTPLPDDNHLHSNFQPNVQFTGNPSNGFTDTGDGLMGSSAGEMVQNMFSDAESVLQFKRGLEEASKFLPIASQLVIDLETNAVSSRQKEDAPIVVVKEENSERDSSPDGSRGRKNHEREDPDLEEGRRNKQSAVHVEESELSEMFDKVLLWTGGQCCGDDAVQDVASKNSQPDEQSNGSSGGKTRAKRQNKKKETVDLRTLLILCAQAVSANDFRTANELLKQIRQHSSQFGDGTQRLAHFFANGLEARLAGSGDGTRSFFTHLASKRTTAADMLKAYKTNLQACPFKKFSIFFAISMILQAAEKASTLHIVDFGVLYGFQWPILIQQLSLLPNGPPKLRLTGIELPQHGFRPSERIEETGRRLAKYCERFKVPFEYNPIAAQNWERIPIEDLKINRNEVLAVHCQCRFKNLFDETVEVDCPKNAILNLIRKMNPDIFVHTIINGSYNAPFFLTRFREALFHFSSLFDMFDSTLPREDQARIMFEGELYGRDAMNVVACEGQERVERPETYKQWQARTVRAGFKTLPLEQKLMTKFRGKLKTYYHKDFVIDEDNDWMLQGWKGRIIYASSCWVPA >Potri.001G241800.3.v4.1 pep chromosome:Pop_tri_v4:1:25933993:25937665:1 gene:Potri.001G241800.v4.1 transcript:Potri.001G241800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241800.v4.1 MDQNYTGLHDRFQNDSKFDETIMLPNSEQYPNIEHGLEFNIPSPDLSFMNLPFDPPDTDPDRFGLSFNSSPGVESFVPSMSLSPDGEALDPSSAWSPEAEASSPSEDSDSSDPLLKYISQMLMEENMEDQPHMFHDHFALSTTEKSLYDVLGEQYPSSLDSPESYVNLESPDSIFLASGSNCGDNTSKSTSTGTTSGTIDSAKETQWVGGDVGGMNPSFSRTPLPDDNHLHSNFQPNVQFTGNPSNGFTDTGDGLMGSSAGEMVQNMFSDAESVLQFKRGLEEASKFLPIASQLVIDLETNAVSSRQKEDAPIVVVKEENSERDSSPDGSRGRKNHEREDPDLEEGRRNKQSAVHVEESELSEMFDKVLLWTGGQCCGDDAVQDVASKNSQPDEQSNGSSGGKTRAKRQNKKKETVDLRTLLILCAQAVSANDFRTANELLKQIRQHSSQFGDGTQRLAHFFANGLEARLAGSGDGTRSFFTHLASKRTTAADMLKAYKTNLQACPFKKFSIFFAISMILQAAEKASTLHIVDFGVLYGFQWPILIQQLSLLPNGPPKLRLTGIELPQHGFRPSERIEETGRRLAKYCERFKVPFEYNPIAAQNWERIPIEDLKINRNEVLAVHCQCRFKNLFDETVEVDCPKNAILNLIRKMNPDIFVHTIINGSYNAPFFLTRFREALFHFSSLFDMFDSTLPREDQARIMFEGELYGRDAMNVVACEGQERVERPETYKQWQARTVRAGFKTLPLEQKLMTKFRGKLKTYYHKDFVIDEDNDWMLQGWKGRIIYASSCWVPA >Potri.006G273500.1.v4.1 pep chromosome:Pop_tri_v4:6:26615026:26615784:1 gene:Potri.006G273500.v4.1 transcript:Potri.006G273500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273500.v4.1 MGKQRWSAFGPLKRAVKKINFLLSFNFRKWRLASILSIASSSSSRRTGRLSFNDRIGLHGAIEDVESDHQKYKPVRTFERVRSYGSSDEDIDKRAEIFINNFRQQLLIERQVSLQLRYYRGNSFGRDY >Potri.001G053800.1.v4.1 pep chromosome:Pop_tri_v4:1:4079432:4083008:-1 gene:Potri.001G053800.v4.1 transcript:Potri.001G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053800.v4.1 MQIPQQPPPPPSSSSTNDNTKTTLFIILTTSFFSLLFIFTLSSYSFNTSSLSTHGRPDPYLFPNRQPTFTKIPSDPTPPSIAYLISGSKGDLDRVLRLLYATYHPKNQYLLHLDLSAPQTDRDQLALSVQSVPIFKAAQNVNVIGKADFAYPKGSSTISATLHGAAILLRLPKKWDWFVNLGAADYPLVTPDDLLHILSYLPKDLNFVNHSSYIGWRESRQLKPIIVDPGLYLSEKSEMFYATQKRDLPNSFRLFTGTSFSFASRNLIEHCILGVDNLPRILMMYLSNTPSSLINYFPTVICNSRQFNRTVINHNLQYVAFEKPSKKVPRALNSSEFDAMIQSGAAFATQFKLDDPVLDRIDQDVLGRNPGEVVPGGWCLGGEPGNITCSAWGDADILRPGTGAARLEKLIVRLLSNGEFHSRQCIVE >Potri.011G155800.1.v4.1 pep chromosome:Pop_tri_v4:11:18243388:18247408:1 gene:Potri.011G155800.v4.1 transcript:Potri.011G155800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155800.v4.1 MAGAPDHLFNLRNNFYLGAYQAAINTSDLPNLSPDDAVERDSLVYRSYIALASYQLVIHEIDDAAATPLQAVKLLALYLSSPENKESTISSLKEWLADSAIANNAILRLIAGIIFMHEEDYNEALKHTNAGGTMELHALNVQIFLKMHRSDYAEKQLRIMQQIDEDHTLTQLATAWLNLAVGGSKIQEAYLIFQDFSEKYPMTGLILNGKAVCCMHMGNFDEAETLLLEALNKDAKDPETLANLVVCSLHIGKSSSRYLSQLKLSHPDHVLVKRASSAEDNFERAVQSVA >Potri.001G128200.1.v4.1 pep chromosome:Pop_tri_v4:1:10513357:10520098:1 gene:Potri.001G128200.v4.1 transcript:Potri.001G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128200.v4.1 MRPRRGTERRDFSNNLEHSTTRPSGSNRRRNLLSPASLFGAILIAKRVSMAGQSRKWMILVATVWIQAFTGTNFDFSAYSSDLKSVLGISQVQLNYLAVASDLGKVFGWSSGLALLYFPLWVVLFMAAFMGLFGYGLQWLVMRDIISLPYILVFLLCLLAGCSICWFNTVCFVLCIQNFPANRPLALSLTIAFNGVSAALYTLAGNAIDSSSNDIYLLLNAFIPLITSVVSLIPIIRQPSLDPLPPDGVRRDSLIFLILNFLAILTGIYLLLFGSSSSDGTRARLLLGGAIFLLIFPLCIPGIVYAREWFHRTIHSSFSIHGSGFILVDVDDLELHKELITRESSYHENGDETMYEIKRRKSSGEKEGCCDSIVKKDRLAMLGEEHPVSLLVSRLDFWLYYTAYVCGGTIGLVYSNNLGQIAQSLGQSSNTTTLVTLYSSFSFFGRLLSAAPDYIRAKMYFARTAWLTIALVPTPIAFFLLAASGNAVALHISTALVGLSSGFIFAAAVSITSELFGPNSVGVNHNILITNIPIGSLVYGFLAAIVYDSHVSSSLNIITDSVVCMGRQCYFLTFVWWGCLSVLGLTSSLLLFLRTRHAYDQFEAKRISSMTPLY >Potri.012G027000.2.v4.1 pep chromosome:Pop_tri_v4:12:2622044:2622762:1 gene:Potri.012G027000.v4.1 transcript:Potri.012G027000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027000.v4.1 MGSSETVFARTSAALSCLLLFAGLRKPNLNREASKKALEHKKEIDKRLGRPFIQTNPYEGCVCACQSFCFC >Potri.010G154601.1.v4.1 pep chromosome:Pop_tri_v4:10:22669546:22671592:-1 gene:Potri.010G154601.v4.1 transcript:Potri.010G154601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154601.v4.1 MIAEILCRLQAQKLPCFRSVSKPWQLHLKHYLDTSSNLYIIIRTTSHVHYMGFYQNLVSSGCFTLKELNHPLMCYNHGIKVLGSVNGLLCVSNVVDDIAEWNPSIRKYFGTKSCSVYVIGFGYDCVRDDYKRSFESEVKVYSLRKQSWRRIVCTTSHAFQWSLTQGDESNIAAFDIQREEFCTRPLPGLGGSADSYRNLGVLGHCLCLVSMHVHSSVDM >Potri.003G130100.8.v4.1 pep chromosome:Pop_tri_v4:3:14856511:14857397:-1 gene:Potri.003G130100.v4.1 transcript:Potri.003G130100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130100.v4.1 MVKVLKNGSEVKLQRNALSVLEHPTGNEVDDDNDYDTSSGSDIGEHDFYRGSGFQKISKPRVRPTRPWVPSAPAKTTSESSNRDVQSIIHMPVLTVNLARLGTESLRRYCKEFKLPGVNSQSSREQMLNAAQIHFLSQRPLDEMQEVAEFTRVAKRLKEKDKPSE >Potri.003G130100.1.v4.1 pep chromosome:Pop_tri_v4:3:14856252:14859873:-1 gene:Potri.003G130100.v4.1 transcript:Potri.003G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130100.v4.1 MFGTELCSSRILSPFREESGDEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKALGLGGWHWLVLKNGSEVKLQRNALSVLEHPTGNEVDDDNDYDTSSGSDIGEHDFYRGSGFQKISKPRVRPTRPWVPSAPAKTTSESSNRDVQSIIHMPVLTVNLARLGTESLRRYCKEFKLPGVNSQSSREQMLNAAQIHFLSQRPLDEMQEVAEFTRVAKRLKEKDKPSE >Potri.003G130100.7.v4.1 pep chromosome:Pop_tri_v4:3:14856252:14859837:-1 gene:Potri.003G130100.v4.1 transcript:Potri.003G130100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130100.v4.1 MFGTELCSSRILSPFREESGDEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKALGLGGWHWLVLKNGSEVKLQRNALSVLEHPTGNEVDDDNDYDTSSGSDIGEHDFYRGSGFQKISKPRVRPTRPWVPSAPAKTTSESSNRDVQSIIHMPVLTVNLARLGTESLRRYCKEFKLPGVNSQSSREQMLNAAQIHFLSQRPLDEMQEVAEFTRVAKRLKEKDKPSE >Potri.007G060500.5.v4.1 pep chromosome:Pop_tri_v4:7:6479989:6481143:1 gene:Potri.007G060500.v4.1 transcript:Potri.007G060500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060500.v4.1 MARKGISELVRRSSQKLSPVISYKHHSQFQCLLKTLISIASPPSKRELTIEGKTGISSTPEPGEIASVKRSSGCRYRFEQGRTSSYLRSKLISLYNSRRVL >Potri.007G060500.2.v4.1 pep chromosome:Pop_tri_v4:7:6479989:6481143:1 gene:Potri.007G060500.v4.1 transcript:Potri.007G060500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060500.v4.1 MARKGISELVRRSSQKLSPVISYKHHSQFQCLLKTLISIASPPSKRELTIEGTAAITIQANFRGHLVSCKTGISSTPEPGEIASVKRSSGCRYRFEQGRTSSYLRSKLISLYNSRRVL >Potri.007G141450.1.v4.1 pep chromosome:Pop_tri_v4:7:15120780:15128301:-1 gene:Potri.007G141450.v4.1 transcript:Potri.007G141450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G141450.v4.1 MLSGISKHLFGAYPALLLLVVLAGHQSCSARKNSTVYCAPSSCGNIHNISYPFRLSTDLNSCGNQKYELTCENNRPALYLKALYLNETVKYYVQAIDYSNFTIRLVDADVQKDDCFSIPRHSLTNDYLSYNWDYYLSYYTSTFVFMSCPNPILISPSYNIVDASSCKNGSTLFNSTSNISSPSSQNYSYVFIAYAHSYDDYWRDIQDLCHVNLMYPASFTFDQPTNETTIISYIDVHDSILYGFDLSWSWACCDFLKENRCKLDKADLSMYCDVGLSASPIQFATGYLTICLNFLVVWPLNKFSRADYWIAYERSRQSSYLEFNYHKNGNYTTKTKTISNSDALSILIVLLITLLVLIGIYHILLFICGFPCLITLLVYTWRRRHLSMFGNIEEFLQSHDHNLTLIRYSYSEIKKITHGFNDKLGEGGYGSVYKGKLRSGRFAAVKILRKEKANGQEFINEVATIGRIHHCNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSRQGCIPLSNQKIYEISLGVARGIEYLHEGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPTNNSVVSLTMARGTMGYMAPELFYKSIGGVSYKADVYSFGMLLMEMVGRRKNLNALADHSSQMYFPSWIYDQVNEGRDILEDQATEQEKNTIKKMTIVALWCIQLKPIDRPSMHRVVQMLEADIESLQIPPKPFLVPQQTSNDDRINVVNPTSLRDPSNVCSIDSSYQFGR >Potri.007G141450.4.v4.1 pep chromosome:Pop_tri_v4:7:15120683:15128061:-1 gene:Potri.007G141450.v4.1 transcript:Potri.007G141450.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G141450.v4.1 MSCPNPILISPSYNIVDASSCKNGSTLFNSTSNISSPSSQNYSYVFIAYAHSYDDYWRDIQDLCHVNLMYPASFTFDQPTNETTIISYIDVHDSILYGFDLSWSWACCDFLKENRCKLDKADLSMYCDVGLSASPIQFATGQSSYLEFNYHKNGNYTTKTKTISNSDALSILIVLLITLLVLIGIYHILLFICGFPCLITLLVYTWRRRHLSMFGNIEEFLQSHDHNLTLIRYSYSEIKKITHGFNDKLGEGGYGSVYKGKLRSGRFAAVKILRKEKANGQEFINEVATIGRIHHCNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSRQGCIPLSNQKIYEISLGVARGIEYLHEGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPTNNSVVSLTMARGTMGYMAPELFYKSIGGVSYKADVYSFGMLLMEMVGRRKNLNALADHSSQMYFPSWIYDQVNEGRDILEDQATEQEKNTIKKMTIVALWCIQLKPIDRPSMHRVVQMLEADIESLQIPPKPFLVPQQTSNDDRINVVNPTSLRDPSNVCSIDSSYQFGR >Potri.007G141450.2.v4.1 pep chromosome:Pop_tri_v4:7:15121324:15128288:-1 gene:Potri.007G141450.v4.1 transcript:Potri.007G141450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G141450.v4.1 MLSGISKHLFGAYPALLLLVVLAGHQSCSARKNSTVYCAPSSCGNIHNISYPFRLSTDLNSCGNQKYELTCENNRPALYLKALYLNETVKYYVQAIDYSNFTIRLVDADVQKDDCFSIPRHSLTNDYLSYNWDYYLSYYTSTFVFMSCPNPILISPSYNIVDASSCKNGSTLFNSTSNISSPSSQNYSYVFIAYAHSYDDYWRDIQDLCHVNLMYPASFTFDQPTNETTIISYIDVHDSILYGFDLSWSWACCDFLKENRCKLDKADLSMYCDVGLSASPIQFATVVWPLNKFSRADYWIAYERSRQSSYLEFNYHKNGNYTTKTKTISNSDALSILIVLLITLLVLIGIYHILLFICGFPCLITLLVYTWRRRHLSMFGNIEEFLQSHDHNLTLIRYSYSEIKKITHGFNDKLGEGGYGSVYKGKLRSGRFAAVKILRKEKANGQEFINEVATIGRIHHCNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSRQGCIPLSNQKIYEISLGVARGIEYLHEGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPTNNSVVSLTMARGTMGYMAPELFYKSIGGVSYKADVYSFGMLLMEMVGRRKNLNALADHSSQMYFPSWIYDQVNEGRDILEDQATEQEKNTIKKMTIVALWCIQLKPIDRPSMHRVVQMLEADIESLQIPPKPFLVPQQTSNDDRINVVNPTSLRDPSNVCSIDSSYQFGR >Potri.007G141450.3.v4.1 pep chromosome:Pop_tri_v4:7:15121334:15128278:-1 gene:Potri.007G141450.v4.1 transcript:Potri.007G141450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G141450.v4.1 MLSGISKHLFGAYPALLLLVVLAGHQSCSARKNSTVYCAPSSCGNIHNISYPFRLSTDLNSCGNQKYELTCENNRPALYLKALYLNETVKYYVQAIDYSNFTIRLVDADVQKDDCFSIPRHSLTNDYLSYNWDYYLSYYTSTFVFMSCPNPILISPSYNIVDASSCKNGSTLFNSTSNISSPSSQNYSYVFIAYAHSYDDYWRDIQDLCHVNLMYPASFTFDQPTNETTIISYIDVHDSILYGFDLSWSWACCDFLKENRCKLDKADLSMYCVVWPLNKFSRADYWIAYERSRQSSYLEFNYHKNGNYTTKTKTISNSDALSILIVLLITLLVLIGIYHILLFICGFPCLITLLVYTWRRRHLSMFGNIEEFLQSHDHNLTLIRYSYSEIKKITHGFNDKLGEGGYGSVYKGKLRSGRFAAVKILRKEKANGQEFINEVATIGRIHHCNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSRQGCIPLSNQKIYEISLGVARGIEYLHEGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPTNNSVVSLTMARGTMGYMAPELFYKSIGGVSYKADVYSFGMLLMEMVGRRKNLNALADHSSQMYFPSWIYDQVNEGRDILEDQATEQEKNTIKKMTIVALWCIQLKPIDRPSMHRVVQMLEADIESLQIPPKPFLVPQQTSNDDRINVVNPTSLRDPSNVCSIDSSYQFGR >Potri.019G043900.1.v4.1 pep chromosome:Pop_tri_v4:19:6100479:6101843:-1 gene:Potri.019G043900.v4.1 transcript:Potri.019G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043900.v4.1 MGSTADNQNPWSPYSTYKDCSQGICSVYCPQWCYIIFPPPPPLSLGDDASNTDLSPLTIAFIGILASAFILVTYHTLVSKYCSRRGHGNDTTELNDNQDQMGNEASQGIPGGLDEAVLKSITICKYKKGDGFVEGTDCSVCLGEFQENESLRRLPKCSHAFHLLCIDTWLKSHASCPLCRANIADPANVLPSAQAPPAVPVQENLPSSNASTLQYQQRTSDAVLVIQDLEGSVGQEGSDDLHPGLQLIKILKVLWKQMIRSNQLEGQFH >Potri.005G204500.3.v4.1 pep chromosome:Pop_tri_v4:5:20956811:20964213:1 gene:Potri.005G204500.v4.1 transcript:Potri.005G204500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204500.v4.1 MKINRRDLVPDGPGSVKMTPVDADDLWFVYNLIAHGDSVMAVTVRKVLRETSTGRDAERVKLKLEIKVEAIEYDKVGSVLRIRGKNVLENEYVKIGAFHTLEIELHRPFVLRKELWDSMALHVLNQASDPAASADLAVVLMQEGLAHILLVGRSLTTTRAKIETSIPRKHGPAIAGYQTALNKFFEHLLQAFLKHVDFNVVRCAVIASPGFTKDQFHRHLLLEAERRQLRPIIENKSRIVLVHTSSGYKHSLKEVLDAPNVMNMIKDTKAAQEVRVLKDFFDMLSNDPDRACYGPKHVEVAHERMAVQTLLITDELFRNADIPMRKKYVNLVNSVKGSGGTVHIFSSMHASGEQLAQLTGVAAILRFPLPELEDIEM >Potri.010G002400.2.v4.1 pep chromosome:Pop_tri_v4:10:241847:244531:1 gene:Potri.010G002400.v4.1 transcript:Potri.010G002400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002400.v4.1 MEMELTSLQLLPIQRIRRAPTHEIVSLSSPPSCRRRQRRWKISLRATAETTALEQAGGKMVVELVGAFNELTERMNVLSTSSSRILFKALKLSIPILQNLPLSPDHRSPLNKALSLALLLADLQMDAEVISAGILMQVFETSAITIREVRDKIGSGTAHLLHESWRMKNSPSRIEVLDDDSAYALRKFCLTYYDIRAVILELATKLDIMRHLDYLPRYQQQMLSLQVMKIYAPLAHAVGTNWLSLELEDLSFRYLFPYSYLYVDTWLRSHVTGGRPLIDMYVEELRQSLKADPVLADMVEDVLVKGRYKSRYSMMKKLLKDGRKPEEVNDVLGLRVVLKPKSGEIGEKACYRTCGIVRSLWNEIPHRTKDYIARPKANGYRSLHMAVDVSDNGKTRPLMEIQIRTVEMDLLAVGGTASHSLYKGGLADPDEAKRLKAIMMAAAELAALRLKDLPSAKGIAIDQRDRVFQLLDKNGDGRISIEELMEVMEELGAPGEDAREMMQLLDSNSDGSLSPDEFDTFQKQVEFMHNLEDKDHQYRSMLNEKLQMAEDSGLIQVYSKELGIRLAS >Potri.005G238200.5.v4.1 pep chromosome:Pop_tri_v4:5:23590677:23595063:-1 gene:Potri.005G238200.v4.1 transcript:Potri.005G238200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238200.v4.1 MELKGPIYLGLFPPRKGAKGDEIIEALIANSATFEKKTAFSQEKYRIKKQKKYAPRVLLRRPSARSICEAYFKKYPNRIGFLRVDALSLLLSLANVSANSDILLVDMVGGLLTGAVAERLGGTGCVCNTYLGSTPSPVEIVRTFNFNNEICKRIVRAPLHDLCSDQTGTKKIDSCNAELNVQISTISIEEMPLPSKHEAADSQTIVSPQSKMGKAPKAGEKASEEALKSWKENGFSSLIIAAPDADAWNLVKVLLPLISYSAPFAIYHQYLQPLATCMHNLQQSKMAIGMQISEPWLREYQVLPSRTRPSMQMSSFGGYILSGTKICSS >Potri.005G238200.1.v4.1 pep chromosome:Pop_tri_v4:5:23590677:23595063:-1 gene:Potri.005G238200.v4.1 transcript:Potri.005G238200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238200.v4.1 MSQNNIQSDSVQNPRVTWEGCSVLLDINDGDRLVFARLTAGSTLKIGNKKYSLRPLIGCPFGSSFQIENGTEGPYLSRFIPSTEEREGCQIVDECKDNRAIVDNNEAQTLTSEDIDEMRRQGAKGDEIIEALIANSATFEKKTAFSQEKYRIKKQKKYAPRVLLRRPSARSICEAYFKKYPNRIGFLRVDALSLLLSLANVSANSDILLVDMVGGLLTGAVAERLGGTGCVCNTYLGSTPSPVEIVRTFNFNNEICKRIVRAPLHDLCSDQTGTKKIDSCNAELNVQISTISIEEMPLPSKHEAADSQTIVSPQSKMGKAPKAGEKASEEALKSWKENGFSSLIIAAPDADAWNLVKVLLPLISYSAPFAIYHQYLQPLATCMHNLQQSKMAIGMQISEPWLREYQVLPSRTRPSMQMSSFGGYILSGTKICSS >Potri.005G238200.4.v4.1 pep chromosome:Pop_tri_v4:5:23590676:23595064:-1 gene:Potri.005G238200.v4.1 transcript:Potri.005G238200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238200.v4.1 MRRQGAKGDEIIEALIANSATFEKKTAFSQEKYRIKKQKKYAPRVLLRRPSARSICEAYFKKYPNRIGFLRVDALSLLLSLANVSANSDILLVDMVGGLLTGAVAERLGGTGCVCNTYLGSTPSPVEIVRTFNFNNEICKRIVRAPLHDLCSDQTGTKKIDSCNAELNVQISTISIEEMPLPSKHEAADSQTIVSPQSKMGKAPKAGEKASEEALKSWKENGFSSLIIAAPDADAWNLVKVLLPLISYSAPFAIYHQYLQPLATCMHNLQQSKMAIGMQISEPWLREYQFQVRNFWEK >Potri.005G238200.2.v4.1 pep chromosome:Pop_tri_v4:5:23590677:23595063:-1 gene:Potri.005G238200.v4.1 transcript:Potri.005G238200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238200.v4.1 MRRQGAKGDEIIEALIANSATFEKKTAFSQEKYRIKKQKKYAPRVLLRRPSARSICEAYFKKYPNRIGFLRVDALSLLLSLANVSANSDILLVDMVGGLLTGAVAERLGGTGCVCNTYLGSTPSPVEIVRTFNFNNEICKRIVRAPLHDLCSDQTGTKKIDSCNAELNVQISTISIEEMPLPSKHEAADSQTIVSPQSKMGKAPKAGEKASEEALKSWKENGFSSLIIAAPDADAWNLVKVLLPLISYSAPFAIYHQYLQPLATCMHNLQQSKMAIGMQISEPWLREYQVLPSRTRPSMQMSSFGGYILSGTKICSS >Potri.005G238200.3.v4.1 pep chromosome:Pop_tri_v4:5:23590676:23595064:-1 gene:Potri.005G238200.v4.1 transcript:Potri.005G238200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238200.v4.1 MSQNNIQSDSVQNPRVTWEGCSVLLDINDGDRLVFARLTAGSTLKIGNKKYSLRPLIGCPFGSSFQIENGTEGPYLSRFIPSTEEREGCQIVDECKDNRAIVDNNEAQTLTSEDIDEMRRQGAKGDEIIEALIANSATFEKKTAFSQEKYRIKKQKKYAPRVLLRRPSARSICEAYFKKYPNRIGFLRVDALSLLLSLANVSANSDILLVDMVGGLLTGAVAERLGGTGCVCNTYLGSTPSPVEIVRTFNFNNEICKRIVRAPLHDLCSDQTGTKKIDSCNAELNVQISTISIEEMPLPSKHEAADSQTIVSPQSKMGKAPKAGEKASEEALKSWKENGFSSLIIAAPDADAWNLVKVLLPLISYSAPFAIYHQYLQPLATCMHNLQQSKMAIGMQISEPWLREYQFQVRNFWEK >Potri.005G190200.5.v4.1 pep chromosome:Pop_tri_v4:5:19782440:19793875:-1 gene:Potri.005G190200.v4.1 transcript:Potri.005G190200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190200.v4.1 MDLTEGVGESSSPPRSFGSVSNYDVRSDVYNRFIESGHEEAVSNPELFRELLDSHFNRLPASYGLDVNMDRIEDVLLHRKLLAMAKDPARRPVYHIRFLENLCTKTEGNGDQQFISMTPFPRPACDIDDGVVPSQKRIRDCTIEGEPLSKLEDLNLDVRKSSKDMEEKYHPEDVSRRQEVSHVPIHEVIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDCYSLDVFVVDGWPIEDTDGLYKAMEEAIARSEGSWSGSSHCHSSVGKAVAAQAKSGDWEIDRRLLKIGERIASGSCGDLYRGVYFGEDVAIKILRSEQLNGTQEEEFAQEVTILREVQHRNVVRFVGACTKFPHLCIVTEFMHGGSLYDYLHKNHNVLKLPQLLKFAIDVCKGMEYLHQNNIIHRDLKTANLLMDTQNVADFGVARFQNQGGVMTAETGTYRWMAPEVINHQPYDQKADIFSFAIVLWELVTAKIPYDSMTPLQAALGVRQGLRPDLPHSAHPKLLDLMQRCWETVPDRRPSFSEITVELQTLLQESQEIMEAVNGN >Potri.005G190200.4.v4.1 pep chromosome:Pop_tri_v4:5:19783136:19793673:-1 gene:Potri.005G190200.v4.1 transcript:Potri.005G190200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190200.v4.1 MDLTEGVGESSSPPRSFGSVSNYDVRSDVYNRFIESGHEEAVSNPELFRELLDSHFNRLPASYGLDVNMDRIEDVLLHRKLLAMAKDPARRPVYHIRFLENLCTKTEGNGDQQFISMTPFPRPACDIDDGVVPSQKRIRDCTIEGEPLSKLEDLNLDVRKSSKDMEEKYHPEDVSRRQEVSHVPIHEVIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDCYSLDVFVVDGWPIEDTDGLYKAMEEAIARSEGSWSGSSHCHSSVGKAVAAQAKSGDWEIDRRLLKIGERIASGSCGDLYRGVYFGEDVAIKILRSEQLNGTQEEEFAQEVTILREVQHRNVVRFVGACTKFPHLCIVTEFMHGGSLYDYLHKNHNVLKLPQLLKFAIDVCKGMEYLHQNNIIHRDLKTANLLMDTQNVVKVADFGVARFQNQGGVMTAETGTYRWMAPEVINHQPYDQKADIFSFAIVLWELVTAKIPYDSMTPLQAALGVRQGLRPDLPHSAHPKLLDLMQRCWETVPDRRPSFSEITVELQTLLQESQEIMEAVNGN >Potri.005G121975.1.v4.1 pep chromosome:Pop_tri_v4:5:9028269:9028523:-1 gene:Potri.005G121975.v4.1 transcript:Potri.005G121975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121975.v4.1 MFWELVEWQQLIALLLSFFFKAIKAEVSSELVTDFANIIFPFACRIEEFRKLGCQVSISGRKEMRLPPCLADGSASGMEFFSLV >Potri.015G081901.1.v4.1 pep chromosome:Pop_tri_v4:15:10723925:10724419:-1 gene:Potri.015G081901.v4.1 transcript:Potri.015G081901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G081901.v4.1 MADFRQQQQQLRPGGQGTAGIFLPGKGASTSQVLAVVTLLPVGGTLLFLAGLTLVGTLIGLAVATPLFVIFSPALVPAALVIGLGVLGFLTSGAFGVTALSSLSWMASYIRSLIRGPLPQKLDQAKRRTRETAGQVGQKARETGQIVQSKAREVTKGGQEGGKT >Potri.007G062202.1.v4.1 pep chromosome:Pop_tri_v4:7:7046677:7047108:1 gene:Potri.007G062202.v4.1 transcript:Potri.007G062202.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062202.v4.1 MTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLAVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >Potri.010G132200.2.v4.1 pep chromosome:Pop_tri_v4:10:14829510:14836639:-1 gene:Potri.010G132200.v4.1 transcript:Potri.010G132200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132200.v4.1 MPSHILPVTNPNLHSSKQHSYLRIDPTTMPLKQDDPITSTRLVSSPFEDETIISVRPLLSRTPSFAGTTTTSSSASYQQRRRRVASENSLSSLSDESIGQRQSLAREVDRAAPETFLLTRLGLKLLRYMGVGYRWIMRFLALGCYSLMLFPGFIQVGYYYFFSGRVLRSIVYGDQPRNRLDLYLPKNTDGPKPVVAFVTGGAWIIGYKAWGSLLGQQLSERDIMVACIDYRNYPQGTMSNMVEDASGGISFVCNKIAEYGGDPNRVYLMGQSAGAHIAACALVEQAIKEAGEGGSTTWSVLQIKTYFGLSGGYNLFNLVDYFHSRGLYRSIFLSIMEGEESLRRFSPEVIVQDPNLKKAVSLLPPIVLFHGTADYSIPADSSKSFAETLQSVGVRAESILYEGKTHTDLFLQDPMRGGNDRMFEDLVSIIHSDDREAQAKDEVAPPRRRLVPEFMLQLAHRVSPF >Potri.017G038700.1.v4.1 pep chromosome:Pop_tri_v4:17:2512062:2517252:-1 gene:Potri.017G038700.v4.1 transcript:Potri.017G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G038700.v4.1 METKCPVDAKCAAEISAMLTPPSPLQLQEYFEEIISERKCHGIEVKQDGNLSKGVYATMELKEGELILKDKILVGLQHVPNKLDCLVCGYCFQFIESVEYQIGRKLYLQSLGVPSCNGCDEGECSSSSSYNKACLPEGVIEALMNGELVLPYSDKFPLPSTVPCPGGCQEAYYCSKSCAQTDWESSHSLLCTGERSESLSIEALSKFIQHATETNDIFLLAAKTISFTILRYRKLKAANADRSELSLLLEAWKPISMGYKRRWWECISFPDDVDRSDDTAFRMQIQQLAFKSLQLLKAAIFDEECEPLFSLEIYGNIIGMFELNNLDLVVASPVEDYFLYIDDLPDPEKEKAEKIARQLLDALGDDYSICCQGTAFYPLQSCMNHSCCPNAHAFKRDEDRDGQAAIITLKPIRKGEEVTVSYIDEDLPFEDRQALLADYGFKCRCNACLEQDPNKK >Potri.019G047600.2.v4.1 pep chromosome:Pop_tri_v4:19:7242512:7246869:-1 gene:Potri.019G047600.v4.1 transcript:Potri.019G047600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047600.v4.1 MSSKTKSGLSETPPSKPSPATPRVSQLSRGVAKSESDSLSPLQSSRLSVDRSPRSINSKPTIDRRTPKVTRATPPEKPQTRVVKASELQVQLSHLQEDLKKTKEQLELIEKEKAQAIDELKQAKKAAEDANEKLQEAMVAQKRAEENSEIEKFRAVELEQAGIEAAQKKEEEWQKELEDVRSQHALDVTALLSTTQELQRVKQELAMTTDTKNQALSHADDATKIAEIHAEKVEILSVELSQLKVLLDSKLETEANESHKIVLQLKEEIDSLKQQLEKGKGFEDKLMEREAFIEQLNVDLEAAKMAESYARNLVEEWRNRVEELEMQAAEANKLERSASESLGSFMKQLEANNVLLHDAETEMAALKEKVGLLEMTIRRQKGDLEESEHSLGMVKEEALFMEKKVESLMSELETVKEEKAQALNNEKLAASSVQSLLEEKNKIVTELENARDEEAKSKKAMESLASALHEVSAEAREAKERLVSNLVEHENYETQIEDLRLVLKATNEKYETVLDDAKHEIELLKKTVEESKNEFKNSKAMWDQKEENLVNSVRKSEEENISLEKEIDRLVNLQKQTEEEACGMRDEEAHLKDSLKEVEAEVISLQEALGEAKVESMKLKESLLAKENELQNIILENKELRTKEASSLKKVEELSKLLEEAMAKIQTVENAELTDSEKDYDLLPKMIEFSEENGHVREEKPKVEELPPQQTSELKTENAMEQFNGVTNEAVQMDAHKIENVNGKPKEDESKEKEDNSVEVEFKMWESCKIEKEFSPEREMEQESFEEKVDSKVDGGESFDQTNGLSSTENVDDGGSSPTKQQQQKKKKPLLRKFGNLLKKKGTSNQK >Potri.005G076800.4.v4.1 pep chromosome:Pop_tri_v4:5:5192145:5196213:1 gene:Potri.005G076800.v4.1 transcript:Potri.005G076800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076800.v4.1 MGDISPEKKPAGCGLFSVVFGRRSFWPRRSTSTGSIPTVNAANFTRTPSTPRRRRSGSDDAAFLDNSPSVAEGQQKSITKAPMHPKIPPAQNQNYGRRVPKEAVGISGELESMITDHQKSKGSSTLVRASSSNVMLFGNLGNLRQGGGGGNTTSHSVLDYLPKTAREEVVSPNGKYPNSVMGNVVKKQNEEKPNVGAQPASGSLCRALSTRMDPEQLKMMGNEDYKNGNFAEALALYNAAISIDPNKASYRSNRSAALTALGKILEAVFECREAIRIEPHYHRAHHRLANLYLRLGEAEKAIYHYKHAGPEADHVDISKAKALQAHLNKCTEARKHRDWNTLIKETAATISAGADSAPQIFALQAEALIKLHRHQEAEEASMKCPNFDVDACTKFFGPLGNANLLVVRAQVHMALGRFDDALAAVQRATRLDSNNKEANMVLRKAKAVAAARSKGNQLFKAARFYEACNTYSEGLEHDPYNSVLLCNRAACRSKLGQYEKAVEDCNAALTVRPGYSKARLRRADCNAKLEKWEVSVKDYEMLQNEAPGDDEVSRVLMEAKSELKKQRGPDAAA >Potri.005G076800.5.v4.1 pep chromosome:Pop_tri_v4:5:5192287:5196213:1 gene:Potri.005G076800.v4.1 transcript:Potri.005G076800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076800.v4.1 MGDISPEKKPAGCGLFSVVFGRRSFWPRRSTSTGSIPTVNAANFTRTPSTPRRRRSGSDDAAFLDNSPSVAEGQQKSITKAPMHPKIPPAQNQNYGKKLPEEATKISSNQGYANQNQGYSNQNAFVNQGRRVPKEAVGISGELESMITDHQKSKGSSTLVRASSSNVMLFGNLGNLRQGGGGGNTTSHSVLDYLPKTAREEVVSPNGKYPNSVMGNVVKKQNEEKPNVGAQPASGSLCRALSTRMDPEQLKMMGNEDYKNGNFAEALALYNAAISIDPNKASYRSNRSAALTALGKILEAVFECREAIRIEPHYHRAHHRLANLYLRLGEAEKAIYHYKHAGPEADHVDISKAKALQAHLNKCTEARKHRDWNTLIKETAATISAGADSAPQVSRLVIIHHILTPVC >Potri.005G076800.1.v4.1 pep chromosome:Pop_tri_v4:5:5192144:5196321:1 gene:Potri.005G076800.v4.1 transcript:Potri.005G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076800.v4.1 MGDISPEKKPAGCGLFSVVFGRRSFWPRRSTSTGSIPTVNAANFTRTPSTPRRRRSGSDDAAFLDNSPSVAEGQQKSITKAPMHPKIPPAQNQNYGKKLPEEATKISSNQGYANQNQGYSNQNAFVNQGRRVPKEAVGISGELESMITDHQKSKGSSTLVRASSSNVMLFGNLGNLRQGGGGGNTTSHSVLDYLPKTAREEVVSPNGKYPNSVMGNVVKKQNEEKPNVGAQPASGSLCRALSTRMDPEQLKMMGNEDYKNGNFAEALALYNAAISIDPNKASYRSNRSAALTALGKILEAVFECREAIRIEPHYHRAHHRLANLYLRLGEAEKAIYHYKHAGPEADHVDISKAKALQAHLNKCTEARKHRDWNTLIKETAATISAGADSAPQIFALQAEALIKLHRHQEAEEASMKCPNFDVDACTKFFGPLGNANLLVVRAQVHMALGRFDDALAAVQRATRLDSNNKEANMVLRKAKAVAAARSKGNQLFKAARFYEACNTYSEGLEHDPYNSVLLCNRAACRSKLGQYEKAVEDCNAALTVRPGYSKARLRRADCNAKLEKWEVSVKDYEMLQNEAPGDDEVSRVLMEAKSELKKQRGPDAAA >Potri.005G076800.3.v4.1 pep chromosome:Pop_tri_v4:5:5192145:5196213:1 gene:Potri.005G076800.v4.1 transcript:Potri.005G076800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076800.v4.1 MITDHQKSKGSSTLVRASSSNVMLFGNLGNLRQGGGGGNTTSHSVLDYLPKTAREEVVSPNGKYPNSVMGNVVKKQNEEKPNVGAQPASGSLCRALSTRMDPEQLKMMGNEDYKNGNFAEALALYNAAISIDPNKASYRSNRSAALTALGKILEAVFECREAIRIEPHYHRAHHRLANLYLRLGEAEKAIYHYKHAGPEADHVDISKAKALQAHLNKCTEARKHRDWNTLIKETAATISAGADSAPQIFALQAEALIKLHRHQEAEEASMKCPNFDVDACTKFFGPLGNANLLVVRAQVHMALGRFDDALAAVQRATRLDSNNKEANMVLRKAKAVAAARSKGNQLFKAARFYEACNTYSEGLEHDPYNSVLLCNRAACRSKLGQYEKAVEDCNAALTVRPGYSKARLRRADCNAKLEKWEVSVKDYEMLQNEAPGDDEVSRVLMEAKSELKKQRGPDAAA >Potri.010G205100.1.v4.1 pep chromosome:Pop_tri_v4:10:19606050:19608014:1 gene:Potri.010G205100.v4.1 transcript:Potri.010G205100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G205100.v4.1 MGLTKIKHSSHSEHFLLLKYPREPYECNGCKGLGLGPCYECEHEDCSFYLHEECAKASPSAFHSFSKCSLRFHSRAPQEDERFCDACGQDVLGFVYQCKHKKPHDYHPSCLKLQRSLTADDGTRLHLREKLPSKCLNCGSRKTWNGIKGWSYVSSCGQYCYHVACVKDMILKKWKKGYFLQDGNVNETDNYLALQSAIPSRELELSSGKSSSKARNIWRKAKKAIMLIISALFGDPTTLISLLVQQLLSD >Potri.016G098900.3.v4.1 pep chromosome:Pop_tri_v4:16:10001677:10004555:-1 gene:Potri.016G098900.v4.1 transcript:Potri.016G098900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098900.v4.1 MALILNASFSSLLLKNSSLLLSSSSFPNTSASFLRVPTHDPLQSTLSGSTRKIRYFYSCFEKKREFIEAVDESEEVELMDKPKMTLLYSFIPLPLLFTAALPGAATVRSLFGPFVEIVKSLNLPDWLVHWGHPGNMAVVLFAMGGYGTYLGFRIRFSDDVEEKAKAKDLHPKLLGGMFFFFALGATGGITSLLTSDKTIFESPHAVTGLIGLALLTMQTILPALFEGNPGLRNIHGILGSGIMTLFLFHAVLGLQLGLSY >Potri.018G063200.6.v4.1 pep chromosome:Pop_tri_v4:18:7405213:7407268:-1 gene:Potri.018G063200.v4.1 transcript:Potri.018G063200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063200.v4.1 MATAQLTAFSISVSARNLSSFEGLRASNVKFASFKPLVGVGLPLSRRSFQGLVVKAATVVAPKYTSITPLGDRVLVKIKTVEEKTESGILLPSSAQSKPQGGEVVAVGEGKTIGKAKLDISVKTGTQVVYSKYAGTEVEFDGSSHLILKEDDIVGILETDDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTEATKEKPSIGTVIAIGPGPLDEEGNRKALSVSPGNTVLYSKYAGNDFKGSDGAIYIALRASDVMAILS >Potri.018G063200.1.v4.1 pep chromosome:Pop_tri_v4:18:7405087:7407321:-1 gene:Potri.018G063200.v4.1 transcript:Potri.018G063200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063200.v4.1 MATAQLTAFSISVSARNLSSFEGLRASNVKFASFKPLVGVGLPLSRRSFQGLVVKAATVVAPKYTSITPLGDRVLVKIKTVEEKTESGILLPSSAQSKPQGGEVVAVGEGKTIGKAKLDISVKTGTQVVYSKYAGTEVEFDGSSHLILKEDDIVGILETDDIKDLKPLNDRVFIKVAEAEEKTAGGLLLTEATKEKPSIGTVIAIGPGPLDEEGNRKALSVSPGNTVLYSKYAGNDFKGSDGAIYIALRASDVMAILS >Potri.008G165200.1.v4.1 pep chromosome:Pop_tri_v4:8:11390649:11393029:1 gene:Potri.008G165200.v4.1 transcript:Potri.008G165200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165200.v4.1 MAFISFVGRVLFASVFILSAWQEFNEFGSDGGPAAHIMAPKFRSFSKHVSSHTGFQVPHFEMKHAVAAAMSVKAVGSLIFILGSSIGAYLLLLHQLIVTPILYDFYNYDADTKEFNLLFAKFAQNLALFGALLFFIGMKNSIPRRQLKKRSPKPKAL >Potri.009G109700.1.v4.1 pep chromosome:Pop_tri_v4:9:9450846:9452625:1 gene:Potri.009G109700.v4.1 transcript:Potri.009G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109700.v4.1 MNILPSSEETSEFSLKSIPGDYGLPFFGAIRDRLDYFYNQGRDEFFSTRVQKYESTIFKTNMPPGPFIAKNPKVIAVLDAVSFPVLFDTSKVEKYNVLDGTFFPSVSFTGGYRACAYLDPSEPQHTSLKSFFMSTLASKHNDFIPLFRASLSKLFINIEDEIVSQKKANFNKPSEAMSFDFVFRLFGDKDSSDTKVGSEGPAIVNKWLALQLAPLATLGLPKYFKYLEDIFLRTFPLPFIFVKSDYNKLYDAFYASSSSVLDKAESLGIKRDEACHNLVFLAGFNAFGGMKAWFPTLIKWVGTAGEKLHGQLANEIRTVVKEEGGVTFQALDKMILTKSVVFEALRIEPPVPFQYGKARDNIVVHSHDAAFEIKKGEMIFGYQPFATKDPRIFDDPEEFVGHRFVGDGEKLLRYVYWSNGRETEDPTAENKQCPGKDLVLLLSRVLLVEFFLRYDTFTVKTASSLALGSTVTFTSLIKARST >Potri.004G126800.1.v4.1 pep chromosome:Pop_tri_v4:4:12920240:12924301:1 gene:Potri.004G126800.v4.1 transcript:Potri.004G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126800.v4.1 MGSVNAFLNKKLLPFAASFWLSVSTLFLSLFGFFDRTMFRVKSDKSLEAKEPEVEVSEFKGAKEMNELEEKERPSKKEAKEPEVGVSEFMETKEVDELEEKETPKFFFKFQFQTYREEDEPVLLSSVPPTSTNKYEFLSERDFSHYLEKPEVVSLTVKELYAGSNGEFFANKKIMEDGVLSDKDFAEKESESEAESVREEIKEISADSVRDEYDASRDDDAPLLTEKDFILSDSIVSSHEFMSRYVASTSDGFLSHKDFEDVFGLDILKEIDGQTEESTDENLELEYLDLKNLNAGYEADDFDEEDSNIMEEIEKKEEAVQNPAKVEEDTEMLSGKDFEDNNNSSKKEHGCKENEAKDILDMPKSNSQNSSAADSEDSNGLETLWEHQDLIEQLKMELKKVRATGLPTILEEDESPKIMEDLKPWKIDEKFQHEDRMGELHKFYKSYRERMRKFDILNYQKMYAMSFLQSKDPLKSITRREASAPALTSLLSQKFLLSKRKKSSSDPMVNFIRELHNDLEVVYVGQLCLSWEILLWQYEKALELWDSDPYGMRLYNEVAGEFQQFQVLLQRFIENEPFEGPRVQNYIKNRCVLRNLLQVPVIREDSMKDKKARGKGKDGDSITSDMLVEIMEESIRIFWQFVRSDKDAENVISKGRKGTQIEPQDPTELELLTEVRTSLQKKEKRLKDIWRSGNCILKKFQKHQGDNSDQVLCFFSQVDINLVSRVLNMSKVTTDQLLWCHNKLSKINFINRKIHVEHSFLLFPC >Potri.001G175200.1.v4.1 pep chromosome:Pop_tri_v4:1:15066229:15070938:1 gene:Potri.001G175200.v4.1 transcript:Potri.001G175200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175200.v4.1 MEAVLQTKGLLSLPSNPKTRALYPSQGLKQRLFATKPKNFTGFSLSSNGVPKFPTFVSKPSGFSPKDRNLHICRAEAAADGQPLFGEETDKPKFLGIELATLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAVGFMLLYTKLANVLSKQALFYTVIVPFIAFFGAFGFVLYPLSNYIHPEAFADKLLNVLGPRFLGPLAIMRIWTFCLFYVMAELWGSVVISVLFWGFANQITTIDEAKRFYPLFGLGANVALIFSGRTVKYFSNLRRNLGPGVDGWAISLKGMMSIVVLMGLAICLCYWWVNTYVALPTRSKKKKEKPKMTTMESMKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTATGIATFTMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPLVPTLAQFGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVLAWLAAAKSLDTQFTALRQEEELEKEMERVAVKIPVVSQEGGNGSLPSGTALDPTTGDSTSSLSEPSTPHKN >Potri.006G246100.10.v4.1 pep chromosome:Pop_tri_v4:6:24644481:24651868:-1 gene:Potri.006G246100.v4.1 transcript:Potri.006G246100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246100.v4.1 MTKKNKEEERIGKIIRGLLKIPENIRCVNCNSLGPQYVCTTFFTFVCKNCSGIHREFTHRVKSISMAKFNAEEVSALQAGGNERARQIFLKEWNPQRNQLPDSSNQQKVRDFIKHVYVDRRYTGEKSHEKLPRLRLNDKEDSGENRWAVLYSGGSRSPNYEDRHGRSERSGFSGRADDKTIKYYYDERRSPRYSQENSRYGGFMRSPVRFEVVDDRFRDDGIRSSRQSGVHPFAHRESRFGNKLSDIQKDMHQSGSHAPVVRPLKHILGGNIPPLQVGEHSKAPNMKDADGSARNQMPPSSGPMESADGNPVQQISHNSESSVDLNSNSKSSDASAALPAQENLLSSEGGNCSSHDSSGKKNALPAPKQNTLEFLLLELAPSVIPSDKASEIPTNDNPSSAASGENIIMSSGASAAGPSGQMLTLQSSAVASAIASGGNMPAASVSQTVPVEQMSALPCSAGASTAVSGGTMPVGSISPAAPVVQTSTASGISPAVHVEEILTLVDAFDASTIPSNNSLPAQPSNGVPPLAALDNSRDSTFEVLDGQQISTMQQQQPVDSSSAGQQATKTPAGVVNDQGSPDFLGEYLSQDVSKPAQESNSEAQSQPLPSETKSSGRKELPADLFTGTYSPAPDPIPGCQICPPYGMGFNMQYYPNAMPVPAFPNIAKSTNPFDLNGDTTSVQPLPFPSMGNLHGTLPVHTSAMPPHSLSFASAMPYGGYMGQQADTNLPHSRPHGPCDFGSEGVPFGSLNMAQQPTGGYLLPTSSSSLRLKGGNPFG >Potri.006G246100.11.v4.1 pep chromosome:Pop_tri_v4:6:24644515:24651868:-1 gene:Potri.006G246100.v4.1 transcript:Potri.006G246100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246100.v4.1 MTKKNKEEERIGKIIRGLLKIPENIRCVNCNSLGPQYVCTTFFTFVCKNCSGIHREFTHRVKSISMAKFNAEEVSALQAGGNERARQIFLKEWNPQRNQLPDSSNQQKVRDFIKHVYVDRRYTGEKSHEKLPRLRLNDKEDSGENRWAVLYSGGSRSPNYEDRHGRSERSGFSGRADDKTIKYYYDERRSPRYSQENSRYGGFMRSPVRFEVVDDRFRDDGIRSSRQSGVHPFAHRESRFGNKLSDIQKDMHQSGSHAPVVRPLKHILGGNIPPLQVGEHSKAPNMKDADGSARNQMPPSSGPMESADGNPVQQISHNSESSVDLNSNSKSSDASAALPAQENLLSSEGGNCSSHDSSGKKNALPAPKQNTLEFLLLELAPSVIPSDKASEIPTNDNPSSAASGENIIMSSGASAAGPSGQMLTLQSSAVASAIASGGNMPAASVSQTVPVEQMSALPCSAGASTAVSGGTMPVGSISPAAPVVQTSTASGISPAVHVEEILTLVDAFDASTIPSNNSLPAQPSNGVPPLAALDNSRDSTFEVLDGQQISTMQQQQPVDSSSAGQQATKTPAGVVNDQGSPDFLGEYLSQDVSKPAQESNSEAQSQPLPSETKSSGRKELPADLFTGTYSPAPDPIPGCQICPPYGMGFNMQYYPNAMPVPAFPNIAKSTNPFDLNGDTTSVQPLPFPSMGNLHGTLPVHTSAMPPHSLSFASAMPYGGYMGQQADTNLPHSRPHGPCDFGSEGVPFGSLNMAQQPTGGYLLPTSSSSLRLKGGNPFG >Potri.006G246100.7.v4.1 pep chromosome:Pop_tri_v4:6:24645574:24651868:-1 gene:Potri.006G246100.v4.1 transcript:Potri.006G246100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246100.v4.1 MTKKNKEEERIGKIIRGLLKIPENIRCVNCNSLGPQYVCTTFFTFVCKNCSGIHREFTHRVKSISMAKFNAEEVSALQAGGNERARQIFLKEWNPQRNQLPDSSNQQKVRDFIKHVYVDRRYTGEKSHEKLPRLRLNDKEDSGENRWAVLYSGGSRSPNYEDRHGRSERSGFSGRADDKTIKYYYDERRSPRYSQENSRYGGFMRSPVRFEVVDDRFRDDGIRSSRQSGVHPFAHRESRFGNKLSDIQKDMHQSGSHAPVVRPLKHILGGNIPPLQVGEHSKAPNMKDADGSARNQMPPSSGPMESADGNPVQQISHNSESSVDLNSNSKSSDASAALPAQENLLSSEGGNCSSHDSSGKKNALPAPKQNTLEFLLLELAPSVIPSDKASEIPTNDNPSSAASGENIIMSSGASAAGPSGQMLTLQSSAVASAIASGGNMPAASVSQTVPVEQMSALPCSAGASTAVSGGTMPVGSISPAAPVVQTSTASGISPAVHVEEILTLVDAFDASTIPSNNSLPAQPSNGVPPLAALDNSRDSTFEVLDGQQISTMQQQQPVDSSSAGQQATKTPAGVVNDQGSPDFLGEYLSQDVSKPAQESNSEAQSQPLPSETKSSGRKELPADLFTGTYSPAPDPIPGCQICPPYGMGFNMQYYPNAMPVPAFPNIAKSTNPFDLNGDTTSVQPLPFPSMGNLHGTLPVHTSAMPPHSLSFASAMPYGGYMGQQADTNLPHSRPHGPCDFGSEGVPFGSLNMAQQPTGGYLLPTSSSSLRLKGGNPFG >Potri.006G246100.8.v4.1 pep chromosome:Pop_tri_v4:6:24644515:24651868:-1 gene:Potri.006G246100.v4.1 transcript:Potri.006G246100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246100.v4.1 MTKKNKEEERIGKIIRGLLKIPENIRCVNCNSLGPQYVCTTFFTFVCKNCSGIHREFTHRVKSISMAKFNAEEVSALQAGGNERARQIFLKEWNPQRNQLPDSSNQQKVRDFIKHVYVDRRYTGEKSHEKLPRLRLNDKEDSGENRWAVLYSGGSRSPNYEDRHGRSERSGFSGRADDKTIKYYYDERRSPRYSQENSRYGGFMRSPVRFEVVDDRFRDDGIRSSRQSGVHPFAHRESRFGNKLSDIQKDMHQSGSHAPVVRPLKHILGGNIPPLQVGEHSKAPNMKDADGSARNQMPPSSGPMESADGNPVQQISHNSESSVDLNSNSKSSDASAALPAQENLLSSEGGNCSSHDSSGKKNALPAPKQNTLEFLLLELAPSVIPSDKASEIPTNDNPSSAASGENIIMSSGASAAGPSGQMLTLQSSAVASAIASGGNMPAASVSQTVPVEQMSALPCSAGASTAVSGGTMPVGSISPAAPVVQTSTASGISPAVHVEEILTLVDAFDASTIPSNNSLPAQPSNGVPPLAALDNSRDSTFEVLDGQQISTMQQQQPVDSSSAGQQATKTPAGVVNDQLWTSSNVHISQGSPDFLGEYLSQDVSKPAQESNSEAQSQPLPSETKSSGRKELPADLFTGTYSPAPDPIPGCQICPPYGMGFNMQYYPNAMPVPAFPNIAKSTNPFDLNGDTTSVQPLPFPSMGNLHGTLPVHTSAMPPHSLSFASAMPYGGYMGQQADTNLPHSRPHGPCDFGSEGVPFGSLNMAQQPTGGYLLPTSSSSLRLKGGNPFG >Potri.006G246100.9.v4.1 pep chromosome:Pop_tri_v4:6:24644481:24651868:-1 gene:Potri.006G246100.v4.1 transcript:Potri.006G246100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246100.v4.1 MTKKNKEEERIGKIIRGLLKIPENIRCVNCNSLGPQYVCTTFFTFVCKNCSGIHREFTHRVKSISMAKFNAEEVSALQAGGNERARQIFLKEWNPQRNQLPDSSNQQKVRDFIKHVYVDRRYTGEKSHEKLPRLRLNDKEDSGENRWAVLYSGGSRSPNYEDRHGRSERSGFSGRADDKTIKYYYDERRSPRYSQENSRYGGFMRSPVRFEVVDDRFRDDGIRSSRQSGVHPFAHRESRFGNKLSDIQKDMHQSGSHAPVVRPLKHILGGNIPPLQVGEHSKAPNMKDADGSARNQMPPSSGPMESADGNPVQQISHNSESSVDLNSNSKSSDASAALPAQENLLSSEGGNCSSHDSSGKKNALPAPKQNTLEFLLLELAPSVIPSDKASEIPTNDNPSSAASGENIIMSSGASAAGPSGQMLTLQSSAVASAIASGGNMPAASVSQTVPVEQMSALPCSAGASTAVSGGTMPVGSISPAAPVVQTSTASGISPAVHVEEILTLVDAFDASTIPSNNSLPAQPSNGVPPLAALDNSRDSTFEVLDGQQISTMQQQQPVDSSSAGQQATKTPAGVVNDQLWTSSNVHISQGSPDFLGEYLSQDVSKPAQESNSEAQSQPLPSETKSSGRKELPADLFTGTYSPAPDPIPGCQICPPYGMGFNMQYYPNAMPVPAFPNIAKSTNPFDLNGDTTSVQPLPFPSMGNLHGTLPVHTSAMPPHSLSFASAMPYGGYMGQQADTNLPHSRPHGPCDFGSEGVPFGSLNMAQQPTGGYLLPTSSSSLRLKGGNPFG >Potri.006G246100.2.v4.1 pep chromosome:Pop_tri_v4:6:24645574:24651868:-1 gene:Potri.006G246100.v4.1 transcript:Potri.006G246100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246100.v4.1 MTKKNKEEERIGKIIRGLLKIPENIRCVNCNSLGPQYVCTTFFTFVCKNCSGIHREFTHRVKSISMAKFNAEEVSALQAGGNERARQIFLKEWNPQRNQLPDSSNQQKVRDFIKHVYVDRRYTGEKSHEKLPRLRLNDKEDSGENRWAVLYSGGSRSPNYEDRHGRSERSGFSGRADDKTIKYYYDERRSPRYSQENSRYGGFMRSPVRFEVVDDRFRDDGIRSSRQSGVHPFAHRESRFGNKLSDIQKDMHQSGSHAPVVRPLKHILGGNIPPLQVGEHSKAPNMKDADGSARNQMPPSSGPMESADGNPVQQISHNSESSVDLNSNSKSSDASAALPAQENLLSSEGGNCSSHDSSGKKNALPAPKQNTLEFLLLELAPSVIPSDKASEIPTNDNPSSAASGENIIMSSGASAAGPSGQMLTLQSSAVASAIASGGNMPAASVSQTVPVEQMSALPCSAGASTAVSGGTMPVGSISPAAPVVQTSTASGISPAVHVEEILTLVDAFDASTIPSNNSLPAQPSNGVPPLAALDNSRDSTFEVLDGQQISTMQQQQPVDSSSAGQQATKTPAGVVNDQLWTSSNVHISQGSPDFLGEYLSQDVSKPAQESNSEAQSQPLPSETKSSGRKELPADLFTGTYSPAPDPIPGCQICPPYGMGFNMQYYPNAMPVPAFPNIAKSTNPFDLNGDTTSVQPLPFPSMGNLHGTLPVHTSAMPPHSLSFASAMPYGGYMGQQADTNLPHSRPHGPCDFGSEGVPFGSLNMAQQPTGGYLLPTSSSSLRLKGGNPFG >Potri.015G056200.5.v4.1 pep chromosome:Pop_tri_v4:15:7759771:7765994:-1 gene:Potri.015G056200.v4.1 transcript:Potri.015G056200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056200.v4.1 MDFNESPVNYDFFGGLQQISSQHPGMLQSLPRQQSGISDMQLLQQQFMLKQMQEMQRQQQLQKQQEARKLNSMNQVAAFAKQAAANPSQALINGNPIHETSNYSWQPEHMEANTSWPQPGASPVIQGSFRGHVFSPQQNEGTPSLMGMVPQPVDQSLYGVPVPGTRVTPSQYPPVQMDKPSMQQISSSSDSLTSNQYTGFPKQVSVQDGPLVSRLGYQGKNMIASSDGQGINTGFNLENLQLVNPQQSNGPQQEICTKQDLGGLSETSQEETAIQVAPSQDVATLDPTEAKILFGSDDNLWDAFGRGTNRGSGGCNMLDDTDFFCSLPSVQSGSWSALMQSAVAETSSGDTGLQEEWSGLTFINSEPQADNQQTPSVNASSKHQSNWDGNSLQSVSSLNSQPLPLSHDANTGMNYHNIPGAAQQSGVNTSHERMERLQSVSPHRHIQQFPEDGTKWSDTSLMQKAAVEGSHFYGKATHSTDAGSNAKSIPGSWANQQGMQSYSSNGQPLNSPCGWNFMGSVSPRTIVAFKNQGNENTFQDSHNVDKKGPMFEVMGDSAGIWKTNSIAELEHARSAIGNPQVNPLVPPSQQLLIPEHAFSSHGPSLATDSLNSTQVISNTGEKGRALLAPTSSVQSLPPSRDTSHGHLRNTTPGTLVHAGNSAQGKFSTAFPPGFPYSKSHLPNQHMPDTGGRATACESVNESFDRFSSQPKHTEESFERGQLNQSAGPLVPDTSRHTSHNDFASSTEMPQPSDDQNHARDSAQQFPVLEASPAPQRYASSQDGFSSKMPPTLWTSVPTQLHPFGTQSFQTGPNMFKPNIESHNSSGITSSQPQKLDDQIMQNGGSSRAESGECSMKSHGFVGKEQPAKGDHLQQVLPENDRAQKTMSDSHEKESVVNHLTETPASNLTSTQKQIEAFGRSLKPNNILFQNYSLLHQMQGMKNAEVEHVNRSLKRFKSLDGSVDADLVAAQGGQQFYRHNNMVRDAPANHTSTPPGHSKMLSFSAKTADNQDINALSNDMLAFGQNDFQHFTNSNTAVSVRDEHSQMSNQMASSWLDHYETFKNGQILQMNNARKAVTMKPSELPSTSERPYERSHAHNLLEQGNAVAASQFGIIQKSSTENFSTPQSLQPDSADVSLVVMRPKKRKSAISKLVPWHKEVTLGPQRLQNLSAAELVWALAANRLTEKVEDDDEMVDDGLPVHRSKRRLILTTQLMQILLHPPLASILSADAILHYESAAFFVSRSTLGDACSTLSCTGSDTPVPSNSGDLLPEKIKTSEKNRDQYFSKATEDLISRTRKLENDLLRLDKRTSVSDLRVECQDLERFSVINRFAKFHGRGQTDGAESSSSSDASANAHKSCLQRYVTALPMPRFLPDRVQCLSL >Potri.015G056200.4.v4.1 pep chromosome:Pop_tri_v4:15:7759783:7766028:-1 gene:Potri.015G056200.v4.1 transcript:Potri.015G056200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056200.v4.1 MDFNESPVNYDFFGGLQQISSQHPGMLQSLPRQQSGISDMQLLQQQFMLKQMQEMQRQQQLQKQQEARKLNSMNQVAAFAKQAAANPSQALINGNPIHETSNYSWQPEHMEANTSWPQPGASPVIQGSFRGHVFSPQQNEGTPSLMGMVPQPVDQSLYGVPVPGTRVTPSQYPPVQMDKPSMQQISSSSDSLTSNQYTGFPKQVSVQDGPLVSRLGYQGKNMIASSDGQGINTGFNLENLQLVNPQQSNGPQQEICTKQDLGGLSETSQEETAIQVAPSQDVATLDPTEAKILFGSDDNLWDAFGRGTNRGSGGCNMLDDTDFFCSLPSVQSGSWSALMQSAVAETSSGDTGLQEEWSGLTFINSEPQADNQQTPSVNASSKHQSNWDGNSLQSVSSLNSQPLPLSHDANTGMNYHNIPGAAQQSGVNTSHERMERLQSVSPHRHIQQFPEDGTKWSDTSLMQKAAVEGSHFYGKATHSTDAGSNAKSIPGSWANQQGMQSYSSNGQPLNSPCGWNFMGSVSPRTIVAFKNQGNENTFQDSHNVDKKGPMFEVMGDSAGIWKTNSIAELEHARSAIGNPQVNPLVPPSQQLLIPEHAFSSHGPSLATDSLNSTQVISNTGEKGRALLAPTSSVQSLPPSRDTSHGHLRNTTPGTLVHAGNSAQGKFSTAFPPGFPYSKSHLPNQHMPDTGGRATACESVNESFDRFSSQPKHTEESFERGQLNQSAGPLVPDTSRHTSHNDFASSTEMPQPSDDQNHARDSAQQFPVLEASPAPQRYASSQDGFSSKMPPTLWTSVPTQLHPFGTQSFQTGPNMFKPNIESHNSSGITSSQPQKLDDQIMQNGGSSRAESGECSMKSHGFVGKEQPAKGDHLQQVLPENDRAQKTMSDSHEKESVVNHLTETPASNLTSTQKQIEAFGRSLKPNNILFQNYSLLHQMQGMKNAEVEHVNRSLKRFKSLDGSVDADLVAAQGGQQFYRHNNMVRDAPANHTSTPPGHSKMLSFSAKTADNQDINALSNDMLAFGQNDFQHFTNSNTAVSVRDEHSQMSNQMASSWLDHYETFKNGQILQMNNARKAVTMKPSELPSTSERPYERSHAHNLLEQGNAVAASQFGIIQKSSTENFSTPQSLQPDSADVSLVVMRPKKRKSAISKLVPWHKEVTLGPQRLQNLSAAELVWALAANRLTEKVEDDDEMVDDGLPVHRSKRRLILTTQLMQILLHPPLASILSADAILHYESAAFFVSRSTLGDACSTLSCTGSDTPVPSNSGDLLPEKIKTSEKNRDQYFSKATEDLISRTRKLENDLLRLDKRTSVSDLRVECQDLERFSVINRFAKFHGRGQTDGAESSSSSDASANAHKSCLQRYVTALPMPRFLPDRVQCLSL >Potri.019G020900.15.v4.1 pep chromosome:Pop_tri_v4:19:3281055:3285671:-1 gene:Potri.019G020900.v4.1 transcript:Potri.019G020900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020900.v4.1 MIRNPASQQASPLSSGNGSVGHLVSSSSRFTNDTSVSAVSPQGKQSHNSPFISQSLRDGGNFPPTHYSHSEGQSTAFINHSDDNKGLSWPIDPLQEFINFVENVPVQNGQVESTAGVIASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNMKDSKQKHQTAHSGEVCAVTNPLLAAPPTKSRMRWTPELHEAFVEAVNQLGGSERATPKGVLKQMNVEGLTIYHVKSHLQKYRTARYKPESSEGTSEKKLSPIEEMKSLDLKTSMEISEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQEMFEKQKKMEGDRSKAPPPSQNDPSLLQSKLEQSPANDKLETSDLDCVKTRFDTCNASALLEESSQSINRKQKAPEDRNCQVVDKNEEKTSLAPVKRPRTDEATALSAEPASN >Potri.019G020900.16.v4.1 pep chromosome:Pop_tri_v4:19:3281054:3285653:-1 gene:Potri.019G020900.v4.1 transcript:Potri.019G020900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020900.v4.1 MIRNPASQQASPLSSGNGSVGHLVSSSSRFTNDTSVSAVSPQGKQSHNSPFISQSLRDGGNFPPTHYSHSEGQSTAFINHSDDNKGLSWPIDPLQEFINFVENVPVQNGQVESTAGVIASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNMKDSKQKHQTAHSGEVCAVTNPLLAAPPTKSRMRWTPELHEAFVEAVNQLGGSERATPKGVLKQMNVEGLTIYHVKSHLQKYRTARYKPESSEGTSEKKLSPIEEMKSLDLKTSMEISEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQEMFEKQKKMEGDRSKAPPPSQNDPSLLQSKLEQSPANDKLETSDLDCVKTRFDTCNASALLEESSQSINRKQKAPEDRNCQVVDKNEEKTSLAPVKRPRTDEATALSAEPASN >Potri.019G020900.7.v4.1 pep chromosome:Pop_tri_v4:19:3280854:3285697:-1 gene:Potri.019G020900.v4.1 transcript:Potri.019G020900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020900.v4.1 MIRNPASQQASPLSSGNGSVGHLVSSSSRFTNDTSVSAVSPQGKQSHNSPFISQSLRDGGNFPPTHYSHSEGQSTAFINHSDDNKGLSWPIDPLQEFINFVENVPVQNGQVESTAGVIASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNMKDSKQKMLSPNNSVQQPLIHQHQTAHSGEVCAVTNPLLAAPPTKSRMRWTPELHEAFVEAVNQLGGSERATPKGVLKQMNVEGLTIYHVKSHLQKYRTARYKPESSEGTSEKKLSPIEEMKSLDLKTSMEISEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQEMFEKQKKMEGDRSKAPPPSQNDPSLLQSKLEQSPANDKLETSDLDCVKTRFDTCNASALLEESSQSINRKQKAPEDRNCQVVDKNEEKTSLAPVKRPRTDEATALSAEPASN >Potri.019G020900.13.v4.1 pep chromosome:Pop_tri_v4:19:3281102:3285857:-1 gene:Potri.019G020900.v4.1 transcript:Potri.019G020900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020900.v4.1 MIRNPASQQASPLSSGNGSVGHLVSSSSRFTNDTSVSAVSPQGKQSHNSPFISQSLRDGGNFPPTHYSHSEGQSTAFINHSDDNKGLSWPIDPLQEFINFVENVPVQNGQVESTAGVIASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNMKDSKQKMLSPNNSVQQPLIHQHQTAHSGEVCAVTNPLLAAPPTKSRMRWTPELHEAFVEAVNQLGGSERATPKGVLKQMNVEGLTIYHVKSHLQKYRTARYKPESSEGTSEKKLSPIEEMKSLDLKTSMEISEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQEMFEKQKKMEGDRSKAPPPSQNDPSLLQSKLEQSPANDKLETSDLDCVKTRFDTCNASALLEESSQSINRKQKAPEDRNCQVVDKNEEKTSLAPVKRPRTDEATALSAEPASN >Potri.019G020900.14.v4.1 pep chromosome:Pop_tri_v4:19:3281084:3285673:-1 gene:Potri.019G020900.v4.1 transcript:Potri.019G020900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020900.v4.1 MIRNPASQQASPLSSGNGSVGHLVSSSSRFTNDTSVSAVSPQGKQSHNSPFISQSLRDGGNFPPTHYSHSEGQSTAFINHSDDNKGLSWPIDPLQEFINFVENVPVQNGQVESTAGVIASEDHAKRTDWQEWADQLISVDDELEPNWSEILNDVNMKDSKQKHQTAHSGEVCAVTNPLLAAPPTKSRMRWTPELHEAFVEAVNQLGGSERATPKGVLKQMNVEGLTIYHVKSHLQKYRTARYKPESSEGTSEKKLSPIEEMKSLDLKTSMEISEALRLQMEVQKQLHEQLEIQRNLQLRIEEQGRYLQEMFEKQKKMEGDRSKAPPPSQNDPSLLQSKLEQSPANDKLETSDLDCVKTRFDTCNASALLEESSQSINRKQKAPEDRNCQVVDKNEEKTSLAPVKRPRTDEATALSAEPASN >Potri.009G071100.1.v4.1 pep chromosome:Pop_tri_v4:9:7011505:7014270:-1 gene:Potri.009G071100.v4.1 transcript:Potri.009G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071100.v4.1 MGYAVFPCFHQSSRSSVVKLIFWEGTTRILTGSNKHIAGEIMFQNPDKMVCHADSFFIGHPVPSLAIDDELMPGQTYFVLPLDRFACNVLSASSLAAFSSSPKRTPINFGESPFQYIKGAGGRVMIKVVPEFITRLINRGGDQDQTGSTSPGNSFLCSTPELKKHYEQLVGSKEQTWSPKLETISEYKIRYSPCRFTRLKWKQNEKA >Potri.018G088074.1.v4.1 pep chromosome:Pop_tri_v4:18:10625397:10633235:1 gene:Potri.018G088074.v4.1 transcript:Potri.018G088074.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088074.v4.1 MSEITSIVLLIHFLTLFLLLHANSQFHDQEQAVLLRLKQHWQNPLSLEQWTPSNSSHCTWPGVVCTDNYITQLILDNKNISGTIPPFLSDLKNLTFLNFSNNNIIGKFPVAVHNLSKLEILDLSQNYIVGTIPDDIDCLARLLYLNLCVNNFTGSIPAAIGRIPELRTLYLHDNLFDGTFPPEIGNLSKLEELYMAHNGFSPSRLHSSFTQLKKLKMLWISGANLIGEIPQMIGEMVALEHLDLSSNKLTGNIPGSLFMLMNLRVLWLYKNKLSGEIPRVVEALNLTSVDLSENNLIGTIPVDFGKLDKLSGLSLFSNQLSGEIPEGIGRLPALKDFKLFSNNLSGSIPPDLGRYSALERFEVCSNRLTGNLPEYLCHGGSLRGVVAFDNKLGGELPKSLENCSSLLAVRISNNAFFGNIPVGLWTALNLQQLKISDNLFTGELPNEVSTSLSRLEISNNKFSGSISIEGNSWRNLEVFNASNNQFTGTIPLELTALPNMTVLLLDKNQLTGALPSDIISLKSLTTLNLSQNQLSGHIPEKFGFLPDLVKLDLSDNQFSGKIPPQLGSLKLVFLNLSSNNLMGQIPTEFENVAYATSFLNNPGLCTRRSSLHLKVCNSRPQKSSKTSTQFLALILSSLFAAFLLALLFAFIMIRVHWKRNHRLDSEWKFINFHKLNFTESNIVSGLKESNLIGSGGSGKVYRVAANGFGDVAVKRISNNRNSDQKLEKEFLAEIEILGTIRHLNIVKLLCCISNDNSKLLVYEYMEKRSLDQWLHSERKAKSASASVNHVALDWSKRLQIAVGAAQGLC >Potri.004G206000.4.v4.1 pep chromosome:Pop_tri_v4:4:21369970:21374165:-1 gene:Potri.004G206000.v4.1 transcript:Potri.004G206000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206000.v4.1 MSPVKLQRQTARKDRRVLRTSVLIQQDKGAADSQTEATAFIWSKSLDTSIKGKYSIWRRDFDSPNSDSTLKLMRDQIIMAKAYANIAKSNNVTTLYNSLMKQSRESQLAIGEAMSDAELHPSALVQAKAMGHVLSIAKDQLYECPTMSRKLRAMLQLNEENVNALKKKSAFLIQLAAKTIPKPLHCLPLQLAADYFLYGYQNKKYLDKEKVQDPSLFHYAIFSDNVLATSVVINSTVQHAKDPQKHVFHIVTDKLNFAAMKMWFIVNPPAKATVQVENIDDFKWLNASYCSVLRQLESARIKEYYFKANHPSSLASGADNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSIDLQGMVNGAVETCKESFHRFDKYLNFSNPKIYNNFDPNACGWAFGMNMFDLKQWKRSNITGIYHHWQDLNEDRTLWKLGSLPPGLITFYNLTYPLDRSWHVLGLGYDPALNQTEIENAAVVHYNGNYKPWLDLAVAKYKPYWSRYVQYDNPYLQQCNIVEE >Potri.004G206000.1.v4.1 pep chromosome:Pop_tri_v4:4:21369883:21374184:-1 gene:Potri.004G206000.v4.1 transcript:Potri.004G206000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206000.v4.1 MEEQRRRRRRRFWTSSSLALLLIFFMVVRADLSDYNPTLQREPKAHHRRLHQEHDASSVAGHGVQSDEMDINIIATYSDTSGAVRTSRVKMSDLSPSWVLENPADKNHDQPKTSQFQRLEDSSKAGATHEDDVLHSARDHQYGEGGIPSSWKLPMSPVKLQRQTARKDRRVLRTSVLIQQDKGAADSQTEATAFIWSKSLDTSIKGKYSIWRRDFDSPNSDSTLKLMRDQIIMAKAYANIAKSNNVTTLYNSLMKQSRESQLAIGEAMSDAELHPSALVQAKAMGHVLSIAKDQLYECPTMSRKLRAMLQLNEENVNALKKKSAFLIQLAAKTIPKPLHCLPLQLAADYFLYGYQNKKYLDKEKVQDPSLFHYAIFSDNVLATSVVINSTVQHAKDPQKHVFHIVTDKLNFAAMKMWFIVNPPAKATVQVENIDDFKWLNASYCSVLRQLESARIKEYYFKANHPSSLASGADNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTPLWSIDLQGMVNGAVETCKESFHRFDKYLNFSNPKIYNNFDPNACGWAFGMNMFDLKQWKRSNITGIYHHWQDLNEDRTLWKLGSLPPGLITFYNLTYPLDRSWHVLGLGYDPALNQTEIENAAVVHYNGNYKPWLDLAVAKYKPYWSRYVQYDNPYLQQCNIVEE >Potri.001G409800.3.v4.1 pep chromosome:Pop_tri_v4:1:44325524:44329218:1 gene:Potri.001G409800.v4.1 transcript:Potri.001G409800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409800.v4.1 MSALAAAAAAPRGGLLRLLSTTSTLSFSFPFPQTTQQTPAREQAEPNTNLFVSGLSKRTTTEGLQEAFSKFGEVVQAKVVTDRTSGYSKGFGFVRYGTLEDAAEGIKGMDGQFLDGWVIFAEYARPKQPPSQPQNNMGPGYGN >Potri.001G409800.1.v4.1 pep chromosome:Pop_tri_v4:1:44325455:44328925:1 gene:Potri.001G409800.v4.1 transcript:Potri.001G409800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409800.v4.1 MAMRATMSALAAAAAAPRGGLLRLLSTTSTLSFSFPFPQTTQQTPAREQAEPNTNLFVSGLSKRTTTEGLQEAFSKFGEVVQAKVVTDRTSGYSKGFGFVRYGTLEDAAEGIKGMDGQFLDGWVIFAEYARPKQPPSQPQNNMGPGYGN >Potri.008G124300.1.v4.1 pep chromosome:Pop_tri_v4:8:8070590:8073688:1 gene:Potri.008G124300.v4.1 transcript:Potri.008G124300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124300.v4.1 MVTLFVDMFSLSLLVLVLFNSYSLVTSLNSEGYALLSFKQSINEDPEGSLSNWNSSDDNPCSWNGVTCKDLKVMSLSIPKKKLYGFLPSALGSLSDLRHINLRNNRFFGPLPAELFQAQGLQSLVLYGNSFSGSLPNQIGKLKYLQTLDLSQNFFNGSIPTSIVQCRRHRVLDLSQNNFTGSLPVGFGTGLVSLEKLDLSFNKFNGSIPSDMGNLSSLQGTADLSHNLFTGSIPASLGNLPEKVYIDLTYNNLSGPIPQNGALMNRGPTAFIGNPGLCGPPLKNPCPSDTAGASAPSAIPFLPNNSPPQDSDNSGRKSEKGRGLSKSAVVAIIVSDVIGICLVGLLFSYCYSRACPRRKDKDENDNGFEKGGKRRKGCLRFRKDESETLSENVEQCDLVPLDAQVAFDLDELLKASAFVLGKGGIGIAYKVVLEDGYTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNVVTLRAYYWSVDEKLLIYDYIPNGSLDTALHGKPGMVSFTPLSWSVRLTIIKGIARGLVYLHEFSTKKYVHGDLKPSNVLLGQNMEPHISDFGLGRLATIAGGSPTRESNRSTLEKPQERQQKGEPSSEVATVSSTNLVSYYQAPEALKVLKPSQKWDVYSCGVILLEMITGRSPVVCVGTSEMDLVHWIQLCIEEQKPLVDVLDPYLAPDVDKEEEEIVAVLKIAMACVHSNPERRPTMRHVSDVFNRLVISSA >Potri.019G004200.1.v4.1 pep chromosome:Pop_tri_v4:19:980811:982682:-1 gene:Potri.019G004200.v4.1 transcript:Potri.019G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G004200.v4.1 MGSCSLLSLTLCFLVLFNCCFAQIEQVSSRHGQQQQGQRRSQRSECQIDRINALEPARKIRSEAGVTEIWDENDDQFQCAGVVVIRHTINNRGLLLPAYSNTPKLIYVEQGRGIQGAVFPGCPETFQSSGNSSQDRRESSEDQHQKVRQVREGDVVALPSGVADWFYNNGDSPLVLVQLLDTSNPANQLDQDFREFFLAGNPRQESQSQRSSYQRGQYEGQHGRQYDDESRREQHERSRNVFSGFNERILAEAFNIDTKLARRMQNENDNRGIIVQAQHELQVISPRQSQEEEERQQESRRSTRRHHEDNGVEETFCTARLKLNINDPEDADVFNPRAGRLTAVNSLNLPILRHVQLSAERGVLYANALMSPHWNINAHSIMYITGGNGRIQIVGDNGQAVFDGQVRKGQVVTAPQNFAVVMKAGSQGLEWVSFKTNDNAQISQLAGRVSTIRALPDEVVANSFQISREDARRLKNNRDEVSVLSASRQSKYERD >Potri.016G081600.1.v4.1 pep chromosome:Pop_tri_v4:16:6294413:6295338:-1 gene:Potri.016G081600.v4.1 transcript:Potri.016G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G081600.v4.1 MSSVCISNCVNDTRDPRVPVRANYVNLYKWSESDAELIKSVRRVAGHGLHGHPRVVDSISCRQLYLRSYTFSRKESMPEKTKKCFGRVKEKVANHGKKRKDQIKGGRKRKCLVFRKAKEVSCSALFRIFHRLLSCTATVDVVD >Potri.008G056500.1.v4.1 pep chromosome:Pop_tri_v4:8:3329355:3333375:1 gene:Potri.008G056500.v4.1 transcript:Potri.008G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056500.v4.1 MIATSMSLLQHVCSVPIARAMRPRSVTIISSRSLSTTTSSSSTTSRASQVDPHVLLGMSEPELQQLATDLGQQSYRGKQLHHLIYQRKVKEIQDFSQLPLVFRNDLQEAGWKVGRSPIFQTVTAADGTVKLLIRLEDNRLIETVGIPVEDEKGSMRLTACVSSQVGCPLRCSFCATGKGGFSRNLQRHEIVEQVLAVEEIFKHRVTNVVFMGMGEPMLNLKSVLEAHRCLNKDVQIGQRMITISTVGVPNTIKKLASHKLQSTLALSLHAPNQKLRETIVPSAKSYPLDAIMKDCKEYFLETSRRVSFEYALLAGVNDRVEHAKELAELLHQWGRGHHVNLIPFNPIQGSDYKRPHKKAIQAFAAVLESRKVTVSVRQTRGLDASAACGQLRNEFQKSPLVINSDSLESQPENVAVAC >Potri.018G110700.3.v4.1 pep chromosome:Pop_tri_v4:18:12843951:12845381:1 gene:Potri.018G110700.v4.1 transcript:Potri.018G110700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110700.v4.1 MKITALLVLKVNPEGSDPVILANACDVSHFGYFQRSSVREFIVFVGRTVAKRTPPGQRQSVQHEEYKVHAYNRNGLCALGFMDDHYPVRSSFSLLDKVIEEYQKNFGESWRTAQADSSQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILVSSC >Potri.018G110700.1.v4.1 pep chromosome:Pop_tri_v4:18:12843859:12847974:1 gene:Potri.018G110700.v4.1 transcript:Potri.018G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110700.v4.1 MKITALLVLKVNPEGSDPVILANACDVSHFGYFQRSSVREFIVFVGRTVAKRTPPGQRQSVQHEEYKVHAYNRNGLCALGFMDDHYPVRSSFSLLDKVIEEYQKNFGESWRTAQADSSQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >Potri.017G095200.3.v4.1 pep chromosome:Pop_tri_v4:17:10796968:10799300:1 gene:Potri.017G095200.v4.1 transcript:Potri.017G095200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095200.v4.1 MGRGVSAGGGQSSLGYLFGNGEPANNSPVAKNVGNSASISPSPKPASASPPIDKQTPAGIHGNLTNNYYRADGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGGSGN >Potri.017G095200.4.v4.1 pep chromosome:Pop_tri_v4:17:10797123:10799300:1 gene:Potri.017G095200.v4.1 transcript:Potri.017G095200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095200.v4.1 MGRGVSAGGGQSSLGYLFGNGEPANNSPVAKNVGNSASISPSPKPASASPPIDKQTPAGIHGNLTNNYYRADGQNCGNFITDRPSTKVHAAPGGGSSLGYLFGGSGN >Potri.014G068500.1.v4.1 pep chromosome:Pop_tri_v4:14:4327877:4331116:-1 gene:Potri.014G068500.v4.1 transcript:Potri.014G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068500.v4.1 MGRKDWLAMRSSSWNGWRRCLVFFLLVLFILLNLFYVLELHQNPAAQPPPKKMNTKFDHLVLGPAAGQGLPNRLQCQGTKALNKTHTRSSSNAGESVSFVTVFTVYNTSLADSRLSNFVTVGNASYTKMERSMAVLNVFVNFIKVTMPRSNVVILTDPASDLSLFGNSVTVYPIQGDYSRDKLMLQRIRSYITFLETRLEELAQNPGHINHYIFTDSDIAVVDDLGHLFNDHPNFHLALTFRNNKEQPLNSGFIAVRGTTDAILRAKIFLQEVLKVYSSKFMSASRMLGDQLALAWAIKSHPGFDLRRFTKAQAFLENIGGASVLFLPCATYNWTPPEGAGQFHGMPLDVKVVHFKGSRKRLMLESWNFLSSSSDIFDMLCLVLLSGRTKYDF >Potri.014G164400.2.v4.1 pep chromosome:Pop_tri_v4:14:11866931:11870555:-1 gene:Potri.014G164400.v4.1 transcript:Potri.014G164400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164400.v4.1 MQASKQLRGSGMSSRFLYQPMQEVEAYCKPQSRTLDRQIYSSGSTQGTPFTIPNSHEQYCTLESSSANGSYAAYNSPSTVSFSPNGSPLSQQESQSYSLEPRHSPDNASGSPLSGSCITDDAHDFSHKLRELETVMFGPDSDIIDSIENALESGTNIESLEMDSWRQIMDVISRGDLKQVLIACAKAVSDNDLLMAQCLMDKLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSICKGLRCKEPASAEMLSYMHILYEVCAYFKFGYMSANGAIAEAMKDENRVHIIDFQIGQGSQWISLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLSIVGKRLSKLAESFKVPFEFHAAAMSGCEVQIENLGVRRGEALAVNFAFVLHHMPDESVSTQNHRDRVLRLVKSMSPKVVTLVEQESNTNTAAFFPRFIETLNYYTAMFESIDVTLPRDHKERINVEQHCLARDVVNIIACEGTERVERHELLGKWRSRFTMAGFTPYPLSTLVNATIKTLLENYSDRYRLQERDGALYLGWMNRDLVASCAWK >Potri.014G164400.1.v4.1 pep chromosome:Pop_tri_v4:14:11866592:11870727:-1 gene:Potri.014G164400.v4.1 transcript:Potri.014G164400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164400.v4.1 MQASKQLRGSGMSSRFLYQPMQEVEAYCKPQSRTLDRQIYSSGSTQGTPFTIPNSHEQYCTLESSSANGSYAAYNSPSTVSFSPNGSPLSQQESQSYSLEPRHSPDNASGSPLSGSCITDDAHDFSHKLRELETVMFGPDSDIIDSIENALESGTNIESLEMDSWRQIMDVISRGDLKQVLIACAKAVSDNDLLMAQCLMDKLRQMVSVSGEPIQRLGAYMLEGLVARLASSGSSICKGLRCKEPASAEMLSYMHILYEVCAYFKFGYMSANGAIAEAMKDENRVHIIDFQIGQGSQWISLIQAFAARPGGPPHIRITGIDDSTSAYARGGGLSIVGKRLSKLAESFKVPFEFHAAAMSGCEVQIENLGVRRGEALAVNFAFVLHHMPDESVSTQNHRDRVLRLVKSMSPKVVTLVEQESNTNTAAFFPRFIETLNYYTAMFESIDVTLPRDHKERINVEQHCLARDVVNIIACEGTERVERHELLGKWRSRFTMAGFTPYPLSTLVNATIKTLLENYSDRYRLQERDGALYLGWMNRDLVASCAWK >Potri.001G444000.9.v4.1 pep chromosome:Pop_tri_v4:1:46950116:46956410:1 gene:Potri.001G444000.v4.1 transcript:Potri.001G444000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444000.v4.1 MVEPVGRQFRYMFCFNNFAKEFKEQKEHLVSVKDRLQDAVRVAERNAEEIYKDVIKWLEDANNGIEGAKPLENDIEKNGKCFTWCPNCMRQFKLSMALAKKSETFRKLQETSTKFTTVSCKAPLPEIKFLPSKEFTLSGSSEEAFKQIMKVLKDDKINMIRLYGMGGVGKTTLVKQVARRAKELQLFDEVVMATLSQNPNVTGIQDQMADSLGLKFDENSEGGRAGRLWQRLQGKKMLIVLDDVWKDIDFQEIGIPFGDDHRGCKILLTTRNQELCSYLACQQKVLLSPFTEIEAWALFKSNAGLSDEDSDLNRVAKEVAIKCRGLPLALAAVGRALKGKSKNEWKLASKNLKTSQSRHMENVDDRSNPYACLKLSYDYLKHDETKLCFLLCCLFEEDDDISIEGLTRLAVGYGLHQDVESIEDTREQVYAEMKALKDRCMLLGTEIEENVKMHDLFREVAIEIATKEYGFMVSAGNGWKSVNNSVTTISLMGNKLAELPEELVCPQLKVLLLELDDDLNVPERFFEGMKAIEVLSLKGGCLSLQSLQFSTNLQSLLLIECKCKDLISLRKLQRLKILVFRWCDSIEELPDEIGELKELRLLDLTSCENLRRIPVNLIGRLKKLEELLIGDFSFKGWDVVGCDSTEGMNASLTELNSLSHLAVLSLKIPKVECIPRDFVFPRLLKYDIVLGDWYSELDKEYPTSTRLYLGDISATSLNAKTFEQLFPTVSHICFWRVEGLRNIVLSSDQMTTHGHGSQKDFLQRLEYVKVKQCGDIRTLFPAKWRQALKNLRRVKTYDCKSLEEVFEFDEAEEGINKEKELPLLSSLTTLRLSDLPELKYIWKGPTRHVSLQSLIYLKLSSLNKLTFIFTPSLAQSLIHLETLEIAHCDELKHLIREQDDEMRTESLGFPKLKTLSIRFCGKLEYVFPVSVSPSLQNLEEMEIDFADNLKQVFYSGEGDDVIVKSKIKDGIIDFPQLRKLSLFSVSSCSFFGPKDFAAQLPSLQELTIDGLEEWGNLLAQLRGLTSLETLELSFLLVPDLRCIWKGLVPCNLTTLKVKKCERLTHVFTNSMIASLIQLKILEISNCEELEQIIAKDNDDEKDQILSGSDLQSSYFPNLCQLEIRGCNKLKSLFPVTMASGLKKLQILEVRESSQLLGVFGQDNHASPANIEKEMVLTDLQELLLVQLPNISRFSLGCYDFLFPHLKKLEVDGCPKLTTESATTSNDSMSAQSKGFMNLKELSIANLEGVQDLTQVGCLVSNRRGGHELSLVSLETLRLKLLPDLRCIWKVLVPCNLTTLKVKKCERLTHVFTDSMIASLVQLKVLEISACEELEQIVAKDNDDEKDQIFSGSDLQSACFSNLCRLEIRGCNKLKSLFPVAMASGLKKLKILEVSESSQLLGVFGQDDHASPVNIEKEMVLPDLKLLILEKLPSIVYFSHGCCDFIFPCLSILVVRHCPKLSTRFATTSNDLMSAQSKVSQVVEDSSTGCSVPTSTAIRWTREYGWELKEEEDGVR >Potri.001G444000.10.v4.1 pep chromosome:Pop_tri_v4:1:46950398:46956419:1 gene:Potri.001G444000.v4.1 transcript:Potri.001G444000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444000.v4.1 MRQFKLSMALAKKSETFRKLQETSTKFTTVSCKAPLPEIKFLPSKEFTLSGSSEEAFKQIMKVLKDDKINMIRLYGMGGVGKTTLVKQVARRAKELQLFDEVVMATLSQNPNVTGIQDQMADSLGLKFDENSEGGRAGRLWQRLQGKKMLIVLDDVWKDIDFQEIGIPFGDDHRGCKILLTTRNQELCSYLACQQKVLLSPFTEIEAWALFKSNAGLSDEDSDLNRVAKEVAIKCRGLPLALAAVGRALKGKSKNEWKLASKNLKTSQSRHMENVDDRSNPYACLKLSYDYLKHDETKLCFLLCCLFEEDDDISIEGLTRLAVGYGLHQDVESIEDTREQVYAEMKALKDRCMLLGTEIEENVKMHDLFREVAIEIATKEYGFMVSAGNGWKSVNNSVTTISLMGNKLAELPEELVCPQLKVLLLELDDDLNVPERFFEGMKAIEVLSLKGGCLSLQSLQFSTNLQSLLLIECKCKDLISLRKLQRLKILVFRWCDSIEELPDEIGELKELRLLDLTSCENLRRIPVNLIGRLKKLEELLIGDFSFKGWDVVGCDSTEGMNASLTELNSLSHLAVLSLKIPKVECIPRDFVFPRLLKYDIVLGDWYSELDKEYPTSTRLYLGDISATSLNAKTFEQLFPTVSHICFWRVEGLRNIVLSSDQMTTHGHGSQKDFLQRLEYVKVKQCGDIRTLFPAKWRQALKNLRRVKTYDCKSLEEVFEFDEAEEGINKEKELPLLSSLTTLRLSDLPELKYIWKGPTRHVSLQSLIYLKLSSLNKLTFIFTPSLAQSLIHLETLEIAHCDELKHLIREQDDEMRTESLGFPKLKTLSIRFCGKLEYVFPVSVSPSLQNLEEMEIDFADNLKQVFYSGEGDDVIVKSKIKDGIIDFPQLRKLSLFSVSSCSFFGPKDFAAQLPSLQELTIDGLEEWGNLLAQLRGLTSLETLELSFLLVPDLRCIWKGLVPCNLTTLKVKKCERLTHVFTNSMIASLIQLKILEISNCEELEQIIAKDNDDEKDQILSGSDLQSSYFPNLCQLEIRGCNKLKSLFPVTMASGLKKLQILEVRESSQLLGVFGQDNHASPANIEKEMVLTDLQELLLVQLPNISRFSLGCYDFLFPHLKKLEVDGCPKLTTESATTSNDSMSAQSKGFMNLKELSIANLEGVQDLTQVGCLVSNRRGGHELSLVSLETLRLKLLPDLRCIWKVLVPCNLTTLKVKKCERLTHVFTDSMIASLVQLKVLEISACEELEQIVAKDNDDEKDQIFSGSDLQSACFSNLCRLEIRGCNKLKSLFPVAMASGLKKLKILEVSESSQLLGVFGQDDHASPVNIEKEMVLPDLKLLILEKLPSIVYFSHGCCDFIFPCLSILVVRHCPKLSTRFATTSNDLMSAQSKVSQVVEDSSTGCSVPTSTAIRWTREYGWELKEEEDGVR >Potri.001G444000.7.v4.1 pep chromosome:Pop_tri_v4:1:46949324:46956384:1 gene:Potri.001G444000.v4.1 transcript:Potri.001G444000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444000.v4.1 MAIECAAGYIISKIADLMVEPVGRQFRYMFCFNNFAKEFKEQKEHLVSVKDRLQDAVRVAERNAEEIYKDVIKWLEDANNGIEGAKPLENDIEKNGKCFTWCPNCMRQFKLSMALAKKSETFRKLQETSTKFTTVSCKAPLPEIKFLPSKEFTLSGSSEEAFKQIMKVLKDDKINMIRLYGMGGVGKTTLVKQVARRAKELQLFDEVVMATLSQNPNVTGIQDQMADSLGLKFDENSEGGRAGRLWQRLQGKKMLIVLDDVWKDIDFQEIGIPFGDDHRGCKILLTTRNQELCSYLACQQKVLLSPFTEIEAWALFKSNAGLSDEDSDLNRVAKEVAIKCRGLPLALAAVGRALKGKSKNEWKLASKNLKTSQSRHMENVDDRSNPYACLKLSYDYLKHDETKLCFLLCCLFEEDDDISIEGLTRLAVGYGLHQDVESIEDTREQVYAEMKALKDRCMLLGTEIEENVKMHDLFREVAIEIATKEYGFMVSAGNGWKSVNNSVTTISLMGNKLAELPEELVCPQLKVLLLELDDDLNVPERFFEGMKAIEVLSLKGGCLSLQSLQFSTNLQSLLLIECKCKDLISLRKLQRLKILVFRWCDSIEELPDEIGELKELRLLDLTSCENLRRIPVNLIGRLKKLEELLIGDFSFKGWDVVGCDSTEGMNASLTELNSLSHLAVLSLKIPKVECIPRDFVFPRLLKYDIVLGDWYSELDKEYPTSTRLYLGDISATSLNAKTFEQLFPTVSHICFWRVEGLRNIVLSSDQMTTHGHGSQKDFLQRLEYVKVKQCGDIRTLFPAKWRQALKNLRRVKTYDCKSLEEVFEFDEAEEGINKEKELPLLSSLTTLRLSDLPELKYIWKGPTRHVSLQSLIYLKLSSLNKLTFIFTPSLAQSLIHLETLEIAHCDELKHLIREQDDEMRTESLGFPKLKTLSIRFCGKLEYVFPVSVSPSLQNLEEMEIDFADNLKQVFYSGEGDDVIVKSKIKDGIIDFPQLRKLSLFSVSSCSFFGPKDFAAQLPSLQELTIDGLEEWGNLLAQLRGLTSLETLELSFLLVPDLRCIWKGLVPCNLTTLKVKKCERLTHVFTNSMIASLIQLKILEISNCEELEQIIAKDNDDEKDQILSGSDLQSSYFPNLCQLEIRGCNKLKSLFPVTMASGLKKLQILEVRESSQLLGVFGQDNHASPANIEKEMVLTDLQELLLVQLPNISRFSLGCYDFLFPHLKKLEVDGCPKLTTESATTSNDSMSAQSKGFMNLKELSIANLEGVQDLTQVGCLVSNRRGGHELSLVSLETLRLKLLPDLRCIWKVLVPCNLTTLKVKKCERLTHVFTDSMIASLVQLKVLEISACEELEQIVAKDNDDEKDQIFSGSDLQSACFSNLCRLEIRGCNKLKSLFPVAMASGLKKLKILEVSESSQLLGVFGQDDHASPVNIEKEMVLPDLKLLILEKLPSIVYFSHGCCDFIFPCLSILVVRHCPKLSTRFATTSNDLMSAQSKVSQVVEDSSTGCSVPTSTAIRWTREYGWELKEEEDGVR >Potri.018G051900.2.v4.1 pep chromosome:Pop_tri_v4:18:5066401:5073145:1 gene:Potri.018G051900.v4.1 transcript:Potri.018G051900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G051900.v4.1 MYGQGSFNPQSGGQGSQTPIAPSYSHRPPPLPHNFQLGPPPHTGQPSLPPPQHLLAPGGTANAAQSCLHSSTTVRGIAPFQHVYAPAQHSSYLASGPPPPPPFGLHRLEMLQAPLPPRGLPPPPPPPPPSQGQRFYNSLPQQLAGVQGLQHIPPPPPPPLLPTSSFSSSAPFGSFAESNGANPQMLSMASLPPPPPPPPTSSAPLIPPSPPQSNSHMLPVSAPVLAGSYPLLHSDIASNSSIPSGDKLGTTGSVNEAIPLNKGGHSVPVHDGNLYLEGGSGTEVNCKLDEAKNFSQPSHLMVAAGSHMSADSDSDMEMEDDITQSDNDQAANHPIGDLTHCNHLIAGDSDIREQLRELQSSAQSDAATLVLSVPGSSRLDNGQQVSEVHSPVINSAGADEKSSSRAMSAAGCENSDKYSSEVLKGGSPFRLLQDNASEDSSENNDEPCLKNTIPQTASKLVTVGSEDLQQQQPSYCLSHFASDNVYGLSGKIGSSSVFPPDILDSNQLSCLPNFAVSKNSTLPNTYPASFELPLNSKFSSDVLRQEKVASYTSMYDQSSSWNHISLDGQDAIVRSRQPISSPSSARDVAQIIPRSGSDQYDPFLDSIEPASNSFKRLDHIQKWESNNTDIMPRLSGSDKSLDVEENNKKEVRGIALSTSLDNEEFGETADAEVGDVEDQSQSNPVVVNVNMGDMEIDQVKSPGKSKNSKDSRSMKLFKVALADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSKVKIDHYIDSSQWKLTKLVMGYVDKYAKG >Potri.006G266800.1.v4.1 pep chromosome:Pop_tri_v4:6:26175444:26176913:1 gene:Potri.006G266800.v4.1 transcript:Potri.006G266800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266800.v4.1 MNSVCSCSRPMIAAAKSMDINMSCLRRGVVIVPHGTSNSSSRRVCGVVRASMLDSYESSSDIAKRMEQAWLISQQPRPVSCTSCDSNGHVECQWCRGTGFFILGDNMLCQVPSRNTTCVICAGKGSMRCSDCKGTGFRAKWLGEPLISK >Potri.003G102700.1.v4.1 pep chromosome:Pop_tri_v4:3:12707195:12710933:-1 gene:Potri.003G102700.v4.1 transcript:Potri.003G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102700.v4.1 MNLTEGAISKITSGGATSAELKPTLQVTDLKQVQTKQPQQSDRFRLVLSDGSHLQQAMLGTQINHLVKDGNLRIGSIVQLNQYTCTTVQGRMIIIILELALVAEDCELIGNPVSAQRSSGPSPSPVAQPGNVNASPKSFGTNSVTGGVVGNQNMSAAPVQSPEINQFHNTPPSSNYGPGRFAPTNAPPLYPKVEATAGSVPSSGLYVNQNAGYLNPRPEISQPHMGSYSRPPQSAYQQPPPMYSNRGPVARNEAPPRIIPITALNPYQGRWTIKARVTAKGELRHYNNTRGDGKVFSFDLLDSDGGEIRVTCFNQVADQFYHQIEAGRVYLISKGNLKPAQKNFNHLRHDLEIFLESTSTIQPCFEDDNTIPKQQFHFRPISDVEDMENNSVVDVIGVVTSIAPTTSLMRKNGTETQKRTLQLKDMSGRSVELTLWGNFCNAEGQRLQHICDSGGFPVLAVKSGRISEFSGKAVGTISTSQLFIEPDSPEANRLKEWFDRDGRNTPSLSISRETSTLGRSDVMKTISQIKDERLGTSEKPDWITVPATVIYVKSDNFCYTACPIMSGERPCNKKVTNNGDGKWRCEKCDQSVDECDYRYILQFQIQDHTGISWVTAFQECGEEIMGISAKDLHYLKHEQQDDEGFSKVLRQVLFSRYVFKLKVKEETFSDEQRVKSTVVKSEKVNYSSQSRLLLDMMEKFKSGNDTSFACKAESSYHNPGVNNAVIGNFGSRQAGPPGSNPVGSTSYTGNVGLPANQLGQYGNQQNPMFPMQSSSGAYRSCNSCGATSHSSANCPSMVNAPGQSMGGSYANRTSQGGTGECYKCHQVGHWARDCPGLSSSGKMGGISKQHVGGF >Potri.003G116200.1.v4.1 pep chromosome:Pop_tri_v4:3:13826647:13828832:1 gene:Potri.003G116200.v4.1 transcript:Potri.003G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116200.v4.1 MPESHVRRKVAAKGGRKTRPPHPSPSPSTRTPPPRRSVKPSKPIKILKRCSSEPMMGWGSGTEDSIDQQKQRRSFLFYESDGGRGVLFRPHTCTDVFLSSPSLIMPTNFSPRSSFDQGYKKDAKVVVNVTVEGSPGPVRTMVKLGSSVDDTIKLVVDKYSEEGRTPKLDKDAALTYELHHSHFSLQSLDKSELIGDVGSRSFYLRRSSSNRSSNGGSTSSIAETVSGGANLPPTPIQGPPFLLPEFLACKWGKIVRRARKLWRVLVCWK >Potri.003G116200.3.v4.1 pep chromosome:Pop_tri_v4:3:13826647:13828832:1 gene:Potri.003G116200.v4.1 transcript:Potri.003G116200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116200.v4.1 MPESHVRRKVAAKGGRKTRPPHPSPSPSTRTPPPRRSVKPSKPIKILKRCSSEPMMGWGSGTEDSIDQQKQRRSFLFYESDGGRGVLFRPHTCTDVFLSSPSLIMPTNFSPRSSFDQGYKKDAKVVVNVTVEGSPGPVRTMVKLGSSVDDTIKLVVDKYSEEGRTPKLDKDAALTYELHHSHFSLQSKSRFR >Potri.003G116200.2.v4.1 pep chromosome:Pop_tri_v4:3:13826647:13828832:1 gene:Potri.003G116200.v4.1 transcript:Potri.003G116200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116200.v4.1 MPESHVRRKVAAKGGRKTRPPHPSPSPSTRTPPPRRSVKPSKPIKILKRCSSEPMMGWGSGTEDSIDQQKQRRSFLFYESDGGRGVLFRPHTCTDVFLSSPSLIMPTNFSPRSSFDQGYKKDAKVVVNVTVEGSPGPVRTMVKLGSSVDDTIKLVVDKYSEEGRTPKLDKDAALTYELHHSHFSLQSKSRFEL >Potri.004G030700.1.v4.1 pep chromosome:Pop_tri_v4:4:2393428:2396036:-1 gene:Potri.004G030700.v4.1 transcript:Potri.004G030700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030700.v4.1 MREINTITIILHHILRKNSLLIQIFSSSMASQLTKKTACVIGGTGFVASLLVKLLLEKGYAVNTTVRDPDNQKKVAHLIALQNLGDLNIFGADLTDEESFNAPIAGCELVFHVATPVNFASEDPENDMIKPAIQGVHNVLKACAKAKTVKRVILTSSAAALSINKLNGTGLIMDEKNWTDVEFLTSEKPPTWGYPASKTLAEKAAWKFAEENNIDLITVIPSLMTGPSLTLDIPSSVHLSMSLITGNEFLKNALKGMQMLSGSISITHVEDVCRAHIFLAEKESASGRYICCAVNTSVVELAEFLNKRYPQYQVPTDFGDFPSKAKLAITSEKLISEGFSFKYGIEEVYDQTVEYFKAKGLLN >Potri.004G197000.2.v4.1 pep chromosome:Pop_tri_v4:4:20901007:20906580:-1 gene:Potri.004G197000.v4.1 transcript:Potri.004G197000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197000.v4.1 MKPIRLPEPPSPTMGVPEIFENGAYSVIRRAVVIGNGFPGSENQSLGLVRALGLYDKHVLFRVTRPRGGINEWLHWLPISLHKLLYYIIRRIYSYLRLIASRRRRLSPLPSEKGRNVGLSSILEADSKRIVNMARESYEKDGPLLVVASGRDTISVASSIKRLASEKVFLVQIQHPRSDLSRFDLVVTPHHDYYPLTPQAQEQVPRFLQRWITPREPPDEHVVLTVGALHQIDFAALRSAASTWHDEFAPLPKPLLVVNIGGPSCHCRYGTDLAKQLCTFVTNVLVSCGSVRIFFSNRTPEKVSNIIIKELANNPKVYIWDGEEPNPYMGHLAWGDAFVVTADSVSMISEACSTGKPVYVMGSERCTWKLADFHKSLSERGVVRPFTGSEDISESWSYPPLNDTAEVARRVHEVLAERGLRVRP >Potri.005G063500.1.v4.1 pep chromosome:Pop_tri_v4:5:4083961:4087729:1 gene:Potri.005G063500.v4.1 transcript:Potri.005G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063500.v4.1 MDSSSNAPEFDYLFKLLMIGDSGVGKSSLLLSFTSDTFEDLSPTIGVDFKVKFVNIGGKNLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRDTFTNLSEIWAKEIDLYSTNQDCIKMLVGNKVDKESDRAVTKKEGINFAREYGCLFIECSAKTRVNVQQCFEELVLKILDTPSLLAEGSKGVKKNIFSEKRPQPDASTSSCC >Potri.010G237500.7.v4.1 pep chromosome:Pop_tri_v4:10:21729245:21734937:1 gene:Potri.010G237500.v4.1 transcript:Potri.010G237500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237500.v4.1 MEGSVVVDDLGAPESWEVADLDETMSRLLLNKDSKQQKQQPQDQLARSGSGSLGPGEKVTDDVINQVDQFLREALQNPRERLSILRMEQDVEKFIRDPNQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVRKTSDCSLPLIRLADIPLSLPSEDGDVIKVAIKQRPQKRSQTANNSNSNSTKSNSSKSVEERKEEYNRARARIFNSSSSSSGSTGKPEGEPRLQDGSQHGSFGISKSEEKSAPAISDLNSGRGLIESSTSSGRSARTRMEKEPIGRYRPNNRVAIFRDREVERKDPDFDRSYDRYMQRFDPGFGFNGGGPYAIQPMYTPALNYNTEFPQLGSAHRPQISTEQQPRPHPQHVPGPWVAPSTPAGIGYGHPDTHIPPFNPNHVGARSASAIYLHSSQYPCQHPGMPFIHPHEHVQPFSQSHQQQPDASFGLARPR >Potri.002G150800.1.v4.1 pep chromosome:Pop_tri_v4:2:11516871:11520488:1 gene:Potri.002G150800.v4.1 transcript:Potri.002G150800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150800.v4.1 MPSRHLRSLSEPPPPPLHHHIRLLPPLLAGTLTLILIFLIVLVVLLYRKITRNRTAPITRSPNHHHHHQCRCYSYSLLRRATSSFSPSNRLGHGGFGSVYKATLPNTNQHLAVKLMDQNGSLQGEREFHNELSIASCLDSPNIVSLLGYSCSRKKKLVLVYELMENRSLQEALFDRKCEELMNWKVRFELVIGVAKGLEYLHHFCSPPVIHGDIKPGNILLDSCFNAKIGDFGLARLKIEESNGFLEKKEGFGEDNGSILEETESVASGCGESGILDVGGVVRSPESFGVRVLDSDASPEMFSVVSPEVGVDKGSISEAGFDKMSVDSGRDLIGGGKKSGSRRDWWWKQDNGGGSESGRVKDYVMEWIGSEINKERPKQEWNAASPISSDNKLLSKKSLKSEPKKHKKKLEWWASLDEERMKKKEKNRKPREWWKEEFCEELTKKKKKRGLSSSNSGDLWWQKDDDGVQERKKKRKSKGSRGSMDRWLDGFSGEFRNGRRNSQDWASGEIPKSGGISSTPSMRGTVCYIAPEYGGGSLLSEKCDVYSFGVLLLVVVSGRRPLQVTASPMSEFERANLISWARQLAYNGKLLDIVDTSVHSLDKDQALLCITIGLLCLQKSPSKRPTMKEIVGMLSGEAEPPHLPFEFSPSPPSNFPFKSRRKAR >Potri.004G071450.1.v4.1 pep chromosome:Pop_tri_v4:4:5999751:6012143:-1 gene:Potri.004G071450.v4.1 transcript:Potri.004G071450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G071450.v4.1 MAVRLKCKSVGEIEYVAEVFQNLKDSVEQNNLINDIISSLHSKCENSGTVELQIQADPKLNLSEEVEASQIPSVAFSKSSFSAFTNVGLNLIEKIQNNRVETDEPHEQEVREQNATVELDVDGNKQVGETHDTQQSKRINKRKKNDTQQTKYTPKPRKTTSVVWEFFSKAQKNGEVHAKCQVCNKVLCAKSRNGTTRLHRHGCFKDWKNDEKQQSVSNVESSAKRTQKTRLHQRLELVLLHKQRGES >Potri.002G001000.1.v4.1 pep chromosome:Pop_tri_v4:2:74822:85208:1 gene:Potri.002G001000.v4.1 transcript:Potri.002G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001000.v4.1 MVSSTPRFQEEDDYFSFSSRKRLKISDFQRQEQQDAYISTGNCDDHTFTVMSSAEECSFNGSSSIPEMSCKSNGNSEGMPNTGGASYGGENCSGHSPPAFVSGWMYLNENGQMCGPYIQQQLYEGLSTGFLPEDLPVYPIANGILINPVPLNYFKQFPDHVSTGFTYLCLGTSGTTMPTNHPTDLAAHRQEGVQYAAPVSAHPDIESISDSRVRNHTYSFNQPISNSEAADYVTPVSLVSGEDSCWLFKDDDGRKHGPHSLLELYSWYQYGYLKDSLMIYHAQNKFRPLPLLSIMNAWRMDKPESFSMTDATTETGSSQSFISVISEEVSSQLHSGILKAARRFALDEIICNVISEFVRTKRAERYLMLDNQAAKTCSVDGKMSQSASERMIFSTPECDAAACNYISDQTWADELSVQLPRSTKSVGNADDFWGSYAVICRCLSDYCMEVMWNAVFYDTIAEYTISWRKSKLWFHHPYLCMKIEELPSETYFSGQESPASSVDCPPGFELLKTKSDHTVPSSITSSCAHVGQEPCEQNSLSFKDCPDDDMKCILESVAYELHKSTKVSLLEYVEILVKEKVKKLVNFSEDKRLNEEIFDFSIPSSQASEYGSIEMKDEKMIDSNQIPAEIMFSSKPQSSLQVQKSFFPFQSENEISNFLAIAFKRLRPSVVNAIDDENIDGPPPPGFKDTALFPSAINKFRPSKSLKLTPKVGAYVTIAMCMQKLHDDVLNVWKSIFVDEILHRSPRLCCSSEKHTEPGINEEGAFKFTEGSNKFHSPDSSVLSLVSGKYTYHRKRKLVGKKLGSSSHSTTTVDSGLLKQPVEKSRKQDVLSDVSENVVVQPVKTPKKKGQASSVDAKPLKATIAESSVNARPLKATIAESSVNVGPSKAAVKSTLKRDQSLPKNISRRKVMKIARAVNDDKDAKDSIKTSRDVVGLIDCNGRDAGIKKSGTTECSKKTLNSTKVSNSKRKSTVDGGSVSHPMKILKVENDVNKQAATGQVMARKTKSDHVFLCTATKVSKLKRKSTVNGGSVSHPMKILKVENGANKQTATGQFTARKTKSSKSRMLIPCPRSDGCARSSINGWEWHAWSVKASPAERARVRGVRCIHAKYSGSEAYASQLSNGKVLSARTNRVKLRNLLAAAEGVDLLKATQLKARKKRLCFQRSKIHDWGLVALESIEAEDFVIEYVGELIRPQISDIRERLYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRYIAAGEEITYNYKFPLEDKKIPCNCGSRKCRGSLN >Potri.010G036801.2.v4.1 pep chromosome:Pop_tri_v4:10:6616299:6617457:1 gene:Potri.010G036801.v4.1 transcript:Potri.010G036801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036801.v4.1 MDGELVTIPEVLLVSSSGRKMLLLGKGTTTSPLEGSQETKTAVLQVIEIGYRHFDTAKLYLTEEPLGEAIAEALSRGFIKSRDELFITSKLWCSDAHAELVLPALKRSLRDLQLEYLDLYLIHWPVSSRSGTYEFPINKEDFLPMDFKSVWEAMKNAKIWV >Potri.010G036801.3.v4.1 pep chromosome:Pop_tri_v4:10:6616299:6617457:1 gene:Potri.010G036801.v4.1 transcript:Potri.010G036801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036801.v4.1 MDGELVTIPEVLLVSSSGRKMLLLGKGTTTSPLEGSQETKTAVLQVIEIGYRHFDTAKLYLTEEPLGEAIAEALSRGFIKSRDELFITSKLWCSDAHAELVLPALKRSLRDLQLEYLDLYLIHWPVSSRSGTYEFPINKEDFLPMDFKSVWEAMKNAKIWV >Potri.010G036801.4.v4.1 pep chromosome:Pop_tri_v4:10:6616299:6617457:1 gene:Potri.010G036801.v4.1 transcript:Potri.010G036801.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036801.v4.1 MDGELVTIPEVLLVSSSGRKMLLLGKGTTTSPLEGSQETKTAVLQVIEIGYRHFDTAKLYLTEEPLGEAIAEALSRGFIKSRDELFITSKLWCSDAHAELVLPALKRSLRDLQLEYLDLYLIHWPVSSRSGTYEFPINKEDFLPMDFKSVWEAMKNAKIWV >Potri.010G036801.1.v4.1 pep chromosome:Pop_tri_v4:10:6616299:6617457:1 gene:Potri.010G036801.v4.1 transcript:Potri.010G036801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036801.v4.1 MDGELVTIPEVLLVSSSGRKMLLLGKGTTTSPLEGSQETKTAVLQVIEIGYRHFDTAKLYLTEEPLGEAIAEALSRGFIKSRDELFITSKLWCSDAHAELVLPALKRSLRDLQLEYLDLYLIHWPVSSRSGTYEFPINKEDFLPMDFKSVWEAMKNAKIWV >Potri.001G261100.1.v4.1 pep chromosome:Pop_tri_v4:1:27746737:27751207:1 gene:Potri.001G261100.v4.1 transcript:Potri.001G261100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261100.v4.1 MESNPCLPLIFFLIISCSVAQIEAGMRQSEALGHLYKAKLEGNSKVDSALFQATEHVDISKFHHQEGLKEKDRIESLPGQPKVEFSQYGGYVTVDKSAGRALYYYFVEAQHSKESSPLLLWLNGGPGCSSLAYGAMQELGPFRVYSDGKKLYKNRYSWNYAANVLFLESPAGVGFSYSNTTSDYEKSGDKRTAEDNYVFLVNWLERFSEYKDREFYISGESYAGHYVPELAHTILYHNKKAKKAIINLKGILIGNAVINPETDRIGMYDYLGSHAIISDVLVHKVRTHCNFSFNATPQSDECNEAVDEVRKDTHHIDIYNIYAPSCFYKSTTAKPKKPSLVNFDPCSDYYVYAYLNRPDVQEAMHANVTKLTHDWEPCSDVITSWSDSPSTIIPLLQELMANGLRVWIFSGDTDARVPVTSTQYSIDKMKLQVKTEWHPWYLKGEVGGYTQVYRGDLTFATVRGAGHQVPTYQPLRALSLIKHFLHGTSLPDTTRY >Potri.001G261100.2.v4.1 pep chromosome:Pop_tri_v4:1:27746737:27751207:1 gene:Potri.001G261100.v4.1 transcript:Potri.001G261100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261100.v4.1 MESNPCLPLIFFLIISCSVAQIEAGMRQSEALGHLYKAKLEGNSKVDSALFQATEHVDISKFHHQEGLKEKDRIESLPGQPKVEFSQYGGYVTVDKSAGRALYYYFVEAQHSKESSPLLLWLNGGPGCSSLAYGAMQELGPFRVYSDAANVLFLESPAGVGFSYSNTTSDYEKSGDKRTAEDNYVFLVNWLERFSEYKDREFYISGESYAGHYVPELAHTILYHNKKAKKAIINLKGILIGNAVINPETDRIGMYDYLGSHAIISDVLVHKVRTHCNFSFNATPQSDECNEAVDEVRKDTHHIDIYNIYAPSCFYKSTTAKPKKPSLVNFDPCSDYYVYAYLNRPDVQEAMHANVTKLTHDWEPCSDVITSWSDSPSTIIPLLQELMANGLRVWIFSGDTDARVPVTSTQYSIDKMKLQVKTEWHPWYLKGEVGGYTQVYRGDLTFATVRGAGHQVPTYQPLRALSLIKHFLHGTSLPDTTRY >Potri.005G215500.3.v4.1 pep chromosome:Pop_tri_v4:5:21883363:21887619:1 gene:Potri.005G215500.v4.1 transcript:Potri.005G215500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215500.v4.1 MATSISQCYYNIPKTFAQLQTLNKTHVANPSLKIPKFSQKIKNSPLKETCNQGSLKEAFVSFSALFTDCGNSLLINPDEVYAPILELCATKRALLQGQQIHAHLIKSNLVSEFMFLSAKLVYMYGKCGSILDADKVFDKMHDRTIFTWNAMMGANVSNGEPLRALELFREMRVLGVPFDSFTFPCVLKACGVVEDIHRGAEIHGLIIKCGYDSIVFVANSLVSMYAKCNDILGARKLFDRMNERNDVVSWNSIISAYSLNGQCMEALGLFREMQKAGVGANTYTLVAALQACEDSSFKKLGMEIHAAILKSNQVLDVYVANALVAMHVRFGKMSYAARIFDELDEKDNITWNSMIAGFTQNGLYNEALQFFCGLQDANLKPDEVSLISILAASGRLGYLLNGKEIHAYAMKNWLDSNLRIGNTLIDMYSKCCCVAYAGLVFDKMINKDLISWTTVIAAYAQNNCHTEALKLLRKVQTKGMDVDTMMIGSTLLACSGLRCLSHAKEVHGYTLKRGLSDLMMQNMIIDVYADCGNINYATRMFESIKCKDVVSWTSMISCYVHNGLANEALGVFYLMKETSVEPDSITLVSILSAAASLSALNKGKEIHGFIFRKGFMLEGSTVNSLVDMYACCGSLENAYKVFICTRSKSLVLWTTMINAYGMHGRGKAAVELFSIMEDQKLIPDHITFLALLYACSHSGLINEGKRLLETMKCKYQLEPWPEHYACLVDLLGRANHLEEAYHFVKSMQIEPTAEVWCAFLGACRIHSNKKLGEIAAQKLLDLDPDSPGSYVLISNVFAASGRWKDVEEVRMRMKGGGLKKNPGCSWIEVGNKVHTFLVRDKSHPESYKIYQKLAQITEKLEKEGGYVPQTKLVLHNVGKEEKVQMLYGHSERLAIAYGLMSTSEGTPIRITKNLRVCVDCHTFCKLVSKFFERELIVRDASRFHHFEDGVCSCGDFW >Potri.005G215500.2.v4.1 pep chromosome:Pop_tri_v4:5:21883363:21887619:1 gene:Potri.005G215500.v4.1 transcript:Potri.005G215500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215500.v4.1 MATSISQCYYNIPKTFAQLQTLNKTHVANPSLKIPKFSQKIKNSPLKETCNQGSLKEAFVSFSALFTDCGNSLLINPDEVYAPILELCATKRALLQGQQIHAHLIKSNLVSEFMFLSAKLVYMYGKCGSILDADKVFDKMHDRTIFTWNAMMGANVSNGEPLRALELFREMRVLGVPFDSFTFPCVLKACGVVEDIHRGAEIHGLIIKCGYDSIVFVANSLVSMYAKCNDILGARKLFDRMNERNDVVSWNSIISAYSLNGQCMEALGLFREMQKAGVGANTYTLVAALQACEDSSFKKLGMEIHAAILKSNQVLDVYVANALVAMHVRFGKMSYAARIFDELDEKDNITWNSMIAGFTQNGLYNEALQFFCGLQDANLKPDEVSLISILAASGRLGYLLNGKEIHAYAMKNWLDSNLRIGNTLIDMYSKCCCVAYAGLVFDKMINKDLISWTTVIAAYAQNNCHTEALKLLRKVQTKGMDVDTMMIGSTLLACSGLRCLSHAKEVHGYTLKRGLSDLMMQNMIIDVYADCGNINYATRMFESIKCKDVVSWTSMISCYVHNGLANEALGVFYLMKETSVEPDSITLVSILSAAASLSALNKGKEIHGFIFRKGFMLEGSTVNSLVDMYACCGSLENAYKVFICTRSKSLVLWTTMINAYGMHGRGKAAVELFSIMEDQKLIPDHITFLALLYACSHSGLINEGKRLLETMKCKYQLEPWPEHYACLVDLLGRANHLEEAYHFVKSMQIEPTAEVWCAFLGACRIHSNKKLGEIAAQKLLDLDPDSPGSYVLISNVFAASGRWKDVEEVRMRMKGGGLKKNPGCSWIEVGNKVHTFLVRDKSHPESYKIYQKLAQITEKLEKEGGYVPQTKLVLHNVGKEEKVQMLYGHSERLAIAYGLMSTSEGTPIRITKNLRVCVDCHTFCKLVSKFFERELIVRDASRFHHFEDGVCSCGDFW >Potri.005G215500.4.v4.1 pep chromosome:Pop_tri_v4:5:21883363:21887619:1 gene:Potri.005G215500.v4.1 transcript:Potri.005G215500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215500.v4.1 MATSISQCYYNIPKTFAQLQTLNKTHVANPSLKIPKFSQKIKNSPLKETCNQGSLKEAFVSFSALFTDCGNSLLINPDEVYAPILELCATKRALLQGQQIHAHLIKSNLVSEFMFLSAKLVYMYGKCGSILDADKVFDKMHDRTIFTWNAMMGANVSNGEPLRALELFREMRVLGVPFDSFTFPCVLKACGVVEDIHRGAEIHGLIIKCGYDSIVFVANSLVSMYAKCNDILGARKLFDRMNERNDVVSWNSIISAYSLNGQCMEALGLFREMQKAGVGANTYTLVAALQACEDSSFKKLGMEIHAAILKSNQVLDVYVANALVAMHVRFGKMSYAARIFDELDEKDNITWNSMIAGFTQNGLYNEALQFFCGLQDANLKPDEVSLISILAASGRLGYLLNGKEIHAYAMKNWLDSNLRIGNTLIDMYSKCCCVAYAGLVFDKMINKDLISWTTVIAAYAQNNCHTEALKLLRKVQTKGMDVDTMMIGSTLLACSGLRCLSHAKEVHGYTLKRGLSDLMMQNMIIDVYADCGNINYATRMFESIKCKDVVSWTSMISCYVHNGLANEALGVFYLMKETSVEPDSITLVSILSAAASLSALNKGKEIHGFIFRKGFMLEGSTVNSLVDMYACCGSLENAYKVFICTRSKSLVLWTTMINAYGMHGRGKAAVELFSIMEDQKLIPDHITFLALLYACSHSGLINEGKRLLETMKCKYQLEPWPEHYACLVDLLGRANHLEEAYHFVKSMQIEPTAEVWCAFLGACRIHSNKKLGEIAAQKLLDLDPDSPGSYVLISNVFAASGRWKDVEEVRMRMKGGGLKKNPGCSWIEVGNKVHTFLVRDKSHPESYKIYQKLAQITEKLEKEGGYVPQTKLVLHNVGKEEKVQMLYGHSERLAIAYGLMSTSEGTPIRITKNLRVCVDCHTFCKLVSKFFERELIVRDASRFHHFEDGVCSCGDFW >Potri.007G131800.1.v4.1 pep chromosome:Pop_tri_v4:7:14528686:14530697:-1 gene:Potri.007G131800.v4.1 transcript:Potri.007G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131800.v4.1 MPKQIHEIKDFLLTARRKDARSVKIKRSKDAVKFKVRCSKYLYTLCVFDTEKADKLKQSLPPGLSVQDL >Potri.006G034300.3.v4.1 pep chromosome:Pop_tri_v4:6:2158129:2160343:1 gene:Potri.006G034300.v4.1 transcript:Potri.006G034300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034300.v4.1 MATSYRVQVSHGIHERIRVSAGWPQPHPSKSVSLISLEESFKMATASLTPIFSSPLKTSRTTTLTTTTTSLDQHRNQVVLRRQILKGLVLSPLILIKAPPSSEAREIEVGSYLPPSPTDPSFVLFKASSKDTPALRAGNVQPYQFILPPSWKQTRVANILSGNYCQPKCAEPWVEVKFEDEKQGKVQVVASPLIRLTNKPNATIEEIGNPEKLIASLGPFVTGNSYDPDELLETKIEKFGDQTYYKYMLETPFALTGTHNLAKATAKGSTVVLFVASANDKQWQASEKTLKAILDSFQI >Potri.016G116800.1.v4.1 pep chromosome:Pop_tri_v4:16:12125893:12126696:1 gene:Potri.016G116800.v4.1 transcript:Potri.016G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116800.v4.1 MARFQVIAVAIFITIMLLLSIGMSTAIPKPIQGRKLFQYNFNNGTYAPMDNPGTPGTPSGYP >Potri.012G096800.1.v4.1 pep chromosome:Pop_tri_v4:12:12075416:12077305:-1 gene:Potri.012G096800.v4.1 transcript:Potri.012G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096800.v4.1 MFIFLIIFCNLGFYLLSFFFSVLQEFYASQTKPPTTHGPPSYPIIGCLISFYKNRRCLLDWYTNLLSVSPTQTIVVQRLGARRIIVTANPENVEYVLKTNFINFPKGKPFTEILGDLLGCGIFNADGELWSTQRKLASHEFSTKSLREFVVMTLQEEVENRLIPLLEEAVEAKSVLDLQDLLRRFSFDIVCRVSLGTDPSCLDFSRPIPPLVKAFDAASEISAMRGMAPVYAVWKAKKLFNLGAEKKLKEAIKLVHDSVSEIVKTKKRVLENDREGKLESDLLSRLLLAGHGEEVVRDMVISFIMAGRDTTSAAMTWLFWLLSKHRNSEKMIVKEVKSLLDDREKAIDYEVLKGMNFLKASLCESMRLYPPVPWDSKHAIIDDVLPDGTSVRKGDRVTYFPYGMGRMEKLWGKDRFEFRPDRWFQEIGNDQTLKSVSPYTFPVFQAGPRVCLGKEMAMIQMKYVMASVLRRFEIKPVFDNEPVFAPLLTAHMVGGLKVMVKRRNGNGDI >Potri.002G048200.6.v4.1 pep chromosome:Pop_tri_v4:2:3204063:3207413:-1 gene:Potri.002G048200.v4.1 transcript:Potri.002G048200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048200.v4.1 MNPYLTVKQEYAGSSLLPLSGGDEPPTMMLPPQPMEGLHDTGPPPFLTKTFDMVDDPMTNHIVSWSRGGFSFVVWDPYSFSANLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQKQLLRNIKRRKAASQPLSQQQAPDACVEVSRFGLDGEIDLLKRDRHVLMMELAKLRQQQQKARSYIQAMEQRLQGTEQKQQQMMQFLARAMQNPAFLLQLVQQKGKRKELEEAMTKKRRIPVDQRPSRSGGGESNRIDESANPIKAEALEYGDYGFEVSELEALALEMQGYGRARREQEDGVEELEPLESGDRELDEGFWEELLNESAGGGEDEDVNTLAERLGYLRSSPKYRN >Potri.002G048200.4.v4.1 pep chromosome:Pop_tri_v4:2:3204063:3207417:-1 gene:Potri.002G048200.v4.1 transcript:Potri.002G048200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048200.v4.1 MNPYLTVKQEYAGSSLLPLSGGDEPPTMMLPPQPMEGLHDTGPPPFLTKTFDMVDDPMTNHIVSWSRGGFSFVVWDPYSFSANLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQKQLLRNIKRRKAASQPLSQQQAPDACVEVSRFGLDGEIDLLKRDRHVLMMELAKLRQQQQKARSYIQAMEQRLQGTEQKQQQMMQFLARAMQNPAFLLQLVQQKGKRKELEEAMTKKRRIPVDQRPSRSGGGESNRIDESANPIKAEALEYGDYGFEVSELEALALEMQGYGRARREQEDGVEELEPLESGDRELDEGFWEELLNESAGGGEDEDVNTLAERLGYLRSSPKYRN >Potri.002G048200.5.v4.1 pep chromosome:Pop_tri_v4:2:3204263:3207417:-1 gene:Potri.002G048200.v4.1 transcript:Potri.002G048200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048200.v4.1 MNPYLTVKQEYAGSSLLPLSGGDEPPTMMLPPQPMEGLHDTGPPPFLTKTFDMVDDPMTNHIVSWSRGGFSFVVWDPYSFSANLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQKQLLRNIKRRKAASQPLSQQQAPDACVEVSRFGLDGEIDLLKRDRHVLMMELAKLRQQQQKARSYIQAMEQRLQGTEQKQQQMMQFLARAMQNPAFLLQLVQQKGKRKELEEAMTKKRRIPVDQRPSRSGGGESNRIDESANPIKAEALEYGDYGFEVSELEALALEMQGYGRARREQEDGVEELEPLESGDRELDEGFWEELLNESAGGGEDEDVNTLAERLGYLRSSPKYRN >Potri.002G048200.1.v4.1 pep chromosome:Pop_tri_v4:2:3204063:3207413:-1 gene:Potri.002G048200.v4.1 transcript:Potri.002G048200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048200.v4.1 MNPYLTVKQEYAGSSLLPLSGGDEPPTMMLPPQPMEGLHDTGPPPFLTKTFDMVDDPMTNHIVSWSRGGFSFVVWDPYSFSANLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLRGQKQLLRNIKRRKAASQPLSQQQAPDACVEVSRFGLDGEIDLLKRDRHVLMMELAKLRQQQQKARSYIQAMEQRLQGTEQKQQQMMQFLARAMQNPAFLLQLVQQKGKRKELEEAMTKKRRIPVDQRPSRSGGGESNRIDESANPIKAEALEYGDYGFEVSELEALALEMQGYGRARREQEDGVEELEPLESGDRELDEGFWEELLNESAGGGEDEDVNTLAERLGYLRSSPKYRN >Potri.003G042300.4.v4.1 pep chromosome:Pop_tri_v4:3:4852335:4862294:1 gene:Potri.003G042300.v4.1 transcript:Potri.003G042300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G042300.v4.1 MEASSICCLVLALQIVSLVSAASRPFAPNSGVAVNRAGHSLQSLQVPLDSNFDPQSGSEKGIKTKDGVAGGMIIEAAAGYAKGITKIGSTPPSCEHKCHGCTPCEAIQVPAISKTGTHHLSVNYANYEPEGWKCKCGPSFYSP >Potri.003G042300.2.v4.1 pep chromosome:Pop_tri_v4:3:4852335:4862294:1 gene:Potri.003G042300.v4.1 transcript:Potri.003G042300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G042300.v4.1 MEASSICCLVLALQIVSLVSAASRPFAPNSGVAVNRAVTGHSLQSLQVPLDSNFDPQSGSEKGIKTKDGVAGGMIIEAAAGYAKGITKIGSTPPSCEHKCHGCTPCEAIQVPAISKTGTHHLSVNYANYEPEGWKCKCGPSFYSP >Potri.003G042300.3.v4.1 pep chromosome:Pop_tri_v4:3:4860665:4862356:1 gene:Potri.003G042300.v4.1 transcript:Potri.003G042300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G042300.v4.1 MEASSICCLVLALQIVSLVSAASRPFAPNSGVAVNRAVTGHSLQSLQVPLDSNFDPQSGSEKGIKTKDGVAGGMIIEAAAGYAKGITKIGSTPPSCEHKCHGCTPCEAIQVPAISKTGTHHLSVNYANYEPEGWKCKCGPSFYSP >Potri.001G270700.1.v4.1 pep chromosome:Pop_tri_v4:1:28522209:28525307:-1 gene:Potri.001G270700.v4.1 transcript:Potri.001G270700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270700.v4.1 MWAIGFLVVALAVVYYTHLISKWRNPKIDGVLPPGSMGWPLIGETLQFIIPGRSIDLHPFVKKRMHKYGPIFKTSLLGKPTVISTDNEVNKYILQHEGTLVELWYLDSFAKFFALEGENRVSAIGEVHRYTRSITLNHFGIESLRESLLPKIENMINTNLAKWATQGPVDMKQAIAVMVFNFTAKEIVFGYDAENSKEKLSENYTRLADSFLSLPLKIPGTIFHKCMQDHKKMMKILKDTLIERLNDPSKRRGDFLDQAIDDLETKKFLTVDFIPQLIFGILFASYESISSTLTLAIKFLSENPQVVEKLTAEHETILKNRENPNSSLAWEEYRSLTYTQMVVNETLRISNLPPGIIRRALKDFQVKGYTIPSGWTVLLATPAIQLNPETFKDPLTFDPCRWKDLDQVTISKNFIPFGGGTRHCAGAEFSKLIMSTFLHVLVTKYTFTKVKGGDVSRNPVMSFGDGIHIKFTAKDE >Potri.001G270700.2.v4.1 pep chromosome:Pop_tri_v4:1:28522344:28525031:-1 gene:Potri.001G270700.v4.1 transcript:Potri.001G270700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270700.v4.1 MWAIGFLVVALAVVYYTHLISKWRNPKIDGVLPPGSMGWPLIGETLQFIIPGRSIDLHPFVKKRMHKYGPIFKTSLLGKPTVISTDNEVNKYILQHEGTLVELWYLDSFAKFFALEGENRVSAIGEVHRYTRSITLNHFGIESLRESLLPKIENMINTNLAKWATQGPVDMKQAIAVMVFNFTAKEIVFGYDAENSKEKLSENYTRLADSFLSLPLKIPGTIFHKCMQDHKKMMKILKDTLIERLNDPSKRRGDFLDQAIDDLETKKFLTVDFIPQLIFGILFASYESISSTLTLAIKFLSENPQVVEKLTAEHETILKNRENPNSSLAWEEYRSLTYTQMVVNETLRISNLPPGIIRRALKDFQVKGYTIPSGWTVLLATPAIQLNPETFKDPLTFDPCRWKDLDQVTISKNFIPFGGGTRHCAGAEFSKLIMSTFLHVY >Potri.011G147000.8.v4.1 pep chromosome:Pop_tri_v4:11:17516235:17519068:-1 gene:Potri.011G147000.v4.1 transcript:Potri.011G147000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147000.v4.1 MSCSFLLIPTRFPTLSSYNKDIISTTLPGFSSFSCLRNGRRSNSSATCISKRRRLGCKCQLADLAPVTSAAYGVILLGGGLFAFGKSGSKGSLFGGLTGAALMGTAYFLMQAPETKAIGDSLGFGSAFLFSSVFGIRLAATQKLIPSGLLLVLSICALSVFISAYLLQDNL >Potri.004G170442.1.v4.1 pep chromosome:Pop_tri_v4:4:20544584:20548577:-1 gene:Potri.004G170442.v4.1 transcript:Potri.004G170442.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170442.v4.1 MATGKYVKSYADVNLEENTEEQSISIENEGEYEETSKGKETSSSSTQKRQHRQRKRMYEDDGVEKLSKQIGDVAFAIQSLSKNQLDVNALYAEVMKIEGFDEITFGDAFDHLVQNEMLAKTFIAKNANLRKIWVQNFVNQHYYRSAC >Potri.010G248600.3.v4.1 pep chromosome:Pop_tri_v4:10:22263319:22264259:-1 gene:Potri.010G248600.v4.1 transcript:Potri.010G248600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248600.v4.1 MMHEPSTLFGAWRKDREMSSGTFARGAHTMNSMFFKPMIRKTYHKKSSADTIRETMKVDAEEVRNNKSHMGETDSNGWVPHERTGIYYPKGQEKVMEGIPPAAGKDVDVVNWFSHHGEY >Potri.004G214400.2.v4.1 pep chromosome:Pop_tri_v4:4:22082804:22085857:1 gene:Potri.004G214400.v4.1 transcript:Potri.004G214400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214400.v4.1 MTLLKRYVLRLFISLKYITANVVDRKNGRIVATASTVEHSIKNTLECGRSCNAKSATVVGEVLAMRLKVGGLEQGQGRGIHVDMEKEVEKKGFKNRTKIWAIVNALKNNGVKIILDEDNVNTSRPDFR >Potri.005G142600.1.v4.1 pep chromosome:Pop_tri_v4:5:11482370:11483831:1 gene:Potri.005G142600.v4.1 transcript:Potri.005G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142600.v4.1 MATTRKEMDRIKGPWSPEEDEALKKLVQRHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDRIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSMADDGNLSNLEGYDGNLDVDDTQPSKRSVSAGSGVPLSTGLYMSPGSPSGSDVSDSSPPGLSSAHNHNIYRPVARTGGVLPPVETASSSDNNDDDPPTLLSLSLPGADPATKLPNRVVEPTQERVAGSTQERVAGSTKERVAGSTQEPKPNTVTSFLVAADPAQVTTPVVAGQTGRPGCGFVGLSRELMTVMQEMIRREVRNYMMEQSGGGMCYQGMSGEGFRNVAVNRVGVGKIE >Potri.005G142600.3.v4.1 pep chromosome:Pop_tri_v4:5:11483278:11483855:1 gene:Potri.005G142600.v4.1 transcript:Potri.005G142600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142600.v4.1 MKNYELKKRVRNVDRVECRERERTGLLFSLFLLGNNFGIVQSVRERERGKGKR >Potri.005G142600.2.v4.1 pep chromosome:Pop_tri_v4:5:11482483:11483854:1 gene:Potri.005G142600.v4.1 transcript:Potri.005G142600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142600.v4.1 MDRIKGPWSPEEDEALKKLVQRHGPRNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRAFTPEEDDRIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSMADDGNLSNLEGYDGNLDVDDTQPSKRSVSAGSGVPLSTGLYMSPGSPSGSDVSDSSPPGLSSAHNHNIYRPVARTGGVLPPVETASSSDNNDDDPPTLLSLSLPGADPATKLPNRVVEPTQERVAGSTQERVAGSTKERVAGSTQEPKPNTVTSFLVAADPAQVTTPVVAGQTGRPGCGFVGLSRELMTVMQEMIRREVRNYMMEQSGGGMCYQGMSGEGFRNVAVNRVGVGKIE >Potri.004G184900.1.v4.1 pep chromosome:Pop_tri_v4:4:19838727:19847799:1 gene:Potri.004G184900.v4.1 transcript:Potri.004G184900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184900.v4.1 MIAEKPSWVRHEGMQIFSIDIQPGGYRFATGGGDHKVRIWNMNSVSRDLEINEPTQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILVHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSILASGSLDNTIHVWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWTKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWVATFDFLGHNAPIIVVKFNHSMFRRNFANAQEVKAAQVGWTNGASKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFDAKELGHRLSDIELDELKRSRYGDVRGRQANLAESAAQLLLEASAKETTNKKVALDIQQSQISVKPSVDLGVIAKTSEPQVDGGKNNGGATGDGLNKVPTPAQISSPVKQREYRRADGRKRIIPEAVGVPNQPETMTGGAQSQSLDFPRVSSDHRKVENGIGSVDGGLRESSIRGTLVRSSDLKERSVVAARATVTESLVIEKVPGSAGRDGSINVEPSGSVKASSSSSSCSTPLSIRVFDKKIGEDAIPISLEACPREHVVNDIVGVGNTCMMKETEIVCTRGAETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPTMMMGSAATFIDCDECWKLLLVTRKGSLYVWDLFSRSCLLQDSLASLITSDPNSVKGTIKVISVKLSKSGSPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFAGSWNLGSIQSGELAALQVDVRKFLARKPCGSRVTDDGVQTRAHLEAQLESSLALKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAESTSSDAKTVSWDPCVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYESAETNLEQKTPMLPTTSQQATSQMDCDPPVTEQMDTTPQAIDHTNSAQPEKDHEDPTPIITDEADCTLLANDQVDTCPMVTDQVIPDSLDRDAGS >Potri.004G184900.5.v4.1 pep chromosome:Pop_tri_v4:4:19838785:19847753:1 gene:Potri.004G184900.v4.1 transcript:Potri.004G184900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184900.v4.1 MSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWTKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWVATFDFLGHNAPIIVVKFNHSMFRRNFANAQEVKAAQVGWTNGASKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGSVATFHFDAKELGHRLSDIELDELKRSRYGDVRGRQANLAESAAQLLLEASAKETTNKKVALDIQQSQISVKPSVDLGVIAKTSEPQVDGGKNNGGATGDGLNKVPTPAQISSPVKQREYRRADGRKRIIPEAVGVPNQPETMTGGAQSQSLDFPRVSSDHRKVENGIGSVDGGLRESSIRGTLVRSSDLKERSVVAARATVTESLVIEKVPGSAGRDGSINVEPSGSVKASSSSSSCSTPLSIRVFDKKIGEDAIPISLEACPREHVVNDIVGVGNTCMMKETEIVCTRGAETLWSDRISGKVTVLAGNANFWAVGCEDGCLQVYTKCGRRAMPTMMMGSAATFIDCDECWKLLLVTRKGSLYVWDLFSRSCLLQDSLASLITSDPNSVKGTIKVISVKLSKSGSPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFAGSWNLGSIQSGELAALQVDVRKFLARKPCGSRVTDDGVQTRAHLEAQLESSLALKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAESTSSDAKTVSWDPCVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYESAETNLEQKTPMLPTTSQQATSQMDCDPPVTEQMDTTPQAIDHTNSAQPEKDHEDPTPIITDEADCTLLANDQVDTCPMVTDQVIPDSLDRDAGS >Potri.001G101900.1.v4.1 pep chromosome:Pop_tri_v4:1:8222488:8225991:1 gene:Potri.001G101900.v4.1 transcript:Potri.001G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101900.v4.1 MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFNGPKESLYQGGVWKIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNASDPLNGEAAALMMRDHTAYDQRVKEYCEKYAKPEDIGAKPEEKSSDEELSEDDYNSDDDQVAGKADP >Potri.013G085700.3.v4.1 pep chromosome:Pop_tri_v4:13:7840523:7842000:1 gene:Potri.013G085700.v4.1 transcript:Potri.013G085700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085700.v4.1 MALVTTAEVCDTNPQQIVSGELRALQPIFQIYGRRQVFSGPVVTLKAFEDNVLIREFLEERGSGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEINGCDIGVRALGSHPMKANKKGIGEKHVPITIGGTRISDGEWLYADTDGILISRAELCV >Potri.003G183700.1.v4.1 pep chromosome:Pop_tri_v4:3:18854985:18857123:-1 gene:Potri.003G183700.v4.1 transcript:Potri.003G183700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183700.v4.1 MASAAAVGMMGGNGGAVSSSSWFQIKNRRKKNNKDNMGRDRVRTFCASSYSSSSSSSVMDPYKTLKIQPGASESEVKKAFRQLALQYHPDVCRGSNCGVQFSLINEAYDIVMSNLREEPDESSQMYRSYEPSDQGIDEPMRGMNDPDWDMWEEWMGWEGAGIRDYSSHINPYI >Potri.019G023000.2.v4.1 pep chromosome:Pop_tri_v4:19:524359:527042:1 gene:Potri.019G023000.v4.1 transcript:Potri.019G023000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023000.v4.1 MAPTHLDSSFSALPTLASPSRPLVESVSSKNSKGRCKVATGDDDQIVRRSANYQTSMWDYDFVQSLTSKYNGEPYIARSEKLKANIRMMLANASKPLDQLELIDTLERLGLSYHYNTETVHDLYATALEFRLLRQRGYHVPQEVFNHFKDEQGNFRACIHDDLKGMINLYEPSYFLVEGENILEDARDFTTKNLENYVKKCNTTEYLSELVSHALELPLAWRMLRLEAHWFINLYETKTDMEPVLLELAKLDFNMVQAVYQEDLKDSSRWWKMTGLGEKLDFVRDRPMWIYTNAWISIATSLAIVHTYFYASNPTTEEASHFMEEYPDIIRWSSIILRLADDLGTSSDEIKRGDVSKSIQCYMHETQASEEEARDHIKKLISNAWKKLNASQFSNPHISQTIIGVAVNLPRTAQCIYQYGDGHAIEHLETKDRVMSLLIKPL >Potri.003G140400.1.v4.1 pep chromosome:Pop_tri_v4:3:15669208:15670076:-1 gene:Potri.003G140400.v4.1 transcript:Potri.003G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140400.v4.1 MEHQTMASSITLQSTLLRSNFLGQNNCFNHPHKPYSLIPKDHRLKLKTCAKLDLFEILGGRGLCNGEKGVQQELKRNIEEQASSTAGREENSGSLEKSSVPDDAFEKELMGLTGGFPGGEKGLKRFIEENPSPKKQSVPKLTITSRPKPPELPLLLPGMIAIVKNPNNPFYMYTGIVQRITDGKAGVIFEGGNWDKLVTFRLEELERREKGPPGKNPRSAIIEAFYEAESQSSS >Potri.009G125000.4.v4.1 pep chromosome:Pop_tri_v4:9:10401555:10403605:-1 gene:Potri.009G125000.v4.1 transcript:Potri.009G125000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125000.v4.1 MDDCLPPVSSLNREREQRNSNFSKSYLQTSDLKRHCLRSSLSIMSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Potri.018G029100.1.v4.1 pep chromosome:Pop_tri_v4:18:2154221:2155141:-1 gene:Potri.018G029100.v4.1 transcript:Potri.018G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029100.v4.1 MALMAIAIVAMSFLSYEVGIVLGDIGTATSYNPPYLPTKCHGNRQDQFPPGNLFVSVSEGLWDNGAACGRRYRLRCLSGNNRPCKDQTIDVRVVDFCRKSPCPSTILLSGDAFSAVSHSPSAKINVEYIQI >Potri.006G062050.1.v4.1 pep chromosome:Pop_tri_v4:6:4419054:4419764:-1 gene:Potri.006G062050.v4.1 transcript:Potri.006G062050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062050.v4.1 MRLGSGIFILGKQLPVIAERAEQHLLAAAVIGDLVASSMHSGAIADCGAIGRANPIDLDQHGCHAPCDH >Potri.016G062700.1.v4.1 pep chromosome:Pop_tri_v4:16:4386605:4387858:-1 gene:Potri.016G062700.v4.1 transcript:Potri.016G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062700.v4.1 MDVLYHEHGYGGGTFEALNGRIYGNGTETLVLAHGYGADQSVWYHLIPYLACYFKVVVFDLVFSANVSPGLYNPKKYSSFKGYASDMVNLLDELRVNETIFVGHSMSAMIGCIASIKRPELFRHLVLLGGSPRYLDEKGYNGGFTRSEINAIFKHMHQNYTSWVQAFAPTAIGMNNTRATTEFKNSLRRMKPRIALSVAKTVFLSDWRSILPEVLVPCTIIQSKRDPIVPNSVAYYMKRNLNGHARVKILDTGGHFPQLTAYNLLLKVLKRFLVND >Potri.006G235000.1.v4.1 pep chromosome:Pop_tri_v4:6:23741009:23743932:1 gene:Potri.006G235000.v4.1 transcript:Potri.006G235000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235000.v4.1 MAIASDTQPQEKASTEVSGEEKKRWTLNDFDIGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQKCKYFSERRAATYVASLARALIYCHGKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRQTMCGTLDYLPPEMVESVEHDASVDIWSLGVLCYEFLYGVPPFEAKEHSDTYRRIVQVDLKFPPKPIVSSAAKDLISQMLVKDSAERLPLHKLLDHPWIVQNADPSGIFRG >Potri.013G026000.1.v4.1 pep chromosome:Pop_tri_v4:13:1690055:1692259:-1 gene:Potri.013G026000.v4.1 transcript:Potri.013G026000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026000.v4.1 MEKRWSLQGMTALVTGGTKGIGYAVVDELAALGAIVHTCARNQDQLNERIREWNEKGFKVTGSVCDVSSDAEREKLMEEVSSRFDGKLNILVNNAGTNIYKATLDYTAEDFTSLMNTNLQSAFHLSQLAHPLLKASGAGKIVFMSSIGSVVSVNPQYPLYSASKGAMNQLTRNLACEWAKDNIRVNGVAPWFVRTPLTAHSLDDESIAKEVFSRTPMRRVGEPGEVSSVVAFLCLPAPGFLTGQIICVDGGMSVNGFSMG >Potri.018G093501.2.v4.1 pep chromosome:Pop_tri_v4:18:11366087:11370212:-1 gene:Potri.018G093501.v4.1 transcript:Potri.018G093501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093501.v4.1 MSKVPRRESPWGMPEGDNREPKAHRCNDRAEDVIQACFEGNPFKTVPGPFKLFWQCMRSKPGEEPTEPYTYLQIDPPRREVQLE >Potri.002G128201.1.v4.1 pep chromosome:Pop_tri_v4:2:9698887:9704253:-1 gene:Potri.002G128201.v4.1 transcript:Potri.002G128201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128201.v4.1 MTALMAPERSVRWHGSLVAQLLLEDRNLPLNDSVSDWSFSLLATISQASKNDDIPLAQMALSALLLSVERSPEARKIVIEKGLLLMRDTAKRTTNHKQVQEALAKALELLSTSDVHLSLEDSQKWSGILLSWVFAKFSSTAMRSTSIKILSCIFEEHGPSTLPISQGWLAILLNEVLVSSKVSFGKGGTQPKADKVKTQIDQSNIAFATQTANQLAGAAVDQAGNQIGTDIDSVDSFPLADLLSMDPFIGPLKNMKKDSAPKFNVEDSALATLKGIKALTELCSEDSLCQDKIYEFGVLCLLRRFLLSDDYEKLAVMETYDASRVPEPQEQSANTAGESSNADGNDPSSVRVPPTAHIRKHAARLLTTLSLISKFQKVILADKAWCKWLEDCAYGRIAGCRDLKTQSYARATLSNVLCNHYTGNSDGSETEARNRKGDCARYGDMIFLVNPDLPHWKHCEKNRCYDDSKK >Potri.014G134800.1.v4.1 pep chromosome:Pop_tri_v4:14:9037554:9040522:-1 gene:Potri.014G134800.v4.1 transcript:Potri.014G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G134800.v4.1 MLRKANSFPEEVLQHVLSFITNDKDRNAVSLVCKSWYEIERWCRKRIFVGNCYAVRPEMVIRRFPELRSVELKGKPHFADFSLVPDGWGGCVYPWIAALATAYPWLEEISLERMVVSDESLKVIAKSFKNFKVLVLSSCEGFSTDGLAAVAANCRNLRGLDLRESEVDDPSGQWLSRFPDSFTSLASLNISCLGAEVSFSALERLVGRCPDLKTLRLNHAVPLDKLANLLRGAPQLVELGTGAYSAELQPDVFSNLAGAFSGCKELRSLSGFWNVFPGYLPAVYPVCSGLTSLNLRYANIQGADLIKLVSQCPSLQRLWVLDYIEDIGLEALAACCKDLTELRVFPSDPYGAEPNVSLTERGLVSVSEGCPKLHSVLYFCRQMTNAALVTIAKNRPSMTCFRLCIIEPRAPDYQTLQPLDLGFGAIVENYKDLRRLSLSGLLTDRVFEYIGTYAKKLEMLSVAFAGDSDLGLHHVLSGCEKLCKLEIRDCPFGDKALLANAAKLETMRSLWMSSCSVSFRACKLLGQKMPRLNVEVIDERGPPDLRPESCPVEKLYIYRTIAGPRFDMPGFVWTMDEDSVSRFS >Potri.011G027001.1.v4.1 pep chromosome:Pop_tri_v4:11:2003495:2004051:-1 gene:Potri.011G027001.v4.1 transcript:Potri.011G027001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027001.v4.1 MPMMFFHFLKIIFDISTSKRSKTYKEDHIL >Potri.006G236400.2.v4.1 pep chromosome:Pop_tri_v4:6:23853039:23857359:1 gene:Potri.006G236400.v4.1 transcript:Potri.006G236400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236400.v4.1 MDDVRILEAERRRIQELEFEELQVEEEVDGRDSTGAGSSDDFTFNPCLASLHTYLGEVEDTHHRLAFLDGGAVLNLPLFYLEGVVLFPEATLPLRVVQPNFISAVERALVQVDNPFIVGVVRAYRGSDSDNRQLRFATVGTTAEIRQYRRLEDGSLNVVTRGQQRFHLKHRWIDVEGMPCGEVQIIQEDIPLRTPKDAFGKLAPLSNLRSHRLSRVLPSLGYGHSDNDSEANSDDSFENALSSAGMRTHQSALDSCYGYDVMDESTSSDDDKFLSQTEMRSTRSHLNESKGPLYSDTGKNADNTTLEIGNSSDLAKKGEGSKRCWKNTDLNHFHRVPRAFWPHWVYRMYDSYCLAERAADMWKQIVGAPSMDGLVRKPDLLSFYIASKIPVSEETRQELLEIDGISYRLRREIGLLESFDLVQCKTCKTVIAQRSDMLVMSTEGPLGAYVNSHGYVHEIMTLQKANGLALIGRATVEYSWFPGYAWTIAECASCETQMGWLFTATKKKLKPQSFWGIRSSQVADDTR >Potri.013G025400.2.v4.1 pep chromosome:Pop_tri_v4:13:1626093:1629870:-1 gene:Potri.013G025400.v4.1 transcript:Potri.013G025400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025400.v4.1 MGSSSSRHGRNHPQNNHLHHRQNQPDPSLPSSTTTPIQQLPSFSTNNTNNLPSQSSNSIPSSNNFYTNTIQPSSSSPPPQGPQSYYFAANAPYTTPPMIPTSSAYGSFSYHHHPPPPQPPLPFSNNGWAPYNYHQPGFMGPQIPPPQVKPHNLGLVQQPRYVDHNHAKTIKNVVNVNKASIKVVADENNLDCHLVSFTFDAVVDGSITIFYFGKEGHNCTFMPAFPEIYMPRKIPFEKGAGKKFSQPSGTGIDLGFFELDQLSKPSPEEDIFPLVIFAEACSPSLSTSTSQEPDKPLPTMSTHAQITEAVLEKKNEGHFQVKVIKQILWIDGIRYELREIYGIANSDSAGFDGIDSGTECVICMSEPQDTAVLPCRHMCLCSGCAKELRSRSDTCPICRQPIQELMEIKVNKCGSSS >Potri.019G040000.1.v4.1 pep chromosome:Pop_tri_v4:19:5507995:5513364:-1 gene:Potri.019G040000.v4.1 transcript:Potri.019G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040000.v4.1 MLWVDKYRPKTLDQVIVHQEIAENLKKLVTEQDCPHLLFYGPSGSGKKTLIMALLRQIFGTSAEKVKVENRTWKIDAGSRTIDLELTTLSSTNHVELSPSDVGFQDRYIVQEIIKEMAKNRPIDTKGKKGYKVLVLNEVDKLSREAQHSLRRTMEKYSASCRLILCCNSSSKVTEAIRSRCLNVRINAPKEEQIVKVLEFIGKKEGLQLPPGFAARIADKSNRSLRRAILSFETCRVQQYPFSSNQAIPPMDWEEYVSEICSDIMREQSPKRLFQVRGKLYELLINCIPPEIILKKLLSELLKKLDEELKHEVCHWAAYYEHRMRMGQKAIFHLEAFVAKFMSIYKAFLIATFG >Potri.019G118600.1.v4.1 pep chromosome:Pop_tri_v4:19:14465995:14468656:1 gene:Potri.019G118600.v4.1 transcript:Potri.019G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD6 MDFNLENPLTNSHELHFDTTPSLFLIESDHMPSKNYLKTLKEIDFDVSFRREAISSVLRVSCNFDPSLSYLAVNYLDRFLSSQGIPQPKPWVFKLLAVACVSLAAKMKEAEFYVTDIQGDGGFVFDPQTIQKMEVLILGALNWRMRSITPFSFISFFISLFKPKDPPLRQALKARACEIIFKAQNDINLLEFRPSLTAASALLYACHELFPMQFLCFRKAISICSYVNKENLLQCYNAMQETAMDGYKSQFDMVSSSDTPVNVLDRHFSSSESENTNGTVVMISSNGSNKTWPEKGIKRRKISALCNNQTVQFSAFSDATTMLM >Potri.002G053801.2.v4.1 pep chromosome:Pop_tri_v4:2:3645289:3646427:-1 gene:Potri.002G053801.v4.1 transcript:Potri.002G053801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053801.v4.1 MRGVKRGIRRLEKLVNTVGGLESVLQLIISEVFCFEVVILVLGASPLQPRHVYESCFLRGNVVAGDDGIFTKSKVVEGLSRKVARALISKVAGSSSYPGPTKLFLLVKAPASFNLPLHFLPKRDFRYCKKAWIVPTQIAKKIYS >Potri.002G159200.3.v4.1 pep chromosome:Pop_tri_v4:2:12122825:12127126:1 gene:Potri.002G159200.v4.1 transcript:Potri.002G159200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159200.v4.1 MSILCGLPILECVYCLGCARWLWQKCLYTAGHESENWGLATAEEFEPVPRLCRLILSVYEDDLRYPLWAPPGGYGINPDWVIVKRTYEETGGCATPYMIYLDHDNVEIVLAIRGLNLAKESDYAVLLDNKLGQTKFDGGYVHNGLLKAAKWIFDAECELLRDLVEMNPDYRLTFAGHSLGAGIVSLIAMYAVQNREKLGTIERNRIRCFAMAPARCVSLNLAVRYADVINSVVLQDDFLPRTTTALEDVYKSIFW >Potri.002G159200.2.v4.1 pep chromosome:Pop_tri_v4:2:12122825:12126994:1 gene:Potri.002G159200.v4.1 transcript:Potri.002G159200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159200.v4.1 MSILCGLPILECVYCLGCARWLWQKCLYTAGHESENWGLATAEEFEPVPRLCRLILSVYEDDLRYPLWAPPGGYGINPDWVIVKRTYEETGGCATPYMIYLDHDNVEIVLAIRGLNLAKESDYAVLLDNKLGQTKFDGGYVHNGLLKAAKWIFDAECELLRDLVEMNPDYRLTFAGHSLGAGIVSLIAMYAVQNREKLGTIERNRIRCFAMAPARCVSLNLAVRYADVINSVVLQDDFLPRTTTALEDVYKSIFCLPCLLCLMCLKDTCTLEEKMLKDPRRLYAPGRLYHIVERKPFRIGRFPPVVRTAVPVDRRFEHIVLSCNATSDHTIIWLERESQRAVDLMLEKDRIMEIPAQQRMRRQESLAREHSEEYEAALRRAIALDIPQASYSPSYGTFAEVEEGESSGSSSGAGSLLSFKRMRERWDNFIERLFDVDESGRMVFKKSST >Potri.008G014800.3.v4.1 pep chromosome:Pop_tri_v4:8:758014:764039:1 gene:Potri.008G014800.v4.1 transcript:Potri.008G014800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014800.v4.1 MGSRPSKVSPSMLESRCQPFRDNSTALINHTFTPKSPMMSLTPRSSGCPGVPENYFVTPRSRGRSAIYNMARTPYSRVHASTRLQGAGTSSDAFAGPSFSSQNALESSRFSGSKQGALKRRSSVLDNDIGSVGPIRRIRQKSNLLPTSGTLSIRGAGIGSNAAQKLPSTEKPVLVGEPSKDNGDNNVYGTTFTPVPSKSSEMASKILHQLDVLVSSREKSPAKLSPSMLRGPALRSLENVDSSKFVEIDNDTNKLALKHDTLLPDARESVSQKQDKIEEKGPSKPIAPCGKSALAGNGMDTTSLLKNDLAGVKTSAFPVMSTFAQAPVQKKRAFQMSAQEDFLELDDDDYSNGTASGMLAEGREKVDTKLVEKKTIVAEAVVVEKSPVQSEVNSPSSYTLNKKNAGIDGSVVVEKSIGFISPAAPLPTITDKQAAVNKLESISDEVALPKYSNALPQIFSTAEKVALPKEPNGTSQFFHFSNRTGDKAAPLTLTSVMSDPSGQKLGVSSDAGPKGFSFTPIATGATELVTRDPGLDKGDDKDSLKIGNSFRTAENVPSTSISSNGSLFSFGITSNSSSLNNGFLASTTPSSFSSPSLPLFSSNLTGQKSSSIPSNSVASSSTNATTTAFTAASTNGNSNFSVSASASEPTLTAASIFKFGTVSSNSVLTVPSITTETTEVKTKETSFSASSGTSSAMTSTTGSIFGGTSAITNAGNNIFGDTTAVTGKENSVFGGTSPAVTSTESSVLNATSAVMSTGSGPFNFNAGSTTSAATNQSQGFNPFNAGTTQVSAAGTGLATSTQSMPMQFSSPASTASSAFSSGSSTFGYVNTAFSSGSSTFGSSTSKLLSSGTTFGLNSSTSESSSVSSMASPASAVFGSNWQAPKSTGFSSTPSSSSSTLFAFGATSNTGTSSAPMVFGSTSSVSSAPPFPFSSPASATPSQSVFGAPNPSFGFGSSSGNNDQMSMEDSMAEDTVQATAPSVSVFSQQPTAPGSIFGFSAPSGGNQFGSTGPPGANPFQFGSQPNLAAPQNPSFQASGSLEFNAGGSFSLGTGGGDKSHRKFVRVKKTQRKR >Potri.008G014800.1.v4.1 pep chromosome:Pop_tri_v4:8:755933:764084:1 gene:Potri.008G014800.v4.1 transcript:Potri.008G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014800.v4.1 MAAAAGRESNERLYEDRGGYGKFRKRPFRRSTQTTPYDRPSTAIRNPGGISNGWLSKLVDPAQRLIASSAHRLFASVFRKRLPAPPVVTPRSQPPETERETDVNPGALDKPKGMSSTDCLEVHREAINASSGLINSFDRGGVTELELILKQKTFTRSEIDRLTALLQSRTADFPTGNEEKKPEVISSRAMVSEGKKELLTVPVTNGFESRINSTPIVCSSVVNEDVASPTELAKAYMGSRPSKVSPSMLESRCQPFRDNSTALINHTFTPKSPMMSLTPRSSGCPGVPENYFVTPRSRGRSAIYNMARTPYSRVHASTRLQGAGTSSDAFAGPSFSSQNALESSRFSGSKQGALKRRSSVLDNDIGSVGPIRRIRQKSNLLPTSGTLSIRGAGIGSNAAQKLPSTEKPVLVGEPSKDNGDNNVYGTTFTPVPSKSSEMASKILHQLDVLVSSREKSPAKLSPSMLRGPALRSLENVDSSKFVEIDNDTNKLALKHDTLLPDARESVSQKQDKIEEKGPSKPIAPCGKSALAGNGMDTTSLLKNDLAGVKTSAFPVMSTFAQAPVQKKRAFQMSAQEDFLELDDDDYSNGTASGMLAEGREKVDTKLVEKKTIVAEAVVVEKSPVQSEVNSPSSYTLNKKNAGIDGSVVVEKSIGFISPAAPLPTITDKQAAVNKLESISDEVALPKYSNALPQIFSTAEKVALPKEPNGTSQFFHFSNRTGDKAAPLTLTSVMSDPSGQKLGVSSDAGPKGFSFTPIATGATELVTRDPGLDKGDDKDSLKIGNSFRTAENVPSTSISSNGSLFSFGITSNSSSLNNGFLASTTPSSFSSPSLPLFSSNLTGQKSSSIPSNSVASSSTNATTTAFTAASTNGNSNFSVSASASEPTLTAASIFKFGTVSSNSVLTVPSITTETTEVKTKETSFSASSGTSSAMTSTTGSIFGGTSAITNAGNNIFGDTTAVTGKENSVFGGTSPAVTSTESSVLNATSAVMSTGSGPFNFNAGSTTSAATNQSQGFNPFNAGTTQVSAAGTGLATSTQSMPMQFSSPASTASSAFSSGSSTFGYVNTAFSSGSSTFGSSTSKLLSSGTTFGLNSSTSESSSVSSMASPASAVFGSNWQAPKSTGFSSTPSSSSSTLFAFGATSNTGTSSAPMVFGSTSSVSSAPPFPFSSPASATPSQSVFGAPNPSFGFGSSSGNNDQMSMEDSMAEDTVQATAPSVSVFSQQPTAPGSIFGFSAPSGGNQFGSTGPPGANPFQFGSQPNLAAPQNPSFQASGSLEFNAGGSFSLGTGGGDKSHRKFVRVKKTQRKR >Potri.009G126400.1.v4.1 pep chromosome:Pop_tri_v4:9:10477757:10478290:-1 gene:Potri.009G126400.v4.1 transcript:Potri.009G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126400.v4.1 MAIRFPSVLAKKIPRQSSSKSLDVQKGFIAVYVGEADKKRFLVPVSYLNQPLFRDLLCKAEEEFGFDHPMGGLTIPCDEETFLDVTSSLSRS >Potri.012G020600.3.v4.1 pep chromosome:Pop_tri_v4:12:2172759:2175972:-1 gene:Potri.012G020600.v4.1 transcript:Potri.012G020600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020600.v4.1 MKFSLSLSQFLCSILFLFHFHTTISSSFSLNHSSSNHLCAHHQSLSLLQFKQSFSIKSSAYWEDCQPKTESWKDGTDCCLWDGVTCDMKTGQVTGLNLSCSMLYGTLHSNNSLFSLHHLQKLDLSFNDFNSSHISSRFGQFSNLTHLNLNYSNFAGQVPLEVSRLSKLISLNLSGNNHLSVEPVSFDKLVRNLTKLRDLDLSSVNMSLVAPNSLTNLSSSLSSLYLWGCGLQGKIPGNIFLLPNLELLILRDNEGLTGSFPSSNVSNVLWLLDLSDTRISIYLENDSISNLKSLEYMLLSNCNIVGSKLALFGNLTRLFQLDLTNNNFSRQIPSSFGNLVQLRYLDLSSNNFMGQIPDFFANLTLLADLDLSNNQLNGTIPSFLFALPSLWNLDLHNNQFIGNIGEFQHNSLQYLDLSKNSLHGPIPSSIFNQENLVVLILASNSNLTWEVPSSICKLKFLRVLDLSNNDLSGSAPQCLGNFSNRLSILDLGMNNLRGTIPSTFSEGSNLEYLNLNGNELEGKIPMSIVKCTMLKFLNLGNNKIEDTFPYFLGMLPELKILVLKSNKLQGFMKGPTTFNSFSALRILDISGNNLSGSLPEEFFNSLEGMMTVDQDMIYMTARTYSGYTYSIKMTWKGLEIEFVKIRSFFRLFDLSNNSFTGEIPELIGKLEGLQQLNLSHNSLTGHIQSSLRFLTNLESLDMSSNMLTGRIPVQLTDLTFLAILNLSQNKLEGPIPC >Potri.012G020600.2.v4.1 pep chromosome:Pop_tri_v4:12:2172759:2175972:-1 gene:Potri.012G020600.v4.1 transcript:Potri.012G020600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020600.v4.1 MKFSLSLSQFLCSILFLFHFHTTISSSFSLNHSSSNHLCAHHQSLSLLQFKQSFSIKSSAYWEDCQPKTESWKDGTDCCLWDGVTCDMKTGQVTGLNLSCSMLYGTLHSNNSLFSLHHLQKLDLSFNDFNSSHISSRFGQFSNLTHLNLNYSNFAGQVPLEVSRLSKLISLNLSGNNHLSVEPVSFDKLVRNLTKLRDLDLSSVNMSLVAPNSLTNLSSSLSSLYLWGCGLQGKIPGNIFLLPNLELLILRDNEGLTGSFPSSNVSNVLWLLDLSDTRISIYLENDSISNLKSLEYMLLSNCNIVGSKLALFGNLTRLFQLDLTNNNFSRQIPSSFGNLVQLRYLDLSSNNFMGQIPDFFANLTLLADLDLSNNQLNGTIPSFLFALPSLWNLDLHNNQFIGNIGEFQHNSLQYLDLSKNSLHGPIPSSIFNQENLVVLILASNSNLTWEVPSSICKLKFLRVLDLSNNDLSGSAPQCLGNFSNRLSILDLGMNNLRGTIPSTFSEGSNLEYLNLNGNELEGKIPMSIVKCTMLKFLNLGNNKIEDTFPYFLGMLPELKILVLKSNKLQGFMKGPTTFNSFSALRILDISGNNLSGSLPEEFFNSLEGMMTVDQDMIYMTARTYSGYTYSIKMTWKGLEIEFVKIRSFFRLFDLSNNSFTGEIPELIGKLEGLQQLNLSHNSLTGHIQSSLRFLTNLESLDMSSNMLTGRIPVQLTDLTFLAILNLSQNKLEGPIPVGMQFNTFDASSFQGNLGLCGIQVLTECNNGAVPPLPPLNFNEEDGFGWKVVAMGYGCGFVFGVTMGYIVFRTRRPAWFHSMVERQWNLKAGRTKKNARIHGARRN >Potri.012G020600.1.v4.1 pep chromosome:Pop_tri_v4:12:2172757:2175972:-1 gene:Potri.012G020600.v4.1 transcript:Potri.012G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020600.v4.1 MKFSLSLSQFLCSILFLFHFHTTISSSFSLNHSSSNHLCAHHQSLSLLQFKQSFSIKSSAYWEDCQPKTESWKDGTDCCLWDGVTCDMKTGQVTGLNLSCSMLYGTLHSNNSLFSLHHLQKLDLSFNDFNSSHISSRFGQFSNLTHLNLNYSNFAGQVPLEVSRLSKLISLNLSGNNHLSVEPVSFDKLVRNLTKLRDLDLSSVNMSLVAPNSLTNLSSSLSSLYLWGCGLQGKIPGNIFLLPNLELLILRDNEGLTGSFPSSNVSNVLWLLDLSDTRISIYLENDSISNLKSLEYMLLSNCNIVGSKLALFGNLTRLFQLDLTNNNFSRQIPSSFGNLVQLRYLDLSSNNFMGQIPDFFANLTLLADLDLSNNQLNGTIPSFLFALPSLWNLDLHNNQFIGNIGEFQHNSLQYLDLSKNSLHGPIPSSIFNQENLVVLILASNSNLTWEVPSSICKLKFLRVLDLSNNDLSGSAPQCLGNFSNRLSILDLGMNNLRGTIPSTFSEGSNLEYLNLNGNELEGKIPMSIVKCTMLKFLNLGNNKIEDTFPYFLGMLPELKILVLKSNKLQGFMKGPTTFNSFSALRILDISGNNLSGSLPEEFFNSLEGMMTVDQDMIYMTARTYSGYTYSIKMTWKGLEIEFVKIRSFFRLFDLSNNSFTGEIPELIGKLEGLQQLNLSHNSLTGHIQSSLRFLTNLESLDMSSNMLTGRIPVQLTDLTFLAILNLSQNKLEGPIPVGMQFNTFDASSFQGNLGLCGIQVLTECNNGAVPPLPPLNFNEEDGFGWKVVAMGYGCGFVFGVTMGYIVFRTRRPAWFHSMVERQWNLKAGRTKKNARIHGARRN >Potri.005G031801.1.v4.1 pep chromosome:Pop_tri_v4:5:2097875:2099734:-1 gene:Potri.005G031801.v4.1 transcript:Potri.005G031801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031801.v4.1 MFVQISPSATDLGETLCSLNFVSRVRGIETSPACKQADLSELFKYKQMVEKLKHDEKETKKLQDSLQSLQLRLAAREHMCRTLQEKVRELENQLGEERKTRLKQETRAFAAAASQSTKQVVEKRKVDKKPPLCPSKLRMPLRKITNFMPPPSPLQKQKTGSASSSMHDKEKNPRTTAAGTNTKSLMKPRRMSVAVRPPPMSAQVFQPKRRVSIATYRSEPTSNMTTPLQTSRYKNGNVVGRQSFVRDPRKPRNSKLFSPLPEFRTASETTPTVMRTSSKFTGSPPAQAVSWKPKHPTVVALQRKSLVWSPLKLRSFQNRRPSLLPYRSSSTNEVQ >Potri.008G132900.1.v4.1 pep chromosome:Pop_tri_v4:8:8800772:8802273:1 gene:Potri.008G132900.v4.1 transcript:Potri.008G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132900.v4.1 MYSQNPSSYEKFSTSQPPAFSQDTTTTGIPVTSTSQFYSTDDSRSSIELRSKNKGPWSTGLCDCHDDWRNCCITFWCPCVTFGQIAEIVDKGSSSCGVNGALYALISCVTCFPCCYSCFYRAKMRQQYLLRETPCGDCLVHCFCECCSLCQEYRELKSRGYDLAMGWHGNVEKKNRSSEMASVPPVVEGGMSR >Potri.002G117200.1.v4.1 pep chromosome:Pop_tri_v4:2:8868421:8873336:-1 gene:Potri.002G117200.v4.1 transcript:Potri.002G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117200.v4.1 MGVEKGTRDSDIEHEEDLDEEPGEVIESAPPLKVGEERELGNSGIKKKLLKRGLGWETPEFNDEVTVHYVGTLLDGTKFDSTRDRDSSVIMKLGQGEVVAGLDHGIITMKKGERALFTLPPELGFGVTGRDAVPTNSFVRYEVELVSWIKVVDVSKDGGIIKKIVEKGDKHDRPGDLDEVLVKYQVALADGTIVAKTLEEGIEFYVKDGHLCPALPKATMTMKRGEKVKLVVQPQYAYGQEGKDASDAICPVPPNSTLYIDLELTSFKPVIDVTGDAKVFKKILKEGEGSLVANEGATVTISYTARLEDGTVFERKGIDDGQPLQFITDEEQVIAGLDRAVATMKKGEYAILTVKPEYGFGNVETKRDLAIVPPSSILVYEVEMSDFIKEKTPWEMNNQEKIKAAERKKEEGNLLFKSGKYLRAGKKYDKAASYVGEEEVFGDDEQELVTAMRVTCWLNKAACSLKLNDFQGAIKLCSKVLDIEFYNIKALYRRAQALIQTTDLVSADMDIKKALEVDPQNREVKLIQKTLKQLQAESNKRDAKLYSNMFADMTKQTSAITKKLKVERADDDMKNVEAVAMEMGNVADSSYPPDNAKAVDSC >Potri.016G139100.5.v4.1 pep chromosome:Pop_tri_v4:16:14231280:14234311:1 gene:Potri.016G139100.v4.1 transcript:Potri.016G139100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139100.v4.1 MIKIWSTGSGGAPWWSYWSPGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMFMGCLVYGVSYTVPEYLCTFLVAGGVSTFALLKTSSKTINKLAHPNAPIGYGLCFLNLAFDGFTNATQDSLTARYPKTSAWDIMLGMNLWGTIYNLIYMFGWPHGIGYEAIEFCKQHPEAAWDILLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSAKQWGCVAMVFSGLSYQIYLKWRKLQKLQKKRKPM >Potri.016G139100.2.v4.1 pep chromosome:Pop_tri_v4:16:14231278:14234440:1 gene:Potri.016G139100.v4.1 transcript:Potri.016G139100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139100.v4.1 MEARGGSSLRRVLVLAFCVAGIWSAYIYQGVLQETLSTKRFGADGKRFEQLAFLNLVQSVVCLIWSYIMIKIWSTGSGGAPWWSYWSPGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMFMGCLVYGVSYTVPEYLCTFLVAGGVSTFALLKTSSKTINKLAHPNAPIGYGLCFLNLAFDGFTNATQDSLTARYPKTSAWDIMLGMNLWGTIYNLIYMFGWPHGIGYEAIEFCKQHPEAAWDILLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSAKQWGCVAMVFSGLSYQIYLKWRKLQKLQKKRKPM >Potri.006G097200.1.v4.1 pep chromosome:Pop_tri_v4:6:7404937:7410270:1 gene:Potri.006G097200.v4.1 transcript:Potri.006G097200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097200.v4.1 MGSRTESKKWMLENIEIDRMVEVPINDEPKKAFPPRGPVGASIKWSASNLGASLRRTTSSALRKNGVLSSKPPRPKMERTASSAARGLKSLRFLDRTVTGKEMDAWRSIERRFDQFAVDERLPKDKFGICIGLGDSKEFAGEIFDAIARRKNIFTANGITKDELKLFWEDMTKQDLDSRLGIFFDMCDKNGDGRLSEEEVKEIIVLSASANKLTNLKQYAAVYAALIMEELDPDHLGYIGLWQLETLLREMANNEDQITTKLNRSTHTLTRAMIPRRYRTPVTKSLSLSVEYIHENWRRIWVMTLWLAVNLALFIWKFKEFEKSPLFKISSYCVCLAKASAETLKLNMALILLPVCRRTLTKLRSSFLGTFIPFDDNINFHKNIALAIVIATVAHTLAHMLCNIPLLSSCPKDKFMAYAGPLFNYQQPTYWFFIRSSVGVTGILIILVMGFSFTLATHYFRKNVVKLPGAFHKLAGFNAFWYAHQLLALAYLLCFLHGYFLIFEKPWYAKTTWMYLIGPVVFYATERISTKYQEHHHQVDVIKAIIYTGNVLALYMNKPPGFKYESGMYLFIKSPDLSSFEWHPFSITSAPGDNNLSVHIRTVGDWTSELKNLFAKVCEAPPAAKQKQGRLNRLETIAMSNSNYDQIQATFPKILIKGPFGAPAQNYKKFDILLLIGLGIGATPFISILKDLLNGIKPSAADSMKDRRCTERAYFYWVTREQSSFDWFKGVMDDVADYDDNHIIEMHNYLTSVYEEGDARSALIAMVQKLQHAKNGLDVVSQSRIRTHFARPNWRKVFAQMAETHKSSRIGVFYCGSALLVKTLRELCQEFTLDSSTRFQFHKENF >Potri.001G078600.1.v4.1 pep chromosome:Pop_tri_v4:1:6247209:6263900:1 gene:Potri.001G078600.v4.1 transcript:Potri.001G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078600.v4.1 MSARVGMEVPIIGTDSIKFIDISVPDVGDADLSTTSSATAASIAITTSLTEDYCSSSITGDPPLSFIWRIHKSQPKTLELLQLSATKDFPGTTGLRITFPHALCPFAYVCQNQSQMPAFSTTNPYLLYALTISGVAYLIKLRNITAYSSLPVLPRDEVTQFSLNDRDSSNSEPAAITSVAATAGCLAVGRIDGSVAYFQLGLLHHSTPGFVNELRDDSGIGRLWGFMSRGRMVGAVQDLVISEVHGLKLLFVLHSDGMLRVWNLSFRSKILSHALGIPNSEGVTFARLWVGEAKEDASITPLAVLCRHNLDIRREMIHVYRLHCTLGDKTALSVESSMQDISLEEGECIDVKLTSDKIWILKDNGLLSHKLFHIDTEDACCYTLQEEFVAEQLFQSSELSSDDLLWITYSLFSSMKENIVPFVSSIFIRRLLLPGVHHNDVLRSTLLDYHRHWTDMEFQSLTVDGLKKEVRSVIENQGVSESPMSVFCHWKNFCARYFHYWCKNNSPCGLLVQSSAGAVCLVRKNSVSLFRDLENIEMIIDGSSDELVDLASFGLDLSNDESERGILLEVLRCIISMSQHLGKPASAVFYESLISTPIVSSEEIVPRLLKILETGYSSSVSSDHISDLGGDFAWEKELADRKSLRKFSIDMLLSLHTLSKKATSWSKVLNVIESYLQFLVPRRIIQKLNAEMSFDINTSILVQATSQIAKFIFESALDVFLFVSYLLKISGQINMLHDDTSRIQLEFIPMIQEIVSEWLIIHFLSTTPSESPSIEDFSSQLSSLQIDNKTDRRSWNEKLGKCDFTLAFILSLTFHTSSGDPSQPSSHCLPNPQEIVNLARGFTSWIIWGKTGEESTSFLRRSSEIALILLRHGQYGAVEYLLTFVEANSRREKVSRSIQDNDGDWCILHHLLGCCLLAQARYGFQGVLKEKKVCEAIRCFFRASSGQGASKALKDLSQDAGLPNFGFDDCASPAAWKLHYYQWAMQIFEQYNISEGACQFALAALEQVDEALSQKDDSSGTNVINESDTTIKGRLWANVFKFTLDLDHLYDAYCAILSNPDEENKYICLRRFIIVLYERGAMKVLCNGQLPFVGLAEKIEQELAWKAERSEILAKPNPYKLLYAFEMHRHNWRRAASYIYQYSARLRTEVDLKDHQRMSLVLQERLNGLSAAINALHLIQSEYAWINPLFGGNSVHNESYPSKKAKKLVKEHLTGSDVQAQRLQFYIDVEKLEKEFVLTSAEYLLSLANVKWTFTGTEKAPSDLVDLLVEANLYEMAFTVLLKFWNGSGLNRELERVFSAMSLKCCPNKLGSSSTRMHGLLLTSSKEIVVHGSPDMGPTSQNHQGNAQWETLELYLEKYRTFHTGLPATVAETLLRTDPQIELPLWLVHMFKESRRDRTWGMAGQVSNPASLFRLYVDYGRFTEATNLLLEYTESFASVRPSDLINRKKPFAAWFPYTTIERLWCQLEELSNLGHMVDHYGKLKSLLHGALQNHLKQVKVDSEDALSAAAC >Potri.010G083400.1.v4.1 pep chromosome:Pop_tri_v4:10:11086458:11091890:-1 gene:Potri.010G083400.v4.1 transcript:Potri.010G083400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083400.v4.1 MAMDTPEKTQITTPLSKFEDSPVFNYINSLSPIKPVKSINIAQTFHSLSFASLPSVFTSPHVSSHKETRFLKRHNYSDLSKPEFSSGNGNEVCKDEGVVVDAAQLYDNSAELQENFDPGMSIGESSVEPPSEHLKFATELPQTLKYGCGSPDSRCGTQTDMVSESAGTSLSLVPFANEASHKSFLESKKEATECDWESLISDAADLLIFNSPIDADGFKELFQKSPNPVVGFCTSFNEVHKTQIVNPFGSGEQNEMGDPSTQPGETIELTQMDPTQDNLAANEDPNKYMISNASKAVSNLHRGMRRRCLDFEKVGSRRKNMDDGSSSSSVLVQLDEKTTPKNTQLVPVKPGGDSSRCILPGIGLHLNALAINSKDSKKIKLETFSSSITLPGSAASFNSRTTDQELDESLTLVSSERDNDPNENVVPLVEDFSQTSPKKKRRRLEGEPEACKRCNCKKSKCLKLYCECFAAGVYCIEPCACQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSESAPEIRDESIKTPASARHKRGCNCKKSTCLKKYCECYQGGVGCSINCRCEGCKNVFGRKDDFPNSGAASMEMEDEPEDETEASVKNGVDKILQKTEIQNNDEQNPNSALPTTPLRFCRPLVQFSSKGKPPRSFLGVGSSCGLYTGQRNGKPNILRPQSKFEKQCQNVPDDDIPEILQENHSPSSGIKTSSPNSKRVSPPQNNFGSSPGPRSGRKLILQSIPSFPSLTPQH >Potri.011G140600.2.v4.1 pep chromosome:Pop_tri_v4:11:17122610:17123619:-1 gene:Potri.011G140600.v4.1 transcript:Potri.011G140600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140600.v4.1 MADEVTLMLEFQAGAPSSVRVRIALAEKGVKYDVYSEQNPRDEITNLLLQMNSVSKQLPTLIHNGRPVCQSLIIVQYVDDVWKGKAPLLPSDPYQRAQSRFWADFIDKKTTKGEKLEEAKKDFIECLKLLEGELGDKPYFGGENFGYVDVAFVPFYCWFYAYETCGNFSIEAECPKIIAWAKRCMQKKSVSKSLEDPKKVYEFVLERRKSKFGVD >Potri.011G140600.3.v4.1 pep chromosome:Pop_tri_v4:11:17122849:17122944:-1 gene:Potri.011G140600.v4.1 transcript:Potri.011G140600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140600.v4.1 MQKKSVSKSLEDPKKVYEFVLERRKSKFGVD >Potri.014G056600.1.v4.1 pep chromosome:Pop_tri_v4:14:3594707:3595546:1 gene:Potri.014G056600.v4.1 transcript:Potri.014G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G056600.v4.1 MTRGNQRERDRERAQARVGHKTKNSKDDGLTPEQRRERDAKALQEKAARKAAQAAPGGNNAGGRGGKSTKN >Potri.018G103800.2.v4.1 pep chromosome:Pop_tri_v4:18:12365795:12374476:1 gene:Potri.018G103800.v4.1 transcript:Potri.018G103800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103800.v4.1 MDVCELEKHTAVLDTPRPPLVPAERNSNATAKAATTTRRPRTREVVSRYKSPSSSTPSVARRFPSPSLSRTLPTPSPVVPKRSQSAERRRPSTPPSPSRPSTPVQDSSVDVQLPSRRLSTGGRLQESLWPSTMRSLSVSFQSDSISIPISKKEKPVNNVSSDRTLRPSSNVVHKQAETPTGSRKPTPERKRSPLKGKNSQDQSENAKPVDGLHSRLIDQHRWPSRIGGKVCSNSSLNRSVDLTDKNVKALSTPVGIGLSSLRRTPIPDNSIKPLQKSASDTSRLSLEEIGRVVSEVNSFDDKLQRISGAQKLVTSSVSDRISLVTPVVRSQSLPAPGSRPASPSRTSINRGVSPSRTRPSTPSRGVSPSRIRPSSVSVQPNNSTSVLSFIADFKKGKKGASYIEDAHQIRLLYNRYLQWRFANARAEAVLYIQKVTAERTLYNVWDATLALWDSVIRKRVNLQQLKLELKLNAVLIDQITYLDDWALLERDHINSLSEAVEDLEASTLRLPVTSGAKADIESLKVAICSAVDVMRAMGSSICSLLPRVEGMNALVSELATAAAQEKAKLDQCEALLASTAAMQVEEYSIRTHHIQMKEALEKQQPPFMAMKTPSWL >Potri.018G103800.8.v4.1 pep chromosome:Pop_tri_v4:18:12367941:12374416:1 gene:Potri.018G103800.v4.1 transcript:Potri.018G103800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103800.v4.1 MDVCELEKHTAVLDTPRPPLVPAERNSNATAKAATTTRRPRTREVVSRYKSPSSSTPSVARRFPSPSLSRTLPTPSPVVPKRSQSAERRRPSTPPSPSRPSTPVQDSSVDVQLPSRRLSTGGRLQESLWPSTMRSLSVSFQSDSISIPISKKEKPVNNVSSDRTLRPSSNVVHKQAETPTGSRKPTPERKRSPLKGKNSQDQSENAKPVDGLHSRLIDQHRWPSRIGGKVCSNSSLNRSVDLTDKNVKALSTPVGIGLSSLRRTPIPDNSIKPLQKSASDTSRLSLEEIGRVVSEVNSFDDKLQRISGAQKLVTSSVSDRISLVTPVVRSQSLPAPGSRPASPSRTSINRGVSPSRTRPSTPSRGVSPSRIRPSSVSVQPNNSTSVLSFIADFKKGKKGASYIEDAHQIRLLYNRYLQWRFANARAEAVLYIQKVTAERTLYNVWDATLALWDSVIRKRVNLQQLKLELKLNAVLIDQITYLDDWALLERDHINSLSEAVEDLEASTLRLPVTSGAKADIESLKVAICSAVDVMRAMGSSICSLLPRVEGMNALVSELATAAAQEKAKLDQCEALLASTAAMQVEEYSIRTHHIQMKEALEKQQPPFMAMKTPSWL >Potri.010G040700.2.v4.1 pep chromosome:Pop_tri_v4:10:7135114:7141415:-1 gene:Potri.010G040700.v4.1 transcript:Potri.010G040700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040700.v4.1 MEMSCLWTRRLLLGANSVLLVKHPAIAFSTFAATRSIQPPPLISLFSSRRRSLSTTTAACSPPLHPHSDIGNGAVNAAAAAAIPLESPKMLLKGMSYTELEKWVQSYGFRPGQAMMLWKRLYGNGIWAHHVDELQGLNKDFVKMLGEHAKFKALSLENILTASDGTRKILFRLDDGLVIETVVIPCDRGRTTVCVSSQVGCAMNCQFCFTGRMGLKRHLSTAEIVEQAVFAQRLLTNEVGPFTNVVFMGMGEPLQNIDSVIKAADIMVHDQGLHFSPRKVTVSTSGLVPQLKRFLHESNCALAVSLNATTDEVRNWIMPINRKYNLGLLLQTLREELGLKNSYKVLFEYVMLEGVNDSDDDAYRLIDLVQGIPCKINLIQFNPHCGSQFRPTSTEKMIKFRNILAEAKCVVFMRYSKGDDQMAACGQLGKPGAIQTPLIRVPEQFKMAVNA >Potri.015G088100.5.v4.1 pep chromosome:Pop_tri_v4:15:11167686:11174432:1 gene:Potri.015G088100.v4.1 transcript:Potri.015G088100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088100.v4.1 MADGRRHSVDIPITRTLIALRRVRSLRDPSTNSMSKFSALLENANWETNSTKDISLQSANGSKEGGSNHNRVSGWKNLGLDGQSKQQVDNFDSGSDFEKSKLIFGDSLGGVKNMDAHFRNKKVEGLDSCKEEINGTMSLSEVYYGSHRNKELDLVCITPSSNHLEDVDSTNGPIAGSLLGDSTDQSVTRRKSRYKNQVQSYGGVGDILSRVGSPCFSGSDAFSSQGTSLFANKEADFMVQKDHGCGISCCWTRTPRLRDSNPYSDAEGNPLLSRDVAETSPCGKRSWKHATNETPRSLSQKFRPKSFDELVGQGVVARSLLGAISRGRITSLYLFHGPRGTGKTSASKIFAAALNCLSHEENKPCGLCRECYVFFSGRSQDVKEVDSVRINQTRRIRSLIKDASMPPISSRFKVFIVDECHLLHGETWAIVLNSLENLSENVVFVMITPELDMLPKSAVTRSQKYHFPKIKEADIAGRLRNICVEEALDFDQVALDFIATKSSGSLRDAEIMLDQLSLLGKRITMTMVHELIGVVSDDELLGLLDLALSSDTSSTVIRARELMRSRIDPMQLVSQLANLIMDVLAGKCKDDSSEVRRKFSRKHSSEGDMQRLSHALKILSESEKQLRMSRNQSTWLTAALLQLSSLEASAVDVNDSKSSIRNGHDRDGGFSSTPSTGESLKHLALYSCEDSKLQKSQVQGGCQATLDTIWKRTSELCQSNSLRNFLRKQGKLSSLHINRGLAVAELEFHHSDYASKAEKSWKLIASLLQTILGCNVEIRINLVLCTPPASKCAKLWKQSFCFFGCSRRMRHKSQPPIECGIDSDYSDHISERPMIRERAISACPSDSRSQIPHNCYHRAEVVRALRNSEGNVLSIGTTSSLRSLHDDTSKTPGYGFSSSKAGGNDLDYTVFSSQEAEDQPNCFPKSLRLQKMIRSSENTQVVCIGNHQEKQMGRRNLLKHALKPMIHMF >Potri.015G088100.7.v4.1 pep chromosome:Pop_tri_v4:15:11168514:11174352:1 gene:Potri.015G088100.v4.1 transcript:Potri.015G088100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088100.v4.1 MADGRRHSVDIPITRTLIALRRVRSLRDPSTNSMSKFSALLENANWETNSTKDISLQSANGSKEGGSNHNRVSGWKNLGLDGQSKQQVDNFDSGSDFEKSKLIFGDSLGGVKNMDAHFRNKKVEGLDSCKEEINGTMSLSEVYYGSHRNKELDLVCITPSSNHLEDVDSTNGPIAGSLLGDSTDQSVTRRKSRYKNQVQSYGGVGDILSRVGSPCFSGSDAFSSQGTSLFANKEADFMVQKDHGCGISCCWTRTPRLRDSNPYSDAEGNPLLSRDVAETSPCGKRSWKHATNETPRSLSQKFRPKSFDELVGQGVVARSLLGAISRGRITSLYLFHGPRGTGKTSASKIFAAALNCLSHEENKPCGLCRECYVFFSGRSQDVKEVDSVRINQTRRIRSLIKDASMPPISSRFKVFIVDECHLLHGETWAIVLNSLENLSENVVFVMITPELDMLPKSAVTRSQKYHFPKIKEADIAGRLRNICVEEALDFDQVALDFIATKSSGSLRDAEIMLDQLSLLGKRITMTMVHELIGVVSDDELLGLLDLALSSDTSSTVIRARELMRSRIDPMQLVSQLANLIMDVLAGKCKDDSSEVRRKFSRKHSSEGDMQRLSHALKILSESEKQLRMSRNQSTWLTAALLQLSSLEASAVDVNDSKSSIRNGHDRDGGFSSTPSTGESLKHLALYSCEDSKLQKSQVQGGCQATLDTIWKRTSELCQSNSLRNFLRKQGKLSSLHINRGLAVAELEFHHSDYASKAEKSWKLIASLLQTILGCNVEIRINLVLCTPPASKCAKLWKQSFCFFGCSRRMRHKSQPPIECGIDSDYSDHISERPMIRERAISACPSDSRSQIPHNCYHRAEVVRALRNSEGNVLSIGTTSSLRSLHDDTSKTPGYGFSSSKAGGNDLDYTVFSSQEAEDQPNCFPKSLRLQKMIRSSENTQVVCIGNHQEKQMGRRNLLKHALKPMIHMF >Potri.015G088100.4.v4.1 pep chromosome:Pop_tri_v4:15:11168070:11174343:1 gene:Potri.015G088100.v4.1 transcript:Potri.015G088100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088100.v4.1 MADGRRHSVDIPITRTLIALRRVRSLRDPSTNSMSKFSALLENANWETNSTKDISLQSANGSKEGGSNHNRVSGWKNLGLDGQSKQQVDNFDSGSDFEKSKLIFGDSLGGVKNMDAHFRNKKVEGLDSCKEEINGTMSLSEVYYGSHRNKELDLVCITPSSNHLEDVDSTNGPIAGSLLGDSTDQSVTRRKSRYKNQVQSYGGVGDILSRVGSPCFSGSDAFSSQGTSLFANKEADFMVQKDHGCGISCCWTRTPRLRDSNPYSDAEGNPLLSRDVAETSPCGKRSWKHATNETPRSLSQKFRPKSFDELVGQGVVARSLLGAISRGRITSLYLFHGPRGTGKTSASKIFAAALNCLSHEENKPCGLCRECYVFFSGRSQDVKEVDSVRINQTRRIRSLIKDASMPPISSRFKVFIVDECHLLHGETWAIVLNSLENLSENVVFVMITPELDMLPKSAVTRSQKYHFPKIKEADIAGRLRNICVEEALDFDQVALDFIATKSSGSLRDAEIMLDQLSLLGKRITMTMVHELIGVVSDDELLGLLDLALSSDTSSTVIRARELMRSRIDPMQLVSQLANLIMDVLAGKCKDDSSEVRRKFSRKHSSEGDMQRLSHALKILSESEKQLRMSRNQSTWLTAALLQLSSLEASAVDVNDSKSSIRNGHDRDGGFSSTPSTGESLKHLALYSCEDSKLQKSQVQGGCQATLDTIWKRTSELCQSNSLRNFLRKQGKLSSLHINRGLAVAELEFHHSDYASKAEKSWKLIASLLQTILGCNVEIRINLVLCTPPASKCAKLWKQSFCFFGCSRRMRHKSQPPIECGIDSDYSDHISERPMIRERAISACPSDSRSQIPHNCYHRAEVVRALRNSEGNVLSIGTTSSLRSLHDDTSKTPGYGFSSSKAGGNDLDYTVFSSQEAEDQPNCFPKSLRLQKMIRSSENTQVVCIGNHQEKQMGRRNLLKHALKPMIHMF >Potri.015G088100.3.v4.1 pep chromosome:Pop_tri_v4:15:11168367:11174343:1 gene:Potri.015G088100.v4.1 transcript:Potri.015G088100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088100.v4.1 MADGRRHSVDIPITRTLIALRRVRSLRDPSTNSMSKFSALLENANWETNSTKDISLQSANGSKEGGSNHNRVSGWKNLGLDGQSKQQVDNFDSGSDFEKSKLIFGDSLGGVKNMDAHFRNKKVEGLDSCKEEINGTMSLSEVYYGSHRNKELDLVCITPSSNHLEDVDSTNGPIAGSLLGDSTDQSVTRRKSRYKNQVQSYGGVGDILSRVGSPCFSGSDAFSSQGTSLFANKEADFMVQKDHGCGISCCWTRTPRLRDSNPYSDAEGNPLLSRDVAETSPCGKRSWKHATNETPRSLSQKFRPKSFDELVGQGVVARSLLGAISRGRITSLYLFHGPRGTGKTSASKIFAAALNCLSHEENKPCGLCRECYVFFSGRSQDVKEVDSVRINQTRRIRSLIKDASMPPISSRFKVFIVDECHLLHGETWAIVLNSLENLSENVVFVMITPELDMLPKSAVTRSQKYHFPKIKEADIAGRLRNICVEEALDFDQVALDFIATKSSGSLRDAEIMLDQLSLLGKRITMTMVHELIGVVSDDELLGLLDLALSSDTSSTVIRARELMRSRIDPMQLVSQLANLIMDVLAGKCKDDSSEVRRKFSRKHSSEGDMQRLSHALKILSESEKQLRMSRNQSTWLTAALLQLSSLEASAVDVNDSKSSIRNGHDRDGGFSSTPSTGESLKHLALYSCEDSKLQKSQVQGGCQATLDTIWKRTSELCQSNSLRNFLRKQGKLSSLHINRGLAVAELEFHHSDYASKAEKSWKLIASLLQTILGCNVEIRINLVLCTPPASKCAKLWKQSFCFFGCSRRMRHKSQPPIECGIDSDYSDHISERPMIRERAISACPSDSRSQIPHNCYHRAEVVRALRNSEGNVLSIGTTSSLRSLHDDTSKTPGYGFSSSKAGGNDLDYTVFSSQEAEDQPNCFPKSLRLQKMIRSSENTQVVCIGNHQEKQMGRRNLLKHALKPMIHMF >Potri.015G088100.8.v4.1 pep chromosome:Pop_tri_v4:15:11169222:11174341:1 gene:Potri.015G088100.v4.1 transcript:Potri.015G088100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088100.v4.1 MDAHFRNKKVEGLDSCKEEINGTMSLSEVYYGSHRNKELDLVCITPSSNHLEDVDSTNGPIAGSLLGDSTDQSVTRRKSRYKNQVQSYGGVGDILSRVGSPCFSGSDAFSSQGTSLFANKEADFMVQKDHGCGISCCWTRTPRLRDSNPYSDAEGNPLLSRDVAETSPCGKRSWKHATNETPRSLSQKFRPKSFDELVGQGVVARSLLGAISRGRITSLYLFHGPRGTGKTSASKIFAAALNCLSHEENKPCGLCRECYVFFSGRSQDVKEVDSVRINQTRRIRSLIKDASMPPISSRFKVFIVDECHLLHGETWAIVLNSLENLSENVVFVMITPELDMLPKSAVTRSQKYHFPKIKEADIAGRLRNICVEEALDFDQVALDFIATKSSGSLRDAEIMLDQLSLLGKRITMTMVHELIGVVSDDELLGLLDLALSSDTSSTVIRARELMRSRIDPMQLVSQLANLIMDVLAGKCKDDSSEVRRKFSRKHSFSFFTAEGDMQRLSHALKILSESEKQLRMSRNQSTWLTAALLQLSSLEASAVDVNDSKSSIRNGHDRDGGFSSTPSTGESLKHLALYSCEDSKLQKSQVQGGCQATLDTIWKRTSELCQSNSLRNFLRKQGKLSSLHINRGLAVAELEFHHSDYASKAEKSWKLIASLLQTILGCNVEIRINLVLCTPPASKCAKLWKQSFCFFGCSRRMRHKSQPPIECGIDSDYSDHISERPMIRERAISACPSDSRSQIPHNCYHRAEVVRALRNSEGNVLSIGTTSSLRSLHDDTSKTPGYGFSSSKAGGNDLDYTVFSSQEAEDQPNCFPKSLRLQKMIRSSENTQVVCIGNHQEKQMGRRNLLKHALKPMIHMF >Potri.008G005800.1.v4.1 pep chromosome:Pop_tri_v4:8:260030:266195:1 gene:Potri.008G005800.v4.1 transcript:Potri.008G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005800.v4.1 MQLVSNDSRQKDFSESEPILSQSNILQHSEEESSSSREIKSLGRDCDVHVAELESICIDETSLLVNPDQPQCRICLDIGGEDLIAPCHCKGTQKHVHRSCLDNWRSTKEGFAFAHCTECRAMFILRANVPADRWWLRLKFHFLVARDHALIFIVVQLVVAFLGVLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVLDREACKDVPELGASHVSELRMLGLC >Potri.001G217050.1.v4.1 pep chromosome:Pop_tri_v4:1:22566484:22568568:1 gene:Potri.001G217050.v4.1 transcript:Potri.001G217050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217050.v4.1 MEVGYGSGDKTGFFTQGRRSLKALTVQFKVKTVELARNIKKLGQDDPRRVIHSLKVGLALTLVSMFYYCQPLYSNFDETAIWAIMTVVVVFEFSVGATLGKGLNRGMATLMAGGLGAGAHHLANLSGHIGEPILLGFFVFLQATISTFLRFFPKIKSRYDYGMLIFILTFSMISVSGYRDDEILELAHRRLSTICIGGATCVIISIVIFPVWAGEDLHNLIALNIEKLGNFLEGFGDEYFKRTGGEECNEDKKILEGYKSFLNSNYSEGSLANFAAWEPGHGRFPFRHPWKLYLKVGTLARECAYRIEALNGYLNADTQVSSEVSTIIQEACTTMSLESGKALKELALAIKIMVQPSSADSHIENAKSAAKNIKSLLKSGIWEDIDLLKVIPGVTVCSILVDVVTCTETIAASIHELASKAQFKSAESPLSEQIQSVKSAEMVNCPHVITVSESTLAASPSESSSAPKASKQSMEV >Potri.009G129301.1.v4.1 pep chromosome:Pop_tri_v4:9:10589663:10591441:-1 gene:Potri.009G129301.v4.1 transcript:Potri.009G129301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129301.v4.1 MFFMLCMTVAYNIFEFRPSPEWWSEVSRQVHGPFLPCRNCNHIFFFKVVQNLFYQTLECAGPKKKFFEDSTLKAQNSIANFDIKANKARHPLASTRFFTELLEFRDKREEKKKKKQEEMEWFYPKRRGPEWKQGWTGQTMGSVSSPPLPLLAIFGIVISLLWLSHYTGYKAQLHHSAINLQIFLVLLPILLILFMASYSTNWLLYYRLRSSQHDSDPRSSGSFPWGIAIFVVVLLMLLSYRSTFHSKWFGPLQGSD >Potri.004G179833.1.v4.1 pep chromosome:Pop_tri_v4:4:19435989:19436767:-1 gene:Potri.004G179833.v4.1 transcript:Potri.004G179833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179833.v4.1 MMKVANLIAVFILLALASSFVTAYDPSPLQDFCVAIDDANSAVLVNGKLCKNPSLATPDDFSYSGLDVPGNTSNQLGARVNIITADLMPGLNTLGVSLARIDLAPNGGLNPPHYHPRGSEVLLVLEGTLYAGFVTSNPDHRLFTKILKPGDLFVFPFGLIHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASWPLYPEVLTTAFHLDEKLVEDLQSQEWVNPT >Potri.014G012900.1.v4.1 pep chromosome:Pop_tri_v4:14:742171:743075:1 gene:Potri.014G012900.v4.1 transcript:Potri.014G012900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012900.v4.1 MSHDDAVQSKVNVPFSWEQKPGVSKVTRQEVRPEDIWHFRLKLPPPPPPPPCASKSTKFPSDYSLQVPSTPSSSFKKGTRIQEDPFLRAYKKCIGSPINGKLTSDGKTDHGRPKIVRKNAGSRSSSLSCKYSCSVAGYHLARKSRFSTPISKSEGTGKGQLLGSRFASR >Potri.014G185100.2.v4.1 pep chromosome:Pop_tri_v4:14:15068381:15070771:-1 gene:Potri.014G185100.v4.1 transcript:Potri.014G185100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185100.v4.1 MVVLPYTVAFLLLSFLQTVKIANSQSFIGINYGQVADNLPSPSSTAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVLGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNEVMTSNDQNLMNKLLPAMQNVQNALNDASLGGKIKVSTVHPMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYFAYRSDPRPETLAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVFSALNSMGFKNVEIMVAETGWPYKGDDNEVGPSIENAKAYNGNLIAHLRSLVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDVGLSTSSQTQPPAAAPQPPPQPATTTITNNSSSTRTSSNRSTSSSSNNISTSSKRWSVSWLVFLELKILRNGVWRDIFN >Potri.010G178050.1.v4.1 pep chromosome:Pop_tri_v4:10:17757539:17760928:1 gene:Potri.010G178050.v4.1 transcript:Potri.010G178050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178050.v4.1 MALSEKNPMVVAHILIGMVLVLLSSRISVSNATETDLACLKSIKASLVDPNNYLNTTWNFNNNTEGFICRFMGIDCWHPDENRVLNIRLSDLGLEGQFPLGIKNCTSLTGLDLSHNKLSGSIPDNISDLIHYITNLDLSFNNFSGGIPKNLANCSFLNDLKLDNNRLTGKIPLELGLLDRIKEFTVTNNLLSGQIPSFVHNNIPADSFANNLDLCGKPLSTCPAVARKSHVGVIAASAAGGITFTSIIVGVFLFYLSRGAAKKKAEDPEGNRWAKSIKGTKGIKVSMFEKSVSKMRLSDLMKATNDFSNNNIIGAGRTGPMYKAVISDGCFLMVKRLQDSQRLEKEFVSEMKTLGNVKHRNLVPLLGFCVAKRERFLVYKFMENGTLYDKLHPVEPEIRNMDWSLRLKIAIGAARGLAWLHHNCNPRIIHRNISSKCILLDNDFEPKLSDFGLARLMNPIDTHLSTFVNGEFGDMGYVAPEYLRTLVATPKGDVYSFGVVLLELITGEKPTHVANAPESFKGSLVEWIRQLTDGPLLHTSIDKPLLGNGFDHELNQFLKVACNCVVENAKERPTMFEVHQLLRAIGERYHFTTEDDIVLPTDTGDTDFPDELIVADATKEV >Potri.004G083100.1.v4.1 pep chromosome:Pop_tri_v4:4:6867584:6869888:-1 gene:Potri.004G083100.v4.1 transcript:Potri.004G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G083100.v4.1 MEVMIPNAASSIPEEVKAFDATKAGVKGLVDSGVTKIPRFFVHPPEDVQKLSSETNSTTLQLPIIDLEGFESFRRLEVVNEVRKASEEWGFFQIVNHGIPVTVMDGMLAGAKRFHEQPQEVKKELYSRDKKKPVRFYYGASSLTIKPSVWKDSAMFYFKDGKLDPELIPDILSEEISGYNTHIKRISKILSELLSEALGLRPDYLSSIECMESEVIVCNYYPPCPEPGLTMGASNHTDHPSFLTILQQDNMGGLQVLHQNQWVDVTPMQGALIVTIGDFMQLITNDLLRSARHRVFSGEVGPRISITCFLYPSSAKNLKQYGIINELQHGNPAAIYRETDTAELWEKIRVSGPSPSTLSRFKE >Potri.001G400200.1.v4.1 pep chromosome:Pop_tri_v4:1:42644273:42644473:1 gene:Potri.001G400200.v4.1 transcript:Potri.001G400200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400200.v4.1 MIRKMREAEYYTNYKTSLKPHQRPQTWPIHNNEEDTKIKTLTDTFVCSLHTHKYRRTQIIYQKLHY >Potri.003G185951.2.v4.1 pep chromosome:Pop_tri_v4:3:19093444:19102300:-1 gene:Potri.003G185951.v4.1 transcript:Potri.003G185951.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185951.v4.1 MEDAAAAASSAVVVEEERLDVLNKTGQKTGISKPRGEVHRDGDYHRAVHVWIYSESTQELLLQRRADCKDSWPGRWDISSAGHISAGDSSLVSAQRELQEELGISLPKDAFEHIFIYLQECVINDGKFINNEFNDVYLVTTVDPIPLEAFTLQETEVSAVKYISFEEYRSLLVKEDPDYVPYDVDEQYGQLFEIIRKRYTENTVARSLSLQKQLCRYASVSLDAELTGLSNTDSKTLGLLIKAAKLMDEIFYLQVWYSNPALRDWLKEHASASELDKLKWMYYSINKSPWSCLDENEAFLTTTDSAIKLLPEATKPVSGWKGLEYKAAFPMLKPPGANFYPPDMDKKEFKLWNDSLTEKEQNDAMGFFTVIKRHSEFSLDSSSPNHAVHGTNHLMTAHDLYSVPYSKEYNSFLRKAAELLHEAGDLAGSPSLKRLLHSKADAFLSNDYYESDIAWMELDSKLDITIGPYETYEDAIFGYKATFEAFIGIRDDKATAQLKLFGDNLQFLEQNLPMDSAYKSKNVNAAPIRVIRLLYNAGDVKGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKHILQPIADVCISKEQKGLVDFESFFTHTICHECCHGIGPHTITLPDGQKSTVRKELQELHSALEEAKADIVGLWALKFLINQDLLPRSMVKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWMYEKEAFILHPDETFSVDFAKVEEAVESLSREILTIQAKGDKEAADLLLQKYCKMTRPLKHALEKLESVQVPVDIYPIFSTVNEISE >Potri.003G185951.1.v4.1 pep chromosome:Pop_tri_v4:3:19093443:19102389:-1 gene:Potri.003G185951.v4.1 transcript:Potri.003G185951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185951.v4.1 MEDAAAAASSAVVVEEERLDVLNKTGQKTGISKPRGEVHRDGDYHRAVHVWIYSESTQELLLQRRADCKDSWPGRWDISSAGHISAGDSSLVSAQRELQEELGISLPKDAFEHIFIYLQECVINDGKFINNEFNDVYLVTTVDPIPLEAFTLQETEVSAVKYISFEEYRSLLVKEDPDYVPYDVDEQYGQLFEIIRKRYTENTVARSLSLQKQLCRYASVSLDAELTGLSNTDSKTLGLLIKAAKLMDEIFYLQVWYSNPALRDWLKEHASASELDKLKWMYYSINKSPWSCLDENEAFLTTTDSAIKLLPEATKPVSGWKGLEYKAAFPMLKPPGANFYPPDMDKKEFKLWNDSLTEKEQNDAMGFFTVIKRHSEFSLDSSSPNHAVHGTNHLMTAHDLYSVPYSKEYNSFLRKAAELLHEAGDLAGSPSLKRLLHSKADAFLSNDYYESDIAWMELDSKLDITIGPYETYEDAIFGYKATFEAFIGIRDDKATAQLKLFGDNLQFLEQNLPMDSAYKSKNVNAAPIRVIRLLYNAGDVKGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKHILQPIADVCISKEQKGLVDFESFFTHTICHECCHGIGPHTITLPDGQKSTVRKELQELHSALEEAKADIVGLWALKFLINQDLLPRSMVKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWMYEKEAFILHPDETFSVDFAKVEEAVESLSREILTIQAKGDKEAADLLLQKYCKMTRPLKHALEKLESVQVPVDIYPIFSTVNEISE >Potri.011G123600.1.v4.1 pep chromosome:Pop_tri_v4:11:15412948:15413581:1 gene:Potri.011G123600.v4.1 transcript:Potri.011G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123600.v4.1 MESMSQKQARGRLQLPTRQALGEGSRVRRNNQVVSIPPRPRSTCTCSNRPGSVRCSKHGYMVPGDKLIRRHQANKELLRRALAPPNRRLTLRWFNFRPTPSRLSNMSMA >Potri.010G186750.1.v4.1 pep chromosome:Pop_tri_v4:10:18345023:18345346:-1 gene:Potri.010G186750.v4.1 transcript:Potri.010G186750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G186750.v4.1 MINSQEIVLFVSVAELHRPPLQSVALEHNCKGKDMRSRRRCMMGHISMKSVSISRASGCGLNGTSSLKKLTQGQGKRKHVMQKLEQIKQIITSQAVHNLRSLELDSV >Potri.004G190600.2.v4.1 pep chromosome:Pop_tri_v4:4:20281804:20286970:-1 gene:Potri.004G190600.v4.1 transcript:Potri.004G190600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190600.v4.1 MFNGMMDPELIKIAQEQMSRMTPADFARIQQQVMSNPELVRMASESMKNMKPDDLKQAAEQLKHTRPEEMAEIGEKMMNASPEEIAAMRARADAQTTYEINVAQMLKKQGNELHSQGKFNDALQKYLLAKQNLKGITSSKGRTLWLACSLNLMSCYLKTKQYNECIKEGSEVLGYDANNVKALYRRGQAYRELGQLEDAVSDLRKAHEVSPDEETIADILRSAEETLAREGGHHAPREITDEAETVSSENLKSTSTEYQVKQPRESADISKSGKGGRNGSSATNSEALEALKDDPEAMRSFQNFISNADPETLAALNGAKAGEVSPDMVKAASNMIGKMSPEELQRMLQMASSFQGATPFTAGGSSDSSFNGFKSGVVPPNVTPDMLKTASDTMNKMSPEELQKMFEMASSLRGNSSVPAVASALNTDRSSLGARSKPTETREKFAVNGNNGISETSSSRDFFSSSRNAPPSSFPASTSDMQEQMRNQMKDPAMQQMFTSMMKNMSPEMMANMSEQFGIKLSQEDAAKAQQAMASLSPEDLDKMMRWADRIQRGAEGAKKAKNWLLGRPGMILAICMLILAIILHRLGFIGR >Potri.004G190600.1.v4.1 pep chromosome:Pop_tri_v4:4:20281542:20286970:-1 gene:Potri.004G190600.v4.1 transcript:Potri.004G190600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190600.v4.1 MFNGMMDPELIKIAQEQMSRMTPADFARIQQQVMSNPELVRMASESMKNMKPDDLKQAAEQLKHTRPEEMAEIGEKMMNASPEEIAAMRARADAQTTYEINVAQMLKKQGNELHSQGKFNDALQKYLLAKQNLKGITSSKGRTLWLACSLNLMSCYLKTKQYNECIKEGSEVLGYDANNVKALYRRGQAYRELGQLEDAVSDLRKAHEVSPDEETIADILRSAEETLAREGGHHAPRGVIIEEITDEAETVSSENLKSTSTEYQVKQPRESADISKSGKGGRNGSSATNSEALEALKDDPEAMRSFQNFISNADPETLAALNGAKAGEVSPDMVKAASNMIGKMSPEELQRMLQMASSFQGATPFTAGGSSDSSFNGFKSGVVPPNVTPDMLKTASDTMNKMSPEELQKMFEMASSLRGNSSVPAVASALNTDRSSLGARSKPTETREKFAVNGNNGISETSSSRDFFSSSRNAPPSSFPASTSDMQEQMRNQMKDPAMQQMFTSMMKNMSPEMMANMSEQFGIKLSQEDAAKAQQAMASLSPEDLDKMMRWADRIQRGAEGAKKAKNWLLGRPGMILAICMLILAIILHRLGFIGR >Potri.011G127900.1.v4.1 pep chromosome:Pop_tri_v4:11:15565957:15567508:-1 gene:Potri.011G127900.v4.1 transcript:Potri.011G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127900.v4.1 MKVSSSNYGLLMILLLACGAAQIYADYRTCITGPCARKKMACPAECPKANPTDPKVRKVCRLNCNSPVCQTECKRIKPNCDAPGAACLDPRFIGGDGIVFYFHGKSNEHFSLVSDLNLQINARFIGLRPAGRTRDFTWIQALGILFDSHSFSLEATEASTWNGEVDHLKFSHNGEDLIIPEGQLSTWQSPDSDIKVQRTSSKNSVLVTLPEVAEISINVVPVTKEDDRIHNYQIPSDDCFAHLEVQFQFFGLSSKVEGVIGRTYQPDFKNPAKPGVAMPVVGGEEMFRTTSLLSADCGACVFSPAGVLDQKDTLLMDFGKLDCTGGSSSGHGIVCRK >Potri.001G350700.3.v4.1 pep chromosome:Pop_tri_v4:1:36305911:36320216:1 gene:Potri.001G350700.v4.1 transcript:Potri.001G350700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350700.v4.1 MRGSDGILGMKGGERNSSEKWVKRRERWLVILGVVLHAVYMLSIFDIYFKTPIVHGMHPVKPRFKAPPAKRLVLLVADGLRADKFFEPDSEGNHRAPFLRNIIKNRGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTFAYGSPDIVPIFCGALPHSTWKTYPHEFEDFATDASFLDEWSFDQFQSLLNRSTQDPELKELLLQDNLVIFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYSLLEHYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKYPKPISTSNNSDHGVFVDEHAHDMPTPVDWGLNGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMIEAEEVEAVLANTKQILNQFLCKSKIKQSNSLYFKPFKLLAHHSAALEHIEYLISIRDYGSAMILAQNLRTLALKGLQYFQTYDWLMLMTVITLGYIGWIICLLLHILQSYTSLADVFKNPHDAWQRNNKTKQVYLFGCLLAGAISVLLFMEHSPPLYHAYFSMTVFLWTQIVGEYQFIKTLCRWLSWGKYNYIIKILAASAVSIFILEFLVNSFTERKLYTWCFFIMGIIAAFYLFHAIPWRSGIPIYVCCACWFLSVFTLMPADIPDNNGLVIASGAIIVIIGAAARWLDQHSEGNKFWLRICYHKMEKPRSPVLFFLQVLLVGLSSAMVSISTSHRKEKQELHAIHQLINWSIGGFSMVLPLFSEKSLLSRLTSIFLGFAPTFLLLSIGYEAVFYGALALVLVAWILFENTILHLTKVAKLSASMKRTGEKTMLENDVRYLQLSDVRIPLIFLILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMATLLIFKLFIPFMLVICVFSAITKLLQVPRVGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNTYTKDIQIGSAGSSSQKAA >Potri.001G350700.4.v4.1 pep chromosome:Pop_tri_v4:1:36305889:36320870:1 gene:Potri.001G350700.v4.1 transcript:Potri.001G350700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350700.v4.1 MRGSDGILGMKGGERNSSEKWVKRRERWLVILGVVLHAVYMLSIFDIYFKTPIVHGMHPVKPRFKAPPAKRLVLLVADGLRADKFFEPDSEGNHRAPFLRNIIKNRGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTFAYGSPDIVPIFCGALPHSTWKTYPHEFEDFATDASFLDEWSFDQFQSLLNRSTQDPELKELLLQDNLVIFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYSLLEHYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKYPKPISTSNNSDHGVFVDEHAHDMPTPVDWGLNGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMIEAEEVEAVLANTKQILNQFLCKSKIKQSNSLYFKPFKLLAHHSAALEHIEYLISIRDYGSAMILAQNLRTLALKGLQYFQTYDWLMLMTVITLGYIGWIICLLLHILQSYTSLADVFKNPHDAWQRNNKTKVYLFGCLLAGAISVLLFMEHSPPLYHAYFSMTVFLWTQIVGEYQFIKTLCRWLSWGKYNYIIKILAASAVSIFILEFLVNSFTERKLYTWCFFIMGIIAAFYLFHAIPWRSGIPIYVCCACWFLSVFTLMPADIPDNNGLVIASGAIIVIIGAAARWLDQHSEGNKFWLRICYHKMEKPRSPVLFFLQVLLVGLSSAMVSISTSHRKEKQELHAIHQLINWSIGGFSMVLPLFSEKSLLSRLTSIFLGFAPTFLLLSIGYEAVFYGALALVLVAWILFENTILHLTKVAKLSASMKRTGEKTMLENDVRYLQLSDVRIPLIFLILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMATLLIFKLFIPFMLVICVFSAITKLLQVPRVGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNTYTKDIQIGSAGSSSQKAA >Potri.001G350700.5.v4.1 pep chromosome:Pop_tri_v4:1:36305863:36320656:1 gene:Potri.001G350700.v4.1 transcript:Potri.001G350700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350700.v4.1 MRGSDGILGMKGGERNSSEKWVKRRERWLVILGVVLHAVYMLSIFDIYFKTPIVHGMHPVKPRFKAPPAKRLVLLVADGLRADKFFEPDSEGNHRAPFLRNIIKNRGRWGVSHARPPTESRPGHVSIIAGFYEDPSAVTKGWKANPVEFDSVFNQSRHTFAYGSPDIVPIFCGALPHSTWKTYPHEFEDFATDASFLDEWSFDQFQSLLNRSTQDPELKELLLQDNLVIFLHLLGCDSNGHAHRPFSSIYLNNVKVVDHIAERVYSLLEHYYKDNRTSYIFTADHGMSDKGSHGDGHPSNTDTPLVVWGAGVKYPKPISTSNNSDHGVFVDEHAHDMPTPVDWGLNGIERVDVNQADIAPLMSTLLGLPCPVNSVGNLPLGYVDMIEAEEVEAVLANTKQILNQFLCKSKIKQSNSLYFKPFKLLAHHSAALEHIEYLISIRDYGSAMILAQNLRTLALKGLQYFQTYDWLMLMTVITLGYIGWIICLLLHILQSYTSLADVFKNPHDAWQRNNKTKVYLFGCLLAGAISVLLFMEHSPPLYHAYFSMTVFLWTQIVGEYQFIKTLCRWLSWGKYNYIIKILAASAVSIFILEFLVNSFTERKLYTWCFFIMGIIAAFYLFHAIPWRSGIPIYVCCACWFLSVFTLMPADIPDNNGLVIASGAIIVIIGAAARWLDQHSEGNKFWLRICYHKMEKPRSPVLFFLQVLLVGLSSAMVSISTSHRKEKQELHAIHQLINWSIGGFSMVLPLFSEKSLLSRLTSIFLGFAPTFLLLSIGYEAVFYGALALVLVAWILFENTILHLTKVAKLSASMKRTGEKTMLENDVRYLQLSDVRIPLIFLILFNVAFFGTGNFASIASFEISSVYRFITVFSPFLMATLLIFKLFIPFMLVICVFSAITKLLQVPRVGCYFLVILFSDVMTIHFFFLVRNTGSWMEIGNSISHFGIVSAQVVFVLLLFALTNTYTKDIQIGSAGSSSQKAA >Potri.008G177700.1.v4.1 pep chromosome:Pop_tri_v4:8:12271454:12273469:1 gene:Potri.008G177700.v4.1 transcript:Potri.008G177700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177700.v4.1 MSGELENPKDGGEEQRGTGASSSADEIKETWYSGLLKLTSIYGVAAGYCISASLLSIINKWAVMKFPYPGALTALQYFTSAAGVLVCGWFRVVEHDSLDLLTMWRFLPAAVMFYLSLFTNSELLLHANVDTFIVFRSIVPIFVAIGETLFLHQPWPSLKTWLSLATIFGGSVLYVLTDYQFTVMAYSWAVAYLVSMTIDFVYIKHVVMTIGLNTWGLVLYNNLEALLLFPLELLIMGELKKIKHEISDESDWHSFGVVLPVGLSCLFGLAISFFGFSCRRAISATGYTVLGVVNKLLTVVINLVVWDKHSTFIGTVGLLICMLGGIMYQQSTSKPKAVPEVKAEQTDEEQQKLLEMQSNNNEKEVTQSHQGK >Potri.005G199800.1.v4.1 pep chromosome:Pop_tri_v4:5:20539135:20541209:1 gene:Potri.005G199800.v4.1 transcript:Potri.005G199800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199800.v4.1 MASSLDSFLSKCTTLSLPHTKQLHAHLFTTGQFQLPISPARSKLLELYALSLGNLSFAILTFSQIRTPSTNDWNAIIRGFIQSPNPTNAFAWYKSMISKSRKVDALTCSFVLKACARVLARLESIQIHTHIVRKGFIADALLGTTLLDVYAKVGEIDSAEKVFDEMVKRDIASWNALISGFAQGSKPTEALSLFKRMEIDGFKPNEISVLGALSACAQLGDFKEGEKIHGYIKVERFDMNAQVCNVVIDMYAKCGFVDKAYSVFESMSCRKDIVTWNTMIMAFAMHGEGCKALELFEKMDQSGVSPDDVSYLAVLCACNHGGLVEEGFRLFNSMENCGVKPNVKHYGSVVDLLGRAGRLHEAYDIVNSMPTVPDIVLWQTLLGASRTHRNVEIAETVSRKLVEMGSNHCGDFVLLSNVYAARERWADVGRVREAMKNRDVKKVPGLSYIEGNGVIHKFYNADKSHESWREIYAKLDEIRFRVKEYGYVAETSFVLHDIGEEDKENVLGHHSEKLAVAFGLISTSEGTPIQVIKNLRICGDCHFVIKLISKIYDREIIVRDRVRFHRFKEGFCSCRDYW >Potri.010G165900.4.v4.1 pep chromosome:Pop_tri_v4:10:16957768:16959922:-1 gene:Potri.010G165900.v4.1 transcript:Potri.010G165900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165900.v4.1 MNGSHGHQQPKTHTKILLTSLSAMVAEIATFPIDLTKTRLQLHSSTTKPTSAFVVASEIIRQQGPLGFYQGLSPAILRHLFYTPIRIVGYENLRYLVVVNNEVGGGDLVSLSTKALLGGLSGVIAQVVASPADLVKVRMQADGRIVNQGLQPRYSGPLDAFSKIIKAEGFGGLWKGVFPNIQRAFLVNMGELACYDHAKRFIIQNHISADNIYAHTLASIMSGLSATALSCPADVVKTRMMNQAASKDGKAVYQSSYDCLVKTVRMEGLKALWKGFFPTWSRLGPWQFVFWVTYEKFRHAAGLSSF >Potri.004G046801.7.v4.1 pep chromosome:Pop_tri_v4:4:3721329:3725464:-1 gene:Potri.004G046801.v4.1 transcript:Potri.004G046801.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046801.v4.1 MAFESSLLFGIYSQTKQSLQGGLQSDAPRPQVIIPSAAYGGAIISFLLCPSELVKCRMQIQGTDSLVPEFSRYSSPLDCALQTVKNEGVTGIFRGGFTTLLRESIGNAVFFSVYEYVRHYMHLQLKPTLSDRSNLVDMGIGIVTGGLGGVAFWSAVLPLDVAKTIIQTSPDKSSSRNPFLILNSSLCCKNLCQVTVFMDAFINCCQESRQSWWINYENPSRF >Potri.004G046801.6.v4.1 pep chromosome:Pop_tri_v4:4:3721329:3725464:-1 gene:Potri.004G046801.v4.1 transcript:Potri.004G046801.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046801.v4.1 MAFESSLLFGIYSQTKQSLQGGLQSDAPRPQVIIPSAAYGGAIISFLLCPSELVKCRMQIQGTDSLVPEFSRYSSPLDCALQTVKNEGVTGIFRGGFTTLLRESIGNAVFFSVYEYVRHYMHLQLKPTLSDRSNLVDMGIGIVTGGLGGVAFWSAVLPLDVAKTIIQTSPDKSSSRNPFLILNSIYRRAGLKGCYTGLGPTIVRAFPANAAAIVTWELAMKMLGINRD >Potri.004G046801.2.v4.1 pep chromosome:Pop_tri_v4:4:3721329:3725464:-1 gene:Potri.004G046801.v4.1 transcript:Potri.004G046801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046801.v4.1 MGEAFAYKEYLAGLLAGVATVITGHPFDTVKVKLQIHNTEAHGIKYKNGLHCTTRILQTEGVKGLYRGASSSFVGMAFESSLLFGIYSQTKQSLQGGLQSDAPRPQVIIPSAAYGGAIISFLLCPSELVKCRMQIQGTDSLVPEFSRYSSPLDCALQTVKNEGVTGIFRGGFTTLLRESIGNAVFFSVYEYVRHYMHLQLKPTLSDRSNLVDMGIGIVTGGLGGVAFWSAVLPLDVAKTIIQTSPDKSSSRNPFLILNSIYRRAGLKGCYTGLGPTIVRAFPANAAAIVTWELAMKMLGINRD >Potri.004G046801.4.v4.1 pep chromosome:Pop_tri_v4:4:3721329:3725464:-1 gene:Potri.004G046801.v4.1 transcript:Potri.004G046801.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046801.v4.1 MGEAFAYKEYLAGLLAGVATVITGHPFDTVKVKLQIHNTEAHGIKYKNGLHCTTRILQTEGVKGLYRGASSSFVGMAFESSLLFGIYSQTKQSLQGGLQSDAPRPQVIIPSAAYGGAIISFLLCPSELVKCRMQIQGTDSLVPEFSRYSSPLDCALQTVKNEGVTGIFRGGFTTLLRESIGNAVFFSVYEYVRHYMHLQLKPTLSDRSNLVDMGIGIVTGGLGGVAFWSAVLPLDVAKTIIQTSPDKSSSRNPFLILNSSLCCKNLCQVTVFMDAFINCCQESRQSWWINYENPSRF >Potri.004G046801.5.v4.1 pep chromosome:Pop_tri_v4:4:3721329:3725464:-1 gene:Potri.004G046801.v4.1 transcript:Potri.004G046801.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046801.v4.1 MGEAFAYKEYLAGLLAGVATVITGHPFDTVKVKLQIHNTEAHGIKYKNGLHCTTRILQTEGVKGLYRGASSSFVGMAFESSLLFGIYSQTKQSLQGGLQSDAPRPQVIIPSAAYGGAIISFLLCPSELVKCRMQIQGTDSLVPEFSRYSSPLDCALQTVKNEGVTGIFRGGFTTLLRESIGNAVFFSVYEYVRHYMHLQLKPTLSDRSNLVDMGIGIVTGGLGGVAFWSAVLPLDVAKTIIQTSPDKSSSRNPFLILNSSLCCKNLCQVTVFMDAFINCCQESRQSWWINYENPSRF >Potri.004G046801.1.v4.1 pep chromosome:Pop_tri_v4:4:3722716:3723535:-1 gene:Potri.004G046801.v4.1 transcript:Potri.004G046801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046801.v4.1 MQIQGTDSLVPEFSRYSSPLDCALQTVKNEGVTGIFRGGFTTLLRESIGNAVFFSVYEYVRHYMHLQLKPTLSDRSNLVDMGIGIVTGGLGGVAFWSAVLPLDVAKTIIQTSPDKSSSRNPFLILNSIYRRAGLKGCYTGLGPTIVRAFPANAAAIVTWELAMKMLGINRD >Potri.004G046801.3.v4.1 pep chromosome:Pop_tri_v4:4:3721329:3725464:-1 gene:Potri.004G046801.v4.1 transcript:Potri.004G046801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046801.v4.1 MGEAFAYKEYLAGLLAGVATVITGHPFDTVKVKLQIHNTEAHGIKYKNGLHCTTRILQTEGVKGLYRGASSSFVGMAFESSLLFGIYSQTKQSLQGGLQSDAPRPQVIIPSAAYGGAIISFLLCPSELVKCRMQIQGTDSLVPEFSRYSSPLDCALQTVKNEGVTGIFRGGFTTLLRESIGNAVFFSVYEYVRHYMHLQLKPTLSDRSNLVDMGIGIVTGGLGGVAFWSAVLPLDVAKTIIQTSPDKSSSRNPFLILNSIYRRAGLKGCYTGLGPTIVRAFPANAAAIVTWELAMKMLGINRD >Potri.001G167700.1.v4.1 pep chromosome:Pop_tri_v4:1:14304224:14311001:1 gene:Potri.001G167700.v4.1 transcript:Potri.001G167700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167700.v4.1 MALATEIIGGRLIDGSSFLPTSKMLTNQRVGMVKRNQFLGSPVLVPSQQIRRQEQLKRAVRAPVAAISEDIIKTNNKTTVPEKAVNFKVRAVVTVRNKHKEDLKATIVKQLDSFTDKIGRNVVLELISTDVDPKSKEPKRSKPAALRDWSKKSNLKAERVHYTAEFTVDSNFGVPGAITVSNKHQQEFFMESITIEGFACGPVHFPCNSWIQSKKDHPGKRILFSNKPYLPSETPAGLRALREKELRDLRGDGKGVRKLSDRIYDFDVYNDLGNPDKSVNLTRPSLGGKKIPFPRRCRTGRLPMDSDITAESRVEKPLPLYVPRDEQFEESKKNTFSAGRLKSVLHNIIPSLKATISAENHDFSGFSDIDILYKEGLLLKVGLQDEIWKNLPLPKVVTKIQESSEGLLKYDTPKILSRDKFAWLRDDEFARQAVSGVNPVSIESLKVFPPKSNLDPEIYGPQESAFKEEHILGHLNGLSVSQALEENKLFIIDYHDAYLPFLDRINALDGRKAYATRTMFFLTPLGTLKPIAIELSLPPAGPNSRSKRVVTPPMDATSNWVWQLAKAHVCSNDAGVHQLVNHWLRTHASLEPFILAAHRQMSAMHPIFKLLDPHMRYTLEINALARQNLINADGVIESCFTPGRYCMEISAAAYKSSWRFDKEGLPADLIRRGMAVPDPTQPHGLKLLIEDYPYAQDGLLIWSAIENWVRTYVERYYPDSSLVCNDKELQAWYSESINVGHFDLRDADWWPKLETTDDLVSILTTIIWLASAQHAALNFGQYPYGGYVPNRPPLMRRLIPEENDPEYANFLADPQKYYLLALPSLLQATKFMAVVDILSTHSPDEEYIGERQQPSIWSGDAEIIEAFYEFSAEIQQIEKEIDRRNADPRLKHRCGAGVLPYELLAPSSGPGVTCRGVPNSVSI >Potri.002G108100.1.v4.1 pep chromosome:Pop_tri_v4:2:8068729:8069997:-1 gene:Potri.002G108100.v4.1 transcript:Potri.002G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108100.v4.1 MEEGDTNRPSLGFPVGLVLLLFMLFIMSGLFSCCLHWEKVLSLLGVSSEDNHSHIEEDVEHLPQKSSPPHVKLKRNQGQSLPVLMPGDQVPKFIAMACPCEPPRTEKITVQIQKPPSFPVPFY >Potri.011G155150.1.v4.1 pep chromosome:Pop_tri_v4:11:18194602:18196057:-1 gene:Potri.011G155150.v4.1 transcript:Potri.011G155150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155150.v4.1 MDKYFSSFLLLATRATSTFICTLSPTHSPSSSTTPTPTTNTNTSITLNPTFPPTAAPSPTSTIPTPSPTSAPSPTNNTTSTSTTLTSTTTTSSAPTPIPTTLTLTSVFRLAQTPTYNSTNNSTPTFAPSPALTPTSITTVTTTLSLPPTPPPLTFKQEDLKSVLQEQIDNIIDAVLGAGDFKNWASVLTMADPTTFPISATFFITSDNSLSPTTTTTTSDPFIFPYHIVPQRLAFADLQQFKAFSRFPTLILDKSTHHQQFCIKFHS >Potri.001G324600.1.v4.1 pep chromosome:Pop_tri_v4:1:33357801:33358741:-1 gene:Potri.001G324600.v4.1 transcript:Potri.001G324600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324600.v4.1 MADPSIFNFLNHQHQQYPSSSSSSKPTKKSSTNLPQPSSSRHFPCLYCPRRFYTSQALGGHQNAHKRERAALRRNNTTLNTSISTDPSLIIPSSFLNHNLPPPASTPAVPFFNQYHRYHQQALDHPMMITNYQFPAPPSTNGVYVSAPHYGGLYGVSAAATSLDHDIGSTGSGYDLSTSTDNDDPNDPTNIDLTLRL >Potri.008G048700.1.v4.1 pep chromosome:Pop_tri_v4:8:2849708:2851746:-1 gene:Potri.008G048700.v4.1 transcript:Potri.008G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048700.v4.1 MVRSETPKSVAATRGMLAHFFASSKTRSFSSSFSTLSTPSSSSHKLSKSVTEETIENAELIITKWDPNSSSITRVTSLFHGNRDEAEDFLSSVRDLRRAMHTLVSEHSTSVMLVLSQKLMEMAMARLQKEFYQILAATRDQLDPESISVRSPDGSIEDENELGSEEEFKTAGESITNVVRVVAVAMSDLKSIADCMISSGYSIECVNIYKLVRKSVVDEGLYLLGIEKFRSSQIHKMNWEALEHMIKNWMNAVIAVKTLLSGEKALCDHVFSASQTIKESCFSEITKGAINLFRFPVHVAKCKKLPERIFPLMELYEALSDLQPEVELIFNSESTSDIKLQVVSSLHGLGESIRALLSDFVSTIQNDSSKTLIVGGGIHPLTQKVTSYISSLADYSRILSDIVSDSPPPRNTALPEAYFESPTSDSGLTPAVSVHLAWLIFVLLCKLDRKAEVYKDMSLSYLFLANNVQNVLDKVCTTHLNVLLGEDWVFKHAKKVIQYASTYETMAWGKVFSSLPDINSPPLSPEEAKECFQRFNAAFEEAYKKQVSWVVPDRKLRDELKVSIAKELIPAYREFYDTHRMMLRENNFEMFVRFTPDDLGNYIAHLFHGTALSGSSPPPTPR >Potri.001G335400.3.v4.1 pep chromosome:Pop_tri_v4:1:34385142:34390071:-1 gene:Potri.001G335400.v4.1 transcript:Potri.001G335400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335400.v4.1 MPPTYFPLRWESTGDQWWFASPIDWAAANGHYDLVRELLRIDNNHLINLTSLRRIRRLESVWDDEEQFDDVAKCRSQVARKLFHECESKKGKNSLIQAGYGGWLMYTAASAGDLSFVQELLERNPLLVFGEGEYGVTDTLYAAARSKNSEVFRLIYDFAISPRFLTAKGEFEEHIGEIPSLYKWEMMNRAVHAAARGGSLTILKELLSNCTDVLAYRDKQGATILHAAAARGQVEVVKDLIASFEIMNSTDNLGNTALHIAAYRGQSSVVEALIVASPLLTSSINIAGETFLHMAVSGFQNPAFRRLDRQIELMKQLMSGKVFKMEDIINAKNNEGRTTLHMAIIGNVHSDLTKLLMSARSINVNVRDADGMTPLDLLRQRPHSASSDILMRQLISAGGIFGCQDYTTRRAIASRLKMQGNGGSPGSSFRISDNEIFLYTGIEIASDAYADPATAGVSPSSSELSHPDQTNDNQGSALHKRRDSFNYAAQQLKRVLQWPRLKDKKPEKLRKSIDQGSVASGKKCSGSEETPTPLRQRFSNASSIPNNKRTLSVRSNQSSPTAKKKLASGMMHGVMQGLPQITIPGRSRSSSFSKSSISSPSSLDKQKGVFIESDVAGPSTSNRLFDDGTPNVKEKEGSTSKKLRSQYFCFGAASLSVKTPVSRHRSQGSNPSVLSVA >Potri.002G239400.1.v4.1 pep chromosome:Pop_tri_v4:2:23183753:23186353:-1 gene:Potri.002G239400.v4.1 transcript:Potri.002G239400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239400.v4.1 MDVEEEEEAGIVEPCVGMEFESEDAARKFYSEYARRLGFVVRVMQRRRSGIDGRTLARRLGCNKQGFSPNNRTIVGPGPDKKPRPSAREGCKATILVKMDKSGKWVVTRFEKDHNHPLVVTASGFSSSGDKDKKIEELTKELEHQDHLCATYREKLVSFMNSIEEQTEELASKIQLIVDNVRKVESEVQNFSRRR >Potri.002G239400.4.v4.1 pep chromosome:Pop_tri_v4:2:23183729:23186374:-1 gene:Potri.002G239400.v4.1 transcript:Potri.002G239400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239400.v4.1 MDVEEEEEAGIVEPCVGMEFESEDAARKFYSEYARRLGFVVRVMQRRRSGIDGRTLARRLGCNKQGFSPNNRTIVGPGPDKKPRPSAREGCKATILVKMDKSGKWVVTRFEKDHNHPLVVTASGFSSSGDKDKKIEELTKELEHQDHLCATYREKLVSFMNSIEEQTEELASKIQLIVDNVRKVESEVQNFSRRR >Potri.010G109600.1.v4.1 pep chromosome:Pop_tri_v4:10:13012464:13013677:-1 gene:Potri.010G109600.v4.1 transcript:Potri.010G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109600.v4.1 MSISMATTTEDSNNLQPPPPAVAPPPPPALAPPQQQSQQQSSTIPQYPEMIMAAVEALNEKEGSSKTSISKQIESTHPDLPPAHGTLLSHHLNKLKQSGQLVLVKNNYMKPDPNAPPKRGRGRPPKPKLPTPPGSVAGPPRPRGRPPKPRDPFAPVASPKKKTASPGSGRPRGRPPKNANTPVPAVSSGAAPPSGVPRGRGRPPKVKPAVAPVAG >Potri.011G160700.1.v4.1 pep chromosome:Pop_tri_v4:11:18519151:18520961:-1 gene:Potri.011G160700.v4.1 transcript:Potri.011G160700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G160700.v4.1 MVPSGSPILSILLILLLSPFMVSLPIQDRLLKCLPKYSESSFPFSTILYTQKNSSFISVLQSSAQNVRFTLSSVPKPEFILTPLQESHIQAVVICSRKLGIHLRVRSGGHDFEGLSYVSEIETPFIVVDLAKLRSISVDIEHNSAWVQVGATNGELYYRISEKSKTRGFPAGTCSSVCMGGQISGGGYGVMLRKYGLAADNVVDAHIIDVHGRLLDRKDMGEDLFWAIRGGAGGSFGIVTAWKVKLVPVPSAVTVFTVTKTLEQGATKLLYRWQQIVDQLDKDLFIRVQIQTANVSSQGKRTITTSYNAMFLGDANRLLQVMKHSFPELGLTRQDCIETNWINSTVYIAGFANNTPPEVFLQRTYPNREYFKAKSDYAKKPVSEKALEGLWEKLSEVESPLVVFTPYGGMMSQISESQTPFPHRKGTKFMILYYTGWLDAKENVAKHIDWTRMVYNYMTPYVSKNPREAYVNYRDLDLGMNNNSNASFVEASVFGTKYFKDNFYRLVHVKTKVDPDNFFRHEQSIPPLPLHMR >Potri.005G228500.1.v4.1 pep chromosome:Pop_tri_v4:5:22836337:22838808:-1 gene:Potri.005G228500.v4.1 transcript:Potri.005G228500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228500.v4.1 MSFKSKLKEIRDDITTTFSMGKLQRNRTNRRGRAYIAPELGGGSELLSELIEEQSPWANLPPELLQDVIKRVEGSETSWPGRRDVVACASVCTSWREITKAVVKTPEQCGCITFPISLKQPGPRDAPIQCFIKRERATSTYHLYLGLSPALSGDMSKLLLAAKKIRKATSTDFRISFVGSDFCQTSNTYAGKLRSNFLGTKFTIYDSQPPCPGLKSNCKLQQKVRSIQVSPGVPARNFNVATVSYELNVLRTRGPRRMHCIVHSIPISAIQEGGVAPTPLEFNNPGDEQSPSLSASKIKQPLIDFISTSRAESSDSICSKMDPLVLKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPCQNVPVEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Potri.004G199300.1.v4.1 pep chromosome:Pop_tri_v4:4:21016749:21018020:1 gene:Potri.004G199300.v4.1 transcript:Potri.004G199300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199300.v4.1 MVALSTPPPQQPSSTTTQDGTISTLHPDILQTHILTLLDGPTLAATACASSELYALSTEDKLWRNICTSSWPSINDPTVSSIISTFSSGHRSFFSDSYPLLHHHHHSSSFLTTSTEYLVSAVDIYYKDVAIFSKVEKNETLTDWFKCSPFRVDMLEPKEFVQTLIQYQTGEKDSFVKQLEENMTLSWILIDPKRRRAMNLSSGRPVSVQRHWLTGEVVVKFATIMAGDGGEKEFVECGVMVCCGKKEGGEMEVREISMGMEDMEGRNLTGKESLVVIQEAMERGERRKGKCGTEGKGRYEEFVERKRERKARKQKMEKVLDMVCIVTGITIFVSSWSFILFR >Potri.004G199300.2.v4.1 pep chromosome:Pop_tri_v4:4:21016761:21018899:1 gene:Potri.004G199300.v4.1 transcript:Potri.004G199300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199300.v4.1 MVALSTPPPQQPSSTTTQDGTISTLHPDILQTHILTLLDGPTLAATACASSELYALSTEDKLWRNICTSSWPSINDPTVSSIISTFSSGHRSFFSDSYPLLHHHHHSSSFLTTSTEYLVSAVDIYYKDVAIFSKVEKNETLTDWFKCSPFRVDMLEPKEFVQTLIQYQTGEKDSFVKQLEENMTLSWILIDPKRRRAMNLSSGRPVSVQRHWLTGEVVVKFATIMAGDGGEKEFVECGVMVCCGKKEGGEMEVREISMGMEDMEGRNLTGKESLVVIQEAMERGERRKGKCGTEGKGRYEEFVERKRERKARKQKMEKVLDMVCIVTGITIFVSSWSFILFS >Potri.005G198900.1.v4.1 pep chromosome:Pop_tri_v4:5:20501118:20503886:1 gene:Potri.005G198900.v4.1 transcript:Potri.005G198900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198900.v4.1 MDTKRMLQNSVLALTDDTLISIGTVTNAAREVANDGVDQCDPQIITQASSLSKLPLEPSSVDIVIPIFRSIEFPGDLLVKEMFRVLKPGGTILIYSSQQSVIGETDKAISGLQRKLLLGGFLEAEALQPKPVGLSNVVCSFGVKAKKPSWNIGSSFALKKSIKSPVKVQNDDYSDLIDEDSLLTEEDLKKPQLPPVGDCEVGSTRKACKNCTCGRAEEEEKVKLGPTMDQLSNPQSACGSCGLGDAFRCGTCPYKGLPPFKLGEKVSLSENFLVADI >Potri.005G230900.1.v4.1 pep chromosome:Pop_tri_v4:5:23022167:23024740:-1 gene:Potri.005G230900.v4.1 transcript:Potri.005G230900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230900.v4.1 MLKLPELPDCFYQNQPSLICPSSPTPKHSLYLSNLDDQKFLRFSIKYLYLFKKSISLDILKYSLSKVLVHYYPLAGRLRASTEVDQKLEIDCNGEGAVFAEAFMDITAEEFLELSKKPNKSWRKLMYKVEAQSFLDIPPLVVQVTNLRCGGMIVCTAINHCVCDGIGTSQFLHAWAQITAKSNLDLAIAPLHTRHVLRPRNPSEITFTHPEYVRNTPRENGHLDIDINHYLQSQPLVPSSLTFTTSHIIHLKRQCVPSLKCTAFEALASHTWRSWVRALDLSPSLNVKLLFSVNVRKRLIPEIQQGYYGNGFVLGCAQTSAKDLVASNMCHGVKLVQQAKSSLTDDYVRSMIDLLEDKSVKTDLSMSLVISQWSKLGLEDVDFGEGKPLHMGPLSSDIYCLFLPVVGDFEAVRVQVSVPESVHEKFEYYMKECLGKEANGDANIGYHMEENGFC >Potri.002G169732.2.v4.1 pep chromosome:Pop_tri_v4:2:13044235:13053122:1 gene:Potri.002G169732.v4.1 transcript:Potri.002G169732.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169732.v4.1 MGEHEGWAQPPSGLSPNGLLAIEAPSVIRVLDSERWSKAEERTAELIACIQPNQPSEELRNAVADYVQRLIAKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNPNLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWANLCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVLPAGQDNQGQPFLSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPKEDLCFEVNQFFLNTWKRHGSGHRPDAPRNDLWQLRLSNHDHLHGPESLRNNSSSKPSGHEAQVDVAHGSCTVPSQHDNYSIDSTSKGSEVSTLSRTQSQKTNANTNSTRSTSDQSRRESTSNQSMHADRSQRNEKPDNLVTDFQGRYLFARTRSSPELTETYSEISSQGRLNKVQESGKGQASSARLNHGRRKNLGSDNLKNHGISSSSDDPSSVGHTISSQSCNPAADSNSYHKDSCLDVASEEFVSVLGSQGMHQEEQDLVNVMAFSTGVGFNGQAHVPLNMEPAHISLPIPPSVLASMGYGQRNMGGMVPANIPFLETPWGSNMQFPQGLVPSPLAHYLPGIELTSNQEDSIQPGNENIGPVEMNVREPDHDIWHEQERGSISGFDKENRSFEMHQLDDPQPSSSSYKFVSSSRRGGSGNSLRAHQKLTRETRGPAREESIGALTYQENRGTEEYFDDTSACSRSFTTVNISPLRSKTSSESSWEGSSAKLSKPVKEKRGRKTVSSAVQSSVYGKGKSASEHSSNLTDDDNKEWNAPSTMGPEPERSIGSQTESSAALHVSRHQVPGYERAQPSESDSLIPIAPVLLGHGSRQRSADNSGSGTVHYTFYPAGPPVPFVTMLPLYNFPTETGTSGASTSQFDSEEGLDNSDSGQNFDSSEGIDLSEVLSTSSSMRMAASVEPLEHKPDILNSDFASHWQNLQFGRLCQNTRNPAPMIYPSPVMVPPVYLQGCFPWDGSGRPVSTNTNNFTQLSIVPVAPLQSASNRPAGVYQHYVDEMPRYRGGTGTYLPNPKVAVRDRHATNMRKGNHNYNRSDHHGDREVSWNNNSRARAAGRGNNRSHAEKSNTRPDRLAGESQAERTWGSHRHDMFPSCQSQNGSSNVAYGMYPLPSLNPGVSSNGSTIPSVVMLYPYDHNTGYGSAEHLELGFVGPVGFSGANETLHLNEVSRSSGGFEDQRFHSSSAHQPSPDQPSSPHVQREI >Potri.002G169732.3.v4.1 pep chromosome:Pop_tri_v4:2:13044235:13053069:1 gene:Potri.002G169732.v4.1 transcript:Potri.002G169732.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169732.v4.1 MGEHEGWAQPPSGLSPNGLLAIEAPSVIRVLDSERWSKAEERTAELIACIQPNQPSEELRNAVADYVQRLIAKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNPNLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWANLCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVLPAGQDNQGQPFLSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPKEDLCFEVNQFFLNTWKRHGSGHRPDAPRNDLWQLRLSNHDHLHGPESLRNNSSSKPSGHEAQVDVAHGSCTVPSQHDNYSIDSTSKGSEVSTLSRTQSQKTNANTNSTRSTSDQSRRESTSNQSMHADRSQRNEKPDNLVTDFQGRYLFARTRSSPELTETYSEISSQGRLNKVQESGKGQASSARLNHGRRKNLGSDNLKNHGISSSSDDPSSVGHTISSQSCNPAADSNSYHKDSCLDVASEEFVSVLGSQGMHQEEQDLVNVMAFSTGVGFNGQAHVPLNMEPAHISLPIPPSVLASMGYGQRNMGGMVPANIPFLETPWGSNMQFPQGLVPSPLAHYLPGIELTSNQEDSIQPGNENIGPVEMNVREPDHDIWHEQERGSISGFDKENRSFEMHQLDDPQPSSSSYKFVSSSRRGGSGNSLRAHQKLTRETRGPAREESIGALTYQENRGTEEYFDDTSACSRSFTTVNISPLRSKTSSESSWEGSSAKLSKPVKEKRGRKTVSSAVQSSVYGKGKSASEHSSNLTDDDNKEWNAPSTMGPEPERSIGSQTESSAALHVSRHQVPGYERAQPSESDSLIPIAPVLLGHGSRQRSADNSGSGTVHYTFYPAGPPVPFVTMLPLYNFPTETGTSGASTSQFDSEEGLDNSDSGQNFDSSEGIDLSEVLSTSSSMRMAASVEPLEHKPDILNSDFASHWQNLQFGRLCQNTRNPAPMIYPSPVMVPPVYLQGCFPWDGSGRPVSTNTNNFTQLSIVPVAPLQSASNRPAGVYQHYVDEMPRYRGGTGTYLPNPVAVRDRHATNMRKGNHNYNRSDHHGDREVSWNNNSRARAAGRGNNRSHAEKSNTRPDRLAGESQAERTWGSHRHDMFPSCQSQNGSSNVAYGMYPLPSLNPGVSSNGSTIPSVVMLYPYDHNTGYGSAEHLELGFVGPVGFSGANETLHLNEVSRSSGGFEDQRFHSSSAHQPSPDQPSSPHVQREI >Potri.002G169732.4.v4.1 pep chromosome:Pop_tri_v4:2:13044235:13053070:1 gene:Potri.002G169732.v4.1 transcript:Potri.002G169732.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169732.v4.1 MGEHEGWAQPPSGLSPNGLLAIEAPSVIRVLDSERWSKAEERTAELIACIQPNQPSEELRNAVADYVQRLIAKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNPNLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWANLCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVLPAGQDNQGQPFLSKHFNVIDPLRVNNNLGRSVSKGNFFRIRSAFAFGAKRLARLLDCPKEDLCFEVNQFFLNTWKRHGSGHRPDAPRNDLWQLRLSNHDHLHGPESLRNNSSSKPSGHEAQVDVAHGSCTVPSQHDNYSIDSTSKGSEVSTLSRTQSQKTNANTNSTRSTSDQSRRESTSNQSMHADRSQRNEKPDNLVTDFQGRYLFARTRSSPELTETYSEISSQGRLNKVQESGKGQASSARLNHGRRKNLGSDNLKNHGISSSSDDPSSVGHTISSQSCNPAADSNSYHKDSCLDVASEEFVSVLGSQGMHQEEQDLVNVMAFSTGVGFNGQAHVPLNMEPAHISLPIPPSVLASMGYGQRNMGGMVPANIPFLETPWGSNMQFPQGLVPSPLAHYLPGIELTSNQEDSIQPGNENIGPVEMNVREPDHDIWHEQERGSISGFDKENRSFEMHQLDDPQPSSSSYKFVSSSRRGGSGNSLRAHQKLTRETRGPAREESIGALTYQENRGTEEYFDDTSACSRSFTTVNISPLRSKTSSESSWEGSSAKLSKPVKEKRGRKTVSSAVQSSVYGKGKSASEHSSNLTDDDNKEWNAPSTMGPEPERSIGSQTESSAALHVSRHQVPGYERAQPSESDSLIPIAPVLLGHGSRQRSADNSGSGTVHYTFYPAGPPVPFVTMLPLYNFPTETGTSGASTSQFDSEEGLDNSDSGQNFDSSEGIDLSEVLSTSSSMRMAASVEPLEHKPDILNSDFASHWQNLQFGRLCQNTRNPAPMIYPSPVMVPPVYLQGCFPWDGSGRPVSTNTNNFTQLSIVPVAPLQSASNRPAGVYQHYVDEMPRYRGGTGTYLPNPKVAVRDRHATNMRKGNHNYNRSDHHGDREVSWNNNSRARAAGRGNNRSHAEKSNTRPDRLAGESQAERTWGSHRHDMFPSCQSQNGSSNVAYEHLELGFVGPVGFSGANETLHLNEVSRSSGGFEDQRFHSSSAHQPSPDQPSSPHVQREI >Potri.002G169732.1.v4.1 pep chromosome:Pop_tri_v4:2:13048815:13053137:1 gene:Potri.002G169732.v4.1 transcript:Potri.002G169732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169732.v4.1 MHADRSQRNEKPDNLVTDFQGRYLFARTRSSPELTETYSEISSQGRLNKVQESGKGQASSARLNHGRRKNLGSDNLKNHGISSSSDDPSSVGHTISSQSCNPAADSNSYHKDSCLDVASEEFVSVLGSQGMHQEEQDLVNVMAFSTGVGFNGQAHVPLNMEPAHISLPIPPSVLASMGYGQRNMGGMVPANIPFLETPWGSNMQFPQGLVPSPLAHYLPGIELTSNQEDSIQPGNENIGPVEMNVREPDHDIWHEQERGSISGFDKENRSFEMHQLDDPQPSSSSYKFVSSSRRGGSGNSLRAHQKLTRETRGPAREESIGALTYQENRGTEEYFDDTSACSRSFTTVNISPLRSKTSSESSWEGSSAKLSKPVKEKRGRKTVSSAVQSSVYGKGKSASEHSSNLTDDDNKEWNAPSTMGPEPERSIGSQTESSAALHVSRHQVPGYERAQPSESDSLIPIAPVLLGHGSRQRSADNSGSGTVHYTFYPAGPPVPFVTMLPLYNFPTETGTSGASTSQFDSEEGLDNSDSGQNFDSSEGIDLSEVLSTSSSMRMAASVEPLEHKPDILNSDFASHWQNLQFGRLCQNTRNPAPMIYPSPVMVPPVYLQGCFPWDGSGRPVSTNTNNFTQLSIVPVAPLQSASNRPAGVYQHYVDEMPRYRGGTGTYLPNPKVAVRDRHATNMRKGNHNYNRSDHHGDREVSWNNNSRARAAGRGNNRSHAEKSNTRPDRLAGESQAERTWGSHRHDMFPSCQSQNGSSNVAYGMYPLPSLNPGVSSNGSTIPSVVMLYPYDHNTGYGSAEHLELGFVGPVGFSGANETLHLNEVSRSSGGFEDQRFHSSSAHQPSPDQPSSPHVQREI >Potri.010G122500.8.v4.1 pep chromosome:Pop_tri_v4:10:14041756:14048569:-1 gene:Potri.010G122500.v4.1 transcript:Potri.010G122500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122500.v4.1 MTDLQCLIPTHQPRVTDHVEQIKDMITQIIEKDCAYAVEGDVFFAVNKSPNYGQLSGQRLENNRAGERVAVDSRKRNPADFALWKAAKPGEPSWESPWGPGRPGWHIECSAMSAQYLTFKFDIHGGGIDLIFPHHENEIAQSCAACEESSVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSVSQLESSSDAVFYIYQTLQDCEDALLPFQEGSLKEGAGQNANLVAITADAQKCISRLHEDFETKMSDDLNTSPLLTGAFQEALKVVNGSLGMLKKKQQKKQQLSLIRSVTEVKKEVTEVLRILGLFPPCTCAEVLQQLKGKALKRAGLTEDDVMSLIEDRAVARKSQDFKKSDQIRTDLSARGIALMDVGKETVWRPCVPVENEEKAKTVVEEPTPPPQAASS >Potri.010G122500.1.v4.1 pep chromosome:Pop_tri_v4:10:14041783:14048569:-1 gene:Potri.010G122500.v4.1 transcript:Potri.010G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122500.v4.1 MANNEELKLYNSMTQQKEVFKSRIPGKVSMYVCGVTSYDFSHLGHARAAVAFDILFRYLQHLGYEVTYVRNFTDIDDKIIRRANEIGEDPLSLSSRFCEEYLVDMTDLQCLIPTHQPRVTDHVEQIKDMITQIIEKDCAYAVEGDVFFAVNKSPNYGQLSGQRLENNRAGERVAVDSRKRNPADFALWKAAKPGEPSWESPWGPGRPGWHIECSAMSAQYLTFKFDIHGGGIDLIFPHHENEIAQSCAACEESSVSYWMHNGHVTNNNEKMSKSLGNFFTIRQITERYHPLALRHFLISAHYRSPLNYSVSQLESSSDAVFYIYQTLQDCEDALLPFQEGSLKEGAGQNANLVAITADAQKCISRLHEDFETKMSDDLNTSPLLTGAFQEALKVVNGSLGMLKKKQQKKQQLSLIRSVTEVKKEVTEVLRILGLFPPCTCAEVLQQLKGKALKRAGLTEDDVMSLIEDRAVARKSQDFKKSDQIRTDLSARGIALMDVGKETVWRPCVPVENEEKAKTVVEEPTPPPQAASS >Potri.011G009700.4.v4.1 pep chromosome:Pop_tri_v4:11:898452:907110:-1 gene:Potri.011G009700.v4.1 transcript:Potri.011G009700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009700.v4.1 MAAMIAKSRINRDDDNKIRRFQGASLNFSLHETRERVKKSRQNKFNEVVASKMRRKISCKKVEVIQLSSDSDDDDWSPRRRKKAPNRKLILAAESELSESDEECSPSERRNDLSEKIKPAPEIELSKSDDQWFLGARRKDSSKKTNPAPEIELLKSDDHWCLGTRRKASSNKTKPASEIELMKSDDQQCLGTRSKASSKKITLTPDVGFSGSNGELPQTRVKTSSKKIKSTSEIELSNSSDEWSPRARRKTSSKKVKPVTESDFLESNGFPKRQQNGLKKRSRSSSVGVGFDVDSEEDVLEAICLVKMRERIRTRISITEMNERSLKEAREKNIDSMNSSFCASSSSESASSSLSVSVSKHDGYSNGVSAARNVKAKGQEIKLCHQCMKKERRTVVVCKKCERMYCIQCIKQWYPEMTEGQFAEQCPFCCKKCNCNVCLHSSGLIKTSKRNITNHEKVRHLHYLIKSLLPFLEQICDEQTEEVQIEAGIGDFPVDIAENFCYSNERVYCNYCATSIVDFHRSCRKCAYELCLSCCREIRKGSLSSRAEKSFWYVDRGFDYMHGGDPLPCQYQNPYDHSESLVLPWNASEDGSISCPPQELGGCGDCLLELKRILPLGWVAELKKRAEELLGICDTEQASLTCKCNEAGEGVLRRAAFREGSEDNYLYCPASKDILEYELFHFQKHWVKGEPVIVRDVLEQTTHLSWEPKVMWRALCENVDSHISSKMSEVKAIDCLACCEVEINTRQFFKGYTEGRTYHNFWPEMLKLKDWPPSDKFENLLPRHCDEFNSALPFQEYSDPNAGILNVAVKFPADHLQPDLGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSQEQCSAIELLKMKHRAQDEKEYLEQDKVDNPHIELDQGNDSLKEEMGVSEIREPQKPPSEINEQLENTEDVLRGATLSGLPSEVETMDKTGGAALWDIFRREDVPKLEEYLRKHHREFRHNYCAPVERVVHPIHDQCFYLTVEHKRKLKEEFGVEAWTFEQRVGEAVFIPAGCPHQVRNLQSCTKVAVDFVSPENIRECLRLTEEFRQLPVNHRAREDKLEIKKMIIYAIDKAIIDLQELTKSQH >Potri.011G009700.7.v4.1 pep chromosome:Pop_tri_v4:11:898449:906085:-1 gene:Potri.011G009700.v4.1 transcript:Potri.011G009700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009700.v4.1 MKSDDQQCLGTRSKASSKKITLTPDVGFSGSNGELPQTRVKTSSKKIKSTSEIELSNSSDEWSPRARRKTSSKKVKPVTESDFLESNGFPKRQQNGLKKRSRSSSVGVGFDVDSEEDVLEAICLVKMRERIRTRISITEMNERSLKEAREKNIDSMNSSFCASSSSESASSSLSVSVSKHDGYSNGVSAARNVKAKGQEIKLCHQCMKKERRTVVVCKKCERMYCIQCIKQWYPEMTEGQFAEQCPFCCKKCNCNVCLHSSGLIKTSKRNITNHEKVRHLHYLIKSLLPFLEQICDEQTEEVQIEAGIGDFPVDIAENFCYSNERVYCNYCATSIVDFHRSCRKCAYELCLSCCREIRKGSLSSRAEKSFWYVDRGFDYMHGGDPLPCQYQNPYDHSESLVLPWNASEDGSISCPPQELGGCGDCLLELKRILPLGWVAELKKRAEELLGICDTEQASLTCKCNEAGEGVLRRAAFREGSEDNYLYCPASKDILEYELFHFQKHWVKGEPVIVRDVLEQTTHLSWEPKVMWRALCENVDSHISSKMSEVKAIDCLACCEVEINTRQFFKGYTEGRTYHNFWPEMLKLKDWPPSDKFENLLPRHCDEFNSALPFQEYSDPNAGILNVAVKFPADHLQPDLGPKTYIAYGTREELGRGDSVTKLHCDMSDAVNILTHTAEVALSQEQCSAIELLKMKHRAQDEKEYLEQDKVDNPHIELDQGNDSLKEEMGVSEIREPQKPPSEINEQLENTEDVLRGATLSGLPSEVETMDKTGGAALWDIFRREDVPKLEEYLRKHHREFRHNYCAPVERVVHPIHDQCFYLTVEHKRKLKEEFGVEAWTFEQRVGEAVFIPAGCPHQVRNLQVSRRLFFSILKMLKDFVICSC >Potri.011G036466.1.v4.1 pep chromosome:Pop_tri_v4:11:2805326:2806933:-1 gene:Potri.011G036466.v4.1 transcript:Potri.011G036466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G036466.v4.1 MLAILVPSIASLCFLISLSAYLWLKKRAKKGTELQVNSNSTELEYFKLSTITVATNNFSPANKLGQGGFGSVYKGLLANGLEVAIKRLSRSSRQGIEDFKNEVMVIAKLQHRNLVKLLGYCNQDGEQMLIYEYLPNKSLDSFLFHESRRLLLDWRKRFDIIVGIARGILYLHQGSRLRIIHRDLKCSNILLDAEMNPKISDFGTAKIFEGNQTEDRTSRVVGTFGYMSPEYAVLGNFSVKSDVFSFGVMLLEIVSGKKNNRFYQQDPPLTLIGYVWELWTQDKALEIVDPSLNELYRRREAFKCIQIGLLCVQEDAADRSSMLAVVFMLSNETEIPSPKQPAFLFRKSDNNPDIALDVEDGQCSVNEVTITEIACR >Potri.007G029400.2.v4.1 pep chromosome:Pop_tri_v4:7:2236913:2243041:-1 gene:Potri.007G029400.v4.1 transcript:Potri.007G029400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029400.v4.1 MGTGEESTPAKPSKPTSSNQEIPTTPLYPDWSSSMQAYYGAGATPPPFYASTVASPASHPYLWGSQHPLIPPYGTPVPYPALYPAGGVYAHPNMAPTPNSAQANIEMEGKVPNGKDRASAKKTKGTSGGKAGESGKAASGSGNDGASQSAESGSDGSSDASDENTNQQEYGASKKGSFNQMLADANAQSTSAGANIQASVPGKPVASMPATNLNIGMDLWNASSAAGATKMRPNPSCATSGVVPAGLPEQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQARVQNLSSDNSNLRNELQSLSEECNKLKSENDSIKEELTRLYGPEVVAKLEQSNPASVPESHGGEGDS >Potri.003G090800.1.v4.1 pep chromosome:Pop_tri_v4:3:11672702:11680170:1 gene:Potri.003G090800.v4.1 transcript:Potri.003G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090800.v4.1 MPVAASAVYFLNLRGDVLINRLYRDDVGGNMVDAFRTHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDKPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGNVLRCDVTGKVLMKCFLSGMPDLKLGLNDKIGLEKESQLKSRPAKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVFGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNAAIDCIVWKIRKFPGQTEPTMSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVEWVRYITKAGSYEIRC >Potri.009G089500.4.v4.1 pep chromosome:Pop_tri_v4:9:8277647:8282889:1 gene:Potri.009G089500.v4.1 transcript:Potri.009G089500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089500.v4.1 MKFMKLGSKPDSFQAEGNSIRYVATELATDIIVNVGDIKFYLHKFPLLSKSAHLQNLVTIANGENSDEVEISDIPGGPSAFEICAKYCYGMTVTLNAYNVVSARCAAEYLGMHETIEKGNLIYKIDVFLSSSIFHSWKDSIIVLQTTRSLLPLSEELKVTSHCIHAVATKACVDVSKVNWSYSYNRRKLPEENGNDPNLNGLRNRLVPKDWWVEDLCELEIGLYKRVLITIQTKGTLSDEVIGEGLKAYAYRRLPGFSKGMIQCGDAAKYRSTVDTIVCLLPAERGSVPCSFLLKLLKAAIYVDLGDAIKGQLIRRIGQQLEEASVNDLLIHAGEGENMMYDVDAVKKMVEEFLMRDQIAEMESEEGHEVQEIRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLKFVELAEMVSGISRPAHDALYRAVDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNDRLPLRVVVQVLFFEQVRVAASSGSSTPDLPKSIRDLNNGSQRSSRSANTNTEGDWDVVATAEELKALKEEVASLRLATGRSGVDKAVNNKMRGMLKSKILTKLWSSKGEKGENSGSDSSESLGSANMEEAKSTPSRNIRHSVS >Potri.009G089500.1.v4.1 pep chromosome:Pop_tri_v4:9:8277782:8282987:1 gene:Potri.009G089500.v4.1 transcript:Potri.009G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089500.v4.1 MKFMKLGSKPDSFQAEGNSIRYVATELATDIIVNVGDIKFYLHKFPLLSKSAHLQNLVTIANGENSDEVEISDIPGGPSAFEICAKYCYGMTVTLNAYNVVSARCAAEYLGMHETIEKGNLIYKIDVFLSSSIFHSWKDSIIVLQTTRSLLPLSEELKVTSHCIHAVATKACVDVSKVNWSYSYNRRKLPEENGNDPNLNGLRNRLVPKDWWVEDLCELEIGLYKRVLITIQTKGTLSDEVIGEGLKAYAYRRLPGFSKGMIQCGDAAKYRSTVDTIVCLLPAERGSVPCSFLLKLLKAAIYVDLGDAIKGQLIRRIGQQLEEASVNDLLIHAGEGENMMYDVDAVKKMVEEFLMRDQIAEMESEEGHEVQEIRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLKFVELAEMVSGISRPAHDALYRAVDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNDRLPLRVVVQVLFFEQVRVAASSGSSTPDLPKSIRDLNNGSQRSSRSANTNTEGDWDVVATAEELKALKEEVASLRLATGRSGVDKAVNNKMRGMLKSKILTKLWSSKGEKGENSGSDSSESLGSANMEEAKSTPSRNIRHSVS >Potri.009G089500.2.v4.1 pep chromosome:Pop_tri_v4:9:8278297:8282909:1 gene:Potri.009G089500.v4.1 transcript:Potri.009G089500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089500.v4.1 MKFMKLGSKPDSFQAEGNSIRYVATELATDIIVNVGDIKFYLHKFPLLSKSAHLQNLVTIANGENSDEVEISDIPGGPSAFEICAKYCYGMTVTLNAYNVVSARCAAEYLGMHETIEKGNLIYKIDVFLSSSIFHSWKDSIIVLQTTRSLLPLSEELKVTSHCIHAVATKACVDVSKVNWSYSYNRRKLPEENGNDPNLNGLRNRLVPKDWWVEDLCELEIGLYKRVLITIQTKGTLSDEVIGEGLKAYAYRRLPGFSKGMIQCGDAAKYRSTVDTIVCLLPAERGSVPCSFLLKLLKAAIYVDLGDAIKGQLIRRIGQQLEEASVNDLLIHAGEGENMMYDVDAVKKMVEEFLMRDQIAEMESEEGHEVQEIRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLKFVELAEMVSGISRPAHDALYRAVDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNDRLPLRVVVQVLFFEQVRVAASSGSSTPDLPKSIRDLNNGSQRSSRSANTNTEGDWDVVATAEELKALKEEVASLRLATGRSGVDKAVNNKMRGMLKSKILTKLWSSKGEKGENSGSDSSESLGSANMEEAKSTPSRNIRHSVS >Potri.009G089500.3.v4.1 pep chromosome:Pop_tri_v4:9:8278502:8282892:1 gene:Potri.009G089500.v4.1 transcript:Potri.009G089500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089500.v4.1 MKFMKLGSKPDSFQAEGNSIRYVATELATDIIVNVGDIKFYLHKFPLLSKSAHLQNLVTIANGENSDEVEISDIPGGPSAFEICAKYCYGMTVTLNAYNVVSARCAAEYLGMHETIEKGNLIYKIDVFLSSSIFHSWKDSIIVLQTTRSLLPLSEELKVTSHCIHAVATKACVDVSKVNWSYSYNRRKLPEENGNDPNLNGLRNRLVPKDWWVEDLCELEIGLYKRVLITIQTKGTLSDEVIGEGLKAYAYRRLPGFSKGMIQCGDAAKYRSTVDTIVCLLPAERGSVPCSFLLKLLKAAIYVDLGDAIKGQLIRRIGQQLEEASVNDLLIHAGEGENMMYDVDAVKKMVEEFLMRDQIAEMESEEGHEVQEIRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLKFVELAEMVSGISRPAHDALYRAVDMYLKEHPGISKSERKRICKLMDCKKLSVDACMHAVQNDRLPLRVVVQVLFFEQVRVAASSGSSTPDLPKSIRDLNNGSQRSSRSANTNTEGDWDVVATAEELKALKEEVASLRLATGRSGVDKAVNNKMRGMLKSKILTKLWSSKGEKGENSGSDSSESLGSANMEEAKSTPSRNIRHSVS >Potri.005G181600.1.v4.1 pep chromosome:Pop_tri_v4:5:18821804:18825410:1 gene:Potri.005G181600.v4.1 transcript:Potri.005G181600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181600.v4.1 MKMGENTATKNHLPPQAFGVSVDADPQSDSKWFDDDGRPKRTGNVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMLLFSFVTYYTSILLSACYRSGDPDNGKRNYTYMEAVRANLGGVKVKICGFVQYVNLFGVAIGYTIASSISMMAIKRSNCFHQSGGKDPCRMNANPYMIGFGIAEILLSQIPGFDQLHWLSLVAAVMSFTYSTIGLGLGIGKVIENKRVRGSLTGISVGTVTQTQKIWRSFQALGDVAFAYSYSVILIEIQDTVKAPPSEAKTMKKATLISVVVTTLFYMFCGCFGYAAFGDQSPGNLLTGFGFYNPYWLLDIANTAIVIHLVGAYQVYCQPLFAFIEKEAARRFPDSDFVTKDIKISIPGLSAFNINLFRMVSRTIFVVLTTVISMLLPFFNDIVGLLGAFGFWPLTVYFPVEMYISQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVIDDVKTIKPFKTSY >Potri.005G181600.2.v4.1 pep chromosome:Pop_tri_v4:5:18821805:18825411:1 gene:Potri.005G181600.v4.1 transcript:Potri.005G181600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181600.v4.1 MGENTATKNHLPPQAFGVSVDADPQSDSKWFDDDGRPKRTGNVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMLLFSFVTYYTSILLSACYRSGDPDNGKRNYTYMEAVRANLGGVKVKICGFVQYVNLFGVAIGYTIASSISMMAIKRSNCFHQSGGKDPCRMNANPYMIGFGIAEILLSQIPGFDQLHWLSLVAAVMSFTYSTIGLGLGIGKVIENKRVRGSLTGISVGTVTQTQKIWRSFQALGDVAFAYSYSVILIEIQDTVKAPPSEAKTMKKATLISVVVTTLFYMFCGCFGYAAFGDQSPGNLLTGFGFYNPYWLLDIANTAIVIHLVGAYQVYCQPLFAFIEKEAARRFPDSDFVTKDIKISIPGLSAFNINLFRMVSRTIFVVLTTVISMLLPFFNDIVGLLGAFGFWPLTVYFPVEMYISQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVIDDVKTIKPFKTSY >Potri.005G181600.3.v4.1 pep chromosome:Pop_tri_v4:5:18824027:18825412:1 gene:Potri.005G181600.v4.1 transcript:Potri.005G181600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181600.v4.1 MNANPYMIGFGIAEILLSQIPGFDQLHWLSLVAAVMSFTYSTIGLGLGIGKVIENKRVRGSLTGISVGTVTQTQKIWRSFQALGDVAFAYSYSVILIEIQDTVKAPPSEAKTMKKATLISVVVTTLFYMFCGCFGYAAFGDQSPGNLLTGFGFYNPYWLLDIANTAIVIHLVGAYQVYCQPLFAFIEKEAARRFPDSDFVTKDIKISIPGLSAFNINLFRMVSRTIFVVLTTVISMLLPFFNDIVGLLGAFGFWPLTVYFPVEMYISQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVIDDVKTIKPFKTSY >Potri.001G104200.3.v4.1 pep chromosome:Pop_tri_v4:1:8390328:8394490:-1 gene:Potri.001G104200.v4.1 transcript:Potri.001G104200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104200.v4.1 MELEMELDSEKSSISDLSPNTVLPHRRCSEVEKRSVNGKLTRKDDILRVKESFTEISFRRYRSSSCKNVLSRPVGSEGHIEPKRGSMYQSSREVRRMKEMGCNEGRRKIELSRASDTSFSFRIVDSLHCLDEETLQKRSPASSVSSNLNPKSVRRPCIEPCSSDDFTEICPNLDKRDKHSAGVVGSDSIGNPNFKCEQVVGPLNDGNELLERDTALTFQKSLSAKVEIPCSPSSSGSYLSNGASSKSRFSPMRMMFDPFTKSKSLRSPLGHVPEPGDAKTTGMSNMRRNQTFRKYLFHDFSHTDQKVDFDSQIAKKDHHHSAVACSPVHLHGRLKLENKHGVPFFEFSLDFPEEVLVAKTWKANNAFNWVYTFHSISSRKKSNATGWGLTDGNKESLIVGQMQVSCYLCSKLKDGGNFDNSMVTEFVMYDIAHARHRVSTEDSPDVRPDSSANPGLVGGSHEMGGNSDAVKLKHQPKYAFDRGHFDSSNPYPSASAVLHPDLEIAAVVIQLPFAKRESLKYKRGDKGSDEMHSNLLNLSVGEQRRKTIPDKENPENVKVVIPTGNHSLPSGDSRGPSSLLDRWRSGGGCDCGGWDMACPLTVFGNPGIQCAEDEPLLDNQRPLELFLMGTKENIPALTMTVLEEGQYAVDFHAQLSTLQAFSICVAILHGTEATGVTREERGNQLSHCNSLKMLIEEEVKFLIETVTEEEKRKASKKVEGIRQSYVLNPPFSPISRV >Potri.001G104200.4.v4.1 pep chromosome:Pop_tri_v4:1:8390326:8393894:-1 gene:Potri.001G104200.v4.1 transcript:Potri.001G104200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104200.v4.1 MELEMELDSEKSSISDLSPNTVLPHRRCSEVEKRSVNGKLTRKDDILRVKESFTEISFRRYRSSSCKNVLSRPVGSEGHIEPKRGSMYQSSREVRRMKEMGCNEGRRKIELSRASDTSFSFRIVDSLHCLDEETLQKRSPASSVSSNLNPKSVRRPCIEPCSSDDFTEICPNLDKRDKHSAGVVGSDSIGNPNFKCEQVVGPLNDGNELLERDTALTFQKSLSAKVEIPCSPSSSGSYLSNGASSKSRFSPMRMMFDPFTKSKSLRSPLGHVPEPGDAKTTGMSNMRRNQTFRKYLFHDFSHTDQKVDFDSQIAKKDHHHSAVACSPVHLHGRLKLENKHGVPFFEFSLDFPEEVLVAKTWKANNAFNWVYTFHSISSRKKSNATGWGLTDGNKESLIVGQMQVSCYLCSKLKDGGNFDNSMVTEFVMYDIAHARHRVSTEDSPDVRPDSSANPGLVGGSHEMGGNSDAVKLKHQPKYAFDRGHFDSSNPYPSASAVLHPDLEIAAVVIQLPFAKRESLKYKRGDKGSDEMHSNLLNLSVGEQRRKTIPDKENPENVKVVIPTGNHSLPSGDSRGPSSLLDRWRSGGGCDCGGWDMACPLTVFGNPGIQCAEDEPLLDNQRPLELFLMGTKENIPALTMTVLEEGQYAVDFHAQLSTLQAFSICVAILHGTEATGVTREERGNQLSHCNSLKMLIEEEVKFLIETVTEEEKRKASKKVEGIRQSYVLNPPFSPISRV >Potri.001G104200.1.v4.1 pep chromosome:Pop_tri_v4:1:8390325:8394499:-1 gene:Potri.001G104200.v4.1 transcript:Potri.001G104200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104200.v4.1 MELEMELDSEKSSISDLSPNTVLPHRRCSEVEKRSVNGKLTRKDDILRVKESFTEISFRRYRSSSCKNVLSRPVGSEGHIEPKRGSMYQSSREVRRMKEMGCNEGRRKIELSRASDTSFSFRIVDSLHCLDEETLQKRSPASSVSSNLNPKSVRRPCIEPCSSDDFTEICPNLDKRDKHSAGVVGSDSIGNPNFKCEQVVGPLNDGNELLERDTALTFQKSLSAKVEIPCSPSSSGSYLSNGASSKSRFSPMRMMFDPFTKSKSLRSPLGHVPEPGDAKTTGMSNMRRNQTFRKYLFHDFSHTDQKVDFDSQIAKKDHHHSAVACSPVHLHGRLKLENKHGVPFFEFSLDFPEEVLVAKTWKANNAFNWVYTFHSISSRKKSNATGWGLTDGNKESLIVGQMQVSCYLCSKLKDGGNFDNSMVTEFVMYDIAHARHRVSTEDSPDVRPDSSANPGLVGGSHEMGGNSDAVKLKHQPKYAFDRGHFDSSNPYPSASAVLHPDLEIAAVVIQLPFAKRESLKYKRGDKGSDEMHSNLLNLSVGEQRRKTIPDKENPENVKVVIPTGNHSLPSGDSRGPSSLLDRWRSGGGCDCGGWDMACPLTVFGNPGIQCAEDEPLLDNQRPLELFLMGTKENIPALTMTVLEEGQYAVDFHAQLSTLQAFSICVAILHGTEATGVTREERGNQLSHCNSLKMLIEEEVKFLIETVTEEEKRKASKKVEGIRQSYVLNPPFSPISRV >Potri.014G197600.1.v4.1 pep chromosome:Pop_tri_v4:14:12873230:12880972:-1 gene:Potri.014G197600.v4.1 transcript:Potri.014G197600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197600.v4.1 MDDFPGLLARDFGYKPQGKSAPMAPPRNTNSDNTNFNLGSGGSVHIRSSISNKSAPIFDDHVGTDGLLFNNAFDGPLKYSESRGGASTTTSSFDYDSIFKDQNSKSASLPVFDKPVYDDDIFDGLPGLKNSSTDGGSASAAPKFDNVFASVSSPPNQHRRPPARESSPFDDLLGNLGKKETESKTESRKVEMDSTAFDDLLPGFGGSSSPSVNRSTSESGQFQKPSSNSARPVPGVMEDLFVVLESTSTPPTSSSGLFTDPLEEISKISNTGSTKINSSSVNMAVFDDLDHLNDLGKSVPPEINKGGENRSPLRTRSSSGGAYSSVSKESVNKYPLENAVGHSQKKTPDDYQESHEAIFDMPAASTDFRRSFGQNVSPPSYVDINANEINSSPRSEEVSESSDDVWLTVSEIPLFTQLTSAPPPSRPPPPRPPRISKSEFGSVSSTNSRKKFNEYPSFQNSTSYSQNTRPDHASRNSVTSQIDELEDFVTVRNQNNVNEFADVLPGDDADKTSSSAASAAMKEAVDKAEAKFRQMREREYLKAARNKEAGQLDKDMQDAQQRELKERHERFDRERQQREKEEEEREQRRFEKERERAREIEREREEKEREQRRLERERERAREIEREREKGRQAVERATREARERAATDARLKAERSAVEKVSAGARERAERSAVEKVSAGARERAERAAVQRAHAEARERAAAEAKERAEKAAAEARERANAEVREREARERAAVARAEADARLRAERAAVERAAAEARERAAAAARANQQKSENDLESFFSARATSAQRPRTNTLDPFSDSQNKGGPEPVRRTSVGATSSVRKTSSTTNVVDDLTSIFGGGTGSSGEFQDVEGETEERRKARLERHQRTQERAAKALAEKNQRDLQAQRDQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKLNSEELF >Potri.014G197600.2.v4.1 pep chromosome:Pop_tri_v4:14:12873436:12880885:-1 gene:Potri.014G197600.v4.1 transcript:Potri.014G197600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197600.v4.1 MDDFPGLLARDFGYKPQGKSAPMAPPRNTNSDNTNFNLGSGGSVHIRSSISNKSAPIFDDHVGTDGLLFNNAFDGPLKYSESRGGASTTTSSFDYDSIFKDQNSKSASLPVFDKPVYDDDIFDGLPGLKNSSTDGGSASAAPKFDNVFASVSSPPNQHRRPPARESSPFDDLLGNLGKKETESKTESRKVEMDSTAFDDLLPGFGGSSSPSVNRSTSESGQFQKPSSNSARPVPGVMEDLFVVLESTSTPPTSSSGLFTDPLEEISKISNTGSTKINSSSVNMAVFDDLDHLNDLGKSVPPEINKGGENRSPLRTRSSSGGAYSSVSKESVNKYPLENAVGHSQKKTPDDYQESHEAIFDMPAASTDFRRSFGQNVSPPSYVDINANEINSSPRSEEVSESSDDVWLTVSEIPLFTQLTSAPPPSRPPPPRPPRISKSEFGSVSSTNSRKKFNEYPSFQNSTSYSQNTRPDHASRNSVTSQIDELEDFVTVRNQNNVNEFADVLPGDDADKTSSSAASAAMKEAVDKAEAKFRQMREREYLKAARNKEAGQLDKDMQDAQQRELKERHERFDRERQQREKEEEEREQRRFEKERERAREIEREREEKEREQRRLERERERAREIEREREKGRQAVERATREARERAATDARLKAERSAVEKVSAGARERAERSAVEKVSAGARERAERAAVQRAHAEARERAAAEAKERAEKAAAEARERANAEVREREARERAAVARAEADARLRAERAAVERAAAEARERAAAAARANQQKSENDLESFFSARATSAQRPRTNTLDPFSDSQNKGGPEPVRRTSVGATSSVRKTSSTTNVVDDLTSIFGGTGSSGEFQDVEGETEERRKARLERHQRTQERAAKALAEKNQRDLQAQRDQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITGAAVKKVYRKATLCIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKLNSEELF >Potri.001G138500.2.v4.1 pep chromosome:Pop_tri_v4:1:11310596:11314298:1 gene:Potri.001G138500.v4.1 transcript:Potri.001G138500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138500.v4.1 MSFQDLEAGRPLASSRRELINGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSARLKQASETDHYAGVSQSKKIADAKLAKDFQAVLKEFQKAQRLAAERETAYTPFVPQAVLPSSYTASEIDLSFDKSPEQRAILVESRRQEVLLLDNEIAFNEAVIEEREQGIHEIQQQIGEVNEIFKDLAVLVHEQGTMIDDIGSHIENSQAATAQGKSHLVKAAKTQRSNSSLACLLMVIFGIVILIVIVVLAA >Potri.001G120900.1.v4.1 pep chromosome:Pop_tri_v4:1:9857796:9864661:-1 gene:Potri.001G120900.v4.1 transcript:Potri.001G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120900.v4.1 MANASGFFSPSVPSLRGKIKPSIGVIGSGIWSTQRISKRVVCSGTIEGSEKISSSQSQLPRLVSQGCKLVGCGSALPSLQISNDDLAKIVDTSDEWISVRTGIRNRRVITGKDSLITLSAEAASKALQMAGVDPDDLDLVLMCTSTPEDLFGSAPQVQKALGCKTNPLAYDITAACSGFVLGLVSAACHIRGGGFKNVLVIGADTLSRYVDWTDRGTCILFGDAAGAVVVQACDIEDDGLFSFDVNSDGEGGRHLNASIKDNEVDHAVGSNGSVLDFPPKRHANFSCIHMNGKEVFRFAVRCVPQSIESALGKAGLTGSSIDWLLLHQANQRIIDAVATRLEVPPERIISNLANYGNTSAASIPLALDEAVRSGKVKSGHTIATAGFGAGLTWGSAIIRWG >Potri.001G034400.1.v4.1 pep chromosome:Pop_tri_v4:1:2543536:2546255:1 gene:Potri.001G034400.v4.1 transcript:Potri.001G034400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034400.v4.1 MTAQTQEELLAAQLEIQKILEADKPEVEDEEDDEEDDDEDDDKDEDEAEGQQDGDASGRSKQSRSEKKSRKAMLKLGMKTIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPETSAVAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMDLTT >Potri.004G011450.1.v4.1 pep chromosome:Pop_tri_v4:4:697784:699295:1 gene:Potri.004G011450.v4.1 transcript:Potri.004G011450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011450.v4.1 MSMSLERAVARVIRSGLSILFMAELSPASKGIFSSLSSHLSKEGWKALEEPEKKNVMMSHSRHGRILALVLLITILFPKIGCLLFFSWALLFIWVAYTLREELLQNRYTMQLCKYSGVFTMQFCKHMRVYTLHLSKQMQVMA >Potri.014G193900.4.v4.1 pep chromosome:Pop_tri_v4:14:17200636:17207941:-1 gene:Potri.014G193900.v4.1 transcript:Potri.014G193900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193900.v4.1 MTPVSLPLDRNPPLNPPLLSGRNALCLLVQREICPRTKHTPKRRWGEASQWNYNSSSVPKTEPARDAKRGLISWAEAESLRHLSAQYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGICLKVLMGHRRTPWVVRFHPLHPEILASGSLDHEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNKRGEASSPTIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTRATSPGYLRYPPPAVFVTNGQSGDCVSLASELPLVSLPFLFVPSFSLDDSRIDANRLVTSSTMQVESSTSMQLQMDTNATDRYVPLVSPMETFPAVPSSSYTSAEGIVNNSFPSGMGGGVSNTREDAMETDEMPPVGGNPQGKSAHLEMLGVGNSAMDGVPANTSIRQQSTDFGQLQQILPSRDSTWWELPFLQGWLMGQSQAGGPSTVPLSSGSRERSAQYIGPSSLTSYLSTQNVEAAVASLAMPGSTSISGVSGRSGSRPRISRSRFFVPESRESMAPINMRHEGSDNQPIFNRIQSEIATSLEAAAAAELPCTVKLRVWSHDIKHPCAPLNADKCRLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPHMEADPGLQTLVHQDAGAATSPTRHPISAHQVVYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPMSEHILLAYGRRHGSLLKSIVIDGETTTPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLKYDGVHGVNCTRPNHFPEENLTEVQTYALEG >Potri.014G193900.5.v4.1 pep chromosome:Pop_tri_v4:14:17200889:17207813:-1 gene:Potri.014G193900.v4.1 transcript:Potri.014G193900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193900.v4.1 MTPVSLPLDRNPPLNPPLLSGRNALCLLVQREICPRTKHTPKRRWGEASQWNYNSSSVPKTEPARDAKRGLISWAEAESLRHLSAQYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGICLKVLMGHRRTPWVVRFHPLHPEILASGSLDHEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNKRGEASSPTIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTRATSPGYLRYPPPAVFVTNGQSGDCVSLASELPLVSLPFLFVPSFSLDDSRIDANRLVTSSTMQVESSTSMQLQMDTNATDRYVPLVSPMETFPAVPSSSYTSAEGGNPQGKSAHLEMLGVGNSAMDGVPANTSIRQQSTDFGQLQQILPSRDSTWWELPFLQGWLMGQSQAGGPSTVPLSSGSRERSAQYIGPSSLTSYLSTQNVEAAVASLAMPGSTSISGVSGRSGSRPRISRSRFFVPESRESMAPINMRHEGSDNQPIFNRIQSEIATSLEAAAAAELPCTVKLRVWSHDIKHPCAPLNADKCRLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPHMEADPGLQTLVHQDAGAATSPTRHPISAHQVVYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPMSEHILLAYGRRHGSLLKSIVIDGETTTPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLKYDGVHGVNCTRPNHFPEENLTEVQTYALEG >Potri.014G193900.2.v4.1 pep chromosome:Pop_tri_v4:14:17200858:17207858:-1 gene:Potri.014G193900.v4.1 transcript:Potri.014G193900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193900.v4.1 MTPVSLPLDRNPPLNPPLLSGRNALCLLVQREICPRTKHTPKRRWGEASQWNYNSSSVPKTEPARDAKRGLISWAEAESLRHLSAQYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGICLKVLMGHRRTPWVVRFHPLHPEILASGSLDHEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNKRGEASSPTIVLKTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTRATSPGYLRYPPPAVFVTNGQSGDCVSLASELPLVSLPFLFVPSFSLDDSRIDANRLVTSSTMQVESSTSMQLQMDTNATDRYVPLVSPMETFPAVPSSSYTSAEGIVNNSFPSGMGGGVSNTREDAMETDEMPPVGGNPQGKSAHLEMLGVGNSAMDGVPANTSIRQQSTDFGQLQQILPSRDSTWWELPFLQGWLMGQSQAGGPSTVPLSSGSRERSAQYIGPSSLTSYLSTQNVEAAVASLAMPGSTSISGVSGRSGSRPRISRSRFFVPESRESMAPINMRHEGSDNQPIFNRIQSEIATSLEAAAAAELPCTVKLRVWSHDIKHPCAPLNADKCRLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPHMEADPGLQTLVHQDAGAATSPTRHPISAHQVVYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPMSEHILLAYGRRHGSLLKSIVIDGETTTPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLKYDGVHGVNCTRPNHFPEENLTEVQTYALEG >Potri.004G095900.1.v4.1 pep chromosome:Pop_tri_v4:4:8231789:8240197:1 gene:Potri.004G095900.v4.1 transcript:Potri.004G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095900.v4.1 MEVERSSLCNCVVNFLLEEKYILTAFELLQELLDDGRDDHAIRLKEFFSDPSHFPPDQISRFNSLRVADPQSLLEEKEAMEEKLALTAYELRLAQEDISKLKTELQKKSDLSLAELSESKSNFSVNPGPDVVRQKKNASLSDLGPLKDNERRDLNCAVKEYLLLAGYRLAAMTFYEEVTDQNLDVWQNTPACVPDALRHYYYQYLSSTSEAAEEKIAMLRENESLLKTNERLNNEKEKLLIAKDLSDNQISGLTKSLEAMQKDLKDRESQIQELKQSWERQRKEINDCRSEITSLKMHIEGSRSGMNVLASDVDAVQSQSLEKYKEEIKSLQMEIAGLKAKGAYASESIDNSTSEKETCQAEEKVVEIDEDKTIVSQPVDVAGVLGNGDVLPLSINETNKPEEVLEDLLNSCSDENALVDNSVLVTKQNGEAPSEDGRLQLESDNLGDKAASENMGLRTIEILADALPKIVPYVLINHREELLPLMMCAIECHPDSGTRDSLTHTLFNLIKRPDEQQRRIIMDACVSLAKNVGEMRTETELLPQCWEQINHMYEERRLLVAQSCGELAEFVRPEIRDSLILSIVQQLIEDSATVVREAAAHNLALLLPLFPNVDKYFKVEELMFQLVCDPSGVVVDTALKELLPAVIKWGNRLEHILRVLLSHILSSAQHCPPLSGVEGSMESHLHVLGERERWNIDVLLRMLVELLSSVHQKAVETCPLSSAPESKDMMFSTSLLETYAREHAEWPAFDWMHVDCFPDLIQLTCMLPQKEDSLRIRTTKFLLAVSEYFGDSYLVHIMLPIFMVSVGDNADLSFFPSVNHPRIKGLRPRTAVAERLATMCVLPLLLAGVLGAPSQHEQLANYLRGLLVDGTLKESQSTKHTAEIIDAVRFLCTFEKHHSIIFNILWEMVVSSNIDMKINAANLLKAIMPYIDAKVASTHVLPALITLGSDPNLNVKYASIEAFGAVAQHFKNDMIVDKIRVQMDAFLEDGSHEATIAVVRALLVAVPHTTDKLRDYLLSKIFQFTALPASVSDVMRRRERANAFCESIRALDATDLSANSVREFLLPAIQNLLKDPDALDPAHKEALEIIMKERSGGALDALSKAMGAHLGLASSVSSFFGDSGLLGKKEASEPVSPQPDSPKAVPPLQAEDTRFRRIMRGNFSEMLRGKTKGLDETNPSQ >Potri.019G028200.1.v4.1 pep chromosome:Pop_tri_v4:19:4052747:4052968:-1 gene:Potri.019G028200.v4.1 transcript:Potri.019G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQSVEGSSRSGPRRTIVGDLLKPLNSEYGKVAPGWGTTPLMGVAMALFAVFLSIILEIYNSSVLLDGISMN >Potri.012G001600.1.v4.1 pep chromosome:Pop_tri_v4:12:1133640:1136268:1 gene:Potri.012G001600.v4.1 transcript:Potri.012G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001600.v4.1 MLMMAGRGGATKLASSMMLVARCFSTATARGMLVKEAVHAKAMGFHGDVVRGNVGEFLVRGSVFGLRHGSTASFSEKDQQQNVQLVAEGGGGDKEEKKESTVSYWGVPPSRVTKEDGTEWKWNSFRPWETYSADLSINLTKHHAPVTLLDRMAYWTVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCRSLRRFEHSGGWIKALLEEAENERMHLMTFMEVSNPRWYERALVFTVQGVFFNAYFLGYLISPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIKNVPAPAIAIDYWRLPPNSTLRDVVVAVRADEAHHRDVNHFASDIHYQGRELKEAPAPIGYH >Potri.008G108300.1.v4.1 pep chromosome:Pop_tri_v4:8:6864136:6866940:1 gene:Potri.008G108300.v4.1 transcript:Potri.008G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108300.v4.1 MRGLSLASRASSPALNNLSKSQKSSNQPKLTTQKHPSKSKTDRFQRQTNHTEASLTGNNTLSSLNSQLTKPNLLSVPKGHVSHNRDTRSVDRHYISRILSRNDWFLLLNHEFKAKRINLNPQFVVSVLQNQENPIYPLRFYIWVSNVDPLFIRNQAVKGVLANAFYRKGPVVLSVDLLKDIRNSGFRVDEDLLCVLIGSWGRLGLAKYCEEIFGQISFLGITPSTRLYNAVIDALVKSNSLDLAYLKFQQMSADNCKPDRFTYNMLIHGVCKIGVVDEALRLVKQMEGFGYSANVYTYTNLIYGFCNAKRVDEAFRVFETMKLRNVNPNEATIRSLVHGVFRCVAPREAFELVIDFIEKEPVLGRLACDTLLCCLSEKCMPREAGALLRKLGERGYLPDSSTFNITMTCLLKGLDVNETCGILDKFIARGAKLGFSFYLALIETLYKAGRGMEGDRYLNQMVKDRLVSDVFSYNMLIDCFCKANVMNKAVMVFKVMQDRGVSPNLVTFNTLISGHCKDGEVHKARELLQMLLELGLKPDIFTFSSIIDCLCRAQQFDDALGCFSEMVEWGISPNAVTYNILIRSLCLIGDVGRSMKLLKDMRKDGISPDIFSFNALIQSFCRMGKVEKAEKMFVSMSTLGLIPNNYTYGALVKALFELGRCDEAKKMFFSMEVNGCVPDSFTCNLISENLFKQGDFEELQNIAKICSERGIALKSIPAV >Potri.011G149300.3.v4.1 pep chromosome:Pop_tri_v4:11:17641080:17644867:1 gene:Potri.011G149300.v4.1 transcript:Potri.011G149300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149300.v4.1 MVAMVPVDSLPLGFRFRPTDEELISHYLRLKINGRDSEVEVIPEIDICKWEPWDLPGLSVIKTDDPEWFFFCPRDRKYPNGHRSNRATEAGYWKATGKDRTIKSRKSAGNTTLIGMKKTLVFYRGRAPNGKRTNWVMHEYRPTEKDLDGTGPGQGAFVLFRLFRKPEERPSIVKYDEIEQTAYSTTAAKSSPDDASSDLVQETATSEMSSGKQPEDIKMWSTESDNMTSNAVVPIDSCSNSRATSDVEDQVPEATAVEIPVDLGYYMDSPYASDFGNNQNGFSFLDGTSEQDVSLTELLDDYLNNHDDYSGEETSSQNTLGVGSETQLFGQVPPGNFHVKDHGIYNGMAQELHASHMGAPVWPGDQFDSYELLQLQTALGTCQAPTSFSDGEIGRGNIGHFGNNFVGQDAPPANSAISSFDVYNSMEEPTSQMTSVDYGSGVSGSGIKIRTRQPQVRRHSDNLVAQGSAPRRIRLLVERSVESVGNFKVNDATHVDDEDEVQSAVTEATGDAEKQASTVDEDEDEVQSAVTEATGDAEKQASTDDEDEDEVQSAVTEATGDAEKQASAVDDLQNESPLAISKTNKEIAEESSSNLRLRVKREADSGSGQIASPAFPAAPPAHHGHKSLSIYVSIFLLLILFIAFAGMWRSLESVKLLWLQDGASDNL >Potri.011G149300.6.v4.1 pep chromosome:Pop_tri_v4:11:17641017:17645002:1 gene:Potri.011G149300.v4.1 transcript:Potri.011G149300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149300.v4.1 MVAMVPVDSLPLGFRFRPTDEELISHYLRLKINGRDSEVEVIPEIDICKWEPWDLPGLSVIKTDDPEWFFFCPRDRKYPNGHRSNRATEAGYWKATGKDRTIKSRKSAGNTTLIGMKKTLVFYRGRAPNGKRTNWVMHEYRPTEKDLDGTGPGQGAFVLFRLFRKPEERPSIVKYDEIEQTAYSTTAAKSSPDDASSDLVQETATSEMSSGKQPEDIKMWSTESDNMTSNAVVPIDSCSNSRATSDVEDQVPEATAVEAYLPLDENSPLHEPMSGEPDCKVFSPMRSQIPVDLGYYMDSPYASDFGNNQNGFSFLDGTSEQDVSLTELLDDYLNNHDDYSGEETSSQNTLGVGSETQLFGQHASHMGAPVWPGDQFDSYELLQLQTALGTCQAPTSFSDGEIGRGNIGHFGNNFVGQDAPPANSAISSFDVYNSMEEPTSQMTSVDYGSGVSGSGIKIRTRQPQVRRHSDNLVAQGSAPRRIRLLVERSVESVGNFKVNDATHVDDEDEVQSAVTEATGDAEKQASTVDEDEDEVQSAVTEATGDAEKQASTDDEDEDEVQSAVTEATGDAEKQASAVDDLQNESPLAISKTNKEIAEESSSNLRLRVKREADSGSGQIASPAFPAAPPAHHGHKSLSIYVSIFLLLILFIAFAGMWRSLESVKLLWLQDGASDNL >Potri.011G149300.1.v4.1 pep chromosome:Pop_tri_v4:11:17641018:17645060:1 gene:Potri.011G149300.v4.1 transcript:Potri.011G149300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149300.v4.1 MVAMVPVDSLPLGFRFRPTDEELISHYLRLKINGRDSEVEVIPEIDICKWEPWDLPGLSVIKTDDPEWFFFCPRDRKYPNGHRSNRATEAGYWKATGKDRTIKSRKSAGNTTLIGMKKTLVFYRGRAPNGKRTNWVMHEYRPTEKDLDGTGPGQGAFVLFRLFRKPEERPSIVKYDEIEQTAYSTTAAKSSPDDASSDLVQETATSEMSSGKQPEDIKMWSTESDNMTSNAVVPIDSCSNSRATSDVEDQVPEATAVEAYLPLDENSPLHEPMSGEPDCKVFSPMRSQIPVDLGYYMDSPYASDFGNNQNGFSFLDGTSEQDVSLTELLDDYLNNHDDYSGEETSSQNTLGVGSETQLFGQVPPGNFHVKDHGIYNGMAQELHASHMGAPVWPGDQFDSYELLQLQTALGTCQAPTSFSDGEIGRGNIGHFGNNFVGQDAPPANSAISSFDVYNSMEEPTSQMTSVDYGSGVSGSGIKIRTRQPQVRRHSDNLVAQGSAPRRIRLLVERSVESVGNFKVNDATHVDDEDEVQSAVTEATGDAEKQASTVDEDEDEVQSAVTEATGDAEKQASTDDEDEDEVQSAVTEATGDAEKQASAVDDLQNESPLAISKTNKEIAEESSSNLRLRVKREADSGSGQIASPAFPAAPPAHHGHKSLSIYVSIFLLLILFIAFAGMWRSLESVKLLWLQDGASDNL >Potri.009G154701.1.v4.1 pep chromosome:Pop_tri_v4:9:12107656:12110801:1 gene:Potri.009G154701.v4.1 transcript:Potri.009G154701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154701.v4.1 MINGFQNVSLSSRLGIPMIYGIDVVHGHNNIYKATIFPHNVGPGATRDPDRIGAATALEVRATGIPYVFAPCIAIRSDLKVCRDPRWGRCYESYSEDPKVVEMMTEIIPGLQGDVPPDSRKGVPYVGGKDKVPACAKHFVGDGGTTKGINENNTVIGYHGLMSIHMPSYFHSIIKGVSTVMASYSS >Potri.001G177201.1.v4.1 pep chromosome:Pop_tri_v4:1:15366181:15366500:-1 gene:Potri.001G177201.v4.1 transcript:Potri.001G177201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177201.v4.1 MKCTLFIFFLPWDLLLRIHEAVRVVYLLKTNRVLPFITGQNESGFFQSELSQ >Potri.009G055800.1.v4.1 pep chromosome:Pop_tri_v4:9:5945837:5947066:-1 gene:Potri.009G055800.v4.1 transcript:Potri.009G055800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055800.v4.1 MVLLAERISKLYSKMENHHQHQQPEALSASLQAFRSDVSNCVHQLCLDLKPGSETLSFSWVLQCFQLIPSINKAFAKLVVDIDYHMSKWKSQSVEEYLKYSLSLLDLLNSISSSLSHLERGRLSLAHSLSLVKSSPSSAIEHIKAIKFNSSIKNFKGQDNIDDEKDRPCSGEEWIIDQALIELKSVGFWVCYVLLAGLSGDAKAYLEMRKSTTGIFSNSSVIKLDMSISEAVMDRGVVLKEVKELKEAADCIAAATANEKESYRAEEMQRRLKAFEQLLDGLDKEVNSLFSKILAGRNELLDGIRHTKQ >Potri.008G211100.2.v4.1 pep chromosome:Pop_tri_v4:8:17690647:17704915:-1 gene:Potri.008G211100.v4.1 transcript:Potri.008G211100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211100.v4.1 MASSAQLMGGGSVGGGGPRYVQMQSEPSTPLQPPSSSIISSFFSFRQGSTPESGRIFDELPQATIVSVSRPDPSDISPVQLSYTIEVQYKQFKWRLLKKAAQVFYLHFALKKRVFFEEILEKQEQVKEWLQNLGIGDHTPMVNDDDDADDETIPLHHDESAKNRDVPSSAALPVIRPALGRQNSMSDRAKVTMQQYLNHFLGNMDIVNSREVCKFLEVSKLSFSPEYGPKLKEEYVMVKHLPRIVKDDDSRKCCACSWFSCCNDNWQKVWAVLKPGFLALLADPFDTKLLDIIVFDVLPASDGSGEGRVSLAAEIKERNPLRHGFKVACGNRSIDLRSKNGARVKDWVATINDAGLRPPEGWCHPHRFASFAPPRGLSEDGSQAQWFVDGRAAFEAIALSIEDAKSEIFICGWWLCPELYLRRPFRAHASSRLDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKTKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDHQICFIGGLDLCFGRYDTCEHRVGDCPPQVWPGKDYYNPRESEPNSWEDMMKDELDRGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRSKAPYEEAIPLLMPQQHMVIPHYMGQNREMEVERKGIKDDVKGIKRQDSFSSRSSLQDIPLLLPQEAEGPDDSGVGPKLNGMDSTPGRSLPHAFWKSKIELVVPDISMTSFVDNNGSDLHVKMSSDFSAQPGTKASDLEWWETQERVDQVGSPDESGQVGPRVSCHCQVIRSVSQWSAGTSQIEESIHCAYCSLIEKAEHFVYIENQFLISGLSGDDIIRNRVLEALYRRIMRAFNDKKCFRVIIVIPLLPGFQGGVDDGGAASVRAIMHWQYRTICRGQNSILHNLYDHLGPKTHDYISFYGLRSYGRLFDGGPVATSQVYVHSKIMIIDDRTTLIGSANINDRSLLGSRDSEIGVLIEDKELVDSLMGGKPRKAGKFTLSLRLSLWSEHLGLHSKAINKVIDPVIDSTYKDIWMSTAKTNTMIYQDVFSCVPNDLIHTRAALRQSMVSRKDRLGHTTIDLGIAPQKLESYQNGDIKNTDPLERLQSTRGHLVSFPLEFMCKEDLRPVFNESEYYASQVFH >Potri.008G211100.3.v4.1 pep chromosome:Pop_tri_v4:8:17690647:17702285:-1 gene:Potri.008G211100.v4.1 transcript:Potri.008G211100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211100.v4.1 MVKHLPRIVKDDDSRKCCACSWFSCCNDNWQKVWAVLKPGFLALLADPFDTKLLDIIVFDVLPASDGSGEGRVSLAAEIKERNPLRHGFKVACGNRSIDLRSKNGARVKDWVATINDAGLRPPEGWCHPHRFASFAPPRGLSEDGSQAQWFVDGRAAFEAIALSIEDAKSEIFICGWWLCPELYLRRPFRAHASSRLDSLLEAKAKQGVQIYILLYKEVALALKINSVYSKTKLLSIHENVRVLRYPDHFSTGVYLWSHHEKLVIVDHQICFIGGLDLCFGRYDTCEHRVGDCPPQVWPGKDYYNPRESEPNSWEDMMKDELDRGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNYAKRSKAPYEEAIPLLMPQQHMVIPHYMGQNREMEVERKGIKDDVKGIKRQDSFSSRSSLQDIPLLLPQEAEGPDDSGVGPKLNGMDSTPGRSLPHAFWKSKIELVVPDISMTSFVDNNGSDLHVKMSSDFSAQPGTKASDLEWWETQERVDQVGSPDESGQVGPRVSCHCQVIRSVSQWSAGTSQIEESIHCAYCSLIEKAEHFVYIENQFLISGLSGDDIIRNRVLEALYRRIMRAFNDKKCFRVIIVIPLLPGFQGGVDDGGAASVRAIMHWQYRTICRGQNSILHNLYDHLGPKTHDYISFYGLRSYGRLFDGGPVATSQVYVHSKIMIIDDRTTLIGSANINDRSLLGSRDSEIGVLIEDKELVDSLMGGKPRKAGKFTLSLRLSLWSEHLGLHSKAINKVIDPVIDSTYKDIWMSTAKTNTMIYQDVFSCVPNDLIHTRAALRQSMVSRKDRLGHTTIDLGIAPQKLESYQNGDIKNTDPLERLQSTRGHLVSFPLEFMCKEDLRPVFNESEYYASQVFH >Potri.012G110200.1.v4.1 pep chromosome:Pop_tri_v4:12:13037077:13040660:-1 gene:Potri.012G110200.v4.1 transcript:Potri.012G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110200.v4.1 MGRKERAKERREKRLQEISLLRTIPYSDHQRWWSSETVAVVTGGNRGIGFEIARQLADHGLTVILTSRESSTGLEAANVLKELGFSVDFHQLDVLDSLSIKKFAEWIEQTYGGIDVLVNNAGVNYNLGSDNSVEHAQNVVATNYYGTKNVTQSLIPLMRPSAVGARIVNVSSRLGRLNGRRNRLEDKDLREKLANLETLSEELIDRTVSTFLQQVEEGTYTSGGWPQMFTDYSVSKLAVNAFTRLMAKMLSDRPDGMKIYINCYCPGWVKTAMTGWAGNISAEDGADTGVWLALLPDQAITGKFFAERREVNF >Potri.001G147600.2.v4.1 pep chromosome:Pop_tri_v4:1:12173565:12176404:-1 gene:Potri.001G147600.v4.1 transcript:Potri.001G147600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147600.v4.1 MEDAEGIELQITDDKEPNSSNDASSINNKMQLPKLIHYKWWLRVTCYILFLLSGQSAATLLGGLYYDKGGNSKWMATFVQSAGFPILLPLLFFFTSSINSNTATNPISSSFANKPEGPKLSTLTFLYIGFGALLTGDNLMYSYGLLYLPVSTYSLLCATQLAFNALFSFFLNSQKLSPFVLNSLILLTASASLLAVNADSENSAGIPRRKYVIGFFCTLGASATYSLYLSLVQLSFEKVINKETFSTVLNMQIYPSFVATCGCVVGLFASREWESLENEMKEYKEGKVSYLMTLIWTAITWQVSSVGLLGLIFEVSSLFSNVISTLALPLVPILAVIFFHDKMNGVKVMAMLLAIWGFLSYIYQHYLDDAKSKTSLTSENEVAGAQLETG >Potri.001G147600.1.v4.1 pep chromosome:Pop_tri_v4:1:12173315:12176440:-1 gene:Potri.001G147600.v4.1 transcript:Potri.001G147600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147600.v4.1 MEDAEGIELQITAADDKEPNSSNDASSINNKMQLPKLIHYKWWLRVTCYILFLLSGQSAATLLGGLYYDKGGNSKWMATFVQSAGFPILLPLLFFFTSSINSNTATNPISSSFANKPEGPKLSTLTFLYIGFGALLTGDNLMYSYGLLYLPVSTYSLLCATQLAFNALFSFFLNSQKLSPFVLNSLILLTASASLLAVNADSENSAGIPRRKYVIGFFCTLGASATYSLYLSLVQLSFEKVINKETFSTVLNMQIYPSFVATCGCVVGLFASREWESLENEMKEYKEGKVSYLMTLIWTAITWQVSSVGLLGLIFEVSSLFSNVISTLALPLVPILAVIFFHDKMNGVKVMAMLLAIWGFLSYIYQHYLDDAKSKTSLTSENEVAGAQLETG >Potri.001G147600.4.v4.1 pep chromosome:Pop_tri_v4:1:12173834:12176198:-1 gene:Potri.001G147600.v4.1 transcript:Potri.001G147600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147600.v4.1 MQLPKLIHYKWWLRVTCYILFLLSGQSAATLLGGLYYDKGGNSKWMATFVQSAGFPILLPLLFFFTSSINSNTATNPISSSFANKPEGPKLSTLTFLYIGFGALLTGDNLMYSYGLLYLPVSTYSLLCATQLAFNALFSFFLNSQKLSPFVLNSLILLTASASLLAVNADSENSAGIPRRKYVIGFFCTLGASATYSLYLSLVQLSFEKVINKETFSTVLNMQIYPSFVATCGCVVGLFASREWESLENEMKEYKEGKVSYLMTLIWTAITWQVSSVGLLGLIFEVSSLFSNVISTLALPLVPILAVIFFHDKMNGVKVMAMLLAIWGFLSYIYQHYLDDAKSKTSLTSENEVAGAQLETG >Potri.013G156800.1.v4.1 pep chromosome:Pop_tri_v4:13:15181794:15183173:1 gene:Potri.013G156800.v4.1 transcript:Potri.013G156800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX75 MAAIQSFLYFATLVAILIPVSAQLTPDFYDKVCPQALPIIRKITKQAIRREPRMGASLLRMHFHDCFVNGCDGSVLLDDTANFTGEKTALPNLNSLRGFEVVDQIKSAINQACSANVVSCADILAVAARDSVNLLGGPAYKVLLGRRDSRTASKNDANTNLPPPFFNFSQLLSNFQSHGLNLTDLVVLSAGHTIGLARCTTFRDRIYNDTNINYKFAASLKYSCPRTGGDNNTKPFDSTTTRFDAQYFRDLLAKKGLLHSDQELFKGDGSGSDSLVKYYGYINPDRFLTDFSASMVKMGNMKPLTGTNGEIRMNCRKVNN >Potri.015G142000.1.v4.1 pep chromosome:Pop_tri_v4:15:14812225:14815515:1 gene:Potri.015G142000.v4.1 transcript:Potri.015G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142000.v4.1 MSREDTERGGGVKSRGNNSNSYLSSTSTYPIEDTEAHYRTSWLVPMFVVANVAVFIVVMCINNCPKHLHRRLEGKCVARFLGRFSFEPLKDNPLFGPSSATLERLGALEWTKVVDKHQGWRLITCIWLHAGIIHLLANMLSLVFIGIRLEQQFGFVRIGVVYLLSGFGGSVLSSLFIRNNISVGASGALFGLLGAMLSELITNWSIYTNKAAALLTLLVIIVINLAIGILPHVDNFAHIGGFLSGFLLGFVLLPRPQYGWLERGNVPSGVGVKSKYRAHQYALWLISVILLIVGFTVALVMLFKGENGNDHCHWCHYLSCVPTSRWKCNDNNL >Potri.001G143900.1.v4.1 pep chromosome:Pop_tri_v4:1:11853862:11856310:-1 gene:Potri.001G143900.v4.1 transcript:Potri.001G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G143900.v4.1 MPVPLAPYPTPPAPYAPPAPAPAPPPPPPANGAQSQLVCSGCRNLLLYPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGSCRMLLMYRYGARSVKCAVCNFVTPVGVSSSVNERKFNT >Potri.011G045900.2.v4.1 pep chromosome:Pop_tri_v4:11:3596502:3599943:-1 gene:Potri.011G045900.v4.1 transcript:Potri.011G045900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045900.v4.1 MVAYDGGYNVWPPTESPLLNAQREDQLWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLGPTSGRGGHGDLESQTRFNSKLGHPSPKMTVYANGVSVLMPGDNIPTFIALPAPVPCPPERPSYPHNQQHINQLPNPTASPDTNSSSSSISTQQN >Potri.017G122000.1.v4.1 pep chromosome:Pop_tri_v4:17:12725197:12727928:1 gene:Potri.017G122000.v4.1 transcript:Potri.017G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122000.v4.1 MATEEIKELPKSWAMVGGDGPQSYTQNSAYQKGVVDASKEKVTEGIKDKLDFKSLGFDSSNDTFRIADFGCSVGPNTFFAVENIIEAVEQKYQAQFQKSPPLELEFQVFFNDVTTNDFNTLFKTLHSNRKYFAAGLPGTFYGRLLPKSTLHFAYSSYCLQWLSKVPKEVVDSKSPAWNKGSIQCDGLKKEVTKAYSAQFQSDMNTFLNARAQEIVGGGLMVIIMAGLPDGIFMSQAGVGMYYELLGSCLVDMAKLGEISEEKVDSFNLPLYYSSSTEIEEIIKENGNFNIEIMDSLSHQIWKTSKKSNIEVSVSGGRAVFQGLVEEHFGSEVVEKTFEHFAKKLVDNFSIFDGAAHEHIDHFILLKRHFN >Potri.001G421200.1.v4.1 pep chromosome:Pop_tri_v4:1:44946384:44953583:1 gene:Potri.001G421200.v4.1 transcript:Potri.001G421200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G421200.v4.1 MSSQAPKSSKPTKPQNQNQPTSRSPSLSTHLAMVELKQRIITCLSKLADRDTHQIALEELHTITQTISPDALPMLLNSHYDSLSETSNSKPSVKKESLHLLSLACQSHRDLTLPHLAKIISCIVKRLKDSDSSVRDACREAIGVLSGLYLKGNGGGGEGGGGGDGNGAGPMVGLFVRPLFEAMGEQNKVVQSGAAMCMAKMVECAAAEGDDSGGNVPIGAFHKLCPRICKLLNGQSFQAKAALLGVVTSLSQVGAIAPQGLESLLQNIHDCLGSTDWATRKAAADALSAIALHSSRLIADGAANSTLTVLEACRFDKIKPVRDSMTEALQLWKKIAGKGEGVPDDQKSSSLDGERHEQAELSDKNLNPNDQRKESSARDASNGTSPTKDSASKSKYGSIHDKAVVILKKKTPALTDKELNLEFFQKLEKRGSGDLPVEVVVPRRCLDSSNLNNEEESEPNDSESRGRPNRMGNSLSDDIHGAFNNKFRNIERGITGKDLRTRTFDDERIDINHRESSGSRAGFSKSDGQSEGSFINNKGNWLAIQRQLLQLERQQAHLMNMLQDFMGGSHNSMVTLENRVRGLERVVEDLAHDLTISSGRRGNNFAMGFEGSSNRPLGKYNGFSDYSSSKYNGWVPHGERFSQSDVTASGMRGRGSHWRSDTSDAWEFPTYGASRNGQAVSRRAPGSGSLNVRSPKSEHEGDQLGNRRAWEKGAGPVRHGEGPSARSVWQASKDEATLEAIRVAGEDSGLARTARVTIPEMTAEAMGDDNAGQERDPIWTSWSNAMDALKMGDMDTAYAEVVSTGDDLLLVKLMDRSGPVVDQLSNETACEVLNAIGQFLMEQNLLDICLSWIQQLAEIVLENGSHVFGIPMELKKDILLNLHEASTSMEPPEDWEGAAPDQLLLQLASAWGIEIQQFEK >Potri.012G125900.1.v4.1 pep chromosome:Pop_tri_v4:12:14237011:14243119:-1 gene:Potri.012G125900.v4.1 transcript:Potri.012G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125900.v4.1 MVESPFKPEILRGKVALLTGGGSGIGYEISLQLGKHGASIAIMGRRKHVVDSAVSSLNSLGIPAIGFEGDVRKREDAIRVVESTFKHFGRLDILVNAAAGNFLVPSEDLSSNGFRTVMDIDSVGTFTMCHEALKYLKKGGLGKDPSTAGGTIINISATLHYTATWYQIHVSAAKAAVDSITRNLALEWGTDYDIRVNGIAPGPIGDTAGMSKLGLEGILSKAMEKMPLFKVGEKWDIAMAAVYLASDAGKYVNGTTLVVDGGEWLSKPRHFPKDAVKQLSRVVEKRSKHAPAGIPRSKL >Potri.006G213500.1.v4.1 pep chromosome:Pop_tri_v4:6:21976116:21978076:1 gene:Potri.006G213500.v4.1 transcript:Potri.006G213500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213500.v4.1 MGDSSASYIHMVHHLIEECIIFNMSKEECMEALSKHADIKPVITSTVWKELEKENKEFFEAYEKRRGEKAVQEGDKSTGSKT >Potri.010G227200.2.v4.1 pep chromosome:Pop_tri_v4:10:21066632:21071389:1 gene:Potri.010G227200.v4.1 transcript:Potri.010G227200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227200.v4.1 MNPPSPTPDEFSSLPLEFVPYPPLPPQTTPTPIQTPPAPAFALPNPNAAFPSFPIPKKRRRGRPQKTQTSFHFPQFFPPKAAQNQQQKKLVPDISEEIIVINKESTNEALIGLSAGFPADSLTDEEIDARVVTNIGGIEQVNYILIRNHIIAKWRENVNVWVTQEMFLNSVPIHCHGLLDSAYDYLVSHGYINFGVSQSIKERFPNEYTKSNVIVVGAGLAGLSAARQLMRLGFKVTVLEGRKRAGGRVYTMRMEGGAGNRVSASVDLGGSVLTGTLGNPLGILARQLGFWMHKVRDKCPLYSVGGRPVDLDMDMKVETAFNRLLDKASRLRQLMGDVSVDVSLGAALETFRQVYEDAVNKEEINLFNWHCANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGSDGVQVIAGSQVFEGDMVLCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGYGLLNKVAMLFPCVFWETDLDTFGHLTDDTSSQGEFFLFYSYATVAGGPILIALVAGEAAHKFESMPPTDAVTKVIQILKGIYEPQGITVPEPIQTVCTRWGSDPFTLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATNRRYPATMHGAFLSGLREAANMIHYAGTRASRMKVNRTPSKNAHTCASLLADLFREPDIEFGSFSVIFGRKNPDPKSTAILRVTFSEPRKKSQEGSRPDQRHSNKLLFQQLQSHFNQQQPLHVYTLLSKQQALELREVRGGDETRMNYLCEKLGVKLIGRKGLGPTADSLIASIKAERGGHKTPATSLGLKSGMSKLQKVTLKRKLVRRAKIVRSSNKYVPPPNLNMVNVKVLEEIRTTNQAPPERNSTGQIQVDMLKNEQTAPS >Potri.010G227200.1.v4.1 pep chromosome:Pop_tri_v4:10:21066544:21071402:1 gene:Potri.010G227200.v4.1 transcript:Potri.010G227200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227200.v4.1 MNPPSPTPDEFSSLPLEFVPYPPLPPQTTPTPIQTPPAPAFALPNPNAAFPSFPIPKKRRRGRPQKTQTSFHFPQFFPPKAAQNQQQKKLVPDISEEIIVINKESTNEALIGLSAGFPADSLTDEEIDARVVTNIGGIEQVNYILIRNHIIAKWRENVNVWVTQEMFLNSVPIHCHGLLDSAYDYLVSHGYINFGVSQSIKERFPNEYTKSNVIVVGAGLAGLSAARQLMRLGFKVTVLEGRKRAGGRVYTMRMEGGAGNRVSASVDLGGSVLTGTLGNPLGILARQLGFWMHKVRDKCPLYSVGGRPVDLDMDMKVETAFNRLLDKASRLRQLMGDVSVDVSLGAALETFRQVYEDAVNKEEINLFNWHCANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTIRYGSDGVQVIAGSQVFEGDMVLCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGYGLLNKVAMLFPCVFWETDLDTFGHLTDDTSSQGEFFLFYSYATVAGGPILIALVAGEAAHKFESMPPTDAVTKVIQILKGIYEPQGITVPEPIQTVCTRWGSDPFTLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATNRRYPATMHGAFLSGLREAANMIHYAGTRASRMKVNRTPSKNAHTCASLLADLFREPDIEFGSFSVIFGRKNPDPKSTAILRVTFSEPRKKSQEGSRPDQRHSNKLLFQQLQSHFNQQQPLHVYTLLSKQQALELREVRGGDETRMNYLCEKLGVKLIGRKGLGPTADSLIASIKAERGGHKTPATSLGLKSGMSKLQKVTLKRKLVRRAKIVRSSNKYVPPPNLNMVNVKVLEEIRTTNQAPPERNSTGQIQVDMLKNEQTAPS >Potri.002G023900.1.v4.1 pep chromosome:Pop_tri_v4:2:1489024:1492475:1 gene:Potri.002G023900.v4.1 transcript:Potri.002G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9B1 MRVKPSSRGCCWWFLVVVTLALVYDAIVYTVQKKTEKSEGAAPITGPPGAIEKKYADALKIAMQFFDIQRSGELGYSNKFSWRGDSTLTDGSQAKLDLSKGMYDAGDLMKFGFPMAFTATVLSWAILEYGGQMAVVNQLDPAQDSLKWITDYLINAHPEENVLYVQVGDPEIDHGCWERPEVMSEKRPLTQINTSSPGTEVAAETAAALASASLVFKSDSRYSSALLKHAKELFTFADKYRGSYSESIPEVSTYYNSTGYGDELLWAASWLYHATGDKSYLQYVTGKNGEVFAQWGSATWFSWDNKLAGTQVLLSRLTFFGNKDTSNSGLQMYRKTAEAVMCSLIPDSPTATQSRTDSGLIWVSEWNALQHPVASAFLASLYSDYMRSSGTAKLSCNGYSYKPSDLRKFAKSQADYVLGNNPMKMSFLVGYGENYPQYVHHRGASIPADAKTGCKDGWTWLERKEPNPNVATGALVGGPFLNETFVDDRNNSMQAEPTTYNGAVIVGLLSGLVTTSSAVPSFT >Potri.011G102450.1.v4.1 pep chromosome:Pop_tri_v4:11:13034155:13035128:-1 gene:Potri.011G102450.v4.1 transcript:Potri.011G102450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102450.v4.1 MQGCDVAILENQAGESQSIYHQRKHFVSISLSASTIAESSKNPKKLFSKKLLKKYLFMKIKILLLHLRLPVCQMIVILLFPGIR >Potri.011G102450.2.v4.1 pep chromosome:Pop_tri_v4:11:13034155:13035128:-1 gene:Potri.011G102450.v4.1 transcript:Potri.011G102450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102450.v4.1 MGCDVAILENQAGESQSIYHQRKHFVSISLSASTIAESSKNPKKLFSKKLLKKYLFMKIKILLLHLRLPVCQMIVILLFPGIR >Potri.002G027600.2.v4.1 pep chromosome:Pop_tri_v4:2:1845114:1851019:-1 gene:Potri.002G027600.v4.1 transcript:Potri.002G027600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027600.v4.1 MSAASSTGGDDLTSDETSGQEERILVSVRLRPLNEREIVRNDVSDWECINNSTIIFKSNMPERSMFPTAYTFDRVFGSDCLTKQVYEEGVKEIALLAVSGVNSTIFAYGQTSSGKTYTMRGITEYALADIYEYMKQHKEREFVLKFSAMEIYNEAVRDLLSTSSSPLRLLDDPERGTVVEGLIEDTLVDWNHLLELISICEDQRQIGETTLNETSSRSHQILRLTVESSARGYLGAGNSTTLTATVNFVDLAGSERASQTLAVVTRLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQNSLGGNARTAIICTLSPARSHVEQSRNTLLFASCAKEVTTNAQVNLVMSDKTLVKKLQKEVARLENELKSAGSNSFVGDSTALLREKELQIEKMHQEIQELTWQRDQAESHLQSLLESFGIKDQVFRMDGHSAPGSSEMINAFRLDADLPGTKTFKDFDYPGAVSPNKQIIQIPEDNFLLDGSTPKFSGPGCEDIGKRNSEDAKDICEEVPGTIMVESRRKVKKVADVLFPVLEEKIPMHEGEVPSSQEEDKELIHINSNNTHETVKQKIQELHETIDHLERSPSFSDAVESSYKNLKWTRSKSRRSVLMTIPYALWSEKEEDNGRVSPTASEKDFPERSEDLKQKLLELEHDVKLGNKSQEDSQNPLYGASAEEDVIKDIDVDVEDTTSVLDFVAGVNKMAAKLHSENLSRDMQVLQASTRHHHSNWQVKYERYRRKIIELWFRCNVPLVHRSYFFLLFKGDPSDNVYMEVELRRLYFLKDTFSSGANTIIDGKIASPASSLKALSRERDMLAKQLQKKYTKTERERLYQKWGIPLDTKQRSLQLARRLWTDVRDMRHIKDSATLVAKLAGIVEPRHAPKEMFGLSFSTNQKPPSWRDNMSSLL >Potri.002G027600.1.v4.1 pep chromosome:Pop_tri_v4:2:1845071:1851067:-1 gene:Potri.002G027600.v4.1 transcript:Potri.002G027600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027600.v4.1 MSAASSTGGDDLTSDETSGQEERILVSVRLRPLNEREIVRNDVSDWECINNSTIIFKSNMPERSMFPTAYTFDRVFGSDCLTKQVYEEGVKEIALLAVSGVNSTIFAYGQTSSGKTYTMRGITEYALADIYEYMKQHKEREFVLKFSAMEIYNEAVRDLLSTSSSPLRLLDDPERGTVVEGLIEDTLVDWNHLLELISICEDQRQIGETTLNETSSRSHQILRLTVESSARGYLGAGNSTTLTATVNFVDLAGSERASQTLAVVTRLKEGSHINRSLLTLGTVIRKLSKGRNGHIPYRDSKLTRILQNSLGGNARTAIICTLSPARSHVEQSRNTLLFASCAKEVTTNAQVNLVMSDKTLVKKLQKEVARLENELKSAGSNSFVGDSTALLREKELQIEKMHQEIQELTWQRDQAESHLQSLLESFGIKDQVFRMDGHSAPGSSEMINAFRLDADLPGTKTFKDFDYPGAVSPNKQIIQIPEDNFLLDGSTPKFSGPGCEDIGKRNSEDAKDICEEVPGTIMVESRRKVKKVADVLFPVLEEKIPMHEGEVPSSQEEDKELIHINSNNTHETVKQKIQELHETIDHLERSPSFSDAVESSYKNLKWTRSKSRRSVLMTIPYALWSEKEEDNGRVSPTASEKDFPERSEDLKQKLLELEHDVKLGNKSQEDSQNPLYGASAEEDVIKDIDVDVEDTTSVLDFVAGVNKMAAKLHSENLSRDMQASTRHHHSNWQVKYERYRRKIIELWFRCNVPLVHRSYFFLLFKGDPSDNVYMEVELRRLYFLKDTFSSGANTIIDGKIASPASSLKALSRERDMLAKQLQKKYTKTERERLYQKWGIPLDTKQRSLQLARRLWTDVRDMRHIKDSATLVAKLAGIVEPRHAPKEMFGLSFSTNQKPPSWRDNMSSLL >Potri.013G034600.1.v4.1 pep chromosome:Pop_tri_v4:13:2231361:2231831:1 gene:Potri.013G034600.v4.1 transcript:Potri.013G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034600.v4.1 MLSRGDSHSSLCHLCVEAAMKKLADPGNTDFDKHLAEHKATLDKLNKQYFDNLKELDALKRRGKELEELEKVARSKSLFGAPINELSLHELEMLRKSLKEIKENILKQIEKISADTENPTSSSSANIAGVINPSVSSTARGSSSADVNDHDDGHGH >Potri.012G029300.6.v4.1 pep chromosome:Pop_tri_v4:12:1041668:1048176:1 gene:Potri.012G029300.v4.1 transcript:Potri.012G029300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G029300.v4.1 MSSVSSQPQFRLTQPPSKVLHLRNLPWECTEEELVELGKPFGKVVNTKCNVGPNRNQAFIEFSDLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVGGNVLLVTIEGADARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPSYLLPEHLGPCTLRITYSAHTDLSVKFQSHRSRDYTNANLPVAPSAIDANGMFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSSFGPVQKIAMFDKNGGLQALIQYPDVQTAVVAKEALEGHCIYDGGFCKLHLSYSRHSDLSIKVNNDRSRDYTIPNTVMMNPQPSILGQQPVATHGPPAHTYPGAQHHQMMPQPSAGWATGGPPVPHSMPAQMNNNPYLPPGTMPPQMGHGMMQMPNHGGLPHAPAMPPYRPGHMQ >Potri.012G029300.2.v4.1 pep chromosome:Pop_tri_v4:12:1041486:1048220:1 gene:Potri.012G029300.v4.1 transcript:Potri.012G029300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G029300.v4.1 MSSVSSQPQFRLTQPPSKVLHLRNLPWECTEEELVELGKPFGKVVNTKCNVGPNRNQAFIEFSDLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADVGGNVLLVTIEGADARLVSIDVLHLVFSAFGFVHKITTFEKTAGFQALVQFSDAETASSAKNALDGRNIPSYLLPEHLGPCTLRITYSAHTDLSVKFQSHRSRDYTNANLPVAPSAIDANGMFSVGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSSFGPVQKIAMFDKNGGLQALIQYPDVQTAVVAKEALEGHCIYDGGFCKLHLSYSRHSDLSIKVNNDRSRDYTIPNTVMMNPQPSILGQQPVATHGPPAHTYPGAQHHQMMPQPSAGWATGGPPVPHSMPAQMNNNPYLPPGTMPPQMGHGMMQMPNHGGLPHAPAMPPYRPGHMQ >Potri.015G112012.1.v4.1 pep chromosome:Pop_tri_v4:15:12835090:12842411:-1 gene:Potri.015G112012.v4.1 transcript:Potri.015G112012.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112012.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGPRRKTNARSWIRVLVWSAYLSADVVATVALGNLARSQGDSSGDSSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVIVAFYVFSRSWGSGILTFIAIPMFVVGIAKYAERTWVLWSSCSKSLKNSSLSDFGGSYYLVRTPPQDQQRDYLLQANVFSYISKFMMQDLVPGIPELIRSRELISKNKADGAFKVVEAELGLVYDMLYTKAPLIYSRGGIILRFISSLLSVTAFITFQVKIDKHDYLTTDIAITYLLFAAAVFLEFYAFLCLVLSDWTMIWLIYKGGNALTSAIYSQLRKLPRSERWSRSISQYNLISSSFESEPHRCLGLLGIDEMMRQMHVTRKDLNGELQDLIFGHLRKKAEKIKEDLNVFDKNLRSKVIRQRGDGVLEREGLLREYKWCTTEVEFSRSILVWHLATEVCYRDDNKDGRNVSKEYGTSRCLSEYMMYLLVIRPNMLSKGFGDDEEYQETLRDLLLLKYSRQGYQCTLRDLWGLKDGGPDDEGYQRTLRELRNSKSRGYDDRLFQTEWKTEKSVLRGVAVLANQLLSLEPENRWWMIREVWIEMVAYAAAHCPWKEHTHQLRRGGELLTHVSLLMLHLGLTEQYEYNESDDYFDELTEEERHEYEQAREKYLEGIKDKNMSGSSADEEEEQEEYLEDTTIMSGSSPEERLKELEKIVADTKQDLERVADTERDLEHRKQELKREERVLKREERVLKRVLEHKNQELEHLRSSLTVSAPQQGIDSLPRSLPAQTDGQGTGQPPSNNEISLSMEQEY >Potri.015G112012.2.v4.1 pep chromosome:Pop_tri_v4:15:12835342:12842232:-1 gene:Potri.015G112012.v4.1 transcript:Potri.015G112012.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112012.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGPRRKTNARSWIRVLVWSAYLSADVVATVALGNLARSQGDSSGDSSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVIVAFYVFSRSWGSGILTFIAIPMFVVGIAKYAERTWVLWSSCSKSLKNSSLSDFGGSYYLVRTPPQDQQRDYLLQANVFSYISKFMMQDLVPGIPELIRSRELISKNKADGAFKVVEAELGLVYDMLYTKAPLIYSRGGIILRFISSLLSVTAFITFQLRKLPRSERWSRSISQYNLISSSFESEPHRCLGLLGIDEMMRQMHVTRKDLNGELQDLIFGHLRKKAEKIKEDLNVFDKNLRSKVIRQRGDGVLEREGLLREYKWCTTEVEFSRSILVWHLATEVCYRDDNKDGRNVSKEYGTSRCLSEYMMYLLVIRPNMLSKGFGDDEEYQETLRDLLLLKYSRQGYQCTLRDLWGLKDGGPDDEGYQRTLRELRNSKSRGYDDRLFQTEWKTEKSVLRGVAVLANQLLSLEPENRWWMIREVWIEMVAYAAAHCPWKEHTHQLRRGGELLTHVSLLMLHLGLTEQYEYNESDDYFDELTEVSIPKILLKYVDRYCIFLGVRIK >Potri.002G165700.1.v4.1 pep chromosome:Pop_tri_v4:2:12661278:12662676:1 gene:Potri.002G165700.v4.1 transcript:Potri.002G165700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165700.v4.1 MMALHSAIKFSVFALVLLILATDSDAGGIAIYWGQNGNEGTLAETCATGNYEYVNLAFLSTFGNGQTPMINLAGHCDPYSNGCTSLSSEIKSCQAKGVKVMLSIGGAAGSYYLASSEDARQVAVYLWNNFLGGNTSSRPLGPAVLDGVDFDIEGGTNLYWDDLARYLSAYSKKGKRVHLTAAPQCPFPDAWVGNALKTGLFDYVWVQFYNNPPCQYASGEVTNLEDAWKQWTSAIPASKIFLGLPASPEAAGSGFIPVPDLTSNVLPSIKDSSRYGGVMLWSKYYDDQSGYSSSIKNDV >Potri.015G134300.1.v4.1 pep chromosome:Pop_tri_v4:15:14327114:14330060:1 gene:Potri.015G134300.v4.1 transcript:Potri.015G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134300.v4.1 MFPLHQGGELCFKISSSPHQQDNIPDLILAHQYAEIHGSTDITNNMEKGRRRNIISMDNNEAARDNNNNSKKKMMHRDIERQRRQEMTTLYASLRALLPLEFIKGKRSISDHMNEAVNYIKYLQKKIKETSAKRDELKKLSDFSSVASPSGCSNKSSSSSVALQPYPGGIEITFDSDLMGRDLPLSRVLQVLLEEGISVINCVSTKVNERLFHSVQTEVNDPTCLNLSELWQKLTLVVSSTSDLSK >Potri.009G047000.1.v4.1 pep chromosome:Pop_tri_v4:9:5293599:5295498:1 gene:Potri.009G047000.v4.1 transcript:Potri.009G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047000.v4.1 MDRAQILLVGLPLFLLCTDLIHLFTPPPPKPPPHHHHHPHPHHHHKQPPVGAHETLGSPTQKPVVGGIGLGSTVRIDFCASCSYRGNAVTMKKMLETQFPGIDVVLVNYPPSLPKRVAAKLVPVFQIGVMGIVLGGEQIFPMLGLMTPPPWYYSLRANKFGTIASTWLLGNALRSFLQSSGAFEVYCNDELVFSKLREERFPGEIELKDLVGRRLANSGISDMLP >Potri.002G040900.2.v4.1 pep chromosome:Pop_tri_v4:2:2663052:2664964:-1 gene:Potri.002G040900.v4.1 transcript:Potri.002G040900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040900.v4.1 MFNELWVAGGPAANALKPKFGVFASREQSHAGIQVPEIEIKHLSTAAIFLEGIGGILFIFGSSLGAYLLIIHQLIAFPILYDF >Potri.003G082500.1.v4.1 pep chromosome:Pop_tri_v4:3:10922009:10927688:1 gene:Potri.003G082500.v4.1 transcript:Potri.003G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082500.v4.1 MTAGDGNQLISVHPEDLKFIFELEKQSFCDLKVANNTEHHVAFKVKTTSPKKYFVRPNTGVIQPWDSCVIRVTLQAQREYPSDMQCKDKFLLQSTIVPPHADVDELPPDTFNKDSDDKVIHDRKLRVVYIPPSSTQGNSEDEGLKGSTQSPDANSAVQSLKDERDAAVRQTQLLQQELDLLRRRRYRKSDPGFSLMFAFVVGLIGIMVGFLLNLSLSSPSTE >Potri.001G282800.1.v4.1 pep chromosome:Pop_tri_v4:1:29618171:29621529:1 gene:Potri.001G282800.v4.1 transcript:Potri.001G282800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G282800.v4.1 MVRIADDPLHNSISTEALYVPNSDRFPVTNNVVPNELYHYKDSFSCRATRQAGNSSDYFYSNDGLNFHFGVILFDAVLVILMTRIVAFLLRPCKQPKVVSEVIGGIILGPSVLSIDKKFNGAFFSANVKYIIGNIGTMGFMYFLFIIGVKMDLSVIKFSRRKYKMITLAGVIIPLVTGTIVGNIIRPSMDKKLSKPSSIGRVVLAMAVTGYAVIYPILQELNLLSSEIGQMALAIAIITDGIAIILLIISGALKQTDVGVDAALWYMISVIAFMVFSAITLQQAMIWILGKNPEGKLIEQVYVVLILLGALVMSFLTDMLGLGIVTGCMLTGLVIPDGPPLGSSIVARSETFIMNFFMPFSYVYIGMSVDLSAMTSVSWSGLAPLFTLAMSGIVFKLLATLVTSLLVKIPFRDALTLTLILNLRGQQEFMLIMHWKEKSVIEIPSYTMLVLLVTAVTAIATPLIRFLYDPTRPYIVNTRRTIQYTPPHEELKVVACVHNQDSVATLINLFEFSCSSRRNLSVYALCLTELNGRAAPLLIDHEKQKMTFNYSGYDSTYNALKIYIETKRDVMEIHSFTAVVPKQTMYQDICKLAMIKEADLVILPFHMEWRDSVRMTELHHQRRTPSVLSNVLDHAPCSVGILVHKVHLLGPLFDHSFNSSPRHVLVLFLGGADAREALFYADRILMNPNVFLTVIRFLSHHHHREYEQEKKLDDGVIISFRERNGRNKRVVCREVVMKNGEETLATIQAFGNDVHFDLWILGRHKGINPVLLKGLSSDWCEHLELGVIGDYISSMDFDGTTSILVVQQQTLRG >Potri.005G049400.1.v4.1 pep chromosome:Pop_tri_v4:5:3140956:3143525:-1 gene:Potri.005G049400.v4.1 transcript:Potri.005G049400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049400.v4.1 MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKEKLASLYEVKDTNTIFVFKFRTHFGGGKSTGFGLIYDTVDNAKKYEPKYRLIRNGLATKVEKSRKQLKERKNRAKKVRGVKKTKAGDAAKKK >Potri.001G115200.1.v4.1 pep chromosome:Pop_tri_v4:1:9331248:9333072:-1 gene:Potri.001G115200.v4.1 transcript:Potri.001G115200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115200.v4.1 MDPVTAHGHSLPPPFHTRDFQLHQQQQQQHQFHHQQQQNSEDEQSGSSSGLNKSLKRERDESNNSMGNREGQELITSGDGDGEITRRPRGRPAGSKNKPKPPIIITRDSANALRTHLMEVADGCDIVESVATFARRRQRGVCIMSGTGTVTNVTLRQPASPGAIVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLTASGPVVIMAASFSNAAYERLPLEEEDPQMPMQGGGMGSPGGVGQQQQQPQQHQVMAEQNAQLFHGLPPNLLNSIQLPAEAYWATGRPPY >Potri.012G136100.7.v4.1 pep chromosome:Pop_tri_v4:12:15028895:15036248:1 gene:Potri.012G136100.v4.1 transcript:Potri.012G136100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136100.v4.1 MVPSRVAGALAQSSSSSGIFFQGDGQSQGLVNSHLSSSFGNSSNSIPGTGRPNLGPVSGDMNNAVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGQVSLPMGPRGQGSFLQDHNNLSQVQKKPRLDIKQEDILQQQLLQQLLQRQDSMQLQNRNPQLQNLIHQHRLRQQQHQLLQSMPPLQRAQLQQQQQQQQQQQQQQQQQQQQQQQQMHLRQQMQQQAMQPASALKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVSEYYSPRAKKRWCLSLYENVGHHALGVFPQAAMEAWQCDLCGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPREFRLHSGIMMLEYAKAVQESVYEQLRVVREGQLRIIFTPDLKILSWEFCARRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKAGPIEGLKSYPRHATAAKLQMQKMQEMEQLASVQGLPTDRNTINKLMALHPGINNHVNSNNQMVGRGALSGSAQAALALTNYQNLLMRQNSMNSNSCSLQQEAASPFSNSNQSPSSNFQGAANFIQGSMQNLPVSGFSSPHPPPQQPQQLQQRSLSSNSLLQQSLPRSSHGNQTLQPQMIHQLLQEMSNNSGGGVQQHSISRQSGNGGVARMGLGFGSNSMATAPTASTVSVSAGGPAPSQSNSFKAPANSDSSAAGGNSGFNQKVPDLPQNLHLQDDIVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.012G136100.6.v4.1 pep chromosome:Pop_tri_v4:12:15028824:15036269:1 gene:Potri.012G136100.v4.1 transcript:Potri.012G136100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136100.v4.1 MVPSRVAGALAQSSSSSGIFFQGDGQSQGLVNSHLSSSFGNSSNSIPGTGRPNLGPVSGDMNNAVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGQVSLPMGPRGQGSFLQDHNNLSQVQKKPRLDIKQEDILQQQLLQQLLQRQDSMQLQNRNPQLQNLIHQHRLRQQQHQLLQSMPPLQRAQLQQQQQQQQQQQQQQQQQQQQQQQQMHLRQQMQQQAMQPASALKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVSEYYSPRAKKRWCLSLYENVGHHALGVFPQAAMEAWQCDLCGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPREFRLHSGIMMLEYAKAVQESVYEQLRVVREGQLRIIFTPDLKILSWEFCARRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKAGPIEGLKSYPRHATAAKLQMQKMQEMEQLASVQGLPTDRNTINKLMALHPGINNHVNSNNQMVGRGALSGSAQAALALTNYQNLLMRQNSMNSNSCSLQQEAASPFSNSNQSPSSNFQGAANFIQGSMQNLPVSGFSSPHPPPQQPQQLQQRSLSSNSLLQQSLPRSSHGNQTLQPQMIHQLLQEMSNNSGGGVQQHSISRQSGNGGVARMGLGFGSNSMATAPTASTVSVSAGGPAPSQSNSFKAPANSDSSAAGGNSGFNQKVPDLPQNLHLQDDIVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.012G136100.8.v4.1 pep chromosome:Pop_tri_v4:12:15028891:15036279:1 gene:Potri.012G136100.v4.1 transcript:Potri.012G136100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136100.v4.1 MRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGQVSLPMGPRGQGSFLQDHNNLSQVQKKPRLDIKQEDILQQQLLQQLLQRQDSMQLQNRNPQLQNLIHQHRLRQQQHQLLQSMPPLQRAQLQQQQQQQQQQQQQQQQQQQQQQQQMHLRQQMQQQAMQPASALKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVSEYYSPRAKKRWCLSLYENVGHHALGVFPQAAMEAWQCDLCGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPREFRLHSGIMMLEYAKAVQESVYEQLRVVREGQLRIIFTPDLKILSWEFCARRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKAGPIEGLKSYPRHATAAKLQMQKMQEMEQLASVQGLPTDRNTINKLMALHPGINNHVNSNNQMVGRGALSGSAQAALALTNYQNLLMRQNSMNSNSCSLQQEAASPFSNSNQSPSSNFQGAANFIQGSMQNLPVSGFSSPHPPPQQPQQLQQRSLSSNSLLQQSLPRSSHGNQTLQPQMIHQLLQEMSNNSGGGVQQHSISRQSGNGGVARMGLGFGSNSMATAPTASTVSVSAGGPAPSQSNSFKAPANSDSSAAGGNSGFNQKVPDLPQNLHLQDDIVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.012G136100.4.v4.1 pep chromosome:Pop_tri_v4:12:15028891:15036307:1 gene:Potri.012G136100.v4.1 transcript:Potri.012G136100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136100.v4.1 MVPSRVAGALAQSSSSSGIFFQGDGQSQGLVNSHLSSSFGNSSNSIPGTGRPNLGPVSGDMNNAVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGQVSLPMGPRGQGSFLQDHNNLSQVQKKPRLDIKQEDILQQQLLQQLLQRQDSMQLQNRNPQLQNLIHQHRLRQQQHQLLQSMPPLQRAQLQQQQQQQQQQQQQQQQQQQQQQQQMHLRQQMQQQAMQPASALKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVSEYYSPRAKKRWCLSLYENVGHHALGVFPQAAMEAWQCDLCGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPREFRLHSGIMMLEYAKAVQESVYEQLRVVREGQLRIIFTPDLKILSWEFCARRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKAGPIEGLKSYPRHATAAKLQMQKMQEMEQLASVQGLPTDRNTINKLMALHPGINNHVNSNNQMVGRGALSGSAQAALALTNYQNLLMRQNSMNSNSCSLQQEAASPFSNSNQSPSSNFQGAANFIQGSMQNLPVSGFSSPHPPPQQPQQLQQRSLSSNSLLQQSLPRSSHGNQTLQPQMIHQLLQEMSNNSGGGVQQHSISRQSGNGGVARMGLGFGSNSMATAPTASTVSVSAGGPAPSQSNSFKAPANSDSSAAGGNSGFNQKVPDLPQNLHLQDDIVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.012G136100.5.v4.1 pep chromosome:Pop_tri_v4:12:15028897:15036280:1 gene:Potri.012G136100.v4.1 transcript:Potri.012G136100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136100.v4.1 MVPSRVAGALAQSSSSSGIFFQGDGQSQGLVNSHLSSSFGNSSNSIPGTGRPNLGPVSGDMNNAVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGQVSLPMGPRGQGSFLQDHNNLSQVQKKPRLDIKQEDILQQQLLQQLLQRQDSMQLQNRNPQLQNLIHQHRLRQQQHQLLQSMPPLQRAQLQQQQQQQQQQQQQQQQQQQQQQQQMHLRQQMQQQAMQPASALKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVSEYYSPRAKKRWCLSLYENVGHHALGVFPQAAMEAWQCDLCGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPREFRLHSGIMMLEYAKAVQESVYEQLRVVREGQLRIIFTPDLKILSWEFCARRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKAGPIEGLKSYPRHATAAKLQMQKMQEMEQLASVQGLPTDRNTINKLMALHPGINNHVNSNNQMVGRGALSGSAQAALALTNYQNLLMRQNSMNSNSCSLQQEAASPFSNSNQSPSSNFQGAANFIQGSMQNLPVSGFSSPHPPPQQPQQLQQRSLSSNSLLQQSLPRSSHGNQTLQPQMIHQLLQEMSNNSGGGVQQHSISRQSGNGGVARMGLGFGSNSMATAPTASTVSVSAGGPAPSQSNSFKAPANSDSSAAGGNSGFNQKVPDLPQNLHLQDDIVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.012G136100.3.v4.1 pep chromosome:Pop_tri_v4:12:15028903:15036307:1 gene:Potri.012G136100.v4.1 transcript:Potri.012G136100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136100.v4.1 MVPSRVAGALAQSSSSSGIFFQGDGQSQGLVNSHLSSSFGNSSNSIPGTGRPNLGPVSGDMNNAVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGQVSLPMGPRGQGSFLQDHNNLSQVQKKPRLDIKQEDILQQQLLQQLLQRQDSMQLQNRNPQLQNLIHQHRLRQQQHQLLQSMPPLQRAQLQQQQQQQQQQQQQQQQQQQQQQQQMHLRQQMQQQAMQPASALKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVSEYYSPRAKKRWCLSLYENVGHHALGVFPQAAMEAWQCDLCGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDLPREFRLHSGIMMLEYAKAVQESVYEQLRVVREGQLRIIFTPDLKILSWEFCARRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTAGRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKAGPIEGLKSYPRHATAAKLQMQKMQEMEQLASVQGLPTDRNTINKLMALHPGINNHVNSNNQMVGRGALSGSAQAALALTNYQNLLMRQNSMNSNSCSLQQEAASPFSNSNQSPSSNFQGAANFIQGSMQNLPVSGFSSPHPPPQQPQQLQQRSLSSNSLLQQSLPRSSHGNQTLQPQMIHQLLQEMSNNSGGGVQQHSISRQSGNGGVARMGLGFGSNSMATAPTASTVSVSAGGPAPSQSNSFKAPANSDSSAAGGNSGFNQKVPDLPQNLHLQDDIVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.010G121300.1.v4.1 pep chromosome:Pop_tri_v4:10:13926533:13927299:1 gene:Potri.010G121300.v4.1 transcript:Potri.010G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121300.v4.1 MAALQKFKLLATQCGVAQSPTRSPRTSPVVHLPQRRKTTLRMLLGRRSPRRQEPQLPPIHPVAPLPERKRDTLKDLFISSAMSFDDELEEGKRGKEMQDVIGGFGGKCDVYRMKVNGLGDGPGSPRPGWIGLRHRSILRRAWRPMLVAIPETEELQC >Potri.018G141800.1.v4.1 pep chromosome:Pop_tri_v4:18:14718554:14723584:1 gene:Potri.018G141800.v4.1 transcript:Potri.018G141800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141800.v4.1 MEPIENIGEEYQNYWETKMFLQNEELDSWAIDEAFSGYYDSSSPDGAASSAATKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHDQEKQIQAELSELESGKSKKNQGGFGVYYHQELPVLLRSKKKKIDYQFCDFGGSKISPIELLELRVAYMGENTLLVSLTCNKRTDTMVKLCEVFESLGLKIITANITTVSGRVLKTVFIEADEEEKDKLKIRIEAAIAALNDPPSPMSM >Potri.018G141800.6.v4.1 pep chromosome:Pop_tri_v4:18:14718640:14719700:1 gene:Potri.018G141800.v4.1 transcript:Potri.018G141800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141800.v4.1 MEPIENIGEEYQNYWETKMFLQNEELDSWAIDEAFSGYYDSSSPDGAASSAATKNIVSERNRRKKLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHDQEKQIQAELSELESGKSKKNQGGFGVYYHQELPVLLRSKKKKIDYQFCDFGGSKISPIELLELRVAYMGENTLLVSLTCNKRTDTMVKLCEVFESLGLKIITANITTVSGRVLKTVFIEVSLFN >Potri.007G091100.1.v4.1 pep chromosome:Pop_tri_v4:7:11683016:11683966:1 gene:Potri.007G091100.v4.1 transcript:Potri.007G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091100.v4.1 MSFRPLLLPLLLLLSFSSIVFSDEDCVYTMYVRTGSIIKGGTDSIISATLYDTYGYGVEVPDLERWGGLMEPGHNYFERGNLDIFSGRGPCLNAPVCALNLTSDGSGSGHGWYVNYVEVTTTGVHAACAQKKFEIEQWLALDTSPYSLIAFRDYCDYLDVKKSAGSSSM >Potri.009G011100.4.v4.1 pep chromosome:Pop_tri_v4:9:2132757:2135003:1 gene:Potri.009G011100.v4.1 transcript:Potri.009G011100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011100.v4.1 MSDFSKMGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLIVTFCTLHAAQRFHLFDAKAIDMKTVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKLSLLVLLGGVAIASVTDLQLNFVGTILSLLAIVTTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPFVDHFLTKKNVFAYKYSSIVLAFIILSCIISVSVNFSTFMVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTIRNIIGILVAIIGMGLYSYFCVQDNKKKQSVDLSLASQMKDKDSTPLLGMPDKEGHESKKSTKVSLV >Potri.009G011100.2.v4.1 pep chromosome:Pop_tri_v4:9:2131780:2135073:1 gene:Potri.009G011100.v4.1 transcript:Potri.009G011100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011100.v4.1 MGEMSSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLIVTFCTLHAAQRFHLFDAKAIDMKTVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKLSLLVLLGGVAIASVTDLQLNFVGTILSLLAIVTTCVGQILTNTIQKRLNVSSTQLLYQSAPFQAAILFVSGPFVDHFLTKKNVFAYKYSSIVLAFIILSCIISVSVNFSTFMVIGKTSPVTYQVLGHLKTCLVLAFGYTLLHDPFTIRNIIGILVAIIGMGLYSYFCVQDNKKKQSVDLSLASQMKDKDSTPLLGMPDKEGHESKKSTKVSLV >Potri.013G147400.1.v4.1 pep chromosome:Pop_tri_v4:13:14428710:14432320:-1 gene:Potri.013G147400.v4.1 transcript:Potri.013G147400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147400.v4.1 MRGSAFFQRAFRTFQEHPTFFKLLIVSTVSGGGLLTFSDASPFQGLITDSSQNEGKKKKVVVLGTGWAGTSFLKNLKSSSYDVQVVSPNNFFTFTPLLPSVTNGTVEARSIVEPIRNIVKKKPYNVEFKEAECYKIDPVNKKVYCRSNQSSTLDGIEEFTVDYDVLILAMGARVNTFNTPGVEEHAHFLKEVGDALRLRKSIIDCYERASLPSVSEEEKKRVMHFVVVGGGPTGVEYAAELHDLAFDDMAKLYPSAKDYLKITLLEAGDHILNMFDKRITTFATEKFQRDGIDVKTGSMVVKVSDKDISTKERKTGEIVSVPYGVVLWSTGIATRPVVRDFMNHIDQVKRRVLATDEWLRVEGCEDVYALGDCATINQRKVMEDIAAIFRKADKTNTGTLDLKDFKEVVGHICERYPQVELYLQKNKLKSFDALLKNAQGDDKKQIDIEIFKKALSEVDSQMKSLPPTAQVAAQQGEYLAKCFNRKELCEKNPEGPLRFRASGRHQFHPFRYRHFGQFAPLGGEQTAAQLPGDWVSIGHSAQWLWYSVYASKLVSWRTRMLVISDWSRKFIFGRDSSKI >Potri.001G223712.1.v4.1 pep chromosome:Pop_tri_v4:1:23989853:23993696:-1 gene:Potri.001G223712.v4.1 transcript:Potri.001G223712.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223712.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVDYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREKYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWGSGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEASARSRKKSRID >Potri.006G065500.1.v4.1 pep chromosome:Pop_tri_v4:6:4696946:4698411:1 gene:Potri.006G065500.v4.1 transcript:Potri.006G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065500.v4.1 MDSTKISAFLFLCMIFISSAAPTLDCGSCGKHPKNKHPKTPKAPITLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPVTLPPVTVPPITVPPVTTKPPKGKPCPPPPSPKDTCPIDTLKLGACVDLLGGLVHIGLGDPVVNQCCPVLTGLVELEAAVCLCTTLKIKALNLNIYVPLALQLLVTCGKTPPPGYTCSL >Potri.006G065500.2.v4.1 pep chromosome:Pop_tri_v4:6:4696946:4698605:1 gene:Potri.006G065500.v4.1 transcript:Potri.006G065500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065500.v4.1 MDSTKISAFLFLCMIFISSAAPTLDCGSCGKHPKNKHPKTPKAPITLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPVTLPPVTVPPITVPPVTTKPPKGKPCPPPPSPKDTCPIDTLKLGACVDLLGGLVHIGLGDPVVNQCCPVLTGLVELEAAVCLCTTLKIKALNLNIYVPLALQLLVTCGKTPPPGYTCSL >Potri.005G124601.1.v4.1 pep chromosome:Pop_tri_v4:5:9283776:9285195:-1 gene:Potri.005G124601.v4.1 transcript:Potri.005G124601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124601.v4.1 MGNKWRDERGKCLALFFFCPLPRPKLVYKIGWWRVPSFLSVSFDQVVGRPCGHLSSTSKKSVSLVSTTGSKMHKRALNHVTNGTWYTV >Potri.004G003900.1.v4.1 pep chromosome:Pop_tri_v4:4:247845:249283:1 gene:Potri.004G003900.v4.1 transcript:Potri.004G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003900.v4.1 MDSPQRISIKEPQVILSPCSSARRRRRTSTCSNSPEFEFWMVRNPSFPQPNLVSADELFVDGVLLPLHLLHQPNNNTNNSHPDPDPDSPEPEPPNAQPDPGPEISPASITIEPTSSSKRWKDMIFKKGDKKTSTAAKKQEEKDKDRDRDKKREKRSQSGASSAELNIINIWPFSRSRSAGNSVTRPKLFPGAPGTRKVSSAPCSRSNSAGESKSRKSWPSSPSRPGVHVGRSSPVWQARRGGSSGMKSSFPEAVVRSGEKLSSKKEVTEPGRGKNIASGNGSTRAKVLNINVPVCIGYRNHLSCRSDENSAIGARGSGGGKNVAGGSTDGSSATNSTINVGNGGNLFNFRSLFSKKVY >Potri.014G105900.4.v4.1 pep chromosome:Pop_tri_v4:14:7100431:7103453:-1 gene:Potri.014G105900.v4.1 transcript:Potri.014G105900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105900.v4.1 MHKSFLVTCKILLLTTPPRTRTVPILPKPQSLFFYSSSPHHHQQHKRELEPSDSHPNANTKSPIGSPSRVQKLIASQSDPLLAKEIFDYASRQPNFQHSYSSYLILILKLGRAKYFSFIDDLLTDLKSKNYPVTQTLFSYIINIYGKANLPDEALKIFYTILKFDCNPSPKHLNGILEILVSHHNYIKPAFDLFKDAHTYGVFPNTKSYNILIRAFCLNGQISMAYSLFNQMFKRDVMPDVESYRILMQALCRKSQVNGAVDLLEDMLNKGYVPDALSYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIIHYNTVILGFCREGRAMDACKVLEDMESNGCMPNLVSYRTLVGGLCDQGMFDEAKSHLEEMMMKGFSPHFAVSNALIKGFCNVGKIEEACGVVEELLKHGEAPHTETWVMMVSRICEVDDLQRIGEILDKVKKVELKGDTRIVEAGIGLEEYLIKRTQQKAWRG >Potri.014G105900.5.v4.1 pep chromosome:Pop_tri_v4:14:7100445:7103436:-1 gene:Potri.014G105900.v4.1 transcript:Potri.014G105900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105900.v4.1 MHKSFLVTCKILLLTTPPRTRTVPILPKPQSLFFYSSSPHHHQQHKRELEPSDSHPNANTKSPIGSPSRVQKLIASQSDPLLAKEIFDYASRQPNFQHSYSSYLILILKLGRAKYFSFIDDLLTDLKSKNYPVTQTLFSYIINIYGKANLPDEALKIFYTILKFDCNPSPKHLNGILEILVSHHNYIKPAFDLFKDAHTYGVFPNTKSYNILIRAFCLNGQISMAYSLFNQMFKRDVMPDVESYRILMQALCRKSQVNGAVDLLEDMLNKGYVPDALSYTTLLNSLCRKKKLREAYKLLCRMKVKGCNPDIIHYNTVILGFCREGRAMDACKVLEDMESNGCMPNLVSYRTLVGGLCDQGMFDEAKSHLEEMMMKGFSPHFAVSNALIKGFCNVGKIEEACGVVEELLKHGEAPHTETWVMMVSRICEVDDLQRIGEILDKVKKVELKGDTRIVEAGIGLEEYLIKRTQQKAWRG >Potri.014G032800.1.v4.1 pep chromosome:Pop_tri_v4:14:2013999:2015426:1 gene:Potri.014G032800.v4.1 transcript:Potri.014G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G032800.v4.1 MDQSKEIARDVFPFLRVYKDGTIERLAGTEVSHAGLDPETGVLSKDTVIVPETGVSARLYRPNSAKGNRKLPLVIYYHGGGFFISSAADPKYHNSLNRLVAEANIVLVSVDYRIAPENPLPAAYDDSWAALQWVAAHAKEDGGSEAWLKDYVDFGRVFLAGDSCGANVAHHFALKLKDCELGHQINIQAIAMIFPYFWGKDPIGVEVTDQARKSMVDNWWLLVCPSEKGCDDPLINPFADGSPSLESLACKRLLVIVAEKDILRDRGRLYYEKMVNSEWQGTAEFMEVQGEDHVFHIHNPDCENAKSMFKGLASFINQA >Potri.013G100800.2.v4.1 pep chromosome:Pop_tri_v4:13:10802646:10804659:1 gene:Potri.013G100800.v4.1 transcript:Potri.013G100800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100800.v4.1 MSTVNLAALLLLGLLLVMPQQSMQASLIDPIAEIERSNCKIAHLRLGLVFTSDVNERALQDSGLYSPDSEDSSVDIAGRRFHSGTLNGSSIVYVKTGSPSVNMATTLQILLARFSIHGVIYFGNAGSLDKKTMVPGDVSVPQAVAFTGVWNWKKFGSEKGKLVFGDFNYPENGENLLGTVEYEKINMFSPSEAPKEVFWLPITTSWYDAATKALKDMKLRKCYSDKCLPGKPKVVFGSKSSTSDFYVRNKAYGDFLNDNFDAKIADTASASVALTSLSNEKLFVVFQGVSNVAGETSSDSGVSYLASYNAFLAATKFINSIPTPRLACE >Potri.004G007600.1.v4.1 pep chromosome:Pop_tri_v4:4:471731:476823:1 gene:Potri.004G007600.v4.1 transcript:Potri.004G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007600.v4.1 MGVNLKAIVGFVFLSFLLFAVVSSASNDGLLRIGLKKVKLDKNNRIAARLDSKETLRASIRKYNLCGNLGESEDTDIVALKNYLDSQYYGEIGVGSPPQKFTVIFDTGSSNLWVPSSKCYLSVACYFHSKYDSGKSSTYKKNGKSAEIRYGSGSISGFFSNDAVEVGGLVVKDQEFIEATKEPNITFLVAKFDGILGLGFKEISVGDAVPVWDNMIKHGLIKEPVFSFWLNRNAEDEEGGEIVFGGMDPNHYKGKHTFVPVTRKGYWQFNMGDVHIGDKPTGYCASGCAAIADSGTSLLAGPTTIITMINQAIGASGVVSQQCKAVVSQYGEAIMDLLLSQAQPKRICSQIGLCTFDGTRGISIGIQSVVDEGNDKSSGVLGDAMCPACEMAVVWMRSQLKQNQTQDRILDYVNQLCERMPNPMGESAVDCESVPSMPTVAFTIGGKEFELAPEEYILKVGQGSAAQCISGFTALDIPPPRGPLWILGDIFMGRYHTVFDSGKLRVGFAEAA >Potri.004G007600.5.v4.1 pep chromosome:Pop_tri_v4:4:471812:476880:1 gene:Potri.004G007600.v4.1 transcript:Potri.004G007600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007600.v4.1 MGVNLKAIVGFVFLSFLLFAVVSSASNDGLLRIGLKKVKLDKNNRIAARLDSKETLRASIRKYNLCGNLGESEDTDIVALKNYLDSQYYGEIGVGSPPQKFTVIFDTGSSNLWVPSSKCYLSVACYFHSKYDSGKSSTYKKNGKSAEIRYGSGSISGFFSNDAVEVGGLVVKDQEFIEATKEPNITFLVAKFDGILGLGFKEISVGDAVPVWDNMIKHGLIKEPVFSFWLNRNAEDEEGGEIVFGGMDPNHYKGKHTFVPVTRKGYWQFNMGDVHIGDKPTGYCASGCAAIADSGTSLLAGPTTIITMINQAIGASGVVSQQCKAVVSQYGEAIMDLLLSQAQPKRICSQIGLCTFDGTRGISIGIQSVVDEGNDKSSGVLGDAMCPACEMAVVWMRSQLKQNQTQDRILDYVNQLCERMPNPMGESAVDCESVPSMPTVAFTIGGKEFELAPEEYILKVGQGSAAQCISGFTALDIPPPRGPLWILGDIFMGRYHTVFDSGKLRVGFAEAA >Potri.004G007600.4.v4.1 pep chromosome:Pop_tri_v4:4:471813:476847:1 gene:Potri.004G007600.v4.1 transcript:Potri.004G007600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007600.v4.1 MGVNLKAIVGFVFLSFLLFAVVSSASNDGLLRIGLKKVKLDKNNRIAARLDSKETLRASIRKYNLCGNLGESEDTDIVALKNYLDSQYYGEIGVGSPPQKFTVIFDTGSSNLWVPSSKCYLSVACYFHSKYDSGKSSTYKKNGKSAEIRYGSGSISGFFSNDAVEVGGLVVKDQEFIEATKEPNITFLVAKFDGILGLGFKEISVGDAVPVWDNMIKHGLIKEPVFSFWLNRNAEDEEGGEIVFGGMDPNHYKGKHTFVPVTRKGYWQFNMGDVHIGDKPTGYCASGCAAIADSGTSLLAGPTTIITMINQAIGASGVVSQQCKAVVSQYGEAIMDLLLSQAQPKRICSQIGLCTFDGTRGISIGIQSVVDEGNDKSSGVLGDAMCPACEMAVVWMRSQLKQNQTQDRILDYVNQLCERMPNPMGESAVDCESVPSMPTVAFTIGGKEFELAPEEYILKVGQGSAAQCISGFTALDIPPPRGPLWILGDIFMGRYHTVFDSGKLRVGFAEAA >Potri.004G007600.6.v4.1 pep chromosome:Pop_tri_v4:4:471812:476835:1 gene:Potri.004G007600.v4.1 transcript:Potri.004G007600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007600.v4.1 MSCMTEKLSYGFSFFSFFFSGKSAEIRYGSGSISGFFSNDAVEVGGLVVKDQEFIEATKEPNITFLVAKFDGILGLGFKEISVGDAVPVWDNMIKHGLIKEPVFSFWLNRNAEDEEGGEIVFGGMDPNHYKGKHTFVPVTRKGYWQFNMGDVHIGDKPTGYCASGCAAIADSGTSLLAGPTTIITMINQAIGASGVVSQQCKAVVSQYGEAIMDLLLSQAQPKRICSQIGLCTFDGTRGISIGIQSVVDEGNDKSSGVLGDAMCPACEMAVVWMRSQLKQNQTQDRILDYVNQLCERMPNPMGESAVDCESVPSMPTVAFTIGGKEFELAPEEYILKVGQGSAAQCISGFTALDIPPPRGPLWILGDIFMGRYHTVFDSGKLRVGFAEAA >Potri.011G094200.3.v4.1 pep chromosome:Pop_tri_v4:11:12171895:12174750:1 gene:Potri.011G094200.v4.1 transcript:Potri.011G094200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094200.v4.1 MIEYRQAHLKSISHCLSLQKAHSVYLSLSVNRFPASPESLSLEFMSDTGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGQPESPGKVVDSHVKPGLNPAKSQPKKWFCCIQSPHVES >Potri.006G214700.1.v4.1 pep chromosome:Pop_tri_v4:6:22114128:22115655:-1 gene:Potri.006G214700.v4.1 transcript:Potri.006G214700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214700.v4.1 MLHEMATDQSDDYIDMELSSSSSFICYSISSPSRSREFEFQMSSVSHGRETTTSSADELFYKGKLLPLHLPPRLLMVQKLHQNPTTTTLNNKTEAAFAENYVIPFINSSTTPSTNTSTPLESCNISPSESRMISSELNPDEYFFEWPTEANSFLGDHQKKSWTKKLKQSSLGQKLKASRAYLKSLFSKSGCTDESCAEAACNTEEEAISKGQDCMIKYMKVPKKNPYGNIDNGRYKISNALKRSIEKEMAEDPFRCQRRSFSGAIQWHSTTKSSLSSSSSTSISSSGSSSSSSFSFSSNGFCDLQLLKRSSSSNSEFESSIEGAIAHCKRSQQLFSSRKTSSEVGVCSLSAPVIAASGDQERPKLCGI >Potri.009G151400.2.v4.1 pep chromosome:Pop_tri_v4:9:11929921:11935684:1 gene:Potri.009G151400.v4.1 transcript:Potri.009G151400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151400.v4.1 MALSLQLPPALPNHHLLFSYSTLPSSSPPPLSLIHKKKLCPLLPIAVRSSSRVKCLTKSTEEDRSEQESSLVSDSDVGEEAEIREDTKVQLQQNKRIPTTSSFGDSLSLGIREHVYEVVEVKPNGMVSTRKINRRQLLKSSGLRPRDIRSVDPSLFLTNSMPSLLVREHAILLNLGSLRAIAMQECVLIFDYNRRGGKAFIDTLLPRLNPRNSNGGPCMPFELEVVEAALLSRVQRLEQRLMNIEPRVQALLKVLPNRLTADILEELRISKQALVELGSRAGALRQMLLDLLEDEHEIRRICIMGKNCKLKGNDVVECSVPLEKQIAEEEEEEIEMLLENYLQRSESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGIIFGAVVAFFLTYSYLRTRKIL >Potri.009G151400.5.v4.1 pep chromosome:Pop_tri_v4:9:11929921:11935551:1 gene:Potri.009G151400.v4.1 transcript:Potri.009G151400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151400.v4.1 MALSLQLPPALPNHHLLFSYSTLPSSSPPPLSLIHKKKLCPLLPIAVRSSSRVKCLTKSTEEDRSEQESSLVSDSDVGEEAEIREDTKVQLQQNKRIPTTSSFGDSLSLGIREHVYEVVEVKPNGMVSTRKINRRQLLKSSGLRPRDIRSVDPSLFLTNSMPSLLVREHAILLNLGSLRAIAMQECVLIFDYNRRGGKAFIDTLLPRLNPRNSNGGPCMPFELEVSEVVEAALLSRVQRLEQRLMNIEPRVQALLKVLPNRLTADILEELRISKQALVELGSRAGALRQMLLDLLEDEHEIRRICIMGKNCKLKGNDVVECSVPLEKQIAEEEEEEIEMLLENYLQRSESCHGQAERLLDSAKEMEDSIAVNLSSRRLEVSRVELLLQVGTFCVAVGALVAGIFGMNLKSYLEEHVFAFWLTTAGIIFGAVVAFFLTYSYLRTRKIL >Potri.014G160300.10.v4.1 pep chromosome:Pop_tri_v4:14:11473513:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSEVASLEGAAVISLLPGSRLQEHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSMIASSTILSHVKS >Potri.014G160300.14.v4.1 pep chromosome:Pop_tri_v4:14:11473513:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSGAAVISLLPGSRLQEHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMRTLRRERSWLLPSFKCYGTNHPAGPTSLFSSLFLKLFCLLCGYGVATQ >Potri.014G160300.6.v4.1 pep chromosome:Pop_tri_v4:14:11473566:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSEVASLEGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSSMYTH >Potri.014G160300.12.v4.1 pep chromosome:Pop_tri_v4:14:11473513:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSEVASLEGAAVISLLPGSRLQEHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMRTLRRERSWLLPSFKCYGTNHPAGPTSLFSSLFLKLFCLLCGYGVATQ >Potri.014G160300.5.v4.1 pep chromosome:Pop_tri_v4:14:11473566:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSMIASSTILSHVKS >Potri.014G160300.1.v4.1 pep chromosome:Pop_tri_v4:14:11473239:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSMIASSTILSHVKS >Potri.014G160300.7.v4.1 pep chromosome:Pop_tri_v4:14:11473533:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSEVASLEGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMRTLRRERSWLLPSFKCYGTNHPAGPTSLFSSLFLKLFCLLCGYGVATQ >Potri.014G160300.13.v4.1 pep chromosome:Pop_tri_v4:14:11473566:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSEVASLEGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLIRLVPCKDERPNC >Potri.014G160300.2.v4.1 pep chromosome:Pop_tri_v4:14:11473239:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSEVASLEGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSMIASSTILSHVKS >Potri.014G160300.15.v4.1 pep chromosome:Pop_tri_v4:14:11473240:11477488:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSEVASLEGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMIICLISGN >Potri.014G160300.8.v4.1 pep chromosome:Pop_tri_v4:14:11473566:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSSMYTH >Potri.014G160300.11.v4.1 pep chromosome:Pop_tri_v4:14:11473513:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSGAAVISLLPGSRLQEHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSMIASSTILSHVKS >Potri.014G160300.4.v4.1 pep chromosome:Pop_tri_v4:14:11473566:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSEVASLEGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSMIASSTILSHVKS >Potri.014G160300.9.v4.1 pep chromosome:Pop_tri_v4:14:11473533:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMRTLRRERSWLLPSFKCYGTNHPAGPTSLFSSLFLKLFCLLCGYGVATQ >Potri.014G160300.3.v4.1 pep chromosome:Pop_tri_v4:14:11473566:11478214:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMKLMHNKRLQEEQIVAAENVIKLLFPSERIINNLEEQMGWKFPNCTPSAHYEERDHGFFQASNVMEPTTPQDLQVCFLLYS >Potri.014G160300.16.v4.1 pep chromosome:Pop_tri_v4:14:11473240:11477488:1 gene:Potri.014G160300.v4.1 transcript:Potri.014G160300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160300.v4.1 MNSLPVSAWFSPSIDTDAQSMLKMLLRTIRDIKNGGEMGFSSLLRRSFSVRSRTVVDMGDKDGELRVFIVAGEVSGDSIASRLMASLKKLSPLPIRFSGVGGPRMSKEGLESLFPMEDISVMGMWELLPHLNKFRVRLKETIEGAILFQPHVVVTVDSKGFSFRLLKQLRARYGWQELNGPLHFHYVAPSFWAWKGGEARLKGLANFVDHILCILPNEEAVCKLNGLDATFVGHPVLEDIFEFNLGKQASPHDLKIEGNSEDFRRKYALSSGAAVISLLPGSRLQEVIRMLSIFAHTFDQLKDSIPELITVIHVAPNQHVENYIDGVIRKWPVPAILIPGGHQHLKYDAFSASRIALCTSGTVAMELQLARLPCVVAYRAHILTEWYIQYKAKIPYISLPNILTDSAIIPEALFQACTPTNLASLLMIICLISGN >Potri.013G105634.1.v4.1 pep chromosome:Pop_tri_v4:13:11463666:11465098:1 gene:Potri.013G105634.v4.1 transcript:Potri.013G105634.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105634.v4.1 MENMRPGIDYEKLQKTQATRMIISYHEVLQLPASPFSFDLATDMGFVSTSSLPFSITITATTTMTIRKPRLLHYHNRHSSRLHFLSKCSLLPVLCVQRKCSLNLRRSCRGNAACRVPCSLLKVEEDVDDEACELVSGLELSIVEGDDSINAYLLNAVKNNNGTGILLRFPYRVACNGSKGQWITKQEPQRVRKDIDASTKWMVDEFLAAGISKKHGIILWYKDGPCFSLHQKGHGFAHHPNSPEEDTDAEAAFTIMRNWLHDGLVIQN >Potri.008G112800.1.v4.1 pep chromosome:Pop_tri_v4:8:7172075:7181838:1 gene:Potri.008G112800.v4.1 transcript:Potri.008G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112800.v4.1 MWRTLAKCSPSKQLKFPSNSSNFFKDSTFIENIRSPDAKKCLNSAFSFLCSRQIGVFPQNDKLCNSSFGDLTKPFDLSPFFFKGYATAAAADVIPSNDESDLSGSDDFQGLMEQVNKHFQKMEPQFRPQEKKMVAGMGIGKYAILKRRQIKMETEAWEQAAQEYQEMLEDMCEQKLAPNLPYVKSLFLGWFEPLRDAIVAEQELCKRNLRVSHRAHFSDLPADMMAVITMHKLMGLLMTGNGGSASIRVVQAASVVGEAIEHEGRIHKFLEKTKKRKNVEAKISEGESDAAIEEGEKLSKEQEKLRKKVTTLIKKQKVQQVRRIVKGHDDSRPWGQEEHVKVGSRLIQLMIETAYIQPPIDQIGDGPPDIRPAFVHTLKTITKDTQKSSRRYGVIECDPLVRKGLEKSARHMVIPYMPMLVPPLNWTGYDQGAHLFLPSYVMRIHGSKQQRDAVKRASRNQLEPVFKALDTLGNTKWRINKRVLVVVDRIWASGGHLAGLVDREDAPLPEEPQTEDEAEIRKWTWKVRSVKKENSERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDVCRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRISFTENHLDDIFDSADQPLEGRRWWLGAEDPFQCLAACINLSEALRSPSPETATSHTPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGEKPADVYSGIATRVLDIMQRDAEKDPAINPNSVHAKLLVNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCIIADDPQLYSAACYAAKTTLMALEEMFEGARGIMAWLGECAKVIASENQPVRWTTPLGLPVVQPYRQLGRHLIKTSLQVLTLKRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKEAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPILENLLESFQSSFPNLKFPPLPERGVFDLKDVLRSTYFFN >Potri.008G112800.2.v4.1 pep chromosome:Pop_tri_v4:8:7172075:7181677:1 gene:Potri.008G112800.v4.1 transcript:Potri.008G112800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112800.v4.1 MWRTLAKCSPSKQLKFPSNSSNFFKDSTFIENIRSPDAKKCLNSAFSFLCSRQIGVFPQNDKLCNSSFGDLTKPFDLSPFFFKGYATAAAADVIPSNDESDLSGSDDFQGLMEQVNKHFQKMEPQFRPQEKKMVAGMGIGKYAILKRRQIKMETEAWEQAAQEYQEMLEDMCEQKLAPNLPYVKSLFLGWFEPLRDAIVAEQELCKRNLRVSHRAHFSDLPADMMAVITMHKLMGLLMTGNGGSASIRVVQAASVVGEAIEHEGRIHKFLEKTKKRKNVEAKISEGESDAAIEEGEKLSKEQEKLRKKVTTLIKKQKVQQVRRIVKGHDDSRPWGQEEHVKVGSRLIQLMIETAYIQPPIDQIGDGPPDIRPAFVHTLKTITKDTQKSSRRYGVIECDPLVRKGLEKSARHMVIPYMPMLVPPLNWTGYDQGAHLFLPSYVMRIHGSKQQRDAVKRASRNQLEPVFKALDTLGNTKWRINKRVLVVVDRIWASGGHLAGLVDREDAPLPEEPQTEDEAEIRKWTWKVRSVKKENSERHSQRCDIELKLAVARKMKDEEGFYYPHNLDFRGRAYPMHPYLNHLGSDVCRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRISFTENHLDDIFDSADQPLEGRRWWLGAEDPFQCLAACINLSEALRSPSPETATSHTPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGEKPADVYSGIATRVLDIMQRDAEKDPAINPNSVHAKLLVNQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCIIADDPQLYSAACYAAKTTLMALEEMFEGARGIMAWLGECAKVIASENQPVRWTTPLGLPVVQPYRQLGRHLIKTSLQVLTLKRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKEAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYEAPILENLLESFQSSFPNLKFPPLPERGVFDLKDVLRSTYFFN >Potri.005G167800.1.v4.1 pep chromosome:Pop_tri_v4:5:17090544:17093502:1 gene:Potri.005G167800.v4.1 transcript:Potri.005G167800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G167800.v4.1 MAAMYSTRQLLAVCVVALSFYLSHGRVNPSFKINAVNLGGWLVTEGWIKPSLFDGITNKDFLDGTGLQFKSVTVGKYLCAEAGGGNIIVANRTSASGWETFSLWRINETNFNFRVFNKQFAGLDTNGNGIDIVAVSSTPGRSETFEIVRNSNDTSRVRIKASNGFFLQAKTEELVTADYAGDNKWGDDDPSVFVMTIAGRLQGEFQVTNGYGPKLAPKVMRDHWRTFIVEDDFKFISQNGINAVRIPVGWWIASDPTPPQPYVGGSLKALDNAFLWAQNYGLQVVIDLHAAPGSQNGWEHSSSRDGSQEWGQTDENIRQTVDVIDFLTARYAKSPSLYAVELMNEPRAPGASLDSMTKYYKGGYDAVRKHSSTAYVVMSNRLSSDDPREFFPLASGLTGSVIDVHYYNLFSDEFNSMSVQQNIDFINTNRSAQLNYVTTSNGPLTFVGEWVAEWTVQGATKEDYQRFAEAQLKVFGRATFGWAYWTLKNVNNHWSLEWMIKNGYIKI >Potri.019G042100.2.v4.1 pep chromosome:Pop_tri_v4:19:5830503:5832317:1 gene:Potri.019G042100.v4.1 transcript:Potri.019G042100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042100.v4.1 MVFRDVIKEPKVKVERADCDSSVMVGLQNCVILQPLVNVEQDLGLFQKNLHLLAYFLSERNNNHSENVGVGLLERESLNSDYEPMDTGENRDGQASEDSLLPGLYDDAAIDILAWSCRSDYPNFACLNKKFKALIESGCLYKVRRHLGVTEHWIYLACILMPWEAFDPARQRWMRLPRMPCDECFTYADKESLAVGTQLLVFGRELLGFAVWMYSLLTHDWSRCPPMNLPRCLFGSSSLGEIAIVAGGSDKNGCIMRSAELYNSELGTWVTLPDMNLPRKLCSGFFMDGKFYVIGGMSSQTDCLSCGEEYNIETRTWRRIENMYPLPSAGHPAMRSPPLVAVVNNQLYSADQATNEVKSYNKTNNSWSVVKRLPVRADSSNGWGLAFKACGTSLLVIGGHRGPQGEVIVLHTWDPQDRSTDRPEWNVLAVKERAGAFVANCAVMGC >Potri.019G042100.3.v4.1 pep chromosome:Pop_tri_v4:19:5831332:5832352:1 gene:Potri.019G042100.v4.1 transcript:Potri.019G042100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042100.v4.1 MNLPRKLCSGFFMDGKFYVIGGMSSQTDCLSCGEEYNIETRTWRRIENMYPLPSAGHPAMRSPPLVAVVNNQLYSADQATNEVKSYNKTNNSWSVVKRLPVRADSSNGWGLAFKACGTSLLVIGGHRGPQGEVIVLHTWDPQDRSTDRPEWNVLAVKERAGAFVANCAVMGC >Potri.012G064500.2.v4.1 pep chromosome:Pop_tri_v4:12:7825340:7830293:1 gene:Potri.012G064500.v4.1 transcript:Potri.012G064500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G064500.v4.1 MRRRPAEYRRPVRRRLSQWILALLGMFVIAGLVLFVFHHNHHEDQVKQPMMGENATKEPLNHEGLNFTKEILSASSFSRQLAEQMTLAKAYVIIAKEHNNLHLAWELSNKIRSCQLLLSKAAKRGESITVEEAEPIISSLSYLIFKAQDAHYDISTTMMTMKSHIQALEERTNAATVQSTLFGQLVAEALPKSLHCLKVKLTNDWLKQLPLQNHVEEKRNSPRVIDNNLNHFCIFSDNVLATSVVVNSTISNADHPKQLVFHIVTNGISYGSMQVWFLTNDFKGATVEVQNIEEFTWLNASYAPVIKRLLDQDSRAYYFGAYQDMKVEPKLRNPKHMSLLNHLRFYIPEVYPLLEKVVFLDDDVVVQKDLTRLFSLDLHGNVNGAVETCLEAFHRYYKYINFSNPVISSKFDPQACGWAFGMNVFDLIAWRKENVTARYHYWQEQNGDQMLWKLGTLPPALLAFYGLTETLDRRWHVLGLGYDMNIDDRLIDSAAVIHFNGNMKPWLKLAIGRYKPLWERYINQSHPYYQDCVIS >Potri.012G064500.3.v4.1 pep chromosome:Pop_tri_v4:12:7825345:7830247:1 gene:Potri.012G064500.v4.1 transcript:Potri.012G064500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G064500.v4.1 MRRRPAEYRRPVRRRLSQWILALLGMFVIAGLVLFVFHHNHHEDQVKQPMMGENATKEPLNHEGLNFTKEILSASSFSRQLAEQMTLAKAYVIIAKEHNNLHLAWELSNKIRSCQLLLSKAAKRGESITVEEAEPIISSLSYLIFKAQDAHYDISTTMMTMKSHIQALEERTNAATVQSTLFGQLVAEALPKSLHCLKVKLTNDWLKQLPLQNHVEEKRNSPRVIDNNLNHFCIFSDNVLATSVVVNSTISNADHPKQLVFHIVTNGISYGSMQVWFLTNDFKGATVEVQNIEEFTWLNASYAPVIKRLLDQDSRAYYFGAYQDMKVEPKLRNPKHMSLLNHLRFYIPEVYPLLEKVVFLDDDVVVQKDLTRLFSLDLHGNVNGAVETCLEAFHRYYKYINFSNPVISSKFDPQACGWAFGMNVFDLIAWRKENVTARYHYWQEQNGDQMLWKLGTLPPALLAFYGLTETLDRRWHVLGLGYDMNIDDRLIDSAAVIHFNGNMKPWLKLAIGRYKPLWERYINQSHPYYQDCVIS >Potri.017G036600.3.v4.1 pep chromosome:Pop_tri_v4:17:2431244:2434064:1 gene:Potri.017G036600.v4.1 transcript:Potri.017G036600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036600.v4.1 MGYSSWLLFCLFSTPAIEGLHALTLLSFCDLCLSSFQLEISNDEVQETTATVLTAALALKRSEPPSSGSSDIGSTGQGSSSGTVVSLPLVDSHAQPSELETQAASELIEEKASHEQPTAEETITNLDDKTSTKSFNVQKSQTSGDERSTCPPDEDNKLPSSITKSDHTIADHIFSGAEDGLLAQEKIIGNHSGATTEIKEAGRDKKAESTDGLGPGTLDDKKTVTVLSDVHLNIRLPDGVSLQEKFSVTSTLRMVKDYVDRNQAGGIGAYDLAIPYPRKTFSDQDLSKSLSELALLNRQALMVVPHQRATSYHRGGSSSDRATTTTSSGSANANDGGYFAYVKRVLSYVNPLSYFGGSASSSSSGQAQSGIWEYSPNSTPQNNNARTDRPYSSYSPNQNASATGRNDSQGRPTTTSRIGSNIHTLKHDGDDGRFNDRNSFWNGNSTEYGGNNDDK >Potri.017G036600.1.v4.1 pep chromosome:Pop_tri_v4:17:2429949:2434084:1 gene:Potri.017G036600.v4.1 transcript:Potri.017G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036600.v4.1 MESSISAVTYKGSIPEAILESKKQKKLFVVYISGENVESAELEKSTWADSKVVESLSKHCILLHIKEGSTDAMNLSAIYPQKSAPCITAIGYNGVQLWQSEGFVSAEVLASGLEKARSSLHIQETTATVLTAALALKRSEPPSSGSSDIGSTGQGSSSGTVVSLPLVDSHAQPSELETQAASELIEEKASHEQPTAEETITNLDDKTSTKSFNVQKSQTSGDERSTCPPDEDNKLPSSITKSDHTIADHIFSGAEDGLLAQEKIIGNHSGATTEIKEAGRDKKAESTDGLGPGTLDDKKTVTVLSDVHLNIRLPDGVSLQEKFSVTSTLRMVKDYVDRNQAGGIGAYDLAIPYPRKTFSDQDLSKSLSELALLNRQALMVVPHQRATSYHRGGSSSDRATTTTSSGSANANDGGYFAYVKRVLSYVNPLSYFGGSASSSSSGQAQSGIWEYSPNSTPQNNNARTDRPYSSYSPNQNASATGRNDSQGRPTTTSRIGSNIHTLKHDGDDGRFNDRNSFWNGNSTEYGGNNDDK >Potri.002G188900.1.v4.1 pep chromosome:Pop_tri_v4:2:15086781:15096539:-1 gene:Potri.002G188900.v4.1 transcript:Potri.002G188900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188900.v4.1 MAAPVTRNDHKTDSLRMELEESTEKSIMTSFHNHAPSFIGSAATNCDATSLSSLEENEDEIELQWAAIERLPTFRRLRLSLFDKKEDGEGEEGKRVVDVTKLEALERHVFVDKLIKKIEEDNCRLLSKFKERMDKVGLELPTVEVRYRNLSVEVEYEVVHGKPLPTLWNTLKTAFGGIANITGCKSVRNKIKILKNVNGIIKPSRMTLLLGPPGCGKTTLLQALTAKLDQSLKVEGEISYNGYKLNEFVPQKTSVYISQYDQHISEMTVRETLDFSARCQGIGGREDIMKEISRREKEAGIVPEPDVDTYMKATSVEGLKRTLQTDYILKILGLDICADTMVGDAMRRGISGGQKKRLTTGEMIIGPTKALFMDEISNGLDSSTTFQIVSCMQQLAHITKSTMLVSLLQPAPEIFDLFDDIILMAEGKIVYHGPRDNVLEFFEHCGFRCPPRKGIADFLQEVVSERDQGQYWYHKQQPHSYVSIDMLVKNFQEFHVGQKLEGELSRPLQKSESHKNALSFSIYSLRKWELFKVCMDREWLLMKRNLSLHVFKSVQLVVTALITMTVFIRSRMDIDMVDGNLYMGSLFYALIRLMCNGITELSLTIQRIAVFYKQRDFYFYPAWAYSVPAAILKIPFSLLDAFLWTALTYYVIGFSPEPERFFYHFFLLFLVHQVSVSMFRLIASIVRNPSIASTFALFIILITFLFGGFVIRQPSLPSWLRWGFWLSPLAYAEIGASLNEFLAPRWQKVSSSNITLGQKILESRGLYFNEYFYWIPLGALIGFWIIFNIGFTCALSYSKAPRRSRTIISQERLSNILKRKQDLSDFPRAETPKPAAEMEKIKMILPFEPITISFQNVQYFVDTPKILRKQGLPQKRLQLLHDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGIIEGEIRIGGYPKAQKTYARISGYCEQTDIHSPQITVEESVMYSAWLRLPAQIDNRTRSEFVAEVIEMIELGEIRDELVGIPGVSGISTEQRKRLTIAVELVSNPSVIFMDEPTSGLDARAAAIVMRVAKNIVNTNRTVVCTIHQPSIDVFEAFDELILMKRGGQIIYSGELGQNSSKLIEYFEGIHGVPKIKENHNPATWMLEVTGSSMEARLGLDFANLYRDSHLFQKNEELVARLGLPEQGSKELHFSTRFPQNAWEQFKACLWKQELSYWRSPKYNLVRLIFIIVSSLIFGALLWQKGQKINGEQDFFNILGSIFIFIQFAGIANCSSVMPFVATERTIVYRERFAGMYSSWAYSSAQVIVEIPYILLQAVLFLMITYPAINFYWSAYKVFWYFYSMFCTLLYFNYLGLLLVSLTPNFQMAAIWASFFYTLTNLFSGYLVPEPKMPRWWAWGYWICPISWSLKGLLASQYGDIEAEITAYGERKSISSFLRSYFGYKQDDLGVVAIVLLAFPVFFALAFAITIAKLNFQKR >Potri.006G164050.1.v4.1 pep chromosome:Pop_tri_v4:6:15941095:15943090:1 gene:Potri.006G164050.v4.1 transcript:Potri.006G164050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164050.v4.1 MYRMVHEYVSSCDVCQRVKASTLSLVGLLQSLSIPCQVWDDITMDFIEGLPPSQSKNTILVVVDRLRKSTHFLSLTHLFIAKIVAEKFVEGVIKLHGRPNSIISDHDPIFISNFWCEYFRLPGTQLKMSFAYHPQMDGQTEVINRCVEQYLRCLVHQQPRRWYSLLLWAEFWYNTAYHASTGMSPFQALYVRPPLMIPHY >Potri.006G124900.1.v4.1 pep chromosome:Pop_tri_v4:6:9969466:9974435:1 gene:Potri.006G124900.v4.1 transcript:Potri.006G124900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G124900.v4.1 MSSVMRKSSFVIPSSSSGESSSPIFHHLKKPISSSSSPSSSIASLPVEPDPPDDLSSHHLLSILSHPKWQRHPSFQKLIPNLSPSHVSSLFNNHPDLNPNIALQFFNSLPLIKPGFKHTVKSHSFLLKILIPNNLFGVGEKIRISMIKACVSVDDIRFLLDFLRQMNRDDNDIKFKLSVRSYNELLMMLARFLMIDEMKRVYTEMLNDMIVPNIYTLNTMVNAYSKMGNIVEANLYVSKIFQAGLSPDSFTYTSLILGYCRNNDVNSAYKVFNMMPNKGCRRNEVSYTTIIHGLCEAGRIDEGISLFKKMREDDCYPTVRTYTVIIHALFGNDRNLEGMDLFNEMRERSCEPNVHTYTVMVDAMCKERKLDESRRILNEMMEKGLVPSVVTYNALIRGYCEEGRIEAALEILGLMESNNCRPNERTYNELICGFSKRKHVHKAMTLLSKMLESKLTPSLVTYNSLIHVQCKAGHFDSAYKLLDLLKENGLVPDQWTYSVFIDTLCKSKRMEEACDLFNSLKEKGIKANEVMYTALIDGHCKAGKIDEAISLLERMHSEDCLPNSSTYNSLIYGVCKEGKVQEGLSMVENMSKMGVKPTVATYTILIEEMLREGDFDHANRVFNQMVSFGHKPDVYTYTAFIHTYCTSGNVKEAEGMMARMIEAGVMPDSLTYTLLISAYERLGLAYDAFNVLKRMLDAGCDPSHRTYSFLIRHLSKKKLMKENSHLEQLDLVQSVTFFNAADVWKIMQLETALELFEKMMEHGCTPGVNTYAKLIIGVCKVGRWGVANSLFNHMIERGLVPNEDIYNALLSCCCELRMLSSAARLVEAMMEHGHLPLLESCKLLLCGLYDEGENEKAKAVFVHLLLCGYNYDEVAWKILIDGLLKSGLADRCSELLSVMEKRGCQIDPLTYTKLIEGLDGSHGT >Potri.006G100200.1.v4.1 pep chromosome:Pop_tri_v4:6:7668298:7673140:1 gene:Potri.006G100200.v4.1 transcript:Potri.006G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100200.v4.1 MGDFNLALVIVAIVVCIIVLLFNVYLLVNYQHPDDKNQAYFPKFVVVFGLSVAAISILMLPADVANRQACRHAIYNGACNLTLPMKDLWIAVYIVDAVLVFFIIPFAMFYYEGDQDKSVAKRIKSALLWVITTAIVCGLVLGILYGVIGKVDFTVRHLSSTTTTFPSTWDFSGSQPCIGSGPHQCSAYLANASSEKTWTMRATFPEYVVALATIVGSVLFAIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATEFGKKARELKKAADALHQEERSGSKGRKWRKNVKSVEKELLQLEEDVKLLEEMYPQGEKAETAWALTVLGYLAKLVLGILGLIVSVAWVAHIIIYLLVDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQISFVVLAGLTFVYYAAFGWRRKKRSGRFQLSS >Potri.019G124432.1.v4.1 pep chromosome:Pop_tri_v4:19:14875150:14876546:1 gene:Potri.019G124432.v4.1 transcript:Potri.019G124432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124432.v4.1 MKMTNFLVLSFLLFAFTATSIFPRAVHAEAVIDVFGDEVRTGDRYIIGAASNDFAVTSSRIICNSDVMFSPMSDGLPVIFSPVVESNDSVIHEDSYLNVDFDAATCRMAGVSTMWKIELRPTARGFVVTTGGVAGLNRFKITKYEGGNNLYQLSYCPISEPICECSCVPLGQVVNRLAPRTIPFPVVFVPSDRASKIEYKMM >Potri.016G052500.1.v4.1 pep chromosome:Pop_tri_v4:16:3395169:3396794:1 gene:Potri.016G052500.v4.1 transcript:Potri.016G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052500.v4.1 MGVMGVQPHILLVTFPAQGHINPALQFAKRLVAMGAHVTFATSMGAKRRMSKSGTYPKGLYFAAFDDGSEHGFRPSDDIEHYFSELRHVGSQSLADLICQVPKNGGPFTCVVYSNLIPWVAKVARQHNLPSTLLWNQSPALLDIFYYYFNGYGDTIKKNINDPSFSLKLPGVPPLGSRDLPSFLDPRNTHAFALSVNKEHIEVLDEETNPKVLVNTFDALECEALNSIGKFKLVGVGPLIPSAYLDGKDPSDTSFGGDLFQDSKDYIEWLNSKPESSVIYISFGSISVISKPQKEEMARALLDTGRPFLWVIRTDGGEEKEEDKLSCTEELEKQGKIVPWCSQVVVLSHPSIGCFVTHCGWNSTFESLASGVPVVAFPQWTDQLTNAKMVEAVWETGVRVSANKEGIVEGEEIEKCLELVMGGGERGKEMRKNAKKWKDLARESSKEGGSSYQNLQDFFNEIGGGAMSLDI >Potri.004G044200.2.v4.1 pep chromosome:Pop_tri_v4:4:3489403:3492404:1 gene:Potri.004G044200.v4.1 transcript:Potri.004G044200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044200.v4.1 MFFRYNLRSLFPTILCLVISHEKHSSLSLLASWVATMKHNNKHHLALLLFPIILFCQPISAIDFVFNGFNSSSVSLYGSAVFESRILTLTNQTSIMAIGRALYPKKIPTKAPNSSFVYPFSTSFIFAMAPSKNVLPGHGLVFLFVPFTGIEGASAAQHLGFLNLTNDRSPNNHMLGIEFDVFSNQEFNDMNANHVGLDVNSLTSIAAADAGYWPDNSRSSSSNGNSSDDDRKSFKEQKLNNGKNYQVWIDYADSLINVTMAPAGMKRPSRPLLNVSLNLSEVFEDEMYVGFTASTGQLVQSHKILAWSFSNSNFSLSEMLVTTGLPSFVLPKDPFFRSKGFISGATVGGVLLVVSAATIFWFFIKRRQRKARERAEMEDWELEYWPHRITCKEIEAATKGFSEENVIGIGGNGKVYKGVLPGGTEIAVKRISHENDGMREFLAEISSLGRLKHRSLVGFRGWCKKERGVFMLIYDYMENGSLEKRLFDFDQSKMLSCEERIRILKDVASALLYLHEGWESKVLHRDIKASNVLLDKDMNGRLGDFGLARVHGHGQVPSTTRVVGTIGYMAPEVVRSGRASAQTDMFGFGVLILEVMCGRRPIEEGKPPLVEWLWKMMMEGKLLHALDERLKTRGDQFDEEEVERILHLGLLCAYPDPKVRPTMRQAVKVLEGKNEFNEIEIEDMDTYLLKQMKSKDWWTDYSQSSNYGSHPTFDEIRRYQSSSMSLSWTNTTMEGR >Potri.004G044200.1.v4.1 pep chromosome:Pop_tri_v4:4:3489260:3492430:1 gene:Potri.004G044200.v4.1 transcript:Potri.004G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044200.v4.1 MFFRYNLRSLFPTILCLVISHEKHSSLSLLASWVATMKHNNKHHLALLLFPIILFCQPISAIDFVFNGFNSSSVSLYGSAVFESRILTLTNQTSIMAIGRALYPKKIPTKAPNSSFVYPFSTSFIFAMAPSKNVLPGHGLVFLFVPFTGIEGASAAQHLGFLNLTNDRSPNNHMLGIEFDVFSNQEFNDMNANHVGLDVNSLTSIAAADAGYWPDNSRSSSSNGNSSDDDRKSFKEQKLNNGKNYQVWIDYADSLINVTMAPAGMKRPSRPLLNVSLNLSEVFEDEMYVGFTASTGQLVQSHKILAWSFSNSNFSLSEMLVTTGLPSFVLPKDPFFRSKGFISGATVGGVLLVVSAATIFWFFIKRRQRKARERAEMEDWELEYWPHRITCKEIEAATKGFSEENVIGIGGNGKVYKGVLPGGTEIAVKRISHENDGMREFLAEISSLGRLKHRSLVGFRGWCKKERGVFMLIYDYMENGSLEKRLFDFDQSKMLSCEERIRILKDVASALLYLHEGWESKVLHRDIKASNVLLDKDMNGRLGDFGLARVHGHGQVPSTTRVVGTIGYMAPEVVRSGRASAQTDMFGFGVLILEVMCGRRPIEEGKPPLVEWLWKMMMEGKLLHALDERLKTRGDQFDEEEVERILHLGLLCAYPDPKVRPTMRQAVKVLEGKNEFNEIEIEDMDTYLLKQMKSKDWWTDYSQSSNYGSHPTFDEIRRYQSSSMSLSWTNTTMEGQAWKELNQKLEEPRFKSI >Potri.004G210300.1.v4.1 pep chromosome:Pop_tri_v4:4:21686915:21687787:1 gene:Potri.004G210300.v4.1 transcript:Potri.004G210300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210300.v4.1 MATTTTIRRTPIVYALLAFFLLLRFSSSTDTPPEQSPSPSPQQSDSPLLSPPPLLPPPSLSPETGSPSPTTMASPPASPPSDLTAHVPAPAENVPDPDPSVASDINVKAGNGSEDDEEQGSEGMSGGKKAGIAAAVIGAACLVGFGGLVYKKRQDNIRRSAYGYAARRELL >Potri.013G051000.1.v4.1 pep chromosome:Pop_tri_v4:13:3616708:3619827:1 gene:Potri.013G051000.v4.1 transcript:Potri.013G051000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051000.v4.1 MSKDTSPCFISLLILGLVVTLAGVIPQVEARAFFVFGDSLVDNGNNNYLATTARADAPPYGVDYPTRRATGRFSNGLNIPDLISEAIGSEPTLPYLAPELNGEKLLVGANFASAGIGILNDTGVQFLNIIRIGQQLQFFQQYQQRVSALIGPEQAQRLVNEALVLMTLGGNDFVNNYYLVPFSARSRQFALPDYVVYLISEYRKILVRVYELGARRILVTGTGPLGCVPAERATRSRNGECAVELQRAAALFNPQLVQMITELNMEIGSDVFIAANAYEMNMDFVTNPQAYGFVTSQVACCGQGRFNGIGLCTIASNLCPNRDIFAFWDPFHPTERANRIIVSTIVTGDTKYMNPMNLSTIMALDSRV >Potri.014G149700.1.v4.1 pep chromosome:Pop_tri_v4:14:10321281:10323997:1 gene:Potri.014G149700.v4.1 transcript:Potri.014G149700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149700.v4.1 MYTMPKFFHANPRDKKTSFMAALSILLIIKILCLATFAEATTSKPLPSQFAKLSRSTTTPGAPSSTDTSPCKGTPYKAACQSLLLTLNTNNNADLPKTPKELFDYSVHFTSSQAHSAIDQLATTFLGQTYQEIDMTHLPGSGMRDCMELLDDTLDQLSNVINRKNDPTHTHNDVQTWLSAALTNQETCKESLLENVKKTHLEKFNVIDSMAKNLSQFISNSLALYVSSYGLPSTSPRGRKLLTDQSNIINDFPSWVSLSERKLLEASVGEIEAHAVVARDGSGTHTSIAEAIRQVAASLDGGGRNVIYIKAGTYKENLNIPSKQKDVLLYGDGKGKTVIVGSKNAADGSTTYDSATVGVMGDGFIAKDITFVNSAGPSKHQAVALRVGSDRAVIFRCSIDGYQDTLYTLSKRQFYRETDIYGTVDFIFGNSAVVFQNCNIFARNPGTGQKNFVTAQGRTSPDQNTGISIQNCQIEAQSVTYLGRPWKQYSRTVIMQSSLDGSIDPAGWFPWAGGSSPSSIYYGEYSNSGPGSSTSGRVNWPGYHSSLTSVEAQKFTVGSFISGNVWLPPTGVAFDSGLGG >Potri.001G389650.1.v4.1 pep chromosome:Pop_tri_v4:1:41224000:41224608:1 gene:Potri.001G389650.v4.1 transcript:Potri.001G389650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G389650.v4.1 MRVVMKIQNVMKSNRIMRVAMLQFDTIMIYCCNHMLPQGFMAETR >Potri.016G071000.2.v4.1 pep chromosome:Pop_tri_v4:16:5180389:5185882:1 gene:Potri.016G071000.v4.1 transcript:Potri.016G071000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071000.v4.1 MGVPQTMEALRERADFIKESLQKSQIITDNMATILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKAAEVILSQFDLTRKAEAKILRGPHEDLESYLEAIDQLRSNVKFFSSNKSFKSSDGVLNHANQLLAKAISKLEEEFRQLLTNYSKPVEPDRLFECLPNSLRPSSSGSPRKHGDDNSKSPTEHQGKSLENAVYTLPTLIPPRVIPLLHDLAQQMAQAGHQQQLFRIYRDTRASVLEQSVRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLFAGEKKLCDQILDGVDSLRDQCFAEVTVNSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELHSEIEVLFGSKACIEMRDSALSLTKRLAQTAQETFCDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFDASDPDSQLTSVTTRIMQALQNNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRVSWAKILQCLSVQGGGSGSGGGIGGDGSASGISRAAVKDRFKTFNVQFEELHQRQSQWTVPDSELRESLRLAVAEILLPAYRSFQKRFGPMIENGKNPQKYIRYSPEDLDHMMNEFFEGKTWNEQKR >Potri.016G071000.3.v4.1 pep chromosome:Pop_tri_v4:16:5180389:5185882:1 gene:Potri.016G071000.v4.1 transcript:Potri.016G071000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071000.v4.1 MGVPQTMEALRERADFIKESLQKSQIITDNMATILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKAAEVILSQFDLTRKAEAKILRGPHEDLESYLEAIDQLRSNVKFFSSNKSFKSSDGVLNHANQLLAKAISKLEEEFRQLLTNYSKPVEPDRLFECLPNSLRPSSSGSPRKHGDDNSKSPTEHQGKSLENAVYTLPTLIPPRVIPLLHDLAQQMAQAGHQQQLFRIYRDTRASVLEQSVRKLGVERLSKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLFAGEKKLCDQILDGVDSLRDQCFAEVTVNSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELHSEIEVLFGSKACIEMRDSALSLTKRLAQTAQETFCDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFDASDPDSQLTSVTTRIMQALQNNLDGKSKQYKDPALTQLFLMNNIHYIVRSVRRSEAKDLLGDDWVQIHRRIVQQHANQYKRVSWAKMCRFCSVSLFRVVDQAAVVESEVMVVPVEFQEQQ >Potri.001G119500.1.v4.1 pep chromosome:Pop_tri_v4:1:9701962:9707227:-1 gene:Potri.001G119500.v4.1 transcript:Potri.001G119500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119500.v4.1 MAEEAKAKGNAAFSTGDYTAAVKHFTNAIALSPTNHVLYSNRSAAHASLHNYADALQDAKKTVELKPDWSKGYSRLGAAHLGLHQIQDAISAYKKGLEIDPNNEGLKSGLADAQAAASRSRAAPPPSPFGDAFSGPEMWAKLTADPSTRMYLQQPDFVKMMQEIQKTPNNLNLYLKDQRVMQALGVLLNVKFREPNAGDDMEIPEGTSTPQPPAPERKEEKKVEEEKVVEPEPMEVTEEKEAKERKAQAVKEKELGNAAYKKKEFEKAIEHYTKAMELDDEDISYLTNRAAVYLEMGKNAKCIKDCDKAVERGRELRSDFKMVARALTRKGTALAKMARCSKDYERAIETFQKALTEHRNPDTLKKLNDAEKAKKDLEQQEYFDPKLAEEEREKGNEYFKQQKYPEAVKHYTESLRRNPKDPKAYSNRAACYTKLGALPEGLKDAEKCIELDPTFSKGYTRKGAVQFFMKEYDKALETYQEGLKHDPHNQDLLDGVRRCVEQLNKASRGDLTPEELKERQAKAMQDPEIQNILSDPVMRQVLVDFQENPKAAQEHTKNPMVMSKIQKLVHAGIVQMR >Potri.002G191600.1.v4.1 pep chromosome:Pop_tri_v4:2:15370594:15372565:-1 gene:Potri.002G191600.v4.1 transcript:Potri.002G191600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191600.v4.1 MAPELVRSALKPAGFTKLASLPSRAYVTFLAGDGDYVKGVVGLAKGLRKVKTAYPLIVAVLPDVPEEHRQILESQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYDNIDHLFDLPDGRFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVNWPAEMGQPPSPYFNAGMCVFEPSIATYHDLLKTLKVTPPTPFAEQDFLNMYFKDIYTPIPLVYNLVLAMLWRHPENVELDRVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVKKWWDIYSDESLDSKKLVADCTTDAEPVNLQPFIAALSEAGAVQYVTAPSAA >Potri.018G098300.1.v4.1 pep chromosome:Pop_tri_v4:18:11891018:11894330:1 gene:Potri.018G098300.v4.1 transcript:Potri.018G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098300.v4.1 MDLHSNHTTGGVHLNKTQTKKCTSIPPNVNSNGWLQNEHSLTASLPILELHMFAIFLISHGCHFILKRYGIHILVSQILAGVIVGTTGLGHQSDYTRIFLTVDSKQILGTLAGLGYQLFGFINGIKMDIALVRKTEKMAIYSGILSMVIPVVLGGVTGRMVSKYWNLDKLDRLSLILVMLVQSMTPFPVICSFIGDLKLTNSELGRLGLSSVLTSEMLTQVLALVAFFIGIAYKQRAQAAIESVVISVAFLVVVLYVVRPAMFWVIKQTPKGRPVKDLYTDIIIFGALASGALFNYIGLNVFLGSLVFGLAVPAGPPLASAVVEKIECIVTGVLVPLFMAMCTMGADLLKIDFDDYILKSTAIVVFVVILAKFGAYLVPLLYFKLPKQDALALAFLISTKGIVELGSFTYMRELGILTEGMFAFLVITVLLSATISSFVVNWVYDPSRKYAGYQKRNIMHSKELRILTCIYRPDNTTIIINFIKSLCPTIQSPFSVSVLHLIKISGRASPMFISHQMQKKTVSLHSISGNVILSFKHFQQNYGDAVSVNVFTAISPPKFMHEDICTLALDELACFLVLPFHKKWLVDGSIESEDSTLRTLNCCVLERAPCSVGILIDRGNQVKSIFLESSRGPSLLVVVLFFGGNDDQEALVLAKRMSQNRNISIKIARFIPSTDELEINRDSMLESQALNYIMHDYTEHETVDYIEERVSDGLETSKTIRSMLDKYDLFIVGRRKDIQTPQTAGLDDMNEYPELGVIGSLLASMDTTEKYSVLVVKQQVAL >Potri.006G011600.1.v4.1 pep chromosome:Pop_tri_v4:6:778332:780177:1 gene:Potri.006G011600.v4.1 transcript:Potri.006G011600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011600.v4.1 MATVEVEAAPSIPETEVPKVTKTEETTTETAPPASEPVAEEPTEAAPADAPAAEPEAEPAVEVETKEVVEEPAKTEPEEVAAPAAEETPEDKPEETVAEETVAKETVVEETKEEAAPAPVEEEKPAEEEKPAETPAAETTTTEVPVETNE >Potri.008G180700.10.v4.1 pep chromosome:Pop_tri_v4:8:12457999:12461775:-1 gene:Potri.008G180700.v4.1 transcript:Potri.008G180700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180700.v4.1 MESQLAPIEQSLNARYSLWVREDLDELRHNFTITDPNITGHPIVFASPGFLKMSGFSREQVVGNNGRIFQGPKTNRKTVMEIREAIREERAVRVRLLNYRKDGTPFWMLFQMSPVFSKEDGGVVHFIGVQVPIRRNKKLTDDGADAACNEIAFGSCRREVCSDSLVELTRVLALDTDTNCKGVKIEESCEASELEKQRAATAINDILSVLTGCSESTGRMVCGKRIDPHLPNMPVVYASDAFLKLTGYDRHEVLGRDWNFLNGVDTDSSILHQVQESIQVEQPCTVCILNYRKDKSTFWNLLHMSPVRNATGKIAYFVGVQMEEKCKSQDRRGLSPEIRQLGAVGAVKVAVRSLSISASCSRSSDGFNNL >Potri.008G180700.11.v4.1 pep chromosome:Pop_tri_v4:8:12458028:12461887:-1 gene:Potri.008G180700.v4.1 transcript:Potri.008G180700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180700.v4.1 MESQLAPIEQSLNARYSLWVREDLDELRHNFTITDPNITGHPIVFASPGFLKMSGFSREQVVGNNGRIFQGPKTNRKTVMEIREAIREERAVRVRLLNYRKDGTPFWMLFQMSPVFSKEDGGVVHFIGVQVPIRRNKKLTDDGADAACNEIAFGSCRREVCSDSLVELTRVLALDTDTNCKGVKIEESCEASELEKQRAATAINDILSVLTGCSESTGRMVCGKRCSLPAAGLINSSLNISLGRIKQSFVLIDPHLPNMPVVYASDAFLKLTGYDRHEVLGRDWNFLNGVDTDSSILHQVQESIQVEQPCTVCILNYRKDKSTFWNLLHMSPVRNATGKVLLHEQFNRSLVIFLDVCIYKYD >Potri.008G180700.1.v4.1 pep chromosome:Pop_tri_v4:8:12457997:12461887:-1 gene:Potri.008G180700.v4.1 transcript:Potri.008G180700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180700.v4.1 MESQLAPIEQSLNARYSLWVREDLDELRHNFTITDPNITGHPIVFASPGFLKMSGFSREQVVGNNGRIFQGPKTNRKTVMEIREAIREERAVRVRLLNYRKDGTPFWMLFQMSPVFSKEDGGVVHFIGVQVPIRRNKKLTDDGADAACNEIAFGSCRREVCSDSLVELTRVLALDTDTNCKGVKIEESCEASELEKQRAATAINDILSVLTGCSESTGRMVCGKRCSLPAAGLINSSLNISLGRIKQSFVLIDPHLPNMPVVYASDAFLKLTGYDRHEVLGRDWNFLNGVDTDSSILHQVQESIQVEQPCTVCILNYRKDKSTFWNLLHMSPVRNATGKIAYFVGVQMEEKCKSQDRRGLSPEIRQLGAVGAVKVAVRSLSISASCSRSSDGFNNL >Potri.008G180700.12.v4.1 pep chromosome:Pop_tri_v4:8:12458030:12461855:-1 gene:Potri.008G180700.v4.1 transcript:Potri.008G180700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180700.v4.1 MESQLAPIEQSLNARYSLWVREDLDELRHNFTITDPNITGHPIVFASPGFLKMSGFSREQVVGNNGRIFQGPKTNRKTVMEIREAIREERAVRVRLLNYRKDGTPFWMLFQMSPVFSKEDGGVVHFIGVQVPIRRNKKLTDDGADAACNEIAFGSCRREVCSDSLVELTRVLALDTDTNCKGVKIEESCEASELEKQRAATAINDILSVLTGCSESTGRMVCGKRCSLPAAGLINSSLNISLGRIKQSFVL >Potri.008G180700.9.v4.1 pep chromosome:Pop_tri_v4:8:12457985:12461815:-1 gene:Potri.008G180700.v4.1 transcript:Potri.008G180700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180700.v4.1 MESQLAPIEQSLNARYSLWVREDLDELRHNFTITDPNITGHPIVFASPGFLKMSGFSREQVVGNNGRIFQGPKTNRKTVMEIREAIREERAVRVRLLNYRKDGTPFWMLFQMSPVFSKEDGGVVHFIGVQVPIRRNKKLTDDGADAACNEIAFGSCRREVCSDSLVELTRVLALDTDTNCKGVKIEESCEASELEKQRAATAINDILSVLTGCSESTGRMVCGKRCSLPAAGLINSSLNISLGRIKQSFVLIDPHLPNMPVVYASDAFLKLTGYDRHEVLGRDWNFLNGVDTDSSILHQVQESIQVEQPCTVCILNYRKDKSTFWNLLHMSPVRNATGKMEEKCKSQDRRGLSPEIRQLGAVGAVKVAVRSLSISASCSRSSDGFNNL >Potri.008G142301.1.v4.1 pep chromosome:Pop_tri_v4:8:9586508:9587017:-1 gene:Potri.008G142301.v4.1 transcript:Potri.008G142301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142301.v4.1 MYDGMWCYGKRSGPGTFYFNHGDVFQGSWRDDVIHGKVGLMSSPLSSS >Potri.006G249900.3.v4.1 pep chromosome:Pop_tri_v4:6:24924796:24930334:-1 gene:Potri.006G249900.v4.1 transcript:Potri.006G249900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249900.v4.1 MVMTKKKRVLFCGEEGGLDNGSFYGSAGGSEEGNGIHEKEFEQLSRDDASRFSLTDEILPSLGATARSNRRVLLRRFIVSPFDHRYRFWVTFLVFLVFYTAWVSPFEFGYLSKPSGGLAIADNVVNGFFAIDIILTFFVAYLDKNSYLLVDDRKKIAWRYARTWLVLDVISTIPSELVREILPHKFESYGYFSMLRLWRLRRVSLFFSRLEKDRKYNYFAVRFSKLICVTLFVVHMAGCLFYRIAAYYKDPSKTWIGSVWEDFHTESLWVRYVKALYWSITTLTTTGYGDLHAVNHDEMVFVMFYMMFDLGLTSYLIGNMTNLVVHATFRTRQFRDTIQAVSSFAQRNHLPVRLQDQMLAHLSLKFRTDSEGLHQQETIESLPKAIRSSISNYLFYSLVDRVYLFRGVSNDLLFQLVTEMKAEYFPPKEDVILQNEAPTDLYILVTGAVELIMRRNGIEQVVGEAVTGDVVGAIGLLCYRPQLFTVRTKRLSQLLRLNRTAFLNIVQSNVGDGTIIMNNLLQYLKDSNFPEMEGILTDTEHMLTQGRMDLPLTLCFAAMRGDDLLLRQLLKQGLDPNELDDNGRTALHIAASNGNEHCVVLLLEYGADPNIKDSEGNVPVWEALQGNHKNVIKLLSENGAAITSGDVGQFALTAVEQNNIDLLEEIAKYGGDVTLPATCGTTALHTAISAGNTEMVKFILDQGADVDKPDLHGWTPRALADHQGQEEIQGLFENWVTENKKTVPTTPKHLSVPYGGKSIAKYNSEPTIAPFSPSLHHDVAPPRRRRADNFQNSLVGMMSVASTGENGMISSPARFTGFLSSNYPARVTLSCPDKGEVGGKLVVLPKSFQELLDIGARKFGCIATKILTREGAEIEDIELVRDGDHLVLVPGTES >Potri.017G154800.1.v4.1 pep chromosome:Pop_tri_v4:17:15139894:15141660:1 gene:Potri.017G154800.v4.1 transcript:Potri.017G154800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154800.v4.1 MPRRHAFGRADEATHPDSMRAALAEFVSTFVFVFAGEGSVLALDKLYKETGPLASGLVVVALAHALALFSAVASSINISGGHVNPAVTFGSLVGGRISVIRAVYYWVAQLLGSIVAALLLRLVTNGMRPVGFHVQSGVGEVHGLLMEMALTFGVVYTVYATALDPKRGSLGIIAPLAIGFIVGANILVGGPFDGASMNPARAFGPALIGWRWRNHWIYWVGPFLGGGLAALIYEYIVIPTEPVPRHAHQHQPLAPEDY >Potri.001G157500.1.v4.1 pep chromosome:Pop_tri_v4:1:13271431:13272983:1 gene:Potri.001G157500.v4.1 transcript:Potri.001G157500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157500.v4.1 MFQFFSYYSFLIPFSFLCLCRPSFPARIFPESSSTVITVDTHNTTTTTWHGFTRFLDAGKGSQVSGMSELKEYFNRFGYLPIPDENNFTDIFDKQFESAVIAYQTNLGLPVTGKLDSDTISMMVSPRCGVSDTKTHGTTFQATKHFSYFYGKPRWGRQAPVILTYAFSQNNMIDYISLKDIKTVFKRAFSRWAQVIPVSFMEIEDYPSADIRIGFYYRDHGDGQPFDGVLGVLAHAFSPENGRFHLDASETWALDFETVKSRVAVDLESVATHEIGHILGLAHSSVKEAVMYPSLSPRSKKVDLKIDDVNGVQALYGSNPNFTFSSLLASENSFNKGSGLRNTRSSSKWNISFVVGVLLLFLCTR >Potri.018G045400.1.v4.1 pep chromosome:Pop_tri_v4:18:3851178:3851603:1 gene:Potri.018G045400.v4.1 transcript:Potri.018G045400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045400.v4.1 MAGGSNLFIFVATLFAVGVMLNSPKHTNAFWNPSKYPEPVPGYFKVLDRCEKPLATKFPSCSNATLAAIFKNKRIPNKCCPEVTKFSKICYHALGLFVATTPNFVLTVPEFFERTEKVYDHCLRVVPSPSKPRRSRKRRTQ >Potri.019G079500.1.v4.1 pep chromosome:Pop_tri_v4:19:12014838:12017859:-1 gene:Potri.019G079500.v4.1 transcript:Potri.019G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079500.v4.1 MAEEIQKPAAPEAQPSTTTEEVVVVEEKPAVIEKDAPAPVPEPEPEAPAKPAVVEEATAVAEGVVEVEKPKEETTEEVKITQSVSFKEETNVVGELPEAQKKALDDLKQLIQEALNKHEFTAPPPPPPAKEEEKPAEPEKPEEKVEVKEEEKTDAPSTSEEPKTEEEPKTAEAEASTPPPPPPAPVVEEKVEVKEEKVEEKVEVKKEEEKAEPSAAAETVVVEKVAAVDEDGAKTVEAIEETIVAVSSTPAAEEAAPAAEPEATPAEEPKTEEEAAPAAPPPPPEEVFIWGIPLLADERSDVILLKFLRARDFKVKDAFTMIKNTVKWRKEFGIDALLEEDLGAELEKVVFTHGVDKEGHSVCYNVYGAFQDKELYQNCFADEEKRTKFLKWRIQFLEKSIRKLDFSPNGICTIVQVSDIKNSPGPAKSGLRQATKQALSLLQDNYPEFVAKNVFINVPWWYLTFNKMISPFLTHRTKSKFVFAGPSKSAETLFKYIAPEEVPVQYGGLSKDGEFTGADTVTDVTIKPTSKHTVEFPVSEACVLVWELRVFGWDVSYGAEFVPSAEDGYTVIVSKTRKIISSDDPVISDTFKIGEPGKVVLTIDNQTSKKKKLLYRSKTKPLSE >Potri.011G138801.1.v4.1 pep chromosome:Pop_tri_v4:11:17003856:17004212:-1 gene:Potri.011G138801.v4.1 transcript:Potri.011G138801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138801.v4.1 MKGMTFLHDDALVIFTILYNYRVYRELVNEGRAVNILFNKIMAQIEINPLRLTLMKTPLIGIIGLRILMKDALETLITISTYPKCLTLQQTFMVIDMSLVYNAIIECPFSIESTRPLA >Potri.007G037401.1.v4.1 pep chromosome:Pop_tri_v4:7:3000264:3000765:1 gene:Potri.007G037401.v4.1 transcript:Potri.007G037401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037401.v4.1 MKSTAFNLASPEDLSLQTLLKRSGSLFLESLAKANKFTKEKFGSVVRDYVVCTQDLLVVPSLQRFMIEHNEVKEVMEIPADHMAIASRPKELCQCLLEFARKHA >Potri.005G111000.1.v4.1 pep chromosome:Pop_tri_v4:5:8062063:8067031:1 gene:Potri.005G111000.v4.1 transcript:Potri.005G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111000.v4.1 MRKIRDTNLMILSSDDEDDCDYKPNSHRSYTKKPKSRSFITRTNPRQPKKPRVSGSRSRFSRDSPNLFEVGFPEEDFEELFSGSKVSAGSGRSNVKELWVDKHKPQSLEELAVHKKKVEEVKSWFEERLKTTKNLQGNLINNVVVINGKAGVGKSTTIQVIASHFGARLCEWNTPTPTIWQEHVHNTNTGIQYTSKLDEFVNFIEKIRKYGLIPSSSSEDVKPSIILLIDDLPMTNGRVAFERLQSCLLHLVRSTQLPTAILVSDYDEADSADHTARRLEQLQLSLENAGACKVSFNPITNNSIKKTLSRICRQEQCSVTDEQLDLIAKGSGGDIRHAITSLQLFCVKPDLVLNGSYSTPSYLYGKEDDIDALVSGISLLFGRDETLSLFHALGKFLHNKRETEVANAITPGDAFLVQENFSRLPLKMDAPEKVLCQAHGQARPVTDFLHENVLDFISDEAMDDAWHVSSYFSDSDLLLSSFRGMLARYNEAENVLQSAAASVAVRGVLFGNSHPSPPRWHAIRKPKLWQVEKSMLHNQKEIVRQRFIAYNGSSSCNVSDVATEYMPLLKWLEHRASGFEDNQALVYCKKTDGESCDRMSLDDKESDISDDEIEEW >Potri.016G051300.1.v4.1 pep chromosome:Pop_tri_v4:16:3320441:3324098:1 gene:Potri.016G051300.v4.1 transcript:Potri.016G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051300.v4.1 MKLLSYIDLFLHCPKHANASILYQFTRQLTTLSSFLPTKPTNLNVLCTKGQLQRALLEMAIQGPEIKFDGYNMLLNECVNKRAVREGQRVHAHMIKTCYLPPVYLSTRLIILYTKCECLGCARHVFDEMRERNVVSWTAMISGYSQRGFASEALHLFVQMLRSDTEPNEFTFATVLSSCTGFSGFELGRQIHSHIFKRNYENHIFVGSSLLDMYAKAGRIHEARGVFECLPERDVVSCTAIISGYAQLGLDEEALELFCRLQREGMSSNYVTYASLLTALSGLAALDHGKQVHSHVLRCELPFYVVLQNSLIDMYSKCGNLNYARKIFNNMPVRTVISWNAMLVGYSKHGKGIEVVKLFKLMREENKVKPDSVTFLAVLSGCSHGGLEDKGLEMFDEMMNGGDEIEAGIEHYGCVIDLLGRAGRVEEAFELIKKMPFEPTAAIWGSLLGACRVHSNTNIGEFVGCRLLEIEPENAGNYVILSNLYASAGRWEDVRNVRELMMEKAVIKEPGRSWIELDQTIHTFYASDRSHPRREEVFLKVRELLVKFKESGYVPDQSCVLYDVDEEQKEKILLGHSEKLALAFGLISTSEGVPLRVIKNLRICVDCHNFAKFVSKVYGRQVSIRDKNRFHHVAGGICSCGDYW >Potri.001G181100.1.v4.1 pep chromosome:Pop_tri_v4:1:15950042:15955520:-1 gene:Potri.001G181100.v4.1 transcript:Potri.001G181100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181100.v4.1 MGCNQSKIENEEAVSRCKDRRHFMKEAVSNRNAFAAAHSSYAMSLKNTGAALNDYAQGEIHPLSSAATAATAAPPPPPPSSVLPPPPPNNMDFQTLQRSTSMPEMKIQKPEMNKPVETIHEEEEMEYEAHDNERLVRKRSSTGSNRGSSGSNRGSSVQENHHQQQQPQDNHWDYFFPPMDSIPGPTLAGPPEMEEEVRINKEQVQRKVYEEKVDPPPMVVEEKMEKAMEVPVPVPVPEMSVGRKMGGGEGGRRFVKGMNFMEIFVDLDDHFLKASESAHEVSKLLEATRLYYHSNFADNRGHIDHSARVMRVITWNRSFRGVPGLDDGKDDFDIEEHETHATVLDKMLAWEKKLFDEVKAGELMKYEYQRKVNSLNKQKKRGTNTESLEKLKAAVSHLHTRYIVDMQSMDSTVSEINQLRDEQLYPKLVELVDGMATMWDTMRYHHEAQSKIVNALRALDISQSPKETSEHHHDRTFQLLVVVQGWQSQFCKLIDNQKGYIRALNSWLKLNLIPIESSLKEKVSSPPRVQNPPIQSLLIAWHDFLDKLPDEVARTAINNFASVIHTILQHQEEEMKLKEKCEETRKELFQKTRKFEDWYHKYMQQKMPAEFDPELTEDKSDNDAIADRQFVVDAVKKRLEEEEEAYKKQRLQVREKSLASIKTCLPELFRAMFDIAQACSEMYRNLRFISQRRNAG >Potri.001G181100.3.v4.1 pep chromosome:Pop_tri_v4:1:15950100:15952042:-1 gene:Potri.001G181100.v4.1 transcript:Potri.001G181100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181100.v4.1 MDFRMATMWDTMRYHHEAQSKIVNALRALDISQSPKETSEHHHDRTFQLLVVVQGWQSQFCKLIDNQKGYIRALNSWLKLNLIPIESSLKEKVSSPPRVQNPPIQSLLIAWHDFLDKLPDEVARTAINNFASVIHTILQHQEEEMKLKEKCEETRKELFQKTRKFEDWYHKYMQQKMPAEFDPELTEDKSDNDAIADRQFVVDAVKKRLEEEEEAYKKQRLQVREKSLASIKTCLPELFRAMFDIAQACSEMYRNLRFISQRRNAG >Potri.001G181100.2.v4.1 pep chromosome:Pop_tri_v4:1:15950045:15955106:-1 gene:Potri.001G181100.v4.1 transcript:Potri.001G181100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181100.v4.1 MGCNQSKIENEEAVSRCKDRRHFMKEAVSNRNAFAAAHSSYAMSLKNTGAALNDYAQGEIHPLSSAATAATAAPPPPPPSSVLPPPPPNNMDFQTLQRSTSMPEMKIQKPEMNKPVETIHEEEEMEYEAHDNERLVRKRSSTGSNRGSSGSNRGSSVQENHHQQQQPQDNHWDYFFPPMDSIPGPTLAGPPEMEEEVRINKEQVQRKVYEEKVDPPPMVVEEKMEKAMEVPVPVPVPEMSVGRKMGGGEGGRRFVKGMNFMEIFVDLDDHFLKASESAHEVSKLLEATRLYYHSNFADNRGHIDHSARVMRVITWNRSFRGVPGLDDGKDDFDIEEHETHATVLDKMLAWEKKLFDEVKAGELMKYEYQRKVNSLNKQKKRGTNTESLEKLKAAVSHLHTRYIVDMQSMDSTVSEINQLRDEQLYPKLVELVDG >Potri.001G360500.1.v4.1 pep chromosome:Pop_tri_v4:1:37709237:37715469:1 gene:Potri.001G360500.v4.1 transcript:Potri.001G360500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360500.v4.1 MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGIDIGRKKKTTAAKKEGEEGEAVTEEVKKSNHVLRKLEKRQQIRKLDPHIEEQFGSGRLLASISSRPGQCGRADGYILEGKELEFYLKKIQRKKGKGAGA >Potri.T013300.6.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:278142:292548:-1 gene:Potri.T013300.v4.1 transcript:Potri.T013300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013300.v4.1 MMPPNDEVRDYFWRYVERVAEGKRKCTFCGKLFAEGTSITRIKWHLSRVEGHGVTTCKLVTPEVQDAAREAVDGLSNNEVANAISDSSQEQNNVISNQADEPRGDSSQPIDAIMNYAQNIVGVRTEPAVQLLVQSNAEADNLARDDGRVQVRVQDMEQGVEEEIISSHLEAANGIENTGEGSIQHVDRNAQENTGEATQDLVHHIDGRSWSEIQAISSYLFQNTSETRGDLLPTSSTMPVGQEFKVIKESICSSLMDDEFSVIGIYGMAGVGKTELLKHVHNELLQRSDIPHCLYWVTVNHDSSINRLQKLIAAHIGLDLSSEDDDVCTAAKLSKKLIQKKTWILILDNLCDIFEPETVGIPVSLQGCKLIVSSQSKEVCEGMTSRNIRVNPLSNGEAWDLLKQQRRQGIPFSPPDAEQIARDTTNECDGLPLGVISLARSTRGFRYKRQWRNTLQNLRHSRDGLDHMEKALQTLRESYTHLLRFDRQQCFLYCALFPGGFKIPKEDLIAYLIDEGVIEKRESREDEFDEGHSLLDRLEDFCLLESVDGGCAVKMPSLLRIMAIRILQKDYQAMVRAGVQLEEVMDAKDWKENLARVSLIENQIKEIPSGHSPRCPRLSTLLLHYNIELRLIGDAFFEQLHELKILDLSYTDILIMPDAVSNLVRLTALLLIGCNKLRHVPSLEKLREMRRLDLYRTALENIPQGLECLSELRYLRMNNCGEKEFPSGILPNLSRLQVFILGWGQYAPMTVKGEEVGCLKKLEALECHLKGHSDFVKFFKSQDKTQSLKTYKIFVGQFEENDGYNVKTCCRKSAGGFGNLSVNKDGDFQITFPNDNQELIVRECSSMESLVSSSWFCSSPLPQPSPSYNGIFSGLKEFYCFGCTSMKKLFPLVFLENLEVIEVSNCEKMEEIIETRSNDEGLKGEESSGSRILKLELLKLKILKLIELPKLKSICNAKLICHSLKVIHIRNCQELKRMPICLPLYESDQPSTRLSLHEIIAYPKEWWDSVLEWEHPYAKNVLGLFVKFQ >Potri.T013300.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:278140:292570:-1 gene:Potri.T013300.v4.1 transcript:Potri.T013300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013300.v4.1 MQKEKRNQSKDEENDSSSRKRRKAGLSKPVSFVSTEPLIKMMPPNDEVRDYFWRYVERVAEGKRKCTFCGKLFAEGTSITRIKWHLSRVEGHGVTTCKLVTPEVQDAAREAVDGLSNNEVANAISDSSQEQNNVISNQADEPRGDSSQPIDAIMNYAQNIVGVRTEPAVQLLVQSNAEADNLARDDGRVQVRVQDMEQGVEEEIISSHLEAANGIENTGEGSIQHVDRNAQENTGEATQDLVHHIDGRSWSEIQAISSYLFQNTSETRGDLLPTSSTMPVGQEFKVIKESICSSLMDDEFSVIGIYGMAGVGKTELLKHVHNELLQRSDIPHCLYWVTVNHDSSINRLQKLIAAHIGLDLSSEDDDVCTAAKLSKKLIQKKTWILILDNLCDIFEPETVGIPVSLQGCKLIVSSQSKEVCEGMTSRNIRVNPLSNGEAWDLLKQQRRQGIPFSPPDAEQIARDTTNECDGLPLGVISLARSTRGFRYKRQWRNTLQNLRHSRDGLDHMEKALQTLRESYTHLLRFDRQQCFLYCALFPGGFKIPKEDLIAYLIDEGVIEKRESREDEFDEGHSLLDRLEDFCLLESVDGGCAVKMPSLLRIMAIRILQKDYQAMVRAGVQLEEVMDAKDWKENLARVSLIENQIKEIPSGHSPRCPRLSTLLLHYNIELRLIGDAFFEQLHELKILDLSYTDILIMPDAVSNLVRLTALLLIGCNKLRHVPSLEKLREMRRLDLYRTALENIPQGLECLSELRYLRMNNCGEKEFPSGILPNLSRLQVFILGWGQYAPMTVKGEEVGCLKKLEALECHLKGHSDFVKFFKSQDKTQSLKTYKIFVGQFEENDGYNVKTCCRKSAGGFGNLSVNKDGDFQITFPNDNQELIVRECSSMESLVSSSWFCSSPLPQPSPSYNGIFSGLKEFYCFGCTSMKKLFPLVFLENLEVIEVSNCEKMEEIIETRSNDEGLKGEESSGSRILKLELLKLKILKLIELPKLKSICNAKLICHSLKVIHIRNCQELKRMPICLPLYESDQPSTRLSLHEIIAYPKEWWDSVLEWEHPYAKNVLGLFVKFQ >Potri.T013300.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:278162:292573:-1 gene:Potri.T013300.v4.1 transcript:Potri.T013300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013300.v4.1 MQKEKRNQSKDEENDSSSRKRRKAGLSKPVSFVSTEPLIKMMPPNDEVRDYFWRYVERVAEGKRKCTFCGKLFAEGTSITRIKWHLSRVEGHGVTTCKLVTPEVQDAAREAVDGLSNNEVANAISDSSQEQNNVISNQADEPRGDSSQPIDAIMNYAQNIVGVRTEPAVQLLVQSNAEADNLARDDGRVQVRVQDMEQGVEEEIISSHLEAANGIENTGEGSIQHVDRNAQENTGEATQDLVHHIDGRSWSEIQAISSYLFQNTSETRGDLLPTSSTMPVGQEFKVIKESICSSLMDDEFSVIGIYGMAGVGKTELLKHVHNELLQRSDIPHCLYWVTVNHDSSINRLQKLIAAHIGLDLSSEDDDVCTAAKLSKKLIQKKTWILILDNLCDIFEPETVGIPVSLQGCKLIVSSQSKEVCEGMTSRNIRVNPLSNGEAWDLLKQQRRQGIPFSPPDAEQIARDTTNECDGLPLGVISLARSTRGFRYKRQWRNTLQNLRHSRDGLDHMEKALQTLRESYTHLLRFDRQQCFLYCALFPGGFKIPKEDLIAYLIDEGVIEKRESREDEFDEGHSLLDRLEDFCLLESVDGGCAVKMPSLLRIMAIRILQKDYQAMVRAGVQLEEVMDAKDWKENLARVSLIENQIKEIPSGHSPRCPRLSTLLLHYNIELRLIGDAFFEQLHELKILDLSYTDILIMPDAVSNLVRLTALLLIGCNKLRHVPSLEKLREMRRLDLYRTALENIPQGLECLSELRYLRMNNCGEKEFPSGILPNLSRLQVFILGWGQYAPMTVKGEEVGCLKKLEALECHLKGHSDFVKFFKSQDKTQSLKTYKIFVGQFEENDGYNVKTCCRKSAGGFGNLSVNKDGDFQITFPNDNQELIVRECSSMESLVSSSWFCSSPLPQPSPSYNGIFSGLKEFYCFGCTSMKKLFPLVFLENLEVIEVSNCEKMEEIIETRSNDEGLKGEESSGSRILKLELLKLKILKLIELPKLKSICNAKLICHSLKVIHIRNCQELKRMPICLPLYESDQPSTRLSLHEIIAYPKEWWDSVLEWEHPYAKNVLGLFVKFQ >Potri.019G130300.3.v4.1 pep chromosome:Pop_tri_v4:19:15288828:15296148:-1 gene:Potri.019G130300.v4.1 transcript:Potri.019G130300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130300.v4.1 MGTKICMNASCQTTTTHEWKRGWPLRSGGHALLCFNCGSAYEDSLFCDTFHSEEPGWRECNICTKRLHCGCIASKFLLELLDYGGVGCSSCARSSRLHSMQSDEIPNGYGSLTRNNAGDLESIPVESTVAANDYDEGGLAQLCRLIEASEPSLLHPSERANANGCLGQFRQEEIRHAIGDIGTGFSNMTLPSVGSSKFTNPDNMSSLLDMRDMHCSLSEPSLSMALGAPSGTTNFAPFPGGAVEGREQGKTPSSFQQGQRSRPILPKPSKPGLLSSSENNKGSASELRIARPPAEGRGKNQLLPRYWPRITDQELQQLSGDLNSNIVPLFEKILSASDAGRIGRLVLPKACAEAYFPPISQSEGIPLKIQDIKGREWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLKAGDTITFSRIDPGGKLVMGFRKSTNNNEDIQDAQASGLPDGTASGETSLSADGYIGWNNSENHGGGINGDLLQQTTAPTEKKRTRNIGPKSKRLLMHSEDAMELRLTWEEAQDLLRPPPSVKPTIVTIEDHEFEEYDEPPVFGKRTIFTSRSPGRQEQWAQCDDCSKWRKLPVDALLPPKWTCSENAWDSSRCTCSVPEEMTPKDLDNLLRVSKDFKKRRILESQKRFQNCEPSGLDALASAAVLGDNLDDSGEPSVGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQSEREAETSQKDNMDRKESEANGTMSHEVLPINNPETEVGQSKTQTEKPETSAGQIDLNCHPNREDMPLDMPGLSTMNLVDLANTPLDNYIKQNGLSSLVWDQEGGQAQHSGESLRRLSDEAFLASIGWAHESRRD >Potri.019G130300.1.v4.1 pep chromosome:Pop_tri_v4:19:15288905:15296288:-1 gene:Potri.019G130300.v4.1 transcript:Potri.019G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130300.v4.1 MGTKICMNASCQTTTTHEWKRGWPLRSGGHALLCFNCGSAYEDSLFCDTFHSEEPGWRECNICTKRLHCGCIASKFLLELLDYGGVGCSSCARSSRLHSMQSDEIPNGYGSLTRNNAGDLESIPVESTVAANDYDEGGLAQLCRLIEASEPSLLHPSERANANGCLGQFRQEEIRHAIGDIGTGFSNMTLPSVGSSKFTNPDNMSSLLDMRDMHCSLSEPSLSMALGAPSGTTNFAPFPGGAVEGREQGKTPSSFQQGQRSRPILPKPSKPGLLSSSENNKGSASELRIARPPAEGRGKNQLLPRYWPRITDQELQQLSGDLNSNIVPLFEKILSASDAGRIGRLVLPKACAEAYFPPISQSEGIPLKIQDIKGREWTFQFRFWPNNNSRMYVLEGVTPCIQSMQLKAGDTITFSRIDPGGKLVMGFRKSTNNNEDIQDAQASGLPDGTASGETSLSADGYIGWNNSENHGGGINGDLLQQTTAPTEKKRTRNIGPKSKRLLMHSEDAMELRLTWEEAQDLLRPPPSVKPTIVTIEDHEFEEYDEPPVFGKRTIFTSRSPGRQEQWAQCDDCSKWRKLPVDALLPPKWTCSENAWDSSRCTCSVPEEMTPKDLDNLLRVSKDFKKRRILESQKRFQNCEPSGLDALASAAVLGDNLDDSGEPSVGATTKHPRHRPGCTCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQSEREAETSQKDNMDRKESEANGTMSHEVLPINNPETEVGQSKTQTEKPETSAGQIDLNCHPNREDMPLDMPGLSTMNLVDLANTPLDNYIKQNGLSSLVWDQEGGQAQHSGESLRRLSDEAFLASIGWAHESRRD >Potri.008G120400.3.v4.1 pep chromosome:Pop_tri_v4:8:7794617:7797846:1 gene:Potri.008G120400.v4.1 transcript:Potri.008G120400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120400.v4.1 MICIKSSANAVGGKTARACDSCIKKRARWYCAADDAFLCQACDSSVHSANPLARRHERVRLKTASLKSLDLCSKENSVPSWHGGFTRKARTPRHGKPVSQSKIAETIRNIPIPLVPEVGSDEISHEDNEEEHLLYRVPIFDPFVADLCASTTISNEAGAIVPAGGNDGTDQRVADSNGVESKILIGAIERRDVESLPGFLPSDMDLAEFAADMESLLGRGLENESFGMEELGLMDCKEEKEFEVKGFPLWNGKVKVEDEENASVERKAVRKCYAGIETDMAKDPIFELSFDYNSSATCGEEDEKVGIEEGDLKNTRGEYEDDDGAKRKILLSLDYEAVMTAWASQGSPWTNGNRPDFDPDECWPDCMGICGAQLHHPYGDMISGLGAHPAMVDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTTLAGK >Potri.017G101100.4.v4.1 pep chromosome:Pop_tri_v4:17:11242265:11260070:1 gene:Potri.017G101100.v4.1 transcript:Potri.017G101100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101100.v4.1 MDNLMDLISFLHSEGVKLRPKVERSHSSCSKANCSAELENAVCHEDEALFGNLFSEGGRSVGSVDGYEQPVVAINSFSSNCNLPMQAATETLSFLKDSVFFHEWSPSIFEDGCKRLQENHIDTLLSILNCQGCCFLEDNSSDSCANLHEQRKTRHIHELCFELLRNLLTHHALSDSLEEYLVEQILKVENDAFAYNDQTLTLLAHTLFSRVGVVGSQLRTKLYEGFAGFIVDKAKVVGSKCPCFKELIANLPSVFHVEILLMAFHLSSTGEKAAHANLIFSSLRAVDAPSVGFSSTQLSCWALLVSRLILLLHHMMFYPRNCPSSFLLDLRSKLREAPICGSLLPNRVNDQLLSWVSIAMKNLLGACAEEEPFVSTLINQLVDISALPPSLCRDELAIESLCLSWNDIYATFSWILGFWKGKRASSVEDLIIERYIFSLCSDIPAMSSAADDQLSLGSEPLAQDISNMAYFFCFSRSLLGHGNNIGKGSNLTDAIVGVLHEICALNIPEDIKELGWDFLRTGSWLSLVLSLFNVGLCRYCMKIKVPGVAPFWIENTASDNQFVAVAEGLTSCLIEAGQVSMLVRMLSTLLNRYLLAYQKAFLAIIDNDQHDVKSFPSLLLLKHSSFDKCLHDEVFKNGTSFCNLDYVFDLLSKLDVVVDKRAPGIQCKVFWECMLHGFPSHLRTPSAVFLSCTLSIRGIIFLLDKLFRVEDLREKVSLETEVMRQILDSVMTVKFDRIFESLQGKCEDIVRNLGTGSELSDYTDLFLMKHMEGFLREINGRGVSDSSIYEWIITKIINTADSLKKDPIKSVIFKFYLGAEDMPEMLKDFCGLQRGDLLVLIDSLDDCCSESVNGKVLSFFVDILSGDFCPDLKQKIRGKFFGMDLHDLSKWLEKRLLGCVVEASEGGNCAKGNSVSFRETTMSFILSLVSSPSEAHLMEHSHLFEAVLASLDTAFLLFDVHIAKSYFHFVVQLSRGEYSMKLLLKRTIMLMEKLAGDEHLLPGLKFLFGFLGSLLSDFGSTTSSLEKSLGKPVLSGSLGAGSVAFKSLGSRKNSDTLVLSANQEGGSSALECDANSVDDEEDDGTSDGEVASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTGSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKARKFTGSDSAPIRNTSNFQSFLPFTADADHLPESDSELDEDAAIDADNSLRLSIPRELQDRMPMLLEEVDVEGQVLQICSSLLSSITSKRDPNLSVDKKVILGKDKVLSYGVELLQLKKAYKSGSLDLKIKADYSNAKELRSHLASGSLFKSLLSVNNRGRLAVGEGDKVAIFDVGQLIGQATTAPVTADKTNVKPLSRNVVRFEIVHLAFNSVAENYLAVAGYEDCHVLTLNPRGEVTDRLAIELALQGAYIRRVDWVPGSQVRLMVVTNRFIKIYDLAQDNISPVHYFTLPNEMIVDATLIMASQGRMFLIVLSEQGNLFRLQLSVEGNVGATPLKEIIAIQDKEINAKGSSLYFSTTYKLLMLSYQDGTTLMGRLSPDATSLTEISFVYEDEQDGRKSPAGLHRWKELLVGSGLFVCFSSMKSNAALAVSLGPHELHSQNMRHTVGSTLLLVGLTAYKPLSKDKVHCLVLHDDGSLQIYSHVPAGADTTASVTAEKVKKLGSGILNKAYAGVKPEFPLDFFEKTVCITADVKLGGDAIRNGDAEAAKHTLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVYVGNISASHIPSDITIFQRAIKLDEGMRSWYDIPFTVAESLLADEEFTISVGPTFNGTALPRIDSLEVYGRAKDEFGWKEKMDAVLDMEDRVLGSNSLLAGSGKKCRSLQSTSVQEQAVSDGLKLLSRIYSLRRSQEDEVKLELSELKCKLLLETIFESDREPLLQAAACCVLQAVFPKKERYYQVKDAMRLHGVVKSTSALSSRLGVGGNTGGWIIEEFTAQMRAVSKIALHRRSNLAFFLEMNGSEVVDGLMQVLWGILDLEQPDTQTLNNIVISSVELIYCYAECLALHRKDTTGHSVAPAVLLFKKLLFSPNEAVRTSSSLAISSRLLQVPFPKQTMLATDDVVDSMVSASGPAETAGGNAQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCENCYQVRDADRLPPPHSRDHPMTAIPIEMESLGGDGNEIHFSTDDASDSSLLPATTDVSMQSSTPSIHVLEPNESGDFSASVTDTVSISASKRAVNSLLLSEFLEQLKGWMETTSGVRAIPVMQLFYRLSSAAGGPFVNSSKPETLDLEKLIRWFLDEIDLNKPFVARTRSTFGEVAILVFMFFTLMLRNWHQPGSDASVPKSSGNTETHDKNIMQAASVASQYTLECQEKNDFASQLLQACSSLRNQNFVNYLMDILQQLVHVFKSSTANFEATHGVNTSSGCGALLTVRRDLPAGNFAPFFSDSYAKAHRSDIFMDYHRLLLENAFRLVYTLVRPEKQDKTGEKEKVYKISSAKDLKLDGYQDVLCNYINNPHTAFVRRYARRLFLHLCGSKTHYYSVRDSWQFSSEVKKFYKHINKSGGLQSPISYERSVKIVKCLSTMAEVAAARPRNWQKYCLKHGDVLSFLMNGVFYFGEEFVIQTLKLLNLAFYSGKDMSHSLQKAESGDSGTSTNKSVAQALDSKKKKKGEDGTESGLEKSFLDMEAVVDIFSDKGGDVLGQFVDCFLLEWNSSSVRTEAKSVLYGAWHHGKQPFKETMLMALLQKVKNLPMYGQNIVEFTELVTWLLGKAPDNSSKQQSTGLIDRCLTPDVIRCIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDSMENDDDMKRGLAAIELESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKQSDGAVAASRFVISRSPNNCYGCATTFVTQCLEILQVLSKHPNLKKQLVTAGILSELFENNIHQGPKAARVQARAVLCAFSEGDINAVTELNSLIQKKVMYCLEHHRSMDIALATREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAIAEHIILPCLRIISQACTPPKPDTVDKEQGTGKSVSAAQLKDENNASGSGSLSGFVSGNKSAPEHTEKNWDASKKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGLGQRSRTQRNEYLALKYGLRWKRRASKTSKGGLFAFELGSWVTELVLSACSQSIRSEMCMLINLLCAQSTSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMVDSEDARLFLTVRGCLTSICKLITQEVGNVESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSSFMRNNLLSDVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIHACICGLQIHGEERKGRACLFILEQLCNLICPSKPESLYLLVLNKAHTQEEFIRGSMTKNPYSSTEVGPLMRDVKNKICNQLDLLALLEDDYAMELLVAGNIISLDLSVAQVYEQVWKKSNSQSSNAVANSTLLSASAVTSARDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVRDCGGLEILLGMIKRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDNINIAQSALTVSSEETGTGEQAKKIVVMFLERLCHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPNLQDWREFDQLQKQHQENPKDENIAQKAAKQRFTVENFVRVSESLKTSSCGERLKDIILEKGIIDVAVRHLRDSFAVTGQAGFKSSAEWSLGLKLPSVPHILSMLRGLSMGHLATQRSIDEGGILPLLHALEGVAGENEIGARAENLLDTLSNKEGEGYGFLEEKVCTLRRATRDEMRRRALRKREELLQVMSCPTNCYAMLYCFSDGCVSL >Potri.017G101100.5.v4.1 pep chromosome:Pop_tri_v4:17:11242265:11256605:1 gene:Potri.017G101100.v4.1 transcript:Potri.017G101100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101100.v4.1 MDNLMDLISFLHSEGVKLRPKVERSHSSCSKANCSAELENAVCHEDEALFGNLFSEGGRSVGSVDGYEQPVVAINSFSSNCNLPMQAATETLSFLKDSVFFHEWSPSIFEDGCKRLQENHIDTLLSILNCQGCCFLEDNSSDSCANLHEQRKTRHIHELCFELLRNLLTHHALSDSLEEYLVEQILKVENDAFAYNDQTLTLLAHTLFSRVGVVGSQLRTKLYEGFAGFIVDKAKVVGSKCPCFKELIANLPSVFHVEILLMAFHLSSTGEKAAHANLIFSSLRAVDAPSVGFSSTQLSCWALLVSRLILLLHHMMFYPRNCPSSFLLDLRSKLREAPICGSLLPNRVNDQLLSWVSIAMKNLLGACAEEEPFVSTLINQLVDISALPPSLCRDELAIESLCLSWNDIYATFSWILGFWKGKRASSVEDLIIERYIFSLCSDIPAMSSAADDQLSLGSEPLAQDISNMAYFFCFSRSLLGHGNNIGKGSNLTDAIVGVLHEICALNIPEDIKELGWDFLRTGSWLSLVLSLFNVGLCRYCMKIKVPGVAPFWIENTASDNQFVAVAEGLTSCLIEAGQVSMLVRMLSTLLNRYLLAYQKAFLAIIDNDQHDVKSFPSLLLLKHSSFDKCLHDEVFKNGTSFCNLDYVFDLLSKLDVVVDKRAPGIQCKVFWECMLHGFPSHLRTPSAVFLSCTLSIRGIIFLLDKLFRVEDLREKVSLETEVMRQILDSVMTVKFDRIFESLQGKCEDIVRNLGTGSELSDYTDLFLMKHMEGFLREINGRGVSDSSIYEWIITKIINTADSLKKDPIKSVIFKFYLGAEDMPEMLKDFCGLQRGDLLVLIDSLDDCCSESVNGKVLSFFVDILSGDFCPDLKQKIRGKFFGMDLHDLSKWLEKRLLGCVVEASEGGNCAKGNSVSFRETTMSFILSLVSSPSEAHLMEHSHLFEAVLASLDTAFLLFDVHIAKSYFHFVVQLSRGEYSMKLLLKRTIMLMEKLAGDEHLLPGLKFLFGFLGSLLSDFGSTTSSLEKSLGKPVLSGSLGAGSVAFKSLGSRKNSDTLVLSANQEGGSSALECDANSVDDEEDDGTSDGEVASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTGSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKARKFTGSDSAPIRNTSNFQSFLPFTADADHLPESDSELDEDAAIDADNSLRLSIPRELQDRMPMLLEEVDVEGQVLQICSSLLSSITSKRDPNLSVDKKVILGKDKVLSYGVELLQLKKAYKSGSLDLKIKADYSNAKELRSHLASGSLFKSLLSVNNRGRLAVGEGDKVAIFDVGQLIGQATTAPVTADKTNVKPLSRNVVRFEIVHLAFNSVAENYLAVAGYEDCHVLTLNPRGEVTDRLAIELALQGAYIRRVDWVPGSQVRLMVVTNRFIKIYDLAQDNISPVHYFTLPNEMIVDATLIMASQGRMFLIVLSEQGNLFRLQLSVEGNVGATPLKEIIAIQDKEINAKGSSLYFSTTYKLLMLSYQDGTTLMGRLSPDATSLTEISFVYEDEQDGRKSPAGLHRWKELLVGSGLFVCFSSMKSNAALAVSLGPHELHSQNMRHTVGSTLLLVGLTAYKPLSKDKVHCLVLHDDGSLQIYSHVPAGADTTASVTAEKVKKLGSGILNKAYAGVKPEFPLDFFEKTVCITADVKLGGDAIRNGDAEAAKHTLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVYVGNISASHIPSDITIFQRAIKLDEGMRSWYDIPFTVAESLLADEEFTISVGPTFNGTALPRIDSLEVYGRAKDEFGWKEKMDAVLDMEDRVLGSNSLLAGSGKKCRSLQSTSVQEQAVSDGLKLLSRIYSLRRSQEDEVKLELSELKCKLLLETIFESDREPLLQAAACCVLQAVFPKKERYYQVKDAMRLHGVVKSTSALSSRLGVGGNTGGWIIEEFTAQMRAVSKIALHRRSNLAFFLEMNGSEVVDGLMQVLWGILDLEQPDTQTLNNIVISSVELIYCYAECLALHRKDTTGHSVAPAVLLFKKLLFSPNEAVRTSSSLAISSRLLQVPFPKQTMLATDDVVDSMVSASGPAETAGGNAQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCENCYQVRDADRLPPPHSRDHPMTAIPIEMESLGGDGNEIHFSTDDASDSSLLPATTDVSMQSSTPSIHVLEPNESGDFSASVTDTVSISASKRAVNSLLLSEFLEQLKGWMETTSGVRAIPVMQLFYRLSSAAGGPFVNSSKPETLDLEKLIRWFLDEIDLNKPFVARTRSTFGEVAILVFMFFTLMLRNWHQPGSDASVPKSSGNTETHDKNIMQAASVASQYTLECQEKNDFASQLLQACSSLRNQNFVNYLMDILQQLVHVFKSSTANFEATHGVNTSSGCGALLTVRRDLPAGNFAPFFSDSYAKAHRSDIFMDYHRLLLENAFRLVYTLVRPEKQDKTGEKEKVYKISSAKDLKLDGYQDVLCNYINNPHTAFVRRYARRLFLHLCGSKTHYYSVRDSWQFSSEVKKFYKHINKSGGLQSPISYERSVKIVKCLSTMAEVAAARPRNWQKYCLKHGDVLSFLMNGVFYFGEEFVIQTLKLLNLAFYSGKDMSHSLQKAESGDSGTSTNKSVAQALDSKKKKKGEDGTESGLEKSFLDMEAVVDIFSDKGGDVLGQFVDCFLLEWNSSSVRTEAKSVLYGAWHHGKQPFKETMLMALLQKVKNLPMYGQNIVEFTELVTWLLGKAPDNSSKQQSTGLIDRCLTPDVIRCIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDSMENDDDMKRGLAAIELESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKQSDGAVAASRFVISRSPNNCYGCATTFVTQCLEILQVLSKHPNLKKQLVTAGILSELFENNIHQGPKAARVQARAVLCAFSEGDINAVTELNSLIQKKVMYCLEHHRSMDIALATREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAIAEHIILPCLRIISQACTPPKPDTVDKEQGTGKSVSAAQLKDENNASGSGSLSGFVSGNKSAPEHTEKNWDASKKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGLGQRSRTQRNEYLALKYGLRWKRRASKTSKGGLFAFELGSWVTELVLSACSQSIRSEMCMLINLLCAQSTSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMVDSEDARLFLTVRGCLTSICKLITQEVGNVESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSSFMRNNLLSDVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIHACICGLQIHGEERKGRACLFILEQLCNLICPSKPESLYLLVLNKAHTQEEFIRGSMTKNPYSSTEVGPLMRDVKNKICNQLDLLALLEDDYAMELLVAGNIISLDLSVAQVYEQVWKKSNSQSSNAVANSTLLSASAVTSARDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVRDCGGLEILLGMIKVSMNVP >Potri.017G101100.2.v4.1 pep chromosome:Pop_tri_v4:17:11235450:11260113:1 gene:Potri.017G101100.v4.1 transcript:Potri.017G101100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101100.v4.1 MEADDLSVLHKSLFEDKLSATDLFLQKLRSDTSIKSALQRFYSILKRGVSLIDDDANNSERKLGFQLWTDSQIQSVVSLGIAIVSSSRSLSVEQAEPIVVAVVNQLVEFAVCYLEKSEFSGNDFSIQNNMAVLMELALVDVVDKVTNTLQSCSENSILELPMVSGDCCGIELDDHIKCSLQGVGCSIGEKPVDRLLMKLKSECIQPEWQASGISGHDKDLNNLIFLSQHWAVVHVDCVRRLMSCCHKLIELPDMPGEKIAGPDFCNRLSVGLRILKLLRNLIKDVPYIEYDASMLQEAASCADAFPKLFRLQFDFVNSHTAVEGNLESIILSLLEEFLHVVQVIFCNASAFQNIQACVVASILDNLDSSIWRDDKSATNIKPPLVYFPRTVLYVINLILDIKRQAHQALDLKEFDTDLVGSSAEFLHDCPSCLAHFERVPLLKRFTADELLRIIFSPSTQWMDNLMDLISFLHSEGVKLRPKVERSHSSCSKANCSAELENAVCHEDEALFGNLFSEGGRSVGSVDGYEQPVVAINSFSSNCNLPMQAATETLSFLKDSVFFHEWSPSIFEDGCKRLQENHIDTLLSILNCQGCCFLEDNSSDSCANLHEQRKTRHIHELCFELLRNLLTHHALSDSLEEYLVEQILKVENDAFAYNDQTLTLLAHTLFSRVGVVGSQLRTKLYEGFAGFIVDKAKVVGSKCPCFKELIANLPSVFHVEILLMAFHLSSTGEKAAHANLIFSSLRAVDAPSVGFSSTQLSCWALLVSRLILLLHHMMFYPRNCPSSFLLDLRSKLREAPICGSLLPNRVNDQLLSWVSIAMKNLLGACAEEEPFVSTLINQLVDISALPPSLCRDELAIESLCLSWNDIYATFSWILGFWKGKRASSVEDLIIERYIFSLCSDIPAMSSAADDQLSLGSEPLAQDISNMAYFFCFSRSLLGHGNNIGKGSNLTDAIVGVLHEICALNIPEDIKELGWDFLRTGSWLSLVLSLFNVGLCRYCMKIKVPGVAPFWIENTASDNQFVAVAEGLTSCLIEAGQVSMLVRMLSTLLNRYLLAYQKAFLAIIDNDQHDVKSFPSLLLLKHSSFDKCLHDEVFKNGTSFCNLDYVFDLLSKLDVVVDKRAPGIQCKVFWECMLHGFPSHLRTPSAVFLSCTLSIRGIIFLLDKLFRVEDLREKVSLETEVMRQILDSVMTVKFDRIFESLQGKCEDIVRNLGTGSELSDYTDLFLMKHMEGFLREINGRGVSDSSIYEWIITKIINTADSLKKDPIKSVIFKFYLGAEDMPEMLKDFCGLQRGDLLVLIDSLDDCCSESVNGKVLSFFVDILSGDFCPDLKQKIRGKFFGMDLHDLSKWLEKRLLGCVVEASEGGNCAKGNSVSFRETTMSFILSLVSSPSEAHLMEHSHLFEAVLASLDTAFLLFDVHIAKSYFHFVVQLSRGEYSMKLLLKRTIMLMEKLAGDEHLLPGLKFLFGFLGSLLSDFGSTTSSLEKSLGKPVLSGSLGAGSVAFKSLGSRKNSDTLVLSANQEGGSSALECDANSVDDEEDDGTSDGEVASIDKDEEEDTNSERALASKVCTFTSSGSNFMEQHWYFCYTCDLTGSKGCCSVCAKVCHRGHRVVYSRSSRFFCDCGAGGVRGSSCQCLKARKFTGSDSAPIRNTSNFQSFLPFTADADHLPESDSELDEDAAIDADNSLRLSIPRELQDRMPMLLEEVDVEGQVLQICSSLLSSITSKRDPNLSVDKKVILGKDKVLSYGVELLQLKKAYKSGSLDLKIKADYSNAKELRSHLASGSLFKSLLSVNNRGRLAVGEGDKVAIFDVGQLIGQATTAPVTADKTNVKPLSRNVVRFEIVHLAFNSVAENYLAVAGYEDCHVLTLNPRGEVTDRLAIELALQGAYIRRVDWVPGSQVRLMVVTNRFIKIYDLAQDNISPVHYFTLPNEMIVDATLIMASQGRMFLIVLSEQGNLFRLQLSVEGNVGATPLKEIIAIQDKEINAKGSSLYFSTTYKLLMLSYQDGTTLMGRLSPDATSLTEISFVYEDEQDGRKSPAGLHRWKELLVGSGLFVCFSSMKSNAALAVSLGPHELHSQNMRHTVGSTLLLVGLTAYKPLSKDKVHCLVLHDDGSLQIYSHVPAGADTTASVTAEKVKKLGSGILNKAYAGVKPEFPLDFFEKTVCITADVKLGGDAIRNGDAEAAKHTLASEDGFLESPSPAGFKISVSNSNPDIVMVGFRVYVGNISASHIPSDITIFQRAIKLDEGMRSWYDIPFTVAESLLADEEFTISVGPTFNGTALPRIDSLEVYGRAKDEFGWKEKMDAVLDMEDRVLGSNSLLAGSGKKCRSLQSTSVQEQAVSDGLKLLSRIYSLRRSQEDEVKLELSELKCKLLLETIFESDREPLLQAAACCVLQAVFPKKERYYQVKDAMRLHGVVKSTSALSSRLGVGGNTGGWIIEEFTAQMRAVSKIALHRRSNLAFFLEMNGSEVVDGLMQVLWGILDLEQPDTQTLNNIVISSVELIYCYAECLALHRKDTTGHSVAPAVLLFKKLLFSPNEAVRTSSSLAISSRLLQVPFPKQTMLATDDVVDSMVSASGPAETAGGNAQVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCENCYQVRDADRLPPPHSRDHPMTAIPIEMESLGGDGNEIHFSTDDASDSSLLPATTDVSMQSSTPSIHVLEPNESGDFSASVTDTVSISASKRAVNSLLLSEFLEQLKGWMETTSGVRAIPVMQLFYRLSSAAGGPFVNSSKPETLDLEKLIRWFLDEIDLNKPFVARTRSTFGEVAILVFMFFTLMLRNWHQPGSDASVPKSSGNTETHDKNIMQAASVASQYTLECQEKNDFASQLLQACSSLRNQNFVNYLMDILQQLVHVFKSSTANFEATHGVNTSSGCGALLTVRRDLPAGNFAPFFSDSYAKAHRSDIFMDYHRLLLENAFRLVYTLVRPEKQDKTGEKEKVYKISSAKDLKLDGYQDVLCNYINNPHTAFVRRYARRLFLHLCGSKTHYYSVRDSWQFSSEVKKFYKHINKSGGLQSPISYERSVKIVKCLSTMAEVAAARPRNWQKYCLKHGDVLSFLMNGVFYFGEEFVIQTLKLLNLAFYSGKDMSHSLQKAESGDSGTSTNKSVAQALDSKKKKKGEDGTESGLEKSFLDMEAVVDIFSDKGGDVLGQFVDCFLLEWNSSSVRTEAKSVLYGAWHHGKQPFKETMLMALLQKVKNLPMYGQNIVEFTELVTWLLGKAPDNSSKQQSTGLIDRCLTPDVIRCIFETLHSQNELIANHPNSRIYNTLSGLVEFDGYYLESEPCVACSSPEVPYSRMKLESLKSETKFTDNRIIVKCTGSYTIQTVTMNVHDARKSKSVKVLNLYYNNRPVADLSELKNNWSLWKRAKSCHLAFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICGNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFTFDSMENDDDMKRGLAAIELESENAHRRYQQLLGFKKPLLKIVSSIGENEMDSQQKDSVQQMMVSLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKQSDGAVAASRFVISRSPNNCYGCATTFVTQCLEILQVLSKHPNLKKQLVTAGILSELFENNIHQGPKAARVQARAVLCAFSEGDINAVTELNSLIQKKVMYCLEHHRSMDIALATREELLLLSEVCSLADEFWESRLRVVFQLLFSSIKLGAKHPAIAEHIILPCLRIISQACTPPKPDTVDKEQGTGKSVSAAQLKDENNASGSGSLSGFVSGNKSAPEHTEKNWDASKKTQDIQLLSYSEWEKGASYLDFVRRQYKVSQAVKGLGQRSRTQRNEYLALKYGLRWKRRASKTSKGGLFAFELGSWVTELVLSACSQSIRSEMCMLINLLCAQSTSRRFRLLNLLMALLPATLAAGESAAEYFELLFKMVDSEDARLFLTVRGCLTSICKLITQEVGNVESLERSLHIDISQGFILHKLIELLGKFLEVPNIRSSFMRNNLLSDVLEALIVIRGLIVQKTKLISDCNRLLKDLLDSLLLESSENKRQFIHACICGLQIHGEERKGRACLFILEQLCNLICPSKPESLYLLVLNKAHTQEEFIRGSMTKNPYSSTEVGPLMRDVKNKICNQLDLLALLEDDYAMELLVAGNIISLDLSVAQVYEQVWKKSNSQSSNAVANSTLLSASAVTSARDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEVEFAIAGAVRDCGGLEILLGMIKRLRDDFKSNQEQLVAVLNLLMHCCKIRENRRALLRLGALGLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDNINIAQSALTVSSEETGTGEQAKKIVVMFLERLCHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIQHFNPNLQDWREFDQLQKQHQENPKDENIAQKAAKQRFTVENFVRVSESLKTSSCGERLKDIILEKGIIDVAVRHLRDSFAVTGQAGFKSSAEWSLGLKLPSVPHILSMLRGLSMGHLATQRSIDEGGILPLLHALEGVAGENEIGARAENLLDTLSNKEGEGYGFLEEKVCTLRRATRDEMRRRALRKREELLQGLGMRQELASDGGERIVVARPILEGLEDVEEEEDGLACMVCREGYSLRPTDLLGVYSFSKRVNLGVGSSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGATLRNNESLCNSLFPVNGPSVPLAQYIRYVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGASFSAECRGGGRESNSRFLPFMIQMARHLLEQGSPSQRHSMGKAVSSYIASSSLDFRPSTPVAQPALGTEETVQFMMVNSLLSESYESWLQHRRSFLQRGIYHAYMQHTHGRSSSRASPTSSSTVRIESGSPSGSPATEKGGADELFSIVRPMLVYAGVIEQLQHFFKVKRSSNVPPAGAEGTSTGSEGEDEGGSLEGWEIIMKERLLNVREMVGFSKELMSWLDEMNSATDLQEAFDIIGVLADVLSGGIARCEDFVHAAINAGKS >Potri.013G049100.4.v4.1 pep chromosome:Pop_tri_v4:13:3518333:3523129:1 gene:Potri.013G049100.v4.1 transcript:Potri.013G049100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049100.v4.1 MKLLKLVALFTLFSLNLISYTCLAASIQRSQRTEEAYVTLLYGDEFLLGVRVLGKSIRDTGSTKDIVVLVSDGVSDYAKKLLLADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIEDLFKCAKFCANLKHSERLNSGVMVVEPSETVFNNMMSKVTTLPSYTGGDQGFLNSYYEEFPNAHVFQPGLPEEVRKSRPVPDMERLSTLYNADVGLYMLANKWMVDENELRVIHYTLGPLKPWDWWTSWLLKPVDVWQRVREQLDESLPGTGGGKNPKDELLVKVLFLLPLCLVLLCYYRSFLQTRAFCRGSLCDHIRHLYYKIRSNGPLAYTGISSSSAGNSTYQFSNDAQSKVPAYLGGVSIFMCFMALLIALGFGLSIVPRQVMPWTGLLLMYEWTFTIFFLLFGGFLHLIYIWGKRMATQAASLSPHSESLAYDSRKGHRQGSTCDVAAWYYGLGMALLAVGAPSLPCIFGVTALFLRLGLMVAGGLVLASFMTYASEHLAIRSFLKGFEDRDTARARNECFLF >Potri.013G049100.5.v4.1 pep chromosome:Pop_tri_v4:13:3518339:3523373:1 gene:Potri.013G049100.v4.1 transcript:Potri.013G049100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049100.v4.1 MKLLKLVALFTLFSLNLISYTCLAASIQRSQRTEEAYVTLLYGDEFLLGVRVLGKSIRDTGSTKDIVVLVSDGVSDYAKKLLLADGWIVEKISLLANPNQVRPKRFWGVYTKLKIFNMTNYKKVVYLDADTIVVKSIEDLFKCAKFCANLKHSERLNSGVMVVEPSETVFNNMMSKVTTLPSYTGGDQGFLNSYYEEFPNAHVFQPGLPEEVRKSRPVPDMERLSTLYNADVGLYMLANKWMVDENELRVIHYTLGPLKPWDWWTSWLLKPVDVWQRVREQLDESLPGTGGGKNPKDELLVKVLFLLPLCLVLLCYYRSFLQTRAFCRGSLCDHIRHLYYKIRSNGPLAYTGISSSSAGNSTYQFSNDAQSKVPAYLGGVSIFMCFMALLIALGFGLSIVPRQVMPWTGLLLMYEWTFTIFFLLFGGFLHLIYIWGKRMATQAASLSPHSESLAYDSRKGHRQGSTCDVAAWYYGLGMALLAVGAPSLPCIFGVTALFLRLGLMVAGGLVLASFMTYASEHLAIRSFLKGFEDRDTARARNECFLF >Potri.008G034900.1.v4.1 pep chromosome:Pop_tri_v4:8:1899520:1902760:1 gene:Potri.008G034900.v4.1 transcript:Potri.008G034900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034900.v4.1 MAAALAFSITNRFLNSRALLFPSVSSNPFVISKISTVSHLSTKDAGHHMKLKHPSRASAEGIPSELMDEDSKFVPLNAEDSAYGPPALLLLGFEVGEAVKIRELLKELDGEFLKVIFCTEDMIPRSLWEAMNTSQTNLETVKIAKSLPRICFLSGLSGEEMMMFIDAFPEKGLEPAVFAALVPNSADKPLEELIEEIMGDHEMLAANQPGSTEAKSDST >Potri.006G186800.3.v4.1 pep chromosome:Pop_tri_v4:6:19396738:19400905:-1 gene:Potri.006G186800.v4.1 transcript:Potri.006G186800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186800.v4.1 MESAKSAQKAWAKTPLWKRAELLHKAAAILKEHKAPIAECLIKEIAKPAKDSVTEVVRSGDLISYTAEEGVRILGEGKFLVSDSFPGNDRTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAIKVVLVMESVADALVEKVKARVAKLRVGPPENDCDITPVVTESSANFIEGLVMDAKEKGATFCQQYKREGNLIWPLLLDNVRPDMRIAWEEPFGPILPVVRINSVEEGIHHCNASNFGLQGCVFTKDINRAMLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMG >Potri.006G186800.2.v4.1 pep chromosome:Pop_tri_v4:6:19396316:19401672:-1 gene:Potri.006G186800.v4.1 transcript:Potri.006G186800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186800.v4.1 MAGTGMFSEILDGDLYKYYSDGEWKKSSSGKTVSIVNPTTRKTQYKVQACTQEEVNKVMESAKSAQKAWAKTPLWKRAELLHKAAAILKEHKAPIAECLIKEIAKPAKDSVTEVVRSGDLISYTAEEGVRILGEGKFLVSDSFPGNDRTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGIAISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAIKVVLVMESVADALVEKVKARVAKLRVGPPENDCDITPVVTESSANFIEGLVMDAKEKGATFCQQYKREGNLIWPLLLDNVRPDMRIAWEEPFGPILPVVRINSVEEGIHHCNASNFGLQGCVFTKDINRAMLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKVKTTVINLPSPSYTMG >Potri.006G205700.2.v4.1 pep chromosome:Pop_tri_v4:6:21394195:21397837:-1 gene:Potri.006G205700.v4.1 transcript:Potri.006G205700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205700.v4.1 MTLGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTVIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLSNWQRDYTMEDILTQLKKEMTAPHNRKLVQPPEGTYF >Potri.019G062800.6.v4.1 pep chromosome:Pop_tri_v4:19:10299971:10304889:1 gene:Potri.019G062800.v4.1 transcript:Potri.019G062800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062800.v4.1 MTMSSTVSTSQGFLPQARHGSFESSNVLASGSLGQPELESNGSNEVELISASWNQDYGCFAAGTSHGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGADANSQYPPNKVLIWDDHQSRCIGEFSFRSEVRSVKLRRDRIVVVLEHKLYVYNFMDLKLLHQIETLANPRGLCCLSHDSNTFVLACPGLHRGQVRIEHFGLNVMKLINAHDSHIACLTLTMDGLLLATASTRGTLIRIFNTMDGTRLQEVRRGVDRAEIYGIALSRNVQWLAVSSDKGTVHIFSLRVRVAGEDSSSHLSPAQGPALLEQNSSSSLDGLISPSTSANPGSSLSFMRGVLPKYFSSEWSFAQFHLPEDTQYIAAFASQNTVIIVGMNGSFYRCSFDPVHGGQMLQQEYVRFLQTESRPG >Potri.019G062800.4.v4.1 pep chromosome:Pop_tri_v4:19:10299952:10305165:1 gene:Potri.019G062800.v4.1 transcript:Potri.019G062800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062800.v4.1 MTMSSTVSTSQGFLPQARHGSFESSNVLASGSLGQPELESNGSNEVELISASWNQDYGCFAAGTSHGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGADANSQYPPNKVLIWDDHQSRCIGEFSFRSEVRSVKLRRDRIVVVLEHKLYVYNFMDLKLLHQIETLANPRGLCCLSHDSNTFVLACPGLHRGQVRIEHFGLNVMKLINAHDSHIACLTLTMDGLLLATASTRGTLIRIFNTMDGTRLQEVRRGVDRAEIYGIALSRNVQWLAVSSDKGTVHIFSLRVRVAGEDSSSHLSPAQGPALLEQNSSSSLDGLISPSTSANPGSSLSFMRGVLPKYFSSEWSFAQFHLPEDTQYIAAFASQNTVIIVGMNGSFYRCSFDPVHGGQMLQQEYVRFLQTESRPG >Potri.019G062800.7.v4.1 pep chromosome:Pop_tri_v4:19:10300108:10304821:1 gene:Potri.019G062800.v4.1 transcript:Potri.019G062800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062800.v4.1 MTMSSTVSTSQGFLPQARHGSFESSNVLASGSLGQPELESNGSNEVELISASWNQDYGCFAAGTSHGFRIYNCEPFKETFRRDLKSGGFKIVEMLFRCNILALVGADANSQYPPNKVLIWDDHQSRCIGEFSFRSEVRSVKLRRDRIVVVLEHKLYVYNFMDLKLLHQIETLANPRGLCCLSHDSNTFVLACPGLHRGQVRIEHFGLNVMKLINAHDSHIACLTLTMDGLLLATASTRGTLIRIFNTMDGTRLQEVRRGVDRAEIYGIALSRNVQWLAVSSDKGTVHIFSLRVRVAGEDSSSHLSPAQGPALLEQNSSSSLDGLISPSTSANPGSSLSFMRGVLPKYFSSEWSFAQFHLPEDTQYIAAFASQNTVIIVGMNGSFYRCSFDPVHGGQMLQQEYVRFLQTESRPG >Potri.014G114900.3.v4.1 pep chromosome:Pop_tri_v4:14:7729580:7734605:1 gene:Potri.014G114900.v4.1 transcript:Potri.014G114900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114900.v4.1 MDDSCAVCAEVLEWVAYGACGHREVCSTCVARLRFICDDRRCCICKTESSVVFVTKALGDYTRLINDFSVLPSEPKEGRIGSYWYHEDTQAFFDDVDHYKMIKAMCKLSCSLCDKEESNDGSKRRGKFRNINQLKGHLFHQHKLHMCSLCLEGRKVFLCEQKLYARAQLNQHISTGDSEVDGSESERGGFMGHPMCEFCKKPFYGDNELYTHMSTEHYTCHLCLRQHPGQYEYYKNYDDLEIHFCRDHFLCDDEECLAKKFIVFQTEAELKRHNTIEHAGHMSRSQRNAALQIPTSFRYRRSNEQDNRRGRGRTFCRDQSDNQLSIVIQASLETAHSESTSRDRSARAQVISDHVDSNDIDAIVQPFESLTATDPETTSRYLQALGHSSSNATLQESSFPPLFTIPTSGQQSSKDESEGLPNNTMAAHLRRQANRNVTLINSPQQWPTASHGHVSSSSALYRPTLNALPLSSRSSAGGPGLSSYASSIQAQSQARPLVVRGHLPAGLLGSSGSTGRMSHSSSAPNLAETGSLKPSISDFPPVSAVQMHKMPSSNQGVLNVEDVQTANKSLVERIRAALENDEDRYALFKDISGQYRQGSIGTGEYLDYVQQFGLSHLIPELARLCPDAQKQKELVDTYNASLRSNGKKENGWGRGSTHLKGTTNGSTKKGKGIAVEDSSSKDRLADSFINSVRALQSNYKPVEEEVQLSKDGYRTAKGKSNVIHNELQMEPRIQNDSLSSKNIKDGGNEKQRKKTSKFHRVRLGDGSMAALLDLQSSDPDPHNTGENRIDDNGNSGPVRGVWRKGGGQKLFS >Potri.014G114900.1.v4.1 pep chromosome:Pop_tri_v4:14:7729549:7734624:1 gene:Potri.014G114900.v4.1 transcript:Potri.014G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114900.v4.1 MDDSCAVCAEVLEWVAYGACGHREVCSTCVARLRFICDDRRCCICKTESSVVFVTKALGDYTRLINDFSVLPSEPKEGRIGSYWYHEDTQAFFDDVDHYKMIKAMCKLSCSLCDKEESNDGSKRRGKFRNINQLKGHLFHQHKLHMCSLCLEGRKVFLCEQKLYARAQLNQHISTGDSEVDGSESERGGFMGHPMCEFCKKPFYGDNELYTHMSTEHYTCHLCLRQHPGQYEYYKNYDDLEIHFCRDHFLCDDEECLAKKFIVFQTEAELKRHNTIEHAGHMSRSQRNAALQIPTSFRYRRSNEQDNRRGRGRTFCRDQSDNQLSIVIQASLETAHSESTSRDRSARAQVISDHVDSNDIDAIVQPFESLTATDPETTSRYLQALGHSSSNATLQESSFPPLFTIPTSGQQSSKDESEGLPNNTMAAHLRRQANRNVTLINSPQQWPTASHGHVSSSSALYRPTLNALPLSSRSSAGGPGLSSYASSIQAQSQARPLVVRGHLPAGLLGSSGSTGRMSHSSSAPNLAETGSLKPSISDFPPVSAVQMHKMPSSNQGVLNVEDVQTANKSLVERIRAALENDEDRYALFKDISGQYRQGSIGTGEYLDYVQQFGLSHLIPELARLCPDAQKQKELVDTYNASLRSNGKKENGWGRGSTHLKGTTNGSTKKGKGIAVEDSSSKDRLADSFINSVRALQSNYKPVEEEVQLSKDGYRTAKGKSNVIHNELQMEPRIQNDSLSSKNIKDGGNEKQRKKTSKFHRVRLGDGSMAALLDLQSSDPDPHNTGENRIDDNGNSGPVRGVWRKGGGQKLFS >Potri.005G147100.1.v4.1 pep chromosome:Pop_tri_v4:5:12266133:12267896:-1 gene:Potri.005G147100.v4.1 transcript:Potri.005G147100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147100.v4.1 MGCLDDGCSTGLVLGLGLIPLTDLESTSKPDDYSNRLIRPQIKPSLKFDHKPLTSTSFEPSLSLVLSSETYSHGSRQKTMDGEKGCEESIVAHDLLYRQASPDQSAVSSFSSGRVKRERDLGCEDIEVERISSRVSDEDEDGTNARKKLRLTKEQSALLEESFKQHSNLNPKQKEALARQLNLRPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCEALTDENRRLQKELQELKALKLAQPFYMHMPAATLTMCPSCERIGGGGDGASKSSFSMVPKPHFYNTFTNPSAAC >Potri.002G066200.1.v4.1 pep chromosome:Pop_tri_v4:2:4570775:4573013:-1 gene:Potri.002G066200.v4.1 transcript:Potri.002G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066200.v4.1 MSHRKFEHPRHGSLGFLPRKRAARHRGKVKSFPKDDPNKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYLKTPSGLRTLNTVWAQHLSEEVKRRFYKNWCKSKKRAFNKYSKQYETDEGKKSIQSQLEKLKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKTGQECHTAITEYDRTEKDITPIGGFPHYGVVKDDYLMIKGCCVGPKKRVVTLRQTLLKQTSRLAHEEIKLKFVDTSSKFGHGRFQTTQEKQKFYGRLKA >Potri.002G066200.4.v4.1 pep chromosome:Pop_tri_v4:2:4570709:4573750:-1 gene:Potri.002G066200.v4.1 transcript:Potri.002G066200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066200.v4.1 MSHRKFEHPRHGSLGFLPRKRAARHRGKVKSFPKDDPNKPCKLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVIVGVVGYLKTPSGLRTLNTVWAQHLSEEVKRRFYKNWCKSKKRAFNKYSKQYETDEGKKSIQSQLEKLKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQVPIDAVFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKTGQECHTAITEYDRTEKDITPIGGFPHYGVVKDDYLMIKGCCVGPKKRVVTLRQTLLKQTSRLAHEEIKLKFVDTSSKFGHGRFQTTQEKQKFYGRLKA >Potri.016G127101.1.v4.1 pep chromosome:Pop_tri_v4:16:13052479:13055647:-1 gene:Potri.016G127101.v4.1 transcript:Potri.016G127101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127101.v4.1 MPSYVRFLTMRMVLLFLLSFLHHRACHSSPSMQPLCHAEEGNALLQFKESLVIHRSASSDPSAYPKVASWKVDGESGDCCSWDGVECDRDSGHVIGLDLSSSCLYGSIDSNSSLFHLVQLRRLNLADNDFNNSKIPSEIRNLSRLFDLDLSYSSFSGQIPEEVLELSKLVFLDLGVNPLKLQKPCLQDLVEALTNLEVLHLSRVEISSKVPQIMANLSSLSSLFLRDCGLQGEFPMGIFQLPNLRFLSIRFNPYLMGYLPEFHRGSHLELLLLAGTSFSSQLPESIGNLKSLKEFDVAKCYFSGVIPSSLGNLTKLNYLDLSHNSFSGKIPSTFVNLLQLTYLSLSSNNFSSGTLHWLCNLTKLTFVGLNRTNSYGEIPSCLGNLTQLTELILNANELTGQIPSWIGNKTQLISLYLAHNKLHGPISESIFRLPNLETLDLEENLFSGTVEFGLLKSRSLVSFQLSDNNLSVIGNHNDSAALPKIQILGLGGCNLSGEFPSFLHGQNHLEFVELGGNKIEGHIPTWFMNLGTETLWHLDLIGNLLTGFEQSVDILPWNNLRYLRLSFNKLDGALPIPPHSIIIYIVSDNHLNGEIPPAICNLTSLVILQLSNNNLSGKLPQCLGNISNSASVLDLRNNSFSGDIPEAFSSDCALRAIDFSQNQLEGKIPKSLANCPKLAILNIEQNKINDVFPSWLGILPKLRVLILRSNRLHGVIGKPKANFEFQRLQIVDLSGNCFLGNLPLEYFRNWSAMKTIYKERPLYMQVVSSFQLPRYGMTYHFDYSMTMTNKGVMTLYEKIQEFLTAIDLSSNRFEGGIPDALGDLKELYLLNLSNNFLTGRIPPSLSNLKGLEALDLSQNKLSGEIPVQLAQLTFLAVFNVSHNLLSGPIPRGNQFETFDSTSFDANSGLCGKPLSKKCGNGEDSLPAPKEDEGSGSPLEFGWTVVVIGYASGLVSGAILGCVMNTRKYEWQVKNYFVSWQHKGQYLKTRLHF >Potri.006G227300.1.v4.1 pep chromosome:Pop_tri_v4:6:23151323:23155534:-1 gene:Potri.006G227300.v4.1 transcript:Potri.006G227300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227300.v4.1 MQRLLSLRNASSNLPKKLTSNSSLLLSHPYKTFTKPIHQYQNHILSSSLTIHSCQSYSYHHLPAKILHGFLSKHFLSILSNTHFRVSTKNLTDCKVGFFIARFARGYSWSNLSLGSNRRGWRSWFNRLSADNVVLGLIIANAAVFMLWRIEDQKFMMENFMISLDNFRSGRIHTLVTSAFSHIDIGHIAFNMIGLYFFGTNIARTFGPEFLLKLYLAGAIGGSVFYLLHHGYMDLSSKGQGMWARDSSRTPGLGASGAVNAIMLLDIFLNPRATLYFDFIIPVPAILLGIFLIGKDVLRVMEGNSNISGSAHLGGAAVAAIAWARIKRGRF >Potri.005G078100.4.v4.1 pep chromosome:Pop_tri_v4:5:5301877:5308069:-1 gene:Potri.005G078100.v4.1 transcript:Potri.005G078100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078100.v4.1 MAAGALHRSIRTIQLHGGSNDSASTKEFGNSSLKFISKGFTVDVKFLSDRSHSFSHCKMHVIRAISSHASVVDPILSTSHVTTNFNQNTSIESSLILIRHGESMWNEKNLFTGSVDVPLTKRGIEEAIEAGKRISSIPVNMIYTSALIRAQMTAMLAMTQHRQKKIPIIMHDESIQAKEWSQIFSEETIQQSIPVITAWQLNERMYGKLQGLNKEETVVKYGKEKVHEWRRSFDSPPPNGESLEMCSQRAVAYFKENIEPQLQNGRNIMVAAHGNSLRSIIMYLEKLTSQEVTNLELSTGVPLLYIYKHGEFLKRGSPVGPTEYGVYAYTRNLALYRQKLDEMVQ >Potri.005G078100.3.v4.1 pep chromosome:Pop_tri_v4:5:5301838:5308160:-1 gene:Potri.005G078100.v4.1 transcript:Potri.005G078100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078100.v4.1 MAAGALHRSIRTIQLHGGSNDSASTKEFGNSSLKFISKGFTVDVKFLSDRSHSFSHCKMHVIRAISSHASVVDPILSTSHVTTNFNQNTSIESSLILIRHGESMWNEKNLFTGSVDVPLTKRGIEEAIEAGKRISSIPVNMIYTSALIRAQMTAMLAMTQHRQKKIPIIMHDESIQAKEWSQIFSEETIQQSIPVITAWQLNERMYGKLQGLNKEETVVKYGKEKVHEWRRSFDSPPPNGESLEMCSQRAVAYFKENIEPQLQNGRNIMVAAHGNSLRSIIMYLEKLTSQEVTNLELSTGVPLLYIYKHGEFLKRGSPVGPTEYGVYAYTRNLALYRQKLDEMVQ >Potri.005G078100.2.v4.1 pep chromosome:Pop_tri_v4:5:5301846:5308160:-1 gene:Potri.005G078100.v4.1 transcript:Potri.005G078100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078100.v4.1 MAAGALHRSIRTIQLHGGSNDSASTKEFGNSSLKFISKGFTVDVKFLSDRSHSFSHCKMHVIRAISSHASVVDPILSTSHVTTNFNQNTSIESSLILIRHGESMWNEKNLFTGSVDVPLTKRGIEEAIEAGKRISSIPVNMIYTSALIRAQMTAMLAMTQHRQKKIPIIMHDESIQAKEWSQIFSEETIQQSIPVITAWQLNERMYGKLQGLNKEETVVKYGKEKVHEWRRSFDSPPPNGESLEMCSQRAVAYFKENIEPQLQNGRNIMVAAHGNSLRSIIMYLEKLTSQEVTNLELSTGVPLLYIYKHGEFLKRGSPVGPTEYGVYAYTRNLALYRQKLDEMVQ >Potri.015G140100.1.v4.1 pep chromosome:Pop_tri_v4:15:14727159:14733154:1 gene:Potri.015G140100.v4.1 transcript:Potri.015G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140100.v4.1 MGDPKSDDWLPEGWRVEVKVRNSGKKDKFYFPPTGGFRFNSKIEVSRYLNGSHPKSEEKVVIEKTVPEGLPLGWTKEIKVTKKGGRIRRDPFYTDPVSGCVFRSMKEAHRYIESGVVGRLAFKRNRKDNYVVELKDDKTCSPAVAEKQDLEVNETPSPVTGDQSLKACEIAKHEQILNSASTGECIIVSEHTSDQYESVAKKQKLEVNRTQSSIISDHSLKSCKIAKDEQTLSLASAGEYTAVSEHASDKCVSVAKKQKLEVSGMPSPIISDRTWKSCVIAKDEHIHDSASRGECKAVSEHTSDQCVSATKKQQLTVDRNPSSIISDQSLKSCEISKDKQILPSASTGECTAVSKHTLIQCGVGAESSSSEFPEAKGSNRTEEKCDFVRTSFVEDPSRGVPEDKLLLEVGETRKEIKRAGLRKSKNKNDINLPRRASKRLAGIPLAPTPELKAITRVRRAAVEPGNEIIASTSEQASCGELDTELNTKNAFDTSKSTERPVESNESKHGIVDMEHAGKAGSGKERNEKHECAVISSPGKLASAEHGGKIETANNSGEKPGLPFGLPLEELWQDPCIAFAIKTLTGAPVDSDSIKVSPGSSNNEFVGMAVLDEHAGKEDIGNNGNLFIPEHARGVETSSKADEKPVSPLNLPFADAWSDPCIEFAIKTLTGAIPLDFDVIQDCLPQQAGSLQQQQSSGFTLPNVGEFCQAEFLCQQFGTSEKPSFNQAAMVGPALPHTKHANLGYSAGPSRRLHSEERSNKRRR >Potri.015G140100.2.v4.1 pep chromosome:Pop_tri_v4:15:14727331:14733253:1 gene:Potri.015G140100.v4.1 transcript:Potri.015G140100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140100.v4.1 MGDPKSDDWLPEGWRVEVKVRNSGKKDKFYFPPTGGFRFNSKIEVSRYLNGSHPKSEEKVVIEKTVPEGLPLGWTKEIKVTKKGGRIRRDPSPAVAEKQDLEVNETPSPVTGDQSLKACEIAKHEQILNSASTGECIIVSEHTSDQYESVAKKQKLEVNRTQSSIISDHSLKSCKIAKDEQTLSLASAGEYTAVSEHASDKCVSVAKKQKLEVSGMPSPIISDRTWKSCVIAKDEHIHDSASRGECKAVSEHTSDQCVSATKKQQLTVDRNPSSIISDQSLKSCEISKDKQILPSASTGECTAVSKHTLIQCGVGAESSSSEFPEAKGSNRTEEKCDFVRTSFVEDPSRGVPEDKLLLEVGETRKEIKRAGLRKSKNKNDINLPRRASKRLAGIPLAPTPELKAITRVRRAAVEPGNEIIASTSEQASCGELDTELNTKNAFDTSKSTERPVESNESKHGIVDMEHAGKAGSGKERNEKHECAVISSPGKLASAEHGGKIETANNSGEKPGLPFGLPLEELWQDPCIAFAIKTLTGAPVDSDSIKVSPGSSNNEFVGMAVLDEHAGKEDIGNNGNLFIPEHARGVETSSKADEKPVSPLNLPFADAWSDPCIEFAIKTLTGAIPLDFDVIQDCLPQQAGSLQQQQSSGFTLPNVGEFCQAEFLCQQFGTSEKPSFNQAAMVGPALPHTKHANLGYSAGPSRRLHSEERSNKRRR >Potri.017G082200.1.v4.1 pep chromosome:Pop_tri_v4:17:9228563:9230315:-1 gene:Potri.017G082200.v4.1 transcript:Potri.017G082200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082200.v4.1 MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASKS >Potri.010G188700.1.v4.1 pep chromosome:Pop_tri_v4:10:18504999:18512043:-1 gene:Potri.010G188700.v4.1 transcript:Potri.010G188700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188700.v4.1 MSSALGYHHHHHLQQEQQQQQQKPFSDSDSYSDSDSDTTNSQNQHSADLTNSIFKSYFEHANHQSLQPTQHDLTKIKSFLTSSSSGALSCLICLERIKTSDPTWSCTSLCYAVFHLICIQSWARQASDLSALRASTRLPISSDKAAESSTWNCPKCRSDYSRSKIPRNYLCFCGKVENPPNDPWILSHSCGEICNRQLKNNCGHCCLLLCHPGPCPSCPKLVKATCFCGKTTDVKRCGYKLFSCNNICKKSLDCGIHSCKQICHDGPCPPCNARGVYKCSCGRKVEERECCEREFRCENPCEKLLACGKHVCERGCHFGECGDCPLQGKRACPCGKRLYEGMACDIVVPLCGGTCDKMLSCGFHRCHERCHRGPCIETCRIVVTKLCRCGGMKKEVPCYQDLACERKCQRMRDCGRHACKRRCCDGDCPPCGEICGKRLRCKNHKCPAPCHRGACSPCPVMFTISCACGETHFEVPCGTEKDQKPPKCRKSCGISPLCRHGSDSKPHKCHYGACPPCRLLCDEEYPCSHKCKLRCHGPRPPPNPDFTLRPKKKKPNHQSESTPGTPCPPCPELVWRPCLGQHIGAERMMVCSNRTQFSCENLCGSPLSCGNHYCTKTCHALKSQSSTSLVQHKRSESCEECHLPCEKERKPACRHSCPLPCHPGDCPPCKVLVKRSCYCGSMVHVFECIYYNNLSEKEQMAARSCGGSCHRKLPNCTHLCPKTCHPGQCPSPDKCAKKVTVRCQCQTLKKEMPCQEVQAAYHKAGSDPKDISKSHFGLGLLPCNSGCKSKAQVVDQELHLRKSKDLEEKVPATEIHPPKRRKRREHLQETKKTSQLQKIAATMKWLLVIVTLMVTMVAAAYFGYKGLIWLSDWMNEVEEQQRHRRRHPRI >Potri.013G121800.2.v4.1 pep chromosome:Pop_tri_v4:13:13098014:13099593:-1 gene:Potri.013G121800.v4.1 transcript:Potri.013G121800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121800.v4.1 MRILVHSGFFSQQNLNDIHNQDAYSLTQSTRLLLKDNPWSMRPLLLVFLDPVLTKPWDCLSTWFQNDDRNAFSVAHENTFWEYAGQDPRINNLFNDAMARDSILVSKVVVCKCKGIFDGVNSLVDVGGGLGTMAKGIAEAFPHMDCTVFDLPHVVSDLQGSKNLKYVGGDMFEAVPPADAILLKWILHDWSDEDCVKILRRCKQAIMSTGQQKAGKVIIIDMVRENLNGDEGSIETQLLFDLQLMVGFSGMERNEKEWAKLFFDAGFLNYKIHPVLGTRALIELYP >Potri.011G020275.1.v4.1 pep chromosome:Pop_tri_v4:11:1580264:1581891:1 gene:Potri.011G020275.v4.1 transcript:Potri.011G020275.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G020275.v4.1 MPQSKQQEDKNDSQAVLASPIFPFPFRVFPHIITVQEKMNNYFDRCLSDSCGEETPECRLYSEATSK >Potri.018G127400.1.v4.1 pep chromosome:Pop_tri_v4:18:13841230:13847802:-1 gene:Potri.018G127400.v4.1 transcript:Potri.018G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127400.v4.1 MDSETNKKLPVTDPLKSEGKEKNGSAMTKQGAYAAISYMASAVLLVMFNKAALSSYSFPYANVITLFQMLCSCLFLYVLKFWKIISFTTSEPQNMSNNPARLVSFKTLLHSLPLALSYLLYMLITMESVRAINVPMYTTLRRTTVAFTMIVEYLLTGQKHSLRVVGSVGIIILGAFVAGARDLSFDAYGYAVVFVANICTAVYLASIARIGKSSGLNSFGLMWCNGIICGPILLFWTSIRGDLEAMRNFPFLFSPGFQVVMLLSCIMAFLINYFVFMNTTLNSALTQTICGNLKDLFTIGFGWILFGGLPFDLMNVVGQSLGFFGSCLYAYCKLKGQ >Potri.018G127400.7.v4.1 pep chromosome:Pop_tri_v4:18:13841230:13847802:-1 gene:Potri.018G127400.v4.1 transcript:Potri.018G127400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127400.v4.1 MDSETNKKLPVTDPLKSEGKEKNGSAMTKQGAYAAISYMASAVLLVMFNKAALSSYSFPYANVITLFQMLCSCLFLYVLKFWKIISFTTSEPQNMSNNPARLVSFKTLLHSLPLALSYLLYMLITMESVRAINVPMYTTLRRTTVAFTMIVEYLLTGQKHSLRVVGSVGIIILGAFVAGARDLSFDAYGYAVVFVANICTAVYLASIARIGIICGPILLFWTSIRGDLEAMRNFPFLFSPGFQDLFTIGFGWILFGGLPFDLMNVVGQSLGFFGSCLYAYCKLKGQ >Potri.018G127400.4.v4.1 pep chromosome:Pop_tri_v4:18:13841229:13847802:-1 gene:Potri.018G127400.v4.1 transcript:Potri.018G127400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127400.v4.1 MDSETNKKLPVTDPLKSEGKEKNGSAMTKQGAYAAISYMASAVLLVMFNKAALSSYSFPYANVITLFQNMSNNPARLVSFKTLLHSLPLALSYLLYMLITMESVRAINVPMYTTLRRTTVAFTMIVEYLLTGQKHSLRVVGSVGIIILGAFVAGARDLSFDAYGYAVVFVANICTAVYLASIARIGKSSGLNSFGLMWCNGIICGPILLFWTSIRGDLEAMRNFPFLFSPGFQDLFTIGFGWILFGGLPFDLMNVVGQSLGFFGSCLYAYCKLKGQ >Potri.018G127400.3.v4.1 pep chromosome:Pop_tri_v4:18:13841229:13847802:-1 gene:Potri.018G127400.v4.1 transcript:Potri.018G127400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127400.v4.1 MDSETNKKLPVTDPLKSEGKEKNGSAMTKQGAYAAISYMASAVLLVMFNKAALSSYSFPYANVITLFQMLCSCLFLYVLKFWKIISFTTSEPQNMSNNPARLVSFKTLLHSLPLALSYLLYMLITMESVRAINVPMYTTLRRTTVAFTMIVEYLLTGQKHSLRVVGSVGIIILGAFVAGARDLSFDAYGYAVVFVANICTAVYLASIARIGKSSGLNSFGLMWCNGIICGPILLFWTSIRGDLEAMRNFPFLFSPGFQDLFTIGFGWILFGGLPFDLMNVVGQSLGFFGSCLYAYCKLKGQ >Potri.018G127400.2.v4.1 pep chromosome:Pop_tri_v4:18:13841230:13847802:-1 gene:Potri.018G127400.v4.1 transcript:Potri.018G127400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127400.v4.1 MDSETNKKLPVTDPLKSEGKEKNGSAMTKQGAYAAISYMASAVLLVMFNKAALSSYSFPYANVITLFQNMSNNPARLVSFKTLLHSLPLALSYLLYMLITMESVRAINVPMYTTLRRTTVAFTMIVEYLLTGQKHSLRVVGSVGIIILGAFVAGARDLSFDAYGYAVVFVANICTAVYLASIARIGKSSGLNSFGLMWCNGIICGPILLFWTSIRGDLEAMRNFPFLFSPGFQVVMLLSCIMAFLINYFVFMNTTLNSALTQTICGNLKDLFTIGFGWILFGGLPFDLMNVVGQSLGFFGSCLYAYCKLKGQ >Potri.018G127400.6.v4.1 pep chromosome:Pop_tri_v4:18:13841230:13847802:-1 gene:Potri.018G127400.v4.1 transcript:Potri.018G127400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127400.v4.1 MDSETNKKLPVTDPLKSEGKEKNGSAMTKQGAYAAISYMASAVLLVMFNKAALSSYSFPYANVITLFQMLCSCLFLYVLKFWKIISFTTSEPQNMSNNPARLVSFKTLLHSLPLALSYLLYMLITMESVRAINVPMYTTLRRTTVAFTMIVEYLLTGQKHSLRVVGSVGIIILGAFVAGARDLSFDAYGYAVVFVANICTAVYLASIARIGIICGPILLFWTSIRGDLEAMRNFPFLFSPGFQVVMLLSCIMAFLINYFVFMNTTLNSALTQTICGNLKDLFTIGFGWILFGGLPFDLMNVVGQSLGFFGSCLYAYCKLKGQ >Potri.011G091900.6.v4.1 pep chromosome:Pop_tri_v4:11:11838919:11846316:1 gene:Potri.011G091900.v4.1 transcript:Potri.011G091900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091900.v4.1 MRHSSASFNPQTQEGEKRVLNSELWHACAGPLVSLPAVGSRVVYFAQGHSEQVAASTNKEVDARIPNYPSLPPQLICQLHNVTMHADVETDEVYAQLTLQPLSPQEQKDAYLPADLGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLIAGDSVLFIWNEKNQLLLGIKRATRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYLKAVYYTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDVARWPNSLWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSTFPLRLKRPWTPGLHSFHGIKDDDLGMNSSLMWLRGDGDRGIQSLNLQGMGVAPWMQPRVDTSMLGLQNDVYQTMATAAFQEMRALDPSKSSAASLLQFQQHQNLPIRNAALMQPLMLQQSPSQQAFLQGVQENKHQSQPQSQTPTRSHLIHQLQHQHSLDSPEQQQPLLQQQHLADQQIPNVVSAISQYASATQSLTPPLQAISLCQQHSFSDSNGNLVTSPVVSPMQSLLGSFPQDETSHLFNFPRTNPLTTSSGWPSKRAAVEPLISSVAPQCMMSQVEQLGPPQTSISPSSVSLLPFPGRECPTEQDGGTDPQSHLLFGVSIEPSSLLMQNGLSSLRGVGSDSDSTTVPFSSNYMSIAGTNFSLNPAMAPSSCIDESGFLQSMENVGQGNPPSRTFVKVYKSGSFGRSLDITKFSNYNELRSELAFMFGLEGQLEDPLRSGWQLVFIDRENDVLLLGDGPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPIQRLSNGSCDDYVNRQDSQNLSNAITSVGSLDCREI >Potri.011G091900.4.v4.1 pep chromosome:Pop_tri_v4:11:11838927:11846305:1 gene:Potri.011G091900.v4.1 transcript:Potri.011G091900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091900.v4.1 MRHSSASFNPQTQEGEKRVLNSELWHACAGPLVSLPAVGSRVVYFAQGHSEQVAASTNKEVDARIPNYPSLPPQLICQLHNVTMHADVETDEVYAQLTLQPLSPQEQKDAYLPADLGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQQPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLIAGDSVLFIWNEKNQLLLGIKRATRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYLKAVYYTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDVARWPNSLWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSTFPLRLKRPWTPGLHSFHGIKDDDLGMNSSLMWLRGDGDRGIQSLNLQGMGVAPWMQPRVDTSMLGLQNDVYQTMATAAFQEMRALDPSKSSAASLLQFQQHQNLPIRNAALMQPLMLQQSPSQQAFLQGVQENKHQSQPQSQTPTRSHLIHQLQHQHSLDSPEQQQPLLQQQHLADQQIPNVVSAISQYASATQSLTPPLQAISLCQQHSFSDSNGNLVTSPVVSPMQSLLGSFPQDETSHLFNFPRTNPLTTSSGWPSKRAAVEPLISSVAPQCMMSQVEQLGPPQTSISPSSVSLLPFPGRECPTEQDGGTDPQSHLLFGVSIEPSSLLMQNGLSSLRGVGSDSDSTTVPFSSNYMSIAGTNFSLNPAMAPSSCIDESGFLQSMENVGQGNPPSRTFVKVYKSGSFGRSLDITKFSNYNELRSELAFMFGLEGQLEDPLRSGWQLVFIDRENDVLLLGDGPWPEFVNSVWCIKILSPQEVQQMGKRGLELLNSVPIQRLSNGSCDDYVNRQDSQNLSNAITSVGSLDCREI >Potri.014G073100.1.v4.1 pep chromosome:Pop_tri_v4:14:4664128:4665039:1 gene:Potri.014G073100.v4.1 transcript:Potri.014G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073100.v4.1 MSTCSVKLTDLLDFVENPDGSLTRNSPFPEVPPTEQTTPDSKELSLSKDIPLNPNNKTSLRLFRPLKPPQKLPLIIYYHGGGFVLYSAATLAFHQTCSDMASHFPALVLSVDYRLAPEHRLPAAYEDAIEAMKWVQNQVLDINGPSCEPWLKEYLDYSRCFLMGMSAGGNIAYHANLLALNIDIKPLEIIGLILNMPYLLGLLPLCAAIIEHLQPIF >Potri.004G175100.3.v4.1 pep chromosome:Pop_tri_v4:4:19040870:19045012:1 gene:Potri.004G175100.v4.1 transcript:Potri.004G175100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175100.v4.1 MTVEEEAKAIEKRNVLVGIRIDNQSRELLSWAIVKVAEPGDCVIAVHVCGSSGHALREKPLLDSYLEVYDGLCSMKKVGLTGHIAKGISVRRTLVREAKNHASVAIVVGISSQGALRGWASTARYCAKRLRPTTDVLAIYNGKIVFRRCNNNQLPGLGGDPKPSFKINENFSTFRVTQSEFGDSEADTEISSFELLSRYQSEDSKDEVCSIVSVRKKRSNSLFAGDILDQRPGWPLLRRVNSAIPQNYVRQLSVVQWAMALPDRSSLQNPRMSNFEEREKSKILEEINKSSSSALGELENGLDILLKASSSSCKWFSYEVLKGATSSFSSENLFGKGGCNRVYKGVLPDGKPVAVKVQKSSQEAMKDFAHEVAIISSLNHKHITPLLGFCIKDTVLISVYDFFSKGSLEENLHGKSKEKSPLSWEMRFDIAVKIAEALYYLHNECSRPVIHRDIKSSNILLSDGFEPQLSDFGMAIWGPTTTSFVTQGEVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELLSGRRPISSESTKGQESLVMWAKPILESGDAKGLVDPNLNGNFDEVQMQRMVLAATHCITRAARLRPKMSEILKLLRGDTELEEWVNPQNKDPRDQENQDNDDEVYPSSSAELHLSLALLDVDDDSTSFSSLEQVNNLSLEEYVKERWSRSSSFN >Potri.004G175100.2.v4.1 pep chromosome:Pop_tri_v4:4:19041061:19045322:1 gene:Potri.004G175100.v4.1 transcript:Potri.004G175100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175100.v4.1 MTVEEEAKAIEKRNVLVGIRIDNQSRELLSWAIVKVAEPGDCVIAVHVCGSSGHALREKPLLDSYLEVYDGLCSMKKVGLTGHIAKGISVRRTLVREAKNHASVAIVVGISSQGALRGWASTARYCAKRLRPTTDVLAIYNGKIVFRRCNNNQLPGLGGDPKPSFKINENFSTFRVTQSEFGDSEADTEISSFELLSRYQSEDSKDEVCSIVSVRKKRSNSLFAGDILDQRPGWPLLRRVNSAIPQNYVRQLSVVQWAMALPDRSSLQNPRMSNFEEREKSKILEEINKSSSSALGELENGLDILLKASSSSCKWFSYEVLKGATSSFSSENLFGKGGCNRVYKGVLPDGKPVAVKVQKSSQEAMKDFAHEVAIISSLNHKHITPLLGFCIKDTVLISVYDFFSKGSLEENLHGKSKEKSPLSWEMRFDIAVKIAEALYYLHNECSRPVIHRDIKSSNILLSDGFEPQLSDFGMAIWGPTTTSFVTQGEVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELLSGRRPISSESTKGQESLVMWAKPILESGDAKGLVDPNLNGNFDEVQMQRMVLAATHCITRAARLRPKMSEILKLLRGDTELEEWVNPQNKDPRDQENQDNDDEVYPSSSAELHLSLALLDVDDDSTSFSSLEQVNNLSLEEYVKERWSRSSSFN >Potri.001G297801.2.v4.1 pep chromosome:Pop_tri_v4:1:30869387:30870444:1 gene:Potri.001G297801.v4.1 transcript:Potri.001G297801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297801.v4.1 MEEQTGHAFTKTQLKNKWDRCKKDWRIWNKLVSETGVGWNSELGTISASDEWWKQKIQEIRGAKKFRHVGIEQSLKNKFDRMYSNIVATGAFAWAPSSDVPADSDVDPGTSNADIAHDGLEEGSGDSEEDVIQDFQTDMARMVGGINMSSSSNTKRGDKRKERDHYDVRGRKKKTSGIQLLSRCNQLLESISTKSDSTFVNLDREGYSIREVMAELHSIPGVSIEDEFHDFAIEYLSLRRKKEMWASMGDKEQKLRWLQRMYARTKRA >Potri.017G124901.1.v4.1 pep chromosome:Pop_tri_v4:17:12899743:12900143:1 gene:Potri.017G124901.v4.1 transcript:Potri.017G124901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124901.v4.1 MIVNKHLQKSSNGVGLGAQHCRKCPSNIWIETFSGVIVEDEEADPLILDLPFTLALPIYFDWAIYFL >Potri.010G024500.1.v4.1 pep chromosome:Pop_tri_v4:10:3614668:3615358:1 gene:Potri.010G024500.v4.1 transcript:Potri.010G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024500.v4.1 MSGVWVFKNGVVRLVENPGAESLDGSRQGSSMRRKVLVHSPSNEVITSYAVLERKLYSLGWERYYDDPDLLQFHKRSTVHLISLPKDFNKLRSMHMYDIVVKNRNMFEVRDM >Potri.010G128400.2.v4.1 pep chromosome:Pop_tri_v4:10:14503034:14508984:1 gene:Potri.010G128400.v4.1 transcript:Potri.010G128400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128400.v4.1 MTSVAQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLAALIVMTILSAIVGWAAPNLISRTWTHHITTILFFGFGFWSLWDGFNDKGEAEELAEVEAKLDADWKANTGTTKGGSKDDDELKKRRRPFLSQLFSPILLKAFSITFFGEWGDKSQIATIGLAADENPLGVVLGGIVGQALCTTAAVFGGKSLASQISEKIVALSGGVLFIIFGIQSFLSTVE >Potri.006G036601.1.v4.1 pep chromosome:Pop_tri_v4:6:2343740:2355274:1 gene:Potri.006G036601.v4.1 transcript:Potri.006G036601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036601.v4.1 MDCANKTLHKKSQPIHQHSPRQSFHAPPPTSTPSYIVSATETRQTIKGAVTDGTRGESRRYQRFEEVFSWRNSRTYQLKLLFLGPNLQGLLSQGIYNRCLSENLAQVKRPRGQPRKNPTNDTLDNLDSSNQYVQALAIEYPQDSPGLLAIEGISQNILDEAKQKRKVKEQKNFTKKLSDCNSNLRTTAQSRRLKSKARKGSNYDGVACPLLLTHNEDDNVSLDINSTSTVNYQTHENSGLNTAMLAYGSDNVSLDINSTSSIPKDADSARVVLCLAHNEKVAWDHRMGYLAVLLGNGSLEVWDFPLPHAMKSVYSSSNGEGTDPRFVKLKPVFRCSTLKCGVEWSTSHHHDYLLAGCHDGTVALWKFSASGASDTRPLLCFSADTVTIRAITWVPSESDQGSPNLILTARHWCLKFWDMRDSFRPLWDLHPAPKLIYSLDWLPDPRCIILSLDDGTMRLLSLAKAAYDAAVNGQPSVGPKQLGMHVFNCSSFAIWSIQVSRLTGMVAYCSADGTVCRFQLTTKVVEKDPSKHRAPHFACGSLSEDESAIVVGTPLPDGPLPLKRLVNDVGNNPKSKQPLSVSNKAAKIPTSDDQPLALCYGDDPGMYDGSDETLTASKSKKKPKSKSGGKKQEGEDLALTCIEQDDKQKGRGKDGAGNVIESIPPKIVAMHRRWLCSGGAAGIVRCQEIKMSGVDICLARKR >Potri.005G025100.1.v4.1 pep chromosome:Pop_tri_v4:5:1590389:1594529:-1 gene:Potri.005G025100.v4.1 transcript:Potri.005G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G025100.v4.1 MATPMAEDSSFEDDQLASMTTEDIIRGSRLVDNEIRILKEEVQRTNLELDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHKERFQKLGIRPPKGILLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDSIKVIAATNRADILDPALMRSGRLDRKIEFPHPTEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >Potri.015G123100.3.v4.1 pep chromosome:Pop_tri_v4:15:13622355:13627057:-1 gene:Potri.015G123100.v4.1 transcript:Potri.015G123100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123100.v4.1 MASHIFLFSTPFMVFSLLAYASFFASFAYSASTGAAEAANGRKEAEALLEWKVSLDNQSQSLLSSWAGDSPCNWFGISCDQSGSVTNISLSNSSLRGTLNSLRFSSFPNLIELTLSYNSLYGYVPSHIGNLSNLNILDLSFNNISCNIPPEVGNLVSLTSLNLSSNNLSGTIPTSLENLKNLSVLKLWNNNLFGSIIFIENLTSSLTILNLAFNNLTGTIPASLGNLKRLSAFIVGNNNLSGPIPPEMNNLTHLFALQIFSNRLSGNLPRDVCLGGSLLYFSASENYFTGPIPKSLRNCSSLLRLRLERNQLSGNISEAFGTHPHLNYMDLSDNELHGELSLNWEQFNNLTAFRISGNKISGEIPAALGKAAHLQALDLSSNQLVGRIPKELGNLKLIELALNDNRLSGDIPFDVASLSDLQRLGLAANNFSATILKQLGKCSKLILLNMSKNRFAGSIPAEMGYLQSLQSLDLSWNSLMGGIAPELGQMQQLEVLNLSHNMLSGLIPTSFSRLQALTKVDVSYNKLEGPIPDIKAFREAPFEAICNNTNLCGNATGLEACSDLVKNKTVHKKGPKVVFLTVFSLLGSLLGLIVGFLIFFQSRRKKRLVETPQRDVTARWCPGGDLRYEDIIEATEEFESKYCIGTGGYGVVYKAVLPSEQVLAVKKFHQTPEVEMSSLKAFRSEIDVLMGIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKVLNDEEQAGKMDWDKRMNLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEQCDVYSFGVLTLEVMMGKHPGDFISSLMVSASTSSSSPIGHNTVLKDVLDQRLPPPENELADGVAHVAKLAFACLQTDPHYQPTMRQVSTELTTRWPPLPKLFSTMELEDIMVHRNVIG >Potri.015G123100.5.v4.1 pep chromosome:Pop_tri_v4:15:13623904:13626550:-1 gene:Potri.015G123100.v4.1 transcript:Potri.015G123100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123100.v4.1 MNNLTHLFALQIFSNRLSGNLPRDVCLGGSLLYFSASENYFTGPIPKSLRNCSSLLRLRLERNQLSGNISEAFGTHPHLNYMDLSDNELHGELSLNWEQFNNLTAFRISGNKISGEIPAALGKAAHLQALDLSSNQLVGRIPKELGNLKLIELALNDNRLSGDIPFDVASLSDLQRLGLAANNFSATILKQLGKCSKLILLNMSKNRFAGSIPAEMGYLQSLQSLDLSWNSLMGGIAPELGQMQQLEVLNLSHNMLSGLIPTSFSRLQALTKVDVSYNKLEGPIPDIKAFREAPFEAICNNTNLCGNATGLEACSDLVKNKTVHKKGPKVVFLTVFSLLGSLLGLIVGFLIFFQSRRKKRLVETPQRDVTARWCPGGDLRYEDIIEATEEFESKYCIGTGGYGVVYKAVLPSEQVLAVKKFHQTPEVEMSSLKAFRSEIDVLMGIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKVLNDEEQAGKMDWDKRMNLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEQCDVYSFGVLTLEVMMGKHPGDFISSLMVSASTSSSSPIGHNTVLKDVLDQRLPPPENELADGVAHVAKLAFACLQTDPHYQPTMRQVSTELTTRWPPLPKLFSTMELEDIMVHRNVIG >Potri.007G065800.2.v4.1 pep chromosome:Pop_tri_v4:7:8301378:8304509:-1 gene:Potri.007G065800.v4.1 transcript:Potri.007G065800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065800.v4.1 MVDRYWVGFFLVFSCLGGTLDASAGDSDPIYRTCVGQCEKSGCVGQRCFSHCNFSSDGVSIDGPWYKQEPLYLQWKQWDCQSDCRYYCMLDREKEREALGHGPVKYHGKWPFKRVYGIQEPVSVAFSALNLAMHFHGWLSFFILLYYKLPLKQDKKAYYEYASLWHIYGFLSLNSWFWSAVFHSRDVDLTEKLDYSSAVAFLGYSLIMSILRSFNVRDEAARVMVAAPLLAFLTTHILFINFYKLDYGWNMQVCVVMAVAQLLLWAIWAGVTGHPSRWKLWVVVIGGGLAMLLEIYDFPPYEGYVDAHALWHATTIPLTYIWWSFIRDDAEFRTSNLLKKTK >Potri.007G065800.3.v4.1 pep chromosome:Pop_tri_v4:7:8301485:8302827:-1 gene:Potri.007G065800.v4.1 transcript:Potri.007G065800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065800.v4.1 MHFHGWLSFFILLYYKLPLKQDKKAYYEYASLWHIYGFLSLNSWFWSAVFHSRDVDLTEKLDYSSAVAFLGYSLIMSILRSFNVRDEAARVMVAAPLLAFLTTHILFINFYKLDYGWNMQVCVVMAVAQLLLWAIWAGVTGHPSRWKLWVVVIGGGLAMLLEIYDFPPYEGYVDAHALWHATTIPLTYIWWSFIRDDAEFRTSNLLKKTK >Potri.014G046500.1.v4.1 pep chromosome:Pop_tri_v4:14:2973785:2974688:-1 gene:Potri.014G046500.v4.1 transcript:Potri.014G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046500.v4.1 MKGAIISMLVAVAMVQFMVKPGEAITCGDVNSDLSACVSYLTGKGGDFPPPQCCAGVNKLKESAVSIADKQAACECVKAAAARLPDMKDEAASSLPAKCKVQVDVPISKNFNCADIH >Potri.006G121200.11.v4.1 pep chromosome:Pop_tri_v4:6:9613465:9619881:1 gene:Potri.006G121200.v4.1 transcript:Potri.006G121200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121200.v4.1 MSGAEVIVGNVERALTYADRTKSVDAISDRDRSSISINGESFRASSENLAFRVGELGLPNGESYSGSLLGNAPEGNGKYVWSDGCVYEGEWRRGMRHGNGKIQWPSGTAYEGEFSGGYMHGAGTYVGSNNLTYKGRWRLNLKHGLGYQVYPNGDIFEGSWIQGGPEGPGKYTWANRNVYHGDMKGGKMTGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWSDGGCYVGTWTRGLKDGKGSFYPKGSRFQAVEEWYLNALRKRGVLPDLRKQNHALIHHASSVNMGNVKVGENQGSRHNSSDKLSKGNLLTLEQSRNKNVSLERRWSLEVYIEKVIGHDSSLDLSEGLGKEFETNTPPILEREYMQGVLISELVLNSSFSSSSRRTKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKDGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDSADYMMSICGNDALRELSSPGKSGSIFFLSQDDHFMIKTLRKSEVKVLLKMLPDYHHHVRSHENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTENVEIEENTTLKDLDLNYCFYLEPSWREALLQQIEIDSKFLEVQHIMDYSLLLGVHYRAPQHLRSLMSYNRSISAEGLGVLAEEDTIEDENYPQGLVLVPRGTGDDSVVVGPHIRGSRLRASSAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGEEEKQTFHEAYDVVLYLGIIDILQEYNMSKKIEHAYKSLQFDSLSISAVDPTFYSRRFLEFIQKVFPPNVITS >Potri.006G121200.10.v4.1 pep chromosome:Pop_tri_v4:6:9612948:9619838:1 gene:Potri.006G121200.v4.1 transcript:Potri.006G121200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121200.v4.1 MSGAEVIVGNVERALTYADRTKSVDAISDRDRSSISINGESFRASSENLAFRVGELGLPNGESYSGSLLGNAPEGNGKYVWSDGCVYEGEWRRGMRHGNGKIQWPSGTAYEGEFSGGYMHGAGTYVGSNNLTYKGRWRLNLKHGLGYQVYPNGDIFEGSWIQGGPEGPGKYTWANRNVYHGDMKGGKMTGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWSDGGCYVGTWTRGLKDGKGSFYPKGSRFQAVEEWYLNALRKRGVLPDLRKQNHALIHHASSVNMGNVKVGENQGSRHNSSDKLSKGNLLTLEQSRNKNVSLERRWSLEVYIEKVIGHDSSLDLSEGLGKEFETNTPPILEREYMQGVLISELVLNSSFSSSSRRTKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKDGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDSADYMMSICGNDALRELSSPGKSGSIFFLSQDDHFMIKTLRKSEVKVLLKMLPDYHHHVRSHENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTENVEIEENTTLKDLDLNYCFYLEPSWREALLQQIEIDSKFLEVQHIMDYSLLLGVHYRAPQHLRSLMSYNRSISAEGLGVLAEEDTIEDENYPQGLVLVPRGTGDDSVVVGPHIRGSRLRASSAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGEEEKQTFHEAYDVVLYLGIIDILQEYNMSKKIEHAYKSLQFDSLSISAVDPTFYSRRFLEFIQKVFPPNVITS >Potri.006G121200.5.v4.1 pep chromosome:Pop_tri_v4:6:9613178:9619836:1 gene:Potri.006G121200.v4.1 transcript:Potri.006G121200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121200.v4.1 MSGAEVIVGNVERALTYADRTKSVDAISDRDRSSISINGESFRASSENLAFRVGELGLPNGESYSGSLLGNAPEGNGKYVWSDGCVYEGEWRRGMRHGNGKIQWPSGTAYEGEFSGGYMHGAGTYVGSNNLTYKGRWRLNLKHGLGYQVYPNGDIFEGSWIQGGPEGPGKYTWANRNVYHGDMKGGKMTGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWSDGGCYVGTWTRGLKDGKGSFYPKGSRFQAVEEWYLNALRKRGVLPDLRKQNHALIHHASSVNMGNVKVGENQGSRHNSSDKLSKGNLLTLEQSRNKNVSLERRWSLEVYIEKVIGHDSSLDLSEGLGKEFETNTPPILEREYMQGVLISELVLNSSFSSSSRRTKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKDGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDSADYMMSICGNDALRELSSPGKSGSIFFLSQDDHFMIKTLRKSEVKVLLKMLPDYHHHVRSHENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTENVEIEENTTLKDLDLNYCFYLEPSWREALLQQIEIDSKFLEVQHIMDYSLLLGVHYRAPQHLRSLMSYNRSISAEGLGVLAEEDTIEDENYPQGLVLVPRGTGDDSVVVGPHIRGSRLRASSAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGEEEKQTFHEAYDVVLYLGIIDILQEYNMSKKIEHAYKSLQFDSLSISAVDPTFYSRRFLEFIQKVFPPNVITS >Potri.006G121200.12.v4.1 pep chromosome:Pop_tri_v4:6:9613472:9619881:1 gene:Potri.006G121200.v4.1 transcript:Potri.006G121200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121200.v4.1 MSGAEVIVGNVERALTYADRTKSVDAISDRDRSSISINGESFRASSENLAFRVGELGLPNGESYSGSLLGNAPEGNGKYVWSDGCVYEGEWRRGMRHGNGKIQWPSGTAYEGEFSGGYMHGAGTYVGSNNLTYKGRWRLNLKHGLGYQVYPNGDIFEGSWIQGGPEGPGKYTWANRNVYHGDMKGGKMTGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWSDGGCYVGTWTRGLKDGKGSFYPKGSRFQAVEEWYLNALRKRGVLPDLRKQNHALIHHASSVNMGNVKVGENQGSRHNSSDKLSKGNLLTLEQSRNKNVSLERRWSLEVYIEKVIGHDSSLDLSEGLGKEFETNTPPILEREYMQGVLISELVLNSSFSSSSRRTKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKDGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDSADYMMSICGNDALRELSSPGKSGSIFFLSQDDHFMIKTLRKSEVKVLLKMLPDYHHHVRSHENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTENVEIEENTTLKDLDLNYCFYLEPSWREALLQQIEIDSKFLEVQHIMDYSLLLGVHYRAPQHLRSLMSYNRSISAEGLGVLAEEDTIEDENYPQGLVLVPRGTGDDSVVVGPHIRGSRLRASSAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGEEEKQTFHEAYDVVLYLGIIDILQEYNMSKKIEHAYKSLQFDSLSISAVDPTFYSRRFLEFIQKVFPPNVITS >Potri.006G121200.9.v4.1 pep chromosome:Pop_tri_v4:6:9613602:9619820:1 gene:Potri.006G121200.v4.1 transcript:Potri.006G121200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121200.v4.1 MSGAEVIVGNVERALTYADRTKSVDAISDRDRSSISINGESFRASSENLAFRVGELGLPNGESYSGSLLGNAPEGNGKYVWSDGCVYEGEWRRGMRHGNGKIQWPSGTAYEGEFSGGYMHGAGTYVGSNNLTYKGRWRLNLKHGLGYQVYPNGDIFEGSWIQGGPEGPGKYTWANRNVYHGDMKGGKMTGKGTLTWTNGDSFEGSWLNGMMHGFGVYTWSDGGCYVGTWTRGLKDGKGSFYPKGSRFQAVEEWYLNALRKRGVLPDLRKQNHALIHHASSVNMGNVKVGENQGSRHNSSDKLSKGNLLTLEQSRNKNVSLERRWSLEVYIEKVIGHDSSLDLSEGLGKEFETNTPPILEREYMQGVLISELVLNSSFSSSSRRTKRRQKKLAKEVKRPGEAIIKGHRSYDLMLSLQLGIRYTVGKITPVQRREVRASDFGPRASFWMNFPKDGSQLTPPHQSEDFKWKDYCPMVFRNLREMFKIDSADYMMSICGNDALRELSSPGKSGSIFFLSQDDHFMIKTLRKSEVKVLLKMLPDYHHHVRSHENTLITKFFGLHRIKPSSGQKFRFVVMGNMFCTELRIHRRFDLKGSSLGRSTENVEIEENTTLKDLDLNYCFYLEPSWREALLQQIEIDSKFLEVQHIMDYSLLLGVHYRAPQHLRSLMSYNRSISAEGLGVLAEEDTIEDENYPQGLVLVPRGTGDDSVVVGPHIRGSRLRASSAGDEEVDLLLPGTARLQIQLGVNMPARAEQIPGEEEKQTFHEAYDVVLYLGIIDILQEYNMSKKIEHAYKSLQFDSLSISAVDPTFYSRRFLEFIQKVFPPNVITS >Potri.007G008600.16.v4.1 pep chromosome:Pop_tri_v4:7:669069:672758:1 gene:Potri.007G008600.v4.1 transcript:Potri.007G008600.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008600.v4.1 MSRLSPRRNQRSKGFKVKHALQICLLIGVCGWLLYQVKHSHDKKAAYEKSVKTENDVVKLGRKDLQPEVKENFIGDERHKEEEEEESKSEEESKPDDNTGTEGGDDEIHDHFHDKTEEGAERREDSIDGEKEAEDKTEEGVEHREDSVDGEKEREVRNENVSEGMEREGTRDNVNDDREKGEIRENYSEDKETEESKRSDGEDKENVEGKEIEIEESKESESINRETEESKENEEGKEGESEHRERERKESEESKESESEHRGSEDSKENEESKESESEHRESEERKVNEESKESESEHRESEDSKENEERKESESEHRETEDSKEHEMSEETANENKENASEEKERVEESQQKENKEVVEQSNEEENKEKELESKVTMEDQVNDGNNAHSEEAREEHYKGDDASSAVVHQIQNDTPEEEHGDLKKSGEGEPVENKEKNQLEFENRTNNTEVVDFNQNEVPKVKESDSGENDDSTNAKTSEEGTNENNKQDSEEGSHDTSTVATVNEQQHEDSNSIPVTADNVVSKEEESTMNNVVLEQTEKPESVASNQQSDSNSTHSSTTNNGDSTNVESMGSSNSESVASNGQTNSITPLLTSQNGDAAQIDKSNSSSSVEGSTEIVLPSSTNESADAGQTGHGDSLHSEQKDTSSNTNNDANTGQEQQGNNWSSQEKDKLSISSNNDGADQSSATSNANNNNNENVYTDASQKEQVDPNNGNADQNSHSNYNGNANENTDANQNEQVESSDSSKSREEGDVSTNTSSSIDVKQNGSVDSSISQEEKEARTDLGTLPGSGTKGASGGDAATE >Potri.007G008600.17.v4.1 pep chromosome:Pop_tri_v4:7:669090:672747:1 gene:Potri.007G008600.v4.1 transcript:Potri.007G008600.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008600.v4.1 MSRLSPRRNQRSKGFKVKHALQICLLIGVCGWLLYQVKHSHDKKAAYEKSVKTENDVVKLGRKDLQPEVKENFIGDERHKEEEEEESKSEEESKPDDNTGTEGGDDEIHDHFHDKTEEGAERREDSIDGEKEAEDKTEEGVEHREDSVDGEKEREVRNENVSEGMEREGTRDNVNDDREKGEIRENYSEDKETEESKRSDGEDKENVEGKEIEIEESKESESINRETEESKENEEGKEGESEHRERERKESEESKESESEHRGSEDSKENEESKESESEHRESEERKVNEESKESESEHRESEDSKENEERKESESEHRETEDSKEHEMSEETANENKENASEEKERVEESQQKENKEVVEQSNEEENKEKELESKVTMEDQVNDGNNAHSEEAREEHYKGDDASSAVVHQIQNDTPEEEHGDLKKSGEGEPVENKEKNQLEFENRTNNTEVVDFNQNEVPKVKESDSGENDDSTNAKTSEEGTNENNKQDSEEGSHDTSTVATVNEQQHEDSNSIPVTADNVVSKEEESTMNNVVLEQTEKPESVASNQQSDSNSTHSSTTNNGDSTNVESMGSSNSESVASNGQTNSITPLLTSQNGDAAQIDKSNSSSSVEGSTEIVLPSSTNESADAGQTGHGDSLHSEQKDTSSNTNNDANTGQEQQGNNWSSQEKDKLSISSNNDGADQSSATSNANNNNNENVYTDASQKEQVDPNNGNADQNSHSNYNGNANENTDANQNEQVESSDSSKSREEGDVSTNTSSSIDVKQNGSVDSSISQEEKEARTDLGTLPGSGTKGASGGDAATE >Potri.001G132400.2.v4.1 pep chromosome:Pop_tri_v4:1:10773487:10776466:1 gene:Potri.001G132400.v4.1 transcript:Potri.001G132400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132400.v4.1 MIIKGLCRRYERWNPVHPTSGAFWGMGIGIGCGVGWGPGFGPEAIGYVGAGCGVGFSVGITFVGFGIGLPANYLFQVPYNAIVATRSGALAIAKSNGLLSARDVAGEGWNNVASRASLLQRETSGRLSSFNKKLLLDNGVDLVDMKSKLSVNAGSLSKVLETFSSRFFPPGKGMED >Potri.001G132400.1.v4.1 pep chromosome:Pop_tri_v4:1:10773560:10776466:1 gene:Potri.001G132400.v4.1 transcript:Potri.001G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132400.v4.1 MIIKGLCRRYERWNPVHPTSGAFWGMGIGIGCGVGWGPGFGPEAIGYVGAGCGVGFSVGITFVGFGIGLPANYLFQVPYNAIVATRSGALAIAKSNGLLSARDVAGEGWNNVASRASLLQRETSGRLSSFNKKLLLDNGVDLVDMKSKLSVNAGSLSKVLETFSSRFFPPGKGMED >Potri.010G176000.5.v4.1 pep chromosome:Pop_tri_v4:10:17622928:17626645:1 gene:Potri.010G176000.v4.1 transcript:Potri.010G176000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176000.v4.1 MTRVIWKSIKDKLIFPFLDLDIKYFDLGLPNRDATDDRVTVESAEATLKYNVAIKCATITPDEGRVKEFNLKNMWKSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDTVIQGPGKLKLVFVPDGHNEKTEFEVFKFKGAGGVALSMYNTDESIQAFAEASMNTAYLKKWPLYLSTKNTILKKYDGRFKDIFQEVYETQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSSGLAHRAKLDGNVKLMDFTAKLEASCIGAVESGKMTKDLALLIHGPRVSRSQFLNTEEFIDAVAEELRARLSVKAKL >Potri.010G176000.1.v4.1 pep chromosome:Pop_tri_v4:10:17622934:17626771:1 gene:Potri.010G176000.v4.1 transcript:Potri.010G176000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176000.v4.1 MIAVITQRQQVKMAFDKIKVTNPIVEMDGDEMTRVIWKSIKDKLIFPFLDLDIKYFDLGLPNRDATDDRVTVESAEATLKYNVAIKCATITPDEGRVKEFNLKNMWKSPNGTIRNILNGTVFREPIICKNIPRLVSGWTKPICIGRHAFGDQYRATDTVIQGPGKLKLVFVPDGHNEKTEFEVFKFKGAGGVALSMYNTDESIQAFAEASMNTAYLKKWPLYLSTKNTILKKYDGRFKDIFQEVYETQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSSGLAHRAKLDGNVKLMDFTAKLEASCIGAVESGKMTKDLALLIHGPRVSRSQFLNTEEFIDAVAEELRARLSVKAKL >Potri.001G002200.2.v4.1 pep chromosome:Pop_tri_v4:1:137868:142684:-1 gene:Potri.001G002200.v4.1 transcript:Potri.001G002200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002200.v4.1 MGDMATYLQCFWGLFLSLSLYFIQSESTSHVYVVYLGRSQFHDPLVTSKSHIQLLSNVFSSEEEAKQSMLYSYKHGFSGFSAKLNSTQATTLANTKGVISVFRSKVLKLHTTRSWDFLGLTLYSGEVTPLQLTYGDDVVVGVFDTGIWPESESFKEEQGLGPIPSSWKGKCVKGEDFEPKMDCNRKLIGARYYLQGFEQEFGSLNTSGNPEYRSARDFLGHGTHTASTAVGSMVKNASFLDFALGTARGGAPRARLAVYKVCWGKNLDGNCAEADILAAFDDALHDGVNIISASFGSDPPLTPFFSSSADIGSFHAMQLGVSSVFSAGNAGPDPSLVGNVAPWTISVAASSIDRVFPTEIVIDSNFSVMGESLITNEINGRLVSAFSYFADGACLMENWNKRVAKRKIILCFSNRGPVPSAGIAQAAVLAASGSGLIFVEPPTMQIADVDIIPTVRVDVGQGNKIQIYIAQSSQNPVVKILPSKTAIGKSPAPVVASFSSRGPSPISPDILKPDVTAPGVTILAAWPAKTSPTLLPFDDRRVNWNFQSGTSMSCPHVSGVVALLKSAHPDWSPAAIRSAVMTTAYTRDNTFDSILAGGSRKVSDPFDIGAGHIHPSKAMDPGLVYDMKTRDYIIFLCNIGYNKNQINMLVLPSTGTDTSCSHVHQTNSNINYPSITVSNLQSTMTIKRTVRNVGRKTTAIYFVSIVKPHGVEVLIWPRILIFSCFKEELSYFVTLKPLKKSQGRYDFGEIVWSDGFHKVRSPLVVLVNNSNDDDDDDDDPSVFASNITAASSSI >Potri.001G016700.2.v4.1 pep chromosome:Pop_tri_v4:1:1241197:1241861:1 gene:Potri.001G016700.v4.1 transcript:Potri.001G016700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016700.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.011G150500.1.v4.1 pep chromosome:Pop_tri_v4:11:17767835:17769479:1 gene:Potri.011G150500.v4.1 transcript:Potri.011G150500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150500.v4.1 MATSTNEEDYHLQYAMQLSSASVLPLVLKAAIELGVFEIIEKAGPDALLSASDIVAQFPTQNNPVAHILLDRNLCLLASHSILTCSVSTKKIQDGHSQRLYGLAPVAKYFTKNQDGGSLSPFLAMIMMDMWYHLKDAVLEGGIPFEKAHGINSAEYLKKDARFCELFSSSMKSFNVTFMETILDIYDGFEGVKCLVDVGGGNGSILNMIITKYPAIKGINYDLASVVESSPSYPGIEHVAGDGFVTIPKGGDAIFMKWITHNWDDEHLLKLLKNCYEALPDNGKVIVVDMVVPETPETNVKAKSMLQNYLFITSMSPQGKERTEKEFETLGKEAGFSHIRVACFVCNFSVVEFIKK >Potri.006G248800.1.v4.1 pep chromosome:Pop_tri_v4:6:24861944:24864635:-1 gene:Potri.006G248800.v4.1 transcript:Potri.006G248800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248800.v4.1 MYWAFLSIEITEAPIASVFLPNHAPDGEKEVSRILTRDENFNFRAAHWADLHGLLYKALPEEVFLWGRLYLCFSTSEDKTSVKVEAKVLQTEEIIEINGDWLVAADGCLSSIRKTFLPDLKLRLIEITMNLLHFMIMYSGCCAWRGVLDFSGNGNSESILGIQRAYADPGKCLYFDLNTGSHTGHYELLNKRLNWMWYVHQPEPGQKGNSVTMKVSSDMIENMYQEAEKVWGPELVRVTRETKEPFINIIYDCDPLEQIFWDNVVLIGDASHPTTPLGVRSTNMSTLDAAVLGKCIEKWGAENIPSALEEYQRIRVTVTAKQVLHLQRVGRIKQGLALPDRKLFDPMTASAEESEELQKKHMPFFASAPLSVD >Potri.004G041900.3.v4.1 pep chromosome:Pop_tri_v4:4:3338813:3342929:1 gene:Potri.004G041900.v4.1 transcript:Potri.004G041900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041900.v4.1 MELSNHFISIKKSPILFFTTKPPFSTKALTKPFDSHSPKLTSPAHSLQLETLKILEWSSLCNQLTPFTSTSMGQSITRNAKIPIGKSKEESQKLLDQTAAALAVMESGPLDFSGIEDITRILDSAVSGTLLTVGELCAVRRTLRAARAVLERLKDSGDCSERISSILLSLNRYAPLLEILQNCSFQIELEKKVGFCIDCNLSKILDRASEDLEIIRSERKRNMENLDRLLKGISARIFQAGGIDKPLVTKRRSRLCVGVRASHRYLIPDGVVLNVSSSGVTYFMEPGEAVELNNLEVMLSDSEKAEEIAILSLLTSEIAESARDIKYMLDGIIEVDLSFARAAYAYWMNGVRPIWTSEGCGGISSSGGDYLLSIDIEGIRHPLLNGTSRKRLSNILGSNSLNSMEVDEDSMLDTGKPSKNVSEFPVPINIKVECGTRVVVISGPNTGGKTASMKTLGVASLMSKAGLYLPAKNTPKLPWFDFVLADIGDHQSLEQNLSTFSGHISRICKILEVASNESLVLVDEICSGTDPSEGVALSTSILHYLRDHVNLAVVTTHYADLSLLKDKDSRFENAAMEFSLETLQPTYQILWGCTGDSNALSIAKSIGFDSNIIERARKWVEKLVPEKQQERSGMLYQSLLEERNRLEAQARKGASLHTEIMELYHEIQAESEDLDGRVKALMAKETQLVQLELKAANSQIETVVQNVETQLRKASPDQFNSLIKKSESAIASIVEAHCSSDSLPASETDTSSYTPQLGEQVLVKRLGNKLATVVEAPRDDETVLVQYGKIRVRMKKSDIRAIKSDKKSKATILVPSLKRQVKQSFSELNKDEEVSHGPRVQTSKNTVDLRGMRVEEAAQHLNMAISAREPLSVIFVVHGMGTGAVKEGALEVLGKHPRVAKYEPESPMNFGCTVAYIK >Potri.004G041900.5.v4.1 pep chromosome:Pop_tri_v4:4:3338841:3342909:1 gene:Potri.004G041900.v4.1 transcript:Potri.004G041900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041900.v4.1 MELSNHFISIKKSPILFFTTKPPFSTKALTKPFDSHSPKLTSPAHSLQLETLKILEWSSLCNQLTPFTSTSMGQSITRNAKIPIGKSKEESQKLLDQTAAALAVMESGPLDFSGIEDITRILDSAVSGTLLTVGELCAVRRTLRAARAVLERLKDSGDCSERYAPLLEILQNCSFQIELEKKVGFCIDCNLSKILDRASEDLEIIRSERKRNMENLDRLLKGISARIFQAGGIDKPLVTKRRSRLCVGVRASHRYLIPDGVVLNVSSSGVTYFMEPGEAVELNNLEVMLSDSEKAEEIAILSLLTSEIAESARDIKYMLDGIIEVDLSFARAAYAYWMNGVRPIWTSEGCGGISSSGGDYLLSIDIEGIRHPLLNGTSRKRLSNILGSNSLNSMEVDEDSMLDTGKPSKNVSEFPVPINIKVECGTRVVVISGPNTGGKTASMKTLGVASLMSKAGLYLPAKNTPKLPWFDFVLADIGDHQSLEQNLSTFSGHISRICKILEVASNESLVLVDEICSGTDPSEGVALSTSILHYLRDHVNLAVVTTHYADLSLLKDKDSRFENAAMEFSLETLQPTYQILWGCTGDSNALSIAKSIGFDSNIIERARKWVEKLVPEKQQERSGMLYQSLLEERNRLEAQARKGASLHTEIMELYHEIQAESEDLDGRVKALMAKETQLVQLELKAANSQIETVVQNVETQLRKASPDQFNSLIKKSESAIASIVEAHCSSDSLPASETDTSSYTPQLGEQVLVKRLGNKLATVVEAPRDDETVLVQYGKIRVRMKKSDIRAIKSDKKSKATILVPSLKRQVCMVHGPEEVSLVSDTAGIPVYLCFYIFWDSLS >Potri.004G041900.1.v4.1 pep chromosome:Pop_tri_v4:4:3338812:3342954:1 gene:Potri.004G041900.v4.1 transcript:Potri.004G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041900.v4.1 MELSNHFISIKKSPILFFTTKPPFSTKALTKPFDSHSPKLTSPAHSLQLETLKILEWSSLCNQLTPFTSTSMGQSITRNAKIPIGKSKEESQKLLDQTAAALAVMESGPLDFSGIEDITRILDSAVSGTLLTVGELCAVRRTLRAARAVLERLKDSGDCSERYAPLLEILQNCSFQIELEKKVGFCIDCNLSKILDRASEDLEIIRSERKRNMENLDRLLKGISARIFQAGGIDKPLVTKRRSRLCVGVRASHRYLIPDGVVLNVSSSGVTYFMEPGEAVELNNLEVMLSDSEKAEEIAILSLLTSEIAESARDIKYMLDGIIEVDLSFARAAYAYWMNGVRPIWTSEGCGGISSSGGDYLLSIDIEGIRHPLLNGTSRKRLSNILGSNSLNSMEVDEDSMLDTGKPSKNVSEFPVPINIKVECGTRVVVISGPNTGGKTASMKTLGVASLMSKAGLYLPAKNTPKLPWFDFVLADIGDHQSLEQNLSTFSGHISRICKILEVASNESLVLVDEICSGTDPSEGVALSTSILHYLRDHVNLAVVTTHYADLSLLKDKDSRFENAAMEFSLETLQPTYQILWGCTGDSNALSIAKSIGFDSNIIERARKWVEKLVPEKQQERSGMLYQSLLEERNRLEAQARKGASLHTEIMELYHEIQAESEDLDGRVKALMAKETQLVQLELKAANSQIETVVQNVETQLRKASPDQFNSLIKKSESAIASIVEAHCSSDSLPASETDTSSYTPQLGEQVLVKRLGNKLATVVEAPRDDETVLVQYGKIRVRMKKSDIRAIKSDKKSKATILVPSLKRQVKQSFSELNKDEEVSHGPRVQTSKNTVDLRGMRVEEAAQHLNMAISAREPLSVIFVVHGMGTGAVKEGALEVLGKHPRVAKYEPESPMNFGCTVAYIK >Potri.013G088025.1.v4.1 pep chromosome:Pop_tri_v4:13:8412566:8414971:1 gene:Potri.013G088025.v4.1 transcript:Potri.013G088025.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088025.v4.1 MLSSSFFFLCLFSDLPSPGLFFSVFLFPCVCSFSLLPLVPPVVFPPQLCGLSLTFIKPENAMRSCLKIIRQPCRTIGNSIWRCIWRLRGQLAETWSMICYKFMLNRWNEGS >Potri.004G219600.1.v4.1 pep chromosome:Pop_tri_v4:4:22525800:22526610:-1 gene:Potri.004G219600.v4.1 transcript:Potri.004G219600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219600.v4.1 MENFTKKLDSTDVQRRLTLPENCLKDFPKDHEANLKFKDEEGQVWTFRCRVSPGRSSKPALSGDWFSFVRKKGLSTGDVIVIISDKEKDVAAGEHFKIKIKKSASTHHQN >Potri.003G075700.1.v4.1 pep chromosome:Pop_tri_v4:3:10315900:10325075:1 gene:Potri.003G075700.v4.1 transcript:Potri.003G075700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075700.v4.1 MRDLQLTQVAGTRQSPLAMVYTDDMMEDVRLLDSCESRDDHNDGSHAIVIGEVGSKRIQVRVTGMTCAACSNSVESALKSVHGVFRASVALLQNKADVVFDPALVKDDDIKNAIEDAGFEAEILSEPSILKTKPNGTLLGQFTIGGMTCAACVNSVEGILRNCPGVKRAVVALATSLGEVEYDPTVISKDDIVNAIEDAGFDASLVQSSQQDKILLGVAGIFSEMDVQLLEGILIMLKGVRQFRYNQLSSELEVLFDPEVVGSRSLVDGVEGGSNGKFKLHVINPYSRMTSKDVGEISVMFRLFISSLFLSIPIFFMRVICPHIPLLYSLLLWRCGPFLMGDWLKWALVSVVQFVIGKRFYVAAGRALRNGSTNMDVLVALGTSASYFYSVCALLYGAVTGLWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVQLAPATALLVVKDKGGKSIGEREIDSLLIQPGDILKVPPGTKVPADGVVVWGSSHVNESMVTGESAPVLKEASSSVIGGTINLHGALHIQATKVGSDAVLSQIISLVETAQMSKAPIQKFADYVASIFVPTVVGLALVTLFSWYISGISGAYPEEWLPENGNYFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANHGVLIKGGDALERAQKIKYVILDKTGTLTQGKATVTDVKVFTGMGRGEFLRWVASAEASSEHPLAKAIVEHARHFHSFDEPPATNDGQTPSKGSTISGWLLDVSDFLAHPGSGVKCFIDGKRILVGNRKLMTESGIAIPDQVENFVVELEESAKTGVLVAFDDNIIGILGIADPLKREAAVVIEGLLKMGVKPVMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKADVIQSFQKDGSIVAMVGDGINDSPALAAADVGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFSRIRLNYIFAMGYNVIAIPIAAGMFFPSLGIMLPPWAAGACMALSSVSVVCSSLLLRRYRKPRLTTILEITVD >Potri.003G088400.2.v4.1 pep chromosome:Pop_tri_v4:3:11516761:11521123:-1 gene:Potri.003G088400.v4.1 transcript:Potri.003G088400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088400.v4.1 MASSLGMQSSPSRTASFLKPSSSLSRCCSRQTSLSFNGASTRISSTNTIKCDISEPLNFGNGKPTIPVLNNQTLPKFLESARVDKTVNRNDTRLKLFSGTANPALSQEVARYMGLELGKINIKRFADGEIYVQLQESVRGCDVFLLQPTCPQANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGATRILACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICFSDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPVAEKNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >Potri.003G088400.6.v4.1 pep chromosome:Pop_tri_v4:3:11516761:11521089:-1 gene:Potri.003G088400.v4.1 transcript:Potri.003G088400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088400.v4.1 MASSLGMQSSPSRTASFLKPSSSLSRCCSRQTSLSFNGASTRISSTNTIKCDISEPLNFGNGKPTIPVLNNQTLPKFLESARVDKTVNRNDTRLKLFSGTANPALSQEVARYMGLELGKINIKRFADGEIYVQLQESVRGCDVFLLQPTCPQANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGATRILACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICFSDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFRYSVHLQYLINFFDVCINNFYFSHV >Potri.T125506.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:105583:106675:1 gene:Potri.T125506.v4.1 transcript:Potri.T125506.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125506.v4.1 MPQHCPVLSTVLWLVCFLASTSSLNTRNKTELSELVSYRWTYQTILIMFANFCQTNKENSETEWINDLIAV >Potri.005G210800.2.v4.1 pep chromosome:Pop_tri_v4:5:21502330:21503612:-1 gene:Potri.005G210800.v4.1 transcript:Potri.005G210800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210800.v4.1 MRDFPSCFGESGVQVADSSSSSTTKAAQNLVTCVYQCKLHGRSCLITVTWTKNLMGQGVGVAIDDSTSQCLCKVDIKPWLFSKRKGYKNLEVASDKVIIYWDLSNAKFGSGPEPLEGFYLAITFNQEMVLLLGDMAKEAYKKIESAPVHTNAIFIARREHIFGKKFYAAKAQFCDKGQMHDVTIECDTIDLKDPCLVIRIDSKMVMQVKRLKWKFRGNYTILVDGLPVEVFWDVHNWLFGNSMGNAVFMFQTCLPAEKLWKSQSSTLDPSVLTWSCLQKFRDCQLQGLGFSLTLYAWKNE >Potri.010G231250.1.v4.1 pep chromosome:Pop_tri_v4:10:21327676:21327882:-1 gene:Potri.010G231250.v4.1 transcript:Potri.010G231250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231250.v4.1 MHRDESKFIEDVLNKLDCKCFHVSKHMIGVDSGLLLEIATKDVYVVGIYGMEGVGKTPTTESVFNRLC >Potri.016G037400.1.v4.1 pep chromosome:Pop_tri_v4:16:2284046:2294225:-1 gene:Potri.016G037400.v4.1 transcript:Potri.016G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037400.v4.1 MATKGSGLKSTSINGVKIYMVSSQQRNPASWVGSKKQRPSRKDKNYQQKIELVQDLTFPTATSRIKVTPDGEFLIASGIHPPQIKVYELREFALKFERHFDSEIIDFQILDDDYSKLAFLCADRSVCLHAKYGKHYTLRIPRMGRDMAYDCWSCDLLCAASSSDLYRINLEKGQFLSPLRTQSPGLNVVCRSKLHGLVACGGDDGAVECFDMRTKSSIGRINAVEHGGDISEEVTALKFDEDGGFTMAVGSSGGKVLLYDLRSSHPMRVKDHMYGSAILDIKWHRTLNSERQKLITTDKHVVRIWDPETGDGMTSIEPTAGTINDICVFSKSGLMFLALDCSQIPSYFIPSLGPAPKWLPSIENLTEEMEEDAQTTIYDNFKFLTKEDLEKLNLTSLIGTNLLRASMHGFFIDYKLYKKAKQYTEPFEYETYREQQTQKKLEEQFVSRITIPKKLPKVNRKLAASVIEKEAEIEQIEADKNETKKASRKKKGLGPEIFEDERFKALFEDKDFEIDENSTEYLALHPMASMKQPSLVAEHFELLTEDEDQSLSDSDNSAASQSSGDEHSNGNRKLKKKPRLYEVKDERHAEAFWNRESLADEDSLPLGERAAALGDNLLTSARNNIKFGPGGSREISFTTRSSATYKEDGEDKDMQREKRRGIQSLGLKSARSGFRGNRRGGSRGRGRRGRH >Potri.008G093800.1.v4.1 pep chromosome:Pop_tri_v4:8:5844585:5847776:-1 gene:Potri.008G093800.v4.1 transcript:Potri.008G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093800.v4.1 MFGFRKSPANNKPTKQSSVNPPASFTSNPFDSDDESNAKQTLHHGKRTYSEPMLNVPNDAFDDRDGYKNDFRDSGGLENQSVQELEKYATYKAEETTKSVNNCLRIAEDIRQDATRTLDMLHAQGEQITRTHNMAVDMDKDLGKGEKLLNNLGGIFSKPWKPKKTRDITGPLITADKPSKLNQYNRGEREKLGLAPRGRSAPTTPPPEPTNALQKVEAEKGKQDDALSDLSNILGDLKGMAIDMGSELDSQNKSLDHLSDDVDELNSRVKGANQRARRLLGK >Potri.007G045100.1.v4.1 pep chromosome:Pop_tri_v4:7:3955199:3957983:-1 gene:Potri.007G045100.v4.1 transcript:Potri.007G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045100.v4.1 MATPILKVGCSLVFTISLLASTLAQSDTYIIHMDRSAMPKAFTDHHNWYLATISSVSDTAKSTFTRTSKHIYTYTSSVQGFSASLTKSELEALKKSPGYISSTRDRKIKVHTTHTSEFLGLSSSSGAWPTANYGEDMIIGLVDTGIWPESESFSDEGMTEVPSRWKGKCEPGTQFNSSMCNKKLIGARYYNKGLLANDPKIKISMNSTRDTDGHGTHTSSTAAGNYVKGASYFGYANGTSSGMAPRARIAMYKAIWRYGVYESDVLAAIDQAIQDGVDILSLSLTVAIEDDFFLEDDTIAIASFAAMEKGVFVAASAGNAGPNYYTLVNGAPWMLTIGAGTIDREFEGVLTLGNGNQISFPTVYPGNYSLSHKPLVFMDGCESVNELKKVKNKIIVCKDNLTFSDQIDNAASARVSGAVFISNHTSPSEFYTRSSFPAVYIGLQDGQRVIDYIKESKDPRGTVVFRKTVTGTKPAPRVDGYSGRGPFASCRSVLKPDLLAPGTLVLASWSPISSVAEVRSHSLFSKFNLLSGTSMATPHVAGVAALIKKAHPDWSPAAIRSALMTTADSLDNTLSPIKDASNNNLPATPIDIGSGHINPNKSLDPGLIYDATAEDYIKLLCAMNYTNKQIQIITRSSHHDCKNRSLDLNYPSFIAYFDSYDSGSKEKVVHKFQRTLTNVGERMSSYTAKLLGMDGIKVSVEPQKLVFKKEHEKLSYTLTLEGPKSLEEDVIHGSLSWVHDGGKYVVRSPIVATSVTP >Potri.010G156300.3.v4.1 pep chromosome:Pop_tri_v4:10:22775476:22779607:-1 gene:Potri.010G156300.v4.1 transcript:Potri.010G156300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G156300.v4.1 MAQNDSLSEFLQTAVEAAKRAGEIIREGFYQTKHVEHKGLVDLVTETDKACEDLIFNYLKQQHPSHKFIGEETTAACGITELTDEPTWIVDPLDGTTNFVHGFPFVCISIGLTIGKVATVGVVYNPIMDELFTGVHGKGAFLNGKPIKVSSQSELVKSLLATEAGTKRDKSTVDATTNRINSLLFKVRSLRMTGSCALNLCGIACGRIDLFYETGYGGPWDVAGGAVIVKEAGGIVYDPSGKDFDITSQRVAASNPLLKEAFVEVLQQSE >Potri.016G107200.1.v4.1 pep chromosome:Pop_tri_v4:16:11209470:11210951:1 gene:Potri.016G107200.v4.1 transcript:Potri.016G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G107200.v4.1 MAKFNIPACLTVLTFMISHLKMLNAGSSYSFSFETFDKNPNFQSNIALYGDAKVVGNGSLQLTRAGSSSAGRVMYKQPIKLVEGISGNMVSFSTNFSFLMSTDDGDGLAFFLVPSGFYVRMFDNSPFGLYLGSEKSSPKFVAVEFDTSRDAKFGDLNDNHVGIDVGGFVSVKVRNVSSNNMVLNSGKRLHSWIDYEAGSKRLEVRLSQSGDIKPIDPLLSYPIDLSKMLNDERVLIGLSSSNGNSSQTCFLYSWSLKLRHVPHWMHSEPLDPRAFAKRVKPVVVQKKSDCILKILAAMIFGTACGALGAFMVLYLWTIFGNRRPVVPEECSAHPGDFEYKKVKVVVDKAVQDGKH >Potri.002G189800.2.v4.1 pep chromosome:Pop_tri_v4:2:15160669:15163972:-1 gene:Potri.002G189800.v4.1 transcript:Potri.002G189800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189800.v4.1 MEENRCKFWLPKKNRFCANSPLNDSQFCGNHKPRSTEQWIPCPIDPSHSVLKENLESHVKRCPLLKQAQSLSLQPFYQKGINAGKEEEEEDNVSSEMKRSAVYSMTVTQFCKLINKIESVHASTCKDIWESYKVPEACNMWIKREVDRKLPFQEKHVAQQASILGNLEDFGVIKSSVGSKEADSQGFCSDDSNFVHAVVEFGAGRGYLTQMLADCYGFDRVFLVERKSYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLRGIPYLAIGKHLCGPATDLTLRCCLSEQCNQGSVQDCRSNANLKGLAIATCCHHLCQWKHYTNRKFMSDLGITKGQFHAMTWFTSWAVDADHSSDLPDITDCSLQLQSIEEKQCFGDMHGVEDVVRNMKPVERAVLGFKCKQIIDVGRMMWAKEHGLDTQLVKYVPSGISPENHLLLARHAKCL >Potri.002G189800.1.v4.1 pep chromosome:Pop_tri_v4:2:15160647:15164032:-1 gene:Potri.002G189800.v4.1 transcript:Potri.002G189800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189800.v4.1 MEENRCKFWLPKKNRFCANSPLNDSQFCGNHKPRSTEQWIPCPIDPSHSVLKENLESHVKRCPLLKQAQSLSLQPFYQKGINAGKEEEEEDNVSSEMKRSAVYSMTVTQFCKLINKIESVHASTCKDIWESYKVPEACNMWIKREVDRKLPFQEKHVAQQASILGNLEDFGVIKSSVGSKEADSQGFCSDDSNFVHAVVEFGAGRGYLTQMLADCYGFDRVFLVERKSYKLKADRSLRQKESLILERLRIDIEDLNLNAVESLRGIPYLAIGKHLCGPATDLTLRCCLSEQCNQGSVQDCRSNANLKGLAIATCCHHLCQWKHYTNRKFMSDLGITKGQFHAMTWFTSWAVDADHSSDLPDITDCSLQLQSIYYSEEKQCFGDMHGVEDVVRNMKPVERAVLGFKCKQIIDVGRMMWAKEHGLDTQLVKYVPSGISPENHLLLARHAKCL >Potri.001G306700.2.v4.1 pep chromosome:Pop_tri_v4:1:31652792:31653118:1 gene:Potri.001G306700.v4.1 transcript:Potri.001G306700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306700.v4.1 MAAHPQVSTHTTLATRLRLDNEETTCWGSLLHLQSCISNVLLFFLNGETYLRPSCCHAIRIIGHHCWPSMLASLGFTVQEGDILRGYCDATAHSSSPPPEPIFFPNHT >Potri.011G039000.2.v4.1 pep chromosome:Pop_tri_v4:11:3016505:3023702:-1 gene:Potri.011G039000.v4.1 transcript:Potri.011G039000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039000.v4.1 MEIGSCIFMAALRLLLCCFCWQLGAAVDTITSSQYIKDPEAVVSAGNKFKLGFFSPGNSTNRYVGIWYSNISVTTPVWIANRNKPLNDSSGIMTISEDGNIVVLDGRKEILWSSNVSNGVSNSSAQLTDDGNVILRGGEIGNSLWQSFQEPSDTFMLKMRLTANRRTGKKTQITSWKSPSDPSVGSFSSGIEPSSIPEVFVWNDSRPFWRSGPWNGQAFIGIPEMNSVYLNGYNLVQDGDGTFSLSVGLANESYITNFALSYEGRFGEMYWDSANERWEHKKQYPGDDCDIYGKCGPFGFCNTQNSLICRCLKGFEPKNSDEWNRRNWTNGCVRRRELKCERTQSDGQVPKEDEFLKLDKVKVPDFSEWSSSASEQNCKDECLNNCSCIAYSYHTGIGCMLWRGKLTDIRKFSSGGANLYVRLADLEFGKNRDMKAVICITVVTGAIIVAVGAFFWWRRMAKYRERKRESERILSSRRKKGYPIFFNGNLIQESMNQVKFQELPLFKLQMLIAATDYFDAANKLGEGGFGPVYRGNLPDGQEIAVKRLSRASGQGQEEFMNEVVVISELQHKNLVRLLGCCVEGDEKMLVYEYMPNKSLDASLFDPVRKEVLDWKKRFNIVDGICRGLLYLHRDSRLRIIHRDLKPSNILLDQELNPKISDFGMARIFGGNEDHVKTRRVVGTYGYMSPEYAMHGRFSEKSDVFSFGVLLLEIVSGRRSTKIDGNEQGLNLLEFAWKLWNEGNAPALVDPALTLDQYSKVEIFRCIHVGLLCVQEFAKDRPAISTIISMLNSEIVDLPLPNNPAYTERLIGLHTERRGDSINFVSTTLFTGR >Potri.011G039000.3.v4.1 pep chromosome:Pop_tri_v4:11:3016518:3020062:-1 gene:Potri.011G039000.v4.1 transcript:Potri.011G039000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039000.v4.1 MEIGSCIFMAALRLLLCCFCWQLGAAVDTITSSQYIKDPEAVVSAGNKFKLGFFSPGNSTNRYVGIWYSNISVTTPVWIANRNKPLNDSSGIMTISEDGNIVVLDGRKEILWSSNVSNGVSNSSAQLTDDGNVILRGGEIGNSLWQSFQEPSDTFMLKMRLTANRRTGKKTQITSWKSPSDPSVGSFSSGIEPSSIPEVFVWNDSRPFWRSGPWNGQAFIGIPEMNSVYLNGYNLVQDGDGTFSLSVGLANESYITNFALSYEGRFGEMYWDSANERWEHKKQYPGDDCDIYGKCGPFGFCNTQNSLICRCLKGFEPKNSDEWNRRNWTNGCVRRRELKCERTQSDGQVPKEDEFLKLDKVKVPDFSEWSSSASEQNCKDECLNNCSCIAYSYHTGIGCMLWRGKLTDIRKFSSGGANLYVRLADLEFGKNRDMKAVICITVVTGAIIVAVGAFFWWRRMAKYRERKRESERILSSRRKKGYPIFFNGNLIQESMNQVKFQELPLFKLQMLIAATDYFDAANKLGEGGFGPVYRGNLPDGQEIAVKRLSRASGQGQEEFMNEVVVISELQHKNLVRLLGCCVEGDEKMLVYEYMPNKSLDASLFDPVRKEVLDWKKRFNIVDGICRGLLYLHRDSRLRIIHRDLKPSNILLDQELNPKISDFGMARIFGGNEDHVKTRRVVGTYGYMSPEYAMHGRFSEKSDVFSFGVLLLEIVSGRRSTKIDGNEQGLNLLEFAWKLWNEGNAPALVDPALTLDQYSKVEIFRCIHVGLLCVQEFAKDRPAISTIISMLNSEIVDLPLPNNPAYTERLIGLHTERRGDSINFVSTTLFTGR >Potri.004G167300.1.v4.1 pep chromosome:Pop_tri_v4:4:18558530:18560776:1 gene:Potri.004G167300.v4.1 transcript:Potri.004G167300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167300.v4.1 MGSEQIGQGGLTSKASPGKATILALGKAFPHQLVMQEFLVDGYFKNTNCDDPELKQKLTRLCKTTTVKTRYVVMSDEILNKYPELAIEGIPTIKQRLDICNDAVTQMAIGASRACIKKWGRSVSDITHMVYVSSSEARLPGGDLYLAGGLGLSPETQRVMLYFSGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSVDRPYDLVGVALFGDGAGAMVIGTDPVPVTESPLFELHTAIQNFLPNTEKTIDGRLTEEGISFKLARELPQIIEDNIEGFCHKLIGVAGLTDKDYNKMFWAVHPGGPAILNRMEKRLDLLPDKLNASRRALMDYGNASSNTIVYVLEYMIEESRKMKAGAANCDWGLILAFGPGITFEGILARNLTI >Potri.012G053500.1.v4.1 pep chromosome:Pop_tri_v4:12:5089619:5092151:1 gene:Potri.012G053500.v4.1 transcript:Potri.012G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053500.v4.1 MDPPPLPPLHNATTSANPTTVHLNYPDSVESSPRSHPPDSTFNETLPPVPGAKLRLMCSYGGHIIPRPHDKTLCYVGGETRMVAIDRHSSLPILSSRLSRTLLNGRPFTLKYQLPHEDLDSLVSVTTDEDLDNMIEEYDRINASSSALSPSRIRLFIFFSKPETAASMGSLLDDAKSETWFVDALNGSGLIPRNLSDSATLECLVTLDNDQDLEAQAEGVEGENKKAKNELLHEVHTTLSDSPVVEKNSSFGSSSSSPSMTNLPPIRVRVEDPRVGVEEQFAQMTYAQVVQKHDDGYGLLSAPPPPIPVSISAVGTAISTNPAGGSSEHLNRLLSDDERSDQGVPVSFRKPPLPVLQPVPHKTGTCYNLPSPDSVASDSSIASASSLSKPMYYQDQAHAAPRDNRGPSSPDTKGDIPIPSSQIQMQQVQGSGYTLPDQQQQQQQFVGGSTHFTTTPMPMTSYYPIYAPPSQQPHLPMDHQYPVYLMQVTQPQPYMSMQTNMVDTATVATTSRPATPSTATYRDPHPPIYPAKTVSTSAKPEMAATVYRTVMPSTPPLVHVPANQFQQSYMGYSQMQHPTQSINAAATNYAYSEYANSTHDQFYYTPSTQGSPQYQSMNPATVVALADDSTRIPTNNTMQQNIGTSQPQ >Potri.002G155500.1.v4.1 pep chromosome:Pop_tri_v4:2:11879366:11880698:-1 gene:Potri.002G155500.v4.1 transcript:Potri.002G155500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155500.v4.1 MTGTSSSSSSTTTISKDLPSDYVSAVKGFFADVGIHASLPQNSFSKDFYSDLIRDLLKVDNVLRGRVSCIFSVSPALGNYFNGLHGGAVGAIAERVSIACARTVVAGDKELFLGELSISYLSAATLNEVLVVEAAVARSGRNLTVVASEFRIKKTRKLVYTSRATIYHMPPAKL >Potri.002G246900.2.v4.1 pep chromosome:Pop_tri_v4:2:23759590:23760522:-1 gene:Potri.002G246900.v4.1 transcript:Potri.002G246900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246900.v4.1 MEPSSRSWSPCSILRHRHHHHHPLCQRHHHHHHHHHHPHLLCSHQHHHHQSSCPLHVSPRFALQAAQHPRPILSYPLVKDLNLDSTASKETSAPVAQVLQEPECDVLEEEEEDDDDDPIFVLTDEWKEFFARSEARRKLEKQQGKKKEKH >Potri.014G111200.1.v4.1 pep chromosome:Pop_tri_v4:14:7447957:7450843:-1 gene:Potri.014G111200.v4.1 transcript:Potri.014G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111200.v4.1 MGRHSCCYKQKLRKGLWSPEEDEKLLRHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLRQRGIDPVTHKPLSEVENGEDKNPPASGTQDKASAVSNTELNLLKADNSKSSGANLQEKRSSPISPNGYQLERESTSGSKVMNGNGNNTNDHVNNNLMTPTSNKDFFLDRFTASHHQGSTSNCQPSDFVGHFPLQQLNYASNARLATNSIPSLWLSQTSKAFDMNSEFSSTAIPSILPPAVTSSFHSTSMSYKPSITVSPDNPSLPSFTTNSCRLWETGTPRSNSNSNTVSNGSTELQSNSSFFENAIFSWGLGECGSAEKEAQNHLMGSQHEDIKWPEYLQNPLLMAAALQNQNQQSLYNEIKSETQVVTENSSGMWPHNHQQQQPLQNPDICPRDIQRITASYGYI >Potri.013G068900.1.v4.1 pep chromosome:Pop_tri_v4:13:5452461:5457275:-1 gene:Potri.013G068900.v4.1 transcript:Potri.013G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068900.v4.1 MEKMEDGDSLYLQLHKLSSVATKEEDVEHILTSLWKTRRTGLPSPLKSRFQSLLDIPSLPQLDPVLACLRSIIRKCVHENMSSDELLKLFPPDLSLDLQTTLITLLLKYQIQWKQDDLATTEQHSLPRTSVFGISGPPSFTAEVPTQLWPRQDDTNGRFNHNGFEEPTSMIAETAASVFAQHHVTALDNMANVPRLKSMTWTAENRNPSSANRVAIITLKLQDYSKSSSGETEVKFQLSRDTLEAMLRSMTYINEQLSSRVGSSSGPAQKKQKQ >Potri.008G101400.1.v4.1 pep chromosome:Pop_tri_v4:8:6393069:6395106:1 gene:Potri.008G101400.v4.1 transcript:Potri.008G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101400.v4.1 MLMMDVKGNSNSSSSTTQSDEEMMGDLRRGPWTVEEDFKLINYIATHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLMILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLVERIQAAAAATATNTSSTSTATVSAPATNQHSISNRDVGTGNLVMAPHGINGNDFGVSHVTQSYTPENSSTAASSDSFATQVSPVSDLTTDYYNIPVNRNTNPDYFQAGQVGYSESMISPPGFFNHGLDFQAMEHSSNQWPMDSGDTSDNMWNLEDIWFLQQQLNNL >Potri.T046051.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:591020:593040:-1 gene:Potri.T046051.v4.1 transcript:Potri.T046051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046051.v4.1 MVLPKGPFWEHVEDMNGGSMRCKFCGHLFAKDTSISRIKCHLSGMRGRGVKICKDVPEEVQDAALATIDGPPEKTLKTVAGSSNNEVTNAIAASAQEQNSEVIRVEMAQQGEAFYPGTLEDWMDSIIDNEIELVLVSSSPKELPRDAFEIISGTEQVQHLERGSSHERSSINQADQPRGDSSQPTDLLCLGLGRYCDQIYFPPVNNDVIMDDVRVRIEPEEEDVVNNSGRLVQPGTGASSSEDLTYNTSETRGDPLPTNSTTLVGRAFVENGKVICSWLLDDKVSIIDIYGMGGVGKTAMLKHIYNELLQRPNISQHVYWVIVSRDFSIKRLQHSIARRIGLKHFNEKESCTELSNCQKN >Potri.017G120100.1.v4.1 pep chromosome:Pop_tri_v4:17:12612402:12618588:-1 gene:Potri.017G120100.v4.1 transcript:Potri.017G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120100.v4.1 MEIDLGKVPFTMDFHPSDNLVTAGLITGDLHLYRFNADSSPQRLLEIHAHSESCRAARFINDGHAIITGSSDRSILATDLETGSPIARLENAHEDAIFSLINLTESTVATGDDQGCVKVWDTRQHSCCNSFNVHDDYVSDMTFASDSMKLLSTSGDGTLSVCNLRTNKIQSQSEFSEEELLSVVIMKNGRKVICGSQNGTLLLYSWGFFKDCSDRFTALSPNSIDTLLKLDEDRVITGSENGLISLLGILPNRVIQPLAEHSEYPIECLAFSHDRKYLGSISHDQVLKMWDLDDLLQNSGNAQNDQAPVSDSDSDAMDMDTKPPKSRKGAKRKNEHANDATSSFFADL >Potri.008G031200.4.v4.1 pep chromosome:Pop_tri_v4:8:1678882:1683349:1 gene:Potri.008G031200.v4.1 transcript:Potri.008G031200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G031200.v4.1 MGTEEIEKEMNAANWFSQLAYDRWVPIPVSGARPSARYKHAAAVADDDGKLYIAGGSRTGRYLPDVQVFDFRGLMWSSLKFKSKVDGGKGEENGAPEVLPATSDHSMVKWGNKLLLLGGHSKANSDSMIVRFLDLETHACGVIETSGEAPVSRGGHSVTLVGSRLIIFGGEDRNRKLLNNVYALDLETMTWDVVVTKQTPPAPRFDHTAAINGDRYLLIFGGCSHSIFFNDLHVLDLQTMEWSQPEVQGDLVTPRAGHSGVTIGENWYIVGGGDNKNGCPETLVLNMSKLAWSALASVKERDPLASEGLSVCSVLINGERHLVAFGGYNGKYNNEVFVMRLKPRDALRPKIFQSPAAAAAAASVTAAYALAKSEKLDFSKLNLNSNGTGKNSTEQDLAFEIDALKEEKKVLELSLAEVRAENYRVTEKIDEVNGTHAELSKELHSVQGQLVAERSRCFKLEAQIAELQKMMESLQSIENEVQLLRRQKSALDQEIERSAAQRQGSGGVWRWIAG >Potri.003G107800.1.v4.1 pep chromosome:Pop_tri_v4:3:13075249:13076530:1 gene:Potri.003G107800.v4.1 transcript:Potri.003G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107800.v4.1 MAACRSTTTISLSRNPSFSTTNPKPSLSFLSPPCLKLPSILPSFKPLTSHAPPDQLLSIPRATAAATPTTTPTTSFHGHCYVVGDNIDTDQIIPAEYLTLVPSKQEEYEKLGSYALIGLPATYKTRFIEANQTKTKYSIVIGGENFGCGSSREHAPVALGAAGAAAVVAESYARIFFRNSVATGEIYPLESEVRICEECKTGDLITIELAESKLINHTSGKEYKLKPIGDAGPVIEAGGIFAYARKTGMIPSQAP >Potri.014G169600.3.v4.1 pep chromosome:Pop_tri_v4:14:12396882:12402410:-1 gene:Potri.014G169600.v4.1 transcript:Potri.014G169600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169600.v4.1 MLGHNEDARAAIFADTRAEWLIAFQGCFRQNITVVTMYASLGVDALIHSLNETQVSTLICDPKQLKTLAAISSKITTIKNVIYFEDGETTNDLGLSASTSYWKVSSFSEVEKLGKNSPVPPSLPTKNGIAVVMYTSGSTGQPKGVMITHGNIVATAAAVMMVIPKLSSNDVYLAYLPLAHVFELAAESVMLSVGCAIGYGSALTLTDTSNKIKKGTKGDASILNPTLMIAVPAILDRVRDGVLKKVEEKGGLAKKLFNIGCKRRMAAIEGSWFGTSALERMLWDVIAFKQIRAILGVRMQFILCGGAPLSGDSQRFTNICMGAIIGQAYGLTETCAGAAYTEWDDPSVGRVGPPLPCCYVKLVSWEEGGYTVADKPMPRGEVVVGGFSVTAGYFNYQEKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALTSSDYVDNIMVHANPFHNYCVALIVPSHRILEKWAQEAGIQHQNFSELCNEADAVSEVEQSLSKVAKALRLDKFETPAKIKLLPDPWTPESGLVTASLKIKREQLKSKFKDELQKLGE >Potri.014G169600.4.v4.1 pep chromosome:Pop_tri_v4:14:12396877:12402413:-1 gene:Potri.014G169600.v4.1 transcript:Potri.014G169600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169600.v4.1 MLGHNEDARAAIFADTRAEWLIAFQGCFRQNITVVTMYASLGVDALIHSLNETQVSTLICDPKQLKTLAAISSKITTIKNVIYFEDGETTNDLGLSASTSYWKVSSFSEVEKLGKNSPVPPSLPTKNGIAVVMYTSGSTGQPKGVMITHGNIVATAAAVMMVIPKLSSNDVYLAYLPLAHVFELAAESVMLSVGCAIGYGSALTLTDTSNKIKKGTKGDASILNPTLMIAVPAILDRVRDGVLKKVEEKGGLAKKLFNIGCKRRMAAIEGSWFGTSALERMLWDVIAFKQIRAILGVRMQFILCGGAPLSGDSQRFTNICMGAIIGQAYGLTETCAGAAYTEWDDPSVGRVGPPLPCCYVKLVSWEEGGYTVADKPMPRGEVVVGGFSVTAGYFNYQEKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALTSSDYVDNIMVHANPFHNYCVALIVPSHRILEKWAQEAGIQHQNFSELCNEADAVSEVEQSLSKVAKALRLDKFETPAKIKLLPDPWTPESGLVTASLKIKREQLKSKFKDELQKLGE >Potri.011G015725.1.v4.1 pep chromosome:Pop_tri_v4:11:1440435:1440761:-1 gene:Potri.011G015725.v4.1 transcript:Potri.011G015725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015725.v4.1 MSPGTNEIDRKQKTNGNLYYALMKGNKKRVAELCQKIQDHALHVITVNDDTVLHMATYAKEASLVENLLDALPSHHLDKLTRQNGVGNTILHETATSNLPLLLLQINF >Potri.014G000600.1.v4.1 pep chromosome:Pop_tri_v4:14:80813:86303:-1 gene:Potri.014G000600.v4.1 transcript:Potri.014G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000600.v4.1 MSRLSFRPRPLDIHKKLPIVKSVKEFEEDDNNTPNSTRNSQQQQQLLLRISSSLAPAPAPDIDQNDVHQHHHIIPSKKVAAEIPTPQFVVVDTYERDYGRTFAPPTSYLRARGARAELGEFVEYDLDNEDEDWLHDFYKKDRKNLSPEKFELLLFKLEVLDHKARERAGVITPTLASPIPVLLQFDAALEALQAQPQTQSTRYAVFQSVYNYWKDKRERWKKPILRRLQPPPPVNDTNPYNVFRPREKAHRLHTRRMQRRENNVQSFDKLRQVRRNLEQAKTILEALIKREEKKREAMESEVSLQRIQMKYKHETELLEDSLAVPGFPLSSKFASSEDEFVDSDDHANSRPRSRPALQNPPVTDSNPLAAPAVTVKQEFRRRHTPLGWLNKMDPLEPVLLFTKPLVPEKLAAACIVPPADSSMKNDTSMPPYRFHGRIGRGGRIVFDRWNPLLQTPIDLGNSFYIPPRPRPSTYN >Potri.005G152500.1.v4.1 pep chromosome:Pop_tri_v4:5:13017254:13022411:-1 gene:Potri.005G152500.v4.1 transcript:Potri.005G152500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G152500.v4.1 MAAAAATTTNPQSLQARPYEDPRTRASIQIDDDDGEYEDADGMDGMKEAATVAQVNSGVSVAEHHRGVRVGVGGGVVTTSRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDMPTAVPTIEVPYDQNNRGVVDNPKFSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESPGSSSWDSSQSCLQDVIPCPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGSLRDLSKGGRNLPMGQIEPGTPIDVKPSIMEGEFSGNQDEHGTAKNLPKAVNEGFNNRSINPDEVLQEADQDLTNTLPMGAVHSSGDDDEQEPLVELASPSDTELDIPANFE >Potri.005G152500.7.v4.1 pep chromosome:Pop_tri_v4:5:13017040:13022485:-1 gene:Potri.005G152500.v4.1 transcript:Potri.005G152500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G152500.v4.1 MAAAAATTTNPQSLQARPYEDPRTRASIQIDDDDGEYEDADGMDGMKEAATVAQVNSGVSVAEHHRGVRVGVGGGVVTTSRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDMPTAVPTIEVPYDQNNRGVVDNPKFSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASLKESPGSSSWDSSQSCLQDVIPCPETVVRRCQHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKVFFFCFCFKMHVNCSCWSHRVKQSIINQMSCICLQVFLNGKNKLVVSDVFKVYSKR >Potri.012G139900.2.v4.1 pep chromosome:Pop_tri_v4:12:15234864:15236799:-1 gene:Potri.012G139900.v4.1 transcript:Potri.012G139900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139900.v4.1 MGAFVSRFWFMLFPANEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIVVIDSTDRDRISIMKDELFMLLGHDDLQHSVVLVFANKQDLKDAMTPAEIADALSLHSIKNHDWHIQACCALTGDGLYDGLGWIAQRVTGKAPS >Potri.004G068801.1.v4.1 pep chromosome:Pop_tri_v4:4:5843412:5843738:1 gene:Potri.004G068801.v4.1 transcript:Potri.004G068801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068801.v4.1 MWLILHLLAWRNLHWVWCNDLACYTQEPTHVFLLRNYKMHFSKNKISSCIPYQYLLEMVDYPITISVLTQTTNGIQLIARIYVRWDVLLKAKLLHYTVRKIHLNKLKK >Potri.015G024900.1.v4.1 pep chromosome:Pop_tri_v4:15:1871716:1873059:-1 gene:Potri.015G024900.v4.1 transcript:Potri.015G024900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024900.v4.1 MVVYECLFLEVSVLLFVVFGINCWCLNGSRLLEAGTLDTSNGYCGQKFMSVEFFDKSQPIRYAYGEPKVMPGVPPEGNITKEARAADYDIVEVANERITHPISDLAAQAGRKRRVDNSSVDNSVDSQPGKSQSNRKRRQEEIAGANLSEDAINNSITWTRDAVCKDQDAAEDADVLIIDKIIEVSEVTCEITDGAFANQEKPTPLQNSEKTSNPNTGTGRQVSEVLKEHCGGNGGNGSK >Potri.005G171000.1.v4.1 pep chromosome:Pop_tri_v4:5:17544203:17547929:1 gene:Potri.005G171000.v4.1 transcript:Potri.005G171000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171000.v4.1 MSIHQTLSCLKWLLACVDGMEVSSFSPSSPSPSLWDYLGLLILRPALAILFVTSFISIGWFLAWKLVLVHVPLVQEIFGLRKKLTKPKPLTRRISRIYNTIDARNSTPAGINSSGLAED >Potri.005G171000.2.v4.1 pep chromosome:Pop_tri_v4:5:17544235:17548392:1 gene:Potri.005G171000.v4.1 transcript:Potri.005G171000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171000.v4.1 MEVSSFSPSSPSPSLWDYLGLLILRPALAILFVTSFISIAWKLVLVHVPLVQEIFGLRKKLTKPKPLTRRISRIYNTIDARNSTPAGINSSGLAED >Potri.017G099500.2.v4.1 pep chromosome:Pop_tri_v4:17:11130997:11132621:1 gene:Potri.017G099500.v4.1 transcript:Potri.017G099500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099500.v4.1 MVARMGWGIPEKGWRKGPWTPEEDKLLIEYVSLHGEGRWSSVSRCPGLNRSGKSCRLRWVNYLRPGLKRGQITPQEEGIIIELHALLGNKWSTIARYLPGRTDNEIKNYWRTHSKKKEKSSQKQLEKRKAQILKQEEEHQQHLQQQQQQQQQQLEAGDMNMVNTIAHEKMHGAPEMMYPTVEDQCLPVMSQDVVSWADSVVEDYYRLWGGFWNLDDHP >Potri.012G035300.1.v4.1 pep chromosome:Pop_tri_v4:12:3170255:3171802:-1 gene:Potri.012G035300.v4.1 transcript:Potri.012G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035300.v4.1 MAWAKIQGSFDHGSRIAYFIALFLYFSLAVRANCFRGFKFSLSSWAYTFPLTGAAISTIRYSDEVTNVVTQVLAVILSAVSTLTVSGLLVSSIVHAFVLRDLFPNDLVIAISNSKPRQHHHRKWFHIRRGSSDEKEIEHYLKFSDSDVKDIESSLDPTTSSSLSNAHHNEAYASTSLAGPSHFVDLQPSHARKS >Potri.003G067200.1.v4.1 pep chromosome:Pop_tri_v4:3:9446868:9447257:1 gene:Potri.003G067200.v4.1 transcript:Potri.003G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067200.v4.1 MAQDNDIPLKNTSLTTASRWPLRRRLSIRGRKLPIIRLGGEKPRRRMFLVRMLRRMRLRWLKLQYLCMLKKLKEYHRNLMKDMKVSGSSIDAYNQRIFMETSFAVPGMGITFSNFLSVAGSNPSRSFIM >Potri.002G133300.1.v4.1 pep chromosome:Pop_tri_v4:2:10033466:10041510:-1 gene:Potri.002G133300.v4.1 transcript:Potri.002G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133300.v4.1 MEVFINKCSSCSVPRFDTKLKFTLVVFEIALILLCFLAINAESHDHQLQQQSAERGSENIISHSCIHDQIIEERKRPGRQVYSVTPQIYGQSGISKPLHRKGRALLGISESSLQQKDVKQPIRIFLNYDAVGHSPDRDCRKVGDIVKLGEPPVASRPGTPCNPHGDPPLYGDCWYNCTVDDISGKDKKHRLRKALGQTGDWFRRALAVEPVKGNLRLSGYSACGQDGGVQLPRGYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAVAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFSHFRDDRKRRRSQVTEQLMDEKLGRMVTRVVLPRVVMHSRNHYGAFSENLTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYQANYSMADHLDWGRNQGTDFVTSPCNLWKGAYHCNATQLSGCTYNREAEGYCPIVSYTGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDTNSARAPDRMLGEVRGSSSRCMASSLVRTGFVRGSMTQGNGCYQHRCVNNSLEVAVDGIWKVCPEAGGPVQFPGFNGELICPAYQELCSTGSVSVPGQCPSSCNFNGDCIDGRCHCFIGFHSHDCSKRFCPGNCNGQGKCLSNGICQCENGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCQNSSTLLSSLSVCKNVLESDMSGQHCAPSESSILQQVEEVVVMPNYHRLFPGGARKLFNIFGSSYCDAAAKRLACWISIQKCDKDGDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEEEGDVQCTGSGEMKVSWFNRLRSSLFSSNTSSGGMSVKYRHL >Potri.019G019500.2.v4.1 pep chromosome:Pop_tri_v4:19:3143601:3152153:1 gene:Potri.019G019500.v4.1 transcript:Potri.019G019500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019500.v4.1 METTTSDVVMLDAELLQLPEISPLAFKSYPHFPQTLFDQWLSLPDPNKLVTSLVNDAKTGAPLNVSGNSSSANAATVNSLPSMFPAGSTPPLSPRSSTGSPRVTKQRPGPSNLGSPLKVVSEPVKELIPQFYFQNGRPTPNELKEQCLIRINHFFYGRLDGLQIHEFKSVTKEVCKLPSFFSTVLFRRIDVNSTDFVTRDAFLDYWINGNMLTLDIATQIFTILKQPDLKYLTQEDFKPVLQELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGNLTLRELKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRVFSQVARKFTSKAEGKMGYEDFVYFILSEEDKSSEPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPEDESYITLRDLKGCKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTDWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >Potri.014G192100.1.v4.1 pep chromosome:Pop_tri_v4:14:16811645:16811926:-1 gene:Potri.014G192100.v4.1 transcript:Potri.014G192100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192100.v4.1 MEGSMRALGLQGLRRIPFLRWRSRNSSTGSAVTLVSVKRRLPFCRHGGSSFLMQLFWKFRTQWKQAFRWQRSSAQCSYDLYSYSLNFDDGPLP >Potri.010G246650.1.v4.1 pep chromosome:Pop_tri_v4:10:22136906:22138159:-1 gene:Potri.010G246650.v4.1 transcript:Potri.010G246650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246650.v4.1 MAVEYWLQHEDPFLDHRVHDLVHDVILSTSKEPSLCHVSSSFSTFEGIARHLSISNRGSNTPNSITKSQTRSVIACNETAFPKRYLNLRDTRAAKLPRSIRKLHDLESLDLRNSFVEELPVEISTFPELRHLLADDRKTRALKIHGSIKHLEFLQTLFIIKVDRNLSLINDGLQVSTKMRKLGIINLKREHGSPINIFSSSSAAKSPSWIPKLHNLAELSLSFTNFMDDSIEVLQALPNLKYLALVWAYNGEKMHFEGGGFQKLKFLSLAGLSNLNEMLINEGALPLLKRLEMGPCPKLNKVPSGNQNLRYLKDLSSAGMTNEFTQRLSRQESEIVRHIPILRYDATYDPNDEGSYGAFG >Potri.005G064700.1.v4.1 pep chromosome:Pop_tri_v4:5:4168674:4170561:1 gene:Potri.005G064700.v4.1 transcript:Potri.005G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064700.v4.1 MHTSFDGKVDVEFDFWGASETQYYSNDRGASEVEFQAQVEEDFADYSLPLWKTDMSRNVRNESSPLLPRGHHYSNISPRSRLKVMAEGRRKLMEIVHSMPESSYELSLKDIVDEQQISEGAQDEMPKQRTTSDFKSEAQIIKKQKTKKTKSFSKSGNISRSRSMEKENFLIKMFIPTSLSFKIRDNTRNGSKVLPRSSMELTDNREDKKWWIKGILFKGECKNSGKSSSTRTSSSNSSTRTSSSNSRYDNMEALPSCWPFFRTKKSRRTRLEGHIF >Potri.007G114200.1.v4.1 pep chromosome:Pop_tri_v4:7:13451848:13452506:-1 gene:Potri.007G114200.v4.1 transcript:Potri.007G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114200.v4.1 MASFNCFILALLIAFSFPGGEAARHLLQLPPLPSVPNLPKPTLPPMPSMPTLPQPPLPTLPTTQPSLPKPTLPPLPSLPTMPSLPKVTLPPLPSMPSNIPTIPIPTTIPSIPFLSPPPAGN >Potri.001G391100.1.v4.1 pep chromosome:Pop_tri_v4:1:41378995:41381913:-1 gene:Potri.001G391100.v4.1 transcript:Potri.001G391100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391100.v4.1 MAGKLMHALQYDSYGGGAAGLKHVEVPVPSAKKDEVLLKLEATSLNPYDFRIQKGVVRPFLPRSFPYIPGLDIAGEVVAVGPGVEDFKTGDKVVAVLSGSSGGGLAEFAVAKKSLSVARPPEVSAAEAAGLPIAGLAAYEALTQCAGVKLDGSGIQKNILITAASGGVGHYAVQLAKLGNTYVTATCGARNIEFVKDLGADEVLDYKTPEGAALKSPSGKKYDAVIHCALGIPWSTFEPNLSENGKVIHLSPGPSAMITFAVKKLTFSKKQLMPLIITPKGENLKCLVNLVKEGKLKTVIDSKHPLSKAEDAWAKGIDGHATGKIIVEP >Potri.010G031900.1.v4.1 pep chromosome:Pop_tri_v4:10:4954729:4960186:1 gene:Potri.010G031900.v4.1 transcript:Potri.010G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031900.v4.1 MADSTVQQSRSRDLDKLLLRPGNLVAPTFESGVQLRDDLQEYARLLVIGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKEIDFYKDFSIIVLGLDSVEARSYINAVACGFLEYDSDDNPLEETVKPMVDGGTEGFKGHARVIMPGSTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKTFDPDDPEHMKWVYTEAVKRAELFGIQGVTYSLTQGVVKNIIPAIASTNAIISAACTLETLKLASGCSKTLSNYLTYNGVEGLHIKVTEFVRDKDCLVCGPGVLIELDTSVTLQKFIDMLEEHPKLLLSKASVRHQTMNLYMQAPPVLEEMTRSNLGLPLFELMGKVSKDIVLVTGTSSKDDKKTSCLRKLCLVFKGQDAVTDMDMAVGA >Potri.004G021000.1.v4.1 pep chromosome:Pop_tri_v4:4:1507961:1510160:1 gene:Potri.004G021000.v4.1 transcript:Potri.004G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G021000.v4.1 MAAALLFIAALMAASYTSSEAAQPKASFEDNFSIMWSEDHFKTSEDGQIWYLSLDKDTGCGFQTKQKYRFGWFSMKLKLVGGDSAGVVTAYYMCTENGAGPTRDELDFEFLGNRTGEPYLIQTNIYKNGTGNREMRHMLWFDPTEEYHTYSILWNNHQIVFFVDEVPVRVHKNNGEANNFFPNEKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFSVEACQWEDPYPECVSTTTKNWWDQYDAWHLSDQQKMDFAWVERNLVIYDYCKDTERFPTVPVECSLSPWD >Potri.004G096132.1.v4.1 pep chromosome:Pop_tri_v4:4:8266788:8268209:1 gene:Potri.004G096132.v4.1 transcript:Potri.004G096132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096132.v4.1 MASTKPVNVLEICHVSPSSTSPESSTELYLPLIFSDIFNLKFPPVQGIFFYKLTELTPTFFNSVILPKVKHSLSLTLSHFRPLAGNLTWPANSIKPVITYNTPDDGIKLTVAESSADFDHLCSEVHDAIESHHYVPSVSISDTIASTLAIQITLFPNKGFCIGHTTNHAVLDGLSASFFMNAWARICRQLVDEKMEIPSLLPEELTPFFNRTVFQDPEGLDMWYLNFWLGVKLPGSDDNTRSLKPFPFPETPPNLVRTTFELSREDIQQLRETVKSQLDNFGSKEETNQTKPIYLSTYVLVYAYTLVCMLEAKGLNSNDKIKILITVDCRPRLNPPLPKNYIGNCVSSFDVVVEGEDLMKENGVAYVAKRLTEMIKGLENRSVFEGAKERLPYNDWEPDIRQVRAAGTNRFGMYGADFGWGKPSNVEVTTIDRLDAFSIMESKDESGGVEVGLVLKEHEMKLFGSLFASGLRM >Potri.007G136901.1.v4.1 pep chromosome:Pop_tri_v4:7:14842581:14845984:1 gene:Potri.007G136901.v4.1 transcript:Potri.007G136901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G136901.v4.1 MLAVSPLRNTPATKDESQEQMESYSTIFNGEFPDFSEGSLLESIDFDDLFVSIDDEDVLPNLEMDPEILAEFSVSGSGGEESDVNTSVSNEKVEDSIHRKDEEDKFSGLDSSLSTRGEEIVSKRDESVVVNPVPNKDGEKGRKSAAHAKNNNNQGKRKVKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHRKHLLAREAEAANWSQRRQMYGAAAASGGGKRDISAWHALTMGFPPITHPMHHHFRPLHVWGHPSMGQSPMHMWPKHLAHSPSPSPPRPLPPPPTWHPPPPPPPDPSYWHHHPHQRVPNGLTPGTPCFPQPLATRFPAPPVPGIPPHAMYKVDPGIGLLTGQPGPSPLFDFHPSKESVDAVIGDVLSKPWLPLPLGLKAPATDSVLVELQKQGVPKIPPTRA >Potri.007G077350.1.v4.1 pep chromosome:Pop_tri_v4:7:10171316:10172323:1 gene:Potri.007G077350.v4.1 transcript:Potri.007G077350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077350.v4.1 MAIIAFVLFYFLVLFICWQAYNREAGSKSWCRGELNLLQFRDQGTASSMTDFWALVLAYRKADASCITKSQGNFPSSGE >Potri.016G067800.2.v4.1 pep chromosome:Pop_tri_v4:16:4785610:4787882:-1 gene:Potri.016G067800.v4.1 transcript:Potri.016G067800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067800.v4.1 MKMALYKLIVTLLISLCLILPLTQASKFEYCGSKDYAVKVSGVKISPNPVKKGKPATFIISATTSETITGGKLRVDVRYFGFPVYGEYHNLCEETSCPVSGGDFVVSHSQELPGFTPSGSYSLTMKMVDGEGDELTCISFGFHIGSASSVTDI >Potri.001G142100.1.v4.1 pep chromosome:Pop_tri_v4:1:11626726:11632140:-1 gene:Potri.001G142100.v4.1 transcript:Potri.001G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142100.v4.1 MASENFTDKNVVFRKLKAKSDNKMCFDCNAKNPTWASVTYGIFLCIDCSAVHRSLGVHISFVRSTNLDSWSPEQLRTMSFGGNNRAHAFFKQHGWTDGGEIEAKYTSRAAELYRQILSKEVAKSMAEEAGLPSSPVTSKSAQAGNGLPDVKINDAPKESSLGKQETPDLVCSPKASRSVITSSVKKPLGAKRTGKTGGLGARKLATKPSENLYDQKPEEPALPVTSTTNNNNNNNNNNTKAGTSFASRFEYVDNVQPAEMISGGPQVINHVSPPKSSSFFAEFGMESGFPKKGSSNYSKVQIQETDEARKKFSNAKSISSAQFFGDQNKADADAQVSLQKFSGSSAISSADLFGQSDDQSIDLAASDLINRISFQAQQDISSLKNIAGETGKKLSSFASTFITDLQDRIL >Potri.011G051400.1.v4.1 pep chromosome:Pop_tri_v4:11:4098398:4102646:1 gene:Potri.011G051400.v4.1 transcript:Potri.011G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051400.v4.1 MKKQRHRFLLINIGKGSCAVSANFCECVVAGWNKKKKSGSVKDVKSKAELDNITKSGEAVIIHFWASWCDASKQMDQVFSHLSTDFPNTHFLTVEAEEQPEISEAFSVSSVPYFVFVKDGKTVDTLEGADPSSLATKVTRVAGSANPGEPAAPASLGMAAGPTVLETVKEFAKENGSSPQANQAQPGLSDTLKNRLQQLIDSHPIMLFMKGNPEAPRCGFSQKVIDILKDENVKFGTFDILSDNEVRDGLKLLLNWPTFPQLYCKGELLGGCDIAIAMHESGELKEVFRDHGIDAIGSVEAKVSGSENGKGGITQSTGLSTTLTSRLESLINSSPVMLFMKGKPTEPKCGFSGKVVAILQEEKVTFESFDILTDEEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGELKRILVEKGIVQKETLEDHLKSLITSSPVMLFMKGTPDAPRCGFSSKVVNALKEKGVSFGSFDILSDEAVRQGLKVFSNWPTFPQLYYKGELIGGCDIILELRDNGELKSTLSE >Potri.017G019700.2.v4.1 pep chromosome:Pop_tri_v4:17:1666459:1672079:1 gene:Potri.017G019700.v4.1 transcript:Potri.017G019700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G019700.v4.1 MEMTRDILAQLRIKIGSLTSQQDGDECCIYRVPNSFRKVRPEAYTPQLISIGPLHRGDERLKDMEQQKLRYFKEFAERDGKGMEEIQYLVMSIQEKEERIRASYSENFSEITSSDFIEMILLDAVFIIEFLKASNDDECCYPKFSETNHDKNSHQYRAGMLDNGHSKSIKPWMIFDIREDLMLLENQLPFFIIKEIYDEACRKEPIGIPFLDLATVYFGKYTFSQGVQNTDPDVEGSRHFTDLLRNFMLKGSIERGYSFNPIKLKYNAVMLSEAGVKFQVTEDKCLVNIKFEEGVLKIPRLEVDYCFERFVRNIMALELCFKPFKAYICNYIMFMDLLIDSAEDVDLLAENGIILNWLGDDAALSNMINKLCETITDTYTCYDDICRKMNAHYENRWNHRKATLKLVYFPNVWRGTATVAAAILLILTLIQAISSAKSLMPTMRTAGTIERQP >Potri.017G144001.2.v4.1 pep chromosome:Pop_tri_v4:17:14421774:14429097:-1 gene:Potri.017G144001.v4.1 transcript:Potri.017G144001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144001.v4.1 MENLIDNGTFPSQVERQNSSIPTVIVIGGGISGLAAARMLHDASFKVILLESRDRLGGRIHTDYSFGYPVDLGASWLHGVCNENPLAPLIRGLGLKLYRTSGDNSVLYDHDLESYTLFDKEGRQVPQQMVIEVGDTFKRILEETEKVRDEHTDDMSVLQAIWIVLDKHPELRQEGLAYEVLQWYIRRMEAWFAADADMISLKSWDQEQVLSGGHGLMVQGYDPIIKALAKDIDIQLNHRVTKISNGPNKVMVTVEDGTGFIADAAIITVPLGILKANLIHFEPKLPQWKVDAISDLGFGCENKIALQFDKVFWPDLELLGIVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESAAKFVMLQLKKMFPNATEPVQYLVTRWGTDPNSLGCYSYDLVGKPEDSYERLRAPLGNLFFGGEAVSMEDHQGSVHGAYSAGIMAAESCQRHLLERLGYFDNLHLVPSRGAIHDATFPLQISRM >Potri.017G144001.1.v4.1 pep chromosome:Pop_tri_v4:17:14421707:14429097:-1 gene:Potri.017G144001.v4.1 transcript:Potri.017G144001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144001.v4.1 MENLIDNVGTFPSQVERQNSSIPTVIVIGGGISGLAAARMLHDASFKVILLESRDRLGGRIHTDYSFGYPVDLGASWLHGVCNENPLAPLIRGLGLKLYRTSGDNSVLYDHDLESYTLFDKEGRQVPQQMVIEVGDTFKRILEETEKVRDEHTDDMSVLQAIWIVLDKHPELRQEGLAYEVLQWYIRRMEAWFAADADMISLKSWDQEQVLSGGHGLMVQGYDPIIKALAKDIDIQLNHRVTKISNGPNKVMVTVEDGTGFIADAAIITVPLGILKANLIHFEPKLPQWKVDAISDLGFGCENKIALQFDKVFWPDLELLGIVAPTSYACGYFLNLHKATGHPVLVYMAAGRFAYDLEKLSDESAAKFVMLQLKKMFPNATEPVQYLVTRWGTDPNSLGCYSYDLVGKPEDSYERLRAPLGNLFFGGEAVSMEDHQGSVHGAYSAGIMAAESCQRHLLERLGYFDNLHLVPSRGAIHDATFPLQISRM >Potri.008G046600.1.v4.1 pep chromosome:Pop_tri_v4:8:2698652:2708375:-1 gene:Potri.008G046600.v4.1 transcript:Potri.008G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046600.v4.1 MKSQTKSINPLTAFEHKRDAYGFAVRPQHLQRYREYANIYQEEEEERSDRWKTFLEQQADSSQLPINGTSSEKYNKELHAEATEQEINNGSEKGVDISGEEPSSDVLLENVTEEKQSATSKKTHGIQIWTEIRPSLRVIEDMMSLRIMRKGNQSKDQQETKKERMVPSFEDAKSAKGASEEDSEDEFYDVERSDPNQDTSSSDSASAPATGAPADALPPESSFPWKEELEVLVRGGVPMALRGELWQAFVGARTRRVEKYYQDLLASETNSGNHVDQQSDSDTKGSTADTVCVPEKWKGQIEKDLPRTFPGHPALDNDGRDALRRLLTAYARHNPAVGYCQAMNFFAALLLLLMPEENAFWTLMGIIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYQGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVLTACMGYQNVNETRLQELRNKHRQAVITMVEERTKGLQALRDSQGLATKLYNFKHDRKSILMETTKKTSGELSRSESGSTNADEVLISLTGDAEIDSVPDQVVWLKVELCKLLEEKRSTMLRAEELETALMEMVKQDNRRQLSARVEQLEQEVSELRRALADKQEQENAMLQVLMRVEQDQKVTEDARIYAEQDAAAQRYAAQVLQEKYEQAIASLAEMEKRVVMAESMLEATLQYQSGQLKAQPSPRSSHPDSQTRANQEPEQEIPARKIGLLARPFGLGWRDRNKGKPATVEEASDDKSTNEGQNPEQETNGISAHDK >Potri.001G329400.1.v4.1 pep chromosome:Pop_tri_v4:1:33837233:33838652:1 gene:Potri.001G329400.v4.1 transcript:Potri.001G329400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329400.v4.1 MDAIDSVFDPLREFSKDSVRLVKRCHKPDRKEFTKVAFRTAIGFVVMGFVGFFVKLIFIPINNIIVGSV >Potri.T085100.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:158948:161808:1 gene:Potri.T085100.v4.1 transcript:Potri.T085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085100.v4.1 MQCLTIQYLHIMDFKLPCFFFLLLLLLPFSSNGQAHSNISLGLSLTAASDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDLVQGGSRVQLTRDGELVLNDQSGRTIWSPVFGGSGAAYAAMLDTGNFVLASQAGANLWQSFDEPTDTLLPTQNLNLGAQLIAPYLEKNYSHGRFKFSLQTDGNLILSTTSYPKTTSNFAYWSNQSSIGSGYRVIFNQSGYMYLADQNGNTLNSVFSNSVSMQDFYLRATLDYDGVFRQYAYPKTASSSTRWPMAWTTLPNFIPSNICVVIRGPVGSGACGFNSYCILGDDQRPRCKCPPGYTFFDPNDERKGCKKNFISQDCDHPSQEIDNFMIWDMLNTNFPYTDYEYFTSVDEDWCRQACLSDCYCAVATYNSGECWKKRGPLSNGVTDPSIGLKSLMKVRKGNWTAGSSAKKSDRSTLITTGSVLLGSSIFLIVLSLLGIYVFFTRWNQQKQKVVPQLHVMPEMNLQNFTYNELETATGGFKEEVGRGAFGIVYRGALANEDKPLIAVKKLEKMAGEGDTEFKTEVKVIGRTNHKNLVQLVGFCNEGENRLLVYEYMSGGSLSNYIFGYSRPSWNRRMQIAFGVARGLLYLHEECSSQIIHCDIKPQNILLDESLNARISDFGLAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPVTTKVDIYSFGILLLELVCCRKNFEINAMQEHQIVLADWACDCLKEGKLNLLVEEDGEAMEDMKRVERFVMVAIWCIQEDPSLRPGMKKVVQMLEGGVQVSVPPDPSSFISTI >Potri.002G225400.2.v4.1 pep chromosome:Pop_tri_v4:2:21378974:21380425:1 gene:Potri.002G225400.v4.1 transcript:Potri.002G225400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G225400.v4.1 MQYVSTAAFLLTLYSDILRNSTQKLKCYGGSVDYQEILHFAKSQVDYILGSNPMNMSYLVGYGRKYPTRVHHRGASMVPYRESMGFIGCTQGFDLWYGREEPNPNVVVGAVVGGPDCQDNFMDQRGNYMQTEACTYNTAPLVGVFAKLLQMEDQKR >Potri.002G111550.1.v4.1 pep chromosome:Pop_tri_v4:2:8405755:8408715:-1 gene:Potri.002G111550.v4.1 transcript:Potri.002G111550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111550.v4.1 MRDNASWFVPVSSPLVTMETFIHDPLEITSCFYKPSYLLFQVLQGTFWSDAFSI >Potri.013G092700.4.v4.1 pep chromosome:Pop_tri_v4:13:9502749:9505859:1 gene:Potri.013G092700.v4.1 transcript:Potri.013G092700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092700.v4.1 MDALGGVFVSAAGVSTLPCDNGGNTNVFAMSPCLLKISKGDITKWSVDGSSDAIVNPANERMLGGGGADGAIHRAAGPQLRDACYTVPEVRPGVRCPTGEARITPGFNLPAFRVIHTVGPIYDVDGNPEASLRNAYRNSLILAKDNNIKYIAFPAISCGVYGYPYEEAAKVAISTVKEFADDLKEVHFVLFSDEIYNVWLEKAKELLQA >Potri.005G257200.3.v4.1 pep chromosome:Pop_tri_v4:5:24780661:24782446:1 gene:Potri.005G257200.v4.1 transcript:Potri.005G257200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257200.v4.1 MAGFEGNNSRYVHGQNHNNLLRPELHLIQRPSSIPSSDSRDNNNTPSPPDHANQTAHHHPDSSATTSSGGGTNPNRRPRGRPAGSKNKPKPPIIVTRDSPNALRSHVIEISNGADIVESVSTYARKRGRGVCVLSGSGTVANVTLRQPASPAGSVLTLHGRFEILSLSGTVLPPPAPPGAGGLSIFLSGGQGQVVGGNVVGPLMAAGPVVLMAASFANAVFERLPLDDQEEAGAVQVQPTASQSSGVTGSGGQMGDGGGGSGTGGAGSGFFNMAGGAHHGNYPFSGDLFGPWGGSAARPPF >Potri.005G257200.1.v4.1 pep chromosome:Pop_tri_v4:5:24780382:24782521:1 gene:Potri.005G257200.v4.1 transcript:Potri.005G257200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257200.v4.1 MAGFEGNNSRYVHGQNHNNLLRPELHLIQRPSSIPSSDSRDNNNTPSPPDHANQTAHHHPDSSATTSSGGGTNPNRRPRGRPAGSKNKPKPPIIVTRDSPNALRSHVIEISNGADIVESVSTYARKRGRGVCVLSGSGTVANVTLRQPASPAGSVLTLHGRFEILSLSGTVLPPPAPPGAGGLSIFLSGGQGQVVGGNVVGPLMAAGPVVLMAASFANAVFERLPLDDQEEAGAVQVQPTASQSSGVTGSGGQMGDGGGGSGTGGAGSGFFNMAGGAHHGNYPFSGDLFGPWGGSAARPPF >Potri.006G274700.3.v4.1 pep chromosome:Pop_tri_v4:6:26640929:26645605:1 gene:Potri.006G274700.v4.1 transcript:Potri.006G274700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274700.v4.1 MDDYSCKRAGDGSLVPRKGSAHVLRDSANNRDQKAQFCNRIGCSGRLNSSKGAQISSEKAKSSRPRPLFSSSAGGKETIGSSSRTCSVITKPRNSLQEPRKKFSSRLEADSSETGSVQDEPEGIPPSGRIKLDISPSDGAGSSDITSMEVGSSGISTNTRSRRNFHQKSGFVNPETVVGSPVSLASKSTIQGTRVSASRYGLKNLRCNTVSDAASSGSSSSDSNLSRRKDMVKRRVCDVESSSSARGKKMIGSSLEGRSASSSPGISISDSRRSRTGPLNRDSSAASGRTRRPLSGYAGARFSNQGGGNNLSANEIPLMSQPDISLDLNAPSSSHQFSMEASLSRPSSYSRPGSRSYSRPGSRSGSLRGITPSSPAEASNARSLMNRESFRHHNMDGIAEVLLALERIEQDEEPTYEQLLVLETNLVLSGLNFYDLHREMRLDIDNMSYEELLALEERMGTVSTALTEEAFSECLKTSIYESTPMEDATTNLEGDKDDIRCSICQEEYADGDEVGRLPCEHRYHVACIHQWLSLKNWCPICKTSAAPSSSSSSPLPSL >Potri.006G274700.1.v4.1 pep chromosome:Pop_tri_v4:6:26640929:26645581:1 gene:Potri.006G274700.v4.1 transcript:Potri.006G274700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274700.v4.1 MDDYSCKRAGDGSLVPRKGSAHVLRDSANNRDQKAQFCNRIGCSGRLNSSKGAQISSEKAKSSRPRPLFSSSAGGKETIGSSSRTCSVITKPRNSLQEPRKKFSSRLEADSSETGSVQDEPEGIPPSGRIKLDISPSDGAGSSDITSMEVGSSGISTNTRSRRNFHQKSGFVNPETVVGSPVSLASKSTIQGTRVSASRYGLKNLRCNTVSDAASSGSSSSDSNLSRRKDMVKRRVCDVESSSSARGKKMIGSSLEGRSASSSPGISISDSRRSRTGPLNRDSSAASGRTRRPLSGYAGARFSNQGGGNNLSANEIPLMSQPDISLDLNAPSSSHQFSMEASLSRPSSYSRPGSRSYSRPGSRSGSLRGITPSSPAEASNARSLMNRESFRHHNMDGIAEVLLALERIEQDEEPTYEQLLVLETNLVLSGLNFYDLHREMRLDIDNMSYEELLALEERMGTVSTALTEEAFSECLKTSIYESTPMEDATTNLEGDKDDIRCSICQEEYADGDEVGRLPCEHRYHVACIHQWLSLKNWCPICKTSAAPSSSSSSPLPSL >Potri.006G274700.4.v4.1 pep chromosome:Pop_tri_v4:6:26640929:26645543:1 gene:Potri.006G274700.v4.1 transcript:Potri.006G274700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274700.v4.1 MDDYSCKRAGDGSLVPRKGSAHVLRDSANNRDQKAQFCNRIGCSGRLNSSKGAQISSEKAKSSRPRPLFSSSAGGKETIGSSSRTCSVITKPRNSLQEPRKKFSSRLEADSSETGSVQDEPEGIPPSGRIKLDISPSDGAGSSDITSMEVGSSGISTNTRSRRNFHQKSGFVNPETVVGSPVSLASKSTIQGTRVSASRYGLKNLRCNTVSDAASSGSSSSDSNLSRRKDMVKRRVCDVESSSSARGKKMIGSSLEGRSASSSPGISISDSRRSRTGPLNRDSSAASGRTRRPLSGYAGARFSNQGGGNNLSANEIPLMSQPDISLDLNAPSSSHQFSMEASLSRPSSYSRPGSRKVGVYGVLRHPVQQKLVMPDL >Potri.007G065150.1.v4.1 pep chromosome:Pop_tri_v4:7:8130752:8131327:1 gene:Potri.007G065150.v4.1 transcript:Potri.007G065150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065150.v4.1 MKNLAKLKFLALDIMSKNYLSWVLDAKIHMDAMCLGDTIKDGNEASSQNKANAMIFLCHHLHEELKFKWQNLKERYDHQMIIILPKAHYDLHLQLQDFKLVSDYNFSMFNISS >Potri.017G057700.1.v4.1 pep chromosome:Pop_tri_v4:17:4586231:4593464:-1 gene:Potri.017G057700.v4.1 transcript:Potri.017G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057700.v4.1 MGDLDRPVPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEENSTPKQICDKYHAIHREVYKWFNISFDEFGRTSSPQQTEVCQAIFKKLLDNNWLSENTMKQLYCDTCERFLADRLVEGTCPTEGCNYDSARGDQCENCGKLLNPTELINPRCKQCKNTPRIRDTNHLFLELPQLKDKLVSYIESMSVAGSWSQNAIQTTNAWLKEGLKPRCITRDLKWGVPVPLDEFKDKVFYVWFDAPIGYVSITSCYTPDWEKWWKNPDNVELYQFMGKDNVPFHTVMFPSTLIGTGENWTMMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNSELLNNLGNFINRVLSFLAKPPGLGYGSIIPDAPGADSHPLTKKLAGEVGKYVEQYLEAMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPSCSIVIKTSLGLVYLLACLLEPFIPSFSVEVFKQLNLPLEQASLCDEKGDMDRAKRPWEILPAGHKIGTPEPLFKELKDEEVEDYRRRFAGSQADRLERDEAEKAAKLAEQLKKKASVGGGKKQQAKKPASEAKSKGSVEREISITRLDIRVGLIKKVQKHPDADSLYVEEIDLGEGDCRTVVSGLVKYIPLEEMQNRKVCVLCNLKPASMRGIKSQAMVLAASNSDHTKVELVDPPPSAVVGERVTFQGFEGEPDDVLNPKKKVWETLQVDLQTNSDLIACYKDIPLTTSAGVCKVASISCGSIR >Potri.017G057700.7.v4.1 pep chromosome:Pop_tri_v4:17:4587127:4593413:-1 gene:Potri.017G057700.v4.1 transcript:Potri.017G057700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057700.v4.1 MGDLDRPVPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEENSTPKQICDKYHAIHREVYKWFNISFDEFGRTSSPQQTEVCQAIFKKLLDNNWLSENTMKQLYCDTCERFLADRLVEGTCPTEGCNYDSARGDQCENCGKLLNPTELINPRCKQCKNTPRIRDTNHLFLELPQLKDKLVSYIESMSVAGSWSQNAIQTTNAWLKEGLKPRCITRDLKWGVPVPLDEFKDKVFYVWFDAPIGYVSITSCYTPDWEKWWKNPDNVELYQFMGKDNVPFHTVMFPSTLIGTGENWTMMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNSELLNNLGNFINRVLSFLAKPPGLGYGSIIPDAPGADSHPLTKKLAGEVGKYVEQYLEAMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPSCSIVIKTSLGLVYLLACLLEPFIPSFSVEVFKQLNLPLEQASLCDEKGDMDRAKRPWEILPAGHKIGTPEPLFKELKDEEVEDYRRRFAGSQADRLERDEAEKAAKLAEQLKKKASVGGGKKQQAKKPASEAKSKGSVEREISITRLDIRVGLIKKVQKHPDADSLYVEEIDLGEGDCRTVVSGLVKYIPLEEMQNRKVCVLCNLKPASMRGIKSQAMVLAASNSDHTKVELVDPPPSAVVGERVTFQGFEGEPDDVLNPKKKVWETLQVDLQTNSDLIACYKDIPLTTSAGVCKVASISCGSIR >Potri.017G057700.8.v4.1 pep chromosome:Pop_tri_v4:17:4586099:4593412:-1 gene:Potri.017G057700.v4.1 transcript:Potri.017G057700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057700.v4.1 MGDLDRPVPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEENSTPKQICDKYHAIHREVYKWFNISFDEFGRTSSPQQTEVCQAIFKKLLDNNWLSENTMKQLYCDTCERFLADRLVEGTCPTEGCNYDSARGDQCENCGKLLNPTELINPRCKQCKNTPRIRDTNHLFLELPQLKDKLVSYIESMSVAGSWSQNAIQTTNAWLKEGLKPRCITRDLKWGVPVPLDEFKDKVFYVWFDAPIGYVSITSCYTPDWEKWWKNPDNVELYQFMGKDNVPFHTVMFPSTLIGTGENWTMMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNSELLNNLGNFINRVLSFLAKPPGLGYGSIIPDAPGADSHPLTKKLAGEVGKYVEQYLEAMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPSCSIVIKTSLGLVYLLACLLEPFIPSFSVEVFKQLNLPLEQASLCDEKGDMDRAKRPWEILPAGHKIGTPEPLFKELKDEEVEDYRRRFAGSQADRLERDEAEKAAKLAEQLKKKASVGGGKKQQAKKPASEAKSKGSVEREISITRLDIRVGLIKKVQKHPDADSLYVEEIDLGEGDCRTVVSGLVKYIPLEEMQNRKVCVLCNLKPASMRGIKSQAMVLAASNSDHTKVELVDPPPSAVVGERVTFQGFEGEPDDVLNPKKKVWETLQVDLQTNSDLIACYKDIPLTTSAGVCKVASISCGSIR >Potri.017G057700.6.v4.1 pep chromosome:Pop_tri_v4:17:4587047:4593413:-1 gene:Potri.017G057700.v4.1 transcript:Potri.017G057700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057700.v4.1 MGDLDRPVPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEENSTPKQICDKYHAIHREVYKWFNISFDEFGRTSSPQQTEVCQAIFKKLLDNNWLSENTMKQLYCDTCERFLADRLVEGTCPTEGCNYDSARGDQCENCGKLLNPTELINPRCKQCKNTPRIRDTNHLFLELPQLKDKLVSYIESMSVAGSWSQNAIQTTNAWLKEGLKPRCITRDLKWGVPVPLDEFKDKVFYVWFDAPIGYVSITSCYTPDWEKWWKNPDNVELYQFMGKDNVPFHTVMFPSTLIGTGENWTMMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKLNSELLNNLGNFINRVLSFLAKPPGLGYGSIIPDAPGADSHPLTKKLAGEVGKYVEQYLEAMEKVKLKQGLKTAMSISSEGNAYLQESQFWKLYKEDQPSCSIVIKTSLGLVYLLACLLEPFIPSFSVEVFKQLNLPLEQASLCDEKGDMDRAKRPWEILPAGHKIGTPEPLFKELKDEEVEDYRRRFAGSQADRLERDEAEKAAKLAEQLKKKASVGGGKKQQAKKPASEAKSKGSVEREISITRLDIRVGLIKKVQKHPDADSLYVEEIDLGEGDCRTVVSGLVKYIPLEEMQNRKVCVLCNLKPASMRGIKSQAMVLAASNSDHTKVELVDPPPSAVVGERVTFQGFEGEPDDVLNPKKKVWETLQVDLQTNSDLIACYKDIPLTTSAGVCKVASISCGSIR >Potri.001G030300.1.v4.1 pep chromosome:Pop_tri_v4:1:2275937:2279104:-1 gene:Potri.001G030300.v4.1 transcript:Potri.001G030300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030300.v4.1 MAARKKNLQLLLSLIQKRCLQTSSADALLPPTRTTTNTNASSSSSFDVHFLMNSCGLSSKSALSVSHKLHLQQNKLQKPQSVLLFLKSHGFDDSHIAQLIEKRPKILHSGVDDTLKPKFDFFVKNGFTGKLLPQLIASDPNILSAAVDSHLKPCFELLKLFLGSPDRIVVALKRAPFLMSFSFKGAVQPNIELLIKEGMHVDRVAKLLSLHARVILVKHDRMVYAVNALKNLGVEPKTPVFLHAAKVMLSISKSNWRKKIEVMKSLGWSEEEIIVAFKRYPYLLACSEEKIRKSLDFFVNTLKLEPQAIITCPEYLSYSVDRRLRPRHNVLKVLVSKKLVKEDEKIVRAVTRISDRDFLEKYVTKYADKVTGLLEIYGGISKAKKMES >Potri.014G007000.1.v4.1 pep chromosome:Pop_tri_v4:14:580416:581100:1 gene:Potri.014G007000.v4.1 transcript:Potri.014G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007000.v4.1 MDNTSISRSCTNWCYCEGGRHVVEPKVQPPAIPNSRAPACRMLDGVAAWLINGVATIFFTSLERCSCIYIDTKDDSEDSSHLPLIHYEGYGNEAKGMKGNGVEKNEDGQKD >Potri.002G022100.1.v4.1 pep chromosome:Pop_tri_v4:2:1389846:1390262:1 gene:Potri.002G022100.v4.1 transcript:Potri.002G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022100.v4.1 MAWLLKHTRGPAWKQEWTQRTLASLSLPPFPLVAIFFIIILLLSVSSFMSYKNQMQHALINFKLLLLFLPVLLIFLAMFASKVETFMFPNTKAQYGSADNRSWNLPWGLAVLVVVLLVMVNYRSSFQSMWSPIVWRSV >Potri.007G035400.1.v4.1 pep chromosome:Pop_tri_v4:7:2775856:2779861:-1 gene:Potri.007G035400.v4.1 transcript:Potri.007G035400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035400.v4.1 MNVPKGFLNYLLYSIIFFPIFLFLFFLGCIKGAIFSPFVFLVIAFGDTGIVIGLWPLHLIWSMYCIIKSKRFGPYMKCLLILLVPLPVALWTVVGVVGSAIMGAMYGFIWPVMETFRAISKEGSICMKLIRCFTDGTWSCVRGACTVVRDFVDFSFHSYFSVMDELLASKGEEPIELKVAQIPGCILAAILGIVVDVHVITMIVLLKAPLMLLKGWHRLIQDLIGREGPFLETVCVPFASLWILLWPIMVLLAIAAGIISSLGFGCFAAVVAYQENSTKRGLLYVIASASIFDEYTNDLLYLQEGSCFPRPRYRKGATSSSSLLPVKGLHERFEAAYVGEQLVTTTTDKATSLKAAMIWDSFFKTFEDIGKELLRMGAIGMPDLDAWADSKSKIIDNGIPAYAFLECFLRSFKHGSYGLILRDKVEITRLNRPEGRIFDWLYEPMCIMKEQISSLKLDESEELYFYKHCLYGGDENRIKTWLNGGTPPSDEIKRAQLEGISRRLQGFCLTLSRLPTSRRRFCEVVKAIEQEAKNSSILAGGDDLEAAR >Potri.007G035400.2.v4.1 pep chromosome:Pop_tri_v4:7:2775856:2779861:-1 gene:Potri.007G035400.v4.1 transcript:Potri.007G035400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035400.v4.1 MNVPKGFLNYLLYSIIFFPIFLFLFFLGCIKGAIFSPFVFLVIAFGDTGIVIGLWPLHLIWSMYCIIKSKRFGPYMKCLLILLVPLPVALWTVVGVVGSAIMGAMYGFIWPVMETFRAISKEGSICMKLIRCFTDGTWSCVRGACTVVRDFVDFSFHSYFSVMDELLASKGEEPIELKVAQIPGCILAAILGIVVDVHVITMIVLLKAPLMLLKGWHRLIQDLIGREGPFLETVCVPFASLWILLWPIMVLLAIAAGIISSLGFGCFAAVVAYQNSTKRGLLYVIASASIFDEYTNDLLYLQEGSCFPRPRYRKGATSSSSLLPVKGLHERFEAAYVGEQLVTTTTDKATSLKAAMIWDSFFKTFEDIGKELLRMGAIGMPDLDAWADSKSKIIDNGIPAYAFLECFLRSFKHGSYGLILRDKVEITRLNRPEGRIFDWLYEPMCIMKEQISSLKLDESEELYFYKHCLYGGDENRIKTWLNGGTPPSDEIKRAQLEGISRRLQGFCLTLSRLPTSRRRFCEVVKAIEQEAKNSSILAGGDDLEAAR >Potri.001G162800.1.v4.1 pep chromosome:Pop_tri_v4:1:13809910:13814838:1 gene:Potri.001G162800.v4.1 transcript:Potri.001G162800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162800.v4.1 MARVSLDTINPKVLKCEYAVRGEIVTLAQAVQEELKSKPGSRPFDEILYCNIGNPQSLGQQPITFFREVLALCDHPSILDKSETRGLFSADAIERARQILDQIPGRATGAYSHSQGIKGLRDAIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGTLVPYYLDEATGWGLEVSELKKQWADAKSKGITPRALVVINPGNPTGQVLAENNQKEIVDFCKKEGLVLLADEVYQENVYVPEKKFHSFKKVARSMGYGEKDISVVSFQSVSKGYYGECGKRGGYMEVTGFGPEIREQIYKLASVNLCSNISGQILASLVMSPPKVGDESYESYSAEKDGILSSLKRRAKTLEDAFNKLDGVTCNKAEGAMYLFPCIRLSEKAIKAAEAAKTTPDNFYCRCLLNATGIVFVPGSGFRQVPGTWHFRCTILPQEDKIPAVVSRLTEFHKSFMEEFRE >Potri.017G133400.1.v4.1 pep chromosome:Pop_tri_v4:17:13527566:13529781:1 gene:Potri.017G133400.v4.1 transcript:Potri.017G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133400.v4.1 MPPLTSFHLRHLLRHYHLSSPPPITFSLHSTPTHLPKTPAFPFCLSQRPFSSSQTLNPNAIESPDPAIVQSLSTEISRDPNTDPLSISERLHLSFSHLTKNNALTPSLVLQTLKLSPDAGRTVIGFHNWLIKDANFEQNDESLALFVDYFGRRKDFKAAHDLLVEGKSVAGVKCFESMIDRLVRAGRTTQVIGFFERMERDYGFKRDKESLTFVVQKLCENGYASYAEKMVKNLANEIFPDDGICDLLIKGWCVDGKLEEAKRLAGEMYRGGFEIGTMAFNAMLDCVCKLCREKDPFRLESEVEKVLMEMDIRGVPRNVETFNVLISNLCKVRRTEDAMKLFSRIGEWGCCPDETTFLVLIRSLYQAARVGEGDEMIDRMKSAGYGDKLDKKAYYGFLKILCGIERLEHAMSVFEMMKADGCKPGIKTYDLLMGKWCTHNRLDKANVLYNEALSNGVTVTPKEYRVDPKFMKKPKAVKKEKKRETLPEKMARKRRRLKQIRLSFVKKPKKGMRRAL >Potri.017G133400.3.v4.1 pep chromosome:Pop_tri_v4:17:13527585:13529792:1 gene:Potri.017G133400.v4.1 transcript:Potri.017G133400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133400.v4.1 MPPLTSFHLRHLLRHYHLSSPPPITFSLHSTPTHLPKTPAFPFCLSQRPFSSSQTLNPNAIESPDPAIVQSLSTEISRDPNTDPLSISERLHLSFSHLTKNNALTPSLVLQTLKLSPDAGRTVIGFHNWLIKDANFEQNDESLALFVDYFGRRKDFKAAHDLLVEGKSVAGVKCFESMIDRLVRAGRTTQVIGFFERMERDYGFKRDKESLTFVVQKLCENGYASYAEKMVKNLANEIFPDDGICDLLIKGWCVDGKLEEAKRLAGEMYRGGFEIGTMAFNAMLDCVCKLCREKDPFRLESEVEKVLMEMDIRGVPRNVETFNVLISNLCKVRRTEDAMKLFSRIGEWGCCPDETTFLVLIRSLYQAARVGEGDEMIDRMKSAGYGDKLDKKAYYGFLKILCGIERLEHAMSVFEMMKADGCKPGIKTYDLLMGKWCTHNRLDKANVLYNEALSNGVTVTPKEYRVDPKFMKKPKAVKKEKKRETLPEKMARKRRRLKQIRLSFVKKPKKGMRRAL >Potri.007G105200.1.v4.1 pep chromosome:Pop_tri_v4:7:12844683:12848898:1 gene:Potri.007G105200.v4.1 transcript:Potri.007G105200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105200.v4.1 MDNQLMATANKTVETIVCYAPTMITTNGIWQGDNPLDYSLPLFILQLTLVVVTTRLLVYILKPLRQPRVISEILGGVILGPSVLGRSKAFANTIFPLRSVMVLETMANMGLLYFLFLVGVEMDISVIKRTGKKAIAIAIGGMIFPFFIGLAFSFALHKDSQSLNQGTFVLFLGVALSVTAFPVLARVLAEIKLINTEIGRIAMSAALINDICAWILLALAITLAENKSTSLATLWVILSSFTFVLICIYVIRPVISWMISSTPEGETISEFYICLILTGVMISGFITDAIGTHSVFGAFVFGLIIPNGPLGVTLIEKLEDFVSGLLLPIFFAMSGLKTDIGAINGVATWLILILVIIVGFAGKVVGTVLASMLYQMPLLEGITLGFLMNSKGLVEMIVLNVGREQKVLDDESFAMMVIVAVIMTAIIIPSVTVIYRPEKRFLPYTRRTIQRSKRDAEFRALACVHTPRNVPTIINLLEASHPNKRSPMCVYVVHLVELTGRASAMLIVHNTRKSGHPALNRTQAQSDHIINAFDNYEQNAVCVSVQPLTAISPYSTMHVDICNLAEDKRVALIILPFHKQQTVDGGMEATNPAIRMVNQNVLASAPCSVGILVDRGLSGSTRLASNQAAHHVAVLYFGGPDDREALSYAWRMSEHPTINLTVMRFVPGEDAKALDNPGMLSVETENLKEKQLDEDHVNEFRTQTAHNGSIFYNEIVVSNGEETVAAIRSMDNHHDLFIVGRGQGMISPLTAGLTDWSECPELGAIGDLLASSDFAATVSVLVLQQYVGLEPDGEELGTPDSPAQPEEPYSIVQMANRSMRLPVFSA >Potri.015G086800.1.v4.1 pep chromosome:Pop_tri_v4:15:11077642:11080064:1 gene:Potri.015G086800.v4.1 transcript:Potri.015G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086800.v4.1 MAVPHQKHHLFFILFLLSLLLPTYARLNLDHSDLKAFSIIQKDLGINGQRSSSSTPCNTPGVFCERRLSPNGTYVLKITRLVFKSQRLTGFLSPAIGRLSELKELSLTNNQLVDHLPVQIVNCKKLDILELGNNKFSGEVPSELSSIVSLRVLDLSRNLFSGNLSFLKHFPNLENLSLANNLFTGKVPKSIRSFRNLQSFDFSGNSFLEGPVPVIRKGESSRPQYPKRYILAENTSSTKSRNTSSGNKTYNLAPAPGPSAAAPHKHKNGKRKLAGWLFGFLAGSVAGCLSGLVFSLLFKIVLAAVKGGGRDGGPAIFSPLIKRAEDLAFLEKDDGLANLEVIGKGGCGEVYKAELPGSNGKMIAIKKIIQPPKDAAELTEEDSKLLHKKMRQIQSEINTVGHIRHRNLLPLLAHVSRPDCHYLVYELMKNGSLQDALNHVTEGRRELDWLARHRIAVGVASGLEYLHLSHSPRIIHRDLKPANVLLDDSMEARIADFGLAKAMPDAQTHITTSNVAGTVGYIAPEYHQTLKFTDKCDIYSFGVVLGVLVMGKLPSDEFFQNTREMSLVKWMRNIMTSENPRQAIDPKLMGNGLEEQMLLVLKIACFCTIDDPKQRPNSKDVRCMLSQIKH >Potri.008G172800.2.v4.1 pep chromosome:Pop_tri_v4:8:11936915:11940370:-1 gene:Potri.008G172800.v4.1 transcript:Potri.008G172800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172800.v4.1 MNKVTHPSPSPTMDLLQNYHNDDELDEHGQNPNSSPDSSSPPRLLPSKSAAPKVDDTMLALTVANQTLSKPIDPVQHAVAFNPTYDQLWAPVLGPAHPYAKDGIAQGMRNHKLGFVENAAIDSFVFDEQYNTFHKYGYAADPSASAGNNYVGDLDTLEKTNGISVYNIPQHEQKKRKIEKKREVTEEEGDGDDVMDKEEVENPATDAWLMKNRKSPWAGKKEGLQTELSEEQQKYAEEHARKKAEKAGGEKGEVVADKTTFHGKEERDYQGRSWLAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTASYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDMNSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNSNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYWD >Potri.008G172800.1.v4.1 pep chromosome:Pop_tri_v4:8:11937486:11940222:-1 gene:Potri.008G172800.v4.1 transcript:Potri.008G172800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172800.v4.1 MDLLQNYHNDDELDEHGQNPNSSPDSSSPPRLLPSKSAAPKVDDTMLALTVANQTLSKPIDPVQHAVAFNPTYDQLWAPVLGPAHPYAKDGIAQGMRNHKLGFVENAAIDSFVFDEQYNTFHKYGYAADPSASAGNNYVGDLDTLEKTNGISVYNIPQHEQKKRKIEKKREVTEEEGDGDDVMDKEEVENPATDAWLMKNRKSPWAGKKEGLQTELSEEQQKYAEEHARKKAEKAGGEKGEVVADKTTFHGKEERDYQGRSWLAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPKYGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGTKFLTASYDKNIKYWDTETGQVISTFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDMNSGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPAISLHPNSNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGCEWHPLEQSKVATCGWDGLIKYW >Potri.007G057050.1.v4.1 pep chromosome:Pop_tri_v4:7:5978838:5980537:-1 gene:Potri.007G057050.v4.1 transcript:Potri.007G057050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057050.v4.1 MDFSLFNYTILGFAVRMMKLQAGPEVGIVVPQRSLQSAWHDAAVIRILESQPSCSGNLAAHGMFQVEPRAHNEVFVDSEATCLQSCGV >Potri.010G099000.3.v4.1 pep chromosome:Pop_tri_v4:10:12198967:12206333:1 gene:Potri.010G099000.v4.1 transcript:Potri.010G099000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099000.v4.1 MKRDFDEISDDEWANHSFKPSRILTTTQNGKHKSNSSSSASFRPPLESFAFKKPQQNSFSSVVDDCVQVTEHFNLEDDDVEEEEETTRPSAVNRGRRFVVDDDDEDEEVDERERGGDLAEVYDIKSSDEEWEEEELAVEDDDLVGKALQKCSKISVELKRELYGSGVTSCDRYAEVEASSVKIVTQDDIDAACAVADSDFQPVLKPYQLVGVNFLLLLHRKGIGGAILADEMGLGKTIQAITYLTLLKYLHNDPGPHLIVCPASLLENWERELKKWCPSFSVLQYHGATRSAYSKELGSLAKAGLPPPFNVLLVCYSLFERHSAQQKDDRKILKRWQWSCVIMDEAHALKDKNSYRWKNLMSVARNANQRLMLTGTPLQNDLHELWSLLEFMMPDLFATEDEDLKKLLNAEDGDLIGRMKSILGPFILRRLKSDVMQQLVPKIQRVEYVSMEKHQEYAYKEAIEEYRAVSHARIAKVSDGDPNTITGVLPRRQISNYFVQFRKIANHPLLVRRIYSDEDVIRFAKKLHPMGAFGFECTLERVIEELKSYNDFSIHRLLLYHDINEKKGILSDKYVMLSAKCRALAELLPDLKKCGHRVLIFSQWTSMLDILEWTLDVLGVTYRRLDGSTQVTERQAIVDAFNNDTSISACLLSTRAGGQGLNLTGADTVIIHDLDFNPQIDRQAEDRCHRIGQTKPVTIYRLVTKGTVDENVYEIAKRKLVLDAAVLESGVEVNNEGDTLTMGEILSSLLMV >Potri.013G012500.1.v4.1 pep chromosome:Pop_tri_v4:13:826585:830283:-1 gene:Potri.013G012500.v4.1 transcript:Potri.013G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012500.v4.1 MGELVVDKHVKYILSVEKRKDSFESVVLEHLRMNGAYWGLATLDILGKLDALDSNEVIEWIMKCQHESGGFAGNIGHDPHMLYTLSAVQVLALFDKLNVLDADKVANYISGLQNEDGSFSGDEWGEVDSRFSYLAICCLSILHRLDKINVEKAVNYIASCKNVDGGFGCTPGGESHAGQIFCCLGALAITGSLHHVDKDLLGWWLCERQVKSGGLNGRPEKLPDVCYSWWVLSSLIMIDRVHWINKDKLVKFILNCQDTENGGISDRPEDAVDVYHTYFGVAGLSLLEYPGLKAIDPAHALPVDVVNRIFFQK >Potri.019G057500.3.v4.1 pep chromosome:Pop_tri_v4:19:9644760:9647043:-1 gene:Potri.019G057500.v4.1 transcript:Potri.019G057500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057500.v4.1 MTMAATISLLLFALHLCLIGTYGDSGGWQGGHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGSCYEMRCDNDPKWCLPGSITVTATNFCPPNSALSNDNGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPISFRRVPCVKKGGIRFTINGHSYFNLVLITNVAGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNSYLNGQSLSFQVTTSDGRTVTSYNAVPENWQFGQTFSGGQF >Potri.001G008320.1.v4.1 pep chromosome:Pop_tri_v4:1:568680:571240:-1 gene:Potri.001G008320.v4.1 transcript:Potri.001G008320.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008320.v4.1 MASFNILTLSLYLLSLLLLSKIRLSLSLTTSDIHDLLPQYGLPRGLLPDNVESFTLPSSDGSFEVKFKTPCYVHFDDVVYYDKVIKGKLSYGSVHDVSGIQAKKLFVWLPVTGIEVSKADDSMISFFVGPISRELPAKQFEDVPACKRKVGGLRTYLESM >Potri.002G106800.1.v4.1 pep chromosome:Pop_tri_v4:2:7890796:7894475:-1 gene:Potri.002G106800.v4.1 transcript:Potri.002G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106800.v4.1 MSTAYSSMQHFPSLLLICFLLLFSKIKSDELQILLNLKTSLKKSNTHVFDSWDSNKPICEFTGITCNSDKSVKEIELSGQNLEGVLPLDSICQLQSLDKLSFGYNFLHGTITNYLNNCTKLQYLDLGNNLFTGPFPDISSLSQLQHLYLNQSRFNGGFPWKSLQNMTGLVTLSIGDNTFDRAPFPNEVVKLTKLNWLYMTNCSIEGTIPEEIGNLIELTNLELSSNYLSGEIPSQIVKLRNLWQLELFNNSLTGKLPVGFGNLTKLEKFDASTNNLEGDLSELRFLTNLVSLQLYTNELSGEIPAEFGEFKKLVNVSLYQNQLTGPLPPKLGSWTDFDFIDVSENQLTGSIPPDMCKKGTMTRLLVLQNNLTGEIPAGYANCKTLLRFRVSNNRLSGKVPAGIWGLPEANIIDIEMNQFEGPVTTDIGNAKALGQLLLGNNRLSGELPEEISKATSLVTVQLNDNLFSGKIPNKIGELKQLSSLHLENNMFSGSIPDSLGSCYSLTDVSMAHNSLSGEIPSTLGHLPTLNSLNLSENEISGHIPGSLSSLRLSLLDLSHNRLSGPIPQSLSIEAYNGSFTGNPGLCSRTISSFQRCYPKSSISKEVRTLILCFSVGSMILLASLACFFHLKKREKYHDRSLKEESWDLKSFHVLTFTEDEILDSIKQENLVGKGGSGNVYRVALANGKELAVKHIWTANSTSTKKSRSTTPILGKEARKSKEFDAEVETLSSIRHVNVVKLYCSITSEDSSLLVYEYMPNGSLWDRLHASRKMELDWQTRYEIAVGAAKGLEYLHHGCDRPIIHRDVKSSNILLDELFKPRIADFGLAKMIQANGGKDSTQVIAGTHGYIAPEYGYTYKVNEKSDVYSFGVVLMELVSGKRAIEPEYGDNNDIVDWVSSKLKTKQNVLSIVDSRIPEAFKEDAVNVLRIAILCTARLPAMRPAMRSVVQMLEAAEPCKLVSIAISKDGALNMRKEVRDTEKYNPDQ >Potri.001G083200.1.v4.1 pep chromosome:Pop_tri_v4:1:6583771:6586565:-1 gene:Potri.001G083200.v4.1 transcript:Potri.001G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9B14 MANATTFSLMLQFFFITFCCLSYFSFAFTSQDYANALEKSILFFEGQRSGKLPSNQRLTWRGDSGLSDGSTYHVNLVGGYYDAGDNVKFGLPMAFTTTLLAWSVIEFGSSMQNQIENAKAAIRWSTDYLLKAATATPDTLYVQVGDPNMDHRCWERPEDMDTPRNVYKVTIQNPGSDVAAETAAALAAASIVFKESDPSYSTKLLHTAMKVFDFADRYRGSYSNSLNSVVCPFYCSYSGYQDELLWGASWIHRASQNGSYLTYIQSNGHTMGSDDDDYSFSWDDKRPGTKILLSKEFLEKTTEEFQLYKSHSDNYICSLIPGTSSFQAQYTPGGLFYKASESNLQYVTSTTFLLLTYAKYLGSNGGVARCGGSTVTAESLIAQAKKQVDYILGDNPARMSYMVGFGNRYPQHVHHRGSSVPSIHAHPNRISCNDGFQFLYSSSPNPNVLVGAIIGGPDNRDNFADDRNNYQQSEPATYINAPFVGALAFFSAKN >Potri.001G083200.2.v4.1 pep chromosome:Pop_tri_v4:1:6583773:6586558:-1 gene:Potri.001G083200.v4.1 transcript:Potri.001G083200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9B14 MAFTTTLLAWSVIEFGSSMQNQIENAKAAIRWSTDYLLKAATATPDTLYVQVGDPNMDHRCWERPEDMDTPRNVYKVTIQNPGSDVAAETAAALAAASIVFKESDPSYSTKLLHTAMKVFDFADRYRGSYSNSLNSVVCPFYCSYSGYQDELLWGASWIHRASQNGSYLTYIQSNGHTMGSDDDDYSFSWDDKRPGTKILLSKEFLEKTTEEFQLYKSHSDNYICSLIPGTSSFQAQYTPGGLFYKASESNLQYVTSTTFLLLTYAKYLGSNGGVARCGGSTVTAESLIAQAKKQVDYILGDNPARMSYMVGFGNRYPQHVHHRGSSVPSIHAHPNRISCNDGFQFLYSSSPNPNVLVGAIIGGPDNRDNFADDRNNYQQSEPATYINAPFVGALAFFSAKN >Potri.012G077450.1.v4.1 pep chromosome:Pop_tri_v4:12:10132782:10135212:1 gene:Potri.012G077450.v4.1 transcript:Potri.012G077450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077450.v4.1 MLHFGISTTFRIRSGYAPATLNKDCHLHLHYDSIVVSFSSCIYLDVEYLLRSFYAPWFSC >Potri.017G044900.1.v4.1 pep chromosome:Pop_tri_v4:17:3166435:3167854:1 gene:Potri.017G044900.v4.1 transcript:Potri.017G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044900.v4.1 MAYRHFPSSILPLMVISMSLMNSQTILVEARQLLEAPLPELPKPELPKPELPELPKPEFPELPPKPELPKFEVPQLPELPTFPHLPELPKPTLPTIPKDINPSHSTASP >Potri.018G152200.17.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MDSVLHHPWDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAHSNQPSVKGQISADLDSHACKMYVLTPQ >Potri.018G152200.12.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAVSTPISHQLKDRYQLIWIPTLVKCMS >Potri.018G152200.10.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAHSNQPSVKGQISADLDSHACKMYVLTPQ >Potri.018G152200.14.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAHSNQPSVKGQISADLDSHACKMYVLTPQ >Potri.018G152200.18.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MDSVLHHPWDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAHSNQPSVKGQISADLDSHACKMYVLTPQ >Potri.018G152200.9.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAHSNQPSVKGQISADLDSHACKMYVLTPQ >Potri.018G152200.16.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16227408:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVC >Potri.018G152200.11.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAVSTPISHQLKDRYQLIWIPTLVKCMS >Potri.018G152200.8.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAHSNQPSVKGQISADLDSHACKMYVLTPQ >Potri.018G152200.15.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16227408:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVKNNGDLEVC >Potri.018G152200.13.v4.1 pep chromosome:Pop_tri_v4:18:16223362:16228321:1 gene:Potri.018G152200.v4.1 transcript:Potri.018G152200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152200.v4.1 MVVYHQSSSQSMLGKLGSGVVALSICFFFFFLRLVSNADAAGRPINMGKQYSNSSHDDRQLSRMRGLSANGLGLAPPMGWNSWNHFHCNIEEKLIRDTADAMVSSGLAALGYEHVNLDDCWAELNRDSEGNLVPKASTFPSGIKALADYIHGKGLKLGIYSDAGSQTCSGTMPGSLGHEEQDAKTFASWGVDYLKYDNCNNDGTSPKERYPVMSKALLNSGRPIFFSLCEWGQEDPATWASNVGNSWRTTGDISDNWDSMTSRADQNDQWASYAAPGGWNDPDMLEVGNGGMTTEEYRSHFSIWALAKAPLLIGCDVRTMSDETIEILSNREVIAVNQDKLGVQGKKVWAGPLSNNKIAVVLWNRGSSRATVTAYWSDIGLDPTTTVNARDLWAHSNQPSVKGQISADLDSHACKMYVLTPQ >Potri.002G226000.3.v4.1 pep chromosome:Pop_tri_v4:2:21441719:21452846:1 gene:Potri.002G226000.v4.1 transcript:Potri.002G226000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226000.v4.1 MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDTDKLPYVALVEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHHDMQSAHTIEGKCTVHSFKNYSKLENVGAEDYFCRFEYKASTGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKLDHFLCSDCSSEDDAKRSMNVFPVSPSLEAKVETKRRKR >Potri.019G081100.1.v4.1 pep chromosome:Pop_tri_v4:19:12146553:12149227:1 gene:Potri.019G081100.v4.1 transcript:Potri.019G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081100.v4.1 MVMMEEEAAEKGRAVIFRDIRRYYCDYCGICRSKKSLITSHVLTHHKEEIEKERVGGDEVKEGVRSNTCEKCGASFKKPAYLVQHMQSHSLERPFMCSVDDCHASYRRKDHLTRHLLHHEGKLFKCEIENCDRKFVYLSNLKRHVRELHDESSSSSSVGGEKQYVCQELGCGKAFRYPSKLQKHEDSHINLDSMEAMCIEPGCMKHFSNKKCLKAHIQSCHQYINCDICGTKQLRKNIKRHLCTHEPVNGSTERIKCHFKGCQHAFSTKTNLNQHVKAVHLEQRPYVCGFPGCDMRFSYKHVRDKHEKSGLHVYTPGDFVESDQQFRSRPRGGRKRKFPTVEMLIRKRVTPPTEFDECHTLLHEMEAEDPVVSHALPT >Potri.008G169500.2.v4.1 pep chromosome:Pop_tri_v4:8:11732378:11738860:1 gene:Potri.008G169500.v4.1 transcript:Potri.008G169500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169500.v4.1 MRLKNWIKRRGLGIRGRLWKMMKCIRSGEQLRLDDMASSSESLATRDYSASYSSRAAEADIKIENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEGALHVFEGIDIASVTSKIKVSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIYLKVKSLHGLGRFEEAAQSCKVILDTLESALPEGIPESVSADWKLQDILNKAVELLPELWKLAGSLQEAILSYRRALLYNWNLNMETTAKLEKEFAVFLLYSGCDASPPNLRSQVDGSFVPRNNIEEAILLLLLLLRKFAAKKIEWDPTIMYHLSFALSVSGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEQMIALNLLRNLLNNRENPDCVLELLLASKICATNMICVDEGITYACKALSKLRGRCDQMASVANCLQGILLSTQSRSVASDTERISKQTEALEVLESAETIMRERDPSIIFHLSLENAEQRKLDTALYHAKQLLKLEAGSNVRSYILMARILSAQKQFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGKLQNAIDTYIYLLAIVQVRTKSSGSGKKLPKNRRNSDRSLEMETWHDLANLYTSLSKWRDAEACLSKSKIISPYSASRWHSTGLLYEAKGLHQEALKAFRAALDVEPNHVPSLVSTACILRRLGSQSIPIIRSFLTDAIRLDKTNHSAWYNLGLLYKADVSASALEAVECFEAAAFLEDSAPVESFRLRPDLEAHFAP >Potri.008G169500.5.v4.1 pep chromosome:Pop_tri_v4:8:11733036:11738762:1 gene:Potri.008G169500.v4.1 transcript:Potri.008G169500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169500.v4.1 MRLKNWIKRRGLGIRGRLWKMMKCIRSGEQLRLDDMASSSESLATRDYSASYSSRAAEADIKIENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEGALHVFEGIDIASVTSKIKVSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIYLKVKSLHGLGRFEEAAQSCKVILDTLESALPEGIPESVSADWKLQDILNKAVELLPELWKLAGSLQEAILSYRRALLYNWNLNMETTAKLEKEFAVFLLYSGCDASPPNLRSQVDGSFVPRNNIEEAILLLLLLLRKFAAKKIEWDPTIMYHLSFALSVSGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEQMIALNLLRNLLNNRENPDCVLELLLASKICATNMICVDEGITYACKALSKLRGRCDQMASVANCLQGILLSTQSRSVASDTERISKQTEALEVLESAETIMRERDPSIIFHLSLENAEQRKLDTALYHAKQLLKLEAGSNVRSYILMARILSAQKQFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGKLQNAIDTYIYLLAIVQVRTKSSGSGKKLPKNRRNSDRSLEMETWHDLANLYTSLSKWRDAEACLSKSKIISPYSASRWHSTGLLYEAKGLHQEALKAFRAALDVEPNHVPSLVSTACILRRLGSQSIPIIRSFLTDAIRLDKTNHSAWYNLGLLYKADVSASALEAVECFEAAAFLEDSAPVESFRLRPDLEAHFAP >Potri.008G169500.4.v4.1 pep chromosome:Pop_tri_v4:8:11732910:11738801:1 gene:Potri.008G169500.v4.1 transcript:Potri.008G169500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169500.v4.1 MRLKNWIKRRGLGIRGRLWKMMKCIRSGEQLRLDDMASSSESLATRDYSASYSSRAAEADIKIENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEGALHVFEGIDIASVTSKIKVSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIYLKVKSLHGLGRFEEAAQSCKVILDTLESALPEGIPESVSADWKLQDILNKAVELLPELWKLAGSLQEAILSYRRALLYNWNLNMETTAKLEKEFAVFLLYSGCDASPPNLRSQVDGSFVPRNNIEEAILLLLLLLRKFAAKKIEWDPTIMYHLSFALSVSGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEQMIALNLLRNLLNNRENPDCVLELLLASKICATNMICVDEGITYACKALSKLRGRCDQMASVANCLQGILLSTQSRSVASDTERISKQTEALEVLESAETIMRERDPSIIFHLSLENAEQRKLDTALYHAKQLLKLEAGSNVRSYILMARILSAQKQFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGKLQNAIDTYIYLLAIVQVRTKSSGSGKKLPKNRRNSDRSLEMETWHDLANLYTSLSKWRDAEACLSKSKIISPYSASRWHSTGLLYEAKGLHQEALKAFRAALDVEPNHVPSLVSTACILRRLGSQSIPIIRSFLTDAIRLDKTNHSAWYNLGLLYKADVSASALEAVECFEAAAFLEDSAPVESFRLRPDLEAHFAP >Potri.003G051600.2.v4.1 pep chromosome:Pop_tri_v4:3:7581375:7584992:1 gene:Potri.003G051600.v4.1 transcript:Potri.003G051600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051600.v4.1 MAGNPSWWSMHPPSQQPSALLSSSPSSYPSQYVLGSSPFPLNSLPDNQELPQSWSQLLLGGSSGDEDRYGLSQFQPKKLENWEDQILNPSPSISLDADVKQEVSHNSNLYGHGEEDFQAARPTAWPQAMPVSSPRSCVTSLSSSTSILDFSYNKADGASQHPDQSSECNSTATGGVCKKARVQPSSSQPLKVRKEKLGDRITALHQMVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYMGTASPNMRNQQQSDNQDQPKDLRSRGLCLVPVSCTQHVGSDNGADYWAPAIGGGF >Potri.003G051600.4.v4.1 pep chromosome:Pop_tri_v4:3:7581354:7584994:1 gene:Potri.003G051600.v4.1 transcript:Potri.003G051600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051600.v4.1 MAGNPSWWSMHPPSQQPSALLSSSPSSYPSQYVLGSSPFPLNSLPDNQELPQSWSQLLLGGSSGDEDRYGLSQFQPKKLENWEDQILNPSPSISLDADVKQEVSHNSNLYGHGEEDFQAARPTAWPQAMPVSSPRSCVTSLSSSTSILDFSYNKADGASQHPDQSSECNSTATGGVCKKARVQPSSSQPLKVRKEKLGDRITALHQMVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYMGTASPNMRNQQQSVQEERNSAFSEDMRQDNQDQPKDLRSRGLCLVPVSCTQHVGSDNGADYWAPAIGGGF >Potri.006G071600.1.v4.1 pep chromosome:Pop_tri_v4:6:5221571:5223296:-1 gene:Potri.006G071600.v4.1 transcript:Potri.006G071600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071600.v4.1 MEEEGYCNTRLGLGLGGENYAPWPQKQKEKPVVRLDLSFTLCPDQDDSMNIDHHGKAEGTCFKSEEDEDYGNKRSDHSIDNSCMYGTGRKKLRLTKDQSSYLEESFRRHPTLNPAKKHALAEQLNLKPRQVEVWFQNRRARTKLKQTEADCELLKKCCESLSNENRRLKRELQELRSQKTGRSSSSHSQLAKDLGTITKCPSCEESTTTDQNKM >Potri.001G179500.2.v4.1 pep chromosome:Pop_tri_v4:1:15727843:15729371:-1 gene:Potri.001G179500.v4.1 transcript:Potri.001G179500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179500.v4.1 MFYGAEVWDPWLIAAQIVCLQCLYYLTLGFFLCFLVGTRVSRLTLVYFFDFATITTSTVTGRCVIASLLPSSFAGAGYMLYLIERSKKCLDFSATLFIIHLFICIIYGGWPSSITWWVVNGTGFAVMAWLGKYLCSERELREIPISRYRSNV >Potri.016G011000.1.v4.1 pep chromosome:Pop_tri_v4:16:525205:529305:-1 gene:Potri.016G011000.v4.1 transcript:Potri.016G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011000.v4.1 MAQNDSLSEFLQTAVEAAKRAGEIIREGFYQTKHVEHKGLVDLVTETDKACEDLIFNYLKQQHPSHKFIGEETTAACGITELTDEPTWIVDPLDGTTNFVHGFPFVCISIGLTVGKVPTVGVVYNPIMDELFTGVHGKGAFLNGKPIKVSSQSELVKSLLATEAGTKRDKSTVDATTNRINSLLFKVRSLRMTGSCALNLCGIACGRIDLFYETGYGGPWDVAGGAVIVKEAGGIVYDPSGKDFDITSQRVAASNLLLKEAFVEVLQQSE >Potri.018G007500.1.v4.1 pep chromosome:Pop_tri_v4:18:560920:561392:1 gene:Potri.018G007500.v4.1 transcript:Potri.018G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007500.v4.1 MSPSNKLMALGLCMLMACTLFAGNAEATRDINYGAIVKGDHEPFCGPTHPCVKTPANGYHRGCETINKCRGGRNDNI >Potri.004G151800.3.v4.1 pep chromosome:Pop_tri_v4:4:17318207:17321876:1 gene:Potri.004G151800.v4.1 transcript:Potri.004G151800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151800.v4.1 MKKRKEKGEDRRKNHLSHWTITATSSVAIKLLAEHALVMQELVVINEALRISGGVGILRRTKQDIQVNGYTIPKD >Potri.004G151800.2.v4.1 pep chromosome:Pop_tri_v4:4:17318248:17319334:1 gene:Potri.004G151800.v4.1 transcript:Potri.004G151800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151800.v4.1 MKKRKEKGEDRRKNHLSHWTITATSSVAIKLLAEHALVMQELVVINEALRISGGVGILRRTKQDIQVNGYTIPKDWSVFLFSSAVFMNPDIYKDHLAFNPW >Potri.003G015700.1.v4.1 pep chromosome:Pop_tri_v4:3:1741688:1747277:-1 gene:Potri.003G015700.v4.1 transcript:Potri.003G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015700.v4.1 MAYGGVIFSNSFSFYQKRIVMSSRMQEKNGGASVPHVLSVAGSDSGAGAGIQADLKACSARGVYCSTVITSVTAQNTVGVQAVHAVPEDFVAQQLKSVLSDMQVDVVKTGMLPSVGVVKVLLQSLTEFSVRALVVDPVMVSTSGDVLAGPSILSTFREELLPMANIVTPNIKEASALLGGIRLETVADMRNAAELLHALGPRNVLVKGGDLPDSLDAVDIFFNGEHFYELRSSRIKTRNTHGTGCTLASCIAAELAKGSPMLTAVRVAKRYVETALEYSKDILIGNGIQGPFDHLLRLKSGSHSFHRKDAFNPSDLFLYAVTDSGMNKKWGRSTVDAVAAAIQGGATIVQLRDKDAGTKDFLETAKSCLAVCRSHGVPLLINDCVDVALASDADGVHVGQSDMPATVARTLLGPEKIIGVSCKTIEQAQQAWIGGADYIGCGGVYSTNTKANNPTIGLDGLKTVCSASKLPVVAIGGINDSNAGTVMEMGVPNLKGVAVVSALFDRENVLAETKKLHALLMEASSSSSKIQ >Potri.003G015700.2.v4.1 pep chromosome:Pop_tri_v4:3:1741715:1747272:-1 gene:Potri.003G015700.v4.1 transcript:Potri.003G015700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015700.v4.1 MVSTSGDVLAGPSILSTFREELLPMANIVTPNIKEASALLGGIRLETVADMRNAAELLHALGPRNVLVKGGDLPDSLDAVDIFFNGEHFYELRSSRIKTRNTHGTGCTLASCIAAELAKGSPMLTAVRVAKRYVETALEYSKDILIGNGIQGPFDHLLRLKSGSHSFHRKDAFNPSDLFLYAVTDSGMNKKWGRSTVDAVAAAIQGGATIVQLRDKDAGTKDFLETAKSCLAVCRSHGVPLLINDCVDVALASDADGVHVGQSDMPATVARTLLGPEKIIGVSCKTIEQAQQAWIGGADYIGCGGVYSTNTKANNPTIGLDGLKTVCSASKLPVVAIGGINDSNAGTVMEMGVPNLKGVAVVSALFDRENVLAETKKLHALLMEASSSSSKIQ >Potri.013G113700.1.v4.1 pep chromosome:Pop_tri_v4:13:12213006:12219814:1 gene:Potri.013G113700.v4.1 transcript:Potri.013G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G113700.v4.1 MAQYRHYTNGTSDHVSIGIRASSSSSSQKQQKLGRIRRLGYRSDKNSRGGLSLVGAVIVFLCLVLIVTVLAYNFLSTDNRSSNEDVNDNRVEDDEIKNNDFLANVTRTDSIKVLGFGQGSVGHGRDSRYWDRDDRRRDEDYNEDDVDNDSKLSGDDESSEKGHNSVKVKNDKEEAVRVEDRKGAGLYNEDGRKELKIYEKEYEASLKNTGKLTKENEIKNLLLDDEDDVDQNGAADSENEYDDGIDSHDRHMEEYGGDSEPNKEDRSSETTVHIEDNRASSNFLDAETKDQNIAKDNLEDSMSLLEKGSLNSQNLDDGDTDSRNVHNIGGHSTSKSRSDSKKKSKRRKFSGSSCGMKLLNSTTRLVEPFESRKFARFSLQYTEIEEKPDGQEQWEPRFAGHQSLHEREESFLAHDQKINCGFVKGSEGSSSTGFDLAEDDASYISRCHIAVISCIFGNSDRLRSPADKMVTRLSRKNVCFVMFMDEVSFQTLTSEGHIPDRAGFVGLWKIVVVKNLPYNDMRRVGKVPKLLPHRLFPSARYSIWLDSKLRLQVDPLLVLEYFLWRKGHEYAISNHYDRHCVWEEVVQNKKLNKYNHTVIDQQFAFYQADGLKRFNVSDPNKLLPSNVPEGSLIVRAHTPMSNLFSCLWFNEVHRFTPRDQLSFAFTYQKLRRMNPGKPFYLNMFKDCERRAIAKLFRHRSDEKRSTLHQEATE >Potri.002G031700.1.v4.1 pep chromosome:Pop_tri_v4:2:2083013:2084280:1 gene:Potri.002G031700.v4.1 transcript:Potri.002G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031700.v4.1 MPKNKGKGGKNRKRGKNEAVVGKRELIFKEEGQEYAQVLRMLGNGRCDAMCFDGTKRLCHIRGKMHRKVWIDAGDIILVGLRDYQDHKADIILKYRTYEARLLKAYGELPANTRINVGIAGGFDEEDDGDDDYFEFMNAGTSSAHINFI >Potri.009G050500.1.v4.1 pep chromosome:Pop_tri_v4:9:5563387:5565173:-1 gene:Potri.009G050500.v4.1 transcript:Potri.009G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050500.v4.1 MDNSSDPSHNSFSGNVRKNSSELQALQRQHEEKTRKIEELKSQIDSVKLDLEKKMKGPSGDKKEVFKSLSDKYNSLREEYNALLAEKSRE >Potri.019G102200.1.v4.1 pep chromosome:Pop_tri_v4:19:13804340:13807024:-1 gene:Potri.019G102200.v4.1 transcript:Potri.019G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102200.v4.1 MDSAKNNTGKSKKGVVDETQRLAMDQDWQLDRGRKEADISFERRHWKPVFGEASLSDRPSKKIRSPERQEQTQSSAYLAHQLPPSFSVSSSSASTLSLYPPSLSSSPVSSSSSRLQFPFAFEGSNQPVQFHQQVGTNPSSTIFRPPSQVAQNQQQMISFGQNQQYGIAYPPFFAGESALANQQQQQQQLFQYWNDALNLSPRGRMMMMNRLGPNGRPLFRPPIQPINTTKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDNAEDAALAYDREAFKLRGENAKLNFPELFLNKEKETSTAPSSSVSSPPTPNQSSMPKQAQEGINLQVETMPPPPPPEQPQGDHPDDDSGLGSSGATVSDEVQAVGSSAGEGTSGSQELMWGDMAEAWYNAIQAGWGPGSPVWDDLDTTNNFLLQSHLPFVTPNQQQFTDSSDLQRQQDNMGSASSSSSSSFPTKPFFWKDQD >Potri.019G116700.1.v4.1 pep chromosome:Pop_tri_v4:19:14358425:14359307:-1 gene:Potri.019G116700.v4.1 transcript:Potri.019G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116700.v4.1 MISARSFHQNAKNQVKSISQAKKLSSPTSSTVVSSKVKENQKATQAEESLRRVMYLSCWGPY >Potri.008G022300.13.v4.1 pep chromosome:Pop_tri_v4:8:1122148:1126772:-1 gene:Potri.008G022300.v4.1 transcript:Potri.008G022300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022300.v4.1 MYCNFMEHGIEYAKQAVKEDDAGNYRKAFQLYMNALEYFQAQLKYEKNPQVEKTIRQKCMGYLRRAEEIRSVLDNGRSMPASNGDASVAARPKTSPKPKDGGRKGKEDPELAKLKGGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDKAPSIIFIDEIDSLCGQRGECNESEASRRIKTELLVQMQGIGNDDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHDLTERDFEKLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEYFIKSSDGMWVPCELQRVAVKTTLQELDAQGLASKVLPPPITRADFNKVLARQKPTVSKADLEVHERFTKEFGEEG >Potri.008G022300.14.v4.1 pep chromosome:Pop_tri_v4:8:1122458:1126763:-1 gene:Potri.008G022300.v4.1 transcript:Potri.008G022300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022300.v4.1 MYCNFMEHGIEYAKQAVKEDDAGNYRKAFQLYMNALEYFQAQLKYEKNPQVEKTIRQKCMGYLRRAEEIRSVLDNGRSMPASNGDASVAARPKTSPKPKDGGRKGKEDPELAKLKGGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDKAPSIIFIDEIDSLCGQRGECNESEASRRIKTELLVQMQGIGNDDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHDLTERDFEKLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEYFIKSSDGMWVPCELQRVAVKTTLQELDAQGLASKVLPPPITRADFNKVLARQKPTVSKADLEVHERFTKEFGEEG >Potri.008G022300.16.v4.1 pep chromosome:Pop_tri_v4:8:1122206:1126762:-1 gene:Potri.008G022300.v4.1 transcript:Potri.008G022300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022300.v4.1 MYCNFMEHGIEYAKQAVKEDDAGNYRKAFQLYMNALEYFQAQLKYEKNPQVEKTIRQKCMGYLRRAEEIRSVLDNGRSMPASNGDASVAARPKTSPKPKDGGRKGKEDPELAKLKGGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDKAPSIIFIDEIDSLCGQRGECNESEASRRIKTELLVQMQGIGNDDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHDLTERDFEKLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEYFIKSSDGMWVPCELQRVAVKTTLQELDAQGLASKVLPPPITRADFNKVLARQKPTVSKADLEVHERFTKEFGEEG >Potri.008G022300.12.v4.1 pep chromosome:Pop_tri_v4:8:1122205:1126762:-1 gene:Potri.008G022300.v4.1 transcript:Potri.008G022300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022300.v4.1 MYCNFMEHGIEYAKQAVKEDDAGNYRKAFQLYMNALEYFQAQLKYEKNPQVEKTIRQKCMGYLRRAEEIRSVLDNGRSMPASNGDASVAARPKTSPKPKDGGRKGKEDPELAKLKGGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDKAPSIIFIDEIDSLCGQRGECNESEASRRIKTELLVQMQGIGNDDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHDLTERDFEKLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEYFIKSSDGMWVPCELQRVAVKTTLQELDAQGLASKVLPPPITRADFNKVLARQKPTVSKADLEVHERFTKEFGEEG >Potri.008G022300.9.v4.1 pep chromosome:Pop_tri_v4:8:1122272:1126762:-1 gene:Potri.008G022300.v4.1 transcript:Potri.008G022300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022300.v4.1 MYCNFMEHGIEYAKQAVKEDDAGNYRKAFQLYMNALEYFQAQLKYEKNPQVEKTIRQKCMGYLRRAEEIRSVLDNGRSMPASNGDASVAARPKTSPKPKDGGRKGKEDPELAKLKGGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDKAPSIIFIDEIDSLCGQRGECNESEASRRIKTELLVQMQGIGNDDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHDLTERDFEKLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEYFIKSSDGMWVPCELQRVAVKTTLQELDAQGLASKVLPPPITRADFNKVLARQKPTVSKADLEVHERFTKEFGEEG >Potri.008G022300.15.v4.1 pep chromosome:Pop_tri_v4:8:1122205:1126762:-1 gene:Potri.008G022300.v4.1 transcript:Potri.008G022300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022300.v4.1 MYCNFMEHGIEYAKQAVKEDDAGNYRKAFQLYMNALEYFQAQLKYEKNPQVEKTIRQKCMGYLRRAEEIRSVLDNGRSMPASNGDASVAARPKTSPKPKDGGRKGKEDPELAKLKGGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDKAPSIIFIDEIDSLCGQRGECNESEASRRIKTELLVQMQGIGNDDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHDLTERDFEKLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEYFIKSSDGMWVPCELQRVAVKTTLQELDAQGLASKVLPPPITRADFNKVLARQKPTVSKADLEVHERFTKEFGEEG >Potri.006G131500.2.v4.1 pep chromosome:Pop_tri_v4:6:10730116:10731684:1 gene:Potri.006G131500.v4.1 transcript:Potri.006G131500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131500.v4.1 MGKTRGMGAGRKLKSHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Potri.011G072591.1.v4.1 pep chromosome:Pop_tri_v4:11:6587913:6600270:1 gene:Potri.011G072591.v4.1 transcript:Potri.011G072591.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072591.v4.1 MFTMLPPPHGLLQFCRVMVVVITLFSSATLVVSYQLHPDEVTALKQIVETLTLGGQPFEVGDACNQPGTLHDINLLKQDSGANSTVMCNCTLNLNNDGYCHITSLYLKTLSLSGELPPEMANLTYLEILDLTRNYISGNIPEEWASMKHLTKLLLTSNRLSGNIPGYLGRFRSLTNLSLEANQFSGTIPFQLGDLVNLTFLILSSNQLEGNLPNTLAKLNLTHFRASDNNLSGRIPDFIGNWSHIDRLELYASGLEGPIPPAILSLEKLTDLRITDMSGPESNLPKIPPIVKYLVLRNINLTGVIPADVWASDSLKTLDLTFNKLEGGIPEDAKTYNFMFFSGNKLTGSVPNSFINSDQKIDVSYNNFSRLPSCQDALGINTYRSSSIKNNLSGLLPCSSKHECPKSYRSFHVNCGGPDVAIGSIMYEGDESIKGDAATIYSNKKSNWGFSNTGDFMDVGSNRPGYIFTPNNSYFPTDTVYSTARRAAISLTYYGYCLENGMYTVKLDFAEIQFTDDESYKRVGKRFFDIYIQGKRERKDFNIKEAANGSNKAYSEQFTANVTDNTLEIRLYWNGKGTTCIPKRGNYGPLISAITVCSGQRTSCPEPGEASKIPIVVGVVTSALLLVFLVMGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSAGKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFATGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVMQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSEQDLFPPSDKSWIGNSSTSAHDLYPINPESINLNISETSSLIE >Potri.011G072591.2.v4.1 pep chromosome:Pop_tri_v4:11:6587907:6600227:1 gene:Potri.011G072591.v4.1 transcript:Potri.011G072591.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072591.v4.1 MFTMLPPPHGLLQFCRVMVVVITLFSSATLVVSYQLHPDEVTALKQIVETLTLGGQPFEVGDACNQPGTLHDINLLKQDSGANSTVMCNCTLNLNNDGYCHITSLYLKTLSLSGELPPEMANLTYLEILDLTRNYISGNIPEEWASMKHLTKLLLTSNRLSGNIPGYLGRFRSLTNLSLEANQFSGTIPFQLGDLVNLTFLILSSNQLEGNLPNTLAKLNLTHFRASDNNLSGRIPDFIGNWSHIDRLELYASGLEGPIPPAILSLEKLTDLRITDMSGPESNLPKIPPIVKYLVLRNINLTGVIPADVWASDSLKTLDLTFNKLEGGIPEDAKTYNFMFFSGNKLTGSVPNSFINSDQKIDVSYNNFSRLPSCQDALGINTYRSSSIKNNLSGLLPCSSKHECPKSYRSFHVNCGGPDVAIGSIMYEGDESIKGDAATIYSNKKSNWGFSNTGDFMDVGSNRPGYIFTPNNSYFPTDTVYSTARRAAISLTYYGYCLENGMYTVKLDFAEIQFTDDESYKRVGKRFFDIYIQGKRERKDFNIKEAANGSNKAYSEQFTANVTDNTLEIRLYWNGKGTTCIPKRGNYGPLISAITVCSGQRTSCPEPGEASKIPIVVGVVTSALLLVFLVMGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSAGKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVMQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSEQDLFPPSDKSWIGNSSTSAHDLYPINPESINLNISETSSLIE >Potri.014G086300.11.v4.1 pep chromosome:Pop_tri_v4:14:5564386:5568037:1 gene:Potri.014G086300.v4.1 transcript:Potri.014G086300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086300.v4.1 MAALRSLLKKNSSSIPTCNELVMIRRLFSSEAAAASPSFAQRLRDLPKDLPGTKIKTQVSQLIGKTPIVYLSKVTEGCGAYIAAKQEMFQPTSSIKDRPALAMIEDAEKKNLIAPGKTTLIEPTSGNMGISMAFMAAMKGYKMILTMPSYTSLERRVTMRAFGAELILTDPAKGMGGTVKKAYELLESTPGAFMLQQFSNPANTQIHFETTGPEIWEDSLGKVDIFVMGIGSGGTVSGVGKYLKSQNPNVKIYGVEPAESNVLNGGKPGPHDITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARRLALEEGLMVGISSGANTVAALRLARMPENKGKLIVTIHASFGERYLSSVLFQDLRKEAENMQPVPVD >Potri.001G295800.2.v4.1 pep chromosome:Pop_tri_v4:1:30691677:30699354:-1 gene:Potri.001G295800.v4.1 transcript:Potri.001G295800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295800.v4.1 MVAAFPQAAAAAATSSTDKTPNLTRPPLLPSGKDQQQNNGSTHIATRKPRGKQVPSRYLSPSPSTSTTTTTTTTTSSSSSSSSSFPKRLPSPLLSRSTNSGTSHTPSTTTASPFLSGSKRSQSVDRRRPVTSSRETTPNPQGNAEMSAATKMLITSTRSLSVSFQGEAFSLPISKAKSVTPPQNNVARKATPEKRRATPVGDQGENSRPVDHHRWPGRSREGNLKERNQLLSRSLDCSVVVGCGGDKRVVGSGLMGVKSLQQSMMVGEGRRLSLDLGNIAKQNPDTISVNESSYTGDLTASDSDSVSSGSTSGVTEIGKWKTGARGIAVSARFWQETNSRMRRLQDPGSPLSTSPGSRMGVSPKAIQSKRFSSDGPLASPRMMAASPIRGATRPASPGKLWTSSFSSPSRGMSSPSRVRPMSSSTPSILSFSVDLRRGKMGEDRIVDAHMLRLLYNRYLQWRFVNARADATFMVQRLSAEKNLWNAWVTISELRHSVTLRRIKLILLRQKLKLTSILKRQIAHLEEWSLLDRDHSSSLEGATEALKASTLRLPVVGKAVADVQNLKEVVGSAVDVMQAMASSICSLSSKVEDMNSLVADLLNVTAKERRMLEQCKGFLSALAIVQVKDCSMRTHTLQLNRLPNTSSLTTHV >Potri.006G040100.1.v4.1 pep chromosome:Pop_tri_v4:6:2681115:2687240:1 gene:Potri.006G040100.v4.1 transcript:Potri.006G040100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040100.v4.1 MNGGPSGFNNAPVTRICVIASAIFSVFLGIKGGSNKLGLSYQDIFRNPRLWKLVLSVFAFSSTPEMMFGLYLLYYFRVFERQIGSNKYSVFILFSVIVSLLFEVFAVTLLQDPLANLLTSGPYGLIFASYVPFYFDIPVSTRFRVVGVHLSDKSFIYLAGVQLLLSSWKRSILPGICGILAGSLYRLNLFGIRKAKFPEFIASFFSRLSWPSTGSPRGATSRNVTGSAPSYAGRHVQRTYPAPMAPSTEPSEDAIATLASMGFDRNSARQALVQARNDVNTATNILLEAQSH >Potri.004G084366.1.v4.1 pep chromosome:Pop_tri_v4:4:6983565:6985497:-1 gene:Potri.004G084366.v4.1 transcript:Potri.004G084366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084366.v4.1 MADLRFNNCSFFLVLFASLLVATSCRGHSRNALFIFGGSWNDVGNNNYMETAIKANFLPYGETFFKNATGRASNGRLVPDFIAGFAKLPLIPPYLSPGNNEFTNGLNFASAGAGVLTETNVGMTIGLKTQLSFFKYTKKHLNVKLGEAKTKTLLSRALYMFSIGSSDYITFATHKTTELPSYTRDEYVKTVIGNLTDAIQEIHSMGGRKFGFSNLGDVGCSPFLRALNEAKNINGSGCMDEVTVLAELHNKALAKALKKLERKLEGFKYSNFDLFAASKERIDNPSKYGFKEGKVACCGTGPYKGNLTGCCPKTVCDNVNDYLFFDGVHPTEKANYQFAKLMWSGRADIVKPYNLKTLLKKI >Potri.014G169750.1.v4.1 pep chromosome:Pop_tri_v4:14:12402576:12403830:-1 gene:Potri.014G169750.v4.1 transcript:Potri.014G169750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169750.v4.1 MPMILTVVGTALFAKLLMMYDDSHSQEMIERKIKNAPVGQGTVRMLTREEWEEFREVRPRTPFESKLARPNARIRTEETVRTVRHPVLFVTTSLCSLANKRISITGMTVKIGSAFVHIFYPLISESIRRGYGIIVSCRPLFS >Potri.014G169750.2.v4.1 pep chromosome:Pop_tri_v4:14:12402612:12403881:-1 gene:Potri.014G169750.v4.1 transcript:Potri.014G169750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169750.v4.1 MIERKIKNAPVGQGTVRMLTREEWEEFREVRPRTPFESKLARPNARIRTEETVRTVRHPVLFVTTSLCSLANKRISITGMTVKIGSAFVHIFYPLISESIRRGYGIIVSCRPLFS >Potri.016G136900.1.v4.1 pep chromosome:Pop_tri_v4:16:14064914:14070700:1 gene:Potri.016G136900.v4.1 transcript:Potri.016G136900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136900.v4.1 MGRNGISRLFLFFVVLILSSGVSNASFVSTIRHLAAPKDHDGNISVKIQVSPSPSPDPAKTLNTTNTEDNSVSKVDNSTSLNNNNNNNNNNNIGNISDKINGERGVVGLNSTRGNEKESRDEKRDDETKNVSEEKDHRKPDLESEAGGENCTTVGGRRCTDLKSLTACILGFDNGSHWWTVLIQNSGERTLSVDVLAPNLIDNSLVEIAKHQTKTINLTVGQSTEVILNAKNGACVLHLDPLESQANFFMNLPSYDQLITPINGAYFLIITVVVFGGTLACCMCRKGRLEAGIAYQELEMAMPESGVANDFETAGGWDKVWDDNWDEENAIKSPAARHSASVSANGLTSRTPNKDGWENDWDN >Potri.003G095500.2.v4.1 pep chromosome:Pop_tri_v4:3:12144273:12145196:-1 gene:Potri.003G095500.v4.1 transcript:Potri.003G095500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095500.v4.1 MQRQSLGSPVTKLHTNGGADTLSSSDNKLLFKDLPSSSLTPDADDLDHKSIKPRRFSSSSTSSLSSILSSPAPAPEKLIHLIPFLTLFCFLVLFLVSHNPSQADLAQFNGFKRVSNHIEEAIESVGDVSGLSAVRRGDVLAVRSFRNLQEIAADKRAPLKVRSHRKFAHF >Potri.013G158100.1.v4.1 pep chromosome:Pop_tri_v4:13:15290267:15295055:-1 gene:Potri.013G158100.v4.1 transcript:Potri.013G158100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158100.v4.1 MASSMVPSKNFKRSQSRKSHSWWWDSHISPKNSKWLIDNLEEMDQNVKRMLKLIEDDGDSFVKKAEMYYQKRPELISHVEEFYRMYRSLAERYDHVTGELRRSIPSDLQSQGSGISDAVSEPPSPAREQKLSRHKSGPRAAGFEVFLGSGGSSDHHQKEGDESSTLTDSESESDDSSVNNYSSLSGNSGDQGLSRKIIDLEIELRETKEKLRAQQDESVDGSFRGVRNEDFDDILARIAGYERDLKNANQRIQVSEEEVARLNIELKKYRSSEVTEGLQSEFASSTESKAMTREAELEPEINQASHLQERIDGSESDTFDSNAKIQSLMKELRIANERLKVSEKEITTLKGQLEGDGPSDKINNLQDELALAHKEINTLKKKLNAEKREVSKLQERISRLKSSLSDRDHEARDLKIAVSDAEQKIFPEKAQIKAEMSKLIEERTCLEEQLKEQESRGRSLEDDIRMFQAEKAEMEQRLDCEIQQLKEDIAERDNHIEKMDKSLDVLKSERDELNVKVIALKAEVTSRDERIDQMDRHLQQLHMEHVKLVSGAEEARKLMDVLRSKVKDLEEEVERQRFVILEGAEEKREAIRQLCLALEHYRNDYHTLRQAFVGHKRVPVLAT >Potri.007G024600.1.v4.1 pep chromosome:Pop_tri_v4:7:1895293:1903310:1 gene:Potri.007G024600.v4.1 transcript:Potri.007G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024600.v4.1 MDSDTLTEPMDFKVSELINEVQIEHSPSFTKLVNDTVSSIQNSIDKIPNNLVVTGEEAAGFVRDVGADKVEFKFKKPKSIAIGGSYSIKCVVKPDVSVDLFIQLPKECFHEKDYLNHRYHAKRFVYLCVINKFLKSDSSFEKVEWSTLQNEARKPVLLVYPADKLAEIPGFFVRIIPTAKSLFNTAKLDLKRNNVRVLNQGGTALPTPRYNSSILEDMCLEDNTEFLKKTFLGQKALGEALVLLKVWARQRDSIHSHDSLNGYLIAIILSYLVAYEKVNSSMRPLQIFRVTLDFIANSKLWTRGLFLQKQGEVKILKEDRMLYKESFPVVIFDSTTHINLTFRIKDSGFSELQDEAAQTLQCFGKSGDSAFEDIFMTKIDFPARYDYCVRLSLKGNSEFYSSGYCLDEECWRLYEKKVQSLLSQGLSDRAKSIRVIWRNIPSGCSLENGLSTLDGEPLLAGISLSSLDKAFRVVDIGPDAENKEEAARFRKFWGEKAELRRFKDGKIAESTVWESEQWKKHLILKRIVEYILLRHLSISKTSIEQTVDQLDFSLLHGVEDPMSFSASLLGAFDILSKRLRLIEDIPLKVSSVQPLDPAFRFTSVFPPEPHPIASEKGNVPRPHKLTSSCIQPLEVMIQLEGSGNWPMDDVAIEKTKSAFLLKIGESLENSWGMTCTATEDDVDVFLSGYAFRLKILHERGLSLVKRETGSDQGKQVSSADQKLFVRSQHSSMINGLQGVFPIYGPVVRLAKRWVASHMFSACLSEEAIELLVAHLFVKPLPFTAPCSRITGFLRFLRLLAEYDWTFSPLIVDINSDFNPSDKKEIYDKFMLTRKGYEESSQNISPAMFLATSYDKASEAWTRLSPNVLELKRLVAYARSSANLLTRLVFQDQTESYRWECLFCTPLTNYDAVILLHGDRLPYPQRLLFPSKLNHGRLVARGNASKAFQPFMLPGDLRGSLDKLKNKLLVDFDPLRCYIADLEKECNTLKMWYDSLGGDAIGLTWERSCSKKRDREEASSDEDPIDVLKAVGEAGKRFVKSVHFLKAPRLMN >Potri.010G034200.1.v4.1 pep chromosome:Pop_tri_v4:10:6172525:6174522:1 gene:Potri.010G034200.v4.1 transcript:Potri.010G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034200.v4.1 MAIKKHPFFIFLFVFYIFMSFSPEIAHAGSYLSTITGGNWVVLQESIGISAMHMQVLRNNKVIMFDRTDFGRSNLSLPDGKCRYKDEAVKPKDCTAHAVLYDIASNTFRPLMVQTDTWCSSGSLDSSGNLIQTGGYKAGEAVIRSFTPCDDDSCDWVELSNQVLWNRRWYASNQLLPDGRIIIVGGRRAFTYEFYPKNPQERDNFTLPFLIHTRDPQEEINLYPFLHLLPDGNLFIFANNRSISLDYKRNKVIKEYPVMPVGDRRNYPCTGSSVLLPLRLTGITNVTDHPEAEVMICGGAQKGAYIKSNYLHIYGQASTTCGRLKVTDPKPEWVMELMPIPRIMNDMLLLPTGDLIIINGATNGSAGWNDAMNPVYNPVLYQPDEDPTRRFVVLSSSKIARLYHSTAALLPDGRILVGGSNPHQGYNMTGKPYPTELSLEAFYLHYLDPQYAYLRPSILTVELSDRAVSYGELFSVTFVCSSYRLDLGVSVTVIAPSFTTHSFGMNQRMVVLNVVSVVQLSMFAYKANVIGPINVNVAPPGYYMMFVVHAGIPSNAVWVNVC >Potri.018G084800.1.v4.1 pep chromosome:Pop_tri_v4:18:10248442:10252869:-1 gene:Potri.018G084800.v4.1 transcript:Potri.018G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084800.v4.1 MASSTIRPSSASSLFRLCNTTNTCAASLVSLHPSSRRFPYLASAVPQSHFFGLRASSKIWRGESRSITAGNMAQASTAASLLEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYANAFLGYGPEDSHFVIELTYNYGVDSYDIGTGFGHFGIALEDVAKTVELIKAKGGKVTREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMELLRKRDNPEYKYTIAMMGYGPEDKNAVLELTYNYGVTEYDKGNAYAQIAIGTDDVYRTAEAVKLFGGKVTREPGPLPGISTKITACLDPDGWKTVFVDNIDFLKELE >Potri.007G111900.1.v4.1 pep chromosome:Pop_tri_v4:7:13327616:13329489:1 gene:Potri.007G111900.v4.1 transcript:Potri.007G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111900.v4.1 MALQYRRLLFASYDDCVVSCQAKNYTESCNSECSDLFGVDSSPQQKLSKIALAMIVLSVITSVLATCYAIYAKFIRPRRRRSTQREEEAEIIETRDQFADEDEGRVVDHPIWYIRTVGLQPSVIGSIRVFKYKSGDGLVEGTECSVCLSEFQDDETLRLLPKCSHAFHIPCIDTWLRSHTNCPLCRAPIVTSTAIATSSQANLDDTSSGEETRIEVSEEDQESSREMEGRDGGVRVVTEEESELQSENLNEEEEEEVEEEDGIQPLRRSVSLDSLSAFKIIQALANVHPAVESDRNSGTRRAGGGDGSSGESVQNRGGGNQNLIKLMATSSFGRSFQIGPSSLKRSISCSGKFFLSRPRRNRNSGLPS >Potri.010G104400.3.v4.1 pep chromosome:Pop_tri_v4:10:12631662:12635204:1 gene:Potri.010G104400.v4.1 transcript:Potri.010G104400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104400.v4.1 MAFVLPSKGILQSQALKKYICETSAYPGEHEQLKELREATAKKYGNLSEMAIPVDEGRFLSMLMKIMNPKRTLEVGVFTGYSLLSTALALPKESQITAIDIDREAYEVGLPYIQKTGLENKIKFIQADAISVLNEMLNNDMQPEFDFAFVDADKPNYKHYHEQLVKLVKIGGVIAYDNTLWFGLVAKEEDEVPEHLRTIRTVIMEFNKLISSDPRIEISQVSVGDGVTLCRRLY >Potri.010G104400.2.v4.1 pep chromosome:Pop_tri_v4:10:12631302:12635204:1 gene:Potri.010G104400.v4.1 transcript:Potri.010G104400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104400.v4.1 MAFVLPSKGILQSQALKKYICETSAYPGEHEQLKELREATAKKYGNLSEMAIPVDEGRFLSMLMKIMNPKRTLEVGVFTGYSLLSTALALPKESQITAIDIDREAYEVGLPYIQKTGLENKIKFIQADAISVLNEMLNNDMQPEFDFAFVDADKPNYKHYHEQLVKLVKIGGVIAYDNTLWFGLVAKEEDEVPEHLRTIRTVIMEFNKLISSDPRIEISQVSVGDGVTLCRRLY >Potri.004G169000.1.v4.1 pep chromosome:Pop_tri_v4:4:18653735:18654061:1 gene:Potri.004G169000.v4.1 transcript:Potri.004G169000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169000.v4.1 MYLHSEELFFHPANSFVSILGCFYGYHLLTRREVALNGKSHSHLKCPNFSETLNMHDGVESKPVQGKMLGQVHDLTLPIMDGTCTFWCVYFLHVNSLLIFFVSVCIYD >Potri.006G144000.1.v4.1 pep chromosome:Pop_tri_v4:6:12186420:12186785:-1 gene:Potri.006G144000.v4.1 transcript:Potri.006G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144000.v4.1 MASTSAVSMALPLTYASQKRIPASEAFFKPLPARPSKAMSASKSSGRFQVKASLKEKLVTGLTAGALTASMVIPDVAEAAGAGASPSLKNFLLSIVAGGVVLVAIIGAVIGVSNFDPVKRG >Potri.002G234600.1.v4.1 pep chromosome:Pop_tri_v4:2:22777237:22779337:1 gene:Potri.002G234600.v4.1 transcript:Potri.002G234600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234600.v4.1 MSAAVRTRTASQEVSFSNEMENLGLNDHNIKAAAAAAAAEEKAEKDHEEDDSVDDIEEDGSKLQSDHKELDLGPQVSLKEQLEKDKDDDSLRRWKEQLLGSVDMSAVGESKEPEVKILSLSILCPGRPDLVLPFPFNSNSKSSSLFTLKEGSLYHLKLCFTVSNNLVSGLKYTNTVWKTGVRVDRTKVMLGTFSPQKEPYRYELEEETTPSGIFARGSYSARTKIVDDDGKCYLDVSYCFEIQKRWPSS >Potri.003G132000.2.v4.1 pep chromosome:Pop_tri_v4:3:15016196:15018453:-1 gene:Potri.003G132000.v4.1 transcript:Potri.003G132000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132000.v4.1 MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSAPATITNATTSTDHSNINYTSNQLDLGHQDLTRPQVQETLFSSSAPLFMFDTSTASLDGIVDSSVRGELFHDSASLNTETWNSSQHHHVQAVLPPQATFSIGMDTNYLPPLIDNMENMVPIEMQSCSMNDEGEISLECLQRQELNIEWVESQQCSNFLFWDNVEGPLGGEEIAPTSSSMGAATLSSFPSSL >Potri.011G037300.1.v4.1 pep chromosome:Pop_tri_v4:11:2873926:2878073:-1 gene:Potri.011G037300.v4.1 transcript:Potri.011G037300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037300.v4.1 MITMSRSPVIVFFFSLLFLAPSCHAATNTLTKGQSIKDGETLISVDENFELGFFSPGNSTSRYVGVRYSKIQDQAVIWVANRDKPISGTDGVLRIGEDGNLMVVDGNGSSVWSSNASFVSSNTTLMLDTTGNLILSSNDSIGDTDKAYWQSFNNPTDTYLPDMKVLIGSAEIHAFTSWKSTSDPSPGNFTMGVDPRGAPQIVVWEQSRRRWRSGHWNSQIFSGVPSMAALTTYRYGFKVTPGNDGKFYLTYNPSDPSELMKFQITWNGFEEQQRWNESTKAWQVIQSQPSEECEKYNHCGNFGVCTPSGSPNCRCLEGFQPRHPDQWRLGNLSGGCERRSPLQCQRNTSNGGEDGFKAVRCTKLPDFADVDQLSSDDCKTTCQNNCSCKAYAHVTGIGCMIWNGDLTDVQNHMQSGNTLYVRLAYSELDHSRMSTYVIVLIVLAGLAFLAICIWLLWMLKKKLKVLPTATPASMSTNHELQVYDLSRSKEYPTDLSGPGDLVIEGSQVNGPDLPMFNFNCVAAATNNFSEENKLGQGGFGPVYKGKLPGGEEIAVKRLSKISGQGLQEFKNEIILIAKLQHRNLVRLLGCSIQGDEKMLIYEYMPNKSLDYFLFDPEKQGLLEWNKRFEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEGMNPKISDFGMARIFGANQNEINTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRMTDHVILIAYAWDLWSEGKAMEMVDPSIRDSCNENEVLRCIQLGMLCVQDSALHRPNMASVVLMLESSTTSIPLPREPTFTSVRASIDTETFMEAQEITSSNDLTVSMGEISTISNEVVD >Potri.011G037300.2.v4.1 pep chromosome:Pop_tri_v4:11:2873979:2878079:-1 gene:Potri.011G037300.v4.1 transcript:Potri.011G037300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037300.v4.1 MITMSRSPVIVFFFSLLFLAPSCHAATNTLTKGQSIKDGETLISVDENFELGFFSPGNSTSRYVGVRYSKIQDQAVIWVANRDKPISGTDGVLRIGEDGNLMVVDGNGSSVWSSNASFVSSNTTLMLDTTGNLILSSNDSIGDTDKAYWQSFNNPTDTYLPDMKVLIGSAEIHAFTSWKSTSDPSPGNFTMGVDPRGAPQIVVWEQSRRRWRSGHWNSQIFSGVPSMAALTTYRYGFKVTPGNDGKFYLTYNPSDPSELMKFQITWNGFEEQQRWNESTKAWQVIQSQPSEECEKYNHCGNFGVCTPSGSPNCRCLEGFQPRHPDQWRLGNLSGGCERRSPLQCQRNTSNGGEDGFKAVRCTKLPDFADVDQLSSDDCKTTCQNNCSCKAYAHVTGIGCMIWNGDLTDVQNHMQSGNTLYVRLAYSELDHSRMSTYVIVLIVLAGLAFLAICIWLLWMLKKKLKVLPTATPASMSTNHELQVYDLSRSKEYPTDLSGPGDLVIEGSQVNGPDLPMFNFNCVAAATNNFSEENKLGQGGFGPVYKGKLPGGEEIAVKRLSKISGQGLQEFKNEIILIAKLQHRNLVRLLGCSIQGDEKMLIYEYMPNKSLDYFLFDPEKQGLLEWNKRFEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEGMNPKISDFGMARIFGANQNEINTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRMTDHVILIAYAWDLWSEGKAMEMVDPSIRDSCNENEVLRCIQLGMLCVQDSALHRPNMASVVLMLESSTTSIPLPREPTFTSVRASIDTETFMEAQEITSSNDLTVSMVAGR >Potri.017G108901.1.v4.1 pep chromosome:Pop_tri_v4:17:11719079:11721074:-1 gene:Potri.017G108901.v4.1 transcript:Potri.017G108901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108901.v4.1 MKRAKKEETKRQAVNVWGGNSDVSGGFQRRLAFQELIYFIGLNDLMESKGGKKKSSSSSSSKSLCYEAPLGYSIEDLRPAGGIKKFRSAAYSNCVRKPS >Potri.007G050700.1.v4.1 pep chromosome:Pop_tri_v4:7:4926934:4930440:1 gene:Potri.007G050700.v4.1 transcript:Potri.007G050700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NRAMP3.1 MPSPEEDPQPLLKDQEETAYDSDGKVLSFGIDYDTESGGSTVVPSFSWRKLWLFTGPGFLMCIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAMGLLVQLLSARLGVATGRHLAELCREEYPTWARMILWIMAELALIGADIQEVIGSAIAIQILSNGVLPLWAGVIITASDCFIFLFLENYGVRKLEAAFGILIGIMAVTFAWMFADAKPSAPELFLGILIPKLSSKTIKQAVGVVGCIIMPHNVFLHSALVQSREIDHNKKGQVQEALRYYSIESTAALAISFMINLFVTTIFAKGFHGTELANSIGLVNAGQYLQDKYGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLGLKKWLRALITRSCAIIPTIIVALVFDTSEDSLDVLNEWLNMLQSIQIPFALIPLLCLVSKEQIMGTFTVGPILKMVSWLVAALVMLINGYLLLDFFSNEVTGVVFTTVVCAFTGAYVTFIIYLISREVTISTWYCPT >Potri.017G116500.3.v4.1 pep chromosome:Pop_tri_v4:17:12358758:12361053:-1 gene:Potri.017G116500.v4.1 transcript:Potri.017G116500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116500.v4.1 MLKKGEEEDEKREEAIASNPSLQPNFKPKRVTQDQLSKLQELHKRRLQIKSKIHKKSKDGTGKSHGKDLKSKKSHGKDLKSKDTGTNIEDSGAPDLKPLDDNASFSTPQDNVSAHHSEKKRQKLHWGLDTKERWERKANM >Potri.001G287700.1.v4.1 pep chromosome:Pop_tri_v4:1:30001650:30006862:1 gene:Potri.001G287700.v4.1 transcript:Potri.001G287700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287700.v4.1 MPTIMSLLGFTSSLVSFAPPPPLPSLTPLLPSLSCLSIRRRNPLLLSVRNLDYKCESQASGLYMEPVKESVELNRNLYANIEPYETGFLKVSDLHSIYYEQSGSPSGHPVVFLHGGPGGGTAPSNRRFFDPEFYRIILFDQRGAGKSTPHASLEENTTWDLIDDIEKLREHLKIPEWQVFGGSWGSTLALAYSQSHPEKVTGLVLRGIFLLRKKEIDWFYEGGAAAIFPDAWESFRDFIPENERGCFIDAYSKRLNSDDLETQYAAARAWTKWEMMTAHLLPNEETVKRGDDDIFSLAFARIENHYFVNKGFFPSDSFLLENVDKIRHINTTIVQGRYDVCCPMMSAWDLHKAWPEADLKVVPDAGHSANEPGITAELVAANEKLKNIIKNGP >Potri.001G287700.3.v4.1 pep chromosome:Pop_tri_v4:1:30001656:30006791:1 gene:Potri.001G287700.v4.1 transcript:Potri.001G287700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287700.v4.1 MPTIMSLLGFTSSLVSFAPPPPLPSLTPLLPSLSCLSIRRRNPLLLSVRNLDYKCESQASGLYMEPVKESVELNRNLYANIEPYETGFLKPVVFLHGGPGGGTAPSNRRFFDPEFYRIILFDQRGAGKSTPHASLEENTTWDLIDDIEKLREHLKIPEWQVFGGSWGSTLALAYSQSHPEKVTGLVLRGIFLLRKKEIDWFYEGGAAAIFPDAWESFRDFIPENERGCFIDAYSKRLNSDDLETQYAAARAWTKWEMMTAHLLPNEETVKRGDDDIFSLAFARIENHYFVNKGFFPSDSFLLENVDKIRHINTTIVQGRYDVCCPMMSAWDLHKAWPEADLKVVPDAGHSANEPGITAELVAANEKLKNIIKNGP >Potri.006G060800.1.v4.1 pep chromosome:Pop_tri_v4:6:4314778:4315416:1 gene:Potri.006G060800.v4.1 transcript:Potri.006G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060800.v4.1 MAATATGSSRRTSSGPALRSVSPPGRFHQHLTRSPTSYASSTAFASSSSSFTSRSSTFFTRSASPTRVNMYGHTSQSSSPSVRLNAISPNRSISTVNPSRSHHHQVVKKQSTPKRTCMCSPTTHPGSFRCSLHKGVGFGGSPSSSNYSSNNNRLNARRSAMTNSLVRIGGVEGDLVRRALASLIRPSSHQQRRRSAFQPRPSRLSVMSKSEV >Potri.001G088000.2.v4.1 pep chromosome:Pop_tri_v4:1:7005153:7009238:-1 gene:Potri.001G088000.v4.1 transcript:Potri.001G088000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088000.v4.1 MGDGNNRSNNNSEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANCGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKGNTMINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQAPLKALSDNGVVVTIWYRAPELLLGAKHYTSAVDMWALGCIFAELLTLKPLFQGAEAKSTSIPFQIDQLDKIFKVLGHPTLEKWPTLASLPHWHNDVQHIQEHKYENTGLHGVVPLSPKSASFDLLSKMLEYDPRKRITAAQAIEHDYFRSEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGMPGAHGINSRSAPRPMSVGMQRMQSQGMAAYNLSSQAGMGGGMNPGNIPMPRGVAQPHQQHHLRRKDPPGTGTGYPPQQKSRR >Potri.001G088000.1.v4.1 pep chromosome:Pop_tri_v4:1:7005573:7009253:-1 gene:Potri.001G088000.v4.1 transcript:Potri.001G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088000.v4.1 MGDGNNRSNNNSEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANCGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHADMSLYLAFDYAEHDLYEIIRHHRDKGNTMINQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQAPLKALSDNGVVVTIWYRAPELLLGAKHYTSAVDMWALGCIFAELLTLKPLFQGAEAKSTSIPFQIDQLDKIFKVLGHPTLEKWPTLASLPHWHNDVQHIQEHKYENTGLHGVVPLSPKSASFDLLSKMLEYDPRKRITAAQAIEHDYFRSEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGMPGAHGINSRSAPRPMSVGMQRMQSQGMAAYNLSSQAGMGGGMNPGNIPMPRGVAQPHQQHHLRRKDPPGTGTGYPPQQKSRR >Potri.008G133100.2.v4.1 pep chromosome:Pop_tri_v4:8:8808631:8809014:1 gene:Potri.008G133100.v4.1 transcript:Potri.008G133100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133100.v4.1 MHESTEYFVQTQQILSAFVSGLSSKNRYKTKKWNQGGHPMRFYFLSLRTSMYLSFTSEACMLLRDAVNKDVLSWRDIIIEKPLNSRLYDEILVQITSKANGKLRTLPLLNCFEITGYGLQTVMDKNP >Potri.018G035100.2.v4.1 pep chromosome:Pop_tri_v4:18:2711444:2714029:-1 gene:Potri.018G035100.v4.1 transcript:Potri.018G035100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035100.v4.1 MHVHWCLMKEKTHILPFFTTLTLIGFTAAQHHSFSSNADPRDLRTTHIEQNQRQLLYYREELDVEDEYLMLPPCLKFDNPRLRSAYIALQAWKQAIISDPLNLTSNWAGPDVCNYTGVFCATALDDSSIQTVAGIDLNHGDMAGHLVEELGLLTDIALFHINSNRFCGRVPKTLKKLKLLYELDLSNNRFAGGFPCVVLDLPKLKYLDLRFNEFEGDLPKELFNKDLDAIFVNHNRFALELPNNFGNSPVSVMVLANNKFHGCFPPSLANMSKTLNEVILMNNGLRSCLPKEIGLLKKVTVFDASNNKLVGSLPDTIGDMESLEQLNVAHNMLSGEIHDSVCLLPHLKSFSYAYNFITGEPPACLDLEDFDDSRNCFRVRPKQRSTLQCKVFLSRPVHCEAFNCHEFDPSPPSQPPPPPPVTSPPPPVYSPPPPPPPPLSSPPPPPSLVPPSALPSPPPPSSMPPPPPIHCVPSPPSPPPPPPFYSPPLSPPNSPPNSPPPPPFYHSPPPPNSSPPPPPFYSPPLPPPNSPPNSPPPPPIYQSPPPPNSSPPPPSCAEPPPPPSPPPCQAQPPPIQYLPPPPPPVYNPPPLPAPVYNGPLPPITGISYASPPPPSIS >Potri.009G139000.5.v4.1 pep chromosome:Pop_tri_v4:9:11150215:11153936:-1 gene:Potri.009G139000.v4.1 transcript:Potri.009G139000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139000.v4.1 MMKKRSRTDLDQAVVNVCKRELGQLSTRDFAHRLAASEDLVLRLEIHKKLEKHEGCVNTLSFNSGGDVLISGSDDLRVILWDWETGRVKLSFNSGHRNNVFQAKFMPFSDDRTIVTCAADGEIRQAQILEGGEVKTILLGKHKDSRVHKLAIEPGSPHIFYSCGEDGVVQHFDLRTRSATELFTCRSINDPRSFQPYVHLNAIAIDPRNPNLFAVGGMDEFARLYDIRKYSWDGSSDFGQPADYFCPQHLIGNGDTGITGLSFSDQSELLVSYNNEFIYLFTRDMGLGNPPFPSFSSPISMGSDTSEVEPGSIASSSSMDVDGKNAAQAYKGHRNCETVKGVSFFGPRCEYVSSGSDCGRIFIWKKRGGELIRVMEADRDVVNCTEPHPHTMALASSGIESDIKIWTPKAIERATLPTNIGQVLMRDCYWFGMSDYDDNDYFSDDEDDDDDEDSDDVDIDEDSDDADIDDDSDDDDCNDDSDDDDCETKG >Potri.009G139000.1.v4.1 pep chromosome:Pop_tri_v4:9:11150317:11153936:-1 gene:Potri.009G139000.v4.1 transcript:Potri.009G139000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139000.v4.1 MMKKRSRTDLDQAVVNVCKRELGQLSTRDFAHRLAASEDLVLRLEIHKKLEKHEGCVNTLSFNSGGDVLISGSDDLRVILWDWETGRVKLSFNSGHRNNVFQAKFMPFSDDRTIVTCAADGEIRQAQILEGGEVKTILLGKHKDSRVHKLAIEPGSPHIFYSCGEDGVVQHFDLRTRSATELFTCRSINDPRSFQPYVHLNAIAIDPRNPNLFAVGGMDEFARLYDIRKYSWDGSSDFGQPADYFCPQHLIGNGDTGITGLSFSDQSELLVSYNNEFIYLFTRDMGLGNPPFPSFSSPISMGSDTSEVEPGSIASSSSMDVDGKNAAQAYKGHRNCETVKGVSFFGPRCEYVSSGSDCGRIFIWKKRGGELIRVMEADRDVVNCTEPHPHTMALASSGIESDIKIWTPKAIERATLPTNIGQVLMRDCYWFGMSDYDDNDYFSDDEDDDDDEDSDDVDIDEDSDDADIDDDSDDDDCNDDSDDDDCNDDGETKG >Potri.009G139000.6.v4.1 pep chromosome:Pop_tri_v4:9:11150245:11153937:-1 gene:Potri.009G139000.v4.1 transcript:Potri.009G139000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139000.v4.1 MMKKRSRTDLDQAVVNVCKRELGQLSTRDFAHRLAASEDLVLRLEIHKKLEKHEGCVNTLSFNSGGDVLISGSDDLRVILWDWETGRVKLSFNSGHRNNVFQAKFMPFSDDRTIVTCAADGEIRQAQILEGGEVKTILLGKHKDSRVHKLAIEPGSPHIFYSCGEDGVVQHFDLRTRSATELFTCRSINDPRSFQPYVHLNAIAIDPRNPNLFAVGGMDEFARLYDIRKYSWDGSSDFGQPADYFCPQHLIGNGDTGITGLSFSDQSELLVSYNNEFIYLFTRDMGLGNPPFPSFSSPISMGSDTSEVEPGSIASSSSMDVDGKNAAQAYKGHRNCETVKGVSFFGPRCEYVSSGSDCGRIFIWKKRGGELIRVMEADRDVVNCTEPHPHTMALASSGIESDIKIWTPKAIERATLPTNIGQVCFIHPVCFEIHILKNLVIALFYES >Potri.009G139000.2.v4.1 pep chromosome:Pop_tri_v4:9:11150259:11153940:-1 gene:Potri.009G139000.v4.1 transcript:Potri.009G139000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139000.v4.1 MMKKRSRTDLDQAVVNVCKRELGQLSTRDFAHRLAASEDLVLRLEIHKKLEKHEGCVNTLSFNSGGDVLISGSDDLRVILWDWETGRVKLSFNSGHRNNVFQAKFMPFSDDRTIVTCAADGEIRQAQILEGGEVKTILLGKHKDSRVHKLAIEPGSPHIFYSCGEDGVVQHFDLRTRSATELFTCRSINDPRSFQPYVHLNAIAIDPRNPNLFAVGGMDEFARLYDIRKYSWDGSSDFGQPADYFCPQHLIGNGDTGITGLSFSDQSELLVSYNNEFIYLFTRDMGLGNPPFPSFSSPISMGSDTSEVEPGSIASSSSMDVDGKNAAQAYKGHRNCETVKGVSFFGPRCEYVSSGSDCGRIFIWKKRGGELIRVMEADRDVVNCTEPHPHTMALASSGIESDIKIWTPKAIERATLPTNIGQVKPKARGWMYRLASPEDLMLQLFSLQRQRTSPESVVQNSVMDSELLELILSFNANSDVSSDDGGDAANHDDSLG >Potri.003G201600.1.v4.1 pep chromosome:Pop_tri_v4:3:20159019:20161869:1 gene:Potri.003G201600.v4.1 transcript:Potri.003G201600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201600.v4.1 MNSRTTASCFAFHMLTILSLLCLANSSRKMWRENSCNMYRGSWVHDMSYPLYDSSACHFIRKEFDCLMYGRPDHLYLQYRWQPNDCNLPRFDGQNFLKKLKGKKIMYVGDSLSLNNFQSMVCLLHAAVPDSNITRNSKNSVTTVIFQDYGVSVSHFQSPYLVDIEKEEIGRILKLDSIKDGKTWKNIDVLVFYSWGWWYRAGPQQPWDYIQEKKTIVKDMNRRVAFHKGLTTWAKWVDSDVDTNKTTVFFQSISPSHYNGRDWNETGVTNCANQREPMSGSTNPGGSPLAMQIVEDVLSTIKKPVHFLNITALSQLRKDAHPSSYNGVRPMDCTHWCVAGLPDTWNELLYATLINQK >Potri.005G044600.2.v4.1 pep chromosome:Pop_tri_v4:5:2821097:2827436:-1 gene:Potri.005G044600.v4.1 transcript:Potri.005G044600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044600.v4.1 MDRRMKPVYLRQISIEGTPRTPSSPSMSPLRMHHARSGSAGVGNNMKKAQTKAAAQRLAQVMSHQTADDDDDDEDDDLSYDYQASGIGSIGLAGGRRMQPRSPMTKSAAQVRVPAKTYRPVDEDLQTKPAAQVRVPAKTYRPVDEVLQTKPAAQVRVPGKTYQPVDDDSDNDELIHDYGSRASGLTIGRAGGKSMRSQSPAVVRTGRPGQPSSTQSTTSSRSPLSVNTVEQPSSAQVSLAAPASQPTNSVEQPVSARSRMVGRPSFNSMDQPLSLRSGRSSTNSVEQPPSARSTSATSLGIKTVPIPSSVTISLRPVSPMASSDHPKDRRLSLDWGSMNLRDSGIQHSTSALQDEIDMLQEENESLLDKLRLAAEKYEEAEARARQLERQVATLGEGVTLEAKLLSRKEAALLQREAALKVAEQTSKPEEALRLEAEVAKDEAASAIEQLREVQSEAKSLQNMTQRMILTQEEMEEVVLKRCWLARYWILCVKHGILAEIAGARYEYWSSFAPLPVEVVLSAGQRAKDENSSVNDDAEERERVLKEGSELSGDGNIESMLLVEKGLRELASLKVGEAVALAMAQQRRTNFMKSDEIKLAGDGNLEAFELSQEESEDVRFKQAWLTYFWRRAKNHGLEPDIAEERLQFWINHSSRSSSSHDAVDVERGLMELRKLGIENQLWQASRRGLEVDSNSKANLESDF >Potri.004G082900.1.v4.1 pep chromosome:Pop_tri_v4:4:6845370:6855798:-1 gene:Potri.004G082900.v4.1 transcript:Potri.004G082900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082900.v4.1 MATDLPCLLPFLLFLFISHFPLSFSGSVNSMESVPDLTKSMYVDFDGYPCVRLLNLTGEIGCSNPGRDKVVAPVVRYKNVNEVSKPSAVLVSLDEFLELIGRISNDSSFAKNIGGVLVEQGMDSQIKLKGFSPDQKFPGAEYAPYKSINYEWNPIGSGMMWKAYSFPVFLLTEGGAQLVQEVAMNNEKKRNDYTADVVEFDSVMQTTKSGTHDSESCLQEQTCFPLGGYSVWSSLPPINNSSTNHSKPIILTVASMDSASFFRDKNLGAESPISGLIALLAAVDSLSHVNGLDDLGKQLVFSVFTGEAWGYLGSRRFLFELDLQSEAVNGLNSSLIETVIEIGSVGKGFSQGNSTFFAHTAAVSLATNETLNALKHARDSLENITVSSASTLNPGIPPSSLMAFLKKNPSTSGMVLEDFDTSFSDKFYHSHLDDMSNINSSAIVAAASLVARTLYILASDDKNLSSTALDAINVNASLVEELMSCLLDCEPGLSCELVKSYIVPTNQCPNHYVGVILGEPSSNPYLGYVDDVSRFMWNFLADRTSSSMEDASSDCSKECSNKGGVCIKAEVDGKGVCAISTTRYVPAYSTRLNYESGTWRVLPSDSSDPMGMVDPVWTESNWDTIMLRVYTVQDAAFDRLVLLAGITITVMAYLAIVLTRAYIAKALKRD >Potri.004G082900.2.v4.1 pep chromosome:Pop_tri_v4:4:6845292:6855767:-1 gene:Potri.004G082900.v4.1 transcript:Potri.004G082900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082900.v4.1 MATDLPCLLPFLLFLFISHFPLSFSVNSMESVPDLTKSMYVDFDGYPCVRLLNLTGEIGCSNPGRDKVVAPVVRYKNVNEVSKPSAVLVSLDEFLELIGRISNDSSFAKNIGGVLVEQGMDSQIKLKGFSPDQKFPGAEYAPYKSINYEWNPIGSGMMWKAYSFPVFLLTEGGAQLVQEVAMNNEKKRNDYTADVVEFDSVMQTTKSGTHDSESCLQEQTCFPLGGYSVWSSLPPINNSSTNHSKPIILTVASMDSASFFRDKNLGAESPISGLIALLAAVDSLSHVNGLDDLGKQLVFSVFTGEAWGYLGSRRFLFELDLQSEAVNGLNSSLIETVIEIGSVGKGFSQGNSTFFAHTAAVSLATNETLNALKHARDSLENITVSSASTLNPGIPPSSLMAFLKKNPSTSGMVLEDFDTSFSDKFYHSHLDDMSNINSSAIVAAASLVARTLYILASDDKNLSSTALDAINVNASLVEELMSCLLDCEPGLSCELVKSYIVPTNQCPNHYVGVILGEPSSNPYLGYVDDVSRFMWNFLADRTSSSMEDASSDCSKECSNKGGVCIKAEVDGKGVCAISTTRYVPAYSTRLNYESGTWRVLPSDSSDPMGMVDPVWTESNWDTIMLRVYTVQDAAFDRLVLLAGITITVMAYLAIVLTRAYIAKALKRD >Potri.009G112061.3.v4.1 pep chromosome:Pop_tri_v4:9:9556670:9560618:1 gene:Potri.009G112061.v4.1 transcript:Potri.009G112061.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112061.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSAQLCARDQSIHLLQFKESFLIDPSASFEYCENPKTESWKEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGTLHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSSLTHLNLNYSDFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSLVVPSSLMNLSSPLSSLQLVDCGFQGKLPSNVPGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLPRLGNLTQLTVLDISYNNLTGHIPFSIGKLKHLQTLNLGFNNFTSLVPSDFEQLSELVSLDLSGNSYLTLDSSSLNKLVQNLTKLRELRLRWVNMSLVVPTSLKNLSSSLSILSFGNCGLRGKFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEELALFDTKISISIENDFINNLKSLKNLVLRNCNISRRSNLALLGNLTQLIELDLSFNNLSGRIPSSLANLVNLNWLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLPYLTSLMLSDNLFTGTIPSFLFSHPSLQYLDLHGNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQEKLIVLKLASNNKLTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSSSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFAKIRSTLASIDLSHNSFIGEIPESIGKLKALKQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNRGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.009G112061.2.v4.1 pep chromosome:Pop_tri_v4:9:9556670:9560621:1 gene:Potri.009G112061.v4.1 transcript:Potri.009G112061.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112061.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSAQLCARDQSIHLLQFKESFLIDPSASFEYCENPKTESWKEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGTLHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSSLTHLNLNYSDFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSLVVPSSLMNLSSPLSSLQLVDCGFQGKLPSNVPGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLPRLGNLTQLTVLDISYNNLTGHIPFSIGKLKHLQTLNLGFNNFTSLVPSDFEQLSELVSLDLSGNSYLTLDSSSLNKLVQNLTKLRELRLRWVNMSLVVPTSLKNLSSSLSILSFGNCGLRGKFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEELALFDTKISISIENDFINNLKSLKNLVLRNCNISRRSNLALLGNLTQLIELDLSFNNLSGRIPSSLANLVNLNWLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLPYLTSLMLSDNLFTGTIPSFLFSHPSLQYLDLHGNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQEKLIVLKLASNNKLTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSSSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFAKIRSTLASIDLSHNSFIGEIPESIGKLKALKQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNRGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.009G112061.1.v4.1 pep chromosome:Pop_tri_v4:9:9556507:9560617:1 gene:Potri.009G112061.v4.1 transcript:Potri.009G112061.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112061.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSAQLCARDQSIHLLQFKESFLIDPSASFEYCENPKTESWKEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGTLHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSSLTHLNLNYSDFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSLVVPSSLMNLSSPLSSLQLVDCGFQGKLPSNVPGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLPRLGNLTQLTVLDISYNNLTGHIPFSIGKLKHLQTLNLGFNNFTSLVPSDFEQLSELVSLDLSGNSYLTLDSSSLNKLVQNLTKLRELRLRWVNMSLVVPTSLKNLSSSLSILSFGNCGLRGKFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEELALFDTKISISIENDFINNLKSLKNLVLRNCNISRRSNLALLGNLTQLIELDLSFNNLSGRIPSSLANLVNLNWLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLPYLTSLMLSDNLFTGTIPSFLFSHPSLQYLDLHGNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQEKLIVLKLASNNKLTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSSSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFAKIRSTLASIDLSHNSFIGEIPESIGKLKALKQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNRGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.009G112061.4.v4.1 pep chromosome:Pop_tri_v4:9:9556670:9560616:1 gene:Potri.009G112061.v4.1 transcript:Potri.009G112061.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112061.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSAQLCARDQSIHLLQFKESFLIDPSASFEYCENPKTESWKEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGTLHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSSLTHLNLNYSDFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSLVVPSSLMNLSSPLSSLQLVDCGFQGKLPSNVPGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLPRLGNLTQLTVLDISYNNLTGHIPFSIGKLKHLQTLNLGFNNFTSLVPSDFEQLSELVSLDLSGNSYLTLDSSSLNKLVQNLTKLRELRLRWVNMSLVVPTSLKNLSSSLSILSFGNCGLRGKFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEELALFDTKISISIENDFINNLKSLKNLVLRNCNISRRSNLALLGNLTQLIELDLSFNNLSGRIPSSLANLVNLNWLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLPYLTSLMLSDNLFTGTIPSFLFSHPSLQYLDLHGNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQEKLIVLKLASNNKLTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSSSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFAKIRSTLASIDLSHNSFIGEIPESIGKLKALKQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNRGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.011G088750.1.v4.1 pep chromosome:Pop_tri_v4:11:11409417:11410317:1 gene:Potri.011G088750.v4.1 transcript:Potri.011G088750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088750.v4.1 MSHMLLEILVEEAFKGNKTSSTFKAESFVKVATKISQNFNVQCESKHVDNHLKTVKKEWGIITQLKNKSGFSWDDCLKMITVSKDVYDEEVNAHPNHDKYLNKKKLDIYEAMTIVVGKDMATGNYAKSYADINLEENIEVQSISIENEGEYEETTKDDSVEKLSKKIGDVAFAIQSLSKNQLDVNELYTEVMKVEGFEEIALDDAFDHLIQNEMLAKAFMAKNANFRKIWVQNFMNQHYYRPDC >Potri.006G269950.1.v4.1 pep chromosome:Pop_tri_v4:6:27446979:27447966:-1 gene:Potri.006G269950.v4.1 transcript:Potri.006G269950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G269950.v4.1 MAAGKYQESYSSRFPNCKYQVFLSFRGEDTRKNFTDHLYTALVQAGIHTFRDDNEIRRGENIDFELQKAIQQSKISIIVFSKDYASSRWCLDELVMIMERKRNADCIVLPIFYDVDPSQVGRQTGSFSAAFVEHEKSFNKEMERVNGWRIALKEVADLAGMVLGDGCEAPFVQSIVENISKNLDQKMFHVPPHFIGRDPLVQDINSWLQDVSCCNPFLDPYKNKKIKRKKENTSK >Potri.004G115100.1.v4.1 pep chromosome:Pop_tri_v4:4:10598314:10598679:-1 gene:Potri.004G115100.v4.1 transcript:Potri.004G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115100.v4.1 MGSLGKNISLGLFLFIGILVITPGFAIRTHEEDPELSRHLEECHAKVTKRCAIEISNSIYNNNTPSEYCCQKHITTGKACHDDFIKLFVSKVPKDKVAFVVAKGDQIWNQCAATVALAPVA >Potri.003G144300.1.v4.1 pep chromosome:Pop_tri_v4:3:15948754:15950079:1 gene:Potri.003G144300.v4.1 transcript:Potri.003G144300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144300.v4.1 MGRAPCCSKVGLHRGPWTTREDALLVNYIQKHGEGHWRSLPNKAGLLRCGKSCRLRWLNYLRPDIKRGNITPEEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKRLQVRSNKNGSKCMKESADRKRNVSKLNNKKKQRKDDEDPATRTKIHAPKAIRVSPSSVNIRTNNSMAGSSSHAGGVGDHDDNWFISDLEVDKNINGDLAWASNRCIDDLVHDDLSGQNHSPSNIDNILEEMFGEYQQLLNSENHAQLLDSFVDSLLA >Potri.014G013100.11.v4.1 pep chromosome:Pop_tri_v4:14:755321:764346:1 gene:Potri.014G013100.v4.1 transcript:Potri.014G013100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013100.v4.1 MMDTAEFNKVSMDMGKTNASPLEEKTPDEEPLVFANKLEAKNAFKALLESANVQSDWTWEQTMREIINDKRYAALKTLGERKQAFNEYLGQRKKLEAEERRVRQKKAREEFAKMLEECKELTSSMKWSKAISLFENDERYKALERARDREDLFDSYIVDLERKEKEKAAEDRRRNVAEYRKFLESCDFIKASSQWRKIQDRLEDDERCLCLEKLDRLLIFQDYIRDLEKEEEEQKKIQKEQLRRAERKNRDEFRKLLEEHVASGSLTAKTHWLDYCLKVKDLPQYQAVATNTSGSKPKDLFEDVSEELEKQYHDDKTRIKDAMKLGKITMVSTWTFEDFKGAVADDIGSPPISDINLKLLYEELVERAKEKEEKEAKKQQRLADDFTKLLYTLKEVTPSSNWEDCKPLFEESQEYRSIGEESLSKEIFEEYVTHLQEKAKEKERKREEEKARKEKEREEKDKRKEKERKEKEKEKEKEREREKGKQRTKKNETDGENVDASDGYGHKDDKKREKDKDRKHRKRHQSAIDDVNSDKDEKEESKKSRKHSSDRKKSRKHTYTPESDGESQHKRHKRDHRDGSRRNGSNEELEDGELGDDVEIRKQHMAAEIDI >Potri.014G013100.4.v4.1 pep chromosome:Pop_tri_v4:14:749504:762342:1 gene:Potri.014G013100.v4.1 transcript:Potri.014G013100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013100.v4.1 MASNPQSSGGQFRPMVPTQQGQPFIQVASQQFRPVGQGMPSSHVGMPAAQSQHLQFSQPIQQLPPWPNQPGAPSAQALSMPYGQLNRPLTSSQPQQNAPPLSNHMHVVGTSGVPNSSPYAFAPSSFGLTQNSASALPQFPPMSQMHAHVVPMGGQPWLSSGSHGASLVPPVQPTVVQPSISSSSDSTVAVSSNSQQSLSDWQEHTASDGRRYYYNRRTKQSSWDKPFELMTPIERADASTVWKEFTTQEGKKYYYNKVTKQSKWSIPEELKMAREQAQQTVGQGNQSETDAASNVPTAVAVTSSETSTTAVSVSSSSVMLPGVSSSPISVTAVANPPPVVVSGSPALPVAHSTTASAVGVQPSVTPLPTAVSVGTGAPAAAVDAKTTSLSSIDNLLSQSAANSVDGASMMDTAEFNKVSMDMGKTNASPLEEKTPDEEPLVFANKLEAKNAFKALLESANVQSDWTWEQTMREIINDKRYAALKTLGERKQAFNEYLGQRKKLEAEERRVRQKKAREEFAKMLEECKELTSSMKWSKAISLFENDERYKALERARDREDLFDSYIVDLERKEKEKAAEDRRRNVAEYRKFLESCDFIKASSQWRKIQDRLEDDERCLCLEKLDRLLIFQDYIRDLEKEEEEQKKIQKEQLRRAERKNRDEFRKLLEEHVASGSLTAKTHWLDYCLKVKDLPQYQAVATNTSGSKPKDLFEDVSEELEKQYHDDKTRIKDAMKLGKITMVSTWTFEDFKGAVADDIGSPPISDINLKLLYEELVERAKEKEEKEAKKQQRLADDFTKLLYTLKEVTPSSNWEDCKPLFEESQEYRSIGEESLSKEIFEEYVTHLQEKAKEKERKREEEKARKEKEREEKDKRKEKERKEKEKEKEKEREREKGKQRTKKNETDGENVDASDGYGHKDDKKREKDKDRKHRKRHQSAIDDVNSDKDEKEESKKSRKHSSDRKKSRKHTYTPESDGESQHKRHKRDHRDGSRRNGSNEELEDGELGDDVEIR >Potri.014G013100.10.v4.1 pep chromosome:Pop_tri_v4:14:749503:761730:1 gene:Potri.014G013100.v4.1 transcript:Potri.014G013100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013100.v4.1 MASNPQSSGGQFRPMVPTQQGQPFIQVASQQFRPVGQGMPSSHVGMPAAQSQHLQFSQPIQQLPPWPNQPGAPSAQALSMPYGQLNRPLTSSQPQQNAPPLSNHMHVVGTSGVPNSSPYAFAPSSFGLTQNSASALPQFPPMSQMHAHVVPMGGQPWLSSGSHGASLVPPVQPTVVQPSISSSSDSTVAVSSNSQQSLSDWQEHTASDGRRYYYNRRTKQSSWDKPFELMTPIERADASTVWKEFTTQEGKKYYYNKVTKQSKWSIPEELKMAREQAQQTVGQGNQSETDAASNVPTAVAVTSSETSTTAVSVSSSSVMLPGVSSSPISVTAVANPPPVVVSGSPALPVAHSTTASAVGVQPSVTPLPTAVSVGTGAPAAAVDAKTTSLSSIDNLLSQSAANSVDGASMMDTAEFNKVSMDMGKTNASPLEEKTPDEEPLVFANKLEAKNAFKALLESANVQSDWTWEQTMREIINDKRYAALKTLGERKQAFNEYLGQRKKLEAEERRVRQKKAREEFAKMLEECKELTSSMKWSKAISLFENDERYKALERARDREDLFDSYIVDLERKEKEKAAEDRRRNVAEYRKFLESCDFIKASSQWRKIQDRLEDDERCLCLEKLDRLLIFQDYIRDLEKEEEEQKKIQKEQLRRAERKNRDEFRKLLEEHVASGSLTAKTHWLDYCLKVKDLPQYQAVATNTSGSKPKDLFEDVSEELEKQYHDDKTRIKDAMKLGKVR >Potri.014G013100.9.v4.1 pep chromosome:Pop_tri_v4:14:750536:764271:1 gene:Potri.014G013100.v4.1 transcript:Potri.014G013100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013100.v4.1 MVPTQQGQPFIQVASQQFRPVGQGMPSSHVGMPAAQSQHLQFSQPIQQLPPWPNQPGAPSAQALSMPYGQLNRPLTSSQPQQNAPPLSNHMHVVGTSGVPNSSPYAFAPSSFGLTQNSASALPQFPPMSQMHAHVVPMGGQPWLSSGSHGASLVPPVQPTVVQPSISSSSDSTVAVSSNSQQSLSDWQEHTASDGRRYYYNRRTKQSSWDKPFELMTPIERADASTVWKEFTTQEGKKYYYNKVTKQSKWSIPEELKMAREQAQQTVGQGNQSETDAASNVPTAVAVTSSETSTTAVSVSSSSVMLPGVSSSPISVTAVANPPPVVVSGSPALPVAHSTTASAVGVQPSVTPLPTAVSVGTGAPAAAVDAKTTSLSSIDNLLSQSAANSVDGASMMDTAEFNKVSMDMGKTNASPLEEKTPDEEPLVFANKLEAKNAFKALLESANVQSDWTWEQTMREIINDKRYAALKTLGERKQAFNEYLGQRKKLEAEERRVRQKKAREEFAKMLEECKELTSSMKWSKAISLFENDERYKALERARDREDLFDSYIVDLERKEKEKAAEDRRRNVAEYRKFLESCDFIKASSQWRKIQDRLEDDERCLCLEKLDRLLIFQDYIRDLEKEEEEQKKIQKEQLRRAERKNRDEFRKLLEEHVASGSLTAKTHWLDYCLKVKDLPQYQAVATNTSGSKPKDLFEDVSEELEKQYHDDKTRIKDAMKLGKITMVSTWTFEDFKGAVADDIGSPPISDINLKLLYEELVERAKEKEEKEAKKQQRLADDFTKLLYTLKEVTPSSNWEDCKPLFEESQEYRSIGEESLSKEIFEEYVTHLQEKAKEKERKREEEKARKEKEREEKDKRKEKERKEKEKEKEKEREREKGKQRTKKNETDGENVDASDGYGHKDDKKREKDKDRKHRKRHQSAIDDVNSDKDEKEESKKSRKHSSDRKKSRKHTYTPESDGESQHKRHKRDHRDGSRRNGSNEELEDGELGDDVEIRKQHMAAEIDI >Potri.014G013100.2.v4.1 pep chromosome:Pop_tri_v4:14:749406:764344:1 gene:Potri.014G013100.v4.1 transcript:Potri.014G013100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013100.v4.1 MASNPQSSGGQFRPMVPTQQGQPFIQVASQQFRPVGQGMPSSHVGMPAAQSQHLQFSQPIQQLPPWPNQPGAPSAQALSMPYGQLNRPLTSSQPQQNAPPLSNHMHVVGTSGVPNSSPYAFAPSSFGLTQNSASALPQFPPMSQMHAHVVPMGGQPWLSSGSHGASLVPPVQPTVVQPSISSSSDSTVAVSSNSQQSLSDWQEHTASDGRRYYYNRRTKQSSWDKPFELMTPIERADASTVWKEFTTQEGKKYYYNKVTKQSKWSIPEELKMAREQAQQTVGQGNQSETDAASNVPTAVAVTSSETSTTAVSVSSSSVMLPGVSSSPISVTAVANPPPVVVSGSPALPVAHSTTASAVGVQPSVTPLPTAVSVGTGAPAAAVDAKTTSLSSIDNLLSQSAANSVDGASMMDTAEFNKVSMDMGKTNASPLEEKTPDEEPLVFANKLEAKNAFKALLESANVQSDWTWEQTMREIINDKRYAALKTLGERKQAFNEYLGQRKKLEAEERRVRQKKAREEFAKMLEECKELTSSMKWSKAISLFENDERYKALERARDREDLFDSYIVDLERKEKEKAAEDRRRNVAEYRKFLESCDFIKASSQWRKIQDRLEDDERCLCLEKLDRLLIFQDYIRDLEKEEEEQKKIQKEQLRRAERKNRDEFRKLLEEHVASGSLTAKTHWLDYCLKVKDLPQYQAVATNTSGSKPKDLFEDVSEELEKQYHDDKTRIKDAMKLGKITMVSTWTFEDFKGAVADDIGSPPISDINLKLLYEELVERAKEKEEKEAKKQQRLADDFTKLLYTLKEVTPSSNWEDCKPLFEESQEYRSIGEESLSKEIFEEYVTHLQEKAKEKERKREEEKARKEKEREEKDKRKEKERKEKEKEKEKEREREKGKQRTKKNETDGENVDASDGYGHKDDKKREKDKDRKHRKRHQSAIDDVNSDKDEKEESKKSRKHSSDRKKSRKHTYTPESDGESQHKRHKRDHRDGSRRNGSNEELEDGELGDDVEIRKQHMAAEIDI >Potri.T124302.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1944:21091:21486:1 gene:Potri.T124302.v4.1 transcript:Potri.T124302.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124302.v4.1 MDMGSKFSWTNRRSNNTILKKLDRVLVNVKWNCKFTGSRNFFLPFSISYHSPILVKMASLLKREIPFKFFDFWANHSLFLPLISEAWCKEVTSTPMFILCNKLKNVKGVVKEFNQKYFGKISERMLEVLVN >Potri.006G132300.1.v4.1 pep chromosome:Pop_tri_v4:6:10812743:10820495:1 gene:Potri.006G132300.v4.1 transcript:Potri.006G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132300.v4.1 MSDKGDKTCPLCAEEMDLTDQQLKPCKCGYEVCVWCWNHIMEMADKDNSEGRCPACRTPYDKEKIVGMAANCERLVAEMHSERKLKSHKVKPKTSDGRMHLSNVRVIQRNLVYIIGLPLNLADESLLQRKEYFGQYGKVLKVSISRTATGAIQHAANNSCCVYITYAKEDEAVRCIQSVHSFVLEGRSLRACFGTTKYCHAWLKNTPCSIPDCLYLHDFGSEEDSFTKDDLVSAFTRSRVQQIVGATNNLHRRSGNVLPPSADESTNRNISSTAKLDANASSNQNIVNWDSGSCADSGAGRSNTLHGASSWVTRVAGSLPPVTSLSSSGGTPNHKPETSHGDHGLAPEVVITKSSGDIKRTIPEGSCEVHPANLTLIDHFPCPPASQDSASDTDEPPAGTSPSKITKLSYFTGLDEDENFHADGNLQGLCSGLSSISIDDHLKDEYREPVTPDISISNHKLPKSQGSQPFVSEPPSNSSSSPTLRECAIVEDLLCFDDREVQGFGSIHHEPPISSLLSPKQYLEQSTYHSWQQGENCQSTIDVHPSIVPAKHDEVAFPFRSGNTVLSKGLHDRQANGLFEWDRSSNYSSVLSEVGPGKCLEEHGSNVASIDYKLDLDTDESSIISNILSIDSGVWEDSLTSPQSFVKLLADNDKQQSCRKIPCMRKAQESSQSRFSFARQDGFSNHLSNFEHSLENATNKSSSSNYIIENKDPWMDNYRGISSNISSVESNGFLSKHPFTSSFSVSKTSTSPPPGFSVPSRVVPPGFPTHGAMHYDFDHSANHFLQNSAPLSRNIGISGDVEFIDPAIMEVGKGFLSARLNNPGFDAKPALSPHFSPFDHDSELQMLMRQSISAQQNMRLSDRFRNRFSPPDDTYSISPVLLGQSPPNKPSSFTQLTAQQLRNVHISNGSLGGWNEVKNVSDPCMPEFLGNGGMGFSKFVPSYEDLKYQMSGSSNLYNRGFAM >Potri.006G132300.3.v4.1 pep chromosome:Pop_tri_v4:6:10812731:10819912:1 gene:Potri.006G132300.v4.1 transcript:Potri.006G132300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132300.v4.1 MSDKGDKTCPLCAEEMDLTDQQLKPCKCGYEVCVWCWNHIMEMADKDNSEGRCPACRTPYDKEKIVGMAANCERLVAEMHSERKLKSHKVKPKTSDGRMHLSNVRVIQRNLVYIIGLPLNLADESLLQRKEYFGQYGKVLKVSISRTATGAIQHAANNSCCVYITYAKEDEAVRCIQSVHSFVLEGRSLRACFGTTKYCHAWLKNTPCSIPDCLYLHDFGSEEDSFTKDDLVSAFTRSRVQQIVGATNNLHRRSGNVLPPSADESTNRNISSTAKLDANASSNQNIVNWDSGSCADSGAGRSNTLHGASSWVTRVAGSLPPVTSLSSSGGTPNHKPETSHGDHGLAPEVVITKSSGDIKRTIPEGSCEVHPANLTLIDHFPCPPASQDSASDTDEPPAGTSPSKITKLSYFTGLDEDENFHADGNLQGLCSGLSSISIDDHLKDEYREPVTPDISISNHKLPKSQGSQPFVSEPPSNSSSSPTLRECAIVEDLLCFDDREVQGFGSIHHEPPISSLLSPKQYLEQSTYHSWQQGENCQSTIDVHPSIVPAKHDEVAFPFRSGNTVLSKGLHDRQANGLFEWDRSSNYSSVLSEVGPGKCLEEHGSNVASIDYKLDLDTDESSIISNILSIDSGVWEDSLTSPQSFVKLLADNDKQQSCRKIPCMRKAQESSQSRFSFARQDGFSNHLSNFEHSLENATNKSSSSNYIIENKDPWMDNYRGISSNISSVESNGFLSKHPFTSSFSVSKTSTSPPPGFSVPSRVVPPGFPTHGAMHYDFDHSANHFLQNSAPLSRNIGISGDVEFIDPAIMEVGKGFLSARLNNPGFDAKPALSPHFSPFDHDSELQMLMRQSISAQQNMRLSDRFRNRFSPPDDTYSISPVLLGQSPPNKPSSFTQLTAQQLRNVHISNGSLGGWNEVKNVSDPCMPEFLGNGGMGFSKFVPSYEDLKYQMSGSSNLYNRGFAM >Potri.011G075450.1.v4.1 pep chromosome:Pop_tri_v4:11:7912294:7913291:-1 gene:Potri.011G075450.v4.1 transcript:Potri.011G075450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075450.v4.1 MVCLRPPRLLSPLFFPLFFLPLPVFFIVSLFLFLLPLCLVFLLSVPLCFLSFSSHVPFLSPPLPYTLLLCPFLSFFLFSFLLTVSFSLQCFCPPSLSRSLFCFSLFRCAVLVRL >Potri.018G026900.1.v4.1 pep chromosome:Pop_tri_v4:18:1987794:1990874:1 gene:Potri.018G026900.v4.1 transcript:Potri.018G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026900.v4.1 MAPSTIRKAIGTVKDQTSIGIAKVASNMAPELEVAIVKATSHDDDPPNQKYIHEILNLTSYSRGYVHACVSFVSKRLGKTRDWIVALKTLMLIHRLLNEGDPLFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFVRTFAMYLDQRLELILFERKGGDGGRVGGSRGAGSAHGGEIERYYGGRGGFGREDSGRGDFRSPPPRAYEYSDQYNGDYNRGESGYGMPRRTRSYGDMSEMGGGEGREEKKTATPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNNRMILIALYPVVKESFQLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASAAKQIDELIAFYNWCKDTGVARSSEYPEVQRITGKLLETLEEFVRDRAKRPKSPERKEEAPPVPQEEEPVPDMNEIKALPAPEDFTPPPPPETEPRPQKPQVTEDLVNLRDDAVTADDQGNRLALALFAGPAANSGNGSWEAFQSNGEPQVTSAWQTPAAEAGKADWELALVETASNLSKQKATLGGGFDPLLLNGMYDQGMVRQHVGTAQLSGGSASSVALPGPGNGTTPVLALPAPDGTVQAVNQDPFAASLCVPPPSYVQMADMEKKQQLLVQEQVTWQQYARDGMQGQTSLAKISGGGYYNAGPMPTMPYGMPPVNGMGPPPAGYYYTPY >Potri.013G060200.6.v4.1 pep chromosome:Pop_tri_v4:13:4417030:4421033:-1 gene:Potri.013G060200.v4.1 transcript:Potri.013G060200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060200.v4.1 MYHHHQHQGKSIHSSSRMAIPPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANIGSSKIGTVAVVGDRMPEANATHININNLSIGSQPNKSLHFSEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSTQCLNSTFSELNDLQGLCPQQTPPTQPNDCSMDSCLTSCEGSQKEQEIHNIGMGLRPCNSNALLEPKEIAEEHALQQTELKWGEYLRDNKMFLTSIGHETERRTFSAERSCSDLSIGVGLQGEKGNINSSFAEGRFKGMSEDDSFQDQTNKRAESVKYEDEKMSPGYRLSYFTTKLDLNSHDEIDAASSCKQLDLNGFSWN >Potri.013G060200.5.v4.1 pep chromosome:Pop_tri_v4:13:4417083:4420390:-1 gene:Potri.013G060200.v4.1 transcript:Potri.013G060200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060200.v4.1 MYHHHQHQGKSIHSSSRMAIPPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANIGSSKIGTVAVVGDRMPEANATHININNLSIGSQPNNEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSTQCLNSTFSELNDLQGLCPQQTPPTQPNDCSMDSCLTSCEGSQKEQEIHNIGMGLRPCNSNALLEPKEIAEEHALQQTELKWGEYLRDNKMFLTSIGHETERRTFSAERSCSDLSIGVGLQGEKGNINSSFAEGRFKGMSEDDSFQDQTNKRAESVKYEDEKMSPGYRLSYFTTKLDLNSHDEIDAASSCKQLDLNGFSWN >Potri.013G060200.7.v4.1 pep chromosome:Pop_tri_v4:13:4417026:4420388:-1 gene:Potri.013G060200.v4.1 transcript:Potri.013G060200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060200.v4.1 MYHHHQHQGKSIHSSSRMAIPPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANIGSSKIGTVAVVGDRMPEANATHININNLSIGSQPNNLHFSEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSTQCLNSTFSELNDLQGLCPQQTPPTQPNDCSMDSCLTSCEGSQKEQEIHNIGMGLRPCNSNALLEPKEIAEEHALQQTELKWGEYLRDNKMFLTSIGHETERRTFSAERSCSDLSIGVGLQGEKGNINSSFAEGRFKGMSEDDSFQDQTNKRAESVKYEDEKMSPGYRLSYFTTKLDLNSHDEIDAASSCKQLDLNGFSWN >Potri.013G060200.4.v4.1 pep chromosome:Pop_tri_v4:13:4416769:4420388:-1 gene:Potri.013G060200.v4.1 transcript:Potri.013G060200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060200.v4.1 MYHHHQHQGKSIHSSSRMAIPPERHLFLQGGNGPGDSGLVLSTDAKPRLKWTPDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANIGSSKIGTVAVVGDRMPEANATHININNLSIGSQPNKSLHFSEALQMQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQAVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSTQCLNSTFSELNDLQGLCPQQTPPTQPNDCSMDSCLTSCEGSQKEQEIHNIGMGLRPCNSNALLEPKEIAEEHALQQTELKWGEYLRDNKMFLTSIGHETERRTFSAERSCSDLSIGVGLQGEKGNINSSFAEGRFKGMSEDDSFQDQTNKRAESVKYEDEKMSPGYRLSYFTTKLDLNSHDEIDAASSCKQLDLNGFSWN >Potri.005G167150.1.v4.1 pep chromosome:Pop_tri_v4:5:17221778:17223948:-1 gene:Potri.005G167150.v4.1 transcript:Potri.005G167150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G167150.v4.1 MARFRFNVNEKRSTGASTTQQQEQEQQQKEGHQRQQQAHSESDNNTCLRRPMLPPVLALPAFEDSNSEDNGEELQEERNRLIQRYSGGELQFLIDWFEHIHRDIEEIRRDLDSVKEELWRKRSE >Potri.005G241700.1.v4.1 pep chromosome:Pop_tri_v4:5:23835762:23841133:-1 gene:Potri.005G241700.v4.1 transcript:Potri.005G241700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241700.v4.1 MGILSSILGFCGFGVGTSIGIVIGYYMFIYFEPTDVKDPVVRPLIEQDSKTLQRLLPEIPQWVKNPDYDRVDWLNKLIENMWPYLDTAICKTAKTIAKPIIAELIPKYKIDSVEFETLTLGSLPPTFPGMKVYVTGEKELIMEPVLKWAGNPNIIIAVKAFGLKATVQVVDLQVFATPRITLKPLVPSFPCFANIYVSLLQKPHVDFGLKLLGADAMSIPGLYKFVQELIKDQVASMYLWPKTLVVPIVDASKAMKRPVGILTVKVLKATELKKKDLLGGSDPYVKLKLTEDALPSKKTTVKNKNLNPEWNEEFNITVKDPESQALEILVYDWEQVGKHDKMGMNVIPLKDLTPDEQKVMTLDLLKNMDPNDVQNKKSRGQIVVEVVYKPFKDLEAEKDIVDPSAVGKAPEGTPAGGGLLVVIVHEAQDVEGKHHTNPYVRLLFKGEDKRTKQVKKNRDPRWGEDFQFTLDEPPTNERLHVEVLSSSKMSLLHSKENLGYVIINLADVVTNRRINEKYNLIDSRNGQIQIELQWKTS >Potri.005G053700.1.v4.1 pep chromosome:Pop_tri_v4:5:3393110:3403202:-1 gene:Potri.005G053700.v4.1 transcript:Potri.005G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053700.v4.1 MGELGQHTVDFSTLVSRAAEESFLSLKELVDKSKSTDQSDSEKKISLLKYLLETQQRMLRLNVLAKWCQQIPLIQYCQQLQSTLSSHDACFIQAADSLFFMHEGLQQARAPIYDVPSAIEVLLTGSYERLPKCIEDVGIHGTLTEDQQKPALRKLDTLVRSKLLEVSLPKEISEVKVFDGTALLRVEGEFKVLVTLGYRGHLSMWRILHMELLVGERSGPVKLEEMRRHALGDDLERRMAAAENPFMILYSVLHELCIALVMDTVLRQVQALRQGRWKDAIRFELISDGSSSSTQQIQDGEADSSGLRTPGLKIVYWLDLDKNSTVSDSGMCPFIKIEPGPDLQITCVHSTFVIDPVNGREAEFSLDQSCIDVEKLLLRAIFCNRYTRLLEIQKELVKNVQIFRVAGDVVLQFLVDEPDVDHKKKETKSDGGELEGQDVLCVRAYGSSFFTLGINIRNGRFLLRSSQNIIMPSVLMDCEEALNQGSITAAEVFISTRSKSILHLFASIGRFLGLEVYENGFSALKVPKNLLTGSTTLLMGFPDYGNSYFLLAQLDKDFKPLFKLLEMQPDSSGKTHSSIDSTHVMRSKKIDVSQMQMLEDELNLSVFDLGKLNHFLQNAADYNQTTEHGLPSEFRLEGHMPIAGCSLLSFSSVVDEVFELEKGASAPSFPLQNVNSSFNASPASHFASVPMNLHSIKARTPSPKWEAGMQVSQVNSMAKVSGVASPYNGSLYPSNNLKGPVHSNSFSSLSSGPGRTTAVKKLSASKSDQDLSSLRSPHSVEVGSNSPMDDDHLRLLNDTSKDAITGIRPSRLSSPSRPTGSRISVSNGKPNGARSSPAGPVRVAGSSPLATTPVSQTAGDTAGFHCFSHDVSIHEKNPRKRTVADMLSLIPALQDLDAKGGFSKRGRTSESAHFQQVSSKMLVSSDMVSKNERYSYGNLIAEANKGNAPSSIYVSALLHVVRHCSLCIKHARLTSQMDELDIPYVEEVGLRNASSNIWFRLPYARGDSWQHICLRLGRPGSMYWDVKINDQHFRDLWELQKGSSSTPWGSGVRIANTSDVDSHIRYDPDGVVLSYQSVESDSIKKLVADIQRLSNARMFALGMRKLLGVKADERQEEISANSDVKVPIGGKNAHEGADKLSELMRRAFRIEAVGLVSLWFSFGSGVLARFVVEWELGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARGGPAPGAPGAAAAVASMPKQAGYVQSQGLLPSSLMNNISQPTSGSVGNASITTGPLGNHNPHSAAMLAAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKNFAVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQELNGLDPGFAGGQQTVGLGNSNNPNPSSVSQLSSVNGNRVNLPSSAAISRAANQVAALNRVGNAVPGSSNLAAVSSGLPIRRSPAAGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVISVKRFHHQQQQQQQQNNTAAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLVLAQGGEIAPGQKPRIELCLENHTGLNVDENSENSSAAKSNIHYDRPHNSVDFALTVVLDPAHIPHINAAGGAAWLPYCVSVRLRYLFGENSNVSFLGMEGSHGGRACWSHADDWEKCKQRVARNVEVNGSSAGEAQGKLKAVADSVQRILQSCLQGLRDGSGVTASSGAT >Potri.005G053700.5.v4.1 pep chromosome:Pop_tri_v4:5:3393212:3399304:-1 gene:Potri.005G053700.v4.1 transcript:Potri.005G053700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053700.v4.1 MPSVLMDCEEALNQGSITAAEVFISTRSKSILHLFASIGRFLGLEVYENGFSALKVPKNLLTGSTTLLMGFPDYGNSYFLLAQLDKDFKPLFKLLEMQPDSSGKTHSSIDSTHVMRSKKIDVSQMQMLEDELNLSVFDLGKLNHFLQNAADYNQTTEHGLPSEFRLEGHMPIAGCSLLSFSSVVDEVFELEKGASAPSFPLQNVNSSFNASPASHFASVPMNLHSIKARTPSPKWEAGMQVSQVNSMAKVSGVASPYNGSLYPSNNLKGPVHSNSFSSLSSGPGRTTAVKKLSASKSDQDLSSLRSPHSVEVGSNSPMDDDHLRLLNDTSKDAITGIRPSRLSSPSRPTGSRISVSNGKPNGARSSPAGPVRVAGSSPLATTPVSQTAGDTAGFHCFSHDVSIHEKNPRKRTVADMLSLIPALQDLDAKGGFSKRGRTSESAHFQQVSSKMLVSSDMVSKNERYSYGNLIAEANKGNAPSSIYVSALLHVVRHCSLCIKHARLTSQMDELDIPYVEEVGLRNASSNIWFRLPYARGDSWQHICLRLGRPGSMYWDVKINDQHFRDLWELQKGSSSTPWGSGVRIANTSDVDSHIRYDPDGVVLSYQSVESDSIKKLVADIQRLSNARMFALGMRKLLGVKADERQEEISANSDVKVPIGGKNAHEGADKLSELMRRAFRIEAVGLVSLWFSFGSGVLARFVVEWELGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARGGPAPGAPGAAAAVASMPKQAGYVQSQGLLPSSLMNNISQPTSGSVGNASITTGPLGNHNPHSAAMLAAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKNFAVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQELNGLDPGFAGGQQTVGLGNSNNPNPSSVSQLSSVNGNRVNLPSSAAISRAANQVAALNRVGNAVPGSSNLAAVSSGLPIRRSPAAGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVISVKRFHHQQQQQQQQNNTAAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLVLAQGGEIAPGQKPRIELCLENHTGLNVDENSENSSAAKSNIHYDRPHNSVDFALTVVLDPAHIPHINAAGGAAWLPYCVSVRLRYLFGENSNVSFLGMEGSHGGRACWSHADDWEKCKQRVARNVEVNGSSAGEAQGKLKAVADSVQRILQSCLQGLRDGSGVTASSGAT >Potri.005G053700.4.v4.1 pep chromosome:Pop_tri_v4:5:3393110:3403195:-1 gene:Potri.005G053700.v4.1 transcript:Potri.005G053700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053700.v4.1 MFRSSVLLATSFFSSLWMNLMLTIKRNGRFLLRSSQNIIMPSVLMDCEEALNQGSITAAEVFISTRSKSILHLFASIGRFLGLEVYENGFSALKVPKNLLTGSTTLLMGFPDYGNSYFLLAQLDKDFKPLFKLLEMQPDSSGKTHSSIDSTHVMRSKKIDVSQMQMLEDELNLSVFDLGKLNHFLQNAADYNQTTEHGLPSEFRLEGHMPIAGCSLLSFSSVVDEVFELEKGASAPSFPLQNVNSSFNASPASHFASVPMNLHSIKARTPSPKWEAGMQVSQVNSMAKVSGVASPYNGSLYPSNNLKGPVHSNSFSSLSSGPGRTTAVKKLSASKSDQDLSSLRSPHSVEVGSNSPMDDDHLRLLNDTSKDAITGIRPSRLSSPSRPTGSRISVSNGKPNGARSSPAGPVRVAGSSPLATTPVSQTAGDTAGFHCFSHDVSIHEKNPRKRTVADMLSLIPALQDLDAKGGFSKRGRTSESAHFQQVSSKMLVSSDMVSKNERYSYGNLIAEANKGNAPSSIYVSALLHVVRHCSLCIKHARLTSQMDELDIPYVEEVGLRNASSNIWFRLPYARGDSWQHICLRLGRPGSMYWDVKINDQHFRDLWELQKGSSSTPWGSGVRIANTSDVDSHIRYDPDGVVLSYQSVESDSIKKLVADIQRLSNARMFALGMRKLLGVKADERQEEISANSDVKVPIGGKNAHEGADKLSELMRRAFRIEAVGLVSLWFSFGSGVLARFVVEWELGKEGCTMHVSPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARGGPAPGAPGAAAAVASMPKQAGYVQSQGLLPSSLMNNISQPTSGSVGNASITTGPLGNHNPHSAAMLAAAGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKNFAVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQELNGLDPGFAGGQQTVGLGNSNNPNPSSVSQLSSVNGNRVNLPSSAAISRAANQVAALNRVGNAVPGSSNLAAVSSGLPIRRSPAAGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLKEILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVISVKRFHHQQQQQQQQNNTAAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLVLAQGGEIAPGQKPRIELCLENHTGLNVDENSENSSAAKSNIHYDRPHNSVDFALTVVLDPAHIPHINAAGGAAWLPYCVSVRLRYLFGENSNVSFLGMEGSHGGRACWSHADDWEKCKQRVARNVEVNGSSAGEAQGKLKAVADSVQRILQSCLQGLRDGSGVTASSGAT >Potri.001G227400.4.v4.1 pep chromosome:Pop_tri_v4:1:24677725:24682270:-1 gene:Potri.001G227400.v4.1 transcript:Potri.001G227400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G227400.v4.1 MANIQGAFLLPLVVVAGLLASTHGAKPSRYSMPFNRTSFPKDFTFGAGTAAYQSEGAAYIDGKGPSIWDTFTKQHPEKIWDHSTGNVAIDFYHRYKEDIQLMKKIGLDSFRFSISWSRVLPKGKISGGVNPLGVRFYNNLINELLANGITPFVTLFHWDLPQALDDEYSGFLSSKAVDDYLGYAEFCFKTFGDRVKHWCTFNEPYSFSNNGYNGGTFAPGRCSNFAGNCTLGNSGTEPYMVAHNLILGHAAAVKLYREKYQVSQKGKIGITIVTNWFIPKSPKSEEDIKAAYRELDFLFGWFANPLTYGDYPETMKAIVGHRLPKFTKEESALVKGSIDFLGVNYYTTNYAANNPAPNKINFSYTGDSQTILSTSKGGHPIGTPVLSFPILCFI >Potri.001G227400.1.v4.1 pep chromosome:Pop_tri_v4:1:24677725:24682268:-1 gene:Potri.001G227400.v4.1 transcript:Potri.001G227400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G227400.v4.1 MANIQGAFLLPLVVVAGLLASTHGAKPSRYSMPFNRTSFPKDFTFGAGTAAYQSEGAAYIDGKGPSIWDTFTKQHPEKIWDHSTGNVAIDFYHRYKEDIQLMKKIGLDSFRFSISWSRVLPKGKISGGVNPLGVRFYNNLINELLANGITPFVTLFHWDLPQALDDEYSGFLSSKAVDDYLGYAEFCFKTFGDRVKHWCTFNEPYSFSNNGYNGGTFAPGRCSNFAGNCTLGNSGTEPYMVAHNLILGHAAAVKLYREKYQVSQKGKIGITIVTNWFIPKSPKSEEDIKAAYRELDFLFGWFANPLTYGDYPETMKAIVGHRLPKFTKEESALVKGSIDFLGVNYYTTNYAANNPAPNKINFSYTGDSQTILSTSKGGHPIGTPTALNWLFIYPKGIYDLMLYVRDKYKNPPVYITENGLADANNASLPVKEALRDGLRIRYLASHLQ >Potri.011G008868.1.v4.1 pep chromosome:Pop_tri_v4:11:807644:812258:-1 gene:Potri.011G008868.v4.1 transcript:Potri.011G008868.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008868.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYKALVDAGIHTFRDDDEIRRGESIDFELQMAIQQSKISIIVFSIDYASSRWCLDELVMIMERKRNDDCIVLPVFYDVDPSQVGRQTGSFAATFVEHEKSFNEDMERVNRWRIALKEVADLAGMVLGDGYEAQFVQSIVEKVSKKLDQKMFHLPLHFIGRDPLVNYINSWLQEGSHDAAIAILYGIGGVGKTIIAKSVFNQNIHKFEGKSFLSNFRSKDIVCLQRQLLSDILKKTIDEINDEDEGILKIKDALCCRKTLIVLDDVDKRDQFNKIIGMQNWLCKGSKIIVTTRNKGLFSANDIERVEFKVEPLDNEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALRVIGSLLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINNDQRLWMHQLVRDMGREIARQESTKCQRIWRHEDAFTVLKGTTDVEKLRGLTLDMHALMEDNFAEVVCTDSMVRRKRRRLNFFQLWLSDFSDGGKLQTGQTSLFPILSTDAFRKMPDVRFLQLNYTKFYGSFEHIPKNLIWLCWHGFSLRSIPNHVCLEKLVVLDLSKSCLVDAWKGKPFLPKLKILDLRHSLNLIRTPDFLGLPALEKLILEDCIRLVQIHESIGDLQRLLFLNLRNCTSLVELPEEMGRLNSLEELVVDGCSNLDGLNMELELHQGRNLLQSDGIVASTSYITSLPLKLFFPSRFSARKMLRFTLFSLPLSLRRLDLSGTTIRSLPKSIKDLGLLIDLYLRNCKMLQTLPVLPSHLWSLDVSFCYSLQKLTNLNPWTKADGYDQLVEFQDRMKQDSIQKFDSHMFRIMEMVCAQIQPSRFEIAYKDGKFKVVVFVYDEDEMLRGFCAEGEEDKWLIQNEFVDNFSFKISSSPAHRICGFNLFTSFSVMSGYSFSEKLGIEIRNNTSGQSWRRQAHVLDIRFRDEVRGIQSLSHWKLGGDDPTFDNGDDVTISMVVVTSAIQIRTVGVQWLHEEEGKDDDIQSKDEVINAHNSGDDDDDDAAHVAKVEIASRIFRNYYCAFRLDFYGGYLAWWFFCKERS >Potri.011G008868.2.v4.1 pep chromosome:Pop_tri_v4:11:807644:812260:-1 gene:Potri.011G008868.v4.1 transcript:Potri.011G008868.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008868.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYKALVDAGIHTFRDDDEIRRGESIDFELQMAIQQSKISIIVFSIDYASSRWCLDELVMIMERKRNDDCIVLPVFYDVDPSQVGRQTGSFAATFVEHEKSFNEDMERVNRWRIALKEVADLAGMVLGDGYEAQFVQSIVEKVSKKLDQKMFHLPLHFIGRDPLVNYINSWLQEGSHDAAIAILYGIGGVGKTIIAKSVFNQNIHKFEGKSFLSNFRSKDIVCLQRQLLSDILKKTIDEINDEDEGILKIKDALCCRKTLIVLDDVDKRDQFNKIIGMQNWLCKGSKIIVTTRNKGLFSANDIERVEFKVEPLDNEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALRVIGSLLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINNDQRLWMHQLVRDMGREIARQESTKCQRIWRHEDAFTVLKGTTDVEKLRGLTLDMHALMEDNFAEVVCTDSMVRRKRRRLNFFQLWLSDFSDGGKLQTGQTSLFPILSTDAFRKMPDVRFLQLNYTKFYGSFEHIPKNLIWLCWHGFSLRSIPNHVCLEKLVVLDLSKSCLVDAWKGKPFLPKLKILDLRHSLNLIRTPDFLGLPALEKLILEDCIRLVQIHESIGDLQRLLFLNLRNCTSLVELPEEMGRLNSLEELVVDGCSNLDGLNMELELHQGRNLLQSDGIVASTSYITSLPLKLFFPSRFSARKMLRFTLFSLPLSLRRLDLSGTTIRSLPKSIKDLGLLIDLYLRNCKMLQTLPVLPSHLWSLDVSFCYSLQKLTNLNPWTKADGYDQLVEFQDRMKQDSIQKFDSHMFRIMEMVCAQIQPSRFEIAYKDGKFKVVVFVYDEDEMLRGFCAEGEEDKWLIQNEFVDNFSFKISSSPAHRICGFNLFTSFSVMSGYSFSEKLGIEIRNNTSGQSWRRQAHVLDIRFRDEVRGIQSLSHWKLGGDDPTFDNGDDVTISMVVVTSAIQIRTVGVQWLHEEEGKDDDIQSKDEVINAHNSGDDDDDDAAHVAKVEIASRIFRNYYCAFRLDFYGGYLAWWFFCKERS >Potri.010G230801.2.v4.1 pep chromosome:Pop_tri_v4:10:21301834:21302477:1 gene:Potri.010G230801.v4.1 transcript:Potri.010G230801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230801.v4.1 MAPKAEKKPAEKKPAAAEKAPAEKKPRAEKKIPKEGAIDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.013G039400.1.v4.1 pep chromosome:Pop_tri_v4:13:2664366:2667284:-1 gene:Potri.013G039400.v4.1 transcript:Potri.013G039400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039400.v4.1 MASLSFLSPPSSSSLSSLHNTTPSSSRLFLRTPSLNLRAPTKIFSLKAQSAPVLTQDELKKIAADKAVEYVKSGMVLGLGTGSTAAFVVAKLGELLKTGELTNIIGVPTSKRTEEQARSLNIPLSILDDHPHIDLAIDGADEVDPLLNLVKGRGGALLREKMVEAASDEFVVVADETKLVDGLGGSKLAMPVEVVQFCWKFNLVRLQELFKDEGVEAKLRVGEDGKPYVTDNFNYIVDLYFENPIKDGYAAGKEISGLEGVVEHGLFLDMATAVIIAGKTGVEVKSK >Potri.010G116000.1.v4.1 pep chromosome:Pop_tri_v4:10:13520490:13521484:-1 gene:Potri.010G116000.v4.1 transcript:Potri.010G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116000.v4.1 MGNYISCTLATPLMKHSKVARVVFPTGEVRQFREPVKAAELMLECPNFFLANSQSLHIGRRFSALSADEELESGNVYLMFPMKRVSSIVTAADMAVFFIAANSAAKRISGGNSNRVLPESGGDQNVQESLEGRDNGAARLSLEGVEDFPAPEYKYRLSCCRSRKPMLETIKEEPVRLR >Potri.005G007200.1.v4.1 pep chromosome:Pop_tri_v4:5:520912:522478:-1 gene:Potri.005G007200.v4.1 transcript:Potri.005G007200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007200.v4.1 MASFLASSRVPMSVVLVLFTLASLMGSSLGNFYQDFDIMWGDWRAMILNDGELLNLNLDQASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLQSKGSAWDEIDFEFLGNLSGDPYILHTNVYSQGKGDKEQQFYLWFDPTADFHTYSILWNPQRIIFFVDGTPIREFKNMESIGVPFPKYQPMRLYSTLWNADNWATRGGLVKTDWSQAPFTASYTHFNANNACVWFNGASSCDSNNFSPPSWLSEDLDSANLDKLQWVQTNNMIYNYCADAKRFPQGFPPECNMS >Potri.014G058700.1.v4.1 pep chromosome:Pop_tri_v4:14:3752855:3757850:-1 gene:Potri.014G058700.v4.1 transcript:Potri.014G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058700.v4.1 MATDVESPSPQEKPSTQRPPPPSAAAETYLTSIIKSKPVEETAPWIDNAMQQALIYQKNIQDSLDTAIEASKSRFSEITSTSQAHFSQTISEIGVYEGKLFGKVKEEINVAASHPLITSAVAVGLGLVALKRPRQILYYKTLRLFTSEEALLSQADAKVKELQQSISLLKAESENLKRRASLAEEELIRGRTKLRQAGKQIQGVICSAYKIERQATGLRDILGELPRADASRFQSQVSSLASEAKQERNALSKMITKISNYRISV >Potri.010G010480.1.v4.1 pep chromosome:Pop_tri_v4:10:1897520:1902474:1 gene:Potri.010G010480.v4.1 transcript:Potri.010G010480.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010480.v4.1 MINNNKEEASKYHTVQAERDLEANWEVDLSKKLEDYLLKICSGEIAGNEEDSNVNFAEAALLLQGSVQVYSRKVEYLYNLVLHALDFLSQKRQQDQSEGTSVQTEQSGSRAVSDEENDQFWVSDDVPVEARNCLDASTSKDASFYHFVKPPANLVVLEGDCLDTSGDGGELESYLLATNDLYQDFILLDPCDALAINDFLKADGTGRAQNGPYRGSSIRKTFQSPTRCSGGTANKPSLGKNRDANPMPSPVAGCSFGVNDCKIRPDPRVHDNFDENPGFDMEDRYSDPENAEDSDDNDDPWKPLNPHEPGNLKVKTFKKVKDFRRNGLKSAEKTSITALFPLARMHGTISPDLAKIWEAQQNKIGKHGNTQSPTLYEKLRQSLTNEGHNIPDTYGNSGNDNEDNAYDTGIPDFGQPDEEISECMNEDSPPPLHEKHDDGGTHFDTYKDFGHGDQRSQASLEDLCRSHLDALLANIAETEKQTELAARVSSWKLKIEQNLEEQDSHPPFDIHAYGERIVDKLSLETDSKKYVMAFTDVVKGQEKHDVARTFSALLQLVNNGEVDFDRSQANTESFCYTAVNPFHVRLLSHKKEQEGRQFQLSKKRVKSPIRKGGPKLGKNGNTRCTPEGKKRRRSRVVEPVDLHSAG >Potri.008G066700.8.v4.1 pep chromosome:Pop_tri_v4:8:4029772:4030364:-1 gene:Potri.008G066700.v4.1 transcript:Potri.008G066700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066700.v4.1 MSLSDSMASLWRAATALTQTQSTQTDGVEFWSNPERTGWLMKQGEHIKTWRRRWFILKQGKLFWFKDSTVTRVCKPRGVIPVASCLTVKGAEDVLHKQYAFELSTRNDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEIVDYDSKR >Potri.012G138800.2.v4.1 pep chromosome:Pop_tri_v4:12:15158608:15159671:-1 gene:Potri.012G138800.v4.1 transcript:Potri.012G138800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138800.v4.1 MTPSLNVRQDIVLAEVPKLGKEAALKAIQEWGHPMSKITHLVFCTTSGVHMPGADYQLANLLGLSSSIKRLMLYQQGCYGGGTALRVAKDLAENNAGARVLVVCSEITAITFHAPNEDQLGCLVGQALFGDGAGAAIIGSDPDTLVEKPIFQLVSAAQIMIPDSEHAIEGHVREMGLLIHLSEDVPKLISDNVEAALREVVTPIGGVLSDWNSLFWAVHAGGRAILDGVEAKLKLKKEKLGVTRHILREYGNVASACVLFVLDEMRERSVREGKATTGEGLEWGVVIGLGPGLTMETLVLHSVPVAITK >Potri.012G138800.1.v4.1 pep chromosome:Pop_tri_v4:12:15158612:15160184:-1 gene:Potri.012G138800.v4.1 transcript:Potri.012G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138800.v4.1 MALVDEIRKAQRARGPAMVLAIGTAVPVNCFYQADYPDYFFRVTKTENLTELKAKFERICQKSMINKRYMHLTEEMIKENPEIGNFMTPSLNVRQDIVLAEVPKLGKEAALKAIQEWGHPMSKITHLVFCTTSGVHMPGADYQLANLLGLSSSIKRLMLYQQGCYGGGTALRVAKDLAENNAGARVLVVCSEITAITFHAPNEDQLGCLVGQALFGDGAGAAIIGSDPDTLVEKPIFQLVSAAQIMIPDSEHAIEGHVREMGLLIHLSEDVPKLISDNVEAALREVVTPIGGVLSDWNSLFWAVHAGGRAILDGVEAKLKLKKEKLGVTRHILREYGNVASACVLFVLDEMRERSVREGKATTGEGLEWGVVIGLGPGLTMETLVLHSVPVAITK >Potri.005G137400.2.v4.1 pep chromosome:Pop_tri_v4:5:10703405:10709014:1 gene:Potri.005G137400.v4.1 transcript:Potri.005G137400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137400.v4.1 MVGASSLQPRLLSSFVGDRLLLSKQPVSRLFLYKPGNKHVSMQLSRTLSGLTNLLFNRRNLDEVPNAEQQRLRPGKLSPRRPVPDHIPRPPYVNSRQPPGIASGAEVHDENGIECMRSSGKLAAQVLQYAGTLVKPGIKTDDIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFFCGDVDDEARKLVQVTEECLYRAISICAPGVEYKKIGKTIHDHADRYSYGVVRHFVGHGVGRVFHADPVVQHFRNNDGGRMMLNQTFTIEPMLTIGSVNPVMWDDNWTVVTEDGSLSAQFEHTILITKDGAEILTQC >Potri.005G137400.8.v4.1 pep chromosome:Pop_tri_v4:5:10703403:10708978:1 gene:Potri.005G137400.v4.1 transcript:Potri.005G137400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137400.v4.1 MVGASSLQPRLLSSFVGDRLLLSKQPVSRLFLYKPGNKHVSMQLSRTLSGLTNLLFNRSRNLDEVPNAEQQRLRPGKLSPRRPVPDHIPRPPYVNSRQPPGIASGAEVHDENGIECMRSSGKLAAQVLQYAGTLVKPGIKTDDIDQAVHQMIIDNGAYPSPLGYGGFPKSVCTSVNECICHGIPDSRALEDGDIINIDVTVYLNGYHGDTSATFFCGDVDDEARKLVQVTEECLYRAISICAPGVEYKKIGKTIHDHADRYSYGVVRHFVGHGVGRVFHADPVVQHFRNNDGGRMMLNQTFTIEPMLTIGSVNPVMWDDNWTVVTEDGSLSAQFEHTILITKDGAEILTQC >Potri.001G076700.2.v4.1 pep chromosome:Pop_tri_v4:1:5784023:5784651:-1 gene:Potri.001G076700.v4.1 transcript:Potri.001G076700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076700.v4.1 MHSAVQFRCYSSETMNISAGANNCSGLDIIMGRGRKEEYKILKMFSLHQAALWEPNQVHISEMT >Potri.003G004700.2.v4.1 pep chromosome:Pop_tri_v4:3:592494:595394:1 gene:Potri.003G004700.v4.1 transcript:Potri.003G004700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004700.v4.1 MALILHAGKTNKNAYKTLIAAEYSGVDVKLAENFEMRVTNKTPEFLKMNPIGKVPVLETPEGPVFESNAIARYVTRLKADNHLYGSSLIEYARIEQWIDFAATEIDAGISKWLYPRLGYQPYLPPAEEASIFALKRALGALNLHLTSNTYLVGHSVTLADIIMTCNLHTGFSYVMTKSFTSEFPHVERYFWTMVNQPNVKKVVGEVKQAESVLPVTKKPSQPKEPAKSKPKDEPRKEVKEKEPAKPKAEPAAEEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWEMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWTKVDISDEAQKERVSQMIEDYEPFEGDALLDAKCFK >Potri.003G004700.1.v4.1 pep chromosome:Pop_tri_v4:3:592493:595719:1 gene:Potri.003G004700.v4.1 transcript:Potri.003G004700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004700.v4.1 MALILHAGKTNKNAYKTLIAAEYSGVDVKLAENFEMRVTNKTPEFLKMNPIGKVPVLETPEGPVFESNAIARYVTRLKADNHLYGSSLIEYARIEQWIDFAATEIDAGISKWLYPRLGYQPYLPPAEEASIFALKRALGALNLHLTSNTYLVGHSVTLADIIMTCNLHTGFSYVMTKSFTSEFPHVERYFWTMVNQPNVKKVVGEVKQAESVLPVTKKPSQPKEPAKSKPKDEPRKEVKEKEPAKPKAEPAAEEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWEMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWTKVDISDEAQKERVSQMIEDYEPFEGDALLDAKCFK >Potri.001G151300.2.v4.1 pep chromosome:Pop_tri_v4:1:12659301:12661882:-1 gene:Potri.001G151300.v4.1 transcript:Potri.001G151300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151300.v4.1 MSLFPPPLTRLPLSFSSKHHIIFKPTLSLRPTSPPFLLSTSKASTDDGGAGVSASSATVEEPKLEQKAPDSSESVPVAEKNSNGAVAPGGGVEVEVSKFEDPRWISGTWDLKQFEKDGKTDWDAVIDAEVRRRKWLEGNPESSSNDDPVVFDTSIIPWWAWMKRFHLPEAELLNGRAAMIGFFMAYLVDSLTGVGVVDQMGNFFCKTLLFVAVVGVLLIRKNEDLETIKTLLEETTFYDRQWQATWQDETSSIPKNE >Potri.008G005650.1.v4.1 pep chromosome:Pop_tri_v4:8:243143:256334:-1 gene:Potri.008G005650.v4.1 transcript:Potri.008G005650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005650.v4.1 MVKIESFSSLGKNAVETYESGVFEAGGYKWKLVLYPNGNKSNNVKDHISLYLAMVDASSLPRGWEVNVIFRLFLLDQNKDSYLVIQGKERRFHGLKLECGFDQFIKLSTFNDARYGFVLEDTCVLGAEVFVLHPRSAHGEPESPNKGEVLSMKKDPTASKYTWKIVNFSKLDEKRQESQIFCHDPNPGTGDHQWKIVLYPKGKGPGMGTHLSLYLALDLATLPAGCRVYADYTLRLVDQVYDRKHDMYGKVKSWFGASSSENGWSRYGPLSLYQSNNYLFAKDICIIEAEVIVLGSGSSF >Potri.017G091000.2.v4.1 pep chromosome:Pop_tri_v4:17:10408097:10410343:1 gene:Potri.017G091000.v4.1 transcript:Potri.017G091000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091000.v4.1 MDDDEPAIEAKDLVNSYVVALEDEGDECCVVEDAETPMVLDDGNRFDTVNVELFQCLNGRVEEPALGMGFTSEDDVRDLYSASAKQTGFSIHVNSYYQSKKDNSIISREFCCSKEGLHGEKRAKKMDSGEETRRRRARPITREGSQALMTVRRRDNGKWYIAKLEKNHNHEMSHKQDYDLNNSFSESLSSPVTGLDAPVIVFTEDGNTVGKMVFNFLNCINYVGRGRLSNFGIDAQNILGFFKFMQASDPAFYDAIQVDEEDRPSSVFWVDTRSRIAYDYFSDVVAFDTYQANQCMMPFAPFTGTESTFIWIFTTWLEAVSGQQPGLIITDYDSTMTRAIERWHIMSKMPKEMGHAYSSLQKTFQVELDRGVNKTGIPESTWLWVPVYVREVFFAGMNTAQKSVSVNSLFDGYVNARTTLQDFAEQYVRALDDRYEKEAKAELETVYTKPILKTPLPMEKQAAEVYARKLFCGDDGGTTTYELAKFDEEQKVYFVTLSISEEIASCSCKMFQFEGILCRDVIAVFKATNVFILPQNYILQQWTKNARDDAILDVLSCLDVPSNSHRARIKISGSKKNAMSAQKLETVAGNSFQDENMISSHRDSYSLQTSHAGQHKANTAESWMYNNTFEYVWSNSTSDIE >Potri.009G071600.2.v4.1 pep chromosome:Pop_tri_v4:9:7036702:7041917:1 gene:Potri.009G071600.v4.1 transcript:Potri.009G071600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071600.v4.1 MKNCERVANLALAGLTLAPLFVKVDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIVALSATLLPAIKRYLPKHWNDDVISWHFPYFHSLEIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADTARPFSMLGLGDIVIPGIFVALALRFDVSRGKESQYFKSAFLGYTAGVVLTIIVMNWFQAAQPALLYIVPAAIGFLAAHVVWNGEVKPLMEFDESKTAASSQEDSETSSSKKVE >Potri.009G071600.1.v4.1 pep chromosome:Pop_tri_v4:9:7036143:7041929:1 gene:Potri.009G071600.v4.1 transcript:Potri.009G071600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071600.v4.1 MKNCERVANLALAGLTLAPLFVKVDPNLNVILTACLTVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIVALSATLLPAIKRYLPKHWNDDVISWHFPYFHSLEIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADTARPFSMLGLGDIVIPGIFVALALRFDVSRGKESQYFKSAFLGYTAGVVLTIIVMNWFQAAQPALLYIVPAAIGFLAAHVVWNGEVKPLMEFDESKTAASSQEDSETSSSKKVE >Potri.005G179500.10.v4.1 pep chromosome:Pop_tri_v4:5:18576785:18584470:1 gene:Potri.005G179500.v4.1 transcript:Potri.005G179500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179500.v4.1 MTPPATRGKNKRNRPGDIANITSEILRKIHANGKVTDGDVNQLYMIWKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKMSDILQALGSDPFNDLRSTDETPSGLTNLGATCYANSVLQCLYMNASFREGVFSVEPDVLNEQPVLYQLVRLFAQLHASKLAFIDPAPFITTLELDNAVQQDGHEFLTLLLSLLERCLSHSKVSKARTIVQDLFRGSVSQVTTCSNCGRDSEASSKTEDFYELQMNVKGLKSLDESLDQYLSVEQLHGENQYNCELCKSRVDATHRIRLRTLPDVLNFQLKRYEFLPKTTTRKKITSAFGFPGELDMGRRLSEPSQLEWIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGRRPFGEGFSSSAKGVHSDKVSPSCAGATLADTSRSMDAVQPQSLESNIHSCKEIFSSTDAYRLMYNLRRTRKNDGKRDHIANNIQLEGHKGLHNGFHPASQLFEDINDMNASYAAACEEYKLKKEKEVRHITERREEVRSVLSEAPVRLHQEPFYWVSTDWLRQWADNVTPGVIDNKPIQCLHGKVPVSKVGSMKRLSAKAWGILFSKYDGGPALTNSDCCMACLIDGAKSVVFADSYRDQRTLMRDLANDVIAGKCLDGAYFVSKTWLQQWVRRKNIDAPSEADAGPTASIMCRHGQLRPEQAGAKRLLVPETLWHFLYKDAVAVKSDDPLGCTTFPSDSAQCSECSDELSEVACFEDSIREMKLKQRQNHEKLATGKSIPLSLNCTYYLMPSSWLTKWRNYINSSGKNISSSVEPEVLDPVIDALKCEWHSRLLERPPDLVNKRGVLIQKSSTTDALTIITENDWNSFCEDWGGNKEKGIMATIESSDVAENNLGGSQEDVFVFKDHPSSQDEANNDPEIRQPLIRTSPEICEDCIGERKSRELAKKLNYFNEDINVSLVRGKEAPRSILEASSTTPETDRRASKRSRKTSYGTSVNLKVSGSTSLYQLKMMIWESLGVVKENQILHKGSMIIDQESATLADLSIFPGDKLWVQDSEIHEHRDIADEITDQKANAQHPEKGFQGTLLTTTTSSQVA >Potri.005G179500.11.v4.1 pep chromosome:Pop_tri_v4:5:18575939:18584348:1 gene:Potri.005G179500.v4.1 transcript:Potri.005G179500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179500.v4.1 MTPPATRGKNKRNRPGDIANITSEILRKIHANGKVTDGDVNQLYMIWKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKMSDILQALGSDPFNDLRSTDETPSGLTNLGATCYANSVLQCLYMNASFREGVFSVEPDVLNEQPVLYQLVRLFAQLHASKLAFIDPAPFITTLELDNAVQQDGHEFLTLLLSLLERCLSHSKVSKARTIVQDLFRGSVSQVTTCSNCGRDSEASSKTEDFYELQMNVKGLKSLDESLDQYLSVEQLHGENQYNCELCKSRVDATHRIRLRTLPDVLNFQLKRYEFLPKTTTRKKITSAFGFPGELDMGRRLSEPSQLEWIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGRRPFGEGFSSSAKGVHSDKVSPSCAGATLADTSRSMDAVQPQSLESNIHSCKEIFSSTDAYRLMYNLRRTRKNDGKRDHIANNIQLEGHKGLHNGFHPASQLFEDINDMNASYAAACEEYKLKKEKEVRHITERREEVRSVLSEAPVRLHQEPFYWVSTDWLRQWADNVTPGVIDNKPIQCLHGKVPVSKVGSMKRLSAKAWGILFSKYDGGPALTNSDCCMACLIDGAKSVVFADSYRDQRTLMRDLANDVIAGKCLDGAYFVSKTWLQQWVRRKNIDAPSEADAGPTASIMCRHGQLRPEQAGAKRLLVPETLWHFLYKDAVAVKSDDPLGCTTFPSDSAQCSECSDELSEVACFEDSIREMKLKQRQNHEKLATGKSIPLSLNCTYYLMPSSWLTKWRNYINSSGKNISSSVEPEVLDPVIDALKCEWHSRLLERPPDLVNKRGVLIQKSSTTDALTIITENDWNSFCEDWGGNKEKGIMATIESSDVAENNLGGSQEDVFVFKDHPSSQDEANNDPEIRQPLIRTSPEICEDCIGERKSRELAKKLNYFNEDINVSLVRGKEAPRSILEASSTTPETDRRASKRSRKTSYGTSVNLKVSGSTSLYQLKMMIWESLGVVKENQILHKGSMIIDQESATLADLSIFPGDKLWVQDSEIHEHRDIADEITDQKANAQHPEKGFQGTLLTTTTSSQVA >Potri.005G179500.8.v4.1 pep chromosome:Pop_tri_v4:5:18575904:18584457:1 gene:Potri.005G179500.v4.1 transcript:Potri.005G179500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179500.v4.1 MTPPATRGKNKRNRPGDIANITSEILRKIHANGKVTDGDVNQLYMIWKPVCQGCRVNTKDNPNCFCGLIPPPNGSRKSGLWQKMSDILQALGSDPFNDLRSTDETPSGLTNLGATCYANSVLQCLYMNASFREGVFSVEPDVLNEQPVLYQLVRLFAQLHASKLAFIDPAPFITTLELDNAVQQDGHEFLTLLLSLLERCLSHSKVSKARTIVQDLFRGSVSQVTTCSNCGRDSEASSKTEDFYELQMNVKGLKSLDESLDQYLSVEQLHGENQYNCELCKSRVDATHRIRLRTLPDVLNFQLKRYEFLPKTTTRKKITSAFGFPGELDMGRRLSEPSQLEWIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWEFDDEHVSNLGRRPFGEGFSSSAKGVHSDKVSPSCAGATLADTSRSMDAVQPQSLESNIHSCKEIFSSTDAYRLMYNLRRTRKNDGKRDHIANNIQLEGHKGLHNGFHPASQLFEDINDMNASYAAACEEYKLKKEKEVRHITERREEVRSVLSEAPVRLHQEPFYWVSTDWLRQWADNVTPGVIDNKPIQCLHGKVPVSKVGSMKRLSAKAWGILFSKYDGGPALTNSDCCMACLIDGAKSVVFADSYRDQRTLMRDLANDVIAGKCLDGAYFVSKTWLQQWVRRKNIDAPSEADAGPTASIMCRHGQLRPEQAGAKRLLVPETLWHFLYKDAVAVKSDDPLGCTTFPSDSAQCSECSDELSEVACFEDSIREMKLKQRQNHEKLATGKSIPLSLNCTYYLMPSSWLTKWRNYINSSGKNISSSVEPEVLDPVIDALKCEWHSRLLERPPDLVNKRGVLIQKSSTTDALTIITENDWNSFCEDWGGNKEKGIMATIESSDVAENNLGGSQEDVFVFKDHPSSQDEANNDPEIRQPLIRTSPEICEDCIGERKSRELAKKLNYFNEDINVSLVRGKEAPRSILEASSTTPETDRRASKRSRKTSYGTSVNLKVSGSTSLYQLKMMIWESLGVVKENQILHKGSMIIDQESATLADLSIFPGDKLWVQDSEIHEHRDIADEITDQKANAQHPEKGFQGTLLTTTTSSQVA >Potri.014G088200.3.v4.1 pep chromosome:Pop_tri_v4:14:5731203:5737079:1 gene:Potri.014G088200.v4.1 transcript:Potri.014G088200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088200.v4.1 MAGLRFSMLKKSFCNSINPTSQRQSLRFYNVNGSSLRSASAIINRDYYKDESKLAGNLSNIIAMRSDACVNSQCYKNKPMRGFLSTPSNVLLRSAVPFFSMNPGLSCRSFSWSSGGKVDKSGASEVSASSSGSDVDVSNSGGIGSDWIDKVKEAWQSAVHAVTYSEQKAKEISAELTPYVQQLLDSHPYLKNVVVPVGWTLTGTVVAWVVMPRLLRRFHKYSLQTPVALLSGGTSGEQISYEKSIWGALEDPLRYVITFMAFSQIAVMVAPTTIASQYIAQAWRGAVILSFVWFLHRWKTNVFNRVIASATVDREKLLTLDRVSSVGLFVIGVMALAEACGVAVQSILTVGGIGGVATAFAAKDVLGNVLSGLSMQFSKPFSLGDTIKAGAVEGQVVEMGLTTTSLLNAEKFPVLVPNALFSSQVIVNKSRAQWRAMVSKIPVTVDDVEKIPQISNDIKNMLKSNANIFLGKEAPYCYLSRIESSFAELTLGCNLKQMSKNELYSTEQDILLQSVRIIKEHGARLGITTTSQ >Potri.014G088200.1.v4.1 pep chromosome:Pop_tri_v4:14:5731304:5737132:1 gene:Potri.014G088200.v4.1 transcript:Potri.014G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088200.v4.1 MAGLRFSMLKKSFCNSINPTSQRQSLRFYNVNGSSLRSASAIINRDYYKDESKLAGNLSNIIAMRSDACVNSQCYKNKPMRGFLSTPSNVLLRSAVPFFSMNPGLSCRSFSWSSGGKVDKSGASEVSASSSGSDVDVSNSGGIGSDWIDKVKEAWQSAVHAVTYSEQKAKEISAELTPYVQQLLDSHPYLKNVVVPVGWTLTGTVVAWVVMPRLLRRFHKYSLQTPVALLSGGTSGEQISYEKSIWGALEDPLRYVITFMAFSQIAVMVAPTTIASQYIAQAWRGAVILSFVWFLHRWKTNVFNRVIASATVDREKLLTLDRVSSVGLFVIGVMALAEACGVAVQSILTVGGIGGVATAFAAKDVLGNVLSGLSMQFSKPFSLGDTIKAGAVEGQVVEMGLTTTSLLNAEKFPVLVPNALFSSQVIVNKSRAQWRAMVSKIPVTVDDVEKIPQISNDIKNMLKSNANIFLGKEAPYCYLSRIESSFAELTLGCNLKQMSKNELYSTEQDILLQSVRIIKEHGARLGITTTSQ >Potri.006G258550.1.v4.1 pep chromosome:Pop_tri_v4:6:25511817:25514231:1 gene:Potri.006G258550.v4.1 transcript:Potri.006G258550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258550.v4.1 MGRTRKIPMAKRETAEQRSVTFTKRRQGLFNKAADLCRICDAHIAIMVSSTGSKEKVYTFGHSSVDAVFDRFLDNFTAAPEAVAYEAGIKSASNSLYEEIKALEGDVNTLMQNKKRNVGGVLWDALEEIEQSNTSVEELQDVVDILESLLGQAKNKLMNNATANLGVSIAVEPKSDNFLALEPKPRDDSSSSLGGDQVGQNSAIVGDNGANYSDSYWNADGSTTDSCMDFPVEVDVDLIWNLLESSDFSSGSDKVISMSNSSDCTLSGTGSESASGSQENEDNVFPATNLDSELFKDMELVDCGTYNTTPDPGSGDIKLWGGGGGGG >Potri.012G144900.1.v4.1 pep chromosome:Pop_tri_v4:12:15535793:15538784:-1 gene:Potri.012G144900.v4.1 transcript:Potri.012G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144900.v4.1 MESTTPLLQLNNGSSSSSSSPLPAPAAPQEAEAEGDYSPAVSKTFKDFMKFVFWKETVKLWKIGGPIAITLLCQYGTNTLTSIFVGHLGNLQLSAVSVSLSVIMTFAFGFLLGMGSALETLCGQAFGAGQVHMLGVYLQRSAIILFVSCVVLLPIYIFSAPILKVIGQEDDLSDLAGKFTIVGIPNLFSLAIYFPTQKFLQAQRKVGVLACIAFATLLLHAFWLWLFIYKLGWGATGAAIALDLTGWSTALAQAVYVMGWCKEGWRGFSWAAFKDIWSFVTLSLASAVMLCLELWYMMSIVILTGHLSNAVIAVGALTICLNINGLELMLFLGINAAISVRVSNELGLGHPRAAKYSVMVTVFQSLVIGLILMAVVLVAKDYFAYIFTSNKEMQVATSKLAFILAITMVLNSVQPVISGVAIGGGWQALVAYINIGCYYVFGLPLGYLLGYRAKLGVEGVWGGMLGGTALQTLLLLIVLYKTNWKKEVAETAERMRKWGGQDINNNDDRIGANGA >Potri.005G083200.12.v4.1 pep chromosome:Pop_tri_v4:5:5712556:5722440:-1 gene:Potri.005G083200.v4.1 transcript:Potri.005G083200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083200.v4.1 MASSLPLPELTFISPQTRTRKHHHHLLPLSRHSLSKLNVSSKKLKFNIPLRTPVVRALSEDTAVIDEREREILKELNGNGNGRVNGSVERYVNGRVVSVEEGESSSNGSLMKYANGNGVAATATAKIVGEEEKEGLKEDGRKKRIEEIGKEEAWFKRAGQQVEVSVVPGGRWSRFKTYSTIQRTLEIWGFVLTFIFKAWLNSQKFSYQGGMTKEKKVARRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVDDIFDQFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKKMEYVKVPTINWEYTTPQILTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLEAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATTELGFKKQLTKEEKMEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVLLKDFRKRWDRQSRAFHNLFRQADRVQKLAETIQRLEQGDLKLRVRTLEAERAFQRVAAVQKTVGSAVAAGSLINLATILFLNSIRVPATAASILCAFFSFQVLFGIIKVKRLDQQERLITGTA >Potri.005G083200.11.v4.1 pep chromosome:Pop_tri_v4:5:5712518:5722404:-1 gene:Potri.005G083200.v4.1 transcript:Potri.005G083200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083200.v4.1 MASSLPLPELTFISPQTRTRKHHHHLLPLSRHSLSKLNVSSKKLKFNIPLRTPVVRALSEDTAVIDEREREILKELNGNGNGRVNGSVERYVNGRVVSVEEGESSSNGSLMKYANGNGVAATATAKIVGEEEKEGLKEDGRKKRIEEIGKEEAWFKRAGQQVSVVPGGRWSRFKTYSTIQRTLEIWGFVLTFIFKAWLNSQKFSYQGGMTKEKKVARRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVDDIFDQFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKIDPKSDGAKRDWVAIYDECASVLYQEIDYTKEAANAELFASNFKKMEYVKVPTINWEYTTPQILTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLETFYGVYEKDPDKVLEAMVQMGVLVPTGDMTAVRRTAQFFLNSFEERLAAQRREREMATTELGFKKQLTKEEKMEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVLLKDFRKRWDRQSRAFHNLFRQADRVQKLAETIQRLEQGDLKLRVRTLEAERAFQRVAAVQKTVGSAVAAGSLINLATILFLNSIRVPATAASILCAFFSFQVLFGIIKVKRLDQQERLITGTA >Potri.013G077500.2.v4.1 pep chromosome:Pop_tri_v4:13:6568319:6569962:1 gene:Potri.013G077500.v4.1 transcript:Potri.013G077500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077500.v4.1 MNSGGAGGVGVEGGGGGAAGMAAGGMGTAAMTMRSPFTVSQWQELEHQALIYKYMVAGLPVPPDLVLPIQRSFESISHRFFHHPTMSYCTFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTVTGSSSGTGSFQNLPLHTYSNPQGTASGTNQSYYHMNSIPYGIPTKDYRYH >Potri.013G077500.1.v4.1 pep chromosome:Pop_tri_v4:13:6567607:6573248:1 gene:Potri.013G077500.v4.1 transcript:Potri.013G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077500.v4.1 MNSGGAGGVGVEGGGGGAAGMAAGGMGTAAMTMRSPFTVSQWQELEHQALIYKYMVAGLPVPPDLVLPIQRSFESISHRFFHHPTMSYCTFYGKKVDPEPGRCRRTDGKKWRCSKDAYPDSKYCERHMHRGRNRSRKPVESQTMTQSSSTVTSLTVTGSSSGTGSFQNLPLHTYSNPQGTASGTNQSYYHMNSIPYGIPTKDYRYLQELTPEGGEHSFLSEASGSNKGLQIDSQLDNAWSLMQSRVSSFPTEKSTENSMLQSNHPQHSFFSSDFTTRESVKQDGQSLRPFFDEWPKNRDAWSGLENDSSNQTSFSTTQLSISIPMASSDFSTSCRSPRDN >Potri.007G006800.1.v4.1 pep chromosome:Pop_tri_v4:7:454608:454963:-1 gene:Potri.007G006800.v4.1 transcript:Potri.007G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006800.v4.1 MANVKVTTLFLIVSLLLCSTLTYAARPEPGFPNGSLAKNQQKVVDAEHAEVMEESCEGVGEEECLMRRTLAAHTDYIYTQKHKP >Potri.002G136000.1.v4.1 pep chromosome:Pop_tri_v4:2:10227796:10230242:1 gene:Potri.002G136000.v4.1 transcript:Potri.002G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136000.v4.1 MMMVVKKKKHYFRCCEWKWIVLCQLLLANAVCASHHGNPANDLVDIINKNRTAQKLPELNDSPGLGCMALQYVELCKDNCTSNGVVNCKPPEDDFTEVFGPNCGVELPTFGTITGHVVGCQAKYLEPSLAFSHVLVNDSKALSLIRNKSHTEVGVGLVGARKGSFFWCILFSDGQTNSTFVLEDNGEGIKQKTGCFSGSTFPCSGGHRIPVFLNNFMTMVLLNIFLLQHLYQTWFVMM >Potri.006G246600.1.v4.1 pep chromosome:Pop_tri_v4:6:24681351:24684019:1 gene:Potri.006G246600.v4.1 transcript:Potri.006G246600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246600.v4.1 MKPGGFSTLNPYAAAYIPLSKRDSADRIENPGWTVQGGNPNVWYGSAKHNAQIRQNDKGPISVPEMSMLKSQSGYGSYGSSSQNSYEMTGKQNVDEEFEMDLEYLRINFPGISDESLTGVYMANKGDIDAAIDMLNQLEFDTIESSGNLPDTLDIGDVYEPRPSAEASSVKPKTVVDEASASSGSSAPDTAVAT >Potri.002G207093.1.v4.1 pep chromosome:Pop_tri_v4:2:17371213:17372329:-1 gene:Potri.002G207093.v4.1 transcript:Potri.002G207093.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207093.v4.1 MAEIIKVHGSTLSTAAQRVFACLYEKELEFEFIPVNMAVGEHKKEPFLALNPFGQVPAFEQGDLKLFESRAITQYIAHGYADKGTPLVIPGKQMATLSVWMEVEAHQFDPVASKLNWELVFKPMFGIPTDNAAVEENEAKLGKVLDVYESRLAQSKYLGGDIFTLADLHHLPNISCAMRTHVKKLFDSRPHVSAWVADITSRPAWSKVVAMNK >Potri.001G203700.1.v4.1 pep chromosome:Pop_tri_v4:1:20562201:20563202:1 gene:Potri.001G203700.v4.1 transcript:Potri.001G203700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G203700.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAISGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGGGRGGGGGNGGGNGSGSGYGSGSGSGYGSGSGIGGGSGGGVGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGWGGGGGGGGGGGGGGGGGG >Potri.012G103200.3.v4.1 pep chromosome:Pop_tri_v4:12:12558648:12560640:-1 gene:Potri.012G103200.v4.1 transcript:Potri.012G103200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103200.v4.1 MLWLFYTIFKKDTILLITINSFAFFMAIGYIVVYLFYATKKDKILTFKLLLLFNVFGFGLVCVLTLFLTQGHKRVQVLGWICMIFSICVFVAPLFIARKVIKTKSVEFMPFSLSFFLTLSALMWFFYGYLKKDQFVAIPNILGFILGLLQMLLYMIYRNPKKVVEVEPKLQLEISEHVVDLEKLGPTICSEITIVIPKLNDSGNGFVEDQNAKRQAMEIMKAIDVVNKL >Potri.012G103200.1.v4.1 pep chromosome:Pop_tri_v4:12:12558648:12560640:-1 gene:Potri.012G103200.v4.1 transcript:Potri.012G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103200.v4.1 MALHLTWVFGFGLLGNIISCLVCLSPLPTFYQICKKKTSEGFQSIPYVIALFSAMLWLFYTIFKKDTILLITINSFAFFMAIGYIVVYLFYATKKDKILTFKLLLLFNVFGFGLVCVLTLFLTQGHKRVQVLGWICMIFSICVFVAPLFIARKVIKTKSVEFMPFSLSFFLTLSALMWFFYGYLKKDQFVAIPNILGFILGLLQMLLYMIYRNPKKVVEVEPKLQLEISEHVVDLEKLGPTICSEITIVIPKLNDSGNGFVEDQNAKRQAMEIMKAIDVVNKL >Potri.011G086800.2.v4.1 pep chromosome:Pop_tri_v4:11:10773866:10787388:-1 gene:Potri.011G086800.v4.1 transcript:Potri.011G086800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G086800.v4.1 MANLPQSLSMNTPFGGPSASNPAAAAGAPANKDRKMASAEHLVLDLSNPDLRENALLELSKNKDLFQDLAPFVWNSFGTIAALIQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACHALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGGNRVPGLQAGGGFDHMLVN >Potri.011G086800.1.v4.1 pep chromosome:Pop_tri_v4:11:10773966:10787519:-1 gene:Potri.011G086800.v4.1 transcript:Potri.011G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G086800.v4.1 MANLPQSLSMNTPFGGPSASNPAAAAGAPANKDRKMASAEHLVLDLSNPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACHALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGGNRVPGLQAGGGFDHMLVN >Potri.003G111400.1.v4.1 pep chromosome:Pop_tri_v4:3:13367513:13368302:-1 gene:Potri.003G111400.v4.1 transcript:Potri.003G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111400.v4.1 MASKSTASVALFLALNLLFFSLVTACGGGCPSPKPKPKPKPKPTPTPSPSGGKCPKDALKLGVCADLLGSLLNVTVGSPPVKPCCSVIQGLLDLEAAVCLCTAIKANILGINLNIPLSLSLLLNVCGKKVPKDFQCS >Potri.002G135400.1.v4.1 pep chromosome:Pop_tri_v4:2:10192277:10193957:1 gene:Potri.002G135400.v4.1 transcript:Potri.002G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135400.v4.1 MRCKKHPGDLSGGVGVCASCLRERLFALIAAQAQIQQQQQIAQFAKAHNHHHSRAAAVVDESRKSDSNSQYLQQPPPPLIFPRSVSPYVARRKSDDSSSWSDHNHHYNLRFYSTPQLGPTYTTPSSTTTTAAYKKQSQKFSLFSNLFRSRSDKFKTDSTGRRDSIEPPSSSSPSWFSMVFSGRRRKQSRQLPMEYSGTVSGEPRQRLDRGMSPARGADSDKDCENCDRSPSGSGCSSESSPGWKKTPVASGVMRRGKAGHPRNVSGLAFCLSPLVRASPKPNWNQKGGLPPELGYSGEVRAPVRPHLSTAASFCANRSRKLADFGRVNHNH >Potri.008G117800.4.v4.1 pep chromosome:Pop_tri_v4:8:7550310:7551959:1 gene:Potri.008G117800.v4.1 transcript:Potri.008G117800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117800.v4.1 MAISTTCSGKGGGLLEKPVIERTTPGRESEFDLRKSKKMAPPYRVMLHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICAQADAEEHCMQLRGNGLLSSIEPASGGC >Potri.008G117800.2.v4.1 pep chromosome:Pop_tri_v4:8:7550420:7552036:1 gene:Potri.008G117800.v4.1 transcript:Potri.008G117800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117800.v4.1 METAICGRIALSPNHVFNPKPGDKNSVCRGPCANRGILMAISTTCSGKGGGLLEKPVIERTTPGRESEFDLRKSKKMAPPYRVMLHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICAQADAEEHCMQLRGNGLLSSIEPASGGC >Potri.005G162800.1.v4.1 pep chromosome:Pop_tri_v4:5:16037062:16041834:-1 gene:Potri.005G162800.v4.1 transcript:Potri.005G162800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G162800.v4.1 MSLTSSSIIPSKSLIPSNKPHQPCFFTTKPSRSTLRISAVHSADPSKSPPPIKTPAATSTKATTVAPTVNVGAGKWTVESWKSKKALQLPEYPDKEDFDSVLKTLDAFPPIVFAGEARSLEEKLAEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGVVLMFGGQMPVIKVGRLAGQFAKPRSDPFEEKDGVKLPSYRGDNVNGDAFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMAAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHFLWVGERTRQLDGAHVEFLRGVANPLGIKVSDKMNPNELVKLIEIFNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRRRRIGSQSTVAPALL >Potri.004G029500.2.v4.1 pep chromosome:Pop_tri_v4:4:2297637:2299478:1 gene:Potri.004G029500.v4.1 transcript:Potri.004G029500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029500.v4.1 MMMIIRVSTITTRFIVSYKVSEFNETEFEEYDPTAFGGGYDPAATYGKPLPPSDKICYPSSTPDPNALSLMVSPMDRLQHLTEKLKSMNLLRNLEVKGNRLGFLLLKQRRCPLEHGNGKGNSQEKSLVLHKGEESEENEGDHHDSLPGYDAGYCNGSSGELGYEYGQEVHKFHQDIYGLEAMDLCESYLVTGLVFLAC >Potri.001G040884.1.v4.1 pep chromosome:Pop_tri_v4:1:2983547:2983870:-1 gene:Potri.001G040884.v4.1 transcript:Potri.001G040884.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040884.v4.1 MLMEDNRLSDILDARVKEGCQNEEVISVANLAKRCLNLNGKNRPTMREVTSELERIIGLSQEELNIQENCKISENTMDDASNDWDAVSTSITGDFDTGRTPSSDGEP >Potri.007G122100.1.v4.1 pep chromosome:Pop_tri_v4:7:13946689:13948390:-1 gene:Potri.007G122100.v4.1 transcript:Potri.007G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122100.v4.1 MRGFRYFGMMFFCLLVFMGSTEAQLQMGFYSSSCPNAERIAQDYVNRHIHNAPSLAAAIIRMHFHDCFVRGCDASVLLNTTSSNNQTEKVATPNLTLRGFDFIDKVKSLLEAACPAVVSCADIVALVARDAVVATGGPFWRVPTGRRDGTISRSSEALNNIPPPTSNFTNLQRLFANQGLDLKDLVLLSGAHTIGISHCSSFSNRLYNFTGVGDQDPALDSEYAANLKARKCRSLNDNTTIVEMDPGSFRTFDLSYYSLLLKRRGLFQSDSALTTNSATLSFVNQLLQGPLQNFFAEFANSMEKMGRINVKTGTTGEIRKHCAVVNS >Potri.010G092300.1.v4.1 pep chromosome:Pop_tri_v4:10:11684312:11687946:1 gene:Potri.010G092300.v4.1 transcript:Potri.010G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092300.v4.1 MANFSSLTSSFSDTPMVSAAVASSSSSSSSLGAGVDDAFEDDSCSICLDPFTAQDPATVTCCNHEYHLQCILEWSQRSKECPICWQLLVLKDHASQELLAAVETERLLRSRNSTPASMIVPHLDDDYDIEQDSYSDDSDFDEHIMQHLAAAASSRAHHVHERERQRSNGLGPSQVIAFTSPEHVATVQQTCTSPEEGQTLIHGSSVINSPTPDTLSVNVQNLSSVTPPDVNQVSTTAVNSPFKPRILFRQPPTDTPQEQGSSEVLSLSDSIKSKWFAASARYKDSLSKSTRGIKEKLVARNNSVKELSKEVQREMSAGIAGVARMIERLDLTTKRTGPSMSDSGFTGATSNFSWKGKGVEQNIIAQALAKTSEEIDRDTSLGASSHASGTVQARVEISHVQRGH >Potri.004G002600.1.v4.1 pep chromosome:Pop_tri_v4:4:167285:171542:1 gene:Potri.004G002600.v4.1 transcript:Potri.004G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002600.v4.1 MILSSSSSSATVFTISSSSSTTKTRRYIAKTPQSPFLFKRNFNPTSLPFPPSTRSAKFCRVQATVFKENEDEKVVVEESFRPSTFTHGPENSPQPSSPTELDKWVVKLEQSVNVFLTDSVVTILDALYHGRDYARFYVLETIARVPYFAFISVLHLYESFGWWRRSDYIKVHFAESWNEMHHLLIMEELGGNSLWFDRFLAQHMAFFYYIMTVLMYALSPRMAYHFSECVENHAFETYDKFIKAQGEDLRKMPAPEVAVKYYTEGDLYLFDEFQTSRAPHSRRPKIENLYDVFLNIRDDEAEHCKTMGACQTHGNLRSPHSYPEDAFEDDTGSELRQADCGGVADCLEKSVTSPPSKQKQNI >Potri.014G037800.1.v4.1 pep chromosome:Pop_tri_v4:14:2408771:2414083:1 gene:Potri.014G037800.v4.1 transcript:Potri.014G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037800.v4.1 MDFPFQFSATAVLILFAFITPSIYYLFRIPGKENKKRAPPEAAGAWPLIGHLHLLGGSQPPHITLGNLADKYGPIFTVKLEVHRTLIVSNWEMAKECLRTNDKAFATRPKTLAMDILGYNYSMLGFSPYGTYWRLIRKIVTLEVLSNHRLEMFTHVREDEVRDAIGALYQQWIGNKSNSQKLVLLVEMKRWFSDITLNVILKIIVSKRYVDYVSRGEEKPSHEWGDSIRTFLELAGMFVVSDALPFLRWLDLGGVEKAMKRTSKNIDRAVEKWLEEHKQKKASGTAKGEEDFMDLMLSVLDDGKELSNRSADTINKATCLTLVLAAADTTSVTLTWTLSLLLNNREVLKKAQDELDIHVGRERQVKESDMKNLVYLQAIIKETFRLYPAAPLSVPHESMEECTVGGYQIPAGTRLFTNLSKIHRDPQVWSDPDEFQPERFLTTHKDCDFRGQHFELIPFGSGRRMCPGVSFALQVVNLALATLLHGFDIETLDDAPIDMTETGGITNIKATPLEALLTPRLSPGLYDLQ >Potri.016G099700.1.v4.1 pep chromosome:Pop_tri_v4:16:10073299:10074746:-1 gene:Potri.016G099700.v4.1 transcript:Potri.016G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G099700.v4.1 MFAAAAIAANSAGFNVCGNQLTRRSISMRRVTARHRCLAVNNNKKLESESHQNEQQQDEEEDHVENSLGVEAALSMLRFYKREISPLLPNSCRYVPTCSEYSMEAYKKYGVVKGTVLTAWRLCRCNPLGGSGFDPPRWFDEDPPFQEE >Potri.012G119700.1.v4.1 pep chromosome:Pop_tri_v4:12:13774699:13777433:1 gene:Potri.012G119700.v4.1 transcript:Potri.012G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119700.v4.1 MKCLVTVLFFLWMASYCSCRLISEATGRRFNVLDYGADGNGKIDATPAFQKAWGDFCQASEEMPTLEVPAGKTFLLKSVSFSGPCMSKNPHVLIEGTIVAPNSFDSWDDDDYEKWIGFTAVVGLIVDGGGRFDGRGEAWWKACNDNDSACSKRRQALHFNKCNGLRLSNLHHVNSQKGHICINACDDVEVSNLQILAPDESPNTDGIDISESNHVNIHDSFIGTGDDCIAINGFSTSINVTGVKCGPGHGISIGSLGKDGAYETVEDVHVKSCAFKGTQNGVRIKTWETGSGYVRKITFEDITFVNSENPIIIDQQYNPNGNRGGSGIKISDVTYRNVRGSSADEVAIALNCAGKAACTNIVMDNVKITSSNPGKQIRASCNNAKGTAISASPTVPCLSS >Potri.001G219200.1.v4.1 pep chromosome:Pop_tri_v4:1:22901674:22906368:1 gene:Potri.001G219200.v4.1 transcript:Potri.001G219200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219200.v4.1 MAIIYAVVARGTVVLSEFSAVTGNTGAVARRILEKLPSEADSRLCFSQDRYIFHILRSDGLTFLCMANDTFGRRIPFTYLEDIHMRFMKNYGRVAHYAPAYAMNDEFSRVLHQQMEFFSSNPSADTLSRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDGAFHFKKQSKGLRRALWMKNAKLLALLTCVIVLLLYIIIAACCGGITLPSCRS >Potri.009G108900.1.v4.1 pep chromosome:Pop_tri_v4:9:9404132:9405623:-1 gene:Potri.009G108900.v4.1 transcript:Potri.009G108900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108900.v4.1 MGTFVGHIVPGLALTLLGLWHTVNTIRAFCNKGCTNFTVKFWYPFYGPVSRLKHLELIFILSFSVLAIFMQLLDFPSLRFSFKLDSFEHASIFLHLAIFAGFTLSAEISQSSDILSGVSGILAAFVFGQELFLLHFHSTDHVGLEGHYHWLLQLIVFVSLLAALAATNFPNSFPAALVLSISVVFQGCWFMNMGFMLWFPDFIPEGCVMQFAEASIDDIHGAVTCGSLAAELRATALANLQFSWILAGILLLTGCMCLKLARKCTPISQSTEYEQLHSRGVPIAIDGFKQTDP >Potri.005G106151.1.v4.1 pep chromosome:Pop_tri_v4:5:7651222:7651470:1 gene:Potri.005G106151.v4.1 transcript:Potri.005G106151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106151.v4.1 MDLNALAQLSALVVAVLNAHAGPLALLNPTPDILTSPSHLASHLPRKQKTSLSSSLVILISCYLDGTLPFLLTRQRRDCLPC >Potri.012G044001.1.v4.1 pep chromosome:Pop_tri_v4:12:3952641:3952895:-1 gene:Potri.012G044001.v4.1 transcript:Potri.012G044001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044001.v4.1 MEHRRGNSNSNKKKEDGVVEEQVGFPVHNQVKKIKQESCYKILDWLPAGQPEMRPVVRVFTARSHQVSRSPLGLAGRPISVGDS >Potri.010G091500.1.v4.1 pep chromosome:Pop_tri_v4:10:11629723:11636306:-1 gene:Potri.010G091500.v4.1 transcript:Potri.010G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091500.v4.1 MSMAMMLQGSTAGVFLPLLMLLLVILLILIACKPWRFFSSLSSPSRTLKVGELERPLVLDDANARDQGNELTRSNDLEGAYSQNEGLSRSPWTHGLVYKQRLPSASPQLNQGDSIVLDVVSDQIEELSTGQTFRCLSLTEPLAEVQKHARLEDQNPNLKYGLENDLLQEFVPKVITDQRSCLSLEVISGPSSGLRCSVQSISGPLTLGRVSSDLLLKDSEVSGKHAMINWNADKNKWELVDMGSLNGTLLNSQLISHPDSGSRLWGDPVELSNGDIITLGTTSNVHVHVTSKFESQTPFSVGMASDPMALRRGVKKLAMEDVCYYQWPLPGIPQFGVFGICDGHSGVAAAKSASKMLPEKVASILSDSLVRERVLLQCDASDVLRVAFSQTEADMNNYYEGCAATLLLVWADSDENFFAQCANVGDAACIMNVDGKQIKMTEDHRVSSYSERLRLNETGEPLRDGETRLYGLNLARMLGDKFLKQQEPRFSSEPYISETVHVKQASSAFALLASDGFWDVISLKKAVQLAMQAKQKYSADGENVAEKVASILLTEAKTLRTEDNTSIVFLDFDRKFRISCKVDS >Potri.007G080200.2.v4.1 pep chromosome:Pop_tri_v4:7:10457059:10458253:-1 gene:Potri.007G080200.v4.1 transcript:Potri.007G080200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080200.v4.1 MRGKKTPLPRSGVDNMAKTTMSKQSKNSTRNISLKVPQPATKTKIIEPDVSCNTTGSSYMEVGNMAKTTGGRKSKNSTKNIPGKVLQLETKVKREPEISSYGTWSPFMDGIVGMPKSVAEIVNTDEMINAVLYGLRNSPPLPIFKRVCPADK >Potri.005G142100.5.v4.1 pep chromosome:Pop_tri_v4:5:11394712:11396679:-1 gene:Potri.005G142100.v4.1 transcript:Potri.005G142100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142100.v4.1 MGMAISRLVKLLFARKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNVSFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRERVTEARDELHRMLSEDELRDATLLIFANKQDLPNAMSVSEITDKLGLHSLRQRRWYIQAACATSGQGLYEGLDWLSSNISSKA >Potri.010G070301.1.v4.1 pep chromosome:Pop_tri_v4:10:9836309:9836926:-1 gene:Potri.010G070301.v4.1 transcript:Potri.010G070301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070301.v4.1 MAGFGWSLRHGHGSKRPLPPSQIACRICDHVFSSTQALIDHIESHTVEEQTASRRQNGLNLFSSSHTEPFASPFSFSLSTPTRRPQTGPLGRNRYGFPSLTERNPVFSDRRTPQNLVSGAKPFTPRAQLSWVPRNNKYTSVGSQHVPLTFPAQPKVIDEPRDIDFTKPFLQQLERPFSSKARFQESNGHHRSKSHVETLDLTLKL >Potri.019G067800.1.v4.1 pep chromosome:Pop_tri_v4:19:10810279:10812483:-1 gene:Potri.019G067800.v4.1 transcript:Potri.019G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067800.v4.1 MEELKPTPASLSPLTPLAFLERTATVYGDCPSVIYNNSTYTWSQTHRRCLQVASSLSSYGIKPGHVVSVVAPNIPAMYELQFAVPMSGAILNNINTRLDARTMSILLRHSESKLVFVDCLSRDVILDAMSLLPPNTKRPPLVLIADDAEAAESSITDDFCCAYESMVEKGDPGFKWVQPHSEWDPMVLNYTSGTTSSPKGVVHCHRSVFTITVGSLIDWGVPKQPVYLWTLPIFHANGWSYPWGIAAVGGTNICLRKFDGPTIYSLIKRHGVTHMCGAPVVLTMLTNSTNKEPLRNPIQILTAGAPPPSAVLFRTESLGFVVSHGYGLTETAGLVVSCAWKPKWNTFPPSERARLKARQGVKIVGFTEIDVLEPESGKSVKRDGVALGEVVLKGGCIMLGYLKDPTGTSKCMKDGWFYTGDVGVMHQDGYLEIKDRSKDVIISGGENISSVEIESVLYTHPAVNEAAVVARPDEFWGETPCAFVGLKDGLTQKPGEKDIIDFCREKMPHYMVPKTVVFKDELPKTSTGKIQKFVLRGIAKGMGSSKGSRM >Potri.010G248100.2.v4.1 pep chromosome:Pop_tri_v4:10:22226950:22229990:1 gene:Potri.010G248100.v4.1 transcript:Potri.010G248100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248100.v4.1 MGTQTMGSQGDGSSHHMQSQFQPLVRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTVEAAQTTALEVEGTPFANQTALQRQASLSLTSALSKKTVDEVWKDIQQSKHDEEMKSKERQPTFGEMTLEDFLVKAGVVAEASVDKKDGGSVVLVDTNAAQQFLQQSQWVQYPPQPQYQHPQQSMMGVYMPGQPMPQPLHMGAGSMMDVSYPENQVPVPPPLTGTLSDTQTPARKRGVPNMFEKTVERRQKRMIKNRESAARSRARKQAYTSELENKVSRLEEENGRLRKRRELENMLPCIPLPEPKYQLRRTTSAPF >Potri.010G248100.1.v4.1 pep chromosome:Pop_tri_v4:10:22226740:22229990:1 gene:Potri.010G248100.v4.1 transcript:Potri.010G248100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248100.v4.1 MGTQTMGSQGDGSSHHMQSQFQPLVRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTVEAAQTTALEVEGTPFANQTALQRQASLSLTSALSKKTVDEVWKDIQQSKHDEEMKSKERQPTFGEMTLEDFLVKAGVVAEASVDKKDGGSVVLVDTNAAQQFLQQSQWVQYPPQPQYQHPQQSMMGVYMPGQPMPQPLHMGAGSMMDVSYPENQVPVPPPLTGTLSDTQTPARKRGVPNMFEKTVERRQKRMIKNRESAARSRARKQAYTSELENKVSRLEEENGRLRKRRELENMLPCIPLPEPKYQLRRTTSAPF >Potri.010G214600.1.v4.1 pep chromosome:Pop_tri_v4:10:20221695:20225473:-1 gene:Potri.010G214600.v4.1 transcript:Potri.010G214600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214600.v4.1 MPFCLHNYRFFVKLNSNRTLPCPIRHARFTSLTVQSRATISSEPAMASNSVRVAAAQMTSINDLAANFATCSRLVKEAVAEGAKLVCFPESFSFIADKDGESVNIAEPLDGPIMQQYCSLARESGIWLSLGGFQERGSDDEHLRNTHVIIDDCGSIRSSYSKIHLFDVDVPGGRVYKESSFTEPGKDIVSVDSPVGRLGLSVCYDLRFPELYQQLRFQHEAQILLVPSAFTKITGQAHWEILLRARAIETQCYVIAAAQAGEHNDKRESYGDTLIIDPWGTVVGRLPDRISTGIAVADIDFSLIDSVRAKIPIAKQRKPLDFWKATSL >Potri.001G261600.1.v4.1 pep chromosome:Pop_tri_v4:1:27781575:27790973:-1 gene:Potri.001G261600.v4.1 transcript:Potri.001G261600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261600.v4.1 MTVVEAITSAILQPLFEKLASASFLKFASKKEKEIDGELKKWELRLLEIRAVLTDAEEKQITNQAVKLWLNNLRDLAYDVQDVLEEFENEAWSQTYSYKRGKSKLGKNLVPTCFSAGIGKMGWSKLEEITSRLQEIVAEKDLLDLSEWSLSRFNERLPTTSLMEEKPRVYGRGKDKEVLVELLMRGGEAANGSPFSVISIIGFGGVGKTTLAQLVYNDESVEFDYKAWVCVSDDFDVLRITKTILSFDSSAAGCDLNLLQVQLKEKLSGKKFLIVLDDVWSENYEDWTALCSPFASGARGSKVIITTRNEGVSLLTGSIYAYALKELSDDDCLLLFAKHALDASNFDDYPDLKEIGEEIVKRCRGLPLAAKTLGGLLRGKPNSKEWKAVLNSKMWDLPEENSGILPALRLSYHHLPSHLKQCFAYCAIFPKDYEFDKNELVSLWMAEGFLQQPKEKKQMKDIGKEYFHDLLSRSFFQQSSANNVRYVMHDLISELAQFVSGEVCFHLGDKLEDSPSHAKVRHSSFTRHRYDISQRFEVFYEMKSLRTFLPLPIFSPPYNHLTSKVLHDLVPNLKRLAVLSLAGYCLVELPSSICALKHLRYLNLSYTEIEVLPESLCEVFRLQTLGLRGCKKLIKLPIGIDNLIDLQYLDISGTDSLQEMPPQIGNLTNLHTLPKFIMGKGLGIRELMKLSHLQGQLNITGLHNVVDVQDTELAILKEKRGLSELSLEWIHNVNGFQSEARELQLLNLLEPHQTLQKLSIMAYGGTTFPSWLGDHSFTNMVCLQLRGCHKITSLPSLGQLPLLRDLSIKGMDKVTTVGAEFLGVGSSVKAFPSLEGLIIEDMLNWKQWSWSNGFNQEEVGDFPYLRELTIINCPMLAGKLPSHLPSVKKLSICNCPQLVALPEILPCLCELIVEGCNEAILNHKSLPSLTTLKVGSITGFFCLRSGFLQAMVALQDLEIENCNDLMYLWLDGTDLHELASMKHLEIKKFEQLVSLVELEKFGDLEQLPSGLQFLGSLRNLKVDHCPKLVSFPGGLPYTLQRLEISRCDSLKSLPDGMVITMNGRKSSQCLLEELLISWCPSLKSIPRGMLPITLKSLAISWCKNLKNLHGGIVYDGGDRTELSRLEHLTIEGLPLLPFPAFEFPGSLKTLEIGYCTTQSLESLCDLSHLTELEISGCSMLESFPEMGLITPNLISLSIWKCENLRSLPDHMDCLVSLQELSVYHCHSLVSFSKGGLPPNLIEFEIHYCENVTESMLDWGLYTLIFLKRLVIECTSPCTNMVSFPDDEGQLLPPSLTSLYILSLKGLKSISKGLKRLMSLEILMISDCPKLRFLPKEGFPATLGSLHIEFCPLLKKQCSRKNGRYGSMIAFIPYVILDVRFL >Potri.001G210150.1.v4.1 pep chromosome:Pop_tri_v4:1:21497300:21497425:1 gene:Potri.001G210150.v4.1 transcript:Potri.001G210150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210150.v4.1 MPCDFSAFDICGMVYLFFQSAFQILKTCKFSIEKHLYEAHK >Potri.002G240300.2.v4.1 pep chromosome:Pop_tri_v4:2:23261332:23263339:1 gene:Potri.002G240300.v4.1 transcript:Potri.002G240300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240300.v4.1 MQQTFHKLFRVTHEQAREHTSIPATKNNSNAKGREIILLKDSGNHRRSERVRESDRFGFKYSWPFAFLCRKDVAKACFYSTLDLKRINSYNSTRQQHCIRSRKMKQEETAARGQVTSNKVDPAAHAGNKVLPVSDTTLSSSASTNEKCSTLEKKDKVKGDKAKAISRMKELLRWAATAKSEKAGKYIGRKVLQLRSRATLKSVPDDEELSIESPKISFRWDVERCSTTSSAYSGISKASSKNMDLNMLSLSSTPVHDRKGNWITTDSEFVVLEL >Potri.002G240300.3.v4.1 pep chromosome:Pop_tri_v4:2:23261473:23263121:1 gene:Potri.002G240300.v4.1 transcript:Potri.002G240300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240300.v4.1 MKQEETAARGQVTSNKVDPAAHAGNKVLPVSDTTLSSSASTNEKCSTLEKKDKVKGDKAKAISRMKELLRWAATAKSEKAGKYIGRKVLQLRSRATLKSVPDDEELSIESPKISFRWDVERCSTTSSAYSGISKASSKNMDLNMLSLSSTPVHDRKGNWITTDSEFVVLEL >Potri.002G240300.1.v4.1 pep chromosome:Pop_tri_v4:2:23261343:23263124:1 gene:Potri.002G240300.v4.1 transcript:Potri.002G240300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240300.v4.1 MQTFHKLFRVTHEQAREHTSIPATKNNSNAKGREIILLKDSGNHRRSERVRESDRFGFKYSWPFAFLCRKDVAKACFYSTLDLKRINSYNSTRQQHCIRSRKMKQEETAARGQVTSNKVDPAAHAGNKVLPVSDTTLSSSASTNEKCSTLEKKDKVKGDKAKAISRMKELLRWAATAKSEKAGKYIGRKVLQLRSRATLKSVPDDEELSIESPKISFRWDVERCSTTSSAYSGISKASSKNMDLNMLSLSSTPVHDRKGNWITTDSEFVVLEL >Potri.008G159800.1.v4.1 pep chromosome:Pop_tri_v4:8:10978912:10980274:1 gene:Potri.008G159800.v4.1 transcript:Potri.008G159800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159800.v4.1 MPFPMKIQPIDIDSQAPVRAEPAKPVLKSRLKRLFDRQLPSVLRISSVDKPPSSIEAQYGANSKDEQFEPSSVCLAKMVQSYMEESNDKPFRGRHRCNCFNGNGNDSSDDEFDVFGNGFGESMGTAPSGDACDFLKSLIPCASVAERNLLADTAMILEKNKNHKQKDDFLRKMVADGLTSLGYHSSICKSKWDKSPSFPAGEYEYVDVIIEEERLIIDIDFKSEFEIARSTGAYKAILQSLPYIFVGKSDRLGQIVSVVSEAAKQSLKKKGMHFPPWRKAEYMRAKWLSPYTRLNENVLNNNSNKTEEQNEKSLEISDDCCGEFELIFGEDTPTLDSESLISSPNIISDEDEKKVETVAWQPPAVKPKGVERGARMVTGLASLLKEKP >Potri.004G182550.1.v4.1 pep chromosome:Pop_tri_v4:4:19659449:19660436:1 gene:Potri.004G182550.v4.1 transcript:Potri.004G182550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182550.v4.1 MVVSPICPKTSFHARSNSLPSRPHPIISEFDEHISRVRDSQATSTSFSSSIGHKLSSLQDVYDSVDKFLQLPLTQQGLAGEHNQKCTDEILDGSLRLLDVCNSAKDALLQSKEYIRELQSVIRRRQGGHDSEIRKYIASRKVVKKKIKKALKNLKGIENKCTFSSEDPEIVTRIGMLREVESISLAVFESLLSFISEPKSQAKKSGWSLVSKLIHHQRIACEEEEKNVNEFAMADAALESLISCTTDKMMNVQKKLSSLELCIEDLENGIEGLYRRMIKTRASFLNIFI >Potri.006G194200.4.v4.1 pep chromosome:Pop_tri_v4:6:20167379:20170535:1 gene:Potri.006G194200.v4.1 transcript:Potri.006G194200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194200.v4.1 MEEEEDDQQNILDLVKELVNRLLSQNPQNPRPPISNPNPNSPDFQNSLRYAIRILSSRLTPSIAPDAAAIAESIKRGLATQGKSSQALTLAELYNKFASKTGPGSINNKWAVLYLLKIISEDTKIAQNAPNSTLLLPNLGLNELDLSNESRISRDFKRREKGYDNGVLLVSKDPENLLEIAFREFVNLVKEENEVSEEVLVRDVLYVCQGIDGQYVKFDENVDGYVLSDSIKVPRGTRVMVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSDYYKLLAVLEAQAMNPIPLVSKSTSSSNYLSLRRLSVWFAEPTVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMRSLLQHVCSPLFEMVRSWVLEGELEDIFAEFFVVGQPVKAESLWREGYRLHAGMLPSFISQPLAQRILRTGKSINFLRVCCDDRGWADTATEAAAAAGTTTRRGSLGYGETDALETLVVEAAKRIDKHLLDVIYTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGQELSEPANTISSFQLAGLLESAIRSSNAQYDDPDILDRLRVKMLPHGTGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSHSFTKLQDAVKLQLLSTLRQCQVLWNQMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHDKYLHSIVEKSLLGERSQSLYKSLFVLFDLILHFRSHADRLCEGIYELQARTRASSLSSQDKTKSRRHTRDNPSEPGSWFSDGRKALEQRAGEFLQNMGRELEEISKEYTVLLEGFLSQLPVQQHVDLKFLFFRLDFTEFYSRFRPGT >Potri.006G194200.3.v4.1 pep chromosome:Pop_tri_v4:6:20167379:20171317:1 gene:Potri.006G194200.v4.1 transcript:Potri.006G194200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194200.v4.1 MEEEEDDQQNILDLVKELVNRLLSQNPQNPRPPISNPNPNSPDFQNSLRYAIRILSSRLTPSIAPDAAAIAESIKRGLATQGKSSQALTLAELYNKFASKTGPGSINNKWAVLYLLKIISEDTKIAQNAPNSTLLLPNLGLNELDLSNESRISRDFKRREKGYDNGVLLVSKDPENLLEIAFREFVNLVKEENEVSEEVLVRDVLYVCQGIDGQYVKFDENVDGYVLSDSIKVPRGTRVMVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSDYYKLLAVLEAQAMNPIPLVSKSTSSSNYLSLRRLSVWFAEPTVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMRSLLQHVCSPLFEMVRSWVLEGELEDIFAEFFVVGQPVKAESLWREGYRLHAGMLPSFISQPLAQRILRTGKSINFLRVCCDDRGWADTATEAAAAAGTTTRRGSLGYGETDALETLVVEAAKRIDKHLLDVIYTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGQELSEPANTISSFQLAGLLESAIRSSNAQYDDPDILDRLRVKMLPHGTGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSHSFTKLQDAVKLQLLSTLRQCQVLWNQMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHDKYLHSIVEKSLLGERSQSLYKSLFVLFDLILHFRSHADRLCEGIYELQARTRASSLSSQDKTKSRRHTRDNPSEPGSWFSDGRKALEQRAGEFLQNMGRELEEISKEYTVLLEGFLSQLPVQQHVDLKFLFFRLDFTEFYSRFRPGT >Potri.006G194200.2.v4.1 pep chromosome:Pop_tri_v4:6:20167395:20171537:1 gene:Potri.006G194200.v4.1 transcript:Potri.006G194200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194200.v4.1 MEEEEDDQQNILDLVKELVNRLLSQNPQNPRPPISNPNPNSPDFQNSLRYAIRILSSRLTPSIAPDAAAIAESIKRGLATQGKSSQALTLAELYNKFASKTGPGSINNKWAVLYLLKIISEDTKIAQNAPNSTLLLPNLGLNELDLSNESRISRDFKRREKGYDNGVLLVSKDPENLLEIAFREFVNLVKEENEVSEEVLVRDVLYVCQGIDGQYVKFDENVDGYVLSDSIKVPRGTRVMVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQDELSDYYKLLAVLEAQAMNPIPLVSKSTSSSNYLSLRRLSVWFAEPTVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVHEFMRSLLQHVCSPLFEMVRSWVLEGELEDIFAEFFVVGQPVKAESLWREGYRLHAGMLPSFISQPLAQRILRTGKSINFLRVCCDDRGWADTATEAAAAAGTTTRRGSLGYGETDALETLVVEAAKRIDKHLLDVIYTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGQELSEPANTISSFQLAGLLESAIRSSNAQYDDPDILDRLRVKMLPHGTGDRGWDVFSLEYDARVPLDTVFTESVMARYLRIFNFLWKLRRVEHALIGAWKTMKPNCITSHSFTKLQDAVKLQLLSTLRQCQVLWNQMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVAKDLDDLLAAHDKYLHSIVEKSLLGERSQSLYKSLFVLFDLILHFRSHADRLCEGIYELQARTRASSLSSQDKTKSRRHTRDNPSEPGSWFSDGRKALEQRAGEFLQNMGRELEEISKEYTVLLEGFLSQLPVQQHVDLKFLFFRLDFTEFYSRFRPGT >Potri.T084450.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:97767:98976:1 gene:Potri.T084450.v4.1 transcript:Potri.T084450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084450.v4.1 MVLLQLMAVAQTNGSMPVGAFITATDDAPSWLSSSGEFAFGFQPLEYKDHFLLSIWYAKIPEKTIVWYANGDNPAPRESKVELRGDSGLVLTDPQGNLIWSSGSLQVERSIKETLGWRDLM >Potri.010G011700.2.v4.1 pep chromosome:Pop_tri_v4:10:2172036:2173066:1 gene:Potri.010G011700.v4.1 transcript:Potri.010G011700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011700.v4.1 MVGLSIVLETPKSGSALQVINKVTMMINNKPTSPPGFSSSRNHSPRFSFPVPTFLDQCFFCGQKLLPGKDIYMYKGDRGFCSVECRCRQIFLDEEETLRKENCSFAAMKPTGASASASAKSTSSTAASRHRKGTRNREGGFAY >Potri.001G418000.1.v4.1 pep chromosome:Pop_tri_v4:1:44596243:44597146:-1 gene:Potri.001G418000.v4.1 transcript:Potri.001G418000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418000.v4.1 MPDTGGGGCCRCCCSFIFTLGLTSLFMWLSLRTSSPDCLLRQFYIPLNQTSNLTKLEFELRFKNTNKDKGVYYDPINVTFFDSPNRSHFIGNFTIPKFYQGHKKKATKNFTIPNIDRKVVVQAIPAVNGSTTTSFRVDLATSVRYKILMFKTKRDKIRVGLDFDVNGTVVKVNRKDLKLKSNANKIRSYYGQMGVLLVNFLVLGLLGFW >Potri.008G185500.1.v4.1 pep chromosome:Pop_tri_v4:8:12887297:12889881:1 gene:Potri.008G185500.v4.1 transcript:Potri.008G185500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185500.v4.1 MEAHPPRHVTLGLFIWMASLPFSPIILATASSCDFPAIFNFGDSNSDTGGLSAAFGQAPSPNGETYFHHPAGRYSDGRLILDFIAESLGVPHLSAYLDSVGSNFSHGANFATAGSTIRPQNTTQSQSGYSPISLNVQSVQYSDFKQRSQIVRSQGGIFETLMPKADYFSKALYTIDIGQNDLTAGYKLNLTTEQVKANVPDMLGQFSNAVKQIYAVGGRSFWIHNTGPVGCLPYSLDRFLITAAQIDKYGCATPFNEVSQFFNHGLKEAVVQLRKDLPQAAITYVDIYSLKYTLTTQAKKFGFKQPFVACCGHGGKYNYNSQRRCGAKITVNGTEVLIANSCKDPSVRIIWDGVHFTEAANKWIFQQIVNGSFSDPPVPLKMACHRTENP >Potri.019G095866.2.v4.1 pep chromosome:Pop_tri_v4:19:13397902:13399774:-1 gene:Potri.019G095866.v4.1 transcript:Potri.019G095866.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095866.v4.1 MILDGLRFLDFDHVVDKMHLPPTGLEYLPNKLRYLQWNGFPSKSLPPSFCAEHLVELDLRKSKLVKLWTGVKDVGNLRRIDLSDSPYLTELPDLSMAKNLVSLILVDCPSLTEVPSSLQYLDKLEKIDLYRCYNLRSFPMLYSKVLRYLEINRCLDVTTCPTISQNMELLILEQTSIKEVPQSVASKLELLDLSGCSKMTKFPENLEDIEDLDLSGTAIKEVPSSIQFLTSLCSLDMNGCSKLESFSEITVPMKSLQHLNLSKSGIKEIPLISFKHMISLTFLYLDGTPIKELPLSIKDMVCLQHLSLTGTPIKALPELPPSLRKITTHDCASLETVTSIINISSLWHGLDFTNCFKLDQKPLVAAMHLKIQVSLFISSLFLACFITILKCDFCVTVRRGDPTWQNSNGSTGE >Potri.018G083500.1.v4.1 pep chromosome:Pop_tri_v4:18:10102611:10104155:-1 gene:Potri.018G083500.v4.1 transcript:Potri.018G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083500.v4.1 MAPIAVGDVLPDGKLAYFDEQDQLQEVSVHSLVAGKKVILFGVPGAFTPTCSLKHVPGFIEKAGELKSKGVTEILCISVNDPFVMKAWAKSYPENKHVKFLADGSATYTHALGLELDLQEKGLGTRSRRFALLVDDLKVKAANIEGGGEFTVSSADDILKDL >Potri.001G386950.1.v4.1 pep chromosome:Pop_tri_v4:1:40612171:40613301:-1 gene:Potri.001G386950.v4.1 transcript:Potri.001G386950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386950.v4.1 MCSSKRERIVSKGEYGPKIHNVLRISKRIEVSVREENIDPFGFNAVEDNKDSKKDDASALEYSEATDKLL >Potri.016G019500.1.v4.1 pep chromosome:Pop_tri_v4:16:1042903:1044535:-1 gene:Potri.016G019500.v4.1 transcript:Potri.016G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019500.v4.1 MEERKWEDLECDCLVNVLGRVGMESLLLDVPFVCKSWYKASLDPSCWKHLVFPKDLDSERDFTLLDRFKEKYKIENCSVDAFTKFVVGRSHGNCTGLFLPNGCTEEVAKYVADECPALTALLLPSDILRCESSIVPTLIGKWEHLENLWLGSSENLVNIITQISLACNKFSGLCVSSATIQEEEASAIVTNLPNIKYLILRGAWIDFEDLVIILQGCKNLVHLDVRDCLGFDFDDEKVLELASNIKTFKCEGSMLVDYDDGVIDHDDLVYEGYISS >Potri.010G150900.1.v4.1 pep chromosome:Pop_tri_v4:10:16105199:16106209:1 gene:Potri.010G150900.v4.1 transcript:Potri.010G150900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150900.v4.1 MEQVKGVVKHIVLAKFKEGVTEEEIEKHIKDYANLLNHIEHMKSFHWGTDVSIENLHQGFTHIFEITFETLDGRSAYVAHPAHVDFGTAFLTILEKIVVVDFVPTLVKL >Potri.001G171000.1.v4.1 pep chromosome:Pop_tri_v4:1:14705186:14711763:1 gene:Potri.001G171000.v4.1 transcript:Potri.001G171000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171000.v4.1 MASIRRRKPVEPRNTSVQKEEEDEEEEVEEDYSKNKHRRKSDKKSKPKWSCVDNCCWIVGCICVTWWTLLFLYNVMPASLPQYVTEAITGPLPDPPGVKLRKEGLKAKHPVVFVPGIVTAGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRPVCGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRIKSSIELMAEANGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPNWCAKHIKAVINIGGPFLGVPKAVAGLFSAEARDIAVARAIAPGVLNNGFQTMQHIMRMSRTWDSTMSMIPKGGDTIWGDLDWSPEEGYTPMKSKQRNTDTQKASQDGPESEISQTKRANYGRIISFGKDVAEALSSDIERIDFRDAVKGQSVANTSCRDVWTEYHDMGFGGIKAVAEYKVYTAESMIDLLRFVAPKMMERGSAHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPEMEIFSLYGVGVPTERAYVYKLSPSAECAIPFQIDTSADEQDEDSCLKGGVYSVDGDETVPVLSSGLMCAKVWRGKTRFNPSGSRTYIREYAHSPPANFLEGRGTQSGAHVDIMGNFALIEDVMRVAAGATGEELGGDQVYSDIFKWSEKVNLQL >Potri.001G171000.3.v4.1 pep chromosome:Pop_tri_v4:1:14705173:14710893:1 gene:Potri.001G171000.v4.1 transcript:Potri.001G171000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171000.v4.1 MASIRRRKPVEPRNTSVQKEEEDEEEEVEEDYSKNKHRRKSDKKSKPKWSCVDNCCWIVGCICVTWWTLLFLYNVMPASLPQYVTEAITGPLPDPPGVKLRKEGLKAKHPVVFVPGIVTAGLELWEGHQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPPGIRVRPVCGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRIKSSIELMAEANGGNKAVIIPHSMGVLYFLHFMKWVEAPAPMGGGGGPNWCAKHIKAVINIGGPFLGVPKAVAGLFSAEARDIAVARAIAPGVLNNGFQTMQHIMRMSRTWDSTMSMIPKGGDTIWGDLDWSPEEGYTPMKSKQRNTDTQKASQDGPESEISQTKRANYGRIISFGKDVAEALSSDIERIDFRDAVKGQSVANTSCRDVWTEYHDMGFGGIKAVAEYKVYTAESMIDLLRFVAPKMMERGSAHFSYGIADNLDDPKYQHYKYWSNPLETKLPNAPEMEIFSLYGVGVPTERAYVYKLSPSAECAIPFQIDTSADEQDEDSCLKGGVYSVDGDETVPVLSSGLMCAKVWRGKTRFNPSGSRTYIREYAHSPPANFLEGRGTQSGAHVDIMGNFALIEDVMRVAAGATGEELGGDQVYSDIFKWSEKVNLQL >Potri.019G017304.1.v4.1 pep chromosome:Pop_tri_v4:19:2814065:2814788:-1 gene:Potri.019G017304.v4.1 transcript:Potri.019G017304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017304.v4.1 MILSQTSCMVNASLQRRRTPPPPSPKNIVLFIRYYHHLSLFQNLLLLLQDHHHQKSMMPVILVFLHHQKYYHHHTPIVALVTLHHHNVVNNIKVASTFIAVYLALLPQK >Potri.011G024900.3.v4.1 pep chromosome:Pop_tri_v4:11:1842434:1844767:-1 gene:Potri.011G024900.v4.1 transcript:Potri.011G024900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024900.v4.1 MDKTCSIHTTFLNRQLHGGLCPATKHISLITTDKRRTGSGQTVLCSLVTPKTMNTRTLIERQVPREVSMPAKFGMFGGNFVPETLITSLKKLEAEFIYALQDTEFQEELATALRDYVGRETPLYFAQGLTNYYKNKDGEGAEIYLKREDLNHCGAHKMNNAVAQTMIAKRMGLKSVVAATGAGQHGVATAAACAKLSLSCTVFMGSDDMEKQSSNVLLMKLFGAQVKPVERSFKEASSEAIREWASNLETSYYLTGTAVGPHPCPSMVCEFQSVIGKETRRQAMEKWGGKPDVLIACIGSGSNAMGLFHEFIEDEDVRLIGVEAAGFGLDSGKHAATLSKGEVGVYHGAMSYLLEDEEGQIIRPHSIAVGLEYPGVSPQLSFLKDTERAEFYSATDKEAVDAYLRLSRLEGIIPSLEAAHALAYLDKVCPTLPNATKVIVNCSGRGDKDAGMVLDHRLEIN >Potri.011G130400.2.v4.1 pep chromosome:Pop_tri_v4:11:16420091:16422085:1 gene:Potri.011G130400.v4.1 transcript:Potri.011G130400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130400.v4.1 MNMKFLLEFVSCCGVSSGGALEVPESVGRQSEEETRELMTVRNDHRRKKRGRGSSTAGNNDSGVEWKPSLSAISENNVVVAGERGVERVLNRKGSVAAGGGGGGGRVREISSLSGYVDNYRRNGVSIVIPAFSPTPFMMI >Potri.016G131701.2.v4.1 pep chromosome:Pop_tri_v4:16:13572985:13574953:-1 gene:Potri.016G131701.v4.1 transcript:Potri.016G131701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131701.v4.1 MGTIGRSWKQVQVLCFKMVYLAQYPVELAVSTKLWTLGENDFKVSDINGTLIFQVKSKLLTLHDRRFLKDAAGNTLVNLRQKIRTMHRRWEAFRGESKEEKDLLFTAKKSKLFQFKTELDIFLANNKGEVPDFKVKGGYGESSCSILLGDSNAMLAQMHRLHSLTTMILDTDSFGVTVYPNVDYAFIVAVVVILDEINADRSGED >Potri.016G131701.3.v4.1 pep chromosome:Pop_tri_v4:16:13572985:13574953:-1 gene:Potri.016G131701.v4.1 transcript:Potri.016G131701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131701.v4.1 MGTIGRSWKQVQVLCFKMVYLAQYPVELAVSTKLWTLGENDFKVSDINGTLIFQVKSKLLTLHDRRFLKDAAGNTLVNLRQKIRTMHRRWEAFRGESKEEKDLLFTAKKSKLFQFKTELDIFLANNKGEVPDFKVKGGYGESSCSILLGDSNAMLAQIVLE >Potri.010G033133.1.v4.1 pep chromosome:Pop_tri_v4:10:5585028:5587437:-1 gene:Potri.010G033133.v4.1 transcript:Potri.010G033133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033133.v4.1 MGNFNAIRNQSDRLGGSSTWAGTMDRLETCIQGAKVDDLRYSGMHYTWSNQCPENLIMQKLDKVLVNEKWNLNFPLLEARFFPWGMSDHSPMVVKVTSNDHNIKKPFRFFDMWMDHDEFMPLVKKVWEPISGGCPMYQLCCKLRKLKQELKLFNMAHFSNISLLFVRWLACRHLLFYVSVLIVNLGLLCELLSDEIITDNGNMRSVIWGVD >Potri.011G169200.9.v4.1 pep chromosome:Pop_tri_v4:11:19227538:19233121:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEPPTPQEYEKPF >Potri.011G169200.3.v4.1 pep chromosome:Pop_tri_v4:11:19228012:19233383:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEASYLPGNHQPLRSMRSPFDPAFL >Potri.011G169200.11.v4.1 pep chromosome:Pop_tri_v4:11:19228011:19232712:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEPPTPQEYEKPF >Potri.011G169200.4.v4.1 pep chromosome:Pop_tri_v4:11:19227646:19233412:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEPPTPQEYEKPF >Potri.011G169200.5.v4.1 pep chromosome:Pop_tri_v4:11:19227606:19233488:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEPPTPQEYEKPF >Potri.011G169200.6.v4.1 pep chromosome:Pop_tri_v4:11:19227560:19233412:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEPPTPQEYEKPF >Potri.011G169200.8.v4.1 pep chromosome:Pop_tri_v4:11:19227970:19233172:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEPPTPQEYEKPF >Potri.011G169200.10.v4.1 pep chromosome:Pop_tri_v4:11:19227974:19233121:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEPPTPQEYEKPF >Potri.011G169200.7.v4.1 pep chromosome:Pop_tri_v4:11:19227893:19233406:-1 gene:Potri.011G169200.v4.1 transcript:Potri.011G169200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169200.v4.1 MHARHRSPGNGYRSGSMGVGMGASRISPDNSARGHGFYNSEYRSFNNRGFAHGQGHPKSFRQPPPQKGDILMEAGRLAAEYLVSKGLLPQSALSGKWQNGSFKRQAGDYQDFRQQEDLMQEGRTSAHSRLGSGASDAGLGRRRYPDDFNLRNHVKGRRRGEHYRGYSSEWGREYGRSGSLSDRNRMSPDTEENDTVSGHCEEQQVSNDVGDGMEKSGQSGVAPESEETADIESGLSKYNYPNETGSKASSSSVLKEETDGEPSKGSGDPANVNLGNKDMKDGNYDYEIEKQIVPEDLPIQQSDLSGKDESDLLTLSKFANVPTKMRSALSCRSSRVDQVPNNEEEDTSDNGLNKGSEDVVQDGVDNVSATDVNATHDSNCPNSEIIKVAVVQPAEDADEEGLEYGAVEGKCVRSHSFSDGAFMHDNEQESSLGLSGFGRSTSVKERGEKRAAESSGIGEAAKKPREWLSSLVNTADEHLHRTDLSENTGGSQEERASPDQQVTMAVAATQDSDISNCQFPRIAGEPGFKYAEEKQLFPSSFKIVDLNLMETSDINETHCSDPVLTYPSIMTTKREAPQVDIDLSISNSNVSDEYARHMSYGKQVEIIDLENDCTLEDKDFDNSQRKMETAFTGTEGFPSLPQNTGDITDVQVNYDGLMLAEFLNNLSNCTSEPDNITPLQSEMDLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEPPTPQEYEKPF >Potri.018G074050.1.v4.1 pep chromosome:Pop_tri_v4:18:9206010:9208393:-1 gene:Potri.018G074050.v4.1 transcript:Potri.018G074050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074050.v4.1 MLFPVTSCVYNCVGAEAAPSSSWVGSAMLEFVVYKGFCGCVLYSLCWIETSPLRHDTTLSINMCTEVKLSYGKVALDMEEGDSSATKKRRLSSTFQKDVSDSPMAEMSSMLRSHYYCILWLKRRTTNMYGVEDHITDWP >Potri.001G152500.1.v4.1 pep chromosome:Pop_tri_v4:1:12770610:12772203:-1 gene:Potri.001G152500.v4.1 transcript:Potri.001G152500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152500.v4.1 MAMTQVASEFARKVQIEAVQTVSPSSVTDPREICLVSVKDPVGSNIFRGCLNIVLYYNKAGEEDSGWLVAGWIKESLGRVLSDQPMLGGRLWRGEDGNGELEMVSNDSGARLVEAKITMTLREFLGLEEREKLEAELVIWKDIDEKNPQFSPLLYVQVTNFQCGGYSIGISSSLLLADLLIMDNFLMRWASIQKNLLSINGALKMPIFYLPNLKSTSLSPNSTIIPTPSERSGQTKIFKISGDTEIEGAKNELFKRAASLCIEKAERELGSEMPAGFSLFVKESSNVIRVQNCKKNELVKSHLNLSTQVNSSSLDDSGIKELAFQDGNEPVHVSCWIGSVADGLVVATPSPNGDTSSDINVIVTIPEGKEF >Potri.002G223300.1.v4.1 pep chromosome:Pop_tri_v4:2:20807566:20809242:1 gene:Potri.002G223300.v4.1 transcript:Potri.002G223300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223300.v4.1 MEFSMIIMFSSTLLFLCTPVAYAQTAASPPAPTPTPSSSPAPAPTPPYVSLTDLLSVAGPFHTFLSYLESTKVVDTFQNQANNTDEGITIFVPKDDAFKNLKKPSLSNLTQDQVKQLILFHALPHYYALADFKNLSQVSPVSTFAGAGGYALNFTDVSGTVHLDSGWSKTKVSSSVHSTDPVAVYQVDKVLLPEAIFGADIPPAPAPAPAPETSLAADSPSSDSTGDGSAPGTSPPNSSYRIFGVDIWSQLVLALIGVLVLFL >Potri.001G365700.2.v4.1 pep chromosome:Pop_tri_v4:1:38224746:38227004:1 gene:Potri.001G365700.v4.1 transcript:Potri.001G365700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365700.v4.1 MSYLNLSSLGTVGLYHHAPLFIRLTCSHNLHRNQQDSVLNNQLVLVSACTYVEEIRELKQQELFMGKSVNVGGGFLVVLLCFLGCLQVGLAQSSANAKRIAISWDDMKMNKRGARVSSKYDFNGSRVIVVDKNGGADSLTVQGAIDLVPQYNTQRVKIYILPGIYREKVLVPRTKPYISMIGDQNRVCDTIISWNNKASDADSNGTALGTYRSASVTIESDYFCATGITFENTVVAEPGGQGMQAVAMRVSSKKAFFYKVRVLGAQDTLLDETGTHYFYKCHIQGSIDFIFGRAKSLFQDCVLQSTAKKSGAIAAHHRDSPNEDTGFSFVGCVINGTGKILLGRAWGNYSRTIYSYCYLNDIIDPSGWSDWNYPYRQKTVVFGEYECSGGGTNAGGRVPWLKPLKYEDARPYLDIGFIGGEQWLKL >Potri.011G000200.1.v4.1 pep chromosome:Pop_tri_v4:11:8693:16789:1 gene:Potri.011G000200.v4.1 transcript:Potri.011G000200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G000200.v4.1 MFSWKRPSELLRLTLNYGSEDLGDDLNRSSTSSSSTTAFTPSSSPLAYISTEAAAEEEDQVGFKIELDWNAGDDEDQVALRLQSQLMVALPAPQDCVMVDLKAAEEDEEGRVEVGMKVEKKREELRGLILGKSGSGQQSDGVGVLTRLFRSDDSRHWKSVTLLSLGGCGLATLPAEIIQLPNLEKLYLENNRLSVLPPELGELKSLKILAVDYNMLVTVPLELGQCVELVELSLEHNKLVQPLLDFRSMAELQILRLFGNPLEFLPEILPLHKLRHLSLANMKIEADESLRSVNVQIEMENSSYFGASRHKLSAFFSLIFRFSSCHHPLLASALAKIMQDQGNRVVVGKDLNAVKQLISMMSSDNCHVVKQACSALSALAGDVSVAMQLMKCDILQPIETVLKSVAQEEVISVLQVVATLSFSSDTVSQKMLTRDMLRSLKLLCAQKNPEVQRLSLLAVGNLAFCLENRQLMVTSGSLQDLLLHLTASSEPRVNKAAARAMAILGENENLRRSIRGRPVAKQGLRILSMDGGGMKGLATVQILKAIEKGTGKRIHEIFDLICGTSTGGMLAVALGMKLMTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGYKHSADHFERLLKEMCADEDGDLLIDSAVKNVPKVFVVSTLVSVMPAQPFVFRNYQYPVGTLEVPFAISESSGVHVLGSPTTGGQVGYKRSAFIGSCKHHVWQAIRASSAAPYYLDDFSDDVNRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGAVPTKVRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPEIQYFRFNPVDERCGMELDETDPAIWLKLEAAVDEYVQNNSEAFKNVCERLIFPYQHDDKLSEIMKSQQFSKAKLSNADETSPSLGWRRNVLLVEALHSPDSGRAVQHSRALETFCSRNAIILSLMHATSGIARTVPPGTFSSPFSSPLITGSFPSSPLLFSPDLGSQRIGRIDTVPPLSLDGVQSGKTALSPPMSPSKHRQLSLPVRSLHEKLQNSPQVGIIHLALQNDSSGSILSWQNDVFVVAEPGDLADKFLQSVKFSLLSMNRSRHRRITSLVGNISTVSDLVHCKPCFLVGNVIHRYIGRQTQVMEDDQEIGAYMFRRTVPSMHLTPEDVRWMVGAWRDRIIICTGAYGPMPTLIKAFLDSGAKAVICPSVEPLEIPVTLVHGSGEYNVLENGRFEIGEEEAEEEEAEPTSPVSDWEDSDPEKNGDHSIGFWDDDEEELSQFVCKLYDLLFRVGARVDAALQNALALHQRLRYSCHLPSIQ >Potri.005G076700.1.v4.1 pep chromosome:Pop_tri_v4:5:5186669:5190975:1 gene:Potri.005G076700.v4.1 transcript:Potri.005G076700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076700.v4.1 MPISRYLFFSTKQRPGQCLNLLRRLGPSKRVQSSCFSKSTNQNHWKRPVPQVKVELKAPRFLVIPAAVLGFVGLAAFVHYNDERRAVPKGQGSDCVNVKGPIIGGPFTLVNTENKVVTEKDFLGNWVLLYFGYTSSPDIGPEQLKLITKALNTLESKENLKVLPMFVTLDPQRDNPPHLRAYLEEFESRIVGLTGPVGAIRQMAQEYRVYFRKVEEEGDDYLVETSHNMYLINPNMEVVKCFGVEYNAEELSEAIGKELKRTSS >Potri.017G038800.2.v4.1 pep chromosome:Pop_tri_v4:17:2525101:2529233:1 gene:Potri.017G038800.v4.1 transcript:Potri.017G038800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G038800.v4.1 MATETSKDELLQLVKRFSAYLTVKMSNLFSFNTPDSRSVGAVAGLAVAIVFTWRWLRSNSGPQRRQPKRQAPTTSSSVVTTQPNAVSIPSGGVCSSSEDLRVQNVVDEFFQTVKPSLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQSQATVRSSVLEVLLEITKFCDVYLMERVLDDESEQKVLAALENAGVFTSGGLVKDKVLFCSTETGRSSFVRQLEPDWHIDTNPEVIFQLARFIKYQLQISPIRPERTAANVLSSPSLEQFFGST >Potri.017G038800.1.v4.1 pep chromosome:Pop_tri_v4:17:2525127:2530338:1 gene:Potri.017G038800.v4.1 transcript:Potri.017G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G038800.v4.1 MATETSKDELLQLVKRFSAYLTVKMSNLFSFNTPDSRSVGAVAGLAVAIVFTWRWLRSNSGPQRRQPKRQAPTTSSSVVTTQPNAVSIPSGGVCSSSEDLRVQNVVDEFFQTVKPSLGQIVRQKLSEGRKVTCRLLGVILEESSPEELQGQSQATVRSSVLEVLLEITKFCDVYLMERVLDDESEQKVLAALENAGVFTSGGLVKDKVLFCSTETGRSSFVRQLEPDWHIDTNPEVIFQLARFIKYQLQISPIRPERTAANVLSSPSLEQFFGST >Potri.012G108000.1.v4.1 pep chromosome:Pop_tri_v4:12:12924117:12927180:1 gene:Potri.012G108000.v4.1 transcript:Potri.012G108000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108000.v4.1 MLCLLCPETVANAALVICFGSVSKVEFHKTMLKTRPTSFLPPYHLPLSNLNFQTQKEHHQTLTEKLLSLIKQCKSKNLLKQIHAQMLINSIPKPNFLLSKIIDLKDLAYASLVFNQLTKPNIYAFNVMLRGLATTWKKYDFCVELYYKLKSLGLKANNFTYPFLFIACGNVRGLVHGKIGHCLVFKAGLDGDEYVNHSLITMYARCGEMGFARKVFDEMGDRDLVSWNSMISGYSKMGFTKEAIGLFMEMREEGFEPDEMTLVSVLGACGDLGDLGLGRWVEGFVLEKKMEVNSYMGSALIDMYGKCGDLISARRVFDSMPNKDVVTWNAIITGYAQNGASNEAIVLFNGMREAGPHPDRVTMIEVLSACSTIGALDLGKWVETHASEKGLQHDVYVASALIDMYAKCGSLDDAVRVFESMPHKNEVSWNAMISALAFHGQAQEALSLFRRMSKDNGTVQPNDITFIGVLSACVHAGLVDEGRQLFESMNLSFGLVPKVEHYSCMVDLCARAGLLYEAWDLIKKMPGKPDEIVLGSLLGACQRRRNADVGERVIQLFLEMELSNSGNYVISSKIYANMRRWDDSAKMRVLMRQCGVSKTPGCSWIDIGAHVHEFHAGDSLHHHSVNIYQLLNEEMKREGYIPNIGCI >Potri.001G007200.1.v4.1 pep chromosome:Pop_tri_v4:1:510073:513155:-1 gene:Potri.001G007200.v4.1 transcript:Potri.001G007200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007200.v4.1 MEPHLSSSNTQHLLEQQPPTTTTTTTSHGKLALIPLVFLIFFEVSGGPYGEESAVGAAGPLWAILGFLIFPFIWSIPEALVTAELATAFPGNGGFVIWAHQAFGPFWGSLMGSWKFLTGVLNLASYPVLCIDYLKLVFPVFSSGVPRYIAILVSTLVLSFLNYTGLAIVGYTAVTLGIVSLSPFVVLTLVSIPKIDPSRWISLGQKGVQKDWTLFFNTLFWNLNFWDSASTLAGEVEQPQRTFPIALLSAGVLTCLGYLVPLLAATGAIPLSQEDWTDGYFAYVAEMVAGKWLKFWMEIGACLSVIGLYEAQLSSCAYQVLGMADLGFLPQFFGVRSKWFNTPWVAILVSTVIALAGCYMDFADIISSVNFLYSLGMLLEFASFLWLRRKMPSIDRPFRVPMGLPGLIIMCLIPSVFLVYVMAVATRTVYMVSFILTVLGILWYFFMKFCKSKMWLQFNNTGEKLEYED >Potri.014G094600.2.v4.1 pep chromosome:Pop_tri_v4:14:6150148:6151679:1 gene:Potri.014G094600.v4.1 transcript:Potri.014G094600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094600.v4.1 MESRRDLRTLIERAWGLHDGLAEEIKNINIYFCRFCSEHGRYYSIVETPFQEKEGLIAIKDSLKEVGNVLMILQRLRSWQPIDRQEAITRLEGSWLILMEKVAQYQGRPLAVVEELNACFSNGKTVFDWKLSEKRKIKGDGSNVQEEKRMATAGFVVCWIRMLFNQWRWQKAIGVAAKLILVSTSVSSTVKFCHCRLHCCSSQRKVVSLVEPVYSRTKENSTALSPSNSPLDVFYGRG >Potri.010G023150.1.v4.1 pep chromosome:Pop_tri_v4:10:3396144:3396296:1 gene:Potri.010G023150.v4.1 transcript:Potri.010G023150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023150.v4.1 MVVSVVSWLGSFWGEVLGFKFWTVADFYGDFRLRGLVRGAAAVVSWLVED >Potri.005G227400.2.v4.1 pep chromosome:Pop_tri_v4:5:22774174:22780426:1 gene:Potri.005G227400.v4.1 transcript:Potri.005G227400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227400.v4.1 MVGCGGLTEIVDIMKRTGAEDLGDFYPIRPDCQADTPKPRFKPRAGKTLSERRWNAAFSEDGHLDIEKVLRRIQRGGVHPAIKGSVWEFVLGCFDPNSTYEERNQLRQSRREQYIRWKAECQHMVPVIGSGKLITTPIITDVGQPVIDSVINSSFSDKRSIQWMLALHQIGLDVVRTDRALAFYESEKNLAKLWDILAVYAWVDNDISYVQGMNDICSPMVILLENEADAFWCFERAMRRLRENFRCSASSMGVQTQLSTLSQVIKTVDPKLHQHLEDLDGGEYLFAFRMLMVLFRREFSFVDSLYLWELMWAMEYNPNIFSLYEKPIAESDKSAASMLNNKLLKQCGKFERNKVKTGCKDQQSALAVFLVASVLEAKNKRILKEAKGLDDVVQILSDITGNMDARKVCKEALKIHKKYLSKAKK >Potri.011G155700.3.v4.1 pep chromosome:Pop_tri_v4:11:18227863:18232194:-1 gene:Potri.011G155700.v4.1 transcript:Potri.011G155700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155700.v4.1 MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAAAVAGPGLGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPSPGMMQPQLSRPQLSAPPMTYPAGSGLPTGGAPILRPPGQMPPMPYSGQGPPMGRGPPPPGPPQFAARPPQGFPMPPQFAQRPMGGPPQPQVQMMRGPPAPPRPGMPAPPPPRPGMPLPPGGHVPVFGPPRPGMPPPPNSQQQQNQQQ >Potri.011G155700.2.v4.1 pep chromosome:Pop_tri_v4:11:18227909:18232189:-1 gene:Potri.011G155700.v4.1 transcript:Potri.011G155700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155700.v4.1 MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAAAVAGPGLGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPSPGMMQPQLSRPQLSAPPMTYPAGSGLPTGGAPILRPPGQMPPMPYSGQGPPMGRGPPPPGPPQFAARPPQGFPMPPQFAQRPMGGPPQPQVQMMRGPPAPPRPGMPAPPPPRPGMPLPPGGHVPVFGPPRPGMPPPPNSQQQQNQQQ >Potri.011G155700.6.v4.1 pep chromosome:Pop_tri_v4:11:18228309:18232189:-1 gene:Potri.011G155700.v4.1 transcript:Potri.011G155700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155700.v4.1 MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAAAVAGPGLGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPSPGMMQPQLSRPQLSAPPMTYPAGSGLPTGGAPILRPPGQMPPMPYSGQGPPMGRGPPPPGPPQFAARPPQGFPMPPQFAQRPMGGPPQPQVQMMRGPPAPPRPGMPAPPPPRPGMPLPPGGHVPVFGPPRPGMPPPPNSQQQQNQQQ >Potri.011G155700.1.v4.1 pep chromosome:Pop_tri_v4:11:18227865:18232194:-1 gene:Potri.011G155700.v4.1 transcript:Potri.011G155700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155700.v4.1 MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAAAVAGPGLGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPSPGMMQPQLSRPQLSAPPMTYPAGSGLPTGGAPILRPPGQMPPMPYSGQGPPMGRGPPPPGPPQFAARPPQGFPMPPQFAQRPMGGPPQPQVQMMRGPPAPPRPGMPAPPPPRPGMPLPPGGHVPVFGPPRPGMPPPPNSQQQQNQQQ >Potri.011G155700.5.v4.1 pep chromosome:Pop_tri_v4:11:18227997:18232190:-1 gene:Potri.011G155700.v4.1 transcript:Potri.011G155700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155700.v4.1 MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAAAVAGPGLGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPSPGMMQPQLSRPQLSAPPMTYPAGSGLPTGGAPILRPPGQMPPMPYSGQGPPMGRGPPPPGPPQFAARPPQGFPMPPQFAQRPMGGPPQPQVQMMRGPPAPPRPGMPAPPPPRPGMPLPPGGHVPVFGPPRPGMPPPPNSQQQQNQQQ >Potri.011G155700.4.v4.1 pep chromosome:Pop_tri_v4:11:18227887:18232194:-1 gene:Potri.011G155700.v4.1 transcript:Potri.011G155700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155700.v4.1 MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAAAVAGPGLGRAAGRGIPTAPLVQAQPGLAGPVRGVGGPSPGMMQPQLSRPQLSAPPMTYPAGSGLPTGGAPILRPPGQMPPMPYSGQGPPMGRGPPPPGPPQFAARPPQGFPMPPQFAQRPMGGPPQPQVQMMRGPPAPPRPGMPAPPPPRPGMPLPPGGHVPVFGPPRPGMPPPPNSQQQQNQQQ >Potri.001G053700.13.v4.1 pep chromosome:Pop_tri_v4:1:4077898:4078958:1 gene:Potri.001G053700.v4.1 transcript:Potri.001G053700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053700.v4.1 MSTEVMFLRSHCYHVPERTSPLLSPCTYNVYANIRSDHPVRLMRRRLTAPKLLLLPQVQRPNRKPSPLTTITAAAAGVPLPPLDLTEENVKQVLVDARAELGQIFDTSVGITGQVELAELDGPFVVISLKGRFWHERSMVVARIGNYLKQRIPEILEVEIEDEKQLDDSPENF >Potri.012G141200.3.v4.1 pep chromosome:Pop_tri_v4:12:15299560:15304877:1 gene:Potri.012G141200.v4.1 transcript:Potri.012G141200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141200.v4.1 MFKSWRNVKKKIKATFKLQFQATQVPRQKKPALIISLVPEDVGKTTFKLEKAAVQDGICSWDNPVYVTVILIKEPKSGKLHEKIYHFIVSSGSSKSGYLGEASIDFADFADETEPLSVSLPLKFANSGAVLHVTIQKMHGDFDPRKIEDNEDPILSKDRSLKNQLSNGYTDKNDESFTEDQDPDIVLSEQDSSFRTSIGGNSSFKSTLRQDSMPPKGAVDGITPKNHLHCRTSTDWSMGSVSDGRLVDSTNSPEENLPRELQETSDESIEKLNSELSNLMRQSELSELELQTLRRQITKESRRGQDLSRHVKELEEERDALKTESELELQSLRKQITKESRRGQDLSRHVKELEEERDALKTESELELQSLRKQITKESRRGQDLSRHVKELEEERDALKTESELELQSLRKQITKESRRGLDLSRHVKELEGERDALKTGCEQLKCSNEGESLNQLRAENEDSRVQLEEVRRELSHQKELNTNLKSQLQKTQDSNAELILAVGDLDEMLDQKNVEISSLSSKLDEVQEENCKCSKKEDRDQQAVLALEEKTREDNELCLLKQRVIDLSDEIDVYRETREKLENYIEQLTQDCEDLKRENHDISSKLEQGKLQEHKTSECSATIKELESQVQRLEEKLKTQTKEFSESLLSINELESQVKGLEKELGKQAQGYENDLDAMTHARIEQEQRAIRAEEALRKTRWKNAVTAEQLQEEFRKLSVEMAGKVDENEKLTMESVFEANELRIQNRVLEENLKKSNEELAMMTDQNRVKMEELSMQLDLKTKHMEQMSVELEDASNKLKHGGEMQEAFLAEVRMLKSEIETLRKEKNDISELEKEVKLRDETEKLKTSSEETKILTEIQKSERDEIEEIFALTKNEAENTRQELFNLKSLKDEKEAMIKNLSLELQSLRDLQIELKNSLSAEEQEKEKLQQQVLELKGKLQKKEQENTSFMKKLTFSDEKNSVPMDDRMQIKCAATNTANVNDFQKRNIGEDLLNSEMHTAGSKGIEREAKTCSKEELRVGTFHSMDEGYLIELLTEMAQLKERNKCMETELKEMQERYSEISLKLAEVEGERQQLVMTVRNLKNGKRS >Potri.012G141200.2.v4.1 pep chromosome:Pop_tri_v4:12:15299933:15304688:1 gene:Potri.012G141200.v4.1 transcript:Potri.012G141200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141200.v4.1 MFKSWRNVKKKIKATFKLQFQATQVPRQKKPALIISLVPEDVGKTTFKLEKAAVQDGICSWDNPVYVTVILIKEPKSGKLHEKIYHFIVSSGSSKSGYLGEASIDFADFADETEPLSVSLPLKFANSGAVLHVTIQKMHGDFDPRKIEDNEDPILSKDRSLKNQLSNGYTDKNDESFTEDQDPDIVLSEQDSSFRTSIGGNSSFKSTLRQDSMPPKGAVDGITPKNHLHCRTSTDWSMGSVSDGRLVDSTNSPEENLPRELQETSDESIEKLNSELSNLMRQSELSELELQTLRRQITKESRRGQDLSRHVKELEEERDALKTESELELQSLRKQITKESRRGQDLSRHVKELEEERDALKTESELELQSLRKQITKESRRGQDLSRHVKELEGERDALKTGCEQLKCSNEGESLNQLRAENEDSRVQLEEVRRELSHQKELNTNLKSQLQKTQDSNAELILAVGDLDEMLDQKNVEISSLSSKLDEVQEENCKCSKKEDRDQQAVLALEEKTREDNELCLLKQRVIDLSDEIDVYRETREKLENYIEQLTQDCEDLKRENHDISSKLEQGKLQEHKTSECSATIKELESQVQRLEEKLKTQTKEFSESLLSINELESQVKGLEKELGKQAQGYENDLDAMTHARIEQEQRAIRAEEALRKTRWKNAVTAEQLQEEFRKLSVEMAGKVDENEKLTMESVFEANELRIQNRVLEENLKKSNEELAMMTDQNRVKMEELSMQLDLKTKHMEQMSVELEDASNKLKHGGEMQEAFLAEVRMLKSEIETLRKEKNDISELEKEVKLRDETEKLKTSSEETKILTEIQKSERDEIEEIFALTKNEAENTRQELFNLKSLKDEKEAMIKNLSLELQSLRDLQIELKNSLSAEEQEKEKLQQQVLELKGKLQKKEQENTSFMKKLTFSDEKNSVPMDDRMQIKCAATNTANVNDFQKRNIGEDLLNSEMHTAGSKGIEREAKTCSKEELRVGTFHSMDEGYLIELLTEMAQLKERNKCMETELKEMQERYSEISLKLAEVEGERQQLVMTVRNLKNGKRS >Potri.004G216900.1.v4.1 pep chromosome:Pop_tri_v4:4:22255448:22256992:1 gene:Potri.004G216900.v4.1 transcript:Potri.004G216900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216900.v4.1 MDMEAQDDFVGSNDLTQIIKGKRTKRQRSSSPYMVMTSSSSSGYGGGDGGGERGVLIEEHGSISSPTTSSEVTERTEEEEDMANCLILLAQGDRPKQIHENKSGKVEKFRARKSSDMSTPTINKAGFLVYECKTCNRSFPSFQALGGHRASHKRPKATAEEKKGLVVASMEDLGVCQLIKRSNLDPSLSLQIGHNNNVNKGFQGNKAKTHECSICGSEFMSGQALGGHMRRHRANTGNQAGMITTDSSSATAESNIHGDHHQIKPKNILALDLNLPAPEDDHHLRESNFQFTSTRQALVFSATALVDCHY >Potri.004G186400.1.v4.1 pep chromosome:Pop_tri_v4:4:19990641:19993625:1 gene:Potri.004G186400.v4.1 transcript:Potri.004G186400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186400.v4.1 MVRTSVTTLYNNSSPNTRFPNPSLNLQFCHNATKLLSLKAPFPLINKYPFLQYSHLHHKNPTSSAIHFRSLVIKASSSMASSTETKPFAVLFVCLGNICRSPAAEGVFTDIVNKRGLDSKFKIDSAGTINYHEGNPADSRMRAASKRRGIEITSISRPIRPSDFRDFDIILAMDNQNREHIMEAFNKWKFKETLPDDAHKKVKLMCSFCKKHDETEVPDPYYGGPQGFEKVLDLLEDACESLLDSILAEKN >Potri.001G359200.3.v4.1 pep chromosome:Pop_tri_v4:1:37533383:37552705:-1 gene:Potri.001G359200.v4.1 transcript:Potri.001G359200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359200.v4.1 MLVTCSTLNTFSASISVSSTRFCQCQSLYPNNFFLQNKPHTTLNFSHKVRIIKCTASANQKEQQQQDLNNNGSKKKKKKKKGDDETEKDEEKKGINPVGFLTRLGISHKQLAYFLRERYKSLKDLKDELFKRNLMLKDIAYGFELMGSHRHPEHRMDFMEWAPGARYCSLIGDFNGWSPTENSAREGHFGHDDYGYWFIILEDKLKDGVQPEQLYFQQYNYVDDYDKGDSGDASIEEIFKKANDDYWEPGEDTFVNRRFEVPAKLYEQLFGPNGPQTQEELEEIPFPDAETRYNEWKQPHKNDPPSNLPPCTVIDEGKEYDIYNIKSDPVWLEKIRAKKPPLPYWFETRKGRQAWLKKYTPAIPHGSKYRVYFNTPSGPLERVPAWATYVQPDTNGNEAFAIHWEPPPEHAYKWKNKHPKVPKSLRIYECHVGISGSEPKISSFTDFTEKVLPHVKGAGYNAIQLIGIVEHKDYFTVGYRVTNLYAVSSRYGTPDDFKRLVDEAHGLGLLVFLDIVHSYSAADEMVGLSLFDGSNDCYFHTGKRGHHKYWGTRMFKYGDQEVLQYLLSNLNWWVEEYHIDGFQFHSLSSMMYTHNGFASFTGNLEDYCNQHVDKDAFLYLILANELLHTLHPDIITIAEDATFYPGLCEPTSQGGLGFDYYVNLSAPEMWTSFLKNIPDHEWSMSKIVSALMGNRQYADKMLIYAENHNQCISGGQSFAEILFGEINEHTPGSTESLLRGCSLHKMIRMITFTIGGRAYLNFMGNEFGHPKRVEFPMPSNNFSYSLAHRSWYLLSNEVHHNLFSFDKDLMNLDENNRLLSRGLPHIHHVNDTTMVISYIRGPLLFVFNFHPTEAYERYSVGVEEAGEYQIILNTDEKKYGGQGLVDAQQHLQRTISRKADGLQNCLELPLPSRTAQVYKLTRILRI >Potri.001G241700.3.v4.1 pep chromosome:Pop_tri_v4:1:25921360:25924926:1 gene:Potri.001G241700.v4.1 transcript:Potri.001G241700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241700.v4.1 MGSDSRFTEFPGSNKFEDEIVFPDSNQYHNVSNGFKFEDLDFDCVENPLVLPDPDPGALSSITAIDEDSPSDDNDSENLLKYINQMLMEEDMEEKPCMFHDPLALQAAERSLYDILGEKNQPSLPHDSPSYGDQFLVDSPDDVFWSSRSDYSSNKSSFSNSVSSVDPQGNGEFGEFKPLFMQTPLPNNFVFHSAANFSSESSFKLHNGLASNGDSATKPSAGNIVAPNLFSDSDLALQFKRGVEEASKFLPKGNPLIIDLETSALAPEMNRDAPEVAVKAEKEDREFFPEWLTGKKNHEREDEDFEEERSNKQSAVHVDESELSEMFDMLVGVGEGCRPPGCILDQAEQCESSKTVRQNGQTKGSSGSKTRAKIQGNNKEVVDLRTLLVLCAQAVSSNDRRAANELLKQIRQHSSPLGDGSQRLANCFANGLEARLAGTGTQIYTALSTEKWSAVDMLKAYQAYVSACPFKKMAIIFANHNIMKVAEKASTLHIIDFGILYGFQWPPLIYRLSRRPGGPPILRITGIELPQSGFRPAERVQETGRRLVKYCERYNVPFEYNPIAQKWDTIQIDDLKINHDEVLAVNCLFRFKNLLDETVVVNSPRNAVLNLISKTKPDIFIHAIVNGSYNAPFFVTRFRETLFHFSALFDMLDSNMPREDEMRLKFEKEFYGREVMNVIACEGSERVERPETYKQWQVRNMRAGLKQLPLDPHVIKYLKCKVKVRYHEDFEVDGDGHWMRQGWKGRTIIASSAWIPA >Potri.001G241700.2.v4.1 pep chromosome:Pop_tri_v4:1:25921391:25924780:1 gene:Potri.001G241700.v4.1 transcript:Potri.001G241700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241700.v4.1 MGSDSRFTEFPGSNKFEDEIVFPDSNQYHNVSNGFKFEDLDFDCVENPLVLPDPDPGALSSITAIDEDSPSDDNDSENLLKYINQMLMEEDMEEKPCMFHDPLALQAAERSLYDILGEKNQPSLPHDSPSYGDQFLVDSPDDVFWSSRSDYSSNKSSFSNSVSSVDPQGNGEFGEFKPLFMQTPLPNNFVFHSAANFSSESSFKLHNGLASNGDSATKPSAGNIVAPNLFSDSDLALQFKRGVEEASKFLPKGNPLIIDLETSALAPEMNRDAPEVAVKAEKEDREFFPEWLTGKKNHEREDEDFEEERSNKQSAVHVDESELSEMFDMLVGVGEGCRPPGCILDQAEQCESSKTVRQNGQTKGSSGSKTRAKIQGNNKEVVDLRTLLVLCAQAVSSNDRRAANELLKQIRQHSSPLGDGSQRLANCFANGLEARLAGTGTQIYTALSTEKWSAVDMLKAYQAYVSACPFKKMAIIFANHNIMKVAEKASTLHIIDFGILYGFQWPPLIYRLSRRPGGPPILRITGIELPQSGFRPAERVQETGRRLVKYCERYNVPFEYNPIAQKWDTIQIDDLKINHDEVLAVNCLFRFKNLLDETVVVNSPRNAVLNLISKTKPDIFIHAIVNGSYNAPFFVTRFRETLFHFSALFDMLDSNMPREDEMRLKFEKEFYGREVMNVIACEGSERVERPETYKQWQVRNMRAGLKQLPLDPHVIKYLKCKVKVRYHEDFEVDGDGHWMRQGWKGRTIIASSAWIPA >Potri.014G166900.2.v4.1 pep chromosome:Pop_tri_v4:14:12112265:12113355:1 gene:Potri.014G166900.v4.1 transcript:Potri.014G166900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166900.v4.1 MASVRHQNFESNFSHFSHVHSLKLATKLLAPVCFFSVIFSYSSLLPFISNFMQFPSFNIDKNYVFLLCNGILVLIVKNSVLKISDSHLDSSANLYGEQNMKSGYSPHKLVEVSEITKPALVAEEKVVVEVEEVREDEQGGHGIIVVYEDEEEEEEEVIGLLSTEELNKKCDDFIRKMKDDIKFEAQQLIMVRY >Potri.016G139750.1.v4.1 pep chromosome:Pop_tri_v4:16:14294173:14295425:-1 gene:Potri.016G139750.v4.1 transcript:Potri.016G139750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139750.v4.1 MFDEMPQRTRPSNYQLSLSSDHQGGSSRAKNGFLQIELRDCAFCLAYDSDGTGVLSGDDVDSYGIRKYA >Potri.004G161500.1.v4.1 pep chromosome:Pop_tri_v4:4:18153016:18154803:1 gene:Potri.004G161500.v4.1 transcript:Potri.004G161500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161500.v4.1 MEFCMETRALKSSLRNELATKSTQQAISEDFFAFNASAVVSSDQDFSVDCFLDFSNGEFKDGYAQEEEEKDSLSVSSQDRVDDDFNSNSSSFSDSFLSSELAVPTDDIAELEWVSHFVNDSLSDVSLLVPACKGKPESHAKNRFEPEPKPSLAKTPGFFSPRVPSKARTKRSRRTGRTWSGRSNQTETPSSSASSTSSMPCLVSANTVQTIDSLSWLSEPPMKKPKKRPAVQTSGITASPQFQRRCSHCQVQKTPQWRTGPHGAKTLCNACGVRYKSGRLFPEYRPACSPTFSSEVHSNSHRKVLEMRRKKEMGGPESRLNQMVPSF >Potri.004G161500.2.v4.1 pep chromosome:Pop_tri_v4:4:18153016:18154864:1 gene:Potri.004G161500.v4.1 transcript:Potri.004G161500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161500.v4.1 MEFCMETRALKSSLRNELATKSTQQAISEDFFAFNASAVVSSDQDFSVDCFLDFSNGEFKDGYAQEEEEKDSLSVSSQDRVDDDFNSNSSSFSDSFLSSELAVPTDDIAELEWVSHFVNDSLSDVSLLVPACKGKPESHAKNRFEPEPKPSLAKTPGFFSPRVPSKARTKRSRRTGRTWSGRSNQTETPSSSASSTSSMPCLVSANTVQTIDSLSWLSEPPMKKPKKRPAVQTSGITASPQFQRRCSHCQVQKTPQWRTGPHGAKTLCNACGVRYKSGRLFPEYRPACSPTFSSEVHSNSHRKVLEMRRKKEMGGPESRLNQMVPSF >Potri.014G083000.2.v4.1 pep chromosome:Pop_tri_v4:14:5326411:5327896:-1 gene:Potri.014G083000.v4.1 transcript:Potri.014G083000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083000.v4.1 MSISQIGSGKHGGVVMISCGAKEGDSGDKDDGFYMRRCVELARKAIGCTSPSPMVGCVIVKAGQPHAEVFALRDAGDVAENATAYVSLEPCNHYGRTPPCSEVMVKAKLKEVVIGMIDPNPIVATRGVQRLRDAGIDVVVGVEEELCKRLIEAFIHKMLTGKPFVTLR >Potri.014G083000.1.v4.1 pep chromosome:Pop_tri_v4:14:5325252:5327902:-1 gene:Potri.014G083000.v4.1 transcript:Potri.014G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083000.v4.1 MSISQIGSGKHGGVVMISCGAKEGDSGDKDDGFYMRRCVELARKAIGCTSPSPMVGCVIVKAGQPHAEVFALRDAGDVAENATAYVSLEPCNHYGRTPPCSEVMVKAKLKEVVIGMIDPNPIVATRGVQRLRDAGIDVVVGVEEELCKRLIEAFIHKMLTGKPFVTLRYSLSINGHPLNQLGEGVTDSGGYHSQQMACP >Potri.011G054312.1.v4.1 pep chromosome:Pop_tri_v4:11:4431852:4433671:1 gene:Potri.011G054312.v4.1 transcript:Potri.011G054312.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G054312.v4.1 MAISSDSIPEGESIVSARVNMSKACRYIMHDPLPCQKLAPVMKARGCKLWKNKDHSSGHLAFVHVVHGFGFWSFLKRKE >Potri.004G185000.1.v4.1 pep chromosome:Pop_tri_v4:4:19851601:19856167:1 gene:Potri.004G185000.v4.1 transcript:Potri.004G185000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185000.v4.1 MEFGSVVQFLEDKTILITGATGFLAKILLEKILRVQPNVKKIYLLLRAADAKSASQRLQNEILGKDLFTLLKEKWGADLNSLISKKIVLVPGDISYDDDLGVKDSNLREEIWSQLDVVVNLAATTNFDERYDVALGINTMGAKHVLCFAKKCVKLKVLVHVSTAYVSGERAGLILEASYGRGDTLNGVSGLDIDEEKKLVDQKLNELQAEGATTEAIKEAMKDMGIERAKVYGWPNTYVFTKAMGEMLVGDLKENLSVVIIRPTIVTSTLKEPFPGWVEGIRTIDSLAVGYGKGRLTCFLGDITGIVDVIPADMVVNAIVVAMVAHANRPFDDAIYQVGSSVRNPVRYTNLQDFGFDYFTKKPWIGKDGKPVKVGRVKVLSSMANFHGYMAIRYLLLLKGLELANIAFCHYFENMYTDLNRKIKFVMKLVELYRPYLFFRGVFDDMNTEKLRMAAGENNIETDMFYFDPKAIDWEDYFTNIHIPGVVKYVFR >Potri.001G177802.1.v4.1 pep chromosome:Pop_tri_v4:1:15467946:15468465:-1 gene:Potri.001G177802.v4.1 transcript:Potri.001G177802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177802.v4.1 MVQKQLKFLKHMKGHWKMIILQIMNDVSLGKCFCIR >Potri.006G222300.1.v4.1 pep chromosome:Pop_tri_v4:6:22759102:22759919:-1 gene:Potri.006G222300.v4.1 transcript:Potri.006G222300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222300.v4.1 MASVSMAMPLASASQKRLEQPPNSQSFLKPLPIRPSMEVGLSTKSKSRARLEVQASLKEKAVTGLTAAALTASMVIPEVAEAAGPGISPSLKNFLLSIAAGGVVAVAIIGAVLGVSNFDPVKRS >Potri.001G018100.6.v4.1 pep chromosome:Pop_tri_v4:1:1314604:1315317:1 gene:Potri.001G018100.v4.1 transcript:Potri.001G018100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018100.v4.1 MGPSTGGRTRLSGMQKQVLTLYRGFLRAARSKSPEDRRQIESFVSAEFRRNSKQVDRKNFIYIEYLLRRV >Potri.001G018100.5.v4.1 pep chromosome:Pop_tri_v4:1:1314604:1314906:1 gene:Potri.001G018100.v4.1 transcript:Potri.001G018100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018100.v4.1 MGPSTGGRTRLSGMQKQVLTLYRGFLRAARSKSPEDRRQIESFVSAEFRRNSKQVDRKNFIYIEYLLRRGKKQLEQLNSPDTVGLSYMNATFSETENPKN >Potri.002G249600.1.v4.1 pep chromosome:Pop_tri_v4:2:23995873:23996638:1 gene:Potri.002G249600.v4.1 transcript:Potri.002G249600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249600.v4.1 MNLLSILLLLLLLCTCSADRAGEFCNADSEISGNSQIFANIDRLLPVLVFRTALTGFATVTYGKGQDKVHGLAQCRGDVSSKDCFSCIQDAAKQIREVCPDQADARIWFEYCFLRYDNINFIGKVDTDFSTVYFNLAALTDQIRAEAVFPGNRGLGKGEKKLSRFVKLYALVQCIRDLSRIDCAQCLAIAIGSFPDFCDDRKGCRIFYSICYVRYELYPFFFPIDSRNSLVNTSMVVANP >Potri.001G436466.1.v4.1 pep chromosome:Pop_tri_v4:1:46239030:46240418:-1 gene:Potri.001G436466.v4.1 transcript:Potri.001G436466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436466.v4.1 MKKMQRNGAWAPKDLRNKSPLLLQMNPVYKKVPVLLHNGKPICESLIAVEFWSDFADKKAYRSGRKTWTEKGEEKEAAKNDFIEFIESLKLLEGELGDKPYFEEEECPKLIAWCKRCMQKESVSKSLADPQKIYIFMAELRKKFGLDQ >Potri.004G126700.1.v4.1 pep chromosome:Pop_tri_v4:4:12504606:12506347:-1 gene:Potri.004G126700.v4.1 transcript:Potri.004G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126700.v4.1 MLVLSLEKIKQFQEKRRIKARVVFLLERKKSWRQACEKGRNKVIMGRPPCCDKIGVMKGPWTPEEDIILVSYIQEHGPGNWRAVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTHNEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNYWNTHLKKLKKLQAGQEGQFRQISRGQWERRLQTDIHMARQALSEALSPEKPNSLLTELKPSCGYEKPAPASLYASSTENIAKLLKGWMRSGPNQSLTNSTTTQNSFNNMAVADSFSSEETLNRADENDTELSEAFESLFGFDSSNIDFSQSTSPDTGLLQDESKPNSSAQVPLSVIERWLFDEGAMQGKEYLSEVTPDENNLF >Potri.005G088000.1.v4.1 pep chromosome:Pop_tri_v4:5:6144898:6146375:-1 gene:Potri.005G088000.v4.1 transcript:Potri.005G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G088000.v4.1 MDSTKNDAPDGKTKGRFCLLASGALIFVGIAVLLYLTQFQPHKPRFIIQDATVYGLNFSNPNLLTCSMQVTLSTRNPNAHFGIYYEKLDVHAIYQHQQITLATALPGTYLDHEEVSVWSPVLYGKDVPISPLVAAGLMEDLQSGCVSLDIKVYGRLKWKLGSSMIGKYQLIANCPAYIPLGNPSKMESAVKYEFVQLCKVKVE >Potri.017G087200.1.v4.1 pep chromosome:Pop_tri_v4:17:10040626:10044004:-1 gene:Potri.017G087200.v4.1 transcript:Potri.017G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G087200.v4.1 MSYYSRGQDDQVDDYDEYDPTPYGGGYDIALTYGRPIPPSDDTCHPISSSSPSDEIDYDRPNYSSHSEPSPYADEALETEYSSYSRPKPRPGSSYGGPQPAYGLQPGSEYGSAGYEKPASEEHGSSYESAGYGKPHSEEYGSGGYEKPSEYGSGYGRRPEYEDGSGYEKPSKHGSGYGRKQESDYGSGYERPQSEEYGSGYSRRPEAEYGSGYEKPSEYSSGYGRNPESEYGSGYEKSSEHEERTETGYGSGHGRRPGSEYEEDGSEHVSGYGRKQSYEEEGEGYGGRSQHEKPSSGDDSPKRVSYEGANMRRPGSEYEEGGSEHVSGYGRKQSYEEEGEGYGGRSQHKPSSGDDSPKRVSYEGANMRRPGSEYEEGGSEHVSGYGRKQSYVEEGEGYGGRSHHEKPSYGDDSPKRVSHEGGDYERPSYGSRRSDDDDEDRNKYRDGDEEGYGRGKYGDNNSDDDGEKHHRRQSYDDE >Potri.009G109800.1.v4.1 pep chromosome:Pop_tri_v4:9:9455153:9457032:1 gene:Potri.009G109800.v4.1 transcript:Potri.009G109800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109800.v4.1 MVNRVRKAREQKMGKKSYLAMRRDQGMESSLLASDFQDLTNAAKKLANHAVKLGGLGFGTTFLEWIAAFAAIYLLILDRTNWKTNILTGLLIPYIFFTLPSILFSLLRGDVGKWIAFVAVILRLFFPKRFPDWLEMPGALILLIVVAPSLFASTIRNNWIGVAICLAIACYLLQEHIRASGGFRNSFTKAHGISNTVGIILLFVYPAWALLIDLL >Potri.010G049200.2.v4.1 pep chromosome:Pop_tri_v4:10:8095488:8097627:1 gene:Potri.010G049200.v4.1 transcript:Potri.010G049200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049200.v4.1 MALYAVPLWLPLILLLPLLLLFMKRMKDAGQSNLHQLSSLPHQSMWHLSKKYGPVMLLRLGQIPTVVISSAEAAREVLKVHDLAFCSRPLLSGAGRLTYNYLDIAFSPYSDHWRNMRKIVTLELFSLKRVQSFRFIREEEVGFLVNSLSESSALAAPVDLTQKVYALVANITFRVAYGFDYRGTTFDRDRFHEVVHDTEAVVGSISADEYLDTFFQHVIDNHLKPGRIKDHDDMIDVLLRIEKEQTELGASQFTSDNIKAVLLNLFLGGVDTSSLTVNWAMAELVRNPRVMKKVQDEVRKCVGKKGRVTEGDVDQLEYLRMVIKETLRLHPPAPLLLPRETMSHCIVSGYNIYPKTLVHVNVWAIGRDPKYWRDPEDFEYLPFGSGRRICPGIHMGSITVEIILSNLLHCFDWILPHGMQKEDINMEEKAGVSLAPSKKTPVILVPVNYLQ >Potri.009G060800.1.v4.1 pep chromosome:Pop_tri_v4:9:6287844:6295238:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.6.v4.1 pep chromosome:Pop_tri_v4:9:6288205:6295208:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.17.v4.1 pep chromosome:Pop_tri_v4:9:6288364:6295209:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.12.v4.1 pep chromosome:Pop_tri_v4:9:6287824:6295329:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.18.v4.1 pep chromosome:Pop_tri_v4:9:6287950:6295196:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.14.v4.1 pep chromosome:Pop_tri_v4:9:6288203:6295212:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.10.v4.1 pep chromosome:Pop_tri_v4:9:6288197:6295426:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.9.v4.1 pep chromosome:Pop_tri_v4:9:6288202:6295508:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.3.v4.1 pep chromosome:Pop_tri_v4:9:6287869:6295237:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.16.v4.1 pep chromosome:Pop_tri_v4:9:6288189:6295211:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.11.v4.1 pep chromosome:Pop_tri_v4:9:6288209:6295425:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.2.v4.1 pep chromosome:Pop_tri_v4:9:6287864:6295238:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.15.v4.1 pep chromosome:Pop_tri_v4:9:6288132:6295211:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.8.v4.1 pep chromosome:Pop_tri_v4:9:6287824:6295547:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.7.v4.1 pep chromosome:Pop_tri_v4:9:6287715:6295530:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.009G060800.13.v4.1 pep chromosome:Pop_tri_v4:9:6287851:6295214:1 gene:Potri.009G060800.v4.1 transcript:Potri.009G060800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060800.v4.1 MESEGETGVKPMTSIVGQVCQICSDSVGKTVDGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYRRHKGSPAILGDREEDGDADDGAIDFNYSSENQNQKQKIAERMLSWQMTFGRGEDLGAANYDKEVSHNHIPLITNGHEVSGELSAASPEHISMASPGAAGGKHIPYASDVHQSSNGRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKNVVPMSTGHAPSERGVGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLIILCIFLHYRITNPVPNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYEHEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYNIEPRAPEFYFSQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRVNGLVSKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSLCGGSRKKSSKSSKKGSDKKKSGKHADPTVPVFSLEDIEEGVEGAGFDDEKSLLMSQTSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLFYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKSSDEDGDFTELYMFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.013G133900.5.v4.1 pep chromosome:Pop_tri_v4:13:13966313:13969780:1 gene:Potri.013G133900.v4.1 transcript:Potri.013G133900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133900.v4.1 MYSVEINIVENTIPRDHMANTKIDSKLYECVKQDNIEEFKSRVQQHLAEKLVTPCGNSLLHVAIRYKSNNITAYLAKEIPSLITSRNDQHDTILHVAAREGSVSHTIRNLVNSNAFLLRMTNREGNTPLHVAVINGNKEVAKFLISRDREVAYYKNKTGRSPLYLAVENRNMNGILDDLLNEEALIPTEREDGDSLGMLPQGKSPVHAAVENRIIGILQKIEEAKPELLRLHDKEFGNPLHYASSTGYVEGVQFLLQKYRAGADETDQEGNYPIHLACKGGSVALLEEFLKVIPYPNEFINEKGQNILHVAAQNEHGFLIMYILEQDKKIVETLLNAMDEDGNTPLHLATQHGRPTSVFLLVRAIRFHRHIVNNDGLTPYELGRKQSKIAVQQYEGRDETFAKERQHSDSNNRVEGTEDKPADQNKQDKKKASLKDYELLDFYGAMMTLSILYFHAFPWKKSFLDHFPGTRPMQPRKMETKTRIGNLLVVAVLVAGATFAGAIQLPQLRGNINSSEHHHEFHSSTITASHTGYERLLNDYLSWDVWALSLSLVASLILLRANFTDANFEIIAVGLSVSMVGLAILFMFAAFIDAVTIPSLGSHDKWLKTTITVVTFAGLYALELIVSLPFGGFPNLRGMLLRYFYYIYFFFRFYTYEWLAYKIYKRKHK >Potri.012G016500.1.v4.1 pep chromosome:Pop_tri_v4:12:1868399:1872404:-1 gene:Potri.012G016500.v4.1 transcript:Potri.012G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016500.v4.1 MGEMKTEDMPLPSLFDEASKIHVKATESGSSDQELVKKGIESLEKCEEMISKLGLFSSNETKEDISTTNLKYILVPFYLAELAEKITQDDRIQILKASQAKLKEFLSFCEAMELVPEEELQASSQGAPNTFVDRRALKIARYKRQRAAEAKLEEIKEWKERRGRSTKAAAVSTPVEAGEEDVLDDDGEEEREAWITTISLAICKAIDLLEMLKKEEEMLLAVKERQLKEGGKAFSQTILDERAKKAENWHRDAAVKARYTQPAQPITCATFAQDVLEGRAKVSQAHDHKHVPITFGPASLVGGSLTSERERMRAQVFQPGHRLPTMSIEEAGLKEMEIMNKWQERNAELMEEANSAWYKDNPKSKLSEEDDDEDDDAAVQKARAWDDWKDDHPRGAGNKKLTPCG >Potri.009G144000.1.v4.1 pep chromosome:Pop_tri_v4:9:11459317:11462023:-1 gene:Potri.009G144000.v4.1 transcript:Potri.009G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144000.v4.1 MKRSAPPLFSILLLIIFSLTLSSRILVRRGGGFSSIEVKEPSLILREKVPVFNSTLLKYAAIDVGEAQAKNEIKELLKGNFDSRGGYRFSVKARKSKGLLAMRRSPQFNRNWLDVRRVLHDWSRKKRYQPEIMDELIGLVKGPIDRHNGLVVSERRYGSCAVVGNSGILMQKEYGELVDGHEVVIRLNNARTERYERNVGVKTNISFVNSNILHLCGRRQGCFCHPYGANVPMVMYICQPAHFLDYTVCNSSHNAPLIVTDPRFDVLCARIVKYYSLKRFVEETRKSLDEWGSVHDGSMFHYSSGLQAVMLAVGICDEVGIFGFGKSASARHHYHSNQKAELKLHDYEAEYDFYHDLVNNPQAIPFITDTFKFPTAIVYQ >Potri.002G150700.5.v4.1 pep chromosome:Pop_tri_v4:2:11503707:11509903:1 gene:Potri.002G150700.v4.1 transcript:Potri.002G150700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150700.v4.1 MGLISGLFLGIVFGIGLMAGWKHMMQYRSTKRVAKAVDIKLLGSLNRDDLKKICGDNFPDWISFPAFEQVKWLNKQLGKLWPFVAEAATAVVKESVEPLLEDYRPPGITSLKFNKFSLGTVPPKIEGIRVQSLKQGQVTMDIDLRWCGDPSIILGVEAALVASIPIQLKDLEVYTVIRVIFQLAEEIPCISAVVIALLSEPKPKIEYILKAVGGSLTALPGVSDMIDDTVNSIVTDMLQWPHRIVVPIGGIPVDISELELRPQGKLTVTVVKANDLKNMEMIGKSDPYAVVYVRPMFKVKTQVIDNNLNPVWNQTFDLIAEDKETQSLILEVFDKDIGQDKRLGRAKLALNELEAETWKELEFGLLSSFDTLKVKDKKDRGTITIKVFYHEFNKEESLAALEEEKQIIEQRKKLKEAGVIGSTMDALDGAASLVGSGVGLVGGGVGAGVGFVGSGLGAVGSGLSKAGRFMGRTITGQSSKRTGNTTPVNSVQENGGAKPL >Potri.002G150700.1.v4.1 pep chromosome:Pop_tri_v4:2:11503616:11509808:1 gene:Potri.002G150700.v4.1 transcript:Potri.002G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150700.v4.1 MGLISGLFLGIVFGIGLMAGWKHMMQYRSTKRVAKAVDIKLLGSLNRDDLKKICGDNFPDWISFPAFEQVKWLNKQLGKLWPFVAEAATAVVKESVEPLLEDYRPPGITSLKFNKFSLGTVPPKIEGIRVQSLKQGQVTMDIDLRWCGDPSIILGVEAALVASIPIQLKDLEVYTVIRVIFQLAEEIPCISAVVIALLSEPKPKIEYILKAVGGSLTALPGVSDMIDDTVNSIVTDMLQWPHRIVVPIGGIPVDISELELRPQGKLTVTVVKANDLKNMEMIGKSDPYAVVYVRPMFKVKTQVIDNNLNPVWNQTFDLIAEDKETQSLILEVFDKDIGQDKRLGRAKLALNELEAETWKELEFGLLSSFDTLKVKDKKDRGTITIKVFYHEFNKEESLAALEEEKQIIEQRKKLKEAGVIGSTMDALDGAASLVGSGVGLVGGGVGAGVGFVGSGLGAVGSGLSKAGRFMGRTITGQSSKRTGNTTPVNSVQENGGAKPL >Potri.004G030800.1.v4.1 pep chromosome:Pop_tri_v4:4:2400794:2402822:-1 gene:Potri.004G030800.v4.1 transcript:Potri.004G030800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030800.v4.1 MPSKFWFSVKKSLKCELKPSDVHDPRAKSDLSNIQTRNSIKSGCSRSLSNLREIIHGSTRYTEKPLTSSPRSLESTDFLNSIAHEVVLCDTKCELKITGGRGCDKGDSSFEDILKLRTPRPRGHDIVSPRRSCSYSRKKHGDSPIYCNGNGVSSKPKSSHDADSYGSPSLVCKKCHAKFKELDAFEAHHLSNHAVTELVEGNSSRRIVELICRTRWLQSENNCVTIERVLKVHNMQMTLVQFEEHREMVKIKASKLPTKHSRCLADGNELLRFHGTTVACSLGLNGSSSLCRMEKCGVCQILRHGFTDKDVNGIGVGIFTTSTSGRALESVEVSQDNQDVRKALLLCRVIAGRVHRPLENVQEIAGQSGFDSLAGKAGQNSHLLEELYLLNPKALLPCFVVTCNHEC >Potri.003G102800.1.v4.1 pep chromosome:Pop_tri_v4:3:12711921:12714654:-1 gene:Potri.003G102800.v4.1 transcript:Potri.003G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102800.v4.1 MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRKNRSLEGIQANIRRLNTYKAKLVVFPRRARKLKAGDSAPEELATATQVQGQFMPIVREKASVELVKVTEEMKSFKAYDKLRAERTNARHVGVRLKRAAEAEKEEKK >Potri.006G221500.1.v4.1 pep chromosome:Pop_tri_v4:6:22686701:22688033:-1 gene:Potri.006G221500.v4.1 transcript:Potri.006G221500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221500.v4.1 MGRSPTGGIIRGTWTATEDKILTAYVRNYGEGNWARVPKETGLKRCGKSCRLRWLNYLKPDVKRGNISPDEEDLIIRLHKLLGNRWALIAGRIPGRTDNEIKNYWNSTLKRKVQANDQKQPRRGNKDTKKQTRKTSTGLNKAAPCTNSSLPSPPVLAENIETDQILTASSIEEGTLEKYLIENPNSNDELLLFTNDNDVPCNFLMDLDMGQMSFSDFLQTDIFSDSNNMLVNGPAPSYPDEASLFPEAMLQNWICEDGFELELAMGP >Potri.006G191900.2.v4.1 pep chromosome:Pop_tri_v4:6:19902027:19905839:1 gene:Potri.006G191900.v4.1 transcript:Potri.006G191900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191900.v4.1 MMDTRKRGRPDFKTNGFGGFKKSKQEMDPLSTGVGSKLKPCTKFFSTAGCPFGESCHFLHHVPGGYNAVTQMMNLGPTVTPPSRSMVAPLPVPNGSASSAVKGRLCNKYSSAEGCKFGDKCRFAHGEWELGRAFAPSHNNPRAAGGVPGHLGGRVEQPPPGPATSFGVFATTTRISVNASLAGFIIGKGGVHSIQICHQTGVKLSIKEHETNPNLKNIELEGSFEQIAQASKMVEELVKVNSAKAAAKTSGGRGGHANPGSNYKTKLCDNFAKGSCTFGQRCHFAHGAAELRKTAA >Potri.006G191900.3.v4.1 pep chromosome:Pop_tri_v4:6:19901981:19905844:1 gene:Potri.006G191900.v4.1 transcript:Potri.006G191900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191900.v4.1 MMNLGPTVTPPSRSMVAPLPVPNGSASSAVKGRLCNKYSSAEGCKFGDKCRFAHGEWELGRAFAPSHNNPRAAGGVPGHLGGRVEQPPPGPATSFGVFATTTRISVNASLAGFIIGKGGVHSIQICHQTGVKLSIKEHETNPNLKNIELEGSFEQIAQASKMVEELVKVNSAKAAAKTSGGRGGHANPGSNYKTKLCDNFAKGSCTFGQRCHFAHGAAELRKTAA >Potri.004G162300.1.v4.1 pep chromosome:Pop_tri_v4:4:18210432:18211777:1 gene:Potri.004G162300.v4.1 transcript:Potri.004G162300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162300.v4.1 MTAGDSEMVQGSISSVLVDSLESNENGDVLSPEDVAWVDSCLVKDPEISDGTDWSSLKDVLLEILSLQPESHDSSEPGNDDLPRGTDILMHLSNETENLQSRVVTDDEGDLSETSLEHAFSPNCKEDDDSTMCLPAGSGLDMGFTASDIEPSTEDIFKVWGSGMEDEEDELIKQLNKALAENPVQSTPSSSDDSGARKDLKEESLDTLTHGIADLSLDQHS >Potri.006G188600.2.v4.1 pep chromosome:Pop_tri_v4:6:19559625:19565338:1 gene:Potri.006G188600.v4.1 transcript:Potri.006G188600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188600.v4.1 MGDVSLEQIKNENIDLERIPVEEVFQQLRCTKEGLSTAQGEERLKIFGPNKLEEKRESKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWMEEDASILVPGDMISIKLGDIVPADARLMKGDPLKIDQSALTGESLPVTKHPGEGVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAIGMLVEIIVMYPIQNRRYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFIKDMDKDTLLLLAARASRIENQDAIDASIVGMLGDPKEARAEITEVHFLPFNPVEKRTAITYYDNNGDWHRSSKGAPEQIIELCNVKGETKKKAHEIIDNFAERGLRSLGVARQRIPEKTKESEGAPWEFVGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGNSKDESISGIPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGVVLGTYMAIMTVLFFWLAHDTDFFPEKFGVRTIRGKPDELTAALYLQVSIISQALIFVTRSRSWSFVECPGLLLVSAFIAAQLVATLIAVYASWSFARIEGIGWGWAGIIWLFSIITYIPLDIIKFIIRYALTGKAWDNMLQNKTAFTNKKDYGKGEREAQWATAQRTLHGLQPPETMFNDKTTYRELSELAEQAKKRAEVARLRELHTLKGHVDSVVKMKGLDIETIQQHYTV >Potri.002G124600.1.v4.1 pep chromosome:Pop_tri_v4:2:9480167:9482328:1 gene:Potri.002G124600.v4.1 transcript:Potri.002G124600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124600.v4.1 MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFHSTPVLSPMGSPPHSHSSVGRHSRESSSSRFSGSLKPGSRKISPNDASRGGQGKGQKQWKECDVIEEEGLLEDEERRKGLPRRCYFLAFVLGFFILFSLFSLILWGASKPQKPKITMKSITFEQFRIQAGSDSTGVATDMISVNSTVKMTYRNKGTFFGVRVTSTPLDLSYSEITIASGNIKKFYQSRKSQRPVAIPVISNKIPLYGSGAGLSSSTGTTTLPVPLKMNFVVRSRAYVLGKLVKTKFNRKIECDFTFDPKKLNVPISLKEACTYD >Potri.002G124600.2.v4.1 pep chromosome:Pop_tri_v4:2:9480548:9482326:1 gene:Potri.002G124600.v4.1 transcript:Potri.002G124600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124600.v4.1 MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFHSTPVLSPMGSPPHSHSSVGRHSRESSSSRFSGSLKPGSRKISPNDASRGGQGKGQKQWKECDVIEEEGLLEDEERRKGLPRRCYFLAFVLGFFILFSLFSLILWGASKPQKPKITMKSITFEQFRIQAGSDSTGVATDMISVNSTVKMTYRNKGTFFGVRVTSTPLDLSYSEITIASGNIKKFYQSRKSQRPVAIPVISNKIPLYGSGAGLSSSTGTTTLPVPLKMNFVVRSRAYVLGKLVKTKFNRKIECDFTFDPKKLNVPISLKEACTYD >Potri.003G139300.1.v4.1 pep chromosome:Pop_tri_v4:3:15564279:15565928:-1 gene:Potri.003G139300.v4.1 transcript:Potri.003G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139300.v4.1 MERMQENNSSFAFNTADIGSSLSQLILAGGTNTLDSIFSHCQPARSLTSPAFEPLGSSVYLRQRDLLQKFSEENRINTSLSHNLQTNPLQNPVHSSNYLAPTKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDTAEAAAYAYDRAAYKLRSQYARLNFPNLKDPAKLGFTDCSKLNALKNTVDAKIQAIFQKVKKERAKKNAAKKSNVDGKSTGSKKPVKVDSNASTPSPASSSSFSTLFSGDNWGGSELVSPAVSEDGFWKCESSSSPSVSTDCPVMVPQAMEFEDCSLARMPSYDPELIWEVLAN >Potri.006G266700.2.v4.1 pep chromosome:Pop_tri_v4:6:26172976:26174163:-1 gene:Potri.006G266700.v4.1 transcript:Potri.006G266700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266700.v4.1 MNTNTSSSSSSSPLTSPTTFVQADINTFRDLVQKLTGLASDTQRLPVTRALSSAKPSRNPVDLTGPRRSPFKLQERRHTLRKLEIKLGLTSLSNSSSSPTRQTHRLDSPVTPLCSGFLFFPSPGTESPSSPAVLEEEKAIAEKGFYFHPSPLNTPRGSEPPELLTLFPLSSPSQSNQD >Potri.008G016175.1.v4.1 pep chromosome:Pop_tri_v4:8:818199:818634:-1 gene:Potri.008G016175.v4.1 transcript:Potri.008G016175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016175.v4.1 MSWEGSASGHVKLLFLVMTTFLEMLFQSPLPKLLNPIQNNLPCPIRRSSH >Potri.009G040100.1.v4.1 pep chromosome:Pop_tri_v4:9:4799632:4802160:-1 gene:Potri.009G040100.v4.1 transcript:Potri.009G040100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040100.v4.1 MGGGSLLTKRAAAATNQTLSLFKRLSPFTILLKTLTTSSSSSAASAQNPKHETSMPKRRKKKNLFEVAQFLPQWGIGYHLAKSHWANVSYEITKINLYKDGRHGKAWGIAHKDGLPIADAPKKISGVHKRCWKYIPSLAKSIESKKSSPKSTEAAAKTEVQAA >Potri.009G131500.1.v4.1 pep chromosome:Pop_tri_v4:9:10696286:10699753:1 gene:Potri.009G131500.v4.1 transcript:Potri.009G131500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G131500.v4.1 MGPRWKGKGAEAKALADPMSEIVSQLQSSLLQSNACASLSGCSVLLAVETEQTELLTRACFGKPIITAEKEKQWFQLGLEEAFYLCYSLKCLKIAGEDNFVKNDLDLWLYMKLKKEKFPDFYKAYSHLRMKNWVLRPGLQYGVDFVAYQHHPSLVHSEYAVIVLSEGDTGRLRVWSDFHCTIRLCGSVAKTLLILNVDKNGHGAISPSCLERYSVEECTITRWSPEQSRDDKKAS >Potri.018G110800.1.v4.1 pep chromosome:Pop_tri_v4:18:12848690:12850382:-1 gene:Potri.018G110800.v4.1 transcript:Potri.018G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110800.v4.1 MATKKEMEVVKGVDLKRYMGRWYEIASFPSRFQPKNGVNTRATYTLNEDGTVHVLNETWNDGKRGYIEGSAYKADPNSDEAKLKVKFYVPPFLPIIPVVGDYWVLSLDEDYQYALIGQASRKYLWILCRKTHMEDEIYNQLVEKAKEEGYDVEKLHKTPQTDPPPEEEGPKDTKGIWWFKSILGK >Potri.003G183150.1.v4.1 pep chromosome:Pop_tri_v4:3:18820789:18822251:-1 gene:Potri.003G183150.v4.1 transcript:Potri.003G183150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183150.v4.1 MIFGTTVTLLLTNLFFHGVCMQPSTLPVQILRVGQLISHPQCTWEVPSIASFLFFSCKVNASINRFMFKCFP >Potri.003G070500.5.v4.1 pep chromosome:Pop_tri_v4:3:9767668:9770025:-1 gene:Potri.003G070500.v4.1 transcript:Potri.003G070500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070500.v4.1 MGFLHKLWDETLAGPMPDSGLGKLRKYDSFSVRSSPPVDAAAANSIEDMNITRSITIVRTNSSKYLRNISVDPCSSPVSPATPSTPTTPLTPGITGTPRGDFRRIKARKSSDEALESGEPRSLTIYDWIVINALDR >Potri.003G070500.6.v4.1 pep chromosome:Pop_tri_v4:3:9767668:9770025:-1 gene:Potri.003G070500.v4.1 transcript:Potri.003G070500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070500.v4.1 MGFLHKLWDETLAGPMPDSGLGKLRKYDSFSVRSSPPVDAAAANSIEDMNITRSITIVRTNSSKYLRNISVDPCSSPVSPATPSTPTTPLTPGITGTPRGDFRRIKARKSSDEALESGEPRR >Potri.001G008080.1.v4.1 pep chromosome:Pop_tri_v4:1:560770:561361:-1 gene:Potri.001G008080.v4.1 transcript:Potri.001G008080.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008080.v4.1 MEHNKEVAKHDDLNINGTTSDERRITRMRNCRLVMEDGTREDINEKADAFIKNFRHQLKIQRQDSLKRFQERISRGV >Potri.016G032400.1.v4.1 pep chromosome:Pop_tri_v4:16:1820254:1823531:-1 gene:Potri.016G032400.v4.1 transcript:Potri.016G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032400.v4.1 MEEEFNSQLPTPPLDPLFFNQNSDQNDNLNVPDLSSDFEDMSDFDITFDDLTDLYFPSENEQFLIPDNNAVSPDMGRSDNPGFETGSLEGCLGVFDSNPVNLESPESGGSGICGDQGGLEVDKYLNPSPSEAGSCDSGGSDSRSSDLGPASSHGSGNSGSGVLNAGSPESGTNGNPCNYVVDQKLVKTETDSARILKNDKIALTKRKKEMGDGENGDVMRNFKSRKAEGEDVSVNVGGGVVSSEEEEKRRARLVRNRESAHLSRQRKKHYVEELEDKVRAMHSTIADLNGKVSYFMAENATLRQQLNGNSACPPPMYAPMAPYPWVPCAPYVVKPQGSQVPLVPIPRLKPQQAVPMAKTKKVESKKGEGKTKKVASVSLIGLVFFILLFGGLAPMVDVKFGGVRESGISGFGFGSERFLDQHKGRVLIVDGHSNGSHENVGVGLSNDKIQCLRGRTGCPEHDSANKGAAEHLPGSDEFGQFGNASEQLVASLYVPRNDKLVKIDGNLIIHSILASERAMASHESPEVNITKQTALAIPDVGNNRGRHSHVYRTHAERQKALASGSADTSKDNLKSSAAKGKLQQWFREGLAGPLLSSGMCTEVFQFDVSPTPGAIVPASSVANVTAEHQKNNSTRLNKGRNRRILRGLPIPLAGSDLNITGEHVGRKTHKESFQGNKSVSPMVVSVLVDPREAGDSDVDGVITPKSLSRIFVVVLVDSIKYVTYSCVLPSIGPHLVTT >Potri.013G148500.1.v4.1 pep chromosome:Pop_tri_v4:13:14497369:14504935:-1 gene:Potri.013G148500.v4.1 transcript:Potri.013G148500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148500.v4.1 MEGTLTTDSVSDSDFLKEFYIPNYILVPDSKSDSTPPPQLPQCPVLVFINSKSGGQLGADLFKTYSALLNENQVFDLGKEAPDVVLRRIYLNLEKLKSNDEFAAKIQEKLRIIVAGGDGTAGWLLGVVCDLKLSHPLPIATMPLGTGNNLPFAFGWGKKNPGTDVQAVMAFMKKVKNAKEMKIDNWHILMRMRAPKEGSCDPIAPLELPHSLHAVHRVSPTDELNMEGYITFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLINQSTYAKLGCTQGWFLASLYHPSSRNIAHLATVKIMKKTGQWEKLHIPNSIRSIVCLNLPSFSGGLNPWGTPNSNKRRDRGLTPPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGGADHTFMRIDGEPWKQPLPSDDDNTVLVEISHLGQVNMLATDDCRSKSVNDPLSPSCHDADKDSDEEDSVLAEEFRKFGAADTFRIPDEVDISHLS >Potri.013G148500.2.v4.1 pep chromosome:Pop_tri_v4:13:14497379:14506993:-1 gene:Potri.013G148500.v4.1 transcript:Potri.013G148500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148500.v4.1 MGNSLPSKISKVDTISGDKHHEQSKRRTSCFTAYKMEGTLTTDSVSDSDFLKEFYIPNYILVPDSKSDSTPPPQLPQCPVLVFINSKSGGQLGADLFKTYSALLNENQVFDLGKEAPDVVLRRIYLNLEKLKSNDEFAAKIQEKLRIIVAGGDGTAGWLLGVVCDLKLSHPLPIATMPLGTGNNLPFAFGWGKKNPGTDVQAVMAFMKKVKNAKEMKIDNWHILMRMRAPKEGSCDPIAPLELPHSLHAVHRVSPTDELNMEGYITFRGGFWNYFSMGMDAQVSYAFHSERKLHPEKFKNQLINQSTYAKLGCTQGWFLASLYHPSSRNIAHLATVKIMKKTGQWEKLHIPNSIRSIVCLNLPSFSGGLNPWGTPNSNKRRDRGLTPPFVDDGLIEVVGFRDAWHGLVLLAPNGHGTRLAQAHRIRFEFHKGGADHTFMRIDGEPWKQPLPSDDDNTVLVEISHLGQVNMLATDDCRSKSVNDPLSPSCHDADKDSDEEDSVLAEEFRKFGAADTFRIPDEVDISHLS >Potri.001G270800.1.v4.1 pep chromosome:Pop_tri_v4:1:28525797:28528829:-1 gene:Potri.001G270800.v4.1 transcript:Potri.001G270800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270800.v4.1 MLEIVLVLVALFVIYYTHLLIKWKYPKINGVPVQLPPGSMGFPVIGETIQLLIPSYNSIDIHPFIRKRIQRYGPIFRTNLVGRPIIVSADAEVNKYIFSQEGNLVEMWYLDSFAKLFAFEGESKVTAIGRVHRYLRGITLNHFGGESLREKMLPQIDASINDNLRQWSAQGAVEVKSAISRMIFNFTAKVAFGYDLENSKGEKIENLPNFIKSLMSFPLNIPGTTFHKCMKDKEKMSNMVRHIIKERFNSPDKRPGDFLDQALNDMASEKFLTEDFIAELSFGILFAAFESVSTTLTLAIKFLAENPLVLEELTAENEAVLKQRENPDSQLTWEEYKTMAFTQSVVNETLRLMNIPPGLLRKALKDINIKGYTIPAGWTIMLVTPIVHLNPETYKDPLKFNPWRWKDLDQVTLSKSFMPFGGGTRQCAGAEFSKVYMAAFLHVLVTKYRWSKVKGGRITRSPILLFPDGVHVKITSKRD >Potri.001G317200.5.v4.1 pep chromosome:Pop_tri_v4:1:32743829:32750615:1 gene:Potri.001G317200.v4.1 transcript:Potri.001G317200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317200.v4.1 MHPDQMNNNSWNTCIVLPFRSKSEDTATKMFSDLHPSLLLFLQRLQCIMFRNRLNDSLVIMRKEILEDGIVKVSCGKDKMSWLVASQKLEAHASRPKVQGTEIAIAFTLEESDNGEYNPRLDQQPVFAFLPLRTYGLKFILQGDFILPSSREEVDKNNPWNEWLLTKFPGLFVSAERSFCALSCFRENPGKAVATYMSFVPLVGEVHGFFSGLPKAIILELRRTSCLLIEGDRSKMVPPCSVLRGWDMQSRNVLPDRLLQEYLGLGFLDKNIVLSDSLARALGIMEYGPETLIKFMTHLCRTENGLKLMGLGWLSSWLNTLYAMLSRSSGQTDLIDNLQSIPFIPLSDGTYSSVDVSTIWLHSDTLSTGFDRVHRLEAFPKLNAKLQIVNPALLSASAVDETSVDNVARMLHRIGVQELSAHEIIKVHILQAISDDRITDRDKDLMIDYLCFIMIHLQSGCPNCCAERKHIIYELQNKAYILTNHGYRRPVETSIHFSREFGNPIDVNELINIAEMRWHEVDISYLKHPANKSLSNGLTKWREFLQEIGVADFVRVIQIEKSVADLCHSVPNYMAWDTDLISPGSTAKDWESSELAHLLFILSTSGDGERCKYLLEVLDTLWDDNFSDKATIYYDLKSSDTGRSFKSSFISKICDFQWVVSSMDNELHYPKDLFYDCDAVRSILGASAPYALPKVRSRKLLSELGLKTEVTIDDVLEIIKAWRKSETTFKASIAQMSKLYTFIWDEISSSRNKVSEAFRSGPFIFVPSKSGSSHKDLLPGVFLSAEDVYWHDPTGSMDRLKKIHSQGGSTSVIQCLLSKILCNVYPGLHDFFVNECGVSEIPTCHSYLDILLQLSTAVLPSQAASAVFKVLLMWTEGLESGSLSTEDIIHLKECLTKLDCTVLPTAQDKWVSLDPSFGLVCWSDDKNLRKIFKNFSNIEFLYFGNLSGSEQEMLQTKVSLLLQKLGIPALSEVVTRKAIYDGPADSSFKASLINWALPYAQRYIYSTHPDKYSKLKQSGFNNLKQLQVIAVDKLSYHYAIKKCRLASKRQEQCSCLLEGNTLYTRLESDTHALFLELSRLFFDGTPELHLANFLHMITTMAESGSTEEQTEFFIVNSQKVSKLPDEESLWLLSSTQSLTTNEESLQIDVSPTSINEQKPSNLKLKASVSSYWPPADWKTAPDFHSSRCSINDEEIVTEAVSVVPAKNNADFTVENKADELLESDNVDTQTPKFNGPELGPSKIFRTDQLRPGTANAIQAMATGREGEQVAFNHLTQKFGQVVKWVNQDNETGLPYDMVIEVGSSKEYIEVKATRSAMKNWFEISSREWHFAVEKGECFSILHVLLGNNKARVSTFRNPARQCQSGKLRLVVLMPTVSETWEDVSLLT >Potri.001G317200.3.v4.1 pep chromosome:Pop_tri_v4:1:32735223:32750551:1 gene:Potri.001G317200.v4.1 transcript:Potri.001G317200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317200.v4.1 MHGHRPPYRPGGRGQPPQRHPLQQELPTELLTFNPNFVPLQDPNFFLHTFTNALLQQNFPMQNPNFPIQNPNFFLPPQQQIQYRQQQHQEPPPPGAPPPPEQQEQQQEPATLQQNLPKFPQNPKKKVKQNNKELQLERIDRAVEKARQDLSDAEENVSAWKVSQSVLVNFQAESWDSLGFKMQEVPALFRLMVTESKINAFIHCFVGVRRITSIYDLEVAICKNEGIENFEELGLGPLMRHPLVLHYFSMKPDASAEVFKITSEEIILLLSEFMDTCQKKVIIVDEFLHFLSKNYPVKGPEMLGVRVQSLGTHISFIREAKASENSTQKKCRETLARNGSLKKCQEARASGPRVRSQRHEGRFSSEKERLEERFSAVSERIKSFSQENYGFCGKHIRFVSSSSEDEKSDDGKNEDEMTSNNVGSHLRSSAQAISNSDRVSSCPYPSATEEMSRLGLKGETGSQFSPDCGSSRPKESNRSFFKKRKLEDASWNVSVPSKLLRSNKKHAHPIDNFDKTEEFVTPSEDDISLSSNDLGAFITTWKEACKDYTVAEILERMLQYYKPTESKKAVRKRTNRCMRRFKCIFSSYPFNGMLNVAVASIKCGMWDSIYDTFQVTSQPDSANTLSGNCYEYGCIDAEPGEKQAPVACERLQQTRSVPVEEIIGKITRHYELDNEYQSNGKSVLENKLISLRKLSSCELWLADQFGVKEFESLGHGEFFVFLEKHASLFPAKLQNLLSGDRCGKSTLEVSMLQDQLMVLVSQASYSLWENETITKQMVAALLTRQFPLLSFNIMENGSIEDFQQIVGKYKNNVISKCVLFSATLSGMHHIGDSLPLKEDKLETSEVRNKGDNLVAAFNSVTSKDAIEVLVRAPMLSDLNSWSHWDLKFASSLGPLVGWLLSEVNDKELMCLVTKDGKVIRIDQSATADSFLEAALQRSSFQTAVKLLSLLSLAGGGNHVPLSLLKCYACHAFEVILNNHSENMEVEDSRKCFLHGKAIGVASNNLTVELQKKSFKINQALHFASRFVLDCLGFMPAEFHGFAADVLLSGMQSVIKEASSVILYECNQKERLMLHEIGLSIGVVEWIDDYHAFCSNSTTDLSVSSGSSCLETVRSEISTENVTLREDAHYATCTQVRCTIDDAVVSSDETISGSLEQSSDLDQHKDAAMVIESIRKEEFGLDANLFNTESSMLKKQHARLGRALHCLSQELYSQDSHFLLELVQNADDNIYPENVEPTLTFILQESGIIVLNNERGFSAQNIRALCDVGNSTKKGSGGGYIGQKGIGFKSVFRITDAPEIHSNGFHIKFDIGEGQIGFVLPTVVPPCDINFFSKLVSMHPDQMNNNSWNTCIVLPFRSKSEDTATKMFSDLHPSLLLFLQRLQCIMFRNRLNDSLVIMRKEILEDGIVKVSCGKDKMSWLVASQKLEAHASRPKVQGTEIAIAFTLEESDNGEYNPRLDQQPVFAFLPLRTYGLKFILQGDFILPSSREEVDKNNPWNEWLLTKFPGLFVSAERSFCALSCFRENPGKAVATYMSFVPLVGEVHGFFSGLPKAIILELRRTSCLLIEGDRSKMVPPCSVLRGWDMQSRNVLPDRLLQEYLGLGFLDKNIVLSDSLARALGIMEYGPETLIKFMTHLCRTENGLKLMGLGWLSSWLNTLYAMLSRSSGQTDLIDNLQSIPFIPLSDGTYSSVDVSTIWLHSDTLSTGFDRVHRLEAFPKLNAKLQIVNPALLSASAVDETSVDNVARMLHRIGVQELSAHEIIKVHILQAISDDRITDRDKDLMIDYLCFIMIHLQSGCPNCCAERKHIIYELQNKAYILTNHGYRRPVETSIHFSREFGNPIDVNELINIAEMRWHEVDISYLKHPANKSLSNGLTKWREFLQEIGVADFVRVIQIEKSVADLCHSVPNYMAWDTDLISPGSTAKDWESSELAHLLFILSTSGDGERCKYLLEVLDTLWDDNFSDKATIYYDLKSSDTGRSFKSSFISKICDFQWVVSSMDNELHYPKDLFYDCDAVRSILGASAPYALPKVRSRKLLSELGLKTEVTIDDVLEIIKAWRKSETTFKASIAQMSKLYTFIWDEISSSRNKVSEAFRSGPFIFVPSKSGSSHKDLLPGVFLSAEDVYWHDPTGSMDRLKKIHSQGGSTSVIQCLLSKILCNVYPGLHDFFVNECGVSEIPTCHSYLDILLQLSTAVLPSQAASAVFKVLLMWTEGLESGSLSTEDIIHLKECLTKLDCTVLPTAQDKWVSLDPSFGLVCWSDDKNLRKIFKNFSNIEFLYFGNLSGSEQEMLQTKVSLLLQKLGIPALSEVVTRKAIYDGPADSSFKASLINWALPYAQRYIYSTHPDKYSKLKQSGFNNLKQLQVIAVDKLSYHYAIKKCRLASKRQEQCSCLLEGNTLYTRLESDTHALFLELSRLFFDGTPELHLANFLHMITTMAESGSTEEQTEFFIVNSQKVSKLPDEESLWLLSSTQSLTTNEESLQIDVSPTSINEQKPSNLKLKASVSSYWPPADWKTAPDFHSSRCSINDEEIVTEAVSVVPAKNNADFTVENKADELLESDNVDTQTPKFNGPELGPSKIFRTDQLRPGTANAIQAMATGREGEQVAFNHLTQKFGQVVKWVNQDNETGLPYDMVIEVGSSKEYIEVKATRSAMKNWFEISSREWHFAVEKGECFSILHVLLGNNKARVSTFRNPARQCQSGKLRLVVLMPTVSETWEDVSLLT >Potri.012G096700.6.v4.1 pep chromosome:Pop_tri_v4:12:12063967:12066815:1 gene:Potri.012G096700.v4.1 transcript:Potri.012G096700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096700.v4.1 MNNNSSNPGRSIGVPPSFVNSVAKAQSMHVNHQAPQLLSQSQPQTQVGHPGHFQLSEPQAQVLGHAQYAQAAHAHFQSQIQLANQSIAQLQNVNSGNVGVQSPPVATPSITSAKKSSHKPPSRPSGGSSNANMASLFKTMELTPAAHRKKRKLHEKEIPEKVAALLPESALYTQLLEFEARADAAMARKKMDIQESLKNPPRVRKTLRVYVFNTFENQVQGANERKNAEPPSWSLKIIGRILEDGKDPVLTGMIQKSYPKFSSYFKKITIYLDQSLYPDNHVILWESTRSPVLHEGFEVKRKGNKEFTARIRLEMNYVPEKFKLSPALSEVLGIEIETRPRILAAIWHYVKSRKLQNPNDPSFFTCDPLLQKLFGEEKMKFSLVSQKISLHLTPPQPIHLEHKIKLSGNFPAGTTCYDFIVDVPSPLQKDLAAYLTSTESNKEIDACDELISNSILKIHEHRRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDASRNAEKEQRSGFYNQPWVEDAVIRYLNRKSTGSDAPGSS >Potri.012G096700.2.v4.1 pep chromosome:Pop_tri_v4:12:12063967:12066684:1 gene:Potri.012G096700.v4.1 transcript:Potri.012G096700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096700.v4.1 MNNNSSNPGRSIGVPPSFVNSVAKAQSMHVNHQAPQLLSQSQPQTQVGHPGHFQLSEPQAQVLGHAQYAQAAHAHFQSQIQLANQSIAQLQNVNSGNVGVQSPPVATPSITSAKKSSHKPPSRPSGGSSNANMASLFKTMELTPAAHRKKRKLHEKEIPEKVAALLPESALYTQLLEFEARADAAMARKKMDIQESLKNPPRVRKTLRVYVFNTFENQVQGANERKNAEPPSWSLKIIGRILEDGKDPVLTGMIQKSYPKFSSYFKKITIYLDQSLYPDNHVILWESTRSPVLHEGFEVKRKGNKEFTARIRLEMNYVPEKFKLSPALSEVLGIEIETRPRILAAIWHYVKSRKLQNPNDPSFFTCDPLLQKLFGEEKMKFSLVSQKISLHLTPPQPIHLEHKIKLSGNFPAGTTCYDFIVDVPSPLQKDLAAYLTSTESNKEIDACDELISNSILKIHEHRRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDASRNAEKEQRSGFYNQPWVEDAVIRYLNRKSTGSDAPGSS >Potri.012G096700.4.v4.1 pep chromosome:Pop_tri_v4:12:12064217:12067738:1 gene:Potri.012G096700.v4.1 transcript:Potri.012G096700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096700.v4.1 MNNNSSNPGRSIGVPPSFVNSVAKAQSMHVNHQAPQLLSQSQPQTQVGHPGHFQLSEPQAQVLGHAQYAQAAHAHFQSQIQLANQSIAQLQNVNSGNVGVQSPPVATPSITSAKKSSHKPPSRPSGGSSNANMASLFKTMELTPAAHRKKRKLHEKEIPEKVAALLPESALYTQLLEFEARADAAMARKKMDIQESLKNPPRVRKTLRVYVFNTFENQVQGANERKNAEPPSWSLKIIGRILEDGKDPVLTGMIQKSYPKFSSYFKKITIYLDQSLYPDNHVILWESTRSPVLHEGFEVKRKGNKEFTARIRLEMNYVPEKFKLSPALSEVLGIEIETRPRILAAIWHYVKSRKLQNPNDPSFFTCDPLLQKLFGEEKMKFSLVSQKISLHLTPPQPIHLEHKIKLSGNFPAGTTCYDFIVDVPSPLQKDLAAYLTSTESNKEIDACDELISNSILKIHEHRRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDASRNAEKEQRSGFYNQPWVEDAVIRYLNRKSTGSDAPGSS >Potri.012G096700.5.v4.1 pep chromosome:Pop_tri_v4:12:12063967:12067082:1 gene:Potri.012G096700.v4.1 transcript:Potri.012G096700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096700.v4.1 MNNNSSNPGRSIGVPPSFVNSVAKAQSMHVNHQAPQLLSQSQPQTQVGHPGHFQLSEPQAQVLGHAQYAQAAHAHFQSQIQLANQSIAQLQNVNSGNVGVQSPPVATPSITSAKKSSHKPPSRPSGGSSNANMASLFKTMELTPAAHRKKRKLHEKEIPEKVAALLPESALYTQLLEFEARADAAMARKKMDIQESLKNPPRVRKTLRVYVFNTFENQVQGANERKNAEPPSWSLKIIGRILEDGKDPVLTGMIQKSYPKFSSYFKKITIYLDQSLYPDNHVILWESTRSPVLHEGFEVKRKGNKEFTARIRLEMNYVPEKFKLSPALSEVLGIEIETRPRILAAIWHYVKSRKLQNPNDPSFFTCDPLLQKLFGEEKMKFSLVSQKISLHLTPPQPIHLEHKIKLSGNFPAGTTCYDFIVDVPSPLQKDLAAYLTSTESNKEIDACDELISNSILKIHEHRRRRAFFLGFSQSPAEFINALIASQSKDLKLVAGDASRNAEKEQRSGFYNQPWVEDAVIRYLNRKSTGSDAPGSS >Potri.016G102200.1.v4.1 pep chromosome:Pop_tri_v4:16:10383510:10385327:1 gene:Potri.016G102200.v4.1 transcript:Potri.016G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102200.v4.1 MAFNSVLRRASKSLLPLAIRAVGTPRTFHRAIPAVLSVENLRDFLPSSHFSTAATALKPTADENLIRVLGTEIECVEEPHDVENISNEFPFKIKDNPGERTILLSRKFQDETIKIEVDMPSISDDDDNDDDDDAKDADVSSIPLVVSITKGSGQYMEFCITAFHDEISIDSLSIKNLENSDELAYEGPDFNDLDENLQNAFLKYLEIRGIKPSVTNVLFDYMANKDTKEYLLWLKNVKNFVEK >Potri.016G116700.3.v4.1 pep chromosome:Pop_tri_v4:16:12103169:12108082:-1 gene:Potri.016G116700.v4.1 transcript:Potri.016G116700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116700.v4.1 MNWLRFITKLFLQVLIGTPQFFLSYICFAFPLLSSSSSFKPLPIVEIPLRETTSKKITDTAHDSTCVPDDVSNSGASDDSPTEKLTVVLDLDETLVCAYETSSLPPIIRTRAVEAGVKCFELECFSSEKDVEGKPEINHVTVFERPGLKEFLKQIGEFADLILFTAGIEGYASPLFDRIDVENQFSQRLFRPSTVSTEYRELVKDLSCLSKDLSRVVIVDNNPFSFLLQPLNGIPCVPFSAGLPYDDQLLDVLLPLLKNLSLQKDVRPVLHKRFHMPEWFQMHGIPASALTV >Potri.016G116700.2.v4.1 pep chromosome:Pop_tri_v4:16:12104146:12108088:-1 gene:Potri.016G116700.v4.1 transcript:Potri.016G116700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116700.v4.1 MNWLRFITKLFLQVLIGTPQFFLSYICFAFPLLSSSSSFKPLPIVEIPLRETTSKKITDTAHDSTCVPDDVSNSGASDDSPTEKLTVVLDLDETLVCAYETSSLPPIIRTRAVEAGVKCFELECFSSEKDVEGKPEINHVTVFERPGLKEFLKQIGEFADLILFTAGIEGYASPLFDRIDVENQFSQRLFRPSTVSTEYRELVKDLSCLSKDLSRVVIVDNNPFSFLLQPLNGIPCVPFSAGLPYDDQLLDVLLPLLKNLSLQKDVRPVLHKRFHMPEWFQMHGIPASALTV >Potri.007G131700.2.v4.1 pep chromosome:Pop_tri_v4:7:14523603:14527040:-1 gene:Potri.007G131700.v4.1 transcript:Potri.007G131700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131700.v4.1 MFGKSVVQVSTCPTMLSSSSLVNVSPGSSNFRPCLRARPQKKLLGVRAMSADTGHSQPPPSSSEKMNPLAVVLEVPVNIWRQTLKPLGDFGFGRRSIWEGGVGLFLVSGAVLVALSLAWLRGFQLRSKFRKYLAVIEFAQASGICTGTHVRIRGVTVGEVVRVNPSLKSIEAVVEVEDDKIFIPRNSLVEVNQSGLLMETMIDITPRDPIPKPSVGPLDAECVKEGLIVCDRQRIKGIQGVSLDALVGIFTRIGREVEEIGVANSYALAERVAAVIEEAKPLLTKIKAMTEDVQPLLSEVRDSGLLKEVENLTRSLTLASEDLRRAHTSIMTPENSELIQKSIYSLIFTLKNIENISSDILGFTGDEATRRNLKALIKSLSRLL >Potri.007G131700.7.v4.1 pep chromosome:Pop_tri_v4:7:14522358:14527040:-1 gene:Potri.007G131700.v4.1 transcript:Potri.007G131700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131700.v4.1 MFGKSVVQVSTCPTMLSSSSLVNVSPGSSNFRPCLRARPQKKLLGVRAMSADTGHSQPPPSSSEKMNPLAVVLEVPVNIWRQTLKPLGDFGFGRRSIWEGGVGLFLVSGAVLVALSLAWLRGFQLRSKFRKYLAVIEFAQASGICTGTHVRIRGVTVGEVVRVNPSLKSIEAVVEVEDDKIFIPRNSLVEVNQSGLLMETMIDITPRDPIPKPSVGPLDAECVKEGLIVCDRQRIKGIQGVSLDALVGIFTRIGREVEEIGVANSYALAERVAAVIEEAKPLLTKIKAMTEDVQPLLSEVRDSGLLKEVENLTRSLTLASEDLRRAHTSIMTPENSELIQKSIYSLIFTLKNIENISSDILGFTGDEATRRNLKALIKSLSRLL >Potri.010G112401.1.v4.1 pep chromosome:Pop_tri_v4:10:13218719:13219232:1 gene:Potri.010G112401.v4.1 transcript:Potri.010G112401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112401.v4.1 MNCHETSGFFVQQFAFAGKHFSARGDPRLRQYLTKPKATLEVSLFS >Potri.010G113300.2.v4.1 pep chromosome:Pop_tri_v4:10:13300580:13301533:-1 gene:Potri.010G113300.v4.1 transcript:Potri.010G113300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113300.v4.1 MLLRMSFFNSLMLLSMFLFVAAPVHGLDSRKLDETTVPAPTEEKCTPCNPSPPPPSPPPPVLCPPPPPPPELPPPTPKKPPSGYCPPPPPAPSFIYVTGPPGNLYPIDNDFSAAGRPTVSLQVWIGCGILLGSLFVRFGV >Potri.008G069950.1.v4.1 pep chromosome:Pop_tri_v4:8:4277776:4278662:1 gene:Potri.008G069950.v4.1 transcript:Potri.008G069950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069950.v4.1 MCSENIKPVLAGSFLLSRSELKGIREKDEEVILPPKDCDLFTGQWVFDNVTHPLYIEEKCEKLVRSRNITRQWSFIGHRSNRIQMIH >Potri.008G029000.2.v4.1 pep chromosome:Pop_tri_v4:8:1540236:1541079:-1 gene:Potri.008G029000.v4.1 transcript:Potri.008G029000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029000.v4.1 MYGSAASFSLLDYTSELKIIVYLFQRMNKWLVLSITHRTASWRADDNGINLRKESWHSYPSLLAGAYGLVLTFDTVTQRNLIQQSLSRKLAHIISGSLFAACWSIFSTTTQARYFAPEVPLVNCLRLVIHGFSLVTNEGLIKSVTREGDPQ >Potri.019G125100.1.v4.1 pep chromosome:Pop_tri_v4:19:14923695:14924752:1 gene:Potri.019G125100.v4.1 transcript:Potri.019G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125100.v4.1 MARFGFFFLIFVGILVLQAMADDKSEVQNGVKPKGVEEGGKPASSPMQAETESGEAEAPGIRRLGKHHSSDKSVAGGGVILGGLVTAIFAAVFCYIRVTRRRHGHQGGH >Potri.009G023100.1.v4.1 pep chromosome:Pop_tri_v4:9:3515691:3519289:-1 gene:Potri.009G023100.v4.1 transcript:Potri.009G023100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G023100.v4.1 MAIEEEGKHKNQNQQTWGTWEELLLASAVKRHGFKNWDSVSLEIQTKTSLPLVLTTPENCQQKYNDLNHRFNTNNKLHHHTRKPPDFQEQHNNINTADNSNTTNKHVNIPWLEELRQLRVAELKQEVQRYDVSILSLQLKVKRLEEERERSVQGGDSNTQKSDLKEERPEIEKEHESGKPVSVSGEESDWENRSVNESNSTGTGGKGGGEDAVGELEKLEPVRSGSGEPDPVMSGSNRKEMEEGGGGGGDGGEESCEVGDSVNQLSSESLSSGRKRKGRESKEFSVTGGDETVVVCSVKSEPLVGFLEMIRAHKNGSLFESLLENQEMDVYKDMIRQHMDLEAIQTKLEQGSYSSSSLLFFRDLLLLFNNALVFFPKHSVQSLAAHELRSLVSNEMRKETHSSDSSVMPENIPPQPKSELERSDSLLAKHKSSIPVIVCRKRSSISVKPSSSSLGQKIEQQQQQSNENKSVNDLKPPTVEQGLLKKKSDEKPVTGARSTRRGKKNLAKGSSPPSKKQNTSPDSKAVVPDKPETPKIEKKKGEALTLEKKKSAVDFLKRIKKNSPAETPKKNSRVASNGGGERKKEGSGGKGETGKDRVLRKSSEKKPGKQESSPAKRNVGRPSKKAAEVSRVSGKRGRDIGGKEAAKKPRKRSRR >Potri.008G094500.1.v4.1 pep chromosome:Pop_tri_v4:8:5901615:5903144:1 gene:Potri.008G094500.v4.1 transcript:Potri.008G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094500.v4.1 METNQKNNFVCKYCNKRYPCGKSLGGHIRIHLNANGTCSTDEDAKVKMSTTENKSKQISVSEAGGQFGYALRENPKKTTRFVADSSNTTSLPEQLCKECGKGFQSLKALCGHMACHSKNFFQDQSGATMKLKGIIMDKQSDSETTDPIEPRRSKRMRYKAIDVYTSSLSLTNTASLSSTSDIEQEQEEVAKSLMMLSKDSGFKGCFSSVADSSDNNSVVLEGKSSYMKMRINVNDGINFVSSGIESLEMKKATQRGVNSAENDQSENSDSGYFRNGPKKVESDVSVHGFARNGEFKKQEVDSVFSYDDELDAESGKRLSMSRHIRTQLGKDFIVDDVYNQGDRALMDSQKGCKNESCEYLSSSVRNRGSKCVSLGGRRTSHNRINGCSDSIYESGENSVDTDYVPNPIANSSKMIQSRSGKTPIEKSSSGKAEKKLGLKIEKVHECPFCPKVFRSGQALGGHKRSHFIGAARVRPVVIEQDVPEISTRGLIDLNLPVSMEEESDGLGPW >Potri.001G147100.2.v4.1 pep chromosome:Pop_tri_v4:1:12143094:12147207:1 gene:Potri.001G147100.v4.1 transcript:Potri.001G147100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147100.v4.1 MARTKRRKAEPDGPGVLVVDEDPVWKKPVKLEEEEEQLEPQVHEEDIEVKRTRMLELIRAQRAYNAIAEDGSRWVTFSPKHLSSPHKRRYRNDTPSPEPPMKPSGERKLHHSPSPEPDTKSKHSSGLNLPLREKTDLSTPRQQRRRHYSPSPKPELDLSPPRRSKKDVEGSGSPDNSQSSRQRSTQSASSMEQPKTGLITGRDKREEIFKTKKDASLLDDLELDKMLKDRKKRSEPVLADLGDSEKMTESGFIIPQDIPSPSWIERGFDAAPDRYGIKPGRLWDGVDRSNGEFIFELIAHSCTD >Potri.003G089201.1.v4.1 pep chromosome:Pop_tri_v4:3:11581891:11582088:1 gene:Potri.003G089201.v4.1 transcript:Potri.003G089201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089201.v4.1 MEGGRERMVIYQSERRKDTIDMLIEIEDEKGKNFEEEIIELILMCLIVDHESSAHANNVGNCPPR >Potri.016G062800.1.v4.1 pep chromosome:Pop_tri_v4:16:4394183:4396775:-1 gene:Potri.016G062800.v4.1 transcript:Potri.016G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062800.v4.1 MATATFPPPPPFYRLYKDYIENPKSAPEPPPPIEGTYVCFASSYTTDDVLPSLEEQGVRQLYPKGPNVDFKKELRSLNRELQLHILELADVLVERPSQYARRVEDISLIFKNLHHLLNSLRPHQARATLIHILELQIQRRKQAVEDIKRQREEAQKLLKEALGTLAGQ >Potri.001G279200.1.v4.1 pep chromosome:Pop_tri_v4:1:29280296:29281078:1 gene:Potri.001G279200.v4.1 transcript:Potri.001G279200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279200.v4.1 MPSAVESPEHNPMSHLINSISSYDSNIMLAAVISLLLVILFVLLLHIYAKWFLAQARHRRRSSSVSVSHVLRASRFHHFHNFTVDTTFSTSPSKGLDRSVISSIPLFVYKAEECKQGLECVICLSPFEENEVGKSLTKCGHGFHVECIDMWLNSHSNCPVCRAPAVGDDNDIAIDDLKSTEVSRESTDERGLSDGGASRLEIVTEFSNSENENGNVAVNRDCLSESPSTSLSLSSSLKRMCRNRSESKVFPSINATELDA >Potri.012G001100.1.v4.1 pep chromosome:Pop_tri_v4:12:1104150:1110757:-1 gene:Potri.012G001100.v4.1 transcript:Potri.012G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001100.v4.1 MECNKDEAVRAKEIAEKKFMGRDYAGAKKFALKAQNLYPELDGLSQMLTTFDVHISAENRTSNGEVDWYGVLGANPWADDETVRKHYHKLALMLHPDRNKSLGADDAFKLVSEAWGLLSDKEKRRAYNQKLSPAEWQGRVSTQTKAPSAQHRENGFHNHNSTVTSHTRTQNKNMQSRPTSVPSPSSKKPDTFWTICSRCMMHYEYLRVYLNHNLLCPNCHQPFLAVEKDPPSNVMKSSQNPRHHAGNSNAFNSQKNGGQNSGSEGFGVHNSTNGPNLQWCNFGGKIASTSTAGHAKSVVQKTHDQLKREQEDAQAATEWEKRYASKRVGNSALRADQLFKRRRCDETGMNNHGADVLNQAVIGNGGAGIRNSSEPRRGQFEAQRVHGFSDIHTKSITGRELSLLELRNILMKKGLLEVRGKLKEWSSNQVKLKESKKPESLVNNDANKHKSGDSAGTSSNGSTKQAPAPLSINVPDPDFHNFDLDRTESSFGDDQVWAAYDENDGMPRYYARIHSVISLKPFKMKISWLNSRSNSEFGLLDWVGSGFLKTCGDFRTGRHEISKTLNSFSHRVTWSKGARGVVRILPRKGDVWALYRNWSPDWNEDTPDEVVREYEMVEVLDDYDEEQGISVVPLIKVAGFKAVFCRHVGPNDIRRIPKEEMFRFSHQVPNHVLTGEEAHSAPEGCRELDPAAIPSEFLQVVTEASEAELVATGREADKQTMQSLSQTEVSRMVDDASITREMIRSEDHARDDPTAISSELPPVIMEVNKAQMA >Potri.014G080900.1.v4.1 pep chromosome:Pop_tri_v4:14:5217978:5220258:-1 gene:Potri.014G080900.v4.1 transcript:Potri.014G080900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080900.v4.1 MAFTGTLDKCKACDKTVYFVDMMSLEGVPYHKSCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKEGGDFSKNFQKGKPERTHDLSRIPSKLSSVFCGTQDKCSACGKTVYPLEKVTMEGECYHKTCFRCAHGGCPLTHSSYAALDGVLYCKVHFAQLFMEKGTYSHVLASAAHKRSNSTPPELAESNPEERAAVEEEKSEEQS >Potri.003G183800.1.v4.1 pep chromosome:Pop_tri_v4:3:18864685:18873007:-1 gene:Potri.003G183800.v4.1 transcript:Potri.003G183800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183800.v4.1 MGVYLSSPKTDKASQDGENDRLRYGLSSMQGWRTTMEDSHAAYPDLDISTSFFGVYDGHGGQAVAKFCAKYLHQQVLRQESYLSGDLGTSLQKAFLRMDEMMRGQRGWRELASLGDNIDKVSGMIEGLIWSPRRGQVNGSLDDWPSEEGPHSSFHGPTSGSTACVAIIRNNQLFVANAGDSRCVISRKGQAFDMSKDHKPDLVVERERIVNAGGFIVVGRVNGTLNLSRAIGDAELKQNKKLPAEQQIVTANPDIRTVELCDDDEFLVLACDGIWDCMSSQQLVDYVREQLNTETKLSAICGRVFHRCLAPDTNGGEGCDNMTMILVQFKKPVESGPSAEQQPPSSHQAMKVDTSNMDK >Potri.003G183800.5.v4.1 pep chromosome:Pop_tri_v4:3:18865115:18865351:-1 gene:Potri.003G183800.v4.1 transcript:Potri.003G183800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183800.v4.1 MLVTIFLSKSMQETKLSAICGRVFHRCLAPDTNGGEGCDNMTMILVQFKKPVESGPSAEQQPPSSHQAMKVDTSNMDK >Potri.016G130300.1.v4.1 pep chromosome:Pop_tri_v4:16:13342797:13343202:1 gene:Potri.016G130300.v4.1 transcript:Potri.016G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130300.v4.1 MASKKVIIPFVLIAVLLLCQDYKNNVAAQSQCCTEHPELGKCQPGVDDKSPNGKCWQYCMNNCDENKGGFCKLNNNMHHCHCYC >Potri.002G074200.1.v4.1 pep chromosome:Pop_tri_v4:2:5188746:5190040:1 gene:Potri.002G074200.v4.1 transcript:Potri.002G074200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074200.v4.1 MKTIVMEREQEEMQFLGVFGIYKEAYKIIFSWRKIFSQITLALILPLSLISLAQIEVSNVLSGKVINNKAELLGTEAGTKRYNKLSHHISSELAYFWLFEVAYLILSLIFSLLSTAAVVYTIASIYTDREVSFKKVMSVVPKVWKRLMVTFLSIFVAFFAYSVVAILVSSLVLIIASFVFIGFPYLKVFYSLGIVLLVLYIMGVVYMTIVWQLARPVSVLEEACGFKAMTKSRALIKGKMWTAIIIFFMQNLSSGVVCMAFQNLVVHGVSMNKATRVLYGVICLSLFLGLFLFGLVIQTVIYFVCKSNHHEKIEKSALSDHLDVYHEENVPLNSKDVQFEQSYV >Potri.002G068300.1.v4.1 pep chromosome:Pop_tri_v4:2:4717239:4720695:-1 gene:Potri.002G068300.v4.1 transcript:Potri.002G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068300.v4.1 MKSLRGSLNAVENYKPYVAMLFVQFVYAGMALFSKAAISKGMNSHVFVVYRQAFASVSLAPLAFFLERKEGAPLSWSLLFKIFLVSLCGVTMSLNLYYIAISYTTATFAAATTNTIPAITFVMAALLRMESISIKHLHGIAKVLGSVICVSGVLVFAFVKGPPVNFMNWYPSNDHKQVQDSSKTCCSREEWIKGSLIMISANTLWSLWLVLQGPIVKQYPAKLRLTTLQCVFSCIQSAFWAIAVERNPSAWKLGWDLKLLSVAYCGIIVTGISFWLQVWVIEKKGPLFTSMFTPLALIITAIFSAFLWKETLHWGSAGGDVLLMGGLYCVLWGKKREEDRKSVTTDEQNTETKEKITLECITSH >Potri.002G068300.4.v4.1 pep chromosome:Pop_tri_v4:2:4719099:4720630:-1 gene:Potri.002G068300.v4.1 transcript:Potri.002G068300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068300.v4.1 MKSLRGSLNAVENYKPYVAMLFVQFVYAGMALFSKAAISKGMNSHVFVVYRQAFASVSLAPLAFFLERKEGAPLSWSLLFKIFLVSLCGVTMSLNLYYIAISYTTATFAAATTNTIPAITFVMAALLRMESISIKHLHGIAKVLGSVICVSGVLVFAFVKGPPVNFMNWYPSNDHKQVQDSSKTCCSREEWIKGSLIMISANTLWSLWLVLQGPIVKQYPAKLRLTTLQCVFSCIQSAFWAIAVERNPSAWKLGWDLKLLSVAYCVRLFHHQT >Potri.013G059500.1.v4.1 pep chromosome:Pop_tri_v4:13:4334923:4340336:1 gene:Potri.013G059500.v4.1 transcript:Potri.013G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059500.v4.1 MAQFTAHGRLKFPFNNDGASWKLLGNDFVGGLRSFQSQKRKIRFLGTSGTRLYAASSLSKKPKHFYTEASQSVSEVNDDSEEDYERDELACFRGLVLDISYRPVNVVCWRRAICLEFMEKADVLEYYDQAVNSPSGSFYIPAVLRVPHLLQVVKRRRIKSNLSRKNILYRDNYTCQYCSSRENLTIDHVLPTARGGEWKWENLVAACAKCNSKKGQKTPEEANMKLSKVPKAPKDYDILAIPLTSAAIRMLRMRKGMPEEWQQYLARPSSEP >Potri.005G181100.1.v4.1 pep chromosome:Pop_tri_v4:5:18737871:18741763:-1 gene:Potri.005G181100.v4.1 transcript:Potri.005G181100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NRAMP7.2 MAGIQQQQLVNDTLPASLNGSSNRIASVNVEGQPQPWADDLDLQDPNHQKPGWRKFLSYVGPGFLVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVSTGKHLSELCKTEYPKYVKYCLWLLAEISVIAADIPEVIGTAFALNILFHIPVWVGVLCTGCSTLVLLGLQKYGVRKLELLIAVLVFVMAACFFGEMSYVKPSATDVLKGMFIPKLSGQGATGDAIALLGALIMPHNLFLHSALVLSRKMPNSVRGINDACHYFLIESGFALFIAFLINLAVISVSGTVCSAQNLSSENADRCGDLTLNSASFLLQNVLGKSSSKIYAIALLASGQSSTITGTYAGQYIMQGFLELKMRKWIRNLVTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSTTKMGPHKNSIYIIVISWILGLGIIGINIYYLSTGFVGWLIDNNLPKVGNVFIAIIVFPLMAIYILAVIYLTFRKDSVVTFLGPNKNDPQQQANMENGLTKSTEGPEMERVPYRGDLADIPLPE >Potri.T124808.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:55759:57097:-1 gene:Potri.T124808.v4.1 transcript:Potri.T124808.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124808.v4.1 MCLCHCQMSLFWIYGTVIKASIKFGRLGKPSTNLPSTHSSFPCFGTAPTCEVHPDPVLLRTVLSFAFPGPEGHLNRTCHTSSQQHQRLIAIRSACLVELAQVIKTVKSFM >Potri.014G197100.1.v4.1 pep chromosome:Pop_tri_v4:14:12944491:12947529:-1 gene:Potri.014G197100.v4.1 transcript:Potri.014G197100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197100.v4.1 MAFAKAQKSRAYYKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVMRFTNKDITAQIIHASMAGDIVVAAAYSHELPRYGLEVGLTNYAAAYCTGLLLARRVLKKLEMDEEYEGNVEATGEDYSVEPADSRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFNKDGKQLDAEVHRKYIYGGHVAAYMRNLMEDEPEKYQSHFSEYIKRGIEPDNMEELYKKVHAAIRADPTAKKSEKQLPKEHRRYNLKKLTYEERKAKLVERLKALNSAADDDEDDE >Potri.T002200.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:203810:209636:1 gene:Potri.T002200.v4.1 transcript:Potri.T002200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002200.v4.1 MQKEKRKQSKDEENASSSRKRRKADLTAMTEPESSRSIPEGAYDVFLSFRGEDTRKTFTDHLYAALDQAGIHTFRDDDELPRGEEISEHLLKAIRESKISIVVFSKGYASSRWCLNELVEILKCKRKKTGQIVLPIFYDIDPSDVRKQTGSFAEAFDKHEERFEEKLVKEWRKALEDAGNLSGWSLNDMANGHEAKFIKEIIKDVLNKLDSKYFYVPEHLVGMDRLSRNIFDFVSTATDDVRIVGIHGMPGIGKTTIAEVVFNQLFYGFEGSCFLSNINETSKQVNGLVDLQKQLLHNILKQDASNFDCVDGGKVRIKERLCRKRVLVVADDVAHQEQLNALMGDRRWFGPGSRVIITTRDSDLLRDADKTCQIEELKLDESLQLFSRHAFKDTKPAEDYIELSKKAVGYCGGLPLALKVIGALLYGKEKDRWESEIDDLSRIPNQDIQRKLLISYDALDGELQRAFLDIACFFVGIEKEYVAQVLGARCRRNPEVVLETLRERSLIQFHECIIEDERQLSGRTVAMHDLLRDMGREVVCKESPLHPEARTRIWNKDDAWNVLEQHKGTDKVEGLALDVRASETKSLSAGSFAEMKCLNLLKINGAHLTGSFKLLSKELMWICWHEFPLKYFPSYFTLDNLAVLDMPYSNLKELWKGKKILDKLKILNLSHSQHLIKTPDLHSSSLEKLILEGCSSLVEVHQSIENLTSLVFLNLKGCWSLKTLPESIDNVKSLETLNISGCSQVEKLPERMGDMEFLTELLADGIENEQFLSSIGQLKHCRRLSLCGDSSTPPSSSLISTGVLNWKRWLPASFIEWISVKHLELSNSGLSDRATNCVDFSGLSALEKLTLDGNKFSSLPSGIGFLSELRELSVKGCKYLVSIPDLPSSLKSVFACDCKSLKRVRIPSEPKKRLFIKLDESHSLEEFQGIEDLSNCFWYIRVDDRRHSTSKLLERC >Potri.T002200.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:203810:209708:1 gene:Potri.T002200.v4.1 transcript:Potri.T002200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002200.v4.1 MQKEKRKQSKDEENASSSRKRRKADLTAMTEPESSRSIPEGAYDVFLSFRGEDTRKTFTDHLYAALDQAGIHTFRDDDELPRGEEISEHLLKAIRESKISIVVFSKGYASSRWCLNELVEILKCKRKKTGQIVLPIFYDIDPSDVRKQTGSFAEAFDKHEERFEEKLVKEWRKALEDAGNLSGWSLNDMANGHEAKFIKEIIKDVLNKLDSKYFYVPEHLVGMDRLSRNIFDFVSTATDDVRIVGIHGMPGIGKTTIAEVVFNQLFYGFEGSCFLSNINETSKQVNGLVDLQKQLLHNILKQDASNFDCVDGGKVRIKERLCRKRVLVVADDVAHQEQLNALMGDRRWFGPGSRVIITTRDSDLLRDADKTCQIEELKLDESLQLFSRHAFKDTKPAEDYIELSKKAVGYCGGLPLALKVIGALLYGKEKDRWESEIDDLSRIPNQDIQRKLLISYDALDGELQRAFLDIACFFVGIEKEYVAQVLGARCRRNPEVVLETLRERSLIQFHECIIEDERQLSGRTVAMHDLLRDMGREVVCKESPLHPEARTRIWNKDDAWNVLEQHKGTDKVEGLALDVRASETKSLSAGSFAEMKCLNLLKINGAHLTGSFKLLSKELMWICWHEFPLKYFPSYFTLDNLAVLDMPYSNLKELWKGKKILDKLKILNLSHSQHLIKTPDLHSSSLEKLILEGCSSLVEVHQSIENLTSLVFLNLKGCWSLKTLPESIDNVKSLETLNISGCSQVEKLPERMGDMEFLTELLADGIENEQFLSSIGQLKHCRRLSLCGDSSTPPSSSLISTGVLNWKRWLPASFIEWISVKHLELSNSGLSDRATNCVDFSGLSALEKLTLDGNKFSSLPSGIGFLSELRELSVKGCKYLVSIPDLPSSLKSVFACDCKSLKRVRIPSEPKKRLFIKLDESHSLEEFQGIEDLSNCFWYIRVDDRRHSTSKLLERC >Potri.T002200.6.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:204764:209649:1 gene:Potri.T002200.v4.1 transcript:Potri.T002200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002200.v4.1 MDRLSRNIFDFVSTATDDVRIVGIHGMPGIGKTTIAEVVFNQLFYGFEGSCFLSNINETSKQVNGLVDLQKQLLHNILKQDASNFDCVDGGKVRIKERLCRKRVLVVADDVAHQEQLNALMGDRRWFGPGSRVIITTRDSDLLRDADKTCQIEELKLDESLQLFSRHAFKDTKPAEDYIELSKKAVGYCGGLPLALKVIGALLYGKEKDRWESEIDDLSRIPNQDIQRKLLISYDALDGELQRAFLDIACFFVGIEKEYVAQVLGARCRRNPEVVLETLRERSLIQFHECIIEDERQLSGRTVAMHDLLRDMGREVVCKESPLHPEARTRIWNKDDAWNVLEQHKGTDKVEGLALDVRASETKSLSAGSFAEMKCLNLLKINGAHLTGSFKLLSKELMWICWHEFPLKYFPSYFTLDNLAVLDMPYSNLKELWKGKKILDKLKILNLSHSQHLIKTPDLHSSSLEKLILEGCSSLVEVHQSIENLTSLVFLNLKGCWSLKTLPESIDNVKSLETLNISGCSQVEKLPERMGDMEFLTELLADGIENEQFLSSIGQLKHCRRLSLCGDSSTPPSSSLISTGVLNWKRWLPASFIEWISVKHLELSNSGLSDRATNCVDFSGLSALEKLTLDGNKFSSLPSGIGFLSELRELSVKGCKYLVSIPDLPSSLKSVFACDCKSLKRVRIPSEPKKRLFIKLDESHSLEEFQGIEDLSNCFWYIRVDDRRHSTSKLLERC >Potri.T002200.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:204301:209662:1 gene:Potri.T002200.v4.1 transcript:Potri.T002200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002200.v4.1 MANGHEAKFIKEIIKDVLNKLDSKYFYVPEHLVGMDRLSRNIFDFVSTATDDVRIVGIHGMPGIGKTTIAEVVFNQLFYGFEGSCFLSNINETSKQVNGLVDLQKQLLHNILKQDASNFDCVDGGKVRIKERLCRKRVLVVADDVAHQEQLNALMGDRRWFGPGSRVIITTRDSDLLRDADKTCQIEELKLDESLQLFSRHAFKDTKPAEDYIELSKKAVGYCGGLPLALKVIGALLYGKEKDRWESEIDDLSRIPNQDIQRKLLISYDALDGELQRAFLDIACFFVGIEKEYVAQVLGARCRRNPEVVLETLRERSLIQFHECIIEDERQLSGRTVAMHDLLRDMGREVVCKESPLHPEARTRIWNKDDAWNVLEQHKGTDKVEGLALDVRASETKSLSAGSFAEMKCLNLLKINGAHLTGSFKLLSKELMWICWHEFPLKYFPSYFTLDNLAVLDMPYSNLKELWKGKKILDKLKILNLSHSQHLIKTPDLHSSSLEKLILEGCSSLVEVHQSIENLTSLVFLNLKGCWSLKTLPESIDNVKSLETLNISGCSQVEKLPERMGDMEFLTELLADGIENEQFLSSIGQLKHCRRLSLCGDSSTPPSSSLISTGVLNWKRWLPASFIEWISVKHLELSNSGLSDRATNCVDFSGLSALEKLTLDGNKFSSLPSGIGFLSELRELSVKGCKYLVSIPDLPSSLKSVFACDCKSLKRVRIPSEPKKRLFIKLDESHSLEEFQGIEDLSNCFWYIRVDDRRHSTSKLLERC >Potri.002G007201.1.v4.1 pep chromosome:Pop_tri_v4:2:395355:396560:1 gene:Potri.002G007201.v4.1 transcript:Potri.002G007201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007201.v4.1 MVRLHSMPEHNRNVELWKANGYPSAQLLKLMRDVISGLAHLHELGIILGDLKPQNVLIISEKSFCAKLSDMGISKCLRWDMSSLTQHPTGYGSSGWQAPEQLLRGCQTRALDVFSLGCVLFFCTTGGKHPFGDNIERDVNIVNDRKDLFLVENIQKLWIFSLVSWILTQRRGQ >Potri.010G071700.2.v4.1 pep chromosome:Pop_tri_v4:10:9989116:9992718:-1 gene:Potri.010G071700.v4.1 transcript:Potri.010G071700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071700.v4.1 MARDQLVVLNALDLAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYTKPGAAKPGTLPPNAAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLVLMVLCSFASGLSFGRSANGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALVVSAAFDHRFKTPSYHENPAASLAPQADYIWRIILMFGAVPAGLTFYWRMKMPETARYTALVAKNAKQAASDMSKVLNVEFEVEEEKIQRIAQEPANSFGLFSKEFAKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAINWIPPAATMNAIEEVFRIAKAQTLIALCSTVPGYWFTVALIDHLGRFFIQMMGFFFMTVFMFAIAIPYHHWTLKPNRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQSTDRTKTDADYPPGIGVRRSLIVLGIVNFLGMLFTLLVPEAKGKSLEELSGENGDENDGEKQAASARMASV >Potri.010G071700.1.v4.1 pep chromosome:Pop_tri_v4:10:9989508:9992718:-1 gene:Potri.010G071700.v4.1 transcript:Potri.010G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071700.v4.1 MARDQLVVLNALDLAKTQWYHFTAIVIAGMGFFTDAYDLFSISLVTKLLGRIYYTKPGAAKPGTLPPNAAAAVNGVALCGTLAGQLFFGWLGDKLGRKKVYGITLVLMVLCSFASGLSFGRSANGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALVVSAAFDHRFKTPSYHENPAASLAPQADYIWRIILMFGAVPAGLTFYWRMKMPETARYTALVAKNAKQAASDMSKVLNVEFEVEEEKIQRIAQEPANSFGLFSKEFAKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAINWIPPAATMNAIEEVFRIAKAQTLIALCSTVPGYWFTVALIDHLGRFFIQMMGFFFMTVFMFAIAIPYHHWTLKPNRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQSTDRTKTDADYPPGIGVRRSLIVLGIVNFLGMLFTLLVPEAKGKSLEELSGENGDENDGEKQAASARMASV >Potri.003G062200.1.v4.1 pep chromosome:Pop_tri_v4:3:8905345:8906202:1 gene:Potri.003G062200.v4.1 transcript:Potri.003G062200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062200.v4.1 MAGLQRSAMSFRRQGSSGLVWDDKLISGELNKVNQKQEHEEQEPQHDQKTDIKLENDVRPTSRSSTTPSITIERSRSNGGQRGYRTGRVSPAIEPPSPKVSACGFCGAFGKPAKNRRKKSGKGRSR >Potri.001G111000.2.v4.1 pep chromosome:Pop_tri_v4:1:8936233:8939677:1 gene:Potri.001G111000.v4.1 transcript:Potri.001G111000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111000.v4.1 MKGEDQARSLFGISLSDRPKWQQFLICSSGFFFGYLINGVCEEYVYNRLQFSYGWYFTFVQGFVYLVLIYLQGFTPKQMVNPWKTYWKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPFHEYISALLLVIGLILFTLADAQTSPNFSIIGVLMISGALIMDSLMGNLQEAIFTMNPDTTQIEVLFCSTVVGLPFLLPPMILTGELFKAWKSCAQHPYVYGVLVFEAMATFIGQISVLSLIAIFGAATTAMITTARKAVTLLLSYMIFTKPLTEQHGSGLLLIAMGIILKMVPIDYKPPSRSAPRNGKSHFKEEKSQADSRKGEGDEEKRPLV >Potri.008G014700.2.v4.1 pep chromosome:Pop_tri_v4:8:747521:752917:1 gene:Potri.008G014700.v4.1 transcript:Potri.008G014700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014700.v4.1 MGNTCVGPSISKNGFFQSVSAAMWRTRSPDDSISQTNGESVHELEAVSRESEPPLPVQSKPPEQMTIPKPEAPEKSEKSDEPAKPKKPPQVKRVSSAGLRTESVLKTKAGNLKEFFSLGKKLGQGQFGTTFLCVEKATKKEFACKSIAKRKLLTDEDVEDVRREISIMHHLAGHPNVISIKGAYEDAVAVHVVMEICAGGELFDRIIKRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSLLKTIDFGLSIFFKPGERFHDVVGSPYYVAPEVLKKRYGPEADVWSAGVIIYILLSGVPPFWAETEQEIFEQVLHGDLDFSSDPWPSISESAKDLVRRMLVRDPRRRLTAHEVLCHPWVHEDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRIIAETLSEEEIAGLKEMFKMIDSDGSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKIERQDHLFAAFSYFDKDGSGYITPDELQQACEEFGIGDVRLEEMIKEVDQDNDGRIDYNEFVAMMQKGNVAGPARKGLEHSFSINFREALKL >Potri.008G014700.1.v4.1 pep chromosome:Pop_tri_v4:8:747574:753190:1 gene:Potri.008G014700.v4.1 transcript:Potri.008G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014700.v4.1 MGNTCVGPSISKNGFFQSVSAAMWRTRSPDDSISQTNGESVHELEAVSRESEPPLPVQSKPPEQMTIPKPEAPEKSEKSDEPAKPKKPPQVKRVSSAGLRTESVLKTKAGNLKEFFSLGKKLGQGQFGTTFLCVEKATKKEFACKSIAKRKLLTDEDVEDVRREISIMHHLAGHPNVISIKGAYEDAVAVHVVMEICAGGELFDRIIKRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVNQKEDSLLKTIDFGLSIFFKPGERFHDVVGSPYYVAPEVLKKRYGPEADVWSAGVIIYILLSGVPPFWAETEQEIFEQVLHGDLDFSSDPWPSISESAKDLVRRMLVRDPRRRLTAHEVLCHPWVHEDGVAPDKPLDSAVLSRLKQFSAMNKLKKMALRIIAETLSEEEIAGLKEMFKMIDSDGSGQITFEELKAGLKRVGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKIERQDHLFAAFSYFDKDGSGYITPDELQQACEEFGIGDVRLEEMIKEVDQDNDGRIDYNEFVAMMQKGNVAGPARKGLEHSFSINFREALKL >Potri.019G051300.1.v4.1 pep chromosome:Pop_tri_v4:19:8534486:8540951:1 gene:Potri.019G051300.v4.1 transcript:Potri.019G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051300.v4.1 MTKYHLSLQLLILFQFSSIISAIRKDIGFEQSRSCRNTVQGRYLLSDDNGYVCDALSVDPPSRCCPENGLRFSCQGCNLVSQCCNSYEFCVSCCLHPSRTQEAQVLKVKIAKPSTAVSYTSVFDFCAGRCRHNSESVVHENAYRSDFHHCFSLPSNSSGANYTQLEARLGGINVVIGKQGESCDSVCKSNGQSCVLNKLLVLNQCDVMQKYMSCKGGCLASIGTDQPAEVVEDAPRHLNPGACLYTRTQSLLSCDGSLWHTRRLCPCA >Potri.019G070436.1.v4.1 pep chromosome:Pop_tri_v4:19:11116589:11118840:1 gene:Potri.019G070436.v4.1 transcript:Potri.019G070436.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070436.v4.1 MASSSAVARKRKYDVFLSFRGKDTRNNFTSHLYDATRRKKIKTFIDDGLERGEEITPALLKTIEESRISVVIFSKNYASSPWCVDELVKILECKETYGQIVLPVFYHVDPSDVDEQTGSFGNAFAELEIFFKGKMDKVPRWRDDLRKAASISGWDSQVTSKRSCANYLEKVK >Potri.016G079500.1.v4.1 pep chromosome:Pop_tri_v4:16:6065698:6067162:1 gene:Potri.016G079500.v4.1 transcript:Potri.016G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079500.v4.1 MPQVDLETLVSACAGGTCDSKIACETLAATTTNTNNYRSQPPPPPDSPDVAEVPPDFPPESFWLSKDAELDWFNTNAYYERKDSTKGNSNSANLNPNLIPNPNHNSSNSQRFSSFHSKASIIGLPKIQKSTFVVDTKKRRICKHGNTRLFPKRSGSTGKSDPTGMIEPSSPKVSCMGRVRSKKDRRRLRKKQLQQQEQLSFQSSGKKESSRKDKKKEAGFFASLKAIFRCKSNIKDKSHRTTGNDTSYGGSVSESYTFNKSSDIRDRLPASDRDAPHRRSFGMEPLAAEPVVGLGGMTRFASGRRSESWSVEIGVA >Potri.018G119200.1.v4.1 pep chromosome:Pop_tri_v4:18:13466552:13473013:-1 gene:Potri.018G119200.v4.1 transcript:Potri.018G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119200.v4.1 MSSSRSGGGGGGGGGGSGSKTRVGRYELGRTLGEGNFAKVKFARNVETKENVAIKILDKENVLKHKMIGQIKREISTMKLIRHPNVVRMYEVMASKTKIYIVLQFVTGGELFDKIASKGRLKEDEARKYFQQLICAVDYCHSRGVYHRDLKPENLLMDANGILKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEEANLMALYKKIFKADFTCPPWFSSSAKKLIKRILDPNPSTRITIAELIENEWFKKGYKPPAFEQANVSLDDVNSIFNESVDSRNLVVERREEGFIGPMAPVTMNAFELISTSQGLNLSSLFEKQMGLVKRESRFTSKHSASEIISKIEAAAAPLGFDVKKNNFKMKLQGDKDGRKGRLSVATEIFEVAPSLYMVEVRKSGGDTLEFHKFYKNLSTGLKDIVWKTIDEEKEEEEAATNGAAQVAAR >Potri.018G119200.3.v4.1 pep chromosome:Pop_tri_v4:18:13466579:13472948:-1 gene:Potri.018G119200.v4.1 transcript:Potri.018G119200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119200.v4.1 MSSSRSGGGGGGGGGGSGSKTRVGRYELGRTLGEGNFAKVKFARNVETKENVAIKILDKENVLKHKMIGQIKREISTMKLIRHPNVVRMYEVMASKTKIYIVLQFVTGGELFDKIASKGRLKEDEARKYFQQLICAVDYCHSRGVYHRDLKPENLLMDANGILKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEEANLMALYKKIFKADFTCPPWFSSSAKKLIKRILDPNPSTVCPPSSLHINLLYFFPSLE >Potri.010G109100.4.v4.1 pep chromosome:Pop_tri_v4:10:12971948:12973334:-1 gene:Potri.010G109100.v4.1 transcript:Potri.010G109100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109100.v4.1 MDPSKPGASALEIDTKPQPAYPPPVTGIPVGHASQYINENPQHPQYHYQQRQHGQQARWSSGLCDCCSDVPGCCLTCWCPCITFGRIAEITDKGTTPCVVSGAIYGLLMWFTGCSCLYSCLYRSKLRTQYMLEESPCNDCLVHCCCESCALCQEYRELEHRGFDMASGWQESLQGPSGTVAPSAPVVGQGMTR >Potri.005G157500.1.v4.1 pep chromosome:Pop_tri_v4:5:15035854:15038756:1 gene:Potri.005G157500.v4.1 transcript:Potri.005G157500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157500.v4.1 MEIEVSSSIPPSTVDLEERNKDQVRVRRKTLKVVLEQCQRALELLNNADGVDDDGYSSGEESKEVESSPSRDSSSTSLGDQEADELCDLLKSRVECPDFIEKLECAQLSVSQNITEEGSSWDMISENDLWEAEIDESDQEDYVLVREEDIVEGIACFMAAYLLSLKQTKDLAPTQLQEALSKTFSVKKKKGKLRKAWDGSKVIYNVASWGATAIGLYQNPVLFGAASKAFWTSCHVISKLL >Potri.010G060100.3.v4.1 pep chromosome:Pop_tri_v4:10:9020829:9024112:-1 gene:Potri.010G060100.v4.1 transcript:Potri.010G060100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060100.v4.1 MANEDVSHDLSSLLSSEERDSLIRNNGDQVRVSNLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEQLSSKGDFEVVFISSDGDDESFNTYFSEMPWLAIPFSDTETRLRLKEVFEVRGIPHLVIFDTNGKVSCDDGVSTVMEHGVDGYPFNLDRLNFLKKQEENAKKNQTISSILVSSSRDYVISNDGKKIPLLDLEGKLVGLCFSIHTMCCEFTPKLVELYKTLKEKGENFEVVLISLDDEEEDFKESFETMPWLALPFNDKSCEKLVRYFELSTIPNLVIIGQDGKTLNSNVAELIEEHGIEAYPFTPEKLDELAAIEKAKLESQTLESVLVIGENDFVIDKSGSKVPVSELVGKNILLYFSAQWCPPCRAFLPKLIEAYHTIKGKDNAFEVIFISSDSDQSTFDEFYSEMPWLALPFVDGRKQILSRKFKIQGIPAAVAIGPSGRTITKEARKHLTAYGADAFPFTEEHLKQLEEELEEKEKGWPEKVKHELHTEHELIRTKRKAYVCNGCRETGHSWSFYCKQCDFDLHPKCALKEDEDTGSEKGKEGWNCDGDVCRRA >Potri.010G060100.2.v4.1 pep chromosome:Pop_tri_v4:10:9020693:9024159:-1 gene:Potri.010G060100.v4.1 transcript:Potri.010G060100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060100.v4.1 MANEDVSHDLSSLLSSEERDSLIRNNGDQVRVSNLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEQLSSKGDFEVVFISSDGDDESFNTYFSEMPWLAIPFSDTETRLRLKEVFEVRGIPHLVIFDTNGKVSCDDGVSTVMEHGVDGYPFNLDRLNFLKKQEENAKKNQTISSILVSSSRDYVISNDGKKIPLLDLEGKLVGLCFSIHTMCCEFTPKLVELYKTLKEKGENFEVVLISLDDEEEDFKESFETMPWLALPFNDKSCEKLVRYFELSTIPNLVIIGQDGKTLNSNVAELIEEHGIEAYPFTPEKLDELAAIEKAKLESQTLESVLVIGENDFVIDKSGSKVPVSELVGKNILLYFSAQWCPPCRAFLPKLIEAYHTIKGKDNAFEVIFISSDSDQSTFDEFYSEMPWLALPFVDGRKQILSRKFKIQGIPAAVAIGPSGRTITKEARKHLTAYGADAFPFTEEHLKQLEEELEEKEKGWPEKVKHELHTEHELIRTKRKAYVCNGCRETGHSWSFYCKQCDFDLHPKCALKEDEDTGSEKGKEGWNCDGDVCRRA >Potri.006G166832.1.v4.1 pep chromosome:Pop_tri_v4:6:16602328:16603231:1 gene:Potri.006G166832.v4.1 transcript:Potri.006G166832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166832.v4.1 MMSWCGWWCCCDCSSSSLVLLGCLSSSSVQLLVQLVVLPFSSTGFLFPPLSICLRVPLVLVLAEDGGREGYPAVMLLLLFAASGGRIRLLCCSCLLLLKVAEELQLVMTAPLLVHAPSAEQNTATAGDGEKI >Potri.014G134700.1.v4.1 pep chromosome:Pop_tri_v4:14:9033010:9034515:1 gene:Potri.014G134700.v4.1 transcript:Potri.014G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G134700.v4.1 MKKKNPSNREDDREKTNGPKSMVNKRKKNMKRLGGAAGLSLEAFVNAKSNTSSSFSNPALIKKQREFYKNAKYVSKFKKKLKQQHQPNELHSDVRPSEAENENREGSKMMNKNKRSKNSLKELYEKRREEEEKARIEREAILKAKKEERERSESRRKAAREKMFKKTRHGQPVMKYRIEHLLQLVQGSNGNSTDKNL >Potri.006G085200.4.v4.1 pep chromosome:Pop_tri_v4:6:6345396:6345834:1 gene:Potri.006G085200.v4.1 transcript:Potri.006G085200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085200.v4.1 MSSSETITNETLVLGVAPEKGHFAGAAETVVGAENGCKCGANCTCDPCTCK >Potri.006G085200.2.v4.1 pep chromosome:Pop_tri_v4:6:6345180:6345833:1 gene:Potri.006G085200.v4.1 transcript:Potri.006G085200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085200.v4.1 MSCCGGNCGCGSGCKCGSGCGGCKMYPDMSSSETITNETLVLGVAPEKGHFAGAAETVVGAENGCKCGANCTCDPCTCK >Potri.006G085200.3.v4.1 pep chromosome:Pop_tri_v4:6:6345181:6345831:1 gene:Potri.006G085200.v4.1 transcript:Potri.006G085200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085200.v4.1 MILLTCRCKMYPDMSSSETITNETLVLGVAPEKGHFAGAAETVVGAENGCKCGANCTCDPCTCK >Potri.016G032783.1.v4.1 pep chromosome:Pop_tri_v4:16:1848362:1848796:-1 gene:Potri.016G032783.v4.1 transcript:Potri.016G032783.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032783.v4.1 MFFPQRQVSLNIALMTARNYDQQDRLTTPNSLHAVFTFIMPVLLNFLELMYQGKDYSPFDTHPINMWIGLTCLLAYCLAYGVEVACSKCLRSPVYASIFRRSAVFFGSLSVASIALIFFSGLCSAIAICSMHLTSSGEVTVHPS >Potri.005G119500.2.v4.1 pep chromosome:Pop_tri_v4:5:8776361:8779878:-1 gene:Potri.005G119500.v4.1 transcript:Potri.005G119500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119500.v4.1 MATLVEPPNGIRPSGKQYYSMWHTVFELDSKYVPIKPIGKGAYGVVCSSINRETNEKVAIKKINNVFENKIDALRTLRELKLLRHIRHENVIALKDVLMPIHRTSFKDVYLVYELMDTDLHQIIKSSQPLSSDHCKYFIFQLLRGLNYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIISVLGSQNDTDLEFIDNPKARRYIKTLPYTRGTHLSHLYPHADPLALDLLQRMLVFDPSKRITVTEALLHPYISGLYDPRRDPPAQVPINLDIDENLGEHMIREMIWDEMLHYHPEAVLARR >Potri.005G119500.3.v4.1 pep chromosome:Pop_tri_v4:5:8776344:8779808:-1 gene:Potri.005G119500.v4.1 transcript:Potri.005G119500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119500.v4.1 MATLVEPPNGIRPSGKQYYSMWHTVFELDSKYVPIKPIGKGAYGVVCSSINRETNEKVAIKKINNVFENKIDALRTLRELKLLRHIRHENVIALKDVLMPIHRTSFKDVYLVYELMDTDLHQIIKSSQPLSSDHCKYFIFQLLRGLNYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIISVLGSQNDTDLEFIDNPKARRYIKTLPYTRGTHLSHLYPHADPLALDLLQRMLVFDPSKRITVTEALLHPYISGLYDPRRDPPAQVPINLDIDENLGEHMIREMIWDEMLHYHPEAVLARR >Potri.005G119500.4.v4.1 pep chromosome:Pop_tri_v4:5:8776343:8779754:-1 gene:Potri.005G119500.v4.1 transcript:Potri.005G119500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119500.v4.1 MTICREMATLVEPPNGIRPSGKQYYSMWHTVFELDSKYVPIKPIGKGAYGVVCSSINRETNEKVAIKKINNVFENKIDALRTLRELKLLRHIRHENVIALKDVLMPIHRTSFKDVYLVYELMDTDLHQIIKSSQPLSSDHCKYFIFQLLRGLNYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGNEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRKPIFPGTECLNQLKLIISVLGSQNDTDLEFIDNPKARRYIKTLPYTRGTHLSHLYPHADPLALDLLQRMLVFDPSKRITVTEALLHPYISGLYDPRRDPPAQVPINLDIDENLGEHMIREMIWDEMLHYHPEAVLARR >Potri.007G091600.1.v4.1 pep chromosome:Pop_tri_v4:7:11719564:11722184:1 gene:Potri.007G091600.v4.1 transcript:Potri.007G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091600.v4.1 MDSGNSGSMQSSSGGDEEYDSRAESISAFLNNNNNPLSHVGPMSSNPPPQPEHHHHHQTHHSSSSSTMLFDPLSNYFDPLSSASSRSPPPPFTNPNSLLNLDMVWSKNLRSEPNCTDLGGFISSSSPTQQLFTNQTQTRTTFQSLPPHGHESATRGPVSGTNDQVSNTAGVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRSRLDLFGTAASTLRSAVSHHLDPSPPPYLLRPFAQRFQPPPPPAPPFASSGSTASSFSTSMVDAIASTTTTNINNSGACTNSTTTSNISSTSINYQLPSDLGLLKQPHHLLNINVQNPILNFHPLFQAPHKYPLPNSTNILGTTKAQQGSSLEIPSNDSHLKMGVLEEFGMSHGHVSTNLTGLQNIVSSSSSPSADATLMRRGDHNNNLANWGDGVGSNEGGHHHHQQQQGLLRSINGNYNNSTQRVTNGKVNFLASSSSDFRGDNKGQENVATRSEGMMESWICSSD >Potri.007G091600.2.v4.1 pep chromosome:Pop_tri_v4:7:11719502:11723247:1 gene:Potri.007G091600.v4.1 transcript:Potri.007G091600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091600.v4.1 MDSGNSGSMQSSSGGDEEYDSRAESISAFLNNNNNPLSHVGPMSSNPPPQPEHHHHHQTHHSSSSSTMLFDPLSNYFDPLSSASSRSPPPPFTNPNSLLNLDMVWSKNLRSEPNCTDLGGFISSSSPTQQLFTNQTQTRTTFQSLPPHGHESATRGPVSGTNDQVSNTAGVRNPKKRSRASRRAPTTVLTTDTTNFRAMVQEFTGIPAPPFTSSPFPRSRLDLFGTAASTLRSAVSHHLDPSPPPYLLRPFAQRFQPPPPPAPPFASSGSTASSFSTSMVDAIASTTTTNINNSGACTNSTTTSNISSTSINYQLPSDLGLLKQPHHLLNINVQNPILNFHPLFQAPHKYPLPNSTNILGTTKAQQGSSLEIPSNDSHLKMGVLEEFGMSHGHVSTNLTGLQNIVSSSSSPSADATLMRRGDHNNNLANWGDGVGSNEGGHHHHQQQQGLLRSINGNYNNSTQRVTNGKVNFLASSSSDFRGDNKGQENVATRSEGMMESWICSSD >Potri.006G234900.1.v4.1 pep chromosome:Pop_tri_v4:6:23734636:23735964:-1 gene:Potri.006G234900.v4.1 transcript:Potri.006G234900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234900.v4.1 MPDRSRPMSRYEPSSGQPTSRKAVKFMTAGTIGAALLVLSGLTLTGTVIALVVATPVLVLSSPILVPAAIVVFLVASGFFFSSGCGLAAIMVSLWIYNYVTGKHPPGADKLDYAGGRIAETAKDMKDRAKECGQNVRQKVQESSHTQTS >Potri.009G069200.1.v4.1 pep chromosome:Pop_tri_v4:9:6847603:6850672:-1 gene:Potri.009G069200.v4.1 transcript:Potri.009G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069200.v4.1 MGNCCSRGGAQDASANKDDTTEGTNRTNGQLDAPSTTPPSPPPGASPKPAKVTPIGPVLGRPMEDAKSIYTIGKELGRGQFGITHLCTNKVTGEQFACKTIAKRKLVNKEDVEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIVHTCHSMGVIHRDLKPENFLLLNKHENSPLKATDFGLSVFYKSGEVFKDIVGSAYYIAPEVLKRRYGPEADIWSVGVMLYILLSGVPPFWAESEHGIFNAILRCHIDFTSDPWPSISPQAKDLVRKMLASDPKQRMTAIQVLSHPWIKEDGEAPDTPLDNAVLSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITLEELKQGLAKQGTKLSEYEVKQLMEAADADGNGTIDYDEFITATMHMNRMDREEHLYTAFQHFDKDNSGYITTEELEQALREFGMHDGRDIKEIISEVDADNDGRINYDEFVAMMRKGNPEANPKKRRNDVFV >Potri.018G103700.4.v4.1 pep chromosome:Pop_tri_v4:18:12344799:12351370:1 gene:Potri.018G103700.v4.1 transcript:Potri.018G103700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103700.v4.1 MKTLVHFGAPENILIDGKPHLGTDRLVPLLRNFRQNLQDQGVSIKFGTRVDDLIIEDGHVVGVKVSDSKDKQKLDCQKLGFDAVILAVGHSARDIYHMLLSHDIDLMPKDFAVGLRIEHPQELINSVQYSSLGTEVHRGRGKIPVADYKVASYVSREDGKTPSSSGPTSRSCYSFCMCPGGQVVLTSTDPSEICINGMSFSRRASKWANAALVVTVSTQDFNSLNFHGPLAGIDFQREFERRAAVMGGGDFVVPVQTATDFLDGKLSVTSLPPSSYRLGVKAAKLHELFPMHITDALRHSVSVFDKELPGFISNEALLHGVETRTSSPIQIPRSSDTYESMSLKGLYPVGEGAGYAGGIVSAAVDGMHAGFAVAKRFGLFLDGIESVLGKAQGAGFAKY >Potri.018G103700.1.v4.1 pep chromosome:Pop_tri_v4:18:12344803:12351387:1 gene:Potri.018G103700.v4.1 transcript:Potri.018G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103700.v4.1 MSVLRSVLLPLSLPFPNSTSILPYPTRRLHHPQTLPKIICATKRTGKQRYPSEKKKLKLKHKEALTDVKNKFDGIWRLSKLAVSVQDDPGKDFLGVSDGLLQEIAKAIKFPVASMLPPEAFSVIRKSFDARKMLKEAKFVYTVDMDVSELINLEPRTRDFISDLEPRVGLIEHIPRERVSGDIISVIQDCKKVEGERLLKEGGVNGYSSNAGAYKYTGSRKPKIAVVGSGPSGLFASLVLAELGADVTLIERGQPVEQRGRDIGALMVRRILELESNFCFGEGGAGTWSDGKLVTRIGRNSDSVLAVMKTLVHFGAPENILIDGKPHLGTDRLVPLLRNFRQNLQDQGVSIKFGTRVDDLIIEDGHVVGVKVSDSKDKQKLDCQKLGFDAVILAVGHSARDIYHMLLSHDIDLMPKDFAVGLRIEHPQELINSVQYSSLGTEVHRGRGKIPVADYKVASYVSREDGKTPSSSGPTSRSCYSFCMCPGGQVVLTSTDPSEICINGMSFSRRASKWANAALVVTVSTQDFNSLNFHGPLAGIDFQREFERRAAVMGGGDFVVPVQTATDFLDGKLSVTSLPPSSYRLGVKAAKLHELFPMHITDALRHSVSVFDKELPGFISNEALLHGVETRTSSPIQIPRSSDTYESMSLKGLYPVGEGAGYAGGIVSAAVDGMHAGFAVAKRFGLFLDGIESVLGKAQGAGFAKY >Potri.010G040800.1.v4.1 pep chromosome:Pop_tri_v4:10:7159217:7162124:-1 gene:Potri.010G040800.v4.1 transcript:Potri.010G040800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040800.v4.1 MQNFHPITLLLSLCFLSLLNVNYAADGSSDSANGESPFTAKASLIRYWNKEIHTGLPKSAFLLSKASPLSPVDLATFSKLASQNALSTQFPAFCSSAKLFCFPDLSPSLEKHDQDSHFAFYFNKNFTNYGTGRAGGADSFKNYSDGVNLPVDSFRRYGRDAAGHDETFSNYAPETNVADQSFNTYGAGATGGTGEFKGYNVRINKPDLRFVSYSDGANGKGQKFSTYTEDANAGDEAFTSYGKNGNGVPNEFSGYGKSSNVIGSDFSNYGEAGNGASDTFKTYGVDGNVPENNYKNYGDGGNGGVDSFANYREKSNVGDDSFQSYAKNSNAQKADFVNYGKSFNEGTDKFTGYGKGAVGQQIGFKIYGVNTTFKDYARKKDVTFSKYTNAGTADASMKVTSDSSANKNKWVEPGKFFRESMLKEGSEMPMPDIRDKMPKRSFLPRSIISKIPFSTSKMGLIKEIFHASDNSSMERIILDAVEECERAPSPGETKRCVGSAEDLIDFVISVLGRNVAVRTTDNVEGSKKNVTIGTIKGINGGRVTKSVSCHQSLYPYLLYYCHSVPKVRVYEADLLDPNSKTKINHGVAICHLDTSSWSPTHGAFLALGSGPGRIEVCHWIFENDMTWTLAEAS >Potri.010G040800.2.v4.1 pep chromosome:Pop_tri_v4:10:7159227:7162018:-1 gene:Potri.010G040800.v4.1 transcript:Potri.010G040800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040800.v4.1 MQVNYAADGSSDSANGESPFTAKASLIRYWNKEIHTGLPKSAFLLSKASPLSPVDLATFSKLASQNALSTQFPAFCSSAKLFCFPDLSPSLEKHDQDSHFAFYFNKNFTNYGTGRAGGADSFKNYSDGVNLPVDSFRRYGRDAAGHDETFSNYAPETNVADQSFNTYGAGATGGTGEFKGYNVRINKPDLRFVSYSDGANGKGQKFSTYTEDANAGDEAFTSYGKNGNGVPNEFSGYGKSSNVIGSDFSNYGEAGNGASDTFKTYGVDGNVPENNYKNYGDGGNGGVDSFANYREKSNVGDDSFQSYAKNSNAQKADFVNYGKSFNEGTDKFTGYGKGAVGQQIGFKIYGVNTTFKDYARKKDVTFSKYTNAGTADASMKVTSDSSANKNKWVEPGKFFRESMLKEGSEMPMPDIRDKMPKRSFLPRSIISKIPFSTSKMGLIKEIFHASDNSSMERIILDAVEECERAPSPGETKRCVGSAEDLIDFVISVLGRNVAVRTTDNVEGSKKNVTIGTIKGINGGRVTKSVSCHQSLYPYLLYYCHSVPKVRVYEADLLDPNSKTKINHGVAICHLDTSSWSPTHGAFLALGSGPGRIEVCHWIFENDMTWTLAEAS >Potri.013G017500.2.v4.1 pep chromosome:Pop_tri_v4:13:1098157:1102786:-1 gene:Potri.013G017500.v4.1 transcript:Potri.013G017500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017500.v4.1 MELSLSGNALKTFARSITCLSRIGNELAIQASPSQLSLHTLHSSRSAYQCITFKASFFDVYTVSGTEVKFSVLLKAICSVLRTPIAGVDHLSVHLPDPDASKVKWTLECFNGIRKSYWITCNVEPDIQHLSLDRRRYPSSLVVRPHDLNRLLANFQSSLQEITIIATERASMASDTENEIGGKAVELRSYIDPTKDNDSSLHTQLWIDPAEEFVQYTHSGDPVDVTFGVKELKAFLSFCEGCEVDIHLYLEKAGEPILMAPKFGLDDGSSSNFDATLVLATMLISQLHEGNPPEPPQATARGEAADGTGSQGQQERCGVNVSEHPSDHTRIWSELSGSAARSGSGGGAEARQAPGERDLNANEQREIQRISTMHISKDTSAGENVAVNPSLGHPVQKGHAKEAQERSETDAHSFSQRHPSNWVDADEDEDDDGDADGNELCVQSTPPYYEEQ >Potri.009G071750.1.v4.1 pep chromosome:Pop_tri_v4:9:7052192:7052555:-1 gene:Potri.009G071750.v4.1 transcript:Potri.009G071750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071750.v4.1 MEELQIAIAEAEVLNRASNWGYYSTFDGAYDPRGHNGKLDEEELQFAKVQLAGIISAKTIDPVLAGLKNLVIDGGGVCQEELEIGEEARVMECMHEFHCSCI >Potri.009G100200.1.v4.1 pep chromosome:Pop_tri_v4:9:8889632:8890873:-1 gene:Potri.009G100200.v4.1 transcript:Potri.009G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100200.v4.1 MPGSLEPLDLTVQIPYHFRCPISLELMCDPVTVSTGQTYDRSSIESWVATGNTTCPVTRAPLTDFTLIPNHTLRRLIQDWCVANRAFGVERIPTPKQPAEPSLVRSLLNQAASASNPTHSRLSALRRLRGLARDSDKNRSVISSHNVREVLVNLVFSTSQSSDLSHESLAILVLFPLTEFDCVGISSEPERISYLANLLFHSSIEVRVNSAALIENVIAGTRSSDLRLQISNTEIIFEGVIEILKNPLSSPRALKIGIKALFALCLVKQTRHKAVTAGAAETLIDRLADFDKCDAERALATIELLCRIQVGCAAFAAHALTVPLLVKTILKISDRATEYAAGALLALCSASELSQKEAVCAGILTQLLLLVQSDCTDRAKRKAQMLLKLLRDAWPEDSVGNSDDFLCSEVVPF >Potri.019G052500.5.v4.1 pep chromosome:Pop_tri_v4:19:8802750:8814836:1 gene:Potri.019G052500.v4.1 transcript:Potri.019G052500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052500.v4.1 MGVENYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKSDKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEVLEDDKSLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHSADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDDMSLNFKSFLKGLLNKVPQNRLSWPMLLDHPFVKETSEELDARVMCAATSASRECDAARRGEENNMQASTGRSNSVAAFENCNPPKFNSDADLNCPNAVTGSSSPQEEFPGFASPNDVKQSGNQILDRLESNSLTVKGANIIGQDNEALTIILLPLRKWSKESLHSWRDQDVLTTKQSLRIISNLAAAGATGGIVNEILSELLNFTAKVVSLKSSELSDLLAKSFSIIKLQLDNIGSAISTSYFKHWVALTEIFSQIVGGHEETSGSVLLESCACIATMLSAVDDGLKATSLTSEAAPTPVMHEVMKQILDHAKTCGLVENLSLCLATSGSSLVSGSLNMLRAACEACRATWSLIDAVETLFRKENLYLFPLNSLQSHSLPCLDIRDQERSSLVGTDSARIIEAVTRAFLRLKAVQVAIFCCLKQRIEPALSASIQILSRCCLHNAMVPGVLCGLPSSLPVTTVVSGGGDKTILSEIFAILSWCTSNKDPETSNLKSKLANSSTVVLNSCLLLAIIAQCLKSTGRNSALFMLTSSPKNQLSRLSIIGHQFSPDDKMKISLEPHCASAMLALASILSLESGASVESSISEIAVPLIPRSATLCEHLKISPIEGNELGPRNMNVLSYWHGLRDGCVGLLESRLKWGGPLAVKQSCASGMPLLLIDLLSNNRSITSHQGIDSTKDQVGLSPIGVVWAVSSICHCLSGGASIFRQILLRSEHVKDISELISDVHLKLVKSWSGPGGGKDGVRDAVNAVIDILAFPFVAVQNTPGLPSATASVNSGFLLNMGSPGGKIFIEDKDMAKAIEEDMGKYLKILLEVGLPDIILRCVEYMELKDLGRPVAFLAKMIGHRPFAIQLIGRGLLDPNMMKRLLDNMSPREVMLDVLMIISDLARMDEGFYEHINGASILEFLKKFLTHEDPNMRAKTCSAVGNMCRHSSYFYGSLARSRIISLLIDRCSDPDKRTRKFACFAIGNAAYHNDMLYDELRRSIPQLANLLLSAEEDKTKANAAGALSNLVRNSSNLCDDIVSKGAMQALLKLVADCSAVALNPMRKDAVNESPLKIALFSLAKMCAHLPCRQFLRSSELFPVIGRLRQSPEATIANYATVIIRRVADS >Potri.019G052500.3.v4.1 pep chromosome:Pop_tri_v4:19:8802758:8814968:1 gene:Potri.019G052500.v4.1 transcript:Potri.019G052500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052500.v4.1 MGVENYHVIELVGEGSFGKVYKGRRKYTGQTVAMKFIMKHGKSDKDIHNLRQEIEILRKLKHENIIEMLDSFESPQEFCVVTEFAQGELFEVLEDDKSLPEEQVQAIAKQLVRALHYLHSNRIIHRDMKPQNILIGAGSVVKLCDFGFARAMSTNTVVLRSIKGTPLYMAPELVREQPYNHSADLWSLGVILYELFVGQPPFYTNSVYALIRHIVKDPVKYPDDMSLNFKSFLKGLLNKVPQNRLSWPMLLDHPFVKETSEELDARVMCAATSASRECDAARRGEENNMQASTGLIASNPCRSNSVAAFENCNPPKFNSDADLNCPNAVTGSSSPQEEFPGFASPNDVKQSGNQILDRLESNSLTVKGANIIGQDNEALTIILLPLRKWSKESLHSWRDQDVLTTKQSLRIISNLAAAGATGGIVNEILSELLNFTAKVVSLKSSELSDLLAKSFSIIKLQLDNIGSAISTSYFKHWVALTEIFSQIVGGHEETSGSVLLESCACIATMLSAVDDGLKATSLTSEAAPTPVMHEVMKQILDHAKTCGLVENLSLCLATSGSSLVSGSLNMLRAACEACRATWSLIDAVETLFRKENLYLFPLNSLQSHSLPCLDIRDQERSSLVGTDSARIIEAVTRAFLRLKAVQVAIFCCLKQRIEPALSASIQILSRCCLHNAMVPGVLCGLPSSLPVTTVVSGGGDKTILSEIFAILSWCTSNKDPETSNLKSKLANSSTVVLNSCLLLAIIAQCLKSTGRNSALFMLTSSPKNQLSRLSIIGHQFSPDDKMKISLEPHCASAMLALASILSLESGASVESSISEIAVPLIPRSATLCEHLKISPIEGNELGPRNMNVLSYWHGLRDGCVGLLESRLKWGGPLAVKQSCASGMPLLLIDLLSNNRSITSHQGIDSTKDQVGLSPIGVVWAVSSICHCLSGGASIFRQILLRSEHVKDISELISDVHLKLVKSWSGPGGGKDGVRDAVNAVIDILAFPFVAVQNTPGLPSATASVNSGFLLNMGSPGGKIFIEDKDMAKAIEEDMGKYLKILLEVGLPDIILRCVEYMELKDLGRPVAFLAKMIGHRPFAIQLIGRGLLDPNMMKRLLDNMSPREVMLDVLMIISDLARMDEGFYEHINGASILEFLKKFLTHEDPNMRAKTCSAVGNMCRHSSYFYGSLARSRIISLLIDRCSDPDKRTRKFACFAIGNAAYHNDMLYDELRRSIPQLANLLLSAEEDKTKANAAGALSNLVRNSSNLCDDIVSKGAMQALLKLVADCSAVALNPMRKDAVNESPLKIALFSLAKMCAHLPCRQFLRSSELFPVIGRLRQSPEATIANYATVIIRRVADS >Potri.009G124900.6.v4.1 pep chromosome:Pop_tri_v4:9:10394687:10398482:-1 gene:Potri.009G124900.v4.1 transcript:Potri.009G124900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124900.v4.1 MQFFDGYGYHGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELQNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDTVRVKNVTLPKGKYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPVASVPPSKATSQAEEVPAETEPKFNPFTGAGRRLDGKPLSYQPPPASSSSVSKDKQPAVADGSRQPSLGSSSQNTARKSQGKLVFGSNTGRTPKETQREESGKETKQEQPEKKEEPKFQAFTGKKYSLKG >Potri.009G124900.9.v4.1 pep chromosome:Pop_tri_v4:9:10394710:10397872:-1 gene:Potri.009G124900.v4.1 transcript:Potri.009G124900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124900.v4.1 MFFDGYGYHGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELQNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDTVRVKNVTLPKGKYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPVASVPPSKATSQAEEVPAETEPKFNPFTGAGRRLDGKPLSYQPPPASSSSVSKDKQPAVADGSRQPSLGSSSQNTARKSQGKLVFGSNTGRTPKETQREESGKETKQEQPEKKEEPKFQAFTGKKYSLKG >Potri.009G124900.8.v4.1 pep chromosome:Pop_tri_v4:9:10394711:10398484:-1 gene:Potri.009G124900.v4.1 transcript:Potri.009G124900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124900.v4.1 MFFDGYGYHGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELQNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDTVRVKNVTLPKGKYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPVASVPPSKATSQAEEVPAETEPKFNPFTGAGRRLDGKPLSYQPPPASSSSVSKDKQPAVADGSRQPSLGSSSQNTARKSQGKLVFGSNTGRTPKETQREESGKETKQEQPEKKEEPKFQAFTGKKYSLKG >Potri.009G124900.7.v4.1 pep chromosome:Pop_tri_v4:9:10394759:10398433:-1 gene:Potri.009G124900.v4.1 transcript:Potri.009G124900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124900.v4.1 MFFDGYGYHGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELQNDAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDTVRVKNVTLPKGKYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIIETKPSNAISIIETDCEVDFAPPLDYKEPEKPVASVPPSKATSQAEEVPAETEPKFNPFTGAGRRLDGKPLSYQPPPASSSSVSKDKQPAVADGSRQPSLGSSSQNTARKSQGKLVFGSNTGRTPKETQREESGKETKQEQPEKKEEPKFQAFTGKKYSLKG >Potri.012G136600.1.v4.1 pep chromosome:Pop_tri_v4:12:15054767:15056774:1 gene:Potri.012G136600.v4.1 transcript:Potri.012G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136600.v4.1 MVSISVLANSYPSFPMLFLLAILLLLSFVLKHKSSKVPAIPPGPKSWPIIGNVLQMGNKPHISLTKLAQVYGPLMSLRLGTQLVVVGSSREAASEILKTHDRELSGRCVPHASFAKDPKLNEDSIAWTFECTDRWRFFRSLMRNELFSSKVVDGQSRTRETKAREMIDFLKKKEGEGVKIRDIVFVYTFNALANIYLSKDLVDYDQIGECQRVCGLVREMMELHTTLNISDLYPILGSLDLQGVSRKCNECESRIQELWGSVIKERREGRNDTGDDDDNSSKRKDFLDVLVDGEFSDEQISLFFVELLAAVSDSTSSTVDWAMAELMRNPQAMKQLREELAGETPEDLITESSLAKFPYLHFCVKETLRLHPPAPFLIPHRATEDCQVLDYTIPKDTQVLVNVWAIARDPASWEDPLCFKPERFLNSDLDYKGNHFEFLPFGSGRRICAGLPMAVKKVQLALANLIHGFDWSLPNNMLPDELNMDEKYGITLMKEQPLKLIPKLRK >Potri.007G088900.1.v4.1 pep chromosome:Pop_tri_v4:7:11405248:11405979:-1 gene:Potri.007G088900.v4.1 transcript:Potri.007G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088900.v4.1 MEMSLQVSPRTANKLPIMMKKWSRQKSYKHRTILCKTATNEVAFRKKMNFYEVLSLGSQNVGFDEIKKAYRSMALQYHPDVCPPSAKEESTKRFVELQKAYETLSDPIARRMYDLELGMVNSVGFAFEGLPSEDRKNSFPREVWERQLHGLYQRSYARVERWNNGYTHVKVI >Potri.009G030400.1.v4.1 pep chromosome:Pop_tri_v4:9:4140836:4146656:1 gene:Potri.009G030400.v4.1 transcript:Potri.009G030400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030400.v4.1 MELLHPAHPPHHNPNPKSHSSGLVVGPDRMLSSDQLLDIVEEVSNERVYVAVGNSLEKALSLLNWVFNIFGTRQICLLHVHRPSPLIPTSLGKLPASQANAEVVSAFRREENEQTKKLIDYYLIICSRAKVEATIIIIENDQVHKGIVELVNRHGVRKLVMGAVTENCLKVKKSSSKENYAAKYAPLFCEIWFINKGKHVWTREASENSNPLPKCDHAENMSFETLRSESLRYSKSNLPFQKNNLRSNSAARISCARISSFVQNESVCAESVLPTIYSSYSSWSCHPLQSSSSSCAPGCTSTERRVSSGSDSKLEEESSHSHVEEVRLETEALGNESFEDFLKSKMLEAISKVKIFESAHAHEVKLRKEAEDALNNTIMEQEKLLEEKDEATRKLERTMRNVALLDSRAQEANRRSEEAAGELKLIQTSISSLRQEKQRIRQQKIEAVHWLERWRSHGQAGASNCNGILGITEELPELAEFSLSDLQTATCNFSESFKLGQGGCGCVYKGEMLGRTVAIKRLHPNNTQGQLEFQKEVQVLGKLQHPHLVTLLGACPEAWSLVYEYLPNGSLQDRLFQKSNISPLTWKIRTRIIAEISSTLCFLHSSKPEKIVHGDLKPQNILLNSELSCKICEFGICRLVTEDSLYCPSIHRSNEPKGSFPYTDPEFQRIGVLTPKSDIYAFGVIILQLLTGKPPVGLVGKVRRTHSCGKLASILDPSAGEWPMFVARQLVDLSLQFCELRSRDRPDLTPTLVRELEQLHVSEERPVPSIFLCPILQEIMHDPQVAADGFTYEGEALREWLANGRETSPMTNLRLSHLLLTPNHALRLAIQDWLCQT >Potri.016G042100.6.v4.1 pep chromosome:Pop_tri_v4:16:2644813:2650711:1 gene:Potri.016G042100.v4.1 transcript:Potri.016G042100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042100.v4.1 MARKRASKPTTTTEDTITTTKEAILTNNKETKRPQETNNDQPIAPPKKGFIFKLSLLLIAPYFYLLFFHYQIQHDLIKPILINAGLSLVGFFLTVKMIPVASKYVLRRNLFGYDINKKGTPQGTVKVPESLGIVVAVVFMVLTIVFQFFTFAPDSIWLVEYNAALASICFMTLLGFIDDVLDVPWRVKLVLPSIAALPLLMAYAGHTTIIIPKPLIPYVGLKLLDLGFIYKIYMWFLAIFCTNCINIHAGINGLEVGQTVVIASAILIHNVMQIGASADPEYQQAHAFSVYLVQPLLATSMALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHFSETLLIFFLAQVLNFLLSVPQLFGFRHCPRHRLPRFDPQTGLLTGTDDGTLVNFYLRMFGRKSENSLCVHLLLVQVIYFCVKFSLLLLMHCGEGAFSLVDAGFGLHCPMLLTILGPVSTTGRKQEFQAT >Potri.016G042100.1.v4.1 pep chromosome:Pop_tri_v4:16:2644912:2650783:1 gene:Potri.016G042100.v4.1 transcript:Potri.016G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042100.v4.1 MARKRASKPTTTTEDTITTTKEAILTNNKETKRPQETNNDQPIAPPKKGFIFKLSLLLIAPYFYLLFFHYQIQHDLIKPILINAGLSLVGFFLTVKMIPVASKYVLRRNLFGYDINKKGTPQGTVKVPESLGIVVAVVFMVLTIVFQFFTFAPDSIWLVEYNAALASICFMTLLGFIDDVLDVPWRVKLVLPSIAALPLLMAYAGHTTIIIPKPLIPYVGLKLLDLGFIYKIYMWFLAIFCTNCINIHAGINGLEVGQTVVIASAILIHNVMQIGASADPEYQQAHAFSVYLVQPLLATSMALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHFSETLLIFFLAQVLNFLLSVPQLFGFRHCPRHRLPRFDPQTGLLTGTDDGTLVNFYLRMFGRKSENSLCVHLLLVQALGCCICFGLRYLLTGWYK >Potri.017G068400.1.v4.1 pep chromosome:Pop_tri_v4:17:6090105:6091156:-1 gene:Potri.017G068400.v4.1 transcript:Potri.017G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068400.v4.1 MNQLIIFFLSSLFINSLSSKAEPPKKISAHITVMGIVYCDICSNNSFSRHSYFLPGAEVRMDCKFEASWPKTREQISFSVNRTTNRHGMYKLEIPSVDGIACAQAAIDSSCEASLMWSSSKACNVPGYKSSTKEIAIKVKQQNICIYSLNALNFRPSKTDAGLCGN >Potri.008G049900.1.v4.1 pep chromosome:Pop_tri_v4:8:2936436:2941389:1 gene:Potri.008G049900.v4.1 transcript:Potri.008G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049900.v4.1 MVGKEDASNNPLAPCQLPSAGGSRKVFWRSASWSSSRTALQHPGTEEKDCVIDPNGNPAGNNSGNGQNRRYPAPLTPRSQQNCKARSCLPPLSIARRSLDEWPKAGSDDSGEWPQPPTPSGNKSGERLKLDLSSIQRTPDRNGGLVKKDRIAFFDKECSKVAEHVYLGGDAVARDREILKQNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPRMLNDPSPSALDSRGAFIVHIPSSIYVWIGKNCEAIMERDARGAVCQIVRYERAQGPIIVVKEGEEPARFWDAFSYYLPLMDKSANGGDRGGSRAKICPGERKVDTYNVDFEIFQKAIKGGFVPPFASSENELETHLPARESSWSVLRRKFVPGDMKEFVSAPKILLSRVYSDTMMIVHSSSPSSSSLSSSSSSSPLYLSPDSISSDSSTNSKYFSESSLDSPSATSCSLPVSSTLSNLSNLSLTSKSSSQPLSSIYGVDMSQQHLPAASSPSKKSSLSLAERRGSLSKSLKLPMVTDNMRVNNTPPSSLASQEQGAKINEKTFSWCNSDSIDIVLESKDDVKGGRHSIQQCKSNISLVRVASPDLYHKEASTVNNFDELGKNCPVGESSGCYVSNGMEESVEESCKVMQPLVCRWPSLERIAALGTSDLDSKTAFAILVPTRGVGRDETRILYFWVGKSFSDEKNMIQLDNNRLLADSEHIYWSQAGYYVLTQMGLPKDLTIKVVNEDEEPAEFLALLSAL >Potri.003G171400.1.v4.1 pep chromosome:Pop_tri_v4:3:17985019:17987979:-1 gene:Potri.003G171400.v4.1 transcript:Potri.003G171400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171400.v4.1 MRTRRGLSYPRGAAVNACDTAAGKRTATTSYKRERPDFAAGDYLVCRKKNRLISTQKTGETDLFDSLPDDLVISILCKLSSSASCPSDFINVLLTCKRLNGLGLHSLVLSKASPKTFAVKAKNWSDSAHRFLKLFADAGNVEACYTLGMIRFYCLQNRGSGASLMAKAAISSYAPALYSLAVIQFNGSGGSKSDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNVTEGRRFLVQANARELAAVLSKHNSGFPTRTWFSWNPHAHPNHRHPTGNGPSGCPLLSDFGCNVPAPESHPASRFMTEWFAIRGGSSGSGLRLCSHTGCGRSETRKHEFRRCSVCGAVNYCSRACQALDWKLRHKEGCAPVERWVDEDGEGGADGDDGGVDGDDDDVMIES >Potri.013G034100.1.v4.1 pep chromosome:Pop_tri_v4:13:2208079:2212414:1 gene:Potri.013G034100.v4.1 transcript:Potri.013G034100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034100.v4.1 MNSPRILFNISQKNPKFLSLCSLSYSHIDSHLITSQHRLISSTAPVSSWMDTIKGVFTGKKAETEDTPESFTLLSFADGMKNARRVGSLKQFVVGRSSEATFSSAFEKQEAIIRYLGALDPTGENLQTSQKQEAAKHCNCTIADVEITLSKFIWAKEAQNKLQKLKEEGKPMPKTMAEVQKLMGSTPLDLARSNLGKSGQISKNAPCPCGSKKKYKRCCGKD >Potri.019G014344.1.v4.1 pep chromosome:Pop_tri_v4:19:2170647:2170892:-1 gene:Potri.019G014344.v4.1 transcript:Potri.019G014344.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014344.v4.1 MSHSVKIYDTCIRCIQCVQACPMDVLEMIPGDGCIAKQITSAPRTEDCVGYKRCESACPINFLSIRVYLWHEKTQSIGLFY >Potri.001G167800.1.v4.1 pep chromosome:Pop_tri_v4:1:14315115:14317433:1 gene:Potri.001G167800.v4.1 transcript:Potri.001G167800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167800.v4.1 MDNPPPPAITYTAAGLATVVLILLSRRLFSRKLKLPPGPKPWPIIGNFNLIGPLPHRSLHELAKKYGPIMQIKFGSIPVVVGSSAEVAEAILKTHDISLADRPKIAAGKYTTYNYSDITWSQYGPYWSHLRKFCNMEIFSPKRLDFYQHVRVEELHSLLKSLYKTSGTPFKTREKFSDLSLSVISRLVLGRNYTLESEKGKGVYTPHEFKKILDELFVLNGVLEIGDWIPWLSYFDLQGNIKKMKAVAKKVDRFIEHELEEHDARRNGVKNYVAKDMMDILLQLSDDPSLDVEFGRTGVKALTLDLIAGGTESTAVTAEWALAELLKKPEIFEKATEELDRVIGRERWVEEKDIVDLPYVTAIMKETMRLHNVSPLLVPRVAREDVQISGYDIPKGTVVMVNVWTIGRDPKIWDNPNEFCPERFLGEEIEVEGQNFKLMPFGAGKRICVGYPLGLKIIQSSVANLLHGFNWKLPKGMKKEDLDMEEIFALSTPKKNPLVAVAEPRLPPHLYSV >Potri.005G199700.1.v4.1 pep chromosome:Pop_tri_v4:5:20533017:20536071:-1 gene:Potri.005G199700.v4.1 transcript:Potri.005G199700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199700.v4.1 MSRHPSVKWAQRSDKLFIIVQLPDAQDVKFKLEPEGKFFFSATSGADKTPYEIELDLLDKVNVEESKAGIGSRNIQYIVKKAENKWWSRLIKQTGKPPVFLTVDWDKWIDEDEEFTSKGGAAPPDMGDMGFDFPDMGLGGGGFDGAVPEMDDDEENDTEDENVEEASSAEKEEVPPTASGEADTKKLEV >Potri.002G055950.1.v4.1 pep chromosome:Pop_tri_v4:2:3819647:3820739:-1 gene:Potri.002G055950.v4.1 transcript:Potri.002G055950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055950.v4.1 MVVKLSRCLVNVVVVVMCVLCRVDIQAKFEGEGECGKACRNDFTKILHSLTKKIHIASQYSNFPNSQARFKEGDPRGRVVAAT >Potri.005G234601.1.v4.1 pep chromosome:Pop_tri_v4:5:23307168:23308963:-1 gene:Potri.005G234601.v4.1 transcript:Potri.005G234601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234601.v4.1 MEIRTRRRSLFVLLFLAMVAVELRGDEGTNSIADDQTKVNVTFLDPTKLQGSQNKTSNTEAVVNDNKNRYGGHYRGGGGGGGGGGGGGGGGGGGGGGGSFGWGWGGGGGGGGSGWGWGGGGGGWYKWGCGGKGKGGGGGSRGVNNHRMHRKRVFSNEDYKLGEFAQCTGKGRCKGMRLDCPLHCGGPCFYDCQHMCKAHCRRP >Potri.015G094200.1.v4.1 pep chromosome:Pop_tri_v4:15:11655138:11657669:1 gene:Potri.015G094200.v4.1 transcript:Potri.015G094200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094200.v4.1 MAVTFYDLTSAAGLKKLDDFLLSRSYISGYQASKDDLTVYSALSSAPSAEHVNVYRWYTHIDALLRISGVEAEGCGVVVKGSAPITEEAIATPPSAETKAAEDDDDDDVDLFGEETEEEKKAAEERAATVKAASKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVEMEGLLWGASKLVPVGYGIKKLTIMLTIVDDLVSVDTLIEERLTTEPINEYVQSCDIVAFNKI >Potri.010G023800.3.v4.1 pep chromosome:Pop_tri_v4:10:3480339:3488219:-1 gene:Potri.010G023800.v4.1 transcript:Potri.010G023800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023800.v4.1 MTMNERKTIDLEQGWEFMQKGITKLKNILEGLSEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLACFRNQVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKAANWILDDSCPDYMLKAEECLMREKDRVSHYLHSSSEPKLLEKVQHEELSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSIFKQHVTAEGTALVKQAEDAASSKKADKKDVVGLQEQVFVRKVIELHDKYLAYVNNCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQIKTKHRKLTWIYSLGTCNLIGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKTISPTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNLFRYLA >Potri.010G023800.1.v4.1 pep chromosome:Pop_tri_v4:10:3480339:3488171:-1 gene:Potri.010G023800.v4.1 transcript:Potri.010G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023800.v4.1 MTMNERKTIDLEQGWEFMQKGITKLKNILEGLSEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLACFRNQVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKAANWILDDSCPDYMLKAEECLMREKDRVSHYLHSSSEPKLLEKVQHEELSVYANQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSSIFKQHVTAEGTALVKQAEDAASSKKADKKDVVGLQEQVFVRKVIELHDKYLAYVNNCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQIKTKHRKLTWIYSLGTCNLIGKFEPKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKTISPTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNLFRYLA >Potri.008G145300.1.v4.1 pep chromosome:Pop_tri_v4:8:9898219:9900776:1 gene:Potri.008G145300.v4.1 transcript:Potri.008G145300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145300.v4.1 MHDLTSQPVSMIDSSPPLLRHYGEISRLPPQIPTPERSDLPDGIVLMEEYCQLPLIDLSCLSSTNEKVRLACADAICRASSEWGFFQVVNHGISPELVRNMRREQVKLFQTPFDKKVTCGVLNNSYRWGTPTATCPKQFSWSEAFHIPISKVFEQACYGEFSSLREVMVEFAAAMSKLARLLAGVLAENLGHPRGVFESTCQESNCFLRLNRYPACPISSEISGLVPHTDSDYLTILSQDEVGGLQLMKDSKWVAVKPNPDALIVNIGDLSQAWSNDIYKSVEHRVTANREKERYSIAYFLCPSYDSLIGSCRETSSIYRKFTFGEYRNQVQEDVKRTGRKIGLPRFLL >Potri.013G130000.3.v4.1 pep chromosome:Pop_tri_v4:13:13663818:13669055:-1 gene:Potri.013G130000.v4.1 transcript:Potri.013G130000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130000.v4.1 MGCIASKENSQDGHRERLSRKGSLDRRVSHVNSLRTDDGVRSKDKRHSGDVKVMLTDKKTRGSRRYHGDQIEKRRVENQIEKNMVENYEVDAFCQTQIEKRKMEVFQDFSILSHPAWGKVPKCVEAEQVAAGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTHDKIVAIKKVRFDSGDPESVKFMSREILILRGLDHPNIIKLQGLITSQTSSSLYLVFEYMEHDLTGLAALPGMKFTEAQIKCYMQQLLTGLDHCHSHGVLHRDVKGSNLLIDDNGILKIADFGLASFFDPRSSAQLTSRVVTLWYRAPELLLGASRYGAAVDLWSAGCILGELYSGRPILPGRTEVEQLHKIFKLCGSPSEDYWIKTKLPRSSVIKPQRPYRRSVKETFKDFPAPAVGLMENLLSMDPAYRGTAAFALTTEFFTTKPFACDPSSLPKYPPSKEIDAKLRDEEARRQEAVARRSGPKESLAPNGHPDLPLSLQKKHNSVSQNSGKMVNSHKEQTVSGFMIDPSEQRQAVREGRGDFMEHQRKKVSHSGPLVQGNGWTRTGKNFDNHNMVSGRHNLSTISGLVATRTILPGDHQKKPGVPQPEVVNQVGRLQGSLNGLESSRKVDQNCQIKKMGDSPQAGAGKSSNKEPSLHGHGPKGNKIYVSGPLLAPSNNVEQMLKEHDRQIQEYARKRLDKTKLAKLKARGKQPTDSLMATSRHRGE >Potri.013G130000.1.v4.1 pep chromosome:Pop_tri_v4:13:13663752:13669517:-1 gene:Potri.013G130000.v4.1 transcript:Potri.013G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130000.v4.1 MGCIASKENSQDGHRERLSRKGSLDRRVSHVNSLRTDDGVRSKDKRHSGDVKVMLTDKKTRGSRRYHGDQIEKRRVENQIEKNMVENYEVDAFCQTQIEKRKMEVFQDFSILSHPAWGKVPKCVEAEQVAAGWPSWLASAAGEAIRGWVPRRANTFEKLDRIGQGTYSNVYKARDVTHDKIVAIKKVRFDSGDPESVKFMSREILILRGLDHPNIIKLQGLITSQTSSSLYLVFEYMEHDLTGLAALPGMKFTEAQIKCYMQQLLTGLDHCHSHGVLHRDVKGSNLLIDDNGILKIADFGLASFFDPRSSAQLTSRVVTLWYRAPELLLGASRYGAAVDLWSAGCILGELYSGRPILPGRTEVEQLHKIFKLCGSPSEDYWIKTKLPRSSVIKPQRPYRRSVKETFKDFPAPAVGLMENLLSMDPAYRGTAAFALTTEFFTTKPFACDPSSLPKYPPSKEIDAKLRDEEARRQEAVARRSGPKESLAPNGHPDLPLSLQKKHNSVSQNSGKMVNSHKEQTVSGFMIDPSEQRQAVREGRGDFMEHQRKKVSHSGPLVQGNGWTRTGKNFDNHNMVSGRHNLSTISGLVATRTILPGDHQKKPGVPQPEVVNQVGRLQGSLNGLESSRKVDQNCQIKKMGDSPQAGAGKSSNKEPSLHGHGPKGNKIYVSGPLLAPSNNVEQMLKEHDRQIQEYARKRLDKTKLAKLKARGKQPTDSLMATSRHRGE >Potri.002G027100.4.v4.1 pep chromosome:Pop_tri_v4:2:1809326:1819541:1 gene:Potri.002G027100.v4.1 transcript:Potri.002G027100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027100.v4.1 MESDDLSNCNDLEVKLGLEKGLSQNLLKPRVSKEMEKEEKKFLGKKIEAGIDSDDNEPIGSLFRLKRPRNPKKAKVGLEKVEVREAKDEDLGGMDDTLASFKKKLKGPKKDLGSVSASHDDGLLDVNVEKKEQKCKERARKVRIDGKRVRTGGDVVGDDVLEGLQSQGALLENQGEESWLPGESSNRPLDGKLEDSISAFFQKKQSGLARKSRANSSFKQINRVQCLDDRLSPGSGVGSGGSKDVAARTIGSGSVSSVVCKDLEAENSFHTVADLSLLDSSSRQILHEKNQRLDNGFCETSYFTNENSDRIKGIPATKDETMKSDDKRHGKSSEVTAEVSAPVLPAFSSQDGVMEDEQMQDPCISNTQEEPMVEPCSSDRIWNESRSASGHNDGLETQTLKNGLRLCSVSKASSLNALEQQSKDVSAACISNAEPQISLSSDGREISASSSPNSQNELQDLDSVPKKENVEISDGRLSPVTVISGEVHKSSHTNHNGNSLDYLSINEEANGLSPRSVTPEENESYLEDAVLVPGSDIKDGHLAAVQRAVRKAKKRRLGDMAYEGDADWEILINEQQFLENDHALESDRSLRAREKSDSSSNSVEAENGGIAAVSAGLKARAAGPVEKIKFKEVLKRKGGLQEYLECRNRILCLWSKDISRILPLADCGVTETPSQDESPRASLIRQIYGFLDQSGYINAGIASEKERAEPSTNHNYKLVEKKTFEGNSGASVADLEDGVSFILGQVKSSENSLEPKNGVSVDNQDLASKALKSGELVTPMTPDLPNVMEYEELPAAGIQQNSASNSKLPNGLVSLDPLSTDPSCTMLDGRTVVTSITPELRDDLQSVKSNSCANIGESHKLLCDSEDRKKIIVIGAGPAGLSAARHLQRQGFSAIILEARSRIGGRVYTDRSSLSVPVDLGASIITGVEADVTTERRPDPSSLICAQLGLELTLLNSDCPLYDVVTREKVPTDLDEELESEYNSLLDDMVLVIAQKGQHAMKMSLEDGLNYALKTRRMAYPGPTIDETESGIAVDTLYDSKTCSVDGGAHERSSKEEILSPLERRVMDWHFAHLEYGCAASLKEVSLPYWNQDDVYGGFGGAHCMIKGGYSNVVESLGEGLHIHLNHVVTDISYGVKDAGANESHRSKVKVCTLNGSEFLGDAVLITVPLGCLKAETIKFSPPLPQWKRSSIQRLGFGVLNKVVLEFPVVFWDDSVDYFGATAEETDQRGHCFMFWNVKKTAGAPVLIALVVGKAAIDGQRMSSSDHVSHALMVLRKLFGESLVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPVENSVFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILSMGTDYTAEVEAMEGAQRHSEVERDEVRDITKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFSAKTTAGRLHLAKMLLNLPVGTLKSFAGTRKGLTMLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKASNGGVKFSRHATLLDSSKRKSFSNSTTGKPPLRTHHGALEARGNSQVSAPTRGPLPSNPNMKKASSKPETLKDPSRQDTEFEEGNTAISEEEQAALAAAEAARAAARAAAQAYASSEAKCSTLVQLPKIPSFHKFARREQYAQMDEYDLRRKWSGGILGKQDCISEIDSRNCRVRDWSVDFSAACANFDSSRMSGDNLSQRSHSNEIACHMNFREQSGESSAVDSSLLTKAWVDTTGSAGIKDYHAIERWQCQAAAADSDFFHRAMRIKDEEDSNTSSRPPTRKHDRRANESSISQDTINKEPSKHRSRGPDRIKQAVVDFVSSLLMPVYKARKIDKEGYKSIMKKSATKVMEKATDAEKAMAVSEFLDFKRKNKIRAFVDKLIENHMAMKPAVEP >Potri.002G027100.5.v4.1 pep chromosome:Pop_tri_v4:2:1808871:1817945:1 gene:Potri.002G027100.v4.1 transcript:Potri.002G027100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027100.v4.1 MESDDLSNCNDLEVKLGLEKGLSQNLLKPRVSKEMEKEEKKFLGKKIEAGIDSDDNEPIGSLFRLKRPRNPKKAKVGLEKVEVREAKDEDLGGMDDTLASFKKKLKGPKKDLGSVSASHDDGLLDVNVEKKEQKCKERARKVRIDGKRVRTGGDVVGDDVLEGLQSQGALLENQGEESWLPGESSNRPLDGKLEDSISAFFQKKQSGLARKSRANSSFKQINRVQCLDDRLSPGSGVGSGGSKDVAARTIGSGSVSSVVCKDLEAENSFHTVADLSLLDSSSRQILHEKNQRLDNGFCETSYFTNENSDRIKGIPATKDETMKSDDKRHGKSSEVTAEVSAPVLPAFSSQDGVMEDEQMQDPCISNTQEEPMVEPCSSDRIWNESRSASGHNDGLETQTLKNGLRLCSVSKASSLNALEQQSKDVSAACISNAEPQISLSSDGREISASSSPNSQNELQDLDSVPKKENVEISDGRLSPVTVISGEVHKSSHTNHNGNSLDYLSINEEANGLSPRSVTPEENESYLEDAVLVPGSDIKDGHLAAVQRAVRKAKKRRLGDMAYEGDADWEILINEQQFLENDHALESDRSLRAREKSDSSSNSVEAENGGIAAVSAGLKARAAGPVEKIKFKEVLKRKGGLQEYLECRNRILCLWSKDISRILPLADCGVTETPSQDESPRASLIRQIYGFLDQSGYINAGIASEKERAEPSTNHNYKLVEKKTFEGNSGASVADLEDGVSFILGQVKSSENSLEPKNGVSVDNQDLASKALKSGELVTPMTPDLPNVMEYEELPAAGIQQNSASNSKLPNGLVSLDPLSTDPSCTMLDGRTVVTSITPELRDDLQSVKSNSCANIGESHKLLCDSEDRKKIIVIGAGPAGLSAARHLQRQGFSAIILEARSRIGGRVYTDRSSLSVPVDLGASIITGVEADVTTERRPDPSSLICAQLGLELTLLNSDCPLYDVVTREKVPTDLDEELESEYNSLLDDMVLVIAQKGQHAMKMSLEDGLNYALKTRRMAYPGPTIDETESGIAVDTLYDSKTCSVDGGAHERSSKEEILSPLERRVMDWHFAHLEYGCAASLKEVSLPYWNQDDVYGGFGGAHCMIKGGYSNVVESLGEGLHIHLNHVVTDISYGVKDAGANESHRSKVKVCTLNGSEFLGDAVLITVPLGCLKAETIKFSPPLPQWKRSSIQRLGFGVLNKVVLEFPVVFWDDSVDYFGATAEETDQRGHCFMFWNVKKTAGAPVLIALVVGKAAIDGQRMSSSDHVSHALMVLRKLFGESLVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPVENSVFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILSMGTDYTAEVEAMEGAQRHSEVERDEVRDITKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFSAKTTAGRLHLAKMLLNLPVGTLKSFAGTRKGLTMLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKASNGGVKFSRHATLLDSSKRKSFSNSTTGKPPLRTHHGALEARGNSQVSAPTRGPLPSNPNMKKASSKPETLKDPSRQDTEFEEGNTAISEEEQAALAAAEAARAAARAAAQAYASSEAKCSTLVQLPKIPSFHKFARREQYAQMDEYDLRRKWSGGILGKQDCISEIDSRNCRVRDWSVDFSAACANFDSSRMSGDNLSQRSHSNEIACHMNFREQSGESSAVDSSLLTKAWVDTTGSAGIKDYHAIERWQCQAAAADSDFFHRAMRIKDEEDSNTSSRPPTRKHDRRANESSISQDTINKEPSKHRSRGPDRIKQAVVDFVSSLLMPVYKARKIDKEGYKSIMKKSATKVMEKATDAEKAMAVSEFLDFKRKNKIRAFVDKLIENHMAMKPAVEP >Potri.002G027100.6.v4.1 pep chromosome:Pop_tri_v4:2:1812462:1819758:1 gene:Potri.002G027100.v4.1 transcript:Potri.002G027100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027100.v4.1 MTPDLPNVMEYEELPAAGIQQNSASNSKLPNGLVSLDPLSTDPSCTMLDGRTVVTSITPELRDDLQSVKSNSCANIGESHKLLCDSEDRKKIIVIGAGPAGLSAARHLQRQGFSAIILEARSRIGGRVYTDRSSLSVPVDLGASIITGVEADVTTERRPDPSSLICAQLGLELTLLNSDCPLYDVVTREKVPTDLDEELESEYNSLLDDMVLVIAQKGQHAMKMSLEDGLNYALKTRRMAYPGPTIDETESGIAVDTLYDSKTCSVDGGAHERSSKEEILSPLERRVMDWHFAHLEYGCAASLKEVSLPYWNQDDVYGGFGGAHCMIKGGYSNVVESLGEGLHIHLNHVVTDISYGVKDAGANESHRSKVKVCTLNGSEFLGDAVLITVPLGCLKAETIKFSPPLPQWKRSSIQRLGFGVLNKVVLEFPVVFWDDSVDYFGATAEETDQRGHCFMFWNVKKTAGAPVLIALVVGKAAIDGQRMSSSDHVSHALMVLRKLFGESLVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPVENSVFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILSMGTDYTAEVEAMEGAQRHSEVERDEVRDITKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFSAKTTAGRLHLAKMLLNLPVGTLKSFAGTRKGLTMLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKASNGGVKFSRHATLLDSSKRKSFSNSTTGKPPLRTHHGALEARGNSQVSAPTRGPLPSNPNMKKASSKPETLKDPSRQDTEFEEGNTAISEEEQAALAAAEAARAAARAAAQAYASSEAKCSTLVQLPKIPSFHKFARREQYAQMDEYDLRRKWSGGILGKQDCISEIDSRNCRVRDWSVDFSAACANFDSSRMSGDNLSQRSHSNEIACHMNFREQSGESSAVDSSLLTKAWVDTTGSAGIKDYHAIERWQCQAAAADSDFFHRAMRIKDEEDSNTSSRPPTRKHDRRANESSISQDTINKEPSKHRSRGPDRIKQAVVDFVSSLLMPVYKARKIDKEGYKSIMKKSATKVMEKATDAEKAMAVSEFLDFKRKNKIRAFVDKLIENHMAMKPAVEP >Potri.002G159350.1.v4.1 pep chromosome:Pop_tri_v4:2:12139771:12140369:1 gene:Potri.002G159350.v4.1 transcript:Potri.002G159350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159350.v4.1 MPYSDSLQYLINHSRLLVLVLFFFFSCCCSCACISTSPNVSSPLYTTKWRSRVEIK >Potri.005G096266.1.v4.1 pep chromosome:Pop_tri_v4:5:6809047:6810019:1 gene:Potri.005G096266.v4.1 transcript:Potri.005G096266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096266.v4.1 MITAVEQERKGQICSCCGEGAGDDAAVTSSVGGRRCCCTGSGRSLFLEEKTGCLSCNGQLGRVWGREDEGRSWLSTKEARERAWFSSVWQRAAACWLRVRG >Potri.005G252200.2.v4.1 pep chromosome:Pop_tri_v4:5:24509689:24514499:-1 gene:Potri.005G252200.v4.1 transcript:Potri.005G252200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252200.v4.1 MGEIADMPDTTMKKKKKGRPSLLELKKRSLKQQQQQQESPNYLENPNSLNSNPVLPNRRSSRRSSNSYAPEWIDGDDDEEDDEDDERKEKKHKLLRGLNSQKNNNKNSNTLPPSNSDSNAGGGNHEEGIRRRKISAVRLGSDDLDEKVLKGTDTLHGSSVEPGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPEELPDYFEIVENPMDFSTARKKLDEGAYTNLEQFEVMQLTAFTESILILSEKDVLLICSNAMQYNSADTIYYRQARAMQEIAKKDFEHLRQDSDDSEPQPKVVRRGRPPGTGKLKNALERSPVDRVGPEASSDATLATGGDNNSLSNGYNLRRSSSYKYQPADSLVRASHGSHNNENHSTWLSEWENEFPASVVKAVIKYGKKPIVLDENKRDTYKHPLDSHEPSVLMTFDGELKQLMAVGLSSEHGYARSLARFAADLGPVVWRMASKKIESVLPTGIEFGPGWVGENKAMEKHKVSNSPISDNHLSRFQPATSLSRDATWTKEDMLETVGGLNSKNELTTLNSATGGMKSLPTVSIQQKPMIHPDMNGFSGGFGYNSSSQIGMARPVAPTGKFSLEKLHPAVPSQMFGAVPPSNSTFISMPGNNLNSNKAMLSETSGGLLQSGISAAVGSSSDSHTLRNVGFGGKSSWQGFLPYHQQGTVPFPPDLNVGFMAPGSPSSSVPIGSPRQPDLVLQL >Potri.005G252200.1.v4.1 pep chromosome:Pop_tri_v4:5:24509687:24514584:-1 gene:Potri.005G252200.v4.1 transcript:Potri.005G252200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252200.v4.1 MGEIADMPDTTMKKKKKGRPSLLELKKRSLKQQQQQQESPNYLENPNSLNSNPVLPNRRSSRRSSNSYAPEWIDGDDDEEDDEDDERKEKKHKLLRGLNSQKNNNKNSNTLPPSNSDSNAGGGNHEEGIRRRKISAVRLGSDDLDEKVLKGTDTLHGSSVEPGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPEELPDYFEIVENPMDFSTARKKLDEGAYTNLEQFEKDVLLICSNAMQYNSADTIYYRQARAMQEIAKKDFEHLRQDSDDSEPQPKVVRRGRPPGTGKLKNALERSPVDRVGPEASSDATLATGGDNNSLSNGYNLRRSSSYKYQPADSLVRASHGSHNNENHSTWLSEWENEFPASVVKAVIKYGKKPIVLDENKRDTYKHPLDSHEPSVLMTFDGELKQLMAVGLSSEHGYARSLARFAADLGPVVWRMASKKIESVLPTGIEFGPGWVGENKAMEKHKVSNSPISDNHLSRFQPATSLSRDATWTKEDMLETVGGLNSKNELTTLNSATGGMKSLPTVSIQQKPMIHPDMNGFSGGFGYNSSSQIGMARPVAPTGKFSLEKLHPAVPSQMFGAVPPSNSTFISMPGNNLNSNKAMLSETSGGLLQSGISAAVGSSSDSHTLRNVGFGGKSSWQGFLPYHQQGTVPFPPDLNVGFMAPGSPSSSVPIGSPRQPDLVLQL >Potri.012G076500.1.v4.1 pep chromosome:Pop_tri_v4:12:10012160:10013421:-1 gene:Potri.012G076500.v4.1 transcript:Potri.012G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX68 MAFPLRILLLLFLSIPFSESKSNLSFDYYKRSCPNFEKIVRETITTKQMSNPATAAGTLRLFFHDCMVEGCDASVFIASNSFNTAERDADVNLSLSGDGYEVVIKAKTTLELTCPKVVSCADILAVATRDLVTMVGGPYYKIRLGRKDGLVSKASRVEGNLPRSNMSMTHVINLFASKGFNVQEMVALTGGHTIGFSHCIEFSDRLFSYSKKQATDPELNSKFAAGLRNICANHTTDKTMSAFNDVFTPGKFDNMYFKNLPRGLGLLAYDHALVKDPRTKPFVELYATNQTVFFQDFSRAMQKLSIHGIKTAINGEVRNRCDQFNSIQT >Potri.003G137000.4.v4.1 pep chromosome:Pop_tri_v4:3:15424644:15428157:1 gene:Potri.003G137000.v4.1 transcript:Potri.003G137000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137000.v4.1 MEQFRQTGEVLGSLKALMVLQDDIQINQKQCCLLVNIFCLAFKTIAEEIKQNLKLEEKNTKWRPLEEPLKEVYRVFKEGELYVRRCLDNKDWWGKAISLHQNKDSVEFHIHNLLSCFPAVIEAVEIAGEISGLDQEEMTKKRAMLVKKYDRGWSDPKLFQWNFGKQYLVPREICRQMERAMREDRWLLIDTIKEKRRALPPGKSEHRLGELLLKKLNVLAPANGKLPPSSILLEAEDYQVRRRLGGNQHKEIQWLGENFALRHFFHDFEPLNSEISMLLSLSHPNIVQYLCGFYDENKKECFLVMELMTKDFYSYIKENSSPKKRVLFPLPTVVDIMLQIARGMEFLHSRKIYVGDFNPSNVLLKPRKSTEGYFHVKVSGFGLTSVKNHSSRHSSPEPSPVDTCIWHAPEVLAEQEQARNASSKKYTEKADVYSFGMLCFQLLTGKLPFEDGHLQGDQMINNIRAGERPLFPSLSPKYLVSLTKKCWHTEPSYRPTFLSICRVLRYIKKFLVMNPNDGQPYIQSPPVDFYDLEAGFLKKCQGEVTCDLPSVSQIPFQMFSYRLIEKEKTCVQIKFKNSEAASEAASNGWDESNSVVEDHHVPSIDARSFTSDVKSVGFDMKSTFTEVPDRKIPSDLRSVRSEPADKKLLLIKKTTSVKVRKVPGKPKPLPPSKSLPWTPPGHSMKMRCESPKPLSKSSMSPIRRRSPGQASNP >Potri.003G137000.7.v4.1 pep chromosome:Pop_tri_v4:3:15424746:15428043:1 gene:Potri.003G137000.v4.1 transcript:Potri.003G137000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137000.v4.1 MEQFRQTGEVLGSLKALMVLQDDIQINQKQCCLLVNIFCLAFKTIAEEIKQNLKLEEKNTKWRPLEEPLKEVYRVFKEGELYVRRCLDNKDWWGKAISLHQNKDSVEFHIHNLLSCFPAVIEAVEIAGEISGLDQEEMTKKRAMLVKKYDRGWSDPKLFQWNFGKQYLVPREICRQMERAMREDRWLLIDTIKEKRRALPPGKSEHRLGELLLKKLNVLAPANGKLPPSSILLEAEDYQVRRRLGGNQHKEIQWLGENFALRHFFHDFEPLNSEISMLLSLSHPNIVQYLCGFYDENKKECFLVMELMTKDFYSYIKENSSPKKRVLFPLPTVVDIMLQIARGMEFLHSRKIYVGDFNPSNVLLKPRKSTEGYFHVKVSGFGLTSVKNHSSRHSSPEPSPVDTCIWHAPEVLAEQEQARNASSKKYTEKADVYSFGMLCFQLLTGKLPFEDGHLQGDQMINNIRAGERPLFPSLSPKYLVSLTKKCWHTEPSYRPTFLSICRVLRYIKKFLVMNPNDGQPYIQSPPVDFYDLEAGFLKKCQGEVTCDLPSVSQIPFQMFSYRLIEKEKTCVQIKFKNSEAASEAASNGWDESNSVVEDHHVPSIDARSFTSDVKSVGFDMKSTFTEVPDRKIPSDLRSVRSEPADKKLLLIKKTTSVKVRKVPGKPKPLPPSKSLPWTPPGHSMKMRCESPKPLSKSSMSPIRRRSPGQASNP >Potri.003G137000.5.v4.1 pep chromosome:Pop_tri_v4:3:15424702:15428215:1 gene:Potri.003G137000.v4.1 transcript:Potri.003G137000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137000.v4.1 MEQFRQTGEVLGSLKALMVLQDDIQINQKQCCLLVNIFCLAFKTIAEEIKQNLKLEEKNTKWRPLEEPLKEVYRVFKEGELYVRRCLDNKDWWGKAISLHQNKDSVEFHIHNLLSCFPAVIEAVEIAGEISGLDQEEMTKKRAMLVKKYDRGWSDPKLFQWNFGKQYLVPREICRQMERAMREDRWLLIDTIKEKRRALPPGKSEHRLGELLLKKLNVLAPANGKLPPSSILLEAEDYQVRRRLGGNQHKEIQWLGENFALRHFFHDFEPLNSEISMLLSLSHPNIVQYLCGFYDENKKECFLVMELMTKDFYSYIKENSSPKKRVLFPLPTVVDIMLQIARGMEFLHSRKIYVGDFNPSNVLLKPRKSTEGYFHVKVSGFGLTSVKNHSSRHSSPEPSPVDTCIWHAPEVLAEQEQARNASSKKYTEKADVYSFGMLCFQLLTGKLPFEDGHLQGDQMINNIRAGERPLFPSLSPKYLVSLTKKCWHTEPSYRPTFLSICRVLRYIKKFLVMNPNDGQPYIQSPPVDFYDLEAGFLKKCQGEVTCDLPSVSQIPFQMFSYRLIEKEKTCVQIKFKNSEAASEAASNGWDESNSVVEDHHVPSIDARSFTSDVKSVGFDMKSTFTEVPDRKIPSDLRSVRSEPADKKLLLIKKTTSVKVRKVPGKPKPLPPSKSLPWTPPGHSMKMRCESPKPLSKSSMSPIRRRSPGQASNP >Potri.003G137000.6.v4.1 pep chromosome:Pop_tri_v4:3:15424746:15428169:1 gene:Potri.003G137000.v4.1 transcript:Potri.003G137000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137000.v4.1 MEQFRQTGEVLGSLKALMVLQDDIQINQKQCCLLVNIFCLAFKTIAEEIKQNLKLEEKNTKWRPLEEPLKEVYRVFKEGELYVRRCLDNKDWWGKAISLHQNKDSVEFHIHNLLSCFPAVIEAVEIAGEISGLDQEEMTKKRAMLVKKYDRGWSDPKLFQWNFGKQYLVPREICRQMERAMREDRWLLIDTIKEKRRALPPGKSEHRLGELLLKKLNVLAPANGKLPPSSILLEAEDYQVRRRLGGNQHKEIQWLGENFALRHFFHDFEPLNSEISMLLSLSHPNIVQYLCGFYDENKKECFLVMELMTKDFYSYIKENSSPKKRVLFPLPTVVDIMLQIARGMEFLHSRKIYVGDFNPSNVLLKPRKSTEGYFHVKVSGFGLTSVKNHSSRHSSPEPSPVDTCIWHAPEVLAEQEQARNASSKKYTEKADVYSFGMLCFQLLTGKLPFEDGHLQGDQMINNIRAGERPLFPSLSPKYLVSLTKKCWHTEPSYRPTFLSICRVLRYIKKFLVMNPNDGQPYIQSPPVDFYDLEAGFLKKCQGEVTCDLPSVSQIPFQMFSYRLIEKEKTCVQIKFKNSEAASEAASNGWDESNSVVEDHHVPSIDARSFTSDVKSVGFDMKSTFTEVPDRKIPSDLRSVRSEPADKKLLLIKKTTSVKVRKVPGKPKPLPPSKSLPWTPPGHSMKMRCESPKPLSKSSMSPIRRRSPGQASNP >Potri.012G020100.1.v4.1 pep chromosome:Pop_tri_v4:12:2132191:2133767:1 gene:Potri.012G020100.v4.1 transcript:Potri.012G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020100.v4.1 MATSSLNFSPYIPVFSRIRSATSSSLMDAANNKVVEVFDTEEDCQCLLRNTQQVYRTSLTKERGSFTVVLSVGLIDWSKWHVFWVDERLVPKDHPDSNYKLAFDGFLSMGAVDDYKTCLKHLVHTGVIEKSSVSGFPKFDLMLISMGPDGHVASLFPGHSLLKENQKWVTHITDSPKPPPKRSTFTFPVINSSSYIALLVCGAGKADVLQSAVGNGKNSDMLPVQTVSPEGEF >Potri.002G071900.1.v4.1 pep chromosome:Pop_tri_v4:2:5046251:5049581:1 gene:Potri.002G071900.v4.1 transcript:Potri.002G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071900.v4.1 MIEMVDSFNHWSFGHLRPFVKRVLSFGKGVVIKGHCKFWIFQSFNGVVVVGSLLSFLLAMAYVYVILFPRFQPVINKTYETPHFNSSVSECNYFEGNWIQDESYPLYDASQCPFAENGFNCLANGRRDGGYTKWRWKPKNCEIPRFNAREILEKMRGKRIVFVGDSLSRTQWESLICMLMTGVEDKRSVYEINGNKITKQIRFLGVRFSSFDLRIDFYRSVFLVQPGPAPRRAPKRVKSTLKIDKLDDIRNEWIDSDILIFNSGHWWTPSKLFEMGCYFLVGGSLKLGMPITAAFERALHTWASWLNTTINANRTSVFFRTFESSHWSGRNRLSCKVTRRPSSRTGGRDRNPISDIIIKVVKAMAVPVTVLHVTPMGAFRSDAHVGTWSDNPSVPDCSHWCLPGVPDMWNEILLSNMLSRN >Potri.011G032300.2.v4.1 pep chromosome:Pop_tri_v4:11:2615083:2617699:1 gene:Potri.011G032300.v4.1 transcript:Potri.011G032300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032300.v4.1 MALSCSVSLTAASGWPFPQNRNSERVKPILKEFKPTLPSTKKWSVSQKQTLAFGPTKQYPITINNDDSDTGYAEKLQTFKHILRKEGEEPIQGLAMIDAIQRLSIDYHFQEEIDSILTRQSMLLSTIHSDNNLYEVALRFRLLRQQGYHVSAGVFDTFKDNEGRFKQQLSSDIMGLVSLYEASQLSIRGEDVLDEAGDYSYQLLHSSLTHLDYNQARLVRNSLDHPHHKSLASFTAKYYFNDDPNGWISELQELAKTEFQRVQSQHQHEIVEILKWWKDLGLSTELRFARDQPLKWYMCSMSCLTDPSLSEQRIELTKPVSMIYIIDDIFDVHGTLDELVCFTEVINRWDIAAAEQLPDYMKICFKALNNITNEISYKIYKEHGWNPVDSLRKAWASLCRAFLVEARWFASGKLPSGEEYLKNGIVSSGVHVVLVTSSFYWAKV >Potri.001G473200.1.v4.1 pep chromosome:Pop_tri_v4:1:49650109:49651893:-1 gene:Potri.001G473200.v4.1 transcript:Potri.001G473200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G473200.v4.1 MSSPNSHDHDQEQDQEEEINPPTLTTAFHTRQLLVSCADLISQSDFSAAQRLLSHLLSTYNSSPYGDSTERLVHQFVRALSLRLNRHANPARSTTTAPLVFNMNSIAPPPPPPCTTTNTNNNKRMVISYESMDQDTLQSCYLSLNQITPFIRFSHLTANQAILEAIQVGQQAIHIIDFDIMHGVQWPPLMQALADRSNNTLHPPPMLRITGTGHDLSILHRTGDRLLKFAHSLGLRFQFHPLLLLNNDPASLALYLSSAITLLPDEALAVNCVLCLHRFLMDDSRELLLLLHKIKALNPNVVTVAEREANHNHLLFLQRFLEALDHYTALFDSLEATLPPNSKERLSVEQIWFGREIMDIVAAEGEGRRERHQRFETWEMMLKSSGFSNVPLSPFALSQAKLLLRLHYPSKGYQLQIVNNSFFLGWQNHSLFSVSSWH >Potri.014G005550.1.v4.1 pep chromosome:Pop_tri_v4:14:467014:468409:1 gene:Potri.014G005550.v4.1 transcript:Potri.014G005550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005550.v4.1 MSVSTDVSSTPINLYCNETPSVALCSRCTELDAKAHASYRFKPETAYLSVSYFHCFILSRPLQQGKGWPLQLLAVACLSLAAKLEETRVPSLLEIHINERIVLEILC >Potri.001G260304.1.v4.1 pep chromosome:Pop_tri_v4:1:27664333:27669543:1 gene:Potri.001G260304.v4.1 transcript:Potri.001G260304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G260304.v4.1 MASPSRRHLVHAMVFGLLAINVAANIYDNEEPPRPPHDHEDPPLPYNHKNSLFLPSGFLHRGTPPPPPRSPPPPNKKKTPPPPPKKKKTQSPPPPAKSPPPPPRAKSPPPPPSAKSPPLPSASPPTSSSAPILPPLPSYISPVTSPSKVPPIPSPTPSPTSNLFPSPPYTSPASPPRISPIPSPSPFPSPNLSQSHPHTPPTSPPKVSPIPILSSSPHPNLSPSPSYTSPKISPISPLSAPSTPNLSPSHPHTSPIPTSKVSPFPSLSPSSHPTLSPSPSYTSPKFSPISPLFASPNPNLSPSHPYTSPIPPPKVSPFPSLSPSLHPNLSPSPYYTSPKISPISHLSLSPNPNLSPSHPYTSPIPTPKVSPFPSISSSPHPNLSPSPSYTSPKIPPISQLSPSPNPNLSPSYPYTSPIPTPKVSPFPSLSPSLHPNLSPSPSYTSPKISPISPLSPPPTPNLSPSHPHTPPTSPPKVSPFPSLSPSPHPNLSPSSSYTSSKISPISQLSPSPTPNLSPSHPHTPPTSPPKVSPFPSLSPSPHPNLSPSSSYTSPKISPISPLSPPPTPNLSLSHSHTPPTSPPKVSPFPSLPPSSHPNLSPSPSYTSSKISPISPLSAPPTPNLSPSHPHTSPIPTPKVSPFPSLSPSSHPNLSPSPSYTSPKISPISPLFASPNPNLSPSHPYTSPIPTAKVSPFPSLSPSPHPNLSPSPSYTSPKISPISHLSLSPNPNLSPSHPYTSPIPTPKVSPFPSISSSPHPNLSPSPSYTSPKIPPISQLSPSPNPNVFPSHPYTSPIPTPKVSPFPSLSPSLHPNLSPSPSYTSPKISPISPLSPPPTPNLSPSHPHISPTSPPKVSPFPSLSSSPHPNLSPSPSYTSPKIPPISQLSPSPNPNLFPSHPYTSPIPTPKVSPFPSLSPSLHPNLSPSSSYTSPKISPISPLSPPPTPYLSPSHPHTPSTSPPKVSPFPSLPPSPHPNLSPSPSYTSPKISPTSPLSPSPSPSPNLSPSHPYTSPIPPPKVSQFQSLSPSPHPNLSPSPSYTSPKISPISQLSPSSNPNLSPSHPYTSSIPTPKVSPFPSLSPSSHPNLSPSPSYTSPNISPISPLSPSPSPNPNLSPSHPYTPPTYIPKISPIPSHPYTSPIPPPKVSPFPSLSPSPHPNLSLSPSYTSPKISPISQLSPSSNPNLSPSHPYTSPIPTPKVSPFPSLSPSSHHNLSPSPSYTSPKISPISPLSPSPSPNPNLSPSHPYTPPTYPPKISPIPSHPYTSPIPTPKVSPFPSLSPSPTPNLSPSHPYTPPTYPPKISPIPSHPYTSPIPPPKVSPFPSLSPSPTPNLSPSRPYTPPTYPPKISPIRSHPYTSPIPPPKVSPFPSLSPSPTPNLSPSHPYTPPTYPSKISPFPSPRYTSPPPPPPILKSSPPPWFTLPPFFSFKSPPPLSHSPPPPILKSPPPPRFTLPPFFPFKSPPPPSPSPPPPILKSPPPPRFTFPPFFPFKSPPPPSPSPPPHILKSPPPPWFFLPPFFFKSPPPLSPSPPSSNN >Potri.015G039000.4.v4.1 pep chromosome:Pop_tri_v4:15:3436997:3441432:1 gene:Potri.015G039000.v4.1 transcript:Potri.015G039000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039000.v4.1 MATHVEERDIQKKYWMDNISDLSVNAMMLDSKASELDKEERPEILSLLPPYEGKTVLELGAGIGRFTGELAQKAGQVVALDFIESAIKKNENINGHYKNVKFMCADVTSPDLNISEGSVDLIFSNWLLMYLSDKEVENLVERMVKWVKVDGFIFFRESCFHQSGDSKRKYNPTHYREPRFYTKVFKECHTRDGSGDSFELSLVGCKCISAYVKNKKNQNQICWIWQKVSSYEDKGFQRFLDNVQYKSNGILRYERVFGQGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDFYMAENFDVEVVGIDLSINMISFALERAIGLKCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKCDGTPSPEFAEYIKQRGYDLHDVKAYGQMLRDAGFDEVVAEDRTDQFNKVLQRELNAIEKDKDEFIHDFSEGDYNDIVGGWKAKLIRSSSGEQRWGLFIAKKK >Potri.015G039000.5.v4.1 pep chromosome:Pop_tri_v4:15:3437382:3441421:1 gene:Potri.015G039000.v4.1 transcript:Potri.015G039000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039000.v4.1 MACITSRLDLHWFLFLGLSVEERDIQKKYWMDNISDLSVNAMMLDSKASELDKEERPEILSLLPPYEGKTVLELGAGIGRFTGELAQKAGQVVALDFIESAIKKNENINGHYKNVKFMCADVTSPDLNISEGSVDLIFSNWLLMYLSDKEVENLVERMVKWVKVDGFIFFRESCFHQSGDSKRKYNPTHYREPRFYTKVFKECHTRDGSGDSFELSLVGCKCISAYVKNKKNQNQICWIWQKVSSYEDKGFQRFLDNVQYKSNGILRYERVFGQGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDFYMAENFDVEVVGIDLSINMISFALERAIGLKCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKCDGTPSPEFAEYIKQRGYDLHDVKAYGQMLRDAGFDEVVAEDRTDQFNKVLQRELNAIEKDKDEFIHDFSEGDYNDIVGGWKAKLIRSSSGEQRWGLFIAKKK >Potri.015G039000.2.v4.1 pep chromosome:Pop_tri_v4:15:3434861:3441439:1 gene:Potri.015G039000.v4.1 transcript:Potri.015G039000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039000.v4.1 MSSTHSATEDPFSTPPPASRVEERDIQKKYWMDNISDLSVNAMMLDSKASELDKEERPEILSLLPPYEGKTVLELGAGIGRFTGELAQKAGQVVALDFIESAIKKNENINGHYKNVKFMCADVTSPDLNISEGSVDLIFSNWLLMYLSDKEVENLVERMVKWVKVDGFIFFRESCFHQSGDSKRKYNPTHYREPRFYTKVFKECHTRDGSGDSFELSLVGCKCISAYVKNKKNQNQICWIWQKVSSYEDKGFQRFLDNVQYKSNGILRYERVFGQGYVSTGGIETTKEFVGKLDLKPGQKVLDVGCGIGGGDFYMAENFDVEVVGIDLSINMISFALERAIGLKCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKCDGTPSPEFAEYIKQRGYDLHDVKAYGQMLRDAGFDEVVAEDRTDQFNKVLQRELNAIEKDKDEFIHDFSEGDYNDIVGGWKAKLIRSSSGEQRWGLFIAKKK >Potri.007G055500.1.v4.1 pep chromosome:Pop_tri_v4:7:5795183:5801431:-1 gene:Potri.007G055500.v4.1 transcript:Potri.007G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G055500.v4.1 MERLVSGDFDVKAKHSSEEALQKWRKLCGVVKNPKRRFRFTANLSKRYEAAAMRKTNQEKLRIAVLVSKAAFQFIQGVSPSDYNVPAEVKAAGFDICADELGSIVEGHDVKKIKFHGGVTGVSEKLCTSIVDGLTTTDSDLLNRRQEIYGINKFAESQPRSFWIFVWEALQDMTLMILGVCAFVSLIVGIATEGWLEGTHDGLGIVASILLVVFVTAISDYRQSLQFRDLDTEKKKIIIQVTRNGFRQKLSIYDLLPGDIVHLAIGDQVPADGLFVSGFSVLIDESSLTGESEPVMVNSENPFMLSGTKVQDGSCKMMVATVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLFSHKWQAGTYFRWSGDDALEILEYFAIAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRHLAACETMGSATTICSDKTGTLTTNHMTVVKSCICMEVKVVDQPTKAASLVSEMPVSAVKLLLQSIFNNTGGEVVVNKDGKREILGTPTETALLEFALSLGGDFQAERQAVKLVKVEPFNSTKKRMGVVMELHEGGLRAHTKGASEIVLAACDKVINSNGDIVPLDEESTNLLKDTIDQFANEALRTLCIAYMELEGGFSPENPMPVSGYTCIGIVGIKDPVRPGVKESVAVCRSAGITVRMVTGDNINTAKAIARECGILTDDGIAIEGPDFREKSLEELLQLVPKIQVMARSSPLDKHTLVKHLRTTFGEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGSAPLTAVQLLWVNMIMDTLGALALATEPPNEELMKRSPVGRKGNFISSVMWRNILGQSLYQFMVIWHLQAKGKALFSLDGPDSDLVLNTLIFNSFVFCQIFNEISSREMEEIDVFKGILDNYVFVAVIGGTVLSQIIIVEFLGAFANTTPLTFAQWFLSVLIGFLGMPIAAGLKKIPV >Potri.008G048800.2.v4.1 pep chromosome:Pop_tri_v4:8:2856496:2858566:-1 gene:Potri.008G048800.v4.1 transcript:Potri.008G048800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048800.v4.1 MARIKVHELRNKSKADLLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAILRDAYKNKKFLPLDLRPKKTRAIRRRLTKHQLSLKTEREKNREMYFPMRKYAIKV >Potri.018G096200.2.v4.1 pep chromosome:Pop_tri_v4:18:11716088:11719866:-1 gene:Potri.018G096200.v4.1 transcript:Potri.018G096200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096200.v4.1 MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLEDAEEVNIKKKSRKSLGRILLKGDNITLMMNTGK >Potri.019G014362.1.v4.1 pep chromosome:Pop_tri_v4:19:2279033:2279716:1 gene:Potri.019G014362.v4.1 transcript:Potri.019G014362.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014362.v4.1 MVRSKDPFWNYIEDRTDGSMKCKFCPHTFANKTSISRIKWHLSGEEGHNVAICHGVPKEVQEAAWKAMCGGNKRHKITAGSINVNDCGISTMVGGIGRVQREVQVVEPGVVEERISSHAIAGNDVVSMTGMRAQEDGVSEGALESRLRTEPVDRSLEQSNAVLGNMAGGARRIQVGVQGMEQDPGEERIQSHLQAENGMENTGEGSFQHDAFETVPRTEKVQTLEPR >Potri.013G156700.1.v4.1 pep chromosome:Pop_tri_v4:13:15179107:15180383:1 gene:Potri.013G156700.v4.1 transcript:Potri.013G156700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156700.v4.1 MNSPQRPSARTRRPHLPECEKPPQSNPTGNDLESSLLQIFTDHNHTSLRLRETTVIETEKAKKEAARVADLLVDKVNGGVQESFVNEKRIEMEIRSLAASIVRFMKQSDQWLTATHSINTAIKEIGDFENWMKTMEYDCKSITTAIHNIHKE >Potri.004G187001.1.v4.1 pep chromosome:Pop_tri_v4:4:20013612:20014873:1 gene:Potri.004G187001.v4.1 transcript:Potri.004G187001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBF5 MEFENHSPSISSLRQNLSCKPCNNPINYIYNLKQAQERPVTVLKKKKAGRKKFKETRHPVYRGVRKRNGNKWVCEVREPNKKSRIWLGTFTSPEMAARAHDVAALALKGETATLNFPDSALILPRAKSSSAGDIRRAARDAVEAFIPSASLCSPPSPSHHKNFSCVTSSSKVLSPALSDLNPHEKGIENVFKPSLIGMQGVEVYGKKALNDDPFSMVMMSCPNNCSEKVSHQPNALFFDEEALFNMPGLLDSMAEGLILTPPVMQRGFNWDDMACSTDLTLWEED >Potri.001G210800.1.v4.1 pep chromosome:Pop_tri_v4:1:21542298:21544776:1 gene:Potri.001G210800.v4.1 transcript:Potri.001G210800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210800.v4.1 MESLPDAIVQCIFSHMNNAKDVAICNCVSKRWKESLPFIKSLFFPRNSFDNHDGSDHPDTIVCKMISSIVKLEELVVYSPFSSTGLASWLLLVSSSLKHLELRLDNLAEYQSCIESPSKLDCISAAKNLESLKLWGVLMVKSPKWDAFPKLQSLEIVGARLEDPALTAALQACPILKNLLLLGCEGVRSVSLELLNLEQCKLDFYGGGNYSLTLTSPKIEFLEVQGCSWISVRETTRLRNLSISNNAGRVYMVDFGKLAALEFLSIRGVQWCWNAISKMLHLASEVKHLYMKVEFTGDFDNLQPFPEIDLVDFFNSHPKLQKFDIHGAMFAALCQKNSLKNVQSGFVIPCLEEVVITVRSPLNAEQKISTLESLLKYGKVMKSMAIRILRMRSSHSSADDFFDEICRFQRMNHKIVQIE >Potri.002G145100.1.v4.1 pep chromosome:Pop_tri_v4:2:10946316:10949281:-1 gene:Potri.002G145100.v4.1 transcript:Potri.002G145100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145100.v4.1 MSSSSAAFGPDHLSSSEQLCYVHCNFCDTVLAVSVPCTSLYKTVAVRCGHCTNLLSVSMHGLLPAANQFYLGHGFFNPQNILEEIRNGAPPNLLINQPHPNESVIPFRGVEEIPKPPMVNRPPEKRHRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKANVRQQEGEDVLMTDEFFAAANVGVTPY >Potri.019G037400.3.v4.1 pep chromosome:Pop_tri_v4:19:5143479:5145629:-1 gene:Potri.019G037400.v4.1 transcript:Potri.019G037400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037400.v4.1 MPGYYNSIIKGVSTVMVSYSSWNGVKMHANRDMVTGFLKNILRFKGFVISDWEGIDRITSPPHANYSYSIQAGISAGIDMIMVPNNYKEFIDGLTSHVKNKVIPMSRIDDAVTRILRVKFTMGLFENPLADNSLVNELGSQEHRELAREAVRKSLVLLKNGESAAEPLLPLPKKATKILVAGSHADNLGYQCGGWTIEWQGLGGNNLTSGTTILTAIKNTVDPSTEVVYKENPDADFVKSNNFSYAIVVVGEPPYAETFGDSLNLTISEPGPSTIQNVCGTVKCVTVIISGRPVVIQPYVSLMDALVAAWLPGSEGQGVADALFGDYGFTGTLSRTWFKTVDQLPMNIGDQHYDPLFPFGFGLSTKPTKTI >Potri.019G037400.1.v4.1 pep chromosome:Pop_tri_v4:19:5143477:5148382:-1 gene:Potri.019G037400.v4.1 transcript:Potri.019G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037400.v4.1 MARIPIFLMGLVVIWAALAEAEYMIYKDATKPLNSRIKDLMSRMTLEEKIGQMTQIERGVASAEVMKDYFIGSVLSGGGSVPSKQASAETWINMVNELQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATRDPNLVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKLVQAMTEMVSGLQGDIPANSSKGVPFVAGKTKVAACAKHYVGDGGTTKGINENNTQISRHGLLSIHMPGYYNSIIKGVSTVMVSYSSWNGVKMHANRDMVTGFLKNILRFKGFVISDWEGIDRITSPPHANYSYSIQAGISAGIDMIMVPNNYKEFIDGLTSHVKNKVIPMSRIDDAVTRILRVKFTMGLFENPLADNSLVNELGSQEHRELAREAVRKSLVLLKNGESAAEPLLPLPKKATKILVAGSHADNLGYQCGGWTIEWQGLGGNNLTSGTTILTAIKNTVDPSTEVVYKENPDADFVKSNNFSYAIVVVGEPPYAETFGDSLNLTISEPGPSTIQNVCGTVKCVTVIISGRPVVIQPYVSLMDALVAAWLPGSEGQGVADALFGDYGFTGTLSRTWFKTVDQLPMNIGDQHYDPLFPFGFGLSTKPTKTI >Potri.001G040628.1.v4.1 pep chromosome:Pop_tri_v4:1:2957377:2961503:-1 gene:Potri.001G040628.v4.1 transcript:Potri.001G040628.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040628.v4.1 MIPRSVSLIFFLLFLVPEIAPVSALMTKPNCTETCGNISISFPFGIGTGCSMNDWFSVDCNKTTADSPSRAFLSRINMEVLEISLGYSTIPLVRVNSPIISSGCAGSGANLAINMTGSPFAFSSSNIFIAMGCNNRALLSRIEPEIVGCTSTCGANNLTSSSAEGKENSYCSGNNCCQTTIPSSLQVFDASLGTPEHPINDQGRNQCKTAFIVEEEWFRNNISSPEVVRDMQYVPVILDWEMYYGTDIPEDVTNSDAKNCWRGLTMWGLRTVTLYSNSTTCSCNPGYDGNPYLPDGCTDIDECKIPGENSCSGMTKCVNRPGRYKCELDKAKITFLILGAATGLLLLLVGIWRLYKLVKKKKNIELKKKFFKRNGGLLLQQQLSSSDGSIQKTKIFTSKELEKATDRFNDNRILGQGGQGTVYKGMLADGMIVAVKKSKIVDEEKLEEFINEVVILSQLNHRNVVKLLGCCLETEVPLLVYEFIPNGNLFEYIHDQKEEFEFSWEMRLRIATEVARALSYLHSAASIPVYHRDIKSTNIMLDEKFRAKVSDFGTSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERPEDRRSLATHFILLMEENKIFDILDERLMEQDREEEVIAVANLARRCLNLNGRKRPTIREVAIELEQIRLSKGALHAQQSSKELENIRDEVPNVWEIAGPTTSVTIGDFRNGTAPSLDVQPLISHETW >Potri.001G377200.1.v4.1 pep chromosome:Pop_tri_v4:1:39668887:39672908:1 gene:Potri.001G377200.v4.1 transcript:Potri.001G377200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377200.v4.1 MFFHIVLERNMQLHPRFFGRNLRENIVSKLMKDVEGTCSGRHGFVVAITGIENIGKGLIRDGTGFVTFPVKYQCVVFRPFKGEILEAVVTMVNKMGFFAEAGPVQIFVSNHLIPDDMEFQTGDMPNYTTSDGSVKIQKDSEVRLKIIGTRVDATEIFCIGTIKDDFLGVINDPANA >Potri.009G055700.3.v4.1 pep chromosome:Pop_tri_v4:9:5941142:5945693:1 gene:Potri.009G055700.v4.1 transcript:Potri.009G055700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055700.v4.1 MGRGKIEIKKIENTNSRQVTFSKRRAGLLKKAQELAILCDAEVAVIVFSNTGKLFEFSSSGMKRTLSRYNKFLDSPEQPKIEYKAEKPDLKEVDVLKEEIAKLQVKQLRLSGMDLTGLSLKELQQLENQLNEGLLFVKEKKEHLLMEQLEQSRVQRAMLENETLRRQIEELRGFFPSTDHPVPTYLEYYATERKNPPIDNGATSPPVAHYICSIEKVDSDTTLHLGLPTDTNRKRKALEGESHSNDSDSRPSLL >Potri.009G055700.2.v4.1 pep chromosome:Pop_tri_v4:9:5941142:5945693:1 gene:Potri.009G055700.v4.1 transcript:Potri.009G055700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055700.v4.1 MGRGKIEIKKIENTNSRQVTFSKRRAGLLKKAQELAILCDAEVAVIVFSNTGKLFEFSSSGMKRTLSRYNKFLDSPEQPKIEYKAEKPDLKEVDVLKEEIAKLQVKQLRLSGMDLTGLSLKELQQLENQLNEGLLFVKEKKEHLLMEQLEQSRVQEQRAMLENETLRRQIEELRGFFPSTDHPVPTYLEYYATERKNPPIDNGATSPPVAHYICSIEKVDSDTTLHLGLPTDTNRKRKALEGESHSNDSDSRPSLL >Potri.008G150200.6.v4.1 pep chromosome:Pop_tri_v4:8:10273921:10278766:1 gene:Potri.008G150200.v4.1 transcript:Potri.008G150200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150200.v4.1 MSPPSLIILISVFSILSLSTSQPPPLRRTLIDCGATVPSTINGLQWILDTGYITGGTAKNLTVPVLNHTLSTVRSFPLQNNLRRKFCYVVNVFRGAKYMIRSTYFYGGINGNDSPPVFDQIVDGTLWSVVNTTEDYRDGMSSYYEGVFLARGKTMSFCIAANSYTESDPFISALEFVILENSLYNSTDFKQAGLSLVARHSFGHNERIRYPDDQFDRVWEPFGANDSTISSSKNVSVSTIWNLPPTKIFETELTTSRSSPQEMNWPPVPLPNSTYYIALYFAHDHNSSPGGSRIIDISINGVPYYKNMTVTPAGVVIFANKWPLGGLTKVALTPATGLSIDPMINGGEVFDVIALGGRTLTRDVIALEALKSSFQNTPHDWNGDPCMPRQFSWTGIACSEGPRIRVVTLNLTSMGLSGSLPLSIARLTALTGIWLGNNTLSGSIPDFSSLKMLETLHLEDNQFTGEIPLSLGNIKDLRELFLQNNNLTGQIPNNLIGKPGLNLRTSGNQFLSPSPS >Potri.008G150200.5.v4.1 pep chromosome:Pop_tri_v4:8:10273777:10278688:1 gene:Potri.008G150200.v4.1 transcript:Potri.008G150200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150200.v4.1 MSPPSLIILISVFSILSLSTSQPPPLRRTLIDCGATVPSTINGLQWILDTGYITGGTAKNLTVPVLNHTLSTVRSFPLQNNLRRKFCYVVNVFRGAKYMIRSTYFYGGINGNDSPPVFDQIVDGTLWSVVNTTEDYRDGMSSYYEGVFLARGKTMSFCIAANSYTESDPFISALEFVILENSLYNSTDFKQAGLSLVARHSFGHNERIRYPDDQFDRVWEPFGANDSTISSSKNVSVSTIWNLPPTKIFETELTTSRSSPQEMNWPPVPLPNSTYYIALYFAHDHNSSPGGSRIIDISINGVPYYKNMTVTPAGVVIFANKWPLGGLTKVALTPATGLSIDPMINGGEVFDVIALGGRTLTRDVIALEALKSSFQNTPHDWNGDPCMPRQFSWTGIACSEGPRIRVVTLNLTSMGLSGSLPLSIARLTALTGIWLGNNTLSGSIPDFSSLKMLETLHLEDNQFTGEIPLSLGNIKDLRELFLQNNNLTGQIPNNLIGKPGLNLRTSGNQFLSPSPS >Potri.010G173300.1.v4.1 pep chromosome:Pop_tri_v4:10:17428848:17431091:1 gene:Potri.010G173300.v4.1 transcript:Potri.010G173300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173300.v4.1 MTDLQVCSFNVRKIISCVLMILLFYPTIVSCECTCEVEDSKHDKGEALKYKLGSILSILVAGAIGVGLPLLGKKIKALSPENDIFFMIKAFAAGVILATGFIHILPDAFDSLTSPCLAQNPWGDFPFTGFVAMMTAIGTLMVDTFATGFYKRMHFNKSKPVNTTDEETAEEHEGHVHVHTHATHGHAHGSASPEEDLALSELIRRRIISQALELGIVVHSIIIGISLGASGSPKTIKPLMVALSFHQFFEGMGLGGCITLAQFKSTSMAIMATFFSLTTPVGIAVGIGISSIYNENSPTAQVVEGIFNAASAGILIYMALVDLLAADFMSPRMQSNLRIQLGANVSLLLGAGCMSFLAKWA >Potri.010G173300.3.v4.1 pep chromosome:Pop_tri_v4:10:17428875:17431026:1 gene:Potri.010G173300.v4.1 transcript:Potri.010G173300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173300.v4.1 MTDLQVCSFNVRKIISCVLMILLFYPTIVSCECTCEVEDSKHDKGFYKRMHFNKSKPVNTTDEETAEEHEGHVHVHTHATHGHAHGSASPEEDLALSELIRRRIISQALELGIVVHSIIIGISLGASGSPKTIKPLMVALSFHQFFEGMGLGGCITLAQFKSTSMAIMATFFSLTTPVGIAVGIGISSIYNENSPTAQVVEGIFNAASAGILIYMALVDLLAADFMSPRMQSNLRIQLGANVSLLLGAGCMSFLAKWA >Potri.001G262866.1.v4.1 pep chromosome:Pop_tri_v4:1:23316170:23318527:-1 gene:Potri.001G262866.v4.1 transcript:Potri.001G262866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G262866.v4.1 MGKLPVPFLPFVFSTFFTLIIPSASGLSFNFTSFIVGDRNISYEQDAYPAEGAVQLTKNLRNANMSLIFGRATYYKPMQLWDKASGNLTDFTTHFSFSIDSQGRRAYGDGLAFFLAPEGSKLPPNLSQGRSLGLTRNDQQLNTTDNHFVAVEFDIYKNYFDPPGEHVGIDINSMQSVNNITWLCNISGGIKTDAWISYNSSTHNLSVAFTGYRNNTVEMQFLSQIVSLRDYLPERVSFGFSASTGSASALHTLYSWDFSSSLEIDDNVTNPIDPDTNTLDPAAASPPNGGSRRNRKKNRTGLAVGLGVGGGAIVVGAALVGFVIKFMCGHEEDEEGGHVVEEYMDEEFERGTGPKKFSYQELARATSNFKDEEKLGEGGFGGVYKGFLKDIDSFVAVKRVSRGSKQGIKEYAAEVKIISRLRHRNLVQLIGWCHERKELLLVYEFMSHGSLDSHLFKETSLLTWELRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIMLDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECAMTGKASRESDVYSFGIVALEIACGRKPINPKASNEDRVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIVGLSCAHPDEHLRPSIRQALHVLNFDAPLPILPSKMPVPSYFAPPISASSLSIMSYGLNRF >Potri.018G029600.1.v4.1 pep chromosome:Pop_tri_v4:18:2192868:2193167:-1 gene:Potri.018G029600.v4.1 transcript:Potri.018G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029600.v4.1 MATLQRSTAFRRQGSSGVVWEDKYFLKEDKVDYKELRPCQSARVVLSMTDPGQSKAEPSAFPRSYSTAPVNHSFGKPLAIPKSKSDKRKLFSNLLFSSK >Potri.005G055667.1.v4.1 pep chromosome:Pop_tri_v4:5:3493535:3494006:-1 gene:Potri.005G055667.v4.1 transcript:Potri.005G055667.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055667.v4.1 MTYLSKRSRDLLVVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGGCGDGSNSSERVAKLFTARACCRFQRGVQRKPTVLLAVCC >Potri.002G165800.1.v4.1 pep chromosome:Pop_tri_v4:2:12670869:12672952:-1 gene:Potri.002G165800.v4.1 transcript:Potri.002G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165800.v4.1 MEGDKKYITGEELKQHNKEGDLWISIQGKVYNVSDWAYEHPGGDVALLNLAGQDVTDAFIAYHPGTAWQYLDKFFTGYYLKDFKVSETSKDYRRLASEFAKLGLFEKKGHITMYALASIALMFCVVLYGVLCCQSVWAHLGSALVLGFLWIQSAYIGHDSGHYQVMKSRGYNKFAQFVAGNSLTGISIAWWKWTHNAHHLACNSLDYDPDLQHIPVFAVNSTFFNSIKSCFYGRYLDFDPVARFFVSYQHWTFYPVMCVARVNLYLQTFLLLFSKRKFPDRALNILGILIFWTWFPLLVSCLPNWPERVMFVLASFAVTAIQHVQFCLNHFAADVYTGPPKGNDWFEKQTGGTLDISCSSWMDWFYGGLQFQLEHHLFPRMPRCQLRRVSPLVQDLCKKHNLSYRSLSFWEANVWTIRRLRNVAQQARDLSNPVPKNLLWEAVNTHG >Potri.012G063800.1.v4.1 pep chromosome:Pop_tri_v4:12:7401899:7402888:1 gene:Potri.012G063800.v4.1 transcript:Potri.012G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063800.v4.1 MQDTHSIGGGGRLFSGGGGGDRKLRPHHHQNQQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSRPKQNTPITTCDTITASSTTPQELQEQHQQRDHKSSDSHSSSESSSLTATNNTNANTTAEAVSALSVSSVVSNNLLNGIVESKIFPHGNVNPSFEPSLLEQGSDCGIFSEIGSFTSLITSTNDLFGFSNMMSQHQPPHQQQGLEHHVQHNQNQQQWQNHQQQEMTGGGGLIDQTVHVELSALPSSRSTKNDDGEFGALDWQLGSGDQATSLFDLPNAVDQAYWSQSQWNDQDHPSLYIP >Potri.002G108600.1.v4.1 pep chromosome:Pop_tri_v4:2:8109363:8111524:1 gene:Potri.002G108600.v4.1 transcript:Potri.002G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108600.v4.1 MDANPALFLYPLEHSKILHLVRHAQGIHNVAGEKDHNALLSPEYFDAHLSPLGWQQAGNLRKQIYASGHLERIDLVITSPLCRALQTAIQVFGSEGQINGSKEANIDNSGISSLKCPPIVASELCRERLGVHPCDKRRTISENRSRFPTIDFSLIESDEDILWKTDARETDEEIAARGLKFMNWLWTRPEKEIAIVTHHRFLQHTLNALGNDFHPSVKNKMCKKFENCELRSMIIADKE >Potri.010G190400.1.v4.1 pep chromosome:Pop_tri_v4:10:18619097:18622021:-1 gene:Potri.010G190400.v4.1 transcript:Potri.010G190400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190400.v4.1 MSTFANPGEQNESTRMFDEDDSYVGYDSQPFDDSFAAGNDVFESQLPIYGEFSPLENGGSGGPEGPIFPPPSEKDAEQGFALREWRRQNAILLEDKEKREKEALSQIIKEAEDYKVESYKKREIACENNKITNREKEKLFLVNREKFHAEVDKNYWKSIAELIPNEVAAIEKRTGKKDLEKKPAIVVIQGPKSGKPTELSRMRQILLKLKHSAPPHLKYSPAEAATSIDATVATTSLKANTVVTAPETVAVA >Potri.010G238400.6.v4.1 pep chromosome:Pop_tri_v4:10:21788758:21798916:1 gene:Potri.010G238400.v4.1 transcript:Potri.010G238400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238400.v4.1 MSGNARYELSSASPEELGFTGSYSNGQRGSYPSASFDRSGSFSESRMFSSGASTPRASASPARSMAPLAPYLSLDPVTMGDQKYTRTGELRRAFGISLGSATEDNSFGAAHSKPPPAVDAEELKRIKADVYDDNQKARNRIKMWNGCLLRCHKFSEELNSKNQQRNEMPMNERSVGSNFLKVGTQIHRSPSDLGTQRLEDRAKTPVLNKRVRSSVAESRADGRSNTVPRQPLVMGKDRDIHRDGGEVSDLAEEKVRRLPAGGEGWDRKMKKKRSVGPVFTRTIDSDGEIKRVVHHKFNNEPGLQSCDAQGFRSGSFIGISGINKADGISASASSNARAIPKESERVSLTRDFAAGMNKERLVVKANNKVNILEDNNHTVSPSPVTKGKASRTPRTGLVMAANVSPNISRAPGALDGWEQTPGITKGNSVGGPNNRKRPLPTGSSSPPMAQWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERRHISEFSTRVSSAGINGTPLAKDVVNGTKQVRVKHENVSSPSRLSESEESGAGENHEGKPKEKGTGSGAVEERSLNQNVVPSLLLTKKNKMLNREGTGDGVRRQGRTGRGASSSRISISPMRENPASTKPLRSTKPISDKSGSKTGRPPLKKIADRKALARLGQTPISGSPDSTGESDDDREELLAAAIFSCNASYLSCSGSFWKKMEPVFAPVCSEDSSFLKQNLKSTEDLQKRLSEMFGRSNNSGDLVLEEDIPSQLVHEESEENLQDQDRPKNLMRTSDLVNPDQDSSALCGGTRRRNNVTPLYQRVLSALIVEDESEEFAENSGGRNISFQYTRDNSPGDSYLPIDFEPGSTNGIDFNYESMLSFQSQKQSSLEGFSCNGSTTINGISGFHKNSYNDYSLQGSNGFMHSKTGMFPGLSENNDEKPAIHSNALGIAAYDCQYEELDLEDKLLMELQSVGLYPETVPDLADGEDEVINQDIIELQKKLHQAVGKKEEYLDKTTKAIKEGRETQGWPLEQVAMDRLVELAYRKLLATRGNSASKFGVPKVSKQVALAFTKRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRANVAESTSCIQDPGASGSVPGRVERHDLSNDKFGRGALVDQDFARNGPILNRGKKKELLLDDVGGNALFKATSSLGNTLLGGAKGKRSERERDKDVLARNSVTKAGRASQSNIKGDRKTKSKPKQKIAQLSTSGDRIINKFKETGSNKKREAGATSNGSNPVDSAKESRGATRMAKFQGLDPIELHDGNDFGDTQDLNSLFDGLPENDLVGEILLDDLPLQIPMDDLSMIL >Potri.010G238400.9.v4.1 pep chromosome:Pop_tri_v4:10:21788726:21798888:1 gene:Potri.010G238400.v4.1 transcript:Potri.010G238400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238400.v4.1 MSGNARYELSSASPEELGFTGSYSNGQRGSYPSASFDRSGSFSESRMFSSGASTPRASASPARSMAPLAPYLSLDPVTMGDQKYTRTGELRRAFGISLGSATEDNSFGAAHSKPPPAVDAEELKRIKADVYDDNQKARNRIKMWNGCLLRCHKFSEELNSKNQQRNEMPMNERSVGSNFLKVGTQIHRSPSDLGTQRLEDRAKTPVLNKRVRSSVAESRADGRSNTVPRQPLVMGKDRDIHRDGGEVSDLAEEKVRRLPAGGEGWDRKMKKKRSVGPVFTRTIDSDGEIKRVVHHKFNNEPGLQSCDAQGFRVNILEDNNHTVSPSPVTKGKASRTPRTGLVMAANVSPNISRAPGALDGWEQTPGITKGNSVGGPNNRKRPLPTGSSSPPMAQWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERRHISEFSTRVSSAGINGTPLAKDVVNGTKQVRVKHENVSSPSRLSESEESGAGENHEGKPKEKGTGSGAVEERSLNQNVVPSLLLTKKNKMLNREGTGDGVRRQGRTGRGASSSRISISPMRENPASTKPLRSTKPISDKSGSKTGRPPLKKIADRKALARLGQTPISGSPDSTGESDDDREELLAAAIFSCNASYLSCSGSFWKKMEPVFAPVCSEDSSFLKQNLKSTEDLQKRLSEMFGRSNNSGDLVLEEDIPSQLVHEESEENLQDQDRPKNLMRTSDLVNPDQDSSALCGGTRRRNNVTPLYQRVLSALIVEDESEEFAENSGGRNISFQYTRDNSPGDSYLPIDFEPGSTNGIDFNYESMLSFQSQKQSSLEGFSCNGSTTINGISGFHKNSYNDYSLQGSNGFMHSKTGMFPGLSENNDEKPAIHSNALGIAAYDCQYEELDLEDKLLMELQSVGLYPETVPDLADGEDEVINQDIIELQKKLHQAVKVGKKEEYLDKTTKAIKEGRETQGWPLEQVAMDRLVELAYRKLLATRGNSASKFGVPKVSKQVALAFTKRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRANVAESTSCIQDPGASGSVPGRVERHDLSNDKFGRGALVDQDFARNGPILNRGKKKELLLDDVGGNALFKATSSLGNTLLGGAKGKRSERERDKDVLARNSVTKAGRASQSNIKGDRKTKSKPKQKIAQLSTSGDRIINKFKETGSNKKREAGATSNGSNPVDSAKESRGATRMAKFQGLDPIELHDGNDFGDTQDLNSLFDGLPENDLVGEILLDDLPLQIPMDDLSMIL >Potri.010G238400.8.v4.1 pep chromosome:Pop_tri_v4:10:21788723:21798918:1 gene:Potri.010G238400.v4.1 transcript:Potri.010G238400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238400.v4.1 MSGNARYELSSASPEELGFTGSYSNGQRGSYPSASFDRSGSFSESRMFSSGASTPRASASPARSMAPLAPYLSLDPVTMGDQKYTRTGELRRAFGISLGSATEDNSFGAAHSKPPPAVDAEELKRIKADVYDDNQKARNRIKMWNGCLLRCHKFSEELNSKNQQRNEMPMNERSVGSNFLKVGTQIHRSPSDLGTQRLEDRAKTPVLNKRVRSSVAESRADGRSNTVPRQPLVMGKDRDIHRDGGEVSDLAEEKVRRLPAGGEGWDRKMKKKRSVGPVFTRTIDSDGEIKRVVHHKFNNEPGLQSCDAQGFRSGSFIGISGINKADGISASASSNARAIPKESERVSLTRDFAAGMNKERLVVKANNKVNILEDNNHTVSPSPVTKGKASRTPRTGLVMAANVSPNISRAPGALDGWEQTPGITKGNSVGGPNNRKRPLPTGSSSPPMAQWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERRHISEFSTRVSSAGINGTPLAKDVVNGTKQVRVKHENVSSPSRLSESEESGAGENHEGKPKEKGTGSGAVEERSLNQNVVPSLLLTKKNKMLNREGTGDGVRRQGRTGRGASSSRISISPMRENPASTKPLRSTKPISDKSGSKTGRPPLKKIADRKALARLGQTPISGSPDSTGESDDDREELLAAAIFSCNASYLSCSGSFWKKMEPVFAPVCSEDSSFLKQNLKSTEDLQKRLSEMFGRSNNSGDLVLEEDIPSQLVHEESEENLQDQDRPKNLMRTSDLVNPDQDSSALCGGTRRRNNVTPLYQRVLSALIVEDESEEFAENSGGRNISFQYTRDNSPGDSYLPIDFEPGSTNGIDFNYESMLSFQSQKQSSLEGFSCNGSTTINGISGFHKNSYNDYSLQGSNGFMHSKTGMFPGLSENNDEKPAIHSNALGIAAYDCQYEELDLEDKLLMELQSVGLYPETVPDLADGEDEVINQDIIELQKKLHQAVKVGKKEEYLDKTTKAIKEGRETQGWPLEQVAMDRLVELAYRKLLATRGNSASKFGVPKVSKQVALAFTKRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRANVAESTSCIQDPGASGSVPGRVERHDLSNDKFGRGALVDQDFARNGPILNRGKKKELLLDDVGGNALFKATSSLGNTLLGGAKGKRSERERDKDVLARNSVTKAGRASQSNIKGDRKTKSKPKQKIAQLSTSGDRIINKFKETGSNKKREAGATSNGSNPVDSAKESRGATRMAKFQGLDPIELHDGNDFGDTQDLNSLFDGLPENDLVGEILLDDLPLQIPMDDLSMIL >Potri.010G238400.5.v4.1 pep chromosome:Pop_tri_v4:10:21788731:21798920:1 gene:Potri.010G238400.v4.1 transcript:Potri.010G238400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238400.v4.1 MSGNARYELSSASPEELGFTGSYSNGQRGSYPSASFDRSGSFSESRMFSSGASTPRASASPARSMAPLAPYLSLDPVTMGDQKYTRTGELRRAFGISLGSATEDNSFGAAHSKPPPAVDAEELKRIKADVYDDNQKARNRIKMWNGCLLRCHKFSEELNSKNQQRNEMPMNERSVGSNFLKVGTQIHRSPSDLGTQRLEDRAKTPVLNKRVRSSVAESRADGRSNTVPRQPLVMGKDRDIHRDGGEVSDLAEEKVRRLPAGGEGWDRKMKKKRSVGPVFTRTIDSDGEIKRVVHHKFNNEPGLQSCDAQGFRSGSFIGISGINKADGISASASSNARAIPKESERVSLTRDFAAGMNKERLVVKANNKVNILEDNNHTVSPSPVTKGKASRTPRTGLVMAANVSPNISRAPGALDGWEQTPGITKGNSVGGPNNRKRPLPTGSSSPPMAQWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERRHISEFSTRVSSAGINGTPLAKDVVNGTKQVRVKHENVSSPSRLSESEESGAGENHEGKPKEKGTGSGAVEERSLNQNVVPSLLLTKKNKMLNREGTGDGVRRQGRTGRGASSSRISISPMRENPASTKPLRSTKPISDKSGSKTGRPPLKKIADRKALARLGQTPISGSPDSTGESDDDREELLAAAIFSCNASYLSCSGSFWKKMEPVFAPVCSEDSSFLKQNLKSTEDLQKRLSEMFGRSNNSGDLVLEEDIPSQLVHEESEENLQDQDRPKNLMRTSDLVNPDQDSSALCGGTRRRNNVTPLYQRVLSALIVEDESEEFAENSGGRNISFQYTRDNSPGDSYLPIDFEPGSTNGIDFNYESMLSFQSQKQSSLEGFSCNGSTTINGISGFHKNSYNDYSLQGSNGFMHSKTGMFPGLSENNDEKPAIHSNALGIAAYDCQYEELDLEDKLLMELQSVGLYPETVPDLADGEDEVINQDIIELQKKLHQAVKVGKKEEYLDKTTKAIKEGRETQGWPLEQVAMDRLVELAYRKLLATRGNSASKFGVPKVSKQVALAFTKRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRANVAESTSCIQDPGASGSVPGRVERHDLSNDKFGRGALVDQDFARNGPILNRGKKKELLLDDVGGNALFKATSSLGNTLLGGAKGKRSERERDKDVLARNSVTKAGRASQSNIKGDRKTKSKPKQKIAQLSTSGDRIINKFKETGSNKKREAGATSNGSNPVDSAKESRGATRMAKFQGLDPIELHDGNDFGDTQDLNSLFDGLPENDLVGEILLDDLPLQIPMDDLSMIL >Potri.010G238400.1.v4.1 pep chromosome:Pop_tri_v4:10:21788726:21798877:1 gene:Potri.010G238400.v4.1 transcript:Potri.010G238400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238400.v4.1 MSGNARYELSSASPEELGFTGSYSNGQRGSYPSASFDRSGSFSESRMFSSGASTPRASASPARSMAPLAPYLSLDPVTMGDQKYTRTGELRRAFGISLGSATEDNSFGAAHSKPPPAVDAEELKRIKADVYDDNQKARNRIKMWNGCLLRCHKFSEELNSKNQQRNEMPMNERSVGSNFLKVGTQIHRSPSDLGTQRLEDRAKTPVLNKRVRSSVAESRADGRSNTVPRQPLVMGKDRDIHRDGGEVSDLAEEKVRRLPAGGEGWDRKMKKKRSVGPVFTRTIDSDGEIKRVVHHKFNNEPGLQSCDAQGFRSGSFIGISGINKADGISASASSNARAIPKESERVSLTRDFAAGMNKERLVVKANNKVNILEDNNHTVSPSPVTKGKASRTPRTGLVMAANVSPNISRAPGALDGWEQTPGITKGNSVGGPNNRKRPLPTGSSSPPMAQWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERRHISEFSTRVSSAGINGTPLAKDVVNGTKQVRVKHENVSSPSRLSESEESGAGENHEGKPKEKGTGSGAVEERSLNQNVVPSLLLTKKNKMLNREGTGDGVRRQGRTGRGASSSRISISPMRENPASTKPLRSTKPISDKSGSKTGRPPLKKIADRKALARLGQTPISGSPDSTGESDDDREELLAAAIFSCNASYLSCSGSFWKKMEPVFAPVCSEDSSFLKQNLKSTEDLQKRLSEMFGRSNNSGDLVLEEDIPSQLVHEESEENLQDQDRPKNLMRTSDLVNPDQDSSALCGGTRRRNNVTPLYQRVLSALIVEDESEEFAENSGGRNISFQYTRDNSPGDSYLPIDFEPGSTNGIDFNYESMLSFQSQKQSSLEGFSCNGSTTINGISGFHKNSYNDYSLQGSNGFMHSKTGMFPGLSENNDEKPAIHSNALGIAAYDCQYEELDLEDKLLMELQSVGLYPETVPDLADGEDEVINQDIIELQKKLHQAVKVGKKEEYLDKTTKAIKEGRETQGWPLEQVAMDRLVELAYRKLLATRGNSASKFGVPKVSKQVALAFTKRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRANVAESTSCIQDPGASGSVPGRVERHDLSNDKFGRGALVDQDFARNGPILNRGKKKELLLDDVGGNALFKATSSLGNTLLGGAKGKRSERERDKDVLARNSVTKAGRASQSNIKGDRKTKSKPKQKIAQLSTSGDRIINKFKETGSNKKREAGATSNGSNPVDSAKESRGATRMAKFQGLDPIELHDGNDFGDTQDLNSLFDGLPENDLVGEILLDDLPLQIPMDDLSMIL >Potri.019G012603.1.v4.1 pep chromosome:Pop_tri_v4:19:1731584:1731937:1 gene:Potri.019G012603.v4.1 transcript:Potri.019G012603.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012603.v4.1 MGSQRKNQCLTMIIFVAIQNLGNIQSLQRAPVPPSSGSPCTHTPGLGSGGHCPLGEMNFAGHIVAHAPPAFPDAIVNFSTASVTNNETQKQDSSS >Potri.009G121200.1.v4.1 pep chromosome:Pop_tri_v4:9:10150474:10154610:-1 gene:Potri.009G121200.v4.1 transcript:Potri.009G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121200.v4.1 MHMSKGLECGKHKMLNSNLKRMGSSPSSSSVVFAVLFLVLNAPVLCHGGKTSSFVRKVEKTVDMPLDSDVFRVPPGYNAPQQVHITQGDHVGKAVIVSWVTANEPGSKKVIYWSENSEHKEEANSKVYTYKFYNYTSGYIHHCTIRNLEFNTKYYYVVGVGHTERKFWFTTPPAVGPDVPYTFGLIGDLGQSYDSNTTLTHYEKNPTKGQAVLFVGDLSYADNYSNHDNVRWDTWGRFVERSVAYQPWIWTAGNHEIDFAPEIGETKPFKPFTHRYHVPYRASKSTAPLWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEQELPKVNRSETPWLIVLMHSPWYNSYNYHYMEGETMRVMYEPWFVQYKVDVVFAGHVHAYERSERISNIAYNIVNGKCVPVRDQTAPVYITIGDGGNIEGLATNMTYPQPEYSAYREASFGHAIFDIKNRTHAYYGWHRNQDGYAVEADTMWFFNRYWHPVDDSTNSES >Potri.004G094000.1.v4.1 pep chromosome:Pop_tri_v4:4:8035711:8036977:1 gene:Potri.004G094000.v4.1 transcript:Potri.004G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094000.v4.1 MGNYVSCTLSNPQVLKNSSKSTRVILPTGEIKKIQQRTKAAELMLEAPNFFIANTKSLKIGRRFCPLNADDELGKANVYVMFPMHKKNSVVTAGDMGALFITANSVMKRAFKGNIRVLPESTVEISQNMERNDVDAAPRLSLEGIEEVSSPYSTHRMSMSRSKKPLLETIEEEPICSN >Potri.004G190100.1.v4.1 pep chromosome:Pop_tri_v4:4:20256218:20261733:1 gene:Potri.004G190100.v4.1 transcript:Potri.004G190100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190100.v4.1 MGSILRFRKLCYVEPVKFESFQPQKIDKKEETVATEAKTTLEKNEKRNKRQPKEWSCINSCCWAIGYLCTTWWLLLVLFNCMPATFPGFQVLESPGTRLKLEGLTALHPVVLVPGIVTGGLELWEGKPCAEGLFRKRLWGGSFTEVLKRPLCLLEHLALHNETGLDPPGIRLRAVPGLVAADYFAPGYFVWAVLIENLAKIGYEGKNMHMAAYDWRLSFQNTEIRDQTLSRLKSQIELMYVTNGYMKVVVVPHSMGVIYFLHFLKWVETPPPMGGGGGPGWCAKHIKAIMNIGPVFLGVPKAVSNLFSAEAKDVASIRAMDPGVLDSEILRLQALEHVMRVTRTWDSIASLLPKGGETIWGNLDWSAEEGHACDLSKKRYSQASAGDKDTNDSDVKMGFHVKESKYGRIISFGKETLQLSSSQLPSVDTKEFLGTRTNKNTNSACGGEVWTEYDEMCRETIRKIAENKPYTARTVLDLLRFVAPKMMQRVESHLSYGIADNLDDPKYTRYKYWSNPLETKLPDAPDIEIYCSYGVGIPTERSYIYKLSPNDKCKSIPFRIDSSVDGDEDSCLRGGVYLTDGDETVPVISAGFMCAKGWRGRTRFNPSGIATHIREYQHKPPASLLEGRGLESGAHVDILGNFALIEDVLRVAAGATGAEIGGDRVYSDIFRMSDRINLRL >Potri.019G047850.1.v4.1 pep chromosome:Pop_tri_v4:19:7388530:7389474:-1 gene:Potri.019G047850.v4.1 transcript:Potri.019G047850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047850.v4.1 MIGKPIHCDDSIAQMTRLSYARVLIEVDLLSDLPSSVNVILPNGATLPQQIVYESLPRFCKQCKILGHLTLTCTKGLKPRSKKRPHESPVCSASSSPYAETAAVEKQEPYCAGPSVDPQMDPMSIEAATAGALRPQSPGLKTSKTATSEHSGSTPPIHHSEAGAIAAVAPPTRQYLTRSKSTAIPCLGSQRKSKAPAVDFQSLHSLDDSAPSSIF >Potri.010G153000.2.v4.1 pep chromosome:Pop_tri_v4:10:16236246:16243765:1 gene:Potri.010G153000.v4.1 transcript:Potri.010G153000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153000.v4.1 MEARLLIQAMESEQVRLIEELQLMQEHNNMYIEILKKKDNKVREPVLESGSNCLELHNLKEQNEVLVMEGSREIKSNPSQAKLDKLNKDLEEARSLNYHYQEDQASKLYQQHQAELVCEEVETETTRTILHLQEEITALQLELDERLYCMTQENTGLRNTVAAKEAEIRALCGEWERATLELTSFLTEGSKSLKDASGQIESIANAFPKLNVWIGEHAERAARACVDKEETILQLEKSLEDARKMVMDMEMKLNSLREATMALNDFPQSDNNESSEETIHSTMQLNEKINMVKMLESEIKLKEIHINEAEKRADAAFLVVKWLSECHKVAHSDDVRRRIPISKLLSSTNMGYHNTFDEKVDAWSDNDAPTGWENLILESENAITASYKDVEVHIAALQAEVLAAFASYRDLVQDLVKEIHEMKGKIMELKERQMDFQSSTMNWKAREPLEFLKFDNQLRILQLIRVELAKINDRMEIVSDFVDKKISSHNCLLNKEDFVEADGWSTDSSASCYSMIGSDLFPESVSLGNKLDGKSHGCCSKLSRKITEQMDLESRKGSDVQSESEDFQSGSENSEKLLKIPHSNRTMTLEMKRELDLTFDAFSKIYVHLSTIFNEDDLMHCTCPEDIKESFPSLGSRMKIAEAFCHSTGKVFADDKVSHASIFLRKCEEANATMKEADYMLNALMGANENAKQLSDMWKQANEELMVDRSRLVEENGQLKSSLCLKEEENKLLLDENSHGLAEVANSVSLLEACFQQMQREEEERYKVIYSDVLSMVREMLSFICNSRSSVEDIFSEIMEKGFARSIMYHCLVGELVHKIPSFIAQPGFSSFNQQERHMVMDTSQRVCSTGHTESIVTNEQGNEEQRLRDSFTTLEEGEGPSHDKLTYENLSLKKELERKESLLKGLLFDFSLLQETSSNRTDFKDETEKLIFALSEVQHELEKKTSQIDDLLVQHRKVEGHLTDTENALLVSISDLAQAKETIDALSDENAELRMLLKDIYLKKSEAEEQLEEQKEVTKSLEDEIIHLSSSTESKLRSAVESLEDELEKIRNERDQLREEIRSFNDKLEMAYGLADENEAIAVEARQESEASKIYAEQKEEEVKILEHSVEELENTINVLEKKVYEMNDEVERHRLIRDSLELELRTLRQRLSTVENITDIADSENANSVQKEDSITRQLHNRLLELHGAYNRIRLLERDITEKDKEIKQCKEYISELVLHSEAQASQFQEKYKTLETMVREVKTYSLDSESALQVVEKSEKSSIRTRGSSSPFRCIAGLVQQMNLEKDQELSVARLRIEELEAVLSSRKKEVCALNARLAAAESMTHDVIRDLLGVKLDMTNYANLIDQHQVQKLVEDAHQQTEEFLAREQEILNLRKQINDLAEERESCIAEINVKVADMLAAQMAVEQLKERDQLLSAQNEMLKVDKSNLLRRVADLDEMVKTLLGTQITQQRVPPTSSAKEKRVLKLGGADLTKRLAHSEKLLSRVNNELAQYRSRPDASHPYVRTNGQGLELNNRKDKA >Potri.001G025100.38.v4.1 pep chromosome:Pop_tri_v4:1:1888221:1895539:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.38.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.30.v4.1 pep chromosome:Pop_tri_v4:1:1888197:1895410:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.34.v4.1 pep chromosome:Pop_tri_v4:1:1888268:1895396:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.34.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.40.v4.1 pep chromosome:Pop_tri_v4:1:1888284:1895371:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.40.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.37.v4.1 pep chromosome:Pop_tri_v4:1:1888110:1895397:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.37.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.32.v4.1 pep chromosome:Pop_tri_v4:1:1888135:1895398:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.32.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.24.v4.1 pep chromosome:Pop_tri_v4:1:1888221:1895539:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.28.v4.1 pep chromosome:Pop_tri_v4:1:1888135:1895437:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.27.v4.1 pep chromosome:Pop_tri_v4:1:1888118:1895439:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.33.v4.1 pep chromosome:Pop_tri_v4:1:1888196:1895397:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.33.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.12.v4.1 pep chromosome:Pop_tri_v4:1:1888221:1895434:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.35.v4.1 pep chromosome:Pop_tri_v4:1:1888133:1895373:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.35.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.29.v4.1 pep chromosome:Pop_tri_v4:1:1888135:1895410:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.26.v4.1 pep chromosome:Pop_tri_v4:1:1888221:1895444:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.31.v4.1 pep chromosome:Pop_tri_v4:1:1888225:1895400:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.31.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.25.v4.1 pep chromosome:Pop_tri_v4:1:1888221:1895527:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.36.v4.1 pep chromosome:Pop_tri_v4:1:1888133:1895435:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.36.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSVSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.001G025100.39.v4.1 pep chromosome:Pop_tri_v4:1:1888186:1895397:1 gene:Potri.001G025100.v4.1 transcript:Potri.001G025100.39.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025100.v4.1 MFDWNDEELTNILWGETDNSDDHIVPFPDTSEDYCKKKESSGEAGTINSGVQKAAGAKVDIDCRKLESSSNFDTSEGTSASGVDIDRWPSLSLSNAAKTDRDSFGTSMPNSLTDITKLDSSAGGKEQGDFVDYGWASIGSFDDLDRIFSNGDPIFGNVNLGNADDLWSSSKDITNSPVKPFPISMASRQEYAQEDDQLFTLGYGKMNDPASRGLQNTQTDLAIVGKNTTTNSQLTAENVVLPNELTNKVYRQKKLLKGRKKLEEKGELKSYQDFNGNWTPSGIQAGHFKNQCAPQIMQSSPPSILNQQNQLQGPEQLQYQQISNPCVAPSAYGSMTNPYSTPVLSHFQSGEFKHQPLASGYEFSSGNANPINNLDDCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTILYRLQDIISKLDVRIRLCIRDSIFRLAQSAMHRHYGSDTSSTNNSRGEQVAMKEETRMVKMPEVETDTNPIDRTVAHLLFHRPVDFAGKHPDTPESPVSTKLPCEHKTVGIAKLSMGSLPDSPKSKPNFSQQGSKLSSLLTNFQPAGQCKSNPCLDTSEDASNNGPADEVAREVKASE >Potri.011G123100.1.v4.1 pep chromosome:Pop_tri_v4:11:15343584:15344268:1 gene:Potri.011G123100.v4.1 transcript:Potri.011G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123100.v4.1 MHRSSLFLLANRVTKPCDVFINHRGIDTKRTVATLLYDHLSRLNLHPFLDNKNMKPGDKLFDNINSAIRKCKVGVTVFSPRYCESYFCLHELALIMESKKKVIPIFCDIKPSQLRVVNNGKCPMEDIRRFNWALEEAKYTVGLTFDSLKGNWSDVVTSASDIVIETLLEIESEKQMQRRKSTPILHV >Potri.010G080666.1.v4.1 pep chromosome:Pop_tri_v4:10:10807526:10808384:1 gene:Potri.010G080666.v4.1 transcript:Potri.010G080666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080666.v4.1 MKKVRGMGVDENDEAGLHGTRGSLLDLGVARMEMKRPDHDQDQVLWTPPLFTITVGSNLHMLILFTILLFLGVLLTIKSHAVSTTCKTVLYFLHCISLPICFLTVLIKQKTH >Potri.006G156350.1.v4.1 pep chromosome:Pop_tri_v4:6:14040457:14046799:1 gene:Potri.006G156350.v4.1 transcript:Potri.006G156350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G156350.v4.1 MAAAAVDEFVKGNIHPNGVAVLTLDRPKALNAMNLDMDIKYKTFMDEWESDPRVRCVLVEGSSPRAFCAGMDIKGVVAEIRKDKNTPVVQKVFTAEYSLICKISEYKKPYVSLMDGVTMGFGIGLSGHGRYRIVTERTVLAMPENGIGLFPDVGFSYIAAKSPGEGSVGNYLALTGKRISTPSDALFVGLGSHYVPSGNLVQLKEALLQITFSNDPHQDINALLAEYGHDPESESQLKSLLPRIISTFGPNKSVEEIIEKLKSHQLSADPKVVEWANDALQGIGKGAPFSLCLTQKYFSRVAFAFGKISNELSTLSGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQKPKWNPSSLEEVDQSEIESLFKPLSPEAELTA >Potri.006G156350.2.v4.1 pep chromosome:Pop_tri_v4:6:14040460:14046799:1 gene:Potri.006G156350.v4.1 transcript:Potri.006G156350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G156350.v4.1 MAAAAVDEFVKGNIHPNGVAVLTLDRPKALNAMNLDMDIKYKTFMDEWESDPRVRCVLVEGSSPRAFCAGGDIKAITSKRQLSDMIKVFTAEYSLICKISEYKKPYVSLMDGVTMGFGIGLSGHGRYRIVTERTVLAMPENGIGLFPDVGFSYIAAKSPGEGSVGNYLALTGKRISTPSDALFVGLGSHYVPSGNLVQLKEALLQITFSNDPHQDINALLAEYGHDPESESQLKSLLPRIISTFGPNKSVEEIIEKLKSHQLSADPKVVEWANDALQGIGKGAPFSLCLTQKYFSRVAFAFGKISNELSTLSGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQKPKWNPSSLEEVDQSEIESLFKPLSPEAELTA >Potri.002G214475.1.v4.1 pep chromosome:Pop_tri_v4:2:19968395:19968577:1 gene:Potri.002G214475.v4.1 transcript:Potri.002G214475.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214475.v4.1 MYSNIIATGEYVWALLLGVFSGSDGVDAGSSNANIDEVDLKEEDNHLEKDGIPNFKNDIS >Potri.001G222400.1.v4.1 pep chromosome:Pop_tri_v4:1:23665116:23669057:-1 gene:Potri.001G222400.v4.1 transcript:Potri.001G222400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222400.v4.1 MGEWLKNQLFVSHAIAAVGSVTVATAVTYPLDTIKVLIQVGSNSGKQLTSLQALNRVRSLSGNSGLYSGFGWLTVGKTLGLGARFGAYEILTAYCKDGREYSYVYVSEALMAGMVAGALESLVCSPFELIKLRAQVTSASRVPRSTPVTENRAVAPIIAKLLPGHTPDKKALNHFVTLLSTLTSKHPNLAGALLEYPWMMTGSGKAPSVCDVRKPSSIISLEGWGALWRGIRSGLVRDSIFGGVFFSGWQFMHDVMLNWKAVGMDPIPRSNEEVGSLSPLSVSLAAGFSGSIAAAASHCFDTAKCRSQCTVLPKYISMERNLLRWTRPGNRFERYTGIHPADRNILFRGLGLRMARSGFASFMIVGSYYLTVNYLVSEGPKKRGSKENKLL >Potri.006G231200.4.v4.1 pep chromosome:Pop_tri_v4:6:23457306:23461499:1 gene:Potri.006G231200.v4.1 transcript:Potri.006G231200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231200.v4.1 MILSRNLISLSQTKQAHARIIVSGLAGKASLMGHILSFLATFPSSPFDYSLSIYRTIKNPNVFASNNMIRCFAKSDLPLQSLVLYSSVLRNCVRPNNYSFTFLLQACSKGLGLVEGVQVHGHVLKLGFGEDVYVRNALIHLYSSCCRTESSKQVFDESPHHCDVVTWNAMLAGFARDGQVSVVQKLFDEMPERDVISWNTMLMAYVHNGKLGEALECFKRMRESGLVPDEATLVTMLSASAQLCLLEHGQSIHSIIDSLSLPMTISIGTALLDMYAKCGCIEQSRLLFENMPRRDVSTWNVMICGLASHGLGKDALTLFERFLNEGLHPMNVTFVGVLNACSRAGLVKEGRHYFQMMTDSYGIEPEMEHYGCMVDLLGRAGLVFEAIKVIESMAISPDPVLWAMVLCACRIHGLAELGEKIGNRLIELDPTYDGHYVQLASIYANSRKWEDVVRVRRLMAERNTSKVAGWSLIEARGKVHRFVAGHREHEQSLEIQKMLEIIETRLAAAGYVPNVSPVLHDIGEEEKENAIKVHSERLAIAFGLLVTGPGSCIRIVKNLRVCWDCHEVTKMISRVFEREIIVRDGSRFHHFKEGKCSCLDYW >Potri.014G067400.3.v4.1 pep chromosome:Pop_tri_v4:14:4240676:4249761:-1 gene:Potri.014G067400.v4.1 transcript:Potri.014G067400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067400.v4.1 MPVSESVDEDDEHDSKDTVLQKYFLQEWKLVKSLLDDIVSNQQVSDLSSVHKIRSIMDKYQQQGELLEPYLESIVSPLMQIIRTRTIELGFESDEILEIIKPICIIIYTLVTVCGYKAVIKFFPHQVSDLELAVSLLEKCHGANSVTSLRQESKGEMEAKCVMLLWLSILVLVPFDISSVDTSIASSNELGELELAPLVLRILKFSKDYLSNAGPMRTMAGLVLSKLISRPDMPMAFTSFIEWTHEVLSSGTDDFSSHFQLLGAVEALAAIFKAGGRKGLMGVVSTVWTDVSLLEKSGTAAHSPLLRKYLVKLTQRIGLTCLPPRSPAWCYVGRTSSLGENVSLNVSKRADQCSHDENIDSVKPEESANCLEDEAMDVPETVEEIIEMLLAGLRDTDTVVRWSAAKGIGRITSRLTSALSDEVLSSILELFSPGEGDGSWHGACLALAELARRGLLLPMSLPKVVPYVVKALHYDIRRGPHSVGSHVRDAAAYVCWAFGRAYYHVDMRYVLEQLAPHLLTVACYDREVNCRRAAAAAFQENVGRQGNYPHGIDIVNTADYFSLSSRVNSYLHVAVYIAQYEGYLYPFAEELLHNKIGHWDKGLRELAGEALSALVKYDPEYFASFVLEKLIPSTLSSDLCMRHGATLATAEIVLALHRFDYALATEKQKQVAGVVPAIEKARLYRGKGGEIMRSAVSRFIECISSSHLLLPEKIQRSLLDTLSENLRHPNSQIQNDAVKALEHFVRAYLVTTNNEGASSITSKYLEQLTDQNVAVRRGSAMALGVLPYELLANRWRDVLLKLSSSCMIENKPEDRDAEARVNAVKGLILVLKTLTQERDCSSICCGEDGMSLYHLIKNEVMLSLFKALDDYSVDNRGDVGSWVREAAMEGLETCTYILCIKDSNGKAHGVESVSERPNNDVADNNQVVSFFDANLATNVIGGIAKQAVEKMDKIREAAAKVLQRILYNKAIFIPFIPYRENLEEIVPNETDLKWGVPTFSYQRFVQLLRFSCYSRPVLSGLVISIGGLQDSLRKTSISALLKYLQPVETEESNDRRSREHMLSADMLWVLQQYKKCDRVIVPTLKTIEILFSKKIFLDMEDQTPVFCASVLDSLAVELKGSKDFAKLYSGIAILGYIASLLETINARAFTHLLTLLGHRYPKIRKASAEQVYIVLLQNGNLVPEDKMEKALEIISETCWDGDVEATKLQKLELYEMAGVELGLLVKPRDKLPNKDSEKQPATNDENASYSSLVGSTGF >Potri.008G038450.1.v4.1 pep chromosome:Pop_tri_v4:8:2121830:2127545:1 gene:Potri.008G038450.v4.1 transcript:Potri.008G038450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038450.v4.1 MGSKWRKAKLALGLNLCVYVPRTLDDSAAPSSERLSDAALLSPTNWDSRPMTPTPSSHGLRLAKSGSKPSKQTCSICLTKMKQGGGHAIFTAECSHSFHFHCISSNVKHGNQLCPVCRAKWKEIPFQAPTLDPLPGRASVGWPQTDAMMTVVHRLPPPPRRDRRHVVPLLQVPEPSVFNDDESLDLQPAFAERSSGNKIAAGHNAGKIVEIKTYPEVSAASRSNSYDNFTVLVHLKAGATVARENPRGNLASLPQLSQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGSNDRLSVIAFSSTARRLFSLRRMSDAGRQHALQAVNSLVANGGTNIAEGLRKGAKVMEERREKNPVASIILLSDGQDTYTVSGSSGNQPQPNYRLLLPLSIHGGDNAGFQIPVHAFGFGADHDASSMHSISEISGGTFSFIETEAVIQDAFAQCIGGLLSVVVQELQVGVECMHPSIRLGSLKAGSYPSRVMADTRSGFIDVGDLYADEERDFLVSINVPAEPSMNQTSLLKVRCAYRDPLTKEMTTLESAEIKLERPEISGEAVVSIEVDRQRNRLQAAEAMSQARTVAERGDLAGAASILENCRRLLSETVSAKSHDRLCIALDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATARGDSTDGSSLVQSYQTPSMTEMLTRSQATFLGSPSAQRLVQPLWSFGSQPKPR >Potri.015G091900.1.v4.1 pep chromosome:Pop_tri_v4:15:11480735:11482203:-1 gene:Potri.015G091900.v4.1 transcript:Potri.015G091900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091900.v4.1 MDGRGGCCIARYAGGGGAYDMSKVDRIMLRFRPIAPKPSTAPSSAAFVSGGGSSPEMSDASPRSGRGKRKYNNTSNGNNTKKCNSGGGSNRKKKVLGEENKVVVDSFVTLPLLPEAPGRKDSPAKEPKCEAGSCSLTPKQVNSTSTWLSFGGNVKDHATGHNQSVGFGVPADRTVVMPGVMNIVGSCVTLECVTDTWVDVDGLGRTDKEKRVNLEKDTCPGFISDGYGRVTWTNEAYRKMVGQGEGGDHQVFVWLAIKEKAPVTVALGGHQAFTCRVRVQYQKYNTSEVDGKEKSSIITVPCDVWRMDTGGFAWRLDVKAALCLGR >Potri.003G028089.4.v4.1 pep chromosome:Pop_tri_v4:3:2938075:2946315:1 gene:Potri.003G028089.v4.1 transcript:Potri.003G028089.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028089.v4.1 MDANNWRLTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKPLDPGASHSMPPQVHNQGQSLPISLSSNQPQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSSLTQTIANTVGQNANMQSISGVSQNPVGNLMGQGIPSNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQIQQQLLKQKLQQGNHPHSLVQSHIHQQQQQQNLLQPNQLQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMLQQHPQSVLRQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSNTTNMSQNQLIGQQNIVGDLQQQQLGQQNNLQNLQQQQLMAQQNNLSSMHQQQLGPQSNVTGLRQQQLLGAQPASLDSTAEIGHANGADWQEEIYQKIKVMKETYLPEINELYQRIATKLQQHDPLPQQPKSEQLEKLKVFKVMLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFLNPSRYRKPIPNLQQGQPPQPRIQPMQQPQSQVPQLQSHENQLIPQLQSMNTQGSVPQMQQNNMSSLLHNFLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTKINTLSTQSGGSMLQPNIPLQSNSNMIQHQHLKQQQQQQQQHEQQMLQTQQLKRFQQHQNLMQNQQMQQQQLHQQAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQALAPSLAIGTPGISASPLLAEFTSPDGAHGSALTTVSGKSNVTEQSLECLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAAGNGSRAAAGEDLVAMTGCHLLSFLSFLFFTCFSQHGNSRE >Potri.003G028089.1.v4.1 pep chromosome:Pop_tri_v4:3:2936698:2946417:1 gene:Potri.003G028089.v4.1 transcript:Potri.003G028089.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028089.v4.1 MDANNWRLTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKPLDPGASHSMPPQVHNQGQSLPISLSSNQPQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSSLTQTIANTVGQNANMQSISGVSQNPVGNLMGQGIPSNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQIQQQLLKQKLQQGNHPHSLVQSHIHQQQQQQNLLQPNQLQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMLQQHPQSVLRQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSNTTNMSQNQLIGQQNIVGDLQQQQLGQQNNLQNLQQQQLMAQQNNLSSMHQQQLGPQSNVTGLRQQQLLGAQPASLDSTAEIGHANGADWQEEIYQKIKVMKETYLPEINELYQRIATKLQQHDPLPQQPKSEQLEKLKVFKVMLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFLNPSRYRKPIPNLQQGQPPQPRIQPMQQPQSQVPQLQSHENQLIPQLQSMNTQGSVPQMQQNNMSSLLHNFLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTKINTLSTQSGGSMLQPNIPLQSNSNMIQHQHLKQQQQQQQQHEQQMLQTQQLKRFQQHQNLMQNQQMQQQQLHQQAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQALAPSLAIGTPGISASPLLAEFTSPDGAHGSALTTVSGKSNVTEQSLECLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAAGNGSRAAAGEDLVAMTGCHLLSFLSFLFFTCFSQHGNSRE >Potri.003G028089.5.v4.1 pep chromosome:Pop_tri_v4:3:2937109:2946313:1 gene:Potri.003G028089.v4.1 transcript:Potri.003G028089.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028089.v4.1 MDANNWRLTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKPLDPGASHSMPPQVHNQGQSLPISLSSNQPQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSSLTQTIANTVGQNANMQSISGVSQNPVGNLMGQGIPSNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQIQQQLLKQKLQQGNHPHSLVQSHIHQQQQQQNLLQPNQLQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMLQQHPQSVLRQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSNTTNMSQNQLIGQQNIVGDLQQQQLGQQNNLQNLQQQQLMAQQNNLSSMHQQQLGPQSNVTGLRQQQLLGAQPASLDSTAEIGHANGADWQEEIYQKHDPLPQQPKSEQLEKLKVFKVMLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFLNPSRYRKPIPNLQQGQPPQPRIQPMQQPQSQVPQLQSHENQLIPQLQSMNTQGSVPQMQQNNMSSLLHNFLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTKINTLSTQSGGSMLQPNIPLQSNSNMIQHQHLKQQQQQQQQHEQQMLQTQQLKRFQQHQNLMQNQQMQQQQLHQQAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQALAPSLAIGTPGISASPLLAEFTSPDGAHGSALTTVSGKSNVTEQSLECLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAAGNGSRAAAGEDLVAMTGCHLLSFLSFLFFTCFSQHGNSRE >Potri.003G028089.3.v4.1 pep chromosome:Pop_tri_v4:3:2936313:2946417:1 gene:Potri.003G028089.v4.1 transcript:Potri.003G028089.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028089.v4.1 MDANNWRLTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKPLDPGASHSMPPQVHNQGQSLPISLSSNQPQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSSLTQTIANTVGQNANMQSISGVSQNPVGNLMGQGIPSNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQIQQQLLKQKLQQGNHPHSLVQSHIHQQQQQQNLLQPNQLQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMLQQHPQSVLRQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSNTTNMSQNQLIGQQNIVGDLQQQQLGQQNNLQNLQQQQLMAQQNNLSSMHQQQLGPQSNVTGLRQQQLLGAQPASLDSTAEIGHANGADWQEEIYQKIKVMKETYLPEINELYQRIATKLQQHDPLPQQPKSEQLEKLKVFKVMLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFLNPSRYRKPIPNLQQGQPPQPRIQPMQQPQSQVPQLQSHENQLIPQLQSMNTQGSVPQMQQNNMSSLLHNFLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTKINTLSTQSGGSMLQPNIPLQSNSNMIQHQHLKQQQQQQQQHEQQMLQTQQLKRFQQHQNLMQNQQMQQQQLHQQAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQALAPSLAIGTPGISASPLLAEFTSPDGAHGSALTTVSGKSNVTEQSLECLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAAGNGSRAAAGEDLVAMTGCHLLSFLSFLFFTCFSQHGNSRE >Potri.003G028089.7.v4.1 pep chromosome:Pop_tri_v4:3:2937154:2946394:1 gene:Potri.003G028089.v4.1 transcript:Potri.003G028089.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028089.v4.1 MDANNWRLTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKPLDPGASHSMPPQVHNQGQSLPISLSSNQPQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSSLTQTIANTVGQNANMQSISGVSQNPVGNLMGQGIPSNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQIQQQLLKQKLQQGNHPHSLVQSHIHQQQQQQNLLQPNQLQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMLQQHPQSVLRQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSNTTNMSQNQLIGQQNIVGDLQQQQLGQQNNLQNLQQQQLMAQQNNLSSMHQQQLGPQSNVTGLRQQQLLGAQPASLDSTAEIGHANGADWQEEIYQKIKVMKETYLPEINELYQRIATKLQQHDPLPQQPKSEQLEKLKVFKVMLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFLNPSRYRKPIPNLQQGQPPQPRIQPMQQPQSQVPQLQSHENQLIPQLQSMNTQGSVPQMQQNNMSSLLHNFLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTKINTLSTQSGGSMLQPNIPLQSNSNMIQHQHLKQQQQQQQQHEQQMLQTQQLKRFQQHQNLMQNQQMQQQQLHQQAPSPMPGDSDKPVSEFTSPDGAHGSALTTVSGKSNVTEQSLECLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAAGNGSRAAAGEDLVAMTGCHLLSFLSFLFFTCFSQHGNSRE >Potri.003G028089.6.v4.1 pep chromosome:Pop_tri_v4:3:2937152:2946313:1 gene:Potri.003G028089.v4.1 transcript:Potri.003G028089.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028089.v4.1 MDANNWRLTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKPLDPGASHSMPPQVHNQGQSLPISLSSNQPQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSSLTQTIANTVGQNANMQSISGVSQNPVGNLMGQGIPSNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQIQQQLLKQKLQQGNHPHSLVQSHIHQQQQQQNLLQPNQLQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMLQQHPQSVLRQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSNTTNMSQNQLIGQQNIVGDLQQQQLGQQNNLQNLQQQQLMAQQNNLSSMHQQQLGPQSNVTGLRQQQLLGAQPASLDSTAEIGHANGADWQEEIYQKIKVMKETYLPEINELYQRIATKLQQHDPLPQQPKSEQLEKLKVFKVMLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFLNPSRYRKPIPNLQQGQPPQPRIQPMQQPQSQVPQLQSHENQLIPQLQSMNTQGSVPQMQQNNMSSLLHNFLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTKINTLSTQSGGSMLQPNIPLQSNSNMIQHQHLKQQQQQQQQHEQQMLQTQQLKRFQQHQNLMQNQQMQQQQLHQQAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQALAPSLAIGTPGISASPLLAEFTSPDGAHGSALTTVSGKSNVTEQSLECLIKAVSDALLLVLLHPTLILEVSEKERNFFVNEMVML >Potri.003G028089.2.v4.1 pep chromosome:Pop_tri_v4:3:2936826:2946451:1 gene:Potri.003G028089.v4.1 transcript:Potri.003G028089.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028089.v4.1 MDANNWRLTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKPLDPGASHSMPPQVHNQGQSLPISLSSNQPQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSSLTQTIANTVGQNANMQSISGVSQNPVGNLMGQGIPSNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQIQQQLLKQKLQQGNHPHSLVQSHIHQQQQQQNLLQPNQLQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMLQQHPQSVLRQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSNTTNMSQNQLIGQQNIVGDLQQQQLGQQNNLQNLQQQQLMAQQNNLSSMHQQQLGPQSNVTGLRQQQLLGAQPASLDSTAEIGHANGADWQEEIYQKIKVMKETYLPEINELYQRIATKLQQHDPLPQQPKSEQLEKLKVFKVMLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFLNPSRYRKPIPNLQQGQPPQPRIQPMQQPQSQVPQLQSHENQLIPQLQSMNTQGSVPQMQQNNMSSLLHNFLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTKINTLSTQSGGSMLQPNIPLQSNSNMIQHQHLKQQQQQQQQHEQQMLQTQQLKRFQQHQNLMQNQQMQQQQLHQQAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQALAPSLAIGTPGISASPLLAEFTSPDGAHGSALTTVSGKSNVTEQSLECLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAAGNGSRAAAGEDLVAMTGCHLLSFLSFLFFTCFSQHGNSRE >Potri.T124307.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:7132:12579:1 gene:Potri.T124307.v4.1 transcript:Potri.T124307.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124307.v4.1 MLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELSLDVSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRNVSCYGRFMPRMAIIPPGMEFHHIVPQDGDMDGEIEGNEDHPSSHPSIWIEIMRFFTNSHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVAEKHLWAKCRQNGLKNIHHFSWPEHCKAYLSKIAGCKPRHPQWQKSDDGADTSDTDSPGDSLRDIQDLSLNLRFSLDGEKTGGSGNDSSLGSEGNAADKKSKIENAVLAWSKGVVKDTRKAVDHNSSSGKFPSLRRRKQIFVVAVDFDNFASLAEATRKIFEAVEKERVEGSIGFILSTSLAISEICSFLASGGFSPSDFDAFICNSGSDLYYSTPNPEDGPFVIDFYYHSHIEYRWGGEGLRKTLFRWSSSVIDKKAEDAERIVSSAEQLSTDYCYAFTVKKPGSVPPVKELQKVLRIQALRCHAIYCQNGTRINVIPVLASRSQALRYLYVRWGVELASMVVFVGECGDTDYEGLLGGLHKSVILKGVCSSASSQIHSNRSYPLSDIMPLESPNVVQAAEESSAIRSSLEQLGCLKS >Potri.T124307.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:6157:12581:1 gene:Potri.T124307.v4.1 transcript:Potri.T124307.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124307.v4.1 MLNVVLSVKEDAEKQWLICLKTYQRERKEIQLEIYQPTVIASGAGLPRINSVDAMEAWVNQQKGKKLYIILISLHGLLRGDNMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNIRNEDFLDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIPEFVDGALNHIIRMSKSLGEQIGGGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELSLDVSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRNVSCYGRFMPRMAIIPPGMEFHHIVPQDGDMDGEIEGNEDHPSSHPSIWIEIMRFFTNSHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVAEKHLWAKCRQNGLKNIHHFSWPEHCKAYLSKIAGCKPRHPQWQKSDDGADTSDTDSPGDSLRDIQDLSLNLRFSLDGEKTGGSGNDSSLGSEGNAADKKSKIENAVLAWSKGVVKDTRKAVDHNSSSGKFPSLRRRKQIFVVAVDFDNFASLAEATRKIFEAVEKERVEGSIGFILSTSLAISEICSFLASGGFSPSDFDAFICNSGSDLYYSTPNPEDGPFVIDFYYHSHIEYRWGGEGLRKTLFRWSSSVIDKKAEDAERIVSSAEQLSTDYCYAFTVKKPGSVPPVKELQKVLRIQALRCHAIYCQNGTRINVIPVLASRSQALRYLYVRWGVELASMVVFVGECGDTDYEGLLGGLHKSVILKGVCSSASSQIHSNRSYPLSDIMPLESPNVVQAAEESSAIRSSLEQLGCLKS >Potri.T124307.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:4428:12555:1 gene:Potri.T124307.v4.1 transcript:Potri.T124307.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124307.v4.1 MTKNLLFCLEKEAGLAQLVTLLKKLFLDLMRPIFIAPGFELRRRGVLKRGIRGWRICAGGFGTWLGKRNSLREIWPKEMLNVVLSVKEDAEKQWLICLKTYQRERKEIQLEIYQPTVIASGAGLPRINSVDAMEAWVNQQKGKKLYIILISLHGLLRGDNMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNIRNEDFLDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIPEFVDGALNHIIRMSKSLGEQIGGGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELSLDVSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRNVSCYGRFMPRMAIIPPGMEFHHIVPQDGDMDGEIEGNEDHPSSHPSIWIEIMRFFTNSHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVAEKHLWAKCRQNGLKNIHHFSWPEHCKAYLSKIAGCKPRHPQWQKSDDGADTSDTDSPGDSLRDIQDLSLNLRFSLDGEKTGGSGNDSSLGSEGNAADKKSKIENAVLAWSKGVVKDTRKAVDHNSSSGKFPSLRRRKQIFVVAVDFDNFASLAEATRKIFEAVEKERVEGSIGFILSTSLAISEICSFLASGGFSPSDFDAFICNSGSDLYYSTPNPEDGPFVIDFYYHSHIEYRWGGEGLRKTLFRWSSSVIDKKAEDAERIVSSAEQLSTDYCYAFTVKKPGSVPPVKELQKVLRIQALRCHAIYCQNGTRINVIPVLASRSQALRYLYVRWGVELASMVVFVGECGDTDYEGLLGGLHKSVILKGVCSSASSQIHSNRSYPLSDIMPLESPNVVQAAEESSAIRSSLEQLGCLKS >Potri.T124307.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:4428:13672:1 gene:Potri.T124307.v4.1 transcript:Potri.T124307.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124307.v4.1 MTKNLLFCLEKEAGLAQLVTLLKKLFLDLMRPIFIAPGFELRRRGVLKRGIRGWRICAGGFGTWLGKRNSLREIWPKEMLNVVLSVKEDAEKQWLICLKTYQRERKEIQLEIYQPTVIASGAGLPRINSVDAMEAWVNQQKGKKLYIILISLHGLLRGDNMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNIRNEDFLDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIPEFVDGALNHIIRMSKSLGEQIGGGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELSLDVSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRNVSCYGRFMPRMAIIPPGMEFHHIVPQDGDMDGEIEGNEDHPSSHPSIWIEIMRFFTNSHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVAEKHLWAKCRQNGLKNIHHFSWPEHCKAYLSKIAGCKPRHPQWQKSDDGADTSDTDSPGDSLRDIQDLSLNLRFSLDGEKTGGSGNDSSLGSEGNAADKKSKIENAVLAWSKGVVKDTRKAVDHNSSSGKFPSLRRRKQIFVVAVDFDNFASLAEATRKIFEAVEKERVEGSIGFILSTSLAISEICSFLASGGFSPSDFDAFICNSGSDLYYSTPNPEDGPFVIDFYYHSHIEYRWGGEGLRKTLFRWSSSVIDKKAEDAERIVSSAEQLSTDYCYAFTVKKPGSVPPVKELQKVLRIQALRCHAIYCQNGTRINVIPVLASRSQALRYLYVRWGVELASMVVFVGECGDTDYEGLLGGLHKSVILKGVCSSASSQIHSNRSYPLSDIMPLESPNVVQAAEESSAIRSSLEQLGCLKS >Potri.006G137300.1.v4.1 pep chromosome:Pop_tri_v4:6:11375513:11381384:1 gene:Potri.006G137300.v4.1 transcript:Potri.006G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137300.v4.1 MKSTSSFGRTSSRLSNYSRTFDLPDDDLDGSETASDCEIGGAMLPIFLNDLRRNNQDELVEVTLELEKDSIVVCSVNPNGRGMSTPSTPGGGAAAGILERSLSATSRIRRKFGWLRSRSSRTTWSENEERVISARDARKLKAKLDRSKLSAQRGLKGLRFINKTTGTTSESNELWKRVESRFKLLSKDGLLAREDFGECIGMVNSKEFAVCIFDALARRKRQRITKISKEELHDFWLQISDQSFDARLQIFFDMADSNEDGRIIREEVQELIMLSASANKLSKLKEQAEEYASLIMEELDPENLGYIELWQLETLLLQRDTYMNYSRPLSTASVSWSQNISSIKPRNVMHRLSFKLRNLILEKWQRAWILSLWVMIMVGLFVWKFLQYKNKAAFHVMGYCLASAKGAAETLKFNMALILLPVCRNTLTWLRSTRARSFVPFDENINFHKMVAGAIVIGVILHAGNHLLCDFPRLINSSPENFALIASDFNNKKPTYKELVTGIEGVTGISMVVLLTIAFTLATGRFRRNGVRLPAPFNKLTGFNAFWYSHHLTGVVYILLLVHGTFLFLAHKWYQKTTWMYISAPLLLYMVERNVRTRRSEHYSVKLLKVSVLPGNVLSLILSKPQGFKYKSGQYIFLQCPAISSFEWHPFSITSAPGDDYLSVHIRIVGDWTEELKRVFTEENDSPSVIGRAKFGQLGHMDQTRQPKLYVDGPYGAPAQDYRNYDVLLLVGLGIGATPFISILRDLLNNTRTADNQMDSNTENSRSDDSSNSYASSSMTPVSKKRTQRTTNAHFYWVTREPGSFEWFKGVMDEVAEMDHKGQIELHNYLTSVYEEGDARSTLITMVQALNHAKHGVDIVSGTRVRTHFARPNWKEVFNKIASKHPFGTVGVFYCGMPVLAKELKKLCQELSHKTTTRFEFHKEYF >Potri.018G141700.4.v4.1 pep chromosome:Pop_tri_v4:18:14681218:14683362:1 gene:Potri.018G141700.v4.1 transcript:Potri.018G141700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141700.v4.1 MEIIDNHFEYQNYWETNRFWNEDLDYSWEMNQQFNVGYYDSSSPDGNTQTIASKNIVSERSRRQKLSDKLLALREAVPKISKLDKASVIKDAIKYIQDLQEQERRLQADIRELESRRLEKNHTFDIEDELPVLLRSKRTRHDQIYDHWLARSTCPIQVHELSVTSMGEKTLFVSLTCSKTTDAMIRICEAFEPLKLKIITANITTLSGMIKKTVLIEVDEEEKEHLKIKIERAVLALRSAYNPMMSI >Potri.001G236533.1.v4.1 pep chromosome:Pop_tri_v4:1:25472028:25472466:-1 gene:Potri.001G236533.v4.1 transcript:Potri.001G236533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236533.v4.1 MRLSIGRQQKTFVSNGSTHLFPPPLWKTLDKIG >Potri.010G054466.2.v4.1 pep chromosome:Pop_tri_v4:10:8580931:8589366:-1 gene:Potri.010G054466.v4.1 transcript:Potri.010G054466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054466.v4.1 MEMTENQSDKTSKHKRERNLLAFTGAAALAALALSLAISALNSRRKKSNKKDLSGSNARINLSASEILKLADRIIAKSKEVHDAVASVPLDKVTYANVMSPLADLEAHQFPLVQSCVFPKLVSTLEDVRKASAEAERRIDAHVSMCSKREDVYRVVKAFASKGEWMNPEAKHYIKCLVRDFEQNGLNLTVTKKEEVQRLRAQIEELSLRYVRNLNDDSSCLLFSEAELVGLPPEYLKSLDKAGNDKYKITLRSHNVLALLEFCQVGTTRRMVAAAYGKRCGEVNLSVLESLVELRHKYARLFGFSNYADYAVDLRMAKTSTKVFEFLEDISASLTDLATRELALLKDLKKKEEGELPFGMEDLLYYVKRVEEAQFDLDFGALKQYFPVDVVLSGILKITQDLFGLRFQEVADAEVWHGDVSVFSVFDLSSGELLGYFYLDIYMREGKYGHTCVVALQNGALSYSGERQIPVALLISQLQKGNGGHSGLLRFPEVVSLFHEFGHVVQHICNRASFARFSGLRVDPDFVEIPALVLENWCYESFSLKLISGFHQDITKPINDEICKSLKRWRNSFSVLKLKQEILYCLFDQIIHSTDNVDIVELFKHLHPKVMLGLPMLEGTNPASCFPRSAIGFEAACYSRIWSEVFATDVFASKFCDDLVNHHVGMQFRNKVLAMGGAKEPIEILSDFLGREPSIDAFIDSKTKYSP >Potri.011G044000.5.v4.1 pep chromosome:Pop_tri_v4:11:3428248:3430086:-1 gene:Potri.011G044000.v4.1 transcript:Potri.011G044000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044000.v4.1 MGSLSLSLKVILLSTSVLFLSLCLKISVPLVHDFSVNQAPLLWSSILSWLKPPYLYVIINCIIITIAASSRFHHSHSSANSTTTHDQIEKIPMDEYLHDEMKISTVEIQTSHFGGLESRAEIDYQDREEEREEAAHHEQVVIEDKGIVNAVAVLEDSKNETVDDFVLSKSTWVPPFKRIDSSENNLLLPDNLSPVEKPLVSSRFGHRKFVKASPEGGRALRVAKPKRHETLENTWKTITEGRAMPITRHVKKSETFKDTWENHGSQFNTSVVDPHEVKKSTTFKDTTNYQLPLVNSSSPSSGKLRKEPSLSQDELNRRVEAFIKKFNEEMRLQRQESLNQYKEMTSRGRRHGIN >Potri.011G140100.8.v4.1 pep chromosome:Pop_tri_v4:11:17096251:17104877:-1 gene:Potri.011G140100.v4.1 transcript:Potri.011G140100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140100.v4.1 MGSMVVLVGSMPSLASLMSLGSLSVSTASSSCVESSSYSVLKRVSLSKRSLRKAKRWDCVCKYSVTTTDFIAEQGNAVSLDSSSSTIRGGSDGDSEVVLKPAPKPVLKSPAGSKDETPLSMNSVGWGSSSAGGDSDGERSDEEEGERNKVIESLGEVLEKAEKLETSKLSQVGGSASSNRKQNGVVNKMISPNVGNDSRNVNSSAANMKTKTLKSVWRKGDSVAALPKVVKEVPKASNRVIKGEPKTVEGAKLESQSTVPLKPPQPPLRPQPKLQGKPSVAPPPMIKKPVILKDVGAAPKSPVKVETGSRAPQSKGQPILVDKFARKKPVVDPVIAQAVLAPIKPGKGPAPGKYRDRKKSVSPGTPRRRMVDDDVEIPDEELNVSIPGAASGRKGRKWTKASRKAAKLQAARDAAPVKVEILEVGEKGMSIEELAYNLTIGEGEILGFLYSKGIKPDGVQTLDKDMVKMICKEHEVEAIDADPVKFEEMAKKNEILDEDDLDKLQERPPVLTIMGHVDHGKTTLLDHIRKSKVAASEAGGITQGIGAYKVMIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTKEAIAHAKAAGVPIVITINKIDKDGANPERVMQELSSIGLMPEDWGGDVPMVQISALKGENIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLDKSKGPVATFIVQNGTLKRGDVVVCGQAFGKVRALFDDGGKRVDEAGPSIPVQVIGLSNVPIAGDEFEVVASLDIAREKAEKRAESLWNERISAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIIMKVDLQGSIEAIRQALQVLPRDNVTLKFLLQATGDVSNSDVDLAVASEAIILGFNVKAPGSVKSYAERKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEQEIIGSAEVRAVFSSGSGRVAGCMVTEGKIVKGCGIRIVRNRKTVHVGVIDSLKRVKEIVKEVNAGLECGIGAEDYDDWEEGDTIEAFNTVEKKRTLEEASASMAAALEEAGINL >Potri.011G140100.4.v4.1 pep chromosome:Pop_tri_v4:11:17096044:17104986:-1 gene:Potri.011G140100.v4.1 transcript:Potri.011G140100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140100.v4.1 MGSMVVLVGSMPSLASLMSLGSLSVSTASSSCVESSSYSVLKRVSLSKRSLRKAKRWDCVCKYSVTTTDFIAEQGNAVSLDSSSSTIRGGSDGDSEVVLKPAPKPVLKSPAGSKDETPLSMNSVGWGSSSAGGDSDGERSDEEEGERNKVIESLGEVLEKAEKLETSKLSQVGGSASSNRKQNGVVNKMISPNVGNDSRNVNSSAANMKTKTLKSVWRKGDSVAALPKVVKEVPKASNRVIKGEPKTVEGAKLESQSTVPLKPPQPPLRPQPKLQGKPSVAPPPMIKKPVILKDVGAAPKSPVKVETGSRAPQSKGQPILVDKFARKKPVVDPVIAQAVLAPIKPGKGPAPGKYRDRKKSVSPGTPRRRMVDDDVEIPDEELNVSIPGAASGRKGRKWTKASRKAAKLQAARDAAPVKVEILEVGEKGMSIEELAYNLTIGEGEILGFLYSKGIKPDGVQTLDKDMVKMICKEHEVEAIDADPVKFEEMAKKNEILDEDDLDKLQERPPVLTIMGHVDHGKTTLLDHIRKSKVAASEAGGITQGIGAYKVMIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTKEAIAHAKAAGVPIVITINKIDKDGANPERVMQELSSIGLMPEDWGGDVPMVQISALKGENIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLDKSKGPVATFIVQNGTLKRGDVVVCGQAFGKVRALFDDGGKRVDEAGPSIPVQVIGLSNVPIAGDEFEVVASLDIAREKAEKRAESLWNERISAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIIMKVDLQGSIEAIRQALQVLPRDNVTLKFLLQATGDVSNSDVDLAVASEAIILGFNVKAPGSVKSYAERKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEQEIIGSAEVRAVFSSGSGRVAGCMVTEGKIVKGCGIRIVRNRKTVHVGVIDSLKRVKEIVKEVNAGLECGIGAEDYDDWEEGDTIEAFNTVEKKRTLEEASASMAAALEEAGINL >Potri.011G140100.7.v4.1 pep chromosome:Pop_tri_v4:11:17096019:17104877:-1 gene:Potri.011G140100.v4.1 transcript:Potri.011G140100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140100.v4.1 MGSMVVLVGSMPSLASLMSLGSLSVSTASSSCVESSSYSVLKRVSLSKRSLRKAKRWDCVCKYSVTTTDFIAEQGNAVSLDSSSSTIRGGSDGDSEVVLKPAPKPVLKSPAGSKDETPLSMNSVGWGSSSAGGDSDGERSDEEEGERNKVIESLGEVLEKAEKLETSKLSQVGGSASSNRKQNGVVNKMISPNVGNDSRNVNSSAANMKTKTLKSVWRKGDSVAALPKVVKEVPKASNRVIKGEPKTVEGAKLESQSTVPLKPPQPPLRPQPKLQGKPSVAPPPMIKKPVILKDVGAAPKSPVKVETGSRAPQSKGQPILVDKFARKKPVVDPVIAQAVLAPIKPGKGPAPGKYRDRKKSVSPGTPRRRMVDDDVEIPDEELNVSIPGAASGRKGRKWTKASRKAAKLQAARDAAPVKVEILEVGEKGMSIEELAYNLTIGEGEILGFLYSKGIKPDGVQTLDKDMVKMICKEHEVEAIDADPVKFEEMAKKNEILDEDDLDKLQERPPVLTIMGHVDHGKTTLLDHIRKSKVAASEAGGITQGIGAYKVMIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTKEAIAHAKAAGVPIVITINKIDKDGANPERVMQELSSIGLMPEDWGGDVPMVQISALKGENIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLDKSKGPVATFIVQNGTLKRGDVVVCGQAFGKVRALFDDGGKRVDEAGPSIPVQVIGLSNVPIAGDEFEVVASLDIAREKAEKRAESLWNERISAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIIMKVDLQGSIEAIRQALQVLPRDNVTLKFLLQATGDVSNSDVDLAVASEAIILGFNVKAPGSVKSYAERKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEQEIIGSAEVRAVFSSGSGRVAGCMVTEGKIVKGCGIRIVRNRKTVHVGVIDSLKRVKEIVKEVNAGLECGIGAEDYDDWEEGDTIEAFNTVEKKRTLEEASASMAAALEEAGINL >Potri.011G140100.5.v4.1 pep chromosome:Pop_tri_v4:11:17095988:17104971:-1 gene:Potri.011G140100.v4.1 transcript:Potri.011G140100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140100.v4.1 MGSMVVLVGSMPSLASLMSLGSLSVSTASSSCVESSSYSVLKRVSLSKRSLRKAKRWDCVCKYSVTTTDFIAEQGNAVSLDSSSSTIRGGSDGDSEVVLKPAPKPVLKSPAGSKDETPLSMNSVGWGSSSAGGDSDGERSDEEEGERNKVIESLGEVLEKAEKLETSKLSQVGGSASSNRKQNGVVNKMISPNVGNDSRNVNSSAANMKTKTLKSVWRKGDSVAALPKVVKEVPKASNRVIKGEPKTVEGAKLESQSTVPLKPPQPPLRPQPKLQGKPSVAPPPMIKKPVILKDVGAAPKSPVKVETGSRAPQSKGQPILVDKFARKKPVVDPVIAQAVLAPIKPGKGPAPGKYRDRKKSVSPGTPRRRMVDDDVEIPDEELNVSIPGAASGRKGRKWTKASRKAAKLQAARDAAPVKVEILEVGEKGMSIEELAYNLTIGEGEILGFLYSKGIKPDGVQTLDKDMVKMICKEHEVEAIDADPVKFEEMAKKNEILDEDDLDKLQERPPVLTIMGHVDHGKTTLLDHIRKSKVAASEAGGITQGIGAYKVMIPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTKEAIAHAKAAGVPIVITINKIDKDGANPERVMQELSSIGLMPEDWGGDVPMVQISALKGENIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLDKSKGPVATFIVQNGTLKRGDVVVCGQAFGKVRALFDDGGKRVDEAGPSIPVQVIGLSNVPIAGDEFEVVASLDIAREKAEKRAESLWNERISAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIIMKVDLQGSIEAIRQALQVLPRDNVTLKFLLQATGDVSNSDVDLAVASEAIILGFNVKAPGSVKSYAERKGVEIRLYRVIYELIDDVRNAMEGLLEPVEEQEIIGSAEVRAVFSSGSGRVAGCMVTEGKIVKGCGIRIVRNRKTVHVGVIDSLKRVKEIVKEVNAGLECGIGAEDYDDWEEGDTIEAFNTVEKKRTLEEASASMAAALEEAGINL >Potri.001G078100.4.v4.1 pep chromosome:Pop_tri_v4:1:5866784:5867926:-1 gene:Potri.001G078100.v4.1 transcript:Potri.001G078100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078100.v4.1 MRSNMGTKQVAQRSSLGALQRRSLKRKKSDQKLSRSIKKIRADMVEISEGQKRIREGQMEVREKFQEISKEAAKLKEETSQISKQSAANQLRLDLMFQIVKARAENDFAKDDLLTQTLRFGSNGKAEHQQNPGSLGRTMLNNPS >Potri.001G078100.5.v4.1 pep chromosome:Pop_tri_v4:1:5866163:5867598:-1 gene:Potri.001G078100.v4.1 transcript:Potri.001G078100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078100.v4.1 MVEISEGQKRIREGQMEVREKFQEISKEAAKLKEETSQISKQSAANQLRLDLMFQIVKARAENDFAKDDLLTQTLRDLMAKQNISKTQGL >Potri.001G078100.3.v4.1 pep chromosome:Pop_tri_v4:1:5866795:5867925:-1 gene:Potri.001G078100.v4.1 transcript:Potri.001G078100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078100.v4.1 MRSNMGTKQVAQRSSLGALQRRSLKRKKSDQKLSRSIKKIRADMVEISEGQKRIREGQMEVREKFQEISKEAAKLKEETSQISKQSAANQLRLDLMFQIVKARAENDFAKDDLLTQTLRDLMAKQNISKTQGL >Potri.016G139600.2.v4.1 pep chromosome:Pop_tri_v4:16:14283922:14287294:1 gene:Potri.016G139600.v4.1 transcript:Potri.016G139600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139600.v4.1 MSRGSGAGYDRHITIFSPEGRLFQVEYAFKAVKAASTTSIGVRGSDSVCVVTQKKVPDKLLDQTSVTHLFPITKFLGLLATGITADARNLVQQARNEAAEFRFRYGYEMPVDALARWIADKSQVYTQHAYMRPLGVVAMILGIDEENGPQLYKCDPAGHFYGHKATSAGLKEQEAINFLEKKMKNDPALSYEETVQTAISALQSVLQEDFKATEIEVGVVRTGDCVFRVLSTEEIDEHLTAISERD >Potri.011G031500.1.v4.1 pep chromosome:Pop_tri_v4:11:2553645:2554535:-1 gene:Potri.011G031500.v4.1 transcript:Potri.011G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031500.v4.1 MAKNIGFLVCLLIMALDVVAGILGIEAEMAQNKVKHLKMWIFDCRDPSHQAFKLGLAAVILLSLAHVVATLLGGCTCMCSKEEFNKASANKQLAVASLFFSWIILAIGFSLLIIGTMANSKSRKLCGLSHNRVLSIGGILCFVHGLFTVSYYVSATATARDNSRHHDRNATQA >Potri.014G056100.1.v4.1 pep chromosome:Pop_tri_v4:14:3579878:3581339:-1 gene:Potri.014G056100.v4.1 transcript:Potri.014G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G056100.v4.1 MDDSQSQDKACWTREMLHAFCDICIKAIEQGVGWSAELGTISAPDEWWKAKSQEIRGARKFRHAGIDPTLCCKYDIMFTNTVATGQYAWAPSQGLNADEDGVSERQTNAVNEDPHIEEGSGDSEEDSLPNFVADVNNMVAGVTFANSTSNSTSSSGKRKGVQQSSQKNEKKRRGAGRGLQLFSRLDKLVDSVSSKSECTSSVFDKKGCSIEEVMTEFHSIEEVVFGSELYCFATEFFMVRSRREMWAAIGDLDRKIQWLKLMFDRRASYRP >Potri.015G088600.3.v4.1 pep chromosome:Pop_tri_v4:15:11204502:11207231:1 gene:Potri.015G088600.v4.1 transcript:Potri.015G088600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088600.v4.1 MAKYFLLLLVLILGEATSTQSRSLRSCNQVYNVIDYGAVGDGDTDDTQAFKDAWKDVCKSSSCSVPIIQVPSGKSFLLQPLTFNGECKPDQIIFQIDGTMKAPSDPSDWKCQEHRYCKQWITFDEVDNLTIRGSGTMDGQGSTWWERHSSCKDHKHRDRRVCGRKPTGLVISHSQNVHLEGLTFKDSPQMHMAFERSEWVYASNLTIQAPGDSPNTDGIHLQHAKNIFIDYSRIMTGDDCISIGDGSSQINITRIACGPGHGISIGSLGIDGESETVEDVHVSDVVFTETTNGARIKTWQSSAVEISKIRFENIYGTSHRKPAVHIACSKSVPCTDIVLSNVHLEAADDGDGDDGDEPSTYCANVQGHAMGRVFPPLTCLS >Potri.015G088600.2.v4.1 pep chromosome:Pop_tri_v4:15:11204503:11207230:1 gene:Potri.015G088600.v4.1 transcript:Potri.015G088600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088600.v4.1 MAKYFLLLLVLILGEATSTQSRSLRSCNQVYNVIDYGAVGDGDTDDTQAFKDAWKDVCKSSSCSVPIIQVPSGKSFLLQPLTFNGECKPDQIIFQIDGTMKAPSDPSDWKCQEHRYCKQWITFDEVDNLTIRGSGTMDGQGSTWWERHSSCKDHKHRDRRVCGRKPTGLVISHSQNVHLEGLTFKDSPQMHMAFERSEWVYASNLTIQAPGDSPNTDGIHLQHAKNIFIDYSRIMTGDDCISIGDGSSQINITRIACGPGHGISIGSLGIDGESETVEDVHVSDVVFTETTNGARIKTWQGGKGFARNIVFENIRSEGARNPIIIDQYYCDHKHCTDHSSAVEISKIRFENIYGTSHRKPAVHIACSKSVPCTDIVLSNVHLEAADDGDGDDGDEPSTYCANVQGHAMGRVFPPLTCLS >Potri.008G068100.1.v4.1 pep chromosome:Pop_tri_v4:8:4121283:4125466:1 gene:Potri.008G068100.v4.1 transcript:Potri.008G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068100.v4.1 MANYYDIDSILVEEEFVPVVFQKAINGVKIDESTEKGYVEQGSKTQLPFWLAHELHMRQAVSIGVPACFNQKTRLEIQADAACVDLRSRCPYFYEFGCKLAPLCDKSIGLLLAYAFRIRYKEVLHKAHTTAFAAASRFLMLLTKEETYMYEAAQSSMAAFKKWRMGGPRLQRASILGRKRKPAE >Potri.001G036900.1.v4.1 pep chromosome:Pop_tri_v4:1:2685441:2689115:-1 gene:Potri.001G036900.v4.1 transcript:Potri.001G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036900.v4.1 MDAIMNSQEEFIFRSKLPDIYIPKNLPLHSYVLENLSKYSSKPCLINGANGDVCTYADVELTARRVASGLNKIGIQQGDVIMLFLPSSPEFVLAFLGASHRGAIVTAANPFSTPAELAKHAKASRAKLLITQACYYEKVKDFARESDVKVMCVDSAPDGCLHFSELTQADENEVPQVDFSPDDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHSEDVILCVLPMFHIYALNSIMLCGLRVGASILIMPKFDIGTLLGLIEKYKVSIAPVVPPVMLAIAKSPDFDKHDLSSLRMIKSGGAPLGKELEDTVRAKFPQARLGQGYGMTEAGPVLAMCLAFAKEPFDIKPGACGTVVRNAEMKIVDPETGASLPRNQPGEICIRGDQIMKGYLNDPEATSRTIDKEGWLHTGDIGYIDDDDELFIVDRLKELIKYKGFQVAPAELEALLLAHPEISDAAVVGMKDEDAGEVPVAFVVKSEKSQATEDEIKQYISKQVIFYKRIKRVFFIEAIPKAPSGKILRKNLRETLPGI >Potri.014G107700.4.v4.1 pep chromosome:Pop_tri_v4:14:7246922:7248845:-1 gene:Potri.014G107700.v4.1 transcript:Potri.014G107700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107700.v4.1 MNSRPPPVGYGFHPTDEELVTYYLRFKMHGGYEQEVSIIAEANVCDYEPWVLPELSAIKEPNDPECYFFCPRSYKYANSDRANRTTQAGYWKVTGKDRIVKTKTTKEHIATKKTLVFYEGRVPNGIKTNWIMHEYHPNFSFPNQREFVLCKLKKDPDAIMPTYEEGEASFNVTSDHFENQNPTEYNHPQTFEEGEASFNVTSDHFENQNPTEYNHPQTFEEGEYGAWTASNFTNDEPEDDTYQFQAQLDSLRGYDEGCYSLDSALKFPYGDIY >Potri.014G107700.5.v4.1 pep chromosome:Pop_tri_v4:14:7246922:7248843:-1 gene:Potri.014G107700.v4.1 transcript:Potri.014G107700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107700.v4.1 MNSRPPPVGYGFHPTDEELVTYYLRFKMHGGYEQEVSIIAEANVCDYEPWVLPELSAIKEPNDPECYFFCPRSYKYANSDRANRTTQAGYWKVTGKDRIVKTKTTKEHIATKKTLVFYEGRVPNGIKTNWIMHEYHPNFSFPNQREFVLCKLKKDPDAIMPTYEEGEASFNVTSDHFENQNPTEYNHPQTFEEGEASFNVTSDHFENQNPTEYNHPQTFEEGEYGAWTASNFTNDEPEDDTYQFQAQLDSLRGYDEGCYSLDSALKFPYGDIY >Potri.010G252200.1.v4.1 pep chromosome:Pop_tri_v4:10:22437609:22441107:-1 gene:Potri.010G252200.v4.1 transcript:Potri.010G252200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252200.v4.1 MTTFFMAETSTSTTSSNIGSSSPIVKLAQDHLFTILLLLPIDSLISFARTCKRFRSLTSSDTLWESICRREWGSTSVDALKSSINTKNNQQLPWMRLYKQVSQLDSVSCHKLSDPDSELMLPTPRASHCLNFVSDCLVLFGGGCEGGRDLDDTWVAYIGNDFQRMLKWQKVNSGIPNGRFGHACIVIGDYLVLFGGINDRGIRQNDTWVGKVVLSENLGITLSWRLLDVRSIAPPPRGAHAACCIDKSTMVIHGGIGLYGLRMGDTWILELSENFCSGTWRELVTHPSPPARSGHTLTCIEGTGIVLFGGRGSGYDVLHDVWLLQVSEVELKWIQILYNLQDIPAGVSLPRVGHSATLILGGRLLIYGGEDSQRHRKDDFWVLDVSKIPSNKAQSPLNSRGLQANNMWKMLKAKGYKPYRRSFHRACADHSGCRLYVFGGMVDGLLQPAEAYGLRFDGELFLVKLELETDIVRC >Potri.001G097300.2.v4.1 pep chromosome:Pop_tri_v4:1:7693903:7697433:-1 gene:Potri.001G097300.v4.1 transcript:Potri.001G097300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097300.v4.1 MKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPITTAMDRLYSIAIGGFVAVLVNVFVFPIWAGEQLHKELVNSFNSVADSLEECVKKYLEDEGLDHPEFSKTVMDEFPDEPNYRRCKSTLNSSAKLESLANSAKWEPPHGKFRHFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPHNLRFTFYSEIQEAATHAAELVRSLGKDISNMKRSPKTSLLKKVHSSTERLQRAIDMHSYLLASNFDPPDNSSKSLTKLPVTFSTTQYDLSNPLTEFDSSSAENNLSQINQNVPSGTPPQQTESYHEMMRKQSRRLHSWPSREVDAFEEEGGLGMDFLPRMKALESTAALSLANFTSLLIEFVARLDHLVEAVDVLSKMAKFNHEGV >Potri.001G097300.1.v4.1 pep chromosome:Pop_tri_v4:1:7693903:7697433:-1 gene:Potri.001G097300.v4.1 transcript:Potri.001G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097300.v4.1 MNGKKGSFEINIPPEATKSKLPGTSKEGSIGAFSSCKAWIWSVWEFVKEDSNRVKFALKVGLAVLLVSLLILFRAPYDIFGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSLLAGVLAIAVAQLAIQSGRVAEPIIIGISIFLIGSITSFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPITTAMDRLYSIAIGGFVAVLVNVFVFPIWAGEQLHKELVNSFNSVADSLEECVKKYLEDEGLDHPEFSKTVMDEFPDEPNYRRCKSTLNSSAKLESLANSAKWEPPHGKFRHFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPHNLRFTFYSEIQEAATHAAELVRSLGKDISNMKRSPKTSLLKKVHSSTERLQRAIDMHSYLLASNFDPPDNSSKSLTKLPVTFSTTQYDLSNPLTEFDSSSAENNLSQINQNVPSGTPPQQTESYHEMMRKQSRRLHSWPSREVDAFEEEGGLGMDFLPRMKALESTAALSLANFTSLLIEFVARLDHLVEAVDVLSKMAKFNHEGV >Potri.006G261700.1.v4.1 pep chromosome:Pop_tri_v4:6:25788595:25789749:-1 gene:Potri.006G261700.v4.1 transcript:Potri.006G261700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261700.v4.1 MESLVRKESSFSEASSISASEGPPLKRWSSENEQGVVEGVRDHQEEARPTLQTDPELTASDSNQWFNRELNLIGSFSSMDSSKTSPETPQGTDAEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGHRLIGSQLAASIAAYGHPYFHHHHHSSMASLPLHGRSLGIQVHSMIHKPSHLSSSIGFGNMYGHGSWSRPPMDQQPGIGKLSMENYYMNIATASSRAGVGRFNLERTSTVGSPADSGTGRWIGSGHLKTNQDDHIQKLDLSLKL >Potri.019G131900.3.v4.1 pep chromosome:Pop_tri_v4:19:15418009:15419976:1 gene:Potri.019G131900.v4.1 transcript:Potri.019G131900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131900.v4.1 MKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYLKLKAENKVMPDGVNAKLLGCHGPLANRQPGRAFLQETA >Potri.019G131900.1.v4.1 pep chromosome:Pop_tri_v4:19:15417824:15419993:1 gene:Potri.019G131900.v4.1 transcript:Potri.019G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131900.v4.1 MGRRPARCYRQIKNKPYPKSRYCRGVPDSKIRIYDVGMKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRTDYLKLKAENKVMPDGVNAKLLGCHGPLANRQPGRAFLQETA >Potri.002G052600.1.v4.1 pep chromosome:Pop_tri_v4:2:3552203:3553968:1 gene:Potri.002G052600.v4.1 transcript:Potri.002G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052600.v4.1 MDLSKATLEIFSKLEQKWLSHCETTKKTRVLSIDGGGTNGIVAGSALIHLEDQIRFKTGDPQARIADFFDIIAGTGIGALLAAMLSADDGSGRPLFTARDAVAFVAEKNSGLFRVKCSGFLSRRRRCSGRSMEKVMKEALRRDDGVILTLKDTCKPLLVPCFDLKSSAPFVFSRADATESPSFNFELWKVCLATSATPSLFKPFNLTSVDGKTSCSAIDGGLVMNNPTAAAVTHVLHNKRDFPSVNSVEDLLVLSLGNGSGSLSGRKLRRNGECSTSSVVDIVLDGVSETVDQMLGNAFCWNRTDYVRIQANGLASAGPMVEEEVLKERGLETLPFGGKRLLTETNAERIESFVQRLVASGKSSLPPSPSKNSAVPLADGS >Potri.011G073091.4.v4.1 pep chromosome:Pop_tri_v4:11:7246727:7265896:1 gene:Potri.011G073091.v4.1 transcript:Potri.011G073091.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073091.v4.1 MLMMFQLCQVMVILISFSSSITLLASAQLHPGEVEALRQIGKTVNEDGQLSLKFVDRCQQSGVVDTELTSAPPNLEQNGTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLFGTIPVEWASMKNLSFISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLINLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFMGNWTQLRKLELYATGLQGPIPLAIFQLEKLSDLRIADMPGPEFQLPNWPIERQFLVLRNINLTGTIPENAWKVEKTLDLTFNKLVGEIPPNTIQRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRSPRCSSSNENNINWFRSSSSNNKLSDLLPCSGISRCPKYYRSFHINCGGQDVKNGKILYEGDQGGGSNAAARSYNRSGSNWGFSSTGDFMDDESFYDNKYTLQSNSNISVVDLELYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTYEKLYNKVARRVFDIYIQGIQVQKDFNFTKEAQGSSRILELPNTYNTTVTDRTLEIRLYWAGKGTTVIRIRGNYGPIISAISVCSEPEEASKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFISENKIGEGGFGSLYKGELADGTIIAVKQLSPKSRLGNREFMNEIGMISCLQHPNLVRLYGCFIEGDQLLLVYEYMENNSLSRALFGSGTSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIQGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIFSVKSNSSYWPENENVCLLDWVNHRQSVSVAILN >Potri.011G073091.1.v4.1 pep chromosome:Pop_tri_v4:11:7246727:7266376:1 gene:Potri.011G073091.v4.1 transcript:Potri.011G073091.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073091.v4.1 MLMMFQLCQVMVILISFSSSITLLASAQLHPGEVEALRQIGKTVNEDGQLSLKFVDRCQQSGVVDTELTSAPPNLEQNGTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLFGTIPVEWASMKNLSFMSLESNQFSGVVPPELGKLINLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFMGNWTQLRKLELYATGLQGPIPLAIFQLEKLSDLRIADMPGPEFQLPNWPIERQFLVLRNINLTGTIPENAWKVEKTLDLTFNKLVGEIPPNTIQRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRSPRCSSSNENNINWFRSSSSNNKLSDLLPCSGISRCPKYYRSFHINCGGQDVKNGKILYEGDQGGGSNAAARSYNRSGSNWGFSSTGDFMDDESFYDNKYTLQSNSNISVVDLELYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTYEKLYNKVARRVFDIYIQGIQVQKDFNFTKEAQGSSRILELPNTYNTTVTDRTLEIRLYWAGKGTTVIRIRGNYGPIISAISVCSEPEEASKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFISENKIGEGGFGSLYKGELADGTIIAVKQLSPKSRLGNREFMNEIGMISCLQHPNLVRLYGCFIEGDQLLLVYEYMENNSLSRALFGSGTSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIQGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIFSVKSNSSYWPENENVCLLDWGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIPEVTLDPSIYGDDLHSKRVKGHYQQALFPPSDKSWIGNSSTISAPDLYPINPESISLNLSETSSLFE >Potri.011G073091.2.v4.1 pep chromosome:Pop_tri_v4:11:7246727:7266376:1 gene:Potri.011G073091.v4.1 transcript:Potri.011G073091.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073091.v4.1 MLMMFQLCQVMVILISFSSSITLLASAQLHPGEVEALRQIGKTVNEDGQLSLKFVDRCQQSGVVDTELTSAPPNLEQNGTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLFGTIPVEWASMKNLSFISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLINLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFMGNWTQLRKLELYATGLQGPIPLAIFQLEKLSDLRIADMPGPEFQLPNWPIERQFLVLRNINLTGTIPENAWKVEKTLDVSYNNFSRSPRCSSSNENNINWFRSSSSNNKLSDLLPCSGISRCPKYYRSFHINCGGQDVKNGKILYEGDQGGGSNAAARSYNRSGSNWGFSSTGDFMDDESFYDNKYTLQSNSNISVVDLELYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTYEKLYNKVARRVFDIYIQGIQVQKDFNFTKEAQGSSRILELPNTYNTTVTDRTLEIRLYWAGKGTTVIRIRGNYGPIISAISVCSEPEEASKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFISENKIGEGGFGSLYKGELADGTIIAVKQLSPKSRLGNREFMNEIGMISCLQHPNLVRLYGCFIEGDQLLLVYEYMENNSLSRALFGSGTSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIQGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIFSVKSNSSYWPENENVCLLDWGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIPEVTLDPSIYGDDLHSKRVKGHYQQALFPPSDKSWIGNSSTISAPDLYPINPESISLNLSETSSLFE >Potri.011G073091.3.v4.1 pep chromosome:Pop_tri_v4:11:7246727:7266376:1 gene:Potri.011G073091.v4.1 transcript:Potri.011G073091.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073091.v4.1 MLMMFQLCQVMVILISFSSSITLLASAQLHPGEVEALRQIGKTVNEDGQLSLKFVDRCQQSGVVDTELTSAPPNLEQNGTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLFGTIPVEWASMKNLSFMSLESNQFSGVVPPELGKLINLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFMGNWTQLRKLELYATGLQGPIPLAIFQLEKLSDLRIADMPGPEFQLPNWPIERQFLVLRNINLTGTIPENAWKVEKTLDVSYNNFSRSPRCSSSNENNINWFRSSSSNNKLSDLLPCSGISRCPKYYRSFHINCGGQDVKNGKILYEGDQGGGSNAAARSYNRSGSNWGFSSTGDFMDDESFYDNKYTLQSNSNISVVDLELYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTYEKLYNKVARRVFDIYIQGIQVQKDFNFTKEAQGSSRILELPNTYNTTVTDRTLEIRLYWAGKGTTVIRIRGNYGPIISAISVCSEPEEASKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFISENKIGEGGFGSLYKGELADGTIIAVKQLSPKSRLGNREFMNEIGMISCLQHPNLVRLYGCFIEGDQLLLVYEYMENNSLSRALFGSGTSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIQGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIFSVKSNSSYWPENENVCLLDWGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIPEVTLDPSIYGDDLHSKRVKGHYQQALFPPSDKSWIGNSSTISAPDLYPINPESISLNLSETSSLFE >Potri.017G144361.1.v4.1 pep chromosome:Pop_tri_v4:17:14467295:14468389:1 gene:Potri.017G144361.v4.1 transcript:Potri.017G144361.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144361.v4.1 MKYTYDLTDMLYLILYRNTSVKDICSLPNTFSRLQIKRYVSKNTGKHEKKAMSDACWTKRTYLTLTNDLGPGLQLSLHCKSGSVDLGQQHLAPQGSWSFDFCSSFWGVTSYFCNVVWNGGNKWFDVYTGERDSFICGECGWSIRPTGPCRDHGGKVDCFPWNS >Potri.010G199700.11.v4.1 pep chromosome:Pop_tri_v4:10:19240404:19242189:-1 gene:Potri.010G199700.v4.1 transcript:Potri.010G199700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199700.v4.1 MEQVKFSIHRAMNQLARTLACEWTKDNIRTNCVAPWYIRTSLVEHLLDDKVSLDKVVSQTPLQRDGDPKEVSSLVGFLCLPAAAAYITGQDISTDGGFTVNGFNPI >Potri.010G199700.10.v4.1 pep chromosome:Pop_tri_v4:10:19240076:19243751:-1 gene:Potri.010G199700.v4.1 transcript:Potri.010G199700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199700.v4.1 MEQVKFSIHRAMNQLARTLACEWTKDNIRTNCVAPWYIRTSLVEHLLDDKVSLDKVVSQTPLQRDGDPKEVSSLVGFLCLPAAAAYITGQDISTDGGFTVNGFNPI >Potri.010G199700.7.v4.1 pep chromosome:Pop_tri_v4:10:19239875:19243751:-1 gene:Potri.010G199700.v4.1 transcript:Potri.010G199700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199700.v4.1 MEQVKFSIHRAMNQLARTLACEWTKDNIRTNCVAPWYIRTSLVEHLLDDKVSLDKVVSQTPLQRDGDPKEVSSLVGFLCLPAAAAYITGQDISTDGGFTVNGFNPI >Potri.010G199700.6.v4.1 pep chromosome:Pop_tri_v4:10:19239875:19243751:-1 gene:Potri.010G199700.v4.1 transcript:Potri.010G199700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199700.v4.1 MEQVKFSIHRAMNQLARTLACEWTKDNIRTNCVAPWYIRTSLVEHLLDDKVSLDKVVSQTPLQRDGDPKEVSSLVGFLCLPAAAAYITGQDISTDGGFTVNGFNPI >Potri.010G199700.9.v4.1 pep chromosome:Pop_tri_v4:10:19240076:19243751:-1 gene:Potri.010G199700.v4.1 transcript:Potri.010G199700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199700.v4.1 MEQVKFSIHRAMNQLARTLACEWTKDNIRTNCVAPWYIRTSLVEHLLDDKVSLDKVVSQTPLQRDGDPKEVSSLVGFLCLPAAAAYITGQDISTDGGFTVNGFNPI >Potri.010G199700.8.v4.1 pep chromosome:Pop_tri_v4:10:19240076:19243751:-1 gene:Potri.010G199700.v4.1 transcript:Potri.010G199700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199700.v4.1 MEQVKFSIHRAMNQLARTLACEWTKDNIRTNCVAPWYIRTSLVEHLLDDKVSLDKVVSQTPLQRDGDPKEVSSLVGFLCLPAAAAYITGQDISTDGGFTVNGFNPI >Potri.002G016900.3.v4.1 pep chromosome:Pop_tri_v4:2:1025330:1029585:-1 gene:Potri.002G016900.v4.1 transcript:Potri.002G016900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016900.v4.1 MASRFWTQGDSESDEESDYGDEVEDGEAGESTAPATVDNKYLRGNASDSDESDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINKYREHPESEEEPEADEDSEEEEESDVEEDPSKMAMSDEEDEENVDDQSGKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKNCVQNMFIILDILVQYRNIIVDDTIEPDENETQKVANHNGPIRIWGNLVAFLERMDIEFFKSLQCIDPHTREYVERLQDEPMFLVLAQNVQEYLEHAGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGEGEEPEVEETRGPSAFVVTTELVPRKPIFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRLGLIIEAHGCLSELYSGGRVKELLAQGFSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMAADALDVKRKVISKNFRRLLEVSERQTFTGPPENVRDHVMAATRALSKGDFQKAIDVIESLDVWKLLRNRDGVLEMLKAKIKEEALRTYLFSYSSSYDALGLDQLTNMFDLSVAQTRVIVSKMMINDELHASWDQPTQCIVFHDVQHTRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPQRRRDGQDFANVAAAGGKWQENSSFTQGRQGSGRSGYSGGGGRPQVLGQAAGVGYSRGAGNLRAGGGYSGGGRYQDAPTRMVTLNRGARA >Potri.002G016900.4.v4.1 pep chromosome:Pop_tri_v4:2:1025326:1029574:-1 gene:Potri.002G016900.v4.1 transcript:Potri.002G016900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016900.v4.1 MASRFWTQGDSESDEESDYGDEVEDGEAGESTAPATVDNKYLRGNASDSDESDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINKYREHPESEEEPEADEDSEEEEESDVEEDPSKMAMSDEEDEENVDDQSGKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKNCVQNMFIILDILVQYRNIIVDDTIEPDENETQKVANHNGPIRIWGNLVAFLERMDIEFFKSLQCIDPHTREYVERLQDEPMFLVLAQNVQEYLEHAGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGEGEEPEVEETRGPSAFVVTTELVPRKPIFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRLGLIIEAHGCLSELYSGGRVKELLAQGFSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMAADALDVKRKVISKNFRRLLEVSERQTFTGPPENVRDHVMAATRALSKGDFQKAIDVIESLDVWKLLRNRDGVLEMLKAKIKEEALRTYLFSYSSSYDALGLDQLTNMFDLSVAQTRVIVSKMMINDELHASWDQPTQCIVFHDVQHTRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPQRRRDGQDFANVAAAGGKWQENSSFTQGRQGSGRSGYSGGGGRPQVLGQAAGVGYSRGAGNLRAGGGYSGGGRYQDAPTRMVTLNRGARA >Potri.002G016900.1.v4.1 pep chromosome:Pop_tri_v4:2:1025345:1029540:-1 gene:Potri.002G016900.v4.1 transcript:Potri.002G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016900.v4.1 MASRFWTQGDSESDEESDYGDEVEDGEAGESTAPATVDNKYLRGNASDSDESDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINKYREHPESEEEPEADEDSEEEEESDVEEDPSKMAMSDEEDEENVDDQSGKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKNCVQNMFIILDILVQYRNIIVDDTIEPDENETQKVANHNGPIRIWGNLVAFLERMDIEFFKSLQCIDPHTREYVERLQDEPMFLVLAQNVQEYLEHAGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGEGEEPEVEETRGPSAFVVTTELVPRKPIFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRLGLIIEAHGCLSELYSGGRVKELLAQGFSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMAADALDVKRKVISKNFRRLLEVSERQTFTGPPENVRDHVMAATRALSKGDFQKAIDVIESLDVWKLLRNRDGVLEMLKAKIKEEALRTYLFSYSSSYDALGLDQLTNMFDLSVAQTRVIVSKMMINDELHASWDQPTQCIVFHDVQHTRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPQRRRDGQDFANVAAAGGKWQENSSFTQGRQGSGRSGYSGGGGRPQVLGQAAGVGYSRGAGNLRAGGGYSGGGRYQDAPTRMVTLNRGARA >Potri.002G016900.5.v4.1 pep chromosome:Pop_tri_v4:2:1025330:1029572:-1 gene:Potri.002G016900.v4.1 transcript:Potri.002G016900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016900.v4.1 MASRFWTQGDSESDEESDYGDEVEDGEAGESTAPATVDNKYLRGNASDSDESDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINKYREHPESEEEPEADEDSEEEEESDVEEDPSKMAMSDEEDEENVDDQSGKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKNCVQNMFIILDILVQYRNIIVDDTIEPDENETQKVANHNGPIRIWGNLVAFLERMDIEFFKSLQCIDPHTREYVERLQDEPMFLVLAQNVQEYLEHAGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGEGEEPEVEETRGPSAFVVTTELVPRKPIFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRLGLIIEAHGCLSELYSGGRVKELLAQGFSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMAADALDVKRKVISKNFRRLLEVSERQTFTGPPENVRDHVMAATRALSKGDFQKAIDVIESLDVWKLLRNRDGVLEMLKAKIKEEALRTYLFSYSSSYDALGLDQLTNMFDLSVAQTRVIVSKMMINDELHASWDQPTQCIVFHDVQHTRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPQRRRDGQDFANVAAAGGKWQENSSFTQGRQGSGRSGYSGGGGRPQVLGQAAGVGYSRGAGNLRAGGGYSGGGRYQDAPTRMVTLNRGARA >Potri.002G016900.6.v4.1 pep chromosome:Pop_tri_v4:2:1025285:1029540:-1 gene:Potri.002G016900.v4.1 transcript:Potri.002G016900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016900.v4.1 MASRFWTQGDSESDEESDYGDEVEDGEAGESTAPATVDNKYLRGNASDSDESDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINKYREHPESEEEPEADEDSEEEEESDVEEDPSKMAMSDEEDEENVDDQSGKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKNCVQNMFIILDILVQYRNIIVDDTIEPDENETQKVANHNGPIRIWGNLVAFLERMDIEFFKSLQCIDPHTREYVERLQDEPMFLVLAQNVQEYLEHAGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGEGEEPEVEETRGPSAFVVTTELVPRKPIFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRLGLIIEAHGCLSELYSGGRVKELLAQGFSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMAADALDVKRKVISKNFRRLLEVSERQTFTGPPENVRDHVMAATRALSKGDFQKAIDVIESLDVWKLLRNRDGVLEMLKAKIKEEALRTYLFSYSSSYDALGLDQLTNMFDLSVAQTRVIVSKMMINDELHASWDQPTQCIVFHDVQHTRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPQRRRDGQDFANVAAAGGKWQENSSFTQGRQGSGRSGYSGGGGRPQVLGQAAGVGYSRGAGNLRAGGGYSGGGRYQDAPTRMVTLNRGARA >Potri.002G016900.2.v4.1 pep chromosome:Pop_tri_v4:2:1025236:1029586:-1 gene:Potri.002G016900.v4.1 transcript:Potri.002G016900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016900.v4.1 MASRFWTQGDSESDEESDYGDEVEDGEAGESTAPATVDNKYLRGNASDSDESDDQKRVVRSAKDKRFEEMSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINKYREHPESEEEPEADEDSEEEEESDVEEDPSKMAMSDEEDEENVDDQSGKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKNCVQNMFIILDILVQYRNIIVDDTIEPDENETQKVANHNGPIRIWGNLVAFLERMDIEFFKSLQCIDPHTREYVERLQDEPMFLVLAQNVQEYLEHAGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGEGEEPEVEETRGPSAFVVTTELVPRKPIFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRLGLIIEAHGCLSELYSGGRVKELLAQGFSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMAADALDVKRKVISKNFRRLLEVSERQTFTGPPENVRDHVMAATRALSKGDFQKAIDVIESLDVWKLLRNRDGVLEMLKAKIKEEALRTYLFSYSSSYDALGLDQLTNMFDLSVAQTRVIVSKMMINDELHASWDQPTQCIVFHDVQHTRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPQRRRDGQDFANVAAAGGKWQENSSFTQGRQGSGRSGYSGGGGRPQVLGQAAGVGYSRGAGNLRAGGGYSGGGRYQDAPTRMVTLNRGARA >Potri.002G016900.7.v4.1 pep chromosome:Pop_tri_v4:2:1025360:1029517:-1 gene:Potri.002G016900.v4.1 transcript:Potri.002G016900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016900.v4.1 MSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINKYREHPESEEEPEADEDSEEEEESDVEEDPSKMAMSDEEDEENVDDQSGKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPINVWKNCVQNMFIILDILVQYRNIIVDDTIEPDENETQKVANHNGPIRIWGNLVAFLERMDIEFFKSLQCIDPHTREYVERLQDEPMFLVLAQNVQEYLEHAGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGEGEEPEVEETRGPSAFVVTTELVPRKPIFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFSTSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRLGLIIEAHGCLSELYSGGRVKELLAQGFSQSRYHEKTPEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMAADALDVKRKVISKNFRRLLEVSERQTFTGPPENVRDHVMAATRALSKGDFQKAIDVIESLDVWKLLRNRDGVLEMLKAKIKEEALRTYLFSYSSSYDALGLDQLTNMFDLSVAQTRVIVSKMMINDELHASWDQPTQCIVFHDVQHTRLQALAFQLTEKLSILAESNERATEARIGGGGLDLPQRRRDGQDFANVAAAGGKWQENSSFTQGRQGSGRSGYSGGGGRPQVLGQAAGVGYSRGAGNLRAGGGYSGGGRYQDAPTRMVTLNRGARA >Potri.006G196900.1.v4.1 pep chromosome:Pop_tri_v4:6:20483165:20484542:1 gene:Potri.006G196900.v4.1 transcript:Potri.006G196900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196900.v4.1 MTELIPSLPDEIALECLFRLHYTTHRVASQVCKRWRPVLQSRDFYYQRKQNGLTHKAACLIQAIPDQNGSSQPKPIGPPKYGVSIFDSVNGSWDRVDPVPAYPDGLPLFCQVTSSEGKLVLLGGWDPVKYEPLSQVFVYEFTTRQWRRGKDMPENRSFFAVGELNGRIIIAGGHDENKNALKTAWVYDVIQDEWAELPQMSQERDECEGVVIGSEFWVVSGYRTDSQGGFEGSAESIELGASKWKRVEDAWKASQCPRSSLGVGSDEKLFSWAESDSALKVGASSVHLGEKTFVSGSAHEGGPQGFFLVDGQNGKWEKLNVTGEFCGFVQSGCCVEI >Potri.006G226500.2.v4.1 pep chromosome:Pop_tri_v4:6:23093072:23095996:1 gene:Potri.006G226500.v4.1 transcript:Potri.006G226500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226500.v4.1 MSKWARAKTRVAKLGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDIWSDSMSTQPFFYWLDIGDGKEVNLEKCPRSKLQRQCIQYLGPKEREAFEVIVEGGKLVYRQAGILINTTEDTKWIFVLSTSRSLYVGQKNKGVFQHSSFLAGAATTAAGRLVAQDGVLQAIWPYSGHYLPNKDNFKEFISFLEEHNVDLTNVKKCSIDDDHDSFKVVDDKEIEEVFTTITSTDTKANDVDGPIDNTTANTQQDSTDANAAKLQAPVFDLSKRLSCKWTSGYGPRIGCVRDYPAELQSRALEQVNLSPRTNPGAGSCVPIPSPRPSPTIRVSPRLAYMGLPSPRVSVN >Potri.013G035500.1.v4.1 pep chromosome:Pop_tri_v4:13:2304514:2308599:-1 gene:Potri.013G035500.v4.1 transcript:Potri.013G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035500.v4.1 MAEEKGSIAKDITELIGKTPLVYLNNVVDGCVARIAAKLEMMEPCSSVKDRIGYSMITDAEEKGLIKAGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPASMSLERRMVLLAFGAELVLTDPARGMKGAVQKAEEISAKTPNSYILQQFENPANPKVHYETTGPEIWKGSGGKVDAFVSGIGTGGTITGAGKYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLNVDLLDETVQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIKIAKRPENAGKLIVAIFPSFGERYLSSVLFESVKKEAENMVFEP >Potri.013G035500.2.v4.1 pep chromosome:Pop_tri_v4:13:2304519:2308813:-1 gene:Potri.013G035500.v4.1 transcript:Potri.013G035500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035500.v4.1 MAEEKGSIAKDITELIGKTPLVYLNNVVDGCVARIAAKLEMMEPCSSVKDRIGYSMITDAEEKGLIKAGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPASMSLERRMVLLAFGAELVLTDPARGMKGAVQKAEEISAKTPNSYILQQFENPANPKVHYETTGPEIWKGSGGKVDAFVSGIGTGGTITGAGKYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLNVDLLDETVQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIKIAKRPENAGKLIVAIFPSFGERYLSSVLFESVKKEAENMVFEP >Potri.013G035500.6.v4.1 pep chromosome:Pop_tri_v4:13:2304515:2308492:-1 gene:Potri.013G035500.v4.1 transcript:Potri.013G035500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035500.v4.1 MAEEKGSIAKDITELIGKTPLVYLNNVVDGCVARIAAKLEMMEPCSSVKDRIGYSMITDAEEKGLIKAGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPASMSLERRMVLLAFGAELVLTDPARGMKGAVQKAEEISAKTPNSYILQQFENPANPKVHYETTGPEIWKGSGGKVDAFVSGIGTGGTITGAGKYLKEQNPDIKLYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLNVDLLDETVQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIKIAKRPENAGKLIVAIFPSFGERYLSSVLFESVKKEAENMVFEP >Potri.003G133500.1.v4.1 pep chromosome:Pop_tri_v4:3:15152833:15155533:-1 gene:Potri.003G133500.v4.1 transcript:Potri.003G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133500.v4.1 MEAFSLLKYWRGGGIAVNGGARGGDGNYNSRATTIVSAVSPDRVETDDENDDDDGPFFDLEFAVPDEEEEEGAESKDEIKENNGAGSDEENDAADTSDDDDDDGMDEEREFNFTLSSASSNDRRDQNLALSPSDDLFFKGRLVPIESSSLELNSKSSQFSVSLQKSATKFRVFMSGLKRKPNTTTTNEKTEANVPTLASAAPKQQGEKDEENGKQSKFFTVKFKVEEVPIMSLFTRENSKSIKSSQQKQSSAEESTASAAGAAFSDDKQKFSKDVMQKYLKKVKPLYIRVSKRYGEKLKFSGQLSFGSGPKTSAPPSPSTVAQKPITADNGGKEKESAEAPTVAVSSMKGPKQGNLPAGLRVVCKHLGKSRSASSAAVAAAPPVPVLSNRRDDSLLQQQDGIQSAILHCKRSFNASRDSDSSVLSRSASDPSHEKSMEIMARKPSDHDGKGSSVDPKRAGK >Potri.004G055200.1.v4.1 pep chromosome:Pop_tri_v4:4:4519692:4521819:-1 gene:Potri.004G055200.v4.1 transcript:Potri.004G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055200.v4.1 MDYFPSTSSFIILLSFPILFLVLAITLFSFIQSSKNVKQYSLPPGPRPWPLVGSLPTMLRNKPVYQWIHNLMKEMNTEIACIRLGNIHVIPVTCPNIACEFLKEQDDVFSSRPETISSYLASNGYLATVVSPFGDQWKKMKSVMATQVLSPTRHQWLHKKRVEEGDNLVRLVYKQCQESDQDGIVNLRFTSQHYCANVIRKLMFNKRYFGVGMENGGPGFEEEQHVDALFTILSHLFSFCVSDFLSFLTWLDLDGHEKVMKEKDKIIKKYHDPIIDDRIQQWKDGKKKDIEDLLDVLITLKDDNGNPLLSKDEIKAQVEDIILAAVDNPSNACEWAFAEMLNNPEILETAVEELDRVVGKQRLVQESDFAQLNYVKACAREAFRLHPVAPFNVPHVSMADTVVAKHFIPKGSYVILSRLGLGRNPKVWDEPLEFKPERHLKGTGNVVLAENGLRFISFSTGKRGCMAVTLGSSMTNMLFARLLHGFSWSLPSNESSIDLSTAKDSMALAKPLLAVAKPRLPAHLYPK >Potri.007G063100.4.v4.1 pep chromosome:Pop_tri_v4:7:7695230:7700515:-1 gene:Potri.007G063100.v4.1 transcript:Potri.007G063100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063100.v4.1 MSCSSPSGSEEDDEGIDSYRKGGYHAVRVGDQFSGGRYIAQRKLGWGQFSIVWLAYDTRSSKYVALKIQKSAAQFAQAALHEIELLSAVANSDPSNSKCVVQLIDHFKHAGPNGQHQCMVLEFLGDSLLRLIRHNHYKGLQLEKVREICKCILTGLDYLHRELGIIHTDLKPENILLFSTIDPAKDPIRSGIKPILERPEGNLNGGSTMNLIEKKLKRRAKRAVANISGRRDSMGGAMQKSERSLDGVDVRCKVVDFGNACWAVKQFAKEIQTRQYRAPEVILQSGYSFSVDMWSFACTAFELATGDMLFAPKDGQGYSEDEDHLALMMELLGKMPRKIAIGGALSKDYFDRHGDLKRIRRLKFWPLDRLLVEKYKFPETDAQEIAEFLCPLLDFTPENRPTAQQCLQHPWFNIKSCSQNEMTSESNVEKLGVGVSNLKVGK >Potri.007G063100.5.v4.1 pep chromosome:Pop_tri_v4:7:7696258:7700515:-1 gene:Potri.007G063100.v4.1 transcript:Potri.007G063100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063100.v4.1 MVLEFLGDSLLRLIRHNHYKGLQLEKVREICKCILTGLDYLHRELGIIHTDLKPENILLFSTIDPAKDPIRSGIKPILERPEGNLNGGSTMNLIEKKLKRRAKRAVANISGRRDSMGGAMQKSERSLDGVDVRCKVVDFGNACWAVKQFAKEIQTRQYRAPEVILQSGYSFSVDMWSFACTAFELATGDMLFAPKDGQGYSEDEDHLALMMELLGKMPRKIAIGGALSKDYFDRHGDLKRIRRLKFWPLDRLLVEKYKFPETDAQEIAEFLCPLLDFTPENRPTAQQCLQHPWFNIKSCSQNEMTSESNVEKLGVGVSNLKVGK >Potri.014G153200.1.v4.1 pep chromosome:Pop_tri_v4:14:10774680:10775730:1 gene:Potri.014G153200.v4.1 transcript:Potri.014G153200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153200.v4.1 MGNCLASSKIVSQNAMFEQRSHKADQMIEKTTRPFVPSTKIERGGKAKMVGFRLNEEAVNVDKDGDLGDETASKGGGAVRIRVVVTREELKQILNFRKNINYSSVEQLVSALRLRERSRPDEGGTASTDGGIMCGSWKPRLGSIPEEH >Potri.012G011500.2.v4.1 pep chromosome:Pop_tri_v4:12:1294021:1303958:-1 gene:Potri.012G011500.v4.1 transcript:Potri.012G011500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011500.v4.1 MAYTSKVISFISLSLFLSYLNSATSQNHCLKTACSRNEPVIRFPLRIKNRQSRSCGFPGFDISCGSSNETLLELPSSGKFRVQAIDYAGQEILINDDPENCLPGRILSLNLSNSPFSGLYYQSFMFFNCSSSDYRKYGLNPIACLSGSTYTVFATSSLRIVDFLQTRNSSCNLIRMVPVPVMRPFYQGISSSDLSEDLLLTWDQPDCGKCESRGGRCGFRTNSSETVCSNVPHRGVPRKALYAITVAAGIPGALILLGLLCFICGRVMKCARRSPLGGLPEMNSTVNPETKVIIAGLDGPTIESYPRIVLGESRRLPKPDDNTCSICLCEYKPKETLKTIPECKHCFHSDCIDEWLLLNATCPICRYSPERLTPAPES >Potri.011G099600.1.v4.1 pep chromosome:Pop_tri_v4:11:12835403:12835768:-1 gene:Potri.011G099600.v4.1 transcript:Potri.011G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G099600.v4.1 MESKRIQLKLGKFLVVYCPNSKKFALTIILQKTWCVAKPSSDQATLLANINYACSHVDCQILQKGYPCFSPDSLISHASIAMNLYYQCKGRNRWNCDFRDSGLIVKTGPSKDYYMYDAWQQ >Potri.014G028800.1.v4.1 pep chromosome:Pop_tri_v4:14:1806041:1808807:1 gene:Potri.014G028800.v4.1 transcript:Potri.014G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028800.v4.1 MSAQETGPLSKVDKNIKYLPIISLTSPAITPPSIAHQLPHFFQFSDHITFHIRCIAAIVGQFKWRKVTVIYESKNGFSAYSGILTLLSDTLKAVNTDIEHHSTFPSLPSLSNAEAFIEQELVNMRSRSNRVFVVVISSLEMAVLLFEKAKQLGMMEKGYVWIVTDEIASFLDSFDSSVVNNMQGVIGFRTGFVRSSKPFKRFRSRFRSKYRSEYPEEEEYCNPSIFALRAYDATWAIAQAMKNSPGKISSKDLSRAISSSRFRGVSGVIRFKNNVLRQMPSFQIINVVGNSYREIAVWSPDFGFLKSLEKHNGVNSSGSFEEWGPVYWPGGEGGVPRGWVISETDKPLKIGVPAMGAFHEFVKVSLDEASNKTCVTGFSINVFEATLKRLPYYLPYVFVPFNGSYDKMVEQVHDKGLDAAVGDFSIEPGRFQYAEFSQPYIDSRLVMTVPAKSAKSNITWMLKTFTKKLWLLMVAMHMFIGCLVWILERGGNTEFEGIGGMLWFSVTVIFYAHGQPLRNNLSRVMVAPWLFVILIVTASFMADLSSRMTVSRLEPSVLDIDTLLKTNAPVGCNGNSFVVRYLTNVLHFKPENIRKFYSGNDYLEAFETGHIKAAFFVEPHAKVFLGKYCKRFTQAKSTFQLGGFGYVFPKGSPLVFDMSEAILKVIESGEMRQMEEILSFPNCSSDALRDNSSLDLEPFAGLFILSGSVSAFGFLVAILRMGRNLQILSYIQEALTKRRIWRWASIHLSRENSRENSTIPKTKDQVQTSTSVELANFAH >Potri.006G270100.2.v4.1 pep chromosome:Pop_tri_v4:6:27467105:27468162:1 gene:Potri.006G270100.v4.1 transcript:Potri.006G270100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270100.v4.1 MDRPQRIFTKEPQVILSPCSSRSRTSSDSNSPEFEFWIQNPSFPQPNLVSADELFVDGALLPLHLLHHPDPDSTEPEPEPPNSQTNPEPEISPPSITMEPTTSSKSWEGTIFKKGDRKTTTAAKKQEERNKENDKKREKRSQNGASSAELNINIWPFSRGRSAGNSVTRPKLLPGALGTRKVSSAPCSRSNSAGESKSRKSWPSSPGRPGVHLSRSSPVCSFPEPVVRSCEKNEHTNGAKAKVLNTNVLVCIGYRNHLSSRSDENSAIGVNGADGSGDGATKRCCIGNGGNLFNLHRASLDMAI >Potri.015G050601.1.v4.1 pep chromosome:Pop_tri_v4:15:5560627:5563617:1 gene:Potri.015G050601.v4.1 transcript:Potri.015G050601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050601.v4.1 MNFKERSIQSGTRLLKCKYNRSSRSFSFPSGVVS >Potri.012G014966.1.v4.1 pep chromosome:Pop_tri_v4:12:1762864:1764103:1 gene:Potri.012G014966.v4.1 transcript:Potri.012G014966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014966.v4.1 MKHHFSVFLFPAILLLLHCTQTLSQTPTAAPAKAPAAASAPPPAATSSAQASPPVMVPVQVSKGPVNVIKILQKAGGFAVFIRLIKSTQEDIQVFSQLNDSRDGVTIFAPTDGAFSAIIKSGVLNSLSDHQKIELVQFHIIPKILTTANFQTVSNPITTLAGSGSRFALNVITTENMVNVTSGLTNTSVSAIVYTDSQLAVYQVDKVLLPLDIFAPKALAPAPAPPKPKKDDGADTPMVPKDISGAVSCVMLNTMLISGVIIVAAAFPL >Potri.009G092400.1.v4.1 pep chromosome:Pop_tri_v4:9:8412563:8416581:-1 gene:Potri.009G092400.v4.1 transcript:Potri.009G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092400.v4.1 MEKKQGFFSALKEEIVGGFSPSRSRSNSPARTASSMSGLLYRKKHRKDTSSYAAQPEPLIGKSRSSRPMVGETLSPLIEGPDPDGGVGEHKRAGSGPGLGQWMKGQLSRTPSVTSLAYKRSDLRLLLGVMGAPLAPVHVSTLDPLPHLSIKDTPIETSSAQYILQQYMAASGGQQVQTSIRNAYAMGKLKMIVSESETPTRVVKNRNDARGVESGGFVLWQMNPDMWYVELAVGGDKVRAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADARCIGEKNINGEDCFILKVLADPQTLKARSEGPGEIIRHVLFGYFSQKTGLLVHMEDSHLTRNQSIGGDAVYWETTINSFLDDYRPVEGIMIAHSGRSAVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLKPGSFSEACELPHDERGKSAVALVALRAKVAALDKSDDSCGDNMTWKTEV >Potri.014G047300.2.v4.1 pep chromosome:Pop_tri_v4:14:3008246:3014026:1 gene:Potri.014G047300.v4.1 transcript:Potri.014G047300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047300.v4.1 MATRPVADSHSNRAAVQATNDDASASKLSCVKKGYMKDDYIHLFARRPVRRSPIINRGYFARWAALRKLLFQFLDCESNIDGKCDSKKQILSFGAGFDTMYFQLQDEGKAPFLYVELDFKEVTSKKAAIIETSSQLREKLGATASILPEKGEVLSDHYKLLSVDLRDIQKLDDIIALAGMNPSLPTFIIAECVLIYLDPESTRGIVGWASKTFSTAAFFLYEQIHPDDAFGQQMIRNLESRGCALLGIYDTPTLLAKEKLFLDQGWQRAVAWDMLKVYTDFIEAKERRRIERLELFDEFEEWYMMQEHYCVAYAINDAMGFFGDFGFTKTQPHVINSPSTVALP >Potri.007G012400.1.v4.1 pep chromosome:Pop_tri_v4:7:970661:972811:1 gene:Potri.007G012400.v4.1 transcript:Potri.007G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012400.v4.1 MGSSLSLIASVAILRSSINDFVPQEIRSCLQELASRFSSELTMVISDSHEGSKNHLFHALMIYLGSNAFSTSSVPQRITVGKNENIKALAYGLDRNCKIVDTFHGVDMKWSYCSEFNPALQYELKWYELRFHKRHASMVRNKYLPYIIEMAKKIKDQNRVVKFYTTRGGRDGWSCKGINLDHPMTFNTLAMDGNLKQKIIEDLDRFIKGKNYYRKIGKVWKRGYLLYGPPGTGKSSLIAAMANHLNFDIYSLNLSAVSSDSSLEFLLLHMSNRSILVVEDIDCSIELQNRQAGEHPSDHDKTPRKPQEKVVTLSGLLNAIDGLLSCCGDERVIVFTTNYKDRIDPALLRAGRMDMHINLSYCTFSTFKQLAANYLDIWNHDLFPRIEKLISEVQVSPAEVAGELMKIRNPKTSLEGLSRFLESKREAAKSSAPPTSVPEGVEDEPGGV >Potri.005G040800.1.v4.1 pep chromosome:Pop_tri_v4:5:2656708:2657550:1 gene:Potri.005G040800.v4.1 transcript:Potri.005G040800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040800.v4.1 MSSKEGGASTKGGRGKPKASKSVSRSHKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELSKLLGSVTIANGGVLPNIHQTLLPKKVGKGKGDIGSASQEF >Potri.007G136700.1.v4.1 pep chromosome:Pop_tri_v4:7:14822569:14824977:-1 gene:Potri.007G136700.v4.1 transcript:Potri.007G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G136700.v4.1 MGVDYYKILQVDKTAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGGASFFSTGDGPTTFRFNPRNADDIFAEFFGSSGPFGGMGGGSGGMRGTRFPGGMFGDAIFSSFGEGGGGSMHQSVPRKAPPIEKRLLCSLEELYKGATKRMKISRDIVDASGKTIQVEEILTIDIKPGWKKGTKITFPEKGNEQPNSKPADLVFIIDEKPHPVFTRDGNDLIVTQKIPLAEALTGYTVHLTTLDGRNLTIPINTVIDPNYEEVVPREGMPIQKDPTKRGNLRIKFNIKFPTRFTAGQKAGIKKLLG >Potri.012G091700.1.v4.1 pep chromosome:Pop_tri_v4:12:11658913:11665384:1 gene:Potri.012G091700.v4.1 transcript:Potri.012G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091700.v4.1 MADGRRHSVDIPITRTLIALRRVRSLRDPSTNSMSKFSALLENATWETNSTKEISIQFADVSKEGRLNHTGLSGWKNLGLDEHREEQVDNFDSQYDMGRSELIFRESSGGVKSMDAPLTAEKVEGDNYEREASGTKLLSEEYYGSHRNKVLDLVCTTPLSNQLVDRDSTSGPITGSPLGSDHSVPRQKPRSKNQVKSYSGVGDVLSRAGSPCLSVSDALSSHSTSLFANEETDFMVQNDRGCGISCCWTKTPRLRDSNPYSDAEGNPLLSRDVAETTRGKRSWKHTTNETPRSLSQKFRPKSFDELVGQNVVVRSLLGAISKGRITSLYLFHGPRGTGKTSASRIFAAALNCLSHEYKPCGVCRECVAFFSGRSRDVKEVDSMRINRAKGIRSLIKNASMPPISSRFKVFIVDECHLLHGETWGTVLNSLENLSQNVVFVMITPELDMLPRSAVSRSQKYHFPKIKDADIASRLRNICVEEDLDFDQVALDFIAAKSSGSLRDAEIMLDQLSLLGKRITMSLAHELIGVVSDDELFDLLDLALSSDTSSTVIRARELMRSRIDPMRLVSQLANLIMDVLAGKCQDNSSEVRRKFSRKHASEGDMQRLSHALKILSESEKQLRMSKNQSTWLTVALLQLSSLEASPMDVNDSKSSMRNGHDRDGDFSSTPSTGESLKHLVLHSCEDRKSERLQVQGDCKVTLDSIWKRASELCKSNSLRNFLRKQGKLSSLHFDKGLAVAELEFHHPNYASKAEKSWKFIASSLQTVLGCNVEIRINLVVCAPVSKCAKLRRLSFSLFGCSRITRHKSHPPMECGCGSDSDYSDHISEKPMIREKAISACPSDCGSQIPHSCYHRVEVGKALRNSEGNVLSIGPTSSHRSLPNDTSKTPGYGFPSSKAGESDHDYTIFSGQEAEDQPNCFPKSLRLPKKSRSSETTKVVRICTHQENKLALSIPGKESVENMHHCQ >Potri.003G148900.2.v4.1 pep chromosome:Pop_tri_v4:3:16262956:16263405:1 gene:Potri.003G148900.v4.1 transcript:Potri.003G148900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148900.v4.1 MLKPKLGVSQVTPTKPRSMIVCSASQTPSTEGKSSSPLQAFSAALALSSILFLSAPLPAVADISGLTPCKESKQFAKREKQQIKKLESSLKLYAPDSAPALAIKATIEKTERRFDNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGV >Potri.013G070900.2.v4.1 pep chromosome:Pop_tri_v4:13:5800779:5804497:1 gene:Potri.013G070900.v4.1 transcript:Potri.013G070900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070900.v4.1 MGGGKDKSDKESTDKGLFSNLAGYAGGHYPPSAPYPPHGYPQQGYPPAGYPPPGGYPPSGYPPPGGYPPAGYPPPGGYPPPGGYPPPGGYPPPGAYPPAGYPGPSASHYSGHGPGMGTMLAGGAAAAAVAYGAHQMSHGGSHGYGHGGYHGYGHGKFKHGYGHGKFKHGKFGKRWKHGGFGKHKGKFFKRWK >Potri.013G070900.1.v4.1 pep chromosome:Pop_tri_v4:13:5800779:5803704:1 gene:Potri.013G070900.v4.1 transcript:Potri.013G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070900.v4.1 MGGGKDKSDKESTDKGLFSNLAGYAGGHYPPSAPYPPHGYPQQGYPPAGYPPPGGYPPSGYPPPGGYPPAGYPPPGGYPPPGGYPPPGGYPPPGAYPPAGYPGPSASHYSATITGHGPGMGTMLAGGAAAAAVAYGAHQMSHGGSHGYGHGGYHGYGHGKFKHGYGHGKFKHGKFGKRWKHGGFGKHKGKFFKRWK >Potri.013G070900.4.v4.1 pep chromosome:Pop_tri_v4:13:5802135:5802491:1 gene:Potri.013G070900.v4.1 transcript:Potri.013G070900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070900.v4.1 MGGGKDKSDKESTDKGLFSNLAGYAGGHYPPSAPYPPHGYPQQGYPPAGYPPPGGYPPSGYPPPGGYPPAGYPPPGGYPPPGGYPPPGGYPPPGAYPPAGYPGPSASHYSGIFGDLYT >Potri.003G021100.1.v4.1 pep chromosome:Pop_tri_v4:3:2196818:2209819:1 gene:Potri.003G021100.v4.1 transcript:Potri.003G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021100.v4.1 MGPETENRKPVAVFMAFGTKGDVYPLSAIAAAFASDQKQYRVVLVTHSAHQNLSSHLEERHVTFLGINSLPVLSVCDNYGGSGSQELAFSQQKMIATREHRQECYSAVEGIFGHGPTMEGDFILINFFALEGWSLAELFHIRCVVAAPYVVPYSAPSLFESHFRREHPLLYKYLQEADSNQVSWKDVAHWMWPLFTENWGSWRSDDLYLSPCPFTDPVTELPTWHDRPPSPLLLYGFSKDIVECPDYWPSNVHVCGFWFLPTEWQFSCKKCQEISELSYPGDLRTKDEVCSAHVKLQCFLINPASTPPVFIGLSSIGSMGFLRNPQTFLQVIQTVLEITNFRFILFTASYEPLDEAVEVIATDSSHFDKRKYLEEGICLFDNRLFCFPNMVPYQWLFPRCAAAIHHGGSGSTAAALHAGIPQVLCPFILDQFYWAEKMYWIGVSPEPLNRSHLIPDKLDDVSIRMAAKVLSRAINDALSPNIKARALEIAERISLEDGVMEAVKILKQEMNCSC >Potri.016G050900.2.v4.1 pep chromosome:Pop_tri_v4:16:3276586:3280341:-1 gene:Potri.016G050900.v4.1 transcript:Potri.016G050900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G050900.v4.1 MPVISWPLNCCPLCACPGYASMIVCSPISTCVKNVVHLSSRVQQMGSTILNVVSGGQTTSCCFSSYPGLSRSSYSRLSVSKTFSCPSISYQTIQSNCFGSVLTKQRADLQSFSVKGVVRSRGPLKRQFNISLPCQIMNLRFSVSKQGVLSKINDNTGSISWSQGYPTTGIIFGLLVCYSSSEPTHAEAATHKNEEEDNCNLSDIKFSHGKEVYRDYSIIGIPGDGRCLFRSVAHGACIRSGKPAPSENLQRELADDLRSKVADEFIKRREETEWFIEGNFDTYVSRIRKPHVWGGEPELLMASHVLKMPITVYMDDKNSGGLISIAEYGQEYGKEDPIRIIYHGFGHYDALQFPRTRGGKSKL >Potri.016G050900.1.v4.1 pep chromosome:Pop_tri_v4:16:3276592:3280382:-1 gene:Potri.016G050900.v4.1 transcript:Potri.016G050900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G050900.v4.1 MIVCSPISTCVKNVVHLSSRVQQMGSTILNVVSGGQTTSCCFSSYPGLSRSSYSRLSVSKTFSCPSISYQTIQSNCFGSVLTKQRADLQSFSVKGVVRSRGPLKRQFNISLPCQIMNLRFSVSKQGVLSKINDNTGSISWSQGYPTTGIIFGLLVCYSSSEPTHAEAATHKNEEEDNCNLSDIKFSHGKEVYRDYSIIGIPGDGRCLFRSVAHGACIRSGKPAPSENLQRELADDLRSKVADEFIKRREETEWFIEGNFDTYVSRIRKPHVWGGEPELLMASHVLKMPITVYMDDKNSGGLISIAEYGQEYGKEDPIRIIYHGFGHYDALQFPRTRGGKSKL >Potri.004G049600.1.v4.1 pep chromosome:Pop_tri_v4:4:4024224:4025760:-1 gene:Potri.004G049600.v4.1 transcript:Potri.004G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G049600.v4.1 MAFNSVLRRASKSFLPLAVRSVGSPRTFPRAIPTVISVENRTTLRNFLPFSHFSTEVAAQKPTADDNLIRVLETEIDCTEQPQDGENIPNEFPFKLGDNPGGRTISLNRKLQDETIKMEADMPNVSIDVDDANGNVSTTKGSGQYMESGITAFRDEIRIDSLSIKNVIPVFFYLFVGGYMKERKSGLRNESSKTKRIVLLQRITAALLIPLIIIYKKVSSIFLPNLFLFRHINEGIKEIMADYVHQEMTRNWILVYLRLFLLIVIKDVFLSFV >Potri.006G064600.2.v4.1 pep chromosome:Pop_tri_v4:6:4616886:4620431:1 gene:Potri.006G064600.v4.1 transcript:Potri.006G064600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064600.v4.1 MATFSCPIHFILLSTIFLLLLIYNSPLFKNNQTIISPPLTPIFPLFKNNQTIISPPLTPIFNQHKSNSNTTQVLPQVGSPLTSTNIALNNSIVSHKKKKSGIERIEADLVNARVAIQEAIRRKNYTLTEKEDAFIPRGSMYRNAYAFHQSYSEMVKRFKIWVYREGETPMVHNGPMKHIYSIEGQFIDEMESGKSPFLARNHDEAHAFFLPISVAYIVEFVYLPITTYHRERLVRIFKDYVTVVANKYPYWNRSRGGDHFMVSCHDWAPQVSRDDPELYKNLIRVMCNANTSEGFRPRRDATLPELNCPPLKLTPACRGLAPHERKIFAFFAGGAHGDIRKILLRHWKEKDDEIQVHEYLPKDQDYMELMGQSKFCLCPSGFEVASPRVAESIYSGCVPVIISDHYNLPFSDVLDWSQFSVQIPVEKIPEIKTILRGISYDEYLKMQKGVMKVQRHFVLNRPAKPYDVLHMVLHSVWLRRLNIRVPH >Potri.004G173800.1.v4.1 pep chromosome:Pop_tri_v4:4:18894825:18896151:1 gene:Potri.004G173800.v4.1 transcript:Potri.004G173800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173800.v4.1 MAMAFKMATTGMWVTDECKNSFHEMKWRKVHRYIVFKIDEKSRLVTVDKVGGPGEGYDDLAASLPDDDCRYAVFDFDFVTVDNCRKSKIFFIAWAPTASRIRAKMLYATSKDGLRRVLEGVHYELQATDPTEMGFDLIRDRAK >Potri.014G075800.1.v4.1 pep chromosome:Pop_tri_v4:14:4903111:4905940:1 gene:Potri.014G075800.v4.1 transcript:Potri.014G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075800.v4.1 MGANLSCATLCDTNGEGPSSKPRLGDIPESCLALVLMHLDPPDICKLARLNRAFHGASSADFIWESKLPSNYKFICEKVLDEKTVVGLEKKDVYARMCRPNPFDGGTKEVWLDKKTGGACFSISSKGLAITGIDDRRYWNHISTEESRFHTVAYLQQIWWFEIDGEFEFEFPKGMYSLFFRLQLGRSSKRMGRRVCNSEHIHGWDIKPVRFQLTTSDGQRAASKCFLDNPGNWVYYHVGDFVVDRPSELMKIKFSMTQIDCTHTKGGLCVDAAFVYPSSIGSEICKMNKLAGQH >Potri.011G026200.1.v4.1 pep chromosome:Pop_tri_v4:11:1928988:1929932:1 gene:Potri.011G026200.v4.1 transcript:Potri.011G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026200.v4.1 MGVITLENEFAVAVAPAKLFKAYCLEIDTLLPKILPEHIKSSEIIEGNGGPGTIRKITFAEGKDLSYAKQKIEAIDEENLTYSFSLIEANVWKDAVEKVTYEHKFVPTPEGGSICKRTSTYYIKGDAEIKKDQIKDVYGKKTAGLFKAVEAYFLANPDA >Potri.010G119500.1.v4.1 pep chromosome:Pop_tri_v4:10:13797147:13800037:1 gene:Potri.010G119500.v4.1 transcript:Potri.010G119500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119500.v4.1 MDPPPLSAAATTTTTTLPTPNSYPDSIDSSPRSRNTDSNYFDDPLPPLSSKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVDRHSTLSSLSSRLSNTLLNGLPFIFKYQLPSEDLDSLISVTTDEDLENMIDEYDRTNSNNGPKPSRLRLFLFPLKPELSQSIGPILENSAKSEDWFLNALNGAAAAGLLNRGFSDSASVNCLLGLDYNDSSDGLNNGNNSNNNSNVDLVAAAGGSRDGEGSNKGVVKQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVEDGGGVRDQKVAVGIEDQFAQMTVGGAGAGVGQRQDDGFVVLSSPPPPPMPVAIASPGVPVGGQVVVGEYQNRVFSDDERSDHGVPVAYRKPPQPQTQVQTSIPQNQQRSGSGGGAIDLPSPESVSSDSSLSNAINRQKPMIYQDQIVQIPSGANRVAAHPVDSKINTISDPNTRVQIQQQVQDSGYVLQHQFDQQQQQQQQQQQQQLQQQQQQQQQPQQQPQQQQFMHAGAHYIQYHPTGAVPMSAYYPVYPPQQQHHHHPQIDQQYPVYYVQARQPQAYNLPVQQPGISEPTTTIPSSRPQTPPNPNMLPTPTTFNPMRNAHIAKTELAAYRTATPGTPQLVQVPSNQHQQQYVGYSQVHHPSQSVAPTSAGTANYGYEFGDHAHGQIYYAQPMAPAMPQYQTMTAATAVALPESSVQFASDNIKQQIRSSQAM >Potri.004G181400.1.v4.1 pep chromosome:Pop_tri_v4:4:19569642:19570348:-1 gene:Potri.004G181400.v4.1 transcript:Potri.004G181400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181400.v4.1 MISAKKLIRLARKWQKLAAIRQKRLTLPQTISSLESDDRSTSSTAEKGHFVVYTTDKKRFVLPLNYLNNEIVRELFNLAEEEFGLTSDGPITLPCDATFMEYAIILIQQNVAKDIEKALLVTIASNRCSSSLYLHHDVRHHQLSICSF >Potri.001G246700.3.v4.1 pep chromosome:Pop_tri_v4:1:26352085:26352414:-1 gene:Potri.001G246700.v4.1 transcript:Potri.001G246700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246700.v4.1 MPATHTPHFDRVHFSASSSDISLSGEIGAHRNSSESDCLSEVDLESGALDMEVHSDNKTQRDCRICHLGLETSEQECGGAIELGCSCKGDLGAAHKKCAETWFKIKGNT >Potri.001G246700.1.v4.1 pep chromosome:Pop_tri_v4:1:26349666:26352806:-1 gene:Potri.001G246700.v4.1 transcript:Potri.001G246700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246700.v4.1 MPATHTPHFDRVHFSASSSDISLSGEIGAHRNSSESDCLSEVDLESGALDMEVHSDNKTQRDCRICHLGLETSEQECGGAIELGCSCKGDLGAAHKKCAETWFKIKGNTTCEICGATALGVAGEQTNVPHNASAAVLSAPAGPLILVETQTFWHSRRIMNFLLACMVVAFVISWLFHFKILS >Potri.002G198800.1.v4.1 pep chromosome:Pop_tri_v4:2:16147730:16149527:-1 gene:Potri.002G198800.v4.1 transcript:Potri.002G198800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198800.v4.1 MPKKNGNDVAHRAWNILRLALLWARKGGVFKRRLIMDHLRVVRKFLKSLGQHTSRRRQLYYGEHELSFDKTPIFHVKMHRPASMRFNIPCITPQVDFDYDFDGEVCEDDIQQDGSVYECYDGMRRSFLLKGGDEEEYETCEEKIPAEEEGIDMRAEEFIATFRQQMRLQRQISYLQYHETPKKGTSG >Potri.004G211900.1.v4.1 pep chromosome:Pop_tri_v4:4:21835928:21838119:1 gene:Potri.004G211900.v4.1 transcript:Potri.004G211900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211900.v4.1 MLVRSMSSLRPYLFAGIILREMGEMPSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRLNLFESKSIEMKPVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKLSLFVLLVGVGIASVTDLQLNFVGTILSLLAIITTCVGQILTSTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQFLTRKNVFAYKYSSLVLAFIILSCIISVSVNFSTFMVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTMRNIIGILVAIFGMGLYSYFCVQENKKKQSVDLLLASQMKDKDSAPILGMQDKEISHDAKKSTKDSLV >Potri.004G211900.2.v4.1 pep chromosome:Pop_tri_v4:4:21835120:21838173:1 gene:Potri.004G211900.v4.1 transcript:Potri.004G211900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211900.v4.1 MGEMPSFQLGVIGALFLSVASSVSIVICNKALMSNLGFPFATTLTSWHLMVTFCTLHAAQRLNLFESKSIEMKPVMLFGILNGVSIGLLNLSLGFNSIGFYQMTKLAIIPFTVLLETLFLKKQFSQKIKLSLFVLLVGVGIASVTDLQLNFVGTILSLLAIITTCVGQILTSTIQKRLNVSSTQLLYQSAPFQAAILFVSGPLVDQFLTRKNVFAYKYSSLVLAFIILSCIISVSVNFSTFMVIGKTSPVTYQVLGHLKTCLVLGFGYTLLHDPFTMRNIIGILVAIFGMGLYSYFCVQENKKKQSVDLLLASQMKDKDSAPILGMQDKEISHDAKKSTKDSLV >Potri.002G230800.1.v4.1 pep chromosome:Pop_tri_v4:2:22234935:22243960:1 gene:Potri.002G230800.v4.1 transcript:Potri.002G230800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230800.v4.1 MEDHPETSRNRSKRNRSKNATEERTSEEVEEREDDFEEVRPKSKRNRAAKDDTPAAVLLNPDQSLIDVIKGNGVQIPQAVKLWVERYEKDPKLAMVELLTMLFEACGAKYSIKKELLDETDVDDVVVALVNLARNGEVEDYQSSKRKDFKHFKDNLITFWDNLVTECQNGPLFDKVLFDKCMDYIIALSCTPPRVYRQVASLMGLQLVASFITVAKALGLQRETTQRQLNVEKKKQIEGPRLESLNKRLSATHDKILVLEDLMRKIFTGLFVHRYRDIDPNIRTSCIESLGVWVLSYPSLFLQDLYLKYLGWTLNDKNAGVRKASVQALKKLYDVDDNVPTLGLFTERFSNRMIELADDIDVSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLLIDDPAEIRRAIGELVYDHLIAQKFNNSQSSSKGSDDGSSEVHLSRMLQILREFSADPILSIYVIDDVWEYMKAMKDWKCIISMLLDANPLIELTDDDATNLVRLLSASVRKAVGERIVPASDTRKQYYNKAQKEIFENNRRDITIAMMKNYPLLLRKFMADKSKVPSLVEIIVHMNLGLYSLKRQESNFKNVLQLMKQSFFIHGDKEALRSCVKAIKFCSTESQGELKDYALNKLKNLEDELINKLKSAVKEAADGDEYSLLVNLKRLYELQLAWSVPIESLYEDIVKVLHTFRNVDDEVVSFLLLNMYLHVAWSLQSIVNSETVSEASLTSLLFKRNALFEELEYFLGTPSEDREGNKCGNQLACRVCIILAEAWCLFRKTNFSSTKLEHLGYCPDTSVLQRFWKLCEQQLNISDETEDEETNKEYIEETNRDAVMIASAKLVVSSAVPREYLTPEIISHFVMHGTSVAEIVKHLITIIKKNDDFPDIFLEALKRAYDRHLVDLSKSDDESFTSKSLIECKDLAARLSGTFVGAARNKHRSDILKIARDGIEYAFLDSPKQLSFLEGAVLHFVSKLPVVDILEILKDVQSRTENINTDEDPSGWRPYHTFVDSLREKYVKNEGLPDEKERKRGGRPRKRRNIEGKRLFDEDSSSEEEDSISGSDREDAHDEEEKQDEEEEDEAPLIHSLRSSSKLRSLKLSRDENKGHRRTGVSASKTSGASN >Potri.019G129820.1.v4.1 pep chromosome:Pop_tri_v4:19:15255395:15255970:-1 gene:Potri.019G129820.v4.1 transcript:Potri.019G129820.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129820.v4.1 MELLTFMTENVPIMVAVVVIVLLFRGCCGGASKSVKTMKAPGRNYRMPRSNFEANPSAYFRGLREG >Potri.005G109100.1.v4.1 pep chromosome:Pop_tri_v4:5:7909712:7912469:1 gene:Potri.005G109100.v4.1 transcript:Potri.005G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109100.v4.1 MAFAHYLVAVPTEPSNLTKAALFSTSPPPPPPPPLSFNKNHSDLTLSSTSLKTKARKLSIFPKFRRTGQKGKAKAKESEVSLAAEAFTNFKHLLLPITDTNPYLSEGTRQAAATAAALAKKYGADITVVVIDERQKESLPEHETQMSSIRWHLAEGGFQEFKLLERLGEGSKPTAIIGEVADDLSLDLVVISMEAIHSKHVDANLLAEFIPCPVLLLPL >Potri.005G060100.1.v4.1 pep chromosome:Pop_tri_v4:5:3800452:3802094:-1 gene:Potri.005G060100.v4.1 transcript:Potri.005G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060100.v4.1 MESTASASSSLLLLLLLLLLLLHHSSATTPTLPNSNSSFPNEALPTKSGYLPVNPKTNSAIFYTFYEAQHPTSPLSQTPLLIWLQGGPGCSSMVGNFLELGPYRVVSDSEEQNVTLQPNLGSWNRIFGLIFLDNPIGTGFSIASKHEEIPRDQNTVAKHLFSAITKFLESDPVFKTRSIYITGESYAGKYVPAIGHYILKKNMKLPVSKQVNLKGVAIGNGLTDPVTQVRTHAVNAYFSGFINERQKRELEEGQKEAVKLVKMGNWSAATNARSRVLSLLQNMTGLATMYDFTRRMPYETRLVTEFLQSVEVKKALGANESIVFEHCSKMVREALHEDLMKSVKYMVEFLVKNTKVLLYQGHLDLRVGVVSTEAWIKTMKWEGIGKFLMAERKIWKVNGELAGYVQKWGSLSHALVLGAGHLVPTDQAINSQAMVEDWVLERGVFTHEQEEDSASGLLDAL >Potri.001G096320.1.v4.1 pep chromosome:Pop_tri_v4:1:7648255:7652064:1 gene:Potri.001G096320.v4.1 transcript:Potri.001G096320.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096320.v4.1 MVSSNATSGYACPSIKPTSNGVFQGDNPLDFALPLAILQICLVVVVTRGLAFLLRPLRQPRVIAEIIGGILLGPSALGRSKGYLQAVFPTRSLTVLDTLANIGLIFFLFLAGLELDPKSLGRTGKKALAIAMAGISLPFAMGIGTSFILRLTISKDVNSTAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAISLSGSNTSPIISFWVFLSGCIFVICSILIVPPIFKWMTKWCQEGEPVEEMYVCATLAAVLAAGFVTDAIGIHAMFGAFVIGILVPKEGPFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGSQSWGLLVLVIFTACFGKIVGTFVVSILCKVPLRESLAMAFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMALFTTFITTPLVTAVYKPARRVKMADYKYRTVERRSSNTELRILACFHGSRNISSIINLLEVSRGVEKAEGLCVYAMHLMELSERTSAILMVHKARKNGLPFWNRGQRSGSNLVVVAFDAFQQLSRVSVRPMTAISSMADMHEDICTTAERKRAAMIILPFHKLQRLDGSLETTRTDFQLVNRRVLGDAPCSVGILVDRGFGGTTQVSASNVSYVITVLFFGGRDDREALAYGARMAEHPGVSLKVFRFLVKPEAGGEISRVKPEAGGEISRVDMDGSSSTRLGSLDEDFISELKQKMSKDDSVKLEEKFVGNAAETIDAIHEARHSNLFLVGRLPDGEIALDLRSSSDSPELGPVGGLLASSDISTTASVLVVKQYSSRVSLDLALQIG >Potri.001G096320.2.v4.1 pep chromosome:Pop_tri_v4:1:7648356:7652048:1 gene:Potri.001G096320.v4.1 transcript:Potri.001G096320.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096320.v4.1 MVSSNATSGYACPSIKPTSNGVFQGDNPLDFALPLAILQICLVVVVTRGLAFLLRPLRQPRVIAEIIGGILLGPSALGRSKGYLQAVFPTRSLTVLDTLANIGLIFFLFLAGLELDPKSLGRTGKKALAIAMAGISLPFAMGIGTSFILRLTISKDVNSTAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAISLSGSNTSPIISFWVFLSGCIFVICSILIVPPIFKWMTKWCQEGEPVEEMYVCATLAAVLAAGFVTDAIGIHAMFGAFVIGILVPKEGPFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGSQSWGLLVLVIFTACFGKIVGTFVVSILCKVPLRESLAMAFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMALFTTFITTPLVTAVYKPARRVKMADYKYRTVERRSSNTELRILACFHGSRNISSIINLLEVSRGVEKAEGLCVYAMHLMELSERTSAILMVHKARKNGLPFWNRGQRSGSNLVVVAFDAFQQLSRVSVRPMTAISSMADMHEDICTTAERKRAAMIILPFHKLQRLDGSLETTRTDFQLVNRRVLGDAPCSVGILVDRGFGGTTQVSASNVSYVITVLFFGGRDDREALAYGARMAEHPGVSLKVFRFLVKPEAGGEISRVKPEAGGEISRVDMDGSSSTRLGSLDEDFISELKQKMSKDDSVKLEEKFVGNAAETIDAIHEARHSNLFLVGRLPDGEIALDLRSSSDSPELGPVGGLLASSDISTTASVLVVKQYSSRVSLDLALQIG >Potri.010G157500.1.v4.1 pep chromosome:Pop_tri_v4:10:16436349:16438637:1 gene:Potri.010G157500.v4.1 transcript:Potri.010G157500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157500.v4.1 MIGGGRIQLNGWQQAAVAVGSAVGALLDPRRADLIAALGETTGKHAFERVVERMKKSPEGRALLLERPRVISAQVGHAWDLPANTFGAAYASFMGSRNFSPDDRPPVRFMETEELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMYLPMCLMSVVGGTARFTEKQRKSFFQHYFPWAVRAGLQSTDLMCVYYEKHFHEDLEDVRRKWGITPAPAAPNQDVP >Potri.005G164000.1.v4.1 pep chromosome:Pop_tri_v4:5:16246194:16249846:-1 gene:Potri.005G164000.v4.1 transcript:Potri.005G164000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164000.v4.1 MMKFNCFSGPIGRKKKEKVDKQSSRTADFNTALKTLNIGLQHPVEPFESDGLKSTSFGVSFPLDVEKDSINVQVMSHESPVVNEAAYEGEDELEEDVSMKRDLSDLDLQSHVANSGEEVSFPISARLDSSDSLDRMGNERYAKKDEKKVDEKGIDVIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETSKFLRKIANQLPLASQYSEELQGLAEKLRDPRSPTSIISHCSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKPWYVKPQPQAVSKVLNQQGGYSSDTLELDRALSKMQSPGSFTRESMNKGRINNEEDSQSWNGFHAGISGLWPQNQWVAFSIESSPFSRVNKWVEDLETQPPPPDAHDDNNDVKSDNDIVFLPSPDTGRSPGRTTACPDFNFSEEILHANSVIQSLNSSSTVAHIAGIGLKAIPTISHFSSLRSVNLSNNVIVHITPGSLPKGLHTLNLSKNRIGTIEGLRDLIRLRVLDLSYNRIFRLGQGLSNCTIIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLVGNPIQSNISDDQLRKAICGLLPKLVYLNKQPIKPQRAREVLTDSVARAALGTSSSRSYRKKAVKGVTSSSSISSMHRGSVGGGQKSRNRSNSRTHHLKTLSSAHASSSR >Potri.005G164000.3.v4.1 pep chromosome:Pop_tri_v4:5:16246194:16249874:-1 gene:Potri.005G164000.v4.1 transcript:Potri.005G164000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164000.v4.1 MMKFNCFSGPIGRKKKEKVDKQSSRTADFNTALKTLNIGLQHPVEPFESDGLKSTSFGVSFPLDVEKDSINVQVMSHESPVVNEAAYEGEDELEEDVSMKRDLSDLDLQSHVANSGEEVSFPISARLDSSDSLDRMGNERYAKKDEKKVDEKGIDVIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETSKFLRKIANQLPLASQYSEELQGLAEKLRDPRSPTSIISHCSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKPWYVKPQPQAVSKVLNQQGGYSSDTLELDRALSKMQSPGSFTRESMNKGRINNEEDSQSWNGFHAGISGLWPQNQWVAFSIESSPFSRVNKWVEDLETQPPPPDAHDDNNDVKSDNDIVFLPSPDTGRSPGRTTACPDFNFSEEILHANSVIQSLNSSSTVAHIAGIGLKAIPTISHFSSLRSVNLSNNVIVHITPGSLPKGLHTLNLSKNRIGTIEGLRDLIRLRVLDLSYNRIFRLGQGLSNCTIIKELYLAGNKISDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLVGNPIQSNISDDQLRKAICGLLPKLVYLNKQPIKPQRAREVLTDSVARAALGTSSSRSYRKKAVKGVTSSSSISSMHRGSVGGGQKSRNRSNSRTHHLKTLSSAHASSSR >Potri.017G104301.1.v4.1 pep chromosome:Pop_tri_v4:17:11453317:11461987:1 gene:Potri.017G104301.v4.1 transcript:Potri.017G104301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G104301.v4.1 MASTSVQGITSSSSSSPPQYMYDVFLSFRGKDTRNNFTSHLYSNLAQRGIDVYMDDSELERGKTIETALWKAVEESRFSVIIFSRDYASSPWCLDELVKIVQCMKEMGQTVLPVFYDVDPSEVAKRKGQYEKAFVEHEQNFKENLEKVRNWKDCLSTVANLSGWDIRNRNESESIKIIVEYIFYKLSVTLPTISKKLVGIDSRLEVLNGYIDEETGEAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREAFAEKDGRRHLQEQLLSEILMERANICDSSRGIEMIKRRLQRKKILVVLDDVDDHKQLESLAAESKWFGPGSRIIITSRDKQVLSRNGVARIYEAEKLNDDDALTLFSQKALKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFMHGRSILEWGSVINRLNDIPDREIIDVLRISFDGLHELEKKIFLDIACFLKGFKKDRIIRILDSCGFHAHIGTQVLIEKSLISVSRDQVWMHNLLQIMGKEIVHCESLEEPGRRSRLWTYEDVCLALMDNIGKETIEAIFLDMPGIKEALWNMKAFSKMTKLRLLKIDNVQLSEGPEDLSNKLRFLEWNSYPSKSLPAGLQVDELVELHMANSSIEQLWYGYKSAVNLKIINLSNSLNLSKTPDLTGIPNLESLILEGCTSLSEVHPSLAHHKKLQYMNLVNCKSIRILPNNLEMESLKICTLDGCSKLEKFPDIVGNMNKLMVLRLDETGITELSSSIRHLIGLGLLSMNSCKNLESIPSSIGFLKSLKKLDLSGCSELKYIPENLGKVESLEEFDVSGTSIRQLPASVFLLKNLEVLSLDGCKRLVVLPSLSGLCSLEVLGLRACNLREGALPEDIGWLSSLRSLDLSQNNFVSLPQSINRLSELEMLVLEDCTMLESLPQVPSKVQTVYLNGCISLKTIPDPIKLRSSKRSEFICLNCWELYNHNGQDNMGLTMLERYLQGLSNPRTGFGIAVPGNEIPGWFNHQSKGSSISVQVPSWSMGFVACVAFSAYGERPLRCDFKANGKENYPSLMCISCNSIQVLSDHIWLFYLSFDYLKELKEWQHESFSNIELSFHSYERRVKVKNCGVCLLSSLYITPQPSSAHFIVTSKEAASSYKASLTFSSSYHQWKANVFPGIRVTDTSNGVSYLKSDRSRRFIIPVEKEPEKVMAIRSRLFEAIEESGLSIIIFSRDCASLPWCFGELVKIVGFMDEMRSDTVFPVSYDVEQSKIDDQTKSYTIVFDKNEENFRENKEKVQRWMNILSEVEISSRSRSLKRNGKRNVWIQKSEHLSEQLLLFEQLVLEQLLLEQLPLEQLSLEQLQLLEQLQLLEQQLSEQLSEQQLLEQQLAVQQLLLHEFWGRIQLALA >Potri.003G099400.3.v4.1 pep chromosome:Pop_tri_v4:3:12477510:12481851:1 gene:Potri.003G099400.v4.1 transcript:Potri.003G099400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099400.v4.1 MAVCTVYTTQSLNSTCSISTPTKTHLGFNQRHVVFYSTNKKTTKRASSAVITCSADTQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPRGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANNFDLMYEQVKAIKDGTAVEKPIYNHVTGLLDPPELIKPPKILVIEGLHPMYDQRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVKLIMKEGVEFFSPVYLFDEGSSISWIPCGRKLTCSYPGIKFSYGPDAYYGHEVSVLEMDGQFDRLDELIYVESHLSNISTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLFEQIVASRAKTPVEATKA >Potri.007G020800.1.v4.1 pep chromosome:Pop_tri_v4:7:1587893:1590921:-1 gene:Potri.007G020800.v4.1 transcript:Potri.007G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020800.v4.1 MYLSFNFVCSLRFLCSKFATMFSSKELTSPSSLLAAYASMAGSIMMAQSMANQFGHLIPQQIRDYLLSTLRYFFKPPSPILTLVIEESTGISRNQVYDASETYLSTKVSPTTKRLKISKTPKEKNLTINLEKGETVVDHYEGIELLWRLVFVKPEKKDPSNPFPVVAEKRWFELSFHKNHKEKILGSYMPYIIEKAKEAKEKVRVLKMHTLQSSRAYGEIKWESVNLEHPATFETLAMEPDLKNIVIEDLNRFVRRKEFYKRVGRAWKRGYLLYGPPGTGKSSLVAAMANYLRFDVYDLQLANIMRDSDLRKLLLATGNRSILVIEDIDCSVDLPDRRQVRGDGDGRKQHDVQLTLSGLLNFIDGLWSSCGDERIIIFTTNHKDRLDPALLRPGRMDMHIHMSYCTPHGFRVLASNYLGVNGYHRLFGEIEDLIENTEVTPAQVAEELMTSEDSDTALEGLVKLLKRKKLEGDELFDEGLHKGEIQKAKKQKVENKRRGSVRIKSRRKIIKRRSY >Potri.007G020800.2.v4.1 pep chromosome:Pop_tri_v4:7:1587892:1590817:-1 gene:Potri.007G020800.v4.1 transcript:Potri.007G020800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020800.v4.1 MYLSFNFVCSLRFLCSKFATMFSSKELTSPSSLLAAYASMAGSIMMAQSMANQFGHLIPQQIRDYLLSTLRYFFKPPSPILTLVIEESTGISRNQVYDASETYLSTKVSPTTKRLKISKTPKEKNLTINLEKGETVVDHYEGIELLWRLVFVKPEKKDPSNPFPVVAEKRWFELSFHKNHKEKILGSYMPYIIEKAKEAKEKVRVLKMHTLQSSRAYGEIKWESVNLEHPATFETLAMEPDLKNIVIEDLNRFVRRKEFYKRVGRAWKRGYLLYGPPGTGKSSLVAAMANYLRFDVYDLQLANIMRDSDLRKLLLATGNRSILVIEDIDCSVDLPDRRQVRGDGDGRKQHDVQVFFPAVNFVFYLVFPKGEVHIILSFLPYLLSSKILVMLFFFYPIKPTSPPLPKYYRHYWYYHLNYPNIS >Potri.013G007100.1.v4.1 pep chromosome:Pop_tri_v4:13:459557:466713:-1 gene:Potri.013G007100.v4.1 transcript:Potri.013G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007100.v4.1 MQILVRKLLQRATPFKQGIVRRTYSTNKVHDIGQPTPATHPQLLKEGEITPGITTEEYISRRKRLLELLPEKSLAIIAAAPMKMMTDVVPYTFRQDADYLYITGCQQPGGVAVLGHECGLCMFMPEATRHDVIWQGEVAGVEAALESFKAEKAHPLSKLRDILPGMIRGSSKLFHNMQTATPMYTELDDFQRAALTGKVKDLSNFTHELRWIKSPAELKLMKEAASIVCQGLLQTMLHSKMYPHEGMLAAKIEYESKMRGAQRMAFNPVVGGGSNGSVIHYSRNDQKIKNGDLVLMDVGCELHGYVSDLTRTWPPCGSFSSVHEELYNLVLETNKESMKLCRPGVSLRQIHNYSVEMLCKGFKEIGILKGSGSNSYHQLNPTSIGHYLGMDVHDSSNISYDRLLKPGVVITIEPGVYIPSIFDGPDRYRGIGIRIEDEVLITETGYEVLTGSMPKEVKHIESLLNNYSCGNGMEAQNNLEAASS >Potri.005G071700.2.v4.1 pep chromosome:Pop_tri_v4:5:4696171:4699948:1 gene:Potri.005G071700.v4.1 transcript:Potri.005G071700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071700.v4.1 MKFLDWYVKISAAGALIGASMELFMIKTGFYDKVTVLESEKRAWESSPEAQAIREALNPWRNQDTEQRKNT >Potri.005G071700.3.v4.1 pep chromosome:Pop_tri_v4:5:4697840:4699564:1 gene:Potri.005G071700.v4.1 transcript:Potri.005G071700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071700.v4.1 MKFLDWYVKISAAGALIGASMELFMIKTGFYDKVTVLESEKRAWESSPEAQAIREALNPWRNQDTEQRKNT >Potri.013G018200.1.v4.1 pep chromosome:Pop_tri_v4:13:1148429:1149604:1 gene:Potri.013G018200.v4.1 transcript:Potri.013G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018200.v4.1 MEATTKATKGAGGRRGGERKKSVSKSTKAGLQFPVGRIARFLKKGRYAQRVGSGAPIYMAAVLEYLAAEVLELAGNAARDNKKNRINPRHVLLAIRNDEELGKLLQGVTIASGGVLPNINPVLLPKKSASSEKSSGSEPKSPKKA >Potri.014G052766.1.v4.1 pep chromosome:Pop_tri_v4:14:3395277:3396385:-1 gene:Potri.014G052766.v4.1 transcript:Potri.014G052766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052766.v4.1 MASEFISWRAPASIIVHILVRRLSVEMASDIWMHLSAFYGFAAATSQGEKVVVAYLLRHTPALSSCSLHSSVLNSVLLDFDTMLGIRSK >Potri.001G462600.2.v4.1 pep chromosome:Pop_tri_v4:1:48858986:48861979:1 gene:Potri.001G462600.v4.1 transcript:Potri.001G462600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462600.v4.1 MAKPTLLAVLVILIFNITSSSFSAAADGDDSVYESFLQCLESNTNPQDEISKLVYSQSSTSYTSVLRAYIRNARYNTSATPKPVVIVTPTQISHVQATVICTKKVGYQLKIRSGGHDYDGISYVSDMPFFVLDMFNLRSIEVNVNDESATVQAGATLGELYYKIWESSKVHGFPAGVCPTVGVGGHLSGAGYGNMLRKYGLSVDNVVDAEIVDVNGKLLDRKAMGEDLFWAIRGGGGGSFGVIISYKIKLVSVPETVTVFRVERTLEQNATDVVYKWQLVAPQTSNDLFMRMLLQPVTRNGNQTIRASIVTLYLGNSDSLVALLGKEFPELGLKKEDCNETSWIQSVMWWDESQNLGKSPDVLLDRNPNDANFLKRKSDYVQNPISKDGLEWLWKKMIEVGKTGLVFNPYGGRMNEIPASETPFPHRAGNLFKVQYSVNWEEAGSEADKNFMTQIRRLHSYMTPFVSKNPRSSYLNYRDLDIGVMEAGKDSFEQGSVYGYKYFNDNFDRLVKVKTAVDPENFFRNEQSIPTLPSKA >Potri.012G012300.4.v4.1 pep chromosome:Pop_tri_v4:12:1455890:1458370:1 gene:Potri.012G012300.v4.1 transcript:Potri.012G012300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012300.v4.1 MISSRALSLMRKPFFPTTCRSFGTFYGHENRNIIRSFKVASMEIPKGGHRLSLFISYSTTVTSESEDDAYPEPNKKAKQTKWFNRFSLNKRSRNKRSRLTEAGSSDCCVKDGQKVKIHYKIYDIHTHEVRCETNPEHDPKIIGPGDNKICEGLYDGIVGMRVGQIRTIVLPPSSDLTGEHNKMMMKKKHFEEHGIYDVCLVEIIG >Potri.012G012300.5.v4.1 pep chromosome:Pop_tri_v4:12:1456115:1458370:1 gene:Potri.012G012300.v4.1 transcript:Potri.012G012300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012300.v4.1 MYSLFLSVSRIWSCGFLPAATCRSFGTFYGHENRNIIRSFKVASMEIPKGGHRLSLFISYSTTVTSESEDDAYPEPNKKAKQTKWFNRFSLNKRSRNKRSRLTEAGSSDCCVKDGQKVKIHYKIYDIHTHEVRCETNPEHDPKIIGPGDNKICEGLYDGIVGMRVGQIRTIVLPPSSDLTGEHNKMMMKKKHFEEHGIYDVCLVEIIG >Potri.008G137801.3.v4.1 pep chromosome:Pop_tri_v4:8:9240646:9245083:-1 gene:Potri.008G137801.v4.1 transcript:Potri.008G137801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137801.v4.1 MGVWLFIHTSLLLSLLCIAHSQQNDDAAVMLKLRDSLVKSSTLGWSASDPCQWAHVGCTNNRVDRIQIGYQNLQGTLPPELRNLTQLTRFEVMSNNLTGSLPSLSGLSSLQVLLLHTNNFSSIPPDFFTGMTSLTSVSLDTNPFESWEIPESLKDATSLKEFSANDANVAGKIPEFFNNDVFPGLESLHLAFNYLEGELPLNFSGSTIRSLWLNGQKSNSRLNGTLSILQNMTSLTEIWLHGNSLTGPLPDLSGMISLEDLSLRDNSLTGVVPPSLVNISTLRAVNFTNNKLQGPTPKFADRVSVDMNPGTNNFCLDKPGVACDATVDVLLSVAKNFGYPASLADFWKGNDPCSSNSWKGIACVGKDILVINLKKAGLTGTISSDFFLISTLQELFLSDNMLTGTIPDELTNLSDLTILDVSNNRLYGNIPKFRNNVQVEYAGNPDIGKNGSVYPPPATPGTAPGSPSGTVGDSDGSGNKNLATGKIVGSVIGFVCGLCMVGLGVFFYNRKQKRSSKVQSPNMMIIHPRHSGDQDAVKITVAGSSANIGAESFTDSVGPSDINLARTENMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMESGVVSEKGLAEFMSEIAVLTKVRHRHLVALIGYCLDGNERLLVYEYMPQGTLSRHLFCWKEEGVKSLEWTRRLTIGLDVARGVEYLHGLAHQCFIHRDLKPSNILLGDDMRAKVADFGLVRPAPEGKTSIETRLAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMEMITGRKALDETQPEDSLHLVTWFRRMHINKDTFHKAIDPTINLDEETLGSISTVAELAGHCTAREPYQRPDMGHVVNVLSSLTEIWKAAEPDSDDMYGIDFETPLPEVLLKWQAFDGSSSSFLPSGDNTQTSIPTRPSGFAESFTSADGR >Potri.011G042150.1.v4.1 pep chromosome:Pop_tri_v4:11:2274300:2278486:1 gene:Potri.011G042150.v4.1 transcript:Potri.011G042150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042150.v4.1 MQSVWHVHQRKNPSFTSCCANGKIQLPTAPNTPQFLDDLLNPDKGSLSIKFRHNIRAYNSMFAFTSMGAQIDHTVNSQPGPYIFKINGQCHHLMGSLVPIDAESPRFAQLYIFDTDNEIANRLHPFNNDNCQSSLDENVVNKLIDMLDSSNALVKLFRQVRHRLNNDEFPNFKLRLIGKRDGDSKQYDDPSSNDVCGLIVGDIGESQTDRDIIIEGYSRNLRRISKLHPKFMSLQYPLLFPYGEDGYHTDILFTNQEHYTPSKRQKVTMRAYYAYVIQEKLGDSSTLTKGGRLYQQFLVDAFMNVEQERLDFIRSNQENLRTESYKVLEKIILPSSLTGSPRYMINNYHDAMAICRHYGNPDLFITFTCNVNWPEIQREIKKSRNYKAEDKPDIIARVFRYKLNDMISFIKSGQPFGKTIADVCAIEFQKRGLPHTHLLIWLASEYKFRSPQDVDSVISAELPNRADDPHCYAIVLKFMLHGPCGIASPKAQCMKGNQCSKKFPKKFKQSTVFGENGFVFYKRRNFPASFVMKNGIALSNSYVVPYNKELLIRYNAHVNVEICCQSMLIKYLFKYVSKGSDRCRAVIQGQTNDEIQAYLNCRFVCPYEAVWRLLQFPIHSRNPAVERLQIHLPMQHSVVFFGNQNLSSVLRKNGLNKTMLTGWFDQNKEDVEATQLYYSQFPNKYVWDARQKEWIYRTRGFSLGRITYVHPAAGEFFEYLRCVSGIVYPTFQLACKALGLLDDGKEWAEAFSEAVLTASSSQLRQLFVSVTLFCQIASPQDLLDQFWHTMHDDIRIKLSSFSPHNLHFSDNELKNYVLYELEQLFNALATSLKDYNLPLPNDRLMSEIRNNLLREELNYDISELRSNNEASISLLNTCQKKIYDRVMESISKNQQSLIFVYGHGGTGKTFYGIHLLIVLDQKGIASILLPGGRTAHSRFKIPLAINENSTCEIKKNTHLSRLIETTTLIVWDEAPMNNRYCFETLDRSLRDIMGQTGHSNHNQPFGGKSILLGGDYRQILPKELLSHPKNITVTEINNFILGVTHGPQRIYLSNDSVDASSSDNDNINLLYPLEFINQLEFSGVPSHILALKIGAPIMLLRNLSPMIGLCNGTRLIITQLADRVIEAQIITGSHIGDRVFIPRIIFPINDDKCPFTIKRRQFPIRLCYAMTINKSQGQSLKFVGVFLKEQVFAHGQLYVALSRVTSKKGFKNHFM >Potri.008G095100.1.v4.1 pep chromosome:Pop_tri_v4:8:5931455:5933450:-1 gene:Potri.008G095100.v4.1 transcript:Potri.008G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095100.v4.1 MEGGVGGNGNEREEEQDGMSVHSPCKAPPSSASSLPKEQSQVELELRLLEGLEIYPPVKLRGMHRHFVLYGLMEFLRRSFDRQFSPDEVLQLLDRFYNIEMLKPDDEEAEILNHEEDFSLPQDYFVKEE >Potri.007G043800.1.v4.1 pep chromosome:Pop_tri_v4:7:3760942:3763045:-1 gene:Potri.007G043800.v4.1 transcript:Potri.007G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043800.v4.1 MAQRSAPAPFLTKTYQLVDDPSTDDVISWNETGTTFVVWKTADFAKDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDKWEFANENFRRGQKELLAEIRRRKTAAASPTTQTSPAGKSGGASSSSNSGEDLGSTSTSSPDSKNPGSVETAATQVADLSIENEQLKKDNDVLSSELEQAKKQCGELINFLTEYVKVSPDQINRIIGCGGSTCNGEADVGDNQSEDDDDDENTSDDTDHDDTDHDGDGGEGGGLKLFGVLLKCQNKKKRGRDEKMGLGGARAKEIKICN >Potri.006G207900.1.v4.1 pep chromosome:Pop_tri_v4:6:21594253:21598934:1 gene:Potri.006G207900.v4.1 transcript:Potri.006G207900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G207900.v4.1 MATGFISLLFSLLSLLFFGISSFAKPIPSFPSSIIQAEKISLSTPNELYHEKFFTQVLDHYTFRPQSYKTFQQRYLINDKYWGGAEKNAPIFLYTGNEGDIEWFAQNTGFIFDIAPHFKPLLVFIEHRFYGKSMPFGGYKEVAYSNSSTLGYLTSTQALADYATLIIDLKKNLSATDSPVVVFGGSYGGMLAAWFRLKYPHVAIGALASSSPILNFENITSPYSFNNIITQDFRGESENCYKVIKRSWQEIEDTASQPGGLEILRSSFRICRNSMSASSLQSWLYTALVYTAMTDYPTPSNFLNPMPAYPVKEMCKAIDDPKTGNKTFAKLYGAASVYYNYSGNATCFNHDDDSDPHGLGGWSWQACTEMILPTSGNNKDSIFPASEWNYDDRASFCKAYFGVEPRPNWITAEFGGHDIKRVLKRFGSNIIFFNGLRDPWSGGGVLENISSSIVAIIAKQGAHHVDLRFATSEDPKWLQDVRKREVSIIAKWLSEYYDDLDPAY >Potri.017G145800.1.v4.1 pep chromosome:Pop_tri_v4:17:14622772:14624456:1 gene:Potri.017G145800.v4.1 transcript:Potri.017G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145800.v4.1 MALTHFFCAASILLLSLLVIASAADYGYEPKPDTVKPETSYVPAPKPKPTYDTPNSGHDQPKASYPGYGYGPKSDLPKPKPDFKYNPKPNVDLPKVTVPKIPNHGYHYIPMPHHLPKPKLSHGKPGYEPESLLPICVEGLILCKSGSNYIPVEGAKVRIACTGVDQNGNEATHFSCLTDAADAHGYYFKTLFPFGGLGHNLKLKECKAYLENSPLETCKIPTDVNNGINGALLSSYHVLSNKNIKLYSMRTFFYTSETTSTSTTPPGGY >Potri.017G119000.1.v4.1 pep chromosome:Pop_tri_v4:17:12549334:12551968:1 gene:Potri.017G119000.v4.1 transcript:Potri.017G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119000.v4.1 MVEMVLQFASLLFFIVHYSAFVGSVNDEGLALLSFKQSIEYSTAHYIDNWNSSDANPCSWHGVMCREEKVFSLRLPNKGLAGNLQLDAGKLVALSHVDLRNNHFSGSFPVELFNATELISLILSGNSFSGPVPEEIVNLKYLQTLDLSQNSFNASLPSSLIQCKRLKNLVVSGNSFTGSLPDALGTNLIRLRTLNLSHNSFSGLIPGSLGNLPSLQGALDLSHNLFNGPIPASLGKLPKLVYINLTCNNLSGAIPQNGALKNVGPAAFIGNPLLCGPPLKTRCPLAASHPNIDPEPLAVGDSSGKRRGGKWCWIVIATVAGTVVGICLVSVSFCYWYKKSYGCKESKRTQGCSFEEKSMVRKEMFCFRTDDLESLSETMEQCTFVPLDSKVSFDLEQLLKASAFLVGKSSIGIVYKVVLEKGLTVAVRRLEDGGSQRFREFKPAVEAIGKIRHPNIVSLLAYCWGINEKLLIYDYVPNGDLATVIHGRTGMTNFKPLSWSVRLKIMKGLAKGLAFLHECSPKRYVHGNLKTRNILLGENMEPRISDFGLNCFAYTSKESLPGEQMTSGTPQEGSPYALTPTHSSTPGSCYEAPESSKLIKPSQKWDVYSFGVILLEMISGKSPMMQVSLSGMDLVQWIQLSFEVKPPSEVLDPFLTRESDKKHEMVAVLKIALACVQASPDKRPSMKNASDNLGRLVPLT >Potri.006G158952.1.v4.1 pep chromosome:Pop_tri_v4:6:15349062:15351415:-1 gene:Potri.006G158952.v4.1 transcript:Potri.006G158952.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158952.v4.1 MTKLFFLISLILLPITFTSQSEKQKPPTAAHTELTNYGFPIGLLPSSVKNYTFNQTSGEFSVDLGSACKITLPPDNYLATYSKRVSGKIVEGRIAELDGIRVRAFFKWWSITGISSSGDNLVFEVGMITAKYPSKNFDESPQCEGKHSSS >Potri.018G054400.1.v4.1 pep chromosome:Pop_tri_v4:18:5398591:5400080:1 gene:Potri.018G054400.v4.1 transcript:Potri.018G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054400.v4.1 MDTNQAPPPQGLTQEEYMELKPLIDTYHKFEPAPNTCTSLITQRIDAPAHVVWPFVRRFDNPQKYKHFIKSCNMSAGDGGVGSVREVAVVSGLPASTSIERLEILDDENHILSFRVVGGEHRLNNYKSVTSVNEFNKEGKVYAIVLESYIVDIPGGNTGEDTKMFVDTVVKLNLQKLAVVAIASLHGHE >Potri.019G094250.1.v4.1 pep chromosome:Pop_tri_v4:19:13130996:13135986:-1 gene:Potri.019G094250.v4.1 transcript:Potri.019G094250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G094250.v4.1 MDGGQILLSYIKVWVILLLLLATFQNSKLAAKNTEQDKKRKLAEENPGISIDCGAYEDYTDRNTGISYKTDKDFISTGKNMIVPPEHHLTIPYFGDMMNSLRTFPEGKRNCYTLKPIQGKNQNYLVRAFFYYGNYDSENQAQINFDLYLGVNYWTTVQPVKDTPWKYYINIHYSVTDTIYVCLVNTGSGVPFINGLDLRFMNDDSPYRSMNGSLLPEVRADLGGNKTQNSTMNRYKDDVYDRIWWFDNLDDAVSIINTEANIDIGSDNPCRLPVEVLRTAVQPRNGLNSLSYNKKLWYPEYFPHEFLVFFQIAPGEIREFNITLNGLNYGPFTLEYLKPLTIRSNISQVQEDQVRFSIDATLRSHLPPILNA >Potri.012G089100.1.v4.1 pep chromosome:Pop_tri_v4:12:11447367:11449728:1 gene:Potri.012G089100.v4.1 transcript:Potri.012G089100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089100.v4.1 MLDHPIGIPACFTSSDKVTDDPATVTRSGQSVFVSVYRTKIADQCRLITIRWCKNLLLHGLSVSVEGPEGESHYTCKAELKPWYFWRKQGSKRFVVEGKAVDIFWDLKTARFNGETEPNSEYYVAVVCDEEVVLLLGDLKKDAYKKTGCRPGLIDPILVSRKEHIFCKKKFATRIKFHEKGRFHEISIECRNICNYSGNASNGNSINRDEPEMDIRIDGDLVIHVKHLQWKFRGNEYINLHKLRVEVYWDVHDWLFSPGLRHALFIFKPIMSCTSLSSLSTSSSSPPLSSSTLTPLSSQTGPGSGSLERLDAGGGSFDFCLFLYAWKAE >Potri.014G161900.1.v4.1 pep chromosome:Pop_tri_v4:14:11622613:11623179:-1 gene:Potri.014G161900.v4.1 transcript:Potri.014G161900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161900.v4.1 MAITSTPALFISILLSLVLISSAQSCSKYTFPGNQAFNSCIDLPFLQANLHWNYIPSTRTVHIAYRANQTSTGWIAWAINPNGAGMVGSQALVAFHNSNGSLTAYPTPITSYTTSMRPGALSFHVSNISATYADNQMSIFAVLGPLQNGTAVNHVWQAGNSVINDIPSSHATTGPNIQSMGTLNFFSG >Potri.001G175400.1.v4.1 pep chromosome:Pop_tri_v4:1:15084493:15087163:1 gene:Potri.001G175400.v4.1 transcript:Potri.001G175400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175400.v4.1 MKAASFPESVLSSGLKTSQFAAECWFDDACILDMDYFAKTLAGIKAKGVRPDFIGSIIAHYASKWLPDLSGDHLSSNKGLANFEESPESVTALWMKKRFFVETLVGILPPEKDSIPCSFLLRLLRIANMVSVEPAYRAELEKRISWQLDQASLKEIMIPSFSHTCGTLLDVELIIRLVRSFVNLDEVAKSGSALIKVAKLVDSYLAEAAVDANLNLSEFIALAGALPSHARATDDGLYRAVDTYLKAHPGLPKQERKALFRLIDSRKLSQEASLHAAQNERLPVRAVIQVLYSEQTKLNRQIDWSGSFSGARSPSLGFEAPARLLSKREMSDQQMEIRKLREDVLRLESQCYVMQMQMERMLEKKKGFFRWKKLGIMPNSLRSSNNVSIVEKIEGKNAGEGDVGSGIQTPVDLKTKLVRGKGKTSPRRRKSMFSNATY >Potri.009G039700.1.v4.1 pep chromosome:Pop_tri_v4:9:4782433:4785971:-1 gene:Potri.009G039700.v4.1 transcript:Potri.009G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039700.v4.1 MLATHSPPFDRDHHFSASSSEISLSGEIGAHRNSSVSDCLSEVDLESGGLDMEVHLDNKTQRDCRICHLGLETREQECGVAIELGCSCKGDLGAAHKKCAETWFKIKGNTTCEICGATALGVAGEQTNEAHNASAAVLSAPAVPLILVETRAFWHSRRLMNFLLACMVMAFVISWIFHFKVLS >Potri.001G188700.1.v4.1 pep chromosome:Pop_tri_v4:1:16921787:16923138:1 gene:Potri.001G188700.v4.1 transcript:Potri.001G188700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G188700.v4.1 MAPHGESIASAYAKKNAFSKPPRPSNDNLHRTKSDISFELSKEAIDINLRPISEVEDAKCECCGMSEECTPEYIDRVRNKFLGKWICGLCAEAVKEEMEKNGGHKEEALNAHMSACARFNKFGRAYPVLSQAEAMRAILKKSAIRAKSISPKGAQKSGIARSSSCIAAITRDVNYLNLKK >Potri.018G063400.2.v4.1 pep chromosome:Pop_tri_v4:18:7490804:7491417:1 gene:Potri.018G063400.v4.1 transcript:Potri.018G063400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063400.v4.1 MDDYSGSKLTGIRQIVRLKEILQKWQSLTVGSKETSLPSPPSDQSPCGIPPAINKRLNSVTCCDSDEESCHSPEPPADVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLVKVEEEFGFDHTGALTIPCEIETFKFLLQCMENRPNDHEDEGPAEDAFTVEE >Potri.008G165400.2.v4.1 pep chromosome:Pop_tri_v4:8:11398200:11402430:1 gene:Potri.008G165400.v4.1 transcript:Potri.008G165400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165400.v4.1 MLSTSSGKTESELKSDYDRRSELKAFDDSKAGVKGLVDSGVANIPQIFIHESSTNDKLSSGHHNFTVPVIDFNGIHEDASLRGKIVEELREACKKWGFFQVINHGISSSVLDDMINGVRRFHEQDTEVKKEFHTRDEMRKVAYNTNFDFYQAPAANWRDSLYCLVAPHPPRPEELPAVCRDIMIDYTNKVMSLGLIIFELLSEALGLKPSHLKDMGCAEGLYFIGHYYPACPQPDLTLGLSKHTDSAFLAVVLQDHLGGLQVLHEDQWIDVTPIPGALIVNLGDMTQLITNGKFKSVYHRVVAKNTGPRISSACFFRTHLQQESSSRVYGPIKELLSEENPPVYRQTTIKDYVSYTYSKGLDGNPRLEHFKL >Potri.019G108500.1.v4.1 pep chromosome:Pop_tri_v4:19:14063050:14064180:1 gene:Potri.019G108500.v4.1 transcript:Potri.019G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108500.v4.1 MEQPRTTSTDSQPNSFTKFRVSSSSSTSRPRPPGSRQRSNDSEQSLNNDGEPASNVPITNRPGDSVLQPTSSSLVRAAEEEHTVAASTKIKRQSMITEAKPDFESQLERLKKLSEMEAGSNVVVEIAYIVNSLKASSSSKGIPEEIIKKCQEGEMSLRQGLMLAKKAQELLRSALDEGLFSA >Potri.019G108500.2.v4.1 pep chromosome:Pop_tri_v4:19:14063050:14064194:1 gene:Potri.019G108500.v4.1 transcript:Potri.019G108500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108500.v4.1 MEQPRTTSTDSQPNSFTKFRVSSSSSTSRPRPPGSRQRSNDSEQSLNNDGEPASNVPITNRPGDSVLQPTSSSLVRAEEEHTVAASTKIKRQSMITEAKPDFESQLERLKKLSEMEAGSNVVVEIAYIVNSLKASSSSKGIPEEIIKKCQEGEMSLRQGLMLAKKAQELLRSALDEGLFSA >Potri.010G096500.2.v4.1 pep chromosome:Pop_tri_v4:10:12010357:12018325:-1 gene:Potri.010G096500.v4.1 transcript:Potri.010G096500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096500.v4.1 MFSDNNFKVEIINDLPPDKTITVYRCGPLVDLCRGPHIPNTSFVKALACLKASSAYWRGNRDRESLQRVYGISFPDKKRLQEYKHFLEEAKKYDHRLLGTKQELFFCHPLSPGSWFFLPHGTRVYNKLMEFIKNEYRRRGYEEVKSPNIYNMKLWETSGHAANYKENMFLLEIEKQEFGLKPMNCPGHCLMFQHRVRSYRELPLRFADFGVLHRNEASGALTGLTRVRRFQQDDAHIFCRKSQIKAEVRRVLEFIDYAYGKFGFTYELKLSTRPEKYLGALETWEEAEKDLAEALNEFGKPWKFNEGDGAFYGPKIDISVSDALKRKFQCATLQLDFQLPDRFKLEYSAEDEAKSETPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYALQVQDQIHEAGYFVDADTTDRKIQKKVREAQLAQYNYILVVGEEEAKTGQVSVRMRDNADHSVMSIESLLKLFKDAVADFR >Potri.019G004400.1.v4.1 pep chromosome:Pop_tri_v4:19:1004645:1006546:1 gene:Potri.019G004400.v4.1 transcript:Potri.019G004400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G004400.v4.1 MSSSTLFSLTLCFLVLFNCCFAQIEQVTSRHDQQQARRRSFQQSECQLQRINALEPARRIKSEAGVTEIWDENDEQFQCAGVAVIRHTIQQRGLLLPAYSNAPKLVYVEQGRGIQGAVFPGCPETFQSSGQFSRDRSQRSEDQHQKVRQVREGDVVALPSGVADWFYNDGDSPLVLVQLLDTSNPANQLDQDFRNFFLAGNPQRELQSQRSSYQRDQFEGQRERQDEGESRRHQQDRHRNVFGGFDEKILAEAFNIDTRLARSMRNEKDNRGIIVRAEHELQVVSPHQSREEEEREIEYRGGRGGGFNGIEETFCTARLKHNINDPERADFFNPRAGRLTTVNSLNLPILRSVQLSVERGVLYPNALMSPHWNMNAHSIIYITRGNGRIQIVGDNGQTIFDGEVREGQVVTAPQSFAVVKKAGSQGFEWVSFKTNDNAQVSELAGRVSTIRGLPVEVVANSFQISREDARRLKNNREEVSVFSPSQSGRSEEIA >Potri.001G388801.1.v4.1 pep chromosome:Pop_tri_v4:1:41075382:41078496:1 gene:Potri.001G388801.v4.1 transcript:Potri.001G388801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388801.v4.1 MASCISLSSSIPLAASSFLPSFPKTHRVSRFKKPNRHNIPIVSCKSGKNDHEQNPATRRDLLIGLGGLYGATSLSDPFAYANPIAPPDITQCELVTLPTESDPSNCCPPTSTKIKNFEFPSASSPMRIRPAAHLVDKAYVAKYAKAIALMKSLPDDDPRSFKRQADVHCAYCDGAYHQAGFPDLDLQIHFSWLFFPWHRLYLYYFERILGKLIDDPTFALPFWNWDAPAGMQMPAIFTDPKSPLYDPLRDANHQPPILLDLNYATGDANPDPAKAEELYASNLNVMYRQMVSGATKPTLFFGKPYRAGDDPSPGMGTIETTPHTEIHFWTGDPNQTNGENMGNFYSAGRDPIFFCHHSNVDRMWDLWKKIPGGKRKDFKDPDWLNSEFLFWNENKELVRVKVKDTLDTKKLRYGFQDVPIPWLKTRPTPKLTRQEKSRRSAKKSVVLTPISAFPVVLDKVISVEVSRPKKSRSATEKEDEDEVLVIEGIEYEENQLIKFDVLVNDEPDSPGGPDKSEFAGSFVNVPHKHAKKSKTTMVLGITGLLEDLEAEGDDTLVVTLVPRTGGDSVTVANVKIEFVAD >Potri.015G056400.4.v4.1 pep chromosome:Pop_tri_v4:15:7806686:7818020:-1 gene:Potri.015G056400.v4.1 transcript:Potri.015G056400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056400.v4.1 MPGNEVGDRIHNFFGQDNWSQGQHQAQAVDGTWNGLNNNPWAGSHWQIGTSLISNLKNDNVHQPGPEFARSQPESQQPPLNGYMHGHQVLQSRQNEANFVGVDIESDRRNMSSKGFSMLDSQLGNGPDFLKKNSARMDFNESPVNYDFFRAQQQISGQHSGMLQPFPRQQPGISDMQLLQQQFMLKQMQEMQRQQQLQKQQDARKLNSVNQVSAFAKQAAGNSQALINGIPIHETSNFSWQPELMAASSHWPQRGAPPVMQGSFRGHMFSPEQGQATACLMGMVPQHADQSLYGVPISGTRVSSSQYSPVQMDKPSMQQISGSSSSLPSNQYTGFPEQVNVQDGTLVSRQGYKGKNMITSSDGHGIDSGFNLEKLQQQVNPQQSNGLVQETCSRQDLAGPSETSQEETAVQVAPSQNKATLDPTEAMILFGSDDNLLDSFGRGASMGSGGYNMLDGTDFFSTLPSVQSGSWSALMQSAVAETSSGDTGQKEEWSGLTCRKNEPPAGNQQAPTVNDSSKQQSNWADNSLQSASSLNSRPFPVSHKTNTGMSYNNIPAAHQSGVNTSHEHSERLQTGSPHRHIQQFPGDGTKRSDRSLLQKAAAEGSHFYGKATHSSDAELNAKSIQGPWANQQSMPSYNSSGQPLRSPSGWNFMDSASSITTAALKNQGNEKSCQDSQNADKKSPLFEVMSHGSDKWKATSVSNSITELECAKSSMRSPLVNKEDTNRNNVAALLDSSTERADTESSQQLPKSNNIDIWKHAGLSVNHKGTEIPGKYQPHMVKNDQPFESSGNSSLANGAVETLKMQSSNTDENTTDSFPSTTHQASTFGARENAWLGANDSFSLSGGKQKSSSNIGRKPSGIRKFQYHPMGDLDIDMEPSYGTKHVANSQFTHQQFSQRLNGLDQEYTGQPNFPSHVARDSDEIEKGHLCGFQGETKGLDEIPPKSILPDSAPGLSTPFDRFVCSPNKTISSSQNMLELLHKVDQSREQRNEMHFSSLDCNQSTEMPETETLDASFHIQKNQSSASQAFGLQLAPPSQQLLIPEHALPSQNPSNAMNSTCTSTHAGSSAQRKFPAVFSPGFPYSRSHLSTQHRTDTGGQATTSESVNESFDRFSSQPKQTEESSERGQTNQSAIPLVLDTSRHTSNNDNPSSSEMSQPSSNNQNHARDSAQQFPVLEAAPAPAPQRNALSQDAVSSKTSPTMWTSVPTQLRPFGSQPFQALSNMFKPNLQSHNSSGTSFSQPQKPEDQIMQTGGSSQAEPGVCSMNSHGFVEKEQLPKGDHLRQASPENDRAQKTVSASHDKDSTVNHLTETSLSNLASTRKQIEAFGRSLKPNNTLHQNYSLLHQMQGMENVGLNHGNRSLNRFKSPDGYVDPQLVATQGDQQFYGLNNMVRDASANHTSIPPGDSKMLSFSGKTADTNDTNSPSKEVLAFSRNDSQSSANSNSEVSVRGEHSQISPQMAPSWFDQYGTFKNGQILRMHDAQRTVTVKTSELPFTTGRPDDPLHAHSLIEQGNAAAASHFGIVQKSSTRPSIACENFSSPQSLQPDSADVSLVVMRPKKRKSSISELLTWHKEVMHCPQRLQNISVAEVDWAQATNRLTEKVEDEVEMVDDGLPVLRSKRRLISTTQLMQMLLRPPMASILSSDAFLHYENAAYSVARSTLGDACSSLSCTGRDTPAPSNSGDHLPEKIKSSEKISDQYFSKVMEDLITRTRKLESDLLRLDKRASVSDLRVECQDLERFSVINRFAKFHGRVQGEGAESSSSSDASVNAQKSCLQRYATALPMPRNLPDRVQCLSL >Potri.015G056400.5.v4.1 pep chromosome:Pop_tri_v4:15:7806675:7814207:-1 gene:Potri.015G056400.v4.1 transcript:Potri.015G056400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056400.v4.1 MSSKGFSMLDSQLGNGPDFLKKNSARMDFNESPVNYDFFRAQQQISGQHSGMLQPFPRQQPGISDMQLLQQQFMLKQMQEMQRQQQLQKQQDARKLNSVNQVSAFAKQAAGNSQALINGIPIHETSNFSWQPELMAASSHWPQRGAPPVMQGSFRGHMFSPEQGQATACLMGMVPQHADQSLYGVPISGTRVSSSQYSPVQMDKPSMQQISGSSSSLPSNQYTGFPEQVNVQDGTLVSRQGYKGKNMITSSDGHGIDSGFNLEKLQQQVNPQQSNGLVQETCSRQDLAGPSETSQEETAVQVAPSQNKATLDPTEAMILFGSDDNLLDSFGRGASMGSGGYNMLDGTDFFSTLPSVQSGSWSALMQSAVAETSSGDTGQKEEWSGLTCRKNEPPAGNQQAPTVNDSSKQQSNWADNSLQSASSLNSRPFPVSHKTNTGMSYNNIPAAHQSGVNTSHEHSERLQTGSPHRHIQQFPGDGTKRSDRSLLQKAAAEGSHFYGKATHSSDAELNAKSIQGPWANQQSMPSYNSSGQPLRSPSGWNFMDSASSITTAALKNQGNEKSCQDSQNADKKSPLFEVMSHGSDKWKATSVSNSITELECAKSSMRSPLVNKEDTNRNNVAALLDSSTERADTESSQQLPKSNNIDIWKHAGLSVNHKGTEIPGKYQPHMVKNDQPFESSGNSSLANGAVETLKMQSSNTDENTTDSFPSTTHQASTFGARENAWLGANDSFSLSGGKQKSSSNIGRKPSGIRKFQYHPMGDLDIDMEPSYGTKHVANSQFTHQQFSQRLNGLDQEYTGQPNFPSHVARDSDEIEKGHLCGFQGETKGLDEIPPKSILPDSAPGLSTPFDRFVCSPNKTISSSQNMLELLHKVDQSREQRNEMHFSSLDCNQSTEMPETETLDASFHIQKNQSSASQAFGLQLAPPSQQLLIPEHALPSQNPSNAMNSTCTSTHAGSSAQRKFPAVFSPGFPYSRSHLSTQHRTDTGGQATTSESVNESFDRFSSQPKQTEESSERGQTNQSAIPLVLDTSRHTSNNDNPSSSEMSQPSSNNQNHARDSAQQFPVLEAAPAPAPQRNALSQDAVSSKTSPTMWTSVPTQLRPFGSQPFQALSNMFKPNLQSHNSSGTSFSQPQKPEDQIMQTGGSSQAEPGVCSMNSHGFVEKEQLPKGDHLRQASPENDRAQKTVSASHDKDSTVNHLTETSLSNLASTRKQIEAFGRSLKPNNTLHQNYSLLHQMQGMENVGLNHGNRSLNRFKSPDGYVDPQLVATQGDQQFYGLNNMVRDASANHTSIPPGDSKMLSFSGKTADTNDTNSPSKEVLAFSRNDSQSSANSNSEVSVRGEHSQISPQMAPSWFDQYGTFKNGQILRMHDAQRTVTVKTSELPFTTGRPDDPLHAHSLIEQGNAAAASHFGIVQKSSTRPSIACENFSSPQSLQPDSADVSLVVMRPKKRKSSISELLTWHKEVMHCPQRLQNISVAEVDWAQATNRLTEKVEDEVEMVDDGLPVLRSKRRLISTTQLMQMLLRPPMASILSSDAFLHYENAAYSVARSTLGDACSSLSCTGRDTPAPSNSGDHLPEKIKSSEKISDQYFSKVMEDLITRTRKLESDLLRLDKRASVSDLRVECQDLERFSVINRFAKFHGRVQGEGAESSSSSDASVNAQKSCLQRYATALPMPRNLPDRVQCLSL >Potri.015G056400.6.v4.1 pep chromosome:Pop_tri_v4:15:7806682:7811210:-1 gene:Potri.015G056400.v4.1 transcript:Potri.015G056400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056400.v4.1 MLELLHKVDQSREQRNEMHFSSLDCNQSTEMPETETLDASFHIQKNQSSASQAFGLQLAPPSQQLLIPEHALPSQNPSNAMNSTWLAPTPSFQSLTPHETSHGHLRNAICSTSTHAGSSAQRKFPAVFSPGFPYSRSHLSTQHRTDTGGQATTSESVNESFDRFSSQPKQTEESSERGQTNQSAIPLVLDTSRHTSNNDNPSSSEMSQPSSNNQNHARDSAQQFPVLEAAPAPAPQRNALSQDAVSSKTSPTMWTSVPTQLRPFGSQPFQALSNMFKPNLQSHNSSGTSFSQPQKPEDQIMQTGGSSQAEPGVCSMNSHGFVEKEQLPKGDHLRQASPENDRAQKTVSASHDKDSTVNHLTETSLSNLASTRKQIEAFGRSLKPNNTLHQNYSLLHQMQGMENVGLNHGNRSLNRFKSPDGYVDPQLVATQGDQQFYGLNNMVRDASANHTSIPPGDSKMLSFSGKTADTNDTNSPSKEVLAFSRNDSQSSANSNSEVSVRGEHSQISPQMAPSWFDQYGTFKNGQILRMHDAQRTVTVKTSELPFTTGRPDDPLHAHSLIEQGNAAAASHFGIVQKSSTRPSIACENFSSPQSLQPDSADVSLVVMRPKKRKSSISELLTWHKEVMHCPQRLQNISVAEVDWAQATNRLTEKVEDEVEMVDDGLPVLRSKRRLISTTQLMQMLLRPPMASILSSDAFLHYENAAYSVARSTLGDACSSLSCTGRDTPAPSNSGDHLPEKIKSSEKISDQYFSKVMEDLITRTRKLESDLLRLDKRASVSDLRVECQDLERFSVINRFAKFHGRVQGEGAESSSSSDASVNAQKSCLQRYATALPMPRNLPDRVQCLSL >Potri.015G056400.3.v4.1 pep chromosome:Pop_tri_v4:15:7806681:7818042:-1 gene:Potri.015G056400.v4.1 transcript:Potri.015G056400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056400.v4.1 MPGNEVGDRIHNFFGQDNWSQGQHQAQAVDGTWNGLNNNPWAGSHWQIGTSLISNLKNDNVHQPGPEFARSQPESQQPPLNGYMHGHQVLQSRQNEANFVGVDIESDRRNMSSKGFSMLDSQLGNGPDFLKKNSARMDFNESPVNYDFFRAQQQISGQHSGMLQPFPRQQPGISDMQLLQQQFMLKQMQEMQRQQQLQKQQDARKLNSVNQVSAFAKQAAGNSQALINGIPIHETSNFSWQPELMAASSHWPQRGAPPVMQGSFRGHMFSPEQGQATACLMGMVPQHADQSLYGVPISGTRVSSSQYSPVQMDKPSMQQISGSSSSLPSNQYTGFPEQVNVQDGTLVSRQGYKGKNMITSSDGHGIDSGFNLEKLQQQVNPQQSNGLVQETCSRQDLAGPSETSQEETAVQVAPSQNKATLDPTEAMILFGSDDNLLDSFGRGASMGSGGYNMLDGTDFFSTLPSVQSGSWSALMQSAVAETSSGDTGQKEEWSGLTCRKNEPPAGNQQAPTVNDSSKQQSNWADNSLQSASSLNSRPFPVSHKTNTGMSYNNIPAAHQSGVNTSHEHSERLQTGSPHRHIQQFPGDGTKRSDRSLLQKAAAEGSHFYGKATHSSDAELNAKSIQGPWANQQSMPSYNSSGQPLRSPSGWNFMDSASSITTAALKNQGNEKSCQDSQNADKKSPLFEVMSHGSDKWKATSVSNSITELECAKSSMRSPLVNKEDTNRNNVAALLDSSTERADTESSQQLPKSNNIDIWKHAGLSVNHKGTEIPGKYQPHMVKNDQPFESSGNSSLANGAVETLKMQSSNTDENTTDSFPSTTHQASTFGARENAWLGANDSFSLSGGKQKSSSNIGRKPSGIRKFQYHPMGDLDIDMEPSYGTKHVANSQFTHQQFSQRLNGLDQEYTGQPNFPSHVARDSDEIEKGHLCGFQGETKGLDEIPPKSILPDSAPGLSTPFDRFVCSPNKTISSSQNMLELLHKVDQSREQRNEMHFSSLDCNQSTEMPETETLDASFHIQKNQSSASQAFGLQLAPPSQQLLIPEHALPSQNPSNAMNSTWLAPTPSFQSLTPHETSHGHLRNAICSTSTHAGSSAQRKFPAVFSPGFPYSRSHLSTQHRTDTGGQATTSESVNESFDRFSSQPKQTEESSERGQTNQSAIPLVLDTSRHTSNNDNPSSSEMSQPSSNNQNHARDSAQQFPVLEAAPAPAPQRNALSQDAVSSKTSPTMWTSVPTQLRPFGSQPFQALSNMFKPNLQSHNSSGTSFSQPQKPEDQIMQTGGSSQAEPGVCSMNSHGFVEKEQLPKGDHLRQASPENDRAQKTVSASHDKDSTVNHLTETSLSNLASTRKQIEAFGRSLKPNNTLHQNYSLLHQMQGMENVGLNHGNRSLNRFKSPDGYVDPQLVATQGDQQFYGLNNMVRDASANHTSIPPGDSKMLSFSGKTADTNDTNSPSKEVLAFSRNDSQSSANSNSEVSVRGEHSQISPQMAPSWFDQYGTFKNGQILRMHDAQRTVTVKTSELPFTTGRPDDPLHAHSLIEQGNAAAASHFGIVQKSSTRPSIACENFSSPQSLQPDSADVSLVVMRPKKRKSSISELLTWHKEVMHCPQRLQNISVAEVDWAQATNRLTEKVEDEVEMVDDGLPVLRSKRRLISTTQLMQMLLRPPMASILSSDAFLHYENAAYSVARSTLGDACSSLSCTGRDTPAPSNSGDHLPEKIKSSEKISDQYFSKVMEDLITRTRKLESDLLRLDKRASVSDLRVECQDLERFSVINRFAKFHGRVQGEGAESSSSSDASVNAQKSCLQRYATALPMPRNLPDRVQCLSL >Potri.017G048300.1.v4.1 pep chromosome:Pop_tri_v4:17:3446035:3448483:-1 gene:Potri.017G048300.v4.1 transcript:Potri.017G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048300.v4.1 MAKSTVELSRPANPTLKKPSFPKKRTTMSVFCLYSPKLSIFLLALCVSLFTLYHIQSLHARTTSSPPWSFVHQWERFTNCTQEHGSMAEKLRQSVTFLPLKDLRYADKARQGHTWFMSSTYDTREEGGVQYQQFPSESSKRRLLCLKGKETHDGSWNSYALAWPEALPFNATLLKGLTFVSYNHYDYDNIWHGLSAMVPFVAWHIRNGCESPSRWILYHWGELRFEMGPWLRTLTGATFGGAPYTESFEGVNDGQPLCFEKAVVMRHNEGGMSRDRRTETYDLMRCKARMYCNVSLEGRIPEVNKQGLPVIGMTLFMRTGSRSFTNESAVIGIFEKECAKVDGCRLMVAYSNNLTFCEQVKMMSLTDILVSTHGAQLTNMFLMDKNSSVMEFFPKGWLKVAGVGQYVYHWIASWSGMRHQGAWRDLNGDECPYAEDDRRCMSIYKNGKVGFNETYFSEWARDVLNEVKIRKLEEAASKTIASTSACSCG >Potri.001G378500.1.v4.1 pep chromosome:Pop_tri_v4:1:39895705:39897905:-1 gene:Potri.001G378500.v4.1 transcript:Potri.001G378500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378500.v4.1 MTQILPSTETNQPLLYPHNNSNPTITSMDVQDSPRKSYWRCSKQDFFPEPSFKDLSSYRTALSQICPRLKDRLLSRSTETHELVTLRRESENPMQKCLTWWDLMWMSFGSVVGSGIFVITGQEARDNAGPAIVLSYALSGFSALLSVFCYTEFAVEIPVAGGSFSYLRVELGDFIAYLAAGNILLEAIIGAAGLGRSWSSYFASMINSKNPDFMRIKIDSFADGFNLLDPLAVVVLLVANSIAMSGTKRTSFLNWIASIATAFIIAFIIVVGFIHFKSSNLVPYFPKGAEGVFVSAAVVYWAYTGFDMVATMAEETKNPSRDIPIGLVGSMSIITVIYCLMAMALTGMVKYTEIDPNAAFSVAFAQIGMNWATYLVSICALKGMTTSLMVGSLGQGRYTTQIARSHMIPPWFARVHPKTGTPINATLLTTILSAIVAFFSSLDVLSSVFSICTLLIFMLLAVALLVRRYYVKDVTSKNDSVTFFVSLFTIVGSSIGVTALWNSGVRGWIGYVVASVIWCSGTLGISLLHKHRVPKVWGVPLVPWLPSLSIAMNVFLLGSLDYEAFLRFIICSAVMILYYLMIGVHATYDVAHQNPKETEAEEGR >Potri.010G113450.1.v4.1 pep chromosome:Pop_tri_v4:10:13321795:13322660:-1 gene:Potri.010G113450.v4.1 transcript:Potri.010G113450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113450.v4.1 MSSLRAGVRFVFLLFVIVLAILVSTGRCTRSIRDASYYYMLDNRLARGPVPPSGPSPCHHSLDPYSRSESSDADDYVRCP >Potri.018G089300.2.v4.1 pep chromosome:Pop_tri_v4:18:10858144:10859279:-1 gene:Potri.018G089300.v4.1 transcript:Potri.018G089300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089300.v4.1 MNIQLYNHNITVSRIAKILGSEEVARKYLSQCIYVSDMGHNDYLNNYFLDGYDSSLKYTPEEYAQLLIETYETQLEKMYCSGARKIAVLGLIRVGCMPSNIQKNPNELDASSCAYKLNDDVQIFNHKLQELLRKLNKRHTDAVFTYINSYEIDSDDQTNTGFTQTRKSCCDVESGSVPCKSLSFPCSNRSDYVYWDGAHFTEAKAWAFGKRAYKRQSPKDAYPYDISELVKLKLDDSDAYDINHAQL >Potri.001G128400.1.v4.1 pep chromosome:Pop_tri_v4:1:10522002:10525500:-1 gene:Potri.001G128400.v4.1 transcript:Potri.001G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128400.v4.1 MGNSLMHTCLYCLLKLFVGICFLSSIVSSQCLEHQRSVLLQIKQELSIDPHFVTDSKLLSWTPTKNCCLWDGVTCDLQTGYVVGLDLSNSSITSGINGSTSIFSLHHLQYLSIAGNELYSSPFPSGFSRLSSLTHLNFSWSGFFGQVPAEISFLRKLVSLDLSFYPFGSEEPVTLQNPDIETLVENLTRLRVLHLDGIDLSMAESKLWAVLSTKLPNLRVLGLSNCNLAGVLHPSLLQLEKLTDLQLSGNNFSSRVPDFLAKFSSLKTLHLSCCGLYGIFPNSLFLMRTLRSLDVSYNSNLTGTLPAEFPSGSRLEVINLSGTMFMGNLPHSIVNLVFLQDLEISQCSFSGSIPSSFENLTELRYLDFGRNNFSGPVPSLALSEKITGLIFFDNHFSGFIPLSYANGLTYLEVLDLRNNSLKGMIPPALFTKPLLWRLDLSQNQLNGQLKEFQNASSSLLRVMHLSENELQGPIPVSIFKIRGLNVLGLSSNQFNGTINFEMIKDTNELTTLDLSGNNFSFEVSGVNSTLFSHIGKLGLGSCNLKEIPGFLTNLMNLFYLDLSNNKIKGEIPKWIWKLGNENLVYLNLSNNMLSGFDKPIPNLSPGNLVVLDLHSNLLQGPFLMPSPSIIHLDYSHNQFSSSLPSRIFENLTYASFVSLSSNHFNGEIPFSMCESWNLFVLDLSKNHFNGSIPECLGNSNSFLKVLNLRNNELHGILPKRFAENCTLRTLDVNQNHLEGPLPRSLANCGDLEVLDVGNNFLNGSFPFWLETLPLLRVLILRSNFFGGSIIYSPSKTSFPLLQIIDLASNKFRGNLSSEWFKSWKGMMKQEKKSQSSQVLRYSYLVLTPFYYKDSVTLVNKGFNMELEKILTIFTSIDLSNNLFEGEIPEKIGDLDLLYVLNLSNNHLTGQIPSSFGKLKELGSLDLSENRLSGTIPQQLTTLTFLSVLKLSQNLLVGEIPQGNQFGTFTSAAFEGNIGLCGPPLTKTCSHALPPMEPNADRGNGTWGIDWNYYWIGFGCGGGMGLNIGFVAGTVAINIFVMGRGRGGRRRFYTYIHQ >Potri.001G128400.2.v4.1 pep chromosome:Pop_tri_v4:1:10522017:10525401:-1 gene:Potri.001G128400.v4.1 transcript:Potri.001G128400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128400.v4.1 MGNSLMHTCLYCLLKLFVGICFLSSIVSSQCLEHQRSVLLQIKQELSIDPHFVTDSKLLSWTPTKNCCLWDGVTCDLQTGYVVGLDLSNSSITSGINGSTSIFSLHHLQYLSIAGNELYSSPFPSGFSRLSSLTHLNFSWSGFFGQVPAEISFLRKLVSLDLSFYPFGSEEPVTLQNPDIETLVENLTRLRVLHLDGIDLSMAESKLWAVLSTKLPNLRVLGLSNCNLAGVLHPSLLQLEKLTDLQLSGNNFSSRVPDFLAKFSSLKTLHLSCCGLYGIFPNSLFLMRTLRSLDVSYNSNLTGTLPAEFPSGSRLEVINLSGTMFMGNLPHSIVNLVFLQDLEISQCSFSGSIPSSFENLTELRYLDFGRNNFSGPVPSLALSEKITGLIFFDNHFSGFIPLSYANGLTYLEVLDLRNNSLKGMIPPALFTKPLLWRLDLSQNQLNGQLKEFQNASSSLLRVMHLSENELQGPIPVSIFKIRGLNVLGLSSNQFNGTINFEMIKDTNELTTLDLSGNNFSFEGPFLMPSPSIIHLDYSHNQFSSSLPSRIFENLTYASFVSLSSNHFNGEIPFSMCESWNLFVLDLSKNHFNGSIPECLGNSNSFLKVLNLRNNELHGILPKRFAENCTLRTLDVNQNHLEGPLPRSLANCGDLEVLDVGNNFLNGSFPFWLETLPLLRVLILRSNFFGGSIIYSPSKTSFPLLQIIDLASNKFRGNLSSEWFKSWKGMMKQEKKSQSSQVLRYSYLVLTPFYYKDSVTLVNKGFNMELEKILTIFTSIDLSNNLFEGEIPEKIGDLDLLYVLNLSNNHLTGQIPSSFGKLKELGSLDLSENRLSGTIPQQLTTLTFLSVLKLSQNLLVGEIPQGNQFGTFTSAAFEGNIGLCGPPLTKTCSHALPPMEPNADRGNGTWGIDWNYYWIGFGCGGGMGLNIGFVAGTVAINIFVMGRGRGGRRRFYTYIHQ >Potri.001G024500.1.v4.1 pep chromosome:Pop_tri_v4:1:1858222:1861959:-1 gene:Potri.001G024500.v4.1 transcript:Potri.001G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024500.v4.1 MLRRNIRLRREYLYRKSLEGKERLLYEKKRKIKEALAEGKPIPTELRNEEAALRQEIDLEDENTAIPRSHIDDEYAKATERDPKILLTTSRDPSAPLTQFVKELKFVFPNAERINRGGQVISEIIESCRAHDYTDVILVHEHRGVPDGLVICHLPFGPTAYFELLNVVTRHDIKDKKAIGTMPEAPPHLILNNFKSKLGERTANILKHLFPMPKPDTKRIITFANQSDYISFRHHIYEKHGGPKSVELKEIGPRFEMRLYQIKLGTVDQTEAQNEWVLRPYMNTTKKRKFIGD >Potri.017G027800.3.v4.1 pep chromosome:Pop_tri_v4:17:1816343:1818190:-1 gene:Potri.017G027800.v4.1 transcript:Potri.017G027800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027800.v4.1 MGRPLFYEIVEKPATSCIIGICSAIWFYIQKKNIGYSHVGLSYENAVEGHHWRIITSAFSHISVIHLVFNMSALWSLGVVEQLGHIGLGMAYYLHYTLVLVVLSGALVLGMYHILIQRFKLEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIVVGYAIAWGLIHGMNNFWAISMLGWIVLFSVVSLKRSGAYDFDFIEIESVMDPSLPSVRFPGTGRALQMSALPVEGVEIV >Potri.017G027800.2.v4.1 pep chromosome:Pop_tri_v4:17:1816322:1818175:-1 gene:Potri.017G027800.v4.1 transcript:Potri.017G027800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027800.v4.1 MGRPLFYEIVEKPATSCIIGICSAIWFYIQKKNIGYSHVGLSYENAVEGHHWRIITSAFSHISVIHLVFNMSALWSLGVVEQLGHIGLGMAYYLHYTLVLVVLSGALVLGMYHILIQRFKLEYFRRVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIVVGYAIAWGLIHGMNNFWAISMLGWIVLFSVVSLKRSGAYDFDFIEIESVMDPSLPSVRFPGTGRALQMSALPVEGVEIV >Potri.015G055000.6.v4.1 pep chromosome:Pop_tri_v4:15:7595312:7603282:1 gene:Potri.015G055000.v4.1 transcript:Potri.015G055000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055000.v4.1 MGVLGINNLIDWESESYPVATDFIAIPLFAVFFFSVRFVLDKYVFECSARRFIFGKGHVTVDVGKHGNRKKVNKFKESAWKCVYFLCAEILALYVSYDEPWFTNTKYFWVGPGDQVWPDQKLKFELKVLYMYAGGFYTYSIFALVFWETRRSDFGVSMGHHIVTVFLIVLSYILRFGRVGAVVLALHDATDVFMEIAKMSKYSGYELMASVFFLLFVLFWTILRIIYYPFWILRSTSYEIVSALNKEKQMVDGSIYYYLFNTLLFSLLVLHIYWWILMVGMVMAQIQAGGQVSDDVRSDSEGEDDHDD >Potri.015G055000.8.v4.1 pep chromosome:Pop_tri_v4:15:7595310:7601794:1 gene:Potri.015G055000.v4.1 transcript:Potri.015G055000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055000.v4.1 MGVLGINNLIDWESESYPVATDFIAIPLFAVFFFSVRFVLDKYVFEGKFTLDGAILLFQVFHLFSQKIYFWEGACYSGCWETWKPEERPGDQVWPDQKLKFELKVLYMYAGGFYTYSIFALVFWETRRSDFGVSMGHHIVTVFLIVLSYILRFGRVGAVVLALHDATDVFMEIAKMSKYSGYELMASVFFLLFVLFWTILRIIYYPFWILRSTSYEIVSALNKEKQMVDGSIYYYLFNTLLFSLLVLHIYWWILMVGMVMAQIQAGGQVSDDVRSDSEGEDDHDD >Potri.015G055000.5.v4.1 pep chromosome:Pop_tri_v4:15:7595310:7601794:1 gene:Potri.015G055000.v4.1 transcript:Potri.015G055000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055000.v4.1 MSLRANSPWMEQYCFFKFFICSARRFIFGKGHVTVDVGKHGNRKKVNKFKESAWKCVYFLCAEILALYVSYDEPWFTNTKYFWVGPGDQVWPDQKLKFELKVLYMYAGGFYTYSIFALVFWETRRSDFGVSMGHHIVTVFLIVLSYILRFGRVGAVVLALHDATDVFMEIAKMSKYSGYELMASVFFLLFVLFWTILRIIYYPFWILRSTSYEIVSALNKEKQMVDGSIYYYLFNTLLFSLLVLHIYWWILMVGMVMAQIQAGGQVSDDVRSDSEGEDDHDD >Potri.015G055000.7.v4.1 pep chromosome:Pop_tri_v4:15:7595310:7601794:1 gene:Potri.015G055000.v4.1 transcript:Potri.015G055000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055000.v4.1 MSLRANSPWMEQYCFFKFFICSARRFIFGKGHVTVDVGKHGNRKKVNKFKESAWKCVYFLCAEILALYVSYDEPWFTNTKYFWVGPGDQVWPDQKLKFELKVLYMYAGGFYTYSIFALVFWETRRSDFGVSMGHHIVTVFLIVLSYILRFGRVGAVVLALHDATDVFMEIAKMSKYSGYELMASVFFLLFVLFWTILRIIYYPFWILRSTSYEIVSALNKEKQMVDGSIYYYLFNTLLFSLLVLHIYWWILMVGMVMAQIQAGGQVSDDVRSDSEGEDDHDD >Potri.007G039500.3.v4.1 pep chromosome:Pop_tri_v4:7:3226138:3230201:-1 gene:Potri.007G039500.v4.1 transcript:Potri.007G039500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039500.v4.1 MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFASVHKVFGASNVAKLLNELNAAQREDAVNSLAYEAEERLRDPVYGCVGLISILQHRLKQLQNDLYCAKKELANYIGPQAMLPMVMQPQHMGNPSSSTVMQHSVMPMMGIPSVGQMGMRAEAHQHQNHHVHPQQLYEAQQLAAVVAAREQEILRAYEQQQQQHHQQEIVRFNGGLEPANSVSDTGYNQINPSAAMPSSLALGTFDNTFQIQPQGEPHPNQLQAQVLLQPQQIQQHPKSESEEGRRSTVGPSC >Potri.007G039500.2.v4.1 pep chromosome:Pop_tri_v4:7:3226257:3230233:-1 gene:Potri.007G039500.v4.1 transcript:Potri.007G039500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039500.v4.1 MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFASVHKVFGASNVAKLLNELNAAQREDAVNSLAYEAEERLRDPVYGCVGLISILQHRLKQLQNDLYCAKKELANYIGPQAMLPMVMQPQHMGNPSSSTVMQHSVMPMMGIPSVGQMGMRAEAHQHQNHHVHPQQLYEAQQLAAVVAAREQEILRAYEQQQQQHHQQEIVRFNGGLEPANSVSDTGYNQINPSAAMPSSLALGTFDNTFQIQPQGEPHPNQLQAQVLLQPQQIQQHPKSESEEALI >Potri.007G039500.1.v4.1 pep chromosome:Pop_tri_v4:7:3226137:3230269:-1 gene:Potri.007G039500.v4.1 transcript:Potri.007G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039500.v4.1 MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPQKFASVHKVFGASNVAKLLNELNAAQREDAVNSLAYEAEERLRDPVYGCVGLISILQHRLKQLQNDLYCAKKELANYIGPQAMLPMVMQPQHMGNPSSSTVMQHSVMPMMGIPSVGQMGMRAEAHQHQNHHVHPQQLYEAQQLAAVVAAREQEILRAYEQQQQQHHQQEIVRFNGGLEPANSVSDTGYNQINPSAAMPSSLALGTFDNTFQIQPQGEPHPNQLQAQVLLQPQQIQQHPKSESEEGRRSTVGPSC >Potri.016G013300.1.v4.1 pep chromosome:Pop_tri_v4:16:710496:716945:1 gene:Potri.016G013300.v4.1 transcript:Potri.016G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013300.v4.1 MKRLKNEPSSTRRCKLSHLLLVIGALYLVFLAFKFRHFLEIAAMVSGGDSYVGLDSFSMVKDVEDSDLSKSFFSSVYKDTFHRKLEDNRNQNAPMMPSKEPLEEEKRGGTKTIKPIQYPYGRITGEFMRRRNATRNFSPFERMADEAWLLGLKAWEEVENYDGKEIGQSSLYEGKIESCPLWVSMSGEEFAGGEKLMLLPCGLAAGSSITIVGTPHHAHQEYVPQLTRLRNGDGTVMISQFMIELQGLKSVEGEDPPKILHLNPRLRGDWSRHPVIEHNTCYRMQWGTAQRCDGLPSKKDEDMLVDEHLRCEKWMRDDNVDSKESKTTSWFKRFIGREQKPEVTWPFPFVEGKLFVLTLRAGVDGYHISVGGRHVTSFPYRPGFTLEDATGLAIKGDMDVHSIFATSLPRSHPSFSPQRVLEMSEKWKAHPLPKRPIQVFIGILSATNHFAERMAVRKTWMQSSAIKSSNVVARFFVALNPRKEVNAVLKKEAAYFGDIVILPFMDRYELVVLKTIAICEFGVQNVSAAYIMKCDDDTFVRVDTVLKEIDRTSRSKSLYMGNLNLLHRPLRNGKWAVTFEEWPEAVYPPYANGPGYVISSDIAKFVIAQHGKQSLRLFKMEDVSMGMWVEQFNSSTPVQYSHNWKFCQYGCMENYYTAHYQSPRQMICLWDKLARGRAQCCNFR >Potri.011G152900.1.v4.1 pep chromosome:Pop_tri_v4:11:17983534:17986460:-1 gene:Potri.011G152900.v4.1 transcript:Potri.011G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152900.v4.1 MAMLLQARRILTQTLPRGNSNSSQAFVKLLGSATRGLHDNVPRSKLFDPNATSGKVITCNAAVVRGPKQPFLMETIRVDPPKKMEVRIKILYTSICHTDLSAWKGENEAQRAYPRILGHEAVGLVESVGEGVTDLKAGDHVIPIFNGECGHCPYCTTKTTNLCQTYRVNPFKSVMENDGKCRFSTMNGEPIFHFLNTSTFTEYSVLDSACAVKIDPNAPLKKMSLLSCGVSTGVGAAWNAANVQAGSSVAIFGLGALGLAASEGARARGASKIIGVDINPEKFDKGKEMGMTDFVNPKDSSKPVHQRIREMTSGGVDYSIECAGNVEVLREAFLSTHDGWGKTVVVGIYPTPKMLPLHPMELFDGRSISGTTFGDFKGKSQLPELAKACMNGVVNLDGFITHELPFEKINEAFQLLGDGKALRCLLHV >Potri.002G095300.1.v4.1 pep chromosome:Pop_tri_v4:2:6968462:6971403:1 gene:Potri.002G095300.v4.1 transcript:Potri.002G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G095300.v4.1 MERSTPVRKPHTSTADLLTWSETPPPDSPAVGSASRSTRPHQPSDGISKVVFGGQVTEEEFESLNKRKPCSGYKMKEMTGSGIFAANGENDLAESGSANPTANSKTGLRMYQQAIAGISHISFAEEESVSPKKPTTLPEVAKQRELSGTLESESDAMLKKQISTAKSKELSGHDIFAPPPEILPRPTTVRALALQESIQLGEPSPRNPAGDQMSSEESVVKTAKKIYNQKFNELSGNNVFKGDAPPSTAEKPLSVAKLREMSGSDIFSDGKVESRDYLGGVRKPPGGESSIALV >Potri.002G258501.2.v4.1 pep chromosome:Pop_tri_v4:2:24686383:24688504:-1 gene:Potri.002G258501.v4.1 transcript:Potri.002G258501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258501.v4.1 MACFLACFGSSKERKRRRHSKVQPRVHRKEGYGSPVEATVSVVKDCCPEKPIVSPASEIRDDGSEEKLSLSTRKKVTFNSNVTTYDHVSVEESSDFTLGKEDCGDKREGKEENIAKPSQSQSSSEDSSIASSLCSYPPNHRYQNCRDSDDEMGYEESDIDESDDDDEEEEEEEDGGLDYDDVYEDDETAESTSRMSKLANEENDSDVMNSGLSGNRNFRDRRAAVLNPVENLSQWKIVKAKGKPSLRQQKENLTLDQEPRMSFSSEPGFKELAFSFKAKAGQCNKKPDQEIAVDTSLSNWLGSSECTPVNKPGSIGLDAIAPEKSMSQGSNSPRSFDDRPILGALTVEELKQLSATSSSRRSPSRSPDEMPIIGTVGTYWNHGGSGKDSGSASSYKGIPNTTSKYREVHI >Potri.002G258501.1.v4.1 pep chromosome:Pop_tri_v4:2:24686369:24688546:-1 gene:Potri.002G258501.v4.1 transcript:Potri.002G258501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258501.v4.1 MACFLACFGSSKERKRRRHSKVQPRVHRKEGYGSPVEATVSVVKDCCPEKPIVSPASEIRDDGSEEKLSLSTRKKVTFNSNVTTYDHVSVEESSDFTLGKEDCGDKREGKEENIAKPSQSQSSSEDSSIASSLCSYPPNHRYQNCRDSDDEMGYEESDIDESDDDDEEEEEEEDGGLDYDDVYEDDETAESTSRMSKLANEENDSDVMNSGLSGNRNFRDRRAAVLNPVENLSQWKIVKAKGKPSLRQQKENLTLDQEPRMSFSSEPGFKELAFSFKAKAGQCNKKPDQEIAVDTSLSNWLGSSECTPVNKPGSIGLDAIAPEKSMSQGSNSPRSFDDRPILGALTVEELKQLSATSSSRRSPSRSPDEMPIIGTVGTYWNHGGSGKDSGSASSYKGIPNTTSKYREDKRVNWHSTPFETRLERALNGGDAAPTYSTHTIVR >Potri.006G115300.1.v4.1 pep chromosome:Pop_tri_v4:6:8998702:9002397:1 gene:Potri.006G115300.v4.1 transcript:Potri.006G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115300.v4.1 MAQKQLHELLQDDQEPFQLKNYIADRRCQLKRPSIPKTNLVQVKKRKPISQKHFCKNVCLFSFQNSPDPRKSPLFQCSPPKSPCKSPNAIFLHIPARTAALLLEAAVKVQKQSSSPKTKSQNNGFGLFGTLLKKLTHRNKTRNHEIRTGNHGGKVSVKEILRWNSSVGRGNLSNEIDHRKQEQGQEIIMVDTSINEKCGCERGFNSCCSGHIGRPSSEVWSEKSLDLDFDTSSSTSQSEEDQNVDHFVNKDIIDHGDFASNDKQCFCDSPFHFVLQRSPSTGCRTPDFSSPVTSPSRHIFECKESNGDVESLKKFKEQDEEEEKEEEEEDKEQCSPVSVLDPPFEDDDDGNDDHNEDDGFDLECSYAIVQRAKKQLLQKLRRFEKLADLDPVELERRMAEQEEEEEEEEEVSDLEEEEQRSEDDELISSDREKNIETFILEEIRSKSSFYLPRKIQRDMKRLISDLIIEEGGGNVFYRESMAKRICNRLESWKEVESNTIDMMVGQDFRRELDGWKGNREQVEETALEIELGIVGLLVEELSEELVA >Potri.003G169100.1.v4.1 pep chromosome:Pop_tri_v4:3:17778123:17780433:1 gene:Potri.003G169100.v4.1 transcript:Potri.003G169100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169100.v4.1 MSLNMINSYASTDFEGTRGNGLLGLMQEMQAPASLNFDDISQNRGFVGSGIEGKLGKNKGEKKIRKPKYAFQTRSRVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDEGVVVTTYEGMHSHQIEKSPDNFEHILSQMQIYS >Potri.004G205200.2.v4.1 pep chromosome:Pop_tri_v4:4:21334205:21337169:1 gene:Potri.004G205200.v4.1 transcript:Potri.004G205200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205200.v4.1 MVQQTIDSKSGEHGLGNADNNLSIHDKHFPLVVKKTALRDVQNENRIPKSVGNSPSSKDGGKTMNGIKVSGAKRPSSEDLMYRPVPCYESSTSGAPNFRLVYARGKSEAEVGKRSHPEETAQPKRPQIESTVSTFPALVPMPVAPPIISSGKPSVPLPLGQSSTFSPAESSYLPVGSIVPSSNPKGEKNMHWEERYHQLQISLKKSDESDLDEYVQMLQSASSVELSKHAIEVEKRSIQLSLEEAKEVQRVAILNFLGKSLKNFKAPSAHQSQSEK >Potri.003G104000.1.v4.1 pep chromosome:Pop_tri_v4:3:12778597:12782288:1 gene:Potri.003G104000.v4.1 transcript:Potri.003G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104000.v4.1 MASSQVQCPPFGFSAKYYSSEGGRCVRQISFFEGKAVLNQGVGYSVILGFGAFFAVFTSFLVWLEKRYVGSRHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGISGPFWYASGATIQVLLFGVMAIEIKRKAPHAHTVCEIVKARWGTPAHIVFLAFCFLTNIIVTAMLLLGGSAVVNALTGVNIYAASFLIPLGVIVYTLAGGLKATFLASYIHSVIVHLVLVIFVYLVYTASSELGSPSVIYHRLLEVGNKSRVCQEPFSHDGQSCGPVSGNYKGSYLTMLSSGGLVFGIINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPITESEASHGLVPPATAIALMGKGGSILLLTMLFMAVTSAGSSELIAVSSLCTYDIYRTYINPDASGKKILKVSRAVVLGFGCFMGLLAVILNKAGVSLGWMYLAMGILIGSAVLPIAFMLLWRKANAIGAILGTIIGCVLGVITWLVVTKVEYGRINLDTTGRNAPMLAGNLVSILTGGAIHAVCSFLWPQDYDWDTTKQITMVEKEKNELPAEEFKEEKLIKAKAWIIKWGVGFTVVIVILWPLLTLPAGEFGLGYFTFWAVIAIVWGTIGSAVIIALPLMESWETIQSVCLGMFTNDRLMEKVEEMNIRLHAIILAIPEAERIYLLEEEKAKKKEESEHLA >Potri.005G238400.1.v4.1 pep chromosome:Pop_tri_v4:5:23609510:23610814:1 gene:Potri.005G238400.v4.1 transcript:Potri.005G238400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238400.v4.1 MHKPQRDSFVIQVRGSSIYSEALSDQAPQASKFNFSAMKLFNRFRKILMRLVFSSPTTSSMASKQRSCERFDPPKTSCSSYYSSNSHYSEAIADCIEFFNKSSQEGILDGRKSDVWV >Potri.005G190400.1.v4.1 pep chromosome:Pop_tri_v4:5:19805709:19807084:-1 gene:Potri.005G190400.v4.1 transcript:Potri.005G190400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190400.v4.1 MDASPINRLPQDTLNQIFSSLPLRQIMICRSVCKFFNQMLTSPSFMDLISTQTPLRFLALRPPHHHHHHQRHNSHVSSLPYLHVFDPDQNQWLRFSLSFLPFRSPQPVASASGLVYLWGESPNSLESNRSLVVCNPLTRQFQVLPQLGSAWSRHGSVLVDSVNHRVMVLTELAALYFSNTNKTNSWLTFSANLPSKPRSPILISDSVFALCDVGSRWRSQWKLFTCTLTNLNNSYNNWVCLGRLEWGDIFDIIKRPRLVRGKGNKLLMIGVLKSNFSLNPSCSTILILRLDLARMEWEEAGRMPSEMYKSFQESSKFKIFGGGDRVCLSAKRVGKLALWDDCDGVVWRWIEGVPGGGDGLCRGFVFEASLTALS >Potri.001G176000.1.v4.1 pep chromosome:Pop_tri_v4:1:15190029:15191634:1 gene:Potri.001G176000.v4.1 transcript:Potri.001G176000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G176000.v4.1 MGSNELPSKLPILDFAKEDLKPGTSSWLKACSDVRLALEEYGCFVVEYNRLPLELRDKVFGVLKELFDLPTETKMQNKYEKPLNGYVGQIAKLPLHESMGIDNATSLDATQNFTDLMWPNGNDRFCECVLKYANLAAELDRMVTRMVFESYGVEKYHDSYVESTTYLLRLLKNRPPKVDEHNLGFVTHTDKSFTTILHQNEINGLEVDTKDGKKINVELSPSSFVVIAGDALMAWSNDRVISPSHRVIMNGKIDRYSLALFAFNKGILQVPEELVDEEHPLMYKPLDHIGLLHFYRTEEGYKSKCPIKAYCGV >Potri.006G043232.1.v4.1 pep chromosome:Pop_tri_v4:6:2911982:2913124:1 gene:Potri.006G043232.v4.1 transcript:Potri.006G043232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043232.v4.1 MKDLEFIEELKQLIEKEEMPPPAPMEQRWTACSQSSMSPASSSAEDDIFSWVGIIMHLPTTVARQRKEITDEFFHYRHLTQAELWDKYSAYKLWAKIEVPKDKDELAALQARLRKRFPVDAYNKARKELDPNRILSNNMLDPIFGNKWKSILPWLVSHFILSYPFYFWIHHMYCLLVNLLGASA >Potri.010G132400.1.v4.1 pep chromosome:Pop_tri_v4:10:14860973:14864797:1 gene:Potri.010G132400.v4.1 transcript:Potri.010G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132400.v4.1 MAAAAATLSQCSFLPTLTCMKPNPKRKPIYPLLFPNSYKPISFSTTNPRLRISHTEAILPKRSRRLFVVSGLVDGNSETYPEVESNDLNEESATIDIKLPRRSLLVQFTCNECGERSQRLINRLAYERGLVFVQCAGCERYHKLADNLGLIVEYDLREEISAESNADQV >Potri.007G062402.1.v4.1 pep chromosome:Pop_tri_v4:7:7118548:7119345:-1 gene:Potri.007G062402.v4.1 transcript:Potri.007G062402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cox3 MIESQRHSYHLVDPSPWPISGSLGALATTVGGVMYMHSFQGGATLLSLGLLFILYTMFVWWRDVLRESTLEGHHTKVVQLGLRYGFILFIVSEVMFFFAFFWAFFHSSLAPTVEIGGIWPPKGIGVLDPWEIPFLNTLILLSSGAAVTWAHHAILAGKEKRAVYALAATVLLALVFTGFQGMEYYQAPFTISDSIYGSTFFLATGFHGFHVIIGTLFLIICGIRQYLGHLTKEHHVGFEAAAWYWHFVDVVWLFLFVSIYWWGGI >Potri.011G116600.1.v4.1 pep chromosome:Pop_tri_v4:11:14753452:14754535:-1 gene:Potri.011G116600.v4.1 transcript:Potri.011G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116600.v4.1 MDISTVSPKTPTRNNPMEENHHKKTSSREDIFSFPSTPSTLDQDSDFEFGCLTPDSPSSDPNKSSPADHLFFNGRLLPHSFPVLQQQPATMLFIDNIYRAPSRASSVSSKDSLMSSRSNSTNSSRSSVSSARTSSSDNSERRRLYNITSSQTPLASKAVIAQLYGSSQRWQHIMPVPAAALKRADSRRKSGRILVKEGLSNNKKQIKKGKRDRSGFWRRFFRSFLVACRECHAMEPSTKDDILDGNIKL >Potri.002G202600.1.v4.1 pep chromosome:Pop_tri_v4:2:16680217:16682199:1 gene:Potri.002G202600.v4.1 transcript:Potri.002G202600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202600.v4.1 MASKLISLLVIAAVLPFFSSPSLANVSPSSLVSPGTLCNDTPDPSYCKSVLPKQSTNVYDSARLCVRKSLSQSRTFLNLVDKYLLRRSSLSITATRALEDCRFLANLNIEFLLSSFQTVNATSKTLPALKADDVQTLLSAILTNQETCLDGLQATSSAWSVRNGLSVPLSDDAKLYSVSLAFFTKGWVPKMKKRITWQPKSKQLAFRHGRLPFKMSARNHAIYESVSRRKLLQAENNDVEVSDIVTVRQDGQGNFTTINDAIAAAPNKTDGSNGYFMIYVTAGIYEEYVSIAKNKRYLMMVGDGINQTVITGNRSVVDGWTTFNSATFAVVGQNFVAVNITFRNTAGAVKHQAVALRSGADLSTFYSCSFEGYQDTLYTHSLRQFYRDCDIYGTVDFIFGNAAVVFQNCNLYPRLPMSGQFNAITAQGRKDPNQNTGTSIHNCNIAAADDLASSNMTVQTYLGRPWKEYSRTVYMQSSMDTSINPAGWQIWNGDFALNTSYYAEYNNTGPGSDTTNRVTWPGFHVINATDAANFTVSGFLLGNEWLPQTAVPFSSDLI >Potri.008G083900.1.v4.1 pep chromosome:Pop_tri_v4:8:5243830:5249345:-1 gene:Potri.008G083900.v4.1 transcript:Potri.008G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083900.v4.1 MAFSTLLRSTPAAPLIEASRSDFSPSPSDRFEVSSIRFNSSKSIFGASVPTGSSSLHTCSGRSIQPIKATATEMPPTVLRSRADGKTKIGINGFGRIGRLVLRVATFRDDIDVVAVNDPFIDAEYMAYMFRYDSTHGVFNGTIKVLDDSTLEINGKQIKITSKRDPAEIPWGDFGAEFVVESSGVFTTLEKAAAHKKGGAKKVVISAPSADAPMFVVGVNEKTYKPNMDVVSNASCTTNCLAPLAKVVHEEFGILEGLMTTVHATTATQKTVDGPSMKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKYASEGPLMGILGYTDDDVVSNDFVGDSRSSIFDAKAGIGLSASFMKLVSWYDNEWGYSNRVLDLIEHMALVAAHN >Potri.009G142800.1.v4.1 pep chromosome:Pop_tri_v4:9:11361957:11365966:-1 gene:Potri.009G142800.v4.1 transcript:Potri.009G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142800.v4.1 MGCCCSSILAETHPEKDHKKTHQQHPLNPSGSIVLDPATGIPSFCEFSFPDLKTATNNFSPDNIVSESGEKAPNLVYKGRLQNRRSIAVKKFTKMAWPDPKQFAEEAWGVGKFRHKKLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSSEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSHAIDMIRGKNITLLMDSHLEGNFSTEEATVVVGLASQCLQYEPRERPSTKDLVTTLAPLQTKPDVPSYVMLGISKHEEAPATPQRPLSPMGEACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLESRKRGDFAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCFLLCDQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLDMHNDAADMLNEAAALEEKKQRGGKGS >Potri.001G325500.1.v4.1 pep chromosome:Pop_tri_v4:1:33447844:33451335:-1 gene:Potri.001G325500.v4.1 transcript:Potri.001G325500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325500.v4.1 MKHYYYHGSRRRRVTVHSLLIVIAIFTGLGLLILTLKSVDPPEPTRFLPNIKEESSSSSSVGPVIARNIGGGGGAKSCATVEEMGENLKGDRVWKESLRVRNIIADHFDSNGVSRVRDLPAEQFCRHGFVLAKASEAGLGNEMYKILTAAALSVMLNRSLIIGQTRGKYPFGDYISYSNHSFTMQEVKHLWRRNGCVKNYQRHLVMRIDDFGKPTKTNVLCSNWRKWDQPIIWFQNTTDAVASQFFLKNVHPEMRNVASKLFGQPEQLQARPNVFGELMRILISPSENVEEVVNWVLHDGVDPDISLHMRMLMNRSVRAPQAALNCIRRALRKLHQISRPRVVLVTDTPSFVKSILPNISEFAEVLHFDYKHFQGNISRAVNTSRSLEFRVKDWGPAPRWVAFVDFFLASRAKHAVVSGAHRRVGTTYAQLIAALAAANHLGENSNGSSFSFLSSFQSNLLADGLRLQVGWGHVWNRFAGPLSCENQSNQCAHTPLLPPAWWDGLWQSPIPRDIRRLEAFGIKLSGFGTIDEKYLQSFCSSKKNTVNTALIL >Potri.008G166000.1.v4.1 pep chromosome:Pop_tri_v4:8:11447171:11448303:1 gene:Potri.008G166000.v4.1 transcript:Potri.008G166000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166000.v4.1 MDGDRTKSKDGGGEVKYRGVRKRPWGKFAAEIRDSTRHGARVWLGTFNTAEEAARAYDRAAYAMRGHLAILNFSNEYPNMAGSASVGSSGSTPYFSSGYSGPSSSSPSMLREVFEFECLDDKLLEEMLEQEEKKSKKN >Potri.018G012100.2.v4.1 pep chromosome:Pop_tri_v4:18:777679:783225:-1 gene:Potri.018G012100.v4.1 transcript:Potri.018G012100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012100.v4.1 MQANFVIELGEKAQVPIISFSASSPSLTSIRSPFFFRATQNDSTQVNAISALVQAFGWREAVPIYIDNEYGEGVIPYLTDALQAVDARVPYRSVISPSATDDQIVSELYKLMTMQTRVFIVHMFPSLGARVFAKAKEIGMVSEGYAWIMTDGLTAEFLSSPNASVTNTMQGALGVKPYVPRTKDLETFRIRWKRKFQQDNPDIVDAELNIFGLWAYDAATALALAVEKAGTANLGFQKANVSSNSSTDLATLGVSLNGPNLVQALSNITFKGLTGDYLFDNGQLQSSAFQIINVNGNGGREIGFWTSTKGIVKTLNSANNMTAYSGSNSDLSTVIWPGDTTSVPKGWEIPTNGKKLRIGVPVKDGFSEFVKVTRDPSSNTKTVTGYSIDVFDSVVKALPYALPYEYIPFAKPDGETAGTYNDLIYQVYLKNFDAVVGDTTIVFNRSQYVDFTLPYTESGVSMIVPIVDNNSKNAWVFLRPLTWDLWVTSFCFFIFIGFVIWILEHRINEDFRGPALHQAGTSFWFSFSTMVFAQREIVVSNLSRAVVIIWCFVVLILTQSYTASLTSLLTVQQLRPTVTDVHELVKKGEYVGYQEGSFVLGILLDLGFDESKLIVYNSTEQCDDLLSKGSGNGGIAAAFDEVPYMRLFLSKYCSKYAMIDPTFKTDGFGFAFPKGSPLVPDVSRAVLNMTEGDKMKEIENAWFGKQSNCPYSSTSVTSNSLSLKSFWGLFLIAGVASLLALIIFMVMFVYKERKMLRPLNSRISTRSKVRNFFRIFIQRDLKSHTFRKSGLNDSNGTSLPSMGAPSPSAYSVQTSYFPGDGDQSSTEFVDSSPDRQTSQEVVINIDQLTNPNQERLAAFEVEHDHN >Potri.018G012100.1.v4.1 pep chromosome:Pop_tri_v4:18:777625:783229:-1 gene:Potri.018G012100.v4.1 transcript:Potri.018G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012100.v4.1 MKKIPSKTFLSLVFFFLSLKIWFIEVLVAQNTATIPVNVGVVLDLEFSGGNIDLTCINMALSDFYATHSDYKTRLVLTTRNSGNDVVRAAAAALDLIKNVEVQAIIGPTTSMQANFVIELGEKAQVPIISFSASSPSLTSIRSPFFFRATQNDSTQVNAISALVQAFGWREAVPIYIDNEYGEGVIPYLTDALQAVDARVPYRSVISPSATDDQIVSELYKLMTMQTRVFIVHMFPSLGARVFAKAKEIGMVSEGYAWIMTDGLTAEFLSSPNASVTNTMQGALGVKPYVPRTKDLETFRIRWKRKFQQDNPDIVDAELNIFGLWAYDAATALALAVEKAGTANLGFQKANVSSNSSTDLATLGVSLNGPNLVQALSNITFKGLTGDYLFDNGQLQSSAFQIINVNGNGGREIGFWTSTKGIVKTLNSANNMTAYSGSNSDLSTVIWPGDTTSVPKGWEIPTNGKKLRIGVPVKDGFSEFVKVTRDPSSNTKTVTGYSIDVFDSVVKALPYALPYEYIPFAKPDGETAGTYNDLIYQVYLKNFDAVVGDTTIVFNRSQYVDFTLPYTESGVSMIVPIVDNNSKNAWVFLRPLTWDLWVTSFCFFIFIGFVIWILEHRINEDFRGPALHQAGTSFWFSFSTMVFAQREIVVSNLSRAVVIIWCFVVLILTQSYTASLTSLLTVQQLRPTVTDVHELVKKGEYVGYQEGSFVLGILLDLGFDESKLIVYNSTEQCDDLLSKGSGNGGIAAAFDEVPYMRLFLSKYCSKYAMIDPTFKTDGFGFAFPKGSPLVPDVSRAVLNMTEGDKMKEIENAWFGKQSNCPYSSTSVTSNSLSLKSFWGLFLIAGVASLLALIIFMVMFVYKERKMLRPLNSRISTRSKVRNFFRIFIQRDLKSHTFRKSGLNDSNGTSLPSMGAPSPSAYSVQTSYFPGDGDQSSTEFVDSSPDRQTSQEVVINIDQLTNPNQERLAAFEVEHDHN >Potri.002G199900.1.v4.1 pep chromosome:Pop_tri_v4:2:16245326:16246344:1 gene:Potri.002G199900.v4.1 transcript:Potri.002G199900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G199900.v4.1 MAKRTASVPKKNTTTSKPPSALPPYFEMITEAITTLKDRKGSSQPAIARFIEEKYKKSSLPSSFKKVLSVQLKKFVTSERLVKCKNSYKISSTEKLELDIKGTQKNKGASKRALATSEKKAAKKISEKGVQTKRLSQVKTPDVLKKGKKEVKAGKMKRLSQVKTPDGFKKLKNSTPMKRKDLKAGSSSNSRAHKK >Potri.008G087600.4.v4.1 pep chromosome:Pop_tri_v4:8:5451126:5452932:-1 gene:Potri.008G087600.v4.1 transcript:Potri.008G087600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087600.v4.1 MQKYRLGKSQQSLFSIESEQEDDKEIQSSDDHFKESAVTRSSRGICSDGNHHPINESFQIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQTVLKKAQETLAGYNSSSMGIELAKAELCRLVSMVNSGCPSSSISELTETGGSILKDIERTQMRNTVCSMESSLTSSESSGRKEDMQKENEIHDTNKSNTAFVELPLMDIHPQENLLDNDSSNQGKKRSGSIISDGVSVEQPLARRLKNGDQLRLGTFDLNS >Potri.008G087600.2.v4.1 pep chromosome:Pop_tri_v4:8:5451127:5452933:-1 gene:Potri.008G087600.v4.1 transcript:Potri.008G087600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087600.v4.1 MGLQHQSMNLVLSTDAKPRLKWTQELHQRFVEAVNQLGGADKATPKSLMRVMGIPGLTLYHLKSHLQKYRLGKSQQSLFSIESEQEDDKEIQSSDDHFKESAVTRSSRGICSDGNHHPINESFQIAQALQMQMEVQRKLHEQIEVQRHLQLRIEAQGKYLQTVLKKAQETLAGYNSSSMGIELAKAELCRLVSMVNSGCPSSSISELTETGGSILKDIERTQMRNTVCSMESSLTSSESSGRKEDMQKENEIHDTNKSNTAFVELPLMDIHPQENLLDNDSSNQGKKRSGSIISDGVSVEQPLARRLKNGDQLRLGTFDLNS >Potri.010G002200.2.v4.1 pep chromosome:Pop_tri_v4:10:234228:236170:-1 gene:Potri.010G002200.v4.1 transcript:Potri.010G002200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002200.v4.1 MKQERDHQFECGICGTEDRYLLHNVRHRTPSYRRLCTNCLLKDHRGLFCPFCFIVYEEPLQNDRSMCNKCPSIAHKPCIPSNYPHHTPFICPSCSSPSFSFFNPTRNGDSSSGRFIDKDSARALVAAAKIASISMTKAAALARVEAEKRVREATYAKKRAREALERLAYLAAKENEMMEDIKGGGVDDAANKGGNSNGVYLGYPPSQITGQQQQQQGTLK >Potri.010G002200.1.v4.1 pep chromosome:Pop_tri_v4:10:234228:236169:-1 gene:Potri.010G002200.v4.1 transcript:Potri.010G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002200.v4.1 MKQERDHQFECGICGTEDRYLLHNVRHRTPSYRRLCTNCLLKDHRGLFCPFCFIVYEEPLQNDRSMCNKCPSIAHKPCIPSNYPHHTPFICPSCSSPSFSFFNPTRNGDSSSGRFIDKDSARALVAAAKIASISMTKAAALARVEAEKRVREATYAKKRAREALERLAYLAAKENEMMEDIKGGGVDDAANKGGNSNGVYLGYPPSQITGQQQQQQGTLK >Potri.017G108700.1.v4.1 pep chromosome:Pop_tri_v4:17:11710437:11715096:1 gene:Potri.017G108700.v4.1 transcript:Potri.017G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108700.v4.1 MGSLKEIVDKKQKKKRNKKANDSDFSEKDVMIKTEDRRNKVNKSAEKKKKRKREKGNNVDGGDTIWISKEDDETKAEGEKKRNKLRKKTKRSGEQNNAVVGKSDQLGAESEDGFNELKGKSKKSKKKKREHDTSKEDENMLEKRGETNQDEACCISSGDEDYSKGMKKWLTEYHQSRPGLKVLQQRLDEFIIAHEEKLEQERKEREAQAAEGGWTVVKHHKGRKKTTDSESGITVGSVAPAAVENQMTKKKPKEVGLEFYRFQKREAQRSEIMALRSKFEEDRKRIQQLRAARKFRPY >Potri.014G158000.1.v4.1 pep chromosome:Pop_tri_v4:14:11164029:11168151:1 gene:Potri.014G158000.v4.1 transcript:Potri.014G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158000.v4.1 MSMGNDTTWVGKKPIRRIGGMSDALSIAADLGFSVASPPSQEQLEKLSTTSGEKGDDLIRVLRELTAVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIDTLSRITTILKDVIHNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAAGDYGALTASAADFQWSQNFKESPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQNLRVGHLGKDSSERVFGDSHCVTPPWRNDSSFDDLAMKTVRRQELERQEACDGSGDGGGVHQVDDTSHRRLSWPPSVKHNGI >Potri.006G053600.1.v4.1 pep chromosome:Pop_tri_v4:6:3765559:3766888:-1 gene:Potri.006G053600.v4.1 transcript:Potri.006G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053600.v4.1 MAPSNNTRRSTRYKRQEVLTKRDETSRDLHFSHSTTATSSKESCNEGIVGCSTPKAQRFKIPETLSCPPAPMKRRVTTKCSSKKSPIAFFASPDIELFFFLAFHNIPT >Potri.015G075300.1.v4.1 pep chromosome:Pop_tri_v4:15:10140459:10144316:1 gene:Potri.015G075300.v4.1 transcript:Potri.015G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075300.v4.1 MPGIVMDGINEEAIVNEMNGNSVPMKENSVPNKSPRSASSPHGLHSAGLDPPVDAVAVHGVAVDGVVDTSIEQLYENVCDMQSSDQSPSRHSFASDGEESRIDSELCHLVGGEMREVEIMEEEEVDKPEHDTRSNSSSKKGSSSGSKKSGKLEKIKSASTKSASSSSSKKQLESEASSKLSPKGKSPPEKPPIDKRNDKNLKKGNVGNRLMKKRRDSPPGGVKLLNGTKDESGLDNPDLGRFLLKQARDLVSSGDNPQKALELALRASKSFEICANGKSSLELVMCLHVTAAIHCSIGQYREAIPILEHSIEIPVPEEGQEHALAKFAGYMQLGDTYAMLGQVENSTNCYSTGLEVQKKVLGETDPRVGETCRYLAEAHVQALQFDDAQMVCQMALDIHRENGSPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQESEVASIDCGIGDAYLSLSRYDEAVFAYQKALTAFKTTKGENHPSVASVFVRLADLYNKTGKMRDSKSYCENALRIYEKPVPGIPPEEIASGLSDVSAIYESMNELDQAIKLLGKALKIYNDAPGQQSTIAGIEAQMGVMYYMMGNYSESYNSFKNAISKLRASGEKKSAFFGIALNQMGLSCVQRYAINEAAELFEEAKIILEQECGPYHPDTLGVYSNLAGTYDAMGRLDDAIEILEYVVEMREEKLGTANPDVVDEKKRLAELLKEAGRVRSRKARSLENLLDGNSHDINKDGITVS >Potri.015G075300.4.v4.1 pep chromosome:Pop_tri_v4:15:10140399:10144351:1 gene:Potri.015G075300.v4.1 transcript:Potri.015G075300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075300.v4.1 MPGIVMDGINEEAIVNEMNGNSVPMKENSVPNKSPRSASSPHGLHSAGLDPPVDAVAVHGVAVDGVVDTSIEQLYENVCDMQSSDQSPSRHSFASDGEESRIDSELCHLVGGEMREVEIMEEEEVDKPEHDTRSNSSSKKGSSSGSKKSGKLEKIKSASTKSASSSSSKKQLESEASSKLSPKGKSPPEKPPIDKRNDKNLKKGNVGNRLMKKRRDSPPGGVKLLNGTKDESGLDNPDLGRFLLKQARDLVSSGDNPQKALELALRASKSFEICANGKSSLELVMCLHVTAAIHCSIGQYREAIPILEHSIEIPVPEEGQEHALAKFAGYMQLGDTYAMLGQVENSTNCYSTGLEVQKKVLGETDPRVGETCRYLAEAHVQALQFDDAQMVCQMALDIHRENGSPASLEEAADRRLMGLICETKGDHEAALEHLVLASMAMVANGQESEVASIDCGIGDAYLSLSRYDEAVFAYQKALTAFKTTKGENHPSVASVFVRLADLYNKTGKMRDSKSYCENALRIYEKPVPGIPPEEIASGLSDVSAIYESMNELDQAIKLLGKALKIYNDAPGQQSTIAGIEAQMGVMYYMMGNYSESYNSFKNAISKLRASGEKKSAFFGIALNQMGLSCVQRYAINEAAELFEEAKIILEQECGPYHPDTLGVYSNLAGTYDAMGRLDDAIEILEYVVEMREEKLGTANPDVVDEKKRLAELLKEAGRVRSRKARSLENLLDGNSHDINKDGITVS >Potri.006G241600.1.v4.1 pep chromosome:Pop_tri_v4:6:24321965:24326275:1 gene:Potri.006G241600.v4.1 transcript:Potri.006G241600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241600.v4.1 MNPELTSPSSSSDRRISVLARHLVGVEMDPQNDSISAFPTSGSDSNSVFSHVVRGPEDPILGVTVAYNKDPSPVKLNLGVGAYRTEEGKPLVLNVVRKAEQLLVNDRSRVKEYLPITGLAEFNKLSAKLMFGANCPAIQENRVTTVQCLSGTGSLRVGAEFLAKHHHQRTIYIPQPTWGNHPKIFTLAGLSVKTYRYYDPATRGLNFQGLVEDLNSAPSGAIVLLHACAHNPTGVDPTSQQWEQIRKLMRSKGLMPFFDSAYQGFASGSLDADAQPVRMFVADGGELLLAQSYAKNMGLYGERIGALSIVCKTADVAGRVESQLKLVIRPMYSNPPIHGASIVAAILKDRDLYNEWTIELKAMADRIISMRQKLFEALHARGTPGDWSHIVKQIGMFTFTGLNSKQVAFMTKEYHIYMTSDGRISMAGLSSKTVPHLADAMHAAVKRVV >Potri.005G173300.3.v4.1 pep chromosome:Pop_tri_v4:5:17881561:17887109:-1 gene:Potri.005G173300.v4.1 transcript:Potri.005G173300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173300.v4.1 MDREANLLVPSAPPQRNLVRPVTNAADTTSSSSSSSSPPDFLRHVQAAFKRHRPLGIMQTSNSTKPRRTLIPKREASRTVASNAGPTTGTKNSQDVVPLSKDSTLPKKNPVTVIRESHEDASISPPSFPGTITKTFDESFNPFDAHREQPKSAIDTKENNPMPLTCIESQLVEGKRKVQFSTVNNTISQEMEWDVSNQVEVSNVINDETKQQNMESDLTLRSDGAVPSLAKRTMVIQNQLHQLRNFLSQPATQSSVVGPSCATTTSVHSTSAPMLNSMTYCSRESGSQAAVEPLRDANANSQSFTPGNLEQLSPPTLKDTSGMLIDLRAAATRPSTSSIHSQFKDLPKEQQRGVPEVSDIANNPSLVVDRSTEDIEPADDGAAVQSQPPMSKNPSSDVKLEPPKHEKQEKVSSSKGASVPRKRNLDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYGTAYGFCQEILYLNKLKGKNNIIQLIDYEVTDKTLLHEVMSGSVNNKDGRVKDDGCIYMVLEYGEIDLAHMLSQKWKEMDSPNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSAYKTFWAKFKVITDPNHEITYEPVSNPWLLDLMKRCLAWERNERWRIPQFLQHPFLVPPVPTQQSESQNQGCELLQLVAETCGSDQEASMLCHELQQLLNPGTLISESLTSRDQQYKLLSQMSKLCFQLRECLAKSEGF >Potri.017G018801.1.v4.1 pep chromosome:Pop_tri_v4:17:1400748:1406357:-1 gene:Potri.017G018801.v4.1 transcript:Potri.017G018801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018801.v4.1 MAPPLLEKLMECAKAIEDGDLKHADSLFKEIGLESSTEANLATRKVVKYFAEALVRRLYKLYPRNPMPLVRFREDMDILGCKFEPFLSFASYTIMPPFYDALRGKKQVHIIDFSVAVDIWQHATLMKVLASELGSRLSYRITFVGPKLSKHLGYLKLISLILTKTAENHQIDFEYGEYLANSVDEIVGATLQLGRRNKEEAVVVEWEFELHKLLAVPSDKFNLVMSRLKDLKPEVMVIVEQEADHNSPDLMDRLGKSFKYYSVMFDSLEEDKFEKLEDYRVLWERNFRRQISKVVAEEGIGYVERHETWAQWRARLFRAGFHPARIMFRETMLFNNKTNQYRIEEKNRRPLLCRLDYPFAISSAWKPDLTHDESISMEIGDLGSMLGSFNMAQDASRESGKASKSVSHEDDADDATIMMRGSIWSTECFSINQIAASAELFDILEYVCHVHDLPMALTWISDRREDGTNSREKFRLHIVDTACFVNDVGMKGFVEACVEGPPLEEGQGIAGKALQSKMQFVPEVADLDAIDYPFLHVAWEFGLHAVLAIKLASTYMSSVDYILELVFPLETRELSEQLVLMKEIISTLTKNCGNAWRLWGNRAGIEKAGKSGETAAIVSGSSPQGFSDTGGLNTEDITITLDSCLSDGHGEQEVEGQTRGIGEQARTTGITLPKSPHIPRPIKPPSSYKLRSKVWIDFYKLRDENGAEWAICKHCKKRYRGESTRGTANLLKHLRNCQKKREAEQRTPKDQPVPFMVIEADSLEHFELIDNIFSPSLDETIDRLMSSSRKQQGNSEHQMPRHQAGPFKVIKSTSPEDLKLINYIFYSSLDESEIVVHCNHNYLTRSQLRTLRPQTCLDDNVISVMSDALTLAERRKKKGYINWYLPIFFSECAYDTSECISFAKKHMFRENYMSALFSCEKMYVPVFDKERRHFYLFVLHMKKQVVEIWDSLAKSSGSSVDKRLPNMLAILDILFEDDIQQNYPDGWSFASFSVDRSPNVPQQTNGYDCGVYVIKFMLAPEEATQPDFVFDSDTERLDVVLRLLDGNVNSCRNELAAKAEAYFLRSSGTNDSLRIYVQNKDEETANKYSMLKAINANVNPALNQM >Potri.002G131000.1.v4.1 pep chromosome:Pop_tri_v4:2:9936030:9937952:-1 gene:Potri.002G131000.v4.1 transcript:Potri.002G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G131000.v4.1 MIIQATTTSNFGSFPICPTTRHHSQSRKIIPLNLVSSQKGQEFTSVTHKGDKMCECFDLHKELVPYGDAWNWQKVIVREKSWLIERNEECPDTLIVLQHQPVYTMGTGSSIEYLNFDIKNAPFEVYRTERGGEVTYHGPGQLTMYPIINLRNHKMDLHWYLRELEEVVIRVLSSTFSIKASRIEGLTGVWVGDQKLAAIGIKVSQWIAYHGLALNVTTDLAPFNLIVPCGIRNRKVGSIKGLLEESCSNAKAHHFDYSQLIDITSQSLIREFSEVFQLKIQQRSLEFLVKKPESL >Potri.003G077900.1.v4.1 pep chromosome:Pop_tri_v4:3:10543380:10545884:1 gene:Potri.003G077900.v4.1 transcript:Potri.003G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077900.v4.1 MESGLYSAFKSTSMLEYLEEDQELKPASSLPAIPQPQTPREPMEFLSRSWSLSASEISKALAQKQKEFFTEKNPDTFPETIVAQQSSGKVVNSKGGSRTGSIGKWFHHKEFSSSAVKKKDKARTENAHMHSAVSIAGLAAALAAVTAAGNSSGSSSKMSMALASAAELLASHCIELSESAGADHDCVASVVRSAVDIQSPGDLMTLTAAAATALRGEAALKSRLPKEARRNAAISPYDRGVADTHWTSSSNGPLEEQGPPCVGELLQHTKKGVMRWKHVTVYINKKSQVLIKIKSKHVGGALSKKQKGVVYGVCDETTAWPYRKERETGTEEVYFGIKTAQGLLEFKCKNKIHKQRWVDGIQSLLRQVSSVEETDHSLTCLSINDGA >Potri.003G115000.1.v4.1 pep chromosome:Pop_tri_v4:3:13687012:13687455:-1 gene:Potri.003G115000.v4.1 transcript:Potri.003G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115000.v4.1 MGKDLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIIAEENLTAPFDFPRFLDLMAKHMKAEPFDRQLRDAFKVLDKDNTGFVSVADLRHILTSIGEKLEVAEFDEWIREVDVGSDGRIRYDDFIARMVAK >Potri.006G057900.1.v4.1 pep chromosome:Pop_tri_v4:6:4098017:4099969:1 gene:Potri.006G057900.v4.1 transcript:Potri.006G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057900.v4.1 MATTDMFYYLLFLLWFVTALLAHFFIKTFLRSRSQNNLPPSPPALPIIGHLHLIGSVLAKSFQTLAVRYGPLMQIRLGASTCVVASNAVVAKEIFKTQDINFSSRPEFGSSEYFIYRGSRFVTAQYGDYWRFMKKLCMTRLLSVPQLEKFTDILDEEKVKLVESVMGCAREGKLCDLSGEFTALTNNTICRMTMSTRCSGSNNDADKIERLVKTCLQLAGKLSLGDILGPFKIFDFSGNGKKLVGALQAYDRLVERIFKEHEEKADKGFKEGERKDLMDILLEIYNDPTAEIKLSKNDIKSFLLDLFFAGTDTSATAMQWAMGELINNPKAFKRLRDEINTVVGPNRLVKESDVPNLPYLKAVMRETLRLHPSAPLIIRECAEDCKVNGSVVKAKTRVLVNVYAVMRDPESWANPDEFMPERFLESSEEKIGEHQMEFKGQNFRFLPFGSGRRGCPGASLAMMIMHAAVGALVQCFDWKIKDGKEVDLTLGPGFAAEMAHPLVCYPIKHMNAY >Potri.013G013000.5.v4.1 pep chromosome:Pop_tri_v4:13:853303:857718:1 gene:Potri.013G013000.v4.1 transcript:Potri.013G013000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013000.v4.1 MKVLKVCVFVRLNSRMKPVQQERQHNFLRLQLVRCHRELKIDKPISSFSSDTWKKVTHLYCEFGTRGLMLEVRKHGGGCFKTSKLEDSKTFLWNDLLRAPSLTLETHLDDKQARAVASITPPAQAPYLLKCVPDKVTDDSGAMVSDVILRMNNYKPQEGRWLSRTVLDHAGRECFVVRMRVAGGFWRRGDETPSAVKWEDRIIEIREGSWSYVAGSIGRAPEKIVGTATPREPPEHWQAAWCFSTGDELLISWESSASMSDLNFCLRNQKSSDSLVKLLKGKKMQYRARKISSKSKEHEKRENTEETDEEDEDEEGFLTLVRFTEDNPIGRPTALLNWKLLIVELLPEEDAVFVLLLCISILRSISEMRKEDVGSLLIRRRLKEAKLGARDWGSVILHPSSFSSTISSPYLQPWYWNAKSVIAPDGGDNVTKQPAVSHSPVEGGDKLYKKGIMA >Potri.013G013000.1.v4.1 pep chromosome:Pop_tri_v4:13:853367:858364:1 gene:Potri.013G013000.v4.1 transcript:Potri.013G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013000.v4.1 MSATRNRTHESSDVLSTRSLSEISEVETVRLSVDLVSASRKNLGLLRTVSESPWLHERATILEAIRRYDELWMPLISDLMEGSSPPMVLPPLDVEWVWFCHTLNPVSYRKYCEKRFSKLIGKPAIFYKENEEYSLMRCEELWMKRYPNESFENEVDITSSNLQDLHVAQDHEDLLNEVEKQRHVYSKFSWPYMSEIVYLIAARQRYKGFLYVLQRFADDCSSRLLPSLDILLMWVTHQSYPTVYAEDLKEMEGDMGKIVGLWETVRSKEVEETKKLWERAFDQPYVKAGGAIEFGGVASIVKPPVYWEVSDTDVNTKYKSLLPRFLLEVCVFVRLNSRMKPVQQERQHNFLRLQLVRCHRELKIDKPISSFSSDTWKKVTHLYCEFGTRGLMLEVRKHGGGCFKTSKLEDSKTFLWNDLLRAPSLTLETHLDDKQARAVASITPPAQAPYLLKCVPDKVTDDSGAMVSDVILRMNNYKPQEGRWLSRTVLDHAGRECFVVRMRVAGGFWRRGDETPSAVKWEDRIIEIREGSWSYVAGSIGRAPEKIVGTATPREPPEHWQAAWCFSTGDELLISWESSASMSDLNFCLRNQKSSDSLVKLLKGKKMQYRARKISSKSKEHEKRENTEETDEEDEDEEGFLTLVRFTEDNPIGRPTALLNWKLLIVELLPEEDAVFVLLLCISILRSISEMRKEDVGSLLIRRRLKEAKLGARDWGSVILHPSSFSSTISSPYLQPWYWNAKSVIAPDGGDNVTKQPAVSHSPVEGGDKLYKKGIMA >Potri.007G001800.4.v4.1 pep chromosome:Pop_tri_v4:7:111169:116174:-1 gene:Potri.007G001800.v4.1 transcript:Potri.007G001800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001800.v4.1 MTTKSLSIPLDFPFPSPKAHPLPTLHPKIQFPCKFPLFSLPQRIQFLRNQCPPKCQNPNDNNNPVPYTSQQEAHFTETQEAISQFLQEFGISAAESNSIALNSPKYAKMLFDSVKDLEEWTSWKSGGDGNEFATLGFKEKVAYMAKEKGDNGKVAFLESLGLSLSSSMNVARYLHGESLPNLVHKVKYMKEILFSDSDDKRLVGKYARCMMMNLSIPIDEDLQQTLSLFEKVEARRGGLDMLGSSEVTFRCLVESFPRILLLPLDLHLKPMVEFLESIGVPKEHMREIFLLFPPVIICDITGINRKVQALKKVWAFDKDFGKMLLKYPWILSTAIQKNYKEIVSFFHMEKVDKSSVDTAIRSWPHILGCSTSKLEVMVEQLAELGIRNKKLGQVISKSPQLLLRKPQEFLQVCNY >Potri.007G001800.1.v4.1 pep chromosome:Pop_tri_v4:7:110882:116175:-1 gene:Potri.007G001800.v4.1 transcript:Potri.007G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001800.v4.1 MTTKSLSIPLDFPFPSPKAHPLPTLHPKIQFPCKFPLFSLPQRIQFLRNQCPPKCQNPNDNNNPVPYTSQQEAHFTETQEAISQFLQEFGISAAESNSIALNSPKYAKMLFDSVKDLEEWTSWKSGGDGNEFATLGFKEKVAYMAKEKGDNGKVAFLESLGLSLSSSMNVARYLHGESLPNLVHKVKYMKEILFSDSDDKRLVGKYARCMMMNLSIPIDEDLQQTLSLFEKVEARRGGLDMLGSSEVTFRCLVESFPRILLLPLDLHLKPMVEFLESIGVPKEHMREIFLLFPPVIICDITGINRKVQALKKVWAFDKDFGKMLLKYPWILSTAIQKNYKEIVSFFHMEKVDKSSVDTAIRSWPHILGCSTSKLEVMVEQLAELGIRNKKLGQVISKSPQLLLRKPQEFLQVVLFLEDLGFDRETVGQVASRCPEIFAASIEKTLKKKIEFLDRIGVSKDHLPRVIKKYPELLVSDVNRTILPRMKYLKDVGLSKKDIAFMVRRFSPLLGYSIDEVLRPKYEFLVNTMKKPVEDIVGYPRYFSYSLEKKIMPRFWVLKGRNIECSLKDMLAKNDEEFAADFMGF >Potri.007G001800.5.v4.1 pep chromosome:Pop_tri_v4:7:111140:116138:-1 gene:Potri.007G001800.v4.1 transcript:Potri.007G001800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001800.v4.1 MLLGTSMESHFLTLFTSDDKRLVGKYARCMMMNLSIPIDEDLQQTLSLFEKVEARRGGLDMLGSSEVTFRCLVESFPRILLLPLDLHLKPMVEFLESIGVPKEHMREIFLLFPPVIICDITGINRKVQALKKVWAFDKDFGKMLLKYPWILSTAIQKNYKEIVSFFHMEKVDKSSVDTAIRSWPHILGCSTSKLEVMVEQLAELGIRNKKLGQVISKSPQLLLRKPQEFLQVVLFLEDLGFDRETVGQVASRCPEIFAASIEKTLKKKIEFLDRIGVSKDHLPRVIKKYPELLVSDVNRTILPRMKYLKDVGLSKKDIAFMVRRFSPLLGYSIDEVLRPKYEFLVNTMKKPVEDIVGYPRYFSYSLEKKIMPRFWVLKGRNIECSLKDMLAKNDEEFAADFMGF >Potri.003G149800.1.v4.1 pep chromosome:Pop_tri_v4:3:16315276:16318718:-1 gene:Potri.003G149800.v4.1 transcript:Potri.003G149800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149800.v4.1 MAEAAVSFVLERLADLFDELEFHTDVHKEVERLQDELRRIRCFLRDADAKQDEDERVRNWVSDIRDVAYDAEDLIDRFIMNNDPLKKKKKNHFIKKCTSYVKGWKQRSKIAEDLMAIRSRLQDISASRETYGIQNVGEGTTAAGETLRKLRRSSPRDEERDIVGLEDDTAKLVDHLLQMGDHWSAVSIVGMGGIGKTTLGIKIYNHSAVRARFPSRAWICVSQEFSARDILQRVIRQIASPRERLEALTDEELEDLVYENLRRKRYLVVLDDIWSTNAWDCLKKAFPVDRSNGSRLLLTTRNKNVALHVDPQTTPYDLGFLSKQNSWELFCKKTFIDGRDTSCSPILEEIGREIVERCAGLPLAIIVIGGLLSRKKRLNEWERILNNMDSHFARHPNGVAAILALSYNDLPYYLKSCFLYLGLFPEDCTIQAHKLFRLWVAEGLIPHQELRGEDVAEDYLNELIERNMVQMEGMSVNGRVKQCRLHDLLRDLSISKAKTENFLQIPGNENIPSLTRCRRHPIYSDSHLSCVERLSPHLRSLLFFRVVSRVRYRYFIGRNVYGFCELSGAKFDYITRNFNLLRILELEGISCSSIPSTIGELIHLSYLGLKETNIRVLPSTLGSLCNLQTLDIAGNLHLRIIPDVICNMKNLRHLYMCGHSGGHLRIDTLKHLQTLTEIDVSRWKQNNTADLVSLRKLGIRGNLCSDTIKIFDSISALLQLRSLYLRAEGAEFPSLVQLGSLRSLIKLHLRGGISQLPSQQDFPPNLSQLTLEHTQLEQESIEILEKLPKLSILRFKAESYSKEKLTISADGFPQLEFLEFNSLESLHEFNIEENAVPRLESFLIVNCKGLRMLPEEMRFVATLHKLVIEEMPKVFVDRLQGEDLHKVQHIPLIKFI >Potri.003G073600.1.v4.1 pep chromosome:Pop_tri_v4:3:10127006:10127856:1 gene:Potri.003G073600.v4.1 transcript:Potri.003G073600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073600.v4.1 MNCEREIRFDLLARLSQNSTAGAHSGDRAVETWLKSEVAAMVLSSVWCVCARE >Potri.014G178300.1.v4.1 pep chromosome:Pop_tri_v4:14:13492897:13501654:-1 gene:Potri.014G178300.v4.1 transcript:Potri.014G178300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G178300.v4.1 MAESQQRSSSSSSSSSSSGEISVRIEGEISIGVETSGDGNELESREAVDVASVSNGISGGGTSNTSMAEKPGVYKSESTPSRLKLERSKTEGQRHQNILAEEAAKIYDDKLPDQEKRILLNRMATVKDNGTVEVVVPGDVEPRTLGVGSDYACNTVADDEPLDATDQYIPPLQIVILIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFREFVLTAGLEFFPLGGDPKVLAGYMVKNKGFLPSGPSEVSIQRNQIKEIIYSLLPACKDPDIDSKIPFRADAIIANPPAYGHTHVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQSAGYRLSYQIVDSMIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSDSDVPYGYIWSPHLAPKPKDWGPKIDVVGFCFLDLASNYEPPEPLLKWLEAGQKPIYIGFGSLPVQEPEKMTQTIVEALEQTGQRGIINKGWGGLGNLAEPKDFIYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERLHARGVGPPPIPVDEFSLTKLVEAIHFMLDPKVKERAVELAKDMENEDGVDGAVKAFFKHLPRKKPEPEPESEPSTEPSSIFSFSKCFGCP >Potri.006G104300.1.v4.1 pep chromosome:Pop_tri_v4:6:8039932:8043536:-1 gene:Potri.006G104300.v4.1 transcript:Potri.006G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104300.v4.1 MENTCHFRDKYFLYTHLCLFLLVFLPQFASSQKWDGVMVTRADYQALRAIRNELVDFKGFLRSWNGSGYGACSGRWAGIKCVKGQVIAIQLPWKGLGGRISEKIGQLQALRKISLHDNVLGGTVPRSLGLLHNLRGVYLFNNRLSGSIPPSIGNCPVLLTLDVSNNSLTGAIPPSLANSTRLYRLNLSFNSLMGSIPVSLTQSPSLIVLALQHNYLSGSIPDTWGRKGNYSYHLQFLILDHNLISGTIPVSLNKLALLQEISLSHNKLSGAIPNEMGSLSRLQKLDFSNNAFNGSIPSSLSNLTSLASLNLEGNRLDNQIPDGFDRLHNLSVLNLKNNQFIGPIPASIGNISSVNQLDLAQNNFSGEIPASLVRLATLTYFNVSYNNLSGSVPSSLAKKFNSSSFVGNLQLCGYSFSTPCLSPPPIVLPTPTKEEPKRHRRKFSTKDIILIAAGVLLAVLLLLCFILLCCLMKKRSASKGKHGKTTMRGLPGESEKTGAVAGPEVESGGEMGGKLVHFDGQFVFTADDLLCATAEIMGKSSYGTAYKATLEDGSQVAVKRLREKTTKGQMEFETEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMPIGSLASYLHARGPEIAVDWPTRMNIAIGVARGLNHLHTQQEIIHGNLTSSNILLDEQTNAHIADFGLSRLMTTTANTTVISTVGTLGYRAPELSKLKNANTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEIFDLELVRDSQAIGDELLNTLKLALHCVDPTPTARPEAEEVVQQLEEIKPELAAAPADDGAKVPTTE >Potri.016G086100.4.v4.1 pep chromosome:Pop_tri_v4:16:6766823:6770873:1 gene:Potri.016G086100.v4.1 transcript:Potri.016G086100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086100.v4.1 MITMRFLFMKPLLNSFSHRQHHRCLCLLTTESSQTYPSSPSPVNEDHLLRVCTVLFQQQDSSDFKLRNKLSSIDFNLTHEFFLQVCNKFPASWRPVHRFFQYTQQMPCSRFTHTSVSLNKMLDIFGRSRNLDLLWGAVQEMAKRGLVNDKTFIIVLKALASARELKKCAEFFHFMNEHGCEYRVERLNKVVENLCKGKLVEEAKFVVLKLKDWIRPDGVTYGWLVKGFCDVGELIEASKIWNLMVDESIEPEIEVFEKMMETLFKRNEYDEALKVFQTMRVNRMDDLALSTYRLVIDWMCRKGKVVQAQMVFDEMRQRGIQADNSTLGSLVYGLLTRGRHAEAHKVVERIEKTDISVYHGLIKGLVRSRRASEATQVFREMINRGCEPTMHTYIMLLQGHLGKRGRKGPDPLVNFESIFVGGLIKAGKSLEATKYVERTMKGSLEVPRFDYNKFLHYYSSEEGVVMFKEVGKKLREAGFVDLADILQRYGEKMATRERRRRRSELVNGSE >Potri.004G040300.7.v4.1 pep chromosome:Pop_tri_v4:4:3212783:3220784:1 gene:Potri.004G040300.v4.1 transcript:Potri.004G040300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040300.v4.1 MAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMVSASNGVPPSVKPPNWSGFAPLAAYLFSWQEHLMSEAMQGKKHMDKDFADTVSLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSLQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNLQAAPAVPISDARKASDSGSEKTKRVTFDPSDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFTVVDNYQINVGSAIAAPAFSSTSCCSASVWHDTSKDRTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGAGSNRNTVTSPTQSSASPTPNQGGQSGGTSSTGSTQMQAWVQGAIAKISSTTDGVSTATSNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRRTQVPRFAGGTQRNPTDTNAQKLQSGAPGKVEEINSVSSKPAPAAVRSDEGQAVRGSQVVPGAKAVEEGPAGRHRVGSGNAGQGYSSEEVKVLFLILMDLCRRTAALVHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDIGSEDTSKLNSTNSLDFSSLENCDVYYGAHGLWPRKRRLSERDAAVGLNTSAGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSALASPGAANPPDQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.004G040300.8.v4.1 pep chromosome:Pop_tri_v4:4:3213736:3220782:1 gene:Potri.004G040300.v4.1 transcript:Potri.004G040300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040300.v4.1 MFESAEDPFLCPQLSGFNIIITGFVHAGQYMSPYDPDEGPLITGWRVQRWESSLQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNLQAAPAVPISDARKASDSGSEKTKRVTFDPSDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFTVVDNYQINVGSAIAAPAFSSTSCCSASVWHDTSKDRTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGAGSNRNTVTSPTQSSASPTPNQGGQSGGTSSTGSTQMQAWVQGAIAKISSTTDGVSTATSNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRRTQVPRFAGGTQRNPTDTNAQKLQSGAPGKVEEINSVSSKPAPAAVRSDEGQAVRGSQVVPGAKAVEEGPAGRHRVGSGNAGQGYSSEEVKVLFLILMDLCRRTAALVHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDIGSEDTSKLNSTNSLDFSSLENCDVYYGAHGLWPRKRRLSERDAAVGLNTSAGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSALASPGAANPPDQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.004G040300.2.v4.1 pep chromosome:Pop_tri_v4:4:3209704:3220811:1 gene:Potri.004G040300.v4.1 transcript:Potri.004G040300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040300.v4.1 MTSSSSIKETTEEEQVAPDIVPADGGVAGVEKTEPVSSGGEEESGGEKLDDSMEEDSVSPATVFCIRLKQPRSNLQHKMSVPELCRKYSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHVVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPSNLVRDASCWQREHEWRQDIAVVTKWLSGVSPYRWLSSKSSTPTNSKSAFEEKFLSQHSQTSARWPNFLCVCSVFSSGSVQLHWSQWPPSQNNTSPKWFRTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMVSASNGVPPSVKPPNWSGFAPLAAYLFSWQEHLMSEAMQGKKHMDKDFADTVSLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSLQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNLQAAPAVPISDARKASDSGSEKTKRVTFDPSDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFTVVDNYQINVGSAIAAPAFSSTSCCSASVWHDTSKDRTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGAGSNRNTVTSPTQSSASPTPNQGGQSGGTSSTGSTQMQAWVQGAIAKISSTTDGVSTATSNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRRTQVPRFAGGTQRNPTDTNAQKLQSGAPGKVEEINSVSSKPAPAAVRSDEGQAVRGSQVVPGAKAVEEGPAGRHRVGSGNAGQGYSSEEVKVLFLILMDLCRRTAALVHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDIGSEDTSKLNSTNSLDFSSLENCDVYYGAHGLWPRKRRLSERDAAVGLNTSAGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSALASPGAANPPDQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.004G040300.6.v4.1 pep chromosome:Pop_tri_v4:4:3209683:3220750:1 gene:Potri.004G040300.v4.1 transcript:Potri.004G040300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040300.v4.1 MTSSSSIKETTEEEQVAPDIVPADGGVAGVEKTEPVSSGGEEESGGEKLDDSMEEDSVSPATVFCIRLKQPRSNLQHKMSVPELCRKYSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHVVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQGPSNLVRDASCWQREHEWRQDIAVVTKWLSGVSPYRWLSSKSSTPTNSKSAFEEKFLSQHSQTSARWPNFLCVCSVFSSGSVQLHWSQWPPSQNNTSPKWFRTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMVSASNGVPPSVKPPNWSGFAPLAAYLFSWQEHLMSEAMQGKKHMDKDFADTVSLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSLQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNLQAAPAVPISDARKASDSGSEKTKRVTFDPSDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFTVVDNYQINVGSAIAAPAFSSTSCCSASVWHDTSKDRTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVPEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGAGSNRNTVTSPTQSSASPTPNQGGQSGGTSSTGSTQMQAWVQGAIAKISSTTDGVSTATSNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRRTQVPRFAGGTQRNPTDTNAQKLQSGAPGKVEEINSVSSKPAPAAVRSDEGQAVRGSQVVPGAKAVEEGPAGRHRVGSGNAGQGYSSEEVKVLFLILMDLCRRTAALVHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDIGSEDTSKLNSTNSLDFSSLENCDVYYGAHGLWPRKRRLSERDAAVGLNTSAGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSALASPGAANPPDQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.009G076600.8.v4.1 pep chromosome:Pop_tri_v4:9:7438212:7443277:1 gene:Potri.009G076600.v4.1 transcript:Potri.009G076600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076600.v4.1 MSGMYGQEGDSGPPSYGGGGGYGGGGPGAGAGGYGGYGGGGRGGYGGRGGGGSVGGRGGGYGGNSQSRGDGYQGGDRGGRGGGGGRGGGRGGSGRDGDWPCPDPSCGNLNFARRSECNKCGTPSPSAGQNDRGGGNRGGSGGGYGGNRGGRGGGSYDGNRSSNSNDGSRGGGNRGGSYGGNQGRGDSGYNQAPPPSLPSYGGGGGNYPPAPNSYSANANYGMEAVPPPTSYTGGPTSYPPSYGGPASGYGGDATGEVRSGGRGGQPGGRGGGSRYPGGSGYGAAPDDAPAKIKQCDDNCDDTCDNSRIYISNLPPDVTIEELRELFAGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNNKGDACLAYEDPSAAHSAGGFYNNYDMRGYKINVAMAEKSAPRQPAHDHGGGRGGYGGGDRRNYRDAGNSGPDRHQHGGNRSRPY >Potri.009G076600.7.v4.1 pep chromosome:Pop_tri_v4:9:7438123:7443347:1 gene:Potri.009G076600.v4.1 transcript:Potri.009G076600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076600.v4.1 MSGMYGQEGDSGPPSYGGGGGYGGGGPGAGAGGYGGYGGGGRGGYGGRGGGGSVGGRGGGYGGNSQSRGDGYQGGDRGGRGGGGGRGGGRGGSGRDGDWPCPDPSCGNLNFARRSECNKCGTPSPSAGQNDRGGGNRGGSGGGYGGNRGGRGGGSYDGNRSSNSNDGSRGGGNRGGSYGGNQGRGDSGYNQAPPPSLPSYGGGGGNYPPAPNSYSANANYGMEAVPPPTSYTGGPTSYPPSYGGPASGYGGDATGEVRSGGRGGQPGGRGGGSRYPGGSGYGAAPDDAPAKIKQCDDNCDDTCDNSRIYISNLPPDVTIEELRELFAGIGQVGRIKQKRGYKDQWPWNIKIYTDEKGNNKGDACLAYEDPSAAHSAGGFYNNYDMRGYKINVAMAEKSAPRQPAHDHGGGRGGYGGGDRRNYRDAGNSGPDRHQHGGNRSRPY >Potri.017G096000.1.v4.1 pep chromosome:Pop_tri_v4:17:10899080:10902333:1 gene:Potri.017G096000.v4.1 transcript:Potri.017G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096000.v4.1 MHRFSYSNLFHKRNSIMSIFIALYLLPPLHLFLYVTATTDYLLPYSPTDLILLNCGASSNLSSPDGRSWDGDSQSKFAASNPPETSSVFAASNQDPSVNQVPYMTARIFHSKFTYTFPVLPGPKFVRLYFYPASYSNLDISTSYFSLSANDYELLNNFSASLTVSAIIPPVDSFTKEFIITVWDNQKLELTFIPSPASFAFINGIEIVSMPDSFYARGNDNPLTYVGTDLFFYLDNTTVLETVYRLNVGGKDIGSTGDTGMYRTWHQDSEYLPGGQTGNTPYLPGVKIKYTTKTPNYSAPVMVYSTMRSMGPEPHLNLNYNLTWIFPVDAGFHYLLRLHFCETRMEIKNENQQVFLIFINNQTAEHDADVIHMSGGNGIPVYKDYIVQVPQGSQSKQDLWLELHPNMELKPTCADAILNGLEIFKLNRTDGNLAGFNPEPTVAPPPAEQHPSLKERRTGKRSSILTVIGIVGGSIGAVFACSLILYFFAFKQKRVKDPSKSEEKSSWTLISQTSRSTTTISPSLPTDLCRRFTFFEINEATGNFDDQNIIGSGGFGTVYKGYIEYGFIAVAIKRLDSSSKQGTREFQTEIEMLSNLRHLHLVSLIGYCDDHGEMILVYDYMSRGTLREHLYKTKSSPLPWKQRLEICIGAAKGLHYLHSGAKHTIIHRDVKSTNILLDENWVAKVSDFGLSRLGPTSTSQTHVSTVVRGSIGYVDPQYYRRQHLTEKSDVYSFGVVLFEVLCARPPVIPSSPKDQASLADWARKCYHRGTLDQIVDPHLKGEVAPVSLNKFAEIANSCLHVQGIERPKMGDVVWGLEFALQLQQSAEKNGNSVDGINMENKSSLSPHRDVMTTDDDDMFSGAESHSRSTVSTHESITQSDPDQRARGVFSEIIDPKAR >Potri.019G016000.1.v4.1 pep chromosome:Pop_tri_v4:19:2522159:2522841:-1 gene:Potri.019G016000.v4.1 transcript:Potri.019G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016000.v4.1 MKVSCFMLLLMMFFAMMLQDHQPSIGYKAMALVLDRSPEMGKVKIASTNHFSTEVKVGQRGRRSRRAIPSPPPPKPNRLGRWKWIAPPVFGAPPTPTPPMPSSPPPPSPLSSSKGA >Potri.014G051850.1.v4.1 pep chromosome:Pop_tri_v4:14:3333867:3335284:1 gene:Potri.014G051850.v4.1 transcript:Potri.014G051850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051850.v4.1 MESKAAAPPYQSSARFADSQCYPQYTASLKCLEEFGSDKSKCQEHFDVYKECKKKEREARLERNKSRSLFS >Potri.T011901.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:170247:172979:-1 gene:Potri.T011901.v4.1 transcript:Potri.T011901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011901.v4.1 MVVLGNLNINRDGDFPVISSNDIQKLICKCIDARSSCNSKESLVSSSWLCSAPLPQPSPSYNGIFSGLKWFCFSGCKGMKKLFPPVLLPYLVNLERIDVEQCEKMEEIIGGAISDEEGDMGEESSTNIGFNLPKLRHLKLTGLPELKSICSAKLICDSLEVIQVYNCKSMEILFPSSWFCSAALPSPSYNGGTRSDEEGVMGEESSTNTGLNLPKLRHLELRGLPELKIICNAKLICKSLEVIKVSDCNSMESLVPSSWFCSAALPSPSYNGGTRSDEEGVMGEESITNTGFNLPKLRHLRLRGLPELKSICSAKLICNSLQFICIIKCEKLKRMGICLPLLENGQPSPPPSLRTITAYPEEWWESVVEWEHPNAKDVLRPFVEFQSDPPSELGMPPPLPRGVAICSKVPEDVQEAALQVLFI >Potri.001G156300.1.v4.1 pep chromosome:Pop_tri_v4:1:13163569:13164970:-1 gene:Potri.001G156300.v4.1 transcript:Potri.001G156300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156300.v4.1 MSSSSSIITLQLLLFFIVVPISSLAKKPHVIHFRSPNLYPEGLAYDPSAQHFIVGSLHHRTLHSVSDAGVIETIISDPSLPPNTTILGLAVDKLNNRLLAAIHSDPPLPPFNALAAYDLRSRQQLFLSLLPSTPSDDNRRPVANAVTVDFKGNAYVTNSLGYPEGNFIWKVNPEGEASIFSRSPLFTQFPVDRDAPYSYCGLNGIAYVSKGYLLVVQSNTGKLFKVDARDGTAQNVLLNEDLPVADGIAIRGDGVVLVVSHEKLWFLKSDDSWGEGVVYDKTDLDVERFATSVVVGREDRAYVLYGSVLEGITGNGGREWFGIEEVRSEKENEDEKMWVYVLIGLGLAYFLIWRFQMKQLFKNMDKKTN >Potri.005G241901.1.v4.1 pep chromosome:Pop_tri_v4:5:23858387:23859603:-1 gene:Potri.005G241901.v4.1 transcript:Potri.005G241901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241901.v4.1 MKIGDKMRLKQLSADSKCLHTFSLVRLSYRFSDELVMASDFDHPPMLLAELQYCQHREQLITCVRPELWLCLP >Potri.015G028300.1.v4.1 pep chromosome:Pop_tri_v4:15:2154270:2160289:1 gene:Potri.015G028300.v4.1 transcript:Potri.015G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028300.v4.1 MEVTKTEPITNKSSSSSVSVKSDLMSTLSLKSFKLKTKQQELLIRVSILCLVYILAFITRLFSVLRYESMIHEFDPYFNYRTTLFLTQKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAAIMYWGLRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDTGAGLVAAVLIAICPGYISRSVAGSYDNEGVAIFALLLTFYLFVKAVNTGSLAWGVASAFGYFYMVSAWGGYVFIINLVPLYVLVLLITGRYSMRLYVAYNSMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLGWVKHLLGDKKKFEAFLRITVTCAVGVGAIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTTLLRTKSKVSQTGSTKGTSGGKGSSKALPDQSQPFQRNGAIALLFGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYYWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMRSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELVTEYGKPPGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >Potri.014G029900.1.v4.1 pep chromosome:Pop_tri_v4:14:1887610:1890448:-1 gene:Potri.014G029900.v4.1 transcript:Potri.014G029900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029900.v4.1 MAEGLNLQKLMKTTPSKALVIRINLVFLAFFLVFYASLLLSPSSSVYFENAASLVKCSLRECHHKAENGSGVKAIVEETKANARRNMTKVEVPSFMNGMGKGKKIGMVNMDEDDVTGWKAHGETIPIGFERVSEFFKWPDLFPEWIDEEEETDVPSCPEIPMPDFEVYDSLDMIVAKLPCKYPEEGWNREVFRLQVHLLAANLAVKKGRRDWNWRTKVVFWSKCRPMLELFRCDDLVKQEGDWWFYEPEMTKLEQKVSLPIGSCKLALPLWTQGINEVYDLSKIQRTTRTTKREAYATVLHSSEAYVCGVIALAQSLLQTGTKRDLVLLLDNSISEPKRHALAAAGWKIRLIKRIRNPRAEKYSYNEYNYSKFRLWQLTDYDKIVFIDADIIVLRNLDILFHFPQMSATGNDVWIFNSGIMVIEPSNCTFKILMDRRKEIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANTTNEASVKNELFGADPPKVYSIHYLGLKPWLCYRDYDCNWNIGDQRVYASDVAHQRWWKFHDAMDEKLQKFCGLTKKRKIELDWDRKMARKSQFSDEHWKINVTDPRRVHLI >Potri.014G029900.2.v4.1 pep chromosome:Pop_tri_v4:14:1887613:1890441:-1 gene:Potri.014G029900.v4.1 transcript:Potri.014G029900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029900.v4.1 MTKVEVPSFMNGMGKGKKIGMVNMDEDDVTGWKAHGETIPIGFERVSEFFKWPDLFPEWIDEEEETDVPSCPEIPMPDFEVYDSLDMIVAKLPCKYPEEGWNREVFRLQVHLLAANLAVKKGRRDWNWRTKVVFWSKCRPMLELFRCDDLVKQEGDWWFYEPEMTKLEQKVSLPIGSCKLALPLWTQGINEVYDLSKIQRTTRTTKREAYATVLHSSEAYVCGVIALAQSLLQTGTKRDLVLLLDNSISEPKRHALAAAGWKIRLIKRIRNPRAEKYSYNEYNYSKFRLWQLTDYDKIVFIDADIIVLRNLDILFHFPQMSATGNDVWIFNSGIMVIEPSNCTFKILMDRRKEIISYNGGDQGFLNEVFVWWHRLPRRVNFLKNFWANTTNEASVKNELFGADPPKVYSIHYLGLKPWLCYRDYDCNWNIGDQRVYASDVAHQRWWKFHDAMDEKLQKFCGLTKKRKIELDWDRKMARKSQFSDEHWKINVTDPRRVHLI >Potri.008G085751.1.v4.1 pep chromosome:Pop_tri_v4:8:5364702:5365094:1 gene:Potri.008G085751.v4.1 transcript:Potri.008G085751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085751.v4.1 MVDNSTADVETKLQGSGSWKPGKDVQLIHTPGHTEVSCWIFCLLVLLIHTLCLVKGIWGEEKEWGKGASVRLWTHLVGREEMKGLIFLNL >Potri.014G164200.1.v4.1 pep chromosome:Pop_tri_v4:14:11855154:11857055:-1 gene:Potri.014G164200.v4.1 transcript:Potri.014G164200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164200.v4.1 MLLSPGHSPRHLSSPSPSSNPERTLQISYNVSSINNTPIYPRKRSTVLDEDSYVAAIEKIIERDFFPDISKLRDRFDWLEAMKTGDPIQIRDAQLKIMERRGKMVKNIHSDGSNRARNQTQTQTPGSAFIGNFTQFDEFDSRMQTPSVVMDRGLSSNAESSENENENENAVDENLGLDDFFRRYTSEDNDSFSKILEKGNRKRKERYGHFLEGEKKDVKLIGDAKRDRITDGFGTSDQPPSTLEGWKYTAKNLLMYHPADRGEAPLTEEERAVRLKGLTREINMSNTRFHGKMLDTRPKDDGVVEVIYTPVVGATPLPMYGRDGDKAKKYDLEDLRRMPERLYVETGKKADDGYSFVRTPSPAPGVDESPFITWGEIEGTPLRLEPEDTPIDIGGGGNGLHFKIPNPPARDVKAHSLLRKAARRLREKSKMFRKPPLPSPSRGGSASPSARTLSPAAQKFVRKAISRSLSSVDETLRASYRGASPGVGTPKSGRSISRFGRDGSMGSRSPSVRENSNPPW >Potri.009G113400.1.v4.1 pep chromosome:Pop_tri_v4:9:9631182:9633910:-1 gene:Potri.009G113400.v4.1 transcript:Potri.009G113400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113400.v4.1 MAFPGEVGLQLLLSPLNSNIVVRTACCSVGIALPIYSTFKAIENKDQIEQQRWLLYWAAYGSFSLAEVFADKILSWFPLYYHMKFAFLVWLQLPSANGAGQLYMSHLRPFLLRHQARLDNFVEFLYGEMNKFVSAHQAEFRFAKALLMKILASVNQIARDVIRPGGRQANGTFQGPARRIQDSQSDGED >Potri.007G054100.2.v4.1 pep chromosome:Pop_tri_v4:7:5596549:5606005:1 gene:Potri.007G054100.v4.1 transcript:Potri.007G054100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G054100.v4.1 MAIRPFLLQQKLTTILNRQRQKKKNNINKTLFSSLLFIRNNNNNNNNNNNPFLFSSPQSSSISTLLRQFASSTTAFSHQKQGQQEIQHRLPHRFRSLVPPPDTLAQKIGKSIRRPGAPSKARVYADINVIRPKDYWDYESLTVQWGEQDDYEVVKKVGRGKYSEVFEGVHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVNNTDFKVLYPTLSDFDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDELNAYLNRYRIELDLHLAALVGRHSRKPWSKFINVDNQHLAVPEAVDFLDKLLRYDHQERPTAKEAMAHPYFYPIRNAESSRTRT >Potri.013G128600.5.v4.1 pep chromosome:Pop_tri_v4:13:13581055:13583039:1 gene:Potri.013G128600.v4.1 transcript:Potri.013G128600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128600.v4.1 MLEMDEEYEGNVEATGEDFSVEPADTRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFGKDNKQLDAEVHRKYIYGGHVAAYMRTLMEDEPEKYQSHFSEYLKREIDADCMEALYKKVHAAIRADPTTKKSEKEPLKEHKRYNLKKLTYEERKEKLVERLNTFNSAADDEDDE >Potri.013G128600.4.v4.1 pep chromosome:Pop_tri_v4:13:13580087:13582957:1 gene:Potri.013G128600.v4.1 transcript:Potri.013G128600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128600.v4.1 MAYAKAQKSKAYSKRFQVKFKRRREGKTDYRARIRLINQDKNKYNTPKYRFVVRFSNKDIVAQITSASITGDTVLASAYAHELPRYGLEVGLTNYAAAYCTGLLLARRLLKMLEMDEEYEGNVEATGEDFSVEPADTRRPFRALLDVGLVRTTTGNRVFGALKGALDGGLDIPHSEKRFAGFGKDNKQLDAEVHRKYIYGGHVAAYMRTLMEDEPEKYQSHFSEYLKREIDADCMEALYKKVHAAIRADPTTKKSEKEPLKEHKRYNLKKLTYEERKEKLVERLNTFNSAADDEDDE >Potri.004G023300.1.v4.1 pep chromosome:Pop_tri_v4:4:1654126:1654989:1 gene:Potri.004G023300.v4.1 transcript:Potri.004G023300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023300.v4.1 MSTAQQVPIQHEREARPLKRHHSASYYVHRARDSLTTRVSKIICGIFLTLLFIVGIAAFIAWLSLRPHRPRIHIRNFLIPGLDQPTGFDNAEIIFNVTARNSNQVIGYYYDSVEAFVHYRNQVIGYAPLVDSFYQEPKNTTILYKVLSGATLNVTSDSWSEFRNDRALGTVVFRLDVTGMVRFKVSTWDSKRHRMHSNCEIGVSPDGSILASYKNKRCPVYFSN >Potri.015G059301.1.v4.1 pep chromosome:Pop_tri_v4:15:8255835:8257131:-1 gene:Potri.015G059301.v4.1 transcript:Potri.015G059301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059301.v4.1 MESYTSPDSIVHVSAASYPALVIWFELPAVALRFESGLPHHQVHFLCFEVAVLITHQSKGKCELQIQTFREFSAQTSYSQK >Potri.004G100700.4.v4.1 pep chromosome:Pop_tri_v4:4:8740646:8751271:1 gene:Potri.004G100700.v4.1 transcript:Potri.004G100700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100700.v4.1 MKVFVKTLKGTNFEIEVKPEDTVADVKKNIESVQGADVYPAAQQMLVYQGKVLKDDTTLDENKVSESSFFVVMLSKSKVSSGGPSTAPAAPASQAPPASSLPSNVTQPSITSQAPVPAAALPQSAAESSPAVVTSALLDTNMYGQAASNLVAGSNLEATIQEILDMGGGDWNRETVVRALRAAFNNPERAIDYLYSGIPEQAEVPPVAQVVQGPASGNAVNPPALAPQPVVAPNSGPNANPLDLFPQGHHSTGSNAGTGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPYLMRLIQEHQADFLRLINEPVEGEGNVLGQLASAMPQAVTVTPEEREAIERLEAMGFDRAIVLEVYFACNKNEELAANYLLDHMHEFDE >Potri.004G100700.10.v4.1 pep chromosome:Pop_tri_v4:4:8744874:8750772:1 gene:Potri.004G100700.v4.1 transcript:Potri.004G100700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100700.v4.1 MQLLAAQAPPASSLPSNVTQPSITSQAPVPAAALPQSAAESSPAVVTSALSLDTNMYGQAASNLVAGSNLEATIQEILDMGGGDWNRETVVRALRAAFNNPERAIDYLYSGIPEQAEVPPVAQVVQGPASGNAVNPPALAPQPVVAPNSGPNANPLDLFPQGHHSTGSNAGTGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPYLMRLIQEHQADFLRLINEPVEGEGNVLGQLASAMPQAVTVTPEEREAIERLEAMGFDRAIVLEVYFACNKNEELAANYLLDHMHEFDE >Potri.004G100700.7.v4.1 pep chromosome:Pop_tri_v4:4:8744874:8750772:1 gene:Potri.004G100700.v4.1 transcript:Potri.004G100700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100700.v4.1 MQLLAAQAPPASSLPSNVTQPSITSQAPVPAAALPQSAAESSPAVVTSALLDTNMYGQAASNLVAGSNLEATIQEILDMGGGDWNRETVVRALRAAFNNPERAIDYLYSGIPEQAEVPPVAQVVQGPASGNAVNPPALAPQPVVAPNSGPNANPLDLFPQGHHSTGSNAGTGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPYLMRLIQEHQADFLRLINEPVEGEGNVLGQLASAMPQAVTVTPEEREAIERLEAMGFDRAIVLEVYFACNKNEELAANYLLDHMHEFDE >Potri.004G100700.1.v4.1 pep chromosome:Pop_tri_v4:4:8740577:8750985:1 gene:Potri.004G100700.v4.1 transcript:Potri.004G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100700.v4.1 MKVFVKTLKGTNFEIEVKPEDTVADVKKNIESVQGADVYPAAQQMLVYQGKVLKDDTTLDENKVSESSFFVVMLSKSKVSSGGPSTAPAAPASQAPPASSLPSNVTQPSITSQAPVPAAALPQSAAESSPAVVTSALSLDTNMYGQAASNLVAGSNLEATIQEILDMGGGDWNRETVVRALRAAFNNPERAIDYLYSGIPEQAEVPPVAQVVQGPASGNAVNPPALAPQPVVAPNSGPNANPLDLFPQGHHSTGSNAGTGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPYLMRLIQEHQADFLRLINEPVEGEGNVLGQLASAMPQAVTVTPEEREAIERLEAMGFDRAIVLEVYFACNKNEELAANYLLDHMHEFDE >Potri.014G125300.2.v4.1 pep chromosome:Pop_tri_v4:14:8418233:8421077:1 gene:Potri.014G125300.v4.1 transcript:Potri.014G125300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125300.v4.1 MAIRNLCVILVIISLSAYSSLSQAPPPPPNSTCNDVFVSYTYNRGRSIPPFDPTNQAYRFESTVTVLNNGRDELKSWRVYVGFQYKERLTSATNAVLADGTPLPGFVGNGTEFVGFPETDLKTAIDTAGDLTQMEVRVELVGTQFGVGDPGIPLPNNLTLVNDGYTCPAATTQGNEMHVCCIRNVSSRPDNNLEDNFLPRQDGDVIIMYDVIRTYEDYYWAQVNISNHNPLGRLDNWKLNWEWMSEEFINAMKGAYPSVVDTRDCIYGRQGQHYPKMDFSQALSCEKLPTIIDLPPTSENDTNLGLFPLCCRNGTILSPTMDPSKSFSVFQMQVFKMPPYLNRSLLIPPQNWKINGTFNSDFECGPPIPVSPSHLSDPNGLPSKRSAVASWQVVCNITHFKDQSPKCCVSFSAFYNDSVVPCSTCACGCNTNPSQTCSANETALLLPYSTLLLPFENRTREALEWARIKRMTVPNPLPCGDGCGVSINWHVLSDYRGGWNARISLFNWDDNAFADWFAAVQLDNAAPGFEKFYSFNGSALPESNNTLFMQGFKNLNYLLAERDGDNPRKDPRVPGMQQSVISFTKKKTPGINVAGEDGFPTKVIFNGEECALPAIRPSSGHKTNAAPFAFVIVLALLFIH >Potri.014G125300.3.v4.1 pep chromosome:Pop_tri_v4:14:8418046:8421086:1 gene:Potri.014G125300.v4.1 transcript:Potri.014G125300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125300.v4.1 MAIRNLCVILVIISLSAYSSLSQAPPPPPNSTCNDVFVSYTYNRGRSIPPFDPTNQAYRFESTVTVLNNGRDELKSWRVYVGFQYKERLTSATNAVLADGTPLPGFVGNGTEFVGFPETDLKTAIDTAGDLTQMEVRVELVGTQFGVGDPGIPLPNNLTLVNDGYTCPAATTQGNEMHVCCIRNVSSRPDNNLEDNFLPRQDGDVIIMYDVIRTYEDYYWAQVNISNHNPLGRLDNWKLNWEWMSEEFINAMKGAYPSVVDTRDCIYGRQGQHYPKMDFSQALSCEKLPTIIDLPPTSENDTNLGLFPLCCRNGTILSPTMDPSKSFSVFQMQVFKMPPYLNRSLLIPPQNWKINGTFNSDFECGPPIPVSPSHLSDPNGLPSKRSAVASWQVVCNITHFKDQSPKCCVSFSAFYNDSVVPCSTCACGCNTNPSQTCSANETALLLPYSTLLLPFENRTREALEWARIKRMTVPNPLPCGDGCGVSINWHVLSDYRGGWNARISLFNWDDNAFADWFAAVQLDNAAPGFEKFYSFNGSALPESNNTLFMQGFKNLNYLLAERDGDNPRKDPRVPGMQQSVISFTKKKTPGINVAGEDGFPTKVIFNGEECALPAIRPSSGHKTNAAPFAFVIVLALLFIH >Potri.006G044700.1.v4.1 pep chromosome:Pop_tri_v4:6:3033867:3037591:-1 gene:Potri.006G044700.v4.1 transcript:Potri.006G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044700.v4.1 MIFHCSCSLFPASNLAALELCSEEMAVLLSTVAVTKPRLKLFNNNGITQEISSIPINIFTGLSLENKKHKKRLCLVNFVAKNQTSIETKQRGHAKIGPRRGGKDLVLSEGREEDENYGPICPGCGVFMQDKDPNLPGYYKKREVIVERNEVVEEGGEEEYVVDEFEDGFEGDEEKLEDAVEGKLEKSDGKEGNLETWAGFDLDSDEFEPFLEDEEGDDSDLDGFIPAGVGYGNITEEIIEKQRRKKEQKKVSKAERKRLARESKKEKDEVTVCARCHSLRNYGQVKNQTAENLIPDFDFDRLITTRLMKPSGSGNVTVVVMVVDCVDFDGSFPKRAAQSLFKALEGVKDDPRTSKKLPKLVLVGTKVDLLPSQISPTRLDRWVRHRARAAGAPKLSGVYLVSSCKDVGVRNLLSFIKELAGPRGNVWVIGAQNAGKSTLINALAKKGGAKVTKLTEAPVPGTTVGILRIGGILSAKAKMYDTPGLLHPYLMSMRLNRDEQKMVEIRKELQPRTYRVKAGQTIHVGGLLRLDLNQASVQTIYVTVWASPNVSLHIGKMENADEFWKNHIGVRLQPPTGEDRASELGKWEEREIKVSGTSWDANSIDISIAGLGWFSVGLKGEATLTLWTYDGIEITLREPLVLDRAPFLERPGFLLPKAISDAIGNQTKLEAKIRKKLQESSLDFLSEVST >Potri.004G069700.2.v4.1 pep chromosome:Pop_tri_v4:4:5907493:5908581:-1 gene:Potri.004G069700.v4.1 transcript:Potri.004G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069700.v4.1 MVLLWTSFALANELHIPSYFFITSGACFLALYLHLPTLHQNTTKSFKDMKEHFLNVPGLLPVLATDIPKPFLERDNKAYQYFLDFATQVPQAAGIMINTFEFLESKVVRATSDGLCVPDNPMPPIYCIGPLIVAADERGGSSKTSPEDAHKCITWLDSQPNQSVVFLCFGSLEPFTKEQLREIAIGLEKSGQRFLWVVRDPPSHNLSVSIKPNGYPDLDSLLPDGFLERTKERGLVVKLWAPQVEILNHSSVGGFVTHCGWNSTLEAVCAGVPLVAWPLYAEQPLNRAVLVDGMKLALSMNESEDGFVSADEVEKNLRGLMVSDEGILIRERALTMKNAAKAAMIEGGSSHVALSKLVESWN >Potri.003G116400.1.v4.1 pep chromosome:Pop_tri_v4:3:13835714:13838194:1 gene:Potri.003G116400.v4.1 transcript:Potri.003G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116400.v4.1 MGDLFVWLISFFILISLIVIVIFQLMALADLEFDYINPYDSSSRINKVILPEYITEGVLCLFFLVTGHWCMSLLCVPYLYYNARLYTRRQHLVDVTEIFNMLNWEKKQRLFKLGYLIVLLFLSIFWMILTALEDSDYD >Potri.018G085001.1.v4.1 pep chromosome:Pop_tri_v4:18:10277984:10279720:-1 gene:Potri.018G085001.v4.1 transcript:Potri.018G085001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085001.v4.1 MNQSSFITLQLLFVLFRVQAQNTFIQGNEPSQDAVSNFRPSLAVVIGILFLIFSLTFILLIYAKFCHRGGLVHGGSENGPALTRSASRFSGIDKTVIESLPFFRFTSLKGSREGLECAVCLSKFEDIEILRLLPKCKHAFHINCVDQWLEKHSSCPLCRRKVNPEDPTIFTYSNSMRYLGNQSELREDSNVELFVQREENRQGSSRFSVGSSFRKSGKVSKEEELWIKEEVEGSDNDQKIFNKFKHKIIVSDVVLKNRWSSASSSDLMFLNSEMLHDMSSNRFHTPDLDEESTANRGIEDEKFLKIKEEIEMKRLLESKVSPVNKNSQISIQGQPSTSDSKGISGQTSRIINSTDRRSVSEITAFSRFRSFGLKNTINRVSSQDGSNVQADRQRQLWLPIARRTVQWFANRERRIQQTENTRNTLDV >Potri.016G140800.2.v4.1 pep chromosome:Pop_tri_v4:16:14379625:14385344:-1 gene:Potri.016G140800.v4.1 transcript:Potri.016G140800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140800.v4.1 MSGLYNPSFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQILPICSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLISNVGGTGLGGWNGLPQERLSGPPGMTMDWQGAPASPSSFTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLKGRPGYEHLNDPLHILIEADLPASIVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREESPGPSGSVSPFNTSGMKRAKTGR >Potri.001G293100.1.v4.1 pep chromosome:Pop_tri_v4:1:30486662:30490244:-1 gene:Potri.001G293100.v4.1 transcript:Potri.001G293100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293100.v4.1 MGLWEAFLNWLRSLFFKQEMELSLIGLQNAGKTSLVNVVATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADFDNLSVSRSELHDLLSKPSLSGIPLLVLGNKIDKPGALSKEDFMEQMGLKSITDREVCCYMISCKNSTNIDTVIDWLVKHSKSKT >Potri.006G236200.1.v4.1 pep chromosome:Pop_tri_v4:6:23829087:23832744:-1 gene:Potri.006G236200.v4.1 transcript:Potri.006G236200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236200.v4.1 MEENTRCFNMTSEHKSQKASEEKKLELRLGPPGETFLGYKTNPTTNGAKRVLQHPVGAKTSEGNWFTDTNVKQCKKFSCYQEEAEKVFSSPWLSSSLHSSSFHREAKKELQKPKPSFLQCSKVEELQYPDKMACSTPASASFSVTTDGANSCHKRCALATVVGWPPIRSFRKNIASSSTSKMVSELPNKTSEEGSSLKPDSFRNDLFVKINMEGVPIGRKINLNAYDSYEKLSVAIDELFRGFLAAQRETCDPMGENKMDEAKENCSVSGSREYTLVYEDNEGDRILVGDVPWHMFVSTAKRLRVLKSTEKVSVGINKQEKTPPSCAVELGR >Potri.001G258500.1.v4.1 pep chromosome:Pop_tri_v4:1:27386863:27390010:-1 gene:Potri.001G258500.v4.1 transcript:Potri.001G258500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G258500.v4.1 MDISLCFKPRSPSLIPKQTNINTDPKRDWNAIMKNHARLKNDHAILSTYTQMESFGIAPNNTTLPLIFKACSRLNDVERGRRVHSSIQGTNLIKDVRVGTAVVDFYCKCGLLEEANQLFGKMTQRDLVLWNAMISGFVGCGFYGEAIGLFRKMKSEGFELNSRTLVALILACEGLLELRLGKEIHGYWLRNGYFDCHPHVGTALIGFYLNFDVRVSSLVFDLMVMRSEVSWNAMITGFLDSGDSVKALELFVRMLEDGVKTDLVTILVVVQACAEFGYLKLGMQIHQMAIKFSYSNDLFIVNALLNMYSKISSSELARKLFDITTVRDAALWNSMISAYIEYGCYEEASDVFNRMREEISPDERTIVVMLSLCRELDDGLKKGKSLHAHACKSGMRMDVSIGNAFLIMYTDLNCVEAAWKVFSVMSDVDVISYNTLILALASAHLRGEAWELFQIMQASQVEPNSHTMISLLAACGDETCLKIGRSIHGFSIKHGFEINLPLNTALTDMYMNCGDEPKARSLFEACLARDLISWNAMISSYIKINQSNEALLFFSRMISEVEPNVITILNVLSTCTDLANLPQGRCLHGYAIRRFSPSDSNVSLANAIITMYTRCGSMLTAEKIFKSLPKRNIISWNAMITGYGTHGRGYDAIIAFKQMLEEGFQPNEVTFLSVLSACRHAGMIEQGLELFYSMVQDFKMIPALEHYGCVVDLLGRGGCLDEAREFINSMPIEPDASVWRALLSACRFHSNPKLASAIFENLVKLEPANAGNYVLLSNIYAEAGHWSEVRQIRTFLKEKGLRKPPGTSWIIVRDQSHSFTAADTSHPQSDKIYANLNSLLTLIRESGYVTGFYLVFHDEED >Potri.013G025200.3.v4.1 pep chromosome:Pop_tri_v4:13:1598748:1605919:-1 gene:Potri.013G025200.v4.1 transcript:Potri.013G025200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025200.v4.1 MASQMLPINDRMRINWTSMMERYFIDLMLDQLHRGNRMGHTFNKQAWTDMLTMFNSRFGTKYDRDTLKSHYSNLWKQYNDVKNLLEQSGFSWDDNRKMVVASDDAWATIIKANSDAQYYWRKFLVNFNDLCLIFAYTAADGRYSRSSHDIDLDDDIQGLNFDAGMGGFPSESTNCVKAKWTSAMDRFFLELMLVEVKKGSKNNNTFSKEAWKDMLTLFNAKFCSQYGKNVLKRRYKKLFKYYCEMRSLLERKAHPDMHSYRKKTLLNYQDLSLVYGNELISGHQGCMHQDENFEDVTLQVKTDRNKGHHHSVRSDVGPCWKSSMDRYFIDLLQNQALIGNKIGHELTTEAWVELTRLFKAKFGSQYDDCILKNQFNHLRTRYNDIKFLLEQSGFSWDETRDMVTAEDCVWDSYTKVYPHVQSYRNKSVASYYKLCVIYGEESSNGRYSDMAQQADIDSKPPVLMVGEEDQCLANGDCSKPDWTPSMDRYFIDLMLDQVHRGNKSSYTLDDQAWIDMAVMLNERFGSQHEKDILRQRHESLGKLFNGMKNLLGQKGFSWNETQQLVKAYDDVWEAYSKEHPNARSYRSTPKPDYNDLYLIYGNSISDEGHNQSGQGAKNCNRGFWNADWTPPMDQLFINLMLEHVRQGSMVDQRFNKQAWSDMVSKFSAAFGSQHDQYVLERRFMNLRKLFGDMKNLLDQSGFAWDDRRHMIIAGDSLWNAYLKDYPDAHPYRNRALPNYDDLFLIYGDKNNHESNHHHSVVGNGHVLGSNVDDEDGLSPIDSNHPWINWTKPMEIYFIKLMSEQVLEGNKNHETFNEQAWAWIVAAFNEKFRLLCEREAVESWYLSLMKEYSNITNILNQNGFAWDETEQMVIADDDDWSAYIKEHPGAMKYKGRVLGSYNDLCVIYGNSVAAGRSSYLGVNTVIDNNAFDMGIDGVFGDAPYPTGKLEISDQRHKRKSLSSSTTMASRKVHRPKRGEAKEPVGLKPMGVMEEHNEERISIEEIVNALQVIPDMDDENFLEACKLLENEEKAKVFVAMDVKQRRKWLFRKLYR >Potri.003G123200.1.v4.1 pep chromosome:Pop_tri_v4:3:14373054:14376512:-1 gene:Potri.003G123200.v4.1 transcript:Potri.003G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123200.v4.1 MASSSNSSNYDIPWVEKYRPNKVADIVGNQDAVSRLQVIARDGNMPNLILAGPPGTGKTTSILALAHELLGPNSKEAVLELNASDDRGIDVVRNKIKMFAQKKVTLPPGQHKIVILDEADSMTSGAQQALRRTMEIYSNSTRFALACNTSSKIIEPIQSRCAIVRFSRLSDQEILGRLMVVVGAEQVPYVPEGLEAIIFTADGDMRQALNNLQATHSGFHFVNQENVFKVCDQPHPLHVKNMVRQVLEGKFDDACSGLKHLYDMGYSPTDIITTLFRIIKNYDMAEYMKLEFMKETGFAHMRICDGVGSYLQLCGLLAKLALVRDTARAA >Potri.006G078800.8.v4.1 pep chromosome:Pop_tri_v4:6:5858451:5862507:1 gene:Potri.006G078800.v4.1 transcript:Potri.006G078800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078800.v4.1 MTASSSAEAIPAMQEIMLEFRAGKMVFDGKKVVPDLRKGLVRVGRGEEGLLHFQWLDRNLNAVEDDQIIFPEEAVFEKVNQVSGRVYILKFNTDDRKLFFWMQEPKAEEDSQLCSSVNYYINLPLEFLDEEEPDAAAPLQVSEDMLEDNVSSRAGDLVVPNLGAEAISDVTSSSGPVKMEDLQRILSNIGARGGSGDPDEVIMRLQGHWTPEDILDLLQSPPFRQQVDSFTYVLRTGQIDLSQFGVDPSKYKFTVLSFLEALEDSVSKMSEESTQEGKDLRPQSHNRDDPMDEGK >Potri.006G078800.1.v4.1 pep chromosome:Pop_tri_v4:6:5858463:5862508:1 gene:Potri.006G078800.v4.1 transcript:Potri.006G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078800.v4.1 MTASSSAEAIPAMQEIMLEFRAGKMVFDGKKVVPDLRKGLVRVGRGEEGLLHFQWLDRNLNAVEDDQIIFPEEAVFEKVNQVSGRVYILKFNTDDRKLFFWMQEPKAEEDSQLCSSVNYYINLPLEFLDEEEPDAAAPLQVSEDMLEDNVSSRAGDLVVPNLGAEAISDVTSSSGPVKMEDLQRILSNIGARGGSGDPDEGLGLGDLLKPDLIMPLIETLSLEEGLTSHLPEGHWTPEDILDLLQSPPFRQQVDSFTYVLRTGQIDLSQFGVDPSKYKFTVLSFLEALEDSVSKMSEESTQEGKDLRPQSHNRDDPMDEGK >Potri.006G078800.4.v4.1 pep chromosome:Pop_tri_v4:6:5858463:5862450:1 gene:Potri.006G078800.v4.1 transcript:Potri.006G078800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078800.v4.1 MTASSSAEAIPAMQEIMLEFRAGKMVFDGKKVVPDLRKGLVRVGRGEEGLLHFQWLDRNLNAVEDDQIIFPEEAVFEKVNQVSGRVYILKFNTDDRKLFFWMQEPKAEEDSQLCSSVNYYINLPLEFLDEEEPDAAAPLQVSEDMLEDNVSSRAGDLVVPNLGAEAISDVTSSSGPVKMEDLQRILSNIGARGGSGDPDEGLGLGDLLKPDLIMPLIETLSLEEGLTSHLPEGHWTPEDILDLLQSPPFRQQVDSFTYVLRTGQIDLSQFGVDPSKYKFTVLSFLEALEDSVSKMSEESTQEGKDLRPQSHNRDDPMDEGK >Potri.003G058800.1.v4.1 pep chromosome:Pop_tri_v4:3:8549675:8552274:-1 gene:Potri.003G058800.v4.1 transcript:Potri.003G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058800.v4.1 MLDYSSTKMKKASFPEFVLFSGSKTSHLAAERWFDDACILDMDYFVKTLAGIKAKGVRPDLIGSIIAHYASKWLPDLSDGHHPSTDKGIANFAESPESVTTSWMKKRFFVETLVGILPPEKDSIPCNFLLRLLRIANMVGVEPTYRAELEKMISWQLDQASLKELMIPSFSHTCGTLLDVELIIRLVGRFVNLDEVAKSDAALIKVAKLVDSYLAEAAVDSNLNLAEFVALAGALPSHSRATDDGLYRAIDTYLKAHPGVSKHERKVLFRLIDSRKLSQEASLHAAQNERLPVRAVIQVLFSEHTKLNRQMDWSGSFSGTRSPYIGLEAPARCLSKREMSVQQTEVRKLREDVHRLQSQCYAMQAQMERSWEKKKGFFRWKKLGNVMPSMKSNNFSVVEKIEESNEGEGEVGCGIQTPVDLKTKLVRGKNKAPLMWRKSTS >Potri.008G080000.1.v4.1 pep chromosome:Pop_tri_v4:8:4971432:4974793:1 gene:Potri.008G080000.v4.1 transcript:Potri.008G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080000.v4.1 MMTGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLRDKCKIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHISNSQRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQEDGWVVCRVFKKKNQTRGFLPEVSQEVHFSHMKASASSFPLDPKQNHLQSLYQDYTLDGSMHLPQLFSPDSAVAPSFVSPLSLNNMNDIECSQNLLRLTPSGCGFVHPAGRFNGDWSFLDKLLASHQNLDHQQHYQNKRNSSSQIVDPVGTSTQKFPFQHLGFETDILRFSK >Potri.008G080000.2.v4.1 pep chromosome:Pop_tri_v4:8:4972390:4974647:1 gene:Potri.008G080000.v4.1 transcript:Potri.008G080000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080000.v4.1 MMTGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLRDKCKIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHISNSQRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQEDGWVVCRVFKKKNQTRGFLPEVSQEVHFSHMKASASSFPLDPKQNHLQSLYQDYTLDGSMHLPQLFSPDSAVAPSFVSPLSLNNMNDIECSQNLLRLTPSGCGFVHPAGRFNGDWSFLDKLLASHQNLDHQQHYQNKRNSSSQIVDPVGTSTQKFPFQHLGFETDILRFSK >Potri.009G126200.3.v4.1 pep chromosome:Pop_tri_v4:9:10471026:10474533:-1 gene:Potri.009G126200.v4.1 transcript:Potri.009G126200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126200.v4.1 MSKPEENTEKKESAQILNKKLKDVEISIPIVYGNIAFWLGKKSNEYQSHKWTIYVRGATNEDLGVVIKRAVFQLHSSFNNPTRVIEAPPFELSEAGWGEFEIAITLYFHSDVCDKPLNLYHHLKLYPEDEPGPVSMKKPVVVESYDEIVFPEPSEGFLARVQSHPAVNLPRLPAGFTLPPPMPVEDTSKRKRGDTKDNPLAQWFMKFSEADKLLQLAAARQQVQAHIAKLRRQISLINGQDQHLKSPSNQ >Potri.018G006300.1.v4.1 pep chromosome:Pop_tri_v4:18:514056:516858:1 gene:Potri.018G006300.v4.1 transcript:Potri.018G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006300.v4.1 MPGLTCNACNKEFNDDAEQKLHYKSEWHRYNLKRKVAGVPGVTEALFVARQSAIAKEKEKNEIPMLYSCVLCNKGYQSSKAHDQHLKSRSHILRASQGTNQEEENTVIKQLPRREVNKRAAQWEADAGESEESEDEWEEVGSDEELVAAATKSLIGLNVNEMASLVDIVEDEEDDELLDPSCCFMCDQEHDNIESCMAHMHKQHGFFIPDVEYLKDPKGLLTYLGLKVKRYYMCMYCNDKRQSFNSLEAVRKHMEAKSHCKVHYGDGDEDEEAELEDFYDYSSSYVDEDGKQLALGDTANIAALGSGDSELIITTRSANKVSSKTLGSREYLRYYRQKPRPSPANDMAITAALASRYRSMGLATVQSREQMLRMKVMKQVNRSAEAMRTKISMKNNVIWDLPKNVLY >Potri.013G064300.8.v4.1 pep chromosome:Pop_tri_v4:13:4769660:4774204:1 gene:Potri.013G064300.v4.1 transcript:Potri.013G064300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064300.v4.1 MLIFYSLRALQYLLCFTRVLILCTQQMAKAFRYSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSSLSSWNSSIDFCNSEPNASVTVACYEKSITQLHIVGNKGTPLLPGNFSIDSFVTTVVGLPTLKVLTLVSLGLWGPLPGKIARLSSLEILNMSSNFLYDAIPQELSSLSGLQSLGLDDNMFAGKVPNWIGSLQVLSVLSLRKNMLNGSLPDSLSTLENLRVLALAHNYFKGEVPDLSSLTNLQVLDLEDNAFGPQFPQLGNKLVSLVLSRNKFRDGLPAEVTSYYQLQRLDLSNNTFVGPFPQSLLSLPSVTYLNIADNKFTGMLFENQSCSADLEFVDLSSNLMTGHMPNCLLQDSKKKALYAGNCLATGDQDQHPISICRNEALAVGILPQQKKRKPSKAIIAISVIGGIVGGIALVGLIFLAVRKVKSGKTIQKSTIRLIAENASTGYPTKLLSDARYISQTMKLGALGLPAYRTFSLEELEEATNNFDTSAFMGEGSQGQIYRGRLKDGSFVVIRCLKMKRSHGTHNFMHHIELISKLRHRHLVSALGHGFEYYLDDSSVSRIFLVFEYVPNGTLRSWISGGHARQKIHWTHRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLVAKISSYNLPLLAENRGMVGHGASSGASKDLSLSARINQDEKVDVYDFGLILLEILLGRSLTSGNDVDVLQDQLQASITRDDAARRSMVDPAVRRVCSYQSLKTMMEICVRCLLKNPADRPSIEDILWNLQFAAQVQDPWRGDSQSSEGSPVAATHQPQLHITIH >Potri.013G064300.9.v4.1 pep chromosome:Pop_tri_v4:13:4768577:4774090:1 gene:Potri.013G064300.v4.1 transcript:Potri.013G064300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064300.v4.1 MLIFYSLRALQYLLCFTRVLILCTQQMAKAFRYSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSSLSSWNSSIDFCNSEPNASVTVACYEKSITQLHIVGNKGTPLLPGNFSIDSFVTTVVGLPTLKVLTLVSLGLWGPLPGKIARLSSLEILNMSSNFLYDAIPQELSSLSGLQSLGLDDNMFAGKVPNWIGSLQVLSVLSLRKNMLNGSLPDSLSTLENLRVLALAHNYFKGEVPDLSSLTNLQVLDLEDNAFGPQFPQLGNKLVSLVLSRNKFRDGLPAEVTSYYQLQRLDLSNNTFVGPFPQSLLSLPSVTYLNIADNKFTGMLFENQSCSADLEFVDLSSNLMTGHMPNCLLQDSKKKALYAGNCLATGDQDQHPISICRNEALAVGILPQQKKRKPSKAIIAISVIGGIVGGIALVGLIFLAVRKVKSGKTIQKSTIRLIAENASTGYPTKLLSDARYISQTMKLGALGLPAYRTFSLEELEEATNNFDTSAFMGEGSQGQIYRGRLKDGSFVVIRCLKMKRSHGTHNFMHHIELISKLRHRHLVSALGHGFEYYLDDSSVSRIFLVFEYVPNGTLRSWISGNP >Potri.013G064300.7.v4.1 pep chromosome:Pop_tri_v4:13:4768579:4774226:1 gene:Potri.013G064300.v4.1 transcript:Potri.013G064300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064300.v4.1 MLIFYSLRALQYLLCFTRVLILCTQQMAKAFRYSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSSLSSWNSSIDFCNSEPNASVTVACYEKSITQLHIVGNKGTPLLPGNFSIDSFVTTVVGLPTLKVLTLVSLGLWGPLPGKIARLSSLEILNMSSNFLYDAIPQELSSLSGLQSLGLDDNMFAGKVPNWIGSLQVLSVLSLRKNMLNGSLPDSLSTLENLRVLALAHNYFKGEVPDLSSLTNLQVLDLEDNAFGPQFPQLGNKLVSLVLSRNKFRDGLPAEVTSYYQLQRLDLSNNTFVGPFPQSLLSLPSVTYLNIADNKFTGMLFENQSCSADLEFVDLSSNLMTGHMPNCLLQDSKKKALYAGNCLATGDQDQHPISICRNEALAVGILPQQKKRKPSKAIIAISVIGGIVGGIALVGLIFLAVRKVKSGKTIQKSTIRLIAENASTGYPTKLLSDARYISQTMKLGALGLPAYRTFSLEELEEATNNFDTSAFMGEGSQGQIYRGRLKDGSFVVIRCLKMKRSHGTHNFMHHIELISKLRHRHLVSALGHGFEYYLDDSSVSRIFLVFEYVPNGTLRSWISGGHARQKIHWTHRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLVAKISSYNLPLLAENRGMVGHGASSGASKDLSLSARINQDEKVDVYDFGLILLEILLGRSLTSGNDVDVLQDQLQASITRDDAARRSMVDPAVRRVCSYQSLKTMMEICVRCLLKNPADRPSIEDILWNLQFAAQVQDPWRGDSQSSEGSPVAATHQPQLHITIH >Potri.005G011700.1.v4.1 pep chromosome:Pop_tri_v4:5:973789:974379:-1 gene:Potri.005G011700.v4.1 transcript:Potri.005G011700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011700.v4.1 MQIFVRTLRLKTITLDVESSETIEDLKAKIYEKELGIRPENQRLIYCGKQLEEGKTLSDYNIQKNSTIHHVLRLCGGGMQQAFY >Potri.002G159400.1.v4.1 pep chromosome:Pop_tri_v4:2:12145186:12149968:1 gene:Potri.002G159400.v4.1 transcript:Potri.002G159400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159400.v4.1 MAHVVQSQQAILEKLRKQLAIAVRSVQWSYAIFWSLSTRQKGVLEWGGGYYNGDIKTRKVQATELKADKIGLQRSEQLRELYKSLLGGDAGQQAKRSSPALSPEDLSDEEWYYLVCMSFVFNPGEGLPGRALANKQTIWLCNAQYADSKVFSRSLLAKSASIQTVVCFPYLEGVMELGVTELVTEDPSLIQHIKASLLDFSKPDCSEKSSSAAHNGDDDEDPMSTKISHEIVDSLVLENLYTPTDDIELEQEGINDLHGNLREEFKRNSPDDCSDGCEHNHQTEDSMHEGLNGGVSQVQSWHFMDDEFSDDVLDSMNSSECISEAVVKQGKAVLSSKEKNVTRLQSQVFQEGNHTKLSSFDLGADDDLHYRRTVCVIMKSSSQSIENPCFRSGDHKSSFFSWKKRAVDGVMPRVQQNMLKKILFAVPLIYGGHSLRFDKENGGTDCLKKLEGCETCKEHYKSDKQRVNDKFIVLRSMVPSISEIDKESILSDTINYLKQLESRVAELESCKGWIDHEAGHRRSYMDMVDQTSDNDDIKKIDNGKRSWVNKRKALDIDEAELELDGVSPKDGMPLDLKVCTKEKEVLIEIRCPYREYMLLDIMDEINKLQLDVHSVQSSTLDGIFALTLKSKFRGAAVAPAGMIEQALWKIAGKT >Potri.003G140200.1.v4.1 pep chromosome:Pop_tri_v4:3:15642951:15644058:-1 gene:Potri.003G140200.v4.1 transcript:Potri.003G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140200.v4.1 MFTSSISYLNSMLYDTKKGTRGSKGTNLIYRDELSKKISPKKLKKDGNCLAIKEEDARKNFEKRGYASSVQEKDVIRVKVRMTKQEAARLMAKCKEGGLLEFKDVAHELVQLPVNRVSVVSSNGGYDGVLHSIPEEE >Potri.001G031900.2.v4.1 pep chromosome:Pop_tri_v4:1:2382743:2384725:1 gene:Potri.001G031900.v4.1 transcript:Potri.001G031900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031900.v4.1 MPIPPSTPPYPLTPVQTRSRSPSTFPSPPTSPSSGMTNPMSPIQPAISLHQPNGKKGSSKHNKIFRRVRAVFRSFPIITPACKIPVSLHGNRLHDGHVGGTRMTGTLFGHRKARVSLAIQESPGSLPILLLELTIPTGKLLQDMGVGLVRIALECEKKANDKTKIEDEPIWTLFCNGRKCGYAVKREPTDEDLNVMQTLRVVSMGAGVIPTGDGADQPTDGELTYMRAFFERVAGSKDSETYYMLNPDGNNGPELSLFFVRL >Potri.012G072300.2.v4.1 pep chromosome:Pop_tri_v4:12:9611590:9613354:-1 gene:Potri.012G072300.v4.1 transcript:Potri.012G072300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072300.v4.1 MEPAKKEIIEDLVTFSKSKDFYARTGKTWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKENTELRKLLIESTRKSIIVIEDIDCSLDLTGQRTKKEEKSPDEDKEKSEKEIRKEHKEESSSKVTLSGLLNFIDGLWSASGGERLIVFTTNYVEKLDPALTRRGRMDKHIELSYCIFEAFEVLSRNYLRLEAHPLLDKIESLMKVTKITPADVAENLMPKSPLDDAEKCLSRLIQALEEAKEAAEATENDERSIHKKKSSSSYQGSRGPCHGRCCPTTRK >Potri.013G078200.1.v4.1 pep chromosome:Pop_tri_v4:13:6687316:6692590:1 gene:Potri.013G078200.v4.1 transcript:Potri.013G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G078200.v4.1 MEDNENTTQKDYYKILEVDYDATDEKIRLNYRMLALKWHPDKHLGDSAVTAKFQDINEAYKVLSDPAKRFEYDLTGVYEIDKYTVREYLARFKGMILTCNGLGISNTSTWTQQLTETKDLAEK >Potri.006G025800.2.v4.1 pep chromosome:Pop_tri_v4:6:1696079:1698660:1 gene:Potri.006G025800.v4.1 transcript:Potri.006G025800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025800.v4.1 MGSQGGSFEEENPESLMGQGSLCSLTLDEVQNQLGNLGKPLGSMNLDDLLKSVDNVGAWSAPMNRQGSLTLSRDLSKKTVEEVWRDIQQLDKKDDDNPGRNAPFGEMTLEDFLVKAGVVTESTPVQQQESNQWMQFQLPSVQQPVYQNNMMTVVDAAYPDSQMNISPSSLMGTLSDTQTPGRKRVAPGDVVEKTVERKQKRMIKNRESAARSRARRQAYTHELEIKVSHLEEENERLRKQEKAEKVLPCAPPPEPKSQLRRTSSAPL >Potri.014G051100.1.v4.1 pep chromosome:Pop_tri_v4:14:3291555:3293473:-1 gene:Potri.014G051100.v4.1 transcript:Potri.014G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051100.v4.1 MDSRLFDAILSGDIAAFRSLLAEDPLILDRISLNSTENPLHLSSLAGHLEITREVACQKPAFARELNQDGFSPVHIASSNGHVELVRELLRVGYDICLLKGKDGKTPLHLAAMKGRVDIVKELVCACPQSVKEVTICGETVLHVAVKSNQAEAVKVLLEEIKKLDMMEIVNWKDKDGNTIMHLATLRKQHETIRLLIGREAIAYGVEVNSINASGFTAKDVLDFILQSGGEYNDISILEMFQQAGAMKAMDITTNPASTFQVEVKNINKNVNHTSQNSRPWNLWKELKLEIEESSTETQNALMVVATLIATVTYQATLSPPSGFWSAESRRSQTINSVQKRDILPGEAVMTGDPEVFAVFTVFNAVGFFASIAMISLLTSGFPLRAGLRLAILSMTATYVIAVIYMSPTERKTIDAVVWSVGLLVLAEFARFMIWILKKWGVLPLKKTRTSADRNRATV >Potri.016G123800.5.v4.1 pep chromosome:Pop_tri_v4:16:12801153:12808245:-1 gene:Potri.016G123800.v4.1 transcript:Potri.016G123800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123800.v4.1 MQHNIFTTMRSLKFPEGCKGTQVYAINPTGGEGGGGGCGGKVGEKFLQHLQDLRANSIRTKSSRNSHPPTNQTTRTNVSVESLLPAGSPTVDLMEPHIEPCLKSVDFVESLAAVYKKVEDSSQFEKSERFLEQCAVFKGLCDPKLFRNSLRGARQHAVDVHSKVVLASWLRFERREDELIGLSAMDCCGRNLECPRACLVPGYDPESVNDPCVCSRGELEGGVLMGNGGECSTSDIDEAAGGGGGDDDDCDMSFCIGDDEIRGVRYNVASLSRPFRSMLYGEFKESRREKINFSQNGISAEGMRAAMIFSRTKRLGSFELKIVLELLSLANRFCCEELKSACDAHLASLVCDMEEAMMLIEYGLEEGAYLLVAACLQVILRELPFSMHNPYVMKLFCGSEGRERLASVGHASFLLYYFLSQIAMEEEMKSNNTVMLLERLGECATEDWQKQLAYHQLGVVMLERTEYKDAQKWFEEAVEAGHIYSSVGVARAKYNRGHKYSAYKMMNSLISDHTPVGWMYQERSLYCTGKEKLMDLNTATELDPTLSFPYKCRAVLLVQENKLESAISELNKIIGFKVSPDCLELRAWISIVLEDYEGALRDVRALLTLDPNYMMFYGKKHGDQLVELLRPLVQQYSQADCWMQLYDRWSSVDDIGSLAVVHQMLANDPRKSLLWFRQSLLLLRLNCQKAAMRSLRLARNYSTSDHEKLVYEGWILYDTGHREEALSKAEQSISIQRSFEAFFLKAYALADSSLDPESSKYVIQLLEEALRCPSDGLRKGQALNNLGSVYVDCEKFDLAADCYMSALEIKHTRAHQGLARVYHLKNQRKAAYDEMTKLIEKARNNASAYEKRSEYCDRDMAKSDLSTATQLDPLRTYPYRYRAAVLMDDHKEAEAIRELARVIAFKPDLQLLHLRAAFYDSMGDTSCTLRDCEAALCLDPNHTGTIELYKRARERGNEPEK >Potri.004G142400.1.v4.1 pep chromosome:Pop_tri_v4:4:16515462:16516721:-1 gene:Potri.004G142400.v4.1 transcript:Potri.004G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G142400.v4.1 MFSFDMDAAEPDAALDLSPFIIVYKDGSIERLVGNEIVPPSLDPKSSVLSKDAVYSKEAKLSSRLYLPPGVDPDKKLPLLIYFYGGGFCVESAFSPAYHNYLNILVAEAKVIAVSVDYRRVPEHPIPVPYDDSWTALKWVASHVNGDGPEKWLNNHADFGKVYLAGDSAGGNIAHHMAMRYGQERLFGVKAVGVVLIHPYFWGKEPIGNEVHELERVLKGIAATWHLACPTTSGCDDPLINPTTDPKLASLGCSKVLVAVAEKDLLRDRDLLYCEALKKCGWGGAVETMEAEGEGHVFHLFNPTCGNAVAMLKKTAAFISGHN >Potri.016G058200.1.v4.1 pep chromosome:Pop_tri_v4:16:3978630:3980416:1 gene:Potri.016G058200.v4.1 transcript:Potri.016G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX81 MSPPPSTSFFSVAIVLLGMMLPHSKAQLNATFYANTCPNVSSIVSNVVQQAFQSDSRIGASLIRLHFHDCFVNGCDASILLDNSSSILSEKFAAPNVNSIRGFGVVDNIKTAVENSCPGVVSCADILALAAESSVSQSGGPSWSVLLGRRDSLTANQAGANTAIPSPFEGLNNITAKFSAVGLNTNDLVALSGAHTFGRAQCRTFSNRLYNFSNTGNPDPTLNTTYLTTLQQICPQNGSGTALANLDPTTSDAFDNNYFTNLQNNQGLLQSDQELFSTPGAATITFVNNFSSNQTAFFQSFVQSMINMGNISPLTGSSGEIRSDCKKVNGS >Potri.010G142100.1.v4.1 pep chromosome:Pop_tri_v4:10:15492782:15499548:-1 gene:Potri.010G142100.v4.1 transcript:Potri.010G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142100.v4.1 MHSSATLSLSQPAAASTIPKSNYTVNHSLPFSPSKPINLRFCGLRREAFSGFSSLSRSQPPQSKIHSNKINSAISASLSDNGSAPKSFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVIGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKALGLQVAAAGYDRQGVADHANNLATKIRNNLTNSMKALGVDILTGVGSIMGPHKVRYGKLDSPGDIVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKNGKPVTIELIDAKTKEPKDTLEVDAALIATGRAPFTDGLGLDSVHVERKRGFVPVDERMRVLDFKGDPVPHLYCIGDANGKMMLAHAASAQGISVIEQITGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVIDELFKSAKVKAHVSGPVSEPVAV >Potri.010G142100.2.v4.1 pep chromosome:Pop_tri_v4:10:15491772:15499543:-1 gene:Potri.010G142100.v4.1 transcript:Potri.010G142100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142100.v4.1 MHSSATLSLSQPAAASTIPKSNYTVNHSLPFSPSKPINLRFCGLRREAFSGFSSLSRSQPPQSKIHSNKINSAISASLSDNGSAPKSFDYDLVIIGAGVGGHGAALHAVEKGLKTAIIEGDVIGGTCVNRGCVPSKALLAVSGRMRELQNEHHMKALGLQVAAAGYDRQGVADHANNLATKIRNNLTNSMKALGVDILTGVGSIMGPHKVRYGKLDSPGDIVTAKDIIIATGSVPFVPKGIEVDGKTVITSDHALKLESVPDWIAIVGSGYIGLEFSDVYTALGSEVTFIEALDQLMPGFDPEIGKLAQRVLINPRKIDYHTGVFATKITPAKNGKPVTIELIDAKTKEPKDTLEVDAALIATGRAPFTDGLGLDSVHVERKRGFVPVDERMRVLDFKGDPVPHLYCIGDANGKMMLAHAASAQGISVIEQITGRDHVLNHLSIPAACFTHPEISMVGLTEPQAREKAEKEGFEVSVAKTSFKANTKALAENEGEGLAKLIYRPDNGEILGVHIFGLHAADLIHEASNAIALGTRIQDIKFAVHAHPTLSEVIDELFKSAKVKAHVSGPVSEPVAV >Potri.018G146700.9.v4.1 pep chromosome:Pop_tri_v4:18:15853615:15858236:-1 gene:Potri.018G146700.v4.1 transcript:Potri.018G146700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146700.v4.1 MQSHPGETFHVLKKEGDDCTGSRYTGHLNSSLQEPIEVSSSSNEDNSDDTWDIDDQSIEDSSKQLVLYDPLANDAGEIEPVPQPILSHHPFRRYSDLNVPSRVLPSVGAFTVQCAKCFKWRLIPTKQKYEELREHILEEPFFCETAREWRPDISCDDPTDIDQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADVYYQAPSGKRLRSMVEIQKYVDSSCLNIPILQ >Potri.018G146700.8.v4.1 pep chromosome:Pop_tri_v4:18:15853614:15858242:-1 gene:Potri.018G146700.v4.1 transcript:Potri.018G146700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146700.v4.1 MQSHPGETFHVLKKEGDDCTGSRYTGHLNSSLQEPIEVSSSSNEDNSDDTWDIDDQSIEDSSKQLVLYDPLANDAGEIEPVPQPILSHHPFRRYSDLNVPSRVLPSVGAFTVQCAKCFKWRLIPTKQKYEELREHILEEPFFCETAREWRPDISCDDPTDIDQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADVYYQAPSGKRLRSMVEIQKYLIEHPEYMRDGLTLTRFSFQIPKPLQENYVRKKRPRLSASCDDARPLEPGEGMQIK >Potri.018G146700.1.v4.1 pep chromosome:Pop_tri_v4:18:15853615:15858303:-1 gene:Potri.018G146700.v4.1 transcript:Potri.018G146700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146700.v4.1 MQSHPGETFHVLKKEGDDCTGSRYTGHLNSSLQEPIEVSSSSNEDNSDDTWDIDDQSIEDSSKQLVLYDPLANDAGEIEPVPQPILSHHPFRRYSDLNVPSRVLPSVGAFTVQCAKCFKWRLIPTKQKYEELREHILEEPFFCETAREWRPDISCDDPTDIDQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADVYYQAPSGKRLRSMVEIQKYLIEHPEYMRDGLTLTRFSFQIPKPLQENYVRKKRPRLSASCDDARPLEPGEANPLKWVGPGDCTELQLGRPAILPPPLIQSSAYLPFYWPVKKKARTPSKQSHRTNPVCNLDEPKVEEPDQSRNSGCDL >Potri.018G146700.10.v4.1 pep chromosome:Pop_tri_v4:18:15853615:15858242:-1 gene:Potri.018G146700.v4.1 transcript:Potri.018G146700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146700.v4.1 MQSHPGETFHVLKKEGDDCTGSRYTGHLNSSLQEPIEVSSSSNEDNSDDTWDIDDQSIEDSSKQLVLYDPLANDAGEIEPVPQPILSHHPFRRYSDLNVPSRVLPSVGAFTVQCAKCFKWRLIPTKQKYEELREHILEEPFFCETAREWRPDISCDDPTDIDQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADVYYQAPSGKRLRSMVEIQKC >Potri.014G087500.1.v4.1 pep chromosome:Pop_tri_v4:14:5667493:5669464:-1 gene:Potri.014G087500.v4.1 transcript:Potri.014G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087500.v4.1 MRIRKNAKLSPLMFSQGSSEAQPLQTHVCQLNQSPWDVISFSQETYYPSSSLYQFEGEDSFNGNGSLGDSVGAVESVASMMMEDIEEKGMMKMKVDHMVIVDDNYENEGNKRSEMFGDYEEMKIDSEFKLKKCNKTDGKGWHCKNDTKNGHTVCDHHHHLTSHKSSYSNINNNINGSAATKKPDKVASIIGARRGRAKSAKKGSSSSSNPYEFYYYSGFGPLWGKRRGDKDTVNKNEAKDVDNSTVIGSMIPNTTPSSSYSPIENNQGFDYVDEDDDDEEEDSGKKRMRKPVKARSLKSLM >Potri.005G248100.1.v4.1 pep chromosome:Pop_tri_v4:5:24227675:24229142:-1 gene:Potri.005G248100.v4.1 transcript:Potri.005G248100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248100.v4.1 MAEENKSHEYETKVGEESGAVETKDRGLFDFLGKKEEEKPQEEVIGTEFEEKLQVSEPETKVEEEHKKKEEEEKKPTLFEKLHRSGSSSSSSSSDEEEGDDEEKKKKKKEKRSLKEKMKISGEKGEEKEHEDTSVPVEVVHTETPHEPEDKKGFLDKIKEKLPGHKKADEVPPPAPEHVSPEAAVSHEGDAKEKKGLLEKIKEKLPGYHPKTEEEKEKEKESASQ >Potri.007G119600.1.v4.1 pep chromosome:Pop_tri_v4:7:13779126:13780360:1 gene:Potri.007G119600.v4.1 transcript:Potri.007G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119600.v4.1 MVKIGGILVCMLVVAMDVAAGILGIQAEIAQNKVKHLRLWIFECREPSEDAFKLGLAAAGILVLAHVIANFLGGCMCICSQEELQRASPHRQLSVACFLFSWIILAAGLSMLAIGTLSNNKSRSSCGFTHHHFFSYGGILCFAHGLFCVAYYVSATAAFSEEKHGGHA >Potri.015G096900.4.v4.1 pep chromosome:Pop_tri_v4:15:11801975:11803659:-1 gene:Potri.015G096900.v4.1 transcript:Potri.015G096900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096900.v4.1 MPPEVPHYRSLATPLVKSSPPSSPESQFSIPVGRKYFKGNKSMAIPGRKLIPSVVFILACISILRLLRIAITTSPSAPLPPTLQHTCSTPSPACEKAPSHTPSNQTAQQKIAADEPSLTKKEFKLLSDLIKRKAPCNLLVFGAEPQYLRLSSINSGGTTILLEDDPDKISAARAKSNTTQIYKIDYQTPAKKAYKLLEHARKSPACAPNPEMLQNSSCKLALKNLPREVYELEWNVVVVDGPSGHSPEAPGRMGAIYTASMIARAGNTTDVLVHDVDRTIEKWFSWEFLCDENLVASKGKLWSFRISGKSNSSGFCSDHMVAIE >Potri.018G091200.1.v4.1 pep chromosome:Pop_tri_v4:18:11173926:11177037:-1 gene:Potri.018G091200.v4.1 transcript:Potri.018G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091200.v4.1 MKYIRTNSLRRLFSLKRRSLEDIVYTDEEGKNNENSKIVQEPQHSPRPSWKCFSFEEISDATNGFSPENLVGRGGYAEVYKGVLGDGEEIAIKRLTKACRDERKEKEFLTEIGTIGHVCHPNVLSLLGCCTDNGLYLIFHFSSRGSVASLLHDENLPVMDWKIRYKIAIGTARGLHYLHKGCQRRIIHRDIKSSNILLTADFEPLISDFGLAKWLPSQWTHHSIAPIEGTFGHLAPEYYMHGIVDEKTDVFAFGVFLLEIISGRKPVDGSHQSIHVWAKPILNQGEIERLVDPRLGGTYDATQQKRLGFAASLCIRASSTWRPTMSEVLEVMLEEEMDKERWKIPKEEEQEEFWGFEDLEHECDSSFSISPQDSISTRSTMTIID >Potri.009G142150.1.v4.1 pep chromosome:Pop_tri_v4:9:11332046:11333511:-1 gene:Potri.009G142150.v4.1 transcript:Potri.009G142150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142150.v4.1 MPWQGILHLQCPGKGFYTYNAFISAANAFSGFGTTGDANTRKREIAAFLGQTSHETTGGWQTAPDGAYAWGYCFVKEQNPGTYCSPSSTYPCAGGKQYYGRGPVQLSWNYNYGQCGKAIGVDLLNNPDLVATDPVISFKTAIWFWMTAQSPKPSCHSVITGNWSPSGADSAAGRVPGYGVLTNIINGGLECGMGWKQQVEDRIGFYKRYCDLLGVGYGNNLDCYNQKSFANGLLDLVDSM >Potri.018G012850.1.v4.1 pep chromosome:Pop_tri_v4:18:842589:844019:1 gene:Potri.018G012850.v4.1 transcript:Potri.018G012850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012850.v4.1 MTHRCPVPFFLLSNRQTAHKPSDLFPKFITSNKKHTRFWPEIATPFSPKKEEPLAAEWRKVASEYMTTVMHLFLAYPQTHHRNPNGILTVTSDHPSDNPHKLISPPSLSWSPLEIPRPI >Potri.003G192600.1.v4.1 pep chromosome:Pop_tri_v4:3:19563169:19564463:1 gene:Potri.003G192600.v4.1 transcript:Potri.003G192600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192600.v4.1 MVHQKKLVEEVSGWLRTFDDGSVDRTWTGPPEVTFMAEPVPPHEEFKEGVVVRDVTIDEKSGLRVRIYLPQHEPHYTDSHNKLPIIVHFHGGGFCISQADWYMYYYMYYRLARSASAIVVSVYLRLAPEHRLPAAIDDGFSALMWLRSLGQGHDSYEPWFNNYGDFNMVFLIGDSSGGNLVHHVAARAGHVDLSPVRLAGGIPVHPGFVRSVRSKSEMEQPESPFLTLDMVDRFLKLALPKGCTKDHPFTCPVGHEAPPLDSLNLPPFLLCVAETDLIRDTEMEYYEAMRKANKDVELLINPGVGHSFYLNKIAVDMDPHTAAQTTGLMEGIIEFIKRH >Potri.017G055900.7.v4.1 pep chromosome:Pop_tri_v4:17:4347370:4352993:-1 gene:Potri.017G055900.v4.1 transcript:Potri.017G055900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055900.v4.1 MGLVSVLLISFCVLSISMIGTDNIVVGIPTTLDGPFKPVTVPLDKTFRGHAVDLPDTDPRVQRVVQGFEPEQISVSLSTTHDSVWISWITGDFQIGDRIKPLNPKTVASVVRYGRLRIPLIHKATGYSLVYNQLYPFVGLQNYTSGIIHHVRLTGLKPNTLYHYQCGDPSIPAMSSTYYFKTMPASGPKSYPSRIAIVGDLGLTYNTTSTVDHVIGNNPDLILLTPIHETYQPRWDYWGRYMQPVTSKIPIMVVEGNHEIEKQVENQTFVAYSSRFAFPSKESGSSSTFYYSFNAGGIHFIMLGGYIAYNKSAHQYKWLKKDLAKVDRKVTPWLVATWHPPWYSTYKAHYREAECMRTAMEDLLYQYGVDIIFNGHIHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIAHADEPRNCPDPSTTPDEYMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGIFEVKNETHALWTWHRNQDMYNSPGDQIYIVRQPERCPTEPKHF >Potri.017G055900.5.v4.1 pep chromosome:Pop_tri_v4:17:4347370:4352993:-1 gene:Potri.017G055900.v4.1 transcript:Potri.017G055900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055900.v4.1 MGLVSVLLISFCVLSISMIGTDNIVVGIPTTLDGPFKPVTVPLDKTFRGHAVDLPDTDPRVQRVVQGFEPEQISVSLSTTHDSVWISWITGDFQIGDRIKPLNPKTVASVVRYGRLRIPLIHKATGYSLVYNQLYPFVGLQNYTSGIIHHVRLTGLKPNTLYHYQCGDPSIPAMSSTYYFKTMPASGPKSYPSRIAIVGDLGLTYNTTSTVDHVIGNNPDLILLVGDVCYANLYLTNGTGADCYSCSFSQTPIHETYQPRWDYWGRYMQPVTSKIPIMVVEGNHEIEKQVENQTFVAYSSRFAFPSKESGSSSTFYYSFNAGGIHFIMLGGYIAYNKSAHQYKWLKKDLAKVDRKVTPWLVATWHPPWYSTYKAHYREAECMRTAMEDLLYQYGVDIIFNGHIHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIAHADEPRNCPDPSTTPDEYMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGIFEVKNETHALWTWHRNQDMYNSPGDQIYIVRQPERCPTEPKHF >Potri.017G055900.4.v4.1 pep chromosome:Pop_tri_v4:17:4347371:4352993:-1 gene:Potri.017G055900.v4.1 transcript:Potri.017G055900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055900.v4.1 MGLVSVLLISFCVLSISMIGTDNIVVGIPTTLDGPFKPVTVPLDKTFRGHAVDLPDTDPRVQRVVQGFEPEQISVSLSTTHDSVWISWITGDFQIGDRIKPLNPKTVASVVRYGRLRIPLIHKATGYSLVYNQLYPFVGLQNYTSGIIHHVRLTGLKPNTLYHYQCGDPSIPAMSSTYYFKTMPASGPKSYPSRIAIVGDLGLTYNTTSTVDHVIGNNPDLILLVGDVCYANLYLTNGTGADCYSCSFSQTPIHETYQPRWDYWGRYMQPVTSKIPIMVVEGNHEIEKQVENQTFVAYSSRFAFPSKESGSSSTFYYSFNAGGIHFIMLGGYIAYNKSAHQYKWLKKDLAKVDRKVTPWLVATWHPPWYSTYKAHYREAECMRTAMEDLLYQYGVDIIFNGHIHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIAHADEPRNCPDPSTTPDEYMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGIFEVKNETHALWTWHRNQDMYNSPGDQIYIVRQPERCPTEPKVSHKC >Potri.017G055900.8.v4.1 pep chromosome:Pop_tri_v4:17:4347411:4351847:-1 gene:Potri.017G055900.v4.1 transcript:Potri.017G055900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055900.v4.1 MSSTYYFKTMPASGPKSYPSRIAIVGDLGLTYNTTSTVDHVIGNNPDLILLVGDVCYANLYLTNGTGADCYSCSFSQTPIHETYQPRWDYWGRYMQPVTSKIPIMVVEGNHEIEKQVENQTFVAYSSRFAFPSKESGSSSTFYYSFNAGGIHFIMLGGYIAYNKSAHQYKWLKKDLAKVDRKVTPWLVATWHPPWYSTYKAHYREAECMRTAMEDLLYQYGVDIIFNGHIHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIAHADEPRNCPDPSTTPDEYMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGIFEVKNETHALWTWHRNQDMYNSPGDQIYIVRQPERCPTEPKKVSHKC >Potri.017G055900.2.v4.1 pep chromosome:Pop_tri_v4:17:4347371:4352993:-1 gene:Potri.017G055900.v4.1 transcript:Potri.017G055900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055900.v4.1 MGLVSVLLISFCVLSISMIGTDNIVVGIPTTLDGPFKPVTVPLDKTFRGHAVDLPDTDPRVQRVVQGFEPEQISVSLSTTHDSVWISWITGDFQIGDRIKPLNPKTVASVVRYGRLRIPLIHKATGYSLVYNQLYPFVGLQNYTSGIIHHVRLTGLKPNTLYHYQCGDPSIPAMSSTYYFKTMPASGPKSYPSRIAIVGDLGLTYNTTSTVDHVIGNNPDLILLVGDVCYANLYLTNGTGADCYSCSFSQTPIHETYQPRWDYWGRYMQPVTSKIPIMVVEGNHEIEKQVENQTFVAYSSRFAFPSKESGSSSTFYYSFNAGGIHFIMLGGYIAYNKSAHQYKWLKKDLAKVDRKVTPWLVATWHPPWYSTYKAHYREAECMRTAMEDLLYQYGVDIIFNGHIHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIAHADEPRNCPDPSTTPDEYMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGIFEVKNETHALWTWHRNQDMYNSPGDQIYIVRQPERCPTEPKKVSHKC >Potri.017G055900.6.v4.1 pep chromosome:Pop_tri_v4:17:4347371:4352993:-1 gene:Potri.017G055900.v4.1 transcript:Potri.017G055900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055900.v4.1 MGLVSVLLISFCVLSISMIGTDNIVVGIPTTLDGPFKPVTVPLDKTFRGHAVDLPDTDPRVQRVVQGFEPEQISVSLSTTHDSVWISWITGDFQIGDRIKPLNPKTVASVVRYGRLRIPLIHKATGYSLVYNQLYPFVGLQNYTSGIIHHVRLTGLKPNTLYHYQCGDPSIPAMSSTYYFKTMPASGPKSYPSRIAIVGDLGLTYNTTSTVDHVIGNNPDLILLTPIHETYQPRWDYWGRYMQPVTSKIPIMVVEGNHEIEKQVENQTFVAYSSRFAFPSKESGSSSTFYYSFNAGGIHFIMLGGYIAYNKSAHQYKWLKKDLAKVDRKVTPWLVATWHPPWYSTYKAHYREAECMRTAMEDLLYQYGVDIIFNGHIHAYERSNRVYNYTLDPCGPVHITVGDGGNREKMAIAHADEPRNCPDPSTTPDEYMGGFCAFNFTSGPAAGKFCWDRQPDYSAYRESSFGHGIFEVKNETHALWTWHRNQDMYNSPGDQIYIVRQPERCPTEPKVSHKC >Potri.012G052000.1.v4.1 pep chromosome:Pop_tri_v4:12:4792687:4795035:-1 gene:Potri.012G052000.v4.1 transcript:Potri.012G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052000.v4.1 MIQFVLLISRQGKVRLTKWYSPYTQKERSKVIRELSGVILTRGPKLCNFVEWRGQKVVYKRYASLYFCMCTDQDDNELEVLEIIHHFVEILDRYFGSVCELDLIFNFHKAYYVLDEILIAGELQESSKKTVARLIAAQDSLVETAKEQASSISNIIAQATK >Potri.001G194250.1.v4.1 pep chromosome:Pop_tri_v4:1:18033669:18034596:1 gene:Potri.001G194250.v4.1 transcript:Potri.001G194250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G194250.v4.1 MSHRYWRRVGSRAAAGKPEKTGVPGASSSSSFPCRRPVLWSCFSVAVGSRPRECGRRCWDCGGFSPIGFPKATGGSGWRGCQRTMERVELAGRGERKMGLRWRLICEGRLEQMVCRGLREERGVGCWSAAGEGKMRRKKGQRARESEGEDLWRGEPVKDGCWPRKRKRDGRGGREEMLKIRGEGQRRLLSVREKREFNF >Potri.003G169850.1.v4.1 pep chromosome:Pop_tri_v4:3:17851425:17864450:1 gene:Potri.003G169850.v4.1 transcript:Potri.003G169850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169850.v4.1 MTTYGRPTTQFLPVTDLGSIESAFLLACLLADERMGRKKVELKRIENKSSRQVTFSKRRNGLFKKARELSVLCDVQVAILVFSSCDKLYEFSSVGSTTSILKRYTSHFKKKATSSKDANHAEVYCGKHANLKSLAELLLMVERNLEGPYAMELTLSDLVELEKQLNATLTHVRARKIQMMLESVKSLHDQEKMVKEENQLLEKQIVAMKNGKDSDHPMYHPPQQTTLSLLK >Potri.003G169850.5.v4.1 pep chromosome:Pop_tri_v4:3:17851427:17864450:1 gene:Potri.003G169850.v4.1 transcript:Potri.003G169850.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169850.v4.1 MGRKKVELKRIENKSSRQVTFSKRRNGLFKKARELSVLCDVQVAILVFSSCDKLYEFSSVGSTTSILKRYTSHFKKKATSSKDANHAEVYCGKHANLKSLAELLLMVERNLEGPYAMELTLSDLVELEKQLNATLTHVRARKIQMMLESVKSLHDQEKMVKEENQLLEKQIVAMKNGKDSDHPMYHPPQQTTLSLLK >Potri.003G169850.4.v4.1 pep chromosome:Pop_tri_v4:3:17851450:17864878:1 gene:Potri.003G169850.v4.1 transcript:Potri.003G169850.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169850.v4.1 MGRKKVELKRIENKSSRQVTFSKRRNGLFKKARELSVLCDVQVAILVFSSCDKLYEFSSVGSTTSILKRYTSHFKKKATSSKDANHAEVYCGKHANLKSLAELLLMVERNLEGPYAMELTLSDLVELEKQLNATLTHVRARKIQMMLESVKSLHDQEKMVKEENQLLEKQIVAMKNGKDSDHPMYHPPQQTTLSLLK >Potri.003G169850.3.v4.1 pep chromosome:Pop_tri_v4:3:17851450:17864878:1 gene:Potri.003G169850.v4.1 transcript:Potri.003G169850.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169850.v4.1 MTRIYDDIWKTHYSIPAADERMGRKKVELKRIENKSSRQVTFSKRRNGLFKKARELSVLCDVQVAILVFSSCDKLYEFSSVGSTTSILKRYTSHFKKKATSSKDANHAEVYCGKHANLKSLAELLLMVERNLEGPYAMELTLSDLVELEKQLNATLTHVRARKIQMMLESVKSLHDQEKMVKEENQLLEKQIVAMKNGKDSDHPMYHPPQQTTLSLLK >Potri.003G169850.6.v4.1 pep chromosome:Pop_tri_v4:3:17851511:17864450:1 gene:Potri.003G169850.v4.1 transcript:Potri.003G169850.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169850.v4.1 MGRKKVELKRIENKSSRQVTFSKRRNGLFKKARELSVLCDVQVAILVFSSCDKLYEFSSVGSTTSILKRYTSHFKKKATSSKDANHAEVYCGKHANLKSLAELLLMVERNLEGPYAMELTLSDLVELEKQLNATLTHVRARKIQMMLESVKSLHDQEKMVKEENQLLEKQIVAMKNGKDSDHPMYHPPQQTTLSLLK >Potri.010G162800.1.v4.1 pep chromosome:Pop_tri_v4:10:16768095:16770210:1 gene:Potri.010G162800.v4.1 transcript:Potri.010G162800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162800.v4.1 MSDEEQHFESKADAGASKTYPQQAGTIRKSGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVAIDIFNGKKLEDIVPSSHNCDVPHVTRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDESLLSQIKDGFGDGKDLVVTVMSSMGEEQICALKDVGPK >Potri.002G076900.3.v4.1 pep chromosome:Pop_tri_v4:2:5372738:5377457:-1 gene:Potri.002G076900.v4.1 transcript:Potri.002G076900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076900.v4.1 MVGEEGMGNGEGTEEIVQPLKIEAMDNGFGNDGVEASSGSSEGFRTYKRRRNTRSSLDGKGQQDGKSFMEAASRLADQTIKNDSQDHLRENHASLNHSSDVSQRQWRKFVLDYMYQSSSNDEHGIQRCIRDALMMAESGNCNADWHKSPSMGRMANGTHSTAKGHVGVISNGTLEESQHHSVTDLCQHAFLNTLLSEKFTSLCKLLFENFKGMTTDSILSLNFIDKRMKEGAYDRLPVLFCEDIEQFWRKLQGFGAELISLAKSLSNISKTCYNEQVGGLVDCTFEDKKHEDSNSHGKPEQTDACYVYRVCSCRRCGEKADGRDCLVCDSCEEMYHVSCIVPAVREIPPKSWYCHNCTTSGMGSPHKNCVACERLSCCRIQNNQADDEIGLSTQEPFNDFEEASNFSANNEVKLSSEGTGNVCTCKICGSPVGNGEKIKICDHSECPGKYYHVRCLTTRQIDSCGHRWYCPSCLCRVCITDRDDDKIVLCDGCDHAYHLYCMIPPRISVPKGKWFCRQCDVKIQRLRRVRRAYEKSESHRKKNDEGVKKESENLKKLYEEGGEESDKGRGMDMLITAALNCEVGCQSNEELKSM >Potri.002G076900.4.v4.1 pep chromosome:Pop_tri_v4:2:5372559:5377484:-1 gene:Potri.002G076900.v4.1 transcript:Potri.002G076900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076900.v4.1 MVGEEGMGNGEGTEEIVQPLKIEAMDNGFGNDGVEASSGSSEGFRTYKRRRNTRSSLDGKGQQDGKSFMEAASRLADQTIKNDSQDHLRENHASLNHSSDVSQRQWRKFVLDYMYQSSSNDEHGIQRCIRDALMMAESGNCNADWHKSPSMGRMANGTHSTAKGHVGVISNGTLEESQHHSVTDLCQHAFLNTLLSEKFTSLCKLLFENFKGMTTDSILSLNFIDKRMKEGAYDRLPVLFCEDIEQFWRKLQGFGAELISLAKSLSNISKTCYNEQVGGLVDCTFEDKKHEDSNSHGKPEQTDACYVYRVCSCRRCGEKADGRDCLVCDSCEEMYHVSCIVPAVREIPPKSWYCHNCTTSGMGSPHKNCVACERLSCCRIQNNQADDEIGLSTQEPFNDFEEASNFSANNEVKLSSEGTGNVCTCKICGSPVGNGEKIKICDHSECPGKYYHVRCLTTRQIDSCGHRWYCPSCLCRVCITDRDDDKIVLCDGCDHAYHLYCMIPPRISVPKGKWFCRQCDVKIQRLRRVRRAYEKSESHRKKNDEGVKKESENLKKLYEEGGEESDKGRGMDMLITAALNCEVGCQSNEELKSM >Potri.002G038100.1.v4.1 pep chromosome:Pop_tri_v4:2:2511968:2513720:1 gene:Potri.002G038100.v4.1 transcript:Potri.002G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038100.v4.1 MDKTYFLLSLSLFLVLFHGSLGRRADRPCQQQQQGQCQLDRLNALRPDDRIKCEAGVIESWDPNHDQFQCAGVAVVRRTIEPNGLLLPSYTNAPQLVYIVQVLTGTLMPGCPETFQESQESRGQGSRRFQDQHQKVRQFREGDVIALPAGVAHWFFNEGNEPVVAVSVIDVAHSANQLDVLSPRNFYLAGNPEDEFRQVEDQPRRHGEQQTGRESYRGHGQQQCNNVFCGMDTRFLAEAFNINEQVARRLQGESDRRGNIVRVKGGLQIVRPPSLRQEEQIQQQRPGEQFNGLEETMCTMRIGENIGDPSRADVFTPEAGRISTVNSHNLPILRYIQLSAERGVLYNEAMMVPHWNLNAHSIMYAIRGQARIQVVDHSGRTVFDGEMREGQVLTVPQNFAVVKRAEQNRFEWVSFKTNDNAMISPLAGRTSAIRAMPAEVLANAFRISVEEARRIKFERQETTLVSLRSSRSGSWAEA >Potri.012G071500.3.v4.1 pep chromosome:Pop_tri_v4:12:9490558:9493686:1 gene:Potri.012G071500.v4.1 transcript:Potri.012G071500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071500.v4.1 MVLYQKGGLHIYIYIYIYIYIYHESYCMVICCLLCVKGLLAIQLTAAISKLHPPHCAKESITCIGPTGGQIAFLLAGFILMIIGAGGIRPCNLAFGADQFDPETESGKRGVNSFFNWYFFTFTFAQMISLTLIVYVQSNVSWPIGLGIPAILMLISCLVYYMGTKLYVKVKATGSPMTSVARVIVVAVKKRKLKPVDQPWLSLFNYIPPKSINSKLSYTDQFRFLDKAAIMTHQDQVNPDGSPANPWKLCSLQQVEEVKCLFRVIPVWTAAIIYYVAVVQQHTYVVFQAVQSNRRLGNSNFAIPAASYIVFLMLSMSIFIPIYDRILVPFLRRITGKEAGITILQRIGVGIFLTIVTMLVSGLVEEKRRTIALTKPTLGNAPRKGAISSMSALWLIPQLSLSGIAEAFGSIGQVEFYYKQFPENMRSIGGSLFYCGMAASSYFSSLLITVVHQTTNGAATGNWLSEDLNKGRLDYYYYMIAALGVLNMGYFLLCARWYKYKGGKDDALLELCGGEKTI >Potri.012G071500.1.v4.1 pep chromosome:Pop_tri_v4:12:9490202:9493686:1 gene:Potri.012G071500.v4.1 transcript:Potri.012G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071500.v4.1 MEKRDERGSIGEENGKESVQNDLKETMGNSGEDETNSKPKVIYRGWKVMPFIIGNETFEKLGTIGTLSNLLIYLTTVFNMKSITAATIITVFNGTANLGTLIGAFLCDTYFGRYKTIGFATVASFLGLLAIQLTAAISKLHPPHCAKESITCIGPTGGQIAFLLAGFILMIIGAGGIRPCNLAFGADQFDPETESGKRGVNSFFNWYFFTFTFAQMISLTLIVYVQSNVSWPIGLGIPAILMLISCLVYYMGTKLYVKVKATGSPMTSVARVIVVAVKKRKLKPVDQPWLSLFNYIPPKSINSKLSYTDQFRFLDKAAIMTHQDQVNPDGSPANPWKLCSLQQVEEVKCLFRVIPVWTAAIIYYVAVVQQHTYVVFQAVQSNRRLGNSNFAIPAASYIVFLMLSMSIFIPIYDRILVPFLRRITGKEAGITILQRIGVGIFLTIVTMLVSGLVEEKRRTIALTKPTLGNAPRKGAISSMSALWLIPQLSLSGIAEAFGSIGQVEFYYKQFPENMRSIGGSLFYCGMAASSYFSSLLITVVHQTTNGAATGNWLSEDLNKGRLDYYYYMIAALGVLNMGYFLLCARWYKYKGGKDDALLELCGGEKTI >Potri.008G002900.16.v4.1 pep chromosome:Pop_tri_v4:8:90605:97154:1 gene:Potri.008G002900.v4.1 transcript:Potri.008G002900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002900.v4.1 MAMAIAMALRRLPSSFDKPLRPALFKATSLYYMSSLPDEAVYEKEKPGVTWPKQLNAPLEVVDPQIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEFIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEVNKQGKEVFYDYEDKINQAVFPGLQGGPHNHTIAGLAVALKQATTLEYKAYQEQVLSNCSKFAQSLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDASVKLAVKMKAETKGTKLKDFLVTMQSAHFQSEISKLRHEVEEYAKQFPTIGFNKETMKYKN >Potri.008G002900.6.v4.1 pep chromosome:Pop_tri_v4:8:90547:96341:1 gene:Potri.008G002900.v4.1 transcript:Potri.008G002900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002900.v4.1 MAMAIAMALRRLPSSFDKPLRPALFKATSLYYMSSLPDEAVYEKEKPGVTWPKQLNAPLEVVDPQIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEFIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEVNKQGKEVFYDYEDKINQAVFPGLQGGPHNHTIAGLAVALKQATTLEYKAYQEQVLSNCSKFAQSLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDASVKLAVKMKAETKGTKLKDFLVTMQSAHFQSEISKLRHEVEEYAKQFPTIGFNKETMKYKN >Potri.008G002900.17.v4.1 pep chromosome:Pop_tri_v4:8:91421:95950:1 gene:Potri.008G002900.v4.1 transcript:Potri.008G002900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002900.v4.1 MQAVGSVMTNKYSEGYPGARYYGGNEFIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPSNFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAILLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGLKEVNKQGKEVFYDYEDKINQAVFPGLQGGPHNHTIAGLAVALKQATTLEYKAYQEQVLSNCSKFAQSLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDASVKLAVKMKAETKGTKLKDFLVTMQSAHFQSEISKLRHEVEEYAKQFPTIGFNKETMKYKN >Potri.004G109699.1.v4.1 pep chromosome:Pop_tri_v4:4:9777345:9779554:1 gene:Potri.004G109699.v4.1 transcript:Potri.004G109699.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109699.v4.1 MFSVQGYDMGTMAPGRISVVVNDPHRSLNTGATEVYTVSHHLLLAHAAAVKLYKEKYQSCQGGQIGITLVSHWFEPYSNSEADQNATKRSLDFMLGWFMDPLTNGDYPRNMHDFVGGRLPEFTAEESKMLKGSYDFIGINYYTTYYAQNIDANYQSVGFMSDARANWTGERNGIPIGPQAGVKWLYIYPEGISRLLNYTKDLYGSPTIYITENGVDDVNNNASSLKEALNDPIREKSYKDHLKNVLRSINEHGVDVKGFFAWSLMDNFEWGSGYAVRFGLYYVDYKNDLKRYPKQSVKWFKQFLRRDSHSPIPHTYPLITSNETSKIEDSLVRDAKRPRNA >Potri.002G117400.1.v4.1 pep chromosome:Pop_tri_v4:2:8875756:8902994:-1 gene:Potri.002G117400.v4.1 transcript:Potri.002G117400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117400.v4.1 MEDAYARSIAEVLDFFGVDPMKGLSDSQVTLHSKIHGKNVLPEETRTPFWKLVLKQFDDLLVKILIAAAAVSLVLALINGETGLTAFLEPFVILLILAANAAVGVITETNAERSLEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKVPADMRMIEMLSNQLRADQAILTGESCSVEKELEVTIATNAVYQDKTNILFSGTVVVAGRARAVVVGVGANTAMGSIRDSMLHTDDEVTPLKKKLDEFGTFLAKVITGICILVWIVNIGHFHDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICVVHSVHHGPTIAEYSVSGTSYAPEGIIFGSSGMQIQFPAQLPSLLHVAMCSAICNESILQYNPDKGSYEKIGESTEVALRVLAEKVGLPGFDSMPSALHMLSKHERASYCNHYWESQFKKVSVLEFSHDRKMMSVLCSQKQKEIMFSKGAPGSIVSRCSNILCNDDGSTVPFSAAVRDELESRFRSFAGKETLRCLALAFKQMPIGQQTLSFEDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCNKIGAFDHLEDFAGHSYTASEFEELPALQRTLALQRMTLFTRVEPSHKRMLVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVIGIPDTLAPVQLLWVNLVTDGLPAIAIGFNKQDSDVMKAKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVHSDSGPKLPFKELMNFDSCSTRETNYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIVLTMLLHILILYVHPLSTLFSVMPLSWIEWKVVLYLSFPVIIIDEVLKFFARNSKGFRFGLRFRRPDLLPKRELRDK >Potri.015G118800.4.v4.1 pep chromosome:Pop_tri_v4:15:13279130:13286097:1 gene:Potri.015G118800.v4.1 transcript:Potri.015G118800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118800.v4.1 MSFRSKSSRQGDSKGSKEPPSKHVSKGAEGTGSSFPDFSKMKNLFGRGSKGDDPAELLEVCWLDVTGSMTATKGKAYEISFILSMNEENSFGWEDPVYVMARIGEEGEYTRVKIDLSKLGLKEEEFPAEKCRVEFRSDENAENNKKTLYFGLYEVWTNHWKGGLRIHEAIVRELTAEDSASTSNTRSDDSKVQEATADKSTSASENPTADMSIDKQVRPAPPNELRK >Potri.015G118800.2.v4.1 pep chromosome:Pop_tri_v4:15:13279195:13285789:1 gene:Potri.015G118800.v4.1 transcript:Potri.015G118800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118800.v4.1 MSFRSKSSRQGDSKGSKEPPSKHVSKGAEGTGSSFPDFSKMKNLFGRGSKGSKASSDSQQPSSNNENPEPALSQAGKTMFKPKNLSIFSEEESQAWTTSESYRDDPAELLEVCWLDVTGSMTATKGKAYEISFILSMNEENSFGWEDPVYVMARIGEEGEYTRVKIDLSKLGLKEEEFPAEKCRVEFRSDENAENNKKTLYFGLYEVWTNHWKGGLRIHEAIVRELTAEDSASTSNTRSDDSKVQEATADKSTSASENPTADMSIDKQVRPAPPNELRK >Potri.015G118800.3.v4.1 pep chromosome:Pop_tri_v4:15:13279130:13286195:1 gene:Potri.015G118800.v4.1 transcript:Potri.015G118800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118800.v4.1 MSFRSKSSRQGDSKGSKEPPSKHVSKGAEGTGSSFPDFSKMKNLFGRGSKGKASSDSQQPSSNNENPEPALSQAGKTMFKPKNLSIFSEEESQAWTTSERDDPAELLEVCWLDVTGSMTATKGKAYEISFILSMNEENSFGWEDPVYVMARIGEEGEYTRVKIDLSKLGLKEEEFPAEKCRVEFRSDENAENNKKTLYFGLYEVWTNHWKGGLRIHEAIVRELTAEDSASTSNTRSDDSKVQEATADKSTSASENPTADMSIDKQVRPAPPNELRK >Potri.010G083200.1.v4.1 pep chromosome:Pop_tri_v4:10:11065937:11068893:1 gene:Potri.010G083200.v4.1 transcript:Potri.010G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083200.v4.1 MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSVFSVKNVGKTLVTRTQGTKIASEGLKHRVFEISLADLQGDEDHAYRKIRLRAEDVQGKNVLTNFWGMNFTNDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQIKRTTYAQSSQIRQIRRKMREIMTAQATSCDLKELVRKFIPESIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLERPADEPIAEAPAETAA >Potri.008G135800.3.v4.1 pep chromosome:Pop_tri_v4:8:9031747:9039214:1 gene:Potri.008G135800.v4.1 transcript:Potri.008G135800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135800.v4.1 MDYDIVPTRMAAEYTIDNITLHFGCQVSKEKFYALWNKENVSVKFGFGNRKIYLFLTYLSTKYKLELSYENIWQIELHRPRGQAKKFLIVQMLGAAKILKKDTDDQWVRDVDFSPSYCIGQSSALCLELPRSGQLPNFQENFPCYKEDEGRFFLEVGSTFCRVSDLVPILREAQGIELPYDIVFKVNSLVQHGYLPGPALDASFYRLINPSRVNIAHIQNALEKLHHSMECCYDPVRWLREEYRKCISTGRLPTPPAVAVDDGLVHVRRVQITPTKVYFRGPEVNLSNRVLRKYPDDIDNFLRVSFVDEDLDKLFSTNISPRTFSAIEGRQTKIYQRILSTQRNGIVIGSKKFEFLGFSSSQLRESSLWMFASRTGLRAADIRRWMGDFREIKNVAKYAARLGQSFGSSRESFNIDEKEIEKIPDIEVRSGGVDYVFSDGIGKISAALAHSIAQKFGFLSFTPSAFQIRYGGYKGVVAVDPTSLKKLSLRRSMSKYKSTNTSLDILGWSKYQACYLNREVITLLSTLGVRDHIFQRKQKEAIAQLNAILTDPSSAQEALELMAPGESTNVLKEMLACGYKPGAEPFLAMMLQTFCASKLLDLRTRARIFIPKGRAMMGCLDETRTLGYGQAFVQYSSARHGQFRDHSRGGEAVRNTQILKGKLIVAKNPCLHPGDVRVLEAVNVPALHHMVDCIVFPQKGKRPHANECSGSDLDGDVYFVCWDPDLIPPRTFPPMDYTAAPTTILDHDVTIEEVQEYFTDYLLNDSLGIICNAHTVFADRDPLMARSKECIELARLSSIAVDFPKTGVPAKIPRELRVKEYPDFMEKAADKRTYESQRVLGKLFRDVRDIAPDTSPVRSFTKEMARRSYDPDMEVDGFQKHIDEAFHYKSVYDNKLGNMMDYYGIKTEAEIISGSIMKVGKSFDKKRDFDSINYSIRSLRKQARAWFDESDNSPDDLYAKASAWYYVTYHPSYWGRYNEGMNRVHFLSFPWCFYDKLIDIKKGKALGGGGASRLTSLVHRFSQASFRD >Potri.013G010900.1.v4.1 pep chromosome:Pop_tri_v4:13:693664:698908:1 gene:Potri.013G010900.v4.1 transcript:Potri.013G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010900.v4.1 MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQAMPASLHSSAAEKKLEHLPSMVAGVWTEDGNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVQFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGNGALLPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSDDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLANNYKKSIKKEACWTISNITAGNKEQIQAVIEANIIGPLVNLLQNAEFDIKKEAAWAISNATSGGAHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNVSETGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDETMPPGDASQSGFQFGGEAPAVPSGGFNFS >Potri.013G010900.2.v4.1 pep chromosome:Pop_tri_v4:13:694130:698896:1 gene:Potri.013G010900.v4.1 transcript:Potri.013G010900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010900.v4.1 MSLRPSARTEVRRNRYKVAVDAEEGRRRREDNMVEIRKNRREESLQKKRREGLQAQAMPASLHSSAAEKKLEHLPSMVAGVWTEDGNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVQFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLASPSDDVREQAVWALGNVAGDSPRCRDLVLGNGALLPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSDDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQALPCLLNLLANNYKKSIKKEACWTISNITAGNKEQIQAVIEANIIGPLVNLLQNAEFDIKKEAAWAISNATSGGAHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNVSETGGVNLYAQMIDDAEGLEKIENLQSHDNTEIYEKAVKILETYWLEEEDETMPPGDASQSGFQFGGEAPAVPSGGFNFS >Potri.014G049000.1.v4.1 pep chromosome:Pop_tri_v4:14:3139962:3143317:1 gene:Potri.014G049000.v4.1 transcript:Potri.014G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049000.v4.1 MEAPSKLVGGQAVQPSAAETKKATRRWMKDTERQFKRLKADCAEFVKRSETSSKEMLDRLKNKLEQAREAKRLEEQKVEQQKLMINTLQQLLQLPFEMTEFGTGATGLPVHHPPEAPAIEESLGLLQSHLPQGLAHGNNVEQPALTYDGDLPVSLNHLNNTDPSRTAMASGSSPMQGSLAPQGQGNNSEQPASANDDQFPDVHSSN >Potri.014G049000.7.v4.1 pep chromosome:Pop_tri_v4:14:3138353:3143278:1 gene:Potri.014G049000.v4.1 transcript:Potri.014G049000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049000.v4.1 MPWSKLHIIPYRSFFGHLRSVSWRWLSFFKNKYFVSLSLSRSLFLSYPISSGPKFSLSLSLIIVVPLLWSFQYLSLIQLFCFLQIPRNSMEAPSKLVGGQAVQPSAAETKKATRRWMKDTERQFKRLKADCAEFVKRSETSSKEMLDRLKNKLEQAREAKRLEEQKVEQQKLMINTLQQLLQLPFEMTEFGTGATGLPVHHPPEAPAIEESLGLLQSHLPQGLAHGNNVEQPALTYDGDLPVSLNHLNNTDPSRTAMASGSSPMQGSLAPQGQGNNSEQPASANDDQFPDVHSSN >Potri.016G064000.2.v4.1 pep chromosome:Pop_tri_v4:16:4472874:4474190:-1 gene:Potri.016G064000.v4.1 transcript:Potri.016G064000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064000.v4.1 MQEQLVQQPLKEVQIRKRMRIMVAIDESDGSFYALKWALDHLVDGITPTNVPSQEESSLITLVHVQQPFQHYVIPAGPGGAAFYATPSIVESVREAQAENDAALLSRALQMCKDKMIKAESLILEGEPKDKICQATEQMQVDLLVLGSRGLGKIKRAFLGSVSDYCAHHAKCPVLIVKPPKEITKETSSKSKEWNADK >Potri.016G064000.1.v4.1 pep chromosome:Pop_tri_v4:16:4472874:4474190:-1 gene:Potri.016G064000.v4.1 transcript:Potri.016G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064000.v4.1 MQEQLVQQPLKEVQIRKRMRIMVAIDESDGSFYALKWALDHLVDGITPTNVPSQEESSLITLVHVQQPFQHYVIPAGPGGAAAFYATPSIVESVREAQAENDAALLSRALQMCKDKMIKAESLILEGEPKDKICQATEQMQVDLLVLGSRGLGKIKRAFLGSVSDYCAHHAKCPVLIVKPPKEITKETSSKSKEWNADK >Potri.013G151700.1.v4.1 pep chromosome:Pop_tri_v4:13:14798408:14801330:-1 gene:Potri.013G151700.v4.1 transcript:Potri.013G151700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151700.v4.1 MEEKPDATATATATATTVTNINDLPQDILIHILSFLPTLDTITTSLISTKWKPLWSLVPSLNFSYTHFPPYNNFSTTRQFFSEFIDRTLILKPQLPLKKFRLEFIYEDRYGYHVDSWVRYAIKNQVLELDLDFFIDKSFHIFEPEAKPNYDFPFSALRNSKVRVLKLCRCDLTLPANMESMNLWSMNEVYFDQVYMTDDTVLDLFKACPNIEVLKFEDCYGMENLRLCSEKLKRLDLSSFYTAERELHLELDCPNLVWLNIDCFEMGQFCVKNLSSLVEFHTFIVHGLEYYGQWCKVVKQLHRIAHIKHLVVQNWWLKLAPKDVFPKDFLLYNLKHLELQTGYTTYDLLGMAALLELCPNLETMNLDPLYKIVEDESLSEELLNKPINLFMPNLKEVRLKAYVPGQNQNQFSQFVSLLKKQGVVLEKIVLVSLYNGMLLPPVILRRRPPRAEVIEESSLHVEGSPER >Potri.013G151700.3.v4.1 pep chromosome:Pop_tri_v4:13:14798385:14801336:-1 gene:Potri.013G151700.v4.1 transcript:Potri.013G151700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151700.v4.1 MEEKPDATATATATATTVTNINDLPQDILIHILSFLPTLDTITTSLISTKWKPLWSLVPSLNFSYTHFPPYNNFSTTRQFFSEFIDRTLILKPQLPLKKFRLEFIYEDRYGYHVDSWVRYAIKNQVLELDLDFFIDKSFHIFEPEAKPNYDFPFSALRNSKVRVLKLCRCDLTLPANMESMNLWSMNEVYFDQVYMTDDTVLDLFKACPNIEVLKFEDCYGMENLRLCSEKLKRLDLSSFYTAERELHLELDCPNLVWLNIDCFEMGQFCVKNLSSLVEFHTFIVHGLEYYGQWCKVVKQLHRIAHIKHLVVQNWWLKVLLCNFCVCVHF >Potri.017G079901.1.v4.1 pep chromosome:Pop_tri_v4:17:8885068:8885277:1 gene:Potri.017G079901.v4.1 transcript:Potri.017G079901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079901.v4.1 MSFAICQSSMWDYDDPEIKCGCNLKTPRWTSWTNDSPGMRFHACQKPRRKSCGFFRFIDPPDHTNLLSG >Potri.010G179500.1.v4.1 pep chromosome:Pop_tri_v4:10:17847185:17851863:-1 gene:Potri.010G179500.v4.1 transcript:Potri.010G179500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179500.v4.1 MALKLNLVALPSHNLKSAKVFAASTMQYSTTRGSESTKRDSYWPAKEVHFQVTHSMPPEKIEIFKSMESWTTKNILTHLKPVEKSWQPQDFLPQADSEGFYEQVKELRERSKELPDDYFVVLVGDMITEEALPTYQTALNTLDGVRDETGASLSPWAVWNRGWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGCGMDFKFENNPYNFFIYTSFQERATFISHGNTARLAKRYGDAKLAQICGTIAADEKRHEIAYTMIAEKLFEIDPDATVLALADMMRKKIVMPALLMYDGQDDNLFENFSAVAQNLGVYTAKDYADNLEFLVGRWNVAGLTGLSSEGRRAQDFVCRLPSRIRRLEERAQEKAKQASTIPFSWIHGREVRV >Potri.014G015800.1.v4.1 pep chromosome:Pop_tri_v4:14:935171:936781:1 gene:Potri.014G015800.v4.1 transcript:Potri.014G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015800.v4.1 MLSSFICGSFKNQLEVEEESWNSPSSTPERSSPSATPERSSPSATPRKSRKSSKTSKNSKNPYSSRGLDKFSALLAELEEKKQKIYSQMGPEDVPMVRFVYSSSNDCIPLIIKVKDQKQDKPRIGSANDIKENPNTRNNEGLDKLPIEAPVEEKQVEQPRLETAKKTENKSFTWNMKLHRWRRPYYYMPVALILILLLVVLFGRSVAILLTSLAWYIVPALSTKKPSQKKDYVRRLSEPAKMMINHGVSSPARKSTGSTADKWPRRHGQRKSF >Potri.004G113800.1.v4.1 pep chromosome:Pop_tri_v4:4:10447445:10450751:-1 gene:Potri.004G113800.v4.1 transcript:Potri.004G113800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113800.v4.1 MAPKRGVKGPLAAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLFLKYRPEDKAAKKERLLKRAQAEAEGKTVETKKPIVIKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTASVLCLTSVKNEDKLEFSKVLEAVKANFNDKFDEHRKKWGGGIMGSKSLAKSKAKERVLAKEAAQRMS >Potri.009G052900.11.v4.1 pep chromosome:Pop_tri_v4:9:5737944:5742964:-1 gene:Potri.009G052900.v4.1 transcript:Potri.009G052900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052900.v4.1 MQSKPEKTNVPEPDPSDIQEYTVHSEPWWRNIGYSSMPPAMTGGNASNSEGHNGSESNDDQSLSSGRLNEEDADATKDSQATASSQLGNHGHLQNLQGLVSSMTTMHNGLSQSPQFELVSHSIACASNPYQDAYYSGMMAYGHQPLGYPQFVGMPHARMLLPLEVAQDPVFVNAKQYPGIIRRREQRAKAEVDKKLIKARKPYLHESRHRHAMRRERSSGGRFAKKTGDDASKNTSEGKLNGSGPVHASQSRSSSGSELLPSDSVETWNSSEGQKEARESQVHDTFEAHDYVNRGGHYQKHSGLQSSAYGSYLGDNEDGDRSGENEDEDCPGQQLGNQAKRRFFGPQ >Potri.009G052900.13.v4.1 pep chromosome:Pop_tri_v4:9:5737944:5742624:-1 gene:Potri.009G052900.v4.1 transcript:Potri.009G052900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052900.v4.1 MQSKPEKTNVPEPDPSDIQEYTVHSEPWWRNIGYSSMPPAMTGGNASNSEGHNGSESNDDQSLSSGRLNEEDADATKDSQATASSQLGNHGHLQNLQGLVSSMTTMHNGLSQSPQFELVSHSIACASNPYQDAYYSGMMAYGHQPLGYPQFVGMPHARMLLPLEVAQDPVFVNAKQYPGIIRRREQRAKAEVDKKLIKARKPYLHESRHRHAMRRERSSGGRFAKKTGDDASKNTSEGKLNGSGPVHASQSRSSSGSELLPSDSVETWNSSEGQKEARESQVHDTFEAHDYVNRGGHYQKHSGLQSSAYGSYLGDNEDGDRSGENEDEDCPGQQLGNQAKRRFFGPQ >Potri.009G052900.10.v4.1 pep chromosome:Pop_tri_v4:9:5737376:5742883:-1 gene:Potri.009G052900.v4.1 transcript:Potri.009G052900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052900.v4.1 MQSKPEKTNVPEPDPSDIQEYTVHSEPWWRNIGYSSMPPAMTGGNASNSEGHNGSESNDDQSLSSGRLNEEDADATKDSQATASSQLGNHGHLQNLQGLVSSMTTMHNGLSQSPQFELVSHSIACASNPYQDAYYSGMMAYGHQPLGYPQFVGMPHARMLLPLEVAQDPVFVNAKQYPGIIRRREQRAKAEVDKKLIKARKPYLHESRHRHAMRRERSSGGRFAKKTGDDASKNTSEGKLNGSGPVHASQSRSSSGSELLPSDSVETWNSSEGQKEARESQVHDTFEAHDYVNRGGHYQKHSGLQSSAYGSYLGDNEDGDRSGENEDEDCPGQQLGNQAKRRFFGPQ >Potri.009G052900.3.v4.1 pep chromosome:Pop_tri_v4:9:5737349:5742888:-1 gene:Potri.009G052900.v4.1 transcript:Potri.009G052900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052900.v4.1 MPPAMTGGNASNSEGHNGSESNDDQSLSSGRLNEEDADATKDSQATASSQLGNHGHLQNLQGLVSSMTTMHNGLSQSPQFELVSHSIACASNPYQDAYYSGMMAYGHQPLGYPQFVGMPHARMLLPLEVAQDPVFVNAKQYPGIIRRREQRAKAEVDKKLIKARKPYLHESRHRHAMRRERSSGGRFAKKTGDDASKNTSEGKLNGSGPVHASQSRSSSGSELLPSDSVETWNSSEGQKEARESQVHDTFEAHDYVNRGGHYQKHSGLQSSAYGSYLGDNEDGDRSGENEDEDCPGQQLGNQAKRRFFGPQ >Potri.009G052900.12.v4.1 pep chromosome:Pop_tri_v4:9:5737944:5742883:-1 gene:Potri.009G052900.v4.1 transcript:Potri.009G052900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052900.v4.1 MQSKPEKTNVPEPDPSDIQEYTVHSEPWWRNIGYSSMPPAMTGGNASNSEGHNGSESNDDQSLSSGRLNEEDADATKDSQATASSQLGNHGHLQNLQGLVSSMTTMHNGLSQSPQFELVSHSIACASNPYQDAYYSGMMAYGHQPLGYPQFVGMPHARMLLPLEVAQDPVFVNAKQYPGIIRRREQRAKAEVDKKLIKARKPYLHESRHRHAMRRERSSGGRFAKKTGDDASKNTSEGKLNGSGPVHASQSRSSSGSELLPSDSVETWNSSEGQKEARESQVHDTFEAHDYVNRGGHYQKHSGLQSSAYGSYLGDNEDGDRSGENEDEDCPGQQLGNQAKRRFFGPQ >Potri.006G155000.1.v4.1 pep chromosome:Pop_tri_v4:6:13916639:13917952:-1 gene:Potri.006G155000.v4.1 transcript:Potri.006G155000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155000.v4.1 MSRRSCSLIQCLLPLPLLLFNLLMMSSLLQTSQGDVGTAAQYSPPYLPTACYNDSASQFPSNNMFAAAGDGIWDNGAACGRQYLVRCISAAVADSCIADQVIQVKIVDYALALINNPPSASGTTIVLSETAFGAIANNSAAPTSINLEFQQV >Potri.016G053000.2.v4.1 pep chromosome:Pop_tri_v4:16:3466746:3471314:-1 gene:Potri.016G053000.v4.1 transcript:Potri.016G053000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053000.v4.1 MILTLSYHLIPISHTQKNQKILQPPLFSFCTLKSLYFLFLKIKLVEVFGMAIVSLVILPLGVFFFCSGLIVNLIQAICFVFIRPLSKSTYRKINRQLAELLWLELVWIFDWWAGVQIKVFTDPETFRLMGKEHALLICNHKSDIDWLVGWVLAQRSGCLGSALAVMKKSSKFLPVIGWSMWFSEYLFLERNWAKDENTLKSGLQRLKDFPRPFWLALFVEGTRFTQAKLLAAQEYAASQGLPIPRNVLIPRTKGFVSAVSNMRSFVPAIYDITLAIPKSSPPPTILNLFKGKSSVVHVHIKRHLMKELPETDDSVAQWCKDIFVAKDALLDKHMADDTFSAQELQDHGRSKKSLVVVTSWACLLIFGALKFLQWSSLLSSRRGIAFTVSGLAVVTVLMHILIRFSQSERSTPAKVAPAKTKNEGKPSETGDDKQH >Potri.008G038300.1.v4.1 pep chromosome:Pop_tri_v4:8:2109319:2114079:1 gene:Potri.008G038300.v4.1 transcript:Potri.008G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038300.v4.1 MGFLNTLVELLGFGIGLPFGLLIGFFLFVYSKPKDTVKDPVVRPLHELDTGALLDILPDIPLWVKCPDYERVDWLNKFLSDMWPYLDKAVCAMIRRTTQSMFAEYIGKYKIQAIEFEHLTLGTLPPTIHGLKVYETNEKDLVMEPAIRWAGNPNIVLVLKLMSLQVTVQLVDLQIFAAPRVALKPLVPTFPCFANILVSLMERPHVDFGLKILGGDVMSIPGLYRFVQEMIKKQVASLYLWPQTLDIPILDSSTVIIKKPVGILHVKVVRAKKLLKADLLGTSDPYVKLNLTGEKLPAKKTTIKKKNLNPEWNENFKLVVKDPESQALQLQVFDWDKVGGHDRLGMQLVPLKVLTPRETKDFTLDLLKHTNISDSRDKKQRGQIVVELTYVPFREDSIKFSGPLDGNGEMGSVSGRSTPEEAPLSGAGLLSVMVQGAEDVEGKRHHNPYALVLFRGERKRTKTIKKTRDPRWNEEFQFTLDQPPLHELIRIEVMSKRKSFSFRSKESLGHVEINLDDVVYNGRINQKYHLIDSRNGVIHVEIRWSTV >Potri.019G028400.1.v4.1 pep chromosome:Pop_tri_v4:19:4053770:4055426:1 gene:Potri.019G028400.v4.1 transcript:Potri.019G028400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G028400.v4.1 MSPCIIWIPNIHDLDVNESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHVFTLSYSRGFHLEKKMFHTKRFGSVTMGSNVRDLVALTNEALSISITQKKSIIDTNIIRSALHRQTWDLRSQVRSVQDHGILFYQIGRAVEQNVFLSNCPIDPISIYMKKKSCNEGDSYLYKWYFELGTSMKKLTILLYLLSCYAGSIAQDLWSLPGPDEKNGITYYGLVENDSDLVHGLLEVEGALVGSSRTEKDCSQFDNDRVTLLLRPEPRSPLDMMQNGSCSILDQRFLYEKYESEFEEGEVEGILDPQQIEEDLFNHIVWAPRIWSPWGFLFDCIERPNSLGFPYWARSFRGKRIIYDEEDELQENDSELLQSGTMQYQIRDRSSKEQGVFRISQFIWDPANPLFFLFKDQPLYEEMSKGLLTSQTNPPTFIYKRWFIKNTWLRTKSSLSNGFFRSNTLSESYQYLSNLFLTNGRLLDQMTKALLRKIWLFPDEMKIGFM >Potri.013G115600.1.v4.1 pep chromosome:Pop_tri_v4:13:12425904:12429684:-1 gene:Potri.013G115600.v4.1 transcript:Potri.013G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115600.v4.1 MTEKELDFYSHKLPSASQVVEELKELWGMALPITAAHLMAFFRAVVSVMFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLSLSLQRMILILGIAIIPISLLWLNLESIMNFMGQDPNITAMAATYCIYSLPDLLTNTLLQPLRVFLRSQGVTKPLMYCSLLAVIFHVPLNYVLVVVMGWGVPGVALASAVTNMNMVVLMVAYVWWVSGQWEMRWRVEIGGVCGGVGPLLKLAVPSCLGICLEWWWYEIVTLLAGYLPNPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALGCAFVIGILNVTWTVFLRERWAGLFIKDVRVKGLVAAVLPIIGLCELGNCPQTTGCGILRATARPAVGAGINLGSFYFVGTPVAVGLAFGLDVGFSGLWLGLLSAQAACALSILYVVLIRTDWEHEALKAKELTSMEMSACNGVGRKQHEEYEEESKGLLMNVNGNMVDDV >Potri.013G073000.3.v4.1 pep chromosome:Pop_tri_v4:13:5999803:6009392:-1 gene:Potri.013G073000.v4.1 transcript:Potri.013G073000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073000.v4.1 MELAIMEVQNQEYPNTDQVVLFIDQPDSKLKMSSPPPQQEHSKLKQSPSPQQPDIKDPKLTQARTKTLRRLNFSKPKSRFTETNYPPHSKTFPESEEYQPLNPPESATSTDEDDDEEWFENEEEEVDAGEAKKHSKYRAKRKRKIKKRAVIEFILFLIIMTCLILSLTVESLRNKVLWGLVLWKWCLMVLVLFCGRLVSVWVVGFLVFLIERNFMLREKVLYFVFGLRKSFQHCAWLGLVLLAWMSMFHDVHKSNKVLKRVFRVLIAVLIGATIWLLKILLVKVLASSFHVATFFDRMKESVFHHYILDTLSGPPLDEDERETPRRRTLRHSKTLPAKLRERASRSKRYESRSIDMERLRKLSMMSRATAWNKKRLVSYIKSSGLSTISRTVDDFGNAESEINSEWEARGTAQRIFRNVAKSGAKYIDEEDLLSFLKTVEIHTIFPLFEGAVETGKITKSSFRNWVVHAYVERKALAHSLNDTKTAVQQLHKLASAIVTVIIIVISLLVTGLATTKVLVVFTSQLLLVGFMFQNTCKTIFESIIFVFVMHPFDVGDRCVIDGVQMIVEEMNILTTVFLRYDAEKIYYPNSVLLTKPISNFRRSPDMGDAIDITIDVSTSVDDFNALKKAIQLYIESKPKHWNPKHTLLVKEIENVNKMKLALCVQHTMNHQNYGEKSARRSELVFELKKIFDNLGIKYHLLPQQVHLTHVNMTSNGGVSM >Potri.003G175000.2.v4.1 pep chromosome:Pop_tri_v4:3:18231959:18233034:-1 gene:Potri.003G175000.v4.1 transcript:Potri.003G175000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175000.v4.1 MMTSWINFLLFLVLQLCFLSTATTNEHDDHPLPTVYVINALPKSSKAMNVSCSSNNIDIGEQSLVNGEVYKWRVSKRKLHYCVAIWERFFASWHAFQPRRDGNHETLFWMVEEDGFFISWDKAKWVRKYRWETE >Potri.017G088500.1.v4.1 pep chromosome:Pop_tri_v4:17:10152837:10154629:-1 gene:Potri.017G088500.v4.1 transcript:Potri.017G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088500.v4.1 MENSGRTTIGKTVLSMAITAVTVMMIVECAAAEQLYKVGSRGWIPNYNYTDWLNQSHEHFYVGDWLLFVFDKHSYNVLEVNETSYENCNDQGFIKNITRGGRDVVQLTEARRYYFLSSGGYCWNGMKVAINVEDFAPTPAPASSTENGSPSNIVSRQMIILIAFCVALEWMVLFL >Potri.001G259224.1.v4.1 pep chromosome:Pop_tri_v4:1:27583064:27583277:1 gene:Potri.001G259224.v4.1 transcript:Potri.001G259224.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259224.v4.1 MLFEFYVIVFLLQDLQQELSAKFVISIPIISQVC >Potri.019G124500.1.v4.1 pep chromosome:Pop_tri_v4:19:14878839:14879803:1 gene:Potri.019G124500.v4.1 transcript:Potri.019G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124500.v4.1 MKITKFVVLSFLLFAFTATSIFPHAVHAEDPEAVIDVNGNEVTADARYFIGAASDDNTTTLAVSATSQIICNSDVILSSMSNGLPVTFSSPVGESNDGVIREDSYLNVNFDAATCRMAGVSTMWKMELRPTMRGFVVTTGGVDGLNRFKITKYEGGNNSYQLSYCPISDPMCECSCACVPLGNVVDRLAPSTIPFPVVFEPVADKSS >Potri.011G042800.1.v4.1 pep chromosome:Pop_tri_v4:11:3330023:3331460:-1 gene:Potri.011G042800.v4.1 transcript:Potri.011G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042800.v4.1 MALGKNCISMKAKSVSGDALVSGEEEGLGLKFVRSYSFGRKRVLSSNNILNDEDLLNLDSTFISSLKRLRSLKPEKSNLESLPQDILIRVLCGVDHDDLKQLFHVSKVIREATLIAKEWHFAYSTPRKTQAFRTRIDFGNPSGLDEIEAPNAPKQRRSYKSLLNRKSIADVSVALFASPKKGLFMETEI >Potri.014G118300.1.v4.1 pep chromosome:Pop_tri_v4:14:7977461:7978460:-1 gene:Potri.014G118300.v4.1 transcript:Potri.014G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118300.v4.1 MGVSAGEHSLLVSFKGKVQGNEEMVHKSNETLWENVMEGQAKRRCPPRKHKHGRHAEGKRPRRILMKRMARVEGSTRRAGYGVGRRVRTLKKLIPNGESLGLDGLFRETADYILSLQTRVKVMQIMVKELTGSDE >Potri.004G218101.1.v4.1 pep chromosome:Pop_tri_v4:4:22417841:22418200:-1 gene:Potri.004G218101.v4.1 transcript:Potri.004G218101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218101.v4.1 MEVFNKELKDTDIQFRFSFPSRSLRYLDFAGDFFVDLKVKDSSGKLRVIRCRKRDGDYDKPVLSKGWLQFVADYELRVGDMAVLLREDDHLLGSQFRIEAKRKIILFRKEVWGDVPRAN >Potri.007G096600.3.v4.1 pep chromosome:Pop_tri_v4:7:12129525:12133213:-1 gene:Potri.007G096600.v4.1 transcript:Potri.007G096600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096600.v4.1 MQQDKGKVEEELRNMKEILTVAKRERDRARDELKEMKMVADESNAMLEEAMSNGKTADVFTELNSVMESLSKSKQELKIKEMTITSLKVEVGKLREVEAKLLENDNSLQNLKKELTKVKSTEAHALGLLSQSKKRIQELEAEAQRGKEAEMKLLNSFAAQTKQLEQTKLLLEKSKLEITSLHKRVEKWEKHDGDKISLQRELESLKSELQLARQNRTHAQEGEKHSASKTKNRLEETELLKHELKLATEAEENSKKAMDDLVLALSEVATEASQTREKLMFTQKELEHFKTEAENLRENLNSIEDMYRNLLNVATKEADRYRKTTERLRIEADESLLAWNAKETGFVDCIKRAEDEKSCALEENSKLLELLRTAENMNRISKQETQKVRDILKQALNEANVAKEAAGIARAENSQLKDVLDEKDNALVFITQENENLMINEAAALEQIKELKQLLSEASEKEFNVEYKENQHKQKSQSAQEKQHKDGKKPGHPCSGHLKEVITPHKHKDADENSKTPDKQNNNNEDEGSENSDPLRGSIFDDEEEESPTAKPEDHDHLDDPENERNSMRKRAYLRRFGDILLRRGGSNRRGQPVGGDGHRKEQSL >Potri.007G096600.5.v4.1 pep chromosome:Pop_tri_v4:7:12129525:12133213:-1 gene:Potri.007G096600.v4.1 transcript:Potri.007G096600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096600.v4.1 MQQDKGKVEEELRNMKEILTVAKRERDRARDELKEMKMVADESNAMLEEAMSNGKTADVFTELNSVMESLSKSKQELKIKEMTITSLKVEVGKLREVEAKLLENDNSLQNLKKELTKVKSTEAHALGLLSQSKKRIQELEAEAQRGKEAEMKLLNSFAAQTKQLEQTKLLLEKSKLEITSLHKRVEKWEKHDGDKISLQRELESLKSELQLARQNRTHAQEGEKHSASKTKNRLEETELLKHELKLATEAEENSKKAMDDLVLALSEVATEASQTREKLMFTQKELEHFKTEAENLRENLNSIEDMYRNLLNVATKEADRYRKTTERLRIEADESLLAWNAKETGFVDCIKRAEDEKSCALEENSKLLELLRTAENMNRISKQETQKVRDILKQALNEANVAKEAAGIARAENSQLKDVLDEKDNALVFITQENENLMINEAAALEQIKELKQLLSEASEKEFNVEYKENQHKQKSQSAQEKQHKDGKKPGHPCSGHLKEVITPHKHKDADENSKTPDKQNNNNEDEGSENSDPLRGSIFDDEEEESPTAKPEDHDHLDDPENERNSMRKRAYLRRFGDILLRRGGSNRRGQPVGGDGHRKEQSL >Potri.007G096600.4.v4.1 pep chromosome:Pop_tri_v4:7:12129525:12133213:-1 gene:Potri.007G096600.v4.1 transcript:Potri.007G096600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096600.v4.1 MQQDKGKVEEELRNMKEILTVAKRERDRARDELKEMKMVADESNAMLEEAMSNGKTADVFTELNSVMESLSKSKQELKIKEMTITSLKVEVGKLREVEAKLLENDNSLQNLKKELTKVKSTEAHALGLLSQSKKRIQELEAEAQRGKEAEMKLLNSFAAQTKQLEQTKLLLEKSKLEITSLHKRVEKWEKHDGDKISLQRELESLKSELQLARQNRTHAQEGEKHSASKTKNRLEETELLKHELKLATEAEENSKKAMDDLVLALSEVATEASQTREKLMFTQKELEHFKTEAENLRENLNSIEDMYRNLLNVATKEADRYRKTTERLRIEADESLLAWNAKETGFVDCIKRAEDEKSCALEENSKLLELLRTAENMNRISKQETQKVRDILKQALNEANVAKEAAGIARAENSQLKDVLDEKDNALVFITQENENLMINEAAALEQIKELKQLLSEASEKEFNVEYKENQHKQKSQSAQEKQHKDGKKPGHPCSGHLKEVITPHKHKDADENSKTPDKQNNNNEDEGSENSDPLRGSIFDDEEEESPTAKPEDHDHLDDPENERNSMRKRAYLRRFGDILLRRGGSNRRGQPVGGDGHRKEQSL >Potri.007G096600.2.v4.1 pep chromosome:Pop_tri_v4:7:12129525:12133213:-1 gene:Potri.007G096600.v4.1 transcript:Potri.007G096600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096600.v4.1 MQQDKGKVEEELRNMKEILTVAKRERDRARDELKEMKMVADESNAMLEEAMSNGKTADVFTELNSVMESLSKSKQELKIKEMTITSLKVEVGKLREVEAKLLENDNSLQNLKKELTKVKSTEAHALGLLSQSKKRIQELEAEAQRGKEAEMKLLNSFAAQTKQLEQTKLLLEKSKLEITSLHKRVEKWEKHDGDKISLQRELESLKSELQLARQNRTHAQEGEKHSASKTKNRLEETELLKHELKLATEAEENSKKAMDDLVLALSEVATEASQTREKLMFTQKELEHFKTEAENLRENLNSIEDMYRNLLNVATKEADRYRKTTERLRIEADESLLAWNAKETGFVDCIKRAEDEKSCALEENSKLLELLRTAENMNRISKQETQKVRDILKQALNEANVAKEAAGIARAENSQLKDVLDEKDNALVFITQENENLMINEAAALEQIKELKQLLSEASEKEFNVEYKENQHKQKSQSAQEKQHKDGKKPGHPCSGHLKEVITPHKHKDADENSKTPDKQNNNNEDEGSENSDPLRGSIFDDEEEESPTAKPEDHDHLDDPENERNSMRKRAYLRRFGDILLRRGGSNRRGQPVGGDGHRKEQSL >Potri.007G096600.8.v4.1 pep chromosome:Pop_tri_v4:7:12130100:12133214:-1 gene:Potri.007G096600.v4.1 transcript:Potri.007G096600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096600.v4.1 MQQDKGKVEEELRNMKEILTVAKRERDRARDELKEMKMVADESNAMLEEAMSNGKTADVFTELNSVMESLSKSKQELKIKEMTITSLKVEVGKLREVEAKLLENDNSLQNLKKELTKVKSTEAHALGLLSQSKKRIQELEAEAQRGKEAEMKLLNSFAAQTKQLEQTKLLLEKSKLEITSLHKRVEKWEKHDGDKISLQRELESLKSELQLARQNRTHAQEGEKHSASKTKNRLEETELLKHELKLATEAEENSKKAMDDLVLALSEVATEASQTREKLMFTQKELEHFKTEAENLRENLNSIEDMYRNLLNVATKEADRYRKTTERLRIEADESLLAWNAKETGFVDCIKRAEDEKSCALEENSKLLELLRTAENMNRISKQETQKVRDILKQALNEANVAKEAAGIARAENSQLKDVLDEKDNALVFITQENENLMINEAAALEQIKELKQLLSEASEKEFNVEYKENQHKQKSQSAQEKQHKDGKKPGHPCSGHLKEVITPHKHKDADENSKTPDKQNNNNEDEGSENSDPLRGSIFDDEEEESPTAKPEDHDHLDDPENERNSMRKRAYLRRFGDILLRRGGSNRRGQPVGGDGHRKEQSL >Potri.007G096600.6.v4.1 pep chromosome:Pop_tri_v4:7:12130100:12133213:-1 gene:Potri.007G096600.v4.1 transcript:Potri.007G096600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096600.v4.1 MQQDKGKVEEELRNMKEILTVAKRERDRARDELKEMKMVADESNAMLEEAMSNGKTADVFTELNSVMESLSKSKQELKIKEMTITSLKVEVGKLREVEAKLLENDNSLQNLKKELTKVKSTEAHALGLLSQSKKRIQELEAEAQRGKEAEMKLLNSFAAQTKQLEQTKLLLEKSKLEITSLHKRVEKWEKHDGDKISLQRELESLKSELQLARQNRTHAQEGEKHSASKTKNRLEETELLKHELKLATEAEENSKKAMDDLVLALSEVATEASQTREKLMFTQKELEHFKTEAENLRENLNSIEDMYRNLLNVATKEADRYRKTTERLRIEADESLLAWNAKETGFVDCIKRAEDEKSCALEENSKLLELLRTAENMNRISKQETQKVRDILKQALNEANVAKEAAGIARAENSQLKDVLDEKDNALVFITQENENLMINEAAALEQIKELKQLLSEASEKEFNVEYKENQHKQKSQSAQEKQHKDGKKPGHPCSGHLKEVITPHKHKDADENSKTPDKQNNNNEDEGSENSDPLRGSIFDDEEEESPTAKPEDHDHLDDPENERNSMRKRAYLRRFGDILLRRGGSNRRGQPVGGDGHRKEQSL >Potri.007G096600.7.v4.1 pep chromosome:Pop_tri_v4:7:12130100:12133213:-1 gene:Potri.007G096600.v4.1 transcript:Potri.007G096600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096600.v4.1 MQQDKGKVEEELRNMKEILTVAKRERDRARDELKEMKMVADESNAMLEEAMSNGKTADVFTELNSVMESLSKSKQELKIKEMTITSLKVEVGKLREVEAKLLENDNSLQNLKKELTKVKSTEAHALGLLSQSKKRIQELEAEAQRGKEAEMKLLNSFAAQTKQLEQTKLLLEKSKLEITSLHKRVEKWEKHDGDKISLQRELESLKSELQLARQNRTHAQEGEKHSASKTKNRLEETELLKHELKLATEAEENSKKAMDDLVLALSEVATEASQTREKLMFTQKELEHFKTEAENLRENLNSIEDMYRNLLNVATKEADRYRKTTERLRIEADESLLAWNAKETGFVDCIKRAEDEKSCALEENSKLLELLRTAENMNRISKQETQKVRDILKQALNEANVAKEAAGIARAENSQLKDVLDEKDNALVFITQENENLMINEAAALEQIKELKQLLSEASEKEFNVEYKENQHKQKSQSAQEKQHKDGKKPGHPCSGHLKEVITPHKHKDADENSKTPDKQNNNNEDEGSENSDPLRGSIFDDEEEESPTAKPEDHDHLDDPENERNSMRKRAYLRRFGDILLRRGGSNRRGQPVGGDGHRKEQSL >Potri.012G110400.2.v4.1 pep chromosome:Pop_tri_v4:12:13052763:13060981:-1 gene:Potri.012G110400.v4.1 transcript:Potri.012G110400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110400.v4.1 MPSHFLSNSPSTPTTKTSDLQNDTAVSLTSTSSSSSSSTAPDMWSYLWIPFLMSLSKELTLARAATATTSSPSILPPSQLADDDSPSVPRCSAPDIKLNYRPVIGILSHPGDGASGRLNNETNASYIAASYVKFVESAGARVISLIYNEPREILFEKLNLVNGVLFTGGWAKTGLYFDTVKAIFKEILAKNDAGFHFPVYAICLGFEILTMIISEDNQILETFNGADQASTLQFMNNINIKGTVFRRFPPDLLKRLSTDCLVMQNHHYGISPQRFQESEHLSSFLEILTTSTDEDNQVYVSTVQACGYPVTAFQWHPEKNAFEWGLSMIPHSEDAIQVTQHIANFFVSEARKSLNRPSSRKVLANLIYNYSPTYCGKAGKGYDEVYIFAEQKAPKYTSTNAPENASQTNQRTRSLFQIHLANTTVQPFHLPTQNHQCFVLLLGGILERCEAAW >Potri.016G090400.1.v4.1 pep chromosome:Pop_tri_v4:16:7357006:7360652:1 gene:Potri.016G090400.v4.1 transcript:Potri.016G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090400.v4.1 MASMKLGSKTDGFHRDGNTWYCTTGLPSDVTIEVGEMTFNLHKFPLLSRSGLLEKLIEELSIEDGSVSVLKLNDMPAGAKAFELIARFCYGIKIEMTSLNVVSLRCAAEYLRMTEDYGEGNLIVQAEAFLDEVFGSWTDSIKALETCEEVLPYAEEIHIVPRCIDSLAMKACADPNVFNLPVAGQPDAPSQRDVILWNGISSSANKPQPISEDWWFQDVSFLNLPLYKRLILAVESRGMKPETISASLIYYAKRYLPLMSRQSSFDHANNGNPWATVSIPSETDQRVLLEEIVTLLPKKRGVTSPEFLIMLLRTAMVLHASPLCRENLEKKAGAQLDQAVLVDLLIPNMGYSVETLYDIDCAQRMLDHFMSLNQDAALSTPPCIVEEGQFLGGPDTLQPLTMVASLVDGFLAEVAPDVNLKPSKFESLASTIPDYARPLDDGVYHAIDVYLKAHPWLTDTEKEQLCRLMNCQKLSLEACTHAAQNERLPLRVIVQVLFFEQLRLRTSISGWFYVSENLDNSQNPCGSLELPKNDGSHQINSKGRTVGVDDVKERVSELEKECLTMKQDLQKILKTKRSWKIFSKTFGFRRKLQPCNSKESCELKEPEASANGLQNHENGGLPQ >Potri.009G016600.1.v4.1 pep chromosome:Pop_tri_v4:9:2841269:2844640:1 gene:Potri.009G016600.v4.1 transcript:Potri.009G016600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016600.v4.1 MSSLSKSLRLSSSMEEEMMMMMVPKKQGIVSILGCDVERTKSAAASLRRTLSADMSSKKWQAQHGFYPLKKIASSDHFPACDSITDSSEDEDFEDRPKETETRDQFDIWSSIQEDKNKKEVEKPGQFDVWSSILSQKAKEDSKDVQPYVHPLVKRSASSLSEKSLEICTESLGSETGCDGFSSYPPSEIGDAEDEKEEEQLQERVTQKFDMEDLRAAKYNLAASNSKKSQPRSFPPPIPSLSSRDGASVHMRSRRDNGRLVLEVVSVPSQNNFHAQRQDGRLVLTLANTANQEEEERQKNEEMSDVEQFDVEIENSEGKEEVEEEIEDGEVEDGEDKRGGGGEGVRFVMEETPKLSSGILSVHRLALMMNKSLVLANRNPAWPNKFEDMTKFGDEVEVVDPITPITQSLPPRRPPATRLIPSPPVATMAAAAATAKATASFNACEYFWKPKPMTTASVLNPISQEQASSLGTTHDNRLALSKKFVPNEQQEVVILRGNNEDYLVPLLKSCKDQRKSLLFWEPHCIATS >Potri.003G073750.1.v4.1 pep chromosome:Pop_tri_v4:3:10148756:10149346:-1 gene:Potri.003G073750.v4.1 transcript:Potri.003G073750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073750.v4.1 MFLVFLHYGTQLSCHGFLMVFMTFLSCIS >Potri.019G088700.1.v4.1 pep chromosome:Pop_tri_v4:19:12744916:12747775:1 gene:Potri.019G088700.v4.1 transcript:Potri.019G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088700.v4.1 MGISRLGFIAGLIWFMAMDWQGLCMAQSNVHRYNFVLQNAQFTRLCETKTMLTVNGSFPGPTIHARRGDTIYVNVHNEGDYGVTIHWHGVKQPRNPWSDGPENITQCPIQPGKNFTYEIILSDEEGTLWWHAHSDWTRATVHGAIVISPARGTTYPFPAPYAEQTIIIGSWFKGDVKAVIDDALATGGGPAISNSLTINGQPGDKYPCSEENTYRLMVNSGRTYLLRVINAVMNEEQFFGIAGHSLTVVGQDAAYIKPITTNYIMITPGQTMDILVTANRPRSYYYIASHSFADGAGIAFDNTTTTAIFQYNGNYGRPSSIPLPVLPIFNDTAAAENYTSRVRGLASRDHPVNVPQTVNRRLYITIALNRLPCTEATCTGPNRLFASMNNVSFAAKPIDILQAYYRSINGVFDADFPSEPQKYFNFTGNVTSINVATARGTKVTMLNYGEAVEIVFQGTNLLAEMNHPIHLHGFSFYLVGHGKGNFNNETDPKSYNLIDPPEINTVALPRSGWAAIRFVANNPGVWFIHCHLEKHSSWGMDTVLIVRNGRTRAQSMRPPPATLPSCS >Potri.017G124700.2.v4.1 pep chromosome:Pop_tri_v4:17:12891140:12892855:1 gene:Potri.017G124700.v4.1 transcript:Potri.017G124700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124700.v4.1 MAFSAIFRSFNSSFFLKNSINHLKNPPKTPRGHLYLSTMKFASSNLKQTNQAQEVPSLAFQFKEYMLTKLKQVNEALEEAVPLQHPIKIHEAMRYSLLGNGKRMCPILCIASCELVGGDEELAMPLACALEMVHAMSLIHDDLPCMDNDDLRRGKPTNHKVFGECIAILAGDALLSLAFEHIASKTKNVSPDCVVRAIAELGSAIGSRGVVAGQIVDIDSEGKEVSMKTLEYIHVHKTAKLLEASAVCGAIMGGADDASIERLRKYARSIGLLYQVVDDILDATKSSEELGKTAGKDLASNKATYPKLMGIDEAKKFAAELVEQAKKEIASFDSAKAVPLFHLADYIARRQS >Potri.019G075400.1.v4.1 pep chromosome:Pop_tri_v4:19:11654887:11658059:1 gene:Potri.019G075400.v4.1 transcript:Potri.019G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075400.v4.1 MEAMQSPSLSVHLHCFPQNPLNINITHRQFSKIKSSTQTQPVQTQNPNKKHQQFDERDAFPASLPLHKKNPQAIYKDIQRFSRKNQLKDALIIMDYMDQQGIPVNPTTFSALIAACIRSKSLTKAKEIHTHLRINGLQNNEFLRTKLVHMYTSCGSIEDAKSVFDECTSTATVYPWNALIRGTVISGKKRYGDVLSAYQEMRVNGVELNEYTFSNVIKSFAGASALKQGFKTHAIMIKNGMISSAVLRTCLIDMYFKCGKTRLAHNVFEELLERDIVAWGAMIAGFAHNRRQWEALDYVRWMVSEGMYPNSVIITSILPVIGEVWARRLGQEVHCYVLKMKGYSRELSIQSGLIDMYCKCGDMGSGRRVFYGSRERNVVSWTALMSGYVSNGRLEQALRSVVWMQQEGCRPDVVTVATVIPVCAKLKTLKHGKEIHAFSVKKLFLPNVSLTTSLIKMYSKCGVLDYSVKLFDGMEARNVIAWTAMIDSYVENGCINEAFNVFRFMQWSKHRPDSVTMARMLSICSKIKTLKFGKEIHGHILKKDFESIPFVSSELVKMYGSCGLVHSAESVFNAVPVKGSMTWTAIIEAYGYNSLWQDAIKLFDEMRSRKFTPNDFTFKVVLSICDEAGFADDACRIFELMSKRYKVKISGEHYAIIIGLLNRSGRTRAAQRFIDMSNLLS >Potri.001G335200.1.v4.1 pep chromosome:Pop_tri_v4:1:34353914:34356584:-1 gene:Potri.001G335200.v4.1 transcript:Potri.001G335200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335200.v4.1 MGTQVPDNQKPDVPEELSEADRRKKEIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMANLGWGPGTVILVLSWTITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLICEVGVDIVYMVTGGKSLQKIHNLVCKDCAPIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWSASVHKGVQPDVDYGYKASTTSGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSKPGKPSKGPMWKGVVVAYIVVALCYFPVALIGYYMFGNKVEDNILISLEKPTWLIVAANMFVVIHVIGSYQIYAIPVFDMLETLLVKKLHFRPSRKLRFITRNIYVAFTMFVGICFPFFGGLLGFFGGFAFAPTTYFLPCIMWLAIYKPKRFSLSWITNWICIILGFLLMILSPIGGLRTIILNAKGYKFFS >Potri.003G128000.3.v4.1 pep chromosome:Pop_tri_v4:3:14700406:14705352:1 gene:Potri.003G128000.v4.1 transcript:Potri.003G128000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128000.v4.1 MANELRNQERLPDNLKKQLALAVRSIQWSYAIFWSNPTGQPGVLEWADGYYNGDIKTRKTVQSIELNADELGLQRSEQLRELYESLSAGEANPQARRPSAALSPEDLTDTEWYYLVCMSFVFDNGQGLPGTTLANGHPTWLCNAPSADSKIFSRSLLAKSASIQTVVCFPFMRGVVELGVSEQVLEDPSLIQHIKTSFLEIPYTVTANHSSAKSDKELACATFNREIHDTKPVPVIRCRELDTLSPDDNSNDQAATDSIMVEGLNGGASQVQSWQFMDDDFSNRVHHPLNSSDSVSQTIVDPVMLVPFLKDGKVNGQSLQDIQDCNHKKLTALNLQSDDLHYQSVLSCLLKTSHPLILGPNVQNCYQEPSFVSWKKAGLMHSQKLKSGTPQKLLKKILFEVPRMHVDGLLDSPEYSSDKVVGGRPEADEIGASHVLSERRRREKLNKRFMILKSIVPSISKVDKVSILDDTIQYLQELERKVEELECRRELLEAITKRKPEDTVERTSDNCGSNKIGNGKNSLTNKRKAPDIDEMEPDTNHNISKDGSADDITVSMNKGDVVIEIKCLWREGILLEIMDAASHLHLDSHSVQSSIMDGILSLTIKSKHKGLNAASVGTIKHALQMVAGNLFSNR >Potri.017G071950.1.v4.1 pep chromosome:Pop_tri_v4:17:7841360:7841655:-1 gene:Potri.017G071950.v4.1 transcript:Potri.017G071950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071950.v4.1 MSKKKDKKNKCAISKANKLTGGIKKDKGICHYYDKEGHWRRNCKEYLATVKVEKLNEAYNSGTKNK >Potri.011G139700.1.v4.1 pep chromosome:Pop_tri_v4:11:17058653:17063296:-1 gene:Potri.011G139700.v4.1 transcript:Potri.011G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139700.v4.1 MMPSQLNSPIPYNLFCLVFLMLYFHFVFVISLNQEGAFLLEFTKSVIDPDNNLQGWNSLDLTPCNWKGVGCSTNLKVTSLNLHGLNLSGSLSTTASICHNLPGLVMLNMSSNFFSGPIPQYLDECHNLEILDLCTNRFRGEFPTHLCTLNTLRLLYFCENYIFGEISREIGNLTLLEELVIYSNNLTGTIPVSIRELKHLKVIRAGLNYFTGPIPPEISECESLEILGLAQNRFQGSLPRELQKLQNLTNLILWQNFLSGEIPPEIGNISNLEVIALHENSFSGFLPKELGKLSQLKKLYIYTNLLNGTIPRELGNCSSALEIDLSENRLSGTVPRELGWIPNLRLLHLFENFLQGSIPKELGELTQLHNFDLSINILTGSIPLEFQNLTCLEELQLFDNHLEGHIPYLIGYNSNLSVLDLSANNLVGSIPPYLCRYQDLIFLSLGSNRLFGNIPFGLKTCKSLKQLMLGGNLLTGSLPVELYQLQNLSSLEIHQNRFSGYIPPGIGKLGNLKRLLLSDNYFFGQIPPEIGNLTQLVAFNISSNGLSGGIPHELGNCIKLQRLDLSRNQFTGSLPEEIGWLVNLELLKLSDNRITGEIPSTLGSLDRLTELQMGGNLFSGAIPVELGQLTTLQIALNISHNRLSGTIPKDLGKLQMLESLYLNDNQLVGEIPASIGELLSLLVCNLSNNNLEGAVPNTPAFQKMDSTNFAGNNGLCKSGSYHCHSTIPSPTPKKNWIKESSSRAKLVTIISGAIGLVSLFFIVGICRAMMRRQPAFVSLEDATRPDVEDNYYFPKEGFSYNDLLVATGNFSEDAVIGRGACGTVYKAVMADGEVIAVKKLKSSGAGASSDNSFRAEILTLGKIRHRNIVKLFGFCYHQDYNILLYEYMPNGSLGEQLHGSVRTCSLDWNARYKIGLGAAEGLCYLHYDCKPRIIHRDIKSNNILLDELLQAHVGDFGLAKLIDFPHSKSMSAVAGSYGYIAPEYAYTLKVTEKCDIYSFGVVLLELITGKPPVQCLEQGGDLVTWVRRSIQDPGPTSEIFDSRLDLSQKSTIEEMSLVLKIALFCTSTSPLNRPTMREVIAMMIDAREAAVSSPSESPTAESP >Potri.006G282600.2.v4.1 pep chromosome:Pop_tri_v4:6:27188593:27193162:-1 gene:Potri.006G282600.v4.1 transcript:Potri.006G282600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282600.v4.1 MAAGKYQESYSSRFSYCKYQVFLSFRGEDTRKNFTDHLYKALVDAGIHTFRDDDEIRRGKNIELELQEAIQQSKIAIIVFSKNYAWSRWCLDELVKIMECKRNGDCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMEWVNGWRIALKEVANLAGMDLGDGYEAQFVQSILENVSKNLDPKIFHVPLHFIGRGPLVQYINSWLQDGTHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRTLIVLDDVDKRDEFNKIIVMQNWLCKGSKIIVTTRNKGLFSANDIQWIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEDSWRIVHYCNGLPLALGVIGSSLSGKGREIWESALKQMEMIPNFNVQKVLQISYDFLDGDYPKNLFLDVACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPNCQRIWHHGDAFTVLEGTTDAEKLRGLTIDMHPLMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDRGKLQTGQTSLFPILSTDAFRKMPNVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLLGLEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPKKMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRFTLFSLPRFLESLDLSGTPIRFLPESIKDLGLLRHLYLRNCKMLQALPRFPSLLDSLDVSFCYSLPRYKNLSSWTERDGCAHLVEFQDGIKQELIQKFDSHVFRIMETISAQIQTSSFQITFMDGIFNVAVSVFDEDEMLRGFYEEGEEDKWLIQNEFVDNFSFKISSPPPAHRIFGFNLFISCVTSAYRGFSNVYIEIRNNTSGQSLRRQVLVLDMRFDDEVRAIQSLSHWKLGSDDPTFDSGDDVTISMVVTSASQIRTVGVQWLHEEEGKDDDIQSKDEVINAHNSSDDDDDAAHVAKVEIASRIFRNYYCGFHGNRNYYWNFTYWNFAKNYFNRKGVLYL >Potri.006G282600.1.v4.1 pep chromosome:Pop_tri_v4:6:27188593:27193162:-1 gene:Potri.006G282600.v4.1 transcript:Potri.006G282600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282600.v4.1 MAAGKYQESYSSRFSYCKYQVFLSFRGEDTRKNFTDHLYKALVDAGIHTFRDDDEIRRGKNIELELQEAIQQSKIAIIVFSKNYAWSRWCLDELVKIMECKRNGDCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMEWVNGWRIALKEVANLAGMDLGDGYEAQFVQSILENVSKNLDPKIFHVPLHFIGRGPLVQYINSWLQDGTHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRTLIVLDDVDKRDEFNKIIVMQNWLCKGSKIIVTTRNKGLFSANDIQWIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEDSWRIVHYCNGLPLALGVIGSSLSGKGREIWESALKQMEMIPNFNVQKVLQISYDFLDGDYPKNLFLDVACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPNCQRIWHHGDAFTVLEGTTDAEKLRGLTIDMHPLMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDRGKLQTGQTSLFPILSTDAFRKMPNVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLLGLEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPKKMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRFTLFSLPRFLESLDLSGTPIRFLPESIKDLGLLRHLYLRNCKMLQALPRFPSLLDSLDVSFCYSLPRYKNLSSWTERDGCAHLVEFQDGIKQELIQKFDSHVFRIMETISAQIQTSSFQITFMDGIFNVAVSVFDEDEMLRGFYEEGEEDKWLIQNEFVDNFSFKISSPPPAHRIFGFNLFISCVTSAYRGFSNVYIEIRNNTSGQSLRRQVLVLDMRFDDEVRAIQSLSHWKLGSDDPTFDSGDDVTISMVVTSASQIRTVGVQWLHEEEGKDDDIQSKDEVINAHNSSDDDDDAAHVAKVEIASRIFRNYYCGFHGNRNYYWNFTYWNFAKNYFNRKGVLYL >Potri.008G027975.1.v4.1 pep chromosome:Pop_tri_v4:8:1467610:1469093:1 gene:Potri.008G027975.v4.1 transcript:Potri.008G027975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027975.v4.1 MSTMTARSFPIFVAILLLVAMQSRTIQSKPSGDPFGFIKHLEGCHRNVSVKGLHELKRYLEKFGYLKYGHQGKKGHNHANDDEFDDLLESAIKAYQQNHHLNVTGSLDNSTVHEMMQPRCGVPDVVNGTKHYHTHKSIHTLAHYNFIPENPRWTKRQLTYKFRSSVQVPAAQNIRSICAKAFQRWAQVTEFTFQEVSVSSPADIVIGFHRRDHNDGSAFDGPGGTLAHATPPVRNAMFHFDADENWSENPGPNQMDLESVAVHEIGHLLGLDHNDDPNADAIMSSGIPSGIAKRDLRADDIQGVRALYGFAN >Potri.003G208800.1.v4.1 pep chromosome:Pop_tri_v4:3:20775441:20776707:1 gene:Potri.003G208800.v4.1 transcript:Potri.003G208800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208800.v4.1 MTRITDFKKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.004G092800.1.v4.1 pep chromosome:Pop_tri_v4:4:7870429:7871154:-1 gene:Potri.004G092800.v4.1 transcript:Potri.004G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092800.v4.1 MESAPLCSSLLPSFPQKRRYGRGKRSSGTVVLASRRENSQEWLRYGELLVDESMLVLRKRIHEMKMVERNYEPPEEWMEWEKQCYTSYDEFICKFVGFLQLHLMNTRPSLALGMLLLITMSVPASMVMIAQQLMEATCGVFSTVHGG >Potri.001G034200.1.v4.1 pep chromosome:Pop_tri_v4:1:2526413:2528026:1 gene:Potri.001G034200.v4.1 transcript:Potri.001G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034200.v4.1 MVPPPPNHQAIQQFLSSVLSQRGPSALPYTEDTKWLIRQHLVSLTSTFPSLEPKTATFTHNDGRTVNLLQADGTVPMTFESVTYNIPVIIWLIESYPRHPPCVYVNPTRDMVIKRSHSFVNPSGLVAVPYLQNWIYPSSNLVDLARELSMIFGRDPPLFSQRPRPSPNPSYHPNQSSLTNSSTFGSVGSGYPRQMVRPPQYPPPSPPYGGGEGKAGTEDAAEVFKRNVINKLVENVHGDMLLLRKTRESEMENLFSAQAVLRRREEEINKGLKEMRDEMEGLESQLQVVLMNTDVLEAWVRQNEGKVKGGMEDIDVDKAFECVDVLSKQMLECTAADMAIEDVVYSLDKAVQEGAMPFVQYLRNVRLLSREQFFNRATAAKVRAAQMQAQVASMAARAPRYAS >Potri.006G179001.1.v4.1 pep chromosome:Pop_tri_v4:6:18532478:18533091:1 gene:Potri.006G179001.v4.1 transcript:Potri.006G179001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179001.v4.1 MYSRGKALMFSASLHHRVPSLYLFKIGQENPSRAFLRGQKKLEEKTYRQPIPCPWFCCCCWWWWWWCCCFCCGWNMVRDVGAVFTWGTEACVAFVMRIFAKQMGVLGLSGSWSGYARKIISSVQSLQKTLFFFFLFVFCFLGFW >Potri.018G049500.1.v4.1 pep chromosome:Pop_tri_v4:18:4696529:4698212:-1 gene:Potri.018G049500.v4.1 transcript:Potri.018G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049500.v4.1 MAGFFENTVKKVMDHQEMLHRKFLEVIERMDRERTDREETWRHQEAEKHNREAISRAHERASTSSREAQIVSYKERITGQSINLPIRMAPPLLQPEISNEPIKEITSTKSDSHSRWPKDEVEALIKVRSRIEIKFQEPGVKGPLWEEVSSLMSSMGYQRSAKRCKEKWENINKYFRKAKESPERRSQRSKTCSYFNQLDQLYSRSLINYPSSTTYMPSRGIEFDIEKQGQPEVLEAFAVGKDHLATITNPPGENIKGAEMGSSRFEFDGIADKNEELEEGSSSRIKKFTKMISKRMANKEKMTVVVTKKMKIEARA >Potri.001G008500.1.v4.1 pep chromosome:Pop_tri_v4:1:579113:580381:-1 gene:Potri.001G008500.v4.1 transcript:Potri.001G008500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008500.v4.1 MGSEGLVTASAIPCILVLLMLVGTARSDFQQDRTECADQLVGLATCLPYVGGDAKAPTIDCCSGLKQVLDKSVKCLCVLIKDRDNPDLGIKFNVSLVAKLPSLCHAPVNVTNCIDILHLPAGSPDAKVFAGFANITGSVAAPVASANSTGSKSSTAAEKSGAERPANRWLVAAEVLCGLLLWTFTSFGV >Potri.007G029200.1.v4.1 pep chromosome:Pop_tri_v4:7:2228720:2230835:1 gene:Potri.007G029200.v4.1 transcript:Potri.007G029200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029200.v4.1 MKVPVPSTQNSSCNNQSQNPKPVSCNNTNNNSRNIRINPNNQNLCYEPTSVLDLRRSPSPARAGKPAPANDPVEWDDHVLQTLDWDSIMRDLDFHDDSAPALIKNFPQFGPCSESQIQSHNLPEFTASHQMDATQFLHSDFNDMYINSIPTHNLTSLDLSHSFHNNIGNWNAGFDFIQELIKAADCFDTNELQLAQAILERLNHRLQSPIGKPLQRAAFFFKDALQSLLATGSTRPQTNPVIPSWSNIVQTIKAYEAFFRISPIPMFADFTTNQAILDSLNGNSVFLHVIDFDIGFGCHYASLMRELVDKADSCNNLTSPLLRITAVITEDTVIETKLIKERLSQFAHELKIRLHIEFVPFRTFEMLSFKAIEFVDGEKTAVILSPIIFRRLGSTNNVTTFVNDVRRVSPSVVIVVDSEGWTESGTGSSFRRNFVDCLEFYSMMFDSLDAAAITGGDWARKIEIFLLKPKILAAVEGCGRRVASRWREVFVGAGMRPVHLSQFADFQAESLLGKVQVRGFYVAKRLAELVLCWKDRPLIATSAWKC >Potri.003G176400.4.v4.1 pep chromosome:Pop_tri_v4:3:18340326:18341954:1 gene:Potri.003G176400.v4.1 transcript:Potri.003G176400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176400.v4.1 MDLSISCHSFTSFYQQITSPNSSSLKCRCVKTTSELQTSVGVNDRTGNSPSIPPRKVTVHDRQRGVVHEFLVPENQYILHTAESQNITLPFACRHGCCTSCAVRVKSGQLRQPEALGISVELKSKIYGHCALGTL >Potri.003G176400.5.v4.1 pep chromosome:Pop_tri_v4:3:18340326:18341727:1 gene:Potri.003G176400.v4.1 transcript:Potri.003G176400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176400.v4.1 MDLSISCHSFTSFYQQITSPNSSSLKCRCVKTTSELQTSVGVNDRTGNSPSIPPRKVTVHDRQRGVVHEFLVPENQYILHTAESQNITLPFACRHGLPVYLPCSLWLLLFSG >Potri.003G176400.7.v4.1 pep chromosome:Pop_tri_v4:3:18340326:18341903:1 gene:Potri.003G176400.v4.1 transcript:Potri.003G176400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176400.v4.1 MDLSISCHSFTSFYQQITSPNSSSLKCRCVKTTSELQTSVGVNDRTGNSPSIPPRKVTVHDRQRGVVHEFLVPENQYILHTAESQNITLPFACRHGCCTSCAVRVKSGQLRQPEALGISVELKSKVCALYFVFLFIAVTKRF >Potri.003G176400.6.v4.1 pep chromosome:Pop_tri_v4:3:18340326:18341773:1 gene:Potri.003G176400.v4.1 transcript:Potri.003G176400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176400.v4.1 MDLSISCHSFTSFYQQITSPNSSSLKCRCVKTTSELQTSVGVNDRTGNSPSIPPRKVTVHDRQRGVVHEFLVPENQYILHTAESQNITLPFACRLLH >Potri.003G176400.2.v4.1 pep chromosome:Pop_tri_v4:3:18340186:18344271:1 gene:Potri.003G176400.v4.1 transcript:Potri.003G176400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176400.v4.1 MDLSISCHSFTSFYQQITSPNSSSLKCRCVKTTSELQTSVGVNDRTGNSPSIPPRKVTVHDRQRGVVHEFLVPEEIKCYVVCALGGNAEPVYIAHSGITEHHASICLQARVACVFTLQPMALAIFWLKLFEIKCYVLAGCCTSCAVRVKSGQLRQPEALGISVELKSKGPCRRADFWMCSLHQRCTICRGNNLKFCLVDERVQGGKKRTKKTTKF >Potri.003G176400.3.v4.1 pep chromosome:Pop_tri_v4:3:18340326:18342087:1 gene:Potri.003G176400.v4.1 transcript:Potri.003G176400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176400.v4.1 MDLSISCHSFTSFYQQITSPNSSSLKCRCVKTTSELQTSVGVNDRTGNSPSIPPRKVTVHDRQRGVVHEFLVPENQYILHTAESQNITLPFACRHGCCTSCAVRVKSGQLRQPEALGISVELKSKGPCRRADFWMCSLHQRCTICRGNNLKFCLVDERVQGGKKRTKKTTKF >Potri.010G088000.1.v4.1 pep chromosome:Pop_tri_v4:10:11337304:11341898:1 gene:Potri.010G088000.v4.1 transcript:Potri.010G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088000.v4.1 MENQPTIMVTNDDGIDAPGLRALVQVLVSTRRFQVLVCAPDSEKSAMSHSITWRDPIAARRVEIEGATAYAIAGTPADCTSLGISKSLFPLIPDLVISGINMGSNCGYHIVYSGTVAGAREAFFNEIPAISVSYNWFGGQSKVENFTLSAEACIPIITAVLVEIKNKTYPLRCFLNIDLPTDVANNKGYKLTKQGKSIYKMGWSQVTSDMQGGKMLSTMTMDTDSTAPIETGALNLSQDHLLFKREVLGGKLDEGDIDDADFKFLQQGYITVTPLGALSHAEIGCHSYFKDWLPSVGEHPSASSL >Potri.001G285900.3.v4.1 pep chromosome:Pop_tri_v4:1:29874620:29881600:1 gene:Potri.001G285900.v4.1 transcript:Potri.001G285900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285900.v4.1 MYKPEYPPQEPEPGVVVVDEDGKPEDRVELAMNCLPPYNSASCWNEDLASPFRYWKIRDYAHAYRSQFVTPSMVAEKIISVIEEFNKKDPPMPLLISFDAEEVRKQAAASTKRFEEGSPISILDGIFISIKDDIDLYPHPSKGGTTWMHEVRPVEEDAVSVSRLRCCGVIFVGKANMHEFGMGTTGNNPNYGTTRNPHALDRYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNIRGSLCDHGTVAIIGPIASSVEDVMLVYAAILGSNPADRISLNPSPPCLPNLSSCDGADALGSLRLGKYTAWFNDVQSTDVSDTCEDMLNLLSKTHGCEMVEIVIPEMQEMRTAHLLSIGSEFMQSLIPDIEDGKGVRLNYDSRTSVALFRSFSASDYIAAQCLRRRLMYHHMEIFKKVDVIVTPTTGMTAPKIPSGALSYGETNLQVTGYLMRFVVAANLLGFPAISIPVGYDKQGLPIGLQLIGRPWGEATLLRLASAVEELYAKPKKRPASFYDVLKTK >Potri.001G285900.1.v4.1 pep chromosome:Pop_tri_v4:1:29874620:29881600:1 gene:Potri.001G285900.v4.1 transcript:Potri.001G285900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285900.v4.1 MGKKRVMVPAEDVDLSAVKYQHEAIQAPHLTGLKFKLFVKLLETPLVGPLIISHLKKENKIVELLQKTVIPEAPMYKPEYPPQEPEPGVVVVDEDGKPEDRVELAMNCLPPYNSASCWNEDLASPFRYWKIRDYAHAYRSQFVTPSMVAEKIISVIEEFNKKDPPMPLLISFDAEEVRKQAAASTKRFEEGSPISILDGIFISIKDDIDLYPHPSKGGTTWMHEVRPVEEDAVSVSRLRCCGVIFVGKANMHEFGMGTTGNNPNYGTTRNPHALDRYTGGSSSGPAAIVASGLCSAALGTDGGGSIRIPSSLCGVVGLKTTYGRTNIRGSLCDHGTVAIIGPIASSVEDVMLVYAAILGSNPADRISLNPSPPCLPNLSSCDGADALGSLRLGKYTAWFNDVQSTDVSDTCEDMLNLLSKTHGCEMVEIVIPEMQEMRTAHLLSIGSEFMQSLIPDIEDGKGVRLNYDSRTSVALFRSFSASDYIAAQCLRRRLMYHHMEIFKKVDVIVTPTTGMTAPKIPSGALSYGETNLQVTGYLMRFVVAANLLGFPAISIPVGYDKQGLPIGLQLIGRPWGEATLLRLASAVEELYAKPKKRPASFYDVLKTK >Potri.005G049200.1.v4.1 pep chromosome:Pop_tri_v4:5:3130791:3134397:-1 gene:Potri.005G049200.v4.1 transcript:Potri.005G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049200.v4.1 MGHGHPVLLLSLSLLILSSATADDGSAIIKLASSITPLPSGWSTKSSTGFCSWRGIKCDSSNTRVTSISLSKLSISGTLPPEISTLSELQSLTFQDNQLSGAIPSLANLTNLQIILLDSNNFTSISPGFLQGLTSLQTLSVGDNVNLFPWILPTDLEQCTSLTTLTAKDCNLFGSIPDVFGSLPSLQNLRLSYNNFTGALPPSFANSGIQNLWLNNQQNGLTGSIEVIGSMTQLAQVWLHKNEFTGPIPDLTECKSIFDLQLRDNQLSGIVPASLVSLPKLVNVSLSNNKFQGPVPQFPPSVTKVDNDGNNKYCAPPGVSCDAQVMTLLGIAGGFGYPSILSDGWDDNNACGWAFVTCDVDKKNVVTVNLAKQHFPGRISSSFANLTSLKNLYLNDNNLTGSIPDSLIKLPELVTFDVSNNNLSGKIPNFPASVKFITKPGNPFLGTKVDTGGGTTTSSDVGTTKKSGGMIASIIVAAVIFIAVLSIVLYKYRKRPRKYKKKVGWDSGKALFNNGVAGGGYNEVSIELSSQSSVGENGKNIFEDGNVALPIEVIRQATDNFHEINITGRGGFGVVYRGELHDGTKIAVKRMESTVMGTKGMSEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLGQHLFECHDYGYTPLTWKQRITIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDSMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVVLMEIITGRKTLDDSMPDEEAHLVPWFRRILMTKENIPKAIDESLNPDEETLATIYTVSELAGHCTAREPHQRPDMGHAVNILAPLVEQWRPASQQDQSFDIDQDTNLSETLRRWQTEEGTSMISDDASFSRTQSSVPSMPSRFVNTFTSTDCR >Potri.008G216600.1.v4.1 pep chromosome:Pop_tri_v4:8:16423223:16434970:1 gene:Potri.008G216600.v4.1 transcript:Potri.008G216600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216600.v4.1 MAPSRKRSVNKRYSNVNEVTPTKNAAVITNKSKPRKRKLSEMLGPQWGKEELERFYKAYRKHGKDWEKVAAAVRNRSVEMVEALYTMNKAYLSLPKGFASAAGLIAMMTDHYSNLGESDSEIESNGGTGTSRKSQKRARVTKGSDAPPVPDLLQSQPAASNYGCLSLLKKRRTGSKPWAVGKRTPRVPVTYSFDKYSEEKYVSPIRQGLKVKADAVDDDVAHEIALALTEASQRGGSPQVSQTPKRKTKMPSHAQHDEQMHAESEIMSAKLRGSEMEEVGCELSLGSTEADVVDYVKDESFWKGKRYYGRRPPAEDLDDNLDDVREACSGTEEGQKLDAVEELFEMEVADTKLVRSSKGSRKRSKKVLFGEVEDADFDALEALADLSLRLPETPVDTGSSVYVEEEKTGIVAKSKLKGNPSSPGVKPISFKTTKQGKVFTHNASSIPEEKDVAHQFGPVMRKRRQKHMPSKISENEEHADSYLGESQKVEVTTDDNNFMSKGKRSQYAAHSKQGKLMKSAERTSSSNNHGRELNNSAPTTIQVLSASQFNLPTKVRSSRKLNTPKMLVERDSKSSENIVNSQSNTLIPSFQDRVLGLKGKLSNCLSRYLVRRWCVFEWFYSAIDYPWFSKREFVEYLEHVRLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLNHYRESVREHYAELRTGTREGLPTDLARPLSVGQRIIALHPRTSEIHDGSILTVDHSRCHVQFDRPELGVEFVMDVDCMPLNPLENMPASMIGHNIALNRYMKNLNELKISGQPAEKKMEGFKFSPCENLEDNSAPPHTSLSTYHCSVLLQQPMGGLGGSNSQVNNGGETINTQQATNAQPSFYAQIQAKEADIHALSELTRALDKKEAVVSELKHMNDEVLESQKRGENSLKDSEAFKKHYAAVLLQLNEVNEQVSSALFFLRQRNTYQGNIPHVLSKSIPNIDDPACHGSSFDSSADDTQESGSHVVEIVESSRTKAQTMVDAAMQAMSSLKKEGSSIESIEDAIDFVNNKLLADDSSVPAIRSPVPASSVQDSPASQDQLSSCVANPGAINHAPDAKWNNLSNENEVQIPSELISHCVATLLMIQKCTERQFPPSHVAQVLDSAVISLKPCCSVNLPIYAEIQKFMGIIKNQILALIPT >Potri.008G216600.3.v4.1 pep chromosome:Pop_tri_v4:8:16423231:16434918:1 gene:Potri.008G216600.v4.1 transcript:Potri.008G216600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216600.v4.1 MAPSRKRSVNKRYSNVNEVTPTKNAAVITNKSKPRKRKLSEMLGPQWGKEELERFYKAYRKHGKDWEKVAAAVRNRSVEMVEALYTMNKAYLSLPKGFASAAGLIAMMTDHYSNLGESDSEIESNGGTGTSRKSQKRARVTKGSDAPPVPDLLQSQPAASNYGCLSLLKKRRTGSKPWAVGKRTPRVPVTYSFDKYSEEKYVSPIRQGLKVKADAVDDDVAHEIALALTEASQRGGSPQVSQTPKRKTKMPSHAQHDEQMHAESEIMSAKLRGSEMEEVGCELSLGSTEADVVDYVKDESFWKGKRYYGRRPPAEDLDDNLDDVREACSGTEEGQKLDAVEELFEMEVADTKLVRSSKGSRKRSKKVLFGEVEDADFDALEALADLSLRLPETPVDTGSSVYVEEEKTGIVAKSKLKGNPSSPGVKPISFKTTKQGKVFTHNASSIPEEKDVAHQFGPVMRKRRQKHMPSKISENEEHADSYLGESQKVEVLSASQFNLPTKVRSSRKLNTPKMLVERDSKSSENIVNSQSNTLIPSFQDRVLGLKGKLSNCLSRYLVRRWCVFEWFYSAIDYPWFSKREFVEYLEHVRLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLNHYRESVREHYAELRTGTREGLPTDLARPLSVGQRIIALHPRTSEIHDGSILTVDHSRCHVQFDRPELGVEFVMDVDCMPLNPLENMPASMIGHNIALNRYMKNLNELKISGQPAEKKMEGFKFSPCENLEDNSAPPHTSLSTYHCSVLLQQPMGGLGGSNSQVNNGGETINTQQATNAQPSFYAQIQAKEADIHALSELTRALDKKEAVVSELKHMNDEVLESQKRGENSLKDSEAFKKHYAAVLLQLNEVNEQVSSALFFLRQRNTYQGNIPHVLSKSIPNIDDPACHGSSFDSSADDTQESGSHVVEIVESSRTKAQTMVDAAMQAMSSLKKEGSSIESIEDAIDFVNNKLLADDSSVPAIRSPVPASSVQDSPASQDQLSSCVANPGAINHAPDAKWNNLSNENEVQIPSELISHCVATLLMIQKCTERQFPPSHVAQVLDSAVISLKPCCSVNLPIYAEIQKFMGIIKNQILALIPT >Potri.008G216600.2.v4.1 pep chromosome:Pop_tri_v4:8:16423269:16434897:1 gene:Potri.008G216600.v4.1 transcript:Potri.008G216600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216600.v4.1 MAPSRKRSVNKRYSNVNEVTPTKNAAVITNKSKPRKRKLSEMLGPQWGKEELERFYKAYRKHGKDWEKVAAAVRNRSVEMVEALYTMNKAYLSLPKGFASAAGLIAMMTDHYSNLGESDSEIESNGGTGTSRKSQKRARVTKGSDAPPVPDLLQSQPAASNYGCLSLLKKRRTGSKPWAVGKRTPRVPVTYSFDKYSEEKYVSPIRQGLKVKADAVDDDVAHEIALALTEASQRGGSPQVSQTPKRKTKMPSHAQHDEQMHAESEIMSAKLRGSEMEEVGCELSLGSTEADVVDYVKDESFWKGKRYYGRRPPAEDLDDNLDDVREACSGTEEGQKLDAVEELFEMEVADTKLVRSSKGSRKRSKKVLFGEVEDADFDALEALADLSLRLPETPVDTGSSVYVEEEKTGIVAKSKLKGNPSSPGVKPISFKTTKQGKVFTHNASSIPEEKDVAHQFGPVMRKRRQKHMPSKISENEEHADSYLGESQKVEVTTDDNNFMSKGKRSQYAAHSKQGKLMKSAERTSSSNNHGRELNNSAPTTIQVLSASQFNLPTKVRSSRKLNTPKMLVERDSKSSENIVNSQSNTLIPSFQDRVLGLKGKLSNCLSRYLVRRWCVFEWFYSAIDYPWFSKREFVEYLEHVRLGHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLNHYRESVREHYAELRTGTREGLPTDLARPLSVGQRIIALHPRTSEIHDGSILTVDHSRCHVQFDRPELGVEFVMDVDCMPLNPLENMPASMIGHNIALNRYMKNLNELKISGQPAEKKMEGFKFSPCENLEDNSAPPHTSLSTYHCSVLLQQPMGGLGGSNSQVNNGGETINTQQATNAQPSFYAQIQAKEADIHALSELTRALDKKEAVVSELKHMNDEVLESQKRGENSLKDSEAFKKHYAAVLLQLNEVNEQVSSALFFLRQRNTYQGNIPHVLSKSIPNIDDPACHGSSFDSSADDTQESGSHVVEIVESSRTKAQTMVDAAMQAMSSLKKEGSSIESIEDAIDFVNNKLLADDSSVPAIRSPVPASSVQDSPASQDQLSSCVANPGAINHAPDAKWNNLSNENEVQIPSELISHCVATLLMIQKCTERQFPPSHVAQVLDSAVISLKPCCSVNLPIYAEIQKFMGIIKNQILALIPT >Potri.001G115400.1.v4.1 pep chromosome:Pop_tri_v4:1:9360324:9363080:1 gene:Potri.001G115400.v4.1 transcript:Potri.001G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115400.v4.1 MSPPSKSQESPIGKTSQPPPISSLTTRIWPANLMQMILQEIKTQRGITLPLLAMNLTWFSKTAITTVFLGRLGELQLVSGTLGFTFANVTGFSVLNGLSAAMEPLCGQAHGAKNFMLLHKTLLMVTFLLLLATLPISFLWLNVDKILIHCGQQEDVSRVAKNYLFYLFPDLIITCLLCPLKVYLSSQSVTVPIMFSSALGLAFHIPINILLVKAKGLEGVSMAIWITDLMVVILLASYVLMMENRKGGNWKEGGWLDQGVHDWLRLLKLCAPCCLTTCLEWWCWEILILLTGRLPNAKQAVGVIAIVLNFDYLLFSVMLSLATCASTRVSNELGANQAGRAYQSAYVSLGASTISGCIGALVMVGVRGVWGSLFSHDQGIIKGVKKMMLLMALIEVVNFPLVVCGGIVRGTARPWLGMYANLGGFYFLALPMAVLLAFKAALGLGGLLVGFLIGLVACLILLVVFVVRIDWEVEAEKAQKLASCDVQEVDVKERVNHRTTETVDGAEAWE >Potri.002G184300.6.v4.1 pep chromosome:Pop_tri_v4:2:14606806:14610152:1 gene:Potri.002G184300.v4.1 transcript:Potri.002G184300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184300.v4.1 METSLCFSTLLLLLIGAIFTFQSQVIAVEPVSDLKLNSRILQDSILKKVNGNPKAGWKATMNHHFSNYTVAQFKYLLGVKPTPKEELRGIPVISHPKSLRLPEEFDARTAWPQCSTIGKILDQGHCGSCWAFGAVESLSDRFCIHYGMNISLSVNDLLACCGFLCGSGCNGGYPISAWRYFVHHGVVTEECDPYFDDIGCSHPGCEPGYPTPKCARKCVNKNQLWKKSKHYGVKPYRIDSDPDSIMAEIYKNGPVEVAFTVYEDFAHYKSGVYKHITGGMMGGHAVKLIGWGTSEDGEAYWLLANQWNRGWGDDGYFKIRRGTNECGIEGDVVAGLPSTRNLVREVVSVDAREDASA >Potri.012G006100.3.v4.1 pep chromosome:Pop_tri_v4:12:197842:200270:1 gene:Potri.012G006100.v4.1 transcript:Potri.012G006100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006100.v4.1 MENSTQESHLRSENTVTYESPYPLYAMAISSTASRANQYQRIALGSFIEDYNNRIDIVSFDPETLSIKTHQNLSVEHPYPPTKLMFSPSSLHKSNDLLASSGDYLRLWEVRDSASVEPLLVLNNSKTSEFCAPLTSFDWNDIEPKRIGTCSIDTTCTIWDIEKGVVETQLIAHDKEVHDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPRPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTIPVAELERHMASVNAIAWAPQSCRHICSAGDDSQALIWELPTVAGPNGIDPMSMCSATSEINQLRWSAALPDWIAIAFSNKMQLLKV >Potri.012G006100.4.v4.1 pep chromosome:Pop_tri_v4:12:197972:199172:1 gene:Potri.012G006100.v4.1 transcript:Potri.012G006100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006100.v4.1 MENSTQESHLRSENTVTYESPYPLYAMAISSTASRANQYQRIALGSFIEDYNNRIDIVSFDPETLSIKTHQNLSVEHPYPPTKLMFSPSSLHKSNDLLASSGDYLRLWEVRDSASVEPLLVLNNSKTSEFCAPLTSFDWNDIEPKRIGTCSIDTTCTIWDIEKGVVETQLIAHDKEVHDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPRPDTPLLRLAWNKQDLRYMATILMDSNKVVILDIRSPTIPVAELERHMASVNAIAWAPQSCRHICSAGDDSQALIWELPTVAGPNGIDPMSMCSATSEINQLRWSAALPDWIAIAFSNKMQLLKV >Potri.006G128300.1.v4.1 pep chromosome:Pop_tri_v4:6:10399420:10399572:-1 gene:Potri.006G128300.v4.1 transcript:Potri.006G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128300.v4.1 MAKEVSGSRSWIEVAPAPIIYPRKPSNAPRLEPIAEEGHEEHDEDSQAFQ >Potri.013G147200.2.v4.1 pep chromosome:Pop_tri_v4:13:14417745:14423472:1 gene:Potri.013G147200.v4.1 transcript:Potri.013G147200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147200.v4.1 MTIMSFINRASRALHGYPASSKLLVLFTLSSGGLVAYADSQSETAAPAAELNQNEWKKKRVVVLGTGWAGTSFLKDLDVSSYDVQVVSPRNYFAFTPLLPSVTCGTVEARSIVEPVRNIIKKRNGEIQFFEAECVKIDAAKNKVFCKSHFENNVIGAEDFSLEYDYLVVAIGAQVNTFNTPGVTENCHFLKELEDAQKLRRSVIDCFEKASIPGLTEEERRTNLHFVVVGGGPTGVEFAAELHDFIQEDLVNVYPMVKDLVKITLIQSGDHILNMFDERISTFAEKKFQRDCIEVQTGCRVLSVSDKEITMKVKSKGEITSIPHGLVVWSTGISTRPVVKDFMEQIGQANRRVLATNEWLRVKECENVYALGDCATIDQRKIMEDIASIFKAADKDNSGTLTVQEFQDVVDDILVRYPQLELYLKNKHLRDVKDLMKDPEGKDIKEVDIEGFKLALAQVDSQAKSLPATAQVAAQQGAYLSRCFNRREHCKDNPEGPRRFVGSGRHAFVPFRYKHLGQFAPLGGEQAAAELPGDWVSIGRSTQWLWYSVYASKQVSWRTRVLLVSDWTRRFIFGRDSSRI >Potri.003G179301.1.v4.1 pep chromosome:Pop_tri_v4:3:18552169:18553845:-1 gene:Potri.003G179301.v4.1 transcript:Potri.003G179301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179301.v4.1 MKVNRVILIVLALLLITQSLSEAIPRLKHKEITEGKDREEKRSSSGSSGRSSRGGSRRSRSGGRRSRGSSNCDPLFQYLFGNCGQWPFPTSPSPNNPFQPSPRPSPPRRRAPPLPPLVPSPPPLPLVPSPPPTVQPPVVPSPPPEVPSPPPVATSPPPSSSPPVSPPSSPPPPSPPPPSSPPPPLAPSPPPPVPSPPPAPLVFPPPPLVPSPPPPEVTPSPLNPWLLPPPEFTPPPPLVSIFDPPTEPDVPPDDEFTPAPPLIPIFDPPTEPDMPSPDDEFTPSPPLIPIFSPPSTDQPNMPPDEFALPPPLPLFNPPPEQDSPPADEFTPAPFLPIPPFLPLIGSPPTDVFTPTPLVPMFSPPVPDDQPPQFPLLPPKEPFTFTPPIESTTPNAPDLYFPPPLVPEIPDNPQEPLPFSNYVPPAPDAA >Potri.018G094900.1.v4.1 pep chromosome:Pop_tri_v4:18:11496591:11497937:-1 gene:Potri.018G094900.v4.1 transcript:Potri.018G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094900.v4.1 MAAYPSEPNPLLLLLMISLLVGSDLLLVDAGSFYQDVDIIWGDGRAKILNNGNLLTLSLDRASGSGFQSKNEYFFGKFDIQLKLVPGNSAGTVTTFYLHSQGSAWDEIDFEFLGNLSGDPYLVHTNVYTQGKGDREQQFYLWFDPTADFHTYSVLWNPRHIVFYVDGRPIREFKNMESIGVPYPKRQSMRMYASIWNADDWATRGGLVKTDWTQAPFTVSYRNFNAEACMGSNGVSSCNNSTNNRWYSQELDSTSQKQLKWVRENYMVYNYCADTKRFPQGLPLECNATKK >Potri.015G144700.5.v4.1 pep chromosome:Pop_tri_v4:15:14956904:14959639:-1 gene:Potri.015G144700.v4.1 transcript:Potri.015G144700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144700.v4.1 MFLAVIRARATAAPLNAAYTTEEFEFYLSDSESKLLLTAQEGNSSAQAAASKLKIPHATATLSGADSELNLSPSPTESDDPNLISQLINDPSDMALFLHTSGTTSRPKGVPLTQLNLASSVNNIKSVYKLTESDSTVIVLPLFHVHGLLAGLLSSLAAGASVALPSAGRFSASTFWKDMDKYNATWYTAVPTIHQIILDRHFSNPESVYPKLRFIRSCSASLAPAILARLEEAFNTPVLEAYAMTEATHLMCSNPLPEDGPHKAGSVGKPVGQEMAILNENGVIQDANVSGEVCLRGPNVTKGYKHNPEANKVAFQFGWFHTGDLGYFDSDGYLHLVGRIKELINRGGNVNYDLIQSIMVQNYRLVGE >Potri.015G144700.1.v4.1 pep chromosome:Pop_tri_v4:15:14956804:14959944:-1 gene:Potri.015G144700.v4.1 transcript:Potri.015G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144700.v4.1 MATLTLTGLLKRVAGEFPNRRAVSVSGKLDLTHARLHEIIERAASRLVASGIKPGDVVALTFPNTVEFVIMFLAVIRARATAAPLNAAYTTEEFEFYLSDSESKLLLTAQEGNSSAQAAASKLKIPHATATLSGADSELNLSPSPTESDDPNLISQLINDPSDMALFLHTSGTTSRPKGVPLTQLNLASSVNNIKSVYKLTESDSTVIVLPLFHVHGLLAGLLSSLAAGASVALPSAGRFSASTFWKDMDKYNATWYTAVPTIHQIILDRHFSNPESVYPKLRFIRSCSASLAPAILARLEEAFNTPVLEAYAMTEATHLMCSNPLPEDGPHKAGSVGKPVGQEMAILNENGVIQDANVSGEVCLRGPNVTKGYKHNPEANKVAFQFGWFHTGDLGYFDSDGYLHLVGRIKELINRGGEKISPVEVDAVLLSHPDIAQAVAFGVPDDKYGEEINCAIIPRDGTDIDEEEVLRFCKKNLAAFKVPKKVFLTDSLPETASGKIQRRIVSEHFLAQISTASVPKFGA >Potri.001G318500.1.v4.1 pep chromosome:Pop_tri_v4:1:32829617:32832057:1 gene:Potri.001G318500.v4.1 transcript:Potri.001G318500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318500.v4.1 MAGNHVGVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFSISLVTKLLGRIYYHVDSAEKPGNLPPNVAAAVNGVAFCGTLAGQLFFGWLGDKLGRKHVYGMTLMLMVISSIASGLSFGHSAKGVMATLCFFRFWLGFGIGGDYPLSATIMSEYANKRTRGAFIASVFAMQGFGILTGGIVALIVSTAFDHAYHAPSYETNPVASTVPEADYVWRIILMFGSVPAAMTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDLLVEENRVEHESSKSFDLFTKKFARRHGLHLLGTSVCWFLLDIGYYSSNLFQKDIFSSIGWIPPAKTMNAIHEVYLVARAQTLIALCGTVPGYWFTVALIDYIGRFAIQLMGFFFMTVFMFALAIPYHHWTKKSNRIGFLVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIVGAFGFLYAAQSTDPTQTDAGYPPGIGVKNSLIVLGGVNFFGVLFTLLVPEAKGKSLEEISGENEDEDVVHDHQQASSVRTMPQ >Potri.003G155300.1.v4.1 pep chromosome:Pop_tri_v4:3:16667793:16668879:-1 gene:Potri.003G155300.v4.1 transcript:Potri.003G155300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155300.v4.1 MAMITCMTASLKKLVSLFLVITFIGKSCVFGHFVVEKSNIRVLSPLSLMSKHDSAIGNFGIPDYGGYLVGSVVYPDKGALCHLAKQLFGCVILGFGKLRRSSLLGAMYSACSLV >Potri.001G421400.1.v4.1 pep chromosome:Pop_tri_v4:1:44966974:44969709:-1 gene:Potri.001G421400.v4.1 transcript:Potri.001G421400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G421400.v4.1 MMGCFKCCISKERLCKKSLKKSIKEYHDARTLASFANISFKTDSSRKRYIAEEIRQIGKGNISADIFTFRDLTTATKNFNHENLIGEGGFGRVYKGIIQKTKQVVAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVSLVGYCADGDQRILVYEYMINGSLEDHLLELAPDKKPLDWNTRMKIAEGAARGLEYLHESANPPVIYRDFKASNVLLDENFNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYALTGQLTAKSDVYSFGVVFLELITGRRVIDNSRPTEEQNLVSWATPLFKDRRKFTLMADPLLQGNYPLKGLYQALAVAAMCLQEEASTRPLMSDVVTALEFLAVHNDAAEERAVDDDDIKKPSPDSDSD >Potri.010G227400.2.v4.1 pep chromosome:Pop_tri_v4:10:21083705:21085408:-1 gene:Potri.010G227400.v4.1 transcript:Potri.010G227400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227400.v4.1 MHGFSTVDGFVEITESLAEMIKYVANEPSVGLFYVQQHAQNAIPNVIRLKNNVVEKSRATNLHTEDLEDCITMVKSMKECGFPVADEMIRDIRKSLATMSAKQPRRGLINSPVSGFQMGRTSSLGLGTWGRNGDDAEKDGKRTSNYFSTVFKTAKEKASNFKWPPLDSKESTTNQAEKLLSCPTSSQSVTSTGSSLPDVEADELPLSSPTAGGQQLDEEEDQFGVNLPRHNILLLTENFDDFKADKEAKLEEWLGGTADNLDKLQEGK >Potri.010G227400.3.v4.1 pep chromosome:Pop_tri_v4:10:21083723:21085412:-1 gene:Potri.010G227400.v4.1 transcript:Potri.010G227400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227400.v4.1 MHGFSTVDGFVEITESLAEMIKYVANEPSVGLFYVQQHAQNAIPNVIRLKNNVVEKSRATNLHTEDLEDCITMVKSMKECGFPVADEMIRDIRKSLATMSAKQPRRGLINSPVSGFQMGRTSSLGLGTWGRNGDDAEKDGKRTSNYFSTVFKTAKEKASNFKWPPLDSKESTTNQAEKLLSCPTSSQSVTSTGSSLPDVEADELPLSSPTAGGQQLDEEEDQFGVNLPRHNILLLTENFDDFKADKEAKLEEWLGGTADNLDKLQEGK >Potri.006G175300.1.v4.1 pep chromosome:Pop_tri_v4:6:18050519:18059143:1 gene:Potri.006G175300.v4.1 transcript:Potri.006G175300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175300.v4.1 MLLTVREACPCSPISFNLSRLNSTRFSQRLKTSVCRASFSVQPKPNAKSWNSTLNSNSCESSSFDPLGINLDGPPSLNAAWESLLAFLSPILESASNTRKDKRGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLVVSRLGIYIPLGGVNREAFAGNLDQNSLLSTLDSFSGGGIGRLGIFSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPFVDDFSTQWVLTSVTLLTLGSVFTTYIGEQISDLKLGNGTSLLIFTSIISYLPASFGQTAAQAIQDGNYVGLGTIIFSFFLLVLGIVYVQEAERKIPLNYASRYTSSSSGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGISALKKAALTLNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSTFLAILAAGPSVIEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDIDRYD >Potri.006G175300.5.v4.1 pep chromosome:Pop_tri_v4:6:18050598:18059371:1 gene:Potri.006G175300.v4.1 transcript:Potri.006G175300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175300.v4.1 MLLTVREACPCSPISFNLSRLNSTRFSQRLKTSVCRASFSVQPKPNAKSWNSTLNSNSCESSSFDPLGINLDGPPSLNAAWESLLAFLSPILESASNTRKDKRGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLVVSRLGIYIPLGGVNREAFAGNLDQNSLLSTLDSFSGGGIGRLGIFSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPFVDDFSTQWVLTSVTLLTLGSVFTTYIGEQISDLKLGNGTSLLIFTSIISYLPASFGQTAAQAIQDGNYVGLGTIIFSFFLLVLGIVYVQEAERKIPLNYASRYTSSSSGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGISALKKAALTLNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSTFLAILAAGPSVIEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDIDRR >Potri.006G175300.4.v4.1 pep chromosome:Pop_tri_v4:6:18050576:18059283:1 gene:Potri.006G175300.v4.1 transcript:Potri.006G175300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175300.v4.1 MLLTVREACPCSPISFNLSRLNSTRFSQRLKTSVCRASFSVQPKPNAKSWNSTLNSNSCESSSFDPLGINLDGPPSLNAAWESLLAFLSPILESASNTRKDKRGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLVVSRLGIYIPLGGVNREAFAGNLDQNSLLSTLDSFSGGGIGRLGIFSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKILQYTRYASVGFAIVQAIGQVLYLRPFVDDFSTQWVLTSVTLLTLGSVFTTYIGEQISDLKLGNGTSLLIFTSIISYLPASFGQTAAQAIQDGNYVGLGTIIFSFFLLVLGIVYVQEAERKIPLNYASRYTSSSSGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGISALKKAALTLNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSTFLAILAAGPSVIEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDIDSQWKT >Potri.006G147800.1.v4.1 pep chromosome:Pop_tri_v4:6:12676351:12681348:-1 gene:Potri.006G147800.v4.1 transcript:Potri.006G147800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147800.v4.1 MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALSRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANPPTRGLGLGVGTSSGMPPPIVSADRQTGGEEVRAAGQTMESSRRRLSGQIINAGSSSKQKSLVANESPITKDAMLPNSTFLGRSSGSSRRAAAVSSSRDVFVGSESDPQRSCTTEASPGAIHKISSGQRSPPLGSSDPRRTSSSRNTSHMKTYETTLKGFESLNFDSDEKAHH >Potri.006G147800.2.v4.1 pep chromosome:Pop_tri_v4:6:12676356:12681323:-1 gene:Potri.006G147800.v4.1 transcript:Potri.006G147800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147800.v4.1 MDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALSRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANPPTRGLGLGVGTSSGMPPPIVSADRQTGGEEVRAAGQTMESSRRRLSGQIINAGSSSKQKSLVANESPITKDAMLPNSTFLGRSSGSSRRAAAVSSSRDVFVGSESDPQRSCTTEASPGAIHKISSGQRSPPLGSSDPRRTSSSRNTSHMKTYETTLKGFESLNFDSDEKAHH >Potri.001G398700.1.v4.1 pep chromosome:Pop_tri_v4:1:42388974:42389147:1 gene:Potri.001G398700.v4.1 transcript:Potri.001G398700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398700.v4.1 MKFLFQCPCCSCFCFMKPKQGKPKVKEVAKVAKVEDAKVAKVVDAKKVEDAKEEKKE >Potri.019G056300.4.v4.1 pep chromosome:Pop_tri_v4:19:9494736:9500825:-1 gene:Potri.019G056300.v4.1 transcript:Potri.019G056300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056300.v4.1 MGRASRDKRDIYYRKAKEEGWRARSAFKLIQIDEEFNIFEGVKRVVDLCAAPGSWSQVLSRKLYLPAKLSPDSRDNDLPLIVAIDLQPMAPIEGVIQVQGDITNARTAEVVIRHFDGSKADLVVCDGAPDVTGLHDMDEFVQSQLILAGLTIVTHVLKEGGKFIAKIFRGKDTSLLYCQLKLFFPVVTFAKPKSSRNSSIEAFAVCENYSPPEGFDPKDLHRLLEKVGSPSGADDLDCSSGWLEGASKVYIPFLACGDLSGYDSDRSYPLPKDADGTYQSLDPVQPPIAPPYKRALEMKKASSHGVKELEKPSLDS >Potri.003G059900.1.v4.1 pep chromosome:Pop_tri_v4:3:8664679:8665604:-1 gene:Potri.003G059900.v4.1 transcript:Potri.003G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059900.v4.1 MASTLAFACSLPAPVRASSGSSRKPDPCGKKSGSSTWWAPLFGWSSSPDYINSGSAGGSTSDDIPYKESGVSGSDQEPGRPRSRFALGSFTEEKARRLRRKTVEGITFHDMMYHSAIASRLASDGSGQPEK >Potri.011G062100.1.v4.1 pep chromosome:Pop_tri_v4:11:5131939:5136715:1 gene:Potri.011G062100.v4.1 transcript:Potri.011G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062100.v4.1 MKRAGIEENLLAILDSASDSKHTPDANDDRLAYLEAVRSACIVNENPPTSKMREAVFQILRVGKSLELITESFRLLNELDKRFPRVYLSEKEASESSHLVVVEEGWSPFLFNLENASRERGAGGRSISGPLDSLGFLQLMQELAEVANEGNLQALEIKSLRNMLLFQYLVNALEGDFLPRNRVYEETMNWTHLRESLLNMLLSTRRINYKGLMKDCLSIMCGLFDISAGISEDLESPDNAASKLSHNGNTASALALFELGNNACIALQKLLIMIMDLDMSRKKADMQGSTTRADGVRTPLMEIILDELTYDIDMLSPFLKVFNEPKWKLEIILQYFSKYTTRLSTRTRRSNGPTEDATTFSGVLNCFSNITSTRSITKKIKSDVVQVLLAHAFQAHLSSSSQQDADSISASKDEGRSSSLVEICENIISAFSNLRRTDAKMEILPIGKEALFTAATILSTETGAHV >Potri.001G116000.7.v4.1 pep chromosome:Pop_tri_v4:1:9418597:9419074:-1 gene:Potri.001G116000.v4.1 transcript:Potri.001G116000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116000.v4.1 MVNATKGLFISCDIPMAQFIINLNASLPASQKFIIHILDSTHMFVQPHVSDMIRSAISDFREQNSYEKPS >Potri.015G004300.1.v4.1 pep chromosome:Pop_tri_v4:15:267747:268620:1 gene:Potri.015G004300.v4.1 transcript:Potri.015G004300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004300.v4.1 MDAGEDYKRKAITRKKSSYRISLSASLPEDVCGAFSGDTICAVKLSKDPFSDMRASILEMIQNVGVHDWDEMEELVYCYIALNSPDLHGIIANAFLSLSCHFS >Potri.006G079900.2.v4.1 pep chromosome:Pop_tri_v4:6:5946324:5952065:-1 gene:Potri.006G079900.v4.1 transcript:Potri.006G079900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079900.v4.1 MDDHPSSPDYLSPPPGESLTNRDNSLMEQPLSPKDERIVSVNPSPEAAVIKRPQLSATVAPDLTIVPPPPPPPQLNVYDYSSHDQAMAAYAGYGNNTGIWDGYSQHLNAADGMHLSPIIYNDNQSLMYHSGYGFNPDMGHGQYSPMATPLTPIMLDGQLYSPQQIPFSPVYHPDVPSPGPLGSSEQISFESNSGNSFFGPGSGYLVHYGSFGGGNMSGAPGSDSLTSPSAYPQPMGILGPYEHQVAQGSQQRSLHGYGYGYGYTSSSPVGHYQHGGSFQSSSFAGGSISYAGANDRTRVGLDKGRRRDRDQGSIYSSNDPFGFDRNRGPRASKLKGKNATEQLSSSGNGKGNSASSGIQLDLYNQLDFVTDYKDAKFFIIKSFSEDNVHKSIKYSVWASTPHGNKKIDAAYREAKEKEGNCPVFLLFSVNASGQFCGVAEMVGPVDFEKDAEYWQQDRWNGQFPVQWHIVKDVPNSRFRHILLENNDNKPATHSRDSQEVKLEQGIEMLKIFKDHDAPTSILDDFDFYDQCERALKERKAKQQPSLKVGGAGLLTDDTINQMSDFLAQSLKLDDVNKEPAAREEVASLGPGMEVLPANNCNTAVELANNSNIVVSKAEDSNNHNLSPALKSKEGSGEYQD >Potri.006G079900.3.v4.1 pep chromosome:Pop_tri_v4:6:5946554:5951943:-1 gene:Potri.006G079900.v4.1 transcript:Potri.006G079900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079900.v4.1 MDDHPSSPDYLSPPPGESLTNRDNSLMEQPLSPKDERIVSVNPSPEAAVIKRPQLSATVAPDLTIVPPPPPPPQLNVYDYSSHDQAMAAYAGYGNNTGIWDGYSQHLNAADGMHLSPIIYNDNQSLMYHSGYGFNPDMGHGQYSPMATPLTPIMLDGQLYSPQQIPFSPVYHPDVPSPGPLGSSEQISFESNSGNSFFGPGSGYLVHYGSFGGGNMSGAPGSDSLTSPSAYPQPMGILGPYEHQVAQGSQQRSLHGYGYGYGYTSSSPVGHYQHGGSFQSSSFAGGSISYAGANDRTRVGLDKGRRRDRDQGSIYSSNDPFGFDRNRGPRASKLKGKNATEQLSSSGNGKGNSASSGIQLDLYNQLDFVTDYKDAKFFIIKSFSEDNVHKSIKYSVWASTPHGNKKIDAAYREAKEKEGNCPVFLLFSVNASGQFCGVAEMVGPVDFEKDAEYWQQDRWNGQFPVQWHIVKDVPNSRFRHILLENNDNKPATHSRDSQEVKLEQGIEMLKIFKDHDAPTSILDDFDFYDQCERALKERKAKQQPSLKVGGAGLLTDDTINQMSDFLAQSLKLDDVNKEPAAREEVASLGPGMEVLPANNCNTAVELANNSNIVVSKAEDSNNHNLSPALKSKEGSGEYQD >Potri.010G076700.10.v4.1 pep chromosome:Pop_tri_v4:10:10402713:10410968:1 gene:Potri.010G076700.v4.1 transcript:Potri.010G076700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076700.v4.1 MNADDKNIEPVTDQCFQRRVKNDSGAGANAGSSVDKTFAATNALSELVWSPQKGLCLKCADGSFSYKNPSLLWGAGPSNMVSGSSTDKPISKEYFWTALGASDVNSEVAGRDNSTKFVTSDTSMFPSSESRHEIKIAGPNLDVAKNDPTSEEPIVRIRDVGDGIHTLQTENVSASQLCSVKECESYDIKMQAPSSGRENFESPSCMEKERENKVETGPYICPLEKLESTAENDIRTPHGENVYDAATKIVGSASAQEVQNSSQQGDVLSRDNNRGIKQSPTNSRTQRYQMKGKAKFPTNSRTQGYQMTGRDKALSYGDLDERVHMEDDSHESVESCNSAGLFSSGKKRWNLDPQLCAGSKSVKTKIHKSPGSSSFVKQDSSFMNWISNMMKRSGKSKEDKAPSLALTLANHNHGHENPDKNLVSCNRNQDKGCKTTGFHSIFQSLYCPKTKTQEIVSSHANNQAEESKELELDNKICDTNATPLSCRMVTGNVYKRFLQSNDKLNESTSGNGAAPAALTQLFSTGTASAQVINRNNYAENRNLYNLATDKEKNGTSSNSSLCKRERNSAKNIDTELPSEGKPANNSRYKSDPLTSLWITRFTPKNSGPLSNTDSCNRSAGEALDSSTDSRRLNAQWQNNHTSFHHKIVMAREEEHSNEDPVYMQNCATSTEVSFGINKVNGQDDEKSICKLNPILPFSRFRNSEAMASVFARRLDALKHIMPSYDTDDSVHGNLACFFCGIKGHHVRDCPEIPDSELEGLLRNVNLYNGAKELPCVCIRCFQSNHWAFACPNASSSTRYQAEYGASFVHECSPGKILLNPRNEDDAKQSDGKYGQLPTADAPTVCNEKLNEAVSSGKMNLNMKLFGKDTVFQTVSSSGKKKLKENQAMPLSNFVDSQISDGPKGIFDAVKMLRLSRAVILKLMDSHAAPSRLDGFFLRLRLGKWEQGLGGTGYYVACITGVESESSTQKSKNSIAVNVGGIKYLVESQCISNHDFTEDELMAWWRATLKGGGNIPSEEDLRMKAKEMKMLGV >Potri.010G076700.7.v4.1 pep chromosome:Pop_tri_v4:10:10402678:10410970:1 gene:Potri.010G076700.v4.1 transcript:Potri.010G076700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076700.v4.1 MNADDKNIEPVTDQCFQRRVKNDSGAGANAGSSVDKTFAATNALSELVWSPQKGLCLKCADGSFSYKNPSLLWGAGPSNMVSGSSTDKPISKEYFWTALGASDVNSEVAGRDNSTKFVTSDTSMFPSSESRHEIKIAGPNLDVAKNDPTSEEPIVRIRDVGDGIHTLQTENVSASQLCSVKECESYDIKMQAPSSGRENFESPSCMEKERENKVETGPYICPLEKLESTAENDIRTPHGENVYDAATKIVGSASAQEVQNSSQQGDVLSRDNNRGIKQSPTNSRTQRYQMKGKAKFPTNSRTQGYQMTGRDKALSYGDLDERVHMEDDSHESVESCNSAGLFSSGKKRWNLDPQLCAGSKSVKTKIHKSPGSSSFVKQDSSFMNWISNMMKRSGKSKEDKAPSLALTLANHNHGHENPDKNLVSCNRNQDKGCKTTGFHSIFQSLYCPKTKTQEIVSSHANNQAEESKELELDNKICDTNATPLSCRMVTGNVYKRFLQSNDKLNESTSGNGAAPAALTQLFSTGTASAQVINRNNYAENRNLYNLATDKEKNGTSSNSSLCKRERNSAKNIDTELPSEGKPANNSRYKSDPLTSLWITRFTPKNSGPLSNTDSCNRSAGEALDSSTDSRRLNAQWQNNHTSFHHKIVMAREEEHSNEDPVYMQNCATSTEVSFGINKVNGQDDEKSICKLNPILPFSRFRNSEAMASVFARRLDALKHIMPSYDTDDSVHGNLACFFCGIKGHHVRDCPEIPDSELEGLLRNVNLYNGAKELPCVCIRCFQSNHWAFACPNASSSTRYQAEYGASFVHECSPGKILLNPRNEDDAKQSDGKYGQLPTADAPTVCNEKLNEAVSSGKMNLNMKLFGKDTVFQTVSSSGKKKLKENQAMPLSNFVDSQISDGPKGIFDAVKMLRLSRAVILKLMDSHAAPSRLDGFFLRLRLGKWEQGLGGTGYYVACITGVESESSTQKSKNSIAVNVGGIKYLVESQCISNHDFTEDELMAWWRATLKGGGNIPSEEDLRMKAKEMKMLGV >Potri.010G076700.6.v4.1 pep chromosome:Pop_tri_v4:10:10402613:10410970:1 gene:Potri.010G076700.v4.1 transcript:Potri.010G076700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076700.v4.1 MNADDKNIEPVTDQCFQRRVKNDSGAGANAGSSVDKTFAATNALSELVWSPQKGLCLKCADGSFSYKNPSLLWGAGPSNMVSGSSTDKPISKEYFWTALGASDVNSEVAGRDNSTKFVTSDTSMFPSSESRHEIKIAGPNLDVAKNDPTSEEPIVRIRDVGDGIHTLQTENVSASQLCSVKECESYDIKMQAPSSGRENFESPSCMEKERENKVETGPYICPLEKLESTAENDIRTPHGENVYDAATKIVGSASAQEVQNSSQQGDVLSRDNNRGIKQSPTNSRTQRYQMKGKAKFPTNSRTQGYQMTGRDKALSYGDLDERVHMEDDSHESVESCNSAGLFSSGKKRWNLDPQLCAGSKSVKTKIHKSPGSSSFVKQDSSFMNWISNMMKRSGKSKEDKAPSLALTLANHNHGHENPDKNLVSCNRNQDKGCKTTGFHSIFQSLYCPKTKTQEIVSSHANNQAEESKELELDNKICDTNATPLSCRMVTGNVYKRFLQSNDKLNESTSGNGAAPAALTQLFSTGTASAQVINRNNYAENRNLYNLATDKEKNGTSSNSSLCKRERNSAKNIDTELPSEGKPANNSRYKSDPLTSLWITRFTPKNSGPLSNTDSCNRSAGEALDSSTDSRRLNAQWQNNHTSFHHKIVMAREEEHSNEDPVYMQNCATSTEVSFGINKVNGQDDEKSICKLNPILPFSRFRNSEAMASVFARRLDALKHIMPSYDTDDSVHGNLACFFCGIKGHHVRDCPEIPDSELEGLLRNVNLYNGAKELPCVCIRCFQSNHWAFACPNASSSTRYQAEYGASFVHECSPGKILLNPRNEDDAKQSDGKYGQLPTADAPTVCNEKLNEAVSSGKMNLNMKLFGKDTVFQTVSSSGKKKLKENQAMPLSNFVDSQISDGPKGIFDAVKMLRLSRAVILKLMDSHAAPSRLDGFFLRLRLGKWEQGLGGTGYYVACITGVESESSTQKSKNSIAVNVGGIKYLVESQCISNHDFTEDELMAWWRATLKGGGNIPSEEDLRMKAKEMKMLGV >Potri.001G283212.1.v4.1 pep chromosome:Pop_tri_v4:1:29744882:29747301:-1 gene:Potri.001G283212.v4.1 transcript:Potri.001G283212.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283212.v4.1 MGKPPVPFLPFVFSTFFTLIIPSASGLSFNFTSFIVGNQSISYEQDAYPADRAIQLTKNLRNANMHFSFGRATYYKPMQLWDEASGNLTDFTTHFSFSIDSQGRTEYGDGLAFFLAPEGSKLPPNLSQGESLGLTRDDQERNTTDNHFVAVEFDIFENIGLDPPGEHVGIDINSMQSVNNITWLCDISGGRITEAWISYNSSTHNLSVVFTGYRNNSVERQFLSQIVSLRDYLPERVSFGFSASTGSASALHTLYSWDFNSSLEIVDNVSKPIDPATNPLNPATNPIDPAAASPPNGGSRRNRKKNRTGLAVGLGVGGGAIVVGAALVGFVIKFMCGHEEDEEGGHVLEEYMDDEFERGTGPKKFPYQELARATNNFKDEEKLGEGGFGGVYKGFLKEIDSFVAVKRVSRGSKQGIKEYAAEVKIISRLRHRNLVQLIGWCHERRELLLVYEFMPHGSLDSHLFEETSLLTWEVRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIMLDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECTMTGKASRESDVYSFGIVALEIACGRKPINPKASNEDQVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIVGLSCAHPDEHLRPSIRQSLHILNFDAPLPILPSKMPMPSYFAPPISASSLSIMSYGLADSEGGMNKSSSHSYNTNSSQFTTSSSASSASAMLPHEG >Potri.004G158600.1.v4.1 pep chromosome:Pop_tri_v4:4:17929139:17932871:-1 gene:Potri.004G158600.v4.1 transcript:Potri.004G158600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158600.v4.1 MSSEAEEAARRRTAIADYRKKLLNHKELESRVHAVRENLRAAKKEFAKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGDIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >Potri.005G186100.1.v4.1 pep chromosome:Pop_tri_v4:5:19369608:19371556:1 gene:Potri.005G186100.v4.1 transcript:Potri.005G186100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186100.v4.1 MEEVVVIIGAGPAGLASSACLNRLDIPNIILEREGCYASLWRKKAYDRVKLHLAKQFCELPYMSYPPKLPMFVPKNDFISYLDNYASHFGINPRFHCYVESVYYDKNASKWCIVVRNNKLNTTEVYIAKFLVVATGENSEGLIPKVPGLDGFEGMYMHSSQYANGKDFNGKDVLVVGCGNSGMEIAYDLLYWGAHTSIVARSPVHVISKEIVFLGMCFLKYLPCRLVDFIATTLSKIKFGDTSKYGIQRPTEGPFYIKAKTGRSPTIDVGAVQKIKTGEIQVFPSIANIEGTEITFENGKSKQYDAIIFATGYRSTVLDWLKDGKDLFNENGMPKLRFPNHWKGGNGLYCAGFSRSGLMGISLDAQQIATDIGLAWKGASK >Potri.001G301700.1.v4.1 pep chromosome:Pop_tri_v4:1:31211943:31214762:1 gene:Potri.001G301700.v4.1 transcript:Potri.001G301700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301700.v4.1 MVRAKGMTPFLLLLLISPFSTTTASNTACPTNIPDTTDTCISSSQENKLVPIRREVYGDGRIFDITHRYTANMPSFGSENGLGQFLQFPEKIKNGSMVNVSEMKMVTHTGTHVDAPGHFYDHYFDAGFDVDTLDLEVLNGPGLLIDAPRGTNITAEVMKSLNIPKGVRRVLFRTDNTDRRLMFKNQFDTSFVGFTQDGAKWLVENTDIKLIGIDYLSVAAWSDLASAHLVLLESREIIIVESLKLDDIQPGIYSIHCLPLRLLGAEASPIRCILIK >Potri.019G064000.8.v4.1 pep chromosome:Pop_tri_v4:19:10425677:10433142:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MMDSGIDPDASLDYATIQIFPTKNKYEIFVCGDDEVEKLAVGLLEQLLPHLPEVRKLYAKGTNAIFKLQVTGELSNVPWFTKSTLNRFLKIAGSLDLVNTSKTIEGEISQLEEARKFHLSLSSQGHQDHSKSGQTGGYDSIETESTLKAEAKIALSDTSRNELLRAMDLRLTALKTELATALNHASGAACSCKEITYLVEFCDYFGATDLKNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKATRSFFSLSAFRGSKPNETKFH >Potri.019G064000.12.v4.1 pep chromosome:Pop_tri_v4:19:10425686:10432348:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MMDSGIDPDASLDYATIQIFPTKNKYEIFVCGDDEVEKLAVGLLEQLLPHLPEVRKLYAKGTNAIFKLQVTGELSNVPWFTKSTLNRFLKIAGSLDLVNTSKTIEGEISQLEEARKFHLSLSSQGHQDHSKSGQTGGYDSIETESTLKAEAKIALSDTSRNELLRAMDLRLTALKTELATALNHASGAACSCKEITYLVEFCDYFGATDLKNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKATRSFFSLSAFRGSKPNETKFH >Potri.019G064000.7.v4.1 pep chromosome:Pop_tri_v4:19:10425748:10433142:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MVLMMDSGIDPDASLDYATIQIFPTKNKYEIFVCGDDEVEKLAVGLLEQLLPHLPEVRKLYAKGTNAIFKLQVTGELSNVPWFTKSTLNRFLKIAGSLDLVNTSKTIEGEISQLEEARKFHLSLSSQGHQDHSKSGQTGGYDSIETESTLKAEAKIALSDTSRNELLRAMDLRLTALKTELATALNHASGAACSCKEITYLVEFCDYFGATDLKNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKATRSFFSLSAFRGSKPNETKFH >Potri.019G064000.10.v4.1 pep chromosome:Pop_tri_v4:19:10425637:10433038:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MMDSGIDPDASLDYATIQIFPTKNKYEIFVCGDDEVEKLAVGLLEQLLPHLPEVRKLYAKGTNAIFKLQVTGELSNVPWFTKSTLNRFLKIAGSLDLVNTSKTIEGEISQLEEARKFHLSLSSQGHQDHSKSGQTGGYDSIETESTLKAEAKIALSDTSRNELLRAMDLRLTALKTELATALNHASGAACSCKEITYLVEFCDYFGATDLKNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKATRSFFSLSAFRGSKPNETKFH >Potri.019G064000.14.v4.1 pep chromosome:Pop_tri_v4:19:10425674:10429328:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MYRNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKATRSFFSLSAFRGSKPNETKFH >Potri.019G064000.13.v4.1 pep chromosome:Pop_tri_v4:19:10425659:10432581:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MMDSGIDPDASLDYATIQIFPTKNKYEIFVCGDDEVEKLAVGLLEQLLPHLPEVRKLYAKGTNAIFKLQVTGELSNVPWFTKSTLNRFLKIAGSLDLVNTSKTIEGEISQLEEARKFHLSLSSQGHQDHSKSGQTGGYDSIETESTLKAEAKIALSDTSRNELLRAMDLRLTALKTELATALNHASGAACSCKEITYLVEFCDYFGATDLKNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKAFRGSKPNETKFH >Potri.019G064000.3.v4.1 pep chromosome:Pop_tri_v4:19:10425664:10433296:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MMDSGIDPDASLDYATIQIFPTKNKYEIFVCGDDEVEKLAVGLLEQLLPHLPEVRKLYAKGTNAIFKLQVTGELSNVPWFTKSTLNRFLKIAGSLDLVNTSKTIEGEISQLEEARKFHLSLSSQGHQDHSKSGQTGGYDSIETESTLKAEAKIALSDTSRNELLRAMDLRLTALKTELATALNHASGAACSCKEITYLVEFCDYFGATDLKNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKATRSFFSLSAFRGSKPNETKFH >Potri.019G064000.9.v4.1 pep chromosome:Pop_tri_v4:19:10425677:10433139:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MMDSGIDPDASLDYATIQIFPTKNKYEIFVCGDDEVEKLAVGLLEQLLPHLPEVRKLYAKGTNAIFKLQVTGELSNVPWFTKSTLNRFLKIAGSLDLVNTSKTIEGEISQLEEARKFHLSLSSQGHQDHSKSGQTGGYDSIETESTLKAEAKIALSDTSRNELLRAMDLRLTALKTELATALNHASGAACSCKEITYLVEFCDYFGATDLKNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKATRSFFSLSAFRGSKPNETKFH >Potri.019G064000.11.v4.1 pep chromosome:Pop_tri_v4:19:10425667:10432763:-1 gene:Potri.019G064000.v4.1 transcript:Potri.019G064000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064000.v4.1 MMDSGIDPDASLDYATIQIFPTKNKYEIFVCGDDEVEKLAVGLLEQLLPHLPEVRKLYAKGTNAIFKLQVTGELSNVPWFTKSTLNRFLKIAGSLDLVNTSKTIEGEISQLEEARKFHLSLSSQGHQDHSKSGQTGGYDSIETESTLKAEAKIALSDTSRNELLRAMDLRLTALKTELATALNHASGAACSCKEITYLVEFCDYFGATDLKNSLCKILELSQKGEADVLLNDDKHSSTIDNASKMDEDAPISRPVYSLPPVKYGVSPAKAAQVERQSSSDSEESSDSSDENKKSAERSRALSRSAAPRRSASPMRRVQIGRAGSHRAAALTIKSLNFYPTRERTSSHRDAAEISSEEEGSEQSSKKPEANVRRMSVQDAINLFERKQKDQSIDALKKSLSSNISLCTNKSVLRRWSSGVAESSSLCQQELSSEDSVPLPCNDIADKEISNNLVEEKLESDFTPGGQNLSETAEVDGELERWEEKEQHAVDVETDANGAQGKERNGRTADSVEWSRQKEAELNEMLMKMMESRPVKTQKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENTEKRAEKEAQFRAMQQILDARKAEIATTNVKDVGKKHPPSKPQKSLKNPSQSADLRKDSLKSSVTKKVSSKTTTLPATRKSWPSTPPTRGPVSSPSKTPSGISSAVTTPRNRKSQSTVSLPRSNAKVERSQPQHRIVKETRVDANKSLKEVKEKRQQTVTKSGKTTKTKAAAVPEDGSAMVPSKPSFYNKVTKKSTVVPVESKPFLRKGSRSGPPIVNKTKDSSQPGESSVNCGSMSESQENEVVVNASVEVSEHQDQDNVAESHFGAAMDSETVGNSHQNSGEVENFKELATDVDDGFKDTVQSSANFQSEEDSVISPSAWVEIEEQKDLPSIHGDATIQLSPPVRAAPVGFPSQGVRHSLSQMLQEDNNSEPDIVEWGNAENPPSVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPSVYSEGEDDGEESKAINKRNTDNLLRKAAHHSKDSGQQQTSFFEGYDRNVNAHELLLAQSNISKFNAQSSHQLQKGNVSTATSTTKATRSFFSLSAFRGSKPNETKFH >Potri.010G151300.3.v4.1 pep chromosome:Pop_tri_v4:10:16126623:16129274:1 gene:Potri.010G151300.v4.1 transcript:Potri.010G151300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151300.v4.1 MESRVEAIWEKMNKGVSNKTAKSFSNKRNVSTVNASSKKANNNWMAYLGLTPKEADSSGQDGEVQQNGTSVEDSKVASLWEHMNKGVPNKLHKTFLNKSCSTVDESSQPKSDNWMTYLGMATKRKEYSGHDEPQRRANDIQNGSGDEARKLAAAALSAVKDAAAAAAAAAAGRGKVEIREVRDFAGQEIEVRKLVDADSKEATEKAKAPASSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGLEDELDAYKKSSNQYLERVSFLERTDYREFERERDARLALQARRRTDMREDL >Potri.010G151300.2.v4.1 pep chromosome:Pop_tri_v4:10:16126674:16129409:1 gene:Potri.010G151300.v4.1 transcript:Potri.010G151300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151300.v4.1 MMGSQVESVKEIVSDRKPEREMESRVEAIWEKMNKGVSNKTAKSFSNKRNVSTVNASSKKANNNWMAYLGLTPKEADSSGQDGEVQQNGTSVEDSKVASLWEHMNKGVPNKLHKTFLNKSCSTVDESSQPKSDNWMTYLGMATKRKEYSGHDEPQRRANDIQNGSGDEARKLAAAALSAVKDAAAAAAAAAAGRGKVEIREVRDFAGQEIEVRKLVDADSKEATEKAKAPASSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGLEDELDAYKKSSNQYLERVSFLERTDYREFERERDARLALQARRRTDMREDL >Potri.010G151300.6.v4.1 pep chromosome:Pop_tri_v4:10:16126563:16129276:1 gene:Potri.010G151300.v4.1 transcript:Potri.010G151300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151300.v4.1 MMGSQVESVKEIVSDRKPEREMESRVEAIWEKMNKGVSNKTAKSFSNKRNVSTVNASSKKANNNWMAYLGLTPKEADSSGQDGEVQQNGTSVEDSKVASLWEHMNKGVPNKLHKTFLNKSCSTVDESSQPKSDNWMTYLGMATKRKEYSGHDEPQRRANDIQNGSGDEARKLAAAALSAVKDAAAAAAAAAAGRGKVEIREVRDFAGQEIEVRKLVDADSKEATEKAKAPASSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGLEDELDAYKKSSNQYLERVSFLERTDYREFERERDARLALQARRRTDMREDL >Potri.010G151300.1.v4.1 pep chromosome:Pop_tri_v4:10:16126561:16129276:1 gene:Potri.010G151300.v4.1 transcript:Potri.010G151300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151300.v4.1 MMGSQVESVKEIVSDRKPERGEMESRVEAIWEKMNKGVSNKTAKSFSNKRNVSTVNASSKKANNNWMAYLGLTPKEADSSGQDGEVQQNGTSVEDSKVASLWEHMNKGVPNKLHKTFLNKSCSTVDESSQPKSDNWMTYLGMATKRKEYSGHDEPQRRANDIQNGSGDEARKLAAAALSAVKDAAAAAAAAAAGRGKVEIREVRDFAGQEIEVRKLVDADSKEATEKAKAPASSAVDAVLEQIKKKPKLSVLDKTKKDWGEFKEENKGLEDELDAYKKSSNQYLERVSFLERTDYREFERERDARLALQARRRTDMREDL >Potri.014G042300.3.v4.1 pep chromosome:Pop_tri_v4:14:2750537:2754287:-1 gene:Potri.014G042300.v4.1 transcript:Potri.014G042300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G042300.v4.1 MKLGSKKVWKSIIPLCSKGKSATRFCLFPKPRSASYGPGDTPVYLNVYDLTPMNGYAYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRRSIFIGTTCLDSIQVREFMERHAASYHGDTYHLIVKNCNHFCKDICYKLTGKPIPKWVNRLAKIGSICNCVLPQSLKTSAVRHDPCGQPYDSEKRRLRSAFSCLSSISMRQKQLSTSSLLLQSPLKGCLPWELRRSMNGSLKER >Potri.007G017400.3.v4.1 pep chromosome:Pop_tri_v4:7:1325103:1329537:-1 gene:Potri.007G017400.v4.1 transcript:Potri.007G017400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G017400.v4.1 MARLRSSKRNSSSRSYTSTLTTIAFIALCAIGVWMLTSNPQVTPQTTTHVAKPVITTTTDIAADADVSISNEVEHTESRSKKDTHVYEDNPGDLPDDAIKSDELKSNDDSDNKEESNYGKQETDGGDSKADQESSSQDLKGEGSGEEQQKQEERQNQISEESSHTQNRQADQTSQESSQSEGSQEASVNQEQETNASQEEKTNDNQEQEQSTVSETDDSNSHDSINKNEEQDQAQQQQQQQQEDVENSSKDLQDSQNQESKEDQQQGSGLDENNQESDHNEKTYEEQQQEQRIEDTGGQNSSQESQKEVSEEDKKQRIQQQQQQQQKQDVEDSSKDLQDSQNQESKEDEQQQGSGLNENNQESNQNEKPFEDQQQQDSTVINESNQESDQNEKTYDEQQQEQRQEDTEVHDSSQESQNEVSEEDQKQRIQQQQQQQQHQQTHDQETEQESQVDSNTNQETKQESSSGESAFPGGGNPGIPKESKESWSTQAAESENQKERRKEESDGNDSMYGYTWQLCNVTAGPDYIPCLDNEKALRQLHTTGHFEHRERHCPELGPTCLVPLPQGYKRPITWPQSRDKIWYHNVPHPKLAEVKGHQNWVKVTGEFLTFPGGGTQFIHGALHYIDFVQQAVPKIKWGKHTRVILDVGCGVASFGGYNFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSRVFDLIHCARCRVPWHAEGGKLLLELNRLLRPGGYFVWSATPVYQKLQEDVEIWQAMSALTVSMCWELVTIKKDKLNGIGAAIYRKPTTNNCYDQRIKNSPPMCDNDDDANAAWYVPLQACMHRVPRSKSQRGGKWPEDWPERLQIPPYWLKSSQMGIYGKPAPQDFEADYEHWKHVVSNSYMKGLGISWSNVRNIMDMRAVYGGFAAALKDLKVWVFNVVNTDSPDTLPIIYERGLFGIYHDWCESFSTYPRTYDLLHADHLFSKLKKRCQLAPVLAEVDRIARPGGKLIVRDESSAIEEVENLLKSLHWEVHLIFSKDQEGLLSAQKGEWRPQTYAAFT >Potri.009G097400.1.v4.1 pep chromosome:Pop_tri_v4:9:8744022:8746890:1 gene:Potri.009G097400.v4.1 transcript:Potri.009G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097400.v4.1 MKNQLRSCSSTVLQLPMTPFTKLLFVPSLLLMLVAVSDASGMASAPGYARCMIKRYRHCYNLEQTCPSFCHNGCIVECVSCMPMCVGDSSPILDYSISLPNPLTLLPLEFPKSHTRSLLNVHPSESDGKGPPKSEGSNEKPSPPEQSGDDGGESEGSNEKPSPPEHSGDDGGESEGSNKKPSPPEDSNHDDGSNKKPSPPEDSNHDESEGSNKKPSPPEDNGHDKSEGSHKTPPPPNSDHPPSQTPPIYVTPPSTPSSSPSSHSPPTTTPSSPPWSTPPTITPTSPSPPSQSTPSPTPPPTTPSSPSPTPPTTTPSSPSPPSSSTPSPTPPTTTPTSPSPPSQSTPSPTPPTTTPSSPSPTPPASPSPPSQSTPSPTPPTTTPSSPSPPSSSTPSPTPPTTTPTSPSPPSQSTPSPTPPTTTPSSPSPTPPTTTPSSPSPPSQSTPSPTPPTTTPPSPSPQSSSTPPNTTASPSTPTPTPPTTPSNPSPPSTPTPSPHTPTPPTSSSPPPSTPSTSPKTARCKNKYYPRCYNTEHVCPSACPGGCEIDCATCKPVCKCDRPGAVCQDPRFIGGDGITFYFHGKKDRDFCLVSDPNLHINAHFIGKRNENLTRDFTWVQSISIFFDKHQLFLGALKASTWDDSADRLSLAFDGEPLNFPGNEGSKWQSTSVPRVSITRISDSNNVVVEVEGLLKITAKVVPITEEDSRIHNYGITKDDCFAHLDLGFKFYSLSNEVDGVLGQTYRPGYVSRVNVGAKMAVMGGNKEFATSSLSTPDCAVARFRGGNGFEGAGYMGGLELPSMRCASGIDGEGVVCKR >Potri.014G080701.1.v4.1 pep chromosome:Pop_tri_v4:14:5200496:5200720:-1 gene:Potri.014G080701.v4.1 transcript:Potri.014G080701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080701.v4.1 MVAKQIRDGITAQVLKMKEAISVVPGLAGILVGDWKDSSTYVRNKNKACAFVGINSFEVRLPEDSTEQELIKFI >Potri.011G118800.3.v4.1 pep chromosome:Pop_tri_v4:11:14981777:14989628:-1 gene:Potri.011G118800.v4.1 transcript:Potri.011G118800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118800.v4.1 MKPPPHFPLNSKKKRVFMDPDVIEIPPPPTPIVSRCSSTKQPKNKQVILHEIIDVDKEEDPVDVMILDKKFDIKNKGKSIRENSDGFSQAKDGISDHLFSSSPGANNVINVDGLDSDSLYDDGACMDFYSDDFMEFDEYSYLQSHFDNVDIPPGVEAPIPWLPNSDNNAKKTANGTNSFNTSNQMQSNGGGSWSLKPAHASKKLSSGSGSSFHNPMDSVSHASGVNLSSPWSFPQAAQSKKEKSISQHGRSALNFPPFQSKKKPATSNSSTDYGYAKHLGDVMLPHGVGPAHLGHTSLAPEFYPTPPSFVGGLHHFPIIGPSMSTFMSKFKNSFDNHTSHSNFYDPFDALHIPPEEKAAGTLKNVNKDDILRKFQLFKRFDTVEDHSDHHYTSKGSLMKQPPKTWAKRIQEEWRILENDLPDSIFVRVYETRMDLLRAVIIGAEGTPYHDGLFFFDVFFPAGYPKVPPLVYYHSGGLRLNPNLYNCGKVCLSLLGTWQGNKNEKWQPGVSTVLQVLVSIQALILNQKPFFNEPGYELLSGSANGEKRSQEYSESTFFLSLKTMVYTMRRPPKHFEDFVLGHFNKHANDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSKSFKDSLPAYVDMLVKQFSQIGVQDTENFQTSENGGILID >Potri.011G118800.4.v4.1 pep chromosome:Pop_tri_v4:11:14981747:14989372:-1 gene:Potri.011G118800.v4.1 transcript:Potri.011G118800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118800.v4.1 MDPDVIEIPPPPTPIVSRCSSTKQPKNKQVILHEIIDVDKEEDPVDVMILDKKFDIKNKGKSIRENSDGFSQAKDGISDHLFSSSPGANNVINVDGLDSDSLYDDGACMDFYSDDFMEFDEYSYLQSHFDNVDIPPGVEAPIPWLPNSDNNAKKTANGTNSFNTSNQMQSNGGGSWSLKPAHASKKLSSGSGSSFHNPMDSVSHASGVNLSSPWSFPQAAQSKKEKSISQHGRSALNFPPFQSKKKPATSNSSTDYGYAKHLGDVMLPHGVGPAHLGHTSLAPEFYPTPPSFVGGLHHFPIIGPSMSTFMSKFKNSFDNHTSHSNFYDPFDALHIPPEEKAAGTLKNVNKDDILRKFQLFKRFDTVEDHSDHHYTSKGSLMKQPPKTWAKRIQEEWRILENDLPDSIFVRVYETRMDLLRAVIIGAEGTPYHDGLFFFDVFFPAGYPKVPPLVYYHSGGLRLNPNLYNCGKVCLSLLGTWQGNKNEKWQPGVSTVLQVLVSIQALILNQKPFFNEPGYELLSGSANGEKRSQEYSESTFFLSLKTMVYTMRRPPKHFEDFVLGHFNKHANDILVACKAYMDGAQVGCLVKGGVQDVDEGDKSCSKSFKDSLPAYVDMLVKQFSQIGVQDTENFQTSENGGILID >Potri.011G118800.7.v4.1 pep chromosome:Pop_tri_v4:11:14981851:14989480:-1 gene:Potri.011G118800.v4.1 transcript:Potri.011G118800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118800.v4.1 MKPPPHFPLNSKKKRVFMDPDVIEIPPPPTPIVSRCSSTKQPKNKQVILHEIIDVDKEEDPVDVMILDKKFDIKNKGKSIRENSDGFSQAKDGISDHLFSSSPGANNVINVDGLDSDSLYDDGACMDFYSDDFMEFDEYSYLQSHFDNVDIPPGVEAPIPWLPNSDNNAKKTANGTNSFNTSNQMQSNGGGSWSLKPAHASKKLSSGSGSSFHNPMDSVSHASGVNLSSPWSFPQAAQSKKEKSISQHGRSALNFPPFQSKKKPATSNSSTDYGYAKHLGDVMLPHGVGPAHLGHTSLAPEFYPTPPSFVGGLHHFPIIGPSMSTFMSKFKNSFDNHTSHSNFYDPFDALHIPPEEKAAGTLKNVNKDDILRKFQLFKRFDTVEDHSDHHYTSKGSLMKQPPKTWAKRIQEEWRILENDLPDSIFVRVYETRMDLLRAVIIGAEACLLPFWWSSTQPKLVQLWEGMPQSSWNLAG >Potri.008G105000.1.v4.1 pep chromosome:Pop_tri_v4:8:6627692:6629357:1 gene:Potri.008G105000.v4.1 transcript:Potri.008G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105000.v4.1 MEGGDGEGIDRVVDSKDLQQQSKALDKLTDRVEDRQLDSTRVQEAMASISASAEADANAMRLREKELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRHLLH >Potri.018G003000.1.v4.1 pep chromosome:Pop_tri_v4:18:206056:208768:1 gene:Potri.018G003000.v4.1 transcript:Potri.018G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003000.v4.1 MAMVGGNQVHNVFVYGSLLADDVVRALLSRIPQSSPAILNGHHRFSIKGRVYPAILPVENKEVTGKVLQGITDLELYILDEFEDVEYERVTVDVSLMDSSNKSQAFAYVWSDKNDPNLYGEWDFEEWKRTQMSDFVKMSAEFRKDLELPESKTRIATYESYYQQDGSDSPLAP >Potri.003G164400.3.v4.1 pep chromosome:Pop_tri_v4:3:17362828:17367073:-1 gene:Potri.003G164400.v4.1 transcript:Potri.003G164400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164400.v4.1 MTVVVAWTTHLCFHHPLLYHIRHCSNSVVAVASTTEHKEEGHLPVINSASKKEKVNGERKAPEWKKLDAEELGISNSIISRPTRVVLNGLKKKGYEVYLVGGCVRDLILKRIPKDFDVITSAELKQVRKAFHRCEIVGKRFPICHVHVDDAIVEVSSFSTSQLKSDGKFNGNVRKPRGCSERDFIRWRNCLQRDFTINGLMLDPYANIVYDYMGGITDIKKTKVRTVIPANLSFVEDSGRILRAIRIAARLHFGFTRDLALSLKELSHSVLRLDKGRILLELNYMFAYGSAEASLRLMWRFGLLEILLPIQASYFVSQGFRRRDERSNMLLSLFSNLDRLVAPDRPCHSSLWLGIFAFHKALVDQPQDPLVVTAFSLAVHSGGSLLESVEIARRISQPYQSSFPELLEAQNPDSNNALVHKTINFAALVKTALCNMTDGCYVSKAMAKYPKAPSSDLVFIPMALFLRVSKIFECVRRRGMETRFVRRQGRKIDYESLAMGSLEEVRHVFARIVFDTVYPPNQSNENNVANQRP >Potri.007G138100.3.v4.1 pep chromosome:Pop_tri_v4:7:14921601:14922698:1 gene:Potri.007G138100.v4.1 transcript:Potri.007G138100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138100.v4.1 MAPKKLNGNDNGSLKKASGDHDKKEIHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAVEAARAYDKAAREYRGAKAKTNFPIAEKVVDYDDEKQSSSQSSTVESSSSPVVSAVARDVTRQVGGVVGMGRFPFVFQQQPPHVNAVGPVWFLDSTVKPEFVAQRFPVRYDPVGLEGGAHSDSDSSSVIDFKPRSSILHLDLNLPPPADA >Potri.005G099000.1.v4.1 pep chromosome:Pop_tri_v4:5:7112076:7113184:-1 gene:Potri.005G099000.v4.1 transcript:Potri.005G099000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099000.v4.1 MPRFTRILNTKAAEPPAAVNLESDFVVILAALLCALICVVGLIAAARCAWLRRVTGGASSGPPPQAKANKGVKKKNLQLLPRFTYSAGGGGATTSFGTTECAICLGEFVEGDEVRVLPQCGHGFHVGCIDKWLGSHSSCPSCRQILVVARCQKCGQFPASTSSSSCGGGNATEVELSTREDGNANDGNINRANDAGAFLP >Potri.012G059300.5.v4.1 pep chromosome:Pop_tri_v4:12:5861229:5865926:-1 gene:Potri.012G059300.v4.1 transcript:Potri.012G059300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059300.v4.1 MDARRATTTVPRVRQVGFFTPNAPPAQPSRTQSFPRDSSSPPLSNSPASNSLSPVMIPPPRHLSDNLVHRATSPLPVPEPPAFRRPIRSHHVAVVGSYNPSESLLGSSPPLASPSSRVIGDGDFSEESSAGWFRRSNSAKFASSFSGGFDLTSVKSSETMGFHQVKKPVVAQLKEEKSGASAVVEPQINKGLSSSPSTKPSKEKTTKAERRALQEAQRAAKAAAKAMTVSEGVSTSKPVKQQPAQKKDAPPPASSIAASDRKGGERSMEKERRKDIPAPRMQFDDRSRVQKAKKRAVVNQSEARNRVELFRHLPQYEHGTQLPDLESKFFQLDPMHPAVYKVGLQYLAGDISGGNARCIAMLQAFQEVIKDYSTPPEKSLTRDLTAKISSFVSFFIECRPFSMSMGNAIRFLKSRIAKLPLTVSESEAKASLCADIDRFINEKIVLADNVIIRHAVTKITDGDVLLTYGSSCVVEMILLYAHELGKRFHVVIVDSHPKLEGQALLRRLVGKGLRCTYTHINAVSYIMHEVTRVFLGSSSVLSNGTVYSRVGTACVAMVAHAFHVPVLICCEAYKFHERVLLDSICSNELGDPNAISKVPGRMDINFLDDLTNKDDLQLLNLMYDATPSEYVSMIITDYGMIPPTSVPVIVREYGREHLWIQ >Potri.003G068500.5.v4.1 pep chromosome:Pop_tri_v4:3:9562726:9567582:1 gene:Potri.003G068500.v4.1 transcript:Potri.003G068500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068500.v4.1 MFRVYESILFCVWLFTSLIVEGSVHEYKGERFVGKGNAFVVHGGSEGIYSSASENVNEKSVLPANGDSYIRFEKITFQRTQEFSNFSSGLVQAIVFEVEDRESIGGSAYGGQRAVCCTADLAKLGVCSEGEIIHRPSTKNPGWPQVFGVAFYADELVATLPSKSIQISRTGMYNLYFMHCDPNLKEVVVEGNTIWKNPSGYLPGRMAPLMKFYGFMSLAFVILGLFWFSQYARFWKEVFPLQNCITLVITLGMFEMAFWYFDYAEFNETGIRPTGITVWAVTFGTIKRSVARLVILMVSMGYGVVRPTLGGLTSKVLLVGVTFFVASEVLELVENVGAVSDLSGKAKLFLVLPVAFLDAFIIIWIFKSLSATLSKLQARRMMVKLDIYRKFTNALVVAVIVSVGWICYELYFKSKDVYNEQWQNAWVIPAFWQLLSFSLLCIICALWAPSQNSMRYAYSDDASDEFDRDDGTLTLIKPSTIPSKDVRSSPEPIPVHTSNGASNGDLEEDKTE >Potri.003G068500.7.v4.1 pep chromosome:Pop_tri_v4:3:9563583:9566907:1 gene:Potri.003G068500.v4.1 transcript:Potri.003G068500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068500.v4.1 MYNLYFMHCDPNLKEVVVEGNTIWKNPSGYLPGRMAPLMKFYGFMSLAFVILGLFWFSQYARFWKEVFPLQNCITLVITLGMFEMAFWYFDYAEFNETGIRPTGITVWAVTFGTIKRSVARLVILMVSMGYGVVRPTLGGLTSKVLLVGVTFFVASEVLELVENVGAVSDLSGKAKLFLVLPVAFLDAFIIIWIFKSLSATLSKLQARRMMVKLDIYRKFTNALVVAVIVSVGWICYELYFKSKDVYNEQWQNAWVIPAFWQLLSFSLLCIICALWAPSQNSMRYAYSDDASDEFDRDDGTLTLIKPSTIPSKDVRSSPEPIPVHTSNGASNGDLEEDKTE >Potri.003G068500.4.v4.1 pep chromosome:Pop_tri_v4:3:9562367:9566909:1 gene:Potri.003G068500.v4.1 transcript:Potri.003G068500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068500.v4.1 MFRVYESILFCVWLFTSLIVEGSVHEYKGERFVGKGNAFVVHGGSEGIYSSASENVNEKSVLPANGDSYIRFEKITFQRTQEFSNFSSGLVQAIVFEVEDRESIGGSAYGGQRAVCCTADLAKLGVCSEGEIIHRPSTKNPGWPQVFGVAFYADELVATLPSKSIQISRTGMYNLYFMHCDPNLKEVVVEGNTIWKNPSGYLPGRMAPLMKFYGFMSLAFVILGLFWFSQYARFWKEVFPLQNCITLVITLGMFEMAFWYFDYAEFNETGIRPTGITVWAVTFGTIKRSVARLVILMVSMGYGVVRPTLGGLTSKVLLVGVTFFVASEVLELVENVGAVSDLSGKAKLFLVLPVAFLDAFIIIWIFKSLSATLSKLQARRMMVKLDIYRKFTNALVVAVIVSVGWICYELYFKSKDVYNEQWQNAWVIPAFWQLLSFSLLCIICALWAPSQNSMRYAYSDDASDEFDRDDGTLTLIKPSTIPSKDVRSSPEPIPVHTSNGASNGDLEEDKTE >Potri.003G068500.6.v4.1 pep chromosome:Pop_tri_v4:3:9562762:9567582:1 gene:Potri.003G068500.v4.1 transcript:Potri.003G068500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068500.v4.1 MFRVYESILFCVWLFTSLIVEGSVHEYKGERFVGKGNAFVVHGGSEGIYSSASENVNEKSVLPANGDSYIRFEKITFQRTQEFSNFSSGLVQAIVFEVEDRESIGGSAYGGQRAVCCTADLAKLGVCSEGEIIHRPSTKNPGWPQVFGVAFYADELVATLPSKSIQISRTGMYNLYFMHCDPNLKEVVVEGNTIWKNPSGYLPGRMAPLMKFYGFMSLAFVILGLFWFSQYARFWKEVFPLQNCITLVITLGMFEMAFWYFDYAEFNETGIRPTGITVWAVTFGTIKRSVARLVILMVSMGYGVVRPTLGGLTSKVLLVGVTFFVASEVLELVENVGAVSDLSGKAKLFLVLPVAFLDAFIIIWIFKSLSATLSKLQARRMMVKLDIYRKFTNALVVAVIVSVGWICYELYFKSKDVYNEQWQNAWVIPAFWQLLSFSLLCIICALWAPSQNSMRYAYSDDASDEFDRDDGTLTLIKPSTIPSKDVRSSPEPIPVHTSNGASNGDLEEDKTE >Potri.010G203700.1.v4.1 pep chromosome:Pop_tri_v4:10:19562603:19565932:1 gene:Potri.010G203700.v4.1 transcript:Potri.010G203700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203700.v4.1 MMCAATATGDWWAGGIVGKIGGGFSHESEHDLALMVSDFLENGCSSGADSRCSSDSDSGLSDLHHLGDKISFYKRTVAQYESDLLSIVHSLVQLIKESDLHHVKSGPCNASCINFSLVKLLRLSGYDAAVCASKWQGSGKVPRGDHEYIDVVNCINGGSSERVIIDVDFRSHFEIARAVDSYDRILKSLPVIYVGSLTRLKLYLQVMADAARSSLKQNSMPLPPWRSLAYLQAKWHSPYQRQFDPGEQTFSSIDSSDHKQCSGHLKSLPSSLQFEMEGERFVKPIKSDNHWRVKFERRRHSLFRAL >Potri.006G280000.3.v4.1 pep chromosome:Pop_tri_v4:6:26970300:26974808:1 gene:Potri.006G280000.v4.1 transcript:Potri.006G280000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280000.v4.1 MIGKMVEGPKFTGIIGGNTNNENNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVDNSSVGSSDSLTHILSHPGLKPVNHHNYSVSVGQSVFRPGKVTHALNDDALAQALMDPKYPTEGLQNYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQLMEQQFQQEVMMLANLKHPNIVRFIGGCRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSDIMTRCWDTNPEVRPPFTEIVRMLENAETEIMTSVRKARFRCCMTQPMTVD >Potri.006G280000.4.v4.1 pep chromosome:Pop_tri_v4:6:26970293:26974894:1 gene:Potri.006G280000.v4.1 transcript:Potri.006G280000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280000.v4.1 MIGKMVEGPKFTGIIGGNTNNENNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVDNSSVGSSDSLTHILSHPGLKPVNHHNYSVSVGQSVFRPGKVTHALNDDALAQALMDPKYPTEGLQNYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQLMEQQFQQEVMMLANLKHPNIVRFIGGCRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSDIMTRCWDTNPEVRPPFTEIVRMLENAETEIMTSVRKARFRCCMTQPMTVD >Potri.006G280000.5.v4.1 pep chromosome:Pop_tri_v4:6:26970282:26974808:1 gene:Potri.006G280000.v4.1 transcript:Potri.006G280000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280000.v4.1 MIGKMVEGPKFTGIIGGNTNNENNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVDNSSVGSSDSLTHILSHPGLKPVNHHNYSVSVGQSVFRPGKVTHALNDDALAQALMDPKYPTEGLQNYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQLMEQQFQQEVMMLANLKHPNIVRFIGGCRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSDIMTRCWDTNPEVRPPFTEIVRMLENAETEIMTSVRKARFRCCMTQPMTVD >Potri.006G280000.1.v4.1 pep chromosome:Pop_tri_v4:6:26970281:26974937:1 gene:Potri.006G280000.v4.1 transcript:Potri.006G280000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280000.v4.1 MIGKMVEGPKFTGIIGGNTNNENNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVDNSSVGSSDSLTHILSHPGLKPVNHHNYSVSVGQSVFRPGKVTHALNDDALAQALMDPKYPTEGLQNYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQLMEQQFQQEVMMLANLKHPNIVRFIGGCRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHGLGFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSDIMTRCWDTNPEVRPPFTEIVRMLENAETEIMTSVRKARFRCCMTQPMTVD >Potri.008G106400.1.v4.1 pep chromosome:Pop_tri_v4:8:6720289:6725620:1 gene:Potri.008G106400.v4.1 transcript:Potri.008G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106400.v4.1 MIRLTELIKKLSSASLRRFIHQDFHEVVDRMTIIDAFLFLIVHSIDKLGIWHRLPVFLGLLYLSVRRHLHQEYSLFNVGRSPTGVRYNPADYPYRTADGKYNEPFNEGAGSQGTFFGRNVLPVNQQDKLKKPDPMVVATRLLARKKFIDTGKQFNMIAASWIQFMIHDWVDHMEETAQIELVAPKEVANQCPLKSFRFYKTKEVPTGFWDIKSGALNIRTPWWDGSAIYGSNEKRLHKVRTFKDGKLKISEDGLLLHDQDGIAVSGDVRNSWAGVSILQALFVKEHNAVCDTLKREYKDLGDEELYRHARLVTSAVIAKVHTIDWTVELLKTDTLLAGMRGNWYGLLGKNFKDKFGHVGGAILGGVVGLKKPENHGVPYSLTEEFVSVYRMHSLLPDYLQLRDISAAPDSHKSPPSTDKIPMPSLIGRGGEKTLSGIGFIKLMVSMGHQASGALEPWNYPMWLRDVVAQDVDGMDRPDHVDLAALEVYRDRERNVARYNEFRRALLLIPISKWEDVTDDQEVIEALYEVYGDEVEELDLLVGLMAEKKIKGFAISETAFIIFLIMATRRLEADRFFTSNFNEETYTKKGFEWVNSTESLKDVLDRHYPEMTAKWMNSASAFSVWDSPPPSPNPIPLLFRVPK >Potri.001G268800.1.v4.1 pep chromosome:Pop_tri_v4:1:28365478:28366153:1 gene:Potri.001G268800.v4.1 transcript:Potri.001G268800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268800.v4.1 MEMKKIACAILFAAASVSAVMADEVAAPAPSPTSGASVSLPVVGSLVGASLASLIALYLQ >Potri.002G053900.1.v4.1 pep chromosome:Pop_tri_v4:2:3646770:3652877:-1 gene:Potri.002G053900.v4.1 transcript:Potri.002G053900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053900.v4.1 MEATLGFTPRVFGFKPLSFRDQRTGSKLEECYPLCAKNKFSHKMNLAESGRRRFLLRNRPIIFRANKKISINSSDQHRPGYANADVSPLHEAIPPRSDDGAALLNLLDVNNLNSLQSPPKMFRNRFLNFGRMNSVINSAAESFFKSEIRRRLFVTAVLIVIGRVGYYIPLPGFDRRLIPRDYLSFVTGSVDELDFSTELKLSFFQLGISPQILASILMQVLCHVVPSLVKLRKEGLDGHEKIKSYIWWISLGFAIFEAIIVACYSLPYSVYAASQRVKHVMVTALLLVCGAMTMTWICDTISESGFGQGSSLIICVGILTGYTETLYKMLSQLSGSAASWWPYMFAVLGVFTIVTMWAVVVTEGCRKIKLQYYGFKLASAARDDSPITEVEPYIPFNINPSGMQPLLTTTYLLAVPSILAGILGSPFWERIKEILNPETSIGAEPWVYYSIYALFVFLFNIFDIANLPKEIADYLNKMGARIPNVKPGKATIEYLTKIQASTRFWGGLLLSVLATTSTILDHYLRRINEGFAIGFTSVLIIVGSIIELRRSYQAYNVMPSLSKALRRYGV >Potri.001G092300.1.v4.1 pep chromosome:Pop_tri_v4:1:7308408:7311785:-1 gene:Potri.001G092300.v4.1 transcript:Potri.001G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092300.v4.1 MKTNQVRFLGLILVLLAVVVCSLADSKESAGTGLDPKSDATTNASKEAGGSNLKSNSTEDDKGKGKGGQVDKSKEDKADDLNNIKMDSQSGSKDNENAKEDKGNSSEEFQAKEGDHNKKKGLSGGEESKDFPEEKNDERDTQSRKEGPHVEECDPSNKCTDEENKLVACLRVPGNESPDLSLLIQNKGKGPLNVTISAPDFVHLEKTKIQLQEKDNKKVKVSITGGGSENLIVLTAGKGQCKLDIKDTIAHYLGKELHKSHESADIINSMSRTSTIAVLSFAALLILASGWMCISFRRKHLSYNNPRYQRLEMELPVSGGGKTESKTNDGWDNNWGDDWDDEEAPKTPSLPVTPSLSSKGLASRRLSKDGWKD >Potri.002G241900.8.v4.1 pep chromosome:Pop_tri_v4:2:23408372:23416164:1 gene:Potri.002G241900.v4.1 transcript:Potri.002G241900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241900.v4.1 MGKGKVIDDAVMNFAVTGKDHHFLVDADLEEEFSDSFRCSVCLDLLYKPIVLSCGHISCFWCVHKSMSGLRESNCPICRHPYNHFPTICQMLHFLLFKLYPMVYTRREEQTLEEEMEMGFFSPQFGYKECNSDLKHHHPRDRKHALDSCFFRNGEFCGSTQQIESVKSVSMIQAPTMSIPNKVCDENCCMIKPDSVEENNLPEDKSNRNCKQVSIADVQCSTCKQLLFHPVVLNCGHVYCETCIGPVNEMLTCQVCQSLHPRGFPKVCLEFDHFLEEYFPTEYAMRIEAVQAKQVPVKFQHPITCSTKASEKSFQSSSATTRENLSWRADPHSKVHVGVGCDSCGVYPIVGDRYKCKDCVEEIGFDLCGDCYNTCSKRPGRFNQQHTSEHKFELVKSNIIHNIMLRLVTGQLDSASAFANHDDASGISENESPAPILSGDAQDSSRNSLASAVTHPDSAEDENETQTTR >Potri.014G102700.1.v4.1 pep chromosome:Pop_tri_v4:14:6817296:6819565:-1 gene:Potri.014G102700.v4.1 transcript:Potri.014G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102700.v4.1 MRTDIDSFWIFALASKCRAFTQENIAWSLLIIGLAWIVVTLIYWAYPGGPAWGKYKLKNTSLTISNPIPGPRGFPITGSMKLMTSLAHHKIAAAADACKARRLMAFSLGDTRVIVTCNPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRKIASTHLFCPKQIKAAESQRLQIASQMVSTFNDREKSSFSVREVLKRASLNNMMCSVFGREYKLDSFNNEVEELRALVEEGYDLLGTLNWSDHLPWLADFDPQKIRFRCSNLVPKVNRFVSRIIAEHRALTRSENPDFVDVLLSLQGHDKLSDSDMIAVLWEMIFRGTDTVAVLIEWILARMVLHPDVQSKVHDELYKVVGRSRAVAESDITAMVYLQAVVKEVLRLHPPGPLLSWARLAITDTTIDGYHVPKGTTAMVNMWAISRDPEFWEDPLEFMPERFVVTKEDVLEFSVLGSDLRLAPFGSGRRTCPGKTLGITTVTFWVASLLHEYEWVPGEENNVDLSEVLRLSCEMANPLTVKVRPRRSSQSPLY >Potri.017G152000.1.v4.1 pep chromosome:Pop_tri_v4:17:14939485:14941167:1 gene:Potri.017G152000.v4.1 transcript:Potri.017G152000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152000.v4.1 MKAAVLRSSRAALRPCTSRHLVGRSYSSTESRPERKVAILGAAGGIGQPLALLLKLNPLISSLSLYDIANTPGVAADVSHINSRAQVAGYAGEEQLVEALDGSDVVIIPAGVPRKPGMTRDDLFKINAGIVKSLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKNAGTFDERKLFGVTTLDVVRAKTFYAGKVKVPVAEVNVPVVGGHAGITILPIFCHDPNPGSMTGNARAVSKGHPTYAKPQNKHIKRTIYSKIHSIS >Potri.001G093401.1.v4.1 pep chromosome:Pop_tri_v4:1:7386918:7388706:1 gene:Potri.001G093401.v4.1 transcript:Potri.001G093401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093401.v4.1 MSRRTARNYSWTPGSVERTVNNGNSGSWKMIQGLGCGFKCSLFMPNPFHVGLPQSYHPSVPEVAKVIPLMSHNSICHEVH >Potri.002G057600.4.v4.1 pep chromosome:Pop_tri_v4:2:3930391:3932817:1 gene:Potri.002G057600.v4.1 transcript:Potri.002G057600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057600.v4.1 MVSFRFHQYQVVGRALPTESDEHPKIYRMKLWATNEVRSKSKFWYFLRKLKKVKKSNGQILAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYNEMASRHRVRSPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPTRKLKTTYKASRPNLFM >Potri.011G113575.1.v4.1 pep chromosome:Pop_tri_v4:11:14383466:14384992:1 gene:Potri.011G113575.v4.1 transcript:Potri.011G113575.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113575.v4.1 MGLPWYHVHTVVLNDPGRLLAVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLLGVACFGFGAFHVTGLYGLGIWVSDPYGLTGKLQSVNPAWGVEGFDPFVPGGIASHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMRNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRKVGTGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAIGWLGHPLFRDKEGRELCVRRMPTFFETFPVVLVDGDGIVRADVPFRRAESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSRRGWFTFGHASFALLFFFGHIWHGSRTLFRDVFAGIDPDLDAQVEFGAFQKLGDPTTRRQVV >Potri.003G185850.1.v4.1 pep chromosome:Pop_tri_v4:3:19083756:19087193:1 gene:Potri.003G185850.v4.1 transcript:Potri.003G185850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185850.v4.1 MQVLKLVSLFSFLLLMLMFQPASARAPAGLAKPNCSDHCGNISIPYPFGIGKDCYMAESFDVECNETSNPPRAFLRSIKMELVNITLERGAVVKGPVISVESLGRQEVLPLNLEGTPFTPYYNYFIAVGCNTRASLWTKNGSTEHVGCDSICSNGSSNSNIRLENGACSGKDCCQDMDWPRSLQVFNSTFELIEGKQGSDGRKVLAFLADVDWFYSKTWSPQEINKLPSTVPMSLAWMLNNNSWTYNKDTMDNCYVRQINSTTNMTDRRCSCSEGYEGNPYLQCRDIDECEDRNSTCHGLTRCVNTKGSYKCELHPLWFPVLVIGLALGVLFLLIGAWWMYKLFKRRKSIQLKKKFFKRNGGLLLQQQLSSSDGSVQKTKIFSSNELEKATDYFNENRILGHGGQGTVYKGMLADGSIVAVKKSTIVDEEKLEEFINEVVILSQISHRNVVRLLGCCLETDVPLLVYEFIPNGTLSQYLHEQNEDFTLSWESRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVSIDQTHLTTKVQGTFGYLDPEYFRTSQLTEKSDVYSFGVVLVELLSGKKTIFLTHSLETMSLVKHFIDLMEDGRLFGIIDAQVKGDCTEEEAIVIANLAKRCLDLNGRNRPTMREVAMELEGILLSRNGINIQQIGEVDNSSRSISCSSFEIGIDLPLDCKPSISSETW >Potri.010G219200.6.v4.1 pep chromosome:Pop_tri_v4:10:20504423:20507495:1 gene:Potri.010G219200.v4.1 transcript:Potri.010G219200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219200.v4.1 MEPSVFYFGNLPVRNPDGNDADTEGFKHSVDEIFQKVDKLEQRMNGVEQFYLDISKKQQSGSSKGGGSSIVKDKDKERHVTSIRKQQQDASKREAAAAKRMQELMRQFGTILRQITQHKWAWPFMQPVDVKGLRLHDYYEVIDKPMDFSTIKNQMEAKDGTGYKNVREISADVRLVFKNAMKYNDERSDVHVMAKTLLGKFEEKWLQLLPKVTEEEKRREDEEVEAKLDMQLAQEAAHAKMARDLSNELYEVDMHLEELRDIVVQKCRKMSTEEKRKLGVALTRLSPEDLTKALEIVARSNPGFQATAEEVDLDIDAQTESTLWRLKFLVKDVLEVQGKSAASTGGNNNNNNNNKNTSNNNKRKREICDAIAKTAKKRSKKPSS >Potri.011G096900.1.v4.1 pep chromosome:Pop_tri_v4:11:12513405:12514348:1 gene:Potri.011G096900.v4.1 transcript:Potri.011G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096900.v4.1 MATSMKMRILLLSILLLLMLVGSSDARFSRKFSIMPEKLVSRHILRDLGYEMSKVEHYRRWMQDTDRVSPGGPDPHHH >Potri.006G202900.8.v4.1 pep chromosome:Pop_tri_v4:6:21035561:21038690:1 gene:Potri.006G202900.v4.1 transcript:Potri.006G202900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202900.v4.1 MKEPSRIGDRSTSDVVVRLRTHEGRDDWFYCHSFILIEKSKYFGDRLSENWPTCQILDSRNCVEVYCEESDFDHHVNFLRLLYVVIDGSLEDIWHGVKNALGILRVAVELGCPQIVNACVNYLEAMPWEEAEEDEILKIIPGMGSKSQPILARVQPVNPSAVLRIFLSAIKFATSSPPSPMNDLKTTAQEQLEYMLTEDDDAPLLTADQEVKFEVKECVKGLFTRFNNMLEALLCEPVESANEKGKMQSFQSYLSDLSWACQILNKLEIMKEFVNSWVGASDKIVLVVEQASSVAEIIETKSKVIEVAAKVLEAIGYGNVILPTAKRFHMVKVWLPFVRVTKPLIDSATTNAKDAPELRIDGELWQSLESTFVSMVLTLPSEDQAEILTEWLGNEHIHYPDFTEAFEVWCYRSKVAKRRLADILGNHGMANSTL >Potri.006G202900.6.v4.1 pep chromosome:Pop_tri_v4:6:21035553:21038799:1 gene:Potri.006G202900.v4.1 transcript:Potri.006G202900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202900.v4.1 MESPEPSRIGDRSTSDVVVRLRTHEGRDDWFYCHSFILIEKSKYFGDRLSENWPTCQILDSRNCVEVYCEESDFDHHVNFLRLLYVVIDGSLEDIWHGVKNALGILRVAVELGCPQIVNACVNYLEAMPWEEAEEDEILKIIPGMGSKSQPILARVQPVNPSAVLRIFLSAIKFATSSPPSPMNDLKTTAQEQLEYMLTEDDDAPLLTADQEVKFEVKECVKGLFTRFNNMLEALLCEPVESANEKGKMQSFQSYLSDLSWACQILNKLEIMKEFVNSWVGASDKIVLVVEQASSVAEIIETKSKVIEVAAKVLEAIGYGNVILPTAKRFHMVKVWLPFVRVTKPLIDSATTNAKDAPELRIDGELWQSLESTFVSMVLTLPSEDQAEILTEWLGNEHIHYPDFTEAFEVWCYRSKVAKRRLADILGNHGMANSTL >Potri.006G202900.7.v4.1 pep chromosome:Pop_tri_v4:6:21035425:21038651:1 gene:Potri.006G202900.v4.1 transcript:Potri.006G202900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202900.v4.1 MESPEPSRIGDRSTSDVVVRLRTHEGRDDWFYCHSFILIEKSKYFGDRLSENWPTCQILDSRNCVEVYCEESDFDHHVNFLRLLYVVIDGSLEDIWHGVKNALGILRVAVELGCPQIVNACVNYLEAMPWEEAEEDEILKIIPGMGSKSQPILARVQPVNPSAVLRIFLSAIKFATSSPPSPMNDLKTTAQEQLEYMLTEDDDAPLLTADQEVKFEVKECVKGLFTRFNNMLEALLCEPVESANEKGKMQSFQSYLSDLSWACQILNKLEIMKEFVNSWVGASDKIVLVVEQASSVAEIIETKSKVIEVAAKVLEAIGYGNVILPTAKRFHMVKVWLPFVRVTKPLIDSATTNAKDAPELRIDGELWQSLESTFVSMVLTLPSEDQAEILTEWLGNEHIHYPDFTEAFEVWCYRSKVAKRRLADILGNHGMANSTL >Potri.001G248100.1.v4.1 pep chromosome:Pop_tri_v4:1:26432352:26435005:1 gene:Potri.001G248100.v4.1 transcript:Potri.001G248100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248100.v4.1 MSYSRRSRYSLSPSPYRRDSRSISRSRSGSRSRSSSRDVENPGNNLYVTGLSPRITKKELEKHFAAEGTVIDVHLVVDPLTRESRGFGFVTMSAVEEADRCIKYLDRSVLGGRVITVEKAKRRRGRTPTPGRYLGLRTIRGGGGVHRWTPSPSPRRSPSYSPYRRSWSRSPRYSSERSRSRSCSPRNRRRRSYSRSRSPYRRSPVSRRDRSNSPYYLRRRSPDDRYYRRHRYRSVSRSPATRRAQRSSRRSYSRSVSPRPRRSSRRSCSRSVSPAPRRSYSRSMSPAPRRRSRRSYSRSVSPRPRKYSRRSGHSRDSYSRSHSASPTSRSVSRSVTPSSGSPSH >Potri.001G248100.2.v4.1 pep chromosome:Pop_tri_v4:1:26432352:26434999:1 gene:Potri.001G248100.v4.1 transcript:Potri.001G248100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248100.v4.1 MSYSRRSRYSLSPSPYRRDSRSISRSRSGSRSRSSSRDVENPGNNLYVTGLSPRITKKELEKHFAAEGTVIDVHLVVDPLTRESRGFGFVTMSAVEEADRCIKYLDRSVLGGRVITVEKAKRRRGRTPTPGRYLGLRTIRGGGGGGVHRWTPSPSPRRSPSYSPYRRSWSRSPRYSSERSRSRSCSPRNRRRRSYSRSRSPYRRSPVSRRDRSNSPYYLRRRSPDDRYYRRHRYRSVSRSPATRRAQRSSRRSYSRSVSPRPRRSSRRSCSRSVSPAPRRSYSRSMSPAPRRRSRRSYSRSVSPRPRKYSRRSGHSRDSYSRSHSASPTSRSVSRSVTPSSGSPSH >Potri.012G079000.1.v4.1 pep chromosome:Pop_tri_v4:12:10319467:10323746:-1 gene:Potri.012G079000.v4.1 transcript:Potri.012G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079000.v4.1 MKENSDGFVRADQIDLKSLDEQLERHLNRVLTLENKNKRDDDDGDVVVTANPTPTPSTTRTAPFKKQRQEWEIDPSKLSIKTVIARGTFGTVHRGVYDGQDVAVKLLDWGEEGQRTEAEIAALRAAFTQEVAVWHKLDHPNVTKFIGATMGSADLQIQTANGQIGMPNNICCVVVEYLPGGALKSYLIKNRRRKLAFKVVVELALDLARGLNYLHSQKIVHRDVKTENMLLDKTRTVKIADFGVARIEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFAEVTSAVVRQNLRPEIPRCCPNSLANVMKRCWDANPDKRPEMDEVVSMLEAIDVTKGGGMIPPDQQGGCFCFRRNRGP >Potri.006G199600.1.v4.1 pep chromosome:Pop_tri_v4:6:20727250:20732082:-1 gene:Potri.006G199600.v4.1 transcript:Potri.006G199600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199600.v4.1 MSLGFKNSIFSGQFNTVQLGRFRPRSQKHRISSVEPVQTSVFSGYIVPRNIKSKIDSLGEVKLNKDFILRSKAVLTVDKEVDIEGNEGVSRERSDYDVIVIGSGIVGLVAATQLAVKGAKVLVLEKYVIPGGSSGYYERDGYTFDVGSSVMFGFSDKGNLNMITRALAAVGCEMEVIPDPTTVHFHLPNNLSVKVPKEYSDFISELTAKFPHEKEGILKFYSECWKIFNALNSLELKSLEEPIYLLGQFFQKPLECLTLAYYLPQNAGDIARKYINDPELLSFIDAECFIVSTVNALQTPMINAGMVLCDRHFGGINYPVGGVGGIAKSLSKGLIDQGSEILYRANATNIILEHGKAVGVRLSDGREFFAKTIISNATRWDTFGKLLKGETLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWARLEEPYGSIFLSIPTVLDSSLAPEGRHILHIFTTSSIEDWEGLSTEDYEAKKKVVAYEIISRLEKKLFPGLRSSITFMEVGSPKTHRRYLARDKGTYGPMPRSTPKGLLGMPFNTTAVDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGIEKRSPVMDAALLRLLGWLRTLA >Potri.001G070301.1.v4.1 pep chromosome:Pop_tri_v4:1:5299819:5308499:1 gene:Potri.001G070301.v4.1 transcript:Potri.001G070301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070301.v4.1 MEGQPHPYAPTDLKLPGYVPNFLTQFTIVSVYGLSSLIWILSGRSRKLAKIDRLLMCWWAFTGLTHVILEGYFVFSPEFYKDKTAHYLAEVWKEYS >Potri.002G019600.1.v4.1 pep chromosome:Pop_tri_v4:2:1184023:1190220:-1 gene:Potri.002G019600.v4.1 transcript:Potri.002G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019600.v4.1 MEELELTSDQVLDQNSLPKMERPSNSSKKPTVSIFGLFSAADKFDHFLMFLGLVGSCAHGAVFPLFFVLFGHLIDSLGHVRSDPHQMSSQVSKYSLDLVYLGLGVFVAGWIGVASWMQTGERQTARLRLKYLQSVLRKDMNFFDIEARDSNILFHISSDAILVQDAIGDKTGHAVRYLSQFFIGFVFGFKSVWQLTLLTLAVVPLMAVAGGAYTIIMSTLSEKGEAAYAEAGKVADEAISQIRTVYSFVGEEKALEEYSKSLKKALKLGKKSGVAKGVGIGSTYGLLFCAWSMLLWYSSILVRRGDTNGAKAFTVILNVIFSGFALGQAAPNIAAISKGRAAAASIMSMIETDSSPSKNLVDGIVMPKVSGQIEFCEVCFSYPSRSNMVFENLSFSISAGKNFAVVGPSGSGKSTVISMVQRFYEPTSGKILLDGHDLKTLELKWLREQMGLVSQEPALFATTIAGNILFGKEDASMDQIYEAAKAANVHSFVLQLPDGYHTQVGEGGTQLSGGQKQRLAIARAVLRNPKILLLDEATSALDAESELIVQQALEKIMANRTTIVVAHRLSTIRDVDTIIVLKNGLVVESGSHLELISKGGEYASMASLQVSEHVTDASSIHSGTAGKSSFRELTSSQNQEVTTRELKSNDENLSPANFSPTPSIWELVKLNAPEWPYAVLGSVGAMMAGMEAPLFALGITHMLTAFYSPDNSQMKKEVHLVALIFVGAAVVTVPIYILQHYFYTLMGERLITRVRLSMFSAILCNEIGWFDLDENSTGSLTSTLAADATLVRSTLADRLSTMVQNVSLTVTAFVIGFSLSWRVSAVIIACFPLLIGAAITEQLFLKGFGGDYRSYTRANAVAREAIANIRTVASFGAEERIAHQFASELNKPNKQVLLQGHISGIGYGASQFFCFCAYALGIWYASVVISHNESDFDHVMKSFMVLVMTSYAIAETVALTPDIMKGSQALESVFSILHRKTAMDPDDPTSKVITDIKGDVELRHVSFKYPARPDTIIFEDLNLKVSAGKSLAVVGQSGSGKSTVIALILRFYDPISGTVLIDGYDVKTLNLKSLRRKIGLVQQEPALFSTTIYENIKYGNKNASEIEVMKAAKAANAHGFISRMHEGYHTHVGDRGLQLSGGQKQRIAIARAILKDPSILLLDEATSALDTASEKLVQEALDKLMEGRTTVLVAHRLSTVRDADSIAVIQHGRVVEIGSHNQLIGKPSGVYKQLVSLQQEKSFSYQ >Potri.001G091500.1.v4.1 pep chromosome:Pop_tri_v4:1:7230837:7231440:-1 gene:Potri.001G091500.v4.1 transcript:Potri.001G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091500.v4.1 MGNCIKPSTRRQHEEDDNMKELHQEKKELEFVKESGNFEKGSSLKVKIVLTKEELEWLLFQLKVNGGKKLEDVLGEIERGRSMKVKTWKPSLESIMESPEGLENERRVV >Potri.013G126800.2.v4.1 pep chromosome:Pop_tri_v4:13:13418301:13423712:1 gene:Potri.013G126800.v4.1 transcript:Potri.013G126800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126800.v4.1 MMENKRSPCSVDHASLTSLATKRHKADFSISTKERKEKLGERIVALQQLVSPYGKTDTASVLMEAMEYIRFLHEQVQVLSAPYLQGTSTAQMQELGQYSLRNKGLCLVPTSCTAGIARSNGADIWAPIKSPSPKFNKDVSPFH >Potri.001G467600.3.v4.1 pep chromosome:Pop_tri_v4:1:49223025:49230559:-1 gene:Potri.001G467600.v4.1 transcript:Potri.001G467600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467600.v4.1 MPQETNNGSIFTSPCKNLRGLKGLMSSNEASYTEEIINDRELAQRKAEEAASRRYQAAEWLRQMDKGGSRSLPKEPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVDNSILTVQSTEGAAQSAIQYFENMRNFLVAVKDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGLVKIVSFPKELPSSLVGSESADESVDESESSQYEQLLEFLHLSNEVAIEETKTANALAFLFDHFGLRLLQAYLKESNGIEELPLNGMVVDALLSKVVKDFSALLVSQGTQLGLLLKKILKGDIGSLSKTEFIEAISQYLRQRTSLASSDFSKFCVCGGKKETIRHIVSNSSGHAEVIDLHQKQLEELRFHYKETRQQVKQIQAGWEEEVGRLEHHITDLEVASSTYHQVLEENRQLYNQVQDLKGTIRVYCRVRPFLPGQSSRQSAVDYIGENGNIMIVNPLKNGKEARKVFSFNKVFGSNVTQEQIYVDTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTSEETWGVNYRALRDLFQISKTRGDVIKYEVGVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASWIPVSSTQDVLDLMKIGHRNRAVGATALNERSSRSHSVLTVHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHVPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNSTGETISTLKFAERVASIELGAAKSNKETGEIRELKEEISNLKQALERKEAEMEQIKGGSTRSTTESQRTRAVSPFSVPRYGTNANFKPETSQRSNDDTKRSEIRSCSSGKQRRSRFPSSLTDKEILPRIPFLGEEMLASSTKPRSPSPPVRRSISTDRGAHIRSRVKETVENQPVARVPFPARVPINKSIAAIPVIPSADNSSKGPYKGSQEAVKQDNISNAFYNFQRVSTRKVYPEHDEEQFRQALNIRQGGIRKVKNESKVKAKHQLPAKFNKSDVGTTMLSDIDAEPRKSDFSEPENEHLLPVSPTIGALKVKKIQRNFSRNSQNLEPRVVQAVEPLIPGKLENKLPNNVTHTVKEGGNTSMPEFRRSRSTPRGKYMILP >Potri.001G467600.1.v4.1 pep chromosome:Pop_tri_v4:1:49222774:49230760:-1 gene:Potri.001G467600.v4.1 transcript:Potri.001G467600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467600.v4.1 MPQETNNGSIFTSPCKNLRGLKGLMSSNEASYTEEIINDRELAQRKAEEAASRRYQAAEWLRQMDKGGSRSLPKEPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVDNSILTVQSTEGAAQSAIQYFENMRNFLVAVKDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGLVKIVSFPKELPSSLVGSESADESVDESESSQYEQLLEFLHLSNEVAIEETKTANALAFLFDHFGLRLLQAYLKESNGIEELPLNGMVVDALLSKVVKDFSALLVSQGTQLGLLLKKILKGDIGSLSKTEFIEAISQYLRQRTSLASSDFSKFCVCGGKKETIRHIVSNSSGHAEVIDLHQKQLEELRFHYKETRQQVKQIQAGWEEEVGRLEHHITDLEVASSTYHQVLEENRQLYNQVQDLKGTIRVYCRVRPFLPGQSSRQSAVDYIGENGNIMIVNPLKNGKEARKVFSFNKVFGSNVTQEQIYVDTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTSEETWGVNYRALRDLFQISKTRGDVIKYEVGVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASWIPVSSTQDVLDLMKIGHRNRAVGATALNERSSRSHSVLTVHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHVPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNSTGETISTLKFAERVASIELGAAKSNKETGEIRELKEEISNLKQALERKEAEMEQIKGGSTRSTTESQRTRAVSPFSVPRYGTNANFKPETSQRSNDDTKRSEIRSCSSGKQRRSRFPSSLTDKEILPRIPFLGEEMLASSTKPRSPSPPVRRSISTDRGAHIRSRVKETVENQPVARVPFPARVPINKSIAAIPVIPSADNSSKGPYKGSQEAVKQDNISNAFYNFQRVSTRKVYPEHDEEQFRQALNIRQGGIRKVKNESKVKAKHQLPAKFNKSDVGTTMLSDIDAEPRKSDFSEPENEHLLPVSPTIGALKVKKIQRNFSRNSQNLEPRVVQAVEPLIPGKLENKLPNNVTHTVKEGGNTSMPEFRRSRSTPRGKYMILP >Potri.001G467600.4.v4.1 pep chromosome:Pop_tri_v4:1:49223026:49230212:-1 gene:Potri.001G467600.v4.1 transcript:Potri.001G467600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467600.v4.1 MPQETNNGSIFTSPCKNLRGLKGLMSSNEASYTEEIINDRELAQRKAEEAASRRYQAAEWLRQMDKGGSRSLPKEPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVDNSILTVQSTEGAAQSAIQYFENMRNFLVAVKDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGLVKIVSFPKELPSSLVGSESADESVDESESSQYEQLLEFLHLSNEVAIEETKTANALAFLFDHFGLRLLQAYLKESNGIEELPLNGMVVDALLSKVVKDFSALLVSQGTQLGLLLKKILKGDIGSLSKTEFIEAISQYLRQRTSLASSDFSKFCVCGGKKETIRHIVSNSSGHAEVIDLHQKQLEELRFHYKETRQQVKQIQAGWEEEVGRLEHHITDLEVASSTYHQVLEENRQLYNQVQDLKGTIRVYCRVRPFLPGQSSRQSAVDYIGENGNIMIVNPLKNGKEARKVFSFNKVFGSNVTQEQIYVDTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTSEETWGVNYRALRDLFQISKTRGDVIKYEVGVQMIEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASWIPVSSTQDVLDLMKIGHRNRAVGATALNERSSRSHSVLTVHVYGKELVSGSILKGCLHLVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHVPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNSTGETISTLKFAERVASIELGAAKSNKETGEIRELKEEISNLKQALERKEAEMEQIKGGSTRSTTESQRTRAVSPFSVPRYGTNANFKPETSQRSNDDTKRSEIRSCSSGKQRRSRFPSSLTDKEILPRIPFLGEEMLASSTKPRSPSPPVRRSISTDRGAHIRSRVKETVENQPVARVPFPARVPINKSIAAIPVIPSADNSSKGPYKGSQEAVKQDNISNAFYNFQRVSTRKVYPEHDEEQFRQALNIRQGGIRKVKNESKVKAKHQLPAKFNKSDVGTTMLSDIDAEPRKSDFSEPENEHLLPVSPTIGALKVKKIQRNFSRNSQNLEPRVVQAVEPLIPGKLENKLPNNVTHTVKEGGNTSMPEFRRSRSTPRGKYMILP >Potri.012G017300.2.v4.1 pep chromosome:Pop_tri_v4:12:1921354:1923735:1 gene:Potri.012G017300.v4.1 transcript:Potri.012G017300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017300.v4.1 MACIQHSRNALRRIIAKETSLKSSDGAIHPLLYACQGVRYKKLEVILTTSIEKLGKAGQTVKVAPGHFRNHLMPKLLAVPNIEKFAHLIREQRKIYQPEEEEEVKVVKETMEDKMKEYETAAKRLVKARLAFRVGINTAKFRARESKDDPIEILSPVTKDDILREVTRQFNVQIEPDNVHLPSPLTALGEFEVPLRFPKSIPLPEGKVKWTLQVKIRGK >Potri.012G017300.7.v4.1 pep chromosome:Pop_tri_v4:12:1921339:1923774:1 gene:Potri.012G017300.v4.1 transcript:Potri.012G017300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017300.v4.1 MACIQHSRNALRRIIAKETSLKSSDGAIHPLLYACQGVRYKKLEVILTTSIEKLGKAGQTVKVAPGHFRNHLMPKLLAVPNIEKFAHLIREQRKIYQPEEEEEVKVVKETMEDKMKEYETAAKRLVKARLAFRVGINTAKFRARESKDDPIEILSPVTKDDILREVTRQFNVQIEPDNVHLPSPLTALGEFEVPLRFPKSIPLPEGKVKWTLQVKIRGK >Potri.001G214600.1.v4.1 pep chromosome:Pop_tri_v4:1:22117343:22118234:1 gene:Potri.001G214600.v4.1 transcript:Potri.001G214600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G214600.v4.1 MAMNSKLLLLFALAVVFSCRSTDALKVKATRIQFYMHDVISGPNPTSVRVAGPDNSTISPNATAALFGPIYMMDNPLTVTPDPNSTVVGRAQGIYGMSSQNELSLLMSFTVGFISGPYNGSTFSVLGRNPIMNEVREMPVVGGTGIFRLARGYCLAKTHSMVGFDAIIGYNVTLLHY >Potri.019G058200.1.v4.1 pep chromosome:Pop_tri_v4:19:9759490:9764417:1 gene:Potri.019G058200.v4.1 transcript:Potri.019G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058200.v4.1 MEDRGGDTGRSRSDSKLAGEKRASGELGEKSEVARKKIKMRNLESVLRFEEVSSNHLKNKEDDDRFQFTEKMSQVTNVPVTLDFNAYRAERSGRTALSVEVTAASKPLDLSNEACIANHLVRKDMAEHAENCNEVPLLKKHESKHDNKCATSVGFGLDLNAQDDSSVNQEPFHTQKDHEKTRDISECGSTTGPVQEKDPLRMWKEMKQNGFLSSSYGGISIQSGFMTSSHGGIPMAKQRGRKPKDDVLKEKMELAKREQVDRFTKIAAPSGLLNGLNPGIINHVRNKKQVHSIIEALVRSEKLENGCLESKQAYLKSGTKENNSMSDSGIHRLSFSHGNGSSTSLFGSKQTRGYPISNGEGDSSMVDMVHDRNFVSHSAASENDGLTLKLSSSTNALEESRTVLNEESANNASVSCLSVKAATVSSQWLELLHQDIRGRIAALRRSRKRVRAVITTELPFLISKEFSAIEVDGAYTMKSSSEVVSNNATAAMHQARWRALFDQLDSALSEEEKQLETWLSQVKEMQVHCDQGLQHLHYNAILGYPRTEKADSSQKELAVRAAAASIYSTCNFLSSKENVSCF >Potri.015G036500.6.v4.1 pep chromosome:Pop_tri_v4:15:3116916:3120020:-1 gene:Potri.015G036500.v4.1 transcript:Potri.015G036500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036500.v4.1 MSTGMSSLLHGNPNCSILRNNGATSFVGFSALPFMQLRAGAFMRGRLIPQSLYRKEKFCFPRKGLGSMMSKKVTFTTRSSSEDGSSDQGKTPFGYNRKDVLLIGLGVTLLGIGLESGLEYAGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMEKRLEGLSEAELEALLEQVEEEKRRQASGEKIN >Potri.015G036500.1.v4.1 pep chromosome:Pop_tri_v4:15:3116919:3120149:-1 gene:Potri.015G036500.v4.1 transcript:Potri.015G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036500.v4.1 MSTGMSSLLHGNPNCSILRNNGATSFVGFSALPFMQLRAGAFMRGRLIPQSLYRKEKFCFPRKGLGSMMSKKVTFTTRSSSEDGSSDQGKTPFGYNRKDVLLIGLGVTLLGIGLESGLEYAGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMEKRLEGLSEAELEALLEQVEEEKRRQASGEKIN >Potri.015G036500.5.v4.1 pep chromosome:Pop_tri_v4:15:3116919:3120068:-1 gene:Potri.015G036500.v4.1 transcript:Potri.015G036500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036500.v4.1 MSTGMSSLLHGNPNCSILRNNGATSFVGFSALPFMQLRAGAFMRGLLAGRLIPQSLYRKEKFCFPRKGLGSMMSKKVTFTTRSSSEDGSSDQGKTPFGYNRKDVLLIGLGVTLLGIGLESGLEYAGVDPLQAGNVVQLVLVLGLTVGWISTYIFRVSNKEMTYAQQLRDYENKVMEKRLEGLSEAELEALLEQVEEEKRRQASGEKIN >Potri.001G463900.2.v4.1 pep chromosome:Pop_tri_v4:1:48975551:48977735:1 gene:Potri.001G463900.v4.1 transcript:Potri.001G463900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463900.v4.1 MGTAKMVFSRVLIVTCSLLVLVLSNSSSCDATKHKNCGFDAIYNFGTSMSDTGNAMHLTPNASEFNAPYGRSIKDAKGRYSDGFLVIDYFAKAACLPLLNPYLNKDVKDTHGGVNFAVAGATALPREALEKFNLQPFINISLDIQLQWWGNYAKSLCNNSKVDCKEKLKSSLFSIEAMGANDYLTAMLRGKTIEELKKMDLVSQVIKANEEGVRKIIGYGATQVLVTGYLHVGCAPSLLAMRSNSSDARDQFGCLKDYNDFIKYHNDLLREAISRLRKEHPDVHILIGDYYTAMQSVLDNHQKLGFESVLVACCGTGGKYNFDHRKKCGTQGVQSCSDPRKYISWDGLHMTQESHKHIAKWYIQDIFSKFQS >Potri.009G025800.1.v4.1 pep chromosome:Pop_tri_v4:9:3732049:3736774:1 gene:Potri.009G025800.v4.1 transcript:Potri.009G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025800.v4.1 MGGFFDLNIPQDTTKTTRIKLVVKAMELGYSGIAYNRSIKGIMSDHDRCTIPLLSLSSLLDVAPALAFSVNLHRDLLGIPRSSPFRQYTRLTVSVDTPSQAQVLNSGNPVLKTYDFVAVKPLSQTAFDHACLKSEVDMIAIDFSVKLPFRLKLPMVKAAIERGVYFEITYSDLIADIQVRRQMIPNAKLLVDWTRGKNLIFTSAASSVNDFRGPYDVANFSSLFGLSMERAKTAISKNCRSLIANALRKKHFYKEAIRIEPISSDEISDTKELIYVNWLKWDPISSGGGDLQLGDIEKSFSATTRVSTTAKAIDFSEVLNGMASNGASFSTTIPAIETPVAISGVSEKPGEFDFLLETDQASSDNTSVKNQTSSNENSQEMNLPNDDTRAFTKFEGSRSHVSTIKEESKNSNISDVILPSIVDERHDMQSQKCIPSCEINAVLSNASVMNLTSATDINNTTCVANAKIDTSCENANFLAPLIENPSSLKGSDLVLCPQDVSLSENLMEMDVKDQEDIPVTEKVSSSDQLGESQSDLITIVDYIPLLATDDTNIENYPLVANNLEVMMDEDDTYVTNNVMGRAQLEESGDEPIAPVDHIPLSVTSDGMIVKDVPSVASSENLEKLAVEGQEHVDADSRCILVVDDDLKVKDNSPAETCMSLEEVGMTRQMHEEANVESKHTALATFQSGKFKAKRRTSHQHPSFPLKRLLNPMAFKRTKKFKRKV >Potri.004G133180.1.v4.1 pep chromosome:Pop_tri_v4:4:15439672:15439926:1 gene:Potri.004G133180.v4.1 transcript:Potri.004G133180.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133180.v4.1 MANSRIAKFITEAAPPQYINVIRQRASKLLDTISEEDRDVAASDSSPMSPTSSTIVTTSATYVAAANSTYFPKGIQRSFSTFEN >Potri.019G123800.1.v4.1 pep chromosome:Pop_tri_v4:19:14822591:14825655:1 gene:Potri.019G123800.v4.1 transcript:Potri.019G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G123800.v4.1 MNVSETDLKLVCQGIISERSRGIFYGDNPLDHSTPTVLAQLSLSSLLSALTQYILTPLGESAFISMLLVGFALGPTLWGDNNPFLSKVYSAKSINVSSTFAFFGCILYLFLLGIKLDLGMVKRAGRKTVVIGFFTFIFPITLNLIVAEILTTNMEMEPYLHDCVPYIAVFQSVTTFHVIVCLVADLKLINSELGQLAISSSMISGTCSWSLTFFFLLIDRDETHDLIALILIFAILLVIIIFFLLRPLMTWMTGKTSEGKQVKETYVISIFIMLLGCAFLSEVFGHHVLFGAVALGMAVPHGPPLGSALVNKIESFVSSILLPSYFVFSVAGVNILSIHSKTVTVVSIFGVSSFIGKLLGGMLPALYFNIPPVEAFSLGLVMSCQGVSDVLLMQHGKFLSLLDTQIYSIMVINMLFLSGTFTPIIKLLYDPSKKYASCKKRTIQHTSLHMEFRILACIYHQDSTPCIIGLLELTNPTAKTPMCCYVVHLLQLTGSLIPLLVHHEPGKSAKFHAKYSSHIINAFRLYEQECNGNVVVNLFTSISPFSTIHEEVCRLAAEKSTSLVIIPFHKQWRLHGIENIAEARSVNRHILEKAPCSVGILVNRGTSSGSKNNNLYDIGIFFAHGSDDREALAYGLRMAKHSKVALTVIHLIDLARTSQDFHEMELDSDIITEYKIQSAGKRQHSYRQESVNDCVELIRLITSVENSFDLILVGRSYGSCSPLFEGLTEWSEFPELGFMGDMLTSSDSQCQVSVLVVQQQISRA >Potri.002G061200.2.v4.1 pep chromosome:Pop_tri_v4:2:4233689:4239518:-1 gene:Potri.002G061200.v4.1 transcript:Potri.002G061200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061200.v4.1 MASSSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNADRNREQGRGGPRLAANNDPQKQAGGPAILEEPAQHQPIGLHIAITAATVMAGTLGGAQTVMQSNQNGLQSQPALASDPLTLHLAKMSRNQLNEIMSELKGMATQNREAAHQLLLGKPQLSKALFQAQIMLGMVTPQVLQLPNIRQSTGQPALSSLQDSQQVQRPAVPNLPGLPPTAQRMQLGLGQFSAGPQPSVQPQKSLVHNQFSATPQPSVQAQTQIPHHVNNHVPHHATLLGQSAPLPAVLPSVRPPVQMANSAPLNQQMQPSLVQHTRQVGNTNARHNPQVVLPNKAMQSSLLSRPPATGSFQSGLSVSSGLSDAANADRSTLRSNAYLNMQTSTAHDSKEPVNRPSKVLKLDDGRSMSVPMGGSNLFSATGSGPSQAPAVNSVPPNPLPRPEDLQHSGKQAPQLPADIESALLQQVLNLTPEQLSSLPPDQQQQVIQLQQALLRDQMQPS >Potri.002G061200.5.v4.1 pep chromosome:Pop_tri_v4:2:4233686:4238734:-1 gene:Potri.002G061200.v4.1 transcript:Potri.002G061200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061200.v4.1 MASSSQHRCVFVGNIPYDATEEQLIEICREVGPVVSFRLVIDRETGKPKGYGFCEYKDEETALSARRNLQGYEINGRQLRVDFAENDKNADRNREQGRGGPRLAANNDPQKQAGGPAILEEPAQHQPIGLHIAITAATVMAGTLGGAQTVMQSNQNGLQSQPALASDPLTLHLAKMSRNQLNEIMSELKGMATQNREAAHQLLLGKPQLSKALFQAQIMLGMVTPQVLQLPNIRQSTGQPALSSLQDSQQVQRPAVPNLPGLPPTAQRMQLGLGQFSAGPQPSVQPQKSLVHNQFSATPQPSVQAQTQIPHHVNNHVPHHATLLGQSAPLPAVLPSVRPPVQMANSAPLNQQMQPSLVQHTRQVGNTNARHNPQVVLPNKAMQSSLLSRPPATGSFQQSGLSVSSGLSDAANADRSTLRSNAYLNMQTSTAHDSKEPVNRPSKVLKLDDGRSMSVPMGGSNLFSATGSGPSQAPAVNSVPPNPLPRPEDLQHSGKQAPQLPADIESALLQQVLNLTPEQLSSLPPDQQQQVIQLQQALLRDQMQPS >Potri.008G114000.3.v4.1 pep chromosome:Pop_tri_v4:8:7254920:7255447:-1 gene:Potri.008G114000.v4.1 transcript:Potri.008G114000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114000.v4.1 MASLKAEKSIGTDQLSGQAKKEPAKLNDSTSKTTASKPASKKAAQKPQEAKKKLGKRNKSGKH >Potri.004G046900.1.v4.1 pep chromosome:Pop_tri_v4:4:3727684:3734381:-1 gene:Potri.004G046900.v4.1 transcript:Potri.004G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046900.v4.1 MGLPEIVDFARNFAVMVRIQGPDPKGLKMRKHAFHQYNSGKTTLSASGLLLPDTLYDADLANRILEGKSQGLGLVVTVASVIEPFLSSKHRESISQSRPELIPGAQIDVMAEGKSDLRNGADGGLDKGTSHWLRAQVIRLVDVPLSSLALQSLVEASSGSMNHGWEVGWSLASPENGSQSFMDVVQTQTEHGNASIAESQRRAREESSNPSIMGKSTTRVAILGVFLHLKDLPNFEISASSRRGDFLLAVGSPFGVLSPVHFFNSLSVGSIANCYPPRSSDISLLMADIRCLPGMEGSPVFCENSNFIGILIRPLRQKSSGAEIQLVIPWEAIALACSDLLLKEPQNAEKGIHINKENLNAVGNAYSSSSDGPFPLKHEHHISYCSSPLPVEKAMASICLITIDELVWASGVLLNDQGLILTNAHLLEPWRFGKTTVNGGEDGTKLQDPFIPPEEFPRYSEVDGHEKTQRLPPKTLNIMNSSVADESKGYKLSLSYKGPMNIRVRLDHADPWIWCDAKVVHVCKGPLDVALLQLEHVPDQLFPTKVDFECSSLGSKAYVIGHGLFGPRCGFSPSICSGAVSKVVKAKAPSYCQSVQGGYSHIPAMLETTAAVHPGGSGGAVVNSEGHMIGLVTSNARHGGGTVIPHLNFSIPCAVLAPIFDFAKDMRDISLLQNLDRPNEHLSSVWALMPPLSPKPSPPLPSLPESILQDYEKQVKGSRFAKFIAEREKLFRGTPQLGKAKSISSVIIPSKL >Potri.010G064700.2.v4.1 pep chromosome:Pop_tri_v4:10:9341168:9345589:-1 gene:Potri.010G064700.v4.1 transcript:Potri.010G064700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064700.v4.1 AFFRRKNGALYCTMKSSSVTQTQTATQEKQQNPQIKVSTQTQTKTIDKLIKDYEAIIGIETHVQLSTLTKAFCGCPYNYGAQPYTSICPVCMGLPGALPVLNSKVIEFAVKLGLALNCKLSLNSKFDRKQYFYPDLPKGYQISQFDIPIATNGYVDLDLPVEFGGGHRRFGITRVRMEEDAGKLLHSGNGTAEYAAEIQRVVRYLGISNGNMQEGSHRCDSEFGTKVEIKNLNSFSSVNRAIDFEISRQVFLHSQGQGSSIVQETRLWEEGAQKTITMRKKEGLSDYRYFPEPDLPEVIVKKEYIDSIQNSLPELPEMKRRRYESMGLSMQDVLFLANDINVAEFFDATIAKGADVKLATNWIMGDIAAYMKNEKVSINDIKLNPQELAELIASIKVGTISGKIGKEILSELIAKGGTVKGLIEEKNLVQIVDPAHIEKMVDKVLSENPKQLEQYRAGKTKIQGFLAGQVMKLSKGKANPGLLNKILQQKLNATS >Potri.001G011700.1.v4.1 pep chromosome:Pop_tri_v4:1:766131:770115:1 gene:Potri.001G011700.v4.1 transcript:Potri.001G011700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G011700.v4.1 MALKFHAAIHLSLQLSPSPTLFAPSFCKPQKLLKSLVKKTHVCQSSLVDEQQQQISFNEQENQLINALVGIQGRGKSASPQQLNEVGHAVKVLEGLEGVSEPTGSNLIEGRWQLMFTTRPGTASPIQRTFVGVDFFSVFQEVYLRTNDPRVSNIVKFSNAIGELKVEAAATIENGKRILFQFDRAAFSFNFLPFKVPYPVPFRLLGDEAKGWLDTTYLSPSGNLRISRGNKGTTFVLQKKTEPRQRLLSAIWTGTGVLEAINEFIKLNQNVAKDEMELIDGEWQMIWSSQMETDSWIENAGRGLMGKQIVTKNGQLKFVVDILLGVRFSMTGTFVKSSLNTYDVKMDDAAIIGGMFGLPVEMETKINLELLYSDDKIRISRGYKNIVFVHARTDGTRQN >Potri.005G199901.2.v4.1 pep chromosome:Pop_tri_v4:5:20541671:20542122:-1 gene:Potri.005G199901.v4.1 transcript:Potri.005G199901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199901.v4.1 MDKWQYFAHRYMHQNKFLYRHVHSQHHRLVVPYAIGALYNHPLEGMTARTSVLFFCSAVVKTADDHCGLWLPGNIFHIFFQNNTAYHDIHQLPGTKYNYYQPFFSIWDKLLRTHMPYTIVKRHEGGLEARLVKG >Potri.014G130100.4.v4.1 pep chromosome:Pop_tri_v4:14:8707503:8709395:-1 gene:Potri.014G130100.v4.1 transcript:Potri.014G130100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130100.v4.1 MATLEKQVMVVGIDDSEHSTYALEWTLDHFFTPSLGFNSLFKLVVVYAKPSASSAVGFAGPGAAEVLPFVESDLKKIAARVIEKAKGTCTSKSVSDVVFELVEGDARNVLCEAVDKHNASILVVGSHGYGAIKRVVLGSVSDYCAHHAHCTVMIVKRPKIKQ >Potri.013G002100.1.v4.1 pep chromosome:Pop_tri_v4:13:174471:178821:1 gene:Potri.013G002100.v4.1 transcript:Potri.013G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002100.v4.1 MDCRWFIDKQETSTRNEQQLDREQDSLIEDFTEDFRLPKNHRPTENVDLDNVEQASLDTKLTASNVGFRLLQKMGWKGKGLGKDEQGIIEPIKSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEDTKKREVLAEREQKIQTEVKEIRKVFFCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKEMREMHGTSSRDDRQKRELQRQEREMAKFAQMADARKQEQQLQQQEESGSAQQKSATALVDQDQRKALKFGFSSKGGFSKNSSAKAAKKPKSAVASVFSNDSDEEQ >Potri.013G002100.2.v4.1 pep chromosome:Pop_tri_v4:13:174544:179058:1 gene:Potri.013G002100.v4.1 transcript:Potri.013G002100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002100.v4.1 MKIQVLAEREQKIQTEVKEIRKVFFCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKEMREMHGTSSRDDRQKRELQRQEREMAKFAQMADARKQEQQLQQQEESGSAQQKSATALVDQDQRKALKFGFSSKGGFSKNSSAKAAKKPKSAVASVFSNDSDEEQ >Potri.010G171000.2.v4.1 pep chromosome:Pop_tri_v4:10:17257071:17258175:1 gene:Potri.010G171000.v4.1 transcript:Potri.010G171000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171000.v4.1 MKFDLFSHSFDESLLRRLKTLTNSSSSSPPSVAINLSWLSSALDFLSYTHNEAINLLSSLKLDNSLDFYLDNSVRLLDLCNSISSEIERFRHRRLVLSFALHVLNNGSEDQEKLTRARVSLSDWVNNYKGPIFDSNNNLENLARDLALRLKEVPRGKISADERLVRRTIFAVGLVTVFVAGVVVTALRGSTGLVVAVRAPPEFLWADSFNFLNTMISNRPDKKRYLLNELDEMEARIKEVSGVMVDGSGENGERSSSAVKELEMVTERLGGGLDRLGNGVNEVFNSVLSTRKGMLEKMRVGPPQEKRSDAKKVTSNLYTKRVLDNVSPGVSRIV >Potri.010G171000.1.v4.1 pep chromosome:Pop_tri_v4:10:17257040:17258172:1 gene:Potri.010G171000.v4.1 transcript:Potri.010G171000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171000.v4.1 MFLTETSIFPSFFDPFNNTKKKNKKDAAHMKFDLFSHSFDESLLRRLKTLTNSSSSSPPSVAINLSWLSSALDFLSYTHNEAINLLSSLKLDNSLDFYLDNSVRLLDLCNSISSEIERFRHRRLVLSFALHVLNNGSEDQEKLTRARVSLSDWVNNYKGPIFDSNNNLENLARDLALRLKEVPRGKISADERLVRRTIFAVGLVTVFVAGVVVTALRGSTGLVVAVRAPPEFLWADSFNFLNTMISNRPDKKRYLLNELDEMEARIKEVSGVMVDGSGENGERSSSAVKELEMVTERLGGGLDRLGNGVNEVFNSVLSTRKGMLEKMRVGPPQEKRSDAKKVTSNLYTKRVLDNVSPGVSRIV >Potri.001G150900.1.v4.1 pep chromosome:Pop_tri_v4:1:12624334:12650414:1 gene:Potri.001G150900.v4.1 transcript:Potri.001G150900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150900.v4.1 MNAEESNPMVSIISSLSDSFKQVPLAALPAMLDCILASTGLSPSALFASLLDSFSKFIKDVREKDLKLDSSMCNYITSMVGSLCHLLNKFGNNTDGLQSFIWKCFIPLMKMVHAFEREMLNEIAESFFCVVSSTHSWGVLEANLVPFFLRSVGLSIGMIQNEESDAFEWDHFSIYHGLSDLENDFDLDQEPMLSLSGSFPLPISCHILTLILDAALQSFQAVSSTKSMLANGFCDVEKLFSNLLWDLCNMSERLLSQSLEHRSCTIGFLLPIIFKALGSQRSLEITVHGKMFILSRNVFFRKIWKLCRSLFSLGHLERRDAYNVLSLYLSFFSLTEGFGNVDASVKAEEFDVRAEREFWDEIKRGLVDEEGLVRKQSLHILKTVLQISGGSQCHSGVSEKKSQEKHPVPHGMTKREMWADKEAKSLGVWEPCNSADSPLNSQQQWEAFILLYEMLQEYGTHLVEAAWHHQLNLLLQFSVSNNNFTSYIFRGFHQKQTDILREAFSWVTILWQLGFQHDNPQVRCLIMESFLGIEWMKYGNTAKSVSESFVLGPFIEGLNDPVHHKDFGVKGSYNSKTIEGAARFLHQYTSHLNTREGIAFLHSLASVAKHHSFGRAGLMGLAECIASAANGVGRHDSGAKWSEDAFPDEVQVESSPENFSDCRTAFLDVLRFVIESSKQHFNPYYRLQVCEKVLEAATSLVSTLDVPLEILLHFIATLPRAFTDYGGSLRLKTQEWLLGSATEHCNVNCCGAEIQLLKNLQDFPERFTSSQYLVDGFLSLDDEDLDAWESESKRWARALFLIIKGEDQLAPILRFIQNCGVNICKQQSHLEWLPVKFLVLARSLVAEIQIMQERSAQCGIKIKCRSEISLLDTVDQLCYTEASMINGRIHGLFLFILEELVSFADLSSSIFWSSITKETTLPGSVRGKLGGRSQRRLSTSTTTAILQAITSIQAVASISSWCAQFKSDVKLSSVWNFLWKFFWKTVSSPTCDSEAGAEICLAAYEALAPVLRALVSTSSSLSLDLIRENDEFSAPVVEGKCCLDSLALSFLQNINNLLAVGVLARTRRAVLLNQKWICLESLLSIPYSAPWNVLNLEDGSLFFSDSAIRCIFSDLVESLDNAGEGSVLPMLRSVRLALGLIASGKLDSHVSSCNGVDAQMMWRLVNSSWILHVNCNKRRVASIAALLSSVLHRSVFTDEGMHLINNRPGPLKWFVENVIEEGTKSPRTIRLAALHLTGLWLSHPKTIKYYMKELKLLSLYGSVAFDEDFEAELCDNQDASTEVSLLAKSPDPELTEAFINTELYARVSVAVLFYKLADLANLVGSANENEDCHAALESGKLFLQELLDSAVNDKDLAKELYKKYSGIHRRKIRAWQMICVLSRFVTDDIVAQVTHSLHISLYRNNFPAVRQYLETFAINIYLKFPLLVREQLVPILRDYNMKPQALSSYVFIAANVILHASNANQSRHFNELLPPIIPLLTSHHHSLRGFTQLLVYQVFCKYFPMLDYGASEMPLEKMCFEDLKSYLAKNPDCRRLRASLEGYLDAYNPIASGTPAGIFIDRVEELGFECVPTSLMEEVLNFLNDVREDLRCSMAKDVVTIKNESLKTDEDGNCRRTVIDSQLPKETSFDFQKKLTLSKHEKQDTDSSSVLGNNEACKQLLEMEKEDELLDQSLQSRRLTMEKIRASRQQFILVASLLDRIPNLAGLARTCEVFKVSGLAIADASILRDKQFQLISVTAEKWVPIIEVPVNSVKHFLEKKKRDGFSILGLEQTANSVPLDHHAFPKKTVLVLGREKEGIPVDIIHMLDACIEIPQLGVVRSLNVHVSGAIALWEYTRQQRSQ >Potri.008G019250.1.v4.1 pep chromosome:Pop_tri_v4:8:962288:963305:-1 gene:Potri.008G019250.v4.1 transcript:Potri.008G019250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019250.v4.1 MGFCHEISMKTPLVLARAMLLVLLIFSSTIETGALARPLNGMKPPLPPGANEVYDPNSNRPVKPSSPNCPSYIPGNCKGRN >Potri.006G126200.1.v4.1 pep chromosome:Pop_tri_v4:6:10122782:10125428:1 gene:Potri.006G126200.v4.1 transcript:Potri.006G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126200.v4.1 MKSAFRNAYFFTVSLSRSLNPNTNPNLNLSPIFHKLPLLSTTTIYLSLPLLISRHRKAPGRSFSTTLTMSGGADSPSPSLDKQFEELRSKLEESGRLREKIRAVVLEIESTTRLLHSGLLLVHQSRPVPEVLEKAKAQIGVLKGLYNRLAEIILECPGQYYRYHGDWRSETQIVVSLLTLMHWLETGNLLMHTEAQEKLGLNSLEFGLDIEDYLIGVCFMSNEMPRYVVNQVTAGDYDCPRKVLKFMTDLHAAFRMLNLRNDFLRKKFDGMKYDLRRVEEVYYDVKIRGLTATGDSNGNQGVVEGES >Potri.014G034000.1.v4.1 pep chromosome:Pop_tri_v4:14:2112740:2114921:1 gene:Potri.014G034000.v4.1 transcript:Potri.014G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034000.v4.1 MPLNSSSISHIETLSLRSPIQPPTFGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNEQNRPLFSAKDIIDFYLENCPKIFPQDGSPFASAGKLITSLRGPKYDGKFLHSIAKEKMGDKRLHQTMTNIVIPTFDIKRLQPTIFSSYQVKNDPSMDALLSDICIGTSAAPTYFPAHYFETKGPSGKVREFNLIDGGVAANNPTLVAVSEVSKEITRKNPDFFPTAPMDYGRFLVLSLGTGTAKSEEKYDADEAAKWGILGWLTSDNSTPLVDVFTEASGDMVDLHVSTVFQALHSEENYLRIQDDTLTGTLSSMDVATKENLENLVKVGEKLLKKPVSRVDLGTGIFTPTDKMTNEEALIKMAKLLSREKHLRDSRSPVGKVATSK >Potri.001G367900.1.v4.1 pep chromosome:Pop_tri_v4:1:38418706:38421482:1 gene:Potri.001G367900.v4.1 transcript:Potri.001G367900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367900.v4.1 MQLTVLLSLLFLLSTATTITYGHNITSILGQHPSLSTFNHYLTLTHLAGEINRRTTITVCAVDNAAMSEILSKKPSISTIKNILSLHVLLDYFGTKKLHQIRDGTALAATMFQATGSAPGSTGFVNITDVKGGKVAFGPKDNGGNLDVFYVKSVEEIPYNISVIQISKLLPSDVAAAPTPEPSAMNITDIMSAHGCKVFADTLIANPDASKTYQDTIDGGLTVFCPLDDPFKAFLPKFKNLTASGKESLLQFFGVPVYQSLAMLKSNNGIMNTLATNGDKKFDFTVQNDGEDVTLKTRGTTAKIVGTLIDEQPLAIYSIDKVLLPKELFKAALTPAPAPAPEEAADAPKSSKHKKPSADDAPSDSPADSPDGDAADQTADNNASVRLDGGRLVAMVLSLCLGLLML >Potri.009G078800.1.v4.1 pep chromosome:Pop_tri_v4:9:7574010:7575751:-1 gene:Potri.009G078800.v4.1 transcript:Potri.009G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078800.v4.1 MDCVSYLKVLTQNGGFKGGFFVFRYFSSVFNFLWLFFMFCLGLRFLQFTWHGKSLVQFLCGFSGESSDPKNGFCSKLDFDKLCDTKIPSCLENSKAKNEDSSMTRKEVVNDGVLDDDDCEREYSVEDEEYDVTALRRLVKIERLRADMAYAELEKERMASASAADEAMAMILRLQNEKSSTEIEAKQYRQLAEQKQEFLQEVIESLQWDIINLEHERSEMEENLRLYREKLRQYVKSDEVDQFEASGARMNYLRSAMEDGIEDVPNLSLDNMDSSVL >Potri.008G010100.1.v4.1 pep chromosome:Pop_tri_v4:8:489915:491821:-1 gene:Potri.008G010100.v4.1 transcript:Potri.008G010100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010100.v4.1 MEEMSALCGYESMDDLKHKLLYTTLELQKMKMKANVTLREQKDTVQHLLNLLANACKERDGARDQLQTLIYKSMSSTSPGQILSQVQPESPVLNTTPKANLSISESNSETYNRLSHCSSSVDSFFDAGSSPDFPNKNMAGSSNMGLLNQPFVQEQHNWTKFTGLVSSGGNNVENDRGIAVIDYLAQGKVLPQKGKLLQAVMDAGPLLQSLLITGQLPQWRNPPPLKTPLGILPNSNKAAYVHQKPYANPISSVLRASNSSPSNRMNHLLQFTSNSIGAPSCSGWSNARIITSSAKTNSQVPLYKRQRLM >Potri.008G010100.2.v4.1 pep chromosome:Pop_tri_v4:8:489914:491822:-1 gene:Potri.008G010100.v4.1 transcript:Potri.008G010100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010100.v4.1 MEEMSALCGYEKMKMKANVTLREQKDTVQHLLNLLANACKERDGARDQLQTLIYKSMSSTSPGQILSQVQPESPVLNTTPKANLSISESNSETYNRLSHCSSSVDSFFDAGSSPDFPNKNMAGSSNMGLLNQPFVQEQHNWTKFTGLVSSGGNNVENDRGIAVIDYLAQGKVLPQKGKLLQAVMDAGPLLQSLLITGQLPQWRNPPPLKTPLGILPNSNKAAYVHQKPYANPISSVLRASNSSPSNRMNHLLQFTSNSIGAPSCSGWSNARIITSSAKTNSQVPLYKRQRLM >Potri.010G137400.4.v4.1 pep chromosome:Pop_tri_v4:10:15193795:15197302:-1 gene:Potri.010G137400.v4.1 transcript:Potri.010G137400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137400.v4.1 MSRKLDSPVQTQMAVAVFKSPLGGEYHGSKRMEGKQPAGRRRVFVQTDTGCVLGMELDRSDNAHTVKRRLQIALNVSTEESSLTFGDMVLNNDLSAVRNDSPLLLTRNYLHRSSSTPCLSPTGRDIQQRDQSRPIEILGQSNSFAKMKQVVKESIKAIKNGVDPLPVHSGLGGAYYFRNSRGQSVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKPHKKKQVSKIASFQQFIPHDFDASDHGTSSFPVSSVHRIGILDIRIFNTDRHAGNLLVRKLDGVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDDELDYIKKLNPGNDCDMLRMQLPMIREACLRVLVLCTIFLKEAAIHGLCLAEIGEMMSREFRPGEEEPSELELVCIEARRLIAEREAFSPRGDLGDDQEFQFDLDCDETQYDFTAKLTADDYMIRSPFQFGIGSGSGRFPLSKLEESIEEDEESEGEEEQEGFAALRTLEKLPTISKLSMSLKSTTLGDKNQKLSGTKPENGCLSNRSSGHRSANEQLPASISFVELADMTEEEWTLFLEKFQELLYPAFAKRKSVTLGQRQRQRLGTSCQF >Potri.010G137400.3.v4.1 pep chromosome:Pop_tri_v4:10:15193632:15197483:-1 gene:Potri.010G137400.v4.1 transcript:Potri.010G137400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137400.v4.1 MSRKLDSPVQTQMAVAVFKSPLGGEYHGSKRMEGKQPAGRRRVFVQTDTGCVLGMELDRSDNAHTVKRRLQIALNVSTEESSLTFGDMVLNNDLSAVRNDSPLLLTRNYLHRSSSTPCLSPTGRDIQQRDQSRPIEILGQSNSFAKMKQVVKESIKAIKNGVDPLPVHSGLGGAYYFRNSRGQSVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKPHKKKQVSKIASFQQFIPHDFDASDHGTSSFPVSSVHRIGILDIRIFNTDRHAGNLLVRKLDGVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDDELDYIKKLNPGNDCDMLRMQLPMIREACLRVLVLCTIFLKEAAIHGLCLAEIGEMMSREFRPGEEEPSELELVCIEARRLIAEREAFSPRGDLGDDQEFQFDLDCDETQYDFTAKLTADDYMIRSPFQFGIGSGSGRFPLSKLEESIEEDEESEGEEEQEGFAALRTLEKLPTISKLSMSLKSTTLGDKNQKLSGTKPENGCLSNRSSGHRSANEQLPASISFVELADMTEEEWTLFLEKFQELLYPAFAKRKSVTLGQRQRQRLGTSCQF >Potri.010G137400.2.v4.1 pep chromosome:Pop_tri_v4:10:15193782:15197309:-1 gene:Potri.010G137400.v4.1 transcript:Potri.010G137400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137400.v4.1 MSRKLDSPVQTQMAVAVFKSPLGGEYHGSKRMEGKQPAGRRRVFVQTDTGCVLGMELDRSDNAHTVKRRLQIALNVSTEESSLTFGDMVLNNDLSAVRNDSPLLLTRNYLHRSSSTPCLSPTGRDIQQRDQSRPIEILGQSNSFAKMKQVVKESIKAIKNGVDPLPVHSGLGGAYYFRNSRGQSVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYDHFANVPPTALVKITHSIFNVNDGVNGNKPHKKKQVSKIASFQQFIPHDFDASDHGTSSFPVSSVHRIGILDIRIFNTDRHAGNLLVRKLDGVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDDELDYIKKLNPGNDCDMLRMQLPMIREACLRVLVLCTIFLKEAAIHGLCLAEIGEMMSREFRPGEEEPSELELVCIEARRLIAEREAFSPRGDLGDDQEFQFDLDCDETQYDFTAKLTADDYMIRSPFQFGIGSGSGRFPLSKLEESIEEDEESEGEEEQEGFAALRTLEKLPTISKLSMSLKSTTLGDKNQKLSGTKPENGCLSNRSSGHRSANEQLPASISFVELADMTEEEWTLFLEKFQELLYPAFAKRKSVTLGQRQRQRLGTSCQF >Potri.004G194700.1.v4.1 pep chromosome:Pop_tri_v4:4:20775642:20777656:1 gene:Potri.004G194700.v4.1 transcript:Potri.004G194700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194700.v4.1 MSASSSSSRADGKVQIQYPTGADAYRILEQIGGGARATVHKATCVNNDIRYSGLVSIKIIDLEQYSAADLDGLRRESKAMSLHSHPNFLGSLCSFTVDHHLWLVMPYMAAGSLQSIVSSFFPDGLLEPCIAIVLKETLKGLSYLHRLDYLHTDIKAGNILLNHTDNGSIKLEDSGMSVWIYDSNSIEKSSSLSSSSKMRLTDVAGTPYWMAPEVIQDSNTGYSFKSDIWSFGVTALELAHGGPPFSYLPPSKSLMLKIKKRFGLSDYDYDEKSKKDFKNNHFSQAFKDMVASCLDQDPSRRPSADQLLQYSLFKNCEGLDLLFNEFFRGLPNVEERFKEPNASSDGTSSQITSGTDTDSAGSSVKTTRISGWKFNESKFELEPEFHAESKDDVVKTVHFGGETIIDTDTNIGFSESSSGSGDLEGLVGDHAGANMSGIEGAVETLNQETVAEKASSRYDCSVTTRV >Potri.001G186100.1.v4.1 pep chromosome:Pop_tri_v4:1:16613808:16617208:1 gene:Potri.001G186100.v4.1 transcript:Potri.001G186100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186100.v4.1 MYSIPKEHDYIGLSETPSMENISEKLSSSSTSSSTLSTEEKNNSSSSNSNNNNKNNNTSLNMKETELRLGLPGSQSPERKPTVPAAGVSLVGKDIDTNNTNAYSLIPVKNLVSGAKRVFSDAIDGSTGKWVFSGGNGSEVDLSKGAVLFSPRGDNGNPQKSRVAGPAKKDVAQSPKPVQEKNSQVAAANENSSAPAAKTQVVGWPPIRSFRKNTMASSLAKNNEDVDGKSGYGYLYVKVSMDGAPYLRKVDLKTYGNYLELSSALEKMFGCFTIGQCGSHGLAARDGLTESCLKDLHGSEYVLTFEDKDGDWMLVGDVPWDMFTDSCRRLRIMKGSEAIGLAPRAMEKCKNRN >Potri.009G079900.8.v4.1 pep chromosome:Pop_tri_v4:9:7655870:7660268:1 gene:Potri.009G079900.v4.1 transcript:Potri.009G079900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079900.v4.1 MQAEDVVSASNSLKKHKKSRKSSSSEEEIARSVRCFCEVIIEGSLLLSSHDRKHLAFDILLLLLPRLPASFIPYVLSHKIVQCMVDVLSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITKTKTVKALVTEFKTESGCMLFIQNLMNMFVDEGNASEEPSDQSQTTDDNSEMGSVEDKDSNGATANSDFLKTWVVESLPIILKHLKLEPEARFGVQKEILKFLAVQGLFSASLGSEVTSFELQEKFKWPKAPTSSAICRMCIEQIQSLLANAQKIEGLRSLSSGLEHSDLGSYFMRFLSTLRNIPSVSLFRSLSDDDEKAFEKLQEMETRLSREEKNCVIGAEANKLHAMRFLLIQLLLQVLLRPGEFSEAASELVICCKKAFAASDLLDSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPLRSAIEQVFKYFCNDVTNDGLLRMLRVIKKDLKPPRHREEGRDDGDDDDEDFLGIEEVEEGEGEGEEEMDEAETGETGEDEEQTDDSEAVTEVEEAGKELSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPAEPEVLMVYLNLARAFVNPQTAEISEQLGQRIWGILQKKILKAKDFPRGDAVQLPTLESLLEKNLKLASKPLKKKKSAGNLSKKKQLAMWKRHKMIVSLAQDSTFWILKIIGARNFPECELQGVIDIFKGELARYFESKTSQIKSDFLTEIFRRRPWIGHHLFGFLLEKCSRAKLEFRRVEALDLVIEILKSMVSSGNDESNRNASKKVLKNHLQKLSHLIKELATNMPEKPSRRAEARKFCGKVFRYVSTYDLTKSFLKYLAPEAEAACESQLGELYLNFKKIER >Potri.009G079900.6.v4.1 pep chromosome:Pop_tri_v4:9:7654972:7660235:1 gene:Potri.009G079900.v4.1 transcript:Potri.009G079900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079900.v4.1 MKGQDIRDCLLGRLFAYGALALSRRLTEEWISDHNTLIIKEFTDVLISLAAKKRYLQEPAVAIILELVEKLPTEAVLNHILEAPRLREWFEGGIDAGNPDAGNPDALLLALRIREKISIDSEMFGNFLPHPFSPSRLFVPGHLSSIINCLKESTFCQPRVHGVWPVLVNILLPDTVMQAEDVVSASNSLKKHKKSRKSSSSEEEIARSVRCFCEVIIEGSLLLSSHDRKHLAFDILLLLLPRLPASFIPYVLSHKIVQCMVDVLSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITKTKTVKALVTEFKTESGCMLFIQNLMNMFVDEGNASEEPSDQSQTTDDNSEMGSVEDKDSNGATANSDFLKTWVVESLPIILKHLKLEPEARFGVQKEILKFLAVQGLFSASLGSEVTSFELQEKFKWPKAPTSSAICRMCIEQIQSLLANAQKIEGLRSLSSGLEHSDLGSYFMRFLSTLRNIPSVSLFRSLSDDDEKAFEKLQEMETRLSREEKNCVIGAEANKLHAMRFLLIQLLLQVLLRPGEFSEAASELVICCKKAFAASDLLDSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPLRSAIEQVFKYFCNDVTNDGLLRMLRVIKKDLKPPRHREEGRDDGDDDDEDFLGIEEVEEGEGEGEEEMDEAETGETGEDEEQTDDSEAVTEVEEAGKELSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPAEPEVLMVYLNLARAFVNPQTAEISEQLGQRIWGILQKKILKAKDFPRGDAVQLPTLESLLEKNLKLASKPLKKKKSAGNLSKKKQLAMWKRHKMIVSLAQDSTFWILKIIGARNFPECELQGVIDIFKGELARYFESKTSQIKSDFLTEIFRRRPWIGHHLFGFLLEKCSRAKLEFRRVEALDLVIEILKSMVSSGNDESNRNASKKVLKNHLQKLSHLIKELATNMPEKPSRRAEARKFCGKVFRYVSTYDLTKSFLKYLAPEAEAACESQLGELYLNFKKIER >Potri.009G079900.7.v4.1 pep chromosome:Pop_tri_v4:9:7655140:7660225:1 gene:Potri.009G079900.v4.1 transcript:Potri.009G079900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079900.v4.1 MFGNFLPHPFSPSRLFVPGHLSSIINCLKESTFCQPRVHGVWPVLVNILLPDTVMQAEDVVSASNSLKKHKKSRKSSSSEEEIARSVRCFCEVIIEGSLLLSSHDRKHLAFDILLLLLPRLPASFIPYVLSHKIVQCMVDVLSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITKTKTVKALVTEFKTESGCMLFIQNLMNMFVDEGNASEEPSDQSQTTDDNSEMGSVEDKDSNGATANSDFLKTWVVESLPIILKHLKLEPEARFGVQKEILKFLAVQGLFSASLGSEVTSFELQEKFKWPKAPTSSAICRMCIEQIQSLLANAQKIEGLRSLSSGLEHSDLGSYFMRFLSTLRNIPSVSLFRSLSDDDEKAFEKLQEMETRLSREEKNCVIGAEANKLHAMRFLLIQLLLQVLLRPGEFSEAASELVICCKKAFAASDLLDSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPLRSAIEQVFKYFCNDVTNDGLLRMLRVIKKDLKPPRHREEGRDDGDDDDEDFLGIEEVEEGEGEGEEEMDEAETGETGEDEEQTDDSEAVTEVEEAGKELSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPAEPEVLMVYLNLARAFVNPQTAEISEQLGQRIWGILQKKILKAKDFPRGDAVQLPTLESLLEKNLKLASKPLKKKKSAGNLSKKKQLAMWKRHKMIVSLAQDSTFWILKIIGARNFPECELQGVIDIFKGELARYFESKTSQIKSDFLTEIFRRRPWIGHHLFGFLLEKCSRAKLEFRRVEALDLVIEILKSMVSSGNDESNRNASKKVLKNHLQKLSHLIKELATNMPEKPSRRAEARKFCGKVFRYVSTYDLTKSFLKYLAPEAEAACESQLGELYLNFKKIER >Potri.009G079900.3.v4.1 pep chromosome:Pop_tri_v4:9:7653154:7660231:1 gene:Potri.009G079900.v4.1 transcript:Potri.009G079900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079900.v4.1 MGSKKRSPNSVAEVEDLDNTDTNIENANLEDTNNENASSISSRKKMKKDKNKETEAPDEDASKAGLSNIPSSMKPMERRKKRKALDKKRLHAASESKEVKTKKMDVDSKVTESKEHMGASSSGTLPKFHIGVFKDLASVDVSVREGAVERLVTELQEVQKAYEVTENKEVVEGGLKLEAEKDDGLNDCAPSVRYAVRRLVRGASSSRECARQGFALGLTVLVDTVPSVKVDSVLKLIVDLLEVSSSMKGQDIRDCLLGRLFAYGALALSRRLTEEWISDHNTLIIKEFTDVLISLAAKKRYLQEPAVAIILELVEKLPTEAVLNHILEAPRLREWFEGGIDAGNPDAGNPDALLLALRIREKISIDSEMFGNFLPHPFSPSRLFVPGHLSSIINCLKESTFCQPRVHGVWPVLVNILLPDTVMQAEDVVSASNSLKKHKKSRKSSSSEEEIARSVRCFCEVIIEGSLLLSSHDRKHLAFDILLLLLPRLPASFIPYVLSHKIVQCMVDVLSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITKTKTVKALVTEFKTESGCMLFIQNLMNMFVDEGNASEEPSDQSQTTDDNSEMGSVEDKDSNGATANSDFLKTWVVESLPIILKHLKLEPEARFGVQKEILKFLAVQGLFSASLGSEVTSFELQEKFKWPKAPTSSAICRMCIEQIQSLLANAQKIEGLRSLSSGLEHSDLGSYFMRFLSTLRNIPSVSLFRSLSDDDEKAFEKLQEMETRLSREEKNCVIGAEANKLHAMRFLLIQLLLQVLLRPGEFSEAASELVICCKKAFAASDLLDSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPLRSAIEQVFKYFCNDVTNDGLLRMLRVIKKDLKPPRHREEGRDDGDDDDEDFLGIEEVEEGEGEGEEEMDEAETGETGEDEEQTDDSEAVTEVEEAGKELSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPAEPEVLMVYLNLARAFVNPQTAEISEQLGQRIWGILQKKILKAKDFPRGDAVQLPTLESLLEKNLKLASKPLKKKKSAGNLSKKKQLAMWKRHKMIVSLAQDSTFWILKIIGARNFPECELQGVIDIFKGELARYFESKTSQIKSDFLTEIFRRRPWIGHHLFGFLLEKCSRAKLEFRRVEALDLVIEILKSMVSSGNDESNRNASKKVLKNHLQKLSHLIKELATNMPEKPSRRAEARKFCGKVFRYVSTYDLTKSFLKYLAPEAEAACESQLGELYLNFKKIER >Potri.009G079900.5.v4.1 pep chromosome:Pop_tri_v4:9:7653122:7660229:1 gene:Potri.009G079900.v4.1 transcript:Potri.009G079900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079900.v4.1 MGSKKRSPNSVAEVEDLDNTDTNIENANLEDTNNENASSISSRKKMKKDKNKETEAPDEDASKAGLSNIPSSMKPMERRKKRKALDKKRLHAASESKEVKTKKMDVDSKVTESKEHMGASSSGTLPKFHIGVFKDLASVDVSVREGAVERLVTELQEVQKAYEVTENKEVVEGGLKLEAEKDDGLNDCAPSVRYAVRRLVRGASSSRECARQGFALGLTVLVDTVPSVKVDSVLKLIVDLLEVSSSMKGQDIRDCLLGRLFAYGALALSRRLTEEWISDHNTLIIKEFTDVLISLAAKKRYLQEPAVAIILELVEKLPTEAVLNHILEAPRLREWFEGGIDAGNPDAGNPDALLLALRIREKISIDSEMFGNFLPHPFSPSRLFVPGHLSSIINCLKESTFCQPRVHGVWPVLVNILLPDTVMQAEDVVSASNSLKKHKKSRKSSSSEEEIARSVRCFCEVIIEGSLLLSSHDRKHLAFDILLLLLPRLPASFIPYVLSHKIVQCMVDVLSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITKTKTVKALVTEFKTESGCMLFIQNLMNMFVDEGNASEEPSDQSQTTDDNSEMGSVEDKDSNGATANSDFLKTWVVESLPIILKHLKLEPEARFGVQKEILKFLAVQGLFSASLGSEVTSFELQEKFKWPKAPTSSAICRMCIEQIQSLLANAQKIEGLRSLSSGLEHSDLGSYFMRFLSTLRNIPSVSLFRSLSDDDEKAFEKLQEMETRLSREEKNCVIGAEANKLHAMRFLLIQLLLQVLLRPGEFSEAASELVICCKKAFAASDLLDSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPLRSAIEQVFKYFCNDVTNDGLLRMLRVIKKDLKPPRHREEGRDDGDDDDEDFLGIEEVEEGEGEGEEEMDEAETGETGEDEEQTDDSEAVTEVEEAGKELSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPAEPEVLMVYLNLARAFVNPQTAEISEQLGQRIWGILQKKILKAKDFPRGDAVQLPTLESLLEKNLKLASKPLKKKKSAGNLSKKKQLAMWKRHKMIVSLAQDSTFWILKIIGARNFPECELQGVIDIFKGELARYFESKTSQIKSDFLTEIFRRRPWIGHHLFGFLLEKCSRAKLEFRRVEALDLVIEILKSMVSSGNDESNRNASKKVLKNHLQKLSHLIKELATNMPEKPSRRAEARKFCGKVFRYVSTYDLTKSFLKYLAPEAEAACESQLGELYLNFKKIER >Potri.009G079900.4.v4.1 pep chromosome:Pop_tri_v4:9:7653216:7660229:1 gene:Potri.009G079900.v4.1 transcript:Potri.009G079900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079900.v4.1 MGSKKRSPNSVAEVEDLDNTDTNIENANLEDTNNENASSISSRKKMKKDKNKETEAPDEDASKAGLSNIPSSMKPMERRKKRKALDKKRLHAASESKEVKTKKMDVDSKVTESKEHMGASSSGTLPKFHIGVFKDLASVDVSVREGAVERLVTELQEVQKAYEVTENKEVVEGGLKLEAEKDDGLNDCAPSVRYAVRRLVRGASSSRECARQGFALGLTVLVDTVPSVKVDSVLKLIVDLLEVSSSMKGQDIRDCLLGRLFAYGALALSRRLTEEWISDHNTLIIKEFTDVLISLAAKKRYLQEPAVAIILELVEKLPTEAVLNHILEAPRLREWFEGGIDAGNPDAGNPDALLLALRIREKISIDSEMFGNFLPHPFSPSRLFVPGHLSSIINCLKESTFCQPRVHGVWPVLVNILLPDTVMQAEDVVSASNSLKKHKKSRKSSSSEEEIARSVRCFCEVIIEGSLLLSSHDRKHLAFDILLLLLPRLPASFIPYVLSHKIVQCMVDVLSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITKTKTVKALVTEFKTESGCMLFIQNLMNMFVDEGNASEEPSDQSQTTDDNSEMGSVEDKDSNGATANSDFLKTWVVESLPIILKHLKLEPEARFGVQKEILKFLAVQGLFSASLGSEVTSFELQEKFKWPKAPTSSAICRMCIEQIQSLLANAQKIEGLRSLSSGLEHSDLGSYFMRFLSTLRNIPSVSLFRSLSDDDEKAFEKLQEMETRLSREEKNCVIGAEANKLHAMRFLLIQLLLQVLLRPGEFSEAASELVICCKKAFAASDLLDSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPLRSAIEQVFKYFCNDVTNDGLLRMLRVIKKDLKPPRHREEGRDDGDDDDEDFLGIEEVEEGEGEGEEEMDEAETGETGEDEEQTDDSEAVTEVEEAGKELSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPAEPEVLMVYLNLARAFVNPQTAEISEQLGQRIWGILQKKILKAKDFPRGDAVQLPTLESLLEKNLKLASKPLKKKKSAGNLSKKKQLAMWKRHKMIVSLAQDSTFWILKIIGARNFPECELQGVIDIFKGELARYFESKTSQIKSDFLTEIFRRRPWIGHHLFGFLLEKCSRAKLEFRRVEALDLVIEILKSMVSSGNDESNRNASKKVLKNHLQKLSHLIKELATNMPEKPSRRAEARKFCGKVFRYVSTYDLTKSFLKYLAPEAEAACESQLGELYLNFKKIER >Potri.011G004300.3.v4.1 pep chromosome:Pop_tri_v4:11:345634:348350:1 gene:Potri.011G004300.v4.1 transcript:Potri.011G004300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004300.v4.1 MMFWCLKFAVNYKSSSLDTTTMSKSSFKLDHALERRQAEASRIREKHPDRVPVIVEKAGRSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTATLMSVLYEENKDEDGFLYMTYSGENTFGFH >Potri.011G004300.2.v4.1 pep chromosome:Pop_tri_v4:11:345861:348306:1 gene:Potri.011G004300.v4.1 transcript:Potri.011G004300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004300.v4.1 MSKSSFKLDHALERRQAEASRIREKHPDRVPVIVEKAGRSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFVFVKNTLPPTATLMSVLYEENKDEDGFLYMTYSGENTFGFH >Potri.013G076300.1.v4.1 pep chromosome:Pop_tri_v4:13:6426936:6430105:1 gene:Potri.013G076300.v4.1 transcript:Potri.013G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076300.v4.1 MVSSPSPLPDGGKDSSLMRRILVLGFWVQGFRCLPWMAINFFVKDGLNVDPSTLQLLQNSANLPMVGKPLYGVVSDAVYIFGQHRIPYIAIGAFLQAVSWLAIAILSPSGISIFTLSLCLLLSNLGASIAEVANDAIVAGIGKQLTMSPKTSQSSSSGELQSFVWIASSAGGVLGNLLGGIAINRYGAQAMFLIFSLTVALQLFVTITVHESSLNLPKSSSGVGIRKQLSELSVALQKPEIAYSIAWLAASNAIIPALTGTMFFYQTQYLNINSSVLGISKVFGQAAMLLWSIIYNCYLKSVPSKKLIAAIQGVMAVFMLSDLLFVKGVYRNMGVPDSLYVIVFSGLLEVLFFFKILPFNILIAQLCPSGCEGSLMALVASANALSFIVSGYLGVALSSFVGVTGSDFSGFPQALLIQAVCTLLPIYWSSCIPDDKQAKTSTKKE >Potri.001G354600.6.v4.1 pep chromosome:Pop_tri_v4:1:36817915:36821862:-1 gene:Potri.001G354600.v4.1 transcript:Potri.001G354600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354600.v4.1 MPSGAKKRKAAKKRREQEANNNNNSSISTNNPQGNDDPKSHDERESDGGEVGTPVSQDQHNHQHPFNEGNGESEKGGPLPSDSLADQNKPMEVVTGDAEGSLKVESEDNIAVNIEREVNSKQNVESKNVFIEHVDSSKESHDEDDRSSSSSSFSNESQAFEKKSKEANDEEKENGSFSEEVKQIPENEKPVKEADSNSVLETASADLVNPAVPISETAKVVIEIAQVENPEVLEVVESGFEDDEDKLLPVSNEIAEVSPAIVVPKKNEDKVFPISDENVRASANVVASSAYGNVGKTLVSSVSHSAETGNGEEKTKYTDARQSTENKPLLACGPRVAERTLWMSCCGIFDVLTGSK >Potri.001G354600.8.v4.1 pep chromosome:Pop_tri_v4:1:36817965:36821744:-1 gene:Potri.001G354600.v4.1 transcript:Potri.001G354600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354600.v4.1 MPSGAKKRKAAKKRREQEANNNNNSSISTNNPQGNDDPKSHDERESDGGEVGTPVSQDQHNHQHPFNEGNGESEKGGPLPSDSLADQNKPMEVVTGDAEGSLKVESEDNIAVNIEREVNSKQNVESKNVFIEHVDSSKESHDEDDRSSSSSSFSNESQAFEKKSKEANDEEKENGSFSEEVKQIPENEKPVKEADSNSVLETASADLVNPAVPISETAKVVIEIAQVENPEVLEVVESGFEDDEDKLLPVSNEIAEVSPAIVVPKKNEDKVFPISDENVRASANVVASSAYGNVGKTLVSSVSHSAETGNGEEKTKYTDARQSTENKVCLFMLMRLACHSFS >Potri.001G354600.7.v4.1 pep chromosome:Pop_tri_v4:1:36817886:36821803:-1 gene:Potri.001G354600.v4.1 transcript:Potri.001G354600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354600.v4.1 MPSGAKKRKAAKKRREQEANNNNNSSISTNNPQGNDDPKSHDERESDGGEVGTPVSQDQHNHQHPFNEGNGESEKGGPLPSDSLADQNKPMEVVTGDAEGSLKVESEDNIAVNIEREVNSKQNVESKNVFIEHVDSSKESHDEDDRSSSSSSFSNESQAFEKKSKEANDEEKENGSFSEEVKQIPENEKPVKEADSNSVLETASADLVNPAVPISETAKVVIEIAQVENPEVLEVVESGFEDDEDKLLPVSNEIAEVSPAIVVPKKNEDKVFPISDENVRASANVVASSAYGNVGKTLVSSVSHSAETGNGEEKTKYTDARQSTENKPLLACGPRVAERTLWMSCCGIFDVLTGSK >Potri.001G354600.1.v4.1 pep chromosome:Pop_tri_v4:1:36817966:36821883:-1 gene:Potri.001G354600.v4.1 transcript:Potri.001G354600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354600.v4.1 MPSGAKKRKAAKKRREQEANNNNNSSISTNNPQGNDDPKSHDERESDGGEVGTPVSQDQHNHQHPFNEGNGESEKGGPLPSDSLADQNKPMEVVTGDAEGSLKVESEDNIAVNIEREVNSKQNVESKNVFIEHVDSSKESHDEDDRSSSSSSFSNESQAFEKKSKEANDEEKENGSFSEEVKQIPENEKPVKEADSNSVLETASADLVNPAVPISETAKVVIEIAQVENPEVLEVVESGFEDDEDKLLPVSNEIAEVSPAIVVPKKNEDKVFPISDENVRASANVVASSAYGNVGKTLVSSVSHSAETGNGEEKTKYTDARQSTENKPLLACGPRVAERTLWMSCCGIFDVLTGSK >Potri.016G056300.1.v4.1 pep chromosome:Pop_tri_v4:16:3765941:3768813:-1 gene:Potri.016G056300.v4.1 transcript:Potri.016G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056300.v4.1 MGIMAKAKSSKNNSENWGMEGLLLVFFPEDTSSTTADADATAPFCPSSPSTPSLATPNSNSSKIIKRTNSNNPIITKTQSTISICALLLFLTLLLFTLSTFEPAIPNPSTTISINQTPRRFLSQNKLKPTKTSYFSMFSRTFWPKDDKRSEKFRSLYALQGMGKLYRRGTRAMSDLVVAHVMEETNEAEFRLFLRVLHRSGLTARADAVFVFPSSLFATRFESLIQEENDSFLKLVNYYKQLNRTSQDSVSASSFDVSQFLKSGKKQMGEPLWGKRIRVDGNGNSSESGEGEGELTLLSYGSVVGFDASELDPENSLAGFLDYVPMSLRRWACYPMLLGRVRRNFKHVMLVDVKKVVFFRDPLGQVRNRSPESVYIRIKQESCSNKHHRKNSEQTQSNCQVNSAILMGGARGIRRLSSAMLTEIARAAMQHKKKSSVTESGILTQLVGKVHILKIIDLITATESIPGMSSLTGSNSSLWNNYSIIQLGVNSNYDMINSIITRQICSWEVESSVYNDC >Potri.008G008626.1.v4.1 pep chromosome:Pop_tri_v4:8:415543:425140:-1 gene:Potri.008G008626.v4.1 transcript:Potri.008G008626.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008626.v4.1 MEDAGGKASCKMVQRPDHLLDYTTSSNHADRTPNNTQLVDAAAIESHQHQYQKLTKYDGDSATLVIDTATKYGIEVSQDSLATCWECSQKIMKGEVRVFLRVEGQASKRLLLDHANCFMNLYPSIQVEKLAGWETLPASDQEAVLLLVKKVPPAALTDIKDMGKEDRELPQSASKTGTKRRKDLDGDQNLKVAKAELDVITSRAAFASAKNTNDWEPKLMAQSKDSWSLAEECKRDCQFGTEKKLQLEPINAKALPETSCKTMPEASGAPDFVEAASKWSATESKEECKDKKEALSDYVIDKIDKDIADMADKGCTIDNGGLDYKLFLLSKAWGYMKERRLLLKQIDAEATPETTSCKAMAEASGAQCKRDDVDGDLELKVSKARGEVTTISLESVKTSNDLENSKLEAESKEVQGLTEELDTCVSANTRVGF >Potri.018G017100.1.v4.1 pep chromosome:Pop_tri_v4:18:1167190:1171045:1 gene:Potri.018G017100.v4.1 transcript:Potri.018G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017100.v4.1 MVEGENLEACSEVGGGEDERKGGFFDCFWTPIYWFKMLANETHWSFVFGVLVVYGINQGLGGAFNRVGTDYYMKDVQKVQPSESQIYQGIISIPWLVKPLWGLLTDVLPILGYRRRPYFIFAGLLGVVSMLLLSFHENLHIAFALLSLTAGSAGAAIADVTIDACVAQNSNTRPSLAADMQSLCALSSSIGALMGFSLSGIFVHLIGPKGVFGLLSIPAGLVFLVGILLDEPFMPNFSYRQVNQKFVDAGKAMWRTLKFPDVWRPCVYMYLSIALSIDIHEGLFYWYTDSKGGPSFSQETVGFIFSIGSIGSLLGALLYQNVLKDHPFRNLLFWIQLLFGLSGMLDLMLVLRLNLKFSIPDYFFIVIDESVSQMIGRLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNVGLLSSQWGGGFILHLLKVTRTRFDNLWIAILTRNILRVTPLCLLFLIPRGDPNASILPTEILGAKEEAENENIELVSLVSSVDGK >Potri.018G017100.3.v4.1 pep chromosome:Pop_tri_v4:18:1167201:1170989:1 gene:Potri.018G017100.v4.1 transcript:Potri.018G017100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017100.v4.1 MPNFSYRQVNQKFVDAGKAMWRTLKFPDVWRPCVYMYLSIALSIDIHEGLFYWYTDSKGGPSFSQETVGFIFSIGSIGSLLGALLYQNVLKDHPFRNLLFWIQLLFGLSGMLDLMLVLRLNLKFSIPDYFFIVIDESVSQMIGRLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNVGLLSSQWGGGFILHLLKVTRTRFDNLWIAILTRNILRVTPLCLLFLIPRGDPNASILPTEILGAKEEAENENIELVSLVSSVDGK >Potri.011G125150.1.v4.1 pep chromosome:Pop_tri_v4:11:15824267:15825074:-1 gene:Potri.011G125150.v4.1 transcript:Potri.011G125150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125150.v4.1 MEGLESFDKVAWTKEMLHIFCDICIKAIDIGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAYAWAPSSGVPAGSDVDPGTSNADIAYDGLEEGSGDSEEDVIPDFQTDMARMVGGINMSNSSNTTSGGKRKERDHYDVRGRKKKTAGIGVQLLSR >Potri.010G007200.3.v4.1 pep chromosome:Pop_tri_v4:10:684216:685584:-1 gene:Potri.010G007200.v4.1 transcript:Potri.010G007200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007200.v4.1 MAYITARRNLATLLTRALSSSSSRTRFSPALFNKIQTSLIPDSVKTLTRSKTTGSGYSPLNDPSPNWTNRPPKETILLDGCDYNHWLIVMEFPNDPKPTEEEMINAYVKTLSSVLGSEEEAKKSIYSVSTTTYTGFGALISEELSYKVKALPGVLWVLPDSYLDVPNKDYGGDLYEDGKVIHRPQYRYNERQQQTRNRPRPRYDRRRETMQVERRETVQRQNWSQDPRPPVKQPASDNVQNSTQGGGGEFSMNQGQFNQST >Potri.002G203900.2.v4.1 pep chromosome:Pop_tri_v4:2:16840406:16844649:-1 gene:Potri.002G203900.v4.1 transcript:Potri.002G203900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203900.v4.1 MEGLLGFVRSPAATPIVSPSLFYPSKSKKNSWLRAKLNAENDPLLQAATSAASLRFQEMHRPEPLFVDPYAGCFVHPDVQMDSKCSHQYCLATKFIDDKLLRTVNHIDGLKQVVLLTDGMETRPYRLNWPTSTVIFDISSERIFKKSAEKLQGVGAKIPRNCLFLHVPLESSDIQHSLLAKGFNGNQPSVWVIQGLPVMTLANFKEILLVASSLAMSGCLFLGELPAWLAETEIGIKPSAEKWMNKLFMSNGFRVDMIGYDEVAKSLGKEVSPGEYKNILFVAEQLQFSDDQMEFWRRELQRVEEEGDEEGFEEL >Potri.015G062100.3.v4.1 pep chromosome:Pop_tri_v4:15:8665559:8672387:1 gene:Potri.015G062100.v4.1 transcript:Potri.015G062100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062100.v4.1 MSTAVAASASLCSSTQINGFGEALRPQKNRLSQPNSIATFTRRKVHTVVKATSRVDKFSKSDIIVSPSILSANFSKLGEQVKAVELAGCDWIHVDVMDGRFVPNITIGPLVVDALRPVTDLPLDVHLMIVEPEQRVPDFIKAGADIVSVHCEQSATIHLHRTLNMIKSLGAKAGVVLNPATPLSTIEYVLDVVDLVLIMSVNPGFGGQSFIESQVKKISELRRMCVEKGVNPWIEVDGGVGPKNAYKVIEAGANALVAGSAVFGAPDYAEAIRGIKSSKRPEAVAV >Potri.009G147800.3.v4.1 pep chromosome:Pop_tri_v4:9:11679126:11684596:-1 gene:Potri.009G147800.v4.1 transcript:Potri.009G147800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147800.v4.1 MGAYSNEELPLPPVSDFSNTRLSKHVHDNVHGNIYLDPLSLKFIDTEQFQRLRDLKQLGAAHMVYPGAVHTRFEHSLGVYWLAGEAVQMLKKYQGLELGIDNFDIQTVKLAGLLHDVGHGPFSHLFQSEFLPLVMNGTEWSHEQMSTKMVDHIVDKHHIDVDSEMMRKVKEMILASSGSAPKNDAGEKRFLYDIVANGRNGVDVDKFDYIVRDSRACGLGCSFEFQRLMQTMRVMGDEICYRAKEYLTVHKLFATRADLYRTVYVHPKVKAIELMIVDALVEANDYLQISSFIEDPSEYWKLDDSIIKTIETAPNQELRESRNLIRRIRTRNLYQFCNEYAVPRDKIENFKDVTAQDIVCSQKNGGVLLKEEDVAVSNVRIDLTRGRHNPLERISFFKDYESEEKFSIPDDRISHLLPTCFQDMIVRVYAKKPELVGAISEAFENFQLNKYGIKAQVHATPEKKKRRM >Potri.019G073300.1.v4.1 pep chromosome:Pop_tri_v4:19:11460861:11461991:-1 gene:Potri.019G073300.v4.1 transcript:Potri.019G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073300.v4.1 MSGSSGGSSSSSSSRIIDQESGASASQRKFKGARRRKWGKWVSEIRIPGKQERLWLGSYSTPEAAAVAHDIASYCLRGPSSLESLNFPLMLPASVREDMSPKSIQKAASDAGMAIDAQMILNRSLQNEVKVGPENVAINHGPQTQLWEPAAGGGGDSSNRCENWHENNIGTRVGDDLNISIEDYLM >Potri.001G055600.1.v4.1 pep chromosome:Pop_tri_v4:1:4179738:4181836:-1 gene:Potri.001G055600.v4.1 transcript:Potri.001G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055600.v4.1 MADEDHNKKYVESETVILEIMKSWLRHCIDEDSERRGRELEKRIGQMKKGEEETEKILSELEMYAEQPFAGQRNIKEVVDMINYLVLWLKKKIIDCDKFLKEIRMRKRSGVEEGSDSSTMMKNFSVLVVEDDSYLRNLYRRLLNVFGFLNDFQMKVEMAANGKEAVDVLRGGVSLNLIIMDMDRCTNALEEIRELRALGVESNIIGYTSSTAEAPDQQKTFMEAGLNGWFQKPMTFEIITPFLSDLTNNN >Potri.011G153600.1.v4.1 pep chromosome:Pop_tri_v4:11:18061201:18062706:1 gene:Potri.011G153600.v4.1 transcript:Potri.011G153600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153600.v4.1 MAADVSSIVNSTRNSEILITKDLLGGFSKVANKDLDLDLQVTKTWDAPHDFKSSGKVYSQRCNSVSSPLPSPNSMKHHKLRTAQESEVQDSKFPPLKFLEESCLELKLVPSSHCQSVCTLDKVKSALQRAEKETMTKKRSPPPPNPQTSDIKEDRNGTASSSTGVFAAACPGCLLYVITLKTNPKCPSCNSIVPSPSATKKPRIDLNASL >Potri.010G241700.1.v4.1 pep chromosome:Pop_tri_v4:10:21920593:21922912:-1 gene:Potri.010G241700.v4.1 transcript:Potri.010G241700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241700.v4.1 MENNISPKDKEDETRECCSKESCEYKPGKPVSDDIIPHILNLYGSCATPRDFEIYASNASFEDPLMCAHGVKQIKSAFYSLSKIFSESRIVEYSVKEKAMSPEKQEILIDNKQNYKFLGRSIDMISLIKLYVEDGKVVRHEDWWDKKPLRNRETIKFPMAGRFMETLRRGSMLATHAMMGFGKDPTM >Potri.015G116750.1.v4.1 pep chromosome:Pop_tri_v4:15:13135380:13135898:1 gene:Potri.015G116750.v4.1 transcript:Potri.015G116750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116750.v4.1 MQNCKCSFDLAPLHRSTSDHSFFKFQVPVSHSPTLPDSFSLSPFPGNLKNHIPPIRSVLGETKILQFCIDNEAFQPGLHSWTALLYFQYWDTRKACCILV >Potri.010G167150.1.v4.1 pep chromosome:Pop_tri_v4:10:17004199:17004870:1 gene:Potri.010G167150.v4.1 transcript:Potri.010G167150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167150.v4.1 MIVGSSLFTFSLTTSCSSSSHKTSTPTITPLLNSGTRHSSPPDTSGRSGKKKNKGELLRASSRFCSCRRRHFLEAASTALFPMRPSIASDKLQPDYKVCLS >Potri.014G099200.1.v4.1 pep chromosome:Pop_tri_v4:14:6507428:6510529:-1 gene:Potri.014G099200.v4.1 transcript:Potri.014G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099200.v4.1 MELDSIECVPSSDLTDEDEIHHHHHLHHFPSVSKPHTTTTTTNNNNNNNTNTVASSFHSTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVHNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHENLCNFRPYNCPYAGSECVIVGDIPFLVTHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYSYSLEVGGNGRKLIWEGMPRSIRDSHRKVRDSHDGLVIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >Potri.004G137100.2.v4.1 pep chromosome:Pop_tri_v4:4:15808735:15820694:-1 gene:Potri.004G137100.v4.1 transcript:Potri.004G137100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137100.v4.1 MVLRFNVGGKVVERVDLIRKKKWPWRLDIFPFAILYAIWMVTVVPSIDIVDAFIVLGGLVAIHVLVLLFTAWSVDFKCFVQYSKVNDIRAADTCKVTPAKFSGSKEVVPLYIRQQSATSSSPGDGEEIYFDFRKQWFIYSKENETFCKLPYPTKETFGHYLKSTGHGSEAKVAAATEKWGRNVFEYPQPTFQKLLKEQCMEPFFVFQVFCVGLWCLDEYWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDTQTIMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQHGEDKSVPADMLLLAGSAILNEAILTGESTPQWKVSITGRGMEEKLSAKRDKNHVLFGGTKILQHTPDKNFPLRAPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLVVFAVIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFRGVVGLTESADLESDMTKVPVRTAEILASCHALVFVDNKLVGDPLEKAALTGIDWSYKSDEKAMPKKGGGNAVQIVQRHHFASHLKRMAVVVRTQEEFLAFVKGAPETIQDRLIDLPPSYVDTYKKYTRQGSRVLALAFKYLPDMTVSEARSLDRDVVETGLAFAGFAVFNCPIREDSASVLSELKNSSHDLVMITGDQALTACHVASQVHIISKPALILGPSRSGEGYEWISPDEMEKISYGDKGAEELSETHDLCIGGDCIDMLQQSSAVLQVIPYVKVFARVAPEQKELILTTFKTVGRVTLMCGDGTNDVGALKQAHVGVALLNAVPPTKSGNSSSETPKDGNLKPSKSKKSKPEVSNLNGESSSRAKAVTKSDSSSQTAGNRHQTAAEMQRQRLKKLMEEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISQARPLPTLSAERPHPHVFCFYVFLSLMGQFAIHLFFLMSSVKSAEKYMPDECIEPDSDFHPNLVNTVSYMVSMMLQLATFAVNYIGHPFNQSITESKPFLYAILAAAGFFTVITSDLFRNLNDWLKLVPLPPELRNKLLIWALLMFLSCYTWEKLLRWAFPGRIPSWKKRQRLAAANLEKKKRV >Potri.001G320700.1.v4.1 pep chromosome:Pop_tri_v4:1:33060752:33061577:-1 gene:Potri.001G320700.v4.1 transcript:Potri.001G320700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320700.v4.1 MSEHQLFPHTPTLLYLSLLFLLTLFTICNADSVSGLNSLKTSEIDAIVSKGCSEKIGECFEESEMESEISRRVLLMHKKYISYETLRRDLVPCDKPGASYYDCNARQAHPYNRGCEVITRCARSVKDINN >Potri.010G053700.1.v4.1 pep chromosome:Pop_tri_v4:10:8497762:8501388:-1 gene:Potri.010G053700.v4.1 transcript:Potri.010G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053700.v4.1 MPSLMVKLYSVIFKYQQKHLLQGLIETPESNKSNPFGITSRPHESIAASNPSFTDGVATKDIRANPYSSLSLRIFLPDTAVTSSLASSYQIPNYGGYSPVEGRSHRKLPVMLQFHGGGFVSGSNESVGNDAFCRRIAKLCDVIVVAVGYRLAPETKYPGAFEDGFKVLNWLAKQSNLAVCGRLGAQNHIFDSFGASMVEPWLAAHGDTSRCVLLGVSSGANIADYVARKAVEAGKRLDPVKVVAQILMFPFFIGRTPTHSEIKLASTYFYDKTMCKLAWKLFLPKEEFNLDHPAANPLIAGRQPPLKCMPPTITVVAEHDFMRDRAIAYSEELRKVNVDAPLLDYKDAVHEFATLDVLLQTPQAQACAEDVSIWVKKYISLRGHEFSY >Potri.001G447700.1.v4.1 pep chromosome:Pop_tri_v4:1:47304653:47306625:-1 gene:Potri.001G447700.v4.1 transcript:Potri.001G447700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G447700.v4.1 MATPTSISFAVRRCEPELVAPAKATPHEFRQLSDIDRQLYLQFQSPGYNLYAHNPSMQGKDPVKVIKEAIAQALVYYYPFAGRIRQGPDNKLIVDCTGEGVLFIEADADATVEQFGDPIPSPFPCFQELLYNVPGSEEILNTPLLLFQVTRLKCGGFVLGLRFNHLMSDGLGMLQLFNTIGEMARGAQTPSILPVWQRELLCARNPPRVTCRHNEYGDDAPVAVDPTAKVPEFRGEVHAVAHRSFVLNRKELSNIRRWVPSHLHPCSDFEVISACLWRCYAIASQANPNEEMRMQMLVNARSKFNPPLPKGYYGNVLALPAAVTNARKLCLNSLGYALEMIRNAKNRITEEYMRSLADLMEITKGQPIALQSYVVSDLTSFGFDQVDYGWGNTIYSGPPKAMPDEISIAGTFVLPYRFKNGERGVMVLVSLRAPVMERFAILLEELARHDPERSQGQQEMIPSSL >Potri.011G157900.1.v4.1 pep chromosome:Pop_tri_v4:11:18363477:18365289:-1 gene:Potri.011G157900.v4.1 transcript:Potri.011G157900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G157900.v4.1 MNSPSSLMIPFLLIFLFSFSRVASADRHEDFLQCLESQNFNSISKVIYTPINSSYSSVLQFSIRNSRFNTSATPKPLVIVTALNVAHIQATIRCSQKHGLEIRVRSGGHDYEGLSYVAVIVPFVVIDLINMRTVTVDVANKTAWIQTGATLGEVYYGIAEKSRTLAFPAGVCPTVGAGGHISGGGTSMIMRKYGIAVDHIIDAQLIDVKGRILDRASMGEDLFWAIRGGGGNTFGVVVAWKLELVPVPAIVTVFNVTRILTEQDATKLVHRWQYAIEKFDDDLFSRIFIYRANSNQEGKIMIQAAFTSLFLGGVDRLLSLMQESFPELGLVKEDCIEMSWIESTVYSAQFPRNSSLDVLLSRRYSSSFFIGKSDFVTEPIPEIAFEGIWERLSQVGVHLAELQFTAYGGKMDEIAESSTPFPHRAGTLFQIQYAIIWFEESIEADAKYTSWIRELYSYMTPYVSKKPRQAYVNYRDLDLGVNNLGYTSYKQASIWGTKYFKNNFDRLVHVKTAVDPANFFRNEQSIPPLPSW >Potri.006G238300.1.v4.1 pep chromosome:Pop_tri_v4:6:24022262:24024556:1 gene:Potri.006G238300.v4.1 transcript:Potri.006G238300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238300.v4.1 MSKLGDDELALIINWVIDQNDRQSFSLVCKQWLNVEGQTRLSIRVFEPELLHKFLPRFPNLLTFESSKRITNNHLEFIANTCPKLEFLNLKQQSIESQKLDGFDDLLDFDDVGVLAIANGCCKLCKVLFRRRGRVGNVGVISLVKCAQSLSVLDLGWCSLINDSSLEAIGCMNSIRALNLEGCSLVTDKGLTFLATGSSSRTLKRLVLAECDRLTDFGVSLLQGMCCLEELNLAECGPKVTDNGGMAVASIASLKRMNLSWLINVSDITLVAIAGNCRNLVALDLTGCEMITGTGIRALGYHECLESLVLASCYNICGDDVDMVLKCKSLRSIVLDKGLKMWIPMRMQENISRFCQLHWR >Potri.002G010300.1.v4.1 pep chromosome:Pop_tri_v4:2:647954:650610:1 gene:Potri.002G010300.v4.1 transcript:Potri.002G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010300.v4.1 MEKEKKNKYSIIVPTYNERLNIALIVYLIFKHLRDVDFEIIIVDDGSPDGTQEVVKQLQQVYGEDHILLRPRAKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVKGGGVHGWNLRRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIISSVVSKGYVFQMEMIVRASRKGYHIEEVPITFVDRVFGSSKLGGSEIVEYLKGLAYLLVTT >Potri.011G144700.1.v4.1 pep chromosome:Pop_tri_v4:11:17359205:17366665:-1 gene:Potri.011G144700.v4.1 transcript:Potri.011G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144700.v4.1 MEEYPEELRTPPVALVSLVGCTDHHSLISSFLNAEQPPINTLALPDFSKITLLLSKPTKSDPANNGGILKRDWLLKHRTRVPSVVAALFSSGHVSGDPAQWLQVCTDIENIKNATRPKNIKLIVVVVQSSSNDEISEDRMIALRKRAEIDAKYLVIFNASDDLLLKQSLDRLRGTFAELANVYYKDEGRKIKTRVEKKSFNSHELNVRYCFKVAVYAEFRRDWVEALRFYEDAYQILREMVGTAKKLPLIQRLVQIKTVAEQLHFKIATLLLHGGKVVEAITWFRQHNVSYRRLVGPTDVAFLHWEWMSRQFLVFAELLETSSKTIHSNSNTTAGTADLALTEWEFLPAYYYQLAAHYLKEKRTTLELSITMSETADEIDSNAESVAPSIYVGQFARLLEQGDALIMQSLTDEEYTHYAIAEGKRFQDSFEIIALLKKAYETFSNLKAQRMAHLCGFHMAKEYFGVGDLSNAKQLLDAVASLYRQEGWVTLLWEVLGYLRECSRKSGRVKEFVEYSLELAALPVSSDSGIQSLRYKECGPAGPASLAQREIIHKEVFELVSGETGLQSVEGNSDLKVNGENPLHLEIDLVSPLRLVLLASVAFHEPVIKPGASTSITVSLLSQLPLPVDIDKLEVQFNQSECNFVITNSESPSAAVSSGQQGWRIESAPSLALVTNKWLRLTYDVKPEQSGKLECIYVIAKMRPHFTICCGAESPASMEDLPLWKFEDCAETFPTKDPALAFSGQKAAQVEEPEPQVDLILGATGPALVGECFKIPVTVVSKDHAIFSGELKINLVDVKGGGLFSPREEEPFSMDSHHVELLGVSGPEGEDESLVGPDKIKKIQQSFGLVSVPVLKDGESWSCKLEIKWHRPKPVMLFVSLGYFPDSNESTSQRIHVHKSLQIEGKTAVVFSHQFMLPFRQDPLLLSRIKSVPGSDQLAALPLNETSVLVIGAKNSSEVPLLLQSMSIEVDDGVERPCTLQHSGMDLISPAHLVPGEEFKKVFTVIPEVESVSLDLGSVSLRWRRNSEKEDLSTSDTKKDWVLTKHKLPNIKVESPPLVLSLECPPYAVLGDPIMYLIKIRNQTRLLQEVKFSLADAQSFVLSGSHSDTVFVLPKSEHTLSYKLVPLASGSQQLPRVTVTSARYSATFQPAIAASTVFVFPSKPHFTTTDMGDNKLESI >Potri.006G190300.2.v4.1 pep chromosome:Pop_tri_v4:6:19736889:19738056:1 gene:Potri.006G190300.v4.1 transcript:Potri.006G190300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190300.v4.1 MAGVLPGVECARRRRFHRSGDSLGAPAHGWTRRPSFCLYTSSHENHHGSISSLNWIEKQKQRSILNQAYEDEKLGGVAKEAKERLDERLRMQKKKPEITRKTMASAQFIWHKSTGNLRGVDGRSMVPGELQMEVYGPKRSGSKRYKWAKLSWKAADQDECTICLDRFKSGETLVHLPCAHRYHPKCLVPWLENNGQCPCCRMEIHVELS >Potri.001G454900.1.v4.1 pep chromosome:Pop_tri_v4:1:48130063:48133528:1 gene:Potri.001G454900.v4.1 transcript:Potri.001G454900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454900.v4.1 MSCSFLLIPTKFPTLNSYSKHINSTALLGFSSFSCLRNRRRSNSIATSFSRGRSLGCKCQLADIAPVTSAAYGVILLGGGLFAFSKSGSKGSLFGGLTGAAVMGTAYFLMQAPETKAIGDSLGFGSAFLFSSVFGIRLAATQKLIPSGLLLGLSICALAVFIAAYLQDSLITDLV >Potri.018G041000.2.v4.1 pep chromosome:Pop_tri_v4:18:3330013:3335871:1 gene:Potri.018G041000.v4.1 transcript:Potri.018G041000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G041000.v4.1 MKMERIIGEKYKLGRKIGSGSFGEIFLATHIQSGEIVAVKIENRSAKHPQLLYEAKLYKILRGGSGVANIKWCGVDGGDNVLVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMIARIEYMHTKGFLHRDIKPDNFLMGLGRKANQVYVIDFGLAKRYRDTTTHQHIPYRENKNLTGTARYASCNTHLGIEQSCRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYNKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFHVLFTREGFEFDYVFDWTILKYKQTQRTKPPAKSPDLQPNSRVTGSRAMAMDLDKGKGVNGASYSAEVTDHRGSNKVACPDAHMQFGSSLSRNLTADNPIDKHNMNNVSMPSTSFAPPSASRRDFMKLDGSTDAVNIGRGVGNRAGASSRLMRISSAKQLL >Potri.002G244200.1.v4.1 pep chromosome:Pop_tri_v4:2:23597939:23599929:1 gene:Potri.002G244200.v4.1 transcript:Potri.002G244200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244200.v4.1 MNCRILATMDFCLPCFLAFLLMGGVLARRNGGDASFDQNYDITWGYDHVKSLDEGRQIQLSLDHSSGSGFGSKLGFGSGFINMRIKLPGKDSAGVVTAFYLTSHSNNHDELDFEFLGNREEKPITLQTNVFANGRGNREQRMHLWFDPAADFHSYKILWNQYQIVFYVDDTPIRVFKNHTNIGVSYPSQPMQIEASLWNGESWATDGGHTKINWSHAPFQAHFQGFDINGCSDHQRQPNVQPCYSTSYWWNTRKYWTLDSARQRAYENVRKKYLTYDYCSDRPRYPTPPPECPQ >Potri.004G018400.2.v4.1 pep chromosome:Pop_tri_v4:4:1305724:1307321:1 gene:Potri.004G018400.v4.1 transcript:Potri.004G018400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018400.v4.1 MKIFPCCRSEREDAPVESTSCMDKESAKHGRALSFWKNLSWKIGSSKRKNNLKVFTYHELSVATDDFNPSCSVGVGGFRKVYKGFIESIDQHVAVKQLDRNGRQGNKEFFSEVNTLSMVQYPNLVKLIGYCVDGDQRLLVYEFIPKESLETHLLDLPPGRKPLDWTSRMKIATGVAQRLEHLHDTVDPQIIYRDFKASNILLDANFNAKLSDFGFAKLGPTRGKDHVSTRVMGTYGYCAPEYQLTGQLTTKSDVYCFGVFFLETISGKRVIDMSRPTEEQNLVLWAGPLFKDRSKFTAMADPLLEGNYQQKSLYQALAIAAMCLREEADTRPLMTDVVTALEFLAGPIE >Potri.003G088350.1.v4.1 pep chromosome:Pop_tri_v4:3:11513388:11513792:-1 gene:Potri.003G088350.v4.1 transcript:Potri.003G088350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088350.v4.1 MILYVCFNQSLALSFLPSSSLSLPCFKQKIGVFNQFTKITYKFLNKNTIIACSSSLLETKTLNQTNLFAFALEKISHHPQPFLLLGIWTCGDIKILDLDAKSITKMK >Potri.006G181800.1.v4.1 pep chromosome:Pop_tri_v4:6:18853832:18858500:1 gene:Potri.006G181800.v4.1 transcript:Potri.006G181800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181800.v4.1 MSLPKTILASLTVAKTCYYIPRTRDFFLNLLRKAATLSHLTQLQAQLIHHSLLLNDISIATKLTHKFFDFNATLHARALFFSVPKPDLFLFNVLIKGFSTNNKPLSAISLFTHLRKSTRLKPDDYSYAFVVSAAASLGDARIGGILHGKAIIDGFGLDLFVGSALVDFYFKIWRENLAIKVFDRLPQIDTVLYNTMISGFVKNSCFEDSIRVFGDMVLGNGPRFDLTTVIAVLPAVAELQELKLGMQILCLAIKCGFYSHVSLLTGLISLFSKCGEVEIARLLFGEIRKKDLISCNAMISGFTCNGETEDSVRLFKELLSSGERVSSSTIVGLIPVYSPFGHSYLCNCIHGFCVKLGIVSHSSVSTALTTVYCRLNEMIFARQLFDESAEKTLASWNAMISGCTQNGLTDAAISLFQTMQKNNVNPNPVTVTSILSACAQIGALSLGEWVHSLIKSNRFESNVYVSTALIDMYAKCGSITVARELFDLMPEKNEVTWNAMISGYGLHGHGQEALKLFYDMLSSSVKPTGLTFLSVLYACSHAGLVKEGDGIFHTMVHDFGFEPLAEHYACMVDILGRAGQLKKALEFIKAMPVEPGPPVWGALLGACMIHKDTNLAHVASEKLFELDPENIGYYVLMSNIYSVERKYPQAASVRQVAKKKRLAKTPGCTLIEIGQVPHVFTSGDQSHPQSKAIYAELDKLTGKMTEAGFQTETTTVLHDLEEEEKELTMKVHSEKLAIAFGLISTEPGAEIRIIKNLRVCLDCHNWTKFLSKITKRVIVVRDANRFHHFKDGLCSCGDYW >Potri.010G074201.1.v4.1 pep chromosome:Pop_tri_v4:10:10195173:10196136:1 gene:Potri.010G074201.v4.1 transcript:Potri.010G074201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074201.v4.1 MEIAGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIAATFANATYERLPLEDDEEAGSGGQGHIQSGANNSPPAIGSSGQQAGLPDPSSMPVYLPPNLMQSGAQQLGHDAYAWAHAARPPY >Potri.010G074201.2.v4.1 pep chromosome:Pop_tri_v4:10:10195082:10195930:1 gene:Potri.010G074201.v4.1 transcript:Potri.010G074201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074201.v4.1 WWTGQVGLPGLDSSSNSPSLGKINRELSINETSNRSGGRDEDDDDRDTGDEAKEGAVEVGNRRPRGRPPGSKNKPKPPIFVTRDSPNALRSHVMEIAGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLIAAGPVMVIAATFANATYERLPLEDDEEAGSGGQGHIQSGANNSPPAIGSSGQQAGLPDPSSMPVYLPPNLMQSGAQQLGHDAYAWAHAARPPY >Potri.009G128300.1.v4.1 pep chromosome:Pop_tri_v4:9:10548728:10550118:-1 gene:Potri.009G128300.v4.1 transcript:Potri.009G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G128300.v4.1 MAEALKNEGGKTKQITILREILGIEDLLSLTVWRASVAELLGTAVLVFALDTIVISTIQTGTNMPNLILSTLVAIIITILLLATFPISGGHINPIITFAAFLTGLISLSKTFVYILAQCVGATFGALALKAVVNSEIENTYSLGGCTLTIVAPGPHGPTVIGLETNQALWLEIICGFVFLFASVWMAFDHRQAKGIGRVGVFIIGGIVIGLLVFVSTTVTATKGYAGVGLNPARCLGPAIVRGGHLWNGHWVFWVGPAVACVAFAVYTKIIPRQLAHTIE >Potri.001G380400.15.v4.1 pep chromosome:Pop_tri_v4:1:40071771:40074751:-1 gene:Potri.001G380400.v4.1 transcript:Potri.001G380400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380400.v4.1 MNAWKSIAKEAFSQTCLVAKFLGFLHLTNNYLISPTLVYGPSMLPTLNLTGDVLLVEHVSHRFQKVGPGDVVLVRSPLDPTKMVTKRIVGMEGDQINFLPDPSITDICRTIMVPKGHIWIQGDNMYASCDSRHYGPVPYGLVQGKLFFRVWPPSSFGSFGQ >Potri.018G008200.2.v4.1 pep chromosome:Pop_tri_v4:18:594503:595867:-1 gene:Potri.018G008200.v4.1 transcript:Potri.018G008200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008200.v4.1 MEVLVGPTFSIGGDVSSTGSTYVVAPPPQEKHQVGVAPPFLFLKDVDGDESPISSRADSGTHPDDLSDSSSSIGAPDDSDEDEEEDEEDGVVSSNNALGSLNSMEDALPIKRGLSNHFTGKSKSFTNLAEVNTVNTVKELEKPENPFNKRRRILMANKWSRRSFYSWSNPKSMPLLTMHEEEDDDEDHNKGFGGAQDEDSEENPSLTQGIIARKLQEKRLTKFGLKSQSCFALSDLQEEEEDNDDENDQ >Potri.017G106100.5.v4.1 pep chromosome:Pop_tri_v4:17:11550699:11555017:1 gene:Potri.017G106100.v4.1 transcript:Potri.017G106100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106100.v4.1 MQPLRYALASVLRSLAPEFVEARSEKFDLRTRKRLFDLLLSWSDDTGSTWGQDGVSDYRREVERYKASQHSRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLHGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGYSPSTPSYSKYVESGRGAAGRDRQRGSHHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMCQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAGDGIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDSVEPVVPSASKGEANGNFVLEFSQGPAAAQISTVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQNLLVNLLYSLAGRHLELYEVENNDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRSELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTARHLACRSHQIYRALRPSVTSDTCVLLLKCLHRCLGNPAPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELCSRVIDRLSFEDQTTENVLLSSMPRDELDTGGDIGDFQRIESLASPSGNLPAFEGLQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDGETRLLMHITGLLPWLCLQLSKDTVTVPALPLQQQWQKACSVANNIAHWCRAKSLDGLATVFVIYAHGEIKSIDTLLACVSPLMCNEWFPKHSALAFGHLLQLLEKGPVEYQRVILLTLKSLLQHTPMDASQSPRMYAIVSQLVESSLCFEALSVLEALLQSCSSLTGSHPPEPGSYDNGADEKLLAPQTSFKARSGPLQYAMGSGFGTGHMPAAQGGAESGIPSREVALQNTRLILGRVLDNCALVRKRDFRRLVPFVTNIGNP >Potri.017G106100.4.v4.1 pep chromosome:Pop_tri_v4:17:11541611:11554993:1 gene:Potri.017G106100.v4.1 transcript:Potri.017G106100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106100.v4.1 MVLSVFFHRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLSRFPPKRKSELHHALCNMLSNILAPLADCGKGQWPPTGVENALTLWYEAVGRIRGVLIPWMDRQSKHIAVGYPLVTLLLCLGDPQVFHNNLSPHMEQLYKLLRDKNHRFMSLDCLHRVLRFYLSVHAASQALNRIWDYLDSVTSQLLTVLKKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSEHIGLEIFKGHDIGHYIPKVKAAIESILRSCHRIYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDRITEIIPQHGISIDPGVREEAVQVLNRIVSYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRSCLNNDLEFQADDAKRGVQRNDGFKKSSFQQSEVIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDRTLREQLDHNLRNEVEPIFVIDVLEEHGDDIVQSCYWDTGRPFDMRRESDAIPPEVTLQSIIFETPDKNRWARCLSELVKYAADLCPSSVQDAKVEVIQRLAHITPIELGGKAHQSQDADNKLDQWLMYAMFACSCPPDSRESGGLTATKDLYHLIFLSLKSGSETNIHAATMALGHSHLEACEIMFSELSSFIDEISLETEGKPKWKVQSQKPRREELRIHIANIYRTVAENIWPGTLGHKRLFRLHYLRFIDETTRQILSAPPESFQEMQPLRYALASVLRSLAPEFVEARSEKFDLRTRKRLFDLLLSWSDDTGSTWGQDGVSDYRREVERYKASQHSRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLHGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGYSPSTPSYSKYVESGRGAAGRDRQRGSHHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMCQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAGDGIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDSVEPVVPSASKGEANGNFVLEFSQGPAAAQISTVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQNLLVNLLYSLAGRHLELYEVENNDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRSELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTARHLACRSHQIYRALRPSVTSDTCVLLLKCLHRCLGNPAPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELCSRVIDRLSFEDQTTENVLLSSMPRDELDTGGDIGDFQRIESLASPSGNLPAFEGLQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDGETRLLMHITGLLPWLCLQLSKDTVTVPALPLQQQWQKACSVANNIAHWCRAKSLDGLATVFVIYAHGEIKSIDTLLACVSPLMCNEWFPKHSALAFGHLLQLLEKGPVEYQRVILLTLKSLLQHTPMDASQSPRMYAIVSQLVESSLCFEALSVLEALLQSCSSLTGSHPPEPGSYDNGADEKLLAPQTSFKARSGPLQYAMGSGFGTGHMPAAQGGAESGIPSREVALQNTRLILGRVLDNCALVRKRDFRRLVPFVTNIGNP >Potri.017G106100.2.v4.1 pep chromosome:Pop_tri_v4:17:11536016:11555006:1 gene:Potri.017G106100.v4.1 transcript:Potri.017G106100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106100.v4.1 MKAGGTGAGAAKQIVDSLLQRFLPLARRRIETAQAQNMVIDNSWMLVLDSLAMIARHTPVPLLEALLKWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDSRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGVKTEGGLNASASFVAKANPLSRFPPKRKSELHHALCNMLSNILAPLADCGKGQWPPTGVENALTLWYEAVGRIRGVLIPWMDRQSKHIAVGYPLVTLLLCLGDPQVFHNNLSPHMEQLYKLLRDKNHRFMSLDCLHRVLRFYLSVHAASQALNRIWDYLDSVTSQLLTVLKKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSEHIGLEIFKGHDIGHYIPKVKAAIESILRSCHRIYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDRITEIIPQHGISIDPGVREEAVQVLNRIVSYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRSCLNNDLEFQADDAKRGVQRNDGFKKSSFQQSEVIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDRTLREQLDHNLRNEVEPIFVIDVLEEHGDDIVQSCYWDTGRPFDMRRESDAIPPEVTLQSIIFETPDKNRWARCLSELVKYAADLCPSSVQDAKVEVIQRLAHITPIELGGKAHQSQDADNKLDQWLMYAMFACSCPPDSRESGGLTATKDLYHLIFLSLKSGSETNIHAATMALGHSHLEACEIMFSELSSFIDEISLETEGKPKWKVQSQKPRREELRIHIANIYRTVAENIWPGTLGHKRLFRLHYLRFIDETTRQILSAPPESFQEMQPLRYALASVLRSLAPEFVEARSEKFDLRTRKRLFDLLLSWSDDTGSTWGQDGVSDYRREVERYKASQHSRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLHGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGYSPSTPSYSKYVESGRGAAGRDRQRGSHHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMCQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAGDGIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDSVEPVVPSASKGEANGNFVLEFSQGPAAAQISTVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQNLLVNLLYSLAGRHLELYEVENNDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRSELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTARHLACRSHQIYRALRPSVTSDTCVLLLKCLHRCLGNPAPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELCSRVIDRLSFEDQTTENVLLSSMPRDELDTGGDIGDFQRIESLASPSGNLPAFEGLQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDGETRLLMHITGLLPWLCLQLSKDTVTVPALPLQQQWQKACSVANNIAHWCRAKSLDGLATVFVIYAHGEIKSIDTLLACVSPLMCNEWFPKHSALAFGHLLQLLEKGPVEYQRVILLTLKSLLQHTPMDASQSPRMYAIVSQLVESSLCFEALSVLEALLQSCSSLTGSHPPEPGSYDNGADEKLLAPQTSFKARSGPLQYAMGSGFGTGHMPAAQGGAESGIPSREVALQNTRLILGRVLDNCALVRKRDFRRLVPFVTNIGNP >Potri.017G106100.3.v4.1 pep chromosome:Pop_tri_v4:17:11536071:11554900:1 gene:Potri.017G106100.v4.1 transcript:Potri.017G106100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106100.v4.1 MKAGGTGAGAAKQIVDSLLQRFLPLARRRIETAQAQNMVIDNSWMLVLDSLAMIARHTPVPLLEALLKWRESESPKGANDASTFQRKLAVECIFCSACIRFVECCPQEGLTEKLWSGLENFVFDWLINADRVVSQVEYPSLVDSRGLLLDLVAQLLGALSRIRFSSVTERFFMELNTRRIDTSVARSETLSIINGMRYLKLGTEGGLNASASFVAKANPLSRFPPKRKSELHHALCNMLSNILAPLADCGKGQWPPTGVENALTLWYEAVGRIRGVLIPWMDRQSKHIAVGYPLVTLLLCLGDPQVFHNNLSPHMEQLYKLLRDKNHRFMSLDCLHRVLRFYLSVHAASQALNRIWDYLDSVTSQLLTVLKKGMLTQDVQHDKLVEFCVTIAEHNLDFAMNHMILELLKQDSPSEAKVIGLRALLAIVMSPSSEHIGLEIFKGHDIGHYIPKVKAAIESILRSCHRIYSQALLTSSKTTIDAVTKEKSQGYLFRSVLKCIPYLIEEVGRSDRITEIIPQHGISIDPGVREEAVQVLNRIVSYLPHRRFAVMRGMANFILRLPDEFPLLIQTSLGRLLELMRFWRSCLNNDLEFQADDAKRGVQRNDGFKKSSFQQSEVIEFRASEIDAVGLIFLSSVDSQIRHTALELLRCVRALRNDIRDRTLREQLDHNLRNEVEPIFVIDVLEEHGDDIVQSCYWDTGRPFDMRRESDAIPPEVTLQSIIFETPDKNRWARCLSELVKYAADLCPSSVQDAKVEVIQRLAHITPIELGGKAHQSQDADNKLDQWLMYAMFACSCPPDSRESGGLTATKDLYHLIFLSLKSGSETNIHAATMALGHSHLEACEIMFSELSSFIDEISLETEGKPKWKVQSQKPRREELRIHIANIYRTVAENIWPGTLGHKRLFRLHYLRFIDETTRQILSAPPESFQEMQPLRYALASVLRSLAPEFVEARSEKFDLRTRKRLFDLLLSWSDDTGSTWGQDGVSDYRREVERYKASQHSRSKDSIDKISFDKELNEQIEAIQWASMNAMASLLHGPCFDDNARKMSGRVISWINSLFNDPAPRAPFGYSPSTPSYSKYVESGRGAAGRDRQRGSHHRVSLAKLALKNLLLTNLDLFPACIDQCYYSDAAIADGYFSVLAEVYMCQEIPKCEIQRLLSLILYKVVDPNRQIRDDALQMLETLSVREWAGDGIEGSGSYRAAVVGNLPDSYQQFQYKLSCKLAKDHPELSQLLCEEIMQRQLDAVDIIAQHQVLTCMAPWIENLNFWKLKDSGWSERLLKSLYYVTWRHGDQFPDEIEKLWSTIASKPRNISPVLDFLITKGIEDCDSNASAEISGAFATYFSVAKRVSLYLARICPQRTIDHLVYQLAQRMLEDSVEPVVPSASKGEANGNFVLEFSQGPAAAQISTVVDTQPHMSPLLVRGSLDGPLRNTSGSLSWRTAGVTGRSVSGPLSPMPPELNIVPVTAGRSGQLLPALVNMSGPLMGVRSSTGSLRSRHVSRDSGDYLIDTPNSGEDGLHPGVGMHGVSAKELQSALQGHQHSLTHADIALILLAEIAYENDEDFREHLPLLFHVTFVSMDSSEDIVLEHCQNLLVNLLYSLAGRHLELYEVENNDGENKQQVVSLIKYVQSKRGSMMWENEDPTVVRSELPSAALLSALVQSMVDAIFFQGDLRETWGAEALKWAMECTARHLACRSHQIYRALRPSVTSDTCVLLLKCLHRCLGNPAPPVLGFIMEILLTLQVMVENMEPEKVILYPQLFWGCVAMMHTDFVHVYCQVLELCSRVIDRLSFEDQTTENVLLSSMPRDELDTGGDIGDFQRIESLASPSGNLPAFEGLQPLVLKGLMSTVSHGVSIEVLSRITVHSCDSIFGDGETRLLMHITGLLPWLCLQLSKDTVTVPALPLQQQWQKACSVANNIAHWCRAKSLDGLATVFVIYAHGEIKSIDTLLACVSPLMCNEWFPKHSALAFGHLLQLLEKGPVEYQRVILLTLKSLLQHTPMDASQSPRMYAIVSQLVESSLCFEALSVLEALLQSCSSLTGSHPPEPGSYDNGADEKLLAPQTSFKARSGPLQYAMGSGFGTGHMPAAQGGAESGIPSREVALQNTRLILGRVLDNCALVRKRDFRRLVPFVTNIGNP >Potri.018G034500.1.v4.1 pep chromosome:Pop_tri_v4:18:2632418:2639660:1 gene:Potri.018G034500.v4.1 transcript:Potri.018G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034500.v4.1 MSNNLVSQQSSVQSIKLGQSEDISNKLDSLMQMGLMEPGIHDPALQQLSMSNMQMGQMGPISTDALSQQMSISNIQVQLSEPLPNDHVLQNFSGSSIQAGHMEPRAYNMVPEKFLSRRQLGDMETVFHNTGSQQSSLLNKRKAPEEPSSNNSLSRKLSMSHNQVAQMELRPWLQPTLTPNKVPVQIQSILNSSGSNRPQAPYKRSAASKTGLQQSSVQKNQTGQMHPSSKANSESDSVRSKLRQSLADALTLVSQQHDKTSSSGKYSVGEDASAQVQKHKQTQPMGQTSGAAGFHHLSEEPKESLSTKDNSFTQNHSDSHKTSQETSNTRGNAYATETSNNDGQELPSSNIFRDEDISFSDSFLVKDELLQGNGLSWILEPDAEIAEKKEIEAAQTPHGQEHIDEYVGKEVVRDPRVLASEIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELREKVMSGEIPPGRLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSDVDIRRLVKKTHKGEFQVEVEQDSVAMEVAVGLNSFTTQPKSDEKEGSLGSKPDQMKDKVNATDDKSDLEDKAASYTLTIPSSEGNDLMQGLMVDDELKDAEFLPPIVSLDEFMESLDSEPPFENLPEDAGKTTPALDNDDSQLRPEAKSHVVATKDAVGSIPEKSENVEETSTSSEADGRYASIRVESKTTPSTGASKGEHVWEGLLQLSISTMTSVVGIFKSGDKTSAKEWSGVVEVKGRVRLDAFEKFLQELLMSRSRAVMVVHFVCKEGSTESERASLRGVADSYVLDERVGFAEPAHGVELYLCPSHSKTREMLIKVLPTDQLEAPNAIDNGLIGVIVWRRAQVTSIISPTAASHHKLNSKKQHHLTSRRHHDKDTNMNVSIASKHPLPPPRGGTSAHPNPQPDEDDDDVPPGFGPLAGRDEDDLPEFNFSSGSIASRSEFSNQNPTRRQGMAPHNSYPQIPSHPLDLRELVHRYGQPKTDVLPVQPWNDDDDDDDMPEWHPEETPKQRTHPQPMHVHGVRQPILRAHMVQQRVHQTRAPLGRSPAMPQVNLIHGQQNGASSWQQGAWAAPQPGPHGYPAYQSGGGQVNGSPGLQGLAWRRDAPTSRGF >Potri.018G034500.2.v4.1 pep chromosome:Pop_tri_v4:18:2632418:2639660:1 gene:Potri.018G034500.v4.1 transcript:Potri.018G034500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034500.v4.1 MSNNLVSQQSSVQSIKLGQSEDISNKLDSLMQMGLMEPGIHDPALQQLSMSNMQMGQMGPISTDALSQQMSISNIQVQLSEPLPNDHVLQNFSGSSIQAGHMEPRAYNMVPEKFLSRRQLGDMETVFHNTGSQQSSLLNKRKAPEEPSSNNSLSRKLSMSHNQVAQMELRPWLQPTLTPNKVPVQIQSILNSSGSNRPQAPYKRSAASKTGLQQSSVQKNQTGQMHPSSKANSESDSVRSKLRQSLADALTLVSQQHDKTSSSGKYSVGEDASAQVQKHKQTQPMGQTSGAAGFHHLSEEPKESLSTKDNSFTQNHSDSHKTSQETSNTRGNAYATETSNNDGQELPSSNIFRDEDISFSDSFLVKDELLQGNGLSWILEPDAEIAEKKEIEAAQTPHGQEHIDEYVGKEVVRDPRVLASEIEAELFKLFGGVNKKYKEKGRSLLFNLKDRNNPELREKVMSGEIPPGRLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSDVDIRRLVKKTHKGEFQVEVEQDSVAMEVAVGLNSFTTQPKSDEKEGSLGSKPDQMKDKVNATDDKSDLEDKAASYTLTIPSSEGNDLMQGLMVDDELKDAEFLPPIVSLDEFMESLDSEPPFENLPEDAGKTTPALDNDDSQLRPEAKSHVVATKDAVGSIPEKSENVEETSTSSEADGRYASIRVESKTTPSTGASKGEHVWEGLLQLSISTMTSVVGIFKSGDKTSAKEWSGVVEVKGRVRLDAFEKFLQELLMSRSRAVMVVHFVCKEGSTESERASLRGVADSYVLDERVGFAEPAHGVELYLCPSHSKTREMLIKVLPTDQLEAPNAIDNGLIGVIVWRRAQVTSIISPTAASHHKLNSKKQHHLTSRRHHDKDTNMNVSIASKHPLPPPRGGTSAHPNPQPDEDDDDVPPGFGPLAGRDEDDLPEFNFSSGSIASRSEFSNQNPTRRQGMAPHNSYPQIPSHPLDLRELVHRYGQPKTDVLPVQPWNDDDDDDDMPEWHPEETPKQRTHPQPMHVHGVRQPILRAHMVQQRVHQTRAPLGRSPAMPQVNLIHGQQNGASSWQQGAWAAPQPGPHGYPAYQSGGGQVNGSPGLQGLAWRRDAPTSRGF >Potri.015G013400.2.v4.1 pep chromosome:Pop_tri_v4:15:865396:871198:1 gene:Potri.015G013400.v4.1 transcript:Potri.015G013400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013400.v4.1 MQSVDENESENKKLKRLRSLSNNMPLTDNTTPQNVEDSILFPIEEIVQSPLPGYVAPTSIGFSADDSLVTCLFSPDHTLSRKVFAFDLKNGKQELFFGPPDGGLDESNISAEEKLRRERLRERGLGVTRYEWVKTGLKKKAIMVPLPAGIYLQELYSPKPELKLPSSSLSPIIDPHISPDGTMLAYVRDSELHVLNFLFNESKQLTHGAQGNTVTHGIAEYIAQEEMDRKNGYWWSLDSQFIAFTQVDSSEIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVHLGVVSVHGGSVTWLDLLCGGTEKPDNEDEYLARINWMHGNILIAQVLNRSHSKLKLIKFDIKAGRKEVIYVEEQFPWINLHDCFTPLDKGITKYSEGFIWASEKTGFRHLYLHDANGTCLGPITEGDWMVEQIAGVNEAAGMIYFTATRDGPLESHLYRAKLFPDEKNALQAPVRLTNGKGKHSVVLDHHLQNFVDIHDSLDCPPRVLLCSLIDGREIMPLFEQAFTIPRFKRLELEPPKIVQIQANDGTILYGALYEPDPTRFGPPPYKTLISVYGGPSVQYVCDSWISTVDMRAQYLRSKGILVWKLDNRGSARRGLKFEGALKGNPGRFDAEDQLTGAEWLIKQGLAKAGHIGLYGWSYGGYMSAMILARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPYENPTGYEYGSVMHHVHKLKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHTDRIYMEERIWEFFERNL >Potri.015G013400.3.v4.1 pep chromosome:Pop_tri_v4:15:865370:871002:1 gene:Potri.015G013400.v4.1 transcript:Potri.015G013400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013400.v4.1 MQSVDENESENKKLKRLRSLSNNMPLTDNTTPQNVEDSILFPIEEIVQSPLPGYVAPTSIGFSADDSLVTCLFSPDHTLSRKVFAFDLKNGKQELFFGPPDGGLDESNISAEEKLRRERLRERGLGVTRYEWVKTGLKKKAIMVPLPAGIYLQELYSPKPELKLPSSSLSPIIDPHISPDGTMLAYVRDSELHVLNFLFNESKQLTHGAQGNTVTHGIAEYIAQEEMDRKNGYWWSLDSQFIAFTQVDSSEIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVHLGVVSVHGGSVTWLDLLCGGTEKPDNEDEYLARINWMHGNILIAQVLNRSHSKLKLIKFDIKAGRKEVIYVEEQFPWINLHDCFTPLDKGITKYSEGFIWASEKTGFRHLYLHDANGTCLGPITEGDWMVEQIAGVNEAAGMIYFTATRDGPLESHLYRAKLFPDEKNALQAPVRLTNGKGKHSVVLDHHLQNFVDIHDSLDCPPRVLLCSLIDGREIMPLFEQAFTIPRFKRLELEPPKIVQIQANDGTILYGALYEPDPTRFGPPPYKTLISVYGGPSVQYVCDSWISTVDMRAQYLRSKGILVWKLDNRGSARRGLKFEGALKGNPGRFDAEDQLTGAEWLIKQGLAKAGHIGLYGWSYGGYMSAMILARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPYENPTGYEYGSVMHHVHKLKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHTDRIYMEERIWEFFERNL >Potri.015G013400.4.v4.1 pep chromosome:Pop_tri_v4:15:865376:871003:1 gene:Potri.015G013400.v4.1 transcript:Potri.015G013400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013400.v4.1 MQSVDENESENKKLKRLRSLSNNMPLTDNTTPQNVEDSILFPIEEIVQSPLPGYVAPTSIGFSADDSLVTCLFSPDHTLSRKVFAFDLKNGKQELFFGPPDGGLDESNISAEEKLRRERLRERGLGVTRYEWVKTGLKKKAIMVPLPAGIYLQELYSPKPELKLPSSSLSPIIDPHISPDGTMLAYVRDSELHVLNFLFNESKQLTHGAQGNTVTHGIAEYIAQEEMDRKNGYWWSLDSQFIAFTQVDSSEIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVHLGVVSVHGGSVTWLDLLCGGTEKPDNEDEYLARINWMHGNILIAQVLNRSHSKLKLIKFDIKAGRKEVIYVEEQFPWINLHDCFTPLDKGITKYSEGFIWASEKTGFRHLYLHDANGTCLGPITEGDWMVEQIAGVNEAAGMIYFTATRDGPLESHLYRAKLFPDEKNALQAPVRLTNGKGKHSVVLDHHLQNFVDIHDSLDCPPRVLLCSLIDGREIMPLFEQAFTIPRFKRLELEPPKIVQIQANDGTILYGALYEPDPTRFGPPPYKTLISVYGGPSVQYVCDSWISTVDMRAQYLRSKGILVWKLDNRGSARRGLKFEGALKGNPGRFDAEDQLTGAEWLIKQGLAKAGHIGLYGWSYGGYMSAMILARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPYENPTGYEYGSVMHHVHKLKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHTDRIYMEERIWEFFERNL >Potri.015G013400.5.v4.1 pep chromosome:Pop_tri_v4:15:865376:871002:1 gene:Potri.015G013400.v4.1 transcript:Potri.015G013400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013400.v4.1 MQSVDENESENKKLKRLRSLSNNMPLTDNTTPQNVEDSILFPIEEIVQSPLPGYVAPTSIGFSADDSLVTCLFSPDHTLSRKVFAFDLKNGKQELFFGPPDGGLDESNISAEEKLRRERLRERGLGVTRYEWVKTGLKKKAIMVPLPAGIYLQELYSPKPELKLPSSSLSPIIDPHISPDGTMLAYVRDSELHVLNFLFNESKQLTHGAQGNTVTHGIAEYIAQEEMDRKNGYWWSLDSQFIAFTQVDSSEIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVHLGVVSVHGGSVTWLDLLCGGTEKPDNEDEYLARINWMHGNILIAQVLNRSHSKLKLIKFDIKAGRKEVIYVEEQFPWINLHDCFTPLDKGITKYSEGFIWASEKTGFRHLYLHDANGTCLGPITEGDWMVEQIAGVNEAAGMIYFTATRDGPLESHLYRAKLFPDEKNALQAPVRLTNGKGKHSVVLDHHLQNFVDIHDSLDCPPRVLLCSLIDGREIMPLFEQAFTIPRFKRLELEPPKIVQIQANDGTILYGALYEPDPTRFGPPPYKTLISVYGGPSVQYVCDSWISTVDMRAQYLRSKGILVWKLDNRGSARRGLKFEGALKGNPGRFDAEDQLTGAEWLIKQGLAKAGHIGLYGWSYGGYMSAMILARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPYENPTGYEYGSVMHHVHKLKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHTDRIYMEERIWEFFERNL >Potri.015G013400.1.v4.1 pep chromosome:Pop_tri_v4:15:865376:871036:1 gene:Potri.015G013400.v4.1 transcript:Potri.015G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013400.v4.1 MQSVDENESENKKLKRLRSLSNNMPLTDNTTPQNVEDSILFPIEEIVQSPLPGYVAPTSIGFSADDSLVTCLFSPDHTLSRKVFAFDLKNGKQELFFGPPDGGLDESNISAEEKLRRERLRERGLGVTRYEWVKTGLKKKAIMVPLPAGIYLQELYSPKPELKLPSSSLSPIIDPHISPDGTMLAYVRDSELHVLNFLFNESKQLTHGAQGNTVTHGIAEYIAQEEMDRKNGYWWSLDSQFIAFTQVDSSEIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVHLGVVSVHGGSVTWLDLLCGGTEKPDNEDEYLARINWMHGNILIAQVLNRSHSKLKLIKFDIKAGRKEVIYVEEQFPWINLHDCFTPLDKGITKYSEGFIWASEKTGFRHLYLHDANGTCLGPITEGDWMVEQIAGVNEAAGMIYFTATRDGPLESHLYRAKLFPDEKNALQAPVRLTNGKGKHSVVLDHHLQNFVDIHDSLDCPPRVLLCSLIDGREIMPLFEQAFTIPRFKRLELEPPKIVQIQANDGTILYGALYEPDPTRFGPPPYKTLISVYGGPSVQYVCDSWISTVDMRAQYLRSKGILVWKLDNRGSARRGLKFEGALKGNPGRFDAEDQLTGAEWLIKQGLAKAGHIGLYGWSYGGYMSAMILARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPYENPTGYEYGSVMHHVHKLKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHTDRIYMEERIWEFFERNL >Potri.005G115400.2.v4.1 pep chromosome:Pop_tri_v4:5:8422756:8425476:-1 gene:Potri.005G115400.v4.1 transcript:Potri.005G115400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115400.v4.1 MEAKDVEDTESNFRWCWFLLVSVIAILVIVAGVLAILEDLKDSKKDSASHHPGPVVKKYADALEIALQFFDGQKSGKLVNNRIGWRGDSGLLDGIEMNLDLSKGMYDAGDLMKFGFPMAFTATMLSWAILEYEENMKTAKQLGHARDSLKWITDFLINAHPSENVLYIQVGDPELDHQCWERPEAIRGIRPLTQVNTSFPGTEVAAETAAAMASASLVFKKIDSSYSNLLLEHAQQLFSFADAYRGSYSVSIPQVQNYYNSTGYEDELLWAAAWLYHASKDLSYLKYVTELNGQQFANWGNPTWFSWDDKHAGTHVLLSRLNIFGAKGMSSEENLDLQMYRKTSEAIMCELLPDSPTATSSRTKVLLSMQVVWYGSQNGTACNMLWLLHF >Potri.005G115400.1.v4.1 pep chromosome:Pop_tri_v4:5:8422756:8425476:-1 gene:Potri.005G115400.v4.1 transcript:Potri.005G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115400.v4.1 MEAKDVEDTESNFRWCWFLLVSVIAILVIVAGVLAILEDLKDSKKDSASHHPGPVVKKYADALEIALQFFDGQKSGKLVNNRIGWRGDSGLLDGIEMNLDLSKGMYDAGDLMKFGFPMAFTATMLSWAILEYEENMKTAKQLGHARDSLKWITDFLINAHPSENVLYIQVGDPELDHQCWERPEAIRGIRPLTQVNTSFPGTEVAAETAAAMASASLVFKKIDSSYSNLLLEHAQQLFSFADAYRGSYSVSIPQVQNYYNSTGYEDELLWAAAWLYHASKDLSYLKYVTELNGQQFANWGNPTWFSWDDKHAGTHVLLSRLNIFGAKGMSSEENLDLQMYRKTSEAIMCELLPDSPTATSSRTKGGLVWVSKWNCLQHAMASAFLAVLFGDYMVTTQISTLYCHGKSYSPADLRNFAISQADYILGNNPMKMSYLVGYGSNYPQNVHHRGASIPVDANTTCKDGFTWLDSINPNPNVAVGAVVGGPFLNETYIDSRNNWMQAEPTTYNSALVVSLLSSLVRSSSVVDSFT >Potri.014G026600.1.v4.1 pep chromosome:Pop_tri_v4:14:1637697:1639991:-1 gene:Potri.014G026600.v4.1 transcript:Potri.014G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G026600.v4.1 MIKAMNSSDFLSLQALSCLLLLLIGISNAELKSEEYQTYIVHMDSSHKPATFLTHESWHRFTLRSLSNPADGEGTFLYSYSHVMQGFSARLTPSQLAEIEKSPAHIGTYRESFGKLFTTHSPKFLGLRQNSGILPTASRGEGVIIGIIDTGIWPESESFHDKGMPPVPQRWKGKCENGTAFSPSACNRKLIGARSFSKGLIAAGRKISTEYDYDSARDFFGHGTHTSSTAAGSCVLGANHFGYARGTARGVAPAAHVAMYKVLFATDTEESAATDVLAGMDQAIADEVDIMSLSLGFTQTPYFNDVIAIASLSAMEKNIFVVCAAGNDGAYNSTYNGAPWITTVGAGTLDRSFTATMTLENGLTFEGTSYFPQSIYIEDVPLYYGKSNGSKSICNYGALNRSEVHRKIVLCDNSTTIDVEGQKEELERVGAYAGIFMTDFSLLDPEDYSIPSIVLPTVSGALVREYVANVTAAKVKSMAFLSTNLGVKPAPQVAYFSSRGPDPITPGVLKPDILAPGVDVLAAIAPNKPFMELGKYDLTTDYALYSGTSMSAPHVAGVAALLKNIHPEWNPAAIRSALMTTAYTKDNTRTTMKNQMINLPATPLDFGAGHINPNKAMDPGLIYDMNVQDYVNFLCGLGYTAKQMSAVLRRNQWSCSQEPTDLNYPSITAIFTNKTSSPTTKTFSRVVTNVGDDDSVYQATIEIPKEMRIKVEPRTLSFTKKNQKQGFVISIDIDEDAPTVTYGYLKWIDQHNHTVSSPVVAIKF >Potri.001G343700.1.v4.1 pep chromosome:Pop_tri_v4:1:35465785:35469986:-1 gene:Potri.001G343700.v4.1 transcript:Potri.001G343700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343700.v4.1 MFAARNLQRSCFKTLYSLLQSSPKSNIVLFKDVAPKLACSNDIQPSFSPLNYPHNGWCRSMSTSKGRSMRSKVERRMRKESGKTLREIRRAKKLKKKLMTDEERLIYNLKRAKKKVALLLQKLKKYDLPELPSPLHDPELLTPEQLQAYKKIGFRNKNYVPVGVRGVFGGVVQNMHMHWKFHETVQVSCDNFPKEKIKEMATMIARLSGGIVVNVHNVKTIIMFRGRNYRQPKDLIPLNTLTKRKALFKARFEQALESQKLNIKQIEQQLRRMGVNPEDPVAMASIQRVASTFFNAIDKKEGSPYVFHGDKGSMVEPHDHLEHLEPPADESDQEELDRFIAEIEDAADQEWAAEEAAEKEEFGRIRYWNREDYGGRIRSPEIHRSEVSDGEARGARHWRDARDKRMSSNRDDDSDVSEGDNEWNVRDNSDHESDFYADRRSRGLRRKQDGIGREYNAHDIKRKFEPEFKEKMAEEDSESEEMLSDLDNAMWQSDAEEEHVSRTSRAEASPIFKSSSDDEEDTYPLKRNEITRVTDPQSDAARDEFKISRSLKQKNGVGSFKRNAEANSRRKTFQEDSGSDDMYNDSKHAMWESDDEVDILRAGSEKDYNYRDSGESEDHLQKQREKHEANGKKVISRKEVDETWDSD >Potri.003G190000.1.v4.1 pep chromosome:Pop_tri_v4:3:19395859:19399824:-1 gene:Potri.003G190000.v4.1 transcript:Potri.003G190000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190000.v4.1 MAIQTSLRLISYSQELVDGQPLHVSSNGLPIKALKFEPAGHAFHTAALKLLGWEEEGTKTEDQKVSSDKQQSYMPSSESYSTKGKKKSGSGDTQQDHYALLGLGHLRYLATEEQIRKSYREVALKYHPDKQAAILLAEESEAAKQAKKDEIESHFKAIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQTVPSLGDEKTSLKEVDSFYNFWYSFKSWREFPHADEFDLEEAESRDHKRWMERQNAKLSEKARKEDYARIRTLVDSAYKRDPRILRRKEEEKAEKQRKKEAKYLAKRLQEEEAARAAEEEKRQKEEEEKRVAEAALQQKKLKEKEKKLLRKERSRLRTLSGSVLSQCLLNLSEADVENLCMSLDIEQLRSLCDRIEGKEVLEQAKVLRDACGCDHDSGSSKQEEKKISQQNGSLNSNGSSPLSSSGKKEKPWGREEIELLRKGTQKYPKGTSRRWEVISDYIGTGRSVEEILKATKTVLLQKPDSAKAFNSFLEKRKPAQSIESPLSTREEIEGASTVQALESSAAKVAQEESSSDTDKQKTDDVVTANGVSSSADQDVWSAVQERALVQALKTFPKETSQRWERVAAAVPGKTINQCKKKFALLKESFRNKKNTA >Potri.003G190000.3.v4.1 pep chromosome:Pop_tri_v4:3:19394176:19399824:-1 gene:Potri.003G190000.v4.1 transcript:Potri.003G190000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190000.v4.1 MAIQTSLRLISYSQELVDGQPLHVSSNGLPIKALKFEPAGHAFHTAALKLLGWEEEGTKTEDQKVSSDKQQSYMPSSESYSTKGKKKSGSGDTQQDHYALLGLGHLRYLATEEQIRKSYREVALKYHPDKQAAILLAEESEAAKQAKKDEIESHFKAIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQTVPSLGDEKTSLKEVDSFYNFWYSFKSWREFPHADEFDLEEAESRDHKRWMERQNAKLSEKARKEDYARIRTLVDSAYKRDPRILRRKEEEKAEKQRKKEAKYLAKRLQEEEAARAAEEEKRQKEEEEKRVAEAALQQKKLKEKEKKLLRKERSRLRTLSGSVLSQCLLNLSEADVENLCMSLDIEQLRSLCDRIEGKEVLEQAKVLRDACGCDHDSGSSKQEEKKISQQNGSLNSNGSSPLSSSGKKEKPWGREEIELLRKGTQKYPKGTSRRWEVISDYIGTGRSVEEILKATKTVLLQKPDSAKAFNSFLEKRKPAQSIESPLSTREEIEGASTVQALESSAAKVAQEESSSDTDKQKTDDVVTANGVSSSADQDVWSAVQERALVQALKTFPKETSQRWERVAAAVPGKTINQCKKKFALLKESFRNKKNTA >Potri.003G190000.2.v4.1 pep chromosome:Pop_tri_v4:3:19395319:19399824:-1 gene:Potri.003G190000.v4.1 transcript:Potri.003G190000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190000.v4.1 MAIQTSLRLISYSQELVDGQPLHVSSNGLPIKALKFEPAGHAFHTAALKLLGWEEEGTKTEDQKVSSDKQQSYMPSSESYSTKGKKKSGSGDTQQDHYALLGLGHLRYLATEEQIRKSYREVALKYHPDKQAAILLAEESEAAKQAKKDEIESHFKAIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQTVPSLGDEKTSLKEVDSFYNFWYSFKSWREFPHADEFDLEEAESRDHKRWMERQNAKLSEKARKEDYARIRTLVDSAYKRDPRILRRKEEEKAEKQRKKEAKYLAKRLQEEEAARAAEEEKRQKEEEEKRVAEAALQQKKLKEKEKKLLRKERSRLRTLSGSVLSQCLLNLSEADVENLCMSLDIEQLRSLCDRIEGKEVLEQAKVLRDACGCDHDSGSSKQEEKKISQQNGSLNSNGSSPLSSSGKKEKPWGREEIELLRKGTQKYPKGTSRRWEVISDYIGTGRSVEEILKATKTVLLQKPDSAKAFNSFLEKRKPAQSIESPLSTREEIEGASTVQALESSAAKVAQEESSSDTDKQKTDDVVTANGVSSSADQDVWSAVQERALVQALKTFPKETSQRWERVAAAVPGKTINQCKKKFALLKESFRNKKNTA >Potri.005G008500.1.v4.1 pep chromosome:Pop_tri_v4:5:658247:660807:-1 gene:Potri.005G008500.v4.1 transcript:Potri.005G008500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008500.v4.1 MGEIRSPYFSLGQNYFGDNSDHIHSPYISYGGEDYHGDYAEIHPPYSSAGDYRGDNAEIHSPYSSAGDYLADNTEIHSEALFHQHISGNSYNAQQFVKHGKVTRRVIGPWNYEQESVVCIYRVGKALRKSNEAMYSPQQISIGPIHHGNENLRLMERKKSKYYGEFWKGRVSKEKELEGAKSEFRAALREDENTIRQCYEDDSHEIEKSEDFLDLILYDAVFIFELFLKYREGRDEFKKDSILKQPWLRLAIRRDLILFENQLPFFILEKLYELLPENIKGEHTDFKALACSYFKLHLSSEFSPHAAPMPKHFTDLVRSLLSFTQNAKTVELIKSLHSATKLRQAGVKFKVPRERKCLLDVDFRRLGTEFHIPQLEIDGNTERLFRNLMAMEKRLYPGEEYVCHYINLLSILVVKPKDAKLLMENKIVIHNDEVAVRDLIYSLASDTIDRHSCYHDIFTSVDDYYKSSWAKNPAYFIEEFFGNFWKGVGTVCAAILLILTLVQTICELLGLR >Potri.003G037700.1.v4.1 pep chromosome:Pop_tri_v4:3:4111715:4114709:1 gene:Potri.003G037700.v4.1 transcript:Potri.003G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G037700.v4.1 MEGKQKFLHGTLEATIFDATPYTPPFPFNCIFMNGSPTYVTIKIGNKKVAKTSHECDRVWNQTFHILCAHSLDSTITITMKTKCSILGKFHIQAHKIVTEASLINGFFPLVMENGKPNPQLKLRFMLWFKPAELETTWAKILDNGEFQGLRNATFPQRSNCHVTLYQDAHHCSSFKPPFDLCGSPTKLWEDVYKAVEGAKHLIYIAGWSFNPKMVLVRDPETDMPHARGVMLGELLKRKGEEGVAVRVMLWDDETSLPFIKNKGVMGVHDEDAFAYFKHTKVICKLCPRLHHKFPTLFAHHQKTITVDARARDSISEREIMSFVGGLDLCDGRYDTERHSLFHTLNTESHCFDFYQTNIAGASLRKGGPREPWHDAHACIVGQAALDVLTNFEQRWNKQCDGSVLVPISSIPNLMHQPFPSSVSNDRNWKVQVFRSIDHVSAIHLARNLRVERSIHEAYVEAIRRAERFIYIENQYFIGGCQLWDEDRHCGCTNLIPIEIALKVVNKIRAKERFAVYILMPMWPEGVPDSEPVQDILHWTRQTMAMMYKLVGEALQESGEPGHPRDYLNFFCLANREEENKGELVPPYSPHPSTQYWNAQKHRRFMVYVHSKLMIVDDAYMLIGSANVNQRSMDGRRDTEIAIGCYQPKNGENTRNPRDILAYRMSLWYEHTGLDEEIFLEPESLACAQRMRLVGEQMWNVYAGEEVVDMEGVHLVNYPLRVTKDGAVEDLVDGGGNFPDTKSPVKGRRSNMLPPIFTT >Potri.003G037700.2.v4.1 pep chromosome:Pop_tri_v4:3:4111716:4114731:1 gene:Potri.003G037700.v4.1 transcript:Potri.003G037700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G037700.v4.1 MNGSPTYVTIKIGNKKVAKTSHECDRVWNQTFHILCAHSLDSTITITMKTKCSILGKFHIQAHKIVTEASLINGFFPLVMENGKPNPQLKLRFMLWFKPAELETTWAKILDNGEFQGLRNATFPQRSNCHVTLYQDAHHCSSFKPPFDLCGSPTKLWEDVYKAVEGAKHLIYIAGWSFNPKMVLVRDPETDMPHARGVMLGELLKRKGEEGVAVRVMLWDDETSLPFIKNKGVMGVHDEDAFAYFKHTKVICKLCPRLHHKFPTLFAHHQKTITVDARARDSISEREIMSFVGGLDLCDGRYDTERHSLFHTLNTESHCFDFYQTNIAGASLRKGGPREPWHDAHACIVGQAALDVLTNFEQRWNKQCDGSVLVPISSIPNLMHQPFPSSVSNDRNWKVQVFRSIDHVSAIHLARNLRVERSIHEAYVEAIRRAERFIYIENQYFIGGCQLWDEDRHCGCTNLIPIEIALKVVNKIRAKERFAVYILMPMWPEGVPDSEPVQDILHWTRQTMAMMYKLVGEALQESGEPGHPRDYLNFFCLANREEENKGELVPPYSPHPSTQYWNAQKHRRFMVYVHSKLMIVDDAYMLIGSANVNQRSMDGRRDTEIAIGCYQPKNGENTRNPRDILAYRMSLWYEHTGLDEEIFLEPESLACAQRMRLVGEQMWNVYAGEEVVDMEGVHLVNYPLRVTKDGAVEDLVDGGGNFPDTKSPVKGRRSNMLPPIFTT >Potri.005G034200.1.v4.1 pep chromosome:Pop_tri_v4:5:2258984:2260086:-1 gene:Potri.005G034200.v4.1 transcript:Potri.005G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G034200.v4.1 MASLQVNICLFVLFQAIFLFSSAVLSCGDEKHELFKNINAYRTLFLDIPALTKNKKAKCLANEIADRLDQPCNETTHVDQVKLDSYADQLKDCVGTNHATDAVVMPVCAPADEVEAVPLLHNYTRTQYKKYIKDSSYTGAGVGSNDYWMVVVLNRNTSTWSSSAGTNGLVSGAGAVSMFLGILFYLVL >Potri.002G064900.2.v4.1 pep chromosome:Pop_tri_v4:2:4448415:4450625:1 gene:Potri.002G064900.v4.1 transcript:Potri.002G064900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064900.v4.1 MLLAVEGGGFFSSSASGYSKGLTLLLLGQKHEDKPMRVTPWNQYQLVDQEPDSDLQLASLKNRLSHGCASFICFGRASAHESPSPLKVGPAQQQDVLPDPLVADREKDLTTELEVDNYARKITLKSNLKKASKRIPVPVEDVKQSEPLNGQGSDIPGHTERRKVQWTDVCGSELAEIREFEPSETGGSDDEFENGNERSCSCVIM >Potri.002G064900.1.v4.1 pep chromosome:Pop_tri_v4:2:4447418:4451029:1 gene:Potri.002G064900.v4.1 transcript:Potri.002G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064900.v4.1 MLLAVEGGGFFSSSASGYSKGLTLLLLGQKHEDKPMRVTPWNQYQLVDQEPDSDLQLASLKNRLSHGCASFICFGRASAHESPSPLKVGPAQQQDVLPDPLVADREKDLTTELEVDNYARKITLKSNLKKASKRIPVPVEDVKQSEPLNGQGSDIPGHTERRKVQWTDVCGSELAEIREFEPSETGGSDDEFENGNERSCSCVIM >Potri.015G106466.1.v4.1 pep chromosome:Pop_tri_v4:15:12506982:12508713:-1 gene:Potri.015G106466.v4.1 transcript:Potri.015G106466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106466.v4.1 MVQRLTYRKRHSYATKSNQHRVVKTPGGKLIYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKSKEKQASKS >Potri.009G156400.6.v4.1 pep chromosome:Pop_tri_v4:9:12189971:12197882:-1 gene:Potri.009G156400.v4.1 transcript:Potri.009G156400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156400.v4.1 MISAEEALQTILKVAQRLLPVSVPLHDALGKVLAEDIRAPDPLPPYPASVKDGYAVIASDGPGEYPVITESRAGNDGLGVTLTPGTVAYVTTGGPIPDGADAVVQVEDTRKVKDPLVERVKIMGCDIEKDVVVLKCGERLGVSEIGLLATVGVMMVKVYPMPTIAVLSTGDELVEPTTGVLNRGQIRDSNRAMLLAAAIQQQCKILDLGIARDDKEELERILDKAFSAGIHILLTSGGVSMGDRDFVKPLLENRGTVHFNKVCMKPGKPLTFAEINSKPADSIASGKILAFGLPGNPVSCLVCFHLFVVPAIRLVAGCANPHLLRVQACLHQPIKADPVRPEFHRAIIRWKANDGSGNPGFVAESTGHQMSSRLLSMKSANALLELPATGSVIPAGTSVSAIIISDLTSTSSSEIGLSSDAASSAQRNTCRETIAGETLNGEFKVAILTVSDTVASGAGPDRSGPRAVSVVNSSSEKLGARVVSTAVVPDDVSKIKAVVQKWSDTDRMDLILTLGGTGFSPRDVTPEATKELIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECVEALLPALKHALKQIKGDKREKHPRHITHAEAEPVDTWERSHKLASGNHTEPGCSCSH >Potri.009G156400.1.v4.1 pep chromosome:Pop_tri_v4:9:12189933:12197900:-1 gene:Potri.009G156400.v4.1 transcript:Potri.009G156400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156400.v4.1 MISAEEALQTILKVAQRLLPVSVPLHDALGKVLAEDIRAPDPLPPYPASVKDGYAVIASDGPGEYPVITESRAGNDGLGVTLTPGTVAYVTTGGPIPDGADAVVQVEDTRKVKDPLVERVKIMVQTRKGVDIRPVGCDIEKDVVVLKCGERLGVSEIGLLATVGVMMVKVYPMPTIAVLSTGDELVEPTTGVLNRGQIRDSNRAMLLAAAIQQQCKILDLGIARDDKEELERILDKAFSAGIHILLTSGGVSMGDRDFVKPLLENRGTVHFNKVCMKPGKPLTFAEINSKPADSIASGKILAFGLPGNPVSCLVCFHLFVVPAIRLVAGCANPHLLRVQACLHQPIKADPVRPEFHRAIIRWKANDGSGNPGFVAESTGHQMSSRLLSMKSANALLELPATGSVIPAGTSVSAIIISDLTSTSSSEIGLSSDAASSAQRNTCRETIAGETLNGEFKVAILTVSDTVASGAGPDRSGPRAVSVVNSSSEKLGARVVSTAVVPDDVSKIKAVVQKWSDTDRMDLILTLGGTGFSPRDVTPEATKELIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECVEALLPALKHALKQIKGDKREKHPRHITHAEAEPVDTWERSHKLASGNHTEPGCSCSH >Potri.009G156400.7.v4.1 pep chromosome:Pop_tri_v4:9:12191443:12197882:-1 gene:Potri.009G156400.v4.1 transcript:Potri.009G156400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156400.v4.1 MISAEEALQTILKVAQRLLPVSVPLHDALGKVLAEDIRAPDPLPPYPASVKDGYAVIASDGPGEYPVITESRAGNDGLGVTLTPGTVAYVTTGGPIPDGADAVVQVEDTRKVKDPLVERVKIMVQTRKGVDIRPVGCDIEKDVVVLKCGERLGVSEIGLLATVGVMMVKVYPMPTIAVLSTGDELVEPTTGVLNRGQIRDSNRAMLLAAAIQQQCKILDLGIARDDKEELERILDKAFSAGIHILLTSGGVSMGDRDFVKPLLENRGTVHFNKVCMKPGKPLTFAEINSKPADSIASGKILAFGLPGNPVSCLVCFHLFVVPAIRLVAGCANPHLLRVQACLHQPIKADPVRPEFHRAIIRWKANDGSGNPGFVAESTGHQMSSRLLSMKSANALLELPATGSVIPAGTSVSAIIISDLTSTSSSEIGLSSDAASSAQRNTCRETIAGETLNGEFKVAILTVSDTVASGAGPDRSGPRAVSVVNSSSEKLGARVVSTAVVPDDVSKIKAVVQKWSDTDRMDLILTLGGTGFSPRDVTPEATKELIEKETPGLLYVMMQESLKVTPFAMLSRSAAGIRGSTLIINMPGNPNAVAECVEALLPALKHALKQIKGDKREKHPRHITHAEAEPVDTWERSHKLASGNHTEPGCSCSH >Potri.015G139700.1.v4.1 pep chromosome:Pop_tri_v4:15:14707375:14713862:1 gene:Potri.015G139700.v4.1 transcript:Potri.015G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139700.v4.1 MEFREIEECGTVRRRWEDMDIDILVKIFQSLTVFELTSGIAHVCSSWRLAACDPFLWRTLDLSMLKSNFIKIPLEPYVYVHGHSDKTLTRFLKISLSLSRGNITSLFFHFNLYVSEDHLTYTAQRCPRLKRLVLPAWNRVETVMIKAIELWKDLESLTMPSIVNSPRLVQAIATNCRKFSELKIMGPFDIYFASSLVTYLPTLKVLSLRCSMLYKDTLIFVLDNLCCLEVLNISHCLLIEVPPPPAPRRIVRQLDKTILEKASHLHDFLACMSDTCIMCQKTRNDEGLMRWYKYDEGLWKEDEVSSLAL >Potri.001G269900.10.v4.1 pep chromosome:Pop_tri_v4:1:28436101:28442140:1 gene:Potri.001G269900.v4.1 transcript:Potri.001G269900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269900.v4.1 MEKGAPSSLFVNDGSFMEKFKQLQQGNGKEQEKDKGAAEKDSKPKTIVSGSVTPKPSFGKVTMQLKANDGRKTPPTASGGKLAFSLKQKSKLMAPAVKLGEDEEDEDEVDAVNASGDVSAKRQKLGQLDTSEQSSRRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYEYRLAEEEKALSQSRDSQISSSGGTSTSASKSTGSYQSLQQQHSNYQIPASALYDDAEELKGSMSTSSGRTGESSAPAGTDPIAMMEFYMKKAAHEERRRQPKHSKDEMPPPASLQGLSKKGHHMGDYIPPVELEKFLSTCNDAAARKAATEAAERAKIQADNVGHKLLSKMGWKEGEGLGSSRNGISNPIMAGDVKKDHLGVGAHNPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.001G269900.11.v4.1 pep chromosome:Pop_tri_v4:1:28436082:28442086:1 gene:Potri.001G269900.v4.1 transcript:Potri.001G269900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269900.v4.1 MEKGAPSSLFVNDGSFMEKFKQLQQGNGKEQEKDKGAAEKDSKPKTIVSGSVTPKPSFGKVTMQLKANDGRKTPPTASGGKLAFSLKQKSKLMAPAVKLGEDEEDEDEVDAVNASGDVSAKRQKLGQLDTSEQSSRRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYEYRLAEEEKALSQSRDSQISSSGGTSTSASKSTGSYQSLQQQHSNYQIPASALYDDAEELKGSMSTSSGRTGESSAPAGTDPIAMMEFYMKKAAHEERRRQPKHSKDEMPPPASLQGLSKKGHHMGDYIPPVELEKFLSTCNDAAARKAATEAAERAKIQADNVGHKLLSKMGWKEGEGLGSSRNGISNPIMAGDVKKDHLGVGAHNPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.001G269900.12.v4.1 pep chromosome:Pop_tri_v4:1:28436101:28442051:1 gene:Potri.001G269900.v4.1 transcript:Potri.001G269900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269900.v4.1 MEKGAPSSLFVNDGSFMEKFKQLQQGNGKEQEKDKGAAEKDSKPKTIVSGSVTPKPSFGKVTMQLKANDGRKTPPTASGGKLAFSLKQKSKLMAPAVKLGEDEEDEDEVDAVNASGDVSAKRQKLGQLDTSEQSSRRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYEYRLAEEEKALSQSRDSQISSSGGTSTSASKSTGSYQSLQQQHSNYQIPASALYDDAEELKGSMSTSSGRTGESSAPAGTDPIAMMEFYMKKAAHEERRRQPKHSKDEMPPPASLQGLSKKGHHMGDYIPPVELEKFLSTCNDAAARKAATEAAERAKIQADNVGHKLLSKMGWKEGEGLGSSRNGISNPIMAGDVKKDHLGVGAHNPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.001G269900.8.v4.1 pep chromosome:Pop_tri_v4:1:28436118:28442066:1 gene:Potri.001G269900.v4.1 transcript:Potri.001G269900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269900.v4.1 MEKGAPSSLFVNDGSFMEKFKQLQQGNGKEQEKDKGAAEKDSKPKTIVSGSVTPKPSFGKVTMQLKANDGRKTPPTASGGKLAFSLKQKSKLMAPAVKLGEDEEDEDEVDAVNASGDVSAKRQKLGQLDTSEQSSRRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYEYRLAEEEKALSQSRDSQISSSGGTSTSASKSTGSYQSLQQQHSNYQIPASALYDDAEELKGSMSTSSGRTGESSAPAGTDPIAMMEFYMKKAAHEERRRQPKHSKDEMPPPASLQGLSKKGHHMGDYIPPVELEKFLSTCNDAAARKAATEAAERAKIQADNVGHKLLSKMGWKEGEGLGSSRNGISNPIMAGDVKKDHLGVGAHNPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.001G269900.9.v4.1 pep chromosome:Pop_tri_v4:1:28436097:28442075:1 gene:Potri.001G269900.v4.1 transcript:Potri.001G269900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269900.v4.1 MEKGAPSSLFVNDGSFMEKFKQLQQGNGKEQEKDKGAAEKDSKPKTIVSGSVTPKPSFGKVTMQLKANDGRKTPPTASGGKLAFSLKQKSKLMAPAVKLGEDEEDEDEVDAVNASGDVSAKRQKLGQLDTSEQSSRRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYEYRLAEEEKALSQSRDSQISSSGGTSTSASKSTGSYQSLQQQHSNYQIPASALYDDAEELKGSMSTSSGRTGESSAPAGTDPIAMMEFYMKKAAHEERRRQPKHSKDEMPPPASLQGLSKKGHHMGDYIPPVELEKFLSTCNDAAARKAATEAAERAKIQADNVGHKLLSKMGWKEGEGLGSSRNGISNPIMAGDVKKDHLGVGAHNPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.001G269900.2.v4.1 pep chromosome:Pop_tri_v4:1:28436098:28441743:1 gene:Potri.001G269900.v4.1 transcript:Potri.001G269900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269900.v4.1 MEKGAPSSLFVNDGSFMEKFKQLQQGNGKEQEKDKGAAEKDSKPKTIVSGSVTPKPSFGKVTMQLKANDGRKTPPTASGGKLAFSLKQKSKLMAPAVKLGEDEEDEDEVDAVNASGDVSAKRQKLGQLDTSEQSSRRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYEYRLAEEEKALSQSRDSQISSSGGTSTSASKSTGSYQSLQQQHSNYQIPASALYDDAEELKGSMSTSSGRTGESSAPAGTDPIAMMEFYMKKAAHEERRRQPKHSKDEMPPPASLQGLSKKGHHMGDYIPPVELEKFLSTCNDAAARKAATEAAERAKIQADNVGHKLLSKMGWKEGEGLGSSRNGISNPIMAGDVKKDHLGVGAHNPGEVTADDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.004G105500.1.v4.1 pep chromosome:Pop_tri_v4:4:9266874:9268789:-1 gene:Potri.004G105500.v4.1 transcript:Potri.004G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105500.v4.1 MKFNDNEFSKGKNSQHGTSKKLLLLAFSLVFLTIIPLYLFSTSHSPLSSPKIDVSDLRNIGMVKECDIFSGKWIPYPKGPYYTDATCSLIIDQHNCMKSGRPDTEFMKWRWRPDECELPFFNAKLFLELVKGKKMAFVGDSVGRNQMQSLLCLLASVTYPVDISHRYAVDTAYFKRWFYADYRFTLATLWSPFLVKSRDADPSGHSLNSLMSLYLDQADEAWASEIENFDYVIISAGQWFFRPLIYYMNGQVVGCHNCYMDNITAITKYFGYRMAFRTAFKTLQSLKNYKGITFLRTFSPSHFENGAWNEGGNCIRTMPFTTEEKKLDGYELEFYLTQVEELRKAQKKGRKRGAKFELLPTTEAMLLRPDGHPNHYGRSPHSNVTVADCVHWCLPGPIDTWNELLLYMMRREARGSFNEKLQKNISRKI >Potri.016G075400.1.v4.1 pep chromosome:Pop_tri_v4:16:5660791:5662115:-1 gene:Potri.016G075400.v4.1 transcript:Potri.016G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075400.v4.1 MMRGSTEIDMPESSSVSKGTAPLIAAPMKEKGGYKKGIAIFDFILRLAAIATALAAAASMGTSDETLPFFTQFFQFQASYDDLPTFQFFVIAMAIVAGYLVLSLPFSIVAIVRPHAAGPRLLLIILDTVALTLNTAAGAAAAAIVYLAHNGNSSTNWLAICQQFGDFCQKNSGAVVASFITVVIFVFLLVLSAFALRRH >Potri.013G037050.1.v4.1 pep chromosome:Pop_tri_v4:13:2418014:2418994:-1 gene:Potri.013G037050.v4.1 transcript:Potri.013G037050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037050.v4.1 MAGICSLNPTTSMTFKTIHGIRCCSGATDNENKSSTRTKTPQILKLAVSGVTELLRVFSFSGKERLEKVNNKDRDEISVSGIDDVIMILKSDYENAYFVTGIYLLIEFYTDCLVAFLISPLS >Potri.012G024700.7.v4.1 pep chromosome:Pop_tri_v4:12:2423616:2427819:-1 gene:Potri.012G024700.v4.1 transcript:Potri.012G024700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024700.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTQQITVRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRTWTKLLSKAGIVTSPFTRQENTIVQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDTEGNTPGSTKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLSYPSGTATAVLINGFHTPTGDKMARKQVHGFMKFFSLSFLWAFFQWFYSGGEKCGFSQFPALGLKARKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGLMWPLIGGLKGEWFPSTLSESSMKSLNGYKVFISISLILGDGLYNFLKILYFTARSMRARAKANKLKTEDKNQALDDLQRNEIFLREGIPLWVACLGYITFSIIAIIAIPFMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFLLAALAGKNNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTVIGCVVAPVTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFRLCYTGQLVERSFSQQYWEICSSPNGYGSAFPRWSLLRN >Potri.012G024700.8.v4.1 pep chromosome:Pop_tri_v4:12:2423510:2427469:-1 gene:Potri.012G024700.v4.1 transcript:Potri.012G024700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024700.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTQQITVRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRTWTKLLSKAGIVTSPFTRQENTIVQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDTEGNTPGSTKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLSYPSGTATAVLINGFHTPTGDKMARKQVHGFMKFFSLSFLWAFFQWFYSGGEKCGFSQFPALGLKARKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGLMWPLIGGLKGEWFPSTLSESSMKSLNGYKVFISISLILGDGLYNFLKILYFTARSMRARAKANKLKTEDKNQALDDLQRNEIFLREGIPLWVACLGYITFSIIAIIAIPFMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFLLAALAGKNNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTVIGCVVAPVTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFRLCYTGQLVERSFSQQYWEICSSPNGYGSAFPRWSLLRN >Potri.012G024700.6.v4.1 pep chromosome:Pop_tri_v4:12:2423619:2427819:-1 gene:Potri.012G024700.v4.1 transcript:Potri.012G024700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024700.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTQQITVRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRTWTKLLSKAGIVTSPFTRQENTIVQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDTEGNTPGSTKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLSYPSGTATAVLINGFHTPTGDKMARKQVHGFMKFFSLSFLWAFFQWFYSGGEKCGFSQFPALGLKARKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGLMWPLIGGLKGEWFPSTLSESSMKSLNGYKVFISISLILGDGLYNFLKILYFTARSMRARAKANKLKTEDKNQALDDLQRNEIFLREGIPLWVACLGYITFSIIAIIAIPFMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFLLAALAGKNNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTVIGCVVAPVTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFRLCYTGQLVERSFSQQYWEICSSPNGYGSAFPRWSLLRN >Potri.003G153400.1.v4.1 pep chromosome:Pop_tri_v4:3:16591160:16597961:1 gene:Potri.003G153400.v4.1 transcript:Potri.003G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G153400.v4.1 MEGATTNMWEILPDILSPGDAGGSNTLLFMEPSPSSSAELVRYQFPKTTDANLKGATTYTAAQKKRLADKAYRERCKELKMNTMNKLDELTIENDRLRRENDSLKKEEVQLVQTLQRQKDEMKQLEKEFGQLKGQLNSQNTVVEVLLKRLTGSNYKDLQRENTQLKHDINLLTKRINNPENMNVIHLRAKIEQLENEKHSLQVIIDALCEKINKDKDRLGPQELASQEEHVQMKRNCSIQKFEDGGGLPPLAALQDLLNWDL >Potri.016G088700.1.v4.1 pep chromosome:Pop_tri_v4:16:7075958:7077031:-1 gene:Potri.016G088700.v4.1 transcript:Potri.016G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088700.v4.1 MRKQLLSPFVPFLMFFLYSSTTFAQTPSPAPSGPTNITAILAKAGQFTTLIRLLKSTQEADQINTQLNNSNQGLTVFAPTDNSFANLKAGTLNSLSDQQKVQLVQFHILPNFLSMSNFQTVSNPLRTQAGNSADGEFPLNVTTSGNQVNITTGVNTATVANTIYTDGQLVVYQVDQVLLPLDLFGTAPAPAPAPSKPEKDVPAKAPAGSKEDASVDSSGASIATVSFGVVLIAAISLKL >Potri.003G094100.1.v4.1 pep chromosome:Pop_tri_v4:3:12029126:12030759:1 gene:Potri.003G094100.v4.1 transcript:Potri.003G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094100.v4.1 MADDMRGVVGYALLPKKQQSFIQDSLLSLCKSRGIDLVRIDQDRRLIDQGPFDCVLHKMYGDDWRKQLEEFQIQNPNSTIIDSPVSIQRLHNRISMLQAVSELKIESGTDTFGIPKQIVIYDKESLFDRQSWEFLKYPVIAKPLIADGSAKSHKMALVFNHEGLNKLKPPIVLQEFVNHGGVIFKVYVVGEFVKCVKRKSLPDVSEEKLKGLEGSLPFSQVSNLTSDERNDDKYYKLMDLEETELPPQSFITDIARGLRRGLKLNLFNFDVIRDARIGNRYLVIDINYFPGYAKMPGYETVLTDFFCDVVGKKSSSEEKEIGGVEKLQVVRNCDVDVTKIVSKTCCSDGED >Potri.007G062041.1.v4.1 pep chromosome:Pop_tri_v4:7:7031008:7031694:1 gene:Potri.007G062041.v4.1 transcript:Potri.007G062041.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062041.v4.1 MNKKENGTIGFCDSPPATCPLDPSPARRQWKQASLLVLALSWLGEEGFSKGFRFNPATGSPTATLLRLHPSRRPHRGMRQ >Potri.002G196600.1.v4.1 pep chromosome:Pop_tri_v4:2:15931996:15935692:-1 gene:Potri.002G196600.v4.1 transcript:Potri.002G196600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196600.v4.1 MGDVALFVDDLRTSCAIPHCRICHEAEFESCKSLEAPCACSGTVKFAHRECIQRWCNEKGNTNCEICLQNYEPGYTAPSKTCELIEAMTIRDSLEIPRREHDPENQEIEATSERTTADSRSSCFRFLAITITALMLSRHLFAAITGGTEDYPFTLATMLVLRACGILFPMYVVFRTLAAIHKSIRYQYQDSDDDDDEEDYNSNSEGDEQQHLV >Potri.008G129650.1.v4.1 pep chromosome:Pop_tri_v4:8:8485437:8485926:-1 gene:Potri.008G129650.v4.1 transcript:Potri.008G129650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129650.v4.1 MKRRGLMNWAGKAKHYGAFIVIISPASGFSLFFVPHAHTLVLQSVSKSREREARFYCISYTNSECPAHVLILFLMAPNLSSMQSFGVVL >Potri.016G076000.1.v4.1 pep chromosome:Pop_tri_v4:16:5705039:5707123:-1 gene:Potri.016G076000.v4.1 transcript:Potri.016G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076000.v4.1 MDMPGFRFYPTEEELVSFYLRNKLEARREDLLRVMDRLIPVLDIYGFNPWELPQFSGDLCHGDPEECFFFIPRQKSEAHGGRPKRLTATGYWKATGSPGSVYSSKSNRCIGEKRTMVFYNGRAPNGQKTDWKMNEYKAIKEEEASSSSGAYPKLREEFSLCRVYKKSNFVRAFDRRPLGVENMVQMRAQTAPDDGATAFHQSPSAGEITCSHDSSTSGHHGQPFQTGEISDMAIDNEPIWEWEQLDQYWSKQGHSDQQ >Potri.011G119900.1.v4.1 pep chromosome:Pop_tri_v4:11:15086581:15087024:-1 gene:Potri.011G119900.v4.1 transcript:Potri.011G119900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119900.v4.1 MTENLVASPSQLIILTLVLLSVALTVLLVVMVYGLVSLCWSCLENRLDILLSQDHHPHDVERGQVTGKEQPATVVSLRFSIIQVDETTEYFSNGCAICLDDFQKGVDCCVLSSCKHVFHSSCLKQWLELNLTCPLCRSYAYDDMLLC >Potri.013G056000.6.v4.1 pep chromosome:Pop_tri_v4:13:4082886:4086392:1 gene:Potri.013G056000.v4.1 transcript:Potri.013G056000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056000.v4.1 MAADMAEFLWRKSLNALQEAETELFFQFTLASHFRRIKQVMESKNIKICLSQAPSICDMLYDLNDVLVECQSFAHQRKAHKKNRILFIPSMDRRFVDKRKKRLDDIKKKFYEMVVDGEGQSIPLRNSPLKSREGNGSEILGFDDNIKEIESMLFENISNENGVEFKIIGIWGMCGTGKSTLASKVFNSEKVSSQFSRKIWICLSGIQGGEKDMGIEICKLMLKELDYDIDELKTDDITMMELSQTLQCLLAYERYLIVFDDVWPRHANILATDISSAGVLPRGCNGTVIVTTRLQKVATRFGAEKIHLPPPLMKQGCWNIFEHTVKSGLCNKSEIGFDQCLQKIEDEVQEDGFKLIGICGVRNARKTTLARKVFDSVLSRKFSRKIWVCLSGIQKNDQDIGAKILKLVLEGLDYDLNESIIDDDLTVIELLGTLKHLLSNENYLIVFDDVWPWHAKFLAEKTISSGGLPRNSGGAVIVTYRLKEVAEEIGCMNVIHLQHPELEDCGKIFQRTLQYDPGTWERIKFEIECKIGDLPLAAKTLGEIFLEKIRESEISSPTS >Potri.013G056000.5.v4.1 pep chromosome:Pop_tri_v4:13:4082926:4086339:1 gene:Potri.013G056000.v4.1 transcript:Potri.013G056000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056000.v4.1 MAADMAEFLWRKSLNALQEAETELFFQFTLASHFRRIKQVMESKNIKICLSQAPSICDMLYDLNDVLVECQSFAHQRKAHKKNRILFIPSMDRRFVDKRKKRLDDIKKKFYEMVVDGEGQSIPLRNSPLKSREGNGSEILGFDDNIKEIESMLFENISNENGVEFKIIGIWGMCGTGKSTLASKVFNSEKVSSQFSRKIWICLSGIQGGEKDMGIEICKLMLKELDYDIDELKTDDITMMELSQTLQCLLAYERYLIVFDDVWPRHANILATDISSAGVLPRGCNGTVIVTTRLQKVATRFGAEKIHLPPPLMKQGCWNIFEHTVKSGLCNKSEIGFDQCLQKIEDEVQEDGFKLIGICGVRNARKTTLARKVFDSVLSRKFSRKIWVCLSGIQKNDQDIGAKILKLVLEGLDYDLNESIIDDDLTVIELLGTLKHLLSNENYLIVFDDVWPWHAKFLAEKTISSGGLPRNSGGAVIVTYRLKEVAEEIGCMNVIHLQHPELEDCGKIFQRTLQYDPGTWERIKFEIECKIGDLPLAAKTLGEIFLEKIRESEISSPTS >Potri.015G042800.10.v4.1 pep chromosome:Pop_tri_v4:15:3982002:3988413:-1 gene:Potri.015G042800.v4.1 transcript:Potri.015G042800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042800.v4.1 MGMEREKEQEFEWLKAQKIEITVDLLAAAKQQLQFLAAVDKNRWLYDGPTLDRAIFRYNACWLPLLAKHLESPISEGPLVVPLDCEWIWHCHRLNPLRYKSDCEELYGKILDYSDVVSSVNGVCKRQTEEIWNRFYPHERYDFDLAFSEAVNEKISTLEKCTNYDLVSAVKRQSPFFYQVSRPHMNNDIFLQGAIARYKGFLHIIKRNWEKSINCFCVPTYDIDLIWHTHQLHPVSYCKDVSQALGRILAHDDMDSDRSKGKKLDVGFSGTTRHWEETFGRRYWKAGAMYRGSDPSPLTTIPFQSNILSKELEKSNQNKKMIELSEQKIVEVLLEIVGVKNLPERHKGNLFVMFNKKQPDVFYNVKRKLTILSESGDKHVASFQCEPKGELFFELVSYSPSNLPLTKVCKTMGTTSFSLEDFLNPVSELSVERWVELQPTSGNMISKPICLRIAVSFSVPIQAPYELHMIRSRAQSKSSCFFPLPGRAQHPNIWTSVVEKTDAEIISLQMRNSTKAKEKERSILKQQVTGVMKTGETCILAEFVGTRWCLMDSQWYLEPKKKSNEDGHLFELIGCRMVKLFQGKKLDFEPKHCEKKRSKQDFMTAVEFSAEYPYGKAVALLDLKSGFVKVKESWLVLPAIISAFILSDILKKEGYNGFTSNRENLEVDSLVEKAKGFHEEPEQISLTAASEGNMELNVDVAKGSIVRSGNCGGGCGGCGSGCGDMVRSGNCGGGCGGCGSGCGDMVSGNSGDSGCGDTMKSGNSGGCGGCGGGCGGGCGNMVRSGNSGDSGCGDTMKSGNSGGCGGCGGCGGCGGGCGNMVRSGN >Potri.015G042800.14.v4.1 pep chromosome:Pop_tri_v4:15:3982075:3988319:-1 gene:Potri.015G042800.v4.1 transcript:Potri.015G042800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042800.v4.1 MGMEREKEQEFEWLKAQKIEITVDLLAAAKQQLQFLAAVDKNRWLYDGPTLDRAIFRYNACWLPLLAKHLESPISEGPLVVPLDCEWIWHCHRLNPLRYKSDCEELYGKILDYSDVVSSVNGVCKRQTEEIWNRFYPHERYDFDLAFSEAVNEKISTLEKCTNYDLVSAVKRQSPFFYQVSRPHMNNDIFLQGAIARYKGFLHIIKRNWEKSINCFCVPTYDIDLIWHTHQLHPVSYCKDVSQALGRILAHDDMDSDRSKGKKLDVGFSGTTRHWEETFGRRYWKAGAMYRGSDPSPLTTIPFQSNILSKELEKSNQNKKMIELSEQKIVEVLLEIVGVKNLPERHKGNLFVMFNKKQPDVFYNVKRKLTILSESGDKHVASFQCEPKGELFFELVSYSPSNLPLTKVCKTMGTTSFSLEDFLNPVSELSVERWVELQPTSGNMISKPICLRIAVSFSVPIQAPYELHMIRSRAQSKSSCFFPLPGRAQHPNIWTSVVEKTDAEIISLQMRNSTKAKEKERSILKQQVTGVMKTGETCILAEFVGTRWCLMDSQWYLEPKKKSNEDGHLFELIGCRMVKLFQGKKLDFEPKHCEKKRSKQDFMTAVEFSAEYPYGKAVALLDLKSGFVKVKESWLVLPAIISAFILSDILKKEGYNGFTSNRENLEVDSLVEKAKGFHEEPEQISLTAASEGNMELNVDVAKGSIVRSGNCGGGCGGCGSGCGDMVRSGNCGGGCGGCGSGCGDMVSGNSGDSGCGDTMKSGNSGGCGGCGGGCGGGCGNMVRSGNSGDSGCGDTMKSGNSGGCGGCGGCGGCGGGCGNMVRSGN >Potri.015G042800.13.v4.1 pep chromosome:Pop_tri_v4:15:3982056:3988319:-1 gene:Potri.015G042800.v4.1 transcript:Potri.015G042800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042800.v4.1 MGMEREKEQEFEWLKAQKIEITVDLLAAAKQQLQFLAAVDKNRWLYDGPTLDRAIFRYNACWLPLLAKHLESPISEGPLVVPLDCEWIWHCHRLNPLRYKSDCEELYGKILDYSDVVSSVNGVCKRQTEEIWNRFYPHERYDFDLAFSEAVNEKISTLEKCTNYDLVSAVKRQSPFFYQVSRPHMNNDIFLQGAIARYKGFLHIIKRNWEKSINCFCVPTYDIDLIWHTHQLHPVSYCKDVSQALGRILAHDDMDSDRSKGKKLDVGFSGTTRHWEETFGRRYWKAGAMYRGSDPSPLTTIPFQSNILSKELEKSNQNKKMIELSEQKIVEVLLEIVGVKNLPERHKGNLFVMFNKKQPDVFYNVKRKLTILSESGDKHVASFQCEPKGELFFELVSYSPSNLPLTKVCKTMGTTSFSLEDFLNPVSELSVERWVELQPTSGNMISKPICLRIAVSFSVPIQAPYELHMIRSRAQSKSSCFFPLPGRAQHPNIWTSVVEKTDAEIISLQMRNSTKAKEKERSILKQQVTGVMKTGETCILAEFVGTRWCLMDSQWYLEPKKKSNEDGHLFELIGCRMVKLFQGKKLDFEPKHCEKKRSKQDFMTAVEFSAEYPYGKAVALLDLKSGFVKVKESWLVLPAIISAFILSDILKKEGYNGFTSNRENLEVDSLVEKAKGFHEEPEQISLTAASEGNMELNVDVAKGSIVRSGNCGGGCGGCGSGCGDMVRSGNCGGGCGGCGSGCGDMVSGNSGDSGCGDTMKSGNSGGCGGCGGGCGGGCGNMVRSGNSGDSGCGDTMKSGNSGGCGGCGGCGGCGGGCGNMVRSGN >Potri.015G042800.12.v4.1 pep chromosome:Pop_tri_v4:15:3981967:3988377:-1 gene:Potri.015G042800.v4.1 transcript:Potri.015G042800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042800.v4.1 MGMEREKEQEFEWLKAQKIEITVDLLAAAKQQLQFLAAVDKNRWLYDGPTLDRAIFRYNACWLPLLAKHLESPISEGPLVVPLDCEWIWHCHRLNPLRYKSDCEELYGKILDYSDVVSSVNGVCKRQTEEIWNRFYPHERYDFDLAFSEAVNEKISTLEKCTNYDLVSAVKRQSPFFYQVSRPHMNNDIFLQGAIARYKGFLHIIKRNWEKSINCFCVPTYDIDLIWHTHQLHPVSYCKDVSQALGRILAHDDMDSDRSKGKKLDVGFSGTTRHWEETFGRRYWKAGAMYRGSDPSPLTTIPFQSNILSKELEKSNQNKKMIELSEQKIVEVLLEIVGVKNLPERHKGNLFVMFNKKQPDVFYNVKRKLTILSESGDKHVASFQCEPKGELFFELVSYSPSNLPLTKVCKTMGTTSFSLEDFLNPVSELSVERWVELQPTSGNMISKPICLRIAVSFSVPIQAPYELHMIRSRAQSKSSCFFPLPGRAQHPNIWTSVVEKTDAEIISLQMRNSTKAKEKERSILKQQVTGVMKTGETCILAEFVGTRWCLMDSQWYLEPKKKSNEDGHLFELIGCRMVKLFQGKKLDFEPKHCEKKRSKQDFMTAVEFSAEYPYGKAVALLDLKSGFVKVKESWLVLPAIISAFILSDILKKEGYNGFTSNRENLEVDSLVEKAKGFHEEPEQISLTAASEGNMELNVDVAKGSIVRSGNCGGGCGGCGSGCGDMVRSGNCGGGCGGCGSGCGDMVSGNSGDSGCGDTMKSGNSGGCGGCGGGCGGGCGNMVRSGNSGDSGCGDTMKSGNSGGCGGCGGCGGCGGGCGNMVRSGN >Potri.015G042800.8.v4.1 pep chromosome:Pop_tri_v4:15:3981128:3988411:-1 gene:Potri.015G042800.v4.1 transcript:Potri.015G042800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042800.v4.1 MGMEREKEQEFEWLKAQKIEITVDLLAAAKQQLQFLAAVDKNRWLYDGPTLDRAIFRYNACWLPLLAKHLESPISEGPLVVPLDCEWIWHCHRLNPLRYKSDCEELYGKILDYSDVVSSVNGVCKRQTEEIWNRFYPHERYDFDLAFSEAVNEKISTLEKCTNYDLVSAVKRQSPFFYQVSRPHMNNDIFLQGAIARYKGFLHIIKRNWEKSINCFCVPTYDIDLIWHTHQLHPVSYCKDVSQALGRILAHDDMDSDRSKGKKLDVGFSGTTRHWEETFGRRYWKAGAMYRGSDPSPLTTIPFQSNILSKELEKSNQNKKMIELSEQKIVEVLLEIVGVKNLPERHKGNLFVMFNKKQPDVFYNVKRKLTILSESGDKHVASFQCEPKGELFFELVSYSPSNLPLTKVCKTMGTTSFSLEDFLNPVSELSVERWVELQPTSGNMISKPICLRIAVSFSVPIQAPYELHMIRSRAQSKSSCFFPLPGRAQHPNIWTSVVEKTDAEIISLQMRNSTKAKEKERSILKQQVTGVMKTGETCILAEFVGTRWCLMDSQWYLEPKKKSNEDGHLFELIGCRMVKLFQGKKLDFEPKHCEKKRSKQDFMTAVEFSAEYPYGKAVALLDLKSGFVKVKESWLVLPAIISAFILSDILKKEGYNGFTSNRENLEVDSLVEKAKGFHEEPEQISLTAASEGNMELNVDVAKGSIVRSGNCGGGCGGCGSGCGDMVRSGNCGGGCGGCGSGCGDMVSGNSGDSGCGDTMKSGNSGGCGGCGGGCGGGCGNMVRSGNSGDSGCGDTMKSGNSGGCGGCGGCGGCGGGCGNMVRSGN >Potri.015G042800.11.v4.1 pep chromosome:Pop_tri_v4:15:3981017:3988411:-1 gene:Potri.015G042800.v4.1 transcript:Potri.015G042800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042800.v4.1 MGMEREKEQEFEWLKAQKIEITVDLLAAAKQQLQFLAAVDKNRWLYDGPTLDRAIFRYNACWLPLLAKHLESPISEGPLVVPLDCEWIWHCHRLNPLRYKSDCEELYGKILDYSDVVSSVNGVCKRQTEEIWNRFYPHERYDFDLAFSEAVNEKISTLEKCTNYDLVSAVKRQSPFFYQVSRPHMNNDIFLQGAIARYKGFLHIIKRNWEKSINCFCVPTYDIDLIWHTHQLHPVSYCKDVSQALGRILAHDDMDSDRSKGKKLDVGFSGTTRHWEETFGRRYWKAGAMYRGSDPSPLTTIPFQSNILSKELEKSNQNKKMIELSEQKIVEVLLEIVGVKNLPERHKGNLFVMFNKKQPDVFYNVKRKLTILSESGDKHVASFQCEPKGELFFELVSYSPSNLPLTKVCKTMGTTSFSLEDFLNPVSELSVERWVELQPTSGNMISKPICLRIAVSFSVPIQAPYELHMIRSRAQSKSSCFFPLPGRAQHPNIWTSVVEKTDAEIISLQMRNSTKAKEKERSILKQQVTGVMKTGETCILAEFVGTRWCLMDSQWYLEPKKKSNEDGHLFELIGCRMVKLFQGKKLDFEPKHCEKKRSKQDFMTAVEFSAEYPYGKAVALLDLKSGFVKVKESWLVLPAIISAFILSDILKKEGYNGFTSNRENLEVDSLVEKAKGFHEEPEQISLTAASEGNMELNVDVAKGSIVRSGNCGGGCGGCGSGCGDMVRSGNCGGGCGGCGSGCGDMVSGNSGDSGCGDTMKSGNSGGCGGCGGGCGGGCGNMVRSGNSGDSGCGDTMKSGNSGGCGGCGGCGGCGGGCGNMVRSGN >Potri.015G042800.9.v4.1 pep chromosome:Pop_tri_v4:15:3981083:3988377:-1 gene:Potri.015G042800.v4.1 transcript:Potri.015G042800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042800.v4.1 MGMEREKEQEFEWLKAQKIEITVDLLAAAKQQLQFLAAVDKNRWLYDGPTLDRAIFRYNACWLPLLAKHLESPISEGPLVVPLDCEWIWHCHRLNPLRYKSDCEELYGKILDYSDVVSSVNGVCKRQTEEIWNRFYPHERYDFDLAFSEAVNEKISTLEKCTNYDLVSAVKRQSPFFYQVSRPHMNNDIFLQGAIARYKGFLHIIKRNWEKSINCFCVPTYDIDLIWHTHQLHPVSYCKDVSQALGRILAHDDMDSDRSKGKKLDVGFSGTTRHWEETFGRRYWKAGAMYRGSDPSPLTTIPFQSNILSKELEKSNQNKKMIELSEQKIVEVLLEIVGVKNLPERHKGNLFVMFNKKQPDVFYNVKRKLTILSESGDKHVASFQCEPKGELFFELVSYSPSNLPLTKVCKTMGTTSFSLEDFLNPVSELSVERWVELQPTSGNMISKPICLRIAVSFSVPIQAPYELHMIRSRAQSKSSCFFPLPGRAQHPNIWTSVVEKTDAEIISLQMRNSTKAKEKERSILKQQVTGVMKTGETCILAEFVGTRWCLMDSQWYLEPKKKSNEDGHLFELIGCRMVKLFQGKKLDFEPKHCEKKRSKQDFMTAVEFSAEYPYGKAVALLDLKSGFVKVKESWLVLPAIISAFILSDILKKEGYNGFTSNRENLEVDSLVEKAKGFHEEPEQISLTAASEGNMELNVDVAKGSIVRSGNCGGGCGGCGSGCGDMVRSGNCGGGCGGCGSGCGDMVSGNSGDSGCGDTMKSGNSGGCGGCGGGCGGGCGNMVRSGNSGDSGCGDTMKSGNSGGCGGCGGCGGCGGGCGNMVRSGN >Potri.012G084400.1.v4.1 pep chromosome:Pop_tri_v4:12:11009709:11017923:-1 gene:Potri.012G084400.v4.1 transcript:Potri.012G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084400.v4.1 MASTSKKTTPSPPCCDSTTPAPPPIRRDATSSSSLVPQDWTVPSADRRPVVDSLPEPSKTASGTKTVIPVMLRAQTSHPLDPLSAAEISVAVATVRAAGATPELRDSMRFVEVVLFEPDKHVVALADAYFFPPFQPSLLPRSKGGPIIPTKLPPRRARLVVYNKRSNETSLWIVELSEVHAATRGGHHRGKVISSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLLMVDAWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMRVIEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIIQPEGPSFRANGHYVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGIETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCRPGEAFNQVVEVNVEVEKPGEKNVHNNAFYAKETLLRSELEAMRACNPQTARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLNHNLWVTPYTHGEMFPGGEFPNQNPRVDEGLATWVKQNRPLEETDIVLWYVFGITHVPRLEDWPVMPVERLGFMLMPHGFFNCSPAVDVPPSTCELDAKDNDVKDNGVTKPLQNGVLAKL >Potri.012G084400.2.v4.1 pep chromosome:Pop_tri_v4:12:11009719:11015297:-1 gene:Potri.012G084400.v4.1 transcript:Potri.012G084400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084400.v4.1 MRFVEVVLFEPDKHVVALADAYFFPPFQPSLLPRSKGGPIIPTKLPPRRARLVVYNKRSNETSLWIVELSEVHAATRGGHHRGKVISSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMDLLMVDAWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMRVIEFEDRKLVPLPPADPLRNYTPGETRGGVDRSDVKPLQIIQPEGPSFRANGHYVEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPIAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGIETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCRPGEAFNQVVEVNVEVEKPGEKNVHNNAFYAKETLLRSELEAMRACNPQTARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGSEAKFLRRAAFLNHNLWVTPYTHGEMFPGGEFPNQNPRVDEGLATWVKQNRPLEETDIVLWYVFGITHVPRLEDWPVMPVERLGFMLMPHGFFNCSPAVDVPPSTCELDAKDNDVKDNGVTKPLQNGVLAKL >Potri.016G028400.2.v4.1 pep chromosome:Pop_tri_v4:16:1574888:1576247:-1 gene:Potri.016G028400.v4.1 transcript:Potri.016G028400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028400.v4.1 MKTFEPSMVFLGGNHIENYLAYELQCDNMHCYTTVTRNATYLTNWIAELLSAFVPHGGRRLRVSMDMIWDQFVNDANLYPPVTLQFCYEHTCIIYQVSPPDNFPRSSLEHFLNHDHVDFFGFEMLYKVQYLRQAYNLVVRNWFDIPCQACLANPTRYRNVANLSIQNMVSMDFSKQYIKPSNFLQSDWRSNILPIDKVKYATLDCFFAYKFAIRVSNFPRPN >Potri.006G052900.1.v4.1 pep chromosome:Pop_tri_v4:6:3678515:3682857:-1 gene:Potri.006G052900.v4.1 transcript:Potri.006G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052900.v4.1 MGNCCVTPSGNPEKTKHKKKHNRYALDYGLHDGGHKLIVLKDPTGKELEQRYELGTELGRGEFGITYLCTDKDTGENFACKCISKKKLKTDIDIEDVRREVEIMKRMPQHPNLVTLKDTYEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAGVTKTIVEVVQICHEHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRNYGQEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVVDFKRDPWPKVSDNAKDLVRKMLDPDPKCRLTAQQVLDHPWLQNAKKAPNVSLGETVRSKLKQFSIMNKLKKRAMRVIAEHLSVEEAAGIKEGFQLMDTGNKGKINIDELRVGLQKLGQQVLESDLQILMEVGDTDRDGYLDYGEFVAITVHLKKMGNDEHLRQAFKFFDQNQSGYIEIDELRGALADEVDGSNEEVINAIINDVDTDKDGKISYEEFTTMMKAGTDWRKASRQYSRERFNSLSLKLMRDGSLKLANEGR >Potri.018G059100.2.v4.1 pep chromosome:Pop_tri_v4:18:5990859:5991479:1 gene:Potri.018G059100.v4.1 transcript:Potri.018G059100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G059100.v4.1 MSMCDAERRLLANALLDILNERFVLVSESCIPLFNFTFVYGYIMRSKHSFIGAFDDHGPYGRGRYNENMAPEVNITNWRKGSQWFEINRKLAVNIVEDTTFYPKFEEFCKPHCYVDEHYFPTMLTVRTAPLLANRTLTWVDWSRGGAHPATFGRADIKEEFFKKVHEDKHCIYNNQSTSICFLFARKFAPSALEPLLHISRNVLGF >Potri.018G059100.1.v4.1 pep chromosome:Pop_tri_v4:18:5987580:5992162:1 gene:Potri.018G059100.v4.1 transcript:Potri.018G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G059100.v4.1 MQSRVVPLEEGKDPAVSIKASQSKHSPIRLLQFFLLFLAVCISFSIISMYTIKRSGVQTAGTTVKPAFKHCFDEPNTLDRWIRRPLNLLHKMSDEELFWRASFVPRIKKYPFKRVPKIAFMFLTKGPLPLAPLWEKFLKGHEGLYSVYIHSLPTFEAKFPPSSVFHRRQIPSQISEWGKMSMCDAERRLLANALLDILNERFVLVSESCIPLFNFTFVYGYIMRSKHSFIGAFDDHGPYGRGRYNENMAPEVNITNWRKGSQWFEINRKLAVNIVEDTTFYPKFEEFCKPHCYVDEHYFPTMLTVRTAPLLANRTLTWVDWSRGGAHPATFGRADIKEEFFKKVHEDKHCIYNNQSTSICFLFARKFAPSALEPLLHISRNVLGF >Potri.017G031600.2.v4.1 pep chromosome:Pop_tri_v4:17:2140520:2141996:-1 gene:Potri.017G031600.v4.1 transcript:Potri.017G031600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031600.v4.1 MRSDCLSQISITILLHAGNQTTPLSNGERYYYCTREINYSREVLGRGWWKATSHVKKIHANNDDQLLVGNKRPLTFHRFKDNERNRNNAVKTNWIMYEYSLESRTTLWKLCKIKHKGKPSVQEEMESMREQYSSRNDFEAGSSTNFVGGQQQQEQTILPTNYEGYDQHQSYYQWNNMQQLPPSPYDPYLPAPPSTSSGHYYVEQQEKLEPSDEHPFPSLWSWTN >Potri.017G031600.1.v4.1 pep chromosome:Pop_tri_v4:17:2140521:2141996:-1 gene:Potri.017G031600.v4.1 transcript:Potri.017G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031600.v4.1 MVPHGFRFNPTDEELIQFLDRKASGQEMPLHFILETNVYEREPQDLEWNQTTPLSNGERYYYCTREINYSREVLGRGWWKATSHVKKIHANNDDQLLVGNKRPLTFHRFKDNERNRNNAVKTNWIMYEYSLESRTTLWKLCKIKHKGKPSVQEEMESMREQYSSRNDFEAGSSTNFVGGQQQQEQTILPTNYEGYDQHQSYYQWNNMQQLPPSPYDPYLPAPPSTSSGHYYVEQQEKLEPSDEHPFPSLWSWTN >Potri.019G053000.1.v4.1 pep chromosome:Pop_tri_v4:19:8887227:8895092:1 gene:Potri.019G053000.v4.1 transcript:Potri.019G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053000.v4.1 MKALVIPPVSLPSDSKSRRSHWCSVFPAASFLFLIFFAVYAFIAPDYKERLSRWGIADTFQNFKFSNCKNQCRPPGSESLPEGIVSKTSNFQMRPLWGFPKNDENSSINLLAVAVGITQRDLVNKMVKKFLSSNFSVMLFHYDGIVDEWRDFEWNDRVIHVSARNQTKWWFAKRFLHPDIVAACNYIFLWDEDLGVENFNPKQYVSIVKSEGLHISQPALDYKSLVHQQITVRASKSGVHRRTYKPGICDGNSTAPPCTGWVEMMAPVFSRAAWRCVWYMIQNDLIHAWGLDYQLGYCSQGDRTKNIGIVDAEYIVHYGHPTLGGVVENEEPSRSQKTDPRLEVRRQSLIELRIFQKRWKEAVEEDQCWIDPYKEAVKESS >Potri.004G231700.4.v4.1 pep chromosome:Pop_tri_v4:4:23696823:23704480:-1 gene:Potri.004G231700.v4.1 transcript:Potri.004G231700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231700.v4.1 MGSRILVFLVVALIQVCTTTPAVTNNDDFNALKALKDVWDNVPPTWVGADPCGSRWDGIVCTNSRVTSITLASMGLKGTLSGDISLLSELQILDLSYNTELTGSLPLAIGDLKKLTNLILVRCRFSGPIPDAIGSLSQLTDLSLNSNRFSGSIPPSLGNLDKLFWLDLADNMLTGTIPVSTGTTPGLDLLVHTKHFHLGFNQLTGQIPPKLFSSGMNLIHVLLESNKLTGSIPSTLGLVKSLEVVRLDNNSLTGPVPSNINNLTSVSEMFLSNNGLTGPLPNLTGMDHLTYLDMSNNTFGATDFPPWFSTLQSLTTLVMEKTQLQGQIPSDFFSLSNLQTLDARNNKFNGTLDIRTSSINQLSLIDLRENQISAFTERPGIEKVGVILVDNPVCQETGVTESYCSVSQNESSYSTPLNNCVASSCFANQISSPNCKCAFPYTGLLQFRAPSFSNLGNDTYYTVLEQSLMNSFKFHQLPVDSVNLSHPRKDSSTYLVMNLQVFPFGQDRFNWTGISRIGFALSNQIFKPPSQFGPFVFHGDTYLNFAEEVTGSNKSSNTGVIIGAVAGGSVLLLLLLGAGLYAHRQKKRAEKATEQNNPFAQWESNKSIGGVPQLKGARNFSFEELRKYSNNFSETNDIGSGGYGNVYRGVLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLIGFCFDRGEQMLVYEFVPNGSLMESLSGKTGIRLDWVRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDERLNAKVADFGLSKPMGDSETGHLTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELLTGKRPIEKGKYVVREVKTALDRAKYLYNLGELLDSSIGLDTTLKGLDKFVDVALKCVEENGSDRPTMGEVVKEIENILHLAGLNPNADSASTSASYDDASKGNAKHPYIFSKDAFDYSGDFPASKVEPL >Potri.004G231700.5.v4.1 pep chromosome:Pop_tri_v4:4:23696786:23704211:-1 gene:Potri.004G231700.v4.1 transcript:Potri.004G231700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231700.v4.1 MGSRILVFLVVALIQVCTTTPAVTNNDDFNALKALKDVWDNVPPTWVGADPCGSRWDGIVCTNSRVTSITLASMGLKGTLSGDISLLSELQILDLSYNTELTGSLPLAIGDLKKLTNLILVRCRFSGPIPDAIGSLSQLTDLSLNSNRFSGSIPPSLGNLDKLFWLDLADNMLTGTIPVSTGTTPGLDLLVHTKHFHLGFNQLTGQIPPKLFSSGMNLIHVLLESNKLTGSIPSTLGLVKSLEVVRLDNNSLTGPVPSNINNLTSVSEMFLSNNGLTGPLPNLTGMDHLTYLDMSNNTFGATDFPPWFSTLQSLTTLVMEKTQLQGQIPSDFFSLSNLQTLDARNNKFNGTLDIRTSSINQLSLIDLRENQISAFTERPGIEKVGVILVDNPVCQETGVTESYCSVSQNESSYSTPLNNCVASSCFANQISSPNCKCAFPYTGLLQFRAPSFSNLGNDTYYTVLEQSLMNSFKFHQLPVDSVNLSHPRKDSSTYLVMNLQVFPFGQDRFNWTGISRIGFALSNQIFKPPSQFGPFVFHGDTYLNFAEVTGSNKSSNTGVIIGAVAGGSVLLLLLLGAGLYAHRQKKRAEKATEQNNPFAQWESNKSIGGVPQLKGARNFSFEELRKYSNNFSETNDIGSGGYGNVYRGVLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLIGFCFDRGEQMLVYEFVPNGSLMESLSGKTGIRLDWVRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDERLNAKVADFGLSKPMGDSETGHLTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELLTGKRPIEKGKYVVREVKTALDRAKYLYNLGELLDSSIGLDTTLKGLDKFVDVALKCVEENGSDRPTMGEVVKEIENILHLAGLNPNADSASTSASYDDASKGNAKHPYIFSKDAFDYSGDFPASKVEPL >Potri.004G231700.1.v4.1 pep chromosome:Pop_tri_v4:4:23696755:23704480:-1 gene:Potri.004G231700.v4.1 transcript:Potri.004G231700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231700.v4.1 MGSRILVFLVVALIQVCTTTPAVTNNDDFNALKALKDVWDNVPPTWVGADPCGSRWDGIVCTNSRVTSITLASMGLKGTLSGDISLLSELQILDLSYNTELTGSLPLAIGDLKKLTNLILVRCRFSGPIPDAIGSLSQLTDLSLNSNRFSGSIPPSLGNLDKLFWLDLADNMLTGTIPVSTGTTPGLDLLVHTKHFHLGFNQLTGQIPPKLFSSGMNLIHVLLESNKLTGSIPSTLGLVKSLEVVRLDNNSLTGPVPSNINNLTSVSEMFLSNNGLTGPLPNLTGMDHLTYLDMSNNTFGATDFPPWFSTLQSLTTLVMEKTQLQGQIPSDFFSLSNLQTLDARNNKFNGTLDIRTSSINQLSLIDLRENQISAFTERPGIEKVGVILVDNPVCQETGVTESYCSVSQNESSYSTPLNNCVASSCFANQISSPNCKCAFPYTGLLQFRAPSFSNLGNDTYYTVLEQSLMNSFKFHQLPVDSVNLSHPRKDSSTYLVMNLQVFPFGQDRFNWTGISRIGFALSNQIFKPPSQFGPFVFHGDTYLNFAGKEVTGSNKSSNTGVIIGAVAGGSVLLLLLLGAGLYAHRQKKRAEKATEQNNPFAQWESNKSIGGVPQLKGARNFSFEELRKYSNNFSETNDIGSGGYGNVYRGVLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLIGFCFDRGEQMLVYEFVPNGSLMESLSGKTGIRLDWVRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDERLNAKVADFGLSKPMGDSETGHLTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELLTGKRPIEKGKYVVREVKTALDRAKYLYNLGELLDSSIGLDTTLKGLDKFVDVALKCVEENGSDRPTMGEVVKEIENILHLAGLNPNADSASTSASYDDASKGNAKHPYIFSKDAFDYSGDFPASKVEPL >Potri.004G231700.3.v4.1 pep chromosome:Pop_tri_v4:4:23696802:23704638:-1 gene:Potri.004G231700.v4.1 transcript:Potri.004G231700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231700.v4.1 MGSRILVFLVVALIQVCTTTPAVTNNDDFNALKALKDVWDNVPPTWVGADPCGSRWDGIVCTNSRVTSITLASMGLKGTLSGDISLLSELQILDLSYNTELTGSLPLAIGDLKKLTNLILVRCRFSGPIPDAIGSLSQLTDLSLNSNRFSGSIPPSLGNLDKLFWLDLADNMLTGTIPVSTGTTPGLDLLVHTKHFHLGFNQLTGQIPPKLFSSGMNLIHVLLESNKLTGSIPSTLGLVKSLEVVRLDNNSLTGPVPSNINNLTSVSEMFLSNNGLTGPLPNLTGMDHLTYLDMSNNTFGATDFPPWFSTLQSLTTLVMEKTQLQGQIPSDFFSLSNLQTLDARNNKFNGTLDIRTSSINQLSLIDLRENQISAFTERPGIEKVGVILVDNPVCQETGVTESYCSVSQNESSYSTPLNNCVASSCFANQISSPNCKCAFPYTGLLQFRAPSFSNLGNDTYYTVLEQSLMNSFKFHQLPVDSVNLSHPRKDSSTYLVMNLQVFPFGQDRFNWTGISRIGFALSNQIFKPPSQFGPFVFHGDTYLNFAEEVTGSNKSSNTGVIIGAVAGGSVLLLLLLGAGLYAHRQKKRAEKATEQNNPFAQWESNKSIGGVPQLKGARNFSFEELRKYSNNFSETNDIGSGGYGNVYRGVLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLIGFCFDRGEQMLVYEFVPNGSLMESLSGKTGIRLDWVRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDERLNAKVADFGLSKPMGDSETGHLTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELLTGKRPIEKGKYVVREVKTALDRAKYLYNLGELLDSSIGLDTTLKGLDKFVDVALKCVEENGSDRPTMGEVVKEIENILHLAGLNPNADSASTSASYDDASKGNAKHPYIFSKDAFDYSGDFPASKVEPL >Potri.002G143800.2.v4.1 pep chromosome:Pop_tri_v4:2:10824245:10826027:1 gene:Potri.002G143800.v4.1 transcript:Potri.002G143800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143800.v4.1 MEKHKCKLCFKSFSNGRALGGHMRSHMLNLPIPPKLEDQFPDIEVSEDTESTSSSEEEAEEGQEEEEKAVFYGLRENPKKCTRLVDPEFSFAAVDAGSVVLQDRESETESSKNPTRRRSKRTKSLLEHHHQYHQQRPRQEQENNIIIKKLEFKKMGTIKAAAESSWGHEPEPVSSISGTTTEEDVAFCLVMLSRDKWKRKEQENQEEEQELEEELAEAETDDSDEFKSCKTKTRGKYKCETCNKVFKSYQALGGHRASHKKLKVYTPSNEPKLERTENAGASTSLPEKKTHECPYCFRVFSSGQALGGHKRSHLIGVAASSSTPARSSTRIGDNNWGFIDLNLPAPVDDDDISQVDQLSAVSDAEFVNYVRR >Potri.002G079600.1.v4.1 pep chromosome:Pop_tri_v4:2:5601022:5605422:-1 gene:Potri.002G079600.v4.1 transcript:Potri.002G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079600.v4.1 MSFLRPSALNNFFITSATVLKWMPCQSWGFLRWPGLDGLLRLLVLVLLWSTFSELRFIPSSSMYPTLRVGDRIIIEKASYYLKVPAINDIVTFRAPKQLGITGEDVFIKRVVAKAGDLVQVHHGSLYVNGIAQTEDFLVEQPAYTSNLTYVPEGHVYVLGDNRNNSYDSHVWGPLPIKNVIGRFVTCCYRPSNK >Potri.012G045800.2.v4.1 pep chromosome:Pop_tri_v4:12:4157375:4161514:1 gene:Potri.012G045800.v4.1 transcript:Potri.012G045800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045800.v4.1 MKRSIDDFSVSKSKSKPVFLTKAEREKLALQRRQEETEQQKKRQQLILSQSRPSSSDTEKPPSDSDRRDRDRDRDRDRDRDRDRDRDRDRERDRERDRERERERERERSSRRNREREREEDVKAREQARLEKLAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNVLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKDMRNEFRKKEGLDEKPEEAAAQKLKEEAADMYDTFDMRVDRHWSEKKLEEMAERDWRIFREDYNISYKGSKIPRPMRSWEESKLSSELLKSVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTCAFVLPMLTYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVIMMKESEKSYRLNRLLEEAGDKTAIVFVNTKKNADMVAKNLDKLGFRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTHGDSDVFYDLKQMLIQNNSPVPPELAKHEASKFKPGGIPDRPPRRNDTVFAH >Potri.012G045800.1.v4.1 pep chromosome:Pop_tri_v4:12:4157308:4163323:1 gene:Potri.012G045800.v4.1 transcript:Potri.012G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045800.v4.1 MKRSIDDFSVSKSKSKPVFLTKAEREKLALQRRQEETEQQKKRQQLILSQSRPSSSDTEKPPSDSDRRDRDRDRDRDRDRDRDRDRDRDRERDRERDRERERERERERSSRRNREREREEDVKAREQARLEKLAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNVLYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKDMRNEFRKKEGLDEKPEEAAAQKLKEEAADMYDTFDMRVDRHWSEKKLEEMAERDWRIFREDYNISYKGSKIPRPMRSWEESKLSSELLKSVERAGYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTCAFVLPMLTYISRLPPMSEENEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLIDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLITQHVIMMKESEKSYRLNRLLEEAGDKTAIVFVNTKKNADMVAKNLDKLGFRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVATTFLTHGDSDVFYDLKQMLIQNNSPVPPELAKHEASKFKPGGIPDRPPRRNDTVFAH >Potri.001G274600.1.v4.1 pep chromosome:Pop_tri_v4:1:28824463:28826970:1 gene:Potri.001G274600.v4.1 transcript:Potri.001G274600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274600.v4.1 MDVDPVLPGKLTLAALLFFISYQFTGSFIRKLLHRYPPGPRGWPIIGAIPLLGDMPHVTLAKMAKKHGPVMYLKMGTRDMVVASNPDAARAFLKTLDLNFSNRPIDGGPTHLAYNAQDMVFADYGPRWKLLRKLSNLHMLGGKALEDWAPVRVTELGHMLRAMCEASRKGDHVVVPEMLTYAMANMIGQIILSRRVFVTKGSESNEFKDMVVELMTSAGFFNIGDFIPSVAWMDLQGIERGMKKLHRRFDVLLTKMIEDHSATSHERKGKPDFLDVLMANQENSDGARLCLTNIKALLLDLFTAGTDTSSSVIEWALAEMLKNQSILKMAQEEMDQVIGRNRRLVESDIPKLPYLQAVCKETFRKHPSTPLNLPRIADQACEVNGYYIPKGARLSVNIWAIGRDPDVWDNPEVFTPERFFTEKYAKINPRGNDFELIPFGAGRRICAGARMGIVLVEYILGTLVHSFDWKLPEDVDLNMDEVFGLALQKAVPLSAMVSPRLEPNAYLA >Potri.017G113401.1.v4.1 pep chromosome:Pop_tri_v4:17:12075019:12078119:1 gene:Potri.017G113401.v4.1 transcript:Potri.017G113401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113401.v4.1 MGYIQEARENHVKKKVEEALRSKMKQKALKQCEELASKYAQCATGRTMSVVWQCRKQAKELNECLHQFTNDAVLEEMKREYTLQQDVKGPLRA >Potri.012G077300.1.v4.1 pep chromosome:Pop_tri_v4:12:10091059:10092353:-1 gene:Potri.012G077300.v4.1 transcript:Potri.012G077300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077300.v4.1 MGNCQAIDAATLVIQYPSGKAENFYWPVSASEVMKMNPGHYVALLLSTNLYPTNNNGECPANTTANNTPLRVTRIKLLRPTDTLVLGHVYRLITSQEVMKVLCAKKQAKLKKNEPGSEEKPERVKEKQGSGLDTKARRSEQQKENQVTKNERSRPKTTTSTNSAAIARSRAWQPSLRSISEAGSGS >Potri.008G107001.1.v4.1 pep chromosome:Pop_tri_v4:8:6765872:6768465:-1 gene:Potri.008G107001.v4.1 transcript:Potri.008G107001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107001.v4.1 MSVYQFYPERNAIVEEMAALGAVVHTLWYLSTLDILFIQLLKGGIHQLTRNLACELAKDNIRVNSVAPWFIRTPMTEDGLKNENVVKELAYQTPMRRSGEPGEVSPVVAFLCLPAPSFTTGQVICIGGGMSVNGFFMG >Potri.017G112300.1.v4.1 pep chromosome:Pop_tri_v4:17:12012544:12014286:-1 gene:Potri.017G112300.v4.1 transcript:Potri.017G112300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112300.v4.1 MKERQRWQPEEDAILRAYVKQYGPKEWNLISQRVEASGKTLNRDPKSCLERWKNYLKPGIKKGCLTPEEQALVISLQAKYGNKWKKIASEVPGRTAKRLSKWWEVFKEKQSKSKSLLHHHHNTQYSNQHHQREGNIPATGDKTSSQGKYDHILETFAEKYVQPKILNQVPSFPCSLSAMMPPMPDPDPVLSLGSVWMNPGSNLSSSTSTTVSATPSPSVSLSLSPSDPGLDPSLTRIIPGQQMGTLVQYCKELEEGRQNWLQHKKEATWRLSRLEQQLESEKARKRREKMEEIEAKIRCLREEEVSFMSKIESEYKEQLSALHRDAESKEAKLVEAWSSKHARFAKLVEQIGVHFGGGGGHGV >Potri.008G174000.2.v4.1 pep chromosome:Pop_tri_v4:8:12018258:12018722:1 gene:Potri.008G174000.v4.1 transcript:Potri.008G174000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174000.v4.1 MRPMQVDFFADMEDQGSTVAMDVDDVDALEMFGEGVINMENKLADADFFNYFEDDFDDTDIN >Potri.001G071700.1.v4.1 pep chromosome:Pop_tri_v4:1:5407314:5409972:-1 gene:Potri.001G071700.v4.1 transcript:Potri.001G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071700.v4.1 MSVGVNTETLVRVEEIQGRGRGLVSTQPLRGGQIVLIDSPILLYSALPLTKQQHSTFLYCDKCFKTIQSASVSCPTCSHQRFCSPTCLSAALASSHTPWVCQSLSRLRDCQDFLQHHSVERQIQAQFLVAAYNLAFVSPSDFQILLSLQGRAEDEDPAIVQSLHSVISSLCPPPPIEGFSFSLELIAALVAKDRFNAFGLMEPLNLNEENGGQRSVRAYGIYPKASLFNHDCLPNACRFDYVDTNNSGNTDIVVRMIHDVPQGREICLSYFPVNSNYSTRRKRLLEDYGFTCDCDRCKVEATWSDDEGDGDDNDNEVMEEDVDEPMEAESDGEEIGNDNSTDFPHAYFFLRYMCNRNNCWGTLAPFPPSDAKPSNLLECNACGDIKNDEVC >Potri.007G061821.1.v4.1 pep chromosome:Pop_tri_v4:7:6970162:6971144:1 gene:Potri.007G061821.v4.1 transcript:Potri.007G061821.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061821.v4.1 MKLLLKYAYYMKFYYGKLTIGYVMKQSTGDISFTIGKAISLMDAMGNAVPNIDLYNTIFKLVKAKAEDYLGELVSSVFIRIYLLGRYESSDCSLSEDEIYNRIWEFISAGKVIVADTETVIINDVHVPYAAGFLVVKPGEDVGSKPDNLIETYFSEECHKFLRKIRN >Potri.018G114600.1.v4.1 pep chromosome:Pop_tri_v4:18:13121632:13124322:1 gene:Potri.018G114600.v4.1 transcript:Potri.018G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114600.v4.1 MVYTYTPAYYSTLHDSITSICKTILPFSFKKKRLTSAEHRLSKLQSDNLKWQQDSFHQILNLMGLHKEGILAENEVSAFKTHLLETLIASPLEHEQAVILRDKLVFLQELLYAKCISEDEYHSSKRPLLQRLAVQGAEIESRDVIVAGPKDTKEKIEEEWSVIDLKDEKRLIGKETLQSINRSKPNSAMKQIKGAASVFGFGSDHKLSKHKEEKSIFEIEARLPSSGHVSNERENPLWDSHLKDKESETKSILMQASLPNESVKESASNDKPKRKPFKTLFRQEQREGDGGGGGGGANGFNCEEKPSKSAKKPWGFDGFKKWKKNDSDGETAPLPLNNERSDSEAYSGSCNLVNSPIGEGPDTKQIKKKLHSNGSSSDFFIDKVLGDKIKKELSKIQTELCTTNPSLKFSDDQIEAISTKLPVDKADLKKFFPKSWCDRYGDVVLDVVKKEFKDHVGEMENMRNAAREKHHNNSMRWTTFEDEDENCHPNLFSQQDHSFPKKQQNFTAKNDQSAYTNPFSHDYSESNGNKLRTESFQNPFWIPRQQY >Potri.T170801.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:97578:98767:-1 gene:Potri.T170801.v4.1 transcript:Potri.T170801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T170801.v4.1 MGKIDTRLPGFCLNRIKPRVRVRSPPIQAKPNLNSTKNDQNNENPDSTVVGDQEKPGNSEGKPVELIGRKIMIVVDSSIEAQGALQWSLSHTVQSQDLLILLHVTKESSKQATGTKTRKERGAPRACELVNSVKNMCQLKRPEIQIEIAVVEGKEKGPLIVEEAKKQEVALLVLGQKKRSMTWRLIMMWASNRVTGGVVEYCIQNADCMAIAVRRKSQKHGGYLITTKRHKDFWLLA >Potri.008G061700.1.v4.1 pep chromosome:Pop_tri_v4:8:3698363:3699766:1 gene:Potri.008G061700.v4.1 transcript:Potri.008G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G061700.v4.1 MENNRQVGASSFDHLFGPKDSSSSSSASSGIFGSIFPPPSKVPAGRDSGTTGNHVGNETYVNPDNATRKAKGESSGISGKGQSSVYQNETPEPCYFSSSIYYGGQENYSPRTKNAESQHVFKKDYGKDDPNGNDPNSASRGNWWQGSLYY >Potri.002G120800.2.v4.1 pep chromosome:Pop_tri_v4:2:9159211:9161698:-1 gene:Potri.002G120800.v4.1 transcript:Potri.002G120800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120800.v4.1 MDSLKKKEKIIHTITTTSSNESIIDIGTEQNCINPSCFFCTMKEPDSMIRRAGLQSCFKEMPFRDSQALALVLSGLWNIAMTQPDDPEFPSLGVFHCMASLIQKGIDNRSWLLKDQNIYIPYYAAHIIGSYTMNKVKFAEKAVQSGVIPPLMDLLRGKISWVEQRVAVRALGHLASFEKTFDAVAMYEEDVVKSAMQLASNCLEVVYSKFVGVRDAKERLKYHSDLLTRGVGGLDTENRKAEEWACQLQRWSLNLLNCFACKERSLNLICRQDFLRDLCEMWGGLVMNHVSPSGVGLIRILCYSKHGRKSIAESKEVVRSLCNLSRSSDDWQYIGIDCLLLLLKDQDTRFKVIEVSALFLVDLVELRSLGNRSNVGDAIARVLLFYYRQSMLKLKNSEVQKVMQEIWDLKVERRKREKTMTEEMVEERRVLAGLIKQQGNHMFWLGNIEEASVKYTEALDLCPLRLRKERVALYSDRAQCRLQLGNPDAAISDLTRALCLSTPANSHSKSLWRRSQAFDIKRLAKESLMDCVMYLNGCIKTETAKGVKIPYHAARMISKQMEATWLFANLKSKTSSNQSSRVQELDGDSENYDKQKHDEMMRIMIEKKGLSTIGNEGVEREMERARSKKAVVARSMEGRWGSGLLRAEGEIL >Potri.002G120800.1.v4.1 pep chromosome:Pop_tri_v4:2:9159211:9161698:-1 gene:Potri.002G120800.v4.1 transcript:Potri.002G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120800.v4.1 MDSLKKKEKIIHTITTTSSNESIIDIGTEQNCINPSCFFCTMKEPDSMIRRAGLQSCFKEMPFRDSQALALVLSGLWNIAMTQPDDPEFPSLGVFHCMASLIQKGIDNRSWLLKDQNIYIPYYAAHIIGSYTMNKVKFAEKAVQSGVIPPLMDLLRGKISWVEQRVAVRALGHLASFEKTFDAVAMYEEDVVKSAMQLASNCLEVVYSKFVGVRDAKERLKYHSDLLTRGVGGLDTENRKAEEWACQLQRWSLNLLNCFACKERSLNLICRQDFLRDLCEMWGGLVMNHVSPSGVGLIRILCYSKHGRKSIAESKEVVRSLCNLSRSSDDWQYIGIDCLLLLLKDQDTRFKVIEVSALFLVDLVELRSLGNRSNVGDAIARVLLFYYRQSMLKLKNSEVQKVMQEIWDLKVERRKREKTMTEEMVEERRVLAGLIKQQGNHMFWLGNIEEASVKYTEALDLCPLRLRKERVALYSDRAQCRLQLGNPDAAISDLTRALCLSTPANSHSKSLWRRSQAFDIKRLAKESLMDCVMYLNGCIKTETAKGVKIPYHAARMISKQMEATWLFANLKSKTSSNQSSRVQELDGDSENYDKQKHDEMMRIMIEKKGFISRLSTIGNEGVEREMERARSKKAVVARSMEGRWGSGLLRAEGEIL >Potri.010G111000.2.v4.1 pep chromosome:Pop_tri_v4:10:13106017:13107629:-1 gene:Potri.010G111000.v4.1 transcript:Potri.010G111000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111000.v4.1 MTLFGKMEAEVEIKVSAETFHDIFSCRPHHVSNMSPAKIQNVDLHEGEWGKPGTVICWSYVHDGVAKTAKEVIEAIDDEKLSTTFKVIEGDITTEYKNFIIIVQATPKGEGSCLAHWTFEYEKLNENVPDPQTLLEFCIHCSKDIEDHHLTQLPTAQA >Potri.001G164000.1.v4.1 pep chromosome:Pop_tri_v4:1:13931702:13934377:-1 gene:Potri.001G164000.v4.1 transcript:Potri.001G164000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164000.v4.1 MATATGAAAAATAKTLSQKELDIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLLLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIMEAALGNIPTIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPQGHKWDVMVDLFFYREPEEAKQQEEEETVPAADYALPPADYGISAGEWSSTIADSQWTADVAQQPIPAASFFPEQGGLSVEWGAAPAPAPAPVPLSATELDGPAPAATGWDI >Potri.001G060100.2.v4.1 pep chromosome:Pop_tri_v4:1:4587406:4592149:1 gene:Potri.001G060100.v4.1 transcript:Potri.001G060100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060100.v4.1 MDCWSPLTTDDEFEKLVIRMNPPRVTVDNASSRKATLIKVDSANKRGSLLEVVQVLTDLNLIIRRAYISSDGEWFMDVFYVTDQHGNKLSEDDVAERIQQSLGPRGRSFRSLRRSVGVQAAAENTTIELTGRDRPGLLSEIFAILTDLKCNVVASEVWTHNSRMASVVYITDEATGLPIDDPDRLTKIKQLLLYVLKGDRDKRSANTAVSVDSTHKERRLHQMMYADRDYDMDDADFGSASERKPFVTLENCVDKGYTIVNLRCPDRPKLLFDTVCTLTDMQYVVYHGTIIAEGPEACQEYFIRHMDGSPVSSEAERQRVINCLEAAIRRRTSEGVRLELCSEDRVGLLSDVTRIFRENGLSVTRAEVTTRGSQAVNVFYVTDSSGYPVKNETIEAVRKEIGLTILHVNDDAHSKSPPQERGLFSLGNIFRSRSEKFLYNLGLIRSYS >Potri.001G060100.5.v4.1 pep chromosome:Pop_tri_v4:1:4587591:4592051:1 gene:Potri.001G060100.v4.1 transcript:Potri.001G060100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060100.v4.1 MDVFYVTDQHGNKLSEDDVAERIQQSLGPRGRSFRSLRRSVGVQAAAENTTIELTGRDRPGLLSEIFAILTDLKCNVVASEVWTHNSRMASVVYITDEATGLPIDDPDRLTKIKQLLLYVLKGDRDKRSANTAVSVDSTHKERRLHQMMYADRDYDMDDADFGSASERKPFVTLENCVDKGYTIVNLRCPDRPKLLFDTVCTLTDMQYVVYHGTIIAEGPEACQEYFIRHMDGSPVSSEAERQRVINCLEAAIRRRTSEGVRLELCSEDRVGLLSDVTRIFRENGLSVTRAEVTTRGSQAVNVFYVTDSSGYPVKNETIEAVRKEIGLTILHVNDDAHSKSPPQERGLFSLGNIFRSRSEKFLYNLGLIRSYS >Potri.002G200000.1.v4.1 pep chromosome:Pop_tri_v4:2:16263825:16264552:1 gene:Potri.002G200000.v4.1 transcript:Potri.002G200000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200000.v4.1 MEGVSARIFTKMKEYWSRRGYERINGSGRTRRSWPVELGSVSTTSRRRRRFAWRIKVKPKLKALKMSSPKRFFVWLRDAYVKMMLGFANSRAIGTAGYGDGIGARPIKEYDEKMIIEIYKSLVMAQGQLVPRDAPTLGSMPKLTAIAE >Potri.010G031375.1.v4.1 pep chromosome:Pop_tri_v4:10:4705630:4706382:-1 gene:Potri.010G031375.v4.1 transcript:Potri.010G031375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031375.v4.1 MLNNKEIKWYDHECCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCCAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAGVRMFFFYCY >Potri.001G109100.1.v4.1 pep chromosome:Pop_tri_v4:1:8794922:8798012:-1 gene:Potri.001G109100.v4.1 transcript:Potri.001G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109100.v4.1 MWALFFGALIIISITHWVYRWRNPRCNGTLPPGSMGLPLIGETLQFFAPNTSCDISPFVRDRMKRYGPIFRTNLVGRPVVVSTDPDLNYFIFQQEGKLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMLPEVEQTASNRLQLWSHQESVELKEATATMIFDLTAKKLISYDQENSSENLRENFVAFIQGLISFPLDIPGTAYHECLQGRKKAMRMLKNLLQERQANPRKHETDFFDYVLEELQKNKTILTEEIALDLMFVLLFASFETTSLGLTLAVKFISDHPLVLKELTEEHEGILKNRENANSGLTWKEYKSMKFTFQVINETVRLANIVPGIFRKTLGDIQFKGYTIPAGWAVMVCPPAVHLNPAKYEDPLAFNPWRWKGMEVNGASKTFMAFGGGMRFCVGTEFTKVQMAVFLHCLVTKSRWQAIKGGNIVRTPGLQFPSGYHIQLTERDKRYNSTT >Potri.001G109100.2.v4.1 pep chromosome:Pop_tri_v4:1:8794922:8798012:-1 gene:Potri.001G109100.v4.1 transcript:Potri.001G109100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109100.v4.1 MWALFFGALIIISITHWVYRWRNPRCNGTLPPGSMGLPLIGETLQFFAPNTSCDISPFVRDRMKRYGPIFRTNLVGRPVVVSTDPDLNYFIFQQEGKLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMLPEVEQTASNRLQLWSHQESVELKEATATMIFDLTAKKLISYDQENSSENLRENFVAFIQGLISFPLDIPGTAYHECLQGRKKAMRMLKNLLQERQANPRKHETDFFDYVLEELQKNKTILTEEIALDLMFVLLFASFETTSLGLTLAVKFISDHPLVLKELTEEHEGILKNRENANSGLTWKEYKSMKFTFQVINETVRLANIVPGIFRKTLGDIQFKGYGSKWCIQNFHGLWWWHEILCWNRVYQGADGCISTLLGHKVQVASNQRRKYCSNSWFTISQWLSHSAHGERQKI >Potri.004G034600.4.v4.1 pep chromosome:Pop_tri_v4:4:2677159:2680688:-1 gene:Potri.004G034600.v4.1 transcript:Potri.004G034600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034600.v4.1 MICLHKEPTISLNNSGPLLQTLNNFLKGIKWSLDGSCFLTSSEDNTLCLFSIRDNGSGCDADGCCLPTDEDSYDANLVVKEGESVYDFCWYPYTTASAALTYRAYDAVDEITAAISLAFNPAGTKIFAGYNKSIRIFDIHRPGRDFAQYSTTQGNKEGQPGIISAVAFSSTHTGMLATGSYNQTNAIYREDSMELLYILYGQEGGITHVQFSKDGNYLYTGGRKDPYILCWDVRKAVEVVYKKVFIL >Potri.004G034600.5.v4.1 pep chromosome:Pop_tri_v4:4:2677159:2680688:-1 gene:Potri.004G034600.v4.1 transcript:Potri.004G034600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034600.v4.1 MICLHKEPTISLNNSGPLLQTLNNFLKGIKWSLDGSCFLTSSEDNTLCLFSIRDNGSGCDADGCCLPTDEDSYDANLVVKEGESVYDFCWYPYTTASAALTYRAYDAVDEITAAISLAFNPAGTKIFAGYNKSIRIFDIHRPGRDFAQYSTTQGNKEGQPGIISAVAFSSTHTGMLATGSYNQTNAIYREDSMELLYILYGQEGGITHVQFSKDGNYLYTGGRKDPYILCWDVRKAVEVVYKKVFIL >Potri.007G098800.1.v4.1 pep chromosome:Pop_tri_v4:7:12373766:12375178:1 gene:Potri.007G098800.v4.1 transcript:Potri.007G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098800.v4.1 MSTLPSSAAYLVSTSTNSSPSRETFRKFFECWLAEQNNYLEQLISSCKDYDHNKKNSPQSSQATLQPLINRVLEHYEHYYRAKSRWAKDDVLSMLSPSWTSTLEHAFLWIGGWRPSVAFHLLYSKSGHQLEAQLHELICGLGTGDLGDLSASQLTRVDQLQRKTIREENELTEKHVKHQETVADSSMVELAHEVTELLRSENTGTDEERVESTLAPRKDGLQEILQMADDLRVRTIKGVIDILTPIQAVHFLIAAAELHLRLHDWGKKGDWARRVHH >Potri.017G046200.1.v4.1 pep chromosome:Pop_tri_v4:17:3253781:3259623:-1 gene:Potri.017G046200.v4.1 transcript:Potri.017G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G046200.v4.1 MNYRPYEKHVPLSLSLSLSLSLSLSSSIYHSLLTTYFHTTNSSFNLSRSPLLLATMLKPQLHQSHLSTKIPFLLPKPFIHGSGHASFPVYSRSLSTKANKEVRVGHKHGSIKSIASVTQQSTDVKAVVTVKQTVVDFWTEIGIERGLDDLKDLFGKTLLLELVSAELDSKTGLEKPSIRKYAHKIDHEGEDIKYEADFVVPPDFGEIGAIFVENEHHKEMYLHDVVFDGFPTGPVHVTCDSWIHSKFDNKKKRLFFTNKSYLPSETPNGLTKLREEELETLRGNDNGERKNGERIYDYDVYNDLGNPDSDPETARPVLGGKEHPYPRRCRTGRPRTESDPLTETRSSSFYVPRDEEFSEIKMGTFSAKTLKSVLHALVPSLSTAIVDSELGFPFFSSIDALFNEGINLPPLKKQGFWKDLLPNLFRAITDGTKDVLKFETPDTMERDRFFWFRDEEFARQTLSGLNPCSIKMVTEWPLRSKLDPEIYGPQESAITTEMVEQEIKGFMTCGQAVKDQKLFILDYHDLFLPFVSKIRELKGTTLYGSRTLFFLTHEGTLRPLAIELTRPPMDGKPQWKQVFRPAWHSTGVWLWRLAKAHVLAHESGYHQLISHWLRTHCCTEPYIIAANRQLSEMHPIYRLLHPHFRYTMEINALARQYLINAKGIIETSFFPGKYSMELSSVVYDQEWRFDYEALPKDLINRGMAVEDPSAPHGLKLMVEDYPYANDGLVLWDIIKEWVSDYVNHYYPDSSLIVSDNELQAWWTEVRTEGHADKKDEPWWPVLKTPQDLIETMTTIIWIASGHHAAVNFGQYTYAGYFPNRPTTARMNMPTEDPNDELLKLFWEKPEVILLTTFPSQIQATTVMAILDVLSNHSPDEEYLGQQIEPSWTEEPAINAAFVKFNGRLKEFEGIIDERNADIKLKNRNGVGVVPYELLKPFSDPGVTGKGVPCSISI >Potri.005G246700.2.v4.1 pep chromosome:Pop_tri_v4:5:24126137:24128979:-1 gene:Potri.005G246700.v4.1 transcript:Potri.005G246700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246700.v4.1 MSPGSKRKFKAGMENKHYPAPLASHEDVVNDPSVFWDTLRRFHFVMGTKFMIPVIGGKELDLQVLYVETTNRGGYDKVVAEKKWREVGSVFCFSATTTSASFVLKKHYFSLLYHYEQVHFFKIQGPVSTPAVAFPLGSPSSKTELAIVEYSPEPIRDCPDPSTESSSSFSASGTIEGKFDCGYLVSVQLGSEVLHGVLYHPDQQDLSNSIPQYDGAIVPYTPNRRRRRRRSRRSGDPSYPKPNRSGYNFFFAEKHYKLKSLYPNREREFTKMIGQSWSSLSAEERMVYQNIGLKDKERYKRELKEYKEKLQLRQAMEVELNIKAQDVQD >Potri.011G164750.1.v4.1 pep chromosome:Pop_tri_v4:11:18938283:18942647:1 gene:Potri.011G164750.v4.1 transcript:Potri.011G164750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164750.v4.1 MINDGLYTETSVGFKPIMFQGKASLNNTIASLYFESLENQEEKSGDFGKERVEIVEEVASNSSKENEFDCCWVPSLKDSILNKVLLRSLTFIFNKNL >Potri.011G164750.2.v4.1 pep chromosome:Pop_tri_v4:11:18938283:18942647:1 gene:Potri.011G164750.v4.1 transcript:Potri.011G164750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164750.v4.1 MEAVISRAGKASLNNTIASLYFESLENQEEKSGDFGKERVEIVEEVASNSSKENEFDCCWVPSLKDSILNKVLLRSLTFIFNKNL >Potri.018G021900.1.v4.1 pep chromosome:Pop_tri_v4:18:1594063:1595200:-1 gene:Potri.018G021900.v4.1 transcript:Potri.018G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021900.v4.1 MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNASQSASSKLLSATLTAKLHRCYMASLQVTKQTSLQEQKQQQQKAPTSLVKTPTNSIVIKSEELDALQPEKSPLQVQEAEANWVYKKVQVDNNQQFIKPLEDHHIEQMIEELLDYGSIELCSGVATQ >Potri.018G061050.1.v4.1 pep chromosome:Pop_tri_v4:18:6801842:6805073:1 gene:Potri.018G061050.v4.1 transcript:Potri.018G061050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G061050.v4.1 MAGLAPEGSQFDARQFDSKMNELLTTDGQDFFTSYDEVYDTFDAMGLKENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYDVVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTKDDERMLSDIQRFYNVTVEELPSNVADLL >Potri.009G018800.2.v4.1 pep chromosome:Pop_tri_v4:9:3109035:3113216:1 gene:Potri.009G018800.v4.1 transcript:Potri.009G018800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018800.v4.1 MAAQAWLWTSLTVALTFILTVVNGNSEGDALFTLRKSLSDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRLDLGNSNLSGHLVPELGKLEHLQYLELYKNNIQGTIPSELGSLKSLISLDLYNNNISGTIPPSLGRLKSLVFLRLNDNRLTGSIPRELSNVSSLKVVDVSNNDLCGTIPTSGPFEHIPLNNFENNPRLEGPELLGLASYDTNCS >Potri.009G064600.1.v4.1 pep chromosome:Pop_tri_v4:9:6532640:6538561:1 gene:Potri.009G064600.v4.1 transcript:Potri.009G064600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064600.v4.1 MDKGAPSSLFVNDGSFMEKFKQLQQGNGKEQEKDKGAAEKDSKPKTIVSGTVTPKPSFGKVTMQFKTNDASKTSQTASSGKLAFSLKQKSKLVAPAVKLGEDDEDEDEADAVNTSGGVSAKRQKLGQLDASEQSLKRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYQYRLAEEEKALSQNRDSHISSSGGTSTSASKSTGSSRRSQQQQSNYQIPASALYEAAEEPKGSLSTSSGRAGESSAPAGTDPIEMMEFYMKKAAQEERRRQPKLSKDEMPPPASLQASSLKGHHMGDYIPPEELEKFLATCNDVAATKAAKEAAERARIQADNVGHKLLSKMGWKEGEGLGSSRSGISNPIMAGNVKKDHLGVGAHNPGEVSPDDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.009G064600.2.v4.1 pep chromosome:Pop_tri_v4:9:6532735:6538542:1 gene:Potri.009G064600.v4.1 transcript:Potri.009G064600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064600.v4.1 MQFKTNDASKTSQTASSGKLAFSLKQKSKLVAPAVKLGEDDEDEDEADAVNTSGGVSAKRQKLGQLDASEQSLKRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYQYRLAEEEKALSQNRDSHISSSGGTSTSASKSTGSSRRSQQQQSNYQIPASALYEAAEEPKGSLSTSSGRAGESSAPAGTDPIEMMEFYMKKAAQEERRRQPKLSKDEMPPPASLQASSLKGHHMGDYIPPEELEKFLATCNDVAATKAAKEAAERARIQADNVGHKLLSKMGWKEGEGLGSSRSGISNPIMAGNVKKDHLGVGAHNPGEVSPDDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.009G064600.3.v4.1 pep chromosome:Pop_tri_v4:9:6532740:6538573:1 gene:Potri.009G064600.v4.1 transcript:Potri.009G064600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064600.v4.1 MDKGAPSSLFVNDGSFMEKFKQLQQGNGKEQEKDKGAAEKDSKPKTIVSGTVTPKPSFGKVTMQFKTNDASKTSQTASSGKLAFSLKQKSKLVAPAVKLGEDDEDEDEADAVNTSGGVSAKRQKLGQLDASEQSLKRLDVAPPPPSDPTVKNVADKLASFVAKHGRQFENVTRQKNPGDTPFKFLFDENCADFKYYQYRLAEEEKALSQNRDSHISSSGGTSTSASKSTGSSRRSQQQQSNYQIPASALYEAAEEPKGSLSTSSGRAGESSAPAGTDPIEMMEFYMKKAAQEERRRQPKLSKDEMPPPASLQASSLKGHHMGDYIPPEELEKFLATCNDVAATKAAKEAAERARIQADNVGHKLLSKMGWKEGEGLGSSRSGISNPIMAGNVKKDHLGVGAHNPGEVSPDDDIYEQYKKRMMLGYRHRPNPLNNPRKAYY >Potri.019G050900.3.v4.1 pep chromosome:Pop_tri_v4:19:8394911:8396618:1 gene:Potri.019G050900.v4.1 transcript:Potri.019G050900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050900.v4.1 MMAESPFQDESALKKGPWTPEEDQKLIDYVQKHGHGSWRALPMLAGLNRCGKSCRLRWTNYLRPDIKRGNFSEEEQQMIIDFHQVLGNKWSAIAQHLPGRTDNEIKNFWNTHLKKKLLQMGIDPVTHRPRTDLNIIANLPQLLAAAANFSNLMNIPWDNAIKAQVDATKLQLLHNILQVLGNSPPPNMEALNNLFASRDHQLYEYLRMNSQLEGLLKCGEDGLSSQGINAQAQSNFPNIDAPQQPFSDHNPIKDSKSRTHNSDQLVSSYAFPTSNTLPQLVSASPECSSANTINPNDIISNPSNATSTTFEAWRNLMDDGANDNYWIDIIDQASSQPWPN >Potri.015G026200.1.v4.1 pep chromosome:Pop_tri_v4:15:1959521:1970990:-1 gene:Potri.015G026200.v4.1 transcript:Potri.015G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026200.v4.1 MASEQDMSNWTDLLHSSTKLLEQAAPSPQFPPLQRNLDQLEALSKKLKAKAIRPEAPSQSIAATRLLAREGINAEHLARDLKSFELKTTFEDVFPAEATSVEEYLQQFHEMAMVSAIQEAQKDNVRSFNDYMMRVLEEDWQKERCDFLQSLSRISSLPRTNIVDSSTGGTRSGQLASLASSPHASSGPSGMEIVPLANKPILEKKASACAEVVKNLNHAREHGSQFKPATAFKGAYESLGVEVSGGKSVNMQKIWHLVQTLVGENTTMQPIVSRKMSLVIGARRHLEWGHEKYIMDTIQNHPAKAALGGAVGNLQRVHAFLRIRLRDYGVLDFDAGDTHRQPPVDTTWQQIYICLRTGYYEEARTVALSSRASHRFAPMLMEWINSGGMVPADIAAAASEECEKMLRMGDRVGRAAYDKKKLLLYAIVSGSRRQIDHLLRDLPTLFNTIEDFLWFKLSAVQEYHGGTSSQVLNESLVPYSLEDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSVQMLPAILYLSKEAADDGYNINAVHVSIALADHGVLTEGAGAGQKLGVMDAYAEVASIIRQYGSAYLRHGNLSMALEYYAQAAATVGGGEASWTGRGNIDQQRQRSMMLKQLLTELLLRDGGIHLLLGPRGAGEEGELARFLTDSKERQQFLLEAARKCQEAGLDEKSIEIQKRVGAFSMALDTINKCLSEAICALSRGRLDSESWTAGLINSGNEILETFKYYPNESFQERGHVIEQETVLRQLEATLSVHKLARMGHYLDALRELAKLPFLPFNPRVPDVTVDALQNLSLHVQACVPYLLKVALTCLDNVTDSDGSLRAMRAKITQFLANNMNRNWPRDLYEKVARSL >Potri.019G111700.1.v4.1 pep chromosome:Pop_tri_v4:19:13331210:13335327:1 gene:Potri.019G111700.v4.1 transcript:Potri.019G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G111700.v4.1 MVDDLMVCVDRIIVASSCFEPVNNGERERNGSDVVGVEATSKDGNVKESVGSVKGNEEGSSCSLKKVEMVECRICQEEDEVLALEAPCSCNGTLKFAHRKCIQRWCNKKGDITCEICNQVFSPNYSLPPARSNPDVIAIDIRQAWGHHIDLHDSHLLALEHQLLQSEYEDYAVTNTSSLACLRSVALILLIILLLRQALMVTRDSGMVQETSSFFGFQISLLQFAGFLLPCYVMARSWYIAQSRRRRHG >Potri.018G145548.1.v4.1 pep chromosome:Pop_tri_v4:18:15342982:15345373:-1 gene:Potri.018G145548.v4.1 transcript:Potri.018G145548.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145548.v4.1 MTRPNDPFWDHVEKLDDGRFNCKFCGYKFAAAASVTMIKWHLSGVQGRGVTICRQVQNLKRGSSLERPSINQADEPRGDSSPPKDLLCLGLGSYHDQLCSPSAKNDVIMDDVQNIFREKTEPVASMLEQSYAILNKLAGDDGRIQVGVQAIEQGAEEELICSHPEAGSGMENTCEGFIQHVDRNVSPERARLMENSSGRLVQSSTSASSTKLVGRAFEQNIKVIRSWLMDDEISTIGIYGMGGVGKTTLLQHIRNELLERQDISRSVYWVNVPQGFKIEELQDLIAKYLHLDLSSKDDDLSRAVKLAKELAKKQKWILILDDLWNSFEPQEVGIPIPLEGSKLIMTTRSEMVCRQMNSRNNIRVDALSDEESWTLFTERLGQDIPLSPEVERIVVDVARECAGLPLGIVTLAASLKGIDDLHEWRTTLKRLKESNFWDMEDQIFQILRLSYDCLDDSAQQCFVYCALFDERHKIEREVLIDYFIEEGIIKEMSRQAALDKGHSILDRLENICLLERIDGGSAVKMHDLLRDMAIQILDEYSLVMG >Potri.012G015032.1.v4.1 pep chromosome:Pop_tri_v4:12:1766114:1767285:1 gene:Potri.012G015032.v4.1 transcript:Potri.012G015032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015032.v4.1 MKQQISLFSFSLVLLFLHCTQTLSQSPTSAPAKAPTAASAPPPAATSSAQASPPVMVPVQVSKGPVNVIKILQKAGGFAVFIRLIKSTQEDIQVFSQLNDSRDGVTIFAPTDGAFSAIIKSGVLNSLSDHQKIELVQFHIIPRILTTANFQTVSNPITTLAGSGSRFALNVITTENMVNVTSGLTNTSVSAIVYTDSQLAIYQVDKVLLPLDIFAPKPLAPAPAPPKPKKDDGAESPLVPEDTSSAVSCIPLNSLIIFGAGMVAAVFTL >Potri.007G077400.3.v4.1 pep chromosome:Pop_tri_v4:7:10179235:10188516:1 gene:Potri.007G077400.v4.1 transcript:Potri.007G077400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077400.v4.1 MWNPIILSDEEEENQKTPLPSLPKKPRTLPDPTILVLDVDDPTPHKSPSFVPETPLSDVSIVKCTFPQIRVPDFDPETLSGNAGLICLESENDEPESAKNWKDKTTMDAGYDEVEERRRWSTSTNFDSVDWLVDANVNQMSGVSSSQPSLSQDDIYQIDDCPDKENFSMEQMACTLKEKRKTKVNSARESSADEAVGTKNTKKEERIRLMEEKKLKKEQEKLQKAALKAQAAELKKMEKEMQKWEKGKFALNSIVAEFDTRVIEHGSVGGPLLTRFADKGLTYRVTSNPIERSILWTMSAPEHISQLSREATDIRYVALVYEAEEFCNLVMSESLLDHVSSVRSHYPSHTICYLTIRLMAYVNKRGKEQYKQQENDDGWRCPSVEEVLAKLTTHFVRVHYRLCTDEAELADHVFGLTRSLASCQFRKKLTQLSVNANGSFIPKDFIDRNLIKKSPWLKALVAIPKVQPRFAIAIWKKYPTMKSLLSVYMDPNKSVHEKEFLLENLTTEGFIGGERRVGQICSKRVYRILMAQSGNIITDNVEDGADFFRDHSS >Potri.007G077400.8.v4.1 pep chromosome:Pop_tri_v4:7:10179231:10188447:1 gene:Potri.007G077400.v4.1 transcript:Potri.007G077400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077400.v4.1 MWNPIILSDEEEENQKTPLPSLPKKPRTLPDPTILVLDVDDPTPHKSPSFVPETPLSDVSIVKCTFPQIRVPDFDPETLSGFCSCVKGNAGLICLESENDEPESAKNWKDKTTMDAGYDEVEERRRWSTSTNFDSVDWLVDANVNQMSGVSSSQPSLSQDDIYQIDDCPDKENFSMEQMACTLKEKRKTKVNSARESSADEAVGTKNTKKEERIRLMEEKKLKKEQEKLQKAALKAQAAELKKMEKEMQKWEKGKFALNSIVAEFDTRVIEHGSVGGPLLTRFADKGLTYRVTSNPIERSILWTMSAPEHISQLSREATDIRYVALVYEAEEFCNLVMSESLLDHVSSVRSHYPSHTICYLTIRLMAYVNKRGKEQYKQQENDDGWRCPSVEEVLAKLTTHFVRVHYRLCTDEAELADHVFGLTRSLASCQFRKKLTQLSVNANGSFIPKDFIDRNLIKKSPWLKALVAIPKVQPRFAIAIWKKYPTMKSLLSVYMDPNKSVHEKEFLLENLTTEGFIGGERRVGQICSKRVYRILMAQSGNIITDNVEDGADFFRDHSS >Potri.004G188250.1.v4.1 pep chromosome:Pop_tri_v4:4:20106309:20107325:1 gene:Potri.004G188250.v4.1 transcript:Potri.004G188250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188250.v4.1 MTSSEVSSAKILLFEFRRQFFSEMGFISYVRSKICNFKCLQTSIICEENQTSM >Potri.002G112400.1.v4.1 pep chromosome:Pop_tri_v4:2:8490643:8490945:1 gene:Potri.002G112400.v4.1 transcript:Potri.002G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112400.v4.1 MPASSWRIQVIPTFNFCDYWLAGRMRVCCATREQQSMAISSLEFSWLCPTRYVISPLSCVVQRCHAQPVNSNLMMCTVISLGIGSRLTSRAVHRIMLPCF >Potri.004G156800.1.v4.1 pep chromosome:Pop_tri_v4:4:17774073:17775153:-1 gene:Potri.004G156800.v4.1 transcript:Potri.004G156800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156800.v4.1 MEGFGDENASVVSPVARWEHDAWRMYRFYLDKATPHAVYRWIGTLVIVAVYCSRLYYVRGFYIIVYGLGVYIVNLLSGFLSLLVDPEHADGPLLPTSCSDEFKPLIRRLPEFKFWYSFTRAFIIAFAMTFFPVFDVPVVWSILLCSWTLLFVITMGYQIRYLIRYKCTLFNIGKQKYGGKKSSASNNVSCMA >Potri.006G092400.3.v4.1 pep chromosome:Pop_tri_v4:6:7025249:7028516:1 gene:Potri.006G092400.v4.1 transcript:Potri.006G092400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092400.v4.1 MEGKKQTGSSSSSFTSDLFGSKENSPSSMGIFGSIFAPASPKVLGRESLRFEVAEKKQDSADDAWNTKSGTPASDLTSKMNEGESQSVPNKDMSSIYQEQRVQPCHLSSSIYYGGQDIYHHPQTAHTSSINPMFKKDGPEDDTGSASRGNWWQGGLYY >Potri.018G105600.2.v4.1 pep chromosome:Pop_tri_v4:18:12469210:12473839:1 gene:Potri.018G105600.v4.1 transcript:Potri.018G105600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105600.v4.1 MASTTAVTAQVTNLNGLGDWKRPFPASLPLGNLNLNANVVGKQLLSWSGKQKSERNYRSFRVIGLFGGKKENSEKSDDAPSKAGIFGNMQNLYETVKKAQMVVQVEAVRVQKELASAEFDGYCEGELIKVTLTGNQQPVRTEITEAAMELGAEKLSLLVTEAYKDAHQKSVQAMKERMNDLAQSLGMPPGVGEGLK >Potri.008G003600.3.v4.1 pep chromosome:Pop_tri_v4:8:138283:142687:1 gene:Potri.008G003600.v4.1 transcript:Potri.008G003600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003600.v4.1 MQSRLEEGEENKVQMLVDEKGVNIPTTGTGTDLTRTSSPSTCTTATATCTTTSSRALQQRLFSLLQRKQQPTLKHVTQIHAQILINGFSRTKNFLLVHLLSFYSNSSAHHLLSAHYVFKDIQSPSTILWNQMIRAHARSQTPAKSIQFFNQMLLTDSRPDAHTYSFLLAACTSSLSLREGQQVHSKVLTNGYYSSNVFLMSKLVNFYAAVVGGEGAALASARKVFDDMSERNVVCWNSMLAGYMRRGNLDGARRIFYEMPERNVVSWTTMISGYAKNGKCKQALNLFDQMRKAGVELDQVVLLAALTACAELGDLKMGMWIHSYIQDTFVGSNQRVLVSLNNALIHMYASCGMIDEAYEVFRWMPERSAVSWTSLITAFAKQGYAQAVLEIFRSMQRLGTSEARPDGITFIGVLCACSHAGLVDEGRQLFKDMIQRWGIKPRIEHYGCMVDLLSRAGFLDEAQELIATMPVKPNNAVWGALLGGCRFYRNAELASLVSQKLVAEPDPDKAAGYLSLLAQVYASAEKWQDVATVRQKMVAMGVKKPAGQSWVQINEVVHDSVSVDKTHKNTSSIYEMLGKIHQASKVERL >Potri.008G003600.5.v4.1 pep chromosome:Pop_tri_v4:8:138284:140549:1 gene:Potri.008G003600.v4.1 transcript:Potri.008G003600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003600.v4.1 MQSRLEEGEENKVQMLVDEKGVNIPTTGTGTDLTRTSSPSTCTTATATCTTTSSRALQQRLFSLLQRKQQPTLKHVTQIHAQILINGFSRTKNFLLVHLLSFYSNSSAHHLLSAHYVFKDIQSPSTILWNQMIRAHARSQTPAKSIQFFNQMLLTDSRPDAHTYSFLLAACTSSLSLREGQQVHSKVLTNGYYSSNVFLMSKLVNFYAAVVGGEGAALASARKVFDDMSERNVVCWNSMLAGYMRRGNLDGARRIFYEMPERNVVSWTTMISGYAKNGKCKQALNLFDQMRKAGVELDQVVLLAALTACAELGDLKMGMWIHSYIQDTFVGSNQRVLVSLNNALIHMYASCGMIDEAYEVFRWMPERSAVSWTSLITAFAKQGYAQAVLEIFRSMQRLGTSEARPDGITFIGVLCACSHAGLVDEGRQLFKDMIQRWGIKPRIEHYGCMVDLLSRAGFLDEAQELIATMPVKPNNAVWGALLGGCRFYRNAELASLVSQKLVAEPDPDKAAGYLSLLAQVYASAEKWQDVATVRQKMVAMGVKKPAGQSWVQINEVVHDSVSVDKTHKNTSSIYEMLGKIHQASKVERL >Potri.008G003600.4.v4.1 pep chromosome:Pop_tri_v4:8:138336:142686:1 gene:Potri.008G003600.v4.1 transcript:Potri.008G003600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003600.v4.1 MQSRLEEGEENKVQMLVDEKGVNIPTTGTGTDLTRTSSPSTCTTATATCTTTSSRALQQRLFSLLQRKQQPTLKHVTQIHAQILINGFSRTKNFLLVHLLSFYSNSSAHHLLSAHYVFKDIQSPSTILWNQMIRAHARSQTPAKSIQFFNQMLLTDSRPDAHTYSFLLAACTSSLSLREGQQVHSKVLTNGYYSSNVFLMSKLVNFYAAVVGGEGAALASARKVFDDMSERNVVCWNSMLAGYMRRGNLDGARRIFYEMPERNVVSWTTMISGYAKNGKCKQALNLFDQMRKAGVELDQVVLLAALTACAELGDLKMGMWIHSYIQDTFVGSNQRVLVSLNNALIHMYASCGMIDEAYEVFRWMPERSAVSWTSLITAFAKQGYAQAVLEIFRSMQRLGTSEARPDGITFIGVLCACSHAGLVDEGRQLFKDMIQRWGIKPRIEHYGCMVDLLSRAGFLDEAQELIATMPVKPNNAVWGALLGGCRFYRNAELASLVSQKLVAEPDPDKAAGYLSLLAQVYASAEKWQDVATVRQKMVAMGVKKPAGQSWVQINEVVHDSVSVDKTHKNTSSIYEMLGKIHQASKVERL >Potri.017G111500.1.v4.1 pep chromosome:Pop_tri_v4:17:11931460:11938127:1 gene:Potri.017G111500.v4.1 transcript:Potri.017G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111500.v4.1 MAAATAEAARSSSGSGGGASADSYVGSLISLTSKSEIRYEGVLFNIDTQESTIGLRNVRSFGTEGRKKDGLQVPPSDKVYEFILFRGTDIKDLQVKSYPPVQTATPVHNDPAIIQSQYPQATTASMNLPSSANGPLTDPSSHASSNGLPRATFQGSLPQYQPGASLEPWGLSHLPPTTNTSGLAMPMYWQGYYGPSNGVQAPQQALLRPPPNLSMPPSMLQYVQYPAMNASNTSASALLENPPPLLPPLNLQTSTLPSRSSAMVSDSTNLIPDRVSTQTLPSKLPLASPLTTAVDKIAVAPSVSDIPKTVPDPIMPFKSISEPPTSIMRASSSVTNEGKTPSLVTPGQLLQPGPPIMPSLQSSHIAQKDVEVVQVSSPELSAPPPTTAVTEVQESILPLPSQPEHKVYGAPMYTYQSSRGGRGRGRGNEIARSATRFEEDFDFTAMNEKFNKEEVWGHLGKSHKAQDRDDLLDEDDVGSSKHEAKPVYVKDDFFDSISCGALDGGSRNGRARFSQQSIRDTNAFGNFSHHRGGRGGWGPDRGGRGGWGPDRGGRSHGGYYGRGYGYAGRGRGYGNDAF >Potri.015G099600.1.v4.1 pep chromosome:Pop_tri_v4:15:11967127:11969457:-1 gene:Potri.015G099600.v4.1 transcript:Potri.015G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099600.v4.1 MNDHLAMASVATKGASGLYFPGNLYKVEERNNMTILAMARAGSSCSWRMITPKKRSFYAIASAGNPPSLDMKNGKKVNGIHVKETPSPYIGNTNPRGLAIDSNVGEPHTYLLGRFVEDRFVYRQTFSIRSYEIGPDKTATMETLMNLLQETALNHVTSSGLAGNGFGATREMSLRKLIWVVTRIHVQVQRYSCWGDVVEIDTWVDATGKNGMRRDWIIRDYNTQEIITRATSTWVIMNRETRKLSKIPGEVREELQPFYINRLAIPAEHNDIEKIDKLTDETAGRIQSGLAPRWSDMDANQHVNNVKYIGWILESVPMHVLEHYNLTSMTLEYRRECRQSNLLESLTSTSEDSNSNSSNRKADMEHTHLLRMQADKAEIVRARTEWKSKLKHE >Potri.012G065100.1.v4.1 pep chromosome:Pop_tri_v4:12:8228850:8230738:-1 gene:Potri.012G065100.v4.1 transcript:Potri.012G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065100.v4.1 MSAGAHVLLFPFPAQGHLIPLLDLAHHLVIRGLTITILVTPKNLPILNPLLSKNSTINTLVLPFPNYPSIPLGIENLKDLPPNIRPTSMIHALGELYQPLLSWFRSHPSPPVAIISDMFLGWTHRLACQLGVRRFVFSPSGAMALATMYSLWQEMPNAPKDQNELFSFSKIPSCPKYPWLQISTIYRSYVEGDPVSEFTKEGMEANIASWGLIVNSLTLLEGIYFEHLRKQLGHDRVWAVGPILPEKTIDMTPPERGVSMHDLKTWLDTCEDHKVVYVCYGTQVVLTKYQMEAVASGLEKSGVHFIWCVKQPSKEHVGEGYSMIPSGFEDRVAGRGLIIRGWAPQVWILSHRAVGAFLTHCGWNSILEGIVAGVPMLACPMAADQFVGATLLVEDLKVAKRVCDGANLVSNSAKLARTLMESVSDESRVEKERAKELRMAALDAIKEDGSSDKHLNAFVKHVVGLGMETDKG >Potri.002G163100.1.v4.1 pep chromosome:Pop_tri_v4:2:12484482:12489777:-1 gene:Potri.002G163100.v4.1 transcript:Potri.002G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163100.v4.1 MVSVNPNPAQGFYFFDPMNNSNMGLPGLDSLPPPPTTTAATPSNTATATATNTCKNTVSTVNTNNNHNNTVMSFSEDSSKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKAAHPYPQKASKSAVVASQATGLFQSSSTLLEPGYLYRPDTTSDLGNPITSGSSSTWSYNSGPPVNMSQMTTDDAGLAGPTISYKCCYSSSNESTPRTWQAGKIIDNKDQGKPERVMPDFAQVYSFIGSVFDPNARDHLQRLKQMDPINLETVVLLMRNLSVNLTSPEFEDHRRLLASYDVDSEKENSGGSYSNCSVDNLGNPIPAM >Potri.011G125800.2.v4.1 pep chromosome:Pop_tri_v4:11:15721249:15731671:-1 gene:Potri.011G125800.v4.1 transcript:Potri.011G125800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125800.v4.1 MELLRSNLSRVRIPEPTNRIYKHECCVSFETPRSEGGLFVDMTTFLAFGKDYVDWNYEKTGNPVYLHIKQTRKVVPEDRPSKKPTLLAIGVEGGFDNNEPQYEETHNIVILPDYVTFPFPSVELPEKVRLAVDAIIMAEGAERKEQLAAWTADKKQVSAYAMNLPQIDNGVIVPPSGWKCVKCDKKENLWLNLTDGMILCGRKNFDGTGGNNHAIEHYKETSYPLAVKLGTITADLEAADVFSYPEDDSVVDPLLAQHLAFFGIDFSALQKTEMTTAERELDQNTNFDWNRIQESGQDSELIHGPGYTGLANLGNSCYMAATMQVVFSTRSFNSRYYTNQSLKMAFEMAPADPTVDLNMQLTKLAHGMLSGKYSVPAPEKDDEENAAISTTNKQEGIPPRMFKAVIAASHPEFSSMRQQDALEFFLHFLDQVERVNGGSSALDPSRSFKFGIEERILCPSGKVGFNKRLDYILSLNIPLHEATNKEELEAFHKLKAEKISEGKDVSHDEIVRPRVPLAACLANYSAPEEIQDYYSTALKAKTTALKTAGLTSFPDYLVLHMRKFVLEEGWVPKKLDVYIDVPDIIDISYMRSKGLQPGEELLPDGVPEAEVESNNPVANEDIVAQLVSMGFNHLHCQKAAINTSNTGVEEAMNWLLAHMDDPDIDVPVSQGAHGNEVDQSKVDTLLSFGFQEEIARKALKASGGDIEKATDWIFNNPDASVSSDMDTSTSSSKPTPDDTELPDGRGRYRLFGIVSHMGTSTHCGHYVAHVLKDGRWVIFNDNKVAASINPPKDMGYLYFFERLDS >Potri.011G125800.3.v4.1 pep chromosome:Pop_tri_v4:11:15721223:15731629:-1 gene:Potri.011G125800.v4.1 transcript:Potri.011G125800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125800.v4.1 MELLRSNLSRVRIPEPTNRIYKHECCVSFETPRSEGGLFVDMTTFLAFGKDYVDWNYEKTGNPVYLHIKQTRKVVPEDRPSKKPTLLAIGVEGGFDNNEPQYEETHNIVILPDYVTFPFPSVELPEKVRLAVDAIIMAEGAERKEQLAAWTADKKQVSAYAMNLPQIDNGVIVPPSGWKCVKCDKKENLWLNLTDGMILCGRKNFDGTGGNNHAIEHYKETSYPLAVKLGTITADLEAADVFSYPEDDSVVDPLLAQHLAFFGIDFSALQKTEMTTAERELDQNTNFDWNRIQESGQDSELIHGPGYTGLANLGNSCYMAATMQVVFSTRSFNSRYYTNQSLKMAFEMAPADPTVDLNMQLTKLAHGMLSGKYSVPAPEDDEENAAISTTNKQEGIPPRMFKAVIAASHPEFSSMRQQDALEFFLHFLDQVERVNGGSSALDPSRSFKFGIEERILCPSGKVGFNKRLDYILSLNIPLHEATNKEELEAFHKLKAEKISEGKDVSHDEIVRPRVPLAACLANYSAPEEIQDYYSTALKAKTTALKTAGLTSFPDYLVLHMRKFVLEEGWVPKKLDVYIDVPDIIDISYMRSKGLQPGEELLPDGVPEAEVESNNPVANEDIVAQLVSMGFNHLHCQKAAINTSNTGVEEAMNWLLAHMDDPDIDVPVSQGAHGNEVDQSKVDTLLSFGFQEEIARKALKASGGDIEKATDWIFNNPDASVSSDMDTSTSSSKPTPDDTELPDGRGRYRLFGIVSHMGTSTHCGHYVAHVLKDGRWVIFNDNKVAASINPPKDMGYLYFFERLDS >Potri.001G023800.1.v4.1 pep chromosome:Pop_tri_v4:1:1821246:1822529:-1 gene:Potri.001G023800.v4.1 transcript:Potri.001G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023800.v4.1 MASHVACTLFTLHFILLSYLCTITCGAFYEEVTEAIAIKRIEKTTHLHFYFHDIVSGKHPTAIRIAGPDNYAFGDTMMADDPLTEGLEISSKPVGKAQGLYALASQNDFCLLMVMNFAFTEGKYNGSSISILGRNQILNDVREMPIVGGSGLFRLAHGYALAHTVWFDEQGDATVEYNVYVSHY >Potri.003G197600.5.v4.1 pep chromosome:Pop_tri_v4:3:19963581:19972426:1 gene:Potri.003G197600.v4.1 transcript:Potri.003G197600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197600.v4.1 MAALEPTLRVGPLERDIELAITALKRGTQLLKYGRRGKPKFCPFRLSNDESVLIWISGKEEKHLKLSHVSRIIPGQRTPIFQRYPRPEKEYQSFSLIYSDRSLDLICKDKEEAEVWFTGLKALISNRQIWKKREETTNDGLLSEANSPRAYTIRSSPLSFAFGSDDSSLKDGMDPLRLRTPYDSPPNTGLEKALSDVVYTVPPKVLFPLESACAPAQSQLLGGSDETTGRAKVTNTDNFRVSLSSAVSSSSQGSGRDENDALGDVYIWGEGTGDGILGGGVHRIGGSGVQMDSFVPKALESAVLLDVQAIACGRQHAALVTKQGEVFSWGEELGGRLGHGVDSDVSHPKFVDGLKNFNVELVACGEYHSCAVTLSGDLYIWGGNAYNFGLLGCGSEATQWVPRKLDGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRISVSIPREVESLKGLRTMRAACGVWHTAAVVEIMVGSSNSSNCSSGKLFTWGDGDKCRLGHGDKEARLVPTCVATLVEPNFCQVACGHSLTAALTTTGQVYTMGSPVYGQLGNPQADGMLPTRVEGKLMKNFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGDADDRNSPSVVEALKDKQVKGIVCGTSFTAAICLHKWVSGIDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSNKKSLKASMAPNPNKPYRVCDNCLSKLRRSSETDSSVHSALSRRGSVNQGLNEVAEKTENSNSKSHAKLGRNFSMESSKEVESISSRRNRKSNSNSIQVSPSGNDVSRRNTFNNSKSFGSSKKFFSASLPGSRIVSRATSPTSRRSSPPRAATPTPTLSANELPKLAVDGAGRLNDSLREEIVKLRAQVEELTNKAQLQDVELERTTKQLKEAIAVAEEETTKCKAAKEVIKSLTAQLKEVAERVPVGASRNSNSPSFYCSSNTTPWDVSPGILEQLSSPTACHEQDSKGSNSLVISNVSGTTTTTTNQTPHHSEVTQIETTVRNKNRIAKVEPTNGDEWVEQDEPGVYITLVSLHGGAKDLKRVRFRYS >Potri.003G197600.2.v4.1 pep chromosome:Pop_tri_v4:3:19963571:19972452:1 gene:Potri.003G197600.v4.1 transcript:Potri.003G197600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197600.v4.1 MAALEPTLRVGPLERDIELAITALKRGTQLLKYGRRGKPKFCPFRLSNDESVLIWISGKEEKHLKLSHVSRIIPGQRTPIFQRYPRPEKEYQSFSLIYSDRSLDLICKDKEEAEVWFTGLKALISNRQIWKKREETTNDGLLSEANSPRAYTIRSSPLSFAFGSDDSSLKDGMDPLRLRTPYDSPPNTGLEKALSDVVYTVPPKVLFPLESACAPAQSQLLGGSDETTGRAKVTNTDNFRVSLSSAVSSSSQGSGRDENDALGDVYIWGEGTGDGILGGGVHRIGGSGVQMDSFVPKALESAVLLDVQAIACGRQHAALVTKQGEVFSWGEELGGRLGHGVDSDVSHPKFVDGLKNFNVELVACGEYHSCAVTLSGDLYIWGGNAYNFGLLGCGSEATQWVPRKLDGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRISVSIPREVESLKGLRTMRAACGVWHTAAVVEIMVGSSNSSNCSSGKLFTWGDGDKCRLGHGDKEARLVPTCVATLVEPNFCQVACGHSLTAALTTTGQVYTMGSPVYGQLGNPQADGMLPTRVEGKLMKNFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGDADDRNSPSVVEALKDKQVKGIVCGTSFTAAICLHKWVSGIDQSMCSGCHLPFNFKRKRHNCYNCGLVFCHSCSNKKSLKASMAPNPNKPYRVCDNCLSKLRRSSETDSSVHSALSRRGSVNQGLNEVAEKTENSNSKSHAKLGRNFSMESSKEVESISSRRNRKSNSNSIQVSPSGNDVSRRNTFNNSKSFGSSKKFFSASLPGSRIVSRATSPTSRRSSPPRAATPTPTLSANELPKLAVDGAGRLNDSLREEIVKLRAQVEELTNKAQLQDVELERTTKQLKEAIAVAEEETTKCKAAKEVIKSLTAQLKEVAERVPVGASRNSNSPSFYCSSNTTPWDVSPGILEQLSSPTACHEQDSKGSNSLVISNVSGTTTTTTNQTPHHSEVTQIETTVRNKNRIAKVEPTNGDEWVEQDEPGVYITLVSLHGGAKDLKRVRFSRKRFSEKQAEQWWAANRARVYQQYNVPMGDRSIVSVGREGLTQ >Potri.015G062850.1.v4.1 pep chromosome:Pop_tri_v4:15:8793279:8793569:1 gene:Potri.015G062850.v4.1 transcript:Potri.015G062850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062850.v4.1 MALFYSHLVSILVSILVSFPQLREAQLQGFAIFRVCQKSEVPRAGSEAIAKCELLSLGIAKAGLRNSREVAHLSYSQNRWRRLLDHKFSILKSYRD >Potri.014G090800.1.v4.1 pep chromosome:Pop_tri_v4:14:5896642:5898559:1 gene:Potri.014G090800.v4.1 transcript:Potri.014G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090800.v4.1 MSELLCKAKQFLVEKVANVKTPEATVTDVDLEGVHRDSIDYGAKVSVDNPYEAAIPICEISYVLKSDGRVIASGTIPDPGSLKAKDTTILDVPVKVPHSVLVSLVKDIGRDWDIDYELEIGLTIDLPIVGNFTIPLSWKGEVKLPTLSDLF >Potri.016G131900.1.v4.1 pep chromosome:Pop_tri_v4:16:13597980:13603258:1 gene:Potri.016G131900.v4.1 transcript:Potri.016G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131900.v4.1 MARAMGMGMGLGSSSSATVAVDKATSDLLIGPDWTMNIDICDSVNSNYWQPKDVVKALKKRLQHKSPRVQLLALTLLETMVKNCGDYVHFQIAERNILGEMVKIVKKKTDMHVRDKILALIDSWQEAFGGPGGKHSQYYWAYEELRRAGVQFPQRSSNAAPIFTPPATNPTLRHAQPGYGMPSNSSSRLDETMAAEIEGLSLSSLDSMRDVMELLSDMLQAVNPRDREAVKDEVIVDLVNQCRSNQKKLMQMLTTTGDEELLGKGLELNDSMQILLAKHDAISSGSPMPTQVISLSPKSSEGCSSDIKPTEARDASPRSTTNSAMPVANVTRSAVDEEDEEDDFAQLARRHSKTQSGSSQSSGGTNGALVPLDVGMPTASTSSPSNSLALADPSLPVKTMKDQDMIDFLSLALSTTSTSPPTPPTPPVSNQAMPQIPPSSSTQGYPYVSQTYPVNQGPVPYSSYVVPWAQPQTQQHQLQSPSQTQLQPHSYQHLRPPSRPQQQLQPEPEQQSTPQPQQHLQHQSKPQLQPQFQPQLRPEPQQQSVPQPQQHLQHQSQPQLQPQFQPQLQSQHPQHSSVYPPPPWAATPGYLNNQIHTSTTNNMFSSPRSNSAASYTPMQAARPMQQFNSLPTRVGNGSIINGDSSSASRVPAPPGQKQSFVPSYRLFDDLNVLGNADGRFKMNGSTPPSLSGSSGQSMVGGRK >Potri.005G097300.1.v4.1 pep chromosome:Pop_tri_v4:5:6925352:6928496:1 gene:Potri.005G097300.v4.1 transcript:Potri.005G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097300.v4.1 MVVMANKIETAHQMYRDGNYEEALGFYTEALSMAKTKPQKIALHSNRAACYLKLHDFKKAAEECTSVLELDHNHTGALMLRAQTLVTLKEYTSALFDVNRLMELNPSSEVYQNLEARLRTQLSLAPIPESEVELEEEEEDENEAEPCGQVEMQDAATALVDINQKNEPCQTTNDAEVIVHKTPDIKKISVESTDKKSEPRKTIAAEVIAQARKKVEPRKTFTAEVIAQAQRKAEPRNTAPAEADAGTKESIKQNSNGWQAIPKPKGHSTLDYARWDRVEDGSSEDDDEEEEDSQPQYRFRVRTFGV >Potri.014G081300.1.v4.1 pep chromosome:Pop_tri_v4:14:5248038:5251534:-1 gene:Potri.014G081300.v4.1 transcript:Potri.014G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081300.v4.1 MAEQEVKKVETETPVTPAPVETKSDVADEKAIVPPPPAAEEKEKVADELKALAVVEKTEPAPKKISGGSIDRDIALADLEKEKRLSFIKAWEDSEKTKAENKSQKKLSAVVAWENSKKAALEATLRKMEEKLEKQKAEYAEKMKNKVALIHKDAEEQRAMVEAKRGEEFLKAEEMAAKYRATGQTPKKLLGCF >Potri.003G193900.2.v4.1 pep chromosome:Pop_tri_v4:3:19670061:19673512:1 gene:Potri.003G193900.v4.1 transcript:Potri.003G193900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193900.v4.1 MFKNTFQSGFLSILYSLGSKPLQIWDKEVSNGHIKRLHDEDIQSNVLEIVGSNIQSTYITCPADPGATLGIKLPFLVMIVKNVKKYFTFEIQVLDDKNVRRRFRASNFQTVTRVKPYICTMPLKLDEGWNQIQLNLADFTRRAYGTNYVETLRVQVHANCRLRRIYFSDRLYSEEELPPEFKLYLPVQQKA >Potri.001G158200.1.v4.1 pep chromosome:Pop_tri_v4:1:13367710:13375360:1 gene:Potri.001G158200.v4.1 transcript:Potri.001G158200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158200.v4.1 MDGEEAGPPKRELYALLQVSPEATDEDIRKAYRHWAQVYHPDKYQDFHMQQIATENFQRICQAYEILSDEYKRQIYDIYGMEGINSGLELGPKLDKVEELKAELERLRKRKEEEKMLAHFRPSGTILSHLSLPQFLDGDGIMRGMAMSSEVQSQLSKRTAIAIGGNLEVNENSGGGAASTVLRHQLSPVSSIEFIASAGLRALIGVQTTRNLSLHSTATIAIAKSLRDGSINLSNTWTRQLSETANGNIQLLLGPESSIAVGWQKKHEKMSASGELKIGTSSFAVSAHYTHRFSSKSHGRIAGRFGSTNLEVEVGGGRKLSNFSTVRMLYTIGIQGIFWKFELHRGGQKLIIPMLLSRHLNPVFATGAFVIPTSLYFLLKKFVVKPYYLQREKQKTLENKERNSAQVQEARAAAEKAQQLLKIVANRKISKHLETNELVITKAVYGSSKALKKADESREVNKESASEVFDVTIPLNFLINDSGQLKLHEGVRKSGIMGFCDPCPGEPKLLHVEFTYGGKRFEVEVDDYAALLIPQESHRV >Potri.006G136500.1.v4.1 pep chromosome:Pop_tri_v4:6:11226302:11229576:-1 gene:Potri.006G136500.v4.1 transcript:Potri.006G136500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136500.v4.1 MGREREVPNKSEKEVISYTVEQLVAVNPYNPDILPDLENYVNEQVSSQTYSLDANLCLLRLYQFEPERMSTQIVARILVKALMAMPAPDFSLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEAAKSRHIVEAVPGFEQAIQAYAIHLLSLTYQKVPRSVLAEAINIEGLSLDKFLEQQVANCGWILEKSHGRGQLIVLPSNEFNHPELKKNTADSIPLEHVARIFPILG >Potri.004G097800.7.v4.1 pep chromosome:Pop_tri_v4:4:8428678:8435888:-1 gene:Potri.004G097800.v4.1 transcript:Potri.004G097800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097800.v4.1 MQAAVMYGGVVVKGSVNSNSTSLAVCFSRAQKGRKQKQLSSSIDFSNANCQLLSSSSSSCMPHRTSCFGLRLDSFSMGTELTRSSLFRSRPIVKALASGGGGVGDVEEGRPIKSVEDANYVVLPQQQQGKASTGTVFPFVGVACLGATLFGYHLGVVNGSLEYLAKDLGIVENTALQGWIVSTLLAGATVGSFTGGTLADKFGRTRTFQLDAIPLTIGALLCATAQSVQTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILLALVAGLPLAGNPIWWRTMFGISAVPAVLLALGMAFSPESPRWLFQQGKFSEAEKSIKTLNGKERVADVMTDLTVGSQGSAEPEAGWFDLFSSRYWKVVSVGVALFFFQQMAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTTIASSLMDRQGRKSLLITSFFGMAASMLLLSLSFTWKALAPYSGTLAVLGTVCYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWAANFVIGLYFLSFVNRFGISSVYLGFSGICLSAVVYIAANVVETKGRSLEEIERALNPTI >Potri.004G097800.5.v4.1 pep chromosome:Pop_tri_v4:4:8428692:8436135:-1 gene:Potri.004G097800.v4.1 transcript:Potri.004G097800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097800.v4.1 MQAAVMYGGVVVKGSVNSNSTSLAVCFSRAQKGRKQKQLSSSIDFSNANCQLLSSSSSSCMPHRTSCFGLRLDSFSMGTELTRSSLFRSRPIVKALASGGGGVGDVEEGRPIKSVEDANYVVLPQQQQGKASTGTVFPFVGVACLGATLFGYHLGVVNGSLEYLAKDLGIVENTALQGWIVSTLLAGATVGSFTGGTLADKFGRTRTFQLDAIPLTIGALLCATAQSVQTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILLALVAGLPLAGNPIWWRTMFGISAVPAVLLALGMAFSPESPRWLFQQGKFSEAEKSIKTLNGKERVADVMTDLTVGSQGSAEPEAGWFDLFSSRYWKVVSVGVALFFFQQMAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTTIASSLMDRQGRKSLLITSFFGMAASMLLLSLSFTWKALAPYSGTLAVLGTVCYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWAANFVIGLYFLSFVNRFGISSVYLGFSGICLSAVVYIAANVVETKGRSLEEIERALNPTI >Potri.004G097800.6.v4.1 pep chromosome:Pop_tri_v4:4:8428702:8435981:-1 gene:Potri.004G097800.v4.1 transcript:Potri.004G097800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097800.v4.1 MQAAVMYGGVVVKGSVNSNSTSLAVCFSRAQKGRKQKQLSSSIDFSNANCQLLSSSSSSCMPHRTSCFGLRLDSFSMGTELTRSSLFRSRPIVKALASGGGGVGDVEEGRPIKSVEDANYVVLPQQQQGKASTGTVFPFVGVACLGATLFGYHLGVVNGSLEYLAKDLGIVENTALQGWIVSTLLAGATVGSFTGGTLADKFGRTRTFQLDAIPLTIGALLCATAQSVQTMIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSINQLFICIGILLALVAGLPLAGNPIWWRTMFGISAVPAVLLALGMAFSPESPRWLFQQGKFSEAEKSIKTLNGKERVADVMTDLTVGSQGSAEPEAGWFDLFSSRYWKVVSVGVALFFFQQMAGINAVVYYSTAVFRSAGIASDVAASALVGASNVFGTTIASSLMDRQGRKSLLITSFFGMAASMLLLSLSFTWKALAPYSGTLAVLGTVCYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWAANFVIGLYFLSFVNRFGISSVYLGFSGICLSAVVYIAANVVETKGRSLEEIERALNPTI >Potri.002G050000.4.v4.1 pep chromosome:Pop_tri_v4:2:3361673:3366583:1 gene:Potri.002G050000.v4.1 transcript:Potri.002G050000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050000.v4.1 MRDENPKKSKLSWPKTLVKKWFNIKSKAEEFQADDVLYGGGGEDWRRSFSEREACTIKKSKTERSSKRHSDRVQRSKIDLDAAQVTDVNQYRIFVATWNVAGKSPPSHLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPAKKWLALIRKTLNSLPGTSCGYHTPSPISDPIVELDADFEGSTRQKASSFFHRRSFQSLSRSMRMDGDMEMAQPRLDRRFSVCDRVIFGNRPSDYDPNFKWGSSDDENGPGDSPGATQYAPIEYSGSLSMEDRERQTGQSRYCLVASKQMVGIFLTVWVKSDLRDDIRNLKVSCVGRGLMGYLGNKGSISISMSLHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHDRGDKYSPQTILEHDRIIWVGDLNYRVALSYYAVKTLVEMHNWRALLENDQLRIEQRRGRVFDGWSEGRIYFPPTYKYSNNSDRYAGDDRHLKEKRRTPAWCDRILWYGKGLHQLSYVRGESRFSDHRPVYGVFLAEVESINRGRIKRSTSCSNSRIEVEELLPYSHGYTELSFF >Potri.009G044700.1.v4.1 pep chromosome:Pop_tri_v4:9:5124482:5127558:1 gene:Potri.009G044700.v4.1 transcript:Potri.009G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044700.v4.1 MATLGNPLASVPISSNPTILTANNEQKSNPSTVPILIDKCANKKHLKQLHAHMLRTGLFFDPPSATKLFTACALSSPSSLDYACKVFDQIPRPNLYTWNTLIRAFASSPKPIQGLLVFIQMLHESQRFPNSYTFPFVIKAATEVSSLLAGQAIHGMVMKASFGSDLFISNSLIHFYSSLGDLDSAYLVFSKIVEKDIVSWNSMISGFVQGGSPEEALQLFKRMKMENARPNRVTMVGVLSACAKRIDLEFGRWACDYIERNGIDINLILSNAMLDMYVKCGSLEDARRLFDKMEEKDIVSWTTMIDGYAKVGDYDAARRVFDVMPREDITAWNALISSYQQNGKPKEALAIFRELQLNKNTKPNEVTLASTLAACAQLGAMDLGGWIHVYIKKQGIKLNFHITTSLIDMYSKCGHLEKALEVFYSVERRDVFVWSAMIAGLAMHGHGRAAIDLFSKMQETKVKPNAVTFTNLLCACSHSGLVDEGRLFFNQMRPVYGVVPGSKHYACMVDILGRAGCLEEAVELIEKMPIVPSASVWGALLGACRIYGNVELAEMACSRLLETDSNNHGAYVLLSNIYAKAGKWDCVSRLRQHMKVSGLEKEPGCSSIEVNGIIHEFLVGDNSHPLSTEIYSKLDETVARIKSTGYVSDESHLLQFVEEEYMKEHALNLHSEKLAIAYGLIRMEPSQPIRIVKNLRVCGDCHSVAKIISKLYNRDILLRDRYRFHHFSGGNCSCMDYW >Potri.015G090300.3.v4.1 pep chromosome:Pop_tri_v4:15:11349663:11353024:1 gene:Potri.015G090300.v4.1 transcript:Potri.015G090300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090300.v4.1 MGKAARITQILFLISLLSKQILSQDVGEHVAARTVKQEEGHAHKVHCSRERSRAAWQAIEEYLMPFVEREQYQISSKCRLHPSNDLFRDQEEHKIHVDINEWKCGYCKKHFRAEKYLDQHFDNRHYNLLNISDGKCMADLCGALHCDFMMDSKSTKTKCNPAAVAKNHHLCESLADSCFPLNQGPSASRLHELFLHQFCDAHTCSGNKKLFPKGGKKKTSVFYLAISILTMMMIPLFYLIVYLHQSEMRKGTQELRRISKRKTKPS >Potri.015G090300.2.v4.1 pep chromosome:Pop_tri_v4:15:11349663:11353024:1 gene:Potri.015G090300.v4.1 transcript:Potri.015G090300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090300.v4.1 MGKAARITQILFLISLLSKQILSQDVGEHVAARTVKQEEGHAHKVHCSRERSRAAWQAIEEYLMPFVEREQYQISSKCRLHPSNDLFRDQEEHKIHVDINEWKCGYCKKHFRAEKYLDQHFDNRHYNLLNISDGKCMADLCGALHCDFMMDSKSTKTKCNPAAVAKNHHLCESLADSCFPLNQGPSASRLHELFLHQFCDAHTCSGNKKLFPKGGKKKTSVFYLAISILTMMMIPLFYLIVYLHQSEMRKGTQELRRISKRKTKPS >Potri.015G090300.1.v4.1 pep chromosome:Pop_tri_v4:15:11349663:11353024:1 gene:Potri.015G090300.v4.1 transcript:Potri.015G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090300.v4.1 MGKAARITQILFLISLLSKQILSQDVGEHVAARTVKQEEGHAHKVHCSRERSRAAWQAIEEYLMPFVEREQYQISSKCRLHPSNDLFRDQEEHKIHVDINEWKCGYCKKHFRAEKYLDQHFDNRHYNLLNISDGKCMADLCGALHCDFMMDSKSTKTKCNPAAVAKNHHLCESLADSCFPLNQGPSASRLHELFLHQFCDAHTCSGNKKLFPKGGKKKTSVFYLAISILTMMMIPLFYLIVYLHQSEMRKGTQELRRISKRKTKPS >Potri.015G090300.4.v4.1 pep chromosome:Pop_tri_v4:15:11349663:11353024:1 gene:Potri.015G090300.v4.1 transcript:Potri.015G090300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090300.v4.1 MGKAARITQILFLISLLSKQILSQDVGEHVAARTVKQEEGHAHKVHCSRERSRAAWQAIEEYLMPFVEREQYQISSKCRLHPSNDLFRDQEEHKIHVDINEWKCGYCKKHFRAEKYLDQHFDNRHYNLLNISDGKCMADLCGALHCDFMMDSKSTKTKCNPAAVAKNHHLCESLADSCFPLNQGPSASRLHELFLHQFCDAHTCSGNKKLFPKGGKKKTSVFYLAISILTMMMIPLFYLIVYLHQSEMRKGTQELRRISKRKTKPS >Potri.008G113101.1.v4.1 pep chromosome:Pop_tri_v4:8:7198502:7198981:-1 gene:Potri.008G113101.v4.1 transcript:Potri.008G113101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113101.v4.1 MEVVKAYSLMLKDGIYNLNRITLSTMLMLVLSQGCVGLGRQIHGQVVKFGFGAYVFVGSPLVDMYAKMGLVSEAKQVFDEVQERSMLMFNTMITGLLSCGVVEDSKRLLHDMKERVSISWTKWNGGEVIDLFRDMGLEGMAMKQYTFGNVLTACGGLMV >Potri.006G113400.2.v4.1 pep chromosome:Pop_tri_v4:6:8781357:8782926:-1 gene:Potri.006G113400.v4.1 transcript:Potri.006G113400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113400.v4.1 MTKVYPNVAPTAAATNEKQRQLLKLKSSNNALDADHAVVLTVWKKSLLFNCNGFTVFDSKGNLLFRVDNYMATSGSNGEIVLMDAAGKPLLTMRRKRLSLGDSWLVYDGETAINPEFSVKKHVSILNKKCLAHVSQAAGSNKNVMYEIEGSYTQRCCAVYDEKKRRVAEIKQKERVGGVAFGVDVFRLLVGPEIDSSMAMAIVIVLDQMFGSTRRFST >Potri.002G093400.6.v4.1 pep chromosome:Pop_tri_v4:2:6788426:6793450:-1 gene:Potri.002G093400.v4.1 transcript:Potri.002G093400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093400.v4.1 MSEGVKRSSSNGFEKPLTTEHQQEKVNEVKRLVGPLPEKLSIYCSDASIERHLRARNWNVKKALKMLKETLKWRVAYKPEEIRWEEIAHEAHTGKIYRSSYVDKHGRTVLVMRPSCQNSKSIKGQIKYLVYCMENAILNLPPDQEQMVWLIDFSGFNLSHISLKVTRETAHVLQDHYPERLGLAILYNPPKFFEPFWMVAKAFLEPKTYNKVKFVYSDEINTMKIVEDLFDMDYLEAAFGGKDSVGFDITKYAERMKEDDKRMPSFWTRASSPSAAPQPDLASATLDSLNLDSNSDASDDDKTEGSMPHGIDSDTVFTDENTLVIDGGKKESESESEDPHRTDVKPDDKAHA >Potri.002G093400.5.v4.1 pep chromosome:Pop_tri_v4:2:6788481:6793507:-1 gene:Potri.002G093400.v4.1 transcript:Potri.002G093400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093400.v4.1 MSEGVKRSSSNGFEKPLTTEHQQEKVCPSHLLVTFVTSYWRETFKLVTLSSMQVNEVKRLVGPLPEKLSIYCSDASIERHLRARNWNVKKALKMLKETLKWRVAYKPEEIRWEEIAHEAHTGKIYRSSYVDKHGRTVLVMRPSCQNSKSIKGQIKYLVYCMENAILNLPPDQEQMVWLIDFSGFNLSHISLKVTRETAHVLQDHYPERLGLAILYNPPKFFEPFWMVAKAFLEPKTYNKVKFVYSDEINTMKIVEDLFDMDYLEAAFGGKDSVGFDITKYAERMKEDDKRMPSFWTRASSPSAAPQPDLASATLDSLNLDSNSDASDDDKTEGSMPHGIDSDTVFTDENTLVIDGGKKESESESEDPHRTDVKPDDKAHA >Potri.002G093400.7.v4.1 pep chromosome:Pop_tri_v4:2:6788727:6790291:-1 gene:Potri.002G093400.v4.1 transcript:Potri.002G093400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093400.v4.1 MENAILNLPPDQEQMVWLIDFSGFNLSHISLKVTRETAHVLQDHYPERLGLAILYNPPKFFEPFWMVAKAFLEPKTYNKVKFVYSDEINTMKIVEDLFDMDYLEAAFGGKDSVGFDITKYAERMKEDDKRMPSFWTRASSPSAAPQPDLASATLDSLNLDSNSDASDDDKTEGSMPHGIDSDTVFTDENTLVIDGGKKESESESEDPHRTDVKPDDKAHA >Potri.002G093400.4.v4.1 pep chromosome:Pop_tri_v4:2:6788492:6793450:-1 gene:Potri.002G093400.v4.1 transcript:Potri.002G093400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093400.v4.1 MSEGVKRSSSNGFEKPLTTEHQQEKVNEVKRLVGPLPEKLSIYCSDASIERHLRARNWNVKKALKMLKETLKWRVAYKPEEIRWEEIAHEAHTGKIYRSSYVDKHGRTVLVMRPSCQNSKSIKGQIKYLVYCMENAILNLPPDQEQMVWLIDFSGFNLSHISLKVTRETAHVLQDHYPERLGLAILYNPPKFFEPFWMVAKAFLEPKTYNKVKFVYSDEINTMKIVEDLFDMDYLEAAFGGKDSVGFDITKYAERMKEDDKRMPSFWTRASSPSAAPQPDLASATLDSLNLDSNSDASDDDKTEGSMPHGIDSDTVFTDENTLVIDGGKKESESESEDPHRTDVKPDDKAHA >Potri.016G015400.3.v4.1 pep chromosome:Pop_tri_v4:16:806392:809597:-1 gene:Potri.016G015400.v4.1 transcript:Potri.016G015400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015400.v4.1 MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVAVAVAGIMSDANILINTARIQAQRYTFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYAGWKAAAIGANNQAAQSMLKQDYRDEITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLSPSGNVKYQICPPNALSKLLVKFGVTQPAAETS >Potri.006G283900.1.v4.1 pep chromosome:Pop_tri_v4:6:27417703:27418456:1 gene:Potri.006G283900.v4.1 transcript:Potri.006G283900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283900.v4.1 MEAPSSVFYRFRLCVRLVCLPWFCFSSFVPSHPLYFCLLLRSPLFSVYFLSLRSSLFSVFIFVPSASLPFLYCVCSVLALRSPSLFFFFCFFLFKKTLPIARECHAVTQIIKSLWDCYCRSSKFYRR >Potri.019G117632.1.v4.1 pep chromosome:Pop_tri_v4:19:14392339:14392605:-1 gene:Potri.019G117632.v4.1 transcript:Potri.019G117632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117632.v4.1 MSSASKAWLVAAAIGGVEALKDQGFCRWNYTLRSLHHHAKNHVRSASQAKKLSSSSSAMISHIVKEEKAKQSEESLRKVMYLSCWGPN >Potri.002G154100.1.v4.1 pep chromosome:Pop_tri_v4:2:11770671:11773938:1 gene:Potri.002G154100.v4.1 transcript:Potri.002G154100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154100.v4.1 MLKACSHCPSTPLSCFQLPTEVSTSPFFQDQIPVLGRVMNSDFSSVMANPISLMQLMKAGFKFQPSPEELIINYLVPKSRGGIVEGVPMAEVNLCEHEPWDLPNKSIINLADQEWYFFCPRDLKYKKKSRLCNRKTKAGYWKLTCKIKPIMAGHTKKNIGVMKTLTFYKTARPKDARTGWMIYEFDIVTNSSLSKKGQYVLCRLENRSDERIKKGEQNHLMASVSVSEAVPGQSMTSDFENQNSSEMVVSLASDDSELSHHMGSDSGNQNSSELTPARQVSELSHYMASDFRNQNSCKSGSNLAYDGSGSCHSTAFNSETQYRNQPTVGSVCIVNKNHYMAFDLENQNPNELLTVDSVYTVSKNHYMAPNELPTVDSAYTVSKNHYMAFDLENQNPNELLTVDSVYTVSKNHYMAPNELPTVDSAYTVSNNHYMAFDSEYQNPNELLTADSAYIVDKNHYMAFDSEYQKPNELLTADSVYDVSESQYMPFDSENQNLNNINSISTYENSLMASHGFENKNPPFPPEGECGTSVVMPFKFINQSTYDESELSSLLASDFGNQNLDKEINLSAFDEGEWSSLTAIPSDFGNQNPSKKADISTHEEGYSSYLTAPFSENNLADVSLPDVSPELLAGALEAIFEQKKSPNTVLQPPVCVEESHSYMDYDTSTST >Potri.002G154100.5.v4.1 pep chromosome:Pop_tri_v4:2:11770667:11773931:1 gene:Potri.002G154100.v4.1 transcript:Potri.002G154100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154100.v4.1 MLKACSHCPSTPLSCFQLPTEVSTSPFFQDQIPVLGRVMNSDFSSVMANPISLMQLMKAGFKFQPSPEELIINYLVPKSRGGIVEGVPMAEVNLCEHEPWDLPNKSIINLADQEWYFFCPRDLKYKKKSRLCNRKTKAGYWKLTCKIKPIMAGHTKKNIGVMKTLTFYKTARPKDARTGWMIYEFDIVTNSSLSKKGQYVLCRLENRSDERIKKGEQNHLMASVSVSEAVPGQSMTSDFENQNSSEMVVSLASDDSELSHHMGSDSGNQNSSELTPARQVSELSHYMASDFRNQNSCKSGSNLAYDGSGSCHSTAFNSETQYRNQPTVGSVCIVNKNHYMAFDLENQNPNELLTVDSVYTVSKNHYMAPNELPTVDSAYTVSKNHYMAFDLENQNPNELLTVDSVYTVSKNHYMAPNELPTVDSAYTVSNNHYMAFDSEYQNPNELLTADSAYIVDKNHYMAFDSEYQKPNELLTADSVYDVSESQYMPFDSENQNLNNINSISTYENSLMASHGFENKNPPFPPEGECGTSVVMPFKFINQSTYDESELSSLLASDFGNQNLDKEINLSAFDEGEWSSLTAIPSDFGNQNPSKKADISTHEEGYSSYLTAPFSENNLADVSLPDVRIK >Potri.002G154100.6.v4.1 pep chromosome:Pop_tri_v4:2:11770779:11773937:1 gene:Potri.002G154100.v4.1 transcript:Potri.002G154100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154100.v4.1 MAGHTKKNIGVMKTLTFYKTARPKDARTGWMIYEFDIVTNSSLSKKGQYVLCRLENRSDERIKKGEQNHLMASVSVSEAVPGQSMTSDFENQNSSEMVVSLASDDSELSHHMGSDSGNQNSSELTPARQVSELSHYMASDFRNQNSCKSGSNLAYDGSGSCHSTAFNSETQYRNQPTVGSVCIVNKNHYMAFDLENQNPNELLTVDSVYTVSKNHYMAPNELPTVDSAYTVSKNHYMAFDLENQNPNELLTVDSVYTVSKNHYMAPNELPTVDSAYTVSNNHYMAFDSEYQNPNELLTADSAYIVDKNHYMAFDSEYQKPNELLTADSVYDVSESQYMPFDSENQNLNNINSISTYENSLMASHGFENKNPPFPPEGECGTSVVMPFKFINQSTYDESELSSLLASDFGNQNLDKEINLSAFDEGEWSSLTAIPSDFGNQNPSKKADISTHEEGYSSYLTAPFSENNLADVSLPDVRIK >Potri.008G219900.1.v4.1 pep chromosome:Pop_tri_v4:8:18179630:18183450:-1 gene:Potri.008G219900.v4.1 transcript:Potri.008G219900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219900.v4.1 MNSEDMKRSITGGVKVENDSYYRKSSPAAAAPPGKKIIIKNADMKDDMQKEAVDIAIAAFERNNVEKDVAEHIKKEFDKKHGPTWHCIVGRNFGSYVTHETNHFVYFYLDQKAVLLFKSG >Potri.008G219900.2.v4.1 pep chromosome:Pop_tri_v4:8:18182876:18183332:-1 gene:Potri.008G219900.v4.1 transcript:Potri.008G219900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219900.v4.1 MNSEDMKRSITGGVKVENDSYYRKSSPAAAAPPGKKIIIKNADMKDDMQKEAVDIAIAAFERNNVEKDVAEHIKKEFDKKHGPTWHCIVGRNFGNPFSLSFFNFRGCFSC >Potri.008G189400.1.v4.1 pep chromosome:Pop_tri_v4:8:13285651:13287729:1 gene:Potri.008G189400.v4.1 transcript:Potri.008G189400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GOLS1 MAPGVPIDGNILGTGKVSTVNTGYSKRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAILPDVPEEHRDILRSQGCIVREIEPIYPPENQIQFAMAYYVINYSKLRIWNFEEYSKMIYLDADIQVFENIDHLFDTQDGYFYAVMDCFCEKTWSHSPQYSVGYCQQCPEKITWPAEMGSPPPLYFNAGMFVFEPSRLTYESLLERLQITPPTPFAEQDFLNMFFQKTYKPIPLLYNLVLAMLWRHPENVEVEKVKVVHYCAAGSKPWRYTGEEANMDREDIKMLVAKWWDIYNDESLDFNGENSVPEEETIFSRSSILSSMPEPAISYVPAPTAA >Potri.008G099733.1.v4.1 pep chromosome:Pop_tri_v4:8:6226004:6227124:1 gene:Potri.008G099733.v4.1 transcript:Potri.008G099733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099733.v4.1 MEGKGRFFEDTQSNCKNSGSCEGTSSSKAIQKGSLVSWHYRKGNIALEAETYWFAVISVAKDTWRC >Potri.016G016000.2.v4.1 pep chromosome:Pop_tri_v4:16:836905:840406:1 gene:Potri.016G016000.v4.1 transcript:Potri.016G016000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016000.v4.1 MKKSELVFVPSPGVGHLVPAVEIAKLMVKRDDRLSITVLVMKRPPLDTKINKYIESVSASISDHIQFVDLPNDEKTSSGINFLSSFIESQKPHVKNAVFKLVQSESSSESPQLAGFVVGMFCTTMIDVANEFGVPSYVFFASSAAALSLMLYMQALNDEKNVDTTEFKDSDAEFMLPGIVNPVPAKVLPSVVFNKDWHPIYFGNARRFKEAEGIMVNTYVELESPVINAFSDGKTPPLYPIGPILNLKGDGHDVGSAETNKNKDIMEWLDDQPPSSVVFLCFGSMGSFSEEQLKEIASALEQSGYRFLWSVRQPPPKGKMGFPTDYANPEEAVPTGFLDRTAGIGKVIGWAPQVAILAHPAIGGFVSHCGWNSILESLWFGVPIAAWPLFSEQQLNAFEMMIELGLAAEIKMDYRKDFRAENEVIVSADIIEKGIMSVMEQDSEVRKKVKAISEMGKKALLDGGSSHSILGRLIEDMMNNLK >Potri.016G016000.3.v4.1 pep chromosome:Pop_tri_v4:16:836935:840485:1 gene:Potri.016G016000.v4.1 transcript:Potri.016G016000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016000.v4.1 MKKSELVFVPSPGVGHLVPAVEIAKLMVKRDDRLSITVLVMKRPPLDTKINKYIESVSASISDHIQFVDLPNDEKTSSGINFLSSFIESQKPHVKNAVFKLVQSESSSESPQLAGFVVGMFCTTMIDVANEFGVPSYVFFASSAAALSLMLYMQALNDEKNVDTTEFKDSDAEFMLPGIVNPVPAKVLPSVVFNKDWHPIYFGNARRFKEAEGIMVNTYVELESPVINAFSDGKTPPLYPIGPILNLKGDGHDVGSAETNKNKDIMEWLDDQPPSSVVFLCFGSMGSFSEEQLKEIASALEQSGYRFLWSVRQPPPKGKMGFPTDYANPEEAVPTGFLDRTAGIGKVIGWAPQVAILAHPAIGGFVSHCGWNSILESLWFGVPIAAWPLFSEQQLNAFEMMIELGLAAEIKMDYRKDFRAENEVIVSADIIEKGIMSVMEQDSEVRKKVKAISEMGKKALLDGGSSHSILGRLIEDMMNNLK >Potri.018G000900.1.v4.1 pep chromosome:Pop_tri_v4:18:80597:83024:1 gene:Potri.018G000900.v4.1 transcript:Potri.018G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000900.v4.1 MGLVKGVALSTRLRQQPLGLALCCLYILQLLLLLLSSVSGTGVNWGTQATHPLPPSTVVNMLRDNGIQKVKLFDADSATLNAFANSGIQIMVGIPNDMLYTLANSEQAAENWVAKNVSSHISSGGVDIRYVAVGNEPFLSTYNGSFLGTTLPALQNIHSALTKAGLDTRVKVTVPLNADVYESPTNIPSDGNFRKDIQDLMLSIVKFLSDNGAPFTVNIYPFISLYTTPNFPLGFAFFNNTSSSSLTDGGKIYDNVFDANHDTLVWALQKNGYGNLSIVIGEIGWPTDGDKNANLNYAQQFNQGFMNNVIAGKGTPMRPAPVDAYLFSLFDEDAKSIQPGNFERHWGLFYLDGQPKYALSLGTTNSNGLVPARGVSYLAKKWCIMSPSASLDDPQVAPSVSYACASADCTSLGYGTSCGDLSAQGNISYAFNSYYQQNNQLESACRFPNNLSVVTSNDPSTGTCKFMIMIQSQAVTSGVEGGRGFSMSSFVTFAVAFLSAFL >Potri.011G047800.2.v4.1 pep chromosome:Pop_tri_v4:11:3795893:3798243:1 gene:Potri.011G047800.v4.1 transcript:Potri.011G047800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047800.v4.1 MAVSPEYMPENPIDFRAPPPSPIASGRRSSVTNDGVLNEFLEHSLRVPDLILPDNFFPKQKIVETPPRIDCQSLNSKESNSVLKTLDSIARIGCFQLVNFGIPSEFIKLVSVMAAGIFQLPSEKKAAVMKSPEKPYGFEEVHGDQEAENELSEEFVWSKDESLKLDMERIWPTGYSNFSLDCWFQSPNTSANLNISSNMNTGVSYVNYDEATSYAQVLIIRSEKMETLSSDLGNLAAKILQVLQENCPRKSKYANDMMQRQDRIGPVCCLYKHRRDIPADRLASSLGYDVFSMLIRGTDYSHALCLHICDGSSQFHVYSKKSWVSFCPDKDALIVTVGDQTQAWSGGQYKQVLGRPIFKGEGEDNISMAFLYSPPNTIICCSKTTKGKTLSLGQQAILAIILTLVYHLLVYFYKKL >Potri.011G047800.1.v4.1 pep chromosome:Pop_tri_v4:11:3795893:3798243:1 gene:Potri.011G047800.v4.1 transcript:Potri.011G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047800.v4.1 MAVSPEYMPENPIDFRAPPPSPIASGRRSSVTNDGVLNEFLEHSLRVPDLILPDNFFPKQKIVETPPRIDCQSLNSKESNSVLKTLDSIARIGCFQLVNFGIPSEFIKLVSVMAAGIFQLPSEKKAAVMKSPEKPYGFEEVHGDQEAENELSEEFVWSKDESLKLDMERIWPTGYSNFSEKMETLSSDLGNLAAKILQVLQENCPRKSKYANDMMQRQDRIGPVCCLYKHRRDIPADRLASSLGYDVFSMLIRGTDYSHALCLHICDGSSQFHVYSKKSWVSFCPDKDALIVTVGDQTQAWSGGQYKQVLGRPIFKGEGEDNISMAFLYSPPNTIICCSKTTKGKTLSLGQQAILAIILTLVYHLLVYFYKKL >Potri.006G076600.6.v4.1 pep chromosome:Pop_tri_v4:6:5655542:5663242:-1 gene:Potri.006G076600.v4.1 transcript:Potri.006G076600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076600.v4.1 MKTPQLWRLGMGDVQILHGARHRPPLKRPMWIIILVSMVSLFLVCAYIYPPQSSSACYVFSSRGCKVLTDWLPPAPTREFTDEEIASRIVVREILNTPSIPTKKAKIAFMFLTTSLLPFEKLWDKFFSGHEDRFSVYVHASKEKPVHVSRYFVDRDVRSDQVIWGQISMIDAERRLLANALGDPDNQHFVLLSDSCVPLYKFDHIYNYLMYSNMSYLDCFYDPGPHGNGRYSEHMLPEIELKDFRKGAQWFSMKRQHAVIVMADSLYYTKFRDYCKPGLEGKNCIADEHYLPTFFHIVDPGGIANWSVTHVDWSERKWHPKLYRTQDVTSELLKNITSIDLSIHVTSDEKRDVQVQPCLWNGTTRPCYLFARKFHPETTDNLLKLFSNYTSL >Potri.006G076600.5.v4.1 pep chromosome:Pop_tri_v4:6:5655597:5663214:-1 gene:Potri.006G076600.v4.1 transcript:Potri.006G076600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076600.v4.1 MKTPQLWRLGMGDVQILHGARHRPPLKRPMWIIILVSMVSLFLVCAYIYPPQSSSACYVFSSRGCKVLTDWLPPAPTREFTDEEIASRIVVREILNTPSIPTKKAKIAFMFLTTSLLPFEKLWDKFFSGHEDRFSVYVHASKEKPVHVSRYFVDRDVRSDQVIWGQISMIDAERRLLANALGDPDNQHFVLLSDSCVPLYKFDHIYNYLMYSNMSYLDCFYDPGPHGNGRYSEHMLPEIELKDFRKGAQWFSMKRQHAVIVMADSLYYTKFRDYCKPGLEGKNCIADEHYLPTFFHIVDPGGIANWSVTHVDWSERKWHPKLYRTQDVTSELLKNITSIDLSIHVTSDEKRDVQVQPCLWNGTTRPCYLFARKFHPETTDNLLKLFSNYTSL >Potri.006G076600.4.v4.1 pep chromosome:Pop_tri_v4:6:5655623:5663187:-1 gene:Potri.006G076600.v4.1 transcript:Potri.006G076600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076600.v4.1 MKTPQLWRLGMGDVQILHGARHRPPLKRPMWIIILVSMVSLFLVCAYIYPPQSSSACYVFSSRGCKVLTDWLPPAPTREFTDEEIASRIVVREILNTPSIPTKKAKIAFMFLTTSLLPFEKLWDKFFSGHEDRFSVYVHASKEKPVHVSRYFVDRDVRSDQVIWGQISMIDAERRLLANALGDPDNQHFVLLSDSCVPLYKFDHIYNYLMYSNMSYLDCFYDPGPHGNGRYSEHMLPEIELKDFRKGAQWFSMKRQHAVIVMADSLYYTKFRDYCKPGLEGKNCIADEHYLPTFFHIVDPGGIANWSVTHVDWSERKWHPKLYRTQDVTSELLKNITSIDLSIHVTSDEKRDVQVQPCLWNGTTRPCYLFARKFHPETTDNLLKLFSNYTSL >Potri.007G044500.2.v4.1 pep chromosome:Pop_tri_v4:7:3879203:3882841:-1 gene:Potri.007G044500.v4.1 transcript:Potri.007G044500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044500.v4.1 MDCDKGFSSSYMLLKPEELTFFDLVNILFSTDIEKRKFVDSAEVKEEDFQRRWLIFISIIVQKLLQVFSKPISFFGSLTEMWLNLLSSNGGFGSLLLNTMEGKVVIPEKTSASFLSFTGNYDLRTELDRNIKHGDPRYYAELSIMASKASYENKEYLETIVNHHWEMELLGSYDFWNDYQDKATTQAFLLRDKKDDHDTIVLAFRGTEPFDADAWCSDFDLSWYEIPDVGRIHGGFMKALGLQKCLGWPKEMKQNSSRPAPLAYYALRDILEGILSQNDQTKYIVTGHSLGGALAILFPAVLAFHDEKLLLDRLQGIYTFGQPRVGDGNFGKYMENMLEQNTIPYYRFVYGSDIVPRLPYDDKALMFKHFGTCLYYNRNYEVQVVEEEPNKNYFSLRGAIPMMVNAFFELIRSFTISSTKGRDYKERWFLRGFRVTGLVLPGVPAHLIQDYVNSTRLGSANVFLSGTKKQE >Potri.019G086100.1.v4.1 pep chromosome:Pop_tri_v4:19:12543650:12546898:-1 gene:Potri.019G086100.v4.1 transcript:Potri.019G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086100.v4.1 MAEKDPDFYSHKLPSASQVFEELKELWGMALPITAAHLMAFFRAVVSVMFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLSLSLQRMIVILGIAIIPISLLWLNLESIMNFMGQDPNITAMAATYCMYSLPDLLTNTLLQPLRVFLRSQRVTKPIMYCSLLAVIFHVPLNYALVVVMGWGVPGVALASVVTNMNMVMLMVGYVWWVSGRWEMRWRVEIGGVCGGLGPLLKVAVPSCLGICLEWWWYEIVTVLAGYLPNPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALGCAFVIGVLNVTWTVFLRERWAGLFTKDVLVKGLVAAVLPIIGLCELGNCPQTTGCGILRATARPAVGARINLGSFYFVGTPVAVGLAFGLNIGFSGLWFGLLSAQIACALSILYVVMVRTDWEHEAFKAKELTSMEMSACNGVGHKEHERDEESKGLLMNGNGDMVDHV >Potri.019G086100.2.v4.1 pep chromosome:Pop_tri_v4:19:12543712:12546896:-1 gene:Potri.019G086100.v4.1 transcript:Potri.019G086100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086100.v4.1 MALPITAAHLMAFFRAVVSVMFLGRLGSLELAGGALSIGFTNITGYSVLVGLASGLEPVCSQAYGSKNWDLLSLSLQRMIVILGIAIIPISLLWLNLESIMNFMGQDPNITAMAATYCMYSLPDLLTNTLLQPLRVFLRSQRVTKPIMYCSLLAVIFHVPLNYALVVVMGWGVPGVALASVVTNMNMVMLMVGYVWWVSGRWEMRWRVEIGGVCGGLGPLLKVAVPSCLGICLEWWWYEIVTVLAGYLPNPTLAVAATGILIQTTSMMYTVPMALAGCVSARVGNELGAGKPYKAKLAAMVALGCAFVIGVLNVTWTVFLRERWAGLFTKDVLVKGLVAAVLPIIGLCELGNCPQTTGCGILRATARPAVGARINLGSFYFVGTPVAVGLAFGLNIGFSGLWFGLLSAQIACALSILYVVMVRTDWEHEAFKAKELTSMEMSACNGVGHKEHERDEESKGLLMNGNGDMVDHV >Potri.002G221000.3.v4.1 pep chromosome:Pop_tri_v4:2:20500497:20504400:1 gene:Potri.002G221000.v4.1 transcript:Potri.002G221000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221000.v4.1 MESNIEEAVKAKEFAEKRFAERDFAGAKKHALKAKTLCPGLEGISQMVATFEVYVASQAKCNGEVDYFSILGLKPSADKDAVKKQYRKMAVLLHPDKNKTVGADGAFKLVSEAWTMLSDSLKKNSYNVKRNKQMASCAVQTNLSSVHAAGVTGYNQCSNSPTAHGLDTFWTVCTSCKVQYEYLRKYVNKKLSCKNCRGTFIAIETGAAPVNGSFPYCPWSYVPGNGYRCHGYDGVACVPTTTTLYTGNGVSGLDAGHRYEHVSNVSFQWSSFSGTSGDAVGPNGSCAVSSDIVYQANGNVSAAKVKPAANGRRSMKTATEKVYSDVSASCNEFSGSKTGRPDKKRKVSIGSTSRNGHEENEPKLGSEVRLANGCANVEHDTKLSIPSEVPTRRSLIAPAFDARKLLIDKARTDIRKKLEEMRLASAAAVTKNIEDLFTKAGEAPKQSNSDITGHHTKPNKIEPISITVPDPDFHDFDKDRAEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKIHITYLNSKTDSEFGVVNWIDSGFAKSCGHFRAWNSDVVDQVNIFSHVMKGEKPGRGGCVRIYPKSGDVWAVYQNWSPDWNRSTPDDVRHQYEMVEVLDNYSEELGVCVTPLIKLTGFKTVYQRNTDKGAIRWIPRREMVRFSHQVPSWSLEGEASNLPEKCWDLDPAATPDELLHAATEAKA >Potri.018G024200.3.v4.1 pep chromosome:Pop_tri_v4:18:1788407:1792247:1 gene:Potri.018G024200.v4.1 transcript:Potri.018G024200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024200.v4.1 MSTVDLGGEGSQCLISIKTKRTGGPRNIVEGTVFINGTAPIGTTDHDFICATLDWWPPDKCDYGTCSWGKASFLNLDLTNPILLNAIKAFSPLKIRMGGTLQDKVTYERLGEPCPTFVKSSPEMFGFSQGCLPMSRWDELNNFFKQAGAVVLFGLNALSGRTTAADGSAVGAWNSSDAEFLMRYSVNKGYTIHGWELGNEVSGKGIGTRIAADQYASDINNLQMIVQTIYAGFEVKPLVLAPGGFFDANWFTQFINKTPRSLQVVTHHIYNLGPGVDDHLIDKILNPSYLDGGSQPFRSLQGILKTSGTPAVAWVGEAGGAYNSGHNRVTNAFVFSFWYLDQLGMASSYDTKTYCRQTLIGGNYGLLNTGTFVPNPDYYSALLWHRLMGRNVLSTTFSGTNNIRAYAHCAKASKGITLLLINLDGNTTVEVHVSTENVTGNGTLVTQQQNQTKFSGMSKGSNIDVSTREEYHLTALNGDLHSQTVLLNGNILSVNSSGSVPPLEPIETSQSDPITVAPFSIVFAHIANSTVPACEY >Potri.018G024200.2.v4.1 pep chromosome:Pop_tri_v4:18:1788694:1792292:1 gene:Potri.018G024200.v4.1 transcript:Potri.018G024200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024200.v4.1 MGGTLQDKVTYERLGEPCPTFVKSSPEMFGFSQGCLPMSRWDELNNFFKQAGAVVLFGLNALSGRTTAADGSAVGAWNSSDAEFLMRYSVNKGYTIHGWELGNEVSGKGIGTRIAADQYASDINNLQMIVQTIYAGFEVKPLVLAPGGFFDANWFTQFINKTPRSLQVVTHHIYNLGPGVDDHLIDKILNPSYLDGGSQPFRSLQGILKTSGTPAVAWVGEAGGAYNSGHNRVTNAFVFSFWYLDQLGMASSYDTKTYCRQTLIGGNYGLLNTGTFVPNPDYYSALLWHRLMGRNVLSTTFSGTNNIRAYAHCAKASKGITLLLINLDGNTTVEVHVSTENVTGNGTLVTQQQNQTKFSGMSKGSNIDVSTREEYHLTALNGDLHSQTVLLNGNILSVNSSGSVPPLEPIETSQSDPITVAPFSIVFAHIANSTVPACEY >Potri.018G024200.4.v4.1 pep chromosome:Pop_tri_v4:18:1788694:1792212:1 gene:Potri.018G024200.v4.1 transcript:Potri.018G024200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024200.v4.1 MDFLSVCVCVLFSLSLLLFFLFFFFQVRSSDMDLIYFFLLLFLSFSNFFQDLTNPILLNAIKAFSPLKIRMGGTLQDKVTYERLGEPCPTFVKSSPEMFGFSQGCLPMSRWDELNNFFKQAGAVVLFGLNALSGRTTAADGSAVGAWNSSDAEFLMRYSVNKGYTIHGWELGNEVSGKGIGTRIAADQYASDINNLQMIVQTIYAGFEVKPLVLAPGGFFDANWFTQFINKTPRSLQVVTHHIYNLGPGVDDHLIDKILNPSYLDGGSQPFRSLQGILKTSGTPAVAWVGEAGGAYNSGHNRVTNAFVFSFWYLDQLGMASSYDTKTYCRQTLIGGNYGLLNTGTFVPNPDYYSALLWHRLMGRNVLSTTFSGTNNIRAYAHCAKASKGITLLLINLDGNTTVEVHVSTENVTGNGTLVTQQQNQTKFSGMSKGSNIDVSTREEYHLTALNGDLHSQTVLLNGNILSVNSSGSVPPLEPIETSQSDPITVAPFSIVFAHIANSTVPACEY >Potri.018G024200.1.v4.1 pep chromosome:Pop_tri_v4:18:1788346:1792290:1 gene:Potri.018G024200.v4.1 transcript:Potri.018G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024200.v4.1 MATLNADRETNTRHCFYQMGSLFTVCVFCACFYWLGATSKSAASQRTGGPRNIVEGTVFINGTAPIGTTDHDFICATLDWWPPDKCDYGTCSWGKASFLNLDLTNPILLNAIKAFSPLKIRMGGTLQDKVTYERLGEPCPTFVKSSPEMFGFSQGCLPMSRWDELNNFFKQAGAVVLFGLNALSGRTTAADGSAVGAWNSSDAEFLMRYSVNKGYTIHGWELGNEVSGKGIGTRIAADQYASDINNLQMIVQTIYAGFEVKPLVLAPGGFFDANWFTQFINKTPRSLQVVTHHIYNLGPGVDDHLIDKILNPSYLDGGSQPFRSLQGILKTSGTPAVAWVGEAGGAYNSGHNRVTNAFVFSFWYLDQLGMASSYDTKTYCRQTLIGGNYGLLNTGTFVPNPDYYSALLWHRLMGRNVLSTTFSGTNNIRAYAHCAKASKGITLLLINLDGNTTVEVHVSTENVTGNGTLVTQQQNQTKFSGMSKGSNIDVSTREEYHLTALNGDLHSQTVLLNGNILSVNSSGSVPPLEPIETSQSDPITVAPFSIVFAHIANSTVPACEY >Potri.018G024200.5.v4.1 pep chromosome:Pop_tri_v4:18:1788405:1792238:1 gene:Potri.018G024200.v4.1 transcript:Potri.018G024200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024200.v4.1 MGGTLQDKVTYERLGEPCPTFVKSSPEMFGFSQGCLPMSRWDELNNFFKQAGAVVLFGLNALSGRTTAADGSAVGAWNSSDAEFLMRYSVNKGYTIHGWELGNEVSGKGIGTRIAADQYASDINNLQMIVQTIYAGFEVKPLVLAPGGFFDANWFTQFINKTPRSLQVVTHHIYNLGPGVDDHLIDKILNPSYLDGGSQPFRSLQGILKTSGTPAVAWVGEAGGAYNSGHNRVTNAFVFSFWYLDQLGMASSYDTKTYCRQTLIGGNYGLLNTGTFVPNPDYYSALLWHRLMGRNVLSTTFSGTNNIRAYAHCAKASKGITLLLINLDGNTTVEVHVSTENVTGNGTLVTQQQNQTKFSGMSKGSNIDVSTREEYHLTALNGDLHSQTVLLNGNILSVNSSGSVPPLEPIETSQSDPITVAPFSIVFAHIANSTVPACEY >Potri.003G056600.1.v4.1 pep chromosome:Pop_tri_v4:3:8289447:8295907:-1 gene:Potri.003G056600.v4.1 transcript:Potri.003G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056600.v4.1 MTELEDPLQGYSGLSLFRRTLGSLPKPTNDPDDLLSAHNLLKSLPVKNPDKLIEQARSILDATPEPTNADLPNGVMPEDKSEVVAQKDAESPRARRPGLGRKRARFSLFPNASQPTVNLEPTLDIDSLKDPEQFFLAFERLEDAKKEMAKQTGRVSIGSNQSRASMAPRHRRPGMPGRSRTAKYQHLYPTMSSQETFMEKILSPANPGSQQETFSPDVASQLRESTNLVPEESGLAGSMAKAEKRVDKLLDELLSRDYEELDGDGAVTLLRDCLQVKALDLEKLSLPELLNVQKTSLNALGGNLPKPRNVLSDIHNLPRRTITPMRQQIAGNSSCSFGSPTPPKSPLASLALLRKRILQSNPPTDPFSVFDVDQSPETNASSLKNINNSSDPVDIENDLSLLKSLIIEEDDTTAGNTSPVHVAIGDSGTETDKSLNDNLTSPGSGSDGCPSRSSAEVKNRDVGADNVIIDENSSQLGGDMDIQTKGPNAVEDMVEDMQHKTVDKSLNDNLISLGPSNDVCCSKSSAEVESGSPGVDNGVIDDNLSQIGGDVDIQTNRPNELEDMVEDIQQKAVDSTQPDDTAMEFLNNAQDQFEQLSPAVVEDHAMDGCPETQDSGLEQTKDNCPEHQDETVEEPPVVSLNKQAKAKSHTAKGRKNGSLSKRHSLAASGTSWETGLRRSTRIRSRPLEYWKGERFLYGRIHGSLATVIGIKYESPGNDKGKRALKVKSYVSDEYKDLVELAALH >Potri.003G056600.2.v4.1 pep chromosome:Pop_tri_v4:3:8289471:8295875:-1 gene:Potri.003G056600.v4.1 transcript:Potri.003G056600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056600.v4.1 MTELEDPLQGYSGLSLFRRTLGSLPKPTNDPDDLLSAHNLLKSLPVKNPDKLIEQARSILDATPEPTNADLPNGVMPEDKSEVVAQKDAESPRARRPGLGRKRARFSLFPNASQPTVNLEPTLDIDSLKDPEQFFLAFERLEDAKKEMAKQTGRVSIGSNQSRASMAPRHRRPGMPGRSRTAKYQHLYPTMSSQETFMEKILSPANPGSQQETFSPDVASQLRESTNLVPEESGLAGSMAKAEKRVDKLLDELLSRDYEELDGDGAVTLLRDCLQVKALDLEKLSLPELLNVQKTSLNALGGNLPKPRNVLSDIHNLPRRTITPMRQQIAGNSSCSFGSPTPPKSPLASLALLRKRILQSNPPTDPFSVFDVDQSPETNASSLKNINNSSDPVDIENDLSLLKSLIIEEDDTTAGNTSPVHVAIGDSGTETDKSLNDNLTSPGSGSDGCPSRSSAEVKNRDVGADNVIIDENSSQLGGDMDIQTKGPNAVEDMVEDMQHKTVDKSLNDNLISLGPSNDVCCSKSSAEVESGSPGVDNGVIDDNLSQIGGDVDIQTNRPNELEDMVEDIQQKAVDSTQPDDTAMEFLNNAQDQFAEQLSPAVVEDHAMDGCPETQDSGLEQTKDNCPEHQDETVEEPPVVSLNKQAKAKSHTAKGRKNGSLSKRHSLAASGTSWETGLRRSTRIRSRPLEYWKGERFLYGRIHGSLATVIGIKYESPGNDKGKRALKVKSYVSDEYKDLVELAALH >Potri.005G231250.1.v4.1 pep chromosome:Pop_tri_v4:5:23059863:23060024:1 gene:Potri.005G231250.v4.1 transcript:Potri.005G231250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231250.v4.1 MSPVVSEIIRSGFMINSSFRRRTHLVQSFSVVFLYWFYVFSEIIFQLCLVCNI >Potri.010G210800.2.v4.1 pep chromosome:Pop_tri_v4:10:19951400:19952493:-1 gene:Potri.010G210800.v4.1 transcript:Potri.010G210800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210800.v4.1 MAASKINLKLLIDSKHNRVVFAEAGKDFVDFLLSLLALPVGTVIRLLTKSTMIGSIANIYGSLEKLDESYMQPNQNKDSILKPTITTQVTNQNFLLPDTKKPENPNLYYCSSHPGYVSDIHNSVCSHCRSQCYTRYMNQKVEFVGTNVSASTDTSASDQAGGYVKGLVTYMVTDDLSVSPMSMVSVVGLLNKIEIKDFSVLEEKVVEFGIDEVQCFFLKNSVLNCSILTW >Potri.010G210800.1.v4.1 pep chromosome:Pop_tri_v4:10:19951223:19952588:-1 gene:Potri.010G210800.v4.1 transcript:Potri.010G210800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210800.v4.1 MAASKINLKLLIDSKHNRVVFAEAGKDFVDFLLSLLALPVGTVIRLLTKSTMIGSIANIYGSLEKLDESYMQPNQNKDSILKPTITTQVTNQNFLLPDTKKPENPNLYYCSSHPGYVSDIHNSVCSHCRSQCYTRYMNQKVEFVGTNVSASTDTSASDQAGGYVKGLVTYMVTDDLSVSPMSMVSVVGLLNKIEIKDFSVLEEKVVEFGIDEGLELLKASLLSKNALTAVFLPKLN >Potri.014G163866.1.v4.1 pep chromosome:Pop_tri_v4:14:11802631:11803937:1 gene:Potri.014G163866.v4.1 transcript:Potri.014G163866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163866.v4.1 MAKDNRRKRYKKANKDMICRLPNEILGHVLSFLPIKDAVRTSILSKSWRELWTSLANFNFDDHIRWQLSAERETTFGDFMDRFCYLHNSPENSIAKFHLRDNLEELILYTSDHVLLPRRIFSCEKLVALDLSYRIDIDLLGVGVRFPCLKVLHLQDLLMLDDHASIEKLLAGSPVLEALKFEHEDCESRNALRICSSSLERLIIRFTFIAYYVKDPGCRALKVVFAWAVQPPPLYSLVEAALRVAYEHVFTIQVDDYIDMTVQFLRPIMPIVKNLQLCDSTMRRYQTQFIRSCLALTIFLISEFDSFGD >Potri.006G014066.2.v4.1 pep chromosome:Pop_tri_v4:6:868655:881072:1 gene:Potri.006G014066.v4.1 transcript:Potri.006G014066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014066.v4.1 MDSELSVVLVIRLLFFNFLLLWQLGNGAKVNNGTTNIKANGDNTTIGALTDAEARTLRLLFKSLQSRNTTQFPLSYPICSTNMDAEIRCSCDNTKNRSACWVTAINLASKMLDGLIHSSISLFKNLRVLDLSSNFLTGSIPPSLTTLQSLRILNLADNNLDGTIPLNLSGLQSLRYLDLSRNKLTGPIPDSISDCQYLNIIILRLNFLNGTIPEALGTLSSLNILDLYSNSLSGHIPVELGELTVLQFLNLDDNNLDGELPEELGNLVDLQHLYLTANKFTGRIPETFDKLIHLETFAVGGNYLSGQMPSYIGNWVNLTKLILIGNNFEGNLPAETFSLPKLQRLLVSDVSNPGISFPKREVIPESLIYLVLRNCKINGSIPEYIGKWPELSYLDLSFNNLSGGVPESFQKLNKLFLTSNELTKLPSWITKKPKPSSYPKADLSYNNFNVKCTNEKCSGLAAVNILPTRSFIDNMKTEKCYRKHNSLFINCGGEELNVGKDHYHNDTSTSSFNLSPSDDWAYSFSGDYLWATVNASTLGEDHSITGKRVFDVYIQGRLVKKDLNIKEIPELQNEVRKLKFPAKINEGSLEIKLFWAGKGSLYNPPGINGPLIEAISVTRVSRKLHRWEIALITIGCLLFLMLLLAFSLRMGWIGGRKLRSGH >Potri.006G014066.1.v4.1 pep chromosome:Pop_tri_v4:6:868655:881074:1 gene:Potri.006G014066.v4.1 transcript:Potri.006G014066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014066.v4.1 MDSELSVVLVIRLLFFNFLLLWQLGNGAKVNNGTTNIKANGDNTTIGALTDAEARTLRLLFKSLQSRNTTQFPLSYPICSTNMDAEIRCSCDNTKNRSACWVTAINLASKMLDGLIHSSISLFKNLRVLDLSSNFLTGSIPPSLTTLQSLRILNLADNNLDGTIPLNLSGLQSLRYLDLSRNKLTGPIPDSISDCQYLNIIILRLNFLNGTIPEALGTLSSLNILDLYSNSLSGHIPVELGELTVLQFLNLDDNNLDGELPEELGNLVDLQHLYLTANKFTGRIPETFDKLIHLETFAVGGNYLSGQMPSYIGNWVNLTKLILIGNNFEGNLPAETFSLPKLQRLLVSDVSNPGISFPKREVIPESLIYLVLRNCKINGSIPEYIGKWPELSYLDLSFNNLSGGVPESFQKLNKLFLTSNELTKLPSWITKKPKPSSYPKADLSYNNFNVKCTNEKCSGLAAVNILPTRSFIDNMKTEKCYRKHNSLFINCGGEELNVGKDHYHNDTSTSSFNLSPSDDWAYSFSGDYLWATVNASTLVRNSTCKDCTPETKIDNDFRLAPVSLMYYGLCLHKGKYIVTLHFSETLYSKGEDHSITGKRVFDVYIQGRLVKKDLNIKEIPELQNEVRKLKFPAKINEGSLEIKLFWAGKGSLYNPPGINGPLIEAISVTRVSRKLHRWEIALITIGCLLFLMLLLAFSLRMGWIGGRKLRSGH >Potri.009G104300.1.v4.1 pep chromosome:Pop_tri_v4:9:9158756:9159886:1 gene:Potri.009G104300.v4.1 transcript:Potri.009G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104300.v4.1 MSEVAQDFSPFLRLYKDGHIERLMGVDIVPPVDPNSNVMSRDVVYSPALDLSCRLYLPKNTDPNQKLPLLVYFHGGGFLIETAFSSTYHNYLNTLVAEANVIGVSVDYRRAPEHPLPAAYDDSWTALKWVASHVNGDGPEEWLNSHADFSKVFFNGDSAGANISHQMAMRHGQEKLVGVNVAGIVLAHPYFWGKDPIGNEPRESSQRAFAEGLWRLACPTSNGCDDLLLNPLVDPNLAGLECSKVLVAVAEKDLLRDRGWHYYEKLRENGWSGEVEIMEAKGESHVFHLLSPPGENARLMLKKISSFLNQDKA >Potri.009G104300.2.v4.1 pep chromosome:Pop_tri_v4:9:9158839:9159904:1 gene:Potri.009G104300.v4.1 transcript:Potri.009G104300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104300.v4.1 MGVDIVPPVDPNSNVMSRDVVYSPALDLSCRLYLPKNTDPNQKLPLLVYFHGGGFLIETAFSSTYHNYLNTLVAEANVIGVSVDYRRAPEHPLPAAYDDSWTALKWVASHVNGDGPEEWLNSHADFSKVFFNGDSAGANISHQMAMRHGQEKLVGVNVAGIVLAHPYFWGKDPIGNEPRESSQRAFAEGLWRLACPTSNGCDDLLLNPLVDPNLAGLECSKVLVAVAEKDLLRDRGWHYYEKLRENGWSGEVEIMEAKGESHVFHLLSPPGENARLMLKKISSFLNQDKA >Potri.T015518.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:6273:10254:1 gene:Potri.T015518.v4.1 transcript:Potri.T015518.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T015518.v4.1 MNTLFSSKILFPCFLFFFVSLDCISCTDKMLYQDGLENYFQLALPAVVGPESIAFDCNGKGPYVSVSDGRILKWQGAKLGWIEFSVSSPQRDRHMCDGSTNTKLEPVCGRPLGLKFNSATCDLYIADAYYGLLVVGPEGGVATQLAASAEGVPFRFMNALDVDSRTGVVYFTDSSIYFQRREYLLAIISADKTGRLMKYDPNSKKVTVLLKGLAFPNGVAISKDNSFILVAESFTMRILKFYLVGSEIHGQETFIQLGRFPDNIKRTANGEFWVALNTGRGKIRRLDSTKLQQETSIDWFVDDPVAVRLTSGGKVVNVLDGNGGNALDSVSEVEEYSGLLWLGSSMKPYVGYIKNKK >Potri.009G057900.1.v4.1 pep chromosome:Pop_tri_v4:9:6058971:6061550:1 gene:Potri.009G057900.v4.1 transcript:Potri.009G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057900.v4.1 MEMSPFRQVTTEETRSRKTRRAWKLKLNITWHAIKKAVSYNVKKRLHLHLHLSCARSMRRLSTNQTHQELAVKPHQASKPKQKARNPSKTLASLLHVPYTALDFVDRGDHMTPTLSPKQSISAVWKEIHGSSNWETLLDPFHPSLRREILKYGEFAQGTYDAFDFDPLSDFCGSCRYNRRKFFETLGLTKHGYKVKKYIYALSHVDVPEWLKRSYATWSKDSNWMGYVAVSRREESQRIGRRDIMVAWRGTVSPSEWFKDLTTSLEHIDNTNVKVQEGFLSVYKSKDELTRYNKLSASEQVMQEVMRLVNFYRGKGEEVSLTVTGHSLGGALALLNAYEAATAIPDLFVSVISFGAPRVGNIAFKEKLNELGVKTLRVVVKQDIVPKLPGLLNKMLNKFHGLTGKLNWVYRHVGTQLKLDAFMSPYLKPESDLSGSHNLELYLHLIDGFFSKKSKYRWNARRDLALVNKGSDMLIEDLKIPEFWYQFPYKGLVLNQYGRWVKPGRLPEDIPSPLSIDTPPKHGRQS >Potri.004G126901.2.v4.1 pep chromosome:Pop_tri_v4:4:12965875:12968169:-1 gene:Potri.004G126901.v4.1 transcript:Potri.004G126901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126901.v4.1 MDEKNDVDKIDDVMLPGFRFHPTDEELVGFYLKRKIQQRSLPIELIKQVDIYKYDPWDLPKLATTGEKELYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTECIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLAEPSPPKKLLDKSLPANDAWAICRIFKKTNSMAQRALSHSWVSPLPDTTASDLFAQGAHFPQFCSENMSCVTEIGSSFHIGSNSDFQQASPASFSVLDIPSYKPINPAVYKSYLCPVSNGDLSNNFLCSQLEISGPIKSTDDAHSMLLNPALIGEAGKTSESIEYEGSQQQFNGFSINLLQEMQGNTGTGDDEAGFRKNPSSIHENNLVGTIRSIGFPFSLPSNLADAWKSNLAWDSPSCHSEMSTTYSTNKCNT >Potri.004G126901.1.v4.1 pep chromosome:Pop_tri_v4:4:12965875:12968107:-1 gene:Potri.004G126901.v4.1 transcript:Potri.004G126901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126901.v4.1 MDEKNDVDKIDDVMLPGFRFHPTDEELVGFYLKRKIQQRSLPIELIKQVDIYKYDPWDLPKELATTGEKELYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTECIGLKKSLVFYRGRAAKGIKTDWMMHEFRLPSLAEPSPPKKLLDKSLPANDAWAICRIFKKTNSMAQRALSHSWVSPLPDTTASDLFAQGAHFPQFCSENMSCVTEIGSSFHIGSNSDFQQASPASFSVLDIPSYKPINPAVYKSYLCPVSNGDLSNNFLCSQLEISGPIKSTDDAHSMLLNPALIGEAGKTSESIEYEGSQQQFNGFSINLLQEMQGNTGTGDDEAGFRKNPSSIHENNLVGTIRSIGFPFSLPSNLADAWKSNLAWDSPSCHSEMSTTYSTNKCNT >Potri.008G163300.6.v4.1 pep chromosome:Pop_tri_v4:8:11232992:11242462:-1 gene:Potri.008G163300.v4.1 transcript:Potri.008G163300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163300.v4.1 MAGIVSEEAGVGRSTEGISSGLRCQSGEALAEWRSSEQVENGTPSTSPPYWDTDDDDDGGPKPSELYGRYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFLDAADTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGKLIEDKNRWSSFCGFWLGMDQNTRRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKSKKGRAKLLDAEEMPAPIVRVEKDMFVLVDDVLLLLERAAIEPLPPKDEKGPQNRTKDGSSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFNHKIEVSYQEAVALKRQEELIREEEAAWLAESEQKAKRGATEKEKKLKKKQAKQKRNNRKGKDKGRDDRSSVAVVDNHQETNTSNEKKEYVVEEVKPVVEKPEVLEDVSDLSDSVDGVTEVLQPDSEDRDASPVNWDTDTSEVHPPTEASSSGVSGLSSVPNGTTEKRNTYAMDDSSSTCSTDSVPSVVMNGSYKGNSYSNYQFEKSPGRGKNQRGKMARDGSWTTEMDNQPSEPASDTGDLGDITRSSKAGDCELEAVVHDLRDRMMRLEQHVIKTEKEDKVVSMQKQMSDKDLVDVERPKEKTAAVPSSPRSPQRSPKNVSSTVPLKSESKGSATVDLGLVKKASSNCSQQADKAATSITSPKNAAIPKPETQNASTAKQSDKPTLQQLPAMSRPSSAPLVPGPRPTAAPVSLVQTTPLLARSVSAAGWLGPDPSSATRSYVPQSYRNAIIGNAVGSSSSGFSLTNSPSTRVNLSAHVQPSTLVSAPMFLPPLNSDRVDPNALQSGFPFGMVTQDVLQNGRQWMESSQRDASRSMSSDPSSLVNGIQKIDLYNPICSRSQEHYSSEFPACTSGCQTPGGVTDEFPHLDIINDLLNDEHAVGKASEASRVFHSNGPHLLNRQFSFPSDMGISSDLGSSTSSSCRFERTRSYHDGGFQRSYSSSGSHFDTPREFIPQASPLPYANGHIDGLIPNQWQISGSDISLMNMRNADGDSYPYFNPEYSNMASGVNGYTVFRPSNGH >Potri.008G163300.3.v4.1 pep chromosome:Pop_tri_v4:8:11233040:11242678:-1 gene:Potri.008G163300.v4.1 transcript:Potri.008G163300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163300.v4.1 MAGIVSEEAGVGRSTEGISSGLRCQSGEALAEWRSSEQVENGTPSTSPPYWDTDDDDDGGPKPSELYGRYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDPKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFLDAADTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGKLIEDKNRWSSFCGFWLGMDQNTRRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQSKSKKGRAKLLDAEEMPAPIVRVEKDMFVLVDDVLLLLERAAIEPLPPKDEKGPQNRTKDGSSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFNHKIEVSYQEAVALKRQEELIREEEAAWLAESEQKAKRGATEKEKKLKKKQAKQKRNNRKGKDKGRDDRSSVAVVDNHQETNTSNEKKEYVVEEVKPVVEKPEVLEDVSDLSDSVDGVTEVLQPDSEDRDASPVNWDTDTSEVHPPTEASSSGVSGLSSVPNGTTEKRNTYAMDDSSSTCSTDSVPSVVMNGSYKGNSYSNYQFEKSPGRGKNQRGKMARDGSWTTEMDNQPSEPASDTGDLGDITRSSKAGDCELEAVVHDLRDRMMRLEQHVIKTEKEDKVVSMQKQMSDKDLVDVERPKEKTAAVPSSPRSPQRSPKNVSSTVPLKSESKGSATVDLGLVKKASSNCSQQADKAATSITSPKNAAIPKPETQNASTAKQSDKPTLQQLPAMSRPSSAPLVPGPRPTAAPVSLVQTTPLLARSVSAAGWLGPDPSSATRSYVPQSYRNAIIGNAVGSSSSGFSLTNSPSTRVNLSAHVQPSTLVSAPMFLPPLNSDRVDPNALQSGFPFGMVTQDVLQNGRQWMESSQRDASRSMSSDPSSLVNGIQKIDLYNPICSRSQEHYSSEFPACTSGCQTPGGVTDEFPHLDIINDLLNDEHAVGKASEASRVFHSNGPHLLNRQFSFPSDMGISSDLGSSTSSSCRFERTRSYHDGGFQRSYSSSGSHFDTPREFIPQASPLPYANGHIDGLIPNQWQISGSDISLMNMRNADGDSYPYFNPEYSNMASGVNGYTVFRPSNGH >Potri.018G065300.1.v4.1 pep chromosome:Pop_tri_v4:18:7800677:7804764:-1 gene:Potri.018G065300.v4.1 transcript:Potri.018G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065300.v4.1 MPVNLTPNAIAMINGGDVNLKPLVQVLDIKQMGSAQERFRLLVSDSVLTQHAMLGTQLNDRVKTGLVKKGSVIQLIDYICSQVQKRKIIVVLNLETIIPDCEIIGNPKMVSDAPAQKALPNTDSMQSSMVDYNNPSSQSHGSNMHSIRPALSSQNHGGNMQSFRPTSSTQNQGSKMRSFQPALGAQNYGNDVQSFRPTVQPPYQPPPSYRNHGAVMKNEAPARIIPINALNPYQGRWAIKARITAKGDLRRYNNARGDGKVFSFDLLDSDGGEIRVTCFNAVVDRFYDVVEVGKVYLISKGSLKPAQKNFNHLKNDWEIFLEATSTVDPCPEEDGSIPQQQFSFKPISEIEIAENNSIFDVIGVVISVNPSVPILRKNGMETQRRILNLKDGSGWTVELTLWGDFCNKEGQKLQEMVDSGFFPILAVKAGKVSDFNGKSLGTISSTQLLINPDIPEAHAAKDWFDRGGRDVASMSISKDIAQGGPKNEVRKTVSQVKLEGLGRSDKPDWATVRASVSFIKTDTFCYTACPLMIGDRQCNKKVTRSGNSRWQCDRCNQEFDDCDYRYLLQVQIQDHTGLTWATAFQESGEEILGYPAKELYLLKYESNDDTRFADIIRSRLFYQYIFKLKIKEEMYGDERRVKITIFKADKVSYSSESRYMLDLISKVRTY >Potri.002G101800.1.v4.1 pep chromosome:Pop_tri_v4:2:7433041:7434748:1 gene:Potri.002G101800.v4.1 transcript:Potri.002G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101800.v4.1 MAMKHRKLFPIGTETNQTIDCPDFCDPACPYNCYPYSDYYLLPPPPPPPFLPQEHHLSPYVIIIVALLASFFLIVSYYVIVAKSCSGWCGSRNNTEPQAQEDDTDEEFLDENRVDHPIWFITTIGLQQSIINSITVCKYKKGEGLIEGTECSVCLSEFQQDETLRLLPKCNHAFHISCIDTWLRSHTNCPLCRTHIINGPASTPLISVGQNHDNLNPTFSTQMENSDVDSGLGNNQESNEPCENRAGTEEVGEILQVGEERTLKDEVNSNDIGDLQVLCSSVDKHQAEDNDIKLLRRSSSMDSLRATTMCIGLNDHKNLVNQIDIDEERKSNMVLKRDGGYSSVFKLTGTSSFSLSLHKGPVSMKRSFSCGGRFFSSRQNPSLKPILPL >Potri.006G132450.1.v4.1 pep chromosome:Pop_tri_v4:6:10844398:10846901:-1 gene:Potri.006G132450.v4.1 transcript:Potri.006G132450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132450.v4.1 MAAAARPLVSVQPLPASLNEMATDSVTTVALPDVMKASIRPDIVNYVHSNISKNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVSGGGTHRAGQGAFGNMCRGGRMFAPTKTWRRWHRKINVNQKRYAVVSAIAASAIPSLVMARGHRVESVPEMPLVISDSAESIEKTSTAIKVLKEIGAYPDAEKAKDSQAIRAGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLRLAPGGHLGRFVIWTKSAIEKLDSIYGTFDKSSEKKKGYVLPRTKMVNADLARIINSDEVQSVVNPIKKEVKRAPLKKNPLKNLNVMLKLNPYAKTARRMALLAEAERVKSKKEKLDRKRKPVSKEELAAAKAAGKAWYKTMISDSDYTEFENFTKWLGVSQ >Potri.001G173300.1.v4.1 pep chromosome:Pop_tri_v4:1:14893861:14897353:-1 gene:Potri.001G173300.v4.1 transcript:Potri.001G173300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173300.v4.1 MQVLVKTVTGKTLTLEMESSDTIDYVKAKIKDEEGIPPEQQRLVFAGKQLEDGQALADFSIRKKKKSKPLELALLQVDDADAPPKQLDAQNLESETPVASTKARKTKKKKRAASDTGDTIDTDHGEATDGVLVEHDVNEPTMGEKLASITLQDDDKTSSHEMQESTTSAKPPSADSVNILLKQALRADDRALLLDCLYTQDEKVIANSVSLLNPSDVLKLLHSLQSIIHSRGSILACALPWLRSLLLQHSTGIMSQESSLLALNSLYQLIESRVATFQSALQLSSCLDIFYAGVVDDALDENQTITPVIYEDNDESDEEEEESEDAMETEEGSKEEEALDGLSDIEGSDGMSE >Potri.007G031000.2.v4.1 pep chromosome:Pop_tri_v4:7:2365053:2369504:1 gene:Potri.007G031000.v4.1 transcript:Potri.007G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031000.v4.1 MARSNFSVLTILSVTLLLPVLSLSFSPDSPSDRRLLVLLDDLSLKSSLSIFFNSLKSRGFDLDFKLADDPKLALQRYGQYLYDGLILFSPSIERFGGALDLAAVLDFVDSGHDLLIAADSSASDLIKSVATECGVDFDEDPSALVIDHKSYAVAGTEGDHTLIAADDFIESDVLLGKNKIEAPVLFKGIAHSLNAANTLVLKVLSASPSAYSANPSSKLSSPPSLTGSSISLVSVIQARNNARIMITGSLDMFSNRFFRSSVQKAGSPKKYDKSGNEQFVTELSKWVFHERGHLKAVNLRHNKAGETDEPAMYRIKDDLDFSVEIYEWSGKSWEPYVADDVQVQFYMMSPYVLKTLSNDKKGLYHTSFKVPDVYGVFQFKVEYNRLGYTSLLLSKQIPVRPFRHNEYERFITAAFPYYGASFTMMAGFFIFSFVYLYHK >Potri.009G019900.2.v4.1 pep chromosome:Pop_tri_v4:9:3208433:3209378:-1 gene:Potri.009G019900.v4.1 transcript:Potri.009G019900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G019900.v4.1 MSQLSYLPTTTTTTKSDAAKAKAHDCFVFLLFITVATILIVSVPVITLCIISLQPQIPQFNVSSSSLTVLNVSSNTVTANLNVTFSMKNPNSKTMSYDKITALVLDGKESLSSITLPPFHQPGKTQKTLLTVFPSFFFQVNDNYSSGGRVNLTVKLHAMAKYGRWTWPANMDLMKATCDENVKVEFPSKVTTLVFGSSECDVNGQWKRIVTKCSRLFWNYIYVVAIVLFILFVSL >Potri.004G222100.2.v4.1 pep chromosome:Pop_tri_v4:4:22688613:22690856:1 gene:Potri.004G222100.v4.1 transcript:Potri.004G222100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222100.v4.1 MGSISIQAGLHHHHNLGASSTPTTANTGSVPSPSSSPLSSSSSSTSASTSSTTPPRLVDASLAIATSYDPSLVDSTKKKQQNLSIAPAATNSTSAATTAAVTPAKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAESTIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHSFHSALGLSHHPHYEEGFAHPAFLGFQQQHQQQHHLMTADQIAQALPIGADGGGGGGESRGGGEENYMRKRFREDLFKEDNPQEQGESGGGRGGGGDGGEEPPIKAFKSDLQLPKPQQQETGSSGLLRPSNILPTTAMWAMAPAPPSSGAGNTFWMLPMTAGAGGGPPLATSVACPSSEPQIWPFATATPASGNPLQAPLHFVPRFNLPTTSLEFQRGRGSPLQLGSMSPLQLGSMLMQQQHQQQQQQQQQQHQQQQPSQYLGLGMPESNLGMLAALNAYSRGDLNMNSQQNNPLDHHHQHHHQHHHHQTQGTPDSGDEDPNTSQ >Potri.004G222100.1.v4.1 pep chromosome:Pop_tri_v4:4:22688400:22690821:1 gene:Potri.004G222100.v4.1 transcript:Potri.004G222100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222100.v4.1 MELSNSQSNKENNTTQEPHQSHHHHRQHLQQQLSFDHGRSSSSGGGGGGGGGGGPSQGPFMGSISIQAGLHHHHNLGASSTPTTANTGSVPSPSSSPLSSSSSSTSASTSSTTPPRLVDASLAIATSYDPSLVDSTKKKQQNLSIAPAATNSTSAATTAAVTPAKRSTKDRHTKVDGRGRRIRMPATCAARVFQLTRELGHKSDGETIEWLLQQAESTIIAATGTGTIPANFSTLNVSLRSSGSTLSAPPSKSAPHSFHSALGLSHHPHYEEGFAHPAFLGFQQQHQQQHHLMTADQIAQALPIGADGGGGGGESRGGGEENYMRKRFREDLFKEDNPQEQGESGGGRGGGGDGGEEPPIKAFKSDLQLPKPQQQETGSSGLLRPSNILPTTAMWAMAPAPPSSGAGNTFWMLPMTAGAGGGPPLATSVACPSSEPQIWPFATATPASGNPLQAPLHFVPRFNLPTTSLEFQRGRGSPLQLGSMSPLQLGSMLMQQQHQQQQQQQQQQHQQQQPSQYLGLGMPESNLGMLAALNAYSRGDLNMNSQQNNPLDHHHQHHHQHHHHQTQGTPDSGDEDPNTSQ >Potri.T045375.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:517253:520644:1 gene:Potri.T045375.v4.1 transcript:Potri.T045375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045375.v4.1 MFSQPLSTTLSTTNHPLLYNHYIYASPSHVDRTKRITVSQDTNINKLQNSIAKRIGLDLSNEDEELYRASKLSKELTKKQKWVLILDDLWKAIELHKVGVPIQAVKGCQLIVTTRLENVCQQMGKQHIIKVEPISKEEAWALFIERLGHDTALSPEVEQIAKFVARECDRLPLGIITMAATMRGVVDVREWRNALEELKESKVRKDDMEPEVFHVLRFSYNHLSDSALQQSFLYCALFPKDFKIRREDLIAYLIDEGVIKGLKSREAEFNKGHSILNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGAQLRELPGEEEWTEHLMRVSLMHNEIKEIPSSHSPRCPYLSTLLLCRNSEMQFIADSFFEQLRGLKVLDLSRTNITKLPDSVSELVSLTALLLIGCNMLRHVPSLEKLRALKRLDLSGTWALEKIPQGMECLCNLRYLRMNGCGEKEFPRGLLPKLSHLQVFLLEEWIPPTTKDNRKGLPALITVKGKEVACLSKLESLACHFEYYSDYVEYVKSRDETKSLTTYQIREGLPDKYYNYYHDDFRRKTIVLSNLSIDKDGDFQVMFLKDIQHLVIDNYDDATSLCDFWSLIKNATELEAIEISSCNSMESLVSSSWFRSAPLPSPSYSGIFSGLKEFKCYGCRRMKKLFPLVLLPSLINLERIVVAGCGKMEEIIGGTRSDEEGVIGEESSTDLKLPKLRSLKLIRLPELKSICSAKLICDSLEVIDVYNCEKLKRIPICLSLLENGEPSPPPSLRNIVIKPREWWESVEEWEHPNTKDVLRPFVRFYRG >Potri.003G134800.1.v4.1 pep chromosome:Pop_tri_v4:3:15269914:15270510:-1 gene:Potri.003G134800.v4.1 transcript:Potri.003G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134800.v4.1 MPCFFPLEIISRKKMRTSGFVAIFFMIFLSVSSAYPGWKKQYKPCKQLVLYFHDIIYNGQNAANATAAIVAAPEGANLTILAGQFHFGNIAVFDDPITLDNNLQSPPVGRAQGMYIYDTKNTFTAWLGFTFVLNSTKHQGTINFIGADPIMVKSRDISVVGGTGDFFMHRGIATIMTDSFEGDVYFRLRVDVKFYECW >Potri.006G142800.1.v4.1 pep chromosome:Pop_tri_v4:6:12036855:12043058:-1 gene:Potri.006G142800.v4.1 transcript:Potri.006G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142800.v4.1 MAENRIKGTSLFSPYKMGKFSLSHRVVLAPMTRCRALFGIPGDALVEYYTQRSTPGGFLISEGTIISPTAPGFPHVPGIYSDAQVEAWKKVVNAVHAKGSIIFCQLWHVGRASHQVYQPGGAAPISSTNKAISNRWRILMPDGTYGTYPAPRALETSEILEVVEHYRQAALNAIRAGFDGIEIHGAHGYLIDQFLKDGINDRIDEYGGSMENRCRFLMQVIQAVVSAVGADRVAFRMSPAIDHLDATDSDPLNLGLSVVERINKIQLQVGSKLTYLHVTQPRYTAYGQTESGRPGTEDEEAQMIRTWRRAYQGTLMCSGGFTRELGIQAVAEGDADLVSYGRLFISNPDLVLRLKVDAPLNKYIRKTFYSQDPVVGYTDYPFLSKANGGQAPLSRL >Potri.007G099900.2.v4.1 pep chromosome:Pop_tri_v4:7:12453850:12458869:1 gene:Potri.007G099900.v4.1 transcript:Potri.007G099900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099900.v4.1 MATLSISTALQKLTPFPSSSSSSKKQNSFVSFPISIQNPNSIRLPICHATAKSQTGPVKKRSSSSSTASPNTNKKKKRRSKGGSGDNLNLRDVEIVKDDGGLADVQVDDDSDDDSDDGFYSDSVSRKVSTHPTMPLPKPPAGFVVDDSGRVLLASTKRIVTMVDPTNNYPLECVIRRIFRSSRGDECMLLCPADTPVQILKSVNIDGWSAVSDGEVESILPAAAYALAKIHMHLVHSGLCYTARGGFCYSEDDIFDFRTDDGEDIDGLPNEGVEITCFHLDGAHYMIYTPSDPLLFVAVKDQDGQLQIADDDLLEDPAIISAIDEETEFNALVEEEAALLESLMGER >Potri.014G123400.1.v4.1 pep chromosome:Pop_tri_v4:14:8299219:8300649:-1 gene:Potri.014G123400.v4.1 transcript:Potri.014G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G123400.v4.1 MPKKNVNDVAHRAWNILRALLWARKGAVFKRRFMMDGRVVPRFLKSMGRNSPRGQLHYGEYELSFDKTPVFHVKMHRPSSMRFNIPCITPQVDFDYDSDHDEVMSENDIHQDGSVYEYYDGTRRSFLLKSGEDEEEYETCEEKIPAEEEGIDMRAEQFIAKFRQQMRLQRQISYLQYHETPKKGTS >Potri.015G116600.2.v4.1 pep chromosome:Pop_tri_v4:15:13111353:13120134:-1 gene:Potri.015G116600.v4.1 transcript:Potri.015G116600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116600.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTTRRIWIRILVWSAYLSADMVANVALGNLARSQGDSSGDSSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLGVQVGVAFYVFSRSWGSGILTFIAIPMFVVGIAKYAERTWVLWSSCSKSLKNSSLSDFWRSYHRTGISETPLQDLQGKDLLQAYVFSYISKFMMQDLVPGISELISSRELISKNKADGAFKVVEAELGLVYDMLYTKAPLIYSRAGIILRCISSLLSVTAFITFQVKIDKHDYSTTDIAITHLLFAAAVFLEFYAFLCLVLSDWTMIWLTDEGGNALTSALYSLIRKLTRSERWSRSISQYNLISFSIEREPPKFLEFLGIDEMMRQMHVNRKDLNGELQDLIFEHLRKKAQKIKEDLNVCDKNLRSKIIGQRGDGVLEREGLLQGYKWCTTEVEFSRSILVWHLATDICYRADIKDGSIVCTEYETSRCLSEYMMYLLVIRPNMLSKGFGDEGYLYTLQELRDLKDRGPDDEGYLETSGDLRGLKDRGDIIYFPERYNHSRGYNDVVDLILRNSESGYDDSQFQSNWKREKSVLRGVERLARQLLLLEHEKRWEMINEVWIEMVAHAAAQCPWKEHTQQLRRGGELLTHVSLLMLHLGLSAQYEFKDFDESFFHRNDSAFEQKREDLQEYYNAREKYLEGTADMSGLSPDEEEEKDYDQARDKYLKGIADMSGSSLEEELKKIEKKVADKDRELEREKRELEQLRSYLTTSAPLQEIDSLPKFASTIW >Potri.015G116600.3.v4.1 pep chromosome:Pop_tri_v4:15:13111353:13120135:-1 gene:Potri.015G116600.v4.1 transcript:Potri.015G116600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116600.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTTRRIWIRILVWSAYLSADMVANVALGNLARSQGDSSGDSSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLGVQVGVAFYVFSRSWGSGILTFIAIPMFVVGIAKYAERTWVLWSSCSKSLKNSSLSDFWRSYHRTGISETPLQDLQGKDLLQAYVFSYISKFMMQDLVPGISELISSRELISKNKADGAFKVVEAELGLVYDMLYTKAPLIYSRAGIILRCISSLLSVTAFITFQVKIDKHDYSTTDIAITHLLFAAAVFLEFYAFLCLVLSDWTMIWLTDEGGNALTSALYSLIRKLTRSERWSRSISQYNLISFSIEREPPKFLEFLGIDEMMRQMHVNRKDLNGELQDLIFEHLRKKAQKIKEDLNVCDKNLRSKIIGQRGDGVLEREGLLQGYKWCTTEVEFSRSILVWHLATDICYRADIKDGSIVCTEYETSRCLSEYMMYLLVIRPNMLSKGFGDEGYLYTLQELRDLKDRGPDDEGYLETSGDLRGLKDRGDIIYFPERYNHSRGYNDVVDLILRNSESGYDDSQFQSNWKREKSVLRGVERLARQLLLLEHEKRWEMINEVWIEMVAHAAAQCPWKEHTQQLRRGGELLTHVSLLMLHLGLSAQYEFKDFDESFFHRNDSAFEQKREDLQEYYNAREKYLEGTADMSGLSPDEELKKIEKKVADKDRELEREKRELEQLRSYLTTSAPLQEIDSLPKFASTIW >Potri.018G077100.1.v4.1 pep chromosome:Pop_tri_v4:18:9507926:9508390:1 gene:Potri.018G077100.v4.1 transcript:Potri.018G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G077100.v4.1 MAFSSYKARERKASRRKGEDELRTKRRKTRGLRENRENQRTKKNRENPRTEHSRGMKHTEHIRRNELGTNWGKKSRGKTSKRREDERMKKTQEKQRRPDTEETQIKHREHWTKDHKQKHGRKNRDNTEKTSKNRRPQENETHAEHVDVKIIFKN >Potri.001G238400.3.v4.1 pep chromosome:Pop_tri_v4:1:25619980:25621483:1 gene:Potri.001G238400.v4.1 transcript:Potri.001G238400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238400.v4.1 MADRARLAKIPQPEVALKCPRCESTNTKFCYFNNYNLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKKRKVQSSSKSPVSSERQVGSTSSSTSALPSQVIGHLPQQQSQLPYMTSLHNLAQFGEGNIGLNFGGIQGQLGSTSGASGQTDMGFQIGSNSGMNSSAILSAGGVHQFPFFEFSPAGLYPLQSEGAETPISVHGDNQLQSMTSSSRVSQLAPVKTEGNQGLNLSKPYLGAPQNNQYYWGGNTWADLSGLNSSSTSHLLR >Potri.001G238400.2.v4.1 pep chromosome:Pop_tri_v4:1:25619891:25621487:1 gene:Potri.001G238400.v4.1 transcript:Potri.001G238400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238400.v4.1 MVFSSVPFYLDPPNWQQQPSQQRPGASNESPQLPPLPPPTHVGGSGTAGTIRPGSMADRARLAKIPQPEVALKCPRCESTNTKFCYFNNYNLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKKRKVQSSSKSPVSSERQVGSTSSSTSALPSQVIGHLPQQQSQLPYMTSLHNLAQFGEGNIGLNFGGIQGQLGSTSGASGQTDMGFQIGSNSGMNSSAILSAGGVHQFPFFEFSPAGLYPLQSEGAETPISVHGDNQLQSMTSSSRVSQLAPVKTEGNQGLNLSKPYLGAPQNNQYYWGGNTWADLSGLNSSSTSHLLR >Potri.009G121902.1.v4.1 pep chromosome:Pop_tri_v4:9:10200145:10201622:-1 gene:Potri.009G121902.v4.1 transcript:Potri.009G121902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121902.v4.1 MIQREREGQLDEGLLSEVSAQLPQAKEDGDKPGLEAMLLKVLQLYASGEEVLKAGQFLETIIEAPEEKWNKILLNGMTVGKGGISPEEHHAVIKETN >Potri.001G190400.1.v4.1 pep chromosome:Pop_tri_v4:1:17368683:17373498:-1 gene:Potri.001G190400.v4.1 transcript:Potri.001G190400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190400.v4.1 MEKKVVVAVVCVAAVAGAVAAASVVKRKMKRDRRWAKAMEMVKEMQEKCGTPIGKLKQVADAMVVEMHAGLASEGGSKLKMLISYVDNLPSGDEKGLFYALDLGGTNFRVLRVQLGGKDGGLVNQEFTEVSIPPNLMIGTSDALFDYIAAELAKFIAQEGEEFELPPGKQRELGFTFSFPVMQTSIASGTLVRWTKGFSIDDAVGQDVVAELTRAMKRQGLDMRVSALVNDTVGTLAGGKYSYKDVVAAVILGTGSNAAYVEHAQAIPKWHGDLPKSGEMVINMEWGNFRSSHLPLTEYDHAMDNESLNPGEQIFEKLISGMYLGEIVRRVLLKMAEEAAFFGDIVPPKLKIPFILRTPDLSAMHHDSSSDLILVDKKLKDILEISNASLQTRKVVVELCNIVATRGSRLAAAGILGILKKIGRDTVKDMDEQKTVIAMDGGLFEHYSEYSKCLENTLNELLGEEVSKTISIEHANDGSGLGAALLAASHSLYL >Potri.005G176000.1.v4.1 pep chromosome:Pop_tri_v4:5:18175426:18176577:-1 gene:Potri.005G176000.v4.1 transcript:Potri.005G176000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176000.v4.1 MVKSEHRIQSEASKPVAISSTPSPSACKKRKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALFCLKGSAAALNFPITSSHYIPDTVMSPKSIQRVAAAAANSFVDNPTTPQSSSPPLPCSSSSSSSISSPPSVVSSPSDIQLDDYISLMESFETNNEPVSMLDSWYNFDGLQSPKYLDLNWVSFNPPMIDDLYEGDLRLWSFAE >Potri.017G093300.3.v4.1 pep chromosome:Pop_tri_v4:17:10593681:10598635:-1 gene:Potri.017G093300.v4.1 transcript:Potri.017G093300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093300.v4.1 MGTGMDMDSDVEERRVQVRFITKLKPPFKVPNTSIAIPANLTRLGLSTIVNSLLKAGDDEWESQPFDFLIDGELVRLPLEQFLLAKGISAEKVLEIEYTRAVVLQKEDEPSLHDDWVSAVDGSCPRFILTGCYDNLGRVWKAAGECTHILEGHGGAITSVSVVNSEGTDSVTVATASKDETLRLWKFDTEEHLDQPSKIRAFKILRGHNAPVQSVAAEASGSMICSGSWDCTINLWRTNESDTEGDLVSIKKRKVKNKAGESQLEGGALSTLVGHTQCVSSVYWPEPNTIYSASWDHSVRRWDVEMGKDLSNIFCGKALHCLHVGGEGSALIAAGGSDPILRVWDPRKPGTSAPIYQFSSHNSWISACKWHSESLFHLLSASYDGKLMLWDLRTAWPLAIIDSHEDKVLCADWWKGDSVISGGVDSKLRISSGVSVL >Potri.017G093300.1.v4.1 pep chromosome:Pop_tri_v4:17:10593682:10598635:-1 gene:Potri.017G093300.v4.1 transcript:Potri.017G093300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093300.v4.1 MGTGMDMDSDVEERRVQVRFITKLKPPFKVPNTSIAIPANLTRLGLSTIVNSLLKAGDDEWESQPFDFLIDGELVRLPLEQFLLAKGISAEKVLEIEYTRAVVLQKEDEPSLHDDWVSAVDGSCPRFILTGCYDNLGRVWKAAGECTHILEGHGGAITSVSVVNSEGTDSVTVATASKDETLRLWKFDTEEHLDQPSKIRAFKILRGHNAPVQSVAAEASGSMICSGSWDCTINLWRTNESDTEGDLVSIKKRKVKNKAGESQLEGGALSTLVGHTQCVSSVYWPEPNTIYSASWDHSVRRWDVEMGKDLSNIFCGKALHCLHVGGEGSALIAAGGSDPILRVWDPRKPGTSAPIYQFSSHNSWISACKWHSESLFHLLSASYDGKLMLWDLRTAWPLAIIDSHEDKVLCADWWKGDSVISGGVDSKLRISSGVSVL >Potri.002G139500.1.v4.1 pep chromosome:Pop_tri_v4:2:10456303:10458949:1 gene:Potri.002G139500.v4.1 transcript:Potri.002G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139500.v4.1 MSAPIKTGSPSSPQDLTPLQTTAQQTLPAPPTHPSTNPRRLPPPCWTPDETVALIDAYRDKWYTLRRGNLKANHWQEVADAVARRCPDASPPKTAVQCRHKMEKLRKRYRTEIQRARSMPVSRFTSSWVHFKRMDAMEKGPQAKADYNSESEGDDNDDDNEDDGDIRGSYLENCRNAKNFMNTRSIQKLYGNGIGNPGNGGDNNGLSGGNSSLGSGNAGGFRIRIPTGVSVAQPGPKFYAKTEQKHGGSPNMGVNASPDPYPKPKYGGGVGTSSRVMRGSEEMGRKREREPMEELVAAVKVLGDGFVRMEQMKMEMAREMETMRMEMEMKRTEMILDSQQRIVEAFAKALSEKKKRPKRMPSPES >Potri.010G025100.1.v4.1 pep chromosome:Pop_tri_v4:10:3658351:3660658:-1 gene:Potri.010G025100.v4.1 transcript:Potri.010G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025100.v4.1 MLDWCLGSYRDHLFQRPMCHAKVTLLCLFMTAIVLLGTIGAGKFGTQEQHFNYLRNNFYSSRKRAEPQKVVIELTRNNSRNDTNIKSDDPNSYASFDINKLFVDEGEDDENPDSDKPYSLGPKILDWDQKRAEWLGENPKFPNFVGPDKPRVLLVTGSSPKPCENRVGDHYLLKSIKNKIDYCRLHGIDIFYNMALLDAEMAGFWAKLPLIRKLLVSQPEIEFLWWMDSDAMFTDMAFEVPWEKYKDYNLVMHGWKEMVYDQRNWIGLNTGSFFIRNCQWSLDLLDAWAPMGPKGKIRDEAGKLLAKELKGRPVFEADDQSAMVYLLATQRDKWGDKVYLENAYYLHGYWEILVDRYEEMIEKYHAGLGDDRWPLVTHFVGCKPCGKAGDYPVERCLKQMDRAFNFGDDQILQKYGYAHTSLAAWRVRKSSN >Potri.011G055000.2.v4.1 pep chromosome:Pop_tri_v4:11:2328681:2331821:1 gene:Potri.011G055000.v4.1 transcript:Potri.011G055000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055000.v4.1 MGFSPLSLSQSLSFFLFLFHFHSTISSSHFCAPDQSLSLLQFKESFSINSSASDRCQHPKTESWKEGTDCCSWDGVTCDMKTGHVTGLDLPCSMLYGTLHSNSTLFSLHHLQKLDLSDNNFNFSHISSRFGQFSNLTLLNLNYSVFAGQVPSEISHLSKLVSLDLSQNDDLSLEPISFDKLVRNLTKLRELNLASVNMSLVAPNSLTNLSSSFSSLSLGGCGLQGKFPGNIFLLPNLQSLDLSYNEGLTGSFPSSNLSNVLSQLGLSNTRISVYLENDLISNLKLLEYMSLRNSNIIRSDLPLLGNLTQLINLDLSNNNFSGQIPSLLDNLTHLTFLDLSSNNFSGQIPSSLGNLTHLTFLDLSSNNLNGQIPSSLGKHVQLRYLYLSSNKFMGQVPDLGRLVNLSYLDLSNNQLVSPIHSQLNTLSNLGSLRLYGNLFNGTIPSFLFALPSLYYLDLHNNNFIGNISELQDDSLEYLDLSNNHLRGPIPSSIFKQENLTTLILASNSNLTGEISSSICKLRFLRVLDLSTNSLSGSMPQCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNEIEGKISSSIINCTMLQVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFGKGPAAYNSFSKLRILDISDNNFSGPLPTGYFNSLEAMMASDQIMIYMGTTNYTGYVYSIEMTWKGVEIEFTKIRSTIRVLDLSNNNFTGEIPKMIGKLKALQQLNLSHNSLTGQIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGRIPSGEQFNTFTATSFEGNLGLCGFQVLKECYGDEAPSLPPSSFDEGDDSTLFGGGFGWKAVTMGYGCGFVFGVATGYIVFRTRKPSWFFRMVEDIWNLKSKKTKKNVGRCGAGRN >Potri.001G153000.1.v4.1 pep chromosome:Pop_tri_v4:1:12806445:12810524:-1 gene:Potri.001G153000.v4.1 transcript:Potri.001G153000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153000.v4.1 MASANPFDILGDDDNNEDLSQLIAAAQLKAAEKPKKADKSAAAPASQPAKLPTKPAPPAQAVREAKNEGGRGGGRGDGRGYGRGRGRGGGGGGGGRFNRESNNNETPFIGNGFSGGSRPSEDGEAGRTSERRGYGAPRGGFRGGRRGGYSNGEAGEGERPRRQYDRHSGTGRGNELKREGSGRGNWGTPADEIAPETEEPVVDNEKSVITEKQPEEEDAAAASKDAADASKDAAVNEPEEKEPEDKEMTLEEYEKVLEEKRKALLSLKAEERKVGLDKDLQSMQQLSSKKSNDEIFIKLGSEKDKRKDAADKEDRAKKAVSINEFLKPAGGDRYYNPGRGRGRGRGRGGYGGNTRDVEAPSIEDPGQFPTLGGK >Potri.002G008050.1.v4.1 pep chromosome:Pop_tri_v4:2:449823:451160:1 gene:Potri.002G008050.v4.1 transcript:Potri.002G008050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008050.v4.1 MTAVDNTAVAEMKWEEGSCRSSHPHAWSAGGACNARLQIWQIGRKKSRTENKEKATTDLLAAFGCFAGNGGAHRRRRKEEGRKPLWISAAARISRRRVEETRRHCTQSQKMPRVLQHRSRSFL >Potri.019G013300.2.v4.1 pep chromosome:Pop_tri_v4:19:1786142:1786698:-1 gene:Potri.019G013300.v4.1 transcript:Potri.019G013300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013300.v4.1 MSTFREQCFSQQEQPKKGQKSTKNKREPIKIKYISSPTMVKATNATEFRAIVQELTGKDSKVEDPCDANEEASQVPRHGTPRFDVESVDGAFCNNTSPFLQKEDGFVWGDVSERAFELQYPCVFV >Potri.009G115300.1.v4.1 pep chromosome:Pop_tri_v4:9:9747758:9752231:-1 gene:Potri.009G115300.v4.1 transcript:Potri.009G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115300.v4.1 MSVSELKERHVAATETVNSLGERLKQKRLLLLDTDIAGHARAQGRNPVSFGPTDLVCCRILQGHTGKVYSLDWTPEKNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPAGQSVACGGLDSVCSIFNLNSPTDKDGNLTVSRMLSGHKGYVSSCQYVPDEDTHLITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSISGSNSRMFVSGSCDSTARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDVRTGHQLQVYYQQHGDNEIAHVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGSLQNSHEGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRKVI >Potri.009G115300.3.v4.1 pep chromosome:Pop_tri_v4:9:9747759:9750562:-1 gene:Potri.009G115300.v4.1 transcript:Potri.009G115300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115300.v4.1 MTCAFSPAGQSVACGGLDSVCSIFNLNSPTDKDGNLTVSRMLSGHKGYVSSCQYVPDEDTHLITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSISGSNSRMFVSGSCDSTARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDVRTGHQLQVYYQQHGDNEIAHVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGSLQNSHEGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRKVI >Potri.009G115300.2.v4.1 pep chromosome:Pop_tri_v4:9:9747751:9751805:-1 gene:Potri.009G115300.v4.1 transcript:Potri.009G115300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115300.v4.1 MTCAFSPAGQSVACGGLDSVCSIFNLNSPTDKDGNLTVSRMLSGHKGYVSSCQYVPDEDTHLITSSGDQTCVLWDITTGLRTSVFGGEFQSGHTADVLSVSISGSNSRMFVSGSCDSTARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDVRTGHQLQVYYQQHGDNEIAHVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEVVLNLGSLQNSHEGRISCLGLSADGSALCTGSWDTNLKIWAFGGHRKVI >Potri.005G024500.1.v4.1 pep chromosome:Pop_tri_v4:5:1545216:1546638:-1 gene:Potri.005G024500.v4.1 transcript:Potri.005G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024500.v4.1 MADAKTKVESIREWVVEHKLRAVGCLWLSGITGSFAYNWSKPNMKPSVKIIHARLHAQALTLAALAGAALVEYYDHNSGAKADRYAELVPHKD >Potri.010G222400.1.v4.1 pep chromosome:Pop_tri_v4:10:20702660:20704500:-1 gene:Potri.010G222400.v4.1 transcript:Potri.010G222400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222400.v4.1 MHLWPTMRIRDSFKIAYLKKLEWNFHRMNIEKKQKSQETSDSNQQRLLDDGDDNSTNQQQPVKASKVVLICREILMLVTCCYCCFCCGACVDQDEE >Potri.012G127800.16.v4.1 pep chromosome:Pop_tri_v4:12:14364611:14377255:-1 gene:Potri.012G127800.v4.1 transcript:Potri.012G127800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127800.v4.1 MSTNDHEDPSSSSKTMPSPSPSTLNPSSSPSSFKLRKIPPIPIRRIQKPDAPLNDTESINNDDGSDTYYDSRNDGKEILEERNYKTDKNSPIIMASALGLNHIRTRSLPVPSPLRFSSSAGTPSYLENAQVNNKEDKTDVETRLAGGEKVSWSHSKPRRTHSQLNPAMEAAFFAKEIQSPRFQAILRLTSGRMKKPDVKSFSHELNSKGVRPFPVWKSRAFGHMEEVMVVIRTKFIRLKEEVDCDLGIFAGDLVGILEKTSDAHPEWRESLEDLLVVARQCAKMSSNEFWVKCESIVLNLDDKRQELPMGIVKQAHTRLLFILTRCTRLVQFQKENGYDEDKILGIHQCSDLGVYPEQIIEIAQQDFSGPLVGWKTANEKQRKKFHSHEQDSKVIKQDHLDQTLEVGTAKSFDSTGSSFRMSSWKKLPSAAEKNRKGSDLVQTPSKDKSEPIHNKDDYSENLETPEHPLSPGTKGVSWGLWGEQHNVAYENSMICRICEVEIPIVHVEEHSLICTIADRCDLKGFGVNERLERVAETLEKILDSWSPKSTPKSTPKGCDTPRGSPEVERLPTSGIHEVSDGLSTKRNSFSSHCSEEMLDVVPDTFVMEDLNAFPGISSEACSTLTPDVDKKTSSGESLTPRTPLLTPRTSQIGLLLSGQRTIAELENSHQVSKLLDIARSVASLSGNYSALQSMLDLVQDVKYAIQDRKVDALIVETFGRRIEKLVQEKCVLLCKQIDDEKSDPSNHMADEDSSEENDAVRSLRTSPINMSSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVQSILEERDILITVSNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARMYIAEVVLALEYLHSSNVIHRDLKPDNLLISQDGHIKLTDFGLSKVGLINSTDDLSVPLVSGSGFLDDDELKSQSSSKSEERQKHPVVGTPDYLAPEILLGMGHGATADWWSVGVILYEMLVGIPPFNAETPQQIFDNIMNRDIPWPRIPEEMSFDACDLIDKLLAENPLQRLGATGAREVKKHSFFRDINWDTLARQKAMFIPSGEAHDTSYFMSRYIWNPEGENVHGGSDFEDLTDTCSSGSFSNTHDDNGDECDSLAEVGAPILDMTYSFSNFSFKNLPQLVSINYDLVGKSTKEAADASKPSVP >Potri.012G127800.10.v4.1 pep chromosome:Pop_tri_v4:12:14364612:14377254:-1 gene:Potri.012G127800.v4.1 transcript:Potri.012G127800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127800.v4.1 MSTNDHEDPSSSSKTMPSPSPSTLNPSSSPSSFKLRKIPPIPIRRIQKPDAPLNDTESINNDDGSDTYYDSRNDGKEILEERNYKTDKNSPIIMASALGLNHIRTRSLPVPSPLRFSSSAGTPSYLENAQVNNKEDKTDVETRLAGGEKVSWSHSKPRRTHSQLNPAMEAAFFAKEIQSPRFQAILRLTSGRMKKPDVKSFSHELNSKGVRPFPVWKSRAFGHMEEVMVVIRTKFIRLKEEVDCDLGIFAGDLVGILEKTSDAHPEWRESLEDLLVVARQCAKMSSNEFWVKCESIVLNLDDKRQELPMGIVKQAHTRLLFILTRCTRLVQFQKENGYDEDKILGIHQCSDLGVYPEQIIEIAQQDFSGPLVGWKTANEKQRKKFHSHEQDSKVIKQDHLDQTLEVGTAKSFDSTGSSFRMSSWKKLPSAAEKNRKGSDLVQTPSKDKSEPIHNKDDYSENLETPEHPLSPGTKGVSWGLWGEQHNVAYENSMICRICEVEIPIVHVEEHSLICTIADRCDLKGFGVNERLERVAETLEKILDSWSPKSTPKSTPKGCDTPRGSPEVERLPTSGIHEVSDGLSTKRNSFSSHCSEEMLDVVPDTFVMEDLNAFPGISSEACSTLTPDVDKKTSSGESLTPRTPLLTPRTSQIGLLLSGQRTIAELENSHQVSKLLDIARSVASLSGNYSALQSMLDLVQDVKYAIQDRKVDALIVETFGRRIEKLVQEKCVLLCKQIDDEKSDPSNHMADEDSSEENDAVRSLRTSPINMSSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVQSILEERDILITVSNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARMYIAEVVLALEYLHSSNVIHRDLKPDNLLISQDGHIKLTDFGLSKVGLINSTDDLSVPLVSGSGFLDDDELKSQSSSKSEERQKHPVVGTPDYLAPEILLGMGHGATADWWSVGVILYEMLVGIPPFNAETPQQIFDNIMNRDIPWPRIPEEMSFDACDLIDKLLAENPLQRLGATGAREVKKHSFFRDINWDTLARQKAMFIPSGEAHDTSYFMSRYIWNPEGENVHGGSDFEDLTDTCSSGSFSNTHDDNGDECDSLAEVGAPILDMTYSFSNFSFKNLPQLVSINYDLVGKSTKEAADASKPSVP >Potri.012G127800.14.v4.1 pep chromosome:Pop_tri_v4:12:14364558:14377255:-1 gene:Potri.012G127800.v4.1 transcript:Potri.012G127800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127800.v4.1 MSTNDHEDPSSSSKTMPSPSPSTLNPSSSPSSFKLRKIPPIPIRRIQKPDAPLNDTESINNDDGSDTYYDSRNDGKEILEERNYKTDKNSPIIMASALGLNHIRTRSLPVPSPLRFSSSAGTPSYLENAQVNNKEDKTDVETRLAGGEKVSWSHSKPRRTHSQLNPAMEGNQAAFFAKEIQSPRFQAILRLTSGRMKKPDVKSFSHELNSKGVRPFPVWKSRAFGHMEEVMVVIRTKFIRLKEEVDCDLGIFAGDLVGILEKTSDAHPEWRESLEDLLVVARQCAKMSSNEFWVKCESIVLNLDDKRQELPMGIVKQAHTRLLFILTRCTRLVQFQKENGYDEDKILGIHQCSDLGVYPEQIIEIAQQDFSGPLVGWKTANEKQRKKFHSHEQDSKVIKQDHLDQTLEVGTAKSFDSTGSSFRMSSWKKLPSAAEKNRKGSDLVQTPSKDKSEPIHNKDDYSENLETPEHPLSPGTKGVSWGLWGEQHNVAYENSMICRICEVEIPIVHVEEHSLICTIADRCDLKGFGVNERLERVAETLEKILDSWSPKSTPKSTPKGCDTPRGSPEVERLPTSGIHEVSDGLSTKRNSFSSHCSEEMLDVVPDTFVMEDLNAFPGISSEACSTLTPDVDKKTSSGESLTPRTPLLTPRTSQIGLLLSGQRTIAELENSHQVSKLLDIARSVASLSGNYSALQSMLDLVQDVKYAIQDRKVDALIVETFGRRIEKLVQEKCVLLCKQIDDEKSDPSNHMADEDSSEENDAVRSLRTSPINMSSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVQSILEERDILITVSNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARMYIAEVVLALEYLHSSNVIHRDLKPDNLLISQDGHIKLTDFGLSKVGLINSTDDLSVPLVSGSGFLDDDELKSQSSSKSEERQKHPVVGTPDYLAPEILLGMGHGATADWWSVGVILYEMLVGIPPFNAETPQQIFDNIMNRDIPWPRIPEEMSFDACDLIDKLLAENPLQRLGATGAREVKKHSFFRDINWDTLARQKAMFIPSGEAHDTSYFMSRYIWNPEGENVHGGSDFEDLTDTCSSGSFSNTHDDNGDECDSLAEVGAPILDMTYSFSNFSFKNLPQLVSINYDLVGKSTKEAADASKPSVP >Potri.012G127800.6.v4.1 pep chromosome:Pop_tri_v4:12:14364558:14377254:-1 gene:Potri.012G127800.v4.1 transcript:Potri.012G127800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127800.v4.1 MSTNDHEDPSSSSKTMPSPSPSTLNPSSSPSSFKLRKIPPIPIRRIQKPDAPLNDTESINNDDGSDTYYDSRNDGKEILEERNYKTDKNSPIIMASALGLNHIRTRSLPVPSPLRFSSSAGTPSYLENAQVNNKEDKTDVETRLAGGEKVSWSHSKPRRTHSQLNPAMEGNQAAFFAKEIQSPRFQAILRLTSGRMKKPDVKSFSHELNSKGVRPFPVWKSRAFGHMEEVMVVIRTKFIRLKEEVDCDLGIFAGDLVGILEKTSDAHPEWRESLEDLLVVARQCAKMSSNEFWVKCESIVLNLDDKRQELPMGIVKQAHTRLLFILTRCTRLVQFQKENGYDEDKILGIHQCSDLGVYPEQIIEIAQQDFSGPLVGWKTANEKQRKKFHSHEQDSKVIKQDHLDQTLEVGTAKSFDSTGSSFRMSSWKKLPSAAEKNRKGSDLVQTPSKDKSEPIHNKDDYSENLETPEHPLSPGTKGVSWGLWGEQHNVAYENSMICRICEVEIPIVHVEEHSLICTIADRCDLKGFGVNERLERVAETLEKILDSWSPKSTPKSTPKGCDTPRGSPEVERLPTSGIHEVSDGLSTKRNSFSSHCSEEMLDVVPDTFVMEDLNAFPGISSEACSTLTPDVDKKTSSGESLTPRTPLLTPRTSQIGLLLSGQRTIAELENSHQVSKLLDIARSVASLSGNYSALQSMLDLVQDVKYAIQDRKVDALIVETFGRRIEKLVQEKCVLLCKQIDDEKSDPSNHMADEDSSEENDAVRSLRTSPINMSSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVQSILEERDILITVSNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARMYIAEVVLALEYLHSSNVIHRDLKPDNLLISQDGHIKLTDFGLSKVGLINSTDDLSVPLVSGSGFLDDDELKSQSSSKSEERQKHPVVGTPDYLAPEILLGMGHGATADWWSVGVILYEMLVGIPPFNAETPQQIFDNIMNRDIPWPRIPEEMSFDACDLIDKLLAENPLQRLGATGAREVKKHSFFRDINWDTLARQKAMFIPSGEAHDTSYFMSRYIWNPEGENVHGGSDFEDLTDTCSSGSFSNTHDDNGDECDSLAEVGAPILDMTYSFSNFSFKNLPQLVSINYDLVGKSTKEAADASKPSVP >Potri.012G127800.2.v4.1 pep chromosome:Pop_tri_v4:12:14364558:14377254:-1 gene:Potri.012G127800.v4.1 transcript:Potri.012G127800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127800.v4.1 MSTNDHEDPSSSSKTMPSPSPSTLNPSSSPSSFKLRKIPPIPIRRIQKPDAPLNDTESINNDDGSDTYYDSRNDGKEILEERNYKTDKNSPIIMASALGLNHIRTRSLPVPSPLRFSSSAGTPSYLENAQVNNKEDKTDVETRLAGGEKVSWSHSKPRRTHSQLNPAMEGNQAAFFAKEIQSPRFQAILRLTSGRMKKPDVKSFSHELNSKGVRPFPVWKSRAFGHMEEVMVVIRTKFIRLKEEVDCDLGIFAGDLVGILEKTSDAHPEWRESLEDLLVVARQCAKMSSNEFWVKCESIVLNLDDKRQELPMGIVKQAHTRLLFILTRCTRLVQFQKENGYDEDKILGIHQCSDLGVYPEQIIEIAQQDFSGPLVGWKTANEKQRKKFHSHEQDSKVIKQDHLDQTLEVGTAKSFDSTGSSFRMSSWKKLPSAAEKNRKGSDLVQTPSKDKSEPIHNKDDYSENLETPEHPLSPGTKGVSWGLWGEQHNVAYENSMICRICEVEIPIVHVEEHSLICTIADRCDLKGFGVNERLERVAETLEKILDSWSPKSTPKSTPKGCDTPRGSPEVERLPTSGIHEVSDGLSTKRNSFSSHCSEEMLDVVPDTFVMEDLNAFPGISSEACSTLTPDVDKKTSSGESLTPRTPLLTPRTSQIGLLLSGQRTIAELENSHQVSKLLDIARSVASLSGNYSALQSMLDLVQDVKYAIQDRKVDALIVETFGRRIEKLVQEKCVLLCKQIDDEKSDPSNHMADEDSSEENDAVRSLRTSPINMSSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVQSILEERDILITVSNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARMYIAEVVLALEYLHSSNVIHRDLKPDNLLISQDGHIKLTDFGLSKVGLINSTDDLSVPLVSGSGFLDDDELKSQSSSKSEERQKHPVVGTPDYLAPEILLGMGHGATADWWSVGVILYEMLVGIPPFNAETPQQIFDNIMNRDIPWPRIPEEMSFDACDLIDKLLAENPLQRLGATGAREVKKHSFFRDINWDTLARQKAMFIPSGEAHDTSYFMSRYIWNPEGENVHGGSDFEDLTDTCSSGSFSNTHDDNGDECDSLAEVGAPILDMTYSFSNFSFKNLPQLVSINYDLVGKSTKEAADASKPSVP >Potri.012G127800.15.v4.1 pep chromosome:Pop_tri_v4:12:14364558:14377255:-1 gene:Potri.012G127800.v4.1 transcript:Potri.012G127800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127800.v4.1 MSTNDHEDPSSSSKTMPSPSPSTLNPSSSPSSFKLRKIPPIPIRRIQKPDAPLNDTESINNDDGSDTYYDSRNDGKEILEERNYKTDKNSPIIMASALGLNHIRTRSLPVPSPLRFSSSAGTPSYLENAQVNNKEDKTDVETRLAGGEKVSWSHSKPRRTHSQLNPAMEAAFFAKEIQSPRFQAILRLTSGRMKKPDVKSFSHELNSKGVRPFPVWKSRAFGHMEEVMVVIRTKFIRLKEEVDCDLGIFAGDLVGILEKTSDAHPEWRESLEDLLVVARQCAKMSSNEFWVKCESIVLNLDDKRQELPMGIVKQAHTRLLFILTRCTRLVQFQKENGYDEDKILGIHQCSDLGVYPEQIIEIAQQDFSGPLVGWKTANEKQRKKFHSHEQDSKVIKQDHLDQTLEVGTAKSFDSTGSSFRMSSWKKLPSAAEKNRKGSDLVQTPSKDKSEPIHNKDDYSENLETPEHPLSPGTKGVSWGLWGEQHNVAYENSMICRICEVEIPIVHVEEHSLICTIADRCDLKGFGVNERLERVAETLEKILDSWSPKSTPKSTPKGCDTPRGSPEVERLPTSGIHEVSDGLSTKRNSFSSHCSEEMLDVVPDTFVMEDLNAFPGISSEACSTLTPDVDKKTSSGESLTPRTPLLTPRTSQIGLLLSGQRTIAELENSHQVSKLLDIARSVASLSGNYSALQSMLDLVQDVKYAIQDRKVDALIVETFGRRIEKLVQEKCVLLCKQIDDEKSDPSNHMADEDSSEENDAVRSLRTSPINMSSKDRTSIEDFEIIKPISRGAFGRVFLARKRATGDLFAIKVLKKADMIRKNAVQSILEERDILITVSNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDMARMYIAEVVLALEYLHSSNVIHRDLKPDNLLISQDGHIKLTDFGLSKVGLINSTDDLSVPLVSGSGFLDDDELKSQSSSKSEERQKHPVVGTPDYLAPEILLGMGHGATADWWSVGVILYEMLVGIPPFNAETPQQIFDNIMNRDIPWPRIPEEMSFDACDLIDKLLAENPLQRLGATGAREVKKHSFFRDINWDTLARQKAMFIPSGEAHDTSYFMSRYIWNPEGENVHGGSDFEDLTDTCSSGSFSNTHDDNGDECDSLAEVGAPILDMTYSFSNFSFKNLPQLVSINYDLVGKSTKEAADASKPSVP >Potri.008G041600.1.v4.1 pep chromosome:Pop_tri_v4:8:2339387:2341292:-1 gene:Potri.008G041600.v4.1 transcript:Potri.008G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041600.v4.1 MYRFSNTVIGFLNLFTLLASIPIIGGGLWMARSSTTCESFLQTPLLVVGFVVLIISLAGFIGACFHVAWALWVYLVVMLFLIAALMGLTIFGFVVTSQGGGVEVPGRVYKEYRIEDYSPWLRNKIKDPDYWRTIRSCILGSKTCAKLASWTPLDYLEKDMSPIQSGCCKPPTSCNYNTATAVAQDPDCYRWNNAPTLLCYECDSCKAGVLEDVRRDWHKLSVLNVVMLVFLIGIYSIGCCAFQNTRRAETDYPYGENRMTKVRPRWDYYWWRWWQDKREQLY >Potri.001G460000.1.v4.1 pep chromosome:Pop_tri_v4:1:48561490:48565793:-1 gene:Potri.001G460000.v4.1 transcript:Potri.001G460000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G460000.v4.1 MRSKDRIAYFYDGDVGSVYFGPNHPMKPHRLCMTHHLVLSYELHKKMEIYRPHKAYPVELAQFHSEDYVEFLHRITPDTQHLFAGELARYNLGEDCPVFENLFEFCQIYAGGTIDAAHRLNNQLCDIAINWAGGLHHAKKCGASGFCYINDLVLGILELLKHHARVLYIDIDVHHGDGVEEAFYFTDRVMTVSFHKYGDMFFPGTGDVKELGEREGKFYAINVPLKDGIDDTSFTRLFKTIISKVVETYQPGAIVLQCGADSLAGDRLGCFNLSIDGHAECVRFVKKFNLPLLVTGGGGYTKENVARCWTVETGVLLDTELPNEIPENEYIKYFAPDYSLKSPGGLMENLNSKSYLSTIKMQVLENLRCIQHAPSVQMQEVPPDFFIPDFDEDEQNPDERMDQHTQDKQIQRDDEYYEGDNDNDHADGS >Potri.004G085700.1.v4.1 pep chromosome:Pop_tri_v4:4:7140660:7143956:1 gene:Potri.004G085700.v4.1 transcript:Potri.004G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085700.v4.1 MAEEAGMFMVHQTIGSVLCCKCGIPMQPNAANMCVKCLRSEVDITEGLKKNVILLHCPECDTYLDPPSTRIRAQLESRELMAFCLKKLKLKSTGVILVNAEFIWTEPHSKRIKLRVRVQKEVLHGAILEQAYVIEYVQQDQMCDSCTRVQANPDQWVAGVQLRQHVAHRRTFFYLEQLILKHDAAARAIKIKQMDHGIDFFFANRSHGVKFVDFVGKVAPVKSRNDKQLVSHDTKSNNYNYKHTFSVEISPICREDLVCLPPRVAVSLGNLGPLVICSKVTNSIALLDPFTLRHCFLDADQYWRTPFKSLLTSRQLVEYIVFDVDFVSPEVNIGGSRYALADATVARMSDFGKNDTMFNIKTHLGHILKPGDYALGYDLHGANSNDMELDKYKNLVIPEAILVKKSYEEKRQRKRGKPRSWKLKSLNMEVDDTRARGDQEKMNSEYEQFLRDLEENPELRFNVSLYRNKEYQPSEMASMTDGEDIPSIPLEELLADLEIDDAEDEDEGMRE >Potri.004G085700.4.v4.1 pep chromosome:Pop_tri_v4:4:7140713:7143909:1 gene:Potri.004G085700.v4.1 transcript:Potri.004G085700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085700.v4.1 MAEEAGMFMVHQTIGSVLCCKCGIPMQPNAANMCVKCLRSEVDITEGLKKNVILLHCPECDTYLDPPSTRIRAQLESRELMAFCLKKLKLKSTGVILVNAEFIWTEPHSKRIKLRVRVQKEVLHGAILEQAYVIEYVQQDQMCDSCTRVQANPDQWVAGVQLRQHVAHRRTFFYLEQLILKHDAAARAIKIKQMDHGIDFFFANRSHGVKFVDFVGKVAPVKSRNDKQLVSHDTKSNNYNYKHTFSVEISPICREDLVCLPPRVAVSLGNLGPLVICSKVTNSIALLDPFTLRHCFLDADQYWRTPFKSLLTSRQLVEYIVFDVDFVSPEVNIGGSRYALADATVARMSDFGKNDTMFNIKTHLGHILKPGDYALGYDLHGANSNDMELDKYKNLVIPEAILVKKSYEEKRQRKRGKPRSWKLKSLNMEVDDTRARGDQEKMNSEYEQFLRDLEENPELRFNVSLYRNKEYQPSEMASMTDGEDIPSIPLEELLADLEIDDAEDEDEGMRE >Potri.004G085700.3.v4.1 pep chromosome:Pop_tri_v4:4:7140713:7143965:1 gene:Potri.004G085700.v4.1 transcript:Potri.004G085700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085700.v4.1 MAEEAGMFMVHQTIGSVLCCKCGIPMQPNAANMCVKCLRSEVDITEGLKKNVILLHCPECDTYLDPPSTRIRAQLESRELMAFCLKKLKLKSTGVILVNAEFIWTEPHSKRIKLRVRVQKEVLHGAILEQAYVIEYVQQDQMCDSCTRVQANPDQWVAGVQLRQHVAHRRTFFYLEQLILKHDAAARAIKIKQMDHGIDFFFANRSHGVKFVDFVGKVAPVKSRNDKQLVSHDTKSNNYNYKHTFSVEISPICREDLVCLPPRVAVSLGNLGPLVICSKVTNSIALLDPFTLRHCFLDADQYWRTPFKSLLTSRQLVEYIVFDVDFVSPEVNIGGSRYALADATVARMSDFGKNDTMFNIKTHLGHILKPGDYALGYDLHGANSNDMELDKYKNLVIPEAILVKKSYEEKRQRKRGKPRSWKLKSLNMEVDDTRARGDQEKMNSEYEQFLRDLEENPELRFNVSLYRNKEYQPSEMASMTDGEDIPSIPLEELLADLEIDDAEDEDEGMRE >Potri.004G085700.2.v4.1 pep chromosome:Pop_tri_v4:4:7140660:7143941:1 gene:Potri.004G085700.v4.1 transcript:Potri.004G085700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085700.v4.1 MCVCFVDAKLSVFLFFVLYLPDMAEEAGMFMVHQTIGSVLCCKCGIPMQPNAANMCVKCLRSEVDITEGLKKNVILLHCPECDTYLDPPSTRIRAQLESRELMAFCLKKLKLKSTGVILVNAEFIWTEPHSKRIKLRVRVQKEVLHGAILEQAYVIEYVQQDQMCDSCTRVQANPDQWVAGVQLRQHVAHRRTFFYLEQLILKHDAAARAIKIKQMDHGIDFFFANRSHGVKFVDFVGKVAPVKSRNDKQLVSHDTKSNNYNYKHTFSVEISPICREDLVCLPPRVAVSLGNLGPLVICSKVTNSIALLDPFTLRHCFLDADQYWRTPFKSLLTSRQLVEYIVFDVDFVSPEVNIGGSRYALADATVARMSDFGKNDTMFNIKTHLGHILKPGDYALGYDLHGANSNDMELDKYKNLVIPEAILVKKSYEEKRQRKRGKPRSWKLKSLNMEVDDTRARGDQEKMNSEYEQFLRDLEENPELRFNVSLYRNKEYQPSEMASMTDGEDIPSIPLEELLADLEIDDAEDEDEGMRE >Potri.016G134200.2.v4.1 pep chromosome:Pop_tri_v4:16:13846178:13847861:1 gene:Potri.016G134200.v4.1 transcript:Potri.016G134200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G134200.v4.1 MGNNRFRLSDMMPNAWFYKLKDMGKTRNHNTTTHSTKKKQATSAAAAAVAESQQPPSKPKHPHYNSCPRKSYYNTRELISSDQKFHTSPRNSKSTDTLFPDPPRRSSKQRARKRTIKSSSPKLATSSVSAVCNCRATLWTKPNSPPDYSASLSDSSLDQETDFSDSFPPEFRSDCVLATDSFDKMLSWSSSNCDCKLDSNNYDDIVINMDEKYIARRSDDVDVFHKISDLDLPPIITKPPKFDDQVEDFKKKDTLEPVKYRRSSAKYEETNANASLSVKVVKEGSITAMKEHKTNTTVRRNSVTSPGVRLRVNSPRISNRKIQAYNNGRKSVSSTTSSLSRSRRSLSDSLAVVKSSFDPQKDFRESMMEMIVENNIKASKDLEDLLACYLSLNSDEYHDLIIKVFKQIWFDLSDIKLQ >Potri.002G070300.1.v4.1 pep chromosome:Pop_tri_v4:2:4922119:4929087:1 gene:Potri.002G070300.v4.1 transcript:Potri.002G070300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070300.v4.1 MADLVSYGNAERDIEQALIALKKGSQLLKYGRKGKPKFCPFRLSNDETTLIWISSSGERSLKLASVSKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALIISGQGGRSKIDGWSDGGLYLDDGRDLTPNSASDSSVSISRDISSPEVSVNFNPNTSPKNFQLESSPHSDRSHVASENTNMQVKGSGSDAFRVSVSSAPSTSSHGSAPDDCDALGDVYVWGEIICDNAVKVGADKNATYLSTRADVLLPRPLESNVVLDVHHIACGFRHAAMVTRQGEVFTWGEESGGRLGHGVGKDVIQPRLVESLAMTTVDFIACGEFHTCAVTMAGEIYTWGDGMHYAGLLGHGTDISHWIPKRISGPLEGLQVASVTCGPWHTALVTSTGQLFTFGDGTFGVLGHGNRENIAYPKEVESLAGLRTIAVACGVWHTAAVVEVIVTQSSSSVSSGKLFTWGDGDKNRLGHGDKEPRLKPTCVPALIDFNFHKIACGHSLTVGLTTSGHVFTMGSTVYGQLGNPYADGKVPCLVEDKLSGESVEEIACGAYHVAVLTSRNEVYTWGKGANGRLGHGDGEDRKTPTLVEALKDKHVKYIACGANYSAAICLHKWVSGSEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKATRAALAPNPSKPYRVCDSCFTKLNKVSDASNTNRRNAGPRLSGENKDRLDKADLRLSKLTLPSNLDLIKQLDSKAAKQGKKADTFSLVWSSQAPSLLQLKDVVLSSTIDLRPKVPKPVLTPSGVSSRSVSPFSRRPSPPRSATPVPTTSGLSFSKSIADSLKKTNELLNQEVLKLRTQVESLRQRCEFQESELQKSAKKVQEAMAVAAEESAKSKAAKDVMKSLTAQLKDMAERLPPGVYDTESMRPAYVPNGLETNGIHFPDANGKRHSRSDSISGTSLASPTRVDSISINGTLGITQSLRDSPGANGRDDHPDVRLSNGGAQPSCNSVSEAVAGKEPRSPQDGENGMKSRDSSLVANGNHVEAEWIEQYEPGVYITLVSLRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYEKYNVRGSDKSSVSGQAARRSEGGMSSASLP >Potri.014G140400.1.v4.1 pep chromosome:Pop_tri_v4:14:9529952:9532301:-1 gene:Potri.014G140400.v4.1 transcript:Potri.014G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140400.v4.1 MAAAAAARTLLYSSHSKLFFFPFSNCSKKLHRPIINKNPIFVPLRCLQSAQQNASTTRDPMRSRSSVVDILEERGLLESITSDNLRSISTTSTLKAYCGFDPTAESLHLGNLLGIIVLSWFQRCGHKAVALIGGATARIGDPSGKSLERPELDADTLENNTQGITNVITRILNMNSSSNVNGDGNHLNSSSFFVVMNNYDWWKEVRLLDFLKQVGRFARVGTMMGKESVKKRLESEQGMSYTEFTYQLLQGYDFLYLYQNEGVNVQIGGSDQWGNITAGTELIRKILQPEGDVAFGLTFPLLLKSDGTKFGKSEDGAIWLSPSLLSPYKFYQYFFSVPDADVIRFLKILTFLDIEEIDELEKEMNRPGYTPNTAQRRLAEQVTLFVHGEDGLNEALKATEALRPGAETKLDWKTFEGIAEDVPSCSLASDQVLNISLIDLSVSSGLLDSKSAARRLLKQGGLYLNNSRVDSETKRIEPQDIVDGKVLLLSAGKKNKVIVRIT >Potri.004G174500.1.v4.1 pep chromosome:Pop_tri_v4:4:18971241:18975602:-1 gene:Potri.004G174500.v4.1 transcript:Potri.004G174500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174500.v4.1 MALPVVDTEYLKEIEKARRDLRAVIAYKNCAPIMLRLAWHDAGTYDKNTKTGGANGSIRNEEECSHGSNNGLKIAIDSCEEVKVKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRRDSNTCPKEGRLPNAKLGSPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPERSGFDGPWTQEPLKFDNSYFVELLKGQTEGLLKLPTDTALLDDPDFRPYVELYAKDEEAFFRDYAASHKKLSELGFTPRSSVVKVKDSTVLAQSAVGVAVAAAVVILGYFYEVRKKMN >Potri.019G063101.1.v4.1 pep chromosome:Pop_tri_v4:19:10322088:10324946:-1 gene:Potri.019G063101.v4.1 transcript:Potri.019G063101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063101.v4.1 MASLAASRAAASLGVSEMLGNPLNFSGATRSASPAASTPATFKTVALFSKKKPAPKPKPSAVSPADVELAKWYGPDRRIFLPDGLLDRSEIPEYLTGEVPGDYGYDPFGLSKKPDDFEKYQAFELIHARWAMLGAAGFIIPEAFNKFGANCGPEAVWFKTGALLLDGNTLNYFGKNIPINLVLAVVAEVILVGGAEYFRITNGLGFDDKLHPGGPFDPLGLAKDPDQAALLKVKEIKNGRLAMFAMLGFFFQAYVTGEGPVENLAKHLSDPFGNNLLTVIAGSAERAPSL >Potri.019G018396.1.v4.1 pep chromosome:Pop_tri_v4:19:287304:292168:-1 gene:Potri.019G018396.v4.1 transcript:Potri.019G018396.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018396.v4.1 MDLAHDIYDFLSTATDDVRIVGIHGMPGIGKTTLAKVVFNQLCYRFEGSCFLSNINESSKQVNGLVPLQKQLLHDISKQDVANINCVDRGKVMIKDRLCRKRVLVVADDVAHLEQQNALMGERSWFGPGSRVIITTRDSNLLREADRTYQIEELKPHESLQLFSRHAFKDSKPAQDYIELSKKAAGYCGGLPSALEVTGALLYRKNRGRWESEMDNLSRIPNQDIQGKLLISYHALDGELQRAFLDIACFFIGIEKEYVAKVLGARCRPNPEVVLETLSERSLIKILGETVTMHDLLREMGREVVCKASPKEPGKRTRIWNQKDAWNVLQQQKGTDVVEGLALDVRASEAKSLSAGSLEKMKCLNLLQINGAHLTGSFKLLSKELMWICWHECPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILDKLKILNLSYSHHLIKSPKLHSSILEKLILKGCSSLVEVHQSIGHSTSLVFLNLEGCWSLKTLPESIGNVQPWSRVSY >Potri.005G188700.1.v4.1 pep chromosome:Pop_tri_v4:5:19640710:19644841:-1 gene:Potri.005G188700.v4.1 transcript:Potri.005G188700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188700.v4.1 MMSSNAITIFLLFLNISIFPAISALNQEGHSLLSWLSTFNSSFSSTFFSTWDPSHQNPCKWDYVRCSSNGFVSEIIITSINLPTGFPTQLLSFNHLTTLVLSNGNLTGEIPRSIGNLSSLSTLDLSFNSLTGNIPAEIGRLSQLQLLALNTNSLHGEIPKEIGNCSRLRQLELFDNQLSGKIPAEIGQLLALETFRAGGNPGIYGQIPMQISNCKGLLFLGLADTGISGEIPSSLGELKHLETLSVYTANLTGSIPAEIGNCSALEHLYLYENQLSGRVPDELASLTNLKKLLLWQNNLTGSIPDALGNCLSLEVIDLSMNFLSGQIPGSLANLVALEELLLSENYLSGEIPPFVGNYFGLKQLELDNNRFTGEIPPAIGQLKELSLFFAWQNQLHGSIPAELARCEKLQALDLSHNFLTGSIPPSLFHLKNLTQLLLISNGFSGEIPPDIGNCIGLIRLRLGSNYFSGQIPSEIGLLHSLSFLELSDNQFTGEIPAEIGNCTQLEMVDLHNNRLHGTIPTSVEFLVSLNVLDLSKNSIAGSVPENLGMLTSLNKLVINENYITGSIPKSLGLCRDLQLLDMSSNRLTGSIPDEIGRLQGLDILLNLSRNSLTGPIPESFASLSKLSNLDLSYNMLTGTLTVLGSLDNLVSLNVSYNNFSGLLPDTKFFHDLPASVYAGNQELCINRNKCHMDGSHHGKNTKNLVACTLLSVTVTLLIVLLGGLLFIRTRGASFGRKDEDILEWDFTPFQKLNFSVNDILTKLSDSNIVGKGVSGIVYRVETPMKQVIAVKRLWPLKNGEVPERDLFSAEVRALGSIRHKNIVRLLGCCNNGKTRLLLFDYISNGSLAELLHEKNVFLDWDTRYNIILGAAHGLAYLHHDCIPPIVHRDIKANNILIGPQFEAFLADFGLAKLVDSAECSRVSNTVAGSYGYIAPEYGYSFRITEKSDVYSYGVVLLEVLTGKEPTDNRIPEGVHIVTWVSKALRERRTELTSIIDPQLLLRSGTQLQEMLQVIGVALLCVNPSPEERPTMKDVIAMLKEIKHENEYSEKPKYRGKEAATNPKAAVHSSSFSRSSEPLIRSPS >Potri.017G136501.1.v4.1 pep chromosome:Pop_tri_v4:17:13786538:13788479:1 gene:Potri.017G136501.v4.1 transcript:Potri.017G136501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136501.v4.1 MRISRSNIKNCFLVFCASLLVARSCHGSKHVALFIFGDSLYDAGNNKYIEDAPIFSDFWPYGETFFKHPTGRPCDGRLIPDFIAQYANLPLIPPYLQPGDHQFMDGENFESKGDLVLAENLQGMVISSEIQQHLQSSSHRFINGVNFASSGAGALVETHHGWVINLSTQLSYFKHMKRQLRLQLGEAEAKKLLSTAVYIFSIGGNDYFAALTPTHSLLQFYSREEYVGMVIGNITTVIQEIYKIGGRRFGLSTLIALGCLPSLRAAKQEKTGVSGCLDEATMFAKLHNRALPKALKELEGQLEGFRYSIFDAYVAGRERINNPSKYGFKEVQEACCGSGPYRSFPTCGQKGYQLCDNASEYFFFDAAHPTESANNQFAKLMWSGSLDIVKPYNLKTLFEE >Potri.006G039700.1.v4.1 pep chromosome:Pop_tri_v4:6:2648599:2653999:1 gene:Potri.006G039700.v4.1 transcript:Potri.006G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039700.v4.1 MEMESNSMVDVPLKKDIKVSSSDNEKVDEHGVSGVLLNGDGEVETPKIGMVFASQEEVRDYYNRYAQRVGFGIMRRSSRCDDDGRLTYIVLSCSQCGKDRGIPKSRFQWKQTSKTNCKAKINLVLNPQGQFHICNVVLDHNHELTPGQLHPNICKKSKPFRTRKGREGKEQAGMMLHPDFRSIFGEPLGSETASPDSVMQRPSFSNHLLNGDKHLLCSYTGLPTGEIISNRLKRRSPALEGSQNSQDYLRHALAKRAVALVKSGMVIGLGTGRTLTLVIEEIGKLIQEGKLKDIVAVGTNYQSRITARQYGMTTVDLNDVNDIDIAFDVVDEVDINKNLLKCRGANHTVQKVIDSMAKVCILLVEHTKVVHRLGNNIPVAVEVLPIAVSPVLRRLIALGGVPEIRSASRKDGSVITDLGNMVVDVSFPSGIQNPADLEKNINMIPGVVDNGIFSSVATIVLVVVRDRGNIKVMNLEEFLEGVPGHRDASSSL >Potri.006G039700.3.v4.1 pep chromosome:Pop_tri_v4:6:2648553:2654182:1 gene:Potri.006G039700.v4.1 transcript:Potri.006G039700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039700.v4.1 MEMESNSMVDVPLKKDIKVSSSDNEKVDEHGVSGVLLNGDGEVETPKIGMVFASQEEVRDYYNRYAQRVGFGIMRRSSRCDDDGRLTYIVLSCSQCGKDRGIPKSRFQWKQTSKTNCKAKINLVLNPQGQFHICNVVLDHNHELTPGQLHPNICKKSKPFRTRKGREGKEQAGMMLHPDFRSIFGEPLGSETASPDSVMQRPSFSNHLLNGDKHLLCSYTGLPTGEIISNRLKRRSPALEGSQNSQDYLRHALAKRAVALVKSGMVIGLGTGRTLTLVIEEIGKLIQEGKLKDIVAVGTNYQSRITARQYGMTTVDLNDVNDIDIAFDVVDEVDINKNLLKCRGANHTVQKVR >Potri.004G026000.3.v4.1 pep chromosome:Pop_tri_v4:4:1975223:1978523:1 gene:Potri.004G026000.v4.1 transcript:Potri.004G026000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G026000.v4.1 MKSLNFFIVLLSSLSLATITKSQDPSFLYNSCSNDTTYTRNSTYQANLNLLLSSLVSNATRNNLDGFYNSSIGLDPDDVYGLFLCRGDVNKNACQNCVALAAKEAIQRCPVEKVVILWYDLCLLRCSNRAFFATMDQDPGEFLFKSDQNIADEPERFNRLVATTMNDTVTQATIATSGGKKFAVEEVYFTKSLNLYSLAQCTPDLSSSDCNRCLRIAISILPSCCSQKPGASILYPSCNVRYETFKFYNITTVAAKPPPPPPSPLTRPKDGDEISTVESLQFDLSSIEAATNNFSPDNKLGEGGFGEVYKGTLPHGQQIAVKRLSKYSGQGAAEFKNEVVLIAKLQHRNLVRLLGYCLQGAEKILIYEFVPNKSLDHFLFDPGKQGLLDWSIRYKIIGGIA >Potri.010G036900.1.v4.1 pep chromosome:Pop_tri_v4:10:6623214:6627631:-1 gene:Potri.010G036900.v4.1 transcript:Potri.010G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036900.v4.1 MSKLFYHPTIKLLKILILSLLFLGGDFGSNNNNNNYYVEAFKVPFRVKDVLPVLPHQISWPVLNNLHSAVDLLPYFVGSVSPDNGTLQWKGACFYDNEARLDFALADSDHDPALGGGLLRLKTSAAHSWTCMDLYVFATPYRVTWDYYFSAREHTLKFDSWEEAAEMEYVKQHGVSVFLMPSGMLGTLLSLIDVLPLFSNTVWGQNANLAFLTKHMGATFEKRPQPWRTTINPDDVHSGDFLAVSKIRGRWGGFETLEKWVTGAFAGHTAVCLKDELGNLWVGESGHENEKGEEIIVVIPWEEWWELSLKDSSNPQIALLPLHPDVRAKFNSTAAWEYARSMSGKPYGYHNMIFSWIDTIADNYPPPLDAHLVISVMSMWTRVQPAYAANMWNEALNKRLGTEDLDLYGILGETERRGIAFDELLTVPEHDEWVYSDGQSTTCVAFILAMYKAAGVFGPVSSSVQVTEFTIRDAYMLKIFENNQTRLPSWCNNGNVQLPFCQILGEYQMELPEYNSVEPYANMNENCPSLPPVYDRPIQC >Potri.001G396100.2.v4.1 pep chromosome:Pop_tri_v4:1:42152916:42155397:-1 gene:Potri.001G396100.v4.1 transcript:Potri.001G396100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396100.v4.1 MATPTKTGKEQQEESSNAGGKPGLRKPVFTKVDLLKPGTGGHTLTVKVLNSIAVLPKGRSVSHHLRQSRIAECLIGDDTGSIIFTARNEQVDLVKPGTTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPAEFVVKEDNNLSLVEYELVNVTGE >Potri.005G175400.1.v4.1 pep chromosome:Pop_tri_v4:5:18092627:18095097:1 gene:Potri.005G175400.v4.1 transcript:Potri.005G175400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175400.v4.1 MQSQCSWTPCKMPTPLSFKPLTFSTFSFSKQLLLHKKSIASISSTSRGIVSYPSLMASSPSVSTTQKDAFSTQNDSTRKTQQPLQVAKRLEKFKTTIFTQMSSLAIKYGAINLGQGFPNFDGPEFVKEAAIQAIKDGKNQYARGYGVPDLNSAIAERFKKDTGLVVDPDKEITVTSGCTEAIAATILGLINPGDEVIVFAPFYDSYEATLSMAGAKIKGITLCPPAFSVPIDELKSAITKNTRAILINTPHNPTGKMFTREELSTIASLCIENDVLVFTDEVYDKLAFETDHISMASLPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPESYYVELKRDYMAKKEILVEGLKAVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEETLKAAVERMKEKLKRK >Potri.005G175400.2.v4.1 pep chromosome:Pop_tri_v4:5:18092764:18095329:1 gene:Potri.005G175400.v4.1 transcript:Potri.005G175400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175400.v4.1 MSSLAIKYGAINLGQGFPNFDGPEFVKEAAIQAIKDGKNQYARGYGVPDLNSAIAERFKKDTGLVVDPDKEITVTSGCTEAIAATILGLINPGDEVIVFAPFYDSYEATLSMAGAKIKGITLCPPAFSVPIDELKSAITKNTRAILINTPHNPTGKMFTREELSTIASLCIENDVLVFTDEVYDKLAFETDHISMASLPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPESYYVELKRDYMAKKEILVEGLKAVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEETLKAAVERMKEKLKRK >Potri.015G073400.1.v4.1 pep chromosome:Pop_tri_v4:15:9912005:9916747:1 gene:Potri.015G073400.v4.1 transcript:Potri.015G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073400.v4.1 MSSPSKRREMDVMKLMMSDYNVETINDGLNDFNVEFHGPKESLYEGGVWKIHVELPDAYPYKSPSIGFVNKIFHPNVDELSGSVCLDVINQSWSPMFDLLNVFEVFLPQLLIYPNPSDPLNGDAASLMMKDKEQYDQKVKEYCERYAKKEHIMNSTGEELSDEEDVSDEESGSSDEEDEIAGHVDP >Potri.001G062000.1.v4.1 pep chromosome:Pop_tri_v4:1:4772955:4774844:1 gene:Potri.001G062000.v4.1 transcript:Potri.001G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062000.v4.1 MTAPNMAAITASLERSLQNCSLNHHHHHQSSGILDGGREERSSSSDELDSQNHLQQNSDTSLELKSHLSLPYHWEQCLDLKTGEVYYINWRNGMKAREDPRITQEYNGDFYSEDDSSYDSEESSSESSPSSSREHYHNRLQKEDHVLVVAGCKSCFMYFMVPKQVEVCPKCNGQLLHFDRSENGSP >Potri.011G116100.4.v4.1 pep chromosome:Pop_tri_v4:11:14682346:14687155:-1 gene:Potri.011G116100.v4.1 transcript:Potri.011G116100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116100.v4.1 MSRSHFFKHLSYTLVHHHRPSYLSPPLSVYKFPHRWKKPSSSSLFSKSPISSSSSSSLFSSSSVTASPSTTTSESYAYLSVLIRCPKHVADSLSEALLCFGASSTSMDEDDDFDGSNEVCIDSIFPEFEDVDMCLSQAANSIGLKETPPYEVNLGDQYEWVRKTQESFHPVEVTEGLWIVPEWRSPPDVQATNIILNPGLAFGTGEHPTTKLCLLLLKKLIKGEELFLDYGTGSGVLAIAALKFGAALSVGFDIDPQAIMSARHNATLNSIGPETMELHLVPGKTCSSLDGREDEMVKEQSCCGTGVISGTEKYDVVIANILLNPLLDLADHIVSYAKPWAVVGISGIISEQPFFPFADK >Potri.011G116100.1.v4.1 pep chromosome:Pop_tri_v4:11:14682346:14687431:-1 gene:Potri.011G116100.v4.1 transcript:Potri.011G116100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116100.v4.1 MSRSHFFKHLSYTLVHHHRPSYLSPPLSVYKFPHRWKKPSSSSLFSKSPISSSSSSSLFSSSSVTASPSTTTSESYAYLSVLIRCPKHVADSLSEALLCFGASSTSMDEDDDFDGSNEVCIDSIFPEFEDVDMCLSQAANSIGLKETPPYEVNLGDQYEWVRKTQESFHPVEVTEGLWIVPEWRSPPDVQATNIILNPGLAFGTGEHPTTKLCLLLLKKLIKGEELFLDYGTGSGVLAIAALKFGAALSVGFDIDPQAIMSARHNATLNSIGPETMELHLVPGKTCSSLDGREDEMVKEQSCCGTGVISGTEKYDVVIANILLNPLLDLADHIVSYAKPWAVVGISGIISEQCSCIVDRYSMLLEDISVSEMDGWACVSGRKKI >Potri.012G033200.2.v4.1 pep chromosome:Pop_tri_v4:12:779089:782393:1 gene:Potri.012G033200.v4.1 transcript:Potri.012G033200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033200.v4.1 MNMKRGLLFIFSAFLFFGEVLLSITADPVDDKQALLDFLHNILHSHPVNWHENTSVCNSWTGVSCSNDNSRVTALRLPGVGFRGPIPPNTLSRLSAIQILSLRSNGISGSFPYDEFSKLRNLTILFLQSNNFSGPLPSDFSIWNYLTILNLSNNGFNGRIPPSISNLTHLTALSLANNSLSGNIPDINVPSLQHLDLTNNNFTGSLPKSLQRFPSSAFSGNNLSSENALPPALPIHPPSSQPSKKSSKLSEPAILAIAIGGCVLGFVVLAFMIVVCHSKKRREGGLATKNKEVSLKKTASKSQEQNNRLFFFEHCSLAFDLEDLLRASAEVLGKGTFGIAYKAALEEATTVVVKRLKEVAVPKKEFEQQMIAVGSIRHVNVSPLRAYYYSKDERLMVYDFYEEGSVSAMLHVKRGEGHTPMDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKSSNIFLNSQGHGCVSDIGLASLMSPMPPPVMRAAGYRAPEVTDTRKATHASDVYSYGVFLLELLTGKSPMHTTGGDEVVHLVRWVNSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIGLSCVVRMPEQRPKMPDVVKMVEEIRQVSTENPPSSDSKLEISVATPSPQAAEVGSTSSVQ >Potri.012G033200.9.v4.1 pep chromosome:Pop_tri_v4:12:779046:782372:1 gene:Potri.012G033200.v4.1 transcript:Potri.012G033200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033200.v4.1 MNMKRGLLFIFSAFLFFGEVLLSITADPVDDKQALLDFLHNILHSHPVNWHENTSVCNSWTGVSCSNDNSRVTALRLPGVGFRGPIPPNTLSRLSAIQILSLRSNGISGSFPYDEFSKLRNLTILFLQSNNFSGPLPSDFSIWNYLTILNLSNNGFNGRIPPSISNLTHLTALSLANNSLSGNIPDINVPSLQHLDLTNNNFTGSLPKSLQRFPSSAFSGNNLSSENALPPALPIHPPSSQPSKKSSKLSEPAILAIAIGGCVLGFVVLAFMIVVCHSKKRREGGLATKNKEVSLKKTASKSQEQNNRLFFFEHCSLAFDLEDLLRASAEVLGKGTFGIAYKAALEEATTVVVKRLKEVAVPKKEFEQQMIAVGSIRHVNVSPLRAYYYSKDERLMVYDFYEEGSVSAMLHVKRGEGHTPMDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKSSNIFLNSQGHGCVSDIGLASLMSPMPPPVMRAAGYRAPEVTDTRKATHASDVYSYGVFLLELLTGKSPMHTTGGDEVVHLVRWVNSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIGLSCVVRMPEQRPKMPDVVKMVEEIRQVSTENPPSSDSKLEISVATPSPQAAEVGSTSSVQ >Potri.012G033200.8.v4.1 pep chromosome:Pop_tri_v4:12:779193:782437:1 gene:Potri.012G033200.v4.1 transcript:Potri.012G033200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033200.v4.1 MNMKRGLLFIFSAFLFFGEVLLSITADPVDDKQALLDFLHNILHSHPVNWHENTSVCNSWTGVSCSNDNSRVTALRLPGVGFRGPIPPNTLSRLSAIQILSLRSNGISGSFPYDEFSKLRNLTILFLQSNNFSGPLPSDFSIWNYLTILNLSNNGFNGRIPPSISNLTHLTALSLANNSLSGNIPDINVPSLQHLDLTNNNFTGSLPKSLQRFPSSAFSGNNLSSENALPPALPIHPPSSQPSKKSSKLSEPAILAIAIGGCVLGFVVLAFMIVVCHSKKRREGGLATKNKEVSLKKTASKSQEQNNRLFFFEHCSLAFDLEDLLRASAEVLGKGTFGIAYKAALEEATTVVVKRLKEVAVPKKEFEQQMIAVGSIRHVNVSPLRAYYYSKDERLMVYDFYEEGSVSAMLHVKRGEGHTPMDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKSSNIFLNSQGHGCVSDIGLASLMSPMPPPVMRAAGYRAPEVTDTRKATHASDVYSYGVFLLELLTGKSPMHTTGGDEVVHLVRWVNSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIGLSCVVRMPEQRPKMPDVVKMVEEIRQVSTENPPSSDSKLEISVATPSPQAAEVGSTSSVQ >Potri.012G033200.4.v4.1 pep chromosome:Pop_tri_v4:12:779083:782408:1 gene:Potri.012G033200.v4.1 transcript:Potri.012G033200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033200.v4.1 MNMKRGLLFIFSAFLFFGEVLLSITADPVDDKQALLDFLHNILHSHPVNWHENTSVCNSWTGVSCSNDNSRVTALRLPGVGFRGPIPPNTLSRLSAIQILSLRSNGISGSFPYDEFSKLRNLTILFLQSNNFSGPLPSDFSIWNYLTILNLSNNGFNGRIPPSISNLTHLTALSLANNSLSGNIPDINVPSLQHLDLTNNNFTGSLPKSLQRFPSSAFSGNNLSSENALPPALPIHPPSSQPSKKSSKLSEPAILAIAIGGCVLGFVVLAFMIVVCHSKKRREGGLATKNKEVSLKKTASKSQEQNNRLFFFEHCSLAFDLEDLLRASAEVLGKGTFGIAYKAALEEATTVVVKRLKEVAVPKKEFEQQMIAVGSIRHVNVSPLRAYYYSKDERLMVYDFYEEGSVSAMLHVKRGEGHTPMDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKSSNIFLNSQGHGCVSDIGLASLMSPMPPPVMRAAGYRAPEVTDTRKATHASDVYSYGVFLLELLTGKSPMHTTGGDEVVHLVRWVNSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIGLSCVVRMPEQRPKMPDVVKMVEEIRQVSTENPPSSDSKLEISVATPSPQAAEVGSTSSVQ >Potri.012G033200.7.v4.1 pep chromosome:Pop_tri_v4:12:779093:782408:1 gene:Potri.012G033200.v4.1 transcript:Potri.012G033200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033200.v4.1 MNMKRGLLFIFSAFLFFGEVLLSITADPVDDKQALLDFLHNILHSHPVNWHENTSVCNSWTGVSCSNDNSRVTALRLPGVGFRGPIPPNTLSRLSAIQILSLRSNGISGSFPYDEFSKLRNLTILFLQSNNFSGPLPSDFSIWNYLTILNLSNNGFNGRIPPSISNLTHLTALSLANNSLSGNIPDINVPSLQHLDLTNNNFTGSLPKSLQRFPSSAFSGNNLSSENALPPALPIHPPSSQPSKKSSKLSEPAILAIAIGGCVLGFVVLAFMIVVCHSKKRREGGLATKNKEVSLKKTASKSQEQNNRLFFFEHCSLAFDLEDLLRASAEVLGKGTFGIAYKAALEEATTVVVKRLKEVAVPKKEFEQQMIAVGSIRHVNVSPLRAYYYSKDERLMVYDFYEEGSVSAMLHVKRGEGHTPMDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKSSNIFLNSQGHGCVSDIGLASLMSPMPPPVMRAAGYRAPEVTDTRKATHASDVYSYGVFLLELLTGKSPMHTTGGDEVVHLVRWVNSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIGLSCVVRMPEQRPKMPDVVKMVEEIRQVSTENPPSSDSKLEISVATPSPQAAEVGSTSSVQ >Potri.012G033200.1.v4.1 pep chromosome:Pop_tri_v4:12:779128:782440:1 gene:Potri.012G033200.v4.1 transcript:Potri.012G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033200.v4.1 MNMKRGLLFIFSAFLFFGEVLLSITADPVDDKQALLDFLHNILHSHPVNWHENTSVCNSWTGVSCSNDNSRVTALRLPGVGFRGPIPPNTLSRLSAIQILSLRSNGISGSFPYDEFSKLRNLTILFLQSNNFSGPLPSDFSIWNYLTILNLSNNGFNGRIPPSISNLTHLTALSLANNSLSGNIPDINVPSLQHLDLTNNNFTGSLPKSLQRFPSSAFSGNNLSSENALPPALPIHPPSSQPSKKSSKLSEPAILAIAIGGCVLGFVVLAFMIVVCHSKKRREGGLATKNKEVSLKKTASKSQEQNNRLFFFEHCSLAFDLEDLLRASAEVLGKGTFGIAYKAALEEATTVVVKRLKEVAVPKKEFEQQMIAVGSIRHVNVSPLRAYYYSKDERLMVYDFYEEGSVSAMLHVKRGEGHTPMDWETRLKIAIGAARGIAHIHTQNGGKLVHGNIKSSNIFLNSQGHGCVSDIGLASLMSPMPPPVMRAAGYRAPEVTDTRKATHASDVYSYGVFLLELLTGKSPMHTTGGDEVVHLVRWVNSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIGLSCVVRMPEQRPKMPDVVKMVEEIRQVSTENPPSSDSKLEISVATPSPQAAEVGSTSSVQ >Potri.006G247832.1.v4.1 pep chromosome:Pop_tri_v4:6:24770101:24770655:1 gene:Potri.006G247832.v4.1 transcript:Potri.006G247832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247832.v4.1 MPRKREKGKSSSQVIDTEVTSYFRRYRDPAVAPAALSH >Potri.002G202100.1.v4.1 pep chromosome:Pop_tri_v4:2:16571127:16573425:-1 gene:Potri.002G202100.v4.1 transcript:Potri.002G202100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202100.v4.1 MTIAGSILVLGLALLSCVADGALRHHIGLSDRRSLIDGSGAAVTVFDVTKHGAKADDKTDNAEAFIQTWRAACDSGAPAKMVIPGGTFLTSPVVFQGPCKSTEPIVFEVQGNVKATTDLSEYSSEQWILFEIIDGLTLNGGGTFDGQGSAVWKYNDCHQNKECQPLPSSIKLSKVKNAFVHEISSVDSKYFHMHVTSCNSISIHNINLTAPANSPNTDGIHISHSDGVHVTSSKIGTGDDCISIGQGSTNILISQVFCGPGHGLSVGSLGKYKNEEDVRGIVVTNCTLFNTTNGVRIKSYAASDPSQALNITFKDITMDSVKNPIIIDQKYGSRNGAPSRVKISNVHYQNIKGTSTSDVAVSFSCSSLVPCQGVELVDIDLAYIGQKANVPLSASCLNANIVKSGGKQNPGCN >Potri.018G012600.2.v4.1 pep chromosome:Pop_tri_v4:18:811735:817106:-1 gene:Potri.018G012600.v4.1 transcript:Potri.018G012600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012600.v4.1 MIMRKKYPSKPALSFFSLLFLRIFFFEMGMAKNSTSIIPMNVGVVLDFDNDLDGKIGLSCINMSLSDFYDTHGDYKTRLVLITRDSKNDVAGAAAAALDLIKNVEVQAIIGPTTSMQANFVIELGDKAQVPILSFSASSPSLTSIRSPFFFRATQNDSTQVNAISALVQAFGWREAVPIYIDNEYGQGVIPYLTDALQAVDARVPYRSVISPSATDDQIVSELYKLMTMQTRVFIVHMFPSLGARVFAKAKEIGMVSEGYVWIMTDGLTAEFFSSPKASVTNTMQGALGVKPYVPRTKDLETFRIRWKRKFQQDNPDIVDADLNIFGLWAYDAVTALALAVEKAGTANLGFQKANVSSNSSTDLATLGVSLNGPNLVQALSNITFKGLTGDYLFDNGQLQSSAFQIINVNGNGGREIGFWTSTKGIVKTLNSTNNMTAYSGSNSDLSTVIWPGDTTSVPKGWEIPTNGKKLRIGVPVKDGFSEFVKVKRDPSSNTSTVTGYSIDVFDSVVKALPYALPYEYIPFAKPDGEPAGTYDDLIYQVYLKNFDAVVGDTTIVFNRSQYVDFTLPYTESGVSMIVPIVDNNSKNAWVFLRPLTWDLWVTSVCFFIFIGFVIWVLEHRINEDFRGPASHQAGTSFWFSFSTMVFAQRETVVSNLSRAVVIIWCFVVLILTQSYTASLTSLLTVQQLRPTVTDVHELIKKGEYVGYQEGSFVLGILLNLGFDKSKLIVYNSTEQCDDLLSKGSVNGGIAAAFDEVPYTRLFLSKYCSKYAMIDPTFKTAGFGFAFPKGSPLVPDVSRAVLNMTEGDKMKEIENAWFGKQSNCPDSSNSVTSNSLSLKSFWGLFLIAGVASLLAIIIFMVMFVYKERKMFRPLNSRISVRSKVRNFFRIFIQRDLKSHTFRKSGLNDSKGTNLPSMGEPSPSAYSVQTISFPGDGDQSSTKSVGSSPDCQTSQEVVINIDQLTNPNQERLAAYEVEHDHN >Potri.018G012600.3.v4.1 pep chromosome:Pop_tri_v4:18:811751:816866:-1 gene:Potri.018G012600.v4.1 transcript:Potri.018G012600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012600.v4.1 MIMRKKYPSKPALSFFSLLFLRIFFFEMGMAKNSTSIIPMNVGVVLDFDNDLDGKIGLSCINMSLSDFYDTHGDYKTRLVLITRDSKNDVAGAAAAALDLIKNVEVQAIIGPTTSMQANFVIELGDKAQVPILSFSASSPSLTSIRSPFFFRATQNDSTQYGQGVIPYLTDALQAVDARVPYRSVISPSATDDQIVSELYKLMTMQTRVFIVHMFPSLGARVFAKAKEIGMVSEGYVWIMTDGLTAEFFSSPKASVTNTMQGALGVKPYVPRTKDLETFRIRWKRKFQQDNPDIVDADLNIFGLWAYDAVTALALAVEKAGTANLGFQKANVSSNSSTDLATLGVSLNGPNLVQALSNITFKGLTGDYLFDNGQLQSSAFQIINVNGNGGREIGFWTSTKGIVKTLNSTNNMTAYSGSNSDLSTVIWPGDTTSVPKGWEIPTNGKKLRIGVPVKDGFSEFVKVKRDPSSNTSTVTGYSIDVFDSVVKALPYALPYEYIPFAKPDGEPAGTYDDLIYQVYLKNFDAVVGDTTIVFNRSQYVDFTLPYTESGVSMIVPIVDNNSKNAWVFLRPLTWDLWVTSVCFFIFIGFVIWVLEHRINEDFRGPASHQAGTSFWFSFSTMVFAQRETVVSNLSRAVVIIWCFVVLILTQSYTASLTSLLTVQQLRPTVTDVHELIKKGEYVGYQEGSFVLGILLNLGFDKSKLIVYNSTEQCDDLLSKGSVNGGIAAAFDEVPYTRLFLSKYCSKYAMIDPTFKTAGFGFAFPKGSPLVPDVSRAVLNMTEGDKMKEIENAWFGKQSNCPDSSNSVTSNSLSLKSFWGLFLIAGVASLLAIIIFMVMFVYKERKMFRPLNSRISVRSKVRNFFRIFIQRDLKSHTFRKSGLNDSKGTNLPSMGEPSPSAYSVQTISFPGDGDQSSTKSVGSSPDCQTSQEVVINIDQLTNPNQERLAAYEVEHDHN >Potri.018G012600.1.v4.1 pep chromosome:Pop_tri_v4:18:811193:817106:-1 gene:Potri.018G012600.v4.1 transcript:Potri.018G012600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012600.v4.1 MIMRKKYPSKPALSFFSLLFLRIFFFEMGMAKNSTSIIPMNVGVVLDFDNDLDGKIGLSCINMSLSDFYDTHGDYKTRLVLITRDSKNDVAGAAAAALDLIKNVEVQAIIGPTTSMQANFVIELGDKAQVPILSFSASSPSLTSIRSPFFFRATQNDSTQVNAISALVQAFGWREAVPIYIDNEYGQGVIPYLTDALQAVDARVPYRSVISPSATDDQIVSELYKLMTMQTRVFIVHMFPSLGARVFAKAKEIGMVSEGYVWIMTDGLTAEFFSSPKASVTNTMQGALGVKPYVPRTKDLETFRIRWKRKFQQDNPDIVDADLNIFGLWAYDAVTALALAVEKAGTANLGFQKANVSSNSSTDLATLGVSLNGPNLVQALSNITFKGLTGDYLFDNGQLQSSAFQIINVNGNGGREIGFWTSTKGIVKTLNSTNNMTAYSGSNSDLSTVIWPGDTTSVPKGWEIPTNGKKLRIGVPVKDGFSEFVKVKRDPSSNTSTVTGYSIDVFDSVVKALPYALPYEYIPFAKPDGEPAGTYDDLIYQVYLKNFDAVVGDTTIVFNRSQYVDFTLPYTESGVSMIVPIVDNNSKNAWVFLRPLTWDLWVTSVCFFIFIGFVIWVLEHRINEDFRGPASHQAGTSFWFSFSTMVFAQRETVVSNLSRAVVIIWCFVVLILTQSYTASLTSLLTVQQLRPTVTDVHELIKKGEYVGYQEGSFVLGILLNLGFDKSKLIVYNSTEQCDDLLSKGSVNGGIAAAFDEVPYTRLFLSKYCSKYAMIDPTFKTAGFGFAFPKGSPLVPDVSRAVLNMTEGDKMKEIENAWFGKQSNCPDSSNSVTSNSLSLKSFWGLFLIAGVASLLAIIIFMVMFVYKERKMFRPLNSRISVRSKVRNFFRIFIQRDLKSHTFRKSGLNDSKGTNLPSMGEPSPSAYSVQTISFPGDGDQSSTKSVGSSPDCQTSQEADPHH >Potri.002G005400.4.v4.1 pep chromosome:Pop_tri_v4:2:303555:306252:1 gene:Potri.002G005400.v4.1 transcript:Potri.002G005400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005400.v4.1 MDVKEKNAPFTGFVNAPSDDQHFLLNFIMSTFLGPDLYSDNPRCSAAHRLAKGLPPYTSNNLGDSFLRISQLENLYYYVLRNAHPSLVLNPITLCLYLKGKLHLSGSEPLEDCRLFTSFFPLSIHGHKKDSASQEIVKGIVLIEKPDTSYMKEDLEKFKWLSGVDSLKIDTKKCLSYEHESQKGGEETEQFRMPKSDEKTAGTISSRNEKPPAMFQHKYKRRRRCSLSVSEFHCGVSHPQGHSEESNTFGRSCKLDGPTTMPRVAFPKFKDYFTDKSVILTGTARRELTGPPIGIVDIGISKAAYFFQVALPGVRSDSFVRLNLVERFISKGQQAVERS >Potri.002G005400.1.v4.1 pep chromosome:Pop_tri_v4:2:303555:306252:1 gene:Potri.002G005400.v4.1 transcript:Potri.002G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005400.v4.1 MDVKEKNAPFTGFVNAPSDDQHFLLNFIMSTFLGPDLYSDNPRCSAAHRLAKGLPPYTSNNLGDSFLRISQLENLYYYVLRNAHPSLVLNPITLCLYLKGKLHLSGSEPLEDCRLFTSFFPLSIHGHKKDSASQEIVKGIVLIEKPDTSYMKEDLEKFKWLSGVDSLKIDTKKCLSYEHESQKGGEETEQFRMPKSDEKTAGTISSRNEKPPAMFQHKYKRRRRCSLSVSEFHCGVSHPQGHSEESNTFGRSCKLDGPTTMPRVAFPKFKDYFTDKSVILTGTARRELTGPPIGIVDIGISKAAYFFQVALPGVRSDSCEFSCEIESGGKVHIQGSTSGGKIIKKRSRVFHMKSQQMCPPGPFTVSFNLPGPVDPRLVSPKFRTDGIFEAVVIKQK >Potri.002G005400.5.v4.1 pep chromosome:Pop_tri_v4:2:303555:306252:1 gene:Potri.002G005400.v4.1 transcript:Potri.002G005400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005400.v4.1 MDVKEKNAPFTGFVNAPSDDQHFLLNFIMSTFLGPDLYSDNPRCSAAHRLAKGLPPYTSNNLGDSFLRISQLENLYYYVLRNAHPSLVLNPITLCLYLKGKLHLSGSEPLEDCRLFTSFFPLSIHGHKKDSASQEIVKGIVLIEKPDTSYMKEDLEKFKWLSGVDSLKIDTKKCLSYEHESQKGGEETEQFRMPKSDEKTAGTISSRNEKPPAMFQHKYKRRRRCSLSVSEFHCGVSHPQGHSEESNTFGRSCKLDGPTTMPRVAFPKFKDYFTDKSVILTGTARRELTGPPIGIVDIGISKAAYFFQVALPGVRSDSFVRLNLVERFISKGQQAVERS >Potri.002G005400.3.v4.1 pep chromosome:Pop_tri_v4:2:303555:306252:1 gene:Potri.002G005400.v4.1 transcript:Potri.002G005400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005400.v4.1 MDVKEKNAPFTGFVNAPSDDQHFLLNFIMSTFLGPDLYSDNPRCSAAHRLAKGLPPYTSNNLGDSFLRISQLENLYYYVLRNAHPSLVLNPITLCLYLKGKLHLSGSEPLEDCRLFTSFFPLSIHGHKKDSASQEIVKGIVLIEKPDTSYMKEDLEKFKWLSGVDSLKIDTKKCLSYEHESQKGGEETEQFRMPKSDEKTAGTISSRNEKPPAMFQHKYKRRRRCSLSVSEFHCGVSHPQGHSEESNTFGRSCKLDGPTTMPRVAFPKFKDYFTDKSVILTGTARRELTGPPIGIVDIGISKAAYFFQVALPGVRSDSCEFSCEIESGGKVHIQGSTSGGKIIKKRSRVFHMKSQQMCPPGPFTVSFNLPGPVDPRLVSPKFRTDGIFEAVVIKQK >Potri.015G067600.1.v4.1 pep chromosome:Pop_tri_v4:15:9320469:9324498:-1 gene:Potri.015G067600.v4.1 transcript:Potri.015G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067600.v4.1 MKRGKSDTKLDTFVSLSKQRSIQIVIAVAVFYMLLVTLEIPFVFDSRFTSETTTATSTTLTRFSHLQSEQDLHDKDAPSRPMNWVSHNSAQPMRSQLARSTTKPNKILSTLGFEPKTFDPTKKDGSVSLHKAAKTAWEDGLKIWDEMESGKMQVLEVKKPENKSEPCPNSVSLSGSEFLKRMRMVELPCGLTLGSHITVVGKPRAAHAEKDPKIALVKEAGETVMVSQFMMELLGLKTVEAEDPPRILHFNPRLKGDWSLKPVIEQNTCYRMQWGTALRCEGWGSKADEETVDGQVKCEKWVRDDEDDDKSEESKATWWLNRLIGRTKKVSFDWPYPFAEEKLFVLTLSAGLEGYHINVDGRHATSFPYRTGYTLEDATGLAVTGDIDVHSVFAASLPSNHPSFSPQRHLEMSSRWKAPPLSVGSVELFIGVLSAGNHFSERMAVRKSWMQHRLIKSSNVVARFFVALHARKEVNLELKKEAEFFGDIVIVPYMDNYDLVVLKTVAICEYGVRTVRAKYIMKGDDDTFVRVDSIIDEVNEIPAGRSLYIGNINYYHKPLRYGKWAVTYEEWPEEDYPPYANGPGYILSSDIGRFIVSEFESHKLRLFKMEDVSMGMWVEQFNSSRPVEYVHSLKFCQFGCIEGYYTAHYQSPKQMICLWEKLQKQGRPQCCNMR >Potri.012G103400.1.v4.1 pep chromosome:Pop_tri_v4:12:12576956:12578116:-1 gene:Potri.012G103400.v4.1 transcript:Potri.012G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103400.v4.1 MDPSALNSPELERFLTEEKERAMVNEMVAKLTSACWDKCITGTPGSKLSSSESSCLSNCARSYMDMSLIIMKRFQSMN >Potri.008G087100.4.v4.1 pep chromosome:Pop_tri_v4:8:5440195:5442526:-1 gene:Potri.008G087100.v4.1 transcript:Potri.008G087100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087100.v4.1 MAVRSVPSALPFKTCDVFGNPLKPFNFLPIQTRNFQTKTLVAKRRANTRTESAKIRNRRTLKKFNGTRTKPRLSVFCSTKQLYAMLVDDQSKKCLFYGSTLQKPLSGDLPRSTIEAAECLGEELIKACMDLKINEISSYDRNGFAGGERMQAFEIAISRHGFLPR >Potri.010G199800.1.v4.1 pep chromosome:Pop_tri_v4:10:19246330:19247659:-1 gene:Potri.010G199800.v4.1 transcript:Potri.010G199800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199800.v4.1 MEQAQYWLWMKRKQLLKSQLPASMKSISGSWEEKAFAEDSSGHLGGCIWPPRSYSCSFCSREFRSAQALGGHMNVHRRDRARLKQSLSPHNYVFQHQNHIQSSLKPLGSHFPSDQVCTLDNYDLDPKLSVSRATNIASTLSNQENLSEHAFVSPPSSSFVQEQHKGYPYLHDNLSGSDHSLSARLHSKPEAERNQGEVKVTCLNHDKFVATDLFMDLGSVINSHGLLSPGSCGDEAISCKRPRTNVSVLSLLVKPRPNDRYILQSEATGPTSSSKEGIDLELRLGETPKK >Potri.001G436500.2.v4.1 pep chromosome:Pop_tri_v4:1:46240761:46242657:-1 gene:Potri.001G436500.v4.1 transcript:Potri.001G436500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436500.v4.1 MAVTLLDTRVSPFGMRVRIALEEKGVKYEYSEQDLRNKGPMLLQMNPVYKKVPVLIHNGKPICESLIAVQYIDEVWNNKPPLLPSDPYQRAQSRLWADFVDNKVYFPGRKTWTKKGQELEAGKNDLIESLKLLEGELGDKAYFEGDKLGYVDIALIPFYSWFHGYETFGNFSIEAECPKLIAWCKRCLQNESVSRSVADPQEVYGFLVELRKKLGLE >Potri.008G072000.1.v4.1 pep chromosome:Pop_tri_v4:8:4428851:4429390:-1 gene:Potri.008G072000.v4.1 transcript:Potri.008G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072000.v4.1 MASNQLTIVICSLLLLPLAFLSTAAHDETTPTKPVEKKVDVVVEGMVYCQSCKYSGSWSLTEAEPIPSAKVSVICKNFKKQVTYYKAYETNAYGYFYAQLDDFKMSNNILDHPLHGCHVKLISSSLANCSLLSNVNYGLYGAPLRFENKVLRGSHYEAVIYAAGPLAFRPAQCTPETHV >Potri.005G023700.2.v4.1 pep chromosome:Pop_tri_v4:5:1498832:1502775:-1 gene:Potri.005G023700.v4.1 transcript:Potri.005G023700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023700.v4.1 MDELLRLSIGGAANSDVNISGGEAAGPTSLPADGVDRNLLQPPYDGQTFGSLEEMVQYLQSYAKAIGFQWRYRTSRKNKSNGERCGVRMVCTKEGTNKPRGKSPKYFRLSGREGCNVAMSSSLQSDGRWKISKIHLQHCHEIDLNAVPLHMRQHLLELNDRSGIEEEEEEENEEEEEGKPYDGQTFGSYAELIQFLFSYAKKVGFQWSIRTSRKDKNSGKTCGICMVCSKSKRRKQPNSEGEGCDVSLCSTLQKDGQWKINKIHLRHCHEMDPNATPILRRWYLLALNGRMGIEEEEAKEEGEEMEEGGEGETEQEEAGNSSLSNDIDRPSIDPLYNGQTFGSLQELIQYLCSYAKAVGFEWRKRTSRKNENSGEICGVRMVCNKEGKRGSLGPSMKKGCPVAVNSTLQKGGRWRINKINLEHSHEIDPNVRPFLRRRHRSIPPQLRDPLVSNDRLGIEDEEEREEEEEDEGAVPVALEQPLDSSGDEYDSPSINDAIMEEQPSFESSFNQQDAIDERGTTCQNSPDLAGNVGQHWKKLKRDMGKLTTSNSDNIRQLSNMERTVDVLISQYFGDDVNTTLQDVRKLITGHKNKVFHLRSSKKSAQAECKNLYRENPDLSATIDRAKLALQAEESKLSQLTGEEARIEAAIQNLMAKKQSVLSQKASAAKNIEKENQKMEELKNTQEKINKAENSFRKWQKETSYANSTFISNLMDSKRVLFK >Potri.005G100300.1.v4.1 pep chromosome:Pop_tri_v4:5:7244250:7245485:1 gene:Potri.005G100300.v4.1 transcript:Potri.005G100300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100300.v4.1 MTNNNNNNNNKDAAAIPDKANEHSEAANSPRRNLNDQEEAIAPPRPTVQRSTVETGGPSSVSGPARPAGTEVGASPAAVTLTGGASPSTPVRIGGSGTAAVDPSTVAATVVAGEGSSLRKRSGKGEESSPHVSKKAKGEMDINPDAEPTCSTCGRTFASWKAVFGHMRAHPDRGWRGAFPPPEWSPEKPNDQQGDQSALRSQLAPRLLSLAIDTLNQMKHDQGHEAGSSTNRRNFDLNTEPPRESESNSGSSSPPSSGNRFDLNKPPKADHNNGNEGASK >Potri.014G059701.1.v4.1 pep chromosome:Pop_tri_v4:14:3829799:3830205:-1 gene:Potri.014G059701.v4.1 transcript:Potri.014G059701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059701.v4.1 MRPVFAFFMGTVFGAFVTRGAQRWENPEAHRKRTCPRQARRAASPGTEQATTSDMPLASN >Potri.011G062750.1.v4.1 pep chromosome:Pop_tri_v4:11:5240304:5245741:-1 gene:Potri.011G062750.v4.1 transcript:Potri.011G062750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062750.v4.1 MRIIVPKRTSFNKFVTFRTGEERPVGFCVDLFDEVVKRLNYSIPPVFFEFDGQYGDMIQGVFNKTYDAAIGDITILAERAEYVEFTQPYAESGLSMIVPLETEDTAWIFLKPFNLEMWMVSGAILIYTMLIIWFLENQTNPEFRGPWKYQFGTAFWFIFSSLFFAQRERLYSNFTPVVVVAWLCVVFILTSSYTASLTSMLTVQRMKPNFSEFEKLKKDKFNVGCDNDSFVQKYLEDVLGFDHDKIKIFDHENNYTTEFERNSIAAAFLELPYERLFLNQHCKSYTSTKAAYRFGGFGFAFQKGSPFAADFSREILCLSEDGNITRLEENWFAPSPECSTSATNNNVESLSVRSFKGIYIVSAAVSTICFLLFLFRLLTSSRPHQEADGGHLTPGGKSGSSSGDRITKYFYNGEKTRVPRRASTFAQALDKDEWGSTKWEYVSNSDNLENN >Potri.005G069300.1.v4.1 pep chromosome:Pop_tri_v4:5:4494018:4497074:1 gene:Potri.005G069300.v4.1 transcript:Potri.005G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069300.v4.1 MESPNGQPSPMPRKKMTKQLTGKKDDTPLHSAARAGNLGAVMEILTGTGEEELKELLEKQNQSGETALYVASEYGYVDVVREMIKYYDLADAGIKARNGFDAFHVAAKQGDMEILRLLMEAHPELSMTVDLSNTTALHTAATKGHIEIVNLLLDAGSSLATIAKSNGKTALHSAARNGHVEVVRALLTMEPGMATRTDKKGQTAFHMAAKGQNIEIVEELIVAQPSSINMVDTKGNTALHIATRKGRIQIVRLLLGHSGTDLKAVNRTNETALDTAEKTGHSEIAAILQEHGVQSAKTMQPQEKNPARELKQTVSDIKHEVYYQLEHTRQTRKRVQGIAKRLNKMHAEGLNNAINSTTVVAVLIATVAFAAIFTVPGEYVDDPEEIPPGQSLGEANIAPQAPFIIFFIFDSIALFISLAVVVVQTSVVVIESKAKKQMMAIINKLMWIACALISVAFLALSFIVVGEREKWLAIGVTIIGATIMVTTLGTMCYWVVKHRIEASNMRSIRRSSLGSRSRSFSVSVVSDAEILNNDYKKMYAI >Potri.006G261800.1.v4.1 pep chromosome:Pop_tri_v4:6:25794954:25797353:1 gene:Potri.006G261800.v4.1 transcript:Potri.006G261800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261800.v4.1 MASPETLAPLILAIICSIVSSCESNFPSVLFPSYSHPTPPSSYPAYPPPDNNEPVSPSPSPAYFLPEPVTPSYPAVPATPSYPPQTAHPMKPAPSPSAVPAYPGVPASSPPLPTPAASPVSYPPVPAPPEPYPSPPDHKGIKGAYWPSFDGFEASSIDTSYFTHIFYAFLLPDPVTFKLNVTPFDQQKIPGFIQNLRTRNPPVKTLLSMGGGGSDAIALIFANLSGAQETRKVFIDSTIEVARTYGFDGLDLDWEYPANDQEMINLALLVKEWHEALVHEASASGKPRLLLTAAVYYSSQFTTFGLPRSYPADSINKYVDWINPMCYDYHGTWENFTGPNAALYDPKSNVSTSFGIGSWIQAGVSPKKLVMGLPLYGRTWKLLDPNVNGIGARAVGKGPEDGILDYYQVLEFNKENNAIVNFDGQTVSYYSYAGGFWVGYDDSITIDWKVQFARSRGLGGYFFWALGQDKDWIISKQASNSWDR >Potri.004G132600.4.v4.1 pep chromosome:Pop_tri_v4:4:15280917:15283200:-1 gene:Potri.004G132600.v4.1 transcript:Potri.004G132600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132600.v4.1 MALSSAFRERLEQMESTRNQRLSLLQAEKELQTSKSQVLASKLVNIRSIEQRCLVLVHKIAFQNFKILVLKSEIESLDAKYDPDSQEFRVLKSEVEEIEEKEKEKERFYQVKGLDMKAFSENVDKFVKESRIQVNELRNRVNELNSIFIKLQGNNGFLSNSEIAEAEMRKSQLFAVKENLDTSLASNYQLRSQLKKELDNVLIIRNQERRKVSQFH >Potri.004G132600.11.v4.1 pep chromosome:Pop_tri_v4:4:15280444:15283187:-1 gene:Potri.004G132600.v4.1 transcript:Potri.004G132600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132600.v4.1 MALSSAFRERLEQMESTRNQRLSLLQAEKELQTSKSQVLASKLVNIRSIEQRCLVLVHKIAFQNFKILVLKSEIESLDAKYDPDSQEFRVLKSEVEEIEEKEKEKERFYQVKGLDMKAFSENVDKFVKESRIQVNELRNRVNELNSIFIKLQGNNGFLSNSEIAEAEMRKSQLFAVKENLDTSLASNYQLRSQLKKELDNVLIIRNQERRKVSQFH >Potri.004G132600.9.v4.1 pep chromosome:Pop_tri_v4:4:15276259:15283188:-1 gene:Potri.004G132600.v4.1 transcript:Potri.004G132600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132600.v4.1 MALSSAFRERLEQMESTRNQRLSLLQAEKELQTSKSQVLASKLVNIRSIEQRCLVLVHKIAFQNFKILVLKSEIESLDAKYDPDSQEFRVLKSEVEEIEEKEKEKERFYQVKGLDMKAFSENVDKFVKESRIQVNELRNRVNELNSIFIKLQGNNGFLSNSEIAEAEMRKSQLFAVKENLDTSLASNYQLRSQLKKELDNVLIIRNQERRKVSQFH >Potri.004G132600.10.v4.1 pep chromosome:Pop_tri_v4:4:15276259:15283187:-1 gene:Potri.004G132600.v4.1 transcript:Potri.004G132600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132600.v4.1 MALSSAFRERLEQMESTRNQRLSLLQAEKELQTSKSQVLASKLVNIRSIEQRCLVLVHKIAFQNFKILVLKSEIESLDAKYDPDSQEFRVLKSEVEEIEEKEKEKERFYQVKGLDMKAFSENVDKFVKESRIQVNELRNRVNELNSIFIKLQGNNGFLSNSEIAEAEMRKSQLFAVKENLDTSLASNYQLRSQLKKELDNVLIIRNQERRKVSQFH >Potri.004G132600.7.v4.1 pep chromosome:Pop_tri_v4:4:15280758:15283200:-1 gene:Potri.004G132600.v4.1 transcript:Potri.004G132600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132600.v4.1 MALSSAFRERLEQMESTRNQRLSLLQAEKELQTSKSQVLASKLVNIRSIEQRCLVLVHKIAFQNFKILVLKSEIESLDAKYDPDSQEFRVLKSEVEEIEEKEKEKERFYQVKGLDMKAFSENVDKFVKESRIQVNELRNRVNELNSIFIKLQGNNGFLSNSEIAEAEMRKSQLFAVKENLDTSLASNYQLRSQLKKELDNVLIIRNQERRKVSQFH >Potri.004G132600.12.v4.1 pep chromosome:Pop_tri_v4:4:15280942:15283187:-1 gene:Potri.004G132600.v4.1 transcript:Potri.004G132600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132600.v4.1 MALSSAFRERLEQMESTRNQRLSLLQAEKELQTSKSQVLASKLVNIRSIEQRCLVLVHKIAFQNFKILVLKSEIESLDAKYDPDSQEFRVLKSEVEEIEEKEKEKERFYQVKGLDMKAFSENVDKFVKESRIQVNELRNRVNELNSIFIKLQGNNGFLSNSEIAEAEMRKSQLFAVKENLDTSLASNYQLRSQLKKELDNVLIIRNQERRKVSQFH >Potri.004G132600.8.v4.1 pep chromosome:Pop_tri_v4:4:15280762:15283189:-1 gene:Potri.004G132600.v4.1 transcript:Potri.004G132600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132600.v4.1 MALSSAFRERLEQMESTRNQRLSLLQAEKELQTSKSQVLASKLVNIRSIEQRCLVLVHKIAFQNFKILVLKSEIESLDAKYDPDSQEFRVLKSEVEEIEEKEKEKERFYQVKGLDMKAFSENVDKFVKESRIQVNELRNRVNELNSIFIKLQGNNGFLSNSEIAEAEMRKSQLFAVKENLDTSLASNYQLRSQLKKELDNVLIIRNQERRKVSQFH >Potri.004G132600.2.v4.1 pep chromosome:Pop_tri_v4:4:15280917:15283201:-1 gene:Potri.004G132600.v4.1 transcript:Potri.004G132600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132600.v4.1 MALSSAFRERLEQMESTRNQRLSLLQAEKELQTSKSQVLASKLVNIRSIEQRCLVLVHKIAFQNFKILVLKSEIESLDAKYDPDSQEFRVLKSEVEEIEEKEKEKERFYQVKGLDMKAFSENVDKFVKESRIQVNELRNRVNELNSIFIKLQGNNGFLSNSEIAEAEMRKSQLFAVKENLDTSLASNYQLRSQLKKELDNVLIIRNQERRKVSQFH >Potri.001G257400.1.v4.1 pep chromosome:Pop_tri_v4:1:27316376:27318061:-1 gene:Potri.001G257400.v4.1 transcript:Potri.001G257400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257400.v4.1 MLSFCCLSLCNIRQQSPLPRLSWLTNKKTTPVVSLWLAPVYGHGFKRTSKDQSCLVPSFIVHAVEKDSEKYEIDSDKAKEALQKLDQQLQAFSEKQISSPKIRASDVKLTRDEMTEEVPEVSGSVLVYTAAALFLFTIFYNIFFLTVLQPSVDGPLPKPEPETIQAITATTMERKPPKEAILQLLPLMSEVLIQSSGGGNN >Potri.003G169600.1.v4.1 pep chromosome:Pop_tri_v4:3:17825811:17834768:1 gene:Potri.003G169600.v4.1 transcript:Potri.003G169600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169600.v4.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEIALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEPNVSAREALELSSQQEYLKLKARYEALQRTQRNLLGEDLGPLSSKELESLERQLDMSLKQIRSTRTQYMLDQLNDLQHKEHMLTAANKSLRERLMEGYEVNSLQLNLSAEDVGFSRQQAQPQGYGFFHPLECEPTLQIGYQPDSAITVVTSGPSMTAYMPGWLP >Potri.010G076150.1.v4.1 pep chromosome:Pop_tri_v4:10:10366098:10367364:1 gene:Potri.010G076150.v4.1 transcript:Potri.010G076150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076150.v4.1 MWSSSRVGDDSHRSWPLARPFWDVWEPPDDQIIRLEFKIKGVMEGDRCIILLILYVGSHILDSFFTAHPVFLPDTFPVIFAVIYNCPYTSHQLQELIWTP >Potri.008G051500.1.v4.1 pep chromosome:Pop_tri_v4:8:3020803:3023219:-1 gene:Potri.008G051500.v4.1 transcript:Potri.008G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051500.v4.1 MATASSSYDRAKPFLAVILLQFGYAGMFTMTKHALDEGMSQHVLVVYRHAVATIVIAPFALVFDRKVRPKMTLSIFFKIMLLGLLEPTIDQNLYYTGMKYTTATFTSAMCNVLPAFAFLMAWALRIEQVNIRKMHSQAKIFGTIVTVGGAMLMTLVKGTQLDLPWTRGYDQQASTSALTKQDPIKGALMIATGCVCWASFIILQSITLKSYPVELSLTAWICFMGTIEGSMVAVVMERGNPSAWSVGLNYKLLAAVYSGVICSGIGYYVQGLIMKRKGPVFVTAFSPLSMVIVAILGSFFLKEILCVGRVIGAVVIVTGLYLVLWGKSKDQPPSDSSDDKAEAIVTQTATEMQERTDPETVDQEFVAIDITKVRRTDESI >Potri.012G080300.2.v4.1 pep chromosome:Pop_tri_v4:12:10488814:10493959:1 gene:Potri.012G080300.v4.1 transcript:Potri.012G080300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080300.v4.1 MESKSSGSPATFIDNLVVPGDVVVDLSSMTNQTIKLGSGLRQDCDAISVMKAGKLRFSKPNKYWVETSQKRYVPCAEDSVLGIVVDSKSDNFLIDIKGPALAFLPVLAFEGGTRRNIPKFEAGTLLYVRVVKANPGMNPELSCTDASGKAAEFGALKDGYMFECSTGLSRMLLSSPTCPVLEALGKKLSFEIAVGLNGRVWVNANSPSIVIIVANAIMNSETLSGVQQKIMAEKLLQKIQND >Potri.014G075700.2.v4.1 pep chromosome:Pop_tri_v4:14:4891345:4895965:-1 gene:Potri.014G075700.v4.1 transcript:Potri.014G075700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075700.v4.1 MALLSPSYLPLKAKKLNLYKIILTIIPCTIFYLVGFYQSSRGNVPVSNTSSSINEVFPCAPPDHNTTTLDFEAHHFAPDPPLRVARAHHLPPCDPKYSEHTPCEDVERSLKFDRDRLIYRERHCPESHEILKCRVPAPYGYKVPFRWPESREFAWYANVPHKELTVEKKNQNWVHVEGKRLRFPGGGTMFPRGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNILAVSFAPRDTHVSQVQFALERGVPALIGIIASIRLPYPSRSFDMAHCSRCLIPWGQYDGQYLIEVDRILRPGGYWILSGPPINWEAHWEGWNRTREDLGAEQSQIEKVARSLCWKKLVQRKDIAIWQKPTNHIHCKVNRKVFKRPLFCKSQNPDMAWYTKMETCLTPLPEVSNIRDIAGGQLAKWPERLNAIPPRISRGSLEGITAGNFIENSELWKRRVAYYKKIDYQLAQTGRYRNLLDMNAHLGGFAAALVDDPLWVMNVVPVQAKTNTLGVIFERGLIGTYQNWCEAMSTYPRTYDFIHADSVFSLYEDRCDVEDILLEMDRILRPEGSVVMRDDVDILMKVKSIIDVMQWDGRIADHESSPHQREKILFATKKYWTAPKPGQNQGLVVS >Potri.004G173700.2.v4.1 pep chromosome:Pop_tri_v4:4:18886975:18890620:1 gene:Potri.004G173700.v4.1 transcript:Potri.004G173700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173700.v4.1 MVLEATMICVDNSEWMRNGDYSPSRFQAQADAVNLLCGAKTQSNPENTVGILTMAGKQVRVLTTLTSDLGKILSCMHDLEVGGEMNLSAGIQVAQLALKHRQNKNQQQRIIVFAGSPIQYDKKMLETIGKKLKKNNVSLDIVDFGEDKEGKLEKLEALFAAVNSNESSHIVHIPPGGVAISDALMSTPVFTGDGEGGSGFAVAAAAAGGGDFDFGVDPNLDPELALALRVSMEEERARQEAAAKRAADEAARQEKGEEPPSNSQDATMVDKAAEATNNASEPMDEVNALLQHAITLSMENSGSDPSVRDSEMAEATNEDQDLAVALQISIQETAKDSSSQSDMSKALEDQSFMSSVVASLPGVDPNDPSVKELLASLQGQSESEQKKNEDNPPSDGK >Potri.004G173700.1.v4.1 pep chromosome:Pop_tri_v4:4:18886990:18891060:1 gene:Potri.004G173700.v4.1 transcript:Potri.004G173700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173700.v4.1 MVLEATMICVDNSEWMRNGDYSPSRFQAQADAVNLLCGAKTQSNPENTVGILTMAGKQVRVLTTLTSDLGKILSCMHDLEVGGEMNLSAGIQVAQLALKHRQNKNQQQRIIVFAGSPIQYDKKMLETIGKKLKKNNVSLDIVDFGEDKEGKLEKLEALFAAVNSNESSHIVHIPPGGVAISDALMSTPVFTGDGEGGSGFAVAAAAAGGGDFDFGVDPNLDPELALALRVSMEEERARQEAAAKRAADEAARQEKGEEPPSNSQDATMVDKAAEATNNASEPMDEVNALLQHAITLSMENSGSDPSVRDSEMAEATNEDQDLAVALQISIQETAKDSSSQSDMSKALEDQSFMSSVVASLPGVDPNDPSVKELLASLQGQSEQSEQKKNEDNPPSDGK >Potri.016G111800.1.v4.1 pep chromosome:Pop_tri_v4:16:11453309:11457381:-1 gene:Potri.016G111800.v4.1 transcript:Potri.016G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G111800.v4.1 MAETDYTNNGTVDIEGNPANKKKTGNWKSCRFILGNECCERLAYYGMSTNLVNYLGDRLNQGNVAAANNVTNWSGTCYVTPLIGAFLADSYLGRYWTIASFVIIYIVGMTLLTLSASVTGLKPSCDKDSCHPTTGQTAACFVALYMIALGTGGIKPCVSSFGADQFDETDETERKKKSSFFNWFYLSINIGALVASSVLVWIQMNVGWGWGFGVPAVAMAVAVVFFFLGSKLYRIQKPGGSPITRIVQVIVASFRKSNVQVPADKSLLYETAEEESQIQGSRKLEHTNKFKFFDKAAVKTQDDNIKGLTSPWRLCTVTQVEELKSIIRLLPVWASGIVFSTVYSQMSTMFVLQGNTMDQHMGPHFQIPSASLSLFDTLSVIFWAPVYDRIIVPYARKFTGHERGFTQLQRMGIGLVISIVSMITAGILEVVRLNFVQKNNYYDLKYIPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTLLVTIVTKITTRGGKLGWIPDNMNRGHLDYFYWLLAILSFLNFILYLWISKWFTYKKATGHPQRD >Potri.016G111800.2.v4.1 pep chromosome:Pop_tri_v4:16:11453309:11457348:-1 gene:Potri.016G111800.v4.1 transcript:Potri.016G111800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G111800.v4.1 MAETDYTNNGTVDIEGNPANKKKTGNWKSCRFILGNECCERLAYYGMSTNLVNYLGDRLNQGNVAAANNVTNWSGTCYVTPLIGAFLADSYLGRYWTIASFVIIYIVGMTLLTLSASVTGLKPSCDKDSCHPTTGQTAACFVALYMIALGTGGIKPCVSSFGADQFDETDETERKKKSSFFNWFYLSINIGALVASSVLVWIQMNVGWGWGFGVPAVAMAVAVVFFFLGSKLYRIQKPGGSPITRIVQVIVASFRKSNVQVPADKSLLYETAEEESQIQGSRKLEHTNKFKFFDKAAVKTQDDNIKGLTSPWRLCTVTQVEELKSIIRLLPVWASGIVFSTVYSQMSTMFVLQGNTMDQHMGPHFQIPSASLSLFDTLSVIFWAPVYDRIIVPYARKFTGHERGFTQLQRMGIGLVISIVSMITAGILEVVRLNFVQKNNYYDLKYIPMSIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTLLVTIVTKITTRGGKLGWIPDNMNRGHLDYFYWLLAILSFLNFILYLWISKWFTYKKATGHPQRD >Potri.012G091800.1.v4.1 pep chromosome:Pop_tri_v4:12:11665327:11669751:1 gene:Potri.012G091800.v4.1 transcript:Potri.012G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091800.v4.1 MAAAALTNQASLSSPLLFNNPHPNPNYSKSHLSILSKPSRQSFSLLATSHSSPAIFLLFLEHEKQEVEHLSTAQTQQDGDGDDANVEDKDGVEEGEEEEEDSVDPILRFFKSQTSTTHDPPRQGKFSLKKNRRSSWRLAPQFDSDTLNEESPQIVTSNSVSRLPDRVVGEILKLARELPKNMTLGEILGGYEGRVSAKESVEILGLMGEEGLLMGCLYFYEWMGLQEPSLVTARACTILFPILGRAGMGDKLVIFLRNLPQQKEFLDVHVYNSAISGLLCCGRYNDAYEVYEAMEAYNVSPDHVTCCIMITVMRKKGCTAKEAWEFFERMTRKGVKWSPEVLGALIKSFCDEGLKKEALIIQTEMERRGISSNAIIYNTLMDSYSKSNQIEEAEGLYSEMQAKGLKPTSATFNILMDAYSRRMQPDIIEKLLLEMQDAGLAPNAKSYTCLISAYGRQKKMSDMAADAFLRMKKAGIKPTSYSYTALIHAYSVSGWHEKAYITFENMQREGIKPSIETYTTLLDAFRRAGDTKTLMDIWKLMMREKVEGTRVTFNILLDGFAKQGHYMEARDVINEFKKFGLHPTVMTYNMLMNAYARGGQDSKLPQLLKEMATLKLEPDSITYTTMIYAYVRVRDFRRAFFYHKMMVKSGKVPDAKSYQKLRAILDVKAAIKNRRDKSAILGIINSQMGMLKVKKKRKKDEFWKNKKRHVRAPNVSHDK >Potri.014G088400.2.v4.1 pep chromosome:Pop_tri_v4:14:5739453:5744089:-1 gene:Potri.014G088400.v4.1 transcript:Potri.014G088400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088400.v4.1 MAEKLHIVMLPWIAFGHMIPFFQLSIDLAKAGIKVSFVSTPRNIKRLPKIPPSLADLVKFVEFPLPSLDNDILPEDGEATVDIPAEKIEYLKIAYDLLQHPLKQFIADQLPDWIIIDMIPYWMVEIARDKKVPLIHFSVFSAVAYVFLGHPECLLVGDGQKRLRPSWTSMTSKPEWVDFPSSVAYRNHEAVGVFEWIYKGNASGITDGERVSKILHGCQALAVRSCAEFEGDYLNLFERVIGKPVIPVGLLPQEKPERKEFTDGRWGEIFKWLDDQKPKSVVFVGFGSEYKLTRDQVYEIAHGLELSGLPFLWALRKPGWANDDLDALPSGFGERTSDRGIVCMGWAPQMEILGHPSIGGSLFHSGWGSIIESLQFGHTLILLPFIIDQPLNARYLVEKGSGVEIKRGEDGSFTRDGVAKALKLAMVSAEGKSLREKAGEAAAIFGNQKLHQDYYIGQFVDFLKKKRWMFEGHGHSSQGRFDHHPCWRFYLGAFIFSSIEIWNKNLHFW >Potri.014G088400.6.v4.1 pep chromosome:Pop_tri_v4:14:5742186:5743941:-1 gene:Potri.014G088400.v4.1 transcript:Potri.014G088400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088400.v4.1 MAEKLHIVMLPWIAFGHMIPFFQLSIDLAKAGIKVSFVSTPRNIKRLPKIPPSLADLVKFVEFPLPSLDNDILPEDGEATVDIPAEKIEYLKIAYDLLQHPLKQFIADQLPDWIIIDMIPYWMVEIARDKKVPLIHFSVFSAVAYVFLGHPECLLVGDGQKRLRPSWTSMTSKPEWVDFPSSVAYRNHEAVGVFEWIYKGNASGITDGERVSKILHGCQALAVRSCAEFEGDYLNLFERVIGKPVIPVGLLPQEKPERKEFTDGRWGEIFKWLDDQKPKSVVFVGFGSEYKLTRDQVYEIAHGLELSGLPFLWALRKPGWANDDLDALPSGFGERTSDRGIVCMGWAPQMEILGHPSIGGSLFHSGWGSIIESLQFGHTLILLPFIIDQPLNARYLVEKGLGVEVQRGEDGSFTRDGVAKALNLAMISAEGKGLREKASEAAAIFGNQKLHQDYYIGKFVDFLKKKK >Potri.014G088400.5.v4.1 pep chromosome:Pop_tri_v4:14:5742072:5744089:-1 gene:Potri.014G088400.v4.1 transcript:Potri.014G088400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088400.v4.1 MAEKLHIVMLPWIAFGHMIPFFQLSIDLAKAGIKVSFVSTPRNIKRLPKIPPSLADLVKFVEFPLPSLDNDILPEDGEATVDIPAEKIEYLKIAYDLLQHPLKQFIADQLPDWIIIDMIPYWMVEIARDKKVPLIHFSVFSAVAYVFLGHPECLLVGDGQKRLRPSWTSMTSKPEWVDFPSSVAYRNHEAVGVFEWIYKGNASGITDGERVSKILHGCQALAVRSCAEFEGDYLNLFERVIGKPVIPVGLLPQEKPERKEFTDGRWGEIFKWLDDQKPKSVVFVGFGSEYKLTRDQVYEIAHGLELSGLPFLWALRKPGWANDDLDALPSGFGERTSDRGIVCMGWAPQMEILGHPSIGGSLFHSGWGSIIESLQFGHTLILLPFIIDQPLNARYLVEKGLGVEVQRGEDGSFTRDGVAKALNLAMISAEGKGLREKASEAAAIFGNQKLHQDYYIGKFVDFLKKKK >Potri.006G259000.2.v4.1 pep chromosome:Pop_tri_v4:6:25543941:25544459:1 gene:Potri.006G259000.v4.1 transcript:Potri.006G259000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259000.v4.1 MAFDKNMVIFFLIFMAFCRGVSMAAVYQVGDSAGWTSVGQVDYQEWAASKNFHVGDTLVFNYNSQFHNVKQVTQQAFEACNATSPIATYTNGYDTVTLEKLGHFYFICGYPGHCQAGQQIDILVSSPTSSLSPSPSTDQTTEPSAASSLYFSYNVCWTLGVLLAFCLSGFAY >Potri.007G136800.1.v4.1 pep chromosome:Pop_tri_v4:7:14828672:14829769:-1 gene:Potri.007G136800.v4.1 transcript:Potri.007G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G136800.v4.1 MGDCKPLGFLLGLPFALLALVLSIVGTVIWLIGTILSCLCPCCVCCAALANFAVDIVKLPVRILTWFIDQIPC >Potri.017G014300.1.v4.1 pep chromosome:Pop_tri_v4:17:994826:997198:1 gene:Potri.017G014300.v4.1 transcript:Potri.017G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014300.v4.1 MDTTPPSHRSNPNSQAKSASRLSRITYSIEPEAKPPQLSLDLIPSSEKKTPSSLSLKSSTNSLPLQEQLLLFPSPLRKSRNRLVDRYEMPEEGVLEKNGSRRRCKSRGSQMGSLGCASPRSNRRLRRRLEVESMEERDLIGFVDEVGKVRKRRHSGRSKKEKEKEKLSLVPSLPSSSTTPKVDEGDGVNLERIGMVVYNLIMWKDVAKSSLWFGLGCLCFLSSCFAKGISFSIFSAISQLGLLFLGVSFLSNSICQRNSVEKLRKFKLTEEDILRVGRLILPAANLAILKTRDLFSGEPSMTLKVIPFLLLGAEYGHLVTLRRLCGIGFFISFTIPKLFACYSSQINQKVEHLKCRMMEAWRACFHKKMVAASAVTAFWNLSSVKTRIFTAFISLVILRCCRQQLMPSQEEGGLQPTQVEGEAEGEQEPKQAGVASLNQ >Potri.001G457550.1.v4.1 pep chromosome:Pop_tri_v4:1:48329570:48336514:1 gene:Potri.001G457550.v4.1 transcript:Potri.001G457550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457550.v4.1 MRSSKQAAAAAAAAATENNRGISGHMFTLHQRLYHALNLGTRYSEGKEWKWKCTDIEIQRHVVRSISSFIESASPDTLHHPLVKDSVADIVWALVWILQHKSEAVLSIAANVVVKLIRIIPNSILQPYSLYLVHPLASLLSSCRMEVSIACATALNMILSNLSATREKSVWEILSETKTVFLIVSGIREFSGGPMSTEYFQEMASLLSTILQKWSASRFSVWNDTKLMEVLEAMHENPDVSIKVALLKLYSGIALCGNGAMKLLQNGEALLQMMVLCMGRSRPLSVQMEGFRLAQRLATNKQGCLKMLSLCCEPIVKAIIDGMTGWTLSSGKIANDQMSLLVEACRLALIIRWDGEHHDYFWKKGIDKVLLDLLLEKFQNGQSVHLLTLEEQMSEAQEALNADVLLVLRPYMWDILGWLAINCREDFNPNIHSHELLIDMLIRCACLTFTDLVRKGWQICQSDLSETFRSESASRAVLMMIYSPCKYIASKARSMLSEILKPTGKEYLKHSLRVLNFTLTRDNFGIPDMLQTGINLVALTCCACLPWYRSYIVKSEGVKTLLAFIKWCLSNDVHIGRLSFAPHLHNIFSQRLCCWVCKEDWEGNDILLLYGLWGLAELLHYGSISKNVDIFSGQVEYTEAQFVRMLQEICSDNSALGLKWNAAYILSYFGFYGFPCKLGRRIGKALDENEFADTRIILAKGESMSVHGVVLAIRCPSLLPPEELSHDEKASGGSSYLHTFKKDIRLSSHVDNQALSKLLEFVYLGYLHAGDEHVKKLKILAKHCSLQPLSTMLGRRRPKWGTLFPIYDLTPALAPTGHHFSDIILKPKETESICWKCRTCSLSLPHMHAHKVVLSSSCDYLRALFQSGMRESRSQTIEVPVSWEAMIKLVNWFYTDELPKPPSGCLWDNMDDEEKLHQLQQYLELCWLAEFWFLEDVQDISYKVIVSCLDSARQLSIKIIKIASELSLWKLAEVAANYLAPFYRQLCHTGDLEALNEELVDMIRDASVRLSQEG >Potri.001G457550.2.v4.1 pep chromosome:Pop_tri_v4:1:48329747:48335973:1 gene:Potri.001G457550.v4.1 transcript:Potri.001G457550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457550.v4.1 MRSSKQAAAAAAAAATENNRGISGHMFTLHQRLYHALNLGTRYSEGKEWKWKCTDIEIQRHVVRSISSFIESASPDTLHHPLVKDSVADIVWALVWILQHKSEAVLSIAANVVVKLIRIIPNSILQPYSLYLVHPLASLLSSCRMEVSIACATALNMILSNLSATREKSVWEILSETKTVFLIVSGIREFSGGPMSTEYFQEMASLLSTILQKWSASRFSVWNDTKLMEVLEAMHENPDVSIKVALLKLYSGIALCGNGAMKLLQNGEALLQMMVLCMGRSRPLSVQMEGFRLAQRLATNKQGCLKMLSLCCEPIVKAIIDGMTGWTLSSGKIANDQMSLLVEACRLALIIRWDGEHHDYFWKKGIDKVLLDLLLEKFQNGQSVHLLTLEEQMSEAQEALNADVLLVLRPYMWDILGWLAINCREDFNPNIHSHELLIDMLIRCACLTFTDLVRKGWQICQSDLSETFRSESASRAVLMMIYSPCKYIASKARSMLSEILKPTGKEYLKHSLRVLNFTLTRDNFGIPDMLQTGINLVALTCCACLPWYRSYIVKSEGVKTLLAFIKWCLSNDVHIGRLSFAPHLHNIFSQRLCCWVCKEDWEGNDILLLYGLWGLAELLHYGSISKNVDIFSGQVEYTEAQFVRMLQEICSDNSALGLKWNAAYILSYFGFYGFPCKLGRRIGKALDENEFADTRIILAKGESMSVHGVVLAIRCPSLLPPEELSHDEKASGGSSYLHTFKKDIRLSSHVDNQALSKLLEFVYLGYLHAGDEHVKKLKILAKHCSLQPLSTMLGRRRPKWGTLFPIYDLTPALAPTGHHFSDIILKPKETESICWKCRTCSLSLPHMHAHKVVLSSSCDYLRALFQSGMRESRSQTIEVPVSWEAMIKLVNWFYTDELPKPPSGCLWDNMDDEEKLHQLQQYLELCWLAEFWFLEDVQDISYKVIVSCLDSARQLSIKIIKIASELSLWKLAEVAANYLAPFYRQLCHTGDLEALNEELVDMIRDASVRLSQEG >Potri.001G457550.3.v4.1 pep chromosome:Pop_tri_v4:1:48329743:48336619:1 gene:Potri.001G457550.v4.1 transcript:Potri.001G457550.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457550.v4.1 MLSLCCEPIVKAIIDGMTGWTLSSGKIANDQMSLLVEACRLALIIRWDGEHHDYFWKKGIDKVLLDLLLEKFQNGQSVHLLTLEEQMSEAQEALNADVLLVLRPYMWDILGWLAINCREDFNPNIHSHELLIDMLIRCACLTFTDLVRKGWQICQSDLSETFRSESASRAVLMMIYSPCKYIASKARSMLSEILKPTGKEYLKHSLRVLNFTLTRDNFGIPDMLQTGINLVALTCCACLPWYRSYIVKSEGVKTLLAFIKWCLSNDVHIGRLSFAPHLHNIFSQRLCCWVCKEDWEGNDILLLYGLWGLAELLHYGSISKNVDIFSGQVEYTEAQFVRMLQEICSDNSALGLKWNAAYILSYFGFYGFPCKLGRRIGKALDENEFADTRIILAKGESMSVHGVVLAIRCPSLLPPEELSHDEKASGGSSYLHTFKKDIRLSSHVDNQALSKLLEFVYLGYLHAGDEHVKKLKILAKHCSLQPLSTMLGRRRPKWGTLFPIYDLTPALAPTGHHFSDIILKPKETESICWKCRTCSLSLPHMHAHKVVLSSSCDYLRALFQSGMRESRSQTIEVPVSWEAMIKLVNWFYTDELPKPPSGCLWDNMDDEEKLHQLQQYLELCWLAEFWFLEDVQDISYKVIVSCLDSARQLSIKIIKIASELSLWKLAEVAANYLAPFYRQLCHTGDLEALNEELVDMIRDASVRLSQEG >Potri.019G094300.2.v4.1 pep chromosome:Pop_tri_v4:19:13141115:13151749:-1 gene:Potri.019G094300.v4.1 transcript:Potri.019G094300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G094300.v4.1 MDGGQILLSYIKVWVILLLLSATFPNSKLAAKNTEQDKKRKLAAENSGISIDCGADEDYTDRNTGISYKTDKDFISTGKNKVVAPEYDLTSTLYYGKMVNSLRIFPEGDRNCYTLKPREGKNQNYYVRAFFRYGNYDSKNQTQIKFDLYIGVNYWATVEETFENKYWINYDIIHYSVTDTIYVCLVNTGFGVPFISGLDLLFMNDSSYRSMNGSLLRRVQADLGGEVSLGTIRYPDDVYARIWQLDVNLTDSVSNISTEAITNIDIQGSDNRCRLPVEVLRTAVQPRNGLKSLSYTYTSPYKENFTPEFLVFFHFAEIEQIAGGKLREFTITLNGLKYGLFTLEYLKPLTIGPYKLQDQEGLVRFSIDASSDLPPILNAFEIFELLPLHDSPTNQTDVDAIMAIKKAYKIDRVDWQGDPCLPRITTWTGLQCNNDNPPRIISLNLSSSQLSGNIDVSLLSLTTIQSLDLSNNELTGTVPEAFAQLPHLTILYLSRNKLTGAVPYSLKEKSKSRQLQLSLDGNLDLCKIDTCEKKQGSFPVPVIASVISVSVLLLLSIITIFWRLKRGRLNVSLSSSVGLSLSLKSKNQPFTYTEIVSITNNFQTIIGEGGFGKVYLGNLNDGRQVAVKLLSQSSRQGYKEFLAEVQLLMIVHHRNLVSLVGYCNEKENMALVYEYMANGNLKDQLLENSTNMLNWRARLQIAVDAAQGLEYLHNGCRPPIVHRDLKSSNILLTENLQAKIADFGLSKAFANEGDSHVTTVPAGTPGYIDPEFRASGNLNKKSDVYSFGILLCELITGQPPLIRGHQGHTHILQWVSPLVERGDIQSIIDPRLQGEFNTNCAWKALEIALSCVPPTSIQRPDMSDILGELKECLAMEMSSEISMCGSVEMSLVLGTDMAPNLR >Potri.005G040700.1.v4.1 pep chromosome:Pop_tri_v4:5:2654562:2655364:1 gene:Potri.005G040700.v4.1 transcript:Potri.005G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040700.v4.1 MSSNEGRASSKGGRGRAKASKAVSRSQKAGLQFPVGRVARFLKTGKYAERLGAGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIVPRHIQLAVRNDEELGKLLGSVTIANGGVLPNIHQTLLPKKVGKGKGDIGSVSQDF >Potri.003G217300.1.v4.1 pep chromosome:Pop_tri_v4:3:21263726:21266433:1 gene:Potri.003G217300.v4.1 transcript:Potri.003G217300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217300.v4.1 MASLNILALYLLSLLLLSRTHLSLPLTTTDIHELLPQYGLPRGLLPDNVESYTLSPSDGTFEVKLKNPCYVHFDEVVYYGKEIKGRLSYGSVHDVSGIQAKKLFVWLSVTGIEVSKVDSGMIKFFVGSISEELPAKQFEVVPACKRKVGGLRAELESI >Potri.005G083400.2.v4.1 pep chromosome:Pop_tri_v4:5:5738843:5739767:1 gene:Potri.005G083400.v4.1 transcript:Potri.005G083400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083400.v4.1 MTGKGTQSSPIGSPSSENISDSSSKLEQDRFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFENYVGSLKVYLNKYRETEGEKNSMARQEDQLSPTNHGTVGTHETNKVNGTLTDPSTEMDLQSFNNGLYSLGTEVTAKSYGENTRLLGYQENFMAGDFNMNRIGENGDRNSERARAAQLYHGVRW >Potri.003G182601.2.v4.1 pep chromosome:Pop_tri_v4:3:18786863:18794621:-1 gene:Potri.003G182601.v4.1 transcript:Potri.003G182601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182601.v4.1 MAVIVDDASCTWSSSEEKQPNLVLNHVNLCLSKGSLVAIIGEVGSGKSSLLSAMLGEMTLIHGSVHSSGSVAYVPQVPWIMSGTIRDNILFGKNYDSRRYSDTIRVCALDVDISLMAGGDMAHIGSKGINLSGGQRARLALARAIYQGLDTYMLDDVLSAVDAQVARWILHNAILGPFMDQKTRILCTHNVQAISSADMVVVMDKGQVTWVGSSVDLAVSSYPAFSPQNEFDALSDVQGKELSMADSIQVSHSHLPERESNHVSEEVQEIVEAESRKEGRVELAVYKNYAAFSGWFITVVIFLSAILMQASRNGNDLWLSFWVDTAGSSQIEYSISFYLVVLCIFCIINSALTLVRAFSFAFGGLRAAVQVHNTLLNKLIDAPVQFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAVILSYVQVFFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGASTIRAFKSEDFFMEKFIEHVTLYQRTSYSEIIASLWLSLRLQLLAAVIISFVAMMAVIGSHDYLPISFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQSLNLDWPFQGTIEFQNVTMRYMPSLPPALRGISFTVPGGMKVGVVGRTGAGKSSILNALFRLTPICSGCIVVDGLDITDVPVRDLRPRFAVVPQSPFLFEGSLRDNLDPFQMNNDLKIWDILEKCHVKEEVESAGGLDIHVKESGSSFSVGQRQLLCLARALLKLSKVLCLDECTANVDTKTASILQSTIFSECRAMTVITIAHRISTVLNMDNILVLDRGNLVEQGNPKALLQDESSIFSSFAKASTM >Potri.003G182601.1.v4.1 pep chromosome:Pop_tri_v4:3:18786821:18801791:-1 gene:Potri.003G182601.v4.1 transcript:Potri.003G182601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182601.v4.1 MEFKKSLYCPNSPFVWEGNTFSECFDNLVPGFGANVVTIVIILVLAITRSTASRVPRISCTEKTVFRFLPFLGALVSFMDLILLVNKELHGNFIAYHEWLFRSSQLIVWTVIIISSMCACLHDVFCNRFLCFWWIMKSLLGILHLHRAFGSMEVVKCLKDSCVVLLDVMFGISINIIRIKRASPKSSSMEDPLLSVDTDIEEGFHGDSGNAKSYWDHMTFRTITSVMNHGVIKQLGFDDLLSLPNDMEPSTCHDKLSSCWRVQLSSPNPFFFKAIFCAYGWPYLCLGLLKVFNDFIGFAGPLLLNKLIRFLQQDSMRWDGYLLALSLGLTSILKSFFDTQYSFHLGKLKLKLRSSIMTVIYQKVLCVTQSERSKFSEGEIQTFMSIDADRTVNLCNSFHDMWSLPLQIGVALYLLYTQVKFAFLSGLAITILLIPVNKWISELIASATEKMMKQKDERIRRTGEILTHIRTLKMYGWEHLFSSWLMETRSSEVKHLATRKYLDAWCVFFWATTPTLFSVFTFGLFTLMGHQLDAATVFTCLALFNNLISPLNSFPWVINGLIDAFISTRRLSRFLCCSEYKHVLKCKAECEDMAVIVDDASCTWSSSEEKQPNLVLNHVNLCLSKGSLVAIIGEVGSGKSSLLSAMLGEMTLIHGSVHSSGSVAYVPQVPWIMSGTIRDNILFGKNYDSRRYSDTIRVCALDVDISLMAGGDMAHIGSKGINLSGGQRARLALARAIYQGLDTYMLDDVLSAVDAQVARWILHNAILGPFMDQKTRILCTHNVQAISSADMVVVMDKGQVTWVGSSVDLAVSSYPAFSPQNEFDALSDVQGKELSMADSIQVSHSHLPERESNHVSEEVQEIVEAESRKEGRVELAVYKNYAAFSGWFITVVIFLSAILMQASRNGNDLWLSFWVDTAGSSQIEYSISFYLVVLCIFCIINSALTLVRAFSFAFGGLRAAVQVHNTLLNKLIDAPVQFFDQTPGGRILNRFSSDLYTIDDSLPFILNILLANFVGLLGIAVILSYVQVFFLLLLLPFWFIYSKLQFFYRSTSRELRRLDSVSRSPIYATFTETLDGASTIRAFKSEDFFMEKFIEHVTLYQRTSYSEIIASLWLSLRLQLLAAVIISFVAMMAVIGSHDYLPISFGTPGLVGLALSYAAPIVSLLGSFLTSFTETEKEMVSVERALQYMDIPQEELRGSQSLNLDWPFQGTIEFQNVTMRYMPSLPPALRGISFTVPGGMKVGVVGRTGAGKSSILNALFRLTPICSGCIVVDGLDITDVPVRDLRPRFAVVPQSPFLFEGSLRDNLDPFQMNNDLKIWDILEKCHVKEEVESAGGLDIHVKESGSSFSVGQRQLLCLARALLKLSKVLCLDECTANVDTKTASILQSTIFSECRAMTVITIAHRISTVLNMDNILVLDRGNLVEQGNPKALLQDESSIFSSFAKASTM >Potri.002G006000.1.v4.1 pep chromosome:Pop_tri_v4:2:336700:338356:-1 gene:Potri.002G006000.v4.1 transcript:Potri.002G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006000.v4.1 MENNSKQMENRKEGVEEKKGKLDGLPMESSPYLKYTDLEDYKRIAYGTEGHQEVKPNQGGGGTDAPTLSGNDLSPGKMAIIDAAANRHGIP >Potri.008G190900.1.v4.1 pep chromosome:Pop_tri_v4:8:13413459:13415913:-1 gene:Potri.008G190900.v4.1 transcript:Potri.008G190900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190900.v4.1 MAITKTLSLGFSLIFRPTTKHLLHLTVLSHAPKLSLSHQSFYFRCLAPPYKTPKNPFIICHGKLDSDVPEEINEVFFDDNYDMMVDEEEISDEDETESSIDLLIRFLQSMFKKLSKRAKKASRSMLPAVISPQLVSFAVDGILLLAALSIVKALLEVVCTLGSTVFVVILLLRVVWTAVSYFQSSENTSSKGGSSFGTTQPVA >Potri.012G013435.2.v4.1 pep chromosome:Pop_tri_v4:12:114422:117724:-1 gene:Potri.012G013435.v4.1 transcript:Potri.012G013435.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013435.v4.1 MGFSPVLSLSQFLSSILFLFHFHTTISSSNYSSSSHFCAPDQSLSLLQFKESFSITSSASERCQHPKTESWREGTDCCSWDGVTCDMKTGQVTALDLACSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNFSNISSRFGQFSNLTHLNLNFSGFAGQVPSESSHLSKLVTLDLSRNHYLSLEPISFDKLVRNLTQLRELDLSSVDMSLVVPNSLMNLSSSLSSLILNSCRLQGEFPFSMRKFKHLQQLDLRYNNFSDLGPWGCGLKGKFPGNIFLLPNLQVLDLTDNDDLTGSFPSFNASNIIRSLSLSHTAISVYLENDFFTNLKLLEVLALRQCNIIRSNLTLIGHLTELTSLNLIGNNLSGQIPSSFKNLVQLQSLYLGNNNFSGRIPEFLGNLTLLENLGLSNNQLSGPIPSQISTLSLRSFDLSKNNLHGPIPSSIFKQENLDVLSLASNNKLTGEISSSICKLKVLRLLDLSNNSLSGFIPQCLGNFSNSLSVLNLGKNNFQGTIFSPFSKGNNLGYLNLNGNELEGKIPSSIINCMMLQVLDLGDNKIEDTFPYFLETLPELYILALKSNKLHGFVNGPTTKNSFSKLRVFDISNNNLSGPLPIGYFNSFEAMMAYDQNPFYMMAYSIKVTWKGVEIEFEKIQSTLRILDLSNNSFTGEIPKEIGKLKAVRQLNLSHNSLTGHIQSSLGMFTNLESLDLSSNLLTGRIPVQLADLTFLAVLDLSHNRLEGPVPGGKQFNTFNASSFEGNLDLCGFPMPKECNNDEAPPLQPSNFHDGDDSKFFGEGFGWKAVAIGYGSGFVFGVTMGYVVFRTRKPAWFLKVVEDQWNLKARRTKKNARRNGARRN >Potri.012G013435.1.v4.1 pep chromosome:Pop_tri_v4:12:113760:117845:-1 gene:Potri.012G013435.v4.1 transcript:Potri.012G013435.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013435.v4.1 MRIFTSHLSLNIPNILSNPVRPANMGFSPVLSLSQFLSSILFLFHFHTTISSSNYSSSSHFCAPDQSLSLLQFKESFSITSSASERCQHPKTESWREGTDCCSWDGVTCDMKTGQVTALDLACSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNFSNISSRFGQFSNLTHLNLNFSGFAGQVPSESSHLSKLVTLDLSRNHYLSLEPISFDKLVRNLTQLRELDLSSVDMSLVVPNSLMNLSSSLSSLILNSCRLQGEFPFSMRKFKHLQQLDLRYNNFSGSIPDDLGQLTELVSIYLSYNGYLSVEPSSFDNIIQNLTKLRNLRLGLVNMSLVTPNSLANLSSSLSDLGPWGCGLKGKFPGNIFLLPNLQVLDLTDNDDLTGSFPSFNASNIIRSLSLSHTAISVYLENDFFTNLKLLEVLALRQCNIIRSNLTLIGHLTELTSLNLIGNNLSGQIPSSFKNLVQLQSLYLGNNNFSGRIPEFLGNLTLLENLGLSNNQLSGPIPSQISTLSLRSFDLSKNNLHGPIPSSIFKQENLDVLSLASNNKLTGEISSSICKLKVLRLLDLSNNSLSGFIPQCLGNFSNSLSVLNLGKNNFQGTIFSPFSKGNNLGYLNLNGNELEGKIPSSIINCMMLQVLDLGDNKIEDTFPYFLETLPELYILALKSNKLHGFVNGPTTKNSFSKLRVFDISNNNLSGPLPIGYFNSFEAMMAYDQNPFYMMAYSIKVTWKGVEIEFEKIQSTLRILDLSNNSFTGEIPKEIGKLKAVRQLNLSHNSLTGHIQSSLGMFTNLESLDLSSNLLTGRIPVQLADLTFLAVLDLSHNRLEGPVPGGKQFNTFNASSFEGNLDLCGFPMPKECNNDEAPPLQPSNFHDGDDSKFFGEGFGWKAVAIGYGSGFVFGVTMGYVVFRTRKPAWFLKVVEDQWNLKARRTKKNARRNGARRN >Potri.006G279300.1.v4.1 pep chromosome:Pop_tri_v4:6:26920419:26923413:1 gene:Potri.006G279300.v4.1 transcript:Potri.006G279300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279300.v4.1 MARKVAYWHLMLLLFYIMVTACQFFVTSHGAITDAEILVNFKNSLSTNSLLSNWNVSDNPPCNGSTNNWVGLRCNGDGTIDKLQLENMGLTGTINIDILTQLSKLRTLSFMNNSLEGSMPQVKKLGPLKNLFLSNNSFSGKIAEDAFDGMNSLREVHLAHNEFTGGIPRSLVSAQKLTKLSLEGNQLDGKLPGFPQENLTVFNAADNNFEGQIPASLAHFSPSSFTGNKGLCGKPLPACKSSKKKIMMIIVVTVVAVVALSAIVAFSCICCRTAKTPKFNYSKKKIAMNGVGKKEIQSSDQFGDAKTVDNGQLHFVRYDRGRFDLQDLLKASAEVLGSGTLGSSYKTVLSDGPSMVVKRFRHMSNVGNEEFHEHMRKLGTLSHPNLLPLVAYYYRKEEKLLVSDLIENGSLASRLHAKRAPGKPWLDWPTRLKIVKGVARGLVYLYKEFPTLALPHGHLKSSNVLLDDTFEPLLTDYALVPLVNRDHAQQVMVAYKSPEFTHSDRTTRKTDVWSLGILILEILTGKFPENYLMQGRGGGADLATWVNSVVREEWTGEVFDMDIMRTKNCEKEMLKLLKTGMCCCEWNMENRWDLKEAVAKIEDLKERDNDNDDFSNSYASEVYSSRAMTDDDFSFSVNG >Potri.017G005300.1.v4.1 pep chromosome:Pop_tri_v4:17:350465:352441:1 gene:Potri.017G005300.v4.1 transcript:Potri.017G005300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005300.v4.1 MAGLPLPMIPHPITLFFIIFFAFSPLVKAAQHSVLSYGAKPDGKTDSTKAFAAAWAQACASTQPATISVPKGSFSLGQVRFQGPCKNRAILVRIDGTLVAPSDYKVIGNAKNWLMFEHVNGVTVSGGTLDGQGAGLWSCKNSGKGCPRGATSLEFSNSNNIAITGLASLNSQLFHIVINGCQNVKVQGVRVSAAGNSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSSLWIENVACGPGHGISIGSLGKESQEAGVQNVTVKTTTFTGTENGLRIKSWGRPSNGFARDILFQHAVMNNVQNPIVIDQNYCPGEKNCPGQVSGVKISDVTYQDIHGSSATEVAVKFDCSKKYPCTGIKLEDVKLTYKNQPAEASCSNAGGVASGLVQPTSCL >Potri.013G159101.1.v4.1 pep chromosome:Pop_tri_v4:13:15349258:15350375:1 gene:Potri.013G159101.v4.1 transcript:Potri.013G159101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159101.v4.1 MVLVQRGLLLLVVLQTATENNCLQALFCFRLEKNKEKDCCSLGWEAIYMAAFISLSRRLESGFCWIFTGEMRRF >Potri.002G113066.1.v4.1 pep chromosome:Pop_tri_v4:2:8532942:8536920:1 gene:Potri.002G113066.v4.1 transcript:Potri.002G113066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113066.v4.1 MEIHFSSLALVSVVVLHLGLSAESIPVYQATTTGPVGAGDDNVILNPRFEDGLNNWSGKGCKIELHKSMEDGKVFPQSGMFFASATNRTENWNGIEQDITGRVQRKVAYQVTAVVRIYVDNDTSAGVQITLWLQEPDFREQYISIASSQVTNKDWVQLQGEFLLNETPSRLVIYLEGPSPGTDILVNSLVVKRAEKIPASARPFSKNATFGGNIIENSYLDDGTTGWFPLGNCALSVGTGSPHVLPPMARDSLGTYESLSGRYIMVTNRSDSWMGPAQMITDKLKLYLTYQVSAWVRIGPGATSPQIVNVALGVDGQWINGGEVEFNDDNWHEIGGSFRIEKQPSNVMVYVQGPASGVDLMVSGLQIFPVNRQVRFKYLKKQTDKIRKRDIILKFSRSESSNTVGNSVKVRQIKNSFPLGSCVTRTSMDNEKFVKFLVKSFNWAVFENELKWSWTEPQEGKFNYRDADELLDLCKSYNIEVRGHCIFWEMEYTIQSWVRSLNASGLMTAVQNRLTGLLTRYKGQFRHYDVNNEMLHGSFYLDRLGKDIRANMFKTSHELDPYATLFVNDYHIEDGSDIRSTPEKYIQQILDLQKQGAPVGGIGIQGHIDVPVGPIICSALDKLGTLGIPIWFTELDVSCPNEFVRADDLEVVLREAFAHPAVEGVILWGFWELYMSRKNAHLVNADGKINAAGKRFLSLKKEWLSHASGHIDELGEFRFRGFHGTYNVEFVSTTEKVNMTFVVDQGESPLVVSIDL >Potri.011G000400.2.v4.1 pep chromosome:Pop_tri_v4:11:31148:32926:1 gene:Potri.011G000400.v4.1 transcript:Potri.011G000400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G000400.v4.1 MQYKASTTTTKFPEKMAATALVAIGTQGTVGSLVRKEIKYFSKFEIEKSASSRKPQGLVVDIASSNGQSRPSFWSLTMSWQGKKRRGSSGFFPSICSAVEVADSNSLSGIPGFGYIILKDDLKDMQV >Potri.013G128100.1.v4.1 pep chromosome:Pop_tri_v4:13:13535622:13542724:1 gene:Potri.013G128100.v4.1 transcript:Potri.013G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128100.v4.1 MSLDSEDSPSPSFDRDWFFPSPSFIHESPPKSPKSHRRFSTTPKHSPDSILSKSQSFRPSSSIPPPTTSKYGILRRRVEFPRPLIKPSKQEQHHSFLDRKPVVPSEKKQSTEKVSSGPSVHRVRFRWDLTITVAIVITALASSVHKNFTLHNQVIDLQDQILKLNVTLRACNSLSNVDASDSVMQEIDDYDYGGDNGLKILALIVSLTLLSIPVLAFKYIDFVSTSRSSGNIWEAVLLNKQLAYRVDVFLSVRPYAKPLALLVATLLVICLGGLAMFGVTNDSLADCLWLSWTFVADSGNHANSEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSKVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMEMDIAKMEFDFKGTFVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLIGVKEGLKGHIVVELSDLDNEVLLKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLHGMQFEDILISFPDAIPCGIKVASFGGKIILNPEDSYVLQEGDEVLVIAEDDDSYAPAALPTVWRGSLPKDSIVPKPAERILFCGWRRDMEDMIMVLDAFLAQGSELWMFNDVPEKERERKLIDGGLDLSRLENIQLVNREGNTVIRRHLESLPLQSFDSILILADESVEDSAMQADSRSLATLLLIRDIQSKRLPMSNQVHGGSFSQDTWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDQQINDVLKELFAEEGNELQIRQADLYLFEGEELSFYEVLIRARQRREIVIGYRVSNAERAVINPPAKSERRRWSLKDVFVVIAQKEWE >Potri.013G128100.2.v4.1 pep chromosome:Pop_tri_v4:13:13535704:13542691:1 gene:Potri.013G128100.v4.1 transcript:Potri.013G128100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128100.v4.1 MSLDSEDSPSPSFDRDWFFPSPSFIHESPPKSPKSHRRFSTTPKHSPDSILSKSQSFRPSSSIPPPTTSKYGILRRRVEFPRPLIKPSKQEQHHSFLDRKPVVPSEKKQSTEKVSSGPSVHRVRFRWDLTITVAIVITALASSVHKNFTLHNQVIDLQDQILKLNVTLRACNSLSNVDASDSVMQEIDDYDYGGDNGLKILALIVSLTLLSIPVLAFKYIDFVSTSRSSGNIWEAVLLNKQLAYRVDVFLSVRPYAKPLALLVATLLVICLGGLAMFGVTNDSLADCLWLSWTFVADSGNHANSEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSKVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMEMDIAKMEFDFKGTFVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLIGVKEGLKGHIVVELSDLDNEVLLKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLHGMQFEDILISFPDAIPCGIKVASFGGKIILNPEDSYVLQEGDEVLVIAEDDDSYAPAALPTVKEASFMHIAQPARMPQKILLCGWRRDIDDMIVVLDAFLAQGSELWMFNDVPEKERERKLIDGGLDLSRLENIQLVNREGNTVIRRHLESLPLQSFDSILILADESVEDSAMQADSRSLATLLLIRDIQSKRLPMSNQVHGGSFSQDTWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDQQINDVLKELFAEEGNELQIRQADLYLFEGEELSFYEVLIRARQRREIVIGYRVSNAERAVINPPAKSERRRWSLKDVFVVIAQKEWE >Potri.013G128100.3.v4.1 pep chromosome:Pop_tri_v4:13:13535623:13542702:1 gene:Potri.013G128100.v4.1 transcript:Potri.013G128100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128100.v4.1 MSLDSEDSPSPSFDRDWFFPSPSFIHESPPKSPKSHRRFSTTPKHSPDSILSKSQSFRPSSSIPPPTTSKYGILRRRVEFPRPLIKPSKQEQHHSFLDRKPVVPSEKKQSTEKVSSGPSVHRVRFRWDLTITVAIVITALASSVHKNFTLHNQVIDLQDQILKLNVTLRACNSLSNVDASDSVMQEIDDYDYGGDNGLKILALIVSLTLLSIPVLAFKYIDFVSTSRSSGNIWEAVLLNKQLAYRVDVFLSVRPYAKPLALLVATLLVICLGGLAMFGVTNDSLADCLWLSWTFVADSGNHANSEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSKVVEQNHTLILGWSDKLGSLLNQLAIANESLGGGIVVVMAERDKEEMEMDIAKMEFDFKGTFVICRSGSPLILADLKKVSVSKARAIIVLAEDGNADQSDARALRTVLSLIGVKEGLKGHIVVELSDLDNEVLLKLVGGDLVETVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLHGMQFEDILISFPDAIPCGIKVASFGGKIILNPEDSYVLQEGDEVLVIAEDDDSYAPAALPTVLSFSLRNKDFL >Potri.006G217000.2.v4.1 pep chromosome:Pop_tri_v4:6:22259291:22260128:-1 gene:Potri.006G217000.v4.1 transcript:Potri.006G217000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217000.v4.1 MGFFVEESGLIVTHLLYKAALVLAVLRWALAWALRFKNRTHLASPSNDSLRRSHPVPSSQQIRDGLILTTFGDVTERMPGVCDTCAVCLSQLRDQDEVRELRNCCHVFHRDCIDRWVDHDHEHDENHNTCPLCRAPLLTTSQSLARTRAEPSWAVERILYLFGDDLVM >Potri.001G246800.1.v4.1 pep chromosome:Pop_tri_v4:1:26356922:26359363:-1 gene:Potri.001G246800.v4.1 transcript:Potri.001G246800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246800.v4.1 MGGSLVAKRAAVSAPNQTLSLFRLSFCNLLKTFSTSSSSSAAAENPKNETSKPKRRKKKNLFEVAQFLPNWGIGYHMAKSHWANVSYEITKINLYKDGRHGKAWGIAHKDGLPIADAAPKKISGVHKRCWKYIPSLAKSIEGKASSPKSTETASKTEVQAA >Potri.007G037033.1.v4.1 pep chromosome:Pop_tri_v4:7:2932449:2933927:-1 gene:Potri.007G037033.v4.1 transcript:Potri.007G037033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037033.v4.1 MGDSNNQTTHFVLIHGSASGAWAWYKVKTMLEAAGHSVTALDMSASGVNTKTLEEVVTFDQYNEPLIEFMANLAENEKVVLVGHSLGGLNVAFAMEKFPEKISLAVFVTAFLPDTEHRPSYMLEKFIENSPAVADGWQSVVSSTAGYETFMKSTAFNLASPEDLSLQTLLKRSGSLFLESLAKANKFTKEKFGSVVRDYVVCTQDLLVVPSLQRFMIEHNEVKEVMEIPADHMAIASRPKELCQCLLEFARKHA >Potri.017G109900.3.v4.1 pep chromosome:Pop_tri_v4:17:11776586:11777304:-1 gene:Potri.017G109900.v4.1 transcript:Potri.017G109900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109900.v4.1 MMTMGLGSLVMNLKSKIRSLKMKKPYDKIEKSDSMRVEIRSRKARKLVEETLKIADSPKTKTYAF >Potri.002G230700.3.v4.1 pep chromosome:Pop_tri_v4:2:22216928:22227553:-1 gene:Potri.002G230700.v4.1 transcript:Potri.002G230700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230700.v4.1 MVIAAAAAAAIASSLSLGTSHCQLCQADAFCCSTSHGGTNSWNKSPIDSCRPCDLSSIRYRNPFFGSTQFQWSSVGRNLCLQKVSVAADYSDSVPDSSNYTSHRGYHPLEEVKLSKRTRETQLTSAEIARTTVENVLIGMDIPMYENKKVVNEYNIFNVGSEDDIPFDEDYFEVMDSEDSEVPVDWGMPYTSSLVHPIYFAKCMTKAINMEYYRKMDHPSNGVSIVGCLRPAFSDEELYLRTSFHCGDSDGYNSDRKDTEILSFNSKSDVSSSGSTLHCLEIMRIELFSLYGSQSAVSLQDFQEAEPDVLAHSTPAILEHFSEKGSRCNIALKALCKKKGLHVERANLIGVDSLGMDVRIFSGVEARTHRFPFKVRATCKTAAQKQIHQLLFPRARRKKFKTHEDELGDSSYF >Potri.002G230700.6.v4.1 pep chromosome:Pop_tri_v4:2:22218367:22227629:-1 gene:Potri.002G230700.v4.1 transcript:Potri.002G230700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230700.v4.1 MVIAAAAAAAIASSLSLGTSHCQLCQADAFCCSTSHGGTNSWNKSPIDSCRPCDLSSIRYRNPFFGSTQFQWSSVGRNLCLQKVSVAADYSDSVPDSSNYTSHRGYHPLEEVKLSKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHGQISWAEFQYIIDDYGDIFFEIFDNSNILQDRGASNPVNVLIGMDIPMYENKKVVNEYNIFNVGSEDDIPFDEDYFEVMDSEDSEVPVDWGMPYTSSLVHPIYFAKCMTKAINMEYYRKMDHPSNGVSIVGCLRPAFSDEELYLRTSFHCGDSDGYNSDRKDTEILSFNSKSDVSSSGSTLHCLEIMRIELFSLYGSQSAVSLQDFQEAEPDVLAHSTPAILEHFSEKGSRCNIALKALCKKKGLHVERANLIGVDSLGMDVRIFSGVEARTHRFPFKVRATCKTAAQKQIHQLLFPRARRKKFKTHEDELGDSSYF >Potri.013G041900.1.v4.1 pep chromosome:Pop_tri_v4:13:2886465:2887522:1 gene:Potri.013G041900.v4.1 transcript:Potri.013G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041900.v4.1 MQRLSLFVVFLLCLVATAIAQNCGRQAGGQTCANNLCCSQWGFCGTSDDHCNPSKNCQSNCSPGTGAGESASNVRATYHYYNPDQNGWDLNAVSAYCSTWDANKPLEWRRKYGWTAFCGPVGPSGQASCGRCLRVTNTGTGAQATVRIVDQCSNGGLDLDAGVFQQIDTDGRGNAQGHLIVNYQFVDCGD >Potri.002G198700.2.v4.1 pep chromosome:Pop_tri_v4:2:16145269:16147187:-1 gene:Potri.002G198700.v4.1 transcript:Potri.002G198700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198700.v4.1 MADSRIKNVSAAFSWADEVEREEEEQARFQEHQKQKPDPFGSARPREVVLLEKGIDWRKLDIHLQQPSHKRQLDPPYGKQCKENIPAFAAPGIDRIPSVTPSKSLKTELEDANSELKRSEILRVPLATESQIPATFVPPLRYPPKNVIPSLSESGFHYYLQELDKGQQGFQSKLPLKPGKENTFHQQVPQRDRSFQNLNQGSHTHPHYHRQILQAEQRSQMEDKISFRLWQSDGSGKNLRKPAASRLQQDSDSATENPGKNLQGNDAVRQGGRQILTKSSCAGGTNNIKQKNGVERSVANRSSGTKFNVMVQVRKRRGN >Potri.016G086600.1.v4.1 pep chromosome:Pop_tri_v4:16:6806212:6813120:-1 gene:Potri.016G086600.v4.1 transcript:Potri.016G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086600.v4.1 MAEDGLNPHRRDPIKSSVGNVAAHRRRQNAVAVGKERRESLVRAKRLCRVGPSCDDSDISIENDMIIDEEQSILEAQTSSAVEELKSAVSFQGKGAMQKLVGALREMRRLLSKSEFPPVEAAIKAGAIPLLVQCLSFGSPDEQLLEAAWCLTNIAAGKPEETKALLPALPLLIAHLGEKSSLPVAEQCAWALGNVAGEGEELRSVLLCQGALPPLARMMLPNKGSTVRTAAWALSNLIKGPDHKAATELIRVDGVLDAILRHLRKADEELATEVAWVVVYLSALSNVATSMLVKSDALQLLVQRLASSNSLQLLIPVLRSLGNVIAGDSHTIVAVLLPGCEITDNVIEVLVKCLKSEHRVLKKEAAWVLSNIAAGSIEHKQLIYYSEAAPLLLRLLSTAPFDIRKEVAYVLGNLCVAPTEGDGKPNLISEHLVSLVGRGCLPGFIDLVRSADTEAARLGLQFMELVLRGMPNGEGPKLVEREDGIDAMERFQFHENEDLRNLANALVDRYFGEDYGLDEEAE >Potri.001G384300.1.v4.1 pep chromosome:Pop_tri_v4:1:40365264:40371357:-1 gene:Potri.001G384300.v4.1 transcript:Potri.001G384300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G384300.v4.1 MALSTLLHIKHPILFAPLHFSKSQIHPRKPLIPTSIPTLKSHNKMLPHRPNAISTQGVLSEAMRLIQSAPPTWQSALFSNLSIFLVGSPILVSGLSLSGIFAAFLLGTLTWRAFGPSGFLLVASYFIIGTAATKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLSIFQVGDEAFLALWRLGFIASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSAEGTLAGLLASILLASVGCLMGEINVPEVVICVIASQIANVGESIIGAAFQDKEGFQWLNNDAVNVINISMGSILAVLMQLVILQKWGA >Potri.007G080400.1.v4.1 pep chromosome:Pop_tri_v4:7:10479595:10482311:1 gene:Potri.007G080400.v4.1 transcript:Potri.007G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080400.v4.1 MSGEEEENAAELKIGDDFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Potri.007G080400.4.v4.1 pep chromosome:Pop_tri_v4:7:10479642:10482258:1 gene:Potri.007G080400.v4.1 transcript:Potri.007G080400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080400.v4.1 MSGEEEENAAELKIGDDFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Potri.008G052300.5.v4.1 pep chromosome:Pop_tri_v4:8:3063349:3063769:-1 gene:Potri.008G052300.v4.1 transcript:Potri.008G052300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052300.v4.1 MIIILPFMWNPLSISPYWVYTQWSQVLSFTTHSSLHFSHFSSKFQKQIMSAPKCCDCEWLKALCNKLQDDMKRIEEKNHLKELLVKRR >Potri.014G017701.1.v4.1 pep chromosome:Pop_tri_v4:14:1105066:1106097:-1 gene:Potri.014G017701.v4.1 transcript:Potri.014G017701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017701.v4.1 MGHSNIWNSHPKNYGPGSRTCRVCGNPHGIIRKYGLMCCRQCFRSNAKEIGFIKYR >Potri.005G214850.1.v4.1 pep chromosome:Pop_tri_v4:5:21804501:21804854:1 gene:Potri.005G214850.v4.1 transcript:Potri.005G214850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214850.v4.1 MLLQVARNHARCFWIQITDLVVNGVGLIFLSFLFLDLSCSLCKGKRDSVGDEILDVTFIPYIDVFHASAIWFLISHFQWRRGYHGPSGSVDNASHFMTNNKQMCSWWQFCGRSWCCP >Potri.009G020500.5.v4.1 pep chromosome:Pop_tri_v4:9:3295322:3301384:-1 gene:Potri.009G020500.v4.1 transcript:Potri.009G020500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020500.v4.1 MAAACSNKIIVVFWHEGMLNHETGKGVFDSGTDPGFLDVLEKHPENSDRIKNMVSILQKGPISPYISWQHGRPAQVPELLSFHAPEYIDELVEAGKQGGKMICAGTFLNPGSWDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPKQADGYCFLNNAGLAVQLALDSGCKKVTVIDIDVHYGNGTAEGFYRTDKVLTVSLHMNHGSWGPSHPQNGSVGELGEGEGFGYNLNIPLPNGTGDRGYGYAMKELVVPAVHKFEPDMIVFVVGQDSSAFDPNGRQCLTMDGYREIGRIVHSLANKHSGGKILIVQEGGYHITYSAYCLHAILEGVLDLPQPLLCDPIAYYPEDEAFAVKFVEATRNYHKEMVPFLKGT >Potri.009G020500.7.v4.1 pep chromosome:Pop_tri_v4:9:3298343:3301341:-1 gene:Potri.009G020500.v4.1 transcript:Potri.009G020500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020500.v4.1 MAAACSNKIIVVFWHEGMLNHETGKGVFDSGTDPGFLDVLEKHPENSDRIKNMVSILQKGPISPYISWQHGRPAQVPELLSFHAPEYIDELVEAGKQGGKMICAGTFLNPGSWDAALLAAGTTLSAMKHILDGHGKLAYALVRPPGHHAQPKQADGYCFLNNAGLAVQLALDSGCKKVTVIDIDVHYGNGTAEGFYRTDKVLTVSLHMNHGSWGPSHPQNGSVGELGEGEGFGYNLNIPLPNGTGDRGYGYAMKELVVPAVHKFEPDMIVFVVGQDSSAVSTFNSMIDHCQIVTML >Potri.009G162600.2.v4.1 pep chromosome:Pop_tri_v4:9:12515927:12517654:1 gene:Potri.009G162600.v4.1 transcript:Potri.009G162600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G162600.v4.1 MMKEEEAASKQKQRIKLVRAAHKKGANIILIQTGEGTKHSPFWAFSSGHAARTHTGFFFICYAIFWDQWFPEEARAMALQDAEILLYPAAIGSEPQDQGLDSRDHWKRVMQGHAVANLVPVVASNRIGKEIIQTEHGNSGITFMKLLYSRSREIVAAADDKEEAVLVAKFDLEQIKSKRHSWGVFRDWRPGLCKVLLTSDGSNPVL >Potri.001G189900.2.v4.1 pep chromosome:Pop_tri_v4:1:17230289:17232370:1 gene:Potri.001G189900.v4.1 transcript:Potri.001G189900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189900.v4.1 MERNQSRRQVALTRQSLFDQGFLDEQFIQLEELQDDANPNFVEEVVSLHYRDSARLISNIEKALEKNPLDFNKLDGYMHQFKGSSSSIGAKKVKAECTLFREYCKAGNGEGCMRTFQQIKKEYATLKRKLETYFQLARQAGPADTACRPK >Potri.001G219400.2.v4.1 pep chromosome:Pop_tri_v4:1:22921108:22925469:1 gene:Potri.001G219400.v4.1 transcript:Potri.001G219400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219400.v4.1 MLGNYVKKPALELAALSRLQFYREIHGRNKKAMEFIAKGWSALKEVDRVIDYCERNDKRLIPLLRTAKENFQLALEVDNSNTHARYWLSKLHLKYHVPGQNHAIGVALLVEAANMGDPEAQYELGCRLRVENDYVQSDQQAFYYIEKAVEKLHPGALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHVGAAIAYGSLLLRGAQVPEHLTKFSLKRGSSAKKMNSAENTEMNPVERAKEQFQIAAKAGSDLGLKWLQRLEEEENRLLAESHSKESSSQN >Potri.001G219400.6.v4.1 pep chromosome:Pop_tri_v4:1:22921265:22925298:1 gene:Potri.001G219400.v4.1 transcript:Potri.001G219400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219400.v4.1 MEFIAKGWSALKEVDRVIDYCERNDKRLIPLLRTAKENFQLALEVDNSNTHARYWLSKLHLKYHVPGQNHAIGVALLVEAANMGDPEAQYELGCRLRVENDYVQSDQQAFYYIEKAVEKLHPGALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHVGAAIAYGSLLLRGAQVPEHLTKFSLKRGSSAKKMNSAENTEMNPVERAKEQFQIAAKAGSDLGLKWLQRLEEEENRLLAESHSKESSSQN >Potri.001G219400.5.v4.1 pep chromosome:Pop_tri_v4:1:22921115:22926629:1 gene:Potri.001G219400.v4.1 transcript:Potri.001G219400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219400.v4.1 MLGNYVKKPALELAALSRLQFYREIHGRNKKAMEFIAKGWSALKEVDRVIDYCERNDKRLIPLLRTAKENFQLALEVDNSNTHARYWLSKLHLKYHVPGQNHAIGVALLVEAANMGDPEAQYELGCRLRVENDYVQSDQQAFYYIEKAVEKLHPGALYLLGAVYLTGDCVKKDIASALWCFHRASEKGHVGAAIAYGSLLLRGAQVPEHLTKFSLKRGSSAKKMNSAENTEMNPVERAKEQFQIAAKAGSDLGLKWLQRLEEEENRLLAESHSKESSSQN >Potri.004G201000.1.v4.1 pep chromosome:Pop_tri_v4:4:21115472:21116004:-1 gene:Potri.004G201000.v4.1 transcript:Potri.004G201000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201000.v4.1 MGNKPVKQEREAVSPLAPNRPEFLLKIVPPMDHAYVRWLARDLERIHGFTPRNCRAVTPPDHYVEYMRSHGWLDVNLDDPDLAHLFPSK >Potri.017G145700.6.v4.1 pep chromosome:Pop_tri_v4:17:14616464:14618657:-1 gene:Potri.017G145700.v4.1 transcript:Potri.017G145700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145700.v4.1 MAAGLTAATVPKPFRYNSVSHLLPKPVTAASLFFPPIRHQSFLHYGTKVPRKTSLAVCFVVEDQTKPSSAHIENQQEEVPKDVNENQISTPRVAERLERKRKERVTYLIAAVMSSLGITSMAVMAVYYRFYWLEGGEVPLSEMFGTFALSVGAAVGMEFWARWAHRALWHASLWHMHESHHRPRDGPFELNDVFAITNAVPAISLLSYGFFNKGLVPGLCFGAGLGITVFGIAYMFVHDGLVHKRFPVGPIADVPYFRKVAAAHKIHHSDKFNGVPYGLFLGPREIEEVGGLEELEREISRRTKSYKEL >Potri.009G076100.1.v4.1 pep chromosome:Pop_tri_v4:9:7407558:7408229:1 gene:Potri.009G076100.v4.1 transcript:Potri.009G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076100.v4.1 MDSTSGVASAPDPNSGEPGPSAGSSSASASLPQQQQPEGSSPPAPPSRYESQKRRDWNTFLQYLKNHKPPLTLARCSGAHVIEFLKYLDQFGKTKVHITGCPYFGHPNPPAPCSCPLKQAWGSLDALIGRLRAAYEENGGRPESNPFGARAVRIYLREVREGQAKARGIPYEKKKRKRSNVAVATVNVSVEAAGGGSTSGGGGGSGDADSSAAAAAAAATTTV >Potri.007G043700.3.v4.1 pep chromosome:Pop_tri_v4:7:3746049:3748870:-1 gene:Potri.007G043700.v4.1 transcript:Potri.007G043700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043700.v4.1 MTLPLCPHPHVYLNSFSALPLKKISTRKIRNSIRALSTTPSEMEQPMRGQRLMDFPHLLAPHKDLMVGLISAVDKRLHGHLLPSSASVPPDAEYYQNQSGASQGSLCINRGVDSSHIDFILTSWLHLSLPNGGAMDITNIQGYLKSSTDAPHFQFELVQCSPTYFIFFLDLIPRKDLVLHPDYLKTFYEDSQLEALRKQLDTQVLEAKPYFSSSLYFRNVVSPTGILVSITCEDGGTTERAEEIIRDNIDPIANEVLELWMDSCVCKGGTATIEENERDHLEKRDRMIKSRAVEMDLSSSMPIQFGQDVADRILGVIRGVFRI >Potri.007G043700.4.v4.1 pep chromosome:Pop_tri_v4:7:3746042:3747306:-1 gene:Potri.007G043700.v4.1 transcript:Potri.007G043700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043700.v4.1 MTLPLCPHPHVYLNSFSALPLKKISTRKIRNSIRALSTTPSEMEQPMRGQRLMDFPHLLAPHKDLMVGLISAVDKRLHGHLLPSSASVPPDAEYYQNQSGASQGSLCINRGVDSSHIDFILTSWLHLSLPNGGAMDITNIQGYLKSSTDAPHFQFELVQCSPTYFIFFLDLIPRKDLVLHPDYLKTFYEDSQLEALRKQLDTQVLEAKPYFSSSLYFRNVVSPTGILVSITCEDGGTTERAEEIIRDNIDPIANEVLELWMDSCVCKGGTATIEENERDHLEKRDRMIKSRAVEMDLSSSMPIQFGQDVADRILGVIRGVFRI >Potri.006G241100.1.v4.1 pep chromosome:Pop_tri_v4:6:24296831:24298333:1 gene:Potri.006G241100.v4.1 transcript:Potri.006G241100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241100.v4.1 MTSTASATATQIHVLTATGFSTKPTNLSPTTVFFGQSLTRTTHSFGLVATTTSTTSSRFPVSTSAKANPTGEQKWTHEGSVTESLPNGMFRVLLDNKDLIIGYISGKIRKNFVRILPGDRVKVEVSRYDSSRGRIVYRLRNRDPSSE >Potri.016G093988.1.v4.1 pep chromosome:Pop_tri_v4:16:8440715:8440900:1 gene:Potri.016G093988.v4.1 transcript:Potri.016G093988.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093988.v4.1 MSSRDMSRAVVVIFFNYLSLSLLLSAPDPDAKIVATGNGRV >Potri.002G014400.1.v4.1 pep chromosome:Pop_tri_v4:2:895174:897315:1 gene:Potri.002G014400.v4.1 transcript:Potri.002G014400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014400.v4.1 METPASKTKVSPPPQQEPDLKKPKMSTTTSDDEDAATMTPGGDTTTKKQRYKRRKIAIFFAYCGVGYQGMQKNPGAKTIEGDLEEALFHAGAVPEQDRGIPKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVERLHSNLSPQFRIFGYKRVTGSFNAKKFCDRRRYVYLIPVFALDPCSHRDRESVLASLRSGSELIKCLECSERGRKVAGAVGKRSFELADNSSNDKDASVKSEIKEEVGVLLDNGLEDIRNSETVNETKIFQNDGSGSKSVMPESVISSNSEDANVNPESKDEIIVSVENGDDEKTKSKEEMVKGSSFCYGEKEKERFNRILGYYVGSHNFHNFTTRTKAEDPSARRYIVSFEAKTTVNVEGIEFVKCEVVGQSFMLHQIRKMIGVAVAIMRNCAPESLIQTALQKDVNINVPTAPEVGLYLDECFFTSYNQKWKDSHEEISMKDYEEEAEDFKMKHIYSHIATTEHKEGSVALWLHSLNHRNYPDLRVSNTVDNNNSEDNNNGKESTGFENTAPCTSL >Potri.006G194400.1.v4.1 pep chromosome:Pop_tri_v4:6:20177277:20178665:1 gene:Potri.006G194400.v4.1 transcript:Potri.006G194400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194400.v4.1 MAKYGEGDKRWIVEDRPDGANVHNWHWAETDCLEWSRNLLSKLLNNLTILDGEGNLFIKINKVEKVEGEAYVNVRKGKIIPGYELHVALSWQGEAKDSEGNSLLKVDGSVEIPYISDENADEDPEIRVTVKDESPIGKTLKDAMFAKGKPVVEDKVRVYVQSMAKGGPAKEELETKKVEKKGQPVAGTSVRKVSVSPVVEKKEVKKEGFKTISLTEKFSCRAKDLFEILMDENRWKGFTQSYARISKEVGGEFSIFDGSVTGRNLELQEGKLIVQQWRFGNWPDGIVSKVRLTFDEPEPGITIVKVVHTDIPEEDRYGNETVVENTERGWRDLILNKIRAVFGFGI >Potri.006G255301.1.v4.1 pep chromosome:Pop_tri_v4:6:25292185:25294185:-1 gene:Potri.006G255301.v4.1 transcript:Potri.006G255301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255301.v4.1 MTGFSMVNRRYSYLHECKWPLEAIDMHHVVARKCNAKVILDLLFISLQEGYIWFHLLNKSITILLWGFLLSVLLVKVMFWNHVMKESVVVIPTFGVQLETHDVSGRIVHRFIPIGKILKPVLLECVSPVTCYWSLSLLLRGEAELMLVFEELRPPVKMLIPIWKALCDASGIKEGSDT >Potri.006G053100.1.v4.1 pep chromosome:Pop_tri_v4:6:3699631:3702780:1 gene:Potri.006G053100.v4.1 transcript:Potri.006G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053100.v4.1 MNALLPAISLPCFSKPQQPFPPLKPKPPSTTFPSSFKPLKHSNSNKTQTPNPKTTQNCTNISFASPSEETLLSSDWPQLLKISIGSKDFLLGKAIHAYLVKIASQNDPFEGNNLINLYSKFNRLDLAWKVFDEMLVRNTITWTSLIKGCLENEDFVSGFSVVCDMCEFGEKFNEHTCVVILQACSDIGDVVLGEQIHGFVIKSGFEENVFVGTSLISMYSRSGNFDEAEKVFNGVGCKDLRCLNCMILEYGKAGYEKRAIGVFIYLISVGLDPNDYTFTNIISTCNVEEGKQLHGLAVKYGVLLQTSVGNAVITMYGKNGMVEEAARMFSVMNKKNLISWTALISGYTRNGYGEKAVDGFLELRGCGVECDSGLLATILDGCSECKNLDLGTQIHGLVIKLGYPCDINIGTALIDLYAKCKNFQSARTVFNGLSPRSTASFNAILVGFIENDSNEEDPMVFLSQLRLAGIKPDSVSFSRLLSLSANRASLVKGRGLHAYSIKTGFAGHISVSNALITMYAKCGIVEDAYQAFNSMSANDCISWNAIISAYSLHGQGEKALLLYQEMEEKGFTPDEITILVILQACTYSGLSEDGLHLFNTMESKYGIQPLLEHYACMVDLLGRAGYLSQAMDIINRSPFSESTLLWRTLVNVCKLCGDLNLGKLASKHLLDLSPDEAGSYVLVSNIYAGEGMIDEASKVRTTMKDLKLSKEAGSSWVEIDNMVHYFVASGTDHPESIEIYARLDLLRNEMRGIYDSKADLNLI >Potri.006G098500.2.v4.1 pep chromosome:Pop_tri_v4:6:7556732:7558601:-1 gene:Potri.006G098500.v4.1 transcript:Potri.006G098500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098500.v4.1 MYPNSEEEDSISWKLGVDGNFSIKNLWGSLRIKATNVEILWGSRFIPKHSALDGLSTKAGQLGGEGLIPFVFFASNKMRELLFESASASVRNRWEHILLDSNIHSHNNIGGDGDVEFASMG >Potri.004G121800.1.v4.1 pep chromosome:Pop_tri_v4:4:11653925:11657404:-1 gene:Potri.004G121800.v4.1 transcript:Potri.004G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121800.v4.1 MLEPKDPAIKLFGKTIQVTEISSTTTTDNDDSQDQDRPSCASSSLYDADSDNNKRYHGEEDIEADDKDSVEKSLTEKLEDGVSPVATEEPSNPDATSGTSENPKTPSIEKESEGLQTSRTEEEDSDTSNSQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHITIPEALQNVRADVPNGVYHPSMKTNGTVLTFGSDTPLHESMASVLNLADKTTRNCTRNGSHKPDAVRIPVSYGSGENSDDHSNGSSVTVSNSIDEAGKSMSKESAMQNCQGFPPEIPCFPGVPWPYPWNSAQWSSPLPPPAFCPPGFPMPFYPAAAYWGCTVPGAWNIPWLPQPSSPKQTSSSSGPNSPTLGKHSRDENMLKSSNSEEGESAKENNTERCLWIPKTLRIVDPGEAAKSSIWTTLGIKNDKPDLIGGRGLFKAFDSKVEKNHEAETSPVLQANPAALSRSLKFQESSYFG >Potri.002G118500.2.v4.1 pep chromosome:Pop_tri_v4:2:8967302:8971268:-1 gene:Potri.002G118500.v4.1 transcript:Potri.002G118500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118500.v4.1 MLCACSGEQFKFDEPQQSPESLATRDFSASGLSSRTTGDWESKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYQRGNLGAALQVFQGIDIKVLTPKMIKAIVERIHYRKPRSKGEIGPPSVMSMHSVSLLVEAILLKAKSLEELGHHIEAAKECRIILNIVESALPNGMPEGIGEDCKLEEMFHKALELLPALWTKAGLLDEAIASYRKALVRPWNLDPQNLAGVQKELASMLLYSAVEARHPLPASPQSNIEEAILLLLVLMSKVARGEIKWDEEIMDHLTYALSIVGQFELLAEHVEQALPGVYTRAERWYLLALCYSAAGQNEAALNLLKKVSGCSESKNKPHIPSFLLGAKLCSQDPMHAQEGINFARKVLDLADHQNQHFIGQAHKCLGICYGNAARVSLSDSERFLLHKESVNSLNNAALNRNEDPEVMYSLGLENMLQRNLGAAFDNAIVCSEMMAGNTVKGWKLLALVVSAEQRFRDAQTVVEFALDAAERIEQFELLRLKAVLQIAQEQPKQAIETYRILLSLIQAQRDIQAKNPEQAHILKSEVLAERNLELAAWQDLADIYTKIGSWGDAKICVDKAKLMELHSPRSWHSTGMFFESQSLHKEALVSFSVSLSVEPDYVPSIVATAEVLMKLGTQSLPIARSFLMHALRLDPTNHEAWLNLGLISKMEGSLKQAAEFFQAAHELMLSAPIQSSM >Potri.002G118500.1.v4.1 pep chromosome:Pop_tri_v4:2:8967262:8971137:-1 gene:Potri.002G118500.v4.1 transcript:Potri.002G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118500.v4.1 MLCACSGEQFKFDEPQQSPESLATRDFSASGLSSRTTGDWESKLEDIQVDEAESTLKEALSLNYEEARALLGRLEYQRGNLGAALQVFQGIDIKVLTPKMIKAIVERIHYRKPRSKGEIGPPSVMSMHSVSLLVEAILLKAKSLEELGHHIEAAKECRIILNIVESALPNGMPEGIGEDCKLEEMFHKALELLPALWTKAGLLDEAIASYRKALVRPWNLDPQNLAGVQKELASMLLYSAVEARHPLPASPQSNIEEAILLLLVLMSKVARGEIKWDEEIMDHLTYALSIVGQFELLAEHVEQALPGVYTRAERWYLLALCYSAAGQNEAALNLLKKVSGCSESKNKPHIPSFLLGAKLCSQDPMHAQEGINFARKVLDLADHQNQHFIGQAHKCLGICYGNAARVSLSDSERFLLHKESVNSLNNAALNRNEDPEVMYSLGLENMLQRNLGAAFDNAIVCSEMMAGNTVKGWKLLALVVSAEQRFRDAQTVVEFALDAAERIEQFELLRLKAVLQIAQEQPKQAIETYRILLSLIQAQRDIQAKNPEQAHILKSEVLAERNLELAAWQDLADIYTKIGSWGDAKICVDKAKLMELHSPRSWHSTGMFFESQSLHKEALVSFSVSLSVEPDYVPSIVATAEVLMKLGTQSLPIARSFLMHALRLDPTNHEAWLNLGLISKMEGSLKQAAEFFQAAHELMLSAPIQSSM >Potri.012G132766.1.v4.1 pep chromosome:Pop_tri_v4:12:14771900:14773008:1 gene:Potri.012G132766.v4.1 transcript:Potri.012G132766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132766.v4.1 MPSSSSSSSSPNPSTMLKPEVGPDSLPREAPVIAYTEKIIEEEQLQLRKYIEENYSKIRDVEIKLANLTLKQA >Potri.003G073100.3.v4.1 pep chromosome:Pop_tri_v4:3:10094839:10096161:1 gene:Potri.003G073100.v4.1 transcript:Potri.003G073100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073100.v4.1 MITPNGRFMTQKKICLSMIDFHPESWNPMWSVSSILTGLLSFMTDNTPTTGSVNTTAAEKRHLAKDSLAFNCKNTAFKKLFPEYVEKYKQEQHARQLVSEQVSSQVPREDKC >Potri.003G021600.1.v4.1 pep chromosome:Pop_tri_v4:3:2245657:2247993:1 gene:Potri.003G021600.v4.1 transcript:Potri.003G021600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021600.v4.1 MLRKVVISAISLFLIVGAVIGVAALVQPHQKGSDGENEAGNISASMKIANQLCQPSDYKEACTKTLSSVNSTDPKEFVKHAILAASDAVTKSFNFSEELIVKASKDKREKMALDDCKELLDYAVQELQASMSMVGDSDLHTTNNRVAELQSWLSAVLAYQETCVDGFDDKSTIKPIIQQGFVNASQLTDNVLAIISGLSDFLKSMGLQFNIPSNSRRLLAEDGFPTWFSGADRKLLAAQDKGKVKPNAVVAQDGSGQFKTISAAIAAYPNKLKGRYIIYVKAGTYREYVTIDKKKPNVFIYGDGPRKTIVTGSKSFAKDGLGTWKTATFVAEADGFIAKSIGFQNTAGPDGHQAVALRVSSDMSAFLNCRMDGYQDTLLYQAKRQFYRNCVISGTVDFIFGYGAAVIQNSLIVVRRPNANQQNSVTADGRKENHATTGLVIHNCRIVPEQKLVADRFKIPTYLGRPWKPFSRTVVMESELADFIQPAGWMPWAGSLHLDTLYYAEYANRGAGANTNKRVNWKTFHVINRNEALRFTAGQFLQGAAWIKNAGVPVLLGLKR >Potri.009G002300.1.v4.1 pep chromosome:Pop_tri_v4:9:811191:814034:-1 gene:Potri.009G002300.v4.1 transcript:Potri.009G002300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G002300.v4.1 MLTTICASAAHLRTTMRFGGMRWYEESSMASVNAISPEFEVHNYTQSLDHFNFKPESYATFQQRYILNYKYWGGANTSSPIFVYLGAEIDVTQNLDLSIVDLAARFKGLLLYIEHRYYGVSMPFGSEDEAFQNSSTFGYLSSEQALADYAQVIVDVKKDLSAENCPAIAVGGSYGGMLASWFRLKYPHIVIGSLASSAPILYFDDITPQNGYHVIVSKDFRDTSESCYNTIQQSWAEIDRVASETNGLLNLSNIFTTCSPLNSSKDLKVYTEIAYMWAAQLDNPPSYPVNKICSAIDGAPSGTDILGRVAAGVNASVFGNSCHSASGSGLSRKSASAWEWQTCTEMVFPMGYGENETMFQSDPFDINNYTKECVDVFGIKPRPHWITTEFGGHDIKTVLGNFASNIIFSNGLRDPWSAGGVLEDISDSVVALYTEQGSHCLDLYPPTSSDPDWLLALRDKENKIIAYWLAEYYTKLN >Potri.001G388701.1.v4.1 pep chromosome:Pop_tri_v4:1:40997237:40997542:1 gene:Potri.001G388701.v4.1 transcript:Potri.001G388701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388701.v4.1 MRTSDGFCSQSKIGWLMLLLHNLKKRILMFVHCKTKDCMSERKPSAAHDGILLRRGFLLLTVAACFEERLAFVADGVTGNQMAGTSVAAISEERKSWQQSR >Potri.005G121300.1.v4.1 pep chromosome:Pop_tri_v4:5:8991704:8992984:1 gene:Potri.005G121300.v4.1 transcript:Potri.005G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121300.v4.1 MNDLMTRSFLSYVELKKQAQKDLQVELDIESGQPNLSQFFHEVNGIKTEMEDITNLLFDLQNLNEESKSTHSAKVLRGLRDRMESDIVAVLRKAMIVKARLESLDRSNISNRRVSELYKEGSPIDRTRISVTNGSRVKLREIMNEFHILRQKILSDYKNDLKRRYYTATGEEPSEEEIENMISGGGGVQMFEGKGVMDLKNKERHEVVMDIQRSLKRLHQMFLDMVVLIETQGEKMDDIEENVTNAGNFLSGGTNSLYHANQMKKKRKTWFLWVFAVMLIIIFVCIISETAWKGII >Potri.004G213832.1.v4.1 pep chromosome:Pop_tri_v4:4:22046997:22048917:-1 gene:Potri.004G213832.v4.1 transcript:Potri.004G213832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213832.v4.1 MELAVRTLSFASFSKMITLWSDLLFLFFLNDKDLLEVSAGRNQLQVLFLGHLLHLFFRPILEFCTSMICYVLQPICDQYFDLFPSKFLTNIMSFEVMNMLFFS >Potri.003G188933.1.v4.1 pep chromosome:Pop_tri_v4:3:19308166:19308564:1 gene:Potri.003G188933.v4.1 transcript:Potri.003G188933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188933.v4.1 MDLPFKGTGLFCTIHCSPHHFSFVIFMETTAISSNGERELKDEIQGLVASFPSEKNWDGSPLYFCKGVWHPVFAIRGALSFQQHFIAHDTDIILASMPKSGTTWLKALTFSVVNRNIYSPKESPLLPTYPTS >Potri.006G064100.2.v4.1 pep chromosome:Pop_tri_v4:6:4582444:4582596:-1 gene:Potri.006G064100.v4.1 transcript:Potri.006G064100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064100.v4.1 MASFIFQNGWEDFVDLYSLKKRDLLVFEYKGNSKYSVFVCKEMDCPAGAW >Potri.004G049100.1.v4.1 pep chromosome:Pop_tri_v4:4:3974508:3978602:1 gene:Potri.004G049100.v4.1 transcript:Potri.004G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G049100.v4.1 MLLFLLLSFVFFPSPSLSLNQEGLYLQQIKLSLSDPDSALSSWSGRDTTPCSWFGIQCDPTTNSVTSIDLSNTNIAGPFPSLLCRLQNLTFLSVFNNYINATLPSDISTCRNLQHLDLSQNLLTGTLPHTLADLPNLRYLDLTGNNFSGDIPDTFARFQKLEVISLVYNLFDGIIPPFLGNISTLKVLNLSYNPFTPGRIPPELGNLTNLEILWLTACNLIGEIPDSLSRLKKLTDLDLAFNSLVGSIPSSLTELTSIVQIELYNNSLTGELPRGMGKLTDLKRLDASMNQLTGSIPDELCRLPLESLNLYENGFTGSLPPSIADSPNLYELRLFRNGLTGELPQNLGKNSALIWLDVSNNHFSGQIPASLCENGELEEILMIYNSFSGQIPESLSQCWSLTRVRLGYNRLSGEVPTGLWGLPHVSLFDLVNNSLSGPISKTIAGAANLSMLIIDRNNFDGNLPEEIGFLANLSEFSGSENRFSGSLPGSIVNLKELGSLDLHGNALSGELPDGVNSWKKMNELNLANNALSGKIPDGIGGMSVLNYLDLSNNRFSGKIPIGLQNLKLNQLNLSNNRLSGEIPPLFAKEMYKSSFIGNPGLCGDIEGLCDGRGGGRGRGYAWLMRSIFVLAVLVLIVGVVWFYFKYRNFKKARAVEKSKWTLISFHKLGFSEYEILDCLDEDNVIGSGLSGKVYKVVLSNGEAVAVKKIWGGVKKQSDDVDVEKGQAIQDDGFDAEVATLGKIRHKNIVKLWCCCTNKDYKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIVVDAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDFGARVADFGVAKVVDSTGKPKSMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKRPVDPEYGEKDLVKWVCTTLDQKGVDHVIDPKLDSCFKEEICKVLNIGILCTSPLPINRPSMRRVVKMLQEIGAENLSKIAKKDGKLTPYYYEDTSDHGSVA >Potri.006G009000.1.v4.1 pep chromosome:Pop_tri_v4:6:613483:615283:1 gene:Potri.006G009000.v4.1 transcript:Potri.006G009000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009000.v4.1 MAVVKKMLMLLVLVSVSLGVGAQVHHIVGGERGWDPYADLGLWSSARTFRVGDKIWFTHSAAQGKIAEVETKEEYLTCDVSNPIRMYTDDIDSISLDGEGIRYFTSSNSGKCKSGLKLHVEVVPEGKTDTTTATPQVVTSESSDKAVAAPPEISGSAHIGASLALLVAGFWLCYMGV >Potri.010G049400.4.v4.1 pep chromosome:Pop_tri_v4:10:8098747:8104134:-1 gene:Potri.010G049400.v4.1 transcript:Potri.010G049400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049400.v4.1 MANTVDDDADAVLSDVEGEDPVEIVIKSPSQEDISVEKFRELLDRERAAREAAETSKSELQVSFNRLKALAHEALKKRDECSRQRDEALREKEEALKANEKLSNELIQVNGSKEEIEKKFDDLQSQIENSRHMLVSGIDKISGKFSNFKNFAAAGLPRSQKYNGLQAVAFGVIKRTNEIVEELVRQIDVTAKSRNDAREQIEQRNYEIAIEVSQLEATISGLRDEVAKKTTLVEDLEKSVVEKEGKVSEIEREMLERKHLVEKEASGLRDLVGEYDDKLRNLESKMESHRLLLFDQLNLVAKIHNRLYDVIKIVDSNHLDSEVSESLFLPQQTEVEENIRASLAGMESIYEVSRIVAEKTRDLVEEKNHEEKNLNETVGILVKEKEHIGSLLRSALSKRIELHPSSKTSELFQVAENGLREAGIDFKFSKVVGDGKVSYDKGGLPDTESDEIYTLAGALENIVKASQLEIIELQHSVEELRAESSLLQEDVEVQAKELSNRMRRVEELEEKERVANESVEGLMMDIAAAEEEITRWKVAAEQEAAAGRAVEQEFAAQLSAVKQELEEARQAMLESEKKLKFKEETATAAMAAREAAEKSLSLADMRASRLRDRIEELSHQLEELETREDSTGRNRPRYVCWPWQWLGLDFVGHHRPETQQQGSNEMELSEPFL >Potri.010G049400.5.v4.1 pep chromosome:Pop_tri_v4:10:8100615:8104149:-1 gene:Potri.010G049400.v4.1 transcript:Potri.010G049400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049400.v4.1 MANTVDDDADAVLSDVEGEDPVEIVIKSPSQEDISVEKFRELLDRERAAREAAETSKSELQVSFNRLKALAHEALKKRDECSRQRDEALREKEEALKANEKLSNELIQVNGSKEEIEKKFDDLQSQIENSRHMLVSGIDKISGKFSNFKNFAAAGLPRSQKYNGLQAVAFGVIKRTNEIVEELVRQIDVTAKSRNDAREQIEQRNYEIAIEVSQLEATISGLRDEVAKKTTLVEDLEKSVVEKEGKVSEIEREMLERKHLVEKEASGLRDLVGEYDDKLRNLESKMESHRLLLFDQLNLVAKIHNRLYDVIKIVDSNHLDSEVSESLFLPQQTEVEENIRASLAGMESIYEVSRIVAEKTRDLVEEKNHEEKNLNETVGILVKEKEHIGSLLRSALSKRIELHPSSKTSELFQVAENGLREAGIDFKFSKVVGDGKVSYDKGGLPDTESDEIYTLAGALENIVKASQLEIIELQHSVEELRAESSLLQEDVEVQAKELSNRMRRVEELEEKERVANESVEGLMMDIAAAEEEITRWKVAAEQEAAAGRAVEQEFAAQLSAVKQELEEARQAMLESEKKLKFKEETATAAMAAREAAEKSLSLADMRASRLRDRIEELSHQLEELETREDSTGRNRPRYVCWPWQWLGLDFVGHHRPETQQQGSNEMELSEPFL >Potri.006G034450.1.v4.1 pep chromosome:Pop_tri_v4:6:2162092:2162595:1 gene:Potri.006G034450.v4.1 transcript:Potri.006G034450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034450.v4.1 MTAKNYVQQDQLNTPNSTHAIFTFIMPVLLCFLELMYQGKDYSPFDTHPITMWIGLTCVIAYCVVYGVEISCAKYFKSPVYASAVRSGAMLFGSLSVASLASILFPDCMQPILYLLCTLFSIWELLYSTIWNTMEMESAQHRSVRHTSADARWPVWNSSDQRYRLPL >Potri.001G188800.2.v4.1 pep chromosome:Pop_tri_v4:1:16923999:16932494:-1 gene:Potri.001G188800.v4.1 transcript:Potri.001G188800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G188800.v4.1 MMAMSCKDGKNPINMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTTLASKDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCSGVGARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPGRDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPPVQHFVRAEMLPSGYLVRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEASQSSVTNWGRRPAALRALSQRLSRGFNEALNGFSDEGWSMIGNDGMDDVTILVNSSPDKLMGLNLSFSNGFPAVSSAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYAAAAVKVGPCSLQGSRVGNFGGQVILPLAHTVEHEEFLEVIKLEGVCHSPEDAIMPRDVFLLQLCCGMDENAVGTCAELIFAPIDATFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEVGAGNRASSDFSANSGCTRSVMTIAFEFAFESHMQEHVASMARQYIRSIISSVQRVALALSPSHQGSQAGLRSPLGTPEAQTLARWICQSYRNYLGVELLKSSSEGSESILKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKTLCSEFPQIMQQGFTCLQGGICLSSMGRPVSYERAVSWKVLNEEENAHCICFMFINWSFV >Potri.001G188800.5.v4.1 pep chromosome:Pop_tri_v4:1:16923976:16932495:-1 gene:Potri.001G188800.v4.1 transcript:Potri.001G188800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G188800.v4.1 MMAMSCKDGKNPINMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENGYFRQHTQNTTLASKDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCSGVGARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPGRDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPPVQHFVRAEMLPSGYLVRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMAALRQLRQIAQEASQSSVTNWGRRPAALRALSQRLSRGFNEALNGFSDEGWSMIGNDGMDDVTILVNSSPDKLMGLNLSFSNGFPAVSSAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYAAAAVKVGPCSLQGSRVGNFGGQVILPLAHTVEHEEFLEVIKLEGVCHSPEDAIMPRDVFLLQLCCGMDENAVGTCAELIFAPIDATFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLASALEVGAGNRASSDFSANSGCTRSVMTIAFEFAFESHMQEHVASMARQYIRSIISSVQRVALALSPSHQGSQAGLRSPLGTPEAQTLARWICQSYRNYLGVELLKSSSEGSESILKTLWHHSDAIMCCSLKALPVFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKTLCSEFPQIMQQGFTCLQGGICLSSMGRPVSYERAVSWKVLNEEENAHCICFMFINWSFV >Potri.003G114900.1.v4.1 pep chromosome:Pop_tri_v4:3:13679203:13685701:1 gene:Potri.003G114900.v4.1 transcript:Potri.003G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114900.v4.1 MKGVFSAPGDYIHFKSQVPLHKIPIGTKQWRYYDFGPKAVPPLICLPGIAGTADVYYKQIMSLSMKGYRVISVDIPRVWNHHEWIQAFEKFLDVIDVHHIHLYGTSLGGFLAQLFAQHRPRRVRSLILSNTFLETRSFASAMPWAPVVSWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSRDDLASRLTLNVDAASVGSLLLSDSCITIMDTNDYCATPQQLKDQLSDRYPEARCAHLKSGGDFPFLSRPDEVNLHLQLHLRRVGLEAQPDMVRGIPKDGAGGSHGEEKDGKEDPDDEPKDDEGSSEGQSKENQLCPAPESSESHTSHDQPLSNAQLCLIAPGDTIHLCFLRKQHVIAIEIFFQYTLETFLRLASLSREVDVHHFIFWAKTQTNGVK >Potri.001G231300.1.v4.1 pep chromosome:Pop_tri_v4:1:25059566:25059955:-1 gene:Potri.001G231300.v4.1 transcript:Potri.001G231300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G231300.v4.1 MGNSYSSSSYNSHPPLPIHLCFFLLILLMFIGLTWYIKYEPVLEGMFDQGKLILMASPLLLLLLVHWFSNDDHQYGRRLSYYLPFPEKDSLHRAGGTPWGVGFLLVFLFFLISYHSYFQERWFPLLSRS >Potri.017G108800.3.v4.1 pep chromosome:Pop_tri_v4:17:11717707:11720191:-1 gene:Potri.017G108800.v4.1 transcript:Potri.017G108800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108800.v4.1 MALPVSAIGFEGYEKRLEISFLEPGFFSDPEGKGLRSLSKAQLDEILRPAECTIVDSLSNDQVDSYVLSESSLFVYPYKVIIKTCGTTKLLLSIPVILKLADALSLTVCSVRYTRGSFLCPGAQPFPHRNFCEEVAVLDDHFSKLGLNSVAYVMGGLDKTQKWHVYSASADIESHSGPVYTLEMCMTGLGRKQASVFYKTHSSSAAAMTEDSGIRKILPQSEICDFDFDPCGYSMNAIEGSAISTIHVTPEDGFSYASFEAVGYDLQDLNLSRLLERVLACFEPTMFSVALHSNIKGAELRAKFPLDVEGYSGGGGNYEMLGKGGSIIYHSFARTGGSASPRSILKCCWSEDEKDEEAEEK >Potri.017G108800.2.v4.1 pep chromosome:Pop_tri_v4:17:11717648:11720191:-1 gene:Potri.017G108800.v4.1 transcript:Potri.017G108800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108800.v4.1 MALPVSAIGFEGYEKRLEISFLEPGFFSDPEGKGLRSLSKAQLDEILRPAECTIVDSLSNDQVDSYVLSESSLFVYPYKVIIKTCGTTKLLLSIPVILKLADALSLTVCSVRYTRGSFLCPGAQPFPHRNFCEEVAVLDDHFSKLGLNSVAYVMGGLDKTQKWHVYSASADIESHSGPVYTLEMCMTGLGRKQASVFYKTHSSSAAAMTEDSGIRKILPQSEICDFDFDPCGYSMNAIEGSAISTIHVTPEDGFSYASFEAVGYDLQDLNLSRLLERVLACFEPTMFSVALHSNIKGAELRAKFPLDVEGYSGGGGNYEMLGKGGSIIYHSFARTGGSASPRSILKCCWSEDEKDEEAEEK >Potri.007G063600.1.v4.1 pep chromosome:Pop_tri_v4:7:7877395:7885560:1 gene:Potri.007G063600.v4.1 transcript:Potri.007G063600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063600.v4.1 MATLTNSNTITITAADHSPRHTIAADSISNSNQVGSPQSQRVSGKQVSPPWTRIVRGVESESSSNASTEAEQAAAVFVAEKESVESENNVSKRPVWNKPSTASNGLVEIGSVMGADSWPDLSESAARVSSLTKSSADSLKGLLFDGSSSSVSVSQGTETASSSSQKQVNNTANPNSTPNNAVPACQKSMKCNGVNTASNGGTHSPGSQSAAGEGHLNNSSSRDHAQKSSQSRGSNDHPQQQRTSFRNRNGGLHSRGDGSHHHSYGGRRNDLDLANHDWNAHRNFSRDGHMQPLPRVAPRHMRHPPPPPPSPATSPFIAPPPVRPFGLVGFPDMGLPLYYVSPHPGSMRGVPIIAAPVPSHTVLFPSDPQLHIRILHQIDYYFSNENLVKDIYLRRNMDDQGWVPIKLIASFNKVSLLTDNIHVILDAIRTSSVVEVQGEKVRKRNDWMRWIMTTPVQFPNVSSPQYGEKSGHDMLAAHVQGISSQEMTTGHIKARSQVDIHSEAFLGRSLSGDLNSQSQLSSSKGIDEIRFHGGLNLPSSARN >Potri.008G201500.1.v4.1 pep chromosome:Pop_tri_v4:8:14340525:14345388:1 gene:Potri.008G201500.v4.1 transcript:Potri.008G201500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G201500.v4.1 MGSLRNGTESERIREEDKQEPILKEQNQRFCMFPIRYKELWEMYKKAEASFWTAEEVDLSRDMQQWEALSDSEKHFISHVLAFFAASDGIVLENLAARFLYDVQIPEARAFYGFQIAMENIHSEMYSLLLETYIKDSREKHRLFNAIENIPCVAEKAKWALDWIQSSMVFAERLVAFACIEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLQRQLHWQKVYHIVGEAVEIETKFVCEALPCALIGMNATLMGDYIKFVADRLLVALGYQKKYNVENPFDWMEFISLQGKANFFERRVGDYQKASVMSSLQDGGKNYVFKMDEDF >Potri.001G007400.1.v4.1 pep chromosome:Pop_tri_v4:1:517460:519051:-1 gene:Potri.001G007400.v4.1 transcript:Potri.001G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007400.v4.1 MNVIDQCWRMNPNWQRSRQHLATCSVGFAGKMTNNVGKNVLWYKVTDPSDDPVNPKQGTLRYGATMITGKVWITFEKNMDIKLEKPLLISSHTAIDGRGVDVSIEGIGCLVVYKATDVIIHGLKIHHCKAQGPSSVMGPDGKLMPLGQMDGDAIRLVTASKVWIDHNTLYSCQDGLLDVTRGSTFVTVSNNWFRDQDKVMLLGHDDGYLRDKNMKVTVAFNHFGPNCNQRMPRVRHGYAHVANNLYLGWEQYAIGGSMNPSIKSESNHFIAPAQSGKKEVTWRNTEIGAKGKPWNFYSVGDMFTNGASFVQTGRRGTAKPNYNKEQTFKVGSANSVQSMTSSAGALTCSRTLTC >Potri.009G142700.1.v4.1 pep chromosome:Pop_tri_v4:9:11356993:11358058:1 gene:Potri.009G142700.v4.1 transcript:Potri.009G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142700.v4.1 MSTDGKHTELNQYFQPSKLLFYKKEIKMAASPVRQKTSFHARSNSLPSRPHPIISEFDENICRVRDSQATSKSSSSSSIGHKLSSLQYLYDSVDKFLQLPLTQQGLAQQRNQKCVDELLEGSLRLLDTCNSTQDALLQSKEFIRELQSVIRRRQGGVDSEIRKYIASRKVVKKSIKKALRNLKGMENRRTFSNEEYPEIIMLREVESISLAVFESLLSFISEPKSQAKKSGWSLVSKLMNHHRIACEEEETNEIGFSMADSALQSLISCKTDKMMDVQKKLNNLELCIEDLEDGIDGIFRRMIKTRASFLNIFS >Potri.013G106925.3.v4.1 pep chromosome:Pop_tri_v4:13:11592795:11595314:-1 gene:Potri.013G106925.v4.1 transcript:Potri.013G106925.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106925.v4.1 MATETPLLLPYAVDSSVDYKGFSNSGGWKCAFLIIGVEVAERSAYFGISGNLITYLTGPLGQSTVTAAENLNLWSGTAWLLPLLGAFVADSFLGRYRTIIVAASIYILGLSLLTLSAVLPSVRAHDCQSADTIQLCSPDPSLILFFFALYLVAFGQGGFRPCVQAFGADQFDGQDPEERKSRSSFFNWWNFGMNAGLIVILPFLNYIQDNLNWGFGFGIPCVIMAVSLVIFLLGTKTYRYSIRREEEHPFLRIGRVLVKAIKNWRISPAVSFKEDASCIVSRQKSEQFEFLNKALLEINGSEDSWMACTPKEVEEAKAVLRLVPIWTSCLIFATVGSQQGNYLGNILALQCFRELELGYSYLFWPW >Potri.013G106925.2.v4.1 pep chromosome:Pop_tri_v4:13:11592797:11595271:-1 gene:Potri.013G106925.v4.1 transcript:Potri.013G106925.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106925.v4.1 MEFNLNSQGLSLLTLSAVLPSVRAHDCQSADTIQLCSPDPSLILFFFALYLVAFGQGGFRPCVQAFGADQFDGQDPEERKSRSSFFNWWNFGMNAGLIVILPFLNYIQDNLNWGFGFGIPCVIMAVSLVIFLLGTKTYRYSIRREEEHPFLRIGRVLVKAIKNWRISPAVSFKEDASCIVSRQKSEQFEFLNKALLEINGSEDSWMACTPKEVEEAKAVLRLVPIWTSCLIFATVGSQVGTFFTKQARTMNRSISERLEFPAASIQLSIPLAIVVLVPIYDRVFVPVARKLSGEHSGITMLQRIGTGLFLSVLAMVVSALVEMKRLKTAEEHGLVDMPNVTIPMSGWWLIPQLVLLGAADVFTIIGLQEFFYDQVPSELKSVGLALFLSVVGVGHFLSGFLISIIDKTTGKDGDDSWFANNLNRAHLDYFYWILAVLSVVQLVAFLYFSKSYIYKRGSIV >Potri.013G106925.1.v4.1 pep chromosome:Pop_tri_v4:13:11592794:11595326:-1 gene:Potri.013G106925.v4.1 transcript:Potri.013G106925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106925.v4.1 MATETPLLLPYAVDSSVDYKGFSNSGGWKCAFLIIGVEVAERSAYFGISGNLITYLTGPLGQSTVTAAENLNLWSGTAWLLPLLGAFVADSFLGRYRTIIVAASIYILGLSLLTLSAVLPSVRAHDCQSADTIQLCSPDPSLILFFFALYLVAFGQGGFRPCVQAFGADQFDGQDPEERKSRSSFFNWWNFGMNAGLIVILPFLNYIQDNLNWGFGFGIPCVIMAVSLVIFLLGTKTYRYSIRREEEHPFLRIGRVLVKAIKNWRISPAVSFKEDASCIVSRQKSEQFEFLNKALLEINGSEDSWMACTPKEVEEAKAVLRLVPIWTSCLIFATVGSQVGTFFTKQARTMNRSISERLEFPAASIQLSIPLAIVVLVPIYDRVFVPVARKLSGEHSGITMLQRIGTGLFLSVLAMVVSALVEMKRLKTAEEHGLVDMPNVTIPMSGWWLIPQLVLLGAADVFTIIGLQEFFYDQVPSELKSVGLALFLSVVGVGHFLSGFLISIIDKTTGKDGDDSWFANNLNRAHLDYFYWILAVLSVVQLVAFLYFSKSYIYKRGSIV >Potri.012G038000.2.v4.1 pep chromosome:Pop_tri_v4:12:3387812:3389181:-1 gene:Potri.012G038000.v4.1 transcript:Potri.012G038000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038000.v4.1 MGDFSLLQNTPVELPTEGVDERVKMLLEYFKSEEDMGCGDSFAERAEWYYQKRPQLLALLRDLYNGYTALADRCSRMEKGKKLSNHFSYPMLMALDDDQDQEGSGGSSSCQFLDWDAESSMSYQQPTTPFQDGSDTVLGIDEVVVDLVMKNVENDILADQLSEMEKQQQGQESIWRKVELLKKLLEVLESERIVLLNENVRLGYKMQAVLEENKGLSSEAVFLKRKAGELARCVLKMREDHRVSMLTRKIEDLQGQIYGLEMRNKDYYLQLLRKELQGEEKISSRKDDGVAFVGCCFQIEKLKLKKKDGASVSKGGAKRRPKWWEKVKSMDLFMCGFQCSP >Potri.007G067900.1.v4.1 pep chromosome:Pop_tri_v4:7:8824448:8833153:1 gene:Potri.007G067900.v4.1 transcript:Potri.007G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067900.v4.1 MIRHPFLLPFLALSFVCIIGLAAIAQAQNQTQATTDPDEARTLNSIFQQWSISANTNQWNTSGDVCSGAATGASPTIDNKDFNPFIKCDCTFLNGTTCRITALKVYAIDVIGLIPDELWSLKYLTNLNLGQNYLTGNLSPSIGNLTRMQYLTIGINALSGELPKELGQLTDLRVFGFGSNNFNGSLPSALGNLVKLEQIYFDSSGVSGEIPTTFANLQNLATVWASDNELTGRIPDFIGNWSKLTSLRFEGNAFEGPIPSVFSNLTNLTDLRISDLSDGGSSLEFIKNMKSLSILMLRNDNISASIPSYIGEFQSLTQLDLSFNNIEGQIPDSLFNLSSLTYLFLGNNKLNGTLPATKSSRLLNVDVSYNNLAGGFPSWVSETNLELNLVANNFTVVASNLSGLPSGLNCLQRDFPCNRGSPIYSQFGIKCGGPEITSSNRVLFERDNTSLAAASYYVSDTSTFGVSNTGYFSGSNDPQYTTSSSSQFTNTLDSELFQTSRLSASSLRYYGLGLENGNYTITIQFTESVIFQGSTWKSLGRRVFDVYIQGSRVLKDFDIQKAAGGIMNQAVQREFKVQVTENYLDIHFFWAGKGTCCIPAQGTYGPSVSAINAIPDFTPTVSNKLPSEKKKKNRTGLIAGIVVGVGIVGFLLVFAVFFVRRRKGQSNNDFEEFLGIDARPYTFSYGELKTATEDFSSANKLGEGGFGPVFKGKLNDGRVIAVKQLSIASHQGKTQFIAEIATISAVQHRNLVKLYGCCIEGANRLLVYEYLENKSLDQAVFGEQSLNLDWPTRYDICLGVARGLAYLHEESRIRIVHRDVKASNILLDFNLIPKISDFGLAKLYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFAFGVVALEIISGRPNSDTSLETEKIYLLEWAWDLHENNRQVELVDSRLSEFNEEEVNRLIGVALLCTQTAPTLRPSMSRVIAMLSGDIEVNSVTSKPGYLTDWKFDDTSTYMSDDATRASDTSHYNSSTRTSLVNNPKDLSPTATDPILRDTIGQGR >Potri.006G270600.2.v4.1 pep chromosome:Pop_tri_v4:6:26389951:26396667:1 gene:Potri.006G270600.v4.1 transcript:Potri.006G270600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270600.v4.1 MHVGGITVDLNWLLQFIFTVFVIGLGLLHLVKNTASKYFEVGANFEAAESSHTAIDPNIINQAVEAEEDSSICGNCGGFGTKKCSRCKSVRYCSQRCQEADWKAGHKLKCKDFKLNSSQTVRSNFGFKPSGGGSRSFSNAALVPANGVSNSKPIKKPGKVLFPYDEFIKLYNSDKPEFSPCGLLNCGNSCFANVVLQCLTYTRPLVAYLLKKGHQTECRHNDWCFLCEFQSHVERASQSTLPFSPINIISRLPNIGGNLGYGRQEDAHEFMRLAIDTMQSVCLDEFGGEKAVEPASQETTIIQHIFGGRLQSQVICTKCNKISNQFENMMDLTVEIHGDAASLEECLDQFTDKEWLHGENMYKCDRCNDYVKAWKRLTIQRAPNVLTIALKRFQSGRFGKLNKRVTFPEMLDLSPYMSEGGDGTDVYKLYAVVVHVDMLNASFFGHYICYTKDFHGNWHRIDDCKVSSVELDEVLSQGAYMLVYSRVSVRPSCLRTIEPSKEQQSIVKVELDSCTENPVEHLSPIESMDATNSGFPAPENVNSEVGSEHPESGTGNEDHDDMIGVDYCSSLSIPVEVSGLKKDSAAALDSEAVVIEHSLDYTDTVMSESDSAVAKDIQVNGSIYSFSSEEIST >Potri.001G137200.1.v4.1 pep chromosome:Pop_tri_v4:1:11178409:11187839:-1 gene:Potri.001G137200.v4.1 transcript:Potri.001G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137200.v4.1 MEARIAKVLDSSRRVMLGVKRKRASRNAAYFSGASHTVLPEWLTLNSIHKPGKRKKLDGSQSKPWSCGHPSRRSLLRCYSNFTRTGVPQRLMCYQNEEWTDFPKDLVTLVRKDLQGKKAVVEVELEGRRYVIDFLHMLRMDMKTGIQQPIAWIDEAGGCFFPEIYADEDEPHLCCQHDCAKDQGSIFREPPGSHEIKLQLEIDINGGDQSKLECSGESNGLVKHIQIAQKPTSDHHLVEVGDSYNRKTGEKIVESNEENQQIKANLVTGTESFTQMLDSDTVKKIFVTSMNPFGGADIVDISRCSSTLMPVRFELFQKQIELTEKYRGDANVRYAWLASSKGALSTIMLYGLGHCVPCTTKSEHGIGVHLSAANFCHTSANYCDVDENGVRHLVFCRVIMGNMELLQHGSRQFHPSSEDFDSGVDDLENPREYIVWNMNMNTHIYPEFVVSFKFTPNSEGFLVGSESKHSVSGVTTSSNGGQGCLPVESPAVDLNVPVESSAVDLNKSPAADMGSEIQPVSGSGRSLGKSPSLSSSNTRTPKSPWMPFPMLFAVISNKVPSKDMELITNHYELFREKKISRENFVKKLRLIVGDALLKSTITSLQGKLPSECEVPVAKPAAEG >Potri.002G255400.4.v4.1 pep chromosome:Pop_tri_v4:2:24414028:24418108:-1 gene:Potri.002G255400.v4.1 transcript:Potri.002G255400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255400.v4.1 MDSNSVVFDISSDEEPAIEEPKEFDDDCNWLTELLRTVDQEKDDSDEVVIVGEYNPPKPKSKSKSSNQVADIKFVLDDDDDDCVVLGGDPDKPVSAVDDVCRNETDSSDDGDDVLVVAEKGQVACRDYPHPRHLCVEFPFGSTPHERHCHLCHCYVCDSLAPCVHWGTGVSTIDHCHATDKQETWKNQRKIYRTGKDAPVPVSKLPDVTVPMALPLLNHVGSLDIVPHNQLLTHNPMLQNQVSRIHKIRSCSSSTMGIRYRRSRQPGCVLGRNRLLSRSVSQQGLGVRIDVQRDRHPNALGQRFVSSSTMYKGPGLVAHALGTNHPTHVPLNMNYAPASGYARNVPPLATSKENPSSLHYVLPNANFESHTYQSSPQPNMGSVIVNTVPSRSEVGSQPTLQSNDGQSLYQLGNQGENDADSFFSDFDFCQVNNSSQSDQGASIENIIHGTVSNNEPSTVKLLNSQFAEIESAQFHYKDHELVDSIFLNQAVPVVSDGFVPHDLNGFSPERAIDQGAVKKGPICAGLGHVMVYGHSWVKYQSVESQKWSFISIVCCWSPMVVTR >Potri.002G255400.3.v4.1 pep chromosome:Pop_tri_v4:2:24413620:24418191:-1 gene:Potri.002G255400.v4.1 transcript:Potri.002G255400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255400.v4.1 MDSNSVVFDISSDEEPAIEEPKEFDDDCNWLTELLRTVDQEKDDSDEVVIVGEYNPPKPKSKSKSSNQVADIKFVLDDDDDDCVVLGGDPDKPVSAVDDVCRNETDSSDDGDDVLVVAEKGQVACRDYPHPRHLCVEFPFGSTPHERHCHLCHCYVCDSLAPCVHWGTGVSTIDHCHATDKQETWKNQRKIYRTGKDAPVPVSKLPDVTVPMALPLLNHVGSLDIVPHNQLLTHNPMLQNQVSRIHKIRSCSSSTMGIRYRRSRQPGCVLGRNRLLSRSVSQQGLGVRIDVQRDRHPNALGQRFVSSSTMYKGPGLVAHALGTNHPTHVPLNMNYAPASGYARNVPPLATSKENPSSLHYVLPNANFESHTYQSSPQPNMGSVIVNTVPSRSEVGSQPTLQSNDGQSLYQLGNQGENDADSFFSDFDFCQVNNSSQSDQGASIENIIHGTVSNNEPSTVKLLNSQFAEIESAQFHYKDHELVDSIFLNQAVPVVSDGFVPHDLNGFSPERAIDQGMPYFDENFWSDPTHV >Potri.002G255400.9.v4.1 pep chromosome:Pop_tri_v4:2:24414691:24418131:-1 gene:Potri.002G255400.v4.1 transcript:Potri.002G255400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255400.v4.1 MALPLLNHVGSLDIVPHNQLLTHNPMLQNQVSRIHKIRSCSSSTMGIRYRRSRQPGCVLGRNRLLSRSVSQQGLGVRIDVQRDRHPNALGQRFVSSSTMYKGPGLVAHALGTNHPTHVPLNMNYAPASGYARNVPPLATSKENPSSLHYVLPNANFESHTYQSSPQPNMGSVIVNTVPSRSEVGSQPTLQSNDGQSLYQLGNQGENDADSFFSDFDFCQVNNSSQSDQGASIENIIHGTVSNNEPSTVKLLNSQFAEIESAQFHYKDHELVDSIFLNQAVPVVSDGFVPHDLNGFSPERAIDQGMPYFDENFWSDPTHV >Potri.012G141400.1.v4.1 pep chromosome:Pop_tri_v4:12:15322241:15323678:1 gene:Potri.012G141400.v4.1 transcript:Potri.012G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141400.v4.1 MNSVALFGTRVVHKLSCFLFISRKLCDCSFDGGDKNDNGFQYVEEPLKRIRDYFESTRIDAYRVLDILRRDGPGFDAKRALSELKIRVSWLLVREVLTGFLRNINEENKTRCAKLGFSCKTRYLQCEEFRAMWRLADEMIEKGFHTTAQTFNILICTCGKAGLAMNVLDRFIKSKTFNFRLYKSSYNAILHSLLYMLDEHHSPDVLTSNVIFYAKLRLGKAPEFHRLLDEIIGYGIFPDFHTYNILLHEAGIDPTILHYTTLIDGLSWAGNLLGWQYFFDEMIKTGCMPDVVCYTVMITGYIMAGELEKAQEMFEDMMVNGQSPIGCNPDFHVYRTLVRNLWNAGKLSEAHEVIKQMIEKGKYSHLVAKIKGYKGC >Potri.001G442800.1.v4.1 pep chromosome:Pop_tri_v4:1:46758397:46762415:1 gene:Potri.001G442800.v4.1 transcript:Potri.001G442800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442800.v4.1 MTQRMEKERNKTGSRNPKAISQSNSNLLLIFFLLVHWVPLISGKEVKEEVVGYGYKVGSVNSGFTGKSLTADLSLIKESSVYGDDIQHLSLVASFETKNRLRVRITDSKNQRWEIPEDIVPREGHSPENYLHYSPLKHRVLLENNLLSDPNSDLLFTLHNTTPFGFTITRKSSGDVLFDTSPDTSNPDTFLVFKDQYIQLSSRLPIKRSSLYGLGEHTKSTFKLKPKDAFTLWNADLGSANIDVNLYGSHPFYIDVRSASADDKVKAGTTHGVLLFNSNGMDIVYGGDRITYKVIGGIIDLYFFAGPLPDMVIEQYTELIGRPAPMPYWSFGFHQCRYGYKNISDVEGVVAGYAKAGIPLEVMWTDIDYMDAYKDFTFHPTNFPLEKMKKFVNTLHQNGQQYVLILDPGISVNSSYETYIRGMQADIFIKRNGIPYLGEVWPGKVYFPDFVNPAGLEFWGNEIKMFRELLPVDGLWIDMNEISNFIDPTPTPSSTLDNPPYMINNAGVRRPINNKTIPATSLHFDIMTEYNVHNLYGLLESKATNAGLINSTGKRPFVLSRSTFVGSGRYTAHWTGDDAATWDDLAYTIPSILNFGLFGIPMVGADICGFSGNTTEELCRRWIQLGAFYPFARDHSSIDTTRQELYLWDSVAATARKVLGLRYQLLPYFYTLMYEAHTKGTPIARPLFFSFPRDTKTYEVNSQFLIGKGVMVSPVLKSGATSVDAYFPAGNWFDLFNYSNSVSVSSGKYINLAAPADHINVHVHEGNILALQQEAMTTKEARKTAFHLLVVLSSTGNSTGESFLDDGESVDMGGVGKNWSLVKFSGGIVGNRVVVGSNVINGEFAVSQKWIIEKVTFLGLEKTKGQFDVLEISGLSQPLGQEFNLEKTF >Potri.001G359400.1.v4.1 pep chromosome:Pop_tri_v4:1:37582973:37589159:1 gene:Potri.001G359400.v4.1 transcript:Potri.001G359400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359400.v4.1 MSSSIVAKEAIEVIAQGIGITNLSPDVSLTLAPDVEYRLREIIQEAIKCMRHSRRTALTAHDVDTALILRNVEPIYGFGSGGDKVPLRFKRAAAAGHKDLYYIDDKDVNFKHVIEAPPPKPPLDTSLTSHWLAIEGVQPAIPENVPIEALGVISDGKKSDYKDDGLSIDVKLPVKDILSRELQLYFEKVTELTARRSESAIFKQALVSLATDSGLHPLVPYFIQFIADEVSRNLNNFSLLLAVMRIARSLLQNPYIHIEPYLHQLMPSIITCLVAKRLGNRFSDNHWELRNFTANLVASICKRFGHAYHNLQPRIIRTLVHAFLDPTKSLPQHYGSIQGLAALGPSVVRLLILPNLEPYLLLLEQEMLLEKQKNEIKRHEAWQVYGALTRAAGLCMYDRLKMLPGLFIPPSRAIWKSNGRVMTAMPNKRKASTDNLMQQPLLKKIATDSAIGAMPMNSMPVEMQGAASGFPTAVGASSVSVSAISRQLSNENVPRREISGRGLKTSTVLAQAWKEDMDAGHLLASLFELFSESMFSFTPKPELSFFL >Potri.004G186200.1.v4.1 pep chromosome:Pop_tri_v4:4:19959936:19963640:-1 gene:Potri.004G186200.v4.1 transcript:Potri.004G186200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186200.v4.1 MEPPPWLDDLADDLQSISFTSTTTTTTTLHRSTSSGSSSASLTPAPSTHTSFSSKSTSKHSLSLSDLRFSLRLGSGDIGSVYLVELKAKPNEKESPVFAAKIMDKKELVSRSKEGRARTEREILETLDHPFLPTLYACIESQRWLCLLTEFCPGGDLHVLRQRQPLKRFEETAVRFFASEVIVALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSTSTPQIISDKNHAAAAPKNDYLVEHPRYTSSSCIIPNCIVPAVSCFHPRRKRKKKMGNRGGPEFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSPVDWWTLGIFMFELFYGVTPFRGVDHELTLANIVARALEFPKEPVVPATAKDLISQLLVKDPARRMGSTMGASAAKHHPFFQGVNWALLRCTPPPYVPPPFNTEVVSDESCPETPVEYY >Potri.017G027700.1.v4.1 pep chromosome:Pop_tri_v4:17:1811842:1815498:1 gene:Potri.017G027700.v4.1 transcript:Potri.017G027700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027700.v4.1 MASLGDGEHQWLERVKSEGAVPLLDPDNCSNGWASPPGDYFMVRGPEYLTTKTKIPGGEYLFKPLGFDWIKGSNKIAEVLKNQKNRVRKVIDEEFPDGDKPFVWAFNLQLPGKDNYSAVAYFVATEPFPEGSLVDQFLNGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAVCIIGRALSSKYCVAENFFEVDVDIGSSMVASAIVHLAFGYISMLTVDLAFLIEAQSESELPERLLGAVRFSDLNPACASLYELSYGSTDNLQSSLPTRLWKSIGQGFSQLLHPVPGAQENSSASGTTHGNETSELKEGSEDTKK >Potri.017G027700.2.v4.1 pep chromosome:Pop_tri_v4:17:1811770:1815329:1 gene:Potri.017G027700.v4.1 transcript:Potri.017G027700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027700.v4.1 MASLGDGEHQWLERVKSEGAVPLLDPDNCSNGWASPPGDYFMVRGPEYLTTKTKIPGGEYLFKPLGFDWIKGSNKIAEVLKNQKNRVRKVIDEEFPDGDKPFVWAFNLQLPGKDNYSAVAYFVATEPFPEGSLVDQFLNGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAVCIIGRALSSKYCVAENFFEVDVDIGSSMVASAIVHLAFGYISMLTVDLAFLIEAQSESELPERLLGAVRFSDLNPACASLYELSYGSTDNLQSSLPTRLWKSIGQGFSQLLHPVPGAQENSSASGTTHGNETSELKEGSEDTKKW >Potri.002G052100.2.v4.1 pep chromosome:Pop_tri_v4:2:3498168:3506557:-1 gene:Potri.002G052100.v4.1 transcript:Potri.002G052100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052100.v4.1 MSSQYYSSNHLFSTVVPSTPRSSFSSSNNIIHPLPPLSFPSGVWLYGARGKQDNFHAHSRCSAISKPRTQGYADLFHQQNGLPLINWPHDVVEDDTEEDAAKVSVAKEIDEHVKTIKAMLEMMEDGEISISAYDTAWVALVEDINGSGLPQFPSSLQWIANNQLPDGSWGDAEIFLAHDRLINTLACVVALKSWNLHQEKCEKGMLFFRDNLCKLEDENAEHMPIGFEVAFPSLLEIAKKLDIEVPYDSPVLQEIYASRNLKLTRIPKDIMHNVPTTLLHSLEGMPGLEWKRLLKLQSQDGSFLFSPSSTAFALSQTKDKNCMEYLNKAVQRFEGGVPNVYPVDLFEHIWAVDRLQRLGISRYFESQIDECVNYIHRYWTEDGICWARNSEVHDIDDTAMGFRVLRLNGHHVSADVFKHFEKGGEFFCFAGQSTAAVTGMFNLYRASQLLFPGEKILEKAKEFSFKFLREKQAANELLDKWLITKDLPGEVGFALEIPWHASLPRVESRFYIEQYGGEDDVWIGKTLYRMPYVNNNEYLQLARLDYNNCQALHRIEWANFQKWYEECNLRDFGISRKTLLYSYFLAAASVFEPERSNERLAWAKTTILLEMIHSYFHEDDDNSGAQRRTFVHEFSTGISINGRRSGTKKTRKELVKMLLGTLNQLSFGALEVHGRDISHSLRHAWERWLISWELEGDRRRGEAELLVQTIHLTAGYKVSEELLVYHPQYEQLADLTNRICYQLGHYQKNKVHDNGSYSTITGSTDRITTPQIESDMQELMQLVIQKTSDGIDPKIKQTFLQVAKSFYYTAFCDPGTINYHIAKVLFETVA >Potri.001G125501.1.v4.1 pep chromosome:Pop_tri_v4:1:10306239:10311465:-1 gene:Potri.001G125501.v4.1 transcript:Potri.001G125501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125501.v4.1 MHQSVPSGYSKASSVTVANIESETILGCCYGALESVYCCTHASSECTPDHCRRSISRNPACGYLGGGSRKHLNNLVFFVLSPALVGSNLAKYITLRSLPELWLDGSDRIHFESKLFLQFVIAIQDFLPDILPMPMRLSTSLACHPSMQTSKFLHILPTLFCPALLFSPSKTCRPSHRREEADILFPGNLDKMLLVIVLAVCKEKGSPFGVSDVCTGNGMAYVSLSMAIGSLYIWSYVYNIVRIYSSKDSDEAKPDVLPEGTESAGEKNESAKCRTGPPLPSRSLTWRNFWRLFAPAIIGAIVGLMIGIIPPFRKVLIGDRAPLHAVEDSADMVGKAAIPIITLILGANLLKGLKGSKVPLLVIIGIVAIRYIILPILGVVIIKYAIHFRLVRSDPLYQFVLLLQFALPPANSVATMSQLFGVGQTECSVIMLWTNALATVSLTVWSTFFIWFVR >Potri.004G072500.1.v4.1 pep chromosome:Pop_tri_v4:4:6084523:6086286:-1 gene:Potri.004G072500.v4.1 transcript:Potri.004G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072500.v4.1 MASTPNTANNTATAIITSPLFLTNESLHSILAHNSLIQHFHTSLPTTSSTLHTPIRQSYDLSQSSSLLLMPSWSSTPSLPYIGVKLVTYFPQNSALNLPGIHASYVLFSSTTGQTLASMDGTVLTLYRTSCVSGLASKILARNDSKVLVMVGAGALAPHLIKAHLAARPSLQKVIIWNRTVKKASDLAEKLKKECIGNDGVCFESNGNLEEIIGLGDIVSCATNADAPLVKGEKLKQGAHLDMVGSFKETMRECDDEAIRRGRVFVDNEAALVEAGELVGAFERGVTKKEDVGFLVELIKGEQVGRKNSEEITVFKSVGSAVVDLLAAQLVYESCIKDK >Potri.017G001050.1.v4.1 pep chromosome:Pop_tri_v4:17:69052:69950:-1 gene:Potri.017G001050.v4.1 transcript:Potri.017G001050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001050.v4.1 MPHKDTVTLDTVITAYVDSGNLRAAWDFLKSMKRCGFQADGYTFVSIFKGVARASRYDLGQKVHSLIVKIGYERNVYAGSALLDMYAECERVEDAYDVFRGMPTRNFISWNALLDGFVQVGDRDTAFWLLDWWRRNVLWLKMAHLLHF >Potri.001G317400.3.v4.1 pep chromosome:Pop_tri_v4:1:32754560:32759010:-1 gene:Potri.001G317400.v4.1 transcript:Potri.001G317400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317400.v4.1 MAEAISSFWGPVTSAEWCEKNYVYSSYIAEFFNTVSIIPGILLALIGLINALRQRFEKRFSVLHISNMILAIGSMLYHATLQRMQQQGDETPMVWEMLLYFYILYSPDWHYRSVMPTFLFLYGAAFAIFHALVRFEIGFKVHYVILCLLCVPRMYKYYIYTKDASAKRLAKLYLATITTGSLCWLFDRLFCNNISQWYFNPQGHALWHVLMGFNSYFANTFLMFWRAQQLGWNPKVAHFMGFFPYVKIQKPKTQ >Potri.001G317400.4.v4.1 pep chromosome:Pop_tri_v4:1:32754989:32757221:-1 gene:Potri.001G317400.v4.1 transcript:Potri.001G317400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317400.v4.1 MAEAISSFWGPVTSAEWCEKNYVYSSYIAEFFNTVSIIPGILLALIGLINALRQRFEKRFSVLHISNMILAIGSMLYHATLQRMQQQGDETPMVWEMLLYFYILYSPDWHYRSVMPTFLFLYGAAFAIFHALVRFEIGFKVHYVILCLLCVPRMYKYYIYTKDASAKRLAKLYLATITTGSLCWLFDRLFCNNISQWYFNPQGHALWHVLMGFNSYFANTFLMFWRAQQLGWNPKVAHFMGFFPYVKIQKPKTQ >Potri.008G095600.1.v4.1 pep chromosome:Pop_tri_v4:8:5960962:5963854:1 gene:Potri.008G095600.v4.1 transcript:Potri.008G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095600.v4.1 MYLVESKGGAIVCMLFSLFFLGTWPAIMTLLERRGRLPQHTYLDYSITNLLAAVIIALTFGEIGSGRPNFTTQLSQDNWPSIMFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITSSITVVIGTTLNYFLDDKINKAEILFPGVGCFLIAVCLGSAVHSSNAADNRAKLKGLTSDNKNVTETVGSSAYSNEASQNKGVKDMENGSSTPERAKAGTADFLIELENRRSIKVFGRSTLIGLSITFFAGFCFSLFSPAFNLATNDQWHTLKKGVPKLVVYTAFFWFSVSCFVLAIILNVTFLYRPVLNLPRSSLKAYVNDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRRTYILLVNMLFMFIAAVGILMASSGHRK >Potri.015G047700.1.v4.1 pep chromosome:Pop_tri_v4:15:4809887:4810596:-1 gene:Potri.015G047700.v4.1 transcript:Potri.015G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047700.v4.1 MEPHEIKKFHGLPQANLVHNLFTFPNPIQKQYPSAASLKMQPIVESTNHTPTQANISENPESSSSLFDKFLTNSLIHVPLHIPSPNTSSVSTDSTLAEPRDQQLGISNEKKLRRMISNRESARRSRMRRKKQIEDLQYRVNQLQNMNHQLSEKVIHLLESNHQTLQENSQLKEKVSSLQVVLSDLLTPLRNVDDGDCNSIHLKGETSNR >Potri.018G096021.1.v4.1 pep chromosome:Pop_tri_v4:18:11615126:11619150:1 gene:Potri.018G096021.v4.1 transcript:Potri.018G096021.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096021.v4.1 MMNQATPHLGAESSLINNKSSNRRRQPEMGTALTFEWKASIRMSQYMGRANKALVSKQRHPVMMEHRRGGTYISHQDCSNQVFLPGKSHSIFGRQQAPPQGNPYFLSSPATHQGGILPDFARFFFFLTAVGRGPRLPNLTSFSHRNYPPLPPALIFFPLSRLVTAAPRHFLLPQPHTRQKPERAGAAGSLLLPAHKGRSPPPLHFQTPFSFIFSKPPLLWKTKPTPFFPSQEQNLLPLSHGLSPSPRPQLHFSFPATHTNTPHTQPIFPSVFSQTREPATADLPPSSPHKQPPLPLFSSPKPFPRFVSFAPKASRPAPPLAVVLPRGQATPPPTDTGDQTQLQPPSLSRQPHLKPLPQHQCSLFSLLSRSAAVLSLSATENTAFQPTADRSSLFHRPQATVSLISTDATKLPQAAAEQQQPATSSSPSTSDADRSTASPLHRSSNSQSKATPTDSDLFAFIPSNQ >Potri.014G135510.1.v4.1 pep chromosome:Pop_tri_v4:14:9105065:9107808:-1 gene:Potri.014G135510.v4.1 transcript:Potri.014G135510.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135510.v4.1 MFKIGFSRRASISRSVSLAQVFNKGFSSQPGSKLEGKVALITGAASGIGKATAAKFINQGARVVIADIQHQLGQETANELGPDATFISCDVTKESDVSGAVDFAISKYNQLDIMYNNAGVACKSPHSIVDLDLAVFDRIMNINVRGVMAGVKHASRVMIPRRSGAILCTASITGLMGGLAQHTYSVSKFAVAGIVKSLAAELCKHGIRINCISPFAIPTPFVMEEMSQIYPGADDEKLVEILHSTGTLEGANCEPNDIANAALYLASDDAKYVSGHNLVVDGGFTSFKNPMLPASS >Potri.014G135510.2.v4.1 pep chromosome:Pop_tri_v4:14:9105001:9107850:-1 gene:Potri.014G135510.v4.1 transcript:Potri.014G135510.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135510.v4.1 MFKIGFRRASISRSVSLAQVFNKGFSSQPGSKLEGKVALITGAASGIGKATAAKFINQGARVVIADIQHQLGQETANELGPDATFISCDVTKESDVSGAVDFAISKYNQLDIMYNNAGVACKSPHSIVDLDLAVFDRIMNINVRGVMAGVKHASRVMIPRRSGAILCTASITGLMGGLAQHTYSVSKFAVAGIVKSLAAELCKHGIRINCISPFAIPTPFVMEEMSQIYPGADDEKLVEILHSTGTLEGANCEPNDIANAALYLASDDAKYVSGHNLVVDGGFTSFKNPMLPASS >Potri.011G021400.2.v4.1 pep chromosome:Pop_tri_v4:11:1619663:1623383:-1 gene:Potri.011G021400.v4.1 transcript:Potri.011G021400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021400.v4.1 MQHLSPTGKMRDFHHHLLLFLYLFLLSLYQASIASSLSTAPKAAHHRCRDDQRSAFAQLQENLKFPLSSSKAELWDLKTDCCSWEGVTCNDVGRATRLDLISAYDEYGDSISLKKPNLGMLFQNLSFLVELNLDYVNISAQGSNWCEVISHVLPNLRVLSLSSSGISGPLCSSLSKLHFLSELHLDSNSELSSIPPSFLANSSNLETLDLSNCGLNGSFPNNIFLLPKLQHIDLSENLLLSGQFPEFSLNSSIQSLLLKNTNFSGNIPLSISNLKSLKELDLGMCKFYGVIPPSLANLTQLETLDLSFNSFNGSIPPFQRDGVANLSFLFLEHNQLNGILYSSLFTLPSLQQLDLSSNQLSGKLDEFSDASSSLLTIELSNNNLSGSIPRSIFNLPSLIELDLQNNKFSGPLKLGDFKNQRDLVYLALSDVSVESDNSSLAYVQLATLYLPSCNLTEFPNFLKTQNSLTVLDLSNNRIQGYVPSWIWKTTLTTLYLSRNPVDFPKIPPFVKVNHSTPIYNEDGVSSFPMTLENLGMSSCNITGSFPEFIKNQEKLINLDLSDNKLVGHIPKWIWNMSLKYLNLSCNKFDFLDQFSNPISLPYSDTLITLDLHANQLPGSIPKAICNCSQLSLLDMSHNHLRSQIPDCLGKVPTLTVLNLQGNNFDSISSYAIASNLLSLKISDNKVEGKLPRSLANCSKLEVLDLGGNMIRDTFPVWLEKLPALKILVLQANKFYGPIGNRGTATTWPMLHVMDLSSNEFTGNLLKEFVQSLGGMQLTSNNESRARYVGDNYNINGHYKESVTITMKGLKMHMDRIITLFTCLDLSNNSFHGEIPEEIRILKSLIVLTLSHNNFLGQIPSSLSDLRELESLDLSSNLLSGEIPPQLSRLTFLAVMNLSYNHLEGRIPQGNQFDIFPDSSYEGNPRLCGFPLKRKCNPEVNEPGTPPGDHEDSWTEYILDWKIVGIGYASGIVIGFSVGYTILSEMRIKWFTDLIRLAGNRERWFNQGQRSLQSW >Potri.012G089600.1.v4.1 pep chromosome:Pop_tri_v4:12:11485898:11487948:-1 gene:Potri.012G089600.v4.1 transcript:Potri.012G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089600.v4.1 MDPSIFLHQYWLELDRTILFSVLVLPFLAFCTIYFIKSIQTDKLNLPPSPLKLPLIGNLHQVGRLPHRSLRTLSEKYGSLMLLHLGSSPALIVSSAETAKEILKTHDKAFLDKPQTRAGDALFYGSSDIAFCSYGNYWRQAKKVCVLELLSQRRVQAFQFAREEEVGKMVEKIQISCLSKVAIDLGAAFLTISNDILSRSAFGRTYEEVDGQQLGELWRTAMDLIGEFCFKDFFPLLGWMDVITGLVSKLKRTSKALDAFLDQVIEEHLVSRTEDDISDKKDLVDILLRIQKNGMTDIDLSRDNLKAILMDMFLGATDTTATTMEWAMAELVNNPSAMKKVQEEVRGVVGEKSKVEEIDIDQMDFLKCIVKETLRLHPPLFIGRRTSASLELEGYHIPANLKVLINAWAIQRDPKLWDSPEEFIPERFANKSVDFKGQNHQFIPFGAGRRGCPGIAFAVVEVEYVLANILYWFDWEFPEGITAEDLDMSEVFTPVIRKKSPLRLVPVAHFPKTICN >Potri.006G203100.1.v4.1 pep chromosome:Pop_tri_v4:6:21095992:21098942:1 gene:Potri.006G203100.v4.1 transcript:Potri.006G203100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203100.v4.1 MEIDQSATQEKARPLTRARGLLKALPGKAEAEVIGFAKSVRKLGQDDPRRIIHSLKVGLALTLVSSIYYLKPLYKSFGEAGIWAVLTVVVIFEFTVGGTLSKSLNRGFATLLAGALGLGAQHLASLSGEKGQPIVLGILVFLLAAASTFTRFFPRIKARYDYGVLIFILTFSLVSVSGYRVEELLVLASQRLSTILVGGAICIVVSICICPVWAGENLHNSVASNIENLASYLEGFGGEYFQSGEGSDSDRSFLQGYKKVLNSKPTEETMANLATWEPGHGRFGFRHPWKQYLKIGALSRQCAYQIETLNGYINSDNQAPLEFRCKIQESCTQISAECGKALKSLASAIKTMTFPSSANVHVENSKTAVKDLKISLKAVSLEHDQELLAILPDATVASILVEIVICVEKISESVHELSNLAHFKSVEPTVSPEKPQLLHRGAINPVLEGDSDHVVITIHETSTDSQENENPKAPKVGQRVEL >Potri.002G087300.1.v4.1 pep chromosome:Pop_tri_v4:2:6272440:6278570:1 gene:Potri.002G087300.v4.1 transcript:Potri.002G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087300.v4.1 MAINTIMKNKTYNVVSSEGSGGDGNSSSPPSSPRRQTSGFSQCRRRLRSKVQLQYFRKDSLAAGIFVRRNIQFLLLLFFLYFSGLMMCVGRFSDFLRHSREPIAIYKSHVLLEKLWHDIETDNSTALELSSVWQFKRRMKVQKPCPVLAARRRLGSVEVSSGPAGYLIVEANGGLNQQRSAICNAVAVAGILNAVLVIPSFGYNSVWKDPSEFRDIYDEDHFIATLEGYVKVVAELPDELISKYDHNITNIPHLRVEAWAPAKHYLGEVYPVLQEQGVIRIAPFANRLAMNVPSHIQLLRCITNYRALRFSAPITTLAQKLVNRMIERSSMTGGKYVSVHLRFEEDMVAFSSCLYDGGDAEKSEMHSFREKGWKGKFKRKDLDFVAGRNRIDGKCPLTPLEVGMMLRGMGFGSNTSIYLASGKIYKGEQHLAPLLKMFPLLYTKESLATSDELAPFQGYSSRLAALDYTVCLFSEVFVTTHGGNFPHFLMGHRRFLFNGHAKTIRPDKRMLVGLLENMTISWKDFKDDMDAMLLESDRKGMMIPRVRKFNRKNSIYAFPLPECDCLQSHDSSRGINHTLDVLDPQLEATRGRVPVSD >Potri.002G087300.3.v4.1 pep chromosome:Pop_tri_v4:2:6272491:6278496:1 gene:Potri.002G087300.v4.1 transcript:Potri.002G087300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087300.v4.1 MKVQKPCPVLAARRRLGSVEVSSGPAGYLIVEANGGLNQQRSAICNAVAVAGILNAVLVIPSFGYNSVWKDPSEFRDIYDEDHFIATLEGYVKVVAELPDELISKYDHNITNIPHLRVEAWAPAKHYLGEVYPVLQEQGVIRIAPFANRLAMNVPSHIQLLRCITNYRALRFSAPITTLAQKLVNRMIERSSMTGGKYVSVHLRFEEDMVAFSSCLYDGGDAEKSEMHSFREKGWKGKFKRKDLDFVAGRNRIDGKCPLTPLEVGMMLRGMGFGSNTSIYLASGKIYKGEQHLAPLLKMFPLLYTKESLATSDELAPFQGYSSRLAALDYTVCLFSEVFVTTHGGNFPHFLMGHRRFLFNGHAKTIRPDKRMLVGLLENMTISWKDFKDDMDAMLLESDRKGMMIPRVRKFNRKNSIYAFPLPECDCLQSHDSSRGINHTLDVLDPQLEATRGRVPVSD >Potri.004G051000.3.v4.1 pep chromosome:Pop_tri_v4:4:4129799:4134295:1 gene:Potri.004G051000.v4.1 transcript:Potri.004G051000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051000.v4.1 MHPPPQALSPRSFPLLSPLPPSLSSKSRPTTKIPTFFFPKLPLTIPKFPLHVLHCTPKPTQQEQQILQFVADSNDNTLPCVRTFENDLARLSLVGSVRFDQALTAAAADGGRVASEHLDSGVPAMVVETVFPGPADEHATVSTRLFLPAKKVKEKAIRLKRSFKEDVLSGTMSQNILSMTFRQVVLQQIWNFELVLFRPGTERNMKDLENPREQVPASFFLGSSDEQVISLLAETVCIAALQNTERVFLDDFMGKGPGGFFSWLRKPQRIVSRDSSVVIYKLFEDEIVDNAKSLLENFNSSKERFQGIKVKRKYKWWTPLAHSKLEKIGGPEFSAWTSEHVPAYRLQIDADKVKDAKFEGWRESSGNRWEVLLTHSQMVGLAEIFDMYYEDIYTMPKEELSCGVVSNFTNLTRKKRSSSLMNVLSVTLVSGIFLVSISALSQFCLPHLRKGRMYAQENSSLPSSEIQFAVNESLDAAKLQEFCILICKKMKDSFGWPGDIVTDKKIGAWIGEIPAYFKSMDEADAASEENSTDSTPIQKIDADLKSSAQDIASYQVVLSTDGKIVGFQPTSGVGVNHWAANPLAKELYGGRNLSPGFIEPGLKIHFPNEVILIELLVSVNSDANFALARPVR >Potri.004G051000.4.v4.1 pep chromosome:Pop_tri_v4:4:4129801:4134290:1 gene:Potri.004G051000.v4.1 transcript:Potri.004G051000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051000.v4.1 MHPPPQALSPRSFPLLSPLPPSLSSKSRPTTKIPTFFFPKLPLTIPKFPLHVLHCTPKPTQQEQQILQFVADSNDNTLPCVRTFENDLARLSLVGSVRFDQALTAAAADGGRVASEHLDSGVPAMVVETVFPGPADEHATVSTRLFLPAKKVKEKAIRLKRSFKEDVLSGTMSQNILSMTFRQVVLQQIWNFELVLFRPGTERNMKDLENPREVPASFFLGSSDEQVISLLAETVCIAALQNTERVFLDDFMGKGPGGFFSWLRKPQRIVSRDSSVVIYKLFEDEIVDNAKSLLENFNSSKERFQGIKVKRKYKWWTPLAHSKLEKIGGPEFSAWTSEHVPAYRLQIDADKVKDAKFEGWRESSGNRWEVLLTHSQMVGLAEIFDMYYEDIYTMPKEELSCGVVSNFTNLTRKKRSSSLMNVLSVTLVSGIFLVSISALSQFCLPHLRKGRMYAQENSSLPSSEIQFAVNESLDAAKLQEFCILICKKMKDSFGWPGDIVTDKKIGAWIGEIPAYFKSMDEADAASEENSTDSTPIQKIDADLKSSAQDIASYQVVLSTDGKIVGFQPTSGVGVNHWAANPLAKELYGGRNLSPGFIEPGLKIHFPNEVILIELLVSVNSDANFALARPVR >Potri.004G051000.5.v4.1 pep chromosome:Pop_tri_v4:4:4129800:4134866:1 gene:Potri.004G051000.v4.1 transcript:Potri.004G051000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051000.v4.1 MGSVLLFISYQQVPASFFLGSSDEQVISLLAETVCIAALQNTERVFLDDFMGKGPGGFFSWLRKPQRIVSRDSSVVIYKLFEDEIVDNAKSLLENFNSSKERFQGIKVKRKYKWWTPLAHSKLEKIGGPEFSAWTSEHVPAYRLQIDADKVKDAKFEGWRESSGNRWEVLLTHSQMVGLAEIFDMYYEDIYTMPKEELSCGVVSNFTNLTRKKRSSSLMNVLSVTLVSGIFLVSISALSQFCLPHLRKGRMYAQENSSLPSSEIQFAVNESLDAAKLQEFCILICKKMKDSFGWPGDIVTDKKIGAWIGEIPAYFKSMDEADAASEENSTDSTPIQKIDADLKSSAQDIASYQVVLSTDGKIVGFQPTSGVGVNHWAANPLAKELYGGRNLSPGFIEPGLKIHFPNEVILIELLVSVNSDANFALARPVR >Potri.001G462100.1.v4.1 pep chromosome:Pop_tri_v4:1:48774929:48776771:1 gene:Potri.001G462100.v4.1 transcript:Potri.001G462100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462100.v4.1 MNSLSSSKFPFPFLFLFLFSFSCVASADHQEDFLQCLHSQNSNSISMVIFTPINSSYSSVLQFSLRNGRFNTGATPKPLVIVKPLNVAHIQAAIACSHKHGLQIRVRSGGHDYEGLSYVTVVPFVVIDLINMRTVTVDVGNKIAWVQAGATLGEVYYRIAEKSRTLAFPGGVCPTVGSGGHISGGGHGMMMRKFGLAADHIIDAKLIDAKGRILDRASMGEDLFWAIRGAGGNTFGVVVAWKLELVTVPPTVTVFNVSRTLEQNATKLVHQWQSAIGKFDEDLFSRIFLSRVNTSQEGKTTILAVYTSLFLGGVDRLLSMMQQSFPQLGLVKEDCIEMSWIESTVYFAQFPRNTSLDVLLDRSPGSTGSFKAKTDYVKAPIPEIALEGIWERLNQLDAQVAELQFTAYGGKMDEISETSTPFPHRAGNLFQIHYAVFWGDQDSERSQIYTSWIRKLYSYMTPYVTKNPRQAYINYRDLDLGMNSLGNTSYKQARIWGTKYFKNNFDRLVHVKTKVDPANFFRNEQSIPPLTPW >Potri.003G149700.1.v4.1 pep chromosome:Pop_tri_v4:3:16302806:16304613:-1 gene:Potri.003G149700.v4.1 transcript:Potri.003G149700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149700.v4.1 MELDRLITTSKDVEADDDDIMAPGFRFHPTDEELVGFYLKRKVEKRLIRIDLIKHIDVYKYEPWDLPKEASCSAGEREWYFFCRRGRKYKNSVRPNRVTNSGFWKATGIDKPIYSVGKLHYCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPASENTANLTNPDDCNIHEAEVWTLCRIFQRETPFNKKCFSSKQKYVSDSSSSPCESDNRRHTDETFEVSAVDNNVNIESRATSDHQYTYGNNDQLFAAQLSLRNQVPFTTPYLSFSSQNGDQLFGDENWDELRPMVEFALDPSMLYSIN >Potri.008G202300.1.v4.1 pep chromosome:Pop_tri_v4:8:14551380:14555144:1 gene:Potri.008G202300.v4.1 transcript:Potri.008G202300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202300.v4.1 MIDMSFSTSSASSSSTAVSSPSSTATSWFSGIVRGRTNRSGSVKMTNNSSEHNAGPIKAKNQFRGVLFKYGPKPIQVAFKTGDYKQQVIFIGGLTDGFLATEYLEPLAIALDKEKWSLVQLLMSSSYTGYGTTSLKQDASELDQLVSYLINKEDSEGVVLLGHSTGCQDIVHYMRTNAACSRAVRAAILQAPVSDREYRATLPETASMIDLASTMIAEGRSSELMPKEADPSAPITAYRYHSLCAYMGDDDLFSSDLSDDQLRMRLGHMSNTPCQVIFSMADEYVPEYVDKKALVERLCRVMGGAEKVEIEHGNHSLSNRVQEAVQAIVDFVKRDGPKGWDDPWN >Potri.001G413400.2.v4.1 pep chromosome:Pop_tri_v4:1:43844619:43848656:-1 gene:Potri.001G413400.v4.1 transcript:Potri.001G413400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413400.v4.1 MGYIPILLFCFFSLLNRVTATAIGIINITQFIRDGDTIVSADGTYELGFFSPGKSKNRYLGIWYGKIPVQTVVWVANRETPLNDSLGVLKITDKGILILLDRSGSVIWSSNTARPARNPTAQLLESGNLVVKEEGDNNLENSLWQSFEHPTDTILPGMKLGRSRITGMDWSMTSWKSEDDPSRGNITCKLAPYGYPDMVVMEGSQVKYRSGLWDGLRFSGVPSTKPNPIYKYEFVFNEKEIFYRESLVDKSMHWRLVTRQNGDVASFTWIEKKQSWLLYETANTDNCDRYAICGANGFCDIQSSPVCDCLNGFVPKSPRDWNVTDWANGCVRRTPLNCSGDGFRKLAGVKMPETKSSWFSKTMNLEECRNTCLEKCNCTAYSNLDIRNGGSGCLLWFGDLVDIRVLDDNEQEIYIRMAESELDNGDGAKINKKSKAKKRIIISTVLSTGILFLGLALVLSVWMKKQQKNRKIADALERSADHMHKEDLELPMFDLGTLACATNNFSVENKLGEGGFGSVYKGTLEDRREIAVKRLSKNSRQGLDEFKNEANYIVKLQHQNLVKLLGCCIQGDEKILIYEFLPNRSLDIFIFENTHSFLLDWTKRCNIIFGIARGLLYLHQDSRLRVIHRDLKASNILLDDELNPKISDFGLARSFGGNETEANTNTVAGTYGYISPEYANHGLYSLKSDVFSFGVLVLEIVSGNRNRGFIHPDHSLNLLGHAWRLFEENRPLELVEESLVIACNLSEVLRSIHVGLLCVQENPEDRPNMSNVVLMLRDDGTLPQPKQPGFFTERDLTEARYSSSLSKPCSVNECSISELRPR >Potri.001G413400.1.v4.1 pep chromosome:Pop_tri_v4:1:43844614:43848799:-1 gene:Potri.001G413400.v4.1 transcript:Potri.001G413400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413400.v4.1 MGYIPILLFCFFSLLNRVTATAIGIINITQFIRDGDTIVSADGTYELGFFSPGKSKNRYLGIWYGKIPVQTVVWVANRETPLNDSLGVLKITDKGILILLDRSGSVIWSSNTARPARNPTAQLLESGNLVVKEEGDNNLENSLWQSFEHPTDTILPGMKLGRSRITGMDWSMTSWKSEDDPSRGNITCKLAPYGYPDMVVMEGSQVKYRSGLWDGLRFSGVPSTKPNPIYKYEFVFNEKEIFYRESLVDKSMHWRLVTRQNGDVASFTWIEKKQSWLLYETANTDNCDRYAICGANGFCDIQSSPVCDCLNGFVPKSPRDWNVTDWANGCVRRTPLNCSGDGFRKLAGVKMPETKSSWFSKTMNLEECRNTCLEKCNCTAYSNLDIRNGGSGCLLWFGDLVDIRVLDDNEQEIYIRMAESELVVDNGDGAKINKKSKAKKRIIISTVLSTGILFLGLALVLSVWMKKQQKNRKIADALERSADHMHKEDLELPMFDLGTLACATNNFSVENKLGEGGFGSVYKGTLEDRREIAVKRLSKNSRQGLDEFKNEANYIVKLQHQNLVKLLGCCIQGDEKILIYEFLPNRSLDIFIFENTHSFLLDWTKRCNIIFGIARGLLYLHQDSRLRVIHRDLKASNILLDDELNPKISDFGLARSFGGNETEANTNTVAGTYGYISPEYANHGLYSLKSDVFSFGVLVLEIVSGNRNRGFIHPDHSLNLLGHAWRLFEENRPLELVEESLVIACNLSEVLRSIHVGLLCVQENPEDRPNMSNVVLMLRDDGTLPQPKQPGFFTERDLTEARYSSSLSKPCSVNECSISELRPR >Potri.003G105900.1.v4.1 pep chromosome:Pop_tri_v4:3:12894861:12895594:1 gene:Potri.003G105900.v4.1 transcript:Potri.003G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105900.v4.1 MATQSDSLASLQLRKGKKQFTRSASHGERVTKSLPADRRSSSYYRQYKANDVRLENGSRAGDGKEMTRRNKLQKRKRDRGWARELPRYLDLWYMSSSISYILRKARSFYNEFCCDNYFDDSSIMGHELVLAGPYFSFPVIPPPTIAPIV >Potri.015G107300.1.v4.1 pep chromosome:Pop_tri_v4:15:12565956:12568611:1 gene:Potri.015G107300.v4.1 transcript:Potri.015G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107300.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTTGRIWIRILVWSAYLSADMVATVALGNLARSQGDSSGDSSEKANNSIQTFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLGVQVGVAFYVFSRSWGSGILTFIAIPMFIVGIVKYAERTWVLRSSCSKSLKNSTLSDFWDSYSHTRISETRLQDLQGNYLLQAYIFSYISKFMMQDLVPDILSLIRSRGLISKNKADGAFKVVEVELGLIYDMLYTKAPLIYSRFGIILRSISFLLSITAFITFQVKIGKDAYSKTDIAITYLLFAAAVFLEFYAFLCLVLSDWTMIWLILKGGNALTSAIYSQLRKLTRSERWSMTVS >Potri.001G232500.1.v4.1 pep chromosome:Pop_tri_v4:1:25100204:25102329:-1 gene:Potri.001G232500.v4.1 transcript:Potri.001G232500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232500.v4.1 MGSCLSSRQSAISCEGVSKKQKVLPIDASFKLPAPLPSWPPGGGFGSGIIDLGDGLQVCQISSFNKVWATHEGGPDDLGASFFEPSQLPQGFSMLGCYSQPNNRSLYGWVLAGRDETGSALKQPIDYTLVWSSESLQIKQDGVGYIWLPTPPDGYKALGHVVTKSPQKPPLGKIRCVRSDLTEQCEFDSWVWGLGKESDLNGFNVFSLFPSNRGTQAMGVCVGTFVAQKTTTAPVSLSCLKNVVSNLSCMPNLDQIKAIFQAYSPWIYFHPDEEYLPSSVEWYFVNGALLYERGEESKPVPIESNGSNLPQGGSNDGAYWLDLPVEEGAKDRVKKGDLQDSRVYLHIKPMFGAAFTDIVVWVFYPFNGPSKAKVEFINIPLGKIGEHVGDWEHLTLRISNFNGELLSIYFSEHSGGTWVNSSELEFQNGNKAVTYSSLHGHAMYAKPGLVLQGSGSIGIRNDTAKSKKFIDTGTNSLVVAAEYLGMAITEPPWLNYFRKWGPKLTYDIAEEIKKVEKLLPGKLKSAFDKFVRSLPNEVLGEEGPTGPKLKRNWTGDEV >Potri.013G007600.1.v4.1 pep chromosome:Pop_tri_v4:13:485301:487257:1 gene:Potri.013G007600.v4.1 transcript:Potri.013G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007600.v4.1 MAMAWRQIITNTKRAVSIPTQQAISTPGFSRFFSKSSSRYIVKVGIPEFLNGIGKGVEAHVAKLESEIGDFHKLLVTRTLKLKKLGIPCQQRKLILKYAHKYRLGLWRPRAEPVKAK >Potri.004G069800.1.v4.1 pep chromosome:Pop_tri_v4:4:5909957:5911601:-1 gene:Potri.004G069800.v4.1 transcript:Potri.004G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069800.v4.1 MEEAIVLFPSPPIGHLISMVELGKLLLTQKPSLSIHILITSVPYDSGSTAPYIANVAATIPSIKFHHLPTVTLPSTKNIHHEELTFEVLRLSNPHVREELLSISKNNTIHGLVVDFFCCAALSVAKELNIPGYHFFTSGAGVLAGFLYFPTIHNTTTKSLKDLKSLLHIPGVPPIPSSDMPTPVLHRDDKAYKYLLDSSSSFPESAGIFVNTFASLEARAVKTLSEGLCVPNNRTPPIYCIGPLIATGGPKDDAGTRNGTTLECLTWLDSQPVGSVVFLCFGSLGLFSKEQLREIAFGLERSGHRFLWVVRNPPSDKKSVALSAHPNIDLDSLLPEGFLDRTKERGLVLKSWAPQVAVLNHPSVGGFVSHCGWNSVLEAVCAGVPLVAWPLYAEQRVNRIFLVEEMKLALPMNESDNGFVSSAEVEERVLGLMESEEGKLIRERTIAMKIAAKAALNEGGSSRVALSKLVESWKDK >Potri.012G062601.2.v4.1 pep chromosome:Pop_tri_v4:12:6793162:6794798:1 gene:Potri.012G062601.v4.1 transcript:Potri.012G062601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062601.v4.1 MRNFDCLRGRTGRDIYFTQDWVSLPSVLPVASGGIHVWHMPALTEIFGDDSVLQFDGGTLGHPWGIAPSAVANRVALEACVQARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFQAMDML >Potri.001G364550.1.v4.1 pep chromosome:Pop_tri_v4:1:38272902:38273686:1 gene:Potri.001G364550.v4.1 transcript:Potri.001G364550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G364550.v4.1 MSRELEGRKRGTCWNIAKRRSTSKWVPNASSSLPKADYEKMEAEWKLDLLRKEYALDEKRASAMGAYIPVARSPLKHFLPMN >Potri.010G181600.1.v4.1 pep chromosome:Pop_tri_v4:10:18022619:18023081:1 gene:Potri.010G181600.v4.1 transcript:Potri.010G181600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181600.v4.1 MTLLPEESIDAELPEEDLEITTSRASGRGGQNVNKVETAARITHTPTGVPVRCTGMIVP >Potri.003G032800.3.v4.1 pep chromosome:Pop_tri_v4:3:3659717:3663611:-1 gene:Potri.003G032800.v4.1 transcript:Potri.003G032800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032800.v4.1 MASNIVFTVKDDNPIGATLIGRAYIPVQEIVDGEEIDRWVEMLDEDKNPIQSSSKIHVKLQYFDVTKDRNWGGGIRSAKYPGVPYTFYPQRQGCKVSLYQDAHVPDKFIPKIPLASGEDYNPHRCWEDVFDSITNAKHFIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLILIWDDRTSVDLLKKDGLMATHDEETENYFQNTDVHCVLCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSAMPNGDSQRRRIVSYVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDLLVQLRELEDVIIPPSPAMFPDDHETWNVQLFRSIDGGAAFGFPETPEDAAKAGLVSGKDNIIDRSIQDAYVNAIRRAKNFIYIENQYFLGSSFSWSADDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTLEMMYKDVIEALRAKGLEEDPRNYLTFFCLGNREVKKSGEYEPSEKPEPDSDYIRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRLGLWYEHLGMLDDTFLHPESEECVTKVNQITDKYWDLYSSETLEHDLPGHLLRYPIGVSSEGNVTELPGTEFFPDTKARVLGAKSDYMPPILTT >Potri.003G032800.1.v4.1 pep chromosome:Pop_tri_v4:3:3659633:3666662:-1 gene:Potri.003G032800.v4.1 transcript:Potri.003G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032800.v4.1 MAQVLLHGTLHVTIFEVDKLGDGGGHGFLHKLVENIGEKVGIGDGISKLYATIDLERARVGRTRILEKEATNPRWNESFHIYCAHMASNIVFTVKDDNPIGATLIGRAYIPVQEIVDGEEIDRWVEMLDEDKNPIQSSSKIHVKLQYFDVTKDRNWGGGIRSAKYPGVPYTFYPQRQGCKVSLYQDAHVPDKFIPKIPLASGEDYNPHRCWEDVFDSITNAKHFIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLILIWDDRTSVDLLKKDGLMATHDEETENYFQNTDVHCVLCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSAMPNGDSQRRRIVSYVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDLLVQLRELEDVIIPPSPAMFPDDHETWNVQLFRSIDGGAAFGFPETPEDAAKAGLVSGKDNIIDRSIQDAYVNAIRRAKNFIYIENQYFLGSSFSWSADDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTLEMMYKDVIEALRAKGLEEDPRNYLTFFCLGNREVKKSGEYEPSEKPEPDSDYIRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRLGLWYEHLGMLDDTFLHPESEECVTKVNQITDKYWDLYSSETLEHDLPGHLLRYPIGVSSEGNVTELPGTEFFPDTKARVLGAKSDYMPPILTT >Potri.003G032800.5.v4.1 pep chromosome:Pop_tri_v4:3:3659633:3666710:-1 gene:Potri.003G032800.v4.1 transcript:Potri.003G032800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032800.v4.1 MAQVLLHGTLHVTIFEVDKLGDGGGHGFLHKLVENIGEKVGIGDGISKLYATIDLERARVGRTRILEKEATNPRWNESFHIYCAHMASNIVFTVKDDNPIGATLIGRAYIPVQEIVDGEEIDRWVEMLDEDKNPIQSSSKIHVKLQYFDVTKDRNWGGGIRSAKYPGVPYTFYPQRQGCKVSLYQDAHVPDKFIPKIPLASGEDYNPHRCWEDVFDSITNAKHFIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLILIWDDRTSVDLLKKDGLMATHDEETENYFQNTDVHCVLCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSAMPNGDSQRRRIVSYVGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDLLVQLRELEDVIIPPSPAMFPDDHETWNVQLFRSIDGGAAFGFPETPEDAAKAGLVSGKDNIIDRSIQDAYVNAIRRAKNFIYIENQYFLGSSFSWSADDIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESGSVQAILDWQRRTLEMMYKDVIEALRAKGLEEDPRNYLTFFCLGNREVKKSGEYEPSEKPEPDSDYIRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRLGLWYEHLGMLDDTFLHPESEECVTKVNQITDKYWDLYSSETLEHDLPGHLLRYPIGVSSEGNVTELPGTEFFPDTKARVLGAKSDYMPPILTT >Potri.004G220000.1.v4.1 pep chromosome:Pop_tri_v4:4:22562005:22562846:-1 gene:Potri.004G220000.v4.1 transcript:Potri.004G220000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G220000.v4.1 MEEVTKKLNPSDVDRKLHLPENCLKNLPRGQETFLKIKDEDGIVWTFRCKIPPGGHSRPVLYGEWLLFVRQKCLKVGDIIVIVFNKQKARAAADTSGDLFEIKVKKTRN >Potri.010G230900.2.v4.1 pep chromosome:Pop_tri_v4:10:21302758:21305790:1 gene:Potri.010G230900.v4.1 transcript:Potri.010G230900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230900.v4.1 MATIGLSSISCRSRNPRNPLTSKQLFPTEYPKCTLRASADSAPKAKFIARRRESVSVRQLGRPLVEYMSLPASQYSVLDAERIERVDDNTFRCYVYRFKFFAFEVCPVLLVRVEEQPNGCCIKLLSCKLEGSPIVVAQNEKFDASMVNQISCSSNSSNSTMQRLTSDAVIEVSIEVPFAIRAIPAEAIESTGAQILQQILGLMLPRFMAQLVKDYQAWASGDTSRQPLGTGEI >Potri.003G160300.1.v4.1 pep chromosome:Pop_tri_v4:3:17042103:17043211:-1 gene:Potri.003G160300.v4.1 transcript:Potri.003G160300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G160300.v4.1 MKNKASVFLKKIMSLLSSVTKAKSLAIKSKTSALKTRAIMLSLMRSKRVLVGSISNKIHRILNQPEKDSEDDDNEDQSRAIVLYCNAMANESLSSCSQMAGTGDDQANNHYYCYDDKYPDLTHSLFDEDDFEDPGGSIIDLVKNSKEDGEDFSLEDEIDHVADLFIMRFHKQMRLQKLESFKRNQEMLMRRD >Potri.010G228500.1.v4.1 pep chromosome:Pop_tri_v4:10:21150400:21153864:1 gene:Potri.010G228500.v4.1 transcript:Potri.010G228500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228500.v4.1 MGILQEDVVVISQAEKPGEPAVITVNCPDKTGLGCDLCRVILLFGLSICREDAQTDGKWCYLVFWVVGKPNTRWDLLKKRLLEVCPSYFSTSEFDYYKPENQQPKPPDVFLLKFWCAYDREGLLHDVTEFLWELELTIKRVKVSTAPDGRVLDLFFITDNRELLHTKMRQEETIHYLKNVLGKALISCEIELAGAEVTACSQSFLPPAITEDMFNLELPNKHRSGFLAPNPVSVTVDNTFSPSHTLIQILCKDHKGLSYDIMRTLKDYHIQISYGRFFANRKGNCEVDLFLMQADGKKIVDPNKQNALCSRLRMELLRPVRLAVVSRGPDTELLVANPVELSGRGRPLVFHDITLALKTLNTRIFSVEIGRHMIHDREWEVYRILLDEGDGLPVSRNKIEEGVRKVLMGWE >Potri.019G006900.1.v4.1 pep chromosome:Pop_tri_v4:19:1537569:1543893:1 gene:Potri.019G006900.v4.1 transcript:Potri.019G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G006900.v4.1 MLRLIGSLSFIWLLMAISTAAQPWPPVLDADGQPLRSGVEYYVLPGVTDVGGGLTLVDRNGSCPLYVGQEPLAPMVSRGIPVFFTPRVEDTIIRESSDFTVEFSGASTCGQSTAWMVGEENPETTTRYVVTGMEPRPSSTLWYFNIENNGQGVYALRWCPNCLTTNCPRPACESAGVIDENGKRLLVLDGSAFPFIFRRA >Potri.005G122500.1.v4.1 pep chromosome:Pop_tri_v4:5:9062760:9065395:-1 gene:Potri.005G122500.v4.1 transcript:Potri.005G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122500.v4.1 MELRGQEKETVMPRSFNPPNNRDSSSRIPSAPTRRDHRHTDTVLPHTLDLEHQSLYQQQQQQQQQKQLNPQHQACKPTRDLDLTPDPTQATTPVATTSATNTAPTPSRSISRSPPPPPTSASSASIRYRECLKNHAASMGGHVLDGCGEFMPGGEEGTPETFKCAACECHRSFHRREIDGAPQCVANSTCYKNSNGKRNILPFPQQLVTSHAPPQSASLHPHQRYHHGTLSTYTTPIAPMMMSFGGGGAAAESSSEDLNMYQSDLQGQSSAQPLISKKRFRTRFSEEQKDKMMEFAEKLGWRIQKQDEQEVQQFCSQVGVKRKVFKVWMHNNKQSMKKKQT >Potri.003G009300.1.v4.1 pep chromosome:Pop_tri_v4:3:868181:872876:1 gene:Potri.003G009300.v4.1 transcript:Potri.003G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009300.v4.1 MQSVVLNPTCYCRAQQGLDTSCSSPPPPAILYKSRSSFFGTHSRSPGHLRRGIPCRSLRIRSPSFTRRRPVVKAVATPDSAVELPLTADNVESVLDEVRPYLISDGGNVALHEIDGNVVRLKLQGACSSCSASVMTMKMGIERRLMEKIPEIVAVEAVADEETGLELNGENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKVRLTGPAAGVMTVRVAVTQKLREKIPAIAAVQLL >Potri.015G054900.3.v4.1 pep chromosome:Pop_tri_v4:15:7555872:7557463:-1 gene:Potri.015G054900.v4.1 transcript:Potri.015G054900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054900.v4.1 MESSQIFVAEECHSSESGWTMYLGSPTQDDDGGDDDEHSDDGDSSDGGGSDNKSYNDDSDDSMASDASSGPSHHGIAHLKQEEDKHVGKYQMDQMKANKPKENKKAESGRKEEKEAMAFMEKRANNASAQSGSKVRKTFLMSKRK >Potri.015G054900.2.v4.1 pep chromosome:Pop_tri_v4:15:7555872:7557463:-1 gene:Potri.015G054900.v4.1 transcript:Potri.015G054900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054900.v4.1 MESSQIFVAEECHSSESGWTMYLGSPTQDDDGGDDDEHSDDGDSSDGGGSDNKSYNDDSDDSMASDASSGPSHHGIAHLKQEEDKHVGKYQMDQMKANKPKENKKAESGRKEEKEAMAFMEKRANNASAQSGSKVRKTFLMSKRK >Potri.015G054900.5.v4.1 pep chromosome:Pop_tri_v4:15:7555872:7557219:-1 gene:Potri.015G054900.v4.1 transcript:Potri.015G054900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054900.v4.1 MESSQIFVAEECHSSESGWTMYLGSPTQDDDGGDDDEHSDDGDSSDGGGSDNKSYNDDSDDSMASDASSGPSHHGIAHLKQEEDKHVGKYQMDQMKANKPKENKKAESGRKEEKEAMAFMEKRANNASAQSGSKDGSMQGLKM >Potri.015G054900.4.v4.1 pep chromosome:Pop_tri_v4:15:7555872:7557442:-1 gene:Potri.015G054900.v4.1 transcript:Potri.015G054900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054900.v4.1 MESSQIFVAEECHSSESGWTMYLGSPTQDDDGGDDDEHSDDGDSSDGGGSDNKSYNDDSDDSMASDASSGPSHHGIAHLKQEEDKHVGKYQMDQMKANKPKENKKAESGRKEEKEAMAFMEKRANNASAQSGSKDGSMQGLKM >Potri.005G060600.2.v4.1 pep chromosome:Pop_tri_v4:5:3826581:3828419:1 gene:Potri.005G060600.v4.1 transcript:Potri.005G060600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060600.v4.1 MTYKTAYHLSILLRHCANHSLIYQAKQINAQIVLSNYLSNVTLQTDLLLAYSKSGFLLEARKVFDRMPERNMHSWNIMMSSYAQNYCYSDAICIFDEFLKMGFRPDHYTLPPLFKAVAGVGDCYLGFVLHGWVIRLGFEGYVVVGSSVLDFYLKGGKLVEAKRVFSNMLWRDCGVWNLMISGFGRAGFYVEALSLVRNMVEEEVKLDALVVPSILNACGGEGDLMKGKEIHGRVVKSTLFNVDVVISNSLIDMYARCGCLNDSEKVFRNMRSLNVVTWTTMISCYGVHGRAEESLEVFKKMKGFGLKPNPVTLTAVLASCSHSGLIDEGRRIFYSMQSHYGFEPSVEHYACMVDLLGRFGYLEEALGLVQRMKLEATASVWGALLGGCVMHKNVNIGEIAAHCLFELEPSNPGNYIALCYIYKSHGISDGITITRAKMRELGLAKTPGCSWITIAGTVHKFYQGCHSHPLTKVTCEILDRMIKALQLIRSFVFLSLFRHMAWYD >Potri.005G060600.1.v4.1 pep chromosome:Pop_tri_v4:5:3826537:3828419:1 gene:Potri.005G060600.v4.1 transcript:Potri.005G060600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060600.v4.1 MTYKTAYHLSILLRHCANHSLIYQAKQINAQIVLSNYLSNVTLQTDLLLAYSKSGFLLEARKVFDRMPERNMHSWNIMMSSYAQNYCYSDAICIFDEFLKMGFRPDHYTLPPLFKAVAGVGDCYLGFVLHGWVIRLGFEGYVVVGSSVLDFYLKGGKLVEAKRVFSNMLWRDCGVWNLMISGFGRAGFYVEALSLVRNMVEEEVKLDALVVPSILNACGGEGDLMKGKEIHGRVVKSTLFNVDVVISNSLIDMYARCGCLNDSEKVFRNMRSLNVVTWTTMISCYGVHGRAEESLEVFKKMKGFGLKPNPVTLTAVLASCSHSGLIDEGRRIFYSMQSHYGFEPSVEHYACMVDLLGRFGYLEEALGLVQRMKLEATASVWGALLGGCVMHKNVNIGEIAAHCLFELEPSNPGNYIALCYIYKSHGISDGITITRAKMRELGLAKTPGCSWITIAGTVHKFYQGCHSHPLTKVTCEILDRMIKVLMLPGDFELEIHVINISSCSLS >Potri.018G080100.1.v4.1 pep chromosome:Pop_tri_v4:18:9872848:9873942:-1 gene:Potri.018G080100.v4.1 transcript:Potri.018G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G080100.v4.1 MENRFKTRISRMFRGSCRTRNLSDVIENAVFVPQTHKNFHMIEPLPPKVRPFPSICRHKCPEATNQVLNHSIISRQKLSHRYPPLITANTSGHSSCPPAYPIFPLNPFYKDLSFKEKKKSCRSVKNRSKKKNIISKKEQTSLFRSSSQDSTYFGGSYYWFSSEDEDKRGDESDTLFSSRSLSSDSSGSLSHPSHGKKFTSRRRRAKVKSSHVGVLPLDGKVKDSFAVVKSSSDPYNDFRTSMVEMIVEKQIFAAKDLEQLLQCFLSLNSYHHHRIIVEVFMEIWEVLFCNWS >Potri.005G109600.1.v4.1 pep chromosome:Pop_tri_v4:5:7968557:7969026:-1 gene:Potri.005G109600.v4.1 transcript:Potri.005G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109600.v4.1 MVLKKFVSFVYSFIGLRWHPRIKDAAAAAACRRITVDVSGNVKQGVLESPVGSSSSEIIEAEGEYCCVCLSRLKADEDTSALPCLHRFHKVCIEGWFNNVCRRTCPLCRSSMGGEERSHKREEQFTEEMVIWFSSFHVAGF >Potri.009G001500.2.v4.1 pep chromosome:Pop_tri_v4:9:691537:698129:1 gene:Potri.009G001500.v4.1 transcript:Potri.009G001500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G001500.v4.1 MSRRGGGRRSETRRDQDSSAPSPSFQRGGGGGGRGRGGRGVSTPAPSPTPVRAPTSAAPGYLSATAGPSAKPTPQAAYTAAAASSSSSVGELSQETAKKLTLGGLVPVSSKAIVPPRRPDYGKIGKKCVIRANHFVVEVSDRDLFHYDVAITPEITSKKVNRDVISQLVRSYRESHLGNRMPAYDGRKSLYTAGALPFEAKEFVVKLAERGDPASSSSSVKKERQFKVAIKYASKVDMYHLKEFLSGRQADAPQETIQILDIVLRASPSEKYITVGRSFFSPDLGPKGDLGDGIEYWRGYYQSLRPTQMGLSFNIDVSARSFYEPILVTEFVAKYFNFRDLSRPLSDQERVKVKRALRGIKVQITYSDYTKSYKVTGISNLPVNKTMFTLDDKKTKVSVYQYFLERYNIGLKYTSLPPLQAGTDAKPIYLPMELCQIAGGQRYTKKLNERQVTALLRATCQRPSARENNIKQMVRQNDYSKNALVRDEFGIQVKEELTLVDARVLPPPMLKYHDTGREARVDPRFGQWNMIDKKMVNGGRIDFWTCLNFSTRVHRELPSEFCWQLMDMCNNKGMEFNPEPIIPIRSADSRQIEKALHDVHKQCTAELANQKGKQLQLLIIILPDVTGSYGKIKRVCETELGIVSQCCQPQQAKKLSKQYMENVALKINVKAGGRNTVLNDAFHRRIPLLTDVPTIVFGADVTHPQAGEDAGPSIAAVVASMDWPEVTKYRGLVSAQAHREEIIEDLYKKYQDPKKGLVHGGMIRELLIAFKRSTGQKPFRIIFYRDGVSEGQFSQVLLHEMQAIRQACGSLEEGYCPRVTFVVVQKRHHTRFFPADHNRRDQTDKSGNILPGTVVDTKICHPTEFDFYLNSHAGIQGTSRPTHYHVLFDENNFSSDGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGETSDAGSSGGTAEFRPLPVIKENVKDVMFYC >Potri.006G042946.3.v4.1 pep chromosome:Pop_tri_v4:6:2899578:2902306:1 gene:Potri.006G042946.v4.1 transcript:Potri.006G042946.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042946.v4.1 MQSLKNEMVQQFANESGKTREVLYSKVEELAVSAKKCYDERSTKDLGDKAFTEMMLLDGCFILQFIFCFLHDFQNLKLSNRVLAYFVKRDLFLLENQIPYEVLKSLMSLRFGDAEGKKLMEDFMDDVRGLPRDKSRDKENGKGLDCRFWSWRPAPKMNNQPGEIPQVPGEIPQPVHLLDFFHNRFMGGSRGQENLSLKGDGQWSSYRSVMELKSVGIHFMPSKTDMYTDILYKSTMRGGTVTLPRIVIDDSTKSLLLNLMAHEACPGPAEGFGVTSYVYFMDSLIDHPEDVKELRKKGILSNVLGSDQEVADLFNEISSFMVFDPNAYGNVKSSIEKHCKNVIKKWAAEWLNDHFSSPWTFLAFFGAIFALVLTFIQTYKTLYPGNQ >Potri.006G042946.2.v4.1 pep chromosome:Pop_tri_v4:6:2899570:2902288:1 gene:Potri.006G042946.v4.1 transcript:Potri.006G042946.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042946.v4.1 MSEMKKSYDLEPLLKAAFATESQIEGFALSGRCGIRISRQHTIQVGQEESSKICHRVPRIFRRNPSSNEDCYNPLVVSIGPYHHNDDPNHELAEMQSLKNEMVQQFANESGKTREVLYSKVEELAVSAKKCYDERSTKDLGDKAFTEMMLLDGCFILQFIFCFLHDFQNLKLSNRVLAYFVKRDLFLLENQIPYEVLKSLMSLRFGDAEGKKLMEDFMDDVRGLPRDKSRDKENGKGLDCRFWSWRPAPKMNNQPGEIPQVPGEIPQPVHLLDFFHNRFMGGSRGQENLSLKGDGQWSSYRSVMELKSVGIHFMPSKTDMYTDILYKSTMRGGTVTLPRIVIDDSTKSLLLNLMAHEACPGPAEGFGVTSYVYFMDSLIDHPEDVKELRKKGILSNVLGSDQEVADLFNEISSFMVFDPNAYGNVKSSIEKHCKNVIKKWAAEWLNDHFSSPWTFLAFFGAIFALVLTFIQTYKTLYPGNQ >Potri.006G042946.1.v4.1 pep chromosome:Pop_tri_v4:6:2899578:2902306:1 gene:Potri.006G042946.v4.1 transcript:Potri.006G042946.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042946.v4.1 MSEMKKSYDLEPLLKAAFATESQQIEGFALSGRCGIRISRQHTIQVGQEESSKICHRVPRIFRRNPSSNEDCYNPLVVSIGPYHHNDDPNHELAEMQSLKNEMVQQFANESGKTREVLYSKVEELAVSAKKCYDERSTKDLGDKAFTEMMLLDGCFILQFIFCFLHDFQNLKLSNRVLAYFVKRDLFLLENQIPYEVLKSLMSLRFGDAEGKKLMEDFMDDVRGLPRDKSRDKENGKGLDCRFWSWRPAPKMNNQPGEIPQVPGEIPQPVHLLDFFHNRFMGGSRGQENLSLKGDGQWSSYRSVMELKSVGIHFMPSKTDMYTDILYKSTMRGGTVTLPRIVIDDSTKSLLLNLMAHEACPGPAEGFGVTSYVYFMDSLIDHPEDVKELRKKGILSNVLGSDQEVADLFNEISSFMVFDPNAYGNVKSSIEKHCKNVIKKWAAEWLNDHFSSPWTFLAFFGAIFALVLTFIQTYKTLYPGNQ >Potri.017G150100.1.v4.1 pep chromosome:Pop_tri_v4:17:14859018:14860763:1 gene:Potri.017G150100.v4.1 transcript:Potri.017G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G150100.v4.1 MDEAVVLYPSPTIGHLISLVELGKHLLTHQPSLSIHILMPTEPYSAGKMNTYVSSISGTFPSIKFHHLPTVTLSTTSATHHETFIFEALRLSKPFVHEQLLSISKNYTICGIIIDFLATSALSLATEELNIPAYIYITSCASFLASYLYLPTLHRKTTKSFRDIKEFHDIPGLPPIHGTDMVKPFLDREDDAYINFLDFAIQTPEAKGIIINTFELLESKVIKTISDGLCVPNNRTPPLFCVGPLILAEGQRAGGGSKSSSDDAVPDECITWLDSQPSQSVVFLCFGSLGLLTKEQLREIAIGLEKSGQRFLWVVRNPPTNDLSVAIKAQRDPDLDSLFPDGFLERTKERGLVVKLWAPQVKILNHSSIGGFVTHCGWNSTLEAVCAGVPMVAWPLYAEQRLNRVVLVEEMKLALSMNESEDGFVSAGEVETKVRGLMESEEGELIRERAIAMKNAAKAATDEGGSSYTAFSMLIESWKHGK >Potri.014G091925.1.v4.1 pep chromosome:Pop_tri_v4:14:5969752:5970770:1 gene:Potri.014G091925.v4.1 transcript:Potri.014G091925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091925.v4.1 MLHIFCDICIKAIDMGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAFAWAPSSGVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGEKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.006G219300.1.v4.1 pep chromosome:Pop_tri_v4:6:22443712:22446331:-1 gene:Potri.006G219300.v4.1 transcript:Potri.006G219300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219300.v4.1 MTMKKVTLKSILSCCSSPLPESTNRISKQGSFQRLSLSDVSDPSLPFCIEDISNSFSGSKLHIFTFSELRVITHNFSRSNLLGEGGFGPVYKGFVDDKLRPGLDAQPVAVKSLDLDGLQGHKEWMAEIIFLGQLRHSHLVRLIGYCCEEDQRLLVYEYMPRGSLENQLFRRYSAALPWSTRMKIALGAAKGLAFLHESDKPVIYRDFKSSNILLDSDYTAKLSDFGLAKDGPEGEETHVTTRVMGTQGYAAPEYIMTGHLTTMSDVYSFGVVLLELLTGKRSMDNTRPGREQSLVEWARPLLKDASKLDRIMDPRLEGQYSTKGAQKAAALAYKCLSHHPKPRPMMSHVVEVLESLQGFDETFIQPFVYIVPTENGSSESLSREAGVKSEGEKDATNENGCHNHRHHQRFGWRQRIKLPFSPVSYSDPVLYKNYGNGLNSPSRKEV >Potri.005G011800.5.v4.1 pep chromosome:Pop_tri_v4:5:975092:977723:1 gene:Potri.005G011800.v4.1 transcript:Potri.005G011800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011800.v4.1 MAMAWRQIFTNTKRAFSIPPERAVSTPGLSRFFSKSSSPYLVKVGIPEFLNGIGKGVEAHVTKLESEIGDFHKLLVTRTLKLKKLGIPCQQRKLILKYAHKYRVGLWRPRAQPVKAS >Potri.004G039400.4.v4.1 pep chromosome:Pop_tri_v4:4:3135906:3139614:-1 gene:Potri.004G039400.v4.1 transcript:Potri.004G039400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039400.v4.1 MMAISAKRLSRLISLKRPIKHSGVSSYSASSINQIEYSKWNNGGGTFHKSACIDPTVLIEIGAVVHSKAVLGTNVHVGSGTVVGPEVTIGHSTKIGYNVGLSNCRIGDSCVVHHGVCIGQDGFGFFVDDKGNMMKKPQLLNAIIGDHVEIGANTCIDRGSWRDTVIGDHSKLDNLVQIGHNVVIGKGCMLCGQVGIAGSVTMGDYVTLGGRVAVRDHVSIASKVRLAANSCVTKDIREPGDYGGFPAVPIHEWRRQVASRYRISKKAIL >Potri.004G039400.5.v4.1 pep chromosome:Pop_tri_v4:4:3135906:3139614:-1 gene:Potri.004G039400.v4.1 transcript:Potri.004G039400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039400.v4.1 MMAISAKRLSRLISLKRPIKHSGVSSYSASSINQIEYSKWNNGGGTFHKSACIDPTVLIEIGAVVHSKAVLGTNVHVGSGTVVGPEVTIGHSTKIGYNVGLSNCRIGDSCVVHHGVCIGQDGFGFFVDDKGNMMKKPQLLNAIIGDHVEIGANTCIDRGSWRDTVIGDHSKLDNLVQIGHNVVIGKGCMLCGQVGIAGSVTMGDYVTLGGRVAVRDHVSIASKVRLAANSCVTKDIREPGDYGGFPAVPIHEWRRQVASRYRISKKAIL >Potri.004G039400.6.v4.1 pep chromosome:Pop_tri_v4:4:3135906:3139614:-1 gene:Potri.004G039400.v4.1 transcript:Potri.004G039400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039400.v4.1 MMAISAKRLSRLISLKRPIKHSGVSSYSASSINQIEYSKWNNGGGTFHKSACIDPTVLIEIGAVVHSKAVLGTNVHVGSGTVVGPEVTIGHSTKIGYNVGLSNCRIGDSCVVHHGVCIGQDGFGFFVDDKGNMMKKPQLLNAIIGDHVEIGANTCIDRGSWRDTVIGDHSKLDNLVQIGHNVVIGKGCMLCGQVGIAGSVTMGDYVTLGGRVAVRDHVSIASKVRLAANSCVTKDIREPGDYGGFPAVPIHEWRRQVASRYRISKKAIL >Potri.004G039400.3.v4.1 pep chromosome:Pop_tri_v4:4:3135906:3139614:-1 gene:Potri.004G039400.v4.1 transcript:Potri.004G039400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039400.v4.1 MMAISAKRLSRLISLKRPIKHSGVSSYSASSINQIEYSKWNNGGGTFHKSACIDPTVLIEIGAVVHSKAVLGTNVHVGSGTVVGPEVTIGHSTKIGYNVGLSNCRIGDSCVVHHGVCIGQDGFGFFVDDKGNMMKKPQLLNAIIGDHVEIGANTCIDRGSWRDTVIGDHSKLDNLVQIGHNVVIGKGCMLCGQVGIAGSVTMGDYVTLGGRVAVRDHVSIASKVRLAANSCVTKDIREPGDYGGFPAVPIHEWRRQVASRYRISKKAIL >Potri.003G062400.1.v4.1 pep chromosome:Pop_tri_v4:3:8929113:8931284:-1 gene:Potri.003G062400.v4.1 transcript:Potri.003G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062400.v4.1 MGNPLHLKSLNHISLLCKSLEESIDFYEDVLGFVPIRRPGSFNFDGAWLFGYGIGIHLLQSENPEKMQKKGKINPKDNHISFQCESMAAVEKKLKDMGIQHVRALVEEGGIQVEQLFFHDPDGFMIEICDCDNLPVIPLAGEIAQSCSYLNLERMQQQMQPMVQQERAI >Potri.012G023500.1.v4.1 pep chromosome:Pop_tri_v4:12:2362730:2364420:-1 gene:Potri.012G023500.v4.1 transcript:Potri.012G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023500.v4.1 MLNISSIYDPSYNTTFDLAILYSIIALFLLSLFSLCFVFHLLFKSQNSHHLQSFNSLWSVRFLLVFIITLWGLNEFFRLPLFRKQHLYPFFPSLTLSQQESLCKVHVVLSLGLLEPGFLVILLFLMNISIKRKTPRGTWVHAFLWSACIPILTIQFVFVFTSMVKMPSIFHRSSVVFKNNFGVEIVMCTYPLISCIIFGAFGVWYTLFFFLSCFKVVTLAINKGLRARIYSLAFVVMVMLPLEILFLVLSAFWRPEETIYGAFSFLVFFTTLAIAAVGEGIMIIRPIIDSLAAGGTTSLFPQKQEAGQRYLSVIIQS >Potri.006G085400.2.v4.1 pep chromosome:Pop_tri_v4:6:6358301:6364332:1 gene:Potri.006G085400.v4.1 transcript:Potri.006G085400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085400.v4.1 MSSESEIPKPDQEGEDESSKSASKKAAKKEAAKQEKLRRRQEAALAAAAASSLSIEEDPLAANYGNIPLQDLQSKVEADLKAWTQVGDLTHELKEKEVLIRGRAQTTRAVGKNMAFVVVREKGFTVQCVVTARPDVVSKQMVKFAAGLSRESIVDIHGVVSVPSIAIKGTTQQVEIQVSKLYCVDKAMPTLPINIEDASRSEKEIEEALEAGEQLVRVNQDTRLNYRVLDFRTSANQGIFRIQCQVCNIFRQFLLSEDFVEIHTPKLIAGSSEGGSAVFKLDYKGQAACLAQSPQLHKQMAICGDFGRVFEIGPVFRAEDSYTHRHLCEFTGLDVEMEIKQHYTEVMDIVDHLFVTMFDHLNKKCSKYLEAVGRQYPFEPLKYLPKTLRLRFEEGVQMLKEAGVEIDPYGDLNTESERKLGQLVLEKYGTEFYILHRYPLAVRPFYTMPCYDDPKYSNSFDVFIRGEEIISGAQRVHVPEFLVERAQACGIDVSTISTYIDSFRYGAPPHGGFGAGLERVVMLFCGLNNIRKTSLFPRDPLRIAP >Potri.013G100901.1.v4.1 pep chromosome:Pop_tri_v4:13:10822302:10822847:-1 gene:Potri.013G100901.v4.1 transcript:Potri.013G100901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100901.v4.1 MIIHCWNIRGLNSPLKQHEVVSLMKRKKIDVCCLLETKLSSSRVGLMHRFRLKSWKCISNSEVASTARIVLFWNPSSVNVVLFNFSAQVLHVLITCLESHYSFTASFVYGFNTISLRRSLWDDLRRWSPNSPWLVLGDFNSVLSQEDKHNGAPVSKYEVTDFRDCCSDLGLADLNSTGCLFT >Potri.003G058700.1.v4.1 pep chromosome:Pop_tri_v4:3:8544652:8547834:-1 gene:Potri.003G058700.v4.1 transcript:Potri.003G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058700.v4.1 MALSAKTPRFPLHQHLQNLNSHFPGTHNSNKTFTLSFKPQKPHLFSSTLNRPQNSLNTTATTSITPQNPTNSRLLQLCLSGNLEQALKHLASMQEVKIPVEEDCFVALIRLCENKRGYSEGEYVWKAVLSSLVTLLSVRLGNALLSMFVRFGDVGNAWNVFGRMGERDLFSWNVLVGGYTKAGFFDEALCLYHRILWAGIRPDVYTFPSVLRSCAGAMDLVRGREVHAHVVRFDFDMDVDVVNALITMYVKCGDVVSARMLFDKMPTRDRISWNAMISGYFENDECLEGLELFFRMRELSIDPDLMTMTSVISACELLGDERLGTQLHSYVVRTAYDGNISVYNSLIQMYLSVGHWKEAESVFSGMECRDVVSWTTIISGCVDNLLPDKALETYKTMEITGTMPDEVTIASVLSACASLGQLDMGMKLHELAERTGHILYVVVANSLIDMYSKCKRIEKALEIFHQIPDKDVISWTSVINGLRINNRCFEALIFFRKMILKSKPNSVTLISALSACARVGALMCGKEIHAHALKAGMGFDGFLPNAILDLYVRCGRMRTALNQFNLNEKDVGAWNILLTGYAQKGKGAMVMELFKRMVESEINPDDVTFISLLCACSRSGMVTEGLEYFQRMKVNYHITPNLKHYACVVDLLGRAGKLNEAHEFIERMPIKPDPAIWGALLNACRIHRHVLLGELAAQHIFKQDAESIGYYILLCNLYADSGKWDEVAKVRRTMKEEGLIVDPGCSWVEVKGKVHAFLSGDNFHPQMQEINVVLEGFYEKMKTSGFNGQECSSMDGIQTSKADIFCGHSERQAIAYSLINSAPGMPIWVTKNLYMCQSCHSTVKFISKIVRREISVRDTEQFHHFKDGLCSCGDEGYR >Potri.001G399900.1.v4.1 pep chromosome:Pop_tri_v4:1:42637095:42637529:1 gene:Potri.001G399900.v4.1 transcript:Potri.001G399900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399900.v4.1 MGSSGFFLICMLHSVMALTCGALMMFYTNEATVFGHGIEIATKLKGSTPHDQLLVQTSDSFSGLLLFAIGFLLFMVAFVKDREFQSFFAKGCVLLHVSMAFWRIYFERKLEDLARDLPRLVVGDIALALSWVFFLVYSWREKYD >Potri.018G119400.2.v4.1 pep chromosome:Pop_tri_v4:18:13483356:13495553:1 gene:Potri.018G119400.v4.1 transcript:Potri.018G119400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119400.v4.1 MSNSSISRGGSLMGAATMSNNVCLPPRSIGGYTGDLIGARASCGVPVPRSFVNGYNYPWIHGCSSFGPSFRSNIPPQQSMQGNYTGANTSLNPESGLLGAELSYQTPYNNSMYAAFHYSSNAYPLSGYPENDLIGAQISNHTAHPNYPTYVGVQPINAHPVSTVGWASRVRNQLGSS >Potri.018G119400.1.v4.1 pep chromosome:Pop_tri_v4:18:13483088:13484397:1 gene:Potri.018G119400.v4.1 transcript:Potri.018G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119400.v4.1 MSNSSISRGGSLMGAATMSNNVCLPPRSIGGYTGDLIGARASCGVPVPRSFVNGYNYPWIHGCSSFGPSFRSNIPPQQSMQGNYTGANTSLNPESGLLGAELSYQTPYNNSMYAAFHYSSNAYPLSGYPENDLIGAQISNHTAHPNYPTYVGVQPINTHPVPTVGWASRIRNEFSERDLLGAQISNHTARPNYPTYVGVQPINAHPVSTVGWASRVRNQLGSS >Potri.006G282100.1.v4.1 pep chromosome:Pop_tri_v4:6:27132633:27133420:-1 gene:Potri.006G282100.v4.1 transcript:Potri.006G282100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282100.v4.1 MIMERKSNTDCIILPVFYDVDPSEVRNQTGSFAAAFVDHEKRFKKEMEQVNGWRIAL >Potri.017G054000.1.v4.1 pep chromosome:Pop_tri_v4:17:4109093:4112758:1 gene:Potri.017G054000.v4.1 transcript:Potri.017G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054000.v4.1 MGKYMRKAKTTNDLTVVDLSCGVRTRAKTLALKKQQALRLHASSASPPPPSSPAGYLQLRSRRLEKKPPPVPSLHHGSPRRQQQRLGGQNNNKLGQQESPSPILKPSSRVDKDSGSSQEREGGESKEVEENNNSNSKDLGSFGDNVLDIESRDRSTRESTPCNLTRGTEDTRTPGSTTKPASPTESSRRLQNSMQRRIPTTREMDEFFGPAEEEQLRQFTEKYNFDPVSDKPLPGRYEWEKLDP >Potri.006G078700.1.v4.1 pep chromosome:Pop_tri_v4:6:5853706:5856580:-1 gene:Potri.006G078700.v4.1 transcript:Potri.006G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078700.v4.1 MGETDSSSSSIWQEIEQSESYLVCSMYEEAATLASSIVRQLKGSNISDNNEAFDENELFDMLESAGMVFVQALNQLGRTSAILNELKVLFVSATAIPVQVLLTGACFQISGASSAGVREFLEEFLSNWSLVDGQHYVLVGAEVDVDVQDGCDRRCIMEVDKYMEVVEVYAVTLLGTTLKDMDNAISWVEKSALPEERRQVLLRRLHSLYSLKTINSSQVSAVMHENNHEAHYSLSKELNGFEGSPKGLEANYLPPGENNTKQTILKLSRRVDPCLWWFRSVNLKFGNVRVVVTNGKILLGFLFLLTYYVLRRKGATLNGLVRRQVSAAKKAMVDLWQLAFSYQVNPLAAVQPLPTATRGGR >Potri.003G010466.1.v4.1 pep chromosome:Pop_tri_v4:3:1082987:1083669:-1 gene:Potri.003G010466.v4.1 transcript:Potri.003G010466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010466.v4.1 MEMFTKQLTHIDLARGLELPQYNSNLKPLQHIQGTLELSTIVESAAGTRLPDPVTIHCSAIRGSLVFKTGWYDIARDIGLKSGDTVTFYQEVNGGAQFKLKVRNFR >Potri.001G426200.2.v4.1 pep chromosome:Pop_tri_v4:1:45418707:45424139:-1 gene:Potri.001G426200.v4.1 transcript:Potri.001G426200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426200.v4.1 MRQFKLSKALANKSETLRKLEEISEKFKTVSHKAHLQPIEFLPSKEFTPSESSKEALEQIMKALKDDSVNMIGLYGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLRLDIKEKSREGRADRLRHRLKEVEKMLIILDDVWEDIDLKEIGIPFGDDHRGCKILLTTRFEHICSSMECQQKVFLRVLSEDEALALFRINAGLRDGDSTLNTVAREVARECHGLPIALVTVGRALRDKSLVQWEVASKQLKDSQFPRMEQIDKQKNAYTCLKLSYDYLKFEETKSCFVLCCLFPEDYDIPIEDLTRYAVGYGLHQDAEPIEDARKRVFVAIENLKDCCMLLGTETGEHVKMHDLVRDFAIQIASSEEYGFMVKAGIGLQKWPMSNTSFEGCTTISLMGNKLAELPEGLVCPKLKVLLLEVDYGLNVPQRCFEGMREIEVLSLNGGRLSLQSLELSTKLQSLVLIMCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCERLSRIPVNLIGRLKKLEELLIGDGSFEEWDVVGCDSTGGMNASLKELNSLSQLAVLSLRIPKVECIPRDFVFPSLHKYDIVLGNRFDAGGYPTSTRLNLAGTSATSLNVMTFELLFPTVSQIVFTSLEGLKNIELHSDHMTNHGHEPQKGLLQRLEFVQVQRCGDICTLFPAKLRQALKHLKKVIIDSCKSLEEVFELGEVDEESNEEKEMPLLSSLTMLELQGLPELKCIWKGATRHVSLQSLAHLKVWSLDKLTFIFTPSLAQSLPQLETLEIEKCGELKHIIREQDGEREIIPESPGFPKLKTLLVSGCGKLEYVFSVSMSPSLPNLEQMTIYYADNLKQIFYGGEGDALTRDDIIKFPQLKELSLRLGSNYSFLGPQNFAVQLPSLQKLTIHGREELGNWLAQLQQKGFLQRLRFVEVNDCGDVRTPFPAKLLQALKNLSSVDIESCKSLEEVFELGEVDEESNEEKELSLLSSLTTLLLIDLPELRCIWKGPTRHVSLQNLVHLNLNSLDKLTFIFTPSLAQSLPKLATLDIRYCSELKHIIREKDDEREIISESLRFPRLKTIFIEECGKLEYVFPVSVSPSLLNLEEMGIFYAHNLKQIFYSGEGDALTTDGIIKFPRLRKLSLSSRSNFSFFGPKNFAAQLPSLQCLIIDGHEELGNLLAKLQELTSLKTLRLGSLLVPDMRCLWKGLVLSNLTTLVVYECKRLTHVFSDSMIASLVQLNFLNIESCEELEQIIARDNDDGKDQIVPGDHLQSLCFPNLCEIDVRKCNKLKCLFPVGMASGLPNLQILKVREASQLLGVFGQEENALPVNVEKVMELPNLQVLLLEQLSSIVCFSLGCYDFLFPHLEKLKVFECPKLITKFATTPNGSIRAQSEVSEVAEDSSTNREWTRDNGWKEEKCVDFHSRFCSN >Potri.001G426200.3.v4.1 pep chromosome:Pop_tri_v4:1:45418768:45423052:-1 gene:Potri.001G426200.v4.1 transcript:Potri.001G426200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426200.v4.1 MLLGTETGEHVKMHDLVRDFAIQIASSEEYGFMVKAGIGLQKWPMSNTSFEGCTTISLMGNKLAELPEGLVCPKLKVLLLEVDYGLNVPQRCFEGMREIEVLSLNGGRLSLQSLELSTKLQSLVLIMCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCERLSRIPVNLIGRLKKLEELLIGDGSFEEWDVVGCDSTGGMNASLKELNSLSQLAVLSLRIPKVECIPRDFVFPSLHKYDIVLGNRFDAGGYPTSTRLNLAGTSATSLNVMTFELLFPTVSQIVFTSLEGLKNIELHSDHMTNHGHEPQKGLLQRLEFVQVQRCGDICTLFPAKLRQALKHLKKVIIDSCKSLEEVFELGEVDEESNEEKEMPLLSSLTMLELQGLPELKCIWKGATRHVSLQSLAHLKVWSLDKLTFIFTPSLAQSLPQLETLEIEKCGELKHIIREQDGEREIIPESPGFPKLKTLLVSGCGKLEYVFSVSMSPSLPNLEQMTIYYADNLKQIFYGGEGDALTRDDIIKFPQLKELSLRLGSNYSFLGPQNFAVQLPSLQKLTIHGREELGNWLAQLQQKGFLQRLRFVEVNDCGDVRTPFPAKLLQALKNLSSVDIESCKSLEEVFELGEVDEESNEEKELSLLSSLTTLLLIDLPELRCIWKGPTRHVSLQNLVHLNLNSLDKLTFIFTPSLAQSLPKLATLDIRYCSELKHIIREKDDEREIISESLRFPRLKTIFIEECGKLEYVFPVSVSPSLLNLEEMGIFYAHNLKQIFYSGEGDALTTDGIIKFPRLRKLSLSSRSNFSFFGPKNFAAQLPSLQCLIIDGHEELGNLLAKLQLTSLKTLRLGSLLVPDMRCLWKGLVLSNLTTLVVYECKRLTHVFSDSMIASLVQLNFLNIESCEELEQIIARDNDDGKDQIVPGDHLQSLCFPNLCEIDVRKCNKLKCLFPVGMASGLPNLQILKVREASQLLGVFGQEENALPVNVEKVMELPNLQVLLLEQLSSIVCFSLGCYDFLFPHLEKLKVFECPKLITKFATTPNGSIRAQSEVSEVAEDSSTNREWTRDNGWKEEKCVDFHSRFCSN >Potri.001G426200.1.v4.1 pep chromosome:Pop_tri_v4:1:45418794:45425426:-1 gene:Potri.001G426200.v4.1 transcript:Potri.001G426200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426200.v4.1 MAIESVGGSIISKIAELMVEPVGRQFRYMFCFNNFVEEFKERKENLYSAKERLQNIVEDAKTNAEEIEKVVKKWLEDANNEIEGVKPLENEIGKNGKCFTWCPNCMRQFKLSKALANKSETLRKLEEISEKFKTVSHKAHLQPIEFLPSKEFTPSESSKEALEQIMKALKDDSVNMIGLYGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLRLDIKEKSREGRADRLRHRLKEVEKMLIILDDVWEDIDLKEIGIPFGDDHRGCKILLTTRFEHICSSMECQQKVFLRVLSEDEALALFRINAGLRDGDSTLNTVAREVARECHGLPIALVTVGRALRDKSLVQWEVASKQLKDSQFPRMEQIDKQKNAYTCLKLSYDYLKFEETKSCFVLCCLFPEDYDIPIEDLTRYAVGYGLHQDAEPIEDARKRVFVAIENLKDCCMLLGTETGEHVKMHDLVRDFAIQIASSEEYGFMVKAGIGLQKWPMSNTSFEGCTTISLMGNKLAELPEGLVCPKLKVLLLEVDYGLNVPQRCFEGMREIEVLSLNGGRLSLQSLELSTKLQSLVLIMCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCERLSRIPVNLIGRLKKLEELLIGDGSFEEWDVVGCDSTGGMNASLKELNSLSQLAVLSLRIPKVECIPRDFVFPSLHKYDIVLGNRFDAGGYPTSTRLNLAGTSATSLNVMTFELLFPTVSQIVFTSLEGLKNIELHSDHMTNHGHEPQKGLLQRLEFVQVQRCGDICTLFPAKLRQALKHLKKVIIDSCKSLEEVFELGEVDEESNEEKEMPLLSSLTMLELQGLPELKCIWKGATRHVSLQSLAHLKVWSLDKLTFIFTPSLAQSLPQLETLEIEKCGELKHIIREQDGEREIIPESPGFPKLKTLLVSGCGKLEYVFSVSMSPSLPNLEQMTIYYADNLKQIFYGGEGDALTRDDIIKFPQLKELSLRLGSNYSFLGPQNFAVQLPSLQKLTIHGREELGNWLAQLQQKGFLQRLRFVEVNDCGDVRTPFPAKLLQALKNLSSVDIESCKSLEEVFELGEVDEESNEEKELSLLSSLTTLLLIDLPELRCIWKGPTRHVSLQNLVHLNLNSLDKLTFIFTPSLAQSLPKLATLDIRYCSELKHIIREKDDEREIISESLRFPRLKTIFIEECGKLEYVFPVSVSPSLLNLEEMGIFYAHNLKQIFYSGEGDALTTDGIIKFPRLRKLSLSSRSNFSFFGPKNFAAQLPSLQCLIIDGHEELGNLLAKLQELTSLKTLRLGSLLVPDMRCLWKGLVLSNLTTLVVYECKRLTHVFSDSMIASLVQLNFLNIESCEELEQIIARDNDDGKDQIVPGDHLQSLCFPNLCEIDVRKCNKLKCLFPVGMASGLPNLQILKVREASQLLGVFGQEENALPVNVEKVMELPNLQVLLLEQLSSIVCFSLGCYDFLFPHLEKLKVFECPKLITKFATTPNGSIRAQSEVSEVAEDSSTNREWTRDNGWKEEKCVDFHSRFCSN >Potri.001G426200.4.v4.1 pep chromosome:Pop_tri_v4:1:45418707:45424278:-1 gene:Potri.001G426200.v4.1 transcript:Potri.001G426200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426200.v4.1 MFCFNNFVEEFKERKENLYSAKERLQNIVEDAKTNAEEIEKVVKKWLEDANNEIEGVKPLENEIGKNGKCFTWCPNCMRQFKLSKALANKSETLRKLEEISEKFKTVSHKAHLQPIEFLPSKEFTPSESSKEALEQIMKALKDDSVNMIGLYGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLRLDIKEKSREGRADRLRHRLKEVEKMLIILDDVWEDIDLKEIGIPFGDDHRGCKILLTTRFEHICSSMECQQKVFLRVLSEDEALALFRINAGLRDGDSTLNTVAREVARECHGLPIALVTVGRALRDKSLVQWEVASKQLKDSQFPRMEQIDKQKNAYTCLKLSYDYLKFEETKSCFVLCCLFPEDYDIPIEDLTRYAVGYGLHQDAEPIEDARKRVFVAIENLKDCCMLLGTETGEHVKMHDLVRDFAIQIASSEEYGFMVKAGIGLQKWPMSNTSFEGCTTISLMGNKLAELPEGLVCPKLKVLLLEVDYGLNVPQRCFEGMREIEVLSLNGGRLSLQSLELSTKLQSLVLIMCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCERLSRIPVNLIGRLKKLEELLIGDGSFEEWDVVGCDSTGGMNASLKELNSLSQLAVLSLRIPKVECIPRDFVFPSLHKYDIVLGNRFDAGGYPTSTRLNLAGTSATSLNVMTFELLFPTVSQIVFTSLEGLKNIELHSDHMTNHGHEPQKGLLQRLEFVQVQRCGDICTLFPAKLRQALKHLKKVIIDSCKSLEEVFELGEVDEESNEEKEMPLLSSLTMLELQGLPELKCIWKGATRHVSLQSLAHLKVWSLDKLTFIFTPSLAQSLPQLETLEIEKCGELKHIIREQDGEREIIPESPGFPKLKTLLVSGCGKLEYVFSVSMSPSLPNLEQMTIYYADNLKQIFYGGEGDALTRDDIIKFPQLKELSLRLGSNYSFLGPQNFAVQLPSLQKLTIHGREELGNWLAQLQVRTL >Potri.006G277000.2.v4.1 pep chromosome:Pop_tri_v4:6:26806157:26808771:-1 gene:Potri.006G277000.v4.1 transcript:Potri.006G277000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277000.v4.1 MKPTSDKGKKQVEKEKDMEGDAKDETLPPGFRFHPTDEELITYYLQSKISDADFSCRAIGDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSQLVGMKKTLVFYRGRAPRGEKTNWVMHEYRFHSKAAFRASKDEWVVCRVFQKSAGVKKYPSNQSRAANPYNLEIGPSVIPSQMMQAAENFQLPIGRNYMMSNAELQAELTRVFRAGGSTGINLPMQSPLNNTYSVGGGGPGGGGCFTISGLNLNLGGAASQPMLRPMAPPVMNQQDVINSSMMTSSNSFALDQAAAYGAEMNTANGHANRFMGMEQCMDLENYWPPY >Potri.006G277000.1.v4.1 pep chromosome:Pop_tri_v4:6:26806089:26808847:-1 gene:Potri.006G277000.v4.1 transcript:Potri.006G277000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277000.v4.1 MQKPTSDKGKKQVEKEKDMEGDAKDETLPPGFRFHPTDEELITYYLQSKISDADFSCRAIGDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSQLVGMKKTLVFYRGRAPRGEKTNWVMHEYRFHSKAAFRASKDEWVVCRVFQKSAGVKKYPSNQSRAANPYNLEIGPSVIPSQMMQAAENFQLPIGRNYMMSNAELQAELTRVFRAGGSTGINLPMQSPLNNTYSVGGGGPGGGGCFTISGLNLNLGGAASQPMLRPMAPPVMNQQDVINSSMMTSSNSFALDQAAAYGAEMNTANGHANRFMGMEQCMDLENYWPPY >Potri.016G140700.2.v4.1 pep chromosome:Pop_tri_v4:16:14373821:14375558:-1 gene:Potri.016G140700.v4.1 transcript:Potri.016G140700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140700.v4.1 METAAAQLRISAFSRQYITNYTTPRFPNPNSPSLSFPFATPLNPSLRLLPSKPPTPSNAGGGGTGGTFGGNGGDGGNWSGGGDSSSDNSSSSSAGFGVLGLFLNGWRSRVAADPQFPFKVLMEEVVGVSSCILGDMASRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTAAATSQTLPAIFANCPTSHMFEPGAYSLMSRLGTLVYKGIIFAAVGFAAGLVGTELSNGLIKMRKKMDPSFETPNKPPPTVLNALTWAIHMGVSSNLRYQSLNGVEFLLANGLPPFAFKSSVVVLRCLNNVLGGMTFVILARMTGSQSVEESKPVADGVRSAALEKEKLLDGGEELQSKQSTFK >Potri.016G140700.1.v4.1 pep chromosome:Pop_tri_v4:16:14373841:14375518:-1 gene:Potri.016G140700.v4.1 transcript:Potri.016G140700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140700.v4.1 METAAAQLRISAFSRQYITNYTTPRFPNPNSPSLSFPFATPLNPSLRLLPSKPPTPSNAGGGGTGGTFGGNGGDGGNWSGGGDSSSDNSSSSSAGFGVLGLFLNGWRSRVAADPQFPFKVLMEEVVGVSSCILGDMASRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTAAATSQTLPAIFANCPTSHMFEPGAYSLMSRLGTLVYKGIIFAAVGFAAGLVGTELSNGLIKMRKKMDPSFETPNKPPPTVLNALTWAIHMGVSSNLRYQSLNGVEFLLANGLPPFAFKSSVVVLRCLNNVLGGMTFVILARMTGSQSVEESKPVADGVRSAALEKEKLLDGGEELQSKQSTFK >Potri.016G140700.5.v4.1 pep chromosome:Pop_tri_v4:16:14373904:14375258:-1 gene:Potri.016G140700.v4.1 transcript:Potri.016G140700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140700.v4.1 METAAAQLRISAFSRQYITNYTTPRFPNPNSPSLSFPFATPLNPSLRLLPSKPPTPSNAGGGGTGGTFGGNGGDGGNWSGGGDSSSDNSSSSSAGFGVLGLFLNGWRSRVAADPQFPFKVLMEEVVGVSSCILGDMASRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTAAATSQTLPAIFANCPTSHMFEPGAYSLMSRLGTLVYKGIIFAAVGFAAGLVGTELSNGLIKMRKKMDPSFETPNKPPPTVLNALTWAIHMGVSSNLRYQSLNGVEFLLANGLPPFAFKSSVVVLRCLNNVLGGMTFVILARMTGSQSVEESKPVADGVRSAALEKEKLLDGGEELQSKQSTFK >Potri.016G140700.4.v4.1 pep chromosome:Pop_tri_v4:16:14373184:14375558:-1 gene:Potri.016G140700.v4.1 transcript:Potri.016G140700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140700.v4.1 METAAAQLRISAFSRQYITNYTTPRFPNPNSPSLSFPFATPLNPSLRLLPSKPPTPSNAGGGGTGGTFGGNGGDGGNWSGGGDSSSDNSSSSSAGFGVLGLFLNGWRSRVAADPQFPFKVLMEEVVGVSSCILGDMASRPNFGLNELDFVFSTLVVGAILNFTLMYLLAPTAAATSQTLPAIFANCPTSHMFEPGAYSLMSRLGTLVYKGIIFAAVGFAAGLVGTELSNGLIKMRKKMDPSFETPNKPPPTVLNALTWAIHMGVSSNLRYQSLNGVEFLLANGLPPFAFKSSVVVLRCLNNVLGGMTFVILARMTGSQSVEESKPVADGVRSAALEKEKLLDGGKGSCFKP >Potri.006G167600.1.v4.1 pep chromosome:Pop_tri_v4:6:16731109:16737147:1 gene:Potri.006G167600.v4.1 transcript:Potri.006G167600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167600.v4.1 MHGGSEFDGSAAAFMGGGFMPTQSALPSSSDSSSFSISKNREARCLFPLTVKQINNLTSNDESNLIIDGAEVNNVTIVGRVSHKEDKASEYSFLIDDGTGQIECTQWVQESLDTEQMGEILVGMYVRVHGHLRGLQGRRFLNVFSIRPVTDFNEVPNHFIECIYVHFYNTRIRGVTAQPPVANSTNTSLKGYQAAPPYQSSAYSSADGLNNASQMILNFLQQPSYLTTEGAHYDAIAGQLNIPTDKLKEVLQVLVDNGLVYTTINDDYYKSTVNA >Potri.006G086000.1.v4.1 pep chromosome:Pop_tri_v4:6:6429774:6432318:1 gene:Potri.006G086000.v4.1 transcript:Potri.006G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086000.v4.1 MGDMEHQEPTIVINGLRFTYPGIDGHPPPGSKPLIDEFSLNLNSGDRCLLVGSNGAGKTTILKILGGKHMVEPQMVRVLGRSAFHDTALTSSGHLSYLGGEWRREVAFAGFEVPIQMDISAEKMIFGVAGIDPQRRAELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLRPFKVLLLDEITVDLDVLARADLLKFLRKECEERGATIIYATHIFDGLEEWPTHILYVAHGKLQFALPMAKIKETSNLSLMRTVESWLRKERDEERKRRQERKAGGLPEYEKQVEGSRVTGDPARVGARVMNNGWAAGRLHSTIAGEENFFLSSNRVLR >Potri.006G086000.2.v4.1 pep chromosome:Pop_tri_v4:6:6429945:6432316:1 gene:Potri.006G086000.v4.1 transcript:Potri.006G086000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086000.v4.1 MVEPQMVRVLGRSAFHDTALTSSGHLSYLGGEWRREVAFAGFEVPIQMDISAEKMIFGVAGIDPQRRAELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLRPFKVLLLDEITVDLDVLARADLLKFLRKECEERGATIIYATHIFDGLEEWPTHILYVAHGKLQFALPMAKIKETSNLSLMRTVESWLRKERDEERKRRQERKAGGLPEYEKQVEGSRVTGDPARVGARVMNNGWAAGRLHSTIAGEENFFLSSNRVLR >Potri.017G153466.1.v4.1 pep chromosome:Pop_tri_v4:17:15083613:15084439:-1 gene:Potri.017G153466.v4.1 transcript:Potri.017G153466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153466.v4.1 MRAAILALSFLLFALAANQLPRVAATAAPEPVLDVTGKILRTGTSYYILPVIRGRGGGLKMASTVRRTCPLDVVQDRYEASNGLPLKFTPVNTKKGVVRVHTDLNIRFSAGSICHQSTAWKLDNYDEWTKQWFVTTDGVEGNPGPETTNNWFKIEKFEDKYKLVFCPTVCQHCKVMCKDIGIYVDAKGVRRLALTNVPLKVMFKKA >Potri.016G065900.17.v4.1 pep chromosome:Pop_tri_v4:16:4607429:4614817:1 gene:Potri.016G065900.v4.1 transcript:Potri.016G065900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065900.v4.1 MEKENTSLARTRSEQLVETVAAAFKSPSNNEAIGVSDGSSGGTLSRKSSKRLMMAASPGRSTSGGNKNTHIRKSRSAQMKFDLDDVSSGAALSRASSASLGFSFSFTGFNMPPDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVTYKVIIKGMTSTEEKDILYGISGSVDPGEVLALMGPSGSGKTTLLNLIGGRLNQTTVGGSLTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTLTKEQKQKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQLLQDIAEGGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMLYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQIGNSEAETRNGKPSPAVVHEYLVEAYETRVADKEKKKLMVPIPLDEEVKSKVSSRKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWKSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAAPFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFVSWIRYMSFNYHTYKLLLKVQYEHMTPAINGIGIDGGLTEVSALVAMVFGYRLLAYISLRRMKLGA >Potri.016G065900.16.v4.1 pep chromosome:Pop_tri_v4:16:4607255:4614817:1 gene:Potri.016G065900.v4.1 transcript:Potri.016G065900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065900.v4.1 MEKENTSLARTRSEQLVETVAAAFKSPSNNEAIGVSDGSSGGTLSRKSSKRLMMAASPGRSTSGGNKNTHIRKSRSAQMKFDLDDVSSGAALSRASSASLGFSFSFTGFNMPPDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVTYKVIIKGMTSTEEKDILYGISGSVDPGEVLALMGPSGSGKTTLLNLIGGRLNQTTVGGSLTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTLTKEQKQKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQLLQDIAEGGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMLYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQIGNSEAETRNGKPSPAVVHEYLVEAYETRVADKEKKKLMVPIPLDEEVKSKVSSRKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWKSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAAPFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFVSWIRYMSFNYHTYKLLLKVQYEHMTPAINGIGIDGGLTEVSALVAMVFGYRLLAYISLRRMKLGA >Potri.016G065900.15.v4.1 pep chromosome:Pop_tri_v4:16:4607281:4614819:1 gene:Potri.016G065900.v4.1 transcript:Potri.016G065900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065900.v4.1 MEKENTSLARTRSEQLVETVAAAFKSPSNNEAIGVSDGSSGGTLSRKSSKRLMMAASPGRSTSGGNKNTHIRKSRSAQMKFDLDDVSSGAALSRASSASLGFSFSFTGFNMPPDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVTYKVIIKGMTSTEEKDILYGISGSVDPGEVLALMGPSGSGKTTLLNLIGGRLNQTTVGGSLTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTLTKEQKQKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQLLQDIAEGGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMLYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQIGNSEAETRNGKPSPAVVHEYLVEAYETRVADKEKKKLMVPIPLDEEVKSKVSSRKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWKSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAAPFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFVSWIRYMSFNYHTYKLLLKVQYEHMTPAINGIGIDGGLTEVSALVAMVFGYRLLAYISLRRMKLGA >Potri.016G065900.14.v4.1 pep chromosome:Pop_tri_v4:16:4607430:4614817:1 gene:Potri.016G065900.v4.1 transcript:Potri.016G065900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065900.v4.1 MEKENTSLARTRSEQLVETVAAAFKSPSNNEAIGVSDGSSGGTLSRKSSKRLMMAASPGRSTSGGNKNTHIRKSRSAQMKFDLDDVSSGAALSRASSASLGFSFSFTGFNMPPDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVTYKVIIKGMTSTEEKDILYGISGSVDPGEVLALMGPSGSGKTTLLNLIGGRLNQTTVGGSLTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTLTKEQKQKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQLLQDIAEGGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMLYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQIGNSEAETRNGKPSPAVVHEYLVEAYETRVADKEKKKLMVPIPLDEEVKSKVSSRKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWKSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAAPFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFVSWIRYMSFNYHTYKLLLKVQYEHMTPAINGIGIDGGLTEVSALVAMVFGYRLLAYISLRRMKLGA >Potri.016G065900.18.v4.1 pep chromosome:Pop_tri_v4:16:4607961:4614796:1 gene:Potri.016G065900.v4.1 transcript:Potri.016G065900.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065900.v4.1 MEKENTSLARTRSEQLVETVAAAFKSPSNNEAIGVSDGSSGGTLSRKSSKRLMMAASPGRSTSGGNKNTHIRKSRSAQMKFDLDDVSSGAALSRASSASLGFSFSFTGFNMPPDEIADSKPFSDDDIPEDLEAGTRKPKFQTEPTLPIYLKFTDVTYKVIIKGMTSTEEKDILYGISGSVDPGEVLALMGPSGSGKTTLLNLIGGRLNQTTVGGSLTYNDQPYSKFLKSRIGFVTQDDVLFPHLTVKETLTYAALLRLPKTLTKEQKQKRAIDVIYELGLERCQDTMIGGSFVRGVSGGERKRVCIGNEIIINPSLLFLDEPTSGLDSTTALRIVQLLQDIAEGGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKASEAMLYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELEDKVQIGNSEAETRNGKPSPAVVHEYLVEAYETRVADKEKKKLMVPIPLDEEVKSKVSSRKRQWGASWWEQYTILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWKSDSSSPKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLILPVLFLLVVYFMAGLRLSAAPFFLTMLTVFLCIVAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKKVPVFVSWIRYMSFNYHTYKLLLKVQYEHMTPAINGIGIDGGLTEVSALVAMVFGYRLLAYISLRRMKLGA >Potri.006G163900.4.v4.1 pep chromosome:Pop_tri_v4:6:15899229:15902873:-1 gene:Potri.006G163900.v4.1 transcript:Potri.006G163900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163900.v4.1 MDGETQSPRGSNPEADDQTVEIDPSSTVLDLTSFQLHDLNSVELSPSLTELDLTANRLSSLDPRIAHLSNLIKLSLRQNLIDDAAIEPFSRWDSISGLQELVLRDNKLKKIPDTGIFKSLLVFDVSFNEITSLHGLSKASNTLKELYVSKNEVTKIEEIDHLYQLQILELGSNRLRVMENMGNFTSLQELWMGRNRIKVVNLCGLKCIKKLSLQSNRLTSMKGFEECVALEELYLSHNGIAKMEGLSTLANLHVLDVSSNKLTSVDDIQNLTQLEDLWLNDNQIESLKGVAEAVISSREKLTTIYLENNPCAKSTNYTAFLREFFPNIEQIDSNVFA >Potri.006G163900.1.v4.1 pep chromosome:Pop_tri_v4:6:15899226:15902901:-1 gene:Potri.006G163900.v4.1 transcript:Potri.006G163900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163900.v4.1 MDGETQSPRGSNPEADDQTVEIDPSSTVLDLTSFQLHDLNSVELSPSLTELDLTANRLSSLDPRIAHLSNLIKLSLRQNLIDDAAIEPFSRWDSISGLQELVLRDNKLKKIPDTGIFKSLLVFDVSFNEITSLHGLSKASNTLKELYVSKNEVTKIEEIDHLYQLQILELGSNRLRVMENMGNFTSLQELWMGRNRIKVVNLCGLKCIKKLSLQSNRLTSMKGFEECVALEELYLSHNGIAKMEGLSTLANLHVLDVSSNKLTSVDDIQNLTQLEDLWLNDNQIESLKGVAEAVISSREKLTTIYLENNPCAKSTNYTAFLREFFPNIEQIDSNVFA >Potri.009G016100.1.v4.1 pep chromosome:Pop_tri_v4:9:2743457:2745197:1 gene:Potri.009G016100.v4.1 transcript:Potri.009G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016100.v4.1 MDEIEVPEYFLCPISLQILKDPVTTITGITYERESIEQWLKAAKSNPTCPVTKQSLPRDSELTPNHTLRRLIQSWCTVNAIYGVDRIPTPKSPIKKSQIFRLIKDLDAPDDHLRTKALRRMEALAKENERNRTCMVEAGVTKAAVLFIIKCFKEGKTAGLEEVLRILYLIWNPSQEIKLLVRENQDFIDSLTWILRCDQINNHVDVKSHAMLLLHKTTEIVCQKLLESLKVDFFKEIITRVLRKRISKQAVKSSLLVLTEVCHWGRNRMKIVEANAVFELIELELEKPEKNITELIFNILAQLCSCADGREQFLKHAGSIAMISKRVLRVSPATDDRALHILDSISKFSASDEAALEMLRVGAVSKLCMVIQADCAPYLKKKARGILRLHSHMWNNSPCIAVYLLTRYPG >Potri.004G179742.1.v4.1 pep chromosome:Pop_tri_v4:4:19395427:19398906:-1 gene:Potri.004G179742.v4.1 transcript:Potri.004G179742.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179742.v4.1 MGSVSSDDDGGGSERCGSYSLSADVSESESCTSTSSFSCRRGFGRDGGAVSSSMTSSPRPLPGGFCFPAPVMVPVIGGKDVVVWDSKNEKRGDADLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLALQKKSMWKREMEWLLCVSDSIVELVPSIQQFPGGGTYEVMATRPRSDLYVNLPALKKLDAMLITMLDGFSETEFWYADRGIVVGDGGDCDTYPSGISGGRPSIRQEDKWWLPCPKVPPDGLSEDARKRLQQCRDCTNQILKAAMAINSSVLVEMEIPTAYMETLPKNGKACLGDIIYRYITAEKFSPECLLDCLDLSTEHHTLEIANRVEAAVHIWKQKDHRKHIHNKNIKHSSWGGKVKGFVADTHKNQILAQRAETLLQSLRLRYPGLPQTALDMNKIQYNKDVGQSILESYSRVTESLAFNIMARIDDVLFVDDATKQCAAAESISLFNRGGLGGHPIQKRMSPSPFSIKQSPFASPYGTPTYFASTPVSGSPARVPPQKRGNPKEASDQKFEKPLSPDFERVWSYAGSISSRRVSGDAPERD >Potri.007G096100.5.v4.1 pep chromosome:Pop_tri_v4:7:12084992:12092841:-1 gene:Potri.007G096100.v4.1 transcript:Potri.007G096100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096100.v4.1 MNSLKAETTDGGEVEAIRPLPWYPDNLAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVRPDHFVFDMCAAPGSKTFQLLEIIYQSTKSGSLPDGMVMANDLDVQRCNLLIHQTKRMCTANLIVTNNEAQHFPGCRADKNGSKASEMEIEPQISQLLFDRVLCDVPCSGDGTLRKAPDMWRKWNPGMGNGLHSLQIQIAMRGLSLLKVGGRMVYSTCSMNPVEDEAVVAEILRKCGGSVELVDVSSELPQLVRRPGVRKWKVRDKDLWLASHKDVSKFQRYHILPSMFPSGKSYVAPANKDLEHENGENAISEDGIQPTEDASTEDLIEEVSDLPLERCMRIVPHDQNSGAFFIAVLQKLSPLPAIQEKPCKKRNLFKKNPELQGKLLDQVTEDNSGLEPDSRDVAVEKISEAATEADLIVDEPDEADMEPDPSNISNQDSEETKALVNGETDSGKAVGKRKLQLQGKWKGVDPVLFFKDESIINSIKMFYGIDESFPFDGHLISRNSDKNHVKRIYYVSKSVKDVLGLNFRVGQQLKIASIGLKMFERQTSREGTSTPCSFRISSEGLPVILPHITNQILYASSVDFKHLLQYKAIKFADFVDAEFGEKASKLMMGCCVIVMRDSKTMLDPTKVDASTIAIGCWKGRSNLNVMVTAIDCQELLERLSVRMEAEKGSSVQENKINVDDLQLNGASKVEESETTQLVADA >Potri.007G096100.2.v4.1 pep chromosome:Pop_tri_v4:7:12084995:12093241:-1 gene:Potri.007G096100.v4.1 transcript:Potri.007G096100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096100.v4.1 MGGRGRGSRSRTQRKHFRDGRENVWKRPKSDSASSDPNSNNNSENKTHWQPFATQNPAFDEYYKEQGIVTAEEWDTFVEVLRTPLPAAFRINSSSQFCEDIKSQLENDFMNSLKAETTDGGEVEAIRPLPWYPDNLAWHSNFSRMQLRKNQTLERFHEFLKLENEIGNITRQEAVSMVPPLFLDVRPDHFVFDMCAAPGSKTFQLLEIIYQSTKSGSLPDGMVMANDLDVQRCNLLIHQTKRMCTANLIVTNNEAQHFPGCRADKNGSKASEMEIEPQISQLLFDRVLCDVPCSGDGTLRKAPDMWRKWNPGMGNGLHSLQIQIAMRGLSLLKVGGRMVYSTCSMNPVEDEAVVAEILRKCGGSVELVDVSSELPQLVRRPGVRKWKVRDKDLWLASHKDVSKFQRYHILPSMFPSGKSYVAPANKDLEHENGENAISEDGIQPTEDASTEDLIEEVSDLPLERCMRIVPHDQNSGAFFIAVLQKLSPLPAIQEKPCKKRNLFKKNPELQGKLLDQVTEDNSGLEPDSRDVAVEKISEAATEADLIVDEPDEADMEPDPSNISNQDSEETKALVNGETDSGKAVGKRKLQLQGKWKGVDPVLFFKDESIINSIKMFYGIDESFPFDGHLISRNSDKNHVKRIYYVSKSVKDVLGLNFRVGQQLKIASIGLKMFERQTSREGTSTPCSFRISSEGLPVILPHITNQILYASSVDFKHLLQYKAIKFADFVDAEFGEKASKLMMGCCVIVMRDSKTMLDPTKVDASTIAIGCWKGRSNLNVMVTAIDCQELLERLSVRMEAEKGSSVQENKINVDDLQLNGASKVEESETTQLVADA >Potri.010G126300.1.v4.1 pep chromosome:Pop_tri_v4:10:14371541:14375609:1 gene:Potri.010G126300.v4.1 transcript:Potri.010G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G126300.v4.1 MERMESKGCRRKRQNGGLVTMPFIFANEACEKLAVVGFSTNMISYLTTQLHMPLTKAANTLTNFGGTSSLTPLLGAFMADAYAGRFWTITVASIIYQIGMISLTLSAILPHLRPPPCKLGEQVCQEADTGQLAILYASLLLGALGSGGIRPCVVAFGADQFDESDPKQTTKTWRYFNWYYFVMGVSILVAVTVLVYIQDNIGWGWGLGIPTIAMFFSIIAFIGGYPLYRNLDPAGSPFTRLLQVSVAAFRKRKLAMVSDPELLYQNDELDAPISIGGKLLHTIHMKFLDKAAIVVEEDNFKQGQAPNLWRLNTVHRVEELKSIIRMGPIWAAGILLITAYAQQNTFSLQQAKSMDRHLTKSFQIPAGSMSVFTMTSMLTTIAFYDRILVPVASKFTGLERGISFLHRMGIGFVISIFATLVAGFVEIKRKHVASAHGLINSQQPIPIPVFWLVPQYCLHGIAEAFMSIGHLEFFYDQAPESMRSSAMALFWTAISVGNYASTLLVTLVHKFSAGSDGSNWLPDDNLNKGKLEYFYWLITLLQVANLIYYLFCAKMYTFKPIQVHNKEISDSKESGVELANKV >Potri.010G126300.4.v4.1 pep chromosome:Pop_tri_v4:10:14371385:14374664:1 gene:Potri.010G126300.v4.1 transcript:Potri.010G126300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G126300.v4.1 MISLTLSAILPHLRPPPCKLGEQVCQEADTGQLAILYASLLLGALGSGGIRPCVVAFGADQFDESDPKQTTKTWRYFNWYYFVMGVSILVAVTVLVYIQDNIGWGWGLGIPTIAMFFSIIAFIGGYPLYRNLDPAGSPFTRLLQVSVAAFRKRKLAMVSDPELLYQNDELDAPISIGGKLLHTIHMKFLDKAAIVVEEDNFKQGQAPNLWRLNTVHRVEELKSIIRMGPIWAAGILLITAYAQQNTFSLQQAKSMDRHLTKSFQIPAGSMSVFTMTSMLTTIAFYDRILVPVASKFTGLERGISFLHRMGIGFVISIFATLVAGFVEIKRKHVASAHGLINSQQPIPIPVFWLVPQYCLHGIAEAFMSIGHLEFFYDQAPESMRSSAMALFWTAISVGNYASTLLVTLVHKFSAGSDGSNWLPDDNLNKGKLEYFYWLITLLQVANLIYYLFCAKMYTFKPIQVHNKEISDSKESGVELANKV >Potri.018G146800.1.v4.1 pep chromosome:Pop_tri_v4:18:15864192:15867407:-1 gene:Potri.018G146800.v4.1 transcript:Potri.018G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146800.v4.1 MSQFGLKMEKKRPRISLSLFTTLTETFSMANKSPRNFKNGGSAVGLGIVAAMDESDKVSDFALSPRSSPVPVVSLKKPASCFKEGGIGVWNFDKGGVVVDENDESYTCVISHVGNNVIKKSVYYDDKVCVDSVSWFDVGSGLLYAASPAVMMPIDVATAERREFWSKDFLSSCHLCKKLLEGLDIFMYRGENAFCSPECRDKHIRIEDFKEKSGSEARKKQECSVTPCSSPLLFFAGVAAA >Potri.008G198200.1.v4.1 pep chromosome:Pop_tri_v4:8:14016874:14019080:-1 gene:Potri.008G198200.v4.1 transcript:Potri.008G198200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198200.v4.1 MVRESYVVVHNIAKRHNVGTLARSATAFGVKELILVGRRDFNSFGSHGSTSHLPFRHFHSLSDARNFLKEKDCDICGVEITDSAFPVNEHPFKRSTAFLLGNEGTGLSTKECEICDFFVYIPQYGCGTASLNVTVAASIVLHHFGVWAGFSERSRDGHKFIVAERPVKQGKYCTETEESIIQERKSRRENASNGFFDETRNAESTSSPLDTLFTEE >Potri.001G279400.1.v4.1 pep chromosome:Pop_tri_v4:1:29303813:29306377:-1 gene:Potri.001G279400.v4.1 transcript:Potri.001G279400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279400.v4.1 MGCGELKIRSYDVQVHRAGVEDLERRCEVGPTERVLCIDTMGDPICRIRNSPMCKMLVAELGSELVGVIQGSIKLATVHKPPKNIAKLGYVLGLRIAPLHRRKGIGSRLVLELEKWFIANDVDYAYMATEKDNEASVNLFINKLGYANFRTPAILVNPVDHRALRLSSKTEVAKLKVEEAEFLYRKFMTSTEFFPDDIGNILRNKLSLGTWVAYPRGESWDDFGSDGKVLPRSWAMLSVWNSGELFKLRLGKAPLSCFLYTKSSILIDKIFPCFKLPAIPDFLSPFGFYFMYGVHHEGSLSGKLVQHLCQFVHNMATKSKDCKVIVTEVGGKDILRLHIPYWKSLSCPEDLWCIKTLKNEENAIHQLPKAPPTTTSLFVDPREV >Potri.002G178500.1.v4.1 pep chromosome:Pop_tri_v4:2:13957024:13960110:-1 gene:Potri.002G178500.v4.1 transcript:Potri.002G178500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178500.v4.1 MGKKGGSSWLTAVKRAFRSPTKESDKRATGVGHDQEEDEEKKRGKRRWLFRKPTNQETATQQNLSKAGNVKASPGGGGGAPADHVSAAAAAEQRHAIAVAVATAAAAEAAVATAQAAAEVARLTRPSYHPREHYAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALARVQARVLDQRVRLSHEGSRKSAFSDTNSVLESRYLQDISDRKSMSRESSSIADDWDDRPHSIEEVKAMLQRRKEAAFKREKTLSQAFSQQIWRNGRSPSNGNEDELQERPQWLDQWMPAKPWDNSSRARASTDQRDPIKTVEIDTSQPYSYLVPNFRRTNQNQHHQHQRSNSSNNGVAHSAPSPLHRAHQTAPLHHSPITPSPSKTRPLQVRSASPRCAREDRSCNSSQTPSLRSNYFYNGSLNQHGIRGGASVSSNGNATLPNYMAATESAKARLRSQSAPRQRPSTPERDRIGSARKRLSYPAPDPCDVGIVYGGAGYGHGLRSPSFKSVSGSRLGGLEQQSNYSSCCTDSFGGELSPSSTNDLRRWLR >Potri.012G131100.1.v4.1 pep chromosome:Pop_tri_v4:12:14597760:14601015:1 gene:Potri.012G131100.v4.1 transcript:Potri.012G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131100.v4.1 MGCYRKAKLALDALTSYTSKIVTRPSVEESAFSRIYQDGSLSSASNPVKFSGFSKSCNFYQRLGSGSQMGVNKINYNPFLGNGIGAKRFYYVDRYRVQHFKPRGPKRWFRNPRTVLIVVLVGSGAFITVYYGNLETVPYTKRRHFVLLSKTMERKMGETQFEQMKAAFKGKMLPALHPESVRMRLIAQDIIDALQRGLKREQVWSDMGYASQESDMAHEASAHETLKALSEREEKIEGKWYKEDEILDDNWVQQCRKKEKGLKADASHLDGLNWEILVVNEPVVNAFCLPGGKIVVFTGLLEHFRTDAEIATIIGHEVGHAVARHAAEGITKNLWVAILQLILYQFIMPDIANAMSVLFLRLPFSRRMEIEADYVGLLLMASAGYDPRIAPRVYEKLGKLTGDSALRDYLSTHPSGKRRAQLLAQAQVMEEALHIYRDRIAGRGDEGFFL >Potri.013G082900.2.v4.1 pep chromosome:Pop_tri_v4:13:7044939:7053083:1 gene:Potri.013G082900.v4.1 transcript:Potri.013G082900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082900.v4.1 MFAIFLVLLLSISVGIRAQRSQTLKGRKISGRGETVAANYAFGPLEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFASYVSEIEKDEDNYNDCVKLSKAFLQELSTFEIPLLKSKAVIDSNVREKENFNELKDDINRQILQAQADIEDLKKQLEESKIERQHKEECEAIRKLIATQPPRSLMQKVITDLEKEIAALEAENTASSRLLELRKKQFVLLLPPRSLLLCYFQPVSYGDRKLVLVV >Potri.013G082900.3.v4.1 pep chromosome:Pop_tri_v4:13:7044939:7053083:1 gene:Potri.013G082900.v4.1 transcript:Potri.013G082900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082900.v4.1 MFAIFLVLLLSISVGIRAQRSQTLKGRKISGRGETVAANYAFGPLEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFASYVSEIEKDEDNYNDCVKLSKAFLQELSTFEIPLLKSKAVIDSNVREKENFNELKDDINRQILQAQADIEDLKKQLEESKIERQHKEECEAIRKLIATQPPRSLMQKVITDLEKEIAALEAENTASSRLLELRKKQFVLLLPPRWMSYRTL >Potri.002G074400.1.v4.1 pep chromosome:Pop_tri_v4:2:5199458:5202177:1 gene:Potri.002G074400.v4.1 transcript:Potri.002G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074400.v4.1 MEVPSTSQQEFEYLFKLLLIGDSGVGKSTLLLSFTSKNFEDLSPTIGVDFKVKHVTIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIIMVYDVTRRETFTNLSEIWAKEIDLYSTNQDCIKMLVGNKVDKESERVVTKKEGIDFAREYGCLFLECSAKTRVNVEQCFEELVLKILETPSLLAEGSSGVKKNVFKQKPPEDVTTSSCCSW >Potri.016G123700.1.v4.1 pep chromosome:Pop_tri_v4:16:12799692:12800823:-1 gene:Potri.016G123700.v4.1 transcript:Potri.016G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123700.v4.1 MEENSVFMEENSEQGIDVNRPRKLNLNLPLLSTRRLGGHAGKEETCYATKSQAALQDTSDRIPFCWEQAPGKPKDGDSNDIHEAGTPQPRLPPCRWHLQNEAAKNGDPNCFVSLDDHDDGCDADADADDDDDGDGEEIDVFSDAIDVLSLTEAIDIAQKSNDGHRLDRLNLESVNATDSQSLNFMMERFLPDATALAASSALCAFSNLNKKLPYPYNYSEEYVSRTVGQSHPSEASHKGCGLELLFPWRLKHKLCGVKSPARQVSPNVQPQYCSTKQKKLCSLNRPLRDLHKDI >Potri.016G000300.1.v4.1 pep chromosome:Pop_tri_v4:16:24175:27044:1 gene:Potri.016G000300.v4.1 transcript:Potri.016G000300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000300.v4.1 MDEESITMMQEKTAIVPNPPNPPPRRPRVREVSSRFMSPIASSSSSLSPLPSNKQRSNSVQRQRRNQEADADCSPQRKHHHQRAVIKLFKENEPRSQSHRPDTPTISIINTSSSSKLRMMQQRSTSNINISSAAAKLLQSTGISNSTDSSSSSSSDHDNINPNTKNNNNDVRSSLPDLLRDTDARFLAERNLNRLNNSNNPCASPCSRSLNLQRSISSCDPSLFHSLKSTKLPPVAPCSKIPNDASRKTRKVSSHQEDVQSLKLLHNHYLQWRYVNAKAQASAQAQRRETERNLYSLGVKITELYDSVKRKRAELGLLQRLKILWTIVEAQMPYLDEWAAFEMDYSVSLSEAIQALLNASLQVPISGNVRVDIREVGEALNSATKLMDTVAFNIESLMPKAEETEHLISELARVTGGEKALIEECGDLLSMTYNSQVEECSLRGQLIQFYRSRHNQHQEEQQ >Potri.002G188801.1.v4.1 pep chromosome:Pop_tri_v4:2:15078898:15081138:-1 gene:Potri.002G188801.v4.1 transcript:Potri.002G188801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188801.v4.1 MISRYETDRENHPSFDGAAWCVAIEGVTKGRIYGAPGMPKFMVSMSASSQSYTIESTPSSSSIQALKEQIKERDDHILSLQQEMTSIKKFLSNMGYQAWASNMDQDMLTPIASSMPSHVASQMTTPMYPLSNPVYRPRPRLPYTGPIL >Potri.014G144300.4.v4.1 pep chromosome:Pop_tri_v4:14:9863626:9872172:1 gene:Potri.014G144300.v4.1 transcript:Potri.014G144300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144300.v4.1 MEREKKEVIKLERESVIPILKPKLIMTLANLIEHGSDRAEFVKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVSGAKKLEQQNLSPTEIDVLEQNFLTYLFQVMDKSNFKITSDDEIEVALSGQYLLNVPIKVDESKLDKKLLKTYFADHPHENLPDFSDKYIIFRRGIGIDKTTDYFVLEKVDMLIGRLWGSLLRVTRLDKIFARKPRGQHKKDLKKNDDLNSEEDQDDLFVERIRLEKMDLSVKNLLRKTTIQEPTFDRIIVVYRRAAPKSKTERGIYVKHFKNIPMADLEIVLPEKKNPGLTPMDWVKFLVSAVVGLVAMSGSVEMLKADLWVIFAVLSTVVGYCAKTYFTFQQNMASYQNLTTQSMYDKQLDSGKGTLLHLCDDVIQQEVKEVIMSFFILMEQGKATRQDLDLRCEQLIKEEFDESCNFDVDDAVEKLEKLGIVARDSLGRYCCVSLRRANEIIGTTTEELVLKAQQGVMTNP >Potri.004G227600.2.v4.1 pep chromosome:Pop_tri_v4:4:23092483:23097161:-1 gene:Potri.004G227600.v4.1 transcript:Potri.004G227600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227600.v4.1 MMSEEAGQMLVVYDDPSDQRSLSLDDTSSAEESPDETRLSLETPNDAIPYIGQRFATHDEGYEFYSEFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTSNENKPQRNRKSSRCGCQAYMRISKVTELGAPEWRVTGFDNHHNHELLEPNQVRFLPAYRTISDTDKSRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKLDPEDESVDLLRMCRNIKEKDPNFKFEYTLDSDNRLENIAWSYASSVQSYEIFGDAVVFDTTHRLTAFDLPLGIWVGMNNYGMPCFFGCVISREENLRSLSWALKAFLGFMNGKAPQTILTDQNMCLKDAIAKEMPSTKHALCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESIEDFEQGWRDMVNIFGLHTNRHVANLHALRNLWALPYLRSHFFAGMTAAGHSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQAAEQQTMQQNLQNISLKTGAPMESHAATVLTPYAFSKLQEQLVLAAHYASFQMEDGFHVRHHTKREGGRIVYWVPREGIISCSCNQFEFSGILCRHALRVLSTGNCFQIPERYLPLRWRRINTSSAKLLHSSNDHGERIQLLQNMVSSLITESAKSKERLDIATEQVSILLSRIRDQPVPSQGIRDFTSIHRKL >Potri.004G227600.1.v4.1 pep chromosome:Pop_tri_v4:4:23092404:23097399:-1 gene:Potri.004G227600.v4.1 transcript:Potri.004G227600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227600.v4.1 MMSEEAGQMLVVYDDPSDQRSLSLDDTSSAEESPDETRLSLETPNDAIPYIGQRFATHDEGYEFYSEFAKRCGFSIRRHRTEGKDGVGKGLTRRYFVCHRAGNTPVKTSNENKPQRNRKSSRCGCQAYMRISKVTELGAPEWRVTGFDNHHNHELLEPNQVRFLPAYRTISDTDKSRILMFAKTGISVQQMMRLMELEKCVEPGYLPFTEKDVRNLLQSFRKLDPEDESVDLLRMCRNIKEKDPNFKFEYTLDSDNRLENIAWSYASSVQSYEIFGDAVVFDTTHRLTAFDLPLGIWVGMNNYGMPCFFGCVISREENLRSLSWALKAFLGFMNGKAPQTILTDQNMCLKDAIAKEMPSTKHALCIWMIVAKFPSWFNAVLGERYNEWKAEFYRLYNLESIEDFEQGWRDMVNIFGLHTNRHVANLHALRNLWALPYLRSHFFAGMTAAGHSKSINAFIQRFLSAQTRLAHFVEQVAVAVDFKDQAAEQQTMQQNLQNISLKTGAPMESHAATVLTPYAFSKLQEQLVLAAHYASFQMEDGFHVRHHTKREGGRIVYWVPREGIISCSCNQFEFSGILCRHALRVLSTGNCFQIPERYLPLRWRRINTSSAKLLHSSNDHGERIQLLQNMVSSLITESAKSKERLDIATEQVSILLSRIRDQPVPSQGIRDFTSIHRKL >Potri.011G062600.2.v4.1 pep chromosome:Pop_tri_v4:11:5213578:5217710:-1 gene:Potri.011G062600.v4.1 transcript:Potri.011G062600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062600.v4.1 MKRTNLFTKVNSQLSTCPLIPVNKVLKPCFLLSVLITFLLILSYGVEAAASTTKVTNIGAIIDGNSRTGKEEKTAMEIAVQNFNNISRNHKLSLHFKNPKGDPLQAAYAAEELIKEKKVKVIIGMDKWEEAALVANIGNQSQVPILSFAAPARTPILTSLRWPFLIRMASDGSEQMRCIAALVHSYNWKRVVVIYEDEVLGSEYGNLALLTEALQEVGSEIEYRLVLPPFSFLTDPIDVVQDELIKLQNQTEARVFIVLQSSLPMLTCIFGEAKKAGLVGNDTVWIVGNSITSFLDSVDNPVFSSMEGTLGIKTYYSSNSSYKRFEALFQKIFRSEYLNENDFQPGIQALRAYDSIGIITQAIEKLGSNITSPKMFLNSVLESDFTGLSGRIRFKDGMLSDSPTLRIVNVVGKKCKELDFWLPNCGFSDTLYVEQGKGRCRNNDGGKTTGGLSGPVIWPGDLNGRDPKGWAMPSEAKPLRIIVPRRTSFDKFVTFRIGEKRPVGFCVDLFDEVVKRLNYSIPPVFFEFDGQYGDMIEGVYNKTYDAAIGDITILAERAEYVEFTQPYAESGLSMIVPLETEDTTRIFLKPFNLKMWMVSSALFIYTMLIIWFLEHQTNPEFRGPRKYQFGTALWFTFSSLFFAQRERLYSNFTRVVVVAWLCVVFILTSSYTASLTSMLTVQRMKPNFSQFEKLKNDKLNVGCNNESFVQEYVRDVLGFDHDKIKVFNPENDYTTEFERNSIAAAFLELPYERLFLNQHCKSYSGTKATYRFGGLGFAFQKGSPFAADFSREILCLSEEGNITLLEEKWFAPSPECSTSATNNNVESLSLRSFKGIYIVFAAISTICFLLFLFRLLRNSRPHHEADGGHLTPRGKSGTKYFYNGEKTRVPRRASTFAQALDKDEWGSTKWEYVSNSDNLENNYGSPQAEIEMPKIPRHKDQR >Potri.011G062600.1.v4.1 pep chromosome:Pop_tri_v4:11:5213603:5217262:-1 gene:Potri.011G062600.v4.1 transcript:Potri.011G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062600.v4.1 MDKWEEAALVANIGNQSQVPILSFAAPARTPILTSLRWPFLIRMASDGSEQMRCIAALVHSYNWKRVVVIYEDEVLGSEYGNLALLTEALQEVGSEIEYRLVLPPFSFLTDPIDVVQDELIKLQNQTEARVFIVLQSSLPMLTCIFGEAKKAGLVGNDTVWIVGNSITSFLDSVDNPVFSSMEGTLGIKTYYSSNSSYKRFEALFQKIFRSEYLNENDFQPGIQALRAYDSIGIITQAIEKLGSNITSPKMFLNSVLESDFTGLSGRIRFKDGMLSDSPTLRIVNVVGKKCKELDFWLPNCGFSDTLYVEQGKGRCRNNDGGKTTGGLSGPVIWPGDLNGRDPKGWAMPSEAKPLRIIVPRRTSFDKFVTFRIGEKRPVGFCVDLFDEVVKRLNYSIPPVFFEFDGQYGDMIEGVYNKTYDAAIGDITILAERAEYVEFTQPYAESGLSMIVPLETEDTTRIFLKPFNLKMWMVSSALFIYTMLIIWFLEHQTNPEFRGPRKYQFGTALWFTFSSLFFAQRERLYSNFTRVVVVAWLCVVFILTSSYTASLTSMLTVQRMKPNFSQFEKLKNDKLNVGCNNESFVQEYVRDVLGFDHDKIKVFNPENDYTTEFERNSIAAAFLELPYERLFLNQHCKSYSGTKATYRFGGLGFAFQKGSPFAADFSREILCLSEEGNITLLEEKWFAPSPECSTSATNNNVESLSLRSFKGIYIVFAAISTICFLLFLFRLLRNSRPHHEADGGHLTPRGKSGTKYFYNGEKTRVPRRASTFAQALDKDEWGSTKWEYVSNSDNLENNYGSPQAEIEMPKIPRHKDQR >Potri.019G089900.15.v4.1 pep chromosome:Pop_tri_v4:19:12833251:12837833:1 gene:Potri.019G089900.v4.1 transcript:Potri.019G089900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089900.v4.1 MTIMDLKGITWVGDIYLKFEARLLEVEEIMREEAVKYVENQMQTVSNNVRKFYSDVMQDLCSPDSEDPANGAVSKFPVDSGADVGIYMKPEDGMEEKCGKADDPEQLAEDPKMTADSGSDCLPLRRRITVRRISRQHSKGSLSNKSNLDTDKNSNCNNVSPNEISGTTTLSSKFSSNVELSDQNLEASCDQTARLATPGCVEVTDHFSMEESKNEIKNASKHVPEISFNKPSLDMVNITETGRHEGTDSRPSSRNLLEESNGVCISNEFVSMIESAANGNMQTNKFAYEEDFVSNSDEWGIESDEDGTIIDEGMEIIRADKARLEEVCVLVNVDEFHHVPREGKNRPYKIRDVFRSRKRSVMKEYEQLAAQCSSDSKSKEEESITSLMPTLSIKEANRSLSHDPSESEWELV >Potri.019G089900.9.v4.1 pep chromosome:Pop_tri_v4:19:12833245:12837885:1 gene:Potri.019G089900.v4.1 transcript:Potri.019G089900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089900.v4.1 MTIMDLKGITWVGDIYLKFEARLLEVEEIMREEAVKYVENQMQTVSNNVRKFYSDVMQDLCSPDSEDPANGAVSKFPVDSGADVGIYMKPEDGMEEKCGKADDPEQLAEDPKMTADSGSDCLPLRRRITVRRISRQHSKGSLSNKSNLDTDKNSNCNNVSPNEISGTTTLSSKFSSNVELSDQNLEASCDQTARLATPGCVEVTDHFSMEESKNEIKNASKHVPEISFNKPSLDMVNITETGRHEGTDSRPSSRNLLEESNGVCISNEFVSMIESAANGNMQTNKFAYEEDFVSNSDEWGIESDEDGTIIDEGMEIIRADKARLEEVCVLVNVDEFHHVPREGKNRPYKKIRDVFRSRKRSVMKEYEQLAAQCSSDSKSKEEESITSLMPTLSIKEANRSLSHDPSESEWELV >Potri.019G089900.8.v4.1 pep chromosome:Pop_tri_v4:19:12833245:12837885:1 gene:Potri.019G089900.v4.1 transcript:Potri.019G089900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089900.v4.1 MTIMDLKGITWVGDIYLKFEARLLEVEEIMREEAVKYVENQMQTVSNNVRKFYSDVMQDLCSPDSEDPANGAVSKFPVDSGADVGIYMKPEDGMEEKCGKADDPEQLAEDPKMTADSGSDCLPLRRRITVRRISRQHSKGSLSNKSNLDTDKNSNCNNVSPNEISGTTTLSSKFSSNVELSDQNLEASCDQTARLATPGCVEVTDHFSMEESKNEIKNASKHVPEISFNKPSLDMVNITETGRHEGTDSRPSSRNLLEESNGVCISNEFVSMIESAANGNMQTNKFAYEEDFVSNSDEWGIESDEDGTIIDEGMEIIRADKARLEEVCVLVNVDEFHHVPREGKNRPYKKIRDVFRSRKRSVMKEYEQLAAQCSSDSKSKEEESITSLMPTLSIKEANRSLSHDPSESEWELV >Potri.006G151200.1.v4.1 pep chromosome:Pop_tri_v4:6:13211614:13213981:-1 gene:Potri.006G151200.v4.1 transcript:Potri.006G151200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G151200.v4.1 MEGSKSENAAGLNSKETLINRYKLKPIWRLFMIVNLGLGAYMFVKPKKKTTSKEANRGVENDKVPVEAFLEPATTSIPERPPPPVIREEKEHVPEDQQHDLFKWILEEKRKIKPKDREEKKQIDEEKAILKQFIRAKSNPSL >Potri.016G023360.3.v4.1 pep chromosome:Pop_tri_v4:16:1308289:1310604:1 gene:Potri.016G023360.v4.1 transcript:Potri.016G023360.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023360.v4.1 MASDKSENCLAWAAKDESGVLSPYKFKRRDVGKDDISVKITHCGICYADVLYTRNKFKKSLYPVVPGHEIVGTVQEVGSDVQRFKIGDHVGVGTFINSCRDCEYCNDGLEVHCANGVITTFNSVDVDGTITKGGYSSFIVVHERYCHRIPDGYPLALAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHMAVKFGKVFGMNVTVFSTSISKKEEALNLLGADNFVVSSDTEQMKALDKSLDFIIDTASGEHPFDPYITTLKTAGVLVLVGAPSEMKLTPLKLLLGMISISGSATGGTKHTQEMLDFCGTHKIYPKVEVIPIQSVNEALERLIKNDVKYRFVIDIGNSLK >Potri.016G023360.2.v4.1 pep chromosome:Pop_tri_v4:16:1308289:1310604:1 gene:Potri.016G023360.v4.1 transcript:Potri.016G023360.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023360.v4.1 MASDKSENCLAWAAKDESGVLSPYKFKRRDVGKDDISVKITHCGICYADVLYTRNKFKKSLYPVVPGHEIVGTVQEVGSDVQRFKIGDHVGVGTFINSCRDCEYCNDGLEVHCANGVITTFNSVDVDGTITKGGYSSFIVVHERYCHRIPDGYPLALAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHMAVKFGKVFGMNVTVFSTSISKKEEALNLLGADNFVVSSDTEQMKALDKSLDFIIDTASGEHPFDPYITTLKTAGVLVLVGAPSEMKLTPLKLLLGMISISGSATGGTKHTQEMLDFCGTHKIYPKVEVIPIQSVNEALERLIKNDVKYRFVIDIGNSLK >Potri.016G023360.1.v4.1 pep chromosome:Pop_tri_v4:16:1308289:1310604:1 gene:Potri.016G023360.v4.1 transcript:Potri.016G023360.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023360.v4.1 MASDKSENCLAWAAKDESGVLSPYKFKRRDVGKDDISVKITHCGICYADVLYTRNKFKKSLYPVVPGHEIVGTVQEVGSDVQRFKIGDHVGVGTFINSCRDCEYCNDGLEVHCANGVITTFNSVDVDGTITKGGYSSFIVVHERYCHRIPDGYPLALAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHMAVKFGKVFGMNVTVFSTSISKKEEALNLLGADNFVVSSDTEQMKALDKSLDFIIDTASGEHPFDPYITTLKTAGVLVLVGAPSEMKLTPLKLLLGMISISGSATGGTKHTQEMLDFCGTHKIYPKVEVIPIQSVNEALERLIKNDVKYRFVIDIGNSLK >Potri.013G104100.7.v4.1 pep chromosome:Pop_tri_v4:13:11311190:11314562:-1 gene:Potri.013G104100.v4.1 transcript:Potri.013G104100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104100.v4.1 MGKPLGNRNMGLSKSVEERALSKKMEDDQKKLPAGPAPYFHKDCISNILIWLPLESLPSSRFVCKPWYNIINSRTFIDSHFRRSESVLIFLKSVSRGRSYPYSRPDPFSMTSMPEEQGNTFSVEVALLQSNLKTVPIFGPHVTGSSSKFFIQFMEFKEGKIKVGKYNVSCLGNIRATCNGLILLDNHVKKGLIVMNPVTRKLITLPLGTLCNYHKESYGFALNHVTGDYKLVHLFRDEFEYVTCETLDLATRSWRAVNGPSFGLFNWFGHAPVSAIGALHWIPQLDNSDFIVSMEVENERFHCIPLPHRCTTHDRVMEMGGLLCFVTHEDLNIDIWNLKSVPEGIWTKQYSITKGSLIDMVPLFSLRISGDVIFRRKEDGSFHAYDFKSEVMREFEMDKERNLSSGAYLPHVNSLLSWTPSHHVSD >Potri.013G104100.6.v4.1 pep chromosome:Pop_tri_v4:13:11311061:11314664:-1 gene:Potri.013G104100.v4.1 transcript:Potri.013G104100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104100.v4.1 MGKPLGNRNMGLSKSVEERALSKKMEDDQKKLPAGPAPYFHKDCISNILIWLPLESLPSSRFVCKPWYNIINSRTFIDSHFRRSESVLIFLKSVSRGRSYPYSRPDPFSMTSMPEEQGNTFSVEVALLQSNLKTVPIFGPHVTGSSSKFFIQFMEFKEGKIKVGKYNVSCLGNIRATCNGLILLDNHVKKGLIVMNPVTRKLITLPLGTLCNYHKESYGFALNHVTGDYKLVHLFRDEFEYVTCETLDLATRSWRAVNGPSFGLFNWFGHAPVSAIGALHWIPQLDNSDFIVSMEVENERFHCIPLPHRCTTHDRVMEMGGLLCFVTHEDLNIDIWNLKSVPEGIWTKQYSITKGSLIDMVPLFSLRISGDVIFRRKEDGSFHAYDFKSEVMREFEMDKERNLSSGAYLPHVNSLLSWTPSHHVSD >Potri.005G067000.4.v4.1 pep chromosome:Pop_tri_v4:5:4333587:4338214:-1 gene:Potri.005G067000.v4.1 transcript:Potri.005G067000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067000.v4.1 MGTDKTVPGGCGDDGGGDEGVVLVVGVKLDGQSRELLTWSLVKMAQPGDRVIALHVLDSGTECVAGTGSVLSLVKIFDSLLAVYEGFCNLKQVDLKLKVCRGESVRKTLVKEAKASNVAKLIVGTSKTRQKLNSSTSTAKYCAKKLSKGCSVYAVRSGKILFQREATVAKKLNQESRKCSQKSQNENSLNRTPPLLLPDDSGTHVLEESCWDGNDDNSWALVPIQTSKTPSDSDSNVSEALESKQGWSFLRRVLFPKQQHSEKAHVKRLSVVKWVFRIPTRNSSSVVHPDQKQNISLADADQNSNLEVENYAIVPVGPEVAWTPISPCHDLNGIPEELKNLRERYSSSCRLFSYEELAMATSNFIPENMIGKGGSSHVYKGCLPDGKELAVKILKPSEDVLKEFIAEIEIITTLHHKNIISLFGFCFEQNKLLLVYDLLSRGSLEENLHGNRKDVNTLGWQERYKVAVGVAEALDYLHNCCDQPVIHKDVKSSNILLSDDFEPQLSDFGLACWASTSCHTTCTDVAGTFGYLAPEYFMHGKVSDKVDVFAFGVVLLELLSGRKPINSEHPKGQESLVMWAKPILEGGKVSQLLPRLGSEYDDDHIERMVLAATLCLRRSPKWRPQMSLVLKLLQGDEEVKNWARHQVCASEEHDAMDGETFPSNIQSHLNLALLDLEDDSLSISSTEQGVSIEDYLQGRWSRTSSFH >Potri.005G067000.1.v4.1 pep chromosome:Pop_tri_v4:5:4333987:4338243:-1 gene:Potri.005G067000.v4.1 transcript:Potri.005G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067000.v4.1 MGTDKTVPGGCGDDGGGDEGVVLVVGVKLDGQSRELLTWSLVKMAQPGDRVIALHVLDSGTGNGNSGKECVAGTGSVLSLVKIFDSLLAVYEGFCNLKQVDLKLKVCRGESVRKTLVKEAKASNVAKLIVGTSKTRQKLNSSTSTAKYCAKKLSKGCSVYAVRSGKILFQREATVAKKLNQESRKCSQKSQNENSLNRTPPLLLPDDSGTHVLEESCWDGNDDNSWALVPIQTSKTPSDSDSNVSEALESKQGWSFLRRVLFPKQQHSEKAHVKRLSVVKWVFRIPTRNSSSVVHPDQKQNISLADADQNSNLEVENYAIVPVGPEVAWTPISPCHDLNGIPEELKNLRERYSSSCRLFSYEELAMATSNFIPENMIGKGGSSHVYKGCLPDGKELAVKILKPSEDVLKEFIAEIEIITTLHHKNIISLFGFCFEQNKLLLVYDLLSRGSLEENLHGNRKDVNTLGWQERYKVAVGVAEALDYLHNCCDQPVIHKDVKSSNILLSDDFEPQLSDFGLACWASTSCHTTCTDVAGTFGYLAPEYFMHGKVSDKVDVFAFGVVLLELLSGRKPINSEHPKGQESLVMWAKPILEGGKVSQLLPRLGSEYDDDHIERMVLAATLCLRRSPKWRPQMSLVLKLLQGDEEVKNWARHQVCASEEHDAMDGETFPSNIQSHLNLALLDLEDDSLSISSTEQGVSIEDYLQGRWSRTSSFH >Potri.005G067000.5.v4.1 pep chromosome:Pop_tri_v4:5:4333995:4338203:-1 gene:Potri.005G067000.v4.1 transcript:Potri.005G067000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067000.v4.1 MVFGGCGVECVAGTGSVLSLVKIFDSLLAVYEGFCNLKQVDLKLKVCRGESVRKTLVKEAKASNVAKLIVGTSKTRQKLNSSTSTAKYCAKKLSKGCSVYAVRSGKILFQREATVAKKLNQESRKCSQKSQNENSLNRTPPLLLPDDSGTHVLEESCWDGNDDNSWALVPIQTSKTPSDSDSNVSEALESKQGWSFLRRVLFPKQQHSEKAHVKRLSVVKWVFRIPTRNSSSVVHPDQKQNISLADADQNSNLEVENYAIVPVGPEVAWTPISPCHDLNGIPEELKNLRERYSSSCRLFSYEELAMATSNFIPENMIGKGGSSHVYKGCLPDGKELAVKILKPSEDVLKEFIAEIEIITTLHHKNIISLFGFCFEQNKLLLVYDLLSRGSLEENLHGNRKDVNTLGWQERYKVAVGVAEALDYLHNCCDQPVIHKDVKSSNILLSDDFEPQLSDFGLACWASTSCHTTCTDVAGTFGYLAPEYFMHGKVSDKVDVFAFGVVLLELLSGRKPINSEHPKGQESLVMWAKPILEGGKVSQLLPRLGSEYDDDHIERMVLAATLCLRRSPKWRPQMSLVLKLLQGDEEVKNWARHQVCASEEHDAMDGETFPSNIQSHLNLALLDLEDDSLSISSTEQGVSIEDYLQGRWSRTSSFH >Potri.005G067000.2.v4.1 pep chromosome:Pop_tri_v4:5:4333975:4338310:-1 gene:Potri.005G067000.v4.1 transcript:Potri.005G067000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067000.v4.1 MGTDKTVPGGCGDDGGGDEGVVLVVGVKLDGQSRELLTWSLVKMAQPGDRVIALHVLDSGTGNGNSECVAGTGSVLSLVKIFDSLLAVYEGFCNLKQVDLKLKVCRGESVRKTLVKEAKASNVAKLIVGTSKTRQKLNSSTSTAKYCAKKLSKGCSVYAVRSGKILFQREATVAKKLNQESRKCSQKSQNENSLNRTPPLLLPDDSGTHVLEESCWDGNDDNSWALVPIQTSKTPSDSDSNVSEALESKQGWSFLRRVLFPKQQHSEKAHVKRLSVVKWVFRIPTRNSSSVVHPDQKQNISLADADQNSNLEVENYAIVPVGPEVAWTPISPCHDLNGIPEELKNLRERYSSSCRLFSYEELAMATSNFIPENMIGKGGSSHVYKGCLPDGKELAVKILKPSEDVLKEFIAEIEIITTLHHKNIISLFGFCFEQNKLLLVYDLLSRGSLEENLHGNRKDVNTLGWQERYKVAVGVAEALDYLHNCCDQPVIHKDVKSSNILLSDDFEPQLSDFGLACWASTSCHTTCTDVAGTFGYLAPEYFMHGKVSDKVDVFAFGVVLLELLSGRKPINSEHPKGQESLVMWAKPILEGGKVSQLLPRLGSEYDDDHIERMVLAATLCLRRSPKWRPQMSLVLKLLQGDEEVKNWARHQVCASEEHDAMDGETFPSNIQSHLNLALLDLEDDSLSISSTEQGVSIEDYLQGRWSRTSSFH >Potri.004G193500.3.v4.1 pep chromosome:Pop_tri_v4:4:20726824:20731064:-1 gene:Potri.004G193500.v4.1 transcript:Potri.004G193500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G193500.v4.1 MAVNCPTPMKKIKPCFVCGSLEHGAKQCSKGRDCFICKKSGHRAKNCPDKYNATPQSSKICLNCGESGHEMFSCKKDYSPDDLKEIQCYICKSFGHLCCVTSGDDSLRQVSCYRCGELGHSGLECGRLNEEATMAESPSLCYRCGEGGHFARECTRSAKGGKRSRELSTPTLKSHRENNKSMGIKSAPHDLGKSRKKRKTKSKEKGNDASLQKSKHKGRRIAEDQGNLSQSTPKKSKHRGGWITDDPGDIFKSTPTKSKHKGGWISEDPGDASQSKYKKNHFKSPSTPSYKGHKISPMTSGHHMSGSQTSNNNKWSQSGTSAFEGSATPYQHGYSLSRFGNPGNAFGNSGHAHSNMGHAHSHFSHGYNSGHAYSNSSQAYSNSSHAYTNSGHVYNNSSHAHSHSAHGYNSDHAYINSRHAYSKSRHEHSSPGYSYNNSGHAYPNSGPGHSNHGHAYGNSGYDFGSPGSAGMRRKNGWW >Potri.004G193500.4.v4.1 pep chromosome:Pop_tri_v4:4:20726792:20731069:-1 gene:Potri.004G193500.v4.1 transcript:Potri.004G193500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G193500.v4.1 METKEKQKTKIEVIEEEEEKQSEKFVVEVSSDDEEANEDLSLKIVEKSLLMKAAKLTANGNCLIVLDDDDDDDGSGGDGGDTGVVEVAATSSMEAVAAGVGPSGGKKRKKKVEKRINISAVNAKEEGKVGTAEEAETVENSETIEKAGTSEKVEAVEAAELVESGGANADEESVNVVLRKLLRGPRYFDPPDSGWSTCYNCGEEGHMAVNCPTPMKKIKPCFVCGSLEHGAKQCSKGRDCFICKKSGHRAKNCPDKYNATPQSSKICLNCGESGHEMFSCKKDYSPDDLKEIQCYICKSFGHLCCVTSGDDSLRQVSCYRCGELGHSGLVSPIQCFQHLHVCLHGHFSLSILHIFSRVLWLRRKFHL >Potri.004G193500.1.v4.1 pep chromosome:Pop_tri_v4:4:20726746:20731165:-1 gene:Potri.004G193500.v4.1 transcript:Potri.004G193500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G193500.v4.1 METKEKQKTKIEVIEEEEEKQSEKFVVEVSSDDEEANEDLSLKIVEKSLLMKAAKLTANGNCLIVLDDDDDDDGSGGDGGDTGVVEVAATSSMEAVAAGVGPSGGKKRKKKVEKRINISAVNAKEEGKVGTAEEAETVENSETIEKAGTSEKVEAVEAAELVESGGANADEESVNVVLRKLLRGPRYFDPPDSGWSTCYNCGEEGHMAVNCPTPMKKIKPCFVCGSLEHGAKQCSKGRDCFICKKSGHRAKNCPDKYNATPQSSKICLNCGESGHEMFSCKKDYSPDDLKEIQCYICKSFGHLCCVTSGDDSLRQVSCYRCGELGHSGLECGRLNEEATMAESPSLCYRCGEGGHFARECTRSAKGGKRSRELSTPTLKSHRENNKSMGIKSAPHDLGKSRKKRKTKSKEKGNDASLQKSKHKGRRIAEDQGNLSQSTPKKSKHRGGWITDDPGDIFKSTPTKSKHKGGWISEDPGDASQSKYKKNHFKSPSTPSYKGHKISPMTSGHHMSGSQTSNNNKWSQSGTSAFEGSATPYQHGYSLSRFGNPGNAFGNSGHAHSNMGHAHSHFSHGYNSGHAYSNSSQAYSNSSHAYTNSGHVYNNSSHAHSHSAHGYNSDHAYINSRHAYSKSRHEHSSPGYSYNNSGHAYPNSGPGHSNHGHAYGNSGYDFGSPGSAGMRRKNGWW >Potri.004G223900.1.v4.1 pep chromosome:Pop_tri_v4:4:22857972:22863164:-1 gene:Potri.004G223900.v4.1 transcript:Potri.004G223900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223900.v4.1 MVPFIVLTLFSLLSTTCHSSLVGDFRVLVSLKRGFEFPEPVLNTWNLSNPSSVCSWVGIHCSRGRVSSLDLTDFNLYGSVSPQISKLDQLTSLSLAGNNFSGAIELAGMSNLRFLNISNNQFNGGLDWNYTSIADLEVFDAFDNNFTAFLPLGILNLKKLRHLELGGNYFYGKIPTSYGELAGLEYLSLMGNNLQGKIPGELGNLTNLREIYLANYNVFEGEIPVELSNLVNLVHMDLSSCGLDGPIPNELGNLKLLHTLYLHINFLSGSIPKELGNLTNLVNLDLSYNALTGEIPFEFINLKQLNLLNLFLNRLHGSIPDYVADLPNLETLQLWKNNFTGEIPPNLGRNGKLQLLDLSSNKLTGTVPQDLCSSNQLRILILFKNFLFGPIPEGLGACYSLTKVRLGQNYLNGSIPIGFIYLPELILAEFQSNYLSGTLSENGNSSLKPVKLGQLDLSNNLFSGPLPSSLSNFSSLQTLLLSGNKFSGPIPPMIGELLQVLKLDLSRNSFSGPVPPEIGNCFHLTFLDMSQNNLSGPIPSDMSNIRNLNYLNLSRNHLNQTIPKSLGSLKSLTVADFSFNDFAGKLPESGQFSLFNASSFAGNPLLCGPLLNNPCNFTTVTNTPGKAPSNFKLIFALGLLICSLIFATAALIKAKTFKKSSSDSWKLTTFQKLEFTVTDIIECVKDGNVIGRGGAGIVYHGKMPNGVEIAVKKLLGFGNNSHDHGFRAEIQTLGNIRHRNIVRLLAFCSNKDTNLLVYEYMRNGSLGEALHGKKGALFLGWNLRYKIAIEAAKGLCYLHHDCSPLIVHRDVKSNNILLNSSFEAHVADFGLAKFLVDGGASQCMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELLTGRRPVGDFGDGVDIVQWSKRATNSRKEDAMHIVDPRLTMVPKDEAMHLFFIAMLCSQENSIERPTMREVVQMLSEFPRHTSPDYYLSSSSSILPQQMKKSGTEKKEIVNGLKYKQDLLV >Potri.014G095500.1.v4.1 pep chromosome:Pop_tri_v4:14:6225411:6230472:1 gene:Potri.014G095500.v4.1 transcript:Potri.014G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095500.v4.1 MLEKKMEGINTDKVIEEFEALTEDAGMVQRETLKKILEENGSAEYLLNSGLNGRTDPESFKSCVPLVTHKDLEAYIYRIADGDPSPILTGKPIPDMSLSSGTTQGRRKLVPFNDELMENTLQIYRTSFAFRNREFPLEKGKSLQFVYSSKPWKTKGGLGAGTATTNIFRNSKYKNGMKAIQFQCCSPDEVIFGPDFHQSLYCHLLCGLLFREEIQFVFSTFAHSILLAFRTFEQVWEELCNDIRDGELSSRVTAPSVRIAMSKLLKPSPELADLIYKKCSGLSNWYGLIPELFPNAKYIYGIMTGSMEPYLKKLRHYAGELPLMSADYGSSEGWVAANVNPKLPPELATFAVLPNIGYFEFIPLNNNAECLYMEPKPVGLTEVKIGEDYEIIVTTFAGLYRYRLGDVVRVMGFHNTTPELKFVCRRNLVLSINIDKNTEKDLQLSVEEAGKLLAEEKLEIVDFSSLVDVSTDPGHYVIFLEISGEPSEEVLRECCNCLDRSFVDPGYVGSRKVKAIGPLELRVVWRGTFQKILEHYLGLGTVVSQFKTPRCVGPMNSKVQQILCNNVAKTYFSTAF >Potri.014G095500.3.v4.1 pep chromosome:Pop_tri_v4:14:6226745:6230473:1 gene:Potri.014G095500.v4.1 transcript:Potri.014G095500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095500.v4.1 MSLSSGTTQGRRKLVPFNDELMENTLQIYRTSFAFRNREFPLEKGKSLQFVYSSKPWKTKGGLGAGTATTNIFRNSKYKNGMKAIQFQCCSPDEVIFGPDFHQSLYCHLLCGLLFREEIQFVFSTFAHSILLAFRTFEQVWEELCNDIRDGELSSRVTAPSVRIAMSKLLKPSPELADLIYKKCSGLSNWYGLIPELFPNAKYIYGIMTGSMEPYLKKLRHYAGELPLMSADYGSSEGWVAANVNPKLPPELATFAVLPNIGYFEFIPLNNNAECLYMEPKPVGLTEVKIGEDYEIIVTTFAGLYRYRLGDVVRVMGFHNTTPELKFVCRRNLVLSINIDKNTEKDLQLSVEEAGKLLAEEKLEIVDFSSLVDVSTDPGHYVIFLEISGEPSEEVLRECCNCLDRSFVDPGYVGSRKVKAIGPLELRVVWRGTFQKILEHYLGLGTVVSQFKTPRCVGPMNSKVQQILCNNVAKTYFSTAF >Potri.010G162700.3.v4.1 pep chromosome:Pop_tri_v4:10:16761388:16766037:1 gene:Potri.010G162700.v4.1 transcript:Potri.010G162700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162700.v4.1 MNGECLNFENPIGDALSRVQFAPQSNNLLVSSWDSKLRLYDVDSSLLRLEAPAPSQAALLDCCFQTESVAFTAASDGSIIRYDLHSGTNDAIGNHQDIAACVGYSIETCQVISAGLDKKVMSWDVRLANPLTLFRNLDAEIESISVSGFDLMVAVGAAVNIYDLRNFERAVDLKESSMDVGIRCVASTSYTRGYAIGSIDGRVAVEISNPLNLNSIRYTFRCHPKTKDGKAHLVSVNDIAFNPLISGTFVTGDNEGYVTAWDAQSKRKLHEFPRYPNSVASLSYNHVGQLLAVASSYTYQEANETEVPPQIFIQKMDGSDIGYSPEVSRDSSRK >Potri.008G012000.1.v4.1 pep chromosome:Pop_tri_v4:8:606682:611472:-1 gene:Potri.008G012000.v4.1 transcript:Potri.008G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012000.v4.1 MAVAAFKSTSRRATTTSTATSDKETSTKQHALPRKTVPPRRSRSVSAVSRSHLVDTSTTRTAAEGTATGSTNLLIKRDNPLYWSNVSPPDKEVGEVVVDKEESKSAPTKPNVDGDSRRGRSVSRKADAGKNVSGIGRSLSRGPVSRGRSVSRPPGSRGHFVNSESDAEREGSSLTKYRNGSGGLSSVSDAGRNSDLARSYDCKFEKMRSFPMQSDGSASDLPSLPIRSWEDKGLGSSISEAEERTIKAVFEQMQSFQGDNLGDGTSSRIYETVRSEVRRAIADIQNDLESTIRRSNTTAIALANVNDIPPDLVNPSAVELVLDIRREYAKKLEQSQERARKLRADLAVEEHRGLELSRILKEVLPHPKMSNVQKPRAGRKSSIERSKVSKRLTDEAMAYFDECVSLSTFDSSDFSSPEDPPINLVGVGDCASFSQENFNAAANCYLNSFATSKQELVGTHSHGASVLSTTGSCQEPALEEVTLNSSETPDSRRLQFSFAQKPNDSIELQQDIRKYVKSFEKDTEKPAINSKILRSNHFDLDEYNLQASRQNFLFDTVFLNNRIQSGSVLLCDGGMGVSFSPFAAVI >Potri.003G171200.1.v4.1 pep chromosome:Pop_tri_v4:3:17964002:17968319:1 gene:Potri.003G171200.v4.1 transcript:Potri.003G171200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171200.v4.1 MECNKDEATRAKEIAEKKFSAKDIAGAKKFALKAQNLYPGLEGIPQMMATLDVYVAAGNKINGEADWYGILGADPQADDEAVRKHYRKLALMLHPDKNKSVGADGAFKFISEAWSLLSDKTKRMAYDQRRNGKVFQKSSSSFGSSSAKPGSNGFFNFTKSSVKTNKSTSRTGHSSTPASSYKTKPNTFWTVCHGCKMQYEYLRVYLNHKLLCPNCHEPFLAVEMPPPPLHASRSAAPSSSFKQQQNSNHQAATSRNTSHSGRSNVASSNLGAGGSSGPDSNNQGNFQWGAFSRAGGATTAAQAVSVVQRAYEKVKREREEVQAATKREEAMKRKNRAASKKMSSASSNVHSNAAKRRRGMEDVGHGNNGSPFTTGFGGAGSGTANISGFRQGSSENRVNGITKPYGMRDVSKFETQTVLMEKAKTDIRKNINEWKSATVVKSAPGKGVENEKAIDQGKNSLSNPDDITDQNKSVDMENGVNDIKISPITSGMKTEAETLETMSINVPDSDFHDFDKDRTERCFGENQVWAAYDDDDGMPRYYAMIQSVISLNPFKMRISWLNSKTNSELGLLNWVGSGFSKTCGDFRVGRYEIYNSLNSFSHKVRWIKGTGGVIRVYPRKGDVWALYRNWSPEWNELTADEVIHKYDMVEVLEDYSEELGVTVTPLVKVAGFKTVFHQHLDPKEVRRIPREEMFRFSHHVPSYLLMGQEGPNAPKGCRELDPAATPSELLQVVVDVKEEEIVENGGNKTESKESNEGKSQSPVTS >Potri.010G233000.1.v4.1 pep chromosome:Pop_tri_v4:10:21447995:21450103:-1 gene:Potri.010G233000.v4.1 transcript:Potri.010G233000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233000.v4.1 MMRRQPELESETLVEAALRVLNTGDPFEKAKLGDLVASKWQQGSIFQAYNPSLDFPVPDRRARLANVRLVSPSLMPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIIARFGKQEGMPREFFTDFVKVAQDEGRHFNLLAKRLEELGSSYGALPAHDGLWDSAIATSKDLLARLAIEHCVHEARGLDVLPTTISRFRNGGDNETADLLETVVYPEEITHCAAGVKWFKYLCLRSKTPALSRDNLSSEENGDKETEISTEGNEEVIQKFHAIVRTHFRGPLKPPFNEEARKAAGFGPQWYEPLAVKEVQNNPII >Potri.010G233000.2.v4.1 pep chromosome:Pop_tri_v4:10:21448041:21449986:-1 gene:Potri.010G233000.v4.1 transcript:Potri.010G233000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233000.v4.1 MPKLGKAGSLQSRQAIVHSLVHTESWAIDLSWDIIARFGKQEGMPREFFTDFVKVAQDEGRHFNLLAKRLEELGSSYGALPAHDGLWDSAIATSKDLLARLAIEHCVHEARGLDVLPTTISRFRNGGDNETADLLETVVYPEEITHCAAGVKWFKYLCLRSKTPALSRDNLSSEENGDKETEISTEGNEEVIQKFHAIVRTHFRGPLKPPFNEEARKAAGFGPQWYEPLAVKEVQNNPII >Potri.005G186600.1.v4.1 pep chromosome:Pop_tri_v4:5:19411825:19420384:-1 gene:Potri.005G186600.v4.1 transcript:Potri.005G186600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186600.v4.1 MGDSAERKSEVKEEKTSDCSSSSKGNKFYDIYGPDARAEVVYKTPPETSSTLNLQDVQGLVTWVLSEGFMPSWVFIKNKPLIPKVVMLYVPGLDAALYISQSKLLAGFKECCGNPMPLLSLSCVSDGMQTVDALLTCKMKRKRNEVDSVSRKSVLTSEQERNNSSMGNISSAGLMKDLPFPLSYYTLTAKELEDNGYCINQPGFVSTVSAPSGSGPYDILALDCEMCITNEGFELTRVTLVDIEGQVVLDKLVKPSNDIVDYNTRFSGITYEMLNGVTTSLKDIQEDFLKLVYKETILVGHSLENDLLALKISHEVVIDTALLYKHPRGGNYKTALRVLSKRFLSQEIQQSGAGHDSIEDARATMELALLKIRNGPDFGSPPSFVRRKLLTVLSECGKTSSFIDDISIVKRYTSGSSHSFPVSSDEEALLKARKEVKNDGVHFVWTQFSELNSYFKKQAEDEGKLNGKLAEMISLLTCEKKSTNTKGMKCSMTPQLKEILTQMDARVRCLYSTLPTNTMLIICTGHGDTAIVNRVRKMLAEQKETAISREKIVKVLEELQAQAEVALCFVGVKN >Potri.011G138900.2.v4.1 pep chromosome:Pop_tri_v4:11:17005357:17008614:-1 gene:Potri.011G138900.v4.1 transcript:Potri.011G138900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138900.v4.1 MDNTGGGSFMRNRRLESFLDTNSTPNGKQTPKILVREEVVKSPKSDVYVEDDGWISALISCVRIVVCFLSMMVTTFIWSLILLLLLPWPYERIRQGNIYGHVTGRMLMWILGNPIRIEGPEFSNERAIYVCNHASPIDIFLMMWLTPTGTVGIAKKEIIWYPLFGQLYVLANHLRIDRSNPTAAIQSMKEVAHAVVKNNLSLIIFPEGTRSKNGRLLPFKKGFVHLALQTRLPIVPMVFTGTHHAWRKGGLHVRPAPITVKYLRPIKTDDWTDDKVNDYVRLLHDIYVENLPEAQRPLH >Potri.018G072600.4.v4.1 pep chromosome:Pop_tri_v4:18:9126178:9142707:-1 gene:Potri.018G072600.v4.1 transcript:Potri.018G072600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072600.v4.1 MESASSSSSSSSISTPPLQEEPEYLARYLVVKHSWRGRYKRILCISNVSIITLDPNTLSVTNSYDAGADFESASAIIGRDENSSEFNLSVRTDGKGKFKAIKFSSKFRASILTELHRIRWNRLAPVAEFPVLHLRRKPKDWVLFKMKITCVGVELIELKSGDLRWCLDFRDMSSPAIMLLADAYGNKGGDHGGFVLCPSYGRKSKAFQAASGTTNAAIISNLTKTAKSTVGVSLSVDSSQSLSAEEYLNRRAKEAVGEKETPFGHWSVTRLRSAAHGTLNVPGLSLGVGPKGGLGEHGDAVSRQLILTKGSLVERRHDNYEVVIVRPLSAVSSLVRFAEEPQMFAIEFNDGCPIHVYASTSRDSLLAAVRDVLQTEGQRPVTVLPRLTMPGHRIDPPCGRVHLLSRSQRQIADVESTSLHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPINIDVHEVTLMALITMLPATPNLPPESPPLPPPSSKAAATVMGFIACLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVTALIGGGTGDASLLADSKGEKHATIMHAKSVLFAHNGYVVILVNRLKPMSISPLLSMAVVEVLEAMICEPHGETTQYTVFVELLRQVAGLRRRLFSLFGHPAESVREIVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLSHAFFSPAGERREVSRQLVALWADSYQPALDLLSRVLPPGHVAYLHTRSDGAQLEEDNREGTLISRRQRRLLQQRKGRAGRGIASQEHSLPPVNNYEVGDPVRQINAGALRGSDNYKKSSLDANSGQSSAAHAIENLTNDVASTGYPQNDHSPIIASADARMTNMHEESEPNASNSVDSDSCGPGVQNTDLPAPAQVVVKNTPVGSGQLLCNWHEFWRAFSLDHNRADLIWNERTRQELREALKAEVNKLDAEKARSEDIIPGGVTADVMAGQDSTPQISWNYTEFSVSYPSLSKEVCVGQYYLRLLLDSSSNARAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGTVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHFSTIGSFEGTAHVTVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVLVGGCVLAVDLLTVVHEASERTSIPLQSNLLAATAFMEPLKEWMYIDNNGTEIGPLEKDAIRRCWSKKDIDWSTKCWASGMLEWKKLRDIRELRWVLATRVPVLTSFQVGDAALSILHFMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPSIVEAAAALLKAIVTRNPKAMVRLYSTGAFYFCLAYPGSNLLSIAQLFYATHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIVWTHKMRAENLICQVLQHLGDFPHKLSQHCHSLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDISEEEACRILEISLEDVSNDEAKMKYSSEDTTNITKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDQDDNNFLSADRAPLLVAASELIWLTCASSSLNGEELVRDGGIQLVATLLCRCMFVVQPTTPASEPSAIIVTNVMRTFSVLSRFESARAEMLQFSGLVEDIVHCTELELVPEAVDAALQTIAHVSVSSELQDALLRAGVLWYLFPLLLQYDSTAEDSDKTESLGVGSSVQIAKNMHAVRASQALSRLSGLCTEGSSTPYNATAADALRALLTPKLASMLKDQLPKDLLIKLNTNLESPEIIWNSTTRAELLKFVDQQRASQGPDGSYDVKDSHAFLYEALSKELFVGNVYLRVYNDQPDFEISEPEAFCVALIDFISFLVNNQFSKDSDVQNILNPSSSSPQTPEVISDTSDVLVNGQLVTDDSMAVSDGKSTDKGELDLVKNFQFGLTSLKNILTSYPNLASIFSSKEKLFPLFGCFSVPIASKSNIPQLCLAVLSLLTTYAPCLEAMVADGSSLLLLLEMLHYAPSCREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQKDIPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVAVIRDGPGEAVVSALEQTTETPELVWTPAMASSLSAQIATMASDLYREQMKGRLVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDTQTVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMASDEVKNGNYADKAYESDDGSSPPAQTLQERVRLSCLRVLHQLAASTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVAAGNRARDALVAQGLKVGLVDVLLGLLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVKMFMIIILIFSCPPGRLFG >Potri.018G072600.3.v4.1 pep chromosome:Pop_tri_v4:18:9126176:9142748:-1 gene:Potri.018G072600.v4.1 transcript:Potri.018G072600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072600.v4.1 MESASSSSSSSSISTPPLQEEPEYLARYLVVKHSWRGRYKRILCISNVSIITLDPNTLSVTNSYDAGADFESASAIIGRDENSSEFNLSVRTDGKGKFKAIKFSSKFRASILTELHRIRWNRLAPVAEFPVLHLRRKPKDWVLFKMKITCVGVELIELKSGDLRWCLDFRDMSSPAIMLLADAYGNKGGDHGGFVLCPSYGRKSKAFQAASGTTNAAIISNLTKTAKSTVGVSLSVDSSQSLSAEEYLNRRAKEAVGEKETPFGHWSVTRLRSAAHGTLNVPGLSLGVGPKGGLGEHGDAVSRQLILTKGSLVERRHDNYEVVIVRPLSAVSSLVRFAEEPQMFAIEFNDGCPIHVYASTSRDSLLAAVRDVLQTEGQRPVTVLPRLTMPGHRIDPPCGRVHLLSRSQRQIADVESTSLHLKHLAAAAKDAVAEGGSIPGSRAKLWRRIREFNACIPYSGVPINIDVHEVTLMALITMLPATPNLPPESPPLPPPSSKAAATVMGFIACLRRLLASRSAASHVMSFPAAVGRIMGLLRNGSEGVAAEAAGLVTALIGGGTGDASLLADSKGEKHATIMHAKSVLFAHNGYVVILVNRLKPMSISPLLSMAVVEVLEAMICEPHGETTQYTVFVELLRQVAGLRRRLFSLFGHPAESVREIVAVIMRTIAEEDAIAAESMRDAALRDGALLRHLSHAFFSPAGERREVSRQLVALWADSYQPALDLLSRVLPPGHVAYLHTRSDGAQLEEDNREGTLISRRQRRLLQQRKGRAGRGIASQEHSLPPVNNYEVGDPVRQINAGALRGSDNYKKSSLDANSGQSSAAHAIENLTNDVASTGYPQNDHSPIIASADARMTNMHEESEPNASNSVDSDSCGPGVQNTDLPAPAQVVVKNTPVGSGQLLCNWHEFWRAFSLDHNRADLIWNERTRQELREALKAEVNKLDAEKARSEDIIPGGVTADVMAGQDSTPQISWNYTEFSVSYPSLSKEVCVGQYYLRLLLDSSSNARAQDFPLRDPVAFFRALYHRFLCDADIGLTVDGTVPDELGASDDWCDMGRLDGFGGGGGSSVRELCARAMAIVYEQHFSTIGSFEGTAHVTVLLDRTDDRALRHRLLLLLKVLMKVLSNVEACVLVGGCVLAVDLLTVVHEASERTSIPLQSNLLAATAFMEPLKEWMYIDNNGTEIGPLEKDAIRRCWSKKDIDWSTKCWASGMLEWKKLRDIRELRWVLATRVPVLTSFQVGDAALSILHFMVSAHSDLDDAGEIVTPTPRVKRILSSPRCLPHIAQAMLSGEPSIVEAAAALLKAIVTRNPKAMVRLYSTGAFYFCLAYPGSNLLSIAQLFYATHVHQAFHGGEEAAVSSSLPLAKRSVLGGLLPESLLYVLERSGPSAFAAAMVSDSDTPEIVWTHKMRAENLICQVLQHLGDFPHKLSQHCHSLYDYAPMPPVTYPELRDEMWCHRYYLRNLCDEIRFPNWPIVEHVEFLQSLLVMWREELTRRPMDISEEEACRILEISLEDVSNDEAKMKYSSEDTTNITKQIENIDEEKLKRQYRKLAMKYHPDKNPEGREKFLAVQKAYERLQATMQGLQGPQPWRLLLLLKGQCILYRRYGDVLEPFKYAGYPMLLNAVTVDQDDNNFLSADRAPLLVAASELIWLTCASSSLNGEELVRDGGIQLVATLLCRCMFVVQPTTPASEPSAIIVTNVMRTFSVLSRFESARAEMLQFSGLVEDIVHCTELELVPEAVDAALQTIAHVSVSSELQDALLRAGVLWYLFPLLLQYDSTAEDSDKTESLGVGSSVQIAKNMHAVRASQALSRLSGLCTEGSSTPYNATAADALRALLTPKLASMLKDQLPKDLLIKLNTNLESPEIIWNSTTRAELLKFVDQQRASQGPDGSYDVKDSHAFLYEALSKELFVGNVYLRVYNDQPDFEISEPEAFCVALIDFISFLVNNQFSKDSDVQNILNPSSSSPQTPEVISDTSDVLVNGQLVTDDSMAVSDGKSTDKGELDLVKNFQFGLTSLKNILTSYPNLASIFSSKEKLFPLFGCFSVPIASKSNIPQLCLAVLSLLTTYAPCLEAMVADGSSLLLLLEMLHYAPSCREGALHVLYALASTPELAWAAAKHGGVVYILELLLPLQKDIPLQQRAAAASLLGKLVGQPMHGPRVAITLARFLPDGLVAVIRDGPGEAVVSALEQTTETPELVWTPAMASSLSAQIATMASDLYREQMKGRLVDWDVPEQASGQQEMRDEPQVGGIYVRLFLKDPKFPLRNPKRFLEGLLDQYLSSIAATHYDTQTVDPELPLLLSAALVSLLRVHPALADHVGYLGYVPKLVAAVAYEGRRETMASDEVKNGNYADKAYESDDGSSPPAQTLQERVRLSCLRVLHQLAASTTCAEAMAATSVGTPQVVPLLMKAIGWQGGSILALETLKRVVAAGNRARDALVAQGLKVGLVDVLLGLLDWRAGGRNGLCSQMKWNESEASIGRVLAIEVLHAFATEGAHCNKVREILNASDVWSAYKDQKHDLFLPSSAQSAAAGVAGLIENSSSRLTYALAAPPQPPQGRPRAPSPSDSNGNQDQLI >Potri.010G158400.1.v4.1 pep chromosome:Pop_tri_v4:10:16496599:16502375:-1 gene:Potri.010G158400.v4.1 transcript:Potri.010G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158400.v4.1 MMRGLELVFFAILLVLATLQVANSHGEQPLSRIAVHNTRLQLFENADIKASPSVLGLKGQNSEWVTLEYASPNPSNDDWIGVFSPANFSASTCNPDDGSKQAPPFLCTAPIKYQYANYSSPGYRKEGKGSLRLQLINQRSDFSFVLFSGGLTNPKVVAVSNKVAFTNPNAPVYPRLAQGKIWNEMTVTWTSGYGINEAEPFVEWGRKDGDHMRSPAGTLTFNRNSMCGAPARTVGWRDPGFIHTSFLKELWPNSVYTYKLGHKLFNGTYVWSQVYQFRASPYPGQSSVQRVVIFGDMGKDEADGSNEYNNFQRGSLNTTKQLIQDLKNIDIVFHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIASGNHERDWPGTGSFYGNSDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASADRQKQPWLIFLAHRVLGYSSATWYADQGSFEEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNICTSKEKFFYKGTLNGTIHVVAGGGGASLADFTPINTTWSYFKDHDYGFVKLTAFDHSNLLFEYKKSRDGEVYDSFKISRDYRDILACTVDSCPSMTLAS >Potri.010G158400.6.v4.1 pep chromosome:Pop_tri_v4:10:16496432:16503074:-1 gene:Potri.010G158400.v4.1 transcript:Potri.010G158400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158400.v4.1 MMRGLELVFFAILLVLATLQVANSHGEQPLSRIAVHNTRLQLFENADIKASPSVLGLKGQNSEWVTLEYASPNPSNDDWIGVFSPANFSASTCNPDDGSKQAPPFLCTAPIKYQYANYSSPGYRKEGKGSLRLQLINQRSDFSFVLFSGGLTNPKVVAVSNKVAFTNPNAPVYPRLAQGKIWNEMTVTWTSGYGINEAEPFVEWGRKDGDHMRSPAGTLTFNRNSMCGAPARTVGWRDPGFIHTSFLKELWPNSVYTYKLGHKLFNGTYVWSQVYQFRASPYPGQSSVQRVVIFGDMGKDEADGSNEYNNFQRGSLNTTKQLIQDLKNIDIVFHIGDICYANGYLSQWDQFTAQVEPIASTVPYMIASGNHERDWPGTGSFYGNSDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFRFCIADTEHDWREGTEQYKFIEHCLASADRQKQPWLIFLAHRVLGYSSATWYADQGSFEEPMGRESLQKLWQKYKVDIAMYGHVHNYERTCPIYQNICTSKEKFFYKGTLNGTIHVVAGGGGASLADFTPINTTWSYFKDHDYGFVKLTAFDHSNLLFEYKKSRDGEVYDSFKISRDYRDILACTVDSCPSMTLAS >Potri.018G125058.1.v4.1 pep chromosome:Pop_tri_v4:18:13673669:13678220:1 gene:Potri.018G125058.v4.1 transcript:Potri.018G125058.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G125058.v4.1 MATFNSSAISFLLLSALVSTLFFLCLSPLNQTIRISTPLSSLYSPNYSIEEAKSNHTFERFQSFPSPTTAVGDEEISAPKDKEKISERLPPSPSPSPSPLPLPVHNSSAVSSLPSIPVITRHEKKKTDFERIEEGLAQARAAIYRAIRSQNSSSYKEGSYIPRGSMYRNQYAFHQSYTEMEKRFKVWVYKEGELPVVHGAPVNDIYSIEGQFLDEIESGKSPFIARHPDEAHAFFLPISVAYIIHYVYKPRITFARDQLQRLVTDYVRVVADKYTYWNRTQGADHFSVSCHDWAPDVSRANPELFRYFVRVLCNANISEGFRPQRDVSIPEIFLPVGKLGPPREYTKPPSKRSILAFFAGGAHGHIRKVLLTHWKEKDDEVQVHEYLTQRNKKNTNLYFELMGQSKFCLCPSGHEVASPRVVTAIQLGCVPVTISANYSLPFSDVLDWSKFSVDIPPEKIPEIKTILKGISSRRYLTMQRRVMQIQRHFMLNRPAQPYDMLHMILHSVWLRRLNVKKDSSI >Potri.013G064250.1.v4.1 pep chromosome:Pop_tri_v4:13:4765858:4766625:1 gene:Potri.013G064250.v4.1 transcript:Potri.013G064250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064250.v4.1 MLARGSNQEFKIPSGISAKEESQKTSYHQIIRKSRTLIYTERRVN >Potri.009G083300.1.v4.1 pep chromosome:Pop_tri_v4:9:7871153:7871808:1 gene:Potri.009G083300.v4.1 transcript:Potri.009G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083300.v4.1 MMSSKISLAFFTLITLSLILPSRAQDNPQDYLDAHNAARAAVGVGPLTWDTTVQAYAQNYANQRAGDCNLIHSGGPYGENLAWSSADLSGTDAVKMWVDEKAYYDYNSNSCAAGQQCGHYTQVVWRNSARLGCAKVKCSTGGTFIGCNYDPPGNYVGQKPY >Potri.014G028650.1.v4.1 pep chromosome:Pop_tri_v4:14:1797297:1797635:-1 gene:Potri.014G028650.v4.1 transcript:Potri.014G028650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028650.v4.1 MRSATVCLPSFQSPYSLVPEYMRPFLSKYSAHQNPMSFHLLSSPEPLAQHLVLRMITGQHCEEGAYLIAVCRAILCVLV >Potri.014G132000.3.v4.1 pep chromosome:Pop_tri_v4:14:8822077:8823856:-1 gene:Potri.014G132000.v4.1 transcript:Potri.014G132000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132000.v4.1 MATKPAEEALDMLKYQTWFLKVSIHCEGCKKKVKKVLQSIDGVYKTDVDSHRHKVTVTGNVDAQTLIKRLMRSGKHAELWPENYENKEKRSGKSKNNDKQKSPKDVQEVGNDGHHQKSTPAENPETDAKTSSGNGGDDQNSDAESDDAGEESAAPVAAAASGVGSGKNKKKKKKKKRPNGNSNNGANGAESGGVPADTGSSVADLYSAPPMPLMIHSPPQPPVYPYPPMHYPPPPAYGINYRTAYPSASESYYTHPMHDHIHYYQNRYQPPAPPSDPINEYGDDDNETGCSVM >Potri.015G094400.2.v4.1 pep chromosome:Pop_tri_v4:15:11669124:11671983:-1 gene:Potri.015G094400.v4.1 transcript:Potri.015G094400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094400.v4.1 MVKYSREPDNQTKSCKARGSDLRVHFKNTRETAFSIRKMPLDKAKRYLEDVLAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELILSEKEEPVKKEADTQIAPRKPKGALRSGASS >Potri.001G230604.1.v4.1 pep chromosome:Pop_tri_v4:1:25006210:25011261:1 gene:Potri.001G230604.v4.1 transcript:Potri.001G230604.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230604.v4.1 MMRPSASKFINWLLGLKNSSPSMVDCEVGSYSASRKSKCQGSFSGHLNGSLTKAYTTSCAKLSEDPQSTNLTSEMLIDSFGRMHTYLRISSTDRRNPHCQYCMPTEGVELTPSPQLLSQNEIVHLANLFVSSGVDKIRLTGGEPTIRKGLKPLAMAANGITLPRKLPELKECGLTSVNISLDTLVPAKFEFLTRRKGHERVVDSINTAVDCGYNPAKVNCVVMRGFNDDYICDFVELTRDKPINVRFIEFMPFDGNGKLFPGLKRLQDHPADTAKNFRMAGHIGTVSFITSMTEHFCAGCNRLRLLADGNFKVCLFDPSEVSLGDPLRSRSRRKKASHAGMFDIAKTVNRPMIHISGQGLAYGNVYCIIYSDRSNSIVGEFFFLLKLLSTSKN >Potri.005G253301.1.v4.1 pep chromosome:Pop_tri_v4:5:24564726:24565046:1 gene:Potri.005G253301.v4.1 transcript:Potri.005G253301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253301.v4.1 MKTYFPYEDIIAATEDLDLKYCIGSTGYGSVYKAQLPSAQVVALKKLHHREAEEHGFNKSFKNEVKLLTSFMDFVYTNEACFLFTSPWKGEEQDQRQCSPVIFFAS >Potri.005G055100.1.v4.1 pep chromosome:Pop_tri_v4:5:3469425:3470880:-1 gene:Potri.005G055100.v4.1 transcript:Potri.005G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055100.v4.1 MKNCHEPKAQKVNILSSSSSSLPSLNSSDSEDLERMPLAPLILKNKKRLSKQLSMCETRRDRAWERRRRQILMQERRRSGLLESDDLTDEDLHELKGCIELGFGFKEEEGHQLTNTLPALDLYFAVNRQLSPSPVSTPQSGDLSSSSSSAMGTRSSSFGSPMSDPDWKICSPGDDPKQVKTKLRHWAQAVACSVMQSY >Potri.004G113700.1.v4.1 pep chromosome:Pop_tri_v4:4:10438837:10446744:1 gene:Potri.004G113700.v4.1 transcript:Potri.004G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113700.v4.1 MTNKIAEKRRQQEEDDEEDGDLDGWGERNYSDERSWEALQEDESGLLRPLDNKAMYHAQYRRRLRSLSTASNSQRIQKGLIRFLYVVLDLSRAASVMDFRPSRMAVVAQNVEAFIREFFDQNPLSQIALVTIKDGVAYSLTELGGSPESHIKALMAKLECSGDSSLQNALELVHEYLDKIPSYGNREVLILYSALTTCDPGDIMETIQKCKKSKMRCSVIGLSAEMFICKHLCQETGGLYSVALDESHFKELILEHAPPPPAIAEFAIANLIKMGFPQRAAEGSISICSCHKESKVGEGYICPRCKARVCELPTECRICGLTLVSSPHLARSYHHLFPIAPFDEVKPSRQNEPHRRSQKTCFGCQQSLVNPGNKPSLQVACPKCKQYFCLDCDIYIHESLHNCPGCESLRAS >Potri.014G114801.1.v4.1 pep chromosome:Pop_tri_v4:14:7724306:7724626:1 gene:Potri.014G114801.v4.1 transcript:Potri.014G114801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114801.v4.1 MKTTYALSKAFMILKEALQNLQSFPSEKILSVLVVWNGTL >Potri.014G015700.1.v4.1 pep chromosome:Pop_tri_v4:14:925602:928703:1 gene:Potri.014G015700.v4.1 transcript:Potri.014G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015700.v4.1 MAFGQVVIGPPGSGKTTYCNGMSQFLSLIGRKVAVINLDPANDALPYDCAVNIEDLIKLSDVMNEHSLGPNGGLVYCMDYLEKNIDWLQSKLEPLLKDHYLLFDFPGQVELFFLHSNAKNVIMKLIKKLSLRLTAVHLVDAHLCSDPGKYVSALLLSLSTMLHLELPHINVLSKIDLIESYGKLAFNLDFYTDVQDLSYLQDQLDQDPRAAKYRKLTKELCDVVQDFSLVDFTTLDIQDKESVGNLVKLIDRTNGYIFAGVESSAVEFSKIAVRPVDWDYYRVAAVQEKYMKDDENFGNDI >Potri.005G040650.1.v4.1 pep chromosome:Pop_tri_v4:5:2649072:2649230:1 gene:Potri.005G040650.v4.1 transcript:Potri.005G040650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040650.v4.1 MSFCMDQSSTPHQPFHSALISLVLLCVSGSLSTGHQPSPSYRHLHRPLLPLL >Potri.003G219000.1.v4.1 pep chromosome:Pop_tri_v4:3:21375980:21379283:1 gene:Potri.003G219000.v4.1 transcript:Potri.003G219000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G219000.v4.1 MEALNASFVPSPHIPATPAQVLRKSQFLHFQFSSIPSSFVQSYDRNKSSSKILTTLSSSVSTETLDPTEPALETDSQQETFDWYAQWYPVMPVCDLDKRVPHAKKVMGLDLVVWWDRNENEWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQAPPDGPPVHTFKKACVAAYPTTVQNDIVWFWPNSSPEYKDILSSKKPPYIAELDDPSYIKTMGNREFPFGYEILIENLVDPAHVPYAHFKLLPGPPAKNRVKLDREGGAPIDITINKLDKEGFHASRFGGITNFMAPCVYKSAFSLPPKKGNEPQRRGLLLFFCVPVGPGKSRLIYTFPRNFGVWMDRIMPRWVFHLSQNRILDSDLYLLHLEERRIMEVGPTNWQKACFVPTKSDAQVVAFRRWLKTYSGGQINWGGKFSGALPPTPPKEQLMDRYRSHVVNCSSCSLAYKSLNALEVALQVISFGSIGAVAATKQNAMSAAARTSLVLMAILCFAASRWLAHFIYKNFHFHDYNHALL >Potri.001G045500.1.v4.1 pep chromosome:Pop_tri_v4:1:3307067:3310493:-1 gene:Potri.001G045500.v4.1 transcript:Potri.001G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045500.v4.1 MPVDTSSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADLLDYESLKEAIQGCDGVFHTASPLTDDPEQMVEPAVNGSKNVIMAASEAKVRRVVFTSSIGTVYMDPNRSPDVVVDESCWSDLEYCKNTKNWYCYGKTVAEQVAWDVAKKKGVDLVVVNPVVVLGPLLQPTVNASILHILKYLTGSAKTYANAVQAYVHVRDVAVAHILVFETPSASGRYICFEKMLHRGEVVEILAKFFPEYPIPTKCSDEKNPRKQPYKFTNQKIKDLGIEFTPVKQCLYETVKSLQEKGHLPIPKQAKDGSVVRISKY >Potri.008G135700.1.v4.1 pep chromosome:Pop_tri_v4:8:9024205:9026879:1 gene:Potri.008G135700.v4.1 transcript:Potri.008G135700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135700.v4.1 MASLAHPGSQTRLFTKLSHQPKKFTPPSRIRMSLQENAPSLAVVGVTGAVGQEFLSVLSDRDFPYRSIKMLASKRSAGKQLTFQDRNYTIEELTEDSFDGVDIALFSAGGSISKHFGPVAVDKGAIVVDNSSAFRMEEGIPLVIPEVNPEAMEGIKVGMGKGALIANPNCSTIICLMAATPLHRHAKVQRMVVSTYQAASGAGAAAMEELELQTREVLEGKPPTCNIFKQQYAFNLFSHNAPILSNGYNEEEMKLVKETRKIWNDMNVKVTATCIRVPVMRAHAESINLQFQQPLDEDTAKDILKSTPGVVVIDDRASNHFPTPLEVSNKDNVAVGRIRRDLSQDGNKGLDIFVCGDQIRKGAALNAIQIAEMLL >Potri.018G033700.1.v4.1 pep chromosome:Pop_tri_v4:18:2558902:2563548:-1 gene:Potri.018G033700.v4.1 transcript:Potri.018G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033700.v4.1 MAMVMTMVQQSKNNNANSGHSNSVNINNSTATSQQQQKQQVKAMFHDFLGMKATTDSPVVLAPKYKDGSPSASASLGASSGGGRGPLSSTSDLASERQAGNHLEGIPFYGPRSDISGPEISNRLAGSKRSNSDSAFTGPRDGVPQMAHDSTESLHLMKMLKNGGGGEWSRRSNDDEVFYGMQSKRPSSASLSLQPSAGNRLDANVSKWERSIPMGVGAYPTRGGQFVPFTHQIPTNRFRDTNAGPSVISQSAADEGSRTGIKGPGILSSINAGSGISEKNSSGGLSSGGKPKIGIHISEPESSTPASQKGLTSASRQMTIFYGGQAHVFDDVHPNKADVIMSLAGSNGGSWSTTYSPKPTARQGSESFMTSNEYEGAVAANTPFPHEFRGRTFVTGNATHAVGSGDRISTPAGGHHGSSIIIAKETRNLVQAREPSNEDK >Potri.006G257300.4.v4.1 pep chromosome:Pop_tri_v4:6:25426833:25431407:1 gene:Potri.006G257300.v4.1 transcript:Potri.006G257300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257300.v4.1 MIMAAAAVRRGGSEAFFIGSQIQRCQMKIMGMILLSPTTSSRNHSNFISSSSSSSSSNSRDKHKNDDASSSFRYINDALAFFSSSSSISSRDKHQNDASSFRNIDDALAYFNHMLHRKPRPCIIQFNKLLSAIVKMRHYHDAVISLSKQMELAGLSPDTYTLHMLINCFFQLQRVDLGFSVLAKIIKLGLQLTIVTFNTLINGLCKVGKFGQAVELFDDMVARGYQPDVHTYTTIINGLCKIGETVAAAGLFRKMGEAGCQPDVVTYSTIIDSLCKDRRVNEALDIFSYMKAKGISPNIFTYNSLIQGLCNFSRWREASAMLNEMMSLNIMPNIVTFSLLINIFCKEGNVFEARGVLKTMTEMGVEPNVVTYSSLMNGYSLQAEVVEARKLFDVMITKGCKPDVFSYNILINGYCKAKRIGEAKQLFNEMIHQGLTPDIVSYNTLIDGLCQLGRLKEAHDLFKNMLTNGNLPDLCTYSILLDGFCKQGYLAKAFRLFRAMQSTYLKPNMVMYNILIDAMCKSRNLKEARKLFSELFVQGLQPNVQIYTTIINGLCKEGLLDEALEAFRNMEEDGCPPNEFSYNVIIRGFLQHKDESRAVQLIGEMREKGFVADVGTTA >Potri.006G257300.3.v4.1 pep chromosome:Pop_tri_v4:6:25426996:25431401:1 gene:Potri.006G257300.v4.1 transcript:Potri.006G257300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257300.v4.1 MIMAAAAVRRGGSEAFFIGSQIQRCQMKIMGMILLSPTTSSRNHSNFISSSSSSSSSNSRDKHKNDDASSSFRYINDALAFFSSSSSISSRDKHQNDASSFRNIDDALAYFNHMLHRKPRPCIIQFNKLLSAIVKMRHYHDAVISLSKQMELAGLSPDTYTLHMLINCFFQLQRVDLGFSVLAKIIKLGLQLTIVTFNTLINGLCKVGKFGQAVELFDDMVARGYQPDVHTYTTIINGLCKIGETVAAAGLFRKMGEAGCQPDVVTYSTIIDSLCKDRRVNEALDIFSYMKAKGISPNIFTYNSLIQGLCNFSRWREASAMLNEMMSLNIMPNIVTFSLLINIFCKEGNVFEARGVLKTMTEMGVEPNVVTYSSLMNGYSLQAEVVEARKLFDVMITKGCKPDVFSYNILINGYCKAKRIGEAKQLFNEMIHQGLTPDIVSYNTLIDGLCQLGRLKEAHDLFKNMLTNGNLPDLCTYSILLDGFCKQGYLAKAFRLFRAMQSTYLKPNMVMYNILIDAMCKSRNLKEARKLFSELFVQGLQPNVQIYTTIINGLCKEGLLDEALEAFRNMEEDGCPPNEFSYNVIIRGFLQHKDESRAVQLIGEMREKGFVADVGTTA >Potri.006G064850.1.v4.1 pep chromosome:Pop_tri_v4:6:4639271:4639564:1 gene:Potri.006G064850.v4.1 transcript:Potri.006G064850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064850.v4.1 MGQSKFCLCPSGHEVASPRVVTAIQLGCVPVTISDNYSLPFSDVLDWSKFSVDIPSEKIPDIKIILKGISVRRYFTMQRRVMQIRRHFTLNRPDISR >Potri.017G074300.1.v4.1 pep chromosome:Pop_tri_v4:17:8203699:8206634:1 gene:Potri.017G074300.v4.1 transcript:Potri.017G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074300.v4.1 MAESEKLVALKKAYADIILNTAKEAASRVMESERKGLRYHHDLCSSKDEALRLLLRLKQMIDAKAIEAEITSASQQSKIDELDAQLQEAEGVIIDLRAELRWVRDELEKVRNSQVQPSNGEAVKENESSHQRLTSEPIVLSLSNLPPQTVATSNVKSTLLDRRNFNKCCNTVDQQLSVSPLENYSSRNADLASITMANKEPELYRNGCTQRIRASEGNAFASKLPPSDVGVEQQSLIKNEVIVKASNGDEGKCTETSPKTKNVGKMNFSGEEVRNHVKVCSSRQKRGRFAKAKRKSCPIVRKPYQPPSIVSRRKTNSVNGTVKSDQHLCTLPPVKPSNQDMKKNPIELEEELLETNDCLTAEMIVPEGKRPRTERITTSGSSSSSSPSVQHSEFCQKPFVLTPCKNYSFLLHGNVKSDEDESKITENVVKLKPLPSLGPVLTLIRGGLDSICGSTNVEVSVKALCGSVEDTDADKDMDFVDELAKEELDSNQSPIVPSYESNAQMINVPIVFSNLKDAKTSEEITVSVPLVPSDIKVAKSSLESNLFPSQSDNRLLKYTFQRKHKKEAVSSSDNNASGEKNILKRKAEEKPED >Potri.009G030200.2.v4.1 pep chromosome:Pop_tri_v4:9:4128054:4128566:-1 gene:Potri.009G030200.v4.1 transcript:Potri.009G030200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030200.v4.1 MFSELKSFSLMGFSNSEMFFSEKDLNPMEFNFLVRSALELGDDCEIVPQDLHQEKEVLEKEEKQEDECEISVPTLKIKLPSVEAFQIEDDKDDDDDGFKTPTSLDRKIPVIFQCPPAPRKPKSLPSAKRKSPQRRVLLDLSNEIESLFPPALAGDLGGKIKKVRQGNDTK >Potri.009G069400.6.v4.1 pep chromosome:Pop_tri_v4:9:6857443:6866241:-1 gene:Potri.009G069400.v4.1 transcript:Potri.009G069400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069400.v4.1 MTSCFRRLTTGPTPPSGPAQSRQRSTADILSLPLKRISINQQPGILLRSSRQHSRSFIIEAVEPGDRSGGGNEAEKAGPCACLVGDQTVGGVVESIDRTVEINGKRTISGSDSRSKEDRAVEVAVAAAVTVVLGVGNRVLYKLALLPLKHYPFFLAQLATFGYVIVYFTILHIRHRAGIVTDEMLSMPKAPYILVGLLEALGAATGMAAGAILSGASIPILSQTFLVWQILLSTIFLGRRYKVNQLLGCFLVAVGVIITVASGPSAGSLREAGIFWSLLMMFSFFLQAADTVLKEVIFSDAAKQLKGGSVDLFVINSYGSAFQALFVCLLLPFMSKLWGIPFSQLPNYLKDGAVCFLNIGSLSSGCDGAPLLPLLFVIVNMGFNISLLHLLKISSAVVSSLASTFSVPIAVYVFTLPLPYLGVASSLPTGFVAGAIVLVLGLLIYAWTPSR >Potri.009G100400.3.v4.1 pep chromosome:Pop_tri_v4:9:8910211:8915518:1 gene:Potri.009G100400.v4.1 transcript:Potri.009G100400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100400.v4.1 MVVYRHALLVLGLLAFIAKQLPSTTADCPLDLSGSNFTLAAAVCSNKDKRGQCCRYINAFVAVSIARYANTTSNLGVASNVSDICLRSISQTMQLYGVPSNATIFCGFGTKIPVNYECGGRTTITQMLESPKFVSVAQNCRLPLSLKSDCKRCLNAGIIYLHHLVGTDNNVTLSTCRDAAFTALASQFDDASAVEIASCFFGVQGLNTPSEPPPSSVTPEAPPSPLTAAGPSQVMLGLPLKSTHHPYHLTLVPIVGIAVTTVAFVMLIVLIVLIRRKSRELEESENIDKTFPRSIPPPRPTRKFQEGPASMLRKFSYKETMKATDNFNTIVGQGGFGTVYKAEFSDGLVAAVKRMNKVSEQVEEEFCREIELLARLHHRHLVALRGFCIKKNERFLMYEYMANGSLKDHLHSSGSPLSWQTRMQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSICFEPVNTDIRGTPGYMDPEYVVTQELTEKSDIYSYGVVLLEIVTARRAIQESRNLVEWSQIFMASDLRLPELVDPCIRDSFDMDQLQTIVTIVRWCTQREGRARPSIKQVLRLLYESSDPMHSGFLRAVEDEECEGSEGRGRTSRGKSHKSDAIFHSGDGRYLASSSSTSRSYCSRSFLLETGSPQSPSNILSV >Potri.005G248600.3.v4.1 pep chromosome:Pop_tri_v4:5:24260073:24262567:1 gene:Potri.005G248600.v4.1 transcript:Potri.005G248600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248600.v4.1 MAGGHGEGINYKGLTMHKPKRWHVVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHGDHGHESGH >Potri.006G128250.1.v4.1 pep chromosome:Pop_tri_v4:6:10392321:10392461:-1 gene:Potri.006G128250.v4.1 transcript:Potri.006G128250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128250.v4.1 MEKEIKDLSSWIEVTPALFISLRKTSSSPALETITEEEAEGGEDDD >Potri.007G001650.1.v4.1 pep chromosome:Pop_tri_v4:7:106145:107591:-1 gene:Potri.007G001650.v4.1 transcript:Potri.007G001650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001650.v4.1 MGSVVSQAANGIGGIVGNAFAVPIKTLFGVSCEDVCSGPWDLICFIEHLCVSDLLKLLMIFALSYMTLMFFYLLFKIGVCQCIGRSLCKMCWAACETYWFALDDIACFLWHKLKNTKRVNRRR >Potri.007G119100.1.v4.1 pep chromosome:Pop_tri_v4:7:13740704:13741256:1 gene:Potri.007G119100.v4.1 transcript:Potri.007G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119100.v4.1 MKFLARLGSCYFSTTAIAAAPEVEDVDVDVVEQAAAATTVINGDVTLLAPGSGRRSKSRGRGSWRPALSAISEDGRISFRRRKPAETTEKKAVKALASKVMKKPEAQNLTAQMSFSGFSPTPFMF >Potri.010G142600.1.v4.1 pep chromosome:Pop_tri_v4:10:15537741:15540345:1 gene:Potri.010G142600.v4.1 transcript:Potri.010G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142600.v4.1 MSHPEITNRWSLQGTTALVTGGTKGIGYAVVEELAALGACVHTCARTQGQIDACLRQWKERGLKVSGSVCDVSSQADREKLIKEVSSLFGGKLNILINNAGTNVYKPTLEYTAEDFSFLMNTNLQSAFHLSQLAHPLLKASGAGRIVFVSSICGVTSVNIGYPIYSASKGAINQLTRNLACEWAKDNIRVNSVAPWFINTPMNEDSLQNESVVKELAYRTPMGRAGEPGEVSSVVAFLCLPGPSFTTGQVICIDGGLSVNGFSMG >Potri.004G012500.1.v4.1 pep chromosome:Pop_tri_v4:4:765383:767145:1 gene:Potri.004G012500.v4.1 transcript:Potri.004G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012500.v4.1 MWTELSATISSLAVVFFMFEKYLNYFPYTIRGYAERNFRKVVNFVNPYVAISFHEFTSERLKRSDAFFAIQNYLSTSSTENAKRLKADVVKESQSVVLSMEDYEEVTDVFNGVRVWWASGKIPPQSKSISWFPGSEEKRYYKLTFHKRYREIITKSYVEHVLKKGKEIAVKNRQRMLYTNNPSKDWHGWKPTKWGNIVFEHPSTFDTLAMDTAKKEEIKKDLIKFSKGKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMANLLDYDVYDLELTTIKDNSELRKLLIETKGKSIIVIEDIDCSLDLTGQRKKRKEKDDDEADKEKDPISKKKKEAEEESGSKVTLSGLLNVIDGIWSACGGERIIIFTTNYVDKLDPALIRRGRMDKHIVMSYCCFEAFKVLAKNYLDIESHELFGKIEELFVESKMSPADVADSLMPKSDEQDEETCLKRLVEALEASKEEARKKSEEEAMLKTKDGVVTEG >Potri.001G377400.3.v4.1 pep chromosome:Pop_tri_v4:1:39683114:39684776:-1 gene:Potri.001G377400.v4.1 transcript:Potri.001G377400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377400.v4.1 MQMDPTKKRKLEENGIVSSTTDLDSPYKLTPQDARKMMERFTPDQLLDILQNAVVRHPDILEAVRSIADPDATQRKLFIRGLGWETTTENLRNLFSTYGELEEAVVILDKNTGKSKGYGFVIYKHVDGALLALKEPSKKIDGRVTVTQLAIAGNSGANNNNNSSANPGVVDVAMRKIYVANVPYEMPSDKLLNHFAQYGEIEEGPLGFDKQTGKSKGFALFVYKTAEGAQAALLEPVKMIEGRQLNCKLAIDGKRGRQPGGGQGPGQDGLQGQVQGGNVHGDGGMGMVLQTGYGAPGGYGSYGGAFSSGVPPMGGHQQQHHHPAAPLNASLGGPGLGSQGVVGLSGTGGGSYGPPYGGYGGPGSTGYGGLGGGGAGVGASVGASSSFRLPPSSVGMPTGGYPDPGQYSLSSSNASFPSQHQGASPAPRVPSGGMYPNLPPYY >Potri.001G377400.1.v4.1 pep chromosome:Pop_tri_v4:1:39679880:39684782:-1 gene:Potri.001G377400.v4.1 transcript:Potri.001G377400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377400.v4.1 MQMDPTKKRKLEENGIVSSTTDLDSPYKLTPQDARKMMERFTPDQLLDILQNAVVRHPDILEAVRSIADPDATQRKLFIRGLGWETTTENLRNLFSTYGELEEAVVILDKNTGKSKGYGFVIYKHVDGALLALKEPSKKIDGRVTVTQLAIAGNSGANNNNNSSANPGVVDVAMRKIYVANVPYEMPSDKLLNHFAQYGEIEEGPLGFDKQTGKSKGFALFVYKTAEGAQAALLEPVKMIEGRQLNCKLAIDGKRGRQPGGGQGPGQDGLQGQVQGGNVHGDGGMGMVLQTGYGAPGGYGSYGGAFSSGVPPMGGHQQQHHHPAAPLNASLGGPGLGSQGVVGLSGTGGGSYGPPYGGYGGPGSTGYGGLGGGGAGVGASVGASSSFRLPPSSVGMPTGGYPDPGQYSLSSSNASFPSQHQGASPAPRVPSGGMYPNLPPYY >Potri.018G095000.1.v4.1 pep chromosome:Pop_tri_v4:18:11500456:11503163:-1 gene:Potri.018G095000.v4.1 transcript:Potri.018G095000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095000.v4.1 MEDQRRGAGLTNPVNNSSITYPSHAQLGVNPGLVQGDQYPPGYRFKPKDQELISCYLLCKIRNRPLPRNGIHEVTLYKYNPETLAENYEPYGEKEWYFLTPRDRKYPNGDRPNRAAGDGYWKATGADTDVISANQVIGSKKTLVFYRGKAPGGGKTNWIMHEYRARNSDGAPKRTNDGMRLDEWVLCRIHNRTDGPPTNRINGLQDDEIEDQPSENNGNLVPQQVVVHGISEYHNPGSQLGYLDTSYGNSVSAFPQNSQNGLVVGVSQNHAVYSSNTVTGNFNPGSQMGYLDSYGNSASAYPQNSQNGLVAGVSQDHAVTSVATVTGNYNHVSQMGYLGRYGNFASAYPHNSQNGLARVSQDNALPGIPQTYNLQLDQSSSYVLKTILDYEISSFQFGNGGNDDFGENNDDLFGLDNIVPPSTSSDDRNDHH >Potri.001G069948.1.v4.1 pep chromosome:Pop_tri_v4:1:5276905:5280185:1 gene:Potri.001G069948.v4.1 transcript:Potri.001G069948.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069948.v4.1 MESLLLFVCYQNFTFPPHILLASFHPNMEEEDQRISKMSNFVQEFEDDEQFQSAGGSQHSICSPNPTENGGSTPTTSTTSKKKRNLPGNPDPDAEVVALSPRTLMATNRYICEVCHKGFQRDQNLQLHRRGHNLPWKLKQRQNTQAKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKRYAVQSDWKAHTKICGTREYRCDCGTIFSRKDSFVTHRAFCDALAEENCKVNQNLAATGGMLQAQEPFSSSMPSSDACTNKSAAMNLTISAENSDSALRPLSLNSSGMVMSSNLNPRTSLPLACFSSLDGSNTSPSAIGSSYTSATALLQKAAVMGAKISDNSIAPILFKGFNGYSTTSMNSSGLVPEGSSIDGSNIGPHAANMNGIYLGDTEMFDKNLDPGYPRNSVCQTGFFESPLLMDIENGNAAHALAGEAYMGGSEKMTVDFLGVEPPTGHSAVGRKRNYEGNIMGLGYSNAQQNLHNLHSDW >Potri.008G193000.1.v4.1 pep chromosome:Pop_tri_v4:8:13580337:13581870:-1 gene:Potri.008G193000.v4.1 transcript:Potri.008G193000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193000.v4.1 MGSNSIVSNRWMSEKMNCLDLSPNSKSDNEEEEVHVLAVDDSFVDRKVIERLLKISSCKVTAVDSGWGALKLLGLLDDDEEDKSSSSSSSSSSSAGFEGLKVDLIITDYCMPGMTGYELLKKIKESSSFREIPVVIMSSENVMARIDRCLEEGAEEFIAKPVKLSDVKRLRDYYMATREIRSVQSSSNVNKRKLQESFDVSTSSSPPSISPSPSPSSASPPSLFSSSAPCSPSSLDSPTRRIKMTSFD >Potri.002G205275.1.v4.1 pep chromosome:Pop_tri_v4:2:17011448:17013379:-1 gene:Potri.002G205275.v4.1 transcript:Potri.002G205275.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205275.v4.1 MAALEEEQVMVVGIDDSQHSTYALEWTFDHFFTPPPGSNSPFKVVVVHAKPSATSVVSLAGPGIAEILPIVESDLKKSAVRVIGKAKEICISKSVSGVIFEVVEGDPRNVLCEAVEKHHASVLVVGSHGYGAIKRAVLGSVSDYCAHQAHCTVMIVKRPKMP >Potri.014G170950.1.v4.1 pep chromosome:Pop_tri_v4:14:12607632:12608514:-1 gene:Potri.014G170950.v4.1 transcript:Potri.014G170950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170950.v4.1 MPGKCFPFLILRKTLSFPLFTKRVPSSSDYRDWRNWWIRRTELGKKRILLLHHSREEEEEVWFRHRHRHHILRDQLITQQEICDLGTSISIPAVNMIKKRVSLCRSLPLNEDELRVHTPVVISCNEGRKEVSAL >Potri.019G107400.1.v4.1 pep chromosome:Pop_tri_v4:19:14190912:14191781:1 gene:Potri.019G107400.v4.1 transcript:Potri.019G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G107400.v4.1 MTTYMDPVLFKAAEAGNIGPFENDQTCLNQLFTPDENTILHVCLGNQSSEPESTYFVDKILEMCPPLLLQANKKGEIPLHLAARYGHSNVVRVLIDRARARPTDPESGVTEAKKMLRMTNVEQDTALHEAARNRRGHVVEILTKEDPYFSYSANVHEETPLYIAASIVSRPSKELRKVVNEILRNCISVDYGGPNGRTALHGSSAVGDHGRILCSSLEN >Potri.014G051600.3.v4.1 pep chromosome:Pop_tri_v4:14:3320573:3324333:-1 gene:Potri.014G051600.v4.1 transcript:Potri.014G051600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051600.v4.1 MTILTYIPSQPFHTRAPWPILLLLVYDKLHHPFHYLSQNKPSMNMDSSKNALGNTVLVSILFACCVLCLCIWSASLSNPLLFSFQRSNHCPSHSQTDMKTLKFPTDELELALVKASTPNKTVIITVVNQAYVEQSVDAETTMLDLFLDSFWLGEDTRPLLDHLLVVAVDQIAYEMCFFKGLNCYKLETEGVDFGGEKIYMSQDFINMMWRRTLFLLDVLKRGYNFIFTDTDVMWLRNPLSRLSIYNESLDLEITTDRFNGNPESEKNPINTGFYYIRSNNKTVSLFDAWYGRKDNSTGKKEQDVFFDLMDEGMFGQLGLQARFLDTVYFSGFCEDSKDIKAVITVHANCCRSINAKIKDLTAVLRDWKKFKATSAEAAAAHSTVTVPFSWTGHFGCLDSWENNV >Potri.018G132500.1.v4.1 pep chromosome:Pop_tri_v4:18:14212333:14220011:1 gene:Potri.018G132500.v4.1 transcript:Potri.018G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132500.v4.1 MNLLHSTSHSVHVLKFISQFRSTPKFCLLKRNVTSNLENFGSNLFSNSTASISIKAARDGVDTAVVVEEEGPKLRKFQVFEGHPSPFGATVRDGGVNFAIFSANAVSATLCLISLSDLPENRVTEQFFLDPLTNKTGDVWHVHLKGDFKDMLYGYKFDGSFSPEEGHYYDPSQIVLDPYAKAVISRGDFGVLGSDDNCWPQMACMIPTADDKFDWEGDSLLKYPQRDLILYEMHVRGFTQHESSRTEFPGTYLGVVEKLGHLKELGVNCIELMPCHEFNELEYYDYNSVFGDYKVNFWGYSTVNYFSPMTRYSSAGTLNCGHDAINEFKLLVREAHKRGIEVFMDVVFNHTAEGNERGPILSFKGVDNSVYYMLAPKGEFYNYSGCGNTFNCNHPVVRQFILDCLRYWVIEMHVDGFRFDLASIMTRSSSLWDAVNVFGSPIEGDLVTTGTPLGSPPLIEMMSNDPILRDVKLIAEAWDAGGLYQVGMFPHWHIWSEWNGKYRDIVRQFIKGTDGFSGAFAECLCGSPGLYQEGGRKPWNSINFVCAHDGFTLADLVTYNEKHNLANGEDNNDGENHNNSWNCGQEGELASISVKKLRKRQMRNFFLCLMVSQGVPMIHMGDEYGHTKGGNNNTYCHDNYINYFWWDKKEESSSDFFRFCRLMTKFRHECESLGLNDFPTAERLQWHGHAPGTPDWSETSRFVAFTLIDSVKREIYIAFNASHLSVTITLPERPAYRWEPLVDTGKPAPFDFLSGDLPERDTAMKQYSHFLEANLYPMLSYSSIILVLLPVDNA >Potri.010G215500.1.v4.1 pep chromosome:Pop_tri_v4:10:20281385:20286800:-1 gene:Potri.010G215500.v4.1 transcript:Potri.010G215500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215500.v4.1 MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIEKDEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWAQLNHTLKVYLPECNLQKDSWKAELNEFSSKNGYDLNRNGDSGPLLLDVLEGFLKFENLSQGRGAGRRVPEAESLSNVESRNIRMRRPSSSSVAGGLPPLGRPASSLASDRRAGSSMSGYRKDDYSRRYDSEELPEDVIQASAALENLQLDRKARNLTSSWRHAGDVISDDDGRVDHI >Potri.005G214100.1.v4.1 pep chromosome:Pop_tri_v4:5:21746349:21746959:1 gene:Potri.005G214100.v4.1 transcript:Potri.005G214100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214100.v4.1 METRLVFLLAALLATALLESKPVLPTLASEADHSVSGVFKTGKFHPIHSDVGTASSCSPPRSLLIVRPEEKGTCPVILFHHGTGCQNSWYTDVFKFMSSHGYIVVAPQVIKP >Potri.016G021300.1.v4.1 pep chromosome:Pop_tri_v4:16:1143726:1145925:-1 gene:Potri.016G021300.v4.1 transcript:Potri.016G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021300.v4.1 MACNISADHKPHAVCIPAPAQSHIKSVLKLAKLLHYKGFHITFVNTEFNHRRLLKSRGPDSMNGLPDFRFESIPDGLPPSDENATQNTYAICEASRKNLLGPFNDLLDKLNDTASSDAPPVTCIVSDGFMPVAIDAAKMHEIPIALFFTISACSFMGIEQFQALKEKGLTPLKDESFLTNGYLDKVVDWIPGMRDIKLRDLPSFVRTTDPNDFMFNFCVECAERASEGSAVIFHTFDALEQEVLNALYSMFPRVYAIGPLQLLLNKIQEDDLNSIGCNLWKEEVECLQWLDSQKPNSVVYVNFGSVAVATKQQLIEFGTGLAKSGHPFLWIIRPDMIAGDCAILPPEFTEETKDRCFISSWCPQEEVLDHPSVGGFLTHCGWSSVIESISSGVPMLCWPSFGDQQTNCRYTCNEWATGMEIDSNVTRENVEKQVRELMEGEEGKKMKKKAMEWKKLAEEATGPGGSSSMNLDRLVTEVLLS >Potri.011G103401.1.v4.1 pep chromosome:Pop_tri_v4:11:13142269:13143091:1 gene:Potri.011G103401.v4.1 transcript:Potri.011G103401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103401.v4.1 MKLIPRSFHPTKIHLHPLKYLISLRMVTGSRDLKLVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFLFFFSLCSSACLLQWLPFSS >Potri.008G184600.1.v4.1 pep chromosome:Pop_tri_v4:8:12817661:12820085:1 gene:Potri.008G184600.v4.1 transcript:Potri.008G184600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G184600.v4.1 MLRAISTLVEEKNHEVKNLNGTVGRLMKEKEHIGTLLRSALSKRMKLDPSSKTNELFQVAENGLREAGIDFKFSKVLGDGKVSGDKGGSLETESDEINTLAGALENIVKASQFEIIELQHSVEELRAESSLLKEHLEIQAKELSHRLRRIEELVEKERVANESVEGLMTDIAAAEEEITRWKVAAEQEAAAGRAVEQEFVAQLSAVKQELEEARQAILESEKKLKFKEETAAAAMAAREAAEKSLSLADMRASRLRDRIEELSHQLEELETREDLRARNGPRYVCWPWQWLGLDFGGYRNTETQLQSSNEMELSEPLL >Potri.004G024632.1.v4.1 pep chromosome:Pop_tri_v4:4:1793389:1795233:1 gene:Potri.004G024632.v4.1 transcript:Potri.004G024632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024632.v4.1 MCFCFLRRARKTRDYVPENDVGDEITTEESLQFDLSTIEAATNNFSADNKLGEGGFGEVYKGTLPNGQQIAVKRLSRNSGQGAAEFKNEVVLVAKLQHRNLVRVQGFCLEREEKILVYEFVSNKSLDYFLFDPERQGLLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQTQASTIRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAVDLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIILTLNSYSVTLPSPQEPAFFFHSTITDEVNISSKEFLLEQSKSKSVAYSVDEDSITEVYPR >Potri.003G007000.1.v4.1 pep chromosome:Pop_tri_v4:3:730009:732066:1 gene:Potri.003G007000.v4.1 transcript:Potri.003G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G007000.v4.1 MEEDYSASLWLRYSFLLPCMVFLVLSTKFLLHKRKQGKINHLPPSPFALPIIGHLYLLKQPIHRTLHSLSKKYGPIFSIKLGSRLAVVISSPSAVEECFTKNDIVLANRPYFLSSKYLNYNNTTMGSVEYGEHWRNLRRISALEIFSPPRLTSLFSIRREEVMALLRRLHGVSKHGNYAKVELRSMLLDLTSNIIMRMVAGKRYYGEDVKEIEEARIFKEIMEEFAECIAVRNLGDMIPLLQWIDFTGHLKKLDRLSKKMDVFLQGLVDEHRDDRDRNTMINRFLALQEEQPEYYTDEVIKGHVLVLLIGGTETAATSMEWALANLLNHPNVLKKAKAELDAQVGDRLIDESDFAKLHYLQSIISENLRLCPVTPLIPPHMPSSDCTIGGYHVPAGTILFVNAWSLHRDPTLWDEPTSFKPERFESAGRVDACKFIPFGMGRRACPGDGLANRVMTLTLGSLIQCFEWERVGENKIDMTEKTAMTMFKVEPLELMCRARPILDMLLSLSGQKI >Potri.014G135550.1.v4.1 pep chromosome:Pop_tri_v4:14:9132981:9133316:1 gene:Potri.014G135550.v4.1 transcript:Potri.014G135550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135550.v4.1 MSKKYEAMMGLDSYSNALEHFVTCGCSIVMGSIKISSVMLNLSLCPVNYHHRSKTALCYLYSCLVMPLFFSFIFLVEVKIAYEEDNSSNGIHCQCIYTPRGHYEFYKTIYF >Potri.005G237500.2.v4.1 pep chromosome:Pop_tri_v4:5:23544062:23552828:1 gene:Potri.005G237500.v4.1 transcript:Potri.005G237500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237500.v4.1 MGVPAFYRWLAEKYPLVVVDVIEEEPVVIEGVKIPVDTSKPNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFGEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDASDAAAEEERLREEFEREGRKLPPKETSQTFDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKKIKVVLSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQQDKCFICGQAGHLAAACEGKAKRKAGEFDEKGNDVAVPKKPYQFLNIWTLREYLEYEFRIPNPPFEIDLERTVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRVLGGYLTDGSKPNLSRVEHFIQAVGAYEDKIFQKRARLHQRQAERIKREKTQARRGDDVQPQHQPESLVAVTQFRGSRLASAPTPSPYQDDGTHSQTSDGKGSSVQSRKVARLSSTANIGAAIVEAENCLEIEAHENKEELKTKLKESLREKSDVFNSKNHEEDKVKLGEPGWKERYYEEKFSAKSLDEMEAVRRDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLTDLGQLNISFELGSPFKPFNQLLGVFPAASSHALPVHYRKLMTDPNSPIFDFYPTDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVQKIEHTLTEEEARRNSMMFDMLFVSSSHPLSESIYLLDNHCKQLTDKERVEVKERINPELRPTCSDGMNGYLSPCAGDTHPPIFRSPVVGMEDILANEVICVIYRLPDPHKHITYPPVGVIFPKKIVDQGDLKPDPVLWHEESGRRPWENDRRNPHGNPHGTIAGRHLGEASHRLVANSLHLKGDRNGYSNHMHGPPQPYIAAPRGPPLSSYSNGLHNQGPHGTLRPRGDYSHAGYPRSTSPRIPPHHDRGYVEPYASAGPNPSYSGRLPQYESENRSGGQHPRHEFHHNGGPRYPNGPGAHISTGPTTYAYQGGYDASPQPPGAGSHQQWGGRFPPPANHNNPRGFGHHQQSGNRFSSLDSRGNKMPPQHGGANRRQPPPSGTYRGAQQSPPGGASRRPHPPAGYGHQ >Potri.005G237500.1.v4.1 pep chromosome:Pop_tri_v4:5:23544127:23552842:1 gene:Potri.005G237500.v4.1 transcript:Potri.005G237500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237500.v4.1 MGVPAFYRWLAEKYPLVVVDVIEEEPVVIEGVKIPVDTSKPNPNNIEYDNLYLDMNGIIHPCFHPEDRPSPTSFGEVFQCMFDYIDRLFVMVRPRKLLYMAIDGVAPRAKMNQQRSRRFRAAKDASDAAAEEERLREEFEREGRKLPPKETSQTFDSNVITPGTEFMAVLSIALQYYIHLRLNYDPGWKKIKVVLSDANVPGEGEHKVMSYIRLQRNLPGYDPNTRHCLYGLDADLIMLALATHEVHFSILREIVFTPGQQDKCFICGQAGHLAAACEGKAKRKAGEFDEKGNDVAVPKKPYQFLNIWTLREYLEYEFRIPNPPFEIDLERTVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRVLGGYLTDGSKPNLSRVEHFIQAVGAYEDKIFQKRARLHQRQAERIKREKTQARRGDDVQPQHQPESLVAVTQFRGSRLASAPTPSPYQDDGTHSQTSDGKGSSVQSRKVARLSSTANIGAAIVEAENCLEIEAHENKEELKTKLKESLREKSDVFNSKNHEEDKVKLGEPGWKERYYEEKFSAKSLDEMEAVRRDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLTDLGQLNISFELGSPFKPFNQLLGVFPAASSHALPVHYRKLMTDPNSPIFDFYPTDFEVDMNGKRFAWQGIAKLPFIDEARLLAEVQKIEHTLTEEEARRNSMMFDMLFVSSSHPLSESIYLLDNHCKQLTDKERVEVKERINPELSDGMNGYLSPCAGDTHPPIFRSPVVGMEDILANEVICVIYRLPDPHKHITYPPVGVIFPKKIVDQGDLKPDPVLWHEESGRRPWENDRRNPHGNPHGTIAGRHLGEASHRLVANSLHLKGDRNGYSNHMHGPPQPYIAAPRGPPLSSYSNGLHNQGPHGTLRPRGDYSHAGYPRSTSPRIPPHHDRGYVEPYASAGPNPSYSGRLPQYESENRSGGQHPRHEFHHNGGPRYPNGPGAHISTGPTTYAYQGGYDASPQPPGAGSHQQWGGRFPPPANHNNPRGFGHHQQSGNRFSSLDSRGNKMPPQHGGANRRQPPPSGTYRGAQQSPPGGASRRPHPPAGYGHQ >Potri.010G063500.1.v4.1 pep chromosome:Pop_tri_v4:10:9245803:9247889:-1 gene:Potri.010G063500.v4.1 transcript:Potri.010G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063500.v4.1 MASMTCSAGDLAQLLGSNVTNSTGAADFICSQFNTAADNFSATQYAVDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGTPSNGFIGKHNFGLKNFPSSSFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFWSGDGWASATRTDGDLLFGTGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDHSGKAIALRGHSASLVVLGTFLLWFGWYGFNPGSFTKILSAYPAGGYYGQWSAIGRTAVTTTLAGCTAALTTLFGKRILSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIICGFVASLVLIGCNKLAEILKFDDPLEAAQLHGGCGTWGVIFTALFATEKYVREVYPNKPNRPYGLFMGGGGKLLGAHLIQILVIIGWVSATMGPLFFVLRKLKLLRISSEDEMAGMDMTRHGGFAYIYHDDESNKHGFQLKRVEPTSRTPNANV >Potri.003G208700.1.v4.1 pep chromosome:Pop_tri_v4:3:20757964:20764516:1 gene:Potri.003G208700.v4.1 transcript:Potri.003G208700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208700.v4.1 METVGTSSTDDEPSRHQVSLDIETLAKSVKAELEISYAFSDTCCIYKVPEQLREVNEKAYTPRLVSIGPIHHAKEKLKAMEDHKRMYLKEFLARSEVSVEGFIEFIKENETRLRNCYAETIEFNSEYFIKMILMDAAFVIMFLLKCKNKDFSGSRDSIFYPPHKSVDVRVDICLLENQLPFFILEELCGLSTILGNSPKPTLIELTHGFFSKEWGSWAVGEYWGKIDFSEVKHLVDFLTIYQQPPQQQQNEELEVLTAPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEIPRLQLDDSTEIIIRNMQAFEQCHGLYGYVGDYIFLMGLFVSASKDVEMLVENRIIENWLPSNEEVVQLFYNLNKQNSVRGRFFLFKGLIKDLNAFCEKPWNKWKANLKQNYFNTPWAAISVSGAVILLILTVLQSVCSILQVLSF >Potri.011G139800.1.v4.1 pep chromosome:Pop_tri_v4:11:17067846:17069821:-1 gene:Potri.011G139800.v4.1 transcript:Potri.011G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139800.v4.1 MDVEETQLHRYPSDTDLDFSFNSTTTDRTFASSSARSSLARSSLTLSFNDRLSTTSTTTTTTTIKNSLHHRKCDPHWSAIKTATNLSTDSKLHLRHLKLLRHLGTGNLGRVFLCQLRDCNNANFALKVIDKDSLTNKKLSHVQMEGEILSMLDHPFLPTLYAHLEVSHYTCLLIDYCPNGDLHSLLRKQPGNRLPVQAVKFFAAEVLVALEYLHAVGVVYRDLKPENILLREDGHIMLSDFDLCFKADVVPTFDRRVHKKRMAGSTRRGGSCFGTVNRRGVEEEEVVEEEFVAEPTAASSRSCVGTHEYLAPELLSGNGHGNGVDWWAFGVLVYELLYGTTPFKGGSKESTLRNIASSKHVTFRVMEGEGKGMEEARDLVEKLLVKNPRQRLGCIKGATEIKRHPFFDGIKWPLIRNYKPPEVRGLVAKKGKSHPSGHLLGSVSSPRRKCWWRLWKNGGSGLGNLLRSKGSSPRYYPLSNSQHYNGNYHHYKVRKGA >Potri.001G293600.1.v4.1 pep chromosome:Pop_tri_v4:1:30534026:30541853:1 gene:Potri.001G293600.v4.1 transcript:Potri.001G293600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293600.v4.1 MEKNETLSGDKPRPWQSYHTVYTNAKAGMEGVDKEKVQRIVYETSKGSKYFENEEKKEALLRQKIENMRAQSAKLSAADLSHYQTVADRRILELEAMRDLSRIWLHVDMDAFYAAVETLSNPSLKGKPMAVGGLSMISTANYEARRFGVRAAMPGFIARKLCPELIFVPTDFKKYTHYSELTRKVFEKYDPNFMAASLDEAHLDITEVCKERGMSCGEIAEELRKCVNEETGLTCSAGVAPNRLLAKVCSDINKPNGQFVLTNDRMAVMTFISSLPIRKIGGIGKVTEHILRDAFGISTCEEMLQKGGLLCALFSHSTADFFLSVGLGIGKTDTPQVRSRKSISSERTFSATDDETLLYQKLADIAEMLCADMKKGGLCGRTLTLKLKTASFEVRSRAVTSEKYICSSEDILKYASKLLKAELPISLRLMGLRLSHFNEDKIGAPSDPTQKTLNNFIVSGDLSRKNLDDPKSLGLDINDQHLMNNMEGSFSIESHELQHYGIRDPLASNNLEDLNVHHCTSTDSVEAEKIHEPSSNKIADKVITKDMAGEVSQWKESGLSLPGQLEGGSPDRMNQVIMHNEAVFSSEQKDELVWVDDYKCSLCGIEMPPYFDEERQEHSDFHLAERLQKDESRIDSRTSALRQRSVQKDHISSQSKRKKRKPSPKEGGHLSIDMFFAKSNLNF >Potri.018G096400.2.v4.1 pep chromosome:Pop_tri_v4:18:11727912:11728608:-1 gene:Potri.018G096400.v4.1 transcript:Potri.018G096400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096400.v4.1 MKVTEDHRQERSTQNNYHHKDQLLNHENPLLPPVVRDQEEEEFSFVCANPSGPLISAEDIFQNGMIRPIYTLCNRDDLLFAEDVRIKAKTSTPPTPLMFMEERSETEGPCCVWSGGHTVSRNQQRYARRETSQGFQS >Potri.001G239304.1.v4.1 pep chromosome:Pop_tri_v4:1:25713028:25713471:1 gene:Potri.001G239304.v4.1 transcript:Potri.001G239304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239304.v4.1 LASDFNATLSPLDRKGGSKVFSSMTRFKHYIDGYELIDLPLNGKRFTWFRGNAASRIDRILISGDWMQFLPTSTLFGLPKYSSDHRPLHLLLDSTNWGPKPFRFMNCWWLVFDFRQMTQSFWNTILISNYGRRNMVPAFKLLKERCK >Potri.015G020950.1.v4.1 pep chromosome:Pop_tri_v4:15:1498427:1499088:1 gene:Potri.015G020950.v4.1 transcript:Potri.015G020950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020950.v4.1 MAKSTDKHRFLTLKVTEPAKKRTKTRGKISQTESKGRRTLIAPNNLKQKSPNFPLVGNKIAQTSQVGNLKVTIHTQGSRTERERKRAKLPLQLIMPKTIPKYRCFCPYVREPKQLFV >Potri.015G060000.1.v4.1 pep chromosome:Pop_tri_v4:15:8383271:8386458:1 gene:Potri.015G060000.v4.1 transcript:Potri.015G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060000.v4.1 MEPSSSLVTVSVTKISAFTILLLFLISCSTLTSTEAYDALDPNGNITIKWDVIQWTPDGYVAVVTMYNFQQYRHIQAPGWTLGWTWAKKEVIWNMMGAQTTEQGDCSKFKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVINSWAQDPANAVSSFQISVGAAGTTNKTVRVPKNITLKAPGPGYTCGPAKIVRPTKFISADKRRITQALMTWNITCTYSQFLAQKTPTCCVSLSSFYNDTIVSCPTCACGCQNTTGAGSGTCVHPDTPHLASVISPPGKSDNTPLVQCTSHMCPIRVHWHVKLNYKEYWRVKVTVTNFNYRMNYSLWNMVVQHPNFDNLTKIFSFQYKSLTPYEGLNDTAMLWGVKFYNDFLSQAGPLGNVQSELLFRKDKSTFTFEKGWAFPRRIYFNGDNCVMPPPDAYPWLPNDSSRPVISLLLPVMTLFLSMAFLFAHV >Potri.014G092050.4.v4.1 pep chromosome:Pop_tri_v4:14:5974254:5976632:-1 gene:Potri.014G092050.v4.1 transcript:Potri.014G092050.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092050.v4.1 MFSCQSLWPRIFISYLPSPNPPDYLLIGDEQSSQQNHVFFFCNSSLWIILSNQAVRRPPFSWSFKHVTNFFWRGCVEMLRGWFSISPTSLMDNVTSFEDPVYGGFSSLYGRQEHVFTQS >Potri.014G092050.5.v4.1 pep chromosome:Pop_tri_v4:14:5974255:5976631:-1 gene:Potri.014G092050.v4.1 transcript:Potri.014G092050.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092050.v4.1 MFSCQSLWPRIFISYLPSPNPPDYLLIGDEQSSQQNHVFFFCNSSLWIILSNQAVRRPPFSWSFKHVTNFFWRGCVEMLRGWFSISPTSLMDNVTSFEDPVYGGFSSLYGRQEHVFTQS >Potri.014G092050.6.v4.1 pep chromosome:Pop_tri_v4:14:5974255:5976631:-1 gene:Potri.014G092050.v4.1 transcript:Potri.014G092050.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092050.v4.1 MFSCQSLWPRIFISYLPSPNPPDYLLIGDEQSSQQNHVFFFCNSSLWIILSNQAVRRPPFSWSFKHVTNFFWRGCVEMLRGWFSISPTSLMDNVTSFEDPVYGGFSSLYGRQEHVFTQS >Potri.019G088800.1.v4.1 pep chromosome:Pop_tri_v4:19:12756070:12758948:1 gene:Potri.019G088800.v4.1 transcript:Potri.019G088800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088800.v4.1 MGISRLGFIAGLIWFMAMDWQGLCMAQSSVHRYNFVLQNAQFTRLCETKTMLTVNGSFPGPTIHARRGDTIYVNVHNEGDYGVTIHWHGVKQPRNPWSDGPENITQCPIQPGKNFTYEIILSDEEGTLWWHAHSDWTRATVHGAIVISPARGTTYPFPAPYAEQTIIIGSWFKRDVKAVIDEVLATGVGPAPSNSLTINGQPGDLYPCSEENTYRLKVNSGRTYLLRVINAVMNEEQFFGIAGHSLTVVGQDAAYIKPITTNYIMITPGQTMDILVTANQPPSYYYIASYSFSDGAGVAFDETTTTAIFQYNGNYSRPSAIPLPVLPVFNDTAAAENYTSRVRGLASRDHPVNVPQTINRRLYIAIALNNLSCTEATCINSTRLAASMNNVSFAAKPIDILQAYYRSINGVFDADFPSEPQKYFNFTGNVTSINVITARGTKVTMLNYGEAVEIVFQGTNLLAEMNHPIHLHGFSFYLVGHGKGNFNNETDPKSYNLIDPPEINTVALPRSGWAAIRFVANNPGVWFIHCHLEKHSSWGMDTVLIVRNGRTREQSMRPPPATLPSCS >Potri.001G304401.1.v4.1 pep chromosome:Pop_tri_v4:1:31416529:31417147:-1 gene:Potri.001G304401.v4.1 transcript:Potri.001G304401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304401.v4.1 MHIILVEEDANNFNESNGELFHTASEVGEKLYRRGDFAESRIANFDGYLHKEAVPRYLTRHFEQGDHVLAMVTGEFYIKKGLFPGFGRPFVSYGEILQRAGRTSEVAARVALKSPWWTLGCA >Potri.001G127500.1.v4.1 pep chromosome:Pop_tri_v4:1:10463831:10464678:-1 gene:Potri.001G127500.v4.1 transcript:Potri.001G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127500.v4.1 MKPITSFVLFSLTLSLTLSLLASVANADTNLIDKVCARTHNKNSCVAVFESNPDSKQADLKQLGIIALTLASSKATETSQYIKTLLLNKTLDPVIDQALSDCSDQYLDAIQQLGDASSDLLEDGTKDVRTSVKAAIAAAQSCENGFVESSGREILLSRNAIFRQLCNNVLVINKLLEEK >Potri.017G124800.1.v4.1 pep chromosome:Pop_tri_v4:17:12892232:12897070:-1 gene:Potri.017G124800.v4.1 transcript:Potri.017G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124800.v4.1 MATSSSSLHASASSSFKFFASRKVFSSSSFFHGFQRHHGNRFITSFPSLHASSQSSSSCLSIKCAVRFRPCIDIHKGKVKQIVGSTLRDSKEEDGSALVTNFESDKSAAEFANLYKEDGLMGGHVIMLGADDLSKAAAVEALRAYPGGLQVGGGINVNNALNYIEEGASHVIVTSYVFNNGQMDLERLKDLVRVVGKQKLVLDLSCRKKDGRYAIVTDRWQKFSDVYLDEEVLEFLAHYADEFLVHGVDVEGKRLGIDEALVALLGRHSPIPVTYAGGVTIMIDLERIKVAGDGCVDLTVGSALDIFGGNLPYKDVVAWHTQQETVMV >Potri.001G297900.1.v4.1 pep chromosome:Pop_tri_v4:1:30874354:30875974:1 gene:Potri.001G297900.v4.1 transcript:Potri.001G297900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297900.v4.1 MANPNLQDIIEKQVLTVAKAVEDKIDEEIAALDRLDLDDIEALRERRLQQMKKMAEKRSRWISLGHGEYTEIPSEKDFFSVVKASDRVVCHFYRDNWPCKVMDKHMSILAKQHIETRFVKIHAEKSPFLAEKLKIVVLPTLALIKNTKVDDYVVGFDELGGTDEFNTEDLEERLAKAQVIFFEGESSQNSSKSSAQTRSVRQSESHDSSDSD >Potri.015G144800.1.v4.1 pep chromosome:Pop_tri_v4:15:14964013:14965309:-1 gene:Potri.015G144800.v4.1 transcript:Potri.015G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144800.v4.1 MTSSFNILSVLALALTICVQGTLGEITCEHLDQDTCAYAISSSGKRCVLEKSVKRTGEEAYTCRTSEIDADRLRNWIETDQCIKACGLDRKSLGISSDSLLESRFAQQLCSPQCYDSCPNVVDLYFNLAAAEGVFLPGLCEAQEGNVRRGLMADIKSAGFVAPGPVKAVKYAYAPAPVEPVTYTDVSPAMPPY >Potri.013G021000.1.v4.1 pep chromosome:Pop_tri_v4:13:1359383:1361723:-1 gene:Potri.013G021000.v4.1 transcript:Potri.013G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021000.v4.1 MESLCFYSSLPPIPPFQKTVTNPNNCHNFPSKTIPIRLFPSLSTSSKFKPHCLGRAEEDSPLSTSSAYTVLGIKPGCSAAAIKAAFRAKVKQFHPDLNKDGKVSDLMIRRVIQAYEMLSNFSRPEIIERECLDPFEEPECEAFDIFVNEVLCVGKGCPYSCVQRAPYAFTYASSTGTARATSQGHGEDYQVQLAVGQCPRSCIHYVTPSQRIILEELLDSILEVPYDCSAEADLLYSLIVKARFENNRFRKPKKQPKTSTEHVDWF >Potri.002G047500.2.v4.1 pep chromosome:Pop_tri_v4:2:3114660:3118189:1 gene:Potri.002G047500.v4.1 transcript:Potri.002G047500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047500.v4.1 MLSLVREMTSETNSHQYSGILHSVSYTVLDTEPTGSKNQKKGRESTFNISDDDQMKFTKMVKEKDLASLNNLGGVEGVATAFGINSKTGITGHDEEVRRRREMFGPNTYHKPPPKGFLFFALEAFRDTTILILLVCAALALGFGIKQHGVKEGWYEGGSIFVAVFLVIVVSASSNFRQETQFDKLSKISNNIKVDVLRNERRQQISIFDIVVGDIVFLNIGDQIPADGLFLDGHSLEVDESSMTGESDHVAVNTQENPFLFSGSKIADGYARMLVTSVGMNTAWGEMMSSITRDSNERTPLQARLDKLTSSIGKVGLSVAFVVLVVMLVRYFTGNTKDDKGKKEYIGSRTDTDDVLNAVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTLNKMKVTKFWLGQEPIEEDSYKTIAPSILEVFHQGVSLNTTGSVYKSATGSVPEFSGSPTEKAILSWAVSELGMDMEKLKESCTILHVETFNSEKKRSGVSIRKKADNTVHVHWKGAAEMILALCSSYYDSRGSIKSMDEDERSKIEKIIQGMAASSLRCIAFAHKRITEEGMKDNDGEPHQRLQEDGLTLLGIVGLKDPCRIGAKKAVEICKAAGVSVKMITGDNIFTAKAIATECGILELKSQVDSEEVVEGVVFRNYTDEQRMEKVDKIRVMARSSPFDKLLMVQCLRQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTDELMEMSPVGRTAPLITNIMWRNLLAQAFYQITILLTLQFAGESIFNVSAEVNDTLIFNTFVLCQVFNEFNARNMEKQNVFKGIHRNHLFLGIIATTIVLQVVMVEFLKKFASTERLNWWQWVTCIAFAAVSWPIGWFVKLIPVSGKPFLSHLKRPVATYKRVMHSIYFRGTS >Potri.002G047500.3.v4.1 pep chromosome:Pop_tri_v4:2:3116209:3118241:1 gene:Potri.002G047500.v4.1 transcript:Potri.002G047500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047500.v4.1 MEKLKESCTILHVETFNSEKKRSGVSIRKKADNTVHVHWKGAAEMILALCSSYYDSRGSIKSMDEDERSKIEKIIQGMAASSLRCIAFAHKRITEEGMKDNDGEPHQRLQEDGLTLLGIVGLKDPCRIGAKKAVEICKAAGVSVKMITGDNIFTAKAIATECGILELKSQVDSEEVVEGVVFRNYTDEQRMEKVDKIRVMARSSPFDKLLMVQCLRQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTDELMEMSPVGRTAPLITNIMWRNLLAQAFYQITILLTLQFAGESIFNVSAEVNDTLIFNTFVLCQVFNEFNARNMEKQNVFKGIHRNHLFLGIIATTIVLQVVMVEFLKKFASTERLNWWQWVTCIAFAAVSWPIGWFVKLIPVSGKPFLSHLKRPVATYKRVMHSIYFRGTS >Potri.002G047500.1.v4.1 pep chromosome:Pop_tri_v4:2:3114421:3118185:1 gene:Potri.002G047500.v4.1 transcript:Potri.002G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047500.v4.1 MTSSKPTHIDSSILLASTISQAQKRWRIAYLAICSVRAMLSLVREMTSETNSHQYSGILHSVSYTVLDTEPTGSKNQKKGRESTFNISDDDQMKFTKMVKEKDLASLNNLGGVEGVATAFGINSKTGITGHDEEVRRRREMFGPNTYHKPPPKGFLFFALEAFRDTTILILLVCAALALGFGIKQHGVKEGWYEGGSIFVAVFLVIVVSASSNFRQETQFDKLSKISNNIKVDVLRNERRQQISIFDIVVGDIVFLNIGDQIPADGLFLDGHSLEVDESSMTGESDHVAVNTQENPFLFSGSKIADGYARMLVTSVGMNTAWGEMMSSITRDSNERTPLQARLDKLTSSIGKVGLSVAFVVLVVMLVRYFTGNTKDDKGKKEYIGSRTDTDDVLNAVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTLNKMKVTKFWLGQEPIEEDSYKTIAPSILEVFHQGVSLNTTGSVYKSATGSVPEFSGSPTEKAILSWAVSELGMDMEKLKESCTILHVETFNSEKKRSGVSIRKKADNTVHVHWKGAAEMILALCSSYYDSRGSIKSMDEDERSKIEKIIQGMAASSLRCIAFAHKRITEEGMKDNDGEPHQRLQEDGLTLLGIVGLKDPCRIGAKKAVEICKAAGVSVKMITGDNIFTAKAIATECGILELKSQVDSEEVVEGVVFRNYTDEQRMEKVDKIRVMARSSPFDKLLMVQCLRQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTDELMEMSPVGRTAPLITNIMWRNLLAQAFYQITILLTLQFAGESIFNVSAEVNDTLIFNTFVLCQVFNEFNARNMEKQNVFKGIHRNHLFLGIIATTIVLQVVMVEFLKKFASTERLNWWQWVTCIAFAAVSWPIGWFVKLIPVSGKPFLSHLKRPVATYKRVMHSIYFRGTS >Potri.006G007300.1.v4.1 pep chromosome:Pop_tri_v4:6:519130:520936:-1 gene:Potri.006G007300.v4.1 transcript:Potri.006G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007300.v4.1 MKKAELVLIPIPARGHIVSAVEIAKLLVQRDDRLSTTILIYPSRNPVTTKYNESLAASTLPDRLRVIILPSAESSDTKPPNQFITSVYEGQKPLVREYVSKIKTQSELSPDSPQFAGFIFDAYATGLKDLANEFDVPWYAFCASDAAYLGCVLHLKDLHDEQGVDLTELGNSDAELEIPSLANSFPVKCLPLSSLVKETLPIVLEIAGGLTEAKGILINTFLELEPHAVNSLSNGKTPPVYAVGPIVKHEGDDRDTGSDGSKNYRDIMEWLDDQAPSSVLFLCFGSLGSFRSEQVKEIACALERSGHRFLWSLRKPSPSGKLKSPSDYENLQEVLPEGFLDRTAKIGKVIGWAPQVDILAHQAVGGFASHCGWNSILESVWFGVPIATWPLYAEQQYNAFYMVIELGLGVEIKMDYTMNLQGDDEIIVNADDIMKAIKHLMEEDKEIRKKVKEMSRISEKTLMPGGSSHSSLGRFIDDIIENLS >Potri.008G093901.1.v4.1 pep chromosome:Pop_tri_v4:8:5848111:5850628:1 gene:Potri.008G093901.v4.1 transcript:Potri.008G093901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093901.v4.1 MNYPALGVWTQVQLWLVQTAHHKIWQVICYILDPGDESVEGKTLGLKQQYTLCSASLQDIIAHFERRSGKPVKWENFAVQMNDTRPTLCIPELIRILMDWKGLSWKEA >Potri.019G036220.1.v4.1 pep chromosome:Pop_tri_v4:19:4912783:4913486:-1 gene:Potri.019G036220.v4.1 transcript:Potri.019G036220.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036220.v4.1 MVCCVLCFTVSSASVRFYSQRTQAFLVSRRASQWPEISAAIRAPLDLKMAPLSLLTSPSFFIKIHSC >Potri.019G036220.2.v4.1 pep chromosome:Pop_tri_v4:19:4912783:4913486:-1 gene:Potri.019G036220.v4.1 transcript:Potri.019G036220.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036220.v4.1 MVCCVLCFTVSSASVRFYSQRTQAFLVSRRASQWPEISAAIRAPLDLKMAPLSLLTSPSFFIKIHSC >Potri.019G036220.3.v4.1 pep chromosome:Pop_tri_v4:19:4912897:4913483:-1 gene:Potri.019G036220.v4.1 transcript:Potri.019G036220.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036220.v4.1 MVCCVLCFTVSSASVRFYSQRTQAFLVSRRASQWPEISAAIRAPLDLKMAPLSLLTSPSFFIKIHSC >Potri.005G113250.1.v4.1 pep chromosome:Pop_tri_v4:5:8236898:8237779:-1 gene:Potri.005G113250.v4.1 transcript:Potri.005G113250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113250.v4.1 MQNSIPVCKGHKEPCVARIVKKPGPTLGRRMWQIVFDLSGDAPFHFKFFWSCGDLHPILKQIEATSNGLLPNPGTDDCL >Potri.016G009600.1.v4.1 pep chromosome:Pop_tri_v4:16:453198:453804:1 gene:Potri.016G009600.v4.1 transcript:Potri.016G009600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009600.v4.1 MNTDITASAKPEYLVIDRNPGLTKTGGNFNTLDYCRFITLTGVSVTVGYLSGIKPGLKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNEGEVARYQKRDFSS >Potri.011G101500.6.v4.1 pep chromosome:Pop_tri_v4:11:12912828:12917747:1 gene:Potri.011G101500.v4.1 transcript:Potri.011G101500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101500.v4.1 MTVTVSSILVSIVYVAVLRWAWRVLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKESSDMLKEARTKPIGLSDALLPRVMPFLHQLVKDYGKNSFMWVGPKPRVNIMNPDQIRDVFMKINEYQKASHPLLKLIVCGLASHEGEKWAKHRKIINPAFHQEKLKLMIPVFYESCSGMINKWEKLVSVDEGPCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTNLTAHVIIKAIVIPGYRFLPIPSNRRLKAIDKEIKASLNALINKREKAMSAGEDAKKDLLGLLLESNFREIQEHGNTKSVGMSIEDVIDECKLFYFAGQETTLVLLTWTMVLLAQYPNWQARAREEVVQVFGNKKPDFDGLNHLKVVTMILYEVLRLYPPVIMLNRDVHEEIKLGNLLLPAGVQVSLPIILLHQDHELWGDDASEFKPERFAEGISKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRYSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.011G101500.5.v4.1 pep chromosome:Pop_tri_v4:11:12912985:12918729:1 gene:Potri.011G101500.v4.1 transcript:Potri.011G101500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101500.v4.1 MTVTVSSILVSIVYVAVLRWAWRVLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKESSDMLKEARTKPIGLSDALLPRVMPFLHQLVKDYGKNSFMWVGPKPRVNIMNPDQIRDVFMKINEYQKASHPLLKLIVCGLASHEGEKWAKHRKIINPAFHQEKLKLMIPVFYESCSGMINKWEKLVSVDEGPCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTNLTAHVIIKAIVIPGYRFLPIPSNRRLKAIDKEIKASLNALINKREKAMSAGEDAKKDLLGLLLESNFREIQEHGNTKSVGMSIEDVIDECKLFYFAGQETTLVLLTWTMVLLAQYPNWQARAREEVVQVFGNKKPDFDGLNHLKVVTMILYEVLRLYPPVIMLNRDVHEEIKLGNLLLPAGVQVSLPIILLHQDHELWGDDASEFKPERFAEGISKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRYSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.010G061401.1.v4.1 pep chromosome:Pop_tri_v4:10:9115831:9116821:1 gene:Potri.010G061401.v4.1 transcript:Potri.010G061401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061401.v4.1 MVILEYMEETWPQIPLMPDDPYERARARFWVKFVEDKGVAVWIMFHSSGEEQEKAVKDSLEMLKTIEEHALGKKRFFCGDKISLVDIAYGWIAQWLAVLEEVAGIKLMEPQKFPRLHTWIKNFKDEPIIKENLPGHDEMLVYFKPLGGRAPSR >Potri.001G014401.1.v4.1 pep chromosome:Pop_tri_v4:1:1016159:1018495:1 gene:Potri.001G014401.v4.1 transcript:Potri.001G014401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014401.v4.1 MAFDLPCILYFFFLLFPSSLVAQRNGNATVGDSLTAGDEATLWLSPAEDFAFGFRQLDKKDLYLLAIWYNKIPDKTIVWYANGDRPAPKKSTVKLTAELGVVLNNPQGGEIWKSGPGNGEAAYGFMNDTGNFLVANANGEKLWQSFELLTDTLLPTQIMEKGGILSSRLSETNFSQGRFQFRLIPDGNAVLNTINLPTGFPYEAYFWSKTVDSNSSNAGYQVVFNESGYLYVLRANNTREALTLGRVVPATENYHRATLHFDGVFVLYSHPKNSPGNENWSVVRTMPENICTVVRGLKGSGPCGYNGVCTISTDKRAICRCPQRFSLLDPDDPYGGCKPDFPTQVCAEEVPNAPEDYELVPLTNIDWPESDYEMYTPYNIEDCKKACLQDFFCNVIVFGEGTCWKKRLPLSNGRQGESVNGASFMKVRKGNYTLPGPPPIPKKNRDS >Potri.018G099301.1.v4.1 pep chromosome:Pop_tri_v4:18:11973912:11978642:-1 gene:Potri.018G099301.v4.1 transcript:Potri.018G099301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099301.v4.1 MKQLEKNIIKIIYKLEMIFTPLFNSIEHLPINMIFTGFFSPLFFLLCFGVQKKSNPIKKNKKRFLEKIVDYMKSDSYMFSPFISTPHIASKTSSSSTTVPTRKLTTKDNQSTVETSNVTVEDQFSELPEKCISGQQKFVHKETVKHMVYQSCRSSLSDNWILKN >Potri.016G022500.1.v4.1 pep chromosome:Pop_tri_v4:16:1239816:1241775:1 gene:Potri.016G022500.v4.1 transcript:Potri.016G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022500.v4.1 MGSKPEANYMPHMVLIPYPFQSHIKIMLKLAKLLHSKGFHITFVNTEFNHQRFLKSRGPDALDGLPHFRFETIPDGLPPSHIDASQETIPLAMAVENNMLAPLKVLLAKLDNPPITCLVSDVFMRFTIAAAEELGLPIVMLVTMSACGYMGFKQLHDLQEKGFLPLKDESYLTNGYLESTIIEGIPGMKRLQLKDFPYTRRIDPDDFAFNFVMRAAETSVKAHAIAIHTFDALEKDVLDGLSTIFPRVYSIGPLQLLLNQIQQDGLSSIGYNLWKEDSECLQWLDTKEPKSVVYVNFGSIAVMTAEQLVEFAMGLANSEISFLWIIRPDLVTGESAILPAEFQVETQNRGFVTSWCPQEEVLNHPSVGGFLTHTGWNSIIESLCAGVPVICWPLFADQPINCSYACIEWGVGMEIDNNVRREEVEKLIRNLMGGEECKKMREKAKHWKKVAEEATVPNGSSSINLDRFINEMLQSNITL >Potri.003G174900.3.v4.1 pep chromosome:Pop_tri_v4:3:18226441:18232401:1 gene:Potri.003G174900.v4.1 transcript:Potri.003G174900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174900.v4.1 MSAYFRSSGVFELGLAGILLVTFLLIFTTEGLNSDGHHLLELKNALHDEFNHLQNWKSTDQTPCSWTGVSCTLDYEPLVWSLDLNSMNLSGTLSPGIGGLVNLRYFDLSHNEITGDIPKAIGNCSLLQYFYLNNNQLSGEIPAELGRLSFLERLNICNNQISGSLPEEFGRLSSLVEFVAYTNKLTGPLPRSIRNLKNLKTIRAGQNQISGSIPAEISGCQSLKLLGLAQNKIGGELPKELAMLGNLTELILWENQISGLIPKELGNCTNLETLALYANALAGPIPMEIGNLKFLKKLYLYRNGLNGTIPREIGNLSMATEIDFSENFLTGKIPTEFSKIKGLRLLYLFQNQLTGVIPNELSILRNLTKLDLSINHLTGPIPFGFQYLTEMLQLQLFNNSLSGGIPQRLGLYSQLWVVDFSDNDLTGRIPPHLCRHSNLILLNLDSNRLYGNIPTGVLNCQTLVQLRLVGNKFTGGFPSELCKLVNLSAIELNQNMFTGPLPPEMGNCRRLQRLHIANNYFTSELPKELGNLSQLVTFNASSNLLTGKIPPEVVNCKMLQRLDLSHNSFSDALPDELGTLLQLELLRLSENKFSGNIPLALGNLSHLTELQMGGNSFSGRIPPSLGLLSSLQIGMNLSYNSLTGSIPPELGNLNLLEFLLLNNNHLTGEIPKTFENLSSLLGCNFSYNELTGSLPSGSLFQNMAISSFIGNKGLCGGPLGYCSGDTSSGSVPQKNMDAPRGRIITIVAAVVGGVSLILIIVILYFMRHPTATASSVHDKENPSPESNIYFPLKDGITFQDLVQATNNFHDSYVVGRGACGTVYKAVMRSGKTIAVKKLASDREGSSIENSFQAEILTLGKIRHRNIVKLYGFCYHEGSNLLLYEYLARGSLGELLHGPSCSLEWSTRFMVALGAAEGLAYLHHDCKPIIIHRDIKSNNILLDDNFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPGEPFLNSDLIKLSFFC >Potri.003G174900.2.v4.1 pep chromosome:Pop_tri_v4:3:18226432:18231753:1 gene:Potri.003G174900.v4.1 transcript:Potri.003G174900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174900.v4.1 MSAYFRSSGVFELGLAGILLVTFLLIFTTEGLNSDGHHLLELKNALHDEFNHLQNWKSTDQTPCSWTGVSCTLDYEPLVWSLDLNSMNLSGTLSPGIGGLVNLRYFDLSHNEITGDIPKAIGNCSLLQYFYLNNNQLSGEIPAELGRLSFLERLNICNNQISGSLPEEFGRLSSLVEFVAYTNKLTGPLPRSIRNLKNLKTIRAGQNQISGSIPAEISGCQSLKLLGLAQNKIGGELPKELAMLGNLTELILWENQISGLIPKELGNCTNLETLALYANALAGPIPMEIGNLKFLKKLYLYRNGLNGTIPREIGNLSMATEIDFSENFLTGKIPTEFSKIKGLRLLYLFQNQLTGVIPNELSILRNLTKLDLSINHLTGPIPFGFQYLTEMLQLQLFNNSLSGGIPQRLGLYSQLWVVDFSDNDLTGRIPPHLCRHSNLILLNLDSNRLYGNIPTGVLNCQTLVQLRLVGNKFTGGFPSELCKLVNLSAIELNQNMFTGPLPPEMGNCRRLQRLHIANNYFTSELPKELGNLSQLVTFNASSNLLTGKIPPEVVNCKMLQRLDLSHNSFSDALPDELGTLLQLELLRLSENKFSGNIPLALGNLSHLTELQMGGNSFSGRIPPSLGLLSSLQIGMNLSYNSLTGSIPPELGNLNLLEFLLLNNNHLTGEIPKTFENLSSLLGCNFSYNELTGSLPSGSLFQNMAISSFIGNKGLCGGPLGYCSGDTSSGSVPQKNMDAPRGRIITIVAAVVGGVSLILIIVILYFMRHPTATASSVHDKENPSPESNIYFPLKDGITFQDLVQATNNFHDSYVVGRGACGTVYKAVMRSGKTIAVKKLASDREGSSIENSFQAEILTLGKIRHRNIVKLYGFCYHEGSNLLLYEYLARGSLGELLHGPSCSLEWSTRFMVALGAAEGLAYLHHDCKPIIIHRDIKSNNILLDDNFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTWARHYVRDHSLTSGILDDRLDLEDQSTVAHMISALKIALLCTSMSPFDRPSMREVVLMLIESNEREGNLTLSSTYDFPWKDDISRK >Potri.003G174900.1.v4.1 pep chromosome:Pop_tri_v4:3:18227686:18232041:1 gene:Potri.003G174900.v4.1 transcript:Potri.003G174900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174900.v4.1 MSAYFRSSGVFELGLAGILLVTFLLIFTTEGLNSDGHHLLELKNALHDEFNHLQNWKSTDQTPCSWTGVSCTLDYEPLVWSLDLNSMNLSGTLSPGIGGLVNLRYFDLSHNEITGDIPKAIGNCSLLQYFYLNNNQLSGEIPAELGRLSFLERLNICNNQISGSLPEEFGRLSSLVEFVAYTNKLTGPLPRSIRNLKNLKTIRAGQNQISGSIPAEISGCQSLKLLGLAQNKIGGELPKELAMLGNLTELILWENQISGLIPKELGNCTNLETLALYANALAGPIPMEIGNLKFLKKLYLYRNGLNGTIPREIGNLSMATEIDFSENFLTGKIPTEFSKIKGLRLLYLFQNQLTGVIPNELSILRNLTKLDLSINHLTGPIPFGFQYLTEMLQLQLFNNSLSGGIPQRLGLYSQLWVVDFSDNDLTGRIPPHLCRHSNLILLNLDSNRLYGNIPTGVLNCQTLVQLRLVGNKFTGGFPSELCKLVNLSAIELNQNMFTGPLPPEMGNCRRLQRLHIANNYFTSELPKELGNLSQLVTFNASSNLLTGKIPPEVVNCKMLQRLDLSHNSFSDALPDELGTLLQLELLRLSENKFSGNIPLALGNLSHLTELQMGGNSFSGRIPPSLGLLSSLQIGMNLSYNSLTGSIPPELGNLNLLEFLLLNNNHLTGEIPKTFENLSSLLGCNFSYNELTGSLPSGSLFQNMAISSFIGNKGLCGGPLGYCSGDTSSGSVPQKNMDAPRGRIITIVAAVVGGVSLILIIVILYFMRHPTATASSVHDKENPSPESNIYFPLKDGITFQDLVQATNNFHDSYVVGRGACGTVYKAVMRSGKTIAVKKLASDREGSSIENSFQAEILTLGKIRHRNIVKLYGFCYHEGSNLLLYEYLARGSLGELLHGPSCSLEWSTRFMVALGAAEGLAYLHHDCKPIIIHRDIKSNNILLDDNFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTWARHYVRDHSLTSGILDDRLDLEDQSTVAHMISALKIALLCTSMSPFDRPSMREVVLMLIESNEREGNLTLSSTYDFPWKDDISRK >Potri.003G174900.4.v4.1 pep chromosome:Pop_tri_v4:3:18228292:18231633:1 gene:Potri.003G174900.v4.1 transcript:Potri.003G174900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174900.v4.1 MLGNLTELILWENQISGLIPKELGNCTNLETLALYANALAGPIPMEIGNLKFLKKLYLYRNGLNGTIPREIGNLSMATEIDFSENFLTGKIPTEFSKIKGLRLLYLFQNQLTGVIPNELSILRNLTKLDLSINHLTGPIPFGFQYLTEMLQLQLFNNSLSGGIPQRLGLYSQLWVVDFSDNDLTGRIPPHLCRHSNLILLNLDSNRLYGNIPTGVLNCQTLVQLRLVGNKFTGGFPSELCKLVNLSAIELNQNMFTGPLPPEMGNCRRLQRLHIANNYFTSELPKELGNLSQLVTFNASSNLLTGKIPPEVVNCKMLQRLDLSHNSFSDALPDELGTLLQLELLRLSENKFSGNIPLALGNLSHLTELQMGGNSFSGRIPPSLGLLSSLQIGMNLSYNSLTGSIPPELGNLNLLEFLLLNNNHLTGEIPKTFENLSSLLGCNFSYNELTGSLPSGSLFQNMAISSFIGNKGLCGGPLGYCSGDTSSGSVPQKNMDAPRGRIITIVAAVVGGVSLILIIVILYFMRHPTATASSVHDKENPSPESNIYFPLKDGITFQDLVQATNNFHDSYVVGRGACGTVYKAVMRSGKTIAVKKLASDREGSSIENSFQAEILTLGKIRHRNIVKLYGFCYHEGSNLLLYEYLARGSLGELLHGPSCSLEWSTRFMVALGAAEGLAYLHHDCKPIIIHRDIKSNNILLDDNFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPATRSRR >Potri.001G106900.1.v4.1 pep chromosome:Pop_tri_v4:1:8587106:8588029:1 gene:Potri.001G106900.v4.1 transcript:Potri.001G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106900.v4.1 MRQAGTYSGILSGGLSGKTGPHSLPLARIKKIMKKSGADVKMISGEAPIVFSKACELFIEDLTQRSWMMTMQGKRRTLHKVDVASAVIGTDIFDFLVNLVSNSSHSMDNSVEIETTCK >Potri.017G063000.1.v4.1 pep chromosome:Pop_tri_v4:17:5277270:5286485:-1 gene:Potri.017G063000.v4.1 transcript:Potri.017G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063000.v4.1 MKNKLRGFGLKRSETKEKIDLLPPAQLDELAQAARDMQDMRNCYDSLLFAAAATANSAYEFSESLREMGSCLLEKTALHDDEESGKVLLMLGNVQFELQKLVDSYRSHIFLTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKDKGRSKGGKDESTTLQQLRSAREEYDEEATLCVFRLKSLKQGQSRSLLTQVARHHAAQLNFFQKGLKSLETVEPHVRLITEHQHIDYHFSGLEDDGREDGEDYGEDVDDTYEGRELSFDYRANNQGHAVSAARNSMEVDEEDLSFPQAPAAENVELNPDKTPGGFHFPIREPRGGSHSAPIFPERKPDPVERIRQIQKSSRKSNTYVLPTPVDAKGVISSRASGSVPNTRQIDISGRTHYLSHSSPLEQKKNEKDSGDGHLPEFTPSKERSGHKESNNPNASTQLPRPLVGGISFPQLDVYNASDNKKIKRQSFSGPITSKPWSMKLGLSSSSGPISATELSQEVSGVLAHVANPQPSTSPKVSPSTSPPLVSSPRISELHELPRPPGNLAAKAAKSLGPIGHSAPLVRNPELSGTNKISSGAANLASPLPTPPLMVPTSFSIPSISPRTMSVHVSKLLVSSQLLDKPGEVDSPPLTPISLTNMRQAPAISESIPHSGQIRGGS >Potri.017G063000.4.v4.1 pep chromosome:Pop_tri_v4:17:5278476:5286409:-1 gene:Potri.017G063000.v4.1 transcript:Potri.017G063000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063000.v4.1 MKNKLRGFGLKRSETKEKIDLLPPAQLDELAQAARDMQDMRNCYDSLLFAAAATANSAYEFSESLREMGSCLLEKTALHDDEESGKVLLMLGNVQFELQKLVDSYRSHIFLTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKDKGRSKGGKDESTTLQQLRSAREEYDEEATLCVFRLKSLKQGQSRSLLTQVARHHAAQLNFFQKGLKSLETVEPHVRLITEHQHIDYHFSGLEDDGREDGEDYGEDVDDTYEGRELSFDYRANNQGHAVSAARNSMEVDEEDLSFPQAPAAENVELNPDKTPGGFHFPIREPRGGSHSAPIFPERKPDPVERIRQIQKSSRKSNTYVLPTPVDAKGVISSRASGSVPNTRQIDISGRTHYLSHSSPLEQKKNEKDSGDGHLPEFTPSKERSGHKESNNPNASTQLPRPLVGGISFPQLDVYNASDNKKIKRQSFSGPITSKPWSMKLGLSSSSGPISATELSQEVSGVLAHVANPQPSTSPKVSPSTSPPLVSSPRISELHELPRPPGNLAAKAAKSLGPIGHSAPLVRNPELSGTNKISSGAANLASPLPTPPLMVPTSFSIPSISPRTMSVHVSKLLVSSQLLDKPGEVDSPPLTPISLTNMRQAPAISESIPHSGQIRGNEISCSLIFCQLRIKLAILLCS >Potri.017G063000.3.v4.1 pep chromosome:Pop_tri_v4:17:5277736:5282473:-1 gene:Potri.017G063000.v4.1 transcript:Potri.017G063000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063000.v4.1 MFRNVYEYMVAQQKDKGRSKGGKDESTTLQQLRSAREEYDEEATLCVFRLKSLKQGQSRSLLTQVARHHAAQLNFFQKGLKSLETVEPHVRLITEHQHIDYHFSGLEDDGREDGEDYGEDVDDTYEGRELSFDYRANNQGHAVSAARNSMEVDEEDLSFPQAPAAENVELNPDKTPGGFHFPIREPRGGSHSAPIFPERKPDPVERIRQIQKSSRKSNTYVLPTPVDAKGVISSRASGSVPNTRQIDISGRTHYLSHSSPLEQKKNEKDSGDGHLPEFTPSKERSGHKESNNPNASTQLPRPLVGGISFPQLDVYNASDNKKIKRQSFSGPITSKPWSMKLGLSSSSGPISATELSQEVSGVLAHVANPQPSTSPKVSPSTSPPLVSSPRISELHELPRPPGNLAAKAAKSLGPIGHSAPLVRNPELSGTNKISSGAANLASPLPTPPLMVPTSFSIPSISPRTMSVHVSKLLVSSQLLDKPGEVDSPPLTPISLTNMRQAPAISESIPHSGQIRGGS >Potri.010G238200.1.v4.1 pep chromosome:Pop_tri_v4:10:21776552:21777955:-1 gene:Potri.010G238200.v4.1 transcript:Potri.010G238200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238200.v4.1 MALMVAVFFAILFQGYVSLASDPDPVRDFCLANTDSATNIPCKNSSDATVEDFIFSGIKSHGKFSETGLASIPVNVNNFPGLNTLGMSLVRADFEAGGVNVPHFHPRATEVAYVLEGKIYSGFVDTQNKVFAKVLEKGEVMVFPKGLVHFQMNVGDKPATIVGSFNSENPGSMKIPTAVFGCGIKEELLEKAFGLKGKDISKVRKKFLSC >Potri.005G205100.4.v4.1 pep chromosome:Pop_tri_v4:5:21007912:21012962:1 gene:Potri.005G205100.v4.1 transcript:Potri.005G205100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205100.v4.1 MLLISPQIAKVPAIIVFGDSSVDSGNNNFISTIAKSNFAPYGRDFPGGSATGRFCNGRLPPDFLSQAFGLKPAIPAYLDPMYNILDLATGVCFASAGSGYDNATADVLGVIPLWQELENYKDYQRRMKAYLGAKKAKEIITEALYIMSLGTNDFLENYYTIPGRRSQFTIQQYQDFLIGLAEDFVKKLYALGARKLSLTGLSPMGCLPLERATNFMHPNSCVKEYNDLALEFNGKLNQLVAKLNDELPGMKVLFANPYDLLLQLITAPSQYGFENAEVGCCGSGTFEMGIICNRDHPLTCTDADKYVFWDAFHLTDRTNQIISAYLFKDLKSKFL >Potri.005G205100.7.v4.1 pep chromosome:Pop_tri_v4:5:21008071:21012959:1 gene:Potri.005G205100.v4.1 transcript:Potri.005G205100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205100.v4.1 MKAYLGAKKAKEIITEALYIMSLGTNDFLENYYTIPGRRSQFTIQQYQDFLIGLAEDFVKKLYALGARKLSLTGLSPMGCLPLERATNFMHPNSCVKEYNDLALEFNGKLNQLVAKLNDELPGMKVLFANPYDLLLQLITAPSQYGFENAEVGCCGSGTFEMGIICNRDHPLTCTDADKYVFWDAFHLTDRTNQIISAYLFKDLKSKFL >Potri.005G205100.1.v4.1 pep chromosome:Pop_tri_v4:5:21010736:21012983:1 gene:Potri.005G205100.v4.1 transcript:Potri.005G205100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205100.v4.1 MAYMWITGLILFLIQILILLVPECSAKVPAIIVFGDSSVDSGNNNFISTIAKSNFAPYGRDFPGGSATGRFCNGRLPPDFLSQAFGLKPAIPAYLDPMYNILDLATGVCFASAGSGYDNATADVLGVIPLWQELENYKDYQRRMKAYLGAKKAKEIITEALYIMSLGTNDFLENYYTIPGRRSQFTIQQYQDFLIGLAEDFVKKLYALGARKLSLTGLSPMGCLPLERATNFMHPNSCVKEYNDLALEFNGKLNQLVAKLNDELPGMKVLFANPYDLLLQLITAPSQYGFENAEVGCCGSGTFEMGIICNRDHPLTCTDADKYVFWDAFHLTDRTNQIISAYLFKDLKSKFL >Potri.005G205100.6.v4.1 pep chromosome:Pop_tri_v4:5:21011138:21012959:1 gene:Potri.005G205100.v4.1 transcript:Potri.005G205100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205100.v4.1 MFLLSGPLLLLPMLTLQGVIPLWQELENYKDYQRRMKAYLGAKKAKEIITEALYIMSLGTNDFLENYYTIPGRRSQFTIQQYQDFLIGLAEDFVKKLYALGARKLSLTGLSPMGCLPLERATNFMHPNSCVKEYNDLALEFNGKLNQLVAKLNDELPGMKVLFANPYDLLLQLITAPSQYGFENAEVGCCGSGTFEMGIICNRDHPLTCTDADKYVFWDAFHLTDRTNQIISAYLFKDLKSKFL >Potri.005G205100.2.v4.1 pep chromosome:Pop_tri_v4:5:21007912:21012961:1 gene:Potri.005G205100.v4.1 transcript:Potri.005G205100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205100.v4.1 MFWVAYHLLYYIWSARIFVAFLLLIHSILEVAKSLVTCRKFSICGCSCAVCMPSCLNRKSGDNAKVPAIIVFGDSSVDSGNNNFISTIAKSNFAPYGRDFPGGSATGRFCNGRLPPDFLSQAFGLKPAIPAYLDPMYNILDLATGVCFASAGSGYDNATADVLGVIPLWQELENYKDYQRRMKAYLGAKKAKEIITEALYIMSLGTNDFLENYYTIPGRRSQFTIQQYQDFLIGLAEDFVKKLYALGARKLSLTGLSPMGCLPLERATNFMHPNSCVKEYNDLALEFNGKLNQLVAKLNDELPGMKVLFANPYDLLLQLITAPSQYGFENAEVGCCGSGTFEMGIICNRDHPLTCTDADKYVFWDAFHLTDRTNQIISAYLFKDLKSKFL >Potri.005G205100.3.v4.1 pep chromosome:Pop_tri_v4:5:21007911:21012962:1 gene:Potri.005G205100.v4.1 transcript:Potri.005G205100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205100.v4.1 MLLISPQIAKVPAIIVFGDSSVDSGNNNFISTIAKSNFAPYGRDFPGGSATGRFCNGRLPPDFLSQAFGLKPAIPAYLDPMYNILDLATGVCFASAGSGYDNATADVLGVIPLWQELENYKDYQRRMKAYLGAKKAKEIITEALYIMSLGTNDFLENYYTIPGRRSQFTIQQYQDFLIGLAEDFVKKLYALGARKLSLTGLSPMGCLPLERATNFMHPNSCVKEYNDLALEFNGKLNQLVAKLNDELPGMKVLFANPYDLLLQLITAPSQYGFENAEVGCCGSGTFEMGIICNRDHPLTCTDADKYVFWDAFHLTDRTNQIISAYLFKDLKSKFL >Potri.002G162900.1.v4.1 pep chromosome:Pop_tri_v4:2:12466161:12469474:-1 gene:Potri.002G162900.v4.1 transcript:Potri.002G162900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162900.v4.1 MACCYQVSLGGGIVAVAASSFPVNSKLKPFPALKAELPKPMASDLSPDRSIFHDASSSSPRRDLVFIVNPRGANGRTGKDWKKLLPYLRSRLDKNCNICESLTSGPYHAIDITREAIREGADAVVAVGGDGTLHEVVNGFFWAGKPVANHNREATRSTALGLIPLGTGSDFARTLGWKNDPREAIDRIAEGLRSPVDVGVITGESEEESHYFINVADIHLSAKAGYFASRYKRFGNLCYVIGALQAFMGHKNQDLRIKVNEGEWETCSLVTALCIGNAKYFGGGMKITPNADPSSGNFEVVILQDFKWYDFILKLHRLYNGTHLSVKNVSSRSVFSIEVEDISGSGSIYVQSDGEHLGFLPRKFCILPSAIQMIC >Potri.010G076800.1.v4.1 pep chromosome:Pop_tri_v4:10:10411071:10412037:-1 gene:Potri.010G076800.v4.1 transcript:Potri.010G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076800.v4.1 MPSAAVKAPSKAKANPSKSKKPPPPAASAAGAKKPRAYPTYHEMVKEALVALKERTGSSQIAIAKFIEEKQKSSLPANFKKLLLVQLKKLVANGKLVKVKNSFKLPPKSPATGAAAIKKAAPTKPKPKAESKPKSAPVKRKVVAKPSPKKAAKTEAVKSPAKKAVVAVKKKTPVKKVVKKPKSIKSPAKKAVKKAAK >Potri.009G121400.2.v4.1 pep chromosome:Pop_tri_v4:9:10162041:10169731:-1 gene:Potri.009G121400.v4.1 transcript:Potri.009G121400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121400.v4.1 MAEARAGGCCPPMDLFRSEAMQLVQLIIPIESAHHTVSYLGDLGLLQFKDLNADKSPFQRTYAAQIKKFGEMARKLRFFKEQMVKAGITPLTKPGAQNEIDVDDLEVKLGELEAELVEMNANNDKLQRSYNELVEYKLVLNKAGEFFSSALRNATALQKELESQQTGEESLDAPLLQDKEILNESSKQVKLGFITGLVPKEKSMPFERIIFRATRGNVYIRQAAVEEPVVDPVSGEKVEKNVYVVFYSGEKAKTKILKICEAFGANRYPFTEDFGKQIQMISEVSGRISEMKAAIDAGLFHRSHLLQTIGDQFVQWNTLVRKEKSIYHTLNMLSLDVTKKCLVAEGWSPVFGTKQIQDALQRAAFDSNSQVGTIFQVLHTTELPPTYFRTNKFTSAFQDIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICMLLATLVFIIREKKLSGQKLGDITEMTFGGRYVILMMALFSIYTGLIYNEFFSVPFELFAPSAYACRDLSCRDATTDGLIKVRPTYPFGVDPVWHGSRSELPFLNSLKMKMSILLGVAQMNLGIILSYFNATYFKNSLNIWFQFIPQMIFLNSLFGYLSLLIIVKWSTGSQADLYHVMIYMFLSPTDELGENELFPRQKTVQLVLLLLALVSVPWMLLPKPFLLKKQHEARHQGESYTPLQSTEESLQLETNHDSHGHEEFEFSEVFVHQMIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYHNIFILVIGAIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFALVNDEDE >Potri.001G398800.2.v4.1 pep chromosome:Pop_tri_v4:1:42395837:42397588:1 gene:Potri.001G398800.v4.1 transcript:Potri.001G398800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398800.v4.1 MESRRCLCLLWALLACYSFTSSAAYNNSFYVGGKDGWVTNPSESYNHWAEKNRFQVNDSLVFKYNNGSDSVLLVTKDDYNSCKTKKPLKTMGSGSSVFQFDKSGPYFFISGNEDNCRKGQKMTVVVLSAKPKQAPTPVSQPPAMSPKAPSPVAHNNPSPAPSKSPSPSAEPPASSQGPSLSPVSPAPISKTPSGSPLEAPGPSLVPVKSSPPSSDTPTLAPSPTSNAPTGPVPAKSPSLSVSSPYLAPSPFSDAPTGAPGPSPVAMTPHISLVPSGSPASAPGSEISPSPLTNPPAPSQSPESPSPLASAPVVSPIPAKSPSSSTPTPKSSYTPAHSPNSNGADLAPAPAASCVATPSTVMVIVASFLIGSVIGVWP >Potri.018G131300.1.v4.1 pep chromosome:Pop_tri_v4:18:14137306:14141970:-1 gene:Potri.018G131300.v4.1 transcript:Potri.018G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131300.v4.1 MLKNGKLKLPDKLGGLVSGFDDKEMKNGGSDPVLESGEELEIMEPNGIELGHKENAYEFDDLVNDTDVDNEDAFRTRKRPDKDGSGQVSVMEVIAADEKRSDVEYELSQKEINLEKLRRIASMGLPDGGGLRATAWKLLLGYLSPSHDLWEKELTENRQKYAMLKEELLLSPSEYTRVKEDAMISAELSGEHDDAGPLKRQGISHGDHPLSIVKASAWHHYFKHTEIAEQIDRDLLRTHPDMKFFSGESSFSKKNREAMRKILLLFAKLNPAIRYVQGMNEVLAPIFYVFSTDTDEQNAVNAEADSFSCFVRLLSDSVDHFCQQLDNSPVGILSTLSRLAELLKENDEELWKHLEFTTKVKPQFYAFRWITLLLTQEFNFQSILRIWDSLLSNPFGIQDMLLRICCAMLLCMKSRLLSGDFAANLRLLQHYPDINIEHLLRVAQDLSADTSSYSLSL >Potri.018G131300.4.v4.1 pep chromosome:Pop_tri_v4:18:14137306:14141970:-1 gene:Potri.018G131300.v4.1 transcript:Potri.018G131300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131300.v4.1 MLKNGKLKLPDKLGGLVSGFDDKEMKNGGSDPVLESGEELEIMEPNGIELGHKENAYEFDDLVNDTDVDNEDAFRTRKRPDKDGSGQVSVMEVIAADEKRSDVEYELSQKEINLEKLRRIASMGLPDGGGLRATAWKLLLGYLSPSHDLWEKELTENRQKYAMLKEELLLSPSEYTRVKEDAMISAELSGEHDDAGPLKRQGISHGDHPLSIVKASAWHHYFKHTEIAEQIDRDLLRTHPDMKFFSGESSFSKKNREAMRKILLLFAKLNPAIRYVQGMNEVLAPIFYVFSTDTDEQNAYGWLKFKLLGTTIHS >Potri.018G131300.3.v4.1 pep chromosome:Pop_tri_v4:18:14137306:14141970:-1 gene:Potri.018G131300.v4.1 transcript:Potri.018G131300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131300.v4.1 MLKNGKLKLPDKLGGLVSGFDDKEMKNGGSDPVLESGEELEIMEPNGIELGHKENAYEFDDLVNDTDVDNEDAFRTRKRPDKDGSGQVSVMEVIAADEKRSDVEYELSQKEINLEKLRRIASMGLPDGGGLRATAWKLLLGYLSPSHDLWEKELTENRQKYAMLKEELLLSPSEYTRVKEDAMISAELSGEHDDAGPLKRQGISHGDHPLSIVKASAWHHYFKHTEIAEQIDRDLLRTHPDMKFFSGESSFSKKNREAMRKILLLFAKLNPAIRYVQGMNEVLAPIFYVFSTDTDEQNAVQQFIHRTWDTSFITLISSHLHICQPVG >Potri.018G131300.2.v4.1 pep chromosome:Pop_tri_v4:18:14137323:14141965:-1 gene:Potri.018G131300.v4.1 transcript:Potri.018G131300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131300.v4.1 MLKNGKLKLPDKLGGLVSGFDDKEMKNGGSDPVLESGEELEIMEPNGIELGHKENAYEFDDLVNDTDVDNEDAFRTRKRPDKDGSGQVSVMEVIAADEKRSDVEYELSQKEINLEKLRRIASMGLPDGGGLRATAWKLLLGYLSPSHDLWEKELTENRQKYAMLKEELLLSPSEYTRVKEDAMISAELSGEHDDAGPLKRQGISHGDHPLSIVKASAWHHYFKHTEIAEQIDRDLLRTHPDMKFFSGESSFSKKNRVNAEADSFSCFVRLLSDSVDHFCQQLDNSPVGILSTLSRLAELLKENDEELWKHLEFTTKVKPQFYAFRWITLLLTQEFNFQSILRIWDSLLSNPFGIQDMLLRICCAMLLCMKSRLLSGDFAANLRLLQHYPDINIEHLLRVAQDLSADTSSYSLSL >Potri.006G210600.1.v4.1 pep chromosome:Pop_tri_v4:6:21776024:21781008:-1 gene:Potri.006G210600.v4.1 transcript:Potri.006G210600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210600.v4.1 MALLKFLPVLALFALLFVLSNNGVEASHKIYLRYQSLSVDKVKQTHRTGYHFQPPKNWINDPTGPLYYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLINWESLEPAIYPSKWFDNYGCWSGSATILPNGEPVIFYTGIVDENNRQIQNYAVPANSSDPYLREWVKPDDNPIVYPDPSVNASAFRDPTTAWRVDGHWRILIGSKKRDRGIAYLYRSLDFKKWFKAKHPLHSVQGTGMWECPDFFPVSLSSEDGLDTSVGGSNVRHVLKVSLDLTRYEYYTIGTYDEKKDRYYPDEALVDGWAGLRYDCGNFYASKTFFDPSTNRRILWGWANESDSVQQDKNKGWAGIQLIPRRVWLDPSGKQLLQWPVAELEKLRSHNVQLRNQKLYQGYHVEVKGITAAQADVDVTFSFPSLDKAEPFDPKWAKLDALDVCAQKGSKAQGGLGPFGLLTLASEKLEEFTPVFFRVFKAADKHKVLLCSDARSSSLGVGLYKPPFAGFVDVDLTDKKLTLRSLIDHSVVESFGAGGRTVITSRVYPIIAVFDKAHLFVFNNGSETVTVETLNAWSMKVPVMNVPVKS >Potri.002G038600.2.v4.1 pep chromosome:Pop_tri_v4:2:2550057:2552117:-1 gene:Potri.002G038600.v4.1 transcript:Potri.002G038600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038600.v4.1 MQTTVNVVDDARPVYHRVVESFSNKFFPSGYQCSRYYLLQACVLPAQAAAVSWVNFINDGRLLALICVSLLVFIDIVDEQILKDEMQHVGKLICSNLGARMDSEPKHWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVLGPGVGIQLASTVCSSMQGKLVVGPLLSIVHVCCVIEEIRATPVNTLNPQRTAMVVADFVKTGKI >Potri.006G147700.2.v4.1 pep chromosome:Pop_tri_v4:6:12669876:12670265:1 gene:Potri.006G147700.v4.1 transcript:Potri.006G147700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147700.v4.1 MENYRSKSYADGRYQIQSYNGASNGGGGAPTSYAITSMQDLRCYSASYATSVYQTQAQIGTSDVKFKKGKSTNGSTSKRWSFNDPELQRKRRVASYKVYAVEGKVKGSLKKSFRWIKERCSKVVNGNWK >Potri.005G002400.2.v4.1 pep chromosome:Pop_tri_v4:5:229784:231012:1 gene:Potri.005G002400.v4.1 transcript:Potri.005G002400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002400.v4.1 MVEYEYLSCPIYTWHRMFEARCTQTILGCKNSKILHSPAAMTRKKVKLAFIVNDSARKATFKKRRKGLMKKVSELSTLCGIDACAIIYSPYDSQPEVWPSPLGVQRVLSKFKTMPEMEQSKKMVNQESFLRQRITKASEQLRKQRKENREKEVTQAMFQCLTGKINLANLNMIDLNDLGWMIDRNLKDVEKRVETLNKRSRSSTGGTSHTSQLAAVAATGAGPSNGGQQAPESSQKADVLATTNLGVNVDSMLRQQWFMDMVTPQETAILGFGGDDNMMLPFGDTNHNALFSNPFFPLN >Potri.003G192100.2.v4.1 pep chromosome:Pop_tri_v4:3:19498982:19504716:1 gene:Potri.003G192100.v4.1 transcript:Potri.003G192100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192100.v4.1 MKKKLDTRFPAARIKKIMQADEDVGKIALAVPVLVSKALELFLQDLCDRTHEITLQRGAKTMSALHLKHCVQSYNVFDFLREIVSRVPDYSHGHSDSTGDHRPLQKRKPTGDECNDSDEELKRSRMHEMSHAGSSGRGRGRGRGRGRGRGARNIERESSSRDLEPESCTTVQQSIKNNTNPGVVMDNGSESKESVKENNRVSDATNQPERNFDLNAEVNDSEDAKAAAAPAAAAPAAAATTTTTTTTTSAPSSSVEPAAETNHEEYPGWSLSEMDKMVIDPLQLAQLSKRLDEEEEDYDEEG >Potri.010G199150.1.v4.1 pep chromosome:Pop_tri_v4:10:19188288:19188728:1 gene:Potri.010G199150.v4.1 transcript:Potri.010G199150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199150.v4.1 MTPSNDCNPAVQRTSTTNTCDFYTHLTRQAEDQPRNLGLVTCHNWTSVSNHRIIRIK >Potri.001G222200.6.v4.1 pep chromosome:Pop_tri_v4:1:23641919:23642729:-1 gene:Potri.001G222200.v4.1 transcript:Potri.001G222200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222200.v4.1 MNTSTSGLNLNGIHFPNLIRGNTSNMIIVSDFTTGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Potri.001G222200.2.v4.1 pep chromosome:Pop_tri_v4:1:23639527:23643882:-1 gene:Potri.001G222200.v4.1 transcript:Potri.001G222200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222200.v4.1 MADQLTEDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAKKRRMQIEERKQVSRKDSIYKQDKDKGAKKRRKRGKFRECLIL >Potri.001G222200.4.v4.1 pep chromosome:Pop_tri_v4:1:23642220:23643752:-1 gene:Potri.001G222200.v4.1 transcript:Potri.001G222200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222200.v4.1 MADQLTEDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Potri.004G011300.2.v4.1 pep chromosome:Pop_tri_v4:4:657197:659949:1 gene:Potri.004G011300.v4.1 transcript:Potri.004G011300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011300.v4.1 MLHACSATALSCSGIWDKIRASGFHSLNRRKLVYGLLFGTNHVACMVKGSSPTPPFPTSPLPFSSKQTLQVVAAFIFILITLLVKLCTCITCLCGLKQFSFLIDRGMARHGWFLPATGVLATIIQLKPETLTPSIVNDAIIIMGVVAVLIHVVCSAFEDILEAGHFKSIAAGTGRLARALAITLLLIICVPKIWWFLLSAWVLFFIWVTYTLREELSKLCESLYNAVRLRHGQEPNELPV >Potri.004G011300.1.v4.1 pep chromosome:Pop_tri_v4:4:659450:659854:1 gene:Potri.004G011300.v4.1 transcript:Potri.004G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011300.v4.1 MARHGWFLPATGVLATIIQLKPETLTPSIVNDAIIIMGVVAVLIHVVCSAFEDILEAGHFKSIAAGTGRLARALAITLLLIICVPKIWWFLLSAWVLFFIWVTYTLREELSKLCESLYNAVRLRHGQEPNELPV >Potri.003G054301.1.v4.1 pep chromosome:Pop_tri_v4:3:7934607:7935706:-1 gene:Potri.003G054301.v4.1 transcript:Potri.003G054301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054301.v4.1 MSITGSSSSTSDGHELLGANHDEASQAQTLSLDAGSSSANATHKGGVPSKHDVYFRKYISHWKLNHSIKIFQNPEWKPMIDVWFRKFKEKLY >Potri.001G063709.1.v4.1 pep chromosome:Pop_tri_v4:1:5996059:5997612:1 gene:Potri.001G063709.v4.1 transcript:Potri.001G063709.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063709.v4.1 MYARCGETGFARKMFDEMGVRDLVSWNSMISGYSKMGFAKEAIGLFMETREEGFEPDEMTLVSVLWACGDLDLGRWVEGLVLEKKMEVIRMWGLL >Potri.001G063709.2.v4.1 pep chromosome:Pop_tri_v4:1:5996059:5997612:1 gene:Potri.001G063709.v4.1 transcript:Potri.001G063709.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063709.v4.1 MYARCGETGFARKMFDEMGVRDLVSWNSMISGYSKMGFAKEAIGLFMETREEGFEPDEMTLVSVLWACGDLDLGRWVEGLVLEKKMEVIRMWGLL >Potri.010G140000.2.v4.1 pep chromosome:Pop_tri_v4:10:15364718:15367723:1 gene:Potri.010G140000.v4.1 transcript:Potri.010G140000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140000.v4.1 MKTENRNENSLLAPITFLPFFFIACCTLPSTADKPSYYIPPDNPAFDCSPSESSHDAGFPYSNRYETDYSSVSRVYAIDPSNREKPRISACIFRKQFSFPFIVSDGPKFLRLYFKPVTYSGLDVSKALFSISVGPYTLITTSESSYSKYFPNSDYAIREFCVNTDGQILNVTFTPSSKVLGAYAFVNKIEVVSMPSKLYIQEYAPLSLVGKPSPYSMGNSRALEMMHRVNIGGDVISGLEDTGMFRRWTWDGDYFKSNDGNTSIVESEVEVKSSLLVPAYAAPLQVYNSARTILHTTESKYRARWMFPVDYGFYYLVRLHFCEISRKINGDGQRVFSIYINNQTAEDHADVFNWSHGAGIPIYRDYVVNFSSYGEGVKYLSIALGSTDGSSAKFGGPILNGLEIFKLSDFSNNLAGPHPFGVIVASHQHFSVRHDAESYHDVEIVRRVACGLVLAYFAIAFLGHCCLFSSRSNRRKKSSKQDQSLGYCRIFTIAETKSATNNFADGLLIGTGGFGKVYKGSIDGGITNVAIKRADRSSHQGLKEFQTEISMLSKLRHGHLVSLLGYCTEEKEMILVYEYMAQGTLRDHLYKTQKPPLPWKQRLKICIGAARGLHYLHTGAKHTIIHRDIKTTNILLDEKWVPKVSDFGLSKLGPNNMTDSESHVSTIVKGSFGYLDPEYYRRQKLTEKSDVYSFGVVLFEVLCARPAVIPMGEIGEDEPEKVSLAEWALHCCQMGTLDQIIDPYLKGEIAPDCFKTFAGIARKCLEDRGSERPTMGDVLWNLELAMQQQEGVGRQEANGTMNGDLRIMIDNQRCSGFDISDPNPGVEFSDIMVPTGR >Potri.006G100400.1.v4.1 pep chromosome:Pop_tri_v4:6:7685288:7690294:1 gene:Potri.006G100400.v4.1 transcript:Potri.006G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100400.v4.1 MAQPGQISVSSRDYSAAASSSSSSSSSSNHASFPVHEEDNQRFRQIEYRQPEIEYLQHQYIDLSHRGNYFAFDDLSAIRDCTWFCVVVVLTFCFFVSMTLILGVYGSMRLTLGPKCSILLPPNPLFVQSIKVEQLYDTNPGLMLYGFSKPPPLDVVRTWSRTLDVSVPADSHKDFVYFLNEGSQINISYRVNSPSSSVFLVIAQGNEGLSQWLEDPTYPNTTLSWNVIQGSGFVQQSILTSASYHIAVGNLNSEKVEVQLAIRVRSFQYNTTKAYYKCTFTDGKCSLNIMFPNGNVAVLNSFGPEQGSESDEWNVKVSYVPRWATYIVGIGGMTVLILAAFNFLNMFQCIREDGTRILFGEVEAERAPLLSCKDDDLSSWGSSYDSVSNDEEDLEDFLAAGSLEGKSRDGENGNNTRRLCAICFDAPRECFFLPCGHRVACFACGTRIAEADGTCPICRRNLKKVRKIFTV >Potri.004G148500.1.v4.1 pep chromosome:Pop_tri_v4:4:17058645:17061844:1 gene:Potri.004G148500.v4.1 transcript:Potri.004G148500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G148500.v4.1 MEFIIEEGKCLNKETSTLILPALSIGNVGQLAVDLLVSSTRAERIGYLDDPYILPCVGNDAYGPTPCGELALPLEAYDSRNNGVALVQQRSPVVKGMMVEFARNLADFAVATGMNHVLVLSSLEFMRLQKIDTSSGMQIFYLSSTNTDGTDDCCERLGWKKWQEYNPDQRSWKYLSSLAEGNARQEDNLPFEDEPEDEDYYPSLPFAALFSCFKAKGIKVTCLLCYCSEGDNTPEAFSLAEATSKLLGLSIDNSHGEGGKWLIPFSWRTVYGPPPDLSMF >Potri.010G018600.2.v4.1 pep chromosome:Pop_tri_v4:10:2764118:2768468:-1 gene:Potri.010G018600.v4.1 transcript:Potri.010G018600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018600.v4.1 MDCIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFSPGKSKSRYLGIWYGKISVQTAVWVANRETPLNDSSGVVKLTNDGLLVLLNRSGSIIWSSNTSTPARNPVAQLLDTGNLVVKEEGDNNVENSLWQSFDYPGNTLIPGMKVGRNIKTGMDWYVTSWKSPDDPSRGNITGILVPEGYPELLLLEDSKPKHRAGPWNGLQFSGMPQVKPNPVYIFEFVYNSKEIYYTEKLHNSSRHWRVVLPQSGDIQHILWIEQTQSWLLYETANTDNCETYALCGANGICSINNSPVCNCLKGFVPKVPRDWDKTDWSSGCVRKTALNCSRDGFRKLSGVKMPETRKSWFNRSMDLEECKNTCLKNCSCTAYTNLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMDASELDNGGSARVNTKSKVKKRIVVTTVLSTGILFIGLCLVLYVWKKKPKKSKVMLTGKMQRRSNNNDMKEELELPFFNMDELASATNNFSDSNKLGEGGFGPVYKGTLTDGQEIAVKRLSKNSRQGLEEFKNEVQHIVKLQHRNLVRLLGCCIQSDETMLVYEFLPNKSLDFYIFDETHSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVTGYRNRGFSHPDHHLNLIGHAWILFKQGRSLELAAGSGVETPYLSEVLRSIHVGLLCVQENTEDRPNISHVVLMLGNEDELPQPKQPGFFTERDLDEASYSSSQNKPPSANGCSISMLEAR >Potri.010G018600.1.v4.1 pep chromosome:Pop_tri_v4:10:2764208:2768468:-1 gene:Potri.010G018600.v4.1 transcript:Potri.010G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018600.v4.1 MDCIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFSPGKSKSRYLGIWYGKISVQTAVWVANRETPLNDSSGVVKLTNDGLLVLLNRSGSIIWSSNTSTPARNPVAQLLDTGNLVVKEEGDNNVENSLWQSFDYPGNTLIPGMKVGRNIKTGMDWYVTSWKSPDDPSRGNITGILVPEGYPELLLLEDSKPKHRAGPWNGLQFSGMPQVKPNPVYIFEFVYNSKEIYYTEKLHNSSRHWRVVLPQSGDIQHILWIEQTQSWLLYETANTDNCETYALCGANGICSINNSPVCNCLKGFVPKVPRDWDKTDWSSGCVRKTALNCSRDGFRKLSGVKMPETRKSWFNRSMDLEECKNTCLKNCSCTAYTNLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMDASELDNGGSARVNTKSKVKKRIVVTTVLSTGILFIGLCLVLYVWKKKPKKSIMLTGKMQRRSNNNDMKEELELPFFNMDELASATNNFSDSNKLGEGGFGPVYKGTLTDGQEIAVKRLSKNSRQGLEEFKNEVQHIVKLQHRNLVRLLGCCIQSDETMLVYEFLPNKSLDFYIFDETHSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVTGYRNRGFSHPDHHLNLIGHAWILFKQGRSLELAAGSGVETPYLSEVLRSIHVGLLCVQENTEDRPNISHVVLMLGNEDELPQPKQPGFFTERDLDEASYSSSQNKPPSANGCSISMLEAR >Potri.006G069400.1.v4.1 pep chromosome:Pop_tri_v4:6:5090029:5091331:1 gene:Potri.006G069400.v4.1 transcript:Potri.006G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069400.v4.1 MVPSKKFRGVRQRRWGSWVSEIRHPLVKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPMPQTSNEDDPKSSDHQPSLTTPPNGLSQILHAKLRKCSKAPSPSMTCLRLDAENSIGVWQQRAGQRSDSNWVMTVQLGKRDESQVSESALPLPDQSPGGISGPEWREEMDKEERVALQMVEELLNRNCPSPPFGVQDHEDDSFFL >Potri.004G044400.3.v4.1 pep chromosome:Pop_tri_v4:4:3514253:3515458:1 gene:Potri.004G044400.v4.1 transcript:Potri.004G044400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044400.v4.1 MHEKQSSPTSISQVYLMEHNPSSSRTDRKLIERNRRNQMKELYSQLNSLVPHQSSREPVLSVPDQLDEAASYIKRLQTNLEKMKEKKDSLMGMERADYTCKNSSGGKTAGLRSPQIEVSEMGSTLEVVLMNGLDSRFMFNEIIRVLHEEGAEIINASLSVVEDTVFHTIHSKVGDSAHSVNGAARISHRLKKLVQDDNEF >Potri.004G044400.1.v4.1 pep chromosome:Pop_tri_v4:4:3514349:3515604:1 gene:Potri.004G044400.v4.1 transcript:Potri.004G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044400.v4.1 MEHNPSSSRTDRKLIERNRRNQMKELYSQLNSLVPHQSSREPVLSVPDQLDEAASYIKRLQTNLEKMKEKKDSLMGMERADYTCKNSSGGKTAGLRSPQIEVSEMGSTLEVVLMNGLDSRFMFNEIIRVLHEEGAEIINASLSVVEDTVFHTIHSKVGDSAHSVNGAARISHRLKKLVQDDNEF >Potri.014G070500.1.v4.1 pep chromosome:Pop_tri_v4:14:4481573:4485383:-1 gene:Potri.014G070500.v4.1 transcript:Potri.014G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070500.v4.1 MATYVINILPFSSPTCPLHSEPKKTSNLHFLGNSLCQQPVTLTSCKSQIQPVLAAINVEEKVEGEIGKEKPKFRWVEIGPNIPEEQKQAISQLPFKMTKRCKALMRQIICFNDKKGSLRGLLSAWVKIMKPRRKDWLSILKELNKMEHPLYLEVVEIALLEESFEANVRDYTKIIHFYGMNNQLEEAERTRLAMEERGFVSDQVTLTAMIHMYSKGGNLTLAEETFEELKLLGQPLDRRSYGSMIMAYIRAGMPEKGEMILREMDAQEIRAGSEVYKALLRAYSIIGDADGAQRVFDAIQLAGIPPDDRTCAVLLNAYGMAGQSQNAYATFENMWRAGIEPTDRCVALVLAAYEKENKLNQALDFLIGLEREKLIIGKEASEVLAEWFGRLGVVKEVELVLREYAAG >Potri.002G015002.1.v4.1 pep chromosome:Pop_tri_v4:2:916718:920187:-1 gene:Potri.002G015002.v4.1 transcript:Potri.002G015002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015002.v4.1 MESSSKGEDEVGGYNSSNVKKAKLQSTLAALLDDPILSDVPKKPTLSDVDTLISLEMGSAMRISVQKLDGTSFDVALMNSATVKDLKLAIKRKLIEMEQSKMGHRHISWKKVWANFALSYHNQKLLDDNSALQDSGIRNNSQVHFASYVMSKDSQRHSKRRKHRFFHGLNKRA >Potri.002G015002.3.v4.1 pep chromosome:Pop_tri_v4:2:916718:918992:-1 gene:Potri.002G015002.v4.1 transcript:Potri.002G015002.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015002.v4.1 MESSSKGEDEVGGYNSSNVKKAKLQSTLAALLDDPILSDVPKKPTLSDVDTLISLEMGSAMRISVQKLDGTSFDVALMNSATVKDLKLAIKRKLIEMEQSKMGHRHISWKKVWANFALSYHNQKLLDDNSALQDSGIRNNSQVHFASYVMSKDSQRHSKRRKHRFFHGLNKRA >Potri.002G015002.2.v4.1 pep chromosome:Pop_tri_v4:2:917600:919098:-1 gene:Potri.002G015002.v4.1 transcript:Potri.002G015002.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015002.v4.1 MESSSKGEDEVGGYNSSNVKKAKLQSTLAALLDDPILSDVPKKPTLSDVDTLISLEMGSAMRISVQKLDGTSFDVALMNSATVKDLKLAIKRKLIEMEQSKMGHRHISWKKVWANFALSYHNQKLLDDNSALQDSGIRNNSQVHFASYVMSKDSQRHSKRRKHRFFHGLNKRA >Potri.010G219350.1.v4.1 pep chromosome:Pop_tri_v4:10:20508090:20508392:1 gene:Potri.010G219350.v4.1 transcript:Potri.010G219350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219350.v4.1 MEKRRFCSRSTSMEHQWATAFTILISLLLLKGWGNVRGSMEHLREIRPRCHGGDGLQPTGARRWKLLELVAEQFAATRPFFLIPSITTCFSHSNMSSPNV >Potri.003G212300.2.v4.1 pep chromosome:Pop_tri_v4:3:20964835:20969975:1 gene:Potri.003G212300.v4.1 transcript:Potri.003G212300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212300.v4.1 MDYELSDSSGTDDDLPPTHRNRFQSGVRSAGNGRSAVGGGASQPSLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDIIRRIREWRKANGIQPSMPSTTQPSHNPIASPSASGSRKKQKTSQSVASLSMGAPSPVLHPSMQQSTSALRHGPPPGSGNKKPKSSMQQCSTGLSGRAQVANHGSSGAFAAHDLIGKKVWTLWPEDNHYYEAVITDYNAVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEDEETGLFRRGGRPGPGRGNKKSIARGGAVAAAGRGRGTIKGQSKKDFSLTKNGVAKKAMGDIEILHTDTLIKEVEKVFGASHPDPLEIEKAKKVLREQEQALVKAIARLEDALDGQSDEGEHPLPHIQSRDQNRGWRKRPYDEIVGEGRGIKGSGGNKMARNGRIVPSDHHDENYDM >Potri.003G187601.1.v4.1 pep chromosome:Pop_tri_v4:3:19238453:19239253:-1 gene:Potri.003G187601.v4.1 transcript:Potri.003G187601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G187601.v4.1 MSISAGAEGSLRLSITLKSCFLPLWVDDIEGVENLGKRLLQRRKDEKLPVFTPPEQTVEALLESGYSLIKEHQLIIETSVWYSDSFSDCGLKRQV >Potri.014G026100.1.v4.1 pep chromosome:Pop_tri_v4:14:1617727:1621129:1 gene:Potri.014G026100.v4.1 transcript:Potri.014G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G026100.v4.1 MSNYFLNDELSKKTSIFGLRLWVVLGICVGAAIVLLLFLISLWLTSKRNKTSNKANKPMATTSTATTKFVSSLNNNPTIPNISKEIQEIRVDPRKLNHPDPVPESELQNGEETTSPVGGGNRIHIEIGKDHRISYPERVGGLGSGSGETRSGPRSGDQAGAVIAVPEVSHLGWGHWYTLRELEESTNYFADENVIGEGGYGIVYRGLLEDNTNVAVKNLLNNRGQAEKEFKVEVEAIGRVRHKNLVRLLGYCAEGAHRMLVYEFVENGNLEQWLHGDVGPCSPLTWEIRINIIIGTAKGLTYLHEGLEPKVVHRDIKSSNILLDKQWNSKVSDFGLAKLLGSESSYVTTRVMGTFGYVAPEYASTGMLNERSDVYSFGILLMEIISGRNPVDYSRPAGEVNLVEWLKTMVTIRNAEGVLDPRLPKKPSPRALKRALLVALRCVDPNAQKRPKMGHVVHMLEADEFPFRDDRRVGREPGRSHHDVMRMDKRVSESGDSSGYESGVQTNKSLWRKKEPEEQ >Potri.001G069799.1.v4.1 pep chromosome:Pop_tri_v4:1:5264496:5272165:1 gene:Potri.001G069799.v4.1 transcript:Potri.001G069799.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069799.v4.1 MTETNQMEMAEEVQTTEVETKLKDRKLSWARLRRVDSLNLEAGRVSMPHSHTSNINWKRTLSLAFQSVGVVYGDIGTSPLYVYASTFTDGTIHENEDILGVLSLIIYTIVLVPMIKYVFIVLRANDHGDGGTFALYSLLCRYAKVSLIPNDQPEDSQLSNYKLETPSSQLRRAQEIKEKMESSKTIKIILFLVTILGTSMVIGDGVLTPCISVLSAVSGIKSLGEDTVVGVSIAILIVLFTLQRLGTDKVGFAFAPVIFLWFSFIGGIGLFNLFKYDLGVLRAFNPKYIIDYFKRNGKQGWISLGGVVLCITGTEAMFADLGHFSVRAIQTSFSSIVFPALLAAYAGQAAYLSKFPNDVSDTFYKSVPDPLYWPMFVVAVAAAIIASQAMISGAFSIVAQSLSLNCFPRVKIVHTSAKYEGQVYIPEINYMLMVACVIVTLAFRTTEKIGHAYGIAVVAVMVMTTCMVTLIMLVIWKARMLSVTLFFFVFGAIEVVYLSAVLYKFKQGGYLPLALSFFLMVAMGTWHYVHRERYLYELKNKVSSEYIMQLAANANMNQLPGIGLLYSELVQGIPPIFPHFISNIPSTHSVLVFVSIKSIPISKVAIEKRFLFRQIEPQEYRMFRCVVRYGYKDATVESHEFERQLVEHLKEFIRHEYFIHEAGNIESTFEPENIQHSTLLVEKDGKGRR >Potri.004G156650.1.v4.1 pep chromosome:Pop_tri_v4:4:17766121:17774487:1 gene:Potri.004G156650.v4.1 transcript:Potri.004G156650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156650.v4.1 MATKSKILFIGGTGYIGKFIVEASAKAGHPTSVLVRDSTLSNPGKSNVIDNFKNLGVNFLIGELFDHESLVKAIKQVDVVISTRFFPSEFGNDVDRVHAVEPAKSAFATKANIRRAIEAQGIPFTYPGATAPPRDKVVIVGDGNPKAVFNKEDDIATYTIKAVDDPRTLNKILYIRLPPANTISFNDLVSLWEKKIGKTLERIYVQRNNFLKISEKLQFQ >Potri.015G143500.2.v4.1 pep chromosome:Pop_tri_v4:15:14897284:14900123:1 gene:Potri.015G143500.v4.1 transcript:Potri.015G143500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143500.v4.1 MGRGPCCDQDGVKKGPWTPEEDHLLVQYIQKNGFGSWHSLPKKAGLFRCGKSCRLRWTNYLRPDIKRGRFSPAEEATIIHLHGILGNRWAHIASQLPGRTDNEIKNFWNSHLKKRLASIGPKLQINQSSSSNPINIMCESPSCHMVQWESARVEAEARLSMQSLLVKHTSTVKGHPDIFMQLWNSEVGEAFRNVKGKDVETCESLVSDAHPCVKIESVLLDDTAPATPNKTSTSIDTTQEQEDTCKPSADVMSVSDSIGSNEFADSSDTALKLLLDFPGGNGMEFIRENLLGFRCD >Potri.015G143500.1.v4.1 pep chromosome:Pop_tri_v4:15:14897191:14900150:1 gene:Potri.015G143500.v4.1 transcript:Potri.015G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143500.v4.1 MGRGPCCDQDGVKKGPWTPEEDHLLVQYIQKNGFGSWHSLPKKAGLFRCGKSCRLRWTNYLRPDIKRGRFSPAEEATIIHLHGILGNRWAHIASQLPGRTDNEIKNFWNSHLKKRLASIGPKLQINQSSSSNPINIMCESPSCHMVQWESARVEAEARLSMQSLLVKHTSTVKGHPDIFMQLWNSEVGEAFRNVKGKDVETCESLVSDAHPCVKIESVLLDDTAPATPNKTSTSIDTTQEQEDTCKPSADVMSVSDSIGSNEFADSSDTALKLLLDFPGGNGMEFIRENLLGFRCD >Potri.005G045500.1.v4.1 pep chromosome:Pop_tri_v4:5:2882748:2889219:-1 gene:Potri.005G045500.v4.1 transcript:Potri.005G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045500.v4.1 MGCVLGREVSSGIVSESKEVKNSRVESKRKVEDVSVTKTDTTSSVVEIQNEETQEEKVDGDKKPRGERRRSRPNYKPSNLPGQMRGDQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKVVALKKVRFDNLEPESVKFMAREIIILRRLNHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPAVKFTEAQVKCYMHQLLSGLEHCHKRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNNKHPMTSRVVTLWYRPPELLLGATDYGVSIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSRLPNATLFKPREPYKRCIRETFKDFPPSSLPLIETLLAIDPVERQTATAALKSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAASKAQGDAGKKTRTRERHARAMPAPDANAELQSNIDRRRLINHANAKSKSEKFPPPHQDGALGYTLGSSHHIDPALVPPDVPFSTTSFTYSKEPIQTWSGPLVDPAGVGAPRRKKKNTGDARGSSNLPTGKDKSRDTQLKGKKSMA >Potri.005G045500.4.v4.1 pep chromosome:Pop_tri_v4:5:2882748:2888705:-1 gene:Potri.005G045500.v4.1 transcript:Potri.005G045500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045500.v4.1 MGCVLGREVSSGIVSESKEVKNSRVESKRKVEDVSVTKTDTTSSVVEIQNEETQEEKVDGDKKPRGERRRSRPNYKPSNLPGQMRGDQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKVVALKKVRFDNLEPESVKFMAREIIILRRLNHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPAVKFTEAQVKCYMHQLLSGLEHCHKRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNNKHPMTSRVVTLWYRPPELLLGATDYGVSIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSRLPNATLFKPREPYKRCIRETFKDFPPSSLPLIETLLAIDPVERQTATAALKSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAASKAQGDAGKKTRTRERHARAMPAPDANAELQSNIDRRRLINHANAKSKSEKFPPPHQDGALGYTLGSSHHIDPALVPPDVPFSTTSFTYSKEPIQTWSGPLVDPAGVGAPRRKKKNTGDARGSSNLPTGKDKSRDTQLKGKKSMA >Potri.005G045500.3.v4.1 pep chromosome:Pop_tri_v4:5:2882938:2889295:-1 gene:Potri.005G045500.v4.1 transcript:Potri.005G045500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045500.v4.1 MGCVLGREVSSGIVSESKEVKNSRVESKRKVEDVSVTKTDTTSSVVEIQNEETQEEKVDGDKKPRGERRRSRPNYKPSNLPGQMRGDQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKVVALKKVRFDNLEPESVKFMAREIIILRRLNHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPAVKFTEAQVKCYMHQLLSGLEHCHKRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNNKHPMTSRVVTLWYRPPELLLGATDYGVSIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSRLPNATLFKPREPYKRCIRETFKDFPPSSLPLIETLLAIDPVERQTATAALKSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRAASKAQGDAGKKTRTRERHARAMPAPDANAELQSNIDRRRLINHANAKSKSEKFPPPHQDGALGYTLGSSHHIDPALVPPDVPFSTTSFTYSKEPIQTWSGPLVDPAGVGAPRRKKKNTGDARGSSNLPTGKDKSRDTQLKGKKSMA >Potri.001G301800.1.v4.1 pep chromosome:Pop_tri_v4:1:31236774:31238802:-1 gene:Potri.001G301800.v4.1 transcript:Potri.001G301800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301800.v4.1 MAIPKQQELEQNELNSQQEQNASFLLDALYCEEGRWEDESEEEVLQESTFVNDLFPLSLLEQDLFWEDEELLSLFSKEQEQQASVSVNNVADDPFLSRARQEAVEWMLKVIAHYGFSALTSILAFNYLDRFLSGPCYQRDSRPWMIQLVAVTCLSLAAKVEETHVPFLLDLQVEDTKYVFEAKTIQRMELLVLSTLKWKMHPVTPLSFLDHIIRRLGLKTHVHWEFLRRCEHLLLSVVSDSRSVSYLPSVLATATMMHVIDQVETFNPIDYQNQLLDVLKITKEKVNGCYGLILELSRNRTIANNKSQKRKFEPMPSSPSGVIGAVFSSDSSNDSWAVQGSSVSSSPEPLFKKSRTQDKWVFADIVSSPPSSLSLSLPISFSKNLP >Potri.010G044100.1.v4.1 pep chromosome:Pop_tri_v4:10:7579061:7594423:1 gene:Potri.010G044100.v4.1 transcript:Potri.010G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044100.v4.1 MSNHSSIIGHYKVFNNQSLLRPTASEHRSSKLNSTGIPANSLFQSARRPLSSFYGNSLRVRKSKLGIAGARRSFIFTPRAVLAMDPPSEQLAGRFNLDGNIEMQVFVSHSSGSSIAQVNIQINYSSDSLLLHWGVVRDRKEKWVLPSLQPDGTKNYKNRALRSPFMESGSNSYINIAIDDPAIQAIEFLIVDEAQNKWFKNNGQNFYVELPTREKLTIPNVSVPEELVQIQSYLRWERNGKQMYTPEQEKEEYEAARFELMEKVARGTSIEDLRATLTNKNDIREIKEPSVSQIENNLPDDLVQLQAYMRWEKAGKPNFSPEQQQSEFEKAREELQAELGKGVSVDEIRKKISKGEIKTNVSKQLQNKRYFSTERIQRKGRDLAQLINRHSAKSVEDRASKSVEEKASIEPKVLKAVELFAKEKEEHDGGAVLNKKIFKLADKELLVLVTKPGGKLKVRLATDFEEPVTLHWALSKKAGEWMEPPPTVLPPGSVALKEAAETQLKNESSAKFSYQVQSFEIEIEEDIFVGLPFVLLSNGRWIKNNGSDFYIEFSRGSKHVQKDAGDGIGTARALLDKIAELESEAQKSFMHRFNIAADLMDKAKDAGELGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQDIYASNPQHQELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNECKGGMMEEWHQKLHNNTSPDDVIICQALIDHIKSDFDISVYWKTLNENGITKERLLSYDRAIHSEPNFRRDQKDGLLRDLGNYMRTLKAVHSGADLESAITNCMGYRSEGQGFMVGVQINPIPGLPSGFPELLQFVLKHVEDKNVEALIEGLLEARQELRPLLFKSNNRLKDLLFLDIALDSTVRTAIERGYEELSNAGPEKIMYFITLVLENLALSSDDNEDLIYCVKEWKHALSMSNSKSDHWALYSKSVLDRTRLALASKAEWYHQVLQPSAEYLGSLLGVDQWAVNIFTEEIIRAGSAAALSVLLNRLDPVLRQTAHLGSWQVISPVEAVGYVVAVDELLTVQNKTYNLPTILVAKRVKGEEEIPDGAVALLTPDMPDVLSHVSVRARNSKVCFATCFDPDILANLQAYEGKLLRLKPTSADIVYSELTEGELADSSSTNLTEGSPSPIKLVRKEFSGRYAISSEEFTSEMVGAKSRNISYLKGKVPSWIGIPTSVALPFGVFEKVLSEDSNQEVANKLQLLKKNLGEELSALREIRQTVLQLTAPPQLVQELKTKMQSSEMPWPGDEGEQRWDQAWMAIKKVWASKWNERAYFSARKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNDLNSPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLITDEQFRRRILSGIARAGSAIEELYGSPQDIEGVIRDGNVYVVQTRPQV >Potri.010G044100.2.v4.1 pep chromosome:Pop_tri_v4:10:7579059:7594422:1 gene:Potri.010G044100.v4.1 transcript:Potri.010G044100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044100.v4.1 MSNHSSIIGHYKVFNNQSLLRPTASEHRSSKLNSTGIPANSLFQSARRPLSSFYGNSLRVRKSKLGIAGARRSFIFTPRAVLAMDPPSELAGRFNLDGNIEMQVFVSHSSGSSIAQVNIQINYSSDSLLLHWGVVRDRKEKWVLPSLQPDGTKNYKNRALRSPFMESGSNSYINIAIDDPAIQAIEFLIVDEAQNKWFKNNGQNFYVELPTREKLTIPNVSVPEELVQIQSYLRWERNGKQMYTPEQEKEEYEAARFELMEKVARGTSIEDLRATLTNKNDIREIKEPSVSQIENNLPDDLVQLQAYMRWEKAGKPNFSPEQQQSEFEKAREELQAELGKGVSVDEIRKKISKGEIKTNVSKQLQNKRYFSTERIQRKGRDLAQLINRHSAKSVEDRASKSVEEKASIEPKVLKAVELFAKEKEEHDGGAVLNKKIFKLADKELLVLVTKPGGKLKVRLATDFEEPVTLHWALSKKAGEWMEPPPTVLPPGSVALKEAAETQLKNESSAKFSYQVQSFEIEIEEDIFVGLPFVLLSNGRWIKNNGSDFYIEFSRGSKHVQKDAGDGIGTARALLDKIAELESEAQKSFMHRFNIAADLMDKAKDAGELGLAGILVWMRFMATRQLIWNKNYNVKPREISKAQDRLTDLLQDIYASNPQHQELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNECKGGMMEEWHQKLHNNTSPDDVIICQALIDHIKSDFDISVYWKTLNENGITKERLLSYDRAIHSEPNFRRDQKDGLLRDLGNYMRTLKAVHSGADLESAITNCMGYRSEGQGFMVGVQINPIPGLPSGFPELLQFVLKHVEDKNVEALIEGLLEARQELRPLLFKSNNRLKDLLFLDIALDSTVRTAIERGYEELSNAGPEKIMYFITLVLENLALSSDDNEDLIYCVKEWKHALSMSNSKSDHWALYSKSVLDRTRLALASKAEWYHQVLQPSAEYLGSLLGVDQWAVNIFTEEIIRAGSAAALSVLLNRLDPVLRQTAHLGSWQVISPVEAVGYVVAVDELLTVQNKTYNLPTILVAKRVKGEEEIPDGAVALLTPDMPDVLSHVSVRARNSKVCFATCFDPDILANLQAYEGKLLRLKPTSADIVYSELTEGELADSSSTNLTEGSPSPIKLVRKEFSGRYAISSEEFTSEMVGAKSRNISYLKGKVPSWIGIPTSVALPFGVFEKVLSEDSNQEVANKLQLLKKNLGEELSALREIRQTVLQLTAPPQLVQELKTKMQSSEMPWPGDEGEQRWDQAWMAIKKVWASKWNERAYFSARKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNDLNSPQVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLITDEQFRRRILSGIARAGSAIEELYGSPQDIEGVIRDGNVYVVQTRPQV >Potri.001G055100.1.v4.1 pep chromosome:Pop_tri_v4:1:4152782:4157729:-1 gene:Potri.001G055100.v4.1 transcript:Potri.001G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055100.v4.1 MGSFQIGMRSSALLETSCGYLLQELQMIWEEVGEDQFEREKVLLDLEQECLEVYRRKVDNANISRARLHQELAESEAEFTHLLLSLGERSLPGRPERKSGTLKEQLDAITPALREMRLRKEERVNQFRSVQGQIQKISAEIAGQSVYDDPITNVKVNENDLSLKKLEEYQIELQRLHNEKNDRLQLVDTYIDTIHDLSSTLGMESSMIITKVHPTLNELCGISKNISDSILDKLNSTVESLKEEKQKRLEKLHQLGKALKNLWNLMDTPYKDCHSFSNVTDLLFLSSDEVSGPGSLALNIIQQAEAEVKRLDQLKASKMKELFFKKQSELDQICNKSHMEIPSQPEMENIINLINLGEIDHADLLMSLDEQISRAKEEASSRKAIMEKVEKWILARDEERWLEEYSMDENRYSVRRGAHKNLQRAERARVIVNKIPVLVASLVAKTESWEEERNKVFLYDGVPLLAMLEEYNISRQEMEEEKQRQRASSSNTLQAKEKKVPSHVEVEQENLIGSSSRPSTSSRRLSNKSLNGGFSNATPLNRRLSLGPRQLGTNSINSANQGISYIKEGRKIQGQKMFLRPDLSSHLRDEAASVVSSFSGPLSP >Potri.001G055100.2.v4.1 pep chromosome:Pop_tri_v4:1:4153664:4157725:-1 gene:Potri.001G055100.v4.1 transcript:Potri.001G055100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055100.v4.1 MWISTSGIAGKTASWKSMILIYQSITALLFSSRSLSLPLNMKQMIWEEVGEDQFEREKVLLDLEQECLEVYRRKVDNANISRARLHQELAESEAEFTHLLLSLGERSLPGRPERKSGTLKEQLDAITPALREMRLRKEERVNQFRSVQGQIQKISAEIAGQSVYDDPITNVKVNENDLSLKKLEEYQIELQRLHNEKNDRLQLVDTYIDTIHDLSSTLGMESSMIITKVHPTLNELCGISKNISDSILDKLNSTVESLKEEKQKRLEKLHQLGKALKNLWNLMDTPYKDCHSFSNVTDLLFLSSDEVSGPGSLALNIIQQAEAEVKRLDQLKASKMKELFFKKQSELDQICNKSHMEIPSQPEMENIINLINLGEIDHADLLMSLDEQISRAKEEASSRKAIMEKVEKWILARDEERWLEEYSMDENRYSVRRGAHKNLQRAERARVIVNKIPVLVASLVAKTESWEEERNKVFLYDGVPLLAMLEEYNISRQEMEEEKQRQRASSSNTLQAKEKKVPSHVEVEQENLIGSSSRPSTSSRRLSNKSLNGGFSNATPLNRRLSLGPRQLGTNSINSANQGISYIKEGRKIQGQKMFLRPDLSSHLRDEAASVVSSFSGPLSP >Potri.011G094400.2.v4.1 pep chromosome:Pop_tri_v4:11:12179892:12182605:1 gene:Potri.011G094400.v4.1 transcript:Potri.011G094400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094400.v4.1 MTSLSTFTFSFLLLSFSPSFSFFYAFAAGTIGVNYGRVANNLPAPAEVVSLLKSHGINRIKLYDTDSDVLTALAGSSINVVVALPNELLSSVAADQSFADSWVKGNISQHFPQTKIEAIAVGNEVFVDPKNTTPFLVPAMKNVHNSLVKFNLSSIKISSPIALSALQSSYPSSAGSFKTELIGPVIKPMLDFLRQTGSYLMINAYPFFAYAANADVISLDYALLKENQGVVDSGNGLKYNSLLEAQLDAVHAAMSAIQYNDVKMVVTETGWPSLGDEDEIGAGEANAASYNGNLVKRVLTGNGTPLRPQEPLNVYLFALFNENEKPGPTSERNYGLFYPNEKRVYDVPFTLEQLGNGQSMPVNKSNSPAPSVQSGGDVSTTSSVGQTWCVANGNAGAEKLQAGLDYACGEGGADCRPIQTGSTCYNPNTVEAHASYAFNSYYQKKARGAGTCDFGGAAYVVTQQPRFGNCKFPTGY >Potri.010G123000.1.v4.1 pep chromosome:Pop_tri_v4:10:14094274:14096813:-1 gene:Potri.010G123000.v4.1 transcript:Potri.010G123000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123000.v4.1 MRASMLGVTRRICNSREEEGELRRGPWTLEEDTLLTRYIARNREGRWNMLAKCAGLKRTGKSCRLRWLNYLKPDVKRGNLTPQEQLLILELHSKLGNRWSKIAQHLPGRTDNEIKNYWRTKVQKEARQLNIEASSTKFLDAVRSFWMPRLPQKMEQASYSSSSTTLDSQSQADHGVRSPSSNSTVPSSLSTLLCPPESKLAHYSNLGSENSSPVTSPHVLSANSDTISPQPEILENPTCSPLHGDTFYNNLILSDTCYEEGSCYDLDGFNQASAGMGTYDNSPLECQMAGGNWVFDSRVDTIWDMDDMWQFRDLRETGI >Potri.004G133900.3.v4.1 pep chromosome:Pop_tri_v4:4:15537549:15542144:-1 gene:Potri.004G133900.v4.1 transcript:Potri.004G133900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133900.v4.1 MVAKKSKGMIKHLISAIQSPQGLNPPSLKRLYALLHHLSTLKTPISGSFPDMKLKKCRLNFELKDINCLSDILFKQLEDRFHGLFSALHEHNVSAADNGKCFLIEELTLLLRCCLVMLVLIEHDQPLLIQKGLGILSMLSRLVATELSGRNGKSSITFKKLTSRQSVSDDCTTSITEEFVASLCLWKPSDPRYAFLCAVLEVFADELLVRQTLRQNFVMIDSAPSRSERLFVCPSGHGNIGSVLEVICAHFVVSLSDEQAFENFLNRLFWCHGEDFRIPEMSLPAALSLLLNPIMLSAPKLFQAYLILMVSEAIGICMPQPNTMLDPKLMDCYTEAFERSIFLYTRHMSSLHVDDFLGDNGSFIRSGLHGSSSKLNFESFLHPATRDKLHHLISKSYDAWNSYLSSMSSRTNSELVAASIAFMKESLCIVDESFKDEILSILSCIILRYPSNDIGDTLLYKKEETSSQDIYLLASILKLMSSSLLQAIWYVKHLRSSVCLKSLEDVSSCKGYDVIVDILGCFEHFSISLPIQKFLCETLQSHPARHKKSRWMFFHFSGLLSLSYASGLDFLVKDCLFALMVVLNMFIIEEGDLAAVDSLFGSSLESFSSKSSDKSEGVMAISKSSQIVSSKIQKIQEMYLRTRSTICSNDRKQHNQAGTSEYGSIMNELDSVASMEDAKETCNGEIFLKCVLGKYAKSKVIDDLSDFIECEHGKNYSGWLRDRQRFRIWKYKKTTIRRWKRKKMCWNHLKG >Potri.014G035900.1.v4.1 pep chromosome:Pop_tri_v4:14:2266504:2266707:1 gene:Potri.014G035900.v4.1 transcript:Potri.014G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035900.v4.1 MDTVLQGLLGRVVRQCYAVLCLGAILVSVAIAHSTRDVKPNDHRERTTRRLTAMQGPSISPRRRSCS >Potri.019G001202.1.v4.1 pep chromosome:Pop_tri_v4:19:744552:745129:1 gene:Potri.019G001202.v4.1 transcript:Potri.019G001202.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001202.v4.1 MRLSQARHGCMRPSLMKVPVNLRGKIPQPIPENSCGNLVSWAVAQFKPGDESEVKLHELVSRINSGIENAFPNYSRECIRLFDTKDVMELRQ >Potri.006G083300.4.v4.1 pep chromosome:Pop_tri_v4:6:6190412:6197994:-1 gene:Potri.006G083300.v4.1 transcript:Potri.006G083300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083300.v4.1 MEQETGLSKPVAVIACATMAFLYVAILYAPTLILRLPAPSSLKEFMIRRFICATISSFMSLVFCAILLPLRRREATYLFRVYGIRLDHLWQAVVFPLSLTCLMYAGSLVFKSLLLVDSWKEHMHQGEGISLNCIKDILQNFLAGLSSTASNVLAWRNYVVAPLTEELVFRACMIPLLLCGGFEIYVVILLCPILFSLAHLNHWMEIYGRQNYSLLKAFMVVGLQLGYTVIFGSYASFLFIRTGHLVAPLVAHIFCNFMGLPVLFVRRTGMVSLAFIAGTVAFICLLCPVTQPHLYNDGTNDCECWQGYCSSNLNS >Potri.014G102800.4.v4.1 pep chromosome:Pop_tri_v4:14:6848158:6852380:1 gene:Potri.014G102800.v4.1 transcript:Potri.014G102800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102800.v4.1 MATLADSFLADLDELSDNDANIVEEDDVEAGNMEEDVDGDLADIEALNYDDLDTVSKLQKTQRYNDIMQKVEDALEKGSGVQDHGMVLEDDPEYQLIVNCNVLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDMEGLLPAAIRMVISVTASTTSGKPLPEEVLQKTIEACDRALALDSAKKKVLDFVETRMGYIAPNLSAIVGSAVAAKLMGTAGGLTALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGFIEQTEVFQSTPPSLRMRAGRLLAAKSTLAARVDSTRGDPSGNTGRALREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSIGQSKLAAKVAKKFKEKRYGSSSGATSGLTSSLAFTPVQGIELSNPQSHAHQLGSGTQSTYFSENGTFSKIKRT >Potri.014G102800.1.v4.1 pep chromosome:Pop_tri_v4:14:6848382:6852413:1 gene:Potri.014G102800.v4.1 transcript:Potri.014G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102800.v4.1 MATLADSFLADLDELSDNDANIVEEDDVEAGNMEEDVDGDLADIEALNYDDLDTVSKLQKTQRYNDIMQKVEDALEKGSGVQDHGMVLEDDPEYQLIVNCNVLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDMEGLLPAAIRMVISVTASTTSGKPLPEEVLQKTIEACDRALALDSAKKKVLDFVETRMGYIAPNLSAIVGSAVAAKLMGTAGGLTALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGFIEQTEVFQSTPPSLRMRAGRLLAAKSTLAARVDSTRGDPSGNTGRALREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSIGQSKLAAKVAKKFKEKRYGSSSGATSGLTSSLAFTPVQGIELSNPQSHAHQLGSGTQSTYFSENGTFSKIKRT >Potri.014G102800.5.v4.1 pep chromosome:Pop_tri_v4:14:6848209:6852356:1 gene:Potri.014G102800.v4.1 transcript:Potri.014G102800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102800.v4.1 MATLADSFLADLDELSDNDANIVEEDDVEAGNMEEDVDGDLADIEALNYDDLDTVSKLQKTQRYNDIMQKVEDALEKGSGVQDHGMVLEDDPEYQLIVNCNVLSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDMEGLLPAAIRMVISVTASTTSGKPLPEEVLQKTIEACDRALALDSAKKKVLDFVETRMGYIAPNLSAIVGSAVAAKLMGTAGGLTALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGFIEQTEVFQSTPPSLRMRAGRLLAAKSTLAARVDSTRGDPSGNTGRALREEIRKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSIGQSKLAAKVAKKFKEKRYGSSSGATSGLTSSLAFTPVQGIELSNPQSHAHQLGSGTQSTYFSENGTFSKIKRT >Potri.002G109601.10.v4.1 pep chromosome:Pop_tri_v4:2:8174405:8194166:-1 gene:Potri.002G109601.v4.1 transcript:Potri.002G109601.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109601.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKDEHHQMGNPTSEVKFWQREAAVLRQQLQTLQENHRQMMGEQLSGLSITDLQNLESQLEMSLQGVRMKKDQILMDQIQELNRKGNLIHQENVELYQKVYGTRDVNRANRNSLLTNGLAIGEESHVPVHLQLSQPQQQNHDTPATKLGRLQLH >Potri.013G030800.1.v4.1 pep chromosome:Pop_tri_v4:13:1972022:1975119:-1 gene:Potri.013G030800.v4.1 transcript:Potri.013G030800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G030800.v4.1 MASCLVFLLLAFFAFSANADVFFDCGASDTYTDGNSIEWMGDGDMFQDSQAEVVQSSKTMSPVMSTLTVFTTRKKNCYSFSENKGNPLLVRASFFYGNYDKKSSPPSFDMHIDGNDWVTVKTSLDQLVNYEVVYVSKGDTTSICLAQTQPNQFPFISALEVRNLDSKMYNYLDPNYALFLRSRVAYGAKETVRLPDDAYDRIWVPATVDSGITSVASDAITIDVVNAPDNPPQAVLQNAITISSTSDSISINPGFPDQEVSIYMNLYFSEVTQLDTTQNRSFKAYIDKKPVSDPIIPPYGEVTETFINFTASSNTSFSLAANPDSTLPPLVNAMEVFYISDRLTDGTNSKDVEGLSELQKVFSDALHEWSGDPCLPSPYTWEWISCSNDTIPRITALDLSNFDLSGELPDFSSMDALVTINLQNSSINGLIPDFLGSLPNLKELNLADNYFSGTIPPSISTNKKLKLVVSGNPNLCVSGQSCQPTSTDGTTSSSIPSGRRKKSNKLPVILGTTIPIFVIFWAIVGFIVHHKRKTAAIIAITTGQTGGAKRRSGANNMRFEAVINEINVNIQDQTTTENDNQSDPQK >Potri.001G252400.1.v4.1 pep chromosome:Pop_tri_v4:1:26849354:26852583:-1 gene:Potri.001G252400.v4.1 transcript:Potri.001G252400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252400.v4.1 MTVKLCYLPTILFVAITPSLLHVVVVKSLGTASTIAITYSTPTACGIVAGEPTQRVQCYQNGQAISLQPNISFEAISGGLNFLCGLRSGGLAILCWETAAISHFSSLQPKRIYYSNTVRLTDLTVGDVQVCAREVNSGMVKCWRGVGRGKSSFPSPGVALKFSTITSGSGFTCGILMNNSRVHCWGNSDVGTEIERQFGNLTMLNLVAGESHACGLARSGVLVCKGSNGSGQLDAPFNSTFEFSALALGANFTCSIEQRNGLVKCWGEANKFQLDSYISENDSLDLIVAGLNFICGLTTRNLSMICWGMGWSNALSHGDDLPLGTIIPGSCVQSSCSTCGMYPNSETLCGGSGSICKSCQIERPLALPLPPITPPPSEELQPVLQVKSRNKISLVFLIVGSVGALAGIGTIVYFLSIFLHKRYSNTVQPSTTDVNLNIGASVNSDSTAPPLRLFSIRSYGSRRLGQQRNGSSSRRTEKTQNFSLSELLTATNNFSLENKIGSGSFGTVYKGELPDGREVAIKRGETGMKMRKLQEKEIAFDSELALLSRLHHKHLLGLIGFCEENDERLLVYEYMSNWSLHDHLHSKENTEKSSSILNSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWTAKVSDFGLSLMEPESDQEFMSTRAAGTVGYIDPEYYVLNVLTAKSDVYGLGVVLLELLTGKRAVFKNDEDGVVPMGVVEYATPLIAKGMLQNVLDKRVGLPEMQETEAVELMAYTAMHSVNLEGKERPNIIDIVANLERALALCEEVRTSCCSTTFSIPSD >Potri.004G137600.4.v4.1 pep chromosome:Pop_tri_v4:4:15909048:15913039:1 gene:Potri.004G137600.v4.1 transcript:Potri.004G137600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137600.v4.1 MVNVDSGSQENDVDSSPGQSLNGSFRKTRSGISQNDLDSPPGNSLSGSFRKSSSVMSARSLSGISTSSKSVPASRRAFKALKDYARKLVSLELFTQGLEDWVLENSVGDLSNKGQFFRSPFSIDELCKLDLALEGVLFQQLYRMPCSAYASDDSKEDKYFAIEDFLHAIVNGLWRTFWHRSGPLPFFLSCPRHPGSKFYTVEKAVSRGRLEELCGLALVQRTGSDMQVRWDHVMEFALFRPDILSENELRLSPGSICEALFYGVHILITQSLSKFSAVGNDSVFILVFDSKFGGVVKLGGDIGKLEVNSADPYQSVTEWIKCHAEVAVSPVDQVWNKLGNANWRDLGTLQVLLATFHSIVQWMGLPRKSITSLASDHGLRLQKRRMECRLIENENAMVSFQQIVHQGEIEELDQSDNPSLKKRASNMKLRQGDVLMLDDQQQGNKSFQIQDSLVGGNYFMYSAVSPDFPAELFTLYVGAHPSRLEPSWEDMSLWYQVQRQTKVLNILKQQGISCKYLPRIVASGRILHPGPCKKQSPGGRCDHLWCGTPILVTSPVGEPLSFTVARDGPFSSEEALRCCRDCLAALRSASIANVQHGDLCPENIIRVIDPKGSGKMFLHVPISWGRAVLEDRDSPAINLQFSSSHALQHGKLCPSSDAESLIYLLFFVCGGPMQQQDSIESALQWRERSWAKRLIQQQLGEISALLKAFADYVDSLCGTPYPVDYDIWLKRLNRAVDGSADRGKMIEVVATKLRLEDVAESSGTSGGGI >Potri.004G137600.3.v4.1 pep chromosome:Pop_tri_v4:4:15908136:15913129:1 gene:Potri.004G137600.v4.1 transcript:Potri.004G137600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137600.v4.1 MELLGSQENDVDSSPGQSLNGSFRKTRSGISQNDLDSPPGNSLSGSFRKSSSVMSARSLSGISTSSKSVPASRRAFKALKDYARKLVSLELFTQGLEDWVLENSVGDLSNKGQFFRSPFSIDELCKLDLALEGVLFQQLYRMPCSAYASDDSKEDKYFAIEDFLHAIVNGLWRTFWHRSGPLPFFLSCPRHPGSKFYTVEKAVSRGRLEELCGLALVQRTGSDMQVRWDHVMEFALFRPDILSENELRLSPGSICEALFYGVHILITQSLSKFSAVGNDSVFILVFDSKFGGVVKLGGDIGKLEVNSADPYQSVTEWIKCHAEVAVSPVDQVWNKLGNANWRDLGTLQVLLATFHSIVQWMGLPRKSITSLASDHGLRLQKRRMECRLIENENAMVSFQQIVHQGEIEELDQSDNPSLKKRASNMKLRQGDVLMLDDQQQGNKSFQIQDSLVGGNYFMYSAVSPDFPAELFTLYVGAHPSRLEPSWEDMSLWYQVQRQTKVLNILKQQGISCKYLPRIVASGRILHPGPCKKQSPGGRCDHLWCGTPILVTSPVGEPLSFTVARDGPFSSEEALRCCRDCLAALRSASIANVQHGDLCPENIIRVIDPKGSGKMFLHVPISWGRAVLEDRDSPAINLQFSSSHALQHGKLCPSSDAESLIYLLFFVCGGPMQQQDSIESALQWRERSWAKRLIQQQLGEISALLKAFADYVDSLCGTPYPVDYDIWLKRLNRAVDGSADRGKMIEVVATKLRLEDVAESSGTSGGGI >Potri.014G139300.1.v4.1 pep chromosome:Pop_tri_v4:14:9475139:9476579:-1 gene:Potri.014G139300.v4.1 transcript:Potri.014G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139300.v4.1 MMNLCQSLCPQTSRMLDIPPGMLKMDMPSPRSRQKEKVVIVMGPTGTGKSRLSIELATQFPAEIINSDKMQVYKGLDIVTNKVAEEEKSGVPHHLLGIANPTVDFTATNYCHTASLAVESISTRGLLPIIVGGSNSYIEALMDDEDFRLRLNYDCCFLWVDVSMPVLHKFVSRRVEQMVSVGMIDEVRNIFDPYADYSTGIRRSIGVPEFDKYFRAEAFLDEENRARLLHEAICHVKKNTCKLACRQWEKINRLRKIKGWDIHRLDATEVFQKSGKEAEHAWEMLVARPSTAIVGQLLCGVPADKVPAIASVAKNMGYIRQCLVA >Potri.015G138900.1.v4.1 pep chromosome:Pop_tri_v4:15:14663813:14665725:-1 gene:Potri.015G138900.v4.1 transcript:Potri.015G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138900.v4.1 MDQTSLTKVLNLLAPPFLLLLPLLFFILKCVSSPSSKNQSLPPGPKPWPIIGNILHFGKKPHISTANFAKTHGPLISLKLGKQLLIVGSSKRAATEILKSHDRLLSARYVFKAALFENHVLDRISIVWATQCSDGWKSLRALCRNELFSAKAIESQAVLREKKMGEMVGFIGRREGEVVGIGEVVLAIVFNTIANLLFSVDLIGLEDDGATTGLKSLMWRMMKLGATPNIADFYPILGGIDPQGLKRKMAVCVNQMFDIWGKYIKERREKHVHDGPRSDFLDVFLANGFEDLQINWLALELLSAGTDTTATTVEWAIAELLKNKEVLKKVSEEIKRETDTNSLKESHVSQLPYLNACVKETLRLHPPVPFLIPRRALETCKVMDYTIPRDSEVIVNVWAVGRDPWLWEDPLSFKPERFLGSDLDFKGQDFEFLPFGAGRRICPGLPMAAKQVHLIIATLLYYFDWSLPNGEDPAMLDMSEKFGITLQKEQPLLVVPRRRI >Potri.015G138900.2.v4.1 pep chromosome:Pop_tri_v4:15:14663827:14665638:-1 gene:Potri.015G138900.v4.1 transcript:Potri.015G138900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138900.v4.1 MDQTSLTKVLNLLAPPFLLLLPLLFFILKCVSSPSSKNQSLPPGPKPWPIIGNILHFGKKPHISTANFAKTHGPLISLKLGKQLLIVGSSKRAATEILKSHDRLLSARYVFKAALFENHVLDRISIVWATQCSDGWKSLRALCRNELFSAKAIESQAVLREKKMGEMVGFIGRREGEVVGIGEVVLAIVFNTIANLLFSVDLIGLEDDGATTGLKSLMWRMMKLGATPNIADFYPILGGIDPQGLKRKMAVCVNQMFDIWGKYIKERREKHVHDGPRSDFLDVFLANGFEDLQINWLALELLSAGTDTTATTVEWAIAELLKNKEVLKKVSEEIKRETDTNSLKESHVSQLPYLNACVKETLRA >Potri.001G268700.1.v4.1 pep chromosome:Pop_tri_v4:1:28360054:28361056:1 gene:Potri.001G268700.v4.1 transcript:Potri.001G268700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268700.v4.1 MANFRKTILVVSFLTTALCGVSMATVYQVGDSAGWTSMGQVDYQDWAASKNFHGGDTLVFNYNNQFHNVKQVTHQGFESCNATSPLATYTNGSDTVTLGKQLGHFYFICGYPGHCQAGQKIDILVAPATSNLSPAASPSSASSPYFSNLSWTLGVLGFCLLGFAY >Potri.015G120500.1.v4.1 pep chromosome:Pop_tri_v4:15:13469156:13470023:1 gene:Potri.015G120500.v4.1 transcript:Potri.015G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120500.v4.1 MSHHNTYQPQGAYPPPSQQAHPPPPPPQYSYPPPVQGYPQGPYVAPPAASHPMNNGAQQPPPPEKKKHKCWRRCLCCCLDCCCECFSSI >Potri.008G115900.2.v4.1 pep chromosome:Pop_tri_v4:8:7391673:7393157:1 gene:Potri.008G115900.v4.1 transcript:Potri.008G115900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115900.v4.1 MSGASSGSGQYFVSIRLGSPPQTLLLVADTGSDLTWVRCSACKTNCSIHPPGSTFLARHSTTFSPTHCFSSLCQLVPQPNPNPCNHTRLHSTCRYEYVYSDGSKTSGFFSKETTTLNTSSGREMKLKSIAFGCGFHASGPSLIGSSFNGASGVMGLGRGPISFASQLGRRFGRSFSYCLLDYTLSPPPTSYLMIGDVVSTKKDNKSMMSFTPLLINPEAPTFYYISIKGVFVDGVKLHIDPSVWSLDELGNGGTVIDSGTTLTFLTEPAYREILSAFKREVKLPSPTPGGASTQSGFDLCVNVTGVSRPRFPRLSLELGGESLYSPPPRNYFIDISEGIKCLAIQPVEAESGRFSVIGNLMQQGFLLEFDRGKSRLGFSRRGCAVS >Potri.008G115900.3.v4.1 pep chromosome:Pop_tri_v4:8:7392312:7393217:1 gene:Potri.008G115900.v4.1 transcript:Potri.008G115900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115900.v4.1 MGLGRGPISFASQLGRRFGRSFSYCLLDYTLSPPPTSYLMIGDVVSTKKDNKSMMSFTPLLINPEAPTFYYISIKGVFVDGVKLHIDPSVWSLDELGNGGTVIDSGTTLTFLTEPAYREILSAFKREVKLPSPTPGGASTQSGFDLCVNVTGVSRPRFPRLSLELGGESLYSPPPRNYFIDISEGIKCLAIQPVEAESGRFSVIGNLMQQGFLLEFDRGKSRLGFSRRGCAVS >Potri.008G115900.1.v4.1 pep chromosome:Pop_tri_v4:8:7391632:7393132:1 gene:Potri.008G115900.v4.1 transcript:Potri.008G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115900.v4.1 MVSLSLLFHLLLLAFVDLSTSTTEYLKLPLLHKTPFPTPLQSLSSDLQRLSLLHHSHHRHQNHRRTSSKSPLMSGASSGSGQYFVSIRLGSPPQTLLLVADTGSDLTWVRCSACKTNCSIHPPGSTFLARHSTTFSPTHCFSSLCQLVPQPNPNPCNHTRLHSTCRYEYVYSDGSKTSGFFSKETTTLNTSSGREMKLKSIAFGCGFHASGPSLIGSSFNGASGVMGLGRGPISFASQLGRRFGRSFSYCLLDYTLSPPPTSYLMIGDVVSTKKDNKSMMSFTPLLINPEAPTFYYISIKGVFVDGVKLHIDPSVWSLDELGNGGTVIDSGTTLTFLTEPAYREILSAFKREVKLPSPTPGGASTQSGFDLCVNVTGVSRPRFPRLSLELGGESLYSPPPRNYFIDISEGIKCLAIQPVEAESGRFSVIGNLMQQGFLLEFDRGKSRLGFSRRGCAVS >Potri.001G027950.1.v4.1 pep chromosome:Pop_tri_v4:1:2115857:2117226:1 gene:Potri.001G027950.v4.1 transcript:Potri.001G027950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027950.v4.1 MRSESVTSKAQRPMNLFSWQNHNPALQEVKHADLKRDLIHKENKHTLSHNRSRQQLTKSEKVRLSKPNRVRIRVSQGMEAQFLGKRRHVLTS >Potri.001G027950.2.v4.1 pep chromosome:Pop_tri_v4:1:2115857:2117325:1 gene:Potri.001G027950.v4.1 transcript:Potri.001G027950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027950.v4.1 MRSESVTSKAQRPMNLFSWQNHNPALQEVKHADLKRDLIHKENKHTLSHNRSRQQLTKSEKVRLSKPNRVRIRVSQDSKQ >Potri.018G013900.2.v4.1 pep chromosome:Pop_tri_v4:18:930923:936222:-1 gene:Potri.018G013900.v4.1 transcript:Potri.018G013900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013900.v4.1 MGYLNSVLSSSSQVYADDAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGIDGEIVGLFGVFDGHGGARAAEYVKHNLFSNLIKHPKFISDTKSAIVDAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDRSLEFLILASDGLWDVVTNEEAVEMIKPITDPEQAAKRLLQEAYQRGSADNITCVVVHFLGNQGATSHGGSV >Potri.018G013900.5.v4.1 pep chromosome:Pop_tri_v4:18:930891:935360:-1 gene:Potri.018G013900.v4.1 transcript:Potri.018G013900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013900.v4.1 MGYLNSVLSSSSQVYADDAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGIDGEIVGLFGVFDGHGGARAAEYVKHNLFSNLIKHPKFISDTKSAIVDAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDRSLEFLILASDGLWDVVTNEEAVEMIKPITDPEQAAKRLLQEAYQRGSADNITCVVVHFLGNQGATSHGGSV >Potri.013G162300.1.v4.1 pep chromosome:Pop_tri_v4:13:15570231:15570917:-1 gene:Potri.013G162300.v4.1 transcript:Potri.013G162300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cemA MEKKAFIPLLYLTSIVFLPWWVSFSFNKSLGSWIINWWNTSKSETFLNDIQEKSILEKLIEFEELFLLDEMIKEYPETHLQKFRIGIHKETIQLIKMHNADRIDTILHFSTNIICFVILSGYSFLVNEELFILNSWVQEFIYNLSDTIKALSILLLTDLCIGFHSPHGWELMISSFYKDFGFAHNDQIISGLVSTFPVIFDTIFKYWIFRYLNRVSPSLVVIYHSMND >Potri.001G186600.2.v4.1 pep chromosome:Pop_tri_v4:1:16653907:16659443:1 gene:Potri.001G186600.v4.1 transcript:Potri.001G186600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186600.v4.1 MIACSSRSLFFGVDCALKYANPFLKSNPLTYFEEDTRMDRNKKLKSAGGIRQCQWRPVSVSNIQESTAEDSVNELEDGDHVQETIKVAQVVTNNCDSTISAGVLNDTVKPMLSAEKHSLMLEVGASLIRFIKGKEGSTQKKIEEEMGVKIVFPSSKKEESIVIEGISTDCVTRASKKIQAIMDEAIESSLDYSHFISLPLAIYPELTDKLVNFQNSILGTNDVSADENLESDSIEDTLDIKNKGQELIKGRDVAVELKVEDEKHVKVDLTSIPFVSYPPKPPRLPNASDFGIDKSIFIKPTTFHLTVLMLKLWNKERVNAASGVLKSISSKVIDALDNRPISIRLKGLDTMRGSLSKARVLYAPVEEIGSEGRLLSACQVIINAFVEAGLVLEKDAKQKLKLHATVMNARHRKGKRRRKNDSFDARGIFKQFGSEDWGEYLIREAHLSQRFVFDENGYYHCCASIPFPGKEERQQTD >Potri.001G186600.6.v4.1 pep chromosome:Pop_tri_v4:1:16653859:16659448:1 gene:Potri.001G186600.v4.1 transcript:Potri.001G186600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186600.v4.1 MIACSSRSLFFGVDCALKYANPFLKSNPLTYFERISGVAKEDTRMDRNKKLKSAGGIRQCQWRPVSVSNIQESTAEDSVNELEDGDHVQETIKVAQVVTNNCDSTISAGVLNDTVKPMLSAEKHSLMLEVGASLIRFIKGKEGSTQKKIEEEMGVKIVFPSSKKEESIVIEGISTDCVTRASKKIQAIMDEAIESSLDYSHFISLPLAIYPELTDKLVNFQNSILGTNDVSADENLESDSIEDTLDIKNKGQELIKGRDVAVELKVEDEKHVKVDLTSIPFVSYPPKPPRLPNASDFGIDKSIFIKPTTFHLTVLMLKLWNKERVNAASGVLKSISSKVIDALDNRPISIRLKGLDTMRGSLSKARVLYAPVEEIGSEGRLLSACQVIINAFVEAGLVLEKDAKQKLKLHATVMNARHRKGKRRRKNDSFDARGIFKQFGSEDWGEYLIREAHLSQRFVFDENGYYHCCASIPFPGKEERQQTD >Potri.009G151200.1.v4.1 pep chromosome:Pop_tri_v4:9:11925257:11925676:1 gene:Potri.009G151200.v4.1 transcript:Potri.009G151200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151200.v4.1 MEFHEGDKVEVCSKQEGFLGSYYTATVVKKLDLNSFAVQYTNLVEEEDMSKLLIETVSADEVRPVPPRIKFGSGFSAFDKVDAFDNDGWWAGKVTGQRGPLYFVYFETTGDEIGYHVSRLRIHLDWANGKWVSSKNMVS >Potri.010G203800.1.v4.1 pep chromosome:Pop_tri_v4:10:19568589:19571279:1 gene:Potri.010G203800.v4.1 transcript:Potri.010G203800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203800.v4.1 MTATTTTSITTALLHLSTLLHLSTTAFSIGVNYGTLANDLPSPSQVANFLKTQTIIDSIKIFDTNPDILRGFANTNITVTVTVGNGDIPALVDANAASRWVANNIEQFYPQTRIKLIAVGNEILFTGNKEWISHLVPCIKSLHQALVRAGINDVKVSTPYTLGILQNSVQPSAARIKPAYGKVVFAPMLEFLRQTKSPLLVNPYPYFSYSPSMEDYILFKPNPGIHDDNTNITYTNMFVAMMDAVYSAIKAMGYDDLDIVVAESGWPSLGDPNQPMCTVENAVLYNKNMIKVVTSGEGTPLMPKRRFETYVFALFNENLKPGTAAERNWGLFRPDFSPVYDVGILSNIGKSTGSSPSPTKSPFTGPSTSPTKRPPTGSSSSPTKSPSTGSSPSTDSSPSPTTSPSTGSSPAPTTSKTWSEPKADASDEALQANKDYVCSQGAHCKPIQTGGACFNPNNIRSHAALLIPLIMMWFVYI >Potri.008G077000.1.v4.1 pep chromosome:Pop_tri_v4:8:4758346:4762569:1 gene:Potri.008G077000.v4.1 transcript:Potri.008G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077000.v4.1 MEKKQYPEFRETGIVVLMLLCIFTTISTSVSIQQEEGGAEELISSSPRKWEDRVIEVKGGPESIIWIVQLSDLHFSVHHPERALDFKRIVGPALKMINPSLVLITGDLTDGKSKDLLTMKQNEVEWIEYRNVMEDVARRSGLDKRIFYDLRGNHDNFGVPEIGGSSDFFSNYSINGQFGRKGNLNSVTVETGDRKHLFVGLDSTMSAGVRGPTNLFGHPTDQLLAQIDSQLSQWDSEKGKPITKISFGHFPLSFSALSHSQKSLKDVFLKHSISAYLCGHLHTRFGKNLKRHHQSNENFLSSHEFFQLNMHQKPSESAKNCSSQAPPLKEFWEWEMGDWRKSRAMRIMAVDRGHVSYLDIDFKSGTKKTIVLPTFPLDSRFMSTSSFHQMYECQHMVPFSFETIRCIVFSISPIMSVVARIYDTRPGSPHLIMETTMTKIVRDVSRGDIYAAAWNFKAFEDPSPDRFWLQIEVTDAMGRSTLSELRPFSVNGLSAKISWTWKEFFVMGCQWAALYYPIFWSAIYLMFSILLIPKICLIFSKEQYSYKTSICEKGLINCIAWVLQDLCRIPVVWFGILGYLIYLISCPWLIGQVFTDGENRGYMTYTGWVVKNFNNSEKHEYIGSPDIMVVVLSHFFFVVIPSILVAGAFAAERGIYKEHFLSLSGKKEDDDSSQKNKRSVKYDNQGRRISKFLFVERLFRKILLVVCLVICWKHFMNCRVLIKAYEMNPLLHFPVYSLAIPLLLAYAVYKTRSIQ >Potri.018G017600.1.v4.1 pep chromosome:Pop_tri_v4:18:1193892:1198891:1 gene:Potri.018G017600.v4.1 transcript:Potri.018G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017600.v4.1 MQADQTTVISLRPGGGSNGGPRGTRFSTSRFESASASLSDASQSFPSFKTGELRFEDHERIRYTRDQLLQLKEVENVPEDILKIRQEIESEFVGEDQTWSHADGSLQPQSQSRYSEPDNRDWRGRSAAFGEDRSREAIRENKEFYNRQDQLNSQFASAQITANQRVGPAPALIKAEVPWSVRRGNLSEEERVLKTVKGILNKLTPEKFDVLKGQLIDSGITTPDILKGVISLIFDKAVLEPTFCPMYALLCSDLNEKLPPFPSDEPGGKEITFKRILLNNCQEAFEGAGNLRAEISKLTAPEQEMERRDKERLVKLRTMGNMRLIGELLKQKMVPEKIVHHIVQELLGNDSKTCPAEENVEAICQFFNTIGKQLDENPKARRVNDVYFSRLKELTTNPHLAPRCRFMVRDVLDLRANSWVPRREEVKAKTISEIHSEAEKNLGLRPGATAVMRNGRNATGGVGPGGFPIGRPGSGGMMPGMPGMMKMPGIPGLDADNWEVPRSRAMPRGNSFGPTHVAGHVPTSLINKSPPLNARLLPQGSGGVIAGKPSLLLQGSGAPSRPGFATRTEPAGQTPKPVAPAVSAIPSPQKPLAPTTRSNPDDLRRKTISLLEEYFSVRILDEALQCVEELKDTSFHPEVAKEAIALALEKSPPCVEPVVKLLEFLLTKNVLTARDIGTGCVLYGSSLDDIGIDLPKAPNNFGEILGSLVVAQGLDFEVFKEVLKKVEDDRFRKAIFSSAMLSINSNPSGQEVLATQGSNIQACESLLS >Potri.019G110602.1.v4.1 pep chromosome:Pop_tri_v4:19:14095788:14096254:1 gene:Potri.019G110602.v4.1 transcript:Potri.019G110602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G110602.v4.1 MFFWCLGTKTMAKVYWLAKATFFPVLLFFVRFSSGLTSFNSLSVSPLLPFSSAPCFLFVLCVFLLRPLLSFFLSLFSLLSLCSFFLSSWFSLFVPPLLSSSSRSFVLPTLLAFLWLL >Potri.001G354100.2.v4.1 pep chromosome:Pop_tri_v4:1:36792210:36792892:-1 gene:Potri.001G354100.v4.1 transcript:Potri.001G354100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354100.v4.1 MIYEMGCGEMACRNDSLIFPAMEAAKKADATLLLMGLDLSIEAENLDRVDLLLPGYQTQLINQVAQVSRGPVILIIMSAGGVYISFARDNDKIQAILWVGHPGQEGGRGIADVVFGKYNPGGRLPLTWYESSYVDMLPMTSMPLRPVDSFGYPGRTYKFYNGSTVYPFGYGLSYTEFRNELASPAEAYLEIKLNKYQQLMP >Potri.015G062600.1.v4.1 pep chromosome:Pop_tri_v4:15:8736507:8738320:1 gene:Potri.015G062600.v4.1 transcript:Potri.015G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062600.v4.1 MDSKSPDLREASFSSYLTQQEDNFVLKLTDQSVQFTHPAKITSSQEISLPARSERTKAEEGEISVFGAERYFNMKLDDDSPRIVDNINAGKYVHEKEYPVDRQRMRPRSRLGTPSVSSEASWNSKKALLPNTLRNSSPTRHQKVNERWFFPGFACNGSCSDKKSVYTDKNIGHRETRGKELRRESARNPIGLEGTRKQSQSSLIQVKDELHSPSFKRTSVGSKRREYFVLPCVNSGVQNLNLKGGEQKIIEDDPRISLEVFGSHLLKKEDIALNLERKLSVLTWDAIPKSPHLPPTSSSSGQMYEDIESDGSSDLFEIENLSGSSHLQPIFTKQTSDGMSSCMTPTSRYYEPSESSIEWSVVTASAADFSAVSVDYDEKMLAENSIINPYLASTVPRRSRPNGLLGCNSQKAVDVAETAHKRNDKAKSHSQQLRRTDAPIPLRNLQAHENMVKDPDFP >Potri.016G089900.2.v4.1 pep chromosome:Pop_tri_v4:16:7277298:7278197:1 gene:Potri.016G089900.v4.1 transcript:Potri.016G089900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089900.v4.1 MASKKFFNARANYIYPTLGSGNNTITAHDKVFELDEDDVYNSSVVSSLESRKTIPSSRSSKKAPRKVEMAKDLAPVTCASLPVNIPDWSKIYSDHQRKENENSIYQLDDDSDHDDDDDLDGRVPPHEYLARRRGASFSVHEGIGRTLKGRDLRQVRNAVWERVGFED >Potri.008G082100.1.v4.1 pep chromosome:Pop_tri_v4:8:5147250:5151634:1 gene:Potri.008G082100.v4.1 transcript:Potri.008G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G082100.v4.1 MSSHAASSSNGGNGSGDSDAPRRNSKRPKYSKFTQQELPACKPILTPRWVVSAFMIIAIVFIPIGIACLLGSRDVVEVSKRYEIDCIPPENRSNKVQFIQSSANKTCTISMTIPKRMKKPIYVYYQLDNFYQNHRRYVKSRSDKQLKSLSKETDTSSCKPEDTTAGGAAIVPCGLIAWSMFNDTYNFSRLNQELTVNKKGIAWKSDKQKRFGKDVFPKNFQGGGLQGGKILNEKIPLNEQEDLMVWMRTAALPTFRKLYGKIEVDLEANEVINVTLDNNYNTYSFNGKKKLVLSTTSWIGGRNDFLGIAYLTVGMICLALAMGFTAVYFIKPRRLGDPTFLSWNRGPGSQ >Potri.010G236300.1.v4.1 pep chromosome:Pop_tri_v4:10:21653497:21655268:1 gene:Potri.010G236300.v4.1 transcript:Potri.010G236300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236300.v4.1 MTGLAALEALTEKLYDFIQSMEDDGIVDHHFKDCYNLKEANGPFLFIELLPTYISDSETTLEEMTTELDQPLVDFKHLEQLCIKLKGGTSCLGACRVATSCGEFRQAAIARNKDDCLLRLEVIKGDFFILHNKLEAFLELERRIVTNDYQGC >Potri.008G120200.1.v4.1 pep chromosome:Pop_tri_v4:8:7767840:7771339:-1 gene:Potri.008G120200.v4.1 transcript:Potri.008G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120200.v4.1 MPEYCVTGGTGFIAAYLVKSLLEKGHRVRTTVRDPGDVGKVGLLREFDGAKERLKIFKADLLEEGSFDEAIQGVDGVFHTASPVLLPHDDNIQAMLIDPCINGTLNVLNSCSKANTVKRVVLTSSCSSIRYRDDVQQVSPLNESHWSDPEYCKRYDLWYAYAKTIGEKEAWRSAKENGIDLVVVNPSFVVGPLLAPQPTSTLLLILAIVKGLRGEYPNMTIGFVHIDDVVAAHILAMEDKKASGRLVCSGSVAHWSEIIEMLRAKYPSYPYENKCSSQKGDCNPHSMDTTKIATLGFPPFKTLEEMFDDCIKSFQEKGFL >Potri.003G166900.1.v4.1 pep chromosome:Pop_tri_v4:3:17608620:17614112:-1 gene:Potri.003G166900.v4.1 transcript:Potri.003G166900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166900.v4.1 MGCFSCFDSREEEKLNQEKQSVDLKQTLPPVSSNISKLSSGADRFKSRSNGEQSKRELPSPKDAPGVNIAAHIFTFRELAAATKNFRPECFLGEGGFGRVYKGRLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPEKEPLDWNTRMKIAAGAARGLEYLHDKASPPVIYRDFKSSNILLEEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSSRPHGEQNLVTWTRPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYEPNGHGYRGLGDRDEKRHREERGGQLSRNEEGGGSGRKWDLDGSEKEDSPRETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDGSNG >Potri.003G166900.2.v4.1 pep chromosome:Pop_tri_v4:3:17608606:17614181:-1 gene:Potri.003G166900.v4.1 transcript:Potri.003G166900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166900.v4.1 MVECFSFLLSSYFLQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPEKEPLDWNTRMKIAAGAARGLEYLHDKASPPVIYRDFKSSNILLEEGFHPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSSRPHGEQNLVTWTRPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYEPNGHGYRGLGDRDEKRHREERGGQLSRNEEGGGSGRKWDLDGSEKEDSPRETARMLNRDLDRERAVAEAKMWGENWREKRRQSAQGSFDGSNG >Potri.019G123700.2.v4.1 pep chromosome:Pop_tri_v4:19:14814022:14817049:1 gene:Potri.019G123700.v4.1 transcript:Potri.019G123700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G123700.v4.1 MSQINNSSTQFQNPSRLRFDEEVRVGIHVVCQHVDMINSRGLWFHDDPLEYTLPLLLLQLSLISIITRSIYIFLKPLGQPSIVSHILGGVILGPSILGHNLAFMNKIFPREGRITLETLSVFGIMLFIFQVGVKIDPSIVWKSGKRALIVGILGFFIPFALASSIRLLLCHSISLDDTVCHVLQLVVLMQSVTAFPVIAIFLAEFKILNSDIGRLASSSSMICDMCFWSFMSIFYVAHVAKEKSMQSAIGSILSVGFLVYLLLFGIRPAALWAIRNTPEDGPPLGAAIVDRLDCFVSALLMPIFFTLCGLKTNVFSVQKWKTVVVILLVVFIGFLGKIIGTMLPPLFCRMPFRDALALGLLMNSKGIVELVLLNDWKTNSDSMTDECFAIMILSVVVLIGVISPLVKALYDPSRRFLAYRRRTIRHHQRNEELRILACVLSQDNVQTIINLLDVSNHTNDNPIGIYVLHLIKLVGRASSLLITHLPREKPSQNPTESERIFNAFKKFEHENCSHAALHCCKSISPYETMHNDVCSVALEHRISFIIIPFYKQSINGKMVNSFHVFRHLNKNVLDKAPCSVGVLVDRGNFRKSLAELLSCRIVVLFFGGADDREALAYAVRMSGNPHVSVTLLHFTTTSTSEGAEIAGGTERSKRLDSEILDEYKLNAEENERVSYLEEVVMDSEGVLAVIESIENSYDLVMVGKRHGESELMSNLGKCNEHIELGAIGEMLAVTDSKLRASVLVVQQQTRVWGLRDAEDSSLLRREEKDVAGVPNSNVYRI >Potri.019G123700.1.v4.1 pep chromosome:Pop_tri_v4:19:14813646:14817080:1 gene:Potri.019G123700.v4.1 transcript:Potri.019G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G123700.v4.1 MSQINNSSTQFQNPSRLRFDEEVRVGIHVVCQHVDMINSRGLWFHDDPLEYTLPLLLLQLSLISIITRSIYIFLKPLGQPSIVSHILGGVILGPSILGHNLAFMNKIFPREGRITLETLSVFGIMLFIFQVGVKIDPSIVWKSGKRALIVGILGFFIPFALASSIRLLLCHSISLDDTVCHVLQLVVLMQSVTAFPVIAIFLAEFKILNSDIGRLASSSSMICDMCFWSFMSIFYVAHVAKEKSMQSAIGSILSVGFLVYLLLFGIRPAALWAIRNTPEGKPVKDAYIYVVFVALMGFGFLGEVIGINSLITSFLLGLVIPDGPPLGAAIVDRLDCFVSALLMPIFFTLCGLKTNVFSVQKWKTVVVILLVVFIGFLGKIIGTMLPPLFCRMPFRDALALGLLMNSKGIVELVLLNDWKTNSDSMTDECFAIMILSVVVLIGVISPLVKALYDPSRRFLAYRRRTIRHHQRNEELRILACVLSQDNVQTIINLLDVSNHTNDNPIGIYVLHLIKLVGRASSLLITHLPREKPSQNPTESERIFNAFKKFEHENCSHAALHCCKSISPYETMHNDVCSVALEHRISFIIIPFYKQSINGKMVNSFHVFRHLNKNVLDKAPCSVGVLVDRGNFRKSLAELLSCRIVVLFFGGADDREALAYAVRMSGNPHVSVTLLHFTTTSTSEGAEIAGGTERSKRLDSEILDEYKLNAEENERVSYLEEVVMDSEGVLAVIESIENSYDLVMVGKRHGESELMSNLGKCNEHIELGAIGEMLAVTDSKLRASVLVVQQQTRVWGLRDAEDSSLLRREEKDVAGVPNSNVYRI >Potri.009G025700.3.v4.1 pep chromosome:Pop_tri_v4:9:3719929:3728863:1 gene:Potri.009G025700.v4.1 transcript:Potri.009G025700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025700.v4.1 MGKGGEDYGERDQNGIESQNQGDIFPAWAKEVKECEEKYAVNREFGLSSADVEKRLKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAIVSFVLAWYDGEEGGEMGITAFVEPLVIFLILIVNGIVGIWQESNAEKALEALKEIQSEHATVIRDRKKFSSLPAKELVPGDIVELRVGDKVPADMRVLNLISSTLRVEQGSLTGESEAVSKTAKPVAESTDIQGKKCMVFAGTTVVNGNCICLVTETGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTMLIGIICALVWLINLKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRSFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDAGVEQSGNHYVAGGMPTEAALKVMVEKMGFPGGLSKESSLVHEDVLACCRLWNTMEQRIATLEFDRDRKSMGVIVNSSSGKKSLLVKGAVENLLDRSTSIQLLDGSVVALDRCSKDLILQNLREMSTSALRCLGFAYKEDLSEFRTYSGDEDHPAHQLLLDLHNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICHEIGVFGPYDDISSKSLTGREFMGLRDKKTHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVVAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRKSDDSLISAWILFRYLVIGFYVGIATVGVFIIWYTRHTFMGIDLSGDGHSLVTYSQLANWGHCESWKNFSASPFTAGSQVFNFDANPCEYLRSGKIKASTLSLTVLVAIEMFNSLNALSEDCSLVRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVALPVILIDEVLKFVGRLTSGWRHSGSRRPSKSKPE >Potri.009G025700.2.v4.1 pep chromosome:Pop_tri_v4:9:3719916:3728865:1 gene:Potri.009G025700.v4.1 transcript:Potri.009G025700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025700.v4.1 MGKGGEDYGERDQNGIESQNQGDIFPAWAKEVKECEEKYAVNREFGLSSADVEKRLKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAIVSFVLAWYDGEEGGEMGITAFVEPLVIFLILIVNGIVGIWQESNAEKALEALKEIQSEHATVIRDRKKFSSLPAKELVPGDIVELRVGDKVPADMRVLNLISSTLRVEQGSLTGESEAVSKTAKPVAESTDIQGKKCMVFAGTTVVNGNCICLVTETGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTMLIGIICALVWLINLKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRSFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDAGVEQSGNHYVAGGMPTEAALKVMVEKMGFPGGLSKESSLVHEDVLACCRLWNTMEQRIATLEFDRDRKSMGVIVNSSSGKKSLLVKGAVENLLDRSTSIQLLDGSVVALDRCSKDLILQNLREMSTSALRCLGFAYKEDLSEFRTYSGDEDHPAHQLLLDLHNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICHEIGVFGPYDDISSKSLTGREFMGLRDKKTHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVVAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRKSDDSLISAWILFRYLVIGFYVGIATVGVFIIWYTRHTFMGIDLSGDGHSLVTYSQLANWGHCESWKNFSASPFTAGSQVFNFDANPCEYLRSGKIKASTLSLTVLVAIEMFNSLNALSEDCSLVRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVALPVILIDEVLKFVGRLTSGWRHSGSRRPSKSKPE >Potri.009G025700.1.v4.1 pep chromosome:Pop_tri_v4:9:3719917:3728996:1 gene:Potri.009G025700.v4.1 transcript:Potri.009G025700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025700.v4.1 MGKGGEDYGERDQNGIESQNQGDIFPAWAKEVKECEEKYAVNREFGLSSADVEKRLKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAIVSFVLAWYDGEEGGEMGITAFVEPLVIFLILIVNGIVGIWQESNAEKALEALKEIQSEHATVIRDRKKFSSLPAKELVPGDIVELRVGDKVPADMRVLNLISSTLRVEQGSLTGESEAVSKTAKPVAESTDIQGKKCMVFAGTTVVNGNCICLVTETGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTMLIGIICALVWLINLKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRSFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDAGVEQSGNHYVAGGMPTEAALKVMVEKMGFPGGLSKESSLVHEDVLACCRLWNTMEQRIATLEFDRDRKSMGVIVNSSSGKKSLLVKGAVENLLDRSTSIQLLDGSVVALDRCSKDLILQNLREMSTSALRCLGFAYKEDLSEFRTYSGDEDHPAHQLLLDLHNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCKAAGIRVMVITGDNKNTAEAICHEIGVFGPYDDISSKSLTGREFMGLRDKKTHLRQSGGLLFSRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVVAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRKSDDSLISAWILFRYLVIGFYVGIATVGVFIIWYTRHTFMGIDLSGDGHSLVTYSQLANWGHCESWKNFSASPFTAGSQVFNFDANPCEYLRSGKIKASTLSLTVLVAIEMFNSLNALSEDCSLVRMPPWVNPWLLLAMSVSFGLHFLILYVPFLAQVFGIVPLSLNEWLLVLAVALPVILIDEVLKFVGRLTSGWRHSGSRRPSKSKPE >Potri.013G161500.11.v4.1 pep chromosome:Pop_tri_v4:13:15530539:15534183:-1 gene:Potri.013G161500.v4.1 transcript:Potri.013G161500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161500.v4.1 MQGALRACLTHGNVVKDSLLQHVRVLSPLLRPIVFSRFESVSSARIEEHGFESTRIADILKEKGKGADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLKAMQLMTDKRIRHIPVIDDKEMIGMVSIGDVVRAVVSEHREEVDRLNAYIQGGY >Potri.013G161500.10.v4.1 pep chromosome:Pop_tri_v4:13:15530634:15534290:-1 gene:Potri.013G161500.v4.1 transcript:Potri.013G161500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161500.v4.1 MQGALRACLTHGNVVKDSLLQHVRVLSPLLRPIVFSRFESVSSARIEEHGFESTRIADILKEKGKGADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLKAMQLMTDKRIRHIPVIDDKEMIGMVSIGDVVRAVVSEHREEVDRLNAYIQGGY >Potri.013G161500.12.v4.1 pep chromosome:Pop_tri_v4:13:15530649:15534183:-1 gene:Potri.013G161500.v4.1 transcript:Potri.013G161500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161500.v4.1 MQGALRACLTHGNVVKDSLLQHVRVLSPLLRPIVFSRFESVSSARIEEHGFESTRIADILKEKGKGADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLKAMQLMTDKRIRHIPVIDDKEMIGMVSIGDVVRAVVSEHREEVDRLNAYIQGGY >Potri.013G161500.2.v4.1 pep chromosome:Pop_tri_v4:13:15530467:15534461:-1 gene:Potri.013G161500.v4.1 transcript:Potri.013G161500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161500.v4.1 MQGALRACLTHGNVVKDSLLQHVRVLSPLLRPIVFSRFESVSSARIEEHGFESTRIADILKEKGKGADGSWLWCTTDDTVYDAVKSMTQHNVGALVVVKPGEQKSIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTPDTKVLKAMQLMTDKRIRHIPVIDDKEMIGMVSIGDVVRAVVSEHREEVDRLNAYIQGGY >Potri.007G078500.1.v4.1 pep chromosome:Pop_tri_v4:7:10318598:10319577:1 gene:Potri.007G078500.v4.1 transcript:Potri.007G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078500.v4.1 MEQESQKRKLEDSSSNEAQNTPILCVNNCGFFGSPNTNNLCSKCYKEFLLTQQDTTTTTIDVPVHVAENSAAAAAEVEGQQEGGEEEKRPVVVANRCNFCRKKVGLTGFKCRCGYTFCSQHRYSDKHNCVFDYKSAGQDAIAKANPVVKADKIDKI >Potri.003G083000.2.v4.1 pep chromosome:Pop_tri_v4:3:10973147:10973981:1 gene:Potri.003G083000.v4.1 transcript:Potri.003G083000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083000.v4.1 MLNLEQEPLHLREYRSDTMHTFSRYPSISSLFLLALVITILCSPLTVRSWDPIDDVVGSAKDTVGSAMNETTSFAGNVINSTTNAYNYTKDAAEDVAKVANGSPAFLAAAGQGPLALVAAVLIGLSVLF >Potri.008G104900.2.v4.1 pep chromosome:Pop_tri_v4:8:6622216:6623923:-1 gene:Potri.008G104900.v4.1 transcript:Potri.008G104900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104900.v4.1 MGKFSSKYVGVLAVIFVLVLGIAECRKIEKDGFGDGAGGGGGFGGGAGLGGGGGGVGGGGGAGGGFGGGKGGGVGIGGGKGGGVGGGVGGGAGGGIGGGAGGGGGAGGGAGGGIGGGGGKGGGFGGGAGGGAGGGIGGGKGGGVGGGVGGGSGGGIGGGKGGGFGGGVGGGSGGGIGGGAGGGGGAGGGAGGGAGGGGGIGGGKGGGVGGGVGGGSGGGIGGGAGGGGGVGVGAGGGAGGGGGIGGGKGGGVGGGVGGGSGGGFGGGAGGGGGVGGGAGGGIGGGAGGGKGGGAGGGFGGGAGGGAGGGFGGGGGFGGGSGGGH >Potri.008G104900.3.v4.1 pep chromosome:Pop_tri_v4:8:6622216:6623922:-1 gene:Potri.008G104900.v4.1 transcript:Potri.008G104900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104900.v4.1 MGKFSSKYVGVLAVIFVLVLGIAECRKIEKDGFGDGAGGGGGFGGGAGLGGGGGGVGGGGGAGGGFGGGKGGGVGIGGGKGGGVGGGVGGGAGGGIGGGAGGGGGFGGGAGGGAGGGIGGGKGGGVGGGVGGGSGGGIGGGKGGGVGGGVGGGSGGGIGGGVGGGSGGGIGGGAGGGGGVGVGAGGGAGGGGGIGGGKGGGVGGGVGGGSGGGFGGGAGGGGGVGGGAGGGIGGGAGGGKGGGAGGGFGGGAGGGAGGGFGGGGGFGGGSGGGH >Potri.009G163900.4.v4.1 pep chromosome:Pop_tri_v4:9:12582769:12583755:-1 gene:Potri.009G163900.v4.1 transcript:Potri.009G163900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163900.v4.1 MEGIHFSSKKEEDPTINIVSPHHDGDCEAGHEALLHKDSGRERLKKHREEVAGRVMIPDTWGQEDSLKDWIDCSAFDELLAPNGISSARESLVAEGRKGSSPRLGIASRC >Potri.017G072340.1.v4.1 pep chromosome:Pop_tri_v4:17:7915727:7916738:1 gene:Potri.017G072340.v4.1 transcript:Potri.017G072340.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072340.v4.1 MGQKINPLGFRLEDQKIRNCIKNYVQKNMKISSGVEGIGHIEIQKRIDVIQVIIYLGFPKFLTEGKPKRIKELQINVQKELNCMNRKVNISITRIENPYMHPNVLAEFIAGQLKNRVSFRKAMKKAIELTEQSNTKGIQKQYRSQWSCYSITWGRATLEFPQIAFTRVIIRTTRDLF >Potri.006G016100.6.v4.1 pep chromosome:Pop_tri_v4:6:1020655:1023839:-1 gene:Potri.006G016100.v4.1 transcript:Potri.006G016100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016100.v4.1 MKELTERAVLRNLQKEQERERRRLRDRQRRQSMSVEERENHLARRRRNYQLRRERAQNVREPQINQSGLVDSDESPMLLSDENHQTAISVPVQSNGVCHADLDQRQGSFNAGNANSVGLEVPAHKLAKLPIRSRLNHIKHLARSLVDTLDIGDTHKIPADLTMKGETASNCTPPKALRLNRVKHLARVLSSDITTTTAQNHNRETDGKKYILKLAIFF >Potri.006G016100.1.v4.1 pep chromosome:Pop_tri_v4:6:1020536:1024005:-1 gene:Potri.006G016100.v4.1 transcript:Potri.006G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016100.v4.1 MKELTERAVLRNLQKEQERERRRLRDRQRRQSMSVEERENHLARRRRNYQLRRERAQNVREPQINQSGLVDSDESPMLLSDENHQTAISVPVQSNGVCHADLDQRQGSFNAGNANSVGLEVPAHKLAKLPIRSRLNHIKHLARSLVDTLDIGDTHKIPADLTMKGETASNCTPPKALRLNRVKHLARVLSSDITTTTAQNHNRETDGEHNLPRGEHLMNSNLPKVVQTNNVNGGEE >Potri.006G016100.5.v4.1 pep chromosome:Pop_tri_v4:6:1020537:1023950:-1 gene:Potri.006G016100.v4.1 transcript:Potri.006G016100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016100.v4.1 MKELTERAVLRNLQKEQERERRRLRDRQRRQSMSVEERENHLARRRRNYQLRRERAQNVREPQINQSGLVDSDESPMLLSDENHQTAISVPVQSNGVCHADLDQRQGSFNAGNANSVGLEVPAHKLAKLPIRSRLNHIKHLARSLVDTLDIGDTHKIPADLTMKGETASNCTPPKALRLNRVKHLARVLSSDITTTTAQNHNRETDGEHNLPRGEHLMNSNLPKVVQTNNVNGGEE >Potri.002G196100.4.v4.1 pep chromosome:Pop_tri_v4:2:15892155:15892546:1 gene:Potri.002G196100.v4.1 transcript:Potri.002G196100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196100.v4.1 MQIRPVRAAPDSISEKVEKSIKDAEAACSDDAASGECAAAWDEVEELSAAASHAKDKKKGSDPLEEYCKDNPETDECRTYED >Potri.002G196100.3.v4.1 pep chromosome:Pop_tri_v4:2:15892005:15892539:1 gene:Potri.002G196100.v4.1 transcript:Potri.002G196100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196100.v4.1 MATIAGLNLSTPRVLAKATDKPKAQALVKLNQPWRRTYHLGSGGRMQIRPVRAAPDSISEKVEKSIKDAEAACSDDAASGECAAAWDEVEELSAAASHAKDKKKGSDPLEEYCKDNPETDECRTYED >Potri.008G057300.17.v4.1 pep chromosome:Pop_tri_v4:8:3369531:3374649:1 gene:Potri.008G057300.v4.1 transcript:Potri.008G057300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057300.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARQRGLSLNKKKVEDSQLCAFDLLASLAGKLLQESESSASSNASEANDQPIIGGGGVKCEQAEERPLKAECLDHGSCGESAFVTGFTSPNSDQKCLLNAFPHAESCSILERSSMISNSNSSEKVGADLKTVICKSKSVCENFTGKVEGSFDSRVSCDGYVDNGFRRQQGGDGLDTGGLTAENACSLKDPMEMCMKFPALIKPDNDVELPSRREPVPNASIPRRRNDTKLGVRDDDLNFSRCKKLLTKPKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKECELSKPAFFEGGMKPHYRKRKLCYSRERYQHDTFYKRKCTDRSVVMTSDGGFSSESVCNSPDKSLTGDKNGTAVMLHGANGVSSSVIGHQSPFHSKDSHVKLSIKSFRVPELFIEIPETATVGSLKRTVLEAVSAVLGSGLRVGVLLHGMKVRDDNRTLLQTGMTSKENLDTLGFSLEPIPVQAPPPLCTEDPALLPCDTSQLISSSPTTPIIDSGISDALPDPPPSTNLDTNTESNHESVSSHSDMVTDDTLSDSRALVAVPPINAEALAMVPLNPKSKRSELVQRRTRRPFSVSEVEALVHAVEEVGTGRWRDVKLRSFEDADHRTYVDLKDKWKTLVHTARIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQAAILKITVAHAVRNGVEDNHSI >Potri.008G057300.19.v4.1 pep chromosome:Pop_tri_v4:8:3369531:3374658:1 gene:Potri.008G057300.v4.1 transcript:Potri.008G057300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057300.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARRGLSLNKKKVEDSQLCAFDLLASLAGKLLQESESSASSNASEANDQPIIGGGGVKCEQAEERPLKAECLDHGSCGESAFVTGFTSPNSDQKCLLNAFPHAESCSILERSSMISNSNSSEKVGADLKTVICKSKSVCENFTGKVEGSFDSRVSCDGYVDNGFRRQQGGDGLDTGGLTAENACSLKDPMEMCMKFPALIKPDNDVELPSRREPVPNASIPRRRNDTKLGVRDDDLNFSRCKKLLTKPKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKECELSKPAFFEGGMKPHYRKRKLCYSRERYQHDTFYKRKCTDRSVVMTSDGGFSSESVCNSPDKSLTGDKNGTAVMLHGANGVSSSVIGHQSPFHSKDSHVKLSIKSFRVPELFIEIPETATVGSLKRTVLEAVSAVLGSGLRVGVLLHGMKVRDDNRTLLQTGMTSKENLDTLGFSLEPIPVQAPPPLCTEDPALLPCDTSQLISRALVAVPPINAEALAMVPLNPKSKRSELVQRRTRRPFSVSEVEALVHAVEEVGTGRWRDVKLRSFEDADHRTYVDLKDKWKTLVHTARIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQAAILKITVAHAVRNGVEDNHSI >Potri.008G057300.16.v4.1 pep chromosome:Pop_tri_v4:8:3369338:3374833:1 gene:Potri.008G057300.v4.1 transcript:Potri.008G057300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057300.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARQRGLSLNKKKVEDSQLCAFDLLASLAGKLLQESESSASSNASEANDQPIIGGGGVKCEQAEERPLKAECLDHGSCGESAFVTGFTSPNSDQKCLLNAFPHAESCSILERSSMISNSNSSEKVGADLKTVICKSKSVCENFTGKVEGSFDSRVSCDGYVDNGFRRQQGGDGLDTGGLTAENACSLKDPMEMCMKFPALIKPDNDVELPSRREPVPNASIPRRRNDTKLGVRDDDLNFSRCKKLLTKPKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKECELSKPAFFEGGMKPHYRKRKLCYSRERYQHDTFYKRKCTDRSVVMTSDGGFSSESVCNSPDKSLTGDKNGTAVMLHGANGVSSSVIGHQSPFHSKDSHVKLSIKSFRVPELFIEIPETATVGSLKRTVLEAVSAVLGSGLRVGVLLHGMKVRDDNRTLLQTGMTSKENLDTLGFSLEPIPVQAPPPLCTEDPALLPCDTSQLISSSPTTPIIDSGISDALPDPPPSTNLDTNTESNHESVSSHSDMVTDDTLSDSRALVAVPPINAEALAMVPLNPKSKRSELVQRRTRRPFSVSEVEALVHAVEEVGTGRWRDVKLRSFEDADHRTYVDLKDKWKTLVHTARIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQAAILKITVAHAVRNGVEDNHSI >Potri.008G057300.11.v4.1 pep chromosome:Pop_tri_v4:8:3369338:3374813:1 gene:Potri.008G057300.v4.1 transcript:Potri.008G057300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057300.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARRGLSLNKKKVEDSQLCAFDLLASLAGKLLQESESSASSNASEANDQPIIGGGGVKCEQAEERPLKAECLDHGSCGESAFVTGFTSPNSDQKCLLNAFPHAESCSILERSSMISNSNSSEKVGADLKTVICKSKSVCENFTGKVEGSFDSRVSCDGYVDNGFRRQQGGDGLDTGGLTAENACSLKDPMEMCMKFPALIKPDNDVELPSRREPVPNASIPRRRNDTKLGVRDDDLNFSRCKKLLTKPKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKECELSKPAFFEGGMKPHYRKRKLCYSRERYQHDTFYKRKCTDRSVVMTSDGGFSSESVCNSPDKSLTGDKNGTAVMLHGANGVSSSVIGHQSPFHSKDSHVKLSIKSFRVPELFIEIPETATVGSLKRTVLEAVSAVLGSGLRVGVLLHGMKVRDDNRTLLQTGMTSKENLDTLGFSLEPIPVQAPPPLCTEDPALLPCDTSQLISSSPTTPIIDSGISDALPDPPPSTNLDTNTESNHESVSSHSDMVTDDTLSDSRALVAVPPINAEALAMVPLNPKSKRSELVQRRTRRPFSVSEVEALVHAVEEVGTGRWRDVKLRSFEDADHRTYVDLKDKWKTLVHTARIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQAAILKITVAHAVRNGVEDNHSI >Potri.008G057300.18.v4.1 pep chromosome:Pop_tri_v4:8:3369521:3374829:1 gene:Potri.008G057300.v4.1 transcript:Potri.008G057300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057300.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARRGLSLNKKKVEDSQLCAFDLLASLAGKLLQESESSASSNASEANDQPIIGGGGVKCEQAEERPLKAECLDHGSCGESAFVTGFTSPNSDQKCLLNAFPHAESCSILERSSMISNSNSSEKVGADLKTVICKSKSVCENFTGKVEGSFDSRVSCDGYVDNGFRRQQGGDGLDTGGLTAENACSLKDPMEMCMKFPALIKPDNDVELPSRREPVPNASIPRRRNDTKLGVRDDDLNFSRCKKLLTKPKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKECELSKPAFFEGGMKPHYRKRKLCYSRERYQHDTFYKRKCTDRSVVMTSDGGFSSESVCNSPDKSLTGDKNGTAVMLHGANGVSSSVIGHQSPFHSKDSHVKLSIKSFRVPELFIEIPETATVGSLKRTVLEAVSAVLGSGLRVGVLLHGMKVRDDNRTLLQTGMTSKENLDTLGFSLEPIPVQAPPPLCTEDPALLPCDTSQLISSSPTTPIIDSGISDALPDPPPSTNLDTNTESNHESVSSHSDMVTDDTLSDSRALVAVPPINAEALAMVPLNPKSKRSELVQRRTRRPFSVSEVEALVHAVEEVGTGRWRDVKLRSFEDADHRTYVDLKDKWKTLVHTARIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQAAILKITVAHAVRNGVEDNHSI >Potri.003G185750.1.v4.1 pep chromosome:Pop_tri_v4:3:19113223:19129128:1 gene:Potri.003G185750.v4.1 transcript:Potri.003G185750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185750.v4.1 MQVVKLVSHISFLLLMLMFQPALAQAPAGLAKPNCSDHCGNISIPYPFGIGKDCYMEESFDVECNDTSNPPRAILRSIKMELVNFTIGRGAVVKGPVISVESLGRQEVLPLNLEGTPFIPYYNYFIAVGCNTRASLWTKKGSDEHVGCDSICSNGTINNIRLNGSCSGEDCCQDMYLPPLLQVFNSTFELIEGKQGSDGRKLAFLADMDWFYSKIWSPQEINKLLNNNTVPMSLAWILNNNSLTYNRDTMDCYVTRVNSTTNMTAGCSCSEGYEGNPFLQCRDIDECEDRNPCNGLTRCVNTEGSYKCKLHPLWFTVLVIGLALGVLFLLIGAWWMYKLFKRRKSIQLKKKFFKRNGGLLLQQQLSSSDGSVQKTKIFSSNELEKATDYFNENRILGHGGQGTVYKGMLADGSIVAVKKSTIVDEEKLEAFINEVVILSQISHRNVVRLLGCCLETDVPLLVYEFIPNGTLSQYLHEQNEDFTLSWESRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRAKVSDFGISRSVSIDQTHLTTKVQGTFGYLDPEYFRTSQLTEKSDVYSFGVVLVELLSGKKTIFLTHSLETMSLVEHFIDLMEDGRLFDIIDAQVKGDCTEEEAIVIANLAKRCLDLNGRNRPTMREVAMELEGILLSRKGINVQQIVEVDNSFRSISCSSFEIGIDLPLDCKPSTSSETW >Potri.004G108560.1.v4.1 pep chromosome:Pop_tri_v4:4:9616619:9616921:1 gene:Potri.004G108560.v4.1 transcript:Potri.004G108560.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108560.v4.1 MRPVTNSIIGGGGVHWRFWQWNSLAAYLFVGLAFMLGLVTVALIILAFSYRKSLSNSSSGTEAESDEKPAKQVDFEPEIVVIMAGDENRTYQNTKTPWWY >Potri.009G167600.2.v4.1 pep chromosome:Pop_tri_v4:9:12788325:12790054:1 gene:Potri.009G167600.v4.1 transcript:Potri.009G167600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167600.v4.1 MLPRNRDCSPPHPTVVRVYTVCDESRYLIVRNVPALGCGDDLFKLFASYGDVEECKPMDAEDCEQFTDVYWIKFRLVSNARFAKRKLDESIFLGNPLQVSYAPHFETVSDTKDKLEGRRKEVFARLNPGRTKGPKVHNAGTSSQASLLTSQIDHVSQHLNSNQSWDSGESQNVHQIGDPPITRVSSDQDYFPSQSMNQTVRLVREKLNKIQSSSEHLQAGPVSKKARVDNRRRI >Potri.009G086000.1.v4.1 pep chromosome:Pop_tri_v4:9:8047659:8048610:-1 gene:Potri.009G086000.v4.1 transcript:Potri.009G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086000.v4.1 MRSTANKQSNKLVRILFAPFRIISKARYFYMKSMWNCAGKVGSGSFVGGPTPQAVTLPKSFSVKPDPNTYRDEVLKGILESLAKKRDDDHQIIDSNMDGNGEVKQTTLGSSGRVGRSYSVGVGKIGRIDEDKPCSFREDNNLKADSYTRSKSHAVSRKSIEYRHS >Potri.009G078700.1.v4.1 pep chromosome:Pop_tri_v4:9:7563373:7571660:-1 gene:Potri.009G078700.v4.1 transcript:Potri.009G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078700.v4.1 MTDIQSSSGSGLDEAQMQMQPPPPGTFVDREELIQHVGDFAVSQGYVVTIKQSKRERVVVLGCDRGGVYRNRKKADEETSAERKRRKRSGSRLTNCPFEAVGKKDDGLWVLTIKNGTHNHEPLKDITEHPSARRFSESEIVLIKEMTEAGLKPRQILKRLRQSNPELLSTPKHVYNVKAKLRQGNMTVRNFKSLRPEKSAGRDKHLSIAEPSWRQRYPMRVPNFIGGRLVNSQSFASIDVINPATQQVVSQVPLTTNEEFRAAVFAAKRAFPQWRDTPITTRQRIMFKFQELIRRDIDKLAMSITTEHGKTLKDAHGDVLRGLEVVEHACGLASLQIGEFVSNISSGIDTYSIREPLGVCAGICPFEFPAMIPLWIFPIAVTCGNTFILKPSEKDPGASVMLAELAMEAGLPNGVLNIVHGTNEIINGICDDDDIKAISFVGPNAVGAYVYARASAKGKRTQSNIGAKNHAVIMPDASVGATINALVAAGFGGAGQKCMALNMAVFVGGLGPWEEKLVEHAKALKVTSGTEPDAELGPVISKQEKERIITLIQTGVESGAKLVLDGRNIVVAGYENGNFIGPTILSDVTVNMECYKEDIFGPVLLCMQADSIEEAINIVNGNKYSNGASIFTTSGVAARKFQTEVEVGQVGINVPISVPLPFSSFISAKPSFAGDVSFDGKAGIQFYTQVKTVTQQWRDLVSDDSSSHQLPSS >Potri.009G078700.4.v4.1 pep chromosome:Pop_tri_v4:9:7563373:7571667:-1 gene:Potri.009G078700.v4.1 transcript:Potri.009G078700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078700.v4.1 MIPLWIFPIAVTCGNTFILKPSEKDPGASVMLAELAMEAGLPNGVLNIVHGTNEIINGICDDDDIKAISFVGPNAVGAYVYARASAKGKRTQSNIGAKNHAVIMPDASVGATINALVAAGFGGAGQKCMALNMAVFVGGLGPWEEKLVEHAKALKVTSGTEPDAELGPVISKQEKERIITLIQTGVESGAKLVLDGRNIVVAGYENGNFIGPTILSDVTVNMECYKEDIFGPVLLCMQADSIEEAINIVNGNKYSNGASIFTTSGVAARKFQTEVEVGQVGINVPISVPLPFSSFISAKPSFAGDVSFDGKAGIQFYTQVKTVTQQWRDLVSDDSSSHQLPSS >Potri.006G260875.1.v4.1 pep chromosome:Pop_tri_v4:6:25700186:25702617:-1 gene:Potri.006G260875.v4.1 transcript:Potri.006G260875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260875.v4.1 MPSNQNSEQAACIQNKVTVTFSHQALILVVTCPEQATANLLSDACFIYIIHQHTHAWDHIDDGSGN >Potri.008G036350.1.v4.1 pep chromosome:Pop_tri_v4:8:2009393:2010126:-1 gene:Potri.008G036350.v4.1 transcript:Potri.008G036350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036350.v4.1 MEKASFKLAILIVLIFSTTCFLQQGSSVQATEITAMKGMPCASDDVCIPFCPKSCKRIFCNQKRSSANYHMCYCENSSFSPQQMLIAIPCVTG >Potri.007G025500.2.v4.1 pep chromosome:Pop_tri_v4:7:1942291:1944397:-1 gene:Potri.007G025500.v4.1 transcript:Potri.007G025500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025500.v4.1 MTMLKKLPEEVLNLWNTWEIRGMLLVSLLLQIILIIFGSRRKYIARSWVRIFVWSQGDSAGGGSNKANNLIQAFWAPFLLLHLGGPDTITAYSIEDNELWFRHLLGLAVQVGVALQVFSRSWGSGILTFIAIPMLIVGIIKYAEMTWVLRSSCSKSLKNTFLSKFRPFYPLRATETLQRALGGNYLRRVYTFFDISMFMMQDLVPGIPALMNSQLLISSNSADDAFKVVEVELGLIYDMLYTKAPLIYSLVGIILRSISFLLFFTAFITFQVMIDKHAYPTIDITITSIAQHNLISFCIENKPLKYCLELLGILEMTRQVYVDREDMNVGLRNSIFGHLQKKCEKIKENFNFIDTNFRRKIIGQRGDGVLEGEGQLHDFKWCTTEVEFSRSLLVWHLATDICYFADKDANNNVPSDCETSKCLSEYTMYLLVARPNMLPKGIGDIEKGYLDTCQDLALLGKVIATGEQALSKKDVVDTILLGIESYTTAESDFLSDWRTTKSVLDGGYWLARQLRSWGLEKRWKMINEVWIEMLAYAAAHCPRKEHAQQLRRGGELLTHVSFLMLHFGFSEQYEYFRFEDVQVLSCWNLTVVSIPKINKPDYFYLYIFSQFTILKIPFECPHFVFSILLIK >Potri.006G212000.2.v4.1 pep chromosome:Pop_tri_v4:6:21878068:21878619:-1 gene:Potri.006G212000.v4.1 transcript:Potri.006G212000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212000.v4.1 MSSECQGKSSWPELLGAQASVAVATIETENPYVDTQVVLEGTIVTGEFSCTRVRVWIDSNRIVTRVPMIG >Potri.009G085400.9.v4.1 pep chromosome:Pop_tri_v4:9:8008902:8021825:1 gene:Potri.009G085400.v4.1 transcript:Potri.009G085400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085400.v4.1 MANNPQFSGIQPLQPPLVGPMDPPRNLFPPPPMPVQFRPIVPVQPQQFIPVSSPHFQPVGRGVTVMNAGLPPQPPQPQFPHPMQQLPAIPNQPSHGPPPPPPPQAILLPNAQPNRHVMSGSPLPPHSVQTPNNYMPGLGGLGVPLSSSYTFAPSSHGQPPVTFNAVSQYQPMPQMHAPSIPSGGQPALPSMNQNTALVLPIQHNGEQSSITAANVLATGIQPRPTEEALTEWKEHTSGNGRRFYYNKRTKQSSWEKPFELMTPIERADASTDWKEFTSPDGRKYYFNKVTKQSKWEIPEELKLARARVEKPSIMETQSEVSANSHAPTSVLPSMGKAPSSADALSSTAQGAPSSPVPVKPVAVAGNSQSQLASESSALRVMPSSMTTNADEVQTTESPVAGVPKNAEINATAVNTITAPMSDSFSAHDKPSSEDDAPAQDKQEAEKDVVIDEKVNNVTLEEKSINQDPLLYADKLEAKNAFKALLESANVGSEWTWDQAMRVIINDKRYGALKTLGERKQAFNEFLGQKRKQEAEERRIKQKKTREEFKKMLEGSKELTASMRWSKVATLFENDERFKAVERERDRRDLIETYLQELEEKERAKAHEERKRNIMEYRQFLESCEFIKASTQWRKVQDRLEADERCSRLEKIDRLEIFQDYLHDLVKEEEEQRKIQKEEQRKAERKNRDEFRKLLEEHVASGTLTAKTNWRDYHLKVKDLHAYVAVASNASGSTPKDLFEDVSEELQKQYHEDKTWIKDVVKLKKVPLASNWTLQDMKVAIIEDVNSPPISDVNLKMVFDELLERAREKEEKEAKKRKRLADDFLNLLQSIKDITASSKWESFKEIFEGSQEYSSIGEEAFCREMFEEYISQLKEQEKENERKRKEEKAKKEKEREERDWRKAKHRSEKERGHERDKEHTRKEEADVEISDTTETQVCSDKKRSGSDNSSRKQRKRHQNAVDDLDESEKDRSKSSHRHGSNDHKKSRRHGSTPESDSESRHKRHKRDHRNGSRRAGGNEDLEDGEFGEDRETR >Potri.009G085400.3.v4.1 pep chromosome:Pop_tri_v4:9:8008918:8021825:1 gene:Potri.009G085400.v4.1 transcript:Potri.009G085400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085400.v4.1 MANNPQFSGIQPLQPPLVGPMDPPRNLFPPPPMPVQFRPIVPVQPQQFIPVSSPHFQPVGRGVTVMNAGLPPQPPQPQFPHPMQQLPAIPNQPSHGPPPPPPPQAILLPNAQPNRHVMSGSPLPPHSVQTPNNYMPGLGGLGVPLSSSYTFAPSSHGQPPVTFNAVSQYQPMPQMHAPSIPSGGQPALPSMNQNTALVLPIQHNGEQSSITAANVLATGIQPRPTEEALTEWKEHTSGNGRRFYYNKRTKQSSWEKPFELMTPIERADASTDWKEFTSPDGRKYYFNKVTKQSKWEIPEELKLARARVEKPSIMETQSEVSANSHAPTSVLPSMGKAPSSADALSSTAQGAPSSPVPVKPVAVAGNSQSQLASESSALRVMPSSMTTNADEVQTTESPVAGVPKNAEINATAVNTITAPMSDSFSAHDKPSSEDDAPAQDKQEAEKDVVIDEKVNNVTLEEKSINQDPLLYADKLEAKNAFKALLESANVGSEWTWDQAMRVIINDKRYGALKTLGERKQAFNEFLGQKRKQEAEERRIKQKKTREEFKKMLEGSKELTASMRWSKVATLFENDERFKAVERERDRRDLIETYLQELEEKERAKAHEERKRNIMEYRQFLESCEFIKASTQWRKVQDRLEADERCSRLEKIDRLEIFQDYLHDLVKEEEEQRKIQKEEQRKAERKNRDEFRKLLEEHVASGTLTAKTNWRDYHLKVKDLHAYVAVASNASGSTPKDLFEDVSEELQKQYHEDKTWIKDVVKLKKVPLASNWTLQDMKVAIIEDVNSPPISDVNLKMVFDELLERAREKEEKEAKKRKRLADDFLNLLQSIKDITASSKWESFKEIFEGSQEYSSIGEEAFCREMFEEYISQLKEQEKENERKRKEEKAKKEKEREERDWRKAKHRSEKERGHERDKEHTRKEEADVEISDTTETQVCSDKKRSGSDNSSRKQRKRHQNAVDDLDESEKDRSKSSHRHGSNDHKKSRRHGSTPESDSESRHKRHKRDHRNGSRRAGGNEDLEDGEFGEDRETR >Potri.009G085400.10.v4.1 pep chromosome:Pop_tri_v4:9:8008904:8021824:1 gene:Potri.009G085400.v4.1 transcript:Potri.009G085400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085400.v4.1 MANNPQFSGIQPLQPPLVGPMDPPRNLFPPPPMPVQFRPIVPVQPQQFIPVSSPHFQPVGRGVTVMNAGLPPQPPQPQFPHPMQQLPAIPNQPSHGPPPPPPPQAILLPNAQPNRHVMSGSPLPPHSVQTPNNYMPGLGGLGVPLSSSYTFAPSSHGQPPVTFNAVSQYQPMPQMHAPSIPSGGQPALPSMNQNTALVLPIQHNGEQSSITAANVLATGIQPRPTEEALTEWKEHTSGNGRRFYYNKRTKQSSWEKPFELMTPIERADASTDWKEFTSPDGRKYYFNKVTKQSKWEIPEELKLARARVEKPSIMETQSEVSANSHAPTSVLPSMGKAPSSADALSSTAQGAPSSPVPVKPVAVAGNSQSQLASESSALRVMPSSMTTNADEVQTTESPVAGVPKNAEINATAVNTITAPMSDSFSAHDKPSSEDDAPAQDKQEAEKDVVIDEKVNNVTLEEKSINQDPLLYADKLEAKNAFKALLESANVGSEWTWDQAMRVIINDKRYGALKTLGERKQAFNEFLGQKRKQEAEERRIKQKKTREEFKKMLEGSKELTASMRWSKVATLFENDERFKAVERERDRRDLIETYLQELEEKERAKAHEERKRNIMEYRQFLESCEFIKASTQWRKVQDRLEADERCSRLEKIDRLEIFQDYLHDLVKEEEEQRKIQKEEQRKAERKNRDEFRKLLEEHVASGTLTAKTNWRDYHLKVKDLHAYVAVASNASGSTPKDLFEDVSEELQKQYHEDKTWIKDVVKLKKVPLASNWTLQDMKVAIIEDVNSPPISDVNLKMVFDELLERAREKEEKEAKKRKRLADDFLNLLQSIKDITASSKWESFKEIFEGSQEYSSIGEEAFCREMFEEYISQLKEQEKENERKRKEEKAKKEKEREERDWRKAKHRSEKERGHERDKEHTRKEEADVEISDTTETQVCSDKKRSGSDNSSRKQRKRHQNAVDDLDESEKDRSKSSHRHGSNDHKKSRRHGSTPESDSESRHKRHKRDHRNGSRRAGGNEDLEDGEFGEDRETR >Potri.001G339500.3.v4.1 pep chromosome:Pop_tri_v4:1:34841728:34843829:1 gene:Potri.001G339500.v4.1 transcript:Potri.001G339500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339500.v4.1 MSRLAILLIFFFSAPAPHFVFSSSIQDPELVVQEVHRSINASRRNLGYLSCGTGNPIDDCWRCDPNWERNRQRLADCAIGFGKNAIGGRNGRIYVVTDSGNDDAVNPKPGTLRHAVIQDEPLWIIFKRDMVIQLRQELVMNSYKTIDGGNGDIRDSPRHSGWWTPSDGDGVSIFASKHIWVDHCSLSNCHDGLIDAIHGSTAITISNNFMTHHDKVMLLGHSDSYTQDKDMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPNRRFNKEVTKHEDAPESEWRHWNWRSEGDLMLNGAYFRQSGAGASSSYARAYSLSARPSSLVGSMTLTSGVLNCRKGSRC >Potri.001G339500.2.v4.1 pep chromosome:Pop_tri_v4:1:34841703:34843867:1 gene:Potri.001G339500.v4.1 transcript:Potri.001G339500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339500.v4.1 MSRLAILLIFFFSAPAPHFVFSSSIQDPELVVQEVHRSINASRRNLGYLSCGTGNPIDDCWRCDPNWERNRQRLADCAIGFGKNAIGGRNGRIYVVTDSGNDDAVNPKPGTLRHAVIQDEPLWIIFKRDMVIQLRQELVMNSYKTIDGRGASVHIAGGPCITIHYATNIIIHGIHIHDCKQGGNGDIRDSPRHSGWWTPSDGDGVSIFASKHIWVDHCSLSNCHDGLIDAIHGSTAITISNNFMTHHDKVMLLGHSDSYTQDKDMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSASPTINSQGNRFLAPNRRFNKEVTKHEDAPESEWRHWNWRSEGDLMLNGAYFRQSGAGASSSYARAYSLSARPSSLVGSMTLTSGVLNCRKGSRC >Potri.010G064800.1.v4.1 pep chromosome:Pop_tri_v4:10:9346379:9348254:1 gene:Potri.010G064800.v4.1 transcript:Potri.010G064800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064800.v4.1 MGSETTARSRKVMVVADPSRESAGALQYALSHVVVENDELILLHVESSYSWWNTFSFRKIYSLTPGPMPNSSEGGGGVGEGDFLEAMRQVCRIAQPKIPVRLERTQLMEETKDKANTILNKSNLLRVDLLIIGQRRGFSTAILGTSRYKLSGGSGTKGLDTAEYLIENSKCTCVAVQKRGQNAGYVLNTKTHKNFWLLA >Potri.001G011800.1.v4.1 pep chromosome:Pop_tri_v4:1:772449:776230:-1 gene:Potri.001G011800.v4.1 transcript:Potri.001G011800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G011800.v4.1 MNDQPSPSIMNQQPPQLMGQPPPIHLQLQMLSHSQAMNQPQPLMMMMMNQRPFKKFQNPSKFNNNFVPSKPRSSNNSSSNWKGKNANNNKRMENSNNNPGLSCGVSGGGGGGYKPPSLNELQTQNRMKARKYYHPKKKFNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAMLPTPMFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGNEASEDDEDDEESDVEEHVEVERRLDHDLSRFEMIYPSGGGVGGEYSYNNNNNVLENRVDDQDTHIAQLEEENLTLKERLFLMESELGDLRMRLQFLERHQQHGQSSSSAMVLEDVNEEVVENVSENESDGGSDIGVNTVANDGNEDVMEWVEMERVGRNVSNVDKEEGE >Potri.008G049801.1.v4.1 pep chromosome:Pop_tri_v4:8:2929546:2931376:1 gene:Potri.008G049801.v4.1 transcript:Potri.008G049801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049801.v4.1 MIIDHGCHACKWESGNRQSAREETFNTFASNLNPRTIVHKWCVFLYLDHLDAPWDDVYKAELLEGKNDTSIQELVIGGEVCMWAETADASVVHRTIWPRAAAGS >Potri.002G235500.3.v4.1 pep chromosome:Pop_tri_v4:2:22866648:22878325:-1 gene:Potri.002G235500.v4.1 transcript:Potri.002G235500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235500.v4.1 MKHFMLPRNPILREAAAHNEQSPIPSSHKTKPSPSPSRRTKSSKENAPPPDPNSITSDLKPLPSPASAKLKSPLPPRPPSSNPLKRKLSMETSPENSLSDSGVKVIVRMRPLKKDDKEEGETIVQKMSNNSLAINGQTFTFDSVSDTGATQLDMFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTFTMWGPANALSSENLSGDLQGLTPRVFQRLFDRINEEQIKHTDKQLKYQCRCSFLEIYNEQITDLLDPGQRNLQIREDMQTGVYVENLREEYVFTMKDVTQLLIKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSMADGMSSLKTSRINLVDLAGSERQKLTGTAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAIVNEEVEDDVNHLREVIRQLRDELHRVKANSNNPTGWDPRKSLNILKSLIHPRPLLPQVDEDGDEMMEIDEEAVERLCIQVGLGPAGSADENYVDEGRSIIEQGTEDTDVDMEEAISEQAENHEILISSCAKPARNTSESCEEPVEEKRFLSSSASKLITEESPNEMVVFGSSCTTSGSENGNSTGISATGEPNGSQNETVNCMSPSSLSIVPSEVSPVLKSPTPSVSPRISSSRKSLRTSSMLTASQKDSKDESKPGPENIRISFTKSNSSAALTAQTSKSCLAPTEHLAASLHRGLEIIDSHRKSSVFRQLSFRFACKPAESNPIPLVDVGVQTFPQDDEILERVFLCANCKTKTQLEVKDVDDSSNLQLVPFVGSESIDKPKTQVPKAVEKVLAGAIRREMALEEFCAKQAYEITQLNRLVQQYKHERECNSIIGQTREDKILRLESLMDGVLSTKDFMEEELAALMHEHKILKEKYENHPEVSKINIELKRVQDELEHYRNFCDLGEREVLLEEIHDLRSQLQYYTDSSSPSALKRNSLLKLTYSCEPSLAPLLNTIQESSEESPEEKLEMERTRWMDAESKWISLAEELRAELDASRALAEKLKQELGTEKRCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRRIQEGIDDVKKAASKAGVRGAESKFINALAAEISALKAEREKERRYFRDESRGLQGQLRDTAEAVQAAGELLTRLKEAEEAAVVAERRAMEAEQEAVKANKHINKLKRKHEDEISSLKELVAESRLPKEARRPAHSDCDMPKYDAGEPLSEGDERWREEFEPFYNVEDGEGELSKLAEPSAWFSGYDRCNI >Potri.002G235500.5.v4.1 pep chromosome:Pop_tri_v4:2:22868613:22876835:-1 gene:Potri.002G235500.v4.1 transcript:Potri.002G235500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235500.v4.1 MQTGVYVENLREEYVFTMKDVTQLLIKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSMADGMSSLKTSRINLVDLAGSERQKLTGTAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAIVNEEVEDDVNHLREVIRQLRDELHRVKANSNNPTGWDPRKSLNILKSLIHPRPLLPQVDEDGDEMMEIDEEAVERLCIQVGLGPAGSADENYVDEGRSIIEQGTEDTDVDMEEAISEQAENHEILISSCAKPARNTSESCEEPVEEKRFLSSSASKLITEESPNEMVVFGSSCTTSGSENGNSTGISATGEPNGSQNETVNCMSPSSLSIVPSEVSPVLKSPTPSVSPRISSSRKSLRTSSMLTASQKDSKDESKPGPENIRISFTKSNSSAALTAQTSKSCLAPTEHLAASLHRGLEIIDSHRKSSVFRQLSFRFACKPAESNPIPLVDVGVQTFPQDDEILERVFLCANCKTKTQLEVKDVDDSSNLQLVPFVGSESIDKPKTQVPKAVEKVLAGAIRREMALEEFCAKQAYEITQLNRLVQQYKHERECNSIIGQTREDKILRLESLMDGVLSTKDFMEEELAALMHEHKILKEKYENHPEVSKINIELKRVQDELEHYRNFCDLGEREVLLEEIHDLRSQLQYYTDSSSPSALKRNSLLKLTYSCEPSLAPLLNTIQESSEESPEEKLEMERTRWMDAESKWISLAEELRAELDASRALAEKLKQELGTEKRCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRRIQEGIDDVKKAASKAGVRGAESKFINALAAEISALKAEREKERRYFRDESRGLQGQLRDTAEAVQAAGELLTRLKEAEEAAVVAERRAMEAEQEAVKANKHINKLKRKHEDEISSLKELVAESRLPKEARRPAHSDCDMPKYDAGEPLSEGDERWREEFEPFYNVEDGEGELSKLAEPSAWFSGYDRCNI >Potri.002G235500.4.v4.1 pep chromosome:Pop_tri_v4:2:22868617:22878249:-1 gene:Potri.002G235500.v4.1 transcript:Potri.002G235500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235500.v4.1 MQTGVYVENLREEYVFTMKDVTQLLIKGLSNRRTGATSINAESSRSHSVFTCVVESRCKSMADGMSSLKTSRINLVDLAGSERQKLTGTAGERLKEAGNINRSLSQLGNLINILAEISQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAIKNKAIVNEEVEDDVNHLREVIRQLRDELHRVKANSNNPTGWDPRKSLNILKSLIHPRPLLPQVDEDGDEMMEIDEEAVERLCIQVGLGPAGSADENYVDEGRSIIEQGTEDTDVDMEEAISEQAENHEILISSCAKPARNTSESCEEPVEEKRFLSSSASKLITEESPNEMVVFGSSCTTSGSENGNSTGISATGEPNGSQNETVNCMSPSSLSIVPSEVSPVLKSPTPSVSPRISSSRKSLRTSSMLTASQKDSKDESKPGPENIRISFTKSNSSAALTAQTSKSCLAPTEHLAASLHRGLEIIDSHRKSSVFRQLSFRFACKPAESNPIPLVDVGVQTFPQDDEILERVFLCANCKTKTQLEVKDVDDSSNLQLVPFVGSESIDKPKTQVPKAVEKVLAGAIRREMALEEFCAKQAYEITQLNRLVQQYKHERECNSIIGQTREDKILRLESLMDGVLSTKDFMEEELAALMHEHKILKEKYENHPEVSKINIELKRVQDELEHYRNFCDLGEREVLLEEIHDLRSQLQYYTDSSSPSALKRNSLLKLTYSCEPSLAPLLNTIQESSEESPEEKLEMERTRWMDAESKWISLAEELRAELDASRALAEKLKQELGTEKRCAEELKEAMQMAMEGHARMLEQYADLEEKHIQLLARHRRIQEGIDDVKKAASKAGVRGAESKFINALAAEISALKAEREKERRYFRDESRGLQGQLRDTAEAVQAAGELLTRLKEAEEAAVVAERRAMEAEQEAVKANKHINKLKRKHEDEISSLKELVAESRLPKEARRPAHSDCDMPKYDAGEPLSEGDERWREEFEPFYNVEDGEGELSKLAEPSAWFSGYDRCNI >Potri.010G053800.1.v4.1 pep chromosome:Pop_tri_v4:10:8508052:8509743:1 gene:Potri.010G053800.v4.1 transcript:Potri.010G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053800.v4.1 MKIEIEVISNEIIKPSSPTPDHLRHYQLSFLDQISPPTYNPLLLFYPADGDVKINNIEKPNQLKQSLSEVLNLYYPLAGRIKDNLFVECNDEGIPFFQAEVKCRLPQVVENPEPSELNKLIPFALDDAEELPLGIQYNIFECGGIVIGLCISHKVGDASSLFTFIKYWAATARGEADHISRPEFISATLFPPINISGFKPATGITKEDVVTKRFVFRSSSIELLKEKCSPASGSLENQRPPSRVEALSVFIWQRFTAATKVESRPERIYSMVHAVNLRSRTEPPLPEYSFGNYYRIAFTIPSIDTGEENYNLVSQIRDSIGKVDKEYVKKLQKGSEHLGFMKEQAARFLRGEVVSLNFTSLCRFPLYEADFGWAKPIWVGSPSLTFKNLVVFMDTASGDGIEALVHLKEEDMAKFQEDEELLQYIVPTKC >Potri.010G241800.1.v4.1 pep chromosome:Pop_tri_v4:10:21923553:21930792:-1 gene:Potri.010G241800.v4.1 transcript:Potri.010G241800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241800.v4.1 MIVAVSGMDSLGERAAKMREALQKSQTITDNVVTILGSFDSRLSVLETAMRPTQIRTHAIRKAHENIDKTLKAAEVILTQFDASRQAEAKILKGPHEDLESYLEAINQLRSNIRFFSGNKGFKSSDAVINNANTLLAKAISKLEDEFKQLLALYSKPVETDRLFECLPESMRPSSESPGNPFGGKNNHHEHQNGTSETGGFKHLTLIPPRILPLLHDLALQMVQAGNQQQLLRIYRDTRSSVLEESLRKLGVEKLSKEDVQRMQWEVLEAKIGNWIHFMRIAVKILFVGERRVCDQIFEGFDTLLDQCFAECTTSSVSMLLSFGDAIARSKRSPEKLFVLLDMYEIMRELHSEVEGVFGGKACNEIRESMFGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQTTLKQLFQEFESSGETNSQLANVTMRIMQALQTNLDGKSKQYRDPALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRVVQQHANQYKRTGWSKILQCLSTQGLTSSGGGGSAVPGEGGSGSGASRGLIKDRFKTFNVQFEELHQKQSQWTVPDTELRESLRLAVAEVLLPAYRSFIKRFGPLVESGKNPQKYIRYTAEDLERMLGEFFEGKTLNEPRR >Potri.001G320800.1.v4.1 pep chromosome:Pop_tri_v4:1:33069474:33070449:-1 gene:Potri.001G320800.v4.1 transcript:Potri.001G320800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320800.v4.1 MERLQHLLISLYLLILSINLTTTTAQSPAPAPAPPGPTNVIKILKKAGPFKTFIRLLKSTQLDSNLNSQLGNTNNGLTIFAPSDSAFSALKTGTLRTLTDQEKVELMQFHIVPMFISSSQFDTVSSPLKTHAGSGARFQLNVTASGNSLNISTGLTNTTISDTVYTDTHLAIYQVDKVLLPLDIFTPKPPPPAPAPAPKLKAESESPDDAVSKKDISSAVSFVMHHDTVFFTVGTVVAISFSL >Potri.012G065750.1.v4.1 pep chromosome:Pop_tri_v4:12:8414919:8427455:1 gene:Potri.012G065750.v4.1 transcript:Potri.012G065750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065750.v4.1 MALLVLAMLMLAMTGRASCTWCVCKEMSDSVLQQTLDYACGAGADCGPVHQNGACFQPNTVRAHCNYAVNSYFQRKGQAQGTCDFKGTATVSASDPSINGCSYPSSVSAAGTSTTPTPVTATPTPVTTNPSTTTPSTTTPSTTTPSTTTPSSTTPTTPYSATPNGVLGGIGNGLGPSGAGINTDIPDAGLRLENTGLFSFFIILVVSSLML >Potri.005G222200.1.v4.1 pep chromosome:Pop_tri_v4:5:22387873:22390372:1 gene:Potri.005G222200.v4.1 transcript:Potri.005G222200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222200.v4.1 MAFTSFLGRVLFASVFILSAYQEFNEFGVDGGPAAKALKPKFGVFTSHVQSHAGIQVPEIEIKHLVSAAIFLKGIGGILFIIGSSLGAYLLIIHQLIAIPILYDFYNYDSEEKEFNQLFIKFTQNMALYGALLFFIGMKNSFPRRQHKKKVPKTKTG >Potri.002G057100.1.v4.1 pep chromosome:Pop_tri_v4:2:3886744:3888984:-1 gene:Potri.002G057100.v4.1 transcript:Potri.002G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057100.v4.1 METQKTQPEKQQSPAVTSCRRKKKDDATFLVDVKDHIDEFIHASMDEHKDCFKKTIKKMFGMSKIVAERSADAKEVESALPLRTTVAE >Potri.010G128200.1.v4.1 pep chromosome:Pop_tri_v4:10:14489165:14491122:-1 gene:Potri.010G128200.v4.1 transcript:Potri.010G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128200.v4.1 MGLLFYVFFSLFFASPPVSCSRILTPHPSETTVLDVAASIQRTKNIFSSGPKMSPFNQQEKETTSSELTVELLSRTSIQKTTHTGYKSLTLSRLQRDSARVKSLVTRLDLAINSISSSDLKPLETDSEFKPEDLQSPIISGTSQGSGEYFSRVGIGKPPSQAYLILDTGSDVNWVQCAPCADCYQQADPIFEPASSASFSTLSCNTRQCRSLDVSECRNDTCLYEVSYGDGSYTVGDFVTETITLGSAPVDNVAIGCGHNNEGLFVGAAGLLGLGGGSLSFPSQINATSFSYCLVDRDSESASTLEFNSTLPPNAVSAPLLRNHHLDTFYYVGLTGLSVGGELVSIPESAFQIDESGNGGVIVDSGTAITRLQTDVYNSLRDAFVKRTRDLPSTNGIALFDTCYDLSSKGNVEVPTVSFHFPDGKELPLPAKNYLVPLDSEGTFCFAFAPTASSLSIIGNVQQQGTRVVYDLVNHLVGFVPNKC >Potri.012G086900.1.v4.1 pep chromosome:Pop_tri_v4:12:11225401:11225865:-1 gene:Potri.012G086900.v4.1 transcript:Potri.012G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086900.v4.1 MACSETTTKVLKLKTSDNEVVEVEEKAALQSEIIKSMVEDGHSTDDAIPLFKVEKKTLAKIVEWLKKHASDASKDELAKWDADFLDVDTDFLYDLLLASNYLSIEVLLGQLTQKVADMITRNQPIKIRELFNIKNDFTPEEKEEILKEKSWLFN >Potri.009G147700.2.v4.1 pep chromosome:Pop_tri_v4:9:11669049:11670548:1 gene:Potri.009G147700.v4.1 transcript:Potri.009G147700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147700.v4.1 MEFENYSSSQSSIRQTLSCKQCNSPDIYNLPRGQERPVAVLKKNKAGRKKFKETRHPVYRGVRRRNGNKWVCEVREPNKKSRIWVGTFKSPEMAARAHDVAALALKGELAALNFLDSALILPRAKSSSARDIQRAALAATEVFGRSASSCSSSSSPDHKKLSCVTRSRKVLSPSLIDLPVHEKGTENVLRPSLIDTQSVEIYEKKVSNEEPFSNMVMSCSNNCCSEKVPNHSNATFFDEEALFNMPGLLDSMAEGLILTPPAMARGVYWDDMACSTDLTLWEDDYLDSQ >Potri.001G002400.1.v4.1 pep chromosome:Pop_tri_v4:1:158392:160772:1 gene:Potri.001G002400.v4.1 transcript:Potri.001G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002400.v4.1 MSEVSPTRETSMETEEDKEEQQQHTDRGRRRLVLPEDGFEWKKYGQKFIKNIGKFRGYFRCQKRNCMAKKRAEWSNPENLRIVYEGSHSHASSTQDSSSASSQGTPSSSAANQYNLYTQFFGNQPASSTHDQDHT >Potri.007G138600.1.v4.1 pep chromosome:Pop_tri_v4:7:14947127:14952375:1 gene:Potri.007G138600.v4.1 transcript:Potri.007G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138600.v4.1 MAAESDDSSTATTATTTTSLTANATTSLKENTTTLMLVDEMYEFSAPKFYDFVKGESDEDSRNAELWFDVTAAYAPSPFMPRIKTGRSFKVETLCDFSQADQLHKVAESSDSKPTDSSSDSNSQSEVMPLPEPAASSEMRKEETSSNEENNANLINVISAGDVTCEKEKKVGFACAESNGRCTSSLQIENTDGKSSKNDAYCTPKQPMSSRNRGILTDSKKNQSARHIASLVKNPSSVKPKGQSQSSRVKGIKPTSVKKDPNVKNVAGTANLAQENQAIKKQKLDGGRSRQIVNAKPPQPLMHKSKLGLSSGNSNFCSSVPNKMQKVDRKVYVREQAAPAPFVSMAEMMKKFQSNTRELSLPHDGPASVIQRNPKLTLTRPKEPEFETAQRVRSVKIKSTAEIEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPHRPEFQEFHFVTAARANQNAESASVASTEVSCQSNQWKPHHLTEPKTPVLHTSLRARPAMVKSSLELEKEELEKIPKFKARPLNRKIFESKGEMGIFCHVKKQVTIPQEFHFATNERIPPASSVVDMFEKLSLRSEPTNENPIPRNTLPNPFHLHTEERGAEKERKFVMELMQKQMEEERARFHRANPYPYTTDYPVIPPRPEPKPCTKAEPFQLESLVRHEEEMQREMQERERKEKEEAQMRIFRAQPVLKEDPIPLPEKVRKPLTQVQQFNLNADHRAVDRAEFDQKVKEKEMLYKRYREGSETARMMEEEKALKQLRRTMVPHARPVPNFNRPFFPEKSSKETTNARSPNLRVLQRRERRKMMVNAASSATASGMR >Potri.007G138600.4.v4.1 pep chromosome:Pop_tri_v4:7:14946979:14952430:1 gene:Potri.007G138600.v4.1 transcript:Potri.007G138600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138600.v4.1 MAAESDDSSTATTNTTTTSLTANATTSLKENTTTLMLVDEMYEFSAPKFYDFVKGESDEDSRNAELWFDVTAAYAPSPFMPRIKTGRSFKVETLCDFSQADQLHKVAESSDSKPTDSSSDSNSQSEVMPLPEPAASSEMRKEETSSNEENNANLINVISAGDVTCEKEKKVGFACAESNGRCTSSLQIENTDGKSSKNDAYCTPKQPMSSRNRGILTDSKKNQSARHIASLVKNPSSVKPKGQSQSSRVKGIKPTSVKKDPNVKNVAGTANLAQENQAIKKQKLDGGRSRQIVNAKPPQPLMHKSKLGLSSGNSNFCSSVPNKMQKVDRKVYVREQAAPAPFVSMAEMMKKFQSNTRELSLPHDGPASVIQRNPKLTLTRPKEPEFETAQRVRSVKIKSTAEIEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPHRPEFQEFHFVTAARANQNAESASVASTEVSCQSNQWKPHHLTEPKTPVLHTSLRARPAMVKSSLELEKEELEKIPKFKARPLNRKIFESKGEMGIFCHVKKQVTIPQEFHFATNERIPPASSVVDMFEKLSLRSEPTNENPIPRNTLPNPFHLHTEERGAEKERKFVMELMQKQMEEERARFHRANPYPYTTDYPVIPPRPEPKPCTKAEPFQLESLVRHEEEMQREMQERERKEKEEAQMRIFRAQPVLKEDPIPLPEKVRKPLTQVQQFNLNADHRAVDRAEFDQKVKEKEMLYKRYREGSETARMMEEEKALKQLRRTMVPHARPVPNFNRPFFPEKSSKETTNARSPNLRVLQRRERRKMMVNAASSATASGMR >Potri.007G138600.3.v4.1 pep chromosome:Pop_tri_v4:7:14947127:14952379:1 gene:Potri.007G138600.v4.1 transcript:Potri.007G138600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138600.v4.1 MAAESDDSSTATTATTTTSLTANATTSLKENTTTTSLTANATTSLKENTTTLMLVDEMYEFSAPKFYDFVKGESDEDSRNAELWFDVTAAYAPSPFMPRIKTGRSFKVETLCDFSQADQLHKPIEIELQVAESSDSKPTDSSSDSNSQSEVMPLPEPAASSEMRKEETSSNEENNANLINVISAGDVTCEKEKKVGFACAESNGRCTSSLQIENTDGKSSKNDAYCTPKQPMSSRNRGILTDSKKNQSARHIASLVKNPSSVKPKGQSQSSRVKGIKPTSVKKDPNVKNVAGTANLAQENQAIKKQKLDGGRSRQIVNAKPPQPLMHKSKLGLSSGNSNFCSSVPNKMQKVDRKVYVREQAAPAPFVSMAEMMKKFQSNTRELSLPHDGPASVIQRNPKLTLTRPKEPEFETAQRVRSVKIKSTAEIEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPHRPEFQEFHFVTAARANQNAESASVASTEVSCQSNQWKPHHLTEPKTPVLHTSLRARPAMVKSSLELEKEELEKIPKFKARPLNRKIFESKGEMGIFCHVKKQVTIPQEFHFATNERIPPASSVVDMFEKLSLRSEPTNENPIPRNTLPNPFHLHTEERGAEKERKFVMELMQKQMEEERARFHRANPYPYTTDYPVIPPRPEPKPCTKAEPFQLESLVRHEEEMQREMQERERKEKEEAQMRIFRAQPVLKEDPIPLPEKVRKPLTQVQQFNLNADHRAVDRAEFDQKVKEKEMLYKRYREGSETARMMEEEKALKQLRRTMVPHARPVPNFNRPFFPEKSSKETTNARSPNLRVLQRRERRKMMVNAASSATASGMR >Potri.007G138600.2.v4.1 pep chromosome:Pop_tri_v4:7:14947085:14952457:1 gene:Potri.007G138600.v4.1 transcript:Potri.007G138600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138600.v4.1 MAAESDDSSTATTATTTTSLTANATTSLKENTTTTSLTANATTSLKENTTTLMLVDEMYEFSAPKFYDFVKGESDEDSRNAELWFDVTAAYAPSPFMPRIKTGRSFKVETLCDFSQADQLHKVAESSDSKPTDSSSDSNSQSEVMPLPEPAASSEMRKEETSSNEENNANLINVISAGDVTCEKEKKVGFACAESNGRCTSSLQIENTDGKSSKNDAYCTPKQPMSSRNRGILTDSKKNQSARHIASLVKNPSSVKPKGQSQSSRVKGIKPTSVKKDPNVKNVAGTANLAQENQAIKKQKLDGGRSRQIVNAKPPQPLMHKSKLGLSSGNSNFCSSVPNKMQKVDRKVYVREQAAPAPFVSMAEMMKKFQSNTRELSLPHDGPASVIQRNPKLTLTRPKEPEFETAQRVRSVKIKSTAEIEEEMMAKIPKFKARPLNKKILEAPTLPALPRSTPHRPEFQEFHFVTAARANQNAESASVASTEVSCQSNQWKPHHLTEPKTPVLHTSLRARPAMVKSSLELEKEELEKIPKFKARPLNRKIFESKGEMGIFCHVKKQVTIPQEFHFATNERIPPASSVVDMFEKLSLRSEPTNENPIPRNTLPNPFHLHTEERGAEKERKFVMELMQKQMEEERARFHRANPYPYTTDYPVIPPRPEPKPCTKAEPFQLESLVRHEEEMQREMQERERKEKEEAQMRIFRAQPVLKEDPIPLPEKVRKPLTQVQQFNLNADHRAVDRAEFDQKVKEKEMLYKRYREGSETARMMEEEKALKQLRRTMVPHARPVPNFNRPFFPEKSSKETTNARSPNLRVLQRRERRKMMVNAASSATASGMR >Potri.002G081500.5.v4.1 pep chromosome:Pop_tri_v4:2:5764637:5765524:1 gene:Potri.002G081500.v4.1 transcript:Potri.002G081500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081500.v4.1 MDERQPVSSLEEPKRDESVAAETPSFLPRTGPNSFLSKHRMAAAITQLQSQISSIQEELDQLDTFGESSIVCKETQGPVNASWDRWFKGNQNSRRRWI >Potri.002G081500.1.v4.1 pep chromosome:Pop_tri_v4:2:5764736:5765365:1 gene:Potri.002G081500.v4.1 transcript:Potri.002G081500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081500.v4.1 MDERQPVSSLEEPKRDESVAAETPSFLPRTGPNSFLSKHRMAAAITQLQSQISSIQEELDQLDTFGESSIVCKELVSGVESIPDPLLPSTQGPVNASWDRWFKGNQNSRRRWI >Potri.001G205100.1.v4.1 pep chromosome:Pop_tri_v4:1:20820812:20823461:1 gene:Potri.001G205100.v4.1 transcript:Potri.001G205100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205100.v4.1 MSQHQPTMSCCSSSNFDEYQWVINVGRALEKELEDGDEDPVCIFTVPKTLMSSHPDTYTPQQLSLGPYHYLRPDLHEMECYKLFAAKKLQNQLHSSHNFENLVEQLIKLEPKIRACYHKYLSFNAETLAWMMALDVSFLLEFLQIYSLKETSSSSRVQVTSRMSHLLDYSKRKSVHHVILRDMVMLENQIPLFVLRKVLEFQYLSVESADDMLLSMIRGLAKELSPFKTIELPKSQKILEQSHLLDLLYDIIVPKVEEPVEISEEFADQIEADIQLESEGFGSDSNYVKQLLNEIWNMVSQLTTGPVSLLIKNISSSVTIKMKFSWTILSTLLHISPDGLIKTEDDGSTTSMNKPPLVEEITIPSVTELSNSGVSFIPTRGNISTINFDKDKAAFYLPTISLDINTEVVFRNLMAYEISNASGPLIFTRYIELLNGIIDTEEDVGLLRERGIILNRLKSDEEVANMLNGMSDSKAIRLTKAPILDKVIEDVNKYHGSLWMVKIRKFTKRSVFSSWQYLTLFAAIVLMLLLAVESFCSVFTCYRIFHNDFYEG >Potri.006G121400.1.v4.1 pep chromosome:Pop_tri_v4:6:9631187:9634873:1 gene:Potri.006G121400.v4.1 transcript:Potri.006G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121400.v4.1 MGSSSGQSSGSYDLSFKILLIGDSGVGKSSLLVSFISSSIEDIAPTIGVDFKIKQLTVGGKRLKLTIWDTAGQERFRTLTSSYYRNAQGIILVYDVTRRETFTNLSDVWTKEVDLYSTNQDCVKMLVGNKVDKDSERDVTRDEGMSLAKEHGCTFLECSAKTRQNVEQCFEELALKIMEVPSLMEEGSTAVKRNILKQKPDQHPPSSGGGCCS >Potri.002G065000.1.v4.1 pep chromosome:Pop_tri_v4:2:4451688:4453904:1 gene:Potri.002G065000.v4.1 transcript:Potri.002G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G065000.v4.1 MSVASSIPYIKIPNPSSSSCSFPSSSSSTSSTSSFCRFSTITRPYIVTIRSSQAEGPVRRPVAPPLRAPSSPAAPSPPLNPVPPPSPSSPVTPPPKPAAQVAVKDKNVVTLEFQRQKAKELQEYFKKKKFEEADQGPFFGFVGKNEIANGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGILDLE >Potri.004G194800.3.v4.1 pep chromosome:Pop_tri_v4:4:20779576:20782835:-1 gene:Potri.004G194800.v4.1 transcript:Potri.004G194800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194800.v4.1 MWNLQETESGILSALRLSYSHLPSHLKQCFAYCAIFPKDYEFEEGELVSLWMAEGFLMQKMKKKHMKDLGHEYFRDLSSRSFFQRSSSNISRFIMHDLISDLARFVSGEICFYLDDTKKEPCSVESYAAVRHSSFTSHRYDISQRFDVFYEMKNLRTFLALPTYLSQFSPYHLSSKVLDDLVPKLKCLRALSLAGYSVEELPNSTGTLKRLRYLNLSYTWIKRLPESLGELFNLQTLRLRGCRKLVELPACVVNLINLQCLDIRDTDGLQEMPPQISKLINLRMLPKFIVGEGKGLGITELMKLSHLQGQLKIEGLHKVNIRDAELANLKEKAGLCDLALHWISNFDDSLRNERNELHVLDSLKPHRSLEKLSVTSYGGTEFPSWIGDSCFSKIVHLKLSTCRKITSLSSVGKLPALRHLSMEGMDGVKEVYAEDFQSLVTLYIRNMLGWEQWLWSDGVNESTVGKFPKLSELTLMNCPRLIGDLPSCLPSLKKLHVEKCQGVVLSLRAAPDLTSLSSLHLIQISGLVRLHEELVQALVALEDLDLICCNELTYLWQDGVDLDKLSSLKRLQIVECEQLVSLVEGEEGILPCNLEVLTVEDCCNLEKLANGLCSLTSLRDLTILACRKLECFSEGAGLPLSLKRLVISNCDSLRSLPDGMMTMVNDSDCNHCLLEALSVTACPSLKSLPKGKLPKTLKFLNISWDNQESLPEGILQRDARETSRSNLEHLILQSLSATSFPTGEFPISLKELTIVNCRIPSLPPLHFLFHLTELEIFGCNELKSFPKEGLPLPNLISLGIHRCEKLRSLPAHMDSLKSLQDLRISNCHRLDSFMERGLPPNLTSLKILNCKISLPISEWGLRLLTSLKRFSVESTMDVDRFPDDEGLLLPPSLTFLEISNQEILKSISRGLQHLTSLEVLNIFECPILRFFPREGFPLSLECIRICSSPLLEERCLKEKGDYWSIIAHIPKVDIS >Potri.004G194800.5.v4.1 pep chromosome:Pop_tri_v4:4:20779427:20782307:-1 gene:Potri.004G194800.v4.1 transcript:Potri.004G194800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194800.v4.1 MHDLISDLARFVSGEICFYLDDTKKEPCSVESYAAVRHSSFTSHRYDISQRFDVFYEMKNLRTFLALPTYLSQFSPYHLSSKVLDDLVPKLKCLRALSLAGYSVEELPNSTGTLKRLRYLNLSYTWIKRLPESLGELFNLQTLRLRGCRKLVELPACVVNLINLQCLDIRDTDGLQEMPPQISKLINLRMLPKFIVGEGKGLGITELMKLSHLQGQLKIEGLHKVNIRDAELANLKEKAGLCDLALHWISNFDDSLRNERNELHVLDSLKPHRSLEKLSVTSYGGTEFPSWIGDSCFSKIVHLKLSTCRKITSLSSVGKLPALRHLSMEGMDGVKEVYAEDFQSLVTLYIRNMLGWEQWLWSDGVNESTVGKFPKLSELTLMNCPRLIGDLPSCLPSLKKLHVEKCQGVVLSLRAAPDLTSLSSLHLIQISGLVRLHEELVQALVALEDLDLICCNELTYLWQDGVDLDKLSSLKRLQIVECEQLVSLVEGEEGILPCNLEVLTVEDCCNLEKLANGLCSLTSLRDLTILACRKLECFSEGAGLPLSLKRLVISNCDSLRSLPDGMMTMVNDSDCNHCLLEALSVTACPSLKSLPKGKLPKTLKFLNISWDNQESLPEGILQRDARETSRSNLEHLILQSLSATSFPTGEFPISLKELTIVNCRIPSLPPLHFLFHLTELEIFGCNELKSFPKEGLPLPNLISLGIHRCEKLRSLPAHMDSLKSLQDLRISNCHRLDSFMERGLPPNLTSLKILNCKISLPISEWGLRLLTSLKRFSVESTMDVDRFPDDEGLLLPPSLTFLEISNQEILKSISRGLQHLTSLEVLNIFECPILRFFPREGFPLSLECIRICSSPLLEERCLKEKGDYWSIIAHIPKVDIS >Potri.004G194800.4.v4.1 pep chromosome:Pop_tri_v4:4:20778569:20794470:-1 gene:Potri.004G194800.v4.1 transcript:Potri.004G194800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194800.v4.1 MEVVGEVFLSALLEPLLGKLTSGELLNFVRKTQVEKELNKWKLRLSEIDAVLKDAEEKQIINSTVKIWLTKLRDLAYDVEDVLDEFQTEALASKMRAESQASSSTVRKLLPTCCAGLNPSAIELNMRTGSRLRGITTRLEAIAKEKNELDVGIMAEQRSKGVKKSATTSLVKESDVCGRDNDKKAILELLMNDDASNLEYSVIPIIGMGGIGKTTLTQLVYNDERVKFDCKAWVCVSDDFDIPRITKTILQHLESCEDNDLNVLQERLKEKLSGKKFLIVLDDVWSNNHDDWTALSLPFSAGARGSKVIITTRSEDIASKMGTVRAYTLERLSFDECLRIFTQHALDSRNFDAHLELKEIGEEMVEKCKGLPLIAKTLGGLLRHKQNLEGWEDILSSEMWNLPETESGILSALRLSYNHLPSHLKQCFAYCAIFPKDYEFEEGELVSLWMAEGFLKQKMKKKHMEDLGHEYFRDLSSRSFFQRSSSKISRFIMHDLISDLAQFVSGEICFYLDDTKKEPCSVESYAAVRHSSFTSHRYDISQRFDVFYEMKNLRTFLALPTYLSQSRPYHLSSKVLDDLVPKLKCLRALSLAGYSVEELPNSTGTLKRLRYLNLSYTWIKRLPESLGELFNLQTLRLRGCRKLVELPTCVVNLINLQCLDIRDTDGLQEMPLQISKLINLRMLPKFIVGEGKGLGITELMKLSHLQGQLKIEGLHKVNIRDAELANLKEKAGLCDLSLHWISNFDDSLRNERNELHVFDSLKPHRSLEKLSVTSYGGTEFPSWIGDSCFSKIVHLKLSTCRKITSLSSVGKLPALRHLSIEGMDGVKEVYAEDFQSLVTLYIRNMLGWEQWLWSDGVNESTVGKFPKLSELTLMNCPRLIGDLPSCLPSLKKLHVEKCQGVVLSLRAAPDLTSLSSLHLIQISGLVRLHEELVQALVALEDLDLICCNELTYLWQDGVDLDKLSSLKRLQIVECEQLVSLVEGEEGILPCNLEVLTVEDCCNLEKLANGLCSLTSLRDLTILACRKLECFSEGAGLPLSLKRLVISNCDSLRSLPDGMMTMVNDSDCNHCLLEALSVTACPSLKSLPKGKLPKTLKFLNISWDNQESLPEGILQRDARETSRSNLEHLILQSLSATSFPTGEFPISLKELTIVNCRIPSLPPLHFLFHLTELEIFGCNELKSFPKEGLPLPNLISLGIHRCEKLRSLPAHMDSLKSLQDLRISNCHRLDSFMERGLPPNLTSLKILNCKISLPISEWGLRLLTSLKRFSVESTMDVDRFPDDEGLLLPPSLTFLEISNQEILKSISRGLQHLTSLEVLNIFECPILRFFPREGFPLSLECIRICSSPLLEERCLKEKGDYWSIIAHIPKVDIS >Potri.001G343800.2.v4.1 pep chromosome:Pop_tri_v4:1:35472063:35476302:-1 gene:Potri.001G343800.v4.1 transcript:Potri.001G343800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343800.v4.1 MARAWLIDGRSIARKVSRSSAYQIKDCGANRECPKCHCHIDNSDVTQEWPGLPIGVKFDPSDAQLLEHLEAKCAVGNSKPHMFIDEFIPTLDGDKGICYTHPAKLPGAKKDGNSIHFFHRTNNAYATGQRKRRKIHCEVGSNEEGVRWHKTGKTKPVMDNGVQKGCKKIMVLYKSSKKGSKPDKSKWVMHQYHLGTDEDEKEGEYVVSKIFYQQKQTEKNDDNLLIEDNDILSHQTSPRTPNSNPPNPPRPGKSVMYDDIANDNITHLAGQNNEIKEEAPDVPAHVFQLEGDVEFPEWFAGESQAVENSDFTALDDSLLCNEIFVSAPRVPNNSGVNRVSYTGMAHNTNEVNGNNNEPCGIAELENLELDTPPDFQLADLQFGSQESILGWLDRL >Potri.010G212501.1.v4.1 pep chromosome:Pop_tri_v4:10:20081378:20084761:-1 gene:Potri.010G212501.v4.1 transcript:Potri.010G212501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212501.v4.1 MDDLLTLSHDPRTLICQIISNPFVATKPPPLLGKKISQIIYIFFSPPLIATRNSPPFFSFLSQIRPCSTTLSYVPPLSQSFTHRQNQLPLSPSNLSLHHQAVLTKSNP >Potri.018G050300.1.v4.1 pep chromosome:Pop_tri_v4:18:4860488:4861558:-1 gene:Potri.018G050300.v4.1 transcript:Potri.018G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050300.v4.1 MSLSAAEDNSASEIHLPADIDWHMLDKSKFFFLGAALFSGVSAALYPVVVLKTRQQVLPTQISSLKLSLSIMNHEGVRGFYRGFGTSLMGTIPARALYMTALEVTKSNVGTATVRLGFSDTTATAIANAAAGLSSAMAAQVVWTPIDVVSQRLMVQDCNGSSIKSSKNMIPSSSSCRYMNGIDAFRKILNADGPRGLYRGFGISILTYAPSNAVWWASYSVAHRLVWGGIGCYASKKDENAVNGGGGCGYRPGSKEMVAVQGVCAAMASGVSAMITMPLDTIKTRLQVLDREENGRTRPLTVMQTVRNLVKEGGFAACYRGLGPRWVSMSMSATTMITTYEFLKRLSTKNRDSLTS >Potri.015G101500.2.v4.1 pep chromosome:Pop_tri_v4:15:12091544:12093315:1 gene:Potri.015G101500.v4.1 transcript:Potri.015G101500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101500.v4.1 MALHLTWMLAFGLLGNLISCLVCLAPLPTFYQIYKKKTSEGFQSIPYVIALFSAMLWLFYAIFSEDAILLITINTFAFFMEFGYITVYLLYATKKDKILTFKLLLLFNSFGFGLICVLTLFLTQGQKRVQVLGWICMIFSLCVFVAPLFIVREVIKTKSVEFMPFSLSFFLTLSAVMWFFYGYLKKDQFVAVPNILGFLFGIIQMVLYVIYRNPMKILVVEPKLQELSHEHIVDIRKLGTAICSEINIVIPQLNDSGKVVFEDQIAKELTKQTQEITNATNKI >Potri.010G175200.1.v4.1 pep chromosome:Pop_tri_v4:10:17580055:17581215:-1 gene:Potri.010G175200.v4.1 transcript:Potri.010G175200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175200.v4.1 MSLLHSLLNQNSLFDPFRGFLIENSETQMDWKETPHAHVFEIDLPGLTKEDVKIEVHEGTVLQISTAERKEEAEEKGEKWHCKERSRGGFSRRFRLPENAKLDEIKASMHDGVLVVTVPKDELKTKPKNKAVEISGDDGEKHVSRGLGRFVCCKA >Potri.009G154900.2.v4.1 pep chromosome:Pop_tri_v4:9:12113157:12113986:1 gene:Potri.009G154900.v4.1 transcript:Potri.009G154900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154900.v4.1 MVLKHQRERIYSESELAKATNNYDDNQKLGQGGFGCIQRSFTRLYPETKEPLLVYKFISNGTPFHHIHDKSLKVAVETALALNYLRSLANPPIVHGDVKTGNFGYLNSKCLMTGNLTVKSDVFSFGVNRNIIFISSLENNHLLKILDFEVAKEEAEEIEMVAELAKRCRNSGVKRPSLKYLMSYLG >Potri.018G127200.1.v4.1 pep chromosome:Pop_tri_v4:18:13814057:13818173:-1 gene:Potri.018G127200.v4.1 transcript:Potri.018G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127200.v4.1 MSAIVCGKRSFFEELTVTSPPVSKRIRCSSSSPVRFSPPRSNTLASNPPSFNFGSSSSSSSTLIEQLAAIFPDMDKQLIEKVLEECGDDLDSAIRSLNDLRLGSAENFSAAADKSDVIDESNVPAQGVATTGAEAPPTEDLSASAHLSLDGAEWVELFVREMMSASNIDDARARASRALEVLEKSICARAGAEAVKNFHQEHMILKEQVQALIQENTILKRAVSIQHERQKEYEERNQEMQQLKQLVSQYQDQLRTLEVNNYALTLHLKQAQQSSSIPGRFHPDVF >Potri.006G181700.3.v4.1 pep chromosome:Pop_tri_v4:6:18842470:18851949:1 gene:Potri.006G181700.v4.1 transcript:Potri.006G181700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181700.v4.1 MSNLCDSFQCEKHTAVLDKPRPPLVSAERNSNATAAAATRRPRTREVSSRYKSSSPTTPSVARRFPSPSLTRTLPTPSQVLPKRSQSAERRRPSFPPSPPNPSTPVQDSSVDVQFPSRRLSSGGRLQESLWPSTMRSLSVSFQSDSISIPISKKEKPVNNVTSDRTLRPSSNVVHKQAEMPTGSRKPTPERKRSPLKGKNSQDQSENSKPVDGLHSRLIDQHRWPSRIGGKVSSNTSLNRSVDLTDKSVKSLSTPVGVGLSSLRRTPTPDSVIKPLQVSASDTARISLEEIGIVSEVNSVGDKLQRIAGAQKLVASSLSDRISLASPAVRSQSLPTPGSRPASPSRNCISRGVSPARTRPSTPPTGVSPSRIRLSSVSSQFNNSTSVLSFIADFKKGKKGASYIEDAHQIRLLYNRYLQWRFANARAGAVLYFQKVTAERTLYNVWDTTLALWDSVIRKRINLQKLKLELKLNAVLTDQIAYLDDWALLEKDHIDSLSGAVEDLEASTLRLPVTGGAKADIESLKVAICSAVDVMQAMGSSICSLLPRVEGMNALVYELAIVAAQEKAKLDQCEALLASTTAMQVEEYSIRTHRIQTKEALEKQPPPLMAMKTPSWP >Potri.006G181700.5.v4.1 pep chromosome:Pop_tri_v4:6:18844372:18851584:1 gene:Potri.006G181700.v4.1 transcript:Potri.006G181700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181700.v4.1 MDVCELEKHTAVLDKPRPPLVSAERNSNATAAAATRRPRTREVSSRYKSSSPTTPSVARRFPSPSLTRTLPTPSQVLPKRSQSAERRRPSFPPSPPNPSTPVQDSSVDVQFPSRRLSSGGRLQESLWPSTMRSLSVSFQSDSISIPISKKEKPVNNVTSDRTLRPSSNVVHKQAEMPTGSRKPTPERKRSPLKGKNSQDQSENSKPVDGLHSRLIDQHRWPSRIGGKVSSNTSLNRSVDLTDKSVKSLSTPVGVGLSSLRRTPTPDSVIKPLQVSASDTARISLEEIGIVSEVNSVGDKLQRIAGAQKLVASSLSDRISLASPAVRSQSLPTPGSRPASPSRNCISRGVSPARTRPSTPPTGVSPSRIRLSSVSSQFNNSTSVLSFIADFKKGKKGASYIEDAHQIRLLYNRYLQWRFANARAGAVLYFQKVTAERTLYNVWDTTLALWDSVIRKRINLQKLKLELKLNAVLTDQIAYLDDWALLEKDHIDSLSGAVEDLEASTLRLPVTGGAKADIESLKVAICSAVDVMQAMGSSICSLLPRVEGMNALVYELAIVAAQEKAKLDQCEALLASTTAMQVEEYSIRTHRIQTKEALEKQPPPLMAMKTPSWP >Potri.006G181700.7.v4.1 pep chromosome:Pop_tri_v4:6:18844144:18851949:1 gene:Potri.006G181700.v4.1 transcript:Potri.006G181700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181700.v4.1 MSNLCDSFQCEKHTAVLDKPRPPLVSAERNSNATAAAATRRPRTREVSSRYKSSSPTTPSVARRFPSPSLTRTLPTPSQVLPKRSQSAERRRPSFPPSPPNPSTPVQDSSVDVQFPSRRLSSGGRLQESLWPSTMRSLSVSFQSDSISIPISKKEKPVNNVTSDRTLRPSSNVVHKQAEMPTGSRKPTPERKRSPLKGKNSQDQSENSKPVDGLHSRLIDQHRWPSRIGGKVSSNTSLNRSVDLTDKSVKSLSTPVGVGLSSLRRTPTPDSVIKPLQVSASDTARISLEEIGIVSEVNSVGDKLQRIAGAQKLVASSLSDRISLASPAVRSQSLPTPGSRPASPSRNCISRGVSPARTRPSTPPTGVSPSRIRLSSVSSQFNNSTSVLSFIADFKKGKKGASYIEDAHQIRLLYNRYLQWRFANARAGAVLYFQKVTAERTLYNVWDTTLALWDSVIRKRINLQKLKLELKLNAVLTDQIAYLDDWALLEKDHIDSLSGAVEDLEASTLRLPVTGGAKADIESLKVAICSAVDVMQAMGSSICSLLPRVEGMNALVYELAIVAAQEKAKLDQCEALLASTTAMQVEEYSIRTHRIQTKEALEKQPPPLMAMKTPSWP >Potri.001G113250.1.v4.1 pep chromosome:Pop_tri_v4:1:9170506:9177993:-1 gene:Potri.001G113250.v4.1 transcript:Potri.001G113250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113250.v4.1 MERNNANPQDLPMAVEANQEGLQSNEDLHLVLVLRLPSFNLPVNDILRPHFHLLDPADSPEPASSFLSNHAQSVRALICVGYAPVTAETLNLLPSLELIVASSAGVDHIDIQECRRRGIIMTNASTAFAEDAADYAVALLIDVWRRISAADRFLHAGLWPVKGDYPLASKLRGKRVGIVGLGSIGFEVSKRLEAFGCSIAYNSRMEKPSVPFPYYANVLDLAAHSDALVLCCSLTEQTRHIINKDVMTALGKKGVIINVGRGGLIDEKELVQFLLRGDIGGAGLDVFENEPDVPRELFELDNVVLSPHRAVATPESFEAVFQLIFTNLKAFFSNKPLQSVYQIE >Potri.001G113250.2.v4.1 pep chromosome:Pop_tri_v4:1:9177315:9177875:-1 gene:Potri.001G113250.v4.1 transcript:Potri.001G113250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113250.v4.1 MERNNANPQDLPMAVEANQEGLQSNEDLHLVLVLRLPSFNLPVNDILRPHFHLLDPADSPEPASSFLSNHAQSVRALICVGYAPVTAETLNLLPSLELIVASSAGVDHIDIQECRRRGIIMTNASTAFAEDAADYAVALLIDVWRRISAADRFLHAGLWPVKGDYPLASKVLSFLFLYLVCFNSFF >Potri.011G144800.2.v4.1 pep chromosome:Pop_tri_v4:11:17369745:17371011:-1 gene:Potri.011G144800.v4.1 transcript:Potri.011G144800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144800.v4.1 MDPQPEPVSYICGDCGMENTLKPGDVIQCRECGYRILYKKRTRRIVQYEAR >Potri.002G069301.2.v4.1 pep chromosome:Pop_tri_v4:2:4811562:4812319:1 gene:Potri.002G069301.v4.1 transcript:Potri.002G069301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069301.v4.1 MSYYACACCFHLIKFRADEELVDQKKYLEDSCKPKCVKPLLEYEACVKRVEGDESGHKHCTGQYFDYWYCIDKCVAPKLFSKLK >Potri.016G088800.2.v4.1 pep chromosome:Pop_tri_v4:16:7097766:7106273:1 gene:Potri.016G088800.v4.1 transcript:Potri.016G088800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088800.v4.1 MINSSNGMISTSSTSANTQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYAHGKTVTQVTLAIIKDKPAPSTPMAPPSGFSTGSGVRSAAARLLGASNGSRALSFVGGNGGSKSISSSSSSSSSSSRIGSLGTSCSSNSMINSNFDGKGTYLIFNVGDAIFITDLNSQDKEDPIKSIHFSNSNPVCHAFDQDAKDGHDLLIGLSSGDVYSVSLRQQLQDVGKKLVGAHHYNKDGSVNNSRCMSIAWVPGGDGAFVVAHADGNLYVYEKSKDGAGDSSFPVIKDQTQFSVSHARYSKSNPIARWHICQGSINGIAFSNEGAYLATVGRDGYLRVFDYSKEQLICGGKSYYGALLCCAWSMDAKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGENVVYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPPGGSPTFSTGSQSSHWDSVIPVGTLQPAPSMRDVPKLSPVVAHRVHTEPLSGLVFTQECVLTVCREGHVKIWMRPGALDSQSGNSETIPSTSLKEKPLLSSKIGSSTYKQ >Potri.016G088800.5.v4.1 pep chromosome:Pop_tri_v4:16:7100778:7106205:1 gene:Potri.016G088800.v4.1 transcript:Potri.016G088800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088800.v4.1 MTPTNCMQSNPIARWHICQGSINGIAFSNEGAYLATVGRDGYLRVFDYSKEQLICGGKSYYGALLCCAWSMDAKYILTGGEDDLVQVWSMEDRKVVAWGEGHNSWVSGVAFDSYWSSPNSDGTGENVVYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPPGGSPTFSTGSQSSHWDSVIPVGTLQPAPSMRDVPKLSPVVAHRVHTEPLSGLVFTQECVLTVCREGHVKIWMRPGALDSQSGNSETIPSTSLKEKPLLSSKIGSSTYKQ >Potri.011G109000.1.v4.1 pep chromosome:Pop_tri_v4:11:13879671:13882296:-1 gene:Potri.011G109000.v4.1 transcript:Potri.011G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109000.v4.1 MERKLKIAIIHPDLGIGGAERLIVDAAVELVSHGHDVHIFTAHHDKNRCFEETVSGTFPVTVYGSFLPRHVFYRFHALCAYLRCIFVALCVLFLWPSFDVILADQVSVVIPLLKLKKSMKVVFYCHFPDLLLAHHTTVLRRLYRKPIDFVEEITTGMADMILVNSKFTASTFANTFKRLHARGIRPAVLYPAVNVDQFDEPHSYKLNFLSINRFERKKNIELAVSAFARLHTLEEHALQSQKLNEATLTIAGGYDSRLRENVEYLDVLKHLAAREGVSSRISFVTSCSTAERNKLLSQCLCVIYTPKDEHFGIVPLEAMAAHKPVIACNSGGPVETVKDAETGFLCDPTPEDFSLAMAKLIQDPQMASRMGGEARKHVAESFSTKTFGQHLNQYLMSITGSKED >Potri.001G456400.8.v4.1 pep chromosome:Pop_tri_v4:1:48250828:48255230:-1 gene:Potri.001G456400.v4.1 transcript:Potri.001G456400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456400.v4.1 MGFLDLFVVAMVPVLKVLLITLVGLFLALDRIDLLGSTARPYLNNLIFYVFSPALVSSQLAGTITLQSLASLWFMPVNILLTFIIGSVLAWILIKITRTPPHLQGLVIGCCSAGNLGNLLLIIVPAVCNESNSPFGDSTVCSSYGMAYASLSMAVGAIYIWTYVYIIMRIYADNSAENTKNVSIADSESYTEALLPSSEKSSCHDHSVHAELPETMSEGKKVTFMERTFWNFKKFAANTNLKKVFAPATIAAMFGFVIGTISPIRKVIIGDSAPLRVLESSASLLGQASIPCMALIMGSNLLKGLRRSEISVSVIAGIVVVRNFFLPLIGIGIVKAAHHFGLVGSDSLYQFILLLQYALPPAMAIGVMAQLFKAGESECSVIMLWCYVLAAFSLTLWSTFYMWLLG >Potri.001G456400.9.v4.1 pep chromosome:Pop_tri_v4:1:48252390:48255459:-1 gene:Potri.001G456400.v4.1 transcript:Potri.001G456400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456400.v4.1 MGFLDLFVVAMVPVLKVLLITLVGLFLALDRIDLLGSTARPYLNNLIFYVFSPALVSSQLAGTITLQSLASLWFMPVNILLTFIIGSVLAWILIKITRTPPHLQGLVIGCCSAGNLGNLLLIIVPAVCNESNSPFGDSTVCSSYGMAYASLSMAVGAIYIWTYVYIIMRIYADNSAENTKNVSIADSESYTEALLPSSEKSSCHDHSVHAELPETMSEGKKVTFMERTFWNFKKFAANTNLKKVFAPATIAAMFGFVIGTISPIRKVIIGDSAPLRVLESSASLLGQASIPCMALIMGSNLLKGLRRSEISVSVIAGIVVVRNFFLPLIGIGIVKAAHHFGLVGSDSLYQFILLLQYALPPAMAIGVMAQLFKAGESECSVIMLWCYVLAAFSLTLWSTFYMWLLG >Potri.005G127500.3.v4.1 pep chromosome:Pop_tri_v4:5:9593252:9596397:1 gene:Potri.005G127500.v4.1 transcript:Potri.005G127500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127500.v4.1 MLPFKTLRTFLTKHLLSQSYIIFPSHYFNIPIKCLHQSKPQNLTRFFTRKPRNPKPKSPSSNVIEPQKIYMRDIISNIYKILKYSTWDSAQEELTKLNIKWDSFTVNQILKTHPPMEKAWLFFNWASKLKRFKHDQFTYTTMLDIFGEAGRIESMKYVFKKMQEMGLKIDVVTYTSILNWVSKSGDVDGAVKIWKEMRENMCFPTVVSYTAYLKVLFDNKRVKEGIDVYKEMLESGISPNCHTYTVLMEHLVVTGKYQETLEIFSKMQEAGVQPDKAACNILVERCCKAGETTTMTHILQYMKQNHLVLRYPVFMEALETLKDAGESDALLRKVNPHIDTESIGDVDAFETMTTVGDDALDGGLVLILLRKQNLVAVDHLLAGIMDKNILLDSRIVATIIERNIDHQRPDGALLAFEYSMKMGIQLERTSYLALIGMSIRSDTFLKVVDIAEKMTVAGHSLGVYQAALLIYRLGCAKRPTCAVKIFDLLPEGQKCTATYTALVSVFFSAGSPQKALQIYENMKREGIHPSLGTYNVLLAGLESSGRISEAKTYRKEKKGLLINNHHQNSVPMGQKICNLLFASHLVS >Potri.005G127500.2.v4.1 pep chromosome:Pop_tri_v4:5:9586969:9596545:1 gene:Potri.005G127500.v4.1 transcript:Potri.005G127500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127500.v4.1 MLPFKTLRTFLTKHLLSQSYIIFPSHYFNIPIKCLHQSKPQNLTRFFTRKPRNPKPKSPSSNVIEPQKIYMRDIISNIYKILKYSTWDSAQEELTKLNIKWDSFTVNQILKTHPPMEKAWLFFNWASKLKRFKHDQFTYTTMLDIFGEAGRIESMKYVFKKMQEMGLKIDVVTYTSILNWVSKSGDVDGAVKIWKEMRENMCFPTVVSYTAYLKVLFDNKRVKEGIDVYKEMLESGISPNCHTYTVLMEHLVVTGKYQETLEIFSKMQEAGVQPDKAACNILVERCCKAGETTTMTHILQYMKQNHLVLRYPVFMEALETLKDAGESDALLRKVNPHIDTESIGDVDAFETMTTVGDDALDGGLVLILLRKQNLVAVDHLLAGIMDKNILLDSRIVATIIERNIDHQRPDGALLAFEYSMKMGIQLERTSYLALIGMSIRSDTFLKVVDIAEKMTVAGHSLGVYQAALLIYRLGCAKRPTCAVKIFDLLPEGQKCTATYTALVSVFFSAGSPQKALQIYENMKREGIHPSLGTYNVLLAGLESSGRISEAKTYRKEKKGLLINNHHQNSVPMGQKICNLLFASHLVS >Potri.005G127500.4.v4.1 pep chromosome:Pop_tri_v4:5:9587011:9596378:1 gene:Potri.005G127500.v4.1 transcript:Potri.005G127500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127500.v4.1 MLPFKTLRTFLTKHLLSQSYIIFPSHYFNIPIKCLHQSKPQNLTRFFTRKPRNPKPKSPSSNVIEPQKIYMRDIISNIYKILKYSTWDSAQEELTKLNIKWDSFTVNQILKTHPPMEKAWLFFNWASKLKRFKHDQFTYTTMLDIFGEAGRIESMKYVFKKMQEMGLKIDVVTYTSILNWVSKSGDVDGAVKIWKEMRENMCFPTVVSYTAYLKVLFDNKRVKEGIDVYKEMLESGISPNCHTYTVLMEHLVVTGKYQETLEIFSKMQEAGVQPDKAACNILVERCCKAGETTTMTHILQYMKQNHLVLRYPVFMEALETLKDAGESDALLRKVNPHIDTESIGDVDAFETMTTVGDDALDGGLVLILLRKQNLVAVDHLLAGIMDKNILLDSRIVATIIERNIDHQRPDGALLAFEYSMKMGIQLERTSYLALIGMSIRSDTFLKVVDIAEKMTVAGHSLGVYQAALLIYRLGCAKRPTCAVKIFDLLPEGQKCTATYTALVSVFFSAGSPQKALQIYENMKREGIHPSLGTYNVLLAGLESSGRISEAKTYRKEKKGLLINNHHQNSVPMGQKICNLLFASHLVS >Potri.014G111400.1.v4.1 pep chromosome:Pop_tri_v4:14:7485655:7488632:-1 gene:Potri.014G111400.v4.1 transcript:Potri.014G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111400.v4.1 MDDRGHMELVWENGQVLMRVLPSTSSSCTSYTPHPKKNVSEVENNSDGYTTKRPRLGTGDSILGDFPLIDDRELAKRDKSSQDDHHPELFSELCETNLNMLLENNENNIYEKNITDAHVVPGYKDANWRPGKASEFAAEVPQLTTASNGQLYQSFLEQHKASAPLFHGLPTSKLQQVDSGSDNHSRLQNLSRILRPALPKPSHGSNATRPTSGPGSSRLQQLKSNTDEPPAGCRNLVESGQMVPTYASKVFKYFNDQQYLMASQIVPIGPIDRSAEASPPDEQSEAVLHNYATTSKRCCDRVFGSTSGSAEKKIKGKPDRGKSIDQLTATSSICSRGASNDPTSSLERQYEDTEGTAYSSDDLEEEEQVPARGSAGSKRRRATEIHNLSERKRRDRINKKMRALQDLIPNSNKVDKASMLGEAIDYLKSLQLQVQMMSMGTRLCMPLMMLPTGMQHIHAPLLAQFSPMGVGMDTRLMQMGVGCSPATFPASGMFGLPAGQMLPMSVSQAPFFPLNIGGHSTHSSVPMPAMSGVASTPLEFMRSAVFPSSKDIIHSNTSARK >Potri.011G060000.2.v4.1 pep chromosome:Pop_tri_v4:11:5018569:5023747:1 gene:Potri.011G060000.v4.1 transcript:Potri.011G060000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G060000.v4.1 MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRTVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDATSALAAPKPEPNDQSLQVGNNVDFQEGYGVNHDQQEHVFPFVDQCKDSPSGVRSMVINNMEGVAQLEYHHFDLPQNSEQNFYTGFNDMDLGEDATHLVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLDWYQDYCSSFHHAVALNEGPPGLSPILRPGGIGLKDGLLFSSLSAKVEGKDVGIPECEGAATAKSPWNAPELFDLSVLKGETIREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINNCDACALYRLELKAVDGKKSAKGKLANESVVDLQKQMGRLTAEFPSDHKRAVKGRTKVNAKAGVRNVYSGATQAAPTNEAYDYGPGPHYDYLVENLGDYYLTQFKKP >Potri.011G060000.3.v4.1 pep chromosome:Pop_tri_v4:11:5018780:5023747:1 gene:Potri.011G060000.v4.1 transcript:Potri.011G060000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G060000.v4.1 MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRTVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDATSALAAPKPEPNDQSLQVGNNVDFQEGYGVNHDQQEHVFPFVDQCKDSPSGVRSMVINNMEGVAQLEYHHFDLPQNSEQNFYTGFNDMDLGEDATHLVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLDWYQDYCSSFHHAVALNEGPPGLSPILRPGGIGLKDGLLFSSLSAKVEGKDVGIPECEGAATAKSPWNAPELFDLSVLKGETIREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINNCDACALYRLELKAVDGKKSAKGKLANESVVDLQKQMGRLTAEFPSDHKRAVKGRTKVNAKAGVRNVYSGATQAAPTNEAYDYGPGPHYDYLVENLGDYYLTQFKKP >Potri.011G060000.1.v4.1 pep chromosome:Pop_tri_v4:11:5018532:5023747:1 gene:Potri.011G060000.v4.1 transcript:Potri.011G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G060000.v4.1 MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRTVDVAVLEEQVHQMLREWKAELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDATSALAAPKPEPNDQSLQVGNNVDFQEGYGVNHDQQEHVFPFVDQCKDSPSGVRSMVINNMEGVAQLEYHHFDLPQNSEQNFYTGFNDMDLGEDATHLVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLDWYQDYCSSFHHAVALNEGPPGLSPILRPGGIGLKDGLLFSSLSAKVEGKDVGIPECEGAATAKSPWNAPELFDLSVLKGETIREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINNCDACALYRLELKAVDGKKSAKGKLANESVVDLQKQMGRLTAEFPSDHKRAVKGRTKVNAKAGVRNVYSGATQAAPTNEAYDYGPGPHYDYLVENLGDYYLTQFKKP >Potri.018G070000.1.v4.1 pep chromosome:Pop_tri_v4:18:8432806:8435894:1 gene:Potri.018G070000.v4.1 transcript:Potri.018G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070000.v4.1 MGDPATPPASELAAMAIIDGASLKHPFSDRVPVRSIVCRPDGGAGLAGNRVRAGGWVKTGREQGKGSFAFLEVNDGSCPANLQVIVDAGVEDLSTLVQTGTCVSVEGVLKVPPEGTKQKIELRVERVLHVGPTDPAKYPIPKTKLSLEFLRDHIHLRPRTNTISAVARIRNALAFATHSFFQEHGFLYIHTPIVTTSDCEGAGEMFQVTTLINEAEKLDKELIENPPPTEADIEAARLTVMQKGEIVAQLKAAKATRDDISAAVAGLKIAKENLSRLEERAKLKPGIPKKDGKIDYAQDFFARQAFLTVSGQLQVETYACAVTSVYTFGPTFRAEHSHTSRHLAEFWMVEPEIAFADLQDDMNCAEAYVKYMCQWLLDKCFDDMELMAKLYDKGCIDRLRMVSSTPFERISYTEAVRLLEEAVRGGKEFEKNVEWGIDLASEHERYLTEEIFKKPVIVYNYPKGIKAFYMRLNDDSKTVAAMDILVPKVGELIGGSQREERYEVIQQRIAEMGLPLEPYDWYLDLRRYGTVKHCGFGLGFERMILFATGIDNIRDVIPFPRYPGRADL >Potri.008G148000.3.v4.1 pep chromosome:Pop_tri_v4:8:10081199:10086623:1 gene:Potri.008G148000.v4.1 transcript:Potri.008G148000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148000.v4.1 MGNCLDSSAARVDSTQSSHTPGASRISSRTSRSSVPSSLTIPSYSGKSSSDCFPTPRSEGEILSSPNLKAFSFNELKSATRNFRPDSLLGEGGFGCVFKGWIDENTLTASKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCVEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAVRVKVAIGAARGLSFLHDAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLADWAKPYLGDKRKLFRIMDTKLGGQYPQKGAFMAANLALQCLSNEAKVRPRMSEVLATLENIESPKGAAKNSRSEQQTVQTPVRQSPMRHHHAPGTPPASASPLPSHHQSPRLR >Potri.008G148000.2.v4.1 pep chromosome:Pop_tri_v4:8:10081306:10086693:1 gene:Potri.008G148000.v4.1 transcript:Potri.008G148000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148000.v4.1 MVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCVEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAVRVKVAIGAARGLSFLHDAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLELLSGRRAVDKTKVGVEQNLADWAKPYLGDKRKLFRIMDTKLGGQYPQKGAFMAANLALQCLSNEAKVRPRMSEVLATLENIESPKGAAKNSRSEQQTVQTPVRQSPMRHHHAPGTPPASASPLPSHHQSPRLR >Potri.008G010600.5.v4.1 pep chromosome:Pop_tri_v4:8:523730:529053:1 gene:Potri.008G010600.v4.1 transcript:Potri.008G010600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010600.v4.1 MAEEYREALLKKDDYYENCPGCKVDQLKELKKGFPFRDLISIWIVVLCTALPISTLFPFLYFMIRDFGIAEREEDIGYYAGYVGSSFMIGRALTSVFWGIVADRYGRKPVILLGTIAVVIFNTLFGLSVNFWMAIITRFLLGSLNGLLGPIKAYAVEIFRAEYQALGLSTVSTAWGLGLIIGPALGGFLAQPAEKYPNLFSKESLFGRFPYFLPCFCISLFALVVTIVSCWLPETLHVHNEKKTSSNDSYDALEAATGASKGDETRTDDKGRKPSSNESLLKNWPLMSSIVVYCIFSLHDMAYTEIFSLWAESSRKLGGLGYTTEDVGEVLAISGFSLLVFQLSLYPFVERILGPIPVAQIAAALSILLLSSYPFIAMLSGLGLSILINCASIMKNVFSVSIVTGMFILQNNAVDQNQRGAANGISMTGMSLFKAVGPAGGGAILSWAQRRQNAAFLPGVQMVFFILNAVELIGLLMTFKPFLAQRQDKPRNGTA >Potri.015G139800.1.v4.1 pep chromosome:Pop_tri_v4:15:14715090:14717488:1 gene:Potri.015G139800.v4.1 transcript:Potri.015G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139800.v4.1 MALELWETLKEAITAYTGLSPATFFTVLALGLAAYYVISGFFGGSDNHQHVPRQYEEQMQPLPPPVQLGEVTEEELKQYDGTDSTKPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLTGDVSGLGPFELEALQDWEYKFMSKYEKVGTIKNTVPVTDGTSTSEPAAEATESDASKPAEDGPAATAHVETPAVAESKEE >Potri.008G031400.1.v4.1 pep chromosome:Pop_tri_v4:8:1686302:1687380:-1 gene:Potri.008G031400.v4.1 transcript:Potri.008G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G031400.v4.1 MASPRLLGTAFLVLLIVDIAFAARTLQAISGGGGGGGGKGGGGGGGSGSGHGSGYGSGSGYGAGKGYGAGGRGGGGGGGGGGGGGGGGGGSGGGSGSGYGSGSGSGYGSGGGIGAGEGGGGGGGSGGGGGGGHGGGYGSGSGYGSGSGYGSGSGSGSGGNGRGGGGGGGGGGGGGGGGGGGHGSGSGSGSGSGSGSGSGSGSGNGGGGGGGGGGGGGGGGGGSGSGSGSGSGSGSGYGSGYGGGKGKSLP >Potri.001G237500.2.v4.1 pep chromosome:Pop_tri_v4:1:25526144:25527228:1 gene:Potri.001G237500.v4.1 transcript:Potri.001G237500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237500.v4.1 MASNLSPEYAYTIVYAKDVAKSVAFYAKAFGYHVRRLDESNRWGELDSGPTTIAFTPIHQRETDDRSGAVQTPHSDRERPPMERAVENGAIPVSKPEDKEWGQRVGYVRDIDGIVVRMGSHVVKPTKQD >Potri.001G237500.1.v4.1 pep chromosome:Pop_tri_v4:1:25526144:25527228:1 gene:Potri.001G237500.v4.1 transcript:Potri.001G237500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237500.v4.1 MASNLSPEYAYTIVYAKDVAKSVAFYAKAFGYHVRRLDESNRWGELDSGPTTIAFTPIHQRETDDRSGAVQTPHSDRERPPMEVCFSYTDVDAAYKRAVENGAIPVSKPEDKEWGQRVGYVRDIDGIVVRMGSHVVKPTKQD >Potri.001G060750.1.v4.1 pep chromosome:Pop_tri_v4:1:4644837:4645871:1 gene:Potri.001G060750.v4.1 transcript:Potri.001G060750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060750.v4.1 MIVMYRLLNVFLLNPYDGIYLPVRNSKEQQPVTQEVCTSGYSRGWWTGGMAVAEAMVYGGCAMAEMVVLVKTTMTGEGGGLVE >Potri.001G060750.2.v4.1 pep chromosome:Pop_tri_v4:1:4644836:4645872:1 gene:Potri.001G060750.v4.1 transcript:Potri.001G060750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060750.v4.1 MIVMYRLLNVFLLNPYDGIYLPVRNSKEQQPVTQEVCTSGYSRGWWTGGMAVAEAMVYGFVASGLWVCW >Potri.015G098950.1.v4.1 pep chromosome:Pop_tri_v4:15:11918311:11918658:-1 gene:Potri.015G098950.v4.1 transcript:Potri.015G098950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098950.v4.1 MKKEGVKLIKTAYLSQLYLALHGKQAVTSFFTVTKISHFQHIIIINPLWHFGTGVHTVNEWMNGWESSNQVFF >Potri.012G063901.1.v4.1 pep chromosome:Pop_tri_v4:12:7462673:7464638:-1 gene:Potri.012G063901.v4.1 transcript:Potri.012G063901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063901.v4.1 MDAMRGDTDGEQTDKESTSTILTTPQTLNSTEKETVNQLAIKARDSLEKKKKGSKASSKKHKKSGSSPGGTSRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVSQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHSTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMRKLDRVLVNEKWNLKFPLSEARFLPSGMSDHSPMVVKVIGNDQNKKKPFRFFDMWMDHDEFMPLVKKVWDQNSRGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVRDAKNEMDKAQQALHTALENPILCMRERDVVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNRNKLLSLTREDGEVVEGHEAVKSEVIAYFHRVLGVDQMPRVLNEEVMESAINLKLSSTQQHVLAHDVTREEIKHAVFSLKNNKAPGPDGFNAGFFKRMWHIVGEDVINAVRSFFQTRRMLKEMNATSISLIPKVANPTRLTDFRPISCCNTVYKCIAKILAGRIKVVLPSLVGPSLVVLPSLDCFYLRTENQ >Potri.013G029500.1.v4.1 pep chromosome:Pop_tri_v4:13:1910331:1915326:-1 gene:Potri.013G029500.v4.1 transcript:Potri.013G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029500.v4.1 MECNKDEAIRAKDIADRKMQNGDFEGARKIALKARQLYPELDNISQMLAVCEVHCSAQNKLNGSDMDWYGILQIERFSDEAVIKKQYRKFALTLHPDKNKFAGAEAAFKLIGEANRVLTDPAKRSLYDMKCRGSLRPAAPKPTSHKTNWNSISKKQHDANKFSSAPQRPTFWTCCSSCNMRYQYFKELQNKTLRCQNCQNSFIAVNLYIHGVPCGSPWSQFPNQNGVPNQGPSKVAPQSNSGNPSDASFPDRFRPVDIGGSSKLNEVKSGNNMKNCGGSKPSQKANGYVNVGVQTGKGVPTKPKDLGSSKVASRKRGKQSQVESSEGFETASSDEDVVVQENYSTISGQNSGSCGGNQPRRSSRQKQNVSYKEKIIDDDDFVSSSPKRPRVSRSSSATKEEMMHNKEHLSAAAAAAVDRNKKEAKQKASSTLEESLSNRERRTEVYEMKGEEPSMVEKADAQSDNKDGMPKVDDTSNVFSNEPLFSETLEIPDPDFSNFENDKEESCFAVNQVWAIYDTTDGMPRFYARVKKVLSPGFKLQITWLEASSDVAHEKDWSDKDLPVACGKFERGGSQRTADRAMFSHQVCCINGSSRGSYLIYPKKGEIWALFKGWEMKWSSEPEKHRPPYMFEFVEVLSDFDENFGIGVAYLHKVKGFVSIFQRAAHDGVIQFCIPPTELYKFSHRIPSFRMSGKEGEGVPAGSFELDPASLPSNLDDLGDPIDTKMEKENVDSQSTNSWSQSPKGELKSTNKKICTPKKNKTGPERVSSIFGKSSIDGNVAVAGLFANNKDSRKVINTGNLAQSGRIDISSPAKERIETPRKQDKSELAADALTPRRSPRDLSKRNSQVSANQDTEENTAANNDISNGKPSLLSKPDDKMFVKDGGSIGLILSPISPGRKVVELEVQCYNFEREKSEDKFQLDQIWALYSNEDGLPRNYGQIKVIDSTPNFRLHVAMLEVCWPPKDATRPVCCGTFKVKNGKNKVLSASKFSHLLKAQSIGNSRYEIHPRKGEIWALCKTWNSSDGESDIVEVLEDNECSVKVVVLIRAKLHESANRNKHFYWAPRIQRSITRVLDIPRGEFSRFSHQCSAFKHTGKKDRCERSYWEIDPSSIITNPVVLVD >Potri.013G029500.2.v4.1 pep chromosome:Pop_tri_v4:13:1910330:1912755:-1 gene:Potri.013G029500.v4.1 transcript:Potri.013G029500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029500.v4.1 MMHNKEHLSAAAAAAVDRNKKEAKQKASSTLEESLSNRERRTEVYEMKGEEPSMVEKADAQSDNKDGMPKVDDTSNVFSNEPLFSETLEIPDPDFSNFENDKEESCFAVNQVWAIYDTTDGMPRFYARVKKVLSPGFKLQITWLEASSDVAHEKDWSDKDLPVACGKFERGGSQRTADRAMFSHQVCCINGSSRGSYLIYPKKGEIWALFKGWEMKWSSEPEKHRPPYMFEFVEVLSDFDENFGIGVAYLHKVKGFVSIFQRAAHDGVIQFCIPPTELYKFSHRIPSFRMSGKEGEGVPAGSFELDPASLPSNLDDLGDPIDTKMEKENVDSQSTNSWSQSPKGELKSTNKKICTPKKNKTGPERVSSIFGKSSIDGNVAVAGLFANNKDSRKVINTGNLAQSGRIDISSPAKERIETPRKQDKSELAADALTPRRSPRDLSKRNSQVSANQDTEENTAANNDISNGKPSLLSKPDDKMFVKDGGSIGLILSPISPGRKVVELEVQCYNFEREKSEDKFQLDQIWALYSNEDGLPRNYGQIKVIDSTPNFRLHVAMLEVCWPPKDATRPVCCGTFKVKNGKNKVLSASKFSHLLKAQSIGNSRYEIHPRKGEIWALCKTWNSSDGESDIVEVLEDNECSVKVVVLIRAKLHESANRNKHFYWAPRIQRSITRVLDIPRGEFSRFSHQCSAFKHTGKKDRCERSYWEIDPSSIITNPVVLVD >Potri.011G164100.2.v4.1 pep chromosome:Pop_tri_v4:11:18891488:18896961:1 gene:Potri.011G164100.v4.1 transcript:Potri.011G164100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164100.v4.1 MLMVRPVMLITDESCKHWYQELQSNALPSVKWHVFSGSPSSGFVKTSNVLTTETLRKHVIGTKQLDYSWAPEGAVIICFTSGTTGRPKGVIVSHSAMIVQSLAKVAAVGYSEDDVYLHTAPLCHIGGLSSAITMLMVGGCHVLIPKFEASLAIEAIKQHCVTSLITVPAMMADLISLTRLKETWKGRQYVKKLLNGGGSLSAELMKDATELFPRAKLLSAYGMTETCSSLTFMTLHDPTLQTPAQTLQTVDKTKSSSAHQPHGVCVGKPPPHVELKISADEPSTIGRILTRGPHLMLRYWDQNPMKATESTNDFWLDTGDIGSIDDCGNVWLVGRQNAQIKSGGENIYPEEVEAMLLQHPGVIATVVVGVPEARLTEMVVACIKLRQSWQWTNNNCKQSAENNLTLCREVLRDYCREKKLTGFKVPKLFILWRKPFPLTTTGKIRRDQVRREVMSHLQFFPSNL >Potri.011G164100.3.v4.1 pep chromosome:Pop_tri_v4:11:18891580:18897315:1 gene:Potri.011G164100.v4.1 transcript:Potri.011G164100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164100.v4.1 MANYSQAHICQCLTRLSTLRSTSVVTISGNRQKTGHQFVGDVLSLAHGLLQLGLGNGDIVAICGFNSDWYLEWLLAVAFVGGIVAPLNYRWSFEEAKSAMLMVRPVMLITDESCKHWYQELQSNALPSVKWHVFSGSPSSGFVKTSNVLTTETLRKHVIGTKQLDYSWAPEGAVIICFTSGTTGRPKGVIVSHSAMIVQSLAKVAAVGYSEDDVYLHTAPLCHIGGLSSAITMLMVGGCHVLIPKFEASLAIEAIKQHCVTSLITVPAMMADLISLTRLKETWKGRQYVKKLLNGGGSLSAELMKDATELFPRAKLLSAYGMTETCSSLTFMTLHDPTLQTPAQTLQTVDKTKSSSAHQPHGVCVGKPPPHVELKISADEPSTIGRILTRGPHLMLRYWDQNPMKATESTNDFWLDTGDIGSIDDCGNVWLVGRQNAQIKSGGENIYPEEVEAMLLQHPGVIATVVVGVPEARLTEMVVACIKLRQSWQWTNNNCKQSAENNLTLCREVLRDYCREKKLTGFKVPKLFILWRKPFPLTTTGKIRRDQVRREVMSHLQFFPSNL >Potri.008G101200.2.v4.1 pep chromosome:Pop_tri_v4:8:6350838:6357810:-1 gene:Potri.008G101200.v4.1 transcript:Potri.008G101200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101200.v4.1 MVKVRMNTADVAAEVKCLRRLIGMRCSNVYDLSPKTYVFKLMNSSGVTESGESEKVLLLMESGVRLHTTAYVRDKSNTPSGFTLKLRKHIRARRLEDVRQLGYDRIVLFQFGLGANAHYVILELYSQGNIILADSEFMVLTLLRSHRDDDKGVAIMSRHRYPTEICRVFERSTAEKLQKALTSLKELENSNPVKVDADGGDSNVSDKPMKVDADGGDSNVSDKPMKEKQGKNKGGKSSVPSKNTNEGNRVKQATLKTVLGEVLGYGPALSEHIILDAGLVPNTKFSKDNKLDDETIQVLVKAVAKFENWLQDIISGDKVPEGYILMQNKNLGKDCPPSDSGSSVQIYDEFCPLLLNQFRMREHVKFDAFDAALDEFYSKIESQKSEHQQKTKEGSAIQKLNKIRLDQENRVEMLRKEVDHSVKMAELIEYNLEDVNSAILAVRVALAKGMGWEDLARMVKDEKKAGNPVAGLIDKLHFEKNCMTLLLSNNLDEMDDDEKTFPVDKVEVDLALSAHANARRWYELKKKQESKQEKTVTAHEKAFKAAEKKTRLQLSQEKSVATISHMRKVHWFEKFNWFISSENYLVISGRDAQQNEMIVKRYVSKGDLYVHADLHGASSTVIKNHRPEQPVPPLTLNQAGCFTVCHSQAWDSKIVTSAWWVYPHQVSKTAPTGEYLTVGSFMIRGKKNFLPPHPLIMGFGLLFRLDESSLGSHLNERRVRGEEDGVNDVEESQPLKEISDSESEEEEVAGKELVLESESHSNDLTVSNTILHESSVQETSLNGVNIENLSDVVGNDVAPVTPQLEDLIDRALGLGPTAVSSKNYGVEPLQVDMTEEHHEEARDKPYISKAERRKLKKGQRSSATDAEVEREKEELKDNVVSVDQPEKHVQNNKQGGGKIIRGQRSKLKKMKEKYSNQDEEERSIRMALLASAGNTRKNDGEIQNGNEATDKGKISITGTEDALKVCYKCKKAGHLSRDCPEHPDDSLNSRADGAVDKSHVSLVDSTSEVDRVAMEEEDIHEIGEQEKERLNDLDYLTGNPLPIDILSYAVPVCGPYSAVQSYKYRVKVIPGTVKKGKAARTAMNLFSHMPDATSREKELMKACTDPELVAAIVGNVKITAAGLAQLKQKQKKVKKNNKGES >Potri.003G037800.1.v4.1 pep chromosome:Pop_tri_v4:3:4115828:4119014:1 gene:Potri.003G037800.v4.1 transcript:Potri.003G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL6 MDRSSSSLEISERICAVFIPLIGIIQAVVLSFTACFDRHLPPKKLQYTIDDLRRIASNSLFTVNEVEALLELFKKLSSSVIDDGLIHKEDLKLALLKTPAGDNLILDRLFALFDEKKNGVIEFEEFAHALSVFHPRAPMEAKIDFAFRLYDLRQTGFIEREEVRQMLNAILLESGLQISEESLEVIIDKTFADADADKDGKINKVEWKAFATQHPNLLKNMTLPYLRDITTMFPSFIFNTEVED >Potri.014G130600.1.v4.1 pep chromosome:Pop_tri_v4:14:8739137:8743559:1 gene:Potri.014G130600.v4.1 transcript:Potri.014G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130600.v4.1 MEGTTEMEIEEPKSKKFGLKNSIQTNFGNDYVFQIVPKDDWSSVAVSLSTKAVKLYSPVTGQFQGECKGHSDTINQIAFSVSSSPHLLHSCSSDGTIRAWDTRNFQQVSCIDSGSSQEIFSFSFGGSNDNLLAAGAKSQVLFWDWRNGKQVACLEESHIEDVTQVHFVPDNRNKLLSASVDGLMCIFNTDGDINDDDDLESVINVGTSIGKVGFFGETYQKLWCLTHIESLSIWDWKDSRNEANFLEARSLASDSWTLDHVDYFVDCHYPGEGDSLWLIGGTNAGALGYFPVNHKGIGSPEAILGGGHTGVVRSVLPMSSMKGGPAQSRGIFGWTGGEDGRLCCWLSDDSTGINRSWISSALVKKSPKARKKKRRTPY >Potri.019G021300.1.v4.1 pep chromosome:Pop_tri_v4:19:3300971:3302065:1 gene:Potri.019G021300.v4.1 transcript:Potri.019G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021300.v4.1 MTFNAHPFQLLEINVISGQDLAPVSKSMRTYAVAWVHPGRKLSTKVDQNGHINPQWNEKFVFRVDDTFINAENSSIMIEIYAAAWLRDVQIGSVRVLISNLFPSNNNNNKMRFVALQVRRPSGRPQGILNMGVQVLDSTMRSMPLYTELSASAVGFNDLINAKTNGKDLEEKGAKLRRTQSDRTDHTTTDESGLKEGGVRSLGGSLINSSVAKPSVKDNGNGNGSMVNGSLCSDVGPSASVVAAAIAKGLIKTPANAGQQDTDGAGSSILEDWTENDSAEGLRTKLERWRTELPPVYDNDLRKMQSRSRNKKHRRRSEGGRLFSCFGNAFGCEISITCGGRNNKKRCGNHKVCHLSSVDSQSYL >Potri.002G082300.3.v4.1 pep chromosome:Pop_tri_v4:2:5857279:5862034:1 gene:Potri.002G082300.v4.1 transcript:Potri.002G082300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082300.v4.1 MASSIFNAPCTVPAVKGKNYFGLKPAVNNDLRFNVGKKTSIIGCPKRVFTVRASEKKDGPIKKLGLSDAECEAAVVAGNVPEAPPVPPRPAAPAGTPVVPSLPLSRRPRRNRKSPVMRASFQETSISPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSSTGDEAYNDNGLVPRAIQLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRKALDAEGFQHVSIMSYTAKYASSFYGPFREALESKPRFGDKKTYQMNPANYREALVEAHEDESEGADILLVKPGLPYLDIIRLLRDKSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >Potri.002G082300.1.v4.1 pep chromosome:Pop_tri_v4:2:5857139:5862034:1 gene:Potri.002G082300.v4.1 transcript:Potri.002G082300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082300.v4.1 MASSIFNAPCTVPAVKGKNYFGLKPAVNNDLRFNVGKKTSIIGCPKRVFTVRASEKKDGPIKKLGLSDAECEAAVVAGNVPEAPPVPPRPAAPAGTPVVPSLPLSRRPRRNRKSPVMRASFQETSISPANFVYPLFIHEGEEDTPIGAMPGCYRLGWRHGLVEEVAKARDVGVNSIVLFPKVPDALKSSTGDEAYNDNGLVPRAIQLLKDKYPDLVIYTDVALDPYSSDGHDGIVREDGVIMNDETVYQLCKQAVSQARAGADVVSPSDMMDGRVGAIRKALDAEGFQHVSIMSYTAKYASSFYGPFREALESKPRFGDKKTYQMNPANYREALVEAHEDESEGADILLVKPGLPYLDIIRLLRDKSPLPIAAYQVSGEYSMIKAGGVLKMIDEERVMMESLMCLRRAGADIILTYFALQAARCLCGEKR >Potri.001G467100.3.v4.1 pep chromosome:Pop_tri_v4:1:49197879:49200104:1 gene:Potri.001G467100.v4.1 transcript:Potri.001G467100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467100.v4.1 MMYALPFQTLLHFPCASKFTRPKDAMPMDLHCKFSSLEIKRLSRRMVLKFCGFNTLLLSINPVLAAPMPEMKEPEVIRTLKLASGVRFQEIIEGEGPEAQEGDTVEVNYVCRRSNGYFVHSTVDQFSGESSPVILPLDENQIIKGLKEVLIGMKVGGKRRALIPPSVGYVNENLKPIPDEVILLIMCPRLHLVSVS >Potri.001G467100.2.v4.1 pep chromosome:Pop_tri_v4:1:49197676:49200424:1 gene:Potri.001G467100.v4.1 transcript:Potri.001G467100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467100.v4.1 MMYALPFQTLLHFPCASKFTRPKDAMPMDLHCKFSSLEIKRLSRRMVLKFCGFNTLLLSINPVLAAPMPEMKEPEVIRTLKLASGVRFQEIIEGEGPEAQEGDTVEVNYVCRRSNGYFVHSTVDQFSGESSPVILPLDENQIIKGLKEVLIGMKVGGKRRALIPPSVGYVNENLKPIPDEFGPRRSLFSHANEPLIFEVQLLKVL >Potri.003G056850.1.v4.1 pep chromosome:Pop_tri_v4:3:8352310:8352896:-1 gene:Potri.003G056850.v4.1 transcript:Potri.003G056850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056850.v4.1 MCPPNVAHVNLAYASLPCWSSCRKAEVSDRNEMLWNSGDLLHQGKMLRCSEDNRLRSTRILIM >Potri.017G066300.3.v4.1 pep chromosome:Pop_tri_v4:17:7131366:7139969:-1 gene:Potri.017G066300.v4.1 transcript:Potri.017G066300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066300.v4.1 MLTNLFELAVIAVSKRFKDQVLMLEVPMRGVAPLLEAVKKLRSSSEYLTALHPDFLQLCLLAKCYKTGLSILEDDIFEVDQPRDFFLYCYYGGMICIGQKHFQKALELLHNVVTAPMSSINAIAVEAFKKYILVSLIQNRQFSTSLPKYTSSAAQRNLKTLCQPYMELASSYSSGKVSELETYIQTNREKFESDNNHGLVKQVVSSMYKRNIQRLTQTYLTLSLQDIAKIVQLSSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKNCEMIEHIDSSIQRIMTLSKKLTAVDELLSCDPLYLAKAGRERQRFDFDDFDPVPQKFNI >Potri.017G066300.2.v4.1 pep chromosome:Pop_tri_v4:17:7131415:7139969:-1 gene:Potri.017G066300.v4.1 transcript:Potri.017G066300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066300.v4.1 MATAESLVAQIQGLSSNAVDLGLLSIHLKKADEVLHNESTRLLAFLEQLDPTLHSLGYLYFLEACTSGPVTNEQARRLVLILSRFLTCCVADQIRLASEKFIAVSKRFKDQVLMLEVPMRGVAPLLEAVKKLRSSSEYLTALHPDFLQLCLLAKCYKTGLSILEDDIFEVDQPRDFFLYCYYGGMICIGQKHFQKALELLHNVVTAPMSSINAIAVEAFKKYILVSLIQNRQFSTSLPKYTSSAAQRNLKTLCQPYMELASSYSSGKVSELETYIQTNREKFESDNNHGLVKQVVSSMYKRNIQRLTQTYLTLSLQDIAKIVQLSSPKEAEMHVLQMIQDGEIYATINQKDGMVRFLEDPEQYKNCEMIEHIDSSIQRIMTLSKKLTAVDELLSCDPLYLAKAGRERQRFDFDDFDPVPQKFNI >Potri.001G455000.1.v4.1 pep chromosome:Pop_tri_v4:1:48142761:48147778:1 gene:Potri.001G455000.v4.1 transcript:Potri.001G455000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455000.v4.1 MPESEAGTPAVSAPNTPGTPGGPLFTGLRVDSLSYSDRKIMPKCKCLPVTAPTWGQPHTCFLDFPAPDVSLTRKLGAEFVGTFILIFAATAGPIVNQKYNNAETLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWVQVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVSTGQAFALEFLITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSSGGSMNPVRSLGPAVAAGTYKDIWIYLVAPTLGALVGAATYTAVKLREEEADPPRQVRSFRR >Potri.004G077500.3.v4.1 pep chromosome:Pop_tri_v4:4:6404508:6408827:-1 gene:Potri.004G077500.v4.1 transcript:Potri.004G077500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077500.v4.1 MKCRTLTCAPTNIAVVEVAARVVSTVADLVEYETYGMGDIILFGNWERMKVDGDQNDLLHVFLDHRADILEKSFDPSTGWKHILALLISLLEDSEAQYHLYLQDNMGKEGLLTCEQFVWKRFDFSGKQLKFCIVNLYTHLPTTLISLQVMRIMTRALDLMTSLETLLLSLSAADEGLKQILGENEDEERKLHNRIKLINEKRECLNTLRLLSLKFQVPEFADKNAIEKFCLSNACLIFCTVSSSARLHSIRMAPLRCLVIDEAAQLKECESTIPLQLFGLHHAILIGDERQLPAIVNSEISGKAGFGRSLFERLVKLGCKSHLLNIQYRMHPSISLFPNTEFYGSQVLDAPNVKETGYRRRFLQGDMFESYSFINLAHGKEEFVEQRSFKNTVEAAAAADIVGRLFKDINGTGQKVSIGIISPYQAQVHAIQEKIGKFISDSDSAFSVSVGTVDGFQGGEEDLIIISTVRSNENGSVGFVSNPQRANVALTRARFCLWILGNEATLVRSGSIWKKIVNDAKHRQCFYNAEEDESLDQAITESLIEHGRLDVLLRTHSPLFRNARWMVFFSDDFRRSVARVKNVRICKEVLSLLAKLSNGWRQRQSRKKRSLMVPSGISSPLIEQYNVSGQLNMVWTVDILQENSFWIHVLKVWDILPSSDIPKLAMSLDTLFWNYTEEQMNRCLYKCMEGNLVVPMRWTVDSCSDRQGSCGDADAVQLPKSLASLCLEDEPGTTGKAARKQWRLKRN >Potri.004G077500.2.v4.1 pep chromosome:Pop_tri_v4:4:6404508:6408827:-1 gene:Potri.004G077500.v4.1 transcript:Potri.004G077500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077500.v4.1 MSTAHYMKAFITPLHVETHADLLSSTESLAGAPTYRILRVRKSKDYKPPKDLFYEISMEETRGGYVPWVGDLIALTNVKLKCIDDLRKTQQSYHVAFVHAVKRGNRLTASILSSKPIVDEEGLKNGTLFAVRLINLTTNLRIWRSLHLELEGRNMNVIEKVLQNNFNDDGDCTICSSRKKSDAASACIRDTLQSSNLNSSQEAAVLSCIHTARCWHQYTVKLVQGPPGTGKTKTASCLLHALLRMKCRTLTCAPTNIAVVEVAARVVSTVADLVEYETYGMGDIILFGNWERMKDNMGKEGLLTCEQFVWKRFDFSGKQLKFCIVNLYTHLPTTLISLQVMRIMTRALDLMTSLETLLLSLSAADEGLKQILGENEDEERKLHNRIKLINEKRECLNTLRLLSLKFQVPEFADKNAIEKFCLSNACLIFCTVSSSARLHSIRMAPLRCLVIDEAAQLKECESTIPLQLFGLHHAILIGDERQLPAIVNSEISGKAGFGRSLFERLVKLGCKSHLLNIQYRMHPSISLFPNTEFYGSQVLDAPNVKETGYRRRFLQGDMFESYSFINLAHGKEEFVEQRSFKNTVEAAAAADIVGRLFKDINGTGQKVSIGIISPYQAQVHAIQEKIGKFISDSDSAFSVSVGTVDGFQGGEEDLIIISTVRSNENGSVGFVSNPQRANVALTRARFCLWILGNEATLVRSGSIWKKIVNDAKHRQCFYNAEEDESLDQAITESLIEHGRLDVLLRTHSPLFRNARWMVFFSDDFRRSVARVKNVRICKEVLSLLAKLSNGWRQRQSRKKRSLMVPSGISSPLIEQYNVSGQLNMVWTVDILQENSFWIHVLKVWDILPSSDIPKLAMSLDTLFWNYTEEQMNRCLYKCMEGNLVVPMRWTVDSCSDRQGSCGDADAVQLPKSLASLCLEDEPGTTGKAARKQWRLKRN >Potri.004G077500.6.v4.1 pep chromosome:Pop_tri_v4:4:6404508:6413991:-1 gene:Potri.004G077500.v4.1 transcript:Potri.004G077500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077500.v4.1 MDARTDHVKREKVKGRGLLDSVFSWSIQDVLNEDLYKDQKRKTKSHSKKFITNSFMSTAHYMKAFITPLHVETHADLLSSTESLAGAPTYRILRVRKSKDYKPPKDLFYEISMEETRGGYVPWVGDLIALTNVKLKCIDDLRKTQQSYHVAFVHAVKRGNRLTASILSSKPIVDEEGLKNGTLFAVRLINLTTNLRIWRSLHLELEGRNMNVIEKVLQNNFNDDGDCTICSSRKKSDAASACIRDTLQSSNLNSSQEAAVLSCIHTARCWHQYTVKLVQGPPGTGKTKTASCLLHALLRMKCRTLTCAPTNIAVVEVAARVVSTVADLVEYETYGMGDIILFGNWERMKVDGDQNDLLHVFLDHRADILEKSFDPSTGWKHILALLISLLEDSEAQYHLYLQDNMGKEGLLTCEQFVWKRFDFSGKQLKFCIVNLYTHLPTTLISLQVMRIMTRALDLMTSLETLLLSLSAADEGLKQILGENEDEERKLHNRIKLINEKRECLNTLRLLSLKFQVPEFADKNAIEKFCLSNACLIFCTVSSSARLHSIRMAPLRCLVIDEAAQLKECESTIPLQLFGLHHAILIGDERQLPAIVNSEISGKAGFGRSLFERLVKLGCKSHLLNIQYRMHPSISLFPNTEFYGSQVLDAPNVKETGYRRRFLQGDMFESYSFINLAHGKEEFVEQRSFKNTVEAAAAADIVGRLFKDINGTGQKVSIGIISPYQAQVHAIQEKIGKFISDSDSAFSVSVGTVDGFQGGEEDLIIISTVRSNENGSVGFVSNPQRANVALTRARFCLWILGNEATLVRSGSIWKKIVNDAKHRQCFYNAEEDESLDQAITESLIEHGRLDVLLRTHSPLFRNARWMVFFSDDFRRSVARVKNVRICKEVLSLLAKLSNGWRQRQSRKKRSLMVPSGISSPLIEQYNVSGQLNMVWTVDILQENSFWIHVLKVWDILPSSDIPKLAMSLDTLFWNYTEEQMNRCLYKCMEGNLVVPMRWTVDSCSDRQGSCGDADAVQLPKSLASLCLEDEPGTTGKAARKQWRLKRN >Potri.004G077500.1.v4.1 pep chromosome:Pop_tri_v4:4:6404508:6408827:-1 gene:Potri.004G077500.v4.1 transcript:Potri.004G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077500.v4.1 MSTAHYMKAFITPLHVETHADLLSSTESLAGAPTYRILRVRKSKDYKPPKDLFYEISMEETRGGYVPWVGDLIALTNVKLKCIDDLRKTQQSYHVAFVHAVKRGNRLTASILSSKPIVDEEGLKNGTLFAVRLINLTTNLRIWRSLHLELEGRNMNVIEKVLQNNFNDDGDCTICSSRKKSDAASACIRDTLQSSNLNSSQEAAVLSCIHTARCWHQYTVKLVQGPPGTGKTKTASCLLHALLRMKCRTLTCAPTNIAVVEVAARVVSTVADLVEYETYGMGDIILFGNWERMKVDGDQNDLLHVFLDHRADILEKSFDPSTGWKHILALLISLLEDSEAQYHLYLQDNMGKEGLLTCEQFVWKRFDFSGKQLKFCIVNLYTHLPTTLISLQVMRIMTRALDLMTSLETLLLSLSAADEGLKQILGENEDEERKLHNRIKLINEKRECLNTLRLLSLKFQVPEFADKNAIEKFCLSNACLIFCTVSSSARLHSIRMAPLRCLVIDEAAQLKECESTIPLQLFGLHHAILIGDERQLPAIVNSEISGKAGFGRSLFERLVKLGCKSHLLNIQYRMHPSISLFPNTEFYGSQVLDAPNVKETGYRRRFLQGDMFESYSFINLAHGKEEFVEQRSFKNTVEAAAAADIVGRLFKDINGTGQKVSIGIISPYQAQVHAIQEKIGKFISDSDSAFSVSVGTVDGFQGGEEDLIIISTVRSNENGSVGFVSNPQRANVALTRARFCLWILGNEATLVRSGSIWKKIVNDAKHRQCFYNAEEDESLDQAITESLIEHGRLDVLLRTHSPLFRNARWMVFFSDDFRRSVARVKNVRICKEVLSLLAKLSNGWRQRQSRKKRSLMVPSGISSPLIEQYNVWDILPSSDIPKLAMSLDTLFWNYTEEQMNRCLYKCMEGNLVVPMRWTVDSCSDRQGSCGDADAVQLPKSLASLCLEDEPGTTGKAARKQWRLKRN >Potri.004G077500.5.v4.1 pep chromosome:Pop_tri_v4:4:6404508:6414110:-1 gene:Potri.004G077500.v4.1 transcript:Potri.004G077500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077500.v4.1 MDARTDHVKREKVKGRGLLDSVFSWSIQDVLNEDLYKDQKRKTKSHSKKFITNSFMSTAHYMKAFITPLHVETHADLLSSTESLAGAPTYRILRVRKSKDYKPPKDLFYEISMEETRGGYVPWVGDLIALTNVKLKCIDDLRKTQQSYHVAFVHAVKRGNRLTASILSSKPIVDEEGLKNGTLFAVRLINLTTNLRIWRSLHLELEGRNMNVIEKVLQNNFNDDGDCTICSSRKKSDAASACIRDTLQSSNLNSSQEAAVLSCIHTARCWHQYTVKLVQGPPGTGKTKTASCLLHALLRMKCRTLTCAPTNIAVVEVAARVVSTVADLVEYETYGMGDIILFGNWERMKVDGDQNDLLHVFLDHRADILEKSFDPSTGWKHILALLISLLEDSEAQYHLYLQDNMGKEGLLTCEQFVWKRFDFSGKQLKFCIVNLYTHLPTTLISLQVMRIMTRALDLMTSLETLLLSLSAADEGLKQILGENEDEERKLHNRIKLINEKRECLNTLRLLSLKFQVPEFADKNAIEKFCLSNACLIFCTVSSSARLHSIRMAPLRCLVIDEAAQLKECESTIPLQLFGLHHAILIGDERQLPAIVNSEISGKAGFGRSLFERLVKLGCKSHLLNIQYRMHPSISLFPNTEFYGSQVLDAPNVKETGYRRRFLQGDMFESYSFINLAHGKEEFVEQRSFKNTVEAAAAADIVGRLFKDINGTGQKVSIGIISPYQAQVHAIQEKIGKFISDSDSAFSVSVGTVDGFQGGEEDLIIISTVRSNENGSVGFVSNPQRANVALTRARFCLWILGNEATLVRSGSIWKKIVNDAKHRQCFYNAEEDESLDQAITESLIEHGRLDVLLRTHSPLFRNARWMVFFSDDFRRSVARVKNVRICKEVLSLLAKLSNGWRQRQSRKKRSLMVPSGISSPLIEQYNVSGQLNMVWTVDILQENSFWIHVLKVWDILPSSDIPKLAMSLDTLFWNYTEEQMNRCLYKCMEGNLVVPMRWTVDSCSDRQGSCGDADAVQLPKSLASLCLEDEPGTTGKAARKQWRLKRN >Potri.006G199100.1.v4.1 pep chromosome:Pop_tri_v4:6:20669663:20672733:1 gene:Potri.006G199100.v4.1 transcript:Potri.006G199100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199100.v4.1 MVAKLPEEEHPKQAFGWAARDQSGVLSPFKFSRRATAEKDVAFKVLYCGICHSDLHMAKNEWGVTQYPLVPGHEIVGIVTEVGSKVEKFKVGDKVGVGCMVGSCHSCDSCHDNLENYCPKMILTYGAKNYDGTITYGGYSDLMVAEEHFIVRIPDNLSLDAGAPLLCAGITVYSPLRYFGLDKPGMHVGVVGLGGLGHVAVKFAKAMGVKVTVISTSPNKKQEAVENLGADSFLVSSDQGQMQSAMGTLDGIIDTVSAVHPMLPLFTLLKSHGKLVLVGAPEKPLELPVFPLIGGRKMVGGSCIGGMKETQEMIDFAAKHNITADVEVIPMDYVNTAMERMLKGDVRYRFVIDVAKPLNP >Potri.016G037200.1.v4.1 pep chromosome:Pop_tri_v4:16:2266090:2266823:-1 gene:Potri.016G037200.v4.1 transcript:Potri.016G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037200.v4.1 MLSFFSLRSVVTMVVLTVSLLVLPLVLPPLSPPPLLFLFVPVFIMSLLLFLALSSSTAEPHIAVASV >Potri.001G248600.5.v4.1 pep chromosome:Pop_tri_v4:1:26455313:26459600:-1 gene:Potri.001G248600.v4.1 transcript:Potri.001G248600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248600.v4.1 MAEEDVYTKDGTVDYRGNPANKKETGTWRACPYIIGNEFCERLAYYGMSSNLILYFKHRLNQQSATATRNNLNWGGTCYLTPLIGAFFADAYLGRYWTIACFSIIYVMGMTLLTISATVPGLRPKCYAEDDCNPTDAQSALAFVSLYLIALGTGGIKPCVSSYGADQFDDADEVEKKHKSSFFNWFYLSINVGALIAGSVLVWVQDNVSWGWGFGIPAIAMAIAVASFFSGTRLFRYQKPGGSPLTRICQVLLASFRKKKVEVPADKALLYETADAESNIKGSRKLDHTEEFSFLDKAAVETEKDDIKGPVDPWRLCTVTQVEELKSIIRLLPIWATGIIFTAVYSQMGNLFVLQGEQMDKYVGNSNFQIPSASLSIFDTLSVIFWVPVYDRIIVPVARKYTGHKNGLTQLQRMGIGLFISIFSMVSAAILELKRLEMVRRHNSYELKTVPLSIFWQAPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSMCSALSLTTVALGSYLSSLLVTIVTSISTKNGKPGWIPENLNYGHIDYFFWLLGVLSVLNLCVFLLISNWYTYKKPVGTLR >Potri.001G248600.1.v4.1 pep chromosome:Pop_tri_v4:1:26455314:26459599:-1 gene:Potri.001G248600.v4.1 transcript:Potri.001G248600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248600.v4.1 MAEEDVYTKDGTVDYRGNPANKKETGTWRACPYIIGNEFCERLAYYGMSSNLILYFKHRLNQQSATATRNNLNWGGTCYLTPLIGAFFADAYLGRYWTIACFSIIYVMGMTLLTISATVPGLRPKCYAEDDCNPTDAQSALAFVSLYLIALGTGGIKPCVSSYGADQFDDADEVEKKHKSSFFNWFYLSINVGALIAGSVLVWVQDNVSWGWGFGIPAIAMAIAVASFFSGTRLFRYQKPGGSPLTRICQVLLASFRKKKVEVPADKALLYETADAESNIKGSRKLDHTEEFSFLDKAAVETEKDDIKGPVDPWRLCTVTQVEELKSIIRLLPIWATGIIFTAVYSQMGNLFVLQGEQMDKYVGNSNFQIPSASLSIFDTLSVIFWVPVYDRIIVPVARKYTGHKNGLTQLQRMGIGLFISIFSMVSAAILELKRLEMVRRHNSYELKTVPLSIFWQAPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSMCSALSLTTVALGSYLSSLLVTIVTSISTKNGKPGWIPENLNYGHIDYFFWLLGVLSVLNLCVFLLISNWYTYKKPVGTLR >Potri.001G248600.4.v4.1 pep chromosome:Pop_tri_v4:1:26455314:26459344:-1 gene:Potri.001G248600.v4.1 transcript:Potri.001G248600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248600.v4.1 MAEEDVYTKDGTVDYRGNPANKKETGTWRACPYIIGNEFCERLAYYGMSSNLILYFKHRLNQQSATATRNNLNWGGTCYLTPLIGAFFADAYLGRYWTIACFSIIYVMGMTLLTISATVPGLRPKCYAEDDCNPTDAQSALAFVSLYLIALGTGGIKPCVSSYGADQFDDADEVEKKHKSSFFNWFYLSINVGALIAGSVLVWVQDNVSWGWGFGIPAIAMAIAVASFFSGTRLFRYQKPGGSPLTRICQVLLASFRKKKVEVPADKALLYETADAESNIKGSRKLDHTEEFSFLDKAAVETEKDDIKGPVDPWRLCTVTQVEELKSIIRLLPIWATGIIFTAVYSQMGNLFVLQGEQMDKYVGNSNFQIPSASLSIFDTLSVIFWVPVYDRIIVPVARKYTGHKNGLTQLQRMGIGLFISIFSMVSAAILELKRLEMVRRHNSYELKTVPLSIFWQAPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSMCSALSLTTVALGSYLSSLLVTIVTSISTKNGKPGWIPENLNYGHIDYFFWLLGVLSVLNLCVFLLISNWYTYKKPVGTLR >Potri.001G248600.6.v4.1 pep chromosome:Pop_tri_v4:1:26455314:26459342:-1 gene:Potri.001G248600.v4.1 transcript:Potri.001G248600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248600.v4.1 MAEEDVYTKDGTVDYRGNPANKKETGTWRACPYIIGNEFCERLAYYGMSSNLILYFKHRLNQQSATATRNNLNWGGTCYLTPLIGAFFADAYLGRYWTIACFSIIYVMGMTLLTISATVPGLRPKCYAEDDCNPTDAQSALAFVSLYLIALGTGGIKPCVSSYGADQFDDADEVEKKHKSSFFNWFYLSINVGALIAGSVLVWVQDNVSWGWGFGIPAIAMAIAVASFFSGTRLFRYQKPGGSPLTRICQVLLASFRKKKVEVPADKALLYETADAESNIKGSRKLDHTEEFSFLDKAAVETEKDDIKGPVDPWRLCTVTQVEELKSIIRLLPIWATGIIFTAVYSQMGNLFVLQGEQMDKYVGNSNFQIPSASLSIFDTLSVIFWVPVYDRIIVPVARKYTGHKNGLTQLQRMGIGLFISIFSMVSAAILELKRLEMVRRHNSYELKTVPLSIFWQAPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSMCSALSLTTVALGSYLSSLLVTIVTSISTKNGKPGWIPENLNYGHIDYFFWLLGVLSVLNLCVFLLISNWYTYKKPVGTLR >Potri.013G126650.1.v4.1 pep chromosome:Pop_tri_v4:13:13404906:13406497:-1 gene:Potri.013G126650.v4.1 transcript:Potri.013G126650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126650.v4.1 MKFYILLFANQELYEFLCHVLMVFKILMIERQSLQVAVGFEGTNSQTRTKKHYATLLSLQQVGPLLDFINPQCLLEAIQDRFHDCYLLLYHVEWLQGSFRVLIQAIT >Potri.005G085300.3.v4.1 pep chromosome:Pop_tri_v4:5:5894039:5896797:1 gene:Potri.005G085300.v4.1 transcript:Potri.005G085300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085300.v4.1 MDMSRRALLKVIVLGDIGVGKTSLMNQYVYKKFSQQYKSTIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCVLVYDVNIQKSFETLNNWHEEFVKQVSEKKAREWCASRGDIPYFETSAKEGYNVHEAFLCVAKMALEGEHEHEQEHEQEHDIYFQGISETVSEVEQRGGCAC >Potri.005G085300.2.v4.1 pep chromosome:Pop_tri_v4:5:5894039:5896797:1 gene:Potri.005G085300.v4.1 transcript:Potri.005G085300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085300.v4.1 MDMSRRALLKVIVLGDIGVGKTSLMNQYVYKKFSQQYKSTIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCVLVYDVNIQKSFETLNNWHEEFVKQAADPADPGAFPFILFGNKIDVDGGSSRVVSEKKAREWCASRGDIPYFETSAKEGYNVHEAFLCVAKMALEGEHEHEQEHEQEHDIYFQGISETVSEVEQRGGCAC >Potri.005G085300.4.v4.1 pep chromosome:Pop_tri_v4:5:5894058:5896797:1 gene:Potri.005G085300.v4.1 transcript:Potri.005G085300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085300.v4.1 MDMSRRALLKVIVLGDIGVGKTSLMNQYVYKKFSQQYKSTIGADFVTKELQIDDKLVTLQIWDTAGQERFQSLGSAFYRGADCCVLVYDVNIQKSFETLNNWHEEFVKQQAADPADPGAFPFILFGNKIDVDGGSSRVVSEKKAREWCASRGDIPYFETSAKEGYNVHEAFLCVAKMALEGEHEHEQEHEQEHDIYFQGISETVSEVEQRGGCAC >Potri.010G101900.1.v4.1 pep chromosome:Pop_tri_v4:10:12444030:12446836:1 gene:Potri.010G101900.v4.1 transcript:Potri.010G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101900.v4.1 MTSHGFYSKSQASCIGVALLFCTSLVIPSFAELERLEHPAKGDGSLSFLVVGDWGRRGFYNQSHVAFQMGKIGEKLDIDFVVSTGDNFYDNGLTGLNDQAFEESFTKIYTATSLQKQWYSVLGNHDYRGDVEAQVHPALRKVDSRWLCLRSFILNAEIAGFFFVDTTPFVNDYFTDIDHTYDWRGVTPRKAYLDSLIKDLESALSESTARWKIVVGHHAIKSAGYHGDTKELNDLLLPMLKAYNVDMYVNGHDHCLEHISSLDSPIQYLTSGAGSKAWRGDLNQHYKEDDLRFFYDGQGFMSVQLTKNDAEITFYNAFGKILHEWKALKELHSAV >Potri.003G185600.7.v4.1 pep chromosome:Pop_tri_v4:3:18974427:18984638:-1 gene:Potri.003G185600.v4.1 transcript:Potri.003G185600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185600.v4.1 MKRYTENTVARSLSLQKQLCRYASVSLDAELTGLSNTDRKTLGLLIKAAKLMDEIFYLQVWYSNPALRDWLKEHASASELDKLKWMYYSINKSPWSCLDENEAFLTTTDSAIKLLPEATKPVSGWKGLEYKAAFPMLKPPGANFYPPDMDKKEFKLWNDSLTEKEQNDAMGFFTVIKRHSEFSLDSSSPNHAVHGTNHLMTAHDLYSVPYSKEYNSFLRKAAELLHEAGDLAGSPSLKRLLHSKADAFLSNDYYESDIAWMELDSKLDVTIGPYETYEDAIFGYKATFEAFIGIRDDKATAQLKLFGDNLQFLEQNLPMDSAYKSKNVNAAPIRVIRLLYNAGDVKGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKHILQPIADVCISKEQKGLVDFESFFTHTICHECCHGIGPHTITLPDGQKSTVRKELQELHSALEEAKADIVGLWALKFLINQDLLPRSLVKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWMYEKEAFILHPDETFSVDFAKVEEAVESLSREILTIQAKGDKEAADLLLQKYCKMTRPLKHALEKLESVQVPVDIYPIFSTVNEISE >Potri.003G185600.1.v4.1 pep chromosome:Pop_tri_v4:3:18974309:18984721:-1 gene:Potri.003G185600.v4.1 transcript:Potri.003G185600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185600.v4.1 MEDAAAAASSAVVVEEERLDVLNKTGQKTGISKPRGEVHRDGDYHRAVHVWIYSESTQELLLQRRADCKDSWPGQWDISSAGHISAGDSSLVSAQRELQEELGISLPKDAFELIFIYLQECVINDGKFINNEFNDVYLVTTVDPIPLEAFTLQETEVSAVKYISFEEYRSLLVKEDPDYVPYDVDEQYGQLFEIIMKRYTENTVARSLSLQKQLCRYASVSLDAELTGLSNTDRKTLGLLIKAAKLMDEIFYLQVWYSNPALRDWLKEHASASELDKLKWMYYSINKSPWSCLDENEAFLTTTDSAIKLLPEATKPVSGWKGLEYKAAFPMLKPPGANFYPPDMDKKEFKLWNDSLTEKEQNDAMGFFTVIKRHSEFSLDSSSPNHAVHGTNHLMTAHDLYSVPYSKEYNSFLRKAAELLHEAGDLAGSPSLKRLLHSKADAFLSNDYYESDIAWMELDSKLDVTIGPYETYEDAIFGYKATFEAFIGIRDDKATAQLKLFGDNLQFLEQNLPMDSAYKSKNVNAAPIRVIRLLYNAGDVKGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKHILQPIADVCISKEQKGLVDFESFFTHTICHECCHGIGPHTITLPDGQKSTVRKELQELHSALEEAKADIVGLWALKFLINQDLLPRSLVKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWMYEKEAFILHPDETFSVDFAKVEEAVESLSREILTIQAKGDKEAADLLLQKYCKMTRPLKHALEKLESVQVPVDIYPIFSTVNEISE >Potri.013G083600.1.v4.1 pep chromosome:Pop_tri_v4:13:7509661:7511897:-1 gene:Potri.013G083600.v4.1 transcript:Potri.013G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX71 MDSSSFSKAIVTLAILVMLSMGSSNAQLSIDFYSKSCPHLLSTVKPVVQSAINKEARMGASILRLFFHDCFVNGCDGSLLLDDTSSFTGEKNAAPNKNSARGFEVIDNIKSAVEKACPGVVSCADILAIAARDSTVILGGPEWDVKLGRRDARTASQAAANNSIPRPTSNLNQLISRFNALGLSTRDMVALSGSHTIGQARCTNFRARIYNETTIDSSLAQTRRSNCPRTSGSGDNNLAPLDLQTPTRFENNYYKNLINRRGLLHSDQQLFNGGSTDSIVSTYSSNENTFRSDFVAGMIKMGDIRPLTGSRGEIRNNCRRIN >Potri.008G059000.1.v4.1 pep chromosome:Pop_tri_v4:8:3534074:3535468:1 gene:Potri.008G059000.v4.1 transcript:Potri.008G059000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059000.v4.1 MEQAQYWLWMKRKQLLMSQLEAATDSNSKCSLEEKAFAEDASGHLGGCIWPPRSYSCSFCKREFRSAQALGGHMNVHRRDRARLKQSLTLSPHKDVFRHQNHIQRSLKSLGSHFPTEVCNLDNYDLDPKLSVSGTINIASTLSTSRFSALSTHENLSDHAFVSPFSSYFEQEQHKGYPHFHNNLSGSDHSLAVRLLNDSESKPEAEKNQGKLDSTCSRHDNFVATDLFMGLSSAPNSQSLSSPDSCGNEAISCKGPTTNVSVLSLLVKPRSNYGCTQSEAIGPMSSSMEDIDLELRLGEPPKVK >Potri.T124907.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:54530:57621:1 gene:Potri.T124907.v4.1 transcript:Potri.T124907.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124907.v4.1 MSGGGTQNSLRRALGALKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAKERHIRAIFAAVSATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDQTFHEEIINYGRSRSHMLNMAHFKDDSSPNAWDFSAWVRTYALFLEERLECFRVLKYDVEMDRPRTKDLDTVEILEQLPALQQLLFRILGCQAWMLLVLISGSCGNTCGNMVLSTEQLILNFFYST >Potri.007G018500.1.v4.1 pep chromosome:Pop_tri_v4:7:1432835:1437307:-1 gene:Potri.007G018500.v4.1 transcript:Potri.007G018500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018500.v4.1 MASSLASSLSTIQRRCCCLTLRSLSSKKPRPSYYHCYRHFNKGPLKKRNCSLTLSRNFSQSHLSKNTQGPGLHHFVAQAASSASAPVSASASQTQPQFVPAIEVPPDIEILPRGRIYHETYGCQMNVNDMEIVLSIMKNAGYSEIVDVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSHHPPKVVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLEEVEYGQKGINTLLSLEETYADISPVRISKNSINAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVAELWKEGVKEVTLLGQNVNSYNDASEIEKEVEPGTNWKYSDGFSSTCKVKRVGLRFSDLLDRLSTEFPEMRFRYTSPHPKDFPDDLLYVMRNRHNICKSIHLPAQTGSSTVLERMRRGYTREAYLDLVQKIRRIIPDVGITSDFICGFCGETEEEHQDTLSLVKAVGYDMAYMFAYSMREKTHAHRNYVDDVPDEVKKRRLAELIEAFRESTGQCFDSQIGSVQLVLVEGPNKRAPDTELIGKSDRGHRVLFTNLPLPNRNEDGSQARNPMVGDYVEVLILKSTRASLFGDALAITTLSSFYSNLDQKAVACAS >Potri.007G018500.3.v4.1 pep chromosome:Pop_tri_v4:7:1432946:1437295:-1 gene:Potri.007G018500.v4.1 transcript:Potri.007G018500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018500.v4.1 MNVNDMEIVLSIMKNAGYSEIVDVPESAEIIFINTCAIRDNAEQKVWQRLNYFWFLKRHWKSNVAIGRSQSHHPPKVVVLGCMAERLKDKILDADKMVDVVCGPDAYRDLPRLLEEVEYGQKGINTLLSLEETYADISPVRISKNSINAFVSVMRGCNNMCSFCIVPFTRGRERSRPVESIVKEVAELWKEGVKEVTLLGQNVNSYNDASEIEKEVEPGTNWKYSDGFSSTCKVKRVGLRFSDLLDRLSTEFPEMRFRYTSPHPKDFPDDLLYVMRNRHNICKSIHLPAQTGSSTVLERMRRGYTREAYLDLVQKIRRIIPDVGITSDFICGFCGETEEEHQDTLSLVKAVGYDMAYMFAYSMREKTHAHRNYVDDVPDEVKKRRLAELIEAFRESTGQCFDSQIGSVQLVLVEGPNKRAPDTELIGKSDRGHRVLFTNLPLPNRNEDGSQARNPMVGDYVEVLILKSTRASLFGDALAITTLSSFYSNLDQKAVACAS >Potri.017G032766.1.v4.1 pep chromosome:Pop_tri_v4:17:2252767:2254516:1 gene:Potri.017G032766.v4.1 transcript:Potri.017G032766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032766.v4.1 MEKQERICHVVVIPYPAQGHINPMIQFSKRLASKGLQVTLVIFSSQTLSTPASLGSVKVVTISDSSDTGSSSIGDLLKQFQATVAPKLPQLVVELGISSGHPVSCLVYDSFMPWVLEIARQLGLIGASFFTQSCAVNSVYYQIHEGQLKIPLEKFPVSVPGLPPLDVDELPSFVHDMESEYSSILTLVVNQFLNFRGPDWVFVNSFNSLEEEVPLKKLHKEK >Potri.009G084666.1.v4.1 pep chromosome:Pop_tri_v4:9:7970553:7975416:-1 gene:Potri.009G084666.v4.1 transcript:Potri.009G084666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084666.v4.1 MVSGSRMGLPLPSFGFGLFSQLSQCLGPAGLREALAALSLAFHLGAGLAGALAAFLVCRGSSCRLGIPEEVFFFAPSAFVLAVAGFGLIGCESWLRKRWRATMTLHLMYTGLGTWSLGVLLLIVSDWTFSRGVDI >Potri.006G076100.6.v4.1 pep chromosome:Pop_tri_v4:6:5608964:5612862:-1 gene:Potri.006G076100.v4.1 transcript:Potri.006G076100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076100.v4.1 MMVPELVGSLIHQCSKTKALRQGLPLHAIAIKTATRSDVIVSNHILNLYAKCRKLREARQVFDEMSERNLVSWSAMISGYEQIGEPILALGLFSKLNIVPNEYVYASVISACASLKGLVQGKQIHGQALKFGLDSVSFVSNALITMYMKCGKCSDALLAYNEALELNPVAYNALITGFVENQQPDKGFEVLRMMYQDGFFPDRFTFVGLLGTCNSRDDLKRGELLHCQTIKLKLNSTAFIGNLIITMYSKLNLLEEAEKAFRSIEEKDLISWNTFISSCSHCNDHEKALEAFKEMLNECRVRPDEFTFASALAACSGLASMCNGKQIHGHLIRTRLYQDVGAGNALINMYAKCGCIAKAYYIFSKMEHQNLVSWNTMIAGFGNHGFGGKAFELFAKMKTMGVKPDSVTFVGLLTASNHAGLVDEGLVYFNSMEETYGISPEIEHFSCLIDLLGRAGRLNEAKEYMKKFPFGHDTVVLGSLLSACRLHGDVDTGKCFARQLLKLQPVTTSPYVLLSNLYASDEMWDGVAEAWKLLKGSGLKKEPGHSLIEVNGTFEKFTVVDFSHSRIEEIMDMLKILRWAAIEV >Potri.004G188300.1.v4.1 pep chromosome:Pop_tri_v4:4:20110448:20111939:1 gene:Potri.004G188300.v4.1 transcript:Potri.004G188300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188300.v4.1 MASYQFLNHRNQVRYSSRFINTTSRHGFSKSQMCLQKILRVITSCPPQEISKETSLNREILEFKENRGVDIDLNMGLSPAWSEADNQENQSSEESSLLSACSFVEKGIKNDSSMEVFAVDRSSSVGECCVKVVGDQVGYDSPTVQATGEESQGVDETTLEISKTTNLKNQPNEISSKIKAVNDDQEITKFKEEDTKKESVKLEPCHEEKNESNKDSLALLIEAAEMFSGNLEDKESDSEKLEETTSGSKKSCKCSWVVDLYEDNTSSPVVRSKRGRSQVLPYRYRDSSVLLEPWKRLPRPTKADTTAATVVSKKRK >Potri.012G045700.1.v4.1 pep chromosome:Pop_tri_v4:12:4143802:4145323:-1 gene:Potri.012G045700.v4.1 transcript:Potri.012G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045700.v4.1 MLDPTNDILPPPSSPTNSSISSSDLDTESTGSFFHDRSTTLGTLMGVTFPAITFRAPSQHRHPAAASSTVTASANGGNPRRNTKKRRNLAASSVAERRRRRWWSLCRDGGGAKPASLGEFLEVERRFGDAAAELEGVMVAEQPRNGGVNGRLLFADGRVLPPADVVDDGGSSSSTAGALWRFPVSLTGICSGGTG >Potri.005G189900.1.v4.1 pep chromosome:Pop_tri_v4:5:19749261:19749497:1 gene:Potri.005G189900.v4.1 transcript:Potri.005G189900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G189900.v4.1 MGRRSHLVLALWLLLITSSASHTYGARQSQIFKMMKPNSQNSSPSTFMGFLPKGMPIPPSGPSKRHNDIGLQSSKSFP >Potri.005G219400.1.v4.1 pep chromosome:Pop_tri_v4:5:22191564:22194928:1 gene:Potri.005G219400.v4.1 transcript:Potri.005G219400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219400.v4.1 MVYITSWDDFVDRSVQLFRADPDSTRYVMKYRHCDGKLVLKVTDNKECLKFKTDQAQDVKKMEKLNNLFFTLMSRGPDADVSEVTGKEQTEARAGKKGRGRKQ >Potri.002G180400.1.v4.1 pep chromosome:Pop_tri_v4:2:14172064:14173983:1 gene:Potri.002G180400.v4.1 transcript:Potri.002G180400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180400.v4.1 MASTSSTPLSISSSSSLIDAKASRLSAVASPQCVSLPTLPPPPVQPRNRPWKAAAYCRKIARNVMTMATGEAPVAVASTDLPEIVKTVQEAWDKVEDKYAVSSVVVAGGVALWGSAGFISAIERLPLIPGVLELAGIGYTGWFAYKNLVFKPDREALIAKIKDTYKEVIGSS >Potri.006G038900.1.v4.1 pep chromosome:Pop_tri_v4:6:2572395:2573262:-1 gene:Potri.006G038900.v4.1 transcript:Potri.006G038900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038900.v4.1 MDNNHSHSVGGGVQEKKAHRIAGTGTKKKPMKVVYISNPMKFKASASEFRALVQELTGQDSELPDPSKFVDSDGHDRDVGGNYQTVPNASKSVVVDGGHAQEVPIEDPSQVQPERQDAPFESFDDVFMPQMLEDISEKMPSKLWYEAYNSWMYS >Potri.006G020500.1.v4.1 pep chromosome:Pop_tri_v4:6:1367059:1368326:-1 gene:Potri.006G020500.v4.1 transcript:Potri.006G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020500.v4.1 MVETKVTEMVIKVVDLGCEKCHKKIKRVLCAIPQIQNQTYDKKENTVTITVVGCCPEKIKKKIYCKGGRTVKCVEIKPPPKEKQEKKPEKKKPEAKPEEKPEPKPKLEKEPEPKPKPCTCCEKCRRGPCCHHFCMPTPPAYCPVPCRRSECDIWGDGCCSCRSRGYYVCRSAYVYEDYYPSAPCTIM >Potri.003G056100.5.v4.1 pep chromosome:Pop_tri_v4:3:8210075:8211704:1 gene:Potri.003G056100.v4.1 transcript:Potri.003G056100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056100.v4.1 MFYGALVWDPWLIVAQIVCLQCLYYLTLGFFLSLLVGTRVSHLTLVYFFDFATITTSTVTGCCVIASLLLTSFAGAGYMLFFIERANKCLDFSATLYIIHLLKCMIYGGWPSSITWWVVNGTGFAVMALLGEYLCIKRELREIPTRYRSNV >Potri.005G246800.1.v4.1 pep chromosome:Pop_tri_v4:5:24134852:24136022:1 gene:Potri.005G246800.v4.1 transcript:Potri.005G246800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246800.v4.1 MATDSSLALSQSDQENLLEELGIFKIQGRDKGGRKVLLITGKHFPAREVSGEVLKKYLEEKIYPKLEEKPFSVVYMHTDVQRSENLPGISTLRSIYEDIPISVKNHLESIYFLHPGLQARLFLATLGRFLFSGGLYSKLRYVTRLEFLWDHVRRSEIEIPEFAYDHDEELEYRPMMDYGLESDHPGVYGGPSMDNNHLSLYSMRGIA >Potri.009G018700.1.v4.1 pep chromosome:Pop_tri_v4:9:3089582:3094746:-1 gene:Potri.009G018700.v4.1 transcript:Potri.009G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018700.v4.1 MSSMMNGSEDSKRSKDCRDSPAADEANGGEMMGGMIPLKKGPWTSAEDAILIDYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPDLKKGAFTPEEENRIIELHATMGNKWARMAAELPGRTDNEIKNYWNTRIKRLQRTGLPIYPPDVCLQLYKTIQDGQNMGTLQTGDTCDPDLMQTDHFKIPEVEFKNLELSQDVLSYSSVLFDTSPSSMLKQGVGSSYGNALVFPTIHPAKRLRESQTIFTGLDGCVGRGMPVFDQLTDYPCGKIIEHSGLSSPYDPDLSTYDQPSWDVLPGSHAILNDNSSSSNVPICGTMKLELPSLQYSESQQDSWGTPTSPLPSLESVDTLIQSPPTKEMRSDGQSPRSSGLLEAVLYEYRTLKNSKKCSGHPTSDVSVVFGGVNVSPLNTSATEWEVYADLNSPSGHSASSLFSECTPVSGSSPDGRAYNVEPEPVDQDLIPYVEARKAPNQTDCNRPDVLLGSAWFAPSNNCHKDQFFQTDDVVAVLGGDQP >Potri.002G154600.1.v4.1 pep chromosome:Pop_tri_v4:2:11801803:11804433:-1 gene:Potri.002G154600.v4.1 transcript:Potri.002G154600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154600.v4.1 MATTSLLSSSAASFYGRFPTLPPHLNARVTYGSRNGVVSVRATGDVVLVDKSEAEKSNRLKTTFLEKIVPLLIEEFSYTNIHQVPKIQKVVVNCGIGDAAQNAKGLDAAINDLALITGQRPVKTRARNSVATFKIREGQPLGIAVTLRGNLMYSFLDRLVNLGLPRTRDFQGVTANSFDGHGNYSVGIRDQSVFPEIRFDAVGKARGMDVCIATTANTDQEAQRLLALMGMPFREGGGGGGATAQPRKKKLKAHHFDSKSKGRSRR >Potri.007G098700.3.v4.1 pep chromosome:Pop_tri_v4:7:12363073:12367234:-1 gene:Potri.007G098700.v4.1 transcript:Potri.007G098700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098700.v4.1 MALAASALMPLNRIPNANRWNKVSSRTSHSINFSLLFEKRGIGFRNGIVAVAAAASPSPVNEDISQKETPQRIYTWPDNKKPKVCILGGGFGGLYTALRLESLIWADDKKPQVLLVDQSERFVFKPLLYELLSGEVDAWEIAPRFSELLANTGIQFLRDRVKMLHPADHLGMNGSTGSCSGGTVVLESGLLIEYDWLVLSLGSEAKLDTVPGAAEFAFPFSTLEDACKVDNKLKELERRKFGKDSLIRVAVVGCGYSGVELAATVSERLQDRGLVQAINVNTTILPTAPPGNREAALKVLSSRKVQLLLGYFVRCIRKESDLEGSAMPTEAGVFPKTLAEHGSEKYILELQPAERGLQSQILEADLVLWTVGSQPPLPQLEPYDKTHELPLNGRGQAETDETLRVKGHPRIFALGDSSALRDMNGRILPATAQVAFQQADFTGWNLWAAINDRPLLPFRFQNLGEMMTLGRNDAALSPSFIEGLTLEGPVGHAARKIAYLIRLPTDEHRLKVGISWLTKSAVDSVASIQSTLSKVLSGS >Potri.012G009900.1.v4.1 pep chromosome:Pop_tri_v4:12:134526:137609:-1 gene:Potri.012G009900.v4.1 transcript:Potri.012G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G009900.v4.1 MGFSPLSLSQFLSSFLFLFHFHTTISSSNYSSSSHFCAPDQSLSLLQFKESFSISSSASGRCQHPKTESWREGTDCCSWDGVTCELETGQVTALDLACSMLYGTLHSNSTLFSLHHLQKLDLSDNDFQSSHISSSFGQFSNLTYLNLNYSVFAGQVPWEISHLSKLVSLDLSGDYLSLEPISFDKLVRNLTQLRELDLSSVDMSLVTPNSLMNLSSSLSSLILRSCGLQGEFPSSMRKFKHLQQLDLAANNLTGPIPYDLEQLTELVSLALSGNENDYLSLEPISFDKLVRNLTQLRELYLWWVNMPLVVPNSLMNLSSSLSSLTLYSCGLQGKFPSSVRKFKHLQYLDLRYSNLTGSIPDDLGQLTELVSIDLSFNDYLSVEPSSFDKIIQNLTKLRGLRLGYVNMPLVTPNSFANLSSSLSALALWGGGLKGKFPGNIFLLPNLESLDLTYNDDLTGSFPSSNVSNILLLLGLTHTRISVSLENDFFNNLKLLEVLVLKNSNIIRSNLTLIGYLTRLTRLDLVGNNLNGQIPSSLRNLVQLQSLYLDNNNFSGWIPDFLGNLTVLENLGLSSNQLVGHIPSQIGTLSLRLFDLRNNHLHGPIPSSIFKQGNLEALALASNNKLTGEISSSICNLKFLRLLDLSNNSLSGFVPQCLGNFSNSLSILNLGMNNLQGTIFSPFPKGNNLGYLNLNGNELEGKIPLSIINCAMLEILDLGDNKIEDTFPYFLEMLPELHVLVLKSNKLQGFVNGPIANNSFSKLRIFDISSNNLSGSLPTGYFNSFKAMMASDQNSFYMMARNYSDYAYSIKVTWKGFDIEFTKIQSALRILDLSNNNFIGEISKVIGKLKAIQQLNLSHNSLTGHIQSSIGMLTDLESLDLSSNFLTGRIPVQLADLTFLGVLNLSHNQLEGPIPSRNQFSTFNASSFEGNLGLCGLPMPKECNSDDAPPLQPSNFHDGDDSAFFGDGFGWKAVAIGYGSGFVFGVTMGYVVFRTRKPVWFLKVVEDQWNLKARRTKKNARRNGARRN >Potri.006G272000.4.v4.1 pep chromosome:Pop_tri_v4:6:26481268:26482037:-1 gene:Potri.006G272000.v4.1 transcript:Potri.006G272000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272000.v4.1 MSKQFDVNGLKDCDQLVLERLQQSSSIGQEKGMPMDELCQQLKLPMEKIKESIRSLEDEGLIYSTIDEFHYKAT >Potri.005G202851.1.v4.1 pep chromosome:Pop_tri_v4:5:20797316:20802967:-1 gene:Potri.005G202851.v4.1 transcript:Potri.005G202851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202851.v4.1 MKETQESKVWGKNNGFCGAKVGGEYNVGHVPSQISCNDLGKAAYRKENGLGAPPGPSSSTLIYYVKTANEQPSCNSDFCNVDGRRQATGTGDTIPSYAQMSSGTQAACSGVTAGHVSMANIVRMVSSSQYCRKPSCDSSPSPPVMHQGLQCSRPSQVPETIHHSHVSASFHDEWPVFDQQTAADGTNLTEDRQLEEVQVSESDAANKNPVSNCAESAFPCRRQENVNSAVGDSCWGDGLLRDTSYDSRRRMNDRWEGTGSGVQLPFPNVAAPLNDEVSSAAADLQQLSLGKEEPAVPPSEDNHAVLFPEYMQALAADFSHLSFGTYKSGTYHAVSVPLALTPAKSNLEKASAAANGPSPPCKEIRRESFSLIPVCGTCLFSWLIIVHAFFLCRNPELLVEYLQDEQLGSMSDTHRLTGGVGIHKLLFSPPELMRESIHEVPRGHEYTHPTSIPDSNFKKTQELGFPLGVRIHSKAKNLSSLHMQASSTSIPKDLLASTIQSSRYSEYAKSSFIGTQSMSSFGSTVSSASNPAISQSEVLSRSAFSLSVSCSPTLPGVTLAPQPALSQHLSANLSSQPAVPDQFDWLSCNGSEPCS >Potri.002G120700.2.v4.1 pep chromosome:Pop_tri_v4:2:9154798:9156326:-1 gene:Potri.002G120700.v4.1 transcript:Potri.002G120700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120700.v4.1 MSRWWAGAIGGAKQSENGNAFRGHHSVALVIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPNWNLDHPVEYIQCDISNTAETQAKLSQLTDVTHIFYVTWALRFTEAENIEANNLMFRNVLQAVIPNALNLKHVCLQTGLKHYVGPFELVGKIEPHDTPYTEDLPRLNAPNFYYDLEDILAGEVAKKEGVTWSVHRPHTILGFSPYSLMNIMGTLCVYAAICKHEGMPLLFPGTESVWDAYSIASDADLIAEQEIWAAVDPNARNEAFNIHNGDVFKWKHLWKVLAEQFGIKKYGLPESGKKVSLTELMKDKGAVWEKIVKDNQLLPNKLEEVGVWWFADFVLGAESIISCMNKSKEHGFLGFRNSKNSLISWVDKLKAHKIVP >Potri.002G120700.1.v4.1 pep chromosome:Pop_tri_v4:2:9154641:9156326:-1 gene:Potri.002G120700.v4.1 transcript:Potri.002G120700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120700.v4.1 MSRWWAGAIGGAKQQSENGNAFRGHHSVALVIGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPNWNLDHPVEYIQCDISNTAETQAKLSQLTDVTHIFYVTWALRFTEAENIEANNLMFRNVLQAVIPNALNLKHVCLQTGLKHYVGPFELVGKIEPHDTPYTEDLPRLNAPNFYYDLEDILAGEVAKKEGVTWSVHRPHTILGFSPYSLMNIMGTLCVYAAICKHEGMPLLFPGTESVWDAYSIASDADLIAEQEIWAAVDPNARNEAFNIHNGDVFKWKHLWKVLAEQFGIKKYGLPESGKKVSLTELMKDKGAVWEKIVKDNQLLPNKLEEVGVWWFADFVLGAESIISCMNKSKEHGFLGFRNSKNSLISWVDKLKAHKIVP >Potri.012G105300.1.v4.1 pep chromosome:Pop_tri_v4:12:12721350:12722878:1 gene:Potri.012G105300.v4.1 transcript:Potri.012G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105300.v4.1 MATVSATCLRFQPLFSQSNKSSQAAASLKPVSVGWAKNNGFPSLKASRFRVSCAAKPETVEKVIEIVRKQLALTPETELTNESKFAALGADSLDTVEIVMALEEEFDINVEEENSQNITTVREAADMIDKLVQDKAEG >Potri.008G061800.1.v4.1 pep chromosome:Pop_tri_v4:8:3700887:3701654:-1 gene:Potri.008G061800.v4.1 transcript:Potri.008G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G061800.v4.1 MATPMKYICLFMFLAILSIAGLNQVDGAGECGKNTTPDMEAFKMAPCASAAQDENSSVSSQCCARVKKIGQNPACLCAVMLSNTAKSSGIKPEIAMTIPKRCNIADRPVGYKCGAYTLP >Potri.T084401.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:68048:70426:1 gene:Potri.T084401.v4.1 transcript:Potri.T084401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084401.v4.1 MVLLQLMAVAQTNGSMPVGAFITATDDAPSWLSSSGEFAFGFQPLEYKDHFLLSIWYAKIPEKTIVWYANGDNPAPRESKVELRGDSGLVLTDPQGNLIWSSGSLLGTVSSGVMNDTGNFVLQNSNSFRLWESFSNPTDTLLPTQIMEVGGVVSSRRTETNFSLGRFQLRLLDNGNLVLNYMNLPTKFVYDDYYSSETSDASNSSNSGYRLIFNESGYMYILRRNGLIEDLTKTALPTIDFYHRATLNFDGVFTQYFYPKASSGNRSWSSVWSKPDDICVNMGADLGSGACGYNSICNLKADKRPECKCPQGFSLLDQNDKYGSCIPDFELSCRDDGLNSTEDQYDFVELINVDWPTSDYERYKPINEDECRKSCLNDCLCSVAIFRDGCWKKKLPLSNGRFDIGMNGKAFLKFPKGYVPLDRPPPQLPGEKKKPDIKFITGSVVLGTSVFVNFVLVGAFCLTSSFIYRKKTEKVKEGGSGLETNLRYFTYKELAEATNDFKDEVGRGGFGVVYKGTIQAGSTRVVAVKKLDKVVQDGEKEFKTEVQVIGQTHHKNLVRLLGFCDEGQNRLLVYEFLSNGTLANFLFGCSKPNWKQRTQIAFGIARGLLYLHEECGTQIIHCDIKPQNILLDNYYNARISDFGLAKLLVMDQSKTQTAIRGTKGYVAPEWFRNRPITVKVDVYSFGVMLLEIICCRRNVDLEIGEVENPVLTDWAYDCYMDGSLDVLIGDDTEAKNDISTLERLLKVGIWCIQEDPSLRPTMRKVTQMLEGVVEVPAAPNPFPYSSISKYSH >Potri.002G003300.1.v4.1 pep chromosome:Pop_tri_v4:2:201639:205402:-1 gene:Potri.002G003300.v4.1 transcript:Potri.002G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003300.v4.1 MAKRRQSKILLDRGSELLEERIRFCDGRCRSNPIRNFSVDQICRAIQDFQKIHPLQSCIEFEWKKGVLDGRLVFIKRYARGGQEVYRDIVVSSQMSSHNNVLKLLGCCLEIPEGPALVYEYPENRSLDRHIHYGSLPWGTRLKIAKEIANAVAYLHTAFPRPIIHRDIKPANIFLNQNYAAKLSDFSFSISIPEGESKVGDDLLVGTFGFLDPDYTMTNFVTEKTDVFSFGVLLLVLLTGRATRQGEIHLIEHVKLLVEQDRVHEAVDPMIRGNGGEAIDQQQLEASIELALRCTDDSGEDRPLMIEVAKEIQRIERSITAAP >Potri.005G097250.1.v4.1 pep chromosome:Pop_tri_v4:5:6921103:6922362:1 gene:Potri.005G097250.v4.1 transcript:Potri.005G097250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097250.v4.1 MNLHPTCRCMWKFCPYCINCWKRNLVKLLPPLIATDQDAGLGADILQIVMSVLNGNNSKLEQVKKLNPAGFSCR >Potri.011G086901.1.v4.1 pep chromosome:Pop_tri_v4:11:10901266:10901673:1 gene:Potri.011G086901.v4.1 transcript:Potri.011G086901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G086901.v4.1 MLGKLRMRDCLPFLSPDPKCPLCQNADESHAHLFFNCDWSSSLWRKTRLWLKIHHNMPSLRRATQVLQHTKKGLQPKMRRVSLAVLVYLIWEERNRRIFDNTSKSVEAVFRKFQILFYTILYFHEKNPLAYNVAF >Potri.006G251500.1.v4.1 pep chromosome:Pop_tri_v4:6:25031740:25033627:-1 gene:Potri.006G251500.v4.1 transcript:Potri.006G251500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251500.v4.1 MKETNNDTSINIEELAASMRGELNSLPFLPSQCCIHTVPKQLHPLNEKACTPQLVSIGPLHHGKEELKPMEEHKKRHLQDFLQRTDLSLVDYLKDIKEKEKRLRDCYAETIEFSSDEFIIVILVDAAFIIKVLLSYHFKTMRNGKENIVYLINHGRFKI >Potri.003G067400.1.v4.1 pep chromosome:Pop_tri_v4:3:9451634:9451834:-1 gene:Potri.003G067400.v4.1 transcript:Potri.003G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl33 MANGKDVRIRVILECTSCVRKSVNKKSIGISRYITQKNRHNRPSRLELRKFCPYCYKHTIHGEIKK >Potri.012G024150.1.v4.1 pep chromosome:Pop_tri_v4:12:2388295:2389892:-1 gene:Potri.012G024150.v4.1 transcript:Potri.012G024150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024150.v4.1 MLIWVLVSNPGFRQELDFQVSLSEQNFTSCHDLHVASTERILHLMKNSIRVDCTSATYNAEYVPHKDISLPLSGLPFDLPAAGNDIHNSYLA >Potri.012G024150.2.v4.1 pep chromosome:Pop_tri_v4:12:2388613:2389892:-1 gene:Potri.012G024150.v4.1 transcript:Potri.012G024150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024150.v4.1 MLIWVLVSNPGFRQELDFQVSLSEQNFTSCHDLHVASTERILHLMKNSIRVDCTSATYNAEYVPHKDISLPLSGLPFDLPAAGNDIHNSYLA >Potri.003G185710.1.v4.1 pep chromosome:Pop_tri_v4:3:19046396:19046924:1 gene:Potri.003G185710.v4.1 transcript:Potri.003G185710.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185710.v4.1 MNSPSKLRRSFCMLSQMLKMKLNVTIQIKSKYQAGKFPDILVYCSQPGKLNNMVQYSQPGKLWRWICVFCVQEALYWMNRSSVFGSSWLMKAPHFLPLSVSFYCRAKVGINVV >Potri.007G061721.1.v4.1 pep chromosome:Pop_tri_v4:7:6906002:6906616:1 gene:Potri.007G061721.v4.1 transcript:Potri.007G061721.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061721.v4.1 MRRLFLELYHKQIFPSTPITSFSSFLSYIVVTPLMLGFEKDFSCHSHLGLIRIPSLFPFPPAPFFRNEKEDGTLELYYLSAYCLPKILLLQLVGHRVIQISCVFRGFPMLQLPYQRSGMDWLNISLGSLVLTLMCGIHSRSALGITSSSGWNSSQNPTTSPTLLPPTLSRTSIETELFHVLSSIGYFSPFVSLFPISVSISLQD >Potri.007G106000.4.v4.1 pep chromosome:Pop_tri_v4:7:12892038:12896394:-1 gene:Potri.007G106000.v4.1 transcript:Potri.007G106000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106000.v4.1 MDIVESVLNLAVQNPAEEDFSAADLTWTKFGTAEHHDEVALIPYDRVDAFIIGECSNPECPTRFHIERGRKRARGTLKDYKTDEYLEYKLYWCSFGPENYGEGGGVLPSRKYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSQALIIYNERRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNPKVNSLASQYVHKLGMIIKRSTHELDLDDQASIRMWVERNKKSIFFYQDSLESDAFILGIQTEWQLQQMIRFGHRSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWIITRSSAKPDVAKWMKALLGRASSVEPGWKISGFLIDDAAAEIDPIRDIFGCPVLFSLWRVRRSWLRNIVKKCGNIEVQREIFKRLGEIVYSIWGGVDTLSALEELTHDLVDQTAFIQYFKASWVPKIEMWLSTMRALPLASQEASGAIEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKEEYIASTSWHRALQIPNSSVTVDDKDHLFAKVSSQKDNNVTRIVWNPGSEFAFCDCAWSLQGNLCKHVIKVNMICENREGYQPSMSFRAFKELLTSLWKKPMDDSVGLDLSIAWAHQMLDQIKHLVELDSSKTIGTVVNNMPLKWVSKKGRTSIGIPSSVLALPSSSKSGSNNAVARKKSQKRKRLSRLR >Potri.007G106000.1.v4.1 pep chromosome:Pop_tri_v4:7:12892069:12896336:-1 gene:Potri.007G106000.v4.1 transcript:Potri.007G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106000.v4.1 MLAVNTRASEAVVDAREMDIVESVLNLAVQNPAEEDFSAADLTWTKFGTAEHHDEVALIPYDRVDAFIIGECSNPECPTRFHIERGRKRARGTLKDYKTDEYLEYKLYWCSFGPENYGEGGGVLPSRKYRLNTRNRAARPQSMRGCTCHFVVKRLYARPSQALIIYNERRHVNKSGFVCHGPLDRDAIGPGAKKIPYICNEIQQQTMSMIYLGIPEENVLEKHIEGIQRYCGSNPKVNSLASQYVHKLGMIIKRSTHELDLDDQASIRMWVERNKKSIFFYQDSLESDAFILGIQTEWQLQQMIRFGHRSLIAADSTFGIKRLKYPLCTLLVFDSRQHALPVAWIITRSSAKPDVAKWMKALLGRASSVEPGWKISGFLIDDAAAEIDPIRDIFGCPVLFSLWRVRRSWLRNIVKKCGNIEVQREIFKRLGEIVYSIWGGVDTLSALEELTHDLVDQTAFIQYFKASWVPKIEMWLSTMRALPLASQEASGAIEAYHVKLKAKLFDDSHLGALQRVDWLVHKLTTELHSSYWLDRYADESDSFQNVKEEYIASTSWHRALQIPNSSVTVDDKDHLFAKVSSQKDNNVTRIVWNPGSEFAFCDCAWSLQGNLCKHVIKVNMICENREGYQPSMSFRAFKELLTSLWKKPMDDSVGLDLSIAWAHQMLDQIKHLVELDSSKTIGTVVNNMPLKWVSKKGRTSIGIPSSVLALPSSSKSGSNNAVARKKSQKRKRLSRLR >Potri.001G083400.1.v4.1 pep chromosome:Pop_tri_v4:1:6600903:6605115:1 gene:Potri.001G083400.v4.1 transcript:Potri.001G083400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083400.v4.1 MSLYLCLWGLINYLKWVVVMPLGEMAVMGKTMMVLTLTGRVLHDAVSFIVFSFLDILDLVLCFAFKAADFIIEAEWKPCYCASAKEAITSSGKILVSEQGESKIVCLTSTKLRLEEISDTLYTRTSLVSEISKSTVNELKRIKVEGKSSTVTVQTSEKIKKGTMRSTLTVSSTIVEMLQGKIGGQHLHPISRWSDCDCKFCTSWTSSSKETLFVRAEGPKDKGKGDVLFIHGFISSSAFWTETLFPNFSHATKSTYRLFAIDLLGFGRSPKPADSLYTLREHLDMIEQSVLEPYEVKSFHIVAHSLGCILALALAVKHPGSVKSLTLLAPPYYKVPKGVRATQHVMRQVAPRRVWPLITFGASIACWYEHISRAICLVICKNHRLWEFLTKLVTRNRIKTFLIEGFCCHTHNAAWHTLHNIICGTGSKLEGYLDSVRDHLKCDVNIFHGKKDEVIPVECSYNVQHKVPRARVKVIDDEDHITIVVNRQKAFARELEEIWKRSSG >Potri.001G083400.3.v4.1 pep chromosome:Pop_tri_v4:1:6601208:6604918:1 gene:Potri.001G083400.v4.1 transcript:Potri.001G083400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083400.v4.1 MSLYLCLWGLINYLKWVVVMPLGEMAVMGKTMMVLTLTGRVLHDAVSFIVFSFLDILDLVLCFAFKAADFIIEAEWKPCYCASAKEAITSSGKILVSEQGESKIVCLTSTKLRLEEISDTLYTRTSLVSEISKSTVNELKRIKVEGKSSTVTVQTSEKIKKGTMRSTLTVSSTIVEMLQGKIGGQHLHPISRWSDCDCKFCTSWTSSSKETLFVRAEGPKDKGKGDVLFIHGFISSSAFWTETLFPNFSHATKSTYRLFAIDLLGFGRSPKPADSLYTLREHLDMIEQSVLEPYEVKSFHIVAHSLGCILALALAVKHPGSVKSLTLLAPPYYKVPKGVRATQHVMRQVAPRRVWPLITFGASIACWYEHISRAICLVICKNHRLWEFLTKLVTRNR >Potri.010G078600.2.v4.1 pep chromosome:Pop_tri_v4:10:10512802:10524478:-1 gene:Potri.010G078600.v4.1 transcript:Potri.010G078600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078600.v4.1 MLLSHLQSSHPWILPPRIPTLKQTSSSPERSLLLLHPHSLKSLSCQFWWLKSSALGAWNFRLRSTGGNWKANNQTKWHKFDSVVYANLPDCSHPELCMKPNSSSEDCQAKLTIGSIAMCCLLTQLKSANTLIKIVQDLLPFLIGTFGATNSPFACMSNSLNKPTPLQLDVSLPALQDIKWSLSRLLYLFNMQIERNVAMSFVVLLVSCFSFVVIGGFLFFKIRGSHSLEDCFWEAWACLCSSSTHLRQRTRVERVIGFVLAIWGILFYSRLLSTMTEQFRHNMQRLREGAQVQVLETDHIIICGVNSHLSFILKQLNKYHESAVRLGTATARRQRILLMSDLPRKQMDKLADNTAKDLSHIDVLTKSCSLSLTTSFERAAAGKARAIIILPTKGDRYEIDTNAFLSVLALQPITKMDAVPTIVEVSNTNTCELLKSVSGVKVEPVENVASKLFVQCSRQKGLIKIYKHLLNYRKNVFNLCSFPVLAGIKYRQLRRGFQEVVVCGLYRNGKIYFHPNDDEILQQTDKILFIGPVHGKRNPQIAYSSVFKEGAAFFQNLEALEDNSDNLNLPTELRKTRLKNIVKRPNRSGSKASDWSLGPKECVLFLGWRPDVVEMIEEYDNYLGPGSILEILSDVPLDERMRTSSIASQRKLENVRVSHRIGNPMNFDALQETILDIQNSLKKDEDISFSIVVISDREWLIGDPSRADKQSAFSLILAENICIKLGVKVQNLVAEIVDSKLGKQITRIKPNLTYIAAEEVMSLVTAQVAENSEMNEVWKDILNAEGDEIYVKDITLYMKEGEHPSFAELSERAYLRREVAIGYLKDTRKVINPIVKSEPLSLSSTDSLIVISELEGEQPIVL >Potri.010G078600.3.v4.1 pep chromosome:Pop_tri_v4:10:10512815:10524517:-1 gene:Potri.010G078600.v4.1 transcript:Potri.010G078600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078600.v4.1 MLLSHLQSSHPWILPPRIPTLKQTSSSPERKSLSCQFWWLKSSALGAWNFRLRSTGGNWKANNQTKWHKFDSVVYANLPDCSHPELCMKPNSSSEDCQAKLTIGSIAMCCLLTQLKSANTLIKIVQDLLPFLIGTFGATNSPFACMSNSLNKPTPLQLDVSLPALQDIKWSLSRLLYLFNMQIERNVAMSFVVLLVSCFSFVVIGGFLFFKIRGSHSLEDCFWEAWACLCSSSTHLRQRTRVERVIGFVLAIWGILFYSRLLSTMTEQFRHNMQRLREGAQVQVLETDHIIICGVNSHLSFILKQLNKYHESAVRLGTATARRQRILLMSDLPRKQMDKLADNTAKDLSHIDVLTKSCSLSLTTSFERAAAGKARAIIILPTKGDRYEIDTNAFLSVLALQPITKMDAVPTIVEVSNTNTCELLKSVSGVKVEPVENVASKLFVQCSRQKGLIKIYKHLLNYRKNVFNLCSFPVLAGIKYRQLRRGFQEVVVCGLYRNGKIYFHPNDDEILQQTDKILFIGPVHGKRNPQIAYSSVFKEGAAFFQNLEALEDNSDNLNLPTELRKTRLKNIVKRPNRSGSKASDWSLGPKECVLFLGWRPDVVEMIEEYDNYLGPGSILEILSDVPLDERMRTSSIASQRKLENVRVSHRIGNPMNFDALQETILDIQNSLKKDEDISFSIVVISDREWLIGDPSRADKQSAFSLILAENICIKLGVKVQNLVAEIVDSKLGKQITRIKPNLTYIAAEEVMSLVTAQVAENSEMNEVWKDILNAEGDEIYVKDITLYMKEGEHPSFAELSERAYLRREVAIGYLKDTRKVINPIVKSEPLSLSSTDSLIVISELEGEQPIVL >Potri.006G252300.1.v4.1 pep chromosome:Pop_tri_v4:6:25082376:25089591:-1 gene:Potri.006G252300.v4.1 transcript:Potri.006G252300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252300.v4.1 MRRGISSLKSLGFVKKPTISNKIFSIALRFHSNSPPSLLENYKNLVEQGKVQHDPYQERVAFELEKLLSRLQQYEKDMEDYYVKLAEWEEKREEERLKLLVKEAKEKQETGGGGIWTSVNKQRNRILEKFAIFGKKGAEIEPGVGRWVSYLNRERKLDSLVGRRPSVPPAPKGLYIYGNVGSGKTMLMDMFYSATEGMAKHRIRFHFHEAMLNINAHMHKIWKNQVEGKSLQSNISSWITNLPFDMKVKEWLVAEERYKQDVQMKNILLAVADEFLMDKQADERGASILCFDEIQTVDVFAIVALSGILSRLLTTGTVLVATSNRAPRELNQDGMQRDIFQKLVSKLEEHCEIILIGSEIDYRRFIAQRSNDQANYFWPLESSALMEFEKMWCQVTNQLGGQITSETIPVMFGRVLDVPESCNGVAKFTFEYLCGRTVGAADYIALAKNYHTVFISDIPLMSMENRDKARRFITLIDELYNHHCCLFCSAASSIDDLFQGTEEGTHFDLESFQFETETEGGKLRTNVLAEGNVGSGGVPSGIVSMLSGQEEMFAFRRAASRLIEMQTPLYLEGVRSLHPYFQKQHQGFGNILSSNPQARASS >Potri.016G094300.2.v4.1 pep chromosome:Pop_tri_v4:16:8633264:8636361:-1 gene:Potri.016G094300.v4.1 transcript:Potri.016G094300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G094300.v4.1 MGLCWSNRIKSYTCSNTGLSSKCGSRNGKTLSSSSSKVSIVSVPQTPRSEGEILQSSNLKIFTFGELKTATRNFRPDSVLGEGGFGSVFKGWVDEHSLAATRPGTGMVIAVKRLNQEGFQGHREWLAEINYLGQFQHPNLVKLIGYCLEDDHRLLVYEFMPRGSMENHLFRRGSHFQPLSWNIRMKVALGAARGLAFLHSADAKVIYRDFKTSNILLDSNYNAKLSDFGLARDGPTGDNSHVSTRVMGTHGYAAPEYLATGHLTPKSDVYSFGVVLLEMLSGRRAIDKNRPSGQHNLVEWAKPYLTNKRRVFRVLDTRLEGQYVPSRAQKLSNLALQCLAVEPKFRPNMDEVVMVLEQLQEQVKDIPKISHKEHNLKVRGGANGGQIAYPRPSASPLYA >Potri.001G396600.3.v4.1 pep chromosome:Pop_tri_v4:1:42201665:42204666:1 gene:Potri.001G396600.v4.1 transcript:Potri.001G396600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396600.v4.1 MATSLLSPCLGLPPKLKNLSLNSTTCSTSTFSSLSFSSSLSHSIFNKGCLSMRTTQRSIHNFSIVCESTPKKKADSAEKRTRQAEKRRVYNKARKSEVKTRMKKVLEALDDLKKKPEAQFEEVLPIEKLIAEAYSVIDKAIKVGTLHRNTGARRKSRLARRKKAVEIHHGWYSPAPAAATAS >Potri.002G036800.6.v4.1 pep chromosome:Pop_tri_v4:2:2421028:2425591:-1 gene:Potri.002G036800.v4.1 transcript:Potri.002G036800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036800.v4.1 MSRGRGDGDLKKRLVTWIVVIAIICGCLYIYSRNSGTSALEYGSKSLRKLGSSYLGGEDDGDGASNKPGEDLQDDVMLKSIPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPVPERRFNCLIPPPPGYKVPIKWPKSRDVVWKANIPHTHLASEKSDQNWMVVKGDKIEFPGGGTHFHYGADKYIAAIANMLNFSNDILNNEGRLRTVLDVGCGVASFGGYMLSSDMIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWNEMSALVERMCWKIAVKRNQTVIWVKPLTNDCYMEREPGTQPPLCKSDDDPDAVWDVPMKACITPYTDQQHKAKGSGLAPWPARLTTPPPRLADFGYSAETFEKDTEVWQHRVENYWNLLSPKIQSDTLRNLMDMKANLGSFAAALKSKDVWVMNVVPEDGPNTLKIIYDRGLIGSAHNWCESFSTYPRTYDLLHAWTVFSDIEKKDCGAEDLLIEMDRILRPTGFIIIRDKPSVVEFVKKHLSALHWEAVATGDAEQDTEQGEDEVVFIIQKKMWLTSTSFSVTE >Potri.002G036800.7.v4.1 pep chromosome:Pop_tri_v4:2:2421101:2425582:-1 gene:Potri.002G036800.v4.1 transcript:Potri.002G036800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036800.v4.1 MSRGRGDGDLKKRLVTWIVVIAIICGCLYIYSRNSGTSALEYGSKSLRKLGSSYLGGEDDGDGASNKPGEDLQDDVMLKSIPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPVPERRFNCLIPPPPGYKVPIKWPKSRDVVWKANIPHTHLASEKSDQNWMVVKGDKIEFPGGGTHFHYGADKYIAAIANMLNFSNDILNNEGRLRTVLDVGCGVASFGGYMLSSDMIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWNEMSALVERMCWKIAVKRNQTVIWVKPLTNDCYMEREPGTQPPLCKSDDDPDAVWDVPMKACITPYTDQQHKAKGSGLAPWPARLTTPPPRLADFGYSAETFEKDTEVWQHRVENYWNLLSPKIQSDTLRNLMDMKANLGSFAAALKSKDVWVMNVVPEDGPNTLKIIYDRGLIGSAHNWCESFSTYPRTYDLLHAWTVFSDIEKKDCGAEDLLIEMDRILRPTGFIIIRDKPSVVEFVKKHLSALHWEAVATGDAEQDTEQGEDEVVFIIQKKMWLTSTSFSVTE >Potri.002G036800.1.v4.1 pep chromosome:Pop_tri_v4:2:2420762:2425665:-1 gene:Potri.002G036800.v4.1 transcript:Potri.002G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036800.v4.1 MSRGRGDGDLKKRLVTWIVVIAIICGCLYIYSRNSGTSALEYGSKSLRKLGSSYLGGEDDGDGASNKPGEDLQDDVMLKSIPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPVPERRFNCLIPPPPGYKVPIKWPKSRDVVWKANIPHTHLASEKSDQNWMVVKGDKIEFPGGGTHFHYGADKYIAAIANMLNFSNDILNNEGRLRTVLDVGCGVASFGGYMLSSDMIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWNEMSALVERMCWKIAVKRNQTVIWVKPLTNDCYMEREPGTQPPLCKSDDDPDAVWDVPMKACITPYTDQQHKAKGSGLAPWPARLTTPPPRLADFGYSAETFEKDTEVWQHRVENYWNLLSPKIQSDTLRNLMDMKANLGSFAAALKSKDVWVMNVVPEDGPNTLKIIYDRGLIGSAHNWCESFSTYPRTYDLLHAWTVFSDIEKKDCGAEDLLIEMDRILRPTGFIIIRDKPSVVEFVKKHLSALHWEAVATGDAEQDTEQGEDEVVFIIQKKMWLTSTSFSVTE >Potri.008G130500.1.v4.1 pep chromosome:Pop_tri_v4:8:8563714:8564612:1 gene:Potri.008G130500.v4.1 transcript:Potri.008G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130500.v4.1 MARRLIPTLNRVLVEKIIPPSKTTAGILLPEASTKLNSGKVISVGPGLRSSEGNTIPPAVKEGDTVLLPEYGGTQVKLGEKEYVLYRDEDILGTLHE >Potri.008G128900.2.v4.1 pep chromosome:Pop_tri_v4:8:8394968:8399722:-1 gene:Potri.008G128900.v4.1 transcript:Potri.008G128900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128900.v4.1 MSVAQTINPGVSFRSMVVKPPSHPTYDMKGVIKLALAEDAGDRGDVTCLATIPFDMEVEAHFLAKEDGIVAGISLAEMIFHEVDPSLKVEWSQKDGDYVQKGLQFGKVSGQAHNIVVAERVVLNFMQRMSGIATLTKTMADAARPACILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISIAGGIINAIKSVDQYLEQQNLQMEVEVETRTLEEVDEVLRYTSQTKSSLTRIMLDNMVIPLPNGDVDVSMLKDAVEMINGRFETEASGNVTLETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALQVGRRTKRA >Potri.008G128900.1.v4.1 pep chromosome:Pop_tri_v4:8:8394968:8399730:-1 gene:Potri.008G128900.v4.1 transcript:Potri.008G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128900.v4.1 MSRIIFSSPSTLPQLYSTSRRIVKMSVAQTINPGVSFRSMVVKPPSHPTYDMKGVIKLALAEDAGDRGDVTCLATIPFDMEVEAHFLAKEDGIVAGISLAEMIFHEVDPSLKVEWSQKDGDYVQKGLQFGKVSGQAHNIVVAERVVLNFMQRMSGIATLTKTMADAARPACILETRKTAPGLRLVDKWAVLIGGGRNHRMGLFDMVMIKDNHISIAGGIINAIKSVDQYLEQQNLQMEVEVETRTLEEVDEVLRYTSQTKSSLTRIMLDNMVIPLPNGDVDVSMLKDAVEMINGRFETEASGNVTLETVHKIGQTGVTYISSGALTHSVKALDISLKIDTELALQVGRRTKRA >Potri.001G113533.1.v4.1 pep chromosome:Pop_tri_v4:1:9200703:9201234:1 gene:Potri.001G113533.v4.1 transcript:Potri.001G113533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113533.v4.1 MAAQHKQEVILLKGSCYVGKERNSPSICSPFPSFAVATILLSRVLAPTVRIHGASLDNVMLKGPEFPAAQLTNIPFCMAANEPMETLSSKNGTESPPRESESTSTPSWTAASVVKQSSNATEKWARH >Potri.008G041100.1.v4.1 pep chromosome:Pop_tri_v4:8:2312346:2315620:1 gene:Potri.008G041100.v4.1 transcript:Potri.008G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041100.v4.1 MSSPPILGGASLFTGFTKLCKGLAVVLVTCHILVQILPPAVNYLALIPARTIPFVWNLITAGYIEQSIYGVVASTLCLLIMGKLLEPVWGSKEFLKFIFIVNFLISICVFITAISLYYITRQENYLYMPISGFQGILAGFLVGIKQIIPDQELSLLRIKAKWFPSLMLLIAIAISFFTAESAAYLPTLIFGTYMSWIYLRYFQRKPETKLRGDPSDDFAFSSFFPESLRPIIDPIASIFHRMLCGRFETSTEAHGDTLGDASLPGSDPIEATRRRERGARALEERLATAPSAEELKRDASENV >Potri.018G152400.6.v4.1 pep chromosome:Pop_tri_v4:18:16232172:16238683:-1 gene:Potri.018G152400.v4.1 transcript:Potri.018G152400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152400.v4.1 MCSVAVIFGGGFVSGLLTLVALQALGVYFFIKRLNRKTHLQPQQQASHSSSPHQDLDPQQSLYYAFNKKGIVWVLESDKVPGNWPVEKVPKDQKRKREILEVTPIRKHANIKDRSLILTDSGGSHRAIPLTGCVIEAVSATSLPSRKWAERFPIKVESKTSPIYNASKTVFIFLETSWEKESWCKALRLASSDDQEKLNWFTNLNEDFHRYLTSLNTGYPSFMKPSVGFYAEPIDRASSRLDGSESKVLLFWKKLARKASKTSVENKVTSLLGREERKINDKYHPSQDPAFAGSVGKNAPTLKDPIISEEENVALPSPSTFSRASSQSQISTISNTDTDEKLNIDEGSLCWNLIISRLFFDAKSNAKMKSLAQAWIQGTLSNMRTPSYIGEVICTDLELGNLPPYIDGIRVLPTDMNEVWAWEFDIEYCGGVVPGIETRLEVRDLVMEKGVVNTDSGSSSIRDVSSDLLEGFEHLGEQLNLSEGTVDSHEWKDEGNTKPDKLKDSKSGASTSTDLSRWKSILNSVAKQVLQVPLSLSIRVASLRGTVRLHIKPPPSDQLWFGFTSMPDVEFELESSVGEHKITSGQVASFLINKFKVWNFLVS >Potri.018G152400.1.v4.1 pep chromosome:Pop_tri_v4:18:16232219:16238779:-1 gene:Potri.018G152400.v4.1 transcript:Potri.018G152400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152400.v4.1 MCSVAVIFGGGFVSGLLTLVALQALGVYFFIKRLNRKTHLQPQQQASHSSSPHQDLDPQQSLYYAFNKKGIVWVLESDKVPGNWPVEKVPKDQKRKREILEVTPIRKHANIKDRSLILTDSGGSHRAIPLTGCVIEAVSATSLPSRKWAERFPIKVESKTSPIYNASKTVFIFLETSWEKESWCKALRLASSDDQEKLNWFTNLNEDFHRYLTSLNTGYPSFMKPSVGFYAEPIDRASSRLDGSESKVLLFWKKLARKASKTSVENKVTSLLGREERKINDKYHPSQDPAFAGSVGKNAPTLKDPIISEEENVALPSPSTFSRASSQSQISTISNTDTDEKLNIDEGSLCWNLIISRLFFDAKSNAKMKSLAQAWIQGTLSNMRTPSYIGEVICTDLELGNLPPYIDGIRVLPTDMNEVWAWEFDIEYCGGVVPGIETRLEVRDLVMEKGVVNTDSGSSSIRDVSSDLLEGFEHLGEQLNLSEGTVDSHEWKDEGNTKPDKLKDSKSGASTSTDLSRWKSILNSVAKQVLQVPLSLSIRVASLRGTVRLHIKPPPSDQLWFGFTSMPDVEFELESSVGEHKITSGQVASFLINKFKAAIRETMVLPNCESVCIPGMLAEKNDWVPRNAAPFIWINQEAASDNDIELELLNSQLDAKTNIEVSRGTSLDHPESKHQKAENVQQSFSDYSDALPRALSSNKPSMKNDKSSQDLTSPLLTISEAQETGRGSSGYISESQSLLSRSLLTLEKQTHAVEEIPKKMGRRAKMLDLGKKMGEKFEEKRRHIEEKGRNIVDKMRGP >Potri.018G152400.5.v4.1 pep chromosome:Pop_tri_v4:18:16232220:16238716:-1 gene:Potri.018G152400.v4.1 transcript:Potri.018G152400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152400.v4.1 MCSVAVIFGGGFVSGLLTLVALQALGVYFFIKRLNRKTHLQPQQQASHSSSPHQDLDPQQSLYYAFNKKGIVWVLESDKVPGNWPVEKVPKDQKRKREILEVTPIRKHANIKDRSLILTDSGGSHRAIPLTGCVIEAVSATSLPSRKWAERFPIKVESKTSPIYNASKTVFIFLETSWEKESWCKALRLASSDDQEKLNWFTNLNEDFHRYLTSLNTGYPSFMKPSVGFYAEPIDRASSRLDGSESKVLLFWKKLARKASKTSVENKVTSLLGREERKINDKYHPSQDPAFAGSVGKNAPTLKDPIISEEENVALPSPSTFSRASSQSQISTISNTDTDEKLNIDEGSLCWNLIISRLFFDAKSNAKMKSLAQAWIQGTLSNMRTPSYIGEVICTDLELGNLPPYIDGIRVLPTDMNEVWAWEFDIEYCGGVVPGIETRLEVRDLVMEKGVVNTDSGSSSIRDVSSDLLEGFEHLGEQLNLSEGTVDSHEWKDEGNTKPDKLKDSKSGASTSTDLSRWKSILNSVAKQVLQVPLSLSIRVASLRGTVRLHIKPPPSDQLWFGFTSMPDVEFELESSVGEHKITSGQVASFLINKFKAAIRETMVLPNCESVCIPGMLAEKNDWVPRNAAPFIWINQEAASDNDIELELLNSQLDAKTNIEVSRGTSLDHPESKHQKAENVQQSFSDYSDALPRALSSNKPSMKNDKSSQDLTSPLLTISEAQETGRGSSGYISESQSLLSRSLLTLEKQTHAVEEIPKKMGRRAKMLDLGKKMGEKFEEKRRHIEEKGRNIVDKMRGP >Potri.018G152400.4.v4.1 pep chromosome:Pop_tri_v4:18:16232199:16238878:-1 gene:Potri.018G152400.v4.1 transcript:Potri.018G152400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152400.v4.1 MKPSVGFYAEPIDRASSRLDGSESKVLLFWKKLARKASKTSVENKVTSLLGREERKINDKYHPSQDPAFAGSVGKNAPTLKDPIISEEENVALPSPSTFSRASSQSQISTISNTDTDEKLNIDEGSLCWNLIISRLFFDAKSNAKMKSLAQAWIQGTLSNMRTPSYIGEVICTDLELGNLPPYIDGIRVLPTDMNEVWAWEFDIEYCGGVVPGIETRLEVRDLVMEKGVVNTDSGSSSIRDVSSDLLEGFEHLGEQLNLSEGTVDSHEWKDEGNTKPDKLKDSKSGASTSTDLSRWKSILNSVAKQVLQVPLSLSIRVASLRGTVRLHIKPPPSDQLWFGFTSMPDVEFELESSVGEHKITSGQVASFLINKFKAAIRETMVLPNCESVCIPGMLAEKNDWVPRNAAPFIWINQEAASDNDIELELLNSQLDAKTNIEVSRGTSLDHPESKHQKAENVQQSFSDYSDALPRALSSNKPSMKNDKSSQDLTSPLLTISEAQETGRGSSGYISESQSLLSRSLLTLEKQTHAVEEIPKKMGRRAKMLDLGKKMGEKFEEKRRHIEEKGRNIVDKMRGP >Potri.005G257400.6.v4.1 pep chromosome:Pop_tri_v4:5:24795110:24800462:1 gene:Potri.005G257400.v4.1 transcript:Potri.005G257400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257400.v4.1 MEDVKPKSRKNDYLARKEEKEDSKRIHRDRDKQRNGERHRDREKRERENSRCSERDKSSDSDDKEREKEKHRVRGRDDRATRSRVDDRERVKDRKRDRDREERDRERDIAKEEKKEREREKEKEREKEREKEKEKERVREKDRERESGKREREREKDRERERRERDREEREREKGREKRERRTREREKHRELSSDSDDDSGEHDSKLRRRDNDDSKERVHEQSISRSNRHMDNSEESLRKKSGKEDGDKNESKTREDELEEEQKKLDEEMEKRRRRVQEWQELRRKKEETESEKGGEEANVDESKSGKTWTLEGESDDEEAPPTGKSDMDIDQEENAIPDKEAGDAMVVDTENDISAPQSEVDAVNGDEEIDPLDAFMNSMVLPEVEMLNNAVVTQTADDNKADSKKKDKNDEGINGGQRKKGSHKSLGRIIPGEDSDSDHGDLENSEVPLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYSPFRKNFYIEVKEILRMTPEEVTAYRKLLELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMTIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGEGPIGLIMAPTRELVQQIHSDIRKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDHQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDINQLVEVRPEGERWFRLLELLGVWSEKGKILVFVQSQDKCDALFRDLLKFGHPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKDLELVINYDVPNHYEDYVHRVGRTGRAGRKGCAITFFSEDDARYAPDLVKALELSEQVVPQDLKALADGFMVKVNQGLEQAHGTGYGGSGFKFNEEEDEKRMAAKKAQAREYGFEEEKSDSEDEDEVVRKAGGDISQQTALAQQIAALAAVSKIPAPVAPTPHSVTQFLSNGGLPVPLNQGPAVASVTGLPFAHSNEAAARAAAMAAAMNLQHNLARIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKVPGPGDRKLYLFIEGPTEQSVKRAKADLKHVLEDITNQTYQLPGGAQPGKYSVV >Potri.005G257400.8.v4.1 pep chromosome:Pop_tri_v4:5:24795110:24800182:1 gene:Potri.005G257400.v4.1 transcript:Potri.005G257400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257400.v4.1 MEDVKPKSRKNDYLARKEEKEDSKRIHRDRDKQRNGERHRDREKRERENSRCSERDKSSDSDDKEREKEKHRVRGRDDRATRSRVDDRERVKDRKRDRDREERDRERDIAKEEKKEREREKEKEREKEREKEKEKERVREKDRERESGKREREREKDRERERRERDREEREREKGREKRERRTREREKHRELSSDSDDDSGEHDSKLRRRDNDDSKERVHEQSISRSNRHMDNSEESLRKKSGKEDGDKNESKTREDELEEEQKKLDEEMEKRRRRVQEWQELRRKKEETESEKGGEEANVDESKSGKTWTLEGESDDEEAPPTGKSDMDIDQEENAIPDKEAGDAMVVDTENDISAPQSEVDAVNGDEEIDPLDAFMNSMVLPEVEMLNNAVVTQTADDNKADSKKKDKNDEGINGGQRKKGSHKSLGRIIPGEDSDSDHGDLENSEVPLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYSPFRKNFYIEVKEILRMTPEEVTAYRKLLELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMTIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGEGPIGLIMAPTRELVQQIHSDIRKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDHQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDINQLVEVRPEGERWFRLLELLGVWSEKGKILVFVQSQDKCDALFRDLLKFGHPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKDLELVINYDVPNHYEDYVHRVGRTGRAGRKGCAITFFSEDDARYAPDLVKALELSEQVVPQDLKALADGFMVKVNQGLEQAHGTGYGGSGFKFNEEEDEKRMAAKKAQAREYGFEEEKSDSEDEDEVVRKAGGDISQQTALAQQIAALAAVSKIPAPVAPTPHSVTQFLSNGGLPVPLNQGPAVASVTGLPFAHSNEAAARAAAMAAAMNLQHNLARIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKVPGPGDRKLYLFIEGPTEQSVKRAKADLKHVLEDITNQTYQLPGGAQPGKYSVV >Potri.005G257400.9.v4.1 pep chromosome:Pop_tri_v4:5:24795110:24800149:1 gene:Potri.005G257400.v4.1 transcript:Potri.005G257400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257400.v4.1 MEDVKPKSRKNDYLARKEEKEDSKRIHRDRDKQRNGERHRDREKRERENSRCSERDKSSDSDDKEREKEKHRVRGRDDRATRSRVDDRERVKDRKRDRDREERDRERDIAKEEKKEREREKEKEREKEREKEKEKERVREKDRERESGKREREREKDRERERRERDREEREREKGREKRERRTREREKHRELSSDSDDDSGEHDSKLRRRDNDDSKERVHEQSISRSNRHMDNSEESLRKKSGKEDGDKNESKTREDELEEEQKKLDEEMEKRRRRVQEWQELRRKKEETESEKGGEEANVDESKSGKTWTLEGESDDEEAPPTGKSDMDIDQEENAIPDKEAGDAMVVDTENDISAPQSEVDAVNGDEEIDPLDAFMNSMVLPEVEMLNNAVVTQTADDNKADSKKKDKNDEGINGGQRKKGSHKSLGRIIPGEDSDSDHGDLENSEVPLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYSPFRKNFYIEVKEILRMTPEEVTAYRKLLELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMTIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGEGPIGLIMAPTRELVQQIHSDIRKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDHQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDINQLVEVRPEGERWFRLLELLGVWSEKGKILVFVQSQDKCDALFRDLLKFGHPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKDLELVINYDVPNHYEDYVHRVGRTGRAGRKGCAITFFSEDDARYAPDLVKALELSEQVVPQDLKALADGFMVKVNQGLEQAHGTGYGGSGFKFNEEEDEKRMAAKKAQAREYGFEEEKSDSEDEDEVVRKAGGDISQQTALAQQIAALAAVSKIPAPVAPTPHSVTQFLSNGGLPVPLNQGPAVASVTGLPFAHSNEAAARAAAMAAAMNLQHNLARIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKVPGPGDRKLYLFIEGPTEQSVKRAKADLKHVLEDITNQTYQLPGGAQPGKYSVV >Potri.005G257400.5.v4.1 pep chromosome:Pop_tri_v4:5:24795110:24800315:1 gene:Potri.005G257400.v4.1 transcript:Potri.005G257400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257400.v4.1 MEDVKPKSRKNDYLARKEEKEDSKRIHRDRDKQRNGERHRDREKRERENSRCSERDKSSDSDDKEREKEKHRVRGRDDRATRSRVDDRERVKDRKRDRDREERDRERDIAKEEKKEREREKEKEREKEREKEKEKERVREKDRERESGKREREREKDRERERRERDREEREREKGREKRERRTREREKHRELSSDSDDDSGEHDSKLRRRDNDDSKERVHEQSISRSNRHMDNSEESLRKKSGKEDGDKNESKTREDELEEEQKKLDEEMEKRRRRVQEWQELRRKKEETESEKGGEEANVDESKSGKTWTLEGESDDEEAPPTGKSDMDIDQEENAIPDKEAGDAMVVDTENDISAPQSEVDAVNGDEEIDPLDAFMNSMVLPEVEMLNNAVVTQTADDNKADSKKKDKNDEGINGGQRKKGSHKSLGRIIPGEDSDSDHGDLENSEVPLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYSPFRKNFYIEVKEILRMTPEEVTAYRKLLELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMTIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGEGPIGLIMAPTRELVQQIHSDIRKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDHQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDINQLVEVRPEGERWFRLLELLGVWSEKGKILVFVQSQDKCDALFRDLLKFGHPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKDLELVINYDVPNHYEDYVHRVGRTGRAGRKGCAITFFSEDDARYAPDLVKALELSEQVVPQDLKALADGFMVKVNQGLEQAHGTGYGGSGFKFNEEEDEKRMAAKKAQAREYGFEEEKSDSEDEDEVVRKAGGDISQQTALAQQIAALAAVSKIPAPVAPTPHSVTQFLSNGGLPVPLNQGPAVASVTGLPFAHSNEAAARAAAMAAAMNLQHNLARIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKVPGPGDRKLYLFIEGPTEQSVKRAKADLKHVLEDITNQTYQLPGGAQPGKYSVV >Potri.005G257400.7.v4.1 pep chromosome:Pop_tri_v4:5:24795110:24800374:1 gene:Potri.005G257400.v4.1 transcript:Potri.005G257400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257400.v4.1 MEDVKPKSRKNDYLARKEEKEDSKRIHRDRDKQRNGERHRDREKRERENSRCSERDKSSDSDDKEREKEKHRVRGRDDRATRSRVDDRERVKDRKRDRDREERDRERDIAKEEKKEREREKEKEREKEREKEKEKERVREKDRERESGKREREREKDRERERRERDREEREREKGREKRERRTREREKHRELSSDSDDDSGEHDSKLRRRDNDDSKERVHEQSISRSNRHMDNSEESLRKKSGKEDGDKNESKTREDELEEEQKKLDEEMEKRRRRVQEWQELRRKKEETESEKGGEEANVDESKSGKTWTLEGESDDEEAPPTGKSDMDIDQEENAIPDKEAGDAMVVDTENDISAPQSEVDAVNGDEEIDPLDAFMNSMVLPEVEMLNNAVVTQTADDNKADSKKKDKNDEGINGGQRKKGSHKSLGRIIPGEDSDSDHGDLENSEVPLEDEDDDEFMKRVKKTKAEKLSIVDHSKIDYSPFRKNFYIEVKEILRMTPEEVTAYRKLLELKIHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMTIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGEGPIGLIMAPTRELVQQIHSDIRKFTKALGIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDHQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDINQLVEVRPEGERWFRLLELLGVWSEKGKILVFVQSQDKCDALFRDLLKFGHPCLSLHGAKDQTDRESTISDFKSNVCNLLIATSVAARGLDVKDLELVINYDVPNHYEDYVHRVGRTGRAGRKGCAITFFSEDDARYAPDLVKALELSEQVVPQDLKALADGFMVKVNQGLEQAHGTGYGGSGFKFNEEEDEKRMAAKKAQAREYGFEEEKSDSEDEDEVVRKAGGDISQQTALAQQIAALAAVSKIPAPVAPTPHSVTQFLSNGGLPVPLNQGPAVASVTGLPFAHSNEAAARAAAMAAAMNLQHNLARIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKVPGPGDRKLYLFIEGPTEQSVKRAKADLKHVLEDITNQTYQLPGGAQPGKYSVV >Potri.018G047201.1.v4.1 pep chromosome:Pop_tri_v4:18:4106091:4107330:-1 gene:Potri.018G047201.v4.1 transcript:Potri.018G047201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047201.v4.1 MASFLATPTCPLVALPTTTRATFTAIQQKSFSVNGGSLFFGSKHVQKIQFSNAASISSARSRFFKTAISCSALPETLETVQNTIAKQLSVDVSTVTPEIKFADWVLTPLTRWR >Potri.008G079300.1.v4.1 pep chromosome:Pop_tri_v4:8:4896466:4906235:-1 gene:Potri.008G079300.v4.1 transcript:Potri.008G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079300.v4.1 MDDVFFSLHSASPRTNSDDASSSSPPLRTPKIFDRYFSSSSSPSSSSDDDLQPSNPNPSLEASTKRLDYMIQFLDRKLSNNNCNNSSNNNESVSHRHKTPALPEFIGKGGGTGIFRIPVRAAVHPDRPPSLEIRSHPLRESQTGRFLRTIVTTETQVWGGRENGAVQVWELKEMYGGSDETAPFKESVALNSGSGVTCLVGDEGSRVVWSGHRDGRIRCWKMDTGPGLDRSRVKEVLSWMAHRGPVMTMILTCYGDLWSGSEGGVIKIWPWEDLEKAFSFTAEERHMAALSVERSYIDIRNQVTMNGFSNVLNSDVRYLLSDNSRAKVWSAGFLSFALWDAHTRELLKMFNIDGQIERLDMLSGQDLTFEDDIKMKIVAGSKKEKMQTSFGFFQRSRNAIMGAADAVRRVAVKGGFGDDNRRTEAVIITTDGMIWTGCANGSLVQWDGNGNRLQDFQYHPVAVQCLCTFGLQIWVGYASGTVQVLDLEGNLVGGWVAHSSQVIKMAVGGGYVFTLANHGGIRGWNVMSPGPLDGILRSELAGKEFLYTRIENLKILAGTWNVAQGRASQDSLVSWLGSAAGDIGIVVVGLQEVEMGAGVLAMSAAKETVGLEGSSAGQWWLDMIGKTLDEGSTFERVGSRQLAGLLIAMWVRNNLKAHVGDVDAAAVPCGFGRAIGNKGAVGLRIRVYDRVMCFINCHFAAHLEAVNRRNADFDHVYRTMTFGRPSNFFNAAAAGTLSAVQNPLRPEGIPELSEADMVIFLGDFNYRLDGISYDEARDFVSQRSFDWLREKDQLRTEMGVGKVFQGMREAVIRFPPTYKFEKHQPGLAGYDSGEKKRIPAWCDRVLYRDSRSAHVSECCLDCPVVSLISQYDACMDVTDSDHKPVRCIFSVDIARVDESVRRQEFGDIMKSNEEIRYIIDELSKIPETIVSTNNIILPNQDTTILRITNKCGENDALFEIICEGQSIIDENGQASDHHPRGSYGFPQWLEVTPAAGIIKPGHIAEVSIHLEDFPTLEVFLDGVPQNSWCEDTRDKEAILVVKVRGTCNTNETRNHRIRVRHCCSSQTAQLDPRPNGSEQIQGNLLHRADYQHLSSSYDVVSHLRNLRSP >Potri.001G329200.1.v4.1 pep chromosome:Pop_tri_v4:1:33829915:33831766:-1 gene:Potri.001G329200.v4.1 transcript:Potri.001G329200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329200.v4.1 MERGRCMLLLMMVLIMDIGRGEGQLVEDFYSFTCPNVEALVKKAVSTKFNQTFTTIPATLRLFFHDCFVTGCDASTMVSSPNGDAEKDAPDNLSLAGDGFDTVVKAKQKVEGACPGVVSCADILAIAARDVVVLAGGPSFNVELGRRDGLVSKASLVKGNLPEPGFNLSQLNAMFARNNLSQIDMIALSGAHTLGFSHCSRFANRLYSFSSSSPVDPSLNQDYAKQLMDGCPRNVDPSIAINMDPVTPQTFDNVYFQNLVNGKGLFTSDEVLFTDPASQPTVKDFANSSSDFNGAFATAMRKLGRVRVKTGSQGSIRTDCTVINS >Potri.001G069300.1.v4.1 pep chromosome:Pop_tri_v4:1:5226366:5227960:1 gene:Potri.001G069300.v4.1 transcript:Potri.001G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069300.v4.1 MDFTYSTKTNSSPSPSKTKRKQQQQQQQQQQNQQEQQEVRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSKARTNFVYSDMPPASSVTCIISPDESQHDNSALFAPPPQHNTHQNDTNCQQLYFSQDQHPFNAHAYGNSNSNWLAGGAGWVQGFGAGAGDGPCGSYEPNSAGSLDVASGLNYLSNTDNIELPPLPPDVNSSCYGCDMGREFWNDTGFLGFQEEQLDDVNGLEVSGSILGFDSNEFGLHGSLFGIVPSVSNTVTDGFDLGSSSTFYF >Potri.014G090700.1.v4.1 pep chromosome:Pop_tri_v4:14:5889690:5892294:1 gene:Potri.014G090700.v4.1 transcript:Potri.014G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090700.v4.1 MEGQEAPPPPPPSLPQFPSQNPPYLFTPSLLLSSSLHPSVIEPQSLPDIDWVGLLSGQSGLGENRPFMDSASMVAENGAEEEKDKKKGGRMKKTTRPRFAFQTRSADDVLDDGYRWRKYGQKAVKNSKYPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGIHNHPCEKMMETLTPLLKQMQFLARF >Potri.011G125700.3.v4.1 pep chromosome:Pop_tri_v4:11:15736128:15743176:1 gene:Potri.011G125700.v4.1 transcript:Potri.011G125700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125700.v4.1 MVKDPLKPFSIPSTPQFYHLEHHSSCSQNTCTTSIVKTMLTRLFLRPNSVILSPTHFFLFPKLSLTKPTIASNTLPYGPSLRKGKTSLHCPQPKLRRRQQQQEYQSLSVPKPLYQCKEYQEGEKEEGPGDVNMINEDDFTRVFDIAALRVPAKDCFSLESRIRGHLLNWPRIRNIARVPGDEMEESMVSLLGEKGSEDEENFDAFNRRIYGKAEGDGEELSPVLYREKLANEFNSRGFIKFRNLAKISRPKKKKKKMGGKGEEGEENERERRDEFSVVEVVEEEEGGDWKGLLGDEFKGRGKWMGSTRLLLLDERYAEKGVDELPQAIKAVAQEAMRGNSTSTFNLVRCKLTLFYDYWQMNEILEALLPRDMIIPSAFETVGHIAHLNLRDEHLPYKKLIAKVVLDKNKPKIQTVVNKIDAIHNDYRTMQLEVLAGNHSLVTMVVENGLRFHVDLAAVYWNSRLASERQRLLNGFTHNDVLCDVFAGVGPIALSAAKIVKHVYANDLNPCAVQYMENNSVLNKLERHIEIFNMDGRRFIDAMYASQKAQSITQVVMNLPNDAVEYLDAFRGIFKDKPKDKEYAMPMIHVYGFSKARDPEFDFHERIRIALQEVAVNVEMRRVRQVAPGKWMLCASFRLPISVAYAHTMSRMQAHQVKSF >Potri.014G137000.1.v4.1 pep chromosome:Pop_tri_v4:14:9308615:9313161:1 gene:Potri.014G137000.v4.1 transcript:Potri.014G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137000.v4.1 MRLVSSGSMLLSPLCLPNWYAPSTGIPFNNSLCLMPRKDQRNIVCACVAPPRPNIGREGFSANKFTDSYKPEKLSRIGEPEEDDSDVLIECRNVYKSFGEKHILRGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDRGEVYIRGKKRDGLISDEVMSGLRIGLVFQSAALFDSLTVRENVGFLLYENSSMSEEQIMDLVAETLAAVGLKGVEDRLPSELSGGMKKRVALARSIIFDTTKETIEPEVLLYDEPTAGLDPIASTVVEDLIRHVHMKGEDARKPGKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWQGITQEFMTSTKPIVQQFASGSLDGPIKY >Potri.001G100300.1.v4.1 pep chromosome:Pop_tri_v4:1:8022999:8026456:-1 gene:Potri.001G100300.v4.1 transcript:Potri.001G100300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100300.v4.1 MASRVLSTCLITPPTRFTHASPVKLSNKASWLRSKNRRIKCEAVGDSSQTVYNGVYGPWTVESSDVREVVLYRSGLVTAASSFVFAASYAFLPSDSLLSEIIKQNLDLLYTLGAGGLGVSLFLIHIYVTEIKRTLQAFWALGVIGSLATCTNLAQPAGENLIQYVVNNPTAVWFVGPLFAALTGLVFKEGLCYGKLEAGILTFIIPTVLLGHLTGLMDDGVKLTLLASWMALFVIFAGRKFTQPIKDDIGDKSVFMFNSLPDDEKKALIENLEQQN >Potri.002G225200.1.v4.1 pep chromosome:Pop_tri_v4:2:21347672:21353997:1 gene:Potri.002G225200.v4.1 transcript:Potri.002G225200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G225200.v4.1 MTMDKRQQHQYYGAAPKPTRFAKHWCLLLISIFLFLNTRTLAFDYGDALRKSLLYFESQRSGRLPYNQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWNVIEFQHQIAVAGELEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKIDENNPGSDLAGETTAAMAAASIVFKGTNPHYSHLLLHHAQQLFEFGDKYRGKYDESVGVVKSYYASVSGYKDELLWGAMWLYKATGDDKYLEYVIGNAHCFGGIGWAMTEFSWDVKYAGLQIMASKDF >Potri.017G003400.2.v4.1 pep chromosome:Pop_tri_v4:17:190892:200411:1 gene:Potri.017G003400.v4.1 transcript:Potri.017G003400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003400.v4.1 MAVGGAKLALLLIHILGVAGNGYSSLQENNYGSDDHEHRKKLISSLKSGFLIGYVFSSVSILTIFMSYCVPWARLIKRKGNEVMIKQPMTTSLMERQEKKRKEANKQNSVLEKKVTRTSFAALNIATRSFDQDNVIGVGKMGTMYRAAHPYDCFTAVKRLHDSQPLGKQFRSELIIRAKFRHMNIIPLLGFCIESGERLLVYKYMPNGNLHDWLHPVKCKAEKLDWHVRVKIAIGVARGLAWLHDFNNFLIVHLDICSRSILLDKYFEPKISNFGGAMHRSSSDKGLIASSKIGELELIKQDVYQFGILLLELIAVHDPDHNSESSHTLEENLFERIAHLSSSSSGLYHAVDKSLLDQGFDGEILHFLKIASSCIHPILDRRPTMLQAFQMLMVLREGERDSSKILSYWLN >Potri.004G222600.2.v4.1 pep chromosome:Pop_tri_v4:4:22723665:22726974:-1 gene:Potri.004G222600.v4.1 transcript:Potri.004G222600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222600.v4.1 MQSVVWNPTHCSAQQTLDTSCSSPLPLLHHKSCKGFFGAHVRSANQLRRGTPCHSLRARLPSFTQRRLVVKAVATPDSAVELPLTADNVESVLDEVRPYLISDGGNVALHEIDGNVVRLKLQGACSSCSASVTTMKMGIERRLMEKIPEIVAVEAISDEETGLELKEENIEKVLEEIRPYLVGAAGGSLELVAIEEPIVKIRITGPAAGVMTVRVAVTQKLREKIPAIAAVQLL >Potri.011G067600.2.v4.1 pep chromosome:Pop_tri_v4:11:5909913:5912697:-1 gene:Potri.011G067600.v4.1 transcript:Potri.011G067600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067600.v4.1 MATSKTPNNISNQSQSPLLGRYEIGKLLGHGTFAKVYHARNVKTNESVAIKVIDKEKILKVGLMAHIKREISILRRVRHPNIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARRYFQQLISAVSFCHARGVFHRDLKPENLLLDENGNLKVSDFGLSAVPDQIRQDGLFHTFCGTPAYVAPEVLAKKGYDAAKVDIWSCGIVLFVLMAGYLPFQDQNIMVMYKKIYKGEFRCPRWFSSELVRLLSKLLDTNPVTRITIPEIMENRWFKKGFKHIKFYIEDDKVCSVQDEDDVGSSSDQSLSESESEFETRRRVTTLPRPASLNAFDIISFSPGFDLSGLFEEGGEGARFVSGAPVTKIISKLEEIAKVVSFTVRKKDCRVSLEGSREGVKGPLTIAAEIFELTPKLVVVEVKKKGGDKGEYEEFCNRELKPGLQKLMQEESEAAPAASVATSALAPSESPLFTIDPFPTDSSQSPMDPFPTNSTQLPMDPFPTDTSHLPFDPFPTNSSQLPIDPFPTASSHFPIDPFPIDVSNIPSDSEY >Potri.012G132832.1.v4.1 pep chromosome:Pop_tri_v4:12:14773015:14779427:1 gene:Potri.012G132832.v4.1 transcript:Potri.012G132832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132832.v4.1 MRKKIQMSTERIRVARLKEGEAQKVLEAATKVVKDEEEIKQKCEDLNHLVQESSNSQFSRIKKLKRRLEALNSISKTIGYPWDGKPMGPAPNNPAQDASIPFSTESGAGVAENAPRHANGGNVQVMNEQNQQPNVEVEGRGKKKVHFQGRGRRIGAVPKGRGFSQPGWTVAGFDVDGRS >Potri.007G035200.1.v4.1 pep chromosome:Pop_tri_v4:7:2758583:2764942:1 gene:Potri.007G035200.v4.1 transcript:Potri.007G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035200.v4.1 MPPDTEDGVQYSFALEYTGPPVGYDIPRAVPINVSKIPVAAVVSHINFPRKITLPVVKPLLPSSDTSKNPNSVITGKIPGKDCGSEEGVITVSPTSVIERAADCNLQESVFSGELSSSGLLNDGARSSSTIEFSDSFDDKSRDESLLKLRVSNELSSILDWESNESVLSSVDVDDEYPSSRVSSVKVSNNEVNGEGRKAPVVTFRDIESDDGVGGDDTSDIDDGFEGNEDFLEEEDRVIRVKREARSKGKKGSCYRCFKGNRFTEKEVCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGFPIDEPKRGSLGKCSRMLKRLLNDLEVRQIMKAEKLCEANQLPPEYVYVNGEPLCHEELVILQNCLNPPKKMKPGNYWYDKVSGLWGKEGQKPSQVISPHLNVGGPIKANASSGNTQVFINGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGMKLVCAFLSLPVPSKPSNSCGEQVNSLISRSVPDYLEQRTLLKLLLVGYSGSGTSTIFKQAKILYKPVPFTEDERENIKLTIQSNVYGYLGILLEGRDRFEEESLAAMKKVRSTDETEAIGSTSNTKNQTIYSIGPRLKAFSDWLLKTMVSGNLEAIFPAATREYAPLVEELWKDAAVQATYKRRNELEMLPSVSSYFLERAVEILRTDYEPSDLDILYAEGVTSSNGLACLDFSYPQSASDDKYDTEDLHDALLRYQLISVHARGLGENCKWLEMFDDVGMVIFCVAMTDYDQFTVDGNGTSTNNMMLSRKFFESIVTHPTFEQMDFLLILNKFDLFEEKIERVPLTQCDWFDDFHPVISRHRSNSNSNSNSINTSPSLGQLGAHYMAVKFKRLYSSLTGRKLYTSVVKGLEPDSVDAALKYAKEILKWDEEKPNFSLSEYSMYSTEASSYSP >Potri.017G133200.2.v4.1 pep chromosome:Pop_tri_v4:17:13509272:13514327:-1 gene:Potri.017G133200.v4.1 transcript:Potri.017G133200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133200.v4.1 MASEDLKSQVPVEENGTKEEEKNGNLEASVSKENGESSDVFSVIQEEEGEEEEGETKEATKKKKKKNKSKRKKELAKQTDPPTIPVVDLFPSGEFPEGEIQQYKDDNLWRTTSEEKRALERLEHPMYNSVRQAAEVHRQVRKYMKSILKPGMLMTDLCETLENTVRKLISENGLQAGIAFPTGCSLNCVAAHWTPNTGDKTVLQYDDVMKLDFGTHIDGRIVDCAFTVAFNPMFDPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYTKDFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIVQPLPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Potri.015G116100.2.v4.1 pep chromosome:Pop_tri_v4:15:13071709:13081279:-1 gene:Potri.015G116100.v4.1 transcript:Potri.015G116100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116100.v4.1 MTMLKNLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTTRRIWIRILVWSAYLSADMVATVALGTLARSQGDSSGDNSKKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVGVAFYVFSRSWGSGILTFIAIPMFIVGIVKYAERTWVLWSSCSKRLKNSSLSDFWDSYDRMIIRTPPQDHQRDYLLQAYVFSYISKFVMQDLVPDILSLIKSRELISKNKADGAFKVVEAELGLIYDMLYTKAPLIYSRGGIILRCISSLLSMTAFITFQVKIDKHDYSTTDIAITYLLFAAAVFLEFYAFLCLVLSDWTMIWLIDKGGNGLTNATYLIRKLTRSERWSRSISQYNLISSSFESEPPECLESLGIDEMMRQMHVHRKDLNGGLQGLIFRHLRKKAQKIKEDFNVVDKNVTSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATDICYRVDKDADKDGSNVSKEYETSRCLSEYMIYLLVMRPNMLSKGFGDEGYLETLRDLRRPKDEELQGLIYQRTLRELWCPKNRGPDDDRYERAVRELRNSKSRGYDDRGFQSVWKTEKSVLRGVERLARQLLRLESEARRRMINEVWVEMVAYAAAQCPWKEHTHQLRRGGELLTHVSLLMLHLGLTEQYEYNESEDFSHLTLEDQEEYFKARDKYFQGKSGSSPHEEEEEEEYVEGIAATSGLSADERLKELEKIVADTKRDLERVADTERDLEHKKQELKREEQVLKRVLEHKNQELEQLRSSLTISAP >Potri.015G116100.3.v4.1 pep chromosome:Pop_tri_v4:15:13077432:13081274:-1 gene:Potri.015G116100.v4.1 transcript:Potri.015G116100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116100.v4.1 MTMLKNLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTTRRIWIRILVWSAYLSADMVATVALGTLARSQGDSSGDNSKKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVGVAFYVFSRSWGSGILTFIAIPMFIVGIVKYAERTWVLWSSCSKRLKNSSLSDFWDSYDRMIIRTPPQDHQRDYLLQAYVFSYISKFVMQDLVPDILSLIKSRELISKNKADGAFKVVEAELGLIYDMLYTKAPLIYSRGGIILRCISSLLSMTAFITFQVKIDKHDYSTTDIAITYLLFAAAVFLEFYAFLCLVLSDWTMIWLIDKGGNGLTNATYLIRKLTRSERWSRSISQYNLISSSFESEPPECLESLGIDEMMRQMHVHRKDLNGGLQGLIFRHLRKKAQKIKEDFNVVDKNVTSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATDICYRVDKDADKDGSNVSKEYETSRCLSEYMIYLLVMRPNMLSKGFGDEGYLETLRDLRRPKDEELQGLIYQRTLRELWCPKNRGPDDDRYERAVRELRNSKSRGYDDRGFQSVWKTEKSVLRGVERLARQLLRLESEARRRMINEVWVEMVAYAAAQCPWKEHTHQLRRGGELLTHVSLLMLHLGLTEQYEYNESEDFSHLTLVSIPKILLKYVDRYCIFLGVRIK >Potri.009G048602.1.v4.1 pep chromosome:Pop_tri_v4:9:5425651:5426632:1 gene:Potri.009G048602.v4.1 transcript:Potri.009G048602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048602.v4.1 MCPSLLHLDFIITGISGFVQTNPRPGWQGLPKRPAADEERGRTPDLVSDESAPNRSARTSCFYLHLGVTFFFFFFFYHKDQIKESGC >Potri.010G167700.2.v4.1 pep chromosome:Pop_tri_v4:10:17069453:17070062:-1 gene:Potri.010G167700.v4.1 transcript:Potri.010G167700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167700.v4.1 MVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGIFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAKSFNLSVPFLWESYSR >Potri.004G209500.1.v4.1 pep chromosome:Pop_tri_v4:4:21609994:21611541:1 gene:Potri.004G209500.v4.1 transcript:Potri.004G209500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209500.v4.1 MASSSSSQFRVLKLHSHSRISLSQILRRFSSSIKGSTAGAGFNFDDEKERRLQNQNPPEPIPNRPLRGERPNPNSNHSSSNRGGGGPNLNSDHSSSNRGGRGPKPNFNNNTNRPARPQPSHHPSTTSPFNLQPQTQTHDFNRISDDAFLDKFKLHPDHNNNVNKDAAAADTKAAAAPPPPKNEQASSASTSEPSQDAEQIFNKMKETGLIPNAVAMLDGLCKDGLVQEALKLFGTMREKGTIPEVVIYTAVVDGFCKAHKLDDAKRIFRKMQSNGITPNAFSYAVLIQGLSKCNLFDDAIDFCFEMLELGHSPNVTTFVGLIDGLCREKGVEEARTVIGTLRQKGFHVHDKAVRDFLDKNKPLSSSVWDAIFGKKPSHKPF >Potri.001G165900.1.v4.1 pep chromosome:Pop_tri_v4:1:14108972:14122455:-1 gene:Potri.001G165900.v4.1 transcript:Potri.001G165900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165900.v4.1 MEDVEMDVSNSYFDPEDLTIREQFRRYGKRHSISSVSPHQDGPVSKFSESRLLYDGNNIHSPTNAALILENIKQEVDSIETYHFEGATTPARNQSAIKRRSSVDSRGGFSEADLGIDSGARFGSQSLKACKIEDETLTDSGETTFGLFASLFDSAIQGLMPIRDLILRFEKSCRDVSESIRYGPNIWHRVVEDKLMRQKAQFLLDEAATWSLLWYLYGKVTEEPPEELIVSPSTSHLEACQFVVNDHTAQLCLRILQWLEGLASKALDLESKVQGSHVGTYLPKSGIWHQTQRFLQKGASNTNTVQHLDFDAPTREHAHQLLDDKKQDESLLEDIWTLLRAGRLENALDLCRSAGQPWRAATLCPFGGLDLVPSVEALVKNGKNRMLQAIELESGIGHQWHLWKWASYCASEKIAEQNGGKYEVAVYAAQCSNLKRILPICTNWESACWAMSKSWLDARVDLELARSQPGRTVQLKSYGDVGDGSPGQIDGAAHAAGPENWPQQVLNQQPRNLSALLQKLHSGELVNEAVSRGCKEQHRQIEMDLMLGNIPHLLDMIWSWIAPSEDDQNIFRPHGDSQMIRFGAHLVLVLRYLHAEEMQDSFREKLMTVGDLILHMYVMFLFSKQHEELVGIYASQLARHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLPFSSEDDSKGSFEEIIERILLRSREVKGGKYDKSSDVAEQHRLQSLEKATSIQWLCFTPPSTITNVKEVSVKLLLRALTHSNILFREFALISMWRVPAMPIGAHALLSLLAEPLKQLSELPNSLEDYVSENLKEFQDWSEYYSSDATYRNWLKIEIENGEVPPLELSVEDKQRATAAAKETLNSSMSLLLRKGNPWLASPDDETFESTMLVFLELHATAMLCLPSGECMHPDATICTALMSALYSSVCEEVVLRRQLMVNVTISPRDNYCIEIVLRCLAVEGDGLGSHQVSDGGVLGTVMAAGFKGELARFQAGVTMEISRLDAWYTSADGTLEGPATYIVRGLCRRCCLPEIILRCMQVSVSLMESGNPPECHDELMELVACPDTGFLQLFSQQQLQEFLLFEREYEICNMELQEELAS >Potri.001G165900.4.v4.1 pep chromosome:Pop_tri_v4:1:14109062:14122398:-1 gene:Potri.001G165900.v4.1 transcript:Potri.001G165900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165900.v4.1 MEDVEMDVSNSYFDPEDLTIREQFRRYGHSISSVSPHQDGPVSKFSESRLLYDGNNIHSPTNAALILENIKQEVDSIETYHFEGATTPARNQSAIKRRSSVDSRGGFSEADLGIDSGARFGSQSLKACKIEDETLTDSGETTFGLFASLFDSAIQGLMPIRDLILRFEKSCRDVSESIRYGPNIWHRVVEDKLMRQKAQFLLDEAATWSLLWYLYGKVTEEPPEELIVSPSTSHLEACQFVVNDHTAQLCLRILQWLEGLASKALDLESKVQGSHVGTYLPKSGIWHQTQRFLQKGASNTNTVQHLDFDAPTREHAHQLLDDKKQDESLLEDIWTLLRAGRLENALDLCRSAGQPWRAATLCPFGGLDLVPSVEALVKNGKNRMLQAIELESGIGHQWHLWKWASYCASEKIAEQNGGKYEVAVYAAQCSNLKRILPICTNWESACWAMSKSWLDARVDLELARSQPGRTVQLKSYGDVGDGSPGQIDGAAHAAGPENWPQQVLNQQPRNLSALLQKLHSGELVNEAVSRGCKEQHRQIEMDLMLGNIPHLLDMIWSWIAPSEDDQNIFRPHGDSQMIRFGAHLVLVLRYLHAEEMQDSFREKLMTVGDLILHMYVMFLFSKQHEELVGIYASQLARHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLPFSSEDDSKGSFEEIIERILLRSREVKGGKYDKSSDVAEQHRLQSLEKATSIQWLCFTPPSTITNVKEVSVKLLLRALTHSNILFREFALISMWRVPAMPIGAHALLSLLAEPLKQLSELPNSLEDYVSENLKEFQDWSEYYSSDATYRNWLKIEIENGEVPPLELSVEDKQRATAAAKETLNSSMSLLLRKGNPWLASPDDETFESTMLVFLELHATAMLCLPSGECMHPDATICTALMSALYSSVCEEVVLRRQLMVNVTISPRDNYCIEIVLRCLAVEGDGLGSHQVSDGGVLGTVMAAGFKGELARFQAGVTMEISRLDAWYTSADGTLEGPATYIVRGLCRRCCLPEIILRCMQVSVSLMESGNPPECHDELMELVACPDTGFLQLFSQQQLQEFLLFEREYEICNMELQEELAS >Potri.001G165900.3.v4.1 pep chromosome:Pop_tri_v4:1:14109061:14122401:-1 gene:Potri.001G165900.v4.1 transcript:Potri.001G165900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165900.v4.1 MEDVEMDVSNSYFDPEDLTIREQFRRYGKRHSISSVSPHQDGPVSKFSESRLLYDGNNIHSPTNAALILENIKQEVDSIETYHFEGATTPARNQSAIKRRSSVDSRGGFSEADLGIDSGARFGSQSLKACKIEDETLTDSGETTFGLFASLFDSAIQGLMPIRDLILRFEKSCRDVSESIRYGPNIWHRVVEDKLMRQKAQFLLDEAATWSLLWYLYGKEEPPEELIVSPSTSHLEACQFVVNDHTAQLCLRILQWLEGLASKALDLESKVQGSHVGTYLPKSGIWHQTQRFLQKGASNTNTVQHLDFDAPTREHAHQLLDDKKQDESLLEDIWTLLRAGRLENALDLCRSAGQPWRAATLCPFGGLDLVPSVEALVKNGKNRMLQAIELESGIGHQWHLWKWASYCASEKIAEQNGGKYEVAVYAAQCSNLKRILPICTNWESACWAMSKSWLDARVDLELARSQPGRTVQLKSYGDVGDGSPGQIDGAAHAAGPENWPQQVLNQQPRNLSALLQKLHSGELVNEAVSRGCKEQHRQIEMDLMLGNIPHLLDMIWSWIAPSEDDQNIFRPHGDSQMIRFGAHLVLVLRYLHAEEMQDSFREKLMTVGDLILHMYVMFLFSKQHEELVGIYASQLARHRCIDLFVHMMELRLNSSVHVKYKIFLSAMEYLPFSSEDDSKGSFEEIIERILLRSREVKGGKYDKSSDVAEQHRLQSLEKATSIQWLCFTPPSTITNVKEVSVKLLLRALTHSNILFREFALISMWRVPAMPIGAHALLSLLAEPLKQLSELPNSLEDYVSENLKEFQDWSEYYSSDATYRNWLKIEIENGEVPPLELSVEDKQRATAAAKETLNSSMSLLLRKGNPWLASPDDETFESTMLVFLELHATAMLCLPSGECMHPDATICTALMSALYSSVCEEVVLRRQLMVNVTISPRDNYCIEIVLRCLAVEGDGLGSHQVSDGGVLGTVMAAGFKGELARFQAGVTMEISRLDAWYTSADGTLEGPATYIVRGLCRRCCLPEIILRCMQVSVSLMESGNPPECHDELMELVACPDTGFLQLFSQQQLQEFLLFEREYEICNMELQEELAS >Potri.011G063900.1.v4.1 pep chromosome:Pop_tri_v4:11:5437790:5438865:1 gene:Potri.011G063900.v4.1 transcript:Potri.011G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063900.v4.1 MCCCCCDDDCKCRPLGFLLGLPFALLSVLLSVIGVLIWIVGLVLSCVCPCCFCVTIIVEFALGLIKAPFLVMKWFTSKIPC >Potri.001G109600.1.v4.1 pep chromosome:Pop_tri_v4:1:8832113:8836329:1 gene:Potri.001G109600.v4.1 transcript:Potri.001G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109600.v4.1 MSKLLARIAGYLSNRTLVGVDKVGNRYFTRTEEIDGIMKEKRCVIFKGEGDPTSLSVEWICWLNGQRKRAPTPEEQMELGARRELVKQNVALLKQEEEERRAKEGSSHKAKSTGKTGGPDLKSFIHQFPTEGNKLEEESDAVDRGSGEDEAGAQKAKEPLSEHSEPTGSGSTFKPGTWQPPT >Potri.004G034100.6.v4.1 pep chromosome:Pop_tri_v4:4:2646293:2651510:-1 gene:Potri.004G034100.v4.1 transcript:Potri.004G034100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034100.v4.1 MNGLSLFKRASRAFDDYPSLAKLIVVCTVSGGGYVAYADANSSNGAHAVAPPVPEIRKKKVVVIGTGWAGTSFLKKLNNPSYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRSIVRKKSVSVSYCEAECFKIDAENKKVYCRPNPDSSMNGKEEFAVDYDYLVIAMGARPNTFNTPGVVEHCNFLKEVEDAQRIRRSVIDSFEKASLPTLSDEERKRILHFVVVGGGPTGVEFAAELHDFVNDDLVKLYPAAKDFVQITILEAADHILNMFDKRITDFAEKKFHRDGIDVKLGSMVVKVSDKEISTKVRGNGGEITAIPYGMVVWSTGIGTHPVIRSFMQQIGQTNRRALATDEWLRVEGCNSIYALGDCATINQRKVMEDIAAIFKKADKDNSGTLTVKEFQEVIDDICERYPQVELYLKNKKMRDIADLLKMAKGDVAKEAIELNIEEFKKALSEVDSQMKNLPATAQVAAQQGTYLANCFNRMEEAEKNPEGPIRFREEGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKLVSWRTRALVITDWTRRSVFGRDSSRI >Potri.004G034100.1.v4.1 pep chromosome:Pop_tri_v4:4:2645957:2651535:-1 gene:Potri.004G034100.v4.1 transcript:Potri.004G034100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034100.v4.1 MNGLSLFKRASRAFDDYPSLAKLIVVCTVSGGGYVAYADANSSNGAHAVAPPVPEIRKKKVVVIGTGWAGTSFLKKLNNPSYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRSIVRKKSVSVSYCEAECFKIDAENKKVYCRPNPDSSMNGKEEFAVDYDYLVIAMGARPNTFNTPGVVEHCNFLKEVEDAQRIRRSVIDSFEKASLPTLSDEERKRILHFVVVGGGPTGVEFAAELHDFVNDDLVKLYPAAKDFVQITILEAADHILNMFDKRITDFAEKKFHRDGIDVKLGSMVVKVSDKEISTKVRGNGGEITAIPYGMVVWSTGIGTHPVIRSFMQQIGQTNRRALATDEWLRVEGCNSIYALGDCATINQRKVMEDIAAIFKKADKDNSGTLTVKEFQEVIDDICERYPQVELYLKNKKMRDIADLLKMAKGDVAKEAIELNIEEFKKALSEVDSQMKNLPATAQVAAQQGTYLANCFNRMEEAEKNPEGPIRFREEGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKLVSWRTRALVITDWTRRSVFGRDSSRI >Potri.004G034100.5.v4.1 pep chromosome:Pop_tri_v4:4:2646293:2651659:-1 gene:Potri.004G034100.v4.1 transcript:Potri.004G034100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034100.v4.1 MNGLSLFKRASRAFDDYPSLAKLIVVCTVSGGGYVAYADANSSNGAHAVAPPVPEIRKKKVVVIGTGWAGTSFLKKLNNPSYDVQVISPRNYFAFTPLLPSVTCGTVEARSIVEPIRSIVRKKSVSVSYCEAECFKIDAENKKVYCRPNPDSSMNGKEEFAVDYDYLVIAMGARPNTFNTPGVVEHCNFLKEVEDAQRIRRSVIDSFEKASLPTLSDEERKRILHFVVVGGGPTGVEFAAELHDFVNDDLVKLYPAAKDFVQITILEAADHILNMFDKRITDFAEKKFHRDGIDVKLGSMVVKVSDKEISTKVRGNGGEITAIPYGMVVWSTGIGTHPVIRSFMQQIGQTNRRALATDEWLRVEGCNSIYALGDCATINQRKVMEDIAAIFKKADKDNSGTLTVKEFQEVIDDICERYPQVELYLKNKKMRDIADLLKMAKGDVAKEAIELNIEEFKKALSEVDSQMKNLPATAQVAAQQGTYLANCFNRMEEAEKNPEGPIRFREEGRHRFRPFRYKHLGQFAPLGGEQTAAQLPGDWVSIGHSSQWLWYSVYASKLVSWRTRALVITDWTRRSVFGRDSSRI >Potri.019G119851.1.v4.1 pep chromosome:Pop_tri_v4:19:14578595:14580777:1 gene:Potri.019G119851.v4.1 transcript:Potri.019G119851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119851.v4.1 MPNKSLDSFIFDRDLGKLLNWEMRFDIILGVARGLLYLHQDSRLRIIHRDLKTSNILLDAEMNPKISDFGLARMFEGKQTEGSTNRVVGTYGYMSPEYALDGLFSVKSDVFSFGVVVLEILSGKRNTGYFNSDEAQSLLAYAWRLWREDKALDLMDETLRESCNTNEFLRCVNAALLCVQDDPSDRPTMSNVVVMLSSETANLPVPKNPAFFIRKGLSGTASCSSKQGTGLSGTASSSSKQETSIDTAIASDEGR >Potri.019G001604.1.v4.1 pep chromosome:Pop_tri_v4:19:802744:803882:-1 gene:Potri.019G001604.v4.1 transcript:Potri.019G001604.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001604.v4.1 MACISMRRLQVCVLREASSLKGLDNILLMLRYTETRSTLGAHFCQRKNLSILPTDVFALTM >Potri.019G001604.2.v4.1 pep chromosome:Pop_tri_v4:19:801658:803882:-1 gene:Potri.019G001604.v4.1 transcript:Potri.019G001604.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001604.v4.1 MACISMRRLQVCVLREASSLKGLDNILLMLRYTETRSTLGAHFCQRKNLSILPTDVFALTM >Potri.001G060600.1.v4.1 pep chromosome:Pop_tri_v4:1:4624984:4625815:-1 gene:Potri.001G060600.v4.1 transcript:Potri.001G060600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX5 MQYHQAESWGYYVPMRTSMVSDPLEKVARLASGSAVVVFSISSCCMCHAVKRLFCGMGVNPTVYELDHDPRGKEIEKALMRLLGSSTSVPVVFIGGKLIGAMDRVMASHISGTLVPLLKEAGALWL >Potri.016G006900.3.v4.1 pep chromosome:Pop_tri_v4:16:341492:346592:-1 gene:Potri.016G006900.v4.1 transcript:Potri.016G006900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006900.v4.1 MVGYCNEEQFLGREDLSLSHPGPIVLELNRLQNLLKERERELGSAQGEIKALRATEALKDKAIEELRNEVGKLDQKLGVTENLVEHKNLEIKKLTNEKKDALAAQYAAEAILRRVHANQKGDDSPPIESVIAPLEAEIKMYKNEIASLQEDKKAMERLTKSKESALLEAERILRSALERALIVEEVQNQNYELKRQIEICQEENRILEKTNRQKVLEVEKLSQTICELEEAILAAGAAANTIRDYRRQISELKEEKRMLERELARARVSANRVATVVANEWKDENDKVMPVKQWLEERRLLQAEMQRLKEKLAISERTANAEAQLKEKMKLRLNTLEEGLKHTSSFSANPNASCGSPKPGNTNKILGFLKSNAGMRRRSTSQPRGSSINRNSPLQQPNIETENANASGKLNGADSFKKKYGSGENMLTKGIWVSRNKVIDISGKENEEVKTKADSCIDKHGIDGTTNSEETKNKVSGNEDLPNKGSANSDSQDVVSGFLYDRLQKAVINLQKSCETKERILNAKDQEIQMLMKKVNALTKSIEVESKKVKREAAAREKEAASAKLNKPKRFGA >Potri.016G006900.6.v4.1 pep chromosome:Pop_tri_v4:16:341492:345405:-1 gene:Potri.016G006900.v4.1 transcript:Potri.016G006900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006900.v4.1 MVGYCNEEQFLGREDLSLSHPGPIVLELNRLQNLLKERERELGSAQGEIKALRATEALKDKAIEELRNEVGKLDQKLGVTENLVEHKNLEIKKLTNEKKDALAAQYAAEAILRRVHANQKGDDSPPIESVIAPLEAEIKMYKNEIASLQEDKKAMERLTKSKESALLEAERILRSALERALIVEEVQNQNYELKRQIEICQEENRILEKTNRQKVLEVEKLSQTICELEEAILAAGAAANTIRDYRRQISELKEEKRMLERELARARVSANRVATVVANEWKDENDKVMPVKQWLEERRLLQAEMQRLKEKLAISERTANAEAQLKEKMKLRLNTLEEGLKHTSSFSANPNASCGSPKPGNTNKILGFLKSNAGMRRRSTSQPRGSSINRNSPLQQPNIETENANASGKLNGADSFKKKYGSGENMLTKGIWVSRNKVIDISGKENEEVKTKADSCIDKHGIDGTTNSEETKNKVSGNEDLPNKGSANSDSQDVVSGFLYDRLQKAVINLQKSCETKERILNAKDQEIQMLMKKVNALTKSIEVESKKVKREAAAREKEAASAKLNKPKRFGA >Potri.016G006900.5.v4.1 pep chromosome:Pop_tri_v4:16:341492:345405:-1 gene:Potri.016G006900.v4.1 transcript:Potri.016G006900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006900.v4.1 MVGYCNEEQFLGREDLSLSHPGPIVLELNRLQNLLKERERELGSAQGEIKALRATEALKDKAIEELRNEVGKLDQKLGVTENLVEHKNLEIKKLTNEKKDALAAQYAAEAILRRVHANQKGDDSPPIESVIAPLEAEIKMYKNEIASLQEDKKAMERLTKSKESALLEAERILRSALERALIVEEVQNQNYELKRQIEICQEENRILEKTNRQKVLEVEKLSQTICELEEAILAAGAAANTIRDYRRQISELKEEKRMLERELARARVSANRVATVVANEWKDENDKVMPVKQWLEERRLLQAEMQRLKEKLAISERTANAEAQLKEKMKLRLNTLEEGLKHTSSFSANPNASCGSPKPGNTNKILGFLKSNAGMRRRSTSQPRGSSINRNSPLQQPNIETENANASGKLNGADSFKKKYGSGENMLTKGIWVSRNKVIDISGKENEEVKTKADSCIDKHGIDGTTNSEETKNKVSGNEDLPNKGSANSDSQDVVSGFLYDRLQKAVINLQKSCETKERILNAKDQEIQMLMKKVNALTKSIEVESKKVKREAAAREKEAASAKLNKPKRFGA >Potri.016G006900.4.v4.1 pep chromosome:Pop_tri_v4:16:341492:346592:-1 gene:Potri.016G006900.v4.1 transcript:Potri.016G006900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006900.v4.1 MVGYCNEEQFLGREDLSLSHPGPIVLELNRLQNLLKERERELGSAQGEIKALRATEALKDKAIEELRNEVGKLDQKLGVTENLVEHKNLEIKKLTNEKKDALAAQYAAEAILRRVHANQKGDDSPPIESVIAPLEAEIKMYKNEIASLQEDKKAMERLTKSKESALLEAERILRSALERALIVEEVQNQNYELKRQIEICQEENRILEKTNRQKVLEVEKLSQTICELEEAILAAGAAANTIRDYRRQISELKEEKRMLERELARARVSANRVATVVANEWKDENDKVMPVKQWLEERRLLQAEMQRLKEKLAISERTANAEAQLKEKMKLRLNTLEEGLKHTSSFSANPNASCGSPKPGNTNKILGFLKSNAGMRRRSTSQPRGSSINRNSPLQQPNIETENANASGKLNGADSFKKKYGSGENMLTKGIWVSRNKVIDISGKENEEVKTKADSCIDKHGIDGTTNSEETKNKVSGNEDLPNKGSANSDSQDVVSGFLYDRLQKAVINLQKSCETKERILNAKDQEIQMLMKKVNALTKSIEVESKKVKREAAAREKEAASAKLNKPKRFGA >Potri.008G070600.2.v4.1 pep chromosome:Pop_tri_v4:8:4327776:4339269:1 gene:Potri.008G070600.v4.1 transcript:Potri.008G070600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070600.v4.1 MQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKSFNAGQVTSKLHVIELGAQPGKPSFTKKQADLFFPPDFVDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASVVGGFYAINRRGQVLLATVNEAMIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQPGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQAMLRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQAAKEYCEQLGVDACVKLFEQFKSYEGLYFFLGSYLSSSENPDIHFKYIEAAARTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVADLTHYLYSNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLLSDAIESFIRADDATQFLEVIRAAEDANVYHDLVKYLLMVRQKAKEPKVDSELIFAYAKTDKLTDIEEFILMPNVANLQNVGDRLYNETLYEAARIIFQFIANWAKLAITLVKLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGYFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMIAVQSNNVSAVNEALNQIYMEEEDYERLRESIDLHDNFDQIGLAQKVEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEKGKKECFASCLFVCYDLIRPDIALELAWMNNMIDFAFPYLLQFVREYTGKVDELVKDKINAQNEVKTKEQEEKDVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPMGGMGMPPMPPFGMPPMGSY >Potri.008G070600.3.v4.1 pep chromosome:Pop_tri_v4:8:4331621:4339329:1 gene:Potri.008G070600.v4.1 transcript:Potri.008G070600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070600.v4.1 MIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQPGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQAMLRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQAAKEYCEQLGVDACVKLFEQFKSYEGLYFFLGSYLSSSENPDIHFKYIEAAARTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVADLTHYLYSNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLLSDAIESFIRADDATQFLEVIRAAEDANVYHDLVKYLLMVRQKAKEPKVDSELIFAYAKTDKLTDIEEFILMPNVANLQNVGDRLYNETLYEAARIIFQFIANWAKLAITLVKLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGYFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMIAVQSNNVSAVNEALNQIYMEEEDYERLRESIDLHDNFDQIGLAQKVEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEKGKKECFASCLFVCYDLIRPDIALELAWMNNMIDFAFPYLLQFVREYTGKVDELVKDKINAQNEVKTKEQEEKDVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPMGGMGMPPMPPFGMPPMGSY >Potri.008G070600.4.v4.1 pep chromosome:Pop_tri_v4:8:4333174:4339251:1 gene:Potri.008G070600.v4.1 transcript:Potri.008G070600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070600.v4.1 MMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQAAKEYCEQLGVDACVKLFEQFKSYEGLYFFLGSYLSSSENPDIHFKYIEAAARTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVADLTHYLYSNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLLSDAIESFIRADDATQFLEVIRAAEDANVYHDLVKYLLMVRQKAKEPKVDSELIFAYAKTDKLTDIEEFILMPNVANLQNVGDRLYNETLYEAARIIFQFIANWAKLAITLVKLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGYFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMIAVQSNNVSAVNEALNQIYMEEEDYERLRESIDLHDNFDQIGLAQKVEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEKGKKECFASCLFVCYDLIRPDIALELAWMNNMIDFAFPYLLQFVREYTGKVDELVKDKINAQNEVKTKEQEEKDVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPMGGMGMPPMPPFGMPPMGSY >Potri.008G070600.1.v4.1 pep chromosome:Pop_tri_v4:8:4327210:4339421:1 gene:Potri.008G070600.v4.1 transcript:Potri.008G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070600.v4.1 MAAANAPITMKEVLTLPSIGISPQFITFTNVTMESDKYICVRETAPQNSVVIIDMHMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSYQMPEQIVFWKWITPKMLGLVTQTSVYHWSIEGDSEPVKMFERTANLQSNQIINYKCDPSEKWLVLIGIAPGSPERQQLVKGNMQLFSVDQQRSQALEAHAASFAQFKVPGNENPSTLISFATKSFNAGQVTSKLHVIELGAQPGKPSFTKKQADLFFPPDFVDDFPVAMQISHKYSLIYVITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASVVGGFYAINRRGQVLLATVNEAMIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQPGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYSPDYLFLLQAMLRSDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQAAKEYCEQLGVDACVKLFEQFKSYEGLYFFLGSYLSSSENPDIHFKYIEAAARTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVADLTHYLYSNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECPEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVDVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLLSDAIESFIRADDATQFLEVIRAAEDANVYHDLVKYLLMVRQKAKEPKVDSELIFAYAKTDKLTDIEEFILMPNVANLQNVGDRLYNETLYEAARIIFQFIANWAKLAITLVKLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGYFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMIAVQSNNVSAVNEALNQIYMEEEDYERLRESIDLHDNFDQIGLAQKVEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEKGKKECFASCLFVCYDLIRPDIALELAWMNNMIDFAFPYLLQFVREYTGKVDELVKDKINAQNEVKTKEQEEKDVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPMGGMGMPPMPPFGMPPMGSY >Potri.001G292900.2.v4.1 pep chromosome:Pop_tri_v4:1:30473346:30475390:-1 gene:Potri.001G292900.v4.1 transcript:Potri.001G292900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292900.v4.1 MAAFAGTQQKCMACDKTVYLVDKLTADNRIYHKACFRCHHCRGTLKLSNYSSFEGVLYCRPHYDQLFKRTGSLDKSFEGTPKIVKPEKPVDNENASKVSNLFAGTREKCVGCNKTVYPIEKVTVNGTPYHRSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLFKEKGNYSQLENEREKNPVTVNITAVEIAAES >Potri.002G041300.8.v4.1 pep chromosome:Pop_tri_v4:2:2695860:2704745:-1 gene:Potri.002G041300.v4.1 transcript:Potri.002G041300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041300.v4.1 MANPQKAWKAEYAKSARSSCKTCKSIIDKEILRLGKMVHAKQFDGFMPMWNHASCILKKANQIKFIDDVEGIESLRWEDQQRIRKYVEEGGGGGDDGASGSGPPSAKAAKAMEYGIELSQTSRATCKSCSEKIMKGEVRISSKPDGQGPRGLAWHHANCFMDLYPSVQVDKLSGWESLAAPDQAVVHSLVKKVPSTAKTGIKNEGKEDEELQQSSSKAGAKRRKDISGDQKSKVAKSEDVSTSRAASAKNDSELDSKLESQSKELWALKDDLKKHVTTVELRALLEANSQISNGSELDLRDRCADGMVFGALGGCPMCSGSLHYSGGMYRCGGYLSEWSKCSHSTREPARLKGKWKIPDETDNQYLIKWFKSQKRNKPVRILPPPSSNNLSGSQATSSQSQSSKSENLGDLKVAVSGLPKESLKEWKGKIEAAGGQLHAKIKKDTNCFVVSGVMSSEDADMRKARRMKLPIVREDYLVDCFKRQKKLPFDSYKVEASGGVSSMVTVKVKGRSAVHEASAMQDTGHILEDGKSIYNTTLNMSDLSTGVNSFYILQIIQDDKGLECYVFRKWGRVGNEKIGGNKLEEMSKSDAIHEFKRLFLEKTGNPWEAWEQKKDFQKKPGRFFPLDIDYGVNRQVTKKTRSDADSKLAPPLVELMKMLFDVETYRAAMVEFEINMSEMPLGKLSKNNIQKGFEALTEIQNLLSSNAHDPSIKESLIIDASNRFFTVIPSIHPHAIRDEDDFKSKVKMLEALQDIEIASRLVGFDVDSDDSLDDKYKKLHCDICPLPHDSEDYQLIEKYLLTTHAPTHTDWSLELEEVFLLERRGEFDRFARYRETLKNRMLLWHGSRLTNFVGILSQGLRIAPPEAPTTGYMFGKGVYFADLVSKSAQYCFTDKKNPVGLMLLSEVALGEVYELKKATYMEKPPEGKHSTKGLGKKVPEESGYVKWRNDVIVPCGKPVSSKVKASELMYNEYIVYNTAQVKMQFLLKVRFHHKRR >Potri.010G104200.1.v4.1 pep chromosome:Pop_tri_v4:10:12622644:12624742:1 gene:Potri.010G104200.v4.1 transcript:Potri.010G104200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104200.v4.1 MATSTQGVNLDPEKQTLLNQHKEKHFTAGEIVRDIIIGVSDGLTVPFALAAGLSGANATSSIVLTAGVAEVAAGAISMGLGGYLAAKSEADHYARELRREQEEIKSVPDTEAAEVAEILAHYGIEPHEYGPVVSALRKKPQAWLDFMMKFELGLEKPDPRRALQSALTIAIAYILGGFVPLIPYMFIPSAQDAVIASVILTLAALLIFGFAKGYFTGNKPFRSALQTALIGAIASAAAFGIAKAVHP >Potri.018G114100.1.v4.1 pep chromosome:Pop_tri_v4:18:13085309:13089928:1 gene:Potri.018G114100.v4.1 transcript:Potri.018G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114100.v4.1 MAFEDHHHIPQEMAFQLQHHHLSASSSTGPPWLSNAVLRRNGDFITIEKTENTTNNGSEEELVDSVSDNWERAKCKAEILGHPFYEQLLAAHVACLRIATPVDQLARIDTQLARSQDVIAKYSGVGCGHVVDEKELDQFMTHYALLLCSFKDQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDEDDQAESDTNFNDGNLDGLDTMGFGPLVPTETERSLMERVRQELKHEFKQDYKEKIVDIREEILRKRRAGKLPGDTTSHLKAWWQTHSKWPYPSEEDKARLVQETGLQLKQINNWFINQRKRNWHSSPSGSTSKSKRKK >Potri.009G044800.1.v4.1 pep chromosome:Pop_tri_v4:9:5127502:5130371:1 gene:Potri.009G044800.v4.1 transcript:Potri.009G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044800.v4.1 MPHVKNPQLDVRSEAFSFLGLPKVYIIHPAKFLTLQILNVSSSSTRPKLWPFCLLAMETLTGLYTKVFTKNNNKTLSPSRSAQLGRSDIGFSPSPSLKRAHFDHLALCRRRDAPLLVMKSHPASNAEVLTGFETAVSGNQKITPEKTVHVKFQLQKECMFGEQFLLVGEDPMIGMWDPSNAIPLDWSEGHTWSVELDVRIDLTMQYKFILKRSTGEILWQPGPDRIFKTWESSSSVVIAEDWENAGAQKIMEEQMINTPDLEPVGAGNVSLQGGEVMSDVNKDLMLSGHIACAEDKSNGKYEVVNGIAYPAEGHIINADIKLESGESFGSRKEVSVPADKNNCATPTCKNPVTMEDEETLLTCEQRTVLVPGLKSSTSSL >Potri.019G043701.1.v4.1 pep chromosome:Pop_tri_v4:19:6060961:6062023:-1 gene:Potri.019G043701.v4.1 transcript:Potri.019G043701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043701.v4.1 MEERKGDLRIYVAVALFSACLVSGGALLALYMFLPISNVKSWYAIAGMILVAAPWAFWFLTYIYRCLRPVDYTLSEPCKSPAGSPFSRKEGAAMSPRNPPFDDEPRRPSSDGIRHVHFGGVVVVSDDVDNNGGHQGGLTHSEIKHKEENSVNSRESEIPLPFFVVPKK >Potri.005G232500.2.v4.1 pep chromosome:Pop_tri_v4:5:23140174:23143074:-1 gene:Potri.005G232500.v4.1 transcript:Potri.005G232500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232500.v4.1 MNYEGYGNSHVSVGPWGGQSGARWDDGVYNTVRQVVICHGATIDSIQFEYDKRGSSVWSEKHGGTGCFKTAKVKLNYPDEYLVSISGHCSRAVEYGPVLVRSLMFESNKKMYGPFGIQYGTYFSIPMTGGKIVGFHGRSSWYLDSIGVYLMPLLQRNPSDNFATPRNYKTNGTDEKYKDDNYDLEDELGNKAVSYGPWGGNGGNIFDDGVYTGVREVHLTRYGGVVSIRICYDLNGKEIWGSKNGGSGGIRVDKILFDYPSEILTHITGYYGSTILRGPAVVKSLTFHTNKRKYGPFGEEQGTSFSSASNNGIIVGFHGRKGWFVDSIGVHVQERTLPVPRPIPRPFYETSETSEIQQVYEVIPGAVKEAAPLVSGPWGGVGGKPWDDGVFSGVKKIFLTKGEGIYSIQFKYDRNGQSFWSVRHGGGSEGSINMIKFDYPYEVLTSVCGYYASLTGDDQGRGVVIKSLTFYTNKAKYGPYGEETGTFFTSTKTEGKIVGFHGRSGCYLNAIGVHLQQWSNDLAQQGLGERGRPVRMIINKLFN >Potri.005G232500.1.v4.1 pep chromosome:Pop_tri_v4:5:23140174:23143074:-1 gene:Potri.005G232500.v4.1 transcript:Potri.005G232500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232500.v4.1 MNYEGYGNSHVSVGPWGGQSGARWDDGVYNTVRQVVICHGATIDSIQFEYDKRGSSVWSEKHGGTGCFKTAKVKLNYPDEYLVSISGHCSRAVEYGPVLVRSLMFESNKKMYGPFGIQYGTYFSIPMTGGKIVGFHGRSSWYLDSIGVYLMPLLQRNPSDNFATPRNYKTNGTDEKYKDDNYDLEDELGNKAVIRRKETNTNGFMNTKQAVSYGPWGGNGGNIFDDGVYTGVREVHLTRYGGVVSIRICYDLNGKEIWGSKNGGSGGIRVDKILFDYPSEILTHITGYYGSTILRGPAVVKSLTFHTNKRKYGPFGEEQGTSFSSASNNGIIVGFHGRKGWFVDSIGVHVQERTLPVPRPIPRPFYETSETSEIQQVYEVIPGAVKEAAPLVSGPWGGVGGKPWDDGVFSGVKKIFLTKGEGIYSIQFKYDRNGQSFWSVRHGGGSEGSINMIKFDYPYEVLTSVCGYYASLTGDDQGRGVVIKSLTFYTNKAKYGPYGEETGTFFTSTKTEGKIVGFHGRSGCYLNAIGVHLQQWSNDLAQQGLGERGRPVRMIINKLFN >Potri.010G034400.1.v4.1 pep chromosome:Pop_tri_v4:10:6260468:6261752:-1 gene:Potri.010G034400.v4.1 transcript:Potri.010G034400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034400.v4.1 MAASASLLSLSSISFGENRFKIISGQVTTKLSIVAHKKQPVLKSKPSFLAFHRLDGLRFLSSSTSTRASSNGFFDTTQDKEILPSFEPKPAKFLFWVAFWASLSLVWFAASGDANAAVDSIKASGFGLKIATAFRRLGWPDEAVVFALATLPVLELRGAIPVGYWMQLKPIMLTILSVVGNMVPVPFIILYLKPFASFLAGRNQPASRFLDMLFENAKEKSGPVKEFQWLGLMLFVAVPFPGTGAWTGAIIASILDMPFWSAVSANFCGVVLAGLLVNLLVNLGLKYATITGIILFFISTFMWSILRHIS >Potri.004G097700.2.v4.1 pep chromosome:Pop_tri_v4:4:8421326:8424901:-1 gene:Potri.004G097700.v4.1 transcript:Potri.004G097700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097700.v4.1 MANEQYLRTLSTLIPFKPHSQLAHVFHFMFFVFGLSLGMIVCLYLRSFTLQATPSPMFSPIPSKSLLVETIKGTHIYMKEQELLAMHNMSDEELLRRASMVPIVQESAQKQAPKVAFMFLTNGPLPLSLLWEKFFEGHEGLYSIYVHPHPSYNDSWPRSSVFFGRRIPSQAVYWGTGTMIDAERRLLANALLDSSNQRFVLLSESCIPLFNFKTTYDHLMNSNISFLGSFDDPRKPGRGRYNPRMWPAINITDWRKGSQWFEVHRDIAVHIISDQKYYQIFQEHCHPPCYMDEHYFPTLVNILYPELNSNRSITWVDWSRGGPHPGKFRWADITDEFLNQIRHGSECVYNGNTTSMCYLFARKFLPQTLEPLLRIAPLLHVFDP >Potri.004G130000.3.v4.1 pep chromosome:Pop_tri_v4:4:14645308:14651835:-1 gene:Potri.004G130000.v4.1 transcript:Potri.004G130000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130000.v4.1 MSFHLARFILQFGISSRTASINSSSGYYHLLFNPNKRKFTATAIPTAQKSSKEELLVVVGGGAAGVYGAIRAKTLAPNLDVLVVEKGNPLSKVKISGGGRCNVTNGHCSDIKILAEQYPRGHREFKGSFFDMHGPADTMSWFTDHGVALKIEEDGRVFPTSNSSSSVIDCLLSEAKHRGVSLQNRKVVSSASVEAGGKFLLKLEKRTVSFVENVKADYLLIASGSSQQGHSLAAQLGHSIVDPVPSLFTFKIADSGLAELSGVTFPKVEVKLKLENILRNTPHLTQVGPMLVTHWGLSGPVILRLSAWGARDLFSSGYKGTLIVDFVPDLHIEDMKSILNRHKHKYAKQKALNSWPLGFSLTKRFWKYIVDREGLIGDALWASISNNSIVSIAHLLKHCAFEITGKGQYKDEFVTAGGVPLSEISLNTMESKKCARLFFAGEVLNVDGVTGGFNFQNAWSGGYIAGTSIGELAAEATLEEGIL >Potri.009G060901.1.v4.1 pep chromosome:Pop_tri_v4:9:6298531:6299146:-1 gene:Potri.009G060901.v4.1 transcript:Potri.009G060901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060901.v4.1 MVFQYLRISGECHNSFAVSATMSVCSEDWMMELMCFGCHELSQFFCPPVPSSSTRRGLSLEISLPAALFEGGRGFSASASSNEAENSILRTIIPRGILPINRSYLSHHMTIK >Potri.018G137500.1.v4.1 pep chromosome:Pop_tri_v4:18:15722580:15730402:-1 gene:Potri.018G137500.v4.1 transcript:Potri.018G137500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137500.v4.1 MPPPTGPGGGGGGTGGGEVGQQRQGQQQQQGGMGQTITGIIRMAVFWYFISKFISPKKAPTDPSILISNLFQKGESLDMWFYLSEHERFNDFSNEGALIWHETNIPYAVWGPESTRSLSLKYHPSEALKHNGTLYGHIFFAQSGYPPDPSDPEYQPLAAFGRTHPVVTYLPKSKSDKRKSLWGNSKDSEEVEAASQVVDDSQADSKDDGPVEWISYWKPNVTINLVDDFTKYPHNAVPPNIAPYLNVEPTSGNYFPTLFFNEFWLLRDKFIAINDTVTELTLNLEVGPISTTKWQLFLQIDQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFISQLIVFLYLLDNDTSWMILGSSGIGCCIEFWKIGKAMHIEIDRSGKIPMLRFRDRESYAGNKTKEYDDIAMKYLSYVLFFLVACSSVYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWAYPVDKTRVNEFGFGGEDDQASSGEVTPAKEEEKKTN >Potri.001G218201.1.v4.1 pep chromosome:Pop_tri_v4:1:22808487:22809396:1 gene:Potri.001G218201.v4.1 transcript:Potri.001G218201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218201.v4.1 MSQPTTTTTTTKIPKLYSTKAKVYDCIVFFLFITVSFILVVSVPVITRGVIFLQPESPQFNVSSSSLTILNVSSNAITANFNVTFSTKNPNRKTMSYEKVVALLLYGNESLSTITLPPFHQPGKTQKTLQAAFPSLFFQVKDNSSSRGAAVSLTTELHAKAKYGGWTWPVEKDLIKAACDDDVKVEFPSNVTTLVFGSSECDVNGQWKRIVTRCSRIFRNYIYVVSIVLFILFVSL >Potri.008G129800.1.v4.1 pep chromosome:Pop_tri_v4:8:8491366:8493195:-1 gene:Potri.008G129800.v4.1 transcript:Potri.008G129800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129800.v4.1 MESTTVLFLFLLFLFLHHPSATSSTPTSIFPNEALPTNSGYIPVKPKTNSAIFYTFYEAQKPTSPLSQTPLLIWLQGGPGCSSMTGNFLELGPYRVVDSQDNDHPALQPNLGSWNRIFGLIFIDNPIGTGFSIASSPEEIPRDQHTVAEHLFAAISEFIKLDPVFKTRPIYITGESYAGKYVPAIGYYILKKNTKLPAAKQVNLKGVAIGNGLTDPVTQVKTHALNAYFSGLINERQKGELEEAQREAVKLVKMGNWSEATDARSRVLNLLQNMTGLATLYDFTRKVPYETELVTKLMQLAEVKVALKANESIVFEDCSDTVGEALHEDVMKSVKYMVEFLVKKSNVFLYQGHFDLRDGVVSTEAWVKTMKWEGIGKYLMAERKVWKVNGVLAGYVQKWRSFSNAVVLGAGHLVPTDQAVNSQAMIEDWVLERGVFANVEGEDSVSDSRGAL >Potri.010G147600.1.v4.1 pep chromosome:Pop_tri_v4:10:15839018:15843028:1 gene:Potri.010G147600.v4.1 transcript:Potri.010G147600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147600.v4.1 MASSSSTEAETTTERRGIPGAQFVEDVETYLTQSGLDVNSSLSFLQERLQQYKLVEMKLLAQHRDLQAKIPDIEKCLDVVAILLAKKGTGEPLIADFEVSEGIYSQARIEDAGSVCLWLGANVMLEYSCEEATALLQKNLDNAKASLEVLVADLQFLRDQVTITQVTIARVYNWDVHQKRRMREAVTAEKDS >Potri.010G147600.2.v4.1 pep chromosome:Pop_tri_v4:10:15842310:15843025:1 gene:Potri.010G147600.v4.1 transcript:Potri.010G147600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147600.v4.1 MFTRSAECEKLLLLRKTHETGVPLQPGFRGSKACLSARIMVLISRFLFW >Potri.015G021400.1.v4.1 pep chromosome:Pop_tri_v4:15:1589008:1596010:-1 gene:Potri.015G021400.v4.1 transcript:Potri.015G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G021400.v4.1 MVKLASARESRMYGPRLARNRGEYMNAGLCVFAAIVLVGGFVAELSKEPKSGLVLLLIGLLLIMVVNLHDLVAHLAGIDYRFPLMGFDTQLALVEFAVPVVLASGALLSFLGILFLFIQEYKGYGHFKLERHALNLLIAGPALWVLGSIHNSCQIYERADGHVQILQQSVHIPFLMGSLLFLVGSILNIHEQAGRGHHGLKLLGKTWVWTGICGSLIIFIGGLANVVKVFKMQQIDGLRLEKLRGGAQERLIREREGHSPLILEEERRRKMIAAETRATPLPATTPYKDVLVGQP >Potri.004G017500.1.v4.1 pep chromosome:Pop_tri_v4:4:1235747:1246182:-1 gene:Potri.004G017500.v4.1 transcript:Potri.004G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017500.v4.1 MEGNEQFPCPSPYPQPFKDVSNFKTPKRPPNLSKFTSPSSQFFTASKRTPMSSSSFRPSLSGQSRPKPASTTARRRLKAFEIEQSQSSRKAQIRKEQALKTLSKSLTTWINFLFENPSACGCSCNFTQNAVVGPSVVVGLGKREGGAAGVGDTWRSPKRSRDVTWQGGGGGGDGDVLNFKRYGKLKEGLKEVCSVEDLMERMRVYLSLGCCKEVFDAMVLVVKTIDEGRLKMKPHCPIVTDFGMKEKAMRILMCYNPVWLRIGLQIILGGDSLLPSGDIDSDQEISFLKMVIEKQFLSHTGLAKTYAYNRKVEGLYRPGYYESLGNVILKRFLLLVLILDRTKLQSGLSLKYGIDGVDGGSPLLFVVQSSIKSSRQMINDFLSSEVMHGEGNLLAHLVVIGYKVSYQQCSLVEYDFRVTDLFAELQDGVRLCRAIQLLQNDSSILMKMVVPSDTRKRNLANCGLALQYLKRAGVTLQDEDGMTILEDDVANGDMELTVSLLWNMFVHLQLPLLLNKTTLANEILKIHGVNMDSANISPGSSPLELLLSWIQAVCGKYDNKIDNFASLVDGKAIWCLLDYYFRKELSCSHSPKDPHESRREESLMSAIDYTDSVHNFLLSQKLTTLLWNFPEVLHISDILEHSGAINHRSVVILLVFLSSQLTVKKTMDQLNFHKLLCCDCQERRTSSVGRCSLSSEAELDQDIIDGSSTEDAARKFRAIKAWWQDMAERNNKFITQPGTSVLDCNSTSNLGIISQRENAAKVIQSHFRRSVERHNFLKMRRAASFLQTAIRAWLMVKKRPFLLKFSSVTVQDFRCERWSQAENLGRYVKFIVDRHRFVKLRRDVMLIQKATRIWIRQRHKSDCVGNLDVSTLDIVNAAIAVQKFIRGWAARSRYKDVQLEKASSTCQFDGLTVQLSSKTIISRSIHEQQLAATKIQIHFQGWLLRRTFLIRKQAIMKIQSNYRCLRCRRAFQQFCIAKKSAIVIQSCVRGWIVRRKVGRYRYLIGVLQRYCRAWLIRRDFLFQKQAATQIQSAIRCLNCRTAFKSCKDATIEIQRFVRGHTTRNRLLGASHFSGGIASYGNFLTSGVCFQSLKLKVMMSSVLKLQRWWRGILFLKLRTKSAIVIQAHIRGWIGRQMASRERQCVALQREAVLKIQSAVRCLNCWKAFHCCKQAAIEIQGFVRGEITRNRLLGASHFHRATASYCKMQTSRVCLQSLELKIVMSSILKLQRWWRGVLLLKHRAKSAILVQSHVRGWIGRKKASRERQRVVVVQSHWKGFLARKNARGQLLDLRLRMQNSAKNVDDSMRIINRLIVALSELSSMKSVSGILHTCATLDMTTEHSQKCCEKLVAAGAIDNLLKLIRSVSQSMPDQEVLKHALSVLRNLARYPHLIEVLIDSQGVVEIILWQLLRNKEEGYFIASEVMKKICSHQKGVEMVLRKPPIIKRLHSLVEELTRKANFEKKKPRGMAVRDNMERRLREAVELLKLINSKLW >Potri.001G372400.1.v4.1 pep chromosome:Pop_tri_v4:1:39039988:39042177:-1 gene:Potri.001G372400.v4.1 transcript:Potri.001G372400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372400.v4.1 MIANNINIKTVKPIKSDIYIYIDMSRLQAEEETQKAFGWAARDSSGILSPFHFTRRVNGDNDITIKILYCGICHSDLHIARNDFGISIYPVVPGHEIVGVVTQVGKKVDRFKVGDKAGVGCLIGSCGKCENCQNDMESYCSKTVYTYTIFYDGGDKNYGGYSDVYVVNEHFAIRFPDNLSLGGGAPLLCAGITVFSPMKYFGLDKAGMHLGVVGLGGLGHLAVKFAKAFGMKVTVISTSPSKQHEAIEQLKADSFIVSHDMKQMEAATGTMNGIIDTVAAVHPLKPLLDLLKTNGKLILVGAQSLEKPLEVPAMPLYGRKLVSGSMAGGVKETQEMIDFAAEHNIEANVEVIPMDYVNKAMDRLAKGAVRYRFVIDIANTL >Potri.010G143900.1.v4.1 pep chromosome:Pop_tri_v4:10:15633473:15635602:1 gene:Potri.010G143900.v4.1 transcript:Potri.010G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143900.v4.1 MAVDKYNLKNPSVKRILQEVREMQSSPSDDFMSLPLEENIFEWQFAIRGPGETEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALLALIAFMPTSPNGALGSLDYKKEERRVLAVKSREAAPRFGTPERQKLIDEIHQYMLGKAPSVPQQNSVQGSEEHPGNNEGEAQAQDAGVVAAAEELPNPAVGEIQEVGERVIEEVHEAPVNVNPSPTGTSVSREIPARGPTDQLLQRPVTRVQKPADDRLFTWAAVGLTIAIVVLLLKKFMKSSGYGALFMDGS >Potri.011G047700.1.v4.1 pep chromosome:Pop_tri_v4:11:3787982:3789829:-1 gene:Potri.011G047700.v4.1 transcript:Potri.011G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047700.v4.1 MNTMHYHITKFKPNCLVYMQHLQNIKRSIFAFLLCIPTSLLALILFLLLFYNGFTVFYFHLPFPSNPLPEPANFSQGNLAKNSFKKLPASVMYAVKEDTPPVILKTLLPLLQNPAITMIPINHSVVFKPNKTHGYEAVKRMLRSADNLKRFSTRVREFFGNHGCKVRFFMTWISSLKSFGDREFFSVESLFRSHPDACLVIVSNSMDSESGSLVLKPFLDKRFKLIAIKPDFDYLFKDTHAEKWFKGLKKGNVSPGEVSLGQNMSNLLRLALLYKFGGIYMDTDVIVLKRFTKLRNVIGAQTIDLETRNWSRLNNAVLIFDKKHPLLFKFIEEFALTFDGNKWGHNGPYLVSRVVSRVNGRPGFNFTVLPPPAFYPVDWSRIRSFFRGPRDKVHSTWLHEKLEQIKSESFAVHLWNKQSREIKVESGSIINYIMLDCCVFCNSSSSSL >Potri.019G102400.1.v4.1 pep chromosome:Pop_tri_v4:19:13823758:13824404:1 gene:Potri.019G102400.v4.1 transcript:Potri.019G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102400.v4.1 MSKTLMVFGLAVMVGCACFAEAAGVPTVADNKTPSPVIGYGAIGRGGNHECGPKNPKACNDVPANPYYRGCEKEDHCHNGGRKLIGEEIQVNAPVHFIQ >Potri.002G079100.4.v4.1 pep chromosome:Pop_tri_v4:2:5537809:5556545:1 gene:Potri.002G079100.v4.1 transcript:Potri.002G079100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079100.v4.1 MGACEPHEPLNLAATEQHSCLEFIKNSEQLPALETGRSAIEFYADPSRATNGFAELSQKDNGVCASYSDVMEAVLDDRGIGLAGEGENVVGSIAGRLLEDESGVGEECLDEGQSGRDDCIRETDRFWEEKVGLGGENGGAVDCEGSLELLVVPDSLKNCNQQDDQMDDKNAGVQGTMEEGSDGLATAETDASDETVPPTACGTSVELNPVNDMPRNCDQQDGQNEDESGNVQGVIEDDGLDAIETVKPNKIVLSLGCKMPAELVQANDWCRNGGQQDDQRDDKNVSVQGVMEENSGDLAPIEVDTHDEIMLLSGREMPAELIPVKSLPGDVSEQYNRDCGASQEVIVEEKIGNFTALGKSNVQGVMEQKSNGLVATETVDTCENILPSLGYEMLAKCLPRNGVEQDMQDSGTSMVVTMEEKNNDLAGIESISIQGVMEDKTDGLAATETATCNEIGPSPSCEMPVGLISVNVSPRNGVEQDKQDDGTSRTMVSEEKSVILTRLETDNQDQKLLPLDHEILLELTPVTCPPSKCLQQDDQKGDQIISRPFAGGVMEEPTFVLDAAETTTSNLSLPSQENLKLMPTTGLPEENVHHDEQKLIPCKLDSKAVNGLAIEWVPEQESNALARTEAGICSQASAHGTIDSSSAVDCSGETDYEAKNNVSIDSVSETKCHVIVSPSSRRSNGTRKSSQKTQTKRGARKCRNTTKVPNLHRGIEIVFKSVTRRRSCFSKPARSSAWGLLGNITQTFMLINGLRPDEIENLGSQKARGDQGSGKRNKLAGGTSRRSSKKGHASAHCIRLKVKVGKDACQTESNPKMIIPEVINTKASGDLVSDYGAESCQETSFEISKLAYCVGDNMVEEGTQKQLQSFYIKLGKAKAHCDASAMDVKLANKDMEGTVISEKSSRDIMEDYLGVPSHTEVEALGVATEKRYTDAGTSPDSEVINSVPEVQVNARCQEDYPDAVLSPSKAFAADEEGTGGKRGKKKESLPQAGNCSPAVASLKKVKLAKKRGGRQRKGDSLSSSEILTSCTSANGSVNTTSTKEYSAELVLSSGKTELGDPEGALRGEIIMETKICGELDADVRSSESQISKNPLPSTKSRGRRLPRKSDGVNKRRSKVSDSAKSRRANGCKERGNDRKSVKKNKAEEKSVCDHVVYKDDNGKTDAGNDTTAEEVTNLDMPSSGVMEQNLFPDNAWVRCDDCLKWRRIPVRLVESISQTHRQWICEDNMDKAFADCSFPQEKSDAEINAELGISDADEDVCDAPSNYMELECGPTSVSKEYEFTRITTNQFLHRTRKTQTIDEIMVCYCKAPVGGRLGGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKHNYAKMTWDRCGKKGFGLRLEEDITRGQFLIEYVGEVLDVHAYEARQKEYASKGHKHFYFMTLDGSEVIDACVKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAAKRCYCGSPQCQGYIGGDPTSSEVTDQVDSDEEFPEPVMLEDGEVGDGLKNKISKTSFFGLSKGREMESKTAVGNLEVATEIKDSMNQSTPAISQSPSESEMNGLPGDFSSSSKRVEISPQTEDMTTQPTPAVQQEISMEEMMDKSLYSSQKLKTSLTSVLIKPLPDDIMINRKSKSATAENKRVFVKSRFIIKTPPQSGLIKKGKSASNFININKVQTITNKPHMPPIKPKKLSESTSDGHFEAVQEKLNELLDSEGGISKRKDAPKGYLKLLLLTAASGAIRNGEAIQSNRELSMILDALLKTRSRMVLMDIIEKNGLRMLHNIMKQYRRDFKKIPILRKLLKVLEYLAVREILTLEHINGGPPCPGMESFRESMLSLTEHNDKQVHQIARSFRDRWIPRQVRKLGYMDRDGGRMEIQRGSNCNKVLASHSQWHDQGVRHLEALNGTVESNLATTSVGTAVHEDSSANRVGSGTRTRKRKSRWDQPAEENIASRSLQHVEQNESGLLQQSESNSLPELSKEVPDHVDKAGGEYSYCPHCVHSYCWQDEASGADNGRQNIHEDVPPGFSSPIDPALVSNASSTVDDLPHQNVFHLKFPVGVVVGLPQRKFNSRFPVSYGIPLPVVQQLGSPLAETVEGWIVAPGMPFHPFPPLPPLPSCKKGTLPSAMNSMEIDDTADRGKQDCYDRTTCLDENSPSTTGANQPDLNSPGPKDHQTFKRARGSYDLGRRYFRQQKWTKMLPPWVRSRNGWGCIGGNSRGGMCSTDLGSLTNEQRNSYY >Potri.002G079100.6.v4.1 pep chromosome:Pop_tri_v4:2:5539475:5555687:1 gene:Potri.002G079100.v4.1 transcript:Potri.002G079100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079100.v4.1 MVSEEKSVILTRLETDNQDQKLLPLDHEILLELTPVTCPPSKCLQQDDQKGDQIISRPFAGGVMEEPTFVLDAAETTTSNLSLPSQENLKLMPTTGLPEENVHHDEQKLIPCKLDSKAVNGLAIEWVPEQESNALARTEAGICSQASAHGTIDSSSAVDCSGETDYEAKNNVSIDSVSETKCHVIVSPSSRRSNGTRKSSQKTQTKRGARKCRNTTKVPNLHRGIEIVFKSVTRRRSCFSKPARSSAWGLLGNITQTFMLINGLRPDEIENLGSQKARGDQGSGKRNKLAGGTSRRSSKKGHASAHCIRLKVKVGKDACQTESNPKMIIPEVINTKASGDLVSDYGAESCQETSFEISKLAYCVGDNMVEEGTQKQLQSFYIKLGKAKAHCDASAMDVKLANKDMEGTVISEKSSRDIMEDYLGVPSHTEVEALGVATEKRYTDAGTSPDSEVINSVPEVQVNARCQEDYPDAVLSPSKAFAADEEGTGGKRGKKKESLPQAGNCSPAVASLKKVKLAKKRGGRQRKGDSLSSSEILTSCTSANGSVNTTSTKEYSAELVLSSGKTELGDPEGALRGEIIMETKICGELDADVRSSESQISKNPLPSTKSRGRRLPRKSDGVNKRRSKVSDSAKSRRANGCKERGNDRKSVKKNKAEEKSVCDHVVYKEEVTNLDMPSSGVMEQNLFPDNAWVRCDDCLKWRRIPVRLVESISQTHRQWICEDNMDKAFADCSFPQEKSDAEINAELGISDADEDVCDAPSNYMELECGPTSVSKEYEFTRITTNQFLHRTRKTQTIDEIMVCYCKAPVGGRLGGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKHNYAKMTWDRCGKKGFGLRLEEDITRGQFLIEYVGEVLDVHAYEARQKEYASKGHKHFYFMTLDGSEVIDACVKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAAKRCYCGSPQCQGYIGGDPTSSEVTDQVDSDEEFPEPVMLEDGEVGDGLKNKISKTSFFGLSKGREMESKTAVGNLEVATEIKDSMNQSTPAISQSPSESEMNGLPGDFSSSSKRVEISPQTEDMTTQPTPAVQQEISMEEMMDKSLYSSQKLKTSLTSVLIKPLPDDIMINRKSKSATAENKRVFVKSRFIIKTPPQSGLIKKGKSASNFININKVQTITNKPHMPPIKPKKLSESTSDGHFEAVQEKLNELLDSEGGISKRKDAPKGYLKLLLLTAASGAIRNGEAIQSNRELSMILDALLKTRSRMVLMDIIEKNGLRMLHNIMKQYRRDFKKIPILRKLLKVLEYLAVREILTLEHINGGPPCPGMESFRESMLSLTEHNDKQVHQIARSFRDRWIPRQVRKLGYMDRDGGRMEIQRGSNCNKVLASHSQWHDQGVRHLEALNGTVESNLATTSVGTAVHEDSSANRVGSGTRTRKRKSRWDQPAEENIASRSLQHVEQNESGLLQQSESNSLPELSKEVPDHVDKAGGEYSYCPHCVHSYCWQDEASGADNGRQNIHEDVPPGFSSPIDPALVSNASSTVDDLPHQNVFHLKFPVGVVVGLPQRKFNSRFPVSYGIPLPVVQQLGSPLAETVEGWIVAPGMPFHPFPPLPPLPSCKKGTLPSAMNSMEIDDTADRGKQDCYDRTTCLDENSPSTTGANQPDLNSPGPKDHQTFKRARGSYDLGRRYFRQQKWTKMLPPWVRSRNGWGCIGGNSRGGMCSTDLGSLTNEQRNSYY >Potri.002G079100.2.v4.1 pep chromosome:Pop_tri_v4:2:5537643:5555875:1 gene:Potri.002G079100.v4.1 transcript:Potri.002G079100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079100.v4.1 MGACEPHEPLNLAATEQHSCLEFIKNSEQLPALETGRSAIEFYADPSRATNGFAELSQKDNGVCASYSDVMEAVLDDRGIGLAGEGENVVGSIAGRLLEDESGVGEECLDEGQSGRDDCIRETDRFWEEKVGLGGENGGAVDCEGSLELLVVPDSLKNCNQQDDQMDDKNAGVQGTMEEGSDGLATAETDASDETVPPTACGTSVELNPVNDMPRNCDQQDGQNEDESGNVQGVIEDDGLDAIETVKPNKIVLSLGCKMPAELVQANDWCRNGGQQDDQRDDKNVSVQGVMEENSGDLAPIEVDTHDEIMLLSGREMPAELIPVKSLPGDVSEQYNRDCGASQEVIVEEKIGNFTALGKSNVQGVMEQKSNGLVATETVDTCENILPSLGYEMLAKCLPRNGVEQDMQDSGTSMVVTMEEKNNDLAGIESISIQGVMEDKTDGLAATETATCNEIGPSPSCEMPVGLISVNVSPRNGVEQDKQDDGTSRTMVSEEKSVILTRLETDNQDQKLLPLDHEILLELTPVTCPPSKCLQQDDQKGDQIISRPFAGGVMEEPTFVLDAAETTTSNLSLPSQENLKLMPTTGLPEENVHHDEQKLIPCKLDSKAVNGLAIEWVPEQESNALARTEAGICSQASAHGTIDSSSAVDCSGETDYEAKNNVSIDSVSETKCHVIVSPSSRRSNGTRKSSQKTQTKRGARKCRNTTKVPNLHRGIEIVFKSVTRRRSCFSKPARSSAWGLLGNITQTFMLINGLRPDEIENLGSQKARGDQGSGKRNKLAGGTSRRSSKKGHASAHCIRLKVKVGKDACQTESNPKMIIPEVINTKASGDLVSDYGAESCQETSFEISKLAYCVGDNMVEEGTQKQLQSFYIKLGKAKAHCDASAMDVKLANKDMEGTVISEKSSRDIMEDYLGVPSHTEVEALGVATEKRYTDAGTSPDSEVINSVPEVQVNARCQEDYPDAVLSPSKAFAADEEGTGGKRGKKKESLPQAGNCSPAVASLKKVKLAKKRGGRQRKGDSLSSSEILTSCTSANGSVNTTSTKEYSAELVLSSGKTELGDPEGALRGEIIMETKICGELDADVRSSESQISKNPLPSTKSRGRRLPRKSDGVNKRRSKVSDSAKSRRANGCKERGNDRKSVKKNKAEEKSVCDHVVYKDDNGKTDAGNDTTAEEVTNLDMPSSGVMEQNLFPDNAWVRCDDCLKWRRIPVRLVESISQTHRQWICEDNMDKAFADCSFPQEKSDAEINAELGISDADEDVCDAPSNYMELECGPTSVSKEYEFTRITTNQFLHRTRKTQTIDEIMVCYCKAPVGGRLGGCGDECLNRMLNIECVQGTCPCGDLCSNQQFQKHNYAKMTWDRCGKKGFGLRLEEDITRGQFLIEYVGEVLDVHAYEARQKEYASKGHKHFYFMTLDGSEVIDACVKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKKGEEVTFDYNYVRVVGAAAKRCYCGSPQCQGYIGGDPTSSEVTDQVDSDEEFPEPVMLEDGEVGDGLKNKISKTSFFGLSKGREMESKTAVGNLEVATEIKDSMNQSTPAISQSPSESEMNGLPGDFSSSSKRVEISPQTEDMTTQPTPAVQQEISMEEMMDKSLYSSQKLKTSLTSVLIKPLPDDIMINRKSKSATAENKRVFVKSRFIIKTPPQSGLIKKGKSASNFININKVQTITNKPHMPPIKPKKLSESTSDGHFEAVQEKLNELLDSEGGISKRKDAPKGYLKLLLLTAASGAIRNGEAIQSNRELSMILDALLKTRSRMVLMDIIEKNGLRMLHNIMKQYRRDFKKIPILRKLLKVLEYLAVREILTLEHINGGPPCPGMESFRESMLSLTEHNDKQVHQIARSFRDRWIPRQVRKLGYMDRDGGRMEIQRGSNCNKVLASHSQWHDQGVRHLEALNGTVESNLATTSVGTAVHEDSSANRVGSGTRTRKRKSRWDQPAEENIASRSLQHVEQNESGLLQQSESNSLPELSKEVPDHVDKAGGEYSYCPHCVHSYCWQDEASGADNGRQNIHEDVPPGFSSPIDPALVSNASSTVDDLPHQNVFHLKFPVGVVVGLPQRKFNSRFPVSYGIPLPVVQQLGSPLAETVEGWIVAPGMPFHPFPPLPPLPSCKKGTLPSAMNSMEIDDTADRGKQDCYDRTTCLDENSPSTTGANQPDLNSPGPKDHQTFKRARGSYDLGRRYFRQQKWTKMLPPWVRSRNGWGCIGGNSRGGMCSTDLGSLTNEQRNSYY >Potri.009G147650.2.v4.1 pep chromosome:Pop_tri_v4:9:11666118:11667446:-1 gene:Potri.009G147650.v4.1 transcript:Potri.009G147650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147650.v4.1 MTKSRVFVSPKTIFLSWGNDTMTHRSCTTLFKGKKDNPVTCGLLVAPGREPVCAHRALCEGFWCGFLTPLGGA >Potri.009G147650.1.v4.1 pep chromosome:Pop_tri_v4:9:11666118:11667446:-1 gene:Potri.009G147650.v4.1 transcript:Potri.009G147650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147650.v4.1 MTKSRVFVSPKTIFLSWGNDTMTHRSCTTLFKGKKDNPVTCGLLVAPGREPVCAHRALCEGFWCGFLTPLGGA >Potri.007G138750.1.v4.1 pep chromosome:Pop_tri_v4:7:14960520:14961298:1 gene:Potri.007G138750.v4.1 transcript:Potri.007G138750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138750.v4.1 MLIIIAVTLSVLVAKNRSETAHVTAQLPFVDEDSLPFSTLISRAGAAILPDEHMFVQFDVSQLMQCYGH >Potri.001G227200.1.v4.1 pep chromosome:Pop_tri_v4:1:24603444:24608590:-1 gene:Potri.001G227200.v4.1 transcript:Potri.001G227200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G227200.v4.1 MAIRGPLLVLFLALICLVATTHGAKPSPLVPFSRSSFPPGFLFGAGSAAYQIEGAALIDGRGFSIWDKFTREHPEKIWDRSNGDVASDFYHKFKDDIKLMKRVGLDTFRLSFSWSRILPKGKVSRGVNPLGVKFYNNVINELLHNGIKPLVTLLHYDPPQSLYDEYGGFLSSKIVDDFAEYADFCFKTFGDRVKYWITMNEPNGLAINGYTFGSFAPGRCSKTLGNCPGGNSAVEPYVAAHNMILSHGAAVKVYKDKYQAIQKGQIGITIVSHWFVPKFNTTADRIAVSRALDFMFGWFAHPITFGDYPDSMRSLVGNRLPKFTKEQSAMLKGSLDFLGLNYYTTNYAESIPLKATGANLSYTDDRRVSQTTEKNGVPIGTPTDLNWLYVYPRGIQDVLLYIKYNYKNPPVFITENGIAENASRPIAFALKDSWRIRYHSAHLSYLLKAIQKGANVKAYYIWSFLDDFEWDAGYTVRFGVTYVDFKNNLKRYLKSSARWFQLLLKK >Potri.012G127700.1.v4.1 pep chromosome:Pop_tri_v4:12:14352452:14355044:1 gene:Potri.012G127700.v4.1 transcript:Potri.012G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127700.v4.1 MGRQPCCDKLGVKKGPWTAEEDKKLVNFILTHGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNEDEEQLVIDLHACLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHEPLNKLESPQESTVPCHTNYDQPNFNADGQQVLPQNCGHASSSTTDNSSTTTPTENSSVDECIGSSEPNSTPDSDPLMSCIWSEVFLDDSSWNFQASRGGDFSEFGLSKSSSEDSNSPWVLDSKDLGDEFFGLSCFSDMDLSILDMGGKH >Potri.004G059500.1.v4.1 pep chromosome:Pop_tri_v4:4:4966441:4968292:-1 gene:Potri.004G059500.v4.1 transcript:Potri.004G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059500.v4.1 MSPPPPPLLLTLLLLFLISATTTEAASASPIATLPPSPSPASSPTAPTTKTPSPTSSPTPPTTKSPFPSINSTLNPKQLRALQSLDIPTAKDPCIQPSPHNATMCDSSSPFRQLVSLHLSNCSSDLSLSYTALKSLSTLQSLSFTNCPVTPIRFPLDLAISLHSFTCIHSLKHLTGIGLSRFVNLTDLTVSNVPVNTSGLYAVLGNMRKLRSVTISNANVTGYIPKHLLFNLTHVDFSGNRLKGKIPSSITLLENLESLNLYSNALTGAIPDNLGDLISLKNVSLGANSLSGAIPDSMSAIPDLAYVDLSSNQLNGTVPKFFAEMKKLRYLNLENNEFHGVLPFNLTFMKRLAVFKVGGNSNLCYNHTILSSKLKLGIAPCDKHGLPLSPPPAKDSSSGGDSESDSSDYNDEGGDSNSKKEGHHGPNKVVLGVAIALSSIVFLIVFLILLSKRCG >Potri.013G039200.1.v4.1 pep chromosome:Pop_tri_v4:13:2656502:2657610:1 gene:Potri.013G039200.v4.1 transcript:Potri.013G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039200.v4.1 MNDPNDHKYRKYHPYQDLYNVPAQNLYNLPTSPEFLFHEESLTQRRSWSENLQYYTGTGYLSGAILGGAKGSIEGIRAAEPGESLKLRVNRVLNSGGHAGRKFGNNLGVLGLMFAGIESGLIHWRDTDDLVNTVLAGLSTGAIYRAAKGPRSAAIAGAIGGIAAAGAVAAKQAVKRYVPI >Potri.003G197100.2.v4.1 pep chromosome:Pop_tri_v4:3:19903367:19911029:1 gene:Potri.003G197100.v4.1 transcript:Potri.003G197100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197100.v4.1 MHEPGSNTDFLLESIFISGVCGSLHLVLLLALCVSFLCKKLSRWGDGEGSSEMLMMKRRFLWYKQTLVCCLGVSVFNFILCLLSYFYLYGNVLSDGEIMTLLDLGLKTLSWGALVVYLHTQFFNSGEKMFPLSLRVWWGFYLAISCYCFVVDVFLHRKHGSLEIEWCLVSDVVSVFSGLFLCYVGFLRSDIQDVLGEPLLNGDSSSINNLETSNSRGGDTVTPFGNAGLFSILTFSWMNSLIAAGNKKTLDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRVTGFKLAKALFLLVWKEILKTALLALICTLCSFVGPYLIDAFVQCLEGRGEFKNQGYILASTFVAAKLAECLAHRHSSFRLQQIGTRLRAVTATMIYNKSLTISCQSKQGHSSGEMINIMTIDADRLGTFSQFIHDPWLVILQVCLALLILYRNLGLGSVAGFVATGIVMSLNYPFGRLEEKFQDKLMESKDKRMKATVEILRNMRVLKLQGWEMKFLSKILDLREVETRWLKKYFYNSVVITVVCWATPTVVAVATFGTCMLMGIPLESGKVLSALATFEILQSPIYNLPDTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPGGSSDTAIEIVDGNFSWDLSSPSATLKDINFKVLNGMKVAVCGTVGSGKSSLLSSILGELPKISGTLKLCGTKAYVAQSPWIQSGTIEENILFGKVMDRERYDKVLEACSLKKDLEILSFGDQTGIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLSAADLIVVMKDGRIAQAGKYDDILNAGSDFKVLVGALKTALSVLDSRHAGPVSENESVRDNNGGENSTDRIVHNEGNKDSQIGKADEVAEPQAQLIQEEEREKGSVGFQIYWKYITIAYGGALVPFILLAQLLFQILQIGSTYWMAWATPVSKDVKPGVSGSRLLIVYVSLVIGSSFCMLAQAMLFVTAGYKTATLLFNKLHLCIFRAPMSFFEATPSGRIINRASTDQSALDMKIPHTVEGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPSARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFEEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSITFAFCLFLLVSFPERTNPAIAGLAVMYALELHRAQFGLIWCFCDCENELISVERILQYISIPAEPPLVIEANRPDHSWPSHGEVDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRKHFSDCTVITIAHRITSVLDSDMVLLLSQGLIEEYDSPTRLLENKSSSFSQLVAEYTVRSNTSFEKSTGLNL >Potri.013G060400.3.v4.1 pep chromosome:Pop_tri_v4:13:4449469:4451668:1 gene:Potri.013G060400.v4.1 transcript:Potri.013G060400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060400.v4.1 MGPEIRTGFLKDGKPVQLKQGQEILISTDYSLKGDENMICMSYKKLAEDVQPGSVILCSDGTISLTVLACDKEAGLIRCRCENSAVLGEKKNVNLPGVVVDLPTLTEKDKEDILKWGVPNKIDIIALSFVRKGSDLTEVRKLLGDDGKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIHKANIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICMEAENFIDYGHLFKAIMVTAPMPMTPLESMASSAVRTANTIKAAFILVLTKGGTTAKLVSKYRPSMPILSMIVPEIRTDFFEWSCSDEAPARHSLIYRGLMPVLSSVSGKVYHSESTEETIEQAFHYAKIKGLCKPGDSVVALHKIGAASVIKILQVQQ >Potri.013G060400.4.v4.1 pep chromosome:Pop_tri_v4:13:4449924:4451670:1 gene:Potri.013G060400.v4.1 transcript:Potri.013G060400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060400.v4.1 MICMSYKKLAEDVQPGSVILCSDGTISLTVLACDKEAGLIRCRCENSAVLGEKKNVNLPGVVVDLPTLTEKDKEDILKWGVPNKIDIIALSFVRKGSDLTEVRKLLGDDGKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIHKANIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICMEAENFIDYGHLFKAIMVTAPMPMTPLESMASSAVRTANTIKAAFILVLTKGGTTAKLVSKYRPSMPILSMIVPEIRTDFFEWSCSDEAPARHSLIYRGLMPVLSSVSGKVYHSESTEETIEQAFHYAKIKGLCKPGDSVVALHKIGAASVIKILQVQQ >Potri.013G060400.1.v4.1 pep chromosome:Pop_tri_v4:13:4448584:4451668:1 gene:Potri.013G060400.v4.1 transcript:Potri.013G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060400.v4.1 MENILGGQNLMVGEDTRNRKTKIVCTLGPQSRSVEMTERLLRAGMNVARFNFSHGTHAYHQETLDNLGTAMNNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGQEILISTDYSLKGDENMICMSYKKLAEDVQPGSVILCSDGTISLTVLACDKEAGLIRCRCENSAVLGEKKNVNLPGVVVDLPTLTEKDKEDILKWGVPNKIDIIALSFVRKGSDLTEVRKLLGDDGKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIHKANIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMSRICMEAENFIDYGHLFKAIMVTAPMPMTPLESMASSAVRTANTIKAAFILVLTKGGTTAKLVSKYRPSMPILSMIVPEIRTDFFEWSCSDEAPARHSLIYRGLMPVLSSVSGKVYHSESTEETIEQAFHYAKIKGLCKPGDSVVALHKIGAASVIKILQVQQ >Potri.005G055534.1.v4.1 pep chromosome:Pop_tri_v4:5:3490900:3492959:-1 gene:Potri.005G055534.v4.1 transcript:Potri.005G055534.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055534.v4.1 MVYFEYSLWSTLFFGGLEPKREAPDGEKPEPLRTHLRNMIIVPEMIGRYLSGFSVSYKPVMHGRPGHRLPDSFLSIVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGGCGDGSNSGERVAKEESFQEVQF >Potri.013G109400.1.v4.1 pep chromosome:Pop_tri_v4:13:11804478:11808695:1 gene:Potri.013G109400.v4.1 transcript:Potri.013G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109400.v4.1 MQKLLQRYPSSPPLLCTPVSTSFFLFKNKPYPSFSMPTATNFCTSTSFLAAFVAKKLTITPTLTSRFYSTTYCTCRSAVGYKTTNPLRAWVVARPAWHCTVASGQEGPFGTAAAAADAEGVRSSGDGEEEGKGEKSEKGAEEKTARLSRRQKRTGGDMEGNADLLTIPGVGPKNLRKLVEKGFTGMAELKQFYKDKFLGKSSETMVEYLQSSVGIIHKNHAESITSFIQESVDEELKVLNPDARPKPKKRLTFCVEGNISVGKTTFLKRIVSDTIELRDLVEVVPEPIDKWQDVGPDHFNILDAFYADPSRYAYTFQNYVFVTRVMQERESSDGLKPLRLMERSVFSDRMVFVRAVHEAKWMNEMEISIYDSWFDPVVSVLPGLIPDAFIYLRASPDTCHKRMMHRKRAEEGGVSLDYLCDLHEKHESWLFPFESGNHGVLSVSKLPLNLDNSLHPDIKNRVFYLEGDHMHSSIQKVPALILDCEANIDFSRDVEAKENYARQVAEFFKHVKQMKEVSSTKADEGGVNQQNVILPHEGGLILPNGTRFPEHALKSLDFSRAMSLLSDQ >Potri.008G224264.1.v4.1 pep chromosome:Pop_tri_v4:8:19034727:19034975:1 gene:Potri.008G224264.v4.1 transcript:Potri.008G224264.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224264.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.017G041400.2.v4.1 pep chromosome:Pop_tri_v4:17:2760591:2761228:-1 gene:Potri.017G041400.v4.1 transcript:Potri.017G041400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041400.v4.1 MKFLARLGSCFLSTTAIAAAPEAEDVDVVEELAGSATTVTNGDVPLLTPASGRRSRSRRRGSWRPALSAISEDRTVSYRRSKPAENTEKKTQTSKLKIKPEGLSFSVQMSFSGFSPTPFMF >Potri.002G167900.1.v4.1 pep chromosome:Pop_tri_v4:2:12845722:12845961:-1 gene:Potri.002G167900.v4.1 transcript:Potri.002G167900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167900.v4.1 MESVVRPPSNIGSGPSGQHTKERCGDFQMPLHYPRYTLAEYQTMPEWKLDCLLKEYGLPITGDVEQKRNFAMGAFLWLH >Potri.005G062130.1.v4.1 pep chromosome:Pop_tri_v4:5:3928057:3944166:-1 gene:Potri.005G062130.v4.1 transcript:Potri.005G062130.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062130.v4.1 MVLENIISIIDVVSHHTVVPIAREINYCFKYNNNSENLKREVKKLKSAQLRVRHSVDDARNNGEAILEDVIEWLSLAEKATEKVERKISEDEDRARKKCFIGLCPDLKARYQCSKKAKAETRFVANLLDERDGFSTVSHRAAPKGMEAISFRSYDVMPSRTPVLKEIMNALTTADVNMVGVYGMRGMGKTVLVKEAARQAVQEKLFNQVVFATITQTPDIKKIQGQIADQLCLKFDEESECGRAGRLRQRLKQEQKILIILDDLWKSLDLEAVGIPLKDEHEGCKMLLTSRVFDVLSSGMDIQKNFPINALSEEETWEFFKKMAGDRIEHPDLQSLAMEVAKKCAGLPLAIVTVARALKNKNLSQWKNALRELKRPSPRNFAGVQEDVYAAIELSYNHLESKELKSTFLLCSRMGYNASTRDLLKYGMGLGLFSGFVTVEEAQDRVQSLVHKLKASGLLLDNHCDWQFSMHDPVRDVALSIALRDCHVFVGGDQFEPEWSAKNMLKKYKEIWLSSNIELLREMEYPQLKFLHIRSEDPSLEISSNICRGMHKLKVLVLTNISFVSLPSSLHFLKNLRTLCLHQSSLGEIADIGELKKLEILSFAKSNIKHLPRQIGRLTELRMLDLSDCFELEVIPPNILSNLSMLEELCMGNSFHHWATEGEDNASLVELDHLPHLTNLDIHVLDSHVMSKGMLSKRLERFRIFIGDVWDWDGVYQSLRTLKLKLNTSANHLEHGVLMLLKRTQDLYLLELKGVNNVVSEMDTEGFLQLRHLHLHNSSDIQYIINTSSEVPSHVFPVLESLFLYNLVSLEKLCHGILTAESFRKLTIIEVGNCVKLKHLFPFSIARGLSQLQTINISSCLTMEEIVAEEGDEFEDSHTAIDVMEFNQLSSLSLRCLPHLKNFFSREKTSRLCQAQPNTVATSVGLQSKEISEDELRNPLQLFCEKILVPKLKKLELVSINVEKIWHGQLHRENAFPVQNLMTLVVDDCHSLKYLFSPSMVKNLVLLKHLTVRYCKSMEEIISVEGLEEGEMMSEMCFDKLEDVELSDLPRLTRFCAGTLIECKVLKQLRICSCPEFKTFISCPDSANMTVDVEPGEVHYSRESDHNAVQPLFDEKVAFPSLAEIKISNIENLEKMWHNQLAEDSFCQLRSVTISSCKRLVRVFPSILLETFRMVEMLDISHCPLLEEIFDLEETSASGSLQLRDLSLIGLGKLKHIWNKDPQGTLSFQNLHALKVSDCNVLKNLFPFSIARELVQLEKLEIEHCGKLEEIIVKVDHGEAAHCFVFPQLTSLKLQELPEFRNLYPGKHTWKSPMLKRLAVSNCCNVALFGSKFLKSQETQGEVQLGIPAQQPLFFVEKVICNLEELSLGGKNTTASIIWHRQLPIELYSSLKVLKLHHFGVKSDPISFDFVSRLRNLETLSVTHSSFKKLFLYKGHSSFKKLPSIGEVGGEEGRALARLKNLTIHAVHDIKHICKQYHLLAPILHNLKTLKVEDCHSFVSLAPSYVCFQNLTTLDIQSCLGLLNLFTSSTAKSLVQLVKLTIAHCKKMTVVVARQGGDEADDEIIFSKLEYLELLDLQNLTSFCFENYAFRFPSLKEMVVEECPNMKSFSPGVLSTPKLQGVHWKKYSKNTVHWHGNLDITIQHLYTEMVGFDGVKRLKVSDFPQLKKRWHCQLPFNFFSNLTSLTVDEYCYSLDALPSTLLQFMNDLLELQVRNCDLLEGVFDLKGLGPEEGRVWLPCLYELNLIGLSSLRHICNTDPQGILEFRNLNFLEVHDCSSLINIFTPSMALSLVHLQKIVIRNCDKMEEIITKERAGEEEAMNKIIFPVLKVIILESLPELSNIYSGSGVLNLTSLEEICIDDCPNMKIFISSLVEEPEPNSVGKGKEQRQGQGGNYNFTALLNYKVAFPELKKLRVDWNTIMEVTQRGQFRTEFFCRLKVLELVHFPIDCVDFPSWFLQRFNILESLVVCDASFEEIVRLEEMSSRPNQVFAQLRVLELSKLPELMHLSKERSQACQIFQNLEILRVSECGTLKTLIPMSVSFRCLMTLEVSKCNGLASLMSSSTAKNLVQLTSMTVVECETIEVVVANEENEAENEIVFHKLENLAFHCLPSLTSFYMQNCALMFPSLERVFIDQCPKMELFSRGVINTPKLERVQLTEGDSTGFWKDDLNLTIHNLFVKKSKLPSSSRQPSLARETTAAHKNQMYAVSEGPASENLHVNENPDAGKDSHPVIETSTNLVIKEQAMRNGLMKPGPQVTSIYQDSQATLNDKEDREQGQSPLAPIDIEAPISQQVQNDSQATDEKEFRQDQFMTASSSQQKNIVLVSPPSAGEQSLASTSASSTNETSTQKLASHTSSRETSETITGIESLFATMEQLVRPCPVSSSQPESSANTHAESYESDVGSPESHVYSMGLIKKILLKPLTEVARSPDGLLLLATMKNLKKSDLLNSQQLQIIQAYIDNFDSLVSNYPLYEHQIDRTSALKYSIEDKKKGISDLKNHYGDLIHNASSLAAEREALKKRLDEIADEEIHIREDADDLRTQLISWKAELETHMKALPEALRQENEADNRANNSNEYWGKIRSLFA >Potri.005G062130.2.v4.1 pep chromosome:Pop_tri_v4:5:3933822:3944166:-1 gene:Potri.005G062130.v4.1 transcript:Potri.005G062130.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062130.v4.1 MVLENIISIIDVVSHHTVVPIAREINYCFKYNNNSENLKREVKKLKSAQLRVRHSVDDARNNGEAILEDVIEWLSLAEKATEKVERKISEDEDRARKKCFIGLCPDLKARYQCSKKAKAETRFVANLLDERDGFSTVSHRAAPKGMEAISFRSYDVMPSRTPVLKEIMNALTTADVNMVGVYGMRGMGKTVLVKEAARQAVQEKLFNQVVFATITQTPDIKKIQGQIADQLCLKFDEESECGRAGRLRQRLKQEQKILIILDDLWKSLDLEAVGIPLKDEHEGCKMLLTSRVFDVLSSGMDIQKNFPINALSEEETWEFFKKMAGDRIEHPDLQSLAMEVAKKCAGLPLAIVTVARALKNKNLSQWKNALRELKRPSPRNFAGVQEDVYAAIELSYNHLESKELKSTFLLCSRMGYNASTRDLLKYGMGLGLFSGFVTVEEAQDRVQSLVHKLKASGLLLDNHCDWQFSMHDPVRDVALSIALRDCHVFVGGDQFEPEWSAKNMLKKYKEIWLSSNIELLREMEYPQLKFLHIRSEDPSLEISSNICRGMHKLKVLVLTNISFVSLPSSLHFLKNLRTLCLHQSSLGEIADIGELKKLEILSFAKSNIKHLPRQIGRLTELRMLDLSDCFELEVIPPNILSNLSMLEELCMGNSFHHWATEGEDNASLVELDHLPHLTNLDIHVLDSHVMSKGMLSKRLERFRIFIGDVWDWDGVYQSLRTLKLKLNTSANHLEHGVLMLLKRTQDLYLLELKGVNNVVSEMDTEGFLQLRHLHLHNSSDIQYIINTSSEVPSHVFPVLESLFLYNLVSLEKLCHGILTAESFRKLTIIEVGNCVKLKHLFPFSIARGLSQLQTINISSCLTMEEIVAEEGDEFEDSHTAIDVMEFNQLSSLSLRCLPHLKNFFSREKTSRLCQAQPNTVATSVGLQSKEISEDELRNPLQLFCEKILVPKLKKLELVSINVEKIWHGQLHRENAFPVQNLMTLVVDDCHSLKYLFSPSMVKNLVLLKHLTVRYCKSMEEIISVEGLEEGEMMSEMCFDKLEDVELSDLPRLTRFCAGTLIECKVLKQLRICSCPEFKTFISCPDSANMTVDVEPGEVHYSRESDHNAVQPLFDEKVAFPSLAEIKISNIENLEKMWHNQLAEDSFCQLRSVTISSCKRLVRVFPSILLETFRMVEMLDISHCPLLEEIFDLEETSASGSLQLRDLSLIGLGKLKHIWNKDPQGTLSFQNLHALKVSDCNVLKNLFPFSIARELVQLEKLEIEHCGKLEEIIVKVDHGEAAHCFVFPQLTSLKLQELPEFRNLYPGKHTWKSPMLKRLAVSNCCNVALFGSKFLKSQETQGEVQLGIPAQQPLFFVEKV >Potri.015G099100.1.v4.1 pep chromosome:Pop_tri_v4:15:11930331:11931153:1 gene:Potri.015G099100.v4.1 transcript:Potri.015G099100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099100.v4.1 MVKARKLLYSSPSDLKSSLQHGRSPPGGGGGAWKVKNNDLAVDEILKERRAAIESGKLKGRRLFEAMGSSVSEMDFGGIEEMICHNLDGLVQESEVRSVFSYASDDETEDVEASKGGLSPYSHHCSYSSSSSSSSSFSFYICDNCTEREKEEEKVVVEASEQEEKRVLNADQERYGASWMVAMGWLTIAFIVCAFGIISKSFGNHGVGNEVIEFPT >Potri.005G007400.2.v4.1 pep chromosome:Pop_tri_v4:5:529586:532347:-1 gene:Potri.005G007400.v4.1 transcript:Potri.005G007400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007400.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Potri.012G065600.1.v4.1 pep chromosome:Pop_tri_v4:12:8394877:8400836:-1 gene:Potri.012G065600.v4.1 transcript:Potri.012G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065600.v4.1 MGEEKRHQMMQNLFGDQSEEEEEIDSEHESNPQPNYASDEAEGELRNDGEGEGEGEVDGQEDVHVESEGEMREVEPDPGESEGEREQSSQEVDIGDQRDESDAKDSDSDAKEEYGHRVATSRRRDVIESGSEEHHYVDHEDEEVDQARSPSKSPEEEKDQAQNAQSAPAIRDVFGDSEDEEEDAYAVRNDIEQDSNRSPMEEEESYEKNLRPEDMLADEDAQYESEEENREAKPKEKPLGPPLEIEMPFCPPPADPKKMNMIKVSNIMGIDPNQFDPKTYVEEKTFVTDESGAQKRIRLENNIVRWRTVKNPDGSNSVESNARFVRWSDGSLQLLIGNEVLDISVQDAQQDQTHLFLRHNKSLLQSQGRILRKMRFMPSSLSSNSHRLLTALVDSRHRKAYKVKNCITDIDPEREKEEKEKAESQTIRANVLLNRKREKVSRKYTPNVERRRQLSPGFLEGALDEDDEPDYYNSRRSRRFEEDLEVEARAEKRIMNAKKGQRDIPRKSDMSAVKSSKRPVDFSDSEREESEYETDGDEYERSPVHKRVDEPEHEYEEEEEHYEEDTEVNGASEEEEEPKQKGKEFGSSRKRIGFESEEDSPPRKIQSGRRMTVVYDSDEE >Potri.012G065600.6.v4.1 pep chromosome:Pop_tri_v4:12:8394975:8400769:-1 gene:Potri.012G065600.v4.1 transcript:Potri.012G065600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065600.v4.1 MREVEPDPGESEGEREQSSQEVDIGDQRDESDAKDSDSDAKEEYGHRVATSRRRDVIESGSEEHHYVDHEDEEVDQARSPSKSPEEEKDQAQNAQSAPAIRDVFGDSEDEEEDAYAVRNDIEQDSNRSPMEEEESYEKNLRPEDMLADEDAQYESEEENREAKPKEKPLGPPLEIEMPFCPPPADPKKMNMIKVSNIMGIDPNQFDPKTYVEEKTFVTDESGAQKRIRLENNIVRWRTVKNPDGSNSVESNARFVRWSDGSLQLLIGNEVLDISVQDAQQDQTHLFLRHNKSLLQSQGRILRKMRFMPSSLSSNSHRLLTALVDSRHRKAYKVKNCITDIDPEREKEEKEKAESQTIRANVLLNRKREKVSRKYTPNVERRRQLSPGFLEGALDEDDEPDYYNSRRSRRFEEDLEVEARAEKRIMNAKKGQRDIPRKSDMSAVKSSKRPVDFSDSEREESEYETDGDEYERSPVHKRVDEPEHEYEEEEEHYEEDTEVNGASEEEEEPKQKGKEFGSSRKRIGFESEEDSPPRKIQSGRRMTVVYDSDEE >Potri.001G415300.10.v4.1 pep chromosome:Pop_tri_v4:1:44378952:44383879:1 gene:Potri.001G415300.v4.1 transcript:Potri.001G415300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415300.v4.1 MKGESSGLIIGISIGVVIGVLLAILALFCFRYHRKRSQIGNSSSRRAATIPIRANGADASTIMSDSTIGPDSPLKAGRNGVSLWLEGFKRSSVGSVSGIPVYSYKDLQKATYNFTTLIGQGAFGPVYKAQMTTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYREDIKHLSWDLRVHIALEVARGLEYLHDGAVPPVIHRDIKSSNILLDHCMRARVADFGLSREEMVDRHAANIRGTFGYLDPEYVSTGTFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLDGKYDVQELNEVAALAYKCVNRAPRKRPSMRDIVQVLSRILKLRHNKKHHKKSLSAATADEVSFDMDQQEIRTPVSDRHRREESVDSADTCEV >Potri.001G415300.9.v4.1 pep chromosome:Pop_tri_v4:1:44378952:44383880:1 gene:Potri.001G415300.v4.1 transcript:Potri.001G415300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415300.v4.1 MKGESSGLIIGISIGVVIGVLLAILALFCFRYHRKRSQIGNSSSRRAATIPIRANGADASTIMSDSTIGPDSPLKAGRNGVSLWLEGFKRSSVGSVSGIPVYSYKDLQKATYNFTTLIGQGAFGPVYKAQMTTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYREDIKHLSWDLRVHIALEVARGLEYLHDGAVPPVIHRDIKSSNILLDHCMRARVADFGLSREEMVDRHAANIRGTFGYLDPEYVSTGTFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLDGKYDVQELNEVAALAYKCVNRAPRKRPSMRDIVQVLSRILKLRHNKKHHKKSLSAATADEVSFDMDQQEIRTPVSDRHRREESVDSADTCEV >Potri.001G415300.6.v4.1 pep chromosome:Pop_tri_v4:1:44379204:44383879:1 gene:Potri.001G415300.v4.1 transcript:Potri.001G415300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415300.v4.1 MKGESSGLIIGISIGVVIGVLLAILALFCFRYHRKRSQIGNSSSRRAATIPIRANGADASTIMSDSTIGPDSPLKAGRNGVSLWLEGFKRSSVGSVSGIPVYSYKDLQKATYNFTTLIGQGAFGPVYKAQMTTGETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSKGSLASHLYREDIKHLSWDLRVHIALEVARGLEYLHDGAVPPVIHRDIKSSNILLDHCMRARVADFGLSREEMVDRHAANIRGTFGYLDPEYVSTGTFTKKSDVYSYGVLLFELIAGRNPQQGLMEYVELAAMNTEGKVGWEEIVDSRLDGKYDVQELNEVAALAYKCVNRAPRKRPSMRDIVQVLSRILKLRHNKKHHKKSLSAATADEVSFDMDQQEIRTPVSDRHRREESVDSADTCEV >Potri.006G039800.1.v4.1 pep chromosome:Pop_tri_v4:6:2656771:2661467:1 gene:Potri.006G039800.v4.1 transcript:Potri.006G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039800.v4.1 MEDDSKMNVIVDTKVNVTVEKDIEGRNDKMVVDSDEVIVINIDEKESEGVGNDDEMKPPSIGMVFNTPDEVRSYYDEYACHVGFNTIKKSTKSGDDGNVKYFTLACSRSGKELPSASQTNRFNFRKRLPPRTNCKAKLNVTIGPDGRVYVCRVILEHNHELVPGLHGMKKKKSRAPRAKKVGTGQSQVPHSVVHEAGSSANLKSDCAIPRIVTDPSQIIFGSSHLLTKRSVNRNVSSGSKRRNSVFASPVLNQESTERILARKAVELVKSGMVIGLGSGTTISMVMEELGRLIREGKLKDIIAVGGNYQSRVLATQFGVTVVDLSGVSKIDIAFDGVDEVDFNKNLLKCGGPAHTMQKVIDSVASECIILVDQPKVVHRLGSAFPVPVEVLPPALTPVLKRLATLGGVPEIRFTSNENGPLFTDLGNMVVDVSFPNGIQNPAELEKNIKLLPGVVENGIVTGVATTVLVAVKERGAVNVMNLEDYVRTVLDRRDGTLTS >Potri.005G178501.1.v4.1 pep chromosome:Pop_tri_v4:5:18445391:18446493:1 gene:Potri.005G178501.v4.1 transcript:Potri.005G178501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178501.v4.1 MAAASATTASATEGPRIVWNEKQRRFETEDKEAYIEYVLVNDGKVMDILHTYVPRSKRGLGMASHLCVAAFDHAKSHSMSIIPTCSYVSDTFLPRNPSWNPLVSEELKSSM >Potri.005G231300.1.v4.1 pep chromosome:Pop_tri_v4:5:23060167:23060634:1 gene:Potri.005G231300.v4.1 transcript:Potri.005G231300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231300.v4.1 MASSSGDSSGFTQLQNSGSEENTQMMLVDQRKRKRMQSNRESARRSRMKKQKHLDDLMAQVTQLRKDNNQILTTINVTTQHYLNVEAENSILRAQMMELNHRLDSLNEILNYINTSNGIFENDHHEDLPDHSFMNPSNLFYLNQPIMASPDLFQY >Potri.010G115200.1.v4.1 pep chromosome:Pop_tri_v4:10:13467131:13470800:1 gene:Potri.010G115200.v4.1 transcript:Potri.010G115200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115200.v4.1 MSGYMAALYENKMMSSGENLLTAIVPLMKLLSLIVIGLVLAHPKAQMIPRETFRLLSKLVFALFLPCLIFTELGESITLQNIALWWFIPVNVLVSTVIGCFLGVAVVLICRPPPQFNRFTIIMTAFGNTGNLPLAIVGSVCHTKDSPFGPHCHSKGVAYVSFAQWVAVILVYTLVYHMMEPPMQYYEIVEEGAEIEEQPVSNVSRPLLVEAEWPGIEEKETEHSKTPFIARIFHSISSLSQTTFPDIDLGERSLSSPRSIQCLAEPRVVRRIRIVVEQTPVQHILQPPTIASLFAIIIGMVPQLKAFFFGYDAPLSFVTDSLEILAGAMVPSVMLILGGMLAEGPKDSTLGLRTTIGITVARLLVLPLLGIGVVALADKLHLLVQGDAMYRFVLLLQYTTPSAILLGAIASLRGYAVKEASALLFWQHVFALFSLSLYIIIYFKLLTYI >Potri.008G003100.1.v4.1 pep chromosome:Pop_tri_v4:8:114948:115508:-1 gene:Potri.008G003100.v4.1 transcript:Potri.008G003100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003100.v4.1 MGDQFRGSTTAIDSSNIGFQLLKKHGWKEGTGLGISEQGRLEPVQTYLKNNKRGLGADHNKKLLVKKNNTTESSSAPASSSSSSKDKTNQDRSSSRKSKALSKKQRKMQELEKRLQDKEFERGFFREFWPDNV >Potri.018G105100.1.v4.1 pep chromosome:Pop_tri_v4:18:12442598:12443158:-1 gene:Potri.018G105100.v4.1 transcript:Potri.018G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105100.v4.1 MPSRCEICCEIIIAILLPPLGVCFRHGCCSVEFWICLLLTILGYVPGIIYALYAIVFIDRDEYFDEGRRPLYAPAYQ >Potri.009G160000.2.v4.1 pep chromosome:Pop_tri_v4:9:12390677:12392046:1 gene:Potri.009G160000.v4.1 transcript:Potri.009G160000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160000.v4.1 MNPIYINHILPWHSITNKLSSPDHYLLAMDVSDKSGEATKITIQEPKADTKGKGIAGALTAPVVVAAKAAQRPRKGWKKGAAIFDLTLRLSAIAAGFAATSLMATTDQTLPFFTQFFQFHAQYTDLPTFLSFMIVNAITSGYLVLSLPFSIVCIVRARAAGPRLLLIILDSVMMALTTSAASASAAIVYLAHNGNSSSNWNAFCQQFNNYCQQVSNAVVASFLAAALLLSLVVLSAFALRKMK >Potri.005G156250.1.v4.1 pep chromosome:Pop_tri_v4:5:14799973:14800284:-1 gene:Potri.005G156250.v4.1 transcript:Potri.005G156250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156250.v4.1 MIWPVELLLHLLESFIPSCSLMLAIISVLIFFIIDVNICCIAGSFIWSLICSCISKDEAGKLDELVPLMPLFLLTAKFPRVSVGFAPAPGPDPSVFKVEDSRN >Potri.010G007965.1.v4.1 pep chromosome:Pop_tri_v4:10:1477423:1479808:-1 gene:Potri.010G007965.v4.1 transcript:Potri.010G007965.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007965.v4.1 MARQADRLYKIGLEGFALIDEWYGCPRRSSTPQEHHQQRYDYGGIQVPMMKMDVINNKEAAKHYGGVVIMDYRKK >Potri.003G080900.2.v4.1 pep chromosome:Pop_tri_v4:3:10809863:10814096:-1 gene:Potri.003G080900.v4.1 transcript:Potri.003G080900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080900.v4.1 MELAIKTPIAPTTQNFLKSKPKVKSLRVFSLKCVSSLSSSDGAATGVVERPWKTSDARLVLEDGSVWRAKSFGARGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSISTSNWRCTKELGDYLLERNIMGIYDVDTRAITRRLRQDGSLVGVLTTEELKTDEELLKMSRSWDIEGIDLISGVSCTAPYEWVDKTDLEWDFNCEGRGEIYHVIAYDFGIKHNILRRLASYGCKITVVPSNWPASEALKMKPDGVLFSNGPGDPSAVPYAVETVKELLGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLLANRVEISAQNHNYAVDPASLPEGVEVTHINLNDGSCAGLAFPALNVMSLQYHPEASPGPHDSDSVFGEFMELMKKVKQKA >Potri.002G042500.2.v4.1 pep chromosome:Pop_tri_v4:2:2779995:2783189:-1 gene:Potri.002G042500.v4.1 transcript:Potri.002G042500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G042500.v4.1 MAATGTSFIKVQRNLQRFTTVLQSAACEWFLLFWLLIDAVLSYLLTKFASYCGLQIPCMFCSRLDHFLGNEKPGFYKKLICSNHRSEISSLISCHIHGKLADGYGMCEECLLSSTMKSVPSPDINRLLMGKFGFDIGAPGFQNSLQNRELVSGSVGTRMCSCCKRSRQTSNRIAQLKSPRSGMTKPNIPLARHLTHRENVRKKRKKFPGSVTSHRLVRCDYNPRSHVGYAELKFTFDSDSEFPFFGDDEGSSIGNKMKELKEESTVPPKTLTDGISSEKMTHHSLRSLASDVDLNRQQADHENCPSALPELISLDGCPTSSVMEATSGVSSVRSELKFPFFQNYNLSALSDLMSLVVPSSFSAVEGPLEASERNSADYTGTGDRRYIAINKHKEISKLTTSIRGGDQVATEVPSINSCNVDLIDVWKPAANSEEGEAHTFMAEKGTANEHERVDENLELPTENVFAEVPDLSLNNKINGVEGRGDELEMNDALGPNGVQTLQTERAESSGLESLDGSFVSDIEGESIVDRLKRQMEHDKRRISALYEELEEERSASAIAANQAMAMINRLQEEKAALHMEALQYLRMMEEQAEHDVEALEKANDLLAEREKQMQDLEAEIDFLQLNTPDEPMAETIHVKSYDLKEKNMSLENTSKGDDDTNVTCSSSFREVLNDSEKPATVKSFLSEYDDEQLLISQRLKGLERKLHQFASHGASQFVSNGDYSEEAHGALNEGESLDYEGSRTNDQTKEDSLSTQKDSPVSNRSLPAHETSSALIAKDQDV >Potri.002G042500.3.v4.1 pep chromosome:Pop_tri_v4:2:2779940:2783256:-1 gene:Potri.002G042500.v4.1 transcript:Potri.002G042500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G042500.v4.1 MAATGTSFIKVQRNLQRFTTVLQSAACEWFLLFWLLIDAVLSYLLTKFASYCGLQIPCMFCSRLDHFLGNEKPGFYKKLICSNHRSEISSLISCHIHGKLADGYGMCEECLLSSTMKSVPSPDINRLLMGKFGFDIGAPGFQNSLQNRELVSGSVGTRMCSCCKRSRQTSNRIAQLKSPRSGMTKPNIPLARHLTHRENVRKKRKKFPGSVTSHRLVRCDYNPRSHVGYAELKFTFDSDSEFPFFGDDEGSSIGNKMKELKEESTVPPKTLTDGISSEKMTHHSLRSLASDVDLNRQQADHENCPSALPELISLDGCPTSSVMEATSGVSSVRSELKFPFFQNYNLSALSDLMSLVVPSSFSAVEGPLEASERNSDYTGTGDRRYIAINKHKEISKLTTSIRGGDQVATEVPSINSCNVDLIDVWKPAANSEEGEAHTFMAEKGTANEHERVDENLELPTENVFAEVPDLSLNNKINGVEGRGDELEMNDALGPNGVQTLQTERAESSGLESLDGSFVSDIEGESIVDRLKRQMEHDKRRISALYEELEEERSASAIAANQAMAMINRLQEEKAALHMEALQYLRMMEEQAEHDVEALEKANDLLAEREKQMQDLEAEIDFLQLNTPDEPMAETIHVKSYDLKEKNMSLENTSKGDDDTNVTCSSSFREVLNDSEKPATVKSFLSEYDDEQLLISQRLKGLERKLHQFASHGASQFVSNGDYSEEAHGALNEGESLDYEGSRTNDQTKEDSLSTQKDSPVSNRSLPAHETSSALIAKDQDV >Potri.009G064100.1.v4.1 pep chromosome:Pop_tri_v4:9:6515391:6516608:1 gene:Potri.009G064100.v4.1 transcript:Potri.009G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064100.v4.1 MPEKSGKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMGTTDVRVDVKLNKHIWSRGIRSVPRRIRVRVARKRNDDQDAKEEFYSLVTVSELPLEGFKGLGTMVIDDKEE >Potri.001G121300.1.v4.1 pep chromosome:Pop_tri_v4:1:9915768:9917616:1 gene:Potri.001G121300.v4.1 transcript:Potri.001G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121300.v4.1 MKEASGVCVSMRPRQDFRVLEVAQSSFRQAHHLFSCISDQNQKRSIQEVSLIAQDTVNEFRNLVRLLDGSEQSGCKRIRKGPLPHSHDINPVELMDSPNSVSKSPHHNFSQPNRQLFPLQSIQSTTSLIHANSIDLYREKQKTKDNVDVKTNLILGFNLSLLQPSTSFSSLDGGGRIIHHSTSEILPSQDDASISSKSKSGAKSEEKCLASTGGCHCSKRRKLRIKKVIKVPASSTKPADIPPDDHYWRKYGQKPIKGSPYPRSYYKCSSTRGCPARKHVERSLEDPTMLVVTYEGEHNHSKIQSPNPDIMLHI >Potri.003G039626.1.v4.1 pep chromosome:Pop_tri_v4:3:4475278:4475966:1 gene:Potri.003G039626.v4.1 transcript:Potri.003G039626.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039626.v4.1 MTLDFASLHILDDYKGVDTIVVGDDNGIPIKHIGQSTILGANSNPLKPKHVVHVPQIQRNLVSVNKFTKDNFCFFESHSNHFFVKDQKTRTTLLKDLLKKDCIGSLGLECRIHI >Potri.014G183800.1.v4.1 pep chromosome:Pop_tri_v4:14:14743868:14745893:1 gene:Potri.014G183800.v4.1 transcript:Potri.014G183800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G183800.v4.1 MVVFPYTVAFLLLSSLQTVKIANSQSFIGINYGQVADNLPPPPSTAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNEVMTSNDQNLVNRLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYYAYRSDTRPETLAFCLFQPNAGRTDGNTKIKYMNMFDAQVDAVFSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDVGLSTSSQIKSLAAASQPLIAATTNTINNNNSMSMNTSTCNCMCNCTSTINISSGSNKVYLIIIFNLDFLYGFMGLSLICLFFYDLQT >Potri.014G183800.3.v4.1 pep chromosome:Pop_tri_v4:14:14743868:14746926:1 gene:Potri.014G183800.v4.1 transcript:Potri.014G183800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G183800.v4.1 MVVFPYTVAFLLLSSLQTVKIANSQSFIGINYGQVADNLPPPPSTAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNEVMTSNDQNLVNRLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYYAYRSDTRPETLAFCLFQPNAGRTDGNTKIKYMNMFDAQVDAVFSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDVGLSTSSQAIMLALALVRTHVQSLLEVMGALCH >Potri.005G008901.1.v4.1 pep chromosome:Pop_tri_v4:5:746138:750978:-1 gene:Potri.005G008901.v4.1 transcript:Potri.005G008901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008901.v4.1 MMVKKMGAWMLLALLTLVGEWCGSYGCSEEERTGLLEIKALIDPNHLSLGDWVDSSNCCEWPGIECDNTTRRVIQLSLFGARDQSLGDWVLNASLFLPFKELQSLDLSSNGLVGCFENQGFEVQSSKLEVLDLSQNRFNNDKSILSCLSGLSSLKSLDLSDNGLKGSGFKDLSSRLKKVENLDLSWNQYNDSIFSSITGFSSLKHLDLSFNQLTGSTGINSFQLQPVSLGKLENLDLSDNQLNSSILSILSGLSSLKSLNLSNNMLTGSGFKVLSSRLKKLENLHLSRNQCNDSIFSSLSGFSSLKHLDLSFNQLTGSTGINSFQLHPVRLGKLENLDLRGNQLNSSILSILSGLSSLKSLDLSNNMLTGSGTFFNSSTLEELYLDYTSLPLNFLPKIRALPALKVLSVSDSNLNGTLPTRGTFFNSSTLEELYLDYTSLPLNFLQDIGALPALKVLSVGECNINDTLPAQGWCELKNLEQLDLYGNNLGGSLPDCLGNLSSLQLLDVYEN >Potri.003G163200.1.v4.1 pep chromosome:Pop_tri_v4:3:17274440:17283791:1 gene:Potri.003G163200.v4.1 transcript:Potri.003G163200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163200.v4.1 MHFTKLDDSPMFRKQIQSLEEDAESLRERSLKFYKGCRKYTEGLGEAYDGDVGFASALETFGGGHNDPISLAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLHFVNIDLLEVKEARKRFDKASLLYDQAREKFLSLRKGTRSDVAILLEEELHNARAVFEQARFHLVTAISNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYIHQVLTYAQQSRERSNYEQASLNERMQEYKRQIDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSSLRGDWKRRFFVLDSRGMLYYYRKQSSKPSGSGGQLSGQRNSSELGSGLLSRWLSSHHHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLSASPLGSGHHRSASESSSFESTDFDPSAVDEYASERSHAALHHERAFRSSQQQRTSAEKPIDVLQRVCGNDKCADCGAPEPDWASLNLGVLICIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNAFANSVWEELLQSRSALQVELIPTGSFKSDKPQLLFIGKPNPADSISVKEKFIHAKYAEKVFVRKPRDNQNSQSVAQQIWEAVRANDKKAVYRLIVHHEADVSAVYEQASCSSSLTLAKAMLLQEQTNPEQLSSYSTGNSLDRSSTSSLNFAGSSEGLTLEDLDGCTLLHLACETADIGMLELLLQYGANINSTDSRGQTPLHRCILRGRPFLAKLLLSRGADPRAVNGEGKTPLELAIESGFDESEVLALLSDSNG >Potri.002G037300.1.v4.1 pep chromosome:Pop_tri_v4:2:2450413:2456646:1 gene:Potri.002G037300.v4.1 transcript:Potri.002G037300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037300.v4.1 MRTKRSRSDLRNENAEKLSVPPGFASLTSFRLKKVETSVQNGNSTPSTSVSKGKTIQMDDTTDTIDVATLKRFFQHRPSILHGQKNQNLVESNFEQVNMDLPSETSLPKGVAYGCADCSNCLKVTASWRPEDARKDVLEEVPVFHPTEEEFRDTLKYIASVHSRAEGYGICRIIPPPSWNPPCLIKEKNVWETAPFMTHIQRIDGLQDEHIKSKIVSCKRNSVTMDKDHEVGEGYSMNCDEVGCSNTDGFASEPDPKFTLESFKKCADDFKSQYFRSSKDVFANMDSDGCSKQWKPSVENIEGEYRRIIENPTEEMEVLYGSNLDTGVFGSGFPTKSSISNTDEYLESGWNLNNTPRLAGSLLSFESNKTCGVLVPRLNIGMCFSTFCWKVEEHHLYSLCYMHLGDPKIWYGVPGRYAVKFKAAMKKYLPDVLAEDDLTLHDRVIAKLSTSALKSEGIPVYRCIQNPREFVLVLPGAYYSGFDSGFNCSEVVNVALLEWLPHGQLAVEVYSEQGRKTSISHDKLLLGAAKEAVRAQWEVSLLRKSTLDNLRWKDASGKDGILAKALKTRMKMEDNRRKYLCTPSQSEKMDNKFDAVSKRECSICFYDLHLSAVRCSCSMDRYSCLNHAKQLCSCAWSEKIFVFRYEISKLNILIEALEGKLSAVYRWAREELKLSLYSYISKDDSQAPNHIGSPEFHSEESKEKKHQSQRVATPYGNERSAVSSIKEEVKARMLHLRSLNEQMEKENSKVSTFVTGEQKPRESPKVSAFVTSEAVDDASLLLKCEVSSDSTSSSSSSELGECDIGM >Potri.002G037300.5.v4.1 pep chromosome:Pop_tri_v4:2:2450271:2456647:1 gene:Potri.002G037300.v4.1 transcript:Potri.002G037300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037300.v4.1 MRTKRSRSDLRNENAEKLSVPPGFASLTSFRLKKVETSVQNGNSTPSTSVSKGKTIQMDDTTDTIDVATLKRFFQHRPSILHGQKNQNLVESNFEQVNMDLPSETSLPKGVAYGCADCSNCLKVTASWRPEDARKDVLEEVPVFHPTEEEFRDTLKYIASVHSRAEGYGICRIIPPPSWNPPCLIKEKNVWETAPFMTHIQRIDGLQDEHIKSKIVSCKRNSVTMDKDHEVGEGYSMNCDEVGCSNTDGFASEPDPKFTLESFKKCADDFKSQYFRSSKDVFANMDSDGCSKQWKPSVENIEGEYRRIIENPTEEMEVLYGSNLDTGVFGSGFPTKSSISNTDEYLESGWNLNNTPRLAGSLLSFESNKTCGVLVPRLNIGMCFSTFCWKVEEHHLYSLCYMHLGDPKIWYGVPGRYAVKFKAAMKKYLPDVLAEDDLTLHDRVIAKLSTSALKSEGIPVYRCIQNPREFVLVLPGAYYSGFDSGFNCSEVVNVALLEWLPHGQLAVEVYSEQGRKTSISHDKLLLGAAKEAVRAQWEVSLLRKSTLDNLRWKDASGKDGILAKALKTRMKMEDNRRKYLCTPSQSEKMDNKFDAVSKRECSICFYDLHLSAVRCSCSMDRYSCLNHAKQLCSCAWSEKIFVFRYEISKLNILIEALEGKLSAVYRWAREELKLSLYSYISKDDSQAPNHIGSPEFHSEESKEKKHQSQRVATPYGNERSAVSSIKEEVKARMLHLRSLNEQMEKENSKVSTFVTGEQKPRESPKVSAFVTSEAVDDASLLLKCEVSSDSTSSSSSSELGECDIGM >Potri.013G065200.8.v4.1 pep chromosome:Pop_tri_v4:13:4839132:4841911:-1 gene:Potri.013G065200.v4.1 transcript:Potri.013G065200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065200.v4.1 MAEQQEEDSKVNSPPPPPPFLEVICKSLGKTSRFAAGTKAGFAVSLINRKLDVGAPFVLHIEAVKDGEEPISFGPDAVLVDYGNDWKLQTFTVLDYGVGVRQAEHFQRIPKQSSDGSRPAKTATNPGISFLYMAKILVAFVLLFVLGASFTLALENLPRLILFINSFM >Potri.013G065200.9.v4.1 pep chromosome:Pop_tri_v4:13:4838974:4841916:-1 gene:Potri.013G065200.v4.1 transcript:Potri.013G065200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065200.v4.1 MAEQQEEDSKVNSPPPPPPFLEVICKSLGKTSRFAAGTKAGFAVSLINRKLDVGAPFVLHIEAVKDGEEPISFGPDAVLVDYGNDWKLQTFTVLDYGGVRQAEHFQRIPKQSSDGSRPAKTATNPGISFLYMAKILVAFVLLFVLGASFTLALENLPRLILFINSFM >Potri.013G065200.10.v4.1 pep chromosome:Pop_tri_v4:13:4839132:4841924:-1 gene:Potri.013G065200.v4.1 transcript:Potri.013G065200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065200.v4.1 MAEQQEEDSKVNSPPPPPPFLEVICKSLGKTSRFAAGTKAGFAVSLINRKLDVGAPFVLHIEAVKDGEEPISFGPDAVLVDYGNDWKLQTFTVLDYGVRQAEHFQRIPKQSSDGSRPAKTATNPGISFLYMAKILVAFVLLFVLGASFTLALENLPRLILFINSFM >Potri.015G011900.2.v4.1 pep chromosome:Pop_tri_v4:15:746540:748030:-1 gene:Potri.015G011900.v4.1 transcript:Potri.015G011900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G011900.v4.1 MMGSGDDQLGYDPSPTPKLSLFSLSSKLHESPAGMLTPPIHTLASVPFKWEEAPGKPRPSCATQSKPKFARCLELPPRLLNEAKVSNMPSPTTVFDGPYVPRSLSLGKGRSLSNLENLGCGEVNSKERVTFGSGRWGFFRKNNKEVAAPSHGDIGVNHGGREAVRVKITRIRRRSSFLGLSHTRSHFWTDICESFKHAVPWTRR >Potri.010G235801.1.v4.1 pep chromosome:Pop_tri_v4:10:21617745:21622534:-1 gene:Potri.010G235801.v4.1 transcript:Potri.010G235801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235801.v4.1 MTYMKKKLHHFADNIGPWMIWGYHISPMMHGQKAIVLKEFLDERWSVPNHDKAFSEPTVGKVLLKMRGMFMEGYWYWISIGVLRGFALVFNVLFVAALTYLDPLGESKSIILEEDETTKSSSTGKQAAKALEMTPASAAQFSEVAEQAPKQRGMVLPFQPLSLAFNHVNYYVDVPMEMKMQGIEEDSLQLLRDVSGVFRPGVLTALVGVTCKWCWKDNFDGCSCWSENWRVH >Potri.T139662.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:77759:78136:1 gene:Potri.T139662.v4.1 transcript:Potri.T139662.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T139662.v4.1 TGDSGDDFPGFQTCVHKEEMEYRGALSRLQRRAPCPLQIKPHNNAFLASSASTPTSSFNPFCHSKINPISLLSPLVLP >Potri.009G166200.1.v4.1 pep chromosome:Pop_tri_v4:9:12704926:12706208:1 gene:Potri.009G166200.v4.1 transcript:Potri.009G166200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166200.v4.1 MTSHNTDPDPDFCLPSSSSIPTTYHHQNPRKKRTRLIKIEPSLLPSSTISRPKYYNKPDPSAPKITRPCSECGKKFWSWKALFGHMRCHPERQWRGINPPPNYRRPVSPIDQPVSIANPTNWEDMMTAEDHEVASCLLMLADSDGAAMLEGNDFGGGVGASSSHQVQDHDLVNCTRFECSSCRKVFGSHQALGGHRASHKNVKGCFALTRSDGCEVVEDHGGSGDVKENVEDNSKALLVLGHKCSICLRMFPSGQALGGHMRCHWEKGEENSSSMNQGLHFLTAKEGCGLDLNLPAPMEDESSSSYSSGLTLDLRLCL >Potri.016G082200.2.v4.1 pep chromosome:Pop_tri_v4:16:6334533:6336993:-1 gene:Potri.016G082200.v4.1 transcript:Potri.016G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082200.v4.1 MNIVSLQTRLRKKIPYATHLFQTLSQHRPFSSHKFRRTTQTKRLDKALRILDIITPKTTAPTNGQNHLRVIQDFFQAHSNRTSEQRLSNDFISPNSDNGDFSVFDEILESSFINNDEDSNATSLSFDASVLSNAVSSCASTRDLRGGIQYHCLAISAGFIANAYIGSSLVTFYGKCGELDNAYKVFKEMPVRNVVSWTAIISGFAQDWQVDMCLQLYCLMRNSTLKPNDFTFTSLLSACTGSGALGQGRSAHCQIIEMGFVSYLHIANALVSMYCKCGNVEDAFHIFENMVGKDIVSWNSMIAGYAQHGLAVQGIGLFERMKSQGVKPDAITFLGVLSSCRHAGFVEGGRNYFNSMVEYGVKPELDHYSCIVDLLGRAGLLEEAQYFIERMPVSPNAVIWGSLVSSCRLHGSVWIGIQAAENTLLLEPECAATHVQLANLYASVGFWDQAARVRKLMKDRRLKTNPGCSWIEIKNEVYRFRAEDCSNTRVSEIHDVLDWLVDHMITLGRAPEMQEVSEAIHDNVCP >Potri.002G249550.1.v4.1 pep chromosome:Pop_tri_v4:2:23992814:23993798:1 gene:Potri.002G249550.v4.1 transcript:Potri.002G249550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249550.v4.1 MCCIIEPAIFFVHSLWYTLGASQLVKNVSFNIPTTPTNQTLISQAQKKNLKVTMELQGHSNLRQTMKNVLYRALLAVLLLYPSIATDPLGNLFNEEGELTLFNTQVTRALHQQKLMTYRLNLFP >Potri.004G014000.1.v4.1 pep chromosome:Pop_tri_v4:4:870131:871249:-1 gene:Potri.004G014000.v4.1 transcript:Potri.004G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014000.v4.1 MADWCGVLGKGSITVGGYGRRSMRDEDFEEEDVWSVVKEMGDSSSNSKYPSSGPSFSSAWHLPSTAPRMIPATKTTATNHGGKAAQQQSSAPVNIPDWSKIYGKDSRDIMASWVDDHNGIAYGDGDDHVNDDVDDCDEDGDDGIVPPHEWLARKLARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFLE >Potri.010G110400.3.v4.1 pep chromosome:Pop_tri_v4:10:13064545:13066096:1 gene:Potri.010G110400.v4.1 transcript:Potri.010G110400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110400.v4.1 MSYCFSFQSPKALPTLSSQRRRTSLCTTAKYKPFHNTKLVKKATSVNRKPEDHDQAKKSSLAIQFAALLATIEQPAFAITGVNNPEDLTSILIQLAIVTFCYFILMPPIIFNWLWKRLYRRKLLETYLQFMCIFIFFPGILLWAPFLNFRKFPRDPSLQYPWSKPEDPSKIKNDYLRYPWATPEDYD >Potri.010G110400.1.v4.1 pep chromosome:Pop_tri_v4:10:13064545:13065991:1 gene:Potri.010G110400.v4.1 transcript:Potri.010G110400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110400.v4.1 MSYCFSFQSPKALPTLSSQRRRTSLCTTAKYKPFHNTKLVKQKATSVNRKPEDHDQAKKSSLAIQFAALLATIEQPAFAITGVNNPEDLTSILIQLAIVTFCYFILMPPIIFNWLWKRLYRRKLLETYLQFMCIFIFFPGILLWAPFLNFRKFPRDPSLQYPWSKPEDPSKIKNDYLRYPWATPEDYD >Potri.007G121200.3.v4.1 pep chromosome:Pop_tri_v4:7:13896422:13899202:-1 gene:Potri.007G121200.v4.1 transcript:Potri.007G121200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121200.v4.1 MESVCDFCGVEKAVVYCKPDSAKLCVHCDGCVHSANFLSRRHRRSLLCDKCSSLPAVARCFDEKLSICQGCDCSANGCSSLGHQLRALNCYTGCYSLAEFSKIWSSVLEGSSSGGFDSGWDSLNSAPINENCISSCLEQRDNEGSFGLFTGKLNELESCSKLEPWRGPPSIIMPNPNYMPCCRDQVSMFPEVTNLPKGCSIFKDIGLPDGEDLCEGLNLDDIPLDFENSDEIFSCSETQSKYQFGDVGKDCMLMEKNLSVTGSNGPIENTIEVSSSGQLECAAFQSSCVSGPASAMQTISGNANCSIFTNPSCCKNLNLGFPAVSGQVHSSMSLPLSNIIGESSAADYQDCGLSPLFLTGESPWESHLDASSPQARDKAKMRYNEKKKTRTFSKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLLSSNF >Potri.007G121200.2.v4.1 pep chromosome:Pop_tri_v4:7:13896422:13899202:-1 gene:Potri.007G121200.v4.1 transcript:Potri.007G121200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121200.v4.1 MESVCDFCGVEKAVVYCKPDSAKLCVHCDGCVHSANFLSRRHRRSLLCDKCSSLPAVARCFDEKLSICQGCDCSANGCSSLGHQLRALNCYTGCYSLAEFSKIWSSVLEGSSSGGFDSGWDSLNSAPINENCISSCLEQRDNEGSFGLFTGKLNELESCSKLEPWRGPPSIIMPNPNYMPCCRDQVSMFPEVTNLPKQGCSIFKDIGLPDGEDLCEGLNLDDIPLDFENSDEIFSCSETQSKYQFGDVGKDCMLMEKNLSVTGSNGPIENTIEVSSSGQLECAAFQSSCVSGPASAMQTISGNANCSIFTNPSCCKNLNLGFPAVSGQVHSSMSLPLSNIIGESSAADYQDCGLSPLFLTGESPWESHLDASSPQARDKAKMRYNEKKKTRTFSKQIRYASRKARADTRKRVKGRFVKAGEAYDYDPLLSSNF >Potri.014G074600.1.v4.1 pep chromosome:Pop_tri_v4:14:4793899:4796643:1 gene:Potri.014G074600.v4.1 transcript:Potri.014G074600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074600.v4.1 MIFRISLLLLAFMAAKASAASIDKQTYIIHMDKNKMPALYDFLGNSRQWYESVIDSITQFSSQEHEEEHETGFPQLLYTYETVTSGFAAKLSTKQVEALSRVDGFLSAIPDGMLTLHTTHTPRFLGLQSGKGLWNAQNLASDVIVGILDTGIWPEHVSFQDSGMSAVPLKWKGKCESGTKFSPSNCNKKLIGARAFFKGYESIVGRINETIDYRSPRDSQGHGTHTAATAAGNLVDEASFYGLANGSAAGMKYTARIAAYKVCWTSGCTNTDLLAAIDQAVADGVDVLSLSLGGSAKPFYSDSVAIASFGAIQKGVFVSCSAGNSGPSISSVDNNAPWIMTVAASYTDRRFPTTVKLGNGQTFEGASLYTGKATAQLPLVYAGTAGGEGAEYCIIGSLKKKLVKGKMVVCKRGMNGRAEKGEQVKLAGGTGMLLINTETGGEELFADAHFLPATSLGASAGIAVKEYMNSTKRATASIAFKGTVYGNPAPMLAAFSSRGPSSVGPDVIKPDVTAPGVNILAAWPPMTSPTLLKSDKRSVLFNVISGTSMSCPHVSGLAALLKSVHKTWSPAAIKSALMTTAYVTDNRGSPIADAGSSNSASATPFAFGSGHVDPESASDPGLIYDITIEDYLNYFCSLNYTSSQIAQVSRRNVTCPDNKALQPGDLNYPSFAVNFEGNARNNRVKYKRTLTNVGTPWSTYAVKVEEPNGVSVILEPKSLSFEKLGQKLSYNVTFVSSRGKGREGSSSFGSLVWLSGKYSVRSPIAVTWQ >Potri.006G065800.1.v4.1 pep chromosome:Pop_tri_v4:6:4721279:4725612:-1 gene:Potri.006G065800.v4.1 transcript:Potri.006G065800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065800.v4.1 MDLSPFKLDIDELINEFVEGEFTTLADMKRVWLSRKFTYIFEASPPTKLAFFMQSLYAHTIGHMISTSSLSQRLGGLYCLYCLYETQPFKPPFKIYFSLGELKKLKNLVINAKEHGIKVVPALVKRMLEKNMFLFGFVDLHEGSVSETVNQLTELQDARVQVAYKKLFDDTRIEQFLHMDMGMEFDLEMLKKMSTEYAEAKKHAIREANKAVDVQNIQHISDDREFIGDEVERITENWNVQRQVFYQQTGLNQRHAQKDEQQQQPQHKKHEQQDDDFGDEFSHQLELQLIEEEQLQQKEEDDESNHELEL >Potri.006G065800.4.v4.1 pep chromosome:Pop_tri_v4:6:4721606:4725391:-1 gene:Potri.006G065800.v4.1 transcript:Potri.006G065800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065800.v4.1 MDLSPFKLDIDELINEFVEGEFTTLADMKRVWLSRKFTYIFEASPPTKLAFFMQSLYAHTIGHMISTSSLSQRLGGLYCLYCLYETQPFKPPFKIYFSLGELKKLKNLVINAKEHGIKVVPALVKRMLEKNMFLFGFVDLHEGSVSETVNQLTELQDARVQVAYKKLFDDTRIEQFLHMDMGMEFDLEMLKKMSTEYAEAKKHAIREANKAVDVQNIQHISDDREFIGDEVERITENWNVQRQVFYQQTGLNQRHAQKDEQQQQPQHKKHEQQDDDFGDEFSHQLELQLIEEEQLQQKEEDDESNHELEL >Potri.018G141500.2.v4.1 pep chromosome:Pop_tri_v4:18:14657931:14659724:1 gene:Potri.018G141500.v4.1 transcript:Potri.018G141500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141500.v4.1 MESFEDISEYQNYWEMTSMFLNDELKSWAMDQASSHYYDSSSPDEAASAIASKNTVSERNRRKKLNDKLLELRQAVPKISKLDKASTIKDAIDYIQDLQEQETRLQAEIMELESERSEKDKGYEFERELPVLLTSKKTRYDHISDHREPRSDPIEVHQLRVSSMGEKTLFVSLTCSQAREAMVKICEVFESLKLKIITASVTSVSGMFKKTILIEADVEERDHLKSRIERAIKALSGPYNPQIM >Potri.005G096700.1.v4.1 pep chromosome:Pop_tri_v4:5:6882357:6884326:-1 gene:Potri.005G096700.v4.1 transcript:Potri.005G096700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096700.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKSKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRVKERVEEKEGIPPVQQRLIYAGKQLGDDKTARDYNIEGGSVLHLVLALRGGFCLYH >Potri.016G011601.1.v4.1 pep chromosome:Pop_tri_v4:16:586624:604472:-1 gene:Potri.016G011601.v4.1 transcript:Potri.016G011601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011601.v4.1 MAKLYLNKLFPKLVSSLAVLLLCQFGYVACQPTMKVYSPSRNATLPADEAKALGELMTTLGWGFQQFSRSSCDNNYRGIKCNCTYENSTVCHDTGLDLSNRELDGRIHAEALTSLDFLEEIDLSHNQLYGSIPVTMGNLPSLTSLDLSTNFLNGSIPSSLGNLSSLQILDLSTNFLSGSIPPSLRNLSSLKYLFLWYNMLSGQIPKELGNLSNLLQMTLGFNELTGQLPPELGRLRSLNALELSSNNLSGELPGNYANFTSDQLQFFSVAGNRLTGQVPSFIANWTGLGYLYLSGNDFEGQLPLELLFNMSKLEYLVVSDVRSSAGFPFPKHANMKGIRYLVIRNCLISGGIPPYIGHWSSLTYLDLSFNSLTGGIPDSMKKLNLSKMFLTGNMLNGTVPSWVPHTIEDKADLSYNNFEISRDGTKKGEGKLNIQPNRNSMRDLIKKCRGKPKYDSLYINCGGADKVVDGKEFEADSATSNYYSAPRENWAYSCSGDFGSETYDSSYYIKNVECAVCDSAGTLLYNSTRLCPLSLTYYGFCLFKGNYTVKLYFAETVYQNDEDYSNLGKRVFDVYIQGKRALKDFNIKEMASGTNKTWTASFTAYVGDDHLLTIDFFWAGKGSFPLPDFSNLPAALSLNGPLVAGISVTANFKVGGKGLSPSQISAITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQIIDATRKFSPKMEIGRGRFGIVYKAELPNDIKLAVKKISPHSKQQGKDELQREIFNLKSLHHENLVQLLDGYSNKGLHLLVYDYMHKGSLHHALFEPNSTTKLDWKARFGICLGIARGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMTIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGRVSADYITNQEAEFLLDKAGVLHDKGRILDLVDKKLASSYDRKQALIVLLLAMKCVNLSPTLRPKISEVVSVLVGEKRIDEISEADTSSANIVLPGCWK >Potri.011G031700.1.v4.1 pep chromosome:Pop_tri_v4:11:2561003:2563559:1 gene:Potri.011G031700.v4.1 transcript:Potri.011G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031700.v4.1 MHPPMEELNQIKFIFNLARKNSHMIHIFSSSMASQTKKNTACVIGGTGFVASLLIKLLLEKGYAVNTTVRDPDNQKKIAHLIALQNLGDLNIFGADLTNEESFNAPIACCDLVFHVATPVNFASEDPENDMIKPAIQGVHNVLKACAKAKTVKRVILTSSAAAVSINKLNGTGLVMDEKNWTDVEFLTSEKPPTWGYPASKTLAEKAAWKFAEENNIDLITVIPSLMTGPSFTPHIPDSINLAMSLITGNKFLINGLKGMQMLSGSISITHVEDVCRAHIFLAEKESASGRYICCGVNTSVVELAKFLNKRYPQYQVPTDCGDFPSEAKLIITSEKLSSEGFSFKYGIEETYDQTVEYFKANGLLN >Potri.011G031700.4.v4.1 pep chromosome:Pop_tri_v4:11:2561183:2563517:1 gene:Potri.011G031700.v4.1 transcript:Potri.011G031700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031700.v4.1 MASQTKKNTACVIGGTGFVASLLIKLLLEKGYAVNTTVRDPVTTQSFLHADNQKKIAHLIALQNLGDLNIFGADLTNEESFNAPIACCDLVFHVATPVNFASEDPENDMIKPAIQGVHNVLKACAKAKTVKRVILTSSAAAVSINKLNGTGLVMDEKNWTDVEFLTSEKPPTWGYPASKTLAEKAAWKFAEENNIDLITVIPSLMTGPSFTPHIPDSINLAMSLITGNKFLINGLKGMQMLSGSISITHVEDVCRAHIFLAEKESASGRYICCGVNTSVVELAKFLNKRYPQYQVPTDCGDFPSEAKLIITSEKLSSEGFSFKYGIEETYDQTVEYFKANGLLN >Potri.001G282500.3.v4.1 pep chromosome:Pop_tri_v4:1:29569853:29572999:-1 gene:Potri.001G282500.v4.1 transcript:Potri.001G282500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G282500.v4.1 MAMVTVFRASISRSLPTSFPNLLSSSSNRNSIPKKHRLLCYAASSQTKTIRSEVSFCIGTHLIPHPKKVERGGEDAFLVSDYNGGVIAVADGVSGWAEQNVDPSLFPQELMANASCLVEDEEVNYDPQILIRKAHAATSAVGSATVIVAMLETNGTLKIANVGDCGLRAIRGDRIIFSTSPQEHYFDCPYQLSSEMVGQTYLDAVVSRVEVMEGDTIVMGSDGLFDNVFDHEIVSTVAGHGDVAAAGIS >Potri.001G282500.2.v4.1 pep chromosome:Pop_tri_v4:1:29569830:29572999:-1 gene:Potri.001G282500.v4.1 transcript:Potri.001G282500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G282500.v4.1 MAMVTVFRASISRSLPTSFPNLLSSSSNRNSIPKKHRLLCYAASSQTKTIRSEVSFCIGTHLIPHPKKVERGGEDAFLVSDYNGGVIAVADGVSGWAEQNVDPSLFPQELMANASCLVEDEEVNYDPQILIRKAHAATSAVGSATVIVAMLETNGTLKIANVGDCGLRAIRGDRIIFSTSPQEHYFDCPYQLSSEMVGQTYLDAVVSRVEVMEGDTIVMGSDGLFDNVFDHEIVSTVAGHGDVAAAAKALANLASIHSTNSEFESPYSLEARSKGFDVPFWKKVLGMKLTGGKLDDITVIVGQVVRSQHISLLAEDQTIEAHTEQENKLES >Potri.018G134000.1.v4.1 pep chromosome:Pop_tri_v4:18:14298360:14301242:1 gene:Potri.018G134000.v4.1 transcript:Potri.018G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134000.v4.1 METLYFILLLFVPIILSLVAIIYKHRYQDKLQNVPPGNLGLPFVGESLDFLSKGWKGCPENFIFDRIRKYSSEIFKTNLFLQPVVMLNGVAGNKFLFSNENRLVETWWPDFVNRIFPSAVETSPKEEAKRMRRLFPRFLKPEALQRYIGTMDMVTKRHFALEWGNKAEVVVFPLAKSYTFELACRLFLSIEDPSHIARFSHPFNQITSGIFTIPIDFPGTPFNRAIKASKLIRIELLAIIRQRKKDLAEGKASPTQDILSHMLLSNDADGKYMNEVQISDKILALLMGGHESTAASCTFIVKYLAELPHIYEAVYKEQAEIIKSKAPGELLNWDDIQKMKYSWNVACETLRLSPPLIGNFKEAIKDFTFNGFSIPKGWKLYWSASSTHKNPEYFSEPEKFDPSRFEGKGPAPYTFIPFGGGPRMCPGNEYARLEILVFMHNLVKRFKFERLILDEKIVFDPTPKPEMGLPVRLLPHKA >Potri.001G422750.2.v4.1 pep chromosome:Pop_tri_v4:1:45203900:45206064:1 gene:Potri.001G422750.v4.1 transcript:Potri.001G422750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422750.v4.1 MKKKYKPSGLPPPITFPFNNPSRPFICFPFHTAAPSPQTLSIFIHTSQTQPPPPITPTPINSRSLPLTAASSSPVNELRLFSDNHSSNLERNRCACEVAKRYRFQIFFNLLLLSKPITSLRWTKEHNLERKLESLSLSQILKRQSIANSCRPRQNSSNKYTILFYYNVICCFFKPFEDIGL >Potri.002G201400.7.v4.1 pep chromosome:Pop_tri_v4:2:16441529:16448853:1 gene:Potri.002G201400.v4.1 transcript:Potri.002G201400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201400.v4.1 MEVHLENSCVEGDSNALIMPAKKSNKRKGMNQAQEVAKKNKNPQLSKSQKRKLKKLEEENERTLLLSKSIETLEKYKIPEDAFSLLQSSRNISRVETVKEKRRMAVQFSKAGLSPQGDQPFKRNHETASFEIEAGLDEIQSKKDMNEKGHLQPMVIGREVQNHASFSLVYHDPVSGNELGLNGRSVSAFSAEEVPNEDNCTPTLEVPKKSSQASSDHDARKTSSLMGKLNESSTVDLGKASNFPDFPLPRPPTTPTVVHVSRPDEVEKKRKDLPIIMMEQEIMEAINEHSTVIICGETGCGKTTQVPQFLYEAGYGSNHSVVRNGVIGVTQPRRIAVLATARRVAFELGLHLGKEVGFQVRHDKRIGDNCSIKFMTDGILLREVQTDILLKRYSVIILDEAHERSVNTDILIGMLSRVIQLRQKKYEQQQKMVLSGQSLSPENMIFPLKLVLMSATLRVEDFISERRLFHDPPPVINVPTRQFEVTVHFSKRTETVDYIGQAYKKVMSIHKRLPQGGILVFVTGQREVEYLCQKLRKASTELIANTAKGRAGDEVPAMSEMVSIEGVDMKDIDEAFEIQGNSIDQQTERFGSHDEGVPDSEDESDVSYDSGSESEVEIVGDEVDIEDSKTSENDVVGVLREKSSLAALKCAFEALAGENASECKSEGKQVPSMPEEYPEQYKNSMEKKTVGDKGLFTSALRVMPLYAMLPAVAQLHVFDEVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNSSNGMEAYEVQWISKASADQRKGRAGRTGPGHCYRLYSSAVYNNILPDFSCAEISKVPVDSIVLVLKSMHIDKVEKFPFPTPPEAAALVEAERCLKTLEALDNTGRLTSLGKAMACYPMSPRHSRMLLTAIQITRKMKDLDTANLVLGYAVATAAALSFSNAFLKHFEGSHTDSNGSEQDGRSSSLGSNKILDKQEKIKIKKLRETTKLSRARFSNSTSDTLTVAYALHCFELSTSPVEFCHENALHLKTMEEMSKLRRQLLQLVFNHHVHELEQGFSWTHGTVEDVEQAWRVLSSKRSTLLNVEDILGQAICAGWVDRVAKRIRGNSGTLEGDRKASAVRYQACMVKETVFLHRRSSLSNSAPEFLVYSELLHTKRPYMHGATSIKPEWLAKYGVSLCSFSTVEDRKPEYDPQTDQLYRWVIPTFGPHLWRLPAQSMPISSDEDRLKVCAKFPELIHSLKQIKRGVKDQKLKG >Potri.002G201400.5.v4.1 pep chromosome:Pop_tri_v4:2:16441552:16449731:1 gene:Potri.002G201400.v4.1 transcript:Potri.002G201400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201400.v4.1 MEVHLENSCVEGDSNALIMPAKKSNKRKGMNQAQEVAKKNKNPQLSKSQKRKLKKLEEENERTLLLSKSIETLEKYKIPEDAFSLLQSSRNISRVETVKEKRRMAVQFSKAGLSPQGDQPFKRNHETASFEIEAGLDEIQSKKDMNEKGHLQPMVIGREVQNHASFSLVYHDPVSGNELGLNGRSVSAFSAEEVPNEDNCTPTLEVPKKSSQASSDHDARKTSSLMGKLNESSTVDLGKASNFPDFPLPRPPTTPTVVHVSRPDEVEKKRKDLPIIMMEQEIMEAINEHSTVIICGETGCGKTTQVPQFLYEAGYGSNHSVVRNGVIGVTQPRRIAVLATARRVAFELGLHLGKEVGFQVRHDKRIGDNCSIKFMTDGILLREVQTDILLKRYSVIILDEAHERSVNTDILIGMLSRVIQLRQKKYEQQQKMVLSGQSLSPENMIFPLKLVLMSATLRVEDFISERRLFHDPPPVINVPTRQFEVTVHFSKRTETVDYIGQAYKKVMSIHKRLPQGGILVFVTGQREVEYLCQKLRKASTELIANTAKGRAGDEVPAMSEMVSIEGVDMKDIDEAFEIQGNSIDQQTERFGSHDEGVPDSEDESDVSYDSGSESEVEIVGDEVDIEDSKTSENDVVGVLREKSSLAALKCAFEALAGENASECKSEGKQVPSMPEEYPEQYKNSMEKKTVGDKGLFTSALRVMPLYAMLPAVAQLHVFDEVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNSSNGMEAYEVQWISKASADQRKGRAGRTGPGHCYRLYSSAVYNNILPDFSCAEISKVPVDSIVLVLKSMHIDKVEKFPFPTPPEAAALVEAERCLKTLEALDNTGRLTSLGKAMACYPMSPRHSRMLLTAIQITRKMKDLDTANLVLGYAVATAAALSFSNAFLKHFEGSHTDSNGSEQDGRSSSLGSNKILDKQEKIKIKKLRETTKLSRARFSNSTSDTLTVAYALHCFELSTSPVEFCHENALHLKTMEEMSKLRRQLLQLVFNHHVHELEQGFSWTHGTVEDVEQAWRVLSSKRSTLLNVEDILGQAICAGWVDRVAKRIRGNSGTLEGDRKASAVRYQACMVKETVFLHRRSSLSNSAPEFLVYSELLHTKRPYMHGATSIKPEWLAKYGVSLCSFSTVEDRKPEYDPQTDQLYRWVIPTFGPHLWRLPAQSMPISSDEDRLKVCAKFPELIHSLKQIKRGVKDQKLKG >Potri.002G201400.6.v4.1 pep chromosome:Pop_tri_v4:2:16441556:16449217:1 gene:Potri.002G201400.v4.1 transcript:Potri.002G201400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201400.v4.1 MEVHLENSCVEGDSNALIMPAKKSNKRKGMNQAQEVAKKNKNPQLSKSQKRKLKKLEEENERTLLLSKSIETLEKYKIPEDAFSLLQSSRNISRVETVKEKRRMAVQFSKAGLSPQGDQPFKRNHETASFEIEAGLDEIQSKKDMNEKGHLQPMVIGREVQNHASFSLVYHDPVSGNELGLNGRSVSAFSAEEVPNEDNCTPTLEVPKKSSQASSDHDARKTSSLMGKLNESSTVDLGKASNFPDFPLPRPPTTPTVVHVSRPDEVEKKRKDLPIIMMEQEIMEAINEHSTVIICGETGCGKTTQVPQFLYEAGYGSNHSVVRNGVIGVTQPRRIAVLATARRVAFELGLHLGKEVGFQVRHDKRIGDNCSIKFMTDGILLREVQTDILLKRYSVIILDEAHERSVNTDILIGMLSRVIQLRQKKYEQQQKMVLSGQSLSPENMIFPLKLVLMSATLRVEDFISERRLFHDPPPVINVPTRQFEVTVHFSKRTETVDYIGQAYKKVMSIHKRLPQGGILVFVTGQREVEYLCQKLRKASTELIANTAKGRAGDEVPAMSEMVSIEGVDMKDIDEAFEIQGNSIDQQTERFGSHDEGVPDSEDESDVSYDSGSESEVEIVGDEVDIEDSKTSENDVVGVLREKSSLAALKCAFEALAGENASECKSEGKQVPSMPEEYPEQYKNSMEKKTVGDKGLFTSALRVMPLYAMLPAVAQLHVFDEVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNSSNGMEAYEVQWISKASADQRKGRAGRTGPGHCYRLYSSAVYNNILPDFSCAEISKVPVDSIVLVLKSMHIDKVEKFPFPTPPEAAALVEAERCLKTLEALDNTGRLTSLGKAMACYPMSPRHSRMLLTAIQITRKMKDLDTANLVLGYAVATAAALSFSNAFLKHFEGSHTDSNGSEQDGRSSSLGSNKILDKQEKIKIKKLRETTKLSRARFSNSTSDTLTVAYALHCFELSTSPVEFCHENALHLKTMEEMSKLRRQLLQLVFNHHVHELEQGFSWTHGTVEDVEQAWRVLSSKRSTLLNVEDILGQAICAGWVDRVAKRIRGNSGTLEGDRKASAVRYQACMVKETVFLHRRSSLSNSAPEFLVYSELLHTKRPYMHGATSIKPEWLAKYGVSLCSFSTVEDRKPEYDPQTDQLYRWVIPTFGPHLWRLPAQSMPISSDEDRLKVCAKFPELIHSLKQIKRGVKDQKLKG >Potri.002G201400.9.v4.1 pep chromosome:Pop_tri_v4:2:16445375:16448853:1 gene:Potri.002G201400.v4.1 transcript:Potri.002G201400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201400.v4.1 MLQTDILLKRYSVIILDEAHERSVNTDILIGMLSRVIQLRQKKYEQQQKMVLSGQSLSPENMIFPLKLVLMSATLRVEDFISERRLFHDPPPVINVPTRQFEVTVHFSKRTETVDYIGQAYKKVMSIHKRLPQGGILVFVTGQREVEYLCQKLRKASTELIANTAKGRAGDEVPAMSEMVSIEGVDMKDIDEAFEIQGNSIDQQTERFGSHDEGVPDSEDESDVSYDSGSESEVEIVGDEVDIEDSKTSENDVVGVLREKSSLAALKCAFEALAGENASECKSEGKQVPSMPEEYPEQYKNSMEKKTVGDKGLFTSALRVMPLYAMLPAVAQLHVFDEVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNSSNGMEAYEVQWISKASADQRKGRAGRTGPGHCYRLYSSAVYNNILPDFSCAEISKVPVDSIVLVLKSMHIDKVEKFPFPTPPEAAALVEAERCLKTLEALDNTGRLTSLGKAMACYPMSPRHSRMLLTAIQITRKMKDLDTANLVLGYAVATAAALSFSNAFLKHFEGSHTDSNGSEQDGRSSSLGSNKILDKQEKIKIKKLRETTKLSRARFSNSTSDTLTVAYALHCFELSTSPVEFCHENALHLKTMEEMSKLRRQLLQLVFNHHVHELEQGFSWTHGTVEDVEQAWRVLSSKRSTLLNVEDILGQAICAGWVDRVAKRIRGNSGTLEGDRKASAVRYQACMVKETVFLHRRSSLSNSAPEFLVYSELLHTKRPYMHGATSIKPEWLAKYGVSLCSFSTVEDRKPEYDPQTDQLYRWVIPTFGPHLWRLPAQSMPISSDEDRLKVCAKFPELIHSLKQIKRGVKDQKLKG >Potri.002G201400.8.v4.1 pep chromosome:Pop_tri_v4:2:16444028:16448712:1 gene:Potri.002G201400.v4.1 transcript:Potri.002G201400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201400.v4.1 MMEQEIMEAINEHSTVIICGETGCGKTTQVPQFLYEAGYGSNHSVVRNGVIGVTQPRRIAVLATARRVAFELGLHLGKEVGFQVRHDKRIGDNCSIKFMTDGILLREVQTDILLKRYSVIILDEAHERSVNTDILIGMLSRVIQLRQKKYEQQQKMVLSGQSLSPENMIFPLKLVLMSATLRVEDFISERRLFHDPPPVINVPTRQFEVTVHFSKRTETVDYIGQAYKKVMSIHKRLPQGGILVFVTGQREVEYLCQKLRKASTELIANTAKGRAGDEVPAMSEMVSIEGVDMKDIDEAFEIQGNSIDQQTERFGSHDEGVPDSEDESDVSYDSGSESEVEIVGDEVDIEDSKTSENDVVGVLREKSSLAALKCAFEALAGENASECKSEGKQVPSMPEEYPEQYKNSMEKKTVGDKGLFTSALRVMPLYAMLPAVAQLHVFDEVKEGERLVVVATNVAETSLTIPGIKYVVDTGREKVKNYNSSNGMEAYEVQWISKASADQRKGRAGRTGPGHCYRLYSSAVYNNILPDFSCAEISKVPVDSIVLVLKSMHIDKVEKFPFPTPPEAAALVEAERCLKTLEALDNTGRLTSLGKAMACYPMSPRHSRMLLTAIQITRKMKDLDTANLVLGYAVATAAALSFSNAFLKHFEGSHTDSNGSEQDGRSSSLGSNKILDKQEKIKIKKLRETTKLSRARFSNSTSDTLTVAYALHCFELSTSPVEFCHENALHLKTMEEMSKLRRQLLQLVFNHHVHELEQGFSWTHGTVEDVEQAWRVLSSKRSTLLNVEDILGQAICAGWVDRVAKRIRGNSGTLEGDRKASAVRYQACMVKETVFLHRRSSLSNSAPEFLVYSELLHTKRPYMHGATSIKPEWLAKYGVSLCSFSTVEDRKPEYDPQTDQLYRWVIPTFGPHLWRLPAQSMPISSDEDRLKVCAKFPELIHSLKQIKRGVKDQKLKG >Potri.010G131600.2.v4.1 pep chromosome:Pop_tri_v4:10:14780801:14781770:-1 gene:Potri.010G131600.v4.1 transcript:Potri.010G131600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131600.v4.1 MPAAGTTQSVPRKKTLPILAFSKLRSALTVFSKSRSAYHSGLGTRVVGTLFGYRRGHVHFAFQEDAKQNPALLIELATPTSVLVREMASGLVRIALECEKKAGKKAGKLLEEPLWRTYCNGKKCGYASRRECRPEDWKVLKAVEPVSMGAGVLPGNGAAGSEIGELMYMRARFERVVGSKDSEAFYMMNPDGSGGPELSIYLLRV >Potri.010G131600.1.v4.1 pep chromosome:Pop_tri_v4:10:14780857:14782224:-1 gene:Potri.010G131600.v4.1 transcript:Potri.010G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131600.v4.1 MKTIMAKTPHDSSFSFSRRHFNWRKKVVEDEEDDEEILTFNSSSHCEELENDQELRITMPAAGTTQSVPRKKTLPILAFSKLRSALTVFSKSRSAYHSGLGTRVVGTLFGYRRGHVHFAFQEDAKQNPALLIELATPTSVLVREMASGLVRIALECEKKAGKKAGKLLEEPLWRTYCNGKKCGYASRRECRPEDWKVLKAVEPVSMGAGVLPGNGAAGSEIGELMYMRARFERVVGSKDSEAFYMMNPDGSGGPELSIYLLRV >Potri.003G082800.1.v4.1 pep chromosome:Pop_tri_v4:3:10958899:10965218:1 gene:Potri.003G082800.v4.1 transcript:Potri.003G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082800.v4.1 MGKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAEPDDYEDHRVSRLKSVSISKNKEVKLLKRKPNYDNRVALDYNQGYRKVVDEDTSNRSSSGSAISNPESCAQFGSAEASDLTGPAQSVVWDSLVPSRKRTCVNRPKPSSVEKLTKDLYTILHEQQSSCFSGSSEEDLLFDNETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYLTNEAYSHPVILPVHNENKSVNTTYPITETTKNLTGQGMQQEQLKRDKFPHEKVHILGSHNSPLCSIDLNDILNFEEFARHLTNEEQQQLLKYLPPLDTTKLPNSIESMFDSPQFKENINSYQQLLSEGVFDLSFSEAKTEDCKTLKRLTLSNFLKSKWVERYHLLKKCKNSNGKSFVGKGPNPDVVAMSNIAGAKRSRDSPSQKFSEVKLMKSPKRIIMKATYENKELIDNDGSCFSPRSLFALPTDGSSLMLDSLHFVDESSDQDLLLDIPSNGSFAQAELFYPTNSFGQQASTSSSSIYPHLGRP >Potri.006G045100.2.v4.1 pep chromosome:Pop_tri_v4:6:3051747:3057592:1 gene:Potri.006G045100.v4.1 transcript:Potri.006G045100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045100.v4.1 MNYAANTGKQSMFLEKCISKGKYCTLLLKSKSTEGPGEVIAAITYQIIPADMQYAEVPLAAVSAVYQRKGFGHFLYMELRKRLQNVGVHTIYCWGDKGSEGFWVKQGFASIAEVDKKGRPRRLPIKPNIRRALCFPGSSTLMVSHLNEGNSATPEASLQFCFPLKPCNNSSSAVYRSAESGFIAEDYTTLKSQNQITSRIENSHHERFAKDEFSGADANPSLPQSPDCGDLAPSERGECSKMTTAAELAKTRADADVKCNYSYIQGTKRRAWEASLSSLKTKKVKGSHQTDYESDSGRGSDSERFTTDPCFRGCSLGISKNNSFGKATSMDPLTRNCMENNVKEDKSSNRTSEVLVSKEFQSKGECFRIMLMNIADDDKKTHLTKVIETLGGAVTPDGSVSTHVVTGKVRTTLNFCTALSSGAWIVSSKWLKESFRKGSFVDELPYILYDEEYVLKHKAELKDAVLRARARPQALLKGYNVCIAKHVQPPFQTLSAIVESAGGNVISGLDKENEASKTIFVACEEDIEEALSAAKKGMRTFSSDWLMNCIMRQELDLEAQQFAESL >Potri.001G290800.5.v4.1 pep chromosome:Pop_tri_v4:1:30294828:30301152:-1 gene:Potri.001G290800.v4.1 transcript:Potri.001G290800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290800.v4.1 MKLAPLSFDYAKSSGGGKPVFALNPYSWTKIANIIFVDAPVGTGFSYSTTWEGYQVSDTLSAAETYEFLRKWLVDHPRFLTNPLYVAGDSYSGIVAPIIVQEISDGNEVGRQPTMNLKGYVLGNPLTDHEIDTNSIVPFAHLKALISDKLYESFMKTCKGEYLNPDQSNASCMEDILAIKECIGNLHNTQILEPAFKVVSPKPVALKWDPRFLIADDADILLSSPRVPGPWCRSYDHEYIYGWANDETVRDALHIRKGTIKDWRRCNKTLAYSYNVESTVDYHRNLTKKPYRALIYSGDHGMLIPYVGTLEWIESLNLTIKYDWEPWFVDGQVAGYAMLYADNAQDYITYDLTFATVKGGGHTAPEYRPEQCFAMMDRWFNYYPL >Potri.001G290800.4.v4.1 pep chromosome:Pop_tri_v4:1:30295521:30301075:-1 gene:Potri.001G290800.v4.1 transcript:Potri.001G290800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290800.v4.1 FLVFTLLLLSDAAASKSIIKSLPGFDGNLPFVLETGYIGVGELEAVQLFYYFIESERSPKDDPLVLWLTGGPGCSALSGLIYEIGAPLSFDYAKSSGGGKPVFALNPYSWTKIANIIFVDAPVGTGFSYSTTWEGYQVSDTLSAAETYEFLRKWLVDHPRFLTNPLYVAGDSYSGIVAPIIVQEISDGNEVGRQPTMNLKGYVLGNPLTDHEIDTNSIVPFAHLKALISDKLYESFMKTCKGEYLNPDQSNASCMEDILAIKECIGNLHNTQILEPAFKVVSPKPVALKWDPRFLIADDADILLSSPRVPGPWCRSYDHEYIYGWANDETVRDALHIRKGTIKDWRRCNKTLAYSYNVESTVDYHRNLTKKPYRALIYSGDHGMLIPYVGTLEWIESLNLTIKYDWEPWFVDGQVAGLV >Potri.001G290800.6.v4.1 pep chromosome:Pop_tri_v4:1:30294828:30301152:-1 gene:Potri.001G290800.v4.1 transcript:Potri.001G290800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290800.v4.1 MNLKGYVLGNPLTDHEIDTNSIVPFAHLKALISDKLYESFMKTCKGEYLNPDQSNASCMEDILAIKECIGNLHNTQILEPAFKVVSPKPVALKWDPRFLIADDADILLSSPRVPGPWCRSYDHEYIYGWANDETVRDALHIRKGTIKDWRRCNKTLAYSYNVESTVDYHRNLTKKPYRALIYSGDHGMLIPYVGTLEWIESLNLTIKYDWEPWFVDGQVAGYAMLYADNAQDYITYDLTFATVKGGGHTAPEYRPEQCFAMMDRWFNYYPL >Potri.016G143100.1.v4.1 pep chromosome:Pop_tri_v4:16:14517656:14518725:-1 gene:Potri.016G143100.v4.1 transcript:Potri.016G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143100.v4.1 MAVAVAVAVAVDASPSTSSHRWNMASLRSSLPSPPYSSSSTSAAATIRLPSSSGRQCFHKSISFSSPRRQQRQQPVLTRSFTVLNPLLSLGFSDNVTCGHVFPDVDNGSRFFAMRHGKRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIFEKQIVHALFAEVPDRYGERNGGYTRIIRTLPRRGDNAPMAYIELV >Potri.001G138800.2.v4.1 pep chromosome:Pop_tri_v4:1:11322061:11323653:-1 gene:Potri.001G138800.v4.1 transcript:Potri.001G138800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138800.v4.1 MTLVRERRHQQPLRLSLPPPIPAADFRHQIHSPSLSLTISPDSPSIEKLSDLEKLAVLGHGNSGTVYKVRHKRSSSIFALKTLRFDRNSTIIRQQAGREAEILRRVDSPYVVQCHAVFDSEDDLYFAMEHMERGSLHDVLLVHRILPEDVISGVARCILNGLQYLHEKQIVHGDIKPSNLLINAEGVVKIADFGVSRVVVGKHDSYETYMGTCAYMSPERIDPERWDWKWRSRIRRRCLVTWGGSFGVPGGSLSIDWLWRETRLGSIGVCYMLWGEIANAEECIQQDSKLC >Potri.017G037400.1.v4.1 pep chromosome:Pop_tri_v4:17:2464714:2465347:1 gene:Potri.017G037400.v4.1 transcript:Potri.017G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G037400.v4.1 MNKNLMLYTMRLMVEILTGILFYIQLDNNATGADLKREIEAQQELPQDRLIMFLDNNQSHLIIYQDGDGTSLIVGFKMDLRSTFSSIHFTMSLLITCSPGLILSCSSHSILFEIPR >Potri.002G133632.1.v4.1 pep chromosome:Pop_tri_v4:2:10079442:10080323:1 gene:Potri.002G133632.v4.1 transcript:Potri.002G133632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133632.v4.1 MTRVHMQCFVLQSISLLLCYLQVCHPNIDLEGNVCLNILGEDWKPILLLYMILTPHLSSLFIPSSLSLFHVRS >Potri.012G076700.1.v4.1 pep chromosome:Pop_tri_v4:12:10031238:10032119:1 gene:Potri.012G076700.v4.1 transcript:Potri.012G076700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G076700.v4.1 MAVRSLLAMMVLLFCLAEVSSDLKIDTEIPQVFQLVVRGGNRRLMQDIDCGGLCKQRCSLHSRPNLCNRACGTCCVRCKCVPPGTSGNREVCGTCYTDMTTHGNKTKCP >Potri.017G055050.1.v4.1 pep chromosome:Pop_tri_v4:17:4243952:4244692:-1 gene:Potri.017G055050.v4.1 transcript:Potri.017G055050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055050.v4.1 MSGVRLKNSFKKIMKNKGGMNADDADKMAGFQDLGYIGHGFTKNSRQDEDGNV >Potri.010G202000.1.v4.1 pep chromosome:Pop_tri_v4:10:19421284:19426572:1 gene:Potri.010G202000.v4.1 transcript:Potri.010G202000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202000.v4.1 MPFVSQIKRQTDYNLYSSTTPIVIDNGASNFRIGWAGENDPRVIFRNIVQRPRHKTTGETVSIVGDHDTTLLKYFDCSRSGPRSAFDSNVVYQFEIMEYILDFGFDRLGANGSEIDHPVLITECILNPVQSRSKMAELLFETYGVPSVAFGVDAAFSYKYNQQHGICDKDGLAICPGFTTTHVIPFIDGEPVYKGCSRTNIGGYHVTDYLKQLLSLKYPHHMARLTWEKVEDLKMEHCYIATDYASEARLFQKGTKEAEEKTRCWQLPWVPPPVEEPPSEEEIARKAAIKERQGQRLREMAEAKRSTRINELENQLRGLEFLLQQLEQVEESEIPFFLKDTGYVSRQEIETALAKATQSLRKVKGEPKGEQAEVEEKTDEKYPLVNIPDNMLTQDQLREKRRQMFLKTTSDGRQRAKQKRVEEDLERERKNQLDEQKRLENPELYLGEMRTKYKELCEKVEQRKRLKTNGNHSNGNGMSGGVGRGERLNAAQRERMRLLTTAAFDRGKGEDNFGAKDEDWQLYKLMSKDNDDDDEGPDEDETELARVSSRLQEIDATFVPKPEPGPSQSAADMPRSRPLTKEDFQILLGVERFRCPEILFHPNLVGIDQAGLDETAGVSMRRLSSKDQVLEERLTNSILMTGGSCLYPGLSERLEAGIRMIRPCGSPIKVVRALDPVLDAWRGASLYAAAVQFPQQTFSRMDYFEKGEDWLRGYQFQYTL >Potri.009G089800.1.v4.1 pep chromosome:Pop_tri_v4:9:8293257:8300955:-1 gene:Potri.009G089800.v4.1 transcript:Potri.009G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089800.v4.1 MVAAIPQAAAAASSTDKTPNLTRPPLLPSEKDHQQNNGSAHIATRKPRGKQVPSRYLSPSPSTSTSTTTTTTTTTSSSSSSSSSFPRRFASPLLSRSTNSGPLHTPLTTTTCSLPSGSKRSQSVDRRRPVTSSRPTTPNPQRTATEISAATKMLITSTRSLSVSFQGEAFSLPISKAKSVTPPQNNVVRKATPERRRATPVRDQGENSRPMDQHRWPGRSREGNLKERNPLLSRSLDCSVVVGGGGDRRVIGSGFFGVKSLQQSIVDEGRRLSLDLGNARQNTDTISVNESSFTGDLTASDSDSVSSGSTSGVPEIGKRKTAPRGITVSARFWQETNSRLRRLQDPGSPLSTSPGSRMGVSPKAIQSKRFSSDGPLSSPRMLAASPIRGATRPASPSKLWTTSASSPSRGMSSPSRVRSMSSSSPSILSFSVDLRRGKMGEDRIVDAHVLRLLYNRYLQWRFVNAREDATFMVQRLNAEKNLWNAWVTISELRHSVTLRRVKLLLLRQKLKLTSILKGQIAHLEEWSHLDRGHSSSLEGATEALKASTLRLPVVGKTVADVQNLKDAVGSAVDVMQAMASSICSLSSKVEDMNSLVAELVNVTAKERHMLQQCKDFLSTLATVQVKDCSVRTHILQLNRLPTTTSLTTRV >Potri.001G281300.9.v4.1 pep chromosome:Pop_tri_v4:1:29505379:29510437:1 gene:Potri.001G281300.v4.1 transcript:Potri.001G281300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281300.v4.1 MSGMYGQDGDSGPPSYGGGGGYGGYGGDGGGRGGYGGRGGGGGGGRGGGGGYGGPSQSRGGGYQGGDRGGRGGGGRGGGRGGSGREGDWPCPKPGCGNLNFARRVACNKCGTPAPAAGQNDRSDGDGGYRGGSGGGYGGNRGGRGGDSYDGNRSSNYNDGSRGGGYDNRSGNRGGSYSGNQGRDDSGYNQAPPPSLPSYGGGGGNYPPAPNSYGGNANYGMEAVPPPTSYTGGPTSYPPSYGGPASGYGGDATGEVRSGGRGGQPGGYEGGRGGGGSRNQGGSGYGAAPADAPAKIKQCDDNCGDTCDNARIYISNLPPDVTIEELRELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDENGNNKGDACLAYEDPSAAHSAGGFYNNYDFRGYKINVAMAEKSAPRQPAHDHGGGRGGYGGGGRRRDNYRDGGNSGPDRHQHGGNRSRPY >Potri.001G281300.8.v4.1 pep chromosome:Pop_tri_v4:1:29505370:29510421:1 gene:Potri.001G281300.v4.1 transcript:Potri.001G281300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281300.v4.1 MSGMYGQDGDSGPPSYGGGGGYGGYGGDGGGRGGYGGRGGGGGGGRGGGGGYGGPSQSRGGGYQGGDRGGRGGGGRGGGRGGSGREGDWPCPKPGCGNLNFARRVACNKCGTPAPAAGQNDRSDGDGGYRGGSGGGYGGNRGGRGGDSYDGNRSSNYNDGSRGGGYDNRSGNRGGSYSGNQGRDDSGYNQAPPPSLPSYGGGGGNYPPAPNSYGGNANYGMEAVPPPTSYTGGPTSYPPSYGGPASGYGGDATGEVRSGGRGGQPGGYEGGRGGGGSRNQGGSGYGAAPADAPAKIKQCDDNCGDTCDNARIYISNLPPDVTIEELRELFGGIGQVGRIKQKRGYKDQWPWNIKIYTDENGNNKGDACLAYEDPSAAHSAGGFYNNYDFRGYKINVAMAEKSAPRQPAHDHGGGRGGYGGGGRRRDNYRDGGNSGPDRHQHGGNRSRPY >Potri.005G108000.1.v4.1 pep chromosome:Pop_tri_v4:5:7760775:7764244:1 gene:Potri.005G108000.v4.1 transcript:Potri.005G108000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108000.v4.1 MAKARYSRIPSRKSPSSTLILTLLLMFTFVILILLGLGILSIPSTSSSDSSRQANDLSSIAHHSRIDGSGDDEGKAEQWAEVISWKPRAFVYHNFLTKAECEYLINLAKPRMQKSTVVDSSTGKSKDSKVRTSSGTFLPRGRDKIVRDIEKRIADFSFIPVEHGEGLQILHYEVGQRYEPHFDYFMDEYNTKNGGQRIATVLMYLSDVEEGGETVFPSAEGNISAVPWWNELSECGKGGLSVKPKMGDALLFWSMNPDGSPDPSSLHGGCPVIRGNKWSSTKWMRVNEYKV >Potri.017G107200.1.v4.1 pep chromosome:Pop_tri_v4:17:11621265:11621811:-1 gene:Potri.017G107200.v4.1 transcript:Potri.017G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107200.v4.1 MRSATNSTAVDGTHGGFWHWNSPVVYFFVGLAFMLGLITVALIILACSYRKSLSSSSRSDAGDEKPAKHEEIQVDLEPKIAVIMAGDENPTYLLKPVSCNCPSEQV >Potri.005G228800.2.v4.1 pep chromosome:Pop_tri_v4:5:22860018:22860408:-1 gene:Potri.005G228800.v4.1 transcript:Potri.005G228800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228800.v4.1 MSGRIFLVIFFFWALLAIVTPTLVLLSESSKPYLDDVEKSEGLLKLRRMMGSLEKQPRVQEIALAPILQAPTPAPDPEPDSGIREAILTRVLKNG >Potri.018G142300.6.v4.1 pep chromosome:Pop_tri_v4:18:14764785:14773072:1 gene:Potri.018G142300.v4.1 transcript:Potri.018G142300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142300.v4.1 MGPKCHEHCHDCCKEAASITGEKEGNNYSCLLSFPRSPHPPTTSKMSESSAPNFVYSRRKLQGNTIDFLSAITEGSGEDCPYVINSDGSSVPVKEHHVGSEDEHETEAVRESLMSPLICNGAEYSHPLSFGRRAQLTTVSPVSEGAAPNFVYGRRKLQQNSVTFSSTQVPAMEKRSGEDCLSVISSNGPSFAHKEERLVSQYEHGAALMLPPTVYSSCQLSLQRSPQLPTFSTMSEISASKFVYSRRKMRGNSVTFLSAQVPGITKRSRQDCLSVVSSDGPSLAVEEACVVSQDQHESGCSLQNGEPHVSKSESSSGCSLVEDQVSDEASKKSRPKIIEVDGVNDSCSSSKSDVELVSASTKTEGHDNGECSSSTVMAAEFAREDQSEKHRCISILGKQRAFDGIWPGKTRASARRIGDGSGSSSSRSCKKCFLKESPAKMLICDNCEDSFHVSCCNPHVKRIPIDEWLCRSCMKKKRIIPNERISRKPLNIIGDMGRCRDASSIGESDPIALMLTDTEPYTGGVRVGKGFQVEVPDWSGPIINDVDTIGKPVVLDTSYFVSLHELKYNKPSKFGSIGNWLQCRQVIDDAAEGGNVTICGKWRRAPLFEVQTDDWECFCCVFWDPIHADCATPQELETDEVMKQLKYIQMLRPQIAAKRQKLKHANKGDPTDDCKE >Potri.018G142300.4.v4.1 pep chromosome:Pop_tri_v4:18:14764788:14774139:1 gene:Potri.018G142300.v4.1 transcript:Potri.018G142300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142300.v4.1 MLIPSSLPNSIESTPFHVSDHGKQNLFCEVTPGTEIWQMGPKCHEHCHDCCKEAASITGEKEGNNYSCLLSFPRSPHPPTTSKMSESSAPNFVYSRRKLQGNTIDFLSAITEGSGEDCPYVINSDGSSVPVKEHHVGSEDEHETEAVRESLMSPLICNGAEYSHPLSFGRRAQLTTVSPVSEGAAPNFVYGRRKLQQNSVTFSSTQVPAMEKRSGEDCLSVISSNGPSFAHKEERLVSQYEHGAALMLPPTVYSSCQLSLQRSPQLPTFSTMSEISASKFVYSRRKMRGNSVTFLSAQVPGITKRSRQDCLSVVSSDGPSLAVEEACVVSQDQHESGCSLQNGEPHVSKSESSSGCSLVEDQVSDEASKKSRPKIIEVDGVNDSCSSSKSDVELVSASTKTEGHDNGECSSSTVMAAEFAREDQSEKHRCISILGKQRAFDGIWPGKTRASARRIGDGSGSSSSRSCKKCFLKESPAKMLICDNCEDSFHVSCCNPHVKRIPIDEWLCRSCMKKKRIIPNERISRKPLNIIGDMGRCRDASSIGESDPIALMLTDTEPYTGGVRVGKGFQVEVPDWSGPIINDVDTIGKPVVLDTSYFVSLHELKYNKPSKFGSIGNWLQCRQVIDDAAEGGNVTICGKWRRAPLFEVQTDDWECFCCVFWDPIHADCATPQELETDEVMKQLKYIQMLRPQIAAKRQKLKHANKGDPTDDCKE >Potri.018G142300.5.v4.1 pep chromosome:Pop_tri_v4:18:14764737:14773074:1 gene:Potri.018G142300.v4.1 transcript:Potri.018G142300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142300.v4.1 MLIPSSLPNSIESTPFHVSDHGKQNLFCEVTPGTEIWQMGPKCHEHCHDCCKEAASITGEKEGNNYSCLLSFPRSPHPPTTSKMSESSAPNFVYSRRKLQGNTIDFLSAITEGSGEDCPYVINSDGSSVPVKEHHVGSEDEHETEAVRESLMSPLICNGAEYSHPLSFGRRAQLTTVSPVSEGAAPNFVYGRRKLQQNSVTFSSTQVPAMEKRSGEDCLSVISSNGPSFAHKEERLVSQYEHGAALMLPPTVYSSCQLSLQRSPQLPTFSTMSEISASKFVYSRRKMRGNSVTFLSAQVPGITKRSRQDCLSVVSSDGPSLAVEEACVVSQDQHESGCSLQNGEPHVSKSESSSGCSLVEDQVSDEASKKSRPKIIEVDGVNDSCSSSKSDVELVSASTKTEGHDNGECSSSTVMAAEFAREDQSEKHRCISILGKQRAFDGIWPGKTRASARRIGDGSGSSSSRSCKKCFLKESPAKMLICDNCEDSFHVSCCNPHVKRIPIDEWLCRSCMKKKRIIPNERISRKPLNIIGDMGRCRDASSIGESDPIALMLTDTEPYTGGVRVGKGFQVEVPDWSGPIINDVDTIGKPVVLDTSYFVSLHELKYNKPSKFGSIGNWLQCRQVIDDAAEGGNVTICGKWRRAPLFEVQTDDWECFCCVFWDPIHADCATPQELETDEVMKQLKYIQMLRPQIAAKRQKLKHANKGDPTDDCKE >Potri.010G122800.1.v4.1 pep chromosome:Pop_tri_v4:10:14067423:14069272:-1 gene:Potri.010G122800.v4.1 transcript:Potri.010G122800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122800.v4.1 MAIDVCSEISSAGISPRISFSHDLNQTTDAVSIEDHHHRRLDSSLLDSDFDFCIGNSFVQELSSADELFSNGKILPVEIKKHSISSKGNNHQPKSLTSQPQHQTSTGTTEKKQLKEFLSESLDADEKPASKSFWQFKRSSSLNCDSTRSKGLIRSLHFLSRSNSTGSAPNPPKQAMLSKETQKPKLQKQASVPSRKPSVPSSAAFYSYNPQQKPPLLRKCGSHGNGFRISPVLNIPPPYISRGAVNPFGLGSLFCNGKVKRKKG >Potri.017G153700.3.v4.1 pep chromosome:Pop_tri_v4:17:15095817:15098481:-1 gene:Potri.017G153700.v4.1 transcript:Potri.017G153700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153700.v4.1 MKRQSLKLLLQSCADLVTLKQIHAQALTQGLLFIEQPLACKLVNSYAKSGNPHDAQKVFGYIQDPDRVTYTSLINLYLSTQLPIKAFSVFSKLVNEGLRPDSHSVVGALSACGKKQDSLNGKLVHGMIFRFQLGANSIVGNALIDMYCRNGEIKIAQLVFKQMGIKDMSSWTSLLNGFVMCNGLESARRVFDEMPWRNDVAWTAMITGYVRGGMPIRGLEMFKQMKAEGENQPTVITAVAVLSGCADLGAHDHGQAVHGYISKVNLDKGVTVSNALMDMYSKGGCVESAMKIFDRLVKKDVFSWTTMISAHSSHGKGNHALEVFYDMLESGVIPNDVTFLLVLSGCSHSGLLVEANKLFNGMIQCYGFEPKIEHYGCMVDLLCRAGLLEEAKELIDNMPMDPDAVIWRSLLSACMNQRNLGLAEIAGKKIIELEPHDDGVYVLLSNIYHVANRMKDARKMRKMMGDQKVMKKPACSYIELNGVVHQFHTENATHHASTKIYMLLEMINEHLRLDTDYSLLEMDLVYDGLL >Potri.017G153700.2.v4.1 pep chromosome:Pop_tri_v4:17:15095819:15099400:-1 gene:Potri.017G153700.v4.1 transcript:Potri.017G153700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153700.v4.1 MKRQSLKLLLQSCADLVTLKQIHAQALTQGLLFIEQPLACKLVNSYAKSGNPHDAQKVFGYIQDPDRVTYTSLINLYLSTQLPIKAFSVFSKLVNEGLRPDSHSVVGALSACGKKQDSLNGKLVHGMIFRFQLGANSIVGNALIDMYCRNGEIKIAQLVFKQMGIKDMSSWTSLLNGFVMCNGLESARRVFDEMPWRNDVAWTAMITGYVRGGMPIRGLEMFKQMKAEGENQPTVITAVAVLSGCADLGAHDHGQAVHGYISKVNLDKGVTVSNALMDMYSKGGCVESAMKIFDRLVKKDVFSWTTMISAHSSHGKGNHALEVFYDMLESGVIPNDVTFLLVLSGCSHSGLLVEANKLFNGMIQCYGFEPKIEHYGCMVDLLCRAGLLEEAKELIDNMPMDPDAVIWRSLLSACMNQRNLGLAEIAGKKIIELEPHDDGVYVLLSNIYHVANRMKDARKMRKMMGDQKVMKKPACSYIELNGVVHQFHTENATHHASTKIYMLLEMINEHLRLDTDYSLLEMDLVYDGLL >Potri.014G103000.1.v4.1 pep chromosome:Pop_tri_v4:14:6858130:6858962:-1 gene:Potri.014G103000.v4.1 transcript:Potri.014G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103000.v4.1 MFDGGEYSPSATEPFSCLNSVTTSRKKKNKIKRRFSDEQIKSLETMFESETRLEPRKKMQLARELGLQPRQVAIWFQNKRARWKSKQLERDYSMLRANYNSLASRFETLKKEKQALAIQLQKLNDLMKKPVEEGECCGQGAAVNSSEGESENGDATKGESETKPRLSIEQPEHGLGVLSDEDSSIKVDYFELEEEPNLMSMVEPAEGSLTSQEDWGSIDSDGLFDQSSSGYQWWDFWA >Potri.005G141200.1.v4.1 pep chromosome:Pop_tri_v4:5:11275659:11279527:-1 gene:Potri.005G141200.v4.1 transcript:Potri.005G141200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141200.v4.1 MKETQTPTQLTTFSTFHFTMSLTNPILLLLLLSFTTLTTSQLEQTTDRLPPDVVSILSFKSKADLDNKLFYTLNERFEYCQWQGIKCAQGRVVRVALQSSGLRGTFPPFSLSWLDQLRVLSLQNNTLSGPIPDLSPLFNLKSLILNHNSFCGYFPPSILLLHRLTILDLSYNNLNGPIPVNLSSLDRLNSLKLEFNQFNGTVPSLDLGLLFFFNVSGNNLTGPIPVTPTLSRFDTSSFSLNPDLCGEIINKSCKPRSPFLDSSASPNAITPAGVPFGQSAQAQGGVVVSITPPSKQKYNRSSVVLGFTIGVSLLVLSLLCIGFLLVKKQKKERRVEEKEQAMTGTSSPVRIHSKPAMQSEVVEKGHETINTEAKEGLVQQVRRAERSGSLVFCGGKAQVYTLEQLMRASAELLGRGTIGTTYKAVLDNQLIVTVKRLDAGKTAITSSDVFERHMDVVGELRHLNLVPIAAYFQAKGERLVLYDYQPNGSLFNLIHGSRSTRAKPLHWTSCLKIAEDVAEGLAYIHQMSNLVHGNLKSANVLLGADFEACITDYSLALLADTYSSEDPDSAACKAPETRKSSHQATAKSDVYAFGVLLLELLTGKHPSQHPYLVPADMLDWVRAVRDDGGGDDNHLGMITELACICRLTSPEQRPAAWQVLKMIQEIKDCVMVEDKAAVGNS >Potri.013G156500.2.v4.1 pep chromosome:Pop_tri_v4:13:15167030:15169830:-1 gene:Potri.013G156500.v4.1 transcript:Potri.013G156500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX74 MARPSSFSSYMAIFTLAFLVIFTSHSSAQLSTNFYSKSCPKVFGAVKSVVQSAVSKERRMGASLVRLFFHDCFVRGCDGSILLEDTSSFTGEQTAGPNNNSVRGFNVVAKIKSQVEKVCPGIVSCADIVAIAARDSTVILGGPFWNVKLGRRDSKTASLSAANSGVIPPPTSTLSNLINRFNSKGLSVKDMVALSGSHTIGQARCTSFRARIYNETNIDSSFATTRQKNCPFPGPKGDNKLAPLDVQTPTSFDNKYYKNLISQKGLLHSDQVLFNGGSTDSLVRTYSSNPKTFSSDFVTAMIKMGDIDPLTGSQGEIRKICSKRN >Potri.003G010700.1.v4.1 pep chromosome:Pop_tri_v4:3:1363007:1363913:1 gene:Potri.003G010700.v4.1 transcript:Potri.003G010700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010700.v4.1 MSVNMPKHLFEKKLSKTDIENRMSVPMKSLDAFRIPKGEHSKDFGVTDIHGKRWRFRYSTRKSDRHPKPVLSSGWIEFAKKRGLKVGDAVTFSVVGMKGAEDLELGIQARKKIKLFGEVFWSNPL >Potri.016G120100.2.v4.1 pep chromosome:Pop_tri_v4:16:12503171:12506785:1 gene:Potri.016G120100.v4.1 transcript:Potri.016G120100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120100.v4.1 MDTKIGSLDTCRPTSNDMINPTNGAVAAIQSSVSPTIINASESTLGRHLARRLVQIGVNDVFSVPGDFNLTLLDHLIAEPELNLVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELACFSTVTCFQAVVNNLEDAHELIDTAISTALKESKPVYISVSCNLSAIPHPTFSREPVPFSLTPKLSNKLGLEAAVEAAAEFLNKAVKPVLVGGPKLRSAHAGEAFVELADASGYALAIMPSAKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIANGPAFGCILMKDFLSALAKRLKCNTTAYDNYHRIFVPEGQPLRGAPKEPLRVNVLFEHIQKMLSSETAVIAETGDSWFNCQKLKLPRGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVISFIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVFCEEDLVEAIETATGSKKDSLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >Potri.016G120100.4.v4.1 pep chromosome:Pop_tri_v4:16:12503267:12507473:1 gene:Potri.016G120100.v4.1 transcript:Potri.016G120100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120100.v4.1 MDTKIGSLDTCRPTSNDMINPTNGAVAAIQSSVSPTIINASESTLGRHLARRLVQIGVNDVFSVPGDFNLTLLDHLIAEPELNLVGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGAYSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELACFSTVTCFQAVVNNLEDAHELIDTAISTALKESKPVYISVSCNLSAIPHPTFSREPVPFSLTPKLSNKLGLEAAVEAAAEFLNKAVKPVLVGGPKLRSAHAGEAFVELADASGYALAIMPSAKGLVPEHHPHFIGTYWGAVSTAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVVIANGPAFGCILMKDFLSALAKRLKCNTTAYDNYHRIFVPEGQPLRGAPKEPLRVNVLFEHIQKMLSSETAVIAETGDSWFNCQKLKLPRGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVISFIGDGSFQVTAQDVSTMLRCGQKTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTGLVDAIHNGEGKCWTAKVFCEEDLVEAIETATGSKKDSLCFIEVIAHKDDTSKELLEWGSRVSAANSRPPNPQ >Potri.006G220301.1.v4.1 pep chromosome:Pop_tri_v4:6:22573087:22574812:-1 gene:Potri.006G220301.v4.1 transcript:Potri.006G220301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220301.v4.1 MLTETAAVKLKPEALLEGEMSGSVAESLAKRLSECGFEAKKRCAFCVDFGCCVLRISCFSYFALSRDSRWSWFVSQVLHSSYVKGGLALGALLGPGRDLLVDGLRAFKKGSPNMNSLVGFGSVAFIISVISLLSPELEWDASFFE >Potri.003G151900.2.v4.1 pep chromosome:Pop_tri_v4:3:16478371:16479673:-1 gene:Potri.003G151900.v4.1 transcript:Potri.003G151900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151900.v4.1 MDTKIVAMIRNGRGATQRKERKSRDRKLSRSIKRIRADMVEISKGHKRIKEGQEEVRGRFEEISKEAAKLKEETNLISKQSAANQVRLNLMFQIVKARSENDTARDAVLTQTLRELMASKSKLPDEKKEQDLAR >Potri.002G208637.1.v4.1 pep chromosome:Pop_tri_v4:2:17507932:17508936:1 gene:Potri.002G208637.v4.1 transcript:Potri.002G208637.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208637.v4.1 MSSNEAEYEGSDVDNYLFYDLLVIIKTPMDPSEPSALLSSFRPSSFSFFHKQRFSLLFFGLFFCLTNI >Potri.004G114901.1.v4.1 pep chromosome:Pop_tri_v4:4:10593378:10593743:-1 gene:Potri.004G114901.v4.1 transcript:Potri.004G114901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G114901.v4.1 MGSLGKNISLGLFLFIGILVITPGFAIRTHEEDPELSRHLEECHAKVTKRCAIEISNSIYNNNTPSEYCCRKHITTGKACHDDFIKLFVSKVPKDKVAFVVAKGDQIWNQCAATVALAPVA >Potri.005G247300.1.v4.1 pep chromosome:Pop_tri_v4:5:24169758:24175559:-1 gene:Potri.005G247300.v4.1 transcript:Potri.005G247300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247300.v4.1 MFSFTCYAVLTYRFPRCLQTLPSLKGQSPLLFFLSRNQTPTSRKKPFRFRNLSLSRRRPMGSLSALERPLQYPIARRDDIIIDDYHGVKIADPYRWLEDPDDEEVKGFVQEQVKLTESVLQTCDAREKLREKITKLFDHPRYYTPFKRGDKYFYFHNTGLQAQDVLFVQDSLEGEPKVLLDPNGLSEDGTVSLNTLSLSEDAKYLAYGLSTSGSDWVTIKVMRVEDNIVEADTLNWVKFTGISWTHDSKGFFYSRYPAPKEGENLDAGTETNANLYHELYYHFVGTDQSEDIQCWRDSENPKYMFGAGVTDDGKYLLLYISENCDPVNKVYYCDMSAFHDGLEGFKGGNALLPFIKLIDDFDAQYQEIANDDTVFTFLTNKDAPRYKVVRVDLKEPSSWIDVVPESEKDVLESAFAVNGDKMIVCYLSDVKYVIQIRDLKTGSLLHQLPTDIGSVTGISARRRDSTVFIGFTSFLTPGIIYQCNLDSEVPDMKIFREISVPGFNRSEFQVNQVFVRSKDGTKIPMFIVAKKNITLDGSHPCLLYAYGGFNISITPSFSVSRIVLTRHLGSVFCIANIRGGGEYGEEWHKEGSLARKQNCFDDFISAAEYLVSAGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDFGCSDKEEEFGWLFKYSPLHNVRRPWEQHPEQPSQYPSTMLLTADHDDRVVPLHSLKLLATMQYILCTSLKNSPQTNPIIGRIDCKAGHGAGRPTQKLIDEAADRYSFMAKMLDASWTE >Potri.018G002700.1.v4.1 pep chromosome:Pop_tri_v4:18:189565:191436:1 gene:Potri.018G002700.v4.1 transcript:Potri.018G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002700.v4.1 MINNTSTTSGDTLIDAHCSGPHVTFTKENDQSDQLQANVPLLLQPSYARSKSLLFDELRNFRMSLRWCALDHSSCIGKTVSYFVFIFLAIIVPIVSSLSIRVPSSAPADDPISYNKLVQVPESALAFIAFFTLFRFFKRYGLRQLLFLDGLQDDSLFVRQGYSRELDKAFRYLACILLPSFFVELAHKIIFFSTVKIWLPYNISPHGIPLNSIMFVLVLASWVYRTGVFLLVCVLFRLTCELQILRFEGLHKLFEGCGSGAGVIFREHVRIKKQLSLTSHRYRFFIISCLVTITVSQFGALLLVLGFNTQKSFFNSGDLVICSAVQLSGFFLCLLGAARITHRAQGIVSIATRWHMNVTSAFARVDQGKNHVLEADGSLAFNAGDSESDSSDFFIAISSQDPCTFQTRQALVAYLQHNNGGITLFGFALDRGLLHTLFAFEFSLGMWIMSKVVVLS >Potri.018G016200.1.v4.1 pep chromosome:Pop_tri_v4:18:1113992:1117869:-1 gene:Potri.018G016200.v4.1 transcript:Potri.018G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016200.v4.1 MADEEEERALENQLELQFLEQKDSLAALNDALASDPSNPELLSVQEELLQVIKDVEEGLLHLKRARLLREADSVLHASYHTVEDVKAEPLDPVHVEPEPLEDQCYSVGSKCRFRHVDGRWYNGQIIELVCSDTAKIAFLTPISENMLICKFFLQERCRFGTSCRLSHGVDVSLSSLKNYVPTMWDQSLVGSSIWALSDSNVGIWRKAELESWDNEHRVGQVVFHDGGSSAKLGSEAMTISEYALMSDEEETDSSSEGSDSSDYDEESAEGLGFLESTNLQRGIQTGTAIFATWENHTRGIASKMMANMGYREGMGLGASGQGMLDPIPVKVLPPKQSLDHALESQKEGIKDNHGKKRSRGGKRKREKKFAAAARAAKAKEETRPDVFTLINNQLAGHNKALNSESAKKQKSKGSEEKKVNRRDLLAYDDEIKELRIRVEKLEDMANRNKKEKVVYESAMRKLNETRITLAKAEASKASASNAITGREKEKKWLKF >Potri.018G016200.2.v4.1 pep chromosome:Pop_tri_v4:18:1113991:1115402:-1 gene:Potri.018G016200.v4.1 transcript:Potri.018G016200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016200.v4.1 MWDQSLVGSSIWALSDSNVGIWRKAELESWDNEHRVGQVVFHDGGSSAKLGSEAMTISEYALMSDEEETDSSSEGSDSSDYDEESAEGLGFLESTNLQRGIQTGTAIFATWENHTRGIASKMMANMGYREGMGLGASGQGMLDPIPVKVLPPKQSLDHALESQKEGIKDNHGKKRSRGGKRKREKKFAAAARAAKAKEETRPDVFTLINNQLAGHNKALNSESAKKQKSKGSEEKKVNRRDLLAYDDEIKELRIRVEKLEDMANRNKKEKVVYESAMRKLNETRITLAKAEASKASASNAITGREKEKKWLKF >Potri.016G129250.1.v4.1 pep chromosome:Pop_tri_v4:16:13253337:13254426:-1 gene:Potri.016G129250.v4.1 transcript:Potri.016G129250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129250.v4.1 MQWIIQSHFQACFFGMLAAKTLALKPKTTRKITDASTRTAAEKEPPIPKQKRVFGTIRSTNIHVKTATENPITKQPKSITIRGNPSINVTTDQVAKKPERFKKKVQKPEKRFDKLETASCWLGQIKLAESVGKHFVPIALIRLPSIPMLAESVGKHLVSVAFFRLAFDSNPIRNLRAELKRYMGRHGYLSYEAEWKEVSRSYGILKDESNVGGESLELGKGKTTCR >Potri.017G118100.6.v4.1 pep chromosome:Pop_tri_v4:17:12477640:12491763:-1 gene:Potri.017G118100.v4.1 transcript:Potri.017G118100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118100.v4.1 MNYPVFSLSPSPSVFVIVLLLFVQVPSSVSNSALFADCSNKFVCGNISADFPFWGTGRPPACGIPELELKCEDNIAKMNISQVAYRVLDINQDDGILRIAREDYLVGLCPPQFVNSTFNPKVFESVEGYTNLTFIYGCMAAPIAMPVPGLFTCKINAVNYQSGYIQAGDTGPGDCYGSVFVPISITDLSPVVIMPDLEQSLKEGFEVRWKVDGEACRECNSSSGVCGIDSVTNQTTCYCPNQSSGSRTCAILPAPEKKTKDRTLVIGLSTAGAVVIGIFFGCWVLFVVQRRKRKSAQVKSKGLPVATPPSSKGLTTSTNLSQATTSLTSSKSYLEKGSTYFGVPVFSYSELEEATNCFDPSKELGDGGFGTVYHGVLKDGRVVAVKRLYENNMRRAEQFMNEIEILAHLRHKNLVILYGCTTRHSHELLLVYEYIPNGTVADHLHGRQSNSGLLTWPVRLSIAIETASALAYLHTSDVIHRDVKTTNILLDNDFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTNKSDVYSFGVVLIELISSLQAVDTNRHRHDINLSNMAVNKIQNHALNELVDPFLGFDKDIVVRRMVTSVAELAFRCLQQDREMRPAMEEVLEALKRIEKENYGAGNAEVLDIRDDDVGLLKHAPPPVQLSPDSLSDPFWADSSSTITPHSY >Potri.017G118100.3.v4.1 pep chromosome:Pop_tri_v4:17:12477577:12486142:-1 gene:Potri.017G118100.v4.1 transcript:Potri.017G118100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118100.v4.1 MQPHVFPAMSWFITFITMIFICGSALVFANDDERYVNCSNSFDCGDVKGVGYPFWGSNRPDYCGYPELKLDCSDQDPEITIEKLTYKVLGIDNQTRTLSVARKDYAENICPTLILNTTWIPNLLNYTSDDQNITIYYGCPTQGAPSSLFVPQFPCYINATGMTGYFTAFANLSVLGSSASSLISYLATCNDSIKVPVRESAFQQILSTRTAAQLLGSLNQGFGLEWNASNNFCDTCQSSGGKCGYNQTTTAFTCYCKDQPQQFSCQQSPPEAQSPTNDQSSKKKTKDRTLVIGLSTAGAVVIGIFFGCWVLFVVQRRKRKSAQVKSKGLPVATPPSSKGLTTSTNLSQATTSLTSSKSYLEKGSTYFGVPVFSYSELEEATNCFDPSKELGDGGFGTVYHGVLKDGRVVAVKRLYENNMRRAEQFMNEIEILAHLRHKNLVILYGCTTRHSHELLLVYEYIPNGTVADHLHGRQSNSGLLTWPVRLSIAIETASALAYLHTSDVIHRDVKTTNILLDNDFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTNKSDVYSFGVVLIELISSLQAVDTNRHRHDINLSNMAVNKIQNHALNELVDPFLGFDKDIVVRRMVTSVAELAFRCLQQDREMRPAMEEVLEALKRIEKENYGAGNAEVLDIRDDDVGLLKHAPPPVQLSPDSLSDPFWADSSSTITPHSY >Potri.017G118100.4.v4.1 pep chromosome:Pop_tri_v4:17:12477533:12481329:-1 gene:Potri.017G118100.v4.1 transcript:Potri.017G118100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118100.v4.1 MHPLTTTTTSFLLTLFLSLHLTTSLPSNDISNLSNCNQNFSCGDLTNVTYPFTGGLRPSHCGPPEFGLTCEDESVTILKANSLSYRVTHLDQTSQTLRLSRSDLYDDGKCTRQFTNTTLDDRIFSLGSSHELYLFYGCKKINDSVMGSDQLPKISRFSCDYHGVTEEGFFSIVYPYGTEYSFPNTFECQTTIRVPIPSTRAQQLFDNESVVGEVLKEGFDVSYSNPYSANCTECYKEHPGGYCGFDTQLGKPICICNDKLCPEKKTKDRTLVIGLSTAGAVVIGIFFGCWVLFVVQRRKRKSAQVKSKGLPVATPPSSKGLTTSTNLSQATTSLTSSKSYLEKGSTYFGVPVFSYSELEEATNCFDPSKELGDGGFGTVYHGVLKDGRVVAVKRLYENNMRRAEQFMNEIEILAHLRHKNLVILYGCTTRHSHELLLVYEYIPNGTVADHLHGRQSNSGLLTWPVRLSIAIETASALAYLHTSDVIHRDVKTTNILLDNDFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTNKSDVYSFGVVLIELISSLQAVDTNRHRHDINLSNMAVNKIQNHALNELVDPFLGFDKDIVVRRMVTSVAELAFRCLQQDREMRPAMEEVLEALKRIEKENYGAGNAEVLDIRDDDVGLLKHAPPPVQLSPDSLSDPFWADSSSTITPHSY >Potri.017G118100.1.v4.1 pep chromosome:Pop_tri_v4:17:12477533:12481332:-1 gene:Potri.017G118100.v4.1 transcript:Potri.017G118100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118100.v4.1 MHPLTTTTTSFLLTLFLSLHLTTSLPSNDISNLSNCNQNFSCGDLTNVTYPFTGGLRPSHCGPPEFGLTCEDESVTILKANSLSYRVTHLDQTSQTLRLSRSDLYDDGKCTRQFTNTTLDDRIFSLGSSHELYLFYGCKKINDSVMGSDQLPKISRFSCDYHGVTEEGFFSIVYPYGTEYSFPNTFECQTTIRVPIPSTRAQQLFDNESVVGEVLKEGFDVSYSNPYSANCTECYKEHPGGYCGFDTQLGKPICICNDKLCPEKKTKDRTLVIGLSTAGAVVIGIFFGCWVLFVVQRRKRKSAQVKSKGLPVATPPSSKGLTTSTNLSQATTSLTSSKSYLEKGSTYFGVPVFSYSELEEATNCFDPSKELGDGGFGTVYHGVLKDGRVVAVKRLYENNMRRAEQFMNEIEILAHLRHKNLVILYGCTTRHSHELLLVYEYIPNGTVADHLHGRQSNSGLLTWPVRLSIAIETASALAYLHTSDVIHRDVKTTNILLDNDFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTNKSDVYSFGVVLIELISSLQAVDTNRHRHDINLSNMAVNKIQNHALNELVDPFLGFDKDIVVRRMVTSVAELAFRCLQQDREMRPAMEEVLEALKRIEKENYGAGNAEVLDIRDDDVGLLKHAPPPVQLSPDSLSDPFWADSSSTITPHSY >Potri.017G118100.5.v4.1 pep chromosome:Pop_tri_v4:17:12477533:12491952:-1 gene:Potri.017G118100.v4.1 transcript:Potri.017G118100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118100.v4.1 MNYPVFSLSPSPSVFVIVLLLFVQVPSSVSNSALFADCSNKFVCGNISADFPFWGTGRPPACGIPELELKCEDNIAKMNISQVAYRVLDINQDDGILRIAREDYLVGLCPPQFVNSTFNPKVFESVEGYTNLTFIYGCMAAPIAMPVPGLFTCKINAVNYQSGYIQAGDTGPGDCYGSVFVPISITDLSPVVIMPDLEQSLKEGFEVRWKVDGEACRECNSSSGVCGIDSVTNQTTCYCPNQSSGSRTCAILPAPEKKTKDRTLVIGLSTAGAVVIGIFFGCWVLFVVQRRKRKSAQVKSKGLPVATPPSSKGLTTSTNLSQATTSLTSSKSYLEKGSTYFGVPVFSYSELEEATNCFDPSKELGDGGFGTVYHGVLKDGRVVAVKRLYENNMRRAEQFMNEIEILAHLRHKNLVILYGCTTRHSHELLLVYEYIPNGTVADHLHGRQSNSGLLTWPVRLSIAIETASALAYLHTSDVIHRDVKTTNILLDNDFHVKVADFGLSRLFPNDVTHVSTAPQGTPGYVDPEYYQCYQLTNKSDVYSFGVVLIELISSLQAVDTNRHRHDINLSNMAVNKIQNHALNELVDPFLGFDKDIVVRRMVTSVAELAFRCLQQDREMRPAMEEVLEALKRIEKENYGAGNAEVLDIRDDDVGLLKHAPPPVQLSPDSLSDPFWADSSSTITPHSY >Potri.014G186380.1.v4.1 pep chromosome:Pop_tri_v4:14:15439001:15449484:-1 gene:Potri.014G186380.v4.1 transcript:Potri.014G186380.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186380.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTRLSATDISALASMKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.010G006100.3.v4.1 pep chromosome:Pop_tri_v4:10:558398:561350:-1 gene:Potri.010G006100.v4.1 transcript:Potri.010G006100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006100.v4.1 MVLFPSFHDGLSRIISTIKGRNSQKDTGKEAAEALAKDARKNELMLSSSGNVKSSKSDNFASVCSKRGQKGINQDSSVVWEGFGSQDDMIFCGIFDGHGPWGHFVSKKVRESVPSLLLCNWQENLALTSLGMDFEMDLDRNLHQFDIWKQSYLKTYAAIDQELKQNRKIDSFFSGTTAVTIIKQGENLVIANVGDSRAVLATTSIDGSLVPLQLTIDFKPNLPEEAERITQSKGRVFCLHDEPGVYRVWMPNGKTPGLSLSRAFGDHCVKDFGLVSEPDVTRRNISSRDQFVILATDGVWDVISNQEAVQVVSLTPDREESAKRLVECAGRAWRYKKKGIAMDDISAICLFFHPSPSQQIDPQLISSQADMMKAL >Potri.010G006100.6.v4.1 pep chromosome:Pop_tri_v4:10:558376:561336:-1 gene:Potri.010G006100.v4.1 transcript:Potri.010G006100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006100.v4.1 MVLFPSFHDGLSRIISTIKGRNSQKDTGKEAAEALAKDARKNELMLSSSGNVKSSKSDNFASVCSKRGQKGINQDSSVVWEGFGSQDDMIFCGIFDGHGPWGHFVSKKVRESVPSLLLCNWQENLALTSLGMDFEMDLDRNLHQFDIWKQSYLKTYAAIDQELKQNRKIDSFFSGTTAVTIIKQGENLVIANVGDSRAVLATTSIDGSLVPLQLTIDFKPNLPEEAERITQSKGRVFCLHDEPGVYRVWMPNGKTPGLSLSRAFGDHCVKDFGLVSEPDVTRRNISSRDQFVILATDGVWDVISNQEAVQVVSLTPDREESAKRLVECAGRAWRYKKKGIAMDDISAICLFFHPSPSQQIDPQLISSQADMMKAL >Potri.010G006100.5.v4.1 pep chromosome:Pop_tri_v4:10:558189:561364:-1 gene:Potri.010G006100.v4.1 transcript:Potri.010G006100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006100.v4.1 MVLFPSFHDGLSRIISTIKGRNSQKDTGKEAAEALAKDARKNELMLSSSGNVKSSKSDNFASVCSKRGQKGINQDSSVVWEGFGSQDDMIFCGIFDGHGPWGHFVSKKVRESVPSLLLCNWQENLALTSLGMDFEMDLDRNLHQFDIWKQSYLKTYAAIDQELKQNRKIDSFFSGTTAVTIIKQGENLVIANVGDSRAVLATTSIDGSLVPLQLTIDFKPNLPEEAERITQSKGRVFCLHDEPGVYRVWMPNGKTPGLSLSRAFGDHCVKDFGLVSEPDVTRRNISSRDQFVILATDGVWDVISNQEAVQVVSLTPDREESAKRLVECAGRAWRYKKKGIAMDDISAICLFFHPSPSQQIDPQLISSQADMMKAL >Potri.010G006100.4.v4.1 pep chromosome:Pop_tri_v4:10:558189:561364:-1 gene:Potri.010G006100.v4.1 transcript:Potri.010G006100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006100.v4.1 MVLFPSFHDGLSRIISTIKGRNSQKDTGKEAAEALAKDARKNELMLSSSGNVKSSKSDNFASVCSKRGQKGINQDSSVVWEGFGSQDDMIFCGIFDGHGPWGHFVSKKVRESVPSLLLCNWQENLALTSLGMDFEMDLDRNLHQFDIWKQSYLKTYAAIDQELKQNRKIDSFFSGTTAVTIIKQGENLVIANVGDSRAVLATTSIDGSLVPLQLTIDFKPNLPEEAERITQSKGRVFCLHDEPGVYRVWMPNGKTPGLSLSRAFGDHCVKDFGLVSEPDVTRRNISSRDQFVILATDGVWDVISNQEAVQVVSLTPDREESAKRLVECAGRAWRYKKKGIAMDDISAICLFFHPSPSQQIDPQLISSQADMMKAL >Potri.009G055500.1.v4.1 pep chromosome:Pop_tri_v4:9:5927154:5929256:-1 gene:Potri.009G055500.v4.1 transcript:Potri.009G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055500.v4.1 MEKKEETNNNKEERNNEGKEEDRVPQMALNHVSRLCRDVKESIDFYSKVLGLVLIERPPAFEFDGAWLFNYGVGVHLIQAKDEDSLPKTDRVLDPRDNHISFQCEDMEALEQRLKQFNVKYTKRTIDEDKKGTKIDQLFFCDPDGYMIEMCNCENLKLVPAGSLGNIKLPCDRHNPPVDLENGRHAK >Potri.016G024000.1.v4.1 pep chromosome:Pop_tri_v4:16:1344175:1346564:1 gene:Potri.016G024000.v4.1 transcript:Potri.016G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024000.v4.1 MGSQVGSFEEENPESLIGQGSLYSLTLDEVQNQLGNLGKPLGSMNLDELLKSVDTEGSWSSPVHRQGSLTLSRSLSKKTVEEVWRNIQQENKKDAENQERNAPFGEMTLEDFLVKAGVVTESAPQQQQESAQWMQFQNPTVQEPPYQNNMMTGFMQGHPVQQSLPVADAAYPNSQMNLSPSSLMGTLSDTQTPGRKRVASGDVAEKTVERKQKRMIKNRESAARSRARRQAYTNELEIKVYHLEEENERLRRQKEVEKVLPCAPPPEPKSQLRRTSSASF >Potri.001G187200.1.v4.1 pep chromosome:Pop_tri_v4:1:16718231:16721081:-1 gene:Potri.001G187200.v4.1 transcript:Potri.001G187200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187200.v4.1 MSAGGAFGGNRGLRPVPPEKGIFPLDHMHECDLEKKDYLNCLKSSGHQSEKCRLFSKKYLECRMEKNLMAKQDMSELGFGKVSEIDAPGEKPNERINN >Potri.012G088000.5.v4.1 pep chromosome:Pop_tri_v4:12:11338355:11343359:-1 gene:Potri.012G088000.v4.1 transcript:Potri.012G088000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088000.v4.1 MQRKATETVRTQMECMRLICDRDAKIQLHKVDSFMASFCNSLDSIKAIVEETMQNQGKSGRLKSSLREADDEFVKVLAALATSEEIEHQDIDHKRDIQEAMLWYNRVLGFKIEGGQGVKFTLNNINLKNQDEECSFTIRHENDMYTLLGCDPQLNDTKQLIHELNKTNGLFKFVRKMREKFEESASLGFLPQTTTLHQESATVSVSAPALSISSDTSESPTKTSKTPDEHIRNSKGSHGRGRGSRAIMSPVSVRQSPRFKAKK >Potri.012G088000.7.v4.1 pep chromosome:Pop_tri_v4:12:11339218:11343359:-1 gene:Potri.012G088000.v4.1 transcript:Potri.012G088000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088000.v4.1 MQRKATETVRTQMECMRLICDRDAKIQLHKVDSFMASFCNSLDSIKAIVEETMQNQGKSGRLKSSLREADDEFVKVLAALATSEEIEHQDIDHKRDIQEAMLWYNRVLGFKIEGGQGVKFTLNNINLKNQDEECSFTIRHENDMYTLLGCDPQLNDTKQLIHELNKTNGLFKFVRKMREKFEESASLGFLPQTTTLHQESATVSVSAPALSISSDTSESPTKTSKTPDEHIRNSKGSHGRGRGSRAIMSPVSVRQSPRFKAKK >Potri.012G088000.1.v4.1 pep chromosome:Pop_tri_v4:12:11338356:11343388:-1 gene:Potri.012G088000.v4.1 transcript:Potri.012G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088000.v4.1 MQRKATETVRTQMECMRLICDRDAKIQLHKVDSFMASFCNSLDSIKAIVEETMQNQGKSGRLKSSLREADDEFVKVLAVKTRKEAKQMATRESISATRARIHELQKSVLVQRARRDEFATIMSQLSLALATSEEIEHQDIDHKRDIQEAMLWYNRVLGFKIEGGQGVKFTLNNINLKNQDEECSFTIRHENDMYTLLGCDPQLNDTKQLIHELNKTNGLFKFVRKMREKFEESASLGFLPQTTTLHQESATVSVSAPALSISSDTSESPTKTSKTPDEHIRNSKGSHGRGRGSRAIMSPVSVRQSPRFKAKK >Potri.012G088000.6.v4.1 pep chromosome:Pop_tri_v4:12:11338355:11343359:-1 gene:Potri.012G088000.v4.1 transcript:Potri.012G088000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088000.v4.1 MQRKATETVRTQMECMRLICDRDAKIQLHKVDSFMASFCNSLDSIKAIVEETMQNQGKSGRLKSSLREADDEFVKVLAALATSEEIEHQDIDHKRDIQEAMLWYNRVLGFKIEGGQGVKFTLNNINLKNQDEECSFTIRHENDMYTLLGCDPQLNDTKQLIHELNKTNGLFKFVRKMREKFEESASLGFLPQTTTLHQESATVSVSAPALSISSDTSESPTKTSKTPDEHIRNSKGSHGRGRGSRAIMSPVSVRQSPRFKAKK >Potri.012G088000.2.v4.1 pep chromosome:Pop_tri_v4:12:11338315:11343460:-1 gene:Potri.012G088000.v4.1 transcript:Potri.012G088000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088000.v4.1 MQRKATETVRTQMECMRLICDRDAKIQLHKVDSFMASFCNSLDSIKAIVEETMQNQGKSGRLKSSLREADDEFVKVLAVKTRKEAKQMATRESISATRARIHELQKSVLVQRARRDEFATIMSQLSLALATSEEIEHQDIDHKRDIQEAMLWYNRVLGFKIEGGQGVKFTLNNINLKNQDEECSFTIRHENDMYTLLGCDPQLNDTKQLIHELNKTNGLFKFVRKMREKFEESASLGFLPQTTTLHQESATVSVSAPALSISSDTSESPTKTSKTPDEHIRNSKGSHGRGRGSRAIMSPVSVRQSPRFKAKK >Potri.012G088000.8.v4.1 pep chromosome:Pop_tri_v4:12:11339218:11343359:-1 gene:Potri.012G088000.v4.1 transcript:Potri.012G088000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088000.v4.1 MQRKATETVRTQMECMRLICDRDAKIQLHKVDSFMASFCNSLDSIKAIVEETMQNQGKSGRLKSSLREADDEFVKVLAALATSEEIEHQDIDHKRDIQEAMLWYNRVLGFKIEGGQGVKFTLNNINLKNQDEECSFTIRHENDMYTLLGCDPQLNDTKQLIHELNKTNGLFKFVRKMREKFEESASLGRVLIVAFL >Potri.011G112350.1.v4.1 pep chromosome:Pop_tri_v4:11:14240632:14241615:-1 gene:Potri.011G112350.v4.1 transcript:Potri.011G112350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112350.v4.1 MPINGSLIILLKVVMLLLEFQPMYCCQMFSKKALIRRIWNQICYVSDISWALLFLLFFLEFGLLGWFYLFYIFLPCELTLPVKIVAKGFKIAKKKLVLKTKLTEYLCYYHASTGLKLSFEIEDIYKVRRNILRYIVIKTLWSSH >Potri.002G051400.3.v4.1 pep chromosome:Pop_tri_v4:2:3449693:3459169:-1 gene:Potri.002G051400.v4.1 transcript:Potri.002G051400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051400.v4.1 MREGLRSSVNLLRKSVKNEVSPSELTDEEKPKLNQDEALREDMEVDQRDGLKIEECEGGSDAGECNAAETSKSRRKRSRVASEGESEDGSSLKGKSGDGSEKRLAEVKKKDSEACDHEEGKTLKRKRGRPPKAQKSDGSEKTRIKAVKEESNQYVGKESEQPDNEVRENLKPKRGTPPKVQKNDVSEKKRAGVEREEGNHYVGEESDRSDDGASRRLKHKRGRFPKAHKSHRSGKKRGKAGKEGSDLSAGEESEQSDNEVSEKSKPKRGRPPKAKKSDKPEKNIEAVEDDTAESSGEESDESYGKVGMRLKPKRGRHSKLNKGIKVGGPRKRQLGKKTRHNKNHNVGARSALSGGKKSNATELATARKIKFIKDEKEEGRNKQKAVVRDKIIELLLGAGWTIEHRPRNGRDYCDAVYVNPEGRTHWSVTLAYRILKQHYEGGGGDSNTCKTGFKFTPLPDDELSILTKIIGKERSDKNKKKKKWKQGEGEKTGEGVAKLKNKKGKLHKRKLDAAATPGRKKLKDRTKHKYSLSEQDDCSGTSDDRTAVKDRKQLKTHNRKRCALMIRNSKEGADSNGDGYVLYNGKRTVLAWMIDLGSVPLDGKVQYLKRRKTRTVLKGKITTDGIQCDCCGETFAILDFESHAGSKSCQPLKNICLENGHSLLQCQLESWNKQDESDRKGFHFVDTDDQDPNDDTCGICGDGGNLICCDSCPSTFHQSCLEIKKFPSGVWNCTYCSCKFCGMAGGDTCQMDENDTAAQPALLACCLCEEKYHHSCILAENTVNDGYSSVSFCGKKCQELYDKLQALLGVKHEMEEGFAWTLVRRFDVGSDISLSGMHRKVECNSKVAVALHIMDECFLPMPDHRSGVNLIRNIVYNFGSNFNRLNYSGFLTAILERGDEIISAASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLSAIETALCSLNVEKLVIPAISELRETWTSVFGFKPLEGSSKQKMRNMKMVAFPGIDMLQKPLLKHHQFAEANMVSTEGSMELKEHHTMDETSSNSDEKCSPVRFDLKVSTETSAPHTGKINDQAAAVESGSMADCLNDTSDITSENATLSTCPKEKTGGRLSVVPDNLKGRDKNTLKTPDYMGDANEQTREVAEHQGTVSGFIAPSDGKRKVKGDAHVNQSGVSEVESKLSGISFMGSEAADFQGQCQLASKEDTENVPCEVKVEDSSDRQNRNSVHTSSEIITSQPRHLVSELELEVSGTNAAHHESTTCNISGDVAQSTTTPLPQKVQDDGNDHCGVLPGNQNISSCQVKEPISKEMVVLATADPNSDVTAKRDLQSCRSNGFCFATELGVSSCGVDVDRVHDLKEVSDTVQSDAISPYGGSISDGPRMNIKSSEHANSVSEVEPASLTGGISEPLCNSSSARLHCASGGGNSCGAPEVIILSNQAS >Potri.002G051400.7.v4.1 pep chromosome:Pop_tri_v4:2:3449732:3458998:-1 gene:Potri.002G051400.v4.1 transcript:Potri.002G051400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051400.v4.1 MREGLRSSVNLLRKSVKNEVSPSELTDEEKPKLNQDEALREDMEVDQRDGLKIEECEGGSDAGECNAAETSKSRRKRSRVASEGESEDGSSLKGKSGDGSEKRLAEVKKKDSEACDHEEGKTLKRKRGRPPKAQKSDGSEKTRIKAVKEESNQYVGKESEQPDNEVRENLKPKRGTPPKVQKNDVSEKKRAGVEREEGNHYVGEESDRSDDGASRRLKHKRGRFPKAHKSHRSGKKRGKAGKEGSDLSAGEESEQSDNEVSEKSKPKRGRPPKAKKSDKPEKNIEAVEDDTAESSGEESDESYGKVGMRLKPKRGRHSKLNKGIKVGGPRKRQLGKKTRHNKNHNVGARSALSGGKKSNATELATARKIKFIKDEKEEGRNKQKAVVRDKIIELLLGAGWTIEHRPRNGRDYCDAVYVNPEGRTHWSVTLAYRILKQHYEGGGGDSNTCKTGFKFTPLPDDELSILTKIIGKERSDKNKKKKKWKQGEGEKTGEGVAKLKNKKGKLHKRKLDAAATPGRKKLKDRTKHKYSLSEQDDCSGTSDDRTAVKDRKQLKTHNRKRCALMIRNSKEGADSNGDGYVLYNGKRTVLAWMIDLGSVPLDGKVQYLKRRKTRTVLKGKITTDGIQCDCCGETFAILDFESHAGSKSCQPLKNICLENGHSLLQCQLESWNKQDESDRKGFHFVDTDDQDPNDDTCGICGDGGNLICCDSCPSTFHQSCLEIKFPSGVWNCTYCSCKFCGMAGGDTCQMDENDTAAQPALLACCLCEEKYHHSCILAENTVNDGYSSVSFCGKKCQELYDKLQALLGVKHEMEEGFAWTLVRRFDVGSDISLSGMHRKVECNSKVAVALHIMDECFLPMPDHRSGVNLIRNIVYNFGSNFNRLNYSGFLTAILERGDEIISAASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLSAIETALCSLNVEKLVIPAISELRETWTSVFGFKPLEGSSKQKMRNMKMVAFPGIDMLQKPLLKHHQFAEANMVSTEGSMELKEHHTMDETSSNSDEKCSPVRFDLKVSTETSAPHTGKINDQAAAVESGSMADCLNDTSDITSENATLSTCPKEKTGGRLSVVPDNLKGRDKNTLKTPDYMGDANEQTREVAEHQGTVSGFIAPSDGKRKVKGDAHVNQSGVSEVESKLSGISFMGSEAADFQGQCQLASKEDTENVPCEVKVEDSSDRQNRNSVHTSSEIITSQPRHLVSELELEVSGTNAAHHESTTCNISGDVAQSTTTPLPQKVQDDGNDHCGVLPGNQNISSCQVKEPISKEMVVLATADPNSDVTAKRDLQSCRSNGFCFATELGVSSCGVDVDRVHDLKEVSDTVQSDAISPYGGSISDGPRMNIKSSEHANSVSEVEPASLTGGISEPLCNSSSARLHCASGGGNSCGAPEVIILSNQAS >Potri.002G051400.4.v4.1 pep chromosome:Pop_tri_v4:2:3449693:3459169:-1 gene:Potri.002G051400.v4.1 transcript:Potri.002G051400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051400.v4.1 MREGLRSSVNLLRKSVKNEVSPSELTDEEKPKLNQDEALREDMEVDQRDGLKIEECEGGSDAGECNAAETSKSRRKRSRVASEGESEDGSSLKGKSGDGSEKRLAEVKKKDSEACDHEEGKTLKRKRGRPPKAQKSDGSEKTRIKAVKEESNQYVGKESEQPDNEVRENLKPKRGTPPKVQKNDVSEKKRAGVEREEGNHYVGEESDRSDDGASRRLKHKRGRFPKAHKSHRSGKKRGKAGKEGSDLSAGEESEQSDNEVSEKSKPKRGRPPKAKKSDKPEKNIEAVEDDTAESSGEESDESYGKVGMRLKPKRGRHSKLNKGIKVGGPRKRQLGKKTRHNKNHNVGARSALSGGKKSNATELATARKIKFIKDEKEEGRNKQKAVVRDKIIELLLGAGWTIEHRPRNGRDYCDAVYVNPEGRTHWSVTLAYRILKQHYEGGGGDSNTCKTGFKFTPLPDDELSILTKIIGKERSDKNKKKKKWKQGEGEKTGEGVAKLKNKKGKLHKRKLDAAATPGRKKLKDRTKHKYSLSEQDDCSGTSDDRTAVKDRKQLKTHNRKRCALMIRNSKEGADSNGDGYVLYNGKRTVLAWMIDLGSVPLDGKVQYLKRRKTRTVLKGKITTDGIQCDCCGETFAILDFESHAGSKSCQPLKNICLENGHSLLQCQLESWNKQDESDRKGFHFVDTDDQDPNDDTCGICGDGGNLICCDSCPSTFHQSCLEIKKFPSGVWNCTYCSCKFCGMAGGDTCQMDENDTAAQPALLACCLCEEKYHHSCILAENTVNDGYSSVSFCGKKCQELYDKLQALLGVKHEMEEGFAWTLVRRFDVGSDISLSGMHRKVECNSKVAVALHIMDECFLPMPDHRSGVNLIRNIVYNFGSNFNRLNYSGFLTAILERGDEIISAASIRIHGNHLAEMPFIGTRHMYRRQGMCRRLLSAIETALCSLNVEKLVIPAISELRETWTSVFGFKPLEGSSKQKMRNMKMVAFPGIDMLQKPLLKHHQFAEANMVSTEGSMELKEHHTMDETSSNSDEKCSPVRFDLKVSTETSAPHTGKINDQAAAVESGSMADCLNDTSDITSENATLSTCPKEKTGGRLSVVPDNLKGRDKNTLKTPDYMGDANEQTREVAEHQGTVSGFIAPSDGKRKVKGDAHVNQSGVSEVESKLSGISFMGSEAADFQGQCQLASKEDTENVPCEVKVEDSSDRQNRNSVHTSSEIITSQPRHLVSELELEVSGTNAAHHESTTCNISGDVAQSTTTPLPQKVQDDGNDHCGVLPGNQNISSCQVKEPISKEMVVLATADPNSDVTAKRDLQSCRSNGFCFATELGVSSCGVDVDRVHDLKEVSDTVQSDAISPYGGSISDGPRMNIKSSEHANSVSEVEPASLTGGISEPLCNSSSARLHCASGGGNSCGAPEVIILSNQAS >Potri.005G119700.5.v4.1 pep chromosome:Pop_tri_v4:5:8790595:8796596:-1 gene:Potri.005G119700.v4.1 transcript:Potri.005G119700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119700.v4.1 MAAAVCAPLTPNPVPDMEHSGDERKNRIESLKKKAISASNKFRHSLTRKSRRSSKVMSVEIEDVHDAEELKAVDAFRQVLILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTVLEEFEFQELNEVLEYYPQGHHGVDKEGRPVYIESLGKADPAKLMQVTNMDRYVKYHVREFERTFDVKFPACSLAAKRHIDQSTTILDVQGVGLKSFTKAARDLITRLQKIDGDNYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCVRSDKGPWNDPEILKMVQNGDHKCAKKFGTQYPDEKTICEDDLSCSKRLDSSKVESAPEHPQSSPVPEKAYKFEDYDLVVDKSMDISWKKVDNGMFALSKVSMICKTAKADCYTQYDACKAPQAISSPLFTGVMALVTGIVTMIRVTRNVPRKMTDATIYSSPVYCDDTAVKSLEHQSPAISSADYMTVLKRMAELEDKVSVLSAKPVSMPPEKEEMLSAALSRVDGLEQELMATKKALEESFAQQAELVAYLDKKKKKKKMLFW >Potri.005G119700.2.v4.1 pep chromosome:Pop_tri_v4:5:8790697:8796553:-1 gene:Potri.005G119700.v4.1 transcript:Potri.005G119700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119700.v4.1 MAAAVCAPLTPNPVPDMEHSGDERKNRIESLKKKAISASNKFRHSLTRKSRRSSKVMSVEIEDVHDAEELKAVDAFRQVLILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTVLEEFEFQELNEVLEYYPQGHHGVDKEGRPVYIESLGKADPAKLMQVTNMDRYVKYHVREFERTFDVKFPACSLAAKRHIDQSTTILDVQGVGLKSFTKAARDLITRLQKIDGDNYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCVRSDKGPWNDPEILKMVQNGDHKCAKKFGTQYPDEKTICEDDLSCSKRLDSSKVESAPEHPQSSPVPEKAYKFEDYDLVVDKSMDISWKKVDNGMFALSKAKADCYTQYDACKAPQAISSPLFTGVMALVTGIVTMIRVTRNVPRKMTDATIYSSPVYCDDTAVKSLEHQSPAISSADYMTVLKRMAELEDKVSVLSAKPVSMPPEKEEMLSAALSRVDGLEQELMATKKALEESFAQQAELVAYLDKKKKKKKMLFW >Potri.005G119700.6.v4.1 pep chromosome:Pop_tri_v4:5:8790595:8796596:-1 gene:Potri.005G119700.v4.1 transcript:Potri.005G119700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119700.v4.1 MAAAVCAPLTPNPVPDMEHSGDERKNRIESLKKKAISASNKFRHSLTRKSRRSSKVMSVEIEDVHDAEELKAVDAFRQVLILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTVLEEFEFQELNEVLEYYPQGHHGVDKEGRPVYIESLGKADPAKLMQVTNMDRYVKYHVREFERTFDVKFPACSLAAKRHIDQSTTILDVQGVGLKSFTKAARDLITRLQKIDGDNYPETLNRMFIINAGSGFRMLWNTVKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCVRSDKGPWNDPEILKMVQNGDHKCAKKFGTQYPDEKTICEDDLSCSKRLDSSKVESAPEHPQSSPVPEKAYKFEDYDLVVDKSMDISWKKVDNGMFALSKVSMICKTAKADCYTQYDACKAPQAISSPLFTGVMALVTGIVTMIRVTRNVPRKMTDATIYSSPVYCDDTAVKSLEHQSPAISSADYMTVLKRMAELEDKVSVLSAKPVSMPPEKEEMLSAALSRVDGLEQELMATKKALEESFAQQAELVAYLDKKKKKKKMLFW >Potri.002G243400.18.v4.1 pep chromosome:Pop_tri_v4:2:23520278:23533037:-1 gene:Potri.002G243400.v4.1 transcript:Potri.002G243400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243400.v4.1 MHGCGSGYALLVNAEVDSMGGVVDGGVGIATKTSPRRAAIEKAQVELRQEYDVREERRRELEFLEKGGNPLDFKFVNATSVSVQSTSLTDHHVEQFVTSEAKGSFPLTASPHGDSVESSGRPGATPVCEPNSADNFDGENELLEVERKRKHPSRRNNVTQSEQSSQMDGIHNAKESEDSAIFRPYARRNRSRPNRDGARSSSTDIVQSSVGHGSSLPARGEARDVKGLVTETDDHKAQIITSISNPKSTTSNGDLFFQIDTSNTQSNTELDCVQALKTVVNLPDDRLDVTESIVLRDNQHDQPSEADAEKAPNDIASRECDHGGGKELVISAGPECPPCAESAKTENETGPALLNGLEKDGNEGQNGNAAMGTERFNSESSCTQDSLSLDANNGCDPCDNRRNDDTNEILLKESSEFEGTRSLPSGNIGNEKKETNSISAINDGSVHENYSGNDSTVKNEEERRTTFHSLVKCTNLEGVEQNDHVASEADTKAGNMLADSSNSNREIIYPSGPQGSLDPPVQELPQPILLEKNSFVATDPQSCSNTHVKVVDKSHEDSILEEARVIEAKRKRIAELSVASVHSENRRRSHWDFVLEEMAWLANDVAQERLWKMTAAAQICRRIAFTSRLRVEEQNHHLKLKNVAYSLAKAVMQFWHSAKVYLSNNCHSVGSKNGKHEVGMFVGNEFSVNKFGDIDKEQVACKELEKQNRAKNIAHSIHGYAVRFLKYNSSPFPSFQAEAPATPDRIADLGIVDTSWDDRLTEESLFYAVPSGAMAMYRLSIESHIAQSEKTRSSMQEEVDTSMYDTPADFGYHDTAAYDEEEGETSAYYMHGVFEGSKSAKHDQKKRKSLTKSPSARSYDLGTDSPYGHCTTGPQQNVLMGKRPASNLNAGSIPTKRMRTASRQRFTSPFTAGTAGVLLQAPVKTDASSGDTNSFQDDQSILHGGSQIQKSVEVESAAHFERQLPYDYAETSTKPKKKKKAKHLGSAYEQGWQLDSTGHNEQRDNFKKRSESHHLDSNGTSGLYGQHTTKKPKISKQLLDNTFDNMVQMTGSIPSPAASQMSNMSNTNRFIKLIGGRERGRKNKSMKMSVGQPGSGSPWSLFEDQALVVLVHDMGPNWELISDAINSTVQFKCIFRKPKECKDRHKILMDKGAGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQHLQGPMQEDTLKSHFEKIIIIGKKHHYKRSQNENQDPKQIAATHNSHFIALSQVCPNTKWRCPNAP >Potri.019G068400.4.v4.1 pep chromosome:Pop_tri_v4:19:10892639:10899047:-1 gene:Potri.019G068400.v4.1 transcript:Potri.019G068400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068400.v4.1 MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASMGVQRSKPSTPKHAGGAGRNFRVMSSFQTGFGGGVGTAFPPAASFPHYAIQQGMPYNVYGYSSYSPDYTYPTSYYNVYGGATAQYPMYGTGHGGLMNGSAAAFYPYLQFGEGSGGAATSYPTSGQSYGVQYPYNLFQYSAINSTTGGYPQHYGAPMSLAPTAALPSVCFAVPQA >Potri.019G068400.1.v4.1 pep chromosome:Pop_tri_v4:19:10893885:10898647:-1 gene:Potri.019G068400.v4.1 transcript:Potri.019G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068400.v4.1 MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASMGVQRSKPSTPKHGGAGRNFRVMSSFQTGFGGGVGTAFPPAASFPHYAIQQGMPYNVYGYSSYSPDYTYPTSYYNVYGGATAQYPMYGTGHGGLMNGSAAAFYPYLQFGEGSGGAATSYPTSGQSYGVQYPYNLFQYSAINSTTGGYPQHYGAPMSLAPTAALPSGVTLALQAPPIPHR >Potri.019G068400.2.v4.1 pep chromosome:Pop_tri_v4:19:10892604:10899053:-1 gene:Potri.019G068400.v4.1 transcript:Potri.019G068400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068400.v4.1 MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASMGVQRSKPSTPKHGGAGRNFRVMSSFQTGFGGGVGTAFPPAASFPHYAIQQGMPYNVYGYSSYSPDYTYPTSYYNVYGGATAQYPMYGTGHGGLMNGSAAAFYPYLQFGEGSGGAATSYPTSGQSYGVQYPYNLFQYSAINSTTGGYPQHYGAPMSLAPTAALPSVCFAVPQA >Potri.019G068400.3.v4.1 pep chromosome:Pop_tri_v4:19:10892692:10899044:-1 gene:Potri.019G068400.v4.1 transcript:Potri.019G068400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068400.v4.1 MTPANLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVITDKATGRSKGYGFVTFREPDAAMRACVDAAPVIDGRRANCNLASMGVQRSKPSTPKHAGGAGRNFRVMSSFQTGFGGGVGTAFPPAASFPHYAIQQGMPYNVYGYSSYSPDYTYPTSYYNVYGGATAQYPMYGTGHGGLMNGSAAAFYPYLQFGEGSGGAATSYPTSGQSYGVQYPYNLFQYSAINSTTGGYPQHYGAPMSLAPTAALPSGVTLALQAPPIPHR >Potri.013G017700.1.v4.1 pep chromosome:Pop_tri_v4:13:1117773:1119885:-1 gene:Potri.013G017700.v4.1 transcript:Potri.013G017700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017700.v4.1 MATEPKTDAKTEAKQEAKTEAKEVVVEGNTEPSLKYKTWVLKVSVHCEGCKRKVKKILDSIDGVFTTDVDLRLQKATVVGDVDADTLIKRLIKKTGKHAELWPEKADNNQKAAMKKGKAKNKDKEKEKEKERDSNEEGGDGENKKEVKVKAEVVKMQDPSPKNSENGGPSKNSEGGGHVVIGNASEGGAVQVKEVKVNEVNAKQPATSPSGVRSPVADKKVGGESEVVAEKIGGGGSVDGSSGAKKKKKKAHKENNSNNNNGDEGEHSGHAPAGTGSPGQGHVQVQIPPPANHIPPRHDHVYDYPATYYAPPVYAVSSNVAYPSTSYGASYHAPQYSYAYMHPGTHPGTISVPPPPDFHPYYSSQTSDSFEPPPPDFHPYYSSQTSDSFEFLSDENPNACSIM >Potri.006G127100.11.v4.1 pep chromosome:Pop_tri_v4:6:10211017:10220438:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.4.v4.1 pep chromosome:Pop_tri_v4:6:10210871:10220457:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.16.v4.1 pep chromosome:Pop_tri_v4:6:10211026:10220089:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.9.v4.1 pep chromosome:Pop_tri_v4:6:10211016:10220446:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.13.v4.1 pep chromosome:Pop_tri_v4:6:10211032:10220425:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.10.v4.1 pep chromosome:Pop_tri_v4:6:10211016:10220438:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.14.v4.1 pep chromosome:Pop_tri_v4:6:10210870:10220417:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.7.v4.1 pep chromosome:Pop_tri_v4:6:10211097:10220321:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.12.v4.1 pep chromosome:Pop_tri_v4:6:10211019:10220438:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.15.v4.1 pep chromosome:Pop_tri_v4:6:10211026:10220090:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.006G127100.8.v4.1 pep chromosome:Pop_tri_v4:6:10210668:10220469:-1 gene:Potri.006G127100.v4.1 transcript:Potri.006G127100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127100.v4.1 METEFVNANHLPHFLRRALPALGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLIFNFVAILCQYLSARIGVVTGKDLAQICSDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVFLAAVDAVLFPVFATLLERCKASFLSTCIAGFLLLLYFFGVLISQPEIPLPMNGMPIKLSEDSAFALMSLLGASIMPHNFFLHSSMVLQHQGPPNISKGALCLNHFFAILCIFSGIYLVNYVLMNSAANVFYSTGLVLLTFPDAMSLMEPVFRSPVALCVFSLILFFANHITALTWNLGGQVVLQGFLRLDIPNWLQRATIRIIAVVPALYCVWTSGVEGIYQLLIFTQVMVALLLPSSVIPLFRIASSRQVMAAYKISAFLEFLALISFMGMLGIKIIFVVEMVFGDSDWAGNLRWSTSGGSSTSYTVLLITACSSFCLMLWLAATPLKSATHLDAQVWNWDVQNTVSEPSMQIEEEIFSETRYTEEESIGGKEQLSGPGKSAESYSDVTVANADPDLPVTIMESDQEHHLTTIKENHSEITFSSPGTFYEEETSPIIESVSLSAAMNVVPGSELLGAKKIDIESMDSVEKTVDIDGDFHAEKEDDEGDSWEPEESSKGVPGSTSSLTSDGPGSFRSLSGKSDEGGNGAGSLSRLAGLGRAARRQLASVLDEFWGQLYDFHGQTTQEAKTKKLDALGVDLKPSLLKVDTAGKEFSGYFSSVGGRASDSQIHSSLGDSPNHLRVPSNIDSSYGGQRGPSSLWSNHMQLMDAYAQGPSRSIADSSERRYSSVHTLPSSDGRCIQPATVHGYQIASIINQIAKERGSSSLNGQMDSPAPISPSLGPRNYRDPLTVAMGQKLQNGPSSSQPPGFQNLAVSRNSTLQSERHYHDVYSSGSADDAGKSANTKKYHSLPDIAGLAGPYRDLYMSEKNAQWDKSVGFGSSVSRTGYEQSYYSNTRSGAGAGAGAGAGAGGPLSFNRLPKGHGDAFSFHMTPDPGSLWSRQPFEQFGVADKSRVVGSGLGNRSNSINREVISPVDPEAQLLQSFRRCIVKLLKLEGSDWLFRQNDGADEDLIDRVAARERYLYEAETREMNCVANMGESPYLYSDRKSGSVLRNDDAAITNIMVSSVPNCGEGCVWRVDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRSPMSPCFCLQIPASHQHRSSPPVSNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSSKGIASK >Potri.008G005500.1.v4.1 pep chromosome:Pop_tri_v4:8:238556:239560:-1 gene:Potri.008G005500.v4.1 transcript:Potri.008G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005500.v4.1 MGCGDVTPSSSPPTPPSPLPISVGPGNRKYNFSCSSSPSPPFSPPLSSHTSAENLPFLPQRLASPKRVPSAFSLERPDPDPLDSKSSCLEDLLEWFVQRCCSFCSKFV >Potri.018G103500.2.v4.1 pep chromosome:Pop_tri_v4:18:12332994:12335488:-1 gene:Potri.018G103500.v4.1 transcript:Potri.018G103500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103500.v4.1 MDGSSLLPAPETNIAALKEALYTQQHLLQTLYADLDEEREASATAASEALSMILRLQGEKASLKMEASQYKRMAEEKMCHAEEALAIVEDLIYQREMEIASLEYQVQAYRYRLLSMGCNDLCVYENTYPENLLMQRNDPLFGENGANGNVRRFNSPPQVSTKDASYNKSDIERKKSIVPVLDTMQMNAEEIAIREANDSEKKSGSPSGAHVGSYWEQIKRLDERVKEISDDKDPGRKNSAIWKGGTWSPSLFSQMGTGTSGDLTRTENSTHSDKVKQHQDSRESVQDIFEVPQTIESCKVSEHPVKERHKMTLEGEKRLQKPDMVSEDILITPNKDKRDLVKAMVLSTVHEKKLPKPKDRASFHRNVSPVSRPAISASNSQAEYQQLSQRVERLERVRNNTSQEIISEGEEELNLLKEIREQLNSIQSEIRSLKKTKNPHAAVKQPLDLLQEAMLYFWF >Potri.018G103500.8.v4.1 pep chromosome:Pop_tri_v4:18:12331621:12335834:-1 gene:Potri.018G103500.v4.1 transcript:Potri.018G103500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103500.v4.1 MDGSSLLPAPETNIAALKEALYTQQHLLQTLYADLDEEREASATAASEALSMILRLQGEKASLKMEASQYKRMAEEKMCHAEEALAIVEDLIYQREMEIASLEYQVQAYRYRLLSMGCNDLCVYENTYPENLLMQRNDPLFGENGANGNVRRFNSPPQVSTKDASYNKSDIERKKSIVPVLDTMQMNAEEIAIREANDSEKKSGSPSGAHVGSYWEQIKRLDERVKEISDDKDPGRKNSAIWKGGTWSPSLFSQMGTGTSGDLTRTENSTHSDKVKQHQDSRESVQDIFEVPQTIESCKVSEHPVKERHKMTLEGEKRLQKPDMVSEDILITPNKDKRDLVKAMVLSTVHEKKLPKPKDRASFHRNVSPVSRPAISASNSQAEYQQLSQRVERLERVRNNTSQEIISEGEEELNLLKEIREQLNSIQSEIRSLKKTKNPHAAVKQPLDLLQEAMLYFWF >Potri.010G072300.1.v4.1 pep chromosome:Pop_tri_v4:10:10063050:10063989:-1 gene:Potri.010G072300.v4.1 transcript:Potri.010G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072300.v4.1 MDASIFHSANSDFSSESCFRSPDSFYSQSFNQSSLPFNENDSDEMLLFELISEATQETSRTTFCNGIVKEEEVSSVAKRTPDKEKSYRGVRRRPWGKFAAEIRDSTRNGIRVWLGTFDSAEAAALAYDQAAFSMRGPGTILNFPVERVRESLKDMKCSHHYEDGCSPVVALKRKYSMRRKLGSRGKKERNARIENVVVLEDLGADYLEQLLNSSGKATSPW >Potri.006G258600.3.v4.1 pep chromosome:Pop_tri_v4:6:25519522:25520496:-1 gene:Potri.006G258600.v4.1 transcript:Potri.006G258600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258600.v4.1 MAAGSSRSKRSSGPVLRSLSPSGRLQTHNNYSLSSSSSASAFASSTSSSFYSPPSAFFQNSHQRSASPTRVNLYSSCPPLSPSFRFSIDRSTSPNRSISVSKKNHSHPISAPKRTCMCSPTTHRGSFRCSLHKNSSSSSNPAMFTPHRLNMRRSAMTNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRGAFQPRQSRLSIMSKADDEIC >Potri.015G014200.1.v4.1 pep chromosome:Pop_tri_v4:15:948240:949187:1 gene:Potri.015G014200.v4.1 transcript:Potri.015G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014200.v4.1 MKNIDSSTSTVEERKKESEEEVDVLFVTEKDLKDGNIDMDAKVTGFDALVAVCHIAYKKLKQKKREEKQKVDAILGDGKIDGPVREKALQKPMSDQVHDGSTTRKRTSEERPSCSTERYKRNGFRQGIMTGVRDGGSNQDLFLRKDTVFKRSYAHSKLNKRLKEEMPNCGVGSLAELPQEVKERIASMKGKDVELVVDKLLYATDVNAGNSRLSIPLRQVIAKNFLTDEEKRALIDDNCLKVKILEPSLEMVSDMNLKQWNMFKKKGSVSSSYVFITHWNSLRRRSNLHRQDRIQVWSFRVENDLYFALVKVGER >Potri.004G046501.2.v4.1 pep chromosome:Pop_tri_v4:4:3661267:3663683:-1 gene:Potri.004G046501.v4.1 transcript:Potri.004G046501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046501.v4.1 MSDKSGGGDIVRYICVDMLRSIHINVSFVQNFYFLQAYYPKCLAKCFVLIMLWFFVGASKIISCFLGKVYW >Potri.004G046501.1.v4.1 pep chromosome:Pop_tri_v4:4:3661267:3663683:-1 gene:Potri.004G046501.v4.1 transcript:Potri.004G046501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046501.v4.1 MSDKSGGGDIVRYICVDMLRSIHINVSFVQNFYFLQAYYPKCLAKCFVLIMLWFFVGASKIISCFLGKDVFCSVLVGNRFHEMLKEKR >Potri.007G011600.3.v4.1 pep chromosome:Pop_tri_v4:7:911250:916355:1 gene:Potri.007G011600.v4.1 transcript:Potri.007G011600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011600.v4.1 MAPATNWLSFSLSPMEMLRSSESQFMSYESSSSASPHYLFDNFYADGWAHPKESQVTTTTMAENSNILTSFIGPETPHQQVPKLEDFLGDSSSSINVRYSDNSQTETQDSSLTHIYDHQGSAAAYFNEQQDLKAIAGFQAFSTNSGSEVDDSASIAPTQVGGIESTGNELGFSNNAALSLGVNNDTSNNQGGSTEKLAIVSADNDCSKKIADTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEEKAARAYDLAALKYWGPTATTNCPVSNYTKELEDMEYVSKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGLNAVTNFEMNRYNVEAIMKSALPVGGAAKRLKTSLDAEHKPNVSDNHQPQPRCNSYSTNNNISFAAVQPASTAVPCGITFDASTALYHHNLVHHLQTTNNFAATDSSGSTSSMATAMTTLPQTAEYFTWPHQTY >Potri.011G133300.1.v4.1 pep chromosome:Pop_tri_v4:11:16651160:16653413:-1 gene:Potri.011G133300.v4.1 transcript:Potri.011G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133300.v4.1 MDSRLYRVVKSGNVYILLQLLNEKPRLLTKLTPQGNTPLHIAVQFGHKGVVVEIYNRCRSLLTRPNSSGDSPLHVAARCGHFSIVDFLVKENLSAKRISTENGKTGKFDILRQGNNENNTVLHEAVRNGNMSVVKLLLRVDTKLACFENYAGESPLFLAAREGKKDILNQILISTPASAHGGSEGQTALHAAVIERHSDIMEILLRAKPHLITEADHHGRTALHHAASLGDRRAVERLLEFDECIAYVLDKNGHSPLHVAARNGHADVIERIIHYCPDSGELLDLNGRSVLHFAVLSAKVNVVRCVVEIAELQWLINQADNGGNTPLHLAAIERQTRILRCLIWDERVDHRARNETGQSVFDIDESIRESCFIYRCNRIKCVWRKLIVVSNRITGKKNPPCADQEAIARIQTYKRMGNTLLMVATLIATVTFAAAFTLPGGFNNDLGLKQGVALLESSKHLRWFVFSDSIAMTSSIIAACIIFWGAVSNDESYVYYLASATVLTCIALQSAGIAFLSGIVAALPDQPFVDSVIYIVGIAFHVSNFLFLLQLVRIFLVSEICQFLIFCFWKMKSKINKRDHQN >Potri.001G035300.1.v4.1 pep chromosome:Pop_tri_v4:1:2585052:2587772:-1 gene:Potri.001G035300.v4.1 transcript:Potri.001G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035300.v4.1 MRSLLSLMHKRFLSSSPPPSSSFTVECLVNSCGLPSKSALEFSRDFHLHENNLQSFQSVFRCFQSHNIPSIRITKLIKRRPQILNYNVEDNLKPKLQLLVQNGIVGHHMCKVFVSNPVILNADLDSQIKPCFQFLKSVLGSNRNVVEAINRSSNLLTCDLKGCLKPNIDFLIREGVPFDGVAEFLIRDAITVQHKHNSMVNAVNDLKNLGFDPKAPVFLEAVRVRIHMSESIWREKIEVMKSLGWSEEEIFSAFKRDPIFLKSPVEKIRVATDFFVNTLKLGRQILSEDPEFFTLKIDKSCRRRYDVFKLLESEKLLEGGVKIEEVLKMRDKEFLVKYVKKYVDKVPGLWETFNGRKQQQSLPGLTEQWGKAARCE >Potri.003G168000.1.v4.1 pep chromosome:Pop_tri_v4:3:17704388:17708765:-1 gene:Potri.003G168000.v4.1 transcript:Potri.003G168000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168000.v4.1 MAALYSTTCRSHSYSLLPSSSSSSSFHGQNHQRLNFSSYIAKRVLPAKVSFHSRRNYHLNVVLMQDGAVAAPATLVENETPFKKLKGGLLSPVPSTQEFKEAASFDVNKDESTVSITVVGASGDLAKKKIFPALFALYYEGCLPKHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCGEKMDQFLKRCFYHSGQYDSLENFAELDKKLKEHEGGRVSNRLFYLSIPPNIFIDAVKCTSSSASSSIGWTRVIVEKPFGRDSDSSAALTKALKQYLEEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRRYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVVGQYNSHTKGGVTYPAYIDDSTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHKKRAEIRVQFRHVPGSLYNQDFGNDLDLATNELVIRVQPDEAIDLKINNKVPGLGMRLDRSNLNLLYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKNVIPEYYPYGSRGPVGAHYLAARYNVRWGDLSIEQ >Potri.004G228300.1.v4.1 pep chromosome:Pop_tri_v4:4:23136907:23144092:1 gene:Potri.004G228300.v4.1 transcript:Potri.004G228300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228300.v4.1 MEDYSNREKNDLEIDDDKEHSVSMLREPFLVRNRKNNTSQIAIVGANTCPIESLDYEIAENELLKQDWRSRKKAEIFQYVVLKWTLALLIGLGTGLVGFFNNLAIENIAGFKLLVTNNLMLKEMYYQAFATYAGCNVVLAIAAAALCAYVAPAAAGSGIPEVKAYLNGVDAPSILAPATLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIASFLGQGGSRKYHLTWKWLRYFKNDRDRRDLITCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRSLIEFCRTGKCGLFGQGGLIMFDVNSTKATYSTPDLLAVMFLGVIGGVFGSFYNYCVDKVLRTYSIINERGPSFKILLVIVISLLTSCCSYGLPWLSQCIPCPPHLAEQCPTESRSGNFKNFQCPPNHYNNLASLFFNTNDDAIRILFTSGSEKEFDLSTLLVFFVAIFCLGIVTYGIAVPSGLFIPVILAGASYGRLVGTLLGPLSNLDVGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLMLPLMMLVLLISKSVADIFNKGVYDQIMKIKGLPYMETHAEPYMRHLIASDVVSGPLVSFSGVEKVGNILHVLRVTRHNGFPVIDEPPYSDAPELCGLVLRSHLLVLLKGKKFTKQRVKTGSDIVRGFKAHDFAKAGSGKGVKLEDLEITEEEMEMYIDLHPIANTSPYTVVESMSLAKAAVLFRELGLRHLCVVSKTPGMPPIVGILTRHDFTPEHVLGLYPHIKPHK >Potri.009G091600.2.v4.1 pep chromosome:Pop_tri_v4:9:8382052:8385555:1 gene:Potri.009G091600.v4.1 transcript:Potri.009G091600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091600.v4.1 MMLRSWYRPLERCFGRVAGGGGGDGLMWHADLKQHASGDYSIAVVQANSNLEDQSQVLTSSSATYVGVYDGHGGPEASRFVNKHLFPYMHKFATEHGGLSADVIRKAFNATEEEFCHLVKRSLPWKPQIASAGSCCLVGAISNDVLYVANLGDSRVVLGRGVDEDKKKKVVAERLSTDHNVAVEEVRKEVQALHPDDSHIVVYTRGVWRIKGIIQVKWLLVAEQLVFLFLFLLVSYENSADWVLFVCGIIHSFI >Potri.009G091600.1.v4.1 pep chromosome:Pop_tri_v4:9:8382232:8385607:1 gene:Potri.009G091600.v4.1 transcript:Potri.009G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091600.v4.1 MMLRSWYRPLERCFGRVAGGGGGDGLMWHADLKQHASGDYSIAVVQANSNLEDQSQVLTSSSATYVGVYDGHGGPEASRFVNKHLFPYMHKFATEHGGLSADVIRKAFNATEEEFCHLVKRSLPWKPQIASAGSCCLVGAISNDVLYVANLGDSRVVLGRGVDEDKKKKVVAERLSTDHNVAVEEVRKEVQALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEINRDPIFQQFGNPIPLKRPVMNPEPSILNRKLRPEDLFLIFASDGLWEQLTDEAAVEIVFKNPRAGIAKRLVRAAIQEAAKKREMRYDDIKKIKKGTRRHFHDDITVIVIYLHHQKGSSNGGLKNNVVGCTSAPVDIFSLNADQAEVDLLQTIS >Potri.017G071000.1.v4.1 pep chromosome:Pop_tri_v4:17:7704985:7708230:-1 gene:Potri.017G071000.v4.1 transcript:Potri.017G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071000.v4.1 MASGLPFGLPAPSASTMNQQLIRSDRSMITMSDDNVMMKRIVETHAPDGREVDVKPLLHLVEDILKRATLQTDTSLTTSQAHAESEDKTNHANFAVMLDSLSYTIDRISCEIAYKGGADGHATTVELFNMLASYSWDAKLVLTLAAFALNYGEFWLLAQIYSSNQLAKSMAILKQLPNILEHSGPLKPRFDALNNLIKVMMDVTRCVVEFKDLPPTYISHEVSALSAAMAHVPTAVYWTVRSVLACAAQITSLTTMGYEFSISTTKAWELSTLAHKLSNILEHLRRQLATCYQYIDEKRNVEAYQMLLNLFEMIHIDNMKVLKALIYAKDDIQPLIDGSNKRRVHLDVLRRKNVLLLISGLDISNDELAILEQIYNESSHHGTRLDSQYDLVWIPITDHSVQWTDPLKEKFESLQNSMPWYTVYHPSLIDKAAIRFIKEVWHFRNKPILVVLDPQGKVVSPNALHMMWIWGSNAFPFTSLREESLWREETWRLELLVDGIDPVILNWIKEEKYIFMYGGDDVEWVRKFTNTARAVAQAARIPLEMVYVGKSRKREQIRRVMGTINVEKLSYAWQDLTMIWFFWTRLESMLFSKIQLGKVDDHDPMMQAIKKLLSYDREGGWAVLSKGSSVVVNGHGTTVLPTLVEYDLWKEQVPVKGFDLAFQEHHGNLHDIVHPCSRFEFPMTAGRIPETLKCPECNRSMEKFTTFLCCHDVLLQ >Potri.007G124900.3.v4.1 pep chromosome:Pop_tri_v4:7:14113128:14119484:-1 gene:Potri.007G124900.v4.1 transcript:Potri.007G124900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124900.v4.1 MALEIHLVLLSYLIFIASLASTPVNSEQTQGPYFAFSWLSDNNTFQAGDVATIKIKVLGEFDRSKGNAFSPKITVNGKMGNSCFVSGVLLDVAGEDTDTWRILFTPIRVGVFNVFIEDGPFHVFDSSLHFEVKAGKIYASACIASWRDLENEFEAGAKATVLIVPRDAFGNNVTSTGQELRPFNFTVSELYENGSIANVPDITHIGWNEFGQIILEFIATKSGNLLLHVEGGNQTLNGCPLMYKVNPGPVDVSNCEATWKFETNVWQIFSKMETCIHQKDKYGNPVLGFYEFDTNVVEEEMNLSIPLADMSFTEVMPGIQLCSFSLLEPGNFLFTISDTKHNRSISNMPFSFNVFIGYADGSSSIVNGSGLNDSTAGEIAQFSIYLNDIFQYPSFVGVESIRVQIIRETDSYSVKPSINPIVNGNVSTPRAGNSYIRQAEIALAPSEIVPVSSVDLGKISTGNSKVLASAFNVIYTPEKSGIYEIYVFCGNVLLNGGHSFRKEVRAGEVNVSLSTIQKFSLRAPKMIENEMAVQLVDSFFNPVLSQRSRLTLEIASVNKSGFSSGMFVDNDNGTYCIRYVVKDGGTYEMCVSFDGKRLSPCPFGVNVYGVEYFPKAKDDNISVWEDESIAFDVLANDYFAGNNASIVELSKPDRGSLLQNGNLFRYTPYKDYYGNDSFTYTLSDVNGNLASASVIISVLNIPPQFISFPIQLQATEDVIGPRYGGFSAIVIKHSDPTEKICVTLSARSGTVFLSPVLMQFWQPIWGEFSAKKGDDAAKDLILEGGVEAINLALQSIQYLGSENFYGDDAIHVSASNKNGKNDMDVPVSVEPVNDPPVIKIPKFIILKSNEDESLIFDKAIDKFEFSVGDPDLLGYPGNESGFIVTFSVEVDKGVLVTSLAAELLKTTELKVMSSYQWQPIQTYVSISRHFMVRANGVRFRGPLNECNSVMQQLSYDGRESDAILTVKLNDMGHYGCSSDCTDKIAVPLHAEATVQLIRRRSMSSLLAHTLGSAILVEFLMVFSLGGILLFFTCKCAMHLANERRRISVKNSQLSSVQNSQKKSQYTDFSKDMTNFTCCCSSPFLLSGQTSNFRQRSNQRLRVEETGKNISSPPGSSSSHHLQTPPGLTPLVIEKDQK >Potri.007G124900.4.v4.1 pep chromosome:Pop_tri_v4:7:14113128:14119484:-1 gene:Potri.007G124900.v4.1 transcript:Potri.007G124900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124900.v4.1 MALEIHLVLLSYLIFIASLASTPVNSEQTQGPYFAFSWLSDNNTFQAGDVATIKIKVLGEFDRSKGNAFSPKITVNGKMGNSCFVSGVLLDVAGEDTDTWRILFTPIRVGVFNVFIEDGPFHVFDSSLHFEVKAGKIYASACIASWRDLENEFEAGAKATVLIVPRDAFGNNVTSTGQELRPFNFTVSELYENGSIANVPDITHIGWNEFGQIILEFIATKSGNLLLHVEGGNQTLNGCPLMYKVNPGPVDVSNCEATWKFETNVWQIFSKMETCIHQKDKYGNPVLGFYEFDTNVVEEEMNLSIPLADMSFTEVMPGIQLCSFSLLEPGNFLFTISDTKHNRSISNMPFSFNVFIGYADGSSSIVNGSGLNDSTAGEIAQFSIYLNDIFQYPSFVGVESIRVQIIRETDSYSVKPSINPIVNGNVSTPRAGNSYIRQAEIALAPSEIVPVSSVDLGKISTGNSKVLASAFNVIYTPEKSGIYEIYVFCGNVLLNGGHSFRKEVRAGEVNVSLSTIQKFSLRAPKMIENEMAVQLVDSFFNPVLSQRSRLTLEIASVNKSGFSSGMFVDNDNGTYCIRYVVKDGGTYEMCVSFDGKRLSPCPFGVNVYGVEYFPKAKDDNISVWEDESIAFDVLANDYFAGNNASIVELSKPDRGSLLQNGNLFRYTPYKDYYGNDSFTYTLSDVNGNLASASVIISVLNIPPQFISFPIQLQATEDVIGPRYGGFSAIVIKHSDPTEKICVTLSARSGTVFLSPVLMQFWQPIWGEFSAKKGDDAAKDLILEGGVEAINLALQSIQYLGSENFYGDDAIHVSASNKNGKNDMDVPVSVEPVNDPPVIKIPKFIILKSNEDESLIFDKAIDKFEFSVGDPDLLGYPGNESGFIVTFSVEVDKGVLVTSLAAELLKTTELKVMSSYQWQPIQTYVSISRHFMVRANGVRFRGPLNECNSVMQQLSYDGRESDAILTVKLNDMGHYGCSSDCTDKIAVPLHAEATVQLIRRRSMSSLLAHTLGSAILVEFLMVFSLGGILLFFTCKCAMHLANERRRISVKNSQLSSVQNSQKKSYTDFSKDMTNFTCCCSSPFLLSGQTSNFRQRSNQRLRVEETGKNISSPPGSSSSHHLQTPPGLTPLVIEKDQK >Potri.009G088900.3.v4.1 pep chromosome:Pop_tri_v4:9:8214163:8220639:1 gene:Potri.009G088900.v4.1 transcript:Potri.009G088900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G088900.v4.1 MVLKRLSLSLFLGFLFTSLIVPSSIARFVVEKNSLRVTSPDKIKGTYDSAIGNFGIPQYGGSMAGAVVYPKDNKKGCKEFEEFRISFQSKPGALPTFVLVDRGDCFFALKVWNAQKAGASAVLVADDMEEALITMDTPEEDVSSAKYIENITIPSALIEKSFGETLKKALSNGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFRGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVLENLRQLCVFKVANETKKPWVWWNYVTDFQIRCPMKEKRYNKECADAVIKSLGLDAKKIDKCMGDRNADSDNPVLKEEQNAQVGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAICSGFEETTEPAVCLSGDVETNECLDNNGGCWQDKTANITACRDTFRGRVCECPLVDGVQFKGDGYSHCEVSGSGRCKINNGGCWHKSRDGHTFSACLDVDGGKCQCPPGFKGDGVKSCVDVDECKERKACQCSACSCKNTWGSYECSCSGDLLYIRDHDTCISKSGTEVRSAWAAVWVILLGLAMAGGGGYLIYKYRLRSYMDSEIRAIMAQYMPLDSQAEVPNHVHDERT >Potri.001G334300.2.v4.1 pep chromosome:Pop_tri_v4:1:34256078:34264278:-1 gene:Potri.001G334300.v4.1 transcript:Potri.001G334300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334300.v4.1 MDILYAQIQADLRSNDALRQTGALLQALQQSAAGRDISILAKSAVEEIVASPASAVCKKLAFDLIRSSRLTADLWDTVLSGIRSDLHFPDPDVTAAAISILAALPSHALSKLITDSNAEISGCFDSQSDNLRFSITETLGCVLARDDLVTLCENNVNLLDKVSNWWVRMGGNMLDKSDAVSKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSNWVSSMVDFVWKRRNALMSRSLILPVETFRATVFPLVFSVKAVASGSVEVIRKLSKAGTGSGVNGSVVDSNAERLVGVSDVVTHLAPFLVSSLDPALIFEVGINMLYLADVPGGKPEWASQSIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLHMQVSLFKKLLLMVRNLRAESDRMHALACICRTALCVDLFAKESVRRGQKPLAGTDIASLFEDARIRDDLNNVRSKSLFREELVASLVESCFQLSLPLPEQKSSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCNGRTYAVDCYLKLLVRLCHIYDTRGGVKTIKDGASQDQILNETRLQNLQRELVKDLREVNTPRICARLIWAIAEHINLEGLDPLLADDPDDPLNIIISNIHKVLFNVDSSANTSNRLQDVQAVLLSAQRLGSRSLRAGQLLSKELEEFRNNGLADSVNKHQCRLILQRIKYVQNHPDSRWTGVSEARGDYPFSHHKLTVQFYEAAAAQDRKLEGLVHRAILELWRPDPSELTILLTKGIDSPLLKLQPTAHTLTGSSDPCYVEAYHLADSGDGRITLHLKVLNLTELELDRVDIRVGLSGGLYFMDGSTQAVRQLRNLVSQDPVLCSVTLGVSHFERCALWVQVLYYPFSGRGAINDGDYAEEDQQIMKQKRSSRPELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAVVEYTGTYIYEGSGFKATAAQQYGSSPFLGGLKSLSSKPFHRVCSHIIRTVAGFQLCYAAKTWYGGFLGMMIFGASEVSRNVDLGDETTTMICKFVVRASDASITKEIEADLQSWLDDLADGGVEYMPEDEVKEAAAERLRISMERVALFKAAQPPPKTPKSDDEEEEEKEDDEEKKENENDGDKKEHKEDGKKPKGTLSKLTAEEVEHMALQTAVLQEWHVLCKERSSTVN >Potri.016G036600.1.v4.1 pep chromosome:Pop_tri_v4:16:2184019:2184897:1 gene:Potri.016G036600.v4.1 transcript:Potri.016G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036600.v4.1 MDNYHIDLVGGGVQERKGTKIAKTKKKPMKVVYISNPMKFKISASGFRALVQELTGQDSELPDPTKIVDDDDHGVGGNYRTVSNASKTVVDDHCALEVPTKDPSQEQPPARQDAPFGSFDDVFMPQMLENVAGIMPSNSWYEAYSYGYGEKPCSII >Potri.007G030400.1.v4.1 pep chromosome:Pop_tri_v4:7:2310959:2312738:-1 gene:Potri.007G030400.v4.1 transcript:Potri.007G030400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030400.v4.1 MQNTKPHAALLASPGMGHLIPVLELGKRLVTYHGFHVTLFVVATDASTTQSLLKEPYPDINIITLPLVDISGLIDPAATVVTKLAVMMRETLPSLRSAILALKSPPTALIVDLFGTEAFAVAEEFNMLKYVFDTSNAWFFAITIYFPTIDRNLEDKHVIQKQPLRIPGCKSVRFEDTLGAYLDRNDQMYIEYKRIGIEMPMADGILMNTWEDLEPTTLGALRDFQMLGRVAKAPVYPIGPLARPVGPSVPRNQVLNWLDNQPNESVIYVSFGSGGTLSTEQMAELAWGLELSKQRFVWVVRPPIDNDAAGAFFNLDDGSEGIPSFLPEGFLARTREVGLVVPLWAPQVEILAHPSVGGFLSHCGWNSTLESITNGVPMIAWPLYAEQKMNATILTEELGVAVQPKTLASERVVVRAEIEMMVRKIMEDEEGFGIRKRVNELKHSGEKALSSKGGSSYNSLSQIAKQCELSLHFQKAKAQGA >Potri.010G236890.1.v4.1 pep chromosome:Pop_tri_v4:10:21694910:21696543:1 gene:Potri.010G236890.v4.1 transcript:Potri.010G236890.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236890.v4.1 MAVKVAAAFIFMLFLLSTACQAKLSSAFYDKSCPKAESAIRTAIRTAIARERRMAASLIRLHFHDCFVQGCDASILLDETSSIQSEKTAGGNNNSVRGYEVIDKAKSKVEKICPGVVSCADIIAVAARDASAYVGGPSWAVKFGRRDSTTASRTLANAELPAFFDRLDRLISRFQKKGLTARDMVALSGSHTLGQAQCFTFRDRIYNASNIDAGFASTRKRRCPRAGGQANLAPLDLVTPNSFDNNYFKNLMRNKGLLQSDQVLFNGGSTDSIVSEYSRNPAKFSSDFASAMIKMGDIRPLTGSAGQIRRICSAVN >Potri.014G159100.4.v4.1 pep chromosome:Pop_tri_v4:14:11282035:11286246:-1 gene:Potri.014G159100.v4.1 transcript:Potri.014G159100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159100.v4.1 MKIDHNSTTSATNGGDTTVFRTIEVAVFDRRDLSPPPVVASPSPVVGDELNLIPPLNFAMVDNGIFRSGFPDSANFAFLQTLGLRSIICLCPEPYPEATTEFLKDGGIRLYQFGIEGYKEPFVNIPEDTIREALKVVLDAKNHPVLIHCKRGKHRTGCLVGCLRKLQKWCLSSIFDEYQRFAAAKARVSDQRFMELFDVSTLKHMPMSFSCSKRKGGEFLDPFLRQKE >Potri.013G097500.1.v4.1 pep chromosome:Pop_tri_v4:13:10206589:10207309:-1 gene:Potri.013G097500.v4.1 transcript:Potri.013G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097500.v4.1 MWCSSYLFNHFLRLIHASSTVTGARCVATLLHEMKRRGRDCRFGVVSMCIGTGMGAAAVFERGGWL >Potri.007G100200.1.v4.1 pep chromosome:Pop_tri_v4:7:12479725:12489510:-1 gene:Potri.007G100200.v4.1 transcript:Potri.007G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100200.v4.1 MKSKMVEDEGAASGSEVSGPIRQVILISAGASHSVALLSGNIVCSWGRGEDGQLGHGDAEDRPTPTQLSTLDGLDIISITCGADHTTSYSESRMEVYSWGWGDFGRLGHGNSSDLFTPQPIKALHSLKIRQIACGDSHCLAVTMDGEVQSWGRNQNGQLGLGTTEDSLVPQKIQAFQGVSIKMVAAGAEHTAAVTEDGELYGWGWGRYGNLGLGDRNDRLVPEKVSLVNGDKMIMVACGWRHTISVSSSGGLYTYGWSKYGQLGHGDFEDHLTPHKVEALRDSYISMISGGWRHTMALTSDGNLYGWGWNKFGQVGVGDNIDHCSPVQVKFPHEQKVVQISCGWRHTLAITERQNVFSWGRGTNGQLGHGESMDRNLPKIIEVLSADGSGGHQIEASTVDPSLGKSWVSPSDRYAIVPDESGQAVSVGGNGNDASVPESDVKRIRI >Potri.001G139900.1.v4.1 pep chromosome:Pop_tri_v4:1:11439864:11441810:1 gene:Potri.001G139900.v4.1 transcript:Potri.001G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139900.v4.1 MVRSPRSHGSDFKKGPWTPEEDEKLVDYIKRNGHENWKALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEERVIVNLHSVLGNKWSRIANHLPGRTDNEIKNFWNTHIRKKLLQMGIDPNTHKPRTDLDHFLNLSQFLGAAQFGNTADPLDNFLQLQADATQLANIQLLRNLLQIMNTNTLTNLENNSLLGSQNPNLFEGLGNGATICNTKEPFNPVSQDLFNPLATPQAPPNDFQAISNLWASYEGGFGPEGLNINNNSLSSSYGTQTDQNPLPALVSASTSPGTSIVNQKESKGNPSNYSTGTPTYTVFEGWEKLIDDDYYSSYWKDILE >Potri.012G120768.1.v4.1 pep chromosome:Pop_tri_v4:12:13845919:13847395:1 gene:Potri.012G120768.v4.1 transcript:Potri.012G120768.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120768.v4.1 MSDDSNKYQDSEGVYPPKQEVRSPDKKRCCTFRTVTQGYYEAGPYVAPPPVNDPMKYGPQHLQQPPPPERTSQRDDDFGTGCCCC >Potri.010G205550.1.v4.1 pep chromosome:Pop_tri_v4:10:19643049:19648280:1 gene:Potri.010G205550.v4.1 transcript:Potri.010G205550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G205550.v4.1 MVTVEADSDAKRLIGRRFIDVSVQSGIRFGLSRSFLRSVLLLRNIPSKILVKMREIVEAYLGATVKNAVVTVPAYFSHSQRQATKEAGALAGLNVLRIVTEPIVDAMAYGFDMNTVDFSEKHVLIFYLGGEHKVEVRGNTGDSHLRDDDFDNRLVNLSSQKESLVFCPNNIVIDLFYEGIDFYSIITGARFEELNTDFFRMYLQLVNKCLRDGKVNKNSIDDVVLISDSSRIPEVQQLLQYFYYGKDLCKSINTDEAVAYVLMVLLFRLSILSDKSIEVVDDMLLLDVSPLSLGLEIVGGVMDVFIAMNTMLPTLKDGIFSTYSNNQPTVLIKICEGERTQTRDDNLLGTLELSAEDVTSGQKKTITISNDSSRWSKEEIKRMVSEDEIELENYAYNMRITIRDEKRSSKLATCDKSKIEGGTDQAMKLQDGNQLAWKLRCICNPIIIKISMDDGAGMGRLMEEEVIRIKNSNCQGAN >Potri.017G051300.1.v4.1 pep chromosome:Pop_tri_v4:17:3689586:3692817:1 gene:Potri.017G051300.v4.1 transcript:Potri.017G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051300.v4.1 MASFLQPSTQPNESGPTASMKSSEIQTALEVETISKNAVNDSAKSTPGVFLTWDDLWVTVPNRKHGSKPILQGLTGYAQPGELLAIMGPSGCGKSTLLDALAGRLGSNARQVGEILINGHKQALAYGTSAYVTQDDTLVTTLTVREAVYYSAQLQLPDSMSKLEKMERAEMTIREMGLQDAMNTRIGGWGVKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMRGIARLDHKDGVRRTVIASIHQPSSEVFQLFSNLCLLSSGQTVYFGPASAANEFFALNSFPCPPLQNPSDHFLNTINKDFEKDLEQGLDDAIPTEEVINILVKSYKSSDYWQQVQVKVEEICKEHFEALESRRDHAGFLTQSLVLTKRSFVNMFRDLGYYWLRLAIYVGLGYGLSSIYHDLGSSYGSIQARGSLLMFVSTFLTFMAIGGFPSFVEEMKVFERERLNGHYGATSFAIGNTFSAIPFLVLISLIPGAIAYYPSGLHKGYEHFLYFASTLFACLLLVESMMMAVASIVPNFLMGIITGAGIQGLMVLGGGFFRLPDDLPKPFWKYPLYYVAFHRYAYQGMFKNEFEGLKFTNYQGNGLIKGEEILRDFWQMEMGSSKWVDLAILLGMVVFYRLLFLAIIKTTETIKPIIPGLMSYVNPKQTFQIMEN >Potri.008G094700.4.v4.1 pep chromosome:Pop_tri_v4:8:5914360:5917597:-1 gene:Potri.008G094700.v4.1 transcript:Potri.008G094700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094700.v4.1 MPGLAMDVLNGGEYRRGDDDTIGDCMSYKGSDCSHRMIRSPRSTLSPHSPCSDSIDLAIHGIVDTSIDHLYHNVYRMQCSDPSPSRASFLSYGEESRIDSELRHLVGDIEETEITKEVTISENIEGDCVAGFTASNDDYNGSTDQKKAKSQAASLKKQLFRMESESGASARWNPKSKSSHEKPPTDRQMLDKKIRRPNAVFAVKKQGSFASVREKFRNGIDQHLLQTAGLDNPDLGPFLLKLTRDMISSGENPQKTLEFSLRATKSFEVCANGKPNLEHVMSLHVLAAIYCSLGQYNEAIPVLERSIEIPVIEDGPNHALAKFAGCMQLGDTYAMLGQNENSTLCYSAGLEIQRQVLGETDPRVGETCRYVAEAHVQALQFDDAEKLCQIALDIHGEKGGPAATLEEAADRRLMGIICEAKGNYESALEHYVLASMAMAANGQDMDVASIDCSIGDAYLSLARYDEAIFTYQKALTVFKSRKGENHPAVASVFVRLADLYNKIGRFRDSKSYCENALRIYEKPRPAGGVWPSEEIIASGFIDVSALYQSMNEYDRALKLLKKALKIYGTDAPGQQNTVAGIEAQMGVIYYMMGNYADSYRTFKSAIAKFRASGERKSALFGIALNQMGLACVQCYAINEAADLFKEARSILEKEYGPHHPDTLGVYSNLAGTYDAMGRLDDAIEILDYVVGMREEKLGTANPDVDDEKQRLVELLKEAGRVRNRKSKSLVTLLDANFQIIMDDDLEVS >Potri.008G094700.7.v4.1 pep chromosome:Pop_tri_v4:8:5914318:5917668:-1 gene:Potri.008G094700.v4.1 transcript:Potri.008G094700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094700.v4.1 MPGLAMDVLNGGEYRRGDDDTIGDCMSYKGSDCSHRMIRSPRSTLSPHSPCSDSIDLAIHGIVDTSIDHLYHNVYRMQCSDPSPSRASFLSYGEESRIDSELRHLVGDIEETEITKEVTISENIEGDCVAGFTASNDDYNGSTDQKKAKSQAASLKKQLFRMESESGASARWNPKSKSSHEKPPTDRQMLDKKIRRPNAVFAVKKQGSFASVREKFRNGIDQHLLQTAGLDNPDLGPFLLKLTRDMISSGENPQKTLEFSLRATKSFEVCANGKPNLEHVMSLHVLAAIYCSLGQYNEAIPVLERSIEIPVIEDGPNHALAKFAGCMQLGDTYAMLGQNENSTLCYSAGLEIQRQVLGETDPRVGETCRYVAEAHVQALQFDDAEKLCQIALDIHGEKGGPAATLEEAADRRLMGIICEAKGNYESALEHYVLASMAMAANGQDMDVASIDCSIGDAYLSLARYDEAIFTYQKALTVFKSRKGENHPAVASVFVRLADLYNKIGRFRDSKSYCENALRIYEKPRPAGGVWPSEEIIASGFIDVSALYQSMNEYDRALKLLKKALKIYGTDAPGQQNTVAGIEAQMGVIYYMMGNYADSYRTFKSAIAKFRASGERKSALFGIALNQMGLACVQCYAINEAADLFKEARSILEKEYGPHHPDTLGVYSNLAGTYDAMGRLDDAIEILDYVVGMREEKLGTANPDVDDEKQRLVELLKEAGRVRNRKSKSLVTLLDANFQIIMDDDLEVS >Potri.008G094700.5.v4.1 pep chromosome:Pop_tri_v4:8:5914356:5917598:-1 gene:Potri.008G094700.v4.1 transcript:Potri.008G094700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094700.v4.1 MPGLAMDVLNGGEYRRGDDDTIGDCMSYKGSDCSHRMIRSPRSTLSPHSPCSDSIDLAIHGIVDTSIDHLYHNVYRMQCSDPSPSRASFLSYGEESRIDSELRHLVGDIEETEITKEVTISENIEGDCVAGFTASNDDYNGSTDQKKAKSQAASLKKQLFRMESESGASARWNPKSKSSHEKPPTDRQMLDKKIRRPNAVFAVKKQGSFASVREKFRNGIDQHLLQTAGLDNPDLGPFLLKLTRDMISSGENPQKTLEFSLRATKSFEVCANGKPNLEHVMSLHVLAAIYCSLGQYNEAIPVLERSIEIPVIEDGPNHALAKFAGCMQLGDTYAMLGQNENSTLCYSAGLEIQRQVLGETDPRVGETCRYVAEAHVQALQFDDAEKLCQIALDIHGEKGGPAATLEEAADRRLMGIICEAKGNYESALEHYVLASMAMAANGQDMDVASIDCSIGDAYLSLARYDEAIFTYQKALTVFKSRKGENHPAVASVFVRLADLYNKIGRFRDSKSYCENALRIYEKPRPAGGVWPSEEIIASGFIDVSALYQSMNEYDRALKLLKKALKIYGTDAPGQQNTVAGIEAQMGVIYYMMGNYADSYRTFKSAIAKFRASGERKSALFGIALNQMGLACVQCYAINEAADLFKEARSILEKEYGPHHPDTLGVYSNLAGTYDAMGRLDDAIEILDYVVGMREEKLGTANPDVDDEKQRLVELLKEAGRVRNRKSKSLVTLLDANFQIIMDDDLEVS >Potri.008G094700.6.v4.1 pep chromosome:Pop_tri_v4:8:5914256:5917699:-1 gene:Potri.008G094700.v4.1 transcript:Potri.008G094700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094700.v4.1 MPGLAMDVLNGGEYRRGDDDTIGDCMSYKGSDCSHRMIRSPRSTLSPHSPCSDSIDLAIHGIVDTSIDHLYHNVYRMQCSDPSPSRASFLSYGEESRIDSELRHLVGDIEETEITKEVTISENIEGDCVAGFTASNDDYNGSTDQKKAKSQAASLKKQLFRMESESGASARWNPKSKSSHEKPPTDRQMLDKKIRRPNAVFAVKKQGSFASVREKFRNGIDQHLLQTAGLDNPDLGPFLLKLTRDMISSGENPQKTLEFSLRATKSFEVCANGKPNLEHVMSLHVLAAIYCSLGQYNEAIPVLERSIEIPVIEDGPNHALAKFAGCMQLGDTYAMLGQNENSTLCYSAGLEIQRQVLGETDPRVGETCRYVAEAHVQALQFDDAEKLCQIALDIHGEKGGPAATLEEAADRRLMGIICEAKGNYESALEHYVLASMAMAANGQDMDVASIDCSIGDAYLSLARYDEAIFTYQKALTVFKSRKGENHPAVASVFVRLADLYNKIGRFRDSKSYCENALRIYEKPRPAGGVWPSEEIIASGFIDVSALYQSMNEYDRALKLLKKALKIYGTDAPGQQNTVAGIEAQMGVIYYMMGNYADSYRTFKSAIAKFRASGERKSALFGIALNQMGLACVQCYAINEAADLFKEARSILEKEYGPHHPDTLGVYSNLAGTYDAMGRLDDAIEILDYVVGMREEKLGTANPDVDDEKQRLVELLKEAGRVRNRKSKSLVTLLDANFQIIMDDDLEVS >Potri.005G134875.1.v4.1 pep chromosome:Pop_tri_v4:5:10392776:10393414:1 gene:Potri.005G134875.v4.1 transcript:Potri.005G134875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134875.v4.1 MKQKVLFIFDEGNVSLTVKKHFFQLTFCSRSYFWLSTAFKLHYPICERVPVPQITKEPIHKCRKDYFSDKHAHRHKQQTKNSTHK >Potri.015G016375.1.v4.1 pep chromosome:Pop_tri_v4:15:1120217:1121256:-1 gene:Potri.015G016375.v4.1 transcript:Potri.015G016375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016375.v4.1 MAWITELGFFQADEVVFEAIVKDSNSPLYNIKVVFGKLISTQAKRRGMRAVEVLKKLVSPGRGSFTLAHGYHGSFSLRHWLQQSDWLPTLEANLALDEESIRRVGDDTVGGPAVYRQLRITRILMRDLLIGVSKSRTFRYRVEAGECAYKPSG >Potri.001G191000.1.v4.1 pep chromosome:Pop_tri_v4:1:17438545:17440839:-1 gene:Potri.001G191000.v4.1 transcript:Potri.001G191000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191000.v4.1 MRHSTEQQNTMASLILQIFFLAVILATSQGSPLSYYPLRIHLLRSKWGSGDHHIPGMSCLSWRLAVETNNVIGWSTVPEECEDYVGHYMLGSQYREDSSVITDEAFAHAKTFKLAGDGKDIWVFDVDETTLSNLPYYAKHGFGAEPYNSTAFNQWVFTGKALALPESLKLYRNLLSIGIKVVFLTGRTEDQRAVTSNNLKNAGYHIWEKLILKSSSYSGKTAVFYKSSERAKLEKKGYRIIGNIGDQWSDLLGTSVGNRTFKLPDPMYYIS >Potri.014G117100.1.v4.1 pep chromosome:Pop_tri_v4:14:7876502:7879110:1 gene:Potri.014G117100.v4.1 transcript:Potri.014G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117100.v4.1 MASKSHFYLNTFFFLLLSIFCLKSSSWSLLDTERDYSRWVSWNGNNHQKRAMLMAKSTVQTPGADGKLVLDGKLRQAEMNSVRVTVSQDGNGEFKTIKEAINSIPPYNTRRVIIAIKPGVYREKIFIPRTFPFVTFLGDSSEPPTITGNDTASVSGKDGKPLRTYQSATVAVDANYFVAISMKFENTAPHVIGTKQEQAVALRISGTKAAFYNCSFFGDQDTLYDHKGLHYFNNCFIQGSVDFIFGSGRSFYENCHLNSVAKKVASLTAQKRSNSSLASGFSFKDSTITGSGLIYLGRAWGDYSRVIFSYTFMDKIILPQGWNDWGDQRRDSRVYYGEYKCTGPGANLTGRVAWARVLTDEEARPFIGTYYVEGDTWLISP >Potri.004G023876.1.v4.1 pep chromosome:Pop_tri_v4:4:1697811:1701046:1 gene:Potri.004G023876.v4.1 transcript:Potri.004G023876.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023876.v4.1 MFIQNIIMTRHALLAMCLLIVLLSMLFPIVLADPLLKICSTTEYGDYDQKSPFGKNVKIVLETLPSITSSTGYNSTAIGEFPDKVTGKALCRGDITSSACQTCLRDASQKLLKDCESKEAIIWYERCQIHYSFQNITSLDVYAGKYPDMESHNKSVSDPVHFYDNVRFLVDNLSNEAALNRSKLMFETGEIKFSRNETIYGLVQCTRDIREDECQKCLSSALIDLKGCCSSKQGGIIVSRNCNVRFELYKYYNTSSHLITFPTPKGRSNWKMVAIIVFIPTMVLTIVIGSSIFCLRRKRRRQRDMERSHLTLLKESASPIGFTTTEEGQLVSSEDLPFMDLNTIRAATDNFSDSNKLGQGGFGNVYKGVLTNVKEIAVKRLSIKSWQGLEEFKNEFILIEKLQHRNLVRLLGCGMEGEEKLLIYEFMPNKSLDIFIFDAERRAQLDWETYYNIISGIARGLLYLHEDSRLRIIHRDLKPSNVLLDHEMVAKISDFGMARIFGENQNKANTRRVVGTFGYMAPEYAMEGIFSVKSDVFSFGVILLEIISGKRSSGFYLTEHGQTLLAYAWRLWIEGKAMEFADPLLVERSPAEGILRCMHIGLLCVQKDPADRPTMSFVDLALASDPIALPQSQQPAFSLVKIVPADKSSSTDRSVNQMTVSSFLPR >Potri.005G144900.1.v4.1 pep chromosome:Pop_tri_v4:5:11756783:11759009:-1 gene:Potri.005G144900.v4.1 transcript:Potri.005G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144900.v4.1 MDRNGMLGLALLCIIIAGVGGQAPAATPTSTPATPTTPAVPVAAPTKPTTPAPVSSPPAATPAASPPKQTVSVPVAAPLATPPPSATPVSSPPTPVPVSSPPAKSPPSPEPVASPTSAPPASTPVAPPTAEVPAPSHSKKKPKKHQAPAPGPALLSPPAPPTEAPGPSAESVSPGPSLSDNSGAETIRCLQKMAGGLALGWGLLALIF >Potri.006G168300.1.v4.1 pep chromosome:Pop_tri_v4:6:16839445:16839997:1 gene:Potri.006G168300.v4.1 transcript:Potri.006G168300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G168300.v4.1 MNKTSEMLAPKPGGTIPTPPPFFSKPVALQFVKLQGHFHLRLMFSRLAFEAIISSIITVDSMMVEFHDSVRKHHYPSFTSENDFFFFFPFYFRVDVKKEYLVG >Potri.011G106800.1.v4.1 pep chromosome:Pop_tri_v4:11:13535689:13539563:-1 gene:Potri.011G106800.v4.1 transcript:Potri.011G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106800.v4.1 MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNFKLPKHCDNNEVLKALCNEAGWAVEPDGTTYRKGCKPAEHMDIIGGSATASPCSSYLPSPCASYNPSPGSSSFPSPVSSSYAANANLDDNSLLPWLKNLSSASSSKLPHLYIHGGSISAPVTPPLSSPTARTPRIKTGWEDQPIHPGWCGQHYLPSSTPPSPGRQIVPDPGWFAGIRLPQGGPTSPTFSLVASNPFGFKEEALAGGGSRMWTPGQSGTCSPAIAAGSDQTADIPMAEVISDEFAFRCNATGLVKPWEGERIHEECGSDDLELTLGNSRTR >Potri.001G342000.5.v4.1 pep chromosome:Pop_tri_v4:1:35268582:35278283:-1 gene:Potri.001G342000.v4.1 transcript:Potri.001G342000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342000.v4.1 MEATDKSSKQSSGNVPPLPRDSRGSLEVFNPSSAYLNRPTNPAFRSSNPTWKSWVDSSAKNEPEPEEAPITTSWMALKDPVPTPPPLPQSQQEEKKPKQQLSGEIGVATKRAAEWGLVLKTDDETGKPQGVSVRTSGGDDPNAKPGTSRRDSNNSVRNSGELSDDGGTSNNSNIPRVSEDIRNALSTFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALRGEGTYCGRLLNYKKDGSPFWNLLTIAPIKDDSGKVLKFIGMLVEVSKHTEGSKDKTLRPNGLPGSLIRYDARQKEMATSSVTELVQAVNRPRALSESTNRPLMRKSEGGGEGERKGAIGRRNSENVAPNRRNSHGGTRNSMQRISELPEKKPRKSSRLSFMGLMRKSTHSNDESFDVGITLDDDFESDDDDDDARLDSLDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPRTNSIPEATAIESEQLVKQTAENVDDAARELPDANMRPEDLWANHSKVVYPKPHRKDSPSWKAIQKILESGEQLGLKHFRPVKPLGSGDTGSVHLVELYGTGQFFAMKTMDKAAMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFLLLDRQPKKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENVLLQSNGHVALTDFDLSCLTSCKPQLLIPSTNEKKRHRKHQQAPPVFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSIPVSLNAKQLMYRLLHRDPKNRLGSREGANDIKRHPFFKGVNWALVRCLNPPELEAPFLESGEEKEAKVVDPGMQDLQTNIF >Potri.001G342000.1.v4.1 pep chromosome:Pop_tri_v4:1:35268577:35280049:-1 gene:Potri.001G342000.v4.1 transcript:Potri.001G342000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342000.v4.1 MEATDKSSKQSSGNVPPLPRDSRGSLEVFNPSSAYLNRPTNPAFRSSNPTWKSWVDSSAKNEPEPEEAPITTSWMALKDPVPTPPPLPQSQQEEKKPKQQLSGEIGVATKRAAEWGLVLKTDDETGKPQGVSVRTSGGDDPNAKPGTSRRDSNNSVRNSGELSDDGGTSNNSNIPRVSEDIRNALSTFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALRGEGTYCGRLLNYKKDGSPFWNLLTIAPIKDDSGKVLKFIGMLVEVSKHTEGSKDKTLRPNGLPGSLIRYDARQKEMATSSVTELVQAVNRPRALSESTNRPLMRKSEGGGEGERKGAIGRRNSENVAPNRRNSHGGTRNSMQRISELPEKKPRKSSRLSFMGLMRKSTHSNDESFDVGITLDDDFESDDDDDDARLDSLDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPRTNSIPEATAIESEQLVKQTAENVDDAARELPDANMRPEDLWANHSKVVYPKPHRKDSPSWKAIQKILESGEQLGLKHFRPVKPLGSGDTGSVHLVELYGTGQFFAMKTMDKAAMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFLLLDRQPKKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENVLLQSNGHVALTDFDLSCLTSCKPQLLIPSTNEKKRHRKHQQAPPVFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSIPVSLNAKQLMYRLLHRDPKNRLGSREGANDIKRHPFFKGVNWALVRCLNPPELEAPFLESGEEKEAKVVDPGMQDLQTNIF >Potri.001G342000.4.v4.1 pep chromosome:Pop_tri_v4:1:35268593:35278575:-1 gene:Potri.001G342000.v4.1 transcript:Potri.001G342000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342000.v4.1 MEATDKSSKQSSGNVPPLPRDSRGSLEVFNPSSAYLNRPTNPAFRSSNPTWKSWVDSSAKNEPEPEEAPITTSWMALKDPVPTPPPLPQSQQEEKKPKQQLSGEIGVATKRAAEWGLVLKTDDETGKPQGVSVRTSGGDDPNAKPGTSRRDSNNSVRNSGELSDDGGTSNNSNIPRVSEDIRNALSTFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALRGEGTYCGRLLNYKKDGSPFWNLLTIAPIKDDSGKVLKFIGMLVEVSKHTEGSKDKTLRPNGLPGSLIRYDARQKEMATSSVTELVQAVNRPRALSESTNRPLMRKSEGGGEGERKGAIGRRNSENVAPNRRNSHGGTRNSMQRISELPEKKPRKSSRLSFMGLMRKSTHSNDESFDVGITLDDDFESDDDDDDARLDSLDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPRTNSIPEATAIESEQLVKQTAENVDDAARELPDANMRPEDLWANHSKVVYPKPHRKDSPSWKAIQKILESGEQLGLKHFRPVKPLGSGDTGSVHLVELYGTGQFFAMKTMDKAAMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFLLLDRQPKKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENVLLQSNGHVALTDFDLSCLTSCKPQLLIPSTNEKKRHRKHQQAPPVFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSIPVSLNAKQLMYRLLHRDPKNRLGSREGANDIKRHPFFKGVNWALVRCLNPPELEAPFLESGEEKEAKVVDPGMQDLQTNIF >Potri.001G342000.3.v4.1 pep chromosome:Pop_tri_v4:1:35268678:35280120:-1 gene:Potri.001G342000.v4.1 transcript:Potri.001G342000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342000.v4.1 MEATDKSSKQSSGNVPPLPRDSRGSLEVFNPSSAYLNRPTNPAFRSSNPTWKSWVDSSAKNEPEPEEAPITTSWMALKDPVPTPPPLPQSQQEEKKPKQQLSGEIGVATKRAAEWGLVLKTDDETGKPQGVSVRTSGGDDPNAKPGTSRRDSNNSVRNSGELSDDGGTSNNSNIPRVSEDIRNALSTFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALRGEGTYCGRLLNYKKDGSPFWNLLTIAPIKDDSGKVLKFIGMLVEVSKHTEGSKDKTLRPNGLPGSLIRYDARQKEMATSSVTELVQAVNRPRALSESTNRPLMRKSEGGGEGERKGAIGRRNSENVAPNRRNSHGGTRNSMQRISELPEKKPRKSSRLSFMGLMRKSTHSNDESFDVGITLDDDFESDDDDDDARLDSLDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPRTNSIPEATAIESEQLVKQTAENVDDAARELPDANMRPEDLWANHSKVVYPKPHRKDSPSWKAIQKILESGEQLGLKHFRPVKPLGSGDTGSVHLVELYGTGQFFAMKTMDKAAMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFLLLDRQPKKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENVLLQSNGHVALTDFDLSCLTSCKPQLLIPSTNEKKRHRKHQQAPPVFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSIPVSLNAKQLMYRLLHRDPKNRLGSREGANDIKRHPFFKGVNWALVRCLNPPELEAPFLESGEEKEAKVVDPGMQDLQTNIF >Potri.001G342000.6.v4.1 pep chromosome:Pop_tri_v4:1:35268580:35278255:-1 gene:Potri.001G342000.v4.1 transcript:Potri.001G342000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342000.v4.1 MEATDKSSKQSSGNVPPLPRDSRGSLEVFNPSSAYLNRPTNPAFRSSNPTWKSWVDSSAKNEPEPEEAPITTSWMALKDPVPTPPPLPQSQQEEKKPKQQLSGEIGVATKRAAEWGLVLKTDDETGKPQGVSVRTSGGDDPNAKPGTSRRDSNNSVRNSGELSDDGGTSNNSNIPRVSEDIRNALSTFQQTFVVSDATKPDYPILYASAGFFKMTGYTSKEVIGRNCRFLQGAGTDPEDVAKIREALRGEGTYCGRLLNYKKDGSPFWNLLTIAPIKDDSGKVLKFIGMLVEVSKHTEGSKDKTLRPNGLPGSLIRYDARQKEMATSSVTELVQAVNRPRALSESTNRPLMRKSEGGGEGERKGAIGRRNSENVAPNRRNSHGGTRNSMQRISELPEKKPRKSSRLSFMGLMRKSTHSNDESFDVGITLDDDFESDDDDDDARLDSLDDKVRKKEMRKGIDLATTLERIEKNFVITDPRLPDNPIIFASDSFLELTEYSREEILGRNCRFLQGPETDPATVRKIREAIDNQTDVTVQLINYTKSGKKFWNLFHLQPMRDQKGEVQYFIGVQLDGSEHVEPRTNSIPEATAIESEQLVKQTAENVDDAARELPDANMRPEDLWANHSKVVYPKPHRKDSPSWKAIQKILESGEQLGLKHFRPVKPLGSGDTGSVHLVELYGTGQFFAMKTMDKAAMLNRNKVHRACAEREILDMLDHPFLPALYASFQTKTHICLITDYCPGGELFLLLDRQPKKVLKEDAVRFYAAEVVIALEYLHCQGIIYRDLKPENVLLQSNGHVALTDFDLSCLTSCKPQLLIPSTNEKKRHRKHQQAPPVFMAEPMRASNSFVGTEEYIAPEIITGAGHTSAVDWWALGILLYEMLYGYTPFRGKTRQKTFANILHKDLKFPGSIPVSLNAKQLMYRLLHRDPKNRLGSREGANDIKRHPFFKGVNWALVRCLNPPELEAPFLESGEEKEAKVVDPGMQDLQTNIF >Potri.002G212800.1.v4.1 pep chromosome:Pop_tri_v4:2:19519062:19526078:1 gene:Potri.002G212800.v4.1 transcript:Potri.002G212800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G212800.v4.1 MDLYDDGNMILKEIQTLEGHTDRVWSLAWNPATATSPVFASCSGDKTVRIWEQTPSTRLWHCKAVLEETHTRTVRSCAWSPSGKLLATASFDATTSIWENISGDFECVSTLEGHENEVKSVSWNASGSFLATCSRDKTVWIWEVMPGNEFECASVLQGHTQDVKMVKWHPTMDVLFSCSYDNTVKVWAEDGTGDWHCVQTLGESNNGHSSTVWALSFNAEGDRMVTCSDDLTLKIWETDVGRMVLGDDHAPWNHLCTLSGYHDRTIFSVHWSREGIIASGAADDGLRFFLENKDGLVDGPSYKLLLKREKAHNMDINSVQWGPGETRLLASTSDDGKIKIWELATLT >Potri.002G185200.1.v4.1 pep chromosome:Pop_tri_v4:2:14694635:14698921:1 gene:Potri.002G185200.v4.1 transcript:Potri.002G185200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185200.v4.1 MASTSSMQMAHSPRLVIQGSYEQDFLNTSRPHKISTIRNARGGYSQTPTLNCLGRALTSRSHYASKFPIIRLPKAPYIKNQRMACANSMANDVDLQAKVTTKCFFDVEVGGELVGRIVMGLFGDVVPKTAENFRALCTGDKGYGYKGCSFHRIIKDFMIQGGDFTRGDGTGGKSIYGSSFEDESFSLKHVGPGVLSMANAGPNTNGSQFFICSVKTPWLDNRHVVFGHVIDGMDVVRKLESVETSRSDNPRKPCRVVNSGELPLDS >Potri.012G083200.1.v4.1 pep chromosome:Pop_tri_v4:12:10855590:10860778:1 gene:Potri.012G083200.v4.1 transcript:Potri.012G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083200.v4.1 MKKMDTSKYMDKQITELSQSQSLNFMGDDDKQEVQDGDHGFEFDTVRSLSQSHDKDLDGTSLTGWSSMDRIDSAKFSAEKVGASSNAALISEIDRKMKEHVDILLHSVECLSARVSQLESKTHQIEDVVDDIKELLELNQGKTDGKLREMKNILVEVQGGVQDLRDKQEIAEAQLQLAKLQMSKNNQQVEKQNVTGQAYEAPSSVSQQSHQLLPVPGASPQLLSPASSVTNILPQIHPRATAPATLVATAPQLPTHLLQNQTTGPSVPKQEPYNPLVVPTPDSTYQQYQVPPAQQLQPIPYNHQPYQPMPHFPQNSQLPQLPQVHPDSSMVNLQAHYPSSLHTEVPYSPPHSISQPPGRSPPLQQYNVGSTQQIYPQPPNRHYVESTLASLPAHAKTNIMDSYHYGASPCNNGSSSIKPSQPIPSPPVLAGESIYKQLPTARLLPNAIPSASNVDAGSGSGSGGSGNRIPVDDVVDKVATMGFRRDLVRATVRKLTDNGQSVDLNTVLDKLMNG >Potri.018G094050.1.v4.1 pep chromosome:Pop_tri_v4:18:11425518:11426412:1 gene:Potri.018G094050.v4.1 transcript:Potri.018G094050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094050.v4.1 MKTTVMLMLGRRQWQSQLVSVLLLYFSFLLFSVYLLFFTMFLPPCFVLFPPASPAPLPGFCLWFSYDFSPVPVVFFFASPRFLLRFFLVSDSLFCCLWFWRLVAEDCEDDGQCQFSSLRFRSLVFFFF >Potri.001G226750.1.v4.1 pep chromosome:Pop_tri_v4:1:24535017:24535184:1 gene:Potri.001G226750.v4.1 transcript:Potri.001G226750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226750.v4.1 MGRFSAIFSFFVSSSSSRVADEARNKTEKTKSKSKSSGAPIVVSYFPVNSSLSRL >Potri.008G037100.1.v4.1 pep chromosome:Pop_tri_v4:8:2044524:2048418:-1 gene:Potri.008G037100.v4.1 transcript:Potri.008G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037100.v4.1 MASCSLITPASSLASSSLRKLFSSRNSMATVGLLSFAPKTSSFILHSIKQRAYHESSFRVRSVAAPVEDVAGFDDMVAGTQRKYYMLGGKGGVGKTSCAASLAVKFANSGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVDGPEYPLFALEINPDKSREEFRSATQKSGGTGVKDFMEGMGLGMLVEQLGELKLGELLDTPPPGLDEAIAIAKVMQFLESPEYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKITSATSAIKSVFGQEQTSQQDASYKLERLRERMIKVRELFRDTDATEFVIVTIPTVMAISESSRLRASLKKENVPVKRLVVNQILPPSTTDCKFCAVKRKDQLRALDMIQNDPELSSLTLIQGPLVDVEIRGVPALKFLGDIIWK >Potri.010G090301.1.v4.1 pep chromosome:Pop_tri_v4:10:11540155:11540936:-1 gene:Potri.010G090301.v4.1 transcript:Potri.010G090301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090301.v4.1 MCWLLVVLAHFIKAIILFCRLRSSLWLQFKPHHIAAGAAYLAAKLLNFDLAFYQNIWQEFETTPAILQDVSEQLMELF >Potri.010G071500.4.v4.1 pep chromosome:Pop_tri_v4:10:9966644:9970015:-1 gene:Potri.010G071500.v4.1 transcript:Potri.010G071500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071500.v4.1 MAREQLQVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYYQPGSDTPGALPSNVSSAVNGVAFCGTLSGQLFFGWLGDKMGRKRVYGMTLMIMVICSIASGLSFGKNPTAVMSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGMVAIVISAAFKAQFPAPAFEVDPAGSTVPQADYAWRIIVMFGAIPAALTYYWRMKMPETARYTALVAKNAKQAASDMAKVLEVELESEQEKIEKMSQEKGNDFGLFSTQFARRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKPKTMNALEEVFKIARAQTLIALCSTVPGYWFTVAFIDRIGRFAIQLMGFFFMTVFMFALAIPYHHWTLPDHRIGFVVIYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKAGAIVGAFGFLYAADGIGVRKTLIVLGVINFLGMIFTFLVPESKGKSLEEMSGEGEQENGSTAESRQTVPV >Potri.007G120101.3.v4.1 pep chromosome:Pop_tri_v4:7:13813658:13814481:1 gene:Potri.007G120101.v4.1 transcript:Potri.007G120101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120101.v4.1 MPPLPFIFFFFFFCTSKSNNKMCYVGKATKIFIFIVTALVILGLVLVFGLLRHHLHKSQNCADDSCQSPNLPFPTPPLTVPTGLTPPSPMGFSPPSPPDSGSTPPPSPPDTGTTPLLLSPPPPPLPLPPPPLPPPAAPVTNGAPPPTNNPPSSTVLITPGPLHA >Potri.013G059700.1.v4.1 pep chromosome:Pop_tri_v4:13:4364471:4368431:-1 gene:Potri.013G059700.v4.1 transcript:Potri.013G059700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059700.v4.1 MGTCWFLRLVLILGLLGSCVHGLGVNWGTMAIRKLSPETVVQMLKDNGILKVKLFDADQTTMTALAGSGIEVMVAIPNDQLAVMGDYNRAKDWVKRNVTRYNFNGGITIKYVAVGNEPFLTSYNGSFLNTTFPALRNIQNALNDAGVGDSIKATVPLNADVYGSPDDQAYPSSGRFRSDINDIMTQIVQFLSQNGAPFTVNIYPFLSLYGNDDFPFDYAFFDGAPQPVVDKGTGIQYTNVFDANFDTLVSALKAAGHGDMPIVVGEVGWPTDGDKNANIGYAIRFYNGLIPRLVGNRGTPLRPGYIEVYLFGLLDEDAKSIAPGNFERHWGIFRYDGQPKFPLDLSGQNQNKFLAGARNVQYLPAKWCMFNPNAKDLSKLAENIDYACSRSDCTALGYGSSCNSLDSNGNASYAFNMYYQVQNQDEFACNFEGLATLTNQNISQGNCNFIIQIVASSSSSLTLSLMAFVTVLLTFLFL >Potri.005G103200.1.v4.1 pep chromosome:Pop_tri_v4:5:7481966:7484287:-1 gene:Potri.005G103200.v4.1 transcript:Potri.005G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G103200.v4.1 MEFDGLPGFRFHPTEEELLDFYLTKTVLGQDTADIIGFLNIYNHEPWDLPGLSKIGEREWYFLVHRESVLGRPRRTTEKGYWKATGSDRPIRCLMDPKRLLGHRKTMVFYRGRAPRGSKTDWVMNEYRLPSNCYLSKEIVLCKVYRKATSLKVLEQRAAIEEIVRAPNVTSLSPPMQENFSFYDPQKSFNKFLLEQNNVVHKVEGVTVIEEEEDNKIGYPSQIMGVGPIKEHFSELLSPKLVLDWTMDSLWPN >Potri.014G141000.2.v4.1 pep chromosome:Pop_tri_v4:14:9569953:9574342:-1 gene:Potri.014G141000.v4.1 transcript:Potri.014G141000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141000.v4.1 MADGHESDKNIEIWKIKKLIKALESARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFKPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFFINPATSQPNVAGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVEILIVWENLDINRYTLKNSATGEIIIKHLNKDQEADQNNFRDSATAAELEVQEKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDELSDGEVYEDSD >Potri.015G005200.1.v4.1 pep chromosome:Pop_tri_v4:15:308929:311112:1 gene:Potri.015G005200.v4.1 transcript:Potri.015G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005200.v4.1 MLATANPLFSSSNSSFLLQATNPLPIKLQSLAAPFTTFSPHGKFTLANFAKASRFLAPVGFPLTKHLLVQCSCLELPSILQSEFGLIYSFFAEMGFNEKETGLLLEQNPALKSASFDSIRVHVLLLESVGIKGAELYHLIDKSPDVLTAKEIVPLIHFVLNDLEGKVEPAQLRRLLIATVPRFLAGFDEKVKLLIKRGIPQEKIVHVLNNVNLTKALSLKSIEEIEKTVTYLSRFGGVDLIVRRPMILNFDLDTQLIPRVELLKEISGGDEDATGIVLHKLPAILSYSVKHTGGHVELLRSFAGLTDPQIFKIFSVFPNVVSASKERKLRPRIEFLKQCGLSSDEIFKFLTKAPVFLGLSFEDNLVHKLVVLVKIGYENETKELAAAMGAASRTSCENLQNVIGLFLSYGLTYADILAMSKKHPQILQYKCGALEEKLEFLIEEMGRGVRELLSFPAFLGYNLDERIKHRYEVKKLTTGEGMSINKLLSVSDDRFLNQKQKKKPIPEGQSERMMKVG >Potri.008G118400.1.v4.1 pep chromosome:Pop_tri_v4:8:7601729:7603212:1 gene:Potri.008G118400.v4.1 transcript:Potri.008G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118400.v4.1 MGTRNVKDGLNLSLQIDKDCHQHGAVTEEIDGLIKVYEDEHVERPKIVPCVTSDLPHELGVTSRDVVIDKFTNIWARFYVSIKCHGKLPLLVYFHGGGFCVGSAAWSCYHDFLARLAAETSSIIMSVNYRLAPESPLPAAYDDGIKALMWLKQQALSVGADNWWTSQCNFSNIFLAGDSAGANIAYNIITRPGSFNAGQAAAAMKPLSLRGIVLIQPFFGGEARTNSEKYLVQSPRSALSLAASDTYWRLALPCGSNRDHPWCNPLAKGLDVELEDLLRFPIMVCISEMDILKDRSLEFVASLDRAGKMVEHVVHKGVGHAFQILSKSQLSRTRTLEMMSQIKDFISGI >Potri.001G461400.1.v4.1 pep chromosome:Pop_tri_v4:1:48683333:48685780:1 gene:Potri.001G461400.v4.1 transcript:Potri.001G461400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461400.v4.1 MTVEVKVEETKVAEVVVVPQEEPEKVVVVEERKNDVNGDVEVKEVDAADNDGPKTVQKSSSYKEESNFLSDLKEFERKALSELKLKLEEAILGNSLFKKEGAKKKEKEVEKEKEVEKPVEEEKEKEKETKEGEESGEQEGEKKEDVKPEGDNVKEKTVLECEEEKSEVVIEEKSEEIDRDISIWGVPLFPSKGSEGTDVVLLKFLRARDFKVNDALEMLKKTLQWRKESSIDSLLDEEIGVDLSSAFYMNGIDREGHPVCYNIYGVFENEELYAKAFGDEEKRKQFLRWRFQLMEKGIQKLDLRPGGIASLLQISDLKNSPSPSKKELRTAMSKAVTLLQDNYPEFVAKNIFINVPFWYYAFNALLSPFLAQRTKSKFVVVRPAKTTETLLKYVQAEEIPVQYGGFKRENDFEFSSEDGEVSELVIKAGSTETIEIPAAEVGATLLWDLTVVGWEVNYKEEFVPSDEASYTIIIQKGKKMSSNEEPTRNTFRNNEPGKVVLTIQNWSSKKKRVLYRYKTKKNASY >Potri.016G079700.1.v4.1 pep chromosome:Pop_tri_v4:16:6092761:6093469:-1 gene:Potri.016G079700.v4.1 transcript:Potri.016G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079700.v4.1 MGNCLVLQGNVIKIMKSDGKILEYQAPIKVQQVLSDFCDHAIADSLQAFQYLSPDTNLLGGHLYYLVPLQLPSPAKKKKVRFSIPEDQEVKDVQEKTSVVRIKLVISKQELVEMLRKGGVSVDDMVSQLHGQQRVQTVDIPDGVNTWKPVLESIPERSH >Potri.005G033400.1.v4.1 pep chromosome:Pop_tri_v4:5:2199733:2205545:-1 gene:Potri.005G033400.v4.1 transcript:Potri.005G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033400.v4.1 MQDFFRRSLAFRTPPQDHPDPDNPNQESNYCSSINPLTSTLVDKFNSCVRKSRIFSKPTSPSSPPMPPPIRYRKGELIGCGAFGHVYMGMNFDSGELLAIKQVSIAANGATREKAQAHIRELEEEVRLLQNLSHPNIVRYLGVVQEEETINILLEFVPGGSISSLLGKFGPFPEPVIRTYTKQLLLGLEYLHNNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATVSGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYIGSTKSHPEIPNHLIPEAKDFLLKCLHKEPNMRPEASKLLQHPFVTGEIGISDHVTHSPVMELSGIPLQSYTTHPETIQMPSAYDSMDVCNLGSLSCSMDPEKLSESKGALETQNSDDDMCRIDNDFSTSEVKLGPLLTSNDFNKSSDPKCELSGDWRCKYDENPELEQAGKKSDAGQPVQGDKNASSYCGASLSEDNEALTESKIRAFLAEKALELRKLQTPLYEEFYNNLNAPSSPSFGGSSRDETPPNYLKLPPKSRSPSQVPVGSPSTSTDAAVSTRSPGSNKRASNVGNASDQASEDNSSPRSNGRKGLPLDDQPETVNPSVRQRKWKEELDQELESKREMIRHAGSGSKTSSPRDRASGRQRERTRFASPSK >Potri.015G135400.2.v4.1 pep chromosome:Pop_tri_v4:15:14449476:14451740:-1 gene:Potri.015G135400.v4.1 transcript:Potri.015G135400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135400.v4.1 MAALSCHFHFTVHKVSKNVITRPFISCSMQPSQSNIKVVINGAAKEIGRAAVIAVTKARGMEVAGAVDSHFVGEDIGKLCDMEEPLEIPIINDLTMVLGSISQSKETGVVVDFTDPSTVYDNVKQATAFGMRSVVYVPRIKLDSVGALSAFCDKASMGCLIAPTLSIGSILLQQAAITASFHYNNAEIVESKANPIDFPSMDAIQISKNLSNLGQIYNREDISTDVVARGQVIGEDGVRVHSMVLPGLPSSTTVYFSGPGEVYSIKHDITDVQSLMPGLLLAIRKVIRLKNLVYGLEKFL >Potri.014G108200.1.v4.1 pep chromosome:Pop_tri_v4:14:7269593:7274647:-1 gene:Potri.014G108200.v4.1 transcript:Potri.014G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108200.v4.1 MVAVADSSADSDIGGQLNSGVNESNLLSGNGTIPRTNSGCGYLSSTTTAMTYEPCVSCTTFNILAPIYKRLDQKNQSLRESNFRAVWLSRNQKILNWLLLERSSIICLQEFWVGNEELVHMYQQRLGDAGYVTFQLARTNNRGDGLLTAVRKDYFTILNYRELLFNDCGDRVAQLLHVQLAFPFSQNRKGNAQQEFLIVNTHLLFPHDSCLSVVRLHQVYKILQFVEQYQRENKLNYTPILLCGDWNGSKRGHVYKFLRSQGFVSSYDIAHQYTDSDADAHRWVSHRNHRGNICGVDFILLCNPNKSRKPLKKSWAEAVFGIIKCQLQKASLVENNAFAFLKAGNHGNFITYSAFCEALRQVNLIGLPYGLTSQETEDLWMQADINGNGVVEYEEFKRRIWNSECAELREENCSERTGDSEHDIVEEAIGFNVKNAVLFPREAEKGMWPENYSLSDHARLTVVFSPVRMQCSQRVTRL >Potri.005G157700.4.v4.1 pep chromosome:Pop_tri_v4:5:15071326:15073568:1 gene:Potri.005G157700.v4.1 transcript:Potri.005G157700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157700.v4.1 MVTTTTVPDNLTRDQYVYLAKLAEQAERYEEMVQFMQKLVLGNTPGGELNVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEDHVVFVKEYRSKVESELSDVCASILRLLDSNLIPSATASESKVFYLKMKGDYHRYLAEFKVGDERKAAAEDTMLSYKAAQDIAAADLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >Potri.010G052000.1.v4.1 pep chromosome:Pop_tri_v4:10:8362736:8363857:1 gene:Potri.010G052000.v4.1 transcript:Potri.010G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052000.v4.1 MAATMATMAILNAKCLSINSNKNISPTKPSTKPVSLLSMQNLPKGLTISKPADNTVLTGTAIAGAIFTTLSSCEPAFAAQQIAEIAEGDNRGLALLLPLIPAIAWVLFNILQPALNQINRMRQTKGVIVGLGLGGLAASGFISTPHASASEIAMIADATSDNRGTLLLIVVAPAILWVLYNILQPALNQINKMRSQ >Potri.014G038400.1.v4.1 pep chromosome:Pop_tri_v4:14:2471880:2474146:-1 gene:Potri.014G038400.v4.1 transcript:Potri.014G038400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038400.v4.1 MMNRGGGIGTRMGDGEEIGTKFVDTGSSIPRSKFGNSVHSDPNLSATVAAIPRDEVFAYRNSSASFTSPASYDPNRMSCEGSPMTMSPWNQTGAGSNFPWSIEENLPQNGLIGSLVREEGHIYSLAATKDLLYTGSDSKNIRVWKNLKEFSGFKSSSGLVKAIIIAGEKIFTGHQDGKIRVWKVIPKNPTVHKRSGTLPTLKEVFKSSIRPSAYVQVRNRSALWIKHSDAISCLTLNEDRTLLYSASWDRTFKVWRISDSKCLESINAHDDAVNSVVASLDGLVFTGSADGTVKVWKREQQGKRTKHSPVQTLLKQESAVTALAVNTSGSVVYCGSSDGMVNYWECEKQLTHGGVLKGHKLAVLCLASAGNLVFSGSADKTICVWRRDDKIHACMSVLTGHNGPVKCLAVEEDHEKSKDGDQRWVVYSGSLDKSVKVWSVAEMAPDMYQMAMMQQQQQYQRHMGSDADSLPSDGSSLASENRAN >Potri.016G101100.2.v4.1 pep chromosome:Pop_tri_v4:16:10194550:10197776:-1 gene:Potri.016G101100.v4.1 transcript:Potri.016G101100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101100.v4.1 MAASVSTVGAGNRGLLNLKGAGSGPSWPTSAFFDNSLKTVLGSKITNQRLLTRNLKLAAEYDKEKQPSKDKWKGLAFDTSDDQQDITRGKGMVDSLFQAPMGTGTHYAVMSSYDYTSKGLRQYKLDNNMDGFYIASSFMDKLVVHITKNFLQLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGINPVVMSAGELESGNAGEPAKLIRQRYREAADIIKKRGKMCCLFINDLDAGAGRFGGTTQYTVNNQMVNATLMNIADNPTSVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCTGIFGSDNVPREDIVKLVNTFHGQSIDFFGALRARAYDDEVRKWISGVGVENVGKRLVNSKEGPPILEQPKMTLEKLLEYGNMLVLEQENVKRVRLSDKYLKEAALGDANEDAMKNGSFYG >Potri.012G002200.1.v4.1 pep chromosome:Pop_tri_v4:12:1171169:1179671:1 gene:Potri.012G002200.v4.1 transcript:Potri.012G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002200.v4.1 MNHPQEKFVRFQDWKSEKSTEGNYSASNVMYPGKIRTTISSVSEKFQRGLESGSSSFNKIRKSLKSYSFNSEVASRKKILDPQGHFLQKWNKIFVLSCLIAVSLDPLFFYVPVIDDGKKCLSLDRTMEITASVLRSFTDIFYILHIIFQFRTGFIAPSSRVFGRGVLVEDTWAIAKRYLSSYFLIDILAVLPLPQVVILIIIRKMAGSRNLNTKNLLKFVVIFQYVPRFMRIYPLYKEVTTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETNCWRQACGKHAGCSRELLFCDTAGSVGNLSFLDNYCPIITPNETVFNFGIFLDALQSGVVSSSMDFPQKFFYCFWWGLQNLSSLGQNLKTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRIKRRDAEQWMSHRLLPDSIRERIRRYEQYRWQETRGVDEEMLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDALCDRLKPVLYTEESYIVREGDPVDEMLFVMRGKLLTITTNGGRTGFFNSEYLKAGDFCGEELLTWALDPQSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALAKANESSPSLGATIYASRFAANILRALRRGGNRKARVPDRVPPMLLQKPAEPDFTSEE >Potri.012G002200.4.v4.1 pep chromosome:Pop_tri_v4:12:1171188:1179737:1 gene:Potri.012G002200.v4.1 transcript:Potri.012G002200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002200.v4.1 MNHPQEKFVRFQDWKSEKSTEGNYSASNVMYPGKIRTTISSVSEKFQRGLESGSSSFNKIRKSLKSYSFNSEVASRKKILDPQGHFLQKWNKIFVLSCLIAVSLDPLFFYVPVIDDGKKCLSLDRTMEITASVLRSFTDIFYILHIIFQFRTGFIAPSSRVFGRGVLVEDTWAIAKRYLSSYFLIDILAVLPLPQVVILIIIRKMAGSRNLNTKNLLKFVVIFQYVPRFMRIYPLYKEVTTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETNCWRQACGKHAGCSRELLFCDTAGSVGNLSFLDNYCPIITPNETVFNFGIFLDALQSGVVSSSMDFPQKFFYCFWWGLQNLSSLGQNLKTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRIKRRDAEQWMSHRLLPDSIRERIRRYEQYRWQETRGVDEEMLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDALCDRLKPVLYTEESYIVREGDPVDEMLFVMRGKLLTITTNGGRTGFFNSEYLKAGDFCGEELLTWALDPQSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALAKANESSPSLGATIYASRFAANILRALRRGGNRKARVPDRVPPMLLQKPAEPDFTSEE >Potri.012G002200.2.v4.1 pep chromosome:Pop_tri_v4:12:1170968:1180010:1 gene:Potri.012G002200.v4.1 transcript:Potri.012G002200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002200.v4.1 MNHPQEKFVRFQDWKSEKSTEGNYSASNVMYPGKIRTTISSVSEKFQRGLESGSSSFNKIRKSLKSYSFNSEVASRKKILDPQGHFLQKWNKIFVLSCLIAVSLDPLFFYVPVIDDGKKCLSLDRTMEITASVLRSFTDIFYILHIIFQFRTGFIAPSSRVFGRGVLVEDTWAIAKRYLSSYFLIDILAVLPLPQVVILIIIRKMAGSRNLNTKNLLKFVVIFQYVPRFMRIYPLYKEVTTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETNCWRQACGKHAGCSRELLFCDTAGSVGNLSFLDNYCPIITPNETVFNFGIFLDALQSGVVSSSMDFPQKFFYCFWWGLQNLSSLGQNLKTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRIKRRDAEQWMSHRLLPDSIRERIRRYEQYRWQETRGVDEEMLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDALCDRLKPVLYTEESYIVREGDPVDEMLFVMRGKLLTITTNGGRTGFFNSEYLKAGDFCGEELLTWALDPQSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALAKANESSPSLGATIYASRFAANILRALRRGGNRKARVPDRVPPMLLQKPAEPDFTSEE >Potri.012G002200.3.v4.1 pep chromosome:Pop_tri_v4:12:1171185:1179817:1 gene:Potri.012G002200.v4.1 transcript:Potri.012G002200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002200.v4.1 MNHPQEKFVRFQDWKSEKSTEGNYSASNVMYPGKIRTTISSVSEKFQRGLESGSSSFNKIRKSLKSYSFNSEVASRKKILDPQGHFLQKWNKIFVLSCLIAVSLDPLFFYVPVIDDGKKCLSLDRTMEITASVLRSFTDIFYILHIIFQFRTGFIAPSSRVFGRGVLVEDTWAIAKRYLSSYFLIDILAVLPLPQVVILIIIRKMAGSRNLNTKNLLKFVVIFQYVPRFMRIYPLYKEVTTSGILTETAWAGAAFNLFLYMLASHVLGAFWYLFSIERETNCWRQACGKHAGCSRELLFCDTAGSVGNLSFLDNYCPIITPNETVFNFGIFLDALQSGVVSSSMDFPQKFFYCFWWGLQNLSSLGQNLKTSTYVWEICFAVFISISGLVLFSFLIGNMQTYLQSTTTRLEEMRIKRRDAEQWMSHRLLPDSIRERIRRYEQYRWQETRGVDEEMLVHNLPKDLRRDIKRHLCLALLMRVPMFEKMDEQLLDALCDRLKPVLYTEESYIVREGDPVDEMLFVMRGKLLTITTNGGRTGFFNSEYLKAGDFCGEELLTWALDPQSSSNLPISTRTVRTITEVEAFALMADDLKFVASQFRRLHSKQLRHTFRFYSQQWRTWAACFIQAAWRRYSKKKLEESLRQEENRLQDALAKANESSPSLGATIYASRFAANILRALRRGGNRKARVPDRVPPMLLQKPAEPDFTSEE >Potri.012G016700.1.v4.1 pep chromosome:Pop_tri_v4:12:1883025:1884865:1 gene:Potri.012G016700.v4.1 transcript:Potri.012G016700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016700.v4.1 MRSDELGYDPSPTPKLSLFSLPGRLHESPARMLTSPIHPLASVPFKWEDAPGKPRLPCMPQSDKPKIDRCLELPPRLLTKAQESNIPSPATVLDGPYVPRSLSLGKGSSFSSSENLGTKVKTKGKAIFGSSRWGSFNKNNKQAVDPSHGGETKVKITRITRRPRFLGFSHNLWTKICENLEHVVSWRRR >Potri.002G233100.2.v4.1 pep chromosome:Pop_tri_v4:2:22589994:22591671:-1 gene:Potri.002G233100.v4.1 transcript:Potri.002G233100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233100.v4.1 MWNQIQKSIGKLPCPEADSLNRQSIQVETETVHGEKLALQCMGCDACVENKGCMCDHSTQDLKSVVNEADVEDHTEANSSFAHSVINMIGMLIVSAPYALENGGWASAFLLVGIGVICAYTSLLLGKCLEKSPRSRSYADIGQHAFGSRGRVLATTFIDLEIFMTLVSFTISLHDNLITVFAGTQLRLPLWTKLSTSQLLTMIGVLIALPSLWLTDLSSISFLSFGGILMSIIIFTSVACIAIFQVVKANHRIPVLHLHKIPAISGLYIFCYAGHIVFPNLYKSMKDPSKFTKVSIVSFASVIALYTSLAFTGAKLFGPEVSSQITLSMPRHLIITKIALWATVITPMTKYALMFAPFSVQIEHSLPSSFSSRTKTIIRGAHCIVFPCAFYIKISSAQLSKFSLILNATLLAFGLLLGVAGTISSSKSIFTSLKRDHSA >Potri.002G150500.1.v4.1 pep chromosome:Pop_tri_v4:2:11491894:11493945:1 gene:Potri.002G150500.v4.1 transcript:Potri.002G150500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150500.v4.1 MEYLFFVLLISFTWACLHVPIASILLRRKSGCTVLPPGPRQLPIIGNILALGDKPHRTLANLSQTYGPLMTLKLGRITTIVISSPNIAKEALQKHDQALSSRTVPDALRVHHKNSMIWLPASTHWKFLRKLTATQMFTSQRLDASRALRGKKVQELLEYVHENCNNGHAVDVGRSVFTTVLNLISNTFFSLDVTNYNSDLSQEFSDLVVGVMEQIGKPNIADYFPILRLVDPQGIRRKTNNYLKRLTQIFDSIINERTRLRSSSVASKASHDVLDALLILAKENNTELSSTDVQILLLDFFNAGTDTTSSTVEWAMTELLLNPDKMVKAKNELQEIEGPVQESDISKCPYLQAIVKETFRLHPPAPLLLPRRAVSEVEMQGFTVPKNAQILINIWAIGRDPAIWPDPNSFKPERFLECQADVKGRDFELIPFGAGRRICPGLPLAHKMVHLTLASLIHSFDWKIADDLTPEDIDMSETFGLTLHKSEPLRAIPMKT >Potri.017G117065.1.v4.1 pep chromosome:Pop_tri_v4:17:12403183:12411813:-1 gene:Potri.017G117065.v4.1 transcript:Potri.017G117065.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117065.v4.1 MLLPLARMNSSAFSFLSDFVLVLLLFIHVPSSSSNDDLFTACSKKFECGDISADFPFWGNDRPRACGVPELELRCEDNIAKMNISQVAYRVFEIYQHDGILRIARDQDYFVGLCSPQFMNSTFNPKVFEYVEGYKNLTFFYGCKDAPTTIPFTCKINEVNDQSVYIQVGDTGPRECNRSVIVPVSTTNWPPPQIRNMQAWEEYLKKGFEVRLKVDWKACLECFNSSGACGIDKVNQTTCYCPNQSRGSKTCTIPSRESSWSRGQLHIAVGISAAVAAIIIFSIIIICLTRRKGSFNAVIAKIIRPKNSQHDDSVETFMMDYHSITPKRYSYSDIKKMTSSFVNILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDRFISDKGSPLTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQRKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGITEEEEEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRRSAQEQSCTVSSLPCVSSQGDEVNKLPADGSDL >Potri.017G117065.4.v4.1 pep chromosome:Pop_tri_v4:17:12403182:12411813:-1 gene:Potri.017G117065.v4.1 transcript:Potri.017G117065.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117065.v4.1 MLLPLARMNSSAFSFLSDFVLVLLLFIHVPSSSSNDDLFTACSKKFECGDISADFPFWGNDRPRACGVPELELRCEDNIAKMNISQVAYRVFEIYQHDGILRIARDQDYFVGLCSPQFMNSTFNPKVFEYVEGYKNLTFFYGCKDAPTTIPFTCKINEVNDQSVYIQVGDTGPRECNRSVIVPVSTTNWPPPQIRNMQAWEEYLKKGFEVRLKVDWKACLECFNSSGACGIDKVNQTTCYCPNQSRGSKTCTIPSRGISAAVAAIIIFSIIIICLTRRKGSFNAVIAKIIRPKNSQHDDSVETFMMDYHSITPKRYSYSDIKKMTSSFVNILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDRFISDKGSPLTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQRKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGITEEEEEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRRSAQEQSCTVSSLPCVSSQGDEV >Potri.017G117065.3.v4.1 pep chromosome:Pop_tri_v4:17:12403182:12411813:-1 gene:Potri.017G117065.v4.1 transcript:Potri.017G117065.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117065.v4.1 MLLPLARMNSSAFSFLSDFVLVLLLFIHVPSSSSNDDLFTACSKKFECGDISADFPFWGNDRPRACGVPELELRCEDNIAKMNISQVAYRVFEIYQHDGILRIARDQDYFVGLCSPQFMNSTFNPKVFEYVEGYKNLTFFYGCKDAPTTIPFTCKINEVNDQSVYIQVGDTGPRECNRSVIVPVSTTNWPPPQIRNMQAWEEYLKKGFEVRLKVDWKACLECFNSSGACGIDKVNQTTCYCPNQSRGSKTCTIPSRGISAAVAAIIIFSIIIICLTRRKGSFNAVIAKIIRPKNSQHDDSVETFMMDYHSITPKRYSYSDIKKMTSSFVNILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDRFISDKGSPLTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQRKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGITEEEEEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRRSAQEQSCTVSSLPCVSSQGDEVNKLPADGSDL >Potri.017G117065.2.v4.1 pep chromosome:Pop_tri_v4:17:12403183:12411813:-1 gene:Potri.017G117065.v4.1 transcript:Potri.017G117065.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117065.v4.1 MLLPLARMNSSAFSFLSDFVLVLLLFIHVPSSSSNDDLFTACSKKFECGDISADFPFWGNDRPRACGVPELELRCEDNIAKMNISQVAYRVFEIYQHDGILRIARDQDYFVGLCSPQFMNSTFNPKVFEYVEGYKNLTFFYGCKDAPTTIPFTCKINEVNDQSVYIQVGDTGPRECNRSVIVPVSTTNWPPPQIRNMQAWEEYLKKGFEVRLKVDWKACLECFNSSGACGIDKVNQTTCYCPNQSRGSKTCTIPSRESSWSRGQLHIAVGISAAVAAIIIFSIIIICLTRRKGSFNAVIAKIIRPKNSQHDDSVETFMMDYHSITPKRYSYSDIKKMTSSFVNILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDRFISDKGSPLTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQRKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGITEEEEEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRRSAQEQSCTVSSLPCVSSQGDEV >Potri.001G397200.2.v4.1 pep chromosome:Pop_tri_v4:1:42248876:42250070:-1 gene:Potri.001G397200.v4.1 transcript:Potri.001G397200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397200.v4.1 MAPIEKPSSSNPNSPTRSEIRFRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPTVGELIPIPTRSPSQSSTVESSSPTHPRAASPPPPLDLTLNSAHHNTARHQFPDGVSFHGGAWFPLPAAQRPVFFFDAFAQAKNNDKISIANNINMCRFDRTAMVNGGGVQSDSDSSSVLDYDHHHDNKGLSLDLDLNLPPPPEVA >Potri.009G019501.1.v4.1 pep chromosome:Pop_tri_v4:9:3181298:3181686:-1 gene:Potri.009G019501.v4.1 transcript:Potri.009G019501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G019501.v4.1 MIVMMKLYLPSPDIPIDKAVQAFKNKGLNATGMVYLPGGGHSVGIAPCVAFENRLYDFQNTGKPDPTMNTTLLKTLKKLFVHEILAVATQLISSRILVVLL >Potri.013G008300.6.v4.1 pep chromosome:Pop_tri_v4:13:534283:538656:1 gene:Potri.013G008300.v4.1 transcript:Potri.013G008300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008300.v4.1 MEGFGFSDSNSAVRKKRSNTSRRPRNDSHTPSDYIDVSSLSSTPPSETVSKVSSDDNNDYGSISRKKKVSLILCSTRASSTNLADCESSQNMMKNEDGGLGESDEASNNCSFRGSNEQRHSGVDSRRSSEGVLAPANWKSTTSSGHVGGFSDGVGNESKVKVKLKVGGITRTINAKSASDGASAVGSSSSKSSRFPDPRQKLIEENLDDNRSFTSGKGSGLRGVPWKDFSRSGLNVRKTDGLRGENLSSKQTDQYEPVRKSKRVPKKRLLDGVLDDGGEDDDEIRYLEKVKTLKISTDYGAEFEDEEGGSRKQRKISRVLKRNVDGLYDVDAGDHGSTRFGKEGKKSKSGRVSEDTDYVEEEELGSDGDPTSKNKKPRKELADLSVDSKKEMTVTTRQRALQTGKDASSGFPSLIEFPNGLPPAPPKKQKEKLTEVEQQLKRAEALQRRRMQVEKANRESEAEAIRKILGQDSTRKKREDKLKKRQEEMAQEKAANAMVLSSDHVRWVMGPSGTTVTFPDEMGLPSIFDSKPCSYPPPREKCAAPSCTNPYKYRDSESKLPLCSLQCYKAIHEKVQPLTAC >Potri.013G008300.2.v4.1 pep chromosome:Pop_tri_v4:13:534246:538663:1 gene:Potri.013G008300.v4.1 transcript:Potri.013G008300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008300.v4.1 MEGFGFSDSNSAVRKKRSNTSRRPRNDSHTPSDYIDVSSLSSTPPSETNMMKNEDGGLGESDEASNNCSFRGSNEQRHSGVDSRRSSEGVLAPANWKSTTSSGHVGGFSDGVGNESKVKVKLKVGGITRTINAKSASDGASAVGSSSSKSSRFPDPRQKLIEENLDDNRSFTSGKGSGLRGVPWKDFSRSGLNVRKTDGLRGENLSSKQTDQYEPVRKSKRVPKKRLLDGVLDDGGEDDDEIRYLEKVKTLKISTDYGAEFEDEEGGSRKQRKISRVLKRNVDGLYDVDAGDHGSTRFGKEGKKSKSGRVSEDTDYVEEEELGSDGDPTSKNKKPRKELADLSVDSKKEMTVTTRQRALQTGKDASSGFPSLIEFPNGLPPAPPKKQKEKLTEVEQQLKRAEALQRRRMQVEKANRESEAEAIRKILGQDSTRKKREDKLKKRQEEMAQEKAANAMVLSSDHVRWVMGPSGTTVTFPDEMGLPSIFDSKPCSYPPPREKCAAPSCTNPYKYRDSESKLPLCSLQCYKAIHEKVQPLTAC >Potri.013G008300.7.v4.1 pep chromosome:Pop_tri_v4:13:535377:538683:1 gene:Potri.013G008300.v4.1 transcript:Potri.013G008300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008300.v4.1 MEGFGFSDSNSAVRKKRSNTSRRPRNDSHTPSDYIDVSSLSSTPPSETNMMKNEDGGLGESDEASNNCSFRGSNEQRHSGVDSRRSSEGVLAPANWKSTTSSGHVGGFSDGVGNESKVKVKLKVGGITRTINAKSASDGASAVGSSSSKSSRFPDPRQKLIEENLDDNRSFTSGKGSGLRGVPWKDFSRSGLNVRKTDGLRGENLSSKQTDQYEPVRKSKRVPKKRLLDGVLDDGGEDDDEIRYLEKVKTLKISTDYGAEFEDEEGGSRKQRKISRVLKRNVDGLYDVDAGDHGSTRFGKEGKKSKSGRVSEDTDYVEEEELGSDGDPTSKNKKPRKELADLSVDSKKEMTVTTRQRALQTGKDASSGFPSLIEFPNGLPPAPPKKQKEKLTEVEQQLKRAEALQRRRMQVEKANRESEAEAIRKILGQDSTRKKREDKLKKRQEEMAQEKAANAMVLSSDHVRWVMGPSGTTVTFPDEMGLPSIFDSKPCSYPPPREKCAAPSCTNPYKYRDSESKLPLCSLQCYKAIHEKVQPLTAC >Potri.019G131050.1.v4.1 pep chromosome:Pop_tri_v4:19:15348424:15351570:1 gene:Potri.019G131050.v4.1 transcript:Potri.019G131050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131050.v4.1 MVTTPHKKRYVYPKTNHIMSISHMLAYPKLTFTPVSKTNSGNPYHRHPTRTKTSMPTCRALVVVVEVGLTSVLKPGPAWRVDPGPGRPGPGTGPGEGKNPLGNWPGENPVDPEGRPGTRSTRSNPAEELRSRGRRRLTIDREKELSTDLLPSSPIAAIDSLFVDSFAAQSPQVPDIIDICIC >Potri.004G054300.1.v4.1 pep chromosome:Pop_tri_v4:4:4418278:4422078:1 gene:Potri.004G054300.v4.1 transcript:Potri.004G054300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054300.v4.1 MAPYSSHFLWNMFLFNVLILSTVSCTTGCYTSIFSFGDSLADTGNSRNLSPPDNLPHYSFLPYGETFFHHPTGRCSDGRLVIDFIAEYLGLPFVPPYFGGSMESFKEAGVNFAVAGATALDAAFLQEKGLAKLVTNISLVVQLGLFKELLPSLCSTPSDCKKLLGESLILLGEIGGNDYNHPFFEGINFETIQDLVPYVINTIGLAIKELIQLGAITILVPGNLPIGCSPSYLTLFEGSDKKDYDHLTGCLNWLNKFAQEHNEQLIKELKRIQKLHPHAKIIYADYYNAAMPFYHSPNRFGFTGGVLKSCCGWGGMYNYNSLVKCGNPLVSVCDDPTSFVNWDGIHYTEATYKLIFESIIEGSNSYPSFKAFCNLNHNEM >Potri.006G221700.1.v4.1 pep chromosome:Pop_tri_v4:6:22712336:22717729:1 gene:Potri.006G221700.v4.1 transcript:Potri.006G221700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221700.v4.1 MAYLSMGEAHRRITEYLNRFSDAVSFQDGASLKQLLSVSSDSPSLLSLADSLNVFQDANRLIKQSDKYSQFGEIIAPFFRCLQSYRIGNLLDAYHAFEKAANAFLPEFRNWESAWALEALYVIAYEIRILAERADRELASNGKSPEKLKGAGSFLMKVFGVLAGKGPKRVGALYVTCQLFKIYFKLGTVHLCRSVIRSIETARFFDFEEFPKRDKVTYMYYTGRLEVFNENFPAADHKLSYALMHCNPHHEANIRMILKYLIPVKLSIGILPEDQLLEKYGLVEYSNVVQALKRGDLRLLRQALQEHEDRFLRSGVFLVLEKLELQVYQRLLKKIYIIQKQRDPSKAHQIKLEVIVKALKWLEIDMDLDEVECIVAILIYKNLVKGYFAHKSKVVVLSKQDPFPKLNAKPVNS >Potri.012G132400.1.v4.1 pep chromosome:Pop_tri_v4:12:14725416:14727569:-1 gene:Potri.012G132400.v4.1 transcript:Potri.012G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132400.v4.1 MAIDCIKTMPSKTTHHHHPKDQDQCKDDNKSLVFDAQVLRYQSSIPQQFIWPDHEKPSANAPELQVPLIDLGDFLSGNPDAAMEASRLVGEACQKHGFFLVVNHGVDKTLIAHALNYMDNFFELPLSEKQKAQRKIGESCGYASSFTGRFSSKLPWKETLSFSYTAEKNSSKHIEQYFHDRMGEDFAKFGRVYQDYCEAMSTLSLGIMELLGMSLGVSRAHFREYFEENDSIMRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDQVGGLQVYVDNEWCSISPNFDAFVVNIGDTFMALSNGRYKSCLHRAVVNSQTPRKSLAFFLCPRNDKKVTPPKELVDTCNPRIYPDFTWPMLLEFTQKHYRADMKTLEMFTNWLQQRNVS >Potri.015G108600.6.v4.1 pep chromosome:Pop_tri_v4:15:12642501:12648658:1 gene:Potri.015G108600.v4.1 transcript:Potri.015G108600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108600.v4.1 MVHSFRACLLLFAILILASNLSYTRASMKGGNKIKSATFLSPKFVLGPGSVENRFYRNVDFPKGHIGIKSFNAEVIDDTGNPVPLHETYLHHWLVSRYYQRLDAVETNHEQKFKESDRISARNSGICQKGILNQYFGLGSETRKTATRIPDPYAIEIGNPAEIPVGYEERWLLNVHAIDTRGAEDRLGCTECRCDLYNITVSEWGRPLRPDYKGGLLCCYDHTQCKVKQGFQGTRRSLYLRYTVEWVEWDSDIIPVKIFIFDVTDTGKRLNGSTGFGPENGCQVEYEIKSCSSTDTAVNGCVDVKRTNFTMPTTGYLIYGVAHQHTGGIGSTLYGKDGRVICTSLPTYGEGKEAGNEAGYIVGMSTCYPEPGSIQITAGEKLVLESNYSRDQNHTGVMGLFYILVADRTPNPTSLLHAPIHMHVNMKGSTYAVAIIALFGMAIAVAVTVHSRLKKGREEGYQPMLA >Potri.015G108600.2.v4.1 pep chromosome:Pop_tri_v4:15:12642319:12648551:1 gene:Potri.015G108600.v4.1 transcript:Potri.015G108600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108600.v4.1 MVHSFRACLLLFAILILASNLSYTRASMKGGNKIKSATFLSPKFVLGPGSVENRFYRNVDFPKGHIGIKSFNAEVIDDTGNPVPLHETYLHHWLVSRYYQRLDAVETNHEQKFKESDRISARNSGICQKGILNQYFGLGSETRKTATRIPDPYAIEIGNPAEIPVGYEERWLLNVHAIDTRGAEDRLGCTECRCDLYNITVSEWGRPLRPDYKGGLLCCYDHTQCKVKQGFQGTRRSLYLRYTVEWVEWDSDIIPVKIFIFDVTDTGKRLNGSTGFGPENGCQVEYEIKSCSSTDTAVNGCVDVKRTNFTMPTTGYLIYGVAHQHTGGIGSTLYGKDGRVICTSLPTYGEGKEAGNEAGYIVGMSTCYPEPGSIQITAGEKLVLESNYSRDQNHTGVMGLFYILVADRTPNPTSLLHAPIHMHVNMKGSTYAVAIIALFGMAIAVAVTVHSRLKKGREEGYQPMLA >Potri.015G108600.7.v4.1 pep chromosome:Pop_tri_v4:15:12642493:12647151:1 gene:Potri.015G108600.v4.1 transcript:Potri.015G108600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108600.v4.1 MVHSFRACLLLFAILILASNLSYTRASMKGGNKIKSATFLSPKFVLGPGSVENRFYRNVDFPKGHIGIKSFNAEVIDDTGNPVPLHETYLHHWLVSRYYQRLDAVETNHEQKFKESDRISARNSGICQKGILNQYFGLGSETRKTATRIPDPYAIEIGNPAEIPVGYEERWLLNVHAIDTRGAEDRLGCTECRCDLYNITVSEWGRPLRPDYKGGLLCCYDHTQCKVKQGFQGTRRSLYLRYTVEWVEWDSDIIPVKIFIFDVTDTGKRLNGSTGFGPENGCQVEYEIKSCSSTDTAVNGCVDVKRTNFTMPTTGYLIYGVAHQHTGGIGSTLYGKDGRVICTSLPTYGEGKEAGNEAGYIVGMSTCYPEPGSIQITAGEKLVLESNYSRDQNHTGVMGLFYILVADRTPNPTSLLHAPIHMHVNMKGSTYAVAIIALFGMAIAVAVTVHSRLKKGREEGYQPMLA >Potri.010G237800.2.v4.1 pep chromosome:Pop_tri_v4:10:21745711:21748285:1 gene:Potri.010G237800.v4.1 transcript:Potri.010G237800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237800.v4.1 MLKEVTVILFLGLAVWAYQATQPPPPKICGTLGGPPITAPRMKLRDGRHLSYKEHGVSKETAKAKIILVHGFASTKHDIMSMTEPVLDVVEELRLYFVSFDRPGYGESDPDPRRTPKSLALDIEELADHLGLGYKFYVMGFSMGGQVVWGCLKYIPHRLSGATLIAPVVNYWWPGFPANLSAEAYYRQIRQDHWALYVAHHAPWLTYWWNTQKWFPASAVISMKPDILSRQDLELLPLIAEKRSNRPQATLQGVFESLHRDLMIGFGKWEFDPMDLENPFPNNEGSVHLWQGDEDIMVPFSLQRYIAQRLPWINYHEVPGAGHLFTAIPQNFGQILKVPFLGRD >Potri.006G266500.3.v4.1 pep chromosome:Pop_tri_v4:6:26153151:26157563:-1 gene:Potri.006G266500.v4.1 transcript:Potri.006G266500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266500.v4.1 MHNPSAVATNQEPGLAFDFLSQLGGFAFNKAVQSINSNGFSLSKSNFLFFDSPIGQKTRKVNASLSLSSRSGFKSVWSEFNRAIRFHCERIPIGFASVQVGSGDNNGNDNISSDNGNGINGLRDDGCGVLVDDGVPLNGVEGVSPKRVLILMSDTGGGHRASAEAIKAAFNEEFGDDYQVFVTDLWSEHTPWPFNQLPKSYNFLVKHGALWKMTYYGSAPRVIHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRVLRAKGLLQKIIFTTVVTDLSTCHPTWLILCMLFFLVSDTSIIEF >Potri.006G266500.1.v4.1 pep chromosome:Pop_tri_v4:6:26153036:26157567:-1 gene:Potri.006G266500.v4.1 transcript:Potri.006G266500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266500.v4.1 MHNPSAVATNQEPGLAFDFLSQLGGFAFNKAVQSINSNGFSLSKSNFLFFDSPIGQKTRKVNASLSLSSRSGFKSVWSEFNRAIRFHCERIPIGFASVQVGSGDNNGNDNISSDNGNGINGLRDDGCGVLVDDGVPLNGVEGVSPKRVLILMSDTGGGHRASAEAIKAAFNEEFGDDYQVFVTDLWSEHTPWPFNQLPKSYNFLVKHGALWKMTYYGSAPRVIHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLRVLRAKGLLQKIIFTTVVTDLSTCHPTWFHKLVTRCYCPSTDVSKRAMKAGLKPSQIKVYGLPVRPSFVKPVRPKGELRRQLGMDEDLPAVLLMGGGEGMGPIEATARALGDSLYDENLEEPIGQVLVICGRNKKLTNRLLSIDWKVPVQVKGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPYVVENGCGKFSKSPKEIAKIVAEWFGPKADELSAMSQNALKLARPDAVFKIVHDLHELVRHRNFVPQFSCAT >Potri.004G107900.2.v4.1 pep chromosome:Pop_tri_v4:4:9531340:9531902:1 gene:Potri.004G107900.v4.1 transcript:Potri.004G107900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G107900.v4.1 MADNTQKMSYQAGETKGQAQEKASNLMDRADNAAQSAKESVQEAGQQVREKAQGAVEGVKNATGMNK >Potri.010G193300.1.v4.1 pep chromosome:Pop_tri_v4:10:18836192:18839971:-1 gene:Potri.010G193300.v4.1 transcript:Potri.010G193300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193300.v4.1 METGIACCARGAYLPGVSQHSKAPVSPQSISPSCSSRGLKSSSLFGETLRFVPRSSLKVSKAKNSSLVTRCEIGDSLEEFLTKATSDKGLIRVLMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANNLLFEALTYSHFCKYACSEEVPELQDMGGPTEGGFSVAFDPLDGSSIVDTNFSVGTIFGVWPGDKLTGVTGRDQVAAAMGVYGPRTTYVLALKDYPGTHEFLLLDEGKWQHVKETTEIGEGKLFSPGNLRATFDNVDYEKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPSSKAKLRLLFEVAPLGFLVEKAGGYSSDGYQSVLDKEIINLDDRTQVAYGSKNEIIRFEETLYGKSRLKSEGVPVGAAA >Potri.007G023100.1.v4.1 pep chromosome:Pop_tri_v4:7:1769835:1772378:1 gene:Potri.007G023100.v4.1 transcript:Potri.007G023100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023100.v4.1 MNDLMTRSFLSYVELKKQSQKDLKAELDIESGQLNPTDEPNLSQFFREVNEIKIEMEEITNLLFNLQTLNEESKSTHSAKVLRGVRDRMESDIAAVLRKAKIVKARLESLDRSNISNCKVSELYREGSPVDRTRISVTNGLRVKLREIMNEFQILREKIFSDYKDDLKRRYYTAAGEEPSAEVIEKIISGGGGVQMFEGKGVMDLKSKEKHEAVMDIQRSLKRLHQVFLDMAVLIETQGEKMDDIEENVAKASNFVSGGTNSLYYANQMKKKRKTWCLWVLAVVVIIILVCIISTLAT >Potri.007G023100.3.v4.1 pep chromosome:Pop_tri_v4:7:1769834:1772378:1 gene:Potri.007G023100.v4.1 transcript:Potri.007G023100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023100.v4.1 MNDLMTRSFLSYVELKKQSQKDLKAELDIESGQLNPTDEPNLSQFFREVNEIKIEMEEITNLLFNLQTLNEESKSTHSAKVLRGVRDRMESDIAAVLRKAKIVKARLESLDRSNISNCKVSELYREGSPVDRTRISVTNGLRVKLREIMNEFQILREKIFSDYKDDLKRRYYTAAGEEPSAEVIEKIISGGGGVQMFEGKGVMDLKSKEKHEAVMDIQRSLKRLHQVFLDMAVLIETQGEKMDDIEENVAKASNFVSGGTNSLYYANQMKKKRKTWCLWVLAVVVIIILVCIISTLAT >Potri.007G023100.2.v4.1 pep chromosome:Pop_tri_v4:7:1769835:1772378:1 gene:Potri.007G023100.v4.1 transcript:Potri.007G023100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023100.v4.1 MNDLMTRSFLSYVELKKQSQKDLKAELDIESGQLNPTDEPNLSQFFREVNEIKIEMEEITNLLFNLQTLNEESKSTHSAKVLRGVRDRMESDIAAVLRKAKIVKARLESLDRSNISNCKVSELYREGSPVDRTRISVTNGLRVKLREIMNEFQILREKIFSDYKDDLKRRYYTAAGEEPSAEVIEKIISGGGGVQMFEGKGVMDLKSKEKHEAVMDIQRSLKRLHQVFLDMAVLIETQGEKMDDIEENVAKASNFVSGGTNSLYYANQMKKKRKTWCLWVLAVVVIIILVCIISTLAT >Potri.017G125100.1.v4.1 pep chromosome:Pop_tri_v4:17:12907030:12911594:-1 gene:Potri.017G125100.v4.1 transcript:Potri.017G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125100.v4.1 MSTARIQPTVTAACVLSSTIKHHFGLTSLRLPTNHSAAFRRQRIKRNLTVSMSATSVSEPLEICVKASLTVPDKLGDCPFCQRVLLTLEEKNLPYDMKFVDLGNKPEWFLKLNPDGKVPVIKFEENWVSDSDVITQALEEKFPDPPLAIPPEKASVGSKIFSTFIGFLKSKDPGDGTEQALLDELSAFNDHIKENGPFINGEKVSAADLALGPKLYHLEIALGHYKNWSVPESLPYVKSYLKEIFSRDSFVNTRALPEDVIAGWRPKVMG >Potri.014G068800.1.v4.1 pep chromosome:Pop_tri_v4:14:4349423:4351620:-1 gene:Potri.014G068800.v4.1 transcript:Potri.014G068800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068800.v4.1 MLLSGNLNQQPWNSAIPTLVLLKKCKRLSDINQIHARLLTTGFIKNTFLTTKIILSFSTSLHAPLIEFARFIFFRHHAFEFDEKEEEEEKDPFLWNAIIKTYSHGHDPKEAMWLVSLMLENGAFADKFTLSLVLKACSRVGLVKEGMQIHGLLKKLEFGSDLFLQNCLISFYVKCGCLVRASQVFDRMPKRDSVSYNSMIDGYVKGGRIDLARVVFDCIPLEERNLISWNSLIRGYAQSEDGILVAWQLFAKMPERDLISWNSMIDGCVKCGRMEDAQGLFDRMPNRDIVSWANMIDGYAKNGRVDIARSLFDEMPERDVVAYNAMMGGYVQNGYCMEALGIFYGMQSDGNFLLDNATLLIALSAIAQLGHIDKGVAIHRFIEEIGFSLDGRLGVALIDMYSKCGSIENAMMVFENIKEKSVDHWNAIIGGLAIHGLGELAFDFLMEMERMRVEPDDITFIGLLNACGHAGLVKEGMMCFELMRRVHKVEPKLQHYGCMVDILGRAGHIEEAKNFVEEMPFEPNDVIWRSLLSACKTHESFNVGQPVAENLMRLDSPSPSSYVLASNMYAGLGKWNDVRKVRAMMKQKNLKKIPGCSWIELEGHVYAFFVQDKSHPQFSGIYSILDSLSMTDSEHSYCKNVKM >Potri.009G131700.2.v4.1 pep chromosome:Pop_tri_v4:9:10705392:10707505:-1 gene:Potri.009G131700.v4.1 transcript:Potri.009G131700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G131700.v4.1 MVSKKNKRKRPDTIHPKNKYSDNPPDFALLASLYTSFKAFVFYSRDGRPRIDWTNFNSTRELTRVLLLHDHGLNWWIPNGQLCPTVPNRSKYIHWIEDLLSSDIIPNNNSNGDIVRGFDKGTGANCIYPLLGASLLGWTFVGSDVTDVALEWAERNVKSNQHISELIEIRKVTNCQGTLSIEDSKCGESVDGKSKMDGSGSVVEEAEPLPLSSFDLPSDANKKYSGPPVLLGVVRDGEKFDFCMCNPPFFETMEEAGLNPKTSFGGTPEEMVCPGGEKAFITRIIEDSVVLKESFRWFTSMLGRKTNLKFLTS >Potri.014G005600.3.v4.1 pep chromosome:Pop_tri_v4:14:492130:496959:1 gene:Potri.014G005600.v4.1 transcript:Potri.014G005600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005600.v4.1 MEETLTRVISIAAEGIGLAWGLEGQLLKLEESLTMIQAVLQDAARRPVTDKSAKLWLEKLQDVAYNAEDVLDEFAYEILRKDQKKGKVRDFFSSHNPAAFRLNMGRKVQKINEALDEIQKLATRFGLGIASQHVESAPEVIRDIDRETDSLLESSEVVVGREDDVSKVMKLLIGSIGQQVLSVVPIVGMAGLGKTTIAKKVCEVVTEKKLFDVIIWVCVSNDFSKRRILGEMLQDVDGTTLSNLNAVMKTLKEKLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKNGNAVVVTTRIKEVADTMKTSPGSQHEPGQLSDDQCWSIIKQKVSRGGRETIASDLESIGKDIAKKCGGIPLLAKVLGGTLHGKQAQEWKSILNSRIWDSRDGDKALRILRLSFDYLSSPTLKKCFAYCSIFPKDFEIEREELVQLWMAEGFLRPSNGRMEDEGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRHLNLMSRGDDEAALTAVDARKLRTVFSMLDVFNGSWKFKRLRTLKLQRSNITELPDSICKLRHLRYIDVSYTAIRELPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFSDPKLVPAEVRLLTRLQTLPLFVVGPDHMVEELGCLKELRGALKICKLEQVRDREEAEKAELSGKRMNKLVFEWSDESNSSVNNEDALEGLQPHPDIKSLEIKGYGGEYFTSWILQLNNLMELSLKDCSKCRQLPTLGCLPRLKILEIRGMPNVKCIGNEFYSSSGGAAVLFPALKELTLFKMDGLEEWMVPGGEGDQVFPFLEVLRIQRCGKLKSIPICGLSSLVKFVIDGCDELRYLSGEFHGFTSIRVLSIWGCPKLASIPSVQRCTVLVELDIYGCYELISIPGDVRELKYSLKKLMVDGCKLGALPSGLQCCASLEVLSIIKWSELIHISDLQELSSLQRLEIGGCDKLISIDWHGLRQLRSLVELQITTCPSLSDIPEDDWLGGFTQLKELRIGGFSEEMEAFPAGVLNSIQHLNLSGSLTRLWIYGWDKLKSVPHQLQHLTALEELDIRNFNGEEFEEALPEWLGNLSSLQSLYIYGCKNLKYMPSSTAIQRLSKLKYLSILRGECPHLKENCRKENGSEWPKISHIPAIMIEGRFVQWNPQY >Potri.014G005600.4.v4.1 pep chromosome:Pop_tri_v4:14:492173:496920:1 gene:Potri.014G005600.v4.1 transcript:Potri.014G005600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005600.v4.1 MAAELFLTFAMEETLTRVISIAAEGIGLAWGLEGQLLKLEESLTMIQAVLQDAARRPVTDKSAKLWLEKLQDVAYNAEDVLDEFAYEILRKDQKKGKVRDFFSSHNPAAFRLNMGRKVQKINEALDEIQKLATRFGLGIASQHVESAPEVIRDIDRETDSLLESSEVVVGREDDVSKVMKLLIGSIGQQVLSVVPIVGMAGLGKTTIAKKVCEVVTEKKLFDVIIWVCVSNDFSKRRILGEMLQDVDGTTLSNLNAVMKTLKEKLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKNGNAVVVTTRIKEVADTMKTSPGSQHEPGQLSDDQCWSIIKQKVSRGGRETIASDLESIGKDIAKKCGGIPLLAKVLGGTLHGKQAQEWKSILNSRIWDSRDGDKALRILRLSFDYLSSPTLKKCFAYCSIFPKDFEIEREELVQLWMAEGFLRPSNGRMEDEGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRHLNLMSRGDDEAALTAVDARKLRTVFSMLDVFNGSWKFKRLRTLKLQRSNITELPDSICKLRHLRYIDVSYTAIRELPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFSDPKLVPAEVRLLTRLQTLPLFVVGPDHMVEELGCLKELRGALKICKLEQVRDREEAEKAELSGKRMNKLVFEWSDESNSSVNNEDALEGLQPHPDIKSLEIKGYGGEYFTSWILQLNNLMELSLKDCSKCRQLPTLGCLPRLKILEIRGMPNVKCIGNEFYSSSGGAAVLFPALKELTLFKMDGLEEWMVPGGEGDQVFPFLEVLRIQRCGKLKSIPICGLSSLVKFVIDGCDELRYLSGEFHGFTSIRVLSIWGCPKLASIPSVQRCTVLVELDIYGCYELISIPGDVRELKYSLKKLMVDGCKLGALPSGLQCCASLEVLSIIKWSELIHISDLQELSSLQRLEIGGCDKLISIDWHGLRQLRSLVELQITTCPSLSDIPEDDWLGGFTQLKELRIGGFSEEMEAFPAGVLNSIQHLNLSGSLTRLWIYGWDKLKSVPHQLQHLTALEELDIRNFNGEEFEEALPEWLGNLSSLQSLYIYGCKNLKYMPSSTAIQRLSKLKYLSILRGECPHLKENCRKENGSEWPKISHIPAIMIEGRFVQVSWGLNDSVTL >Potri.006G281400.3.v4.1 pep chromosome:Pop_tri_v4:6:27053763:27058623:1 gene:Potri.006G281400.v4.1 transcript:Potri.006G281400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281400.v4.1 MKVYLGDESKPTTPTGKSNDVEPVQGSGLRNRKQVHTRSSGAGSTLVQHSGEEMPLSVQSEGPLTSEHSQLVAEHGNLQGYTAYDGGWVARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCRSLNQPKQLEERVSGSSSPDLSTLRKGTGGSAEPISNGGDSPSDDIILARNSGDGAGSEIVEVTETIASREVTS >Potri.006G281400.1.v4.1 pep chromosome:Pop_tri_v4:6:27053528:27058629:1 gene:Potri.006G281400.v4.1 transcript:Potri.006G281400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281400.v4.1 MGRGDSKETAGIADSDSAKMTGRSGSGGFLSRLWKAVFRPHGDDFEKRLQHISKEEAAVLARINRRSGTRRKIIRHLIVFSVLFEVLAVGYAIMTTRSMDLNWKMRAFRVLPMFLLPALSSLAYSAFVSFTRMFDRRDQNTLERLRAERQAKIDELKEKTNYYTTQQLIQRYDPDPAAKAAAATVLASKLGADSGMKVYLGDESKPTTPTGKSNDVEPVQGSGLRNRKQVHTRSSGAGSTLVQHSGEEMPLSVQSEGPLTSEHSQLVAEHGNLQGYTAYDGGWVARIAALLVGEDPTQSYALICGNCHMHNGLARKEDFPYITYYCPHCRSLNQPKQLEERVSGSSSPDLSTLRKGTGGSAEPISNGGDSPSDDIILARNSGDGAGSEIVEVTETIASREVTS >Potri.002G091900.1.v4.1 pep chromosome:Pop_tri_v4:2:6652873:6655557:-1 gene:Potri.002G091900.v4.1 transcript:Potri.002G091900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091900.v4.1 MGGVLSLASPKCRTSDYNEVLNNGSCKRQKTSSIFYDEESPRLIPFLPDELSIQILARIPRCCYFNLSLVSRKWKEMFESAELFKVRKDFGLTEEWLYVLIKDKADKLSWHALDPLSRNWQRLPPMPNVVCTDKSKRGLSGFWLWNVVGPGIKIAEVIRSWLGQKDTLDQMPFGGCSIGAVDGCLYVLGGFSGATTVRCVWRFDPISNKWSKMASMSTGRAYCKTSILNNKLYVVGGVSQGQGRLTPLQSAEVFDPCKGTWSDVPSMPFSRAQLVPTAYLSDMLKPIATGMTSYMGRLFVPQSLYSWPFIVDVGGEIYDPETNSWAEMPTGMGEGWPARQAGTKLSVVVDGELYAFDPSTSADSGKIKVYDHKEDTWKVVIGKVPVADFTESESPYLLTGFHGKIHVLTKDANQNIAVMQADVQDVLGSPLNSTSVSAQSLHEHSDSSETVVWKVIASKDFGSAELVSCQVLDV >Potri.002G091900.2.v4.1 pep chromosome:Pop_tri_v4:2:6653175:6655923:-1 gene:Potri.002G091900.v4.1 transcript:Potri.002G091900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091900.v4.1 MGGVLSLASPKCRTSDYNEVLNNGSCKRQKTSSIFYDEESPRLIPFLPDELSIQILARIPRCCYFNLSLVSRKWKEMFESAELFKVRKDFGLTEEWLYVLIKDKADKLSWHALDPLSRNWQRLPPMPNVVCTDKSKRGLSGFWLWNVVGPGIKIAEVIRSWLGQKDTLDQMPFGGCSIGAVDGCLYVLGGFSGATTVRCVWRFDPISNKWSKMASMSTGRAYCKTSILNNKLYVVGGVSQGQGRLTPLQSAEVFDPCKGTWSDVPSMPFSRAQLVPTAYLSDMLKPIATGMTSYMGRLFVPQSLYSWPFIVDVGGEIYDPETNSWAEMPTGMGEGWPARQAGTKLSVVVDGELYAFDPSTSADSGKIKVYDHKEDTWKVVIGKVPVADFTESESPYLLTGFHGKIHVLTKDANQNIAVMQADVQDVLGSPLNSTSVSAQSLHEHSDSSETVVWKVIASKDFGSAELVSCQVLDV >Potri.006G111900.1.v4.1 pep chromosome:Pop_tri_v4:6:8691554:8695672:-1 gene:Potri.006G111900.v4.1 transcript:Potri.006G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111900.v4.1 MAYRRRHGITRTSTFKEEIHHPPEQDNHHENINKNTNINDDTFLITSSSSSSLAAQAIRASAAHRESSLSSAYAGDSIPRRSKVFDAYEDKPGTNDSKGFWGVLARKAKAILEDDNMSQQFETPERSRFQMPDSSAGGQYSYRTPEGFRKMDNPAIRKGLDKITSSLNQIGDTFEKAFEEGRTIVENKTADIIQETRKLQIRRKGPDAYNQSPGANSSWMQQQTQPLNHENQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKQRCSQLEEENKMLRESREKGSNVDDDDLIRLQLETLLAEKARLAHENSVYARENRFLREIVEYHQLTMQDVVYLDEGSEEVTEVYPFTKMLSVSPPSPTSPSDTTLSSLLEKEIYPVPDLPQETQEVSESDVPPNAGIPALKEEEEEEDTGSDAPQGAGLPALKEVEDARSDAPPSAGVPALKEVEDTRSDASPGVGIPVLEEKEEEKGIPSNKEEEEEEKCTSKSAVHEEEKAKTPSASYGVNK >Potri.013G148700.1.v4.1 pep chromosome:Pop_tri_v4:13:14529115:14531698:1 gene:Potri.013G148700.v4.1 transcript:Potri.013G148700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148700.v4.1 MQTFLTIFLSTSLFALSLSSFLAEPVSGQNQPLKPGDYSNPNTVPAIPVQTESQICHLDLSAELFGGVNDACGRDLDRSRCCPVLAAWLFAAHARSALQVHASAPTPSPDFPMMPDDSQKCVNSLQSALLSKNVKLPQPNASCDAILCFCGIRLHHISSLSCPAAFNVSSGFHNATPTAAVTNLEKNCKNSSYAGCTKCLGALQKLKAKNATQDRSTRDERASKMFNRDCQLMGLTWLLARNKTEYIPTVSAVLRAIMYSTHPPVLESKCSPDQENMPLAVDSLQFEKTQSSSSSRSTSSWPGFCFLVLPLMILASGW >Potri.001G242300.5.v4.1 pep chromosome:Pop_tri_v4:1:26007189:26009491:-1 gene:Potri.001G242300.v4.1 transcript:Potri.001G242300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242300.v4.1 MESYEDDQKQQALEHKYDCLLFDIDDTLYPLGSGLSVHVTQNIQEYMIKKLGIEESKAPELCVSLYKYYGTTMAGLRAIGHKFDYDDFHSFVHGRLPYQMLKPDPVLRNILLNVPVRKVVFTNADKAHASRVLSRLGLEDCFERIICFETLNDAANKGNDPVDGDDREVFDIDEYTTCPDADLVLPKTPVVCKPFEEAFEQVFKIANISPRKTLFFDDSIRNLQTGKGLGLHTVWVGSSHRIEGVDCALESLHNIKEALPELWEANDKSEGIKYSKKVAIETSVEA >Potri.001G242300.6.v4.1 pep chromosome:Pop_tri_v4:1:26007231:26008797:-1 gene:Potri.001G242300.v4.1 transcript:Potri.001G242300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242300.v4.1 MIKKLGIEESKAPELCVSLYKYYGTTMAGLRAIGHKFDYDDFHSFVHGRLPYQMLKPDPVLRNILLNVPVRKVVFTNADKAHASRVLSRLGLEDCFERIICFETLNDAANKGNDPVDGDDREVFDIDEYTTCPDADLVLPKTPVVCKPFEEAFEQVFKIANISPRKTLFFDDSIRNLQTGKGLGLHTVWVGSSHRIEGVDCALESLHNIKEALPELWEANDKSEGIKYSKKVAIETSVEA >Potri.006G050700.2.v4.1 pep chromosome:Pop_tri_v4:6:3520086:3530993:1 gene:Potri.006G050700.v4.1 transcript:Potri.006G050700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050700.v4.1 MEIVKARTDKREYKRIVLPNALQVLLISDPDTDKCAASMNVSVGCFSDPDGLEGLAHFLEHMLFYASEKYPLEDSYSKYIIEHGGSTNAYTTSDHTNYHFDVNSDCFEDALDRFAQFFIKPLMSADATVREIKAVDSENQKNLLSDGWRINQLQKHLSEEGHPYHKFSTGNWDTLEVQPKEKGLDTRLELIKLYEENYSANLMNLVIYAKESLDKIQSLVEEKFQEIRNNDRSCFSFPGQPCSSEHLQILVRTVPIKQGHKLRIVWPITPGILHYKEGPCRYLGHLIGHEGEGSLFYVLKTLGWATDLSAGEVDGTTEFAFFTAVINLTDAGHEHMQDVVGLLFKYIHLLQQSGVCKWIFDELAAICETSFHYQDKTPPISYVVRIASNMQLYPQKDWLVGSSLPSNFSPSIIQTVLNQLSPDNVRIFWESKKFEGQTAMTEPWYKTAYSVEKITGSMIQEWMLFAPNEDLHLPAPNVFIPTDLSLKDAQEKVKFPVLLRKSSSSSLWYKPDTMFSTPKAYVKIDFNCPFASSSPETEVLTDIFARLLMDDLNDYAYYAQVAGLYYGISNTDSGFQVTVVGYNHKLRILLETVIEKISNFKVKPDRFSVIKEMVTKEYGNLKFQQPYQQAMYYCSLLLQDQTWPWMEQLEILPHLQAEDLAKFIPLMLSRAFLECYIAGNIERSEAESMILHIEDVFNEGPDPICQPLFPSQHLTSRVIKLERGINYLYPIEGLNPDDENSALVHYIQIHRDDFTWNVKLQLLALIAKQPAFHQLRSVEQLGYITVLMQRNDSGIRGLQFIIQSTVKGPGQIDLRVEAFLKMFETKLYGMTNDEFKSNVNALIDMKLEKHKNLREESAFFWREISDGTLKFDRRECEVAALKQLTQQDLIDFFDEHVKVGAPRKRTLSVRVYGKLHSCEYPSDKSQQLPPNAVQIEDIFSFRRSQPLYGSFKGGFGHMKL >Potri.006G050700.3.v4.1 pep chromosome:Pop_tri_v4:6:3520209:3530970:1 gene:Potri.006G050700.v4.1 transcript:Potri.006G050700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050700.v4.1 MEIVKARTDKREYKRIVLPNALQVLLISDPDTDKCAASMNVSVGCFSDPDGLEGLAHFLEHMLFYASEKYPLEDSYSKYIIEHGGSTNAYTTSDHTNYHFDVNSDCFEDALDRFAQFFIKPLMSADATVREIKAVDSENQKNLLSDGWRINQLQKHLSEEGHPYHKFSTGNWDTLEVQPKEKGLDTRLELIKLYEENYSANLMNLVIYAKESLDKIQSLVEEKFQEIRNNDRSCFSFPGQPCSSEHLQILVRTVPIKQGHKLRIVWPITPGILHYKEGPCRYLGHLIGHEGEGSLFYVLKTLGWATDLSAGEVDGTTEFAFFTAVINLTDAGHEHMQDVVGLLFKYIHLLQQSGVCKWIFDELAAICETSFHYQDKTPPISYVVRIASNMQLYPQKDWLVGSSLPSNFSPSIIQTVLNQLSPDNVRIFWESKKFEGQTAMTEPWYKTAYSVEKITGSMIQEWMLFAPNEDLHLPAPNVFIPTDLSLKDAQEKQVKFPVLLRKSSSSSLWYKPDTMFSTPKAYVKIDFNCPFASSSPETEVLTDIFARLLMDDLNDYAYYAQVAGLYYGISNTDSGFQVTVVGYNHKLRILLETVIEKISNFKVKPDRFSVIKEMVTKEYGNLKFQQPYQQAMYYCSLLLQDQTWPWMEQLEILPHLQAEDLAKFIPLMLSRAFLECYIAGNIERSEAESMILHIEDVFNEGPDPICQPLFPSQHLTSRVIKLERGINYLYPIEGLNPDDENSALVHYIQIHRDDFTWNVKLQLLALIAKQPAFHQLRSVEQLGYITVLMQRNDSGIRGLQFIIQSTVKGPGQIDLRVEAFLKMFETKLYGMTNDEFKSNVNALIDMKLEKHKNLREESAFFWREISDGTLKFDRRECEVAALKQLTQQDLIDFFDEHVKVGAPRKRTLSVRVYGKLHSCEYPSDKSQQLPPNAVQIEDIFSFRRSQPLYGSFKGGFGHMKL >Potri.012G096500.1.v4.1 pep chromosome:Pop_tri_v4:12:12056166:12059956:1 gene:Potri.012G096500.v4.1 transcript:Potri.012G096500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096500.v4.1 MPKRTTHTYSSEDAAPDGPDSDLFVYYCKHCGSHVLITDTQLQKMPKRKTDKAYALDKKKHLARLNVDEAGKVVLKRGEGKLEKQFRMNCMGCGLFVCYRAEEDLEFASFIYVIDGALSTIAAETNPQDAPVPPCISQLGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFMGRVLGLRLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAVQP >Potri.016G116500.1.v4.1 pep chromosome:Pop_tri_v4:16:12084221:12084679:-1 gene:Potri.016G116500.v4.1 transcript:Potri.016G116500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116500.v4.1 MYPLYTIGEVKLEITNRTNVIRNHLTLRGSHYLEKLDDYLTLEEYGYKGEATIHIDVSRSLENLDVDLVVGLPNNGWKLVRAQETTMVAELKDKIMERWGIPTDKMTLIRLNTVMEDSYYLIDYLISKLGDVKVEINDESKGVEESNNGSYT >Potri.007G144000.1.v4.1 pep chromosome:Pop_tri_v4:7:15308445:15310417:-1 gene:Potri.007G144000.v4.1 transcript:Potri.007G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144000.v4.1 MGTMYKATLPNGWFVAEKRMHDSRHFEEHMVSELKTLGRLRHNNLLPLLGFCIESKERLLVYKYISNGKLFDWLHSVEAQKKILEWPLTVKIAVGVARGLAWLHHGYNARVVHLNINSRSILLDRNFEPKLSNFGEAMLRISTKSSRVNSDFWEMAFVKEDVHGYGVRESE >Potri.005G249000.1.v4.1 pep chromosome:Pop_tri_v4:5:24299158:24300398:-1 gene:Potri.005G249000.v4.1 transcript:Potri.005G249000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249000.v4.1 MAACHIRSTSLPSRSHPLNVSVEDQLDRLRSSQTTSTSVYHKLSGLKVLYDCVEDFLQLPLTQQTLSNEQQKERGEEVLSGSLLLLDMCSTTRDVFSSTKECLQELESSLRRRKSGESGFASEVEAYMMCRKRLDKTIRKCFKNLKSMEKNITSAVDAVSMLREVKEISLEIFQSLLSMVSQTKARSSSHGWSVVSKLFQSKRVSCEAELNEFEKIDAELLVLKSSKDINSVQVQNTLKGLEALESTIQEAEEELEAVYRTLLKTRVTILNILSH >Potri.007G040100.3.v4.1 pep chromosome:Pop_tri_v4:7:3287359:3294968:1 gene:Potri.007G040100.v4.1 transcript:Potri.007G040100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040100.v4.1 MAKQRCFLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGSRFHRVVKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANTGPGTNGSQFFITTTRTSHLDGKHVVFGKVIKGMGLVRSIELIVTEVGDHPSQDVVIVDCGEILEEEDDGASNFFKDGDTYPDWPADLDRKPDEISWWMKAVDSIKAFGNEQYKKQDFKMALRKYRKALRYLDICWEKDDIDEEKSSSLRKTKSQIFTNSFACKLKLGDSKGALLDTDFATCDGEDNAKAFFRQGQSHMALNDIDGAVESFKKALELEPNDGGIKKELASARKKVADRHDLEKKAYARMFQ >Potri.005G182200.1.v4.1 pep chromosome:Pop_tri_v4:5:18890184:18893058:-1 gene:Potri.005G182200.v4.1 transcript:Potri.005G182200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182200.v4.1 MGRGKIEIKRIENASNRQVTYSKRKNGIIKKAKEITVLCDAQVSLVIFASSGRMHEYCSPSTTVVDLLDKYHKQSGKRLWDAKHENLSKEIDRIKKENDSMQIELRHLKGEDISSLHHTELMAIEEALDAGLAAVRKKQMEYHSMLEQNEKMLDEEFKRLQFVLQQQEMAMGENAMEMENAYHQQRMRDYNFQVPFAFRVQPIQPNLQERM >Potri.005G215800.2.v4.1 pep chromosome:Pop_tri_v4:5:21932221:21938599:1 gene:Potri.005G215800.v4.1 transcript:Potri.005G215800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215800.v4.1 MSKKKNPLVFLDVSIDGDPAERIFIELFADVVPRTAENFRALCTGEKGTGKTTGKPLHYKGSSFHRIIKEFMAQGGDFSKGNGTGGESIYGGKFADENFIQRHEGAGHLSMANSGPNTNGSQFFITLKPQAHLDGKHVVFGKVVKGMDIVKKIEQVGSARGQPARPVKIVDCGETSESKIEDAVGKDTGKNKKAGKPSDDGPNVQVRGRSKKSLKDTRKKRKRRYSSSDSDSSSSDSETSSSESDSDSSSSSDGRHKKRRRSAKRGKYHGRKQKNGRRERKRGRSDKRSRRKSKWSSESSSDTETDSSSTTSTSDDRSPVAAHKTSNSTQAGKKSIQSSGASGKSLSHLSKKEAVVEQHQRNQKPMKAAGSSPHEEGELSPRNDEHLNNGHGMDSKSGATHNQHPHSDNSNKSRRAMPSSKSRPNNTCRSSPSMSPEEVSRSPRFRTDSRSPVRKSGELSQGRSSRSPLGSPANKGHHEPSMSNQSQSPNGAPTRIRKGRGFTDRYAFARRYRTPSPERSPRRSYRYGGRNINGRNRDRLPSYRSYSERSPPRRYISSPRGRSPPRYGRQRSRSRSPRRSPTPGDKRPSISEGLKSRLGPRVDDKPFPNKGRLRSRSSSRSSSRGSSHSRSPDAVPPKRQGIAARASMSPSSSPSEQQALVSYGDASPDTEMR >Potri.005G215800.4.v4.1 pep chromosome:Pop_tri_v4:5:21932149:21938616:1 gene:Potri.005G215800.v4.1 transcript:Potri.005G215800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215800.v4.1 MSKKKNPLVFLDVSIDGDPAERIFIELFADVVPRTAENFRALCTGEKGTGKTTGKPLHYKGSSFHRIIKEFMAQGGDFSKGNGTGGESIYGGKFADENFIQRHEGAGHLSMANSGPNTNGSQFFITLKPQAHLDGKHVVFGKVVKGMDIVKKIEQVGSARGQPARPVKIVDCGETSESKIEDAVGKDTGKNKKAGKPSDDGPNVQVRGRSKKSLKDTRKKRKRRYSSSDSDSSSSDSETSSSESDSDSSSSSDGRHKKRRRSAKRGKYHGRKQKNGRRERKRGRSDKRSRRKSKWSSESSSDTETDSSSTTSTSDDRSPVAAHKTSNSTQAGKKSIQSSGASGKSLSHLSKKEAVVEQHQRNQKPMKAAGSSPHEEGELSPRNDEHLNNGHGMDSKSGATHNQHPHSDNSNKSRRAMPSSKSRPNNTCRSSPSMSPEEVSRSPRFRTDSRSPVRKSGELSQGRSSRSPLGSPANKGHHEPSMSNQSQSPNGAPTRIRKGRGFTDRYAFARRYRTPSPERSPRRSYRYGGRNINGRNRDRLPSYRSYSERSPPRRYISSPRGRSPPRYGRQRSRSRSPRRSPTPGDKRPSISEGLKSRLGPRVDDKPFPNKGRLRSRSSSRSSSRGSSHSRSPDAVPPKRQGIAARASMSPSSSPSEQQALVSYGDASPDTEMR >Potri.018G076200.1.v4.1 pep chromosome:Pop_tri_v4:18:9329170:9337359:1 gene:Potri.018G076200.v4.1 transcript:Potri.018G076200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076200.v4.1 MANRLKEDEKNERIIRGLLKHTENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVAALQEGGNKRARDIYFKEWDSQRQSAPDSSNVERLRDFIKHVYVDRRYTGERNYGKPPSMKMDNKEDFSENRKTDAYQGGSRSPPYEDTHEHRYNERSSPGGRSDDKYSRYSYDERRSPGYDQESRQYNDYKRSPARPEIINDWRREDRFGNGRKVEDRRISDGDPKVEGRSPERPKEDTSSPPMVRPVREILGDNVVPLRISEPPKSNVSRPADVSAPTQRTASSSSLGSATGNPTEVKLENTRSLIDFDADPEPPAAASIPQAQQATIPQSIVHSPSATNDNNWASFDFAPENKASQVPKANPLESVLSQLSVPVPGPGHILGSHSGAGAPATAAVGNPTNASLFASAGNTSMLPFNSVAPAATPVNNLSILHAGGVSATAPGLAPAMPVNGGNSFASVTEAGQWPSVQHQQPSLFPVSTGHSTTQQFTPPLASGNQTWNVSPASNVQVSLATPYAGAPQIVSNPASGFMSAGLSQPSAVEVKPTGRRELPVDLFAATYSPYPAAIPGWPSGPARGMGFAVQYNSVPASMPTFLQQPKSANPFDLSEPVQAQHFPSMTPLHAALPNMPPSGLQHASSLGTPSPAWMSPQSSPYPPALPSQAPPYSSSIPPRAYVAQQAPSSMPFAGHQVGGGFGGDGAAFGAVNMDQQVAGRFSAPPTPQPFSSVGGNPFG >Potri.018G076200.3.v4.1 pep chromosome:Pop_tri_v4:18:9329170:9337358:1 gene:Potri.018G076200.v4.1 transcript:Potri.018G076200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076200.v4.1 MANRLKEDEKNERIIRGLLKHTENRRCINCNSLGPQYVCTNFWTFVCTTCSGIHREFTHRVKSVSMAKFTSQEVAALQEGGNKRARDIYFKEWDSQRQSAPDSSNVERLRDFIKHVYVDRRYTGERNYGKPPSMKMDNKEDFSENRKTDAYQGGSRSPPYEDTHEHRYNERSSPGGRSDDKYSRYSYDERRSPGYDQESRQYNDYKRSPARPEIINDWRREDRFGNGRKVEDRRISDGDPKVEGRSPERPKEDTSSPPMVRPVREILGDNVVPLRISEPPKSNVSRPADVSAPTQRTASSSSLGSATGNPTEVKLENTRSLIDFDADPEPPAAASIPQAQQATIPQSIVHSPSATNDNNWASFDFAPENKASQVPKANPLESVLSQLSVPVPGPGHILGSHSGAGAPATAAVGNPTNASLFASAGNTSMLPFNSVAPAATPVNNLSILHAGGVSATAPGLAPAMPVNGGNSFASVTEAGQWPSVQHQQPSLFPVSTGHSTTQQFTPPLASGNQTWNVSPASNVQVSLATPYAGAPQIVSNPASGFMSAGLSQPSAVEVKPTGRRELPVDLFAATYSPYPAAIPGWPSGPARGMGFAVQYNSVPASMPTFLQQPKSANPFDLSEPVQAQHFPSMTPLHAALPNMPPSGLQHASSLGTPSPAWMSPQSSPYPPALPSQAPPYSSSIPPRAYVAQQAPSSMPFAGHQVGGGFGGDGAAFGAVNMDQQVAGRFSAPPTPQPFSSVGGNPFG >Potri.007G131500.1.v4.1 pep chromosome:Pop_tri_v4:7:14502903:14507580:-1 gene:Potri.007G131500.v4.1 transcript:Potri.007G131500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131500.v4.1 MEKKLRLHVVQALKLLFLLFTIHCLFLFPVILSEIFFEERFQDGWKDRWVLSDWKRSEGKAGTFKYTAGKWPGDPDDKGIQTYNDAKHFAISAKISPEFSNKNRTLVVQYSIKFEQDIECGGGYIKLFSGYVNQKKFGGDTPYSFMFGPDICGTQTKKLHVIMSYQGQNYPIKKDLQCETDKLTHFYTFILRPDASYSVLVDNRERESGTMYTDWDILPPPKIKDTKAKRPADWDDREYIEDPNDVKPEGYDSIPREIPDPKAKEPDNWDEEEDGIWRPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSIFDNILICDDPQYAREIVKDVFQNREIEKDAFEEAEKVRRAREEEEAQRAREEGEKRRKERGYRKPRRRHDPRDYLDDYHDEL >Potri.007G131500.6.v4.1 pep chromosome:Pop_tri_v4:7:14503137:14507420:-1 gene:Potri.007G131500.v4.1 transcript:Potri.007G131500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131500.v4.1 MEKKLRLHVVQALKLLFLLFTIHCLFLFPVILSEIFFEERFQDGWKDRWVLSDWKRSEGKAGTFKYTAGKWPGDPDDKGIQTYNDAKHFAISAKISPEFSNKNRTLVVQYSIKFEQDIECGGGYIKLFSGYVNQKKFGGDTPYSFMFGPDICGTQTKKLHVIMSYQGQNYPIKKDLQCETDKLTHFYTFILRPDASYSVLVDNRERESGTMYTDWDILPPPKIKDTKAKRPADWDDREYIEDPNDVKPEGYDSIPREIPDPKAKEPDNWDEEEDGIWRPPKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPIKYVGIEVWQVKAGSIFDNILICDDPQYAREIVKDVFQNREIEKDAFEEAEKVRRAREEEEAQRAREEGEKRRKERGYRKPRRRV >Potri.011G066200.1.v4.1 pep chromosome:Pop_tri_v4:11:5672276:5673800:1 gene:Potri.011G066200.v4.1 transcript:Potri.011G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066200.v4.1 MSRFSSSISRSFKIFFFFFNFSFLAYATVPSSKTFKYINQGEFGEYSVEYLADYRVLPLSTFPFQLCFYNTTPNAYTLGLRMGHRRSESIMRWVWDANRGKPVHENATLSFKRDGNLILTDFDGTIAWQTGTANKGVVGLNLLPDGNLVLYDQRGKFIWQSFDHPTDTLLVGQNLRSSGPNRLVSRVSNMDGSLGPYSFVMEQRYWALYYKVKNSPKPLLYYKSDEFGNGQGSLAHLNFYCKPEYEQAYAFEVGFTYDMNNSPSSGTYILTRPKYNSTYSMLRLESDGNLKIYTYNENVDWGAWDLTFKLFDRDSDLEISECKLPQRCGSLGVCEDNQCVACPRPQGFLGWSKSCAPPVLPPCKGGANVDYYKVVGVEHFLNGYNEGEGPMKLVDCRNKCNNDCGCLGFFYKEESSKCLLAPVLGTLVGVSSPSHVGFIKMSK >Potri.006G024600.1.v4.1 pep chromosome:Pop_tri_v4:6:1646830:1651707:1 gene:Potri.006G024600.v4.1 transcript:Potri.006G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024600.v4.1 MAIAAPGQLNLNESPSWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVINLKEIVTSPGPERDEQGRPDGNKYKGGIYMVFEYMDHDLTGLADRPGMRFSVPQIKCYMRQLLTGLHYCHVNQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNDHNANLTNRVITLWYRPPELLLGTTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEFNWPGVSKIPWYNNLKPTRPMKRRLREVFRHFDRNALELLEKMLTLDPSERISAKDALDAEYFWTDPLPCNPKSLPKYEASHEFQTKKKRQQLRQHEENAKRQKLQHQQQHGRLPPTQQSGQAHPQMRSGPNQPLHSSQPPVAAGPSHHYGKPRGPAGGPGRYPPSGTSGGYNHPNRGGQGSGGYGSGPYPPQGRAPPYGSSSMPGAPPHGSGGGSGYGVGGPNYPQGGPPYGGSGAGRGSNMMGGNRNQQYGWQQ >Potri.002G088600.1.v4.1 pep chromosome:Pop_tri_v4:2:6407568:6412277:1 gene:Potri.002G088600.v4.1 transcript:Potri.002G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088600.v4.1 MGASVDNRQFHVEPTLNGVARPFKSVSNHRPNSPVRALNFSNQDFTRYNQKPVPTLEEEDSSSEDEADYKDLIRKGNSELEPSIFDPRDEGTADKWIERNPSMVRLTGKHPFNSEPPLVRLMHHGFITPVPLHYVRNHGSVPMSTWQDWTVEVCGLVKRPARFTMEQLVNEFPARELPVTLVCAGNRRKEQNMVKQTVGFNWGAAGLSTSVWRGVPLHLLLKKCGIYSRKNGALNVCFEGAEDLPGGGGSKYGTSIKKEVALDPSRDIILAYMQNGELLSPDHGFPVRLIIPGFIGGRMVKWLKRIIVTTKESDSYYHYMDNRVLPSHVDTELANAEAWWYKTEYIINELNINSAITTPSHEEILPINSWTTQSPFTLKGYAYSGGGKKVTRAEVTLDGGETWRVCNLDHPEKPNKYGKYWCWCFWSLEVEILELLGAKEIAVRAWDETLNTQPEKLNWNVMGMMNNCWFRVKTNVCKRHKGEIGIVFEHPTVPGNQSGGWMAKERHLENSSENIRTLKKSVSTPFFMNTSSKAFSMAEVKKHNSAESAWIIVHGHVYDCTRFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKMLEGYRIGELVNSTAYTSDSNASSPNSSMHGASNIAQMNLAPIKEIAPARNVALVPREKIQCKLVKKEILSHDVRLFRFALPSEDQVLGLPVGKHIFLSATIDDKLCMRAYTPASTIDAVGFFDLVIKVYFKGVHPKFPNGGQMSLYLDSLSLGSVVDVKGPLGHIEYAGRGNFMVHGKPKFAKKLAMLAGGTGITPIYQVIQAILKDPEDDTEMYVVYANRTEDDILLRDELDSWVKEHERLKVWYVVQESIKEGWLYSTGFVTEKILREHVPEGSSDALALACGPPPMIQFAVQPNLEKMNYDIKNSLLVF >Potri.017G035401.1.v4.1 pep chromosome:Pop_tri_v4:17:2339816:2341230:1 gene:Potri.017G035401.v4.1 transcript:Potri.017G035401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G035401.v4.1 MDRMIGGEIVLTEETMVPSILMPDAPETGLGTEPAGQAFEMDMNNISSSLMKDVELSSGIENMIYKCHRLKVLLPAWLLSRLRLEVIGVEECDEIEETTGTDEEGRTHQRIVSCFDTTLRVLVLKKLPNLNSIYSGRLLCNSLEEITVGDCPQLTRIPI >Potri.005G076500.3.v4.1 pep chromosome:Pop_tri_v4:5:5157120:5159363:-1 gene:Potri.005G076500.v4.1 transcript:Potri.005G076500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076500.v4.1 MAPPKYLICFSLRQVPLLYLVLFLFTSLLLCASSDSDQQQQLQTDRFLVRRRMLEVETYDDQVPKKKNTNLSSKNQTKLTSPSLSTKNQTKPIKTGSLSTKNQTKITKSTNSTKATLTDSQSKNQLKKLNTTSQLKRLNSTSQLKKLNSTSKASNFTKSIAGSTKKTPDLLKLGSSTDKTTKPTSTKQTQSLVDKKVGNQESQKQNKNQKQTNEKKTTQSKKQPSWIGQHDEDDLVAEFRDLPSKFHQTLIPDLERISITSKKYLTKANNDLTRGFKPIVGNKYAPTIASIVSFAFILIPLLLVSLIFNHIKAYFSIQKILIFIQVYLSIYFTILCLSSLVTGLEPLKFFYATSQSTYVCLMVLQTLGYALYLLLLLMYLILVFSTECGLSSKFLGLGQTLVGYAVGLHYYVAVFHRVVLHQPPKTNWKVHGIYATCFLVICLFANAERRKKAYLEEGGEEGKKN >Potri.017G105201.1.v4.1 pep chromosome:Pop_tri_v4:17:11495561:11516342:1 gene:Potri.017G105201.v4.1 transcript:Potri.017G105201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G105201.v4.1 MASNSVQGITSSSSPPPPLYMYDVFLSFRGKDTRNNFTSHLYSNLKQRGIDVYMDDRELERGKTIETALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQCMKEMGQTVLPVFYDVDPSEMTERKRKYEEAFVEHEQNFKENLEKVGNWKDCLSTVANLSGWDVRNRNESKSIKIIAEYISYKLSVTLPTISKKLVGIDSRVEVLNGYIGEEVGKAIFIGICGMGGIGKTTVARVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLYEILIERALVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAERGWFGPGSRIIITSRDTNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMNEIPDCKIIDVLRISFDGLHESDKKIFLDIACFLKGFKIDRITRILDSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFLDMPGIKESQWNIEAFSKMSRLRLLKINNVQLSEGPEDLSNKLQFLEWHSYPSKSLPVGLQVDQLVELHMANSNLEQLWYGCKSAVNLKIINLSNSLYLTKTPDLTGIPNLESLILEGCTSLSEVHPSLAHHKKLQYMNLVNCKSIRILPNNLEMGSLKVCILDGCSKLEKFPDIVGNMKCLMVLRLDGTGITKLSSSMHHLIGLGLLSMNSCKNLESIPSSIGCLKSLKKLDLSGCSELKYIPEKLGEVESLEEFDVSGTSIRQLPASIFLLKNLKVLSLDGFKRIVMPPSLSGLCSLEVLGLCACNLREGALPEDIGCLSSLRSLDLSQNNFVSLPKSINQLFELEMLVLEDCTMLESLPKVPSKVQTVCLNGCISLKTIPDPINLSSSKISEFVCLNCWELYNHYGQDSMGLTLLERYFQGLSNPRPGFGIAIPGNEIPGWFNHQSKGSSISVQVPSWSMGFVACVAFGVNGESPSLFCHFKANGRENYPSSPMCISCNSIQVLSDHIWLFYLSFDYLKELQEWQHGSFSNIELSFHSSQPGVKVKNCGVRLLSSIYITPQLSSAHFIVTSKEVASSFKASLAFSSSYHQWKANVFPGIRVADTNLALRFIVPVEKEPEKVMAIRSRLFEAIEESGLSIIIFARDCASLPWCFEELVKIVGFMDEMRSDIVFPVSRDVKQSKIDDQTESYTIVFDKNEENLRENEEKGQRWMDILTKVEISSGSNSLKR >Potri.017G105201.2.v4.1 pep chromosome:Pop_tri_v4:17:11499655:11506103:1 gene:Potri.017G105201.v4.1 transcript:Potri.017G105201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G105201.v4.1 MASNSVQGITSSSSPPPPLYMYDVFLSFRGKDTRNNFTSHLYSNLKQRGIDVYMDDRELERGKTIETALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQCMKEMGQTVLPVFYDVDPSEMTERKRKYEEAFVEHEQNFKENLEKVGNWKDCLSTVANLSGWDVRNRNESKSIKIIAEYISYKLSVTLPTISKKLVGIDSRVEVLNGYIGEEVGKAIFIGICGMGGIGKTTVARVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLYEILIERALVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAERGWFGPGSRIIITSRDTNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMNEIPDCKIIDVLRISFDGLHESDKKIFLDIACFLKGFKIDRITRILDSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLY >Potri.007G132300.1.v4.1 pep chromosome:Pop_tri_v4:7:14552016:14554918:-1 gene:Potri.007G132300.v4.1 transcript:Potri.007G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132300.v4.1 MMLKRQVHRILKRTLTRQALQTLMRILTRQVSKASASDIDEDTNKASASNMKMAWDLDEGYYEIDNKEWELRNIWLDLEGLKELPPQNDRADECCIYRVPNSLRDRNPEAYTPQLISIGPFHHNDERLETMETLKRRYQGEFLKRNDMDEKNFMDFLRRIQKKEESIRLCYSGSFRIHTERFVKMIVLDAVFIIEFLKDSYDDDFPQNLDTRMISCIGEDLMLLENQLPFSVIDCIYSEFYNPRQDDISFLDLATHHFGKYQLAQRPESTPPTEVWHFTDLLMNFMLKGALERENRSKPIKLKYSAVTLRKAGVKFQATEDKCLFNVHFENGVLKIPLLEVDDSMERFVRNVMAWEQCYKPGEAYISNYFKFMDYLIDRSEDVALLAEEEIIKNWLGDDSAVSKLMNNLSQRCEKTSYYSDICQALNACYENPWNRRKATLKLVYFSNLWRGTGTVAAAFLLILTLIQTITSLKSSF >Potri.015G071900.1.v4.1 pep chromosome:Pop_tri_v4:15:9754663:9756744:1 gene:Potri.015G071900.v4.1 transcript:Potri.015G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071900.v4.1 MDNKKSHVIVLTYPAQGHINPLLQFAKRLASKGLKATLATTYYTVNSIDAPTVGVEPISDGFDEGGFKQASSLDVYLESFKTVGSRTLTELVFKFKASGSPVNCVVYDSMLPWALDVARDLGIYAAAFMTTSASVCSMYWRIDLGLLSLPLKQQTATVSLPGLPPLGCCDLPSFLAEPTSQTAYLEVIMEKFHSLNEDDWVFCNSFEDLEIELVKAMRGKWPLVMVGPMVPSAYLDQQIDGDRAYGASLWKPTSSQCFTWLDTKPPRSVIYVSFGSMGNISAEQVEEIAWGLKASNRPFLWVMKESEKKLPTGFLNSVGETGMVVSWCNQLEVLAHQAIGCFVTHCGWNSTLEGLGLGVPMVCVTERSDQPMNAKFVEDVWKVGVRAKKDEVGIVTREELEKCIRGVMDGENGEEIKRNANKWRELARSAVSVGGSSDMNINEFVVKLLEGKKG >Potri.005G020100.2.v4.1 pep chromosome:Pop_tri_v4:5:1278568:1282785:-1 gene:Potri.005G020100.v4.1 transcript:Potri.005G020100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020100.v4.1 MTPSNNLFASIDMGTNSFKMLIIQTDKTGKFLTIDRHKDPVCLGRDFSSSISKESLFRAVTCLKRFNQVLKANKISPQQTICVATATVREASNAFDFKRSVLESIGFEVSVLSGEEEARFVYLGVLQFLPVFDKRVLVIDIGGGSTEFVIGERGNVVFGVSLKLGHVGLTQKFGKDWNLMEMREFVKLVIKESELVEKVKDFGGFEVVVGTSGTIRAIERAVFSGYGKECVEGNEVFLRDCKREWKFSRGELSGVVERLCREGEEERVKRDGFFKRRSEFIVAGSVLLEEIFEAVGIEEMEVSEYALGEGVIAETLSKVFEGYDLNANARWHSAVRLATRFSGKKGIKSAAQCSSIAKEIFEGLRKWGEVAGNQINVFLDVKDLECLEAACLLHNIGLITGKKGYHRQSYHIITNGNHLQGYSAEEVKLIALLTRHHRKKFPKFDGGSLQEFAEELKQKFRVLCAIIRLSVVLQQSDGLNFQDMEFLHSQEGFKLIFREAGAIASFPLPEDMGKELRNELEHFKIVFQQNLLLEVLPE >Potri.005G204800.2.v4.1 pep chromosome:Pop_tri_v4:5:20988545:20994059:-1 gene:Potri.005G204800.v4.1 transcript:Potri.005G204800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204800.v4.1 MLRIYALRLPLSNLSLFSSHSQKPRLNNNYRTMMNYTKAASSQAFKNKKLLFRQLFEKDSSTYTYLLADVAHPEKPALLIDPVDKTVDRDLSLVKELGLKLIYAINTHVHADHVTGTGLIKTKVPSVKSIISKASKSKADLLIEAGDKIHFGDLFLEVRATPGHTLGCVTYVTGDGSDQPQPRMAFTGDALLIRGCGRTDFQGGSAHQLYQSVHSQIFSLPKETLIYPAHDYRGFTVSTVGEEMQYNPRLTKDEEMFKSIMENLNLPYPKMIDIAVPSNMVCGLQDLSVKPVDASSN >Potri.001G263404.1.v4.1 pep chromosome:Pop_tri_v4:1:27917985:27919083:1 gene:Potri.001G263404.v4.1 transcript:Potri.001G263404.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G263404.v4.1 MGKYTEILDAGIRIASRFHSHCPQTARMYYHPPTNADSHTTTTPTTSMVAASSVSNPKEVTRADVKESILNSI >Potri.001G322900.5.v4.1 pep chromosome:Pop_tri_v4:1:33205617:33209442:1 gene:Potri.001G322900.v4.1 transcript:Potri.001G322900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322900.v4.1 MRQPSESSVNATLWDSTTFYRTRNLKNNPLKRPRSCQNKECQKVDRISNLPDPIIQHILSFLPADDAIKTCILSKRWDSIWTLVPNLIFRRECSCSQEAENFAVFVDKALMHYCSPKVKKFLVEFEFTECFKAQVDSWVDFAVRLKVEELCLEFHREIADDPDYYYLPNFLYSNIPAEKLSLRFCALSPNKLKQVSWMSLKVLSISYSWLSNEMIKNIFLGCSLLEYLKLNQCCGFDQINTNFSSSLKDLVVDGSWGPEDKFGDFVITIKGPNLLSLTLAGYMHRANYMLLDVSSLVEASIGYRMKSCSHGCRSNWYSAHRSVLHDLLEKLQHVEKLTIGTWCLQVLSISEVKGLSSPTSACKYLTLNKKINKWDLPGIASLLQSSPNLQKLTINLMPSNNFEFLLKNAKVLEKMIIHAQRDDVNRTWMSVEAQELMELLELTQAFLSYPRASPNAKVMLESCFK >Potri.001G322900.1.v4.1 pep chromosome:Pop_tri_v4:1:33205617:33209458:1 gene:Potri.001G322900.v4.1 transcript:Potri.001G322900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322900.v4.1 MRQPSESSVNATLWDSTTFYRTRNLKNNPLKRPRSCQNKECQKVDRISNLPDPIIQHILSFLPADDAIKTCILSKRWDSIWTLVPNLIFRRECSCSQEAENFAVFVDKALMHYCSPKVKKFLVEFEFTECFKAQVDSWVDFAVRLKVEELCLEFHREIADDPDYYYLPNFLYSNIPAEKLSLRFCALSPNKLKQVSWMSLKVLSISYSWLSNEMIKNIFLGCSLLEYLKLNQCCGFDQINTNFSSSLKDLVVDGSWGPEDKFGDFVITIKGPNLLSLTLAGYMHRANYMLLDVSSLVEASIGYRMKSCSHGCRSNWYSAHRSVLHDLLEKLQHVEKLTIGTWCLQVLSISEVKGLSSPTSACKYLTLNKKINKWDLPGIASLLQSSPNLQKLTINLMPSNNFEFELDRSFYKYYSFDGEEYWSLYNQRIFKCLVLHLKSVEIFGFDTSCSSLKLVVDQWVQFLLKNAKVLEKMIIHAQRDDVNRTWMSVEAQELMELLELTQAFLSYPRASPNAKVMLESCFK >Potri.019G087200.1.v4.1 pep chromosome:Pop_tri_v4:19:12631745:12637224:-1 gene:Potri.019G087200.v4.1 transcript:Potri.019G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087200.v4.1 MNGPNRSLHDEEEDDQGEVFLDDSDIIDEVAVDEEDLPDADEDDDDDDTDDSMHIFTGHTGELYTVSCSPTDPLLVATGGGDDKGFLWKIGLGDWASELKGHKDSVSCLAFSSDGQLLASGGFDGLVQIWDASSGNHKCVLEGPDEGIEWVRWHPKGHLVLAGSEDKSVWMWNADRGAYLNSFTGHEASVTCGDFTPDGKTICTGSDDASLRIWNPKSGENIHVVRGHPYHTDGLTCLALSSDSTLAITGSKDNSVHIVNITSGRVVSSLASHSDSVECVELAPSSPWAATGGLDQKLIIWDLQHSLPRATCEHQDGVTCVAWLGASRYVATGCVDGKVRLWDSLSGDCIRTFSGHADAIQSLSLSANQDYLVSGSSDGTARVFEIAEFK >Potri.005G124000.2.v4.1 pep chromosome:Pop_tri_v4:5:9213471:9218723:-1 gene:Potri.005G124000.v4.1 transcript:Potri.005G124000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124000.v4.1 MSHSELVVLLLPSILSLLLVFILMQRKQARFNLPPGNMGWPFLGETIGYLKPYSATSIGEFMEQHISRYGKIYKSNLFGEPTIVSADAGLNRFILQSEGRLFECSYPRSIGGILGKWSMLVLVGDMHRNMRSISLNFLSHARLRSHLLKEVEKQTLLVLSSWKENCTFSAQDEAKKFTFNLMAKHMMSLDPGKPETEQLKKEYVTFMKGVVSAPLNFPGTPYRKALKSRSIILKFIEQKMEERIARLKEGVEDLEEDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVSIALAIYFLQACPGAIQQLKEEHIEISRAKKQSGEMEINWDDYKKMEFTQCVISETLRLGNVVRFLHRKAVRDVRYKGYDIPCGWKVLPVISAVHLDSTVFDQPQQFNPWRWQHNNARGSSTCSSAAAASSNHFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNFHWELVGADQAFAFPFVDFPKGLPIRVKHHTVI >Potri.005G124000.1.v4.1 pep chromosome:Pop_tri_v4:5:9213454:9218777:-1 gene:Potri.005G124000.v4.1 transcript:Potri.005G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124000.v4.1 MSHSELVVLLLPSILSLLLVFILMQRKQARFNLPPGNMGWPFLGETIGYLKPYSATSIGEFMEQHISRYGKIYKSNLFGEPTIVSADAGLNRFILQSEGRLFECSYPRSIGGILGKWSMLVLVGDMHRNMRSISLNFLSHARLRSHLLKEVEKQTLLVLSSWKENCTFSAQDEAKKFTFNLMAKHMMSLDPGKPETEQLKKEYVTFMKGVVSAPLNFPGTPYRKALKSRSIILKFIEQKMEERIARLKEGVEDLEEDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVSIALAIYFLQACPGAIQQLKEEHIEISRAKKQSGEMEINWDDYKKMEFTQCVISETLRLGNVVRFLHRKAVRDVRYKGYDIPCGWKVLPVISAVHLDSTVFDQPQQFNPWRWQQHNNARGSSTCSSAAAASSNHFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNFHWELVGADQAFAFPFVDFPKGLPIRVKHHTVI >Potri.007G060800.3.v4.1 pep chromosome:Pop_tri_v4:7:6494814:6498595:1 gene:Potri.007G060800.v4.1 transcript:Potri.007G060800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060800.v4.1 MFFTPQVLPPFSTSLVIDLELVESNGILSHDTHEFQHENHRHRHLYENHLTFTFVILILLALGILSIPSTSSSDSSRKANDLSSIAHNSKIHESGDDEGKAEQWVEAISWEPRAFIYHNFLTKAECDYLINLAKPHMQKSMVVDSSSGKSKDSRVRTSSGTFLPRGRDKIIRDIEKRIADFSFIPSEHGEGLQILHYEVGQKYEPHFDYFMDDYNTENGGQRIATVLMYLSDVEEGGETVFPSAKGNISSVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASLDPSSLHGGCPVIRGNKWSSTKWMRVNEYKA >Potri.007G060800.2.v4.1 pep chromosome:Pop_tri_v4:7:6495912:6499431:1 gene:Potri.007G060800.v4.1 transcript:Potri.007G060800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060800.v4.1 MQKSMVVDSSSGKSKDSRVRTSSGTFLPRGRDKIIRDIEKRIADFSFIPSEHGEGLQILHYEVGQKYEPHFDYFMDDYNTENGGQRIATVLMYLSDVEEGGETVFPSAKGNISSVPWWNELSECGKGGLSVKPKMGDALLFWSMKPDASLDPSSLHGGCPVIRGNKWSSTKWMRVNEYKA >Potri.T010900.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:92000:98297:1 gene:Potri.T010900.v4.1 transcript:Potri.T010900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010900.v4.1 MMMNCGAVNATTPSSTSWSASHSSKVPMLLPRAICTTQRMCCVSGVHVDACVSFNVSRNCAVAKDSLGWSGTSPSLYSKQPFNKFLLPLKALVTSTSQDFPIASLIGEEKVGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWEKQVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMTNLIEKELEKFDRPEQAMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRKIINAYTLAYQSRVGPVEWLKPYTDETIIELGKKGVKRLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAVYDSKRRELPSPVTVWEWGWTRSAETWNGRAAMLAVLVLLVLEVTTGQGFLHQWGIFPSFH >Potri.T010900.6.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:91989:98298:1 gene:Potri.T010900.v4.1 transcript:Potri.T010900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010900.v4.1 MMMNCGAVNATTPSSTSWSASHSSKVPMLLPRAICTTQRMCCVSGVHVDACVSFNVSRNCAVAKDSLGWSGTSPSLYSKQPFNKFLLPLKALVTSTSQDFPIASLIGEEKVGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWEKQVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMTNLIEKELEKFDRPEQAMIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRKIINAYTLAYQSRVGPVEWLKPYTDETIIELGKKGVKRLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAVYDSKRRELPSPVTVWEWGWTRSAETWNGRAAMLAVLVLLVLEVTTGQGFLHQWGIFPSFH >Potri.002G039000.1.v4.1 pep chromosome:Pop_tri_v4:2:2573787:2574726:-1 gene:Potri.002G039000.v4.1 transcript:Potri.002G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039000.v4.1 MDHSFFNNPNQEFSTSPPFGLLDPSWDKLPFNLHGFSPFNSNDSEGSQETLPSDVPLTEAKASTHSIASDVPPNIAKNNLPQLSSSKEIKEQEATPKAKKNIPTRKKTYRGVRNRPWGTYAAEIRDSTRNGVRVWLGTFETGEAAALAYDQAALSLQGSKAVLNFPIEQVRKSLEELKCGFTDWCSPAEALKKTHSKRKPVSGKRKGKGLATKEVLVLEDLGADYLETLLSSCERGIPK >Potri.008G047400.9.v4.1 pep chromosome:Pop_tri_v4:8:2747788:2755061:-1 gene:Potri.008G047400.v4.1 transcript:Potri.008G047400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047400.v4.1 MLACVFFSKYPQMLSRSRFINPRRSLLQLFTAAHRFSKKLNFSSSPAPEVLLNHLDEECVDFLPWLERKAGVEISSKLYVGKSAYGRSLFASKSIQTGECIMRVPYNVQIAPDNLLPKVASLLDNEVGNVAKLAIVILIEQKRGQESEWAPYISCLPWPREMHSTIFWSKSELDMIHQSTVYQETIKEKYQIEKDFLKIKSALDHSPEILDGITLEDFMHAYALVRSRAWGSTRGVSLIPFADFSNHDGVSEAFVLNDEDKQVSEVIADRNFAPHEEVLIRYGKFSNATLLLEFGFIVPHNIHDQVQIHIDVPNHDFLGEMKLDILRRHHLPTTRYANDFKFSGDSFIIKEVRSARGKGKGLPQSLRAFARVLCCNSSQDLIDLAMEAAQNDGRLARRPLKNSSREIQAHEILLSRISQLIEEYNVSMKVNMLIFPSLIDWSCRFNFTVKSQEQVLKFSNG >Potri.008G047400.8.v4.1 pep chromosome:Pop_tri_v4:8:2747788:2755061:-1 gene:Potri.008G047400.v4.1 transcript:Potri.008G047400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047400.v4.1 MLACVFFSKYPQMLSRSRFINPRRSLLQLFTAAHRFSKKLNFSSSPAPEVLLNHLDEECVDFLPWLERKAGVEISSKLYVGKSAYGRSLFASKSIQTGECIMRVPYNVQIAPDNLLPKVASLLDNEVGNVAKLAIVILIEQKRGQESEWAPYISCLPWPREMHSTIFWSKSELDMIHQSTVYQETIKEKYQIEKDFLKIKSALDHSPEILDGITLEDFMHAYALVRSRAWGSTRGVSLIPFADFSNHDGVSEAFVLNDEDKQVSEVIADRNFAPHEEVLIRYGKFSNATLLLEFGFIVPHNIHDQVQIHIDVPNHDFLGEMKLDILRRHHLPTTRYANDFKFSGDSFIIKEVRSARGKGKGLPQSLRAFARVLCCNSSQDLIDLAMEAAQNDGRLARRPLKNSSREIQAHEILLSRISQLIEEYNVSMKSLEPVAASVCKRFALRRQMALDLLTVPVGIFGNEQVNKSRMFIFFVTSWYM >Potri.008G047400.6.v4.1 pep chromosome:Pop_tri_v4:8:2747232:2755061:-1 gene:Potri.008G047400.v4.1 transcript:Potri.008G047400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047400.v4.1 MLACVFFSKYPQMLSRSRFINPRRSLLQLFTAAHRFSKKLNFSSSPAPEVLLNHLDEECVDFLPWLERKAGVEISSKLYVGKSAYGRSLFASKSIQTGECIMRVPYNVQIAPDNLLPKVASLLDNEVGNVAKLAIVILIEQKRGQESEWAPYISCLPWPREMHSTIFWSKSELDMIHQSTVYQETIKEKYQIEKDFLKIKSALDHSPEILDGITLEDFMHAYALVRSRAWGSTRGVSLIPFADFSNHDGVSEAFVLNDEDKQVSEVIADRNFAPHEEVLIRYGKFSNATLLLEFGFIVPHNIHDQVQIHIDVPNHDFLGEMKLDILRRHHLPTTRYANDFKFSGDSFIIKEVRSARGKGKGLPQSLRAFARVLCCNSSQDLIDLAMEAAQNDGRLARRPLKNSSREIQAHEILLSRISQLIEEYNVSMKSLEPVAASVCKRFALRRQMALDLLTGELRVLESAYTWLNNYCATLLQHSTTNDDASACGNIRE >Potri.008G047400.12.v4.1 pep chromosome:Pop_tri_v4:8:2747788:2755061:-1 gene:Potri.008G047400.v4.1 transcript:Potri.008G047400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047400.v4.1 MLACVFFSKYPQMLSRSRFINPRRSLLQLFTAAHRFSKKLNFSSSPAPEVLLNHLDEECVDFLPWLERKAGVEISSKLYVGKSAYGRSLFASKSIQTGECIMRVPYNVQIAPDNLLPKVASLLDNEVGNVAKLAIVILIEQKRGQESEWAPYISCLPWPREMHSTIFWSKSELDMIHQSTVYQETIKEKYQIEKDFLKIKSALDHSPEILDGITLEDFMHAYALVRSRAWGSTRGVSLIPFADFSNHDGVSEAFVLNDEDKQVSEVIADRNFAPHEEVLIRYGKFSNATLLLEFGFIVPHNIHDQVQIHIDVPNHDFLGEMKLDILRRHHLPTTRYANDFKFSGDSFIIKEVRSARGKGKGLPQSLRAFARVLCCNSSQDLIDLAMEAAQNDGRLARRPLKNSSREIQAHEILLSRISQLIEEYNVSMKSLEPVAASVCKRFALRRQMALDLLTAFHYQ >Potri.008G047400.5.v4.1 pep chromosome:Pop_tri_v4:8:2747788:2755061:-1 gene:Potri.008G047400.v4.1 transcript:Potri.008G047400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047400.v4.1 MLACVFFSKYPQMLSRSRFINPRRSLLQLFTAAHRFSKKLNFSSSPAPEVLLNHLDEECVDFLPWLERKAGVEISSKLYVGKSAYGRSLFASKSIQTGECIMRVPYNVQIAPDNLLPKVASLLDNEVGNVAKLAIVILIEQKRGQESEWAPYISCLPWPREMHSTIFWSKSELDMIHQSTVYQETIKEKYQIEKDFLKIKSALDHSPEILDGITLEDFMHAYALVRSRAWGSTRGVSLIPFADFSNHDGVSEAFVLNDEDKQVSEVIADRNFAPHEEVLIRYGKFSNATLLLEFGFIVPHNIHDQVQIHIDVPNHDFLGEMKLDILRRHHLPTTRYANDFKFSGDSFIIKEVRSARGKGKGLPQSLRAFARVLCCNSSQDLIDLAMEAAQNDGRLARRPLKNSSREIQAHEILLSRISQLIEEYNVSMKSLEPVAASVCKRFALRRQMALDLLTGELRVLESAYTWLNNYCATLLQHSTTNDDASACGNIRE >Potri.008G047400.7.v4.1 pep chromosome:Pop_tri_v4:8:2747889:2754889:-1 gene:Potri.008G047400.v4.1 transcript:Potri.008G047400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047400.v4.1 MLACVFFSKYPQMLSRSRFINPRRSLLQLFTAAHRFSKKLNFSSSPAPEVLLNHLDEECVDFLPWLERKAGVEISSKLYVGKSAYGRSLFASKSIQTGECIMRVPYNVVSLLTHSTAAIAVSNFLTLFLKGWPIFSYLISQQIAPDNLLPKVASLLDNEVGNVAKLAIVILIEQKRGQESEWAPYISCLPWPREMHSTIFWSKSELDMIHQSTVYQETIKEKYQIEKDFLKIKSALDHSPEILDGITLEDFMHAYALVRSRAWGSTRGVSLIPFADFSNHDGVSEAFVLNDEDKQVSEVIADRNFAPHEEVLIRYGKFSNATLLLEFGFIVPHNIHDQVQIHIDVPNHDFLGEMKLDILRRHHLPTTRYANDFKFSGDSFIIKEVRSARGKGKGLPQSLRAFARVLCCNSSQDLIDLAMEAAQNDGRLARRPLKNSSREIQAHEILLSRISQLIEEYNVSMKSLEPVAASVCKRFALRRQMALDLLTAFHYQ >Potri.008G047400.11.v4.1 pep chromosome:Pop_tri_v4:8:2747788:2755061:-1 gene:Potri.008G047400.v4.1 transcript:Potri.008G047400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047400.v4.1 MLACVFFSKYPQMLSRSRFINPRRSLLQLFTAAHRFSKKLNFSSSPAPEVLLNHLDEECVDFLPWLERKAGVEISSKLYVGKSAYGRSLFASKSIQTGECIMRVPYNVQIAPDNLLPKVASLLDNEVGNVAKLAIVILIEQKRGQESEWAPYISCLPWPREMHSTIFWSKSELDMIHQSTVYQETIKEKYQIEKDFLKIKSALDHSPEILDGITLEDFMHAYALVRSRAWGSTRGVSLIPFADFSNHDGVSEAFVLNDEDKQVSEVIADRNFAPHEEVLIRYGKFSNATLLLEFGFIVPHNIHDQVQIHIDVPNHDFLGEMKLDILRRHHLPTTRYANDFKFSGDSFIIKEVRSARGKGKGLPQSLRAFARVLCCNSSQDLIDLAMEAAQNDGRLARRPLKNSSREIQAHEILLSRISQLIEEYNVSMKSLEPVAASVCKRFALRRQMALDLLTAFHYQ >Potri.008G047400.10.v4.1 pep chromosome:Pop_tri_v4:8:2747232:2755061:-1 gene:Potri.008G047400.v4.1 transcript:Potri.008G047400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047400.v4.1 MLACVFFSKYPQMLSRSRFINPRRSLLQLFTAAHRFSKKLNFSSSPAPEVLLNHLDEECVDFLPWLERKAGVEISSKLYVGKSAYGRSLFASKSIQTGECIMRVPYNVQIAPDNLLPKVASLLDNEVGNVAKLAIVILIEQKRGQESEWAPYISCLPWPREMHSTIFWSKSELDMIHQSTVYQETIKEKYQIEKDFLKIKSALDHSPEILDGITLEDFMHAYALVRSRAWGSTRGVSLIPFADFSNHDGVSEAFVLNDEDKQVSEVIADRNFAPHEEVLIRYGKFSNATLLLEFGFIVPHNIHDQVQIHIDVPNHDFLGEMKLDILRRHHLPTTRYANDFKFSGDSFIIKEVRSARGKGKGLPQSLRAFARVLCCNSSQDLIDLAMEAAQNDGRLARRPLKNSSREIQAHEILLSRISQLIEEYNVSMKSLEPVAASVCKRFALRRQMALDLLTAFHYQ >Potri.001G241500.1.v4.1 pep chromosome:Pop_tri_v4:1:25892184:25894514:-1 gene:Potri.001G241500.v4.1 transcript:Potri.001G241500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241500.v4.1 MKEGKSSAKLNNNNNQQQQHQNGHLSPFKLAKLLDPEASWDKDQLGDVLHWIRQVVALVCGLLWGAIPLVGGIWIALFLLISSGIIYVYYGMILKIDEDDFGGHGTLLQEGLFASITLFLLSWILMYSLAHF >Potri.011G155500.1.v4.1 pep chromosome:Pop_tri_v4:11:18218456:18222211:1 gene:Potri.011G155500.v4.1 transcript:Potri.011G155500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155500.v4.1 MASLATSTLVYSTPVRIKTSSKPRSSSRTCKKTVPFLVTRADSSSLLLHAAKHTVDKHIQSGMVVGLGSGQASGMAIQYLGRLLRTGALKDIVGVPMSVTSASEAAKAGIPLDVYQDSSQIDFAFDDADIIEEETLVAIIGRQKSQSDESIIQEKSILKAANKLVFMITENQYVGGLEGSIPVLVQALNWMETAEEIDDLFLGDAEVWRRSSIGQAGPTGGDYPFITREGHNVLDVIFTSPVQSLAEVAESLDKVDGVVDHGVFSKFPCTVVIAAESGGLNIVDR >Potri.001G053500.2.v4.1 pep chromosome:Pop_tri_v4:1:4065312:4066712:-1 gene:Potri.001G053500.v4.1 transcript:Potri.001G053500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053500.v4.1 MESLDTAACFMVDDLLDFCSDIGEEEDGEEHQRNSKKSRRALPSLNPNALHPASFNVLEHSLLPEFAEEELEWLSNKDAFPTVETCFGSLSGEPGSIPKHHSPVSVLENSTTSSTSNSGNSSNSNIIMSYCRLRVPVKARSKRHHRHPREIQEQECWWSQENFITRKPAVSVAKLGRKCQHCGVEKTPQWRAGPDGPKTLCNACGVRYKSGRLVPEYRPANSPTFSSKLHSNSHRKVVEMRRQKQMTGLLVAKPMDKG >Potri.007G057701.1.v4.1 pep chromosome:Pop_tri_v4:7:6076740:6085614:-1 gene:Potri.007G057701.v4.1 transcript:Potri.007G057701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057701.v4.1 MESSSSQMKSVAIFPSQQQQCCLHQHRNSGGRSSFQQKKRHLLLRPSTTIRSTSQRGVALVIFPSPAEHQLLPATTAVSSWVAIHNNCLSLAMVADIPPQH >Potri.001G098100.1.v4.1 pep chromosome:Pop_tri_v4:1:7794447:7795392:-1 gene:Potri.001G098100.v4.1 transcript:Potri.001G098100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098100.v4.1 MAETEQAKPLAPAALRIRSVEEENMPTLSKTHRRNCIKCCGCITAILLILATTVVVLVLTVFQVDDPVIKMNKVSVQRLELANGTLRTDVNVTLLADVSVKNPNAAAFKFKNGTTTVYCGGVMVGEANTPPGKAKARRTLHMNVTVDLIPKKLLSVPSLMSDVVSVRKLTMSSNTVIGGKVRILQIIKKYLVVRVNCTMTYNFTSQAIQGGNCKPHLSM >Potri.010G170400.2.v4.1 pep chromosome:Pop_tri_v4:10:17222516:17235477:1 gene:Potri.010G170400.v4.1 transcript:Potri.010G170400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170400.v4.1 MTQPSVAADSLPLGVQRPIMPTMPSSNAVQQQTYPTYPSLPVMAASPQALWMHPPPIGGMPRQPFLSYPAAFPGSFPPPGHGMPYPSVSLPDSQPPGVVPVGHSYAIPMSSSASVHQLPGAPGMQTELPPPGIDNHNHLHHSGIRDNAAVSEPSHAWTAHKTDTGVFYYYNAVTGVSTYEKPPGFKEPEKVPVQPTPVSMENLAGTDWVLITTNDSKKYYYNNKTKLSSWQIPSEVTELRKNQEAEVSKGNAMSVSQVNALTEKGSAPISLSAPAANTGGRDATALRVLSVPGTSSALDLIKKKLQEFGAPAISAAVSVSSGAAASESNGSRVVEAAAKGLPSEISKDKLKDANGDGNISDSSTDSEDEDDGPSKEECIIQFKEMLKERGVAPFSKWEKELPKLVFDPRFKAIPSHSARRSLFEHYVKTRAEEKRKEKRAAQKAAVEGFKQLLEEASEDIDHNTDYQTFRKKWGNDPRFEALDRKDREHLLNERIHLLKKAAQEKAQAERAYAAASFKSMLRDKGDITVSSRWSRVKDSLRNDPRYKSVKHEDREVFFNEYLYELKAAEEAERDARGKTEEQLLSSSVQDKLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETLKDPQASWTESKPKLDKDPQRRATHPDLDPSDTEKLFREHMKMLHERCTNDFKALLAEVITAETAAQKTDDGKTVLDSWSTAKRLIKPDPRYNKMPRKERETLWRRYAEEMLRKQKFEPDPKEDKHTDSKNRSANDSGRYHSGSRRTNDRR >Potri.010G170400.1.v4.1 pep chromosome:Pop_tri_v4:10:17222522:17235767:1 gene:Potri.010G170400.v4.1 transcript:Potri.010G170400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170400.v4.1 MESPAWLPQREVKPPPTATESGVAAATPPPENSAGNNAHSSYSSPAPTFTYNVTPNMSSGAALNSNPPGQPVPVPGPASSVGLSFSYKIPQTGPGFPGNQQLQSSVDKSPAIAQGSAPSVAPIASQSASFPLHSPSSSYTSLSSNLGPTPSQTPATASFYLPPGLPRTPGTLAPQGLVPSAPMTQPSVAADSLPLGVQRPIMPTMPSSNAVQQQTYPTYPSLPVMAASPQALWMHPPPIGGMPRQPFLSYPAAFPGSFPPPGHGMPYPSVSLPDSQPPGVVPVGHSYAIPMSSSASVHQLPGAPGMQTELPPPGIDNHNHLHHSGIRDNAAVSEPSHAWTAHKTDTGVFYYYNAVTGVSTYEKPPGFKEPEKVPVQPTPVSMENLAGTDWVLITTNDSKKYYYNNKTKLSSWQIPSEVTELRKNQEAEVSKGNAMSVSQVNALTEKGSAPISLSAPAANTGGRDATALRVLSVPGTSSALDLIKKKLQEFGAPAISAAVSVSSGAAASESNGSRVVEAAAKGLPSEISKDKLKDANGDGNISDSSTDSEDEDDGPSKEECIIQFKEMLKERGVAPFSKWEKELPKLVFDPRFKAIPSHSARRSLFEHYVKTRAEEKRKEKRAAQKAAVEGFKQLLEEASEDIDHNTDYQTFRKKWGNDPRFEALDRKDREHLLNERIHLLKKAAQEKAQAERAYAAASFKSMLRDKGDITVSSRWSRVKDSLRNDPRYKSVKHEDREVFFNEYLYELKAAEEAERDARGKTEEQLLSSSVQDKLKERERELRKRKEREEQEMERVRVKVRRKEAVASFQALLVETLKDPQASWTESKPKLDKDPQRRATHPDLDPSDTEKLFREHMKMLHERCTNDFKALLAEVITAETAAQKTDDGKTVLDSWSTAKRLIKPDPRYNKMPRKERETLWRRYAEEMLRKQKFEPDPKEDKHTDSKNRSANDSGRYHSGSRRTNDRR >Potri.007G113350.3.v4.1 pep chromosome:Pop_tri_v4:7:13418785:13420328:1 gene:Potri.007G113350.v4.1 transcript:Potri.007G113350.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113350.v4.1 MVGNDFGFGKGQCLTGGNLGMVGNLYGFGIGQCLTGGNLGMVGNVCGSGLGRGGDSELVDGGIASFGGDMEVGGGNDVGTGSTGVGFGGLEGVNKGMLGDGFGFGGFEGFNTGTLGEGVGFGGLEGVNTGTLGEGVGFGGLEGVNTGTLGEGVGFGGLEGVGCVLAGDGDVGADVGGVGVSLGGKGGHLGFGNGDKSLAW >Potri.007G113350.1.v4.1 pep chromosome:Pop_tri_v4:7:13418785:13420170:1 gene:Potri.007G113350.v4.1 transcript:Potri.007G113350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113350.v4.1 MVGNDFGFGKGQCLTGGNLGMVGNLYGFGIGQCLTGGNLGMVGNVCGSGLGRGGDSELVDGGIASFGGDMEVGGGNDVGTGSTGVGFGGLEGVNTGMLGEGVGFGGFEGVNKGMLGDGFGFGGFEGFNTGTLGEGVGFGGLEGVNTGTLGEGVGFGGLEGVGCVLAGDGDVGADVGGVGVSLGGKGGHLGFGNGDKSLAW >Potri.014G014600.1.v4.1 pep chromosome:Pop_tri_v4:14:844586:845074:1 gene:Potri.014G014600.v4.1 transcript:Potri.014G014600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014600.v4.1 MKTKAQNQSKFMRVMTIPVRVLCKARDVYVKSMTDFSMRMSNGPSMALLAGQHPPLPKSFSVGSSRSDDGEDHRQLVRAASARSLGHSNEIEMYMQQLRQQQSSMTMGSKKVLPKSSSVGMRCMGRIDEDKSCVFEEVGVDVKPQLGPRSRSYAVGKGRNAF >Potri.004G074000.1.v4.1 pep chromosome:Pop_tri_v4:4:6157305:6159422:1 gene:Potri.004G074000.v4.1 transcript:Potri.004G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074000.v4.1 MASGITAATVSKPSGYIFTSHLLQKPLTTTSLSLPFIRHQNLLHYGFKVPRKTSFAVCFVVEYQTKPISAHLENQQEEEPKDVNKNQILTPRVAERLARKQRERDTYLIAAVMSSLGITSTAVLAVYYRFYWLEGGKVSWPEMFGTFALSVGAAVGMEFWARWAHKELWHASLWNMHESHHRPRDGPFELNDVFAIINAVPAISLVAYGFFNEGLVPGLCFGAGLGITVFGMAYMFVHDGLVHKRFPVGPIADVPYFTRVAAAHQIHHSEKFNGVPYGLFLGHKEIEEVGGQEELEREINRRTKSSKGL >Potri.004G161700.4.v4.1 pep chromosome:Pop_tri_v4:4:18165503:18173717:-1 gene:Potri.004G161700.v4.1 transcript:Potri.004G161700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161700.v4.1 MCYTCTFSLCKGCIKDAVILCVRGNKGFCETCMKTIMLIERNEQGSKETVQVDFDDKSSWEYLFKDYWNDLKERLSLTPEELAQAKNPWKGSDSHTGKQELADELYDVHNDGGSGSDSSADAEVTTSRRRKPKKRLRSRAKEKDSPGSVSWAEGESADESVEWASKELLEFVMHMKNGDKSACSQFDVQALLLDYIKRNKLRDPRRKSQIICDSRLENLFGKPRVGHFEMLKLLESHFLLKDDSQADDLQGSVVDTESSQLEADGNSDALTKASKDKRRKSRKKGEGRGLQSNIDDYAAINMHNINLIYLRRSLLEDLIEDTEAFHDKAVGSFVRIRISGNAQKQDLYRLVQVIGTSKAAEPYRVGKKMTSFMLEILNLNKTELVSIDIISNQEFTEDECKRLRQSIKCGLINRLTVGDIQEKAIAIQAVRVQDSLESEITRLSHLRDRASDMGHRKELRECVEKLQLLKTPEERQRRLEEIPEIHADPNMDPSHESDEDEGETEDKRQENSLRPRGSGFSRKGREPISPRKGGFTSNDTWGGSKSYSSTNRELSRNLSDKGFSSKGDDIGGGESVNENFWGQGREKQTQQSQSTNSTVISESVPGIALEISPSTPSTVVTQSASKVNEAEKIWHYQDPSGKIQGPFSMVQLRKWSNTGYFPVDLRIWRNTGTKDDSILLTEALSGNFQRDPPAVDNSFLKTQLVQSPHLPSSFTGNIAQAAPVPVEVPKYSTDRWDSGTNLPSPTPGQTTPSLTKGQVFESQWSPTPAQPVGSALGANQSSGGNVELQGATVISGTPSKMSHGVSPLPKLEPGMLSISSNGPQMHSQSTLPGESPRVQVNSHMHSVLDPSGTSVNATVEMRSLQNLVQPGTSGNSLVGTHGWGAGSIPRPEMYASHAVTGAGSQAWGSTQSQKPEANNLVLMPSQPSAYSNWGNAQTSVRNPSSSLTTGNPSGVSPVPSTGTNPWRAPVPGPSNIQPSVPSSGPWGMGITDNQGATPRQGPENQNTSWGPIPGNQNMGWGVSLPANSNQGWAVPGQVPSAGNVNPGWGAPVQGQAPGNANPAWGGAPVQGPAPGNAFSGWGPSGQGPAPTNANTGWVPPSQGPPPPPNANTNWSVPTGNAGTWGSDANQNGDRDRFSSQRDRGSHGGDSGYGGGKPWNRQSSFNRSRDSPRPPFKGQRICKYHHEHGHCKKGASCDYMHN >Potri.004G161700.5.v4.1 pep chromosome:Pop_tri_v4:4:18165434:18170689:-1 gene:Potri.004G161700.v4.1 transcript:Potri.004G161700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161700.v4.1 MGHRKELRECVEKLQLLKTPEERQRRLEEIPEIHADPNMDPSHESDEDEGETEDKRQENSLRPRGSGFSRKGREPISPRKGGFTSNDTWGGSKSYSSTNRELSRNLSDKGFSSKGDDIGGGESVNENFWGQGREKQTQQSQSTNSTVISESVPGIALEISPSTPSTVVTQSASKVNEAEKIWHYQDPSGKIQGPFSMVQLRKWSNTGYFPVDLRIWRNTGTKDDSILLTEALSGNFQRDPPAVDNSFLKTQLVQSPHLPSSFTGNIAQAAPVPVEVPKYSTDRWDSGTNLPSPTPGQTTPSLTKGQVFESQWSPTPAQPVGSALGANQSSGGNVELQGATVISGTPSKMSHGVSPLPKLEPGMLSISSNGPQMHSQSTLPGESPRVQVNSHMHSVLDPSGTSVNATVEMRSLQNLVQPGTSGNSLVGTHGWGAGSIPRPEMYASHAVTGAGSQAWGSTQSQKPEANNLVLMPSQPSAYSNWGNAQTSVRNPSSSLTTGNPSGVSPVPSTGTNPWRAPVPGPSNIQPSVPSSGPWGMGITDNQGATPRQGPENQNTSWGPIPGNQNMGWGVSLPANSNQGWAVPGQVPSAGNVNPGWGAPVQGQAPGNANPAWGGAPVQGPAPGNAFSGWGPSGQGPAPTNANTGWVPPSQGPPPPPNANTNWSVPTGNAGTWGSDANQNGDRDRFSSQRDRGSHGGDSGYGGGKPWNRQSSFNRSRDSPRPPFKGQRICKYHHEHGHCKKGASCDYMHN >Potri.004G161700.6.v4.1 pep chromosome:Pop_tri_v4:4:18165488:18169199:-1 gene:Potri.004G161700.v4.1 transcript:Potri.004G161700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161700.v4.1 MDPSHESDEDEGETEDKRQENSLRPRGSGFSRKGREPISPRKGGFTSNDTWGGSKSYSSTNRELSRNLSDKGFSSKGDDIGGGESVNENFWGQGREKQTQQSQSTNSTVISESVPGIALEISPSTPSTVVTQSASKVNEAEKIWHYQDPSGKIQGPFSMVQLRKWSNTGYFPVDLRIWRNTGTKDDSILLTEALSGNFQRDPPAVDNSFLKTQLVQSPHLPSSFTGNIAQAAPVPVEVPKYSTDRWDSGTNLPSPTPGQTTPSLTKGQVFESQWSPTPAQPVGSALGANQSSGGNVELQGATVISGTPSKMSHGVSPLPKLEPGMLSISSNGPQMHSQSTLPGESPRVQVNSHMHSVLDPSGTSVNATVEMRSLQNLVQPGTSGNSLVGTHGWGAGSIPRPEMYASHAVTGAGSQAWGSTQSQKPEANNLVLMPSQPSAYSNWGNAQTSVRNPSSSLTTGNPSGVSPVPSTGTNPWRAPVPGPSNIQPSVPSSGPWGMGITDNQGATPRQGPENQNTSWGPIPGNQNMGWGVSLPANSNQGWAVPGQVPSAGNVNPGWGAPVQGQAPGNANPAWGGAPVQGPAPGNAFSGWGPSGQGPAPTNANTGWVPPSQGPPPPPNANTNWSVPTGNAGTWGSDANQNGDRDRFSSQRDRGSHGGDSGYGGGKPWNRQSSFNRSRDSPRPPFKGQRICKYHHEHGHCKKGASCDYMHN >Potri.004G161700.3.v4.1 pep chromosome:Pop_tri_v4:4:18165498:18177459:-1 gene:Potri.004G161700.v4.1 transcript:Potri.004G161700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161700.v4.1 METEEEENSINPSRSSIELENESNLTTTITTTTTTTDTESVAELNESNASQLGITDTDLLQQQSQPPQSQTETTVVDMSDAQDFVVGDSGSVSPQHLVIEGREGEEVAEATEGSGEIEAPREVVEKEVENRDLVAKEERNEDVSGYANAGNNEMDTETEGVADVAAELETETSSQEVEAMEEEVAEAKEELAEDENTENMENADVVEEKKEGADVVDKVEMDSVVKETKTVRVKEEEERVDNTEIYGVGDEMEAVEQREITDVVEEGKVEKTEVVADVARETEDVERVGMTEIVEEEKAEKIEVNEEKQSALQVEMTDFAEETGEDEKNEMTDIAEEIKVAGQLETTDVAGGTEQAIDEEGEAMETKMIDVAEEGDKEEDTEMDMAEKGNEGDDMADEAEGVGEGVEEVGRSGGGKRKRGKNAKTPSRATSKKKMEEDVCFICFDGGELVLCDRRGCSKAYHPSCVNRDEAFFRAKGRWNCGWHLCSNCVKNAYYMCYTCTFSLCKGCIKDAVILCVRGNKGFCETCMKTIMLIERNEQGSKETVQVDFDDKSSWEYLFKDYWNDLKERLSLTPEELAQAKNPWKGSDSHTGKQELADELYDVHNDGGSGSDSSADAEVTTSRRRKPKKRLRSRAKEKDSPGSVSWAEGESADESVEWASKELLEFVMHMKNGDKSACSQFDVQALLLDYIKRNKLRDPRRKSQIICDSRLENLFGKPRVGHFEMLKLLESHFLLKDDSQADDLQGSVVDTESSQLEADGNSDALTKASKDKRRKSRKKGEGRGLQSNIDDYAAINMHNINLIYLRRSLLEDLIEDTEAFHDKAVGSFVRIRISGNAQKQDLYRLVQVIGTSKAAEPYRVGKKMTSFMLEILNLNKTELVSIDIISNQEFTEDECKRLRQSIKCGLINRLTVGDIQEKAIAIQAVRVQDSLESEITRLSHLRDRASDMGHRKELRECVEKLQLLKTPEERQRRLEEIPEIHADPNMDPSHESDEDEGETEDKRQENSLRPRGSGFSRKGREPISPRKGGFTSNDTWGGSKSYSSTNRELSRNLSDKGFSSKGDDIGGGESVNENFWGQGREKQTQQSQSTNSTVISESVPGIALEISPSTPSTVVTQSASKVNEAEKIWHYQDPSGKIQGPFSMVQLRKWSNTGYFPVDLRIWRNTGTKDDSILLTEALSGNFQRDPPAVDNSFLKTQLVQSPHLPSSFTGNIAQAAPVPVEVPKYSTDRWDSGTNLPSPTPGQTTPSLTKGQVFESQWSPTPAQPVGSALGANQSSGGNVELQGATVISGTPSKMSHGVSPLPKLEPGMLSISSNGPQMHSQSTLPGESPRVQVNSHMHSVLDPSGTSVNATVEMRSLQNLVQPGTSGNSLVGTHGWGAGSIPRPEMYASHAVTGAGSQAWGSTQSQKPEANNLVLMPSQPSAYSNWGNAQTSVRNPSSSLTTGNPSGVSPVPSTGTNPWRAPVPGPSNIQPSVPSSGPWGMGITDNQGATPRQGPENQNTSWGPIPGNQNMGWGVSLPANSNQGWAVPGQVPSAGNVNPGWGAPVQGQAPGNANPAWGGAPVQGPAPGNAFSGWGPSGQGPAPTNANTGWVPPSQGPPPPPNANTNWSVPTGNAGTWGSDANQNGDRDRFSSQRDRGSHGGDSGYGGGKPWNRQSSFNRSRDSPRPPFKGQRICKYHHEHGHCKKGASCDYMHN >Potri.015G145100.1.v4.1 pep chromosome:Pop_tri_v4:15:14993481:14997692:1 gene:Potri.015G145100.v4.1 transcript:Potri.015G145100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145100.v4.1 MGVLFQVLVVSILTASLYMIWRILFSCWISPAGAYLKLKKNGFGGPTPNFPLGNHKEIKNISRKAAAAAAASSTNITTFSSPGTSEISNDIHSSVFPYFSQWQKSHGKVFIYWLGTEPFLYIADPEFLKTMSSGVMGKSWGKPEVFKHDREPMFGNGLVMVEGDEWVRHRHVITPAFSPANLKAMSSLMVESTTKMLDKWAALINSGSQEIDVEREITATAGEIIAKTSFGISYENGSKVFEKLRAMQITLFKSNRYVGVPFSKLVFPGKTMEAKKLGKEIDALLLTIVTARKNSNEGCGQKDLLGLLLQENGVDGRLGKKLTTRELVDECKTFFFGGHETTALALSWTMLLLAMHPEWQNQLREEIREVTGDKEIDFTKLAGLKKMGWVMNEVLRLYSPAPNVQRQAREDIQVNDLIIPKGTNMWIDVVAMNHDPKLWGEDVNEFKPERFKDDLYGGCKHKMGFLPFGFGGRMCIGRNLTMMEYKIVLTLVLTRFSFSISPSYSHSPAIVLSLRPSNGLPLIVKPL >Potri.005G043100.4.v4.1 pep chromosome:Pop_tri_v4:5:2712565:2714706:-1 gene:Potri.005G043100.v4.1 transcript:Potri.005G043100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043100.v4.1 MIFMCSAKTKPDCFLYRVMGVTMNKKELILGVKPGLKLFLYDFDLKLMYGIYEASSAGGVKLEPKAFGGSFPFQVRFVVHKDCFPITESVFKKAIKDNYNEKNKFKTELTVRQVLKLSALFRPVIGPVRSPPMATVQDREVYAGARDLQVHLEREAFARGNHDARRYSMLSGERDGHVEYQQAGSMHRDEFPCDLFMSEKEYRTYGLSGERRKLTPSHHIPSTLDPYQRDQEREHFLRQPDPICRDTVPLQREAVLAVPLYLNQPYNSSGRRELPPAVTSIPPTSSGSALAALDPYTRDPYYTYHHGASSADAYVPPPRRDELSSGSYYVDGRRETYLFEADPLRRREADQEGRLYSTHASDALSNYNKLLQYHGAKPETAPPSVSSRYSFAGPSVYYR >Potri.005G043100.2.v4.1 pep chromosome:Pop_tri_v4:5:2712566:2716371:-1 gene:Potri.005G043100.v4.1 transcript:Potri.005G043100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043100.v4.1 MEQEINDTETELAEASTKSQSTENNNMETENPIEASTKLQSEINNNGDGNHVDALTKGQSSRRRTPKSLRAKSKTIEKPSANNSLKSKKAKSSPKSQGRNRKKNKDIIQGKGERNRNEHGEANNLNSSEKNVSKKERIEKGQEIRKNQERFVGSNKGQKNQKSGEKHGVLVDKSSRNKKNKGKLDEKEKNGWDEKKKEKLGGMIFMCSAKTKPDCFLYRVMGVTMNKKELILGVKPGLKLFLYDFDLKLMYGIYEASSAGGVKLEPKAFGGSFPFQVRFVVHKDCFPITESVFKKAIKDNYNEKNKFKTELTVRQVLKLSALFRPVIGPVRSPPMATVQDREVYAGARDLQVHLEREAFARGNHDARRYSMLSGERDGHVEYQQAGSMHRDEFPCDLFMSEKEYRTYGLSGERRKLTPSHHIPSTLDPYQRDQEREHFLRQPDPICRDTVPLQREAVLAVPLYLNQPYNSSGRRELPPAVTSIPPTSSGSALAALDPYTRDPYYTYHHGASSADAYVPPPRRDELSSGSYYVDGRRETYLFEADPLRRREADQEGRLYSTHASDALSNYNKLLQYHGAKPETAPPSVSSRYSFAGPSVYYR >Potri.005G251100.1.v4.1 pep chromosome:Pop_tri_v4:5:24425239:24427246:1 gene:Potri.005G251100.v4.1 transcript:Potri.005G251100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251100.v4.1 MSRSLGIPVKLLHEASGHIVTVELKSGELYRGGMVECEDNWNCQLESITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKSASLGVGRGRSVAMRSKAQAAGRGAPPGRGVVPPVRR >Potri.001G409500.1.v4.1 pep chromosome:Pop_tri_v4:1:44355648:44359044:-1 gene:Potri.001G409500.v4.1 transcript:Potri.001G409500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409500.v4.1 MESHQYFGYGVTGAGLSYSSSYPSVPSIPNRLFGSLKFDIGNSPSSPFYTEFDCDTYTATLSDSQECYSSTDNLSGVSPSRNSSLESNSYFNRPSPSVDCRIESLQLFSGGTSSLQDASSSQNIKHALQELETALMGPDDDDELNTSNASLGDSSTPQTSDQKPRAWRQGSHVIQNQTSFVSRQRQLGEGAHVEKRQKSMEEVPLHGIPPGDLKQLLIACAKALAENNVSAFDNLTEKARSVVSISGEPIQRLGAYLIEGLVARKESSGANIYRTLKCREPEGKDLLSYMHILYEICPYLKFGYMAANGAIAEACRNEDRIHIIDFQIAQGTQWMTLLQALAARPSGAPHVRITGIDDPVSKYARGDGLEAVARRLSAISEKFNIPVEFHGVPVFAPDVTKEMLDVRPGEALAVNFPLQLHHTPDESVDVNNPRDGLLRMIKSFNPKVVTLVEQESNTNTTPFVTRFVETLNYYLAMFESIDVTLPRDRKERISVEQHCLARDMVNVIACEGKERVERHELFRKWKSRFMMAGFQQYPLSTYVNSVIKSLLRTYSEHYTLVENDGAMLLGWKDRNLISASAWH >Potri.008G134600.4.v4.1 pep chromosome:Pop_tri_v4:8:8935061:8944957:-1 gene:Potri.008G134600.v4.1 transcript:Potri.008G134600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134600.v4.1 MNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASLDEFTRLTAITWINEFVKLGGEQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIKADPADGFDVAAILSIARRQLSSEWEATRIEALHWISTLLNGHRIEVLSFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDPQHFRQLVVFLVHNFRNDHSLLEKRGALIIRRLCVLLDAERIYRELSTILEGEADLDFASIMVQALNLILLTSSELSELRDLLKQSLVNSAGKDLFVSLYASWCHSPMAIISLCLLAQTYQHASTVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKMLRTRLKTVPSYSFSGDQVKRTSLGNPYSQILHHIPCGSHISEDGDVNQDVGASNLHNGINFTSRLHQFVQMQRQHRMHAKVQAQSHNSSTSSSKDVQRSEESGHQLPLDNSEPPSRSSRKGPGQLQL >Potri.008G134600.1.v4.1 pep chromosome:Pop_tri_v4:8:8935061:8944957:-1 gene:Potri.008G134600.v4.1 transcript:Potri.008G134600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134600.v4.1 MTDAVIPPAVLRNLSDKLYEKRKNAALEVEGIVKSLAAAGDHEKISIVINLLTNEFTASAQANHRKGGLIGLAAATVGLTSDAAQHLSQIVPPVLESFVDQDSRVRYYACEALYNIAKVVRGDFIIFFNRIFDALCKLSADSDPNVQSAAHLLDRLVKDIVTESDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPDIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASLDEFTRLTAITWINEFVKLGGEQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIKADPADGFDVAAILSIARRQLSSEWEATRIEALHWISTLLNGHRIEVLSFLNDIFDTLLKALSDPSDEVVLLVLEVHACIAKDPQHFRQLVVFLVHNFRNDHSLLEKRGALIIRRLCVLLDAERIYRELSTILEGEADLDFASIMVQALNLILLTSSELSELRDLLKQSLVNSAGKDLFVSLYASWCHSPMAIISLCLLAQTYQHASTVIQSLVEEDINVKFLVQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKMLRTRLKTVPSYSFSGDQVKRTSLGNPYSQILHHIPCGSHISEDGDVNQDVGASNLHNGINFTSRLHQFVQMQRQHRMHAKVQAQSHNSSTSSSKDVQRSEESGHQLPLDNSEPPSRSSRKGPGQLQL >Potri.017G143940.1.v4.1 pep chromosome:Pop_tri_v4:17:14420948:14423202:1 gene:Potri.017G143940.v4.1 transcript:Potri.017G143940.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143940.v4.1 MANCKPQKKVLLLCGDFMEDYEAMVPFQALEAYGIAVDAVCPGKKAGDCCRTVIQDSGAYHGYQTFTEKLGHNFSLNANFDEVDFSKYDGLLLPGGRAPEYLAINESVLDCVRKFSDSGKPIGSICHGHLILAAAGSVKGRKCTALHALGPVLIDAGAHWIEPKTRMDCVADGNIITGVIYRAHPEYIRLFVRALGGKVTGSDKRILFLCGDFMEDYEVTVPFQSLQALGCHVDAVSPKKKAGDICPTAVHDFEGDQTYSEKPGHNFILTASYEGLDASTYDALVIPGGRAPEYLALDETVIALVKEFMQSRKPVASICHGQQILAAAGVLKGRKCTAYPTVKLNVVLGGATWLEPDPIDRCYTDENLVTGAAWPGHPEFVSQLMALLGIQVSF >Potri.016G041200.3.v4.1 pep chromosome:Pop_tri_v4:16:2594991:2597222:-1 gene:Potri.016G041200.v4.1 transcript:Potri.016G041200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041200.v4.1 MSEVELSRSEKKKHKKKTQETETETNPTDTVKDFMIKPQNFTPTIDTSQWPILLKNYDRLNVRTGHYTPLPCGHSPLKRPLAEYIRYGVMNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHDKIADVTKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEAGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPKASIKKKLISEGKLDKHGKPNDNTPHEWARNLVLPAGGDSMVAGLAAAAEPVVKEIGEDGEGRKRKLDESSDSPAAHVAKKAKTELVKDEESVKVKKVKEELVEGSDDEKKDKKKKKKKSKEDGEAGKEEKVELKKLKEEPVVGSEDEKKEKKKKKKKSKEDAEVENLVEKETEKSEKKKKKKKDKEAEEAATIENGKADGEADKSEKKKKKKKDKDGED >Potri.016G041200.4.v4.1 pep chromosome:Pop_tri_v4:16:2594118:2597222:-1 gene:Potri.016G041200.v4.1 transcript:Potri.016G041200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041200.v4.1 MSEVELSRSEKKKHKKKTQETETETNPTDTVKDFMIKPQNFTPTIDTSQWPILLKNYDRLNVRTGHYTPLPCGHSPLKRPLAEYIRYGVMNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHDKIADVTKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEAGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPKASIKKKLISEGKLDKHGKPNDNTPHEWARNLVLPAGGDSMVAGLAAAAEPVVKEIGEDGEGRKRKLDESSDSPAAHVAKKAKTELVKDEESVKVKKVKEELVEGSDDEKKDKKKKKKKSKEDGEAGKEEKVELKKLKEEPVVGSEDEKKEKKKKKKKSKEDAEVENLVEKETEKSEKKKKKKKDKEAEEAATIENGKADGEADKSEKKKKKKKDKDGED >Potri.016G041200.1.v4.1 pep chromosome:Pop_tri_v4:16:2594304:2597222:-1 gene:Potri.016G041200.v4.1 transcript:Potri.016G041200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041200.v4.1 MSEVELSRSEKKKHKKKTQETETETNPTDTVKDFMIKPQNFTPTIDTSQWPILLKNYDRLNVRTGHYTPLPCGHSPLKRPLAEYIRYGVMNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHDKIADVTKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADKHLVVFWISCEAGTYVRTMCVHLGLILGVGGHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYRDESYLRRVIMPLEVILTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEAGEEVVLMTTKGEAIALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPKASIKKKLISEGKLDKHGKPNDNTPHEWARNLVLPAGGDSMVAGLAAAAEPVVKEIGEDGEGRKRKLDESSDSPAAHVAKKAKTELVKDEESVKVKKVKEELVEGSDDEKKDKKKKKKKSKEDGEAGKEEKVELKKLKEEPVVGSEDEKKEKKKKKKKSKEDAEVENLVEKETEKSEKKKKKKKDKEAEEAATIENGKADGEADKSEKKKKKKKDKDGED >Potri.004G126500.1.v4.1 pep chromosome:Pop_tri_v4:4:12324101:12326562:1 gene:Potri.004G126500.v4.1 transcript:Potri.004G126500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126500.v4.1 MDITPATATATATGTATTPTNNNTNTTIPKSPEADTGTPTRIPQTKPLSFTNGVLKRHHPHHHHLHNHFAPPPVVITYKECLKNHAATIGGHALDGCGEFMPSPTATHTDPTSLKCAACGCHRNFHRREPEDSPPHTTAITTIEYQPHHRHHPPPPQAHPQHNRSPNSASPPPISSSYYPSAPHMLLALSGGVSGLNENVNINAPPRAGSSPRKRFRTKFSQSQKERMHQFAEKVGWKMQKRDEDLVQEFCNEVGVDRSALKVWMHNNKNSFGKKEHLNGTNDDNIRSSNLENSYSNNESNKDITNNNNNNNNHHHFENDSHAAHVGTNGSSSSS >Potri.011G126251.1.v4.1 pep chromosome:Pop_tri_v4:11:16138518:16140259:-1 gene:Potri.011G126251.v4.1 transcript:Potri.011G126251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126251.v4.1 MNLEECKYMCLKNCSCTAYSNLDIRDGGSGCLLWFGDLVVTRVFSQNEQDIYIRMAASELGNGDGAKVNDKSNTKKTIILSSVLSTGTLLLCLAMVLYIRNRKQRRNRKVSGGFERNSNSNLRKENLDLPLFDLYTLAGATMDFSEDSKLGEGGFGPVYKGTLKDGREIAVKRLSKFSRQGFDEFTNVVKHIVELQHRNLVKLLGCCIERDEKMLVYEFLSNKSLDFFIFG >Potri.002G229325.1.v4.1 pep chromosome:Pop_tri_v4:2:21950503:21956409:-1 gene:Potri.002G229325.v4.1 transcript:Potri.002G229325.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229325.v4.1 MAYNLRSVFLDERSGICAPSVPVTRVGFSREIIECKESWIGKQPVQVPFNVTITLEGQNLKVKGLLGGLSRNYPQEVKIERDENGILQVKKAVDTRIANQMHGLFRTLTNNMVVGVSNDFEKRPSTKGKDLVLSLGFSHPVRMTTPGDLQVKVEENTRIAVSGNDKCSIGEFAASIRRWRPPEPYEGKGVRYANEVIRLKEGKAGKKK >Potri.T125207.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:87114:90415:1 gene:Potri.T125207.v4.1 transcript:Potri.T125207.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125207.v4.1 MSWLFNSLQSNDPDSPPPHPPSPSVQDDLLVIGDSIGRQLRGVANFLAPPPPPPPSNTQAAKPQPFDSSSQSSQALLGIRNDLAEIGDSLKSGLSKFTSNFLQFKDINSKNSDGDDVAGINEEVIGFVKEISLRPECWIDFPLPLQNDFRMTDAQREHVLNVEHFVPSLAQLRNNLRSEMGDGRFWMVYFILLIPRLNEHDFEVLSTPQIVETRNLLLQKLQNKRNVKVESSKNSKSGTQGEITTSQEEITEIADATEGLKINEENSRQFSKEKIDNSTSMDNHKKLEDEQDVSFSDLEDDDSDFSIRLSASRKARSIRAPSPSGSSDWIQLNEGSDTQGGPPKARQSFSRDKDSDAESSDWHKVDEYD >Potri.014G121900.2.v4.1 pep chromosome:Pop_tri_v4:14:8197184:8201009:-1 gene:Potri.014G121900.v4.1 transcript:Potri.014G121900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121900.v4.1 MGDIVLLVDDLQTSCAIPHCRICHEAEFESCKSLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQNYEPGYSAPSRKCEMIEPMTIRDSLEIPRREHDPENQELGGIAERATAGAEEYSHCSSAADRSASCCRFLALTRSQSEGKGRGRIFRDFFLKLSLKT >Potri.014G121900.1.v4.1 pep chromosome:Pop_tri_v4:14:8197192:8201493:-1 gene:Potri.014G121900.v4.1 transcript:Potri.014G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121900.v4.1 MGDIVLLVDDLQTSCAIPHCRICHEAEFESCKSLEAPCACSGTVKFAHRDCIQRWCNEKGNTTCEICLQNYEPGYSAPSRKCEMIEPMTIRDSLEIPRREHDPENQELGGIAERATAGAEEYSHCSSAADRSASCCRFLALTFTVLMLLRHLFATLTGGTEDYPFTLVTLLILRASGILFPMYIVFRTIAAVHKSIRSQYQDSEDDEDYTSNSDGDEGEDQEDAHHHLV >Potri.014G048100.10.v4.1 pep chromosome:Pop_tri_v4:14:3114510:3123921:1 gene:Potri.014G048100.v4.1 transcript:Potri.014G048100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G048100.v4.1 MEANTIELDPSGQELEGRRTIAGEISSVNHRTPCPSAPPIEGRVKSNDQKRQSKSEIDKRYNENRKQKEDEIMKELESLDKISGELERENDKLEWQENQLEVTVYEEYRKIVSLLEDNNAGQNTDVQGASAHAGFPDANADINTSGEISMGHDGLNGLKREMNQAPITSSTFSRENASMNLQLAGSSGLTDMREKKRIADKKCREKKKRKINEAQERIKEHQRKNHKLMLQKVKSKAKATQLQKQLAQYRNISALMTSKNARRNALLEQLVLNDRVLQNSGQGSTPFNTQLQNTGQGSTSFSTQLPCFDIGDQGNTSFHEDLNWFLDGYNASVERHKPEIELVEVAAMRAAHDPELENERQSLVDPVFDVDDLLVMF >Potri.014G048100.3.v4.1 pep chromosome:Pop_tri_v4:14:3113584:3123883:1 gene:Potri.014G048100.v4.1 transcript:Potri.014G048100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G048100.v4.1 MRSGQHWSMIIKQAMEANTIELDPSGQELEGRRTIAGEISSVNHRTPCPSAPPIEGRVKSNDQKRQSKSEIDKRYNENRKQKEDEIMKELESLDKISGELERENDKLEWQENQLEVTVYEEYRKIVSLLEDNNAGQNTDVQGASAHAGFPDANADINTSGEISMGHDGLNGLKREMNQAPITSNAGTFSRENASMNLQLAGSSGLTDMREKKRIADKKCREKKKRKINEAQERIKEHQRKNHKLMLQKVKSKAKATQLQKQLAQYRNISALMTSKNARRNALLEQLVLNDRVLQNSGQGSTPFNTQLQNTGQGSTSFSTQLPCFDIGDQGNTSFHEDLNWFLDGYNASVERHKPEIELVEVAAMRAAHDPELENERQSLVDPVFDVDDLLVMF >Potri.009G033300.2.v4.1 pep chromosome:Pop_tri_v4:9:4377987:4380903:1 gene:Potri.009G033300.v4.1 transcript:Potri.009G033300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033300.v4.1 MDTLLQEYPNSMNRFMFDHASVSFSSNRNLFNGYQLNDTMSDPNPSFNSFNPEPPNDSTSSSSSSNSCSEGYGPSNNVTLKFISDVLLEEDLEGKTCMLQDCLALQAAEKPFYDVLGQEYPHSSNQILSCFDKKFESPDNGFTWSSGIDSSNSNPPGNNLVEKSDWIFDQADLDLYQVQTSPVLPLERTLLAPDLHSPVHPHPFEVLSKGGGEADNFLSGNDYFMVSSKSNSSNPPDKDEGDYSTNSSRGRKNHQREDSDDLEEERSKKHSALSPAESELSELLDEVLLCPVAQNESTPCSLLGNSQNGAAGNEQRKGSNGRTTRGKKRGKKGEVVDLSSLLIQCAQAVAIGDQRTASEILQQIRQHSSSFGDANQRLAHYFANALDTRLAGTTTPTFTLFVNPRTSAAEILKAYQVYVRACPFKRMSNFFANRTILKLEKKATRLHIIDFGILYGFQWPCLIQRLSERPGGPPKLRITGIELPQPGFRPAERVEETGRRLERYCERFKVPFEYIPIAQKWETIRYEDLKIDKDEKVVVNCLYRLRNLPDDTIVENSARDAVLKLINKIKPDMFIHGVVNGNFNAPFFVTRFREALYHFSSLFDMFEATVSREDEHRMMFEKEQYGRDITNVIACEGKARVERPETYKQWQSRNLRAGFRQLSLDQELFKDVRSVVKSEYDKDFVVDADGQWVLQGWKGRIIYALSVWKPVQE >Potri.009G033300.3.v4.1 pep chromosome:Pop_tri_v4:9:4377991:4380961:1 gene:Potri.009G033300.v4.1 transcript:Potri.009G033300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033300.v4.1 MDTLLQEYPNSMNRFMFDHASVSFSSNRNLFNGYQLNDTMSDPNPSFNSFNPEPPNDSTSSSSSSNSCSEGYGPSNNVTLKFISDVLLEEDLEGKTCMLQDCLALQAAEKPFYDVLGQEYPHSSNQILSCFDKKFESPDNGFTWSSGIDSSNSNPPGNNLVEKSDWIFDQADLDLYQVQTSPVLPLERTLLAPDLHSPVHPHPFEVLSKGGGEADNFLSGNDYFMVSSKSNSSNPPDKDEGDYSTNSSRGRKNHQREDSDDLEEERSKKHSALSPAESELSELLDEVLLCPVAQNESTPCSLLGNSQNGAAGNEQRKGSNGRTTRGKKRGKKGEVVDLSSLLIQCAQAVAIGDQRTASEILQQIRQHSSSFGDANQRLAHYFANALDTRLAGTTTPTFTLFVNPRTSAAEILKAYQVYVRACPFKRMSNFFANRTILKLEKKATRLHIIDFGILYGFQWPCLIQRLSERPGGPPKLRITGIELPQPGFRPAERVEETGRRLERYCERFKVPFEYIPIAQKWETIRYEDLKIDKDEKVVVNCLYRLRNLPDDTIVENSARDAVLKLINKIKPDMFIHGVVNGNFNAPFFVTRFREALYHFSSLFDMFEATVSREDEHRMMFEKEQYGRDITNVIACEGKARVERPETYKQWQSRNLRAGFRQLSLDQELFKDVRSVVKSEYDKDFVVDADGQWVLQGWKGRIIYALSVWKPVQE >Potri.009G033300.1.v4.1 pep chromosome:Pop_tri_v4:9:4377987:4380936:1 gene:Potri.009G033300.v4.1 transcript:Potri.009G033300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033300.v4.1 MDTLLQEYPNSMNRFMFDHASVSFSSNRNLFNGYQLNDTMSDPNPSFNSFNPEPPNDSTSSSSSSNSCSEGYGPSNNVTLKFISDVLLEEDLEGKTCMLQDCLALQAAEKPFYDVLGQEYPHSSNQILSCFDKKFESPDNGFTWSSGIDSSNSNPPGNNLVEKSDWIFDQADLDLYQVQTSPVLPLERTLLAPDLHSPVHPHPFEVLSKGGGEADNFLSGNDYFMVSSKSNSSNPPDKDEGDYSTNSSRGRKNHQREDSDDLEEERSKKHSALSPAESELSELLDEVLLCPVAQNESTPCSLLGNSQNGAAGNEQRKGSNGRTTRGKKRGKKGEVVDLSSLLIQCAQAVAIGDQRTASEILQQIRQHSSSFGDANQRLAHYFANALDTRLAGTTTPTFTLFVNPRTSAAEILKAYQVYVRACPFKRMSNFFANRTILKLEKKATRLHIIDFGILYGFQWPCLIQRLSERPGGPPKLRITGIELPQPGFRPAERVEETGRRLERYCERFKVPFEYIPIAQKWETIRYEDLKIDKDEKVVVNCLYRLRNLPDDTIVENSARDAVLKLINKIKPDMFIHGVVNGNFNAPFFVTRFREALYHFSSLFDMFEATVSREDEHRMMFEKEQYGRDITNVIACEGKARVERPETYKQWQSRNLRAGFRQLSLDQELFKDVRSVVKSEYDKDFVVDADGQWVLQGWKGRIIYALSVWKPVQE >Potri.009G102201.1.v4.1 pep chromosome:Pop_tri_v4:9:9025070:9025839:-1 gene:Potri.009G102201.v4.1 transcript:Potri.009G102201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102201.v4.1 MWIERLFPKQKVVGSTPTWRDPTIFGPHKFEYGPAAPSWPSSIYISENQRPSISFFLIQPPSSPDEGFTSKQRCEFVNEDKVKSLVEIRSKDSILCKLRQMFLII >Potri.012G119500.1.v4.1 pep chromosome:Pop_tri_v4:12:13764020:13765627:1 gene:Potri.012G119500.v4.1 transcript:Potri.012G119500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119500.v4.1 MKPKPHKKTITTHFQKPPAPAENPSDYAFKITKTAVSQICQSVGFKTTQLSALETLTHIATLCLQTLAKTAVLYSNASNRTQSNIFDIINSLHDMYSVRGFTGGSTLHCNSSGMSLLRSSVFKNIKSFVEFSDEIPFAKPIPRGESISLRRNSIPLELNKLGSRGLHIPRWLPRCPDESSYNKCADRCEKKRKGELVLWEKSDLVGGGIGSGDEFQGISWENERRSGGGDLAVERGRVRFRIGEVGGEQKGGALISLLPSVDGRI >Potri.014G177100.1.v4.1 pep chromosome:Pop_tri_v4:14:13373327:13373928:-1 gene:Potri.014G177100.v4.1 transcript:Potri.014G177100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177100.v4.1 MAISTLNRLSSQFNRLPSLSPFTKSLLTRSSAKVADRIVKLSAIDPDGQKREIVGLSGQTLLKALANNGLIDPASHRLEEIEACSAECEVNIAQEWLERLPPRSYDEEYVLKRNSRARVLNKHSRLSCQVVLTQDLQGMVVAVPEPKPWDIP >Potri.008G125400.1.v4.1 pep chromosome:Pop_tri_v4:8:8151520:8152225:1 gene:Potri.008G125400.v4.1 transcript:Potri.008G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125400.v4.1 MASCSLGRTSIQLRLSSVLAIFVLQLVFVNAGQNTRMLGGRLNDLSPPPSPKPGRLRHMIPPEIPPSTRTSPPSPPPSHS >Potri.002G209216.7.v4.1 pep chromosome:Pop_tri_v4:2:17555409:17560991:-1 gene:Potri.002G209216.v4.1 transcript:Potri.002G209216.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209216.v4.1 MSADDIQKAKMRALFMQNKHGKTGSSSNGSTGMKNGGLNKPSSMIPSLCPVSKIHIRPKIEEYKKPVTPPPQVSSKVEGFLDLKKEINSKEPMGGVCIKVQIPWQTPPEIKLSVLWRVGTGENSKEVDVQKNRNRREIETIYQTVQQIPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADVAETQVSHTEHVNTVVASAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKTGGAGLAGSLNGLGGKVEEKVEVSLPSPTPSSNNPGTSGWRSEFAKNPFSQQASMGNRVVYSDPGVPTSVPLAEKHTSLVQHQNQATSIRIPQQQASIPLLSQHVSAVMNPFSMPQTSSIVPENRQPSIVLPANQSYPSNSSMLQTPSSEMVSTMKILPVNTPSLLNLSAAMNNIKSTPSVSFTSNPQERRLVPFPPSTTAVPTPTQLQSQPPQINEPPIVYFTRPHTGDVGPVADSWRVRQGLVSNSPSQVNQTNYVSSFGGPVQPSLRSGPPRERNEYVSDVGDEGYESWSPENRRYESQEYMPGRNHSGPRSRMNSGWDYMPNNNNNNNNRSRQRNSSGHGDRNWNGNRRWH >Potri.002G209216.6.v4.1 pep chromosome:Pop_tri_v4:2:17559156:17565856:-1 gene:Potri.002G209216.v4.1 transcript:Potri.002G209216.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209216.v4.1 MEDLTETEIGSSVESFQKFLDSQRELFHNQIDHLQRIVVTQCKLTGVNPLSQEMAAGALSIKIGKRPRDLINPKAVKYMQEVFSIKDAISKKESREISAQFGATVTQVRDFFASQRMRVRKLVRLSREKAIRVNAHKGPQDGVPTTSDALMPVDLVPLNSVAPNPVPMNTVSPNPAPLNAVIPNPFYLNSVAPNPVPFSFVSSSSAPLNFASPTSSLLNSSSPNPVPLISASLNPVPLNPASLNPVPLDSVAQDPVPLNAVGPSRVDEVPSCSTQDDVLPGLDELDKHFAEKIFDLLRKEETFSGQVKLMEWILQIQTPAVLNWFLVKGGVMILTTWLSQAAAEEQTSVLLVTLKVFCHLPLHKAPPEHMSAVLHSVNGLRFYRTPDISNRARVLLSKWSKMFAKSQAIKKPNGIKSSTDAQDMILKQSIDEIMGNESWQSDIGNPDGVLALSSESSENIRKIESSQALKLLPASTDDLSRKHILGASSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVNQGRPMSADDIQKAKMRALFMQNKHGKTGSSSNGSTGMKNGGLNKPSSMIPSLCPVSKIHIRPKIEEYKKPVTPPPQVSSKVEGFLDLKKEINSKEPMGGVCIKVQIPWQTPPGTCAFGFSLLVTLMFD >Potri.002G209216.2.v4.1 pep chromosome:Pop_tri_v4:2:17555409:17565158:-1 gene:Potri.002G209216.v4.1 transcript:Potri.002G209216.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209216.v4.1 MRVRKLVRLSREKAIRVNAHKGPQDGVPTTSDALMPVDLVPLNSVAPNPVPMNTVSPNPAPLNAVIPNPFYLNSVAPNPVPFSFVSSSSAPLNFASPTSSLLNSSSPNPVPLISASLNPVPLNPASLNPVPLDSVAQDPVPLNAVGPSRVDEVPSCSTQDDVLPGLDELDKHFAEKIFDLLRKEETFSGQVKLMEWILQIQTPAVLNWFLVKGGVMILTTWLSQAAAEEQTSVLLVTLKVFCHLPLHKAPPEHMSAVLHSVNGLRFYRTPDISNRARVLLSKWSKMFAKSQAIKKPNGIKSSTDAQDMILKQSIDEIMGNESWQSDIGNPDGVLALSSESSENIRKIESSQALKLLPASTDDLSRKHILGASSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVNQGRPMSADDIQKAKMRALFMQNKHGKTGSSSNGSTGMKNGGLNKPSSMIPSLCPVSKIHIRPKIEEYKKPVTPPPQVSSKVEGFLDLKKEINSKEPMGGVCIKVQIPWQTPPEIKLSVLWRVGTGENSKEVDVQKNRNRREIETIYQTVQQIPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADVAETQVSHTEHVNTVVASAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKTGGAGLAGSLNGLGGKVEEKVEVSLPSPTPSSNNPGTSGWRSEFAKNPFSQQASMGNRVVYSDPGVPTSVPLAEKHTSLVQHQNQATSIRIPQQQASIPLLSQHVSAVMNPFSMPQTSSIVPENRQPSIVLPANQSYPSNSSMLQTPSSEMVSTMKILPVNTPSLLNLSAAMNNIKSTPSVSFTSNPQERRLVPFPPSTTAVPTPTQLQSQPPQINEPPIVYFTRPHTGDVGPVADSWRVRQGLVSNSPSQVNQTNYVSSFGGPVQPSLRSGPPRERNEYVSDVGDEGYESWSPENRRYESQEYMPGRNHSGPRSRMNSGWDYMPNNNNNNNNRSRQRNSSGHGDRNWNGNRRWH >Potri.002G209216.4.v4.1 pep chromosome:Pop_tri_v4:2:17555675:17565846:-1 gene:Potri.002G209216.v4.1 transcript:Potri.002G209216.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209216.v4.1 MFAKSQAIKKPNGIKSSTDAQDMILKQSIDEIMGNESWQSDIGNPDGVLALSSESSENIRKIESSQALKLLPASTDDLSRKHILGASSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVNQGRPMSADDIQKAKMRALFMQNKHGKTGSSSNGSTGMKNGGLNKPSSMIPSLCPVSKIHIRPKIEEYKKPVTPPPQVSSKVEGFLDLKKEINSKEPMGGVCIKVQIPWQTPPEIKLSVLWRVGTGENSKEVDVQKNRNRREIETIYQTVQQIPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADVAETQVSHTEHVNTVVASAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKTGGAGLAGSLNGLGGKVEEKVEVSLPSPTPSSNNPGTSGWRSEFAKNPFSQQASMGNRVVYSDPGVPTSVPLAEKHTSLVQHQNQATSIRIPQQQASIPLLSQHVSAVMNPFSMPQTSSIVPENRQPSIVLPANQSYPSNSSMLQTPSSEMVSTMKILPVNTPSLLNLSAAMNNIKSTPSVSFTSNPQERRLVPFPPSTTAVPTPTQLQSQPPQINEPPIVYFTRPHTGDVGPVADSWRVRQGLVSNSPSQVNQTNYVSSFGGPVQPSLRSGPPRERNEYVSDVGDEGYESWSPENRRYESQEYMPGRNHSGPRSRMNSGWDYMPNNNNNNNNRSRQRNSSGHGDRNWNGNRRWH >Potri.002G209216.5.v4.1 pep chromosome:Pop_tri_v4:2:17555673:17564857:-1 gene:Potri.002G209216.v4.1 transcript:Potri.002G209216.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209216.v4.1 MKLWAMNHGSLILDGVLALSSESSENIRKIESSQALKLLPASTDDLSRKHILGASSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVNQGRPMSADDIQKAKMRALFMQNKHGKTGSSSNGSTGMKNGGLNKPSSMIPSLCPVSKIHIRPKIEEYKKPVTPPPQVSSKVEGFLDLKKEINSKEPMGGVCIKVQIPWQTPPEIKLSVLWRVGTGENSKEVDVQKNRNRREIETIYQTVQQIPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADVAETQVSHTEHVNTVVASAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKTGGAGLAGSLNGLGGKVEEKVEVSLPSPTPSSNNPGTSGWRSEFAKNPFSQQASMGNRVVYSDPGVPTSVPLAEKHTSLVQHQNQATSIRIPQQQASIPLLSQHVSAVMNPFSMPQTSSIVPENRQPSIVLPANQSYPSNSSMLQTPSSEMVSTMKILPVNTPSLLNLSAAMNNIKSTPSVSFTSNPQERRLVPFPPSTTAVPTPTQLQSQPPQINEPPIVYFTRPHTGDVGPVADSWRVRQGLVSNSPSQVNQTNYVSSFGGPVQPSLRSGPPRERNEYVSDVGDEGYESWSPENRRYESQEYMPGRNHSGPRSRMNSGWDYMPNNNNNNNNRSRQRNSSGHGDRNWNGNRRWH >Potri.002G209216.1.v4.1 pep chromosome:Pop_tri_v4:2:17555414:17565856:-1 gene:Potri.002G209216.v4.1 transcript:Potri.002G209216.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209216.v4.1 MEDLTETEIGSSVESFQKFLDSQRELFHNQIDHLQRIVVTQCKLTGVNPLSQEMAAGALSIKIGKRPRDLINPKAVKYMQEVFSIKDAISKKESREISAQFGATVTQVRDFFASQRMRVRKLVRLSREKAIRVNAHKGPQDGVPTTSDALMPVDLVPLNSVAPNPVPMNTVSPNPAPLNAVIPNPFYLNSVAPNPVPFSFVSSSSAPLNFASPTSSLLNSSSPNPVPLISASLNPVPLNPASLNPVPLDSVAQDPVPLNAVGPSRVDEVPSCSTQDDVLPGLDELDKHFAEKIFDLLRKEETFSGQVKLMEWILQIQTPAVLNWFLVKGGVMILTTWLSQAAAEEQTSVLLVTLKVFCHLPLHKAPPEHMSAVLHSVNGLRFYRTPDISNRARVLLSKWSKMFAKSQAIKKPNGIKSSTDAQDMILKQSIDEIMGNESWQSDIGNPDGVLALSSESSENIRKIESSQALKLLPASTDDLSRKHILGASSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVNQGRPMSADDIQKAKMRALFMQNKHGKTGSSSNGSTGMKNGGLNKPSSMIPSLCPVSKIHIRPKIEEYKKPVTPPPQVSSKVEGFLDLKKEINSKEPMGGVCIKVQIPWQTPPEIKLSVLWRVGTGENSKEVDVQKNRNRREIETIYQTVQQIPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADVAETQVSHTEHVNTVVASAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKTGGAGLAGSLNGLGGKVEEKVEVSLPSPTPSSNNPGTSGWRSEFAKNPFSQQASMGNRVVYSDPGVPTSVPLAEKHTSLVQHQNQATSIRIPQQQASIPLLSQHVSAVMNPFSMPQTSSIVPENRQPSIVLPANQSYPSNSSMLQTPSSEMVSTMKILPVNTPSLLNLSAAMNNIKSTPSVSFTSNPQERRLVPFPPSTTAVPTPTQLQSQPPQINEPPIVYFTRPHTGDVGPVADSWRVRQGLVSNSPSQVNQTNYVSSFGGPVQPSLRSGPPRERNEYVSDVGDEGYESWSPENRRYESQEYMPGRNHSGPRSRMNSGWDYMPNNNNNNNNRSRQRNSSGHGDRNWNGNRRWH >Potri.002G209216.3.v4.1 pep chromosome:Pop_tri_v4:2:17557312:17565808:-1 gene:Potri.002G209216.v4.1 transcript:Potri.002G209216.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209216.v4.1 MEDLTETEIGSSVESFQKFLDSQRELFHNQIDHLQRIVVTQCKLTGVNPLSQEMAAGALSIKIGKRPRDLINPKAVKYMQEVFSIKDAISKKESREISAQFGATVTQVRDFFASQRMRVRKLVRLSREKAIRVNAHKGPQDGVPTTSDALMPVDLVPLNSVAPNPVPMNTVSPNPAPLNAVIPNPFYLNSVAPNPVPFSFVSSSSAPLNFASPTSSLLNSSSPNPVPLISASLNPVPLNPASLNPVPLDSVAQDPVPLNAVGPSRVDEVPSCSTQDDVLPGLDELDKHFAEKIFDLLRKEETFSGQVKLMEWILQIQTPAVLNWFLVKGGVMILTTWLSQAAAEEQTSVLLVTLKVFCHLPLHKAPPEHMSAVLHSVNGLRFYRTPDISNRARVLLSKWSKMFAKSQAIKKPNGIKSSTDAQDMILKQSIDEIMGNESWQSDIGNPDGVLALSSESSENIRKIESSQALKLLPASTDDLSRKHILGASSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVNQGRPMSADDIQKAKMRALFMQNKHGKTGSSSNGSTGMKNGGLNKPSSMIPSLCPVSKIHIRPKIEEYKKPVTPPPQVSSKVEGFLDLKKEINSKEPMGGVCIKVQIPWQTPPEIKLSVLWRVGTGENSKEVDVQKNRNRREIETIYQTVQQIPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADVAETQVSHTEHVNTVVASAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKTGGAGLAGSLNGLGGKVEEKVEVSLPSPTPSSNNPGTVRDIGQYCKFCYVSLNCCQLPMGRAICSLAI >Potri.018G023400.1.v4.1 pep chromosome:Pop_tri_v4:18:1731030:1735213:1 gene:Potri.018G023400.v4.1 transcript:Potri.018G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023400.v4.1 MPTALTSLKRSRPSIKQLYLIQRLHSRHLSTPSQPNTPLPLITTSTSNTDNHTSKTLSRLFSGLAAGSTFVLLYWSLNDSKPTQFFSFADWSTESKVNDRRLISFLPKLSLPDYSSNYIFGDAFRRKIFFNYEKRIRLRSPPEKVFEYFASLRTPDGEVLMTPEDLMRAVVPVFPPSESHLVRDGYLKGERNPGELRCTSSEFFMLFDVNNDGLISFKEYIFFATLLSIPESSFSVAFRMFDFNNNGEIDKEEFKKVMALMRAQNRQGAVHRDGLRPGLKVHGSVENGGLVEHFFGKDGKASLRHDKFIQFMRDLNNEILRLEFAHYDYKLRGTISAKDFALSMVASADMSHLGKLLDRVDELNDQANLGGLRITLEEFKSFAELRKKLLPFSLALFSYGKVNGLLMREDFQRAASHVCGVSLSDNVVEIIFHLFDSNHDGSLSADEFVRVLHRRERDIAQPVESGLAGFLSCCFNRAANSPIGRFIS >Potri.018G023400.5.v4.1 pep chromosome:Pop_tri_v4:18:1731049:1735337:1 gene:Potri.018G023400.v4.1 transcript:Potri.018G023400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023400.v4.1 MPTALTSLKRSRPSIKQLYLIQRLHSRHLSTPSQPNTPLPLITTSTSNTDNHTSKTLSRLFSGLAAGSTFVLLYWSLNDSKPTQFFSFADWSTESKVNDRRLISFLPKLSLPDYSSNYIFGDAFRRKIFFNYEKRIRLRSPPEKVFEYFASLRTPDGEVLMTPEDLMRAVVPVFPPSESHLVRDGYLKGERNPGELRCTSSEFFMLFDVNNDGLISFKEYIFFATLLSIPESSFSVAFRMFDFNNNGEIDKEEFKKVMALMRAQNRQGAVHRDGLRPGLKVHGSVENGGLVEHFFGKDGKASLRHDKFIQFMRDLNNEILRLEFAHYDYKLRGTISAKDFALSMVASADMSHLGKLLDRVDELNDQANLGGLRITLEEFKSFAELRKKLLPFSLALFSYGKVNGLLMREDFQRAASHVRHLTLPKYIYLVFLPVTLNEQLLL >Potri.014G182000.3.v4.1 pep chromosome:Pop_tri_v4:14:14242440:14244841:-1 gene:Potri.014G182000.v4.1 transcript:Potri.014G182000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182000.v4.1 MVVLPYTVAFLLLSCLQTVKIANSQSFLGINYGQVADNLPPPSSNAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPGLASDSNFTKSWINKNVLPFYPASNIILITVGNEVMTSNDQNLMNKLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINTYPYFAYRSDTRPEILAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSPGIFKTDLTMVYDVGLSTSNQSPAASPQSSPTTTNTTNSTTTTTTTTSTTTSNNNSSTSTSTSTGTGTSTSTGTGTNTVTINISSSSDINKVYLIKIFNLGLLYGFTRLSLICLFFYYLQT >Potri.014G182000.1.v4.1 pep chromosome:Pop_tri_v4:14:14242619:14244841:-1 gene:Potri.014G182000.v4.1 transcript:Potri.014G182000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182000.v4.1 MVVLPYTVAFLLLSCLQTVKIANSQSFLGINYGQVADNLPPPSSNAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPGLASDSNFTKSWINKNVLPFYPASNIILITVGNEVMTSNDQNLMNKLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINTYPYFAYRSDTRPEILAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSPGIFKTDLTMVYDVGLSTSNQTQSPAASPQSSPTTTNTTNSTTTTTTTTSTTTSNNNSSTSTSTSTGTGTSTSTGTGTNTVTINISSSSDINKVYLIKIFNLGLLYGFTRLSLICLFFYYLQT >Potri.001G226600.2.v4.1 pep chromosome:Pop_tri_v4:1:24514820:24517107:1 gene:Potri.001G226600.v4.1 transcript:Potri.001G226600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226600.v4.1 MNCSGLLVVFFLGNFFSWRTVSLLAIIPCLMQVVGLVFIPESPRWLASIGKEIEFEDALRRLRGVDAGFSQEAIEIKDATENFQRSEAGFQGLFQKKYAYPVMIGVGLMLLQQLGGNSVFAAYLSTVFAKASKSLS >Potri.001G280604.1.v4.1 pep chromosome:Pop_tri_v4:1:29438127:29439183:1 gene:Potri.001G280604.v4.1 transcript:Potri.001G280604.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280604.v4.1 MVIPRWRSCSDILWYKRLWIHAFIHIYVGTAPFSHLDSVLKSDSIPKHFQCLGSFQRNKHPLLFCHCLLSGYPRRFCNVPFTFLIHAVYNMPSRYVSIHGEY >Potri.019G039900.3.v4.1 pep chromosome:Pop_tri_v4:19:5503573:5506587:-1 gene:Potri.019G039900.v4.1 transcript:Potri.019G039900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039900.v4.1 MSVTAGVSDTALAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDGDIRMARVASLEKAFPSRDDSDVVSRKDDPRLRRLAETRIDNRDEMRADHRRIRQAEIISTEEEETRKQEWADMEEDDEDALEERRRRIKEKLRQREREEAALLPVEEEEEEDEVEEEEEESEYETDSEEEMTGMAMVKPVFVPKSERDTIAERERLEAEERALEDKVRKKLEERKVETKQILVEEIKKEEMIQKNLEMEANIADVDTDDEMNEAEEYEAWKVREIARIKRDREDREAMVKEREEIERVRNMTEEERREWERKNPKPAAPPKQKWRFMQKYYHKGAFFQDEPDDRAATVGSDGIFKRDFSAPTGEDNMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAGMNATIAKPKGSKKLKDWESR >Potri.019G039900.1.v4.1 pep chromosome:Pop_tri_v4:19:5503651:5506589:-1 gene:Potri.019G039900.v4.1 transcript:Potri.019G039900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039900.v4.1 MSVTAGVSDTALAVRDKLRGKIGQTKVKRYWPGKAPEWADDAEEDGDIRMARVASLEKAFPSRDDSDVVSRKDDPRLRRLAETRIDNRDEMRADHRRIRQAEIISTEEEETRKQEWADMEEDDEDALEERRRRIKEKLRQREREEAALLPVEEEEEEDEVEEEEEESEYETDSEEEMTGMAMVKPVFVPKSERDTIAERERLEAEERALEDKVRKKLEERKVETKQILVEEIKKEEMIQKNLEMEANIADVDTDDEMNEAEEYEAWKVREIARIKRDREDREAMVKEREEIERVRNMTEEERREWERKNPKPAAPPKQKWRFMQKYYHKGAFFQDEPDDRAATVGSDGIFKRDFSAPTGEDNMDKTILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTYNDPLRAKYNAKMAGMNATIAKPKGSKKLKDWESR >Potri.013G114800.1.v4.1 pep chromosome:Pop_tri_v4:13:12307258:12309397:-1 gene:Potri.013G114800.v4.1 transcript:Potri.013G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114800.v4.1 MEELKSALEAHMDQMADLVQKLSSELRSGFRPAIDNFIGFFHAIDWTEPWLMGLIGFHLALLILTVVSRKHINFQMSFFLVALAGVYLSERLNRVLGDNWRSFASQNYFDPHGLFLSVLWSGPLLIIATIILINTLFSLCFMIVRWKRAELRHRARLARESNKQD >Potri.003G143250.2.v4.1 pep chromosome:Pop_tri_v4:3:15859892:15860648:-1 gene:Potri.003G143250.v4.1 transcript:Potri.003G143250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143250.v4.1 MARLVQIHQVRPYIAEMEMKKLTETAEEQGYYCRGEPEHEQRRFRGVGDISRC >Potri.003G143250.1.v4.1 pep chromosome:Pop_tri_v4:3:15859892:15860648:-1 gene:Potri.003G143250.v4.1 transcript:Potri.003G143250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143250.v4.1 MARLVQIHQVRPYIAEMEMKKLTETAEEQGYYCRGEPEHEQRRFRGVGDISRC >Potri.005G136600.6.v4.1 pep chromosome:Pop_tri_v4:5:10561115:10569676:-1 gene:Potri.005G136600.v4.1 transcript:Potri.005G136600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136600.v4.1 MDDIHSLTIISPQQHKHKLFMFHLPGLVHQVKAFHIILVLSCALFCFAMCGPCLTNGMQNSMEDDSCESYGDDGSVGFQDFSIGDTSLGYAAGSSMTHLNFENICTNSHLFCFLSTLPGFSPKEHKLKVAALEVSRSQSDGSLSVESTQGSRWLENKNWSLEHGMFQLSNGLAVSCSMNSREGVDELSSTQTSRADQCDPSSCKGPLPSQKSTSARLRKKSEMMNYSALDVSPPHVEISPPVVDWGQRHLYYPSVAFLTVANTCNESILHLFEPFSTNTQFYACNFSEVLLGPGEVASICFVFLPRWLGFSSAHLILQTSSGGFLVQVKGYAVESPYNISPLFSLDVPSSGQLRKTFSLFNPFDETLYVKEVSAWISVSQGNILHNTEATCSLEILGGPDELSLLGVKDWLVVRNAQMGFPLMAMKPQESWEILPHSSGTIMEMDFSFESEGNVYGAFCMQLLRSSQDKTDTVMVPLELEWDGKVAYSGFAGLVSVSLETLVPYDVGSTVVVAISLRNEAPHVLNVVNVREVAAVKAFQIKYIEGLLLFPGTVTQVATITCTHLLVQLHDSTSEMSNMNKDCKLVVLTNDSRSPQIEIPCQDIVHICLRHQKDSFIGYDNHSEDAKSGERTETGNRRTGSLCSGKLSLLEIKAIETAEADEFVLGNWKSQGTMSGMSVLDDHEVLFPMVQVGTHHSRWITVKNPSEQPVVMQLILNSGEIIDECRGTDGSMDPPSSRIFVHDELTAPARYGFSMAESALTEAYVHPYGKASFGPIFFHPSNRCGWRSSALIRNNLSGVEWLSLIGFGGLLSLVLLDGSEPVQSIEFNLNLPMPLNISPPDGLFNMKETACACSVPSSKELYAKNMGDLPLEVKSIEVSGSECGLDGFMVHTCKGFSLEPGESIKLLISYQSDFSAAMVHGDLELALTSGILVIPIKASLPLYMFNLCKKSVFWMQLKKFSAAVLLATSLMFLIFCCIFPQVVAFGFKNYYHNSEKSSTNTVRSAGKASHMHRNQRKSKFSMSRGMDSLLTSVGEDKASNQTSIGKYADGHDGPLEQGLTINNLTSTLENHKQDSILSYTKKDKAVPSLMSKSIAVENSDTLDAPQSPNFTVRIGKEKGRRRRRKKGVSACLTGPLEVSSNQSGNSTPSSPLSPVSATPNRIWSPSSDADTIEVRNPFTQVAAQQFRKVLVSESATKTVVLEPKVSMKCYGYNYFSATCEQPLVPSKTFSKPSPAFPCSSDAAPSLHYSSPLSSTSTSTSTIAPIVRAPGAKLLNQRSVKVDEKVGSEYTYDIWGDHFSELHLVGSPKDNTTTKTIATEDNSNSFFVGCPQTLVVKSQPKSVSFFQQEG >Potri.005G136600.7.v4.1 pep chromosome:Pop_tri_v4:5:10561139:10568249:-1 gene:Potri.005G136600.v4.1 transcript:Potri.005G136600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136600.v4.1 MMNYSALDVSPPHVEISPPVVDWGQRHLYYPSVAFLTVANTCNESILHLFEPFSTNTQFYACNFSEVLLGPGEVASICFVFLPRWLGFSSAHLILQTSSGGFLVQVKGYAVESPYNISPLFSLDVPSSGQLRKTFSLFNPFDETLYVKEVSAWISVSQGNILHNTEATCSLEILGGPDELSLLGVKDWLVVRNAQMGFPLMAMKPQESWEILPHSSGTIMEMDFSFESEGNVYGAFCMQLLRSSQDKTDTVMVPLELEWDGKVAYSGFAGLVSVSLETLVPYDVGSTVVVAISLRNEAPHVLNVVNVREVAAVKAFQIKYIEGLLLFPGTVTQVATITCTHLLVQLHDSTSEMSNMNKDCKLVVLTNDSRSPQIEIPCQDIVHICLRHQKDSFIGYDNHSEDAKSGERTETGNRRTGSLCSGKLSLLEIKAIETAEADEFVLGNWKSQGTMSGMSVLDDHEVLFPMVQVGTHHSRWITVKNPSEQPVVMQLILNSGEIIDECRGTDGSMDPPSSRIFVHDELTAPARYGFSMAESALTEAYVHPYGKASFGPIFFHPSNRCGWRSSALIRNNLSGVEWLSLIGFGGLLSLVLLDGSEPVQSIEFNLNLPMPLNISPPDGLFNMKETACACSVPSSKELYAKNMGDLPLEVKSIEVSGSECGLDGFMVHTCKGFSLEPGESIKLLISYQSDFSAAMVHGDLELALTSGILVIPIKASLPLYMFNLCKKSVFWMQLKKFSAAVLLATSLMFLIFCCIFPQVVAFGFKNYYHNSEKSSTNTVRSAGKASHMHRNQRKSKFSMSRGMDSLLTSVGEDKASNQTSIGKYADGHDGPLEQGLTINNLTSTLENHKQDSILSYTKKDKAVPSLMSKSIAVENSDTLDAPQSPNFTVRIGKEKGRRRRRKKGVSACLTGPLEVSSNQSGNSTPSSPLSPVSATPNRIWSPSSDADTIEVRNPFTQVAAQQFRKVLVSESATKTVVLEPKVSMKCYGYNYFSATCEQPLVPSKTFSKPSPAFPCSSDAAPSLHYSSPLSSTSTSTSTIAPIVRAPGAKLLNQRSVKVDEKVGSEYTYDIWGDHFSELHLVGSPKDNTTTKTIATEDNSNSFFVGCPQTLVVKSQPKS >Potri.005G136600.5.v4.1 pep chromosome:Pop_tri_v4:5:10561140:10569700:-1 gene:Potri.005G136600.v4.1 transcript:Potri.005G136600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136600.v4.1 MDDIHSLTIISPQQHKHKLFMFHLPGLVHQVKAFHIILVLSCALFCFAMCGPCLTNGMQNSMEDDSCESYGDDGSVGFQDFSIGDTSLGYAAGSSMTHLNFENICTNSHLFCFLSTLPGFSPKEHKLKVAALEVSRSQSDGSLSVESTQGSRWLENKNWSLEHGMFQLSNGLAVSCSMNSREGVDELSSTQTSRADQCDPSSCKGPLPSQKSTSARLRKKSEMMNYSALDVSPPHVEISPPVVDWGQRHLYYPSVAFLTVANTCNESILHLFEPFSTNTQFYACNFSEVLLGPGEVASICFVFLPRWLGFSSAHLILQTSSGGFLVQVKGYAVESPYNISPLFSLDVPSSGQLRKTFSLFNPFDETLYVKEVSAWISVSQGNILHNTEATCSLEILGGPDELSLLGVKDWLVVRNAQMGFPLMAMKPQESWEILPHSSGTIMEMDFSFESEGNVYGAFCMQLLRSSQDKTDTVMVPLELEWDGKVAYSGFAGLVSVSLETLVPYDVGSTVVVAISLRNEAPHVLNVVNVREVAAVKAFQIKYIEGLLLFPGTVTQVATITCTHLLVQLHDSTSEMSNMNKDCKLVVLTNDSRSPQIEIPCQDIVHICLRHQKDSFIGYDNHSEDAKSGERTETGNRRTGSLCSGKLSLLEIKAIETAEADEFVLGNWKSQGTMSGMSVLDDHEVLFPMVQVGTHHSRWITVKNPSEQPVVMQLILNSGEIIDECRGTDGSMDPPSSRIFVHDELTAPARYGFSMAESALTEAYVHPYGKASFGPIFFHPSNRCGWRSSALIRNNLSGVEWLSLIGFGGLLSLVLLDGSEPVQSIEFNLNLPMPLNISPPDGLFNMKETACACSVPSSKELYAKNMGDLPLEVKSIEVSGSECGLDGFMVHTCKGFSLEPGESIKLLISYQSDFSAAMVHGDLELALTSGILVIPIKASLPLYMFNLCKKSVFWMQLKKFSAAVLLATSLMFLIFCCIFPQVVAFGFKNYYHNSEKSSTNTVRSAGKASHMHRNQRKSKFSMSRGMDSLLTSVGEDKASNQTSIGKYADGHDGPLEQGLTINNLTSTLENHKQDSILSYTKKDKAVPSLMSKSIAVENSDTLDAPQSPNFTVRIGKEKGRRRRRKKGVSACLTGPLEVSSNQSGNSTPSSPLSPVSATPNRIWSPSSDADTIEVRNPFTQVAAQQFRKVLVSESATKTVVLEPKVSMKCYGYNYFSATCEQPLVPSKTFSKPSPAFPCSSDAAPSLHYSSPLSSTSTSTSTIAPIVRAPGAKLLNQRSVKVDEKVGSEYTYDIWGDHFSELHLVGSPKDNTTTKTIATEDNSNSFFVGCPQTLVVKSQPKSVSFFQQEG >Potri.009G080200.2.v4.1 pep chromosome:Pop_tri_v4:9:7677694:7679283:-1 gene:Potri.009G080200.v4.1 transcript:Potri.009G080200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080200.v4.1 MCSYGGKIHPRPHDNQLVYMGGETKILAADRNIKFSVMISKLSALCGDTDVAFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRATAKPARMRLFLFPVNPSPASFGSDGGRSDRERFVEALNSVPSQVVEAAKTAANNVDFLFGLDKGAPPPPPVKVPDLPEIHAGSGHDDRVIGSDPLSLQAQLQRMQIREPEQQVGYNRKNSDENLVGGYAAAGGDYYMQKLPERAPPANLPVTMPQQVTASPGYWSEKQAAGGGFPAGMTVTTSPGQMEQPVYVIQGPGPGTVYHAPPVMRQVTGQTGQGYYMQRMGGPGHGPDVYREQPVYNMVPQHQQPPPPMGQMGVVRPSGPGVAMQDAGYAQMAYDRQVYYAAAGGVVHQQQQQQLQPPLQYQGVGGGVAVSGEMRHGGGGGPLGPEAKVVTAKVPQASV >Potri.009G080200.1.v4.1 pep chromosome:Pop_tri_v4:9:7677695:7679316:-1 gene:Potri.009G080200.v4.1 transcript:Potri.009G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080200.v4.1 MDNTYSYNSYPDSGDSSPRSREIDFDNPTPWEDQSQQPQSYKAKFMCSYGGKIHPRPHDNQLVYMGGETKILAADRNIKFSVMISKLSALCGDTDVAFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRATAKPARMRLFLFPVNPSPASFGSDGGRSDRERFVEALNSVPSQVVEAAKTAANNVDFLFGLDKGAPPPPPVKVPDLPEIHAGSGHDDRVIGSDPLSLQAQLQRMQIREPEQQVGYNRKNSDENLVGGYAAAGGDYYMQKLPERAPPANLPVTMPQQVTASPGYWSEKQAAGGGFPAGMTVTTSPGQMEQPVYVIQGPGPGTVYHAPPVMRQVTGQTGQGYYMQRMGGPGHGPDVYREQPVYNMVPQHQQPPPPMGQMGVVRPSGPGVAMQDAGYAQMAYDRQVYYAAAGGVVHQQQQQQLQPPLQYQGVGGGVAVSGEMRHGGGGGPLGPEAKVVTAKVPQASV >Potri.003G026308.1.v4.1 pep chromosome:Pop_tri_v4:3:2772634:2777511:-1 gene:Potri.003G026308.v4.1 transcript:Potri.003G026308.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026308.v4.1 MMMKRMGAWMLLALLTLVGEWYGRCYGCLEEERIGLLEIQSLIDPYGFYLRDWMDSSNCCEWRRIECDNTTRRVIQLSLRGRRDWGLGDWVLNASLFQPFKELQSLELGKNGIVGCLENEGFEVLSSKLRNLDLSQNRFNNDKSILSCFNGLSTLKSLDLSDNELRGSGSFCGFKVLSSRLKKMENLHLSGNQCNDSIFSSLTGFSSLKFLDLAYNQLTGSASINSFQLQPMRLGKLENLDLSGNQLNSSILSILSGLSSLKSLDLSDNMLTGSGFEIISSHLGKLENLDLSYNIFNDSILSHLHGLSSLKSLNLSGNMLLGSTTINGLRKLEFLQSLPSLKTLSLKDTNLSQGTFFYSSTLEELYLDNTSLPINFLQNIGALHALKVLSVAGCDLHGTLPVQGWCELKNLKQLDLSGNNFGGSLPDCLGNLSSLQLLDVSENQFIGNIASGPFTNLISLEFLSLSNNLFEVPTSMKPFMNHSSLKFFSSENNKLVTEPAAFDNLIPKFQLVFFRLSKTTKALNVEIPDFLYYQYDLRVLDLSHNNITGMFPSWLLKNNTRLEELWLSENSFVGALQLQDHPYSNMIELDISNNNMNGQIPKDICLIFPNLWSLKMAKNGFTGGIPSCLGNISSFSVLDLSNNQLSIVKLEQLTAIMFLNLSNNNLGGQIPTSVFNSSSLDVLFLSGNNFWGQISDFPLNGWKEWVVLDLSNNQFSGKVPRWFVNSTFLRSIDLSKNHFKGPIPGDFCKLDQLLYLDLSKNNLSGYIPSCFSPRTLIHVHLSENRLSGPLTHGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLKANHFDGELPVQLCLLEKLNILDVSQNQLFGPLPSCLGNLTFKESSQKAFVYLRYVFLTKSIKEAYYETMGPPLVDSMYNLEKGFQLNFTEVIEFTTKNMYYSYMGKILNYMYGIDLSNNNFVGAIPPEFGNLSAILSLNLSHNNLTGSIPATFSNLKHIESLDLSYNNLNGAIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDASCYKGNPFLCGTPLQNNCSEEAVPLQPVHNDEQGDDGFIDMEFFYISFGVCYTVVVMTIATVLYINPYWRRRWLYFIEDCIDTCYYFVVASFHKFSNFRR >Potri.003G026308.2.v4.1 pep chromosome:Pop_tri_v4:3:2772538:2777511:-1 gene:Potri.003G026308.v4.1 transcript:Potri.003G026308.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026308.v4.1 MMMKRMGAWMLLALLTLVGEWYGRCYGCLEEERIGLLEIQSLIDPYGFYLRDWMDSSNCCEWRRIECDNTTRRVIQLSLRGRRDWGLGDWVLNASLFQPFKELQSLELGKNGIVGCLENEGFEVLSSKLRNLDLSQNRFNNDKSILSCFNGLSTLKSLDLSDNELRGSGFKVLSSRLKKMENLHLSGNQCNDSIFSSLTGFSSLKFLDLAYNQLTGSASINSFQLQPMRLGKLENLDLSGNQLNSSILSILSGLSSLKSLDLSDNMLTGSGFEIISSHLGKLENLDLSYNIFNDSILSHLHGLSSLKSLNLSGNMLLGSTTINGLRKLEFLQSLPSLKTLSLKDTNLSQGTFFYSSTLEELYLDNTSLPINFLQNIGALHALKVLSVAGCDLHGTLPVQGWCELKNLKQLDLSGNNFGGSLPDCLGNLSSLQLLDVSENQFIGNIASGPFTNLISLEFLSLSNNLFEVPTSMKPFMNHSSLKFFSSENNKLVTEPAAFDNLIPKFQLVFFRLSKTTKALNVEIPDFLYYQYDLRVLDLSHNNITGMFPSWLLKNNTRLEELWLSENSFVGALQLQDHPYSNMIELDISNNNMNGQIPKDICLIFPNLWSLKMAKNGFTGGIPSCLGNISSFSVLDLSNNQLSIVKLEQLTAIMFLNLSNNNLGGQIPTSVFNSSSLDVLFLSGNNFWGQISDFPLNGWKEWVVLDLSNNQFSGKVPRWFVNSTFLRSIDLSKNHFKGPIPGDFCKLDQLLYLDLSKNNLSGYIPSCFSPRTLIHVHLSENRLSGPLTHGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLKANHFDGELPVQLCLLEKLNILDVSQNQLFGPLPSCLGNLTFKESSQKAFVYLRYVFLTKSIKEAYYETMGPPLVDSMYNLEKGFQLNFTEVIEFTTKNMYYSYMGKILNYMYGIDLSNNNFVGAIPPEFGNLSAILSLNLSHNNLTGSIPATFSNLKHIESLDLSYNNLNGAIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDASCYKGNPFLCGTPLQNNCSEEAVPLQPVHNDEQGDDGFIDMEFFYISFGVCYTVVVMTIATVLYINPYWRRRWLYFIEDCIDTCYYFVVASFHKFSNFRR >Potri.001G168400.1.v4.1 pep chromosome:Pop_tri_v4:1:14389902:14390707:-1 gene:Potri.001G168400.v4.1 transcript:Potri.001G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168400.v4.1 MATYAYSAASRNSSQRALAMVLALASAVVLSPLYVKRKNDHTGYFNHETKWSSGFVLPMVLAGLIIAIRTTSSSMSVHRGAAASFIPSPDPSWVLRIGSSSWGLAGVLVMLVLVLSWQDSVQEFFWR >Potri.018G083700.1.v4.1 pep chromosome:Pop_tri_v4:18:10134836:10138353:-1 gene:Potri.018G083700.v4.1 transcript:Potri.018G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083700.v4.1 MNRGVLQSSPVQQMMAGNPNWWSINNMRPPITHHQQPSPFLPPPSLFPQFLPSISSSSSSSLPLPSWNYDNPDQLPESWSQLLLGGLVCDEDKSNISNFQAKKMENWEEQVLHQASSASVMDVKQENSASNYVYGANEDFQAAAKPTACSQVIPASSPKSCVTSFSSNMLDFSTSKGDGRHPPPDRSSDCNSTANGGAVKKARVQSSSAQPTFKVRKEKLGDRITALHQLVSPFGKTDTASVLLEAIGYIRFLQSQIEALSLPYLGSGSTNMRQQQSVQGESNCIFPEDPGQLLNDSCIKRKGASQQDSHGEPKDLRSRGLCLVPVSCTLQVGSDNGADYWAPALGGGFR >Potri.004G141600.1.v4.1 pep chromosome:Pop_tri_v4:4:16427741:16428963:1 gene:Potri.004G141600.v4.1 transcript:Potri.004G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141600.v4.1 MACLNMFNSEQQSSYTSSIDPRISFSNDFVDAQQAIKYESSYREAPVSTDFEFSVKNYSMIPADEIFFKGTMLPLKDNCTNSQLRKMTLRDELLVDDEYNDAFPTPKSSGWWKEKLWLKRGHFTLKKSDRNSAVLDRVVEERPVFVHEGGLTNKRTQEELIEGGISCEDSEI >Potri.018G040400.2.v4.1 pep chromosome:Pop_tri_v4:18:3209031:3212188:-1 gene:Potri.018G040400.v4.1 transcript:Potri.018G040400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040400.v4.1 MAIGAGGIRSSSLAFGAEQLGKRDTLKRAGILESFFRWYYATVSVALFLAMTCILYIQDNMGWKVGFGIPVVLMILSTLSFFLASPIYVKSKAKAGWLDGFARVLVASFRNRSIKLSSQVKDDVYHHRKGSRLLVPSEKLRFLNKACVIRNPKEDLIPDGRASNPWSLCTVDEVEELKSLIKVIPIWSTGVLMSINVLQHSFIVLQASTMDRHITSKFQVPAGSFTAFQLFSSVIWIALYDRVAIPLASKIRGKPTRLGLKQRIGIGILSSSAAMAALAIIESVRRKTAIKEGFSDDPNSVLHISALWLLLYFFITGFAEAFCGIGQNEFFYTELPKSMSSVASNLFEMGLSVSNLIASLLVTIVRNFFKGNDQESWLSSNINKGHYDYYYWLLAGLSLANFIYYRACSKAYGPCKGQEGNATDGGEALTDED >Potri.018G040400.1.v4.1 pep chromosome:Pop_tri_v4:18:3209031:3212188:-1 gene:Potri.018G040400.v4.1 transcript:Potri.018G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040400.v4.1 MEDPLDHKGKIKEPLLSSSTTKGGLRALIFIIVNEAFERLANYGLSTNLILYLTREYGIDAVNGAQILYLHSAATSFTPFIGAFLADTYVGRYFMIGFGCIACLLGVILLWLTTIIPGTRPPPCVQFHDSCESASTLQLLLLYSSLGLMAIGAGGIRSSSLAFGAEQLGKRDTLKRAGILESFFRWYYATVSVALFLAMTCILYIQDNMGWKVGFGIPVVLMILSTLSFFLASPIYVKSKAKAGWLDGFARVLVASFRNRSIKLSSQVKDDVYHHRKGSRLLVPSEKLRFLNKACVIRNPKEDLIPDGRASNPWSLCTVDEVEELKSLIKVIPIWSTGVLMSINVLQHSFIVLQASTMDRHITSKFQVPAGSFTAFQLFSSVIWIALYDRVAIPLASKIRGKPTRLGLKQRIGIGILSSSAAMAALAIIESVRRKTAIKEGFSDDPNSVLHISALWLLLYFFITGFAEAFCGIGQNEFFYTELPKSMSSVASNLFEMGLSVSNLIASLLVTIVRNFFKGNDQESWLSSNINKGHYDYYYWLLAGLSLANFIYYRACSKAYGPCKGQEGNATDGGEALTDED >Potri.003G129100.1.v4.1 pep chromosome:Pop_tri_v4:3:14777115:14780378:-1 gene:Potri.003G129100.v4.1 transcript:Potri.003G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129100.v4.1 MFKLFESWGMDISTASMILTAVVTYLIWLSFISRSMKGPRVWPLFGSLPGLIWHSSRMHDWIADNLRKCGGTYQTCVVAIPLLARRQSLVTVTCDPKNLEHILKARFDNYPKGPNWQSVFHDLLGDGIFNSDGETWLFQRKTAALEFTTRTLRQAMARWVSRAIKHRFCPILESAQQQAKPVDLQDMLLRLTFDNICGLAFGKDPQTLSLEFPENGFAVSFDTATEATLQRFILPEIVWKLRKSLRLGMEMSLSQSIDHIDAYLSDIINTRKLELVNQQKGGNENPHDDLLSRFMKKKESYSDKFLQHVALNFILAGRDTSSTALSWFFWLVSQNPKVEEKILIEICTVLMETRGNDTRKWLEEPLVFEEVDRLTYLKAALSETLRLYPSVPQDSKHVVADDVLPSGAFVPAGSSITYSIYAVGRMEFIWGDDCLEFKPERWLSLDGKKIEVPDSYRFLAFNAGPRICLGKDLAYLQMKSIAAALLLRHRISVVPGHRVEQKMSLTLFMKYGLMVNVHPRDLRPIVEKVCKNALTNSNHACGIESTTPVS >Potri.008G074500.1.v4.1 pep chromosome:Pop_tri_v4:8:4632268:4634394:-1 gene:Potri.008G074500.v4.1 transcript:Potri.008G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074500.v4.1 MAVSSSSSSSSSSSSSSPSRNLKIGIVGFGPFAQFLAKIMIKQGHTLRATSRSDHSSLCQDLGISFFRDTGTFLEANNDVILICTSILSLSKVLNTMPLHCLKRSPLFVDVLSVKEYPRDILLKVLPEELDVLCTHPMFGPESGKNGWKDLAFMYERVRIKDEATCSSFLRIFETEGCRMLEMSCEEHDMVAARSQFLTHTIGRILSEMEVKPTSMSTKGFETLIHLKESTMKDSSDLFSGLFVYNRFAKQELKNLELSLEKVKQMLQDKMTEEQNLNESKF >Potri.010G122150.1.v4.1 pep chromosome:Pop_tri_v4:10:13987190:13989674:1 gene:Potri.010G122150.v4.1 transcript:Potri.010G122150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122150.v4.1 MNSSGARPEFGALHLACITQVDCPLSEQEKLLGSVLSSQSAFKLPEEKKIEKFIGSCTRGCSRPPLVVCTS >Potri.001G299200.1.v4.1 pep chromosome:Pop_tri_v4:1:31013632:31014195:1 gene:Potri.001G299200.v4.1 transcript:Potri.001G299200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299200.v4.1 MQKALRVYGQVLRLVRRLPKDSRPYYAKYARENFVNYRDVEVSDTQFLDELFLRAYNHSLWVLNKYSVDESTANKLKEICCG >Potri.008G178400.1.v4.1 pep chromosome:Pop_tri_v4:8:12330814:12335985:1 gene:Potri.008G178400.v4.1 transcript:Potri.008G178400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178400.v4.1 MGFLGFIKGFSSVYRAKPRIRVHPSFCQYGYCHIQCRTFSHFKDHDDSVLPVLIVGAGPVGLVLSILLTKLGVKCSVLEKSKSFSHHPQAHFINNRSMEVFRKLDGLAEEIQRSQPPVDLWRKFVYCTSLTGPVLGSVDHMQPQDFEKVVSPVSVAHFSQYKLIRLLLKKLEDLNFHICKPEGLNDEPFRGGELLMGHECVKINATGQSVNVTASHLKEGKYTERNISCNILVGTDGAGSTTRKLAGIELRGEKDLQKLVSVHFLSRDLGQYLLNERPGMLFFIFNTEAIGVLVAHDLMQGEFVLQMPFYPPQQSLDDFSPETCKHLILKLVGQELSDIDVIDIKPWVMHAEVAEKFVSCDNRIILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIAALVKGIAPSSILHTYETERRPIAIFNTALSVQNFRAAMAVPATLGLDPTVANSVHQTITDGVGSILPSGLQRAILDGIFTIGRAQLSEFLLNEKNLLGSSRLAKLRRLFEEGKSLQLQFPAEDLGFRYLEGALIPDSDSVGTQEPPTGRRRDYIPSSDPGSRLPHMNVRMLSNSSSEACISTLDLLPLDKVEFLLFIAPLEKSYHLAVAALKVAEEFKVSVKVCILWPTETVKGAEARSKTAMAPWENYIDVAEAKKSSNSSSWWSMCQMTEKGAILVRPDEHIAWRAKSGLDDDPILQMKSVFSAILKV >Potri.008G178400.5.v4.1 pep chromosome:Pop_tri_v4:8:12330878:12335904:1 gene:Potri.008G178400.v4.1 transcript:Potri.008G178400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178400.v4.1 MGHECVKINATGQSVNVTASHLKEGKYTERNISCNILVGTDGAGSTTRKLAGIELRGEKDLQKLVSVHFLSRDLGQYLLNERPGMLFFIFNTEAIGVLVAHDLMQGEFVLQMPFYPPQQSLDDFSPETCKHLILKLVGQELSDIDVIDIKPWVMHAEVAEKFVSCDNRIILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIAALVKGIAPSSILHTYETERRPIAIFNTALSVQNFRAAMAVPATLGLDPTVANSVHQTITDGVGSILPSGLQRAILDGIFTIGRAQLSEFLLNEKNLLGSSRLAKLRRLFEEGKSLQLQFPAEDLGFRYLEGALIPDSDSVGTQEPPTGRRRDYIPSSDPGSRLPHMNVRMLSNSSSEACISTLDLLPLDKVEFLLFIAPLEKSYHLAVAALKVAEEFKVSVKVCILWPTETVKGAEARSKTAMAPWENYIDVAEAKKSSNSSSWWSMCQMTEKGAILVRPDEHIAWRAKSGLDDDPILQMKSVFSAILKV >Potri.008G178400.4.v4.1 pep chromosome:Pop_tri_v4:8:12330796:12336084:1 gene:Potri.008G178400.v4.1 transcript:Potri.008G178400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178400.v4.1 MEVFRKLDGLAEEIQRSQPPVDLWRKFVYCTSLTGPVLGSVDHMQPQDFEKVVSPVSVAHFSQYKLIRLLLKKLEDLNFHICKPEGLNDEPFRGGELLMGHECVKINATGQSVNVTASHLKEGKYTERNISCNILVGTDGAGSTTRKLAGIELRGEKDLQKLVSVHFLSRDLGQYLLNERPGMLFFIFNTEAIGVLVAHDLMQGEFVLQMPFYPPQQSLDDFSPETCKHLILKLVGQELSDIDVIDIKPWVMHAEVAEKFVSCDNRIILAGDAAHRFPPAGGFGMNTGIQDAHNLAWKIAALVKGIAPSSILHTYETERRPIAIFNTALSVQNFRAAMAVPATLGLDPTVANSVHQTITDGVGSILPSGLQRAILDGIFTIGRAQLSEFLLNEKNLLGSSRLAKLRRLFEEGKSLQLQFPAEDLGFRYLEGALIPDSDSVGTQEPPTGRRRDYIPSSDPGSRLPHMNVRMLSNSSSEACISTLDLLPLDKVEFLLFIAPLEKSYHLAVAALKVAEEFKVSVKVCILWPTETVKGAEARSKTAMAPWENYIDVAEAKKSSNSSSWWSMCQMTEKGAILVRPDEHIAWRAKSGLDDDPILQMKSVFSAILKV >Potri.007G108900.1.v4.1 pep chromosome:Pop_tri_v4:7:13119387:13122532:1 gene:Potri.007G108900.v4.1 transcript:Potri.007G108900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108900.v4.1 MGKELEQSMLRETTPRAQVTTSKDTRTSTVLENNSPSVTTISCSSSSSSISSSIEHLCGSSESFRTLEIGVPKSNSTEKKLAWLRSQIVGDDVEFDSPFGRRRLTYADHTASGRSLRYIENFIINNVLPFYGNTHTSDSHVGHRTTKMLHEAAEYIKKCLGGGQNDAIMFCGSGTTAAIKRLQEVIGIAVPSTFRERLIKCLSNEERWVVFVGPYEHHSNLLSWRQSLAEVIEIGLDDNGLIDIEDLRRRLETYRHANRPILGSFSASSNVTGIYSDTRGISQLLHQYGGFACFDFAASGPYVKINMRSGEVDGYDGIFLSPHKFLGGPGSPGILLMSKALYQLGSSAPSTCGGGTVNYVNGFSEKDTLYLNDIEERESGGTPQIIQTIRASLAFWIKEYISYQVIRKQEDIYIEKALNRLLPNKNIWVLGNTTAKRQAIVSFLIYSTTNSSSTGMIHEYCDGTDNKDVNDEVLYMWRETGKRRGKPLHGPFIAALLNDVFGIQARGGCACAGPYGHNLLHVNEPSTLAIRSAIEKGYAGVKPGWTRLSFPYYMFNEEFEFILTAIEFLAIYGHRFLPLYHFNWKTGSWTFKKREFKDLVVEENKDNINKFESYLIRATQIANLLPKFPSQRKIPQDINPNLLFFQV >Potri.016G019700.5.v4.1 pep chromosome:Pop_tri_v4:16:1049000:1053589:1 gene:Potri.016G019700.v4.1 transcript:Potri.016G019700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019700.v4.1 MTESYSNASVSSTSSLNSSFPDTEDDQTIASILAEEGNSQVAGRLGKRLSHLDSIPHTPRVNGEIPDVNDATLDHERLSERLATYGLEELKMEGDGNCQFRALADQLFRSPDYHKHARKQIIKQLKHHRKLYEGYVPMKYRSYVKNMKKSGEWGDHVTLQAAADRFGAKICVLTSFRDTCYIEIFPKDRSPTREIWLSFWSEVHYNSLYENGDVPTSVPTRVARKKYWFF >Potri.018G126750.2.v4.1 pep chromosome:Pop_tri_v4:18:13772037:13776982:-1 gene:Potri.018G126750.v4.1 transcript:Potri.018G126750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126750.v4.1 MATPEENNQLVIAPESTPETQPNKRRKKKSIVWEHFTIENVSPGCRRASCNQCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALLRNQSPFTPGMNGNGSMSDPPKRRYRSPSSAYISFDSDRCRHEIARMMIMHDYPLHMVEHSGFLAFVQNLQPRFDMVSFNTVQGDCVATYLREKQNIMKFVEGMPGRVCLTLDMWTSSQSLGYVFITGHFIDSDWKPQSRILNVVMEPYPDSDMAISHAVACCLSDWSLEGKLFSITFNHPVGEPGRENLRSLLCVKDPLIINGQLMIGNCSARILSSIAKDVLWAGREIIKKIRDSIKYVKTSESHEEKFLELKQQLQVPSEKSLSLDNRTQWNSTFQMLVAASELKEVFSCLDTSDPDYKEAPSMEDWKQIEIICTYLKPLFDAANVLTSRNNATPITFFHELWKIHELSRAVASEDPFISSLAKLMREKIDKYLKDCSLALAIAVVMDPRFKMKLVQFRFSKIFGDEAPLYVKIVDDGLHELFLEYVALPLPLTPTYAEDGNFENMKTEDNQGTLLSDHGLTDFDMYIMETTSQNTRSELDQYLEESLLPRLQELDLLDWWKMNKLKYPTLSKLARDILTIQVSTADPDSVFDTEIKELDSYRSSLRPETVEALVCAKDWLQYGSAAPAEISNAIVKVGS >Potri.018G126750.1.v4.1 pep chromosome:Pop_tri_v4:18:13772486:13776794:-1 gene:Potri.018G126750.v4.1 transcript:Potri.018G126750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126750.v4.1 MATPEENNQLVIAPESTPETQPNKRRKKKSIVWEHFTIENVSPGCRRASCNQCKQSFAYSTGSKVAGTSHLKRHIAKGTCPALLRNQSPFTPGMNGNGSMSDPPKRRYRSPSSAYISFDSDRCRHEIARMMIMHDYPLHMVEHSGFLAFVQNLQPRFDMVSFNTVQGDCVATYLREKQNIMKFVEGMPGRVCLTLDMWTSSQSLGYVFITGHFIDSDWKPQSRILNVVMEPYPDSDMAISHAVACCLSDWSLEGKLFSITFNHPVGEPGRENLRSLLCVKDPLIINGQLMIGNCSARILSSIAKDVLWAGREIIKKIRDSIKYVKTSESHEEKFLELKQQLQVPSEKSLSLDNRTQWNSTFQMLVAASELKEVFSCLDTSDPDYKEAPSMEDWKQIEIICTYLKPLFDAANVLTSRNNATPITFFHELWKIHELSRAVASEDPFISSLAKLMREKIDKYLKDCSLALAIAVVMDPRFKMKLVQFRFSKIFGDEAPLYVKIVDDGLHELFLEYVALPLPLTPTYAEDGNFENMKTEDNQGTLLSDHGLTDFDMYIMETTSQNTRSELDQYLEESLLPRLQELDLLDWWKMNKLKYPTLSKLARDILTIQVSTADPDSVFDTEIKELDSYRSSLRPETVEALVCAKDWLQYGSAAPAEISNAIVKVGS >Potri.004G204300.1.v4.1 pep chromosome:Pop_tri_v4:4:21295141:21297852:-1 gene:Potri.004G204300.v4.1 transcript:Potri.004G204300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G204300.v4.1 MARRSFSLLKTLTKPTTSFSLTPTPTSRSVTYMPRPGDGAPRAVTLIPGDGIGPLVTDAVEQVMNAMHAPVYFEKYEVHGDMNRFPAEVIESIKKNKVCLKGGLKTPVGGGVSSLNVSLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYSGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKQVTAVHKANIMKLADGLFLESCREVATKYPSIKYSEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADYAVFEQGASAGNVGKEKMVEQKKANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISEGKCRTKDLGGDSTTQEVVDAVIANLD >Potri.002G094200.1.v4.1 pep chromosome:Pop_tri_v4:2:6864960:6866893:1 gene:Potri.002G094200.v4.1 transcript:Potri.002G094200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094200.v4.1 MDFHSSSPLQSDLLGGGELMEALEPFMRSASPSTTPSPSQTSNYPSSPSPPSTSSNRFSFSPQPPQQHQQSLFNPDGCCSTSTTYPFSTGLSFNDPMGLQQPSSSIGLNHLTPTQVHQIQTQMHHNNLSYLQAYQQPQTLKFLSPKPIPMKQIGTPPKATKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDRAAYKLRGDFARLNFPNLLHQGSYIGEYKPLHSSVDAKLQAICKSLENSSQQKQGGKAKRQSNSTKKKANLAVVTQEEEQVVVKAETESPALTESTASGGSSPLSDLTFPDFEEAPLDFESGNFMLQKYPSYEIDWASILS >Potri.006G114200.1.v4.1 pep chromosome:Pop_tri_v4:6:8854840:8858897:1 gene:Potri.006G114200.v4.1 transcript:Potri.006G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114200.v4.1 MAAAASYLLGRLSNDNISINNITIDNSGGSCSNIDNASTASLTSASLLTNGGNQATTIPVIEAATGRMVRKRMASEMMEVQSTMTPLNQRLSRGNTNVYSLSISDNNNDDVIGMTRGIGASSFTSCSNNNINPNNLNPILYPLLNYSTMTSMLPSSTNLTAITSAGSASVSVSGFLSSTPSTNLATSCNDNQSQLPAVCGFSGLPLFPPERERNIVRSNAVPPPGLITTSSASTPTPPSMEDAAPATAWIDGIIKDLLHSSTNVSVPQLIQNVREIIYPCNPNLASLLEYRLRSLTDPIIPANIYPVERRRNKEAAAVPLPFQRNYIQGHAPSGLSLDLDHVSNSALPPVSSHVVSHYSNWGPTPPLICQPNIQQQHQQPQAHLVHDQQQQESPSSTSNVTPTILALNQGHPPQQAQDQQQEKSSSAETQVASSTPPPSSSVAASRDKKEEMRQQKRNEEGLHLLTLLLQCAEAVSADNFEEANKMLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGIYATLPSMPQSHTQKMASAFQVFNGISPFVKFSHFTANQAIQEAFEREERVHIIDLDIMQGLQWPGLFHILASRPGGPPYVRLTGLGTSTEALEATGKRLSDFANKLGLPFEFIPVAEKVGNLNPERLNVSKSEAVAVHWLQHSLYDVTGSDTNMLYLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAVHYYSALFDSLGASYGEESEERHVVEQQLLSREIRNVLAVGGPSRSGDVKFHNWREKLQQSGFKCISLAGNAANQANLLLGMFPSDGYTLAEDKGTLKLGWKDLCLLTASAWRPFHVSIETATTTINHHHHHHRFVTA >Potri.001G152700.2.v4.1 pep chromosome:Pop_tri_v4:1:12795498:12797347:-1 gene:Potri.001G152700.v4.1 transcript:Potri.001G152700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152700.v4.1 MQRSSNSSRVTEELFKNASTDTDQQELPTYDPLSHIGKKEKSRLRSAEYAIHVIPLLLVLCAIILWFFSNSPEPRI >Potri.001G381000.1.v4.1 pep chromosome:Pop_tri_v4:1:40114502:40115990:-1 gene:Potri.001G381000.v4.1 transcript:Potri.001G381000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G381000.v4.1 MIIKKRRIAVRSQSNFQATVSVSDDDESGEKLRMNMGTCYFPKDIESNPESLGQDAHFICQERQTFGVADGVGGWAKKGIDSGIFARELMSNYLTSLRSLEPGRAVNLKKILLKAHSKTAAIGSSTACVVSLKGDHLCYANVGDSGFMVFRGKRLVYRSPTQQNYFNCPFSLGNWVGEGKRPVSVFLGEFDVEQGDIVVAGSDGVFDNLFGSEIEEILQESEGRPWPQDLAWTIATVASMNSTSEEYDSPFAIAAESEGIEHVGGKIDDITVIVAMIELEQPRVSQEEEEE >Potri.001G365500.1.v4.1 pep chromosome:Pop_tri_v4:1:38204906:38205910:-1 gene:Potri.001G365500.v4.1 transcript:Potri.001G365500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365500.v4.1 MAACIDTPRTDTSQLSRNPNRSQSDDHAYNYAKLCRPPHVSCTPFSRNHTKTINKPAVVDDFDEQNSLWLKMKEEARFDVEQEPILSSYYFTSILCHKSLERALANLLSIKLSNSSLPSTTLFDLFAGVLEESPEIIRAVEEDLRAVKERDPACMSYVHSFLNFKGFLACQAHRVAHKLWSQGRQILALLIQNRASEVFAVDIHPGAKIGQGILFDHATGVIVGETAVIGNNVSILHNVTLGGTGKACGDRHPKIGNGVLIGAGTCILGNIKIGDGAKIGAGSVVLHEVPPRTTAVGNPARLIGGKANPIKLDKIPSFTMDHTSHISEWSDYVI >Potri.005G179700.1.v4.1 pep chromosome:Pop_tri_v4:5:18587271:18590450:1 gene:Potri.005G179700.v4.1 transcript:Potri.005G179700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179700.v4.1 MAKRMMYCWLSTPLSTAKQRFNIKTAPSFSRPVLASARAERSISTASKKKKKKERNKSVEELVVVKNDKEKRRTRSEKEYEELHSFHETESHVPVMLGEVVDVFSSLPLRSFIDCTLGAAGHSSAIIKGHPELECYIGMDVDPVAHAKARAHIDALLQSTRTSLKPHLLFKNFKYIKSVVGEIGDDCKLLSSGVDGILMDLGMSSMQVNNPQRGFSVLANGPLDMRMDPRASVKAKDILNYWPDDEVGRILREYGEESNWRWLQKKIVQARQQGGLHSTSELRDLIQGATHGTKGGRQGWIKTATRVFQALRIAVNDELNTLEKSLHACFECLVPGGRLAVISFHSLEDRIVKQTFLKIIESNGGDGDVVEEEAGKRDLRKMRNDIDAKETWIRQMVQGQNGTILTKRPITPSEEEERLNRRSRSAKLRVIEKIR >Potri.005G179700.2.v4.1 pep chromosome:Pop_tri_v4:5:18587243:18590417:1 gene:Potri.005G179700.v4.1 transcript:Potri.005G179700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179700.v4.1 MAKRMMYCWLSTPLSTAKQRFNIKTAPSFSRPVLASARAERSISTASKKKKKKERNKSVEELVVVKNDKEKRRTRSEKEYEELHSFHETESHVPVMLGEVVDVFSSLPLRSFIDCTLGAAGHSSAIIKGHPELECYIGMDVDPVAHAKARAHIDALLQSTRTSLKPHLLFKNFKYIKSVVGEIGDDCKLLSSGVDGILMDLGMSSMQVNNPQRGFSVLANGPLDMRMDPRVLALINPPFKDMLSCLLSTMPRLALNCK >Potri.017G047100.1.v4.1 pep chromosome:Pop_tri_v4:17:3345275:3345953:1 gene:Potri.017G047100.v4.1 transcript:Potri.017G047100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047100.v4.1 MAAFKCLILSLFIALSFLGGEAARNLLQLPNLPKPALPPLPSIPSLPQPTLPTLPTTQQPSLPKPSLPPLPSMPTLPTVVPKATLPPLPSMPTLPTVVPKLSLPPLPSMPSIPNIPLPTTIPSIPFLSPPPAGN >Potri.019G052650.1.v4.1 pep chromosome:Pop_tri_v4:19:8822259:8827771:1 gene:Potri.019G052650.v4.1 transcript:Potri.019G052650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052650.v4.1 MPSVFLYHVVGDLTVGKPEMVEFYETETVESAIRAIGESTECGIPVWKRKSHVGMIENSETRLQRFVGILNSLDIVAFLASTECLEDRDKAIKTPVSQVVVPNTSLLKQVDPATRLIDALEMMKQGVRRLIVPKSMGWKGMSKRFSILYNGKWLKNADTSNSSSNNNLTINPNRPSSSSGTSNRDKFCCLSREDVIRFLIGCLGALAPLPLSSISSLGAINTNYNSLEASLPAIEATRKLPEDPSAIAVVEPIPNGQCKIIGEISASRLWKCDYLAAAWALANLSAGQFVMGVEDNVTSRSLPDFAVNSAADDDNTAHGAGSTRLRKFSSRSIGFNPGNSIGIGRSVYRGRSAPLTCKITSSLAAVMAQMLSHRATHVWVIEDHSDDILVGVVGYADILAAVTKQPASVTHVNRPEAFATLC >Potri.008G197800.2.v4.1 pep chromosome:Pop_tri_v4:8:13993170:13995973:-1 gene:Potri.008G197800.v4.1 transcript:Potri.008G197800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197800.v4.1 MCNGSQDTFEENHEKKAIIPVGRKRLTRSRFSYSFSPHDMKSFTVEEEQKERKDGTEECSPGGVLEDCSGNRMPPECHYSSCPWRDFFRVFRKGPAPSFHTLPPLKEGVPKLSQRRSRSRKFREAIIPTLNSPLDGEFCHFKSSWNNFSLSELQAATDTFSKEKLIGEGSYAEVYKGQLEDGQLVAIKRLTKGSPEEMNVDFLSELGIIVHVDHPNIAKVIGYGIEGGMKSWTGESDIKLLLELLRAFCIFMRSARNLISCCYFGLAKWLPEEWSHHTVSKIEGTFGFKTNFYAYGVLLLELITVRQALDSSQQSLVMWAKPLLMKNSIKELVDPTLGDAYDSEQMDHVACAACICIDQSPSERPQMSQGVRVLEGDESSLIELKLRQRSRHQRTPSVADLFDADEYNPTEYLSHLNQQMVVLVNCNEKSEDHDRSTTDRNQQKGGGGSRPHRN >Potri.016G133400.1.v4.1 pep chromosome:Pop_tri_v4:16:13757974:13763099:1 gene:Potri.016G133400.v4.1 transcript:Potri.016G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G133400.v4.1 MDLRIGLLFLLALGAAGSIAARQMAATEIFSTTAETYEISVEIMENQEQENEIQTTNNVTRKDEVCTLCEEFASQALDYLAENKTQTEILEKLHRSCSRLTTFEQECITLVDYYSSIFFSYASSVQSEDFCRKFNLCQEMKTFSAKRNDDSCSICQRAVSEVLVKLKDPDTQLEIIELLLKACNSMEKYAHKCKRMVFEYGPVILANAEQFLETTDLCTVLHACKESEDSMEQASAVLKADS >Potri.006G035400.7.v4.1 pep chromosome:Pop_tri_v4:6:2243001:2246429:1 gene:Potri.006G035400.v4.1 transcript:Potri.006G035400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035400.v4.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSSVCDIPPRGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEDGQYAEQM >Potri.006G035400.5.v4.1 pep chromosome:Pop_tri_v4:6:2243001:2247363:1 gene:Potri.006G035400.v4.1 transcript:Potri.006G035400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035400.v4.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSSVCDIPPRGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEDGQYAEQM >Potri.006G035400.4.v4.1 pep chromosome:Pop_tri_v4:6:2243046:2246430:1 gene:Potri.006G035400.v4.1 transcript:Potri.006G035400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035400.v4.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSSVCDIPPRGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEDGQYAEQM >Potri.006G035400.6.v4.1 pep chromosome:Pop_tri_v4:6:2243514:2247151:1 gene:Potri.006G035400.v4.1 transcript:Potri.006G035400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035400.v4.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYIGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSSVCDIPPRGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEDGQYAEQM >Potri.004G110850.1.v4.1 pep chromosome:Pop_tri_v4:4:10076436:10076801:-1 gene:Potri.004G110850.v4.1 transcript:Potri.004G110850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110850.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEDCHKKVTKRCAIEISNSIYTDKTASEYCCQKHVTTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.014G186524.1.v4.1 pep chromosome:Pop_tri_v4:14:15473613:15473861:-1 gene:Potri.014G186524.v4.1 transcript:Potri.014G186524.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186524.v4.1 MKNVVKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTIGG >Potri.015G117350.1.v4.1 pep chromosome:Pop_tri_v4:15:13174666:13176317:-1 gene:Potri.015G117350.v4.1 transcript:Potri.015G117350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117350.v4.1 MEEPLFYQPTSMRKFSNVDVLREQLETVNERAHKSCGGQLQFLLCVMSRKDPGYKYLKWISETKVGIVTQCCLSTPANEGKDQYLANLGLKINAKLGGSNAELSGRLPYFGNENRVMFIGADVNHPGAQNKTSPSIAAVVGTINWPAANRYAARVRPQYHRKEQILNFGDMCLELVECYSRLNKAKPEKIVIFRDGVSEGQFDMVLNEELTDIMKAFKSINYTPTITLIVAQKRHQTRLFPGDEGSTGNVSPGTVVDTTIVHPFEYDFYLCSHYGSLGTSKPTHYYVLWDEHGLSSDDLQRLIYNLCFTFARCTKPVSLVPPVYYADLVAYRGRLYHEAVMEGQSPSSSSSRTSSSLSTAASLEERFFTLHADLENIMFFV >Potri.004G215300.1.v4.1 pep chromosome:Pop_tri_v4:4:22136302:22137529:1 gene:Potri.004G215300.v4.1 transcript:Potri.004G215300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G215300.v4.1 MEEYEKATTVMCTVIGMDYANSLSYRACSLCERTLPDTLNALCKFCHNNTASSSSKRLFRVLVSIATDTKVLNVMCFDRAARVLFGCSADDFFHFSKLHPFAASNAAKILEGEMCRMTLSRPKNGNAQHLRAVSIVPLRSGFKPAIESLKEFYGVKPATS >Potri.016G003200.2.v4.1 pep chromosome:Pop_tri_v4:16:165512:168303:-1 gene:Potri.016G003200.v4.1 transcript:Potri.016G003200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003200.v4.1 MEKGGGKGFSLPSKEPKSSLKSSSTKGKDDSNNGRKIHFESEGNLSKGGKGGKIANGGKSPMTKEPPPLELKIESELPQNAKPLMDCEAAQILQGIQDQMVLLSQDPTIKLPVSFDKGLQYAKNGAHYTNPQSVRRVLEALRKYGVSDGEISLIANVFPETADEAFALVPSLKSKASTLREPLKDILGELAKFKQPA >Potri.006G232100.2.v4.1 pep chromosome:Pop_tri_v4:6:23501591:23505289:-1 gene:Potri.006G232100.v4.1 transcript:Potri.006G232100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232100.v4.1 MDATSFCGALPQTKLSASNRNFSRSCPRARSVVSVARHSSEEFQQPDKLYSRESLVVSSFSSANKGMTASGRSYLSGPVMKRNPVRQAFCAASVVGVGAYCEGFVDSHLHVTEEKVGVLLLNLGGPETLNDVQPFLFNLFADPDIIRLPRLFQFLQKPLAKLISVLRAPKSKEGYASIGGGSPLRKITDEQADGIKMALKAKGLTANVYVGMRYWYPFTEEAIHQIKKDKITKLVVLPLYPQFSISTTGSSLRVLQNIFSEDAYLSRLPIAIIQSWYQREGYIKSMADLIGEELQKFAKPEEVMIFFSAHGVPLTYVEDAGDPYKDQMEECIYLIMQELKARGSYNDHTLAYQSRVGPVQWLKPYTDEVLVELGQKGVKSLLAVPVSFVSEHIETLEEIDMEYKELALESGIENWGRVPALGCTSSFITDLADAVIEALPSAKSFSTTRSTSEESDRDFLSYAIKMIFGSVLAFVLLFSPKVITAFRNLLF >Potri.016G060801.1.v4.1 pep chromosome:Pop_tri_v4:16:4218910:4226888:-1 gene:Potri.016G060801.v4.1 transcript:Potri.016G060801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060801.v4.1 MARTLTEVLIFLFLSIILFPITLATARPDTFSRNLSPKKLGLKREKLSHLHRLTSSFGLVTMMDDPLTVKPEIGSKLVGRAQGIYASASQSELSFLMALNFVFTEGKHNGSTLSILGRNNVFSGIREMPIVGGSGLFRLARGYAQAKTHEIDFKTGNATVEYNVYVFHY >Potri.013G077700.1.v4.1 pep chromosome:Pop_tri_v4:13:6611728:6623903:1 gene:Potri.013G077700.v4.1 transcript:Potri.013G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077700.v4.1 MGWGLGAIFEGIVVVGSLCLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPLLSKQARWINWKVDLFCLILLLVFMLPYYHCYLMLRNNGVRRERAALGAIIFLFAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIGDSEIKALERQLMQSIETCVAKKKRIVLSQMEMERIQGSEEKLNARSFFKRIVGTVVRSVQDDQKEQDIKTMEAEVEALEELSKQLFLEIYELRQAKEAAAFSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVIFKEAGSVDPVTRTISLFLQFFDIGINAALLSQYISLLFIGILVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLATEYRITITAVLGGEIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >Potri.013G077700.3.v4.1 pep chromosome:Pop_tri_v4:13:6611737:6623886:1 gene:Potri.013G077700.v4.1 transcript:Potri.013G077700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077700.v4.1 MGWGLGAIFEGIVVVGSLCLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPLLSKQARWINWKVDLFCLILLLVFMLPYYHCYLMLRNNGVRRERAALGAIIFLFAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIGDSEIKALERQLMQSIETCVAKKKRIVLSQMEMERIQGSEEKLNARSFFKRIVGTVVRSVQDDQKEQDIKTMEAEVEALEELSKQLFLEIYELRQAKEAAAFSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVIFKEAGSVDPVTRTISLFLQFFDIGINAALLSQYISLLFIGILVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLATEYRITITAVLGGEIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >Potri.013G077700.2.v4.1 pep chromosome:Pop_tri_v4:13:6611737:6623886:1 gene:Potri.013G077700.v4.1 transcript:Potri.013G077700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077700.v4.1 MGWGLGAIFEGIVVVGSLCLLGWAGLWFLNRRLYKEYEEKRALVQIIFSVVFAFSCNLLQLVLFEIIPLLSKQARWINWKVDLFCLILLLVFMLPYYHCYLMLRNNGVRRERAALGAIIFLFAFLYAFWRMGVHFPMPSPDKGFFTMPQLVSRIGVIGVTVMAVLSGFGAVNLPYSYLSLFIREIGDSEIKALERQLMQSIETCVAKKKRIVLSQMEMERIQGSEEKLNARSFFKRIVGTVVRSVQDDQKEQDIKTMEAEVEALEELSKQLFLEIYELRQAKEAAAFSRTWRGHMQNLLGYACSVYCVYKMIKSLQSVIFKEAGSVDPVTRTISLFLQFFDIGINAALLSQYISLLFIGILVVISVRGFLTNLMKFFFAVSRVGSGSSSNVVLFLSEIMGMYFVSSILLIRKSLATEYRITITAVLGGEIQFDFYHRWFDAIFVASAFLSLLLLSAHYTSRQADKHPID >Potri.005G001100.4.v4.1 pep chromosome:Pop_tri_v4:5:148466:151302:1 gene:Potri.005G001100.v4.1 transcript:Potri.005G001100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001100.v4.1 MFVSDARLNISKEDDDIQDQAENRPHLNSTNNHCIKKKKKYKFMVTNKNKKKKKKDVSFRESYSTSDHRSSGGGGGGGGGNIDFNKPTKVIFFPFNNPNKFFYKKSSPFSPSSSSSTAAVSGNACFPGKISRPIFFCFKQPPTLESSSTSATLQSQTSDPNNPNFTFDRLKSLIENNDFFSKQCNPHSL >Potri.003G218600.3.v4.1 pep chromosome:Pop_tri_v4:3:21337423:21341564:-1 gene:Potri.003G218600.v4.1 transcript:Potri.003G218600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218600.v4.1 MRGRSYSPSPPRGYSRRGRSPSPRGRYGGRSRDLPTSLLVRNLRHDCRPEDLRRPFEQFGALKDIYLPRDYYTGEPRGFGFVQYADPHDAAEAKHHMDGRVFLGRELTVVFAEENRKKPVDMRARERTATRGRVGDRRRSPPRYSRSPRHSRSPPPRHATSRSHSRDYYSPPKRRHPSRSVSPRERRYSQERSYSRSRSHSQTPNRGQIRSPVRSRSSSPRKSRSRSPIHDEYPKEVNGDKSPSP >Potri.003G218600.6.v4.1 pep chromosome:Pop_tri_v4:3:21337471:21341520:-1 gene:Potri.003G218600.v4.1 transcript:Potri.003G218600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218600.v4.1 MRGRSYSPSPPRGYSRRGRSPSPRGRYGGRSRDLPTSLLVRNLRHDCRPEDLRRPFEQFGALKDIYLPRDYYTGEPRGFGFVQYADPHDAAEAKHHMDGRVFLGRELTVVFAEENRKKPVDMRARERTATRGRVGDRRRSPPRYSRSPRHSRSPPPRHATSRSHSRDYYSPPKRRHPSRSVSPRERRYSQERSYSRSRSHSQTPNRGQIRSPVRSRSSSPRKSRSRSPIHDEYPKEVNGDKSPSP >Potri.008G035101.1.v4.1 pep chromosome:Pop_tri_v4:8:1907836:1909040:-1 gene:Potri.008G035101.v4.1 transcript:Potri.008G035101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035101.v4.1 MSSMTPHSSSLQSFLKDCIMNWSFMTKANSLVMPWREAVPLNYPLTMKLVPKPTVVWRMCPYGGGFMNPDFMMQALVTVKSDFNFLYHKSTRYDH >Potri.016G057700.1.v4.1 pep chromosome:Pop_tri_v4:16:3911305:3916126:-1 gene:Potri.016G057700.v4.1 transcript:Potri.016G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057700.v4.1 MVLSIQSHRFNSFARFRLSSLLPSLYQNGRNATTFTGSNDNSLSSVVSDFEPDELHDDGEAKQKDNALRLALTQLAGEFGRESMLSLQRFFNSRRAPVISTGSLKLDLALGIGGLPKGRMVEIYGKEASGKTTLALHIIKEAQKLGGYCAYLDVENAMDPLLPESMGINTENLLISHPDCAENLLSVVDTLTKSGSVDVIVVDSVAALVPQREIDTAVGGTFEDIQSRLMTQALRKINYSLCQSQTLIIFLNQVRKSLKSGRAEEVTCGGNALKFYSAVRLRMIRTRLLKTEDRITGLGVCAQVVKNKLAPAMTKAELEIQFGRGFCSESEVLELACEHSLIKKEGSSYVIGRKVFGNERVAEQYLMENEGVHDQIVAKLREKLFQRKMEL >Potri.015G074200.1.v4.1 pep chromosome:Pop_tri_v4:15:10006674:10009091:1 gene:Potri.015G074200.v4.1 transcript:Potri.015G074200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074200.v4.1 MIQNFDQTDRRILTFPAVHPCEAISPATLLNSLINLSQNICNYQSKLFATQRKNARQTIRQIGLLLLFFEETRDRRLALSDSVVLCFSELHLAFQKVQFLLEDCTREGAKLWILIKFQIVSTQFRALIRAIATALDVLPLSLIDVGGEVKELVELVGKQARNAKVEVDPEDEWALKQVFSILDHFEKGTEPDSSFMKRVLDHLEIRNWNDCNKEIKFLEEQIGFQCSDCKEREVPFLSSLLGLMSYCRGVIFETWNHRNNDKSDARHGIEAPTCINTEDFRCPISLELMTDPVTVSTGQTYDRSSIQRWLKAGNMTCPKTGERLTSTELVPNSTLRKLIQQFCTDVGICASNSGSQSRDIARTISPGSPAAAEEMKFLSRFLARRLVFGSREQKTKAAYEIRLLAKSNIFNRSCLIEAGTILPLINLLSSSCEHYAQEIAISALLKLSKHTCGKKEIIESGGLQPILAVLRRGLSLEAKQMAAATIFYLASVKAYRKLIGETPEVVPTLVELIKDGTTCGKKNGVVAIFGLLLHPGNHQRVLASGTIPLLMDILSSSDNDELIADSVAVLAAIAESVDGTLAILQTSALSTIPRILQSSPSRAAREYCVTVLLSLCKNGGAEAIAILAKDHSLMSSLYSLLTDGTPHGSSKARALIKTLHKFHETSSSGRIASVVPCERPVHVW >Potri.009G122100.3.v4.1 pep chromosome:Pop_tri_v4:9:10210701:10220862:-1 gene:Potri.009G122100.v4.1 transcript:Potri.009G122100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G122100.v4.1 MASSSRARSRSPFSHRKPSSSYSSASSTTTSYNNRLIPRSCSTSASSFFGSRSVTPNRARSDSMQYGGLRGGGQTPVGFGPEELIAEPFDQPRSGGDSISVTIRFRPLSEREFQRGDEIAWYADGDKIVRNEYNPATAYAFDKVFGPHTASQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSIIQETPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSDHGDEYDGVIFSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVIGKLSEGRASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISILKEELDQLRQGMLVGVSHEEILSLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGLPDVPGHQRSHSDDKLDLREGASLAENENQKDSPSSSSLIASDLTSEFKHRRSSSKWNEELSPASSAVTETTQAGNLMNASKLAPGGMTQDQMDLLVEQVKMLAGEIAFSTSTLKRLVEQSVNDPDNSKIQIQNLEREIMEKKRQMGVLEQRIIESGEASIANASLVDMQQTVMRLMTQCNEKAFELEIKSADNRILQEQLQNKCSENKELQEKVTLLEQRFASLSGDKAPLNSEHNASEEYVDELKKKVQSQEIGNEKLKIEQVQLSEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEQELLAARESVHSRGAGMQTINGVNRKYYDATRPGRKGRFSGRGNEISGMHSDDFELWNLDPDDLKMELQARKQHEAALEASLAEKEFIEDEYRKRCEEAKKREEALENDLANMWVLVAKLKKDGSAIPGMNADERHGDGIDHARDPKMNGVEVDQNNAVKERQDLDASQEVDGTPKEEPLVVRLKARMQEMKEKELKYLGNGDANSHVCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFT >Potri.015G018101.2.v4.1 pep chromosome:Pop_tri_v4:15:1269867:1276795:-1 gene:Potri.015G018101.v4.1 transcript:Potri.015G018101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018101.v4.1 MEETLLLLPNSVKLYVNKIDYASQLIIASDPDQCLPGQLRHFNLSTSPFKFGDMEQDDYAFFNCTSWKGDTSRQLACLSGPGYDIFAYFSSYPIGYSDLTSCTKMYNLSSIPREIFWQNNILHLNWSGPECVHCEAHGKFCRSKNNSAGLGTECYDKPKSKEDIKKKIEASVATVGSVLLLLVFFAAFRVYSSDKAAKENQKRIENFLADYKAFKPTRYTYADIKRITNEFKDKLGQGAYGTVFKGQLSDEIFVAVKILNNSTGNGEEFINEVGTMGKIHHVNVIRLVGYCADGFRRALVYDYLSNESLEKFISSEHGDASALSWEKLQDIALAWPKE >Potri.004G089400.3.v4.1 pep chromosome:Pop_tri_v4:4:7604033:7604506:1 gene:Potri.004G089400.v4.1 transcript:Potri.004G089400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G089400.v4.1 MAKSRNPTAFGSMDDIRKVFNKFDKNGDGKISCSEVVDNLSELGTKISPAEVELIMQEFDKDGDGYIDLDEFVGFIQNGGHGDSGGNDSKELRDAFDLYDTNKNGLISVDELHSVMKMLGLKCSLSDCRKMIREVDEDGDGNVNFEEFKKMMTKGLA >Potri.013G032900.1.v4.1 pep chromosome:Pop_tri_v4:13:2118553:2120232:-1 gene:Potri.013G032900.v4.1 transcript:Potri.013G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032900.v4.1 MAGIGYSYRGYSSYDPPSSDDYGSKTGYVPDHVCRPVIIDADGRKRPIISYRVDQNADHYVTKTETYYQQHVHPPMEYEDKEVPRWSEEYHGAENKFRRPLASADGRPQKVEEFITKVQIEASRPKEYAPWDASYQRQTPKSTGYDGYTDGYDERNGFSNKDLLKPSGNAHRNDNNYDDYYRKQGSNMEPTMNTSGGWARPSHSTWAAPPNAPLSGATNDISAAVGLLREVAKPSVSTSPPSRYRDPTYANTIDSKEAARRYGNFNFSSRPYARDDSYTSTIDSREAARKYRGSAV >Potri.014G100900.8.v4.1 pep chromosome:Pop_tri_v4:14:6668104:6670013:1 gene:Potri.014G100900.v4.1 transcript:Potri.014G100900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100900.v4.1 MDEMASNSCGRPGVERKPRPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSVATSSSKLPDLNPPSLSHFSSQNPKSTHEGQDLNLAFPAMQDSQGISHYTEVPKTENRNNNQHNSSSSPYTSSPISAMELLRSGFASRGLNTFIPTPMPDSNTLYSSGGFPLQELRPTLSFPADGLGSRYGIQENSGRLLFPFGELKQLSSTTSEVDQNKGQGGSSGYWNGMFGGGSW >Potri.014G100900.2.v4.1 pep chromosome:Pop_tri_v4:14:6668071:6669996:1 gene:Potri.014G100900.v4.1 transcript:Potri.014G100900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100900.v4.1 MDEMASNSCGRPGVERKPRPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSVATSSSKLPDLNPPSLSHFSSQNPKSTHEGQDLNLAFPAMQDSQAMELLRSGFASRGLNTFIPTPMPDSNTLYSSGGFPLQELRPTLSFPADGLGSRYGIQENSGRLLFPFGELKQLSSTTSEVDQNKGQGGSSGYWNGMFGGGSW >Potri.001G203500.3.v4.1 pep chromosome:Pop_tri_v4:1:20507564:20510105:1 gene:Potri.001G203500.v4.1 transcript:Potri.001G203500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G203500.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAVSGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGIGGGNGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGGGKGGKGSGGGGGGGGGGGGGSGSGSGSGYGSGSGNGEGYGGGKGFLELEVKRECETQNLRLMLTTF >Potri.014G069900.2.v4.1 pep chromosome:Pop_tri_v4:14:4428008:4429061:-1 gene:Potri.014G069900.v4.1 transcript:Potri.014G069900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069900.v4.1 METAGVPPLICFLFSLLLSISKQNTQLHFSPYNFIIVAKPSFLTLFLVFHFIIITIFVGRPKSSVEDLEDSFSSIPPPSVYEMDETIQEVEVCGSTGSTDDEDDDQSYSTFDGYDDNYHVSDGYVEDMNDDVNDAEGDQDSEDDAVSDAEGDAVNDAEGDQDSEDHEEYDNELEKKIEGFIEKVYQERWEEFLRDRFLCISAG >Potri.015G068300.1.v4.1 pep chromosome:Pop_tri_v4:15:9378162:9381224:1 gene:Potri.015G068300.v4.1 transcript:Potri.015G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068300.v4.1 MPSHVDLDRQIEHLMQCKPLAEAEVKALCEQARAVLVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKYFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISQQFNHTNGLTLISRAHQLVMEGYNWSQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRRTPDYFL >Potri.017G011000.1.v4.1 pep chromosome:Pop_tri_v4:17:841167:843538:1 gene:Potri.017G011000.v4.1 transcript:Potri.017G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G011000.v4.1 MPVEFEIEINVQTSTRGGYRDFIEKLRDRLGVRFSHNRPALAVQEEPPTRFFDLVIRTNDHSVRFRLRMDNLYLIGYQMQNGQWLEFNNETGVHLIREQGTEFLGFNGSYNMLSNVAGLSMEEVRVGFYNLGYGINQLATSTTWKIRARYLIGVIMMICESTRLIPISDYMATNFDNSHGTSSDDYNSYDNRNREGQIQPWITTLVRAWDAFSAALLRADAYPDESFQLRRNVVRLPPDNREIRTISQAAAILGILLGLCFRNSGQRRFPRMTFDEGQCFLGLPLVEVFSVSIDNIDGEDPGQLYGTITVDDGLNIEYIYNRTSSNPESIKPGQNASLTGPSQSIIAIGNFTIKLLLTDMLVNSGSPMRGPGVY >Potri.005G061860.1.v4.1 pep chromosome:Pop_tri_v4:5:3904490:3915877:-1 gene:Potri.005G061860.v4.1 transcript:Potri.005G061860.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061860.v4.1 MVLESIISTIGVVSQHTVVPIAREINHCLKYNHNFENLKREVKKLKSAQLRVQHLVDDARNNGEAILEDVIKWLSLVEEASEKVEREILEDEDRARKKCFIGLCPDLKARYQCSKKAKAETRFVASLLDERDGFSTVSHRAAPKGMEAISIRSYDAMPSRTPVLKEIMNALTTADVNMVGVYGMGGMGKTTLVKEAARQAIQEKLFNQVVFATITQTQDIKKIQGQIADQLSLKFDEESECGRAGRLRQRLKQEQKILIILDDLWKSLDLEAVGIPLKDEHEGCKMLVTSREFDVLSCGMDIQKNFPINALSEEETWELFKKMAGDHVEHPDLQSLAIEVAKMCAGLPVAIVTVARALKNKNLSQWKNALRELKRPSPRNFAGVQEDVYAAIELSYNHLESKELKSTFLLCSRMGYNASTRDLLKYGMGLGLFSGFVTVEEAQDRVHSLVHKLKASGLLLENHSDWQFSMHDAVRDVAISIAFRDCHVFVGGDEVEPKWSAKNMLKKYKEIWLSSNIELLREMEYPQLKFLHVRSEDPSLEISSNICRGMHKLKVLVLTNISLVSLPSPLHFLKNLRTLCLHQSSLGEIADIGELKKLEILSFAKSNIKHLPIQIGQLTKLRMLDLSDCFELDVIPPNIFSNLSMLEELCMGNSFHHWATEGEDNASLVELDHLPHLTNVDIHVLDSHVMSKGMLSKRLERFRIFIGDVWDWDGVYQSLRTLKLRLNTCASHLEHGVLMLLKRTQDLYLLELKGVNNVVSELDTEGFLQLRHLHLHNSSDTQYIINTSSEVPSHVFPVLESLFLYNLVSLEKLCHGILTAESFRKLTIIEVGNCVKLKHLFPFSIARGLSQLQTINISFCLTMEEIVAEEGDEFEDSCTEIDVMEFNQLSSLSLRCLPHLKNFCSREKTSRLCQAQLNPVATSVGLQSKEISEDEPRNPLQLFCEKILIPKLKKLELVSINVEKIWHGQLHRENTFPVQNLQTLYVDDCHSLKYLFSPSMVKSLVQLKYLTVRNCKSMEEIISVEGVEEGEMMSEMCFDKLEDVELSDLPRLTWFCAGSLIKCKVLKQLYICYCPEFKTFISCPDSANMTVDIEPGELHSRESDHNAVQPLFDEKVAFPSLAEIKISHIENLEKMWHNQLAEDSFCQLQSVTISSCKRLVRVFPSILLETFRMVEMLDIRHCPFLEEIFDLQETGASGSLQLRDLSLIGLGKLKHIWNKDPQGILSFQNLHALKVSDCNVLKNLFPFSIARELVQLEKLKIEHCGKLEEIIVKVDNGEAAHCFVFPLLTSLKLQELPEFRNLYPGKHTWKSPMLKRLAVSDCCNVALFGSKFLKSQETQGEVQLGIPAQQPLFFVEKVISNLEELSLGGKNTTASIIWHHQLPIECYSSLKVLKLHDFGVKSDPISFGFLQRLRNLETLSVTHSSFKKLFLYKGHSSFKKLPSIREVVGEERRALARLKNLTIHAVHDIKHIWKQDHLLAPILHNLKTLKVEDCHSLVSLAPSYVCFQNLTTLDIQSCLGLLNLFTSSTAKSLVQLVKLTIAHCKKVTVVVARQGGDEADDEIIFSKLEYLELLDLQNLTSFCFGNYAFRFPSLKEMVVEECPNMRIFSPGVLSTPKLLGVHWKKYSKNRVHWHGNLDITIQHLYTEMVGFDGVKRLKVSDFPQLKERWQCQLPFNFFRNLTNLTVDEYCYSLDALPSTLLQFMNDLQELQVRNCDLLEGVFDLKGISPEEGRVWLPLLYELNLIGLSRLRHICNTDPQGILEFRNLNFLEVHDCSSLRNIFTPSMALSLVHLQKIVIRNCDKMEEIITKERAGEEEAMDKIIFPVLKVIILESLPELSNIYSGSGVLNLTSLEEICIDDCPNMKIFISSLIEEPEPNSVDKGKEQRQGQGDNYNFTALLTYKVAFPELKKLRVDWNAIMEVTQCGQFRTEFFCRLKVLELVHFPIDCVDFPSWFLQRFNILESLVVCDASFEEIVRLEEMSSRPNQVFAQLRVLELSKLPELMHLSKESSQACQIFQNLEILRVSECGTLKTLIPMSVSFRCLMTLEVSKCNGLASLMSSSTAKNLVQLTSMSVVECETIEVVVADDENEAENEIVFHKLENLAFHCLPSLTSFYMQNCALMFPSLERVFIDQCPKMELFSRGVINTPKLERVQLTEGDSTGFWKDDLNLTIHNLFVKKSKLPSSSRQPSLARETTAAHKNQMYAVSEGPASENLHVNENPDAGKDSHPVIETSTNLVIKEQAMRNGLMKPGPQVTSIYQDSQATLNDKEDREQGQSPLAPIDIEAPISQQVQNDSQATDEKEFPQDQFMTASSSQQKSIVLVSPPSAGEQSLASTSASSTNETSTQKLASHTSSRRTSETITEIESLFATMEQLVRPCPVSSSQPESSANTHTESYESDVGSPESHVYSMGLIKKILLKPLTEVARSPDGLLLLATMKNLKKSDLLNSQQLEIIQAYIDNFHSLVSNYPLYEHQIDRTSALKCSIEDKKKGISDLKNHYGDLINNASSLAAEREALKKRLDEIAEEEIHIREDADDLRTQLISWKAELETHMKALPEALRQQNEAENRTNNSNDYWGKIRSLFA >Potri.007G071600.4.v4.1 pep chromosome:Pop_tri_v4:7:9339061:9353476:-1 gene:Potri.007G071600.v4.1 transcript:Potri.007G071600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071600.v4.1 MAPGRKKGANKKKLQLRLGDLVLAKVKGYPSWPAKISRPEDWKRVADAKKVFVYFFGTQEIAFVAPSDIQVFTNEVKNKLSARCQSKKDRFFSQAVKEICAAFEELQKGKSSGLGDNTDRSALGSEGQSVDSMEEDGAGDDLNEGMGKVGQSGVMWDSGREFSSKLEHCSSRRGEAGSEGMKPSVSCDTDDSSSPGISSENKVKTFDGEQPQEVLSASSLDNVSFVKDEASCNGNLDVNCMNNLCNGEEARTNPHESKTVVSGADRKLECDSREQVKGGEKGKHASGRIRDSPPGPPKSDSGANGGRKAELSEAKKDTIMVFNDIHENKVFQKKRRAQPEHGKSELETTETTNPAKKLKRVDMEDDVTKGPLLENMSISPSLNVVDDKAVKQPVAHGKREILLALRAQSGKVKSDAFAQIGKVKSNLSSQLGKFKPGTSAKTSKVDCDASAQTVKVKSDPPAQWGNTNTDASAQISKVKLDASAEIGKAKPDASDPMSKVKSDVSNDETVLPVLKRRKRAMEAMCDAAALNSDDRMEKNALELNSDLASINTRVSVTQQPKRRRAVCLYDGDNEDEEPKTPVHGGADKNVRAHVSVSDTSKRTNVHVESSVNQEHRSSINAQTSLRDSTGLENSHSKESSLLMQNYPLSPSCPKTAKRNDIRVSPSPGKSESEQILTKEAKPIITTPKRSPHLLPATKPVVEQHKATKPSIKVSTLGIQKRAQAGPGKVSGPVLDSSNTSQNHVPSQKSRAAFSGDWPKSTPKATSQMSDPTVPMCAPSELEVGMDDRSSFLVDSKTLDSVTSMKHLIAAAQAKRRQAHSQPFPHGNPAFIALNDAQGRSPSSSPGQNFLSGTSNAVQADMQGFYHNTNLVSPSSHGHQSASHSQVEAEEIEEQRVSSGQRAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGALYVPTVQAALPRLVGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRHYIDGIGGSNDDASAGFSLRRPSQSERAIDDPIREMEGMHVDEYGSNATFQLPGLLSSHVFEDDDDDDDFPSSPFKEVNVVLGVTESTHALGERETFTATASDRRHCILEDVDVELEMEDVSGHPKDERPSSIGVFFEMEAQQHYSDRLPEPALNDSVHLLPLPDGSPPPPPDSPPPPPPLPSSPPPPPPPPPPPLPPQLSTSLSPPPPPPPPPPPLPSQPPPPLPPVPPSAPLPTVVPQPSVPTQSSLLAKPIRPSQSSVQSSPHLAYQSAVPHEYCTTPNSNQIVQMAGSTPHGNHMFLNPQAPQQNPHFQPVNAPFAQRPLHSNLPQNASGHFSFTTPPIQQLPYPRPYSMPSHPDGRPRFSTDEQWRMPSSEYPDNHPGAWMGGRNPSYAGPSFGQEGHFRPPPPNNMGFQVAPSNKVPAGASIPGHGVTQMLPCRPDMPALNCWRPA >Potri.007G071600.5.v4.1 pep chromosome:Pop_tri_v4:7:9339034:9351339:-1 gene:Potri.007G071600.v4.1 transcript:Potri.007G071600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071600.v4.1 MGKVGQSGVMWDSGREFSSKLEHCSSRRGEAGSEGMKPSVSCDTDDSSSPGISSENKVKTFDGEQPQEVLSASSLDNVSFVKDEASCNGNLDVNCMNNLCNGEEARTNPHESKTVVSGADRKLECDSREQVKGGEKGKHASGRIRDSPPGPPKSDSGANGGRKAELSEAKKDTIMVFNDIHENKVFQKKRRAQPEHGKSELETTETTNPAKKLKRVDMEDDVTKGPLLENMSISPSLNVVDDKAVKQPVAHGKREILLALRAQSGKVKSDAFAQIGKVKSNLSSQLGKFKPGTSAKTSKVDCDASAQTVKVKSDPPAQWGNTNTDASAQISKVKLDASAEIGKAKPDASDPMSKVKSDVSNDETVLPVLKRRKRAMEAMCDAAALNSDDRMEKNALELNSDLASINTRVSVTQQPKRRRAVCLYDGDNEDEEPKTPVHGGADKNVRAHVSVSDTSKRTNVHVESSVNQEHRSSINAQTSLRDSTGLENSHSKESSLLMQNYPLSPSCPKTAKRNDIRVSPSPGKSESEQILTKEAKPIITTPKRSPHLLPATKPVVEQHKATKPSIKVSTLGIQKRAQAGPGKVSGPVLDSSNTSQNHVPSQKSRAAFSGDWPKSTPKATSQMSDPTVPMCAPSELEVGMDDRSSFLVDSKTLDSVTSMKHLIAAAQAKRRQAHSQPFPHGNPAFIALNDAQGRSPSSSPGQNFLSGTSNAVQADMQGFYHNTNLVSPSSHGHQSASHSQVEAEEIEEQRVSSGQRAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGALYVPTVQAALPRLVGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRHYIDGIGGSNDDASAGFSLRRPSQSERAIDDPIREMEGMHVDEYGSNATFQLPGLLSSHVFEDDDDDDDFPSSPFKEVNVVLGVTESTHALGERETFTATASDRRHCILEDVDVELEMEDVSGHPKDERPSSIGVFFEMEAQQHYSDRLPEPALNDSVHLLPLPDGSPPPPPDSPPPPPPLPSSPPPPPPPPPPPLPPQLSTSLSPPPPPPPPPPPLPSQPPPPLPPVPPSAPLPTVVPQPSVPTQSSLLAKPIRPSQSSVQSSPHLAYQSAVPHEYCTTPNSNQIVQMAGSTPHGNHMFLNPQAPQQNPHFQPVNAPFAQRPLHSNLPQNASGHFSFTTPPIQQLPYPRPYSMPSHPDGRPRFSTDEQWRMPSSEYPDNHPGAWMGGRNPSYAGPSFGQEGHFRPPPPNNMGFQVAPSNKVPAGASIPGHGVTQMLPCRPDMPALNCWRPA >Potri.007G071600.7.v4.1 pep chromosome:Pop_tri_v4:7:9344782:9350694:-1 gene:Potri.007G071600.v4.1 transcript:Potri.007G071600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071600.v4.1 MEDDVTKGPLLENMSISPSLNVVDDKAVKQPVAHGKREILLALRAQSGKVKSDAFAQIGKVKSNLSSQLGKFKPGTSAKTSKVDCDASAQTVKVKSDPPAQWGNTNTDASAQISKVKLDASAEIGKAKPDASDPMSKVKSDVSNDETVLPVLKRRKRAMEAMCDAAALNSDDRMEKNALELNSDLASINTRVSVTQQPKRRRAVCLYDGDNEDEEPKTPVHGGADKNVRAHVSVSDTSKRTNVHVESSVNQEHRSSINAQTSLRDSTGLENSHSKESSLLMQNYPLSPSCPKTAKRNDIRVSPSPGKSESEQILTKEAKPIITTPKRSPHLLPATKPVVEQHKATKPSIKVSTLGIQKRAQAGPGKVSGPVLDSSNTSQNHVPSQKSRAAFSGDWPKSTPKATSQMSDPTVPMCAPSELEVGMDDRSSFLVDSKTLDSVTSMKHLIAAAQAKRRQAHSQPFPHGNPAFIALNDAQGRSPSSSPGQNFLSGTSNAVQADMQGFYHNTNLVSPSSHGHQSASHSQVEAEEIEEQRVSSGQRAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGALYVPTVQAALPRLVGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRHYIDGIGGSNDDASAGFSLRRPSQSERAIDDPIREMEGMHVDEYGSNATFQLPGLLSSHVFEDDDDDDDFPSSPFKEVNVVLGVTESTHALGERETFTATASDRRHCILEDVDVELEMEDVSGHPKDERPSSIGVFFEMEAQQHYSDRLPEPALNDSVHLLPLPDGSPPPPPDSPPPPPPLPSSPPPPPPPPPPPLPPQLSTSLSPPPPPPPPPPPLPSQPPPPLPPVPPSAPLPTVVPQPSVPTQSSLLAKPIRPSQSSVQSSPHLAYQSAVPHEYCTTPNSNQIVQMAGSTPHGNHMFLNPQAPQQNPHFQPVNAPFAQRPLHSNLPQNASGHFSFTTPPIQQLPYPRPYSMPSHPDGRPRFSTDEQWRMPSSEYPDNHPGAWMGGRNPSYAGPSFGQEGMCNCVPVIIEHLILYF >Potri.007G071600.6.v4.1 pep chromosome:Pop_tri_v4:7:9343186:9350948:-1 gene:Potri.007G071600.v4.1 transcript:Potri.007G071600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071600.v4.1 MVFNDIHENKVFQKKRRAQPEHGKSELETTETTNPAKKLKRVDMEDDVTKGPLLENMSISPSLNVVDDKAVKQPVAHGKREILLALRAQSGKVKSDAFAQIGKVKSNLSSQLGKFKPGTSAKTSKVDCDASAQTVKVKSDPPAQWGNTNTDASAQISKVKLDASAEIGKAKPDASDPMSKVKSDVSNDETVLPVLKRRKRAMEAMCDAAALNSDDRMEKNALELNSDLASINTRVSVTQQPKRRRAVCLYDGDNEDEEPKTPVHGGADKNVRAHVSVSDTSKRTNVHVESSVNQEHRSSINAQTSLRDSTGLENSHSKESSLLMQNYPLSPSCPKTAKRNDIRVSPSPGKSESEQILTKEAKPIITTPKRSPHLLPATKPVVEQHKATKPSIKVSTLGIQKRAQAGPGKVSGPVLDSSNTSQNHVPSQKSRAAFSGDWPKSTPKATSQMSDPTVPMCAPSELEVGMDDRSSFLVDSKTLDSVTSMKHLIAAAQAKRRQAHSQPFPHGNPAFIALNDAQGRSPSSSPGQNFLSGTSNAVQADMQGFYHNTNLVSPSSHGHQSASHSQVEAEEIEEQRVSSGQRAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDLFFLVDSITQCSHNQKGIAGALYVPTVQAALPRLVGAAAPPGASARENRRQCLKVLRLWLERKIFPESVLRHYIDGIGGSNDDASAGFSLRRPSQSERAIDDPIREMEGMHVDEYGSNATFQLPGLLSSHVFEDDDDDDDFPSSPFKEVNVVLGVTESTHALGERETFTATASDRRHCILEDVDVELEMEDVSGHPKDERPSSIGVFFEMEAQQHYSDRLPEPALNDSVHLLPLPDGSPPPPPDSPPPPPPLPSSPPPPPPPPPPPLPPQLSTSLSPPPPPPPPPPPLPSQPPPPLPPVPPSAPLPTVVPQPSVPTQSSLLAKPIRPSQSSVQSSPHLAYQSAVPHEYCTTPNSNQIVQMAGSTPHGNHMFLNPQAPQQNPHFQPVNAPFAQRPLHSNLPQNASGHFSFTTPPIQQLPYPRPYSMPSHPDGRPRFSTDEQWRMPSSEYPDNHPGAWMGGRNPSYAGPSFGQEGHFRPPPPNNMGFQVAPSNKVPAGASIPGHGVTQMLPCRPDMPALNCWRPA >Potri.019G091000.1.v4.1 pep chromosome:Pop_tri_v4:19:12904819:12908139:-1 gene:Potri.019G091000.v4.1 transcript:Potri.019G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091000.v4.1 MSFHGDFLKYQYRLLQLLQSCSKLRALDTTKPLHALTITIGPNPEQSTFVYNNIISFYASFNQVPMAHKVFDNMPQRNKVSYNSIISCFSKYGYLEEAWRTFCEMIDCGFRPNNFTLSGLLSCASMDVGRGIMLQALAIKNGLFCSDAFVGTALLGLFGRCGWLDEAFHVFEDMPNKSLVTWNSMISLLGHHGFVEDCVVLFRKLVRKEGSLSKCSFEGVLSGLVCEEDLEFGGQIHGLVIKSGLDCEVLVSNSLINMYARRSSMSQVEKLFEEVDGRDVVTWNTIISAFSKSKNPGKALEVFLKMSEDGIMPNQTTFVSVINSCTSLLVPMCGEYVHGKIVKTALETDVYLGSALVDYYAKCGKLDNAHYCFREIHQKNVVSWNSLILGYANKCSFASVSLLLEMLKLGFRPNEFSFSAVLKSSLVLELKQIHSLTIRLGYENNEYVLTSLITSYGRNGLITDALIFVKASETLLAVVPANSIAGIYNRSGQYFETLKFLSQLEEPDTVSWNIVIAACARNGNYNEVFELFKHMRVAQMLPDNYTYTSLLCVCSKVCNLALGSSIHGLLIKTNFSYFDIVVRNVLIDMYGKCGNLESSVKIFDSMTERNLITWTALISALGINGCAQEALERFNDMEFLGSRPDKVAFIAVLTACRHGALVREGMQLFGKMNNYHIEPDMDHYHCLVDLLARNGHLEEAEKVISCMPFPPDAQIWRSFLEGCKKRRNTEDHAVCIK >Potri.014G124200.1.v4.1 pep chromosome:Pop_tri_v4:14:8350772:8356245:1 gene:Potri.014G124200.v4.1 transcript:Potri.014G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124200.v4.1 MSLRPNARVEVRRNKYKVAVDADEGRRRREDNLVEIRKNKREESLLKKRREGLQAQQQQQQQQQVTSSLNISASDKPLDTLPAMIAGVWSDDKNIQFEGTTHFRKLLSIERSPPINEVIQSGVVPRFIEFLARDDFPQLQFEAAWALTNIASGTSENTRVVIDHGAIPIFVKLLSSPAEDVREQAVWALGNVAGDSPKCRDLVLGHGALMPLLAQFNEHAKLSMLRNATWTLSNFCRGKPQPLFDQTKPALPALERLIHSNDNEVLTDACWALSYLSDGSNEKIQAVIEAGVCPRLVELLRHQSPTVLIPALRAVGNIVTGDDMQTQCMINHQALPCLLNLLTNNYKKSIKKEACWTISNVTAGNANQIQAVLEAGIIGPLVQLLQNAEFEIKKEAAWAISNATSGGSHEQIKFLVDQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEAKKNLGNTGNANLYTQMIEDVEGLEKIENLQSHDNNEIYEKAVKILETFWVEDGDDFEAFPPGDASQSGFQFGGTEVPVSSNGFKFT >Potri.016G034875.1.v4.1 pep chromosome:Pop_tri_v4:16:2022943:2023709:1 gene:Potri.016G034875.v4.1 transcript:Potri.016G034875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034875.v4.1 MKSSSGSQTDGGLKQKVEIKIQSSGTRTLVNGLAQGLRLNTTVPYRTWFQGKQVAGWTQVYGDILSFATIRGASHRAPFSQPNRSIVLFNAFLEGKQLPQAL >Potri.014G165300.6.v4.1 pep chromosome:Pop_tri_v4:14:11968753:11972024:-1 gene:Potri.014G165300.v4.1 transcript:Potri.014G165300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165300.v4.1 MSKERPPEPLDFFIWTVEDVGLWLEEINLGSYRQIFKDNGVNGEYLEGMSMFTTEQILRFIRRCHMKWGDFITLCKELRRIKVACLKGEQKVRRPWWVPSCLSAIFVKVAKHNRQSRVVSLKLEP >Potri.004G063300.2.v4.1 pep chromosome:Pop_tri_v4:4:5350941:5353723:1 gene:Potri.004G063300.v4.1 transcript:Potri.004G063300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063300.v4.1 MVTFRFHQYQVVGRALPTGSDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPSRKLKTTYKASRPNLFM >Potri.004G029700.1.v4.1 pep chromosome:Pop_tri_v4:4:2304586:2305399:-1 gene:Potri.004G029700.v4.1 transcript:Potri.004G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029700.v4.1 MARLLLLSIVLADLSVLGLPQPPAPSPAPSEGGVVIPASPWIRRIARHHSDKSEAGGDVILGGFLVAIVAVVLCYIRVTRRNQIQDA >Potri.006G036000.2.v4.1 pep chromosome:Pop_tri_v4:6:2303501:2306564:1 gene:Potri.006G036000.v4.1 transcript:Potri.006G036000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036000.v4.1 MGAQAWIIMVVICATFMQISRAVDSSSVDDKILSLPGQPPVSFQQYSGYVTVDENQDRALFYYFVEAESDPASKPLVLWLNGGPGCSSFGIGAFSENGPFRPRGGGLLVRNDYRWNKEANMLYLESPAGVGFSYSANQSFYDLVNDTITGHYVPQLAHLIAQSGLKFNLKGIAVGNALLEFNTDFNSEGDYYWAHGLISDATYELMNSVCNSSQLWRESITGSRFAACVVVNKRLSIEFPNSFDDYNVIGDICISSGESQLDVPSYPFRPKFQVSSSTQSVQAALDQTKDAENIDVCVQEKSSQYLNRKDVQEALHAQLVGVTRWTGCSSVVNYDRRNFEIPTINIVGSLVSSGIRVLVYSGDQDSVIPFIGSRILVDGLAKELGLNATVPYRPWFEDKQVGGWTQVYGDILTFATIRGAGHLAPLTSPKRSLALFSAFLSGKPLPEALPN >Potri.006G036000.1.v4.1 pep chromosome:Pop_tri_v4:6:2303502:2306564:1 gene:Potri.006G036000.v4.1 transcript:Potri.006G036000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036000.v4.1 MGAQAWIIMVVICATFMQISRAVDSSSVDDKILSLPGQPPVSFQQYSGYVTVDENQDRALFYYFVEAESDPASKPLVLWLNGGPGCSSFGIGAFSENGPFRPRGGGLLVRNDYRWNKEANMLYLESPAGVGFSYSANQSFYDLVNDTITAQDSYIFLQLWFVKFPEYKDRDFYITGESYAGHYVPQLAHLIAQSGLKFNLKGIAVGNALLEFNTDFNSEGDYYWAHGLISDATYELMNSVCNSSQLWRESITGSRFAACVVVNKRLSIEFPNSFDDYNVIGDICISSGESQLDVPSYPFRPKFQVSSSTQSVQAALDQTKDAENIDVCVQEKSSQYLNRKDVQEALHAQLVGVTRWTGCSSVVNYDRRNFEIPTINIVGSLVSSGIRVLVYSGDQDSVIPFIGSRILVDGLAKELGLNATVPYRPWFEDKQVGGWTQVYGDILTFATIRGAGHLAPLTSPKRSLALFSAFLSGKPLPEALPN >Potri.005G054800.2.v4.1 pep chromosome:Pop_tri_v4:5:3456992:3464893:-1 gene:Potri.005G054800.v4.1 transcript:Potri.005G054800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054800.v4.1 MASDASDALAVRQKVQQFLTAACIGNLDLLKNIAAQLDEGKGMSKTVAEIKDANKRGALHFAAREAQTEVCKYLIEELKLDVDTKDEDGETPLVHAARQGHTATAKYLLDQGANPAIPSDLGATALHHSAGIGNTELMEYLLSKGAEVESQSDAGTPLLWAAGHGQLDALKVLLEHHANPNAATEDDVTPLLSAVAAGSLACLELLVQAGADVNVTAGGATPLHIAADLGSPEILNRLLEAGADSNVTDEDGQKPIQVAAARGNREAVEILFPLTTKVQSVREWTVDGILVHMLSEANKEEETRIVKEIDASENMDMPKRDLPEVSPEAKERATEAKLRGDDAFRRKEYLTAVNDYTQAIDLDPTNATVLSNRSLSWMRLGQPDQALADARACKELKPDWSKAWYREGSALRLLQRFEEAANSFYEGVRLDPGNKELVKSFREAVDAGRKFHGTDQGKS >Potri.009G006800.1.v4.1 pep chromosome:Pop_tri_v4:9:1411068:1415881:1 gene:Potri.009G006800.v4.1 transcript:Potri.009G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006800.v4.1 MEPDRLNYPSTSAITFEVLGHQLQFSQDPNSKHLGTTVWDASMVLVKFLERNCRRGRFCPSKLKGKRVIELGAGCGVAGFGMALLGCDVIATDQIEVLPLLMRNAERNTSRITQKDSNSDSFGSIQVAELDWGNEDHIRAVDPPFDYIIGTDVVYAEHLLEPLLQTLLALSGPKTTILLGYEIRSTNVHDRMLDMWKKNFEVKTVPKAKMDSNYQHPSIQLYIMGLKPPAGIIGNRISELEQQTDEVEMVDEVLKDDENGGSSNTKKRLEDCSSEKVHEDCELAMKIPNGKLTDWEARRLGSMTARLLQDVKIT >Potri.010G146200.10.v4.1 pep chromosome:Pop_tri_v4:10:15749429:15751075:1 gene:Potri.010G146200.v4.1 transcript:Potri.010G146200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146200.v4.1 MGRFSSKYVGVLAVVFVLVLGLAECRKIEKDGLGGGAGGGGGFGGGGGLGGGKGGGIGGGVGGGGGAGGGFGGGKGGGVGGGGGAGGGFGGGKGGGGGIGGGKGGGIGGGAGGGFGGGKGGGGSVGGGKGGGIGGGVGGGLGGGKGGGVGGGGGAGGGFSGGKGGGGGIGGGKGGGIGGGAGGGFGGGKGGGGGVGGGKGGGIGGGVGGGSGGGFGGGAGGGGGAGVGGGAGGGAGGGFGGGKGGGGGIGGGAGGGGGAGGGFGGGAGGGGGFGGGKGGGGGFSGGH >Potri.010G146200.9.v4.1 pep chromosome:Pop_tri_v4:10:15749420:15751219:1 gene:Potri.010G146200.v4.1 transcript:Potri.010G146200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146200.v4.1 MGRFSSKYVGVLAVVFVLVLGLAECRKIEKDGLGGGAGGGGGFGGGGGLGGGKGGGIGGGVGGGGGAGGGFGGGKGGGGGIGGGKGGGIGGGVGGGSGGGLGGGKGGGVGGGGGAGGGFGGGKGGGGGIGGGKGGGIGGGAGGGFGGGKGGGGSVGGGKGGGIGGGVGGGLGGGKGGGVGGGGGAGGGFSGGKGGGGGIGGGKGGGIGGGAGGGFGGGKGGGGGVGGGKGGGIGGGVGGGSGGGFGGGAGGGGGAGVGGGAGGGAGGGFGGGKGGGGGIGGGAGGGGGAGGGFGGGAGGGGGFGGGKGGGGGFSGGH >Potri.008G081400.1.v4.1 pep chromosome:Pop_tri_v4:8:5101064:5102591:-1 gene:Potri.008G081400.v4.1 transcript:Potri.008G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081400.v4.1 MSILWEKSEAWRWIVRKTRDSKPFFFAFATVCGVVPGVIGYFVMQTTNSRNPELEARLRQNARPDSLMMGKVNRERLAEYLGELQRKEDTNDRYVAALRGETLTRNPHLRIQPIPKLDNTQADEQKLDNKQKK >Potri.001G287500.1.v4.1 pep chromosome:Pop_tri_v4:1:29987793:29988897:-1 gene:Potri.001G287500.v4.1 transcript:Potri.001G287500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287500.v4.1 MERNSSSYPKDVCEKIYKVFSVSPAFRAIRRVSTRPQDPRPARPAPNSSQPPSRSKKIIDTQSQTYSPRKHRKSAEGAKSHDTLRNREAAEMVPVIFDFSSQGVPENGKSKLATTPLPSIPKNTQVASRMEPEAKASSMAVPQSPSQGNKANNPKVESPQHNKLEEGSNKLGMHIEDIFTDYINRTKIKLKRTLSGAGHENQHASSGKDKFTDYVDCAKVKLRTTSSIGGGKSLTPFK >Potri.014G180600.1.v4.1 pep chromosome:Pop_tri_v4:14:14041262:14044579:-1 gene:Potri.014G180600.v4.1 transcript:Potri.014G180600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180600.v4.1 MVSFEQDKAEVLEGKRMVDVENSLRMKVSTASGEDTIVSSFGNQDMPLSVAVPQPKKKRNLPGMPDPDAEVVALSPKSLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEPRKRVYVCPEPSCVHHNPVRALGDLTGIKKHFSRKHGEKKWKCERCSKKYAVQSDWKAHMKTCGTREYKCDCGTLFSRRDSLITHRAFCDALTEESARAQTLATTGNEGNGCNVKCVVASPPPPPLTPSTSVVSPGLSVQSSELAENPIGLSPPTPAAICLNASATSTSSTSSTSNVFASIFASSTASPAAIPQLASPSAFPMFCGLARSDCPPTMPTPRAMDPPSLSLSPSVYLSNTTSSLFPTDQDRRHYTPSPQPAMSATALLQKAAQMGATTSNPSFLRGLGFPPSTNQDGNGNQWDMKPENNTTFAAGLGLVLPTSDVMMDSSSLFGNKPTTLDLLGLGLDAASSALLNYNGTGGFNVGAAAAAAYGGGRRGNCEETWDGVPERKPNGSTATSL >Potri.003G087800.4.v4.1 pep chromosome:Pop_tri_v4:3:11456257:11461381:1 gene:Potri.003G087800.v4.1 transcript:Potri.003G087800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087800.v4.1 MACKGCLECLLKLLNFLLTLVGLAMVGFGIYLFVEYTRADDNVALVSTLSDGQGLTQLGRPMLMTMSLSDNILDKLPKAWFIYLFVAVGVILFIISCFGCIGAATRNGCCLTCYSVLIVLLILVELGCAAFIFFDKSWKEEIPTDKTGDFDMLYDFLKEKWNIVKWVALGIVILEALIFLLALLVRAANRPVEYDSDDELIAPRQQNRQPLLNRPPAPATGVPVAGTLDQRPSRNDAWSTRMREKYGLDTSEFTYNPSEPHRFQPVSAQPTEERSRCTIM >Potri.003G087800.2.v4.1 pep chromosome:Pop_tri_v4:3:11455722:11461383:1 gene:Potri.003G087800.v4.1 transcript:Potri.003G087800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087800.v4.1 MACKGCLECLLKLLNFLLTLVGLAMVGFGIYLFVEYTRADDNVALVSTLSDGQGLTQLGRPMLMTMSLSDNILDKLPKAWFIYLFVAVGVILFIISCFGCIGAATRNGCCLTCYSVLIVLLILVELGCAAFIFFDKSWKEEIPTDKTGDFDMLYDFLKEKWNIVKWVALGIVILEALIFLLALLVRAANRPVEYDSDDELIAPRQQNRQPLLNRPPAPATGVPVAGTLDQRPSRNDAWSTRMREKYGLDTSEFTYNPSEPHRFQPVSAQPTEERSRCTIM >Potri.001G251100.2.v4.1 pep chromosome:Pop_tri_v4:1:26717956:26729172:1 gene:Potri.001G251100.v4.1 transcript:Potri.001G251100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251100.v4.1 MAAASNGSEFFELDIDPVRESFSRPSNAEALEEDEDELVWEAISRLPSNKRGNFAVMRKSPSEYDRSGGYGEREEMIDVRRLDRHKRELVVKKALATNAQDNYKLLSAIKERLDRVGIEVPKVEVRFENLNISAKVQTGSRALPTLINVARDLGEGLLTKLGLFRAKRFPLTILNDISGVVKPGRMTLLLGPPGSGKSTLLLALAGKLAKNLKKSGNITYNGQKFDDFYVQRTSAYISQTDNHIAELTVRETLDFAACWQGASEGFGGYMEDLVRLEKERNVRPNPEVDAFMKASSVGGKKHSISTDYVLKVLGLDVCSETVVGNDMLRGVSGGQRKRVTTGEMIVGPRKTLFMDEISTGLDSSTTYQIVKCIGNFVHLMEATVLMALLQPAPETFDLFDDLVLLSEGYVVYQGPRAEVLEFFESLGFKLPPRKGVADFLQEVTSKKDQAQYWADQSKPYLFLPTSEIAKAFKNSKYGKYVDSELSVPFDKSKSHVSALSKTKYAVSRWELFKTCFSREVLLISRHRFLYIFRTCQVAFVGFVTCTLFLRTRLHPTDEMNGNLYLSCLFFGLVHMMFNGFSELSLLIFRLPVFYKQRDNLFHPAWVWSVASFILRLPYSIVEAVVWSCVVYYTVGFAPGAGRFFRFMLLLFSIHQMALGLFRTMGSIARDLVVANTFGSAALLAIFLLGGFIIPKAMIKPWWIWGYWLSPLTYGQRAISVNEFGAERWIKKSSFGNNTVGNNILYQHSLPSSDYWYWIGVGVLLLYALLFNIIVTWALTYLNPLTKARTVAPADVTQENSDGNDATAQEFELNRSSLNEGSKNKGMILPFQPLTMTFHNVNYFVDMPKEMSKQGITEKKLQLLSYVSGVFSPGVLTALVGSSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKEQRTFARISGYVEQNDIHSPQLTIEESLLFSSSLRLPKEVSKEQRVEFVEEVMRLVELDTLRQALVGLPGSSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGRVIYGGKLGVHSKIMIDYFQGIKGVPPCPDGYNPATWMLEVTTPTVEERVGEDFAELYRKSSQYREVEASILHLSSPPAGSEPLKFESTYARDALSQFYICLWKQNLVYWRSPQYNGVRLCFTVIAALIIGSVFWNIGSKRDSTQALSVVMGALYSSCMFLGVNNASSVQPVVSIERTVFYREKAAGMYSPLSYAVAQGLVEIPYILVQTILYGIITYFMVDFERTAGKFFLFLVFMFLTFTYFTFYGMMAVGLTPSQHLAAVISSAFYSLWNLLSGFLVPQPSIPGWWIWFYYICPIAWTLRGVICSQLGDVETIIVGPGFEGTVKKYLEVTFGYGPNMIGASIAALVGFCLLFFTVFALSVKFLNFQKR >Potri.011G145100.1.v4.1 pep chromosome:Pop_tri_v4:11:17383832:17386855:-1 gene:Potri.011G145100.v4.1 transcript:Potri.011G145100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145100.v4.1 MNRTPSRLKSFLFKARHFLGLATTSAAAAASSSATATSSTTATSTAMEELKTRVCIIGSGPAGHTAAIYASRAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPEGIMGVELTEKFRAQSARFGTQILTETVTKVDFSRTPFEVFTDSKRVVADSVIVATGAAAKKLNFAGSEKFWNKGISACAVCDGAAPIFREKALAVIGGGDSAMEEANFLTKYGTKVYIIHRRDTFRASKIMQSRALSNPKIEVIWNSAVEEAYGERVLGGLKVKNVVTGEVSDLKVNGLFFAIGHEPATRFMDGQLELDSDGYVVTMPGTTKTSVRGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQEIGAEDGKTD >Potri.001G043100.1.v4.1 pep chromosome:Pop_tri_v4:1:3130264:3132073:1 gene:Potri.001G043100.v4.1 transcript:Potri.001G043100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043100.v4.1 MASAAAVGMVGGNGCAGSSASWFQIKNRRKKNSNDKMGRDGVRFFTAASYSSPSVMDPYKTLRIQPDASESEVKKAFRQLALQYHPDVCRGSNCGVQFSLINEAYDTVMSNLREEPDESSQMYMSYEPSEQGIDEPMRGMNDTDWDMWEEWMGWEGAGIRDYSSHVNPYI >Potri.019G008000.2.v4.1 pep chromosome:Pop_tri_v4:19:1085699:1088053:1 gene:Potri.019G008000.v4.1 transcript:Potri.019G008000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008000.v4.1 MAYKIKVWCLLLVLLKLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRTVVDVIGELLGFNQFIPPFATARGRDILVGVNYASGAAGIRDESGRQLGDRISLNEQLLNHVTTLSRLIQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYLLGARKIALPGLGPIGSIPYSFSTLCRNNISCVTNINNAVLPFNSGLVSLVDQLNRELNDARFIYLNSTGMSSGDPSVLGFRVVNVGCCPARGDGQCIQDSTPCQNRTEYVFWDAIHPTEALNQFTARRSYNAFLPSDAYPTDISHLIS >Potri.004G236500.1.v4.1 pep chromosome:Pop_tri_v4:4:24065557:24069507:-1 gene:Potri.004G236500.v4.1 transcript:Potri.004G236500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236500.v4.1 MPLNYVVPKPDHNSAPTEGLPRMPETNNRAVLSYPGQANSQSVLQLTIYPTTLKFEEVVYKVKLDQKGLCWGGTWSSREKTILNGITGMVCPGEILAMLGPSGSGKTTLLTALGGRLSGKLSGKITYNGQPFSGTMKRRTGFVAQDDILYPHLTVSETLLFTALLRLPKTLTREEKAQHVERVIAELGLSQCRNSMIGGPLFRGISGGEKKRVNIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGRPIYYGPASAALDYFSSIGFSTSMTVNPADLLLDLANGIGPDSKNATDYGENTEQEQKSVREALISAYEKNISTRLKAELCNLDPNNYYYTKDASERNEKKSEKWCTSWWHQFKVLFQRGLRERRYESFNRLRIFQVLSVSILGGLLWWKTPTSHIEDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLVKERASGMYHLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKADPITFILSLLVVLYSVLVSQSLGLAIGAILMDVKQATTLASVTTLVFLIAGGYYVQQIPPFIVWLKYLSYSYYCYKLLLGVQYNEDDHYECSKGVLCRVGDFPAVKSMGLNHLWVDVAIMALMLVGYRMVAYLALHRVQLR >Potri.004G236500.2.v4.1 pep chromosome:Pop_tri_v4:4:24066046:24069505:-1 gene:Potri.004G236500.v4.1 transcript:Potri.004G236500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236500.v4.1 MVCPGEILAMLGPSGSGKTTLLTALGGRLSGKLSGKITYNGQPFSGTMKRRTGFVAQDDILYPHLTVSETLLFTALLRLPKTLTREEKAQHVERVIAELGLSQCRNSMIGGPLFRGISGGEKKRVNIGQEMLINPSLLLLDEPTSGLDSTTAQRILTTIKRLASGGRTVVTTIHQPSSRLYHMFDKVVLLSEGRPIYYGPASAALDYFSSIGFSTSMTVNPADLLLDLANGIGPDSKNATDYGENTEQEQKSVREALISAYEKNISTRLKAELCNLDPNNYYYTKDASERNEKKSEKWCTSWWHQFKVLFQRGLRERRYESFNRLRIFQVLSVSILGGLLWWKTPTSHIEDRIALLFFFSVFWGFYPLYNAVFTFPQERRMLVKERASGMYHLSSYFLARTFGDLPLELALPTAFVFIIYWMGGLKADPITFILSLLVVLYSVLVSQSLGLAIGAILMDVKQATTLASVTTLVFLIAGGYYVQQIPPFIVWLKYLSYSYYCYKLLLGVQYNEDDHYECSKGVLCRVGDFPAVKSMGLNHLWVDVAIMALMLVGYRMVAYLALHRVQLR >Potri.010G196000.1.v4.1 pep chromosome:Pop_tri_v4:10:19006908:19010483:1 gene:Potri.010G196000.v4.1 transcript:Potri.010G196000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G196000.v4.1 METMAKKSCGGGGFEKKRKDGSELLTDSRESSNSSSGSSSSEVAKTNNGCASPSPLGWPIKKSGECKSLVSIGNGSEEKKAHLEDSKFRKLGSKLSEIDMMKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCGHVFGQLWRLEPLPAEKKSMWRREMELLLCVGDHIVELIPSWQTFPDGSKLEVMTCRLRSDLFINLPALSKLDNMLLEVLDSFTDTEFWYVDQGIAAPDADGSASFRKTIQRQEEKWWLPVPRVPAGGLSNDTRKQLNHTRECTNQILKAAMAINSVALAEMDIPDSYLEALPKNGRACLGDLVYRYITSDQFSAECLLDCLDLSSEHVALDIANRVESAIYVWRRRAHSRPPPNPNRSTTKSSWEMVKDLIVDGDKRELLAERAESLLLSLKQWFPNLTQTTLDTSKIQFNKDVGKSIIESYSRVLESLAFNIVARIDDLLYVDDLTKHSDKLSSVPTVSVISHKKVSIPYSVPVSGTSYKTTFSTPSFSPMPLISPVRGERTPFLHNITTSNNDNNKPHRRGFGVKRVLTNYLGVDSRPKICGNTNEGSCPNPKTNLREDFGPERDSPTQKNVTKLPQSAPKYIVT >Potri.007G139000.3.v4.1 pep chromosome:Pop_tri_v4:7:14968892:14973855:1 gene:Potri.007G139000.v4.1 transcript:Potri.007G139000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139000.v4.1 MTVMYTDPSDNASSLHDPLLPWLLSIKKALDSSISSLELNKILLDCIGTFKHNPQYKNDPRFLKIWLLYLEGSDDFENVFKEMEENNICRGNSLLYELYAGFLEAKENWKEAYMVYQTGILRKAEPLERLERAKVLFLDRLSYRINASSLQKTDYNESMEMEKVCVNPWSASTTKELLERINPQMMKYAGYHPSKKAYSGDVALSTLQNSSRNKIVKIGGKKYEIKGCPVKGGFAQVYKAYVNSNPDEVVALKIQRPPFPWEFHMYRQLDQRIPDNQSSSFGYAHRLHLFSDYSILVCDYLSHGTLQDVINSYVVTGKPMEEVLCIYYTIEMLHMLETLHGVGIIHGDFKPDNLLIRYSRSNLTEDGFKERSGPWRDQGLCLVDWGRGIDLHLFPDDIEFEGDCRTSGFRCVEMQERKPWTFQVDTYGLCVVIHMMLHHSYMEIVKKGTSDGGYMYLPKAPFKRYWSDLWKDLFTKLLNNNSGNDCELLRNLRKSFEDYLHSDDKLLRKLKELLAKQRLLLCSA >Potri.005G098100.2.v4.1 pep chromosome:Pop_tri_v4:5:7023902:7027950:1 gene:Potri.005G098100.v4.1 transcript:Potri.005G098100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098100.v4.1 MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMADEALKNFKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFANMYASKFKVDEGKMMERLWGENFFDPATKKWSSKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKSEEKDLMGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDVYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIKSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKNRAKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMSSDPLEAGTQAAQLVTDIRKRKGLKEQMTPLSDFEDKL >Potri.005G098100.1.v4.1 pep chromosome:Pop_tri_v4:5:7023864:7028258:1 gene:Potri.005G098100.v4.1 transcript:Potri.005G098100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098100.v4.1 MVKFTAEELRRIMDYKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMADEALKNFKGERQGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAYQTFSRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFANMYASKFKVDEGKMMERLWGENFFDPATKKWSSKNTGSPTCKRGFVQFCYEPIKQIINTCMNDQKDKLWPMLQKLGVVMKSEEKDLMGKALMKRVMQTWLPASTALLEMMIFHLPSPAKAQKYRVENLYEGPLDDVYANAIRNCDPEGPLMLYVSKMIPASDKGRFFAFGRVFSGKVSTGLKVRIMGPNFVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVAMVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQEDFMGGAEIIKSDPVVSFRETVLEKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKNRAKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHADAIHRGGGQVIPTARRVIYASQITAKPRLLEPVYLVEIQAPEQALGGIYSVLNQKRGHVFEEMQRPGTPLYNIKAYLPVIESFGFSGTLRAATSGQAFPQCVFDHWDMMSSDPLEAGTQAAQLVTDIRKRKGLKEQMTPLSDFEDKL >Potri.005G053500.1.v4.1 pep chromosome:Pop_tri_v4:5:3382033:3387083:1 gene:Potri.005G053500.v4.1 transcript:Potri.005G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053500.v4.1 MAGNPPPEGLGDDFFEQILAGQPPGYGGEAVGSTSLPMMGLQLGSSAANVGLTRSSANNNMGMMPLGLNLEHHGFLRQQQDDGSSSLDTNNSNNINNASPFSITSAGFLGRDSVHMTSLFPTFGQLQIHSSIRSAPPPLGPPQIHQFNSQPTSGAVSAVPQPPGIRPRVRARRGQATDPHSIAERLRRVRITERVKALQELVPTCNKTDRAAMLDEIVDYVKFLRLQVKVLSMSRLGAAGAVAQLVADVPLSSVQGEGIEGGANQQAWENWSNDGTEQEVAKLMEEDVGAAMQLLQSKALCIMPVSLASAIFRARPPNAPTLVKPESNPPS >Potri.005G241500.1.v4.1 pep chromosome:Pop_tri_v4:5:23817991:23822261:-1 gene:Potri.005G241500.v4.1 transcript:Potri.005G241500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241500.v4.1 MRTFLCFFLLLVLLFAPCSGYSDLEVLLKLKTSMYGHNGTGLQDWVASPASPTAHCYFSGVTCDEDSRVVSLNVSFRHLPGSIPPDIGLLNKLVNLTLSGNNLTGGFPVEIAMLTSLRILNISNNVIAGNFPGKITLGMALLEVLDVYNNNFTGALPTEIVKLKNLKHVHLGGNFFSGTIPEEYSEILSLEYLGLNGNALSGKVPSSLSRLKNLKSLCVGYFNRYEGSIPPEFGSLSNLELLDMASCNLDGEIPSALSQLTHLHSLFLQVNNLTGHIPPELSGLISLKSLDLSINNLTGEIPESFSDLKNIELINLFQNKLHGPIPEFFGDFPNLEVLQVWGNNFTFELPQNLGRNGKLMMLDVSINHLTGLVPRDLCKGGKLTTLILMNNFFLGSLPDEIGQCKSLLKIRIMNNMFSGTIPAGIFNLPLATLVELSNNLFSGELPPEISGDALGLLSVSNNRITGKIPPAIGNLKNLQTLSLDTNRLSGEIPEEIWGLKSLTKINIRANNIRGEIPASISHCTSLTSVDFSQNSLSGEIPKKIAKLNDLSFLDLSRNQLTGQLPGEIGYMRSLTSLNLSYNNLFGRIPSAGQFLAFNDSSFLGNPNLCAARNNTCSFGDHGHRGGSFSTSKLIITVIALVTVLLLIVVTVYRLRKKRLQKSRAWKLTAFQRLDFKAEDVLECLKEENIIGKGGAGIVYRGSMPEGVDHVAIKRLVGRGSGRSDHGFSAEIQTLGRIRHRNIVRLLGYVSNKDTNLLLYEYMPNGSLGELLHGSKGGHLQWETRYRIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDSDFEAHVADFGLAKFLQDAGSSECMSSVAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELIAGRKPVGEFGDGVDIVRWVRKTTSELSQPSDAATVLAVVDPRLSGYPLAGVIHLFKIAMLCVKDESSARPTMREVVHMLTNPPQSAPSLLAL >Potri.002G009800.5.v4.1 pep chromosome:Pop_tri_v4:2:609499:613725:-1 gene:Potri.002G009800.v4.1 transcript:Potri.002G009800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009800.v4.1 MDPYKYRPSSAFGTPYWTTNSGAPVWNNNSSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTHDISGLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDLGVPQDYRHMEGSGVNTYMLINKAGKAHYVKFHWKPTCGVKCLLEDEAVKVGGTNHSHATQDLYDSIAAGTYPEWKLFIQTIDPDHEARFDFDPLDVTKTWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGVYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRYDPVRHAESFPIPPAVCSGKREKCIIEKENNFKQPGERYRSWAPDRQERFICRWVDALSDPRVTHEIRSIWISYWSQADKSLGQKLASRLNVRPSI >Potri.019G070350.1.v4.1 pep chromosome:Pop_tri_v4:19:11074649:11077430:1 gene:Potri.019G070350.v4.1 transcript:Potri.019G070350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070350.v4.1 MVCLRYLYLDQTGITKLPSPVGNLKGLACLEVGNCKYLKGIECLVDLHLPERDMDLKYLRKLNLDGCCISKVPDSLGCLSSLEVLDLSGNNFETMPMNIYKLVELQYLGLRSCRKLKSIPRLPRRLSKLDAHDCQSLIKVSSSYVVEGNIFEFIFTNCLRLPVINQILLYSLLKFQLYTERLHQVPAGTSSFCLPGDVTPEWFSHQSWGSTVTFHLSSHWANSEFLGFSLGAVIAFRSFGHSLQVKCTYHFRNKHGDSHDLYCYLHGWYDERRMDSEHIFIGFDPCLIAKEHDMFSEYSEVSVEFQLEDMSGNLLPLDLCQVVECGVRLLHVKDEDEISRFDVTMPGYSQFFYPLDRDGLEAMFQAKRARFQGMGWDETGYVFPRRKKGRHN >Potri.002G160800.2.v4.1 pep chromosome:Pop_tri_v4:2:12291832:12295367:1 gene:Potri.002G160800.v4.1 transcript:Potri.002G160800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160800.v4.1 MAAIRSLLKKSSSSIPACNELMMVRRLFSAEAATVASASFAQRLRDLPKDLPGTKIKTRVSQLIGKTPLVYLNKVTEGCGAYIAVKQEMFQPTSSIKDRPALAMVEEAEKKNLIAPGKTTLIEPTSGNMGISMAFMAAMKGYKMILTMPSYTSLERRVTMRAFGAELILTDPAKGMGGTVKKAYELLESTPNAFMLQQFSNPANTQVHFETTGPEIWEDTVGKVDIFVMGIGSGGTVSGVGQYLKSQNPNVKIYGVEPAESNILNGGKPGPHHITGNGVGFKPDILDMDVMEKVLEVSSEDAVNMARKLALEEGLMVGISSGANTVAALRLARMPENKGKLIVTVHASFGERYLSSVLFQELRKEAENMQPVPVD >Potri.008G021700.1.v4.1 pep chromosome:Pop_tri_v4:8:1073174:1078422:1 gene:Potri.008G021700.v4.1 transcript:Potri.008G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021700.v4.1 MAAASITRLQILSSSPSPIKIIKRSSAFLSKIPPIHNHYHHRSRKFHTTLCCSTSNPSRTLENGSIVGDLLDYLNESWTQFHATAEAKRQLIDAGFDLLNENEEWELKPGGRYFFTRNMSCLVAFAIGEKYSVGNGFHVIAAHTDSPCLKLKPRSASSKSSYLMVNVQTYGGGLWHTWFDRDLSVAGRVIVRGSDGSFLHKLVKIKRPLLRIPTLAIHLDRTVNKDGFKPNLETHLIPLLATKSEEGSSETKEKNTESSKAVHHPLLMQVLSDELSCSIDDIVSIELNVCDTQPSCLGGGNNEFIFSGRLDNLASSYCALRALIDSCESSSDLSNDTAVRMIALFDNEEVGSGSVQGAGAPTMFQAMKRIAGCLALNNVNEGAIERAIRQSFLVSADMAHGVHPNFMEKHEEHHRPEMQKGLVIKHNANQRYATSGVTAFLFKEVGKIHNLPSQEFVVRNDMGCGSTIGPILASGAGIRTVDCGIPQLSMHSVREICAKEDVDIAYKYFKAFYQNFSSIDKKLEVD >Potri.016G087200.1.v4.1 pep chromosome:Pop_tri_v4:16:6909537:6916241:1 gene:Potri.016G087200.v4.1 transcript:Potri.016G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G087200.v4.1 MTDNNNNPSFNSYNNDGEESSPLLKKNLEDNDKKPAKVSADAKTATAEYGCTVNGLPLIHGSVMGEPMGRTQWDSCLFCCLGRNDEFCSSDLEVCLLGSIAPCVLYGSNAERLGSTPGTFASHCLSYYGLYLIGSSFLGGNCLAPWFSYPSRTAIRRKFNLEGSCEALNRSCGCCGSFVEDGLQREQCESACDFATHFFCHTCALCQEAREIRRRVLHPGFNAQPVLVMIPPGEQSMGRGA >Potri.001G124000.1.v4.1 pep chromosome:Pop_tri_v4:1:10206961:10214879:-1 gene:Potri.001G124000.v4.1 transcript:Potri.001G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124000.v4.1 MGVSYIAISAVCTALSFVGLQWWTEVSLDRLRSDGLIGENFINSENVNRALELLFSSYATIALLVNFVFNVFLLLNLCLKTIFFGELYSSETRKLVERLINYAIYKGTFLPLVIPPTISQTGLWSIWLTVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLLVLSVDFFWIQMCFVIYRSTGSSMFLLLFFEPFSIAFETMQAMLVHGFQLLEIWFHHSAGNSTNCQRFKFFDAMRAGSLLEWKGVLIRNLGFSLDMATLLMALGHYVYIWWLHGVAFHLVDAVLFLNIRALLSAIIKRIKGFIKLRIALGALHAALPDATSEELRAYDDECAICREPMAKAKRLHCSHIFHLVCLRSWLDQGLNEIYSCPTCRKPLFVDRPENEASTHTGEALTDEQLAHQINEGRDRQNTPGHVLSAGVFPNQIRNSMEGSPWRSAGLDSSWLPTWPSQGGDGAGPSTAMRSVGLGRVQMMMRHLASVGETYAQTALEDAAWSLWPTNPSHATPSTSFIPPAAGGRRPGGTGGLHVSTTSRTTNDNIANILAMAETVREVLPHIPDELILQDLQRTNSATVTVNNLLQR >Potri.001G124000.4.v4.1 pep chromosome:Pop_tri_v4:1:10207176:10214605:-1 gene:Potri.001G124000.v4.1 transcript:Potri.001G124000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124000.v4.1 MGVSYIAISAVCTALSFVGLQWWTEVSLDRLRSDGLIGENFINSENVNRALELLFSSYATIALLVNFVFNVFLLLNLCLKTIFFGELYSSETRKLVERLINYAIYKGTFLPLVIPPTISQTGLWSIWLTVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLLVLSVDFFWIQMCFVIYRSTGSSMFLLLFFEPFSIAFETMQAMLVHGFQLLEIWFHHSAGNSTNCQRFKFFDAMRAGSLLEWKGVLIRNLGFSLDMATLLMALGHYVYIWWLHGVAFHLVDAVLFLNIRALLSAIIKRIKGFIKLRIALGALHAALPDATSEELRAYDDECAICREPMAKAKRLHCSHIFHLVCLRSWLDQGLNEIYSCPTCRKPLFVDRPENEASTHTGEALTDEQLAHQINEGRDRQNTPGHVLSAGVFPNQIRNSMEGSPWRSAGLDSSWLPTWPSQGGDGAGPSTAMRSVGLGRVQMMMRHLASVGETYAQTALEDAAWSLWPTNPSHATPSTSFIPPAAGGRRPGGTGGLHVSTTSRTTNDNIANILAMAETVREVLPHIPDELILQDLQRTNSATVTVNNLLQR >Potri.001G124000.3.v4.1 pep chromosome:Pop_tri_v4:1:10207036:10214864:-1 gene:Potri.001G124000.v4.1 transcript:Potri.001G124000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124000.v4.1 MGVSYIAISAVCTALSFVGLQWWTEVSLDRLRSDGLIGENFINSENVNRALELLFSSYATIALLVNFVFNVFLLLNLCLKTIFFGELYSSETRKLVERLINYAIYKGTFLPLVIPPTISQTGLWSIWLTVLCSLKMFQALARDRLERLNASPSATPWTYLRVYSALLLVLSVDFFWIQMCFVIYRSTGSSMFLLLFFEPFSIAFETMQAMLVHGFQLLEIWFHHSAGNSTNCQRFKFFDAMRAGSLLEWKGVLIRNLGFSLDMATLLMALGHYVYIWWLHGVAFHLVDAVLFLNIRALLSAIIKRIKGFIKLRIALGALHAALPDATSEELRAYDDECAICREPMAKAKRLHCSHIFHLVCLRSWLDQGLNEIYSCPTCRKPLFVDRPENEASTHTGEALTDEQLAHQINEGRDRQNTPGHVLSAGVFPNQIRNSMEGSPWRSAGLDSSWLPTWPSQGGDGAGPSTAMRSVGLGRVQMMMRHLASVGETYAQTALEDAAWSLWPTNPSHATPSTSFIPPAAGGRRPGGTGGLHVSTTSRTTNDNIANILAMAETVREVLPHIPDELILQDLQRTNSATVTVNNLLQR >Potri.002G032300.2.v4.1 pep chromosome:Pop_tri_v4:2:2130694:2134787:1 gene:Potri.002G032300.v4.1 transcript:Potri.002G032300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032300.v4.1 MASASMFLACKLEETPRLLRDVVVVAYELMHKRDPSASHRIRQIGFCSSQKELLVTGERLLLATIGFDLDVQLPYKPLVNALKKLNIYPDLAKVAWNFVNDWLCTTLCLQYKPHYIAAGSMYLAAKFQKVKLPTEKGNVWWLEFDISPKQLEEVIQQMARLLEQDPKRTLPATHGRVPQSKASAKKMVTSSAQSAVTSVSMSNSLASDGAVMEASSSSDRNTSLNEVLPCQTIDSGASSVVEDGDGKNQPRTGDYELSSSSNIAPSYNSHHNIDVHQIRETLKRRRCQIAAKSRASLSNETMDAELDTETWIERELEEGIELQTAPSEKKRRKV >Potri.002G032300.1.v4.1 pep chromosome:Pop_tri_v4:2:2130674:2134793:1 gene:Potri.002G032300.v4.1 transcript:Potri.002G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032300.v4.1 MARIATSMHLPKEPQYHTRKWYFSRQEIEDFSPSRRDGIDVEKESQLRKLYCSFIKELGVKLKVPQVTIACALILCHRFYMRQSHAKNDWKTMASASMFLACKLEETPRLLRDVVVVAYELMHKRDPSASHRIRQIGFCSSQKELLVTGERLLLATIGFDLDVQLPYKPLVNALKKLNIYPDLAKVAWNFVNDWLCTTLCLQYKPHYIAAGSMYLAAKFQKVKLPTEKGNVWWLEFDISPKQLEEVIQQMARLLEQDPKRTLPATHGRVPQSKASAKKMVTSSAQSAVTSVSMSNSLASDGAVMEASSSSDRNTSLNEVLPCQTIDSGASSVVEDGDGKNQPRTGDYELSSSSNIAPSYNSHHNIDVHQIRETLKRRRCQIAAKSRASLSNETMDAELDTETWIERELEEGIELQTAPSEKKRRKV >Potri.011G071900.2.v4.1 pep chromosome:Pop_tri_v4:11:6434924:6438827:-1 gene:Potri.011G071900.v4.1 transcript:Potri.011G071900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071900.v4.1 MPLYEETGAWIPVSVPPMIESDCEEWADRGFHFNGGYFPEGDMGWSRYIGEDNELTMWDVIVEMVLAARGKVNAIASGDLQRCGISWLSSHLLEQAWQDMARTLNEANFGNVAEILEAEPPKWLPDSNASACMLCSVRFHPIMCSRHHCRFCGGIFCGDCSKGRSLLPVRFHVTDPLRVCDVCCVRLESVQPYLMDQVSNAAQLPTHDLTDLSTLRSWVNFPWGQTMGYEIYKAANTIQGYNKVGYLKPEKSIPDAILRQAKGLAIITVVKVGVMVTYNVGTGLVIARREDGSWSPPSAISTLGVGWGAQAGGELTDFIIVLRTTEAVKTFCGYAHLSLGAGVSAAVGITGRAFEADLRAGDGGYAACYTYSCSKGAFVGCSLEGSVVATRSKENSRFYGSQSISASNILLGSLPRPPAAAILYRALVDLYQKLDR >Potri.011G071900.1.v4.1 pep chromosome:Pop_tri_v4:11:6434925:6439404:-1 gene:Potri.011G071900.v4.1 transcript:Potri.011G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071900.v4.1 MVDNPANSGNTHNLDSRVSDFHRHTSSPPSGNGGLGSQTMIRPENCGTGLCGVSEDGNDEKREGTKATHQKFRNYFYYDMPLYEETGAWIPVSVPPMIESDCEEWADRGFHFNGGYFPEGDMGWSRYIGEDNELTMWDVIVEMVLAARGKVNAIASGDLQRCGISWLSSHLLEQAWQDMARTLNEANFGNVAEILEAEPPKWLPDSNASACMLCSVRFHPIMCSRHHCRFCGGIFCGDCSKGRSLLPVRFHVTDPLRVCDVCCVRLESVQPYLMDQVSNAAQLPTHDLTDLSTLRSWVNFPWGQTMGYEIYKAANTIQGYNKVGYLKPEKSIPDAILRQAKGLAIITVVKVGVMVTYNVGTGLVIARREDGSWSPPSAISTLGVGWGAQAGGELTDFIIVLRTTEAVKTFCGYAHLSLGAGVSAAVGITGRAFEADLRAGDGGYAACYTYSCSKGAFVGCSLEGSVVATRSKENSRFYGSQSISASNILLGSLPRPPAAAILYRALVDLYQKLDR >Potri.014G154400.1.v4.1 pep chromosome:Pop_tri_v4:14:10838144:10838869:-1 gene:Potri.014G154400.v4.1 transcript:Potri.014G154400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154400.v4.1 MGAIKGAIVDGILTCMWVFSVPLLGVFSSIIATYVGVEAMSIAGLFITINVAALFMLTFSLIGAACGGASFNPATTITLYTAGLKPDASLMSMALRFPVQAAGGVAGAMAITEVMPKQYRYVLRGGPSLKVDLHTGAIAEGVLTFLICLALHFVLLKGPKNFVLKVWLLAVATVGLVMAGGKYTGPSMNPANAYGWAYLSNRHTTWDFFYVYWICPFIGATLAALISKFLFKAPPIKDKKA >Potri.014G154400.3.v4.1 pep chromosome:Pop_tri_v4:14:10838005:10838757:-1 gene:Potri.014G154400.v4.1 transcript:Potri.014G154400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154400.v4.1 MSIAGLFITINVAALFMLTFSLIGAACGGASFNPATTITLYTAGLKPDASLMSMALRFPVQAAGGVAGAMAITEVMPKQYRYVLRGGPSLKVDLHTGAIAEGVLTFLICLALHFVLLKGPKNFVLKVWLLAVATVGLVMAGGKYTGPSMNPANAYGWAYLSNRHTTWDFFYVYWICPFIGATLAALISKFLFKAPPIKDKKA >Potri.014G154400.2.v4.1 pep chromosome:Pop_tri_v4:14:10838020:10838844:-1 gene:Potri.014G154400.v4.1 transcript:Potri.014G154400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154400.v4.1 MWVFSVPLLGVFSSIIATYVGVEAMSIAGLFITINVAALFMLTFSLIGAACGGASFNPATTITLYTAGLKPDASLMSMALRFPVQAAGGVAGAMAITEVMPKQYRYVLRGGPSLKVDLHTGAIAEGVLTFLICLALHFVLLKGPKNFVLKVWLLAVATVGLVMAGGKYTGPSMNPANAYGWAYLSNRHTTWDFFYVYWICPFIGATLAALISKFLFKAPPIKDKKA >Potri.004G195100.1.v4.1 pep chromosome:Pop_tri_v4:4:20786702:20789948:-1 gene:Potri.004G195100.v4.1 transcript:Potri.004G195100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G195100.v4.1 MVVDAVIAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFQKTFSYAGFEQQPKKFVNPKMLLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVSEEDLQRVAAATGGTVQTTVNNIIDEVLGTCEIFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQDGNR >Potri.014G093100.2.v4.1 pep chromosome:Pop_tri_v4:14:6018958:6025299:-1 gene:Potri.014G093100.v4.1 transcript:Potri.014G093100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ3 MALKLLSKLRNQNLQITRNRNHHRLVDAARFFSEQPVSNLSTPDDSKFIIKNKQQTTTSSLKQHELAKFAAISDTWWDSEGPFKPLHNMNPTRLAFIRSTLCRHFSKDPNCARPFEGLKIVDVGCGGGILSEPLARMGATVTGVDAVEKNINVARLHADLDPETSTIEYCCTTAEKLVEEQRKFDAVIALEVIEHVAAPAEFCKSLAALAYPGGATVISTINRSMRAYATAIVAAEYLLNWLPKGTHQWSSFLTPEELVLILQRASINVKEMAGFVYSPLTGRWSLSDDISVNFIAYGTKDGQ >Potri.014G058500.1.v4.1 pep chromosome:Pop_tri_v4:14:3738760:3744871:1 gene:Potri.014G058500.v4.1 transcript:Potri.014G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058500.v4.1 MSIAAQTLDILGDRQSGQDVRTQNVMACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVASELLKRANDLVRNGIHPTSIISGYRLAMREACKYVEEKLAVKVEKLGKDSLVNCAKTSMSSKLIGGDSDFFANLVVDAVQSVKMTNVRGEVRYPIKGINILKAHGKSVKDSYLLNGYALNTGRAAQGMLMKVAPARVACLDFNLQKTKMQLGVQVLVTDPRELDKIRQREADMTKERIEKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVSTFADMEGEETFEPSFLGYADEVVEERIADDDVILIKGTKNTSAVSLVLRGANDYMLDEMERALHDALSIVKRTLESNTVVAGGGAVESALSVYLEYLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKQLSSMGLDLLKGTVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDDMIKLVKDESQNDEQ >Potri.008G066500.4.v4.1 pep chromosome:Pop_tri_v4:8:4021275:4026479:1 gene:Potri.008G066500.v4.1 transcript:Potri.008G066500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066500.v4.1 MLKMSVSATFRGNFSSLLIPQSSYCPCDYRPRHILASSSVISWRKRCRTRDFKLYQVSEFCERREIIVCRAAETEIEPDNSNNDNKEKEVHEGGEEHPTIDSVGQTDDQPDSQPTILNQINGGEITTGGGTQEADDEVVSGSPLPGVKPQQLDESIRIPKETIDILRNQVFGFDTFFVTSQEPYEGGVLFKGNLRGQAAKSYEKLTNRMQNKLGDEYKIFLLVNPEDDKPVAVVVPRKTLQPETTAVPEWFAAGAFGLVTIFTLLLRNVPALQSNLLSTFDNPELLMDGLPGALVTALVLGAHELSHILVAKSNEVKLGVPYFVPSWQIGSFGAITRITSIVPKREVLLKVAVAGPLAGFSLGLVLFLLGFILPPRDGIGLVVDASVFHESFLAGGIAKLLLGDVLKEGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKFFI >Potri.008G066500.1.v4.1 pep chromosome:Pop_tri_v4:8:4021299:4026515:1 gene:Potri.008G066500.v4.1 transcript:Potri.008G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066500.v4.1 MLKMSVSATFRGNFSSLLIPQSSYCPCDYRPRHILASSSVISWRKRCRTRDFKLYQVSEFCERREIIVCRAAETEIEPDNSNNDNKEKEVHEGGEEHPTIDSVGQTDDQPDSQPTILNQINGGEITTGGGTQEADDEVVSGSPLPGVKPQQLDESIRIPKETIDILRNQVFGFDTFFVTSQEPYEGGVLFKGNLRGQAAKSYEKLTNRMQNKLGDEYKIFLLVNPEDDKPVAVVVPRKTLQPETTAVPEWFAAGAFGLVTIFTLLLRNVPALQSNLLSTFDNPELLMDGLPGALVTALVLGAHELSHILVAKSNEVKLGVPYFVPSWQIGSFGAITRITSIVPKREVLLKVAVAGPLAGFSLGLVLFLLGFILPPRDGIGLVVDASVFHESFLAGGIAKLLLGDVLKEGTPISVNPLVIWAWAGLLINAINSIPAGELDGGRISFAIWGRKASARFTGFSIVLLGLSSLLNDVAFFWVVLIFFLQRGPISPVSEEISDPENKYVALGLLVLVLGLLVCLPYPFPFTDETVTSFTSNAISSL >Potri.003G038200.6.v4.1 pep chromosome:Pop_tri_v4:3:4169559:4195215:-1 gene:Potri.003G038200.v4.1 transcript:Potri.003G038200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038200.v4.1 MDSLLWILLAIFLSCSSTIIFLYRNSSWFSNRIMTMVMMMIKSSSMYEKTLLPLGALGWPFIGETIDFVSCAYSDRPESFMDKRRRMYGKVFKSHIFGSPTIVSTDAEVSKFILQSDARLFVPSYPKSLTELMGKSSILLINGSLQRRIHGLIGAFFKSPHLKAQITRDMQSYVQESMEKWREDHPIFIQDETKNIAFQVLVKALISLDPGEEMELLKKQFQEFIAGLMSLPLKNIPGSQLYRSLQAKKKMVKLVQKIIKSKRDHGMISMVPKDLVQVLLNDASEQLTDDLIADNMIDMMIPGEDSVPVLMTLAVKYLSDCPPALHQLTEENMKLKSLKAQHGEPMCWSDYLSLPFTQTVITETLRMGNIIIGVMRKAMKDIEIKGYLIPKGWCAFAYFRSVHLDENNYEWPYQFNPWRWQDKDMSNSSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRTFTYRWTK >Potri.003G038200.3.v4.1 pep chromosome:Pop_tri_v4:3:4188899:4194604:-1 gene:Potri.003G038200.v4.1 transcript:Potri.003G038200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038200.v4.1 MGKSSILLINGSLQRRIHGLIGAFFKSPHLKAQITRDMQSYVQESMEKWREDHPIFIQDETKNIAFQVLVKALISLDPGEEMELLKKQFQEFIAGLMSLPLKNIPGSQLYRSLQAKKKMVKLVQKIIKSKRDHGMISMVPKDLVQVLLNDASEQLTDDLIADNMIDMMIPGEDSVPVLMTLAVKYLSDCPPALHQLTEENMKLKSLKAQHGEPMCWSDYLSLPFTQTVITETLRMGNIIIGVMRKAMKDIEIKGYLIPKGWCAFAYFRSVHLDENNYEWPYQFNPWRWQDKDMSNSSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWVAEDDTIVNFPTVRMKRRMPIWVKRRGEN >Potri.003G038200.4.v4.1 pep chromosome:Pop_tri_v4:3:4188899:4194640:-1 gene:Potri.003G038200.v4.1 transcript:Potri.003G038200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038200.v4.1 MGKSSILLINGSLQRRIHGLIGAFFKSPHLKAQITRDMQSYVQESMEKWREDHPIFIQDETKNIAFQVLVKALISLDPGEEMELLKKQFQEFIAGLMSLPLKNIPGSQLYRSLQAKKKMVKLVQKIIKSKRDHGMISMVPKDLVQVLLNDASEQLTDDLIADNMIDMMIPGEDSVPVLMTLAVKYLSDCPPALHQLTEENMKLKSLKAQHGEPMCWSDYLSLPFTQTVITETLRMGNIIIGVMRKAMKDIEIKGYLIPKGWCAFAYFRSVHLDENNYEWPYQFNPWRWQDKDMSNSSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWVAEDDTIVNFPTVRMKRRMPIWVKRRGEN >Potri.003G038200.1.v4.1 pep chromosome:Pop_tri_v4:3:4188899:4195214:-1 gene:Potri.003G038200.v4.1 transcript:Potri.003G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038200.v4.1 MDSLLWILLAIFLSCSSTIIFLYRNSSWFSNRIMTMVMMMIKSSSMYEKTLLPLGALGWPFIGETIDFVSCAYSDRPESFMDKRRRMYGKVFKSHIFGSPTIVSTDAEVSKFILQSDARLFVPSYPKSLTELMGKSSILLINGSLQRRIHGLIGAFFKSPHLKAQITRDMQSYVQESMEKWREDHPIFIQDETKNIAFQVLVKALISLDPGEEMELLKKQFQEFIAGLMSLPLKNIPGSQLYRSLQAKKKMVKLVQKIIKSKRDHGMISMVPKDLVQVLLNDASEQLTDDLIADNMIDMMIPGEDSVPVLMTLAVKYLSDCPPALHQLTEENMKLKSLKAQHGEPMCWSDYLSLPFTQTVITETLRMGNIIIGVMRKAMKDIEIKGYLIPKGWCAFAYFRSVHLDENNYEWPYQFNPWRWQDKDMSNSSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWVAEDDTIVNFPTVRMKRRMPIWVKRRGEN >Potri.003G038200.7.v4.1 pep chromosome:Pop_tri_v4:3:4188899:4195225:-1 gene:Potri.003G038200.v4.1 transcript:Potri.003G038200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038200.v4.1 MDSLLWILLAIFLSCSSTIIFLYRNSSWFSNRIMTMVMMMIKSSSMYEKTLLPLGALGWPFIGETIDFVSCAYSDRPESFMDKRRRMYGKVFKSHIFGSPTIVSTDAEVSKFILQSDARLFVPSYPKSLTELMGKSSILLINGSLQRRIHGLIGAFFKSPHLKAQITRDMQSYVQESMEKWREDHPIFIQDETKNIAFQVLVKALISLDPGEEMELLKKQFQEFIAGLMSLPLKNIPGSQLYRSLQAKKKMVKLVQKIIKSKRDHGMISMVPKDLVQVLLNDASEQLTDDLIADNMIDMMIPGEDSVPVLMTLAVKYLSDCPPALHQLTVITETLRMGNIIIGVMRKAMKDIEIKGYLIPKGWCAFAYFRSVHLDENNYEWPYQFNPWRWQDKDMSNSSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWVAEDDTIVNFPTVRMKRRMPIWVKRRGEN >Potri.003G038200.5.v4.1 pep chromosome:Pop_tri_v4:3:4188899:4194640:-1 gene:Potri.003G038200.v4.1 transcript:Potri.003G038200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038200.v4.1 MGKSSILLINGSLQRRIHGLIGAFFKSPHLKAQITRDMQSYVQESMEKWREDHPIFIQDETKNIAFQVLVKALISLDPGEEMELLKKQFQEFIAGLMSLPLKNIPGSQLYRSLQAKKKMVKLVQKIIKSKRDHGMISMVPKDLVQVLLNDASEQLTDDLIADNMIDMMIPGEDSVPVLMTLAVKYLSDCPPALHQLTEENMKLKSLKAQHGEPMCWSDYLSLPFTQTVITETLRMGNIIIGVMRKAMKDIEIKGYLIPKGWCAFAYFRSVHLDENNYEWPYQFNPWRWQDKDMSNSSFTPFGGGQRLCPGLDLARLEASIFLHHFVTQFRWVAEDDTIVNFPTVRMKRRMPIWVKRRGEN >Potri.001G076500.6.v4.1 pep chromosome:Pop_tri_v4:1:5767868:5773766:-1 gene:Potri.001G076500.v4.1 transcript:Potri.001G076500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076500.v4.1 MEGATASFWKRNYSLQDLFDIDRELNASVFLEPTPSVASSAELAINPFQNTTDTNRKRKVSGATRDAAAEKKRLVDKAYRERCKEMKKKTETKLDALTKENDGLRRENNYLKNEGTQLVQTLQHQKDGMKQLEKEFGQLKSQLHRQNTVVEVLSKRLGGSDDKDLQRENAQLKHDINLLTRKINNPESLSVLQLRAKIALLENEKHSLQVIVDALCEKINNEKDQLGPQN >Potri.001G076500.4.v4.1 pep chromosome:Pop_tri_v4:1:5767895:5773770:-1 gene:Potri.001G076500.v4.1 transcript:Potri.001G076500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076500.v4.1 MEGATASFWKRNYSLQDLFDIDRELNASVFLEPTPSVASSAELAINPFQNTTDTNRKRKVSGATRDAAAEKKRLVDKAYRERCKEMKKKTETKLDALTKENDGLRRENNYLKNEGTQLVQTLQHQKDGMKQLEKEFGQLKSQLHRQNTVVEVLSKRLGGSDDKDLQRENAQLKHDINLLTRKINNPESLSVLQLRAKIALLENEKHSLQVIVDALCEKINNEKDQLGPQN >Potri.005G234000.1.v4.1 pep chromosome:Pop_tri_v4:5:23243504:23245643:1 gene:Potri.005G234000.v4.1 transcript:Potri.005G234000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234000.v4.1 MERLPLLFLLLLTALSSTLASTVSSSDLDDPLIRQVVSEGEDHLLNAEHHFTTFKSKFGKNYATQEEHDYRFSVFKANLLRAKKHQIMDPTAAHGVTKFSDLTPKEFRRQLLGLKRRLRLPTDANKAPILPTGDLPTDFDWRDHGAVTSVKDQGSCGSCWSFSATGALEGAHYLATGELVSLSEQQLVDCDHECDPEEYGACDSGCSGGLMNNAFEYALKAGGLEREKDYPYTGNDRGACKFEKSKVAASVSNFSVVSLDEDQIAANLVKHGPLSVAINAVFMQTYIGGVSCPYICSKHQDHGVLLVGYGAAGYAPIRFKEKPFWIIKNSWGENWGENGYYKICRARNICGVDSMVSTVAAIHATAQ >Potri.003G108400.1.v4.1 pep chromosome:Pop_tri_v4:3:13122210:13130760:-1 gene:Potri.003G108400.v4.1 transcript:Potri.003G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108400.v4.1 MYIKEICLEGFKSYATRTVVQGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDRNRSPLGYEDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKESALKTLEKKQSKVDEINKLLDQEILPALEKLRKERMQYMQWANGNSELDRLKRFCIAYDYVQAVKIRDSAVVEVEHMKGKIAEIDTSAEQMLVEIQQKETEISKLAAEKEASMGGEVKTLSENVDVLAQDLVREVSVLNNKEDTLRSECESAEKIVHSIEDLKQSVEERAAAVKKSEEGAADLKRRVGELSNSLENYEKEYQGVLAGKSSGSEEKCLEDQLGEAKYAVGNAETELKQLKTKISHCEKELKEKTHQLMSKNEEAVAVENELSARRKDVENAKSALESLSYKEGQMEALQKDCASELKLVQKLKDEIRDLSAQLSNVQFIYRDPVRNFDRSKVKGVVAKLIKVNDSSTMTALEVTAGGKLFNVVVDTESTGKQLLQNGDLRRRVTIIPLNKIQSHTVPIRVQQAAVRLVGKENAELALTLVGYDEELKTAMEYVFGSTFVCKNIDAAKEVAFSREIRTPSVTLEGDIFQPSGLLTGGSRKGGGDLLRQLHELAEAESNLTLHQRRLSEIEAKITELLPVHKKFADLKKQLELKLYDLSLFQGRAEQNEHHKLGEVVKKIEQELEEAKSAVKEKQILYNECVNTVSMLEKSIKEHDNNREGKLKDLEKQIKATKAQMQSVSKDLKGHENERERLIMEQEAVMKEHASLESQLGALRAQISCLNLELEEQKAKVASTRNNHDQVQSELNAIRLKMKERDSQISSILKEQQKLQHKLSETKLDRKKLENEVKRMEMEQKDCSMKVDKLIEKHAWIASEKQLFGRSGTDYDFLSLNPSKAKEELEKLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKINKVIEELDEKKKETLKVTWVKVNNDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSIILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAAKQNL >Potri.003G108400.3.v4.1 pep chromosome:Pop_tri_v4:3:13122305:13130735:-1 gene:Potri.003G108400.v4.1 transcript:Potri.003G108400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108400.v4.1 MYIKEICLEGFKSYATRTVVQGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDRNRSPLGYEDHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKESALKTLEKKQSKVDEINKLLDQEILPALEKLRKERMQYMQWANGNSELDRLKRFCIAYDYVQAVKIRDSAVVEVEHMKGKIAEIDTSAEQMLVEIQQKETEISKLAAEKEASMGGEVKTLSENVDVLAQDLVREVSVLNNKEDTLRSECESAEKIVHSIEDLKQSVEERAAAVKKSEEGAADLKRRVGELSNSLENYEKEYQGVLAGKSSGSEEKCLEDQLGEAKYAVGNAETELKQLKTKISHCEKELKEKTHQLMSKNEEAVAVENELSARRKDVENAKSALESLSYKEGQMEALQKDCASELKLVQKLKDEIRDLSAQLSNVQFIYRDPVRNFDRSKVKGVVAKLIKVNDSSTMTALEVTAGGKLFNVVVDTESTGKQLLQNGDLRRRVTIIPLNKIQSHTVPIRVQQAAVRLVGKENAELALTLVGYDEELKTAMEYVFGSTFVCKNIDAAKEVAFSREIRTPSVTLEGDIFQPSGLLTGGSRKGGGDLLRQLHELAEAESNLTLHQRRLSEIEAKITELLPVHKKFADLKKQLELKLYDLSLFQGRAEQNEHHKLGEVVKKIEQELEEAKSAVKEKQILYNECVNTVSMLEKSIKEHDNNREGKLKDLEKQIKATKAQMQSVSKDLKGHENERERLIMEQEAVMKEHASLESQLGALRAQISCLNLELEEQKAKVASTRNNHDQVQSELNAIRLKMKERDSQISSILKEQQKLQHKLSETKLDRKKLENEVKRMEMEQKDCSMKVDKLIEKHAWIASEKQLFGRSGTDYDFLSLNPSKAKEELEKLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKINKVIEELDEKKKETLKVTWVKVNNDFGSIFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGGVWKQSLSELSGGQRSLLALSIILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAAKQNL >Potri.003G015500.2.v4.1 pep chromosome:Pop_tri_v4:3:1718515:1722441:-1 gene:Potri.003G015500.v4.1 transcript:Potri.003G015500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015500.v4.1 MAAELFLTFAMEETLTRVSSIAAEGIRLAWGLEGQLQKLNQSLTMIQAVLQDAARRPVTDKSAKLWLEKLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKEINGSMDEIQKLAIGFGLGIASQHVESAPEVIRDIERETDSLLESSEVVVGREDDVSKVVKLLIGSTDQQVLSVVPIVGMGGLGKTTIAKKICEVVREKKLFDVTIWVCVSNDFSKGRILGEMLQDVDGTMLNNLNAVMKKLKEKLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKNGNAVVVTTRIKEVADTMKTSPGSQHEPGQLSDDQSWSIIKQKVSRGGRETIASDLESIGKDIAKKCGGIPLLAKVLGGTLHGKQAQEWKSILNSRIWDYQDGNKVLRILRLSFDYLSLPSLKKCFSYCSIFPKDFKIGREELIQLWMAEGFLRPSNGRMEDEGNKYFNDLHANSFFQDVERNAYEIVTSCKMHDFVHDLALQVSKSETLNLEAGSAVDGASHIRHLNLISCGDVESIFPADDARKLHTVFSMVDVFNGSWKFKSLRTIKLRGPNITELPDSIWKLRHLRYLDVSRTSIRALPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPFFVVGQNHMVEELGCLNELRGELQICKLEQVRDREEAEKAKLRGKRMNKLVLKWSLEGNRNVNNEYVLEGLQPHVDIRSLTIEGYGGEYFPSWMSTLPLNNLTVLRMKDCSKCRQLPALGCLPRLKILEMSGMRNVKCIGNEFYSSSGGAAVLFPALKELTLEDMDGLEEWIVPGREGDQVFPCLEKLSIWSCGKLKSIPICRLSSLVQFRIERCEELGYLCGEFHGFASLQILRIVNCSKLASIPSVQHCTALVELSIQQCSELISIPGDFRELKYSLKRLIVYGCKLGALPSGLQCCASLRKLRIRNCRELIHISDLQELSSLQGLTISSCEKLINIDWHGLRQLRSLVELEISMCPCLRDIPEDDWLGSLTQLKELSIGGCFSEEMEAFPAGFLNSIQHLNLSGSLQKLQIWGWDKLKSVPPSTSTPHCP >Potri.013G113500.1.v4.1 pep chromosome:Pop_tri_v4:13:12192943:12196425:-1 gene:Potri.013G113500.v4.1 transcript:Potri.013G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G113500.v4.1 MLGRSLASPLLTFDSAARSSCVTPDLVTAAPNAACLNVNSLALPSNVTRGYCTSHFSPRKWAIQSTAQADNTTFSNEDRKTWEACREALSAFNFNAEEKDKILGKAFGHVHSPYWGEQRKLEVPKFEIVCETLDYLRSLGLSEDDLFKLLKKFPEVLGCSLEQELKTNVKILEKDWGIKGKSLQKLLLRNPKALGYNVDCKGDCIAQCTRCWARF >Potri.012G036900.1.v4.1 pep chromosome:Pop_tri_v4:12:3309076:3314105:1 gene:Potri.012G036900.v4.1 transcript:Potri.012G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036900.v4.1 MNSKASVSKELNARHTKILEGLLKLQENRECADCHSKAPRWASVNLGIFICMQCSGTHRGLGVHISQVRSTTLDTWLPEQVAFMQSVGNRRSNSFWEAELPPNVDRSGIDRFIHAKYGEKRWVSRNSKQPTEVLSRINYTNDMLVEGAASRVVPRQTRPQSLDEESFTRITAQLSPPITRPRWASLDMKSDPIAFPTPKGLTESIKRTDGPTDLYSLLYVDDTQQNTSSMATPSSWATFD >Potri.015G066200.2.v4.1 pep chromosome:Pop_tri_v4:15:9180964:9184895:-1 gene:Potri.015G066200.v4.1 transcript:Potri.015G066200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066200.v4.1 MGNCNTCVRPDTTTEDTNEHQTRNKKSKNHKKSNPYSEDYPHHQTTTNNRSSPAPIRVLKDSSVSLSHRPRISDKYILGRELGRGEFGITFLCTDRETKESLACKSISKRKLRTAVDIEDVRREVAIMSTLPEHPNIVKLRATYEDFENVHLVMELCEGGELFDRIVARGHYSERAAAHVARTIAEVVRMCHANGVMHRDLKPENFLFANKKENSVLKAIDFGLSVLFKPGERFSEIVGSPYYMAPEVLRRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVALAILRGVIDFKREPWPQISENAKSLVRQMLEPDPSKRLNAQQVLEHPWLQNAKKAPNVPLGDIVRARLKQFSVMNRFKKRALRVIAEHLSVEEVEVIRDMFALMDTDNDGKVTYEELRTGLRKVGSQLAEPEIKMLMEVADVDGNGVLDYGEFVAVTIHLQKMENDEHFRRAFMFFDKDGNGYIELDELREGLADEYGETDDDVLNDIMREVDTDKDGCISYEEFVAMMKAGTDWRKASRQYSRERFKSLSLNLMKDGSLHLHDALTGQSVAV >Potri.009G024600.6.v4.1 pep chromosome:Pop_tri_v4:9:3642719:3649533:1 gene:Potri.009G024600.v4.1 transcript:Potri.009G024600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024600.v4.1 MVNDVEDDDSCPKPVGRSSVFYYGVGHMLNDITAACWFTYLLLFLTEIGLSPRDAAIVMLAGQIADGFATVFAGELIDRFGHFKIWHGAGSILAAISFSSVFGGCLPCKILASSSPIIETISYSTSAAIFNVGWAATQVSHMSMVNCISLNSTSRVVMTSCRNAFTMVANLSLYAVALVVFSTTKATTHSDIENQYHWIAYTSIFIGCCFVGIFHLGTKEPRLKIRVHGTSYARISWAYWFKKVLYYQVGLVYMLTRLAQNVSQAYLAFYVIEDMRMAKSAKALVPAIIYISSFIVSIIMQEMYWTGQRLKAYYCAGGVLWVFCGASILFLPRSLSAFMYVISVFIGVANTLMTITGVSMQSVLVGSDLDGCAFVYGSLSFLDKVSCGLAVFVLQSFQSSSPRSQETLSTEYISVTRYGLGLLPAVCSLTGMAITYTMKLQTPHSKSLMEPLLE >Potri.009G024600.1.v4.1 pep chromosome:Pop_tri_v4:9:3642670:3649533:1 gene:Potri.009G024600.v4.1 transcript:Potri.009G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024600.v4.1 MVNDVEDDDSCPKPVGRSSVFYYGVGHMLNDITAACWFTYLLLFLTEIGLSPRDAAIVMLAGQIADGFATVFAGELIDRFGHFKIWHGAGSILAAISFSSVFGGCLPCKILASSSPIIETISYSTSAAIFNVGWAATQVSHMSMVNCISLNSTSRVVMTSCRNAFTMVANLSLYAVALVVFSTTKATTHSDIENQYHWIAYTSIFIGCCFVGIFHLGTKEPRLKIRVHGTSYARISWAYWFKKVLYYQVGLVYMLTRLAQNVSQAYLAFYVIEDMRMAKSAKALVPAIIYISSFIVSIIMQEMYWTGQRLKAYYCAGGVLWVFCGASILFLPRSLSAFMYVISVFIGVANTLMTITGVSMQSVLVGSDLDGCAFVYGSLSFLDKVSCGLAVFVLQSFQSSSPRSQETLSTEYISVTRYGLGLLPAVCSLTGMAITYTMKLQTPHSKSLMEPLLE >Potri.009G024600.5.v4.1 pep chromosome:Pop_tri_v4:9:3642738:3649542:1 gene:Potri.009G024600.v4.1 transcript:Potri.009G024600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024600.v4.1 MVNDVEDDDSCPKPVGRSSVFYYGVGHMLNDITAACWFTYLLLFLTEIGLSPRDAAIVMLAGQIADGFATVFAGELIDRFGHFKIWHGAGSILAAISFSSVFGGCLPCKILASSSPIIETISYSTSAAIFNVGWAATQVSHMSMVNCISLNSTSRVVMTSCRNAFTMVANLSLYAVALVVFSTTKATTHSDIENQYHWIAYTSIFIGCCFVGIFHLGTKEPRLKIRVHGTSYARISWAYWFKKVLYYQVGLVYMLTRLAQNVSQAYLAFYVIEDMRMAKSAKALVPAIIYISSFIVSIIMQEMYWTGQRLKAYYCAGGVLWVFCGASILFLPRSLSAFMYVISVFIGVANTLMTITGVSMQSVLVGSDLDGCAFVYGSLSFLDKVSCGLAVFVLQSFQSSSPRSQETLSTEYISVTRYGLGLLPAVCSLTGMAITYTMKLQTPHSKSLMEPLLE >Potri.001G215800.1.v4.1 pep chromosome:Pop_tri_v4:1:22256866:22263066:-1 gene:Potri.001G215800.v4.1 transcript:Potri.001G215800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215800.v4.1 MGEASSTTAYDDMLLKSFFAEVSEVERDNEVTRILSCFKLNPFEYLNLPFEASPEDIKKQYRKLSLLVHPDKCKHPQAKEAFGALAKAQQLLLEEQERDYVLTQVNAAKEELRAKRKKQLRKDTASKIKSLVDEGKYDQQYEQSEEFQRELKLKVREILTDQEWRRRKMQMRISEEEGRLKKDEEEQKEMWKRKREHEEQWEGTREKRVSSWRDFMKTGKKGKKGEIRPPKLKTEDPNKSYVQRPVKRG >Potri.002G140100.1.v4.1 pep chromosome:Pop_tri_v4:2:10512544:10513912:-1 gene:Potri.002G140100.v4.1 transcript:Potri.002G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140100.v4.1 MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWSCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Potri.002G031500.2.v4.1 pep chromosome:Pop_tri_v4:2:2072164:2075518:1 gene:Potri.002G031500.v4.1 transcript:Potri.002G031500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031500.v4.1 MPSFPATKTDPALQITPDMSQSAMEIYQVKIPARFPLQAGCFPSNSKKTSTFLPRVAAFSTSSHSLTDKSESESQNRMFILGMGFVCQFFAQSLQKEGWVVTGTCTSKTKKKHLEEKGFHVHLLDANQPELSTLNALKCYTHLLVSIPPVGCAGDPHEELLRSTLLDGNLQWLCYLSSTSVYGHCDGAWVDEDYPTSPTSELAKLRLDAEEGWLNLGQSLGFSTQVFRLGGIYGPGRSAVDTIIKQEPQSEGQKMRKSRQYTSRVHVEDICQALKASIYTPSSRGIYNIVDDDPAPREEVFTYAEDLIKKKWPGHTKWSSNSASAASPTKKDNSRGDKRVSNMRMKRELGVRLLHPSYRSGLLSIIDQMENPFHCSP >Potri.002G031500.1.v4.1 pep chromosome:Pop_tri_v4:2:2072165:2075517:1 gene:Potri.002G031500.v4.1 transcript:Potri.002G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031500.v4.1 MPSFPATKTDPALQITPDMSQSAMEIYQVKIPARFPLQAGCFPSNSKKTSTFLPRVAAFSTSSHSLTDKSESESQNRMFILGMGFVCQFFAQSLQKEGWVVTGTCTSKTKKKHLEEKGFHVHLLDANQPELSTLNALKCYTHLLVSIPPVGCAGDPMLQHEELLRSTLLDGNLQWLCYLSSTSVYGHCDGAWVDEDYPTSPTSELAKLRLDAEEGWLNLGQSLGFSTQVFRLGGIYGPGRSAVDTIIKQEPQSEGQKMRKSRQYTSRVHVEDICQALKASIYTPSSRGIYNIVDDDPAPREEVFTYAEDLIKKKWPGHTKWSSNSASAASPTKKDNSRGDKRVSNMRMKRELGVRLLHPSYRSGLLSIIDQMENPFHCSP >Potri.012G078100.3.v4.1 pep chromosome:Pop_tri_v4:12:10211202:10214039:1 gene:Potri.012G078100.v4.1 transcript:Potri.012G078100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078100.v4.1 MDRILIWVLPILIFLVLPKSNSEDENVKTALLQFMEKLSAGHEQNDQNWGWDINSDPCNSTWKGVDCLGSQNVKRIVLNKFNLTGILDAASVCTAKSLLVLSLKENNISGFIPDEIGNCKRLSHLYVGGNRFTGDIPDTISQLINLKRLDISNNNFSGALPDMSRVSGLLTFFAENNQLGGAIPDFDFSYIKEFSVANNNFSGPIPDVKSKFGADSFTGNPELCGTLLSKACPPSPPPSKKGSKHSSADRFLIFSGYILLAVVVLLLFALYLFKKNKSKGETVKVVKKGKVATASKEPSRTSSESKTGGNRSEYSITSVEAGTTSSSLVVLPSPVVKDLKFDDLLRAPAELLGRGKHGSLYKVMLDNATILALKRIKDSGISAEDFKSRIQRIDQVKHPRVLPPVAFYCSKQEKLLVYEYQQNGSLFKLLHGSQNGQVFDWGSRLNVAASIAESLAYMHEQLQEGGIAHGNLKSTNILFNNKMEPCISEYGLIVVQGQDQSFLSQSDSFKTDALGRNVAYSTFKLDVYGFGVVLLELLTGKLVQNNGFDLASWVHSVVREEWTAEVFDRALILEGAGEERMLNLLQVALKCINPSPNERPSTSQISAMINTIKEDEERSIISDP >Potri.001G249000.2.v4.1 pep chromosome:Pop_tri_v4:1:26504715:26510922:-1 gene:Potri.001G249000.v4.1 transcript:Potri.001G249000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249000.v4.1 MKMGIDAKEFQAFMWRVVKFSINTCTALAQKYPFASGVLLSLLILYLFLPSVFFFLIYSLPFLGCTAVFIHYYLNTQRPKIQHGDERKEHGISSIESRRLLQRNVNKNNIDESDAHAVKEEKDMVSPMISNDELIGRTALAEEKPKIIMEEKESRALNSGESSSHNVSIGENISELGQAPNPDAVSCDGFNEQPTKLQVGGEVELESSSSEADDDDEEEESEKGGENAVQWTEYDQKNVMDLGNSEIERNRRLEMLIARRKARKSFKMNSIAGSGPRHPVMVARSNTFHVSKSSDDQIPGSAPSILLPTKNPFDLPYDPHEEKPNLMADSFHEEFMADHQKEFPFCRHESFSLGNSFQDNRQGQHEGRGSSRPKMQSGNKGNHDRLVDHLLFQGGETLRRNLSVTDLVTEEPQSSNQVANKQERDREVGTTRIKLIGEKMEQSHYKDPSLGNGSDIQMNKDANAIKHKKILSNPSSSAEDILNAKTAENSESIQPTTFKFPEVFYDRAPNSLPCPVPKARAVAEPSYDSSPSPIDNTRMEEHFFYKLKPGHTPNHSIASDMQVEVSEIGSPPLIEDGTASSNDDESLIYDGDSENEFTSGSEELWGSSPLAPKVQEHGKARGQIYEEGEEGMTEVEFSRVWDEPENPISSSMWPLSSSRAEISQEDQAHSMKIDPKLSNHVKDEVDEVREQRPSNASDVDEVEEVREERPSNSSDVVPPEHSLEGTRLMEGSMAHSPSEVYFPEPQEPYDTQGKCTEEAIDMENMNGSVLDDEQIKENLKCRKNIEYESETLISNEASVELSEPLEEPQTADHLEGASARLVDNEASINLSKPDEEHVSSKVPGVLVEKEESTNPPRDVAGEVNHISDVSDPSINKNDDLEKLKSFEGSEGEPDQFSTGHEIFVEPLKPANITSLEGHEYSPGVLTENETIVASSQAIEEVDNSRTSKETDEFGTQIADEEIEDLLKPGEAVVSSETTKNVQGDPKDLIDQKAVLNPSTPAVDDDNILVTPEAKDSAADTIHNVNESEMSEFISNEKFKHVQDSEDESQRLDRQEDIMEPLKAVEVTNSESIRDIEGESKKLADDEVNVTIPSQPEGEINSSDDREKTEDPGKSIVQENGMDISEVSRGNDIARAVEDNKDKSEDKTEING >Potri.001G249000.1.v4.1 pep chromosome:Pop_tri_v4:1:26504715:26510922:-1 gene:Potri.001G249000.v4.1 transcript:Potri.001G249000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249000.v4.1 MKMGIDAKEFQAFMWRVVKFSINTCTALAQKYPFASGVLLSLLILYLFLPSVFFFLIYSLPFLGCTAVFIHYYLNTQRPKIQHGDERKEHGISSIESRRLLQRNVNKNNIDESDAHAVKEEKDMVSPMISNDELIGRTALAEEKPKIIMEEKESRALNSGESSSHNVSIGENISELGQAPNPDAVSCDGFNEQPTKLQVGGEVELESSSSEADDDDEEEESEKGGENAVQWTEYDQKNVMDLGNSEIERNRRLEMLIARRKARKSFKMNSIAGSGPRHPVMVARSNTFHVSKSSDDQIPGSAPSILLPTKNPFDLPYDPHEEKPNLMADSFHEEFMADHQKEFPFCRHESFSLGNSFQDNRQGQHEGRGSSRPKMQSGNKGNHDRLVDHLLFQGGETLRRNLSVTDLVTEEPQSSNQVANKQERDREVGTTRIKLIGEKMEQSHYKDPSLGNGSDIQMNKDANAIKHKKILSNPSSSAEDILNAKTAENSESIQPTTFKFPEVFYDRAPNSLPCPVPKARAVAEPSYDSSPSPIDNTRMEEHFFYKLKPGHTPNHSIASDMQVEVSEIGSPPLIEDGTASSNDDESLIYDGDSENEFTSGSEELWGSSPLAPKVQEHGKARGQIYEEGEEGMTEVEFSRVWDEPENPISSSMWPLSSSRAEISQEDQAHSMKIDPKLSNHVKDEVDEVREQRPSNASDVDEVEEVREERPSNSSDVVPPEHSLEGTRLMEGSMAHSPSEVYFPEPQESPSGSGNSAEEKKTNCDANETVTYDDREYLKSNENRHVGAENSIMQEVLGNLSEPAEGNNSTSNSNIKIESLFNSEKYVEGIGDETYDVNDSTFLISDRLEDSKSNEERDSGAERVMQAVIGDLSQPAVESNSESSNHIESKSLNSPEKSREEANINNVNDPPVQIKDRVEDFKDVDRDSERLTEDSDIQSILMPVEAEDNPTSTQGSKEDQSTIEVGVSVVNQPFTDPTTSATLPEFVAEQVSNNSSLSSSPKSVLAYRIPADIGSSSDFSQLVATDMEENLLMTATQDTSLAVNDSIDHPSIDRKSEKSEEPYDTQGKCTEEAIDMENMNGSVLDDEQIKENLKCRKNIEYESETLISNEASVELSEPLEEPQTADHLEGASARLVDNEASINLSKPDEEHVSSKVPGVLVEKEESTNPPRDVAGEVNHISDVSDPSINKNDDLEKLKSFEGSEGEPDQFSTGHEIFVEPLKPANITSLEGHEYSPGVLTENETIVASSQAIEEVDNSRTSKETDEFGTQIADEEIEDLLKPGEAVVSSETTKNVQGDPKDLIDQKAVLNPSTPAVDDDNILVTPEAKDSAADTIHNVNESEMSEFISNEKFKHVQDSEDESQRLDRQEDIMEPLKAVEVTNSESIRDIEGESKKLADDEVNVTIPSQPEGEINSSDDREKTEDPGKSIVQENGMDISEVSRGNDIARAVEDNKDKSEDKTEING >Potri.001G182200.2.v4.1 pep chromosome:Pop_tri_v4:1:16092441:16093502:1 gene:Potri.001G182200.v4.1 transcript:Potri.001G182200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182200.v4.1 MKGLESFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKTRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIIATGADVDPGTSNADIGYDDVIPDFQTDMARMVGGINMSSSSNTKSDGKRKERDHYDVRGGKKKTAGIGVQLLSRCNHLLESMSTKSDSTYLNMDREGCSIREVMAELHSIPGVSIEDEFHDFATEYLNLRRKREMWASMGDKQQKLRWLQRMYARTKRA >Potri.010G096901.1.v4.1 pep chromosome:Pop_tri_v4:10:12041826:12043209:1 gene:Potri.010G096901.v4.1 transcript:Potri.010G096901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096901.v4.1 MHRQGIAALKAIGATKVIASSSTDVLKDGKKKVLKRRFSKKKPVLHMPVICGVVCLIGMYGVLWKVKSTIPVYILDN >Potri.008G155200.1.v4.1 pep chromosome:Pop_tri_v4:8:10612006:10613996:1 gene:Potri.008G155200.v4.1 transcript:Potri.008G155200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155200.v4.1 MHHNFLHSSPTSIDPRYKNTHSHMERFVPFPRTVPFLAVALAVFVFPVYGQINAACTASVLATFAPCMTFLTSSTANGSSPTAGCCGSLKNLTSDGMDCLCLVVTGSVPFGVPINRTLAISLPRACNMPGVPVQCEATGAPIPAPASVVPEPTPSALPPASGTTPLLAPPSSTGDSGAPASTTGSHPILTPPSASVPSDSLSPSLLLFALGFVLFKYYY >Potri.009G050700.1.v4.1 pep chromosome:Pop_tri_v4:9:5586109:5591551:-1 gene:Potri.009G050700.v4.1 transcript:Potri.009G050700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050700.v4.1 MDSYEATNIVFSRIQSLEPENASKIMGYLLLQDYGEKEMIRLAFGPETLLQNLILQTKTQLGFPSNTPSTPSPAFIPSSRPSPLYISSSRIPNNNGFDITNSSSPSTNSWPLLSPNSTTSLSYASVVNGASNINAGSTPFQPTVSLSKAFSYSNNNDNANDLVDEYELQERFSFLNDSKTDDLFDPRGELAMSPPAFGDNSLHKRSFSVPGMCFGSEDSNSGFGWKPCLYFSRGFCKNGTGCRFVHGDSADSAAIVGSPSELNEFEQCQEILRSKAAAQQRKLAAASQFMAGATFLPQNKCMNFLHQQQNESQRSAAAAALMMGDEIHKFGRIRPERSDFSQMGLGGAMSPSARQIYLTFPADSTFREEDVSSYFSFYGPVQDVRIPYQQKRMFGFVTFVFAETVKLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKHQQQQQIEREEYSACPSPSRINCREPFDLHLGGRMFYNTQEMLRRKLEEEADLQQAIELQERRLLNLQLLDLKNHRQHRYFHGLSTGSPLPSPTILHSPNNQTLFFPIDGIDKEVQHAENGSNPDAAAAQNAVADADQEVSPACNHNDGNGNNRDKEEKSNSDESDLNENLEHILPDNLFGSPKKSAGDNLTVFSTASVEVDDNSSSLTTSSPNSNPLVPTTSLNMTSLKSCFLQMPRLSSGHGTVGI >Potri.009G050700.7.v4.1 pep chromosome:Pop_tri_v4:9:5588166:5591555:-1 gene:Potri.009G050700.v4.1 transcript:Potri.009G050700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050700.v4.1 MDSYEATNIVFSRIQSLEPENASKIMGYLLLQDYGEKEMIRLAFGPETLLQNLILQTKTQLGFPSNTPSTPSPAFIPSSRPSPLYISSSRIPNNNGFDITNSSSPSTNSWPLLSPNSTTSLSYASVVNGASNINAGSTPFQPTVSLSKAFSYSNNNDNANDLVDEYELQERFSFLNDSKTDDLFDPRGELAMSPPAFGDNSLHKRSFSVPGMCFGSEDSNSGFGWKPCLYFSRGFCKNGTGCRFVHGDSADSAAIVGSPSELNEFEQCQEILRSKAAAQQRKLAAASQFMAGATFLPQNKCMNFLHQQQNESQRSAAAAALMMGDEIHKFGRIRPERSDFSQMGLGGAMSPSARQIYLTFPADSTFREEDVSSYFSFYGPVQDVRIPYQQKRMFGFVTFVFAETVKLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKHQQQQQIEREEYSACPSPSRINCREPFDLHLGGRMFYNTQEMLRRKLEEEADLQQAIELQERRLLNLQLLDLKNHRQHRYFHGLSTGSPLPSPTILHSPNNQTLFFPIDGIDKEVQHENGSNPDAAAAQNAVADADQEVSPACNHNDGNGNNRDKEEKSNSDESDLNENLEHILPDNLFGSPKKSAGDNLTVFSTASVEVDDNSSSLTTSSPNSNPLVPTTSLNMTSLKSCFLQMPRLSSGHGTVGI >Potri.009G050700.6.v4.1 pep chromosome:Pop_tri_v4:9:5586094:5591721:-1 gene:Potri.009G050700.v4.1 transcript:Potri.009G050700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050700.v4.1 MDSYEATNIVFSRIQSLEPENASKIMGYLLLQDYGEKEMIRLAFGPETLLQNLILQTKTQLGFPSNTPSTPSPAFIPSSRPSPLYISSSRIPNNNGFDITNSSSPSTNSWPLLSPNSTTSLSYASVVNGASNINAGSTPFQPTVSLSKAFSYSNNNDNANDLVDEYELQERFSFLNDSKTDDLFDPRGELAMSPPAFGDNSLHKRSFSVPGMCFGSEDSNSGFGWKPCLYFSRGFCKNGTGCRFVHGDSADSAAIVGSPSELNEFEQCQEILRSKAAAQQRKLAAASQFMAGATFLPQNKCMNFLHQQQNESQRSAAAAALMMGDEIHKFGRIRPERSDFSQMGLGGAMSPSARQIYLTFPADSTFREEDVSSYFSFYGPVQDVRIPYQQKRMFGFVTFVFAETVKLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKHQQQQQIEREEYSACPSPSRINCREPFDLHLGGRMFYNTQEMLRRKLEEEADLQQAIELQERRLLNLQLLDLKNHRQHRYFHGLSTGSPLPSPTILHSPNNQTLFFPIDGIDKEVQHENGSNPDAAAAQNAVADADQEVSPACNHNDGNGNNRDKEEKSNSDESDLNENLEHILPDNLFGSPKKSAGDNLTVFSTASVEVDDNSSSLTTSSPNSNPLVPTTSLNMTSLKSCFLQMPRLSSGHGTVGI >Potri.002G044000.1.v4.1 pep chromosome:Pop_tri_v4:2:2866549:2867520:1 gene:Potri.002G044000.v4.1 transcript:Potri.002G044000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G044000.v4.1 MNSPSPASYGSFPPTEPSSTFLTRATNTTSTIFATRRPWRELIEFSSFARPGSLGDTTIRIKRNLSYFRVNYTMIILSILFLSLLWHPLSMIVFLIVFVAWFFLYFFRDQPLVIFHRTIDDRVVLGLLGVATIVALIFTHVWLNVLVSLLIGAAIVVLHAAFRRTDDMYSDDQDVADGSLLSFVGSPTRAGHARF >Potri.019G116500.1.v4.1 pep chromosome:Pop_tri_v4:19:14352192:14352809:-1 gene:Potri.019G116500.v4.1 transcript:Potri.019G116500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116500.v4.1 MSSTSKAWMVAFGIAAVEAMKDQGFCRWNYTIRLLHHHAKNKVRSMSKAKKLSSPTSNVVSSKVRENQKAKQSEESLRTVMYLSCWGPY >Potri.017G013450.1.v4.1 pep chromosome:Pop_tri_v4:17:934966:935797:1 gene:Potri.017G013450.v4.1 transcript:Potri.017G013450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013450.v4.1 MLKWLWTVFEGPTTSPELGDDKFHFINGGMERDKKKPILCNACLRLLHFTPGMADTCESEFVFGYSFLQIYFCMVSPYVHYFPCSDKLTVGAMEGVLSFSWWWLNVVLIIRF >Potri.005G242300.1.v4.1 pep chromosome:Pop_tri_v4:5:23877268:23878775:-1 gene:Potri.005G242300.v4.1 transcript:Potri.005G242300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242300.v4.1 MSRCFPYLPPGYLTESIKLQGESFEKGKHEGRKRGEKKERRKHRKGNSEERSRVGDKFHREDKRFLPKERGGEDEKSDLTEEDKQPLSSQSLCYLSDGGKTHGTIIRIQLPLRRHREHDAPVNGAGLCSSLGTADSVPQKNKIVETSLRSYDKEMRTAESLYQDLIANLEESFQFELNNLVDQEWLFGTTKQDRHGYKRLKVCHDVSCHADSTTRLCAQYLPEADVYVLPYTIPF >Potri.008G142920.1.v4.1 pep chromosome:Pop_tri_v4:8:9667672:9675699:1 gene:Potri.008G142920.v4.1 transcript:Potri.008G142920.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142920.v4.1 MENEERAHLESHYQTELESVKNEVSRLTNLLEQLLRAKNGEGTSTQPPIGAPSVHVPGVSQNLGADSVTGQQFAPAIPIQPPQAHITVEGPSDNRSTGFMNNDKISALEERLRAVEGNDWFDLMRASEICLVPNITVPKDFRIPEFIRYTGLECPNTHLRSYYNKMAEVIHDDKLLIYFFQDSLSGSALSWYMRLDNTRIKKWKDLVEAFLKQYKFNLEIAPDRTSLMSMEKRSQESVKAYAQRWRDEATHVQPPLIETEMVTLFANTFKAPYYEHLMGSSAQHFYDAVRIAERIEQGIKAGRIIEPLETKGFIERKMKGPVNNFEDGSNDKITDSYNPQIPTSHVAHINFNKSFSPNRANNQSNIQNNDQRPNTRYIAEQLPPLPMPLKEMYAKLLSIGQIAPIPTLPLQPPFPIWYKPELTCEYHAGIPGHCLETCYAFKRMLLKLIKIGWVSFEDTPNINSNLLPSYDKRCWQPCQQWEKSAAQIYEAPGRKTRQGHRLTRTWAVEEFGSEDIGSLHADANLAAQELAACTQMRIRKRAAACASQGLDLARSKTWTKDCPRHTSDSTADEARAGRWGCQVSMQNVG >Potri.018G128800.2.v4.1 pep chromosome:Pop_tri_v4:18:13960655:13962684:-1 gene:Potri.018G128800.v4.1 transcript:Potri.018G128800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128800.v4.1 MGKVACLGFRANTYTVGGDLGWIIPPNSSYYEEWTSQSTFQIGDSFVFNWTTGTHTATEVSTKEEYDNCTKMGLILKDAGVKVTFKDNDTHYFLCSEGTHCEQGQKMIIKIGDGIPPSFAAPSLTAAAALSALFFSTLAIFFLN >Potri.010G148300.1.v4.1 pep chromosome:Pop_tri_v4:10:15914714:15915237:-1 gene:Potri.010G148300.v4.1 transcript:Potri.010G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148300.v4.1 MRPMWYPSIFRWPEFGFSMPSSSILRWPEFDFSYFTTRLTLESLRWLDFAIDDVLWTFVTALESVALTAMLCYFFVFCGCTL >Potri.011G072791.1.v4.1 pep chromosome:Pop_tri_v4:11:6848263:6850090:-1 gene:Potri.011G072791.v4.1 transcript:Potri.011G072791.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072791.v4.1 MQFTKSHISTRVAGTMLVTTYNTLGRRIFDIYVQVSSHSNVKLTFFLKLEARTTLSQERLVRKGFNIEDDVGSAEKPLVKQLLNVNVTNNKMRLAVLKSLIGEFMVPSYQPSLYFLI >Potri.018G147300.1.v4.1 pep chromosome:Pop_tri_v4:18:15892158:15895060:-1 gene:Potri.018G147300.v4.1 transcript:Potri.018G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147300.v4.1 MPSKLLHLPKLPLHPLPPQHYSPRSKPFMSAMGAHAPSPVRVPLLYSIVTSNHKTSFVLVFLLVSLHFVASLGLTDSEILLKFKGSLTNASVLSDWSDKTTPCTKNNATNWVGVICVEGSLWGLQLENMGLAGKIDVEILKSLPDLKTFSIMNNNFDGPMPEFKKMVTLRSIYLSNNHFSGVIPPDAFDGILKLKKVYLAQNEFTGAIPSSLVALPKLLVLRLEGNQFTGKLPDFTHNLQSFSVSNNALEGPIPTGLSKMDLSSFSGNKGLCGPPLNECNTTDNDGHDSDSKKTPVLLIVILAAAVGLLIGAIVAAFLFLRRRQRQASGSIEAPPPPIPSNLKKKTGFKEENQSPSSSPDHSVGSRKGEGPKLSFVRDDREKFDLPDLLKASAEILGSGCFGSSYKAALSSGTMMVVKRFKQMNNVGKEEFQEHMRRLGRLKHSNLLPLVAYYYRKEEKLLITDFVEKGSLAAHLHGHQALGQPSLGWPSRLKIVKGVARGLAYLYKDLPNIIAAHGHLKSSNVLLTQSNEPMLTDYGLVPVINQENAQELMVAYKSPEYLHHGRITKKTDVWSLGILIVEILTGKLPANFVPQGKGSEQQDLASWVNSVPYEEWINVVLDKDMTNVSTKPNGGGESEVMKLLKIGLSCCEADVEKRLDLKEAVERIEEIKEKDSDDDFFSSYASEGDMKSSRGKSDEFTFS >Potri.010G127800.3.v4.1 pep chromosome:Pop_tri_v4:10:14462812:14465623:1 gene:Potri.010G127800.v4.1 transcript:Potri.010G127800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127800.v4.1 MASRREGTECPEAVPPPSQFPEGQWSTGICNCFDDPSNCLLTCFCPCITFGRIAEILDRGNTSCRLQGLIYCAMSHIGCAWLYGGIYRSKLRGFLSLPEAPCADWLVHCCCCLCSLCQEYRELKNHGADPSLGWQANVEKWNREGLKPPFVAPGMDR >Potri.010G127800.2.v4.1 pep chromosome:Pop_tri_v4:10:14462564:14465623:1 gene:Potri.010G127800.v4.1 transcript:Potri.010G127800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127800.v4.1 MASRREGTECPEAVPPPSQFPEGQWSTGICNCFDDPSNCLLTCFCPCITFGRIAEILDRGNTSCRLQGLIYCAMSHIGCAWLYGGIYRSKLRGFLSLPEAPCADWLVHCCCCLCSLCQEYRELKNHGADPSLGWQANVEKWNREGLKPPFVAPGMDR >Potri.003G150450.3.v4.1 pep chromosome:Pop_tri_v4:3:16361862:16371724:1 gene:Potri.003G150450.v4.1 transcript:Potri.003G150450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150450.v4.1 MPGIPLVTRETSSRSTIDQMCREDGRGGGGGLHLTEEEEIAAEESLSMYCKPVELYNILQRRAIGNPSYLQRCLRYKIQVKNKRRIQMTISMPVTLNGAVQSHSLFPLYILLARLVSKIGVLEYSAVYHFSRPCVLTNFAGVEGSTQAQTNFVLPEMNKLASEVKSGSLYVLLVSFAGAQSSMRGIDLTNGHLENVGGCCLLGKIPLDSLCNFWEKSPNLGLGQRVEVTSPVDMNACFLKLTCLTEDNCVLIQIPFNSETVNTSQLQVNVSAEEVGAKEKSSYHSYTCGDISSSSLSHIIRLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVKCASFKGLRYHLPSSHDLFNFEFWITEEFQAVNIFVKTDIWRSEIVADGIDPKQQTFFFCSKKPKRKRPKKLVQKAKNVLDKTLSRQQGAGELLDKIGGGKDLQTASMCSREYGEHNRSGADVVGVSGSAAHAYPDAECAQLVPGNNLAPPAMLQFAKTRKLSIERSDMRNRTLLHKRQFFHSHRAQPMAVEQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFTRLHGHNLVLAPALIWCWRLFMIKLWNHGLLDARTMNMCNMILEQFQKQDLDPMKS >Potri.003G150450.2.v4.1 pep chromosome:Pop_tri_v4:3:16361963:16371711:1 gene:Potri.003G150450.v4.1 transcript:Potri.003G150450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150450.v4.1 MPGIPLVTRETSSYSRSTIDQMCREDGRGGGGGLHLTEEEEIAAEESLSMYCKPVELYNILQRRAIGNPSYLQRCLRYKIQVKNKRRIQMTISMPVTLNGAVQSHSLFPLYILLARLVSKIGVLEYSAVYHFSRPCVLTNFAGVEGSTQAQTNFVLPEMNKLASEVKSGSLYVLLVSFAGAQSSMRGIDLTNGHLENVGGCCLLGKIPLDSLCNFWEKSPNLGLGQRVEVTSPVDMNACFLKLTCLTEDNCVLIQIPFNSETVNTSQLQVNVSAEEVGAKEKSSYHSYTCGDISSSSLSHIIRLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVKCASFKGLRYHLPSSHDLFNFEFWITEEFQAVNIFVKTDIWRSEIVADGIDPKQQTFFFCSKKPKRKRPKKLVQKAKNVLDKTLSRQQGAGELLDKIGGGKDLQTASMCSREYGEHNRSGADVVGVSGSAAHAYPDAECAQLVPGNNLAPPAMLQFAKTRKLSIERSDMRNRTLLHKRQFFHSHRAQPMAVEQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFTRLHGHNLVLAPALIWCWRLFMIKLWNHGLLDARTMNMCNMILEQFQKQDLDPMKS >Potri.003G150450.1.v4.1 pep chromosome:Pop_tri_v4:3:16361849:16371729:1 gene:Potri.003G150450.v4.1 transcript:Potri.003G150450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150450.v4.1 MPGIPLVTRETSSYSRSTIDQMCREDGRGGGGGLHLTEEEEIAAEESLSMYCKPVELYNILQRRAIGNPSYLQRCLRYKIQVKNKRRIQMTISMPVTLNGAVQSHSLFPLYILLARLVSKIGVLEYSAVYHFSRPCVLTNFAGVEGSTQAQTNFVLPEMNKLASEVKSGSLYVLLVSFAGAQSSMRGIDLTNGHLENVGGCCLLGKIPLDSLCNFWEKSPNLGLGQRVEVTSPVDMNACFLKLTCLTEDNCVLIQIPFNSETVNTSQLQVNVSAEEVGAKEKSSYHSYTCGDISSSSLSHIIRLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVKCASFKGLRYHLPSSHDLFNFEFWITEEFQAVNIFVKTDIWRSEIVADGIDPKQQTFFFCSKKPKRKRPKKLVQKAKNVLDKTLSRQQGAGELLDKIGGGKDLQTASMCSREYGEHNRSGADVVGVSGSAAHAYPDAECAQLVPGNNLAPPAMLQFAKTRKLSIERSDMRNRTLLHKRQFFHSHRAQPMAVEQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFTRLHGHNLVLAPALIWCWRLFMIKLWNHGLLDARTMNMCNMILEQFQKQDLDPMKS >Potri.003G150450.4.v4.1 pep chromosome:Pop_tri_v4:3:16361982:16371728:1 gene:Potri.003G150450.v4.1 transcript:Potri.003G150450.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150450.v4.1 MPGIPLVTRETSSSTIDQMCREDGRGGGGGLHLTEEEEIAAEESLSMYCKPVELYNILQRRAIGNPSYLQRCLRYKIQVKNKRRIQMTISMPVTLNGAVQSHSLFPLYILLARLVSKIGVLEYSAVYHFSRPCVLTNFAGVEGSTQAQTNFVLPEMNKLASEVKSGSLYVLLVSFAGAQSSMRGIDLTNGHLENVGGCCLLGKIPLDSLCNFWEKSPNLGLGQRVEVTSPVDMNACFLKLTCLTEDNCVLIQIPFNSETVNTSQLQVNVSAEEVGAKEKSSYHSYTCGDISSSSLSHIIRLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVKCASFKGLRYHLPSSHDLFNFEFWITEEFQAVNIFVKTDIWRSEIVADGIDPKQQTFFFCSKKPKRKRPKKLVQKAKNVLDKTLSRQQGAGELLDKIGGGKDLQTASMCSREYGEHNRSGADVVGVSGSAAHAYPDAECAQLVPGNNLAPPAMLQFAKTRKLSIERSDMRNRTLLHKRQFFHSHRAQPMAVEQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFTRLHGHNLVLAPALIWCWRLFMIKLWNHGLLDARTMNMCNMILEQFQKQDLDPMKS >Potri.008G179201.1.v4.1 pep chromosome:Pop_tri_v4:8:12374033:12375698:-1 gene:Potri.008G179201.v4.1 transcript:Potri.008G179201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179201.v4.1 MKGYACHPCVISYSTIILFYCRQYNFYKVYELLDVMKWKHKGAQQMLLLTPPSLFFLAKSQNFEEALQLAQRMKSAECKPDTVFYNSLMGELVDFRRPLIFFEEMPNTGVSRDPSTYNSMIAMLCHDGQVSKALSLLKQMATLAHCKLVGQAFYPLLKACFRIGDMNLLIQLMDDMVKKHQLSLDRSVYALLIHGLCRANKCEWACHLFKEMIGKNIVPKYQTCHLLLEEVKLKNMYDTAEKIEDFMKKL >Potri.003G095700.4.v4.1 pep chromosome:Pop_tri_v4:3:12156574:12157836:-1 gene:Potri.003G095700.v4.1 transcript:Potri.003G095700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095700.v4.1 MSNKQPAVKLDDEQISELREIFRSFDRNNDGSLTQLELGSLLRSLGLKPSPDQLETLIHKADTNSNGLIEFSEFVALVAPELLPEKSPYSEEQLKHLFKMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGRISFQEFSQAITSAAFDNSWV >Potri.002G258900.1.v4.1 pep chromosome:Pop_tri_v4:2:24719489:24722140:1 gene:Potri.002G258900.v4.1 transcript:Potri.002G258900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258900.v4.1 MAEVLEEKGPAGGKDDYTADGTVDLKGRPVLRSKTGRWRACSFIVGYEVFERMAFYGISANLVIYLTKKLHEGTVASSNSVTNWAGTAWILPILGAYIADAHLGRFWTFIIASGIYVAGMSLLTLAVSVPALRPPSCGQGIKEVECDKKASALQKGVFYGALYIIAVGTGGTKPNISTMGADQFDDFEPKERTQKLSFFNWWMFSIFFGTLFSNTFLVYIQDNVGWTLGYALPTLGLAVSIIVFLVGTPFYRHKLPAESPFTRMAQVLVAAVKKWKVPVPDDPKQLHELSLDEYIGSGKFRIDYTSSLGFLDKAAVESGSRSPWMLCPVTQVEETKQMIKMLPVWAATFIPSTILAQVHTLFIKQGTVLDRSMGPHFEIPPACLTAFVTISMLISLAIYDRYFVPMARHYTKRPRGITLLQRMGIGFVLHVIVMITACLAERKRLSVAREHNIIGKNEVVPLSIFILLPQFVLMGVADNFVEVAKIEFFYDQSPEGMKSLGTSYFTSSLGIGNFLSSFILSTVSKITKKHGHKGWILDNLNLSHLDYYYAVLAILSFLNFLLYLVAANFFVYNVDVDSKSDLQGKKKEASLVEAHQDNENLKVG >Potri.002G123100.1.v4.1 pep chromosome:Pop_tri_v4:2:9317065:9318878:1 gene:Potri.002G123100.v4.1 transcript:Potri.002G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123100.v4.1 MGQTTSTALITSRRDSNLSSQRSKSKFTVPIIPMQVEEQTEYVLVEAPDYISDLPDECLACIFQSLSSGDRKSCSLVCRRWLRIEGQSRHRLSLNAQSDLLPFVTSLFSRFDAVTKLALKCDRRSVSIGDEALVAISIRCRNLTRLKLRACREITDAGMAAFAKNCKALKKFSCGSCAFGAKGMNAMLDNCASLEDLSVKRLRGITDGATAEPIGPGLAAASLKTICLKELYNGQCFGPLIIGSKNLKTLKLFRCSGDWDKLLQVIADRVTGMVEIHLERLQVSDTGLVAISNCLNLEILHLVKTPECTDIGLVSIAERCRLLRKLHIDGWKAHRIGDDGLMAVAKYCLNLQELVLIGVNPTQISLELLASNCQNLERLALCGSDTVGDVEISCIAAKCVALKKLCIKSCPVSDHGLEALANGCPNLVKVKVKKCRAVTYECADLLRMKRGSLAVNLDSGEPEHQDASASDGGVQENVDEFHPVPNQMPLPSIAPSSTGRSTSFKSRFGLWSGKSFAACTFRGWSSGNSSSRG >Potri.002G123100.2.v4.1 pep chromosome:Pop_tri_v4:2:9317188:9318922:1 gene:Potri.002G123100.v4.1 transcript:Potri.002G123100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123100.v4.1 MQVEEQTEYVLVEAPDYISDLPDECLACIFQSLSSGDRKSCSLVCRRWLRIEGQSRHRLSLNAQSDLLPFVTSLFSRFDAVTKLALKCDRRSVSIGDEALVAISIRCRNLTRLKLRACREITDAGMAAFAKNCKALKKFSCGSCAFGAKGMNAMLDNCASLEDLSVKRLRGITDGATAEPIGPGLAAASLKTICLKELYNGQCFGPLIIGSKNLKTLKLFRCSGDWDKLLQVIADRVTGMVEIHLERLQVSDTGLVAISNCLNLEILHLVKTPECTDIGLVSIAERCRLLRKLHIDGWKAHRIGDDGLMAVAKYCLNLQELVLIGVNPTQISLELLASNCQNLERLALCGSDTVGDVEISCIAAKCVALKKLCIKSCPVSDHGLEALANGCPNLVKVKVKKCRAVTYECADLLRMKRGSLAVNLDSGEPEHQDASASDGGVQENVDEFHPVPNQMPLPSIAPSSTGRSTSFKSRFGLWSGKSFAACTFRGWSSGNSSSRG >Potri.012G025100.1.v4.1 pep chromosome:Pop_tri_v4:12:2439694:2443089:-1 gene:Potri.012G025100.v4.1 transcript:Potri.012G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G025100.v4.1 MGFSPLSLSQSLSFFLLLFHFHSTISSPLSSNYQSLSLLQFKQSFSIDSSASSEYCQYPFPKTESWKEGTDCCLWDGITCDLKTGHVTALDLSCSMLYGTLLPNNSLFSLHHLQKLDLSFNDFNSSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNDDVSLEPISFDKLVRNLTKLRALDLSGVNMSLVVPDSLMNLSSSLSSLILYSCGLQGKLPSSMGKFKHLQYLDLGGNNLTGSIPYDFDQLTELVSLRLSENFYLSPEPISFEKLVQNLTKLRDLALDYVNMSLVAPNSLTNLSSSLSSLSLGGCRLQGKFPGNIFLLPYLESLDLSYNEGLTGSFPSSNLSNVLSQLDLSNTRISVYLENDLISTLKSLEYMYLSNSNIIRSDLAPLGNLTHLIYLDLSINNLSGKIPSSLGNLVHLHSLLLGSNNFVGQVPDSLNSLVNLSYLDLSNNQLIGPIHSQLNTLSNLQSLYLSNNLFNGTIPSFLLALPSLQHLDLHNNNLIGNISELQHYSLVYLDLSNNHLHGTIPSSVFKQQNLEVLILASNSGLIGEISSSICKLRFLRVLDLSTSSFSGSMPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKDNSLEYLNLNGNELEGKISPSIINCTMLQVLDLGNNKIEDTFPCFLETLLELQILVLKSNKLQGFVKGPTAYNSFSKLRIFDISDNDFSGSLPTGYFNSLEAMMASDQNMIYMRATNYSSYVYSIEITWKGVEIEFPKIQSTIRILDLSNNNFTGGIPKVIGKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQFEGRIPSGEQFNTFTASSFEGNLGLCGFQVLKECYGDEAPSLPPSSFNEGDDSTLFGDGCGWKAVTMGYGCGFVFGVATGYFVLRTKKYLWFVLTIKSLMF >Potri.012G025100.2.v4.1 pep chromosome:Pop_tri_v4:12:2439875:2443089:-1 gene:Potri.012G025100.v4.1 transcript:Potri.012G025100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G025100.v4.1 MGFSPLSLSQSLSFFLLLFHFHSTISSPLSSNYQSLSLLQFKQSFSIDSSASSEYCQYPFPKTESWKEGTDCCLWDGITCDLKTGHVTALDLSCSMLYGTLLPNNSLFSLHHLQKLDLSFNDFNSSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNDDVSLEPISFDKLVRNLTKLRALDLSGVNMSLVVPDSLMNLSSSLSSLILYSCGLQGKLPSSMGKFKHLQYLDLGGNNLTGSIPYDFDQLTELVSLRLSENFYLSPEPISFEKLVQNLTKLRDLALDYVNMSLVAPNSLTNLSSSLSSLSLGGCRLQGKFPGNIFLLPYLESLDLSYNEGLTGSFPSSNLSNVLSQLDLSNTRISVYLENDLISTLKSLEYMYLSNSNIIRSDLAPLGNLTHLIYLDLSINNLSGKIPSSLGNLVHLHSLLLGSNNFVGQVPDSLNSLVNLSYLDLSNNQLIGPIHSQLNTLSNLQSLYLSNNLFNGTIPSFLLALPSLQHLDLHNNNLIGNISELQHYSLVYLDLSNNHLHGTIPSSVFKQQNLEVLILASNSGLIGEISSSICKLRFLRVLDLSTSSFSGSMPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKDNSLEYLNLNGNELEGKISPSIINCTMLQVLDLGNNKIEDTFPCFLETLLELQILVLKSNKLQGFVKGPTAYNSFSKLRIFDISDNDFSGSLPTGYFNSLEAMMASDQNMIYMRATNYSSYVYSIEITWKGVEIEFPKIQSTIRILDLSNNNFTGGIPKVIGKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQFEGRIPSGEQFNTFTASSFEGNLGLCGFQVLKECYGDEAPSLPPSSFNEGDDSTLFGDGCGWKAVTMGYGCGFVFGVATGYFVLRTKKYLWFLRMVEDKWNLEVNWALLL >Potri.007G039901.1.v4.1 pep chromosome:Pop_tri_v4:7:3271530:3272382:1 gene:Potri.007G039901.v4.1 transcript:Potri.007G039901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039901.v4.1 MEPPATMAIDRDYHVEEDEQEKAVNECCSCCYDCSESCFDYLCCFNLC >Potri.017G032400.1.v4.1 pep chromosome:Pop_tri_v4:17:2223481:2224307:1 gene:Potri.017G032400.v4.1 transcript:Potri.017G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032400.v4.1 MAGFSSNQLALLLSLVIAAMNAAGTVLGIYLIDHFGRKRLAISSLAGVIASLFILAGAFFGKSSGSSNELYGWIAVLGLALCIACFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAQTFLSIAEAVGTGSTFLMLAGTAVLAVVFVIMYVPETMGLAFVEVEQIGKERAWGSSYNTESLLDQGNDK >Potri.001G371850.1.v4.1 pep chromosome:Pop_tri_v4:1:38933505:38934452:-1 gene:Potri.001G371850.v4.1 transcript:Potri.001G371850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371850.v4.1 MRTIVVIRLADRSNCYPKGFKKDVLVPENELIFPADFSVLERKKEPMPAKLLLMLGHPFLTITGTRIDVLEETLTTDSTYSKQRGTQTMFFHAFILMCSIHLCWIYLIQV >Potri.001G371850.4.v4.1 pep chromosome:Pop_tri_v4:1:38933462:38934452:-1 gene:Potri.001G371850.v4.1 transcript:Potri.001G371850.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371850.v4.1 MRTIVVIRLADRSNCYPKGFKKDVLVPENELIFPADFSVLERKKEPMPAKLLLMLGHPFLTITGTRIDVLEETLTTDSTYSKQRGTQTMFFHAFILMCSIHLCWIYLIQV >Potri.011G165800.1.v4.1 pep chromosome:Pop_tri_v4:11:19014608:19017392:-1 gene:Potri.011G165800.v4.1 transcript:Potri.011G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165800.v4.1 MKTASPNGNSLLAPVMFLPFFFIACCTVPSSADKPSYYMPLDSFAVDCSPSVSPLDAGFPNDKKNEIDFSSVSKVYAVDPSSSEKPRISACIFYKQYNWSFSVSDGPKFIRLHFKLVTYSGLNISKALFSINVGPHTLITTSDSSYSKYSPNSDYAIREFCVNMDGQILNVTFTPSSKVFGAYAFINKIEIVSMPSKLYIQEDAPFSLVGHPSPYFMGNSTALEMMYRMNIGGHVISDLEDTCMFRRWTRDDDYFTSNDGNTSIVESEVEVNSSLLVPTYAAPLLVYTSARTILHTTENTYRATWLCPVDHGFYYLVRLHFCEISRTIKRGGQRVFSIYINNQTAEDHVDVFNWSHGTGIPIYRDYIVNFSRYGEGIEYLSVAIGGNNGSSAEYGRPILNGLEIFKLSDISNNLAGTHPFGIIVAPHPNLGNGAVNIYRVCIGLLYALTAIAWLGFFCSLFSKEQRESSKQDQSSGHCRIFTIAETKSATNNFADNLLIGNGGFGTVYKGSIDGGISSIAIKRANPSSHQGLKEFQTEISMLSRLRHSHLVSLVGYCMEEKEMILVYEYMAQGTLRDHLYKTQKPPLQWKQRLRICIGAARGLHYLHTGAKHTIIHRDIKSTNILLDEKWVPKVSDFGLSKVGPNNMTESKTHVSTIVKGSFGYLDPEYYRRQKLTEKSDVYSFGVVLFEVLCARPAVIPMGEIEEEEHEKVSLAEWALHCCQMGTLDQIIDPYLRGKIVPECFKTFTDIARKCLADRGSERPSMGDVLWNLELAMKQQEGAGQQEAGSVRKEVNRRKNDDLSKMIDGQRCSGFDISDPTPGVEFSEIMAPTGR >Potri.007G050500.1.v4.1 pep chromosome:Pop_tri_v4:7:4877249:4879652:1 gene:Potri.007G050500.v4.1 transcript:Potri.007G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050500.v4.1 MYSMADLAKSHSVNLATRINLTWTKIGHSLGCYPAISLLARLVAYVSILAFILIFVLIIVRYMTYSEEGNEVEIRATETDQLLPSKALSLLFSYGTCNKDLESGNCSSSSSDGKNGSSEELYDGKICVICYDEERNCFYVPCGHCATCYVCAQRIFNSENKVCPVCRRFIGKIRKLFAP >Potri.007G050500.3.v4.1 pep chromosome:Pop_tri_v4:7:4877249:4879652:1 gene:Potri.007G050500.v4.1 transcript:Potri.007G050500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050500.v4.1 MYSMADLAKSHSVNLATRINLTWTKIGHSLGCYPAISLLARLVAYVSILAFILIFVLIIVRYMTYSEEGNEVEIRATETDQLLPSKALSLLFSYGTCNKDLESGNCSSSSSDGKNGSSEELYDGKICVICYDEERNCFYVPCGHCATCYVCAQRIFNSENKVCPVCRRFIGKIRKLFAP >Potri.017G102200.2.v4.1 pep chromosome:Pop_tri_v4:17:11337627:11339557:-1 gene:Potri.017G102200.v4.1 transcript:Potri.017G102200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102200.v4.1 MVYKRFLVTVLELLYVMNAIWGSYAHDHQDWEMNKYELLGPEEIDVPAHKELNGFSSTASTRKMALGGRKMAVQKVTRREIEKEQGLHGGAPEDNSGNEKNALDKYLGGSNDQLNNIKQKDMNNLERKTLSARLGTLGTETVNLPKSDPELSQDSKALPTKTSLESRPPRTDMDQEPQGSSTLPKGEMQRLLDATKEIVNLMHKDYSGHARPGRKPPVNNKVPIH >Potri.017G102200.3.v4.1 pep chromosome:Pop_tri_v4:17:11337627:11339557:-1 gene:Potri.017G102200.v4.1 transcript:Potri.017G102200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102200.v4.1 MVYKRFLVTVLELLYVMNAIWGSYAHDHQDWEMNKYELLGPEEIDVPAHKELNGFSSTASTRKMALGGRKMAVQKVTRREIEKEQGLHGGAPEDNSGNEKNALDKYLGGSNDQLNNIKKDMNNLERKTLSARLGTLGTETVNLPKSDPELSQDSKALPTKTSLESRPPRTDMDQEPQGSSTLPKGEMQRLLDATKEIVNLMHKDYSGHARPGRKPPVNNKVPIH >Potri.017G116700.2.v4.1 pep chromosome:Pop_tri_v4:17:12371087:12377626:1 gene:Potri.017G116700.v4.1 transcript:Potri.017G116700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116700.v4.1 MASSALCLKFFSSYTPITSALNPTTKKHGNTTLNNAQITTPLFMFANNNNKELAVLTNPISQSNATTTVTVPTPPWIKGPLILQPHELLNLTNPKNKKPIKNDKIEKDDKALTAKESGVRGNKAMIQIVKSVERLQRDENLKDTQEISESGESLKQLGKERILSVFGDKRIVRSIEKLQKDQNLKETPVNSGGFDIGEGLKQLNGDGVLGFREKKLPWVREERVGNWRMKKEKVVSKAELSLDKELLERLRGEAAKMRTWVKVKKAGVTQSVVDEIRLTWRTSELAMIKFYMPLCRNMNRARDIVEMKTGGLVVWTRKDIHVVYRGCNYQWKKNFNTATIEESFPRNGGEEESISAGILMEADLNTQPINGSLFERETDRLLDGLGPRFVDWWMRKPLPVDADLLPEVVKGFRSPSRLCPPRMRSKLKDDELTYLRKLAQSLPTHFVLGRNRRLQGLAAAILKLWEKTIIAKIAVKWGVPNTNNEQMADELKSLTGGVLLLRNKFFIILYRGKDFLPGQVANVIVDREIALRKCQTNEEGARMKAIETSYMPGGPTNTSRCGTLYEFQEFQIKFQKTAKGDSEIQLEAYKEKLERELRNQEYRLRILKSKIEKPAKDLSKLNSAWVPSPRDADQGIMTEEERECFRKIGLKLRGSLVLGRRGVFEGVMEGLHQHWKHREVVKVITMQRVFSQVIHTATLLEAESDGILVSVDKLKEGHAIIIYRGKNYKRPLRLLKKNLLTKREALKRSLLIQRVGSLKYFANQRERVISDLKLKLVELHGSKEKHLKSDTL >Potri.017G116700.1.v4.1 pep chromosome:Pop_tri_v4:17:12371056:12377075:1 gene:Potri.017G116700.v4.1 transcript:Potri.017G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116700.v4.1 MASSALCLKFFSSYTPITSALNPTTKKHGNTTLNNAQITTPLFMFANNNNKELAVLTNPISQSNATTTVTVPTPPWIKGPLILQPHELLNLTNPKNKKPIKNDKIEKDDKALTAKESGVRGNKAMIQIVKSVERLQRDENLKDTQEISESGESLKQLGKERILSVFGDKRIVRSIEKLQKDQNLKETPVNSGGFDIGEGLKQLNGDGVLGFREKKLPWVREERVGNWRMKKEKVVSKAELSLDKELLERLRGEAAKMRTWVKVKKAGVTQSVVDEIRLTWRTSELAMIKFYMPLCRNMNRARDIVEMKTGGLVVWTRKDIHVVYRGCNYQWKKNFNTATIEESFPRNGGEEESISAGILMEADLNTQPINGSLFERETDRLLDGLGPRFVDWWMRKPLPVDADLLPEVVKGFRSPSRLCPPRMRSKLKDDELTYLRKLAQSLPTHFVLGRNRRLQGLAAAILKLWEKTIIAKIAVKWGVPNTNNEQMADELKSLTGGVLLLRNKFFIILYRGKDFLPGQVANVIVDREIALRKCQTNEEGARMKAIETSYMPGGPTNTSRCGTLYEFQEFQIKFQKTAKGDSEIQLEAYKEKLERELRNQEYRLRILKSKIEKPAKDLSKLNSAWVPSPRDADQGIMTEEERECFRKIGLKLRGSLVLGRRGVFEGVMEGLHQHWKHREVVKVITMQRVFSQVIHTATLLEAESDGILVSVDKLKEGHAIIIYRGKNYKRPLRLLKKNLLTKREALKRSLLIQRVGSLKYFANQRERVISDLKLKLVELHGSKEKHLKSDTL >Potri.010G207000.1.v4.1 pep chromosome:Pop_tri_v4:10:19730585:19732856:1 gene:Potri.010G207000.v4.1 transcript:Potri.010G207000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207000.v4.1 MRSPQPHRSGGDTQQHFQSTVSVQKLKRFNSLILVFRFAAFCFSLASAVFMLTNSRGSDSLHWYNFDAFRYVFAANAIVAIYSLFEMAASVWEISRNATLFPEICQVWFDFGHDQVFAYLLLSANTAGTELARTLKDTCTDNKAFCVQSDIAIVLGFAGFLFLGISSLFSGFRVVCFIINGSRFYV >Potri.008G149600.1.v4.1 pep chromosome:Pop_tri_v4:8:10207502:10208762:-1 gene:Potri.008G149600.v4.1 transcript:Potri.008G149600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149600.v4.1 MATMVCQGLQSCLESPIVESRTLRLRLSSPNPNFSQSLELALKPFLLNSDTKEVSDKSHYEETSHKSSFLHDKHTSSNPDLGGWSFLQALSTSPEGPKESMEKENIYVHPLFNRSSSVLSEKSLELCTENLGSESGSDIIESSIFSLSSSDSRVGNSPAREQQKSHRLLGAKKANSRSFPPPLTTMRGSKSLQVRPHREDGRLIIRAVEAPSRHTCLHAERKDGRLRLSFVKDSSSVFDLIGVASTEENKGNNKEDEIENDMDYDVDDCDVGEGNVASFEEEVGSDDSDIDTEPGTEEFQRPRRCKEGEVENKGLLNWEPFWVATL >Potri.008G073800.1.v4.1 pep chromosome:Pop_tri_v4:8:4579603:4583255:-1 gene:Potri.008G073800.v4.1 transcript:Potri.008G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073800.v4.1 MEGFDNIFAINHRLSLFFLGLLLLLASALSLANAETHNHDFVIQATPVKRLCKTQNSITVNGMFPGPTLEVNNGDTLVVNVVNKAQYNVTIHWHGVRQMRTGWADGPEFVTQCPIRPGGSYTYKFTIQGQEGTLWWHAHSSWLRATVYGALIVHPKEGSPYPFSKQPKRETAILLGEWWNANPIDVVREATRTGGAPNVSDAYTVNGQPGDLYNCSSQDTVIVPIDSGETNLLRVVNSALNQPLFFTVANHKFTVVGADASYVKPFTTSVLMLGPGQTTDVLISGDQTPSRYYMAARAYQSAQNAPFDNTTTTAILEYKSSACAAKNCSSNKPIMPPLPAYNDTATVTTFTTSFKSADKTLVPTDIDESLFFTIGLGLNPCPSNFNKSSQCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHQRIQGVFTTDFPANPPRKFDYTGNVSRSLFQPVAGTKLYNLKYGSRVQIVLQDTSIVTPENHPIHLHGYDFYIIAQGFGNYNPRTDPSKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLATAFLVENGVGQLQSIESPPEDLPLC >Potri.006G247400.1.v4.1 pep chromosome:Pop_tri_v4:6:24735272:24739197:1 gene:Potri.006G247400.v4.1 transcript:Potri.006G247400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247400.v4.1 MKSSLINFHKNTTLLYVFLTTRKPPHNRHFSAVSSAESWLAVQGNPLIKWPHNPNLAPSPSADQQNSSPTSNSNPNYHQNDFFTLCNILKDPKIQLGPSLRTALDRTGIEPELGLIQSVFDHFDSSPKLLHSVFLWAEKKPGFQSSAALFNSMVNFLGKAREFGSAWCLLLDRIGGNEGGDLVSSDTFAILIRRYTRAGMSEAAIRTFEYASSLDLIHNSEAGTSLFEILLDSLCKEGHVRVATDYFDRKVEKDPCWVPSVRIYNILLNGWFRSRKLKHAERLWLEMKKKNVKPSVVTYGTLVEGYSRMRRVERAIELVDEMKREGIKSNAIVYNPIIDALAEAGRFKEVLGMMEHFFLCEEGPTISTYNSLVKGYCKAGDLVGASKILKMMISREVFPTPTTYNYFFRHFSKCRKIEEGMNLYTKMIESGYTPDRLTYHLLLKMLCEEERLDLAVQISKEMRARGCDMDLATSTMFTHLLCKMQRFEEAFAEFEDMLRRGIVPQYLTFHRLNDEFRKQGLTELARRLCKLMSSVSHSKNLPNTYNVDRDASRHARRKSILQKAGVMSEILKTCNDPRELVKHRSSSQNPESSANQLIEDIKKRAKT >Potri.006G006800.1.v4.1 pep chromosome:Pop_tri_v4:6:478957:480624:1 gene:Potri.006G006800.v4.1 transcript:Potri.006G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006800.v4.1 MQNFIKFYLTFICLLLLLPTLALAECTCDAGGGDGKNKSEALKYKAVAIASILFAGAVGVCLPILGKTIPVLSPERNIFFIIKAFAAGVILSTGFIHVLPDAFDSLTSPCLGENPWGKFPFTGFVAMVSAIGTLMVDCLASSYYTRLHLSKAQPEESRDEEKAAVEAHEGHVHTHATHGHSHGLVDSSGSGPSQLIRHRVITQVLELGIVVHSVIIGVSLGASGSPKTIRPLVAALSFHQFFEGMGLGGCITQAKFKTKTIVIMALFFSLTTPVGIAIGIGISNVYNESSPNALIVEGIFNAASAGILIYMALVDLLAADFMHPKVQSNGALQFGVNVSLLLGAGCMSLVAKWA >Potri.001G054800.1.v4.1 pep chromosome:Pop_tri_v4:1:4129855:4133210:-1 gene:Potri.001G054800.v4.1 transcript:Potri.001G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054800.v4.1 MTNVFLYTILIAFLQRKTLFWQSIITSKLTVSEMMSLLANLSFLLFFLAIITHQTPWPITVLLLSLFSSFALSINYWLVPGGFAWRNHHDNQNPSKFRGPIGWPVFGTLPQMGSLAHRKLASMATSLGATKLMAFSLGTTRVIISSHPDTAREILWGSSFADRPVKESARLLMFERAIGFAPSGDYWRHLRRIAANHMFSPKKISGLEPLRQRLANEMLAEVSGEMKERRAVVLRGILQKSSLSNVLESVLGSDVHVKREELGFMAQEGFDLVSRFNLEDYFPLRFLDFYGVKRRCYKLAGKVNSLVGQIVRERKRAGDFRSRTDFLSALLSLPEQERLDESDMVPLLWEMIFRGTDTVAILLEWIMARMVLHPEIQAKAQQELEKFIGNHRRVQDSDIPNLPYLQAIVKEVLRLHPPGPLLSWARLAIHDVHVDKMSIPAGTTAMVNMWAITHDPSIWRDPWAFNPDRFMEEDVLIMGSDLRLAPFGSGRRVCPGKALGLATVHLWLARLLHEYKWLPAKPVDLSECLRLSLEMKRPLECHVVPWSKVADFDQKT >Potri.017G057500.1.v4.1 pep chromosome:Pop_tri_v4:17:4547453:4552861:-1 gene:Potri.017G057500.v4.1 transcript:Potri.017G057500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057500.v4.1 MAEGISSFWGPVTSAEWCEKNYVYSSYIAEFFNTISNIPGILLALAGLINAFRQRFEKRFSILHISNMILAIGSMLYHATLQHMQQQGDETPMVWEMLLYIYILYSPDWHYRSTMPTFLFFYGAAFAIVHALVRLRMGFKVHYVILCLLCVPRMYKYYIYTKDASAKRLAKLYLATIFMGSLCWLFDRLFCNNISRWYFNPEGHALWHVLMGFNSYFANTFLMFCRAQQLGWNPKVAHFMGFFPYVKIQKPKTQ >Potri.004G078866.2.v4.1 pep chromosome:Pop_tri_v4:4:6533089:6535478:1 gene:Potri.004G078866.v4.1 transcript:Potri.004G078866.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078866.v4.1 MCTKPFARTLEFLWQEGHTAHANPEEAENEALQMIDVYTKFAYEQAAIPVITGRKSKLGTLAGADRTYTIEAMMGDQKASQAGTSHSLGQSFSWAFGTQFMDEIGERQHVWQTSWAISTCFVGGIIMTHGDDSGLMLHQNLHQ >Potri.013G092500.1.v4.1 pep chromosome:Pop_tri_v4:13:9488960:9489385:-1 gene:Potri.013G092500.v4.1 transcript:Potri.013G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092500.v4.1 MGAGLKKDLRVSKVGPGGSLNAFFFLLIGVISKRLVMVRKKGGTSTLGERSTPESCMLRSGRMNRSRKGIY >Potri.012G111000.2.v4.1 pep chromosome:Pop_tri_v4:12:13094701:13095912:1 gene:Potri.012G111000.v4.1 transcript:Potri.012G111000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111000.v4.1 MAFRSMGYWKSIASRLSGTTTYATSTPPKLKSYAPTADQFGHHYHQESKHGKKVRGDFVPVYVAIGMIAVSISLGLYTAKQQVLYAPNVRVRKKTRETIPEVVDPDKVVDEADKFIKKSFFRKVAHVQEFDHYGLEYLPDPARKDAFARKPRAETLKDVGVDPKLQL >Potri.001G355800.1.v4.1 pep chromosome:Pop_tri_v4:1:36985562:36990768:-1 gene:Potri.001G355800.v4.1 transcript:Potri.001G355800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MOCS3 MENKMESKGGEAARILSEIETLKATRSDLDNRISALEAQLRHLNFEKNNVSCPSISTGFGHGLSPDMIYRYSRQLLLPSFGVQGQSNLLKSSILVVGAGGLGSPALLYLAACGVGQLGVVDHDVVELNNMHRQVIHTEAYIGQPKVKSAAAACRLINSTIQIVEHQEALRTSNALEILSQYDIIVDATDNAPSRYMISDCCVVLGKPLVSGAALGLEGQLTVYNHNRGPCYRCLFPTPPPTTACQRCADSGVLGVVPGIIGCLQALEAIKIASAVGEPLSERMLLFDALSARIRIVKIRGRSLQCEVCGENSAFTQQQFKDFDYEKFTQSPLSAAPLMLNLLPEDHRIHSRELKERIVKGEAHVLVDVRPAHHFKIVSLPNAMNIPLSSLESRLAEISSALKEEEKRKDSGFESGASLYVICRRGNDSQMAVQLLHKVGFTSARDIIGGLEAWARDVDPNIPTY >Potri.002G171600.2.v4.1 pep chromosome:Pop_tri_v4:2:13204050:13206582:-1 gene:Potri.002G171600.v4.1 transcript:Potri.002G171600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171600.v4.1 MRSKLFRALVVENLDKLLSLSVGFRRNHPLPAPPAVASVLRLKAIEFLEKWNSSFGIHYRQIRLGFDYLKNTLRFQFPNVQANAARVQQERREREMRTKEILVNKFEALKENLSSLKEEIRETVDEIGECLEIVKNKEENVVIGALDDDEDFEEFHPLELRQLRLDSLKEGEKVCENSENKVVFDALRELYKLLVTKHLVSVQEGISILIRVEVEDLRLRDSMLKEFIDIRNHLQSMKKKCVESGCVLPDITKHDKEEEEDFWEEGKVESTGLGSFSEPIKRSENSSAPSTSGEVKNEPSECSTEKSKRDGSPGREGGGTDSSSLRSKLMAEAPVIEWGSFLDTWGSNRDVLANHRGLELESHWGRVDHDAVIPAKKIAELNLQATLYKEDRVETQPCRAPLRKGGLCQRRDLRVCPFHGPIIPRDDEGNPINQDTSTSDVTLDLGTDLVEQLAKEAAKNVWDRDNEEARKRKMDKHSQQRARLAKIREHNQAVLRDAAVASNSGSSGIGDDVEASRRDSLLARNKMETLASMLHKKVTTKDRLSRRLLNTRASDAMTRQLTLGEDANYREAFPNQW >Potri.002G171600.1.v4.1 pep chromosome:Pop_tri_v4:2:13204152:13206878:-1 gene:Potri.002G171600.v4.1 transcript:Potri.002G171600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171600.v4.1 MEMEEDAGKVRALIEKATNSTAAHVDPRLLKGIKTVVRYSDSELRLAAQILMDFMKRDHSQVRYLTLLIIDELFMRSKLFRALVVENLDKLLSLSVGFRRNHPLPAPPAVASVLRLKAIEFLEKWNSSFGIHYRQIRLGFDYLKNTLRFQFPNVQANAARVQQERREREMRTKEILVNKFEALKENLSSLKEEIRETVDEIGECLEIVKNKEENVVIGALDDDEDFEEFHPLELRQLRLDSLKEGEKVCENSENKVVFDALRELYKLLVTKHLVSVQEGISILIRVEVEDLRLRDSMLKEFIDIRNHLQSMKKKCVESGCVLPDITKHDKEEEEDFWEEGKVESTGLGSFSEPIKRSENSSAPSTSGEVKNEPSECSTEKSKRDGSPGREGGGTDSSSLRSKLMAEAPVIEWGSFLDTWGSNRDVLANHRGLELESHWGRVDHDAVIPAKKIAELNLQATLYKEDRVETQPCRAPLRKGGLCQRRDLRVCPFHGPIIPRDDEGNPINQDTSTSDVTLDLGTDLVEQLAKEAAKNVWDRDNEEARKRKMDKHSQQRARLAKIREHNQAVLRDAAVASNSGSSGIGDDVEASRRDSLLARNKMETLASMLHKKVTTKDRLSRRLLNTRASDAMTRQLTLGEDANYREAFPNQW >Potri.005G203500.2.v4.1 pep chromosome:Pop_tri_v4:5:20862694:20881335:-1 gene:Potri.005G203500.v4.1 transcript:Potri.005G203500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203500.v4.1 MASSSGTKNEGGPPRSLSRRMMRAQTMVLDLADEDSPLVDSEAVPSSLALIAPILRVANEIEKENPRVAYLCRFHAFEKAHKMDRTSSGRGVRQFKTYLLHRLEKEDEETKPQLAKTDPGEIQLYYQKFYKENIKDAQHTKKPEEMAKILRIATVLYDVLQTVIPAGKVDNETEKYAEDVKRKRGQYEHYNILPLYAAGVKPAIMELPEIKAALHALRDVDNLPMPRIRLPHDSSSDMHKERVISVNDILDWLSSIFGFQRGNVANQREHLILLLANMDVRNRSLDDYTTLNSGTIQRLLETIFKNYRSWCNYLRCKSNLEFPTKSDNQQLKLIYIALYLLIWGEASNIRFMPECICYIFHNMAHEVYGILYSNGHPASGETYETTTPDDEAFLRNVITPIYQVLRKEARRNKGGKASHSKWRNYDDLNEYFWSDKCLKLNWPMDLRANFFVHSDELPPANERSNQGTGGTRKPKTNFVEVRTFWHLFRSFDRMWIFFILALQAMIIIAWSPSGSIVAFFDEDVFKSVLSIFVTSAFLNLLQASLDIILSLNAWRSLKVTQILRYLLKFVVAAVWAVVLPIGYSSSVLNPTGLVKFFSTWSMDWQNQSFYTYAVTIYLIPNVLAALLFVLPPLRRTMERSNWRIVTLIMWWAQPKLYVGRGMHEDMFSLLKYTLFWVLLIICKLAFSYYVEILPLVEPTKLIMEIHVNNYQWHEFFPQLPHNIGVVISIWTPILLVYFLDAQIWYAIFSTLVGGIQGAFSHLGEIRTLGMLRSRFESVPSAFSRHLVPSHEDAPRKPLDEESERKNVANFSHVWNEFIYSLRMEDLISNHEKDLLLVPYSSSDVSVFQWPPFLLASKIPIALDMAKDFKGKEDAELYRKMDEYMQSAVTECYEALRYIIFGLLEDDADKLIVRLIHYEVDMSIQQHIFLKEFRMSGLPMLSEYLERFLKVLLGDHDDDDIYKSQIINALQSIIEIITQDIMFHGHEILERAHLNTSSDQSSMKEQRFGKINLSLTNNNYWREKVLRLHLLLTTKESAINVPSNLDARRRITFFANSLFMNMPKAPKVRDMFSFSVLTPYYKEDVLYSDDELHKENEDGITILFYLKTIYRDEWKNFEERINDQKLMWSPKEKMEFTRQWVSYRGQTLARTVRGMMYYRQALELQCLLEFAGDDALLNGFRTLEPETDQKAYFDQAQALADLKFTYVVSCQVYGAQKKSTEQRDRSCYSNILNLMLANPSLRVAYIDERETAVNGKSQKLYYSVLVKGGDKYDEEIYRIKLPGPPTDIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEELKKSHRRKQNPTILGIREHIFTGSVSSLAWFMSNQETSFVTIGQRILASPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGYNTTLRGGYVTHHEYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYFTTVGFYFSSMITVLTVYLFLYGRLYMVMSGLEREILMDPSINESKALEQALAPQSIFQLGLLLVFPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKAHYYGRTILHGGSKYRATGRGFVVFHAKFAENYRLYSRSHFVKGLELFILLVVYEVYGKSYRSSSLYLFVTLSMWLLVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGIAPDKSWESWWGGEQEHLKHTNIRGWLLEIILAFRFFIYQYGIVYHLDIAHHSKSLLVYGLSWIVMLTTLLLLKMVSMGRRKFRTDFQLMFRILKALLFLGFVSVMTVLFVVCGLTIQDLFAGILAFMPTGWALLLIGQACRSLFMWIGFWDSIKELARAYEYIMGLLLFMPIAILSWFPFVSEFQTRLLFNQAFSRGLQISMILAGKKEGAVKKEGADAGKKEAS >Potri.011G068300.1.v4.1 pep chromosome:Pop_tri_v4:11:5970604:5976749:-1 gene:Potri.011G068300.v4.1 transcript:Potri.011G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068300.v4.1 MGKQGSPRSPRPDVVNVKDYHTRSSECLPGSDPILGRRLSGGDNNWKTKVALLHDLKHEYGKLGSCKAVYVGKRHLWFRKHVKSIVFMFALMGSLFLLDSFMVSLFDSINLQNSSPSRTSSDLKGGRTAYANEEEPPVQMYSRLQNLAYSALSEKELKQEPSNFWEEPWKASLWKPCADRKESEKSGQPDKSNGYIMVSANGGLNQQRVAICNAVALASLLNATLVLPRFLYSNVWKDPSQFGDIYQEEYFVNVMKDEVNLVKDLPSHLKSLDIEAIGSLITDADIVKEAKPIDYLTKVLPLLLQNGVVHLLGFGNRLGFDPLPSRLQKLRCKCNFHALKFVPKIQEAGSLLIRRIRKYDTAQRMLDKQLVGEFLPGSPSKKHDSERGPSKYLALHLRFEVDMIAYSLCDFGGGEKEKRELQAYRESHFPLLIERLKHSKPISSSELRNLGRCPLTPEEAALVLAGLGFKRGTYIYLASSRIYGGESRMHSFTSLYPNLVTKETLLTPSELAPFRNFSSQLAALDFIACATADVFAMTDSGSQLSSLVSGFRTYYGGGHAPTLRPNKKRLAAILSENSTIGWNSFEDRARKMIEEGQSVLIRGFGRSIYRQPRCPECMCKTQ >Potri.019G044900.2.v4.1 pep chromosome:Pop_tri_v4:19:6248188:6251432:-1 gene:Potri.019G044900.v4.1 transcript:Potri.019G044900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044900.v4.1 METQAHLTANSNRQNNSRPEANFPPSLWGCSFASFSFPQTEFERYTRQVDVLKENVKDMLMASKKDTVEHIEFINLLCRLGVSYHFDDEIENSLKEIFDDLPHLLEKHDFDLYTLSLTISSIETAWIQNALCFHKGKPGVFGYAIKPTLADHIRNALIRPFRKGVPRIEARKYISFYEEDESRMDTLLKFAKIDFNRVQLLHRQELSILSRWWNDLNFSEEFPYARDRIVEIYFWANAIHFEPQYAFSRMVVTKYTKFVSLLDDTYDAYASFEEIQHFTNAIERCCMDAIDQLPAEYLKVLYRALLNLFSETESDMGKARTILCLILREGGSYRVEAQWADEGHVPTFDEYVRNGLTTSAYGVITAVSFVEMDEVAGQEEYEWLKSNPKIMKAGKMICRLMNDIVGHEDEQKRGDCASGVECYMKQYDASEKKAIEEIQNMVANGWKDINEDCMRPTNAPMLLLQHIVNLVRVTDVMYGDDDDAYTIPLKFKRLCHFIIC >Potri.017G081100.1.v4.1 pep chromosome:Pop_tri_v4:17:9015167:9023117:1 gene:Potri.017G081100.v4.1 transcript:Potri.017G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081100.v4.1 MAETTEANRPSLPEAEKKKEQSLPFYQLFSFADKYDWLLMISGSIGAIIHGSSMPVFFLLFGEMVNGFGKNQSDLYKMTHEVSKYALYFVYLGIVVCLSSYAEIACWMYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYAQAGIIAEQAIAQVRTVYSFVGESKALSSYTDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQRPSITQDAVDGKCLAEVNGNIEFKSVTFSYPSRPDVIIFRDFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENIRYGKPDATMDEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDASSESIVQEALDRLMIGRTTVVVAHRLSTIRNVDTIAVIQQGLVVETGTHEELIAKAGAYASLIRFQEMVRNRDFANPSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPDGYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYRNPASMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQGKVLSLFCHELRVPQLHSLRRSQTSGLLFGLSQLALYGSEALILWYGAHLVSKGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILERSTKIDPDDSEAEPVESLRGEIELRHVDFAYPSRPDVPVFKDLNLRIRAGQSQALVGASGCGKSSVISLIERFYDPMAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKDGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDSIGVVQDGRIVEQGSHSELVSRPDGAYFRLLQLQHHHI >Potri.017G081100.3.v4.1 pep chromosome:Pop_tri_v4:17:9015281:9023062:1 gene:Potri.017G081100.v4.1 transcript:Potri.017G081100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081100.v4.1 MYTGERQVSTLRKKYLEAVLKQDVGFFDTDARTGDIVFSVSTDTLLVQDAISEKVGNFIHYLSTFLAGLVVGFVSAWRLALLSVAVIPGIAFAGGLYAYTLTGLTSKSRESYAQAGIIAEQAIAQVRTVYSFVGESKALSSYTDAIQNTLKLGYKAGMAKGLGLGCTYGIACMSWALVFWYAGVFIRNGQTDGGKAFTAIFSAIVGGMSLGQSFSNLGAFSKGKAAGYKLMEIIKQRPSITQDAVDGKCLAEVNGNIEFKSVTFSYPSRPDVIIFRDFSIFFPAGKTVAVVGGSGSGKSTVVSLIERFYDPNQGQVLLDNVDIKTLQLRWLRDQIGLVNQEPALFATTILENIRYGKPDATMDEVEAATSAANAHSFITLLPNGYNTQVGERGVQLSGGQKQRIAIARAMLKNPKILLLDEATSALDASSESIVQEALDRLMIGRTTVVVAHRLSTIRNVDTIAVIQQGLVVETGTHEELIAKAGAYASLIRFQEMVRNRDFANPSTRRSRSSRLSHSLSTKSLSLRSGSLRNLSYSYSTGADGRIEMISNAETDRKNPAPDGYFCRLLKLNAPEWPYSIMGAVGSVLSGFIGPTFAIVMSNMIEVFYYRNPASMERKTKEYVFIYIGAGLYAVVAYLIQHYFFSIMGENLTTRVRRMMLAAILRNEVGWFDEEEHNSSLVAARLATDAADVKSAIAERISVILQNMTSLLTSFIVAFIVEWRVSLLILATFPLLVLANFAQQLSLKGFAGDTAKAHAKTSMIAGEGVSNIRTVAAFNAQGKVLSLFCHELRVPQLHSLRRSQTSGLLFGLSQLALYGSEALILWYGAHLVSKGVSTFSKVIKVFVVLVITANSVAETVSLAPEIIRGGEAVGSVFSILERSTKIDPDDSEAEPVESLRGEIELRHVDFAYPSRPDVPVFKDLNLRIRAGQSQALVGASGCGKSSVISLIERFYDPMAGKVMIDGKDIRRLNLKSLRLKIGLVQQEPALFAASIFDNIAYGKDGATEAEVIEAARAANVHGFVSALPDGYKTPVGERGVQLSGGQKQRIAIARAVLKDPAILLLDEATSALDAESECVLQEALERLMRGRTTVLVAHRLSTIRGVDSIGVVQDGRIVEQGSHSELVSRPDGAYFRLLQLQHHHI >Potri.003G033000.1.v4.1 pep chromosome:Pop_tri_v4:3:3700154:3701450:1 gene:Potri.003G033000.v4.1 transcript:Potri.003G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033000.v4.1 MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFDTAEEAARAYDEAAILMSGRNAKTNFPVVANQTRNGQNSPSSSSALSAKLRKYCRSPYPSLTCLRLDAENCHIGVWQKRAGPRSVSNWIMTVELGKKDGRQAPEQKILISDTSDMAGQEGGSDDGPDDEERVALQMIEELLNR >Potri.006G122100.1.v4.1 pep chromosome:Pop_tri_v4:6:9709700:9715652:-1 gene:Potri.006G122100.v4.1 transcript:Potri.006G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122100.v4.1 MACQGDKLRKGSWQEEEDERLTASATLLGERKWDSIARLSGLMRSGKSCRMRWLNYLQPNLKRGHISAEEEQIIIQFHGQWGNKWARIARRLPGRTDNEIKNYWRTHMRKEIQTEEEGNFQPKVYSAKPELLYQNGDSTSAWKYSIRDYNSVDDNIGPAGSSLEHYELPSLTHMNSPYETRQYDWIPKLSNDQSQTEVHGEGNGLDWCFCDPAWNSEDSDISTLVSLGSLWDMN >Potri.018G129900.2.v4.1 pep chromosome:Pop_tri_v4:18:14052583:14057967:-1 gene:Potri.018G129900.v4.1 transcript:Potri.018G129900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129900.v4.1 MGSTNDGEAMSLGRKSIVEVRGAGGKLRKPPSRRPLATPYARPQDNQAQRRRWLSKLVDPAYKLISGGANLIFPSFFSKSESVDDDANREEDDVKSHEEVEEQNASGDDANLTVNQAAPRSTDVAGTSRAAEISKSGSDFEGHEHKKLGISGHNGLSEIEQVVKDQKFSRDEINRLMEILHSRAINLPNVEQEKEHSSMTARDVGRPAAAIECSRKSTEEKREDINTAIWGTSKIQEKRYSSVIPGVVGGLAIPFESSTKSTEEKHEDLNTAIWGNSTPLVKSTLPDDVGASPIDIARAYMENRASEVGFGSKSLISKDRGALVIGNLLGSKPFLPSPSPKPSTCWPGAMVQDQRGFVTPQSQRGRFGLHNFPRTPYSRTFYSKSKSQLQGDHDRPLNMTSSPFQQPQTPVYGQVNSRFNSVDDVHGSVGPIRRTRIRHKAVAETPSRGSASYHSTLNSPQVENFNAFEGLFSGVKKSTEKGGTSSPSKFLVADSEPQSSKVSVPSVPPHSRQMAQKILEHLERNLPTPKEKSAELRLATSWKKSLSSNNNNSLANGPDSLRKPDQADKTNSAQATEDRGNLLFKFAPREVTVQADSAAKDNTSASDMKAVPNAASSEFPSFQKKPPTHSSGNKPVLSSITVGKPDQRWALSSDKTTSGFTFPVSATSGVNSEPPTPTIMPSTSATVPSPPKDASSIPSYSFGSKKSDPALVFSFPSTSNASIPDNASSDLKFKFGSEKTTRLSFSSIGKDAICY >Potri.019G057700.2.v4.1 pep chromosome:Pop_tri_v4:19:9673505:9674083:1 gene:Potri.019G057700.v4.1 transcript:Potri.019G057700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057700.v4.1 MFAVHHRPHRLLLETESSTQSAANGSRTRNTYNSEANFDTNMVIILAALLCALICALGLNSIVRCAIRCSRRFTFETRDQTAAHMAATGLKKSALRRIPVIIYGVAGIHLIATDCAICLGEFIGGEKVRVLPNCNHGFHVRCIDTWLVSHSSCPTCRQSLLEQPASSDATEIEVGIRHPGNDVPIAGDHEAG >Potri.018G145570.1.v4.1 pep chromosome:Pop_tri_v4:18:15470919:15473409:1 gene:Potri.018G145570.v4.1 transcript:Potri.018G145570.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145570.v4.1 MEPEVFHILRFSFMHLKESELQQCFLCCALFPEDFRIRREDLIAYLIDEGVIKGLKSKEAEFNKGHSMLNKLERVCLLESAKEEFDDDRYVKMHDLVRDMAIQILEKNSQGMVKAGARLREVPGAEEWTENLTRVSLMHNQIEEIPSTHSPRCPSLSTLLLCDNSQLQFIADSFFEQLHGLKVLDLSFTKITKLPDSVFELVSLTVLLLIGCKMLRHVPSLEKLRALKRLDLSRTWALEKIPKAWNVYAT >Potri.018G064200.2.v4.1 pep chromosome:Pop_tri_v4:18:7658484:7661930:1 gene:Potri.018G064200.v4.1 transcript:Potri.018G064200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064200.v4.1 MESLEGKVQFTSLSKEKNTYLNVNEDCNDPFLWPVPKGVNNNNNNNQIVAKSSSIGDYVAFEFPQDYIGDSSYDSCASANFNAPPEVEVNLKNVFGGIVAILTGRNKDSSSSSVSVNKQQPGSDVSFLGSEKNGDTYLHSSVYIPSAPPEPNGFNYAVYKAVLEAEPPEWLPDSSTTVCMQCTSPFTVVTRGRHHCRFCGGVFCRTCTKGRCLLPAKFRERNPQRVCDACYDRLDPLQGVLICTISNAMQVAKHDVMDWTCMRGWLNLPVGLSMEHEIYKASNTLRSYWQVSTLNPEKSIPLAVMKGAKGLAILTVVKAGAVVAYKFGTGLVIARRSDGSWSAPSAICSIGLGWGAQIGGELMDYIIVLHDFKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLRAGDRGAGMCYTYSCSKGAFVGVSLEGNIVATRMDTNLKFYGDPYLTTADILLGTVDRPKAAEPLYAALRELYSSLLH >Potri.018G064200.1.v4.1 pep chromosome:Pop_tri_v4:18:7658454:7661935:1 gene:Potri.018G064200.v4.1 transcript:Potri.018G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064200.v4.1 MESLEGKVQFTSLSKEKNTYLNVNEDCNDPFLWPVPKGVNNNNNNNQIVAKSSSIGDYVAFEFPQDYIGDSSYDSCASANFNAPPEVEVNLKNVFGGIVAILTGRNKDSSSSSVSVNKQQPGSDVSFLGSEKNGDTYLHSSVYIPSAPPEPNGFNYAVYKAVLEAEPPEWLPDSSTTVCMQCTSPFTVVTRGRHHCRFCGGVFCRTCTKGRCLLPAKFRERNPQRVCDACYDRLDPLQGVLICTISNAMQVAKHDVMDWTCMRGWLNLPVGLSMEHEIYKASNTLRSYWQVSTLNPEKSIPLAVMKGAKGLAILTVVKAGAVVAYKFGTGLVIARRSDGSWSAPSAICSIGLGWGAQIGGELMDYIIVLHDFKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLRAGDRGAGMCYTYSCSKGAFVGVSLEGNIVATRMDTNLKFYGDPYLTTADILLGTVDRPKAAEPLYAALRELYSSLLH >Potri.010G077300.4.v4.1 pep chromosome:Pop_tri_v4:10:10433405:10436398:1 gene:Potri.010G077300.v4.1 transcript:Potri.010G077300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077300.v4.1 MFLKVQLPWNVIIPAENLDAKGLMLQRSIVVCLLADFAKKRATKDLGYYLAVSTLESIGEGKVRQHTGDVLFPVVFSGITFKIFKGEILEGVVHKVLKHGVLLRCGPIENIYLSSMKMLDYRYVPGENPVFLNDKTSKIEKDVVVRFVVLGTKWLEAEREFQALVSLEGDYLGPVS >Potri.010G077300.2.v4.1 pep chromosome:Pop_tri_v4:10:10433379:10436397:1 gene:Potri.010G077300.v4.1 transcript:Potri.010G077300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077300.v4.1 MFLKVQLPWNVIIPAENLDAKGLMLQRSIVVCLLADFAKKRATKDLGYYLAVSTLESIGEGKVRQHTGDVLFPVVFSGITFKIFKGEILEGVVHKVLKHGVLLRCGPIENIYLSSMKMLDYRYVPGENPVFLNDKTSKIEKDVVVRFVVLGTKWLEAEREFQALVSLEGDYLGPVS >Potri.006G171156.1.v4.1 pep chromosome:Pop_tri_v4:6:17357392:17359652:-1 gene:Potri.006G171156.v4.1 transcript:Potri.006G171156.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171156.v4.1 MDYFFKATPGNFKQAMEVAVKEVGKDFTCIMSDAFLWFAADFAQELHVTWVPLWTSSSRSLLLVLETDLVHQKMRSIINEPEDRTIDILPGFSELRGSDIPKELLLDVKESQFAAMLCKMGLALPQAAVVASNSFEELDPDAVILFKSRLPKFLNIGPFVLTSPDPFMSDPHGCLEWLDKQKQESVVYISFGSVITLPPQELAELVEALKECKLPFLWSFRGNPKEELPEEFLERTKEKGKVVSWTPQLKVLRHKAIGVFVTHSGWNSVLDSIAGCVPMICRPFFGDQTVNTRTIEAVWGTGLEIEGGRITKGGLMKALRLIMSTDEGNKMRKKLQHLQGLALDAVQSSGSSTKNFETLLEVVAK >Potri.002G261000.4.v4.1 pep chromosome:Pop_tri_v4:2:24904516:24906471:-1 gene:Potri.002G261000.v4.1 transcript:Potri.002G261000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G261000.v4.1 MFLWRNNTSHDQENDSLQHESKINELKATIGPLSGHSLQYCTDACFRRYLDARNWNVDKAKKMLEQTIKWRSTYKPEEICWHEVAVEGETGKIYRANFHDRQGRTVLILRPGMQNTKSIDNQMRHLTYLIENAVLNLPEGQEQMAWLIDFTGLSINNTPPIKSARDTVNILQNHYPERLAVAFLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKDNDSVELMRSYFDDENLPTEFGGRAILKYDHEEFSRLMIEDDAKAASFWGFDKKLQQAVNGHHGADGAPSPVS >Potri.002G261000.1.v4.1 pep chromosome:Pop_tri_v4:2:24904563:24907520:-1 gene:Potri.002G261000.v4.1 transcript:Potri.002G261000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G261000.v4.1 MFLWRNNTSHDQENDSLQHESKINELKATIGPLSGHSLQYCTDACFRRYLDARNWNVDKAKKMLEQTIKWRSTYKPEEICWHEVAVEGETGKIYRANFHDRQGRTVLILRPGMQNTKSIDNQMRHLTYLIENAVLNLPEGQEQMAWLIDFTGLSINNTPPIKSARDTVNILQNHYPERLAVAFLYNPPRIFEAFWKIVKYFLDAKTFQKVKFVYPKDNDSVELMRSYFDDENLPTEFGGRAILKYDHEEFSRLMIEDDAKAASFWGFDKKLQQAVNGHHGADGAPSPVS >Potri.001G001100.1.v4.1 pep chromosome:Pop_tri_v4:1:96009:100357:1 gene:Potri.001G001100.v4.1 transcript:Potri.001G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001100.v4.1 MECLGYTIVILLTMLKIVKGYGTGWTGAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNNGLSCGACYEIKCVNDNIWCLRGSITVTATNFCPPNNALPNNDGGWCNPPQQHFDLSQPVFQKIAQYKAGIVPVQYRRVACRKSGGIRFTINGHSYFNLVLITNVGGAGDVVAVSIKGTKSNWQAMSRNWGQNWQSNTYLNNQALSFKVTTSDGRTVVSNNVAPSNWAFGQTYTGRQF >Potri.001G389500.2.v4.1 pep chromosome:Pop_tri_v4:1:41214198:41217143:1 gene:Potri.001G389500.v4.1 transcript:Potri.001G389500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G389500.v4.1 MNDETRLLSSLQFGPEDGWLSSFYSCLIKKYDKKSVVEAKFREVEKESCNSNPSSASIKHTLKNDTDLLACKAEYFNQCGEYQKCFELTSDLLEKDPFHLKCTLVHIAAAMELGNSNELYLMASNLVKDYPQKALSWFAVGCYYYCIKKFDQSRRYFSKAYKSRWNLCTCLDWRWECLCCSRRG >Potri.019G003200.1.v4.1 pep chromosome:Pop_tri_v4:19:912147:913892:-1 gene:Potri.019G003200.v4.1 transcript:Potri.019G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003200.v4.1 MEMMEDVVIVGAGIAGLATAVALKRVGVRALVLERSEGLRATGAALTLFPNAWLALDALGVSHKLTPIYALTSMGYVTNVSAGDVQQVHARVANNGICTMNGCGASIVVIHLEDGTTIKSKVLIGCDGVNSVVARWLGLAEPVHSGRSAVRGLAVFPQGHGFKQEVHQFVDVGKRAGFIPLNDRELYWFLTYNGDNMAGDPEQIQKQVLEKHAEKFPSSYLDVVRHADLSTLTWAPLKFRQPWGIIFGKLSKGNVTVAGDAMHPMTPDLGQGGGSSLEDAVVLGRHVGNSVINNGGLIVPGDMAKAIDDYVKERRWRAAFLVTGSYLSGWVQLGGDKWWMKFLRDGVFYKYLFGRISGLVHTDCGKLPAMSFGDMDHSSKKD >Potri.010G019000.2.v4.1 pep chromosome:Pop_tri_v4:10:3023433:3027935:-1 gene:Potri.010G019000.v4.1 transcript:Potri.010G019000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019000.v4.1 MDSASNVLLASSPSAAAAVGMGSGGVRRNPTLICTPIMADSVDKMAILMAEAKSVGADLVEIRLDSLKDFNPNSDIKTLILHSPLPTLFTYRPMWEGGQYNGDEKPRLDALRLAMELGADYIDVELKVAHEFNELLRGNKPGKCKLIVSSHNYENTPSVEELGNLVARIQAAGADIVKIATTALDISDVARIFQITVHSQVPIIGLVMGERGLISRILCAKFGGYLTFGTLESGVVSAPGQPTIKDLLDLYNFRLIGPDTKVFGIIGKPVGHSKSPVLFNEAFKSVGINGVYVHLLVDDIARFLQTYSSTDFAGFSCTIPHKEDAAKCCDEVHPVAKSIGAVNCIIRRQNDGKLFGYNTDYVGAISAIEEGLRASQNVSNTVGSPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYERAKVLADIIGGDAITLADLENFHPEDGMILANTTSIGMQPKVDETPVSKNALRSYSLVFDAVYTPKITRLLREAEESGAKIVTGLEMFIGQAYEQFERFTELPAPKELFQKIMSKY >Potri.010G019000.4.v4.1 pep chromosome:Pop_tri_v4:10:3023481:3027904:-1 gene:Potri.010G019000.v4.1 transcript:Potri.010G019000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019000.v4.1 MDSASNVLLASSPSAAAAVGMGSGGVRRNPTLICTPIMADSVDKMAILMAEAKSVGADLVEIRLDSLKDFNPNSDIKTLILHSPLPTLFTYRPMWEGGQYNGDEKPRLDALRLAMELGADYIDVELKVAHEFNELLRGNKPGKCKLIVSSHNYENTPSVEELGNLVARIQAAGADIVKIATTALDISDVARIFQITVHSQVRSVPIIGLVMGERGLISRILCAKFGGYLTFGTLESGVVSAPGQPTIKDLLDLYNFRLIGPDTKVFGIIGKPVGHSKSPVLFNEAFKSVGINGVYVHLLVDDIARFLQTYSSTDFAGFSCTIPHKEDAAKCCDEVHPVAKSIGAVNCIIRRQNDGKLFGYNTDYVGAISAIEEGLRASQNVSNTVGSPLAGKLFVVIGAGGAGKALAYGAKEKGARVVIANRTYERAKVLADIIGGDAITLADLENFHPEDGMILANTTSIGMQPKVDETPVSKNALRSYSLVFDAVYTPKITRLLREAEESGAKIVTGLEMFIGQAYEQFERFTELPAPKELFQKIMSKY >Potri.009G105200.1.v4.1 pep chromosome:Pop_tri_v4:9:9190977:9194890:1 gene:Potri.009G105200.v4.1 transcript:Potri.009G105200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105200.v4.1 MADDPFFFCSKDSFLIKAPKKSPLALRMVVLVFAMVCGVYICSICLKQIGIRTNPGFLNVEVIERPCPEPNIEPWEIPYVHYPKPITYSRVECKCNPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSTITETLDKIYNLDWLSSASKNECAAAVGLKWMLNQGVMQHHEEIVEYFKTRGVSAIFLFRRNLLRRMVSILANSYDREVKPLNGTHKSHVHSPREAEILAKYKPLINTTLLISNLKQVEDTTAKALEYFKSTRHIILYYEDVVKNHTKLLDVQDFLKVPQRELKSRQVKIHKGSLSNYVENWDEVQKSLKGTHYENLLTGDYRK >Potri.008G123300.1.v4.1 pep chromosome:Pop_tri_v4:8:8012033:8023166:-1 gene:Potri.008G123300.v4.1 transcript:Potri.008G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123300.v4.1 MATPFSGIDRGGAGGVAVMAGPLNPIDPSTPSKTCLKSSALKSPILIFLFFHKAIRSELDGLHSAAIAFATTGGDIEPLLERYHFFRSIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVLFDQLFELLDLNMQSEEIYRRELASRTGALQTSIDQHMSKEEEQVFPLLIEKFSFEEQAFLVWQFLCSIPVNMMTEFLPWLSSSISTDEQQDMHMCLYKIIPEEKLLRQVIFSWMKGTKLSDTCKSCEDNSKACCQDSGAPTLECQSMKRHCACESSGVGKRKYMELNCDAIISTEFHPINEILLWHNAIKRELNDITEAARSIQLSGDFSNLSSFNKRLQFIAEVCIFHSIAEDKVIFPAVDAELSFAHEHAEEEIQFDKLRCLIESIQSAGAHTSLTDFYTKLCSQADQIMDSIQKHFQNEEVQVLPLARKHFSAKRQRELLYQSLRVMPLKLIECVLPWLVGSLSEEEARSFLQNMYMAAPASDSALVTLFSGWACKGRSKNVCLSSSATGFCPVRILAGTEEVTKQRFCPCNSRSSVGDEPSLVQADGADDSRRPGKCGNLVVREDNNACPSTEPVDTQKSSCSNNSCCVPGLGVNTNNLGISSLAVAKSLRSSFSPSAPSLNSSLFNWEMDTSPTNIGCSSRPIDNIFQFHKAIRKDLEYLDVESGKLNDCNETLLRQFTGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISSALSELTQLHEYMKNTNHADDLIGKCADSSDCNDSVRQYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDRHFSVEEQDKIVGQIIGTTGAEVLQSMLPWVTSALTQEEQNRMMDTWKQATKNTMFSEWLNEWWEGTSAATPLKTASESCISLGNDLHASLDQSDHTFKPGWKDIFRMNQNELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIASQQKSPQARTGDHSNGGDLLGCSPSFRDPDKQVFGCEHYKRNCKLRATCCGKLFACRFCHDKVSDHSMDRKATSEMMCMRCLRIQPVGPVCTSVSCGGFSMAKYYCSVCKFFDDERAVYHCPFCNLCRVGTGLGVDFFHCMKCNCCLAMKLADHKCREKGLETNCPICCDDMFTSSASVKALPCGHFMHSTCFQAYTCSHYICPICSKSLGDMSVYFGMLDALLASEELPEEYRDRCQDILCNDCDKKGTAPFHWLYHKCRLCGSYNTRVIKVDSTDSNCTTLNQ >Potri.008G123300.4.v4.1 pep chromosome:Pop_tri_v4:8:8012645:8019828:-1 gene:Potri.008G123300.v4.1 transcript:Potri.008G123300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123300.v4.1 MQSEEIYRRELASRTGALQTSIDQHMSKEEEQVFPLLIEKFSFEEQAFLVWQFLCSIPVNMMTEFLPWLSSSISTDEQQDMHMCLYKIIPEEKLLRQVIFSWMKGTKLSDTCKSCEDNSKACCQDSGAPTLECQSMKRHCACESSGVGKRKYMELNCDAIISTEFHPINEILLWHNAIKRELNDITEAARSIQLSGDFSNLSSFNKRLQFIAEVCIFHSIAEDKVIFPAVDAELSFAHEHAEEEIQFDKLRCLIESIQSAGAHTSLTDFYTKLCSQADQIMDSIQKHFQNEEVQVLPLARKHFSAKRQRELLYQSLRVMPLKLIECVLPWLVGSLSEEEARSFLQNMYMAAPASDSALVTLFSGWACKGRSKNVCLSSSATGFCPVRILAGTEEVTKQRFCPCNSRSSVGDEPSLVQADGADDSRRPGKCGNLVVREDNNACPSTEPVDTQKSSCSNNSCCVPGLGVNTNNLGISSLAVAKSLRSSFSPSAPSLNSSLFNWEMDTSPTNIGCSSRPIDNIFQFHKAIRKDLEYLDVESGKLNDCNETLLRQFTGRFRLLWGLYRAHSNAEDEIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISSALSELTQLHEYMKNTNHADDLIGKCADSSDCNDSVRQYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDRHFSVEEQDKIVGQIIGTTGAEVLQSMLPWVTSALTQEEQNRMMDTWKQATKNTMFSEWLNEWWEGTSAATPLKTASESCISLGNDLHASLDQSDHTFKPGWKDIFRMNQNELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIASQQKSPQARTGDHSNGGDLLGCSPSFRDPDKQVFGCEHYKRNCKLRATCCGKLFACRFCHDKVSDHSMDRKATSEMMCMRCLRIQPVGPVCTSVSCGGFSMAKYYCSVCKFFDDERAVYHCPFCNLCRVGTGLGVDFFHCMKCNCCLAMKLADHKCREKGLETNCPICCDDMFTSSASVKALPCGHFMHSTCFQAYTCSHYICPICSKSLGDMSVYFGMLDALLASEELPEEYRDRCQDILCNDCDKKGTAPFHWLYHKCRLCGSYNTRVIKVDSTDSNCTTLNQ >Potri.010G045800.1.v4.1 pep chromosome:Pop_tri_v4:10:7761199:7761943:-1 gene:Potri.010G045800.v4.1 transcript:Potri.010G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045800.v4.1 MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPIVNIDKLWSMVPQDVKDKATKDTVPMIDVTQFGYFKVLGKGVLPEKQPIVVKAKLISKIAEKKIKEAGGAVVLTA >Potri.019G133400.1.v4.1 pep chromosome:Pop_tri_v4:19:15511506:15515087:-1 gene:Potri.019G133400.v4.1 transcript:Potri.019G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133400.v4.1 MWSRLWSSSASGVLKRTLLAANTKSLSTSPRCVTRTIASSAYSFCFSGRGGAAAATPFCSKITSFCFSSMIDADANDNDSSPSSNNSNSDSNKNVLITCAEAKRLMRLVNVEALKMKLGMETKEIIPYSDLLEACQSMGIARSYDEAVTFARVLDDAGVVFLFGDKVYLHPDKVVYLIRRAVPLALTPEDDPAREELKILQGKKEEIDVLANKQVRRILYSGLCLALLQVGLFFRLTFWEFSWDVMEPIAFFGTTGSIVIGYAYFLITARDPTYQDLMKRLFLSRQRKLFKKLNFDVERYKELQLKLKSPPDATASIKKRAGMELELDDAIHKQ >Potri.009G035400.1.v4.1 pep chromosome:Pop_tri_v4:9:4536101:4538125:1 gene:Potri.009G035400.v4.1 transcript:Potri.009G035400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035400.v4.1 MEALLRSLHFLLALFISLKHLALAQEVNQFIYHGFTGANLSLNGIASIHPNGLLELTNTSKQQIGHAFFPFPFHFKSSFPNNSRSLSFSTNFVFAMVPETPTRGGHGIALAISPSTEFKGATATQYLGLFNSTTVGLSSNHLLAIELDAVRSPEFRDIGDNHVGIDVNNLTSIQSAPASYFSKHERENESLQLISGDPMQVWIDYDEMENLLNVTLAPVSIMKPQKPLLSTPINLSLVVLESMYVGFSSSTGSVSSHHYILGWSFNKSGQAQSLDTSKLPSLPPERNSTNKPYLRIMIPLITVSVLLIASFATMYIMRKRYEEVHEDWEQQYGPQRFRYKDLYKATKGFKVKGLLGYGGFGRVYRGELRSSKVEIAVKKITHDSNQGMKEFVAEIATMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLFCKVKPNLNWAQRYQIIRGVASALLYLHEEWEQVVLHRDVKASNVLLDADLNGRLGDFGLAKFHDHGSTPQTTNVVGTVGYLAPEITRTGKSTTCSDVFSFGTFMLEVACGRKPVESERPPEEVVLVDWVLECWKRGAILGTVDPRFEGNHVEEEMELVLKLGLLCTHRTPAARPSMRQAMQYLDGNATLPDLPLHGAGIGLVPVSNEASTEHVLTICISSDEISSYSLSDSESILSGR >Potri.001G179700.1.v4.1 pep chromosome:Pop_tri_v4:1:15744715:15747815:-1 gene:Potri.001G179700.v4.1 transcript:Potri.001G179700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179700.v4.1 MADSDTETNSQPDTFSLRHLRSSFFNIPGFFVGCGYRGSQDFDSVRSPQSPLDFSFFTNLSNPFSNRSPRLPCQNVQKKWDCNKVGLGIVHLLVDETKPTGEVLDSDKRKTIIFAPQVKTFSSVKSNSLPRNYTISLSRTKTSSPRLGKSDGAFGSEGVLLETKPFESSSVIGLATSKPNLSSQKFYSENITTSTRSFPLEICDCSQTNKSLVIKPNSLPITVGSGQGYVGSLSAREIELSEDYTCIISHGPNPKTTHVFGDYILECHSNELSNFDKTENPGIKLPQEAKHPKHPTPFPPDEFFSFCYSCKKKLEKAEDIYMYRGEKVFCSFDCHSEETFAERETEKTCNKSSKSSPGSSYHEDVFLMVMPIAE >Potri.001G179700.2.v4.1 pep chromosome:Pop_tri_v4:1:15744763:15747815:-1 gene:Potri.001G179700.v4.1 transcript:Potri.001G179700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179700.v4.1 MADSDTETNSQPDTFSLRHLRSSFFNIPGFFVGCGYRGSQDFDSVRSPQSPLDFSFFTNLSNPFSNRSPRLPCQNVQKKWDCNKVGLGIVHLLVDETKPTGEVLDSDKRKTIIFAPQVKTFSSVKSNSLPRNYTISLSRTKTSSPRLGKSDGAFGSEGVLLETKPFESSSVIGLATSKPNLSSQKFYSENITTSTRSFPLEICDCSQTNKSLVIKPNSLPITVGSGQGYVGSLSAREIELSEDYTCIISHGPNPKTTHVFGDYILECHSNELSNFDKTENPGIKLPQEAKHPKHPTPFPPDEFFSFCYSCKKKLEKAEDIYMYRGEKVFCSFDCHSEETFAERETEKTCNKSSKSSPGSSYHEDVFLMVMPIAE >Potri.001G179700.3.v4.1 pep chromosome:Pop_tri_v4:1:15744767:15747815:-1 gene:Potri.001G179700.v4.1 transcript:Potri.001G179700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179700.v4.1 MADSDTETNSQPDTFSLRHLRSSFFNIPGFFVGCGYRGSQDFDSVRSPQSPLDFSFFTNLSNPFSNRSPRLPCQNVQKKWDCNKVGLGIVHLLVDETKPTGEVLDSDKRKTIIFAPQVKTFSSVKSNSLPRNYTISLSRTKTSSPRLGKSDGAFGSEGVLLETKPFESSSVIGLATSKPNLSSQKFYSENITTSTRSFPLEICDCSQTNKSLVIKPNSLPITVGSGQGYVGSLSAREIELSEDYTCIISHGPNPKTTHVFGDYILECHSNELSNFDKTENPGIKLPQEAKHPKHPTPFPPDEFFSFCYSCKKKLEKAEDIYMYRGEKVFCSFDCHSEETFAERETEKTCNKSSKSSPGSSYHEDVFLMVMPIAE >Potri.011G027100.2.v4.1 pep chromosome:Pop_tri_v4:11:2007253:2008330:-1 gene:Potri.011G027100.v4.1 transcript:Potri.011G027100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027100.v4.1 MCLALLLLLFGCFFGCRNEENDFLYRDFWLSHAQNGGPLCIARGGRFYVAFSRDQLQNVYVQQKMRKQSQTVWDFLLKGACISVASSATKMPSDVMSAMVEIISEEAGFSRETAVLQLRRLEKVGRYHVEAWS >Potri.010G057000.3.v4.1 pep chromosome:Pop_tri_v4:10:8782103:8784876:1 gene:Potri.010G057000.v4.1 transcript:Potri.010G057000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057000.v4.1 MENQPATLIDPKSGFCSKTNTYHSLRPHLELPPITTPVSATEYAISLLLYSSPSPPQTTALLDAVTGRRISFPELIHFTETLASSLLNRFRLKKGDTAFILSPNSIHIPILYLSLFSLGVVISPSNPLSSEQEILHQTNLSKPVIAFVTSQTAHKIPYSVKKTILLDSPEFESLMTSQTQGTVNGLERVRVYQSDPAAILYSSGTTGRFKGVLLTHRNFISMLAATIATRGVKNKITAVTLCTVPYFHAYGFVYCLRLAAMGNTLVSMGRFDLSAMLSAIQDYRVSHVAVAPPVVVAMVKNVGAMDGYDLSSLEVVACGGAPLRKSVLELFKERFPNVHIAQGYGLTETTARIFATVGPKESEVIGATGKLISNCQAKIVDPDTGVSLPPFSPGELWVRGDTIMKGYIGDDKATAATLDSGGWLRTGDLCYIDNEGFLFFVDRIKELIKCKGYQVAPAELEHLLQSNPDIIEAAVIPIPDEEAGQVPVAFVVRQNGSIIDESKIKDFVARQVAPYKRLRRVMFIESLPRNATGKVPKKELINLALSNATSKL >Potri.010G057000.1.v4.1 pep chromosome:Pop_tri_v4:10:8782298:8786678:1 gene:Potri.010G057000.v4.1 transcript:Potri.010G057000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057000.v4.1 MENQPATLIDPKSGFCSKTNTYHSLRPHLELPPITTPVSATEYAISLLLYSSPSPPQTTALLDAVTGRRISFPELIHFTETLASSLLNRFRLKKGDTAFILSPNSIHIPILYLSLFSLGVVISPSNPLSSEQEILHQTNLSKPVIAFVTSQTAHKIPYSVKKTILLDSPEFESLMTSQTQGTVNGLERVRVYQSDPAAILYSSGTTGRFKGVLLTHRNFISMLAATIATRGVKNKITAVTLCTVPYFHAYGFVYCLRLAAMGNTLVSMGRFDLSAMLSAIQDYRVSHVAVAPPVVVAMVKNVGAMDGYDLSSLEVVACGGAPLRKSVLELFKERFPNVHIAQGYGLTETTARIFATVGPKESEVIGATGKLISNCQAKIVDPDTGVSLPPFSPGELWVRGDTIMKGYIGDDKATAATLDSGGWLRTGDLCYIDNEGFLFFVDRIKELIKCKGYQVAPAELEHLLQSNPDIIEAAVIPIPDEEAGQVPVAFVVRQNGSIIDESKIKDFVARQVAPYKRLRRVMFIESLPRNATGKVPKKELINLALSNATSKL >Potri.006G089150.1.v4.1 pep chromosome:Pop_tri_v4:6:6779237:6781833:-1 gene:Potri.006G089150.v4.1 transcript:Potri.006G089150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089150.v4.1 MSSPTPSIQDPFKAWKFLVLPTLKVSDVFSLFLKATIAICTFVSISLVFYSFLNQSQWQPCPECHKSLISDHRKITNGNVSGDFYEKTNISHILFGIGGSAKTWNKRRHYTELWWMPKITRGYVWLDQKPPENRTWPETSPEYKVSADTSRFKYTCSYGSRSALRIARIVKESFELGEENVRWFVMGDDDTVFFIENLVMVLAKYDHNQMYYIGGNSESVEQDVIHSYTMAYGGGGFAISYPLAKELVRVLDGCIDRYASFYGSDQKIQGCMSEIGVPLTKELGFHQVDIRGDPYGLLAAHPLAPLVSLHHLDYVQSIFPKLNRIDSVKKLISSYKMDPGRALQYSFCYDLTRNWSVSASWGYTIQIHPSLMTAKQLESAFRTFQTWRSWSNGPFTFNTRPMSQHPCLRPVVYFLDRVERVGDGTLTTYKRSLQEFGQVCDLPEYAPVLAVKLVNVTTSTSLKPDIWNLAPRRQCCEVIKGEDGVNSVVQLNIRGCNQFESVTPP >Potri.006G089150.2.v4.1 pep chromosome:Pop_tri_v4:6:6779237:6781836:-1 gene:Potri.006G089150.v4.1 transcript:Potri.006G089150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089150.v4.1 MSSPTPSIQDPFKAWKFLVLPTLKVSDVFSLFLKATIAICTFVSISLVFYSFLNQSQWQPCPECHKSLISDHRKITNGNVSGDFYEKTNISHILFGIGGSAKTWNKRRHYTELWWMPKITRGYVWLDQKPPENRTWPETSPEYKVSADTSRFKYTCSYGSRSALRIARIVKESFELGEENVRWFVMGDDDTVFFIENLVMVLAKYDHNQMYYIGGNSESVEQDVIHSYTMAYGGGGFAISYPLAKELVRVLDGCIDRYASFYGSDQKIQGCMSEIGVPLTKELGFHQVDIRGDPYGLLAAHPLAPLVSLHHLDYVQSIFPKLNRIDSVKNILGLHHTDTSFFDDGKAVGIRVQNVSDVEELEQWTVHI >Potri.004G065400.1.v4.1 pep chromosome:Pop_tri_v4:4:5616018:5620223:1 gene:Potri.004G065400.v4.1 transcript:Potri.004G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065400.v4.1 MVSRNVFILHTFIFCSVLLTAAQSAEPSLEAEVEALKAFKNAIKHDPSGALADWSEASHHCNWTGVACDHSLNQVIEISLGGMQLQGEISPFIGNISGLQVLDLTSNSFTGHIPPQLGLCSQLIELVLYDNSFSGPIPVELGNLKNLQSLDLGGNYLNGSIPESLCDCTSLLQFGVIFNNLTGTIPEKIGNLVNLQLFVAYGNNLIGSIPVSIGRLQALQALDLSQNHLFGMIPREIGNLSNLEFLVLFENSLVGNIPSELGRCEKLVELDLYINQLSGVIPPELGNLIYLEKLRLHKNRLNSTIPLSLFQLKSLTNLGLSNNMLTGRIAPEVGSLRSLLVLTLHSNNFTGEIPASITNLTNLTYLSLGSNFLTGEIPSNIGMLYNLKNLSLPANLLEGSIPTTITNCTQLLYIDLAFNRLTGKLPQGLGQLYNLTRLSLGPNQMSGEIPEDLYNCSNLIHLSLAENNFSGMLKPGIGKLYNLQILKYGFNSLEGPIPPEIGNLTQLFFLVLSGNSFSGHIPPELSKLTLLQGLGLNSNALEGPIPENIFELTRLTVLRLELNRFTGPISTSISKLEMLSALDLHGNVLNGSIPTSMEHLIRLMSLDLSHNHLTGSVPGSVMAKMKSMQIFLNLSYNLLDGNIPQELGMLEAVQAIDLSNNNLSGIIPKTLAGCRNLLSLDLSGNKLSGSIPAEALVQMSMLSLMNLSRNDLNGQIPEKLAELKHLSALDLSRNQLEGIIPYSFGNLSSLKHLNLSFNHLEGRVPESGLFKNISSSSLVGNPALCGTKSLKSCSKKNSHTFSKKTVFIFLAIGVVSIFLVLSVVIPLFLQRAKKHKTTSTENMEPEFTSALKLIRYDRNEIENATSFFSEENIIGASSLSTVYKGQLEDGKTIAVKQLNFQKFSAESDKCFYREIKTLSQLRHRNLVKVLGYAWESAKLKVLVLEYMQNGSLESIIHNPQVDQSWWTLYERINVCVSIASALEYLHSGYDFPIVHCDLKPSNVLLDGDWVAHVSDFGTARILGVHLQDGNSLSSASAFEGTIGYMAPEFAYMRRVTTKVDVFSFGIVVMEVLMKRRPTGLTDKDGLPISLRQLVERALANGIDGLLQVLDPVITKNLTNEEEALEQLFQIAFSCTNPNPEDRPNMNEVLSCLQKISAR >Potri.014G163400.1.v4.1 pep chromosome:Pop_tri_v4:14:11770201:11776542:-1 gene:Potri.014G163400.v4.1 transcript:Potri.014G163400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163400.v4.1 MYQTHSQREFAIEFQPQIHILRPSIHSRRANIVVKFQDLYGFTVEGNVDDVNILNEVREKVRQQGRVWWALEASKGANWYLQPQVSSLTEGIALKSSLKLSNLTNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYSDLTKAVEGKVTAATKQIDHDLPRTFPGHPWLDTPEGHAALRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVSDCYTNNLSGCHVEQRVFQDLLVKKCPRIATHLEELEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMKEEELLQTHHVGDVINILQKTTHHLFDPDELLTVAFDKIGSMTTNTISKERKKQEPAVMAELDQRLRRLNSIKMDDEK >Potri.010G065100.1.v4.1 pep chromosome:Pop_tri_v4:10:9379577:9385774:1 gene:Potri.010G065100.v4.1 transcript:Potri.010G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065100.v4.1 MASSTISPNSTFFITKKDPGLSAFSSSSPSPFSIPKCKKSISKKIVSVMAPQQSERRPATTGSVKTALTMTEKIFARASEKPQLIPGENVWVNVDILMTHDVCGPGSIGIFKKEFGQDAKVWDREKVVIIPDHYIFTKDERANRNVDILRDFCNEQNIKYFYDIKDLGNFKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLRFVMDGEMPDYLQAKDLILQIIGEISVAGATYKSMEFVGSTVESLTMEERMTLCNMVVEAGGKNGVVPADSTTFKYLEDKTTLPYEPVYSDAQARFLSEYRFDISKLEPLVAKPHSPDNRALARECRDVKIDRVYIGSCTGGKTEDFMAVAKVFLASGKKVKVPTFLVPATQKVWMDVYTLPVPGSGGKTCAQIFEEAGCDTPASPSCGACLGGPKDTHARMNEPMVCVSTTNRNFPGRMGHKEGQIYLASPYTAAASALTGFVTDPREFLQ >Potri.019G019700.2.v4.1 pep chromosome:Pop_tri_v4:19:3169608:3174016:1 gene:Potri.019G019700.v4.1 transcript:Potri.019G019700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019700.v4.1 MEDFSKYAHSPAHLAVACRDYATLKGIISTLPRLAKAGEVSTEEESLAAEQQADAVSAVIDRRDVPGRETPLHLAVRLRDPIAAEILMAAGADWSLQNENGWSALQEAVCTREESIAIVIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLAPGSLVVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSKTNMYRPGIDVTQAELIPNLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDEEERLGNAAENDEFDDVLTAEERKQLESALRMGNSDGLGDDEEPGVVDSQENGSGGVYENGESNGAVKEKKSWFGWKNKGSKNTNDDPEDSKILKKFSNLAPEGGAQKSDDHQKSSESAREDTGDARKGKDKSSKKKKKKGPSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTVRVLITFTKFEELQPSEEFSTPLSSPAHFQDAKSKESEGASSWISWMRGSRGGQSSDSDSHRYKDEIDPFLIPSDYTWVDANAKKRRMKAKKARNKKHRRGYPASQAAARGEDGQAHHLSEDAEE >Potri.019G019700.3.v4.1 pep chromosome:Pop_tri_v4:19:3169110:3173989:1 gene:Potri.019G019700.v4.1 transcript:Potri.019G019700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019700.v4.1 MEDFSKYAHSPAHLAVACRDYATLKGIISTLPRLAKAGEVSTEEESLAAEQQADAVSAVIDRRDVPGRETPLHLAVRLRDPIAAEILMAAGADWSLQNENGWSALQEAVCTREESIAIVIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLAPGSLVVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSKTNMYRPGIDVTQAELIPNLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDEEERLGNAAENDEFDDVLTAEERKQLESALRMGNSDGLGDDEEPGVVDSQENGSGGVYENGESNGAVKEKKSWFGWKNKGSKNTNDDPEDSKILKKFSNLAPEGGAQKSDDHQKSSESAREDTGDARKGKDKSSKKKKKKGPSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTVRVLITFTKFEELQPSEEFSTPLSSPAHFQDAKSKESEGASSWISWMRGSRGGQSSDSDSHRYKDEIDPFLIPSDYTWVDANAKKRRMKAKKARNKKHRRGYPASQAAARGEDGQAHHLSEDAEE >Potri.019G019700.1.v4.1 pep chromosome:Pop_tri_v4:19:3169124:3174141:1 gene:Potri.019G019700.v4.1 transcript:Potri.019G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019700.v4.1 MEDFSKYAHSPAHLAVACRDYATLKGIISTLPRLAKAGEVSTEEESLAAEQQADAVSAVIDRRDVPGRETPLHLAVRLRDPIAAEILMAAGADWSLQNENGWSALQEAVCTREESIAIVIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLAPGSLVVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSKTNMYRPGIDVTQAELIPNLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDEEERLGNAAENDEFDDVLTAEERKQLESALRMGNSDGLGDDEEPGVVDSQENGSGGVYENGESNGAVKEKKSWFGWKNKGSKNTNDDPEDSKILKKFSNLAPEGGAQKSDDHQKSSESAREDTGDARKGKDKSSKKKKKKGPSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTVRVLITFTKFEELQPSEEFSTPLSSPAHFQDAKSKESEGASSWISWMRGSRGGQSSDSDSHRYKDEIDPFLIPSDYTWVDANAKKRRMKAKKARNKKHRRGYPASQAAARGEDGQAHHLSEDAEE >Potri.019G019700.4.v4.1 pep chromosome:Pop_tri_v4:19:3170348:3175454:1 gene:Potri.019G019700.v4.1 transcript:Potri.019G019700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019700.v4.1 MAAGADWSLQNENGWSALQEAVCTREESIAIVIARHYQPLAWAKWCRRLPRIVASAARIRDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLAPGSLVVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSKTNMYRPGIDVTQAELIPNLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDEEERLGNAAENDEFDDVLTAEERKQLESALRMGNSDGLGDDEEPGVVDSQENGSGGVYENGESNGAVKEKKSWFGWKNKGSKNTNDDPEDSKILKKFSNLAPEGGAQKSDDHQKSSESAREDTGDARKGKDKSSKKKKKKGPSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTVRVLITFTKFEELQPSEEFSTPLSSPAHFQDAKSKESEGASSWISWMRGSRGGQSSDSDSHRYKDEIDPFLIPSDYTWVDANAKKRRMKAKKARNKKHRRGYPASQAAARGEDGQAHHLSEDAEE >Potri.017G099700.1.v4.1 pep chromosome:Pop_tri_v4:17:11141171:11151561:1 gene:Potri.017G099700.v4.1 transcript:Potri.017G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099700.v4.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCEAEVALIIFSNRGKLFEFCSTSNMLKTLERYQKCSYGAEEVNKPAKELESSYREYLKVKAKFETLQRTQRNLLGEDLGPLNTKELEQLERHLESSLKQVRSTKTQYMLDQLGDLQNKEHMLLEANRALTIKLDEISARNNLRPSWEGDDQQSMSYGHQHAQSQGLFQHLECNPTLQIGYNSVGSDQIAATHAAQQVHGFIPGWML >Potri.017G099700.2.v4.1 pep chromosome:Pop_tri_v4:17:11141171:11151561:1 gene:Potri.017G099700.v4.1 transcript:Potri.017G099700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099700.v4.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCEAEVALIIFSNRGKLFEFCSTSNMLKTLERYQKCSYGAEEVNKPAKELESSYREYLKVKAKFETLQRTQRNLLGEDLGPLNTKELEQLERHLESSLKQVRSTKTQYMLDQLGDLQNKEHMLLEANRALTIKLDEISARNNLRPSWEGDDQQSMSYGHQHAQSQGLFQHLECNPTLQIGYNSVGSDQIAATHAAQQVHGFIPGWML >Potri.004G232450.1.v4.1 pep chromosome:Pop_tri_v4:4:23775907:23777126:1 gene:Potri.004G232450.v4.1 transcript:Potri.004G232450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232450.v4.1 MDHAENLTSVRIRKTVQKSIPVDYMDLKCTQASKRQQQNPNSTPWIRACSANQKLGAFIFRIC >Potri.004G232450.2.v4.1 pep chromosome:Pop_tri_v4:4:23775907:23777126:1 gene:Potri.004G232450.v4.1 transcript:Potri.004G232450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232450.v4.1 MDHAENLTSVRIRKTVQKSIPVDYMDLKCTQASKRQQQNPNSTPWIRLQFLGQHNVCVFLQA >Potri.005G168400.1.v4.1 pep chromosome:Pop_tri_v4:5:16953500:16960095:1 gene:Potri.005G168400.v4.1 transcript:Potri.005G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168400.v4.1 MSPDPFSYKTHFKNKYNSFHLFSSYQQIHRMKLLNLVIFLIFHITSLHLCVESTDSSHPQDPYSCASASQPPYSCDSSDPSTKTYDFCKTTLPISRRAEDLVSRLTFEEKATQLVDTSPAIPRLGIPAYEWWSEGLHGIGFLTRVQQGISFFNRTIQHATSFPQVILTAASFDAHIWYRIGQATGKEARALYNAGQVTGLGFWAPNVNIFRDPRWGRGQETPGEDPLVVGKYGASFVRGVQGDSFEGESTLGDHLQASACCKHYTAHDLDNWNGVNRFRFNAIVTLQDMADTYQPPFRSCVQEGKASGIMCSYNEINGIPSCAHYDLLTKTLRQEWGFYGYITSDCDAVNVLHVEQKYAKTPEDAVADALKSGMDVECSTSARSKDFPVSYLRNYTKSAVEKKKVTVSEIDRALHNLFSTRMRLGLFNGDPTKQLYSDIGPDQVCSQEHQALALEAALDGIVLLKNADRLLPLSKSGISSLAVIGPNAHNSTNLLGNYFGPACKNVTILEGLRNYVSSASYEKGCNNVSCTSAAKKKPVEMAQTEDQVILVMGLDQSQEKERLDRMDLVLPGKQPTLITAVAKAAKRPIVLVLLGGSPMDVTFAKNNRKIGSILWAGYPGQAGATALAQIIFGEHNPGGRLPMTWYPQDFTKVPMTDMRMRPQPSTGNPGRTYRFYEGEKVFEFGYGLSYSDYSYTFASVAQNQLNVKDSSNQQSENSETPGYKLVSDIGEEQCENIKFKVTVSVKNEGQMAGKHPVLLFARHAKPGKGRPIKKLVGFQTVKLGAGEKTEIEYELSPCEHLSSANEDGVMVMEEGSQILLVGDKEHPVTIIV >Potri.005G168400.2.v4.1 pep chromosome:Pop_tri_v4:5:16953372:16960095:1 gene:Potri.005G168400.v4.1 transcript:Potri.005G168400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168400.v4.1 MSPDPFSYKTHFKNKYNSFHLFSSYQQIHRMKLLNLVIFLIFHITSLHLCVESTDSSHPQDPYSCASASQPPYSCDSSDPSTKTYDFCKTTLPISRRAEDLVSRLTFEEKATQLVDTSPAIPRLGIPAYEWWSEGLHGIGFLTRVQQGISFFNRTIQHATSFPQVILTAASFDAHIWYRIGQATGKEARALYNAGQVTGLGFWAPNVNIFRDPRWGRGQETPGEDPLVVGKYGASFVRGVQGDSFEGESTLGDHLQASACCKHYTAHDLDNWNGVNRFRFNAIVTLQDMADTYQPPFRSCVQEGKASGIMCSYNEINGIPSCAHYDLLTKTLRQEWGFYGYITSDCDAVNVLHVEQKYAKTPEDAVADALKSGMDVECSTSARSKDFPVSYLRNYTKSAVEKKKVTVSEIDRALHNLFSTRMRLGLFNGDPTKQLYSDIGPDQVCSQEHQALALEAALDGIVLLKNADRLLPLSKSGISSLAVIGPNAHNSTNLLGNYFGPACKNVTILEGLRNYVSSASYEKGCNNVSCTSAAKKKPVEMAQTEDQVILVMGLDQSQEKERLDRMDLVLPGKQPTLITAVAKAAKRPIVLVLLGGSPMDVTFAKNNRKIGSILWAGYPGQAGATALAQIIFGEHNPGGRLPMTWYPQDFTKVPMTDMRMRPQPSTGNPGRTYRFYEGEKVFEFGYGLSYSDYSYTFASVAQNQLNVKDSSNQQSENSETPGYKLVSDIGEEQCENIKFKVTVSVKNEGQMAGKHPVLLFARHAKPGKGRPIKKLVGFQTVKLGAGEKTEIEYELSPCEHLSSANEDGVMVMEEGSQILLVGDKEHPVTIIV >Potri.006G080200.1.v4.1 pep chromosome:Pop_tri_v4:6:5970039:5970538:1 gene:Potri.006G080200.v4.1 transcript:Potri.006G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080200.v4.1 MKSVVGMVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDENNLCNIGDRVRLDPSRPLSKRKNWVVAEILKKARIYVPPSAADNAASKTKGAEAPTSSTS >Potri.001G420000.2.v4.1 pep chromosome:Pop_tri_v4:1:44848032:44868525:-1 gene:Potri.001G420000.v4.1 transcript:Potri.001G420000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420000.v4.1 MAIKSAGGSIVSKIAEVLVEPAIKQFRYMFCFNNFVQEFNEQMMSLALALHRLQDAVDVAKRSTEEIEIDVNKWLEDAKNEIEGVNRLENEKGKNGKCFTWCPNWMRQFKLSKALEKKTETLRKLEENSKKFPNVSHKAPLQDIEFLPSEGLTPSESSKEAFEQIMKALEDDNVNMIGLYGMGGVGKTTLVKEVGRRAKESQLFDEVLMATLSQNPNVIDIQDRMADSLGLHLDEKTKEGRADRLWQRLKTEKKMLIILDDVWKVINLKEIGIPFGDAHRGCKILLTTRLQDICSYMECQPKVFLSLLSENEAWALLKINAGLRDADSTLNTVAKKVARECQGLPIALVTVGRALRDKSAVEWEVASKELRNSQFRHMEQIGEQKNAYSCLKLSYDYLKHEKTKLCFLLCCLFPEDYNIPIEDLTRYAVGYGLHQDVESIEDAREKVHAEIKTLKDCCMLLGTETEEHVKMHDLVRDVAIQIASSKEYGFMVKAGIGLKEWPMCSKSFEGCTAISLMGNKVAELPEGLVCPQLKVVLLELDRGLNVPERFFEGMKEIEVLSLKGGCLSLQSLQFSTNLQSLLLMECKCKDLIWLRKLQRLKILGFIGCDSIEELPGEMGELKELRLLDVTGCRNLGRIPVNLIGSLKRLEELLIGCYSFKEWDVVGTSRGGVNASLTELNSLSHLAVLSLRIPKAECIPRDFVFPRLLKYDIWVLGNGYSELDNEYPTAKTRLYLGEISATSLNAKTFEQLFPTVSQISFRRVEGLENIVLSSDQTTTHGHGSQKDFLQRLEHVKVNGCGDIRTLFPAKWRQALKNLRGVEIKDCKSLEEVFELGEADEGSSEEKELSLLSSLTELQLSCLPELRCIWKGLTGHVSHQSLAHLNLDSLDKLTFIFTPSLAQSLPQLETLKIRSCRGLKHLIRENDDESEIIPESLSFPKLKTLLIEYCGQLEYVFPVSVSPSLLNLEQMTIVTSNLRQIFYSGEGDALTRDGIINFPRLRKLSLSNCSFFGPKDFAAQLPSLQELTIDGQEESGNLLAQLQGLTSLEALQLSSLPVPDMRCMWKGLVLRHLTTLVVRKCERLTHVFTGNMIASLVQLEVLEISTCDELEQIVAKDIDDGNDQILSGSDLQSLCFPNLCRIEINECNKLTSLFPVAMASGLPKLQILRVSQSSQLLGVFGQDDHASPVNVEKEMVLPDLQELYLEQLPRIVYFSRGCYEFLFPRLETLKVRQCPKLTTKFATTTNGSMSAQSEVSQVAGGSSIGRSVPPNTRRMWTRYNGWEEEEEEGEEEEEEEGEEEEEEGIIRLIGFFHDGDRLAGYLFM >Potri.001G136600.3.v4.1 pep chromosome:Pop_tri_v4:1:11139841:11149010:-1 gene:Potri.001G136600.v4.1 transcript:Potri.001G136600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136600.v4.1 MILSIPAPSSAFFTTTKPSPPFPRVSKLCFLTPSYSLSLRFRSTARRSTSFPCVLSSLNLHAMAELVQDKEVFASAEVDYSKKKNRTRSRSFLDATTEQELLSGIRKESEAGKLPSNVAAGMKDLYQNYKTAVLQSGIPNAHEIVLENMAAALDLIFLDVEDPFIFSPYHKALRKPYDYFEFGQKYIRPLIDFRNSYVGNVSIFNEIQEKLRQGHNIVLISNHQTEADPAVIALLLETSSPHIAENLIYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDDPEHSEEKRKANIRSLKEMALLLRGGSQIVWIAPSGGRDRPDPLSGEWYPAHFDASSVDNMRRLAEHSGAPGHVYPLALLCHDIMPPPPQVEKEIGERRVISFHGVGLSVAPEISFSEVTAAYENPEEAKEVYTEALYKSVTEQYNVLKSAVHGKQGLGASIPTVSLSQPWN >Potri.001G136600.2.v4.1 pep chromosome:Pop_tri_v4:1:11140121:11149070:-1 gene:Potri.001G136600.v4.1 transcript:Potri.001G136600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136600.v4.1 MILSIPAPSSAFFTTTKPSPPFPRVSKLCFLTPSYSLSLRFRSTARRSTSFPCVLSSLNLHAMAELVQDKEVFASAEVDYSKKKNRTRSRSFLDATTEQELLSGIRKESEAGKLPSNVAAGMKDLYQNYKTAVLQSGIPNAHEIVLENMAAALDLIFLDVEDPFIFSPYHKALRKPYDYFEFGQKYIRPLIDFRNSYVGNVSIFNEIQEKLRQGHNIVLISNHQTEADPAVIALLLETSSPHIAENLIYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDDPEHSEEKRKANIRSLKEMALLLRGGSQIVWIAPSGGRDRPDPLSGEWYPAHFDASSVDNMRRLAEHSGAPGHVYPLALLCHDIMPPPPQVEKEIGERRVISFHGVGLSVAPEISFSEVTAAYENPEEAKEVYTEALYKSVTEQYNVLKSAVHGKQGLGASIPTVSLSQPWN >Potri.001G136600.1.v4.1 pep chromosome:Pop_tri_v4:1:11139504:11149112:-1 gene:Potri.001G136600.v4.1 transcript:Potri.001G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136600.v4.1 MILSIPAPSSAFFTTTKPSPPFPRVSKLCFLTPSYSLSLRFRSTARRSTSFPCVLSSLNLHAMAELVQDKEVFASAEVDYSKKKNRTRSRSFLDATTEQELLSGIRKESEAGKLPSNVAAGMKDLYQNYKTAVLQSGIPNAHEIVLENMAAALDLIFLDVEDPFIFSPYHKALRKPYDYFEFGQKYIRPLIDFRNSYVGNVSIFNEIQEKLRQGHNIVLISNHQTEADPAVIALLLETSSPHIAENLIYVAGDRVVTDPLCKPFSMGRNLICVYSKKHMNDDPEHSEEKRKANIRSLKEMALLLRGGSQIVWIAPSGGRDRPDPLSGEWYPAHFDASSVDNMRRLAEHSGAPGHVYPLALLCHDIMPPPPQVEKEIGERRVISFHGVGLSVAPEISFSEVTAAYENPEEAKEVYTEALYKSVTEQYNVLKSAVHGKQGLGASIPTVSLSQPWN >Potri.001G347000.10.v4.1 pep chromosome:Pop_tri_v4:1:35863241:35872501:1 gene:Potri.001G347000.v4.1 transcript:Potri.001G347000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347000.v4.1 MDGDSLCGDNDDFDWDSEDEKEIENFASSSSSSLRLPQVETRSSSAEASSSVGSSSGSKMIDHFVKMGFPEKMVAEAIQENCKGEEDEDSILETLLKYSTSSSASSSGSKLFDRFVGMGFAEKMVAKAIKENGEGDADSVLETLLTYAAIGKSPQEQPNNDSDHCSSGHEGSFLDDFSDVDSADDEVITKTVSDEDNKLAFLRRMGYKEADASIAITRCGTEATISELADFICAAQIAKAEDAFFAEDEKKPKHLDKQKKRSFLESDVLEKKRQKGLENGDDEGVRLPNPMVGFGVPTEPGIVTRRTLSEAAIGPPFFYYENVALAPKGVWQTISRFLYDVEPEFVDSKHFCAAARKRGYVHNLPIHNRFPLLPLPPNTIHEALPLTRKWWPAWDERTKLNCLQTCIASAKLTERIRKALEAYEGEPPLHVQKFIMDECRKWNLVWVGRNKVAPLEADEVEMLLGFPRNHTRGGGISRTDRYKSLGNSFQVDTVAYHLSSLKDLFPGGINVLSLFSGIGGAEVALHRLGIRLKNVVSVEISNVNRSIMSCWWEQTNQTGNLIHIEDVQHLTADRLEQLMNMYGSFDLVVGGSPCNNLAGSNRHHRDGLEGKESSLFFDYCRILDVVKNLTSRYS >Potri.019G007227.1.v4.1 pep chromosome:Pop_tri_v4:19:105937:109664:-1 gene:Potri.019G007227.v4.1 transcript:Potri.019G007227.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007227.v4.1 MSQLPIFISGLDLANLVVNSDLLQISCGAIKDLQTESSSDQQDSCSLSLRYKLHKKSKYTHIAFTTSTLSRKELLQQGGDLVSSTTLKELEFPIFDFLCSETNRSFSIHRGAITLFKAHFKELSQLKTQIQDSKTGELLSTPLIVTGHSIGGSVASLFTLWLLDNIKQPLQKNQPPPKLPLCVTFGSPFIGNQGLQQAILEFSNWNSCFLHVVGNKDPFPKTSIAHNDTTQSVSEDYMAFGTFILCSEKGCACVDDLEVVSRLLESSRKQASCESQEIDYYVEIVNDLKSKVMIRGNSQLDLSYVQPLKAGIILQLEAIGVEMTTQQQQEKKDNNNLISKLEEREKVLMAELAKTRGSENNLNQIKIKMAQLEWYKKFCKKKEIGYYDCYKNQLWRSDRDVTRLKKFLTNYWKNLVESAQRKPQKEGAFIRAAWLYAGRNYRRMVEPLDIAEYYKENGNRDYQTHGRSRHYILLEQWQEEDDAKKLTSSPNNKKKEDVAGILTEDSCFWAKVEDALISCKLLKAETSCPVEKQSEKENLDMFEQYAMEQIINYAVSPEIFLKQSSFVKWWKLFQGIIETSHDSPLSDFMKNERYLQYEKRSASFR >Potri.008G032100.1.v4.1 pep chromosome:Pop_tri_v4:8:1721866:1724892:1 gene:Potri.008G032100.v4.1 transcript:Potri.008G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032100.v4.1 MASLGYPRGLTVCAALLAVFLARASGIDIFLEWNVALDNTIRPVTVEQPVITINGMFPGPLINATTNDFVHVNVFNNMDEPLLITWNGIQQRLNSWQDGVSGTNCPIQPGKNWTYVFQTKDQIGSYFYFPSINFQKAAGGFGPIRVNNRNVIAVPFPKPEAEFDLLIGDWFYDSYNKTRSMMASMANIITPDVILMNGKGPYGHSLSKAYESFIVTQGKTYRFRISNVGSAFSFNFRVQNHKMVLVETEGSYTNQITLDSLDVHVGQSYSVLVTADQNEADYYIVASPLLLNTSSPGGFDGVGVLHYSNSLTPVKGPLPGGPDPFDLDSSVNQARSIRWNLTAGAARPNRQGAFNVTNVTLSQTFILDGSTAEIEGAQRYAVNNVSYYTLNTPLKLADHFVNGSGVYQLDRFPVNFVNAKAAYGVSVVTGIHKGWIELVFVNSLNTMDAWHLDGFGFYVVGFGNGLWGPEARNDYNIYDPVVRSTVQVYPNGWTAVYAFLDNPGMWNLRSQSLKNWYLGQELYIRVFDDDPNPAKERPPPNNLLLCGIFAGESEAPASEPGPAPSPGW >Potri.004G213400.1.v4.1 pep chromosome:Pop_tri_v4:4:22004251:22007767:1 gene:Potri.004G213400.v4.1 transcript:Potri.004G213400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213400.v4.1 MATFNALSTASILCSPKQGGLRRRGNQQNNSRLNYGLSSRRFSVRANAKDIAFDQKSRAALQSGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKRGIDKTVQGLVEELEKKARPVKGRDDIKAVASISAGNDELIGTMIADAIDKVGPDGVLSIESSSSFETMVEVEEGMEIDRGYISPQFVTNPEKLICEFENARVLITDQKITAIKDIIPLLEKTTQLRAPLLIIAEDVTGEALATLVVNKLRGVLNVAAIKAPGFGERRKAMLQDIAILTGAEFQASDLGLSIENTSIEQLGLARKVTISKDSTTIIADAASKDELQARIAQLKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTCVPAIKDKIEDADERLGADIVQKALVSPASLIAQNAGIEGEVVVEKLKASEWEIGYNAMTDKYENLMEAGVIDPAKVTRCALQNSASVAGMVLTTQAIVVEKPKPKTPAAAATQGQYAV >Potri.005G226600.1.v4.1 pep chromosome:Pop_tri_v4:5:22717966:22720857:1 gene:Potri.005G226600.v4.1 transcript:Potri.005G226600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226600.v4.1 MAEKASQSEGGGGENEKANSISICGMQFAYPGQHPLFYEFNLNISPGSRCLLVGANGSGKTTLLKIMAGKHMVGGRDVVRVINGSAFHDTQLVCSGDLAYLGGSWSKTVGSAGEIPLQGDFSAEHMIFGVEGTDPVRREKLIELLDIDLKWRMHKVSDGQRRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLEFFKEECDQRGATIVYATHIFDGLETWATHLAYIQDGELKRVKKLTEVHELKNSATLLSVVESWLRSETKNEKKKPTNPPAQNQKTSPFGSSPFMSSRHMAYYR >Potri.013G091300.1.v4.1 pep chromosome:Pop_tri_v4:13:9342523:9343831:-1 gene:Potri.013G091300.v4.1 transcript:Potri.013G091300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091300.v4.1 MVTLHHRPHRLLLDTESSTRPAANESRTRNTYSSDANFDSNMVIILAALLCALICALGLNSIVRCALRCSRRFAFETPDQTAARLAATGLKKSALRQIPVIIYGVSGIHTIATDCAICLGEFIDGEKVRVLPKCNHGFHVRCIDTWLVSHSSCPTCRHSLLEQPPESADAAEFEVGIRHPGNASAGNDVPVAGDHEAG >Potri.004G183900.1.v4.1 pep chromosome:Pop_tri_v4:4:19763229:19766334:1 gene:Potri.004G183900.v4.1 transcript:Potri.004G183900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183900.v4.1 MGLCNYHVEDKNLCFQAPNFIEWLKPSSSPSPSSSSSSLSNSSVTQQVQLTNPMSVLRLPSLFPQQQHQQREAMKETIQCLPLLSRFTEKKTLKDGDMEVKESTVGVKEEKEVTVALHIGLPNSGDSEVETEVLDLKEEISMKKNFQGYSFNSESRFWIPTPAQILVGPMQFACSICSKTFNRYNNMQMHMWGHGSEFRKGPDSLKGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCSKTFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPSLEGFEEIDKECITGSEDEFAH >Potri.014G085900.2.v4.1 pep chromosome:Pop_tri_v4:14:5536420:5539732:-1 gene:Potri.014G085900.v4.1 transcript:Potri.014G085900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085900.v4.1 MGKEESPQITRRVTRLSSSTAVTSNEVETEKITKPYKPTLNDFVFGGEQLSFNDLLSSFPARGNQIRELLRLLGPVNSPMLPLFVYGDPSTGKTSTILQIFRYLNRPFVYASCRTCYSLQILFESVLNQLLLHKKNSANGYSSTKRCIKPSDFVNFVREDLTSVIEKLRSLKKLGSNKSAGKPNGNMLYLIFDNLELIREWDKSSSALPFMFNLYDVLKMHEVGLIFISNTSPDTYYSNMGYTEHVPVYFPEYTEDDLRQILMRNQANRKLYSSFLDVVLRPFCRTTRRVDELSTAFSPLFRKYCEPLSDLASVPNEEMKRRLFSHFQPHIAPSLNEIFWVPSKSSTEAEINKDTRQKGSTRKSEVSDHFAQIDFHMSTSAKYLLISAFLASRNPATLDASLFDSTGGSDSRKRKRKASEKSMEQKEVAEQELLMKGPGTFPLERLLAIFQCITSAADSLDEEEHENDVLRVGGDCGLMSDVLLQLSSLCNANFIIKGGSCPLEGSTRYRSTVSEDLALKVARSLKFPLPNYLYRR >Potri.003G148501.1.v4.1 pep chromosome:Pop_tri_v4:3:16237779:16242550:-1 gene:Potri.003G148501.v4.1 transcript:Potri.003G148501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148501.v4.1 MRNSAYRSAYAKADEASKSLRLEQTPQTKEEEEESLVFADDDDDLYKALERTRKLALKKQEAEASSPKAIALLATSTLCSQIADDQNPEIGESLEKNLSSLRWKSLSQLSILLKKFIRLIMKMFSWTKMNRQELLMKNKRTRLVDGWKFKTVVKMKTLSTRMSRQFLMKPYMRLLLGKDYLVL >Potri.004G066000.1.v4.1 pep chromosome:Pop_tri_v4:4:5678024:5681573:1 gene:Potri.004G066000.v4.1 transcript:Potri.004G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066000.v4.1 MSNNSCMDLPAKGGFSFDLCKRNAMLSEKGLKLPPFRKTGTTIVGLVFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKKHLFNYQGHVSAALVLGGVDCTGPHLHTIYPHGSTDTLPFATMGSGSLAAMSVFESKYKEGLSRDEGIKIVSEAICSGIFNDLGSGSNVDVCVITKGHKEYLRNHMLPNPRTYVSERGYSFAKKTEVLMTKITPLKEKVEVTEGGDAMEE >Potri.019G099500.2.v4.1 pep chromosome:Pop_tri_v4:19:13626843:13629068:-1 gene:Potri.019G099500.v4.1 transcript:Potri.019G099500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099500.v4.1 MVAKTGGTIMQSRSGRSNISSTKTERKVVERNRRNQMKSLYSSLNSLLPNQNFKEAQPLPDQIDRAINYIKSLEEKLEKAREKKESLARSRKRSYTCTFDPISSAASKSPQLKIHEIGSALEIVLTSGLGNQFLFYEIISILHEEGVEVVSANFQALGDSFFHIVHAQMKGSADGFGAARVTERLNRFISGSTSEIELDSELWDFAVHHPETNWEF >Potri.019G099500.1.v4.1 pep chromosome:Pop_tri_v4:19:13627608:13629068:-1 gene:Potri.019G099500.v4.1 transcript:Potri.019G099500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099500.v4.1 MVAKTGGTIMQSRSGRSNISSTKTERKVVERNRRNQMKSLYSSLNSLLPNQNFKEAQPLPDQIDRAINYIKSLEEKLEKAREKKESLARSRKRSYTCTFDPISSAASKSPQLKIHEIGSALEIVLTSGLGNQFLFYEIISILHEEGVEVVSANFQALGDSFFHIVHAQMKGSADGFGAARVTERLNRFISGSTSEIELDSELWDFAVHHPETNWEF >Potri.014G112100.1.v4.1 pep chromosome:Pop_tri_v4:14:7550250:7554552:-1 gene:Potri.014G112100.v4.1 transcript:Potri.014G112100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112100.v4.1 MRRSFTLVDVLLLLALFIDAPWAIRGNSHCQWGNPAVIRPHSVAITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPAGQWLTGSFDLISHLTLWLDKDAIILGSTNSDDWPVIDSLPSYGRGRELPGRRHKSLIYGRNLTDVIITGDNGTIDGQGSIWWNWFRNETLDYTRPHLVELMNTTGVVISNLTFLNSPFWTIHPVYCSQVIVQNVTILAPLDSPNTDGIDPDSSDDVCIEDCYISTGDDIIAIKSGWDEYGTSYARPSKNITIRGLVGQTTSAGIAIGSEMSGGVSEVHAENLTFYNSTTGIRIKTAPGRGGYVRNIYISNMSLTDVKTAIRFTGQYGDHPDESYDPKALPLIERITIDDVTGQNVKYAGLLEGLEGDTFLDICLSNINLSVTSKSPWNCSYIQGYSEAVSPEICEPLRETIIPDHYSGCYYPSHHLQSSSNETEVLDCLGKFMVA >Potri.018G058000.1.v4.1 pep chromosome:Pop_tri_v4:18:5789058:5792152:-1 gene:Potri.018G058000.v4.1 transcript:Potri.018G058000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G058000.v4.1 MHSFGYRANALLTFALTILALMCAIASFSDNFNFPSPSAQIQIVKFNWFQKQVHGNDEVSLTMNITADLQSLFTWNTKQLFIFVAAEYETPQNSLNQVSLWDAIIPAKEHAKFWIQTANKYRFIDQGSNLRGKEFNLTLHWHVMPKTGKMFADKLVMSGFRMPEEHR >Potri.004G187600.1.v4.1 pep chromosome:Pop_tri_v4:4:20040275:20041896:-1 gene:Potri.004G187600.v4.1 transcript:Potri.004G187600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187600.v4.1 MRDIVSCFSENAIQVSHSSCSSYSNNACISPSLTPSVQNAVSCFYKIILSTKKQLLAKVSWCKNHTTQGLSIKFGNDPSTSFKLSTNTRLFRKMKGNKLIESDTVKIEVFWDLSSAKYELGPEPVEGFYVLVMVDSEIGLILGDVGEETLTKKFKTSSTPIAKVTLISRQEHCSGNTLYATKAQFCDTGIQHDIVIRCSGENEGLKHPVLSVCIDKKTVIRVKRLQWNFRGNQTIFLDGLLVDLLWDVHDWFYNPGSGYAVFMFRTRSGMDSRLWLEEKLVQKDQERVEFSLLIYASKSP >Potri.004G187600.2.v4.1 pep chromosome:Pop_tri_v4:4:20040283:20041762:-1 gene:Potri.004G187600.v4.1 transcript:Potri.004G187600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187600.v4.1 MRDIVSCFSENAIQVSHSSCSSYSNNACISPSLTPSVQNAVSCFYKIILSTKKQLLAKVSWCKNHTTQGLSIKFGNDPSTSFKLSTNTRLFRKMKGNKLIESDTVKIEVFWDLSSAKYELGPEPVEGFYVLVMVDSEIGLILGDVGEETLTKKFKTSSTPIAKVTLISRQEHCSGNTLYATKAQFCDTGIQHDIVIRCSGENEGLKHPVLSVCIDKKTVIRVKRLQWNFRGNQTIFLDGLLVDLLWDVHDWFYNPGSGYAVFMFRTRSGMDSRLWLEEKLVQKDQERVEFSLLIYAS >Potri.006G033300.4.v4.1 pep chromosome:Pop_tri_v4:6:2067646:2070577:-1 gene:Potri.006G033300.v4.1 transcript:Potri.006G033300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033300.v4.1 MDEQGLEFKAIVSNGLKLGASLAMAEHIPWLRWMFPLEEDAFAKHGARRDRLTRAIMDEHTLARQTSGGAKQHFVDALLTLKEKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAELIKNPRVQQKAQEELDSVVGFERVMTEADFSGLPYLQCVAKEALRLHPPTPLMLPHRANANVKVGGYDIPKGSNVHVNVWAVARDPATWKKPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFCWTPPEGMKPEEIDMSENPGLVTYMRTPLQAVATPRLPSHLYKRVAVDI >Potri.006G033300.1.v4.1 pep chromosome:Pop_tri_v4:6:2066521:2070593:-1 gene:Potri.006G033300.v4.1 transcript:Potri.006G033300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033300.v4.1 MNLLLIPISFITLLLTYKIYQRLRFKLPPGPRPWPIVGNLYDVKPVRFRCFAEWAQAYGPIISVWFGSTLNVIVSNTELAKEVLKENDQQLADRHRSRSAAKFSRDGKDLIWADYGPHYVKVRKVCTLELFSPKRLEALRPIREDEVAAMVESIFNDCTNPENNGKTLTVKKYLGAVAFNNITRLAFGKRFVNAEGVMDEQGLEFKAIVSNGLKLGASLAMAEHIPWLRWMFPLEEDAFAKHGARRDRLTRAIMDEHTLARQTSGGAKQHFVDALLTLKEKYDLSEDTIIGLLWDMITAGMDTTAISVEWAMAELIKNPRVQQKAQEELDSVVGFERVMTEADFSGLPYLQCVAKEALRLHPPTPLMLPHRANANVKVGGYDIPKGSNVHVNVWAVARDPATWKKPLEFRPERFLEEDVDMKGHDFRLLPFGAGRRVCPGAQLGINLVTSMLGHLLHHFCWTPPEGMKPEEIDMSENPGLVTYMRTPLQAVATPRLPSHLYKRVAVDI >Potri.019G024000.1.v4.1 pep chromosome:Pop_tri_v4:19:3528044:3531157:1 gene:Potri.019G024000.v4.1 transcript:Potri.019G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024000.v4.1 MASAILKRTSPSCLLKSMADSLGIIGGSWRSYAKVAVGTDIVSAAPGVSLQKSRTWDEGVSSKFSTTPLKDIFKGKKVVIFGLPGAYTGVCSQQHVPSYKNIIDKFKAKGIDSVICVAVNDPYTMNAWAEKLQAKDAIEFYGDFDGSLHKSLELNKDLSVALLGHRSERWSAYVEDGMVKVLNVEEAPSDFKVSSGEVILGQI >Potri.014G191200.1.v4.1 pep chromosome:Pop_tri_v4:14:16631467:16635262:1 gene:Potri.014G191200.v4.1 transcript:Potri.014G191200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191200.v4.1 MNTIIHKPSPSPNHPSSLFPQISRCKTTRHLKQIHAHFIKTGQIHHPLAAAELLKFLTLSTQREIKYARKFFSQIHHPNCFSWNTIIRALADSDDDDLFHVNSLEALLYFSHMLTDGLVEPNKFTFPCVLKACAKLARIEEGKQLHGFVVKLGLVSDEFVRSNLVRVYVMCGAMKDAHVLFYQTRLEGNVVLWNVMIDGYVRMGDLRASRELFDSMPNKSVVSWNVMISGCAQNGHFKEAIEMFHDMQLGDVPPNYVTLVSVLPAVSRLGAIELGKWVHLFAEKNEIEIDDVLGSALIDMYSKCGSIDKAVQVFEGIRNKKNPITWSAIIGGLAMHGRARDALDHFWRMQQAGVTPSDVVYIGVLSACSHAGLVEEGRSIYYHMVNIVGLLPRIEHYGCMVDLLGRAGCLEEAEQLILNMPVKPDDVILKALLGACKMHGNIEMGERIAKFLMGWYPHDSGSYVALSNMFASEGNWEGVVKVRLKMKELDIRKDPGCSWIELDGVIHEFLVEDDSHPRAEGIHSMLEEMSDRLRSVGYRPNTTQVLLNMDEKEKQSALHYHSEKIAIAFGLISTRPQTPLQIVKNLRVCEDCHSSIKLVSKIYNRKIIVRDRKRFHHFENGSCSCMDYW >Potri.006G088680.1.v4.1 pep chromosome:Pop_tri_v4:6:6743560:6745913:-1 gene:Potri.006G088680.v4.1 transcript:Potri.006G088680.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088680.v4.1 MLFRIVLMVRVLFSLAIAASQELNFNFNFTYSGFRSTNLSLDGLAELTSNGLLRLTNETKQRTSHAFYPNPVTFKNSINSTAFTFSTTFVFAIIPEYPTLGGHGIAFVIAPTRGLPGALPSQYLGLFNKTNNGNQTNHVVAVELDTIYSSEFNDIDDNHVGIDINGLESERSASAGYYSQLNGKLTNLTLISGHPMQVWMEYDGKEKQLDVTIAPIDVDKPSRPLLTLSCDLSPILNSSMYIGFSSSTGSVFTSHYVLGWSFKMNGLAEALHISRLPKLPRVGPKKTSKFLTIELPVLCLSLVLVAVSSTSYAIRRTRKFAEVLEDWELDYGPHRFKYKDLYTATKGFRDEELLGSGGFGRVYKGVLPTSKIQIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRRGELLLVYDYMPNGSLDKYLYDQPTVALNWSLRFRVIKGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTSTDVFAFGAFLLEVASGRRPIQPTEDIILVDWVFSRWLGGEILEARDPNLGTEYIAEEMELLLKLGLMCSHSEPSARPSMRQVVQFLEGDVPLPDISPLCLSASGLTFSHREGFDEFANSYPSSMDKAFGHSSSVAESLLSGGR >Potri.003G075500.2.v4.1 pep chromosome:Pop_tri_v4:3:10274246:10276905:-1 gene:Potri.003G075500.v4.1 transcript:Potri.003G075500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075500.v4.1 MALKNISTLCFLLLWSVQETTCFIKKHKLIEFQAKLQEMVASSSPIPPPSPAVSQTKQSGRVFYPIGYGADPTGVQDSRDAILNALNDAFQVQNGLQLLPGVNDLGGVVIDLQGGNYKISMPLRFPASGGGNVVVKGGTLRASDIFPGDRHLIEVWSQNSQVLDKRNKVHDPNGFSAGKLQNGAILYEDITFRDILFDSGYRGGGIFVVNSARIRINNCFFLHFTTEGILVQGGHETFISSCFLGQHSTVGGDPGERNFSGTAIDLGSNDNAITDVALFSAAIGVLLRGQANILTGIHCYNKATGFGGVGIMVKLYASLTRIDNCYLDYNSIVMEDPVQVHVTNGLFLGEGNIVLKAINGKISGVNIVNNMFNADPKGTTPIVGLDGTFTSIDQVLIDQNDVVSGMKYKSTVGKLTVAGNATKWVADFSSVLLFPNQINHFQYSFYIHGMPNGFPIHAITNVSNNVVVVESDKLVNAVVSVIVDQCNMAGESNVM >Potri.006G055500.2.v4.1 pep chromosome:Pop_tri_v4:6:3931933:3933901:-1 gene:Potri.006G055500.v4.1 transcript:Potri.006G055500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055500.v4.1 MLIEEIHESPPYQEVYEMAKVRISKAIMVIYVVALFMVATNVSAQVEAPAPSMDTGAGFSLPVSSAVITFSLIISFISLLKL >Potri.012G018100.1.v4.1 pep chromosome:Pop_tri_v4:12:1966144:1969773:-1 gene:Potri.012G018100.v4.1 transcript:Potri.012G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018100.v4.1 MATATFSLPLSQNKFPLYHFSSARRRFPIPDLHSPLKICCSGSRDGSQSRESLFQFKKEINYVACGILAAWAVTAASPVIAAGQRLPPLSTEPNRCEKAFVGNTIGQANGVYDKPIDLRFCDYTNDKSNLKGKSLAAALMSDAKFDGADMTEVVMSKAYAVGASFRGVDFSNAVLDRVNFGKADLKGAVFKNTVLSGSTFDEAQLEDAIFEDTIIGYIDLQKICRNTSIGPDGRAELGCR >Potri.004G226200.1.v4.1 pep chromosome:Pop_tri_v4:4:23024910:23025960:1 gene:Potri.004G226200.v4.1 transcript:Potri.004G226200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226200.v4.1 MSDIDCNFGNCDCDCDPDCCCCCLDFDLCSDGCCCCCPDFHQCFDGCCSSDGDHSLCCCLICIGSSDRHRNHRDSGGVPWLCCWDCGSRRRRTSSSEGQWRPDPKQNGTKKEEKEKKKETGTCCSSHGPFDISPRYKHQHDSSDWNKTKHQCSRCSSIPPSPLDPPRIQQFGRLDHEKRVIGHGMYY >Potri.001G275800.1.v4.1 pep chromosome:Pop_tri_v4:1:28958867:28961407:-1 gene:Potri.001G275800.v4.1 transcript:Potri.001G275800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275800.v4.1 MDSFNQSTGFRYNPNSNTMGDADGDSEFCGILEIYVHHARNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINRGGKNPEFNENLMMKLAQLDAVLKCEIWMLSRARNYMEDQLLGFALVPISQVSGKGKVTQDYSLSSTDLFHSPAGTIKLSLSLNTSFPVKPSTTAAKSSISSEVVLLDRKVSEVILDPVEYSRIEFPDINVVRENQLMVSEYFDDLGSRPGSFLHLGASPQPAIHDCEMNINSSEQNQGGSSSPSGSIQNSSFLSSTTTSLSDDRNSSDSVDRKSRLGGQFSSSLNVSITTEANHNSCACPDTPTSKKGNEVRDEKESDFTSKEEESRKEGNMSPVKFGQVFSSPLGNINLEAEQSAMQQQIVDMYMRSMQQFTESLAKMELPMDLDKLESADRGDVIQSLSNKLELEKKKKDGGRVFYGSRAFF >Potri.019G016106.1.v4.1 pep chromosome:Pop_tri_v4:19:2554497:2555329:-1 gene:Potri.019G016106.v4.1 transcript:Potri.019G016106.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016106.v4.1 MSKISNSIVFMWLHGLIILTPPPICMVQAYDTAEYTHWKCPHKLKAAYPLGKTRGINIMLALDSSDRESGTVKIASTEHVTAEVKVKPESRRVGTPKLSSPKPNTQIHHGAFIFPPPPQHHHH >Potri.013G089200.2.v4.1 pep chromosome:Pop_tri_v4:13:8984137:8985095:1 gene:Potri.013G089200.v4.1 transcript:Potri.013G089200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089200.v4.1 MFKNQCSITIAYINPGMGSFHFRQEQKHILASCISPLNPLKKKPNKNTSYRKMRQHSLAFSVLLVLCLVGFSNASLLPFSDRPGSLLTDFWLDRLPDPFRVLEHIPLGFDKDDHVALSPARVDWKETPEGHVIMLDVPGMKKEEVKIEIDQNRVLRVSGERKREEEKKGDHWHRVERSYGKFIRQFKLPENVDLDSVKAKLENGVLILSLSNLSLDKIKGPTVVSIEGGEEPAKLKSDEAKQEL >Potri.006G034132.1.v4.1 pep chromosome:Pop_tri_v4:6:2148756:2150527:1 gene:Potri.006G034132.v4.1 transcript:Potri.006G034132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034132.v4.1 MRGKTAMTTPDYSCGNFVNWANAQFMPDDEIKMELHHFVNRVHDAISTTTHDCAKASNSDDIYSMVSSKAREVGEALGEGNVDTYMFSCWCRFPWYEADFGWGKPSWVSSVDVPTGIVMLMDTKDGDGIEVFLALDESSMLTLQQNLDKTISFTG >Potri.001G247300.4.v4.1 pep chromosome:Pop_tri_v4:1:26393590:26398284:1 gene:Potri.001G247300.v4.1 transcript:Potri.001G247300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247300.v4.1 MATISLKASVDKKSNRVVFVESDEFFVDILFSFLTMPMGTIIHLISNLSPTNGVVCMNNLYKSVENIDVRYFRTEACKDMLLHPRNAAAAYCKSLKLKIDGSGTSSVFCCENSECTHSGYKLWSNYKNLYCGCGRPMSSILNLPCRAPSNSGSNKRNQGVFVKELARFVVSDCFQVMPASASASISLLTKLGVVDTSNIEERIFDIGFTEVLQLLECSLVSRTPLTEVLLARKEVPELRNEDSLQRISLLREKLEHQSERNGETSVRLVVCKSKKVVCYAEASKDFLDLLFSFLTIPLGYLMNETHGGQSKGSIHHLYDSVIDLDARKYLKSNDIKEILLNPKIAPGSGYKNQPLGVKEAVDNQQYYYERGSTSQFFIQGKIRTESKCPGGSTLPLLTMMDPKSPYKEGTEGGGFLLDPAMFTVSDDLVVTPISPVSELSLLEKLKIPFNDIYDCEVQVGREEASRLLAASFVSESALTDTFIRKMPKDALISDVLKE >Potri.012G044600.1.v4.1 pep chromosome:Pop_tri_v4:12:4021392:4024778:1 gene:Potri.012G044600.v4.1 transcript:Potri.012G044600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044600.v4.1 METKCLLPFHLFLLLLSLPLSKPDLSADHSALLTLRSAVLGRTLLWNTSLPTPCSWTGVLCEQNRVTVLRLPGFALTGEIPLGIFSNLTELRTLSLRLNALSGKLPQDLANCKSLRNLYLQGNLFSGEIPDFLFGLKDLVRLNLGENSFTGEISTGFGNFIRLRTLFLEDNSLSGSLPDLKLEKLEQFNVSNNLLNGSIPDRFKGFGISSFGGTSLCGKPLPGCDGVPRSIVVPSRPNGGGEGKRKKLSGGAIAGIVIGSIMGLLLILMILMFLCRKKSSSKSRSIDIASVKQQEMEIQVGKPIVEVENGGGYSVAAAAAAAMVGNGKGGDLNSGDGKKLVFFGKASRVFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLKDVTISEREFREKIETVGAMDHENLVPLRAYYYSGDEKLLVYDYMSMGSLSALLHGNRGAGRTPLNWEIRSGIALGAARGIEYLHSQGPNVSHGNIKSSNILLTQSYDARVSDFGLARLVGPPSTPNRVAGYRAPEVTDPGKVSQKADVYSFGVLLLELLTGKAPTHALLNEEGVDLPRWVQSIVREEWTSEVFDLELLRYQNVEEEMVQLLQLGIDCAAQYPDNRPSMSEVTRRIDELCRSSLREDSQPEPHNDASDDMSS >Potri.013G151432.1.v4.1 pep chromosome:Pop_tri_v4:13:14782725:14784042:-1 gene:Potri.013G151432.v4.1 transcript:Potri.013G151432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151432.v4.1 MKQQYSISSISVFLLFLHYTNTFAQSPAAAPAQAPAVVVAQPPAATPTQAAAPHGITNVTKILEKAGHFTIFIRLLRSTQEENHLFSALNDSSSGVTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVVPTFLSTSQFQTVSNPLGTWAGTGSRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFASKAPAPAPVAPAPEKPTKAVPAANVESPVAPVDISGAVMFTRNNVVGSVGAVAAAIFAL >Potri.001G306500.1.v4.1 pep chromosome:Pop_tri_v4:1:31646431:31649129:-1 gene:Potri.001G306500.v4.1 transcript:Potri.001G306500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306500.v4.1 MSDVSELPNKEANVLKGHEGGVLAARFNGDGNYCLSCGKDRTIRLWNPHRGIHIKTYKSHGREVRDVHVTQDNSKLVSCGGDRQVFYWDVATGRVIRKFRGHGSEVNAVKFNEYASVVVSAGYDQSLRAWDCRSHSTEPIQIIDTFSDSVMSVCLTKTEIIAGSVDGTVRTFDIRIGREISDNLGHPVNCISMSNDGNCILASCLDSTLRLLDRTTGELLQEYKGHICKSYKLDCCLTNTDAHVAGGSEDGYIYFWDLVDASVLSRFRAHSSVVTSVSYHPTDNCMVSASVDGTIKVWKT >Potri.003G124400.1.v4.1 pep chromosome:Pop_tri_v4:3:14441701:14443964:-1 gene:Potri.003G124400.v4.1 transcript:Potri.003G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124400.v4.1 MGEEEHEKAESKAVSLPTPAKEHGPVKEEKEASLNDAANEKNLVPVSENAADTTAAENVSGGSNNRDIILSRVETEKRYALIKAWVENEKAKVENKAHKKLSAIGSWETTKKVSVEAKIMKFEEKLERKKAEYEEKMKNKAAELHKAAEEKKAMIEAKKSEECLKVEETAAKFRATGYTPKKFLGCFSS >Potri.012G053700.1.v4.1 pep chromosome:Pop_tri_v4:12:5116200:5121238:1 gene:Potri.012G053700.v4.1 transcript:Potri.012G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053700.v4.1 MAMAVFQLDIRPATRIAGVAALYHLKNSAPSDPRPNILRIGSSARNITRPGKGLAVTKNAEKNNKKPDACTADELHYVTVSDSEWKLALWRYLPSPKAKPRNHPLLLLSGVGTNAIGYDLSPESSFARSMSNQGFDTWTLEVRGTGLSALVGDHGEDSEVSSVEGEITEIVSKSKKSQSKLSETLVRLSERFLGYFDEGRNSVIACQIRDLSQKLVNIIEGQRSVAPQIFGFSENFSTALEKFLKQLDLIEKYDWDFDHYLKDDLPAVIGYIRTECRPKDGKLHAIGHSMGGILLYALLSRCCFQGMDSGLASVVTLGSSLDYTSSKSSLKLLLPVADPAKAVNVPVIPLGVLLSAVHTFASRPPYVLSWLNHQISAPGMMHPELLEKLVLNNFCTVPAKLLLQLTTAFEEGGLRDRSGSFLYKDHLGETNVPVLAIAGDQDLICPPEAVYETVKVIPKHLVTYRVFGEPSGPHYAHYDLVGGGRLAVSQVYPCIINFLIQHDI >Potri.010G209300.6.v4.1 pep chromosome:Pop_tri_v4:10:19874207:19883513:-1 gene:Potri.010G209300.v4.1 transcript:Potri.010G209300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209300.v4.1 MSFQQKSFWMTRDVGCLTDGDIGFDNSSRMEPKRGHQWLMDSTGPELFSNKKQAVEPSSNNRPVMGMSHMNISPWNNTSCFQSVSGQFNDRLFGFEPLRINSGSNVPSASNGNMNMERKDFNDLYGSNCSMGLSMSHNVEDPPSSFSFGGLRKVRVNQVRDSSNDISSSVGHSYSRGDDNIISMGTAYNKRESNAISLGSTYNNGDENTISISPTFSKADGSFISMGHAFNKDDDNFISMGQGYNKGDESILSMGQPFDKKDANFITMGPSYDKEDNHFISMALSYNKGHESFISMGPSYDKTSENFILMGSSFSKGGDNVISNSPIYDKADIDIASMTPAQDKGNSGILSIGHNYNKGDNNSISFQSFHDEPETNMSGNVIRGYDLLVSNQNTAQTSEVPVQNNLPQTNVDPQLNTNTASKVIANTQLNSGLEANSKTDTDTKGKEPKTSINAAPKNKEPKTTIDSASKNKELKTSKKIPPNNFPSNVKSLLSTGLLDGVAVKYVSWSREKTLRGTIKGTGYLCSCKVCGNKVLNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFNAIETVTGSAINQKNFLSWKASYEAATRELQRIYGKEEVTLPS >Potri.010G209300.4.v4.1 pep chromosome:Pop_tri_v4:10:19874174:19883161:-1 gene:Potri.010G209300.v4.1 transcript:Potri.010G209300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209300.v4.1 MSFQQKSFWMTRDVGCLTDGDIGFDNSSRMEPKRGHQWLMDSTGPELFSNKKQAVEPSSNNRPVMGMSHMNISPWNNTSCFQSVSGQFNDRLFGFEPLRINSGSNVPSASNGNMNMERKDFNDLYGSNCSMGLSMSHNVEDPPSSFSFGGLRKVRVNQVRDSSNDISSSVGHSYSRGDDNIISMGTAYNKRESNAISLGSTYNNGDENTISISPTFSKADGSFISMGHAFNKDDDNFISMGQGYNKGDESILSMGQPFDKKDANFITMGPSYDKEDNHFISMALSYNKGHESFISMGPSYDKTSENFILMGSSFSKGGDNVISNSPIYDKADIDIASMTPAQDKGNSGILSIGHNYNKGDNNSISFQSFHDEPETNMSGNVIRGYDLLVSNQNTAQTSEVPVQNNLPQTNVDPQLNTNTASKVIANTQLNSGLEANSKTDTDTKGKEPKTSINAAPKNKEPKTTIDSASKNKELKTSKKIPPNNFPSNVKSLLSTGLLDGVAVKYVSWSREKTLRGTIKGTGYLCSCKVCGNKVLNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFNAIETVTGSAINQKNFLSWKASYEAATRELQRIYGKEEVTLPS >Potri.010G209300.7.v4.1 pep chromosome:Pop_tri_v4:10:19874207:19883128:-1 gene:Potri.010G209300.v4.1 transcript:Potri.010G209300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209300.v4.1 MSFQQKSFWMTRDVGCLTDGDIGFDNSSRMEPKRGHQWLMDSTGPELFSNKKQAVEPSSNNRPVMGMSHMNISPWNNTSCFQSVSGQFNDRLFGFEPLRINSGSNVPSASNGNMNMERKDFNDLYGSNCSMGLSMSHNVEDPPSSFSFGGLRKVRVNQVRDSSNDISSSVGHSYSRGDDNIISMGTAYNKRESNAISLGSTYNNGDENTISISPTFSKADGSFISMGHAFNKDDDNFISMGQGYNKGDESILSMGQPFDKKDANFITMGPSYDKEDNHFISMALSYNKGHESFISMGPSYDKTSENFILMGSSFSKGGDNVISNSPIYDKADIDIASMTPAQDKGNSGILSIGHNYNKGDNNSISFQSFHDEPETNMSGNVIRGYDLLVSNQNTAQTSEVPVQNNLPQTNVDPQLNTNTASKVIANTQLNSGLEANSKTDTDTKGKEPKTSINAAPKNKEPKTTIDSASKNKELKTSKKIPPNNFPSNVKSLLSTGLLDGVAVKYVSWSREKTLRGTIKGTGYLCSCKVCGNKVLNAYEFERHANCKTKHPNNHIYFENGKTIYAVVQELKNTPQEMLFNAIETVTGSAINQKNFLSWKASYEAATRELQRIYGKEEVTLPS >Potri.002G155700.3.v4.1 pep chromosome:Pop_tri_v4:2:11889187:11892478:1 gene:Potri.002G155700.v4.1 transcript:Potri.002G155700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155700.v4.1 MILGHTDGLSELGSSRDPLIAYPESELNVEDDEEQILYAASFEELAKNHVKYDTIIWISISLLLVLAWGIGIIMLLCFPIRRYMLQKDISSRKLYVTANEIVYKFSRPSILFWRVSTIEKCIPLSLVIDIIIEQGCLQSLYGIHTFRVESIVRGKAAPVDELQVQGVADPGVLRKVIITEASKNVQDFGKGWNPTLTIEEEGLSRVGSLNEGPAVFKSPPKSWKMTGSPRYASSEHRGSPRYASSEHKGLIHGEMLLSKLGEVCESVKKIESLIEKSQTSPESR >Potri.001G141200.1.v4.1 pep chromosome:Pop_tri_v4:1:11523354:11525256:-1 gene:Potri.001G141200.v4.1 transcript:Potri.001G141200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141200.v4.1 MASLGTPKTWIPYVNNKDCSQGFCSLYCPQWCYIIFPPPPPLEFLDDNSSPKISPLVIAIIGILLSAFLLVIYYTIISKYCGNNDPARRRDQNHGQNEEFEGDHNPSLHEPWHAATTGLDEALIRSITVCKYKKGDGLIDGTDCSVCLSEFQEDESIRLLPKCSHAFHVPCIDTWLRSHSNCPLCRANIVFFSASPPQLPPPVAETPRGNESWQNSQRSNDNVAATQGTERVARDEQVMQNPAGDPKTPWRVFSDLGNLEERDTIIEMRDIDGYQRIRRSVSMDHPCQSHASVADILRMNQDGVVRVEDCSGDVGSSKHSAEDSKFTSSNRRRVLHCVLNPVTMKRSFSSGRFFPTGHGRVRDATSPV >Potri.003G030900.1.v4.1 pep chromosome:Pop_tri_v4:3:3462419:3465357:1 gene:Potri.003G030900.v4.1 transcript:Potri.003G030900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030900.v4.1 MAKTKEAAFIGSIDQGTSSTRFIIYDRNSRCVGSHQVEFTQLYPQAGWVEHDPMEILESVKVCMAKAVDNASAEGHNVDAALKAIGLTNQRETTLVWSKSTGRPLYNAIVWMDVRTTSVCRRLEKEMPGGRTHFVETCGLPISTYFSAMKLIWLMENVDAVKEGIKKKDALFGTVDSWLIWNLTGGVKGGLHVTDVSNASRTMLMNLKTLEWDKPTLETLKIPAEILPKIISNSEIIGKITEGWPLVGFPIAGCLGDQHAAMVGQACKKGEAKSTYGTGAFILRNTGEEVVHSTHGLLTTLAFKLGSKAPANYALEGSIAIAGAAVQWLRDSLGVIKSAGEIEELASQVDTTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTSKAHIARAVLESMCFQVKDVLDSMHKDAAGTEEEEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWTEEEIFASGEKSKSDTTFRPKLNEELRKKKVDSWFKAVERTFDLADLSI >Potri.003G030900.2.v4.1 pep chromosome:Pop_tri_v4:3:3462700:3465356:1 gene:Potri.003G030900.v4.1 transcript:Potri.003G030900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030900.v4.1 MPGGRTHFVETCGLPISTYFSAMKLIWLMENVDAVKEGIKKKDALFGTVDSWLIWNLTGGVKGGLHVTDVSNASRTMLMNLKTLEWDKPTLETLKIPAEILPKIISNSEIIGKITEGWPLVGFPIAGCLGDQHAAMVGQACKKGEAKSTYGTGAFILRNTGEEVVHSTHGLLTTLAFKLGSKAPANYALEGSIAIAGAAVQWLRDSLGVIKSAGEIEELASQVDTTGGVYFVPAFNGLFAPWWRDDARGVCIGITRFTSKAHIARAVLESMCFQVKDVLDSMHKDAAGTEEEEFLLRVDGGATVNNLLMQIQADLLGSPVVRPADIETTALGAAYAAGLAVGVWTEEEIFASGEKSKSDTTFRPKLNEELRKKKVDSWFKAVERTFDLADLSI >Potri.004G035800.2.v4.1 pep chromosome:Pop_tri_v4:4:2810629:2815719:-1 gene:Potri.004G035800.v4.1 transcript:Potri.004G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035800.v4.1 MNEKANVSKELNAKHRKILESLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPGQIAFIQSMGNERSNNYWEAELPPKYDRVVIEYFIRAKYDFVELPVSKKRWVPRDGKAKSPSRVNGEKTPTRSSGHGQMNDTNHVLEERKVTRPPITNGRSPSSKSSTPMPVKASTPLPVKASSPLAVKAYTPLAVKASQKAAHDNKSQEPVQKSEPAAPKAELAKKEERATKVVTLPKVDYATELFNLLCMDDSRESDFTTPAHDNGWASFQTADAKSTPERSSSSNFIESMTQPNLTSPSLEKPLKAVNNDIMNLFDKSSMVSPFSVHQQQLAKMLSQQQQFIMATAAGSGNGSHTVPSKSHRPSSNGIHLPAQSWGSYGYQVPGMVMPITDSQKYMQMGSSQQVYSAGNPINFPISSMYRPGPVAPINGMTKTKATMPTPAFPVTPTQPAGYYDLSSLATYTKQ >Potri.004G035800.1.v4.1 pep chromosome:Pop_tri_v4:4:2810660:2815799:-1 gene:Potri.004G035800.v4.1 transcript:Potri.004G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035800.v4.1 MNEKANVSKELNAKHRKILESLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPGQIAFIQSMGNERSNNYWEAELPPKYDRVVIEYFIRAKYEEKRWVPRDGKAKSPSRVNGEKTPTRSSGHGQMNDTNHVLEERKVTRPPITNGRSPSSKSSTPMPVKASTPLPVKASSPLAVKAYTPLAVKASQKAAHDNKSQEPVQKSEPAAPKAELAKKEERATKVVTLPKVDYATELFNLLCMDDSRESDFTTPAHDNGWASFQTADAKSTPERSSSSNFIESMTQPNLTSPSLEKPLKAVNNDIMNLFDKSSMVSPFSVHQQQLAKMLSQQQQFIMATAAGSGNGSHTVPSKSHRPSSNGIHLPAQSWGSYGYQVPGMVMPITDSQKYMQMGSSQQVYSAGNPINFPISSMYRPGPVAPINGMTKTKATMPTPAFPVTPTQPAGYYDLSSLATYTKQ >Potri.014G133800.1.v4.1 pep chromosome:Pop_tri_v4:14:8967963:8968577:-1 gene:Potri.014G133800.v4.1 transcript:Potri.014G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133800.v4.1 MDVVNAMIQEKPVVIFSKSSCCMSHSIESLIRGFGANPTIYQLDQLPNGHQIERALVQLGFRQSVPVVFIGQKLVGNERQVMSLHVKNQLVPLLIQAGAIWI >Potri.013G146100.4.v4.1 pep chromosome:Pop_tri_v4:13:14362738:14365663:-1 gene:Potri.013G146100.v4.1 transcript:Potri.013G146100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146100.v4.1 MFVSPLIHFEDKTNIPQISLLLESSLSLSLSLSLSLSLVLSRAHKETMKNTENEPFLLSSDQSLKPRRPKSREVSSRFLSPTSPSHNNGTPSPNQGSSSPRRPKPSSDGRKHRSLDDPGFIRGLWPSSSPSQSSNNQNNQGTLADHLGNARLKDLLDHKKDEKPTKSSSVFSLGRQRSTGTVFGRVENDKEKESTKENHRPILGGSARYTGKFHFPGKSSSSSSSSSSNSSSNNNHVYVPGRLSVDENALYKNSKEVGASRRNSDIFEDNLESEISECSDKHSGNDLFSPTLGRSSRKSGVEVSSKYMNDIPTRPRRWTTDANAQTAVSLESSPKMKKLTMKNVIKRANSLTGYGSATSQWALSPGRSGSPPMSVESKEKLMSFSNLKPPSNPSRTKGVEKLLNMGLDLFKGKKSLSSSSLLSGSGNVENIHQLRMLHNRLMQWRYANVRAATVNLNINKQVENNLLWVLDSLSKLRLSVAQRRLKLQKEKLKMKLDFVLHSQIKLLEAWGDMERQHLSSVSKTKECLHSVVCRVPLIEG >Potri.013G146100.3.v4.1 pep chromosome:Pop_tri_v4:13:14362738:14365663:-1 gene:Potri.013G146100.v4.1 transcript:Potri.013G146100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146100.v4.1 MFVSPLIHFEDKTNIPQISLLLESSLSLSLSLSLSLSLVLSRAHKETMKNTENEPFLLSSDQSLKPRRPKSREVSSRFLSPTSPSHNNGTPSPNQGSSSPRRPKPSSDGRKHRSLDDPGFIRGLWPSSSPSQSSNNQNNQGTLADHLGNARLKDLLDHKKDEKPTKSSSVFSLGRQRSTGTVFGRVENDKEKESTKENHRPILGGSARYTGKFHFPGKSSSSSSSSSSNSSSNNNHVYVPGRLSVDENALYKNSKEVGASRRNSDIFEDNLESEISECSDKHSGNDLFSPTLGRSSRKSGVEVSSKYMNDIPTRPRRWTTDANAQTAVSLESSPKMKKLTMKNVIKRANSLTGYGSATSQWALSPGRSGSPPMSVESKEKLMSFSNLKPPSNPSRTKGVEKLLNMGLDLFKGKKSLSSSSLLSGSGNVENIHQLRMLHNRLMQWRYANVRAATVNLNINKQVENNLLWVLDSLSKLRLSVAQRRLKLQKEKLKMKLDFVLHSQIKLLEAWGDMERQHLSSVSKTKECLHSVVCRVPLIEGAEVDPQSASIALRHASDLSASIKSALSSFSPSAEQTVALLSQLAEVVAQEKLLVEECLELLQTVSALQIQEKSLKCYIIQFNSKQQQPQQQEQQSQLQETPS >Potri.006G089800.1.v4.1 pep chromosome:Pop_tri_v4:6:6851107:6853809:1 gene:Potri.006G089800.v4.1 transcript:Potri.006G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089800.v4.1 MAEAEVSSRESRWSLKGMTALVTGGTRGIGFAIVEELAGFGAAVHTCSRNETKLDECIREWESKGFRVTGSVCDVSCRTQRDKLIEKVSSTFQGKLNILVNNAAAVVSKNSTKVTAEDMAYTLGTNVEASYHLCQLAHPLLKASGNGSIVFISSVAAVVALPTLSFYGASKGALNQLTKSLACEWAHDKIRANAVSPWIIKTPLLDASLAKSPSEQRAGMSRIVAQTPISRLGEASEISSLVAFLCLPTAAYITGQIISVDGGYTANGGYTANGF >Potri.001G392200.3.v4.1 pep chromosome:Pop_tri_v4:1:41537144:41540565:1 gene:Potri.001G392200.v4.1 transcript:Potri.001G392200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392200.v4.1 MDSSKDRENFVYVAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNETNVKRIKEYRQKVEAELTGICHDIMTVIDEHLIPSCTAGESTVFYYKMKGDYYRYLAEFKSGNERKEAADQSLKAYETATTTAASDLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGDQKMETSARTGEGEDAE >Potri.001G392200.2.v4.1 pep chromosome:Pop_tri_v4:1:41537144:41540565:1 gene:Potri.001G392200.v4.1 transcript:Potri.001G392200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392200.v4.1 MDSSKDRENFVYVAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNETNVKRIKEYRQKVEAELTGICHDIMTVIDEHLIPSCTAGESTVFYYKMKGDYYRYLAEFKSGNERKEAADQSLKAYETATTTAASDLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDQKMETSARTGEGEDAE >Potri.005G000033.1.v4.1 pep chromosome:Pop_tri_v4:5:1019:2121:-1 gene:Potri.005G000033.v4.1 transcript:Potri.005G000033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000033.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAVSGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGVRHGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGGGKGGKGSGGGGGGGGGGGGSGSGSGSGYGSGSGYGEGYGGGKGN >Potri.012G007200.1.v4.1 pep chromosome:Pop_tri_v4:12:296121:297885:-1 gene:Potri.012G007200.v4.1 transcript:Potri.012G007200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007200.v4.1 MAINMQIVLASNCENVEAQYVEMIVPLYSHGCEKKVKKTLSHLKGIYSVNVDYYQQKVTVWGICNKYDVLATVKSKRKEARFWNPQDNVQMEEDEESQPPSSPPPPKDSKTIPSLTLMKARSLTRSLSWKVWKKVFTRTFSF >Potri.006G131650.2.v4.1 pep chromosome:Pop_tri_v4:6:10739439:10746404:-1 gene:Potri.006G131650.v4.1 transcript:Potri.006G131650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131650.v4.1 MNESEQNFSFEEKTLSLIDVSFEDDCLYNSPSHDFHVRFSDTKIGAENNLGFAEANNMQSELASFDGGELGPDPIKSMEPERVKKNTKYNLRKSLAWNSAFFTSAGVLEPEELSSMIGCEKHMLPGIEEDIHTSSDSISTLASDNLTSVNLEEADLFGDIRASIQRSTKGPGMENSNSKVGSPKTESKTIRSSEKVDVASRNRVPASEKVDIASRNKLKAKAAPNKPNAIMQGTEKTAKQSVPINGESKSLYRPPKIVGRVGPILAPATKRASLGANRVKVERAKDNPENAKKIAGRGAKVPALSGPRNAVPRPTLPVKSSLRSSSAMKTALTASSSIDSSGSLSSDCSSKYSLNSVRRESDSRTGNHSSSGSNVKTTLKFPSRNKNQSASSHLSPYLKSVAKLSSSISPASSISEWSSASLSPISTLNKMSNSSRSSFDISSCKDASGDSDASQVLDSQNHLNDENSVGPGTQVGLLGESVKKVPTGSSSVLHPDSVKPSGLRLPSPKIGFFDGARPTARTPNRSKQSHTALPSGLPGFRAGSVSPSGGSKNAKLGKLQPARTALRGTKISDQAAALGMKSPSPLQESSNAAPRASSALKNEKHSASKSLKAQNRKSFLGERKSNLKAEKIGSEECGTSLKDTDSGFTEGNGNACFLSDAPGKDTEITLGNGLHDKTTGLSSIPKAESMTSLEKVGEDVVCSQNYIKNSLPSLHGTSEKKKASTEDQVDGLTKQIGAVDFYNELHKEAIGDSLSLSQDDVGRVASGIQEEFKQLSKPTCSPNPAMASTIVEAEKAEAGIEKASVEDQVDGLTKQIGAVDFHLEMHKETVGDSLCLSQDDVSRVDSGTQEEFKELPKPTCSPVPAIASSMVEAEKEEAGIHKATAEDQVDGSTKLGDFLSLSQDVSRVDSHVREQFKELSKPTCSPTPVIASTMVEAEKAEAGIEKASVEDPIDGLIKQIGALDIHPKVHKEVVGDSLSLSKDYVSRVNSCIQEEFKELLKPACSPTPAMASTTVGVQRAEAATLLNPATTHGKSEDGETS >Potri.006G131650.3.v4.1 pep chromosome:Pop_tri_v4:6:10739434:10746478:-1 gene:Potri.006G131650.v4.1 transcript:Potri.006G131650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131650.v4.1 MNESEQNFSFEEKTLSLIDVSFEDDCLYNSPSHDFHVRFSDTKIGAENNLGFAEANNMQSELASFDGGELGPDPIKSMEPERVKKNTKYNLRKSLAWNSAFFTSAGVLEPEELSSMIGCEKHMLPGIEEDIHTSSDSISTLASDNLTSVNLEEADLFGDIRASIQRSTKGPGMENSNSKVGSPKTESKTIRSSEKVDVASRNRVPASEKVDIASRNKLKAKAAPNKPNAIMQGTEKTAKQSVPINGESKSLYRPPKIVGRVGPILAPATKRASLGANRVKVERAKDNPENAKKIAGRGAKVPALSGPRNAVPRPTLPVKSSLRSSSAMKTALTASSSIDSSGSLSSDCSSKYSLNSVRRESDSRTGNHSSSGSNVKTTLKFPSRNKNQSASSHLSPYLKSVAKLSSSISPASSISEWSSASLSPISTLNKMSNSSRSSFDISSCKDASGDSDASQVLDSQNHLNDENSVGPGTQVGLLGESVKKVPTGSSSVLHPDSVKPSGLRLPSPKIGFFDGARPTARTPNRSKQSHTALPSGLPGFRAGSVSPSGGSKNAKLGKLQPARTALRGTKISDQAAALGMKSPSPLQESSNAAPRASSALKNEKHSASKSLKAQNRKSFLGERKSNLKAEKIGSEECGTSLKDTDSGFTEGNGNACFLSDAPGKDTEITLGNGLHDKTTGLSSIPKAESMTSLEKVGEDVVCSQNYIKNSLPSLHGTSEKKKASTEDQVDGLTKQIGAVDFYNELHKEAIGDSLSLSQDDVGRVASGIQEEFKQLSKPTCSPNPAMASTIVEAEKAEAGIEKASVEDQVDGLTKQIGAVDFHLEMHKETVGDSLCLSQDDVSRVDSGTQEEFKELPKPTCSPVPAIASSMVEAEKEEAGIHKATAEDQVDGSTKLGDFLSLSQDVSRVDSHVREQFKELSKPTCSPTPVIASTMVEAEKAEAGIEKASVEDPIDGLIKQIGALDIHPKEEFKELLKPACSPTPAMASTTVGVQRAEAATLLNPATTHGKSEDGETS >Potri.006G131650.4.v4.1 pep chromosome:Pop_tri_v4:6:10739445:10742771:-1 gene:Potri.006G131650.v4.1 transcript:Potri.006G131650.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131650.v4.1 MKTALTASSSIDSSGSLSSDCSSKYSLNSVRRESDSRTGNHSSSGSNVKTTLKFPSRNKNQSASSHLSPYLKSVAKLSSSISPASSISEWSSASLSPISTLNKMSNSSRSSFDISSCKDASGDSDASQVLDSQNHLNDENSVGPGTQVGLLGESVKKVPTGSSSVLHPDSVKPSGLRLPSPKIGFFDGARPTARTPNRSKQSHTALPSGLPGFRAGSVSPSGGSKNAKLGKLQPARTALRGTKISDQAAALGMKSPSPLQESSNAAPRASSALKNEKHSASKSLKAQNRKSFLGERKSNLKAEKIGSEECGTSLKDTDSGFTEGNGNACFLSDAPGKDTEITLGNGLHDKTTGLSSIPKAESMTSLEKVGEDVVCSQNYIKNSLPSLHGTSEKKKASTEDQVDGLTKQIGAVDFYNELHKEAIGDSLSLSQDDVGRVASGIQEEFKQLSKPTCSPNPAMASTIVEAEKAEAGIEKASVEDQVDGLTKQIGAVDFHLEMHKETVGDSLCLSQDDVSRVDSGTQEEFKELPKPTCSPVPAIASSMVEAEKEEAGIHKATAEDQVDGSTKLGDFLSLSQDVSRVDSHVREQFKELSKPTCSPTPVIASTMVEAEKAEAGIEKASVEDPIDGLIKQIGALDIHPKVHKEVVGDSLSLSKDYVSRVNSCIQEEFKELLKPACSPTPAMASTTVGVQRAEAATLLNPATTHGKSEDGETS >Potri.006G131650.1.v4.1 pep chromosome:Pop_tri_v4:6:10739445:10746422:-1 gene:Potri.006G131650.v4.1 transcript:Potri.006G131650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131650.v4.1 MNESEQNFSFEEKTLSLIDVSFEDDCLYNSPSHDFHVRFSDTKIGAENNLGFAEANNMQSELASFDGGELGPDPIKSMEPERVKKNTKYNLRKSLAWNSAFFTSAGVLEPEELSSMIGCEKHMLPGIEEDIHTSSDSISTLASDNLTSVNLEEADLFGDIRASIQRSTKGPGMENSNSKVGSPKTESKTIRSSEKVDVASRNRVPASEKVDIASRNKLKAKAAPNKPNAIMQGTEKTAKQSVPINGESKSLYRPPKIVGRVGPILAPATKRASLGANRVKVERAKDNPENAKKIAGRGAKVPALSGPRNAVPRPTLPVKSSLRSSSAMKTALTASSSIDSSGSLSSDCSSKYSLNSVRRESDSRTGNHSSSGSNVKTTLKFPSRNKNQSASSHLSPYLKSVAKLSSSISPASSISEWSSASLSPISTLNKMSNSSRSSFDISSCKDASGDSDASQVLDSQNHLNDENSVGPGTQVGLLGESVKKVPTGSSSVLHPDSVKPSGLRLPSPKIGFFDGGIVIPTCDLSIMQARPTARTPNRSKQSHTALPSGLPGFRAGSVSPSGGSKNAKLGKLQPARTALRGTKISDQAAALGMKSPSPLQESSNAAPRASSALKNEKHSASKSLKAQNRKSFLGERKSNLKAEKIGSEECGTSLKDTDSGFTEGNGNACFLSDAPGKDTEITLGNGLHDKTTGLSSIPKAESMTSLEKVGEDVVCSQNYIKNSLPSLHGTSEKKKASTEDQVDGLTKQIGAVDFYNELHKEAIGDSLSLSQDDVGRVASGIQEEFKQLSKPTCSPNPAMASTIVEAEKAEAGIEKASVEDQVDGLTKQIGAVDFHLEMHKETVGDSLCLSQDDVSRVDSGTQEEFKELPKPTCSPVPAIASSMVEAEKEEAGIHKATAEDQVDGSTKLGDFLSLSQDVSRVDSHVREQFKELSKPTCSPTPVIASTMVEAEKAEAGIEKASVEDPIDGLIKQIGALDIHPKVHKEVVGDSLSLSKDYVSRVNSCIQEEFKELLKPACSPTPAMASTTVGVQRAEAATLLNPATTHGKSEDGETS >Potri.008G013800.1.v4.1 pep chromosome:Pop_tri_v4:8:690294:692286:1 gene:Potri.008G013800.v4.1 transcript:Potri.008G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013800.v4.1 MAMKTRIGGYAAIQYEDCQPKSEWKEEEGENVLRIHLPDFLKEQLKITYVHSSRIVRVTGERPLSYNKWSRFNQTFPVPQNCEVNKIQGKFHDGILSITMPKATIKQSHRKEEAKGTKEALLPSKDALPEKTTTSQVSQKPKMETKAQKGMEGAAGFSSPKQTDGQKVGALSPQEALKDQKSQKGPAEAPSKVVSTTDTMKQKDEKTDQVSSAKTVDQKPIVVKKESTDEVSKKLPTESVKEKTLFEEEESIKKRKESRVTEGDESSKKGKESMFAGETSSLRADKEKNVRFASAGTEEKTKQDFNVAGKVKEVKNVAATAAKKTMKGLSTLDLSEEGQSMVNMGVAVLVIVALGAYMVYSYRSSGTSKD >Potri.008G013800.2.v4.1 pep chromosome:Pop_tri_v4:8:690079:692218:1 gene:Potri.008G013800.v4.1 transcript:Potri.008G013800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013800.v4.1 MAMKTRIGGYAAIQYEDCQPKSEWKEEEGENVLRIHLPDFLKEQLKITYVHSSRIVRVTGERPLSYNKWSRFNQTFPVPQNCEVNKIQGKFHDGILSITMPKATIKQSHRKEEAKGTKEALLPSKDALPEKTTTSQVSQKPKMETKAQKGMEGAAGFSSPKQTDGQKVGALSPQEALKDQKSQKGPAEAPSKVVSTTDTMKQKDEKTDQVSSAKTVDQKPIVVKKESTDEVSKKLPTESVKEKTLFEEEESIKKRKESRVTEGDESSKKGKESMFAGETSSLRADKEKNVRFASAGTEEKTKQDFNVAGKVKEVKNVAATAAKKTMKGLSTLDLSEEGQSMVNMGVAVLVIVALGAYMVYSYRSSGTSKD >Potri.010G232800.3.v4.1 pep chromosome:Pop_tri_v4:10:21441249:21447273:1 gene:Potri.010G232800.v4.1 transcript:Potri.010G232800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232800.v4.1 MDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDNYSLYMALESCEGGELFDQITRKGRLSEDEACFYAAEVVDALEYIHSMGLIHRDIKPENLLLTAEGHIKIADFGSVKPMQDSCITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPDYFSGEARDLIDHLLDIDPSRRPGAGRGGYAELKNHPFFEGVDWKNLRGETPPKLVSEPMVQSGDSDHDSGSPYNPTRAGDSSLTQNDGNAGVSSSAEATAHITRLASIDSFDSKWQQFLDPGESVLMIAMVKKLQKLTSKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNSDDLSVQVTSPSHFKICTPKKVRSFEDAKQRAWQWKKAIESLQNQ >Potri.010G232800.4.v4.1 pep chromosome:Pop_tri_v4:10:21441319:21447258:1 gene:Potri.010G232800.v4.1 transcript:Potri.010G232800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232800.v4.1 MDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDNYSLYMALESCEGGELFDQITRKGRLSEDEACFYAAEVVDALEYIHSMGLIHRDIKPENLLLTAEGHIKIADFGSVKPMQDSCITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPDYFSGEARDLIDHLLDIDPSRRPGAGRGGYAELKNHPFFEGVDWKNLRGETPPKLVSEPMVQSGDSDHDSGSPYNPTRAGDSSLTQNDGNAGVSSSAEATAHITRLASIDSFDSKWQQFLDPGESVLMIAMVKKLQKLTSKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNSDDLSVQVTSPSHFKICTPKKVRSFEDAKQRAWQWKKAIESLQNQ >Potri.010G232800.1.v4.1 pep chromosome:Pop_tri_v4:10:21441249:21447344:1 gene:Potri.010G232800.v4.1 transcript:Potri.010G232800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232800.v4.1 MLEMEREFDSKLRIQSGDHPSSSSNNNNGSVQRSKSFAFRAPQENFTIHDFELGKIYGVGSYSKVVRAKKKDTGTVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDNYSLYMALESCEGGELFDQITRKGRLSEDEACFYAAEVVDALEYIHSMGLIHRDIKPENLLLTAEGHIKIADFGSVKPMQDSCITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPDYFSGEARDLIDHLLDIDPSRRPGAGRGGYAELKNHPFFEGVDWKNLRGETPPKLVSEPMVQSGDSDHDSGSPYNPTRAGDSSLTQNDGNAGVSSSAEATAHITRLASIDSFDSKWQQFLDPGESVLMIAMVKKLQKLTSKKVQLILTNKPKLIYVDPSKLVVKGNIIWSDNSDDLSVQVTSPSHFKICTPKKVRSFEDAKQRAWQWKKAIESLQNQ >Potri.010G232800.5.v4.1 pep chromosome:Pop_tri_v4:10:21441317:21447326:1 gene:Potri.010G232800.v4.1 transcript:Potri.010G232800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232800.v4.1 MLEMEREFDSKLRIQSGDHPSSSSNNNNGSVQRSKSFAFRAPQENFTIHDFELGKIYGVGSYSKVVRAKKKDTGTVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDNYSLYMALESCEGGELFDQITRKGRLSEDEACFYAAEVVDALEYIHSMGLIHRDIKPENLLLTAEGHIKIADFGSVKPMQDSCITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDIRFPDYFSGEARDLIDHLLVSYKAFVH >Potri.018G115800.1.v4.1 pep chromosome:Pop_tri_v4:18:13192506:13194879:-1 gene:Potri.018G115800.v4.1 transcript:Potri.018G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115800.v4.1 MEKRVVIIGSGVSGLLACKNTLKIGLNPIVFEAEERIGGVWSHTLESTRLQNSKEIYQFSDFPWPSSIKNTYPTHNQVMEYLESYAQHFNIFACIKFNSKVIGIEYFGESNEEMDSWALWSGTGKPFGSKGKWHVKVQDTKNCSIQVYHAEFVVLCIGQFSGLPNIPEFLPNQGPEVFKGKVMHSEDFSALDNSTASELIRTKRITVVGSHKSAVDIAAECANANGVMYPCTMIQRNAHWFFPRDNLSGLLLAFLCYNRFSELLVYKPGETFLLSFLATLLAPLRWGISKFIEIYLRWNIPLKKYGMLPKSSFLEDISSCQIAMLPDKFYDRVEEGSIIIKNSQSLSFFPEGLIINGENRPFETDLVIFATGFKGDEKLKNIFESPVFQNYIIGSPTTTVSLYRQIIHPRIPQLAIIGYSENFSSLGYSEIESVWLSHFLDGNLELPSIRDMEEEANMWADHIKQVTGRYFRRACISNFNIYYNDQLCKDMGYNPRRKKGLLADLFIPYTPTDYSGLTSK >Potri.012G137400.1.v4.1 pep chromosome:Pop_tri_v4:12:15090210:15091262:1 gene:Potri.012G137400.v4.1 transcript:Potri.012G137400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G137400.v4.1 MASLKSLSSPAALLLLLIALAVQTQLAHSQICTSQLNSLNVCAPFVVPGAPNTNPSTDCCNALGAVQHDCLCSTLQIAARLPSQCNLPPITCGN >Potri.009G045100.1.v4.1 pep chromosome:Pop_tri_v4:9:5152605:5157632:1 gene:Potri.009G045100.v4.1 transcript:Potri.009G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045100.v4.1 MTCRRLPLAFLFFLYLVISSHSLDFDDLHKKHKSKIKGPIKTLVILVMENRSFDHVLGWLKSTRPEIDGLTGSESNRISVSDPNADEIFVSDDAVFIDSDPGHSFQAIREQIFGSNDSLADPAPMSGFAQQAKSMSETMSKVVMSGFKPSRVPVYTELANEFAVFDRWFASVPASTQPNRLYVHSATSHGAMSNVRKDLIHGFPQKTIFDSLDENGLSFGVYYQNIPATLFLKSLRKLKHAMKFHSYQLKFKLHAKLGKLPNYVVVEQRYFDVELFPANDDHPSHDMARGQRFVKEVYETLRSSPQWKEMALLITYDEHGGFYDHVPTPVRGVPNPDGIVGRDPYYFQFNRLGVRVPTLLISPWIDKGTVIHEPAGPRPSSQFEHSSIPATVKKLFNLKSNFLTRRDAWAGSFENYFYLRDTPRDDCPETLPEVTTLMRPWGPKEDASLSEFQVEMIQLASQLNGDYVLNAYPDIGKSMTVGEANRYAEDAVRRFLEAGRAALRAGANESAIVTMRPSLTSRIPVGGPGNYQKAY >Potri.005G138800.1.v4.1 pep chromosome:Pop_tri_v4:5:10910134:10912976:-1 gene:Potri.005G138800.v4.1 transcript:Potri.005G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138800.v4.1 MSMTSANFPGNYLVLRPQEVSYLNVFRILWNDDIEKKAFVDFPDGKVENLHRRWLIFLSLLSQKILQSIARPMASFGSRVEMWLNLISCNRNIFVLFINYLRGRVERPVKESKTFLSFAGHLDKRVDLDKNIKHGDSRYYSALSVMAAKVAYENKAFVENAVRNHWKMELIGYYDFWNDFQQKRTTQGFMFHDKNADPDIIVVAFRGTEAFDADDWCSDFDISWYEFPGIGKIHGGFMKALGLSMRQGWPPEFRQGADGQPIAYYTIREKLKQLLKQNKKTKFILTGHSMGGAIATLFPAVLAMHKETWLLERLEGVYTFGQPRVGDGEFKRFMESQMQKHKFKYVRFVYCNDVITRLPIDDSTFLFKHFGTCVYYNSCYYGKIVSEEPHKNYISVFAAIPRFLNALWELVRGFILPYRKGADYKEPWLLILLRWYGLILPGLSAHTPQDYVNLTRLGPDTIYHRLQDPKFGSVSNSDATKD >Potri.010G188500.1.v4.1 pep chromosome:Pop_tri_v4:10:18490566:18494714:1 gene:Potri.010G188500.v4.1 transcript:Potri.010G188500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188500.v4.1 MAELTQPEVVYSPRSIQLWRTLWDWLAFFFHIFLQILRAVGPQTLSSSHPFKPLPLVELPDTTDPPPATVEISAGTDAVSANEPIQKLKVVLDLDETLVCAYETSSLPAALRNQATEAGLKWFELDCISSDKECEGKPKINYVTVFERPGLDEFLKQLSEFAELVLFTAGLEGYARPLVDRIDTENRFSLRLYRPSTSSTEYREHVKDLSCISKDPCRIVIVDNNPFSFLLQPLNGIPCVPFSAGQPHDTQLLDVLLPLLKQLSQQKDVRPVLYERFHMPEWFQKQGIPASGWT >Potri.004G180000.1.v4.1 pep chromosome:Pop_tri_v4:4:19482585:19483795:1 gene:Potri.004G180000.v4.1 transcript:Potri.004G180000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180000.v4.1 MKVANLLGALVLLALTSSFATAYDPSPLQDICVAINDTDSAVLVNGKFCKNPSLYTPDDFSFSGFDVPGNTSNQLGVHVNIVTADLMPGLNTLGVSMARIDFAPNGGLNPPHYHPRASELLLVVKGTLYAGFVTSNPDHRLFAKILKPGDLIVFPFGLVHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASPSINPAVITTAFHLDRKLVEDLQSQEWVNPT >Potri.015G031700.1.v4.1 pep chromosome:Pop_tri_v4:15:2445090:2445944:1 gene:Potri.015G031700.v4.1 transcript:Potri.015G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031700.v4.1 MAGGEVVERAECGCCGMREECTMGYIGWVQERFGGVWVCGLCEEAIKDEQTRLGVGVEVALRIHATFRETANADPPIHVAQSILQLIKKIMSSTSSSPN >Potri.014G106300.1.v4.1 pep chromosome:Pop_tri_v4:14:7129880:7132592:1 gene:Potri.014G106300.v4.1 transcript:Potri.014G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106300.v4.1 MERLQGPINSCFLEEHSLDLGCLDQVFINTESLRFGEEEPHISSPSFEDKMPFLQMLQTVETPPFFPYKEPSFQTLLKLQHLQKPWNMNTFYMPETDTQVQPLELESCVTHDIVDLHSPVKSETKEHPNPHSNSCLEGVSPEPAEPNSDSSIPWRAQPQTVPNMTTHFSESSTLIITRERRKRKRTRATKNKEEVESQRMNHIAVERNRRRLMNDHLNSLRSLMTPSYIQKGDQASIIGGAIDFVKELEQLVQSLEAQKKIREIETASTAGISPNQYSTSQPQCDLLLEEGGTCEEERTVKKKSEATEIEVAAVQNHVNLKIKCQRIPGQLLRAIVALEDLGLTVLHLNITSSQATVLYSFNLKLEDNCKLGSTDEVAAAAHQIFSSISG >Potri.004G084100.2.v4.1 pep chromosome:Pop_tri_v4:4:6959465:6962720:1 gene:Potri.004G084100.v4.1 transcript:Potri.004G084100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084100.v4.1 MKPIIDLEVEATSENVSEVSSQVASNLSNQETSAAPSNDSLTNYSYLTNAIATQSGSEMVSLDLTLCFNNDELGGRDPVGLSLSSTSESSNEPASRTTAEAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAIRMGIFSERYASLASLPLHGSSFRSLGIEAHSSVHQNFAPPVRSLEISSSARFDQGYAGLPVFMEDDEAELLWPGSFRQVAVSDDAHQSFVVAGSSNMIFLGATPPVNLDDSAPDLTLKL >Potri.010G221600.4.v4.1 pep chromosome:Pop_tri_v4:10:20664269:20668722:1 gene:Potri.010G221600.v4.1 transcript:Potri.010G221600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221600.v4.1 MASSTLTSKSFLGSSRIDGASISSDLRKLSISSVQISFRSRIPKKLQINAAGSTFGTNFRVTTFGESHGGGVGCIIDGCPPRIPLSEADMQFDLDRRRPGQSRITTPRKETDTCKISSGVSEGLTTGTPIHVFVPNTDQRGLDYSEMSVAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVAAGGVAKKILKLYAGTEILAYVSQVHKVVLPEGVVDHDSLTLDQMESNIVRCPDPEYAEKMIAAIDAVRVKGDSVGGVVTCIVRNAPRGLGSPVFDKLEAELAKAAMSLPATKGFEFGSGFAGTLLTGSEHNDEFYTDKHGRIRTRTNRSGGIQGGISNGEIINMRIAFKPTSTIGKKQHTVTRDKKETDLIARGRHDPCVVPRAVPMVEAMVALVLMDQLMAQYSQCYLLPINSELQEPLIMPRLEAANASV >Potri.001G340600.1.v4.1 pep chromosome:Pop_tri_v4:1:35088109:35091203:-1 gene:Potri.001G340600.v4.1 transcript:Potri.001G340600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340600.v4.1 MASGLPFRHPAQGFNASQQLIKSDRGSMLTMSDDNVMMKQIVGTHAPDGREVDVKPLLHLVEDILKRATQQIDTSLTTSQAHAELEDKTHQVNFVSMLDALSYTIDRISCEIAYKSLDGTDAHATTVSLFNMLPSYSWDAKLVLTLAAFALNYGEFWLLAQIYSSNQLAKSMAILRQLPSIMEHSGPLKPRFDAINNLIKVMMDVARCVVEFKDLPPAYISNEVPALSTAMAHIPTAVYWTMRSVVACAAQITSLTTMGHEFSISTTVAWELSSLAHKLSNILDHLRKQLATCYQHIDEKRNVESFRMLKNLFEMVHIDNMKILKALIYAKDDIQPLIDGSSKKRVHLDVLRRKNVLLLISGLDMSNDELSILEQIYNESRPHEARLDSQYEVVWVPIVDRSVQSDAMKEKFESMQSSMPWYTVYHPSLIEKAVIRFIKEVWHFRNKPILVVLDPQGKVVSPNALHMMWIWGSSAFPFTSLREESLWRDETWRLELLVDGIDPVILNWIKEGKYIFLYGGDDDEWARKFTNTARAVAQAARIPLEMVYVGKSSKREKIRRVIATITVEKLSYVWQDLTMIWFFWTRLESMLYSKIQLGRLDDHDPMMQEIKKLLSYDREGGWAVLSKGSNVVANGHRTTVLQTLLEYDMWKDQVPVKGFDLAFQDHQGRIHDISRPCCRFDFPMTTGRIPETMKCPECNRTMEKFSTFLCCHDEVIPDELFK >Potri.001G423900.1.v4.1 pep chromosome:Pop_tri_v4:1:45103388:45104482:1 gene:Potri.001G423900.v4.1 transcript:Potri.001G423900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423900.v4.1 MLVCFGSRIQTPTRTSSLYSILKSLPSETPNASKTLMAAPGTQLKSKRPTCPSCSKPASLCICIRIQNPGLQNKVNITILQHSLERKHALNSARIARVGLQNVTLSTVSDVKFDAKFMIHLFDPGHDSGSGQDGEKSSDFYQVMDDKADEFDASHVTKGSKEPVITCSVGKYGIVTNISIGNVWMPHVQWKRRLSFDKILASKVAVDDLAKGFVVKKLQRRRVDGSEELEEVEEFEVAVPPGSVLLFPSKNALDVDGLKAMDFEAKNLIVLDGTWSKARRMYCENPWLRFLPHLKLDLDRLSLYSEVRQQPKAGYLSTIESIVYALEEIGDYPEGLDNLLGVFESMVGDQRRFKNERLSKLSSA >Potri.009G008600.1.v4.1 pep chromosome:Pop_tri_v4:9:1646311:1648507:-1 gene:Potri.009G008600.v4.1 transcript:Potri.009G008600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G008600.v4.1 MGDIEGSPGSSMHGVTGKEQTFAFSVASPTVPTDTTANFALPVDSEHKAKVFKIFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKSDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVNSAGGYLAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLVMPLVYELIKRAGSTSFSAWRIAFFFPGWLHVIMGILVLNLGQDLPDGNLGALKKKGDVAKDKFSKVLWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFYDRFDLKLHTAGVIAATFGMANLVARPFGGYSSDVAARYFGMRGRLWVLWILQTLGGVFCIWLGRANSLPLAVTAMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLVFFSSSSLSTAAGLSWMGVMICGCTLPVTLVYFPQWGGMFFPPSKDVVKSTEESYYASEWDEDEKQKGMHQQSLKFAENSRSERGKRIASAPTPPSTTPNRV >Potri.009G065800.1.v4.1 pep chromosome:Pop_tri_v4:9:6636271:6638089:-1 gene:Potri.009G065800.v4.1 transcript:Potri.009G065800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065800.v4.1 MAPRKTPQVSRNPDLVRGIGKYSRSQMYHKRGLWAIKAKNGGVFPKHAPKVKDAAPAEKPPKFYPADDVKKPLPNRRKPKPTKLRDSITPGTVLIILVGRFKGKRVVFLKQLPSGLLLVTGPFKINGVPLRRVNQSYVIGTSTKVDISGVNVEKFDDKYFGKKAEKKKKKGEGNFFEADKEGENALPQEKKDDQKAVDAPLIKSLECVPDLKAYLGARFSLKDGMKPHELVF >Potri.002G021200.1.v4.1 pep chromosome:Pop_tri_v4:2:1331072:1332037:-1 gene:Potri.002G021200.v4.1 transcript:Potri.002G021200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021200.v4.1 MGVSKSRKYCILLYVFLIFLVSFICNAQALSTVADQHVLDVPPNDENTPARASPPVAAPILNNVPPFGKPLSNQGQQSPLGYRQQQELNNPPQDINQPRSSLTQPLSSLNQPLSGTSVPFSALNQPFGASDSQNLLRNLPFASGVSVEKTSTDLGVVLFPLITLMATL >Potri.006G043092.2.v4.1 pep chromosome:Pop_tri_v4:6:2905365:2907200:-1 gene:Potri.006G043092.v4.1 transcript:Potri.006G043092.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043092.v4.1 MDTLLKTHNKIEFLPQFHGFSEKVSNSSSVKIQIQELRFGPKKFFSKVGRNGCVEASSSALLELVPETKKENLEFDLPMYDPSKGLVVDLAVVGGGPAGLAVAQQVSEAGLSVCSIDPSPQLIWPNNYGVWVDEFDAMGLLDCLDTTWSGAVVYVNDKTKKDLDRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVIKVIHEESKSLLICNDGVTIQAVVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDVDKMVFMDWRDSHLNNNLELKERNSKTPTFLYAMPFSSDRIFLEETSLVARPGVPMKDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANSIVQYLGSDRSFSGSELSAKVWKDLWPVERRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELVLFGLSLFSHASNTSRLEIMAKGTLPLVNMTNNLIQDRE >Potri.006G043092.1.v4.1 pep chromosome:Pop_tri_v4:6:2902519:2907423:-1 gene:Potri.006G043092.v4.1 transcript:Potri.006G043092.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043092.v4.1 MDTLLKTHNKIEFLPQFHGFSEKVSNSSSVKIQIQELRFGPKKFFSKVGRNGCVEASSSALLELVPETKKENLEFDLPMYDPSKGLVVDLAVVGGGPAGLAVAQQVSEAGLSVCSIDPSPQLIWPNNYGVWVDEFDAMGLLDCLDTTWSGAVVYVNDKTKKDLDRPYGRVNRKQLKSKMLQKCISNGVKFHQAKVIKVIHEESKSLLICNDGVTIQAVVVLDATGFSRCLVQYDKPYNPGYQVAYGILAEVEEHPFDVDKMVFMDWRDSHLNNNLELKERNSKTPTFLYAMPFSSDRIFLEETSLVARPGVPMKDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANSIVQYLGSDRSFSGSELSAKVWKDLWPVERRRQREFFCFGMDILLKLDLPATRRFFDAFFDLEPHYWHGFLSSRLFLPELVLFGLSLFSHASNTSRLEIMAKGTLPLVNMTNNLIQDRE >Potri.017G139600.1.v4.1 pep chromosome:Pop_tri_v4:17:14052694:14059375:-1 gene:Potri.017G139600.v4.1 transcript:Potri.017G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139600.v4.1 MGEESLTTVPFDRTVEQAILAMKKGAHLLKCGRRGKLKFCPFRLSTDEKYLIWYSGQEEKQLRLSLVVKIVTGQRTRQLQPDKENQSFSLIYTNGDRARSLDLICKDKAQADSWFIGLRAVISRCHRSRPICVLKNHRGAQSCVNSPAGFIRRKHNLGILEDDTELSQVRSLSGSPTQSLSDRGISDGLSLSSDSLFFSGSSLPQMQNVEDLLVSYIPNVEPQNLKKNGSDTAYSEFQKNTCHRVIGLNHGSPRTEKKDILKDVLIWGEGVEVGNIGCVDNQFGYHNTKQVDALLPKLLESTVMLDVTNISLGRKHAALITKRGEVFCWGEGSRGKLGHKVDMDVSSPKMVESLDGVHVKSVACGEYQTCALTDSGELYAWGENKYGANLGCEERSRSQWLPRRISGPLTGVCILNVACGDWHTAIVSSSGQLFTYGDGSFGVLGHGNLLSVSQPKEVESLKGLLVKSVACGSWHTAAIVDIIVDRFKFNGVGGKLFTWGDGDKGRLGHADLEKKLLPTCVAQLVELDFDQVSCGRMLTVALTNTGKVYTMGSSVHGQLGNPHAKDKSIVIVEGKLKEEFVKEISSGSYHVAALTSSGSLYTWGKGTNGQLGLGNVEDRNFPTLVEALRDMQVQSIACGSNLTAAICLHKSISVSDQSACKGCRMPFGFTRKKHNCYNCGLLFCRACSSKKVMNASLAPNKSKPSRVCDSCFYSMQNITHPGGVSKLENLGSKKLLSQQKKALSDEKEERGRATPPGHRLQLMSQPSLEIRPGERKTPRNQGEKQQHLETAFSISAGLPQWGQVSCPAIFESCYIKNSELPLESKSSISSSLNLEEELSDSKKMLIEEVKRLRAQARSLEMQCQTGSQKIEECQLTIEKTWFLAREEAAKRKAANEIIKALALRLHAMSDKVSVRKEAKDGVDSYQPQTRPDYTDTPTLSGGCAVFPSTHLPLEVRFPKDSKIDSLSNSPIVFSNTLKSMDGRELCHEDSRPEEDLHDTTTDPRRNGTKASKHEWVEQYEPGVYITFTILPSGLKGLKRVRFSRKRFAEKEAERWWEENQAIVYQKYGIEGYNKSNQNLTKS >Potri.017G139600.4.v4.1 pep chromosome:Pop_tri_v4:17:14052586:14056620:-1 gene:Potri.017G139600.v4.1 transcript:Potri.017G139600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139600.v4.1 MQNVEDLLVSYIPNVEPQNLKKNGSDTAYSEFQKNTCHRVIGLNHGSPRTEKKDILKDVLIWGEGVEVGNIGCVDNQFGYHNTKQVDALLPKLLESTVMLDVTNISLGRKHAALITKRGEVFCWGEGSRGKLGHKVDMDVSSPKMVESLDGVHVKSVACGEYQTCALTDSGELYAWGENKYGANLGCEERSRSQWLPRRISGPLTGVCILNVACGDWHTAIVSSSGQLFTYGDGSFGVLGHGNLLSVSQPKEVESLKGLLVKSVACGSWHTAAIVDIIVDRFKFNGVGGKLFTWGDGDKGRLGHADLEKKLLPTCVAQLVELDFDQVSCGRMLTVALTNTGKVYTMGSSVHGQLGNPHAKDKSIVIVEGKLKEEFVKEISSGSYHVAALTSSGSLYTWGKGTNGQLGLGNVEDRNFPTLVEALRDMQVQSIACGSNLTAAICLHKSISVSDQSACKGCRMPFGFTRKKHNCYNCGLLFCRACSSKKVMNASLAPNKSKPSRVCDSCFYSMQNITHPGGVSKLENLGSKKLLSQQKKALSDEKEERGRATPPGHRLQLMSQPSLEIRPGERKTPRNQGEKQQHLETAFSISAGLPQWGQVSCPAIFESCYIKNSELPLESKSSISSSLNLEEELSDSKKMLIEEVKRLRAQARSLEMQCQTGSQKIEECQLTIEKTWFLAREEAAKRKAANEIIKALALRLHAMSDKVSVRKEAKDGVDSYQPQTRPDYTDTPTLSGGCAVFPSTHLPLEVRFPKDSKIDSLSNSPIVFSNTLKSMDGRELCHEDSRPEEDLHDTTTDPRRNGTKASKHEWVEQYEPGVYITFTILPSGLKGLKRVRFSRKRFAEKEAERWWEENQAIVYQKYGIEGYNKSNQNLTKS >Potri.019G082200.1.v4.1 pep chromosome:Pop_tri_v4:19:12249362:12253503:1 gene:Potri.019G082200.v4.1 transcript:Potri.019G082200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082200.v4.1 MQLKPLNTYTITLMLFFTIIILFFSGFLEFPSIATSITSPIKDPNFPVKTTPDPFTDLFVAFKKWDSQVGCVQFREKHKNLGSFGSKGTNGSASLQVVDGDVGCSELKMEHVNVLVKGWTWIPGNLDNLYSCHCGLSCLWTKSSVLADKPDALLFETTTPPLQRRNGDPLRVYMDLEAGRKRSGREDLFISYHAEDDVQSTYAGALFHNGRNYHVSRRKDNDTLVYWSSSRCLADRNRLAKSLLSLLPHHSFGKCLNNVGGLDMALSFYPECANDASLKPKWWDHLHCAMSHYKFVLAIENTWTESYVTEKLFYALDSGSVPIYFGAPNVLDFVPPHSIIDGNKFNSMEELASYVKDLANDPVAYAEYHAWRRCGVLGNYGKTRAASLDTLPCRLCEAVSRKGGRNARA >Potri.010G024700.1.v4.1 pep chromosome:Pop_tri_v4:10:3642126:3644586:1 gene:Potri.010G024700.v4.1 transcript:Potri.010G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024700.v4.1 MATTTSVQEVPKVLKCKTWVLKVSIHCQGCKRKVRKVLQSIDGVFTTSIDSQQQRVTVTGNIEAGTLIKKLMKTGKHAEIWPEKVATKEKESGKAKSMHSKNDQNQNDSGSKKSVRFSVEGLGEETKKGKKPPENSTAGEELPGGNNKGSIPEGGGADSACKKKKKKGQKGNDGSNVTGGSGLPSSGTSAGSEYQTHGVGMNQVMGPSDLNPTRQHSIPWPLGYSGPQVYASSCYMAYPYGSPTPFNYHVAPAPYTNANQTTQVDSFNIFSDENVNGCSIM >Potri.012G027101.2.v4.1 pep chromosome:Pop_tri_v4:12:2624256:2625389:1 gene:Potri.012G027101.v4.1 transcript:Potri.012G027101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027101.v4.1 MLQLCIFIFESIGGLESNKQALYEPVILPLRKPELFSHGKLLGPQNGVLLYGPPGTGKTMLAKAIVRESGAVFINVRISNLKSKWFGDAQKL >Potri.012G027101.1.v4.1 pep chromosome:Pop_tri_v4:12:2624256:2625206:1 gene:Potri.012G027101.v4.1 transcript:Potri.012G027101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027101.v4.1 MLQLCIFIFESIGGLESNKQALYEPVILPLRKPELFSHGKLLGPQNGVLLYGPPGTGKTMLAKAIVRESGAVFINVRISNLKSKWFGDAQKLFAAVFSLAYKLQIMRHEA >Potri.006G166200.1.v4.1 pep chromosome:Pop_tri_v4:6:16329705:16334087:1 gene:Potri.006G166200.v4.1 transcript:Potri.006G166200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166200.v4.1 MESSLFANKPVYPIPINRPPPLPNNPPLKFSSATLPPPPSPQSTFHFDSLLQHLLHLSSPPNHKLNKTQFPSLQISNDSSSHKQLYKNTSRKPISTSVSVLEFEVEKEEGLSENESLEFLSKRGKLLLNSIKEQPLGGLNDFFESCKFELFQVDLIGVLKALDLSGDCERAILLFEWLVLNLGTGNVNLDNQAVELMARILGRESQHSIASKLFDVIPLDDYSLDVRAYTTILHSYSRCGKYERAVAIFEKMNESGLSPTLVTYNVMLDVYGKMGRSWNKILGLLDEMRSKGLGFDEFTCSTVISACGREGLLDEAKEFFVGLKSQGYAPGTVTYNALLQVFGKAGIYSEALSIMKEMEDNNCPPDAVTYNELVAAYVRAGFYEEGAALIDTMTENGIKPNAVTYTTMINAYGRAAQVDKALSLYDQMKESGCAPNVCTYNAILGMLGKKSQSEEMMKILCDMKVDGCAPNRITWNTMLSMCGNKGMHKYVKRVFQEMKSCGFEPDRDTFNTLITASGRCGSDIDAEKIYDDMLEAGFTPSVATYNALLNALARRGDWRTAESVIKDMKNKGFKPSETSYSLILNSYAKGGYVKGINRIEKDIYDGHIFPSWMLLRTLILANFKCRALAGMERAFQALQKHGYKPDLVVFNSMLSMFSRKNMHDRAHEIMHLIQECGLQPDLVTYNSLMDLYARGGECWKAEEILRELQNSGDKSDLISYNTVIKGFCRQGLMHEALRTLSEMISRGIRPCIVTYNTFVGGYAAKGMFAEIDEVLSYMTKHDCRPNELTYKIVVDGYCKAKKFKEAMDFVSTITDIDDSFDYQSMRRLSSRVRENMQS >Potri.006G166200.2.v4.1 pep chromosome:Pop_tri_v4:6:16329681:16334273:1 gene:Potri.006G166200.v4.1 transcript:Potri.006G166200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166200.v4.1 MESSLFANKPVYPIPINRPPPLPNNPPLKFSSATLPPPPSPQSTFHFDSLLQHLLHLSSPPNHKLNKTQFPSLQISNDSSSHKQLYKNTSRKPISTSVSVLEFEVEKEEGLSENESLEFLSKRGKLLLNSIKEQPLGGLNDFFESCKFELFQVDLIGVLKALDLSGDCERAILLFEWLVLNLGTGNVNLDNQAVELMARILGRESQHSIASKLFDVIPLDDYSLDVRAYTTILHSYSRCGKYERAVAIFEKMNESGLSPTLVTYNVMLDVYGKMGRSWNKILGLLDEMRSKGLGFDEFTCSTVISACGREGLLDEAKEFFVGLKSQGYAPGTVTYNALLQVFGKAGIYSEALSIMKEMEDNNCPPDAVTYNELVAAYVRAGFYEEGAALIDTMTENGIKPNAVTYTTMINAYGRAAQVDKALSLYDQMKESGCAPNVCTYNAILGMLGKKSQSEEMMKILCDMKVDGCAPNRITWNTMLSMCGNKGMHKYVKRVFQEMKSCGFEPDRDTFNTLITASGRCGSDIDAEKIYDDMLEAGFTPSVATYNALLNALARRGDWRTAESVIKDMKNKGFKPSETSYSLILNSYAKGGYVKGINRIEKDIYDGHIFPSWMLLRTLILANFKCRALAGMERAFQALQKHGYKPDLVVFNSMLSMFSRKNMHDRAHEIMHLIQECGLQPDLVTYNSLMDLYARGGECWKAEEILRELQNSGDKSDLISYNTVIKGFCRQGLMHEALRTLSEMISRGIRPCIVTYNTFVGGYAAKGMFAEIDEVLSYMTKHDCRPNELTYKIVVDGYCKAKKFKEAMDFVSTITDIDDSFDYQSMRRLSSRVRENMQS >Potri.006G166200.3.v4.1 pep chromosome:Pop_tri_v4:6:16329717:16332426:1 gene:Potri.006G166200.v4.1 transcript:Potri.006G166200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166200.v4.1 MESSLFANKPVYPIPINRPPPLPNNPPLKFSSATLPPPPSPQSTFHFDSLLQHLLHLSSPPNHKLNKTQFPSLQISNDSSSHKQLYKNTSRKPISTSVSVLEFEVEKEEGLSENESLEFLSKRGKLLLNSIKEQPLGGLNDFFESCKFELFQVDLIGVLKALDLSGDCERAILLFEWLVLNLGTGNVNLDNQAVELMARILGRESQHSIASKLFDVIPLDDYSLDVRAYTTILHSYSRCGKYERAVAIFEKMNESGLSPTLVTYNVMLDVYGKMGRSWNKILGLLDEMRSKGLGFDEFTCSTVISACGREGLLDEAKEFFVGLKSQGYAPGTVTYNALLQVFGKAGIYSEALSIMKEMEDNNCPPDAVTYNELVAAYVRAGFYEEGAALIDTMTENGIKPNAVTYTTMINAYGRAAQVDKALSLYDQMKESGCAPNVCTYNAILGMLGKKSQSEEMMKILCDMKVDGCAPNRITWNTMLSMCGNKGMHKYVKRVFQEMKSCGFEPDRDTFNTLITASGRCGSDIDAEKIYDDMLEAGFTPSVATYNALLNALARRGDWRTAESVIKDMKNKGFKPSETSYSLILNSYAKGGYVKGINRIEKDIYDGHIFPSWMLLRTLILANFKCRALAGMERAFQALQKHGYKPDLVVFNSMLSMFSRKNMHDRAHEIMHLIQECGLQPDLVTYNSLMDLYARGGECWKAEEILRELQNSGDKSDLISYNTVIKGFCRQGLMHEALRTLSEMISRGIRPCIVTYNTFVGGYAAKGMFAEIDEVLSYMTKHDCRPNELTYKIVVDGYCKAKKFKEAMDFVSTITDIDDSFDYQSMRRLSSRVRENMQS >Potri.008G042400.1.v4.1 pep chromosome:Pop_tri_v4:8:2400074:2405281:1 gene:Potri.008G042400.v4.1 transcript:Potri.008G042400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042400.v4.1 MSTSYLPATTDSIAQALEAKNPSESISIFYRILESPSSSPESLRIKEQSITNLSDLLRQENRAEELRSLLTQLRPFFALIPKAKTAKIVRGIIDAVAKIPGTSDLQISLCKEMVQWTRAEKRTFLRQRVEARLAALLMESKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTGYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHSKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIMA >Potri.008G042400.2.v4.1 pep chromosome:Pop_tri_v4:8:2403424:2404765:1 gene:Potri.008G042400.v4.1 transcript:Potri.008G042400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042400.v4.1 MVQWTRAEKRTFLRQRVEARLAALLMESKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTGYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHSKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIMA >Potri.001G323600.5.v4.1 pep chromosome:Pop_tri_v4:1:33279353:33282339:1 gene:Potri.001G323600.v4.1 transcript:Potri.001G323600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323600.v4.1 MGCSASMYAVGKRKKTCIPEVVVYVPSMRIPAQSDLQRPLRGLILQDLVDRLACLRNQIVLVAEDTGGSAVAELRRALEEYLPLLIGLTKKEHGLEGLVEFNWKNLEDGRLENSVANSWFELLSVIHMIAMLNLSEANSLMIPKDHSGSGIRVVSSDCKRDSVDLLLKASGCLVFCVREIMAHLPPDIKKKFSEVFQDGVLEAISIQALGQGTEIQLGLAVESQKASLSVKRRLACEQLIYFGQAYHCLSESSNTSNMHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVTAVCCFLAADGLLSESKKACLTFCLTTPVTRSPPLWGAMKHLHQKIPEVAARKSQMYGYLLEEEKALQAPPDLPDFQLSLAPDDYLLPEIDSAWDCNKCEIQSQPLKEHPKDSEDDTETE >Potri.001G323600.4.v4.1 pep chromosome:Pop_tri_v4:1:33279062:33282339:1 gene:Potri.001G323600.v4.1 transcript:Potri.001G323600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323600.v4.1 MGCSASMYAVGKRKKTCIPEVVVYVPSMRIPAQSDLQRPLRGLILQDLVDRLACLRNQIVLVAEDTGGSAVAELRRALEEYLPLLIGLTKKEHGLEGLVEFNWKNLEDGRLENSVANSWFELLSVIHMIAMLNLSEANSLMIPKDHSGSGIRVVSSDCKRDSVDLLLKASGCLVFCVREIMAHLPPDIKKKFSEVFQDGVLEAISIQALGQGTEIQLGLAVESQKASLSVKRRLACEQLIYFGQAYHCLSESSNTSNMHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVTAVCCFLAADGLLSESKKACLTFCLTTPVTRSPPLWGAMKHLHQKIPEVAARKSQMYGYLLEEEKALQAPPDLPDFQLSLAPDDYLLPEIDSAWDCNKCEIQSQPLKEHPKDSEDDTETE >Potri.001G323600.9.v4.1 pep chromosome:Pop_tri_v4:1:33279353:33282339:1 gene:Potri.001G323600.v4.1 transcript:Potri.001G323600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323600.v4.1 MGCSASMYAVGKRKKTCIPEVVVYVPSMRIPAQSDLQRPLRGLILQDLVDRLACLRNQIVLVAEDTGGSAVAELRRALEEYLPLLIGLTKKEHGLEGLVEFNWKNLEDGRLENSVANSWFELLSVIHMIAMLNLSEANSLMIPKDHSGSGIRVVSSDCKRDSVDLLLKASGCLVFCVREIMAHLPPDIKKKFSEVFQDGVLEAISIQALGQGTEIQLGLAVESQKASLSVKRRLACEQLIYFGQAYHCLSESSNTSNMHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVTAVCCFLAADGLLSESKKACLTFCLTTPVTSTCIRKFLKLQQGSLRCMATSWKKRRLYKHRLTYQISNCH >Potri.001G323600.3.v4.1 pep chromosome:Pop_tri_v4:1:33279062:33282339:1 gene:Potri.001G323600.v4.1 transcript:Potri.001G323600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323600.v4.1 MGCSASMYAVGKRKKTCIPEVVVYVPSMRIPAQSDLQRPLRGLILQDLVDRLACLRNQIVLVAEDTGGSAVAELRRALEEYLPLLIGLTKKEHGLEGLVEFNWKNLEDGRLQENSVANSWFELLSVIHMIAMLNLSEANSLMIPKDHSGSGIRVVSSDCKRDSVDLLLKASGCLVFCVREIMAHLPPDIKKKFSEVFQDGVLEAISIQALGQGTEIQLGLAVESQKASLSVKRRLACEQLIYFGQAYHCLSESSNTSNMHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVTAVCCFLAADGLLSESKKACLTFCLTTPVTRSPPLWGAMKHLHQKIPEVAARKSQMYGYLLEEEKALQAPPDLPDFQLSLAPDDYLLPEIDSAWDCNKCEIQSQPLKEHPKDSEDDTETE >Potri.001G323600.7.v4.1 pep chromosome:Pop_tri_v4:1:33279353:33282339:1 gene:Potri.001G323600.v4.1 transcript:Potri.001G323600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323600.v4.1 MGCSASMYAVGKRKKTCIPEVVVYVPSMRIPAQSDLQRPLRGLILQDLVDRLACLRNQIVLVAEDTGGSAVAELRRALEEYLPLLIGLTKKEHGLEGLVEFNWKNLEDGRLQENSVANSWFELLSVIHMIAMLNLSEANSLMIPKDHSGSGIRVVSSDCKRDSVDLLLKASGCLVFCVREIMAHLPPDIKKKFSEVFQDGVLEAISIQALGQGTEIQLGLAVESQKASLSVKRRLACEQLIYFGQAYHCLSESSNTSNMHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVTAVCCFLAADGLLSESKKACLTFCLTTPVTSTCIRKFLKLQQGSLRCMATSWKKRRLYKHRLTYQISNCH >Potri.001G323600.2.v4.1 pep chromosome:Pop_tri_v4:1:33279353:33282339:1 gene:Potri.001G323600.v4.1 transcript:Potri.001G323600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323600.v4.1 MGCSASMYAVGKRKKTCIPEVVVYVPSMRIPAQSDLQRPLRGLILQDLVDRLACLRNQIVLVAEDTGGSAVAELRRALEEYLPLLIGLTKKEHGLEGLVEFNWKNLEDGRLQENSVANSWFELLSVIHMIAMLNLSEANSLMIPKDHSGSGIRVVSSDCKRDSVDLLLKASGCLVFCVREIMAHLPPDIKKKFSEVFQDGVLEAISIQALGQGTEIQLGLAVESQKASLSVKRRLACEQLIYFGQAYHCLSESSNTSNMHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVTAVCCFLAADGLLSESKKACLTFCLTTPVTRSPPLWGAMKHLHQKIPEVAARKSQMYGYLLEEEKALQAPPDLPDFQLSLAPDDYLLPEIDSAWDCNKCEIQSQPLKEHPKDSEDDTETE >Potri.001G323600.8.v4.1 pep chromosome:Pop_tri_v4:1:33279062:33282339:1 gene:Potri.001G323600.v4.1 transcript:Potri.001G323600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323600.v4.1 MGCSASMYAVGKRKKTCIPEVVVYVPSMRIPAQSDLQRPLRGLILQDLVDRLACLRNQIVLVAEDTGGSAVAELRRALEEYLPLLIGLTKKEHGLEGLVEFNWKNLEDGRLENSVANSWFELLSVIHMIAMLNLSEANSLMIPKDHSGSGIRVVSSDCKRDSVDLLLKASGCLVFCVREIMAHLPPDIKKKFSEVFQDGVLEAISIQALGQGTEIQLGLAVESQKASLSVKRRLACEQLIYFGQAYHCLSESSNTSNMHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVTAVCCFLAADGLLSESKKACLTFCLTTPVTSTCIRKFLKLQQGSLRCMATSWKKRRLYKHRLTYQISNCH >Potri.001G323600.6.v4.1 pep chromosome:Pop_tri_v4:1:33279062:33282339:1 gene:Potri.001G323600.v4.1 transcript:Potri.001G323600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323600.v4.1 MGCSASMYAVGKRKKTCIPEVVVYVPSMRIPAQSDLQRPLRGLILQDLVDRLACLRNQIVLVAEDTGGSAVAELRRALEEYLPLLIGLTKKEHGLEGLVEFNWKNLEDGRLQENSVANSWFELLSVIHMIAMLNLSEANSLMIPKDHSGSGIRVVSSDCKRDSVDLLLKASGCLVFCVREIMAHLPPDIKKKFSEVFQDGVLEAISIQALGQGTEIQLGLAVESQKASLSVKRRLACEQLIYFGQAYHCLSESSNTSNMHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVTAVCCFLAADGLLSESKKACLTFCLTTPVTSTCIRKFLKLQQGSLRCMATSWKKRRLYKHRLTYQISNCH >Potri.014G046700.1.v4.1 pep chromosome:Pop_tri_v4:14:2981104:2982131:1 gene:Potri.014G046700.v4.1 transcript:Potri.014G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046700.v4.1 MYSQAASRSDFALLESIRHHLLEDEPVPENNNGIQARPVYCESLSFNRLFSMENWSDILLQIDNSSQTMACDTQVPESLDMQWSLWNQVDSMTTTSNYEFEVSVEDASNYLPPPKGRNYKGVRRRPWGKYAAEIRDPKKNGARQWLGTYETPEDAAMAYDRAAFKMRGAKAKLNFPHLIGSSNYEPVRVTNKRYSPEPSSLSSPVSSLSEVDDESPKSKRRTYRFDS >Potri.003G216800.1.v4.1 pep chromosome:Pop_tri_v4:3:21239425:21244805:-1 gene:Potri.003G216800.v4.1 transcript:Potri.003G216800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216800.v4.1 MTATSASSSASTTSGPKVSLFAAKSGFVIPKNKLSGSLVPIFKGGKKPGSNDAVNGESTNQVQRKTKWGPDLTQDADVRRGRALAYQTRVDQIVQQLKSEISEPGGDRDSHEPNELEDLKSLSPLIHNKNSELLELEKQEAIGEILKLNPTYKVPPDYKPLLKETTVPIPVKEYPGYNFIGLIFGHGSETQRRLEKETGAKILVHGTTAHTGEKVEISSSDGTETQVVYEELSVLVTADTFEKVDAAVVLIELLLASVSGNLADNANVSQNQEASTPFMVSTLVNQGVFPPVTPQQGQFQYQNSWFPAATPQAHVHQPSGLISPQTSSAPILNNPVHVQSSSFNSPTMPSLFGPRPVQAFSNPYLPRNFPMPAPQPQFTGSLPQPIGSSSVARPLLLQPLSSGPTGPPPDRPLGPSGFSSGWPGAPSSVPASLGLGNMGQTTPPMVPPPGPRHAVPQLGFPSPAAPPNAASMNRPTTAPTFTSVPRPQVGPSSAPTPIQSSLGTPLPNSSITPVFGSAPISSPMMPASQATLQTGVVGAFPVTTSNFAPIRSPTITNAKVQHSGTGDFTFRPHHQQNPAPQIVPSFSSHHATQNGPLHRPMMQTITPQAPPFHMDVPNSTTQPGRHLFPRPQVGNQLGQVPFVGNPTGHSHHPRLPAFSNASPVGPPVIQMGSRNFSSTPHLPNLTGPLPPRPGNPMQLQQNYPAPRAPRGQSIALNQQPFISSASARPASFQGGQQVYDPFSPTSVSAASQQQVGNLGKGRKPENDPEYEDLMASVGVK >Potri.003G216800.6.v4.1 pep chromosome:Pop_tri_v4:3:21239512:21244804:-1 gene:Potri.003G216800.v4.1 transcript:Potri.003G216800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216800.v4.1 MTATSASSSASTTSGPKVSLFAAKSGFVIPKNKLSGSLVPIFKGGKKPGSNDAVNGESTNQVQRKTKWGPDLTQDADVRRGRALAYQTRVDQIVQQLKSEISEPGGDRDSHEPNELEDLKSLSPLIHNKNSELLELEKQEAIGEILKLNPTYKVPPDYKPLLKETTVPIPVKEYPGYNFIGLIFGHGSETQRRLEKETGAKILVHGTTAHTGEKVEISSSDGTETQVVYEELSVLVTADTFEKVDAAVVLIELLLASVSGNLADNANVSQNQEASTPFMVSTLVNQGVFPPVTPQQGQFQYQNSWFPAATPQAHVHQPSGLISPQTSSAPILNNPVHVQSSSFNSPTMPSLFGPRPVQAFSNPYLPRNFPMPAPQPQFTGSLPQPIGSSSVARPLLLQPLSSGPTGPPPDRPLGPSGFSSGWPGAPSSVPASLGLGNMGQTTPPMVPPPGPRHAVPQLGFPSPAAPPNAASMNRPTTAPTFTSVPRPQVGPSSAPTPIQSSLGTPLPNSSITPVFGSAPISSPMMPASQATLQTGVVGAFPVTTSNFAPIRSPTITNAKVQHSGTGDFTFRPHHQQNPAPQIVPSFSSHHATQNGPLHRPMMQTITPQAPPFHMDVPNSTTQPGRHLFPRPQVGNQLGQVPFVGNPTGHSHHPRLPAFSNASPVGPPVIQMGSRNFSSTPHLPNLTGPLPPRPGNPMQLQQNYPAPRAPRGQSIALNQQPFISSASARPASFQGGQQVYDPFSPTSVSAASQQQVGNLGKGRKPENDPEYEDLMASVGVK >Potri.002G250200.1.v4.1 pep chromosome:Pop_tri_v4:2:24043032:24043989:1 gene:Potri.002G250200.v4.1 transcript:Potri.002G250200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250200.v4.1 MAMMLSSQTGAVLRAPFLPSHSNLSRRLPLTATTTTTTTLCLPSQNPLSLALKFPKPSLSSTITPKQRIHRVHLSPQSTQIPTESQPQLIGSTRTVATILTLALSLSRIFVTSIQKFVLSHNLFPTPDQLVAIRALQSNLVHSVGPFFFAALKDRPTGYLNTPLTVVAAGLAKWLDIYSGVLMVRVLLSWFPNIPWDRQPLSAIRDLCDPYLNLFRNIIPPIFDTLDVSPLLAFAVLGTLGSILNSSRGMY >Potri.001G440900.1.v4.1 pep chromosome:Pop_tri_v4:1:46634423:46641301:-1 gene:Potri.001G440900.v4.1 transcript:Potri.001G440900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440900.v4.1 MEQFKSPAYSHVKEDHSIKQSWRSDNTPKGPRSPQNQKVTARWDPVEACRPLIDDAPVFYPTVEEFEDTLGYISKIRAKAELYGICRIVPPPSWSPPCRLKEKDIWEHAKFSTRIQYVELLQNREPMRKKSKSRKRKRRFSRMGTTRRRKRRLTNSSSEGNVASETDETFGFHSGSDFTLEEFEKEAAYFKECYFGTKDLMDDGNETQKWEPSVEDIEGEYWRIVEKPTDEVKVLYGADLETATFGSGFPKASALMTEGDSDQYVVSGWNLNNLPRLPGSVLCFEGCDISGVLVPWLYVGMCFSSFCWHVEDHHLYSLNYLHWGDPKIWYGVPESHASNLEDAMRKHLPDLFEEQPDLLHGLVTQLSPSVLKAEGVPVYRVVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQHAVELYSEQRRKTSISHDKLLMGAAQEANHALRELLLLGKETPENLRWMSVCGKDGVLTAAVKTRVKMEEERIKSLPTNLKLQKMEKDFDLQKERECFSCFYDLHLSSASCKCSPERFACLQHASHFCSCEIDHRYVLLRYTMDELNTLVDGLEGESYGLKDCPDEQGLVSLGDNGTRVPELELKGEEFQTNYSKRKESPRCSKKTEEKLSTKGSCSFNSNTSSEVIQSESYHNSFPVMKNKDKVKQEGCIDLNIDVMSIDQESKHLLESDGCDNKAISYVKETHGSPCMQETPGSSDAAKEQDREQAVGDCEAKLQELSNKNDPSYPMFTQDTCASRNKLFGVDLSRSHSVRPAKSFKTEMNKGGLDVRPATNGSSDAAKEQDREQAVGDCEAKLQELSNKNDPSYPMFTQDTCASRNKLFGVDLSRSHAVRPAKSFKTEMNKGGLDVRPATNQSIPVKKLNPCVEPINVGSVMFGKFWCCKQAIFPKGFKSRVKFFNVHDPIKKCSYISEVRDAGPLGPLFKVSLEKFPGETLAADVSIQKCWEMVVQRLNDEIGRRNSLGERNLPPSQSINGIEMFGFLSPPIVQAIEALDPDHRCVEYWNHRLVNLRNTREAKQPPFGSSCCLTKMKEKIDINLLTQEPGSLFIGGHRSVDEDVQHVLRGLFKKASQEELKTMHRILHSDAQSAERREAFTTLMEEIQKTSR >Potri.001G016500.1.v4.1 pep chromosome:Pop_tri_v4:1:1222292:1223749:-1 gene:Potri.001G016500.v4.1 transcript:Potri.001G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016500.v4.1 MLRRKENIVMFPFMAQGHIIPFLALALQLEQIKKYTITFVNTPLNINKLRSSIPINCSIHLLEIPFDSSGYGLPPGTENTDSIPNHLIANLLHASLSLKPSFRKLISDLVKEQNGHPPLCIITDIFFGWCSGIAHEFGAFHAIFSGSGGFGIACHYYLWLNLPHQMKNSDEFTVPDFPEASKIHVTQLAENLRVVNGRDLYSVFLQNVLPEWMNSDGILLNTVEELDKVGLEYFRLKIGKPVWSIGPVLLSKRSQDQAATTTELCKNWLDTKPVNSVLYISFGSQNAISAPHMMELAVALEASGKNFIWVVRPPIGFDINMEFKATEWLPEGFEERMKDSKRGLLVHKWAPQVEILSHKSISAFLSHGGWNSIIESLSHGVPLIGWPMAEEQFYNVMLLEEQIGVCVEVARRKSCGVRHEDIVKKIMLVMDETEKGKEMRKKALEVRDMIMDAVKDFKGSSVKAMDEFLNAALLRQEEAMRTGDS >Potri.007G137300.1.v4.1 pep chromosome:Pop_tri_v4:7:14877662:14884300:1 gene:Potri.007G137300.v4.1 transcript:Potri.007G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137300.v4.1 MKVKVISRSTDEFTRERSQDLQRVFHNFDPNLRTQEKAVEYQRALNAAKLDKIFARPFIGAMDGHIDAVSCMAKNPNYLKGIFSGSMDGDIRLWDIANRRTVCRFPGHQGAVRGLTASTDGSTLVSCGTDCTVRLWNVPVATIMESGNSSDCSSEPRAVYMGENAYWAVDHQWSGDLFATAGAQVDIWNHNRSQPVNSFKWGTDSVISVRFNPGEPNLLATSASDRSIMLYDLRVSSPARKLIMRTKTNSISWNPMEPMNFTAANEDCNCYSYDARKFDEAKCVHKDHVSAVMDIDFSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGILLPREQRRHEYNEALKTRYKHLPEVKRIVRHRHLPKPIYKAGVLRRVMIEAERRKDHRRKAHSAPGSIVTEPMRKRRIIKEVE >Potri.011G150700.1.v4.1 pep chromosome:Pop_tri_v4:11:17774829:17778549:-1 gene:Potri.011G150700.v4.1 transcript:Potri.011G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150700.v4.1 MASSTLSTHYHFTSPSPSSKNNANIKLSSSLFVSPISLSSNPNISLQFFDKKHSPVLSTAPRRLSVIAMAPPKPGGKAKKVIGLIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYVIPVEITVYDDKSFTFVLKTPPASVLLLKAAGVEKGSKDPKMEKVGMVTIDQLRAIATEKLPDLNCTTIESAMRIIAGTAANMGIDVDPPILEPKTKIVL >Potri.019G101100.2.v4.1 pep chromosome:Pop_tri_v4:19:13739384:13743138:-1 gene:Potri.019G101100.v4.1 transcript:Potri.019G101100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101100.v4.1 MYCINLFLILRRKIFLARTSSIACLATPVVWSSSDMPSSNIHDKNFIQQRVLSLLAIQILELAASLLLLKSTAKPEYEVVSFFKTDELSKKRNWLQASSLGFGFLVLLVFLTSLVADRLIGPKAVNNPIVKEILLSSSISKVACILVYCLVTPLLEEIVYRGFLLKSLASTMNWQQAVLLSSAVFSAAHFSGENFIQLFIIGCVLGCSYSWSGNLCSPILTHSLYNALTLIITYFS >Potri.019G101100.3.v4.1 pep chromosome:Pop_tri_v4:19:13739384:13743139:-1 gene:Potri.019G101100.v4.1 transcript:Potri.019G101100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101100.v4.1 MPSSNIHDKNFIQQRVLSLLAIQILELAASLLLLKSTAKPEYEVVSFFKTDELSKKRNWLQASSLGFGFLVLLVFLTSLVADRLIGPKAVNNPIVKEILLSSSISKVACILVYCLVTPLLEEIVYRGFLLKSLASTMNWQQAVLLSSAVFSAAHFSGENFIQLFIIGCVLGCSYSWSGNLCSPILTHSLYNALTLIITYFS >Potri.019G101100.1.v4.1 pep chromosome:Pop_tri_v4:19:13739384:13743138:-1 gene:Potri.019G101100.v4.1 transcript:Potri.019G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101100.v4.1 MAPYLTVGILPAKFGFSFNKSLIQNPNPLYHTSKLKFKSNLGFKSSLKCRCIKKEITDKPTEEFSVLSLDIPWERGSIWSTMALYMFNFHIPLGIGGLSIVANVLHQPVLDPQTEVLSLLAIQILELAASLLLLKSTAKPEYEVVSFFKTDELSKKRNWLQASSLGFGFLVLLVFLTSLVADRLIGPKAVNNPIVKEILLSSSISKVACILVYCLVTPLLEEIVYRGFLLKSLASTMNWQQAVLLSSAVFSAAHFSGENFIQLFIIGCVLGCSYSWSGNLCSPILTHSLYNALTLIITYFS >Potri.010G246500.2.v4.1 pep chromosome:Pop_tri_v4:10:22131888:22136296:1 gene:Potri.010G246500.v4.1 transcript:Potri.010G246500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246500.v4.1 MEPFFNSRRPRHHKQKGNTAPYNQQGFSANPSLTNSMQPQLGLVNPQIPIPFNNSNTPLRNGQAMPNMPPLINQQHGLVSGPNDLAILQLQNQVNKLNALKMLMNQVNQLQGELFGPGFSNLPQQINQNMGLLQNPMQNMMNPVMPMQMPMTSQVGSFNVPSRSHQVVGAQSPNFFVNQQVKQNQPNFVMPTTGANGSKQLSFENQQMQGNLSATQKNQNFVMPAVGTNGSNSLHVATQQVQGISPASQQSEKFVMPTMAANGPKPLPAATQQVQGNPFTSQQSQNLQPSAYNRWQGNPAKNGQGSTPNSKQGIFSGKNFKNNPKREQSQSGHQKSEFHRMDNGKRKLGFSNKHGGKGKGNERAAKFGRSDPSNQVMEQKRTHIYTEQEIKQWRESRRKHFPTKTNIEKKQTEKLIDSGVIDKEANFRRKQLKEILAKQAELGVEVAEIPPEYMLDSEKLGVEVAETPLSYLLDSEKLGVEAAEIPPHHLLDSEKQEHGREDNRRSLTKKGRFWNKHDRRGRYKRKGRSDMQLGLENEERKPTLLEKLLCADIKRDKHRLLQVFRFMVANSFFKDWSDKPLKFPSVVVKEDGCKDEPQEEKPSLVGEEESEVPNNTTVEDFGDRDDGDEHDAQVEPGNGSVMGKCDIVDEVYRVEEGEIID >Potri.008G180500.1.v4.1 pep chromosome:Pop_tri_v4:8:12450404:12452310:1 gene:Potri.008G180500.v4.1 transcript:Potri.008G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180500.v4.1 MPNLIVKLYSVFFKYQQKHLLQTLSLSSLTDQKPTNSFGVSSRPHESIAASNPSFTDGVATKDIHVDPISSLSLRIFLPDTAITSPLPSTHDYGGYLPPPGKFHRKLPVMLQFHGGGFVSGSNESVGNDAFCRRIAKLCDVIVVAVGYRLAPETKYPGAFEDGFKVLKWLAKQANLAACGRLDSQSHIFDSFGASMVEPWLAAHGDPSRCVLLGVSSGANIADYLARRAVEAGKLLDPVKVVAQVLMFPFFIGSTPTHSEVKLANSYFYDKAMCKLAWKLFLPKEQFSLDHPAANPLTAGRQPPLKYMPPTLTIVAEHDFMRDRAISYSEELRKVNVDAPVLDYKDTVHEFATLDVLLHTPQARVCAEDVTIWVKKYISLKGHEFSY >Potri.015G022100.1.v4.1 pep chromosome:Pop_tri_v4:15:1626517:1630252:-1 gene:Potri.015G022100.v4.1 transcript:Potri.015G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022100.v4.1 MGIVSVSSSASWTPLGFSTKFSTCRSTAKRPLIVAFKADKSNETALVAPHEQIPLPIETTKEKKRRGKSKKSSDRLKAVRTEVSPCTLGVDYNEAAAKLENIYKLSPGTDTSDVEDVNGVIRRCRQRKRKTSECEKEDDGRTSKIIVRNQAKKAKRLSLQKRIALRIKSDEKLVTSVGKRKGRKNENEKIDELVREYSSSTDLASLDWKKMKIPPVLTSAEHAWLFKLMQPMKASLQVKEHLQENSGREPTEGELAEATDMDVLQVRKQIEVGRAARNKLIKHNLRLVLFVINKYFQDYANGPRYQDLCQAGVKGLMTAIDRFEPKRRFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESIRSEIQKAKLELWFQLLRKPTGEEIIEKVGISIERYHEVMRASKPVLSLHSRHKTTQEELISGVADVNGGDDRRQSALLRLALDDVLDSLKPKESLVVRQRFGLDGKGDRTLGEIAGNLNISREMVRKHEAKALMKLKHPTRVDYLCRYVV >Potri.001G157700.1.v4.1 pep chromosome:Pop_tri_v4:1:13300656:13302534:1 gene:Potri.001G157700.v4.1 transcript:Potri.001G157700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157700.v4.1 MERHKCRLCVRTFPNGRALGGHMKAHLAATRPQLGLDRNESSSSSYSSSAGEEEVQEEQEIIKIKEAVEEKSLAYGLRENPKKSCRLADPEFSFAVDTGSVVVQDRESETESRNPTRRRSKRIRKSCGFGESQKQDFDVKKVVDFKNPSWGESSSPAEPEPVSSVSDTSPEEDVARCLMMLSRDVWMRNDEEEVQEQGGKDGEKSVDMLEEAEEIKVNKIRGKFRCEKCMKLFRSSRALSGHKRICSLNATEARNIAAAGDANDRIFECPYCLRVFGSGQALGGHKRSHLIGSSTSTNAVAEVSTKLENNMIDLNLPAPVEDDEFSVVSDA >Potri.017G130300.1.v4.1 pep chromosome:Pop_tri_v4:17:13322886:13324973:1 gene:Potri.017G130300.v4.1 transcript:Potri.017G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130300.v4.1 MGRQPCCDKVGLKKGPWTSDEDKKLITFILANGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLSTIETPPSPPPQQEVQVQEKIQEIEQQAVQQSCSPNIVSELDQNKEPETSLRSTVTQEEEINNMAASTYGTMEQTDGFCIDEVPLIEPHEILVPCGLSPSSTPAPTSSSSSSTSSSSSSYGSNNILEDLLLPDFEWPINNVDIGLWGDYLNSWDVLISDAVGDWKQTTMFDPPLNQCSRMILDQDSWTNGLL >Potri.017G055501.1.v4.1 pep chromosome:Pop_tri_v4:17:4313226:4313865:-1 gene:Potri.017G055501.v4.1 transcript:Potri.017G055501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055501.v4.1 MARGNQREKDRERAQARNGGKGKNKDDGLTPEQRRERDAKALQEKTAKKAAQAAGGESSGGDKGNNKK >Potri.001G033400.2.v4.1 pep chromosome:Pop_tri_v4:1:2485300:2492237:1 gene:Potri.001G033400.v4.1 transcript:Potri.001G033400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033400.v4.1 MLSLANLPSTRPPDRGLTQVPKSNVSLNTNLKDSPGDLQKSSENLKGEMEHSGNSGNCEQEQGILHSPQVIAQHDPEEFLNFQQGSCLELQSSTLSMVKRDPQTTPAQQQQTQQAPSTLHSPPSMVEQPLLLSQQQEQPKGQKAVATDMSQKLLIAQESRVPDQQHHQQPKLPCPYNDCQSLQSYSPTPEQKLLSQSQPPKLQQILNLSRQDEQQDDIKSEQHLCESNSERENVVNPMQQVSMRSLQLPLSTLPQPNDIKFLLKNNRNERAKANSGRSSSVAQRMDPKQKKSRHHVSAQKKNQESQQGQMCQQLIKGNQEVPQQMQQDDEMPHQLNEVNDVKMTQGIEHETALNQRPEVKNLKFRQVTAVKSGVPPSISSPMHIQTEPVQLPRHFPLTNQHNMLRSHTIVGSPSHSVNSQPGFSAKFLSNDSSYLNTDGFGFDASTINFGESCDAEKPILRLIKAVNSISSKALSASVSEIGSVVNLADSMAGSVPVYGSKGSVSEDLGVTSKTNPVARYFSMGCSTFGMRKVKLSTKAVPLNDKTPACPKKSGSESTTFCPNKKPRIQVSRAVLKEIEEINQQLIDTVVDISDEETDSTTVGPDGGGIIIKCSFIAVSISPNFNSKEDFEQISKIQPLKLLVPTKYPYCSPIVLEKLPEEVSEKHDDLSVKARVKLNLHLRNLLQPMSIGEMARTWDKCARTAISEHAVKNGGGCVFSKYGTWENCFSAA >Potri.001G033400.3.v4.1 pep chromosome:Pop_tri_v4:1:2485300:2492237:1 gene:Potri.001G033400.v4.1 transcript:Potri.001G033400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033400.v4.1 MLSLANLPSTRPPDRGLTQVPKSNVSLNTNLKDSPGDLQKSSENLKGEMEHSGNSGNCEQEQGILHSPQVIAQHDPEEFLNFQQGSCLELQSSTLSMVKRDPQTTPAQQQQTQQAPSTLHSPPSMVEQPLLLSQQQEQPKGQKAVATDMSQKLLIAQESRVPDQQHHQQPKLPCPYNDCQSLQSYSPTPEQKLLSQSQPPKLQQILNLSRQDEQQDDIKSEQHLCESNSERENVVNPMQQVSMRSLQLPLSTLPQPNDIKFLLKNNRNERAKANSGRSSSVAQRMDPKQKKSRHHVSAQKKNQESQQGQMCQQLIKGNQEVPQQMQQDDEMPHQLNEVNDVKMTQGIEHETALNQRPEVKNLKFRQVTAVKSGVPPSISSPMHIQTEPVQLPRHFPLTNQHNMLRSHTIVGSPSHSVNSQPGFSAKFLSNDSSYLNTDGFGFDASTINFGESCDAEKPILRLIKAVNSISSKALSASVSEIGSVVNLADSMAGSVPVYGSKGSVSEDLGVTSKTNPVARYFSMGCSTFGMRKVKLSTKAVPLNDKTPACPKKSGSESTTFCPNKKPRIQVSRAVLKEIEEINQQLIDTVVDISDEETDSTTVGPDGGGIIIKCSFIAVSISPNFNSKEDFEQISKIQPLKLLVPTKYPYCSPIVLEKLPEEVSEKHDDLSVKARVKLNLHLRNLLQPMSIGEMARTWDKCARTAISEHAVKNGGGCVFSKYGTWENCFSAA >Potri.001G033400.4.v4.1 pep chromosome:Pop_tri_v4:1:2485300:2492237:1 gene:Potri.001G033400.v4.1 transcript:Potri.001G033400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033400.v4.1 MLSLANLPSTRPPDRGLTQVPKSNVSLNTNLKDSPGDLQKSSENLKGEMEHSGNSGNCEQEQGILHSPQVIAQHDPEEFLNFQQGSCLELQSSTLSMVKRDPQTTPAQQQQTQQAPSTLHSPPSMVEQPLLLSQQQEQPKGQKAVATDMSQKLLIAQESRVPDQQHHQQPKLPCPYNDCQSLQSYSPTPEQKLLSQSQPPKLQQILNLSRQDEQQDDIKSEQHLCESNSERENVVNPMQQVSMRSLQLPLSTLPQPNDIKFLLKNNRNERAKANSGRSSSVAQRMDPKQKKSRHHVSAQKKNQESQQGQMCQQLIKGNQEVPQQMQQDDEMPHQLNEVNDVKMTQGIEHETALNQRPEVKNLKFRQVTAVKSGVPPSISSPMHIQTEPVQLPRHFPLTNQHNMLRSHTIVGSPSHSVNSQPGFSAKFLSNDSSYLNTDGFGFDASTINFGESCDAEKPILRLIKAVNSISSKALSASVSEIGSVVNLADSMAGSVPVYGSKGSVSEDLGVTSKTNPVARYFSMGCSTFGMRKVKLSTKAVPLNDKTPACPKKSGSESTTFCPNKKPRIQVSRAVLKEIEEINQQLIDTVVDISDEETDSTTVGPDGGGIIIKCSFIAVSISPNFNSKEDFEQISKIQPLKLLVPTKYPYCSPIVLEKLPEEVSEKHDDLSVKARVKLNLHLRNLLQPMSIGEMARTWDKCARTAISEHAVKNGGGCVFSKYGTWENCFSAA >Potri.017G062800.2.v4.1 pep chromosome:Pop_tri_v4:17:5214706:5216895:-1 gene:Potri.017G062800.v4.1 transcript:Potri.017G062800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G062800.v4.1 MEITKATATSTLAAAIHNLSLSSLSSTIRPRPRPYSHSGFSKFPLVSRPTSLTATCSLPNETTTSTTKVAGADTSLQVKKRAADLSPELKGTSIFLLGMRGPLKTNLGKLLADALRYYYFDSDSLVEEAAGGEFAARSLKERDEKGFRESETEVLKQLTSMGRLVVCAGDGAVQSSTNLGLLRHGISLWIDVPLDIVARGMVEDKTQLAASESHSEVLEQVVATYEELRAGYATADAKISLQNIAVKLGYDELDSVTTEDLALEVLKEIEKLTRVKKMMEEAARPF >Potri.011G135400.1.v4.1 pep chromosome:Pop_tri_v4:11:16789806:16790849:1 gene:Potri.011G135400.v4.1 transcript:Potri.011G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135400.v4.1 MAYTTCKDNVFHILGLLCFLLLIQKNNAYPFPVGGPKGWTVPDNTSSKSYFNDWAERHRFQRGDSILFVYDASQDSVVQVTKEGYENCTAEKPLATFNDGHTVFKFNQSGPHYFISGNRDHCQKNEKLAVVVLADRSTNATASPPSPGSSDMVPAPTPSSEESPPAGTVDINPTPPPTGAPPNSASSMFVSFFGSMGAFFASSLILAI >Potri.014G194900.2.v4.1 pep chromosome:Pop_tri_v4:14:17425027:17429552:1 gene:Potri.014G194900.v4.1 transcript:Potri.014G194900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194900.v4.1 MAANFWTSSHYKQLFDQEEVDVVHPLDKEKGITLEDFKIIKTQMAIYIGILAQQVKVRQRVVATAVAYMRRVYTRKSMSEYDPRLAAPTCLYLASKAEESTIQARVLSFYIKKLYSDDKYRYEIKEILEMEMKILEALNFYLVVFHPYRSLPQFLQDAGINDINMTQLTWGLVNDTYKMDLILVHPPHIIALACIYIASVYREKDSTAWFEELRVDLNVVKNISMEMLYFYESHRLITEERITAAFNKLKP >Potri.019G079700.7.v4.1 pep chromosome:Pop_tri_v4:19:12049213:12054354:-1 gene:Potri.019G079700.v4.1 transcript:Potri.019G079700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079700.v4.1 MTISHLSNISSGTERSSAATPLIEAGDDSVNGSVDYKGNPVHRSTSGGWRSASFITAVEVAERFAYFGISSNLITYLTGPLGQSTASAAANVNTWSGTATLLPLLGAFVADSFLGRYRTIIAASLIYILGLGLLTLAATLTSDSVHDFQNIKDAMLSTPSQFQVTLFFFSIYLVAFGQGGHKPCALAFGADQFDGQNPKESKAKSSFFNWWYFYSSVGVNLTLVVMVYIQDNLSWALGFGIPCIVMTTTLLILLLGSRTYRYSVNGNEKNPFMRIGRVIHRAIRNRHTTPPSAMPGEEDACQWHQSHEQFK >Potri.019G079700.1.v4.1 pep chromosome:Pop_tri_v4:19:12049192:12054354:-1 gene:Potri.019G079700.v4.1 transcript:Potri.019G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079700.v4.1 MTISHLSNISSGTERSSAATPLIEAGDDSVNGSVDYKGNPVHRSTSGGWRSASFITAVEVAERFAYFGISSNLITYLTGPLGQSTASAAANVNTWSGTATLLPLLGAFVADSFLGRYRTIIAASLIYILGLGLLTLAATLTSDSVHDFQNIKDAMLSTPSQFQVTLFFFSIYLVAFGQGGHKPCALAFGADQFDGQNPKESKAKSSFFNWWYFYSSVGVNLTLVVMVYIQDNLSWALGFGIPCIVMTTTLLILLLGSRTYRYSVNGNEKNPFMRIGRVIHRAIRNRHTTPPSAMPGEEDACQWHQSHEQFKFLNKALLAPDGSLVDQNVCSVSDVEDTKALLKLVPIWITSLAYATVFAQTTTFFTKQGATLDRTIVSGFKIPAASLQIFIGFAIILFIPVYDRIVVPISRGLTRKPSGITMLQRIGTGMVFSAISMVTAALVEMKRLETAKEHGLVDLPNVTVPMSIWWLVPQYILCGIADVLTIVGLQEFCYDQVPKELRSLGISLYLSILGVGNFLSSFLVSVIDEATSGDGQESWFANNLNRAHLDYFYWLLAGLSAVGFIAYLYFARSYIYSRGNTI >Potri.010G059300.3.v4.1 pep chromosome:Pop_tri_v4:10:8944304:8945461:-1 gene:Potri.010G059300.v4.1 transcript:Potri.010G059300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059300.v4.1 MDWQGQKQAELWMQILLLVFAAVALATGYIIGSFRMMMLIYAGGVVFTTLVTVPNWPFFNRHPLKWLDPSEAEKHPKPQKAVVSKDKKKSSKK >Potri.002G020101.2.v4.1 pep chromosome:Pop_tri_v4:2:1240885:1242988:-1 gene:Potri.002G020101.v4.1 transcript:Potri.002G020101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020101.v4.1 MIFLFQFNFQDFILSNTSLRLVSPTNQTVCTLACSSHRLGSGNEVMSAQQAEDLKMKEKRKREREKDLPCKKRRKLQAGREMLEDEDLTEKPEGSGKNKKENTGLSLVDLGYRRVKAAEAVKKALDAGKIVQKKAGKKSKPPPERTQSRTEEMRELFQRDMSERKQKRMEQETGSPRIHLRANQGISGGGPIKFAIKLCGHLPR >Potri.001G103200.2.v4.1 pep chromosome:Pop_tri_v4:1:8309883:8313136:-1 gene:Potri.001G103200.v4.1 transcript:Potri.001G103200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103200.v4.1 MMSPISKMAEQLKLQQCWEFRRKDDDSDSSSNDSKPSGEPALKKHKLISSFISVDNDETSDASKLHQIGNCDPGISDQMKSGKAENGRRRRSKKNDSTPNEKKKGPHREVRRKKSKPSTDEQAVFDDLKKYMNFLLQDLKVSRENLLKWMREEMQKLVEEETVSELETREGSFRGEKVQLQLQTSFEENAQVQHQNTFGNMPAQHQNNIQGYGQAQPHNKFAENVHRQNLINFQENSTEVHHQKNFQENIFLQHRNAFSLCKGSQDCNGGSTEGFGKANKSTDSSNCSLTLDSHISRAIVPMTTTEKEREERMALSAKMNSKPSPSDKNVQVQKPKSIVLGIRAQCNGGSLERSAKGRKITDSNNHHQAPEHQSDYAQAIGSMTSGKKNKGERLALTVEPMFPTGSSNQVASSMYLTLPTVLTKPLEANHRFDTSSLNSIQPRFAGNQIGMSSERSNLILGSSSHHGYFQGMQPDERSRSFAQMSSRDVSYFNQNSTMSSIVGNGLPVPFLQAANSSFNIPTQVSLENLARESSTPGLNMNGGAVRLPGGSYSFSEQLIANNFLFLDHSSYKADGRLTSYQDGYQFPK >Potri.001G103200.3.v4.1 pep chromosome:Pop_tri_v4:1:8309883:8313136:-1 gene:Potri.001G103200.v4.1 transcript:Potri.001G103200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103200.v4.1 MMSPISKMAEQLKLQQCWEFRRKDDDSDSSSNDSKPSGEPALKKHKLISSFISVDNDETSDASKLHQIGNCDPGISDQMKSGKAENGRRRRSKKNDSTPNEKKKGPHREVRRKKSKPSTDEQAVFDDLKKYMNFLLQDLKVSRENLLKWMREEMQKLVEEETVSELETREGSFRGEKVQLQLQTSFEENAQVQHQNTFGNMPAQHQNNIQGYGQAQPHNKFAENVHRQNLINFQENSTEVHHQKNFQENIFLQHRNAFSLCKGSQDCNGGSTEGFGKANKSTDSSNCSLTLDSHISRAIVPMTTTEKEREERMALSAKMNSKPSPSDKNVQVQKPKSIVLGIRAQCNGGSLERSAKGRKITDSNNHHQAPEHQSDYAQAIGSMTSGKKNKGERLALTVEPMFPTGSSNQVASSMYLTLPTVLTKPLEANHRFDTSSLNSIQPRFAGNQIGMSSERSNLILGSSSHHGYFQGMQPDERSRSFAQMSSRDVSYFNQNSTMSSIVGNGLPVPFLQAANSSFNIPTQVSLENLARESSTPGLNMNGGAVRLPGGSYSFSEQLIANNFLFLDHSSYKADGRLTSYQDGYQFPK >Potri.001G360700.1.v4.1 pep chromosome:Pop_tri_v4:1:37770419:37777666:-1 gene:Potri.001G360700.v4.1 transcript:Potri.001G360700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360700.v4.1 MCNLRSFFTPLLAVLLLQSLVVVVSAQGSATTWQTLTGNPPLVIARGGFSGLFPDSSSDAFQFATLTSLPGVVLWCDVQLTKDSVGICAPDLRLDNSTSIAQVMQNKDKLYLVNGIPTRGWFTVDFTLNELSLVSLNQGIFSRSERFDYIYPIQTVEDVATLKPPGLWLNIQHDAFFTQHNLSMRSYVLSLYRRVVINHLSSPEAGFLRSIVKRFNLNITKLVFRFLESNVIEPSTNETYGSLSKNFTFIKTFASGILIPKSYIWPLDASNYLQPHTSIVSDAHKAGLEVFVSEFYNDVPLSYNYSYDPVTEYLRFVDNGEFSVDGVLSDFPVTPSATIDCFSGLGKNATPQVNLSVISKNGASGDYPGCTDLAYQKAILDGADVIDCPVQISKDGIPFCLGSINLYDSTTVAQSIYSNREQNIPQIKAGSGIFTFSLTWSEIQNLTPVISNPYSKYELLRNPKFKNLGKFLTLSDFLALAKNTSSLSGVLISIENAAYLIEKEGLPITDKVLEVLSLAGYDDPTSKKVMIESTNSSVLMKFRDESNYELVYRIEEDIQDAQDAALKDIKDFANSVVISKASVFPESSSFLSGVTNVVPKLQSHGLSVYVETFSNEFVSQAWDFFSDSTVEINSYVMGVNISGVITEFPLTSARYKRNRCLGYNVLPHYMSPAQPGGLMPLIPPFALPPAEPPNPVLTAPDVVEGPLPSHTASPPVPGGGATAVPPGAPNGLPKIGACIFLSNLAMLITILLLL >Potri.011G005200.1.v4.1 pep chromosome:Pop_tri_v4:11:419766:420512:-1 gene:Potri.011G005200.v4.1 transcript:Potri.011G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005200.v4.1 MAEELINKILTRTDLENRLAYPTQSLGAFPLLSQGHNSVRFDARDTLGKVWNLKISTRAQGHPKPAITGEWLSLVQEKGLRVGDRIVLTREVDEDDEVSYEIRTEHMIFNVWAPVQ >Potri.002G086800.2.v4.1 pep chromosome:Pop_tri_v4:2:6213625:6218614:-1 gene:Potri.002G086800.v4.1 transcript:Potri.002G086800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086800.v4.1 MDHETNEAEPDLSGSFCQTSSVGSPVSRCSLSLPRSLSFQVDDDIESENVSETGDIGDRALHSKRHSESGSIRLSDKGLENGMSVPISEGSAQHDSTALNTRSPMSLLPEEIISPISIDVLVCSKEKQEDKEKAFALPPLLEYMSCLVYLAVFGILGVLTRYKLQKLFGPGVAGVTSDNYPLYLDLPSNMVGSFLMGWWGVVFKGDISRVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLSVDGHWVFSFVGFLIGLFLAAYAIKLGVGTAKCFKSFLERSNRSSDLAGWRVDNCYHHLGVLVVLVVILGLLWSVSWAMLKKEYNHDSGGAQLWLACIVAPPGVWIRWFLARLNGRGLGKAGSLKWVPFGTLIANVSAACIMAALSTVKKAVHTKTCETISTGIQLGFLGCLSTVSTFIAEYNAMEESQKNWRAYAYALMTIAVSFGLGILIYSVPVWTRGYK >Potri.002G086800.3.v4.1 pep chromosome:Pop_tri_v4:2:6213807:6221018:-1 gene:Potri.002G086800.v4.1 transcript:Potri.002G086800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086800.v4.1 MDHETNEAEPDLSGSFCQTSSVGSPVSRCSLSLPRSLSFQVDDDIESENVSETGDIGDRALHSKRHSESGSIRLSDKGLENGMSVPISEGSAQHDSTALNTRSPMSLLPEEIISPISIDVLVCSKEKQEDKEKAFALPPLLEYMSCLVYLAVFGILGVLTRYKLQKLFGPGVAGVTSDNYPLYLDLPSNMVGSFLMGWWGVVFKGDISRVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLSVDGHWVFSFVGFLIGLFLAAYAIKLGVGTAKCFKSFLERSNRSSDLAGWRVDNCYHHLGVLVVLVVILGLLWSVSWAMLKKEYNHDSGGAQLWLACIVAPPGVWIRWFLARLNGRGLGKAGSLKWVPFGTLIANVSAACIMAALSTVKKAVHTKTCETISTGIQLGFLGCLSTVSTFIAEYNAMEESQKNWRAYAYALMTIAVSFGLGILIYSVPVWTRGYK >Potri.002G086800.4.v4.1 pep chromosome:Pop_tri_v4:2:6213807:6221018:-1 gene:Potri.002G086800.v4.1 transcript:Potri.002G086800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086800.v4.1 MSVPISEGSAQHDSTALNTRSPMSLLPEEIISPISIDVLVCSKEKQEDKEKAFALPPLLEYMSCLVYLAVFGILGVLTRYKLQKLFGPGVAGVTSDNYPLYLDLPSNMVGSFLMGWWGVVFKGDISRVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLSVDGHWVFSFVGFLIGLFLAAYAIKLGVGTAKCFKSFLERSNRSSDLAGWRVDNCYHHLGVLVVLVVILGLLWSVSWAMLKKEYNHDSGGAQLWLACIVAPPGVWIRWFLARLNGRGLGKAGSLKWVPFGTLIANVSAACIMAALSTVKKAVHTKTCETISTGIQLGFLGCLSTVSTFIAEYNAMEESQKNWRAYAYALMTIAVSFGLGILIYSVPVWTRGYK >Potri.002G086800.5.v4.1 pep chromosome:Pop_tri_v4:2:6213807:6221018:-1 gene:Potri.002G086800.v4.1 transcript:Potri.002G086800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086800.v4.1 MDHETNEAEPDLSGSFCQTSSVGSPVSRCSLSLPRSLSFQVDDDIESENVSETGDIGDRALHSKRHSESGSIRLSDKGLENGMSVPISEGSAQHDSTALNTRSPMSLLPEEIISPISIDVLVCSKEKQEDKEKAFALPPLLEYMSCLVYLAVFGILGVLTRYKLQKLFGPGVAGVTSDNYPLYLDLPSNMVGSFLMGWWGVVFKGDISRVSDHLAIGLTTGYLGSLTTFSGWNQKMLDLSVDGHWVFSFVGFLIGLFLAAYAIKLGVGTAKCFKSFLERSNRSSDLAGWRVDNCYHHLGVLVVLVVILGLLWSVSWAMLKKEYNHDSGGAQLWLACIVAPPGVWIRWFLARLNGRGLGKAGSLKWVPFGTLIANVSAACIMAALSTVKKAVHTKTCETISTGIQLGFLGCLSTVSTFIAEYNAMEESQKNWRAYAYALMTIAVSFGLGILIYSVPVWTRGYK >Potri.019G055701.1.v4.1 pep chromosome:Pop_tri_v4:19:9393441:9394043:-1 gene:Potri.019G055701.v4.1 transcript:Potri.019G055701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055701.v4.1 MPMMFFYFLKIIFDISTSKRSKKLRHFHDILQV >Potri.011G136000.2.v4.1 pep chromosome:Pop_tri_v4:11:16849821:16852403:-1 gene:Potri.011G136000.v4.1 transcript:Potri.011G136000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136000.v4.1 MAATASITTAAAATTKPQSSSSSLLKPYSSSQLPIKFSKFSTSRAPSRFAISCTLAREAEVKMEEVADSDPALWQRPDSFGRFGKYGGKYVPETLMYALTELESAFYSFKDDPEFKKEINGILKDYVGRENPLYFAERLTEHYKRPSGEGPHIYLKREDLNHTGAHKINNAVGQVLLAKRLGKQRIIAETGAGQHGVATATVCARFGLPCVVYMGAQDMERQALNVFRMRLLGAEVRGVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPFPMMVREFHRVIGIETRKQALEKWGGKPDVLVACVGGGSNAMGLFDEFIKDKDVRLIGVEAAGLGIDSGKHAATLTKGEVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDKGRAEYYSVTDQEALDAFKRLSRLEGIIPALETSHALAYLEKLCPTLPDGTKVVLNCSGRGDKDVQTAIKYLEV >Potri.T125406.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:103599:105385:1 gene:Potri.T125406.v4.1 transcript:Potri.T125406.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX46 MAEFENNQEITPNSKPTTNKMTSSSIFNRSLTIHSTSVTKPYLQSTSGFYNSFESMKGKVKKLRSLFESPKPNPNELQIQATKKLQSVKSMGPDYNRFPVNDNRIRLPGTEDRIVVYLTSLRGIRRTYEDCYAVKMIFRGFRVWVDERDISMDSAYKKELQSVLGEKNVSLPQVFIRGNHVGGAEVIKQMFETGEMARVLDGFPRRLAGFVCAGCGDVRFVPCGNCSGSRKLFDEDEGVLKRCLECNENGLIRCSDCCS >Potri.007G085200.1.v4.1 pep chromosome:Pop_tri_v4:7:10986729:10987169:1 gene:Potri.007G085200.v4.1 transcript:Potri.007G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085200.v4.1 MKYNPRVSSSRRKNRKAHFSAPSSLRRILMSAPLSTDLRQKYNVRSMPIRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAVGDKEKGTKFTAEDIMQNVD >Potri.009G168300.1.v4.1 pep chromosome:Pop_tri_v4:9:12825248:12830866:1 gene:Potri.009G168300.v4.1 transcript:Potri.009G168300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168300.v4.1 MNLAWLLSFWILCTSSFSQGALSPGGTVNVGAIFTFSSINGRVAKIAMEAAEDDINSDPSLLGGRKLSINMHDSNFSGFLGIIGALQFLETDTVAVIGPQTAVMAHVLSHLANELQVPFLSFTALDPTLSPLQFPYFIQTAPNDLFQMTAIADIVSYYGWSEVTAVFNDDDQNRNGITVLGDKLAERRCKISYKAALPPEPKATRSNIQDELAKILGMESRVIVLNTFSKTGLLVFDVAKALGMMENGFVWIVTSWLSTVIDSASPLPTTANSIQGVLALRPHTPDSKRKRDFISRWKQLSNGSIGLNPYGLYAYDTVWLLARALKSFFDQGNTISFTNDSRLGGIGGGYLNLGALSIFDGGSQLLKNILQTSMTGLTGPFRFNPDRSILHPSYDIINVLETGYQQVGYWSNYSGLSVVPPETLYGKAANRSSSSQHLQSVVWPGGTTARPRGWVFPNNGKELQIGIPNRVSYRDFVSKVNGTDMVQGYCIDVFLAAIKLLPYAVPHKFIPFGDGHKNPTYYDLVYKITTRVFDAVIGDVAIVTNRTKIVDFTQPYIESGLVVVAPVKKRNSNAWAFLRPFSPLMWAVTAMFFLIVGAVVWILEHRINDEFRGPPRKQLVTILWFSFSTLFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSTIKGIDSLITSNAQIGFQVGSFAENYLNEELSIAKTRLVPLGSPEEYADALKNGTVAAVVDERPYVDLFLSEHCEFSIIGQEFTRSGWGFAFPRDSPLAIDMSTAILQLSENGELQNIHNKWLQRKLCSSQDIGSSADQLQLQSFWGLFLICGIACLLALLIYFCTTFRQFSRHFPEESDSSVQSRSRSKRLQTFLSFADDKVEQWKKSKSKRKREDELSNRSGEGSMSVNRSERIQRDISQERENGDTWLH >Potri.009G168300.3.v4.1 pep chromosome:Pop_tri_v4:9:12825139:12830974:1 gene:Potri.009G168300.v4.1 transcript:Potri.009G168300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168300.v4.1 MNLAWLLSFWILCTSSFSQGALSPGGTVNVGAIFTFSSINGRVAKIAMEAAEDDINSDPSLLGGRKLSINMHDSNFSGFLGIIGALQFLETDTVAVIGPQTAVMAHVLSHLANELQVPFLSFTALDPTLSPLQFPYFIQTAPNDLFQMTAIADIVSYYGWSEVTAVFNDDDQNRNGITVLGDKLAERRCKISYKAALPPEPKATRSNIQDELAKILGMESRVIVLNTFSKTGLLVFDVAKALGMMENGFVWIVTSWLSTVIDSASPLPTTANSIQGVLALRPHTPDSKRKRDFISRWKQLSNGSIGLNPYGLYAYDTVWLLARALKSFFDQGNTISFTNDSRLGGIGGGYLNLGALSIFDGGSQLLKNILQTSMTGLTGPFRFNPDRSILHPSYDIINVLETGYQQVGYWSNYSGLSVVPPETLYGKAANRSSSSQHLQSVVWPGGTTARPRGWVFPNNGKELQIGIPNRVSYRDFVSKVNGTDMVQGYCIDVFLAAIKLLPYAVPHKFIPFGDGHKNPTYYDLVYKITTRVFDAVIGDVAIVTNRTKIVDFTQPYIESGLVVVAPVKKRNSNAWAFLRPFSPLMWAVTAMFFLIVGAVVWILEHRINDEFRGPPRKQLVTILWFSFSTLFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSTIKGIDSLITSNAQIGFQVGSFAENYLNEELSIAKTRLVPLGSPEEYADALKNGTVAAVVDERPYVDLFLSEHCEFSIIGQEFTRSGWGFAFPRDSPLAIDMSTAILQLSENGELQNIHNKWLQRKLCSSQDIGSSADQLQLQSFWGLFLICGIACLLALLIYFCTTFRQFSRHFPEESDSSVQSRSRSKRLQTFLSFADDKVEQWKKSKSKRKREDELSNRSGEGSMSVNRSERIQRDISQERENGDTWLH >Potri.009G168300.2.v4.1 pep chromosome:Pop_tri_v4:9:12825180:12830974:1 gene:Potri.009G168300.v4.1 transcript:Potri.009G168300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168300.v4.1 MNLAWLLSFWILCTSSFSQGALSPGGTVNVGAIFTFSSINGRVAKIAMEAAEDDINSDPSLLGGRKLSINMHDSNFSGFLGIIGALQFLETDTVAVIGPQTAVMAHVLSHLANELQVPFLSFTALDPTLSPLQFPYFIQTAPNDLFQMTAIADIVSYYGWSEVTAVFNDDDQNRNGITVLGDKLAERRCKISYKAALPPEPKATRSNIQDELAKILGMESRVIVLNTFSKTGLLVFDVAKALGMMENGFVWIVTSWLSTVIDSASPLPTTANSIQGVLALRPHTPDSKRKRDFISRWKQLSNGSIGLNPYGLYAYDTVWLLARALKSFFDQGNTISFTNDSRLGGIGGGYLNLGALSIFDGGSQLLKNILQTSMTGLTGPFRFNPDRSILHPSYDIINVLETGYQQVGYWSNYSGLSVVPPETLYGKAANRSSSSQHLQSVVWPGGTTARPRGWVFPNNGKELQIGIPNRVSYRDFVSKVNGTDMVQGYCIDVFLAAIKLLPYAVPHKFIPFGDGHKNPTYYDLVYKITTRVFDAVIGDVAIVTNRTKIVDFTQPYIESGLVVVAPVKKRNSNAWAFLRPFSPLMWAVTAMFFLIVGAVVWILEHRINDEFRGPPRKQLVTILWFSFSTLFFSHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSTIKGIDSLITSNAQIGFQVGSFAENYLNEELSIAKTRLVPLGSPEEYADALKNGTVAAVVDERPYVDLFLSEHCEFSIIGQEFTRSGWGFAFPRDSPLAIDMSTAILQLSENGELQNIHNKWLQRKLCSSQDIGSSADQLQLQSFWGLFLICGIACLLALLIYFCTTFRQFSRHFPEESDSSVQSRSRSKRLQTFLSFADDKVEQWKKSKSKRKREDELSNRSGEGSMSVNRSERIQRDISQERENGDTWLH >Potri.006G205500.1.v4.1 pep chromosome:Pop_tri_v4:6:21374247:21375351:-1 gene:Potri.006G205500.v4.1 transcript:Potri.006G205500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205500.v4.1 MGKKMKLPFLSKINTNTDQSKRPLWPWPTYCHQPRTLSFSFRTSDGMFKTINSAFLDATNNDVVDSTPESWFTKSCESASFSTASDDQSGAIDPIETVIRGLRSERLFFEPGETNSILEEAKAGDEFPFKETVVLSMESQDPYLDFKKSMEEMVEAHGLTDWEGLEELLSCYLKVNGESNHGYIVSAFVDLLVGLAFASSSSSSSSITSTSTTQHHHDFCSSSHHSPSSPLSLYTSSTSDDDSSSTPCCVSSLENGADIISPCLTSLEAENGIKNINQ >Potri.003G185644.1.v4.1 pep chromosome:Pop_tri_v4:3:19009043:19014324:1 gene:Potri.003G185644.v4.1 transcript:Potri.003G185644.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185644.v4.1 MQVVKLVSHVSFLLLMLMFQPATAQAPAGLAKPNCSDRCGNISIPYPFGIGKDCYMEESFDVECNETSKPPRAFLRSIKMELVNITLEGGAVVNGPVISVDSSGRQEGVTVNLEGTPFLFSYTNYFIAVGCNTRAALWTKKGTDEHVGCDSICSNASSISNIRFGNSSCSGKDCCQDMYLPPSLQVFNSTVVSKEGKQGSDGRKLAFLADTNWFYNNIRTPQEINKLLNNNSTVPMSLAWILNNNSWTYNQDTTTYCSGTQINSTSTIMTAGCSCSEGYEGNPYLQCRDVNECKIPEKNTCQRMLKCVNTQGGFRCLKKKFFKRNGGLLLQQQLSSSDGSVQKTKIFSSNELEKATDYFNESRILGHGGQGTVYKGMLAAGTIVAVKKSKIVDEDKLEEFINEVVILSQISHRNVVRLLGCCLETDVPLLVYEFIPSGTLFQYLHEQNEDFTLSWELRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVSIDQTHLTTKVQGTFGYLDPEYFRTSQLTEKSDVYSFGVVLVELLSGKKPIFLTHSLETMSLAEHFIKLMEDSRLFDIIDAQVKGDCSEEEAIVIANLAKRCLNLNGRNRPTMREVAMELEGILLSRNGINIQQIGEVDNSSRSISRSSFESGIDLPLDCKPSTSSETW >Potri.008G058600.4.v4.1 pep chromosome:Pop_tri_v4:8:3499912:3505289:-1 gene:Potri.008G058600.v4.1 transcript:Potri.008G058600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058600.v4.1 MLCCLFFLLFIDIHTHTLFLSCLCFFFPYSFVSLYRSSVMAGTREITAATEFLLGNNRKTLYVQRDLPINRRNQLLLGMLRGHRPAFGVSDKRSVSLRCRAQSKPRALVSGGVTSSVDEQSSLIEKPAQELIHFYRIPLIQESATLELLKSVQTKVSNKIVGLRTEQCFNIGIRSGISSQKLGVLRWLLQETYEPENLGTESFLEKKMKEGVNAVIVEAGPRLSFTTAWSANAVSICHACGLTEVTRLERSRRYLLYSKGVLQDYQINEFAAMVHDRMTECVYTQKLTSFETSVVPEEVRYVPVMERGRKALEEINQEMGLAFDEQDLQYYTSLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIIIDGQPMNRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFPVKQLRPVQPGSTCPLNASNRDLDILFTAETHNFPCAVAPHPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNVEGSYAPWEDHSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIQAIVVGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSATEKCRSNGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVSAREPLDIAPDITVMDALMRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKITSLSDVKSSGNWMYAAKLNGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEIVKAPGNLVISAYVTCPDITKTITPDLKLRDEGLLLHIDLAKGKRRLGGSALAQAFDQVGDDCPDLDDVSYLKKTFEFVQDLITEEIISSGHDISDGGLLVCALEMTFAGNCGILLDLISKGRASLKQSLQKSLVLFLKSAEKTWIL >Potri.008G058600.5.v4.1 pep chromosome:Pop_tri_v4:8:3500028:3505260:-1 gene:Potri.008G058600.v4.1 transcript:Potri.008G058600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058600.v4.1 MAGTREITAATEFLLGNNRKTLYVQRDLPINRRNQLLLGMLRGHRPAFGVSDKRSVSLRCRAQSKPRALVSGGVTSSVDEQSSLIEKPAQELIHFYRIPLIQESATLELLKSVQTKVSNKIVGLRTEQCFNIGIRSGISSQKLGVLRWLLQETYEPENLGTESFLEKKMKEGVNAVIVEAGPRLSFTTAWSANAVSICHACGLTEVTRLERSRRYLLYSKGVLQDYQINEFAAMVHDRMTECVYTQKLTSFETSVVPEEVRYVPVMERGRKALEEINQEMGLAFDEQDLQYYTSLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIIIDGQPMNRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFPVKQLRPVQPGSTCPLNASNRDLDILFTAETHNFPCAVAPHPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNVEGSYAPWEDHSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIQAIVVGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSATEKCRSNGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVSAREPLDIAPDITVMDALMRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKITSLSDVKSSGNWMYAAKLNGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEIVKAPGNLVISAYVTCPDITKTITPDLKLRDEGLLLHIDLAKGKRRLGGSALAQAFDQVGDDCPDLDDVSYLKKTFEFVQDLITEEIISSGHDISDGGLLVCALEMTFAGNCGILLDLISKGRASLKQSLQKSLVLFLKSAEKTWIL >Potri.008G058600.6.v4.1 pep chromosome:Pop_tri_v4:8:3496501:3504763:-1 gene:Potri.008G058600.v4.1 transcript:Potri.008G058600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058600.v4.1 MLRGHRPAFGVSDKRSVSLRCRAQSKPRALVSGGVTSSVDEQSSLIEKPAQELIHFYRIPLIQESATLELLKSVQTKVSNKIVGLRTEQCFNIGIRSGISSQKLGVLRWLLQETYEPENLGTESFLEKKMKEGVNAVIVEAGPRLSFTTAWSANAVSICHACGLTEVTRLERSRRYLLYSKGVLQDYQINEFAAMVHDRMTECVYTQKLTSFETSVVPEEVRYVPVMERGRKALEEINQEMGLAFDEQDLQYYTSLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIIIDGQPMNRTLMQIVKSTLQANPNNSVIGFKDNSSAIKGFPVKQLRPVQPGSTCPLNASNRDLDILFTAETHNFPCAVAPHPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNVEGSYAPWEDHSFTYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDVGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGENNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIQAIVVGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSATEKCRSNGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVSAREPLDIAPDITVMDALMRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKITSLSDVKSSGNWMYAAKLNGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEIVKAPGNLVISAYVTCPDITKTITPDLKLRDEGLLLHIDLAKGKRRLGGSALAQAFDQVGDDCPDLDDVSYLKKTFEFVQDLITEEIISSGHDISDGGLLVCALEMTFAGNCGILLDLISKGRASLKQSLQKSLVLFLKSAEKTWIL >Potri.014G187000.1.v4.1 pep chromosome:Pop_tri_v4:14:15700074:15701608:-1 gene:Potri.014G187000.v4.1 transcript:Potri.014G187000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G187000.v4.1 MEGGAEKKRRRESKKKKKDFECDGKAGGGHGRPVEVSASVMGLFSEFAFTGCSGGTGQASSSASSTRPSIKKVEREEEAQKKKRKRSPRLTAAQMRDVAYLRRRPNNRWIPPKSPHELLQENHYHDPWRVLVICMLLNCTSGGQVRPILNDFFTLCPDAKTTTNVDQNEIAQLTRSLGFKNTRAEKIKRLSEIYLQEYWTHVTFLPGVGKYAADAYAIFCTGRWDRVVPEDHMLTRYWEFLRKGRWIIE >Potri.017G079500.1.v4.1 pep chromosome:Pop_tri_v4:17:8848505:8851445:1 gene:Potri.017G079500.v4.1 transcript:Potri.017G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079500.v4.1 MSSSGGAAIEERAVQFGLTSREDSGSSQEAKKEEVIESAKSEMGDVREENHRLKMMLERIEKDYQSLQLRFFDILQHETSSKQSTDSAPSHDETEESNELVSLCLGRSPSEPKKEEKSTNSAKSRENEELKANLTLGLDSKILTSTETASNPSPAESVEEPKEEAGETWPPSKIIPKRNGDHDEAAQQSQAKRARVCVRTRCETPTMNDGCQWRKYGQKISKGNPCPRAYYRCTVAPLCPVRKQVQRCAEDTSILITTYEGTHNHPLPVSATAMASTTSAAASMLLSGSSTSQQGLGSHINATSARTELNGVSFSLHDHLRAKQIYFPNSSSPTFPTITLDLTTSPSTSTTPFGRFSSSFSSTSRYPSTSLNFSSTEPNSLPPIWGNGLHNYGTRSYNHQQLYQSFMEKNHQAAASQQVLTDTLTKAITSDPSFRTVIATAISSMIGGGGSAIANNRNQRAGDQNCFGQNLNFGETMTTSAFSINSLSQNGKGCASSCFNGLSSSTSQKGSSQLQPALPFSVFNSASMPNNDNEEHKS >Potri.014G066600.1.v4.1 pep chromosome:Pop_tri_v4:14:4170422:4172838:1 gene:Potri.014G066600.v4.1 transcript:Potri.014G066600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066600.v4.1 MTETMDTTTSTTSKQPQISEMFSKFALAFKTKTFEFFADEISDADEGFSLLDSAEDFIPDQKVIILKPDQPLNQNQEFLSQQELTVKKSETQIKHLNTQLANTLISSVFAKVSSFEASYLQLQIAHVPFNEENIKVADKASVSVLQRLSDLKQVYRDMCKNPDSGDDLPIGSCLEAQVEENQSKLRIMGTVSNSLQAEIDKKDCEVSALKKKLIEVQKSNSLLSKRLLSSLNLNSEVLLTVKVFDSVLNDACRTMHKFTKILVDLMRKAGWDLDLAANSVHSDVGYVKRGHNRYAFLSYVCLGMFKGFDLEGFGLKSDGEILCNGHDSVSVKSNSALKQLLEHVSSNPMELLSMNPTCEFLRFCEKKYQELIHPTMESSIFSNFDQNEFVLNSWRSLGMFYESFVNMASSVWTLHKLAFSFDPVVDIFQVERGVDFSMVYMEDVTGRCTMPGKTRLKVGFTVVPGFKIGRTAIQSQVYLCGSTCTE >Potri.001G349300.10.v4.1 pep chromosome:Pop_tri_v4:1:36147121:36158820:1 gene:Potri.001G349300.v4.1 transcript:Potri.001G349300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349300.v4.1 MEYVSEKRYPVNAKDYKLYEEIGEGVSATVYRALCIPFNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAHGSFTAGYSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLRETLKALVYIHEHGHIHRDVKAGNILIDSDGTVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKNARSHDYLVRAILDGLSPLGERFKILKAKEADLLVQNKALYGDKEQISQQEYIRGISAWNFNLEDLKNQAALIQDYDCMSNAEDPDLSGKQMDRYNIVGFPAEKLPPKIANHSISAPSQEDGFNDLHDLETSLPSFPIKPLQALKGCFDVGEEAVGATSPNWKVTSQTECEQQVLTELSSSAMDQESERNEDNALSPKKVIGDENRDLLQPKYQSERNYSGPMLHRQKRDTNNLSSVEDTSEGAVVQRKGRFKVTSADLSPKGPTNCCFNPVGGGSACATISNPAASSVLPSLQCILQQNTLQREEILKLIKYVEQTSGKLVESGEAATNDLLQISPTWTREKELQAQFIGLQQSVGSLFEELQRQKMKNVQLERQLNAFINKERERS >Potri.001G349300.7.v4.1 pep chromosome:Pop_tri_v4:1:36147039:36159000:1 gene:Potri.001G349300.v4.1 transcript:Potri.001G349300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349300.v4.1 MEYVSEKRYPVNAKDYKLYEEIGEGVSATVYRALCIPFNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAHGSFTAGYSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLRETLKALVYIHEHGHIHRDVKAGNILIDSDGTVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKNARSHDYLVRAILDGLSPLGERFKILKAKEADLLVQNKALYGDKEQISQQEYIRGISAWNFNLEDLKNQAALIQDYDCMSNAEDPDLSGKQMDRYNIVGFPAEKLPPKIANHSISAPSQEDGFNDLHDLETSLPSFPIKPLQALKGCFDVGEEAVGATSPNWKVTSQTECEQQVLTELSSSAMDQESERNEGENSGRSSSLPRHVISEHKSFLGGPLLPDNALSPKKVIGDENRDLLQPKYQSERNYSGPMLHRQKRDTNNLSSVEDTSEGAVVQRKGRFKVTSADLSPKGPTNCCFNPVGGGSACATISNPAASSVLPSLQCILQQNTLQREEILKLIKYVEQTSGKLVESGEAATNDLLQISPTWTREKELQAQFIGLQQSVGSLFEELQRQKMKNVQLERQLNAFINKERERS >Potri.001G349300.9.v4.1 pep chromosome:Pop_tri_v4:1:36146981:36158826:1 gene:Potri.001G349300.v4.1 transcript:Potri.001G349300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349300.v4.1 MEYVSEKRYPVNAKDYKLYEEIGEGVSATVYRALCIPFNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAHGSFTAGYSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLRETLKALVYIHEHGHIHRDVKAGNILIDSDGTVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKNARSHDYLVRAILDGLSPLGERFKILKAKEADLLVQNKALYGDKEQISQQEYIRGISAWNFNLEDLKNQAALIQDYDCMSNAEDPDLSGKQMDRYNIVGFPAEKLPPKIANHSISAPSQEDGFNDLHDLETSLPSFPIKPLQALKGCFDVGEEAVGATSPNWKVTSQTECEQQVLTELSSSAMDQESERNEDNALSPKKVIGDENRDLLQPKYQSERNYSGPMLHRQKRDTNNLSSVEDTSEGAVVQRKGRFKVTSADLSPKGPTNCCFNPVGGGSACATISNPAASSVLPSLQCILQQNTLQREEILKLIKYVEQTSGKLVESGEAATNDLLQISPTWTREKELQAQFIGLQQSVGSLFEELQRQKMKNVQLERQLNAFINKERERS >Potri.001G349300.3.v4.1 pep chromosome:Pop_tri_v4:1:36147018:36158821:1 gene:Potri.001G349300.v4.1 transcript:Potri.001G349300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349300.v4.1 MEYVSEKRYPVNAKDYKLYEEIGEGVSATVYRALCIPFNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAHGSFTAGYSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLRETLKALVYIHEHGHIHRDVKAGNILIDSDGTVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKNARSHDYLVRAILDGLSPLGERFKILKAKEADLLVQNKALYGDKEQISQQEYIRGISAWNFNLEDLKNQAALIQDYDCMSNAEDPDLSGKQMDRYNIVGFPAEKLPPKIANHSISAPSQEDGFNDLHDLETSLPSFPIKPLQALKGCFDVGEEAVGATSPNWKVTSQTECEQQVLTELSSSAMDQESERNEGENSGRSSSLPRHVISEHKSFLGGPLLPDNALSPKKVIGDENRDLLQPKYQSERNYSGPMLHRQKRDTNNLSSVEDTSEGAVVQRKGRFKVTSADLSPKGPTNCCFNPVGGGSACATISNPAASSVLPSLQCILQQNTLQRYVEQTSGKLVESGEAATNDLLQISPTWTREKELQAQFIGLQQSVGSLFEELQRQKMKNVQLERQLNAFINKERERS >Potri.001G349300.8.v4.1 pep chromosome:Pop_tri_v4:1:36147079:36159264:1 gene:Potri.001G349300.v4.1 transcript:Potri.001G349300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349300.v4.1 MEYVSEKRYPVNAKDYKLYEEIGEGVSATVYRALCIPFNQIVAIKVLDLEKCNNDLDGIRREVQTMSLIDHPNVLRAHGSFTAGYSLWVVMPYMAGGSCLHIMKSAYPEGFEEPVIATLLRETLKALVYIHEHGHIHRDVKAGNILIDSDGTVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVMQQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDYERDKRFSKSFKEMVAACLVKDPKKRPTSEKLLKHHFFKNARSHDYLVRAILDGLSPLGERFKILKAKEADLLVQNKALYGDKEQISQQEYIRGISAWNFNLEDLKNQAALIQDYDCMSNAEDPDLSGKQMDRYNIVGFPAEKLPPKIANHSISAPSQEDGFNDLHDLETSLPSFPIKPLQALKGCFDVGEEAVGATSPNWKVTSQTECEQQVLTELSSSAMDQESERNEGENSGRSSSLPRHVISEHKSFLGGPLLPDNALSPKKVIGDENRDLLQPKYQSERNYSGPMLHRQKRDTNNLSSVEDTSEGAVVQRKGRFKVTSADLSPKGPTNCCFNPVGGGSACATISNPAASSVLPSLQCILQQNTLQREEILKLIKYVEQTSGKLVESGEAATNDLLQISPTWTREKELQAQFIGLQQSVGSLFEELQRQKMKNVQLERQLNAFINKERERS >Potri.002G245300.1.v4.1 pep chromosome:Pop_tri_v4:2:23643763:23647157:-1 gene:Potri.002G245300.v4.1 transcript:Potri.002G245300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245300.v4.1 MIQLLFLVLFVEGAVAFLLLVKIGPLRELVIKSLDQVKMGKRPATVKTIAGTMSVILFFSLMNIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGFTLFLGFLIDRMHHYLSKLIGLRRSVGSSKEEVERLQKEKMQLKEKEDKASMEIKLLLEQISTLSENLKKLKLESENKDKQIKTAEAHVVSLQKQCADLLLEYDRLLEDNQNLQAQATGHRI >Potri.004G138300.1.v4.1 pep chromosome:Pop_tri_v4:4:16014068:16017745:1 gene:Potri.004G138300.v4.1 transcript:Potri.004G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138300.v4.1 MEKYELVKDLGAGNFGVARLLRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLVIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVNFCHNMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKMADVWSCGVTLYVMLVGAYPFEDQDDPKNFRKTIQKIMAVQYKIPDYVHVSQACRHLLSRIFVANPSRRISLSEIKSHSWFLKNLPKELSESSQAIYYQRDNPSFSVQSVEEIMKIVAEARQPPPSSKPVRSFGWEVEEDEDDEDIDAEVEEDDGEDEYDKRVKEVHASGEFHIS >Potri.005G139900.1.v4.1 pep chromosome:Pop_tri_v4:5:11074645:11079141:-1 gene:Potri.005G139900.v4.1 transcript:Potri.005G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139900.v4.1 MAGQGSESNAYPGKFYFGCGPNAINHLCNQNVDSDDLSLEEMQSDQMNTASSANVSLRDSQLANGPSATLAANSSALPHNIDLNAAYQGNDIGDSQEIGGSDTEKNAPASDSSNSNTNMISSGIAGYVLDEDEGGEDSDSGGRRISCKRRAPEDAGQLSLGESSRSVKQGDSFQQPAVINQENAPGRSAVNNHPNAGYPGQLGDRLVVGVGAPPAPCQPSSAAGSGLGTEAGTSSEMHQNSSMVRQAENSQRNIRLRSSESQSDSVPDNLSAWTTRNPHVQSPGQLPVFYQFNHLPSSNTAAEAGMVSVPSPIQPFMGTPNSSQTLQTFQWNDVTRATTGEPSTSSMNGGNALHQDLNFMNDPKNGTLPPEFQRANLPNMSANLHFANGRDFPGNISPIPQNGSSSHQPSAPTLFPQRNMLEHYPGRMPDLANRTEPGGPVCYRPFHLGASPAAEERELSEGSGNIRPSQMPLGQGLAMTANTETATREMTLWALISVQRRNRLATEVRNALTLVLMRGSLQHGDVMLIDRSAFFGDSDDDEPDEHEDMRLDVDNMSYEQLLALEERMGNVSTGLSEDAIVANLKRWKYQTVAGGSSSEDEPCCICQEEYADEDDLGKLKCGHDFHFNCIKKWLVQKNNCPICKKPAVDV >Potri.005G139900.2.v4.1 pep chromosome:Pop_tri_v4:5:11074645:11079141:-1 gene:Potri.005G139900.v4.1 transcript:Potri.005G139900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139900.v4.1 MAGQGSESNAYPGKFYFGCGPNAINHLCNQNVDSDDLSLEEMQSDQMNTASSANVSLRDSQLANGPSATLAANSSALPHNIDLNAAYQGNDIGDSQEIGGSDTEKNAPASDSSNSNTNMISSGIAGYVLDEDEGGEDSDSGGRRISCKRRAPEDAGQLSLGESSRSVKQGDSFQQPAVINQENAPGRSAVNNHPNAGYPGQLGDRLVVGVGAPPAPCQPSSAAGSGLGTEAGTSSEMHQNSSMVRQAENSQRNIRLRSSESQSDSVPDNLSAWTTRNPHVQSPGQLPVFYQFNHLPSSNTAAEAGMVSVPSPIQPFMGTPNSSQTLQTFQWNDVTRATTGEPSTSSMNGGNALHQDLNFMNDPKNGTLPPEFQRANLPNMSANLHFANGRDFPGNISPIPQNGSSSHQPSAPTLFPQRNMLEHYPGRMPDLANRTEPGGPVCYRPFHLGASPAAEERELSEGSGNIRPSQMPLGQGLAMTANTETATREMTLWALISVQRRNRLATEDVMLIDRSAFFGDSDDDEPDEHEDMRLDVDNMSYEQLLALEERMGNVSTGLSEDAIVANLKRWKYQTVAGGSSSEDEPCCICQEEYADEDDLGKLKCGHDFHFNCIKKWLVQKNNCPICKKPAVDV >Potri.002G000200.8.v4.1 pep chromosome:Pop_tri_v4:2:14407:22652:-1 gene:Potri.002G000200.v4.1 transcript:Potri.002G000200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000200.v4.1 MSSNSNAVANGLAGAGGGIVAQIITYPLQTVNTRQQTERIDKKKKQPQTPSKHSGTTTGTLLQILQVVRSEGWGGLYSGLRPSLLGTAASQGIYYYFYQVFKNKAESIAAVRKARGLGDGTVGMFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTQTQAERKIIEGKKQALLREASERSSIDSTLQEKLAELDSIKPHPYGTLQAAREVYSEAGITGFWKGIIPTLIMVCNPSIQFMIYESSSKHLRAKRSANKQGYKNVTALEVFLLGALAKLGATVSTYPLLVVKSRLQAKQEIGGNNLLRYSGTLDAIVKMIRYEGLTGFYKGMSTKIVQSVFAASVLFMIKEELVKAYMVLADKSKKHLIISNS >Potri.002G000200.9.v4.1 pep chromosome:Pop_tri_v4:2:14353:22530:-1 gene:Potri.002G000200.v4.1 transcript:Potri.002G000200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000200.v4.1 MFSWLVVAAIAGSLNVLLTNPIWVLVTRMQTQTQAERKIIEGKKQALLREASERSSIDSTLQEKLAELDSIKPHPYGTLQAAREVYSEAGITGFWKGIIPTLIMVCNPSIQFMIYESSSKHLRAKRSANKQGYKNVTALEVFLLGALAKLGATVSTYPLLVVKSRLQAKQEIGGNNLLRYSGTLDAIVKMIRYEGLTGFYKGMSTKIVQSVFAASVLFMIKEELVKAYMVLADKSKKHLIISNS >Potri.019G036600.3.v4.1 pep chromosome:Pop_tri_v4:19:5001253:5008615:1 gene:Potri.019G036600.v4.1 transcript:Potri.019G036600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036600.v4.1 MKMAFKSKIKWIALFVLILSMVSLVIHLSITKLSGPYSLQSTLMPAIGFNLTPIFGGDRAVRNKRSWGHVKSLKSLQPYANPRSSYPVPNEKNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLQSKGISYKFKSFSYLYDEEQFIASLKNDVIVVNSLPENLKAGRRRNEIHTYKPKSSASPSFYVKEILPELKKSKVIGLVLHDGGCLQSILPPSMSEFQRLRCRVAFHALKFRPKIQVLGQLMVQRLRASGQPFLAFHPGLVRNTLAYHGCAELYQDVHTELIQYRRAQMIKQGILNDELSVDSHVRRSNGSCPLMPEEIGLLLKEMGYSTETMIYVAGSETFGGQRILIPLRANFSNTVDRTQVCTKQELSDLVGPETPLPLNPFQPPPTKSEEQLKEEWNRAGPRPRPLPPRPDRPIYQHEKEVEADAFFPGFHNDGSGWPDFSSLVMGHRLYESASSKTYRPDRRVLAELFNIIHDNLYHHNNRTWKRVVREHLNKSLSEDGLIRQSLLSKPTTFLSHPLPECSCRIPSAEVPKQVKGNDGRFLYGGEDECPRWMQLSQEDTRSESAVVEEGSDDNSESEYENDGVEQQESDDSRGRSSLTQIPMDQDDEWDPND >Potri.019G036600.1.v4.1 pep chromosome:Pop_tri_v4:19:5001255:5008717:1 gene:Potri.019G036600.v4.1 transcript:Potri.019G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036600.v4.1 MKMAFKSKIKWIALFVLILSMVSLVIHLSITKLSGPYSLQSTLMPAIGFNLTPIFGGDRAVRNKRSWGHVKSLKSLQPYANPRSSYPVPNEKNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLQSKGISYKFKSFSYLYDEEQFIASLKNDVIVVNSLPENLKAGRRRNEIHTYKPKSSASPSFYVKEILPELKKSKVIGLVLHDGGCLQSILPPSMSEFQRLRCRVAFHALKFRPKIQVLGQLMVQRLRASGQPFLAFHPGLVRNTLAYHGCAELYQDVHTELIQYRRAQMIKQGILNDELSVDSHVRRSNGSCPLMPEEIGLLLKEMGYSTETMIYVAGSETFGGQRILIPLRANFSNTVDRTQVCTKQELSDLVGPETPLPLNPFQPPPTKSEEQLKEEWNRAGPRPRPLPPRPDRPIYQHEKEGWYGWITESDTEPDPSSVDLRNQAHRLIWDALDYIVSVEADAFFPGFHNDGSGWPDFSSLVMGHRLYESASSKTYRPDRRVLAELFNIIHDNLYHHNNRTWKRVVREHLNKSLSEDGLIRQSLLSKPTTFLSHPLPECSCRIPSAEVPKQVKGNDGRFLYGGEDECPRWMQLSQEDTRSESAVVEEGSDDNSESEYENDGVEQQESDDSRGRSSLTQIPMDQDDEWDPND >Potri.019G036600.5.v4.1 pep chromosome:Pop_tri_v4:19:5001252:5008618:1 gene:Potri.019G036600.v4.1 transcript:Potri.019G036600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036600.v4.1 MSEFQRLRCRVAFHALKFRPKIQVLGQLMVQRLRASGQPFLAFHPGLVRNTLAYHGCAELYQDVHTELIQYRRAQMIKQGILNDELSVDSHVRRSNGSCPLMPEEIGLLLKEMGYSTETMIYVAGSETFGGQRILIPLRANFSNTVDRTQVCTKQELSDLVGPETPLPLNPFQPPPTKSEEQLKEEWNRAGPRPRPLPPRPDRPIYQHEKEGWYGWITESDTEPDPSSVDLRNQAHRLIWDALDYIVSVEADAFFPGFHNDGSGWPDFSSLVMGHRLYESASSKTYRPDRRVLAELFNIIHDNLYHHNNRTWKRVVREHLNKSLSEDGLIRQSLLSKPTTFLSHPLPECSCRIPSAEVPKQVKGNDGRFLYGGEDECPRWMQLSQEDTRSESAVVEEGSDDNSESEYENDGVEQQESDDSRGRSSLTQIPMDQDDEWDPND >Potri.002G024900.1.v4.1 pep chromosome:Pop_tri_v4:2:1619361:1620304:-1 gene:Potri.002G024900.v4.1 transcript:Potri.002G024900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024900.v4.1 MTPPPGPYSGASTLALVARASAFSFGLVYGSVKLKILKMKVNSRNKAEAKAHH >Potri.006G214500.1.v4.1 pep chromosome:Pop_tri_v4:6:22097038:22102022:1 gene:Potri.006G214500.v4.1 transcript:Potri.006G214500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214500.v4.1 MEYGIEEENEMDLEKGLDKPFNSSPNLLAEVSSSSSLPFPSPTPSPAATPRATLVFTNSGKKLLVSNSSKSLVVSNSGKRFDRKKYLRQVTGRHNDTELHLAAQRDDLEAVKRILGEIDEQMIGTLSGTDFDAEVAEIRSAVVNEVNELGDTALSTAAERGHLEVVKELLKYTTKDAISHKNRSGLDPLHLAASNGHQAIVQLLLEHDPTMGKTVGQSNATPLISAATKGHAAVVHELLSKDPSLLEMTKSNGKNALHLAARQGHVEVVKALLDKDPQLARRTDKKGQTALHMAVKGLSCEVVVLLLEADPAIVMLPDKFGNTALHVATRKKRTQIVNTLLRLPDTNVNALTRDRKTALDIAEALHFTEETSEIRECLAHYGGVKASELHQPRDELRNTVTQIKKDVHFQLEQTRKTNKNVSGIANELRRLHREGINNATNSVTVVAVLFSTVAFAAIFTIPGGAKENGTAVVVSSLSFKMFFIFNAIALFTSLAVVVVQITLVRGETKTERRVIEVINKLMWLASVCTTVAFSSSSYIVVGRHRKWAAVLVTVIGGIIMAGVLGSMTYYVMKSRRIRKVRKKEKSSRRSANSSWHYSETDSEVKPIYAI >Potri.002G130400.1.v4.1 pep chromosome:Pop_tri_v4:2:9893660:9895528:-1 gene:Potri.002G130400.v4.1 transcript:Potri.002G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130400.v4.1 MMNGGRGGIGSRMGDEEEIGTKFVDTGNHTPRSKFGNMMHSDPNLSATAAAIPRDEVFGYRNSSASLTGPASFDPNRMSCEGSPMTMSPWNQTGGGSNFPWSFEENLPQNGLIGSLVREEGHIYSLAATKDLLYTGSDSKNIRVWKNLKEFSGFKSSSGLVKAIIIAGEKIFTGHQDGKIRVWKVIPKNPTIHKRSGTLPTLKEILKSSIRPSAYVQVRNRSALWIKHCDAISCLTLSEDKTLLYSASWDRTFKVWRISDSRCLESINAHDDAVNSVVASLEGLVFTGSADGTVKVWKREQQGKTTKHSPVQTLLKQESAVTSLAVNTSGSVVYCGSSDGMVNYWECEKQLSHGGVLKGHKLAVLCLAAAGNLVFSGSADKTICVWRRDGKLHACISVLTGHTGPVKCLAVEVDHEKSKDGDQRWVVYSGSLDKSVKVWSVAEMAPDLYQMAMMQQQQQYQQHIGSDADSLQSDESSRAGKNRGK >Potri.006G088900.3.v4.1 pep chromosome:Pop_tri_v4:6:6758855:6761025:-1 gene:Potri.006G088900.v4.1 transcript:Potri.006G088900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088900.v4.1 MGHAFYPNPVTFKNSINSTAFTFSTTFVFAIIPEYARFGGHGIAFVIAPTRGLPGALPNQNLGLFSNTNNGNQTNHVVAVELDTIYSTGFNDIDDNHVGIDINGLVSERSASAGYYSQLNGKLTNLTLISGHPMQVWIEYDGVEKQMNVTIAPIDVDKPSRPLFTLSRDLSPILKSSMYIGFSSSTGSVFASQYVLGWSFRMNGLAEALNIYRLPKLPRVGPKKTSKFLTIGLPVLCLSLVLVAVSSISYSIRRTRKFAEVLEDWELDYGPHRFKFKDLYTATKGFRDEELLGSGGFGRVYKGVLPTSKIQIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPTVALNWSQRFRVIKGVASGLLYLHEEWEQVVVHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTSTDVFAFGAFLLEVASGRRPIQPTEDIILVDWVFSRWLGGEILEARDPNLGTEYIAEEMELVLKLGLMCSHSEPAARPSMRQVVQFLEGDVRLPDISPLRLSTSGLTFSHREGFDDFAIDDFANSYPSSMDKAFAHSSSVAESLLSGGR >Potri.006G088900.2.v4.1 pep chromosome:Pop_tri_v4:6:6758856:6761371:-1 gene:Potri.006G088900.v4.1 transcript:Potri.006G088900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088900.v4.1 MLFRIVLMVRVLVSLAIAASQDLNFTFSGFRSTNLSLDGLAELTSNGLLRLTNKTYNRMGHAFYPNPVTFKNSINSTAFTFSTTFVFAIIPEYARFGGHGIAFVIAPTRGLPGALPNQNLGLFSNTNNGNQTNHVVAVELDTIYSTGFNDIDDNHVGIDINGLVSERSASAGYYSQLNGKLTNLTLISGHPMQVWIEYDGVEKQMNVTIAPIDVDKPSRPLFTLSRDLSPILKSSMYIGFSSSTGSVFASQYVLGWSFRMNGLAEALNIYRLPKLPRVGPKKTSKFLTIGLPVLCLSLVLVAVSSISYSIRRTRKFAEVLEDWELDYGPHRFKFKDLYTATKGFRDEELLGSGGFGRVYKGVLPTSKIQIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPTVALNWSQRFRVIKGVASGLLYLHEEWEQVVVHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTSTDVFAFGAFLLEVASGRRPIQPTEDIILVDWVFSRWLGGEILEARDPNLGTEYIAEEMELVLKLGLMCSHSEPAARPSMRQVVQFLEGDVRLPDISPLRLSTSGLTFSHREGFDDFAIDDFANSYPSSMDKAFAHSSSVAESLLSGGR >Potri.010G200600.1.v4.1 pep chromosome:Pop_tri_v4:10:19297356:19299951:1 gene:Potri.010G200600.v4.1 transcript:Potri.010G200600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200600.v4.1 MSQMITLLLFLLFLTSPVFSQLDDLYFPGFNHVTSNMSLNGAAVIEKNGILRLTNNTQHTVGHAFYSSPVKFKNSSNGKSFSFSTAFAFTIVPENPRIRGHGFAFTISTSKELPGALPNQYLGLLNATDSGNFSNHLFAVEFDTVKDYDLHDINDNHVGIDINSVISNKSVPAASFLVNSTTKDLNLCSGRPIQAWVDYDSIKNLLEVRLSSFSKRPVSPILSCKIDLSPLFKDYMYVGFSSSTGLLTSTHYILGWSFSMKGEAKSLSLPSLPSLPGHKMNHTGLILGVSTLATFLIILIVATTFYLSRKMKKADVTEAWELDIGPHRFSYQELKKATKNFRDEELLGFGGFGKVYKGTLPNSNTEIAVKRICHESTQGLKEFLTEIDSIGRLRHRNLVRLLGWCRQQGDLLLVYDFMANGSLDKYLFDNPKTILKWEQRLNIIQGVASGLLYLHEEWEQTVIHRDIKAGNVLLDSELNGRLGDFGLAKLYDRNSNPITTKVVGTLGYLAPELTRTGKPTTSSDVFAFGALLLEVVCGRKPIEPKALPEELILVDLVWDRWKSGAILDVVDPRLNGEFNEHEAVLVLKLGLMCSNNAPNARPPMRQVARFLEGEVALPALVAAPNAYDGKNVNANKVRRELVDHKHSYPVSSNLEKVSTWSFDGDYGDTDIEAGSDSALPSSGGSECK >Potri.001G199500.1.v4.1 pep chromosome:Pop_tri_v4:1:19751130:19757196:1 gene:Potri.001G199500.v4.1 transcript:Potri.001G199500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199500.v4.1 MTDYGQEQEMEIEALEAILMDEFKEVHSSESGLNTSNRCFLITISPQDDDTDESTDIPVQLGLVFSHTEKYPDEPPLLNVKSIRGIQADDLKTLKEKLEQEASENLGMAMVYTLVTSAKEWLSERYSQDASNEDIENEEAAKDDVIVPHGEPVTVETFLAWRERFEAELALERAKLMPESALTAPKEKKLTGRLWYESGKAKGAIAVNEGSDVEDEEEIDFDDDDFEDDEEDMLEHYLAEKSDSSHSSRKAA >Potri.002G111600.2.v4.1 pep chromosome:Pop_tri_v4:2:8409654:8413396:-1 gene:Potri.002G111600.v4.1 transcript:Potri.002G111600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111600.v4.1 MRKPAEEKPLNMMTPGGLSGFVLDPSKCSQLSLGERRELVREIAQWSKDAPEVLSSFTRRELLEIICAEMGKERKYSGYTKFQMIKHLLKLVSKTSKRSSIGNIMAVSPANPQSGFKRPRKKESQAHLSIDLNFVSAKNNSEEYIKMQICENAACGATLSPGDAFCKRCSCCICHYYDDNKDPSLWLTCGSDSLGKRSCGLTCHLICALKDERTGIMKIGCHSKLEGSFYCASCRQVNELMRNWRKQLLVAKEARRVDVLCQRVLLGYRMLTGTEQYKEMQKSMETALQLLKNELGPLDLVCSKMARGIVNRLSCGAEVQKLCASTVEAFDSMCGGSYHGYVEKKEPACAEVPKLCASAVEAFDSMFADNYHGYLEQKELTCKFILTIQVPITLKRTACQNQFEESSPRLQAGKDINENKNEGMNLGSFSPPTPCNSNGMQEVSGLDCKKRVDESAYEYSVRVVKWLELRGHIAEDFRVKFLTWFSLKATLQDRRVVNVFVDALIDDPRSLAEQLIDTFMDKICCDKKPVPWHGFCTKLWH >Potri.002G111600.4.v4.1 pep chromosome:Pop_tri_v4:2:8409885:8413229:-1 gene:Potri.002G111600.v4.1 transcript:Potri.002G111600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111600.v4.1 MIGLICIYMLWGGGSLSSMHCLFGYCVAGFVLDPSKCSQLSLGERRELVREIAQWSKDAPEVLSSFTRRELLEIICAEMGKERKYSGYTKFQMIKHLLKLVSKTSKRSSIGNIMAVSPANPQSGFKRPRKKESQAHLSIDLNFVSAKNNSEEYIKMQICENAACGATLSPGDAFCKRCSCCICHYYDDNKDPSLWLTCGSDSLGKRSCGLTCHLICALKDERTGIMKIGCHSKLEGSFYCASCRQVNELMRNWRKQLLVAKEARRVDVLCQRVLLGYRMLTGTEQYKEMQKSMETALQLLKNELGPLDLVCSKMARGIVNRLSCGAEVQKLCASTVEAFDSMCGGSYHGYVEKKEPACAEVPKLCASAVEAFDSMFADNYHGYLEQKELTSCQNQFEESSPRLQAGKDINENKNEGMNLGSFSPPTPCNSNGMQEVSGLDCKKRVDESAYEYSVRVVKWLELRGHIAEDFRVKFLTWFSLKATLQDRRVVNVFVDALIDDPRSLAEQLIDTFMDKICCDKKPVPWHGFCTKLWH >Potri.001G229900.1.v4.1 pep chromosome:Pop_tri_v4:1:24927958:24929329:1 gene:Potri.001G229900.v4.1 transcript:Potri.001G229900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229900.v4.1 MFEPQIAWLEMVADLKGKGKKKTWKLKKGASIKGILNVCLEINKVNREKNNLLTLLQLPYIHRFVNDGSSEGNLQKRGDIMAAKPLIGRKNSLRPCVKHFSHSHPLRPVDVKEEEESICSGCELDLSGSAYKCTKSTCDFFLHKSCFELPRELEHTSHPQHLLVLLSSPPGDDSKFTCNACGDYGTSFAYHCATCQFNLHVGCAFLPKTMKHVDHNHPLTLSYSTNFLDKEGLVFTCDVCRKEVSQTSWVYYCLDCDYGTDLHCTTPSEC >Potri.018G018300.1.v4.1 pep chromosome:Pop_tri_v4:18:1242918:1243244:1 gene:Potri.018G018300.v4.1 transcript:Potri.018G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018300.v4.1 MSWGINFERELKLGEENAVTHKMSPEEVRRTGAEGSKRPPGNNNPGEVLHQRRKLPFSPATMAVTGFGITAALGYMVLYAKKKPEASASDVAKVATGAGDPKDTHPRE >Potri.006G091600.1.v4.1 pep chromosome:Pop_tri_v4:6:6969081:6971887:1 gene:Potri.006G091600.v4.1 transcript:Potri.006G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091600.v4.1 MENVVVTAKTSSPSDDDISPPPPISFLPPPPPKKAPGGWRSVKYILGNESFEKLASMGLIANLTVYLQTRYNMDGIQLVNVYNIWSGSTNVTPLLGAFLSDAYLGRFRTLLFGSMSSFLGMVVLALTAGMPKLRPLDCPGVSNCQKPQDWQLGVLYSGLGLLAVGAGGVRPCNIAFGADQFDTRTEKGRAQLESFFNWWYFSFTVALVIALTAVVYVQTNVSWVIGYAIPAACLFSSIIIFLIGKHTYIITKSQGTVFVDIAKVIVAARKKRAVSLESSSGHPLYDPPLTESDQRVAKLAHTDMFKFFDKAALITDPSELDDKGLPKNSWRLCSVQQVEQLKLIVGLVPVWFTGIGCFITMDQMNTFGLMQAIQSNNEVHNFKIPPGWMGLISMICLSIWIFIYEQIYLPQARKRSKKNIRFTTRHRINTGIVMAILCMVVAAIVEKSRRDAALKQGTLVSPQSILLLLPQFALSGLNEAFAAVAIMEYYTNHLPETMRTLSGAIFFLSFSASSYLNTAIINLVHLVTSNNGKESPWLGGRDLNKVKLDYFYYLIASLAALNLLYFNLFSCRYLEKQVDKRSESRHEEMNEAA >Potri.013G037900.2.v4.1 pep chromosome:Pop_tri_v4:13:2545972:2548804:-1 gene:Potri.013G037900.v4.1 transcript:Potri.013G037900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037900.v4.1 MMNHECCLVMDAWIREAQETTKLVEDIESRIKNKDLAEENRLRDIAQSKLIEAGVKLDRLESLLHNPPSKPALTIEDSEFRWKMISDLQLRTRALALRLYTSTKRAGGFLVSTTTGTSRTTNSLDQDQKRKLHSKFDPELLTLLTAFDTFMLVLLLLAVRGYNPRSSAVQEFWFFYFNKFNQEGVLDLLFDSWSSCTAICLGYNLRSNIIYILCLLVCYYSFLFTTSELQAFSFYQKSPNISFM >Potri.005G150501.17.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12873657:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MGRGKIEIRRIDNSTSRQVTFSKRRGGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.21.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12864619:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MCPFCFVEKLSLRMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.6.v4.1 pep chromosome:Pop_tri_v4:5:12860675:12864511:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGLQLH >Potri.005G150501.10.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12864619:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MCPFCFVEKLSLRMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGLQLH >Potri.005G150501.18.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12873657:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MGRGKIEIRRIDNSTSRQVTFSKRRGGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGLQLH >Potri.005G150501.1.v4.1 pep chromosome:Pop_tri_v4:5:12860618:12873690:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MGRGKIEIRRIDNSTSRQVTFSKRRGGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.5.v4.1 pep chromosome:Pop_tri_v4:5:12860620:12864591:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.8.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12864619:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MCPFCFVEKLSLRMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGLQLH >Potri.005G150501.2.v4.1 pep chromosome:Pop_tri_v4:5:12860618:12873690:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MGRGKIEIRRIDNSTSRQVTFSKRRGGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGLQLH >Potri.005G150501.15.v4.1 pep chromosome:Pop_tri_v4:5:12859565:12873670:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MGRGKIEIRRIDNSTSRQVTFSKRRGGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGKFSDSKMQKPSDVIEDAPPLYAFWSYKIHMAKVKGEEFFHQ >Potri.005G150501.13.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12864631:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGLQLH >Potri.005G150501.7.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12864591:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.19.v4.1 pep chromosome:Pop_tri_v4:5:12860612:12864735:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MCPFCFVEKLSLRMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.20.v4.1 pep chromosome:Pop_tri_v4:5:12860612:12864735:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MCPFCFVEKLSLRMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGLQLH >Potri.005G150501.16.v4.1 pep chromosome:Pop_tri_v4:5:12860775:12873645:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MGRGKIEIRRIDNSTSRQVTFSKRRGGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGYNFVHTTST >Potri.005G150501.3.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12873657:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MGRGKIEIRRIDNSTSRQVTFSKRRGGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.9.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12864619:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.4.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12873657:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MGRGKIEIRRIDNSTSRQVTFSKRRGGLLKKAKELAILCDAEVGVMIFSSTGKLYDFSSTSMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGLQLH >Potri.005G150501.11.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12864631:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MMGEELSGLSVKDLQNLENQLEMSLRGVRMKKDQNLMDEILELNRKGNLIHQENMELYKKANLICHENQELYKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G150501.22.v4.1 pep chromosome:Pop_tri_v4:5:12860655:12864619:-1 gene:Potri.005G150501.v4.1 transcript:Potri.005G150501.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150501.v4.1 MCPFCFVEKLSLRMKSVIERYNKSKEVHHLMGNPTSELKFWQRETAMLRQQLQNLQENHRQMMGEELSGLSVKDLQNLENQLEMSLRGVRMKKVYGTREVNGANRNSLLTNGLGMGEESHVPVHLQLSQPQQQNYDTPASATKLGRLQLH >Potri.005G233101.1.v4.1 pep chromosome:Pop_tri_v4:5:23178294:23179350:1 gene:Potri.005G233101.v4.1 transcript:Potri.005G233101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233101.v4.1 MPNQNNNAGKGKQEKKSRHKSSYKRDLDGSLILPVGGHGGDVVIYADEGKDSLLELHSKSRFNAKRGGNVDAMGVLTYQLHNGFDASTLRIPVPRKRGKLLADLAQPGDEILVARGGQGGFD >Potri.005G035300.1.v4.1 pep chromosome:Pop_tri_v4:5:2273736:2277365:-1 gene:Potri.005G035300.v4.1 transcript:Potri.005G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035300.v4.1 MEVKARAPGKIILSGEHAVVHGSTAVAASIDLYTYVSIQVLPSTENDDRLTLQLKDMALAFSWPIGRIKESLSSLGGPFPSTPTSCSTASMKLILALVEEQNIPEAKISLASGVTAFLWLYTSILGFKPATVVVTSELPLGSGLGSSAALCVAFSAALLACSDSVNVDMKQQGWLIVGESELELLNKWAFEGEKLIHGKPSGIDNTVSTYGNMIKFRSGNLTRIKSSMPLKMLITNTKVGRNTKALVAGVSERTLRHPDAMNSVFNAVDSISKELANVIQTPAPDDLSLTSKEEKLEELMEMNQGLLQCMGVSHASIETVLRTTLKYKLASKLTGAGGGGCVLTLLPTLLSGTIVDKVIAELESCGFQCLIAGIGGNGAEICFGASS >Potri.003G135600.1.v4.1 pep chromosome:Pop_tri_v4:3:15332734:15335103:-1 gene:Potri.003G135600.v4.1 transcript:Potri.003G135600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G135600.v4.1 MDASTLSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETLIRAQSEGPFSLTGHYSPRDFVLSIQRPRSIIILVKAGNPVDQTISALTEFMEPGDTIIDGGNEWYQNTERRIQQVADKGILYLGMGVSGGEEGARHGPSLMPGGSFEAYKNIESVLKSVAAQVDDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNSELAEIFGEWNRGELESFLIEITSDIFKVKDNLVDGDLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERESAAEILKEAGLEEEVGSVKSGVDKKRLIDDVRKALYASKICSYAQGMNLLRAKSVEKGWDLDFGELARIWKGGCIIRAVFLDRIKKAYQRNPNLASLVVDPEFAREMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERTDRPGAFHTEWTKLARKSSAGAFS >Potri.013G033600.1.v4.1 pep chromosome:Pop_tri_v4:13:2167442:2177142:-1 gene:Potri.013G033600.v4.1 transcript:Potri.013G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033600.v4.1 MTSVVSSQAMYCFFSTPEITPRFRVRVQSGSGGGNSKVSPSDSALVIGEKDKKFGGRIDSWNASLKCGIKKKSVKDVISSDLDVLWDDGYGTKTAKDFFEGAKEMIRPDGGPPRWFCPNDCGQPLKDSPILLFCPGIVGVGLALTLHHKALGKVFEVRCLHIPVNDRTPFEGLVKFVEETVRLEHASSPNKPIYLVGDSFGGCLVLAVAARNPEIDLVVILANPATSFDRSQLRPLIPLWEALPDGLYNALPYLLSFVMGNPVEMARVNIDYRLPPRLQIEQLFQNLIALLPHLSDLVDIIPKDTLIWKLKLLKSAASYANSRLHAVKAEVLVLSSGNDHMLPSGDEAQRLKRTLKNCTVRYFKDNGHNILMEGGVNFLAVIKGTGKYRRSRRIDLVLDFIPPSMSEFKQGYDEVFGLLRFATGSAMFSTLNDGKIVKGLHGVPNEGPVLLVGNHMLMGLEIYSLVPEFLREKNIMVRVVVHPVVFRERQGVSSPEFSLADWMKVMGAVPVTASNLFNLLSTKSHVLLYPGGAREALHNRGEEYKLFWPDQQEFVRMAARFGATIVPFGTVGEDDVAELVLDYNDFMKIPVVNDYIRDANRNSIRLRDKSKGEVANQELYLPGILPKVPGRFYFLFGKPIETKDRKEEILEDRENANQLYLHIKSEVERCIAYLLKKREEDPYRSIVDRTVYRALHSPLHEVPAFDP >Potri.013G072700.1.v4.1 pep chromosome:Pop_tri_v4:13:5957318:5959200:-1 gene:Potri.013G072700.v4.1 transcript:Potri.013G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G072700.v4.1 MASSSSATGTNLDLMKKVRSHEVAIAELSNLSSSRTVYQKNGNLFFRTTSQKATASEQKQLDSAKAKLEG >Potri.006G267450.1.v4.1 pep chromosome:Pop_tri_v4:6:26209382:26210506:-1 gene:Potri.006G267450.v4.1 transcript:Potri.006G267450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267450.v4.1 MLEMSVIQKVTHWLGLELCREQKCGQPLPVNPSFGRRELACQPSLETMASCYQEVSHEVNCQSESG >Potri.009G127100.1.v4.1 pep chromosome:Pop_tri_v4:9:10491679:10492262:-1 gene:Potri.009G127100.v4.1 transcript:Potri.009G127100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127100.v4.1 MGFHSSAIIRAKQILQLSPSAASQLASNVPKGCLAVYVGEIQKKRFIIPISYLNQPLFQYLLSQAEEEFGYHHPMGGLTIPCREDIFHLVISSLNQS >Potri.016G052700.1.v4.1 pep chromosome:Pop_tri_v4:16:3430101:3432611:-1 gene:Potri.016G052700.v4.1 transcript:Potri.016G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052700.v4.1 MADPYSNFFTGWVKFNNNPLQHYPSPPQQLPYTHNFYTSTTSPANHNQPYFHYYHNPPRSPPLKEALPLLRLSPTRQGKEQDQQRQDDDEEEEEEIQELSCRAMDVDKIKYRAKDQESFFSSCSANNKEDEESVSVALHIGLPSPSAAEMATVLSSSSEITDKDGDGDDSVYPISRLNKGQYWIPTPSQILIGPTQFSCPVCCKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCKNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGSGHAAYGNDGFEEEDEPSSEVEQDNESMQ >Potri.014G186020.1.v4.1 pep chromosome:Pop_tri_v4:14:15399693:15399941:-1 gene:Potri.014G186020.v4.1 transcript:Potri.014G186020.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186020.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.014G149500.2.v4.1 pep chromosome:Pop_tri_v4:14:10291815:10293817:-1 gene:Potri.014G149500.v4.1 transcript:Potri.014G149500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149500.v4.1 MALKFQNNSIPPWTWKFNNYKTLVTTHSLSLAFRHPTAHATICHGQDHSTKHTTLLVDSFHEHKRLKSLLHNLNSNQNPLQLLQQDGDWSKDDFWSVIKFLKLSARSNQILQVFHMWRDVEKTRINEFNYEKIIGLLGEEGLMEDAVTAFMEMKSFGLCLSLEVYNSIIHGYARNGKFDDALFYLNQMNEMNLSPESDTYDGLIEAYGTYRMYDEMAMCLKKMELDGCSPDRYTYNLLIQKFAQGGLLTRMERVYQSMRTKRMKLQSSTLISMLEAYANFGIVEKMEKILRWAWNSKITVKEDLVRKLAGVYIANYMFSRLHDLAVDLTSITGRTDIVWCLHLLSHACLLSRRGMDAVVREMEDAKACWNITVANIILLAYLKMKDFTRLRILLSKLPEIRVEPDIVTFGILFDAEEIGFDGKECLEMWRKMGLLYRRVEMNTDPLALSAFGKGSFLRSCEEGYSSLEPNAREKKRWTYVDFINLVTSKAQ >Potri.014G149500.3.v4.1 pep chromosome:Pop_tri_v4:14:10291103:10293673:-1 gene:Potri.014G149500.v4.1 transcript:Potri.014G149500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149500.v4.1 MWRDVEKTRINEFNYEKIIGLLGEEGLMEDAVTAFMEMKSFGLCLSLEVYNSIIHGYARNGKFDDALFYLNQMNEMNLSPESDTYDGLIEAYGTYRMYDEMAMCLKKMELDGCSPDRYTYNLLIQKFAQGGLLTRMERVYQSMRTKRMKLQSSTLISMLEAYANFGIVEKMEKILRWAWNSKITVKEDLVRKLAGVYIANYMFSRLHDLAVDLTSITGRTDIVWCLHLLSHACLLSRRGMDAVVREMEDAKACWNITVANIILLAYLKMKDFTRLRILLSKLPEIRVEPDIVTFGILFDAEEIGFDGKECLEMWRKMGLLYRRVEMNTDPLALSAFGKGSFLRSCEEGYSSLEPNAREKKRWTYVDFINLVTSKAQ >Potri.003G072050.1.v4.1 pep chromosome:Pop_tri_v4:3:9978119:9978291:-1 gene:Potri.003G072050.v4.1 transcript:Potri.003G072050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072050.v4.1 MPMMFFHFLKIIFDISTSKRSKKYKPFNRRAKQALSRNMY >Potri.010G079000.1.v4.1 pep chromosome:Pop_tri_v4:10:10545932:10547620:-1 gene:Potri.010G079000.v4.1 transcript:Potri.010G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK10 MEPPPQTLPPQPLQRTSSVPTTLLNKYEVGRLLGRGSFAKVYAARSLSDKTQLVAIKIIDKTKTDAAMEPRIISEISAMHRLQHHPNVLKIHEVMATKTKIYLVMELASGGDLFSKIRKMGKLKEPAARRYFQQLVSAIHFCHQNGVSHRDIKPHNLLLDGKGNLKISDFGLSALKNGCVNGGFMLQTACGTPAFTAPEVMAQRGYDGSKADAWSCGVILFFLLSASLPFDDSNLAVMYRKIRKGEYQLPSCLPKSVKSIINQLLDPNPNKRMSIEALMKHPWFLKKFELPTKSSVFESDYKEYCKFDKSAAGGINAFDLISLSSGLDLSGLFEVKHARDRRFTSSETVERVTERVREVGGRLGYIVEEGMVGGAIGLGKGRVGLVFEVWEIVEKLLVVEVKVVERGGVEFEDLHWGELKEGLGDVVLQWNDDAM >Potri.017G042100.1.v4.1 pep chromosome:Pop_tri_v4:17:2957270:2959973:-1 gene:Potri.017G042100.v4.1 transcript:Potri.017G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042100.v4.1 MSMSKVGESVLFVMIFRQQFSKTLPGIRAKPCIKRSFSTNKTGESSHAHNLLDKTPHRNLSFQIIKEHLLSGFINNIDEFTVANALKACRGYPPLGSQIHGFSIIHEFVNVTIVSNSLMNMYCKSGQFCKALCIFEDLTHPDIVSWNTVLSGCQTSEDAFSFACKMNSSGVVFDAVTYTTVLSFCWRHVEAYFLIGLQLHSCIVKFGFDCEVFVGNALISMYSRWGHLVEARRVFEEMKTRDLVSWNAMISGYSQEGIYGLEAISMFLQMFRGGMELDRISFTSAVSACGYEKNLELARQIHGLSIKTRHEKHVAVSNVLISTYFKCQVIEDARLVFQNMNERNVVSWTTMISIDEAEAVSFFNEMRLDGVYPNDVTFVGLIHAITIGELVVQGNMVHGFCTKTGFSSKSNVCNSIITMYAKFKSMQDSVKVFQELKYQDIIAWNALISGFVHNGLCQEAIRAFFSGLIESKPNQYSFGSILNAIGAAEDVSLKYGQRCHSQIIKLGLNPDPIVSSALLDMYAKRGSICESQKVFVETPQQSQFAWTTIISAYARHGDYESVMNWFEEMRRLEVRPDSITFLSILTACGRRGMVDMGCHLFGSMVKDYQIEPSAEHYSCLVDMLGRAGRLEEAERLMSHIPGGPGLSVLQSLLGACRVHGNVDMGERVADALMEMEPTESGSYVLMSNLYAEIGKWEMVAKVRKRMRVKGVKKEVGFSWVDVGGIDSSLSLHGFSSGDTSHPQSEAICRMAECLGFEMKFLREEEIECQKHSLMCDGDLVTPQ >Potri.016G068400.6.v4.1 pep chromosome:Pop_tri_v4:16:4848470:4855473:-1 gene:Potri.016G068400.v4.1 transcript:Potri.016G068400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068400.v4.1 MATIRMIDIAVNFTDGMFKGIYNGKQYHVADIANVLSRAWNAGVDRIIVTGGSLEESKEALAISETDGRLFCTVGVHPTRCKEFEESGDPEKHFQALLSLAKEGMQKGKVVAIGECGLDYDRLHFCPPDIQKKYFEKQFELAHATKLPMFLHMRAAAADFCEIVERNKERFSGGVTHSFTGSAEDCGKLLSFNNMYIGVNGCSLKTPENLDVVSGIPVEKMMIETDSPYCEIKNSHAGIKFVKSTWPSKKKEKHEQDCIVKGRNEPCLVRQVLEVVAGCKGITEIEQMSRTIYHNTCRVFFPQDLDSAADALLSGHLDSQ >Potri.008G192800.6.v4.1 pep chromosome:Pop_tri_v4:8:13568677:13571722:1 gene:Potri.008G192800.v4.1 transcript:Potri.008G192800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192800.v4.1 MENNHNIGDLTGKNGQTDSSFDVSNGQTSAEGIWHESKLDNERDGGHVDEPYEDAAIDVHGQASLSGLYEDAANDVQSQASSNGRVKEELENKLDSPESTKLEELALIKEEEKGYQDTRELPKNSEKEKESILMIKEEKVKFDHQRGSSKIIPLSKVRDIARAKKKPEPLVTKQPQISTPKVSKRVPTSSSLSASQSSTKKMNGSLLPRSKNPPAGENKKVTSKSLHLSLTMDPSNSEPDPLITTRKSFIREKMGDKDIVKRAFKTFQNNFSQLKSSAEERAIREKQVPAKGTDVKVSTSMTPRTENIVSLKSSRVDRKTAKLAPSSFVLKSDERAKRRKELSMKMEEKSNAKPAESTHLRTKSKEEKEEEIIKQRHSSNFKPTPMPGFYRAQKASKSPLDKVCPVPESCHTLMK >Potri.008G192800.2.v4.1 pep chromosome:Pop_tri_v4:8:13567715:13573543:1 gene:Potri.008G192800.v4.1 transcript:Potri.008G192800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192800.v4.1 MGESIVAASSYEDKIGGTAASDPALQVSVSFGRFENDSLSWEKWSSFSQNKYLEEVEKCASPGSVAEKKAYFEAHYKKIAARKAELFDQEKQMEHESSMENNHNIGDLTGKNGQTDSSFDVSNGQTSAEGIWHESKLDNERDGGHVDEPYEDAAIDVHGQASLSGLYEDAANDVQSQASSNGRVKEELENKLDSPESTKLEELALIKEEEKGYQDTRELPKNSEKEKESILMIKEEKVKFDHQRGSSKIIPLSKVRDIARAKKKPEPLVTKQPQISTPKVSKRVPTSSSLSASQSSTKKMNGSLLPRSKNPPAGENKKVTSKSLHLSLTMDPSNSEPDPLITTRKSFIREKMGDKDIVKRAFKTFQNNFSQLKSSAEERAIREKQVPAKGTDVKVSTSMTPRTENIVSLKSSRVDRKTAKLAPSSFVLKSDERAKRRKELSMKMEEKSNAKPAESTHLRTKSKEEKEEEIIKQRHSSNFKPTPMPGFYRAQKASKSPLDKEGSKTLRI >Potri.008G192800.3.v4.1 pep chromosome:Pop_tri_v4:8:13567810:13573752:1 gene:Potri.008G192800.v4.1 transcript:Potri.008G192800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192800.v4.1 MGESIVAASSYEDKIGGTAASDPALQVSVSFGRFENDSLSWEKWSSFSQNKYLEEVEKCASPGSVAEKKAYFEAHYKKIAARKAELFDQEKQMEHESSMENNHNIGDLTGKNGQTDSSFDVSNGQTSAEGIWHESKLDNERDGGHVDEPYEDAAIDVHGQASLSGLYEDAANDVQSQASSNGRVKEELENKLDSPESTKLEELALIKEEEKGYQDTRELPKNSEKEKESILMIKEEKVKFDHQRGSSKIIPLSKVRDIARAKKKPEPLVTKQPQISTPKVSKRVPTSSSLSASQSSTKKMNGSLLPRSKNPPAGENKKVTSKSLHLSLTMDPSNSEPDPLITTRKSFIREKMGDKDIVKRAFKTFQNNFSQLKSSAEERAIREKQVPAKGTDVKVSTSMTPRTENIVSLKSSRVDRKTAKLAPSSFVLKSDERAKRRKELSMKMEEKSNAKPAESTHLRTKSKEEKEEEIIKQRHSSNFKPTPMPGFYRAQKASKSPLDKAACSII >Potri.008G192800.5.v4.1 pep chromosome:Pop_tri_v4:8:13567675:13573072:1 gene:Potri.008G192800.v4.1 transcript:Potri.008G192800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192800.v4.1 MGESIVAASSYEDKIGGTAASDPALQVSVSFGRFENDSLSWEKWSSFSQNKYLEEVEKCASPGSVAEKKAYFEAHYKKIAARKAELFDQEKQMEHESSMENNHNIGDLTGKNGQTDSSFDVSNGQTSAEGIWHESKLDNERDGGHVDEPYEDAAIDVHGQASLSGLYEDAANDVQSQASSNGRVKEELENKLDSPESTKLEELALIKEEEKGYQDTRELPKNSEKEKESILMIKEEKVKFDHQRGSSKIIPLSKVRDIARAKKKPEPLVTKQPQISTPKVSKRVPTSSSLSASQSSTKKMNGSLLPRSKNPPAGENKKVTSKSLHLSLTMDPSNSEPDPLITTRKSFIREKMGDKDIVKRAFKTFQNNFSQLKSSAEERAIREKQVPAKGTDVKVSTSMTPRTENIVSLKSSRVDRKTAKLAPSSFVLKSDERAKRRKELSMKMEEKSNAKPAESTHLRTKSKEEKEEEIIKQRHSSNFKPTPMPGFYRAQKASKSPLDKEGSKTLRI >Potri.005G091901.1.v4.1 pep chromosome:Pop_tri_v4:5:6400006:6405181:1 gene:Potri.005G091901.v4.1 transcript:Potri.005G091901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G091901.v4.1 MHGVVFTDKNFQPHTGGQIKRHMPLQQPTSPIKHGDVPGGRHLSADLGIITFERGMFLVLLVVISSTPSEVSGISLIEKAQGEIVAMKSKDTIRNKLTFLLSISLTLADKHQPFNSLVK >Potri.001G119700.1.v4.1 pep chromosome:Pop_tri_v4:1:9720817:9723587:1 gene:Potri.001G119700.v4.1 transcript:Potri.001G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119700.v4.1 MNGASSSLRTAFSYCVQQVRNYDYHHYLCLLELPPNIRKAAFALRAFNVETARAMDVASDPRIGLMRLLWWQEAIDKIYANKVIEHPAAQALSSVISENRISKGWLKRPVEARINDARREVTDIPETIEELEKYAEDTISTLLYMTLQAGGIRSTAADHAASHAGKASGLLLLLRSLPYHASRNRHFCYIPTEVAAKHGLLVKEGGRFEIRLDSRESLCNAVFDMASVANVHLQKARSLAGTVPTEARSVLLPAVPAQVFLDTLSRVHFDVFDPRLARGVLGISPVSYQLKLKWSSWRGKY >Potri.001G119700.2.v4.1 pep chromosome:Pop_tri_v4:1:9720908:9723544:1 gene:Potri.001G119700.v4.1 transcript:Potri.001G119700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119700.v4.1 MNGASSSLRTAFSYCVQQVRNYDYHHYLCLLELPPNIRKAAFALRAFNVETARAMDVASDPRIGLMRLLWWQEAIDKIYANKVIEHPAAQALSSVISENRISKGWLKRPVEARINDARREVTDIPETIEELEKYAEDTISTLLYMTLQAGGIRSTAADHAASHAGKASGLLLLLRSLPYHASRNRHFCYIPTEVAAKHGLLVKEGGRFEIRLDSRESLCNAVFDMASVANVHLQKARSLAGTVPTEARSVLLPAVPAQVFLDTLSRVHFDVFDPRLARGVLGISPVSYQLKLKWSSWRGKY >Potri.006G237100.1.v4.1 pep chromosome:Pop_tri_v4:6:23906290:23907115:1 gene:Potri.006G237100.v4.1 transcript:Potri.006G237100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237100.v4.1 MAEETPQIKWEGKSIVELKGPTADQIWPLLEDFCNINKWFPSIDVCNHVDGELGKPGLTRYCASKTLSTYGSYDEAVVRWVKERLLMINPAEKCLSYEVLENNSGFKSYVATMKVLEINGSDAGENGCKIEWSFIADPVEGWTLEDFSSFINFCLQSMGKNMEQDVLSG >Potri.011G093200.1.v4.1 pep chromosome:Pop_tri_v4:11:12020373:12028493:-1 gene:Potri.011G093200.v4.1 transcript:Potri.011G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G093200.v4.1 MGEEENRTEVPEVTANGTSAPAKENSNDVMTEKTEENNGIKEMEEDKNDSEKVEIARMDEDPKVTEERESKDKEDGLEEGRTEAMEEEIKPKVDEEMEGKEKEAKEEVEEKVDVSKEKEEVEQKVDVSKEKEEVEEKDGGSKEKQEKLEEEDGERSKQRGKRKSDGRKAEMKKVMEEKKEPEPRTPTFDRPQRERKSVERLVATVDKDAVKEFQIEKGRGIPLKDIPNVAFKLSRRKADDTFKLLHTILFGRRGKALQIKSNISRFSGFVWHQNEGKEKSKVKEKFDKCNKEKLLEFCDVLDIPITKVTAKKEDVVTKLLDFLVVPHATTSDLLAEKEKEKVSKKRKRVAKSSTSGSTPSKRSAKSRRKAADNSKRIDKKSTSDTEDESEEEKAEEDEEEEEEEEQENVEEQNENGALEKSDAEISEHSESEEKNESVEESEENSRKRKKRSATSLRKKAPCGKAKTRISVSHKSSPSVKRTPKKSSSKHILSDEDSDASPKVSPMKKKTEKVSKEKHLPSKKSTSKENTGKKVGKGKEKAKVKENKLMPSDDELRDAICEILKKVDFDTATFTDILKLLARQFDTDLTTRKSSIKLVIQAELTKIADEGDDEDGEGEA >Potri.016G036100.1.v4.1 pep chromosome:Pop_tri_v4:16:2132017:2135752:1 gene:Potri.016G036100.v4.1 transcript:Potri.016G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036100.v4.1 MLALRRACNPLKFRGFSVGTSRACCAKSEIVFSYIEGKATVVEPPQPVSERPRFYHSTNIGSKFYLEKRGFASQAGAESSESDEDLEDEFSELETPISANESVVNVVQLISEPELSDDDTNDIGEPSQNALELSDNETDPAEKRLPRKKAPSELFKAIISAPGVSVHSVLDKWVAEGRDLDQLEISNAMFNLRKRRLFGRALQLSEWVEANKRKDFDERDYASRLDLIAKVRGLQKAEVYIEKIPKSLKGEVIYRTLLANCVSANNAKKAVEVFNKMKDLELPITLFSYNQLLLLYKRHDKKKIADVLLLMEKENVKPSLFTYILLIDTKGQSNDIAGMEQIAETMKAEGIEPDIKTQAIMARHYVSGGLKEKAEIVLKEMEGGNLEEHRWACQFMLPLYGTLGKADEVSRLWKFCKKSPRLDECMAAIEAWGQLKKIPEAEAVFELMSKTWKKLSSKHYSALLKVYANNKMLSKGKDLIKQMGDSGCRIGPLTWDALIKLYVEAGEVEKADSILNKAVQQNQMKPMFSSYMIIMEKYAKKGDIHNAEKMFHRMRQAGYQARSKQFQTLIQAYINAKAPCYGMRERLKADGLFANKAMAAQLSQVDAFKRTVVSDLLD >Potri.006G213700.1.v4.1 pep chromosome:Pop_tri_v4:6:22000398:22006507:1 gene:Potri.006G213700.v4.1 transcript:Potri.006G213700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213700.v4.1 MSRKSDSKRRSPSVIARLMGLDGLPPQQSSHKQQKKSLENYTQRMVLTEKAQRNNASYGRRSSRKSSKDEQEFKDVFEVLDPSKMDSSSYSSRGTAHSKLTAAEMAFIQQKFMDAKRLSTDEKLQNSREFHDAIEDLDSNKDLLLKYLQQPDSLFTKHLHDLQGVPSQSHCGQTRISDMKPSHPPHCGSSGLGSNIERQTALKNRRKNHVDPASHSHGKHGAQNPVELSKIQLDQKDESAILPTRIVVLKPNLGRTQNSTKNTSSPQYSRASPLDCRQHTEPPGIKNREVVSYGKKKFPDDAGPSRYKSRESREIAKEITRQMRESFGNGSMSFSTPAFIGYARDESSPDMSENESANESEETTVTSRNSVDWSNRYRPSSSCSTESSVSREARKRLSERWKMTHKSVDMGIVSRSNTLGEMLAIPDLETRSGNSDAMICKKVFSDKGDRKHGAVRRDEPLGISSREGWKDVGTGNLSRSRSVPATSTVISSPRLGMRHENVCHDRYIIPKQLIQQERNRTIKGNFSKRECSPSRNSRSPTKNSHVSSCSYRDHSDTFREVNFGLDQVQSEIAEDDSLEQICTVSETPDSIVTDTSLVVENVVDVAIENKAMPSMPIKQESSTYMLVKGDSSTSDLEVLSSQKPSNGPSDKGSVSMQHPVTKVESPACSKETDQPSPVSVLETPFPDDLSSGSECFESLSADLSGLRMQIQLLRLESEAYEEGPMLISSDEDTEEGPVGFTEERQIAAESKEFSYIVDVCLDSGINDADPDTFLRTLHSPECPVNPLIFEELEKKYCNHASWPRSERRLLFDRLNIALLMIYQQYANSHPWVRSATMISPKWIKNGLKDCLCKLIGSQVTTANEDVAADKILEGESPWLDLREDVDVIGREIERLLTEELVRELVAV >Potri.006G213700.3.v4.1 pep chromosome:Pop_tri_v4:6:22002000:22006528:1 gene:Potri.006G213700.v4.1 transcript:Potri.006G213700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213700.v4.1 MSRKSDSKRRSPSVIARLMGLDGLPPQQSSHKQQKKSLENYTQRMVLTEKAQRNNASYGRRSSRKSSKDEQEFKDVFEVLDPSKMDSSSYSSRGTAHSKLTAAEMAFIQQKFMDAKRLSTDEKLQNSREFHDAIEDLDSNKDLLLKYLQQPDSLFTKHLHDLQGVPSQSHCGQTRISDMKPSHPPHCGSSGLGSNIERQTALKNRRKNHVDPASHSHGKHGAQNPVELSKIQLDQKDESAILPTRIVVLKPNLGRTQNSTKNTSSPQYSRASPLDCRQHTEPPGIKNREVVSYGKKKFPDDAGPSRYKSRESREIAKEITRQMRESFGNGSMSFSTPAFIGYARDESSPDMSENESANESEETTVTSRNSVDWSNRYRPSSSCSTESSVSREARKRLSERWKMTHKSVDMGIVSRSNTLGEMLAIPDLETRSGNSDAMICKKVFSDKGDRKHGAVRRDEPLGISSREGWKDVGTGNLSRSRSVPATSTVISSPRLGMRHENVCHDRYIIPKQLIQQERNRTIKGNFSKRECSPSRNSRSPTKNSHVSSCSYRDHSDTFREVNFGLDQVQSEIAEDDSLEQICTVSETPDSIVTDTSLVVENVVDVAIENKAMPSMPIKQESSTYMLVKGDSSTSDLEVLSSQKPSNGPSDKGSVSMQHPVTKVESPACSKETDQPSPVSVLETPFPDDLSSGSECFESLSADLSGLRMQIQLLRLESEAYEEGPMLISSDEDTEEGPVGFTEERQIAAESKEFSYIVDVCLDSGINDADPDTFLRTLHSPECPVNPLIFEELEKKYCNHASWPRSERRLLFDRLNIALLMIYQQYANSHPWVRSATMISPKWIKNGLKDCLCKLIGSQVTTANEDVAADKILEGESPWLDLREDVDVIGREIERLLTEELVRELVAV >Potri.006G213700.2.v4.1 pep chromosome:Pop_tri_v4:6:22000419:22006507:1 gene:Potri.006G213700.v4.1 transcript:Potri.006G213700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213700.v4.1 MERFQLRRSNSSPFSPLHSHNLSREGNGLVGKPRNFPNLVSDSSSQSDDDLFTRELGWRSPKQAAGTPIKKLLEEEMSRKSDSKRRSPSVIARLMGLDGLPPQQSSHKQQKKSLENYTQRMVLTEKAQRNNASYGRRSSRKSSKDEQEFKDVFEVLDPSKMDSSSYSSRGTAHSKLTAAEMAFIQQKFMDAKRLSTDEKLQNSREFHDAIEDLDSNKDLLLKYLQQPDSLFTKHLHDLQGVPSQSHCGQTRISDMKPSHPPHCGSSGLGSNIERQTALKNRRKNHVDPASHSHGKHGAQNPVELSKIQLDQKDESAILPTRIVVLKPNLGRTQNSTKNTSSPQYSRASPLDCRQHTEPPGIKNREVVSYGKKKFPDDAGPSRYKSRESREIAKEITRQMRESFGNGSMSFSTPAFIGYARDESSPDMSENESANESEETTVTSRNSVDWSNRYRPSSSCSTESSVSREARKRLSERWKMTHKSVDMGIVSRSNTLGEMLAIPDLETRSGNSDAMICKKVFSDKGDRKHGAVRRDEPLGISSREGWKDVGTGNLSRSRSVPATSTVISSPRLGMRHENVCHDRYIIPKQLIQQERNRTIKGNFSKRECSPSRNSRSPTKNSHVSSCSYRDHSDTFREVNFGLDQVQSEIAEDDSLEQICTVSETPDSIVTDTSLVVENVVDVAIENKAMPSMPIKQESSTYMLVKGDSSTSDLEVLSSQKPSNGPSDKGSVSMQHPVTKVESPACSKETDQPSPVSVLETPFPDDLSSGSECFESLSADLSGLRMQIQLLRLESEAYEEGPMLISSDEDTEEGPVGFTEERQIAAESKEFSYIVDVCLDSGINDADPDTFLRTLHSPECPVNPLIFEELEKKYCNHASWPRSERRLLFDRLNIALLMIYQQYANSHPWVRSATMISPKWIKNGLKDCLCKLIGSQVTTANEDVAADKILEGESPWLDLREDVDVIGREIERLLTEELVRELVAV >Potri.004G020200.1.v4.1 pep chromosome:Pop_tri_v4:4:1455145:1456039:-1 gene:Potri.004G020200.v4.1 transcript:Potri.004G020200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020200.v4.1 MDASAQVICGKSQKLETEEGRNLIRNIPDVILFPPNERCCHSILSKRWKNLWMSVPNLDFEEEGIFGDRIQELLPSCDVHSDASHINTWIFAALCCKFQELKLDLYQYNANESLLPHCLFTFQSLTVLELYLFHDLKLLSTICLPNLKELKLPFITFVDDHSTQLFDGCLNLRKVTLDECTWKDEKFVFISSPMLKFLSICDLTWDDGSPNDCQVVTCGTNLKFFSYSGELKTDFCLYSSSLVNGCMDLRSVTVSEWMENKGKLLITCVSFLRGSLVLKA >Potri.013G058900.3.v4.1 pep chromosome:Pop_tri_v4:13:4294342:4299944:1 gene:Potri.013G058900.v4.1 transcript:Potri.013G058900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058900.v4.1 MLTQIQREPMILNQISLRGSLSKSFLSHFPRKFNPTPSLKPSQNPRKCFSWDLSRPTLACYAFDEFPNQVNEENLKGIAFLQLMEHRGICANCQTYIWLLDLCLNSGSLVECKKLHGKILKLGFGNESVLCNKLVDVYFALGDLDGVVKVFEDMPNRSVRSWDKIISGFMEKKMSNRVLDLFSCMIEENVSPTEISFASVLRACSGHRIGIRYAEQIHARIICHGLLCSPIISNPLIGLYAKNGLIISARKVFDNLCTKDSVSWVAMISGFSQNGYEEEAIHLFCEMHTAGIFPTPYVFSSVLSGCTKIKLFDVGEQLHALVFKYGSSLETYVCNALVTLYSRMPNFVSAEKVFSKMQSKDEVSFNSLISGLAQQGFSDAALELFTKMKRDYLKPDCVTVASLLSACASNGALCKGEQLHSYVIKAGISSDMIVEGALLDLYVNCSDIKTAHEMFLTAQTENVVLWNVMLVAFGKLDNLSESFRIFRQMQIKGLIPNQFTYPSILRTCTSVGALDLGEQIHTQVIKTGFQFNVYVCSVLIDMYAKHGKLDTAHVILRTLTEDDVVSWTALISGYAQHNLFAEALKHFKEMLKRGIQSDNIGFSSAISACAGIQALNQGRQIHAQSYVSGYSEDLSIGNALVSLYARCGRIKEAYLEFEKIDAKDSISWNGLISGFAQSGYCEDALKVFAQMNRAKLEASFFTFGSAVSAAANIANIKQGKQIHAMIIKRGFDSDIEVSNALITFYAKCGSIEDARREFCEMPEKNDVSWNAMITGYSQHGYGNEAVNLFEKMKQVGEMPNHVTFVGVLSACSHVGLVTKGLGYFESMSKEHGLVPKPAHYACVVDLISRAGFLSRARKFIEEMPIEPDATIWRTLLSACTVHKNVEVGEFAAQHLLELEPEDSATYVLLSNMYAVSGKWDCRDQTRQMMRNRGVKKEPGRSWIEVKNSVHAFYVGDRLHPLADKIYEFLAELNKKAAEIGYFQDRYSLLNDVEQEQKDPTVYIHSEKLAITFGLLSLSDTVPIHVMKNLRVCKDCHSWIKFVSKISNRAIIVRDAYRFHHFEGGICSCKDYW >Potri.004G004900.1.v4.1 pep chromosome:Pop_tri_v4:4:302948:307164:1 gene:Potri.004G004900.v4.1 transcript:Potri.004G004900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G004900.v4.1 MAKTRPGGLISKPKTGKRDLDSYTIRGTTKVVRVGDCVMMRPSDTGRPSYVARIEGMEADSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVDMTIEEAKKLDHFVCSECASDDDVKRSQNGFSVSSVTDVKVENKRRKR >Potri.016G078900.1.v4.1 pep chromosome:Pop_tri_v4:16:5982077:5982717:-1 gene:Potri.016G078900.v4.1 transcript:Potri.016G078900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078900.v4.1 MASDCQGKSSWPELLGAQARVAVVTIETQNPYVDTQVVLEGTPVTKDFSCSRVRVWIDQNRIVTRVPVSG >Potri.002G152801.1.v4.1 pep chromosome:Pop_tri_v4:2:11699932:11703719:1 gene:Potri.002G152801.v4.1 transcript:Potri.002G152801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152801.v4.1 MVVGGKLWDLLEHYARHDGPDFLREKGSQLSPVFVVDETPLPMKSHARIARFFLFSGVDIPGLLVAEKANGEAEALCAQLNAKGHVDACITADSDAFLFGAKFRKHLIAISLLVGNDHDLNGVQGVGLEKACCFRDPTCSLCRLREIGNGNTLFQIGSKVVDDLMLDLDESLVKSKTSHCSFCGHPNSKRAHFKVCLRKPEGSKCNCSSCNEDRKEKERQNHENWRIKNISESPFFFPWDQGLCEWSDDGSCLLLVRYPGIEMLIDFLDFHQHWEPSYIHIDDGYCFLLTDENMELACAFSEEVERTESFIKKKGFSLRAEGSDEKSESTKSKHVQLSTTEFYHSAKDGTSKQKRKVSSSNLPKSMRRSLLFK >Potri.014G159600.1.v4.1 pep chromosome:Pop_tri_v4:14:11373285:11377147:1 gene:Potri.014G159600.v4.1 transcript:Potri.014G159600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159600.v4.1 MQQLRSRGSSLFGSQLKRKTLNSWTAIQDTYFSTKDIFERHKVVFTIGTSVASVATAWAGYSLHHLRDSKVDQRLEGIENAMKKNYHIEHSEFKKLVDPGHSSVAACIATAGTAFVIGYGFGWRGGRWYANKKFRKEQMKLSGQIKPRRWQLLGRIKPRGWQFQFLKKRLPRSIAPENAVKTSEKMAL >Potri.006G140900.1.v4.1 pep chromosome:Pop_tri_v4:6:11818797:11820685:-1 gene:Potri.006G140900.v4.1 transcript:Potri.006G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140900.v4.1 MCMAAAKADAMPIISKLYCSSSQAVLVVRKRPHVVSGGGFVVTDCSQKVVFRVDGCGVSGSEGELILRDSSGEALLLIRRKGGMVQALSIHRKWKGYTFDYEGSQKLVFSLKEPNFSCLVRKNAIRVSTEPRRSNKDWDFEIKGYFPDRSCSIVDSLGNIVAQIGINKEEDQLMANKDLYNVVVRPGIDQVFTFGVIAVLDYIYGESTRC >Potri.006G140900.2.v4.1 pep chromosome:Pop_tri_v4:6:11818219:11820685:-1 gene:Potri.006G140900.v4.1 transcript:Potri.006G140900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140900.v4.1 MCMAAAKADAMPIISKLYCSSSQAVLVVRKRPHVVSGGGFVVTDCSQKVVFRVDGCGVSGSEGELILRDSSGEALLLIRRKGGMVQALSIHRKWKGYTFDYEGSQKLVFSLKEPNFSCLVRKNAIRVSTEPRRSNKDWDFEIKGYFPDRSCSIVDSLGNIVAQIGINKEEDQLMANKDLYNVVVRPGIDQVFTFGVIAVLDYIYGESTSGGRRRSSGDVQLWLLELSRPQEILPPQGQE >Potri.003G022400.2.v4.1 pep chromosome:Pop_tri_v4:3:2354805:2355527:-1 gene:Potri.003G022400.v4.1 transcript:Potri.003G022400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022400.v4.1 MGGCASAPKDLKGDAGSAPVPEPSKEETVEVELEGVEKVAEENVEKNEEDKKSLGSLLDEDEIKKGSAKEGEAGEVSSEKKQEQ >Potri.012G063500.5.v4.1 pep chromosome:Pop_tri_v4:12:7253937:7272636:-1 gene:Potri.012G063500.v4.1 transcript:Potri.012G063500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063500.v4.1 MEREVEIEIRTLTGESTKVRISSKKIVNDLKLLLIHASSSPNFHLFFKGVKLSLKTPIDTLSIENGEFLVLVPFNKKKDPPKTPTPDFYKNAPTKPSISTLADSTFSEMMQDLSFLSEKPTTSTSTSTSTNSTAATQPDYMFSGYKRKRGFKDTPTSSSSDKELFCFLWSVLRSPNKNNYIVDDKTKCEKFVEVLDSFSCLTNPHSRTCVFLDLGLCGDKRPSCFCPAWLKRIMQAFAFLNIFTAFLQMQRREITSDSLKDALKNLAKSGLKAGFEDIELLSVLYPKVVIFATNDSKFANAADVFVIINSETKDKDEIGEPSRKGKKSLSLSTIFNTMKKQESAFKTNLWEAAKFLLCKIGNRVAMPFSLEDLLKFVRKDGISVSESEAKQAKGCHSLASSSYSFQTRCHETNQLLPVEMVEHLREGIGSKGQIVHVEDIDSRKPIYVQVPNVLSDNMKSALKCMGITKLYSHQAESILASLSGKNVVVATMTSSGKSLCYNVPVLEALSQDLSSCALYLFPTKALAQDQLRALAKMIKGFDTSINIGIYDGDTALKDRLLLRANARLLITNPDMLHLSILPYHRQFDRILSNLRFVVIDEAHYYKGAFGCHTALILRRLRRLCSHVYGSDPSFVFSTATSANPCEHCMELANLSTLELIKNDGSPSSRKLFVLWNPTSCPRIVPNKSEGHMDVSESTEKSMSPISEVSYLFAEMVQHGLRCIAFCRSRKLTEIVLSYTREILQKTAPHLVGSICAYRAGYVVEDRRQIERDFFSGKLSGIAATNALELGIDVGHIDATLHLGFPGSFASLWQQAGRSGRRERPSLAVYVAFQGPLDQYFMKFPKKLFHGPIECCHIDAQNQQVLKQHLVCAAREHPLSLLHDEKYFGSSLSNALMSLKNKGDLSFDPSRDSFARIWSYIGHEKMPSRGISIRAIESTRYRVIDMQRNEVLEEIEESKAFFQIYEGAVYMHQGKTYMVKKLDISEKIALCYEANLHYYTKTRDYTDIDVLGGDIAYPPRAFKNQSSRTAAQALSCKVTTTWFGFYCIKRGSNKVLDTFDLSLPKYSYESQAVWIPVPQSIKKLVEEKQFSFRGGLHAASHALLNVVPLYLRCNSSDLAPECPNPHDSRYFPERILVYDQHPGGTGVSMQIQPYFTELLNAALELLTCCHCSGDTGCPNCVQSMVCHEYNEVIHKDAAIMILEGVLDAESFFGEANDSS >Potri.012G063500.4.v4.1 pep chromosome:Pop_tri_v4:12:7253859:7272635:-1 gene:Potri.012G063500.v4.1 transcript:Potri.012G063500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063500.v4.1 MEREVEIEIRTLTGESTKVRISSKKIVNDLKLLLIHASSSPNFHLFFKGVKLSLKTPIDTLSIENGEFLVLVPFNKKKDPPKTPTPDFYKNAPTKPSISTLADSTFSEMMQDLSFLSEKPTTSTSTSTSTNSTAATQPDYMFSGYKRKRGFKDTPTSSSSDKELFCFLWSVLRSPNKNNYIVDDKTKCEKFVEVLDSFSCLTNPHSRTCVFLDLGLCGDKRPSCFCPAWLKRIMQAFAFLNIFTAFLQMQRREITSDSLKDALKNLAKSGLKAGFEDIELLSVLYPKVVIFATNDSKFANAADVFVIINSETKDKDEIGEPSRKGKKSLSLSTIFNTMKKQESAFKTNLWEAAKFLLCKIGNRVAMPFSLEDLLKFVRKDGISVSESEAKQAKGCHSLASSSYSFQTRCHETNQLLPVEMVEHLREGIGSKGQIVHVEDIDSRKPIYVQVPNVLSDNMKSALKCMGITKLYSHQAESILASLSGKNVVVATMTSSGKSLCYNVPVLEALSQDLSSCALYLFPTKALAQDQLRALAKMIKGFDTSINIGIYDGDTALKDRLLLRANARLLITNPDMLHLSILPYHRQFDRILSNLRFVVIDEAHYYKGAFGCHTALILRRLRRLCSHVYGSDPSFVFSTATSANPCEHCMELANLSTLELIKNDGSPSSRKLFVLWNPTSCPRIVPNKSEGHMDVSESTEKSMSPISEVSYLFAEMVQHGLRCIAFCRSRKLTEIVLSYTREILQKTAPHLVGSICAYRAGYVVEDRRQIERDFFSGKLSGIAATNALELGIDVGHIDATLHLGFPGSFASLWQQAGRSGRRERPSLAVYVAFQGPLDQYFMKFPKKLFHGPIECCHIDAQNQQVLKQHLVCAAREHPLSLLHDEKYFGSSLSNALMSLKNKGDLSFDPSRDSFARIWSYIGHEKMPSRGISIRAIESTRYRVIDMQRNEVLEEIEESKAFFQAYPPRAFKNQSSRTAAQALSCKVTTTWFGFYCIKRGSNKVLDTFDLSLPKYSYESQAVWIPVPQSIKKLVEEKQFSFRGGLHAASHALLNVVPLYLRCNSSDLAPECPNPHDSRYFPERILVYDQHPGGTGVSMQIQPYFTELLNAALELLTCCHCSGDTGCPNCVQSMVCHEYNEVIHKDAAIMILEGVLDAESFFGEANDSS >Potri.012G063500.6.v4.1 pep chromosome:Pop_tri_v4:12:7253953:7272597:-1 gene:Potri.012G063500.v4.1 transcript:Potri.012G063500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063500.v4.1 MEREVEIEIRTLTGESTKVRISSKKIVNDLKLLLIHASSSPNFHLFFKGVKLSLKTPIDTLSIENGEFLVLVPFNKKKDPPKTPTPDFYKNAPTKPSISTLADSTFSEMMQDLSFLSEKPTTSTSTSTSTNSTAATQPDYMFSGYKRKRGFKDTPTSSSSDKELFCFLWSVLRSPNKNNYIVDDKTKCEKFVEVLDSFSCLTNPHSRTCVFLDLGLCGDKRPSCFCPAWLKRIMQAFAFLNIFTAFLQMQRREITSDSLKDALKNLAKSGLKAGFEDIELLSVLYPKVVIFATNDSKFANAADVFVIINSETKDKDEIGEPSRKGKKSLSLSTIFNTMKKQESAFKTNLWEAAKFLLCKIGNRVAMPFSLEDLLKFVRKDGISVSESEAKQAKGCHSLASSSYSFQTRCHETNQLLPVEMVEHLREGIGSKGQIVHVEDIDSRKPIYVQVPNVLSDNMKSALKCMGITKLYSHQAESILASLSGKNVVVATMTSSGKSLCYNVPVLEALSQDLSSCALYLFPTKALAQDQLRALAKMIKGFDTSINIGIYDGDTALKDRLLLRANARLLITNPDMLHLSILPYHRQFDRILSNLSDPSFVFSTATSANPCEHCMELANLSTLELIKNDGSPSSRKLFVLWNPTSCPRIVPNKSEGHMDVSESTEKSMSPISEVSYLFAEMVQHGLRCIAFCRSRKLTEIVLSYTREILQKTAPHLVGSICAYRAGYVVEDRRQIERDFFSGKLSGIAATNALELGIDVGHIDATLHLGFPGSFASLWQQAGRSGRRERPSLAVYVAFQGPLDQYFMKFPKKLFHGPIECCHIDAQNQQVLKQHLVCAAREHPLSLLHDEKYFGSSLSNALMSLKNKGDLSFDPSRDSFARIWSYIGHEKMPSRGISIRAIESTRYRVIDMQRNEVLEEIEESKAFFQIYEGAVYMHQGKTYMVKKLDISEKIALCYEANLHYYTKTRDYTDIDVLGGDIAYPPRAFKNQSSRTAAQALSCKVTTTWFGFYCIKRGSNKVLDTFDLSLPKYSYESQAVWIPVPQSIKKLVEEKQFSFRGGLHAASHALLNVVPLYLRCNSSDLAPECPNPHDSRYFPERILVYDQHPGGTGVSMQIQPYFTELLNAALELLTCCHCSGDTGCPNCVQSMVCHEYNEVIHKDAAIMILEGVLDAESFFGEANDSS >Potri.002G165500.1.v4.1 pep chromosome:Pop_tri_v4:2:12646051:12655757:-1 gene:Potri.002G165500.v4.1 transcript:Potri.002G165500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165500.v4.1 MMWSSIENLKLNLNKIALDVHDDDEEELEIHASSNGYDSPVSDRRNSHRFAHSKSVSRSLGANGNGSPYNFEIEQYKAQIKRLQESEGEIKALSINYAAILKEKEDQISRLNQENGSLKQNLDATKEALNVSRTEHLRISTSSINAIKGSGDQSPKRPHKSANQAKNRGGNQIQNGLFPKYDGTGNGILHDVQPDVIQSKMEDKKDKELVDLLEEKNRSLAAMQATHELQIKELRTELEKEHDKLANIELKLQEEQSLNKSFQEELRVLKMDRHKTSMDVNKIHDELNEKTSEIRRLQMELSRWEDADPNDSVKNLKRVIATLEKENVNLKMAKNELEAALQRSRNSSPDKTSPDEKADSTTTSPRKEEVELLLQKLERDLKETCHEKDKALRELARLKQHLLEKESEESEKMDEDIKIVEELRQSNEYQKAQILHLEKALKQAIAGQEEVRMMSNNEIQKSKEMIEDLNKRLANCMSTIESKNVELLNLQTALGQYFAEVEAKEYLERQLAFTREESAKHFQLLKEAERGTEEAKREKEVVLAKLSDTERKFAEGKSRVNKLEEDNEKLRRAVEQSMTRLNRMSMDSDFLVDRRIVIKLLVTFFQRNHSKEVLDLMVRMLGFSDEDKQRIGAAQQGGKGVVRGVLGLPGRLVGGILGGNAADGQTNLASDNQSFADMWVDFLLKETEEREKRGSGQEDTGKSYEDLQGRSPNAAGVGSPVPDHGTSISGIAGPKFSPAQNYGPIAPRGNLPPFAQSDSEFSTVPLTSFDNSSRISRLFTKH >Potri.004G157300.1.v4.1 pep chromosome:Pop_tri_v4:4:17827669:17828813:1 gene:Potri.004G157300.v4.1 transcript:Potri.004G157300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157300.v4.1 MDSFNITNIKIEKANAIKKHRKIQKIASLFRLIEIGLVLALISRFSIQLPVAVRNSSEYFKDLTVTLVSPRFVFVLGNVIVITLFAKSGQFSCQDSNGKNSSTDLYEEFVEKSEKSQGTHHYEAECREKQVTYAEHKVTEDNSTSLESEKYHRSQSERLKRPNSNKPCRELRRSATEGCRKSIDSDEELEKRPCPEDKMSNEEFRCTIEAFIARQKRFRIDEENSYVLE >Potri.002G181000.2.v4.1 pep chromosome:Pop_tri_v4:2:14328544:14334577:-1 gene:Potri.002G181000.v4.1 transcript:Potri.002G181000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181000.v4.1 MDLNQTRLIGDYILGPIIGRGSFAVVRRAKHRSSCLEVAVKEIDKKLLSPKVSDNLLKEISILSTINHPNIIRLFESFETEDRIFLVLEYCDGGDLAGYIQRHGKVTEAVARHFMRQLAAGLQALQEKHLIHRDLKPQNLLLLSNDLTPQLKIGDFGFARSLTSSDLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAVLFQLVTGKPPFDGNSQYQLFQNILTSTELRFPQGALEELHPDCVDLCRSLLCRNPVERLTFKEFFNHKFFEEPRLLLDAKSPLLPQMKSVVEQFDASASNTRSQMGHCLHSANRNAILTSTSEHDNITMLAKVHDSTSRNDSVHGIVPSIVHDRMGRSAYGSQSSLDQLRVADLMESLEKDYVIVNRHFSSMENFSYYLETSLQDSSTSKSSVQLPQKNNQDMVVAIQTEAFTGSSVSSANDPQVHGSEPLTASCVPNILREVQGLPIPHPSIKLHFLNQYAQAIVELAQEKYDSGLFLESFSVELVVLAIWKKVLEICNHWVASNEGSELPESSSANESTFVHGGIDLIPPASGKMDFIEPSSAYKWAEKSFILAFDRTEKLSHNLRYMDAAAEMPDAMELIFQEALAVGRSGAVDEYMENKGGADVSYSKAMLLLHFIAEEATSLPLKPPFSLTSACRKRIQSYILNLQSHRSHFSMLQPIPEQSPDSPTK >Potri.018G112701.1.v4.1 pep chromosome:Pop_tri_v4:18:12997765:12998433:1 gene:Potri.018G112701.v4.1 transcript:Potri.018G112701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112701.v4.1 MILQQGKLKQLMNTGGCCPRERVIIVSENVSESEPSESSKSGHKKRARRTCSSILEKALVTMGEQSKRNNDLLGHRLATSSSTRVSGVGANSLTNSEVNAMKECLKALNALGITGAPYGKAVKALHDSTLYREIFLDMPDERKKDWISTL >Potri.001G399050.1.v4.1 pep chromosome:Pop_tri_v4:1:42464953:42466026:-1 gene:Potri.001G399050.v4.1 transcript:Potri.001G399050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399050.v4.1 METLTKNISSVIYKIYIYIYISPLLFIFFSSSFKTNYKSPLISQKKSNNHKLHKSNFSSLILFLSSSTPSSVIYSRKKQV >Potri.009G091100.3.v4.1 pep chromosome:Pop_tri_v4:9:8362044:8362914:1 gene:Potri.009G091100.v4.1 transcript:Potri.009G091100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091100.v4.1 MISKTKMLMLRYGSSCRKTRPPLQCHFSSPPSVYQPEKSRNSIKESKMEDWAPHPRTGIYVPKGHEKVMDDVPEKAASLNQTYWLRNVDGVEKPDPDTPHDPCLSTNLS >Potri.009G091100.2.v4.1 pep chromosome:Pop_tri_v4:9:8362127:8362665:1 gene:Potri.009G091100.v4.1 transcript:Potri.009G091100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091100.v4.1 MISKTKMLMLSSCRKTRPPLQCHFSSPPSVYQPEKSRNSIKESKMEDWAPHPRTGIYVPKGHEKVMDDVPEKAASLNQTYWLRNVDGVEKPDPDTPHDPCLSTNLS >Potri.001G466200.1.v4.1 pep chromosome:Pop_tri_v4:1:49127049:49128462:1 gene:Potri.001G466200.v4.1 transcript:Potri.001G466200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466200.v4.1 MLASSVKLTNLGDFVENPDGSLTRNSAFPDVPPTEEITPGSKELSLSKDIPLNPNNKTFLRLFRPLNPPQNAKLPLIIYYHGGGFVLYSAATLVFHQTCSDMASHFPALVLSVDYRLAPEHRLPAAYQDAMESIKWVQKQVLDINGPSCEPWFKEYLDFSRCFLMGMSAGGNIAYHANLLALNIEIKPLKIIGLILNVPYFSAVTKTESEKRLFNDPVFPLAMSDKMWALSLPEDTDRDHEYCNPIVGGSLEKNKIERLPRCFFRGYGGDILVDKQKELVKMLESRGVDVVARFDEDGFHGVEIFDPAKAKALYDYVKEFVCTTV >Potri.007G011100.1.v4.1 pep chromosome:Pop_tri_v4:7:860233:869692:-1 gene:Potri.007G011100.v4.1 transcript:Potri.007G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011100.v4.1 MAISGSLQFSHDLGFCKNQPSNNNHNQFFKSILLGKGKVPLLSNTSLKFRLHDCRQLLQRPIYSVSLNRSNNKKTFRCRSFLVPRQALELPAVKAASVTLTRSFNALQTSPLVFKLAPAVGIIVFAVWGLGPLMRQSRNLLFHKSDNSWKKSGTYYVMASYIQPLMLWTGAILVCRALDPVVLPTEASEVVKQRLLNFVRSLSTVLAFAYCLSSMIQQAQKFFMVSPQPSDARTMGFQFAGRAVYSAVWVAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSAMIHATRPFVVNEWIQTKIEGYEVSGTVEHVGWWSPTIVRGEDREAVHIPNHKFTVNVVRNLSQKTHWRIKTHLAISHLDAHKINNIVADMRKVLAKNPQVEQQRLHRRIFLDNINPENQALLILVSCFVKTSHHEEYLCVKEAILMDLLRVISHHRARLATPIRTIQKIYSDTSDTDIENVPFTDSIYNHGGVASKRPLLLIEPSYRINGEDKAKSQARSGRVTGEKDSKTISRLTSDTKAGTNTKSDSRAKETPKSDSKGDANSGETPNSDAKVHTKSTTVSVSHSRVDDKMTVKSPPTSVLKTNSNATEASGLGSKAAGSVSDNLNKNKTTSDAKSKTTSPANVSQNSKVTAVNSQEASTEKAGGLKESSQSKQEKRSVSQPSSSRSALEENIVLGVALEGSKRTLPIDEDIASHPTPPEEKEMAAASQNGTGSPTTVKDRRDGPPPTPTSGDQ >Potri.003G041650.1.v4.1 pep chromosome:Pop_tri_v4:3:4798779:4799519:-1 gene:Potri.003G041650.v4.1 transcript:Potri.003G041650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041650.v4.1 MLINSIPEPNFLSKIIDLKDLAYASLVFNQITKQFLQCHASWVSYHIATTWKQYDFCAELYYKLKSLGLKANNFTYPFLFIACGNVWGLLHGKIDHRLVFKAGLDGDEYVNRSLITMYKRCGEMGFARMVFDEMDERELVSWNSMISGYSKTSFAKEAFGLFMEMREKGFELDEMTLVSVLGACGDLGLGRWVEGFVLEKKVEVNSYVGSALIDMYGMCRDLIFARRVFDSMPNKDVVTWNAIITG >Potri.004G043200.2.v4.1 pep chromosome:Pop_tri_v4:4:3409444:3419065:-1 gene:Potri.004G043200.v4.1 transcript:Potri.004G043200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043200.v4.1 MEDLDEYDQQPMNEDMEPEEEDADEEITQEDAWAVISAYFEEKGLVRQQLDSFDEFIQNTMQEIVDESADIEIRPESQHNPGHQSDFAETIYKISFGQIYLSKPMMTESDGETATLFPKAARLRNLTYSAPLYVDVTKRVIKKGHDGEEVTETQDFTKVFIGKVPIMLRSSYCTLYQNSEKDLTELGECPYDQGGYFIINGSEKVLIAQEKMSTNHVYVFKKRQPNKYAYVGEVRSMAESQNRPPSTMFVRMLSRTSAKGGSSGQYIRATLPYIRTEIPIIIVFRALGFVADKDILEHICYDFADTQMMELLRPSLEEAFVIQNQQVALDYIGKRGATVGVTREKRIKYAKEILQKEMLPHVGVGEYCETKKAYYFGYIIHRLLLCALGRRAEDDRDHYGNKRLDLAGPLLGGLFRMLFRKLTRDVRSYVQKCVDNGKDVNLQFAIKAKTITSGLKYSLATGNWGQANAAGTRAGVSQVLNRLTYASTLSHLRRLNSPIGREGKLAKPRQLHNSQWGMMCPAETPEGQACGLVKNLALMVYITVGSAAYPILEFLEEWGTENFEEISPAVIPQATKIFVNGGWVGIHRDPDMLVKTLRRLRRRVDVNTEVGVVRDIRLKELRIYTDYGRCSRPLFIVEKQKLLIRKKDIHALQQRETPDEGGWHDLVSKGFIEYIDTEEEETTMISMTIHDLVQARINPEEAYSDTYTHCEIHPSLILGVCASIIPFPDHNQSPRNTYQSAMGKQAMGIYVTNYQFRMDTLAYVLYYPQKPLVTTRAMEHLHFRQLPAGINAIVAIACYSGYNQEDSVIMNQSSIDRGFFRSLFFRSYRDEEKKMGTLVKEDFGRPDRSNTMGMRHGSYDKLDDDGLAPPGTRVSGEDVIIGKTTPIAQEEAQGQSARYTRRDHSISLRHSETGMVDQVLLTTNADGLRFVKVRVRSVRIPQIGDKFSSRHGQKGTVGMTYTQEDMPWTVEGITPDIIVNPHAIPSRMTIGQLIECIMGKVAAHMGKEGDATPFTDVTVDNISKALHKCGYQMRGFETMYNGHTGRRLSAMIFLGPTYYQRLKHMVDDKIHSRGRGPVQILTRQPAEGRSRDGGLRFGEMERDCMIAHGAAHFLKERLFDQSDAYRVHVCERCGLIAIANLKKNSFECRGCKNKTDIVQVHIPYACKLLFQELMAMAIAPRMLTKDIKQAKSQKKKGA >Potri.015G001000.1.v4.1 pep chromosome:Pop_tri_v4:15:36875:38192:1 gene:Potri.015G001000.v4.1 transcript:Potri.015G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001000.v4.1 MVGFKNRYMVMEVFLDPNRDLGVDDPIIITQYNVSKAIKDSILVNFRECGLASSPGSFQVKYVNPITKLYIIRTSREEYQKVWSAITMVRRVGNCPVLFNLLDLSGSIKACKVAALKCDEMKFEHYKLAAGAPLSPDVNQHMQNCLEKINILEH >Potri.014G145200.1.v4.1 pep chromosome:Pop_tri_v4:14:9927050:9930420:-1 gene:Potri.014G145200.v4.1 transcript:Potri.014G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145200.v4.1 MSFQDFQNGKRPSSSSSTSRSPSQAVAAGIFQINTAVAGFRRLVDAIGTDKDTPEHRHKLHNSRQRILQLVKETSAKLKSLSELDHDPDINPSKKIEDAKLARDFQITLQEFQKVQQLASERESTYSPSLPPQSSLPPSSGSGEYVIASMDQDNQPFLREQRRQEVILLDNEVAFNEAIIEEREQGIRDIEEQIGEANEIFKDLAVLVHDQGVVIDDIHSNIDSSATATTQARVQLSKASKTVKSKCSWCWWLLGIAVVVLVVLLLILIL >Potri.017G047750.1.v4.1 pep chromosome:Pop_tri_v4:17:3392900:3393136:-1 gene:Potri.017G047750.v4.1 transcript:Potri.017G047750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047750.v4.1 MEIERIRELLLLWCRIISNRVALVGGNHTASRKKARDFTPLWI >Potri.003G082700.1.v4.1 pep chromosome:Pop_tri_v4:3:10939120:10941274:1 gene:Potri.003G082700.v4.1 transcript:Potri.003G082700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082700.v4.1 MESFASFFDSESSSRNRWSYDSLKNFRQISPVVQTHLKQVYLTLCCALVASAAGAYLHILWNIGGLLTTFACFGCMTWLLSISPYEERKRLALLMAAALFEGASIGPLIDLAIQIDPSVLITAFVGTAVAFGCFSAAAMLARRREYLYLGGLLSSGLSILLWVHFASSIFGGSAALFKFELYFGLLVFVGYVVVDTQDIIEKAHLGDRDYVKHALKLFTDFVAVFVRILIIMLKNSTEKEKKKKRRD >Potri.006G258100.5.v4.1 pep chromosome:Pop_tri_v4:6:25484167:25492200:1 gene:Potri.006G258100.v4.1 transcript:Potri.006G258100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258100.v4.1 MSALSKDLVLLISQFLDEEGFKETARMLERESSYYFNMKFFEDMICSGDWDEAERYFSCFTKLTDNRFSMKVYFEIRKQKFLEALDNKDRAKALDILVKDLKTFVSYNEELFKEMTLLLTLNDIRDHESLSMYSDADSARKVMRVELKKLIEANPLFSDKLEFPNAASHRLRRLINQSLNWQHVLCAYPQPNPDIRTLFVDHVCVPIPSDDHLFSTLSDSNPVPSQTTSMLVSTSSASNSTSSSEAHSSISSEALSLGDPTNIGIATITDGSEDITSVHYSSIPESELAILKRPSDEEISADSHPDQSSIDISDDLPKNVLRILNEGSSPTSMDFHPEHQTVLLVGTSVGDIGLWEVRSGESLLSRNFKVWDIAACSKIFKATLLKDPSVSVNRVAWSPEGCFFGVAYSKHIVQIYSYNDAKDVQQKLEIDAHVGGVNDLAFSAPEKQLLVITCGDDKTVKAWDVTSGVKMYSFEGHDAPVYSLCPHSKGNVHFLSATSVNSNIKVCLYDNLGAKVDYDAPGLGCTSMAYSGDRRLFSCGTSKSGESFLAEWGDSEGSIKRTYLGLQKSSSGVVQFDIMKNQVLAAGDEHVIKLWDMDKVELFTTIDAEGGLPENPRVRFNKEGTLLAVSANDNKIKILAKDSGLHSLHTSQNCSDDASRDLCHNFKKLGIEPSSTVACAGAADEAVTNNGNPENSEVVKSKITGKSTTSKSGRLILITSPSQFQILRLPSPMKANKISRLIYNNAGNSILALTSNASHLCWKWSQNDTHSSDKATAKVPPQLWQPSSSSGLMTNDLTGSSPEEAVPCFALSKNDSYLLSACGGRISLYSLLKFKTMLPIMQPPAATCIAFYPQDNNILAIGRDDSTILIYNVRSAKVDTILEGHSKRVSGLAFSNDLNVLVSSGADAQIFVWKVEGWGKERSRFLQIPDDRTLSSLSLDTDIQFHQNQTEFLAVHETCLSIYDARKLECVKQWSPGDFGAPISHATFSCDGQMVYASFEDGLVSIFDASDFQLYCRINPTAYLSPTSSLGVYPLVVAAHPQEPDQFAVGLKDGAVIVFEPPISAGKWSMLTAYENGSASKIPAESEANQ >Potri.006G258100.9.v4.1 pep chromosome:Pop_tri_v4:6:25484198:25492198:1 gene:Potri.006G258100.v4.1 transcript:Potri.006G258100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258100.v4.1 MSALSKDLVLLISQFLDEEGFKETARMLERESSYYFNMKFFEDMICSGDWDEAERYFSCFTKLTDNRFSMKVYFEIRKQKFLEALDNKDRAKALDILVKDLKTFVSYNEELFKEMTLLLTLNDIRDHESLSMYSDADSARKVMRVELKKLIEANPLFSDKLEFPNAASHRLRRLINQSLNWQHVLCAYPQPNPDIRTLFVDHVCVPIPSDDHLFSTLSDSNPVPSQTTSMLVSTSSASNSTSSSEAHSSISSEALSLGDPTNIGIATITDGSEDITSVHYSSIPESELAILKRPSDEEISADSHPDQSSIDISDDLPKNVLRILNEGSSPTSMDFHPEHQTVLLVGTSVGDIGLWEVRSGESLLSRNFKVWDIAACSKIFKATLLKDPSVSVNRVAWSPEGCFFGVAYSKHIVQIYSYNDAKDVQQKLEIDAHVGGVNDLAFSAPEKQLLVITCGDDKTVKAWDVTSGVKMYSFEGHDAPVYSLCPHSKGNVHFLSATSVNSNIKVCLYDNLGAKVDYDAPGLGCTSMAYSGDRRLFSCGTSKSGESFLAEWGDSEGSIKRTYLGLQKSSSGVVQFDIMKNQVLAAGDEHVIKLWDMDKVELFTTIDAEGGLPENPRVRFNKEGTLLAVSANDNKIKILAKDSGLHSLHTSQNCSDDASRDLCHNFKKLGIEPSSTVACAGAADEAVTNNGNPENSEVVKSKITGKSTTSKSGRLILITSPSQFQILRLPSPMKANKISRLIYNNAGNSILALTSNASHLCWKWSQNDTHSSDKATAKVPPQLWQPSSSSGLMTNDLTGSSPEEAVPCFALSKNDSYLLSACGGRISLYSLLKFKTMLPIMQPPAATCIAFYPQDNNILAIGRDDSTILIYNVRSAKVDTILEGHSKRVSGLAFSNDLNVLVSSGADAQIFVWKVEGWGKERSRFLQIPDDRTLSSLSLDTDIQFHQNQTEFLAVHETCLSIYDARKLECVKQWSPGDFGAPISHATFSCDGQMVYASFEDGLVSIFDASDFQLYCRINPTAYLSPTSSLGVYPLVVAAHPQEPDQFAVGLKDGAVIVFEPPISAGKWSMLTAYENGSASKIPAESEANQ >Potri.006G258100.8.v4.1 pep chromosome:Pop_tri_v4:6:25484309:25492301:1 gene:Potri.006G258100.v4.1 transcript:Potri.006G258100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258100.v4.1 MSALSKDLVLLISQFLDEEGFKETARMLERESSYYFNMKFFEDMICSGDWDEAERYFSCFTKLTDNRFSMKVYFEIRKQKFLEALDNKDRAKALDILVKDLKTFVSYNEELFKEMTLLLTLNDIRDHESLSMYSDADSARKVMRVELKKLIEANPLFSDKLEFPNAASHRLRRLINQSLNWQHVLCAYPQPNPDIRTLFVDHVCVPIPSDDHLFSTLSDSNPVPSQTTSMLVSTSSASNSTSSSEAHSSISSEALSLGDPTNIGIATITDGSEDITSVHYSSIPESELAILKRPSDEEISADSHPDQSSIDISDDLPKNVLRILNEGSSPTSMDFHPEHQTVLLVGTSVGDIGLWEVRSGESLLSRNFKVWDIAACSKIFKATLLKDPSVSVNRVAWSPEGCFFGVAYSKHIVQIYSYNDAKDVQQKLEIDAHVGGVNDLAFSAPEKQLLVITCGDDKTVKAWDVTSGVKMYSFEGHDAPVYSLCPHSKGNVHFLSATSVNSNIKVCLYDNLGAKVDYDAPGLGCTSMAYSGDRRLFSCGTSKSGESFLAEWGDSEGSIKRTYLGLQKSSSGVVQFDIMKNQVLAAGDEHVIKLWDMDKVELFTTIDAEGGLPENPRVRFNKEGTLLAVSANDNKIKILAKDSGLHSLHTSQNCSDDASRDLCHNFKKLGIEPSSTVACAGAADEAVTNNGNPENSEVVKSKITGKSTTSKSGRLILITSPSQFQILRLPSPMKANKISRLIYNNAGNSILALTSNASHLCWKWSQNDTHSSDKATAKVPPQLWQPSSSSGLMTNDLTGSSPEEAVPCFALSKNDSYLLSACGGRISLYSLLKFKTMLPIMQPPAATCIAFYPQDNNILAIGRDDSTILIYNVRSAKVDTILEGHSKRVSGLAFSNDLNVLVSSGADAQIFVWKVEGWGKERSRFLQIPDDRTLSSLSLDTDIQFHQNQTEFLAVHETCLSIYDARKLECVKQWSPGDFGAPISHATFSCDGQMVYASFEDGLVSIFDASDFQLYCRINPTAYLSPTSSLGVYPLVVAAHPQEPDQFAVGLKDGAVIVFEPPISAGKWSMLTAYENGSASKIPAESEANQ >Potri.006G123900.1.v4.1 pep chromosome:Pop_tri_v4:6:9903670:9911601:1 gene:Potri.006G123900.v4.1 transcript:Potri.006G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123900.v4.1 MSQESLEIKTIEQWKWSEMQGLELVSEPPPDPSSHSHPFKTTPTRTLNTNSTYQQQESVVERREMESTEPKKDGTSSNSGGGGNGEKPGEVAVAGFGELFRFADGLDYVLMGIGSMGAFVHGCSLPLFLRFFADLVNSFGSNANNMDKMMQEVLKYAFYFLIVGAAIWASSWAEISCWMWTGERQSTRMRIKYLEAALNQDIQYFDTEVRTSDVVFAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLAKLSGKSQEALSQAGNIVEQTIVQIRVVLAFVGESRALQAYSSALKISQRIGYKSGFSKGMGLGATYFVVFCCYALLLWYGGYLVRHHYTNGGLAIATMFAVMIGGLGIGQAIPSMGAFAKAKVAAAKIFRIIDHKPAIDRNSESGLELESVTGLVALKNIDFAYPSRPDARILNNFSLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDADQVEIEEAARVANAHSFIIKLPDGFDTQVGERGLQLSGGQKQRVAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEVGTHDELIAKGENGVYAKLIRMQEAAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDAPFPNYRLEKLAFKEQASSFWRLAKMNSPEWVYALVGSIGSVICGSLSAFFAYVLSAVLSVYYNPNHDYMSREIAKYCYLLIGLSSAALIFNTLQHSFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPLVVAATVLQKMFMNGFSGDLEAAHSKATQLAGEAIANMRTVAAFNSEAKIVGLFSTNLETPLRRCFWKGQIAGSGFGIAQFSLYASYALGLWYASWLVKHGISNFSNTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEIEPDDPDATPVPDRLRGEVELKHVDFSYPTRPDIPVFRDLNLRARAGKILALVGPSGCGKSSVIALIQRFYEPSSGRVMIDGKDIRKYNLKSLRKHIAIVPQEPCLFGTTIYENIAYGNESATEAEIIEAATLANAHKFVSALPDGYKTFVGERGVQLSGGQKQRIAIARALIRKAGLMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGSYARMIQLQRFTHSEVIGMTSGSSSSTRPKDDDEREG >Potri.011G031800.2.v4.1 pep chromosome:Pop_tri_v4:11:2573520:2576927:1 gene:Potri.011G031800.v4.1 transcript:Potri.011G031800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031800.v4.1 MEYKQQVQVEQNSLQCQNNSEDIDMRQERRSANYKPNIWKYDFLQSLSSKYDEEQYRRVTEKLREEVKSIFVEAVDLLAKLKLVDSVIKLGLGSYFEEEIKQSLDIIAASIKNKNLKVEENLYVTALRFKLLRLHGYEVSQGVFNGFFDGTFDKSKCTDVRGLIELFEASHLAYEGEATLDDAKAFSTRILTGINCSAIESDLAKHVVHVLELPSHWRVMWFDVKWHINAYENDKQTNRHLLALAKVNFNMVQATLQKDLRDVSRWWRNLGIIENLSFTRDRLVESFLCTVGLVFEPKYSSFRKWLTKVIIMILIIDDVYDVYGSLHELQQFTKAVSRWDTGEVQELPECMKICFQTLYDITNEMALEMQREKDGSQALPHLKKVWADFCKAMFMEAKWFNEGYTPSLQEYLSNAWVSSSGTVISVHSFFSVMTELETGEISNFLEKNQDLVYNISLIIRLCNDLGTSVAEQERGDAASSVVCYMREVNVSEEVARNHINNIVKKTWKKINGHCFAKSPTLQLLVNINTNMARVVHNLYQHGDGFGVQDRHENKKQILTLLVEPFKLDLPEFSF >Potri.005G170200.1.v4.1 pep chromosome:Pop_tri_v4:5:17405879:17406367:-1 gene:Potri.005G170200.v4.1 transcript:Potri.005G170200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170200.v4.1 MATPGYCIAEAYVSRKLHTDKLKRMEEDQKAKIDGDDDEVKQQSGCFLSIFNKVHPARTVSKDRAGNEAQRLDSKG >Potri.005G096800.2.v4.1 pep chromosome:Pop_tri_v4:5:6888874:6890713:1 gene:Potri.005G096800.v4.1 transcript:Potri.005G096800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096800.v4.1 MQSGKVYLQRCNSSSSPSSSSDYRNQTNQTVAKLQDLNFPPSPSKITLNLFDEGNLELNFVSSPMSSNYQSVCTLDRVKSALERAEKEPGRKQSSSLWKSSSSPPYSSSSSSVRDIQEEENEEKLLEASPVAAGCPGCLSYVLITKINPRCPRCNSVVLMPTVKKPMIDLNISI >Potri.005G096800.1.v4.1 pep chromosome:Pop_tri_v4:5:6888870:6890849:1 gene:Potri.005G096800.v4.1 transcript:Potri.005G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096800.v4.1 MAAEVSSLVRLLSGYTDDRNVVSEPGGAKSTTLITRDLLGGGGGGGDSVESESQELDLDLQVPSGWEKRLDLKSGKVYLQRCNSSSSPSSSSDYRNQTNQTVAKLQDLNFPPSPSKITLNLFDEGNLELNFVSSPMSSNYQSVCTLDRVKSALERAEKEPGRKQSSSLWKSSSSPPYSSSSSSVRDIQEEENEEKLLEASPVAAGCPGCLSYVLITKINPRCPRCNSVVLMPTVKKPMIDLNISI >Potri.015G048200.4.v4.1 pep chromosome:Pop_tri_v4:15:4968381:4972895:1 gene:Potri.015G048200.v4.1 transcript:Potri.015G048200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048200.v4.1 MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKSWYDRGAKVFQAEKYRKGACLNCGAMTHAAKSCVERPRKVGAKWTNIHIAPDEKIETIELDYDGKRDRWNGYDPSSYSHVVERYEARDAARRKYVKEQQLKKLEEKNGNQNAEDGVNDVDNDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVNSAHYDPKTRSMREDPLPDADPNEKFYEGDNQYRNSGQALEFKHLNIHAWEAFDKGQDIHMQAAPSQAELLYKNHKVIKEKLKTRTKDTIMEKYGNAASEEEIPRELLLGQSERQVEYDRAGRIIKGQETVLPRSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQMIRNSYCTGAAGIKAAEAATDLMKANIDRKEATKEAPAPVEEKQLATWGTEVPEDLILDEKLLAEALRKEDERKREEKDERKRKYNVRWNDEVTAEDMEAYRMKKVHHDDPMKDFLH >Potri.007G047000.2.v4.1 pep chromosome:Pop_tri_v4:7:4307095:4308445:1 gene:Potri.007G047000.v4.1 transcript:Potri.007G047000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047000.v4.1 MKNSSNSNSNSNTKLILLHPYIQKQGGSNRLWLLAFVSFFTIAFLLTLIYTREILPIKSTTTTTMVTAGSASSSTFGNINAPLPTSVINTLLHYASRSNDSFHMSHAEIKPISDVLRKCSSPCNFLVFGLTHETLLWKALNHNGRTVFIEENRYYAAYYEELHPEIDVFDVQYTTKMKEMRELIASTNKQIKNECRPVQNLLFSECKLGINDLPNHVYEVDWDLILVDGPRGDGPDGPGRMTPIFTAGVLARSRKASNAKTHIFVHDYYRNVEKIYGDEFLCRENLVESNDMLAHFIVEKMDENSFHFCRNHTSTSPS >Potri.012G142600.4.v4.1 pep chromosome:Pop_tri_v4:12:15408822:15409789:-1 gene:Potri.012G142600.v4.1 transcript:Potri.012G142600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142600.v4.1 MAPKQPNTGLFVGLNKGHVVTKKDLAPRPSDRKGKSSKRVLFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSNVLRKMRAAGGGEKKK >Potri.012G142600.1.v4.1 pep chromosome:Pop_tri_v4:12:15408809:15410429:-1 gene:Potri.012G142600.v4.1 transcript:Potri.012G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142600.v4.1 MAPKQPNTGLFVGLNKGHVVTKKDLAPRPSDRKGKSSKRVLFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSNVLRKMRAAGGGEKKK >Potri.006G065700.3.v4.1 pep chromosome:Pop_tri_v4:6:4717732:4721209:1 gene:Potri.006G065700.v4.1 transcript:Potri.006G065700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065700.v4.1 MTIKPAVRISDGKLIVKDRTILTGVPDNVIATSGSTSGPVEGVFLGAVFDQENSRHVTSLGALRDVRFMACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDGGDEDNQVVYTVFLPLIEGSFRACLQGNVSDELELCLESGDAETKTSSFTHTLFIHAGTDPFRTITEAVRAVKLHLKTFRQRHEKRLPGIIDHFGWCTWDAFYQEVTQEGVEAGLQSLASGGTPPKFVIIDDGWQSVGGDPEEETNGQDVKKQDQQPLLRLTGIKENAKFQKKDDPAAGIKSIVNIAKEKYGLKYVYVWHAITGYWGGVRPGVKEMEEYGSMMKYPMVSKGVVENEPIWKNDALTLQGLGLVNPKNVYRFYNELHSYLAAAGIDGVKVDVQCILETLGAGLGGRVELTRQYHQALDASVARNFLDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHAAAEYHASARAISGGPIYVSDAPGKHNFELLKKVVLPDGSILRARLPGRPTSDCLFSDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSTERKNAFHQTTTEALTGTIRGRDVHLVAEAATDPNWDGNCAFYCHRTGELITLPYNAALPVSLKVLEHDIFTVTPIKVLAPGFSFAPLGLINMFNAGGAIEGLKYEVKGGAELSELDDGYRGESSGVTEERVGNYSDELVGKVCVEVKGCGKFGAYSSAKPRKCIVDSNVVDFVYDSNSGLVGFNLDSLLEEGKLRIVEIEL >Potri.006G065700.1.v4.1 pep chromosome:Pop_tri_v4:6:4717732:4721219:1 gene:Potri.006G065700.v4.1 transcript:Potri.006G065700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065700.v4.1 MLSNFCYSNVNPQSCTINSRPHFHLPSSSIGISPNFCKAKTKKAFCFWYSSNYKALSLLAFKRSEVPLKPLKKEDRKEEEKEAMTIKPAVRISDGKLIVKDRTILTGVPDNVIATSGSTSGPVEGVFLGAVFDQENSRHVTSLGALRDVRFMACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDGGDEDNQVVYTVFLPLIEGSFRACLQGNVSDELELCLESGDAETKTSSFTHTLFIHAGTDPFRTITEAVRAVKLHLKTFRQRHEKRLPGIIDHFGWCTWDAFYQEVTQEGVEAGLQSLASGGTPPKFVIIDDGWQSVGGDPEEETNGQDVKKQDQQPLLRLTGIKENAKFQKKDDPAAGIKSIVNIAKEKYGLKYVYVWHAITGYWGGVRPGVKEMEEYGSMMKYPMVSKGVVENEPIWKNDALTLQGLGLVNPKNVYRFYNELHSYLAAAGIDGVKVDVQCILETLGAGLGGRVELTRQYHQALDASVARNFLDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHAAAEYHASARAISGGPIYVSDAPGKHNFELLKKVVLPDGSILRARLPGRPTSDCLFSDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSTERKNAFHQTTTEALTGTIRGRDVHLVAEAATDPNWDGNCAFYCHRTGELITLPYNAALPVSLKVLEHDIFTVTPIKVLAPGFSFAPLGLINMFNAGGAIEGLKYEVKGGAELSELDDGYRGESSGVTEERVGNYSDELVGKVCVEVKGCGKFGAYSSAKPRKCIVDSNVVDFVYDSNSGLVGFNLDSLLEEGKLRIVEIEL >Potri.006G065700.5.v4.1 pep chromosome:Pop_tri_v4:6:4717795:4721176:1 gene:Potri.006G065700.v4.1 transcript:Potri.006G065700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065700.v4.1 MTIKPAVRISDGKLIVKDRTILTGVPDNVIATSGSTSGPVEGVFLGAVFDQENSRHVTSLGALRDVRFMACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDGGDEDNQVVYTVFLPLIEGSFRACLQGNVSDELELCLESGDAETKTSSFTHTLFIHAGTDPFRTITEAVRAVKLHLKTFRQRHEKRLPGIIDHFGWCTWDAFYQEVTQEGVEAGLQSLASGGTPPKFVIIDDGWQSVGGDPEEETNGQDVKKQDQQPLLRLTGIKENAKFQKKDDPAAGIKSIVNIAKEKYGLKYVYVWHAITGYWGGVRPGVKEMEEYGSMMKYPMVSKGVVENEPIWKNDALTLQGLGLVNPKNVYRFYNELHSYLAAAGIDGVKVDVQCILETLGAGLGGRVELTRQYHQALDASVARNFLDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHAAAEYHASARAISGGPIYVSDAPGKHNFELLKKVVLPDGSILRARLPGRPTSDCLFSDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSTERKNAFHQTTTEALTGTIRGRDVHLVAEAATDPNWDGNCAFYCHRTGELITLPYNAALPVSLKVLEHDIFTVTPIKVLAPGFSFAPLGLINMFNAGGAIEGLKYEVKGGAELSELDDGYRGESSGVTEERVGNYSDELVGKVCVEVKGCGKFGAYSSAKPRKCIVDSNVVDFVYDSNSGLVGFNLDSLLEEGKLRIVEIEL >Potri.006G065700.4.v4.1 pep chromosome:Pop_tri_v4:6:4718222:4721209:1 gene:Potri.006G065700.v4.1 transcript:Potri.006G065700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065700.v4.1 MTIKPAVRISDGKLIVKDRTILTGVPDNVIATSGSTSGPVEGVFLGAVFDQENSRHVTSLGALRDVRFMACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDGGDEDNQVVYTVFLPLIEGSFRACLQGNVSDELELCLESGDAETKTSSFTHTLFIHAGTDPFRTITEAVRAVKLHLKTFRQRHEKRLPGIIDHFGWCTWDAFYQEVTQEGVEAGLQSLASGGTPPKFVIIDDGWQSVGGDPEEETNGQDVKKQDQQPLLRLTGIKENAKFQKKDDPAAGIKSIVNIAKEKYGLKYVYVWHAITGYWGGVRPGVKEMEEYGSMMKYPMVSKGVVENEPIWKNDALTLQGLGLVNPKNVYRFYNELHSYLAAAGIDGVKVDVQCILETLGAGLGGRVELTRQYHQALDASVARNFLDNGCIACMSHNTDALYCSKQTAVVRASDDFYPRDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHAAAEYHASARAISGGPIYVSDAPGKHNFELLKKVVLPDGSILRARLPGRPTSDCLFSDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWSSTERKNAFHQTTTEALTGTIRGRDVHLVAEAATDPNWDGNCAFYCHRTGELITLPYNAALPVSLKVLEHDIFTVTPIKVLAPGFSFAPLGLINMFNAGGAIEGLKYEVKGGAELSELDDGYRGESSGVTEERVGNYSDELVGKVCVEVKGCGKFGAYSSAKPRKCIVDSNVVDFVYDSNSGLVGFNLDSLLEEGKLRIVEIEL >Potri.004G115001.1.v4.1 pep chromosome:Pop_tri_v4:4:10595837:10596202:-1 gene:Potri.004G115001.v4.1 transcript:Potri.004G115001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115001.v4.1 MGSLGKNISLGLFLFIGILVITPGFAIRTHEEDPELSRHLEECHAKVTKRCAIEISNSIYNNNTPSEYCCQKHITTGKACHDDFIKLFVSKVPKDKVAFVVAKGDQIWNQCAATVALAPVA >Potri.004G011525.1.v4.1 pep chromosome:Pop_tri_v4:4:701413:702584:-1 gene:Potri.004G011525.v4.1 transcript:Potri.004G011525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011525.v4.1 MANVEGQDVWKFLRTMDVPVNSLHSKGYISIGCEPCTRPVLPGQHEREGRWWWEDATAKECGLHKGNLKQGDAAQLNGNGNGAAHANGAATVADIFNSENLVNLSRPGIENLLKLENRKEPWLVVLYAPWCQFCQGMEASFVELADKLAGSGVKVGKFRADGDQKEFSKQELQLGSFPTILFFPKHSSRPIKYPSEKRDVDSLMTFVNALR >Potri.008G144400.2.v4.1 pep chromosome:Pop_tri_v4:8:9806268:9814316:1 gene:Potri.008G144400.v4.1 transcript:Potri.008G144400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144400.v4.1 MEEGSSGVEMAGAVIAFELKEASSWWQDINESPVWQDRIFHVLAALYGLVAAVALIQLIRIQLRVPEYGWTTQKVFHFLNFLVNGARCLLFVFRRNIESIHPSIFQHILLDSPSLAFFTTYALLVLFWAEIYYQARAISTDGLRPSFFTINAVVYAIQIAMWLVLAWKTVPIAVILSKMFFAGVSLFAALGFLLYGGRLFLMLRRFPVESKGRRKKLQEVGYVTTICFLCFLVRCIMICFNAFDKAADLDVLDHPVLNFIYYLLVEILPSTLVLFILRKLPPKRGITQYHPIR >Potri.011G022600.1.v4.1 pep chromosome:Pop_tri_v4:11:1686065:1690772:-1 gene:Potri.011G022600.v4.1 transcript:Potri.011G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022600.v4.1 MGTRIQSHQLKSGLVVSGRPGQQQKEKQPTMASRAVPYTGGDIKKSGELGKMFDIPAAVDPPKQPPSRASTSSSGSMRNSSSGPMRNSSGPLNVVLPTGLFTSGPLGSGPLGSGSQKRSGQLDNSAVGSGTGSGSSKALYGSAVTSLADDVKVGLRVSRPVVWVVMVVLLMGLLVGAFLMVAVKKAVILVAVGAVLVPLFVGLIWNCAWGRRGLLGFVRRHPDTELRGAIDGQYVKVTGVVTCGSIPLESSYQRVSRCVYVSSELYEYRGLGGKSAHAKHCFFSWGLRHSEKFVADFYISDFQSGLRALVKAGYGAKVAPFVKPATVVDVKKENKDMSPSFLRWLADRNLSSDDQIMRLKEGYIKEGSTISVMGVVRRHDNVLMIVPPQEPVSTGCQWFRCLLPSYVEGLVLTCDDNQNADVVPV >Potri.007G055800.2.v4.1 pep chromosome:Pop_tri_v4:7:5816774:5820188:1 gene:Potri.007G055800.v4.1 transcript:Potri.007G055800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G055800.v4.1 MSKGPVLFADIGKKAKDLLTKDYNSDQRLSVSTFSDAGVALTSSAVKKGGLSTGDVAALYMYKNTIFDVKIDTESNISTTLTFTDFLPSTKTIASIKFPDYNSGKLEVQYFHDRASFTTAVALNQSPAIDVTATIGTPTIAFGAEAGYDTTLGSFTKYTAGISVTKPDSYASLILGDKGDSLRASYVHHLDLLKKSAVAGEITRRFSTNENTLTIGGSFPVDHLTVVKAKLNSHGKLGALVQHEVIPKSVLTISSEVDTKALDKSPRFGLAIALKP >Potri.008G048500.2.v4.1 pep chromosome:Pop_tri_v4:8:2844283:2846244:-1 gene:Potri.008G048500.v4.1 transcript:Potri.008G048500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048500.v4.1 MDGLIFDTLDKLFAKTGISPSEIDVLVVNVSLFSPAPSLTARVVNRYKMRSNVKTFNLSGMGCSASIVAIDLVQHLFKSYKNAFAIVVSTESLGSNWYQGKEKSMMLSICLFRSGGCSMLFTNNSALKHQAIFRLKHVVRTHLGSNDEAYGCCIQVADDLGYKGFLLTRSLKISAAKALAANLRVLLPKILPLSEQLRYVYVSRQQNKTKRNSLQEMGAGLNLKTGVDPFCVHPGGRAIIDEVGKSLSLSNYDLEPARMALHRFGNTSAGGLWFQVQQLCFRGNEGPEGCHCLERQHRAIDQYPPETSKPLSTHSLKSIVGSMTNISALLDFNRC >Potri.011G009500.1.v4.1 pep chromosome:Pop_tri_v4:11:880469:884936:-1 gene:Potri.011G009500.v4.1 transcript:Potri.011G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009500.v4.1 MTKKSSLLPILLQQSRRRVIWSGWKLVIILSMGLCVFALFRIHLSSPPETLLSRRRSFSREVVFSGPPKVAFLFLVRRGLPLDFLWGSFFENADTGNFSIHVHSEPGFEFDESTTRSHFFYGRQLKNSIQVIWGESSMIEAERLLLDAALEDPANQRFVLLSDSCVPLYNFSYIYSYLMASPRSFVDSFLDVKEGRYHPKMSPVIPKDKWRKGSQWIALIRSHAEVIVDDVVILPVFKKLCKRRPPLDASKGKLNIKLQKQHNCIPDEHYVQTLLSMSELEGELERRTVTYTVWNQSATKMENKGWHPKTFSYANASPRKIKEIKGINHIDYETEYRTEWCRTNSTFVPCFLFARKFSRGAAMRLLSDGVAGQFDASSILARSAPD >Potri.010G122700.1.v4.1 pep chromosome:Pop_tri_v4:10:14058705:14060813:1 gene:Potri.010G122700.v4.1 transcript:Potri.010G122700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122700.v4.1 MVYSVEREERVMAMGQERSKPPLHNFDLPFLKWGNQRHLRCMKLPDSSTAAAVVRDNKNETRGGRFPVERHRSSNRSPPTNFGNYDARRSKAPRERFGGVEEGIDAVREKIMLDLKTAANKMKDKILRKEVSDDDSEVEEEQSLQSQSQTPPGAVVPAAEEAPAEQEVRPWNLRTRRAAIGGGGNPISGKVSGNNCSPLRSDSAKSPRLRGDKRDREEKEKERVVFSVPLSKKEIEEDFMAMLGHRPSRRPKKRPRIVQKQMDALFPGLWLSEVTVDNYKVPELPESGKR >Potri.017G028200.2.v4.1 pep chromosome:Pop_tri_v4:17:1867358:1869625:1 gene:Potri.017G028200.v4.1 transcript:Potri.017G028200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028200.v4.1 MLTKNLFFTMNYIYYCLAFFLSSFLVFKLVFQRSRNLPPSPFGFPIIGHLHLVSKPPMHKVLAILSNKCGPVFTLKLGSRNIVAVCSLSAAEECYIKNDIVFANRPQSIFVHYWSYNYAAFLFAPYGHLWRTLRRFSVTELFSRSCLDRSTAISEEVRTLVRLILSKVSDDGAKKVDLNYFFTITSLNVIMKMNAGKKWVEEEKAACIDSGKQCIEDVQKIFPSNPGTTVLDFFPFLKWFGYRGEEESVIKVYKERDEFLQGLIEEVKRKETSSVTSNPAEGVKDQTTVIGSLLALQKSDPELYTDEVVKGTMATLYLAGVDTVDFTAEWAMTFLLNHPERLERVKAEIDREVGHERLVQESDLPKLRYVRCVVNETLRLYPPAPLLLPHAPSEDCIVGGYKIPRGTIVMVNAWAIHRDPKLWEDPESFKPERFEGLNNEGEKQGFIPFGIGRRACPGNHMAMRRVMLALAALIQCFEWERVGKELVDMSIVDALISVQKAKPLEAICTPRPFTTTLISPP >Potri.016G101600.2.v4.1 pep chromosome:Pop_tri_v4:16:10303637:10305763:-1 gene:Potri.016G101600.v4.1 transcript:Potri.016G101600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101600.v4.1 MIHDNVMMDMWYHLKDAVLEGGIPFEKAHGINSAEYLKKDARFCELFSSSMKSFNVTFMETILDIYDGFEGVKCLVDVGGGNGSILNMIITKYPAIKGINYDLASVVESSPSYPGIEHVAGDGFVTIPKGGDAIFMKWITHNWDDEHLLKLLKNCYEALPDNGKVIVVDMVVPETPETNVKAKSMLQNYLFMSSMSPQGKERTEKEFETLGKEAGFSHIRVACFVCNFSVVEFIKKLVNNYCCSYISLPNCPCGCISEADDQFS >Potri.005G027000.5.v4.1 pep chromosome:Pop_tri_v4:5:1708699:1715608:1 gene:Potri.005G027000.v4.1 transcript:Potri.005G027000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027000.v4.1 MVKLQVRIREIPVLDKLQYLHCLLSSVLPIVKQIHHEQCFEVELEQRLCGTYIDLVRAKLNADEQMCCNICRIPIIDYHRHCANCSYDLCLHCCQDLRGASKHGVGTEVNENQIDRRIQDEETLSKFVIDSRGRINLSDKYQGWKANNDGSIPCPPKEHGGCNYSSLNLSCIFKMNWVAKLVKNVEEMVSGCKVYDADTPQKSGLSDSTLCQHAHRDDSDDNFLYCPLSEDIKVDGINKFRKHWVRGEPVIVKQVFDSSSISSWDPMAIWKGIRETSDEKIKDENRTVKAIDCLHWSEVDIELDQFIRGYSEGRIRENGSLEMLKLKDWPSPSASEEFLLYQRPEFISKLPFLEFIHSRLGILNVAAKLPHYSLQNDVGPKICISYGSHEELGVGNSVINLHFKMRDMVYLLVHTCEAKAKHCQENGSFDPEKSLEEGRLPDISLGGRNIQEDEVKTAAEKNEKMEDQGVDNTTSIEELERIEDQGAERTTSVPEVERTETIRMEEVEGMEGQQLRKNHDDIPVEIHTGVSWDVFRRQDVPKLTDYLRTRCEDLWKPDNAVHDFATRPLYDGTVFLNGFHKRRLKEEFGVEPWSFEQHLGQAVFIPAGCPFQVRNLQSNVQLGLDFLSPESLGVASRLAAEIRCLPNEHEAKLQVLEVGKMSLYAASSAIKEVQKLVLDPKLGAEIGFEDPNLTAAVSENLKKVAKPRQISCA >Potri.018G126100.1.v4.1 pep chromosome:Pop_tri_v4:18:13733824:13741076:-1 gene:Potri.018G126100.v4.1 transcript:Potri.018G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126100.v4.1 MDARDSTSNRDVSSSPGGSGTTTGGGGGEDDPILSVTAALAKDAWFHFNSRRFNECLEVLYQLKQKKEDDPKVLHNIAIAEYCRDGYPDPKKLLEILNNIERKSEELAHASGKQVETVSNPGNKVVSGSKGSGATVHQASATNSLSVADMDEFDPAVARLNIAIIWYHLHEYSKALSVLEPLYHNIEPIEERTALHVCLLLLDVALACQDASKSADVLLYLEKAFGFGSVGQGDNGSAAQQQSTNLVAKSFSVPSSSSGMDANSDLAPSENALENSLSRTLSDETLEYESMFSLDISGQNLARPVGLSSSNDLSRTPIDRSFSPSEMKLKLHIYKVQFLLLTRNLKQAKREVKLAINIARVRDSPMALLLKSQLEYARGNYRKAIKLLMASSNRAEMGISSMFNNLGCIYYQLGKYHSATVLFSKALASSSSLWKDKPRKLLTFSQDKSLLIVYNCGVQHLACGKPLLAARCFEKASLVFYNQPLLWLRLAECCLMALEKGLLKAGRVPSDKSDVTVHVFGKGKWRHLAIENGISRNGYVDSVEKEDLFLGSDGQPKLSMSLARQCLRNALHLLDYSELNHLKPGLPSNISLDENELSEEGSMKSSNHKNLTGLDSKASTVGLGQVNANGDAKEQKGGTSQEIMQNSISFHEDIRRRENQMIKQALLANLAYVELELENPEKALSNARSLLELPVCSRIYIFLGHVYAAEALCLLDKPKEAAEHLSIYLSGGNNVGLPFSQDDYVQWRVEKAFDYEELNGGSITAKNSSPDESQGIVFLNPEEACGTLYANFAAMYAAQGDLERAHHFVTQALSLVPNRPEATLTAVYVDLMLGNSQAAVAKLKQCSRVRFLPSDVQLNKC >Potri.018G126100.2.v4.1 pep chromosome:Pop_tri_v4:18:13733832:13740871:-1 gene:Potri.018G126100.v4.1 transcript:Potri.018G126100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126100.v4.1 MDARDSTSNRDVSSSPGGSGTTTGGGGGEDDPILSVTAALAKDAWFHFNSRRFNECLEVLYQLKQKKEDDPKVLHNIAIAEYCRDGYPDPKKLLEILNNIERKSEELAHASGKQVETVSNPGNKVVSGSKGSGATVHQASATNSLSVADMDEFDPAVARLNIRTALHVCLLLLDVALACQDASKSADVLLYLEKAFGFGSVGQGDNGSAAQQQSTNLVAKSFSVPSSSSGMDANSDLAPSENALENSLSRTLSDETLEYESMFSLDISGQNLARPVGLSSSNDLSRTPIDRSFSPSEMKLKLHIYKVQFLLLTRNLKQAKREVKLAINIARVRDSPMALLLKSQLEYARGNYRKAIKLLMASSNRAEMGISSMFNNLGCIYYQLGKYHSATVLFSKALASSSSLWKDKPRKLLTFSQDKSLLIVYNCGVQHLACGKPLLAARCFEKASLVFYNQPLLWLRLAECCLMALEKGLLKAGRVPSDKSDVTVHVFGKGKWRHLAIENGISRNGYVDSVEKEDLFLGSDGQPKLSMSLARQCLRNALHLLDYSELNHLKPGLPSNISLDENELSEEGSMKSSNHKNLTGLDSKASTVGLGQVNANGDAKEQKGGTSQEIMQNSISFHEDIRRRENQMIKQALLANLAYVELELENPEKALSNARSLLELPVCSRIYIFLGHVYAAEALCLLDKPKEAAEHLSIYLSGGNNVGLPFSQDDYVQWRVEKAFDYEELNGGSITAKNSSPDESQGIVFLNPEEACGTLYANFAAMYAAQGDLERAHHFVTQALSLVPNRPEATLTAVYVDLMLGNSQAAVAKLKQCSRVRFLPSDVQLNKC >Potri.005G228700.1.v4.1 pep chromosome:Pop_tri_v4:5:22856368:22858947:1 gene:Potri.005G228700.v4.1 transcript:Potri.005G228700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228700.v4.1 MADKSKILIIGGTGYIGKFIVEASAKAGHPTFALVRESTVSDPVKRKLVENFKNLGVTLIDGDIDGHDNLVKSIKQVDVVISAIGNMQIADQTKIIAAIKEAGNVKRFFPSEFTMDVDHVNAVEPAKTAFAMKAQIRRAIEAAGIPYTYVSSNGFAAYHLATMAQLGLTAPPRDKITILGDGNAKAVFNKEDDIGTYTIKAVEDSRTLNKTVLIKPPKNIYSFNELIALWEKKIGKTLEKTYVPEEKLLKDIQESPIPINILLSINHSAFFNGDMTNFDIDPSWGAEASELYPDVKYTTVEEYLDQFV >Potri.012G121725.1.v4.1 pep chromosome:Pop_tri_v4:12:13923289:13923978:1 gene:Potri.012G121725.v4.1 transcript:Potri.012G121725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121725.v4.1 METSIERVRHLSMMLSNETSFPVSIHKAKGLRSLLINTRDPSLGAALPDLFKQLTCIRLLNLSRSSIKEIPNEVGKLIHLRHLNLASCYQLESLPETMCDLCNLQSLDVTWCDSLKELPNAIGKLIKLRHLWIYGSGVAFIPKGIERITCLRTLDVFTMCGGGENESKAANLRELKNLNHIGGSLKIWNLRGGVEDASDAAEAQLKNKKRLRCLLLALIRLQPAERHFN >Potri.007G120601.1.v4.1 pep chromosome:Pop_tri_v4:7:13862289:13863095:1 gene:Potri.007G120601.v4.1 transcript:Potri.007G120601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120601.v4.1 MRLRGQKNLDRPYGLVLCRGDVSSPDCSACVADATREIRKRCPYGKSGFIAYDNCLLKYSNKDFFGQIDSQNKIYLYNVRNVSNPVVFNQKTKDLLGQLANKAYIARKMYAAGELGLGGSKKLYGMAQCTRDLSSANCKKCLDGAISELQGFAGGKEGGRVTGGSCTVRYEIYPFVKA >Potri.012G076800.2.v4.1 pep chromosome:Pop_tri_v4:12:10034222:10036876:-1 gene:Potri.012G076800.v4.1 transcript:Potri.012G076800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G076800.v4.1 MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPMTPLPDLVTIHPPKEEEEYVPPVMTTNIEIPVA >Potri.001G152150.1.v4.1 pep chromosome:Pop_tri_v4:1:12742988:12743146:-1 gene:Potri.001G152150.v4.1 transcript:Potri.001G152150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152150.v4.1 MKSDFLRNISRENWIWLDNVWLVNKDRFFSKAWNISSIIQYDSTRSNFIRGS >Potri.016G010200.2.v4.1 pep chromosome:Pop_tri_v4:16:481856:485717:1 gene:Potri.016G010200.v4.1 transcript:Potri.016G010200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010200.v4.1 MEMELGKLFIGGISWDTNEDRLKEYFRAFGDVLEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHLIDGRNVEAKKAVPREDQSTLNKNSSSINGSPGPARTKKIFVGGLASTVTDSDFKKYFDQFGVIVDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPTRNQLGGLNYSPSRVSSFLNGYTQGFNQSSVGGYGVRMDGRFSSVNVGRNNFSPFGTGYGMGLNFEQVLNPSYGGNSNLNSNAGYGRVSPSYSGNASRYSNPIGLSVGNGGSTSVLNSTAHTLWGNGSNNHSSSANNSSTFMGSGTGNSGMGSFGSIGALWGSSANSGQGGGVGSVNSSSNLGFGSGDFDIGLGGVGYGRNSRTGVALTSSHVASNGGYEGAYADFYEKGSLYGDSTWQSSPSEPEVSGSFGFGLGTAASDVMTKNSAGYVGGYSVANRQSTRGKYSLPPCLC >Potri.016G010200.1.v4.1 pep chromosome:Pop_tri_v4:16:481783:485736:1 gene:Potri.016G010200.v4.1 transcript:Potri.016G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010200.v4.1 MEMELGKLFIGGISWDTNEDRLKEYFRAFGDVLEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHLIDGRNVEAKKAVPREDQSTLNKNSSSINGSPGPARTKKIFVGGLASTVTDSDFKKYFDQFGVIVDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPTRNQLGGLNYSPSRVSSFLNGYTQGFNQSSVGGYGVRMDGRFSSVNVGRNNFSPFGTGYGMGLNFEQVLNPSYGGNSNLNSNAGYGRVSPSYSGNASRYSNPIGLSVGNGGSTSVLNSTAHTLWGNGSNNHSSSANNSSTFMGSGTGNSGMGSFGSIGALWGSSANSGQGGGVGSVNSSSNLGFGSGDFDIGLGGVGYGRNSRTGVALTSSHVASNGGYEGAYADFYEKGSLYGDSTWQSSPSEPEVSGSFGFGLGTAASDVMTKNSAGYVGGYSVANRQSTRGIAA >Potri.001G138700.4.v4.1 pep chromosome:Pop_tri_v4:1:11317554:11321049:1 gene:Potri.001G138700.v4.1 transcript:Potri.001G138700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138700.v4.1 MNSTSPTNSSVSTTAIIGGGGGSVSNAALDDFHFPSDLISIQDRKDEAMLALKTDLMAALNKEVKSLDEDNWKFGGPRSRINLISRPGGFLSKKLEITKKKNLALPK >Potri.001G138700.2.v4.1 pep chromosome:Pop_tri_v4:1:11317479:11321049:1 gene:Potri.001G138700.v4.1 transcript:Potri.001G138700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138700.v4.1 MNSTSPTNSSVSTTAIIGGGGGSVSNAALDDFHFPSDLISIQDRKDEAMLALKTDLMAALNKEVKSLDEDNWKFGGPRSRINLISRPGGFLSKKLEITKKKNLALPK >Potri.006G209200.1.v4.1 pep chromosome:Pop_tri_v4:6:21711639:21713620:-1 gene:Potri.006G209200.v4.1 transcript:Potri.006G209200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209200.v4.1 MIPPGFRFYPTEEELVSFYLHNKLEARREDLLRVMDRLIPVLDIYEFNPWELPQFSGDLCHGDPEEWFFFIRRQESEARGGRPKRLTSSGYWKATGSPSPVYSSNSNRSIGEKRTMVFYEGRAPRGRKTEWKMNEFKAMEEEAEASYSNGGHPRLRQEFSLCRVYKKSKFVRAFDRRPSGVEMGTEMRAQATPGNEVTSSSSHQNPSTAETVPCSHAILSLGDHGQTFQTGESSYTASIDTDNEPIWDWEQLEWCYSDRDNIEKVQSA >Potri.010G182400.1.v4.1 pep chromosome:Pop_tri_v4:10:18058439:18062529:1 gene:Potri.010G182400.v4.1 transcript:Potri.010G182400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182400.v4.1 MGKSLPSTGKLLELSKIVSSHKPRHKRSIVPVVPSRPSEVRVDSERLKVKKMEESSLILDGQKRVPLGQVVSDCAKRWFEDTLKEAKTGDISMQVLVGQMYFNGYGVPKDVEKGSAWISRASKRRASVWKVSNKRPGYNGSDSDSEDDRK >Potri.010G182400.3.v4.1 pep chromosome:Pop_tri_v4:10:18058799:18058990:1 gene:Potri.010G182400.v4.1 transcript:Potri.010G182400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182400.v4.1 MEESSLILDGQKRVPLGQVVSDCAKRWFEDTLKEAKTGDISMQVLVGQMYFNGYGVPKDVEKV >Potri.010G182400.2.v4.1 pep chromosome:Pop_tri_v4:10:18058646:18058990:1 gene:Potri.010G182400.v4.1 transcript:Potri.010G182400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182400.v4.1 MGKSLPSTGKLLELSKIVSSHKPRHKRSIVPVVPSRPSEVRVDSERLKVKKMEESSLILDGQKRVPLGQVVSDCAKRWFEDTLKEAKTGDISMQVLVGQMYFNGYGVPKDVEKV >Potri.010G212900.1.v4.1 pep chromosome:Pop_tri_v4:10:20113569:20122220:-1 gene:Potri.010G212900.v4.1 transcript:Potri.010G212900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212900.v4.1 MSWLRSAVSKAVEAGNKNNLTRAVKNYADSVVHQAGQAVSEGAKILQDRIGNRNYKSAKQTAKRLEEVAISCRGLERVLLLRRWLVVLKQFNKPSGGLSEDKQTSVEQNVGPDESKGSPRNRTLPMVLYYDSDVGGEPLTFWDIFLQSQALEGITTSMILEAPNEEEISLLLEVFRLCLTGGQEVHNAVVSSIQDLASAFASYQDEVLVKREELLQFAQNAITGLKINVHLARIDAEATVLRNKLDGIMHSKKPSSEDQEKEFDEKAKATIEALKEALAQIRICSRLEGLLLKKNTLNLGDSPDIHAQKVDKLKVLSESLASSTSKGEKRIIDHRLQKEEALKVRVVKADEANEKEKEIVAEVSALERQRDKLEAELKMVNASLAVANARLHDAREERDQFDEANNQIVEHLKTKEDEVSKTIAACKVEAAVLSTWLNFLEDTWLLQQSHTEAKEKQLNDELERHEDYFVKLAIHLLSEYKKELEPSISRIEKFVENLKNLSGGLEMASSSDNEDSKELNPRKNLEEEYLDYEAKIITTFSVVDNMREQFYAQKGESSRKDDTTVKELFDDIEKLRVEFESIERPNLELEIPTPKADSTSEKPLGSPSHISTQNATTLKSNIDEHPKAPAVEADEVLDPAAELAKLESEFGKDARDYSTEEIGDWEFDELERELRSGDTATNS >Potri.008G033900.3.v4.1 pep chromosome:Pop_tri_v4:8:1834592:1838549:1 gene:Potri.008G033900.v4.1 transcript:Potri.008G033900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033900.v4.1 MIMSKMEIPGLSSNILYSLFLLIIQFSIASCLLVGNETDRLSLLAFKTQISDPLGKLSSWNESLHFCEWSGVICGRKHRRVVELDLHSSQLAGSLSPHIGNLSFLRILNLEKNSFSYLIPQELGRLFRIQELSLGNNTFSGEIPVNISRCTNLLSIGLASNNLTGKLPAEFGSLSKLQVLNFQRNHLFGEIPPSYGNLSELQIIRGVRNNLQGGIPDSIGQLKRLADFTFGVNSLSGTIPSSIYNMSSLVRFSAPLNQLYGILPPELGLTLPNLDTFNILSNQFRGLIPSTLSNASKISDLQLRNNSFTGKVPSLAGLHNLQRLVINFNNLGNNEDDELGFLYPLANTTSLEILAINHNNFGGVLPEIVCNFSTKLRIMIIGENNLRGSIPTEIGKLIGLDTLGLELNQLTGIIPSSIGKLQRLGVFNINGNKISGNIPSSLGNITSLLEVYFFANNLQGRIPSSLGNCQNLLMLRLDQNNLSGSIPKEVLGISSLSMYLDLAENQLIGPLPSEVGKLVHLGGLNVYKNRLSGEIPGILSSCVSLEHLNLGPNFFQGSIPESLSSLRALQILNLSHNNLSGKIPKFLAEFKLLTSLDLSFNNLEGEVPVQGVFARASGFSMLGNKKLCGGRPQLNLSRCTSKKSRKLKSSTKMKLIIAIPCGFVGIILLVSYMLFFLLKEKKSRPASGSPWESTFQRVAYEDLLQATKGFSPANLIGAGSFGSVYKGILRSDGAAVAVKVFNLLREGASKSFMAECAALINIRHRNLVKVLTACSGIDFQGNDFKALVYEFMVNGSLEEWLHPVQISDEAHVRRDLSLLQRLNIAIDVASALDYLHNHCQIAVAHCDLKPSNVLLDGDMTAHVGDFGLARLLPQASHQLCLDQTSSIGLKGTIGYAAPEYGLGSEVSPYGDVYSYGILLLEVFTGRRPTEWLV >Potri.005G118900.1.v4.1 pep chromosome:Pop_tri_v4:5:8747059:8747964:-1 gene:Potri.005G118900.v4.1 transcript:Potri.005G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118900.v4.1 MFRAAIRYFSTKPKPKMKPIELKTPPEQTQTITRAIFDIVKEHGPLTIAETWEKVQEVGLRRLTSKRHMKIVLRWMRERQKLKLICNHVGPHKQFLYTTWFTKPNFKLQAKPVNKQPSLPKP >Potri.017G075200.1.v4.1 pep chromosome:Pop_tri_v4:17:8291462:8295688:1 gene:Potri.017G075200.v4.1 transcript:Potri.017G075200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075200.v4.1 MSWCTIESDPGVFTELIQQMQVKGVQVEELYSLDLDSLDSLRPVYGLIFLFKWRPEEKDERVVITDPNPNLFFARQVINNACASQAILSILMNCPDIDIGPELSKLKEFTKNFPPELKGLAINNCEAIRVAHNSFARPEPFIPEEQKAASQEDDVYHFISYLPVDGVLYELDGLKEGPISLGQCTGGHGDLDWLRMVQPVIQERIERHSNSEIRFNLLAIIKNRKEMYTAELKDLQKKRERILQQLAAFQAERLVDNSNFEALNKSLSEVNGGIESATEKILMEEDKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKAGASK >Potri.008G037600.1.v4.1 pep chromosome:Pop_tri_v4:8:2064653:2065787:1 gene:Potri.008G037600.v4.1 transcript:Potri.008G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037600.v4.1 MASTISPPPAAAAALASIATPTTLVGQHQLYTRIRLATPADVPHIHKLIYQMAVFQNETHLFTATESSLASTLFNSPPFQSFTVFILEVSSNPFKNIHSPAFLPIERTVLVDHVAADPEAETFKSGVANEDDDDVTVAGFVLFVPSYTTFAGKPGFHVEDLLVRECYRRKGFGKVLLSAVAEQAVKMGFKRVEWSVLEWNVNAIKFYEEMGAKVSTEWRVCRLTGDALEAYGDANY >Potri.010G248550.1.v4.1 pep chromosome:Pop_tri_v4:10:22260766:22261805:1 gene:Potri.010G248550.v4.1 transcript:Potri.010G248550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248550.v4.1 MDELERDLLERASRCHSPTKRSLPLRCFQMGRGATVVNRARPCKVHSYKHQIPTWKLQHSSKKIPN >Potri.014G113900.1.v4.1 pep chromosome:Pop_tri_v4:14:7664229:7665496:-1 gene:Potri.014G113900.v4.1 transcript:Potri.014G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113900.v4.1 MDDFPKVMYGWSWEENKLFEMALAVVDEEDPDRWKVVAAMVGGKKSEEDVQKHYVILLEDLQGIESGKLDHKLVGEAQPCVQVDCTESVCWTDEDHKLLVQLDIN >Potri.004G015900.1.v4.1 pep chromosome:Pop_tri_v4:4:1156882:1157955:1 gene:Potri.004G015900.v4.1 transcript:Potri.004G015900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015900.v4.1 MGNCCKSASSSVVWADDDWASVAHHKHHHAAMFDGADDHEEHGTNMERQRLLGTGSLSSGSTREVKIRITKRELEEIMARVSIQGLSMEQVLGMLVNSTDLKFEMDLNHKHWKPALQSIPEVN >Potri.001G210500.1.v4.1 pep chromosome:Pop_tri_v4:1:21520610:21525811:-1 gene:Potri.001G210500.v4.1 transcript:Potri.001G210500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210500.v4.1 MSHFVFQTTQIPTTTTKTTLTLKPTSPIPQLCHLRGTRKRVNHNHTIKCSSSSSSSSCPTIDGGGDSVAGLERCFLAPSAPVLNSSPKGDFGPVMKGKFGSLGAVTLEKSKLDLTQKQSQSTPEVALGGGGGDIGKHINHGGGDGGDDDGDDDDYFDDFDDGDEGDEGGLFRRRMFLEELFDRKFVDAVLNEWHKTVMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTSTRFISRALPEAVSRAFIGRMLADPAFLYRLLLEQAATIGCSVWWELKNRKDRIKQEWDLALINVLTITACNAFVVWTLAPCRSYGNTFQFDLQNTLQKLPNNIFEMSYPLREFDLQKRVHSFFYKAAELCLVGLTAGAIQGSLTNTLARKKDRLSVTVPPVSTYALGYGAFLGLYANLRYQLLCGFDRAVVNHFDVIGVALFFSTALRILNTQVGETSRLAWLGVEADPLVQSDDLLKAYNRSPSADADSSSSKWFISKKTLVSGLGLLGIKQGNTDSINGESPAPKVRRKRVVRKKVSAS >Potri.018G039300.1.v4.1 pep chromosome:Pop_tri_v4:18:3122503:3128512:-1 gene:Potri.018G039300.v4.1 transcript:Potri.018G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039300.v4.1 MEQSETVTEYTPKKLAPQKLDFTAPICRTAPANVPSQTQQVQVNLQTQTPPRPEMLPPPYQERPVSQHEAAAAAQRIPHPVHKISAMPRGKQDSPGSRLANDGTPKKPKQCNCKNSMCLKLYCECFAAGIHCNGCNCLNCRNNVENEKERKEAVETTLQRNPNAFRPKIASSPHGSRDTTEDAQEVQMLGKHNKGCNCKRSGCLKKYCECFQGNIFCSENCKCLECKNFEGSDERRALFHGSPHATAYAQQATNAAISGAIGSSGYGTTLASKKRKSEELFGIATKDQPAHSTAKFSQGNTLRNSAAFSSPLSVPVSRTANGAVLGSTKPTYRSPLAGVLKPQFVKEICTLLVAISGKATEALAGKVGKMDTQSERENIETYSASPTQEKESSLKGWNAIEHMPDDCLNGNKAEKDGSIDSGDVENARPSSPDIDLMCHEEEMMFMEMGSPIGVARLCQSKTQKPDDGYECSQLHAEQEKIILTSFLDILNTVSTYGSIKVCQSGNQKEPAVRDTVKTGIETVNHRNGYHNRGAATLVLNGDPPNKAASPIQKGLTERSTIKAGIQMGNHKAHHSNGTTQSPVIANLASTVLPIKAASLVQQESVERGTKTARNDIGNRSGYDNGTVKSLVLAPSASNTDLPVKAASPVENRKRNPAGKSCS >Potri.002G056200.1.v4.1 pep chromosome:Pop_tri_v4:2:3831072:3832673:-1 gene:Potri.002G056200.v4.1 transcript:Potri.002G056200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056200.v4.1 MSGEEGAVAQTETPAVADVPPLGEPMDLMTALQLVLRKSLAHGGLSRGLHEGAKVIEKHAAQLCVLAEDCNQPDYVKLVKALCADHNVNLLTVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEDTEALNVIQQHVKAN >Potri.009G029400.1.v4.1 pep chromosome:Pop_tri_v4:9:4052783:4053197:-1 gene:Potri.009G029400.v4.1 transcript:Potri.009G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029400.v4.1 MGRKIGGLFFNTKKRRIAAKPCMQEMISFLSCLSQNQMNDERCLHSKELLKTCLDDETAKSKKKGGSMNYHLQRLNKKMK >Potri.014G117600.4.v4.1 pep chromosome:Pop_tri_v4:14:7920443:7924035:-1 gene:Potri.014G117600.v4.1 transcript:Potri.014G117600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117600.v4.1 MDEARSVFDLMVSKGCTPNVYTYTSLMNGYCKIERIEEAVQLLDETLRKGLVPDIVTFTTIISGLCRAGRPLAAQQLFRYICAHGHTPNIMTYGVLLDGLCKHGNLEEAFALFQEMQRSTVKPNLVIYTILIDSLCKCGKIKDGKELFSRLIDEGLKPNVYTYTALVGALCKEGLIIEAHKLFRKMEEDGCTPDKCAYNVIIQGFLQHKDPSMARQLVEEMVNRGFSADAATRALLNDFPTNDIPALKTLIGFCEDH >Potri.014G117600.1.v4.1 pep chromosome:Pop_tri_v4:14:7922046:7924660:-1 gene:Potri.014G117600.v4.1 transcript:Potri.014G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117600.v4.1 MGFFFSSFSSGSGHNKVWFKDKDDALASFRLMLLRKPLPCIVEFSKLFSSIVRMGHYETVVYLSKEIEFLGIEQDIHSLSILINCFCHLHRVDFGLSVLSKILKLGLEPSIVTFTTLLNGLCMEGKMDQVMMLYDDMLVRGLQPNVYTYNVIINSLSKSGKANEALGFLKQMEKVGCVPNVVNYSTLIDGYCLRGQMDEARSVFDLMVSKGCTPNVYTYTSLMNGYCKIERIEEAVQLLDETLRKGLVPDIVTFTTIISGLCRAGRPLAAQQLFRYICAHGHTPNIMTYGVLLDGLCKHGNLEEAFALFQEMQRSTVKPNLVIYTILIDSLCKCGKIKDGKELFSRLIDEGLKPNVYTYTALVGALCKEGLIIEAHKLFRKMEEDGCTPDKCAYNVIIQGFLQHKDPSMARQLVEEMVNRGFSADAATRALLNDFPTNDIPALKTLIGFCEDH >Potri.014G117600.2.v4.1 pep chromosome:Pop_tri_v4:14:7920454:7924930:-1 gene:Potri.014G117600.v4.1 transcript:Potri.014G117600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117600.v4.1 MAAMVIFQALVKFRLQQQIGIMGFFFSSFSSGSGHNKVWFKDKDDALASFRLMLLRKPLPCIVEFSKLFSSIVRMGHYETVVYLSKEIEFLGIEQDIHSLSILINCFCHLHRVDFGLSVLSKILKLGLEPSIVTFTTLLNGLCMEGKMDQVMMLYDDMLVRGLQPNVYTYNVIINSLSKSGKANEALGFLKQMEKVGCVPNVVNYSTLIDGYCLRGQMDEARSVFDLMVSKGCTPNVYTYTSLMNGYCKIERIEEAVQLLDETLRKGLVPDIVTFTTIISGLCRAGRPLAAQQLFRYICAHGHTPNIMTYGVLLDGLCKHGNLEEAFALFQEMQRSTVKPNLVIYTILIDSLCKCGKIKDGKELFSRLIDEGLKPNVYTYTALVGALCKEGLIIEAHKLFRKMEEDGCTPDKCAYNVIIQGFLQHKDPSMARQLVEEMVNRGFSADAATRALLNDFPTNDIPALKTLIGFCEDH >Potri.014G117600.3.v4.1 pep chromosome:Pop_tri_v4:14:7923083:7924742:-1 gene:Potri.014G117600.v4.1 transcript:Potri.014G117600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117600.v4.1 MAAMVIFQALVKFRLQQQIGIMGFFFSSFSSGSGHNKVWFKDKDDALASFRLMLLRKPLPCIVEFSKLFSSIVRMGHYETVVYLSKEIEFLGIEQDIHSLSILINCFCHLHRVDFGLSVLSKILKLGLEPSIVTFTTLLNGLCMEGKMDQVMMLYDDMLVRGLQPNVYTYNVIINSLSKSGKANEALGFLKQMEKVGCVPNVVNYSTLIDGYCLRGQMDEARSVFDLMVSKGCTPNVYTYTSLMNGYCKIERIEEAVQLLDETLRKGLVPDIVTFTTIISGLCRAGRPLAAQQLFRYICAHGHTPNIMTYGVLLDGLCKHGNLEEAFALFQEMQRSTVKPNLVIYTILIDSLCKCGKIKDGKELFSRLIDEGLKPNVYTYTALVGALCKEGLIIEAHKLFRKMEEDGCTPDKCAYNVIIQGFLQHKDPSMARQLVEEMVNRGFSADAATRALLNDFPTNDIPALKTLIGFCEDH >Potri.004G182100.8.v4.1 pep chromosome:Pop_tri_v4:4:19621601:19623260:-1 gene:Potri.004G182100.v4.1 transcript:Potri.004G182100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182100.v4.1 MAGIFMISILLYKYQSRNLLKHHQNSNSYIPEMRFWALTVLSLLLSLLLGVSSDTAQCGSQAGNATCPNDLCCSSGGYCGLTVAYCCAGCVSQCRNCFFTESMFEQMLPNRNNDSCPGKGFYTYNAYFVATEFYPGFGMTGDDDTRKRELAAFFAQTSQETSGRSIIGEDAPFTWGYCLVNELNPNSDYCDPNTNSSYPCVADYYGRGPLQLRWNYNYGECGNYLGQNLLDEPEKVATDPVLSFEAALWFWMNPHSTGAPSCHEVITGEWSPSEADIEAGRKPGFGMLTNIITNGGECTKDGKTRQQNRIDYYLRYCDMLKVDPGDNLYCDNQETFEDNGLLKMVGTM >Potri.007G089500.1.v4.1 pep chromosome:Pop_tri_v4:7:11504529:11506283:-1 gene:Potri.007G089500.v4.1 transcript:Potri.007G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G089500.v4.1 MGESFPMTGGDGPHSYTKNSSLQKAAAASAKSMLTSGIIENLVVEHSSKMFAVADLGCSTGPNTFIAMDNIIEAVTQKCEIKGHCSLPEFQVYFNDHVSNDFNILFANLPPDRKYFAFGVPGSFHGGLFPKASLNIIYSAFALHWLSRAPQELGDVNSPACNKGRIYYSNAPHEVGQAYSLQYAKDMESFLAARAEELAPGGLMIILMPGRPDGTLPSQNSLGPFIKPLESCLTDMVDEEIIRNHEIDSFNMPLYSPSMEELRKLIEKNGCFGIARLETLPPMSVPLPSVEECRSGFESILRKHFRSEIIEQLFERYPAKIAGKPPIKASDGFTIGLFVILKRNI >Potri.004G177000.7.v4.1 pep chromosome:Pop_tri_v4:4:19176955:19184134:-1 gene:Potri.004G177000.v4.1 transcript:Potri.004G177000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177000.v4.1 MDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSTTAVTSGGLTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPHDESGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQTGTQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSIAGSLGTPTLPTAPILGATPVVSPAVAPLLSGSVPAIPGLPVPGLQLPATAIPTMDTIGVPSDCLFLKNMFDPKTETEPDFDLDIKEDVQEECSRFGNVKHIYVDKNSAGFVYMRFENMQAAINAQHALHGRWFAGKLITATFMVPQTYEAKFPDSR >Potri.004G177000.2.v4.1 pep chromosome:Pop_tri_v4:4:19176335:19184791:-1 gene:Potri.004G177000.v4.1 transcript:Potri.004G177000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177000.v4.1 MEFDEYDYLEKTVENLEPPKAKETANGGDDKVKSREKDRSRSSKHRSDEKDLGNDDERSRSKRSRSGDESRDRERSKERSSSRHRSQSRDGERDRHKSSREHRDRDRGRDRDREEINGKERDRDRDRRERDRDGEKERERDKKEIERSRRSRSRSERRRSDQDEKDRERSRDRELREKDRELREKDRELREKEREREREPKERDRESRYARAFRWSFWQLSQAQELRRYKERKEEAVEPEVDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSTTAVTSGGLTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPHDESGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQTGTQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSIAGSLGTPTLPTAPILGATPVVSPAVAPLLSGSVPAIPGLPVPGLQLPATAIPTMDTIGVPSDCLFLKNMFDPKTETEPDFDLDIKEDVQEECSRFGNVKHIYVDKNSAGFVYMRFENMQAAINAQHALHGRWFAGKLITATFMVPQTYEAKFPDSR >Potri.004G177000.6.v4.1 pep chromosome:Pop_tri_v4:4:19176341:19184787:-1 gene:Potri.004G177000.v4.1 transcript:Potri.004G177000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177000.v4.1 MEFDEYDYLEKTVENLEPPKAKETANGGDDKVKSREKDRSRSSKHRSDEKDLGNDDERSRSKRSRSGDESRDRERSKERSSSRHRSQSRDGERDRHKSSREHRDRDRGRDRDREEINGKERDRDRDRRERDRDGEKERERDKKEIERSRRSRSRSERRRSDQDEKDRERSRDRELREKDRELREKDRELREKEREREREPKERDRESRYKERKEEAVEPEVDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSTTAVTSGGLTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPHDESGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQTGTQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSIAGSLGTPTLPTAPILGATPVVSPAVAPLLSGSVPAIPGLPVPGLQLPATAIPTMDTIGVPSDCLFLKNMFDPKTETEPDFDLDIKEDVQEECSRFGNVKHIYVDKNSAGFVYMRFENMQAAINAQHALHGRWFAGKLITATFMVPQTYEAKFPDSR >Potri.004G177000.5.v4.1 pep chromosome:Pop_tri_v4:4:19176317:19184787:-1 gene:Potri.004G177000.v4.1 transcript:Potri.004G177000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177000.v4.1 MEFDEYDYLEKTVENLEPPKAKETANGGDDKVKSREKDRSRSSKHRSDEKDLGNDDERSRSKRSRSGDESRDRERSKERSSSRHRSQSRDGERDRHKSSREHRDRDRGRDRDREEINGKERDRDRDRRERDRDGEKERERDKKEIERSRRSRSRSERRRSDQDEKDRERSRDRELREKDRELREKDRELREKEREREREPKERDRESRRYKERKEEAVEPEVDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSTTAVTSGGLTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPHDESGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQTGTQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSIAGSLGTPTLPTAPILGATPVVSPAVAPLLSGSVPAIPGLPVPGLQLPATAIPTMDTIGVPSDCLFLKNMFDPKTETEPDFDLDIKEDVQEECSRFGNVKHIYVDKNSAGFVYMRFENMQAAINAQHALHGRWFAGKLITATFMVPQTYEAKFPDSR >Potri.004G177000.1.v4.1 pep chromosome:Pop_tri_v4:4:19176235:19184796:-1 gene:Potri.004G177000.v4.1 transcript:Potri.004G177000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177000.v4.1 MEFDEYDYLEKTVENLEPPKAKETANGGDDKVKSREKDRSRSSKHRSDEKDLGNDDERSRSKRSRSGDESRDRERSKERSSSRHRSQSRDGERDRHKSSREHRDRDRGRDRDREEINGKERDRDRDRRERDRDGEKERERDKKEIERSRRSRSRSERRRSDQDEKDRERSRDRELREKDRELREKDRELREKEREREREPKERDRESRRYKERKEEAVEPEVDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSTTAVTSGGLTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPHDESGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQTGTQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSIAGSLGTPTLPTAPILGATPVVSPAVAPLLSGSVPAIPGLPVPGLQLPATAIPTMDTIGVPSDCLFLKNMFDPKTETEPDFDLDIKEDVQEECSRFGNVKHIYVDKNSAGFVYMRFENMQAAINAQHALHGRWFAGKLITATFMVPQTYEAKFPDSR >Potri.004G177000.4.v4.1 pep chromosome:Pop_tri_v4:4:19176308:19184787:-1 gene:Potri.004G177000.v4.1 transcript:Potri.004G177000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177000.v4.1 MEFDEYDYLEKTVENLEPPKAKETANGGDDKVKSREKDRSRSSKHRSDEKDLGNDDERSRSKRSRSGDESRDRERSKERSSSRHRSQSRDGERDRHKSSREHRDRDRGRDRDREEINGKERDRDRDRRERDRDGEKERERDKKEIERSRRSRSRSERRRSDQDEKDRERSRDRELREKDRELREKDRELREKEREREREPKERDRESRRYKERKEEAVEPEVDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSTTAVTSGGLTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPHDESGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQTGTQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSIAGSLGTPTLPTAPILGATPVVSPAVAPLLSGSVPAIPGLPVPGLQLPATAIPTMDTIGVPSDCLFLKNMFDPKTETEPDFDLDIKEDVQEECSRFGNVKHIYVDKNSAGFVYMRFENMQAAINAQHALHGRWFAGKLITATFMVPQTYEAKFPDSR >Potri.004G177000.3.v4.1 pep chromosome:Pop_tri_v4:4:19176348:19184792:-1 gene:Potri.004G177000.v4.1 transcript:Potri.004G177000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177000.v4.1 MEFDEYDYLEKTVENLEPPKAKETANGGDDKVKSREKDRSRSSKHRSDEKDLGNDDERSRSKRSRSGDESRDRERSKERSSSRHRSQSRDGERDRHKSSREHRDRDRGRDRDREEINGKERDRDRDRRERDRDGEKERERDKKEIERSRRSRSRSERRRSDQDEKDRERSRDRELREKDRELREKDRELREKEREREREPKERDRESRRYKERKEEAVEPEVDPERDQRTVFAYQISLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQLLLGQPVMVKPSEAEKNLVQSTTAVTSGGLTGPYSGGARRLYVGNLHFNITEDQLRQVFEPFGAVELVQLPHDESGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQTGTQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSIAGSLGTPTLPTAPILGATPVVSPAVAPLLSGSVPAIPGLPVPGLQLPATAIPTMDTIGVPSDCLFLKNMFDPKTETEPDFDLDIKEDVQEECSRFGNVKHIYVDKNSAGFVYMRFENMQAAINAQHALHGRWFAGKLITATFMVPQTYEAKFPDSR >Potri.003G010800.1.v4.1 pep chromosome:Pop_tri_v4:3:1348744:1349664:1 gene:Potri.003G010800.v4.1 transcript:Potri.003G010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010800.v4.1 MEKSFTKKLNDIDIERRLLLSENCIKDFPRGHEAYLKFKDEDGQVWTFRCRVPPGGGSKPALSGDWFLFVRSKHLKVGDVIVIALDREKDQAAGEQFTIKVKKTTSTTRN >Potri.018G011400.2.v4.1 pep chromosome:Pop_tri_v4:18:714937:720715:1 gene:Potri.018G011400.v4.1 transcript:Potri.018G011400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011400.v4.1 MVSEMENEQMEDMDIEALPSMWPEDIDSSKPYVEKPVGDQDMLEEVTIVEEPTIVDFHHLVELTNYTDRGSSQLTHLVQHWEYKHANAVRLLREELDILSKQREEVELKKLEILEDFRFEEERYSGDKRPISILDEIIDLYQDIPRRKRDIIVQSKKVNIDAEFDTVAYWKQRAKDLEKLLEASVHREQLLMEKLQESLQNLEKQSSPVEELTQILKRADNFLHFVLQNAPVVIGHQDKDLRYRFIYNQFPRLQEEEILGKTDVEIFSGSGVKESQDFKKEVLDKGLPAKREITFETELFGSKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAQLREQIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDREQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGRVADDVPIEVIGDVLRIRQILTNLITNAIKFTHEGKVGINLYVVSDPCYGKAEGNHQKSSGDQSTTIEIKEDKPKLSSQNCSDRKGFHSKKYSEGPYPDHLPSDEPQTPVKNGNTTDGDEGEEPQVPGTTVWLCCDVYDTGIGIPENALPTLFRKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSKVKSGSTFTFVLPYKVSSTCDSSDDPDDLSEMADHEAPLEDETAGFFQFQPRTLGSLFSSNGSTRTQKLLPHSIGFTKSPKINGISEDSCSFPSGNVRLKETTCVEDACSMVEVAETLSEPESSFSHSPERDYENVVCRSQQSQDDTNCKIPNGTTNCNNHTESSREVSLRANTAEPPGSCQEEEKSVINSQCTSSSIPQKPESKPKPKILLVEDNKINVMVTKSMMKQLGHTMDVVNNGVEAVRAVQSCCYDLVLMDVCMPVMNGLQATQLIRSFEETGNWDAAAKAGIEPSASLQDSQSSIHYDKRMPIIAMTANALSESAEECYANGMDSFVSKPVTFQKLKECLEQYLP >Potri.018G011400.3.v4.1 pep chromosome:Pop_tri_v4:18:714925:720687:1 gene:Potri.018G011400.v4.1 transcript:Potri.018G011400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011400.v4.1 MVSEMENEQMEDMDIEALPSMWPEDIDSSKPYVEKPVGDQDMLEEVTIVEEPTIVDFHHLVELTNYTDRGSSQLTHLVQHWEYKHANAVRLLREELDILSKQREEVELKKLEILEDFRFEEERYSGDKRPISILDEIIDLYQDIPRRKRDIIVQSKKVNIDAEFDTVAYWKQRAKDLEKLLEASVHREQLLMEKLQESLQNLEKQSSPVEELTQILKRADNFLHFVLQNAPVVIGHQDKDLRYRFIYNQFPRLQEEEILGKTDVEIFSGSGVKESQDFKKEVLDKGLPAKREITFETELFGSKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAQLREQIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDREQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGRVADDVPIEVIGDVLRIRQILTNLITNAIKFTHEGKVGINLYVVSDPCYGKAEGNHQKSSGDQSTTIEIKEDKPKLSSQNCSDRKGFHSKKYSEGPYPDHLPSDEPQTPVKNGNTTDGDEGEEPQVPGTTVWLCCDVYDTGIGIPENALPTLFRKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSKVKSGSTFTFVLPYKVSSTCDSSDDPDDLSEMADHEAPLEDETAGFFQFQPRTLGSLFSSNGSTRTQKLLPHSIGFTKSPKINGISEDSCSFPSGNVRLKETTCVEDACSMVEVAETLSEPESSFSHSPERDYENVVCRSQQSQDDTNCKIPNGTTNCNNHTESSREVSLRANTAEPPGSCQEEEKSVINSQCTSSSIPQKPESKPKPKILLVEDNKINVMVTKSMMKQLGHTMDVVNNGVEAVRAVQSCCYDLVLMDVCMPVMNGLQATQLIRSFEETGNWDAAAKAGIEPSASLQDSQSSIHYDKRMPIIAMTANALSESAEECYANGMDSFVSKPVTFQKLKECLEQYLP >Potri.018G011400.4.v4.1 pep chromosome:Pop_tri_v4:18:714875:720652:1 gene:Potri.018G011400.v4.1 transcript:Potri.018G011400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011400.v4.1 MVSEMENEQMEDMDIEALPSMWPEDIDSSKPYVEKPVGDQDMLEEVTIVEEPTIVDFHHLVELTNYTDRGSSQLTHLVQHWEYKHANAVRLLREELDILSKQREEVELKKLEILEDFRFEEERYSGDKRPISILDEIIDLYQDIPRRKRDIIVQSKKVNIDAEFDTVAYWKQRAKDLEKLLEASVHREQLLMEKLQESLQNLEKQSSPVEELTQILKRADNFLHFVLQNAPVVIGHQDKDLRYRFIYNQFPRLQEEEILGKTDVEIFSGSGVKESQDFKKEVLDKGLPAKREITFETELFGSKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMAQLREQIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDREQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGRVADDVPIEVIGDVLRIRQILTNLITNAIKFTHEGKVGINLYVVSDPCYGKAEGNHQKSSGDQSTTIEIKEDKPKLSSQNCSDRKGFHSKKYSEGPYPDHLPSDEPQTPVKNGNTTDGDEGEEPQVPGTTVWLCCDVYDTGIGIPENALPTLFRKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSKVKSGSTFTFVLPYKVSSTCDSSDDPDDLSEMADHEAPLEDETAGFFQFQPRTLGSLFSSNGSTRTQKLLPHSIGFTKSPKINGISEDSCSFPSGNVRLKETTCVEDACSMVEVAETLSEPESSFSHSPERDYENVVCRSQQSQDDTNCKIPNGTTNCNNHTESSREVSLRANTAEPPGSCQEEEKSVINSQCTSSSIPQKPESKPKPKILLVEDNKINVMVTKSMMKQLGHTMDVVNNGVEAVRAVQSCCYDLVLMDVCMPVMNGLQATQLIRSFEETGNWDAAAKAGIEPSASLQDSQSSIHYDKRMPIIAMTANALSESAEECYANGMDSFVSKPVTFQKLKECLEQYLP >Potri.004G048000.1.v4.1 pep chromosome:Pop_tri_v4:4:3867381:3868481:1 gene:Potri.004G048000.v4.1 transcript:Potri.004G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048000.v4.1 MAQIQFRTSRIATPLEHATAEQFYSVFNKPPYRIREICSQIGINIQSATEKSATIQFQTSDGAIKTVEGTVERSADDPSRTVRFLTSEANTVPDSVHELKLEVTDDSNPSAKWMVSNMHCSTPYDYLQLLVSVSKAVDNYFRQN >Potri.010G040500.1.v4.1 pep chromosome:Pop_tri_v4:10:7101484:7102904:-1 gene:Potri.010G040500.v4.1 transcript:Potri.010G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040500.v4.1 MKVKQYSCVLLLYFLTLTTLSSLVASQTCKNSCGQIPIKYPFGTGLGCGDPRFQQYVTCNQEKLTLTTHTGCYPVTNIEYSSQVIHISDPSMSTCACTQTSKGFGLDWDAPFSFHDDTVFTLLDCSTTSSPIYRTNGAYDVDSNTTVIPQCDRTGAPICSFLYSCRAISMLNLPISTCCVYTPVDLGPSFEMDLQKLQCTSYSGFYSFNGQESNPENWKYGIALKYKFNVYNDYPSSCANCERSNGVCGYGGAYNTFVCNCPGGLNTTSDCFFRSPYNHSPRLLPRHTAGNFLIFSLAYFMVRVLF >Potri.004G110600.1.v4.1 pep chromosome:Pop_tri_v4:4:9900825:9901190:-1 gene:Potri.004G110600.v4.1 transcript:Potri.004G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110600.v4.1 MGSCGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEECHKKVTKRCAIEISNSIYTNKTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCASIIALAPVA >Potri.014G170000.1.v4.1 pep chromosome:Pop_tri_v4:14:12423962:12424678:1 gene:Potri.014G170000.v4.1 transcript:Potri.014G170000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170000.v4.1 MLGRLRQVHKLSPFEHGDHAKPANPAAARQPEIASSAMKIIHAGGRIECYYMATPAARILEKYPSHYLAKPEVFRRPWDSVVRREKILIPGQKQPSKELSSVSSASRASNDTSVDMVSRQNIDVPSSSFFSQSDISVSRDTKRSCRSALRKETGVKKHVRFTCFDVKHKAADYSTNSEKKGIKVEDSSLKSSSNVSQSHGRKRRPRNSAAWQPSLTVISES >Potri.005G043750.2.v4.1 pep chromosome:Pop_tri_v4:5:2761128:2774237:-1 gene:Potri.005G043750.v4.1 transcript:Potri.005G043750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043750.v4.1 MSKEKRAADLRAVIKCIEDHKLEPELSPKFLQEQIAYLEMEISNKKAMLLAVGYSSQIPILNENKCSEPKSTSTASPALNTLTAASTAIPPAPTAPITVTSSSPTTASTATPAAATTITSSFSTSASTTTSIPNTSPIPAPTSSVRFAPHSQTTVLPSATISKSQPQHQGGDERPQPQHQGRNKRPRIAFSSEVPLQASSFANPNIVHLLQTPHQQPGHCFMNQGASYLNSSAGHYSLTGYQPINPQMNYNYNNVNSYYHSNTLGAPGYGNATPFQRR >Potri.010G131100.1.v4.1 pep chromosome:Pop_tri_v4:10:14732434:14734470:-1 gene:Potri.010G131100.v4.1 transcript:Potri.010G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131100.v4.1 MATSVSSSLVPLLFFARISGLLAAALVIYWALVFKSSFLPQSTSQEDLVYAVLHPLLMVIGFILISGEAILVHRWLPGSRGFKKSVHLCLQGLALACGIFGIWTKFHGNDGIVANFFSLHSWMGLICISLFGAQWLMGFMSFWHRGEMRTVRIRVLPWHVFLGLYTYGLAVATAETGLLEKITFLQTKKDASKHSSESMVVNSLGLSLALLSGIIILAAVSPKFQRDLTYSESKKMMTI >Potri.019G052800.1.v4.1 pep chromosome:Pop_tri_v4:19:8836915:8840891:1 gene:Potri.019G052800.v4.1 transcript:Potri.019G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052800.v4.1 MIGWADIYKVVVAMVPLYVALMLGYGSVRWWKVFTPEQCGAINRFVCYFTLPFFTFEFTAHVDPFKMNYLFIGADAISKLIIVVVLAVWAKWSGKGSYGWSITSFSLCTLTNSLVLGVPLIKAMYGPTAVDLVVQSSVIQSIIWLTMLLLVLEFRRSGLGVSSSNPDKDLEGNADSTVSSRPAFWCLMKTVGVKLAMNPNSYACIIGLVWAFIAKRWRFEMPSIMEGSILIMSKTGTGTAMFSMGIFMALQEKVIACGASLTVIGMVLRFIAGPAAMAIGSIAVGLRGDVLRVAIIQAAFPQAIISFIFAQEYGLHAEVLSTAVIFGTIVALPVLIAYYAILDFVH >Potri.013G098625.1.v4.1 pep chromosome:Pop_tri_v4:13:10327320:10327820:1 gene:Potri.013G098625.v4.1 transcript:Potri.013G098625.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098625.v4.1 MMNVAARPSPWYRYPISFARLLLQVSLSLEHGHLAMELIKLCRFLRGFIAGLSFQGLDFVIPEARKYGIRLILTLRNNHHDFGGRRS >Potri.001G006951.1.v4.1 pep chromosome:Pop_tri_v4:1:488985:490123:-1 gene:Potri.001G006951.v4.1 transcript:Potri.001G006951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006951.v4.1 MKTKPVLSGGSSFSGHCSSSIFLWFLVCSSIFSVLPLFLFSPFFSLPCPSWLFLLPGSGSPLLSVFPRFFQSLRLAFSGPQFFFFGFSSPVSSFSFPWVFFRLFSCFSQFSLPMVWSVQLETNN >Potri.014G074100.1.v4.1 pep chromosome:Pop_tri_v4:14:4729180:4735376:1 gene:Potri.014G074100.v4.1 transcript:Potri.014G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074100.v4.1 MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSASVTKTLERYQRCCYTPQENSIERETQSWYLEATKLKAKYESLQRTQRHLLGEDLGPLNVKELQNLEKQLEGALALARQRKQVLTEQMEDLRKKERHLGDLNRHLKLKLEAEGQNLKAIQDYWNSGAADGSSNFHLHRAQSSQMDCDPGPVLQIGYHHYVPAEGSSVSASKSMPDETNFFQGWIL >Potri.014G074100.2.v4.1 pep chromosome:Pop_tri_v4:14:4729180:4735376:1 gene:Potri.014G074100.v4.1 transcript:Potri.014G074100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074100.v4.1 MGRGRVELKRIENKINRQVTFSKRRNGLLKKAYELSVLCDAEVALIIFSSRGKLYEFGSASVTKTLERYQRCCYTPQENSIERETQSWYLEATKLKAKYESLQRTQRHLLGEDLGPLNVKELQNLEKQLEGALALARQRKQQVLTEQMEDLRKKERHLGDLNRHLKLKLEAEGQNLKAIQDYWNSGAADGSSNFHLHRAQSSQMDCDPGPVLQIGYHHYVPAEGSSVSASKSMPDETNFFQGWIL >Potri.004G131400.1.v4.1 pep chromosome:Pop_tri_v4:4:14884373:14887389:-1 gene:Potri.004G131400.v4.1 transcript:Potri.004G131400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131400.v4.1 MAKKASKSKKCRSSSSSSLASSTSSKRVSKEGKMVLRIRSNEITKRSTRQQRVVRSENERRPKSKTKKNKKFDAKKPKKPPTAFFYFLEDFRKEFQEQNPDVKSMREVGKACGEKWKTMTYEEKVKYYDIATEKRAEFDRATSEYIKRKESGEDEDPEDASEFDE >Potri.005G119800.1.v4.1 pep chromosome:Pop_tri_v4:5:8801169:8805468:-1 gene:Potri.005G119800.v4.1 transcript:Potri.005G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119800.v4.1 MVDAVVTVFLERLLNTLVEEGRVVNEFRDQFEKLQDELQLMQCFLKDADKQKRKNQTLHGIMAKLRELIYESEDILADCQLQSREDNQFSNGCLARIYPPNLHFQNQTGKRLRKINEKITDIKQSIMSYLGPSITNDMGRIDACNDQMPRWSSPVYDHTQVVGLEDDTKKIKDWLYNADVGILKIGIVGMGGLGKTTIAQMVFNDREIEDRFERRMWISVSQSFDEEQIMRSMLRTLGDASVGDDRGELLRKINQYLLGKRYLIVMDDVWSLDGNWWSRISEGLPKGNGSSVIITTRLVEVLTKMEVSKARMHKPDILNSNNSWLLFRKIAFAASGGDCTKPELEKIGKEIVQKCNGLPLAIKAIGGMLLYKSHYHEWKRIADNFRDELGENDDTVMPSLQLSYDELPPYLKSCFLSFSLYPEDCVVTKEQLVHWWIGEGFVPLRSGRPSTEAGEDCFSGLTNRCLVEVVEKTYNGTILTCKIHDMVRELVIKMAENEAFFKVTGRGCRHFGIDTKMDPKQLAANHKLRALLSTTKTGEVNKISSSIANKFSECKYLRVLDLCKSIFEMSLTSLLSHIGFLQHLTYLSLSNTHPLIQLPPSLENLKNLEILNVSYSQNLKVLPPYLTKFKKLRVLDVSHCGSLEYLPKGLGRLSNLEVLLGFRPARASQLDGCRIAELRKLSRLRKLGLHLVWVDEIGDSEVSALVNLQQLQFLTISCFDSHGSGLVDKLDKLYPPPELHELCLQFYPGKLSPAWLNPISLHMLRYLWISSGNLAMMDEAFFGENNSAWKIEGLMLESLSDLEMEWKMVQQVMPSLKIVNASWCPNLVSFPIEDVGFRGGVWAKGENRR >Potri.001G042900.3.v4.1 pep chromosome:Pop_tri_v4:1:3105055:3109480:-1 gene:Potri.001G042900.v4.1 transcript:Potri.001G042900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042900.v4.1 MIINVKESTMVQPAEETPRRGLWNSNVDLVVPRFHTPSVYFYRPTGAPNFFDAKVLKGALSKALVPFYPMAGRLRRDEDGRIEINCNAEGVLFVEAETTSVIDDFADFAPTLELKQLIPTVDYSGGISTYPLLVLQVTYFKCGGVSLGVGMQHHAADGFSGLHFVNTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHVEYQPPPSMKTVLETSKPESTAVSIFKLSRDQLSTLKAKAKEDGNNISYSSYEMLAAHVWRSTCKARELPDDQETKLYIATDGRSRWQPQLPPGYFGNVIFTATPIAVAGEMQSKPTWYAAGKIHDALVRMDNDYLKSALDYLELQPDLSALVRGAHSFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAYEGLSFILPSPTNDGSMSVAISLQAQHMKLFEKFIYDI >Potri.001G042900.2.v4.1 pep chromosome:Pop_tri_v4:1:3105293:3108608:-1 gene:Potri.001G042900.v4.1 transcript:Potri.001G042900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042900.v4.1 MIINVKESTMVQPAEETPRRGLWNSNVDLVVPRFHTPSVYFYRPTGAPNFFDAKVLKGALSKALVPFYPMAGRLRRDEDGRIEINCNAEGVLFVEAETTSVIDDFADFAPTLELKQLIPTVDYSGGISTYPLLVLQVTYFKCGGVSLGVGMQHHAADGFSGLHFVNTWSDMARGLDLTIPPFIDRTLLRARDPPQPVFHHVEYQPPPSMKTVLETSKPESTAVSIFKLSRDQLSTLKAKAKEDGNNISYSSYEMLAAHVWRSTCKARELPDDQETKLYIATDGRSRWQPQLPPGYFGNVIFTATPIAVAGEMQSKPTWYAAGKIHDALVRMDNDYLKSALDYLELQPDLSALVRGAHSFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAYEGLSFILPSPTNDGSMSVAISLQAQHMKLFEKFIYDI >Potri.015G043000.2.v4.1 pep chromosome:Pop_tri_v4:15:4002762:4009108:-1 gene:Potri.015G043000.v4.1 transcript:Potri.015G043000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043000.v4.1 MSEGSTNPVTETETSNLKRENPIIIEELNSESKKQKTEAEVLTSEESKRNDSGTEEKKQKQQQIAAEKEFKIEANAAEDKGSRHSMEDAWVVLLDASLDSPPGKLRCAHFAIYDGHGGRLAAEYAQKNLHKNVVSSGLPRELLDVKAAKKAILDGFRKTDESLLQESTSGGWQDGATAVCVWVLGQKVFIANIGDAKAVLARSSIIDGSQNHPDGVSPLKAIVLTREHKAIYPQERARIQKAGGSVSSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDLTDREHFIILGCDGLWGVFGPSDAVEFVQKLLKEGLTVAAVSRRLVREAVLERRCKDNCTAIIIVFKHG >Potri.015G043000.3.v4.1 pep chromosome:Pop_tri_v4:15:4002700:4009108:-1 gene:Potri.015G043000.v4.1 transcript:Potri.015G043000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043000.v4.1 MLRRIYIKTLSLLAYHLDVKAAKKAILDGFRKTDESLLQESTSGGWQDGATAVCVWVLGQKVFIANIGDAKAVLARSSIIDGSQNHPDGVSPLKAIVLTREHKAIYPQERARIQKAGGSVSSNGRLQGRLEVSRAFGDRQFKKVGVVATPDIHSFDLTDREHFIILGCDGLWGVFGPSDAVEFVQKLLKEGLTVAAVSRRLVREAVLERRCKDNCTAIIIVFKHG >Potri.004G001200.4.v4.1 pep chromosome:Pop_tri_v4:4:96473:102494:1 gene:Potri.004G001200.v4.1 transcript:Potri.004G001200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001200.v4.1 MHKDNNNTGAPSTPIARLRHRKRSSEAVGDASKENGGRLLVNDQNKYKSMWIRAQSTIWMIGSFAFVVYMGHLYITAMVVVIQIYMAKELFNLLRKANEERQLPGFRLLNWHFFFTAMLFVYGRILNQRLYNTVTSGKFLYQLVISLIKYHMAICYSLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPATLIVINDIFAYICGFFFGKTPLIKLSPKKTWEGFIGASITTMISAFVLANIMGRFQWQTCPRKDLSTGWLQCDPGPLFKPEYFTLPGWIPQWFPWKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQSVSVDMLMEQILRSLPYEEQYTLYTRLGELIQGRPT >Potri.004G001200.1.v4.1 pep chromosome:Pop_tri_v4:4:96557:102493:1 gene:Potri.004G001200.v4.1 transcript:Potri.004G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001200.v4.1 MHKDNNNTGAPSTPIARLRHRKRSSEAVGDASKENGGRLLVNDQNKYKSMWIRAQSTIWMIGSFAFVVYMGHLYITAMVVVIQIYMAKELFNLLRKANEERQLPGFRLLNWHFFFTAMLFVYGRILNQRLYNTVTSGKFLYQLVISLIKYHMAICYSLYIAGFMWFILTLKKKMYKYQFGQYAWTHMILIVVFTQSSFTVANIFEGIFWFLLPATLIVINDIFAYICGFFFGKTPLIKLSPKKTWEGFIGASITTMISAFVLANIMGRFQWQTCPRKDLSTGWLQCDPGPLFKPEYFTLPGWIPQWFPWKEISILPVQWHALCLGLFASIIAPFGGFFASGFKRAFKVKDFGDSIPGHGGITDRMDCQMVMAVFAYIYHQSFVVPQSVSVDMLMEQILRSLPYEEQYTLYTRLGELIQGRPT >Potri.005G145000.1.v4.1 pep chromosome:Pop_tri_v4:5:11793683:11795586:-1 gene:Potri.005G145000.v4.1 transcript:Potri.005G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145000.v4.1 MGVVEEAHNAKILGSGQQVIVLAHGFGTDQSVWKHLVPHLVDEYTVILYDNMGAGTTNPDYFDFSRYSTLEGFAYDLLAILEELHVESCIFVGHSVSGMVGVIASISRPDLFSKIVMLSASPRYLNDVDYYGGFEQEDLDQLFEAMQNNYKAWCSGFAPLAVGGDMDSIAVQEFSRTLFNMRPDIALSVAQTIFHSDMRAILHMVTVPCHILQSMKDLAVPVVASEHLHQNLGGESIVEVMSSDGHLPQLSSPDIVIPVLLKHIRFNIAA >Potri.016G061300.1.v4.1 pep chromosome:Pop_tri_v4:16:4248693:4249162:1 gene:Potri.016G061300.v4.1 transcript:Potri.016G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061300.v4.1 MRISYALFSLLLLLVSAKFSQPRAIIHEVNAVRIPEHTCHKLLDMNSCDSQKCNQECSKEPLGVGECRNTFCSCTYYCKQPPM >Potri.015G124100.2.v4.1 pep chromosome:Pop_tri_v4:15:13698332:13699246:-1 gene:Potri.015G124100.v4.1 transcript:Potri.015G124100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124100.v4.1 MSSLKAFRSEIDVLMGIRHRNIVKLYGFCSHVKHSFLVYEYVERGSLRKVLNDEKLAAKMDWEKRINLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEKCDVYSFGVLTLEVMMGKHPGDLISSLMFSASTSSSSPTGHNTLLKDVLDQRLPPPENELADGVAHVAKLAFACLQTDPHYRPTMRKVSTELTTRWPPLPKLFSTIELEDELVHRNVNG >Potri.011G098000.1.v4.1 pep chromosome:Pop_tri_v4:11:12644823:12648699:-1 gene:Potri.011G098000.v4.1 transcript:Potri.011G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098000.v4.1 MLRITRTQQPLQSLTHQFLQRCSVSGTSKGKGKDGVAKKRSKVTTNKNKKSGSQDPSRSFSRQDSERNRLYDQCINAPAPVRYLKPKDRAREAEREKMGLLSKDRIREKEILKKGGRQAMGVPDEPMIIGTPGLDLISLGLVDVDKIPKYELTEEDGMKLAKEYSRVLMRKHRARQAALTNCLKCKNEAIEALPENLKKAALIPDLTPFPASSFMATLTPPIEGYSEKINEAAKKSAGMQKIR >Potri.001G350500.3.v4.1 pep chromosome:Pop_tri_v4:1:36280164:36283876:1 gene:Potri.001G350500.v4.1 transcript:Potri.001G350500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350500.v4.1 MTSTVSTFSALHSVCTPNATRTPSPPFARRITTRFHGFPISFKHLALKKANDFYSHGLRRLRSLEEETQIPEEEQQQEDVPEQSEQQTVSVPVSPSDTLTMYFQAEGTMNETAIPKVTNALEGTEGVTDLKVRVLEGIASVELTKQTTVQATGVASSLVELVQSSGFKLQTLNLSFQDVEDALV >Potri.012G039100.1.v4.1 pep chromosome:Pop_tri_v4:12:3486247:3487017:-1 gene:Potri.012G039100.v4.1 transcript:Potri.012G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039100.v4.1 MDKDMLGGMEGLSKEDQTRMLSMIENLQLRDSLKMYNSLVERCFNDCVDSFTRKSLQKQEETCVMRCAEKFMKHSMRVGMRFAELNQGAATPDQN >Potri.002G197200.1.v4.1 pep chromosome:Pop_tri_v4:2:15973768:15979649:1 gene:Potri.002G197200.v4.1 transcript:Potri.002G197200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G197200.v4.1 MPASLVFILLVLFLGVSLQTSEALDPFACDPEDGTSRNFPFCQVKLPIQSRVSDLIGRMTLQEKVGLLVNDAAAVPRLGIKGYEWWSEALHGVSNVGPGTQFGGAFPGATSFPQVITTAASFNATLWEAIGRVVSDEARAMFNGGVAGLTYWSPNVNIFRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNDGDRLKVAACCKHFTAYDLDNWNGVDRFHFNAQVSKQDMEDTFDVPFRMCVKEGKVASVMCSYNQVNGIPTCADPKLLKKTVRGQWKLDGYIVSDCDSVGVYYSQQHYTTTPEEAAADAIKAGLDLDCGPFLGQHTEDAVRKGLLTEAEINNALLNTLTVQMRLGMFDGEPSSKPYGNLGPTDVCTPAHQELALEAARQGIVLLKNHGPPLPLSTRHHQSVAIIGPNSNVTVTMIGNYAGVACGYTTPLQGIGRYAKTIYQQGCADVACVSDQQFVAAMDAARQADATVLVMGLDQSIEAESRDRTELLLPGRQQELISKVAAASKGPTILVLMSGGPIDVSFAENDPKIGGIVWAGYPGQAGGAAISDVLFGTTNPGGKLPMTWYPQDYVTNLPMTNMAMRPSKSNGYPGRTYRFYKGKVVYPFGHGISYTNFVHTIASAPTMVSVPLDGHRQASRNATISGKAIRVTHARCNRLSFGVQVDVKNTGSMDGTHTLLVYSKPPAGHWAPLKQLVAFEKVHVAAGTQQRVGINVHVCKFLSVVDRSGIRRIPMGAHSLHIGDVKHSVSLQASILGVIKS >Potri.010G023500.1.v4.1 pep chromosome:Pop_tri_v4:10:3426964:3434510:-1 gene:Potri.010G023500.v4.1 transcript:Potri.010G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023500.v4.1 MESVREAGGGVTGAVLMRFVWTHGGRNVFLSGSFNRWGELIPMSPVEGCPNVFQAIYGITHGNHQYKFLVDGEWRHDELQPYTTTEYGILNTIQFNMEANFNPEMIPGSSMELDNEAFTRLVSVSDGTLTGGVLSISEADLQVSRHRISVFLTTHTAYELLPQSGKVVALDVDLPVKQAFHILFEQGIPMAPLWDFSRGQFVGVLSALDFILILRELGNNGSDFTEEELDTHTISAWKEGKSYLNRQIDGHVRALPRHLIHAGPYDNLKEVALRILQNEVATVPIIHSSSEDGSFPQLLHLASLSGILKCICRYFRHCSGTVPMLQLPIGAIPMGSWVPSIGEPSGRPLAMLRPSASLSSALNLLIQAQVSSIPIVDENDSLIDIYCRSDITALAKDKIYTHINLNEMTINQALQLGQDVYSSYDLRSQRCQMCLRSDTLHKVMERLANPGVRRLVIVEAGSNRVEGIVTLSDIFRFLLG >Potri.005G146400.5.v4.1 pep chromosome:Pop_tri_v4:5:12159486:12164572:1 gene:Potri.005G146400.v4.1 transcript:Potri.005G146400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146400.v4.1 MKFMKLGSKPDSFQADGDNIRYVATELATDIVIDIGGVKFSLHKFPLLSKSEHLQKLVASTSDDGDEILIQEIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYESVEKGNLVYKIDIFLNSSIFRSWKDSIIILQTTKSLLPWAEELNLVSHCLDSIASKACMDTTKVEWTYTYNRKKLPSENGKDPLWNGVRRPQVVPKDWWVEDLCELQIDLYKRVITTIKTKGRVSSDLIGEALIAYALRRLPGFSKGIVQSGDITKYRSLAETIVRLLPTEKGSVPCGFMLRLLRAAILLECEETERNELMRRISQQLDEVTVADLLIQSSTRETTMYDVDIVQVLVEKFVAHEQNAKNALLVDSYFQENRSPRFASDASKVLVAKLVEGYLAEIARDPNLSLSKFVNLANTVSSFGRSSHDGLYRAVDMYIKEHPGISKSERKRICRLMDCRKLSADACMHAVQNERLPLRVVVQVLFFEQIRQATLSVDNSTQELPGSIRALLPGGSHGSSRSTTNTEEDWDAVPTAEDVKALKGELAALRLGGSGSDRNLNDGAKNDAEKVAAGKLKGLVMSKIFSKLWSNKERNGEISSSDTSGSATAEETKSTPSRSRRHSVSLSEHP >Potri.005G146400.4.v4.1 pep chromosome:Pop_tri_v4:5:12159324:12164803:1 gene:Potri.005G146400.v4.1 transcript:Potri.005G146400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146400.v4.1 MKFMKLGSKPDSFQADGDNIRYVATELATDIVIDIGGVKFSLHKFPLLSKSEHLQKLVASTSDDGDEILIQEIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYESVEKGNLVYKIDIFLNSSIFRSWKDSIIILQTTKSLLPWAEELNLVSHCLDSIASKACMDTTKVEWTYTYNRKKLPSENGKDPLWNGVRRPQVVPKDWWVEDLCELQIDLYKRVITTIKTKGRVSSDLIGEALIAYALRRLPGFSKGIVQSGDITKYRSLAETIVRLLPTEKGSVPCGFMLRLLRAAILLECEETERNELMRRISQQLDEVTVADLLIQSSTRETTMYDVDIVQVLVEKFVAHEQNAKNALLVDSYFQENRSPRFASDASKVLVAKLVEGYLAEIARDPNLSLSKFVNLANTVSSFGRSSHDGLYRAVDMYIKEHPGISKSERKRICRLMDCRKLSADACMHAVQNERLPLRVVVQVLFFEQIRQATLSVDNSTQELPGSIRALLPGGSHGSSRSTTNTEEDWDAVPTAEDVKALKGELAALRLGGSGSDRNLNDGAKNDAEKVAAGKLKGLVMSKIFSKLWSNKERNGEISSSDTSGSATAEETKSTPSRSRRHSVSLSEHP >Potri.005G146400.2.v4.1 pep chromosome:Pop_tri_v4:5:12159480:12164506:1 gene:Potri.005G146400.v4.1 transcript:Potri.005G146400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146400.v4.1 MKFMKLGSKPDSFQADGDNIRYVATELATDIVIDIGGVKFSLHKFPLLSKSEHLQKLVASTSDDGDEILIQEIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYESVEKGNLVYKIDIFLNSSIFRSWKDSIIILQTTKSLLPWAEELNLVSHCLDSIASKACMDTTKVEWTYTYNRKKLPSENGKDPLWNGVRRPQVVPKDWWVEDLCELQIDLYKRVITTIKTKGRVSSDLIGEALIAYALRRLPGFSKGIVQSGDITKYRSLAETIVRLLPTEKGSVPCGFMLRLLRAAILLECEETERNELMRRISQQLDEVTVADLLIQSSTRETTIYFQENRSPRFASDASKVLVAKLVEGYLAEIARDPNLSLSKFVNLANTVSSFGRSSHDGLYRAVDMYIKEHPGISKSERKRICRLMDCRKLSADACMHAVQNERLPLRVVVQVLFFEQIRQATLSVDNSTQELPGSIRALLPGGSHGSSRSTTNTEEDWDAVPTAEDVKALKGELAALRLGGSGSDRNLNDGAKNDAEKVAAGKLKGLVMSKIFSKLWSNKERNGEISSSDTSGSATAEETKSTPSRSRRHSVSLSEHP >Potri.005G146400.3.v4.1 pep chromosome:Pop_tri_v4:5:12158912:12164508:1 gene:Potri.005G146400.v4.1 transcript:Potri.005G146400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146400.v4.1 MKFMKLGSKPDSFQADGDNIRYVATELATDIVIDIGGVKFSLHKFPLLSKSEHLQKLVASTSDDGDEILIQEIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYESVEKGNLVYKIDIFLNSSIFRSWKDSIIILQTTKSLLPWAEELNLVSHCLDSIASKACMDTTKVEWTYTYNRKKLPSENGKDPLWNGVRRPQVVPKDWWVEDLCELQIDLYKRVITTIKTKGRVSSDLIGEALIAYALRRLPGFSKGIVQSGDITKYRSLAETIVRLLPTEKGSVPCGFMLRLLRAAILLECEETERNELMRRISQQLDEVTVADLLIQSSTRETTMYDVDIVQVLVEKFVAHEQNAKNALLVDSYFQENRSPRFASDASKVLVAKLVEGYLAEIARDPNLSLSKFVNLANTVSSFGRSSHDGLYRAVDMYIKEHPGISKSERKRICRLMDCRKLSADACMHAVQNERLPLRVVVQVLFFEQIRQATLSVDNSTQELPGSIRALLPGGSHGSSRSTTNTEEDWDAVPTAEDVKALKGELAALRLGGSGSDRNLNDGAKNDAEKVAAGKLKGLVMSKIFSKLWSNKERNGEISSSDTSGSATAEETKSTPSRSRRHSVSLSEHP >Potri.005G146400.6.v4.1 pep chromosome:Pop_tri_v4:5:12159350:12164508:1 gene:Potri.005G146400.v4.1 transcript:Potri.005G146400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146400.v4.1 MKFMKLGSKPDSFQADGDNIRYVATELATDIVIDIGGVKFSLHKFPLLSKSEHLQKLVASTSDDGDEILIQEIPGGPAAFEICAKFCYGMTVTLNAYNVVAARCAAEYLEMYESVEKGNLVYKIDIFLNSSIFRSWKDSIIILQTTKSLLPWAEELNLVSHCLDSIASKACMDTTKVEWTYTYNRKKLPSENGKDPLWNGVRRPQVVPKDWWVEDLCELQIDLYKRVITTIKTKGRVSSDLIGEALIAYALRRLPGFSKGIVQSGDITKYRSLAETIVRLLPTEKGSVPCGFMLRLLRAAILLECEETERNELMRRISQQLDEVTVADLLIQSSTRETTMYDVDIVQVLVEKFVAHEQNAKNALLVDSYFQENRSPRFASDASKVLVAKLVEGYLAEIARDPNLSLSKFVNLANTVSSFGRSSHDGLYRAVDMYIKEHPGISKSERKRICRLMDCRKLSADACMHAVQNERLPLRVVVQVLFFEQIRQATLSVDNSTQELPGSIRALLPGGSHGSSRSTTNTEEDWDAVPTAEDVKALKGELAALRLGGSGSDRNLNDGAKNDAEKVAAGKLKGLVMSKIFSKLWSNKERNGEISSSDTSGSATAEETKSTPSRSRRHSVSLSEHP >Potri.006G017200.1.v4.1 pep chromosome:Pop_tri_v4:6:1064000:1066826:-1 gene:Potri.006G017200.v4.1 transcript:Potri.006G017200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017200.v4.1 MASRYNSYDSRSSTTSYFSDPSSSAELSYKHSTSRAIVKSKPADLSKIKVKNNGNDHNLSKMVKKFMEKKSVSSASSKGSSSKAVGLVIPSDLIAEDLKKTARKGTGFMGLQKKLFGTENKKEKKEAKALTEAKVNSNTRTLAMVLKSERELLSANKEQELEIDKLKLMLEDKNREVEKLKDLCLKQREEVRSLKSSILFPDAMNSQLQELLKQRGSELKQAKQLIPTLQRQVTSLTGQLQCLAEDLAEVKADKYARAHIQYHGSSPGTPTYDHEETANSLEFSSCDGATPGSPDDTFLKDFNPCLTPYCAKKKSKEFEAMGYESSLDGSLSGSNTQMSNELSFSSRVRKLSKSSDCYQNSNTGSTMTRATRRSDESKGAYRKQMQQQRHF >Potri.008G177500.3.v4.1 pep chromosome:Pop_tri_v4:8:12263388:12270182:-1 gene:Potri.008G177500.v4.1 transcript:Potri.008G177500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177500.v4.1 MVLGLRSKNRKGTSVQVDYTIHVQEIKPWPPSQSLKSVQSLLLQWENGDQSSGSFTSNVGDGKVEFIESFRLSATLCKEVSRKGTARDSFLKNYLEFNFYESRKDKAMKGQLLGSAVINLADYGIIMDAVTINAPINFKKSSRSTVPAVLYVNIQPFDRDKSTLSKEVSLDKDGSETVSEVANEGNDNEIEIASFTDDDDVSSHSSLTVSSSALESIGGSPGQSHKKGSRTANSGTRRIDEEPALPSGVAPSNPDVNSASQGFKHLNGAASPSLPTDMPANLLNPVNNLAETNMLSDDCSQVKDSNCVSLEESRSKQGADRKAWRHETSGPENPTTNNLNGDLMDGKEKKELDDKERGSVILEVEKPSLEEKLPGQLPEDASKKQAKLRSNTLALNRTAIGVQGTRRDKMKHLKSVQLQFHSAEGDDPFINRKLIEKPKKINVSENVNKGAKGYEHSEKEKSRKGFSDNEGESNSEVEILEEELSGAAAEDDLAEQGNSTKKFQLMEKEKKIDLPENLNKVDMSYTPSKREEQTESNFSGNKVELQLKVEMLEEELMEAATVEVGLYSVVAEHGSSINKVLAPARRLSRFYLHACKARSRVKRANSARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVTQDVEKLQLASVPSIINNGGPKGRHESSPGEVEKTDRTESSDEWAEPQPCIAALKKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSHSRKTNARRHGLGDQEQDNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVGRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDNDSPEEKDELDSSRRECETSFKAFQLLNALSDLMMLPFEMLGDRSTRKEVCPTFGVPIINRVLDNFVPDEFNPDPVPETILEALDSEDLADSGEESITNFPCIAAPTIYSPPPAASLTNIIGEVGGQTLQRSRSAMLRKSYASDDELDELDSPMTSIIDSSKVSPTSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.008G177500.2.v4.1 pep chromosome:Pop_tri_v4:8:12263373:12269861:-1 gene:Potri.008G177500.v4.1 transcript:Potri.008G177500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177500.v4.1 MVLGLRSKNRKGTSVQVDYTIHVQEIKPWPPSQSLKSVQSLLLQWENGDQSSGSFTSNVGDGKVEFIESFRLSATLCKEVSRKGTARDSFLKNYLEFNFYESRKDKAMKGQLLGSAVINLADYGIIMDAVTINAPINFKKSSRSTVPAVLYVNIQPFDRDKSTLSKEVSLDKDGSETVSEVANEGNDNEIEIASFTDDDDVSSHSSLTVSSSALESIGGSPGQSHKKGSRTANSGTRRIDEEPALPSGVAPSNPDVNSASQGFKHLNGAASPSLPTDMPANLLNPVNNLAETNMLSDDCSQVKDSNCVSLEESRSKQGADRKAWRHETSGPENPTTNNLNGDLMDGKEKKELDDKERGSVILEVEKPSLEEKLPGQLPEDASKKQAKLRSNTLALNRTAIGVQGTRRDKMKHLKSVQLQFHSAEGDDPFINRKLIEKPKKINVSENVNKGAKGYEHSEKEKSRKGFSDNEGESNSEVEILEEELSGAAAEDDLAEQGNSTKKFQLMEKEKKIDLPENLNKVDMSYTPSKREEQTESNFSGNKVELQLKVEMLEEELMEAATVEVGLYSVVAEHGSSINKVLAPARRLSRFYLHACKARSRVKRANSARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVTQDVEKLQLASVPSIINNGGPKGRHESSPGEVEKTDRTESSDEWAEPQPCIAALKKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSHSRKTNARRHGLGDQEQDNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVGRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDNDSPEEKDELDSSRRECETSFKAFQLLNALSDLMMLPFEMLGDRSTRKEVCPTFGVPIINRVLDNFVPDEFNPDPVPETILEALDSEDLADSGEESITNFPCIAAPTIYSPPPAASLTNIIGEVGGQTLQRSRSAMLRKSYASDDELDELDSPMTSIIDSSKVSPTSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.008G177500.1.v4.1 pep chromosome:Pop_tri_v4:8:12263258:12269513:-1 gene:Potri.008G177500.v4.1 transcript:Potri.008G177500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177500.v4.1 MVLGLRSKNRKGTSVQVDYTIHVQEIKPWPPSQSLKSVQSLLLQWENGDQSSGSFTSNVGDGKVEFIESFRLSATLCKEVSRKGTARDSFLKNYLEFNFYESRKDKAMKGQLLGSAVINLADYGIIMDAVTINAPINFKKSSRSTVPAVLYVNIQPFDRDKSTLSKEVSLDKDGSETVSEVANEGNDNEIEIASFTDDDDVSSHSSLTVSSSALESIGGSPGQSHKKGSRTANSGTRRIDEEPALPSGVAPSNPDVNSASQGFKHLNGAASPSLPTDMPANLLNPVNNLAETNMLSDDCSQVKDSNCVSLEESRSKQGADRKAWRHETSGPENPTTNNLNGDLMDGKEKKELDDKERGSVILEVEKPSLEEKLPGQLPEDASKKQAKLRSNTLALNRTAIGVQGTRRDKMKHLKSVQLQFHSAEGDDPFINRKLIEKPKKINVSENVNKGAKGYEHSEKEKSRKGFSDNEGESNSEVEILEEELSGAAAEDDLAEQGNSTKKFQLMEKEKKIDLPENLNKVDMSYTPSKREEQTESNFSGNKVELQLKVEMLEEELMEAATVEVGLYSVVAEHGSSINKVLAPARRLSRFYLHACKARSRVKRANSARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVTQDVEKLQLASVPSIINNGGPKGRHESSPGEVEKTDRTESSDEWAEPQPCIAALKKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSHSRKTNARRHGLGDQEQDNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVGRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDNDSPEEKDELDSSRRECETSFKAFQLLNALSDLMMLPFEMLGDRSTRKEVCPTFGVPIINRVLDNFVPDEFNPDPVPETILEALDSEDLADSGEESITNFPCIAAPTIYSPPPAASLTNIIGEVGGQTLQRSRSAMLRKSYASDDELDELDSPMTSIIDSSKVSPTSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.008G177500.4.v4.1 pep chromosome:Pop_tri_v4:8:12263368:12270181:-1 gene:Potri.008G177500.v4.1 transcript:Potri.008G177500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177500.v4.1 MVLGLRSKNRKGTSVQVDYTIHVQEIKPWPPSQSLKSVQSLLLQWENGDQSSGSFTSNVGDGKVEFIESFRLSATLCKEVSRKGTARDSFLKNYLEFNFYESRKDKAMKGQLLGSAVINLADYGIIMDAVTINAPINFKKSSRSTVPAVLYVNIQPFDRDKSTLSKEVSLDKDGSETVSEVANEGNDNEIEIASFTDDDDVSSHSSLTVSSSALESIGGSPGQSHKKGSRTANSGTRRIDEEPALPSGVAPSNPDVNSASQGFKHLNGAASPSLPTDMPANLLNPVNNLAETNMLSDDCSQVKDSNCVSLEESRSKQGADRKAWRHETSGPENPTTNNLNGDLMDGKEKKELDDKERGSVILEVEKPSLEEKLPGQLPEDASKKQAKLRSNTLALNRTAIGVQGTRRDKMKHLKSVQLQFHSAEGDDPFINRKLIEKPKKINVSENVNKGAKGYEHSEKEKSRKGFSDNEGESNSEVEILEEELSGAAAEDDLAEQGNSTKKFQLMEKEKKIDLPENLNKVDMSYTPSKREEQTESNFSGNKVELQLKVEMLEEELMEAATVEVGLYSVVAEHGSSINKVLAPARRLSRFYLHACKARSRVKRANSARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVTQDVEKLQLASVPSIINNGGPKGRHESSPGEVEKTDRTESSDEWAEPQPCIAALKKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSHSRKTNARRHGLGDQEQDNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVGRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDNDSPEEKDELDSSRRECETSFKAFQLLNALSDLMMLPFEMLGDRSTRKEVCPTFGVPIINRVLDNFVPDEFNPDPVPETILEALDSEDLADSGEESITNFPCIAAPTIYSPPPAASLTNIIGEVGGQTLQRSRSAMLRKSYASDDELDELDSPMTSIIDSSKVSPTSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.012G100450.1.v4.1 pep chromosome:Pop_tri_v4:12:12350113:12350430:1 gene:Potri.012G100450.v4.1 transcript:Potri.012G100450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100450.v4.1 MLRAPSGKCLMDLNQSSCHVVSIYCGESGGCWDGSIMSNLPNYDVGSFNLIEPCQYHTSYDPSNFFLFGAFDGNIFSYLSYFSFNLYQSTSMLYPNLLNPYDKHV >Potri.015G020000.1.v4.1 pep chromosome:Pop_tri_v4:15:1388977:1390911:1 gene:Potri.015G020000.v4.1 transcript:Potri.015G020000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020000.v4.1 MENISGLGKEGDDQMDLPPGFRFHPTDEELISHYLYKKVLDITFSAKAIGDVDLNKSEPWELPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIYRGKFLVGMKKTLVFYKGRAPKGGKTNWVMHEYRLEGKFSVHNLPKTAKNEWVICRVFQKSSAGKKTHISGLVRLGSFSNEFSPSGLPPLMDSSPYNCKIKPVAESAYVPCFSNPINVQRNQQDTIDSFDNHLLAFSTNPLEVFPRIPLLNPFYTPQAVPVSGNLQYPGSVLMQDHSILRALIANQGTNMKQQSFKIERDMVGVSQDSTTDMNTEIYSVISNLEVGKRSGDDQDATPSTLVASTDLDSFWNY >Potri.013G085500.1.v4.1 pep chromosome:Pop_tri_v4:13:7809197:7814470:-1 gene:Potri.013G085500.v4.1 transcript:Potri.013G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085500.v4.1 MGSCLSAESRSPRPGTPSSPAFGVRKRKKSKKRPGSRNSSFDFRREEPLHRIPGRLFLNGSSDIASLFTQQGKKGTNQDAMIVWENFGSRTDAVFCGVFDGHGPYGHMVAKRVRDSLPLKLTAYWEMNATSEAVLKEISLNTTGSMNSEDTSFISADEEPRASVDLEDAEKHPENFQTLKESFLKAFKVMDRELRVHANIDCFCSGTTAVTLVKQGQYLVLGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPAEAERIRKCKGRVFALQDEPEVARVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDISYWHLTEKDEFIVLATDGIWDVLSNKEVVDIVASVSSRSSAARALVESAVRAWRYKYPTSKIDDCAVVCLFLESNDLSTASNIKANEQLASVDQADNGRQKEDDLPSLTCLDHAGGNAEEDSTKQDELQLECGIEWSALEGVSRVNTMLNLPRFVPGKEGKKAAGEAKTRK >Potri.010G006600.1.v4.1 pep chromosome:Pop_tri_v4:10:616796:617679:1 gene:Potri.010G006600.v4.1 transcript:Potri.010G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006600.v4.1 MDVSLIADTLTIATQQTLGSANSFLLHRQNCINLADQSSQICVVVVDALAPPPVKSKTSRRTLLRKRRRTRRRSQTDGDSGGFGEEYGFFCGGDGLFGGGGGGDGGGFGGGGRGWNFDKFGGHDWDEPSWWFSSRSSGFAYGFVYEVIYWIALSNCVHFAFKKVVRIVADGIGDTERGKAVPMRLATVC >Potri.004G096300.1.v4.1 pep chromosome:Pop_tri_v4:4:8296692:8298341:1 gene:Potri.004G096300.v4.1 transcript:Potri.004G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096300.v4.1 MASTKPVNVLEVCHVSPSSTSPESSTELYLPLIFSDIFNLKFPPVQGIFFYKLTELTPTFFNLVILPKVKHSLSLTLSHFRPLAGNLTWPPNSIKPVITYNTPDDGIKLTVAESSADFDHLCSEVHDAIESHHYVPNVSISDTIASTLAIQITLFPNKGFCIGHTTNHAVLDGLSVSFFMNAWARICRQLVDEKMEIPSLLPEELTPFFNRTVVQDPEGLDMWYLNFWLGVKLPGSDDNTRSLKPFPFPETPPNLVRTTFELSREDIQQLREMVKSQLDNFGSKEETNQTKPIHLSTYVLVYAYTLVCMLEAKGLNSNDKIKILITVDCRPRLNPPLPKNYIGNCVSSFDVVVEGEDLMKENGVAYVAKRLTEMIKGLENRSVFEGAKERLPYNDWEPDIRQVRAAGTNRFGMYGADFGWGKPSNVEVTTIDRLDAFSIMESKDESGGVEVGLVLKEHEMKLFGSLFASGLRM >Potri.011G106700.2.v4.1 pep chromosome:Pop_tri_v4:11:13528987:13535125:1 gene:Potri.011G106700.v4.1 transcript:Potri.011G106700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106700.v4.1 MEDHRCKSNIIPKNAVAQEDRTLLRKCESEASQPDTANNGVENVSKTCHSKQGKVFFYDTPHSEETGVWIPVSVPPMSESEHQEWSRGLPLNGGCFPDEDLDWNQLLENNKELTMWDVVAEMLVAARGKVSAIASGDVHRCGISWISDHLLEQAWSEMAQTLTEANFGNSWEILEAKPPKWLADSSASACMLCNVRFHPIMCSRHHCRFCGGIFCNDCSKGRSLLPKNFHTRNPQRVCDVCCVRLESVQSYLKDHESRAAQLPTQDLTDLSTLRSWLNFPWGQSMEYEIYKATNTIQGYAKVGSLLPEKSIPDSILKQAKGLAILTIAKVGVMVTYNIGTGLVVSRREDGSWSPPSAISSFGMGWGAQVGGEFTDFIIVLRTLGAVKTFSGNLHFSVGAGLSAAVGIVGRAAEADLRGGDGGLATCYTYSCSKGAFVGCSLEGSILATRTQENARFYGASMNASDILLGSLPGPPAASMLYHALSNLFGKLER >Potri.011G106700.1.v4.1 pep chromosome:Pop_tri_v4:11:13529072:13535490:1 gene:Potri.011G106700.v4.1 transcript:Potri.011G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106700.v4.1 MEGHIQYPKIQLDDYQPQYLSHLISKEMEDHRCKSNIIPKNAVAQEDRTLLRKCESEASQPDTANNGVENVSKTCHSKQGKVFFYDTPHSEETGVWIPVSVPPMSESEHQEWSRGLPLNGGCFPDEDLDWNQLLENNKELTMWDVVAEMLVAARGKVSAIASGDVHRCGISWISDHLLEQAWSEMAQTLTEANFGNSWEILEAKPPKWLADSSASACMLCNVRFHPIMCSRHHCRFCGGIFCNDCSKGRSLLPKNFHTRNPQRVCDVCCVRLESVQSYLKDHESRAAQLPTQDLTDLSTLRSWLNFPWGQSMEYEIYKATNTIQGYAKVGSLLPEKSIPDSILKQAKGLAILTIAKVGVMVTYNIGTGLVVSRREDGSWSPPSAISSFGMGWGAQVGGEFTDFIIVLRTLGAVKTFSGNLHFSVGAGLSAAVGIVGRAAEADLRGGDGGLATCYTYSCSKGAFVGCSLEGSILATRTQENARFYGASMNASDILLGSLPGPPAASMLYHALSNLFGKLER >Potri.011G112200.1.v4.1 pep chromosome:Pop_tri_v4:11:14225830:14230494:1 gene:Potri.011G112200.v4.1 transcript:Potri.011G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112200.v4.1 MATSLLTRSLLLQKITSKTIISSILSRPFTSLSATSSASSTLLRRALRPLSAAANINRSVSRISSRSFSVNPSSSSLNDPSPNWSNRPPKETILLDGCDFEHWLVVMDKPEGDPTRDEIIDSYIKTLAEVVGSEEEARKKIYSVSTRCYFAFGALVSEEVSYKIKELKNVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNVDRSRNFDRRRENMQQRDGAPPPPMANQAMQNPAPNVAGQPQNMGRQGGLPPSPQNSYRVGPGGPPPNNYNMGGPPNIGGPGGPRPNNYAGGQQNNMNRGPQNMPPQNYMPPQNNMPLQNNMPPQNYVPPQNNYIPPQNNMPPQNNMGGWSSDMPGNMQHNFQSGPNDGGYQGGPPNYQNSYPPSRD >Potri.013G075801.1.v4.1 pep chromosome:Pop_tri_v4:13:6357421:6358009:-1 gene:Potri.013G075801.v4.1 transcript:Potri.013G075801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075801.v4.1 MSFFVTLWYLSFVSLCCFPFYFYFSSHAFLLMSIQRLKLAHVLTWFGLKDSVECVCCCCKQPFPDFLADCSLFSAPPPPPPPPPICSIKDSI >Potri.002G206200.1.v4.1 pep chromosome:Pop_tri_v4:2:17136831:17140107:1 gene:Potri.002G206200.v4.1 transcript:Potri.002G206200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G206200.v4.1 MAATKDPQITTLKTIEAALKLIDTKKDNLKKAYDDLQSLSSHLLSSSYSLSWADLDSHFSSVQDNLNGRFLLLQSSLESLDPVAPCHSSVGASEQESPASSNPRCLERVDSEVSRSELKPSTTLTSNTVDPSRSDLMSLCERMDVKGLRKYMKQNASKWGEIRDRLSGAMSVAPDPGSFVLDAMEGFYSSKANSKGDKDTELCRLRRTCLDLLEALAKNKPTLSKEVNERAKKLALEWKRKVSLNGESPLEALGFLHLLVAYNLEKEFDVGELVDYFVIVARFRQAVVLCRAIDLGEKTADLIQKLIDSGKQFLAVKFIFEFGLVDKFQPVPLLKAHLKESKRLTKKVCQDGKNSINQQNEARSREVKTLKSALVLIDEYKLGSEYPRMDLKKRIEMLEKQKAAAASAVDEPSHQPKKQQQAGSKRPRTSATAVQNSNNGSNPVIPPFKQSHLQPASLLLAAGPYGSVGSISPAILYAGPPAGPYGLARAGTGFPGNPRSALAHQYFPESHVPSDHYDRAAAYGGYDLPLKYHPGYYPQ >Potri.007G126400.1.v4.1 pep chromosome:Pop_tri_v4:7:14170085:14174137:1 gene:Potri.007G126400.v4.1 transcript:Potri.007G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126400.v4.1 MLSIRSTFRSQLRLSSVTLSSLCYSSSSAAAIQAEKTIKDGPRNDWTRQEIKEVYDSPLLDLLFHGAQVHRYAHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYNTGLKAQRLMTKETVIEAAKRAKEAGSTRFCMGAAWRDTIGRKTNFNQILDYVKEIRGMGMEVCCTLGMLEKQQAAELKKAGLTAYNHNLDTSREYYPNIITTRSYDERLETLEHVREAGISVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVAVKGTPLQEQKPVEIWEMIRMIGSARIVMPKAMVRLSAGRVSFSMAEQALCFLAGANSIFTGEKLLTTPNNDYDADQLMFKVLGLIPKAPSFSADEEKACACEAERCQEAVSSSSG >Potri.016G120600.1.v4.1 pep chromosome:Pop_tri_v4:16:12545332:12549607:1 gene:Potri.016G120600.v4.1 transcript:Potri.016G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120600.v4.1 MKIPLFTWLFLIPFLTIFFGVNVCLVSGQCRKDQQSLLLQLKNTLVFDQSVSAKLVKWNSTPDCCDWPGITCDEGSGRVISLDLSSERITGGLGDSSGLYRLQFLQSLNLSFNSFSTALPVGFANLTDLISLNLSNAGFTGQIPNDFSKLTKLVSLDLSALSFPGSPALKLEQPNFATLVQNLTHLTELLLDGVNISAHGNDWCKALSSSLPNLKVLSMSNCYLSGPLDASLAKLQSLSIIRLSGNNLSTPVPEFLANYSKLTALQLSSCQLNGIFPQAIFQVPTLEILDLQYNKFLQGSFPEFHQNLSLRTLLLSNTNFSGTLPQSIGELQKLSRIELAGNNFTGPIPNSMANLTQLFYLDLLSNKFTGTLPSFRKSKNLTYVDVSHNQLKGEIPSGHWEGLRSLTYVDLGYNAFNGSIPSSLFAIPSLQKIQLSNNRFGGQIPEFPNVSSSLLDTLDLSSNKLEGPIPSSVFGLAKLNVLELSSNMLNDTLQLHWIQKLPNLTTLGLSYNNLTVKSSGGNSNMSSLPQIKKLRLASCDLGMFPDLRNQSKLFHLDLSDNQITGPVPGWISELILLQYLNLSRNLLVDLERPLSLPGLSILDLHHNQLQGSIPVPPSYITYVDYSSNKFSSFIPPNIGNYFNFTLFFSLSNNHLTGEIPQSICNTEWLQVLDLSNNSLSGAIPSCLIDKIKTLRVLNLRRNNFDGIIPDKFPRSCELKTLDLSGNNLQGQVPKSLANCTMLEVLDLGNNQINDSFPCLLKSISSFRVLVLRNNMFSGHIGCPQIEGTWPRLQIVDLAFNHFIGNLSDICLKTWEGMMEGGNRSLDHIRYDPLQLTNGLYYQDSITVTVKGLELELVKILTVFTSADFSSNNFEGPIPDAIGKFNALYVLNLSHNVLTGQIPSSLGNLSQLESLDLSSNQLSGQIPAQLTSLTFLSVLNLSYNRLVGRIPTGNQFLTFSSDSFEGNQGLCGPPLKLACSNTNESNSTRGSNQRKEFDWQFIVPGLGFGLGSGIVVAPLLFSKKINKCYDDRIDKILLVLLPMLGFRYYARGDWRIEPEETSEEEDNTDAAAAADDDDEVEVEVDNEDYFGGRYCVFCTKLDITIKKVIHDPKCVCYQSPPISSSSSSFSSFSPS >Potri.006G088616.1.v4.1 pep chromosome:Pop_tri_v4:6:6672876:6673732:1 gene:Potri.006G088616.v4.1 transcript:Potri.006G088616.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088616.v4.1 MSGKPSVQLHLESEIVKPCYVIYKLVCCFHFIGTLFLSQIQGNQLSPPVQSFAMTEENQQIKSPQEPPADQAVPPLPRMYGLGSNPAAKTTWPELVGFTAEEAERRIKEEKPGAQIQVVQPDCFVTMDFRQNRVRLHVDSLGKIQRAPRIG >Potri.003G079200.1.v4.1 pep chromosome:Pop_tri_v4:3:10622679:10630975:1 gene:Potri.003G079200.v4.1 transcript:Potri.003G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079200.v4.1 MEGVDILPHERSKKQFDVDAMKIVWAGSRQAFEVSDRMARLVASDPAFQKDNRSRLGRKELFKNTLRKATYAWKRIIELRLTEEEAGRLRFFVDEPAFTDLHWGMFVPAIKGQGTEEQQQKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPDKDEFVIHSPTLTSSKWWPGGLGKVSTHAVVYARLITNGEEHGVHGFIVQLRSLDDHMPLPGITIGDIGMKFGNGAYNTMDNGVLTFDHVRIPRNQMLMRVFQVTREGKCVQSNVPRQLIYGTMVFVRQTIVADASSALSRAVCIATRYSAVRRQFGSQDGVETQVIDYKTQQNRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQANDFSTLPEAHACTAGLKSLTTTATADAIEECRKLCGGHGYLCASGLPELFAVYVPACTYEGDNVVLLLQVARFLVKTVSQLGSGKEPIGTTAYLGRVQDLMQCHCEVQTAEDWLKPSVMLEAFEARSARMCVARAQNLSKFANPEDGFAELSADLVEAAVAHCQLIVVSKFFDKLQQDIPGNGVKQQLQNLCYIYALNLLHKHLGDFLSTGCITPKQASLANDQLRSLYSQVRPNAIALVDAFNYTDHYLGSVLGRYDGNVYPKLYEEAWKDPLNDSVLPDGYNEYIRPMLKQQLHNARL >Potri.008G094800.2.v4.1 pep chromosome:Pop_tri_v4:8:5918312:5925230:1 gene:Potri.008G094800.v4.1 transcript:Potri.008G094800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094800.v4.1 MRSPWFNKPLAIFGPRPPVSWLLLCVVCVLGLIAILGSTSLSAFDSVTPTPVLDIYSNYRRLKEQAAVDYLELRTLSSGAGRQREVGLCGREQENYVPCYNVSANLLTGLQDGEEFDRHCEMSRPREQCLVRPPKDYKIPLRWPAGKDVIWSGNVKITKDQFLSSGSMTKRLMLLEENQFAFHSEDGLIFDGVKDYSHQVAEMIGLGGDSEFVQAGVQTVLDIGCGFGSFGAHLVSLKLMSVCIAAYEATGSQVQMALERGLPAMIGNFISRQLPYPSLSFDMIHCAQCGIVWDKKDGMLLIEVDRVLKPGGYFVLTSPASNPHGSSLSTKKRSTLTPIDEFTEEICWNLIAQQDETFIWQKTVDVHCYKTRKHGAIPICNDGHDSSSYYQPLVSCISGATSNRWIPIQNRSSGPHLSSAELEIHGVQPEDYFEDSQVWRSALRNYWSLLSPIIFSDHPKRPGDEDPTPPFNMVRNVMDMNARYGGLNAAMLEENKLVWVMNVVPVRAPNTLPLILDRGFAGVLHDWCEPFPTYPRTYDLLHANGLLAHLSPERCSMMDLFLEMDRILRPEGWVIFADKLGAIEMAQALAMQIHWEARVIDLDNGSDQRLLVCQKPFVKK >Potri.006G059200.1.v4.1 pep chromosome:Pop_tri_v4:6:4184582:4187447:-1 gene:Potri.006G059200.v4.1 transcript:Potri.006G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059200.v4.1 MAGIATCALTLSSRSNFGTSWLEFDTHHRRTIRGKPKRWRNFGIRAEVNFVNPDEAKKLVTDEGYAVLDVRDKTQYERAHIKSCYHVPLFIQNQDNDFGTIIKRTVHNNFSGLFFGLPFTKLNDKFVDSVQSQLSPQSKLLIVCQEGLRSTAAATKLEAAGFKNVACVTSGLQSVKPGTFDSEGSTELQDAGKAGLITIQGKISAVLGTVLVCAYLFITFFPEQAEKLLQLAPSS >Potri.016G062500.6.v4.1 pep chromosome:Pop_tri_v4:16:4361610:4364634:-1 gene:Potri.016G062500.v4.1 transcript:Potri.016G062500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062500.v4.1 MRGRSYSYSPSPPRGYGRKHRSPSPRGRHGGRGRDLPTSLLVRNLRLDCRPEDLRGPFGRFGPLKDVYLPRDYYTGEPRGFGFVQYLEPADAADAKHHMDGEILFGRELTVVFAEENRKKPAEMRARDRVRRSPSPRYGRSYSRSPVYYSPSSRRRHYSRPVSPRDRRYRDQSYSRSPYGSRSYSRSPIRNRSRSLEYSR >Potri.016G062500.1.v4.1 pep chromosome:Pop_tri_v4:16:4361610:4364634:-1 gene:Potri.016G062500.v4.1 transcript:Potri.016G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062500.v4.1 MRGRSYSYSPSPPRGYGRKHRSPSPRGRHGGRGRDLPTSLLVRNLRLDCRPEDLRGPFGRFGPLKDVYLPRDYYTGEPRGFGFVQYLEPADAADAKHHMDGEILFGRELTVVFAEENRKKPAEMRARDRVSGGRYNDPRRSPSPRYGRSYSRSPVYYSPSSRRRHYSRPVSPRDRRYRDQSYSRSPYGSRSYSRSPIRNRSRSLEYSR >Potri.014G150300.1.v4.1 pep chromosome:Pop_tri_v4:14:10383434:10387528:-1 gene:Potri.014G150300.v4.1 transcript:Potri.014G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150300.v4.1 MSSDEEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKTHSDTTVAMMLVGNKCDLENIRDVSVEEAKCLAEAEGLFFMETSALDSTNVKKAFEIVIREIYNNVSRKVLNSDTYKAELSLNRVTLVNNGNDSKQAQSYFSCCSR >Potri.007G125000.1.v4.1 pep chromosome:Pop_tri_v4:7:14120070:14123412:-1 gene:Potri.007G125000.v4.1 transcript:Potri.007G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125000.v4.1 MSGFLFACYLALLLLLLVFQTSNCNDTNLCAPSCGNHNISYPFSLESDPSYCGKPHHTLHCEKNISTVLYLKSRKYYVQAINYNNLTIRVVDSGVIKNDCSSLPNFTLTYASLDDFMNPYTWFQYKMTERKRIPRYKLLPLSQMMIFISCGNPVNSPLYVDTGTCLHGAKSSNVSPLMHSYVNVAGMKASELMKLCSLERMTLLPAKDYKNISFKEIHSQLAYGFELSWHKSKCGSCASICYIDDSNQTKCAGSSWLEITEVVLLCVFLLIALLQGPKFIFGSPFVIAFLIYKWQRRHLSAYDTVEEFLHTHNNLMPVVRYSYSEIKKMTGGFKEKLGEGGFGCVYKGKLRSGHFAAIKLLGKSNANGQDFINEVATIGRIRHTNVVQLVGFCAEGSKRALVYDFMPNGSLNNFIFSEERSVSLSWEKLHEISLGVAHGIEYLHRGCEIQILHFDIKPHNILLDEHFTPKVSDFGLARLCPPNESLKSLTAAGGTIGYMAPELFYKNIGRTSYKADVYSFGMLLLEMAGRRKNLNVLTENSSQIYWPDWVHEQVSNEKAIEIGDGGTEEEEKIVKKMIIAGLWCIQMNPMNRPAMNEVVEMLEGDMESLQLPPKPVLNLYEKPMSTCGESSFMSDYSTGSVSLIENAYN >Potri.002G122900.1.v4.1 pep chromosome:Pop_tri_v4:2:9295863:9298842:-1 gene:Potri.002G122900.v4.1 transcript:Potri.002G122900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122900.v4.1 MGGWAIAVHGGAGVDPNLPQERQEEAKKLLARCLDLGISALRSNLPAIDVVELVVRELETDPLFNSGRGSALTENGTVEMEASIMDGPKRRCGAVSGLTTVKNPISLARLVMEKSPHSYLAFSGAEEFARHQGVETVDNGYFITEENLGMLKLAREANSILFDYRIPAVGLESCSVGPPDDNLLAMNGLPISLYAPETVGCVVVDSQGRCAAATSTGGLMNKMAGRIGDSPLIGAGTYACDLCGVSCTGEGEAIIRGTLARDVAAVMEYKGLSLQEAVDFVVNERLDEGRAGLIAVSRNGEVACRFNTNAMFRGFATEDGFTEVGIWD >Potri.009G161400.1.v4.1 pep chromosome:Pop_tri_v4:9:12467273:12467593:1 gene:Potri.009G161400.v4.1 transcript:Potri.009G161400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161400.v4.1 MSKGGNSPMLDLKLNLSPPRPNQQVESLSNSSWDMSADSSCVSSEPEDMSTVNYPSCPDTTPMVLVGCPRCLMYIMLSEVDPKCPKCKSTVLLDFLREENTKKTTN >Potri.005G157800.2.v4.1 pep chromosome:Pop_tri_v4:5:15097110:15100658:1 gene:Potri.005G157800.v4.1 transcript:Potri.005G157800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157800.v4.1 MAPSFDCTVSSLLCAEDNNNIFDDNDCYDATVEELEATWHHGNHQIQNQNSGWWLPMQSEECLVLMVEKECQHLPSGDYLKRLRNGDLGLGARKEAVDWIAKVNAHFGFGPLCAYLSVNYLDRFLSAYELPKGNAWMMQLLGVACLSLAAKMEETEVPLSLDLQVGESRFVFEARTIQRMELLVLSTLDWRMHAITPFSFIDYFLGKIINDQTPPRSLILQSILLILSTIKGIYFMEFRPSEIAAAVSIAVVGETKTVDVEQAISVLAQPVQKERVLKCFQLIHDLSLFGESVKQGPKCLTPISAPKSHWGIGCCLLEL >Potri.004G179571.1.v4.1 pep chromosome:Pop_tri_v4:4:19382822:19386800:-1 gene:Potri.004G179571.v4.1 transcript:Potri.004G179571.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179571.v4.1 MASTEEEEEEQKEEEESLDQLPGTVLATIISKLDVASICSVASTCKTFNACASHILTFIPSFQLLDIAPSIDLLKPLMPPNPYLKSLKLDCARLDDSAINVFVRDSLHELYLRNCANFSGKLLSEIGGKCADLRYLYLGSVAEKRGRPIHISDLEELLRGCTQLEELILMFDVPLFLRHKFAQVWALASEKLTSLEIGCVSSVMVTELLSPSLGHHRSPNHVRPPILPGIQKLCLSVDYITDTMVSTISNVLMSLTHLDLRDAPLIEPSSAYDLTNSGLQQINQHGKLKHLSLVRSQEFLITYFRRVNDLGMLLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLRVSYGTHLTDLVFHDISATSLSLTHVSLRWCNLLTNHAIKNLVSNTCLKILDLRDCKHLGDGALRSISTLPELKILLLDGSDISDFGLSYLRGVINSLVSLSVRGCKRLTDKCISALFEGSSKLELQQLDLSNLPNLSDNGVLTLAKCRVPISELRMRQCPLIGDASVMALASMQVDEDRWHGCRLRLLDLYNCGGITQLSFWWLKKPYFPRLRWLGVTGSVSRDIVDALARNRPFLRVACHAEELGSNQWDNSHGLYMHDYDEVDELEQLLEEGEYIDEEMMDVDNDAELL >Potri.004G179571.4.v4.1 pep chromosome:Pop_tri_v4:4:19382822:19386753:-1 gene:Potri.004G179571.v4.1 transcript:Potri.004G179571.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179571.v4.1 MFDVPLFLRHKFAQVWALASEKLTSLEIGCVSSVMVTELLSPSLGHHRSPNHVRPPILPGIQKLCLSVDYITDTMVSTISNVLMSLTHLDLRDAPLIEPSSAYDLTNSGLQQINQHGKLKHLSLVRSQEFLITYFRRVNDLGMLLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLRVSYGTHLTDLVFHDISATSLSLTHVSLRWCNLLTNHAIKNLVSNTCLKILDLRDCKHLGDGALRSISTLPELKILLLDGSDISDFGLSYLRGVINSLVSLSVRGCKRLTDKCISALFEGSSKLELQQLDLSNLPNLSDNGVLTLAKCRVPISELRMRQCPLIGDASVMALASMQVDEDRWHGCRLRLLDLYNCGGITQLSFWWLKKPYFPRLRWLGVTGSVSRDIVDALARNRPFLRVACHAEELGSNQWDNSHGLYMHDYDEVDELEQLLEEGEYIDEEMMDVDNDAELL >Potri.004G179571.3.v4.1 pep chromosome:Pop_tri_v4:4:19382823:19386757:-1 gene:Potri.004G179571.v4.1 transcript:Potri.004G179571.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179571.v4.1 MFDVPLFLRHKFAQVWALASEKLTSLEIGCVSSVMVTELLSPSLGHHRSPNHVRPPILPGIQKLCLSVDYITDTMVSTISNVLMSLTHLDLRDAPLIEPSSAYDLTNSGLQQINQHGKLKHLSLVRSQEFLITYFRRVNDLGMLLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLRVSYGTHLTDLVFHDISATSLSLTHVSLRWCNLLTNHAIKNLVSNTCLKILDLRDCKHLGDGALRSISTLPELKILLLDGSDISDFGLSYLRGVINSLVSLSVRGCKRLTDKCISALFEGSSKLELQQLDLSNLPNLSDNGVLTLAKCRVPISELRMRQCPLIGDASVMALASMQVDEDRWHGCRLRLLDLYNCGGITQLSFWWLKKPYFPRLRWLGVTGSVSRDIVDALARNRPFLRVACHAEELGSNQWDNSHGLYMHDYDEVDELEQLLEEGEYIDEEMMDVDNDAELL >Potri.004G179571.2.v4.1 pep chromosome:Pop_tri_v4:4:19382837:19386757:-1 gene:Potri.004G179571.v4.1 transcript:Potri.004G179571.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179571.v4.1 MPPNPYLKSLKLDCARLDDSAINVFVRDSLHELYLRNCANFSGKLLSEIGGKCADLRYLYLGSVAEKRGRPIHISDLEELLRGCTQLEELILMFDVPLFLRHKFAQVWALASEKLTSLEIGCVSSVMVTELLSPSLGHHRSPNHVRPPILPGIQKLCLSVDYITDTMVSTISNVLMSLTHLDLRDAPLIEPSSAYDLTNSGLQQINQHGKLKHLSLVRSQEFLITYFRRVNDLGMLLMADKCANMESICLGGFCRVTDTGFKTILHSCSSLYKLRVSYGTHLTDLVFHDISATSLSLTHVSLRWCNLLTNHAIKNLVSNTCLKILDLRDCKHLGDGALRSISTLPELKILLLDGSDISDFGLSYLRGVINSLVSLSVRGCKRLTDKCISALFEGSSKLELQQLDLSNLPNLSDNGVLTLAKCRVPISELRMRQCPLIGDASVMALASMQVDEDRWHGCRLRLLDLYNCGGITQLSFWWLKKPYFPRLRWLGVTGSVSRDIVDALARNRPFLRVACHAEELGSNQWDNSHGLYMHDYDEVDELEQLLEEGEYIDEEMMDVDNDAELL >Potri.001G262200.5.v4.1 pep chromosome:Pop_tri_v4:1:27824609:27829210:-1 gene:Potri.001G262200.v4.1 transcript:Potri.001G262200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G262200.v4.1 MAFATFSTRAFSLQSPNYHHQYHSNNFATISLCVPIPTSKPSATPLSWTTTTSRFKRFRTTIALSSGGGDGGVGGGGISGGGGGGNDDGGDAGSRNKSEAILALAEVGRSLESLPKDLAAAIEAGRVPGSIVSRYFELEKSAVFRWLLQFGGFKERLLADDLFLTKVAIECGVGIFTKTAAELERRRENFTKELDFVFADVVMAIIADFMLVWLPAPTVSLRPPLALSAGPVSKFFYSCPDNAFQVALAGTSYSFLQRIGAILRNGAKLFAVGTGASLVGVGITNALINARKALDKSFAGEAEDVPILSTSVAYGVYMAVSSNLRYQILAGVIEQRLLEPMLHQQKVILSAICFVVRTGNTFLGSLMWVDYARWVGIQKIRE >Potri.016G079800.2.v4.1 pep chromosome:Pop_tri_v4:16:6121503:6123364:-1 gene:Potri.016G079800.v4.1 transcript:Potri.016G079800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079800.v4.1 MAPKDVKKADAKTQAQKAAKALKSGAPAFKKSKKIRTKVTFHRPRTLKKERNPKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Potri.016G143600.3.v4.1 pep chromosome:Pop_tri_v4:16:14548188:14549099:-1 gene:Potri.016G143600.v4.1 transcript:Potri.016G143600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143600.v4.1 MGGGGGGADGGSDIALHPEKVKIVATCLVVAVGVSLLGLYLKSGAEWRSNWSLSLNKKKKPIRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDDEIIANKGPPVTPVHERMIMVNAVKWVDEVISDAPYAITEDFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSISDSHNQSSLQRQFSHGHSQKFEDGGSGSGTRVSHFLPTSRRIVQFSNDKVSS >Potri.016G143600.1.v4.1 pep chromosome:Pop_tri_v4:16:14540960:14549391:-1 gene:Potri.016G143600.v4.1 transcript:Potri.016G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143600.v4.1 MGGGGGGADGGSDIALHPEKVKIVATCLVVAVGVSLLGLYLKSGAEWRSNWSLSLNKKKKPIRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDDEIIANKGPPVTPVHERMIMVNAVKWVDEVISDAPYAITEDFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSISDSHNQSSLQRQFSHGHSQKFEDGGSGSGTRVSHFLPTSRRIVQFSNDKGPGQDARIVYIDGAFDLFHAGHVEILKLARALGDFLLVGMHNDHIVSSKRGAHRPIMNLHERSLSVLACQYVDEVIIGAPWEVSKDMITTFNISSVVHGTVAENNDFLKEKDNPYVVPISMGIFQVLDSPLDITTTTIIKRIVSNHEAYQKRNQKKAASERRYYEDKAYVSGD >Potri.014G027200.1.v4.1 pep chromosome:Pop_tri_v4:14:1691016:1694573:1 gene:Potri.014G027200.v4.1 transcript:Potri.014G027200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027200.v4.1 MNTKMEEIVIASSSIDGGIGCWDLQTGTEHLRYKSCASPPHGLISVGRRFLASSQLQDPKASSGAILYWSWSRPQVEVKCFPEEPIKPLVANSEGTYLVGGGLSGNIYFWEVATGRLLKKWRAHYRAVTCLVFTEDDSLLVSGSEDGSVRVWPLLMIFDDYQMEQASQLYEHSFQEHTLRVTDIVTGYGGGNAIIISASEDRTCKVWSLSKGILLRNIVFPSIIDSITLDPGEHVFYAGGRDGKIHIAALGADSSSIKSHWLHIIGSLSSHSKSVTCLAYSTNGNVLLSGSEDGMIRVWDPKTHNIVRVFKHAKGPVNNIHVLNRPAYLNPRSLSNVQASSRRHGLSLPPPLSKYINTMDEKVISAAVNLQATCNNPLDASCISSQVINCQIKELQQQGSAAAAEMEVEKLKLDCTQSMQMLQRWKKTYDNLHEFCVDELLEGDNVKSAN >Potri.013G059800.2.v4.1 pep chromosome:Pop_tri_v4:13:4376953:4389343:-1 gene:Potri.013G059800.v4.1 transcript:Potri.013G059800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059800.v4.1 MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNVNQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKTIEKEMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLTVKKGKTRPPRLTHLEASITRHKLHIKKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDDFSDVDDLYNSLPLDKVESLEDLVTIGPPGLVKGAPVHSLKTSLVTSAPQMPATVASTHHEGAVVQDQADDTTSQDSNSDIVARTPPAKSSMVGSSAAATPTGNHAPISVNVQAQTLHDLSAASPTLPGSTSVRGVLENAAPSNPSSPATLGNSMKEEEIAGFPGRRSSPSLADAGLARGIGRGGLSSQPSSSIPLSPVVIPSNGAHGSVPLASDIAKRNILGNDDRIGSAGMVQPLASPLSNRMILPQAGDGTSAVDTSSAGEAATMGGRVFSPLVTGMQWRPGSSFQNQNEPGQFRARTEIAPDQREKFLQRLQQVQQQGHSNILGMPPLTGGNHKQYSAQQNPLLQQFNSQSSSVSQASLGLGVQASGFNTVTSAALQQPNSIHQQSSQQVVMSSGAKDAELGHSTVDEQQLKQNLPEDSTTKSALTSGLGKSLVNEDELTSPYAMDTSAGASGSLTEPLQVPRDIDLSPGQLLQSSQPSSGLGVIGRRSVSDLGAIGDNLTGSAVNSGAMHNQLYNLQMLEAAYHKLPQPKDSERARSYIPRHPAATPPSYPQVQLPMASNPAFWERLSMHSYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVTTDEYEQGTYVYFDFHVGNEDKQGWCQRIKTEFTFEYNYLEDELIV >Potri.013G059800.4.v4.1 pep chromosome:Pop_tri_v4:13:4376992:4389350:-1 gene:Potri.013G059800.v4.1 transcript:Potri.013G059800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059800.v4.1 MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNVNQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKTIEKEMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDSFEAEIEGLTVKKGKTRPPRLTHLEASITRHKLHIKKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDDFSDVDDLYNSLPLDKVESLEDLVTIGPPGLVKGAPVHSLKTSLVTSAPQMPATVASTHHEGAVVQDQADDTTSQDSNSDIVARTPPAKSSMVGSSAAATPTGNHAPISVNVQAQTLHDLSAASPTLPGSTSVRGVLENAAPSNPSSPATLGNSMKEEEIAGFPGRRSSPSLADAGLARGIGRGGLSSQPSSSIPLSPVVIPSNGAHGSVPLASDIAKRNILGNDDRIGSAGMVQPLASPLSNRMILPQAGDGTSAVDTSSAGEAATMGGRVFSPLVTGMQWRPGSSFQNQNEPGQFRARTEIAPDQREKFLQRLQQVQQQGHSNILGMPPLTGGNHKQYSAQQNPLLQQASGFNTVTSAALQQPNSIHQQSSQQVVMSSGAKDAELGHSTVDEQQLKQNLPEDSTTKSALTSGLGKSLVNEDELTSPYAMDTSAGASGSLTEPLQVPRDIDLSPGQLLQSSQPSSGLGVIGRRSVSDLGAIGDNLTGSAVNSGAMHNQLYNLQMLEAAYHKLPQPKDSERARSYIPRHPAATPPSYPQVQLPMASNPAFWERLSMHSYGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVTTDEYEQGTYVYFDFHVGNEDKQGWCQRIKTEFTFEYNYLEDELIV >Potri.013G127300.6.v4.1 pep chromosome:Pop_tri_v4:13:13485110:13490917:1 gene:Potri.013G127300.v4.1 transcript:Potri.013G127300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127300.v4.1 MALKLNPFVSQSQKLPGFSLPPMASFRSPKVRMAYTLNSRTKEVESVKKPFMPPREVHVQVTHSMPPQKIEIFKSLEDWADQNILVHLKPVEKCWQPQDFLPDPASDGFEEQVRELRERAKELPDDYFVVLVGDMVTEEALPTYQTMLNTLDGVRDETGASLSSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARHAKEHGDIKLAQICGIIAADEKRHETAYTKIVEKLFEIDPDATVMAFADMMRKKIAMPAHLMYDGSDDNLFEHFSAVAQRLGVYTAKDYADILEFLVGRWKVEKLTGLSAEGKKAQDYVCGLPPRIRKLEERAQGRAKQGPIIPFSWIFDRQVQL >Potri.013G127300.8.v4.1 pep chromosome:Pop_tri_v4:13:13486539:13490838:1 gene:Potri.013G127300.v4.1 transcript:Potri.013G127300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127300.v4.1 MPPREVHVQVTHSMPPQKIEIFKSLEDWADQNILVHLKPVEKCWQPQDFLPDPASDGFEEQVRELRERAKELPDDYFVVLVGDMVTEEALPTYQTMLNTLDGVRDETGASLSSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARHAKEHGDIKLAQICGIIAADEKRHETAYTKIVEKLFEIDPDATVMAFADMMRKKIAMPAHLMYDGSDDNLFEHFSAVAQRLGVYTAKDYADILEFLVGRWKVEKLTGLSAEGKKAQDYVCGLPPRIRKLEERAQGRAKQGPIIPFSWIFDRQVQL >Potri.013G127300.7.v4.1 pep chromosome:Pop_tri_v4:13:13485130:13490892:1 gene:Potri.013G127300.v4.1 transcript:Potri.013G127300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127300.v4.1 MALKLNPFVSQSQKLPGFSLPPMASFRSPKVRMAYTLNSRTKEVESVKKPFMPPREVHVQVTHSMPPQKIEIFKSLEDWADQNILVHLKPVEKCWQPQDFLPDPASDGFEEQVRELRERAKELPDDYFVVLVGDMVTEEALPTYQTMLNTLDGVRDETGASLSSWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMRQIEKTIQYLIGSGMDPRTENSPYLGFIYTSFQERATFISHGNTARHAKEHGDIKLAQICGIIAADEKRHETAYTKIVEKLFEIDPDATVMAFADMMRKKIAMPAHLMYDGSDDNLFEHFSAVAQRLGVYTAKDYADILEFLVGRWKVEKLTGLSAEGKKAQDYVCGLPPRIRKLEERAQGRAKQGPIIPFSWIFDRQVQL >Potri.015G023900.1.v4.1 pep chromosome:Pop_tri_v4:15:1781867:1782952:1 gene:Potri.015G023900.v4.1 transcript:Potri.015G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023900.v4.1 MACQAKAITLLLSILAVSLCKPSNGAGIAIYWGQDGNEGSLADTCNTGNYQFVNVAFLSSFGNGQSPVLNLAGHCDPSAGTCTGISNDITSCQNQGIKVLLSIGGGAGGYSLSSADDAGQVANYIWNNFLGGQSSSRPLGDAILDGVDFDIESGSGQFWDDLARALNGFSQQRKVYLAAAPQCIFPDANLDTAIQTGLFDYVWVQFYNNPSCQYVNDATGLLSAWNQWTTVQSNQIFLGLPAAPEAAPSGGFIPADVLISQVLPSIKGSPKYGGVMLWSKQYDNGYSAAIKGSV >Potri.009G131800.1.v4.1 pep chromosome:Pop_tri_v4:9:10708943:10709665:1 gene:Potri.009G131800.v4.1 transcript:Potri.009G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G131800.v4.1 MATTSSFYEVLGLPMNTTSHEIKAAYRKLARTCHPDAVSMHKKEMSACEFIKIHAAYSTLSDPDKRERYDRDLYRNRRPFGSSSVRSATMAAASGYTSRNWETDQCW >Potri.004G024228.1.v4.1 pep chromosome:Pop_tri_v4:4:1731931:1735070:1 gene:Potri.004G024228.v4.1 transcript:Potri.004G024228.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024228.v4.1 MGHNFLLVKKSIQMLLLYFSIANLLDLAYADPPYGLCSNKSSYTDNSPFQNNLETLMASLSSNASVSKNFNTSTGIDPDSVYAQYMCLNYVTNESCRTCVAAASQDIRQLCPGDKEAVVWGELCQLRFSNQRFLGHLDVSGNIPQYNPKNISNPEHLSLVVNKTLSSLIKKAAFDSSANMYATRDEPFTDSDSFFSLVQCSTDLSPNDCYTCLEVAVKNVTTCCYFSRGARVLSRSCYLRYELYDFYDGATESSKSPVTGKGNEREIWIITISTVASTLLVVAILVSFFCHLPMKFRMRKCKKENTSEDGKFRVFDHPNHNDFQHQDFQRDGLNDRESAIMDLASINAATDNFSETNLLGQGGFGPVYKGILSDGKEVAVKRLSALSEQGKNEFTNEVLLIMKLQHKNLVKLLGFCVDGEEKLLVYEFMPNNSLDMVLFDPRKGAQLSWRSRIHIINGIAKGTLYLHEDSRLRIIHRDLKASNILLDNNMNPKISDFGMARIMEANEGEANTVRIVGTYGYMAPEYAMEGLYSTKSDVFSFGVILLEIITGRKNSGFHKSKRAPSLLAYAWELWNNGKELEMIDPVLADSCCSDEFSRCVHIGLLCVQEDASERPAMSSVVLMLKSDNSIDLPQPQRPAFFAGRFTDHHEAKANDCSVNGVTVSDILPR >Potri.004G228533.1.v4.1 pep chromosome:Pop_tri_v4:4:23168243:23171649:-1 gene:Potri.004G228533.v4.1 transcript:Potri.004G228533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228533.v4.1 MTVPTTTALGTVSRAAATAAAGGGGGGSKKVMITGVGKGLGRALALELDKRGHIVIGCSRSQDNLNSLQSQFSSDKHLLLDADVKSNSSVEELARVVVEKKGVPDIIVNNAGTINQNKKIWEVSEEEFDSVIDTNVKGIANMLRHFIPLMIPNKQGIIVNMSSGWGRSGAALVAPYCASKWAVEGLSRSVAKELPEGMAIVALNPGVIHTDMLTSCFGTSASLYQDPDTWALKAATMILNLTEADNGASLTV >Potri.014G068700.2.v4.1 pep chromosome:Pop_tri_v4:14:4344763:4348240:1 gene:Potri.014G068700.v4.1 transcript:Potri.014G068700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068700.v4.1 MSVTGLLMFSWHRRRKQKIGSALDTFDGRLSTDQAKEVSRRSASPLISLEYPNGWDPLAIGRSKSGFSQEVLESFMFNLEEVERATQCFSEMNLLGKSNFSAIYKGILRDGSVVAIKCITKTSCKSDEADFLKGLKILTSLKHENLVRLRGFCCSKGRGECFLIYDFVPNGNLVQYLDVKDGSGKVLEWSTRISIINGIAKGIAHLHVSKGNKHALVHQNISAEKVFIDRWYNPMLSDSGLHKLLADDLVFSMLKASAAMGYLAPEYTTTGRFTEKSDVYAFGIIVLQILSGKRNITQLTHHAAEACKFEDFIDAKLEGNFSESEAAKLGRIALCCTNESPNHRPTMETVMQELGESMVAD >Potri.014G068700.1.v4.1 pep chromosome:Pop_tri_v4:14:4344648:4348318:1 gene:Potri.014G068700.v4.1 transcript:Potri.014G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068700.v4.1 MHSLLYLLLLSFTLSQTLSSPTELELLMEIKASLDPQNRLLTSWETNKDPCSGSFEGVACNELGHVANISLQGKGLLGQIPAALGGLKSLTGLYLHFNALNGVIPKEIAELSELSDLYLNVNNLSGEIPPHVGNMSNLQVLQLCYNKLTGSIPTQLGSLEKLSVLALQYNQLTGAIPASLGDLELLSRLDLSFNGLFGPIPVKLAKAPLLHSLDIRNNSLSGNIPPALKRLTTGFQYGNNPDLCGVGFSNLETCATSDPNRPEPSEPRVATEKDIPESANPSYCSKSDCSNLSKTPRYGIIFGVIGVFIAMSVTGLLMFSWHRRRKQKIGSALDTFDGRLSTDQAKEVSRRSASPLISLEYPNGWDPLAIGRSKSGFSQEVLESFMFNLEEVERATQCFSEMNLLGKSNFSAIYKGILRDGSVVAIKCITKTSCKSDEADFLKGLKILTSLKHENLVRLRGFCCSKGRGECFLIYDFVPNGNLVQYLDVKDGSGKVLEWSTRISIINGIAKGIAHLHVSKGNKHALVHQNISAEKVFIDRWYNPMLSDSGLHKLLADDLVFSMLKASAAMGYLAPEYTTTGRFTEKSDVYAFGIIVLQILSGKRNITQLTHHAAEACKFEDFIDAKLEGNFSESEAAKLGRIALCCTNESPNHRPTMETVMQELGESMVAD >Potri.010G141400.3.v4.1 pep chromosome:Pop_tri_v4:10:15445938:15452522:-1 gene:Potri.010G141400.v4.1 transcript:Potri.010G141400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141400.v4.1 MADKINTLPFLLFLLCLSNHIVESREPFACDPKLGLTRSLKFCRVNLPIHVRVRDLIGRLTLQEKIRLLVNNAAAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATAFPQVITTAASFNESLWEEIGRVVSDEARAMYNGGMAGLTYWSPNVNVFRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNNGLRLKVAACCKHYTAYDLDNWNGVDRYHFNARVSKQDLEDTYNVPFKSCVVAGKVASVMCSYNQVNGKPTCADPYLLKNTIRGEWGLNGYIVSDCDSVGVLFDTQHYTATPEEAAASTIRAGLDLDCGPFLAIHTENAVKGGLLKEEDVNMALANTITVQMRLGMFDGEPSAQPFGNLGPRDVCTPAHQQLALQAARQGIVLLQNRGRTLPLSRTLQTVAVIGPNSDVTVTMIGNYAGVACGYTTPLQGIRRYAKTVHHPGCNDVFCNGNQQFNAAEVAARHADATILVMGLDQSIEAEFRDRKGLLLPGYQQELVSIVARASRGPTILVLMSGGPIDVSFAKNDPRIGAILWVGYPGQAGGAAIADVLFGTANPGGKLPMTWYPHNYLAKVPMTNMGMRADPSRGYPGRTYRFYKGPVVFPFGHGMSYTTFAHSLVQAPREVSVPLASLHVSRNTTGASNAIRVSHANCEALALGVHIDVKNTGDMDGTHTLLVFSSPPGGKWSTQKQLIGFEKVHLVTGSQKRVKIDIHVCKHLSVVDRFGIRRIPIGEHDLYIGDLKHSISLQANLEEIKS >Potri.010G141400.4.v4.1 pep chromosome:Pop_tri_v4:10:15445994:15452522:-1 gene:Potri.010G141400.v4.1 transcript:Potri.010G141400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141400.v4.1 MADKINTLPFLLFLLCLSNHIVESREPFACDPKLGLTRSLKFCRVNLPIHVRVRDLIGRLTLQEKIRLLVNNAAAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATAFPQVITTAASFNESLWEEIGRVVSDEARAMYNGGMAGLTYWSPNVNVFRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNNGLRLKVAACCKHYTAYDLDNWNGVDRYHFNARVSKQDLEDTYNVPFKSCVVAGKVASVMCSYNQVNGKPTCADPYLLKNTIRGEWGLNGYIVSDCDSVGVLFDTQHYTATPEEAAASTIRAGLDLDCGPFLAIHTENAVKGGLLKEEDVNMALANTITVQMRLGMFDGEPSAQPFGNLGPRDVCTPAHQQLALQAARQGIVLLQNRGRTLPLSRTLQTVAVIGPNSDVTVTMIGNYAGVACGYTTPLQGIRRYAKTVHHPGCNDVFCNGNQQFNAAEVAARHADATILVMGLDQSIEAEFRDRKGLLLPGYQQELVSIVARASRGPTILVLMSGGPIDVSFAKNDPRIGAILWVGYPGQAGGAAIADVLFGTANPGGKLPMTWYPHNYLAKVPMTNMGMRADPSRGYPGRTYRFYKGPVVFPFGHGMSYTTFAHSLVQAPREVSVPLASLHVSRNTTGASNAIRVSHANCEALALGVHIDVKNTGDMDGTHTLLVFSSPPGGKWSTQKQLIGFEKVHLVTGSQKRVKIDIHVCKHLSVVDRFGIRRIPIGEHDLYIGDLKHSISLQANLEEIKS >Potri.010G141400.1.v4.1 pep chromosome:Pop_tri_v4:10:15445967:15452917:-1 gene:Potri.010G141400.v4.1 transcript:Potri.010G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141400.v4.1 MADKINTLPFLLFLLCLSNHIVESREPFACDPKLGLTRSLKFCRVNLPIHVRVRDLIGRLTLQEKIRLLVNNAAAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATAFPQVITTAASFNESLWEEIGRVVSDEARAMYNGGMAGLTYWSPNVNVFRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNNGLRLKVAACCKHYTAYDLDNWNGVDRYHFNARVSKQDLEDTYNVPFKSCVVAGKVASVMCSYNQVNGKPTCADPYLLKNTIRGEWGLNGYIVSDCDSVGVLFDTQHYTATPEEAAASTIRAGLDLDCGPFLAIHTENAVKGGLLKEEDVNMALANTITVQMRLGMFDGEPSAQPFGNLGPRDVCTPAHQQLALQAARQGIVLLQNRGRTLPLSRTLQTVAVIGPNSDVTVTMIGNYAGVACGYTTPLQGIRRYAKTVHHPGCNDVFCNGNQQFNAAEVAARHADATILVMGLDQSIEAEFRDRKGLLLPGYQQELVSIVARASRGPTILVLMSGGPIDVSFAKNDPRIGAILWVGYPGQAGGAAIADVLFGTANPGGKLPMTWYPHNYLAKVPMTNMGMRADPSRGYPGRTYRFYKGPVVFPFGHGMSYTTFAHSLVQAPREVSVPLASLHVSRNTTGASNAIRVSHANCEALALGVHIDVKNTGDMDGTHTLLVFSSPPGGKWSTQKQLIGFEKVHLVTGSQKRVKIDIHVCKHLSVVDRFGIRRIPIGEHDLYIGDLKHSISLQANLEEIKS >Potri.015G027600.1.v4.1 pep chromosome:Pop_tri_v4:15:2085040:2087972:1 gene:Potri.015G027600.v4.1 transcript:Potri.015G027600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G027600.v4.1 MEDQSQPSPPPPLPQPPPPLKTYKITASSISYVKSTTTSNSIIAPFLLFKQCTTTPPSYILKEVSLTAYPSQILAVVGPSGAGKSTLLDILAARTSPTSGILLLNSTPINPSSFRKLSAYVPQHDACLQLLTVSETFTFAARLLNPKSSQIATIVESLLNELNLTHLANARLAHGLSGGERRRVSIGLSLLHDPGILLLDEPTSGLDSKSALNVMQTLKSIATSRYRTVILSIHQPSFNILSTIDKILLLNKGTVVHHGSLSSLESFLLSNGFTVPPQLNALEYAMEILNQLQESKPNTPPSLPPSPDNSTISPSDNKARSIRNKISRLHEICVLYSRFWKIIYRTRQLLLTNTLEALIVGLVLGTIYINIGYGKQGIEKRFGLFAFTLTFLLSSTTETLPIFINERPILLRETSSGLYRLSSYLIANTLVFLPYLLAIAIIYSVSIYFVVGLCGTWQAFAYFVLVIWVIVLMGNSFVLFLSSLAPNYIAGTSLVTILLGGFFLFSGYFISQDSMPKYWLFMHFFSMYKYALDALLINEYSCLVNKCFLWYQENSTCMVTGGDVLQKRGLHERQRWTNIYILLGFFVFYRVLCLLVLIRRVSRSKK >Potri.006G101000.1.v4.1 pep chromosome:Pop_tri_v4:6:7706396:7709168:1 gene:Potri.006G101000.v4.1 transcript:Potri.006G101000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101000.v4.1 MGSSRSDVSNKERLRWTQELHDRFEEAVNQLGGPDRATPKGILRAMGISGLTIYHVKSHLQKYRISKFIPETNRGKYERRNISEMLPNFSATSGAQLNEALLMQMEVQKRLSDQLEVQKSLKIKIEAQGRFLERIVEENRNRSASINPIPKHSKSFSPVSQPSFCDESESNAREFETDSEGEKAEIQPEEYLQALKRLRTENHALPSRYQLQPLNPDPYNQNMVLQRDAKFSYPSHDANFPWNILATCPSPLVPSFF >Potri.005G063700.2.v4.1 pep chromosome:Pop_tri_v4:5:4099077:4101184:-1 gene:Potri.005G063700.v4.1 transcript:Potri.005G063700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063700.v4.1 MEEAEMTIPHLFMCPISLELIKDPVTLCTGQTYDRSSIEKWLAAGNLTCPVTMQKLQDPSMVPNHTLRHLIDQWLQMGTQFDPVLCTIDCLASLKKNLESDEATLEYKCQTLQKIQALTEESQSGNSCLLQLGFLPLLLELLFGKTESKLSQECVKFAEQALSWVLRLLSLGEYEYLNMLNEESKLESFQVLFDQGTGKIKRSLCQVIEAISSSLETRELCAKLGKNRKLLKGLILLVHQTYEASDAGIKAISALCCLESNRQNLVQEGVINRLLTYIFDAERHERNLAPKALSTIELLLELESAKAALINNPNGIKALIKMVFRFSEHEGSESAVRSLTIICTDSLQAREEAIGAGVLTQLLLLLQSQCSARTKTKARMLLKLLRSRWD >Potri.010G237700.3.v4.1 pep chromosome:Pop_tri_v4:10:21740104:21743066:1 gene:Potri.010G237700.v4.1 transcript:Potri.010G237700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237700.v4.1 MQKEIKAALLFGLVVWVYQATNPPPPKICGTPGGPPITAPRIKLRDGRYLAYKEHGVSRETAKYKIIYVHGFASMRHNTMSVEKLSPEVVEELGFHLVSFDRPGYGESDPHPKRTPESIALDIEELADHLEFGSRFYVMGFSMGGQVIWGCLKYIPHRLAGATLIAPVVNYWWPGFPANLSTEAYYLQLPQDQWTLRVAHHAPWLTYWWNTQKWFPASAVAARKPEVFSRQDLEVLLSMVTDGRMNMPQTMQQGKFETIHRDMMIGFGKWEFDPMDLENPFPDNEGSVHLWQGDEDKMVPVSLQRYITQRLPWINYHEISGSGHMFPYIPETCEAIIKALLLEKN >Potri.010G237700.2.v4.1 pep chromosome:Pop_tri_v4:10:21740104:21743103:1 gene:Potri.010G237700.v4.1 transcript:Potri.010G237700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237700.v4.1 MQKEIKAALLFGLVVWVYQATNPPPPKICGTPGGPPITAPRIKLRDGRYLAYKEHGVSRETAKYKIIYVHGFASMRHNTMSVEKLSPEVVEELGFHLVSFDRPGYGESDPHPKRTPESIALDIEELADHLEFGSRFYVMGFSMGGQVIWGCLKYIPHRLAGATLIAPVVNYWWPGFPANLSTEAYYLQLPQDQWTLRVAHHAPWLTYWWNTQKWFPASAVAARKPEVFSRQDLEVLLSMVTDGRMNMPQTMQQGKFETIHRDMMIGFGKWEFDPMDLENPFPDNEGSVHLWQGDEDKMVPVSLQRYITQRLPWINYHEISGSGHMFPYIPETCEAIIKALLLEKN >Potri.006G221800.1.v4.1 pep chromosome:Pop_tri_v4:6:22727044:22728975:1 gene:Potri.006G221800.v4.1 transcript:Potri.006G221800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221800.v4.1 MGRSPCCSKEGLNRGAWTALEDKILTAYIKAHGEGKWRNLPKRAGLKRCGKSCRLRWLNYLRPDIKRGNISNDEEELIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKATAQASPQSKQNSQSFKKRAIEPMTNTQSSKSTLATQVIPTKATRCTKVFLSLQSPPPPILPPKTLSSTAIDDPPQAPLLNHQQDSPNLHGHDDSDFLNFGHWNEFQSSDGGTLIDNDCDKNLSIDSYHSLALSDDLMFKDWALNRCLDDNSTLDLESLAHLLDSEEWPEMRH >Potri.005G029300.4.v4.1 pep chromosome:Pop_tri_v4:5:1875548:1877393:1 gene:Potri.005G029300.v4.1 transcript:Potri.005G029300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029300.v4.1 MAGLLVFSFHQTTSEPKAVAIHHSFNMQAGTYDSMKDVSLKILQNSVSTVPIIHSASQDGSFPQLLNLASLSGILKCICRYFRHSAGSLPILQQPICSIPLGTWVPKLEEPNRRPLAMLGPNASLGAALSLLAQGSAIGSECKFFKCILQWTEMSDVFAD >Potri.005G029300.5.v4.1 pep chromosome:Pop_tri_v4:5:1875548:1877393:1 gene:Potri.005G029300.v4.1 transcript:Potri.005G029300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029300.v4.1 MAGLLVFSFHQTTSEPKAVAIHHSFNMQAGTYDSMKDVSLKILQNSVSTVPIIHSASQDGSFPQLLNLASLSGILKCICRYFRHSAGSLPILQQPICSIPLGTWVPKLEEPNRRPLAMLGPNASLGAALSLLAQANVSSIPIVNDNDSLLDVYSRSNITALAKDKAYAQIHLDEISIHQALQLGQNANSSNAFYNGQRCQMCLRTDSLHKVMERLANPGVRRLCCVVKKHFLN >Potri.005G029300.6.v4.1 pep chromosome:Pop_tri_v4:5:1875548:1877393:1 gene:Potri.005G029300.v4.1 transcript:Potri.005G029300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029300.v4.1 MAGLLVFSFHQTTSEPKAVAIHHSFNMQAGTYDSMKDVSLKILQNSVSTVPIIHSASQDGSFPQLLNLASLSGILKCICRYFRHSAGSLPILQQPICSIPLGTWVPKLEEPNRRPLAMLGPNASLGAALSLLAQANVSSIPIVNDNDSLLDVYSRRLCNWVRMQILQMHSTMDRDVRCVCGLILYTK >Potri.004G028900.1.v4.1 pep chromosome:Pop_tri_v4:4:2247482:2250283:1 gene:Potri.004G028900.v4.1 transcript:Potri.004G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028900.v4.1 MAVKIYIIYYSMYGHVARLAEEIKKGADSVEGVEVKLWQVPETLPEEVLGKMGAPPKSDVPIITPSDLAEADGFLLGFPTRFGMMAAQFKAFMDSTGGLWGTQQLAGKPAGIFYSTASQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEIEKVKGGSPYGAGTYAGDGTRQPTELELGQALHQGKYFAGIAKKFKGTA >Potri.004G030500.4.v4.1 pep chromosome:Pop_tri_v4:4:2363701:2369160:-1 gene:Potri.004G030500.v4.1 transcript:Potri.004G030500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030500.v4.1 MLEKIRLPARPSLRGNDWVADASHCQGCSSQFTFINRKHYCRRCGGLFCGNCTQQRMVLRGQGDSSVRICDPCKKLEEAACFETRYGHKNRAGKGSSRMMPKNEDEILNEILGTDRKESSSSGRQSNTDMFSSIQRASSCASYSNTQQVDALDGGEIHRSHSVDDRNHVYSEVGSTTPEELHQQALDEKKRYKILKAEGRSEEALKAFKRGKELERQADALELSTRKNRRKVLSSSNTVEIQNEDGPKESVRKSKRLAQVNEKDSFTAELRELGWSDMDLHDKDKKLVKMSLEGELSSLLGEISGRTNKNTGSSGIDKTQVFELKRKALALKREGKLAEAKEELKKAKVLEQQLEEQELLGVNEDSDDEISALISSMDSDQEDKLFAEDEQGHGFDFDHLVGTADDLHVDGNFEVTDEDLVDPELAATLKSLGWTDDSDTLETTATQSVPIDRETLRSEILSLKREALNHKRAGNVVEAMAHLKKAKLLERDLESLGGEVGSLIAHDTTRMMKSSPSQNTNAKSTPSSKPAPKSRLMIQKELLAIKKKALALKREGRLDVAEEELKKGKVLEQQLEEIDNASNVKGKQVAVGSKNPDLENEHPSISGSPPIREGEEDVTDQDMHDPAYLSLLRNLGWKDDDNEHANSPFNPPKESDNLSTQTINPLVTRSTSNISLRTPRRSKGEIQRELLGLKRKALTLRREGKIDEAEEVLIAAKALETQIAEMETRKKEIQIESNKPKDEIVRPVSSAAEEGDVDDIAEKDMHDPSLLSLLMNLGWKDDEVEVVTVQAKPSKQVLDHLMHSTDPSTILLSSSISAARPRSKGEIQRELLGLKRKALSLRHNGENQEAEELLKMAKVLESQIDDLEAPKKELFPDASEDKKYQSTGSLNNHVKQNNVNNSVEMIEKLAAAAAAVDPNEKVIESFTGLGRKGSDKTAPPSWSPDIVNPVPFEINEDNRPSVGELDLLDEMGSLSNSRINQGTEFFPPPHQSMNPMDLLTGDDWSSPQIPARKFEDKVDFGSDISCLPEPHVHVGSLRSGLENLRSKDREANSISDVFHFPDPHVHMGSMIHAPMDLGSTENVRTGKREETFNSGKKPHVDRTDSAQGLASQNNKNALQQEVLARKRKAVALKREGKLAEAREELRQAKLLEKSLEVETLEPVSGTHDGSTSVSNAPPFQQKDPSAPKFSPKPLSGRDRFKLQQESLSHKRQALKLRREGQVEEAEAEFELAKALEAQLDEMSSNDSGKSSVNIAEPVDDVVVEDFLDPQLLSALKAIGIEDSSIISQSSERPGPAKVSPTKSEKNSQERNQMEERIKTEKVKAVNLKRAGKQAEALDAFRRAKLYEKKLNSLE >Potri.004G030500.5.v4.1 pep chromosome:Pop_tri_v4:4:2363700:2366938:-1 gene:Potri.004G030500.v4.1 transcript:Potri.004G030500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030500.v4.1 MDSDQEDKLFAEDEQGHGFDFDHLVGTADDLHVDGNFEVTDEDLVDPELAATLKSLGWTDDSDTLETTATQSVPIDRETLRSEILSLKREALNHKRAGNVVEAMAHLKKAKLLERDLESLGGEVGSLIAHDTTRMMKSSPSQNTNAKSTPSSKPAPKSRLMIQKELLAIKKKALALKREGRLDVAEEELKKGKVLEQQLEEIDNASNVKGKQVAVGSKNPDLENEHPSISGSPPIREGEEDVTDQDMHDPAYLSLLRNLGWKDDDNEHANSPFNPPKESDNLSTQTINPLVTRSTSNISLRTPRRSKGEIQRELLGLKRKALTLRREGKIDEAEEVLIAAKALETQIAEMETRKKEIQIESNKPKDEIVRPVSSAAEEGDVDDIAEKDMHDPSLLSLLMNLGWKDDEVEVVTVQAKPSKQVLDHLMHSTDPSTILLSSSISAARPRSKGEIQRELLGLKRKALSLRHNGENQEAEELLKMAKVLESQIDDLEAPKKELFPDASEDKKYQSTGSLNNHVKQNNVNNSVEMIEKLAAAAAAVDPNEKVIESFTGLGRKGSDKTAPPSWSPDIVNPVPFEINEDNRPSVGELDLLDEMGSLSNSRINQGTEFFPPPHQSMNPMDLLTGDDWSSPQIPARKFEDKVDFGSDISCLPEPHVHVGSLRSGLENLRSKDREANSISDVFHFPDPHVHMGSMIHAPMDLGSTENVRTGKREETFNSGKKPHVDRTDSAQGLASQNNKNALQQEVLARKRKAVALKREGKLAEAREELRQAKLLEKSLEVETLEPVSGTHDGSTSVSNAPPFQQKDPSAPKFSPKPLSGRDRFKLQQESLSHKRQALKLRREGQVEEAEAEFELAKALEAQLDEMSSNDSGKSSVNIAEPVDDVVVEDFLDPQLLSALKAIGIEDSSIISQSSERPGPAKVSPTKSEKNSQERNQMEERIKTEKVKAVNLKRAGKQAEALDAFRRAKLYEKKLNSLE >Potri.004G030500.6.v4.1 pep chromosome:Pop_tri_v4:4:2363699:2366151:-1 gene:Potri.004G030500.v4.1 transcript:Potri.004G030500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030500.v4.1 METRKKEIQIESNKPKDEIVRPVSSAAEEGDVDDIAEKDMHDPSLLSLLMNLGWKDDEVEVVTVQAKPSKQVLDHLMHSTDPSTILLSSSISAARPRSKGEIQRELLGLKRKALSLRHNGENQEAEELLKMAKVLESQIDDLEAPKKELFPDASEDKKYQSTGSLNNHVKQNNVNNSVEMIEKLAAAAAAVDPNEKVIESFTGLGRKGSDKTAPPSWSPDIVNPVPFEINEDNRPSVGELDLLDEMGSLSNSRINQGTEFFPPPHQSMNPMDLLTGDDWSSPQIPARKFEDKVDFGSDISCLPEPHVHVGSLRSGLENLRSKDREANSISDVFHFPDPHVHMGSMIHAPMDLGSTENVRTGKREETFNSGKKPHVDRTDSAQGLASQNNKNALQQEVLARKRKAVALKREGKLAEAREELRQAKLLEKSLEVETLEPVSGTHDGSTSVSNAPPFQQKDPSAPKFSPKPLSGRDRFKLQQESLSHKRQALKLRREGQVEEAEAEFELAKALEAQLDEMSSNDSGKSSVNIAEPVDDVVVEDFLDPQLLSALKAIGIEDSSIISQSSERPGPAKVSPTKSEKNSQERNQMEERIKTEKVKAVNLKRAGKQAEALDAFRRAKLYEKKLNSLE >Potri.001G431700.1.v4.1 pep chromosome:Pop_tri_v4:1:46254417:46256612:1 gene:Potri.001G431700.v4.1 transcript:Potri.001G431700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G431700.v4.1 MAGDQVTLLDLWASPFGMRVRIALAEKAVKYEYSEQDLWNKGALLLQMNPVHKRIPVLVHDGKPIFESLIIVQYIDEVWKDKAPLLPSDPYERAQSRFWADFVDKKLYELGKKIYTTKGEDQEAAKKDFIDSLKLLEGELGDKPYFGGDTLGYVDVALLPFYCWFYAYETIGNFNIEADCPKLIAYCKRCLEKESVSKSLEDPQKVYDFVVMLRKKFNWA >Potri.016G070200.1.v4.1 pep chromosome:Pop_tri_v4:16:5118752:5125107:-1 gene:Potri.016G070200.v4.1 transcript:Potri.016G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070200.v4.1 MEATSTSTRTNSNNTAFSLISRGWKEVRDSADADLQLMRARANSFKNLANSFDREIENFFNSASIASFSVPSPLKPSTSPTEIDFVKKLQPKISEIRRVYSAPEISKKVLEKWGPTAKLGIDLSAIKNAIVAEGEDDFRGGIVGFDRRRKLGFREFWGEGKEEGGGQFGEWEPIRVLKRRFRELEKKSEFGEIFGGFKNSEFVEKLKSSLKAIRKEPQESKEVPPLDVPELLAYLVRQSEPFLDQLGVRKDVCDKIVEGLCRKRKNQFLLPSLSSGKSTLLDENANDELDLRIASVLQSTGHCYDGGFWTDSSKHHPSDGKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKSEKQNVTLLVPWLCKSDQELVYPNNLTFTSPEEQENYIRNWLEERVGFKADFKISFYPGKFSKERRSIISAGDTSKFVPSKDADIAILEEPEHLNWYHHGKRWTDKFNHVVGVVHTNYLEYIKREKNGALQAFLVKHINNLVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKVAAERELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKNELDGFKLDVFGNGEDANEVQSTAKRLDLNLNFLKGRDHADDSLHGYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEYFRSFPNCLTYKTSEDFVARVKEALANEPQPLTPEQRYNLSWEAATQRFMQYSELDRVLDSEKDVKLSKTNGKSITKAVSMPNLSEMIDGGLAFAHYCLTGNEFLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGW >Potri.014G052400.1.v4.1 pep chromosome:Pop_tri_v4:14:3359525:3360999:-1 gene:Potri.014G052400.v4.1 transcript:Potri.014G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052400.v4.1 MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Potri.006G148200.2.v4.1 pep chromosome:Pop_tri_v4:6:12716298:12718654:-1 gene:Potri.006G148200.v4.1 transcript:Potri.006G148200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148200.v4.1 MVVPDGGDFFGYALAGVSSASFSATAMLPKLILDDDHSLTNAIKSEKDHKVGQESNMSPLHDTACCGGGGGTSSPSSSSSMNTREMQKAAAVLEVEEEKRIKATAVTVKEEDDDGVDSLNELKGGGGGGNNKNNNGISSSSCSSVDLPKPMEGLHEAGPPPFLKKTFEMVGDPETDETVSWGKNRDSFVVWDSHEFSKNLLPKYFKHSNFSSFIRQLNTYGFRKIDPDRWEFANEGFHGAKKHLLKTIKRRSRYNKQQSGAVTGVNDSTKPRLEAELENLKDDQDVLRLEILKIRQKQQESQTQLSAVEERIQAAECKQLQMFIFFTKAARNPGFIQQLIQKRKQKGKVDGIEFCKKRRLLQTHLPESFPAAVDTNQSVHFKNHAQEQLATMQTEITEILTEDVETCQMLKVFPAPMSDGFCCPILQDHNANIMCEKSTQDMSSAYNLMSEKLLDDGSVIEDLVDEEVDVNDSKLYLELEDLIGMPRMWGGFATELVGHTAGCVGSIPRL >Potri.012G016800.1.v4.1 pep chromosome:Pop_tri_v4:12:1885926:1887358:-1 gene:Potri.012G016800.v4.1 transcript:Potri.012G016800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016800.v4.1 MENPLDFYQAWVLDDQDIFNKISELPPLESLFEPLNLPPFVPYDKNFNGFKNNEVINNDNIFSESNLDSWYNQENAIDTKPVINPSIHPSYSLNLDNNVIKSELLNEVSMMLVQSDCASSSSMKEEEEVRKMSGRKRSVGLELEEIQRHFNMPITQAAREMKVGLTVLKKRCRELRIMRWPHRKIKSLTSLINNVKEMGLSEYEAIMLEEHKRLIEELPDLELTERTKKLRQACFKANYKKRRLSAAYS >Potri.002G134300.4.v4.1 pep chromosome:Pop_tri_v4:2:10122456:10126890:-1 gene:Potri.002G134300.v4.1 transcript:Potri.002G134300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134300.v4.1 MERFSQRESVLLGYNFQRPFINHPGSSPESQYGNSDIDFTDVFGGPPRRSSLQEVRYSFSETTDSFASTSGDVDTKLSRHSLSGLNDKPVFGDENGNRRRYPNDGFFGDIFRGSESLSSSPRKHDRDSLSSTPGSRVLSPAELLPHRADPWSPSLPAQFSLPAKLIKGTDLPTFISSARDHHKNKDGASNGISNYTYSPLSRSASLTNLVRDELTNDVSWQSALSKEPSLCSEESSNVTKPEETDKSRNLKRDSDGSEIPTNRNQFHFSIYKWATKGLPFAMPLRGANKSRLNEKCKLQRCSSTNGWTTCEGIARELRSATPHDIDIPSFSGRMELDKQDDHFLFDTSIQGEVEACQILEDTSFPISELDTPSNLQVIFEDGPINSALGASIETKHHSAPETGSSGKTKEEISVVTQEAHKTKLKPLSSQLSENDYEQGNDEMTIKTGLKESKVKSTKKSSAVLDVSENLKDEDETANSVGVDKANFQFPPTKSRDSFGKNRVRGKAKVKEFVKIFNQGVPEKPNFDLNDSQHQDSRRKEKSKFRTEDTTNEKMHSNNVYEKNMPNASILVDPDTTASNLKSTRVSSGRKDRSVPTTADVPDDSESTIGDTDLSFLLITELPQDEERGPQTSDNHEEIQIIDDKIRKWSKGKEGNIRSLLSTLQYVLWSGSGWKPVPLVDIVEGNAVKRTYQKALLCLHPDKLQQKGATSHEKDIAEKVFDILQEAWTHFNTLGAV >Potri.002G134300.1.v4.1 pep chromosome:Pop_tri_v4:2:10122421:10126927:-1 gene:Potri.002G134300.v4.1 transcript:Potri.002G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134300.v4.1 MERFSQRESVLLGYNFQRPFINHPGSSPESQYGNSDIDFTDVFGGPPRRSSLQEVRYSFSETTDSFASTSGDVDTKLSRHSLSGLNDKPVFGDENGNRRRYPNDGFFGDIFRGSESLSSSPRKHDRDSLSSTPGSRVLSPAELLPHRADPWSPSLPAQFSLPAKLIKGTDLPTFISSARDHHKNKDGASNGISNYTYSPLSRSASLTNLVRDELTNDVSWQSALSKEPSLCSEESSNVTKPEETDKSRNLKRDSDGSEIPTNRNQFHFSIYKWATKGLPFAMPLRGANKSRLNEKCKLQRCSSTNGWTTCEGIARELRSATPHDIDIPSFSGRMELDKQDDHFLFDTSIQGEVEACQILEDTSFPISELDTPSNLQVIFEDGPINSALGASIETKHHSAPETGSSGKTKEEISVVTQEAHKTKLKPLSSQLSENDYEQGNDEMTIKTGLKESKVKSTKKSSAVLDVSENLKDEDETANSVGVDKANFQFPPTKSRDSFGKNRVRGKAKVKEFVKIFNQGVPEKPNFDLNDSQHQDSRRKEKSKFRTEDTTNEKMHSNNVYEKNMPNASILVDPDTTASNLKSTRVSSGRKDRSVPTTDVPDDSESTIGDTDLSFLLITELPQDEERGPQTSDNHEEIQIIDDKIRKWSKGKEGNIRSLLSTLQYVLWSGSGWKPVPLVDIVEGNAVKRTYQKALLCLHPDKLQQKGATSHEKDIAEKVFDILQEAWTHFNTLGAV >Potri.002G134300.7.v4.1 pep chromosome:Pop_tri_v4:2:10122425:10126783:-1 gene:Potri.002G134300.v4.1 transcript:Potri.002G134300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134300.v4.1 MERFSQRESVLLGYNFQRPFINHPGSSPESQYGNSDIDFTDVFGGPPRRSSLQEVRYSFSETTDSFASTSGDVDTKLSRHSLSGLNDKPVFGDENGNRRRYPNDGFFGDIFRGSESLSSSPRKHDRDSLSSTPGSRVLSPAELLPHRADPWSPSLPAQFSLPAKLIKGTDLPTFISSARDHHKNKDGASNGISNYTYSPLSRSASLTNLVRDELTNDVSWQSALSKEPSLCSEESSNVTKPEETDKSRNLKRDSDGSEIPTNRNQFHFSIYKWATKGLPFAMPLRGANKSRLNEKCKLQRCSSTNGWTTCEGIARELRSATPHDIDIPSFSGRMELDKQDDHFLFDTSIQGEVEACQILEDTSFPISELDTPSNLQVIFEDGPINSALGASIETKHHSAPETGSSGKTKEEISVVTQEAHKTKLKPLSSQLSENDYEQGKPCSRSKHAPHVISLITHLFYLAGNDEMTIKTGLKESKVKSTKKSSAVLDVSENLKDEDETANSVGVDKANFQFPPTKSRDSFGKNRVRGKAKVKEFVKIFNQGVPEKPNFDLNDSQHQDSRRKEKSKFRTEDTTNEKMHSNNVYEKNMPNASILVDPDTTASNLKSTRVSSGRKDRSVPTTDVPDDSESTIGDTDLSFLLITELPQDEERGPQTSDNHEEIQIIDDKIRKWSKGKEGNIRSLLSTLQYVLWSGSGWKPVPLVDIVEGNAVKRTYQKALLCLHPDKLQQKGATSHEKDIAEKVFDILQEAWTHFNTLGAV >Potri.002G134300.8.v4.1 pep chromosome:Pop_tri_v4:2:10122425:10126703:-1 gene:Potri.002G134300.v4.1 transcript:Potri.002G134300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134300.v4.1 MERFSQRESVLLGYNFQRPFINHPGSSPESQYGNSDIDFTDVFGGPPRRSSLQEVRYSFSETTDSFASTSGDVDTKLSRHSLSGLNDKPVFGDENGNRRRYPNDGFFGDIFRGSESLSSSPRKHDRDSLSSTPGSRVLSPAELLPHRADPWSPSLPAQFRFWLHSEMLFALFLLPAKLIKGTDLPTFISSARDHHKNKDGASNGISNYTYSPLSRSASLTNLVRDELTNDVSWQSALSKEPSLCSEESSNVTKPEETDKSRNLKRDSDGSEIPTNRNQFHFSIYKWATKGLPFAMPLRGANKSRLNEKCKLQRCSSTNGWTTCEGIARELRSATPHDIDIPSFSGRMELDKQDDHFLFDTSIQGEVEACQILEDTSFPISELDTPSNLQVIFEDGPINSALGASIETKHHSAPETGSSGKTKEEISVVTQEAHKTKLKPLSSQLSENDYEQGNDEMTIKTGLKESKVKSTKKSSAVLDVSENLKDEDETANSVGVDKANFQFPPTKSRDSFGKNRVRGKAKVKEFVKIFNQGVPEKPNFDLNDSQHQDSRRKEKSKFRTEDTTNEKMHSNNVYEKNMPNASILVDPDTTASNLKSTRVSSGRKDRSVPTTADVPDDSESTIGDTDLSFLLITELPQDEERGPQTSDNHEEIQIIDDKIRKWSKGKEGNIRSLLSTLQYVLWSGSGWKPVPLVDIVEGNAVKRTYQKALLCLHPDKLQQKGATSHEKDIAEKVFDILQEAWTHFNTLGAV >Potri.002G134300.9.v4.1 pep chromosome:Pop_tri_v4:2:10121042:10126784:-1 gene:Potri.002G134300.v4.1 transcript:Potri.002G134300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134300.v4.1 MERFSQRESVLLGYNFQRPFINHPGSSPESQYGNSDIDFTDVFGGPPRRSSLQEVRYSFSETTDSFASTSGDVDTKLSRHSLSGLNDKPVFGDENGNRRRYPNDGFFGDIFRGSESLSSSPRKHDRDSLSSTPGSRVLSPAELLPHRADPWSPSLPAQFSLPAKLIKGTDLPTFISSARDHHKNKDGASNGISNYTYSPLSRSASLTNLVRDELTNDVSWQSALSKEPSLCSEESSNVTKPEETDKSRNLKRDSDGSEIPTNRNQFHFSIYKWATKGLPFAMPLRGANKSRLNEKCKLQRCSSTNGWTTCEGIARELRSATPHDIDIPSFSGRMELDKQDDHFLFDTSIQGEVEACQILEDTSFPISELDTPSNLQVIFEDGPINSALGASIETKHHSAPETGSSGKTKEEISVVTQEAHKTKLKPLSSQLSENDYEQGNDEMTIKTGLKESKVKSTKKSSAVLDVSENLKDEDETANSVGVDKANFQFPPTKSRDSFGKNRVRGKAKVKEFVKIFNQGVPEKPNFDLNDSQHQDSRRKEKSKFRTEDTTNEKMHSNNVYEKNMPNASILVDPDTTASNLKSTRVSSGRKDRSVPTTDVPDDSESTIGDTDLSFLLITELPQDEERGPQTSDNHEEIQIIDDKIRKWSKGKEGNIRSLLSTLQYVLWSGSGWKPVPLVDIVEGNAVKRTYQKALLCLHPDKLQQKGATSHEKDIAEKVFDILQEAWTHFNTLGAV >Potri.008G224337.1.v4.1 pep chromosome:Pop_tri_v4:8:19080694:19081904:-1 gene:Potri.008G224337.v4.1 transcript:Potri.008G224337.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224337.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQGGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRARAAATAAGREGSRRDKGQAAGGNDGDNHAGGCQPRLRRRRPGLGSGHITPRGRGLQGEPTHGRAHGNLMPRPRQRRALLAIPKLGCPHQPRQPGLRLASRGSGHRRRDVAASRRPRSSCQHLGTSTANECHAHAADKQPQRARRLGAGPEDGGRNRVVAGRKTGHRCRQYFGP >Potri.003G129600.8.v4.1 pep chromosome:Pop_tri_v4:3:14819527:14824357:1 gene:Potri.003G129600.v4.1 transcript:Potri.003G129600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129600.v4.1 MDLREDSSRFGLLPVTTSRISSSSSAFFSANQSPFFSPRSPTCQVSESTRSDAQYDSTHLSGDPLSSSSGIPDPQSLANTRDALADMTRDPVSGIANDFQKFNRISSSTGISSSTLCIYNYARDRGYSGFREKPRKHGRSHGMSYTPVSVSSCKLRSCDVFIGLHGRKPSLMRFANWLRAELEVQGMSCFVSDRARCRNSRKNGIVDRAMDVSSFGIVILTKKSFRNPYAIEELQYFESKKNLVPVFFDLSPDDCLVRDIIEKRGELWEKHGGELWHLYGGLENEWKEAVNGISRVDEWKLEAQEGNWRDCILRAVTLLALRLGRRSVVERLTKWREVVEKEEFPFPRNENFVGRKKELSELEFILFGDVSGNSERDYFELKARPRRKNLTVGWNKNSSVEEKRREQQGDNSSEKGKEPVVWKESEREIEMQSGDFSQRQHLVKPKSSGRYGKRKRSTKILYGKGIACVSGESGIGKTELLLEFAYRYHQRYKMVLWIGGESRYIRQNYLNLRSFLDVDIGVENYSGKSRIRSFEEQEEEAISKVRKELLRNIPFLVVIDNLESEKDWWDHKIVMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSAVEAMCLMQGSDKDYSIAEIDALRVIEEKVGRLTLGLAIVGAILSELPINPSRLLDTINRMPLREMSWSGREAHSMRKNTFLLQLFEVCFSIFDHADGPRSLATRMVQASAWFAPAAIPVSLLALAAKKIPEKHKGTHLWRKLLSSLSCGLSSSYTKRSEAEASSMLLRFNIARSSTKQGYVHVNELIKLYARKRGVTGVAQAMVHAVISRGSVSHHSEHIWAACFLLFAFGTDPKAVELKVSELLYLVKQVVLPLAIRTFITFSRCSAALELLRLCTNALEAADQAFVTPVEKWLDKSLCWRPIQTNAQLNPYLWQELALSRATVLETRAKLMLRGGQFDIGDDLIRKAIFIRTSICGDDHPDTVSARETLSKLTRLHANVQIQNSS >Potri.003G129600.3.v4.1 pep chromosome:Pop_tri_v4:3:14819941:14824290:1 gene:Potri.003G129600.v4.1 transcript:Potri.003G129600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129600.v4.1 MPLESGDFVRMDLREDSSRFGLLPVTTSRISSSSSAFFSANQSPFFSPRSPTCQVSESTRSDAQYDSTHLSGDPLSSSSGIPDPQSLANTRDALADMTRDPVSGIANDFQKFNRISSSTGISSSTLCIYNYARDRGYSGFREKPRKHGRSHGMSYTPVSVSSCKLRSCDVFIGLHGRKPSLMRFANWLRAELEVQGMSCFVSDRARCRNSRKNGIVDRAMDVSSFGIVILTKKSFRNPYAIEELQYFESKKNLVPVFFDLSPDDCLVRDIIEKRGELWEKHGGELWHLYGGLENEWKEAVNGISRVDEWKLEAQEGNWRDCILRAVTLLALRLGRRSVVERLTKWREVVEKEEFPFPRNENFVGRKKELSELEFILFGDVSGNSERDYFELKARPRRKNLTVGWNKNSSVEEKRREQQGDNSSEKGKEPVVWKESEREIEMQSGDFSQRQHLVKPKSSGRYGKRKRSTKILYGKGIACVSGESGIGKTELLLEFAYRYHQRYKMVLWIGGESRYIRQNYLNLRSFLDVDIGVENYSGKSRIRSFEEQEEEAISKVRKELLRNIPFLVVIDNLESEKDWWDHKIVMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSAVEAMCLMQGSDKDYSIAEIDALRVIEEKVGRLTLGLAIVGAILSELPINPSRLLDTINRMPLREMSWSGREAHSMRKNTFLLQLFEVCFSIFDHADGPRSLATRMVQASAWFAPAAIPVSLLALAAKKIPEKHKGTHLWRKLLSSLSCGLSSSYTKRSEAEASSMLLRFNIARSSTKQGYVHVNELIKLYARKRGVTGVAQAMVHAVISRGSVSHHSEHIWAACFLLFAFGTDPKAVELKVSELLYLVKQVVLPLAIRTFITFSRCSAALELLRLCTNALEAADQAFVTPVEKWLDKSLCWRPIQTNAQLNPYLWQELALSRATVLETRAKLMLRGGQFDIGDDLIRKAIFIRTSICGDDHPDTVSARETLSKLTRLHANVQIQNSS >Potri.003G129600.6.v4.1 pep chromosome:Pop_tri_v4:3:14819529:14824373:1 gene:Potri.003G129600.v4.1 transcript:Potri.003G129600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129600.v4.1 MPLESGDFVRMDLREDSSRFGLLPVTTSRISSSSSAFFSANQSPFFSPRSPTCQVSESTRSDAQYDSTHLSGDPLSSSSGIPDPQSLANTRDALADMTRDPVSGIANDFQKFNRISSSTGISSSTLCIYNYARDRGYSGFREKPRKHGRSHGMSYTPVSVSSCKLRSCDVFIGLHGRKPSLMRFANWLRAELEVQGMSCFVSDRARCRNSRKNGIVDRAMDVSSFGIVILTKKSFRNPYAIEELQYFESKKNLVPVFFDLSPDDCLVRDIIEKRGELWEKHGGELWHLYGGLENEWKEAVNGISRVDEWKLEAQEGNWRDCILRAVTLLALRLGRRSVVERLTKWREVVEKEEFPFPRNENFVGRKKELSELEFILFGDVSGNSERDYFELKARPRRKNLTVGWNKNSSVEEKRREQQGDNSSEKGKEPVVWKESEREIEMQSGDFSQRQHLVKPKSSGRYGKRKRSTKILYGKGIACVSGESGIGKTELLLEFAYRYHQRYKMVLWIGGESRYIRQNYLNLRSFLDVDIGVENYSGKSRIRSFEEQEEEAISKVRKELLRNIPFLVVIDNLESEKDWWDHKIVMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSAVEAMCLMQGSDKDYSIAEIDALRVIEEKVGRLTLGLAIVGAILSELPINPSRLLDTINRMPLREMSWSGREAHSMRKNTFLLQLFEVCFSIFDHADGPRSLATRMVQASAWFAPAAIPVSLLALAAKKIPEKHKGTHLWRKLLSSLSCGLSSSYTKRSEAEASSMLLRFNIARSSTKQGYVHVNELIKLYARKRGVTGVAQAMVHAVISRGSVSHHSEHIWAACFLLFAFGTDPKAVELKVSELLYLVKQVVLPLAIRTFITFSRCSAALELLRLCTNALEAADQAFVTPVEKWLDKSLCWRPIQTNAQLNPYLWQELALSRATVLETRAKLMLRGGQFDIGDDLIRKAIFIRTSICGDDHPDTVSARETLSKLTRLHANVQIQNSS >Potri.003G129600.7.v4.1 pep chromosome:Pop_tri_v4:3:14819947:14824337:1 gene:Potri.003G129600.v4.1 transcript:Potri.003G129600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129600.v4.1 MPLESGDFVRMDLREDSSRFGLLPVTTSRISSSSSAFFSANQSPFFSPRSPTCQVSESTRSDAQYDSTHLSGDPLSSSSGIPDPQSLANTRDALADMTRDPVSGIANDFQKFNRISSSTGISSSTLCIYNYARDRGYSGFREKPRKHGRSHGMSYTPVSVSSCKLRSCDVFIGLHGRKPSLMRFANWLRAELEVQGMSCFVSDRARCRNSRKNGIVDRAMDVSSFGIVILTKKSFRNPYAIEELQYFESKKNLVPVFFDLSPDDCLVRDIIEKRGELWEKHGGELWHLYGGLENEWKEAVNGISRVDEWKLEAQEGNWRDCILRAVTLLALRLGRRSVVERLTKWREVVEKEEFPFPRNENFVGRKKELSELEFILFGDVSGNSERDYFELKARPRRKNLTVGWNKNSSVEEKRREQQGDNSSEKGKEPVVWKESEREIEMQSGDFSQRQHLVKPKSSGRYGKRKRSTKILYGKGIACVSGESGIGKTELLLEFAYRYHQRYKMVLWIGGESRYIRQNYLNLRSFLDVDIGVENYSGKSRIRSFEEQEEEAISKVRKELLRNIPFLVVIDNLESEKDWWDHKIVMDLLPRFGGETHIIISTRLPRVMNLEPLKLSYLSAVEAMCLMQGSDKDYSIAEIDALRVIEEKVGRLTLGLAIVGAILSELPINPSRLLDTINRMPLREMSWSGREAHSMRKNTFLLQLFEVCFSIFDHADGPRSLATRMVQASAWFAPAAIPVSLLALAAKKIPEKHKGTHLWRKLLSSLSCGLSSSYTKRSEAEASSMLLRFNIARSSTKQGYVHVNELIKLYARKRGVTGVAQAMVHAVISRGSVSHHSEHIWAACFLLFAFGTDPKAVELKVSELLYLVKQVVLPLAIRTFITFSRCSAALELLRLCTNALEAADQAFVTPVEKWLDKSLCWRPIQTNAQLNPYLWQELALSRATVLETRAKLMLRGGQFDIGDDLIRKAIFIRTSICGDDHPDTVSARETLSKLTRLHANVQIQNSS >Potri.004G179300.1.v4.1 pep chromosome:Pop_tri_v4:4:19354393:19357230:-1 gene:Potri.004G179300.v4.1 transcript:Potri.004G179300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179300.v4.1 MEKQERKSKSHVLAIPVPAQGHINPMMQFSKRLASKGVQVTIVIFSSKVLKHTHRLGSVEVVTIDFVSYEGKLSSDDYLKQLRATVTRKLPELVAELNNSSGHPISCLLYDSHLPWLLDTARQLGLTGASLFTQSCAVDNVYYNVHEMQLKIPPEKLLVTVSRLPALSALEITDLPSFVQGMDSESEHSLLLNHVVGQFSNFREADWIFVNTFSTLEEEAVNWLASQRSIKPIGPMIPSFYLDKQLEDDREYGPSLFKPNLDGCMEWLDSKETGSVVYVSFGSMTALGEEQMEEIAWGLKRSDCNFLWVVRESEKKKLPSNFAEESSEKGLIVTWSQQLEVLAHKSVGCFMTHCGWNSALEALSLGVPMVAMPQWTDQPTNAKYIADVWHVGVRVKANKKGIVTKEEVEGCIREVMEGERGSEMRRNSEKWMKLAKTAVDEGGSSDKNITEFAAELARKFHET >Potri.005G178900.2.v4.1 pep chromosome:Pop_tri_v4:5:18492632:18498036:1 gene:Potri.005G178900.v4.1 transcript:Potri.005G178900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178900.v4.1 MNSLKILSVLFLSLVISFSSIISSPSSSPSTTSTSTSPITCNNNNNYYNYDGDYSYSCFDGTLSSVNSLNSKPKNQSKPTKGKPSSPSCEVWTKTCSEAVLALARQPETVTWLKSVRRKIHENPELAFEEVKTSELVRDELDRMGIEYRYPLAQTGIRAWIGTGGPPFVAVRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVAMLMGAAKILKSREHLLKGTVILLFQPAEEAGNGAKRMIGDGALEEVEAIFAVHVSHEHPTAIIGSRPGPLLAGCGFFRAVINGKMGRAGTPHHSVDPILAASAAVISLQGIVSREANPLDSQVVSVTTMDGGNDLDMIPDTVILGGTFRAFSNTSFNQLLQRIEEVIVEQASVFRCSATVDFFENQSTVYPPTVNDDHMYEHVRKVAIDLLGPANFRVVPPMMGAEDFSFYTQVVPAAFYYIGVRNETLGSTHTGHSPYFMIDEDVLPIGAATHATIAERYLIEHG >Potri.015G040900.1.v4.1 pep chromosome:Pop_tri_v4:15:3723564:3725755:1 gene:Potri.015G040900.v4.1 transcript:Potri.015G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040900.v4.1 MSLNNITFLLLLALFPLLLPGLPNPDTATIQPFKPNPSPPAIIPAFPEQSNLAGCPLDLPNELFHGINSACGSGSSATGHLHRTRCCPVLAAWLYSAYSATALSRANKVIPATDTGRSPSYDMPLLPDDSETCVDGLSKGLKEKGIELFKPNETCDVVYCYCGIRLHPLSCPEAFSLNQNGKLVGDKRVEKLERNCLSDSNNVNGFPGLGGCSKCLNSLYLLNNKKALNSSKSEDRTTKMHNKDCQLMGLTWLLAKNRTAYIHTVTAVLRAMMMSIDGSDPRSCTLSSDGMPLPVDSSEISNNSFSISHQAPIYVTIAVICLLSLLHLVPSAIF >Potri.018G037000.1.v4.1 pep chromosome:Pop_tri_v4:18:2930773:2933410:-1 gene:Potri.018G037000.v4.1 transcript:Potri.018G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037000.v4.1 MALSRLPKLHFSIFTHPLPSPYTHINLPLITKLKLSTLSRLKSTVTTTEPIPFTEAHNLLALQEQTQTQFSLDKLFIPPDTEVSVNENSSGLSARVLKGSNIVLSKYARDAQIVQAEFIKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSIVRRKKLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQELRTDWNKFTKDYFLNRSTLVSVFLLIDASIPAKKIDLEYASWLGQNQVPMTLIFTKCDKRKKKKNGGKRPEENVNEFQELIRDFFETAPPWIMTSGVTNQGRDEMLLHMAQLRNYWLKH >Potri.005G215700.1.v4.1 pep chromosome:Pop_tri_v4:5:21924460:21926466:-1 gene:Potri.005G215700.v4.1 transcript:Potri.005G215700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215700.v4.1 MADSLTEEQVAEFREAFCLIDKDADGFITMEELATIVQSLDRRPTKEEIQDMICDVDLDGNGTLDFEEFLNIMGRKQKENVTEELKEAFKVFDRNQDGYISANELRQVMINLGERLTEEEAEQMIREADVDGDGLVSYEEFARMMMVAF >Potri.019G095200.1.v4.1 pep chromosome:Pop_tri_v4:19:13303012:13305301:1 gene:Potri.019G095200.v4.1 transcript:Potri.019G095200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095200.v4.1 MARKFNSWFYLICFFFMAGLATSSSFISNDALDVHGGSGRTLLQMKKTCNVSFENLDYSILTDKCKGPQYPAKSCCDAFKEFACPFSDAINDLETDCASTMFSYINLYGKYPPGLFANECREDKNGLDCQNVDQSKKSGGVQIAATQSSLLTLTAGLIVLLLRLF >Potri.017G015200.1.v4.1 pep chromosome:Pop_tri_v4:17:1068930:1074170:1 gene:Potri.017G015200.v4.1 transcript:Potri.017G015200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015200.v4.1 MALELIGGSILSALVEVLVDRLASREVLDSFKSHKLDDGLLEKLNETLNTVNGLLDDAEEKQITKRAVKNWLNDVKHAVYEAEDILDEINYEYLRSKDIDTPRPDSNWARNLVPFLNPANRRMKEIGAELQKIYEKLERLLKHKGDLRHIEGTGGWRPLSEKTTPLVNEPDVYGRDADKEAIMEHLLTQHKTDGPNLVVVPIVGMGGIGKTTLAQLIYNDEKVDQFFQLKAWVWASQQFDVTRIIEDIIKKIKARTCPTKEPDESKEPNESLMEAVKGKKLLLVLDDAWNIEYNEWDKLLLPLRYVEHGSKIVVTTREEDVAKVTQTVIPSHRLNVISDEDCWKLFARDAFSGVNSGAVSHLEEFGRVIVRKCKGLPLAAKTLGGLLHSVGDVKQWEKISNSSMWGSSNENIPPALTLSYYYLPSHLKRCFAYCAIFPKDYVFKKDRLITEWMAHGFLVQPRGVEEMEDIGEKYFNDLVSRSLFQQSTGDSFFSMHDLISDLAEYVSGEFCFKLGINESGSGLESEHSCSLPERTRYLSITSAAAYGGGLRIFRSIHGVQHLRALFPLKFFVEVDIEALNDILPNLKRLRMLSLCHPKDISSQLLNSIGNLKHLRHLDLSQTVFKRLPESVCTLYYLQSLLLKECRLLMELPSNLSNLVDLQHLDIEGTNLKEMPPKMGKLTKLRILESYIVGKDSGSSMKELGKLSHIRKKLSIRNLRDVANAQDALDANLKGKKKIEELGLTWDGSTDDTPHERDVLEKLEPSEDVKELAIIGYGGTTFPGWLGNSSFSNMVTLLLSGCTNCILLPPLGQLPSLEELEIEGFDEVVAVGSEFYGSDPPMEKPFKSLITLKFEGMKKWQEWNTDVAGAFPHLENLLIAGCPELTNGLPNHLPSLLILEIRACPQLVVSIPEAPLLREINVSEGDESRITGRTSSYYCYYLPDQPPKCLHFRRDPQLTGMEQMSHLDPRLFTDIKIEECSSFKCCQLDLLPQVTTLTIEHCLNLESLCIGERPVPALCRLTISHCPNLVSFPKGGLAAPDLTSLVLEGCLYLKSLPENMRSLLPSLQDLQLISLPEVDSFPEGGLPSNLNTLCIEDCIKLKVCGLQALSSLSYFEFSGNDVESFDEETLPSTLTTLKIKRLGNLKSLDYKGLHHLTSLQGLGIEGCPKLESISEQALPSSLEYLYLRNLESLDYVGLHHLTSLDTLKIKSCPKLEFVSEQVLPSSLEYQGLHHLTSLRNLSIESYPKLEHISEQGLPSSLECLHLCKLESLDYIGLQHLTSLHKMKIGSCPKLESLQGLPSSLEFLQLWDQQDRDYKELRHLTSLRKMNIRRSLKLEYLQEGTLPSSLKDLEIQDLEDLDYKGFRHLSSLRKLHICNSPKLEFVPGEELPSSLVSLKISGLINLKSVMRLQHLTSLRKLIIRDCPKLEYLPTEELSLPLVPDISGCPFVEPSCCIS >Potri.007G113200.1.v4.1 pep chromosome:Pop_tri_v4:7:13392895:13395071:1 gene:Potri.007G113200.v4.1 transcript:Potri.007G113200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113200.v4.1 MDVARGLSAKNLNGIKHLQNGGIGLNSDAILVLKLPDSRVMRVVSRSFFLAMLVLTLPFVVSILRELDSSSYYDDPGSASFDLESFDLLLQDLAKEGLIKKGDKALIVCSGVGAVVDTSRFLNDNDIDFVSESDLEQERLFPNATFDFALTLRIGDARFVDRVVKVGGILVTQLSSDPSNAFQKLSNYRAVYLRRYDSTIVAMRKTSLVNQVVVSSAKRRPLQLALDAKKTALQGLEDVLLEPPRKALAKSRVYLKRFKYLPNLLGDSLEDYSRRVFIHAGLHEEKKGAMQWFNENYPTRNQDFEFHSINTSPEGHSKRVASPADVSNWLMKNVREDEFVVMKAEAEVAEEMVKRKTIGLVDELFLECNNQWKNGERKKSKRAYWECVALYGRLRDEGVAVHQWWD >Potri.001G270500.1.v4.1 pep chromosome:Pop_tri_v4:1:28493444:28496144:-1 gene:Potri.001G270500.v4.1 transcript:Potri.001G270500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270500.v4.1 MWAIGLVVVALVVIYYTHMIFKWRSPKIEGVLPPGSMGWPLIGETLQFISPGKSLDLHPFVKKRMEKYGPIFKTSLVGRPIIVSTDYEMNKYILQHEGTLVELWYLDSFAKFFALEGETRVNAIGTVHKYLRSITLNHFGVESLKESLLPKIEDMLHTNLAKWASQGPVDVKQVISVMVFNFTANKIFGYDAENSKEKLSENYTKILNSFISLPLNIPGTSFHKCMQDREKMLKMLKDTLMERLNDPSKRRGDFLDQAIDDMKTEKFLTEDFIPQLMFGILFASFESMSTTLTLTFKFLTENPRVVEELRAEHEAIVKKRENPNSRLTWEEYRSMTFTQMVVNETLRISNIPPGLFRKALKDFQVKGYTVPAGWTVMLVTPATQLNPDTFKDPVTFNPWRWQELDQVTISKNFMPFGGGTRQCAGAEYSKLVLSTFLHILVTNYSFTKIRGGDVSRTPIISFGDGIHIKFTARA >Potri.004G075900.3.v4.1 pep chromosome:Pop_tri_v4:4:6327227:6329565:-1 gene:Potri.004G075900.v4.1 transcript:Potri.004G075900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075900.v4.1 MMDCVSDGNLITGVIYKAHPEYIQLVVKALGGKIAGSDKRILFLCGDFMEDYEVTVPLQSLQALGCHVDAVCPKKKAGDFCPTAVHDFEGDQTYTEKPGHNFILTASYEGLDASSYDALVIPGGRSPEYLALDETVIALVKKFMQSKKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLGGATWLEPDPIDRCYTDENLVTGAAWPGHPQFVSQLMALLGIRVSF >Potri.004G075900.2.v4.1 pep chromosome:Pop_tri_v4:4:6327530:6329538:-1 gene:Potri.004G075900.v4.1 transcript:Potri.004G075900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075900.v4.1 MANCKPRKKVLLLCGDYMEDYEAMVPFQALQAYGIAVDAVCPGKKAGDYCRTTVGDSGAYHGYQTYTEKPGHNFSLNATFDEVDFSKYDALVIPGGRAPEYLAMNESVLIKGRKCTAYRALGPVLIDAGALWIEPKTMMDCVSDGNLITGVIYKAHPEYIQLVVKALGGKIAGSDKRILFLCGDFMEDYEVTVPLQSLQALGCHVDAVCPKKKAGDFCPTAVHDFEGDQTYTEKPGHNFILTASYEGLDASSYDALVIPGGRSPEYLALDETVIALVKKFMQSKKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLGGATWLEPDPIDRCYTDENLVTGAAWPGHPQFVSQLMALLGIRVSF >Potri.006G050800.1.v4.1 pep chromosome:Pop_tri_v4:6:3532014:3532268:-1 gene:Potri.006G050800.v4.1 transcript:Potri.006G050800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050800.v4.1 MGLLDSGVRIAGRFYSHCPQTARLYYHPPSNSDDLHHHQQQQRHGHNGGSESQAPTRGSSRGASCGVKAAKGLDTIDIVFYSVM >Potri.001G226100.1.v4.1 pep chromosome:Pop_tri_v4:1:24483448:24488885:1 gene:Potri.001G226100.v4.1 transcript:Potri.001G226100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226100.v4.1 MAIPTGLLLLGMLFLMFSFASAQIEMPRGITEDFDTTCITDLKFGLSRKSFPGDFIFGAAASAYQTEGHANKSCRGPSIWDTFTQDFPERIADGCNGDLGIDLYNRYESDLEEMKDMNMDAFRFSISWSRVIPSGKIRAGVNKDGIEFYNKLIDATIAKGLQPYATLFHWDVPQALEDKYGGFLSDNIVSDFRDFAELCFKEFGDRVKYWITLNEPQKFTGDGYDSGHFAPGRCSKWVDEKYCINGNSSTEPYIVAHNLLLSHAAAVHTYWEKYQASQNGKIGVTLNARWFEPYSNSTEDRNAAKRSLDFMLGWFLNPITYGDYPSSMRELVNDRLPTFSPLDSINLKGSLDFVGLNYYTAYYAANANSSSPDPRRYQTDSNCIITGERDGKPIGPQAGVSWQYIYPEGLQYMLNHIKDTYNNPVIYITENGYGEVVKTDVQLHHGTVMDLPRVEYHCTHLRNVVASIKNHGVQVKGYFVWSFADNFEFTDGYTIGFGLLYVNRTSNFTRIAKLSSHWFTEFLGDQPANPVPLYFKRLNIA >Potri.004G117000.2.v4.1 pep chromosome:Pop_tri_v4:4:10981297:10987297:-1 gene:Potri.004G117000.v4.1 transcript:Potri.004G117000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117000.v4.1 MAASSLGQTEINWDKLDKSKFYVVGAGIFTGLTVGLYPVSVIKTRLQVATRDSVEKSASSVIRGILKTDGVPGLYRGFGTVITGAVPARIIFLTALETTKVTAFKMVEPFNFSEPTQAALANGIAGMAASLCSQAVFVPIDLISQRLMVQGYSGHEKYNGGLDVARKIIRTDGIRGFYRGFGLSVMTYSPSSAVWWASYGSSQRIIWRLLGQGTDREEAAPSKSTIMLVQATGGIIAGATASCITTPLDTIKTRLQVMGHERRSSARQIVTNLIRDDGWTGFYRGLGPRFVSMSAWGTTMILAYEYLKRLCVKDE >Potri.004G117000.5.v4.1 pep chromosome:Pop_tri_v4:4:10981296:10987206:-1 gene:Potri.004G117000.v4.1 transcript:Potri.004G117000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117000.v4.1 MAASSLGQTEINWDKLDKSKFYVVGAGIFTGLTVGLYPVSVIKTRLQVATRDSVEKSASSVIRGILKTDGVPGLYRGFGTVITGAVPARIIFLTALETTKVTAFKMVEPFNFSEPTQAALANGIAGMAASLCSQAVFVPIDLISQRLMVQGYSGHEKYNGGLDVARKIIRTDGIRGFYRGFGLSVMTYSPSSAVWWASYGSSQRIIWRLLGQGTDREEAAPSKSTIMLVQATGGIIAGATASCITTPLDTIKTRLQVMGHERRSSARQIVTNLIRDDGWTGFYRGLGPRFVSMSAWGTTMILAYEYLSMHSFFSFTFGVVYIFFHTMRLLCENFNIVNF >Potri.006G175161.1.v4.1 pep chromosome:Pop_tri_v4:6:17912014:17920851:1 gene:Potri.006G175161.v4.1 transcript:Potri.006G175161.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175161.v4.1 MGGYLLCMMVTGNRLSLLMNSLMFLCGTLYCSNCHQRSYFCHINSVKTKVKLPISMVFHLTIPFCSQVFPNSMYMSLLVVGKFKCFHLISRTQKAILFCISRGIQNAIHFSSLSLYHIFCIRKLCHIELNS >Potri.003G196200.2.v4.1 pep chromosome:Pop_tri_v4:3:19837111:19840305:-1 gene:Potri.003G196200.v4.1 transcript:Potri.003G196200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196200.v4.1 MASAVAIVSSYPWLNSSPSPSKYSKYQSFHPPPCRVVCRGGGSELPPPVTPDFKFALHDALDSSGVDTTHAREARQNFMSQIKGLSRIEREVSISINRRVDLAKTAIYISAEDFALMSQSSLALPVDPFIERLFDLTMEFCRSGKVLRASPEALLDSLYKFLYVEKDFQRSNVISRLEPHPLYLHAVLTYQSGSAYMLALIYSEILKVLRFWSLLDFDCEIFFPHDRYGLPRGYHKQKSAESDHPHILTVQTLLEEILKNVKEAFWPFRHDQTKSLFLRAVHAVLCTDRSNVVEERYCL >Potri.003G196200.1.v4.1 pep chromosome:Pop_tri_v4:3:19837016:19840489:-1 gene:Potri.003G196200.v4.1 transcript:Potri.003G196200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196200.v4.1 MASAVAIVSSYPWLNSSPSPSKYSKYQSFHPPPCRVVCRGGGSELPPPVTPDFKFALHDALDSSGVDTTHAREARQNFMSQIKGLSRIEREVSISINRRVDLAKTAIYISAEDFALMSQSSLALPVDPFIERLFDLTMEFCRSGKVLRASPEALLDSLYKFLYVEKDFQRSNVISRLEPHPLYLHAVLTYQSGSAYMLALIYSEILKVLRFWSLLDFDCEIFFPHDRYGLPRGYHKQKSAESDHPHILTVQTLLEEILKNVKEAFWPFRHDQTKSLFLRAVHAVLCTDRSNVVEESGFQLESAKSSHRRLDRGTLTSLHLGDLRLALSACERLILLEFDPKELRDYSVLLYHCGLYEQSLHYLKLYQDRKGSSLQKQASNELSSLEDEAGEKLMMRLNLISMEEGWSKPSHSGTFLRNNSEPS >Potri.003G196200.5.v4.1 pep chromosome:Pop_tri_v4:3:19837117:19840540:-1 gene:Potri.003G196200.v4.1 transcript:Potri.003G196200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196200.v4.1 MASAVAIVSSYPWLNSSPSPSKYSKYQSFHPPPCRVVCRGGGSELPPPVTPDFKFALHDALDSSGVDTTHAREARQNFMSQIKGLSRIEREVSISINRRVDLAKTAIYISAEDFALMSQSSLALPVDPFIERLFDLTMEFCRSGKVLRASPEALLDSLYKFLYVEKDFQRSNVISRLEPHPLYLHAVLTYQSGSAYMLALIYSEILKVLRFWSLLDFDCEIFFPHDRYGLPRGYHKQKSAESDHPHILTVQTLLEEVSCVIFCCHGRAYN >Potri.001G410477.1.v4.1 pep chromosome:Pop_tri_v4:1:44271880:44273256:1 gene:Potri.001G410477.v4.1 transcript:Potri.001G410477.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410477.v4.1 MTDFRFLSISLYFSSPIPNQNAVLLPHLMKSNFAERCQTFFFIENSRLMNPCFLNSHFCFDTFYCVVIIMQLLHPSLFGRPAHVLSHQPSALQTCPLQPGSSYSQAVA >Potri.003G041700.2.v4.1 pep chromosome:Pop_tri_v4:3:4810034:4814641:1 gene:Potri.003G041700.v4.1 transcript:Potri.003G041700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041700.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLDEERIALLQLKDALNYPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLNSLSLWGNRIAGWVEKKGGYELQRLRNLDYLDLGSNSLDNSILSFVEGFPSLKSLYLNYNRLEGLIDLKESLSSLEVLGLSGNNINKLIASRGPSNLTTLYLHNITMYESSFQLLQSLGAFSNLTTLYLTYNDFRGRILDDEMQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLTLQAFSGSVPFRGFLDLKNLEYLDLSYNTLNNSIFQAIKMMTSLKTLILQSCKLDGRTIAQGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHNLSPKFQLESISLSSHGQGAGAFPKFLYHQFSLQSLALTNIQIKGEFPNWLIENNTYLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGKIPSEIGARLPGLEVLLMSDNGFNGSVPFSLGNISSLQLLDLSNNSLQGQIPGWIGNMSSLEFLDLSVNNFSGRLPPRFDTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHLSGNILSWMISSHPFPQEYDSYDYLSSSQQSFEFTTKNVSLSYRGNIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHIIICLARLLRELHNFPRLRRAATKTILFFVENHYPRCAVRLCHCHQHQLQQTMKMMVASWIWRFFM >Potri.003G041700.3.v4.1 pep chromosome:Pop_tri_v4:3:4810034:4814641:1 gene:Potri.003G041700.v4.1 transcript:Potri.003G041700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041700.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLDEERIALLQLKDALNYPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLNSLSLWGNRIAGWVEKKESLSSLEVLGLSGNNINKLIASRGPSNLTTLYLHNITMYESSFQLLQSLGAFSNLTTLYLTYNDFRGRILDDEMQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLTLQAFSGSVPFRGFLDLKNLEYLDLSYNTLNNSIFQAIKMMTSLKTLILQSCKLDGRTIAQGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHNLSPKFQLESISLSSHGQGAGAFPKFLYHQFSLQSLALTNIQIKGEFPNWLIENNTYLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGKIPSEIGARLPGLEVLLMSDNGFNGSVPFSLGNISSLQLLDLSNNSLQGQIPGWIGNMSSLEFLDLSVNNFSGRLPPRFDTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHLSGNILSWMISSHPFPQEYDSYDYLSSSQQSFEFTTKNVSLSYRGNIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHIIICLARLLRELHNFPRLRRAATKTILFFVENHYPRCAVRLCHCHQHQLQQTMKMMVASWIWRFFM >Potri.003G041700.6.v4.1 pep chromosome:Pop_tri_v4:3:4810957:4814641:1 gene:Potri.003G041700.v4.1 transcript:Potri.003G041700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041700.v4.1 MYESSFQLLQSLGAFSNLTTLYLTYNDFRGRILDDEMQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLTLQAFSGSVPFRGFLDLKNLEYLDLSYNTLNNSIFQAIKMMTSLKTLILQSCKLDGRTIAQGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHNLSPKFQLESISLSSHGQGAGAFPKFLYHQFSLQSLALTNIQIKGEFPNWLIENNTYLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGKIPSEIGARLPGLEVLLMSDNGFNGSVPFSLGNISSLQLLDLSNNSLQGQIPGWIGNMSSLEFLDLSVNNFSGRLPPRFDTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHLSGNILSWMISSHPFPQEYDSYDYLSSSQQSFEFTTKNVSLSYRGNIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHIIICLARLLRELHNFPRLRRAATKTILFFVENHYPRCAVRLCHCHQHQLQQTMKMMVASWIWRFFM >Potri.003G041700.5.v4.1 pep chromosome:Pop_tri_v4:3:4810034:4814641:1 gene:Potri.003G041700.v4.1 transcript:Potri.003G041700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041700.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLDEERIALLQLKDALNYPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLNSLSLWGNRIAGWVEKKGFLDLKNLEYLDLSYNTLNNSIFQAIKMMTSLKTLILQSCKLDGRTIAQGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHNLSPKFQLESISLSSHGQGAGAFPKFLYHQFSLQSLALTNIQIKGEFPNWLIENNTYLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGKIPSEIGARLPGLEVLLMSDNGFNGSVPFSLGNISSLQLLDLSNNSLQGQIPGWIGNMSSLEFLDLSVNNFSGRLPPRFDTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHLSGNILSWMISSHPFPQEYDSYDYLSSSQQSFEFTTKNVSLSYRGNIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHIIICLARLLRELHNFPRLRRAATKTILFFVENHYPRCAVRLCHCHQHQLQQTMKMMVASWIWRFFM >Potri.003G041700.4.v4.1 pep chromosome:Pop_tri_v4:3:4810034:4814641:1 gene:Potri.003G041700.v4.1 transcript:Potri.003G041700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041700.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLDEERIALLQLKDALNYPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLNSLSLWGNRIAGWVEKKGPSNLTTLYLHNITMYESSFQLLQSLGAFSNLTTLYLTYNDFRGRILDDEMQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLTLQAFSGSVPFRGFLDLKNLEYLDLSYNTLNNSIFQAIKMMTSLKTLILQSCKLDGRTIAQGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHNLSPKFQLESISLSSHGQGAGAFPKFLYHQFSLQSLALTNIQIKGEFPNWLIENNTYLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGKIPSEIGARLPGLEVLLMSDNGFNGSVPFSLGNISSLQLLDLSNNSLQGQIPGWIGNMSSLEFLDLSVNNFSGRLPPRFDTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHLSGNILSWMISSHPFPQEYDSYDYLSSSQQSFEFTTKNVSLSYRGNIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHIIICLARLLRELHNFPRLRRAATKTILFFVENHYPRCAVRLCHCHQHQLQQTMKMMVASWIWRFFM >Potri.016G018900.1.v4.1 pep chromosome:Pop_tri_v4:16:1011798:1014986:-1 gene:Potri.016G018900.v4.1 transcript:Potri.016G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018900.v4.1 MLQSLIPQSPINSTDPNNSSSPSMKSKRAAADLTAGDSSGEDPSSKRVSYEKPTTEIDCVGDDGGEASGLRLLGLLLQCAECVAMDNLNDATDLLPEIAELSSPFGSSPERVGAYFAHALQARVVGSCLGTYSPLVSKSVTLTQSQRLFNALQSYNSISPLVKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRPKKIRSMRITGFGSSSELLESTGRRLADFASSLGLPFEFHPLEGKIGNVTDLSQLGVRPREAIVVHWMHHCLYDVTGSDLGTLKLLTLLRPKLITTVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLGVDSVERHMVEQQLFGCEIRNIVAVGGPKRTGEVKVERWGDELRRVGFEPVSLGGSPAAQASLLLGMFPWKGYTLVEENGSLKLGWKDLSLLTASAWQPSD >Potri.015G123001.1.v4.1 pep chromosome:Pop_tri_v4:15:13617176:13617932:-1 gene:Potri.015G123001.v4.1 transcript:Potri.015G123001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123001.v4.1 MGSVNSLNNFFCCCHFLLPLFSRIGFFIRAPITISWYVFSKQESRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRVLHDSQRHQFARVKFVVVA >Potri.012G002350.1.v4.1 pep chromosome:Pop_tri_v4:12:1188739:1188999:1 gene:Potri.012G002350.v4.1 transcript:Potri.012G002350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002350.v4.1 MLWFAVFLRMVHRLRYMVKPVVEEAQSALSRNLIAVTSSSTAPVKMAPTTPETKESDIDASGSPIVPLTEHGPSSDMKSLFLQVKD >Potri.007G109700.4.v4.1 pep chromosome:Pop_tri_v4:7:13179935:13186033:-1 gene:Potri.007G109700.v4.1 transcript:Potri.007G109700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109700.v4.1 MGSLEEERLVQMVRDFIESESSAAPTFTASSNCLSINQVKYLTLQEILGIVTEAEAEVLETLLKHMRSKSDAEKTTSKKLWLMKRLKMDGFNASLCQTSWVTSLGCPAGDYEYIDITLEDENGGTMRLIVDLDFRSQFELARPTPFYKELTDTLPLFFVGSEDKLHKIISLLCSAAKQSLKERGLHLPPWRTSTYMQSKWLSRTCKVASATNIGYSNRENREAKNGYSSMWSPPMVKPKRRGWGGGSGGSGLSSQPL >Potri.007G109700.1.v4.1 pep chromosome:Pop_tri_v4:7:13179734:13182025:-1 gene:Potri.007G109700.v4.1 transcript:Potri.007G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109700.v4.1 MGSLEEERLVQMVRDFIESESSAAPTFTASSNCLSINQVKYLTLQEILGIVTEAEAEVLETLLKHMRSKSDAEKTTSKKLWLMKRLKMDGFNASLCQTSWVTSLGCPAGDYEYIDITLEDENGGTMRLIVDLDFRSQFELARPTPFYKELTDTLPLFFVGSEDKLHKIISLLCSAAKQSLKERGLHLPPWRTSTYMQSKWLSRTCKVASATNIGYSNRENREAKNGYSSMWSPPMVKPKRRGWGGGSGGSGLSSQPL >Potri.004G159000.2.v4.1 pep chromosome:Pop_tri_v4:4:17951846:17956580:1 gene:Potri.004G159000.v4.1 transcript:Potri.004G159000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159000.v4.1 MKDTKEKVTDYAKPFAIRGLLERLDSGRYGSVTDDIRSLFYRRAQLIHPCLAMHPTLSNEPRGRGMSFGEGKCNVTDLDDDEIEGGGDSVGNVVVGRTPVVVIDSDDDESNENRMVGHFQGIVLPKPEGQFSTDVMVSDNVGRRIQGEVASLTGEPDSKKDKGVYVGVEDDEVDTEIKDDGLQVSDNVGRRIQGEVASRTGEPDSKKDKGVYVGVEDDEVDTEIKDDGLQVSDNVGRRIQGEAASLAGEPDSKKDNGVYVGVEDDEVDTEIKYDGLGDIWKEMSFALECSKDVVENSPSDENMEEDEDYCDHSFVLKDDIGYVCRICGVIERAIYTIIEIQFNKVKRNTRTYISESRNAKDRDSNGTVGADLFEEDLMVTDIPAHPRHMKQMKPHQVEGFNFLRNNLVADNPGGCTWLMLRDPIWQIEDIPLYDFYSVKADSRRQQLEVLNQWVEQKSILFLGYKQFSSIVCDDGKNQVSVTCQEILLRRPSILILDEGHTPRNENTDVLQSLAKVQTPRKVVLSGTLYQNHAKEVFNVLNLVRPKFLRMDTSRAIVKRILSKVNIPGARKQFKAGAGAAFYDLVEQTIQKDQDFKRKVTVIRDLREMTSKVLHYYKGDFLDELPGLVDFTLMLNLSSRQKHEVKKLKKLAMKFKRSSVGSAVYLHPKLNSFSENSAITDDMMDDLLETVDVRDGVKAKFFLNILSLCESAGEKLLVFSQYLTPLKFLERLVIKVKGWILGKEIFVISGESSSDHREWSMERFNNSTDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTCQAIGRAFRPGQTKKVYAYRLVAADSPEEEDHTTCFRKEAIAKMWFEWNEYCGYQDFEVGTVELDDSGDRFLESLLVREDVRVLYKRSVHIGNSVNFP >Potri.008G088300.2.v4.1 pep chromosome:Pop_tri_v4:8:5519015:5521281:-1 gene:Potri.008G088300.v4.1 transcript:Potri.008G088300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088300.v4.1 MKMALAGFILVGLLAMVSSVNGYGGGGWINARATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGLSCGSCYEIRCVNDPKWCLPGSILVTATNFCPPNNALPNNAGGWCNPPQHHFDLSQPVFQHIARYSAGVVPVSYRRVPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHAVSVKGSRTGWQPMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVVSYNAAPSSWSFGQTFSGAQFR >Potri.011G129400.1.v4.1 pep chromosome:Pop_tri_v4:11:16281751:16285527:1 gene:Potri.011G129400.v4.1 transcript:Potri.011G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129400.v4.1 MATKVYIVYYSMYGHVEKLAEEIRKGASSVEGVEAKLWQVPEILPEEVLGMMSAPPKSDVPIITPSELAEADGFVFGFPTRFGMMAAQFKAFLDATGGLWKTQQLAGKPAGMFFSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRQPTELELEQAFHQGKHIAAITKKLKGAA >Potri.007G071100.1.v4.1 pep chromosome:Pop_tri_v4:7:9288837:9294913:1 gene:Potri.007G071100.v4.1 transcript:Potri.007G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071100.v4.1 MGKSSKKSATKVEAAPAVIPAKAGKQGKNKREAEEDLEKIVSAKKQKKNDGVAQAVQKAKVETKTLKKKKHESSDSDDSSSEEEEVKVLPKKAVKPTKTPAKESSDSESDSGSELESDEEPPVKATPAKKEPIAVKNGSHGAAAKKGKDDSSSSESSEDESSDDEEIPAKASAPKNVPTQPATIKKVELSDDSESDSDDSESTDDDKGASTKAAFPKKVPAPAAQKKADSSDDDSDSDESSSEDETPAAKAAVASKKPSSVTETKELKQAKATAQKESSSEEESSSDGSESEEDSEDEKPAKTPKKNDTDVEMVDADIKSDVKTPKTPVTPVAHENTGSKTLFVGNLSFQVERADVENFFKGAGEVADVRFALDADERFRGFGHVEFTTAEAAQKALKLHGNTLLGRDVRLDLAREKGSNTPYSKDSSSFPKGGSGQSQTIFVRGFDKSAGEDEIRSSLQEHFGSCGEIKRVSIPTDYDTGAIKGMAYLEFNDADALSKAFELNGSQLGEYRLTVDEAKPRSDNRDSRDSGRGRGRGSGGRGRGGRFDSGRGGRFDSGRGGRGGRGRGTPFKPSVTTAASGKKKTFNDDY >Potri.017G038500.1.v4.1 pep chromosome:Pop_tri_v4:17:2504883:2510212:-1 gene:Potri.017G038500.v4.1 transcript:Potri.017G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G038500.v4.1 MDPNPSNEEFVHIENPSDNDNHLSESIVDVANELSEDDNKNDDVVERKELPEELSRSVMVLTCESKAEGGTCVVHLVGTAHVCQESCREVQAVISYLKPQVVFLELCASRVAMLSPQNLKVPTMGEMIKMWKKNHNTFGILYSWFLAKVADKLEVFPGSEFRVAFEEARKYEGKVVLGDRPVQITLRRTWGKMPVWHKVKFLYSLLFQALFLPSSEDLEKMLKEMDDVDMLTLVIQEMSKQFPTLMETLVQERDQYMSSTLLRIAKEHNSVVAVVGKGHLQGIKRHWEQHIELKDLMELPSQKSAVSAWKVLASLGVAVAGVAIVSGIYLSRKK >Potri.003G207200.2.v4.1 pep chromosome:Pop_tri_v4:3:20646198:20649991:1 gene:Potri.003G207200.v4.1 transcript:Potri.003G207200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207200.v4.1 MESDLQHQHHFLHGHNQHQQIHQKQMNSGLTRYQSAPSSYFSSNLDRDFCEEFLNRPTSPETERIFARFLANSGGNTENIPGSNLCEIKQDSPVKESVSQINQQPQMMASMNNHSSDTRLHQHQHQQHQHGNYSASQGFYQSRSKPPLPDHNPGSGMNHRSTNSTGLERMPSMKPSSGNNPNLVRHSSSPAGLFSNINIEFENGYAVLRDVGDLGAGNRDTTYSAAGRPPSSSGIRSTIAEMGNKNMGENSPDSGGFGETPGNNYDYPIGSWDDSAVMSTGSKRYLTDDDRTLSGLNSSETQNEEAGNRPPMLAHHLSLPKTSAEMSTIENFLQFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQDLVPNMDKQTNTSDMLDLAVDYIKDLQRQFKALSENRARCTCLKKQQP >Potri.003G207200.3.v4.1 pep chromosome:Pop_tri_v4:3:20646173:20649944:1 gene:Potri.003G207200.v4.1 transcript:Potri.003G207200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207200.v4.1 MESDLQHQHHFLHGHNQHQQIHQKQMNSGLTRYQSAPSSYFSSNLDRDFCEEFLNRPTSPETERIFARFLANSGGNTENIPGSNLCEIKQDSPVKESVSQINQQPQMMASMNNHSSDTRLHQHQHQQHQHGNYSASQGFYQSRSKPPLPDHNPGSGMNHRSTNSTGLERMPSMKPSSGNNPNLVRHSSSPAGLFSNINIEFENGYAVLRDVGDLGAGNRDTTYSAAGRPPSSSGIRSTIAEMGNKNMGENSPDSGGFGETPGNNYDYPIGSWDDSAVMSTGSKRYLTDDDRTLSGLNSSETQQNEEAGNRPPMLAHHLSLPKTSAEMSTIENFLQFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQDLVPNMDKQTNTSDMLDLAVDYIKDLQRQFKALSENRARCTCLKKQQP >Potri.001G084200.1.v4.1 pep chromosome:Pop_tri_v4:1:6731375:6731963:-1 gene:Potri.001G084200.v4.1 transcript:Potri.001G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084200.v4.1 MASMIRSKEDERAGAEIVYGPEECHRHSIELLEELGFPKGVLPLKDLEECGRVKETGFVWMKQKAPCEHFFVGSNSKVSYATEVTGYVEKFKMKKMTGIKSKQMFLWVPISEMSIGDPSSKKILFKTPMGIGKSFPFSAFMTDEEKQEKLEEVHG >Potri.009G109500.1.v4.1 pep chromosome:Pop_tri_v4:9:9431023:9433518:-1 gene:Potri.009G109500.v4.1 transcript:Potri.009G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109500.v4.1 MRHERGGTMFAELSIHRPTFGSLLHSDPAFFSNQEEVDDSSNKNSPTSSNMSPRYYNGSTPPSGEGSPYLSPWNQPSPYTKSPWVIPSPVNHSFSKNGLVGSLAREEGHVYSLAASGDLLFTGSDSKNIRVWNNLKEFAGFKSNSGLVKAIVIHGDKIFTGHQDGKIRVWRASSRNPSAYKRVGTVPTLKDYVKSSVNPKNYVEVRRHRNVLRIKHFDAVSCLSLNEDQGLLYSGSWDKTLKVWRTSDYKCLESINAHDDAINSVVTGFDSLVFTGSADGTVKVWRRELQGRRTGHFLVQTLLKHENAVTALSVNQESAVIYCGSSDGLVNFWEREKHLSHGGVLRGHKMAVLCLASAGNLVFSGSADKSICVWRREAGGVHICLSVLTGHGGPVKCLAVEEDRESDKGDQHWIVYSGSLDKSVKVWRVSENAPEWRGYQSPRMSSSSPTQSKRRYHG >Potri.003G218100.1.v4.1 pep chromosome:Pop_tri_v4:3:21312532:21315444:-1 gene:Potri.003G218100.v4.1 transcript:Potri.003G218100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218100.v4.1 MESASLKHVIFTRNQYSIFSKNQRKHHPLIPKTHVRRRFGFGSVKNYKKSDFQDFQGYAKPLHLLPATEVKVCTETSQEKLLTSIKGSWSLFKVKLCTSNVYGSSLSDPNAGILLCLIDRNGDSILHRIPAISESNSANLMDMVESDTLHFQRGSVDEFTFEGPKMGRIESLWVSVESGQWRLDGVSLTVISASQASPEENDGQEIRYTGCQYEFETDDVLLGEGSDLSMVELRPCHVSDLSGVDPSTLLSKSPSMSTSPPGSNVSNEESMREYADLKLSLLSYDAMLIFVGTTITGFSAGENAAFAFFIGGIGGFLYLLLLQRSVDGLPASSIPSNTSGFDRLVGGLKGPISSLALAVGFTFLAVKYSSGDAPSVFTPKELLAGMLGFLACKVAVVLAAFKPLKLDFKENE >Potri.005G001600.1.v4.1 pep chromosome:Pop_tri_v4:5:190740:192947:-1 gene:Potri.005G001600.v4.1 transcript:Potri.005G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001600.v4.1 MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGHGCWSSVPKQADLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKPLSEVENCKEKQQPTADKSNEKVSNVSNELNLIEAATLQPPAISSSSKINNSKDRNSSSSNMTNTPPTKEFFLDRFGTSHESSPASCRPSDLMGYFPFQKLDYKPSIGLSMNPNTTLCFNPNSSSEMISHEFNSCMTPPTILPSVSTSMFQTPIRVKPSVSLPSDHNPSVGSCDVNGVQNWEASSFSNNGSGSNGSSSSIELQSNTNFYESSAFSWGLANCGKSGEESHLRSLENDTAEDIKWSEYLNTTFFLGSTIQNQTSQHVYSEVKPETHFIAEGSSASWIPNQHQQASQPADIYTKDLQRLAVAFGQSL >Potri.016G127000.2.v4.1 pep chromosome:Pop_tri_v4:16:13042636:13045926:-1 gene:Potri.016G127000.v4.1 transcript:Potri.016G127000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127000.v4.1 MPSSLSFLTMRMLLFFLLSFFHLRACHSSPSMQPLCNDEESHALLQFKESLVINESASSYSSACPKVASWKVDGESGDCCSWEGVECDRDSGHVIGLDLSSSCLHGSIDSNSSLFHLVQLRRLNLADNDFNNSKIPSEIRNLPRLFDLNLSITGFTGQIPAEILELSKLVSLDLGLNSLKLQKPGLQHLVEALTNLEVLHLSEVNISAKVPQVMTNLSSLSSLFLRDCGLQGEFPMGIFQLPNLRFLNIRYNPHLTGYLPEFQLGNQLEKLLLARTSFSGQLPGSLGNLKSMKEFDVAGCYFSGVIPSSLGNLTKLNYLDLSSNVFFGKIPRSVVNLLQLTDLSLSSNNFSSGTLHWLCNLTKLNYVDLAQTNSYGEIPSCLGNLTQLTELNLDANELTGQIPSWIGNKTQLISLDLGDNKLHGPISESIFWLPNLEILDLEENLFSGTVEFGLLKSRSLVSFQLSGNNLSVIGNHNDSAALPKIQILGLGGCNLSGEFPTFLHGQNHLEFVELGGNKIEGHIPTWFMNLGTETLWHLDLRGNLLTGFEQSVDILPWNNLRNLRLSFNKLDGALPIPPHSTIIYIVSDNRLNGEIPPAICNLTSLVILQLSNNNLSGKLPQCLGNISNTASVLDLRNNTFSGDIPEAFSSGCTLRAIDFSQNQLEGKIPKSLANCTKLEILNIEQNKITDVFPSWLGILPKLRVLILRSNRLHGVIGKPKANFEFQRLQIVDLSGNCFLGKLPLEYFRNWTGMKTIYKEHPLYMQVDASFQLPRYRMTLNFDYSMTMTNKGVVTKYEKIQEFLTAIDLSSNRFEGGIPDALGDLKELYLLNLSNNFLTGRIPPSLSNLKGLEALDLSQNKLSGEIPVQLAQLTFLAVFNVSHNLLSGPIPRGNQFETFDSTSFDADSGLCGKPLSKKCGSGEDSLPAPKEDEGSGSPLEFGWTVVVIGYASGLVTGAILGCVMNTRKYEWQVKNYFVSWQHKGQYLKTRLRA >Potri.009G060500.10.v4.1 pep chromosome:Pop_tri_v4:9:6259682:6267920:1 gene:Potri.009G060500.v4.1 transcript:Potri.009G060500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060500.v4.1 MAEKSQVEKKQEIGVGAGSTVVEVNPKPQKGLTSKLIDYLEKLIVKLMYDTSQSHHYLSGNFAPVPDETPPVKDLPVKGYLPDCLNGEFVRVGPNPKLVPVAGYHWFDGDGVDVYRMSSFNEVTHRKMIHGMRIKDGKAAYVRRFVRTSRLKQEEFFGGAKFMKVGDLKGLFGLLMVNMQMLRAKTKVLDMSYGNGTGNTNLIYHHGKLLALQEADKPYVVKVMEDGDLQTIGLLDYEKRLKHSFTAHPKVDPFTGEMFTFGYSHEPPYVTYRVISKDGVMHDPVPITISEPIMMHDFAITENYAIFMDLPLYFRPKEMVKEKKLIFTFDATKKARFGVLPRYAKDDLLIKWFELPNCFIFHNANAWEEEDEIVLITCRVQNPDLDMVSGDVKEKLENFSNELYEMRFNMKSGVASQKKLSESAVDFPRVNESYTGRKQRYVYGTILDSIAKVTGVVKFDLHAEPEPGKGKIEVGGNVKGIFDLGPGRFGSEAVFVPSKPGTTSEEDDGYLIFFAHDESTGKSSVNVIDAKTMSADPVAVVELPHRVPYGFHAFFVSEEQLQEQAKL >Potri.009G060500.2.v4.1 pep chromosome:Pop_tri_v4:9:6259632:6267953:1 gene:Potri.009G060500.v4.1 transcript:Potri.009G060500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060500.v4.1 MAEKSQVEKKQEIGVGAGSTVVEVNPKPQKGLTSKLIDYLEKLIVKLMYDTSQSHHYLSGNFAPVPDETPPVKDLPVKGYLPDCLNGEFVRVGPNPKLVPVAGYHWFDGDGMIHGMRIKDGKAAYVRRFVRTSRLKQEEFFGGAKFMKVGDLKGLFGLLMVNMQMLRAKTKVLDMSYGNGTGNTNLIYHHGKLLALQEADKPYVVKVMEDGDLQTIGLLDYEKRLKHSFTAHPKVDPFTGEMFTFGYSHEPPYVTYRVISKDGVMHDPVPITISEPIMMHDFAITENYAIFMDLPLYFRPKEMVKEKKLIFTFDATKKARFGVLPRYAKDDLLIKWFELPNCFIFHNANAWEEEDEIVLITCRVQNPDLDMVSGDVKEKLENFSNELYEMRFNMKSGVASQKKLSESAVDFPRVNESYTGRKQRYVYGTILDSIAKVTGVVKFDLHAEPEPGKGKIEVGGNVKGIFDLGPGRFGSEAVFVPSKPGTTSEEDDGYLIFFAHDESTGKSSVNVIDAKTMSADPVAVVELPHRVPYGFHAFFVSEEQLQEQAKL >Potri.003G101300.1.v4.1 pep chromosome:Pop_tri_v4:3:12613195:12614280:1 gene:Potri.003G101300.v4.1 transcript:Potri.003G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101300.v4.1 MSSQAPDVSPSSYGNNDDIHDLAFAKRGCCWIPFLTTDRPSYCSCIIGSDFWQRIKPADCTANRESWWFQGWMKIRDWSELVAGPKWKTFLRRFNKKPGGGNPQHGKFQYDPSSYALNFDEGAKLYEDDDLLGRGFSSRYSLPPSCKSSMDFDKEGLL >Potri.009G122600.1.v4.1 pep chromosome:Pop_tri_v4:9:10247304:10252466:-1 gene:Potri.009G122600.v4.1 transcript:Potri.009G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G122600.v4.1 MQARTLLHCSHTLQNHNHPRFLSRSLISFKKRPLSLVSPNSHSSLLHPIPLVIKPRSRLLAPCNSPAHESANNSVTENESSTDSISEFIEETGIEVNREGLENQSMWEQMKEIVMFTGPATGLWICGPLMSLIDTAVIGQGSSIELAALGPGTVLCDGMSYIFMFLSIATSNMVATSLAKQDKNEVQHQLSMLLFIGLTCGSLMFLFTKFFGPSALKAFAGSNNLDIIPAANTYVQIRGLAWPAILIGWVAQSASLGMKDSWGPLKALAVASAVNGIGDIVLCRFLGHGIAGAAWATMASQIVAAFMMIDSLNKKGYNAYAISVPSTDDLMIVFRLAAPAFIMMISKVAFFSLIVYFVTSMDTLTLAAHQVMIQAFFMCTVWGEPLSQAAQSFMPELMYGVNRSLEKARTMLKSLAIIGTILGLALGIIGTSVPWFFPSIFTHDQKIIQEMHKVLIPYFLALAVTPCILSLEGTLLAGRDLKFISLAMSGCFFTGALLLLLVSSRGYGLPGYWFALVGFQWGRFFLALQRLLSPDGILFSEDLSQHELKELKAA >Potri.007G049300.7.v4.1 pep chromosome:Pop_tri_v4:7:4654626:4663306:-1 gene:Potri.007G049300.v4.1 transcript:Potri.007G049300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049300.v4.1 MGSSSKDDSGSDAEASSGDKTPSNSSLFSEGERVLAYHGPRIYEAKVQKAELRKKEWRYFVHYLGWNKNWDEWVGMDRLMKHTPDNVLKQQALEKKQGVDKSLKPGRSSQTKPKNSTDSKMDKEDPKSNVAKGKKRKSDSGMEKDNLPVEKLVKIQIPSTLKKQLVDDWEFVTQQDKFVKLPRSPNVDDILTKYLEYMSKKDGMITDSIGEILKGIRCYFDKALPVMLLYKKERQQYHDTVKIDVSPSTIYGAEHLLRLFVKLPELLAYVNIEEDTSTRLQQKLLDFLKFLHKNQSTFFLSAYDGSKVSEGKVKGKD >Potri.007G049300.5.v4.1 pep chromosome:Pop_tri_v4:7:4654647:4663265:-1 gene:Potri.007G049300.v4.1 transcript:Potri.007G049300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049300.v4.1 MDRLMKHTPDNVLKQQALEKKQGVDKSLKPGRSSQTKPKNSTDSKMDKEDPKSNVAKGKKRKSDSGMEKDNLPVEKLVKIQIPSTLKKQLVDDWEFVTQQDKFVKLPRSPNVDDILTKYLEYMSKKDGMITDSIGEILKGIRCYFDKALPVMLLYKKERQQYHDTVKIDVSPSTIYGAEHLLRLFVKLPELLAYVNIEEDTSTRLQQKLLDFLKFLHKNQSTFFLSAYDGSKVSEGKVKGKD >Potri.006G127750.1.v4.1 pep chromosome:Pop_tri_v4:6:10354142:10356204:1 gene:Potri.006G127750.v4.1 transcript:Potri.006G127750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127750.v4.1 MATPKEDERRLYQCARKYEKIVKQLSEMMNTRTRLPKRRRVSSTITKFLNEMRANPSTDTDGSASTRERDPE >Potri.010G089600.1.v4.1 pep chromosome:Pop_tri_v4:10:11460767:11466174:1 gene:Potri.010G089600.v4.1 transcript:Potri.010G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089600.v4.1 MVMGSDKEAKASSSQNMDMSIAGLHERHQQELENLTMTGQPFKTLKFFVLAMVQYCKRSVFYLLAKGGWLMLLSTVVAAVGIVLVTIDGPHEKHVEELSNYLRFGLWWIALGVASSIGLGSGLHTFVLYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRVPSWLGKDCKEFGDPVFPFSHGLRVPISSILFQVQIEAILWGVGTALGELPPYFISRAARISGSKLDAMEELDASLDENSGIIATRLTAIKHWLLTHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGVPFWKFFAATLIGKAIVKTHIQTIFIISVCNNQLLNWIENELIWVLSLIPGFAPALPHLTAKLHAMKEKYMAPAPPASSDMKVKNWDFSFAGMWNTVVWLMLLNFFFKIVNSTAQSYLKKQQEKEIGALTNSASALTHSD >Potri.017G047600.1.v4.1 pep chromosome:Pop_tri_v4:17:3365764:3388190:1 gene:Potri.017G047600.v4.1 transcript:Potri.017G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047600.v4.1 MGGGGYDSGSASDDDDDEEYEEVGGNRFLGFMFGNVDNSGDLDADYLDEDAKEHLAALADKLGSSLTEIDLSVKSHQTSTDAAEQDYDAKAEDAVDYEDFDEQYEGPEIQGVSEEDYLLSKKNYILSESTLQPPTSDNEDYDEDVEEELEKEPVVSDKILEFQTASLTGQQDVGVVSGVGVEKSSQDDVELGSMDSESSDAKSEDIHEEEADHVKGPLDGKGPSPLPILFIEDGMEILKFSEIFSIHEPLKKGQKRDHRYSIFKEKYTSMDASDIVEEDEEVFLKDSGQLFPSHLLVNQHDISILSEDAAELARFGTVHGAIKTSVQIEEQRKNSYLSAEPMNEEVEWKSPVHSKFYPLDQQDWEERILWDNSPAISDNSVESFDLSGPDTGSSFIRESEQVTSPQNLCSELPVELNENTSNFLRNRSSVLLESFGSEDSSEPGNLPFSESRCHPQLLRLESQMEVDSSSHVDDRRENNSAELHESDAVRRFSKLTLQNRDLMEGSWLDNIIWEPNETNIKPKLILDLQDKQMLFEILDHRDSKHLQLHAGAMIITRTLKQRVSHELLGHGNRSGWQFNIANDKFYMNRKISQQLQSNSNKRTAYGIKIHHSAPAIKLQTMKLKLSNKDLANFHRPKALWYPHDHEVAVKERGKLPTVGPMKIILKSLGGKGSKVHVDAEETVSSVKAKASKKLDFKPSETVKIFYLRKELEDHMSLAAQNVQPNSLLHLVRTKIHLWPRAQKIPGENKSLRPPGAFKKKSDLSVKDGHIFLMEYCEERPLLLSNVGMGANLRTYYQKSSPGDQTGISLRNEKRSLGNVVILEQTDKSPFLGDIKAGCSQSSLETNMYKAPIFPHKVPPTDYLLVRSAKGKLCLRRIDRVAVIGQQEPLMEVLAPASKNLQAYIINRLLLYLYRELRAAEKRGTPPWIRADELSALFPSIPETILRKKLKECAVLRKDANGHLFWAKKRDFIIPSEEELKKMVLPENVCAYESMQAGLYRLKHLGITKLTLPASVSTAMSQLPDEAIALAAASHIERELQITPWSLSSNFVACTNQDRANIERLEITGVGDPSGRGLGFSYVRAAPKAPMSNAMMKKKAGAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPDEQIAKQTRWHRIAMIRKLSSEQASCGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQSLSALDGDEIESDSEANSDLDSFAGDLENLLDAEEFEGDESNYESKHDKGDCVKGIKMRRRPSQAQAEEEFEDEAAEAAELCRLLMDDDEAGQKKKKKIKTGGLNAVLAPKKPSFVDNVHRGKKMNKTQPSGSYTPKENSIRDSKEVETLFMKGKASEKVNTVKKNVGISNTPPLKAKVIMADGLNHIFKEKKSAREKFVCGACGQLGHMKTNKNCPKYGKEPETPVETTDLEKASRKSTSQDLLNVSQHKLQKKRMVSKSATKVEVSEGEKSSLAKSLPVKFKCGSTEKFSDKPADGAADHSDQPTTSDVRPVSSDIDTGSRSTAKVNKIKIFNKAKPENIQVESHKPSIVIRPPMDIERSQIESHKPSIVIRPPTYRDRNHVDPHKPSIVIRPPAEKDREKTQKKIVIKQSKEIIDPDRVSQDGRTGREHRKTKKIAELSSFEKHGKTMHFSRESAKRKAEDRSWWEEEEKRRTAERLREERARRIYAEEMRSLEEQEKLADIKRYTETIRWDWDEEERQKAKKKKKKMKMKKPEISDDYLDDYRGARNGRRMPERDRGAKRRPVVDVGTYGADYTPATKRRRVGEVGLANILEGIVDALKDRVEVSYLFLKPVPKKEAPDYLDIIKRPMDLSTIRDKARKMEYKDRNEFRHDMWQIAYNAHVYNDGRNPGIPPLADQLLELCDYLLMEKQESLSEAEAGI >Potri.017G047600.7.v4.1 pep chromosome:Pop_tri_v4:17:3376143:3388192:1 gene:Potri.017G047600.v4.1 transcript:Potri.017G047600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047600.v4.1 MKIILKSLGGKGSKVHVDAEETVSSVKAKASKKLDFKPSETVKIFYLRKELEDHMSLAAQNVQPNSLLHLVRTKIHLWPRAQKIPGENKSLRPPGAFKKKSDLSVKDGHIFLMEYCEERPLLLSNVGMGANLRTYYQKSSPGDQTGISLRNEKRSLGNVVILEQTDKSPFLGDIKAGCSQSSLETNMYKAPIFPHKVPPTDYLLVRSAKGKLCLRRIDRVAVIGQQEPLMEVLAPASKNLQAYIINRLLLYLYRELRAAEKRGTPPWIRADELSALFPSIPETILRKKLKECAVLRKDANGHLFWAKKRDFIIPSEEELKKMVLPENVCAYESMQAGLYRLKHLGITKLTLPASVSTAMSQLPDEAIALAAASHIERELQITPWSLSSNFVACTNQDRANIERLEITGVGDPSGRGLGFSYVRAAPKAPMSNAMMKKKAGAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPDEQIAKQTRWHRIAMIRKLSSEQASCGVKVDPTTISKYARGQRMSFLQLQQQTREKCQEIWDRQVQSLSALDGDEIESDSEANSDLDSFAGDLENLLDAEEFEGDESNYESKHDKGDCVKGIKMRRRPSQAQAEEEFEDEAAEAAELCRLLMDDDEAGQKKKKKIKTGGLNAVLAPKKPSFVDNVHRGKKMNKTQPSGSYTPKENSIRDSKEVETLFMKGKASEKVNTVKKNVGISNTPPLKAKVIMADGLNHIFKEKKSAREKFVCGACGQLGHMKTNKNCPKYGKEPETPVETTDLEKASRKSTSQDLLNVSQHKLQKKRMVSKSATKVEVSEGEKSSLAKSLPVKFKCGSTEKFSDKPADGAADHSDQPTTSDVRPVSSDIDTGSRSTAKVNKIKIFNKAKPENIQVESHKPSIVIRPPMDIERSQIESHKPSIVIRPPTYRDRNHVDPHKPSIVIRPPAEKDREKTQKKIVIKQSKEIIDPDRVSQDGRTGREHRKTKKIAELSSFEKHGKTMHFSRESAKRKAEDRSWWEEEEKRRTAERLREERARRIYAEEMRSLEEQEKLADIKRYTETIRWDWDEEERQKAKKKKKKMKMKKPEISDDYLDDYRGARNGRRMPERDRGAKRRPVVDVGTYGADYTPATKRRRVGEVGLANILEGIVDALKDRVEVSYLFLKPVPKKEAPDYLDIIKRPMDLSTIRDKARKMEYKDRNEFRHDMWQIAYNAHVYNDGRNPGIPPLADQLLELCDYLLMEKQESLSEAEAGI >Potri.004G161800.2.v4.1 pep chromosome:Pop_tri_v4:4:18188658:18191309:-1 gene:Potri.004G161800.v4.1 transcript:Potri.004G161800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161800.v4.1 MSSDTRSQSRSRSRSRSPMDRRIRSDRFSYRGAPYRRESRRGYSQSNLCKNCKRPGHYARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASNCPNEGICHTCGKAGHRAKECTAPPMPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARECPNEPICNMCNVAGHVARQCPKSNMLGDRGGMRSGGYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAIECPSGRMMDRYPQRFYR >Potri.004G161800.4.v4.1 pep chromosome:Pop_tri_v4:4:18188660:18192846:-1 gene:Potri.004G161800.v4.1 transcript:Potri.004G161800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161800.v4.1 MSSDTRSQSRSRSRSRSPMDRRIRSDRFSYRGAPYRRESRRGYSQSNLCKNCKRPGHYARECPNVAICHNCGLPGHIASECTTKSLCWNCREPGHMASNCPNEGICHTCGKAGHRAKECTAPPMPPGDLRLCNNCYKQGHIAADCTNDKACNNCRKTGHLARECPNEPICNMCNVAGHVARQCPKSNMLGDRGGMRSGGYQDIVCRNCHQYGHMSRDCMGPLMICHNCGGRGHRAIECPSGRMMDRYPQRFYR >Potri.005G110200.1.v4.1 pep chromosome:Pop_tri_v4:5:8011374:8014608:1 gene:Potri.005G110200.v4.1 transcript:Potri.005G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110200.v4.1 MGKVSPKDGNLKTPKQKRRLRSSSSRYLKPGALAQLQYSKASAAKSCTDLGKKRVAVFGNKKVGDDDELVVEDRAMDKSPLLLSPVGLCKQHHPTIDKSPLMLSPVDLLKQNHLARTPKTPRIEDCDTESRLESLPMELLVKILCHLHHDQLRAVFHVSQRVRKAVLLARQFHFNYTTPDRSRQEMLLTMTPRPTEHWPFMSKGSGKGIFMSTPHTPKAPRHGPRPPSRIKITEMKSIAAVLFQDSTLSSRCMVPSTLPKPLCKSVASNRVLFYEEELCQAVAQNKLR >Potri.005G110200.2.v4.1 pep chromosome:Pop_tri_v4:5:8011374:8014057:1 gene:Potri.005G110200.v4.1 transcript:Potri.005G110200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110200.v4.1 MGKVSPKDGNLKTPKQKRRLRSSSSRYLKPGALAQLQYSKASAAKSCTDLGKKRVAVFGNKKVGDDDELVVEDRAMDKSPLLLSPVGLCKQHHPTIDKSPLMLSPVDLLKQNHLARTPKTPRIEDCDTESRLESLPMELLVKILCHLHHDQLRAVFHVSQRVRKAVLLARQFHFNYTTPDRSRQEMLLTMTPRPTEHWPFMR >Potri.002G142000.1.v4.1 pep chromosome:Pop_tri_v4:2:10644584:10646553:1 gene:Potri.002G142000.v4.1 transcript:Potri.002G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142000.v4.1 MDEGMQQFQQSLIELENEAEHLLLARNQVIENDKERNGNREALTALRKRAKTTKTSIKFPFESIMKDIGKPGSRTAPLVKEICGTCGNHDEKEKTWMMFPGSDVFACIPFHAAHTILDKDQERLEYEAKKLQSYVKEKTLLISEKGVLADMISPGVLRSLVTLTDKPK >Potri.006G232600.3.v4.1 pep chromosome:Pop_tri_v4:6:23539258:23541514:-1 gene:Potri.006G232600.v4.1 transcript:Potri.006G232600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232600.v4.1 MVTPISSISLTFFVNAFLLLCYLNKGHAVGEDEITKGYLHIIKVKSLLPSTACNQTFKVSNSLSLEVVHRSGPCIQVLNQEKAANAPSNMEILLRDRHRVDSIHARLSSHGVFQEKQATLPVQSGASIGSGDYAVTVGLGTPKKEFTLIFDTGSDLTWTQCEPCAKTCYKQKEPRLDPTKSTSYKNISCSSAFCKLLDTGGESCSSPTCLYQVQYGDGSYSIGFFATETLTLSSSNVFKNFLFGCGQQNSGLFRGAAGLLGLGRTKLSLPSQTAQKYKKLFSYCLPASSSSKGYLSFGGQVSKTVKFTPLSEDFKSTPFYGLDITELSVGGNKLSIDASIFSTSGTVIDSGTVITRLPSTAYSALSSAFQKLMTDYPSTDGYSIFDTCYDFSKNETIKIPKVGVSFKGGVEMDIDVSGILYPVNGLKKVCLAFAGNGDDVKAAIFGNTQQKTYQVVYDDAKGRVGFAPSGCN >Potri.006G232600.1.v4.1 pep chromosome:Pop_tri_v4:6:23539123:23541580:-1 gene:Potri.006G232600.v4.1 transcript:Potri.006G232600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232600.v4.1 MVTPISSISLTFFVNAFLLLCYLNKGHAVGEDEITKGYLHIIKVKSLLPSTACNQTFKVSNSLSLEVVHRSGPCIQVLNQEKAANAPSNMEILLRDRHRVDSIHARLSSHGVFQEKQATLPVQSGASIGSGDYAVTVGLGTPKKEFTLIFDTGSDLTWTQCEPCAKTCYKQKEPRLDPTKSTSYKNISCSSAFCKLLDTEGGESCSSPTCLYQVQYGDGSYSIGFFATETLTLSSSNVFKNFLFGCGQQNSGLFRGAAGLLGLGRTKLSLPSQTAQKYKKLFSYCLPASSSSKGYLSFGGQVSKTVKFTPLSEDFKSTPFYGLDITELSVGGNKLSIDASIFSTSGTVIDSGTVITRLPSTAYSALSSAFQKLMTDYPSTDGYSIFDTCYDFSKNETIKIPKVGVSFKGGVEMDIDVSGILYPVNGLKKVCLAFAGNGDDVKAAIFGNTQQKTYQVVYDDAKGRVGFAPSGCN >Potri.007G145900.4.v4.1 pep chromosome:Pop_tri_v4:7:15442431:15446025:-1 gene:Potri.007G145900.v4.1 transcript:Potri.007G145900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145900.v4.1 MWVQGAELKQPLKPPFLCNPLNSNRTRFFTNHYQKRKPFVVVMSMSTKSTAVPIMVNGCNGKMGSSVIMAANSAGLQILPKSFGPEYEAGNTVEVCGNEIKIHGPSERDSVLASLYDEYPNMIVVDYTLPTLVNDNADLYCKVGVPFVMGTTGGDRDRLYKIVDDSKVYAVISPQMGKQVVAFLAAMDMMAEQFPGAFSGYSLHVKESHQAAKLDTSATAKAVISCFKKLGVSFDDQIEMIRDPKEQKELVGVPDEYLSGHAFHFYYLSSPGKTVSLEFQHNVCGRSIYAEGTIDAVLFLAEKIQSKADKRIYNIIDILREGNK >Potri.007G145900.7.v4.1 pep chromosome:Pop_tri_v4:7:15442431:15446025:-1 gene:Potri.007G145900.v4.1 transcript:Potri.007G145900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145900.v4.1 MWVQGAELKQPLKPPFLCNPLNSNRTRFFTNHYQKRKPFVVVMSMSTKSTAVPIMVNGCNGKMGSSVIMAANSAGLQILPKSFGPEYEAGNTVEVCGNEIKIHGPSERDSVLASLYDEYPNMIVVDYTLPTLVNDNADLYCKVGVPFVMGTTGGDRDRLYKIVDDSKVYAVISPQMGKQVVAFLAAMDMMAEQFPGAFSGYSLHVKESHQAAKLDTSATAKAVISCFKKLGVSFDDQCFFGVST >Potri.007G145900.6.v4.1 pep chromosome:Pop_tri_v4:7:15442431:15446025:-1 gene:Potri.007G145900.v4.1 transcript:Potri.007G145900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145900.v4.1 MWVQGAELKQPLKPPFLCNPLNSNRTRFFTNHYQKRKPFVVVMSMSTKSTAVPIMVNGCNGKMGSSVIMAANSAGLQILPKSFGPEYEAGNTVEVCGNEIKIHGPSERDSVLASLYDEYPNMIVVDYTLPTLVNDNADLYCKVGVPFVMGTTGGDRDRLYKIVDDSKVYAVISPQMGKQVVAFLAAMDMMAEQFPGAFSGYSLHVKESHQAAKLDTSATAKAVISCFKKLGVSFDDQCFFGVST >Potri.007G145900.2.v4.1 pep chromosome:Pop_tri_v4:7:15442431:15446025:-1 gene:Potri.007G145900.v4.1 transcript:Potri.007G145900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145900.v4.1 MWVQGAELKQPLKPPFLCNPLNSNRTRFFTNHYQKRKPFVVVMSMSTKSTAVPIMVNGCNGKMGSSVIMAANSAGLQILPKSFGPEYEAGNTVEVCGNEIKIHGPSERDSVLASLYDEYPNMIVVDYTLPTLVNDNADLYCKVGVPFVMGTTGGDRDRLYKIVDDSKVYAVISPQMGKQVVAFLAAMDMMAEQFPGAFSGYSLHVKESHQAAKLDTSATAKAVISCFKKLGVSFDDQIEMIRDPKEQKELVGVPDEYLSGHAFHFYYLSSPGKTVSLEFQHNVCGRSIYAEGTIDAVLFLAEKIQSKADKRIYNIIDILREGNKWILLNLNGTAVVAGRERAG >Potri.007G145900.3.v4.1 pep chromosome:Pop_tri_v4:7:15442430:15446026:-1 gene:Potri.007G145900.v4.1 transcript:Potri.007G145900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145900.v4.1 MWVQGAELKQPLKPPFLCNPLNSNRTRFFTNHYQKRKPFVVVMSMSTKSTAVPIMVNGCNGKMGSSVIMAANSAGLQILPKSFGPEYEAGNTVEVCGNEIKIHGPSERDSVLASLYDEYPNMIVVDYTLPTLVNDNADLYCKVGVPFVMGTTGGDRDRLYKIVDDSKVYAVISPQMGKQVVAFLAAMDMMAEQFPGAFSGYSLHVKESHQAAKLDTSATAKAVISCFKKLGVSFDDQIEMIRDPKEQKELVGVPDEYLSGHAFHFYYLSSPGKTVSLEFQHNVCGRSIYAEGTIDAVLFLAEKIQSKADKRIYNIIDILREGNK >Potri.007G145900.8.v4.1 pep chromosome:Pop_tri_v4:7:15442431:15446025:-1 gene:Potri.007G145900.v4.1 transcript:Potri.007G145900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145900.v4.1 MWVQGAELKQPLKPPFLCNPLNSNRTRFFTNHYQKRKPFVVVMSMSTKSTAVPIMVNGCNGKMGSSVIMAANSAGLQILPKSFGPEYEAGNTVEVCGNEIKIHGPSERDSVLASLYDEYPNMIVVDYTLPTLVNDNADLYCKVGVPFVMGTTGGDRDRLYKIVDDSKVYAVISPQMGKQVVAFLAAMDMMAEQFPGAFSGYSLHVKESHQAAKLDTSATAKAVISCFKKLGVSFDDQCFFGVST >Potri.017G043900.3.v4.1 pep chromosome:Pop_tri_v4:17:3064074:3065996:-1 gene:Potri.017G043900.v4.1 transcript:Potri.017G043900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043900.v4.1 MFSSSNKYQTLKILTNSFGKAKASRKMGDETVKNDALQIIGMFQVLPRLVVFDLDYTLWPFYCDCRSKREMPSLFPQAKGILYALKEKGIDMAIASRSSTSDIAKTFIDKLSLKPMFVAQEIFASWTHKTDHFQRIHTRTGIPFNSMLFFDDEDRNIQSVSKMGVTSILVGDGVNLGALRQGLTEFSQNASKSEKNKQRWQKYSQNPSSSEKKDED >Potri.004G013601.1.v4.1 pep chromosome:Pop_tri_v4:4:845715:846872:1 gene:Potri.004G013601.v4.1 transcript:Potri.004G013601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013601.v4.1 MASEAPSWADQWGTGGIGSMEEDNYTTKQDTSNEKKSDGKGGLNKAKAAAMSGAQKLKSGASSSFKWVKSKCQKK >Potri.001G385200.1.v4.1 pep chromosome:Pop_tri_v4:1:40382203:40392090:-1 gene:Potri.001G385200.v4.1 transcript:Potri.001G385200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385200.v4.1 MSFSRLLFASLVAFCLATFASGATRLPDDEVEALRDMAKTIGKTNWNFSADPCGGQWGWVDPNPVKGNENAVSCDCTFSNGTICHVISIVLKTQNLEGSLPRDLGRFPYLQEIDLSRNYLNGTIPAEWGATPLATISIIGNRLTGPIPKEIGNISTLANFTVEFNQLSGVLPPELGNLTRLEKMHLSSNNFTGQLPTTFENLTTMKDFRIGDNSFTGQIPNLIQKWTNLEKLVIQGSGLSGPIPSGIALLEKMVDLRISDLQGNGTEAPFPPLTNMKKLKTLILRSCNIIGPLPDFVGELLKLTTLDLSFNKLIGEIPSNFSGLRKVDYIYLTGNQLNGTVPDWIIKDGESVDLSYNNFRNESSCLQRTVNLFGSASMGNVSGSTVPCLRSFHCPKQFYSLHINCGGKEANIEGNIYEDDTDPAGSSRFYQSRTNWGVSTTGHFMDDARSSDSYTWTNATKLSANTSSLYMDARLSPISLTYYGFCMGSGSYTVTLHFAEIMFTDDKTHSSLGRRFFDIYIQGKLVQKDFSIQEEAGGVGKAIIKNFTAIVTGNALEIRFYWAGKGTTAVPVRGVYGPLISAISVTPDFVPPSENSSSNGTSAGTVAGIVAAVVVVIFLILGILWWKGCLGQKISMRHDLKGLELKTGSFTLRQIKAATNNFDPANKIGEGGFGPVYKGVLSDGTVIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMENNSLARALFGREEYQLNLDWATRHKICVGIAKGLAYLHEESRLKIVHRDIKATNVLLDKNLDPKISDFGLAKLDEEENTHISTRVAGTLGYMAPEYAMRGYLTDKADVYSFGIVALEIVSGKSNTSHRTKEDTVYLLDWALVLKEKGTLLELVDPKLGQDYNKEEAITMINVALLCSNVSAAVRPAMSSVVSMLEGKAVVQDIDIPDESMSTDEKKIEQMRRHFQDINEQEISETRTLSMDGPWTAASTSAGDLYPVSLDSDYLKGRE >Potri.008G159500.3.v4.1 pep chromosome:Pop_tri_v4:8:10949671:10954166:-1 gene:Potri.008G159500.v4.1 transcript:Potri.008G159500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159500.v4.1 MAASLGAYTRATPRASLFSNTRTSFVIQSPPFLSITRTTKITRIRVSNDGSNSYLDMWKTAVDRERKTVEFQQIAGNLAQTDNDSDDDDDDVTVDLEKKSEDFNKILEVSKEERDRIQRVQVIDRAAAAIAAARDIVREKKSADKDFKSHESMGGEVEDQQGAGTESRSILVSRSESSADGVPGPDFWSWTPPLSSDGNSDDSSDVLKVRKSSDTPLTIPVAMKERSADFLSIPFESKLLDTNHSSPIPPLQSLVEVEGVEVSESILEMPSKNEEERELGVQFSAYAAEAAHALEKDKVDELSSYGVTADGSRCWRETGIEQRPDGVICRWTMTRGVSADQEVEWQEKFWEAADDFGYKELGSEKSGRDATGNVWREFWRESMRQESGLLHLEKTADKWGKNGQGDEWQEKWWEHYGASGQAEKWAHKWCSIDPTTNLEAGHAHVWHERWGEKYDGHGGSTKYTDKWAERCEGDGWAKWGDKWDENFDLNGHGVKQGEAWWEGKHGERWNRTWGERHNGSGWVHKYGKSSCGEHWDTHTQQDTWYERFPHYGFYHCFENSVQLREVQKPSEIDEQ >Potri.008G159500.8.v4.1 pep chromosome:Pop_tri_v4:8:10950330:10954112:-1 gene:Potri.008G159500.v4.1 transcript:Potri.008G159500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159500.v4.1 MKERSADFLSIPFESKLLDTNHSSPIPPLQSLVEVEGVEVSESILEMPSKNEEERELGVQFSAYAAEAAHALEKDKVDELSSYGVTADGSRCWRETGIEQRPDGVICRWTMTRGVSADQEVEWQEKFWEAADDFGYKELGSEKSGRDATGNVWREFWRESMRQESGLLHLEKTADKWGKNGQGDEWQEKWWEHYGASGQAEKWAHKWCSIDPTTNLEAGHAHVWHERWGEKYDGHGGSTKYTDKWAERCEGDGWAKWGDKWDENFDLNGHGVKQGEAWWEGKHGERWNRTWGERHNGSGWVHKYGKSSCGEHWDTHTQQDTWYERFPHYGFYHCFENSVQLREVQKPSEIDEQ >Potri.008G159500.2.v4.1 pep chromosome:Pop_tri_v4:8:10950256:10954112:-1 gene:Potri.008G159500.v4.1 transcript:Potri.008G159500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159500.v4.1 MAASLGAYTRATPRASLFSNTRTSFVIQSPPFLSITRTTKITRIRVSNDGSNSYLDMWKTAVDRERKTVEFQQIAGNLAQTDNDSDDDDDDVTVDLEKKSEDFNKILEVSKEERDRIQRVQVIDRAAAAIAAARDIVREKKSADKDFKSHESMGGEVEDQQGGTESRSILVSRSESSADGVPGPDFWSWTPPLSSDGNSDDSSDVLKVRKSSDTPLTIPVAMKERSADFLSIPFESKLLDTNHSSPIPPLQSLVEVEGVEVSESILEMPSKNEEERELGVQFSAYAAEAAHALEKDKVDELSSYGVTADGSRCWRETGIEQRPDGVICRWTMTRGVSADQEVEWQEKFWEAADDFGYKELGSEKSGRDATGNVWREFWRESMRQESGLLHLEKTADKWGKNGQGDEWQEKWWEHYGASGQAEKWAHKWCSIDPTTNLEAGHAHVWHERWGEKYDGHGGSTKYTDKWAERCEGDGWAKWGDKWDENFDLNGHGVKQGEAWWEGKHGERWNRTWGERHNGSGWVHKYGKSSCGEHWDTHTQQDTWYERFPHYGFYHCFENSVQLREVQKPSEIDEQ >Potri.T121301.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_3435:21994:22473:-1 gene:Potri.T121301.v4.1 transcript:Potri.T121301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T121301.v4.1 MDELHKIARAYYITANEESKSQGRRFFKSIDHDGSRGITIQEYLPYMKRNGHTKMANRPFFDYLNVSGTGELEFMEVMTLFYIIKSGRKFCDGCDGLLKGTFFSCTDCFDLDDESFNLCSECFTESSYVHPHRHFLDNYIILENMKVANKEGQMNHQVS >Potri.003G061600.1.v4.1 pep chromosome:Pop_tri_v4:3:8811706:8814473:1 gene:Potri.003G061600.v4.1 transcript:Potri.003G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061600.v4.1 MSDSSPSCKYFTFSTQMVHSGSQCSTQSKGFGIVSNIGDPLRKKPRICENNAGPSSKMLVGKSNAMMTGGGSFKTQLCMKFRTGHCSHGSKCLFAHAVCDLRKALPNLRRVVVNEDKNLCRMFNSGKGCTYGNKCRFLHVVPENFQKNLGQNWESSAISIGTTGTASSGGHKKGYKKTRLCNNWEMTGGCPYGKVCHFAHGQQELEKSDGSIALASGIVPTKASNSLLMGKDGIGSNHKHEAQATHCMFKWKALKKTRGIYADWIEDMHLLHSSLNEVEN >Potri.006G090033.1.v4.1 pep chromosome:Pop_tri_v4:6:6862116:6862223:-1 gene:Potri.006G090033.v4.1 transcript:Potri.006G090033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090033.v4.1 MLEMRFLLLNSTGPPIKRRAGLRIKQAGRGSYRGS >Potri.007G118000.1.v4.1 pep chromosome:Pop_tri_v4:7:13667105:13667773:1 gene:Potri.007G118000.v4.1 transcript:Potri.007G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G118000.v4.1 METPIDYDFIVRLKPNTIRNSQHVNVDQLPTTFPVNFLLYKREIYSLHGVYRRPVAISRKIIHIPVGDSPSHYINDFKEILTDMGIPEIKRSQILFEITTKAHGIDTSNGVFMFVSIRKTVYQEARLRNEEDDIARAERESMEVEAKPIPATKSSIDALERVVLDASASARDCTVCMEEIDAGSEAIRMPCSHVYHSDCIVKWLQTSHMCPLCRYHMPCENL >Potri.015G117200.4.v4.1 pep chromosome:Pop_tri_v4:15:13154594:13158769:-1 gene:Potri.015G117200.v4.1 transcript:Potri.015G117200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117200.v4.1 MANFSEPSSSLSYTSSSHLSNGSISHNISNSSGAEAGTSLEVISLNKLSSNLEQLLIDSTCDYSDADIVVEGTAIGVHRCILGARSKFFHELFRREKGSSEKEGKPKYCMSDLLPCGKVGYEAFLIFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAINFAVELMYASSIFQVPELVSLFQRRLQNFVGKALVEDMIPILVVAFHCQLSQLVTQCVDRIARSDLDNISIEKELPHDVAVEIKLLRRKSISDEENNTEAVDALREKRIKRIHMALDSDDVELVKLLLTESDITLDDANALHYAASYCDLKVVSEVLSLGLADVNLRNSRGYTVLHIAAMRKEPSVIVSMLAKGASALDLTSDGQSAVSICRRLTRPKDYHAKTEQGQEANKDRLCIDILEREMRRNPMAGSASITSHTMVDDLHMKLLYLENRVAFARLFFPTEAKLAMDIAHAATTPEFAGLAASKGSNGNLREVDLNETPIMQNKRLRSRMEALMKTGMWKL >Potri.015G117200.2.v4.1 pep chromosome:Pop_tri_v4:15:13154580:13158945:-1 gene:Potri.015G117200.v4.1 transcript:Potri.015G117200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117200.v4.1 MANFSEPSSSLSYTSSSHLSNGSISHNISNSSGAEAGTSLEVISLNKLSSNLEQLLIDSTCDYSDADIVVEGTAIGVHRCILGARSKFFHELFRREKGSSEKEGKPKYCMSDLLPCGKVGYEAFLIFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAINFAVELMYASSIFQVPELVSLFQRRLQNFVGKALVEDMIPILVVAFHCQLSQLVTQCVDRIARSDLDNISIEKELPHDVAVEIKLLRRKSISDEENNTEAVDALREKRIKRIHMALDSDDVELVKLLLTESDITLDDANALHYAASYCDLKVVSEVLSLGLADVNLRNSRGYTVLHIAAMRKEPSVIVSMLAKGASALDLTSDGQSAVSICRRLTRPKDYHAKTEQGQEANKDRLCIDILEREMRRNPMAGSASITSHTMVDDLHMKLLYLENRVAFARLFFPTEAKLAMDIAHAATTPEFAGLAASKGSNGNLREVDLNETPIMQNKRLRSRMEALMKTVEMGRRYFPSCSEVLDKFMEDDLPDLFYLEKGTPDEQRIKRTRFMELKEDVHRAFTKDKAEINRTGLSSSSSSSSLKDGISNKLRKL >Potri.013G144251.1.v4.1 pep chromosome:Pop_tri_v4:13:14216196:14216381:-1 gene:Potri.013G144251.v4.1 transcript:Potri.013G144251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144251.v4.1 MAKLESLDDAEPNQTNNNLNYNNDKKKRNHGSTKFFVFVDYLFLCIFLAFLIFIVFKIVGL >Potri.002G088100.1.v4.1 pep chromosome:Pop_tri_v4:2:6345250:6350694:1 gene:Potri.002G088100.v4.1 transcript:Potri.002G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088100.v4.1 MREGLIVDAVTDVVSTTKKKKSGEQDNIIINNDDDDNNNQRQQLDKVILLVADKEITKQAAVPPQPIVVTRARSQSATRRVTPATTPTTAVATTSISSEITVEKQLPNGDLYVGSFSGHAPHGSGKYVWTDGCMYEGEWRRGKASGKGKFSWPSGATFEGEFKSGRMEGFGTFIGSEGDTYRGSWSSDRKHGYGQKQYANGDLYEGTWKKNLQDGKGRYVWKNGNEYFGEWKNGVISGRGVLIWANGNRYDAQWENGVPKGNGVYTWPDGSYIGSWDNNNSKDLKGQQLNSTFCPGNRKECCLKRNESDLVLTTTPTRKRSSVDGGRGSGMNFPRICIWESDGDAGDITCDIIDNVEAEMIYRDGLGLDRECIRQFIRGPCCFNGGEVKKPGQTISKGHKNYDLMLNLQLGIRYSVGKHAQILRDLKPSDFEPKEKFWTRFPPEGSKITPPHQSLDFRWKDYCPVVFRHLRELFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVCRYENSLVTKFFGVHCVKPIGGQKIRFIVMGNLFCSEYRIHRRFDLKGSSHGRTTDKPEGEIDETTTLKDLDLNFVFRLQLNLFQELIKQIDRDCEFLEAEKIMDYSLLVGLHFRDDNTYDKMGLSPFLLRTGKKDSYQNEKFMRGCRFLEAELQDMDRILSGRKPLIRLGANMPARAETMARRSDFDQYTPGGFSHLTPSRSGEIYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKLYSKRFRDFIGRIFIEDR >Potri.013G114700.3.v4.1 pep chromosome:Pop_tri_v4:13:12300679:12304028:-1 gene:Potri.013G114700.v4.1 transcript:Potri.013G114700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114700.v4.1 MDSTSVENRETKSLDLILQDVGSLYCEEICESIAKKEILSAALVPDESMDSLFYDANEDIEISFRNDHNVKDILSPTTVQGVISETKCRQYDGHNQSPEYFSVRQELPETETKGSSMIRESNAVFSSLSTAEVESQSASEMLGATENGSLLRKGHEPINIFSHGIEMVNLSLPVKDLSENDSKKVRKENQTLEHLVALEPTYKEGNQGNFTANLLVNLNSACSDDQAVSLKSTYEEGNQEKFTANLLENLNSACSGDHAVALDPTYEEGTREHFTANLLENLNTSSCSDDHAVALDPTYEEGTQENFTTNLLENLNSSCSDDHAADDMLEVENQNLSRDDHGQSVYTSICSALLAAESVSSSFPVGLLSEIIDSKKCQTPESVLASIENQENLQSSHVRSEKKQSSRNIWSRRGKPKAVLQLQTSRSREKNRGDDVEWENQENIENRSISKTIFPGSEAAEEVLTPGKENYSPNTLLLKSLKKKGKREETQLSNSRRSTSSKIAFSPYKQPEEEMIASPDKENQTPKVLQQTKLAIPASRNQVKFKQEMVLEECKAERVPLQSLLVNFSGNSNSEASVPNDATRSSISVNCSQIMRKSNFTGDGKRRWTMVADTASLVDKESRKSLQLLQGLKGTHLVIPKMVIRELDCLKRRSSLFRKKTEASLVLEWIEECMVRTPWWIHVQSSMEEGRHIAPTPPASPQSRFSQGSEGFPCGTGSSVPFPAHGSFLEIVSPTAEDHILEYALSYRKMNRDGQLILLTNDVTLKIKAMSEGLICETAKECRDSLVNPFSERFLWADSSPRGQTWSVSDDLVLKERYYQSPSKKSSKGEGAKGLKLILLHNSQYGQISRSEQCSLFRNRYLF >Potri.013G114700.2.v4.1 pep chromosome:Pop_tri_v4:13:12300716:12304675:-1 gene:Potri.013G114700.v4.1 transcript:Potri.013G114700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114700.v4.1 METPFISPLDMAMIEEKREENPVLEEENEAKMSQDENLVATERESVEEKGSLEVAGKDDERYQAMDSTSVENRETKSLDLILQDVGSLYCEEICESIAKKEILSAALVPDESMDSLFYDANEDIEISFRNDHNVKDILSPTTVQGVISETKCRQYDGHNQSPEYFSVRQELPETETKGSSMIRESNAVFSSLSTAEVESQSASEMLGATENGSLLRKGHEPINIFSHGIEMVNLSLPVKDLSENDSKKVRKENQTLEHLVALEPTYKEGNQGNFTANLLVNLNSACSDDQAVSLKSTYEEGNQEKFTANLLENLNSACSGDHAVALDPTYEEGTREHFTANLLENLNTSSCSDDHAVALDPTYEEGTQENFTTNLLENLNSSCSDDHAADDMLEVENQNLSRDDHGQSVYTSICSALLAAESVSSSFPVGLLSEIIDSKKCQTPESVLASIENQENLQSSHVRSEKKQSSRNIWSRRGKPKAVLQLQTSRSREKNRGDDVEWENQENIENRSISKTIFPGSEAAEEVLTPGKENYSPNTLLLKSLKKKGKREETQLSNSRRSTSSKIAFSPYKQPEEEMIASPDKENQTPKVLQQTKLAIPASRNQVKFKQEMVLEECKAERVPLQSLLVNFSGNSNSEASVPNDATRSSISVNCSQIMRKSNFTGDGKRRWTMVADTASLVDKESRKSLQLLQGLKGTHLVIPKMVIRELDCLKRRSSLFRKKTEASLVLEWIEECMVRTPWWIHVQSSMEEGRHIAPTPPASPQSRFSQGSEGFPCGTGSSVPFPAHGSFLEIVSPTAEDHILEYALSYRKMNRDGQLILLTNDVTLKIKAMSEGLICETAKECRDSLVNPFSERFLWADSSPRGQTWSVSDDLVLKERYYQSPSKKSSKGEGAKGLKLILLHNSQYGQISRSEQCSLFRNRYLF >Potri.013G114700.1.v4.1 pep chromosome:Pop_tri_v4:13:12300718:12305761:-1 gene:Potri.013G114700.v4.1 transcript:Potri.013G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114700.v4.1 MASNEEKKPEEEEEEEERKIPVFTVLRNGAILKNIFVIDKSPLPSPTSSEPSIENEENPVQETEEILSFGRHPDCSIVLNHPSISRFHLQINSRPSSQKLFVTDLSSVHGTWVSGKKIEPGFRVELNEGDTIRVGGSTRYYRLHWVPLSRAYDMETPFISPLDMAMIEEKREENPVLEEENEAKMSQDENLVATERESVEEKGSLEVAGKDDERYQAMDSTSVENRETKSLDLILQDVGSLYCEEICESIAKKEILSAALVPDESMDSLFYDANEDIEISFRNDHNVKDILSPTTVQGVISETKCRQYDGHNQSPEYFSVRQELPETETKGSSMIRESNAVFSSLSTAEVESQSASEMLGATENGSLLRKGHEPINIFSHGIEMVNLSLPVKDLSENDSKKVRKENQTLEHLVALEPTYKEGNQGNFTANLLVNLNSACSDDQAVSLKSTYEEGNQEKFTANLLENLNSACSGDHAVALDPTYEEGTREHFTANLLENLNTSSCSDDHAVALDPTYEEGTQENFTTNLLENLNSSCSDDHAADDMLEVENQNLSRDDHGQSVYTSICSALLAAESVSSSFPVGLLSEIIDSKKCQTPESVLASIENQENLQSSHVRSEKKQSSRNIWSRRGKPKAVLQLQTSRSREKNRGDDVEWENQENIENRSISKTIFPGSEAAEEVLTPGKENYSPNTLLLKSLKKKGKREETQLSNSRRSTSSKIAFSPYKQPEEEMIASPDKENQTPKVLQQTKLAIPASRNQVKFKQEMVLEECKAERVPLQSLLVNFSGNSNSEASVPNDATRSSISVNCSQIMRKSNFTGDGKRRWTMVADTASLVDKESRKSLQLLQGLKGTHLVIPKMVIRELDCLKRRSSLFRKKTEASLVLEWIEECMVRTPWWIHVQSSMEEGRHIAPTPPASPQSRFSQGSEGFPCGTGSSVPFPAHGSFLEIVSPTAEDHILEYALSYRKMNRDGQLILLTNDVTLKIKAMSEGLICETAKECRDSLVNPFSERFLWADSSPRGQTWSVSDDLVLKERYYQSPSKKSSKGEGAKGLKLILLHNSQYGQISRSEQCSLFRNRYLF >Potri.014G151701.1.v4.1 pep chromosome:Pop_tri_v4:14:10551249:10551917:-1 gene:Potri.014G151701.v4.1 transcript:Potri.014G151701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151701.v4.1 KNLQKFLGLVNYARPYIKDIGKVIGPLYSKTNLIGQKHFNIEDIKLVKKVEEMVKNLPPLRLTLDTDYMIIESDISDLEEICRYSSGKYKEKGNESSIDMELLAINYAFDSFNLFILNKKEFTIRTDREAIVKFYATLNENKKTSRRRWLNFQDRLINKGFTVSFEHIQGKDNTTANILSRLALSRDPKYDLNYFNLSDVKATC >Potri.006G200800.2.v4.1 pep chromosome:Pop_tri_v4:6:20821118:20824208:-1 gene:Potri.006G200800.v4.1 transcript:Potri.006G200800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200800.v4.1 MEERLDKVGFQLEPEIVEKVLKRCYKVPHLAYRFFNWVKTKDGFCHTTKTYNTMLYTAGEAREFSVVDVLLEEMEKYSCERDIKTWTILIRQYGKAKLIGKALLVHEKMRKSGCEPDVEVYEVTLHSLCDAGKGEIALEIYKEMVQREMEPNLSLYKMLLNCLAKSGDVSAVQSVADDMIRVSQIPEHDVHVCVLKSYCVAGRIREALELIRDLKNKEIQLDYEVSETLVKGLSRANRIADALEIVEIMKRKDFVDGKVYGIIINGYLRRNELSKALDLFQSMKEFGHLPTTSTYTELMQHLFRSNEYQKCCELYDEMLERGVEIDSVAVMAIVAGHVRQDHISEAWEVFETMEDKGIKPTWKSYSIFIKELCKVSRTDEIIKVLGKMQASKMFICDEIFEWVISCMERKGEMDNIRKVKQMHRICRLHSLNDEVSRNDLSREEELHVDSNCNESVQGRVDWNSVKPLSKAYDEQDLQEVLRILSSLEDWPIIQDALEKCTIQFTPELVAETLRNCGMHGNAALHFFAWVGKQNGFCQTTETYNMAMKVSGRGKDFKHMRSLFYEMRRRGFLIPPDTWAIMIMQYGRTGLTEIALKIFGEMKASGCNPNDSTYKYLIIFLCGRKGRKVDEAIKIFREMIRAGHVPDKELVGTYLCCLCEVGKLLEARKSVDSLCKAGFTVPASYSLYIRALCRAGMLEEALSLVDQVSTEKTTLDRYTHASLVHGLLQKGRLEEALAKVDSMKQVGINPTVHVYTSLIVHFFREKQASKALEIFESMKQEGCEPTIVTYSALIRGYMDTENVIEAWNVFHSLKTKGPAPDFKTYSMFLSCLCRAGKSEEALQLLSDMVDNGIVPSNVNFRTVFFGLNREGKQSLAQTVLQKKWALTSKRKFS >Potri.006G200800.1.v4.1 pep chromosome:Pop_tri_v4:6:20821143:20824484:-1 gene:Potri.006G200800.v4.1 transcript:Potri.006G200800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200800.v4.1 MRQTFKLQSLSYKPLNSQLSAYQIFHFSSLSKSSKPSHSKKTQEPQLKGHAETKTINSLFKEITEILGADVVIPDRTPYGFFIPRETHVGDNEISGEFKYREEGVCGNAELNNGLQKEGSLLAFGDIKVGALNETDVSAVVHEITGIVRAENCTASMEERLDKVGFQLEPEIVEKVLKRCYKVPHLAYRFFNWVKTKDGFCHTTKTYNTMLYTAGEAREFSVVDVLLEEMEKYSCERDIKTWTILIRQYGKAKLIGKALLVHEKMRKSGCEPDVEVYEVTLHSLCDAGKGEIALEIYKEMVQREMEPNLSLYKMLLNCLAKSGDVSAVQSVADDMIRVSQIPEHDVHVCVLKSYCVAGRIREALELIRDLKNKEIQLDYEVSETLVKGLSRANRIADALEIVEIMKRKDFVDGKVYGIIINGYLRRNELSKALDLFQSMKEFGHLPTTSTYTELMQHLFRSNEYQKCCELYDEMLERGVEIDSVAVMAIVAGHVRQDHISEAWEVFETMEDKGIKPTWKSYSIFIKELCKVSRTDEIIKVLGKMQASKMFICDEIFEWVISCMERKGEMDNIRKVKQMHRICRLHSLNDEVSRNDLSREEELHVDSNCNESVQGRVDWNSVKPLSKAYDEQDLQEVLRILSSLEDWPIIQDALEKCTIQFTPELVAETLRNCGMHGNAALHFFAWVGKQNGFCQTTETYNMAMKVSGRGKDFKHMRSLFYEMRRRGFLIPPDTWAIMIMQYGRTGLTEIALKIFGEMKASGCNPNDSTYKYLIIFLCGRKGRKVDEAIKIFREMIRAGHVPDKELVGTYLCCLCEVGKLLEARKSVDSLCKAGFTVPASYSLYIRALCRAGMLEEALSLVDQVSTEKTTLDRYTHASLVHGLLQKGRLEEALAKVDSMKQVGINPTVHVYTSLIVHFFREKQASKALEIFESMKQEGCEPTIVTYSALIRGYMDTENVIEAWNVFHSLKTKGPAPDFKTYSMFLSCLCRAGKSEEALQLLSDMVDNGIVPSNVNFRTVFFGLNREGKQSLAQTVLQKKWALTSKRKFS >Potri.006G024100.1.v4.1 pep chromosome:Pop_tri_v4:6:1626804:1631608:-1 gene:Potri.006G024100.v4.1 transcript:Potri.006G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024100.v4.1 MLSTILRRSSSSYALAPNRAIAAITLLHHLQLTPATSPVPSRNGSLPGEIRPFSSFLTSPTISRDFHVKSGPLDFKASSVTQAGIAVADYGSDEEKGKGSEEGLEISNLGISKEIVNSLAKKGITKLFPIQKAVLEPAMQGKDMFGRARTGTGKTLAFGIPILDKIIEFNKQHGKGRNPLAMVMAPTRELARQVQKEFHDSAPSLDTICLYGGVPISSQMRELEYGVDVVVGTPGRIIDLMKRGSLNLSEIKYVVLDEADQMLGVGFVDDIETILSRLPKKRHSMCFSATMPSWIKQLVRKYLKDPLTIDLVGDSDRKLADGITLYSIASDMYAKASILGPLITEHAKGGKCIVFTETKRDADRLAYAMAKNHKCEALHGDISQNVRERTLSGFREGHFNILVATDVAARGLDVPNVDLIIHYALPRCSETFVHRSGRTGRAGKKGTAILIYTQEDARQVKLIERDTGCRFSELPKIAVDGASLDMHNDLGRGRSNSSGGFGNRGYGSGQGSRNSGFGRSNGQFSGSSQGGYNRNKTGNFGRSGSFGESGRSDRSSTFGDFGSGRSSTFGDFGSGRSSGKQKVPAFDPFGDSD >Potri.018G145526.1.v4.1 pep chromosome:Pop_tri_v4:18:15250633:15251388:1 gene:Potri.018G145526.v4.1 transcript:Potri.018G145526.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145526.v4.1 MGELGEILKGSKDVASMVFQILGLALSSKSSKHSLITSQYKPIITSSWSRSLRELQNQVERENDHQEGEKRSPAMLSELQQTMALVGELRRKNHIGATRQREAVEELDRSCGKLEDEIRILEKRVRDLYRQLVSIRTALLGILSQP >Potri.006G120000.7.v4.1 pep chromosome:Pop_tri_v4:6:9462679:9464910:1 gene:Potri.006G120000.v4.1 transcript:Potri.006G120000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120000.v4.1 MALNEESAEKRKSARLAIFELANMISVPMSLNAIVRLKVADAIWQGGSNAPLSASQILQRIASTGSDPENLQRILRMLTSYGVFEEHLTIESSPLDGSVSERKYSLTEVGKTLVTDTEGLSYAPYVLQHHQDALMKAWPLVHEAVLDPTTEPFVKANGEPAYDYYGKQPEMNELMLKAMSGVSVPFMEAMLDGYDGFKGVERLVDVGGSAGDCLRMILKKHPGVRQGINFDLPEVVAKAPIIPGVTNVGGDMFKSIPDGDAIFMKWILTTWTDNECKLIMENCYKALPVGGKLIACEPVLPKDSDDSHRTRALLEGDIFVMTIYRAKGKHRTEEEFKQLGQSVGFSYFRAFYVDYFYTVLEFQK >Potri.006G160950.1.v4.1 pep chromosome:Pop_tri_v4:6:14561793:14567911:-1 gene:Potri.006G160950.v4.1 transcript:Potri.006G160950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160950.v4.1 MLTASGRVANTTEESVSVDSLNLGIQQGNVRYQITCNNNQADGFDKEKEFQEMIQDAVTLGLGNPRDLTAYEDGIWSNIDREVNEWISINRL >Potri.010G091800.1.v4.1 pep chromosome:Pop_tri_v4:10:11648108:11649100:1 gene:Potri.010G091800.v4.1 transcript:Potri.010G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091800.v4.1 MATMVCQGLQSCLESAIVESRTLRLRLSSPNLSFAQSLELALKPCLLDSDPKEVSGKCHYEETSHKTSFLHDMHTSSNPDLGGSSFLQALSTSTEGPKESMGKGNIYVHPLINRSSSMLSGKSLELCTESLGSESGSDMIESSIFSWSSSDSRAGNSPAGEQQKSRQLLGAKKASSRSYPPPLTTMSGSKSLQVRAHRGDGRLIIRAVEAPSRHTYLHAERRDGRLRLSFIKDSTSDFDSMGVASTEKDEGNSKEDEIENDMDYDEVDDSDVGELGNVGACFEEEAESTDDLDVHAEMGMEEFQRPTRCKEGETEKKGLLNWEPFWVATS >Potri.019G067500.1.v4.1 pep chromosome:Pop_tri_v4:19:10775680:10780286:-1 gene:Potri.019G067500.v4.1 transcript:Potri.019G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067500.v4.1 MDNSVEKTRMKFKQDSLSGAVEETSVDWRGRICKSKHGGMAAAVFVLGLQAFEMMGIAAVGNNLITYVFNDMHFPLSKSANIVTNFVGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLSVQAHLPQLRPPSCDMVSDGVDCVEAKGYKALIFFLALYLVALGSGCLKPNIISHGADQFKKEDPKQSKKLSTYFNVAYFAFCIGELIALTVLVWVQTHSGMDVGFGVSAAAMAVGLICLISGSFSYRNKPPKGSIFTPFAQVFVAAITKRKQICPSNAQMLHGCHNNVSSHVPATSTDASNLLHTEKFRFLDKACIRVQDGSEASESTWRLCTVAQVEQVKILISVIPIFSCTIIFNTILAQLQTFSVQQGSAMNTRITKSFKIPPASLQSIPYIMLIFVVPLYETAFVPFARRITGKDSGITPLQRVGVGLFIATFSMVSAAVIERKRRTSALDYQETLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKITSSSSSGGWLSDNDLNKDKLDLFYWLLAVLSLINFFNYLFWSKWYSYNPSLSHDHSLGQDIESQNFNSSKRVGPENTIS >Potri.019G067500.3.v4.1 pep chromosome:Pop_tri_v4:19:10775716:10778932:-1 gene:Potri.019G067500.v4.1 transcript:Potri.019G067500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067500.v4.1 MLQGFILLSVQAHLPQLRPPSCDMVSDGVDCVEAKGYKALIFFLALYLVALGSGCLKPNIISHGADQFKKEDPKQSKKLSTYFNVAYFAFCIGELIALTVLVWVQTHSGMDVGFGVSAAAMAVGLICLISGSFSYRNKPPKGSIFTPFAQVFVAAITKRKQICPSNAQMLHGCHNNVSSHVPATSTDASNLLHTEKFRFLDKACIRVQDGSEASESTWRLCTVAQVEQVKILISVIPIFSCTIIFNTILAQLQTFSVQQGSAMNTRITKSFKIPPASLQSIPYIMLIFVVPLYETAFVPFARRITGKDSGITPLQRVGVGLFIATFSMVSAAVIERKRRTSALDYQETLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKITSSSSSGGWLSDNDLNKDKLDLFYWLLAVLSLINFFNYLFWSKWYSYNPSLSHDHSLGQDIESQNFNSSKRVGPENTIS >Potri.019G067500.2.v4.1 pep chromosome:Pop_tri_v4:19:10775679:10780284:-1 gene:Potri.019G067500.v4.1 transcript:Potri.019G067500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067500.v4.1 MMGIAAVGNNLITYVFNDMHFPLSKSANIVTNFVGTVFLLSLLGGFLSDSYLGSFWTMLIFGFVELSGFILLSVQAHLPQLRPPSCDMVSDGVDCVEAKGYKALIFFLALYLVALGSGCLKPNIISHGADQFKKEDPKQSKKLSTYFNVAYFAFCIGELIALTVLVWVQTHSGMDVGFGVSAAAMAVGLICLISGSFSYRNKPPKGSIFTPFAQVFVAAITKRKQICPSNAQMLHGCHNNVSSHVPATSTDASNLLHTEKFRFLDKACIRVQDGSEASESTWRLCTVAQVEQVKILISVIPIFSCTIIFNTILAQLQTFSVQQGSAMNTRITKSFKIPPASLQSIPYIMLIFVVPLYETAFVPFARRITGKDSGITPLQRVGVGLFIATFSMVSAAVIERKRRTSALDYQETLSIFWIAPQFLIFGLSEMFTAVGLIEFFYKQSLEGMQSFLTAMTYCSYSFGFYLSSLLVSLVNKITSSSSSGGWLSDNDLNKDKLDLFYWLLAVLSLINFFNYLFWSKWYSYNPSLSHDHSLGQDIESQNFNSSKRVGPENTIS >Potri.001G368401.1.v4.1 pep chromosome:Pop_tri_v4:1:38508390:38512625:-1 gene:Potri.001G368401.v4.1 transcript:Potri.001G368401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368401.v4.1 MFCFSSTPLSTLKPLKNKNPCDLHRPSKPNQTMRMRKHQWLRTALSRAYYCTFSSSFPLKHVTQSNFETAVADLKTHLKAADFVAIDLEMTGVTSAPWRESLEFDRFDVKYLKVKDSAEKFAVVQLGVCPFRWDPLRLSFIAHPHNFFIFPRQEVPIDSSSYEFLCQTTSIDFLAKYHFDFNVCIRQGVSYLSRGQEDEALRLLNLRYENELSEQARDVPLVSITDILFSERMKNRLREWRDGLLKDTSGGSQLEGSFLNDSNQQFQNIFYKMRPALSLNGFTSHQLRLIQSVTKKHFKDLASVRVEGESSCSQQLVVYTDSESDRDLLKKEVMDDYRRQAEMKIKAAIGFRHVIDLLSSEKKLIVGHNCFLDLAHIHSKFLGPLPLEAEEFVYSVNKCFPYIIDTKILLNTNNILKQRMKKSKTSLSSAFLSLCPQIAFASKESCNLTFSSSVKVEVQVDDIRSSSWISGVKHEAGYDAFMTGCVFAQACNLLGIDFKLYSPSENLACNERLQKHANLLYLSWINGDIIDLSTGHRTAESLRCRDLKKSQTGILFENIVLIWGFPSKLKTAVIKDRISKVFGPTSVTSICRLDETAVFVQFSKLKLVADFLVVKETLERSNDAFSVLHPLSTILEEEILVLLVMKLIKISAVHLHRNFSLQIRQRQLVSDGRPSW >Potri.017G125600.2.v4.1 pep chromosome:Pop_tri_v4:17:12966910:12969654:1 gene:Potri.017G125600.v4.1 transcript:Potri.017G125600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125600.v4.1 MRWLNYLKPNVKRGQFSVDEVDLIIRLHKLLGNRWSLIAGRLSGRTANDVKNYWNSNQRKKVISSTDEVQSKPKAKSITRDNIIKPQPWKFRNLFWLRGKSTPLINVGSQYGDDLCKPCYSTVSPPSDINEVESIWWESSLESSLDDKEINPTINSSCLGSVSAAAAYLESSESHFVKNNAPRGIKTGDVFYEQGQNCWSDISLDADLWNLINTELDQQQPEGLQSIML >Potri.017G125600.1.v4.1 pep chromosome:Pop_tri_v4:17:12966444:12969654:1 gene:Potri.017G125600.v4.1 transcript:Potri.017G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125600.v4.1 MVGSLGVRKGAWTEEEDILLRKCVEKYGEGRWHEVPSRAGLNRCRKSCRMRWLNYLKPNVKRGQFSVDEVDLIIRLHKLLGNRWSLIAGRLSGRTANDVKNYWNSNQRKKVISSTDEVQSKPKAKSITRDNIIKPQPWKFRNLFWLRGKSTPLINVGSQYGDDLCKPCYSTVSPPSDINEVESIWWESSLESSLDDKEINPTINSSCLGSVSAAAAYLESSESHFVKNNAPRGIKTGDVFYEQGQNCWSDISLDADLWNLINTELDQQQPEGLQSIML >Potri.007G023600.1.v4.1 pep chromosome:Pop_tri_v4:7:1803205:1806668:-1 gene:Potri.007G023600.v4.1 transcript:Potri.007G023600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023600.v4.1 MLSQKHIFCMDMSVLERQQARFKWLLLLQQENNYVNQYNSIESCSMPPDLFHGFFDHESMNGDRIDWKEQPEIYLGNNDLPKYGVFSLNGTEFVANNKMDFGEAEVTARSLEIDHCLSRTSSCQIGVVEAAKIEEVVAVNVAGEDVTLMENKQSNHGRRDNSNKRKAEFIAAEQSDDKIKLEEDEPKVKEKGSPEISADSSKENQKTSALPKTDYIHVRARRGQATDSHSLAERARREKISKKMKCLQDLVPGCNKITGRAGMLDEIINYVQSLQRQVEFISMKLAAVNRRPDTDNVSGKESPAYIASFPAATMSSTIANLPHLQLNLVQQQATSSRPKALTDTFQLVPEKATSSSALINDLCLDHSLAQVQPFWDADSQNLQNLGFH >Potri.001G152800.2.v4.1 pep chromosome:Pop_tri_v4:1:12800389:12803517:1 gene:Potri.001G152800.v4.1 transcript:Potri.001G152800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152800.v4.1 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADGSYLDSYISTIGVDFKIRTVEQDGKTMKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLSEIDRYASENVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFIETSAKNSTNVEQAFMAMATEIKNRMASQPAMNNARPPTVQIRGQPVNQNSGCCSS >Potri.007G027900.1.v4.1 pep chromosome:Pop_tri_v4:7:2128188:2130290:-1 gene:Potri.007G027900.v4.1 transcript:Potri.007G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027900.v4.1 MVSIEGGGGQNQQKLNMYAAGCAIVASMISIIFGYDTGVMSGAMIFIKDELKIHDTEVEILAGILNICALFGSLLAGRTSDYIGRRYTIFAASIIFMLGSILMGYAPNYGVLMTGRCIAGIGVGFALMIAPVYSAEVSSPSYRGFLTCLPELGISIGVLLGYISNVAFGGLSLKLGWRIMLGIAAIPSLALAFGILKMPESPRWLVMQGRLGEAKKILRRVSNSEEEAETRLRDIKEVAGIDVNCNDDFVKPDPLKKTHGEGVWKELIIRPTPAVRWILIAAVGIHFFEHAVGIEAVILYSPRIFKKAGIVGKEKLLRASVGVGLTKFVFVFISTFLVDRVGRRRLLLVSTAGIIAALAVLGTCLTIVEHHHGGQLVWALSLCIISTYTFVAFFNIGLAPVTWVYSSEIFPLKLRAQGYSIGVAVNRLMNATISMSFISLYEAITIGGAFFLFAGIAVLAWFFFYFLFPETKGRSLEDIEELFSKGISGRAEAVKSDM >Potri.003G026510.1.v4.1 pep chromosome:Pop_tri_v4:3:2818808:2826988:1 gene:Potri.003G026510.v4.1 transcript:Potri.003G026510.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026510.v4.1 MIEVIFLVGHNPMDANNWRPTAPGGEPVIDTGDWRIQLQPDSRKRIVDKIIEILKRHLPFSGQEGLQELKKIVVRFEEKIYTAATNQSDYLRKISLKMLTMETRSQNTIPTGNGISADGHSQSEN >Potri.003G026510.2.v4.1 pep chromosome:Pop_tri_v4:3:2818835:2826976:1 gene:Potri.003G026510.v4.1 transcript:Potri.003G026510.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026510.v4.1 MDANNWRPTAPGGEPVIDTGDWRIQLQPDSRKRIVDKIIEILKRHLPFSGQEGLQELKKIVVRFEEKIYTAATNQSDYLRKISLKMLTMETRSQNTIPTGNGISADGHSQSEN >Potri.004G103100.1.v4.1 pep chromosome:Pop_tri_v4:4:9049000:9050641:-1 gene:Potri.004G103100.v4.1 transcript:Potri.004G103100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G103100.v4.1 MEVENQVNTIDVCQVTPYFDSSESATELSLPLTFYDIMWLKFPPVERIFFYKLTESTPTFFNSVILPKLKHSLSHTLLHFLPLAGNIIWPPQANKPIILYTPDDGVQLTIAESNADFHLLSGNEVHEAADSRPYIPELPVTDSKASVIALKITLFPNHGFCIGISAHHSALDGKSSTMFIKAWAHFCKLGDENKRQYPALLTELTPVFDRIAIQDPEGLDMVYLNNWLELKWPGVDLNPRSLQLLPVIAVRSSSVRATFELSREDIKKLRERVLANLVKEGSKETHPIHLSPFVLVLAHGFVCIVKARGFESNRRVLIGFAVDCRARLDPPIHENYFGSCVSSCAAFTEAESLLEENGFMHVAEMLSELIKSLEKGVLDGAKEKTASFMKEAAGGAAILGVAGSNRFEVYGTDFGWGKPEKVEITSIERTGAISLAESKDGNGGVEIGIVLEKHEMEKFTSLFVDDLKNHY >Potri.016G019800.1.v4.1 pep chromosome:Pop_tri_v4:16:1055437:1062143:1 gene:Potri.016G019800.v4.1 transcript:Potri.016G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019800.v4.1 MSLLKLGLRLVLLLLLNSIPSESAVSSIDLGSEWIKVAVVNLKPGQTPISIAINEMSKRKTPALVAFQSGTRLLGEEALGIAARYPDKVYSHLRDMLGKSFEKVKGFLEAMYLPYDVVKDSRGAVAFRVEDEDKGGNVGLYSVEELLGMILGFAGDLAEFHSKVVVKDAVVGVPAYFGQAERRGLVQAAQLAGINVLALINEHSGAALQYGIDKDFSNGSRYVVFYDMGASSTYAALVYFSAYNAKEFGKTVSVNQFQVKDVRWDPELGGQTMESRLVEYFADEFNKQVGNGFDVRKFPKAMAKLKKQVKRTKEILSANTAAPISVESLYDDRDFRSTITREKFEELCADLWDRSIVPLKEVLKHSGLNLDELYAVELIGGATRVPKLQAKLQEFLGKNELDKHLDADEAVVLGSSLHAANLSDGIKLNRKLGMVDGSSYGLVVELDGPDLLKDESTRQLLVPRMRKLPSKMFRSIIHTKDFEVSLSYEPDLLPPGVTSPVFSQYSVSGLADASEKYSSRNLSSPIKANLHFSLSRNGILSLDRADAVIEISEWVEVPKKNLTVENTTTTSPNITLETDTKNTTEESDEKSNSDGVTDNTSINITEEPSTTEPITEKKLKKRTFRVPLKIVEKTVGPGMPLSEEYLAQAKRKLEELNKKDAERRRTAELKNNLEGYIYSTKEKLETTEEFEKISTDDERKSFIEKLDEVQEWLYTDGEDATAKEFQERLDSLKAFGDPIFFRYKELSARPTAIELARKYIGELQQIVQGWETKKPWLPKDRVDEVVSDADKLKSWLDEKEAEQKKASGFSTPVLTSEEIYSKVLNLQDKVASVNRIPKPKPKIEKPKNKTETSGDNTNSADSTSGETPEKEKQTTDSDGSAEEKINPEGSADEKANPEPEVHDEL >Potri.016G019800.6.v4.1 pep chromosome:Pop_tri_v4:16:1055474:1062242:1 gene:Potri.016G019800.v4.1 transcript:Potri.016G019800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019800.v4.1 MSLLKLGLRLVLLLLLNSIPSESAVSSIDLGSEWIKVAVVNLKPGQTPISIAINEMSKRKTPALVAFQSGTRLLGEEALGIAARYPDKVYSHLRDMLGKSFEKVKGFLEAMYLPYDVVKDSRGAVAFRVEDEDKGGNVGLYSVEELLGMILGFAGDLAEFHSKVVVKDAVVGVPAYFGQAERRGLVQAAQLAGINVLALINEHSGAALQYGIDKDFSNGSRYVVFYDMGASSTYAALVYFSAYNAKEFGKTVSVNQFQVKDVRWDPELGGQTMESRLVEYFADEFNKQVGNGFDVRKFPKAMAKLKKQVKRTKEILSANTAAPISVESLYDDRDFRSTITREKFEELCADLWDRSIVPLKEVLKHSGLNLDELYAVELIGGATRVPKLQAKLQEFLGKNELDKHLDADEAVVLGSSLHAANLSDGIKLNRKLGMVDGSSYGLVVELDGPDLLKDESTRQLLVPRMRKLPSKMFRSIIHTKDFEVSLSYEPDLLPPGVTSPVFSQYSVSGLADASEKYSSRNLSSPIKANLHFSLSRNGILSLDRADAVIEISEWVEVPKKNLTVENTTTTSPNITLETDTKNTTEESDEKSNSDGVTDNTSINITEEPSTTEPITEKKLKKRTFRVPLKIVEKTVGPGMPLSEEYLAQAKRKLEELNKKDAERRRTAELKNNLEGYIYSTKEKLETTEEFEKISTDDERKSFIEKLDEVQEWLYTDGEDATAKEFQERLDSLKAFGDPIFFRYKELSARPTAIELARKYIGELQQIVQGWETKKPWLPKDRVDEVVSDADKLKSWLDEKEAEQKKASGFSTPVLTSEEIYSKVLNLQDKVASVNRIPKPKPKIEKPKNKTETSGDNTNSADSTSGETPEKEKQTTDSDGSAEEKINPEGSADEKANPEPEVHDEL >Potri.006G143000.4.v4.1 pep chromosome:Pop_tri_v4:6:12050696:12060622:1 gene:Potri.006G143000.v4.1 transcript:Potri.006G143000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143000.v4.1 MAKNEDTGSPGWRTSLFLQTTEDVAKAVADAATTVPSPRPSVVFSSKDDHGDSQFQKLQRHFSRMLKGFSSPPPEVKSGTYNPEVLTSQKRQWAKFQLQYLDHRPLKAPSRLIESMVVVGLHPNCDLQALQRQYGPRKSEGSGILQGALGCQNQSRIEPILEPQVLFVYPPEKQLPLKYKDLVSFCFPGGLEVHAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSMVSDKQSSRSSLSRYMLTTYRCYCILSRLPFFELHFGLLSSIFTEERLERLTKNIGFLDLEEDLGDNLDGVSTNYRAAEDIPDGTTEISQSSLRDSTPGGFDDEKSNVEPQILEEHIHSLKKGVNDDAVPIYSENEMVSAKGEPGRVNLEDCDVDDSPSNKQAQERRLPNAIRPLLRHCQYESSESSSSFQGSPSEDRNFRSDVDDMETEEASFSGQEDSSDHIDILEWAKANNHGSLQLLCEYYRLHCPARGSTLRFQPLEHLHPLEYRRPDEAVLHVNGSTIDLRSCITSLEFAEARSALSAEEEATALSTWAISCICGSLRLEHILTMFAGALLEKQIVVVCSNLGILSASVLSIVPLIRPYRWQSLLMPILPDDMLEFLDAPVPYIVSIQIPATLSSSARFLFPWNELPVLCGFCISYVGVKNKTSEVQSKLSNVILVDANKNQVKSPAIPQLPKHRELLSSLSPYHSKLVGESYLARKRPVYECTDVQVEAAKGFLGVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLKESFIDSFLSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Potri.006G143000.1.v4.1 pep chromosome:Pop_tri_v4:6:12050605:12060761:1 gene:Potri.006G143000.v4.1 transcript:Potri.006G143000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143000.v4.1 MAKNEDTGSPGWRTSLFLQTTEDVAKAVADAATTVPSPRPSVVFSSKDDHGDSQFQKLQRHFSRMLKGFSSPPPEVKSGTYNPEVLTSQKRQWAKFQLQYLDHRPLKAPSRLIESMVVVGLHPNCDLQALQRQYGPRKSEGSGILQGALGCQNQSRIEPILEPQVLFVYPPEKQLPLKYKDLVSFCFPGGLEVHAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSMVSDKQSSRSSLSRYMLTTYRCYCILSRLPFFELHFGLLSSIFTEERLERLTKNIGFLDLEEDLGDNLDGVSTNYRAAEDIPDGTTEISQSSLRDSTPGGFDDEKSNVEPQILEEHIHSLKKGVNDDAVPIYSENEMVSAKGEPGRVNLEDCDVDDSPSNKQAQERRLPNAIRPLLRHCQYESSESSSSFQGSPSEDRNFRSDVDDMETEEASFSGQEDSSDHIDILEWAKANNHGSLQLLCEYYRLHCPARGSTLRFQPLEHLHPLEYRRPDEAVLHVNGSTIDLRSCITSLEFAEARSALSAEEEATALSTWAISCICGSLRLEHILTMFAGALLEKQIVVVCSNLGILSASVLSIVPLIRPYRWQSLLMPILPDDMLEFLDAPVPYIVGVKNKTSEVQSKLSNVILVDANKNQVKSPAIPQLPKHRELLSSLSPYHSKLVGESYLARKRPVYECTDVQVEAAKGFLGVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLKESFIDSFLSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Potri.006G143000.5.v4.1 pep chromosome:Pop_tri_v4:6:12050553:12060761:1 gene:Potri.006G143000.v4.1 transcript:Potri.006G143000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143000.v4.1 MAKNEDTGSPGWRTSLFLQTTEDVAKAVADAATTVPSPRPSVVFSSKDDHGDSQFQKLQRHFSRMLKGFSSPPPEVKSGTYNPEVLTSQKRQWAKFQLQYLDHRPLKAPSRLIESMVVVGLHPNCDLQALQRQYGPRKSEGSGILQGALGCQNQSRIEPILEPQVLFVYPPEKQLPLKYKDLVSFCFPGGLEVHAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSMVSDKQSSRSSLSRYMLTTYRCYCILSRLPFFELHFGLLSSIFTEERLERLTKNIGFLDLEEDLGDNLDGVSTNYRAAEDIPDGTTEISQSSLRDSTPGGFDDEKSNVEPQILEEHIHSLKKGVNDDAVPIYSENEMVSAKGEPGRVNLEDCDVDDSPSNKQAQERRLPNAIRPLLRHCQYESSESSSSFQGSPSEDRNFRSDVDDMETEEASFSGQEDSSDHIDILEWAKANNHGSLQLLCEYYRLHCPARGSTLRFQPLEHLHPLEYRRPDEAVLHVNGSTIDLRSCITSLEFAEARSALSAEEEATALSTWAISCICGSLRLEHILTMFAGALLEKQIVVVCSNLGILSASVLSIVPLIRPYRWQSLLMPILPDDMLEFLDAPVPYIVGVKNKTSEVQSKLSNVILVDANKNQVKSPAIPQLPKHRELLSSLSPYHSKLVGESYLARKRPVYECTDVQVEAAKGFLGVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLKESFIDSFLSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Potri.006G143000.7.v4.1 pep chromosome:Pop_tri_v4:6:12050791:12060582:1 gene:Potri.006G143000.v4.1 transcript:Potri.006G143000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143000.v4.1 MAKNEDTGSPGWRTSLFLQTTEDVAKAVADAATTVPSPRPSVVFSSKDDHGDSQFQKLQRHFSRMLKGFSSPPPEVKSGTYNPEVLTSQKRQWAKFQLQYLDHRPLKAPSRLIESMVVVGLHPNCDLQALQRQYGPRKSEGSGILQGALGCQNQSRIEPILEPQVLFVYPPEKQLPLKYKDLVSFCFPGGLEVHAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSMVSDKQSSRSSLSRYMLTTYRCYCILSRLPFFELHFGLLSSIFTEERLERLTKNIGFLDLEEDLGDNLDGVSTNYRAAEDIPDGTTEISQSSLRDSTPGGFDDEKSNVEPQILEEHIHSLKKGVNDDAVPIYSENEMVSAKGEPGRVNLEDCDVDDSPSNKQAQERRLPNAIRPLLRHCQYESSESSSSFQGSPSEDRNFRSDVDDMETEEASFSGQEDSSDHIDILEWAKANNHGSLQLLCEYYRLHCPARGSTLRFQPLEHLHPLEYRRPDEAVLHVNGSTIDLRSCITSLEFAEARSALSAEEEATALSTWAISCICGSLRLEHILTMFAGALLEKQIVVVCSNLGILSASVLSIVPLIRPYRWQSLLMPILPDDMLEFLDAPVPYIVGVKNKTSEVQSKLSNVILVDANKNQVKSPAIPQLPKHRELLSSLSPYHSKLVGESYLARKRPVYECTDVQVEAAKGFLGVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLKESFIDSFLSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Potri.006G143000.6.v4.1 pep chromosome:Pop_tri_v4:6:12050815:12060623:1 gene:Potri.006G143000.v4.1 transcript:Potri.006G143000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143000.v4.1 MAKNEDTGSPGWRTSLFLQTTEDVAKAVADAATTVPSPRPSVVFSSKDDHGDSQFQKLQRHFSRMLKGFSSPPPEVKSGTYNPEVLTSQKRQWAKFQLQYLDHRPLKAPSRLIESMVVVGLHPNCDLQALQRQYGPRKSEGSGILQGALGCQNQSRIEPILEPQVLFVYPPEKQLPLKYKDLVSFCFPGGLEVHAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSMVSDKQSSRSSLSRYMLTTYRCYCILSRLPFFELHFGLLSSIFTEERLERLTKNIGFLDLEEDLGDNLDGVSTNYRAAEDIPDGTTEISQSSLRDSTPGGFDDEKSNVEPQILEEHIHSLKKGVNDDAVPIYSENEMVSAKGEPGRVNLEDCDVDDSPSNKQAQERRLPNAIRPLLRHCQYESSESSSSFQGSPSEDRNFRSDVDDMETEEASFSGQEDSSDHIDILEWAKANNHGSLQLLCEYYRLHCPARGSTLRFQPLEHLHPLEYRRPDEAVLHVNGSTIDLRSCITSLEFAEARSALSAEEEATALSTWAISCICGSLRLEHILTMFAGALLEKQIVVVCSNLGILSASVLSIVPLIRPYRWQSLLMPILPDDMLEFLDAPVPYIVGVKNKTSEVQSKLSNVILVDANKNQVKSPAIPQLPKHRELLSSLSPYHSKLVGESYLARKRPVYECTDVQVEAAKGFLGVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLKESFIDSFLSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Potri.006G143000.8.v4.1 pep chromosome:Pop_tri_v4:6:12050594:12060576:1 gene:Potri.006G143000.v4.1 transcript:Potri.006G143000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143000.v4.1 MAKNEDTGSPGWRTSLFLQTTEDVAKAVADAATTVPSPRPSVVFSSKDDHGDSQFQKLQRHFSRMLKGFSSPPPEVKSGTYNPEVLTSQKRQWAKFQLQYLDHRPLKAPSRLIESMVVVGLHPNCDLQALQRQYGPRKSEGSGILQGALGCQNQSRIEPILEPQVHAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSMVSDKQSSRSSLSRYMLTTYRCYCILSRLPFFELHFGLLSSIFTEERLERLTKNIGFLDLEEDLGDNLDGVSTNYRAAEDIPDGTTEISQSSLRDSTPGGFDDEKSNVEPQILEEHIHSLKKGVNDDAVPIYSENEMVSAKGEPGRVNLEDCDVDDSPSNKQAQERRLPNAIRPLLRHCQYESSESSSSFQGSPSEDRNFRSDVDDMETEEASFSGQEDSSDHIDILEWAKANNHGSLQLLCEYYRLHCPARGSTLRFQPLEHLHPLEYRRPDEAVLHVNGSTIDLRSCITSLEFAEARSALSAEEEATALSTWAISCICGSLRLEHILTMFAGALLEKQIVVVCSNLGILSASVLSIVPLIRPYRWQSLLMPILPDDMLEFLDAPVPYIVGVKNKTSEVQSKLSNVILVDANKNQVKSPAIPQLPKHRELLSSLSPYHSKLVGESYLARKRPVYECTDVQVEAAKGFLGVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLKESFIDSFLSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Potri.006G143000.9.v4.1 pep chromosome:Pop_tri_v4:6:12050554:12060575:1 gene:Potri.006G143000.v4.1 transcript:Potri.006G143000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143000.v4.1 MAKNEDTGSPGWRTSLFLQTTEDVAKAVADAATTVPSPRPSVVFSSKDDHGDSQFQKLQRHFSRMLKGFSSPPPEVKSGTYNPEVLTSQKRQWAKFQLQYLDHRPLKAPSRLIESMVVVGLHPNCDLQALQRQYGPRKSEGSGILQGALGCQNQSRIEPILEPQVHAVERTPSMSELNEILLGQEHLKQSDLSFVFRLQVADDSTLYGCCVLVEEIVQKPSGLLSMVSDKQSSRSSLSRYMLTTYRCYCILSRLPFFELHFGLLSSIFTEERLERLTKNIGFLDLEEDLGDNLDGVSTNYRAAEDIPDGTTEISQSSLRDSTPGGFDDEKSNVEPQILEEHIHSLKKGVNDDAVPIYSENEMVSAKGEPGRVNLEDCDVDDSPSNKQAQERRLPNAIRPLLRHCQYESSESSSSFQGSPSEDRNFRSDVDDMETEEASFSGQEDSSDHIDILEWAKANNHGSLQLLCEYYRLHCPARGSTLRFQPLEHLHPLEYRRPDEAVLHVNGSTIDLRSCITSLEFAEARSALSAEEEATALSTWAISCICGSLRLEHILTMFAGALLEKQIVVVCSNLGILSASVLSIVPLIRPYRWQSLLMPILPDDMLEFLDAPVPYIVGVKNKTSEVQSKLSNVILVDANKNQVKSPAIPQLPKHRELLSSLSPYHSKLVGESYLARKRPVYECTDVQVEAAKGFLGVLRSYLDSLCSNLRSHTITNVQSNNDKVSLLLKESFIDSFLSRDRPFMKLFVDTQLFSVHTDLVLSFFQKE >Potri.010G103400.1.v4.1 pep chromosome:Pop_tri_v4:10:12583110:12589049:1 gene:Potri.010G103400.v4.1 transcript:Potri.010G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103400.v4.1 MAWRSSGRKLSFEILNHSSSHEEKEDQYLVNYQSDPVEKPYRKKKKHKRKHKPLHPEIHEDSITHSYSVVHNSHHTDNGNIQNSYIVGSGGSVVCSTVSEVGAEIQRVYGNGELRQRNVNFAGVVETAVEENASEESGVEEKQRRSEPPNGSVVTKLETAESLDWNKLMADDPNYLFSMEKSPVKYFMDEMNKGISLRSTTTLGSEKEREKVYDTIFRLPWRCELLIDVGFFVCLDSFLSLLTIMPTKILMILWRFPSARQFKKPSAAELSDIGCFIVLASGVVILGQIDISLIYHMIRGQGTIKLYVVYNVLEIFDKLCQSFGGDVLQALFNSSEGLANCSSENMRFWILRFISDQVLAMAFSILHSFILLAQAITLSTCIVAHNNALLALLVSNNFAEIKSSVFKRFSKDNIHSLVYSDSIERFHISAFLSAVLAQNILEAEGPWFESFLLNALMVFFCEMLIDIIKHSFLAKFNDMKPIVYSEFLEELCNQTLNIQTENTESRKRTLTFIPLAPACVVIRVLTPVYSAHLPPSPLPWRLFWILFLSALTYVMLTSLKVMVGMGLQKHANWYVERCRRRKKRIHND >Potri.016G045000.1.v4.1 pep chromosome:Pop_tri_v4:16:2880435:2882846:1 gene:Potri.016G045000.v4.1 transcript:Potri.016G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045000.v4.1 MRFPLVATSVILAVLWALALVQSLDFQFPNFTESDRDQLILTPDISYIAHTAIQVTPDSNGFSMVNRAGRVLYRWPFRLWSNGGKNASFNTTFVLNIKRQTASSGEGLAFILTADRDVPDGSKGQWLGIVNSTLNGSKEARTVAVEFDTGQSFPDDLDDNHIGLDVNSVYSRTSVSLNDRGIYLSKGKDVTVDVRYDGKNLTVFVDKDMKNPVISEHLNLSDYLPENVYVGFSGSTSNNTQLNCVRSWEFIGSEIKDSKLRWVWILVAVGSVLILLISIGIALFLYRKRGCQVDRLEDAYPNIDEAILGSSTAPRKYKFKELSKATGNFNPKNKLGKGGFGTVYKGILGNKEVAVKRISKKSTQGKQEFIAEVTTIGNLHHRNLVRLIGWCHERREYLLVYEYLPNGSLDKYVFCDEKPGTQEATLSWEKRLSVISGVAQALDYLHNGCMKRVLHRDIKASNIMLDLDFKAQLGDFGLARTIIRNEQTHHTTKELAGTPGYMAPESILTGRATTETDVYAFGVLVLEVACGRKPGGQTERDDYISNIVHGLWELYRRGTILEGADPRLDGIFKNEEMECVLILGLACCHPNPNDRPSMKTVLQVLTGEAPPPDVPAERPVFMWPPKPPSFKEWGNSIIGGELSPFSEISGR >Potri.018G073501.1.v4.1 pep chromosome:Pop_tri_v4:18:8776576:8781264:1 gene:Potri.018G073501.v4.1 transcript:Potri.018G073501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G073501.v4.1 MATTQLSLQILRPLPAASQTCGRQSYGFQSRNSAIRTRKNLKWALRLSLVDQNQPQSQSRGVDVKQLVDFLYDDLPHLFDDQGIDRTAYDERVKFRDPITKHDSISGYLFNIALLKNLFRPQFFLHWVKQTGTYEITTRWTMVMKFMLLPWKPELVFTGTSIMGINPQTGKFNSHLDFWDSIENNNYFSLEGLRNVVKQLRIYKTPDLETPRYQILKRTANYEVRKYSPFIVVEANGDKLSGSNGFNDVTGYIFGKNSTMEKIPMTTPVFTQANDTGLSKVSIQIVLPLEKDLSSLPNPNQETISIRKVEGGIAAVDKFSGKPTEAIVCQKEKALRSCLLKDGLKPKMGCLLARYNDPGRTWEFIMRNEVLIWLEEFSLE >Potri.002G233600.1.v4.1 pep chromosome:Pop_tri_v4:2:22658825:22662776:-1 gene:Potri.002G233600.v4.1 transcript:Potri.002G233600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233600.v4.1 MATPLVMGYSHLLLYLLVSIVVSLEGCMGSDSVPIQINDDVFGLIVFKADLIDPSSYLSSWNEDDDSPCSWKFIECNPVSGRVSQVSLDGLGLSGRLGKGLQKLQHLKTLSLSQNNFSGGISLELGFLSNLERLNLSHNSLSGLIPSFLDNMSSIKFLDLSENSFSGPLPDNLFRNSHSLRYLSLAGNLLQGPIPSSLLSCSSLNTINLSNNHFSGDPDFSSGIWSLKRLRKLDLSHNEFSGSVPQGVSAIHFLKELQLQGNRFSGPLPGDIGLCPHLNRLDLSRNLFSGALPESLQRLSSMSLFSLSKNMLAGEFPRWIGSLTNLEYLDLSSNALTGSIPSSIGDLKSLRYLSLSNNKLFGIIPTSMVSCTMLSVIRLRGNSFNGSIPEGLFDLRLEELDFSDNGLVGSIPSGSITFFSSLHTLDLSKNNLTGHIPAERGLSSNLRYLNLSWNNLESRMPLELGYFQNLTVLDLRNSALVGLIPADICESGSLNILQLDGNSLVGQIPEEIGNCSSLYLLSLSQNNLSGSIPESISRLNKLKILKLEFNELTGEIPQELGKLENLLAVNVSYNKLVGRLPVGGIFPSLDRSALQGNLGLCSPLLKGPCKMNVPKPLVLDPYAYGNQGDGKKPRNVSSHPARFHHHMFLSVSTIIAISAAIFILFGVILVSLLNVSVRKRLAFVDHALESMCSSSSRSGNLSTGKLVLFDSKSSPDWISNPEALLNKAAEIGHGVFGTVYKVSLGSEARMVAIKKLLTLNIIQYPEDFDREVQVLGKARHPNLLSLKGYYWTPQLQLLVSEYAPNGSLQAKLHERIPSAPRLSWANRLKIVLGTAKGLAHLHHSFRPPIIHCDIKPSNILLDENFNPKISDFGLARFLAKLDRHVISTRFQSALGYVAPELSCQSLRINEKCDIYGFGILILELVTGRRPVEYGEDNVLILKDHVRFLLEQGNVFDCVDPSMGDYPEDEVLPVLKLALVCTSHIPSSRPSMAEVVQILQVIKTPVPQRTEFF >Potri.005G208301.1.v4.1 pep chromosome:Pop_tri_v4:5:21261226:21261917:1 gene:Potri.005G208301.v4.1 transcript:Potri.005G208301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208301.v4.1 MSARRKDGHPSVYYLGSSIGPPFLHRQDCSHWYLPGVPDSWNELLYTLLLKWESVHAQNLTESSQATP >Potri.018G083800.2.v4.1 pep chromosome:Pop_tri_v4:18:10172361:10175258:1 gene:Potri.018G083800.v4.1 transcript:Potri.018G083800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083800.v4.1 MKLKQLESMLGELQQFSNPKAELEQYPTGPHIASRMLYTAENSLGDVSNKIVADFGCGCGTLGAAASLMGAEQVIGIDIDSESLEIASLNAEDLELDINFIQCDIRNLVWRGPIVDTVVMNPPFGTRRNGADMDFLSAALKIASRAVYSLHKTSTREHVKKAALRGFGASSAEVLCELRFDVPKLYKFHKKREMDIAVDLWRFAPKTNQGNDN >Potri.008G185800.1.v4.1 pep chromosome:Pop_tri_v4:8:12908171:12909070:1 gene:Potri.008G185800.v4.1 transcript:Potri.008G185800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185800.v4.1 MGLSSFPGAAGVLPELLMNTILLVALLKNTVRSVLQVMAGANWTPPNYEEEPDGHPQENARERRMSITQFKSLQQNHDGTSYRVSTAMECCVCLCGFQAEEEVSELHCKHFFHRGCLDKWFDNKQATCPLCRSIILLDS >Potri.015G108100.1.v4.1 pep chromosome:Pop_tri_v4:15:12621454:12622940:1 gene:Potri.015G108100.v4.1 transcript:Potri.015G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108100.v4.1 MALHAPIRLQATKTSPPPSLLAPNGNNVGLKGPTDRFALKSSFFSPSLHLLIASYNQQQPLASAAPRFSMRAATKQAYICRDCGYIYNDRKPFDKQPDNYFCPVCGAPKRRFREYMPAVTKNVNDKDVRKARKEQIQKDEAIGRALPIAVVVGIVALAGIYFYINNSFPG >Potri.008G212200.1.v4.1 pep chromosome:Pop_tri_v4:8:17497737:17500737:1 gene:Potri.008G212200.v4.1 transcript:Potri.008G212200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212200.v4.1 MADALVSKVLQQLTSAIENESALILGGKKKVEKLTTTLTAIRSVLIDAEKKQVKEKRVRVWLEQLEAISYDLDDLLDEWNTKICEPKRIEIMGHHHSSLSKKMVRLSKFISPCFCVNQLVMHRDIGSKMECIKERLDEVANEKDKYHFDIDGKTEEADRQETTPLIDVSEVCGRDFDKDTIISKLCEEFEEENCPLIISIAGMGGMGKTTLAQLVFSDDKVTAHFEHRIWVCVSEPFDRIRIAKTIINAFDELHTYILWQHLQEHLRKSVMGKKFLLVLDDVWTNDFRIWEPIKVPLKSGAPGSRILVTTRNEGVSKMMDAAYMLPLGKLSPEDSWSLFSKFAFYGKSREDRDNLEEIGREIADKCQGLPLAVKSLGSLMRFKETKQAWENVLHSELWESEEAERGIFPHLLLSYHDLSPPIKRCFAFCAIFPRDHKIERDTLIQLWMAQGFLVPTGSVEMEQIGAEYFDNLVMRSFFQDLERDRDDFSIVACRMHDIVQSFAQFLSKNQCFVIEFDEKNVLEMASLHTKARHMTLTGREKQFHPIIFNLKNLRTLQVLQKDVKTAPPDLFHGLQCLRGLDLSHTSITGLPSAVGRLFHLRWLNLSGLNFVVLPDTICKLYNLLALKLHGCRRLHRLPRGLGKLINLRYLNIEETESLSVLPQGIGRLSNLGTLSKFCIGENREGCNVGELKNLNHLRGHLEISGLEKVRNVNEVMEANLKNKEHLRSLDLAFSFGGQELITNVLEALQPHPNLEALLVYDYGGSILPSWMTLLTKMKDLKLLRCVNCKELPSLGKLPSLEKLLIGHFNNVKCVSVEFLGIDPVTDQNSITESVVLFPKLKELTFRYMVEWENWDTTTTTSAATRRTMPCLRSLSLYDCPKLKAIPEGLKQRPLEELIITRCPILE >Potri.017G001900.1.v4.1 pep chromosome:Pop_tri_v4:17:107490:110793:1 gene:Potri.017G001900.v4.1 transcript:Potri.017G001900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001900.v4.1 MWIQGAVLYEPLKPLYLSNPLSFNGTSFFTNHYQKRRSSVVVMSTTTKSTAVPIMVNGCNGKMGSSVILAANSAGLQILPKSFGPEKEAGNTVEVFGNEIKIHGPSERESVLASLYDEYPSMIVVDYTVPDLVNDNADLYCRVGVPFVMGTTGGDRDILYKTVDASKVYAVISPQMGKQVVAFLAAMEIMAEQFPGAFSGYSLHVKESHQAGKLDTSGTAKAVISCFKKLGVSFDDQIEMIRDPKEQVELVGVPEEYLSGHAFHLYYLSSPDKTVSFEFQHNVCGRSIYAEGTVDAVLFLAKKIQSKADKRIYNMIDVLREGNMR >Potri.009G146300.3.v4.1 pep chromosome:Pop_tri_v4:9:11593064:11597000:-1 gene:Potri.009G146300.v4.1 transcript:Potri.009G146300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146300.v4.1 MGGPNIWEEQRNFVKNLHEQGILDSRFDEILDLPRENPQFVIDLVTKFCSDAENSIAVLIRYHNEPDINYPKVIDRAHQIKGASSCIGGHRMALASRELRYACEDKDKDSFLQDQG >Potri.009G146300.2.v4.1 pep chromosome:Pop_tri_v4:9:11593065:11597000:-1 gene:Potri.009G146300.v4.1 transcript:Potri.009G146300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146300.v4.1 MGGPNIWEEQRNFVKNLHEQGILDSRFDEILDLPRENPQFVIDLVTKFCSDAENSIAVLIRYHNEPDINYPKVIDRAHQIKGASSCIGGHRMALASRELRYACEDKDKDRCFAAFCKTKDEYQILKEKFNIILQMERNMISGESKKGHQ >Potri.018G071400.1.v4.1 pep chromosome:Pop_tri_v4:18:8730423:8736739:1 gene:Potri.018G071400.v4.1 transcript:Potri.018G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G071400.v4.1 MDTLLRNRGVFRVSVCGQEELEQLSRDGSHYSLSTGILPSLGARSNRRVKLNRFIISPYDRRYRIWETFLVLLVIYTAWVSPFEFGFLKQPQSPLSICDNVVNGFFAVDIVLTFFVAYLDKATYLLIDDHKKIAWKYASSWLALDIISTIPTELARKISPKPLQSYGFFNMLRLWRLRRVSALFSRLEKDRNYNYFGVRCAKLLCVTLFAVHSAGCFYYLIAARYHDPHNTWIGAALGDNFLEQGIWRRYVTSIYWSITTLTTVGYGDLHPVNTREMIFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDTVQSASSFAQRNKLPPRLQDQMLAHLCLKFKTDSEGLQQQETLDFLPKAIRSSISHYLFYSLVEKVYLFQGVSNDLLFQLVSEMEAEYFPPNEDVILQNEAPTDFYILVTGAVEVLAMKTEGEQVVGGAKTGDICGEIGVLCYRPQLFTVRTKRLSQLLRMNRTSFLNIVQANVGDGTIIMNNFLQYLKEQKDPVMEGVFVETTTMLAHGRMELPLSLCIAALRGDDLLLHQLLKRGLDPNEADHNGRSALHIAASKGSENCVLLLLDYGVDPNCRDSEGNVPLWEAMLGGHESLTKLLIENGASIHHGEVGHFACTAAELNNLNLLEEIVQYGGDVTIPRDNGTTALHVAVSEDNTELVIFLLDQGADIDKPDGHGWTPRDLADQQGHEEIKLIFQTRKEAKKQTLVAIPEKRAHGTRFLERLTSEPAIRPVSQEGSFPATDGSLSQTRPRRRINNLHNSLFGTMSAAHKGEKDLLSQNSHNNHGTSHARVTISCPEKGEVAGKLVLLPNSFRALLEMGSKKFRISPAKVMRKDRAEIDAIELIRDGDHLMFVADGRQQTSN >Potri.008G134100.1.v4.1 pep chromosome:Pop_tri_v4:8:8918026:8918262:1 gene:Potri.008G134100.v4.1 transcript:Potri.008G134100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134100.v4.1 MENNSSNDDSRGRNGDGDHGYVGFPIHSQVIKIRQEFDKIKHPSLQQLEVRGVVKCRINRQRSRSPLGLAERPISVGN >Potri.016G057800.2.v4.1 pep chromosome:Pop_tri_v4:16:3917031:3919807:-1 gene:Potri.016G057800.v4.1 transcript:Potri.016G057800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057800.v4.1 MEVEHFSHPVHPLILINQVLEYSGELVICSGCDEPIWGPCYSCTSCYFFLHKTCAELPREIKRHIHFKHPLHLLAKPPSHYILGCICDLCKKTCESFVYHCSVCKFDLHIKCAFQQCFFEVDSQAHQFAHIDHSLISNEEQEFHVEGVMCSGCDEPISGPSYRCTSCNFFLHKKCTELPQEIKRCLHPQHPLHLLAKPPAHHTGKWMCDLCNKTCKSFVYRCSFCNFYLDIKCALPPCLSEVEGQEHQFICLPKSLPLKIVSFTCNACGTDGDDSPFVCTMCQLIVHKTCISFPRSIKLRIHQHPRIIHTYHLQQCNSRNKYCGICRDGVDTNYGVYYCQDCDFVAHVNCGIQYRLSNTESDGDGRSITMNDEFKESSFDIVREIKHGDERIIAEIKHFSHQHNLILIDEFNNDPKCDGCMLPIFTPFYSCTECNFFLDKACIGLPRKKYWQYDRHPLILILNTWEEDPFQCAICEQYCHGFSYNCDRCHSFLDVRCFKSTKDSIEHGGHEHPLYLAVESENRHCSGCGVSGESQTFRCVVCDFNLDFKCATLPDKARHRYDEHPLFLTYIDPNDYQYVCQICEKERDPKLWFYRCEECDFDAHRECVLGKNPFIKLGGSYTVDIHPHPLALVEKTDDYTACHTCGEPCDDLALECTHYKCNFIIHYKREKRAML >Potri.016G057800.3.v4.1 pep chromosome:Pop_tri_v4:16:3917160:3919845:-1 gene:Potri.016G057800.v4.1 transcript:Potri.016G057800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057800.v4.1 MEVEHFSHPVHPLILINQVLEYSGELVICSGCDEPIWGPCYSCTSCYFFLHKTCAELPREIKRHIHFKHPLHLLAKPPSHYILGCICDLCKKTCESFVYHCSVCKFDLHIKCAFQQCFFEVDSQAHQFAHIDHSLISNEEQEFHVEGVMCSGCDEPISGPSYRCTSCNFFLHKKCTELPQEIKRCLHPQHPLHLLAKPPAHHTGKWMCDLCNKTCKSFVYRCSFCNFYLDIKCALPPCLSEVEGQEHQFICLPKSLPLKIVSFTCNACGTDGDDSPFVCTMCQLIVHKTCISFPRSIKLRIHQHPRIIHTYHLQQCNSRNKYCGICRDGVDTNYGVYYCQDCDFVAHVNCGIQYRLSNTESDGDGRSITMNDEFKESSFDIVREIKHGDERIIAEIKHFSHQHNLILIDEFNNDPKCDGCMLPIFTPFYSCTECNFFLDKACIGLPRKKYWQYDRHPLILILNTWEEDPFQCAICEQYCHGFSYNCDRCHSFLDVRCFKSTKDSIEHGGHEHPLYLAVESENRHCSGCGVSGESQTFRFVKKKETQSSGSTVVRNVTLMLIENAFLGKIHLSS >Potri.013G077800.7.v4.1 pep chromosome:Pop_tri_v4:13:6626179:6628814:-1 gene:Potri.013G077800.v4.1 transcript:Potri.013G077800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077800.v4.1 MVFRDVIKEPKVKVERPGCDSSVMVGLQNCVISQPPVNLEQDLGFLEKNLHILDCVFSGRNDTNSENVGVGLFERESLNADYEPMDTGENRDGHASEDSLLPGLYDDAAMYILAWSCRSDYPNLALLNKKFKALIESGCLYKVRRQLGVIEHWIYLACILMPWEAFDPARERWMRLPRIPCDECFTYADKESLAVGTQLLVFGRELLGFAVWIYSLLTHDWSRCPPMNLPRCLFGSSSLGEIAIVAGGSDKNGCIMRSAELYNSEVGTWVTLPDMNLPRKLCSGFFMDGKFYVIGGMSSQTDCLSCGEEYNLETSTWRRIENMYPLPSAGHPAMRSPPLVAVVNNQLYSADQATNEVKRYNKTNNSWSVVKRLPVRADSSNGWGLAFKACGSSLLVIGGHRGPQGEVIVLHTWDPQDRSTGRSEWNVLAVKERAGAFVANCAVMGC >Potri.013G077800.8.v4.1 pep chromosome:Pop_tri_v4:13:6626008:6628691:-1 gene:Potri.013G077800.v4.1 transcript:Potri.013G077800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077800.v4.1 MVGLQNCVISQPPVNLEQDLGFLEKNLHILDCVFSGRNDTNSENVGVGLFERESLNADYEPMDTGENRDGHASEDSLLPGLYDDAAMYILAWSCRSDYPNLALLNKKFKALIESGCLYKVRRQLGVIEHWIYLACILMPWEAFDPARERWMRLPRIPCDECFTYADKESLAVGTQLLVFGRELLGFAVWIYSLLTHDWSRCPPMNLPRCLFGSSSLGEIAIVAGGSDKNGCIMRSAELYNSEVGTWVTLPDMNLPRKLCSGFFMDGKFYVIGGMSSQTDCLSCGEEYNLETSTWRRIENMYPLPSAGHPAMRSPPLVAVVNNQLYSADQATNEVKRYNKTNNSWSVVKRLPVRADSSNGWGLAFKACGSSLLVIGGHRGPQGEVIVLHTWDPQDRSTGRSEWNVLAVKERAGAFVANCAVMGC >Potri.013G077800.6.v4.1 pep chromosome:Pop_tri_v4:13:6625047:6628721:-1 gene:Potri.013G077800.v4.1 transcript:Potri.013G077800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077800.v4.1 MVFRDVIKEPKVKVERPGCDSSVMVGLQNCVISQPPVNLEQDLGFLEKNLHILDCVFSGRNDTNSENVGVGLFERESLNADYEPMDTGENRDGHASEDSLLPGLYDDAAMYILAWSCRSDYPNLALLNKKFKALIESGCLYKVRRQLGVIEHWIYLACILMPWEAFDPARERWMRLPRIPCDECFTYADKESLAVGTQLLVFGRELLGFAVWIYSLLTHDWSRCPPMNLPRCLFGSSSLGEIAIVAGGSDKNGCIMRSAELYNSEVGTWVTLPDMNLPRKLCSGFFMDGKFYVIGGMSSQTDCLSCGEEYNLETSTWRRIENMYPLPSAGHPAMRSPPLVAVVNNQLYSADQATNEVKRYNKTNNSWSVVKRLPVRADSSNGWGLAFKACGSSLLVIGGHRGPQGEVIVLHTWDPQDRSTGRSEWNVLAVKERAGAFVANCAVMGC >Potri.014G110000.5.v4.1 pep chromosome:Pop_tri_v4:14:7371675:7376922:1 gene:Potri.014G110000.v4.1 transcript:Potri.014G110000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110000.v4.1 MVVGELHGFMPVSSKMKSSTRDIFLSSPFVGRKLGNGGHAYTSISGVQLSKFNFPRKTWNTSRRHSTTTAVLADFTKDFMTFQASMFEKQAADPKTVAAIILGGGAGTRLFPLTRRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNQGNGVDFGDGFVEVLAATQTPGESGKKWFQGTADAVRQFIWLFEDAKLRNIENILVLSGDHLYRMDYMDFLQKHIESGADICVSCLPVNDSRASDFGLVKIDETGQIRQFLEKPKGENLKSMKVDTTVLGLSAQEANKFPYIASMGIYMFKTDVLLKLLRWNYPTANDFGSEIIPMSTKEYNVQAYLFNGYWEDIGTIKSFFDANLALTDQPPNFHFFDPLKPIFTSPRFLPPTKIEKCRVKDSIVSHGCFLRECSVERSIVGVRSRLEYGVELKDTMMIGADYYQTEAEIAASLAEGRVPVGVGKDTKIMNCIIDKNARIGKNVIIANKEGVQEAERPSEGFYIRSGITVVLKNSVIKDGTII >Potri.014G110000.4.v4.1 pep chromosome:Pop_tri_v4:14:7370938:7376922:1 gene:Potri.014G110000.v4.1 transcript:Potri.014G110000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110000.v4.1 MVVGELHGFMPVSSKMKSSTRDIFLSSPFVGRKLGNGGHAYTSISGVQLSKFNFPRKTWNTSRRHSTTTAVLADFTKDFMTFQASMFEKQAADPKTVAAIILGGGAGTRLFPLTRRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNQGNGVDFGDGFVEVLAATQTPGESGKKWFQGTADAVRQFIWLFEDAKLRNIENILVLSGDHLYRMDYMDFLQKHIESGADICVSCLPVNDSRASDFGLVKIDETGQIRQFLEKPKGENLKSMKVDTTVLGLSAQEANKFPYIASMGIYMFKTDVLLKLLRWNYPTANDFGSEIIPMSTKEYNVQAYLFNGYWEDIGTIKSFFDANLALTDQPPNFHFFDPLKPIFTSPRFLPPTKIEKCRVKDSIVSHGCFLRECSVERSIVGVRSRLEYGVELKDTMMIGADYYQTEAEIAASLAEGRVPVGVGKDTKIMNCIIDKNARIGKNVIIANKEGVQEAERPSEGFYIRSGITVVLKNSVIKDGTII >Potri.014G110000.3.v4.1 pep chromosome:Pop_tri_v4:14:7370938:7376922:1 gene:Potri.014G110000.v4.1 transcript:Potri.014G110000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110000.v4.1 MVVGELHGFMPVSSKMKSSTRDIFLSSPFVGRKLGNGGHAYTSISGVQLSKFNFPRKTWNTSRRHSTTTAVLADFTKDFMTFQASMFEKQAADPKTVAAIILGGGAGTRLFPLTRRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNQGNGVDFGDGFVEVLAATQTPGESGKKWFQGTADAVRQFIWLFEDAKLRNIENILVLSGDHLYRMDYMDFLQKHIESGADICVSCLPVNDSRASDFGLVKIDETGQIRQFLEKPKGENLKSMKVDTTVLGLSAQEANKFPYIASMGIYMFKTDVLLKLLRWNYPTANDFGSEIIPMSTKEYNVQAYLFNGYWEDIGTIKSFFDANLALTDQPPNFHFFDPLKPIFTSPRFLPPTKIEKCRVKDSIVSHGCFLRECSVERSIVGVRSRLEYGVELKDTMMIGADYYQTEAEIAASLAEGRVPVGVGKDTKIMNCIIDKNARIGKNVIIANKEGVQEAERPSEGFYIRSGITVVLKNSVIKDGTII >Potri.014G110000.2.v4.1 pep chromosome:Pop_tri_v4:14:7370937:7376922:1 gene:Potri.014G110000.v4.1 transcript:Potri.014G110000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110000.v4.1 MVVGELHGFMPVSSKMKSSTRDIFLSSPFVGRKLGNGGHAYTSISGVQLSKFNFPRKTWNTSRRHSTTTAVLADFTKDFMTFQASMFEKQAADPKTVAAIILGGGAGTRLFPLTRRRAKPAVPIGGCYRLIDVPMSNCINSGINKIYILTQFNSQSLNRHIARTYNQGNGVDFGDGFVEVLAATQTPGESGKKWFQGTADAVRQFIWLFEDAKLRNIENILVLSGDHLYRMDYMDFLQKHIESGADICVSCLPVNDSRASDFGLVKIDETGQIRQFLEKPKGENLKSMKVDTTVLGLSAQEANKFPYIASMGIYMFKTDVLLKLLRWNYPTANDFGSEIIPMSTKEYNVQAYLFNGYWEDIGTIKSFFDANLALTDQPPNFHFFDPLKPIFTSPRFLPPTKIEKCRVKDSIVSHGCFLRECSVERSIVGVRSRLEYGVELKDTMMIGADYYQTEAEIAASLAEGRVPVGVGKDTKIMNCIIDKNARIGKNVIIANKEGVQEAERPSEGFYIRSGITVVLKNSVIKDGTII >Potri.007G006500.1.v4.1 pep chromosome:Pop_tri_v4:7:440852:444674:-1 gene:Potri.007G006500.v4.1 transcript:Potri.007G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006500.v4.1 METTYQPYIDPEFESLIERIYPPRVCIDNEAYQDCTLVKADSANKHGILLEMVQVLTDLDLVISKSYISSDGGWFMDVFHVTDQLGNKLTDESLILYIQQALCANRRRGVSKELPTCLNREVRPRHVSTEHTTLEMAGTDRPGLLSEISAVLFELECHVTAALAWTHNTRAASIIYMEDGFRGGPITDPKRLAHVQEQLENVVEARHGMGERRSVRLTAPAPGQQTHTERRLHQLMYANIDYEPCQGCNGGGAAHRNNCTKTHVFIESCEEKGYSVVNVRSRDRPKLLFDTLCALTDMQYVVFHAVVSSKGTMADQEYFIRQKDGCTLDTDSERHKLTQCLIAAIERRVSHGLRLDIRTHNRMGLLSDLTRAFRENGLSISSAEIGTNGDRAVGSFYVTDASGYEANPQVIEQVKKEIGGSIVVVNKSPGWTPKTSKTPSAASISRTSSGSSIHEDKPRFSLGSLFWSQLERLSNNFSSIRS >Potri.011G061400.1.v4.1 pep chromosome:Pop_tri_v4:11:5062016:5064643:-1 gene:Potri.011G061400.v4.1 transcript:Potri.011G061400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G061400.v4.1 MASSNRHWPSLFKSKPCNPHHHQWQHDINPSSLMSTGCHRNPYASVPGCEERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPRDEIRKIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRNLQNSKQQITPSTTKPVTASLTAPSSLSSSSEKSSPKVSKRTLSLSSPPFIDASNSPNSSVSQTYFQAQNEFVSEPFFFPVQQTGGETVAFTQGFCFSELSNVVHVQDHTVGPCPSLLLSEITNSSASKKANHEERNLKMQPQLSYTATSPVTHSIDLAPPLPLSANTSTVSIQSTISQIQGLGVSGGNERSTVFINDVAFEVAMGPFNVREAFGDDILLIHSSGQPVLTNEWGITLDSLQHGALYYLVPLSISEHI >Potri.014G014100.1.v4.1 pep chromosome:Pop_tri_v4:14:812337:813932:-1 gene:Potri.014G014100.v4.1 transcript:Potri.014G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014100.v4.1 MDLELVKSGVHFSRRKKKLLILLAVFGVSGYGFYRLYNLPAVARKRRGFMKLMGALISIAEMVSDSTETISIVSKDLKEFLQSDSDKIPNSLKQISKIAKSDEFSESLMRVTQALTVGVLRGYNLESGNGNTVGLGSGNLNFSDKVMERLFSNEGAGFVSVVVGSFARNLVLGFYSSGGGQMGSSLSDVPGWVGVVCDDRCRELIADCIQKFVSSAVSVYLDKTLKINSYDELFTGLTNPKHQNNVKDVLISLCNGAVETLVKTSHQVLTTSSSEKSGSTCSIVEQGEVLSATKDGFLNREACMKDGNFFDGVQSDGWVGKVSSTLSVPSNRKFVFDVTGRVTFETIRCIVEFMLWKMSDGVKRSLNVVQEEIVDRGLEVVRYVGAKSSVIVTVCLALYLHVLGGTRVLLPA >Potri.003G143300.2.v4.1 pep chromosome:Pop_tri_v4:3:15863105:15864127:-1 gene:Potri.003G143300.v4.1 transcript:Potri.003G143300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143300.v4.1 MGSQTKTILLILLLLFIDLSAARFSGIQEGHILPNPPSELIYEVEMRKLTEMEAMVDYQKDPVPNPKHEPHP >Potri.003G143300.1.v4.1 pep chromosome:Pop_tri_v4:3:15863110:15864146:-1 gene:Potri.003G143300.v4.1 transcript:Potri.003G143300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143300.v4.1 MGSQTKTILLILLLLFIDLSAGEFSGIQEGHILPNPPSELIYEVEMRKLTEMEAMVDYQKDPVPNPKHEPHP >Potri.005G251600.2.v4.1 pep chromosome:Pop_tri_v4:5:24450617:24453982:1 gene:Potri.005G251600.v4.1 transcript:Potri.005G251600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251600.v4.1 MDPYKHRPSSAFNSPYWTTNSGASVWNNNSSLTVGSRGPILLEDYHLVEKIANFDRERIPERVVHARGASAKGFFEVTHDISNLSCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFVRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLFDDLGVPQDYRHMEGAGVNTYTLINKAGKANYVKFHWKPTCGVKCLLEDEAIKVGGANHSHATQDLYDSIAAGNYPEWKLFIQTIDPDHEDRFDFDPLDVTKIWPEDILPLQPVGRLVLNKNIDNFFAENEQLAFCPAIVVPGIYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPVNAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRHDPSRHAERFPIPSAVCSGRREKCIIEKENNFKQPGERYRSWAPDRQERFVRRWVDALSEPRVTHEVRSIWISYWSQADKSLGQKLASHLNVRPSV >Potri.005G043600.1.v4.1 pep chromosome:Pop_tri_v4:5:2749354:2750611:-1 gene:Potri.005G043600.v4.1 transcript:Potri.005G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043600.v4.1 MASCLVLLVLAFFVFSTNVDAQVTVYCQASDYNNEVLMQWMYANGISCQPITSSTTSTPSISSKSIEVDCEGSRFYVDENVLEWIYANNIPCHPITRSKKRNKLPIILGTVIPIFCLFWAIVGFIVHHKRKTAAIAAITTGQVDGANKHTQGSLSADGIKINIHDQTSQGIGDEYPRQQQESNHA >Potri.005G179800.2.v4.1 pep chromosome:Pop_tri_v4:5:18590757:18595498:1 gene:Potri.005G179800.v4.1 transcript:Potri.005G179800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179800.v4.1 MMVPSGNKWKATGKEGLTTFTSFAFPYLTNSRKRKETVNVRRANMAVYNSKKANLTAARKERMNLPITDGGYRISEFLSHPFGIQAILNTGSLQSFQSLDANTYRCILPKVELLNFEAAPVLDLRVSPSDEHCTVEMISCKFQGSELVERQNDRFSAFMVNSMTWNTNISEPFLEVDVKLNLMLEIYTQPFTLLPTSAVESAGNLVMQALLDRLVPLLLEQLLLDYNKWTNQHRAVIP >Potri.015G145600.8.v4.1 pep chromosome:Pop_tri_v4:15:15021035:15024529:1 gene:Potri.015G145600.v4.1 transcript:Potri.015G145600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145600.v4.1 METLWKLLYLLEPAPVTLIVTAVAVTFGSAFRALNYGKEMERNRDWSEASITLDRSQALMIPIMSSCSLLMMFYLFSSVSQLLTAFTAIASVSSLFFSLSPFAAYIKSRYGLADPHVSRCCSKSFTRIQGLLLVSCFLTVSAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAILLACLFVYDIFWVFFSERIFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGSTASGGNATDFMMLGLGDMAIPAMLLALVLCFDYRKSRDPMNLLDLHSSKGHRYIWYALPGYAIGLVTALAAGVLTHSPQPALLYLVPSTLGPVIVVSWIRKELPELWEGSMSNGNDKARQIEV >Potri.015G145600.4.v4.1 pep chromosome:Pop_tri_v4:15:15020903:15024505:1 gene:Potri.015G145600.v4.1 transcript:Potri.015G145600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145600.v4.1 METLWKLLYLLEPAPVTLIVTAVAVTFGSAFRALNYGKEMERNRDWSEASITLDRSQALMIPIMSSCSLLMMFYLFSSVSQLLTAFTAIASVSSLFFSLSPFAAYIKSRYGLADPHVSRCCSKSFTRIQGLLLVSCFLTVSAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAILLACLFVYDIFWVFFSERIFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLLGSTASGGNATDFMMLGLGDMAIPAMLLALVLCFDYRKSRDPMNLLDLHSSKGHRYIWYALPGYAIGLVTALAAGVLTHSPQPALLYLVPSTLGPVIVVSWIRKELPELWEGSMSNGNDKARQIEV >Potri.008G197700.1.v4.1 pep chromosome:Pop_tri_v4:8:13987628:13990930:-1 gene:Potri.008G197700.v4.1 transcript:Potri.008G197700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197700.v4.1 MATTIYPRQFLFLLSIFSILHKSISYPGTSSSIINPAKVKQVSWKPRAFVYEGFLTDLECDHLISLAKSELKRSAVADNESGKSKLSEVRTSSGMFITKAKDPIVAGIEDKIATWTFLPRENGEDIQVLRYEHGQKYDPHYDYFSDKVNIARGGHRVATVLMYLTDVEKGGETVFPSAEELPRRKASVSHEDLSECARKGIAVKPRRGDALLFFSLYPTAVPDTSSIHAGCPVIEGEKWSATKWIHVDSFDKNLEAGGNCTDQNESCGRWAALGECTKNVEYMVGSSGLPGYCRRSCKVC >Potri.007G092200.3.v4.1 pep chromosome:Pop_tri_v4:7:11781376:11802553:1 gene:Potri.007G092200.v4.1 transcript:Potri.007G092200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092200.v4.1 MTSLQLLQLTERGRGLLANRRKSLLLAAGVLAAGGTAAYVQSRISSKKSDSFLHSNGPKDDKKISNKLVTNDKKNTQKKRGLKSLQVLAAVLLSRMGKMGAKDLLAMIAIAVLKTTLSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSTMHSTSKYVTGTLSLCFRKILTKLIHAHYFENMAYYKISHVDGRITNPEQRIASDVPRFCSELSELVLDDLTAVADGVLYTWRLCSYTSPKYLFWMVAYILGAGTLIKNFTPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGERREEFHIQQKFETLIRHMSTVLHDQWWFGMIQDFLFKYLGATVAVILIIEPFFSGQLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSTSSRRLNRLSGYADRIHELISISRELSNDDKSSLQRSGSKNYFSEADYVEFSGVKVVTPSGNVLVQDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEIEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVQATGTSCITISHRPALVAFHDVVLSLDGEGGWHVNYKGKDSPALTEARNDITGACETDRKNDAMVVQKAFSTSDKVAHLYISEVIAASPSVDHNLPLPIVPPLQRAPRVLPLRVAAMFKILVPSILDKQGAHLLAVAFLVVSRTFVSDRIASLNGTTVKLVLEQDRASFVQLIGVSVLQSAASSFIAPSLRHLKTLLALGWRIRLTQHLLKNYLRNNTFYKVFNMSRKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRAVTPDFGDLASQEQQFEGTFRFMHERLRTHAESVAFFGGGKREKDMIESRFRELLDHSMLLLKKKWSYGILDDFVTKQLPNNVTWGLSLLYAVENNGDRAMSSTQGDLAHALRYLASVVSQSFLAFGDILELHKKFAELSGSINRIFELEELLDSAQSGDSLNGKLSPSKNSELYSKDAISFMEVDIITPAQKLLARQLTFDIGQGKSLLLTGPNGSGKSSVFRVLRGLWPIVSGRLAKPLQHISKETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSHDEAEVMTLKLSEKGMQSTEMTNILDTRLKNILENVRLNYLLEREGGWDANMNWEDTLSLGEQQRLGMARLFFHKPKFAILDECTNATSVDVEEQLYRLAKDMGITFVTSSQRPALIPFHSLELRLIDGEGQWELRAIKQ >Potri.007G092200.6.v4.1 pep chromosome:Pop_tri_v4:7:11781379:11790658:1 gene:Potri.007G092200.v4.1 transcript:Potri.007G092200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092200.v4.1 MTSLQLLQLTERGRGLLANRRKSLLLAAGVLAAGGTAAYVQSRISSKKSDSFLHSNGPKDDKKISNKLVTNDKKNTQKKRGLKSLQVLAAVLLSRMGKMGAKDLLAMIAIAVLKTTLSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSTMHSTSKYVTGTLSLCFRKILTKLIHAHYFENMAYYKISHVDGRITNPEQRIASDVPRFCSELSELVLDDLTAVADGVLYTWRLCSYTSPKYLFWMVAYILGAGTLIKNFTPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGERREEFHIQQKFETLIRHMSTVLHDQWWFGMIQDFLFKYLGATVAVILIIEPFFSGQLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSTSSRRLNRLSGYADRIHELISISRELSNDDKSSLQRSGSKNYFSEADYVEFSGVKVVTPSGNVLVQDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEIEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVQATGTSCITISHRPALVAFHDVVLSLDGEGGWHVNYKGKDSPALTEARNDITGACETDRKNDAMVVQKAFSTSDKVAHLYISEVIAASPSVDHNLPLPIVPPLQRAPRVLPLRVAAMFKILVPSILDKQGAHLLAVAFLVVSRTFVSDRIASLNGTTVKLVLEQDRASFVQLIGVSVLQSAASSFIAPSLRYLLVLN >Potri.007G092200.1.v4.1 pep chromosome:Pop_tri_v4:7:11781376:11802568:1 gene:Potri.007G092200.v4.1 transcript:Potri.007G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092200.v4.1 MTSLQLLQLTERGRGLLANRRKSLLLAAGVLAAGGTAAYVQSRISSKKSDSFLHSNGPKDDKKISNKLVTNDKKNTQKKRGLKSLQVLAAVLLSRMGKMGAKDLLAMIAIAVLKTTLSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSTMHSTSKYVTGTLSLCFRKILTKLIHAHYFENMAYYKISHVDGRITNPEQRIASDVPRFCSELSELVLDDLTAVADGVLYTWRLCSYTSPKYLFWMVAYILGAGTLIKNFTPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGERREEFHIQQKFETLIRHMSTVLHDQWWFGMIQDFLFKYLGATVAVILIIEPFFSGQLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSTSSRRLNRLSGYADRIHELISISRELSNDDKSSLQRSGSKNYFSEADYVEFSGVKVVTPSGNVLVQDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEIEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVQATGTSCITISHRPALVAFHDVVLSLDGEGGWHVNYKGKDSPALTEARNDITGACETDRKNDAMVVQKAFSTSDKVAHLYISEVIAASPSVDHNLPLPIVPPLQRAPRVLPLRVAAMFKILVPSILDKQGAHLLAVAFLVVSRTFVSDRIASLNGTTVKLVLEQDRASFVQLIGVSVLQSAASSFIAPSLRHLKTLLALGWRIRLTQHLLKNYLRNNTFYKVFNMSRKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRAVTPDFGDLASQEQQFEGTFRFMHERLRTHAESVAFFGGGKREKDMIESRFRELLDHSMLLLKKKWSYGILDDFVTKQLPNNVTWGLSLLYAVENNGDRAMSSTQGDLAHALRYLASVVSQSFLAFGDILELHKKFAELSGSINRIFELEELLDSAQSGDSLNGKLSPSKNSELYSKDAISFMEVDIITPAQKLLARQLTFDIGQGKSLLLTGPNGSGKSSVFRVLRGLWPIVSGRLAKPLQHISKETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSHDEAEVMTLKLSEKGMQSTEMTNILDTRLKNILENVRLNYLLEREGGWDANMNWEDTLSLGEQQRLGMARLFFHKPKFAILDECTNATSVDVEEQLYRLAKDMGITFVTSSQRPALIPFHSLELRLIDGEGQWELRAIKQ >Potri.007G092200.5.v4.1 pep chromosome:Pop_tri_v4:7:11781376:11802542:1 gene:Potri.007G092200.v4.1 transcript:Potri.007G092200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092200.v4.1 MTSLQLLQLTERGRGLLANRRKSLLLAAGVLAAGGTAAYVQSRISSKKSDSFLHSNGPKDDKKISNKLVTNDKKNTQKKRGLKSLQVLAAVLLSRMGKMGAKDLLAMIAIAVLKTTLSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSTMHSTSKYVTGTLSLCFRKILTKLIHAHYFENMAYYKISHVDGRITNPEQRIASDVPRFCSELSELVLDDLTAVADGVLYTWRLCSYTSPKYLFWMVAYILGAGTLIKNFTPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGERREEFHIQQKFETLIRHMSTVLHDQWWFGMIQDFLFKYLGATVAVILIIEPFFSGQLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSTSSRRLNRLSGYADRIHELISISRELSNDDKSSLQRSGSKNYFSEADYVEFSGVKVVTPSGNVLVQDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEIEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVQATGTSCITISHRPALVAFHDVVLSLDGEGGWHVNYKGKDSPALTEARNDITGACETDRKNDAMVVQKAFSTSDKVAHLYISEVIAASPSVDHNLPLPIVPPLQRAPRVLPLRVAAMFKILVPSILDKQGAHLLAVAFLVVSRTFVSDRIASLNGTTVKLVLEQDRASFVQLIGVSVLQSAASSFIAPSLRHLKTLLALGWRIRLTQHLLKNYLRNNTFYKVFNMSRKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRAVTPDFGDLASQEQQFEGTFRFMHERLRTHAESVAFFGGGKREKDMIESRFRELLDHSMLLLKKKWSYGILDDFVTKQLPNNVTWGLSLLYAVENNGDRAMSSTQGEISMILKLHLSHSLILVIFFR >Potri.007G092200.4.v4.1 pep chromosome:Pop_tri_v4:7:11781391:11802555:1 gene:Potri.007G092200.v4.1 transcript:Potri.007G092200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092200.v4.1 MTSLQLLQLTERGRGLLANRRKSLLLAAGVLAAGGTAAYVQSRISSKKSDSFLHSNGPKDDKKISNKLVTNDKKNTQKKRGLKSLQVLAAVLLSRMGKMGAKDLLAMIAIAVLKTTLSNRLAKVQGFLFRAAFLRRVPLFFRLISENILLCFLLSTMHSTSKYVTGTLSLCFRKILTKLIHAHYFENMAYYKISHVDGRITNPEQRIASDVPRFCSELSELVLDDLTAVADGVLYTWRLCSYTSPKYLFWMVAYILGAGTLIKNFTPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGERREEFHIQQKFETLIRHMSTVLHDQWWFGMIQDFLFKYLGATVAVILIIEPFFSGQLRPDSSTLGRAEMLSNLRYHTSVIISLFQSLGTLSTSSRRLNRLSGYADRIHELISISRELSNDDKSSLQRSGSKNYFSEADYVEFSGVKVVTPSGNVLVQDLTLKVESGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEIEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAKVQATGTSCITISHRPALVAFHDVVLSLDGEGGWHVNYKGKDSPALTEARNDITGACETDRKNDAMVVQKAFSTSDKVAHLYISEVIAASPSVDHNLPLPIVPPLQRAPRVLPLRVAAMFKILVPSILDKQGAHLLAVAFLVVSRTFVSDRIASLNGTTVKLVLEQDRASFVQLIGVSVLQSAASSFIAPSLRHLKTLLALGWRIRLTQHLLKNYLRNNTFYKVFNMSRKNIDADQRITHDLEKLTTDLSGLVTGMVKPSVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRAVTPDFGDLASQEQQFEGTFRFMHERLRTHAESVAFFGGGKREKDMIESRFRELLDHSMLLLKKKWSYGILDDFVTKQLPNNVTWGLSLLYAVENNGDRAMSSTQGDLAHALRYLASVVSQSFLAFGDILELHKKFAELSGSINRIFELEELLDSAQSGDSLNGKLSPSKNSELYSKDAISFMEVDIITPAQKLLARQLTFDIGQGKSLLLTGPNGSGKSSVFRVLRGLWPIVSGRLAKPLQHISKETGSGCGIFYVPQRPYTCLGTLRDQIIYPLSHDEAEVMTLKLSEKVQQVLMLRNNFIDLPRIWASHLLHPLNVLL >Potri.003G057200.1.v4.1 pep chromosome:Pop_tri_v4:3:8404176:8405837:1 gene:Potri.003G057200.v4.1 transcript:Potri.003G057200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G057200.v4.1 MANIRLLSTSMVQATTDKVTDERIELTQCDLKLLLVDAIQKGLLFLKPKSLEDQNSLIQHLKTSLSRTLDCFNPLAGRLAAVEHDDNTVSFFIDCNNAGAQFVHAAADGVTMADILQPVYVPPILHSFFPLNGFLNYEAVSKPLLAVQVTELVDGIFVGCTMNHSAVDGTSFWNFFNSWSEIHRGLDHVSKTPVLERWSLLNGSISPPIRLPLSIIKNNSDSIIPSPLQERVFHFTKGKIAMLKAKANAEAATTSISSLQSLLAHIWRATTRARLVEHDKEVDLRIFIGLRARLQPPLPESYCGNAIVSGIVTLRTRDILEQGLGFVALEINKVVSSYTKNKVTDALASLLKNPSPFTKADVGRIHSLGISSSPRHNVYGTDFGWGRPVAVRSGPGNKFDGKLTLFPGLEEGSMDVEFSVLPETLKALGNDLEFMDAVTI >Potri.014G037500.1.v4.1 pep chromosome:Pop_tri_v4:14:2373904:2376242:1 gene:Potri.014G037500.v4.1 transcript:Potri.014G037500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037500.v4.1 MDPSPQLIAIALFFSCILLYNALIKKNSIKGNQIKEAPEPAGAWPIIGHLHLLGGGDQLLYRTLGAMADKHGSAFTIRLGSRRAFVVSSWEVVKECFTINDKALASRPTTVAAKHMGYNYAVFGFAPYSSFWREMRKIATLELLSNRRLEMLKHVRASEVDIGIRELYNSWANNSSSPVVVELKQWLEDLTLNVVVRMVAGKRYFGSAAASDDGEARRCQKAINQFFRLIGIFVVSDALPFLGWLDLQGHERAMKNTAKELDAILEGWLDEHRQRRVSAGIKDEGEQDFIDVMLSLKEEGQLSNFQYDANTSIKSTCLALILGGSDTTAGTLTWAISLLLNNRHMLKKAQEELDLHVGKERQVEDSDVKNLVYLQAIIKETLRLYPAGPLLGPREAMEDCKVAGYHVPAGTRLIVNVWKIQRDPRVWTNPSAFLPERFLTSHGDVDVRGQQFELIPFGSGRRSCPGVSFALQVLHLTLARLLHSFELATPMDQPVDLTESSGLTIPKATPLEVILTPRLPPKLYGY >Potri.009G012200.1.v4.1 pep chromosome:Pop_tri_v4:9:2232350:2233510:-1 gene:Potri.009G012200.v4.1 transcript:Potri.009G012200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012200.v4.1 MRPQSFILALSLIFFFLHCTKTLCQSPAAAPAMAPPKTPVKAPPADSSQAPSAQVATSPGPVDVNKILQKAGHFTVFARLMQATTEDTELNKELNTTNNGITILAPTDNAFSSLKAGFLNSLSDEDKTELVKFHVLPAFISTSQFQTVSNPVRTQAGTGPRVTLNVTTTGNFVNISSGLTNTSISGTVYTDSQLAIYQLDKVLFPLDIFTPKPPAPAPEPALGKPRKAAPDAESPTAPKDISGAPALLFLHNNALLLAVSCAFGAIIHS >Potri.005G054700.1.v4.1 pep chromosome:Pop_tri_v4:5:3455255:3456575:-1 gene:Potri.005G054700.v4.1 transcript:Potri.005G054700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054700.v4.1 MSRKAQAGYGTIPTTISATTTTTTKSPQSPTTLTFISRVSTSTQTLIATRRPWQELIKLSSFIRPNNYNDAISRIKFNVNYFRVNYAMIFLAILFLSLLWHPISMIVFIVMFVAWLFLYFGRDGPVVVFNKSLDDRVVLCVLGLVTILALVFTHVGLNVLIALIIGVVIVGVHAAFRGTEDLFLDEESAVEGGLLSVVGSQPLRPTMGYTRI >Potri.006G077200.1.v4.1 pep chromosome:Pop_tri_v4:6:5742541:5743363:1 gene:Potri.006G077200.v4.1 transcript:Potri.006G077200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077200.v4.1 MPPKFDPSQVVDVFVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNIALDDVIEIAKVMSSRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGDVVISE >Potri.001G098600.2.v4.1 pep chromosome:Pop_tri_v4:1:7853492:7858991:1 gene:Potri.001G098600.v4.1 transcript:Potri.001G098600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098600.v4.1 MDESLLDDIIRRLVEAKNGRTTKQVHLTEAEIKQLCLASRGVFLSQPNLLELEAPIKICGDVHGQFSDLLRLFEYGGYPPEANYLFLGDYVDRGKQSIETICLLLAYKIKYKENFFLLRGNHECASINRIYGFYDECKRRFNVRVWKTFTDCFNCLPVAALIDEKILCMHGGLSPELKSLDQIRNLARPIDVPDHGLLCDLLWADPDKDMEGWGENDRGVSYTFGADKVVEFLRKQDLDLVCRAHQVVEDGYEFFAKRQLVTIFSAPNYCGEFDNAGAMMSVDDTLTCSFQILRASEKKGKGGFGNNILRPGTPPHKGKS >Potri.004G029800.1.v4.1 pep chromosome:Pop_tri_v4:4:2306524:2307633:-1 gene:Potri.004G029800.v4.1 transcript:Potri.004G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029800.v4.1 MKYLAMNELGLADQEGSCWGRMGRKRTSYDDTVGYKSKNLHAERRRREKLSNRLLTLRALVPIITNMNKGTIIEDAITYIQELKKNVEALTDMLQEMEASSSEEEFKTRVNEIDASEEMKLCGIKEDVQVTNIEGDKLWIKIILEKKRGGFARLMEKMACFGLELIDSNVTTSKGAMLVTACVEGAFGDTLTVQQTKELLTQIIKGI >Potri.003G120300.1.v4.1 pep chromosome:Pop_tri_v4:3:14136419:14141084:-1 gene:Potri.003G120300.v4.1 transcript:Potri.003G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120300.v4.1 MAKNVGILAVDIYFPPTCVQQEALEAHDGASKGKYTIGLGQDCMGFCTEVEDVISMSLTVVSSLLEKYNVDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESCSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLVGPDAPITFESKFRGSHMSHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKQFCAKYEKSTGKQFSISDAAYFVFHSPYNKLVQKSFARLVFDDSVRNASSIDEAAKEKLAPFSTLSGDESYQNRDLEKVSQQVAKPLYDAKVQPTTLIPKQVGNMYTASLYAAFISLLHNKSSELAGKRVILFSYGSGSTATMFSLKLHEGQKPFSLSNIATVMNVSTKLKSRHEFSAVKFVETINLMEHRYGGKDFVTSKDCSLLAPGTYYLTEVDSMYRRFYAKKPVDGACENGSLVNGH >Potri.013G004801.2.v4.1 pep chromosome:Pop_tri_v4:13:327974:329028:1 gene:Potri.013G004801.v4.1 transcript:Potri.013G004801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004801.v4.1 MPVGKYYCDYCDKQFQDTPHARKRHLQSSSHLRAKSLWFSRNSNSNTLNSNNTDAGGFVKGLCNRFVKTGFCPYGDSCKYLHTNFATSQGTAFKDNVQSPTMPGNQLAGGSSFPGVAVRESMGMSWGNLPPSLKPPPEGGYPPLPFVDWG >Potri.013G004801.1.v4.1 pep chromosome:Pop_tri_v4:13:327974:329028:1 gene:Potri.013G004801.v4.1 transcript:Potri.013G004801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004801.v4.1 MPVGKYYCDYCDKQFQDTPHARKRHLQSSSHLRAKSLWFSRNSNSNTLNSNNTDAGGFVKGLCNRFVKTGFCPYGDSCKYLHTNFATSQGTAFKDNVQSPTMPGNQLAGGSSFPAGVAVRESMGMSWGNLPPSLKPPPEGGYPPLPFVDWG >Potri.003G003700.2.v4.1 pep chromosome:Pop_tri_v4:3:512709:520472:1 gene:Potri.003G003700.v4.1 transcript:Potri.003G003700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003700.v4.1 MGCICSKVSRATKYLERRVKRKETTKPAKRLVSLSKSDEVVVEVDGNANGSTASLISDQPGNDNAESTPVSYDEGEKKEKIEQVNNHQRITSIVSMSNGERGAQVVAGWPSWLTSVAGEAINGWVPRRADSFEKLDKIGQGTYSSVYKARDLETNKTVALKKVCFANMDPESVRFMAREIIILRRLDHPNVMKLEGVIASRMSGSLYLIFEYMEHDLAGLLASPGIKFSEAQIKCYMQQLLHGLEHCHNRGILHRDIKGSNLLIDSNGNLKIADFGLATFFSSPQKQPLTSRVVTLWYRPPELLLGATEYGVSVDLWSTGCILAELFVGKHIMPGRTEVEQLHKIFKLCGSPSDEYWKRSKLPHATIFKPQHPYKRCVTETFKDFPSSALALLDVLLAVEPEARGTALSALDSEFFTTKPLPCDPSTLPKHPPTKEFDVKFRDEDARRRRAAGGKGRGYESTRRGSKESKVMPAADANAELQASIQKRQGQSKQISISEIYNHEEDGVSRSPVGPAKGTARNIYSHSGQSVHPMNLGSSHNMNINETFRAPGQDFISSRQAAELRAQRSFIERGAVQLYGFSNSVAVRGDSQFHGSSSTNLNSHWPEGSFNARYNSLDDSSHGLPGRPNFSNKKPGLDSTTGYPTKKSHVHYSGPLVPRGGNIEEMLKEHEKQIQRAVRKARLDKNTSESGHTESLFYHGRINGR >Potri.008G117500.1.v4.1 pep chromosome:Pop_tri_v4:8:7521481:7524148:1 gene:Potri.008G117500.v4.1 transcript:Potri.008G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117500.v4.1 MDFAEKIQRCGEYVEALEEERRKIQVFERELPLCLELVTQAIEACKRELSGTTTEYNMHGQSECSEQTSSEGPVLEEFIPIKRTHSYDENDNENDDHQEQQSHDNSKRNKTSISSGNNDHKKKSDWLRSVQLWNHSPDLPQKQDLPRKAAVTEVKRNGAGGAFQPFHREKSIGKTSNQAIAKAPTSVPASTTSSTAVVATGGIGGGSNKKEDIDGGNQRKQRRCWSPELHRRFLHALRQLGGSHAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPTIHNNSNQQAPQFVVVGGIWVPPPEYAAVAATTASAETSPISAANGIYAPIAAAPPTVPQKPHHVQYEPLQSEGGGSHSEGGAHSNNSPATSSSTHTTTT >Potri.005G162500.2.v4.1 pep chromosome:Pop_tri_v4:5:16016888:16019776:1 gene:Potri.005G162500.v4.1 transcript:Potri.005G162500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G162500.v4.1 MDRYEIMKNIGSGNFGVTKLVRDRCTKEFFAVKFFERGEKIDEHVQREIMNHRSLKHPNIVRFKEVLLTPTHLAIVMEYAAGGELFERICSAGKFSEDEARFFFQQLISGVSYCHSMQICHRDLKLENTLLDGNTVPRVKICDFGYSKSAVLHSQPKSAVGTPAYIAPEVLSKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDGPKNFRKTIGRILSVHYSIPDYVRVSIECKHLLTRIFVADPEKRITIPEIKNHPWFLKNLPIELMEGGSWQSNDVNNPSQSVEEVLSIIQEASKPVFLSKGEEHLLGSSMDLDDLDADADLEDIETSGDFVCPL >Potri.001G081900.1.v4.1 pep chromosome:Pop_tri_v4:1:6480579:6488750:-1 gene:Potri.001G081900.v4.1 transcript:Potri.001G081900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081900.v4.1 MADPTTTRIVLEPSFTFDLTIHSHTSIKSIAISPFSTNSQCFIYLGTSSGSLLLLSTYPENPNDKTPTKDPKSTLDFDVSFRDVSLLKSVSFGDSPLDTVLLLDEIGKVVVLCDGFLFLTDSGLVQPVKKLGFLKGVSFITKRIKSSELECSDLFSDSSLEGSSASSRILSRLGGGVRANGVKGKDFGQKSEGDYVFAAVIGTKMILIELRVGKNDKEVDFTVLKEMQCIDGVKTIVWINDSIIVGTVNGYSLFSCVTGQSGVIFTMPDGSSLPLLKLLRKEKKVLLLVDNVGIVVDAHGQPVGGSLVFRKGPDSVGELASYVVVVRDGKMELYHKKSGSLVQTVSFGSEGVGPCIVADEESGNGTLVAVATPTKVICYRRVPTEEQIKDLLRKKNFKEAISMVEELESNGEMSNEMLSFVHAQVGFLLLFDLHFEEAVNHFLQSETMQPSEVFPFIMRDPNRWSLLIPRNRYWGLHPPPAPLEDVVDDGLMAIQRAIFLKKAGVDTTVNENFLLNPPTRADLLELAIKNMSRYLEVSREKELTSSVREGVDTLLLYLYRALNRVNDMEKLASSGNSCLVEELETLLDESGHLRTLAFLYASKGMSSKALAIWRILARNYSSGLWKDPAMEHELPDGNTNIISGREIAATEASKILAELSDQDLVLQHLGWIADVNPVLAVQVLTSEKRVNQLSPDEVIAAIDPKKVEIFQRYLQWLIEDQDSCDAQFHTLYALSLAKSTVETFEVESTSQDPDDGRLEETKISDFGRNSIFQSPVRERLQIFLQSSDLYDPEEVLDLIEESELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQNGKEPMFNAAVRLLHNHGESLDPLQVLETLSPDMPLQLASDTILRMLRARLHHHRQGQIVHNLSRALDVDAKLARLEERSRHVQINDESVCDSCHARLGTKLFAMYPDDTVVCYKCFRRLGESTSVTGRDFKRDPLFKPGWLVNR >Potri.005G020600.1.v4.1 pep chromosome:Pop_tri_v4:5:1314036:1317631:1 gene:Potri.005G020600.v4.1 transcript:Potri.005G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020600.v4.1 MSCMRTVLMCFSILQVPRCRTHTLANFWWESSRSLNRTCGRNSSFRLLGSKANGMEGGYSKRWIRRPVSTKTGGESQTTRKSKSTTIGHEILDETVSTRSTLNIKKTEVSDFHRNQYCDIQQKIAENEDIAKLVTVIVFDIETTGFSRQNERIIEIALQDLQGGENSTFQTLVNPGRHVTNSDIHHITTHMVCRPDVPRMEELIPILLQYVKSRQKPGGYVMFVAHNARNFDVPFLVNEFGRHHFEIPQNWLFVDTRPLAREVLKMEGLKVTSGTSLEALCKKYNIQFVGKAHRAMADVTALSLVLQRLTFDLKLPLSGLIAKHFTPSELTSAKKKK >Potri.019G042001.1.v4.1 pep chromosome:Pop_tri_v4:19:5815176:5817520:-1 gene:Potri.019G042001.v4.1 transcript:Potri.019G042001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042001.v4.1 MYYFIHKKKKNPKTTAHSSFPTRANPNKRPVSHYRLLHRLKPTCSLTTKFPERPTIFFLEDLTGKPTPINLTTSMDHQSTPLIKSSQIPRDHHS >Potri.T127106.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:282676:287524:1 gene:Potri.T127106.v4.1 transcript:Potri.T127106.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127106.v4.1 MASSSSSSSSLSRPGWGYDVFLSFRGEDTRKNFTDHLYTALHHARIHAFRDDDELRRGEEISLQLLKAIQESKISIVVFSKGYASSTWCLAELEKILDCRHTTGQIVLPVFYDIDPSDIRKQTGSFAEAFDRHEERFKEEMEKVQKWRKALMEAANLSGLDLRSFANGHESKLIQKIVEEVSSKLNPRFLFDDMPLKRLKTTMISGGGLLDDAEEKQITNRAVRDWLAEYKDAVYEADDFLDEIAYEALRQELEAEAQTFIKPLEIMGLREIEEKSRGLQESLDYLVKQKDALGLINRTGKEPSSPKRRTTSLVDERGVYGRDDDREAILKLLLSDDANGQNLGVVPIVGMGGAGKTTLAQLVYNHSRVQERFGLKAWVCVSEDFSVSKLTKVILEGFGSKPDFDNLDPLQLQLKERLRGKKFLLVLDDVWEEDYAEWDNLLTPLKCGAQGSKILVTTRNESVATVMRTVPTHYLKELTEDSCWAVFATHAFRGENPNAYEELQEIGRAIARKCEGLPLAAITLGGLLRTKRDVEEWEKILKSNLWDLPNDDILPALRLSYLYLLPHMKQCFAYCAIFPKDYSFQKDELVLLWMAEGFLVHSVDDEMEKAGAECFDDLLSRSFFQQSSASPSSFVMHDIMHDLATHVSGQFCFGPNNSSKATRRTRHLSLVAGTPHTEDCSFSKKLENIREAQLLRTFQTYPHNWICPPEFYNEIFQSTHCRLRVLFMTNCRDASVLSCSISKLKHLRYLDLSWSDLVTLPEEASTLLNLQTLILEYCKQLASLPDLGNLKYLRHLNLQRTGIERLPASLERLINLRYLNIKYTPLKEMPPHIGQLAKLQKLTDFLVGRQSETSIKELGKLRHLRGELHIGNLQNVVDARDAVEANLKGREHLDELRFTWDGDTHDPQHITSTLEKLEPNRNVKDLQIDGYGGLRFPEWVGESSFSNIVSLKLSRCTNCTSLPPLGQLASLEYLSIQAFDKVVTVGSEFYGNCTAMKKPFESLKTLFFERMPEWREWISDEGSREAYPLLRDLFISNCPNLTKALPGHHLPSLTTLSIGGCEQLATPLPRCPIINSIYLRDASRTLGWRELDLLSGLHSLYVSRFNFQDSLLKEIEQMVFSPTDIGDIAIDGVASLKCIPLDFFPKLNSLSIFNCPDLGSLCAHERPLNELKSLHSLEIEQCPKLVSFPKGGLPAPVLTQLTLRHCRNLKRLPESMHSLLPSLNHLLISDCLELELCPEGGFPSKLQSLEIWKCNKLIAGRMQWGLQTLPSLSHFTIGGHENIESFPEEMLLPSSLTSLTIHSLEHLKYLDYKGLQHLTSLTELVIFRCPMLESMPEEGLPSSLSSLVINNCPMLGESCEREKGSKSNFPLTVHEGFVTLPSCQNNMHRIKLICLLPQYHQLPIAEWSTIVSQNKIALPANQQDSDPNFLLQA >Potri.011G086500.4.v4.1 pep chromosome:Pop_tri_v4:11:10679507:10690612:-1 gene:Potri.011G086500.v4.1 transcript:Potri.011G086500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G086500.v4.1 MSSYMGVHVSDQWLQSQFMQVELRSLKSKFISIKNQNGKVTVGDLPPVMIKLEAFNSMFNVEEITGILDELHADLSNEIEFEDFLKAYLNLQGRATAKSGASKQSSSFLKATTTTLLHTISESEKASYVAHINSYLGDDPFLKQFLPIDPATNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRFLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLLLGLISQIIKIQLLADLSLKKTPQLVELVDDNNDVEELMGLAPEKVLLKWMNFHLKKAGYEKPVLNFSSDLKDGKAYAYLLNVLAPEHCSPSTLDTKDPKERAKLVLDHAERMDCRRYLKPEDIVEGSPNLNLAFVAQIFHQRNGLTTDSKKISFAEMMTDDVQTSREERCFRLWINSLGIVTYVNNVFEDVRNGWILLEVLDKVSPGSVNWKHASKPPIKMPFRKVENCNQVVRIGRQLKFSLVNVAGNDIVQGNKKLLLAFLWQLMRYNMLQLLKNLRSHSQGKEITDADILKWANNKIKQTGRTSKIENFKDKSLSSGIFFLELLRAVEPRVVNWNLVTKGESDEEKRLNATYIISVTRKLGCSIFLLPEDIMEVNQKMILTLAASIMYWSLQKAVEDVESSPSPSNGICTATPDASPAQSVSGEDEISSLGGEVSYLNIDDDDSDTAVSSQLENEKSPTVE >Potri.011G086500.1.v4.1 pep chromosome:Pop_tri_v4:11:10679441:10690670:-1 gene:Potri.011G086500.v4.1 transcript:Potri.011G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G086500.v4.1 MSSYMGVHVSDQWLQSQFMQVELRSLKSKFISIKNQNGKVTVGDLPPVMIKLEAFNSMFNVEEITGILDELHADLSNEIEFEDFLKAYLNLQGRATAKSGASKQSSSFLKATTTTLLHTISESEKASYVAHINSYLGDDPFLKQFLPIDPATNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRFLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLLLGLISQIIKIQLLADLSLKKTPQLVELVDDNNDVEELMGLAPEKVLLKWMNFHLKKAGYEKPVLNFSSDLKDGKAYAYLLNVLAPEHCSPSTLDTKDPKERAKLVLDHAERMDCRRYLKPEDIVEGSPNLNLAFVAQIFHQRNGLTTDSKKISFAEMMTDDVQTSREERCFRLWINSLGIVTYVNNVFEDVRNGWILLEVLDKVSPGSVNWKHASKPPIKMPFRKVENCNQVVRIGRQLKFSLVNVAGNDIVQGNKKLLLAFLWQLMRYNMLQLLKNLRSHSQGKEITDADILKWANNKIKQTGRTSKIENFKDKSLSSGIFFLELLRAVEPRVVNWNLVTKGESDEEKRLNATYIISVTRKLGCSIFLLPEDIMEVNQKMILTLAASIMYWSLQKAVEDVESSPSPSNGICTATPDASPAQSVSGEDEISSLGGEVSYLNIDDDDSDTAVSSQLENEKSPTVE >Potri.007G042000.1.v4.1 pep chromosome:Pop_tri_v4:7:3530386:3531165:-1 gene:Potri.007G042000.v4.1 transcript:Potri.007G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042000.v4.1 MAQSNLLSAFVFLVLIFSHELQFIEGRYLNLKTPNKFLQKEIRRLVESNSKLHVNDNLDKPVNATKVAPPAPPAPVVGEPHPSLPGHVDDFRPTAPGHSPGVGHSLQN >Potri.003G011875.1.v4.1 pep chromosome:Pop_tri_v4:3:1439637:1440434:-1 gene:Potri.003G011875.v4.1 transcript:Potri.003G011875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011875.v4.1 MEQVHLFTKKLKPTHISHSLSFPTHVLEAFPFPQGAHTMKFEAVDATDNVWRFCLSTRLTGAYPKPVLLRSSWHRFVEQKGLVPEDRVVFFMERDDMVRRYTVRAQRKVMILMGQDVWVDVEDLPLYGL >Potri.002G045900.1.v4.1 pep chromosome:Pop_tri_v4:2:2971853:2979194:-1 gene:Potri.002G045900.v4.1 transcript:Potri.002G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045900.v4.1 MDTHSHLTAPNRSRSSQSPSPSHSASASATSSIHKRKRAAAAAASDDHLPPLAPSSFSADTRDGALTSNDDLESISARGADSDSDDAEDSDAVVDDDEDEFDNDSSMRTFTAARLETTAGVSAAGSGRNAKIKIENTNVKIENSDSGKDGGHTGTGAVGPAAAGSSAPGIVVKEDSVKIFTENLQTSGAYSAREENLKREEEAGRLKFVCYSNDGIDEHMVWLIGLKNIFARQLPNMPKEYIVRLLMDRSHKSVMVIRHNLVVGGITYRPYTSQKFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWHGYIKDYDGGILMECRIDQKLPYTDLSTMIRRQRQAIDEKIRELSNCHIVYPGIDFQKKEAGIPKKIIKIEDIPGLREAGWAPDQWGHSRFKTLNSSKDSGTNQKHLTAFMRSLLKSMHDHVDAWPFKEPVDACDVPDYYDIIKDPMDLKTMSKRVESEQYYVTLEMFIADVKRMCANARTYNTPDTIYYKCATRLEAHFQSKVQSGIQSKILP >Potri.012G143900.9.v4.1 pep chromosome:Pop_tri_v4:12:15468350:15476625:-1 gene:Potri.012G143900.v4.1 transcript:Potri.012G143900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143900.v4.1 MPSWWGKSSSKELKKKANKESFIDTLHRRFKSPSDGNLNGRSGGSRRHCSDTISERGSQSRAVSRSPSPSSKHVSRCQSFAERPHAQPLPLPGVHLANGGRTDSGIGILTKPRSEKGANSSLFLPLPRPGCIRNRPNPPDLDGDLATASVSSESATDSDDPADSSHRSPAATDYDLGTRTTTSSPSSAMLKDQCAIVSHSNSKEAKKPASLSFGNHTSSTSPKRRPVSSHVLNLQVPQHVASGSAPDSSMSSPSRSPMRASSTEQVINSAFWAGKPYPDANFLGSGHCSSPGSGYNSGHNSMGGDMSGQLFWQQSRGSPECSPIPSPRMTSPGPSSRVQSGAVTPIHPRAGGTIIESQTSWTDDGKQQSHRLPLPPVIISSPSPFSHSNSAAASPSVPRSPGRADNPTSPGSRWKKGKLLGRGTFGHVYVGFNSERGELCAMKEVTLFSDDAKSKESAKQLMQEISLLSRLQHPNIVQYHGSETVGDRLYIYLEYVSGGSIYKLLQEYGQLGELVIRSYTQQILSGLAFLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQFEGVAAMFKIGNSKDLPTIPDHLSDEGKDFVRQCLQRNPLHRPTAAQLLEHPFVKSAAPLERPIPSPEPTDPPPGVTNGVKAMGINQARNFPTLDSERLAVHSSRVSKTGLLASDLHIPRNISCPVSPIGSPLFHSRSPQHLNGRMSPSPIASPRTTSGSSTPLTGGTGAIPFNHLKQSVYLQEGFGNMPYHTNGIYANGLAYHDSIPDLFQGMQPGSPIFSELVPCENDLMGKQFGRPTQGEPYDGQSVLAVRVSRQLLRDHVKMKPSLDLSPNSPLPSRTGGI >Potri.012G143900.2.v4.1 pep chromosome:Pop_tri_v4:12:15469340:15476619:-1 gene:Potri.012G143900.v4.1 transcript:Potri.012G143900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143900.v4.1 MPSWWGKSSSKELKKKANKESFIDTLHRRFKSPSDGNLNGRSGGSRRHCSDTISERGSQSRAVSRSPSPSSKHVSRCQSFAERPHAQPLPLPGVHLANGGRTDSGIGILTKPRSEKGANSSLFLPLPRPGCIRNRPNPPDLDGDLATASVSSESATDSDDPADSSHRSPAATDYDLGTRTTTSSPSSAMLKDQCAIVSHSNSKEAKKPASLSFGNHTSSTSPKRRPVSSHVLNLQVPQHVASGSAPDSSMSSPSRSPMRASSTEQVINSAFWAGKPYPDANFLGSGHCSSPGSGYNSGHNSMGGDMSGQLFWQQSRGSPECSPIPSPRMTSPGPSSRVQSGAVTPIHPRAGGTIIESQTSWTDDGKQQSHRLPLPPVIISSPSPFSHSNSAAASPSVPRSPGRADNPTSPGSRWKKGKLLGRGTFGHVYVGFNSERGELCAMKEVTLFSDDAKSKESAKQLMQEISLLSRLQHPNIVQYHGSETVGDRLYIYLEYVSGGSIYKLLQEYGQLGELVIRSYTQQILSGLAFLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQFEGVAAMFKIGNSKDLPTIPDHLSDEGKDFVRQCLQRNPLHRPTAAQLLEHPFVKSAAPLERPIPSPEPTDPPPGVTNGVKAMGINQARNFPTLDSERLAVHSSRVSKTGLLASDLHIPRNISCPVSPIGSPLFHSRSPQHLNGRMSPSPIASPRTTSGSSTPLTGGTGAIPFNHLKQSVYLQEGFGNMPYHTNGIYANGLAYHDSIPDLFQGMQPGSPIFSELVPCENDLMGKQFGRPTQGEPYDGQSVLAVRVSRQLLRDHVKMKPSLDLSPNSPLPSRTGAEETSMFNKGKEMWNKFKGDETWLEAD >Potri.012G143900.10.v4.1 pep chromosome:Pop_tri_v4:12:15469294:15476570:-1 gene:Potri.012G143900.v4.1 transcript:Potri.012G143900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143900.v4.1 MPSWWGKSSSKELKKKANKESFIDTLHRRFKSPSDGNLNGRSGGSRRHCSDTISERGSQSRAVSRSPSPSSKHVSRCQSFAERPHAQPLPLPGVHLANGGRTDSGIGILTKPRSEKGANSSLFLPLPRPGCIRNRPNPPDLDGDLATASVSSESATDSDDPADSSHRSPAATDYDLGTRTTTSSPSSAMLKDQCAIVSHSNSKEAKKPASLSFGNHTSSTSPKRRPVSSHVLNLQVPQHVASGSAPDSSMSSPSRSPMRASSTEQVINSAFWAGKPYPDANFLGSGHCSSPGSGYNSGHNSMGGDMSGQLFWQQSRGSPECSPIPSPRMTSPGPSSRVQSGAVTPIHPRAGGTIIESQTSWTDDGKQQSHRLPLPPVIISSPSPFSHSNSAAASPSVPRSPGRADNPTSPGSRWKKGKLLGRGTFGHVYVGFNSERGELCAMKEVTLFSDDAKSKESAKQLMQEISLLSRLQHPNIVQYHGSETVGDRLYIYLEYVSGGSIYKLLQEYGQLGELVIRSYTQQILSGLAFLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQFEGVAAMFKIGNSKDLPTIPDHLSDEGKDFVRQCLQRNPLHRPTAAQLLEHPFVKSAAPLERPIPSPEPTDPPPGVTNGVKAMGINQARNFPTLDSERLAVHSSRVSKTGLLASDLHIPRNISCPVSPIGSPLFHSRSPQHLNGRMSPSPIASPRTTSGSSTPLTGGTGAIPFNHLKQSVYLQEGFGNMPYHTNGIYANGLAYHDSIPDLFQGMQPGSPIFSELVPCENDLMGKQFGRPTQGEPYDGQSVLAVRVSRQLLRDHVKMKPSLDLSPNSPLPSRTGGI >Potri.012G143900.4.v4.1 pep chromosome:Pop_tri_v4:12:15469285:15476627:-1 gene:Potri.012G143900.v4.1 transcript:Potri.012G143900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143900.v4.1 MPSWWGKSSSKELKKKANKESFIDTLHRRFKSPSDGNLNGRSGGSRRHCSDTISERGSQSRAVSRSPSPSSKHVSRCQSFAERPHAQPLPLPGVHLANGGRTDSGIGILTKPRSEKGANSSLFLPLPRPGCIRNRPNPPDLDGDLATASVSSESATDSDDPADSSHRSPAATDYDLGTRTTTSSPSSAMLKDQCAIVSHSNSKEAKKPASLSFGNHTSSTSPKRRPVSSHVLNLQVPQHVASGSAPDSSMSSPSRSPMRASSTEQVINSAFWAGKPYPDANFLGSGHCSSPGSGYNSGHNSMGGDMSGQLFWQQSRGSPECSPIPSPRMTSPGPSSRVQSGAVTPIHPRAGGTIIESQTSWTDDGKQQSHRLPLPPVIISSPSPFSHSNSAAASPSVPRSPGRADNPTSPGSRWKKGKLLGRGTFGHVYVGFNSERGELCAMKEVTLFSDDAKSKESAKQLMQEISLLSRLQHPNIVQYHGSETVGDRLYIYLEYVSGGSIYKLLQEYGQLGELVIRSYTQQILSGLAFLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQFEGVAAMFKIGNSKDLPTIPDHLSDEGKDFVRQCLQRNPLHRPTAAQLLEHPFVKSAAPLERPIPSPEPTDPPPGVTNGVKAMGINQARNFPTLDSERLAVHSSRVSKTGLLASDLHIPRNISCPVSPIGSPLFHSRSPQHLNGRMSPSPIASPRTTSGSSTPLTGGTGAIPFNHLKQSVYLQEGFGNMPYHTNGIYANGLAYHDSIPDLFQGMQPGSPIFSELVPCENDLMGKQFGRPTQGEPYDGQSVLAVRVSRQLLRDHVKMKPSLDLSPNSPLPSRTGGI >Potri.012G143900.11.v4.1 pep chromosome:Pop_tri_v4:12:15469337:15476566:-1 gene:Potri.012G143900.v4.1 transcript:Potri.012G143900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143900.v4.1 MPSWWGKSSSKELKKKANKESFIDTLHRRFKSPSDGNLNGRSGGSRRHCSDTISERGSQSRAVSRSPSPSSKHVSRCQSFAERPHAQPLPLPGVHLANGGRTDSGIGILTKPRSEKGANSSLFLPLPRPGCIRNRPNPPDLDGDLATASVSSESATDSDDPADSSHRSPAATDYDLGTRTTTSSPSSAMLKDQCAIVSHSNSKEAKKPASLSFGNHTSSTSPKRRPVSSHVLNLQVPQHVASGSAPDSSMSSPSRSPMRASSTEQVINSAFWAGKPYPDANFLGSGHCSSPGSGYNSGHNSMGGDMSGQLFWQQSRGSPECSPIPSPRMTSPGPSSRVQSGAVTPIHPRAGGTIIESQTSWTDDGKQQSHRLPLPPVIISSPSPFSHSNSAAASPSVPRSPGRADNPTSPGSRWKKGKLLGRGTFGHVYVGFNSERGELCAMKEVTLFSDDAKSKESAKQLMQEISLLSRLQHPNIVQYHGSETVGDRLYIYLEYVSGGSIYKLLQEYGQLGELVIRSYTQQILSGLAFLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQFEGVAAMFKIGNSKDLPTIPDHLSDEGKDFVRQCLQRNPLHRPTAAQLLEHPFVKSAAPLERPIPSPEPTDPPPGVTNGVKAMGINQARNFPTLDSERLAVHSSRVSKTGLLASDLHIPRNISCPVSPIGSPLFHSRSPQHLNGRMSPSPIASPRTTSGSSTPLTGGTGAIPFNHLKQSVYLQEGFGNMPYHTNGIYANGLAYHDSIPDLFQGMQPGSPIFSELVPCENDLMGKQFGRPTQGEPYDGQSVLAVRVSRQLLRDHVKMKPSLDLSPNSPLPSRTGGI >Potri.001G110200.2.v4.1 pep chromosome:Pop_tri_v4:1:8876955:8878314:1 gene:Potri.001G110200.v4.1 transcript:Potri.001G110200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110200.v4.1 MGGSAPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDIPIISGSALLALEALMENPAIKRGENQWVDKIYELMDNVDNYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTIRTGDTVDIVGLRETRNTTVTGVEMFQKILDEALAGDNVGLLLRGVQKADIQRGMVLSKPGSITPHTKFEAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRVATIMNDKDEESKMVMPGDRVKMIVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >Potri.001G110200.1.v4.1 pep chromosome:Pop_tri_v4:1:8876530:8878229:1 gene:Potri.001G110200.v4.1 transcript:Potri.001G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110200.v4.1 MAVSASATTFTSTNLIYPHHTTTTSSTSTPTFSLKPTSKLPSKSILSSSFLSPFTPTSPTSPFTTTRHRTFTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASMGGSAPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDIPIISGSALLALEALMENPAIKRGENQWVDKIYELMDNVDNYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTIRTGDTVDIVGLRETRNTTVTGVEMFQKILDEALAGDNVGLLLRGVQKADIQRGMVLSKPGSITPHTKFEAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRVATIMNDKDEESKMVMPGDRVKMIVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >Potri.002G149300.1.v4.1 pep chromosome:Pop_tri_v4:2:11251186:11252983:1 gene:Potri.002G149300.v4.1 transcript:Potri.002G149300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149300.v4.1 MDPVAAHGRPLPPPFHTRDFHLHQFQHQQQQNSEDEQSGNGNLNRGQKREHAEIATNNNNTAEGKELVPSSAGGEGEITRRPRGRPAGSKNKPKPPIIITRDSPNALRSHVMEIATGCDIMESVSTFARRRQRGVCILSATGTVTNVTLKQPASPGAVVTLHGRFEILSLSGSFLPPPAPPAASGLTIYLAGGQGQVVGGSVVGPLLASGPVVIMAASFGNAAYERLPLEEDESQTPVPGTGPLGSPGVSSIGQQNQQQHQLMQDPNTSLFQGLPQNLLNSVQLPSEAYWGTGGRPPY >Potri.003G087700.15.v4.1 pep chromosome:Pop_tri_v4:3:11439438:11445700:1 gene:Potri.003G087700.v4.1 transcript:Potri.003G087700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087700.v4.1 MVCTTNDLSAWKDFPKGLSVLLLDEDNSSAAEIKSKLEALDYIVYTFCNENEALLAISNEPGSFHVAIVEVSTSNSNGSFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSESLKPVKDSIVSMLELKVELEENEDENMEKTENASLAQESNEQQSPASDKHPAPSTWQFKQVGRLLDDGDCQDHINCSVEKDSGEQEGESKSVETTCAMSQETLKAGHPPCFIETVIKETGDLTDGAKSENNIHPNPQNKDSLNHSNDVASDLHTSNGTRANRKKMKVDWTPELHKKFVQVVEKLGVDQAIPSRVLELMKVESLTRHNVASHLQKYRMRRRPILPKEDDRRWPHHREQVQRSYYPYKPIMAYPPYHSNHDLPTNPVYPMWGATGSHTASMHTWGTPSYLPWPPTEIWHRKPYLGMHADAWGCPVMPPLHSPFSSFPQNASGFQSASMVDNSCGMPQKPFDLQPAEEVINKVVKEVINKPWLPLPIGLKPPSTDSVLAELSSRHQKIRLNQS >Potri.003G087700.21.v4.1 pep chromosome:Pop_tri_v4:3:11439380:11445711:1 gene:Potri.003G087700.v4.1 transcript:Potri.003G087700.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087700.v4.1 MVCTTNDLSAWKDFPKGLSVLLLDEDNSSAAEIKSKLEALDYIVYTFCNENEALLAISNEPGSFHVAIVEVSTSNSNGSFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSESLKPVKDSIVSMLELKVELEENEDENMEKTENASLAQESNEQQSPASDKHPAPSTWQFKQVGRLLDDGDCQDHINCSVEKDSGEQEGESKSVETTCAMSQETLKAGHPPCFIETVIKETGDLTDGAKSENNIHPNPQNKDSLNHSNDVASDLHTSNGTRANRKKMKVDWTPELHKKFVQVVEKLGVDQAIPSRVLELMKVESLTRHNVASHLQKYRMRRRPILPKEDDRRWPHHREQVQRSYYPYKPIMAYPPYHSNHDLPTNPVYPMWGATGSHTASMHTWGTPSYLPWPPTEIWHRKPYLGMHADAWGCPVMPPLHSPFSSFPQNASGFQSASMVDNSCGMPQKPFDLQPAEEVINKVVKEVINKPWLPLPIGLKPPSTDSVLAELSRQGISSIPPLCSNSF >Potri.003G087700.23.v4.1 pep chromosome:Pop_tri_v4:3:11439437:11445700:1 gene:Potri.003G087700.v4.1 transcript:Potri.003G087700.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087700.v4.1 MVCTTNDLSAWKDFPKGLSVLLLDEDNSSAAEIKSKLEALDYIVYTFCNENEALLAISNEPGSFHVAIVEVSTSNSNGSFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSESLKPVKDSIVSMLELKVELEENEDENMEKTENASLAQESNEQQSPASDKHPAPSTWQFKQVGRLLDDGDCQDHINCSVEKDSGEQEGESKSVETTCAMSQETLKAGHPPCFIETVIKETGDLTDGAKSENNIHPNPQNKDSLNHSNDVASDLHTSNGTRANRKKMKVDWTPELHKKFVQVVEKLGVDQAIPSRVLELMKVESLTRHNVASHLQKYRMRRRPILPKEDDRRWPHHREQVQRSYYPYKPIMAYPPYHSNHDLPTNPVYPMWGATGSHTASMHTWGTPSYLPWPPTEIWHRKPYLGMHADAWGCPVMPPLHSPFSSFPQNASGFQSASMVDNSCGMPQKPFDLQPAEEVINKVVKEVINKPWLPLPIGLKPPSTDSVLAELSRQGISSIPPLCSNSF >Potri.003G087700.24.v4.1 pep chromosome:Pop_tri_v4:3:11439433:11445711:1 gene:Potri.003G087700.v4.1 transcript:Potri.003G087700.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087700.v4.1 MVCTTNDLSAWKDFPKGLSVLLLDEDNSSAAEIKSKLEALDYIVYTFCNENEALLAISNEPGSFHVAIVEVSTSNSNGSFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSESLKPVKDSIVSMLELKVELEENEDENMEKTENASLAQESNEQQSPASDKHPAPSTWQFKQVGRLLDDGDCQDHINCSVEKDSGEQEGESKSVETTCAMSQETLKAGHPPCFIETVIKETGDLTDGAKSENNIHPNPQNKDSLNHSNDVASDLHTSNGTRANRKKMKVDWTPELHKKFVQVVEKLGVDQAIPSRVLELMKVESLTRHNVASHLQKYRMRRRPILPKEDDRRWPHHREQVQRSYYPYKPIMAYPPYHSNHDLPTNPVYPMWGATGSHTASMHTWGTPSYLPWPPTEIWHRKPYLGMHADAWGCPVMPPLHSPFSSFPQNASGFQSASMVDNSCGMPQKPFDLQPAEEVINKVVKEVINKPWLPLPIGLKPPSTDSVLAELSRLW >Potri.003G087700.25.v4.1 pep chromosome:Pop_tri_v4:3:11439207:11445729:1 gene:Potri.003G087700.v4.1 transcript:Potri.003G087700.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087700.v4.1 MVCTTNDLSAWKDFPKGLSVLLLDEDNSSAAEIKSKLEALDYIVYTFCNENEALLAISNEPGSFHVAIVEVSTSNSNGSFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSESLKPVKDSIVSMLELKVELEENEDENMEKTENASLAQESNEQQSPASDKHPAPSTWQFKQVGRLLDDGDCQDHINCSVEKDSGEQEGESKSVETTCAMSQETLKAGHPPCFIETVIKETGDLTDGAKSENNIHPNPQNKDSLNHSNDVASDLHTSNGTRANRKKMKKYRMRRRPILPKEDDRRWPHHREQVQRSYYPYKPIMAYPPYHSNHDLPTNPVYPMWGATGSHTASMHTWGTPSYLPWPPTEIWHRKPYLGMHADAWGCPVMPPLHSPFSSFPQNASGFQSASMVDNSCGMPQKPFDLQPAEEVINKVVKEVINKPWLPLPIGLKPPSTDSVLAELSRQGISSIPPLCSNSF >Potri.003G087700.26.v4.1 pep chromosome:Pop_tri_v4:3:11439340:11445700:1 gene:Potri.003G087700.v4.1 transcript:Potri.003G087700.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087700.v4.1 MVCTTNDLSAWKDFPKGLSVLLLDEDNSSAAEIKSKLEALDYIVYTFCNENEALLAISNEPGSFHVAIVEVSTSNSNGSFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSESLKPVKDSIVSMLELKVELEENEDENMEKTENASLAQESNEQQSPASDKHPAPSTWQFKQVGRLLDDGDCQDHINCSVEKDSGEQEGESKSVETTCAMSQETLKAGHPPCFIETVIKETGDLTDGAKSENNIHPNPQNKDSLNHSNDVASDLHTSNGTRANRKKMKKYRMRRRPILPKEDDRRWPHHREQVQRSYYPYKPIMAYPPYHSNHDLPTNPVYPMWGATGSHTASMHTWGTPSYLPWPPTEIWHRKPYLGMHADAWGCPVMPPLHSPFSSFPQNASGFQSASMVDNSCGMPQKPFDLQPAEEVINKVVKEVINKPWLPLPIGLKPPSTDSVLAELSRQGISSIPPLCSNSF >Potri.003G087700.22.v4.1 pep chromosome:Pop_tri_v4:3:11439293:11446188:1 gene:Potri.003G087700.v4.1 transcript:Potri.003G087700.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087700.v4.1 MVCTTNDLSAWKDFPKGLSVLLLDEDNSSAAEIKSKLEALDYIVYTFCNENEALLAISNEPGSFHVAIVEVSTSNSNGSFKFLETAKDLPTIMTSNIHCLNTMMKCIALGAVEFLRKPLSEDKLRNIWQHVVHKAFNAGGSVQSESLKPVKDSIVSMLELKVELEENEDENMEKTENASLAQESNEQQSPASDKHPAPSTWQFKQVGRLLDDGDCQDHINCSVEKDSGEQEGESKSVETTCAMSQETLKAGHPPCFIETVIKETGDLTDGAKSENNIHPNPQNKDSLNHSNDVASDLHTSNGTRANRKKMKVDWTPELHKKFVQVVEKLGVDQAIPSRVLELMKVESLTRHNVASHLQKYRMRRRPILPKEDDRRWPHHREQVQRSYYPYKPIMAYPPYHSNHDLPTNPVYPMWGATGSHTASMHTWGTPSYLPWPPTEIWHRKPYLGMHADAWGCPVMPPLHSPFSSFPQNASGFQSASMVDNSCGMPQKPFDLQPAEEVINKVVKEVINKPWLPLPIGLKPPSTDSVLAELSRQGISSIPPLCSNSF >Potri.017G003866.4.v4.1 pep chromosome:Pop_tri_v4:17:234261:237509:1 gene:Potri.017G003866.v4.1 transcript:Potri.017G003866.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003866.v4.1 MAVGGAKLALLLIHILGVAGNGYSSLQENNYGSDDHEHRKKLISSLKSGFLIGYVFSSVSILTIFMFCCVPWARLLKRKGNGVMMKTPMTTSLMERQEKKRKEANVQRKIEEEEEMREEKKKYYRWN >Potri.006G122600.1.v4.1 pep chromosome:Pop_tri_v4:6:9744321:9745378:-1 gene:Potri.006G122600.v4.1 transcript:Potri.006G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122600.v4.1 MVCVACLLPLFLVPIVNLLPLIFYFLKGKIYALFGWEYRKPERAPPACPYKPPASSINTTNKQMEAEGEPVAKPVAVGDGKQE >Potri.003G011066.1.v4.1 pep chromosome:Pop_tri_v4:3:1378350:1379050:1 gene:Potri.003G011066.v4.1 transcript:Potri.003G011066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011066.v4.1 MPKILFTKKLSKTDIEYRMAVPMKSLYAFRIPKGEHSKDFGVTDIHGKRWRFRCCTRKTDPYPKPVLSAGWIEFAKTRSLKVGDEVTFSVVGMKGAEDLELGIQARKKIKLFGEVLWSDPL >Potri.014G079400.1.v4.1 pep chromosome:Pop_tri_v4:14:5128674:5131918:-1 gene:Potri.014G079400.v4.1 transcript:Potri.014G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079400.v4.1 MDNKKQHIAIFTTASLPWMTGTAVNPLFRAAFLAKDGSRKVTLVIPWLSLQHQKLVYPNNITFTSPSEQQVYVHQWLQERISFSPAFSIQFYPAKFAVDKRSILSVGDISEVIPDEDADVAVLEEPEHLTWFHHGKRWKTKFRLVIGIIHTNYLEYIKREKHGRVKAVVVKYINSWVVEIYCHKVIRLSAATQDYPNSIICNVHGVNPKFLEIGKKKIELQQSGNGNQAFTKGAYYIGKMVWSKGYKELIKLLQDNQKELIGLEVDLYGSGEDSDQVQAAAKKLDLVVRVYPGRDHADPVFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKQFVNCWTYDNSKGFVEATSRALTEEPAELTGAQRHELSWEAATERFLRVADLDQVFARKPAKSLLKNFASTSLNTRMEDVSAYLHYVALGSETSRRAFGAIPGSLQPDEELCQELGLAIPAATQGSKDPVDTL >Potri.014G079400.2.v4.1 pep chromosome:Pop_tri_v4:14:5128671:5131809:-1 gene:Potri.014G079400.v4.1 transcript:Potri.014G079400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079400.v4.1 MDNKKQHIAIFTTASLPWMTGTAVNPLFRAAFLAKDGSRKVTLVIPWLSLQHQKLVYPNNITFTSPSEQQVYVHQWLQERISFSPAFSIQFYPAKFAVDKRSILSVGDISEVIPDEDADVAVLEEPEHLTWFHHGKRWKTKFRLVIGIIHTNYLEYIKREKHGRVKAVVVKYINSWVVEIYCHKVIRLSAATQDYPNSIICNVHGVNPKFLEIGKKKIELQQSGNGNQAFTKGAYYIGKMVWSKGYKELIKLLQDNQKELIGLEVDLYGSGEDSDQVQAAAKKLDLVVRVYPGRDHADPVFHDYKVFLNPSTTDVVCTTTAEALAMGKIVVCANHPSNEFFKQFVNCWTYDNSKGFVEATSRALTEEPAELTGAQRHELSWEAATERFLRVADLDQVFARKPAKSLLKNFASTSLNTRMEDVSAYLHYVALGSETSRRAFGAIPGSLQPDEELCQELGLAIPAATQGSKDPVDTL >Potri.019G092201.1.v4.1 pep chromosome:Pop_tri_v4:19:12992052:12993858:-1 gene:Potri.019G092201.v4.1 transcript:Potri.019G092201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G092201.v4.1 MQRENNSDNNNNRTLVHPACASCKHQRKRCTEDCALAPYFPAEKTQEFQAVHKVFGVSNVVKLVKDVSEERRKETADSLVWEALCRQNDPVSGCYGKFKRLKEELDLYKTQHPSLNQNQNGQQQGGVVYNKQSPVMVYGINNRANGIGGGGLANNNMVSYGHDNENLIADSIQHNFHWDYVQSLDKSKRERDASSLLLPSPPPLPHHYSINGFNQQQYYLPGEFGSMESTLFMGEEDGHHSSL >Potri.006G060500.1.v4.1 pep chromosome:Pop_tri_v4:6:4283890:4285894:1 gene:Potri.006G060500.v4.1 transcript:Potri.006G060500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060500.v4.1 MGTQKPAWLEALYAQKFFVGCSYHETAKKNEKNVCCLDCCISICPHCIPSHRFHRLLQVRRYVYHDVVRLEDIEKLIDCSNVQAYTINSAKVVFIKKRPQNRQFKGAGNYCTSCDRSLQEPFAHCSLGCKVDFVLKHYKDLSPYLRRCNTLTLGPDFFIPQDLADDEMTNETPHSTIVDSDEPMSWSSSSSGSENMSMASSEIVRKKRSGLYVCARSMNKVSDEDIASSMSRRKGIPHRSPLC >Potri.005G084200.1.v4.1 pep chromosome:Pop_tri_v4:5:5797677:5799587:1 gene:Potri.005G084200.v4.1 transcript:Potri.005G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084200.v4.1 MAWVAARAINCQLVDKKPDVFSREAGAAVPVQVPVPKIKANIAENGKIVLQPRLCTLRSYGQDGFGVVKTSSRKDSGDHDDQVVSPFFETLSEYIESSKKSRDFEIISGRLAMIVFAATVTTEVVTGNTVFRKMDLVGIEEAVGVCLGAVTCAAIFAWFSSARNRVGRIFTIGCNTFIDSLIDEIVDGLFYDTEPSDWSDEI >Potri.006G109500.5.v4.1 pep chromosome:Pop_tri_v4:6:8513735:8517526:-1 gene:Potri.006G109500.v4.1 transcript:Potri.006G109500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109500.v4.1 MCDCLPSSPLPFSIIKPQKLRQQSYSTVNFSSVNRSGRLKLTSIKASKNTGEVGFKEKGQEEEEKNFELESSRIQKDKETKVGSLGFDILELKDGEKDGKEEQDLVAVEKERNKIRNGRRGKQVIRRSSILAKQVISIQSALSLGFVSQIWVDTKSWVVLVVEVRPNLLSGESERFLLEDVSQVGDVVLVEDENVMDTELKMIGLEMLVGYRVVTPGQRDIGKVRGYSFNINSGAVELLELDSFGISIIPSSLVSTYALPVDDVLEVLSDTVVVHESAASHIQRLTKGFWDAQNVGTKIDEGEEYSDYESSVTSHRGRSTRRSSRSQKFRSKIRESEDDWELPMDYL >Potri.019G127000.3.v4.1 pep chromosome:Pop_tri_v4:19:15015230:15021512:1 gene:Potri.019G127000.v4.1 transcript:Potri.019G127000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G127000.v4.1 MNPSLLASSPSTPFLSPIPLKLTPSSLTLPKRHRFLVSFPRSSAAETPSTVTLESKPDNVFGGKRELTGIQPIVSNLSPTLRLASSAIILAGALAAGYGLGTKFGGGSRNLALGGAAVAGAAGGAVVYALNSAVPEVAAINLHNYVSGFDDPIQVSKEEIEGIAKKYGVSKQDEAFNAELCDLYSRFVSSVLPPGGEELKGNEVETIINFKNALGIDDPDAASMHVELGRRIFRQRLETGDRDGDVEQRRAFQKLIYVSTLVFGEASSFLLPWKRVFKVTDSQVEIAIRDNAQRLYSSKLKSVGKDIDVEQLVSLRQAQISCRLSDELAEDLFRQRTRKLAEKNISAALDRLKSRTRTDVVKVVEELDKILAFNNKLISLKNHEDAASFACGVGPVSVSGGEYDSERKIDDLKLLYRAYVTDTLSGGRMEEHKLAALNQLKNIFGLGKREAESITLDITSKVYRKRLAQAVSSGDLEFADSKAAFLQNLCEELHFDPQKATEIHEEIYRQKLQQCAADGELSDEDVKALTRLRVMLCIPQQTIDAAHSDICGSLFERVVKDAIASGVDGYDADVKKAVRKAAHGLRLTREAAMSIAGKAVRRIFLNFVKQARMAENRTEGAKALRKLIAFNSLVVTELVADIKGESSDTPPEEPSKVEDKKIEEDDEWDDEGWESLETLRKIRPSEEVAAKMGKPGQAEINLKDDLSERERTDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYLLLNQLGGILGLTVKEIVEVHRSLAEQAFRQQAEVILADGQLTKARIEQLNDLQKQVGLPPEYAQKVIKNITTTKMAAALETAINRGRLNMKQIRELKEASIDFNSMVSEKLRENLYKKTVDEIFSSGTGEFDEEEVYEKIPVDLNINVEKAKGVVHELARSRLSNSLIQAVGLLRQRNQQGVVSTLNDLLACDKAVPSETLTWEVPEELADLYTIYMKNNPAPEKLSRLQHLLGISDSTATALGETEDSMFSVGAEEEKFVF >Potri.019G127000.2.v4.1 pep chromosome:Pop_tri_v4:19:15015191:15021611:1 gene:Potri.019G127000.v4.1 transcript:Potri.019G127000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G127000.v4.1 MNPSLLASSPSTPFLSPIPLKLTPSSLTLPKRHRFLVSFPRSSAAETPSTVTLESKPDNVFGGKRELTGIQPIVSNLSPTLRLASSAIILAGALAAGYGLGTKFGGGSRNLALGGAAVAGAAGGAVVYALNSAVPEVAAINLHNYVSGFDDPIQVSKEEIEGIAKKYGVSKQDEAFNAELCDLYSRFVSSVLPPGGEELKGNEVETIINFKNALGIDDPDAASMHVELGRRIFRQRLETGDRDGDVEQRRAFQKLIYVSTLVFGEASSFLLPWKRVFKVTDSQVEIAIRDNAQRLYSSKLKSVGKDIDVEQLVSLRQAQISCRLSDELAEDLFRQRTRKLAEKNISAALDRLKSRTRTVQDVVKVVEELDKILAFNNKLISLKNHEDAASFACGVGPVSVSGGEYDSERKIDDLKLLYRAYVTDTLSGGRMEEHKLAALNQLKNIFGLGKREAESITLDITSKVYRKRLAQAVSSGDLEFADSKAAFLQNLCEELHFDPQKATEIHEEIYRQKLQQCAADGELSDEDVKALTRLRVMLCIPQQTIDAAHSDICGSLFERVVKDAIASGVDGYDADVKKAVRKAAHGLRLTREAAMSIAGKAVRRIFLNFVKQARMAENRTEGAKALRKLIAFNSLVVTELVADIKGESSDTPPEEPSKVEDKKIEEDDEWDDEGWESLETLRKIRPSEEVAAKMGKPGQAEINLKDDLSERERTDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYLLLNQLGGILGLTVKEIVEVHRSLAEQAFRQQAEVILADGQLTKARIEQLNDLQKQVGLPPEYAQKVIKNITTTKMAAALETAINRGRLNMKQIRELKEASIDFNSMVSEKLRENLYKKTVDEIFSSGTGEFDEEEVYEKIPVDLNINVEKAKGVVHELARSRLSNSLIQAVGLLRQRNQQGVVSTLNDLLACDKAVPSETLTWEVPEELADLYTIYMKNNPAPEKLSRLQHLLGISDSTATALGETEDSMFSVGAEEEKFVF >Potri.001G001600.1.v4.1 pep chromosome:Pop_tri_v4:1:118229:122612:-1 gene:Potri.001G001600.v4.1 transcript:Potri.001G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001600.v4.1 MTASNGGGDAVTAAAGVMEMRPKTKIVCTLGPASRSVPMIEKLLRAGMNVARFNFSHGSHEYHQETLDNLKAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLKLGQEITISTDYSLKGDENMICMSYKKLAVDVKPGMVILCADGTISFTALSCDTKAGLVRCRCENSATLGERKNVNLPGVIVDLPTLTEKDKEDILAWGVPNKIDMIALSFVRKGSDLVEVRKLLGEHSKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGSDCVMLSGETAAGAYPELAVRTMAKICIEAENTLDYGDVFKRTMEHSPVPMSPLESLASSAVRTANSVKASLILVLTRGGSTAKLVAKYRPGIPILSVVVPEIQTDSFDWSCSDEAPARHSLIFRGLVPVLYAGSAKASNAETTEEALDFSLQHAKGKGLCRTGDPVVALHRVGTASVIKIITVK >Potri.006G256700.1.v4.1 pep chromosome:Pop_tri_v4:6:25391815:25393943:-1 gene:Potri.006G256700.v4.1 transcript:Potri.006G256700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256700.v4.1 MTEANQYISISKKNKKLILAIFASFLLVATIIAVVTVVNSHKNSTQDDASHAKLETSCNSIKYPDLCSSSISTLSAAADTLKVPMNVFLGQINITIDAAQSNMVALSKKYSIPRFKKQKPALDDCWKNYDGVVTYLKKVFADVEVYTKRKPPQPQADQDLKTRLSSCSTSVNSCLDGFSHSKENNNARRQLFSGIIDVTVNCRKALEMIKSEPTADMAAGLKTTNRKLKEGNDSNEGGAEWLSVTDRRLFQLSSLTPDVVVAADGNGNYKTVSAAVAAAPKYSSKRYIIRIKAGVYRENVEVPKEKSNIMFLGDGRKTTIITGSRNVVGGSTTYHSATVAVEGQGFLARDITFQNTAGPSKYQAVALRVESDFAAFYKCGMLGYQNTLYVHSNRQFFRNCFIAGTIDFIFGNAAAVFQDCDIRARRPNPGQTITITAQGRSDPTQNTGIVIQKCRIGVTSDLHPVRSNFSAYLGRPWKEYARTVIMQSSISDVIHPAGWNGLKGRFALSTLSFAEYENSGAGAGTSKRVTWEGYKMITSATEAQSFTPRNFIGGSSWLKSTTFPFSLDL >Potri.012G138500.2.v4.1 pep chromosome:Pop_tri_v4:12:15149567:15152453:-1 gene:Potri.012G138500.v4.1 transcript:Potri.012G138500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138500.v4.1 MFSLFYGLWKYIFSKTEFHVLILGIDKAGKTTLLEKLKSVHSNLEGLPPDRIIPTVGLNIGRIEVANSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYVIDAACPSRFEDAKSALEKVLRHDDLQGAPLLILANKQDLTDAASAEELARYLDLKKLDERVYIFEAVSAYDGMGIKESVEWLVEVMERSKRTEMLRARAGVTGPGA >Potri.012G138500.5.v4.1 pep chromosome:Pop_tri_v4:12:15149567:15151587:-1 gene:Potri.012G138500.v4.1 transcript:Potri.012G138500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138500.v4.1 MFSLFYGLWKYIFSKTEFHVLILGIDKAGKTTLLEKLKSVHSNLEGLPPDRIIPTVGLNIGRIEVANSKLVFWDLGGQPGLRSIWEKYYEEAHAVIYVIDAACPSRFEDAKSALEKVLRHDDLQGAPLLILANKQDLTDAASAEELARYLDLKKLDERVYIFEAVSAYDGMGIKESVEWLVEVMERSKRTEMLRARAGVTGPGA >Potri.012G034400.1.v4.1 pep chromosome:Pop_tri_v4:12:3096541:3097269:-1 gene:Potri.012G034400.v4.1 transcript:Potri.012G034400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034400.v4.1 MSDPKYAYPYPAQGYYQGPPVMPPPQYYAAPPPRREPGFLEGCLAALCCCCLIDECCCDPSILFIS >Potri.001G169351.1.v4.1 pep chromosome:Pop_tri_v4:1:14461379:14464622:1 gene:Potri.001G169351.v4.1 transcript:Potri.001G169351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169351.v4.1 MDQVKEKIQVNFGFVKKRKREQRRILAQGCNKRSHGLGVLEQEVEKCRRLFYELQASWEKKSLLLYSVIGERY >Potri.004G152300.1.v4.1 pep chromosome:Pop_tri_v4:4:17348887:17351948:1 gene:Potri.004G152300.v4.1 transcript:Potri.004G152300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152300.v4.1 MSAGLNSERDVNPSQPTTEKTLADFDPVKKPKRNKFAFACAITASVASILLGYDIGVMSGAKDYIQTDLKLSDVQVGLLVGTLNWYSLVGSAAAGVTSDWIGRRYTIVVAGAVFFAGALLMGFSTNYAFLMVARFVAGIGVGFALMIAPVYTTEVSPASSRGFLTSFPEVFINVGILLGYVSNYAFSKLPTNLGWRIMLGVGAIPSVFLALVVIGMPESPRWLVMQGRLGDARKVLDKTSDTKEESQQRLSDIKEAAGIPQDCNDDVVRVQKKSHGEGVWKELFVHPTRPVRHILLCGIGIHFFQQASGIDAVVLYSTNIFEKAGITSSNDKLLATVAVGFTKTVFILVATFLLDRIGRRPLLLSSVGGMVLSLATLGFGLTIIDHSPEKLPWAVALSIAMVLAFVAFFSIGMGPIPWVYSSEIFPLRLRAQGTGMGVAMNRVTSGVISTTFIMLYKAISIGGAFFLFAGFATVAWVFFFACFPETRGRTLEDMEVLFGNFISWRSVLKDGKKEEEVHGGNDGQIQMGTKA >Potri.017G081600.2.v4.1 pep chromosome:Pop_tri_v4:17:9127022:9129568:-1 gene:Potri.017G081600.v4.1 transcript:Potri.017G081600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX40 MGCGSSKQKRCKHCQSPYSPLPRSYSMHVVHPPQQKGDSYHVVALTSTTLGTLALDSANQNGTIDVIVKGDDQWKNVGDVNGSNGLVKKSKELNSKEEFSTGLIEARTWSNMIQEKIPKVVPRTPIMTPPGEPETINTWELMAGLEDVMNPCSSHRFRSFSFDISRDPSPISDCHKTNFLQNGKLSPDNIKSGWLQVVDEDATSKCLEFDPEVISTFRKSFEELSPTHPFYIKPIDSERQPPFDSDDSSLPVNDATEVDFVAKDCKRSKDKLIVYFTSLRGVRKTYEACCHVRVILKSLGVRVDERDVSMHSGFKEELRELMKEGFSGGGLPRVFIGRKYIGGAEEIRRMHEEGLLEKMVEGCEMLDGGGGGGVGGACEACGDIRFVPCETCSGSCKIYCEGDDEELEELEESEESNEYGFQRCPDCNENGLIRCPSCCD >Potri.013G094950.1.v4.1 pep chromosome:Pop_tri_v4:13:9778941:9779324:-1 gene:Potri.013G094950.v4.1 transcript:Potri.013G094950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094950.v4.1 MVRGVSSNSNTRSSGKRKERKHFVVQTSKRRNSGIGLQLLSCWDQLVDNMSNNSDSTSISKDRKMCIIPEVISKLHSIERVNIGDDFHGFATECLGLRRNKEMWSTMENLKNKMKWLRRIYTRSKTP >Potri.001G204700.3.v4.1 pep chromosome:Pop_tri_v4:1:20760278:20761979:-1 gene:Potri.001G204700.v4.1 transcript:Potri.001G204700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204700.v4.1 MNYNLYRLHSSLLLQIWVALVVATNSTTWFGTAVLVTNMRNFPLSRGTVSGILKGYAGIAAAVYTVIYKLVLKESDSELLLILTLGIPILCLAMMYFIRPCSPASGVDSSEHVHFIFSQVASVLLALYLLITTIISGVVSLSDTVSYILVLIMVIILMSPLAIPVKMTLFPAEHKRHVPPSDSSDHLVPKEGESTPTDSLLTPSSSGTNLGSFYENEDALDAGMLLAVGEGAVKKRRPRRGEDFKIREALIKADFWLLWVVSFLGVGAGVTVLNNLAQIGVAFGLEDTTLLLTLFSFCNFVGRIGSGAISEHFVRLKMIPRTLWMTFALMVMLMTFILFAFALNGILYAAIPLLGISYGVLYAIMVPTVSELFGLKHFGLIYSFMGLGNPIGALLFSGMLAGYVYDAEAAKQSSSSCVGPDCFKVTFLVLAGVCGLGTILSIILTVRIRPVYELLYSGGSFRLPQTSGH >Potri.001G204700.2.v4.1 pep chromosome:Pop_tri_v4:1:20759927:20767559:-1 gene:Potri.001G204700.v4.1 transcript:Potri.001G204700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204700.v4.1 MPNIVLKAGSRPPWVGLAAAVWVLIAAGNGYNFPLYSPSLKSVLGLNQQQITILGVANDIGENIGLLPGIACNKFPPWALLSVGVVFCFLGYGVLWLTVTQTVIGLPYWLIWVALVVATNSTTWFGTAVLVTNMRNFPLSRGTVSGILKGYAGIAAAVYTVIYKLVLKESDSELLLILTLGIPILCLAMMYFIRPCSPASGVDSSEHVHFIFSQVASVLLALYLLITTIISGVVSLSDTVSYILVLIMVIILMSPLAIPVKMTLFPAEHKRHVPPSDSSDHLVPKEGESTPTDSLLTPSSSGTNLGSFYENEDALDAGMLLAVGEGAVKKRRPRRGEDFKIREALIKADFWLLWVVSFLGVGAGVTVLNNLAQIGVAFGLEDTTLLLTLFSFCNFVGRIGSGAISEHFVENDPSNLVDDICTNGHAHDLHSFCICS >Potri.001G204700.1.v4.1 pep chromosome:Pop_tri_v4:1:20759799:20767559:-1 gene:Potri.001G204700.v4.1 transcript:Potri.001G204700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204700.v4.1 MPNIVLKAGSRPPWVGLAAAVWVLIAAGNGYNFPLYSPSLKSVLGLNQQQITILGVANDIGENIGLLPGIACNKFPPWALLSVGVVFCFLGYGVLWLTVTQTVIGLPYWLIWVALVVATNSTTWFGTAVLVTNMRNFPLSRGTVSGILKGYAGIAAAVYTVIYKLVLKESDSELLLILTLGIPILCLAMMYFIRPCSPASGVDSSEHVHFIFSQVASVLLALYLLITTIISGVVSLSDTVSYILVLIMVIILMSPLAIPVKMTLFPAEHKRHVPPSDSSDHLVPKEGESTPTDSLLTPSSSGTNLGSFYENEDALDAGMLLAVGEGAVKKRRPRRGEDFKIREALIKADFWLLWVVSFLGVGAGVTVLNNLAQIGVAFGLEDTTLLLTLFSFCNFVGRIGSGAISEHFVRLKMIPRTLWMTFALMVMLMTFILFAFALNGILYAAIPLLGISYGVLYAIMVPTVSELFGLKHFGLIYSFMGLGNPIGALLFSGMLAGYVYDAEAAKQSSSSCVGPDCFKVTFLVLAGVCGLGTILSIILTVRIRPVYELLYSGGSFRLPQTSGH >Potri.015G129400.1.v4.1 pep chromosome:Pop_tri_v4:15:14031839:14032948:1 gene:Potri.015G129400.v4.1 transcript:Potri.015G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129400.v4.1 MVPQFLFSASFILFFLLHCPPTLAQSPAAAPAPPGPTNVTKVLEKGGQFSVFIRLLKATQEDVTLNGQLNNTNNAITIFAPSDNAFSSLKSGTLNSLSDQEKAELVQFHIIPQFLSSSQFQTVSNPLTTQAGSGGRLELNVTTTGNSVNITTGLTNTSVSGTIYTDNQLAVYQVDKVLLPLDIFTPKPPTPAPAPEKPKKRSKAAASPESPADTSGAVSFTVLNNVVFFGVCMVAAIYSL >Potri.012G104200.1.v4.1 pep chromosome:Pop_tri_v4:12:12629714:12634811:1 gene:Potri.012G104200.v4.1 transcript:Potri.012G104200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104200.v4.1 MGLPQFSSSSIAEEVATSLSTIVQTSPRIVGVSSCDLSGMHGGNLGHRRQIEMPCTSFTDLKKKTIVENKDGLLSHKSGQNVQTPVPRIIGFESKGLNSPVGVFNGNQTASSVVTIRSNAFESSGSDKKRLLSPLSGMLHPEQFNGASLDIGDGICMNNLWGSKDNYKVSMSQEHKKAHIGNSSYSNWSASCLQEWKNSPDDTCRENSLFFFDGPVLETELKPPDQFFYPHGLNYFEETMKVKHQISAIAIPLIKAASPPLSLSPLGPKLSEGIKSPGTGTNITTELDDDYLAFTDIEQSLNGTVSGILSSRKDGGFKMLDESLDNFDNLRTKFDVFTPEITSGIGQPWCEDSNLGSLNVRSFRSFTGVPVRRSLVGSFEESLLSGRLSSGKVSQRIDGFLAVLNITGGNFSPKTQKLPFTVTSMDGNNYLLYYASIDLAGNVQANKYSDQNMRRSLSIDDSRSERSRLRIPMKGRMQLVLSNPEKTPIHTFFCSYDLSDMPAGTKTCLRQKITLSSSAPASLSVNGRNRDSDMETDAKPSAVPNTSHSLPHGRDATNSNRLETVHNTKFPDHSRFSNSECKITGVEGCSPPNTSHLCTSKSVNSPSNVNENTTRAGVLRYALQLQFMCPLPKKSSRSLQRCKSDPSSVPAANKMDIGSDRRFYLYSNMRVVFPQRHSDSDEGKLKVEYDHPSDPKYFDI >Potri.012G104200.10.v4.1 pep chromosome:Pop_tri_v4:12:12629779:12634800:1 gene:Potri.012G104200.v4.1 transcript:Potri.012G104200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104200.v4.1 MGLPQFSSSSIAEEVATSLSTIVQTSPRIVGVSSCDLSGMHGGNLGHRRQIEMPCTSFTDLKKKTIVENKDGLLSHKSGQNVQTPVPRIIGFESKGLNSPVGVFNGNQTASSVVTIRSNAFESSGSDKKRLLSPLSGMLHPEQFNGASLDIGDGICMNNLWGSKDNYKVSMSQEHKKAHIGNSSYSNWSASCLQEWKNSPDDTCRENSLFFFDGPVLETELKPPDQFFYPHGLNYFEETMKVKHQISAIAIPLIKAASPPLSLSPLGPKLSEGIKSPGTGTNITTELDDDYLAFTDIEQSLNGTVSGILSSRKDGGFKMLDESLDNFDNLRTKFDVFTPEITSGIGQPWCEDSNLGSLNVRSFRSFTGVPVRRSLVGSFEESLLSGRLSSGKVSQRIDGFLAVLNITGGNFSPKTQKLPFTVTSMDGNNYLLYYASIDLAGNVQANKYSDQNMRRSLSIDDSRSERSRLRIPMKGRMQLTCLRQKITLSSSAPASLSVNGRNRDSDMETDAKPSAVPNTSHSLPHGRDATNSNRLETVHNTKFPDHSRFSNSECKITGVEGCSPPNTSHLCTSKSVNSPSNVNENTTRAGVLRYALQLQFMCPLPKKSSRSLQRCKSDPSSVPAANKMDIGSDRRFYLYSNMRVVFPQRHSDSDEGKLKVEYDHPSDPKYFDI >Potri.012G104200.14.v4.1 pep chromosome:Pop_tri_v4:12:12629716:12634118:1 gene:Potri.012G104200.v4.1 transcript:Potri.012G104200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104200.v4.1 MGLPQFSSSSIAEEVATSLSTIVQTSPRIVGVSSCDLSGMHGGNLGHRRQIEMPCTSFTDLKKKTIVENKDGLLSHKSGQNVQTPVPRIIGFESKGLNSPVGVFNGNQTASSVVTIRSNAFESSGSDKKRLLSPLSGMLHPEQFNGASLDIGDGICMNNLWGSKDNYKVSMSQEHKKAHIGNSSYSNWSASCLQEWKNSPDDTCRENSLFFFDGPVLETELKPPDQFFYPHGLNYFEETMKVKHQISAIAIPLIKAASPPLSLSPLGPKLSEGIKSPGTGTNITTELDDDYLAFTDIEQSLNGTVSGILSSRKDGGFKMLDESLDNFDNLRTKFDVFTPEITSGIGQPWCEDSNLGSLNVRSFRSFTGVPVRRSLVGSFEESLLSGRLSSGKVSQRIDGFLAVLNITGGNFSPKTQKLPFTVTSMDGNNYLLYYASIDLAGNVQANKYSDQNMRRSLSIDDSRSERSRLRIPMKGRMQLVLSNPEKTPIHTFFCSYDLSDMPAGTKTCLRQKITLSSSAPASLSVNGRNRDSDMETDAKPSAVPNTSHSLPHGRDATNSNRLETVHNTKFPDHSRFSNSECKITGVEGCSPPNTSHLCTSKSVNSPSNVNENTTRAGVLRYALQLQFMCPLPKKSSRSLQRCKSDPSSVPAANKMDIGSDRRFYLYSNMRVVFPQRHSDSDEGKV >Potri.012G104200.13.v4.1 pep chromosome:Pop_tri_v4:12:12629754:12634800:1 gene:Potri.012G104200.v4.1 transcript:Potri.012G104200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104200.v4.1 MGLPQFSSSSIAEEVATSLSTIVQTSPRIVGVSSCDLSGMHGGNLGHRRQIEMPCTSFTDLKKKTIVENKDGLLSHKSGQNVQTPVPRIIGFESKGLNSPVGVFNGNQTASSVVTIRSNAFESSGSDKKRLLSPLSGMLHPEQFNGASLDIGDGICMNNLWGSKDNYKVSMSQEHKKAHIGNSSYSNWSASCLQEWKNSPDDTCRENSLFFFDGPVLETELKPPDQFFYPHGLNYFEETMKVKHQISAIAIPLIKAASPPLSLSPLGPKLSEGIKSPGTGTNITTELDDDYLAFTDIEQSLNGTVSGILSSRKDGGFKMLDESLDNFDNLRTKFDVFTPEITSGIGQPWCEDSNLGSLNVRSFRSFTGVPVRRSLVGSFEESLLSGRLSSGKVSQRIDGFLAVLNITGGNFSPKTQKLPFTVTSMDGNNYLLYYASIDLAGNVQANKYSDQNMRRSLSIDDSRSERSRLRIPMKGRMQLVLSNPEKTPIHTFFCSYDLSDMPAGTKTCLRQKITLSSSAPASLSVNGRNRDSDMETDAKPSAVPNTSHSLPHGRDATNSNRLETVHNTKFPDHSRFSNSECKITGVEGCSPPNTSHLCTSKSVNSPSNVNENTTRAGVLRYALQLQFMCPLPKKSSRSLQRCKSDPSSVPAANKMDIGSDRRFYLYSNMRVVFPQRHSDSDEGKV >Potri.012G104200.3.v4.1 pep chromosome:Pop_tri_v4:12:12629754:12634810:1 gene:Potri.012G104200.v4.1 transcript:Potri.012G104200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104200.v4.1 MGLPQFSSSSIAEEVATSLSTIVQTSPRIVGVSSCDLSGMHGGNLGHRRQIEMPCTSFTDLKKKTIVENKDGLLSHKSGQNVQTPVPRIIGFESKGLNSPVGVFNGNQTASSVVTIRSNAFESSGSDKKRLLSPLSGMLHPEQFNGASLDIGDGICMNNLWGSKDNYKVSMSQEHKKAHIGNSSYSNWSASCLQEWKNSPDDTCRENSLFFFDGPVLETELKPPDQFFYPHGLNYFEETMKVKHQISAIAIPLIKAASPPLSLSPLGPKLSEGIKSPGTGTNITTELDDDYLAFTDIEQSLNGTVSGILSSRKDGGFKMLDESLDNFDNLRTKFDVFTPEITSGIGQPWCEDSNLGSLNVRSFRSFTGVPVRRSLVGSFEESLLSGRLSSGKVSQRIDGFLAVLNITGGNFSPKTQKLPFTVTSMDGNNYLLYYASIDLAGNVQANKYSDQNMRRSLSIDDSRSERSRLRIPMKGRMQLVLSNPEKTPIHTFFCSYDLSDMPAGTKTCLRQKITLSSSAPASLSVNGRNRDSDMETDAKPSAVPNTSHSLPHGRDATNSNRLETVHNTKFPDHSRFSNSECKITGVEGCSPPNTSHLCTSKSVNSPSNVNENTTRAGVLRYALQLQFMCPLPKKSSRSLQRCKSDPSSVPAANKMDIGSDRRFYLYSNMRVVFPQRHSDSDEGKLKVEYDHPSDPKYFDI >Potri.019G018900.1.v4.1 pep chromosome:Pop_tri_v4:19:3109746:3113382:1 gene:Potri.019G018900.v4.1 transcript:Potri.019G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018900.v4.1 MSIPPSSSTSQQFTYAASTSATVANGAYFPTPFHLQQSETYPKPYVAPPPTVMAPVYPPAPIGPVYSLPQYQQAHQLFQRDAQTITPEALESVKAALANSEVEHKAETKRKAIPRKAAGQTWEDPVLAEWPENDYRVFCGDLGNEVNDDVLSKAFSRFPSFNLARVVRDKRTGKTKGYGFVSFANPTDLAAALKEMNGKYVGNRPIKLRKSNWRERTDYGALERQKNQSQRKPKLPKKSVLHK >Potri.002G160700.9.v4.1 pep chromosome:Pop_tri_v4:2:12279330:12282368:-1 gene:Potri.002G160700.v4.1 transcript:Potri.002G160700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160700.v4.1 MEGKNEGEENRSRGNDWEVVSLTASTYAAAPGPKEVDQKDYESSRAYDEDGDETSRALFMSRHFVLPPGQHENLSLEPVNSEILDSQVGKNVPPELGLEEGGRSSCKNEEIWPLKGLDESEEFHGMQLFDVKGKNGKEFEESTTLQDFSDKEQSIYSTAAFGSLHSETALGGSTTYDENLGIPEVNEPSEKVLDFPTDVPSSPKAAKDDSLPCDAWWKRRVASLHAHAKEANTFWSIFVAAAVMGIVILGQRWQQERWQAIINNEKSGRILGPITRLKDVIVGGHRRGSFIRGSTSSDN >Potri.002G160700.8.v4.1 pep chromosome:Pop_tri_v4:2:12279309:12282436:-1 gene:Potri.002G160700.v4.1 transcript:Potri.002G160700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160700.v4.1 MEGKNEGEENRSRGNDWEVVSLTASTYAAAPGPKEVDQKDYESSRAYDEDGDETSRALFMSRHFVLPPGQHENLSLEPVNSEILDSQVGKNVPPELGLEEGGRSSCKNEEIWPLKGLDESEEFHGMQLFDVKGKNGKEFEESTTLQDFSDKEQSIYSTAAFGSLHSETALGGSTTYDENLGIPEVNEPSEKVLDFPTDVPSSPKAAKDDSLPCDAWWKRRVASLHAHAKEANTFWSIFVAAAVMGIVILGQRWQQERWQAIINNEKSGRILGPITRLKDVIVGGHRRGSFIRGSTSSDN >Potri.002G160700.10.v4.1 pep chromosome:Pop_tri_v4:2:12279308:12282181:-1 gene:Potri.002G160700.v4.1 transcript:Potri.002G160700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160700.v4.1 MEGKNEGEENRSRGNDWEVVSLTASTYAAAPGPKEVDQKDYESSRAYDEDGDETSRALFMSRHFVLPPGQHENLSLEPVNSEILDSQVGKNVPPELGLEEGGRSSCKNEEIWPLKGLDESEEFHGMQLFDVKGKNGKEFEESTTLQDFSDKEQSIYSTAAFGSLHSETALGGSTTYDENLGIPEVNEPSEKVLDFPTDVPSSPKAAKDDSLPCDAWWKRRVASLHAHAKEANTFWSIFVAAAVMGIVILGQRWQQERWQAIINNEKSGRILGPITRLKDVIVGGHRRGSFIRGSTSSDN >Potri.002G160700.7.v4.1 pep chromosome:Pop_tri_v4:2:12279332:12282020:-1 gene:Potri.002G160700.v4.1 transcript:Potri.002G160700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160700.v4.1 MEGKNEGEENRSRGNDWEVVSLTASTYAAAPGPKEVDQKDYESSRAYDEDGDETSRALFMSRHFVLPPGQHENLSLEPVNSEILDSQVGKNVPPELGLEEGGRSSCKNEEIWPLKGLDESEEFHGMQLFDVKGKNGKEFEESTTLQDFSDKEQSIYSTAAFGSLHSETALGGSTTYDENLGIPEVNEPSEKVLDFPTDVPSSPKAAKDDSLPCDAWWKRRVASLHAHAKEANTFWSIFVAAAVMGIVILGQRWQQERWQAIINNEKSGRILGPITRLKDVIVGGHRRGSFIRGSTSSDN >Potri.002G009700.15.v4.1 pep chromosome:Pop_tri_v4:2:596357:603819:-1 gene:Potri.002G009700.v4.1 transcript:Potri.002G009700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009700.v4.1 MEDGGLSPGTMLGATVDSVSVMDFDYMDELLLEGCWLETTDGSEFLNPSLSNSAAFFDSSFMWPTPEINHGDSASSPSQKGNQEDNQISMFPGNSTLSDIQARSPAGETAVSVAGWDDNATDGSELGKRWWIGPTPNPSVETSVKRRLIKALECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFSLDPSSEKLASYRDISVKYQFSAEEDSKDSVGLPGRVFLGKVPEWTPDVRFFRSDEYPRVNHAQLYDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYRPELESVCKALETVDLRSSEVPSIQNLQACNMSYQAALPEIQKLLRAACETHRLPLAQTWVPCTQQGKGGCRHSNENYYRCVSTVDDACCVADSAIQGFQEACSEHHLLKGQGVAGQAFMTNQPCFSGDVTSYGKTEYPLSHHARMFGLCAAVAIRLRSIYIGTTDFVLEFFLPVNCRDPQEQKKMLNSLSAIIQHVSQTLRVVTDKELVEETDLPFSEVLVPSDGRSSGEETSTVKQSCSERHSRDNSPWTACLSEVQPSGSNISLSQKDKQKVMLREKSSENRENQEDCSLRESIKCGRDSTSAEGSFSSAGTSKTGEKRRAKAEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQRVIDSVEGASGTVQIDSFYKNFPELASPTLSRTSPLSTLKSSSHPKPSGMQPEGGTFSSQVTAPKSPSPSCSLGSSSSHSCSSGAIAASEDPVSGENSGNGVLKMVRSNVELHASSPGEQERMPRSQSHKTLAELGSIPPLSKDGSRLSQETDAHRLKVTYGNEIIRLRMSNKWGFKDLLQEIVRRFNIDDIHRFDLKYLDDDSEWVLLTCDDDLEECIAICGSSDNQTIKLLLEVSPRPLGRSSHSSGLS >Potri.002G009700.17.v4.1 pep chromosome:Pop_tri_v4:2:596357:603263:-1 gene:Potri.002G009700.v4.1 transcript:Potri.002G009700.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009700.v4.1 MEDGGLSPGTMLGATVDSVSVMDFDYMDELLLEGCWLETTDGSEFLNPSLSNSAAFFDSSFMWPTPEINHGDSASSPSQKGNQEDNQISMFPGNSTLSDIQARSPAGETAVSVAGWDDNATDGSELGKRWWIGPTPNPSVETSVKRRLIKALECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFSLDPSSEKLASYRDISVKYQFSAEEDSKDSVGLPGRVFLGKVPEWTPDVRFFRSDEYPRVNHAQLYDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYRPELESVCKALETVDLRSSEVPSIQNLQACNMSYQAALPEIQKLLRAACETHRLPLAQTWVPCTQQGKGGCRHSNENYYRCVSTVDDACCVADSAIQGFQEACSEHHLLKGQGVAGQAFMTNQPCFSGDVTSYGKTEYPLSHHARMFGLCAAVAIRLRSIYIGTTDFVLEFFLPVNCRDPQEQKKMLNSLSAIIQHVSQTLRVVTDKELVEETDLPFSEVLVPSDGRSSGEETSTVKQSCSERHSRDNSPWTACLSEVQPSGSNISLSQKDKQKVMLREKSSENRENQEDCSLRESIKCGRDSTSAEGSFSSAGTSKTGEKRRAKAEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQRVIDSVEGASGTVQIDSFYKNFPELASPTLSRTSPLSTLKSSSHPKPSGMQPEGGTFSSQVTAPKSPSPSCSLGSSSSHSCSSGAIAASEDPVSGENSGNGVLKMVRSNVELHASSPGEQERMPRSQSHKTLAELGSIPPLSKDGSRLSQETDAHRLKVTYGNEIIRLRMSNKWGFKDLLQEIVRRFNIDDIHRFDLKYLDDDSEWVLLTCDDDLEECIAICGSSDNQTIKLLLEVSPRPLGRSSHSSGLS >Potri.002G009700.16.v4.1 pep chromosome:Pop_tri_v4:2:597050:603672:-1 gene:Potri.002G009700.v4.1 transcript:Potri.002G009700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009700.v4.1 MEDGGLSPGTMLGATVDSVSVMDFDYMDELLLEGCWLETTDGSEFLNPSLSNSAAFFDSSFMWPTPEINHGDSASSPSQKGNQEDNQISMFPGNSTLSDIQARSPAGETAVSVAGWDDNATDGSELGKRWWIGPTPNPSVETSVKRRLIKALECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFSLDPSSEKLASYRDISVKYQFSAEEDSKDSVGLPGRVFLGKVPEWTPDVRFFRSDEYPRVNHAQLYDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYRPELESVCKALETVDLRSSEVPSIQNLQACNMSYQAALPEIQKLLRAACETHRLPLAQTWVPCTQQGKGGCRHSNENYYRCVSTVDDACCVADSAIQGFQEACSEHHLLKGQGVAGQAFMTNQPCFSGDVTSYGKTEYPLSHHARMFGLCAAVAIRLRSIYIGTTDFVLEFFLPVNCRDPQEQKKMLNSLSAIIQHVSQTLRVVTDKELVEETDLPFSEVLVPSDGRSSGEETSTVKQSCSERHSRDNSPWTACLSEVQPSGSNISLSQKDKQKVMLREKSSENRENQEDCSLRESIKCGRDSTSAEGSFSSAGTSKTGEKRRAKAEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQRVIDSVEGASGTVQIDSFYKNFPELASPTLSRTSPLSTLKSSSHPKPSGMQPEGGTFSSQVTAPKSPSPSCSLGSSSSHSCSSGAIAASEDPVSGENSGNGVLKMVRSNVELHASSPGEQERMPRSQSHKTLAELGSIPPLSKDGSRLSQETDAHRLKVTYGNEIIRLRMSNKWGFKDLLQEIVRRFNIDDIHRFDLKYLDDDSEWVLLTCDDDLEECIAICGSSDNQTIKLLLEVSPRPLGRSSHSSGLS >Potri.002G009700.6.v4.1 pep chromosome:Pop_tri_v4:2:596993:604139:-1 gene:Potri.002G009700.v4.1 transcript:Potri.002G009700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009700.v4.1 MEDGGLSPGTMLGATVDSVSVMDFDYMDELLLEGCWLETTDGSEFLNPSLSNSAAFFDSSFMWPTPEINHGDSASSPSQKGNQEDNQISMFPGNSTLSDIQARSPAGETAVSVAGWDDNATDGSELGKRWWIGPTPNPSVETSVKRRLIKALECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFSLDPSSEKLASYRDISVKYQFSAEEDSKDSVGLPGRVFLGKVPEWTPDVRFFRSDEYPRVNHAQLYDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYRPELESVCKALETVDLRSSEVPSIQNLQACNMSYQAALPEIQKLLRAACETHRLPLAQTWVPCTQQGKGGCRHSNENYYRCVSTVDDACCVADSAIQGFQEACSEHHLLKGQGVAGQAFMTNQPCFSGDVTSYGKTEYPLSHHARMFGLCAAVAIRLRSIYIGTTDFVLEFFLPVNCRDPQEQKKMLNSLSAIIQHVSQTLRVVTDKELVEETDLPFSEVLVPSDGRSSGEETSTVKQSCSERHSRDNSPWTACLSEVQPSGSNISLSQKDKQKVMLREKSSENRENQEDCSLRESIKCGRDSTSAEGSFSSAGTSKTGEKRRAKAEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQRVIDSVEGASGTVQIDSFYKNFPELASPTLSRTSPLSTLKSSSHPKPSGMQPEGGTFSSQVTAPKSPSPSCSLGSSSSHSCSSGAIAASEDPVSGENSGNGVLKMVRSNVELHASSPGEQERMPRSQSHKTLAELGSIPPLSKDGSRLSQETDAHRLKVTYGNEIIRLRMSNKWGFKDLLQEIVRRFNIDDIHRFDLKYLDDDSEWVLLTCDDDLEECIAICGSSDNQTIKLLLEVSPRPLGRSSHSSGLS >Potri.002G009700.7.v4.1 pep chromosome:Pop_tri_v4:2:597034:604151:-1 gene:Potri.002G009700.v4.1 transcript:Potri.002G009700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009700.v4.1 MEDGGLSPGTMLGATVDSVSVMDFDYMDELLLEGCWLETTDGSEFLNPSLSNSAAFFDSSFMWPTPEINHGDSASSPSQKGNQEDNQISMFPGNSTLSDIQARSPAGETAVSVAGWDDNATDGSELGKRWWIGPTPNPSVETSVKRRLIKALECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFSLDPSSEKLASYRDISVKYQFSAEEDSKDSVGLPGRVFLGKVPEWTPDVRFFRSDEYPRVNHAQLYDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYRPELESVCKALETVDLRSSEVPSIQNLQACNMSYQAALPEIQKLLRAACETHRLPLAQTWVPCTQQGKGGCRHSNENYYRCVSTVDDACCVADSAIQGFQEACSEHHLLKGQGVAGQAFMTNQPCFSGDVTSYGKTEYPLSHHARMFGLCAAVAIRLRSIYIGTTDFVLEFFLPVNCRDPQEQKKMLNSLSAIIQHVSQTLRVVTDKELVEETDLPFSEVLVPSDGRSSGEETSTVKQSCSERHSRDNSPWTACLSEVQPSGSNISLSQKDKQKVMLREKSSENRENQEDCSLRESIKCGRDSTSAEGSFSSAGTSKTGEKRRAKAEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQRVIDSVEGASGTVQIDSFYKNFPELASPTLSRTSPLSTLKSSSHPKPSGMQPEGGTFSSQVTAPKSPSPSCSLGSSSSHSCSSGAIAASEDPVSGENSGNGVLKMVRSNVELHASSPGEQERMPRSQSHKTLAELGSIPPLSKDGSRLSQETDAHRLKVTYGNEIIRLRMSNKWGFKDLLQEIVRRFNIDDIHRFDLKYLDDDSEWVLLTCDDDLEECIAICGSSDNQTIKLLLEVSPRPLGRSSHSSGLS >Potri.002G009700.14.v4.1 pep chromosome:Pop_tri_v4:2:597039:603953:-1 gene:Potri.002G009700.v4.1 transcript:Potri.002G009700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009700.v4.1 MEDGGLSPGTMLGATVDSVSVMDFDYMDELLLEGCWLETTDGSEFLNPSLSNSAAFFDSSFMWPTPEINHGDSASSPSQKGNQEDNQISMFPGNSTLSDIQARSPAGETAVSVAGWDDNATDGSELGKRWWIGPTPNPSVETSVKRRLIKALECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFSLDPSSEKLASYRDISVKYQFSAEEDSKDSVGLPGRVFLGKVPEWTPDVRFFRSDEYPRVNHAQLYDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYRPELESVCKALETVDLRSSEVPSIQNLQACNMSYQAALPEIQKLLRAACETHRLPLAQTWVPCTQQGKGGCRHSNENYYRCVSTVDDACCVADSAIQGFQEACSEHHLLKGQGVAGQAFMTNQPCFSGDVTSYGKTEYPLSHHARMFGLCAAVAIRLRSIYIGTTDFVLEFFLPVNCRDPQEQKKMLNSLSAIIQHVSQTLRVVTDKELVEETDLPFSEVLVPSDGRSSGEETSTVKQSCSERHSRDNSPWTACLSEVQPSGSNISLSQKDKQKVMLREKSSENRENQEDCSLRESIKCGRDSTSAEGSFSSAGTSKTGEKRRAKAEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVGHSLKKLQRVIDSVEGASGTVQIDSFYKNFPELASPTLSRTSPLSTLKSSSHPKPSGMQPEGGTFSSQVTAPKSPSPSCSLGSSSSHSCSSGAIAASEDPVSGENSGNGVLKMVRSNVELHASSPGEQERMPRSQSHKTLAELGSIPPLSKDGSRLSQETDAHRLKVTYGNEIIRLRMSNKWGFKDLLQEIVRRFNIDDIHRFDLKYLDDDSEWVLLTCDDDLEECIAICGSSDNQTIKLLLEVSPRPLGRSSHSSGLS >Potri.017G098900.2.v4.1 pep chromosome:Pop_tri_v4:17:11060575:11064521:1 gene:Potri.017G098900.v4.1 transcript:Potri.017G098900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098900.v4.1 MGFSQNLFLIITALVVLADVATACSNGQCRILDECSSNQDCQAGLYCSSCLVGFSGSRCVRSTITNQFKLLNNSLPFNKYAFLTTHNAYAIDGYPSHTGVPRITFTNQEDNITEQLNNGARALMLDTYDFQGDVWLCHSFKGQCYDYTAFGPAIDTLKEIEAFLSANPTEIVTLILEDYVQAPNGLTKVFTDAGLMKYWFPVAKMPQNGQDWPLVSDMVQNNQRLLVFTSIQSKEASEGIAYQWNYMVENQYGDDGMKAGSCANRGESPPLDDKSRSLVLVNYFRSIPMKELSCEDNSGNLINILHTCDGAAASRWANFVAVDYYKRSEGGGSFQAVDLLNGKLLCGCDDIHACVPGSTSGACTP >Potri.017G098900.1.v4.1 pep chromosome:Pop_tri_v4:17:11060575:11064521:1 gene:Potri.017G098900.v4.1 transcript:Potri.017G098900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098900.v4.1 MGFSQNLFLIITALVVLADVATACSNGQCRILDECSSNQDCQAGLYCSSCLVGFSGSRCVRSTITNQFKLLNNSLPFNKYAFLTTHNAYAIDGYPSHTGVPRITFTNQEDNITEQLNNGARALMLDTYDFQGDGPAIDTLKEIEAFLSANPTEIVTLILEDYVQAPNGLTKVFTDAGLMKYWFPVAKMPQNGQDWPLVSDMVQNNQRLLVFTSIQSKEASEGIAYQWNYMVENQYGDDGMKAGSCANRGESPPLDDKSRSLVLVNYFRSIPMKELSCEDNSGNLINILHTCDGAAASRWANFVAVDYYKRSEGGGSFQAVDLLNGKLLCGCDDIHACVPGSTSGACTP >Potri.018G128700.1.v4.1 pep chromosome:Pop_tri_v4:18:13955003:13957646:1 gene:Potri.018G128700.v4.1 transcript:Potri.018G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128700.v4.1 MPRPGPRPYECVRRAWHSDRHKPIRGSMIGQILRMAYDTHSAATKGNREWQDKLLLVVYRAEEIMYSKANSEAEYVSQDTLWDRVNDAVNTIIRRDESTETGDLLPPCIEAALNLGCKVERASRSQRHNNPRSYLSPRTQEPASVAPRAVDRTHDEQGPRLMPIHSINPLNFAARATTIVNPNLPVSESSHRLAESSNAAPPHSCPILYENIPPGSDQLTTKEADMHQNFGSVYPLFYGDQYQIEASDMVSEVSTRMNSNTILVGKPIGTSVAAQGISASCRQFSHVPVLKLVP >Potri.005G226100.1.v4.1 pep chromosome:Pop_tri_v4:5:22696001:22704562:-1 gene:Potri.005G226100.v4.1 transcript:Potri.005G226100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226100.v4.1 MARNLGGFLVLIAAALIYSSALASYIFQPISDLHRSAALELFNPLGGSFPSVEETYEELRTFEVLGIDKRSDISTAACQSVSEILGSSSSTLKDLFYALKVNGILKCDIKEDVFEGVASRLQAAVSGASALLDFYYSVGGLALIKGQTTKDDLYLADAEGVFQSIKALSQSDGRWRYNSNNPESSTLAAGLALEALAGIVSLSSSKIDQSLIRTTKNDILKLFDSIEKYDDGAFYFDEKLVGAHEHQGALSTTSSVVRGLTAFAAVTSGSLNLPSGKILGLAKFFLAIGIPGDAKDLFNQVDSLAFLESNTVSIPLILSLPATVLSLTKKDALKVKVNTVLGSNAPPLTVNLLRVFRSGSKDTLLTESQELKFDPANAVYTLDALPKSVDVGKYTFVFETVLHDSDHKNLYATGGQTRIPIFVTGIIEVDTAEIAVLDSDLGSIETKKKIDLAGDNTVSLSANHLQKLRLSFQLSTPLGHAFKPHQAILKLTHETKVEHIFLMGSSGKEFEIILDFLGLVEKFFYLSGRYNVQLTVGDAVMENSFLKAVGHIDLDLPEAPEKAPQPPAQPLDPNLIYGPKAEIAHIFRVPEKLPPKELSLTFLGLTLLPFLGFLLGLLRLGVNLKNFPSSSVPAMFAALFHLGIAAVLLLYVLFWLKLDLFTTLKALGFLGAFLMFVGHRILSHLASSSSKLKSA >Potri.015G126301.1.v4.1 pep chromosome:Pop_tri_v4:15:13828334:13835902:1 gene:Potri.015G126301.v4.1 transcript:Potri.015G126301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G126301.v4.1 MSDSQNSTLATFHGDDKMEEDSGSMGLIGEVVGFDDRIQEEQMHTGNKEHSLNSLNPVNHVREPRHNIDTTAEPSIREPSIKVISKSLSRTDIEERLSVPASCLHFFPMPEGVREIEFQAIDTLGKLWTFKLSCRSEGPPKPVIAGQWLSFVKDKGVKVGDTVTISQQNNGTNEGQYSISVSRKLFNVLATLPA >Potri.013G049550.1.v4.1 pep chromosome:Pop_tri_v4:13:3560700:3562417:-1 gene:Potri.013G049550.v4.1 transcript:Potri.013G049550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049550.v4.1 MGRIEDLEGADGASFEQLVEEIREAADWDETKTEDLLTEKIVAEFVSFHVKKAEKEWAARRLEGRDAETAEKRRTMPDISPSKSHVNSLAKKRLQQGLLERDSKKEEEAGKLNKQEGRN >Potri.004G172000.1.v4.1 pep chromosome:Pop_tri_v4:4:18730536:18731915:1 gene:Potri.004G172000.v4.1 transcript:Potri.004G172000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172000.v4.1 MGSQVSKQLEKRKAISTEKKALVDLEGNSGETYPGSDYRPSDRKNWMAGLNPEKLHINQIVWPGTHDSATNKIGIPMITRPFAQCQSLSIYRQLCVGTRVLDVRVQEDRRVCHGILTTYSVDVVIQELKKFLSETQSEIVILEIRTEFGHDDPPEFDKYLEEQLGEYLIHQDDNVFGKTIAELLPKRVICVWKPRKSPAPKHGSPLWSAGYLKDNWIDTDLPSTKFESNMKHLSEQPPVSSRKFFYRVENTVTPQADNPIVCVKPVTNRIHGYARVFITQCVSKGCADKLQIFSTDFIDEDFVEACVGLTQARVEGKA >Potri.014G112600.4.v4.1 pep chromosome:Pop_tri_v4:14:7587278:7592315:-1 gene:Potri.014G112600.v4.1 transcript:Potri.014G112600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112600.v4.1 MTNPPPPSLGYSVTVTPSNPDSSTPQPVKNSAPPPTMLPGAPRFPPPKLQQDQIPSPFFRNPNLLSPANGVRSPVPHLSTPPGPPVFKSPVRPAAVPFRTSPATPQPIAFSSGSTLPTSSPPHFSNGSVELQHQVPLATEDSTLVNESLCALFSAHKVLKQKKLTNVPSLGFGALFSPGREIFPGPQILQRDPHRCHNCGAYANLYCKILLGSGQWQCVICRKLNGSEGEYVAPSKEDLRNFPELSSPIVDYVRTGNKRPGFIPVSDSRMSAPVVLVIDDCLDEPHLQHLQSSLHAFVDSLPPTARIGIILYGRTVSVYDFSEESMASADVLPGDKSPIQESLKALIYGTGVYLSPMHASKEVAHKIFSSLRPYKSNIAEALRDRCLGTAVEVALAIIQGPSAEMSRGVVKRNGGNSRIIVCAGGPNTYGPGSVPHSFSHPNYPHLEKTALKWMENLGREAHRNNAVVDILCAGTCPVRIPVLQPLAKASGGVLVLHDDFGEAFGVNLQRASSRASGSHGLLEIRCSDDILITQVVGPGEEAHVDTHETFKNDNALCIQMLSVEETQSFALSMETKGDIKSDCVFFQFTVLYANIYQADISRVVTVKLPTVDSVSAYLESFQDEVAAILIAKRTLLRAKNHSDAMDMRGTIDERIKDIALKFGSLVPKSKLHRFPKELSALPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLVEEITELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRSLTTEQRTKLKSSFIHFDDPSFCEWMRSLKVVPPEPS >Potri.014G112600.1.v4.1 pep chromosome:Pop_tri_v4:14:7587203:7592656:-1 gene:Potri.014G112600.v4.1 transcript:Potri.014G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112600.v4.1 MTNPPPPSLGYSVTVTPSNPDSSTPQPVKNSAPPPTMLPGAPRFPPPKLQQDQIPSPFFRNPNLLSPANGVRSPVPHLSTPPGPPVFKSPVRPAAVPFRTSPATPQPIAFSSGSTLPTSSPPHFSNGSVELQHQVPLATEDSTLVNESLCALFSAHKVLKQKKLTNVPSLGFGALFSPGREIFPGPQILQRDPHRCHNCGAYANLYCKILLGSGQWQCVICRKLNGSEGEYVAPSKEDLRNFPELSSPIVDYVRTGNKRPGFIPVSDSRMSAPVVLVIDDCLDEPHLQHLQSSLHAFVDSLPPTARIGIILYGRTVSVYDFSEESMASADVLPGDKSPIQESLKALIYGTGVYLSPMHASKEVAHKIFSSLRPYKSNIAEALRDRCLGTAVEVALAIIQGPSAEMSRGVVKRNGGNSRIIVCAGGPNTYGPGSVPHSFSHPNYPHLEKTALKWMENLGREAHRNNAVVDILCAGTCPVRIPVLQPLAKASGGVLVLHDDFGEAFGVNLQRASSRASGSHGLLEIRCSDDILITQVVGPGEEAHVDTHETFKNDNALCIQMLSVEETQSFALSMETKGDIKSDCVFFQFTVLYANIYQADISRVVTVKLPTVDSVSAYLESFQDEVAAILIAKRTLLRAKNHSDAMDMRGTIDERIKDIALKFGSLVPKSKLHRFPKELSALPELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASFDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLVEEITELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRSLTTEQRTKLKSSFIHFDDPSFCEWMRSLKVVPPEPS >Potri.004G187100.1.v4.1 pep chromosome:Pop_tri_v4:4:20018614:20020962:1 gene:Potri.004G187100.v4.1 transcript:Potri.004G187100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187100.v4.1 MEIELRFIGDQIQENAGTSDDHVTSITEADRQWLKSVETKTKLLPKLLNNSAGKSSCCIFRVPQSLFEINKMAYQPHIVSIGPYHHGKVHLKMIEEHKWRFLGGVLARTQQHGIGINDFFKAIAPIEEKIRDCYSETIECSRQEFIEMMVLDGCFIIELFCIVGGIVQTDIDDPIFNMTRMFFFIMRDLLRLENQIPFFVLETLFETSILSSRKQNVSSLAELALEFFDYAAQRPPEVLRRYKDIRGKHLLDLFRSTIIPSSQEVPGKISPFLQLIQSAKKLHQAGIKFKPRETDSFLDIEFSNGVLEIPLLTVDDFTTSVILNCVAFEQCYNHCSNHITSYVTFMGCLINAPSDAGFLCDYKIVENYFGTDEEVARFFNNVGKDVTFDIQRSYLSKVFEDVNEHYSNNWHVRWAGFMHTYFDTPWSFISALAAVVLLILTMIQAFFAFYGYFRPPKQ >Potri.008G168900.1.v4.1 pep chromosome:Pop_tri_v4:8:11666325:11669373:1 gene:Potri.008G168900.v4.1 transcript:Potri.008G168900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168900.v4.1 MTTKVKGLLRGLRYISQIFDEKEQEMQIGFPTDVKHVAHIGCDGPSATNAPSWMNEFNSPPELLCVTSNSKEEEKSLSTDPPAEDTIQTEKPRHRSRRSSGSASSLLNSPDRRSTDSSRNSRHQASSGTGSPLNSPRGTDAPKSYRRHRSSNKSMDSPKGESSGTNRISRRQKNSSLGAESPTHDQPSIPKHSRGRKSKGSPGSGSSKSKEKKSSKEAVPFSDPGSGGCESINGRKNIASQLSSVLEAYEEEG >Potri.008G168900.5.v4.1 pep chromosome:Pop_tri_v4:8:11668150:11669184:1 gene:Potri.008G168900.v4.1 transcript:Potri.008G168900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168900.v4.1 MSLFLQSSMNEFNSPPELLCVTSNSKEEEKSLSTDPPAEDTIQTEKPRHRSRRSSGSASSLLNSPDRRSTDSSRNSRHQASSGTGSPLNSPRGTDAPKSYRRHRSSNKSMDSPKGESSGTNRISRRQKNSSLGAESPTHDQPSIPKHSRGRKSKGSPGSGSSKSKEKKSSKEAVPFSDPGSGGCESINGRKNIASQLSSVLEAYEEEG >Potri.008G168900.4.v4.1 pep chromosome:Pop_tri_v4:8:11666640:11669253:1 gene:Potri.008G168900.v4.1 transcript:Potri.008G168900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168900.v4.1 MTTKVKGLLRGLRYISQIFDEKEQEMQIGFPTDVKHVAHIGCDGPSATNAPSWMNEFNSPPELLCVTSNSKEEEKSLSTDPPAEDTIQTEKPRHRSRRSSGSASSLLNSPDRRSTDSSRNSRHQASSGTGSPLNSPRGTDAPKSYRRHRSSNKSMDSPKGESSGTNRISRRQKNSSLGAESPTHDQPSIPKHSRGRKSKGSPGSGSSKSKEKKSSKEAVPFSDPGSGGCESINGRKNIASQLSSVLEAYEEEG >Potri.008G168900.3.v4.1 pep chromosome:Pop_tri_v4:8:11666503:11669271:1 gene:Potri.008G168900.v4.1 transcript:Potri.008G168900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168900.v4.1 MTTKVKGLLRGLRYISQIFDEKEQEMQIGFPTDVKHVAHIGCDGPSATNAPSWMNEFNSPPELLCVTSNSKEEEKSLSTDPPAEDTIQTEKPRHRSRRSSGSASSLLNSPDRRSTDSSRNSRHQASSGTGSPLNSPRGTDAPKSYRRHRSSNKSMDSPKGESSGTNRISRRQKNSSLGAESPTHDQPSIPKHSRGRKSKGSPGSGSSKSKEKKSSKEAVPFSDPGSGGCESINGRKNIASQLSSVLEAYEEEG >Potri.008G168900.2.v4.1 pep chromosome:Pop_tri_v4:8:11667554:11669312:1 gene:Potri.008G168900.v4.1 transcript:Potri.008G168900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168900.v4.1 MTTKVKGLLRGLRYISQIFDEKEQEMQIGFPTDVKHVAHIGCDGPSATNAPSWMNEFNSPPELLCVTSNSKEEEKSLSTDPPAEDTIQTEKPRHRSRRSSGSASSLLNSPDRRSTDSSRNSRHQASSGTGSPLNSPRGTDAPKSYRRHRSSNKSMDSPKGESSGTNRISRRQKNSSLGAESPTHDQPSIPKHSRGRKSKGSPGSGSSKSKEKKSSKEAVPFSDPGSGGCESINGRKNIASQLSSVLEAYEEEG >Potri.014G156900.1.v4.1 pep chromosome:Pop_tri_v4:14:11075769:11077549:1 gene:Potri.014G156900.v4.1 transcript:Potri.014G156900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156900.v4.1 MASVIISPTFSVNRSSRDCKILKNTIRQCQGIKAMHIEKPLEELYNVRVERKVSRDRLAELGVSKWSVWKTDKCKLPWDWQVDQLVYIEEGEVRVVPEGSKKYMRFVAGDLVRYPKWFEADLFFNAPYQERYSFRAYGDDH >Potri.001G215700.1.v4.1 pep chromosome:Pop_tri_v4:1:22244638:22255775:1 gene:Potri.001G215700.v4.1 transcript:Potri.001G215700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215700.v4.1 MDLDASMAATDHDPAVQSASSAPAEMDGEQIGEQSQQPAGSQDTSTAAVAGSSTPTTAPQQVAQAQQQSPVVGPRHAPTYSVVNANMDKKEDGPGPRCGHTLTNVAAVGEEGTPGYIGPRLILFGGATALEGNSASTGTPSSAGSAGIRLAGATADVHCYDVLTNKWTRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLSDVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVGDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAAAAASNVQAGRALGRYGFVDERTRQTMPEAAPDGSVVLGNPVAPPVNGDMYTDISTENAMLSGPRRTNKGVEYLVEAAAAEAQAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQISTLIKPDSAGSNNIAPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVVAHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETMTLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIENLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPEASPERHIEDTWMQELNANRPPTPTRGRPQVTNDRGSLAWI >Potri.T126506.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:191511:196806:1 gene:Potri.T126506.v4.1 transcript:Potri.T126506.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126506.v4.1 MASSSSSSRPGWDYDVFLSFRGEDTRKNFTDHLFTALQKAKVRTFRDDDELRIGEEISLQLPKAIQESKISIVVFSKGYASSTWCLDELEKILDCKHTTGQIVIPVFYDIDPSDIRKQTGSFAEAFDKHEERFKEEMEKVHKWRKALVEAADLSGLDPHSIANGHESKLIQKIVEVVSSKLNPRFLSDDRPLKRLKTTMISGGGLLDDAEEKQITNTFVRDWLAEYKDAVYEAEDFLDEIAYEAEAQTFINPPGIMGLREIEEKSRGLQESLDYLVKQKDALGLINRTGKEPSSTKRPTTSLVDERRVYGRDYDREAILKLLVSDDANGENPGVVRIVGMGGVGKTTLAQLVYNHSEVQRCFNLKAWVCVSEDFSVSKLTKVILEEVGSKSDSGSLNQLQIQLKERFRENKFLLVLDDVWEENYAEWDTLLTPLKSGAQGSKILVTTRNERVASVMSTVQTRHLKELTEDSCWFLFAKHAFGDENPIAQEELKKIGRAITKKCKGLPLAAKSLGGLLCNEREVEEWRDILESNLWDLPKDNILPALRLSYLYLVPHLKQCFAYCAIFPKDYSFRKDELVLLWMAEGFLVRSAVDDEMEKAGAKCFDDLLSRSFFQQSSASRSSFVMHDLMHDLATHVSGQFCFSSSLRGNNSSKATRRTRHLSLAVDTEDTDGEDTEDGDTEGVFSSTILENIREAQHLRTFRTSLDNQMCPPEFDKIFQSTHCRLRVISLSNCAGVAKMLCSISKLKHLRYLDLSWSDLVTLPEEVSALLNLQTLILKRCQQLASLPDLGNLKYLRHLNLEATRIERLPESLERLINLRYLNIKYTPLKEMPQYIGQLAKLQTLTDFLVGRQSETSIKELGKLRHLRGELHIGNLQNVVDARDAVEANLKGREHLDELRFTWDGDTHDPQHVTSTLEKLEPNRNVKDLEIDGYGGVRFPEWVGKSSFSNIVSLRLSRCTNCTFLPPLGQLASLERLSIEAFDKVEIVGSEFYGNCTAMKKPFESLKTLRFEGMPEWREWISDEGSREGFPLLEELLIQECPNLAKALPCHHLPRVTSLTIRGCKQLATPLPRFPEWVGESYLSNIVSLKLIRCTNSTSLPPLGQLASLERLSIEAFDKVEIVGSELYGNCTALKKPFESLKTLSFRRMSEWREWISDEGSREAFPLLEELLIEECPNLAKALPCHHLPRVTSLTIGGCEQLATPLPRFPGLLSLIVFDLHSLESLPEEIDQMGCSPSDLGKIIIHRCASLKGVALDLLPKLNFLRILDCPDLESLCANERPLNDLTSLHSLEIEGCPKLVSFPKGGLPAPVLTQLDLYDCKNLKQLPESMPSLLPSLNRLGIYGCSEVELCPEGVFPPHYNYFGFVIATNSLQAACNGAC >Potri.010G217900.1.v4.1 pep chromosome:Pop_tri_v4:10:20445428:20451169:-1 gene:Potri.010G217900.v4.1 transcript:Potri.010G217900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217900.v4.1 MLSTNDPMESFMNSIQVVKDALSPLELGIRKAAKDLETCWGVSKNDDKATHDLVTDNSSKVSIFTVKNKSVSLGNSENRQGVVNEEKKKGFLSIKFPIRSLLGMFSMNLEGGHRNGGDNKAGLPKKVLKEKEMSNEDGSCVNCLRFAMTLSLLVNGLVQAFPGPFKMNKKRFQKVGDEDKDYLHSSKNGSKAKVSGEMKLRKSKGQSVKGYQNVSEKGKEEKPVSLECFIGFLFDQLAQNLQKFDLGLQERDIKGCENDCSTSPPAYSQFDHLRAIISIWEGQKVYVDGVLGNLSFARVGGVPSSIVGVSSSVNEEGDDGASSAPTNSAEDTGSSSPQNLASGLLSIPLSNVERLRSTLSTVSLTELIELVPQLGRSSKDYPDKKKLFSVQDFFRYTEAEGRRFFEELDRDGDGQVNLEDLEIALRKRKLPQRYAREFMRRARSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNSGLPVNEDNAVAMMRFLNADTEESISYGHFRNFMLLLPSDRLQDDPRNIWFEAATVVAVAPPVEIPAGSVLRSALAGGLSCALSCSLMHPVDTIKTRVQASTLAFPEIISKLPQVGVRGLYRGSIPAIWGQFTSHGLRTGIFEATKLVLINVAPTLPDIQVQSVASLCSTVLGTAVRIPCEVLKQRLQAGLFDNVGQAIVGTWQQDGLNGFFRGTGATLLREVPFYVAGMCLYGESKKVAQQLLRRELEPWETIAVGALSGGLTAVITTPFDVLKTRMMTAPPGRTVSMSLIAFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKNEEATKVE >Potri.001G136100.1.v4.1 pep chromosome:Pop_tri_v4:1:11095557:11098318:1 gene:Potri.001G136100.v4.1 transcript:Potri.001G136100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136100.v4.1 MVKNKMDCLCCWSLSKPFYLLLFLFSFPCIIASAAFNLSTISFDEGYSPLFGDGNLVRSPDGRTARLLLDRFTGAGFISSKMYKYGFFSANIKLPGDYTAGLCVAFYTSNGDVFEKTHDELDFEFLGNTEGKPWRFQTNLYGNGSTSRGREERYRLWFDPSKQFHRYSILWTAKNTIFYIDDVPIREVIRSEEMGGEYPSKPMSLYATIWDASNWATSGGKYKVNYKYAPFVSEFKDFVLEGCPSDPIEEFPSVDCYESYSRLESADYATITRRQRSAMRKFRQRYMYYSYCYDSLRYPVPPPECVVIPTEKDRFRDTGRLRFGGSHQGRKRRSRRRGRVQVSSIEYDPDV >Potri.002G013200.1.v4.1 pep chromosome:Pop_tri_v4:2:812189:814582:1 gene:Potri.002G013200.v4.1 transcript:Potri.002G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013200.v4.1 MAGVNKSHEYETKTSAGEESGATETKDRGLFGFMGKKKEEVPATEYEEKIHRSDNSYKHEDTTVPSDTETPLTPEKKKSYFEQAKDMIPAYKKTEEVPPPTEAVYPSETPLTPEKKKSYFEQAKGIIPAYKKTEDGPPSPAEAAVHPTGTPLEPEKKKSYFEQAKDMIPAYKKTEDSPPSPAEAAVHPTGSRPLEPEKKSYFEQAKDMIPAYKKTEDSPPSPAEAAVHPTGSRPLEPEKKKSYFEQAKDIIPAYKKTEDSPPSPTEAAGHPTGSRPLEPEKKKSYFEQAKERTPGFKKSEEVSPRPAKAAAHHTETPLEPEEKKGFFEQAKERTPGFKKTEEVSPRPAKAAAHLTETPLEPEEKKGFFDQAKERIPSHKKTEEVPPHPAKSASNEGAFSQTETPFEPEEKKGFLDKVKEKVPAHKTEEVPPPAESAFSHTETPFEPEEKKGFLDKVKEKELSRKKTEEVPHPPAAAFSHTNTPSEPEEKRGFLKEKVPTHKKTEEFPFPAKPAYTEAAVSNTNTPLEPEEKRGLLDKIKEKMPGHKKTEEVPPSEFDSTENVVSHKGEPMVKKGMMEKIKEKLPGHRPQI >Potri.002G013200.3.v4.1 pep chromosome:Pop_tri_v4:2:812194:814576:1 gene:Potri.002G013200.v4.1 transcript:Potri.002G013200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013200.v4.1 MAGVNKSHEYETKTSAGEESGATETKDRGLFGFMGKKKEEVPATEYEEKIHRSDNSYKHEDTTVPSDTETPLTPEKKKSYFEQAKDMIPAYKKTEEVPPPTEAVYPSETPLTPEKKKSYFEQAKGIIPAYKKTEDGPPSPAEAAVHPTGTPLEPEKKKSYFEQAKDMIPAYKKTEDSPPSPAEAAVHPTGSRPLEPEKKSYFEQAKDMIPAYKKTEDSPPSPAEAAVHPTGSRPLEPEKKKSYFEQAKDIIPAYKKTEDSPPSPTEAAGHPTGSRPLEPEKKKSYFEQAKERTPGFKKSEEVSPRPAKAAAHHTETPLEPEEKKGFFEQAKERTPGFKKTEEVSPRPAKAAAHHTETPLEPEEKKGFFEQAKERTPGFKKTEEVSPRPAKAAAHLTETPLEPEEKKGFFDQAKERIPSHKKTEEVPPHPAKSASNEGAFSQTETPFEPEEKKGFLDKVKEKVPAHKTEEVPPPAESAFSHTETPFEPEEKKGFLDKVKEKELSRKKTEEVPHPPAAAFSHTNTPSEPEEKRGFLKEKVPTHKKTEEFPFPAKPAYTEAAVSNTNTPLEPEEKRGLLDKIKEKMPGHKKTEEVPPSEFDSTENVVSHKGEPMVKKGMMEKIKEKLPGHRPQI >Potri.002G013200.2.v4.1 pep chromosome:Pop_tri_v4:2:812194:814586:1 gene:Potri.002G013200.v4.1 transcript:Potri.002G013200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013200.v4.1 MAGVNKSHEYETKTSAGEESGATETKDRGLFGFMGKKKEEVPATEYEEKIHRSDNSYPGDGEKKHEDTTVPSDTETPLTPEKKKSYFEQAKDMIPAYKKTEEVPPPTEAVYPSETPLTPEKKKSYFEQAKGIIPAYKKTEDGPPSPAEAAVHPTGTPLEPEKKKSYFEQAKDMIPAYKKTEDSPPSPAEAAVHPTGSRPLEPEKKSYFEQAKDMIPAYKKTEDSPPSPAEAAVHPTGSRPLEPEKKKSYFEQAKDIIPAYKKTEDSPPSPTEAAGHPTGSRPLEPEKKKSYFEQAKERTPGFKKSEEVSPRPAKAAAHHTETPLEPEEKKGFFEQAKERTPGFKKTEEVSPRPAKAAAHHTETPLEPEEKKGFFEQAKERTPGFKKTEEVSPRPAKAAAHLTETPLEPEEKKGFFDQAKERIPSHKKTEEVPPHPAKSASNEGAFSQTETPFEPEEKKGFLDKVKEKVPAHKTEEVPPPAESAFSHTETPFEPEEKKGFLDKVKEKELSRKKTEEVPHPPAAAFSHTNTPSEPEEKRGFLKEKVPTHKKTEEFPFPAKPAYTEAAVSNTNTPLEPEEKRGLLDKIKEKMPGHKKTEEVPPSEFDSTENVVSHKGEPMVKKGMMEKIKEKLPGHRPQI >Potri.013G131200.2.v4.1 pep chromosome:Pop_tri_v4:13:13754240:13754832:1 gene:Potri.013G131200.v4.1 transcript:Potri.013G131200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131200.v4.1 MSGCSCGSDCKCGSDCKCGMYPDLGFSESTTTETIIAGFAPVQMFYERSEMNSGAENGCKCGSNCTCDPCNCK >Potri.018G007800.1.v4.1 pep chromosome:Pop_tri_v4:18:580502:581251:1 gene:Potri.018G007800.v4.1 transcript:Potri.018G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007800.v4.1 MSPSNKLMALGLCMLMACTLFPGNAEATRSINYGAIVKGDHEPFCGPKHPCVKTPANGYHRGCETFYRCHGWWDR >Potri.004G151500.1.v4.1 pep chromosome:Pop_tri_v4:4:17292980:17296886:-1 gene:Potri.004G151500.v4.1 transcript:Potri.004G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151500.v4.1 MRSLKDGLMRHVRSIKSGFTLPILTSNQLVHLYSKHCLINEAQKLFDEMPQRNTYSWNTIISAHIKSQNLAQAKSIFDSASVRDLVTYNSMLSGYVSVDGYERNALELFVEMQSKRNEIEIDDLTITSMVNLFSKLCNSCYGRQLHSYMVKTGNDRSGFVVSSLIDMYSKCGCFKEACQVFKGCEREGGFDLVSKNAMVAAYCREGDMEMALRLFWRESELNDSVSWNTLISGYVQNGYPVEALKLFVCMGENGVKWNEHTLGSVLSACADLRNLKIGKEMHAWILKNGLGSSAFVESGIVDVYCKCGNMKYAESLHLTRGVRSSFSITSMIVGYSSQGNMVEACRLFDSLEEKNSIVWAALFSGYVKLKQCEAFFELLREYIAKEAAIPDALILINAFNVCAFQAALGPGKQIHGYVFRMGIEMDMKTTTAMIDMYSKCGSIPYAEKLFLKVIERDLVLYNVMLAGYAHHGHEIKAINLFQEMLERGVGPDAVTFVALLSACRHRGLVDLGEKTFYSMTEDYHILPETDHYACMIDLYGRASQLEKMVLFMQRIPVEHQDAAVVGAFFNACRLNNNTELAKEAEEKLLNIEGDSGARYVQLANVYAAEGNWAEMGRISREMRGKEAKKFAGCSWVYLDNEVHSFTSGDRTHTKAESIYSKLEFLKAKLYEIAGAFR >Potri.011G040900.1.v4.1 pep chromosome:Pop_tri_v4:11:3166238:3168162:-1 gene:Potri.011G040900.v4.1 transcript:Potri.011G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD6 MEFDLENPLTSLKEYVSDTIPDLFVSESDHMPSRNFLHCLKTSDFYVSFREEAISRILQAQYSCNYDLFIPYLAVNYMDRFISRQEIPQGKPWILRLLVISCLSLAAKMKNKHFSISNSQEAEAGFIFDTQTINRMELLVLDALNWRMRSITPFSFVHFFVSLFELKDPSSSQPLKDRATEIIFKAQNEIKFLEFKPSIIAASALLVASNERFPLQFPCFKCSIYSCEFVNEEKLLECFNALQEMVEMEWYESMLDTMSWTRTPLSVLDRHFTKSENETTSIITSTTTITNGSTVPEIKRRKLNGYSGK >Potri.008G032600.2.v4.1 pep chromosome:Pop_tri_v4:8:1754425:1758075:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MEGILVLDSENINVAGNKIKGEKLGEGSMVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.30.v4.1 pep chromosome:Pop_tri_v4:8:1754700:1758008:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.32.v4.1 pep chromosome:Pop_tri_v4:8:1754425:1758075:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.32.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.3.v4.1 pep chromosome:Pop_tri_v4:8:1754550:1758075:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MEGILVLDSENINVAGNKIKGEKLGEGSMVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.19.v4.1 pep chromosome:Pop_tri_v4:8:1754700:1758008:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MEGILVLDSENINVAGNKIKGEKLGEGSMVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRNTEEHHLPTAIHG >Potri.008G032600.28.v4.1 pep chromosome:Pop_tri_v4:8:1754700:1758008:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.20.v4.1 pep chromosome:Pop_tri_v4:8:1755015:1758008:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MEGILVLDSENINVAGNKIKGEKLGEGSMVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKSSPDSFCLGRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.16.v4.1 pep chromosome:Pop_tri_v4:8:1754550:1758075:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.24.v4.1 pep chromosome:Pop_tri_v4:8:1754700:1758008:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.4.v4.1 pep chromosome:Pop_tri_v4:8:1754550:1758075:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MEGILVLDSENINVAGNKIKGEKLGEGSMVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.27.v4.1 pep chromosome:Pop_tri_v4:8:1754565:1758019:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.22.v4.1 pep chromosome:Pop_tri_v4:8:1754700:1758008:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKSSPDSFCLGRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.21.v4.1 pep chromosome:Pop_tri_v4:8:1754700:1758008:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKSSPDSFCLGRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.33.v4.1 pep chromosome:Pop_tri_v4:8:1754565:1758019:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.33.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.26.v4.1 pep chromosome:Pop_tri_v4:8:1754700:1758008:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.10.v4.1 pep chromosome:Pop_tri_v4:8:1754425:1758075:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MEGILVLDSENINVAGNKIKGEKLGEGSMVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKSSPDSFCLGRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.29.v4.1 pep chromosome:Pop_tri_v4:8:1754565:1758019:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.23.v4.1 pep chromosome:Pop_tri_v4:8:1754565:1758019:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.31.v4.1 pep chromosome:Pop_tri_v4:8:1754425:1758075:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.31.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKSSPDSFCLGRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.25.v4.1 pep chromosome:Pop_tri_v4:8:1754565:1758019:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.008G032600.1.v4.1 pep chromosome:Pop_tri_v4:8:1754550:1758075:-1 gene:Potri.008G032600.v4.1 transcript:Potri.008G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032600.v4.1 MEGILVLDSENINVAGNKIKGEKLGEGSMVGIAPRRTLADISNFPVSRKTLAEVSSVSQRNQDGKSQSVLVGKDYIEKLQREIMALTKIVVDRNKIIELSAIELQKLRIRFQQLQQQNQHLAQTNSQMLAELNAGKDKLKVFQHELGCKNGLLDAINLELKEKAKKVRCRIKRNEVETIKGDGAAQLSQPEEENKPCNPKRKRQSNVQSLETRPVQPQTKENADKKSVCLRRQSARFKSGEEEPTENNVDTKRHSARFKSEEQLNEKNDDKRSRICRRKQSIRIKSEAQIEEPTEDLFQTDDAKFHVPAIYGDPVHESCPTLSAPSVKIESETGNSALRFETQEPRRTSLRPPRRAAEKVQSYKEIPLNVKMRRSE >Potri.010G213600.2.v4.1 pep chromosome:Pop_tri_v4:10:20164989:20165787:1 gene:Potri.010G213600.v4.1 transcript:Potri.010G213600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213600.v4.1 MATPPSSPSLQLSTTAAATHFPIRSHVPKHSFTTRATTDDNKPGTTQEPDSKPGSASDDQFESRLSQVRLRYRSGTGKKAELRKAKKGKSSSGSGSGMYLPPVPLKESVSGGLKVELGFSPYSERVNGRIAILGLSALLLVELATGKSVINYHTPAIVLIQVYFVTAATALYVKYEKEKVSIWPESAPSKD >Potri.001G178900.6.v4.1 pep chromosome:Pop_tri_v4:1:15604960:15610891:1 gene:Potri.001G178900.v4.1 transcript:Potri.001G178900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178900.v4.1 MARVGEAEIEYESDPEEEKRLLGRRRREAASDDEEGEGEEKPIIDRRSPIHSDESDDQVGAADYDDDEEEEEDALNGEEDGDDDEEVYDDEVEEEEVYEEEEGEKGNEKGVGGNGNVGVEVREKEIEGRKVEEMGEEKVEEGEEGKKENEPFAVPTAGAFYMHDDRFRDSAGGRHRRTLGRRKLWESKDNKKWGHDKFEEMTLQERHYEQGRRNAKGNFHARGGKNRGPEQGYARRNRSQSFSDGNNQNQAPKAVRGRGPRKGESTFKSRRETPPVQSKQPGKPLEKHSHGSSGRVLISASNTESDQVPTARKNSNLSSASPPFYPSGSSSKDITLGQKRDVHGASTSKNHRTPVIDENFPMQQTSALVRGKNIADSVAIDKLYIDDSITPAGKPLNNMQTPPSVSSVVSTIQSLQSRAQGRGVAMSSQRSYQGSPQHNLTNRTSPTAPLHSRQRSPAQNRIQPAPAQNRIQPAPAQPLVQHPGSGSQASSPPKTALSLNSYEAGEAETAVESSKSRTALVGKGKGIIQGNGRGSFLYGGAEVVGATRNMGVGHGDQNLPGTPTFLPVMQFGSQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGATYCSPYLTVDGAYHGRPSSEESSSNVPDNEWKPTQRPELASDEFGQRQKPRRYSEMDFKQPSTST >Potri.001G178900.3.v4.1 pep chromosome:Pop_tri_v4:1:15604967:15610910:1 gene:Potri.001G178900.v4.1 transcript:Potri.001G178900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178900.v4.1 MARVGEAEIEYESDPEEEKRLLGRRRREAASDDEEGEGEEKPIIDRRSPIHSDESDDQVGAADYDDDEEEEEDALNGEEDGDDDEEVYDDEVEEEEVYEEEEGEKGNEKGVGGNGNVGVEVREKEIEGRKVEEMGEEKVEEGEEGKKENEPFAVPTAGAFYMHDDRFRDSAGGRHRRTLGRRKLWESKDNKKWGHDKFEEMTLQERHYEQGRRNAKGNFHARGGKNRGPEQGYARRNRSQSFSDGNNQNQAPKAVRGRGPRKGESTFKSRRETPPVQSKQPGKPLEKHSHGSSGRVLISASNTESDQVPTARKNSNLSSASPPFYPSGSSSKDITLGQKRDVHGASTSKNHRTPVIDENFPMQQTSALVRGKNIADSVAIDKLYIDDSITPAGKPLNNMQTPPSVSSVVSTIQSLQSRAQGRGVAMSSQRSYQGSPQHNLTNRTSPTAPLHSRQRSPAQNRIQPAPAQNRIQPAPAQPLVQHPGSGSQASSPPKTALSLNSYEAGEAETAVESSKSRTALVGKGKGIIQGNGRGSFLYGGAEVVGATRNMGVGHGDQNLPGTPTFLPVMQFGSQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGATYCSPYLTVDGAYHGRPSRQASCLGSSSEESSSNVPDNEWKPTQRPELASDEFGQRQKPRRYSEMDFKQPSTST >Potri.001G178900.8.v4.1 pep chromosome:Pop_tri_v4:1:15605063:15610884:1 gene:Potri.001G178900.v4.1 transcript:Potri.001G178900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178900.v4.1 MARVGEAEIEYESDPEEEKRLLGRRRREAASDDEEGEGEEKPIIDRRSPIHSDESDDQVGAADYDDDEEEEEDALNGEEDGDDDEEVYDDEVEEEEVYEEEEGEKGNEKGVGGNGNVGVEVREKEIEGRKVEEMGEEKVEEGEEGKKENEPFAVPTAGAFYMHDDRFRDSAGGRHRRTLGRRKLWESKDNKKWGHDKFEEMTLQERHYEQGRRNAKGNFHARGGKNRGPEQGYARRNRSQSFSDGNNQNQAPKAVRGRGPRKGESTFKSRRETPPVQSKQPGKPLEKHSHGSSGRVLISASNTESDQVPTARKNSNLSSASPPFYPSGSSSKDITLGQKRDVHGASTSKNHRTPVIDENFPMQQTSALVRGKNIADSVAIDKLYIDDSITPAGKPLNNMQTPPSVSSVVSTIQSLQSRAQGRGVAMSSQRSYQGSPQHNLTNRTSPTAPLHSRQRSPAQNRIQPAPAQNRIQPAPAQPLVQHPGSGSQASSPPKTALSLNSYEAGEAETAVESSKSRTALVGKGKGIIQGNGRGSFLYGGAEVVGATRNMGVGHGDQNLPGTPTFLPVMQFGSQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGATYCSPYLTVDGAYHGRPSSEESSSNVPDNEWKPTQRPELASDEFGQRQKPRRYSEMDFKQPSTST >Potri.001G178900.4.v4.1 pep chromosome:Pop_tri_v4:1:15604967:15610879:1 gene:Potri.001G178900.v4.1 transcript:Potri.001G178900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178900.v4.1 MARVGEAEIEYESDPEEEKRLLGRRRREAASDDEEGEGEEKPIIDRRSPIHSDESDDQVGAADYDDDEEEEEDALNGEEDGDDDEEVYDDEVEEEEVYEEEEGEKGNEKGVGGNGNVGVEVREKEIEGRKVEEMGEEKVEEGEEGKKENEPFAVPTAGAFYMHDDRFRDSAGGRHRRTLGRRKLWESKDNKKWGHDKFEEMTLQERHYEQGRRNAKGNFHARGGKNRGPEQGYARRNRSQSFSDGNNQNQAPKAVRGRGPRKGESTFKSRRETPPVQSKQPGKPLEKHSHGSSGRVLISASNTESDQVPTARKNSNLSSASPPFYPSGSSSKDITLGQKRDVHGASTSKNHRTPVIDENFPMQQTSALVRGKNIADSVAIDKLYIDDSITPAGKPLNNMQTPPSVSSVVSTIQSLQSRAQGRGVAMSSQRSYQGSPQHNLTNRTSPTAPLHSRQRSPAQNRIQPAPAQNRIQPAPAQPLVQHPGSGSQASSPPKTALSLNSYEAGEAETAVESSKSRTALVGKGKGIIQGNGRGSFLYGGAEVVGATRNMGVGHGDQNLPGTPTFLPVMQFGSQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGATYCSPYLTVDGAYHGRPSRQASCLGSSSEESSSNVPDNEWKPTQRPELASDEFGQRQKPRRYSEMDFKQPSTST >Potri.001G178900.7.v4.1 pep chromosome:Pop_tri_v4:1:15605063:15610890:1 gene:Potri.001G178900.v4.1 transcript:Potri.001G178900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178900.v4.1 MARVGEAEIEYESDPEEEKRLLGRRRREAASDDEEGEGEEKPIIDRRSPIHSDESDDQVGAADYDDDEEEEEDALNGEEDGDDDEEVYDDEVEEEEVYEEEEGEKGNEKGVGGNGNVGVEVREKEIEGRKVEEMGEEKVEEGEEGKKENEPFAVPTAGAFYMHDDRFRDSAGGRHRRTLGRRKLWESKDNKKWGHDKFEEMTLQERHYEQGRRNAKGNFHARGGKNRGPEQGYARRNRSQSFSDGNNQNQAPKAVRGRGPRKGESTFKSRRETPPVQSKQPGKPLEKHSHGSSGRVLISASNTESDQVPTARKNSNLSSASPPFYPSGSSSKDITLGQKRDVHGASTSKNHRTPVIDENFPMQQTSALVRGKNIADSVAIDKLYIDDSITPAGKPLNNMQTPPSVSSVVSTIQSLQSRAQGRGVAMSSQRSYQGSPQHNLTNRTSPTAPLHSRQRTPAQNRIQPAPAQPLVQHPGSGSQASSPPKTALSLNSYEAGEAETAVESSKSRTALVGKGKGIIQGNGRGSFLYGGAEVVGATRNMGVGHGDQNLPGTPTFLPVMQFGSQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGATYCSPYLTVDGAYHGRPSRQASCLGSSSEESSSNVPDNEWKPTQRPELASDEFGQRQKPRRYSEMDFKQPSTST >Potri.001G178900.5.v4.1 pep chromosome:Pop_tri_v4:1:15605212:15610878:1 gene:Potri.001G178900.v4.1 transcript:Potri.001G178900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178900.v4.1 MARVGEAEIEYESDPEEEKRLLGRRRREAASDDEEGEGEEKPIIDRRSPIHSDESDDQVGAADYDDDEEEEEDALNGEEDGDDDEEVYDDEVEEEEVYEEEEGEKGNEKGVGGNGNVGVEVREKEIEGRKVEEMGEEKVEEGEEGKKENEPFAVPTAGAFYMHDDRFRDSAGGRHRRTLGRRKLWESKDNKKWGHDKFEEMTLQERHYEQGRRNAKGNFHARGGKNRGPEQGYARRNRSQSFSDGNNQNQAPKAVRGRGPRKGESTFKSRRETPPVQSKQPGKPLEKHSHGSSGRVLISASNTESDQVPTARKNSNLSSASPPFYPSGSSSKDITLGQKRDVHGASTSKNHRTPVIDENFPMQQTSALVRGKNIADSVAIDKLYIDDSITPAGKPLNNMQTPPSVSSVVSTIQSLQSRAQGRGVAMSSQRSYQGSPQHNLTNRTSPTAPLHSRQRSPAQNRIQPAPAQNRIQPAPAQPLVQHPGSGSQASSPPKTALSLNSYEAGEAETAVESSKSRTALVGKGKGIIQGNGRGSFLYGGAEVVGATRNMGVGHGDQNLPGTPTFLPVMQFGSQHPGGIGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLTGAAGALGATYCSPYLTVDGAYHGRPSRQASCLGSSSEESSSNVPDNEWKPTQRPELASDEFGQRQKPRRYSEMDFKQPSTST >Potri.009G148200.1.v4.1 pep chromosome:Pop_tri_v4:9:11694506:11695881:1 gene:Potri.009G148200.v4.1 transcript:Potri.009G148200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148200.v4.1 MHTSKKLNFFYPLLLTLINFFFTSSLCQHTASTSFCGKIQIQTPFWSPNSTAKSPLNRMILCRSQKLYFRTSLGLFPVSSINYASKTLTISHSSCSSSRHYISPSLLSAGFPTPPQPNSLLLLNCLNSNSPMPSSMLNCSHLNPCAASAKTQRQKLEAPHSCSLVDLENLDKAFHPKDLNCSHYSQVYRRSLDDEDYKGYELGTRISFDIPDHVPDICNECQKSNGNCGVGLKCICHPQDCRDKVISMAGSTKPVGNVLLSVLSFFVVLVFFFHC >Potri.008G073700.1.v4.1 pep chromosome:Pop_tri_v4:8:4572961:4575876:-1 gene:Potri.008G073700.v4.1 transcript:Potri.008G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073700.v4.1 MEVINRIFANRHCSFFLLLLLASAMSLAIAKTHHHDFTVQATKVKRLCKTHNSITVNGMFPGPTLEVKNGDTLVVKVVNRARYNVTIHWHGIRQMRTGWADGPEFVTQCPIRPGGSYTYRFTIEGQEGTLWWHAHSSWLRATVYGALIIHPREGSSYPFSKPKRETPILLGEWWDTNPIDVVREATRTGAAPNISDAYTINGQPGDLFNCSSKDTTIVPIDSGETNLLRVINAALNQPLFFTIANHKFTVVGADASYLKPFTTSVIMLGPGQTTDVLISGDQLPGRYYMAARAYQSAQNAPFDNTTTTAILEYKSVLCPAKCTKKPFMPPLPAYNDTATVTAFSRSFRSPRKVEVPTDIDENLFFTIGLGLNNCPKNFRARRCQGPNGTRFTASMNNVSFVFPSKASLLQAYKQKIPGVFTTDFPAKPQVKFDYTGNVSRSLFQPARGTKLYKLKYGSRVQIVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFNPKTDKSKFNLVDPPMRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEEGIGILQSVEPPPADLPIC >Potri.008G073700.2.v4.1 pep chromosome:Pop_tri_v4:8:4573043:4575873:-1 gene:Potri.008G073700.v4.1 transcript:Potri.008G073700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073700.v4.1 MFPGPTLEVKNGDTLVVKVVNRARYNVTIHWHGIRQMRTGWADGPEFVTQCPIRPGGSYTYRFTIEGQEGTLWWHAHSSWLRATVYGALIIHPREGSSYPFSKPKRETPILLGEWWDTNPIDVVREATRTGAAPNISDAYTINGQPGDLFNCSSKDTTIVPIDSGETNLLRVINAALNQPLFFTIANHKFTVVGADASYLKPFTTSVIMLGPGQTTDVLISGDQLPGRYYMAARAYQSAQNAPFDNTTTTAILEYKSVLCPAKCTKKPFMPPLPAYNDTATVTAFSRSFRSPRKVEVPTDIDENLFFTIGLGLNNCPKNFRARRCQGPNGTRFTASMNNVSFVFPSKASLLQAYKQKIPGVFTTDFPAKPQVKFDYTGNVSRSLFQPARGTKLYKLKYGSRVQIVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFNPKTDKSKFNLVDPPMRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEEGIGILQSVEPPPADLPIC >Potri.019G070200.2.v4.1 pep chromosome:Pop_tri_v4:19:11069332:11070886:1 gene:Potri.019G070200.v4.1 transcript:Potri.019G070200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070200.v4.1 SVTCEAGLSTSGFIQTRNAQLVLNGSQFLFTPPSQRYKVSNVFHEATAASLTVSLQISPGVYNEHVFQGLDFVIFEARKYIICFILTLSNNYHDFGGRPQYVNWARAAGVPINKDDDFYTNAVVKGYCKNHVKVYILSTKSNIVYMDEPTIMAWELINEPHCHVDYSGKTVNGWVQEMAPFVKSINTKHLLSVGMKGFYGDSIPNRKHRFYYLRRHVAKIINMVLFYQIYRVSGQNDNAQMEFMQRWMSSHWTDSKTILKKPLVFAEFGKSNKDPGYTTSVRDSFLNTVHTSIYNSARNGGTIGGGFVWQILAEGMDSYYDGYEIVLSQNPSTSSVIAQQSNKMMTFEHIEKANLK >Potri.007G034300.1.v4.1 pep chromosome:Pop_tri_v4:7:2680083:2680968:-1 gene:Potri.007G034300.v4.1 transcript:Potri.007G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034300.v4.1 MASTFSPLPLRTLSLHRMRNAHPTICEALTSPKPAPISFPGRRHLLFMLASAPALALREPSSLAQDIPLFGLRKKLKRAEEEAEELVKEGFETAEKGLETAGKGIVTVERGIETAEKEIVTAEKEIEEAVSFGGLAQAGAVAGAEAVGVLVATAIVNGILGPEGSKS >Potri.010G065600.2.v4.1 pep chromosome:Pop_tri_v4:10:9419676:9422553:-1 gene:Potri.010G065600.v4.1 transcript:Potri.010G065600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065600.v4.1 MAASAAAAAGSSFSPSSIHTFKRMHIKHCPLSHSLLKVSPARIMLASLYRSDYAIEPLSQVAVTWRVPRVSASVAQEEAPATASAVEEEELASEEAKGETNEIPVNTKLYFGNLPYNVDSAQLAGIIQEYGSPEMVEVLYHRETGRSRGFAFVTMSSIEDCNAVIENLDESQYMGRILRVNFSDNPKPKEPLYPETEYKLFVGNLSWSATSESLTQAFQEYGNVVGARVLYDGETGKSRGYGFVCYSTKAEMQTALVSLDGVELEGRALRVSLAEGRKS >Potri.001G330400.1.v4.1 pep chromosome:Pop_tri_v4:1:33905608:33908262:-1 gene:Potri.001G330400.v4.1 transcript:Potri.001G330400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330400.v4.1 MRNSVNRPPTPDDTAEEEEKQPTLHETINIKLIESGEKERLTELLRERLIECGWKDEMKALCRAFIKKKGRNNVTVDDLVHVITPKGRVSIPDSVKAELLQRIRSFLVQAAV >Potri.001G029300.7.v4.1 pep chromosome:Pop_tri_v4:1:2178767:2184001:-1 gene:Potri.001G029300.v4.1 transcript:Potri.001G029300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029300.v4.1 MWDLPIDNILLALRLSYRYLPSHLKQCFAYSAIFPKGYEFQKEELLFLWMAEGFINQPKGNMEMEDLGEEYFHDLVSRSFFQQSSGYTSSFVMHDLINDLAKFVSGEFCCRLEDDNSSKISKKARHLSFARIHGDGTMILKGACEAHFLRTLLLFNRSHWQQGRHVGNGAMNNLFLTFRCLRALSLSLDHDVVGLPNSIGNLKHLRYLNLSATSIVRLPDSVSTLYNLQTLILHECKDLIELPTSMMKLINLCHLDITKTKLQAMPSQLSKLTKLLKLTDFFLGKQSGSSINELGKLQHLRGTLRIWNLQNVMDAQNAIKANLKGKQLLKELELTWKGDTNDSLHERLVLEQLQPHMNIECLSIVGYMGTRFPDWIGDSSFSNIVSLKLIGCKYCSSLPPLGQLVSLKDLLIKEFGEIMVVGPEFYGSCTSMKKPFGSLEILTFEGMSKWHEWFFYSEDDEGGAFPRLQKLYINCCPHLTKVLPNCQLPCLTTLEIRKCPQLVSLLPRIPSFLIVEVEDDSREVLLEKLSSGQHSLKLDRLKSLDSLLKGCLSTTEKILVRNCDSLESFPLDQCPQLKQVRIHGCPNLQSLSSHEVARGDVTSLYSLDIRDCPHLVSFPEGGLAAPNMTVLRLRNCSKMKSLPEYMDSLLPSLVEISLRRCPELESFPKGGLPCKLESLEVYACKKLINACSEWNLQKLHSLSRLTIGMCKEVESFPESLRLPPSLCSLKISELQNLKSLDYRELQHLTSLRELMIDGCPKLQSLPEGLPATLTSFKIWALQNLESLGHKGFQHLTALRELEIESCPMLQSMPEEPLPPSLSSLYIRECPLLESRCQREKGEDWHKIQHVPNIHIYATC >Potri.001G029300.5.v4.1 pep chromosome:Pop_tri_v4:1:2179404:2185722:-1 gene:Potri.001G029300.v4.1 transcript:Potri.001G029300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029300.v4.1 MAAALVGGSILSAFLQVLFDRMASREVLDFFKERKLNERLLKKLKIMMISVNGVLDDAEEKQVTKPAVKEWLDELKDAVYEADDLLDEIAYEALRLEVEAGSQITANQALRTLSSSKREKEEMEEKLGEILDRLEYLVQQKDALGLREGMREKASLQKTPTTSLVDDIDVCGRDHDKEAILKLLLSDVSNGKNLDVIPIVGMGGIGKTTLAQLVYNDRGVQESFDLKAWVCVSENFDVFKITNDVLEEFGSVIDDARTPNQLQLKLRERLMGQKFLLVLDDVWNNSYADWDILMRPLKSAGQGSKIIVTTRNESVASVMRTVATYRLKELTNDDCWFLFAKHAFDDGNSSLHPDLQVIGREIVRKCKGLPLAAKTLGGLLRSKRDAKEWMKILRSDMWDLPIDNILLALRLSYRYLPSHLKQCFAYSAIFPKGYEFQKEELLFLWMAEGFINQPKGNMEMEDLGEEYFHDLVSRSFFQQSSGYTSSFVMHDLINDLAKFVSGEFCCRLEDDNSSKISKKARHLSFARIHGDGTMILKGACEAHFLRTLLLFNRSHWQQGRHVGNGAMNNLFLTFRCLRALSLSLDHDVVGLPNSIGNLKHLRYLNLSATSIVRLPDSVSTLYNLQTLILHECKDLIELPTSMMKLINLCHLDITKTKLQAMPSQLSKLTKLLKLTDFFLGKQSGSSINELGKLQHLRGTLRIWNLQNVMDAQNAIKANLKGKQLLKELELTWKGDTNDSLHERLVLEQLQPHMNIECLSIVGYMGTRFPDWIGDSSFSNIVSLKLIGCKYCSSLPPLGQLVSLKDLLIKEFGEIMVVGPEFYGSCTSMKKPFGSLEILTFEGMSKWHEWFFYSEDDEGGAFPRLQKLYINCCPHLTKVLPNCQLPCLTTLEIRKCPQLVSLLPRIPSFLIVEVEDDSREVLLEKLSSGQHSLKLDRLKSLDSLLKGCLSTTEKILVRNCDSLESFPLDQCPQLKQVRIHGCPNLQSLSSHEVARGDVTSLYSLDIRDCPHLVSFPEGGLAAPNMTVLRLRNCSKMKSLPEYMDSLLPSLVEISLRRCPELESFPKGGLPCKLESLEVYACKKLINACSEWNLQKLHSLSRLTIGMCKEVESFPESLRLPPSLCSLKISELQNLKSLDYRELQHLTSLRELMIDGCPKLQSLPEGLPATLTSFKIWALQNLESLGHKGFQHLTALRELEIESCPMLQSMPEEPLPPSLSSLYIRECPLLESRCQREKGEDWHKIQHVPNIHIYATC >Potri.001G029300.6.v4.1 pep chromosome:Pop_tri_v4:1:2179339:2184998:-1 gene:Potri.001G029300.v4.1 transcript:Potri.001G029300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029300.v4.1 MEEKLGEILDRLEYLVQQKDALGLREGMREKASLQKTPTTSLVDDIDVCGRDHDKEAILKLLLSDVSNGKNLDVIPIVGMGGIGKTTLAQLVYNDRGVQESFDLKAWVCVSENFDVFKITNDVLEEFGSVIDDARTPNQLQLKLRERLMGQKFLLVLDDVWNNSYADWDILMRPLKSAGQGSKIIVTTRNESVASVMRTVATYRLKELTNDDCWFLFAKHAFDDGNSSLHPDLQVIGREIVRKCKGLPLAAKTLGGLLRSKRDAKEWMKILRSDMWDLPIDNILLALRLSYRYLPSHLKQCFAYSAIFPKGYEFQKEELLFLWMAEGFINQPKGNMEMEDLGEEYFHDLVSRSFFQQSSGYTSSFVMHDLINDLAKFVSGEFCCRLEDDNSSKISKKARHLSFARIHGDGTMILKGACEAHFLRTLLLFNRSHWQQGRHVGNGAMNNLFLTFRCLRALSLSLDHDVVGLPNSIGNLKHLRYLNLSATSIVRLPDSVSTLYNLQTLILHECKDLIELPTSMMKLINLCHLDITKTKLQAMPSQLSKLTKLLKLTDFFLGKQSGSSINELGKLQHLRGTLRIWNLQNVMDAQNAIKANLKGKQLLKELELTWKGDTNDSLHERLVLEQLQPHMNIECLSIVGYMGTRFPDWIGDSSFSNIVSLKLIGCKYCSSLPPLGQLVSLKDLLIKEFGEIMVVGPEFYGSCTSMKKPFGSLEILTFEGMSKWHEWFFYSEDDEGGAFPRLQKLYINCCPHLTKVLPNCQLPCLTTLEIRKCPQLVSLLPRIPSFLIVEVEDDSREVLLEKLSSGQHSLKLDRLKSLDSLLKGCLSTTEKILVRNCDSLESFPLDQCPQLKQVRIHGCPNLQSLSSHEVARGDVTSLYSLDIRDCPHLVSFPEGGLAAPNMTVLRLRNCSKMKSLPEYMDSLLPSLVEISLRRCPELESFPKGGLPCKLESLEVYACKKLINACSEWNLQKLHSLSRLTIGMCKEVESFPESLRLPPSLCSLKISELQNLKSLDYRELQHLTSLRELMIDGCPKLQSLPEGLPATLTSFKIWALQNLESLGHKGFQHLTALRELEIESCPMLQSMPEEPLPPSLSSLYIRECPLLESRCQREKGEDWHKIQHVPNIHIYATC >Potri.001G369200.1.v4.1 pep chromosome:Pop_tri_v4:1:38683064:38689689:1 gene:Potri.001G369200.v4.1 transcript:Potri.001G369200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369200.v4.1 MGAKECQNTTGSPKVEVGEIDTRAPFQSVKDAVTLFGEGAFSGEKPAIRKAKPHSAERVLAKETQLHLAQKEMNKLKDQVRNAETTKAQALVELEKAKRTVEDLTDKLKTVTESKESAIRETEAAKNQAKQIEETSNIDLPGSDGARKQDLESTREQYMTVFTELDATKQELRKIRQEYDTSLEAKLAAFNQAAAAEHAAKANVEKVSELSKEISALQESIGQAKLVALEAHQEQAKIFAEKDVLRQSYKATLEASANKLLVLKNEFDPELARNLEKQLAETMNEIGALQKQMENAKASDLDSVKTVTSELDGAKEFLQKVSEEENSLRSLLESLKLELENVKKEHSQLKEKEAETESIAGNLHVKLRKSKTELEQALVEESKAKGASEEMISTLHQLSSEAESARKEAEEMKSKAEELKNIAEATRIALEEAEKKLRVALEEVEEAKTAETRALDQIKALSERTNAARASTSESGAKITISREECEALSRKVEESDTLAEMKVAAAVAQIEAVKASENEALKRLEAAQKDIEDMRAATEEASKRAEMAEAAKRAVEGELRRWREREQKKAADTASRILAETQMASESSPHHYRNQKQNPAIQTVIEVRKLDKEKFSLSKKALLPNLSGIFYRKKNQIEGGSPSYLPGEKPV >Potri.011G165700.1.v4.1 pep chromosome:Pop_tri_v4:11:19006591:19011936:1 gene:Potri.011G165700.v4.1 transcript:Potri.011G165700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165700.v4.1 MAESAVSLVIDKLAPLLAQGVQLLKGVYNEVVDIKDDLEAIRAFLKDANSKAEKEGVSESVKVWVKQASEVAYQIEDVIDEYNMLHVAQHRDRLVFAGFLTKVSSLVRKLPLQHKIASEIHDVRRTLQRIKDRSEGFRFASSEQGGSNNIVLHDPRSGSLFIEDSELVGIESTKDELISLLVSGECQRTVIAVVGMGGVGKTTLAKKVYDSYVVKQHFQYHAWITVSQSYDRVELLRSTLKKLYEAKKEPFPEAIVTMDDLSLIDELRKYLQQERYLVVFDDVWEIRFWGDVEHALVDNNKGSKILATTRNEDVANFCRRSSLVHVYQMKSLPQREAWELFCKKAFKFDFEGNCPKDLEELSQDIVRRCGGLPLAIVAVGGLLATKERVIPEWQKLVNSLDSTMASDPHVENVTKILSLSFHDLPYDLKACFLYFGMLPEDFSIKRTRIIRLWVAQGFVQEKRGLTLEEAAEECLNGLIRRSLVQVDEASMKGIPTTCRVHDLVRDVILSRSEELSFGHVSWNSSALEGIARHMSISKGGSDNPKGSTRSQTRSVMVFCGAKLKKPIIDAIFEKYKLLTTLDFEKCPIDEIPKELGNLLHLKYLSLRDTLVSNLPKSIGKLQNLEFLDLSDSLVKRLPVEVNRFPKLRYLLGDPKEGRGFFIRGSLGQLELLQTLYVINVGDDHEWELINEIGMLKRLRKLGIMNLKKENGRDLCIALENMPHLRSLWVASENSGILDLQAMSSPPLHLQSLILWGKLERLPEWISRLHYLAKLRLTVTMLMDGDSIKVLQALPNLRFLRFLRGYNGQRMHFEGGGFQKLKSLRLAGLTKLNTMIIDQGAIPLLEKLEIGFCQSLKEVPSGIQHLKNIKQLSLAKMSDEFNERLSPNNGQDYWIVKHVPVLQYDGTYDPDDESSYGAWVKLWFG >Potri.018G084500.3.v4.1 pep chromosome:Pop_tri_v4:18:10230413:10235070:1 gene:Potri.018G084500.v4.1 transcript:Potri.018G084500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084500.v4.1 MERIIGGKYKLGRKIGSGSFGEIYLATHIDTFEIVAIKIENSKTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYMHYCHSLTFDQRPDYGFLKRLFRDLFSHGGIDEENVGIAIY >Potri.018G084500.2.v4.1 pep chromosome:Pop_tri_v4:18:10230410:10237251:1 gene:Potri.018G084500.v4.1 transcript:Potri.018G084500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084500.v4.1 MERIIGGKYKLGRKIGSGSFGEIYLATHIDTFEIVAIKIENSKTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYMHYCHSLTFDQRPDYGFLKRLFRDLFSHGGYEFDYVFDWTIIKYQQAQKNRSQPRSSPNPACSSHAVQTDMENHQGSHNACYSSEIMRSTGPGIRMQSKSGLGKNLISDIHADKNIVSQHIPSTSFQVGGTSKRHASKPVLPNESANPAHGHNNKIGPSSSWISSLQRIHSAK >Potri.018G084500.1.v4.1 pep chromosome:Pop_tri_v4:18:10230410:10237903:1 gene:Potri.018G084500.v4.1 transcript:Potri.018G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084500.v4.1 MERIIGGKYKLGRKIGSGSFGEIYLATHIDTFEIVAIKIENSKTKHPQLLYEAKLYNILQGGSGIPSIKWSGVDGEDNALVLDLLGPSLEDLFVYCGRKFSLKTVLMLADQMITRIEYVHSKGFLHRDIKPDNFLMGLGRKANQVYIIDFGLAKRYRDATTNRHIPYRENKNLTGTARYASCNTHLGIEQSRRDDLESLGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYMHYCHSLTFDQRPDYGFLKRLFRDLFSHGGYEFDYVFDWTIIKYQQAQKNRSQPRSSPNPACSSHAVQTDMENHQGSHNACYSSEIMRSTGPGIRMQSKSGLGKNLISDIHADKNIVSQHIPSTSFQVGGTSKRHASKPVLPNESANPAHGHNNKIGPSSSWISSLQRIHSAK >Potri.005G235900.2.v4.1 pep chromosome:Pop_tri_v4:5:23389819:23393111:1 gene:Potri.005G235900.v4.1 transcript:Potri.005G235900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235900.v4.1 MDDGVHRENGRHKADQYKTAQGQWLMQPQPSMKQIMAIMAERDAAIHERNMALSEKKAAVAERDMAFLQRDSAIAERNNALLERDNAIATLQYRENSFASANTSSSPPGYHNSRGVKHMHHQQQHIHLPHMNEGAYGTREMQTSDAVPISPVASEAAKPRRGKRPKDTQSTPSNKKTSKSPMKVKRESEDLNNMFGKSNEWKNGEDMNGGGDGLNKQLAASKSDWKGQDLGLNQVAFDETTMPAPVCSCTGFFRQCYKWGNGGWQSSCCTTALSMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGQDLSNPVDLKDHWAKHGTNRYITIK >Potri.005G235900.6.v4.1 pep chromosome:Pop_tri_v4:5:23389819:23393111:1 gene:Potri.005G235900.v4.1 transcript:Potri.005G235900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235900.v4.1 MDDGVHRENGRHKADQYKTAQGQWLMQPQPSMKQIMAIMAERDAAIHERNMALSEKKAAVAERDMAFLQRDSAIAERNNALLERDNAIATLQYRENSFASANTSSSPPGYHNSRGVKHMHHQQQHIHLPHMNEGAYGTREMQTSDAVPISPVASEAAKPRRGKRPKDTQSTPSNKKTSKSPMKVKRESEDLNNMFGKSNEWKNGEDMNGGGDGLNKQLAASKSDWKGQDLGLNQVAFDETTMPAPVCSCTGFFRQCYKWGNGGWQSSCCTTALSMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGQDLSNPVDLKDHWAKHGTNRYITIK >Potri.001G063700.1.v4.1 pep chromosome:Pop_tri_v4:1:5983724:5988791:-1 gene:Potri.001G063700.v4.1 transcript:Potri.001G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063700.v4.1 MGLFLHMLVLAIMMVSLQGWVPLGCLEEERIALLHLKDSLNYPNGTSLPSWIKDDAQCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKESLSSVQYLLLDGNNINKLVASRGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILELQNLSSLKKLYLNGCSLDENSIQILGALSSLKYLSLYEVSGIVSSRGFLNILKNLEHLYSSDSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLKNLYLENCSLSGPFLLPKNSHMNLSILSISMNYLQGQIPSEIGAHLPRLTVLSMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPKHLTTSLCLFNFLILSNNSLQGAIPDSMSNCSSLQLLDVSNNNLSPRIPGWIWSMSFLDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGDILSCMTSLAPFSALTDATIVETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLLVIVAVLYINPYWRRAWFHFTEVSINNCYYFVVDNLPILSKFRFS >Potri.002G224300.5.v4.1 pep chromosome:Pop_tri_v4:2:21240229:21247373:-1 gene:Potri.002G224300.v4.1 transcript:Potri.002G224300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224300.v4.1 MVNKSWKIIPRPLLETILNNHAQHHRVPQPLILHGPRGVGKTTLFLERLLKDWNKGPHITGYVDFAQSIKDHHPQHNNSYPWASWSSCDPPTLSNCKTQLETCLESMTQKGIQLGAITSSQIFSTLNKWHRLDTVLRRILLQNNSNIALKSRNAISKVSSSVLWDRAVFALSARSNAKEIDEILGLEEKGKSLSVEEASYFREAFVALRLAKEVITMQQGWRASAIAHLNRTGGFSRSLANSCTDWPCLLLELLSKAAEIDYFQPKLVINNIEVLKKAILVDDSMVSGPMYHDSLIWRIVALGANERCLPIMLATSDSYYSYQAYWDFGFPDIFISRENFGWAPQEAKMHMVNDYFSQSEWTVIIEVLGPNPRHLFELYALKQSSYYQKVLEDKASTFEDIVDAYLAYLQVTVVNPAMDKALEFLQKFANDAKSGKIPKDRLRFGSPWKHPSKLDDPTQCQQWAKLQLLDFVQSLINTEFGVNYLGDCSFEIFDDPCTVALLEVGLLYAQRDPSFIRPISIGIQRCLVRWLVQERMKMSLQNLLQFLWQRIIRGRSYRHLMLQVGYK >Potri.008G175050.1.v4.1 pep chromosome:Pop_tri_v4:8:12133241:12134269:-1 gene:Potri.008G175050.v4.1 transcript:Potri.008G175050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175050.v4.1 MMSFFTYVGEQQEKAINDNLQTLRIIEEHGLGEQKFLSGDRIGLVDIALGWIIHTLAAMEEIVGVKFVQADTFPLLHAWMKNFREIPVIKDNLPSHDQILDYFKGRREMFVKSPHACHHHH >Potri.019G079150.1.v4.1 pep chromosome:Pop_tri_v4:19:11977599:11984099:1 gene:Potri.019G079150.v4.1 transcript:Potri.019G079150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079150.v4.1 MWRLKIAEKGSNPYIFSTNDFVGRQIWEYDPNAATPEEREQVEEARRNFTKNRSKVKPSSDLLWQYQILREKNFKQTIPAVRVEDGEEVTYEKTTAALRRSANFFSALQASDGHWPAENSGVLFFLPPFVFCFYITGHLNTMFPPEYRKEIFRYIYNHQNEDGGWGLHIESHSNMFCTTFSYICLRMLGVGPDEEACARGRKWILDRGGVTSIPSWGKTWLSILGLFDWLGCNPMPPEFWILPSTLPIHPAKMWCYSRLVYMPMSYLYGKRFVGPITPLILSLREELYLQPYESVKWKHVRHLCAKEDLYYPHTLIQDFLWDSLYLMSEPLLTRWPFNQLIRKKALEVTMKHIHYED >Potri.011G027600.2.v4.1 pep chromosome:Pop_tri_v4:11:2055700:2058950:1 gene:Potri.011G027600.v4.1 transcript:Potri.011G027600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027600.v4.1 MSYLASNASVSNQYHAYAGNDPDIVYAQYMCYNYIENCSACIYAASQDIMQLCPNNRNATVWEELCQLRFSNKNFIGQLDISGNIIVANTETIENPGQYISVVNENFSNLTKKAAFDPTQNMYATGKLALSDIDTLYTLGQCTTDLSSHDCSTCLQVAIQNISSCCYIGRGQRLLSRSCYFRYELYPFYEELRKSSASTFAEGNKVSSEELPWMMDLSVIRAATDNFSVSNKLGQGGFGSVYKGILSDGSEVAVKRLSRSSEQGVKEFKTEVLLIMKLQHKNLVRLLGFCVEGEEKLLIYEFMPNSSLDVFLFDPTKRAELDWSSRIDIINGIAKGMLYLHEDSRLRIIHRDLKASNVLLDNEMNPKISDFGMARIFSSNEDEANTARIVGTYGYMAPEYAMEGLYSTKSDVFSFGVLLLEIISGRKKAGYHQSKCAPSLLAYAWQLWNEGNKAELIDSMLSDACNADEFSRYMHIGLLCVQEDASGRPTMSSVVLMLKSQNSFLPQPERPAFVGRFMDNLEATASNFSVNEMTLSDVGPR >Potri.001G311600.1.v4.1 pep chromosome:Pop_tri_v4:1:32221045:32224976:1 gene:Potri.001G311600.v4.1 transcript:Potri.001G311600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G311600.v4.1 MDGDDVDMAAAETNESVPELDDMKKRLKEMEDEAAALLEMQAKVEKEMGSVQDPSASAAASQANREEVDSRSVFVGNVDYSCTPEEVQQHFQACGTINRITIRSDKYGQPKGYAYVEFLEPETVQEALLLNESELHGRQLKVTVKRTNLPGMKQFRARRPNPYMGFPPRGAPMPPYLFSPYGYGKVLRYRMPMRYSPYG >Potri.001G311600.3.v4.1 pep chromosome:Pop_tri_v4:1:32221069:32224985:1 gene:Potri.001G311600.v4.1 transcript:Potri.001G311600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G311600.v4.1 MDGDDVDMAAAETNESELDDMKKRLKEMEDEAAALLEMQAKVEKEMGSVQDPSASAAASQANREEVDSRSVFVGNVDYSCTPEEVQQHFQACGTINRITIRSDKYGQPKGYAYVEFLEPETVQEALLLNESELHGRQLKVTVKRTNLPGMKQFRARRPNPYMGFPPRGAPMPPYLFSPYGYGKVLRYRMPMRYSPYG >Potri.006G131800.4.v4.1 pep chromosome:Pop_tri_v4:6:10749992:10753799:-1 gene:Potri.006G131800.v4.1 transcript:Potri.006G131800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131800.v4.1 MSANLDSIDLNSDVLSGEPENDVKGFNNVSEVRTKVGLSSDAEALIDSGKNGEGQKQEAVIDQVKDFKGDYDLKEVAVGGIGREGKMDSADKSVDLEGENGSGSGVDDGSAQEVEVAETTVAHSRKVEGESSFKIEEEVKEGDCGVASSSSVGEDDIQVKSADVKVKVDNANDLSPHKEPGNVSPKISSEGVESQVMEIDDERGKDSESENEDAAAFDEGVLQENENLESNESNLVVDVVADGNATGDVNTKMASKEAGLSVGDLVWGKVRSHPWWPGQVFGRSDASKKAKKYFKKNSYLIAYFGDQTFAWNEVSKIKPFRCNFSLLEKQSNLEDFHDAVHCALDEVSRRVEFGLACPCMPGYSKIKTQIIVNPGIREESCRRDGGDSFSNAACFEPPKLIEYVKELGQLLLGGINILEFVTARSQLLVFNRWKGYSHLPEFQILGELLESDAEIPQSAEVKHGSEMVENTATKVKDESVSSGKEKPKSADHSSRKRKHISGDKEHPSKKEKSLADLIAERRSSAAKAKCSLDGEATGKTTTSSSGKKRKAVKSISDDSMMKQSKSPSSSGVDNGSSQPKKTYRVGESILRVASQLNGSTPILKSVNGKSVNTTSRKNAKKTKSQEKSTSGKSKASPDELVSQLCLVARDPMKGCNFLKSVVSFFVKFRNSVCINPLNSQQHVQSSLEHISGGDVGELSTIVETQTTDSEHMKDSHWTDKMTQSNPKGQSSHENKNEAREIPEETPTKDGIPTFRKQSAVQLEPNLECELHIAGGILDLGAGKPIDHLEGKRNDDSSPCPTALILNFTDLDAVPSETNLNRIFSHFGPLKETETQVLKKTKRAKVVFCRSADAETAFSSAGKYSVFGPSLVSYRLKYITSTNCKSSPNATKRSEKDASSTEGIAIDASSSHSLEADPK >Potri.006G131800.5.v4.1 pep chromosome:Pop_tri_v4:6:10751394:10753262:-1 gene:Potri.006G131800.v4.1 transcript:Potri.006G131800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131800.v4.1 MDSADKSVDLEGENGSGSGVDDGSAQEVEVAETTVAHSRKVEGESSFKIEEEVKEGDCGVASSSSVGEDDIQVKSADVKVKVDNANDLSPHKEPGNVSPKISSEGVESQVMEIDDERGKDSESENEDAAAFDEGVLQENENLESNESNLVVDVVADGNATGDVNTKMASKEAGLSVGDLVWGKVRSHPWWPGQVFGRSDASKKAKKYFKKNSYLIAYFGDQTFAWNEVSKIKPFRCNFSLLEKQSNLEDFHDAVHCALDEVSRRVEFGLACPCMPGYSKIKTQIIVNPGIREESCRRDGGDSFSNAACFEPPKLIEYVKELGQLLLGGINILEFVTARSQLLVFNRWKGYSHLPEFQILGELLESDAEIPQSAEVKHGSEMVENTATKVKDESVSSGKEKPKSADHSSRKRKHISGDKEHPSKKEKSLADLIAERRSSAAKAKCSLDGEATGKTTTSSSGKKRKAVKSISDDSMMKQSKSPSSSGVDNGSSQPKKTYRVGESILRVASQLNGSTPILKSVNGKSVNTTSRKNAKKTKSQEKSTSGKSKGKMLFSRMNDSDGE >Potri.006G131800.1.v4.1 pep chromosome:Pop_tri_v4:6:10750086:10753762:-1 gene:Potri.006G131800.v4.1 transcript:Potri.006G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131800.v4.1 MDSADKSVDLEGENGSGSGVDDGSAQEVEVAETTVAHSRKVEGESSFKIEEEVKEGDCGVASSSSVGEDDIQVKSADVKVKVDNANDLSPHKEPGNVSPKISSEGVESQVMEIDDERGKDSESENEDAAAFDEGVLQENENLESNESNLVVDVVADGNATGDVNTKMASKEAGLSVGDLVWGKVRSHPWWPGQVFGRSDASKKAKKYFKKNSYLIAYFGDQTFAWNEVSKIKPFRCNFSLLEKQSNLEDFHDAVHCALDEVSRRVEFGLACPCMPGYSKIKTQIIVNPGIREESCRRDGGDSFSNAACFEPPKLIEYVKELGQLLLGGINILEFVTARSQLLVFNRWKGYSHLPEFQILGELLESDAEIPQSAEVKHGSEMVENTATKVKDESVSSGKEKPKSADHSSRKRKHISGDKEHPSKKEKSLADLIAERRSSAAKAKCSLDGEATGKTTTSSSGKKRKAVKSISDDSMMKQSKSPSSSGVDNGSSQPKKTYRVGESILRVASQLNGSTPILKSVNGKSVNTTSRKNAKKTKSQEKSTSGKSKASPDELVSQLCLVARDPMKGCNFLKSVVSFFVKFRNSVCINPLNSQQHVQSSLEHISGGDVGELSTIVETQTTDSEHMKDSHWTDKMTQSNPKGQSSHENKNEAREIPEETPTKDGIPTFRKQSAVQLEPNLECELHIAGGILDLGAGKPIDHLEGKRNDDSSPCPTALILNFTDLDAVPSETNLNRIFSHFGPLKETETQVLKKTKRAKVVFCRSADAETAFSSAGKYSVFGPSLVSYRLKYITSTNCKSSPNATKRSEKDASSTEGIAIDASSSHSLEADPK >Potri.004G090900.1.v4.1 pep chromosome:Pop_tri_v4:4:7746060:7748437:1 gene:Potri.004G090900.v4.1 transcript:Potri.004G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090900.v4.1 MDSTPVPQHIPFKPSSSSSASKLPIKRKTPDSLHHHHLLSPKLETSSTTTPTTTNPDAISTPTTNSESKPPPFKFHRIWSEPDEISFLQGLLSSSSQGLSFPKDLPFFYDRFSNSMSQPYTKSQLSEKMRRLRKKFRSTSSRLARNGFNYSLLSPHDRSLFDLSKQLWSPEFASSSPFGGNKSTGSNSNSNFDGNVSSFRPDNVKRTKLDEDLVGVKGSFLPVFALPCENVNVSNELNDLESLDLDEFDDGYVVKMREGNVGWEVDKGVSGCGGGCGSVAVKSVAKSVLNVFDECVKEVKKVVLKERLDSSMEGKKEKDFQRRWREQRVAEFDVLALRLRLLLDNSAK >Potri.010G127700.1.v4.1 pep chromosome:Pop_tri_v4:10:14458101:14459970:-1 gene:Potri.010G127700.v4.1 transcript:Potri.010G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127700.v4.1 MYPSISSEFQKEPSHATSSAPPQPHFFNFEDAVATGIPVPLANQNNPDPSPPLPLNNASHSLSSGPWSTSLCGCFSDLNSCCLTCWCPCVAFGRIAEIVDRGSTSCGMSGTLYTLILCLTGCSCLYSCFYRSKLRGQFFLEESPCTDCCVHCFCEECALCQEYRELKNRGFDLSIGWHGNMERQKRLAATAPPTEERMMR >Potri.005G022000.1.v4.1 pep chromosome:Pop_tri_v4:5:1425568:1426998:1 gene:Potri.005G022000.v4.1 transcript:Potri.005G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022000.v4.1 MEGLMDDDQFKILPEGCVSTILSFTSPQDACKSSLVSTIFQSAADSDIVWERFLPTDYQDIVSKSNFPFKFSSKKELFLQLCNSLLIDGGRKSFRIEKSSGKKSFILSARDLHITWSNEPQYWHWASLPESRFSEVAVLRTMCWLEIVGKIETQMLSPNTKYGAYLILKISERSYGLDSMPSEISVEVGNNQGSTTTAYLRLAQEHARKQQMERLVYGNRTERLKSRVAEGDGRVASEREDGWLEIELGEFFSGENDEEVKMSLMEVKGHHLKGGLIIEGIEVRPKH >Potri.011G072891.1.v4.1 pep chromosome:Pop_tri_v4:11:6948600:6951049:-1 gene:Potri.011G072891.v4.1 transcript:Potri.011G072891.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072891.v4.1 MDDYDYQNTRYTVSLQSSTILELYQTARISTISLTYFHYCLQNGNYTVNLHFAEIQFTKSHISTRVAGTMLVTTYNSLGRRIFDIYVQVSSHSNVKLTFFLKLEARTTLSQERLVWKSFNIEDEVGSAEKPDRGVYGPIISAISVFSDLKVCSSGKKKGIVYVVVGAVGASCLVAIILVILWWEGNLPGKLCRKRDVKGLDFPKGTFSLKQIRAATNDICTCSVSS >Potri.011G063050.1.v4.1 pep chromosome:Pop_tri_v4:11:5313330:5315063:-1 gene:Potri.011G063050.v4.1 transcript:Potri.011G063050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063050.v4.1 MCVYISIQYKDSTTFKIKTSYNRMKRANLFTKVNSQLRTCPLIPVNKALKPCFLLSVLITFLLILSDGVEAAAGTNKVTNIGAIIDGNSRTGKEEKTAMEIAVQNFNNISSNHKLSLHFKHPKGDPLQAAYAAKELIKEKKVEVIIGMDKWEEAVLVANIGNQSQVPILSFAAPARTPILTSLRWPFLIRMGQNR >Potri.011G023900.2.v4.1 pep chromosome:Pop_tri_v4:11:1766624:1769759:-1 gene:Potri.011G023900.v4.1 transcript:Potri.011G023900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023900.v4.1 MPPTATLSASSSLNHLFPIPPSSSSSFTSTPSPTSCYFHPYTKKFSSLRFKPNKPNMTRSAVSIEKETPETERPITFLRESEGADVRARFEKMIRDAQDSVCAAIEAVDKGGKFKEDVWSRPGGGGGISRVLQDGAVWEKAGVNVSVVYGVMPPEAYRAAKAAPADQKPGPVPFFAAGISSVLHPKNPFAPTLHFNYRYFETDAPQDVPGAPRQWWFGGGTDLTPAYIFDEDVKHFHSTQKKACDKFDPTFYPRFKKWCDDYFYIKHRGERRGLGGIFFDDLNDYDQEMLLSFATECANSVVPAYIPIIEKRKDTPFTDHHKAWQQLRRGRYVEFNLVYDRGTTFGLKTGGRIESILVSLPLSARWEYDHKPEEGSEEWKLLDACINPKEWI >Potri.001G295500.1.v4.1 pep chromosome:Pop_tri_v4:1:30651314:30652579:1 gene:Potri.001G295500.v4.1 transcript:Potri.001G295500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295500.v4.1 MALEALNSPTTAAPFNYEETWIKRKRSKRPRSESPSTEEEYLALCLIMLARGGSPAPPQPPTLDLSYKCTVCNKAFSSYQALGGHKASHRKSSSESTVATAAENPSTSTTTNTTTTTTNGRTHECSICHKTFLTGQALGGHKRCHYEGTIGGNNSSSASAAITTSDGGAVGGGGVIQSKSQRSGGGFDFDLNLPALPEFEGPRISLQALCGDQEVESPLPGKKPRLMFSLKQEKTDMGSS >Potri.001G125900.2.v4.1 pep chromosome:Pop_tri_v4:1:10342380:10343980:1 gene:Potri.001G125900.v4.1 transcript:Potri.001G125900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125900.v4.1 MEKTRICKICNRRFANGKAMGGHMRSHLAKLPLPPKPIPPQETYNTPKKSPPSSSSLNYPLLSNKPAQSSRSMKQEVSTVSRPNLATPYDGESETESTREPTRRRSKRSRKSADEVAESMVKVTESSEQVSSVSYLLAEEDVALCLLMLSRDNWSEDAKQVKKEVCEYIKDHQHVNEVESDEKEDYLFGVTRAKYKSQGKFKCETCKKGFRSYQALGGHRASHKKIKIHEEHEEGNGSGCGEDNRSVGKRIFKCPFCEKVFDSGQALGGHKKVHFSYLPVTNAKISINLLDLNVPALEGTHDDGEVSEA >Potri.014G093600.2.v4.1 pep chromosome:Pop_tri_v4:14:6074984:6076017:1 gene:Potri.014G093600.v4.1 transcript:Potri.014G093600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093600.v4.1 MLLLISQSYSTHLCLSPTLSSPYKGPVFNLSPPKSSFYLQLTIRHALSLLQQVYTPFFSSLTSKLYIACKFGSFLWFKMRKESGYTRLLVHGYLWVAQDILVRATA >Potri.004G195600.4.v4.1 pep chromosome:Pop_tri_v4:4:20803782:20816109:-1 gene:Potri.004G195600.v4.1 transcript:Potri.004G195600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G195600.v4.1 MSVLNWKHHTLIQALMSRGPLTEDELFNIFADVTGKNPRGNKRELNDYLLKINKELSYVQMEMRCCRNQNDGGVCYGLVNTVPDEQSKLGTKYSVPQIALFKGVIEAIVQDVTAQGSISNIDALNIRLENQVQNGTGSEYQEGPSQIPPALRNFSMSQKEKTLDELVRDNWLCHTPDGAIGLGARSYLDLRSWFNSSGIPSCEVCNEAGVKGKVCQNEGCTARIHHHCLEKKISHSRGDIVCPSCGIQWHRGVAKTEVIGEEGDLNGHIQSQLPAGSKRKRLRADTNIPAAAFGCVSSQGSQSGSSRRITRASARLKEERGVYSWVAVNYVHGTMGSEPHKTTGMVELGGNSLQITFASREAAQVQSSRRIKLAGVAYNLQAQSLPKFGQDTAWESLHEWHSSRDMSSSSVYRDGFVGNPCIPKGYEVAYNISDPKLLLSHGAGNFTACRLEVLALLKSRQEKCLRPPCNIVSPFFMELQSKPVSQNNVFYASEGFFV >Potri.015G086500.1.v4.1 pep chromosome:Pop_tri_v4:15:11066351:11069294:1 gene:Potri.015G086500.v4.1 transcript:Potri.015G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086500.v4.1 MSATAASTLKPLLMAETCICSFPSIFTSKPPLKPLPISHRPIKLQLSYSHSLSTLSVKPKTHLSLTIPFVAQTSDWAQQEEENNTTITLTESEQEDSILENEESNDFEGRVSDWEAEGEDAAASETEAVRGEGERGDEEGFVEPPEEAKIYVGNLPYDVTSEKLAMLFDQAGTVEISEVIYNTETDTSRGFGFVTMSTVEESDKAIEMFNRYNLDGRLLTVNKAAPRGSRPERPPRVSEPSYRIYVGNLPWGVDSGRLEEVFSEHGKVVSAQVVSDWETGRSRGFGFVTMSSESELNDAIAALDGQELDGRAIRVNVAAERPRRSSF >Potri.019G036850.1.v4.1 pep chromosome:Pop_tri_v4:19:5059175:5063886:1 gene:Potri.019G036850.v4.1 transcript:Potri.019G036850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036850.v4.1 MAEILLSALSVEFVYGFLDPFSALNLSEALEIKGQLERLRESSILVQAMLQDIEERQLTEESLKHCLDLKDKVFDAEDVIDEFVYEALQRKVEIRSLRKKVRRFFSLSNPILFLLQLKRKLMRNNRSLDKLKNEAAGFGLRVASFSTILENIPNQETDSFFDHPELIKGREADVSKVINLLTSSSNQQDLSVIPIVGMAGIGKTTLAKLVFDAVDDGEFFDETLWVSVSDDFDHQNILGSVLVALSRNMGRVENIDVMVDRLQQELEGKKFLLVLDDVLNENYEKWDRLRNFFLGISGINGSAIIVTTHSRRVASIMETSPGCRYELKPLSCDESWSIISEAVSGNGGGPITSDLEAIGKEIAEKCEGLPLAARAFGRMMRLRFGIEEWSLLRNLHAWDALVNQILLPIKLNYDCLPLTVRRCLVYCSIFPKGTKIGKEQLIELWMAEGFLGTSNERMEDRGNQCFSYLIDNYFLVDVERDELENIRSCKMNNIVHDLASYLSKYEVKNSEAYPGVDDLSHIRYANLSCDTENAQEFFKTGGRKLRSLFSRDFIHDSWNFKSLRTLSLDGADIRELQGSIGKLKHLRYLDVSRTHITALPDSITNLYNLQTLRLVECRSLQALPRRMRDLVNLRHIHVTFHHQMPADVGCFSFLQTLPFFIVCQDRGQKVQELESLNELSGRLSIYNLEQVRDRDEAAKANLREKKGICMMEFVWSPERENFDNDDDVIEGLLPHQNIKSLKIENYGGKKFPSWLLLRTPGTGDSFPLKNLVNLKLLHCKRTEELPTLGLLRRLKVLEIIGMNTIRCIGMEFYINEGESRRETMPLFPALKKLSLQCMENLVEWRAPALGGGSDMIVFPYLEELSIMRCPRLNSIPISHLSSLAQLEICFCGELSYLSDDFHSFTSLENLRIEVCPNLEAIPSLKNLKSLKRLAIQRCQKLTALPSGLQSCTSLEHLCIRWCVELTSIPDELRELRSLLHLEVTKCPSLNYFPEDSLCCLTRLKQLTVGPFSEKLKTFPGLNSIQHLSSLEEVVISGWDKLTSLPDQLQYITSLKSLYIRRFNGMKALPEWLGSLKCLQQLGIWRCKNLSYLPTTMQQLFLAERLEVIDCPLLKENGAKGGGSE >Potri.019G036850.2.v4.1 pep chromosome:Pop_tri_v4:19:5059175:5063888:1 gene:Potri.019G036850.v4.1 transcript:Potri.019G036850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036850.v4.1 MAEILLSALSVEFVYGFLDPFSALNLSEALEIKGQLERLRESSILVQAMLQDIEERQLTEESLKHCLDLKDKVFDAEDVIDEFVYEALQRKVEIRSLRKKVRRFFSLSNPILFLLQLKRKLMRNNRSLDKLKNEAAGFGLRVASFSTILENIPNQETDSFFDHPELIKGREADVSKVINLLTSSSNQQDLSVIPIVGMAGIGKTTLAKLVFDAVDDGEFFDETLWVSVSDDFDHQNILGSVLVALSRNMGRVENIDVMVDRLQQELEGKKFLLVLDDVLNENYEKWDRLRNFFLGISGINGSAIIVTTHSRRVASIMETSPGCRYELKPLSCDESWSIISEAVSGNGGGPITSDLEAIGKEIAEKCEGLPLAARAFGRMMRLRFGIEEWSLLRNLHAWDALVNQILLPIKLNYDCLPLTVRRCLVYCSIFPKGTKIGKEQLIELWMAEGFLGTSNERMEDRGNQCFSYLIDNYFLVDVERDELENIRSCKMNNIVHDLASYLSKYEVKNSEAYPGVDDLSHIRYANLSCDTENAQEFFKTGGRKLRSLFSRDFIHDSWNFKSLRTLSLDGADIRELQGSIGKLKHLRYLDVSRTHITALPDSITNLYNLQTLRLVECRSLQALPRRMRDLVNLRHIHVTFHHQMPADVGCFSFLQTLPFFIVCQDRGQKVQELESLNELSGRLSIYNLEQVRDRDEAAKANLREKKGICMMEFVWSPERENFDNDDDVIEGLLPHQNIKSLKIENYGGKKFPSWLLLRTPGTGDSFPLKNLVNLKLLHCKRTEELPTLGLLRRLKVLEIIGMNTIRCIGMEFYINEGESRRETMPLFPALKKLSLQCMENLVEWRAPALGGGSDMIVFPYLEELSIMRCPRLNSIPISHLSSLAQLEICFCGELSYLSDDFHSFTSLENLRIEVCPNLEAIPSLKNLKSLKRLAIQRCQKLTALPSGLQSCTSLEHLCIRWCVELTSIPDELRELRSLLHLEVTKCPSLNYFPEDSLCCLTRLKQLTVGPFSEKLKTFPGLNSIQHLSSLEEVVISGWDKLTSLPDQLQYITSLKSLYIRRFNGMKALPEWLGSLKCLQQLGIWRCKNLSYLPTTMQQLFLAERLEVIDCPLLKENGAKGGGSE >Potri.019G036850.3.v4.1 pep chromosome:Pop_tri_v4:19:5059149:5063859:1 gene:Potri.019G036850.v4.1 transcript:Potri.019G036850.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036850.v4.1 MAEILLSALSVEFVYGFLDPFSALNLSEALEIKGQLERLRESSILVQAMLQDIEERQLTEESLKHCLDLKDKVFDAEDVIDEFVYEALQRKVEIRSLRKKVRRFFSLSNPILFLLQLKRKLMRNNRSLDKLKNEAAGFGLRVASFSTILENIPNQETDSFFDHPELIKGREADVSKVINLLTSSSNQQDLSVIPIVGMAGIGKTTLAKLVFDAVDDGEFFDETLWVSVSDDFDHQNILGSVLVALSRNMGRVENIDVMVDRLQQELEGKKFLLVLDDVLNENYEKWDRLRNFFLGISGINGSAIIVTTHSRRVASIMETSPGCRYELKPLSCDESWSIISEAVSGNGGGPITSDLEAIGKEIAEKCEGLPLAARAFGRMMRLRFGIEEWSLLRNLHAWDALVNQILLPIKLNYDCLPLTVRRCLVYCSIFPKGTKIGKEQLIELWMAEGFLGTSNERMEDRGNQCFSYLIDNYFLVDVERDELENIRSCKMNNIVHDLASYLSKYEVKNSEAYPGVDDLSHIRYANLSCDTENAQEFFKTGGRKLRSLFSRDFIHDSWNFKSLRTLSLDGADIRELQGSIGKLKHLRYLDVSRTHITALPDSITNLYNLQTLRLVECRSLQALPRRMRDLVNLRHIHVTFHHQMPADVGCFSFLQTLPFFIVCQDRGQKVQELESLNELSGRLSIYNLEQVRDRDEAAKANLREKKGICMMEFVWSPERENFDNDDDVIEGLLPHQNIKSLKIENYGGKKFPSWLLLRTPGTGDSFPLKNLVNLKLLHCKRTEELPTLGLLRRLKVLEIIGMNTIRCIGMEFYINEGESRRETMPLFPALKKLSLQCMENLVEWRAPALGGGSDMIVFPYLEELSIMRCPRLNSIPISHLSSLAQLEICFCGELSYLSDDFHSFTSLENLRIEVCPNLEAIPSLKNLKSLKRLAIQRCQKLTALPSGLQSCTSLEHLCIRWCVELTSIPDELRELRSLLHLEVTKCPSLNYFPEDSLCCLTRLKQLTVGPFSEKLKTFPGLNSIQHLSSLEEVVISGWDKLTSLPDQLQYITSLKSLYIRRFNGMKALPEWLGSLKCLQQLGIWRCKNLSYLPTTMQQLFLAERLEVIDCPLLKENGAKGGGSE >Potri.008G172500.2.v4.1 pep chromosome:Pop_tri_v4:8:11914575:11925145:-1 gene:Potri.008G172500.v4.1 transcript:Potri.008G172500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172500.v4.1 MASFTISPPSASFIAKKDLGLSAFSSSSSSPPFSAPKCKKSVSKKIVSVMAPQQSERMPATTGSVKTAMTMTEKIFARASEEPQVSPGENVWVNVDVLMTHDISGPGSIGIFKREFGPDAKVWDREKVVVIPDHYIFTEDKLANRNVDILRDFCNDQDIKYFYDIKDLGNYKANPDYKGVCHVALAQEGHCRPGEVLLGTDSHTCTAGAFGQFATGIGNTDAGFVLGTGKLLLKVPPTLKFVMDGEMPDYLLAKDLILQIIGEISVAGATYKSMEFAGTTVESLTMEERMTLCNMVIEAGGKNGVVPPDSTTFKYLEDKTSLPYEPVYSDVQARFLTEYRFDVTKLEPLVAKPHSPDNRALARECKDVKIDRVYIGSCTGGKTEDFMAAAKVFLASGKKVRVPTFLVPATGKVCDAD >Potri.001G020600.1.v4.1 pep chromosome:Pop_tri_v4:1:1588103:1595347:-1 gene:Potri.001G020600.v4.1 transcript:Potri.001G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020600.v4.1 MEKYLKENFVVDAKRPSDEALRRWRSAVSVVRNPRRRFRMVADLAKRAEAEKKRQNLQEKIRIALYVKKAALHFIEAANRVEHKLSDNVRQTGFGIEPDELAAIVRSQDNKALESHGGVEGLAREVSVSLNDGVVSSDISIRQNIYGPNKYAEKPARSLWMFVWDALHDLTLIILMACAVVSVGVGIATEGWPNGMYDGVGIVLCILLVVMVTAISDYRQSLQFKVLDKEKKNVTVQVTREGRRQKVSIFDLVVGDVVHLSIGDVVPADGILISGHSLSVDESSLSGESEPVNINEKKPFLLSGTKVQDGSGKMLVTAVGMRTEWGKLMVTLSEVGEDETPLQVKLNGVATIIGKIGLAFAVMTFLVLMARFLVAKAHNHEITKWSSGDALQLLNFFAIAVTIIVVAVPEGLPLAVTLSLAFAMKQLMKDRALVRHLSACETMGSACCICTDKTGTLTTNHMVVNKIWICEKTKSIQTNDNKDLLMSSVSEDVHGILLQSIFQNTGSEVTKGKDGKTNILGTPTETAIVEFGLLLGGDFKTHHIESEIVKVEPFNSEKKKMSVLVSLPDNSRFRAFCKGASEIILKMCDKILTADGKSVPLSENQRQNITDVINGFACEALRTLCFAFKDIEKTSDADSIPDNNYTLIAVVGIKDPVRPGVKEAVKTCLAAGITVRMVTGDNINTAKAIAKECGILTDTGLAIEGPDFRTKSPQELEEIIPKLQVMARSSPLDKHKLVTQLRNVFKEVVAVTGDGTNDAPALAEADIGLAMGIAGTEVAKESADVIVMDDNFKTIVNVARWGRAVYINIQKFVQFQLTVNVVALMINFISACISGNAPLTTVQLLWVNLIMDTLGALALATEPPHDGLMKRPPIGRNVSIITKTMWRNIIGQSIYQIIVLVILQFDGKHLLKLSGSDATKILNTFIFNTFVLCQVFNEINSRDMEKINVFKGIFSSWIFLAVMFSTVVFQIVIVEFLGTFANTVPLSWELWLASILIGAASLVIAVILKCIPVETKKDDNTAKHHDGYEPLPSGPDLA >Potri.001G355700.2.v4.1 pep chromosome:Pop_tri_v4:1:36976135:36977050:-1 gene:Potri.001G355700.v4.1 transcript:Potri.001G355700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355700.v4.1 MRFGKAVIAILLVSMISKLTEGNSILEEENNFTTGSSTSPWLKKVMNHGPRPRPPGCRSTPWICREGLHPSSARMRCCRNQCVDVSSDVSNCGFCRIRCRFARQCCHGFCVDTNRSPFHCGRCGNRCPRKVRCVYGMCGYAQPFPPIPFP >Potri.014G097900.2.v4.1 pep chromosome:Pop_tri_v4:14:6419412:6424215:1 gene:Potri.014G097900.v4.1 transcript:Potri.014G097900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097900.v4.1 MNLNGNKFVRFQDWSSEKSFSSEQQYSNEYGFYARKAKPIFYSVWDNIRRGWEMGSERIRSLKKPLRFYSKGAQPAKEPGPKRKILDPQGPFLQKWNKFFMLVCVLAVAIDPLFFYIPWIKSTEKDKCLDVDRKMQAAACILRTLIDILYILRIVFQFRTGFIAPSSRVFGRGELVEDPKAIAKKYVTSYFIIDILAILPLPQVVVLIILPRVDGPVSLAAKNLFEFVIFSQYIPRLIRIYPLFKEINRTSGILTETAWAGAVFNLFLYMLASHVIGAFWYLFSIERQDTCWHEVCKDQARCDTMYRYCGDHRKKDYTFPTESCPFIQPDQVHNSTVFNFGIFIDALDSGVVESTYFPRKFFYCFWWGLRNLSSLGQNLKTSTFIGEILFAIFISIAGLVLFALLIGNMQKYLESTTVRVEEMRVKRRDTDHWMSQRMLPDNLRERIRRYEQYKWQETRGVEERGLIRNLPKDLRRDINRHLCLDLIKKVPMFKKMDETILDAVCDRLKAALYTKDSYIVREGEPVDEMLFIMRGNLVSVTTNGGRTGFFNAVSLKAGDFCGEGLLTWALDPQCSSNLPISTRTVQALSEVEAFALEADDLKSVASQFRQLHHKDIQHTFRFFSVQWKTWAACFIQAAWRRHCRRKQAKSLRQAEEKLQDSLANEASTSPSLGVAIYASQFAANALRNLRRKGTHATRLPQRLSLLPQKPTEPDFFAQHQK >Potri.001G162500.1.v4.1 pep chromosome:Pop_tri_v4:1:13789050:13791406:-1 gene:Potri.001G162500.v4.1 transcript:Potri.001G162500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162500.v4.1 MVQFCVCSIKHTITASLQTLYPPFISFLFFLHSRIKMIQEDHGSLTEISDSGKHISFSQKNKSLSLALFVSLLLVATIAAVVTPVNSQNSNKNDAAHSIIKMSCSSTRYPELCYSAIANGPGAAASLAAINDENDVLIESIRATQQAIDTNTAGIESYKTTNKMKLTNQQNDALDTSTDNNELSQSDLQNAINSLNYYTNEIPLSDQDTEPDINTPLSSCITYQDTIMDGFSHTAADKQVRKDISDGVDNVRKMCMNTLAMNMNMTATRIANELKTTKRNLKEENSRNESGWPKWLSVANRRLLQSSSLTPDVVVAADGSGNYSTVSAAVAAAPTRSSKRYVIRIKAGVYRETVQVPINKTNLMFLGDGRRKTIITASRSVVDGITAFRSATVAAMGEGFLARDIAFQNTAGPSNRQAVALRVSSDRAAFYKCNVLGYQDTLHVHANRQFFINCLIAGTVDFIFGNSAAVFQDCDIHARRPNPGQTITITAQGRSDLNQNTGIVIQKSRIHATSDLLPVRSNFSAYLGRPWKEYSRTVVMQSSISDVINPAGWLEWRGKYALNTLYYGEYNNSGAGAATSERVNWKGYKVITAATEAKSFTPRNFIAGSTWLKSTTFPFSLDL >Potri.011G159200.1.v4.1 pep chromosome:Pop_tri_v4:11:18463735:18465652:1 gene:Potri.011G159200.v4.1 transcript:Potri.011G159200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G159200.v4.1 MVFPSASILSILVVLLLSPFVFSYPIQDTFLQCLSSTSESSFPFSTALYTPINNSSFTTVLLSTAQNLRYILPSVPKPEFIFTPFNESDIQAAVVCCKQLGIHFRVRSGGHDYEAVSYVSAIESPFIIIDLAKLRSVDVDIEDNSAWVQAGATNGELYYRIAEKSKTHGFPAGLCTSLGMGGLITGGAYGAMMRKYGLGADNVIDARIVDAQGRILDRKAMGEELFWAIRGGGGGSFGIITAWKVKLVPVPETVTVFTVTKTLEQGATKLLYRWQQVADKLDEDLFIRVSIQTAGTTGNRTITTSYNAVFLGDAKRLLRVMESSFPELGLTQKDCIETTWLESVLYTGSYPSNTPPEALLQANNVLKSYFKAKSDFVQEPIPESALKGIWKRLFKEEGGFMIWNPFGGMMSKISEFETPFPHRKGDLFMIQYVTGWQDASGDVGKHVKWIRELYKYMAPYVSKNPREAYVNYRDLDLGINRNTNTSFIKASVWGAKYFKGNFYRLALVKSKVDPDNIFRHEQSIPPLPLHMR >Potri.002G259700.1.v4.1 pep chromosome:Pop_tri_v4:2:24769078:24771682:-1 gene:Potri.002G259700.v4.1 transcript:Potri.002G259700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259700.v4.1 MEQNQNCHPLLRGGNRKVLSNAYGHGFSSGQMQSLDAFCETLIPPLPVPSFSEEIPVDKQEAIRSFYKASGSQSPVAELMVKSGVPEAVLFVRLVLKFLSCRLGTLLLCGFICLDWKWPLIHKFSEISAERREEILRKWSRQQYLTPLRVVFVVIKLMCMYTDDIGKQSMGSNWISSLQVMEDPEHNTCKVKCDVVVVGSGCGGGIAASALASSGKKVLVLDKGNYSVPEDYSSLEGPSMSELYESGGFLSAVDGETMILAGSTVGGGSAVNWSACIKTPDSVLGEWSVDHMIPLYGSPEYQYAMDAVCKRIGVTEHCAEKGFQNQILRRGCQKLGLKVGFVPRNCTEDHYCGSCCYECRTGEKKGTDSTWRYPPVRGHFPEHISCLEGKVSEGGIVTSMHKAVSAEPKHTSILETPSIGPASFAAACPWTSGLDFMDRMALRKALRILIAAGAVKVGTYRSDGQRLVCEGIKKDLEEFLDTIRIPGGLRSREENWTILFSAHQMGSCTVGATAEEGGVDQNGESWAAENLFVCDRSVLPSALGSIP >Potri.010G105300.1.v4.1 pep chromosome:Pop_tri_v4:10:12696204:12698669:-1 gene:Potri.010G105300.v4.1 transcript:Potri.010G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105300.v4.1 MATLTHPTSQTHLFTKLSLKPKKFTSPSRIRMALQENAPSLAVVGVTGAVGQEFLSVLSDRDFPYRSIKMLASKRSAGKQLTFQDRNYTIEELTEDSFDGVDIALFSAGGSISKHFGPVAVEKGSVVVDNSSAFRMEEGIPLVIPEVNPEAMEGIKVGTGKGALIANPNCSTIICLMAATPLHKHAKVIRMVVSTYQAASGAGAAAMEELELQTREVLEGKPPTCNIFKQQYAFNLFSHNAPILSNGYNEEEMKLVKETRKIWNDMNVKVTATCIRVPVMRAHAESVNLQFEKPIDEHTAKDILKSAPGVVVIDDRASNHFPTPLEVSNKDDVAVGRIRRDVSQDGYKGLDIFVCGDQIRKGAALNAIQIAEMLL >Potri.003G046901.1.v4.1 pep chromosome:Pop_tri_v4:3:5926888:5958421:1 gene:Potri.003G046901.v4.1 transcript:Potri.003G046901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046901.v4.1 MAIKRSDQKLSPFRTGTLVCSGETSASPSCYSTSTPRKPSSGNSGKNFMAIKRSDQKLWPFRTGTLVWSAETSASPSCDSTFTPRKPASVNSCKNLMAIKRSDQKLWPFRTGTLVWSAETSASPSCDSTFTPRKPASGNSCKNLMAIKRSDQNGETSASPSCYSTSTPRKPSSGNSCKNLMAIKRSDQKLWPFRTGTLVWSAETSASPSCDSTSTPRKPASGNSCKNLMAIKQSDQKLSLFRTGTLVWSDETSASPTSDSTSTPQKPAGETSASPSCYSTSTPRKPSSGNSCKNLMAIKRSDQKLWPFRTGTLVWSAETSASPSCDSTFTPRKPASGNSCKNLMAIKRSDQKLWPFRTGTLVWSAETSASPSCDSTFTPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSGETSASPSCDSTSTPRRPASGNSGKNLMAIKQSDQKLSLFRTGTLVWSGETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFRTASLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSGETSASPSCDSTSTPRRPASGNSCKNLMAIKRSDQKLWPFRTGTLVWSAETSASPSCDSTSTPRRPASGNSCKNLMAIKQSDQKLSLFRTGTLDWSGEISASPSCYSTSTPRKPASGNSCKNLMAIKQSDQKLSLFRTGTLVWSGETSASPTSDSTSTPRKPATGNSCKNLMAIKRSDQKLSPFRTGTLSDQKLSLFRTGTLSDQNGETSASPSCDSTSTPRKPASGNSCKNLMAIKRSDQNGETSASPTSDSTATPRKPASGNSCKNLMAIKRSDQKLWPFRPGSLSYQNGETSASPSCDSTSTTRKPASGNSCKNLMAIKQSDQNGETSASPSCDSTSTPRKPASGNSCKNLMAIKRSDQNDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQNGETTASPTRDSTSTPRKPTSGNSCKNLMAIKRSDQNDETSASPSCDSTSTPRKPASGNSCKNLMAIKQSDQKLSLFRTAARPSLHRVAIPHLLHGNLRPETPLWPFRTGTLSDQKLSLFRTGTLLSPFRTGTLVCSGETSASPSCYSTSTPRKPSSGNSYKNLMAIKRSDQNAETSASPSCDSTCTPRKPASGNSCKNLMAIKRSDQNDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQNGETSASPSCDSTSTPRRPASGNSGKNLMAIKQSDQNGETSASPTSDSTPTPRKPASGNSCKNLMAIKMSDQNGETSASPSCDSTSTPRRPASGNSCKNLMAIKQSDQNGETSASPSCYSTSTPRKPASGNSWPFRTGTLVWSAETSASPSCDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFGTGSLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSGETSTSPSCDSTSTPRRPASGNSCKNLMAIKQSDQKLSLFRTGTLVWSGETSASPSCDSTSTPRKPTSGNSWPFRTGSLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQNGETSASPTSDSTATPRKPASGNSCKNLMAIKRSDQNDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQNGETSASPTSDSTATPRKPASGNSCKNLMAIKRSDQKLGPFRTASLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSGETSASPSCDSTSTPRRPASGNSCKNLMAIKQSDQKLSLFRTGTLVWSGETSASPTSDSTSTPRKPASGNSCKNLMAIKLSGSKISASPSCYSTSTPRKPASGNSCKNLMAIKQSDQKLSLFRTGTLVWSGETSASPSCDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFRTGTLVWSAETSASPSCDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFGTGSLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFRTASLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQNDETSASPSCDSTSTPRKPASGNSCKNLMAIKQSDQKLSFFRTGTLVWSGETSASPSCDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLLWPFRTGTLLSPFRTGTLVCSGETSASPSCYSTSTPRKPSSGNSCKNLMAIKRSDQNAETSASPSCDSTFTPRKPASGNSCKNLMAIKRSDQNDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQNGETSASPSCDSTSTPRRPASGNSGKNLMAIKQSDQNGETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQNGEISASPSCYSTSTPRKPASGNSCKNLMAIKQSDQNGETSASPSCDSTSTPRKPASGNSCKNLMAIKRSDQNAETSASPSCDSTSTPRKPASGNSCKNLMAIKRSDQNDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQNGETSASPSCASTSTPRRPASGNSCKNLMAIKLSDQKLSLFRTGTLVWSGETSASPSCDSTSTPRKPPSGNSCKNLMAIKRSDQNDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSGETSASPSCDSTSTPRRPASGNSCKNLMAIKQSDQKLSLFRTGTLIWSGETSTSPSYDSTSTPRKPASGNSCKHLMAIKRSDQKLSPFRTGTLVCSGEISASPSCYSTSTPRKPASGNSCKNLMAIKQSDQKLSLFRTGTLVWSGETSASPSCDSTSTPRKPASGSSC >Potri.008G151000.1.v4.1 pep chromosome:Pop_tri_v4:8:10323314:10325603:-1 gene:Potri.008G151000.v4.1 transcript:Potri.008G151000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151000.v4.1 MALVKRALALLMSITLAMELIHAAVYKVGDSAGWTTIGNFDYKKWSATKTFQVHDIILFKYNAQFHNVMRVTHAMYKACNTSAPLATYTTGNDSITIKTRGHHFFFCGVPGHCQAGQKVDINVLQSNEMAPTSSVSSSESSPPVPSAKVPGPAPSNAMPLKALKSPSGNIGLAMAVLATFWINFA >Potri.009G077200.2.v4.1 pep chromosome:Pop_tri_v4:9:7463676:7465518:-1 gene:Potri.009G077200.v4.1 transcript:Potri.009G077200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077200.v4.1 MDSNMDAVDQRSTTNCHVLAVPYPGRGHVNPMMNLCKLLSSKKHDILFTFVVTEEWLGFIGSDTKPSNIRFASIPNVIPSELVRGADFPGFYEAVMTKMEGPFERLLDQLDPPVTTIIADAELLWAITIANKRNIPVATLCTLSATVFSILYHFAHIKDLQKLANLLDDGEEIVDSIQGISSKHVLDLRTIFNGGEVRVMQLTLESISWVPRAQYLLINSVYELESQALDALKAKVHLPIYPVGPSIPYFELKDNYCVTAGSDSTNYFQWLDSQPTGSVLYVSLGSFFSISSKQMDEIASGLRNSGVRYLWVARGEALRLKESCGEKGIVVPWCDQLQVLCHSSVGGFWTHCGWNSSLEAVFAGIPMLSLPLFFDQVPNSKQIVENWRIGWQMKKDEGTKILVKGEEIAALVQRFMDTENSEGKDMRRRAKMLQQLCGQAIAKDGSSDKNLDAFIRDIS >Potri.005G098600.6.v4.1 pep chromosome:Pop_tri_v4:5:7082170:7085368:1 gene:Potri.005G098600.v4.1 transcript:Potri.005G098600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098600.v4.1 MEITNVKSVDQAVEEIMRIHRSLPTRPGIEEVEAAKTLIRNVEKEEQARMEAISKQTKTPDVPQELFMILQEMQKQLSFFQTKEQKLEAVKLLDLENVHNLFDEFIQRASKCLSWPPPPPTSSSPTSVSGFGSSSNYANGGSSSFKGSAAAAAAGSSSIDRSSMATTSGLYYAEKEPTRSAELFTRDDSYVKKAKSSLYSDGIGVSSTPQIVDSTLKASSISSSQDGEKLSLIKLASLIEVSSKKGTQELNLQNKLMDQVDWLPDSIGKLSSLVTLDLSENRIVALPETIGGLSSLTKLDLHSNRIGELPGSIGDLLSLVALDVRGNQLSFLPATFGRLVRLQDLDLSSNRLSSLPDTIGSLVSLKKLNVETNDIEEIPHTIGKCSSLKELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLLSLKELDVSFNELESVPESLCFATSLVKMNIGNNFADMQSLPRSIGNLENLEELDISNNQIHALPDSFRMLTRLRILRAEENPLEVPPRHIAEKGAQAAVQYMVELVEKSDVKVQPVKQKKSWAQICFFSKSNKRKRNGLDYVKA >Potri.005G098600.5.v4.1 pep chromosome:Pop_tri_v4:5:7082178:7085384:1 gene:Potri.005G098600.v4.1 transcript:Potri.005G098600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098600.v4.1 MEITNVKSVDQAVEEIMRIHRSLPTRPGIEEVEAAKTLIRNVEKEEQARMEAISKQTKTPDVPQELFMILQEMQKQLSFFQTKEQKLEAVKLLDLENVHNLFDEFIQRASKCLSWPPPPPTSSSPTSVSGFGSSSNYANGGSSSFKGSAAAAAAGSSSIDRSSMATTSGLYYAEKEPTRSAELFTRDDSYVKKAKSSLYSDGIGVSSTPQIVDSTLKASSISSSQDGEKLSLIKLASLIEVSSKKGTQELNLQNKLMDQVDWLPDSIGKLSSLVTLDLSENRIVALPETIGGLSSLTKLDLHSNRIGELPGSIGDLLSLVALDVRGNQLSFLPATFGRLVRLQDLDLSSNRLSSLPDTIGSLVSLKKLNVETNDIEEIPHTIGKCSSLKELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLLSLKELDVSFNELESVPESLCFATSLVKMNIGNNFADMQSLPRSIGNLENLEELDISNNQIHALPDSFRMLTRLRILRAEENPLEVPPRHIAEKGAQAAVQYMVELVEKSDVKVQPVKQKKSWAQICFFSKSNKRKRNGLDYVKA >Potri.005G098600.4.v4.1 pep chromosome:Pop_tri_v4:5:7082181:7085364:1 gene:Potri.005G098600.v4.1 transcript:Potri.005G098600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098600.v4.1 MEITNVKSVDQAVEEIMRIHRSLPTRPGIEEVEAAKTLIRNVEKEEQARMEAISKQTKTPDVPQELFMILQEMQKQLSFFQTKEQKLEAVKLLDLENVHNLFDEFIQRASKCLSWPPPPPTSSSPTSVSGFGSSSNYANGGSSSFKGSAAAAAAGSSSIDRSSMATTSGLYYAEKEPTRSAELFTRDDSYVKKAKSSLYSDGIGVSSTPQIVDSTLKASSISSSQDGEKLSLIKLASLIEVSSKKGTQELNLQNKLMDQVDWLPDSIGKLSSLVTLDLSENRIVALPETIGGLSSLTKLDLHSNRIGELPGSIGDLLSLVALDVRGNQLSFLPATFGRLVRLQDLDLSSNRLSSLPDTIGSLVSLKKLNVETNDIEEIPHTIGKCSSLKELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLLSLKELDVSFNELESVPESLCFATSLVKMNIGNNFADMQSLPRSIGNLENLEELDISNNQIHALPDSFRMLTRLRILRAEENPLEVPPRHIAEKGAQAAVQYMVELVEKSDVKVQPVKQKKSWAQICFFSKSNKRKRNGLDYVKA >Potri.001G054700.4.v4.1 pep chromosome:Pop_tri_v4:1:4118148:4124104:-1 gene:Potri.001G054700.v4.1 transcript:Potri.001G054700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054700.v4.1 MQTKKRICGRNASRELASPRISRAQKKLSENVQVAEKVSELITSSARKQKCTLPMKNQEPIAATNLNTRYNSVHHKASDASTRCDVVDPEGCNEGAAQCVVQAIFSPAFHISKIAGGEIPDGVDFTKLYRTGESRVDMLDAHLTQETFKPFFGEHNGSTLTSLNTTCHSVIEVEKNISAKNSYVDNYGDQVLSTDATTVNSCGIAVSNGVGLPSEVSSIYLAMKNSKLECVDEHGQDSMSTDVCMEEEDYEEFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVEGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRADKELLSLLPFLESLVGVEDVRPVIAKKYNLRRRIASAAYPLLNSSRDPFER >Potri.001G054700.10.v4.1 pep chromosome:Pop_tri_v4:1:4118181:4123724:-1 gene:Potri.001G054700.v4.1 transcript:Potri.001G054700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054700.v4.1 MQTKKRICGRNASRELASPRISRAQKKLSENVQVAEKVSELITSSARKQKCTLPMKNQEPIAATNLNTRYNSVHHKASDASTRCDVVDPEGCNEGAAQCVVQAIFSPAFHISKIAGGEIPDGVSNGVGLPSEVSSIYLAMKNSKLECVDEHGQDSMSTDVCMEEEDYEEFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVEGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRADKELLSLLPFLESLVGVEDVRPVIAKKYNLRRRIASAAYPLLNSSRDPFER >Potri.001G054700.14.v4.1 pep chromosome:Pop_tri_v4:1:4118181:4123198:-1 gene:Potri.001G054700.v4.1 transcript:Potri.001G054700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054700.v4.1 MQTKKRICGRNASRELASPRISRAQKKLSENVQVAEKVSELITSSARKQKCTLPMKNQEPIAATNLNTRYNSVHHKASDASTRCDVVDPEGCNEGAAQCVVQAIFSPAFHISKIAGGEIPDGVDFTKLYRTGESRVDMLDAHLTQETFKPFFGEHNGSTLTSLNTTCHSVIEVEKNISAKNSYVDNYGDQVLSTDATTVNSCGIAVSNGVGLPSEVSSIYLAMKNSKLECVDEHGQDSMSTDVCMEEEDYEEFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVEGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRADKELLSLLPFLESLVGVEDVRPVIAKKYNLRRRIASAAYPLLNSSRDPFER >Potri.001G054700.13.v4.1 pep chromosome:Pop_tri_v4:1:4118181:4124054:-1 gene:Potri.001G054700.v4.1 transcript:Potri.001G054700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054700.v4.1 MQTKKRICGRNASRELASPRISRAQKKLSENVQVAEKVSELITSSARKQKCTLPMKNQEPIAATNLNTRYNSVHHKASDASTRCDVVDPEGCNEGAAQCVVQAIFSPAFHISKIAGGEIPDGVDFTKLYRTGESRVDMLDAHLTQETFKPFFGEHNGSTLTSLNTTCHSVIEVEKNISAKNSYVDNYGDQVLSTDATTVNSCGIAVSNGVGLPSEVSSIYLAMKNSKLECVDEHGQDSMSTDVCMEEEDYEEFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVEGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRADKELLSLLPFLESLVGVEDVRPVIAKKYNLRRRIASAAYPLLNSSRDPFER >Potri.001G054700.8.v4.1 pep chromosome:Pop_tri_v4:1:4118181:4123736:-1 gene:Potri.001G054700.v4.1 transcript:Potri.001G054700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054700.v4.1 MQTKKRICGRNASRELASPRISRAQKKLSENVQVAEKVSELITSSARKQKCTLPMKNQEPIAATNLNTRYNSVHHKASDASTRCDVVDPEGCNEGAAQCVVQAIFSPAFHISKIAGGEIPDGVSNGVGLPSEVSSIYLAMKNSKLECVDEHGQDSMSTDVCMEEEDYEEFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVEGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRADKELLSLLPFLESLVGVEDVRPVIAKKYNLRRRIASAAYPLLNSSRDPFER >Potri.001G054700.6.v4.1 pep chromosome:Pop_tri_v4:1:4118148:4124104:-1 gene:Potri.001G054700.v4.1 transcript:Potri.001G054700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054700.v4.1 MQTKKRICGRNASRELASPRISRAQKKLSENVQVAEKVSELITSSARKQKCTLPMKNQEPIAATNLNTRYNSVHHKASDASTRCDVVDPEGCNEGAAQCVVQAIFSPAFHISKIAGGEIPDGVDFTKLYRTGESRVDMLDAHLTQETFKPFFGEHNGSTLTSLNTTCHSVIEVEKNISAKNSYVDNYGDQVLSTDATTVNSCGIAVSNGVGLPSEVSSIYLAMKNSKLECVDEHGQDSMSTDVCMEEEDYEEFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSTLEPCDDADFTFPVNFNLQQHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRVFRHRVFRESCVFVEGNYLKDLSVLGRDLAHVIIIDNSPQAFGFQVDNGIPIESWFDDRADKELLSLLPFLESLVGVEDVRPVIAKKYNLRRRIASAAYPLLNSSRDPFER >Potri.002G192200.1.v4.1 pep chromosome:Pop_tri_v4:2:15479272:15480483:-1 gene:Potri.002G192200.v4.1 transcript:Potri.002G192200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192200.v4.1 MASSASAVLSKITPSFPKIPSTNSPQSLTIKQRTLLPGTGRRIFHKTAIKATAPTGSSSPGLYSAQQFELNPQNVDLVLEEVRPYLIADGGNVDVVSVEDGVISLKLQGACGDCPSSTTTMKMGIERVLKEKFGDAIKDIRQVSDEESKETTVERVNGHLDILRPAITNFGGSVEVLSVENGECRVQYTGPESIASGIKAAIKEKFPDIVDVVFVA >Potri.006G006700.1.v4.1 pep chromosome:Pop_tri_v4:6:472915:477468:1 gene:Potri.006G006700.v4.1 transcript:Potri.006G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006700.v4.1 MAETQQKEKMVNGNSCEVSCHSNPDPSTPPPSDRPVRVYADGIYDLFHFGHARSLEQAKKAFPNTYLLVGCCNDEITHKYKGKTVMTEEERYESLRHCKWVDEVIPGAPWVIDQEFLDKHNIDYVAHDSLPYADASGAGKDVYEFVKKVGRFKETRRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEHQEKVGEKFQIVAMHRNEWVENADRWVAGFLEMFEEGCHKMGTAIRDRIQERLRGQLSNGLLENGKANSEDDDEEYYYDDDDYDDDDDEEYYTETYDKDAKSKK >Potri.010G160900.2.v4.1 pep chromosome:Pop_tri_v4:10:16666890:16669562:1 gene:Potri.010G160900.v4.1 transcript:Potri.010G160900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160900.v4.1 MENMLKQVRVRFSGQEKPEEPRSARQRRIPSLKTPSFKDNTQNKRPQNWFRRQFSGQTNQDYDSNRTEQAVAVAAAANAITSLNASSIPEQKKISKGPETSMTQADRKREGLAGLISESGKGSKSQDTEVPETATKEKTTSAAAPWVKRTLTPTDKPAPSMKKTPTSNESAEPMTDVLPIKPKITVPKPELPPTSKPAIPPTRPAIEDGTDADAWERAELSKIQKRYEQMNATILSWENKKKEKARKRLRKTESDLERIRSRALKQFHDDIVDIDQIAGGAKAKAAERQRNEEFKAKEKANTIRKTGKLPRTCFCF >Potri.010G160900.3.v4.1 pep chromosome:Pop_tri_v4:10:16663990:16669562:1 gene:Potri.010G160900.v4.1 transcript:Potri.010G160900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160900.v4.1 MENMLKQVRVRFSGQEKPEEPRSARQRRIPSLKTPSFKDNTQNKRPQNWFRRQFSGQTNQDYDSNRTEQAVAVAAAANAITSLNASSIPEQKKISKGPETSMTQADRKREGLAGLISESGRISKQFSGKGSKSQDTEVPETATKEKTTSAAAPWVKRTLTPTDKPAPSMKKTPTSNESAEPMTDVLPIKPKITVPKPELPPTSKPAIPPTRPAIEDGTDADAWERAELSKIQKRYEQMNATILSWENKKKEKARKRLRKTESDLERIRSRALKQFHDDIVDIDQIAGGAKAKAAERQRNEEFKAKEKANTIRKTGKLPRTCFCF >Potri.010G160900.1.v4.1 pep chromosome:Pop_tri_v4:10:16666890:16669562:1 gene:Potri.010G160900.v4.1 transcript:Potri.010G160900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160900.v4.1 MENMLKQVRVRFSGQEKPEEPRSARQRRIPSLKTPSFKDNTQNKRPQNWFRRQFSGQTNQDYDSNRTEQAVAVAAAANAITSLNASSIPEQKKISKGPETSMTQADRKREGLAGLISESGRISKQFSGKGSKSQDTEVPETATKEKTTSAAAPWVKRTLTPTDKPAPSMKKTPTSNESAEPMTDVLPIKPKITVPKPELPPTSKPAIPPTRPAIEDGTDADAWERAELSKIQKRYEQMNATILSWENKKKEKARKRLRKTESDLERIRSRALKQFHDDIVDIDQIAGGAKAKAAERQRNEEFKAKEKANTIRKTGKLPRTCFCF >Potri.003G002950.5.v4.1 pep chromosome:Pop_tri_v4:3:475573:481972:-1 gene:Potri.003G002950.v4.1 transcript:Potri.003G002950.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G002950.v4.1 MLAKGHGADSLVYNNVIKGFLELGNLEKANEFFDELKERCLVYDGVINATFMDWWFKQGKDKEAMGSYKSWQDKNFKVVPATCNTILEVLVRYGKKEAACALFEHMLDNHTPPTQQAVNSDTFNIMVNECFKEAGFEEAIHTFKKAGTKSGSKPFQMDVAGYNNIIARFCENGMMEHAEEFFAALLAKCLTPDVVTFRTLIDAYLKREEIDDVLRTLNKMADAGLRVVASFGTRVFGELIKNGKEVESAEILTKMGNKDPKPDSSIYDVVVRGLCSAGELDASKDMLDQMIKYGVGIPPALKEFLIEVFGNAGRASEIKSVLDESKWINISRPAYARQPRSQHETAQMASGQPLGPSPMMGRSVSSEPQIARPQSFGVHPMSRQTELGSPQMTGQLSLGSHNKQQPSEFLHMDQQHPLRPYQGQQSSWSSQHEPAQMASGQPLGPSPMTRRSVSSEPQIARSESFCVHPMSGQTQLGSPQMIGRQSLGSHNRQQPSEFHNMDQKHPSGFHNMDQQHPLRPYQGQQSSWSSQHEPAQMESGQPLGPSPMTGRSVSSEPQIARSQSFGVHPMSGQTQLGSPQMTGQPSLGSHNRQQPSEFHNMDKKLLSGLHNMDQQHPLRPYQDTTAGQQSSWSSQHEPAQMASGQPLGPSPMTGRSVSSEPQIARSQSFGVHPLSGQTQLGSPQMTGQPSIGSHNRQQPSEFLNMDQKHPLGLHNMDPPMTGRSVSSESQIARSQSFGVHPMSRQAHLGSPQMTGQPSLGSHNREQPSEFHNMDQIHPSGLHDMDWQPPLRPYQGQQSTWSSQTIGQHPSRSFQAAGQHPSQSSQAAGQHPSWSSQTGQHPLWSSKTGQHPSWSSHTGEQQPSWSSQTGGQQQSQSSQSRGQQASWSFQAAGQHLSRSSQAAGQHLSRSSQAAGQHPSWSSQTGQHPSWSSHTGEQQASWSSQSRVQQASWSSNMEQQPSGTSHVIESHPNESPEMSGQVPFESSKNGGQYSYGSPAVVRHHPAGSSQIVGQHEWQDNQQLPNGPPDMAEEYSSAALEWQHSHRQAAA >Potri.003G002950.4.v4.1 pep chromosome:Pop_tri_v4:3:475913:482047:-1 gene:Potri.003G002950.v4.1 transcript:Potri.003G002950.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G002950.v4.1 MSLYLLLLLRRSSATLTINNHPLIRALHHLSLSPLVHTPNLSPPISTSPLLPPSRTFAFSSAEEAAADRRKRRRRLRIDPPFQAMQSNPPPPDPNAPRLPDSTNALTGHRLNLHNRVQSLIRAYDLDTASLVARNSVYSRTRPTVFTCNAIIAAMYRAKRYDDAVSLFKFFFEKHNIVPNVVSYNNLINAHCDEGKVDLGLEIYRRIIETALFSPSSVTYRHLTKGLIDAGRIEDAVALLREMLAKGHGADSLVYNNVIKGFLELGNLEKANEFFDELKERCLVYDGVINATFMDWWFKQGKDKEAMGSYKSWQDKNFKVVPATCNTILEVLVRYGKKEAACALFEHMLDNHTPPTQQAVNSDTFNIMVNECFKEAGFEEAIHTFKKAGTKSGSKPFQMDVAGYNNIIARFCENGMMEHAEEFFAALLAKCLTPDVVTFRTLIDAYLKREEIDDVLRTLNKMADAGLRVVASFGTRVFGELIKNGKEVESAEILTKMGNKDPKPDSSIYDVVVRGLCSAGELDASKDMLDQMIKYGVGIPPALKEFLIEVFGNAGRASEIKSVLDESKWINISRPAYARQPRSQHETAQMASGQPLGPSPMMGRSVSSEPQIARPQSFGVHPMSRQTELGSPQMTGQLSLGSHNKQQPSEFLHMDQQHPLRPYQGQQSSWSSQHEPAQMASGQPLGPSPMTRRSVSSEPQIARSESFCVHPMSGQTQLGSPQMIGRQSLGSHNRQQPSEFHNMDQKHPSGFHNMDQQHPLRPYQGQQSSWSSQHEPAQMESGQPLGPSPMTGRSVSSEPQIARSQSFGVHPMSGQTQLGSPQMTGQPSLGSHNRQQPSEFHNMDKKLLSGLHNMDQQHPLRPYQDTTAGQQSSWSSQHEPAQMASGQPLGPSPMTGRSVSSEPQIARSQSFGVHPLSGQTQLGSPQMTGQPSIGSHNRQQPSEFLNMDQKHPLGLHNMDPPMTGRSVSSESQIARSQSFGVHPMSRQAHLGSPQMTGQPSLGSHNREQPSEFHNMDQIHPSGLHDMDWQPPLRPYQGQQSTWSSQTIGQHPSRSFQAAGQHPSQSSQAAGQHPSWSSQTGQHPLWSSKTGQHPSWSSHTGEQQPSWSSQTGGQQQSQSSQSRGQQASWSFQAAGQHLSRSSQAAGQHLSRSSQAAGQHPSWSSQTGQHPSWSSHTGEQQASWSSQSRVQQASWSSNMEQQPSGTSHVIESHPNESPEMSGQVPFESSKNGGQYSYGSPAVVRHHPAGSSQIVGQHEWQDNQQLPNGPPDMAEEYSSAALEWQHSHRQAAA >Potri.001G179800.2.v4.1 pep chromosome:Pop_tri_v4:1:15764492:15766938:1 gene:Potri.001G179800.v4.1 transcript:Potri.001G179800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179800.v4.1 MDDTEDDARYPQNPYGENNQRSYGSNRHKLPVGNATYSRPVDNQYAVSEDEDSDDEEEGEHELGDEDGENNQNNGIHYVEKDVDDDDDEEEEEEEEGVDDEEDGDDDEKYSRGIDDDHEDDDIERHPKKRKLKSLVSSYEFAPRVPLPPVAALAVPKPSVGGRNPLTDWTEHETFVLLDAWGEKFLQRGKKSLRSDEWQEVAEKVSDKSKIERTDTQCRNRLDTLKKKYKIERIKLAEDGGGASKWVYFKKMDVLMSTSAQQGGLSCGMDSGEYVSMNPRVYSNHSNGFDEMRDSPGNSELARDEDDSDGLPPKKRRLGRDCIEQSPFGLLADSIHKFSEIYEKIESSKRQQMLELEKMRMDFQRDLEMQKRQIIERAQAAIAKIHQVGEEEDSISANSA >Potri.001G043600.3.v4.1 pep chromosome:Pop_tri_v4:1:3151755:3152680:1 gene:Potri.001G043600.v4.1 transcript:Potri.001G043600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043600.v4.1 MVSSSARLIFFCFFILVSAIATTATDHIVGANKGWNPGINYTHWANNHTFYVGDLISFRYQKTQYNVFEVNQTGYDNCTTEGAVGNWTSGKDFIPLNKAKRYYFIGGNGQCFNGMKVTILVHPLPPPPTSATMAANVKSSDSAAPLVFHKGLVGLRALVLAVASIWFGSGWI >Potri.011G145600.1.v4.1 pep chromosome:Pop_tri_v4:11:17425024:17428024:1 gene:Potri.011G145600.v4.1 transcript:Potri.011G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145600.v4.1 MGFLDLFVAALMPVLKVLLITGLGLFLALDRIDLLGANARHYMNNLVFYLFGPALVVSQLGETITFQSLNTLWFMPVNILLTFMIGSILAWILIKITKTPPHLQGLVIGCCSAGNLGNLLLIIVPAVCMESNSPFGDSTICSTNGTTYASLSMAVGAIYIWTYVYIIMRIYSDKSAEDTDTNQPISDSESYKALLLSRKNSGSSGCSKEDELPLTISGEKLTVMEKIFQSVKKFTAKINLKMVFAPATIAAICGFIIGTVSPIRILMIGDSAPLRVIDRSASLLGEATIPCMTLIVGSNLLRGLRKSGVSVSVIVGIVAVRNIFLPLIGIGIVKAAHHLGMVESDSLYQFILLLQYALPPAMTVGVIAQLFKAGEGECSVIMLWSYALSALSLTLWSTFYMWLLQ >Potri.001G251600.4.v4.1 pep chromosome:Pop_tri_v4:1:26785540:26785929:1 gene:Potri.001G251600.v4.1 transcript:Potri.001G251600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251600.v4.1 MDNTTGIAIASPKPNKKRVKDGGGDAKNGEEEEECDVEAWDTLSKSFKQVQSVLDHNRDLIQQVNANHQSKIPDNLVKNVSLIREINGNISKVMSIYSDLSVNFSNIVQERRRVKNGGESNLENNSNES >Potri.002G048832.1.v4.1 pep chromosome:Pop_tri_v4:2:3251415:3257406:-1 gene:Potri.002G048832.v4.1 transcript:Potri.002G048832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048832.v4.1 MASPNGLTSSTTDSMLKNLFKFAMSGQWEEVVETYRRDPTACKARITKSGDTALHIAVNDGQEDTVQTLVDIISEQSKQSGTTEVKEVLQIANKRGDTPLHLAASMGNVKMCTCIARQDRSLVGVRNNENETPFFSAALHGKKEAFLRLHSICGIDEGRLYYRGKDGETILHVTISREYFDLSFQIIQLYGELVNFVDQRGTSPLHLLASKPTAFRSGSHLGGYKKIIYNCTFVEELRAEEVSNALQDQPSGQHVEKHGYPENYETCYNFFWLLKKAVQVVLTKLYKDTKRQTGDTESQQGKQSATGAGGRRLMPSNYNTFFEFVKFVSKAMLVILGSGSSEITKLEVKKKKHTWSVQIMRELLHKTIMYEYENDGSSPLPSKVDETRPYALGVGGRVTYSDMEELQENSQQMTKNDQVNKKNQRTGEDSQNKDGEGKEERTSEIGKMKIQILTAEKDGVTEMLEKILNLFQVGDMDLDKRNIVLMTTKKPKAPAMEMRETPILIAAKNGIVEMVEKIIEKFPVAINDVNAEKKNIVLLSVENRQPHVYQFLLSLKRNIVKESIFRQVDSKGNSALHLAATLGDFKPWSIPGAALQMQWEIKWFEFVKDSMPPNFFVRYNKEGKTPRDIFTETHKDLVKSGGEWLTNTSESCSVVAALIATVAFATSSTVPGGVNEITGSPILEYQPAFKMFAISSLIALCFSVTSVVMFLAILTSRYQERDFGQDLPRKLLVGLTSLFISIASVLVSFCTGHFFVLRDELKYAAFPVYAVTCLPVTFFAVAQFPLYFDLTWATFKKVPQRSYMVVA >Potri.001G047900.7.v4.1 pep chromosome:Pop_tri_v4:1:3514339:3518988:-1 gene:Potri.001G047900.v4.1 transcript:Potri.001G047900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047900.v4.1 MRRSASKKTVQSNSTTASITFSATDLFRSASSKASSKEMERIDNLFYSYANRSSGIIDPEGIETLCSDMEVDHTDVRILMLAWKMRAEKQGYFTLEEWRRGLKSLRADTVNKLKKVLLELEKEVKRPTNFMDFYTYAFRYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFYRFCNEISFPDFSNYDPELAWPLILDNFVEWMRAKRT >Potri.001G047900.6.v4.1 pep chromosome:Pop_tri_v4:1:3514562:3518997:-1 gene:Potri.001G047900.v4.1 transcript:Potri.001G047900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047900.v4.1 MRRSASKKTVQSNSTTASITFSATDLFRSASSKASSKEMERIDNLFYSYANRSSGIIDPEGIETLCSDMEVDHTDVRILMLAWKMRAEKQGYFTLEEWRRGLKSLRADTVNKLKKVLLELEKEVKRPTNFMDFYTYAFRYCLTEEKQKSIDIESICQLLDLVLGSHFRAQVDYFIEYLKIQSDYKVINMDQWMGFYRFCNEISFPDFSNYDPELAWPLILDNFVEWMRAKRT >Potri.008G169800.2.v4.1 pep chromosome:Pop_tri_v4:8:11742094:11743239:-1 gene:Potri.008G169800.v4.1 transcript:Potri.008G169800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169800.v4.1 MISNPTQVNTHTPIKIRGRKYNSSSCFTCYPFLPIREAKAHNNPVSVSEIGFLTSQLGGIRISYNPPKPLTAPFTPAIQPLVARRICPFTGKKANRANKVSFSNHKTKKLQFVNLQYKRVWWEAGKRYVKLRLSTKALKTKQKNGLDAVAKKAGIDLRKE >Potri.008G169800.3.v4.1 pep chromosome:Pop_tri_v4:8:11742094:11742873:-1 gene:Potri.008G169800.v4.1 transcript:Potri.008G169800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169800.v4.1 NNTRFLKTRQFSISKPSPVSVSEIGFLTSQLGGIRISYNPPKPLTAPFTPAIQPLVARRICPFTGKKANRANKVSFSNHKTKKLQFVNLQYKRVWWEAGKRYVKLRLSTKALKTKQKNGLDAVAKKAGIDLRKE >Potri.015G061400.1.v4.1 pep chromosome:Pop_tri_v4:15:8536297:8543394:-1 gene:Potri.015G061400.v4.1 transcript:Potri.015G061400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061400.v4.1 MGCVSSKQAVSVTPALDHSVLSGSGRARVGMDQHPSFKKNGDHHHHQVVVSCGGSELGESGRAPSSNGESLSFRLRSLHKYIEGEQVAAGWPAWLSAVAGEAIHGWVPLKADAFEKLEKIGQGTYSSVFRARETETGRIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIMKLDGLITSRLSCSIYLVFEYMEHDITGLLSCPDVRFSEAQIKCYMKQLISGLDHCHSKGVMHRDIKGSNLLVNNDGILKVGDFGLANFCTYGHRQPLTSRVVTLWYRPPELLLGSTEYGASVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSCLRETLKDLPTTAVNLIKTLLSVEPYKRGTASSALASEYFSTKPYPCDPSNLPKYPPSKEIDAKNREEARRKKIGGRGRGTETRKCTRKLCGISKLAAEDLAAQKQCGQINISNMRVPKGGDRKSSGEARKPSLDKLEEIFHIKNASQGDIPFSGPLKVSSSSGFAWAKRRKDDASIRSHSRSTSRGHSNNRLEPSSALQQKTNYDTRGHDNGDLTHGIRNNSRGHDSYEISKLALRKQWRQFERPDSFDASEEYHSQELSLALYQREGMEARGSNLVFEDQVDKVDFSGPLLSQTHRVDELLERHERHIRQAVRKSWFQNGKKHGK >Potri.002G106500.2.v4.1 pep chromosome:Pop_tri_v4:2:7870424:7875152:-1 gene:Potri.002G106500.v4.1 transcript:Potri.002G106500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106500.v4.1 MIEKSKKNKKGVISEEDVSTLLQRYTATTLLALLQEVAQFDGAKIDWNALVKKTSTGISNAREYQMLWRHLAYRHVLPEKFDDGAHPLDDDDSDLESELEAFPSVTSEASTEAAACVKVLIASGLPSDSTHPNNTTVEAPLTINIPNGRSLRATSENSQSDVMRGVNIRVPVSVQKLSLPAVMSCPASEVYDANGSGSGTFPPRRKRKPWSEAEDMELIAAVQKLGEGNWASIVRGEFKGDRTASQLSQRWAIIRKRHGNLNVGTVSSAPQLSETQRAARDAVKMALDPHPAAKSLIASSEASPAQHQSQQRTMMTKSSSIWPVGPAAKSQVMLAKASEKSILSSDPVRAAAVAAGARIATQSDAASLLKAAQAKNAVHIMPTGSSSIKSSMTGGISTHLDVNPNTRFISSGMATAPTTTRPPASGPCPGLPKATSPPPQMKASSSTAQHTQSTPVTSFNAQSEQTNSVLAKATVLPPQMKASSMTTQNTLSTPITSSTPSEQTNAESSPKQGIVTIKDTKAFGSQEVANGQVQRDGAHVSSEHVQEVKAALTNQEAELKSQVAALESSNGSPKLIMNESGLVNVTGNQVDGSQNADDNKMTCSPIKEAENQSAVQENDENQSVSERQADLPSSVSNESCIKVDSISKTEASDGMMDG >Potri.002G106500.1.v4.1 pep chromosome:Pop_tri_v4:2:7870419:7875217:-1 gene:Potri.002G106500.v4.1 transcript:Potri.002G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106500.v4.1 MIEKSKKNKKGVISEEDVSTLLQRYTATTLLALLQEVAQFDGAKIDWNALVKKTSTGISNAREYQMLWRHLAYRHVLPEKFDDGAHPLDDDDSDLESELEAFPSVTSEASTEAAACVKVLIASGLPSDSTHPNNTTVEAPLTINIPNGRSLRATSENSQSDVMRGVNIRVPVSVQKLSLPAVMSCPASEVYDANGSGSGTFPPRRKRKPWSEAEDMELIAAVQKLGEGNWASIVRGEFKGDRTASQLSQRWAIIRKRHGNLNVGTVSSAPQLSETQRAARDAVKMALDPHPAAKSLIASSAGTTSTKTPNNCASPTITAEASPAQHQSQQRTMMTKSSSIWPVGPAAKSQVMLAKASEKSILSSDPVRAAAVAAGARIATQSDAASLLKAAQAKNAVHIMPTGSSSIKSSMTGGISTHLDVNPNTRFISSGMATAPTTTRPPASGPCPGLPKATSPPPQMKASSSTAQHTQSTPVTSFNAQSEQTNSVLAKATVLPPQMKASSMTTQNTLSTPITSSTPSEQTNAESSPKQGIVTIKDTKAFGSQEVANGQVQRDGAHVSSEHVQEVKAALTNQEAELKSQVAALESSNGSPKLIMNESGLVNVTGNQVDGSQNADDNKMTCSPIKEAENQSAVQENDENQSVSERQADLPSSVSNESCIKVDSISKTEASDGMMDG >Potri.019G047420.1.v4.1 pep chromosome:Pop_tri_v4:19:7144350:7144973:-1 gene:Potri.019G047420.v4.1 transcript:Potri.019G047420.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047420.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMRKLDRVLVNEK >Potri.T012800.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:244373:248895:1 gene:Potri.T012800.v4.1 transcript:Potri.T012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012800.v4.1 MDDQPKPSSSSAPPLSSRPKWVLPYKTQNLRDHYSIGRKLGQGQFGTTFLCTHKTSGKKYACKSIPKRKLLCKEDYEDVWREIQIMHHLSEHPHVVRISGAYEDISCVHLVMELCEGGELFDRIVKKGHYSEKEAAKLMKTIVGVVEACHSLGVMHRDLKPENFLLHSVEEDAPLKATDFGLSVFYKPGETFCDVVGSPYYVAPEVLRKHYGPEADVWSAGIILYILLSGVPPFWAETEIGIFKQILQGKLDFESEPWPSISDSAKDLIRKMLERNPKKRLTAHEVLCHPWIVDDRIAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIADRLSEEEIGGLKELFKMIDTDNSGTITFDELKDGLRRVGSELMESEIKDLMDAADIDNSGTIDYGEFLAATVHLNKLEREENLVSAFSFFDKDSSGYITIDELQQACKEFGLSELHLDEMIKEIDQDNDGQIDYGEFAAMMRKGNGGIGRRTMRSTFNLGDALGLTTNGSKTSD >Potri.012G101900.1.v4.1 pep chromosome:Pop_tri_v4:12:12465152:12467571:1 gene:Potri.012G101900.v4.1 transcript:Potri.012G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101900.v4.1 MDLIHKVMNIVLPPITLTLLLLFLPPFLVFKLISCIKRYITSEKVAGKVVLITGASSGIGEYLAYEYARRGACLALAARREERLRAVADKARMLGSPDVIVISTDISNVEDCERFITEAVNHFGRLDHLVNNAGIIQIDMFEQCKEISDCAILMNTNFWGSVYVTRFAIPHLRKSKGRIVGISSIAGWCSVPRMSFYCASKAAVTSFYETLAAEFGPDIGITIVTPGVVESEMTQGDFVSKAQMDSVPAESTERCAEAIVNSACRGDRYLVEPSWTRMLFLLKVLCPEVMEWYLHRVLAARTSKKSN >Potri.016G005450.1.v4.1 pep chromosome:Pop_tri_v4:16:274622:275188:-1 gene:Potri.016G005450.v4.1 transcript:Potri.016G005450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005450.v4.1 MARISSLIMKVLVAFLLITSCVSVVMVRAAVPCRNFIDCFGKIPCDMPKIIYCKNNECVCDDQEKPLAVKRESSPPV >Potri.013G012800.1.v4.1 pep chromosome:Pop_tri_v4:13:848160:848678:1 gene:Potri.013G012800.v4.1 transcript:Potri.013G012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012800.v4.1 MGSKNRVMILAATVLYLSLHFPSQTEAGAELVSKVCKHGQNYESCVQTLTSDPQTLSAPNGKAIAEKALEIARKESVDTSDFFTGLAHTNPASKSVLQQCASNFKEAVQFLNLSGLEGGTASLDVHYALDDAESCESVMSSGRVQIDSAAARIQKWTTVYDAAQATVVFLEN >Potri.019G057800.1.v4.1 pep chromosome:Pop_tri_v4:19:9679995:9681846:-1 gene:Potri.019G057800.v4.1 transcript:Potri.019G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057800.v4.1 MGTEMVNVDEIPFPPQIAIATKPLSLLGHGITDIEIHFLQIKFTAIGVYLEPKIVGHLQQWKGKPGNELAENDDFFEALIAAPVEKFLRVVVIKEIKGSQYGVQLESVVRDRLADADKYEEEEEEALEKIVEFFQSKYMKKNSIITFYFPSTSPTAEIGFATEGKEESKIKVENANVVEMIKKWYLGGTRGVSATTISSLANTISAELAK >Potri.014G180100.4.v4.1 pep chromosome:Pop_tri_v4:14:13778936:13786878:-1 gene:Potri.014G180100.v4.1 transcript:Potri.014G180100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180100.v4.1 MDWPQLQSPCLREHITIGVQLGFLGILLLHLLRKCADLAFNGGTKTTDQGKENYHIGLKFSNSYKASMVCSTCLLGVHISMLLVLLNGQETSCNSIVRVFSAEVLQMISWAITLVAVFRIFPSRRYVKFPWIIRAWWLCSFMLSIVCTSLDINFKITNHGHLRLRDYAELFALLPSTFLLAISFRGKTGIVFNAFNGVTDPLLHEKSDKDSDTKRESPYGKATLLQLITFSWLTPLFAVGYKKPLEQDEIPDVYIKDSAGFLSSSFDENLNQVKEKDRTANPSIYKAIFLFIRKKAAINALFAVTSAAASYVGPYLIDDFVNFLTEKKTRSLQSGYLLALGFLGAKTVETIAQRQWIFGARQLGLRLRASLISHIYKKGLLLSSQSRQSHTSGEIINYMSVDIQRITDFIWYLNYIWMLPVQITLAIYILHTTLGLGSMAALTATLAVMACNIPITRFQKRYQTKIMEAKDKRMKATSEVLRNMKILKLQAWDTQFLHKIESLRKIEYNCLWKSLRLSAISAFVFWGSPTFISVVTFGACMLMGIQLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRVASFLQEGEIQHDATEHVPKDQAEYAISIDDGRFCWDSDSSNPTLDEIRLKVKRGMKVAICGTVGSGKSSLLSCILGEIQKLSGTVKISGAKAYVPQSPWILTGNIRENILFGNPYDSVRYYRTVKACALLKDFELFSSGDLTDIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGSQLFQECLMGILKDKTIIYVTHQVEFLPAADIILVMQNGRIAEAGTFSELLKQNVGFEALVGAHSQALESVLTVENSRRTSQDPEPDSESNTESTSNSNCLSHYESDHDLSVEITEKGGKFVQDEEREKGSIGKEVYWSYLTTVKGGALVPCIILAQSLFQILQIVSNYWMAWSSPPTSDTAPVYGMNFILLVYTLLSISSSLCVLVRATLVAIAGLSTAQKLFTNMLRSLLRAPMAFFDSTPTGRILNRASMDQSVIDMEIAQRLGWCAFSIIQILGTIAVMSQVAWEVFVIFIPVTAVCIWYQQYYTPTARELARLAGIQQAPILHHFSESLAGAATIRAFDQQERFYCSNLDLIDNHSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVLLVSLPEGVISPSIAGLAVTYGINLNVLQASVIWNICNAENKMISIERVLQYSSITSEAPLVLEQSRPPNKWPEVGAICFKDLQIRYAEHLPSVLKNINCAFPGRKKVGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDDVDISKIGLQDLRSRLSIIPQDPTMFEGTVRGNLDPLGQYSDYEIWEALEKCQLGDLVRGKDEKLDSPVVENGENWSVGQRQLFCLGRALLKKSRILVLDEATASVDSATDGVIQKIISQEFKDRTVVTIAHRIHTVIDSDLVLVLSDGRVAEFDTPARLLEREESFFSKLIKEYSMRSQSFNNLTNVHA >Potri.014G180100.1.v4.1 pep chromosome:Pop_tri_v4:14:13778979:13787506:-1 gene:Potri.014G180100.v4.1 transcript:Potri.014G180100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180100.v4.1 MLDLGAAAAAAAAAAANLKLLIRMDWPQLQSPCLREHITIGVQLGFLGILLLHLLRKCADLAFNGGTKTTDQGKENYHIGLKFSNSYKASMVCSTCLLGVHISMLLVLLNGQETSCNSIVRVFSAEVLQMISWAITLVAVFRIFPSRRYVKFPWIIRAWWLCSFMLSIVCTSLDINFKITNHGHLRLRDYAELFALLPSTFLLAISFRGKTGIVFNAFNGVTDPLLHEKSDKDSDTKRESPYGKATLLQLITFSWLTPLFAVGYKKPLEQDEIPDVYIKDSAGFLSSSFDENLNQVKEKDRTANPSIYKAIFLFIRKKAAINALFAVTSAAASYVGPYLIDDFVNFLTEKKTRSLQSGYLLALGFLGAKTVETIAQRQWIFGARQLGLRLRASLISHIYKKGLLLSSQSRQSHTSGEIINYMSVDIQRITDFIWYLNYIWMLPVQITLAIYILHTTLGLGSMAALTATLAVMACNIPITRFQKRYQTKIMEAKDKRMKATSEVLRNMKILKLQAWDTQFLHKIESLRKIEYNCLWKSLRLSAISAFVFWGSPTFISVVTFGACMLMGIQLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRVASFLQEGEIQHDATEHVPKDQAEYAISIDDGRFCWDSDSSNPTLDEIRLKVKRGMKVAICGTVGSGKSSLLSCILGEIQKLSGTVKISGAKAYVPQSPWILTGNIRENILFGNPYDSVRYYRTVKACALLKDFELFSSGDLTDIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGSQLFQECLMGILKDKTIIYVTHQVEFLPAADIILVMQNGRIAEAGTFSELLKQNVGFEALVGAHSQALESVLTVENSRRTSQDPEPDSESNTESTSNSNCLSHYESDHDLSVEITEKGGKFVQDEEREKGSIGKEVYWSYLTTVKGGALVPCIILAQSLFQILQIVSNYWMAWSSPPTSDTAPVYGMNFILLVYTLLSISSSLCVLVRATLVAIAGLSTAQKLFTNMLRSLLRAPMAFFDSTPTGRILNRASMDQSVIDMEIAQRLGWCAFSIIQILGTIAVMSQVAWEVFVIFIPVTAVCIWYQQYYTPTARELARLAGIQQAPILHHFSESLAGAATIRAFDQQERFYCSNLDLIDNHSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVLLVSLPEGVISPSIAGLAVTYGINLNVLQASVIWNICNAENKMISIERVLQYSSITSEAPLVLEQSRPPNKWPEVGAICFKDLQIRYAEHLPSVLKNINCAFPGRKKVGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDDVDISKIGLQDLRSRLSIIPQDPTMFEGTVRGNLDPLGQYSDYEIWEALEKCQLGDLVRGKDEKLDSPVVENGENWSVGQRQLFCLGRALLKKSRILVLDEATASVDSATDGVIQKIISQEFKDRTVVTIAHRIHTVIDSDLVLVLSDGRVAEFDTPARLLEREESFFSKLIKEYSMRSQSFNNLTNVHA >Potri.014G180100.5.v4.1 pep chromosome:Pop_tri_v4:14:13782066:13787234:-1 gene:Potri.014G180100.v4.1 transcript:Potri.014G180100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180100.v4.1 MLDLGAAAAAAAAAAANLKLLIRMDWPQLQSPCLREHITIGVQLGFLGILLLHLLRKCADLAFNGGTKTTDQGKENYHIGLKFSNSYKASMVCSTCLLGVHISMLLVLLNGQETSCNSIVRVFSAEVLQMISWAITLVAVFRIFPSRRYVKFPWIIRAWWLCSFMLSIVCTSLDINFKITNHGHLRLRDYAELFALLPSTFLLAISFRGKTGIVFNAFNGVTDPLLHEKSDKDSDTKRESPYGKATLLQLITFSWLTPLFAVGYKKPLEQDEIPDVYIKDSAGFLSSSFDENLNQVKEKDRTANPSIYKAIFLFIRKKAAINALFAVTSAAASYVGPYLIDDFVNFLTEKKTRSLQSGYLLALGFLGAKTVETIAQRQWIFGARQLGLRLRASLISHIYKKGLLLSSQSRQSHTSGEIINYMSVDIQRITDFIWYLNYIWMLPVQITLAIYILHTTLGLGSMAALTATLAVMACNIPITRFQKRYQTKIMEAKDKRMKATSEVLRNMKILKLQAWDTQFLHKIESLRKIEYNCLWKSLRLSAISAFVFWGSPTFISVVTFGACMLMGIQLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRVASFLQEGEIQHDATEHVPKDQAEYAISIDDGRFCWDSDSSNPTLDEIRLKVKRGMKVAICGTVGSGKSSLLSCILGEIQKLSGTVKISGAKAYVPQSPWILTGNIRENILFGNPYDSVRYYRTVKACALLKDFELFSSGDLTDIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGSQLFQECLMGILKDKTIIYVTHQVEFLPAADIILVMQNGRIAEAGTFSELLKQNVGFEALVGAHSQALESVLTVENSRRTSQDPEPDSESNTESTSNSNCLSHYESDHDLSVEITEKGGKFVQDEEREKGSIGKEVYWSYLTTVKGGALVPCIILAQSLFQILQIVSNYWMAWSSPPTSDTAPVYGMNFILLVYTLLSISSSLCVLVRATLVAIAGLSTAQKLFTNMLRSLLRAPMAFFDSTPTGRILNRVSNLQSSRILCQSMIQHNVNF >Potri.014G180100.3.v4.1 pep chromosome:Pop_tri_v4:14:13778903:13785887:-1 gene:Potri.014G180100.v4.1 transcript:Potri.014G180100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180100.v4.1 MDWPQLQSPCLREHITIGVQLGFLGILLLHLLRKCADLAFNGGTKTTDQGKENYHIGLKFSNSYKASMVCSTCLLGVHISMLLVLLNGQETSCNSIVRVFSAEVLQMISWAITLVAVFRIFPSRRYVKFPWIIRAWWLCSFMLSIVCTSLDINFKITNHGHLRLRDYAELFALLPSTFLLAISFRGKTGIVFNAFNGVTDPLLHEKSDKDSDTKRESPYGKATLLQLITFSWLTPLFAVGYKKPLEQDEIPDVYIKDSAGFLSSSFDENLNQVKEKDRTANPSIYKAIFLFIRKKAAINALFAVTSAAASYVGPYLIDDFVNFLTEKKTRSLQSGYLLALGFLGAKTVETIAQRQWIFGARQLGLRLRASLISHIYKKGLLLSSQSRQSHTSGEIINYMSVDIQRITDFIWYLNYIWMLPVQITLAIYILHTTLGLGSMAALTATLAVMACNIPITRFQKRYQTKIMEAKDKRMKATSEVLRNMKILKLQAWDTQFLHKIESLRKIEYNCLWKSLRLSAISAFVFWGSPTFISVVTFGACMLMGIQLTAGRVLSALATFRMLQDPIFNLPDLLSVIAQGKVSADRVASFLQEGEIQHDATEHVPKDQAEYAISIDDGRFCWDSDSSNPTLDEIRLKVKRGMKVAICGTVGSGKSSLLSCILGEIQKLSGTVKISGAKAYVPQSPWILTGNIRENILFGNPYDSVRYYRTVKACALLKDFELFSSGDLTDIGERGINMSGGQKQRIQIARAVYQDADIYLFDDPFSAVDAHTGSQLFQECLMGILKDKTIIYVTHQVEFLPAADIILVMQNGRIAEAGTFSELLKQNVGFEALVGAHSQALESVLTVENSRRTSQDPEPDSESNTESTSNSNCLSHYESDHDLSVEITEKGGKFVQDEEREKGSIGKEVYWSYLTTVKGGALVPCIILAQSLFQILQIVSNYWMAWSSPPTSDTAPVYGMNFILLVYTLLSISSSLCVLVRATLVAIAGLSTAQKLFTNMLRSLLRAPMAFFDSTPTGRILNRASMDQSVIDMEIAQRLGWCAFSIIQILGTIAVMSQVAWEVFVIFIPVTAVCIWYQQYYTPTARELARLAGIQQAPILHHFSESLAGAATIRAFDQQERFYCSNLDLIDNHSRPWFHNVSAMEWLSFRLNLLSNFVFAFSLVLLVSLPEGVISPSIAGLAVTYGINLNVLQASVIWNICNAENKMISIERVLQYSSITSEAPLVLEQSRPPNKWPEVGAICFKDLQIRYAEHLPSVLKNINCAFPGRKKVGVVGRTGSGKSTLIQAIFRIVEPREGSIIIDDVDISKIGLQDLRSRLSIIPQDPTMFEGTVRGNLDPLGQYSDYEIWEALEKCQLGDLVRGKDEKLDSPVVENGENWSVGQRQLFCLGRALLKKSRILVLDEATASVDSATDGVIQKIISQEFKDRTVVTIAHRIHTVIDSDLVLVLSDGRVAEFDTPARLLEREESFFSKLIKEYSMRSQSFNNLTNVHA >Potri.019G014384.1.v4.1 pep chromosome:Pop_tri_v4:19:2356240:2359288:1 gene:Potri.019G014384.v4.1 transcript:Potri.019G014384.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014384.v4.1 MGNGCSSTSDHDHQGLTTILSIDGGGVRGIIPSIVLAALEAKLQKLDVENKDARIADYFDFIAGTSTGGLMTAMLTTPNAEKRPSFAAKDIVKFYLEKSPLIFPQTTKQSLEDDHLFNNEAAINSLFYEAKKHVQQCYQTMCHEIIDHLIATLRYLSACTWLPNFLRERLGKTLLFPKYDGVKLHEVINEEMGQKLLSDALTNVIIPTFDIKLFQPIIFSSLEAQRDKSTDARIADVCIGTSAAPSYFPPYFFKTTVDFNLADGGLAANNPSLIAVCEVMKEQKTDRRKPLILSLGTGATNQSDRYEVGPDPREWGILRWLWYSENNGSPLIEILTTASDEMISTYISSFFQYCGWEDNYYRLQAEMKLSDAKMDDASQSNLKNLVKIGEDLAAKHDAELEALAQNLIENRKARNMASMAV >Potri.001G405200.2.v4.1 pep chromosome:Pop_tri_v4:1:43224677:43227422:-1 gene:Potri.001G405200.v4.1 transcript:Potri.001G405200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405200.v4.1 MSLAIKPHLHHHNHRMHKPTSTNSRDWTQIYKIYGMEQWQTLLFLTFQAILFSILSILFLLYFQPICQFLDTLFIFTPTSSTGGGSAARFAAGFTGCVTALSAVCLFFAAGNFFYSAVGLHYEMAQRIVSCVNDWSTVKVALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANIEGVGEYVTCREGDVRSLPFGDNYFDVVVSATFVHTVGKEYGHRTVEAAAERMRVLGEMVRVLKPCGVGVLWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHIVSFRKPSQHMLGPGEVRLDWRF >Potri.001G405200.5.v4.1 pep chromosome:Pop_tri_v4:1:43224669:43227520:-1 gene:Potri.001G405200.v4.1 transcript:Potri.001G405200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405200.v4.1 MSLAIKPHLHHHNHRMHKPTSTNSRDWTQIYKIYGMEQWQTLLFLTFQAILFSILSILFLLYFQPICQFLDTLFIFTPTSSTGGGSAARFAAGFTGCVTALSAVCLFFAAGNFFYSAVGLHYEMAQRIVSCVNDWSTVKVALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKRTTLSTLRTANIEGVGEYVTCREGDVRSLPFGDNYFDVVVSATFVHTVGKEYGHRTVEAAAERMRVLGEMVRVLKPCGVGVLWDLLHVPEYVRRLQELKMEDIRVSERVTAFMVSSHIVSFRKPSQHMLGPGEVRLDWRF >Potri.006G146300.2.v4.1 pep chromosome:Pop_tri_v4:6:12451348:12453978:-1 gene:Potri.006G146300.v4.1 transcript:Potri.006G146300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146300.v4.1 MDPNAAIEERKGFRNGVELVKSVSDKHIDLLRPSARYYTASKGQATDAADGEKGKYTLIRDPEDFQGIYDKPLPCFGCGVGWFSFLLGFVFPLMWYYGTFLYFGNYHRRDPRERAGLAAAAIAAMVFSVVLMVIAAYFSLF >Potri.001G267200.1.v4.1 pep chromosome:Pop_tri_v4:1:28202762:28205372:-1 gene:Potri.001G267200.v4.1 transcript:Potri.001G267200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267200.v4.1 MPAHVHRMDSGDQETLFQSYPCAYYVQSPSTISHANSADIKTNNNIIESTFHSPTRSDTILINKNPEVSRFTLSRYSSSRGSNNSFLIEKKVSEPENNEVNRLIIVDGHGFNGDGGYEEEGMEEDEDFYYEKRGGWWWRYCSFRRSSSCAWVSLQIFWRLVLSLCVALLVFYAATKPPPPKTSIKIAGIRLFGLAEGVDGSGVTTKILNCNCSIDLLIENKSKLFGLHIQPPVLEMSFGHLTFATSRGSKLYAQSHSSTLFQLFVGTRNKPMYGAGTNMQDMLESENGLPIVIRVSLRSNFRVVWNLIKPAFHHRAVCLLVLDGAYDKKHRTQVYNSTCTMS >Potri.014G022200.1.v4.1 pep chromosome:Pop_tri_v4:14:1333120:1333651:1 gene:Potri.014G022200.v4.1 transcript:Potri.014G022200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022200.v4.1 MARSFKSLSPMINVLLLLLVVSSSFFISDARPLSVAGAAHGSMNKGFELFFDGLYIEGIKSGPSHGGIGNKYTNAQTLGAVINSGPSNGGPGN >Potri.011G108100.1.v4.1 pep chromosome:Pop_tri_v4:11:13681438:13681767:1 gene:Potri.011G108100.v4.1 transcript:Potri.011G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108100.v4.1 MCISCKILRAMIILHTQFVINLIICMDATLTSLLWSQSMVILKEFNFADLTCFSIIKICWIKSTDAMVLCDVKVCFIGKIKDHIIYKLALLIGKYFWCIMVVNELLIIY >Potri.001G414200.3.v4.1 pep chromosome:Pop_tri_v4:1:43748469:43752822:1 gene:Potri.001G414200.v4.1 transcript:Potri.001G414200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414200.v4.1 MERFRVVFVCIFLLISPVRISAISATLTPGQSIRDGETLVSAEGNFELGFFSPGSSNNRYLGIWYKKTSPGTSVWVANREKPIVDRLGVLNVTAQGVLLLFNSTNYAVWSSNVSRTALNPVVQLLDSGNLAVKDGNDNNPDNFLWQSFDYPSETLLPGMKWGKNLVTGLDRYISPWKSSDDPARGDFAFRLDPRGYNQMLLMRGLTILFRTGTWNGFRWGGVPDTVSNTVYREQFVSTPNESYYRFDLLNSSIPSRLVISPAGIPQRLTWIPQTNLWGSYSVVQIDQCDTYTLCGVNGICSINDQAVCSCLESFVPKTPDRWNSQDWFGGCVRRTQLGCNNGDGFLKHTGVKLPDMSDSWVNTSMSLNECGDMCLSNCSCVAYSNSDIRGGGSGCYLWFSELKDTKQLPQGGEDLYIRMAASELRSYEKKRSSSRRKLRRIIVGILIPSVVVLVLGLILYMRRKNPRRQAFTPSIRIENYKDESDRKDGMELPAFDFTTIENATDCFSFNKKLGEGGFGSVYKGTLSDGQEIAVKRLSKDSGQGLTEFKNEVILIAKLQHRNLVKLLGCCIEGNERMLIYEYMPNKSLDNFIFDQTNTNILDWQTRLNIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDDSMNPKISDFGMARTFGGDQIEANTSRIVGTYGYMSPEYAVDGLFSIKSDVFSFGVLVLEIVSAKKNRGFFHPDHNHNLLGHAWRLWNEGRPLELMNKKIDDSSSLSEVIRCIQVGLLCVQQRPEDRPSMSTVVVMLSSEISLPQPKQPGFYTERSFSEQETSSSSIRSASRNNISFTVFEPR >Potri.009G143000.2.v4.1 pep chromosome:Pop_tri_v4:9:11394128:11397227:-1 gene:Potri.009G143000.v4.1 transcript:Potri.009G143000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143000.v4.1 MACKPPTQLATPGKIHRHRKFTATSTTNPFSWQATGAVNLGPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLLRPRPAPADFLRDWGATVVNADLSKPETIPATMVGVHTIIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFLQDSGLTHVIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTLIALRNEKINGKLLTFAGPRAWTTEEVITLCERLAGQDANVTTVPVSVLRFTRQLTRFFEWTNDVADRLAFSEVLTSDIVFSVPMNGTYNLLGVDQKDIVTLEKYLQDYFTNILKKLKDLKAQSKQSDFYI >Potri.017G099800.1.v4.1 pep chromosome:Pop_tri_v4:17:11155477:11162916:1 gene:Potri.017G099800.v4.1 transcript:Potri.017G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099800.v4.1 MGRGRVQLKRIENNISRQVTFSKRRTGLLKKAHEISVLCDADVAVIVFSTKGKLFEYSTDSSMESILERYERCSYAEQQFVPHGPEHQGSWFLEHPKLRARVELLQRNLRNYTGQDLDPLSYKELQHLEQKIDTALKSVRSRKNQLVHESLAEMQKKEKALQDQNNILVEQVKKKLKALTEQAQWEQQNLGQNSSSFMLPQAQPPLQPSMLSHPPPTIGGSFQIRGFLNGNKDVEVQTQPSTMPHWMLRHVNDRI >Potri.013G020400.2.v4.1 pep chromosome:Pop_tri_v4:13:1304861:1312317:1 gene:Potri.013G020400.v4.1 transcript:Potri.013G020400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020400.v4.1 MFGSGSSTGHDNSGVSPVRFVWPYGGGEVSIFGTFTRWTDLIPMSPMEGCPNVYQVVISLVPGLHQFKFYVDGQWRVDEQLSFVSGPYGPVNTVVLTKDPPQIIDSETPGRSNMELDDVSVCSEVIQGMSAADLEVSRHRISAFLSTHTAYELLPESGKVIALDVTLPVKRAFHILYEQGIPTAPLWDFCKGQFVGVLAALDFILILRELGTHGSNLTEEELETHTISAWKEGKMHLSRQIDGSGRAYSKHLIHAGPYDSLKDVASKILQNSISTVPILHSSAQDGSFPQLLHLASLSGILKCICRYFRHSAGSLPILQQPICSIPLGTWVPKIGEPNRRPFAMLKPNASLGAALSLLVQANVSSIPIVNDNDSLLDVYSRSDITALAKDKAYAQIHLDEISIHQALQLGQDANSSYGFFNGQRCQMCLRTDSLHKVMERLANPGVRRLLIVEAGSKRVEGVISLSDVFRFLLGVA >Potri.008G149100.4.v4.1 pep chromosome:Pop_tri_v4:8:10164371:10167779:1 gene:Potri.008G149100.v4.1 transcript:Potri.008G149100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149100.v4.1 MLQLNSVSRVSSSPQNPKLWLPQYNSLCSTKSISLNSKTSSTEKKKTLGVKCQYYDQQHKTFTTSSRSSPSSAPPVGESPPKVFVGHSIYKGKAALTVEPRSPEFSPLDSGAYKLVKEGFVLLQFAPAASVRQYDWTRKQVFSLSVTEIGHLVSLDAKGSCEFFHDPNKGKSDEGKVRKLLKVEPLPDGSGHFFNLSVQNKVLNIDENIYIPVTKAEYTVLTSAFNYILPYLLGWHAYANSIKPDDSSRGNNASPRYGGDYEWSR >Potri.016G142900.2.v4.1 pep chromosome:Pop_tri_v4:16:14494860:14499966:-1 gene:Potri.016G142900.v4.1 transcript:Potri.016G142900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142900.v4.1 MGSPGQNAWKLADHPKLPKGKTIAMVVLDGWGEAKPDQYNCIHVAHTPTMDSFKTTAPEKWRLIKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFKYIKECFDNGTLHLIGLLSDGGVHSRLDQLQLLLKGAVENGAKRIRVHILTDGRDVLDGSSIGFVETLEKDLSNLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFRNAVEAVKKLREEPKANDQYLPPFVIVDESGNPVGPIKDGDAVVTFNFRADRMAMLAKALEYEDFDKFDRVRVPKIHYAGMLQYDGELKLPSHYLVSPPEIDRTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFNQEMEEYVEIPSDVGITFNVQPKMKAIEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKVADDAVKMIIDAIEQVGGIFVITADHGNAEDMVKRDKSGKPLLDKNGNLQILTSHTLQPVPIAIGGPGLAPGARFRNDVPTGGLANVAATVMNLHGFEAPSDYEPTLIEVVDK >Potri.016G142900.1.v4.1 pep chromosome:Pop_tri_v4:16:14495100:14499958:-1 gene:Potri.016G142900.v4.1 transcript:Potri.016G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142900.v4.1 MGSPGQNAWKLADHPKLPKGKTIAMVVLDGWGEAKPDQYNCIHVAHTPTMDSFKTTAPEKWRLIKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFKYIKECFDNGTLHLIGLLSDGGVHSRLDQLQLLLKGAVENGAKRIRVHILTDGRDVLDGSSIGFVETLEKDLSNLREKGIDAQIASGGGRMYVTMDRYENDWDVVKRGWDAQVLGEAPYKFRNAVEAVKKLREEPKANDQYLPPFVIVDESGNPVGPIKDGDAVVTFNFRADRMAMLAKALEYEDFDKFDRVRVPKIHYAGMLQYDGELKLPSHYLVSPPEIDRTSGEYLVHNGIRTFACSETVKFGHVTFFWNGNRSGYFNQEMEEYVEIPSDVGITFNVQPKMKAIEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKVADDAVKMIIDAIEQVGGIFVITADHGNAEDMVKRDKSGKPLLDKNGNLQILTSHTLQPVPIAIGGPGLAPGARFRNDVPTGGLANVAATVMNLHGFEAPSDYEPTLIEVVDK >Potri.013G134900.1.v4.1 pep chromosome:Pop_tri_v4:13:14032801:14035537:-1 gene:Potri.013G134900.v4.1 transcript:Potri.013G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134900.v4.1 MEHISHTHVEVNGLKLHVAEIGTGPKVVLFLHGFPQIWYTWRYQMIAVAKAGYRAIAYDFRGYGLSELPAEPEKGGFIDLVEDTISLLDTLGISKAFLIGTDLGSIPAYMVAVLYPERVSGVVSLGIPFMLPGPSCVRTDLMSEGFYCNRWKEPGRAEADFGRFDIKTVVRNIYVLFSGKEPPTAKENQEIMDLVDPSTPLPPWFSEEDLAVYASLYEKSGFRYPLQVPYRTIGIDCCGITNPKVLAPTLLIMGEKDYVLGFPGMVEYIKSDLLKHIVPDLDSVFLEEGNHFVHEKLPEQVNEIMINFLNKHRK >Potri.013G134900.8.v4.1 pep chromosome:Pop_tri_v4:13:14033654:14041496:-1 gene:Potri.013G134900.v4.1 transcript:Potri.013G134900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134900.v4.1 MEHISHTHVEVNGLKLHVAEIGTGPKVVLFLHGFPQIWYTWRYQMIAVAKAGYRAIAYDFRGYGLSELPAEPEKGGFIDLVEDTIALLDTLGISKAFLVGTDLGSFPAYMIAVLYPERVTSLVSLGVPFRLPGPRDDIDLMPEGFYCKRWQEPGRAEADFGRFDVKTVIKNIYILFSGTKPPTAREDQEIMDMVDPSTPLPPWFSEEDLAVYASLYEKSGFRYSLQVPYRTIGIDCCGITNPKVLAPTLLIMGEKDYVLGFPGMVEYIKSDLLKHIVPDLDSVFLEEGNHFVHEKLPEQVNEIMINFLNKHRK >Potri.019G019800.1.v4.1 pep chromosome:Pop_tri_v4:19:3178921:3183494:-1 gene:Potri.019G019800.v4.1 transcript:Potri.019G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019800.v4.1 MNTEPLNQKSLERVVSQKALQIGSSFPCQICVVGFLSGVCLTSLFLALLTSLGTFEFGGISFFAISQGNSPLNSSSSGFINTVANADCKFKRNEVVAERWVDSKRSKDGSDDERVLLLHSAWSALLSESVDGKSAFWHSAGLDKSTVPNAPHLENCKLSERTNERLDKRAENERLPPWTTWKGLLDTHPASMANEQLKYFRHQALSEGAYPPWITGSDEENYPLTRKVQRDIWLHQHPENCRDPNVRFLVADWERLPGFGIGAQLAGMCGLLAIAINEKRVLVTSYYNRADHDGCKGSYNSSWSCYFFPETSQECRDRAFELLGNKEALERGIITTKDSYKSKEIWTGRTLRVWGEPWSFLQPTTEINGSLVAFHRKMDRRWWRAQAIRYLMRFQTQYMCGLMNIARNAAFGKEAAKMVLTSLGTEWPKDFQKHRSDIAEFVWSSHRPWIPRPLLSMHVRMGDKACEMKVVEFEGYMHLADRIRQHFPHLKTVWLSTEMQEVVDKSKQYTKWDFYYTNVRRQVGNMTMATYEASLGRQTSTNYPLVNFLMATEADFFVGALGSTWCYLIDGMRNTGGKVMAGYLSVNKDRFW >Potri.002G127900.1.v4.1 pep chromosome:Pop_tri_v4:2:9682833:9685537:-1 gene:Potri.002G127900.v4.1 transcript:Potri.002G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127900.v4.1 MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMLDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLRRFDTHSKTNEQTVQEMLSLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Potri.005G152800.1.v4.1 pep chromosome:Pop_tri_v4:5:13055575:13067953:-1 gene:Potri.005G152800.v4.1 transcript:Potri.005G152800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G152800.v4.1 MYTHSQPMNVHNQIASPGVDDDRAPADSIDHHHHIHYEDGTPTVVDDVSPESVYVNAGVAASELGIQPSDCSSQLTLTFRGQVYVFDSVTPDKVQAVLLLLGGCELTPGLEMTPQNQRGVVDYPSRCTQPQRAASLSRFRQKRKERCFDKKVRYGVRQEVALRMQRNKGQFTSAKKSEGGYGWDGVQDSGLDDSQQETSCTHCGTNSKSTPMMRRGPSGPRSLCNACGLFWANRGTLRDLTKKTDHSATLIEQGEAEANDSDSGTAIDTDNNLVTYANGGDTALITEH >Potri.002G123600.1.v4.1 pep chromosome:Pop_tri_v4:2:9369547:9371882:1 gene:Potri.002G123600.v4.1 transcript:Potri.002G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123600.v4.1 MSGGGIARGRLAEERKAWRKNHPHGFVAKPDNAPDGSLDLMMWKCIIPGKPGTDWEGGYFPLTLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDYGWRPAITVKQILIGIQDLLDQPNPSDPAQTDGYQLFVQDPAEYRRRVRQQAKLYPPTL >Potri.003G146000.1.v4.1 pep chromosome:Pop_tri_v4:3:16066019:16075501:1 gene:Potri.003G146000.v4.1 transcript:Potri.003G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146000.v4.1 MGRMGKTYTVNPIDYKLLEEVGYGASAVVYRAIYIPFNEVVAIKCLDLDRCNINLDDIRREAQTMSLIDHPNLIRAYCSFVVDHNLWVVMPFMAEGSCLHLMKIAYSDGFEEPAIGSILKETLKALEYLHQQGHIHRDVKAGNILLDTNGDVKLADFGVSACMFDAGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLLKHSFFKHVKPPELSVKKLFADLPPLWNRVKAIQLKDAAQLALKKMPSAEQEALSQSEYHRGVSAWNFDLDDLKAQASLVQDDDDDILETREEDESVKFGGDKVTTGSQSSSLNVNSKSEMQQAEYSRQLSGGKLPRVDNLNRKGRLPESDLLETSSQEKVGWKRNGSSTEAKASTSENDVMQAKAKTVKSRQTLSGPLMPGTVLSHSLSERGRTSERFENERQPTAERATREIRKAPSFSGPLILPNRASANSFSAPIKSSGGFRDSLDEKPKTNLVQIKGRFSVTSENLDLVKDIPLSTVPRRSSQSPLKKSASVGEWMFEPKQMPVNNQPPKEVNNNSVPALLLPHLQNLFQQTSIQQDIIMNLLNSLQPAEAVEAAQNGKLPPLPRGSENNGSVESASSERERSLLIKITELQNRMMNLTNELNSEKLKYEQLQQQLKAITGGEENGERSDVDS >Potri.001G295266.2.v4.1 pep chromosome:Pop_tri_v4:1:30636115:30638794:-1 gene:Potri.001G295266.v4.1 transcript:Potri.001G295266.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295266.v4.1 MYLDYDDPSKPIYLYINSSGTQNEKMETVGSETEAYAIADTMSYCKSTIYTVNCGMAYGQAAMLLSLGAKGYRALQPNSSTKLYLPKVNRSSGAAVDMWIKAKELDANTEYYIELLAKGTGKPKEELVKDIQRPKYLKAQEAIDYGIADKLLSSSDDAFEKRDYDALLAQTKAMKAQAAGPRAARSGFR >Potri.003G145400.2.v4.1 pep chromosome:Pop_tri_v4:3:16034858:16036759:-1 gene:Potri.003G145400.v4.1 transcript:Potri.003G145400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145400.v4.1 MAEVVGPRLYSCCHCRNHVALHDDVISKAFQLQGRHGRAFLFSHALNIMVGPKEDRQLMTGLHTVADVDCSDCRGVLGWKYERAYEETQKYKEGKFILENLNIVKENW >Potri.003G145400.1.v4.1 pep chromosome:Pop_tri_v4:3:16034858:16036759:-1 gene:Potri.003G145400.v4.1 transcript:Potri.003G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145400.v4.1 MAEVVGPRLYSCCHCRNHVALHDDVISKAFQGRHGRAFLFSHALNIMVGPKEDRQLMTGLHTVADVDCSDCRGVLGWKYERAYEETQKYKEGKFILENLNIVKENW >Potri.009G120000.7.v4.1 pep chromosome:Pop_tri_v4:9:10071846:10076151:1 gene:Potri.009G120000.v4.1 transcript:Potri.009G120000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120000.v4.1 MDTSLEQVEESTESTAVLKLSPAKSSLSLPSSSSSSTSPLPSQGHLHPASSTPRWLQHSSRNQLTKPVSDALIPGLKSSKSIPVSDERPPIPSWSNGSTRGCHGESSDGWSMHAFSELTATSNRERWSFDNECLGFNHKKTRSSGRNSSFPSVDLQTCGICLKLLTEKSLWSSQKLIASNELSVVAVLTCGHAYHAECLEALTPEINKYDPACPFCTLGEKQAFQLSQKALKTETDLKARNKKLRSRVVDGDLDGDSIMFDRLKGGGQEGKGPEMGSSASMKSSLAKPFLRKHFSFGSKGSRSSTETHSTRKKGFFWTKSLRG >Potri.009G120000.6.v4.1 pep chromosome:Pop_tri_v4:9:10072718:10075808:1 gene:Potri.009G120000.v4.1 transcript:Potri.009G120000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120000.v4.1 MTCLPNLFILQVEESTESTAVLKLSPAKSSLSLPSSSSSSTSPLPSQGHLHPASSTPRWLQHSSRNQLTKPVSDALIPGLKSSKSIPVSDERPPIPSWSNGSTRGCHGESSDGWSMHAFSELTATSNRERWSFDNECLGFNHKKTRSSGRNSSFPSVDLQTCGICLKLLTEKSLWSSQKLIASNELSVVAVLTCGHAYHAECLEALTPEINKYDPACPFCTLGEKQAFQLSQKALKTETDLKARNKKLRSRVVDGDLDGDSIMFDRLKGGGQEGKGPEMGSSASMKSSLAKPFLRKHFSFGSKGSRSSTETHSTRKKGFFWTKSLRG >Potri.009G120000.2.v4.1 pep chromosome:Pop_tri_v4:9:10070617:10076149:1 gene:Potri.009G120000.v4.1 transcript:Potri.009G120000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120000.v4.1 MGGACCVAARDKNIVSGPGGDILHRNIRYSPSWSFRWDNRGRVAGEDASISWFSGGISRNYGSDIKHESTYASEDGSPTESFQRRTWQKSPTSEGTAARVRTPTSDQSISRNISMDTSLEQVEESTESTAVLKLSPAKSSLSLPSSSSSSTSPLPSQGHLHPASSTPRWLQHSSRNQLTKPVSDALIPGLKSSKSIPVSDERPPIPSWSNGSTRGCHGESSDGWSMHAFSELTATSNRERWSFDNECLGFNHKKTRSSGRNSSFPSVDLQTCGICLKLLTEKSLWSSQKLIASNELSVVAVLTCGHAYHAECLEALTPEINKYDPACPFCTLGEKQAFQLSQKALKTETDLKARNKKLRSRVVDGDLDGDSIMFDRLKGGGQEGKGPEMGSSASMKSSLAKPFLRKHFSFGSKGSRSSTETHSTRKKGFFWTKSLRG >Potri.013G043400.3.v4.1 pep chromosome:Pop_tri_v4:13:3002944:3008299:1 gene:Potri.013G043400.v4.1 transcript:Potri.013G043400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043400.v4.1 MAASGVSSIQSKKKSWSISTALASAVLEWLLMCMLFTNAIFSYLITRFACQWELQTPCLLCSRLDHILGSRKLRYYWDLICGNHKLEVSSLVFCHAHNNLVDVHGMCENCLFSFATTNKSNAETYRLLVGKLGEDSSFGLDQDPLLDDHSSVARLCSCCNEPWIPRGYFQNLMRAASVGSGAANLDVPLSGTIKHDCSNIKKSKRSTSIRSTRRKTTGFDPLSHVGYTELKFISDTESEVMFFSDDGGANAATRKDISVGYVQPEPRTIILVDDSASEKLIDPVSAPEPSILTSKVLSDVIQSHNVTATASAFPIGHDLEELKWQQADWKANSFALPEFVSHDKLPPSSISRDSPRKASKGRKQISLDEVPRSSYVKETPLEASKESKIISVNIVHPSSKWRGNPVKISDERKLISLADFLPSSNGAETPVQGLKERCITREVEDWQAYVMDCEDLCKAESQPARRTETASEINPLSGENGQQFANLLDLSDAYKLSVGNRGRQLSGVLAEQRTGKDSSRFSEELKLLLSQLSSSRDQSMNAISPRVPISPRVPVSPKLSSNSDELRISDASSVLGMHILQKRITLERNESCLSLDESIVSEIEGESAVDRLKRQVEHDKKLLSALYKELEEERNASTIAANQAMAMITRLQEEKATLHMEALQYLRMMEEQSEYDTEALQKKNDLLTEKEKEVQDLEEELEFYRSKFPDESIFQTPTSDRKETGSSADHSEAGWIEDSTTTNRNSVTEKPNVCHKVEATNMSLGDKNIVTVNSSLLEFEDERSYITQSLKRLKRKLYLLSNNGLSLDLINGEHSEGEKGNDLRELNNKVGVEQNIGAEKKELSMTDRRSEPVQGHVSALEKFFIGNENNEVFYSGESSPMPPREIDLDSLVNEVSDISERLEALEADRNFLEHVVNSIRYDEEGLHFIKEIASHLKEIRKIGIPKREQEQITA >Potri.013G043400.2.v4.1 pep chromosome:Pop_tri_v4:13:3002944:3008299:1 gene:Potri.013G043400.v4.1 transcript:Potri.013G043400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043400.v4.1 MAASGVSSIQSKKKSWSISTALASAVLEWLLMCMLFTNAIFSYLITRFACQWELQTPCLLCSRLDHILGSRKLRYYWDLICGNHKLEVSSLVFCHAHNNLVDVHGMCENCLFSFATTNKSNAETYRLLVGKLGEDSSFGLDQDPLLDDHSSVARLCSCCNEPWIPRGYFQNLMRAASVGSGAANLDVPLSGTIKHDCSNIKKSKRSTSIRSTRRKTTGFDPLSHVGYTELKFISDTESEVMFFSDDGGANAATRKDISVGYVQPEPRTIILVDDSASEKLIDPVSAPEPSILTSKVLSDVIQSHNVTATASAFPIGHDLEELKWQQADWKANSFALPEFVSHDKLPPSSISRDSPRKASKGRKQISLDEVPRSSYVKETPLEASKESKIISVNIVHPSSKWRGNPVKISDERKLISLADFLPSSNGAETPVQGLKERCITREVEDWQAYVMDCEDLCKAESQPARRTETASEINPLSGENGQQFANLLDLSDAYKLSVGNRGRQLSGVLAEQRTGKDSSRFSEELKLLLSQLSSSRDQSMNAISPRVPISPRVPVSPKLSSNSDELRISDASSVLGMHILQKRITLERNESCLSLDESIVSEIEGESAVDRLKRQVEHDKKLLSALYKELEEERNASTIAANQAMAMITRLQEEKATLHMEALQYLRMMEEQSEYDTEALQKKNDLLTEKEKEVQDLEEELEFYRSKFPDESIFQTPTSDRKETGSSADHSEAGWIEDSTTTNRNSVTEKPNVCHKVEATNMSLGDKNIVTVNSSLLEFEDERSYITQSLKRLKRKLYLLSNNGLSLDLINGEHSEGEKGNDLRELNNKVGVEQNIGAEKKELSMTDRRSEPVQGHVSALEKFFIGNENNEVFYSGESSPMPPREIDLDSLVNEVSDISERLEALEADRNFLEHVVNSIRYDEEGLHFIKEIASHLKEIRKIGIPKREQEQITA >Potri.009G024100.8.v4.1 pep chromosome:Pop_tri_v4:9:3609212:3616021:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLGMVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLREGAEEHELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Potri.009G024100.12.v4.1 pep chromosome:Pop_tri_v4:9:3609260:3616020:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLG >Potri.009G024100.6.v4.1 pep chromosome:Pop_tri_v4:9:3609260:3616002:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLGMVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLREGAEEHELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Potri.009G024100.7.v4.1 pep chromosome:Pop_tri_v4:9:3609260:3616002:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLGMVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLREGAEEHELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Potri.009G024100.10.v4.1 pep chromosome:Pop_tri_v4:9:3609260:3616002:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLGMVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLREGAEEHELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Potri.009G024100.13.v4.1 pep chromosome:Pop_tri_v4:9:3609260:3616002:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLG >Potri.009G024100.9.v4.1 pep chromosome:Pop_tri_v4:9:3609212:3616021:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLGMVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLREGAEEHELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Potri.009G024100.1.v4.1 pep chromosome:Pop_tri_v4:9:3609467:3615581:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLGMVSFITSMTEHFCAGCNRLRLLADGNFKVSLRDPLREGAEEHELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Potri.009G024100.5.v4.1 pep chromosome:Pop_tri_v4:9:3609210:3616021:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLGMVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLREGAEEHELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Potri.009G024100.11.v4.1 pep chromosome:Pop_tri_v4:9:3609512:3615965:1 gene:Potri.009G024100.v4.1 transcript:Potri.009G024100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024100.v4.1 MMRRYTSKFINWHLGLRNLSFSMVGCEAESYYASRNSKCQGFFSGHLNGSMPKAYATYCAKLPEDPQSTNLASDMLIDSFGRMHTYLRISLTERCNLRCQYCMPAEGVELTRSPQLLSQDEIVHLANLFVSSGVDKIRLTGGEPTIRKDIEDICLQLSNLKGLKTLAMTTNGITLARKLPKLKECGLTSLNISLDTLVPAKFEFLTRRKGHERVMDSINAAIDCGYNPVKVNCVVMRGFNDDEICDFVELTHNKPINVRFIEFMPFDGNVWNVKKLVPYSEMLDRVGKQFPSLKRLQDHPADTAKNFRIDGHLGMVSFITSMTEHFCAGCNRLRLLADGNFKVCLFGPSEVSLRDPLREGAEEHELREIIGAAVKRKKASHAGMFDIAKTANRPMIHIGG >Potri.003G080050.1.v4.1 pep chromosome:Pop_tri_v4:3:10734887:10735969:1 gene:Potri.003G080050.v4.1 transcript:Potri.003G080050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080050.v4.1 MRRACKGKNGESETNMSGFAQNYSGFQSATGSRLGKQENMFPGQPGFHARMTSQMQGDTK >Potri.010G114300.2.v4.1 pep chromosome:Pop_tri_v4:10:13395973:13398624:-1 gene:Potri.010G114300.v4.1 transcript:Potri.010G114300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114300.v4.1 MTKEDDFKLLKIQTCVLKVNIHCDGCKQKVKKHLQRIEGVYQVNIDAEQQKVTVSGTVDTATLIKKLVRAGKHAEVWSQKSNQKQNNNCIKDDKSNKSQKQGLVKGLEAFKNQQKFPVFSSEEDDDYLDDEEDDDGDDLGFLGPSQLGLLRQHIMDANKAKKGIGAIPPASNNGNEMKNLVNGNAGKKGNPNQNMGMKVNPGGIDQKTMAALQMKNAQLGGGNISAGEGKRGNDTSTMMNLAGFRGNDANVSNATAAIAALGGNPNGLGLQVQSNNNGHQGPSAAAAAGFPTGGYSTGQYPSSMLMNMTGQNHPASMMMNMQNRNGMQQPQMNMTGQNHPASMMMNMQNRNGLQHPQMMYHRSPYNPPTTGYCYNPHPHPYADPHLAHPYAEQPNYNGDFSAAASTEMFSDESTSSCSIM >Potri.010G114300.1.v4.1 pep chromosome:Pop_tri_v4:10:13395932:13398977:-1 gene:Potri.010G114300.v4.1 transcript:Potri.010G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114300.v4.1 MTKEDDFKLLKIQTCVLKVNIHCDGCKQKVKKHLQRIEGVYQVNIDAEQQKVTVSGTVDTATLIKKLVRAGKHAEVWSQKSNQKQNNNCIKDDKSNKSQKQGLVKGLEAFKNQQKFPVFSSEEDDDYLDDEEDDDGDDLGFLGPSQLGLLRQHIMDANKAKKGIGAIPPASNNGNEMKNLVNGNAGKKGNPNQNMGMKVNPGGIDQKTMAALQMKNAQLGGGNISAGEGKRGNDTSTMMNLAGFRGNDANVSNATAAIAALGGNPNGLGLQVQSNNNGHQGPSAAAAAGFPTGGYSTGQYPSSMLMNMTGQNHPASMMMNMQNRNGMQQPQMNMTGQNHPASMMMNMQNRNGLQHPQMMYHRSPYNPPTTGYCYNPHPHPYADPHLAHPYAEQPNYNGDFSAAASTEMFSDESTSSCSIM >Potri.014G066850.1.v4.1 pep chromosome:Pop_tri_v4:14:4198388:4199386:-1 gene:Potri.014G066850.v4.1 transcript:Potri.014G066850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066850.v4.1 MEHERKHPVNLKTHHLEEHPIFSCLAKTYNEEPVLFSHALTTVWVLLWKFFNHSLDCWLEFLTKSSILARR >Potri.012G078600.1.v4.1 pep chromosome:Pop_tri_v4:12:10269967:10273098:1 gene:Potri.012G078600.v4.1 transcript:Potri.012G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078600.v4.1 MPGPGPHLMYTMASGLALTTLTSGRFSPHHTLFYTINAFFGPDIGSFSEWLGSILGSSLQLLGSSLADYIHDPFYYILILGLPLCVLYTWVSKILLQRKLLDSVSGLPLSRRQCFLLVSAGSLSHFFLDHLFEENGHSSVYTWILSTGWWKNRAPVNPDAVFVVGFLCTCLIGGFIYLNRVKPSKSTRIQSYQSLKLVLIIASLYCVWCGSQIYMVNPRRPAVGEEADLGVLVFLATYFFLPHWFCIMSMNPKDHGSDQLPV >Potri.019G046400.1.v4.1 pep chromosome:Pop_tri_v4:19:6599657:6603372:1 gene:Potri.019G046400.v4.1 transcript:Potri.019G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G046400.v4.1 MATLEVLQMVEEGLLSSPPKAENEKPRDLSIEKKIDFLESLTGKVSNRRSRRWLNDRLLMELVPRLDAEEIRGLFAPPPWGDDVPLSPFCMTNMGEWDKFRNIDMDKQANIIDRLNRRSVKREGRVDADKMAVLNAWHRIDCRTRDALRRSFLVELIESYEACIRVFIQDGGDEVLSLRVQDPFHRLLLHGVCEFYNLASVTETESKDAESFKTTKIKKKKMAVVELPNITLSNFLRMSKEGVW >Potri.011G117200.5.v4.1 pep chromosome:Pop_tri_v4:11:14837890:14840115:-1 gene:Potri.011G117200.v4.1 transcript:Potri.011G117200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117200.v4.1 MPLILLSGIPMCIHIGTAYALDHKTALGFRGASLAVSISLWISTLVLVIYVIYSKKFKHTWEGFSSESLRHIPINLKLALPSAAMVCLECWAFELLVLIAGTMPNAELTTSVIAMCVNTEDIAYMCTSGLSATVSTRVSNELGAGNPDKAKQTMATTLKLSVVLALLIVLALVIGHDIWAGFFTDDLSIIKAFASMTPFLAISIALDAFQVVFTGVTRGCGWQNLAVIVNVATFFCIGMPMATLLGFKFKLYSKVKPNKKSLFLRLLTQECSYSQTHIRHFHLL >Potri.011G117200.4.v4.1 pep chromosome:Pop_tri_v4:11:14837890:14840115:-1 gene:Potri.011G117200.v4.1 transcript:Potri.011G117200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117200.v4.1 MPLILLSGIPMCIHIGTAYALDHKTALGFRGASLAVSISLWISTLVLVIYVIYSKKFKHTWEGFSSESLRHIPINLKLALPSAAMVCLECWAFELLVLIAGTMPNAELTTSVIAMCVNTEDIAYMCTSGLSATVSTRVSNELGAGNPDKAKQTMATTLKLSVVLALLIVLALVIGHDIWAGFFTDDLSIIKAFASMTPFLAISIALDAFQVVFTGVTRGCGWQNLAVIVNVATFFCIGMPMATLLGFKFKLYSKVKPNKKSLFLRLLTQECSYSQTHIRHFHLL >Potri.011G117200.3.v4.1 pep chromosome:Pop_tri_v4:11:14837826:14840208:-1 gene:Potri.011G117200.v4.1 transcript:Potri.011G117200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117200.v4.1 MPLILLSGIPMCIHIGTAYALDHKTALGFRGASLAVSISLWISTLVLVIYVIYSKKFKHTWEGFSSESLRHIPINLKLALPSAAMVCLECWAFELLVLIAGTMPNAELTTSVIAMCVNTEDIAYMCTSGLSATVSTRVSNELGAGNPDKAKQTMATTLKLSVVLALLIVLALVIGHDIWAGFFTDDLSIIKAFASMTPFLAISIALDAFQVVFTGVTRGCGWQNLAVIVNVATFFCIGMPMATLLGFKFKLYSKVKPNKKSLFLRLLTQECSYSQTHIRHFHLL >Potri.011G117200.7.v4.1 pep chromosome:Pop_tri_v4:11:14837890:14840115:-1 gene:Potri.011G117200.v4.1 transcript:Potri.011G117200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117200.v4.1 MPLILLSGIPMCIHIGTAYALDHKTALGFRGASLAVSISLWISTLVLVIYVIYSKKFKHTWEGFSSESLRHIPINLKLALPSAAMVCLECWAFELLVLIAGTMPNAELTTSVIAMCVNTEDIAYMCTSGLSATVSTRVSNELGAGNPDKAKQTMATTLKLSVVLALLIVLALVIGHDIWAGFFTDDLSIIKAFASMTPFLAISIALDAFQVVFTGVTRGCGWQNLAVIVNVATFFCIGMPMATLLGFKFKLYSKGLWIGLICGHDIWAGFFTDDLSIILG >Potri.011G117200.2.v4.1 pep chromosome:Pop_tri_v4:11:14837890:14840115:-1 gene:Potri.011G117200.v4.1 transcript:Potri.011G117200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117200.v4.1 MPLILLSGIPMCIHIGTAYALDHKTALGFRGASLAVSISLWISTLVLVIYVIYSKKFKHTWEGFSSESLRHIPINLKLALPSAAMVCLECWAFELLVLIAGTMPNAELTTSVIAMCVNTEDIAYMCTSGLSATVSTRVSNELGAGNPDKAKQTMATTLKLSVVLALLIVLALVIGHDIWAGFFTDDLSIIKAFASMTPFLAISIALDAFQVVFTGVTRGCGWQNLAVIVNVATFFCIGMPMATLLGFKFKLYSKGLWIGLICGHDIWAGFFTDDLSIILGRVFSDPFQTKRPILFLVSSGILK >Potri.011G117200.6.v4.1 pep chromosome:Pop_tri_v4:11:14837826:14840208:-1 gene:Potri.011G117200.v4.1 transcript:Potri.011G117200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117200.v4.1 MPLILLSGIPMCIHIGTAYALDHKTALGFRGASLAVSISLWISTLVLVIYVIYSKKFKHTWEGFSSESLRHIPINLKLALPSAAMVCLECWAFELLVLIAGTMPNAELTTSVIAMCVNTEDIAYMCTSGLSATVSTRVSNELGAGNPDKAKQTMATTLKLSVVLALLIVLALVIGHDIWAGFFTDDLSIIKAFASMTPFLAISIALDAFQVVFTGVTRGCGWQNLAVIVNVATFFCIGMPMATLLGFKFKLYSKGLWIGLICGHDIWAGFFTDDLSIILG >Potri.002G140600.1.v4.1 pep chromosome:Pop_tri_v4:2:10560801:10562713:1 gene:Potri.002G140600.v4.1 transcript:Potri.002G140600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140600.v4.1 MSAPPYSFIPLNNTDEASDTSGGTKSPFQNLNSSILIIILILSVTLLVSVSLCFLLRILSRRSLRHLSPSRTTAIATTITTLSSNRLSSNNNHRVSPEDLESSVSDSLPLFSFSSIKHRPSSSPEISSGDCAVCLSTFEPEDILRLLPLCCHAFHAHCIETWLNSNQSCPLCRSRIHFSESELAKALFEGDARGGDSFRLEIGSISRREHTAPNPASSLSTATASAGADEDRSSYSVGSFDYVVEEESEVTISQSHRRSMSKESGRGGGLTLSEEPILAAEVASARGSLLREYVDRLSASLSSRTTSFRGSGRLFTGSSGRSEIAGGGDYGLGASRAGEEISELFRWFSGV >Potri.002G018000.1.v4.1 pep chromosome:Pop_tri_v4:2:1090988:1092494:1 gene:Potri.002G018000.v4.1 transcript:Potri.002G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX15 MAAAAGLVFALLVIFQMSSSVSALSSNYYEQTCPKLESAVTNAVKKAMMNDKTVPAALLRMQFHDCFIRGCDASVLLASKGKNKAEKDGPPNISLHAFYVIDNAKKAVEALCPGVVSCADILALAARDAVALSGGPTWDVPKGRKDGRISKASETRQLPAPTFNISQLQQSFSQRGLSLKDLVALSGGHTLGFSHCSSFQNRIHSFNATLDVDPTLNPSFGSSLRSVCPAHNKVKNAGATMDSSTTTFDNVYYKLLLQGNSLFSSDQALLSTRETKALVSKFASSQEMFEKAFVKSMIKMSSISGGQEIRLDCKVVR >Potri.006G230000.1.v4.1 pep chromosome:Pop_tri_v4:6:23356377:23359116:-1 gene:Potri.006G230000.v4.1 transcript:Potri.006G230000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230000.v4.1 MADKASRGLVIYGDGLASFINPSHAHLHSLASKAFCGFLTLPNAPPSESEDERIVREFAYLLDACEAYQNVSSQSTSIPTISERFMGMKAAIITNNPGLKAFGGKLGLTVFPFNDLKGNEFSLSGSSTDFVTFELLKLLGFQEGKTLETSQFDLVFVHVGAGERVNAEGHKTIAIDVEYIDALVDGIMRIAQPGSEIGSRLHLSLVMSYGYVTEGDGRDLSILTSKDEMDPALSKLFPLQSYTMKGEKPRNDIRYHCPMLISQWQYAVTRVDMAETFSFKDFKEHGGNLVIPADRFLHEVAFKLWKAPKYGA >Potri.014G172600.2.v4.1 pep chromosome:Pop_tri_v4:14:12825458:12828065:1 gene:Potri.014G172600.v4.1 transcript:Potri.014G172600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172600.v4.1 MLENPTPAATAPPPDSTTVKRYAPPNQRNRSLNRRKSGDRFDRGNSLYQNDGEKNQQPQSHANTRNNIPDHHGDAGSSSLLNDNSSPHTLIPLEGCCRSEASQLLNDRWAAIMHSYNDTAIDLSERPVMYSGSSPPAWGQFKLPHQVMSPVNSVGAPNPQMDFLSELHRAVQNAKASYEN >Potri.005G210500.3.v4.1 pep chromosome:Pop_tri_v4:5:21479557:21485604:-1 gene:Potri.005G210500.v4.1 transcript:Potri.005G210500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210500.v4.1 MDTLLHTPTSMAKRPCPIPSSPSTPPPNPNKLPNMNNQVGNLLQTFLNLADSHSLSIDQSFEHLLDSSPCDADQSLLIDRALKLGSLLLEAGNRSARKRSSFHNSLTWALPPDLTIKLFSMLDAQSLCYAAATCSMFYKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKALRSLKLGIVPGPTLPHGSCQPLVYGIRNAVEVSNFSWNDKKTRQGKESSTLTRYCLTPLSGENGAAGAVLRGLHLYNIERIDNTSLCGALSACPSLLDLEIIGLHVDLRQTLVSVSMNCPLIERLFFESSKTGRDDSLKSSTCVDLVNNCPNLTSLALRGFKLYDYKLRILVKGFCKLKYVDFSTSYSITGSFLRNLGGDMGGSLLEVLIFRDCMHLKELEVARLFASVLAGDFRFLRYLDISNREGLAAAGDSYGRCYSLSIIPTKQVLEERPDICLLAEFPSEGSFVDLGQMTDSDLTSEVSLSSQLSSRASDGLSFMCTSEINYSSDQTSGNEEG >Potri.005G210500.6.v4.1 pep chromosome:Pop_tri_v4:5:21479556:21485599:-1 gene:Potri.005G210500.v4.1 transcript:Potri.005G210500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210500.v4.1 MDTLLHTPTSMAKRPCPIPSSPSTPPPNPNKLPNMNNQVGNLLQTFLNLADSHSLSIDQSFEHLLDSSPCDADQSLLIDRALKLGSLLLEAGNRSARKRSSFHNSLTWALPPDLTIKLFSMLDAQSLCYAAATCSMFYKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKALRSLKLGIVPGPTLPHGSCQPLVYGIRNAVEVSNFSWNDKKTRQGKESSTLTRYCLTPLSGENGAAGAVLRGLHLYNIERIDNTSLCGALSACPSLLDLEIIGLHVDLRQTLVSVSMNCPLIERLFFESSKTGRDDSLKSSTCVDLVNNCPNLTSLALRGFKLYDYKLRILVKGFCKLKYVDFSTSYSITGSFLRNLGGDMGGSLLEVLIFRDCMHLKELEVARLFASVLAGDFRFLRYLDISNREGLAAAGDSYGRCYSLSIIPTKQVLEERPDICLLAEFPSEGSFVDLGQMTDSDLTSEVSLSSQLSSRASDGLSFMCTSEINYSSDQTSGNEEG >Potri.008G058100.2.v4.1 pep chromosome:Pop_tri_v4:8:3446584:3448380:-1 gene:Potri.008G058100.v4.1 transcript:Potri.008G058100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058100.v4.1 MSSPTTPSFWCYGCSRFVQVWRQDSLTCAECESGFIEEIENPPHVIQTEISSDRHRRFSPAAGTMFMIGNRSNPHNRNRRGGGAGSGDRSPMNPVILLRGGAGGAADDVVGDDGGRGGGGFELYYDDGGGSGLRPLPPSMSEFLLGSGFERLLDQLAQIEINGGFGRYENQHPPASKSAIESMPTVIVNESHIFTESHCAVCKEAFELESEAREMPCKHIYHTDCILPWLSIRNSCPVCRRELPSGDDDGGDGDNGVVSPLPEAGNGQGNNEEEAVGLTIWRLPGGGYAVGRFTGARRGERELPVVYTEMDGAFNNGGLPRRISWGSRGGGRRENGGGGSGGNRRLGFGRVLRHWFACFGTAQSSNLDSRVTGSSRPYSVFSSSSSMRRRDWAREINSARRR >Potri.008G093500.1.v4.1 pep chromosome:Pop_tri_v4:8:5835204:5836781:-1 gene:Potri.008G093500.v4.1 transcript:Potri.008G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093500.v4.1 MKNPFSSTTTLSSHGLLILALLLLFFVISSTATGVPTSASLETSSRNQHHRFKSQHHSCGSFPHKSSSRSWCIRFQRMNGRRHLGSPLPPPLPPPIEIDPRYGVEKRLVPSGPNPLHN >Potri.014G066100.1.v4.1 pep chromosome:Pop_tri_v4:14:4106530:4108025:-1 gene:Potri.014G066100.v4.1 transcript:Potri.014G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066100.v4.1 MEKHKCKLCFKSFSNGRALGGHMRSHLLKLPVPPKLEDQFPHIEVSEDTESISSSEEEAEEGQGEEEEEEEEEEEEKGVLYGLRENPKRSIRLVDPEFCFAAADAGSVVLQDRESETESSKNPTRRRSKRTERLLENHHHQYHQQRPRQEQENNNIVKKLELKKMGTFKAAAESSSGQEPEPVSSISDTTTEEDVAFCLMMLSRDRWKRKEQENQEEDRGLEEEVETETDDSGEFKSCKTKVRGKYKCETCNKVFKSYQALGGHRASHKKLKVYTPSKEPNLEPTENAGASTSLPEKKIHGCPFCLRVFSSGQALGGHKRSHVIGVAASSSTPARSSTKFGDNNLGLIDLNLPAPVDDDDISQADKLSAVSDAEFVSYIKR >Potri.001G275700.1.v4.1 pep chromosome:Pop_tri_v4:1:28945723:28947594:-1 gene:Potri.001G275700.v4.1 transcript:Potri.001G275700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275700.v4.1 MADHFEGAISLSRELSHTSDDSSSEHSPRSVPTFSTTPVSTDSPSRFGGPCNNTSPDDQHHQHKDIRIQSVQVQRKPRGRPPGSKNRPKPPIIITKDCESSMKPVILEISAGSDIIETIINFARRNHAGISVMSANGSVSNVTLSHPVSHAPSLSLHGPFNLLALFGSFVGSFASNKVPCASSSSSPGSVYSCSSFGISLAGAQGQVFGGIVAGKVIAANQVVVVAATFVNPTFHRLPCENDKDDQETKPSNVGGGGSASESCFSTGMSMTVYGLANPTPVNCQMSPPDIVHWPGPSSRPSY >Potri.002G073200.1.v4.1 pep chromosome:Pop_tri_v4:2:5146642:5149398:-1 gene:Potri.002G073200.v4.1 transcript:Potri.002G073200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G073200.v4.1 MSVKLLQPVGQKRLTNVAVVRLKKHGMRFEIACYKNKVLSWRSGVEKDLDEVLQSHTVYSNVSKGVLAKNSDLVKAFGHDDHTKICLEILEKGELQVAGKERDSQLSSQFRDIATIVMQKTINPETQRPYTISMVERLMHEIHFAVDPHSSSKKQALDVIRELQKHFPIKRSPMRLRLAVIGQKFPNLLEKLNAWDANVVSKDESGSHQSVICEMDPGFFRDCDALVRNLQGRMEILAVSVHFEGDTHVDDFDDYEDVPPALPKESADSEVQLSEKIQKQTISEEKKADTLAKQNKCSTCNAFVGDAKQFRDHFKSDWHKHNLKRKTKQLPPLTAEECLADMDLNDSKADLKEYSF >Potri.003G184800.2.v4.1 pep chromosome:Pop_tri_v4:3:18931144:18931731:-1 gene:Potri.003G184800.v4.1 transcript:Potri.003G184800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184800.v4.1 MEHPPTIFMVPEPPPFPAPPRSVDLAPLEFILAFIAVIAVPALIYTFFFSIKCPPGPFRRRRRHRSSSSIISETLSVSEIVDTDDLNGTTSGDQKERVSDVKFQKDTHLQDVGSECPVCLSVFSDGEAVKQLSVCKHSFHASCIDMWLSSNSNCPVCRASTAPPAKHPGKNPSSSTSRNNVDDLQQGLPDAASLV >Potri.001G471900.1.v4.1 pep chromosome:Pop_tri_v4:1:49478425:49479332:1 gene:Potri.001G471900.v4.1 transcript:Potri.001G471900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471900.v4.1 MATSSLLFTPTIQKNHHNLTPSNLSFQGLRPLTRAKTTSLSKISTAAPKRSLAVKAELNPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPEQNGLTHFEAGDKRAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATASNGYDWDPSFPCCF >Potri.009G023001.1.v4.1 pep chromosome:Pop_tri_v4:9:3514941:3515630:1 gene:Potri.009G023001.v4.1 transcript:Potri.009G023001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G023001.v4.1 MGTELCREMELWILIVMASLCIGTRRKECSELVMVPAAARMALKALTFYPPYMKFRSGPLSYLSTLEISRLFMWDVLFSKFSFQQFLIWMMAGRGFGERNRIIRTDGTFRDIAYVFEN >Potri.001G118900.1.v4.1 pep chromosome:Pop_tri_v4:1:9665527:9670385:-1 gene:Potri.001G118900.v4.1 transcript:Potri.001G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118900.v4.1 MDATTVEELKQFIEQCKSSPSILADPSLFFFRDYLESLGAKLPASARKKSYVVEESDEEMEEKEESQVEPQVEEEEEEEEIIESDLELEGDTVDPDNDPPQKMGDPSVEVTDECRDASQEAKAKAMEALSQGKLEEAIEHLTEAISLNPTSAIMYATRATVYIKMKKPNAAIRDANAALEINPDSAKGYKSRGMAQAMLGQWEDAAKDLHLASKLDYDEEISAVLKKVEPNAHRIEEHRRKYERLHKERGDRKAERERQRRRAKAQADYEKAKKQEQSSSSRKPGGMPGGFPGGMPGGFPGAMPGGMPGGFPGAMPGGMPGGFPGAMPGGMPGGMPGGFPGAMPGGMPGNIDFSKILNDPELMAAFSDPEIMAALQDVMKNPANLAKHQANPKVAPTIAKMMGKFAGPQ >Potri.018G032000.1.v4.1 pep chromosome:Pop_tri_v4:18:2356152:2358966:1 gene:Potri.018G032000.v4.1 transcript:Potri.018G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032000.v4.1 MSGKGAKGLLTSKTPAQSKEKDKKKPTSRSSRAGLQFPVGRIHRLLKSRATAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Potri.019G010200.1.v4.1 pep chromosome:Pop_tri_v4:19:1457952:1460512:-1 gene:Potri.019G010200.v4.1 transcript:Potri.019G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010200.v4.1 MEASTTFLENSSAAAGDWEDEEGDEGMRVQAEEGVQCSTANRWPKQETLALLEIRSDMDVAFRDSVVKAPLWEEVSRKLNELGYNRSAKKCKEKFENIYKYHRRTKGSQSGRPNGKTYRFFEQLQALDKTNALVSPTSSDKDHCLMPSASVIPVSFIPNDVPCSVQSPRMNCTDATSTSTASTSSEESEGTRKKKRRLTDFFERLMKEVIEKQENLQNKFLEAIEKCEQERIAREEVWKMQELDRIKREQELLVHERAIAAAKDAAVLAFLQKFSEQGIPVQLPDNPTVPMKFPDNQTSPALLSKNQAVPVENVVKTHENSSVESFVNMSSSRWPKEEIESLIKIRTYLEFQYQENGPKGPLWEEISTSMKNLGYDRSAKRCKEKWENMNKYFKRVKDSNKKRPGDSKTCPYFQQLDALYREKTRRVDNPSYELKPEELLMHMMGGQEDQQLPDSATTEDRESENVDQIQVDYRGKEDGDGYGIVAIDPSSLEIME >Potri.010G163000.4.v4.1 pep chromosome:Pop_tri_v4:10:16781496:16784826:1 gene:Potri.010G163000.v4.1 transcript:Potri.010G163000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163000.v4.1 MTENGKEHQQPKQQQPSSPSKSLSSRPTTITLPPRSFTETFFSSGAPGTLGFSPGPMTLLSSFFSDSDDCKSFSQLLAGAIASPNFKPPDDKSSAGDFSSSSSLSIVPPPPMFSMPLGLSPVSLPDSPGFGLFSPQGFGMTHQQALAQVTAQAAQANSIMHVQPEYSTPAMSSTFTSTQGAHQQQQKVRSVADSRVKIQELSDFSRSDQRSESSSLAVDKPANDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQVTEIIYKGQHNHQPPQSNKRGKDTGGLNGNSNSHGNSELDSRFQSGNVSKERDRKDQESSQATPEHISGMSDSEEVGDTEAGGEVDEDEPDPKRRSTEVRVTEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRWFSLLHRL >Potri.010G163000.5.v4.1 pep chromosome:Pop_tri_v4:10:16781552:16784818:1 gene:Potri.010G163000.v4.1 transcript:Potri.010G163000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163000.v4.1 MTHQQALAQVTAQAAQANSIMHVQPEYSTPAMSSTFTSTQGAHQQQQKVRSVADSRVKIQELSDFSRSDQRSESSSLAVDKPANDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQVTEIIYKGQHNHQPPQSNKRGKDTGGLNGNSNSHGNSELDSRFQSGNVSKERDRKDQESSQATPEHISGMSDSEEVGDTEAGGEVDEDEPDPKRRSTEVRVTEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTAGCKVRKHVERAAADPKAVITTYEGKHNHDVPAAKNSSHNTVNSNASQLKPQTLEKHASNNSNSQPAARLRLKEEQIT >Potri.010G163000.1.v4.1 pep chromosome:Pop_tri_v4:10:16781461:16784821:1 gene:Potri.010G163000.v4.1 transcript:Potri.010G163000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163000.v4.1 MTENGKEHQQPKQQQPSSPSKSLSSRPTTITLPPRSFTETFFSSGAPGTLGFSPGPMTLLSSFFSDSDDCKSFSQLLAGAIASPNFKPPDDKSSAGDFSSSSSLSIVPPPPMFSMPLGLSPVSLPDSPGFGLFSPQGFGMTHQQALAQVTAQAAQANSIMHVQPEYSTPAMSSTFTSTQGAHQQQQKVRSVADSRVKIQELSDFSRSDQRSESSSLAVDKPANDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQVTEIIYKGQHNHQPPQSNKRGKDTGGLNGNSNSHGNSELDSRFQSGNVSKERDRKDQESSQATPEHISGMSDSEEVGDTEAGGEVDEDEPDPKRRSTEVRVTEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTAGCKVRKHVERAAADPKAVITTYEGKHNHDVPAAKNSSHNTVNSNASQLKPQTLEKHASNNSNSQPAARLRLKEEQIT >Potri.010G163000.6.v4.1 pep chromosome:Pop_tri_v4:10:16781489:16784822:1 gene:Potri.010G163000.v4.1 transcript:Potri.010G163000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163000.v4.1 MTHQQALAQVTAQAAQANSIMHVQPEYSTPAMSSTFTSTQGAHQQQQKVRSVADSRVKIQELSDFSRSDQRSESSSLAVDKPANDGYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSLDGQVTEIIYKGQHNHQPPQSNKRGKDTGGLNGNSNSHGNSELDSRFQSGNVSKERDRKDQESSQATPEHISGMSDSEEVGDTEAGGEVDEDEPDPKRRSTEVRVTEPASSHRTVTEPRIIVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRWFSLLHRL >Potri.010G232700.3.v4.1 pep chromosome:Pop_tri_v4:10:21431061:21436374:1 gene:Potri.010G232700.v4.1 transcript:Potri.010G232700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232700.v4.1 MGVYEAGVNGTDGTATATTCSCWNTSMRCWCRWRWENHQQQQQQHNVLRQRLVSLVFSSGFTFFLGYLVLYGSIGMFYAWLMFSKPYLRSTNAGVGLKSLGCQEDNEGSWSIGVFYGDSPFSLKPIEAMNEWRDEGAAWPIANPVVTCASLSDAGFPSNFVADPFLYVQGDTLFLFYETKNSITSQGDIGVAKSIDKGATWQQLGIALDEDWHLSYPYVFNYLGQIYMMPESSQKGELRLYRALNFPLQWTLDKVLIKNPLVDSFIINRAGEYWLFGSDHSGVGTRKNGQLEIWYSSSPLGPWKPHKKNPIYNVDKSVGARNGGRPFVYDGNLYRVGQDCGETYGRRVRIFKVEVLTKDDYKEVEVPLGFEESNKGPNAWNGARYHHLDVQQLSSGKWIAVMDGDRVPSGDPVHRFILGSASFAAVTVVVVVLGVLLGAVKCIIPLNWCAHFSGKRNNALLGRERLNLFSSKVRRFCSRLNRVPLSVRGKIKPNTWAGKLVLAVIFAVGIALMCAGVKYFYGGNGAEEAYPLNGSYSQFTLLTMTYDARLWNLKMYVRHYSRCSSVKEIIVVWNKGIPPRSSDLDSTVPVRIRVEDQNSLNNRFKKDPMIKTRAVLELDDDIMMSCDDIERGFNVWRQHPDRIVGFYPRLVRGSPLKYRDEKYARRHESYNMILTGAAFIDRTLAFERYWSSEAKAGRELVDRYFNCEDVLLNYLYANASSSQTVEYVRPTWVIDTSKFTGVAISKNTNVHYEIRSNCLLKFSDIYGSIAGRKWEFNGRKDGWDL >Potri.017G090200.1.v4.1 pep chromosome:Pop_tri_v4:17:10339756:10344006:1 gene:Potri.017G090200.v4.1 transcript:Potri.017G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090200.v4.1 MAEPSISLAVTPTIAEADRGNSKRNSMGKSSSSDTSKEILPHYLRASTGSCHDFCKYGRKHAFEEKARCSFPRRIIKKLPDDQSLAESQTEDYSTSVVKVKSSPNSKSPSANSPEIIKREVSTKSVVSQTPLFKEVLTKRMTPAGLLARSFDSQSSMLREALAKKESSAGSPLTKSFDGRSPVSREVLAKKKSSAGSPLTKSFDGRSPVSSEVLFKKMTLTQEVSTKSADSQGSGPSEIWMRKKTSAVRRKENSKINSSTKLDGGQGSGSSEIWMKTKTTAVGRKKDSEVNSYPSITRQDLASSSEKPGVSMKQVLSKAKEVKLSAKYASTLNPKSSSPDASRVFGARRNGDIKIKRRTGTSKPVAKNLQASPRASFSPRRSVSGVARGLASPRASLSSKPSPIRIACLNEKKNRSLKLTPQTKEINDKQHKNKNSHKNADPVQPDGKLEESNGDTIQEKTLYVIKVKADNKYVESDHNENVSVEASPPLLSSLKSPSLPKSISSMSHSEKDEEESEYTVTEAEDDTSSEYDETECIEETETLEAEHRGRNRKSGMVLSEDKDGNPVKLRFRRGRVIDILSENNSPRRLKFRRGRVLGDNQNLKADGRRSFKRRGADGDVIDSKHDSGKFVLRHQDVHGKKDAQGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDGKPSANAAS >Potri.017G090200.2.v4.1 pep chromosome:Pop_tri_v4:17:10340106:10343894:1 gene:Potri.017G090200.v4.1 transcript:Potri.017G090200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090200.v4.1 MAEPSISLAVTPTIAEADRGNSKRNSMGKSSSSDTSKEILPHYLRASTGSCHDFCKYGRKHAFEEKARCSFPRRIIKKLPDDQSLAESQTEDYSTSVVKVKSSPNSKSPSANSPEIIKREVSTKSVVSQTPLFKEVLTKRMTPAGLLARSFDSQSSMLREALAKKESSAGSPLTKSFDGRSPVSREVLAKKKSSAGSPLTKSFDGRSPVSSEVLFKKMTLTQEVSTKSADSQGSGPSEIWMRKKTSAVRRKENSKINSSTKLDGGQGSGSSEIWMKTKTTAVGRKKDSEVNSYPSITRQDLASSSEKPGVSMKQVLSKAKEVKLSAKYASTLNPKSSSPDASRVFGARRNGDIKIKRRTGTSKPVAKNLQASPRASFSPRRSVSGVARGLASPRASLSSKPSPIRIACLNEKKNRSLKLTPQTKEINDKQHKNKNSHKNADPVQPDGKLEESNGDTIQEKTLYVIKVKADNKYVESDHNENVSVEASPPLLSSLKSPSLPKSISSMSHSEKDEEESEYTVTEAEDDTSSEYDETECIEETETLEAEHRGRNRKSGMVLSEDKDGNPVKLRFRRGRVIDILSENNSPRRLKFRRGRVLGDNQNLKADGRRSFKRRGADGDVIDSKHDSGKFVLRHQDVHGKKDAQGLFNNVIEETASKLVETRKSKVKALVGAFETVISLQDGKPSANAAS >Potri.008G013700.1.v4.1 pep chromosome:Pop_tri_v4:8:684312:687962:-1 gene:Potri.008G013700.v4.1 transcript:Potri.008G013700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013700.v4.1 MAKAWIALVGLLFICCWSSTMGAEEHVLYKDPTKPVDKRVKDLLKRMTLEEKIGQMVQLERTNMTAEIMRKYYIGSLLSGGGSVPADRASPKQWVDMVNTFQKGSLSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGVTRDPALVKKIGAATALEVRATGIPYAFAPCIAVCRDPRWGRCYESYSEDHKIVQMMTEIIPGLQGDVPANFQRGTPFVSGKDKVAACAKHFVGDGGTVKGINENNTIVTHNELYSIHMPAYLNSLDKGVATVMVSYSSINGLKMHANRGLVTGFLKRKLKFRGFVISDWEGIDRITYPPHKNYSYSILKSVNAGVDMVMVPYNYTEFINGLTDLVYKKAIRIQRIDDAVRRILRVKFAMGLFENPLADYSFVDKLGSKEHRELAREAVRKSLVLLKNGKSAKSPVVPLPKKASKILVAGTHADNLGNQCGGWTIKWQGQEGNNLTAGTTILKGIQAAVDPSTKVVFKENPNAKYVKSQGFSHAIVVVGEPPYAETAGDNLNLTLPNPGPKIINNVCGAVKCVVVIVSGRPLVIESYVPKIDALVAAWLPGSEGQGVADVLFGDYGFTGKLARTWFKRVDQLPMNVGDKHYDPLFPFGFGLETKPSN >Potri.014G057400.1.v4.1 pep chromosome:Pop_tri_v4:14:3642039:3647154:-1 gene:Potri.014G057400.v4.1 transcript:Potri.014G057400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057400.v4.1 MQGLKSAAALLIRSCCNNNKSKLSHSFLTHCPQHSRSLSSSPPTEDDLDNQVLVEDKANSRSAILNRPSALNALNTNMGARLLKLYKAWEKDSSVGFVTLKGSGRAFSAGGDIVNLYHLINQGKWEACREFFGTLYTFIYVLGTYLKPHVAILNGITMGGGTGISIPGTFRLATDKTVFATPETLIGFHPDAGASFFLSHLPGHLGEYLALTGGTLNGAEMIACGLATHYTNSEKLHLVEHHLGKLVTDDPSVIETSLEQYGDLVYPDKMSVLHRIEMVDKCFSHDTVEEIFDALEREAAETNDAWFNSTLRRLKEASPLSLKVSLRSIQEGRFQTLDQCLVREYRMSLQGISKQISGDFCEGVRARMVDKDLAPKWNPPSLEQVSEDMVDRYFSPLSESEPDLDLPTKQREAFT >Potri.006G089700.1.v4.1 pep chromosome:Pop_tri_v4:6:6843765:6846006:1 gene:Potri.006G089700.v4.1 transcript:Potri.006G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089700.v4.1 MAETEMGCREQRWSLKGMTALVTGGTRGIGFAIVEELAGFGARVYTCSRNEKELNERIKEWEIKGFNVRGSVCDLSSQDDRQKLIGSVTSAFDGKLNILVNNAATAILRKSLDYTLEDYSFIMNTNLQSPYHLCQLAHPLLKASGNGNIVFISSVAGIVALPMLSVYSATKGAINQLTRNLACEWAKDNIRTNTVAPGGIRTTVGQDQSADPDVGEAYSDMFSRIPISRIGEPNEVSSLVVFLCLPTASYINGQVICVDGGLTAKAF >Potri.010G086900.2.v4.1 pep chromosome:Pop_tri_v4:10:11269384:11272477:1 gene:Potri.010G086900.v4.1 transcript:Potri.010G086900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086900.v4.1 MTFLTPNSLATILETAVSTHSSLLGRATHAQILKTLQCTIPPFLSIHLISMYSKLDLPNPAQLLLQLTPTRCVVTWTALISGSVQNGKQIHAIALKLGQINDKFVGCSAFDMYSKTGLKFEAQRLFDEMPPRNVAVWNAYISNAVLDGRPGKAIDKFIEFRRVGGEPDLITFCAFLNACADARCLDLGRQLHGLVIRSGFEGDVSVANGIIDVYGKCKEVELAEMVFNGMGRRNSVSWCTMVAACEQNDEKEKACVVFLMGRKEGIELTDYMVSSVISAYAGISGLEFGRSVHALAVKACVEGDIFVGSALVDMYGKCGSIEDCEQVFHEMPERNLVSWNAMISGYAHQGDVDMAMTLFEEMQSEAVANYVTLICVLSACSRGGAVKLGNEIFESMRDRYRIEPGAEHYACIVDMLGRAGMVERAYEFVQKMPIRPTISVWGALLNACRVYGEPELGKIAADNLFKLDPKDSGNHVLLSNMFAAAGRWDEATLVRKEMKDVGIKKGAGCSWVTAKNKVHVFQAKDTSHERNSEIQAMLVKLRTEMQAAGYMPDTNYALYDLEEEEKMTEVGYHSEKIALAFGLIALPPGVPIRITKNLRICGDCHSAFKFISGIVGREIIVRDNNRFHRFRDSQCSCRDFW >Potri.010G086900.1.v4.1 pep chromosome:Pop_tri_v4:10:11269377:11272889:1 gene:Potri.010G086900.v4.1 transcript:Potri.010G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086900.v4.1 MTFLTPNSLATILETAVSTHSSLLGRATHAQILKTLQCTIPPFLSIHLISMYSKLDLPNPAQLLLQLTPTRCVVTWTALISGSVQNGYFSSALLYFSKMRRENIKPNDFTFPCAFKASTALCLPFAGKQIHAIALKLGQINDKFVGCSAFDMYSKTGLKFEAQRLFDEMPPRNVAVWNAYISNAVLDGRPGKAIDKFIEFRRVGGEPDLITFCAFLNACADARCLDLGRQLHGLVIRSGFEGDVSVANGIIDVYGKCKEVELAEMVFNGMGRRNSVSWCTMVAACEQNDEKEKACVVFLMGRKEGIELTDYMVSSVISAYAGISGLEFGRSVHALAVKACVEGDIFVGSALVDMYGKCGSIEDCEQVFHEMPERNLVSWNAMISGYAHQGDVDMAMTLFEEMQSEAVANYVTLICVLSACSRGGAVKLGNEIFESMRDRYRIEPGAEHYACIVDMLGRAGMVERAYEFVQKMPIRPTISVWGALLNACRVYGEPELGKIAADNLFKLDPKDSGNHVLLSNMFAAAGRWDEATLVRKEMKDVGIKKGAGCSWVTAKNKVHVFQAKDTSHERNSEIQAMLVKLRTEMQAAGYMPDTNYALYDLEEEEKMTEVGYHSEKIALAFGLIALPPGVPIRITKNLRICGDCHSAFKFISGIVGREIIVRDNNRFHRFRDSQCSCRDFW >Potri.015G138050.1.v4.1 pep chromosome:Pop_tri_v4:15:14616024:14616537:-1 gene:Potri.015G138050.v4.1 transcript:Potri.015G138050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138050.v4.1 MCWKQFLSIIEEEEEEEEEEEQYGQGEEWIRFLEPNGPSIWKRHREQPLLFLNVNEGVTMLLKCFHIRGGSVFQAYRG >Potri.017G052232.1.v4.1 pep chromosome:Pop_tri_v4:17:3830892:3832641:-1 gene:Potri.017G052232.v4.1 transcript:Potri.017G052232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052232.v4.1 MGSLPKSTKAHAVCVPYPAQGHITPMLKVAKLLHHKGFHITFVNSEYNHRRLLKSRGRNSLVVLPDFQFETIPDGLGDQLDADVTQDTSFLCDSTSKACLDPFRQLLAKLNSSNVVPPVTCIVADSGMSFALDLKEELQIPVITFWTSSACGTLAYAHYKHLVERGYTPLKEESDLTNGYLETKIDWIPGMKDIRLKDLPTFIRTTDRNDVMLNFVIRVIDRASKASAALVNTFDDLDHDVLVALSSMFPPIYSVGPLNLLLDQTQNDYLASIGSGLWKEETECLHWLDSKDPNSVVYVNFGSITVMNPQQLVEFSWGLANSKKNFLWIIRPDLVRGDSAVLPPEFLEETRERGLMASWCAQEKVLKHSSIGGFLSHMGWNSTIESLSNGVPMLCWPFFSEQQTNCKFACVDWGVGMEIESDANRDEVEKLVIELIDGEKGKEMKRKAMEWKSKAEATTGINGSSSMNFDKLVNDVLRFQKP >Potri.019G062266.1.v4.1 pep chromosome:Pop_tri_v4:19:10236172:10237284:1 gene:Potri.019G062266.v4.1 transcript:Potri.019G062266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062266.v4.1 MMMAAAVRRGSEPFFIESHFQRCQMKITGMILPSLLSSSHSNSISSSSSSSRYKHQNDDASPSFRNIDDALASFNHMLHRKPLPCIIQFTKLLSAIVKMRQYYDAVISLSRPMELAGLSPNTYTLNILINCFCKLQHVDLGFSVLAKVIKLGLQPDVVPFTTLINGLCKVGKFSQAVELFDETVERGCRPDFHT >Potri.012G128200.1.v4.1 pep chromosome:Pop_tri_v4:12:14406314:14408368:1 gene:Potri.012G128200.v4.1 transcript:Potri.012G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128200.v4.1 MDKPLRTHFSLIKLIAWTCIFLGLILFFSLHYPHSSPSNRLKYLEDSDWKYQILNSGRPKSRNGFRVLVTGAAGFVGMHVSTALRQRGDGVVGLDNFNGYYEKSLKRAREDLLKSKDVFIVEGDINDGVLLTKLFKLVKFTHVMHLAAQAGVRYAMKNPGSYVHSNIGGFVSLLEVCKLMNPQPAIVWASSSSVYGLNKKVPFSEIDRTDNPSSLYAATKKAGEAIAHTYNHIHGLSITGLRFFTVYGPWGRPDMAYFFFTRDMLKGKQISVFEGLNGFTVSRDFTYIDDIVKGCLGALDTATKSTGSGGVKKGPAQLRVYNLGNTSPVPVSKLVNILEKLLKVKANKVVSPMPANGDVLFTHANISLARRELGYKPTTDLQSGLKKFVAWYLDYYKPSGKKSSV >Potri.015G009500.1.v4.1 pep chromosome:Pop_tri_v4:15:614248:619762:-1 gene:Potri.015G009500.v4.1 transcript:Potri.015G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009500.v4.1 MDLPSEKPLKIHLVNSTESPEFTHLTQSLTRSSIIGLDAEWKPIRGQTHQPTFPTVSLLQLACQLGHDSDESETFLLDLHSVPLPSIWELLRETFVSPDILKLGFKFKQDLVYLSSTFCLQGCDPGFDKVEPYLDITSIYYQMQHKQQGRKGPKETKSLATICKEVLGISLSKELQCSDWSHRPLTEEQKTYAAADAHCLLKIFNVFQDNIVKKGNSSNDMVELHSSGVNLGLKEILEKHDIDDKIIRIKYFEASHIFQATVSSENCQRIAEVDVAVSRTSSRNTMPMNESLLKIVRKYGEKILLRESDRKPKAARKKAKKHPSLVAVTREKQLDNTGDWQGPPPWDLSLGGDGCPKFLCDVMIEGLAKHLRCVGVDAAIPSSKKPESRELLDQAYREQRVLLTRDAKLLRHQYLIKNQIYRVKNLLKNEQLLEVIETFQLQINEDQLMSRCTKCNGRFIQKPLTTEEAVEAAKGFQRIPDCLFNKNLEFWQCMECNQLYWEGTQYHNAVQKFIDVCKLNE >Potri.014G133700.1.v4.1 pep chromosome:Pop_tri_v4:14:8961330:8962174:-1 gene:Potri.014G133700.v4.1 transcript:Potri.014G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX17 MDVVNVLIQDKPVVIFSKSSCCMSHSVETLIRGFGANPTVYDLDRIPNGQQIERALMQLGFRQSVPAVFIGQQLVGNERNVMSLHIQNQLVPLLIQAGAIWI >Potri.004G035700.1.v4.1 pep chromosome:Pop_tri_v4:4:2795391:2797302:-1 gene:Potri.004G035700.v4.1 transcript:Potri.004G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035700.v4.1 MGSLSLSLKVVLISTSVLFLSSYLKVFVTLVHGFYVNQASLLWTSLVSWLKPPYLYVIINCIIITIVASSRFDHNHDTNSTTTHDHIEKIPMDDYVHGMKISTVKTRFDGALKSRALIVYQDRVEEEEEEEVIQDKCMVIEDRENGVAGDFVISKSTWVPPIKRNDSSENNLLLLENLSPAGKPLVSSRFGHGKVVKARPEGGRALRVGKPQPNETLENIWKKITEDRAMPLTRHVKKPQTPMDNWENYGSQFSTSRMDPHAVNKSETFNGRTNYQLPPVSSSSPASGKLRKEPSLSQEELNQRAEAFINKFNEEMRMQRQGSFNTRR >Potri.001G027000.1.v4.1 pep chromosome:Pop_tri_v4:1:2023475:2024676:-1 gene:Potri.001G027000.v4.1 transcript:Potri.001G027000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027000.v4.1 MAVEMALATTMATETQFHVLAVDDCLIDRKLIERLLKTSSYQVTAVDSGSKALEFLGLNGENELRDSKPASVSPDPYHQHIEINMIITDYCMPGMTGYDLLKKIKESKYFKDIPVVIMSSENVPSRINRCLKEGAEEFFLKPVQLSDVNKLRPHLMKGRCKEEEEEEEEEEEDQPNNKRKGMEEIVNSPDRTRTRYNDGLEVV >Potri.001G371600.1.v4.1 pep chromosome:Pop_tri_v4:1:38909261:38916055:-1 gene:Potri.001G371600.v4.1 transcript:Potri.001G371600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371600.v4.1 MGKRKERRLAAVSNAGRRIKLDLFAEPSGDLGGSSVNNGVGGDIDPSQRAELPNSPSSSGQLPQNPLLLLGQYSDDDLDEESSKRPDSSIAVNSPADHNDQEAPIGEGKGGNSNALEDLTTQEVDQQDMRRDSMSVDVLEGLEGGDSRESDATASADTLKEKDSLEKISITGISNAQAIGDVSSGWRMVVHEESNQYYYWNTETGETSWEIPAVLAQQNQLTSDQNACAAEYMETAHMGANLSTSTLAAGLDSSLPALLVEGSVGNDLIPQSTEVYGNEPQMNDWVEGYRNEYVKDKNWDAEAHQGETQSNFAAINTSLGDVSSVVSEHIHDALANDHRGIDLSTSLMKQCESLLERLESLKGYGSHLQGQDQMLKYNLEVEIRLSDIKSLSTYGSPLLPFWVHCERRLKQLEDVINNEIYQLAVSAQMDGDVETTADDSFKEKEKSQENMGEESEADAHENSTKSEVSPVSTSIENDSHDKGDFGSIHSSNILAGSPSMHLEGGAPVSEELNGTIHLNAEIHPAEDIDMDVDMEVEEGEFCPASITTFGDALSAEDVGLNEKMVQSNPPAEHLSLSSGDALTVPPPPDEEWIPPPPPDNDQVPPPPPDNEQVPPPPPDEPPECSYPPLPSYPETGQPLPYAEQYNLTYPDLNFQYYGHTVAVPSCNFYGNTDGSQAAVPHASLYYLATPSTYVETDSVMVNSVQPVEYYNVQDGSVPVPVVSGVESSQSYIESGPVSYDTLASDQIKTGDSVAELNVKLGGSAVGVETYLASKGVPSTLTTTEAPTLASVKETAYASSTNAVTASTAAAASALLTGAKVQTKVSRKKRTVAVAPSLRSNKKVSSLVDKWKAAKEELNENEEEEPKSAYEIFEKKRQREIEEWHAKQIASGEAKDNANFQPLGGDWRERVKRRRAQAAKAAALTPSEAPTDENKQPDLEELSKGLPSGWQVYWDGSSKQVYYGNVITSETTWTRPPK >Potri.001G371600.2.v4.1 pep chromosome:Pop_tri_v4:1:38909128:38916003:-1 gene:Potri.001G371600.v4.1 transcript:Potri.001G371600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371600.v4.1 MRRDSMSVDVLEGLEGGDSRESDATASADTLKEKDSLEKISITGISNAQAIGDVSSGWRMVVHEESNQYYYWNTETGETSWEIPAVLAQQNQLTSDQNACAAEYMETAHMGANLSTSTLAAGLDSSLPALLVEGSVGNDLIPQSTEVYGNEPQMNDWVEGYRNEYVKDKNWDAEAHQGETQSNFAAINTSLGDVSSVVSEHIHDALANDHRGIDLSTSLMKQCESLLERLESLKGYGSHLQGQDQMLKYNLEVEIRLSDIKSLSTYGSPLLPFWVHCERRLKQLEDVINNEIYQLAVSAQMDGDVETTADDSFKEKEKSQENMGEESEADAHENSTKSEVSPVSTSIENDSHDKGDFGSIHSSNILAGSPSMHLEGGAPVSEELNGTIHLNAEIHPAEDIDMDVDMEVEEGEFCPASITTFGDALSAEDVGLNEKMVQSNPPAEHLSLSSGDALTVPPPPDEEWIPPPPPDNDQVPPPPPDNEQVPPPPPDEPPECSYPPLPSYPETGQPLPYAEQYNLTYPDLNFQYYGHTVAVPSCNFYGNTDGSQAAVPHASLYYLATPSTYVETDSVMVNSVQPVEYYNVQDGSVPVPVVSGVESSQSYIESGPVSYDTLASDQIKTGDSVAELNVKLGGSAVGVETYLASKGVPSTLTTTEAPTLASVKETAYASSTNAVTASTAAAASALLTGAKVQTKVSRKKRTVAVAPSLRSNKKVSSLVDKWKAAKEELNENEEEEPKSAYEIFEKKRQREIEEWHAKQIASGEAKDNANFQPLGGDWRERVKRRRAQAAKAAALTPSEAPTDENKQPDLEELSKGLPSGWQVYWDGSSKQVYYGNVITSETTWTRPPK >Potri.013G123401.5.v4.1 pep chromosome:Pop_tri_v4:13:13199327:13202744:-1 gene:Potri.013G123401.v4.1 transcript:Potri.013G123401.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123401.v4.1 MGNEKGNAEGKSNEILGAYDFLYSNGTNFNVNVWYNASYKDAPALRLPRAVNLVTNAYLQLFKGLGAKVTLGFIKEMPKTSNALIKFDIDRFSNGHPLLYMGYFTTIPWREHLLFYGRLKNLKGSALKRGGIIILALSLSKIIYFL >Potri.013G123401.1.v4.1 pep chromosome:Pop_tri_v4:13:13199420:13202442:-1 gene:Potri.013G123401.v4.1 transcript:Potri.013G123401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123401.v4.1 MFHTDVVLGSSSEPSRGYFVDSAFFGKDSPLYNIQSQCGSNSTISVSVPIPRSSLKSQKDAVCVRSLNLCCESSLEINSQIYMGNEKGNAEGKSNEILGAYDFLYSNGTNFNVNVWYNASYKDAPALRLPRAVNLVTNAYLQLFKGLGAKVTLGFIKEMPKTSNALIKFDIDRFSNGHPLLYMGYFTTIPCTHV >Potri.013G123401.4.v4.1 pep chromosome:Pop_tri_v4:13:13199175:13202843:-1 gene:Potri.013G123401.v4.1 transcript:Potri.013G123401.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123401.v4.1 MGNEKGNAEGKSNEILGAYDFLYSNGTNFNVNVWYNASYKDAPALRLPRAVNLVTNAYLQLFKGLGAKVTLGFIKEMPKTSNALIKFDIDRFSNGHPLLYMGYFTTIPWREHLLFYGRLKNLKGSALKRGGIIILALSLSKIIYFL >Potri.013G123401.2.v4.1 pep chromosome:Pop_tri_v4:13:13199420:13202442:-1 gene:Potri.013G123401.v4.1 transcript:Potri.013G123401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123401.v4.1 MFHTDVVLGSSSEPSRGYFVDSAFFGKDSPLYNIQSQCGSNSTISVSVPIPRSSLKSQKDAVCVRSLNLCCESSLEINSQIYMGNEKGNAEGKSNEILGAYDFLYSNGTNFNVNVWYNASYKDAPALRLPRAVNLVTNAYLQLFKGLGAKVTLGFIKEMPKTSNALIKFDIDRFSNGHPLLYMGYFTTIPWREHLLFYGRLKNLKGSALKRGGIIILALSLSKIIYFL >Potri.013G123401.3.v4.1 pep chromosome:Pop_tri_v4:13:13199175:13202843:-1 gene:Potri.013G123401.v4.1 transcript:Potri.013G123401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123401.v4.1 MGNEKGNAEGKSNEILGAYDFLYSNGTNFNVNVWYNASYKDAPALRLPRAVNLVTNAYLQLFKGLGAKVTLGFIKEMPKTSNALIKFDIDRFSNGHPLLYMGYFTTIPWREHLLFYGRLKNLKGSALKRGGIIILALSLSKIIYFL >Potri.013G123401.6.v4.1 pep chromosome:Pop_tri_v4:13:13199327:13202744:-1 gene:Potri.013G123401.v4.1 transcript:Potri.013G123401.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123401.v4.1 MGNEKGNAEGKSNEILGAYDFLYSNGTNFNVNVWYNASYKDAPALRLPRAVNLVTNAYLQLFKGLGAKVTLGFIKEMPKTSNALIKFDIDRFSNGHPLLYMGYFTTIPWREHLLFYGRLKNLKGSALKRGGIIILALSLSKIIYFL >Potri.002G155800.3.v4.1 pep chromosome:Pop_tri_v4:2:11896122:11898470:1 gene:Potri.002G155800.v4.1 transcript:Potri.002G155800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155800.v4.1 MGGGGADHGHGAEGAHGDFRAKVWSMSGGPYCRPKHWRRNTAIAMFGVFLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGTKDYNDVQ >Potri.016G089050.1.v4.1 pep chromosome:Pop_tri_v4:16:7146929:7147636:1 gene:Potri.016G089050.v4.1 transcript:Potri.016G089050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089050.v4.1 MLKTASISARPAEIKRALFRIQGVISIPARTFTKMWRIKKKKAILEFLFAHTCAHDCIPNIECVGGPLQIMYRAMGMT >Potri.015G022600.1.v4.1 pep chromosome:Pop_tri_v4:15:1674266:1675116:1 gene:Potri.015G022600.v4.1 transcript:Potri.015G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022600.v4.1 MAVSNISFGVLAAIVVMICAIFMPLAHGQSSAPAPSPTSDGTAIDQGIACILMLAALVLTYLIH >Potri.012G053800.1.v4.1 pep chromosome:Pop_tri_v4:12:5122616:5126858:-1 gene:Potri.012G053800.v4.1 transcript:Potri.012G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053800.v4.1 MPVFAESSSSTPAAIADQIKLRKPRSQQELQPISTETDPNPHASKSSKSNTIISSLFHSHFSTTPPDQSKKKGATFRGLGCTAGAAQQVSVPAVIRSSADWEGKKVKKKKGHPKRKKESLKLCSDNNNTSNSNGDVNGDGNFANCMVMQDVWCGPGMGLSGADAVVGSVDCVVARRNVSIGRGKIDGGEKINQRERERERERERDRERERERPCIVRRAAVNPETLSFLDTDPAFITSRPEPEVFGTRYYRHIRHPSPDGLAEIMMLQNSFIMGGRMDRFSNWRLDIDHMTYEQLLDLGDRIGYVNTGLKEDEISRCVKKINPSFIKELSSHLPMVLEKKCSICQDDYEEDGEVGKLDCGHGFHIQCIKQWLGQKNTCPVCKTEPVGRG >Potri.003G126900.3.v4.1 pep chromosome:Pop_tri_v4:3:14632706:14640329:-1 gene:Potri.003G126900.v4.1 transcript:Potri.003G126900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126900.v4.1 MTLVSQAGPSYCIPTDISRCKTAQNVVIGMASNIDASKLKETPARFSSLSCNSSKTPKILSSESLSVGMKRGHQFVVAASPPTEDAVVATEPLTKEDLIRYLASGCKPKENWRIGTEHEKFGFEIGTLRPMKYEQIAELLHGIAERFDWDKIMEGDTIIGLKQEKQSISLEPGGQFELSGAPVETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWVLKDIPIMPKGRYDIMRNYMPKVGSLGLDMMFRTCTVQVNLDFSSEADMIRKFRAGLALQPIATALFANSPFTDGKPNGYLSKRSHIWTDTDNNRAGMLPFVFDDNFGFEQYVDYALDVPMYFVYRKEKYIDCTGMSFRDFLAGRLPCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEDSLQSVLDMTADWTSEERQMLRNKVPKTGLKTPFRDGLLRHVAEEVLKLAKDGLERRGFKEVGFLNAVAEVASTGITPAEKLLELYHGKWGQSIDPVFEELLY >Potri.008G160200.1.v4.1 pep chromosome:Pop_tri_v4:8:11008830:11010490:1 gene:Potri.008G160200.v4.1 transcript:Potri.008G160200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK5 MEPPPPSTLQTTTSIPTTLLNKYELGRLLGRGSFAKVYAARSLSDKTQLAAIKIIDKTKTDAAMEPRIISEISAMHRLQHHPTILKIHEVMATKTKIYLVMELASGGDLYSKIRKIGKLKESAARRYFQQLVSALHFCHQNGVSHRDIKPHNLLLDGKGNLKISDFGLSALKNGGGDGVFLLQTACGTPAFTAPEVMSRQGYDGAKADAWSCGVILFFLLSACLPFDDSNLAFLYKRAHKGEYQVPSCISKPVKSIINQLLDPNPNTRMSMEALMKHSWFLKKFELPTQSSVFELDYKKYCKFDQKSAVASITAFDIISLSSGLDLSRLFEVKNRKERRFTSSDTVERVTERVREVGGRLGYRVVEGKGGSAIGLGKGRVGVLFEVFEIAEKLLVVEVMVVEGGEVEFEEVHWGELKDELEDVVLQWHNDVM >Potri.019G129300.1.v4.1 pep chromosome:Pop_tri_v4:19:15185673:15189057:-1 gene:Potri.019G129300.v4.1 transcript:Potri.019G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129300.v4.1 MMSLLHKPFFSIFLHVLIFLLLHMFYFSSFFVLADHTSSKTSIFGAATSAANSKVAGGNIKEAEALLKWKASLDNQSQSLLSSWVGTSPCIDWIGITCDGSGSVANLTFPHFGLRGTLYDFNFSSFPNLSVLDLSNNSIHGTLPSHIGNLSKITQLGLCYNDLTGSIPSEIGSLKSITDLVLCRNLFSGSIPHEIGKLTSLSRLSLAVNNLTGSIPSSIGNLKNLSNLFLWDNKLSGRIPSEIGQLKSLVGLSLANNKLHGPLPLEMNNLTHLKQFHLSDNEFTGHLPQEVCHGGVLENLTVANNYFSGSIPKSLKNCTSLHRLRLDRNQLTGNISEDFGIYPHLDYVDLSYNNFYGELSLKWGDYRNITSLKISNNNVSGEIPAELGKATQLQLIDLSSNHLEGTISKELGGLKLLYNLTLSNNHLSGAIPSDIKMLSSLKILDLASNNLSGSIPKQLGECSNLLLLNLTDNKFTNSIPQQIGFLRSLQDLDLSCNFLAQEIPWQLGQLQMLETLNVSHNMLSGLIPRTFKDLLSLTVVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPKSSRTVKRKSNKLVILIVLPLLGSLLLVIVVIGALFILRQRARKRKAEPGNIEQDRNLFTILGHDGKLLYENIIAATEEFNSNYCIGEGGYGIVYKAVMPEERVVAVKKLHRSQTDKLSDFKAFETEVCVLANIRHRNIVKLYGFCSHAKHSFLVYEFIERGSLRKIITTEEQAIELDWMKRLNVVKGMAGALSYLHHSSSPPIIHRDITSNNVLLDLEYEAHVSDFGTARMLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMMGRHPGDLISTLSSQATSSSSSMPPISQQTLLKDVLDQRISLPKKGAAEGAVHIMKIALACLHPNPQSRPTMGRISSELATKWPSLPKEFDTISLEDLFSHSVSVVD >Potri.008G223332.1.v4.1 pep chromosome:Pop_tri_v4:8:18778473:18778556:1 gene:Potri.008G223332.v4.1 transcript:Potri.008G223332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G223332.v4.1 MSMMFFYFLKIIFDISTSKRSKTYKPY >Potri.001G096800.1.v4.1 pep chromosome:Pop_tri_v4:1:7669297:7670089:1 gene:Potri.001G096800.v4.1 transcript:Potri.001G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096800.v4.1 MRATCILLCFFTFLVASSAHPGKKKQYKPCKELVLYFHDIIYNGQNAANATSAIVAAPEGANLTILASQFHFGNIAVFDDPITLDNNLHSPPVGRAQGMYIYDTKNTFTAWLGFSFALNSTDHQGSINFIGADPIMIKTRDISVVGGTGDFFMHRGIATIATDAFEGEVYFRLRVDIKFYECW >Potri.014G102466.1.v4.1 pep chromosome:Pop_tri_v4:14:6786293:6788044:1 gene:Potri.014G102466.v4.1 transcript:Potri.014G102466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102466.v4.1 MSNQPAPPRPWFRLPSIARPAAPAPTPTPESPPPQPRPALARPSFRLTALPQPVPTQPQEPTPAPPPSAATVPPAAGIASVPTSPAVKAAGGVASLPTSPAPRAPAPSSSVPTSPVPTSTVFPPTTFSLPPSPTLKPSRTSSSVPTSPASTPSPSASVSTSPSTRPVSTTSSAPSSPAPKPATVTSSVPNSPATKAVTTSAARVPGPTPSLRIIKPTVQTPPQSPKPKPTAPPPSPLTRPPSRVKSDADLEPKIPLVAEQKTVLVQKIIDKPKEAGDSLRAFADSLSSGIARLAKPETAKDQTKEKGSGKKISSDSEDVGMRVITIAGENKGAFMEVIRSPKKHFFEGNSHTLNKKGNPRSEGSDWGSQSSSGEEGNSKKDKNHKGRSMGPSPMSAFMNSNVQGVNNSIVYNSSCSHHDPGVHVALSRKPSGSAGFHVKDRGNGYQS >Potri.011G048200.1.v4.1 pep chromosome:Pop_tri_v4:11:3847085:3848403:1 gene:Potri.011G048200.v4.1 transcript:Potri.011G048200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G048200.v4.1 MESSLPSTQILDSGDSAERKNEAKNYNEVMSTFPKVKGLNGYDYYLYQGFWYAPFFLEGLLSVQERFNPQSTDIFVASFPKTGTTWLKALTFAIVTRSRLSGSTTSSLLTKMPHDCVPFLEYDLAQNPSNRDLAIPLVSTHVPYTCLPKSIISSSCKIIYICRDAKDAFVSLWYFLARLQMSKNVEPLPLEGAFELFCNGIANFGPYWDHVLGYWRASFEFPEKILFLTYEEMKQDTAAHVKKLAEFMGCSFTLEEEEGGEVQKIISMCSFEKLSSLEVNKNGKHRPDTSLAIQNSVYFRRGEIGDWANHLTPEMGARLDDIMEQKLKGSGLKLPR >Potri.002G147000.1.v4.1 pep chromosome:Pop_tri_v4:2:11061474:11065503:1 gene:Potri.002G147000.v4.1 transcript:Potri.002G147000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147000.v4.1 MHLFFYLLLLSLSLSQTLASPTELELLMQIKASLDPQNRLLTSWEPNKDPCSGAFEGVACNEQGHVANISLQGKGLSGQIPAALGGLKSLSGLFLHFNALNGAIPKEIAELSELSDLYLNVNNLSGEIPPQIGNMSNLQVLQLCYNKLAGSIPTQLGSLEKLSVLALQYNQLTGAIPASLGDLEFLSTLVLSFNGLFGPIPVKLARAPLLKTLDIRNNSLSGNVPPALKRLTTGFQYGNNPDLCGVGFSNLETCVTSDPNKPEPSKPNVALPKDIPESANPSNCSKSDCSHLTKTPRYGIIFGVIGVFIAMSVSGFLMFSWYRRHKQKIGSASDTLDSRLSTDQAKEVYRKSVSPLISLEYSNGWDPLAIDRSKSGFSQEVLESFMFNLEEVERATQCFSEVNLLGKSNFSATYKGILRDGSVVAIKCITKTSCKSDEADFLKGLKILTSLKHENLVRLRGFCCSKGRGECFLIYDFVPNGNLVQYLDVKDGSGKVLEWSARISIINGIATGIAYLHGSKGNKHALVHQNISAEKVFINRPYDPLISDSGLHKLLADDIVFSMLKASAAMGYLAPEYTTTGRFTEKSDVYAFGMIVLQILSGKRNITQLTLHAAESCRYEDFIDANLGGNFSESEADKLGRIALRCTNESPIHRPTAETVMLELSESIVAA >Potri.019G011302.1.v4.1 pep chromosome:Pop_tri_v4:19:1652297:1654675:1 gene:Potri.019G011302.v4.1 transcript:Potri.019G011302.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011302.v4.1 MAFAYAAFFFLVICIYKPVSSQQNHSNLISLGSSISTNVQPTSWRSPSGTFAFGFYPQGSGFIVGIWLVCKPADIITWTAYRDDPPVPSNATLELTINGKLLLRTYSANNEAGEEKLIAKIEKSASNARMLDSGNLVLYNEHSDVIWESFNFPTDTILGGQNLYAGGELLSSASTTNLSTGRFHLKMQYDGNLVLYPIDTIDTSVDAYWNTATFGSGTHLYLNYTGRLLILNNTMASGIPVFSSDSESENSSIIYRATLEYDGIFRLYSHNFDSNGAYTTSLMHYAPKSQCEVKSFCGLNSYCTMNDNQPYCSCLPGTVFVNPNQRYNGCKRNYTEELCKVAEETSSYNITDMEKMTWDDFPYFRNSMSEEDCRKSCLQDCNCAGALYESGDCKKVKFPVKYAKRLEGDSSKVFFKVGLKSVESRNQSIATAMKPPPVVHKTSKKTVMLICVMSVAFITCSSIAIAVSVFFISKSRVVKARMRLGGGNLGLAHELTLRAFSYRELKNATKGFREELGKGSFGAVYKGTLYKGKKVIAVKRLEKLVSEGEREFLTEMRSIGKTHHKNLVRLLGYCTEDSQRLLVYEYMSNGSLADLLFRTERIPNWSHRVKIALDIARGILYLHEECEAPIIHCDIKPQNILMDDFWNAKISDFGLAKLLVPDQTRTFTIVRGTRGYLAPEWHKNTPISVKADVYSYGVMLLEIVFCRRNVETNVSRPEEVQLSNWAYELLVERELDKLDLGEDVDLQNLEKMVMVGMWCIQDEPGIRPSMKSVVLMLEGITDVSVPPHPTSA >Potri.001G170200.9.v4.1 pep chromosome:Pop_tri_v4:1:14590290:14597748:1 gene:Potri.001G170200.v4.1 transcript:Potri.001G170200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170200.v4.1 MEVESLLIDDRCGKHVVADPGQTVGCISNEGSSSGMAQLKRLEGWSQPENKKVDPDKLNGRLEYIEEMLQKVKEEERLYIACGSPDCSSAYVIVDSQCSDPHDKLLHIDEKLQCEIPLQEPVPLLAPSLSESHLNQSGSVGECSHPPDELVAGGSPSSITTKPDFSRLKGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRISMGLTNSCDVSTTSFIIKGNKFVKKGNEEGCNGIYGSFAKDPPIVNQKGLPTCHVGQLDYHKVVPERRLENHNLDDNSGSDDHQKEQRAAKRVRKPTKRYIEELSEVESKESNERLLNLAKNSGHDGLISPKSHVRLVRHVSSGGRTVITRLDSLGGSGIQVPCVSRVRRSRPRKNFMALLKFNPSSMGMAAALVEKALDDHGFPPDDGNENRVLKASSTPEHVHHQFVGVPEKDKQFSVMSVVGLGNNTDLKCMNSNEDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPPDTGMNSRKNTGAMPIPTPILLKVRELAEMQAQVPPNLSLTKVAGSARKIVHEKQTGFL >Potri.001G170200.2.v4.1 pep chromosome:Pop_tri_v4:1:14590333:14598077:1 gene:Potri.001G170200.v4.1 transcript:Potri.001G170200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170200.v4.1 METEVGVEGNDEAKHKECGIRKSSSSLSSPKQISDPVVYKLVRVEGDGRLVPATDDELMEVESLLIDDRCGKHVVADPGQTVGCISNEGSSSGMAQLKRLEGWSQPENKKVDPDKLNGRLEEPVPLLAPSLSESHLNQSGSVGECSHPPDELVAGGSPSSITTKPDFSRLKGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRISMGLTNSCDVSTTSFIIKGNKFVKKGNEEGCNGIYGSFAKDPPIVNQKGLPTCHVGQLDYHKVVPERRLENHNLDDNSGSDDHQKEQRAAKRVRKPTKRYIEELSEVESKESNERLLNLAKNSGHDGLISPKSHVRLVRHVSSGGRTVITRLDSLGGSGIQVPCVSRVRRSRPRKNFMALLKFNPSSMGMAAALVEKALDDHGFPPDDGNENRVLKASSTPEHVHHQFVGVPEKDKQFSVMSVVGLGNNTDLKCMNSNEDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPPDTGMNSRKNTGAMPIPTPILLKVRELAEMQAQVPPNLSLTKVAGSARKIVHEKQTGFL >Potri.001G170200.1.v4.1 pep chromosome:Pop_tri_v4:1:14590368:14597937:1 gene:Potri.001G170200.v4.1 transcript:Potri.001G170200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170200.v4.1 METEVGVEGNDEAKHKECGIRKSSSSLSSPKQISDPVVYKLVRVEGDGRLVPATDDELMEVESLLIDDRCGKHVVADPGQTVGCISNEGSSSGMAQLKRLEGWSQPENKKVDPDKLNGRLEYIEEMLQKVKEEERLYIACGSPDCSSAYVIVDSQCSDPHDKLLHIDEKLQCEIPLQEPVPLLAPSLSESHLNQSGSVGECSHPPDELVAGGSPSSITTKPDFSRLKGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRISMGLTNSCDVSTTSFIIKGNKFVKKGNEEGCNGIYGSFAKDPPIVNQKGLPTCHVGQLDYHKVVPERRLENHNLDDNSGSDDHQKEQRAAKRVRKPTKRYIEELSEVESKESNERLLNLAKNSGHDGLISPKSHVRLVRHVSSGGRTVITRLDSLGGSGIQVPCVSRVRRSRPRKNFMALLKFNPSSMGMAAALVEKALDDHGFPPDDGNENRVLKASSTPEHVHHQFVGVPEKDKQFSVMSVVGLGNNTDLKCMNSNEDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPPDTGMNSRKNTGAMPIPTPILLKVRELAEMQAQVPPNLSLTKVAGSARKIVHEKQTGFL >Potri.001G170200.10.v4.1 pep chromosome:Pop_tri_v4:1:14590354:14597655:1 gene:Potri.001G170200.v4.1 transcript:Potri.001G170200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170200.v4.1 MLQKVKEEERLYIACGSPDCSSAYVIVDSQCSDPHDKLLHIDEKLQCEIPLQEPVPLLAPSLSESHLNQSGSVGECSHPPDELVAGGSPSSITTKPDFSRLKGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRISMGLTNSCDVSTTSFIIKGNKFVKKGNEEGCNGIYGSFAKDPPIVNQKGLPTCHVGQLDYHKVVPERRLENHNLDDNSGSDDHQKEQRAAKRVRKPTKRYIEELSEVESKESNERLLNLAKNSGHDGLISPKSHVRLVRHVSSGGRTVITRLDSLGGSGIQVPCVSRVRRSRPRKNFMALLKFNPSSMGMAAALVEKALDDHGFPPDDGNENRVLKASSTPEHVHHQFVGVPEKDKQFSVMSVVGLGNNTDLKCMNSNEDSDDNVVTVPTSKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASFAQTPPDTGMNSRKNTGAMPIPTPILLKVRELAEMQAQVPPNLSLTKVAGSARKIVHEKQTGFL >Potri.001G170200.11.v4.1 pep chromosome:Pop_tri_v4:1:14592825:14597662:1 gene:Potri.001G170200.v4.1 transcript:Potri.001G170200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170200.v4.1 MLQKVKEEERLYIACGSPDCSSAYVIVDSQCSDPHDKLLHIDEKLQCEIPLQEPVPLLAPSLSESHLNQSGSVGECSHPPDELVAGGSPSSITTKPDFSRLKGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRISMGLTNSCDVSTTSFIIKGNKFVKKGNEEGCNGIYGSFAKDPPIVNQKGLPTCHVGQLDYHKVVPERRLENHNLDDNSGSDDHQKEQRAAKRVRKPTKRYIEELSEVESKESNERLLNLAKNSGHDGLISPKSHVRLVRHVSSGGRTVITRLDSLGGSGIQVPCVSRVRRSRPRKNFMALLVCITYCS >Potri.006G237600.1.v4.1 pep chromosome:Pop_tri_v4:6:23975710:23979448:-1 gene:Potri.006G237600.v4.1 transcript:Potri.006G237600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237600.v4.1 MNPNPLSEPYYLLHFLTFFSYLITRTSATSLLSPHLIHRLFYREIQAVLAFALLATYKIVRDETWEAFISNMLFFAKIFLVAISLIMDYHLALWYMVVFSVIYLITQPPAFEGLGTSSKLTPLQLETLLTEGNTSRFWLVEFRALCSSACIHASRCFPELSITYSNKNLSFGIVDLGLFPNAAEKFGISLSGGMDQLPTYILFENATEVTRFPEWDYEAKASHPPVTKRVLSRHFELDRHLLGYVNS >Potri.015G031800.1.v4.1 pep chromosome:Pop_tri_v4:15:2445043:2450928:-1 gene:Potri.015G031800.v4.1 transcript:Potri.015G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031800.v4.1 MATLSVPPVLSSPRDDAMQLFRAFKGLGTDTSAVINILAHRDAAQRSLIQHEYRTLYSEDLFKRLSSELTGNLETAVLFWMHDLPGRDAIIVRQALMMNTMNLEAATEVICSRTPSQIQVFKQHYHAKFGIHLERDIESCASGDHKKLLLAYASMPRYEGREVDREMVVKDAKALYKAGEKKWGTDEKTFIHIFSERSAAHLAAVDSAYHDMYGNSLNKVIKKETSGHFEHALKTILLCSENPANYFAKVLHKAMKGMGTNDTALIRVIVTRTEIDMHYIKAEYLKKYKKTLNDAVHSETSGNYQAFLLALLGPNH >Potri.009G123900.1.v4.1 pep chromosome:Pop_tri_v4:9:10335571:10339345:1 gene:Potri.009G123900.v4.1 transcript:Potri.009G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9B10 MNNLPRIHYFLLLATLLTQLPLFQSSYHEYQEALSKSILFFEGQRSGYLPQDQRVTWRANSGLSDGWTYNTELTGGYYDAGDNVKFGFPMAFTTTMLSWSVIEFGDLMPPNELRNSLVAIRWATDYLLKTVSQPNRIFVQVGDPHADHNCWERPEDMDTPRTVYAVDAPNPASDVAGETAAALAASSMAFRSSDPGYAETLLRNAIKAFQFADSYRGAYSDNSNIRDGACPFYCDFDGYQDELLWGAAWLRRASSDDTYLSYLQNNGKTLGADDNINEFGWDNKHAGLNVLVSKEVLEGNMYSLQSYKASADSFMCTLIPESSSSHIEYSPGGLIYKPGGSNLQHATTISFLLVAYANYLERTSQAVNCGNVNVGPYSLRQQAKRQVDYILGDNPMGLSYMVGYSDHYPQRIHHRGSSLPSVKDHPEFIACKEGSVYFNSSNPNPNVHVGAIVGGPSQDDSYDDNRDDFRKSEPTTYINAPFVGVLAYFAANPNFS >Potri.019G025900.1.v4.1 pep chromosome:Pop_tri_v4:19:3757600:3758416:1 gene:Potri.019G025900.v4.1 transcript:Potri.019G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025900.v4.1 MRSVHFLLAFVLLTLASSIASASDPSPLQDFCVAINDPKAAVFVNGKFCKDPKLATANDFSFSGLNIPRDTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPDNRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPDVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.016G122000.1.v4.1 pep chromosome:Pop_tri_v4:16:12666963:12668933:1 gene:Potri.016G122000.v4.1 transcript:Potri.016G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G122000.v4.1 MASTKLVFSFIVASFLVFLLPPSHAASHSDFEDIKISSSIDSQMVNLSVYYEALSPSCATFIIQNLTGVFDDDLITITNLRMVPWGNAHVNETDNTIICQNGRDECFLHKIQACAINVWNDVDKYYALIHCMEFLVIDGTHSDWQSCFNSLGLSEEPILECYNNGTGAKLQALYGYETAHLDPPHVFMPWVVVNNKSLGKDYGDFTTYICSAYEGKVKPNACKSST >Potri.014G019400.1.v4.1 pep chromosome:Pop_tri_v4:14:1179385:1181107:-1 gene:Potri.014G019400.v4.1 transcript:Potri.014G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019400.v4.1 MSWWWAGDLSAAWKKPAVQGGQGSVALIVGVTGIVGNSLAEILPRSDTPGGPWKVYGVARRPRPNWHENCPVEYIQCDISDSALAKSKLSHLTDVTHIFYVTWASRSNEAENCKINGLMFRNLLQAVVPIATNLRHVCLQTGTKNYIGPFESFYNFESHDPPYSEDLPRLKVDNFYYTLEDVMFEEVAKKEGVTWSVHRPDIIFGFSPYSLMNIIVTLSVYAAICKHEGAPLMFPGTKEAWNCYAIASDADLVAEQEIWACVEPNAQNQAFNIHNADYFKWKHLWKVLAEQFGIEKYGFEEGGERETLAERMKDKGPVWEEIVKKNQLSPTKLEEVGGWWFVDTMLSGDAFISCMNKSKEHGFLGFRNSTKSFVHWIGKMRSQKIIPN >Potri.011G001000.1.v4.1 pep chromosome:Pop_tri_v4:11:69074:73046:-1 gene:Potri.011G001000.v4.1 transcript:Potri.011G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001000.v4.1 MVGDWWQDINDSTQWQDGVFYTLCAAYALVSAVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAVVFGFHKQVFIMHPKALVLLLLDLPGLLFFSTFTLLVLFWAEIYHQARSLPSDKLRVFYISVNAAMYFIQVCIWVYLWIDDNSVVELIGKIFIAVVSILAALSFLVYGGRLFFMLKRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVLLSAFDADASLDVLDHPVLNFIYYMLVEILPSALVLYILRKLPPKRISAQYHPIC >Potri.009G127600.1.v4.1 pep chromosome:Pop_tri_v4:9:10512114:10513057:1 gene:Potri.009G127600.v4.1 transcript:Potri.009G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127600.v4.1 MHPFLISINTTTSFDPSNSQATSLPNSPLHSQNQFYSNHTFPDMGILSFPSVAHNAKKILKHQSLLGRNHSNLPEGHVAVYVGEFQKKRFVVPISYINHPSFLALLNQSEEEFGFNHPMGGLTIPCKEDAFIDLTSRLHDS >Potri.018G104700.1.v4.1 pep chromosome:Pop_tri_v4:18:12420150:12422442:1 gene:Potri.018G104700.v4.1 transcript:Potri.018G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104700.v4.1 MQITVKESSMVLPTQDTPDHRLEVTNLDLFHAKYHVPLLYLYKPNGSSNFFEVKVLKEALSKVLESFYPVAGRLARDANGRIEINCNGEGVLFIEAETDSAMGDFVDFKPSDELRQLIPTVDYSDISSYPLLVLQVTRFTCGGVCLGVGWHHTLADGTECLHFINTWSDIARGLPVKTPPFIDRTILRGRVPPNPTFHHIEYDPFPTINTHFQNPIPESGSKDISVANLKIPSDLLNTLKAMAKNDIASKTEYSTYVILTAHIWRCACKARGLSNDQATKINISTDGRNRFRPPIPPGYFGNVIFQATPIALSGALLSEPLAHTAERIHKAIKRMDDEYLRSAVDYLERVDDFTTVMRSSETYRSPNLTIASWVRLPFYDADFGWGKPVYMRPAFAFVGKGYILPSPTDDGTLSLTICLETDHLQSFQKLFYEYHKRSCL >Potri.005G088101.1.v4.1 pep chromosome:Pop_tri_v4:5:6150262:6150465:1 gene:Potri.005G088101.v4.1 transcript:Potri.005G088101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G088101.v4.1 MSDVLKNESEVKLQRNALSVLEHPTGNEVDDDNDFDTSSGSDIGEHDFYKGSEFHKINKPRIRSTRL >Potri.002G160650.7.v4.1 pep chromosome:Pop_tri_v4:2:12273332:12279057:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAVYWKNTAFDLLGYSSRFDEDLILQAVTIQEHALYIPCLSRKYRLKCYQSHLMHLQNMIKIEHKG >Potri.002G160650.13.v4.1 pep chromosome:Pop_tri_v4:2:12273260:12279057:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGGWIADTLVSRGLSITAVRKGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGVLEEYSFRSSWLLVKI >Potri.002G160650.10.v4.1 pep chromosome:Pop_tri_v4:2:12273260:12277341:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRAYAHHDYSPRPTGHPASPGAGKAPRG >Potri.002G160650.8.v4.1 pep chromosome:Pop_tri_v4:2:12273332:12279057:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGLLCVLPWLTMAVFANVGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGVLEEYSFRSSWLLVKI >Potri.002G160650.6.v4.1 pep chromosome:Pop_tri_v4:2:12273332:12277077:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGLLCVLPWLTMAVFANVGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWADVFYIVIDFSWAYIKKK >Potri.002G160650.12.v4.1 pep chromosome:Pop_tri_v4:2:12273331:12277081:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGGWIADTLVSRGLSITAVRKGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWADVFYIVIDFSWAYIKKK >Potri.002G160650.5.v4.1 pep chromosome:Pop_tri_v4:2:12273332:12277079:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGLLCVLPWLTMAVFANVGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRAYAHHDYSPRPTGHPASPGAGKAPRG >Potri.002G160650.1.v4.1 pep chromosome:Pop_tri_v4:2:12273260:12279057:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGVLEEYSFRSSWLLVKI >Potri.002G160650.11.v4.1 pep chromosome:Pop_tri_v4:2:12273260:12277341:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWADVFYIVIDFSWAYIKKK >Potri.002G160650.4.v4.1 pep chromosome:Pop_tri_v4:2:12273331:12279058:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGLLCVLPWLTMAVFANVGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRAYAHHDYSPRPTGHPASPGAGKAPRG >Potri.002G160650.9.v4.1 pep chromosome:Pop_tri_v4:2:12273331:12279058:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRAYAHHDYSPRPTGHPASPGAGKAPRG >Potri.002G160650.3.v4.1 pep chromosome:Pop_tri_v4:2:12273332:12279057:1 gene:Potri.002G160650.v4.1 transcript:Potri.002G160650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160650.v4.1 MAIGGLISNRNFGSLIGSGLLCVLPWLTMAVFANVGGWIADTLVSRGLSITAVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAVYWKNTAFDLLGYSSRFDEDLILQAVTIQEHALYIPCLSRKYRLKCYQSHLMHLQNMIKIEHKG >Potri.004G200400.2.v4.1 pep chromosome:Pop_tri_v4:4:21088581:21089880:1 gene:Potri.004G200400.v4.1 transcript:Potri.004G200400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200400.v4.1 MEESKEIVIREVWSCNLESEFELIRDLIDEFPFISMDTEFPGVVFRPPVDPTNNRNYFRQLKPSDHYKILKSNVDALNLIQVGLTLSDAEGNLPDLGTGNRFIWEFNFRDFDVERDSHAPDSIELLRRQGIDFERNREEGVDSARFAELMMSSGLVCNESVSWVTFHSAYDFGYLVKILTRRELPSGLVGFLSLLRVFFGNNIYDVKHMMRFCKSLYGGLDRVARTLEVNREVGKCHQAGSDSLLTWHAFQKMRDVFFVKDGPEQHAGVLYGLEVFC >Potri.006G248500.1.v4.1 pep chromosome:Pop_tri_v4:6:24838676:24846193:-1 gene:Potri.006G248500.v4.1 transcript:Potri.006G248500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248500.v4.1 MDGAGDIYRVSSARLSTSSNKWRNSIPEVFSRSSRDEDDEEALKWAALEKLPTYLRLTRGILTEEEGKAREIDIMNLGLVEKRDLLERLVKIAEEDNERFLLKLKERIDRVELEIPTIEVRFEHLNVEAEAYVGGRALPTILNFSANMLEGFLSFLHLLPSRKQPFPILRDVSGIIKPRRMTLLLGPPSSGKTTLLMALAGKLGKDLQCSGSVTYNGHGMEEFVPQRTSAYISQFDLHIGEMTVRETLSFSARCQGVGPRYEMLTELSRREKEANIKPDPDLDIYMKAAALEGQETSVTTYYILKITGLDICADTMVGDEMIRGISGGQKKRLTTGEMLVGPARALFMDEISTGLDSSTTFQIVNSLRQTTHILNGTTLISLLQPAPETYDLFDDVILLSDGLIVYQGPRENVLEFFESLGFKCPERKGVADFLQEVTSRKDQEQYWASRDQPYSFVSAKEFSEAFQSFHIGRKLGDELAIPFDKSKSHPSALSTEKYGVSKKELLKACISREFLLMKRNSFVYIFKFTQLILLASIAMTVFLRTEMHRNTITDGGIYIGALFFAIIVIMFNGFSELVMTIMKLPVFYKQRDLLFYPPWAYAIPTWILKIPITFVEVAIWTTMTYYAVGFDPNIGRFFKQYLIFVLANQMSSGLFRMMGALGRNVIVANNVGSFALLAVLVMGGFILSRDNVKSWWIWGYWVSPLMYVQNAVSVNEFLGNSWRHIPPSSTESLGVTLLKSRGVFPEARWYWIGVGALIGYTLLFNFLFTLALKYLNPFGKPQAILSKEALAERDANRTGNFIELSTRGKSSSERGKDSKTNSSARAPSLRMPSLGDANQNKRGMVLPFQPLSITFEEIRYSVDMPQEMKAQGIPEDRLELLKGVSGAFRSGVLTALMGVSGAGKTTLMDVLSGRKTGGYIDGRISISGYAKNQQTFARISGYCEQTDIHSPHVTVYESLVYSAWLRLSPDVDSETRTMFIEEVMELVELNPLREALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEEIYVGPVGRHACHLIKYFEEIEGVPKIKDGYNPATWMLEVTSAAQEAVLNDNFTDIFKNSELYRRNKALIEELSAPPPGSKDLYFPTRYSQSFFTQCMACLWKQHWSYWRNPPYNAVRLLSTTVIALMFGTIFWNLGSKRNRKQDIFNSMGSMYAAVLFIGVQNATSVQPVVAIERTVFYRERVAGMYSALPYAFAQVMIEIPYTLVQALIYGVIVYSMIGFEWTAIKFFWYIFFMYFTLLYMTFYGMMNVAITPNHSIASLVSSAFYAIWNLFSGFIIPRTRVPIWWRWYCWACPFSWTLYGLIASQYGDLEDKLEGDETVKDFLRNYFGFRHDFVGICAIVVVGMSVLFAFTFAFSIRTFNFQRR >Potri.006G248500.2.v4.1 pep chromosome:Pop_tri_v4:6:24838732:24844060:-1 gene:Potri.006G248500.v4.1 transcript:Potri.006G248500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248500.v4.1 MEQVTSRKDQEQYWASRDQPYSFVSAKEFSEAFQSFHIGRKLGDELAIPFDKSKSHPSALSTEKYGVSKKELLKACISREFLLMKRNSFVYIFKFTQLILLASIAMTVFLRTEMHRNTITDGGIYIGALFFAIIVIMFNGFSELVMTIMKLPVFYKQRDLLFYPPWAYAIPTWILKIPITFVEVAIWTTMTYYAVGFDPNIGRFFKQYLIFVLANQMSSGLFRMMGALGRNVIVANNVGSFALLAVLVMGGFILSRDNVKSWWIWGYWVSPLMYVQNAVSVNEFLGNSWRHIPPSSTESLGVTLLKSRGVFPEARWYWIGVGALIGYTLLFNFLFTLALKYLNPFGKPQAILSKEALAERDANRTGNFIELSTRGKSSSERGKDSKTNSSARAPSLRMPSLGDANQNKRGMVLPFQPLSITFEEIRYSVDMPQEMKAQGIPEDRLELLKGVSGAFRSGVLTALMGVSGAGKTTLMDVLSGRKTGGYIDGRISISGYAKNQQTFARISGYCEQTDIHSPHVTVYESLVYSAWLRLSPDVDSETRTMFIEEVMELVELNPLREALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEEIYVGPVGRHACHLIKYFEEIEGVPKIKDGYNPATWMLEVTSAAQEAVLNDNFTDIFKNSELYRRNKALIEELSAPPPGSKDLYFPTRYSQSFFTQCMACLWKQHWSYWRNPPYNAVRLLSTTVIALMFGTIFWNLGSKRNRKQDIFNSMGSMYAAVLFIGVQNATSVQPVVAIERTVFYRERVAGMYSALPYAFAQVMIEIPYTLVQALIYGVIVYSMIGFEWTAIKFFWYIFFMYFTLLYMTFYGMMNVAITPNHSIASLVSSAFYAIWNLFSGFIIPRTRVPIWWRWYCWACPFSWTLYGLIASQYGDLEDKLEGDETVKDFLRNYFGFRHDFVGICAIVVVGMSVLFAFTFAFSIRTFNFQRR >Potri.011G150800.1.v4.1 pep chromosome:Pop_tri_v4:11:17787642:17788624:1 gene:Potri.011G150800.v4.1 transcript:Potri.011G150800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150800.v4.1 MGISFVAVKMPKCFGLQFLLEFLTFIKLLFLLTLSNLLMSRPLRQPYNTDQDQNPTEDYVLVMGELSPSPIPVPVSVLTRLIKKKLPVMAFSSLLERLVKLEDDQESMCPVCLDCIQERDEVRELCNCSHVFHMKCLDSWVDQGQVTCPTCRSMLFPKKMEAAEMFIFAHDDSAMVEQVS >Potri.007G081000.1.v4.1 pep chromosome:Pop_tri_v4:7:10530823:10534905:1 gene:Potri.007G081000.v4.1 transcript:Potri.007G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081000.v4.1 MEANLAQRMQISTTWIDSYKFPRKPTSSLRFSGRFKEQKRLQVFVSAQFRPVRDENRHRQASFEVSCSCNNSQVSTLESESLQDLFGEEALILKNKSQEIEPYLNGRCIYLVGMMGSGKTTVGKILSRSIRYSFCDCDKLVEQDVGVPSVAEIFEIYGEDFFRDKETEALEKLSIEHRFVVSTGGGAVIRDENWIYMRKGISVWLDVPLEELAQRIAAVGTKSRPLLDNESGDAYNTAFRRLSTLFEKRHKAYENAKARVSLENIAAKLGYKDVSSITPAMIAIEALEQIGNVL >Potri.007G081000.7.v4.1 pep chromosome:Pop_tri_v4:7:10529991:10534898:1 gene:Potri.007G081000.v4.1 transcript:Potri.007G081000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081000.v4.1 MEANLAQRMQISTTWIDSYKFPRKPTSSLRFSGRFKEQKRLQVFVSAQFRPVRDENRHRQASFEVSCSCNNSQVSTLESESLQDLFGEEALILKNKSQEIEPYLNGRCIYLVGMMGSGKTTVGKILSRSIRYSFCDCDKLVEQDVGVPSVAEIFEIYGEDFFRDKETEALEKLSIEHRFVVSTGGGAVIRDENWIYMRKGISVWLDVPLEELAQRIAAVGTKSRPLLDNESGDAYNTAFRRLSTLFEKRHKAYENAKARVSLENIAAKLGYKDVSSITPAMIAIEALEQIGNVL >Potri.003G135100.1.v4.1 pep chromosome:Pop_tri_v4:3:15297603:15299576:1 gene:Potri.003G135100.v4.1 transcript:Potri.003G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G135100.v4.1 MAGAASFRWILQLHKDVPKAARFYSEGLDFTVNVCTLRWAELQSGSLKLALMQSHNNHVVQKGYSSLLSFTVTDINTTVTKLMALGAELDGPIKYEIHGKVAAMRCIDGHMLGLYESA >Potri.004G098200.1.v4.1 pep chromosome:Pop_tri_v4:4:8471627:8472759:1 gene:Potri.004G098200.v4.1 transcript:Potri.004G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098200.v4.1 MAETNCHLNQSHQQNPSVQSLFQAIDHISLILSQNSADSDQPVPLKLTTESYIMERGPRYRAYAKLREKKLRMTMLKQQEYEETDFKQTPPKKQEKFHGNLGNSRKGSSILAQSVPDFSATLRKESHGKS >Potri.014G046800.2.v4.1 pep chromosome:Pop_tri_v4:14:2982875:2983723:1 gene:Potri.014G046800.v4.1 transcript:Potri.014G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046800.v4.1 MQRQRVPSDPNIDSVTTNTVSYEAERDVEGASTTAPKGRNDYKGARRKPWEKYAAEIRDPTKNGARIWLGTYETPEDAALAYDQAAFKMRGAKAKLDFPHLIGSIIAHQPVRVTDKLSSPEPS >Potri.003G202200.1.v4.1 pep chromosome:Pop_tri_v4:3:20202116:20206270:1 gene:Potri.003G202200.v4.1 transcript:Potri.003G202200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202200.v4.1 MKPSATSSLIILNVFIILLAFIPFSSSYFLPPSVLGSAIVHQNYTAISSFRVLNRRGLIQCRHPNPYLRINVSSENGLLSDNEYVNVTVSGVFLPSDDDWVAMISPSDSDVKSCPLKKSRYVQTGDLSKLPLLCHYPVKAQYMSNDPDYLKCTKQECKKYNNTNCEVSACSGTISFHVINIRTDIEFVFFSGGFETPCILTRSGPMKFSNPNQPLHGHISSIDSTATSMRLTWVSGGEETQQVQYGDGETLTSTAKTFSQDDMCTSVLPSPANDFGWHDPGYIHSAVMTGLRPSTTYSYRYGSDSVGWSDKIQFRTPPAGGSDELKFLAFGDMGKAPLDPSVEHYIQPGSLSVIKAVTDEVKSGSVDSIFHIGDISYATGFLVEWDFFLHLISPMASQVSYMTAIGNHERDYIGSGSVYITPDSGGECGVPYETYFPMPTPAKDKPWYSIEQGSIHFTVISTEHDWTENSEQYEWMTKDMGSVDRSKTPWLIFTGHRPMYSSSTNRLFNVDDRFSKAVEPLLLQHKVDLAFFGHVHNYERTCSVYQSNCLAMPTKDRNGIDTYDHSNYSAPVQAVIGMAGFSLTKFSKPGSWSLTRISDFGYLRGHATKEDINLEFVNANTRQVQDSFRITKRQNR >Potri.003G216700.1.v4.1 pep chromosome:Pop_tri_v4:3:21236689:21239028:1 gene:Potri.003G216700.v4.1 transcript:Potri.003G216700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216700.v4.1 MLLSLVQTIYTSPFQSKTLTRFNSVIKSTTLLPKSLFPTFDCPSKTFSRLFFNGNTRDRKLTAGASFTVHASLIEAPVLWVGRLCIFYALLKAGLAGSEANPLVSGLDGGGVGGGAESGDLGFSKWIEAIQGKPDKEAADKRKLVSKWHPTTKGTLRRNYRVPSKSEGQRRLKAIAALLSEDDYFVDATSHKGCQIRRESAHGESVCCNNVRALFDELPTPHLLVEITAFPAGPLTEKDYVKAEKLERVLRSGPSI >Potri.007G065500.1.v4.1 pep chromosome:Pop_tri_v4:7:8230193:8234553:-1 gene:Potri.007G065500.v4.1 transcript:Potri.007G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065500.v4.1 MEADGAENTDISNTKKPKHKGKHDKPKPWDEDPNIDRWKIEKFDPSWNQGGMVDVSSFSTLFPKYREKYLTDAWPMVKSALKEFGIDCELNKNEGSMTVKTTIKTRDPYIIVKARDLIKLLSRSVPAPQAIKILNDDMSCDIIKIGNMIRNKERFVKRRQNLVGPNSSTLKALELLTGCYILVQGNTVAAMGSFKGLKQVRRIVEDCIQNKMHPVYHIKILMMKKELEKDPALKNENWDRFLPKYKKKTVKQKKVKSKKKKQDTPFPPPQQPSMEDIQLETGEYFLSDKKKQAKKWHEKQEKQLEKTAENKRKRDAAFVPPKELVKEDPSTYADNNKDVAAMAMSLKKKAKEFGKQKSFENVNPEDYIATSGEQPRKKKSKRD >Potri.009G140900.1.v4.1 pep chromosome:Pop_tri_v4:9:11268262:11269168:-1 gene:Potri.009G140900.v4.1 transcript:Potri.009G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140900.v4.1 MITPVKLIKMARKWQSLAALKRKRISLQRNHSNASTSSSNMPTVADKGHFVVYTADQRRFMFPISYLNNNIVRKLLVMSEEEFGLPGDGPITLPCDAVFMEYVCSLIQGRVDKEIEKAMLMSVISSRSCSLSSCPSQGQTRQQSLVYSF >Potri.018G044300.4.v4.1 pep chromosome:Pop_tri_v4:18:3714682:3721564:-1 gene:Potri.018G044300.v4.1 transcript:Potri.018G044300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G044300.v4.1 MDLIIWIRLAVRETENGLVETVAVLISMMPRMRPELKNGKLGECFKAKPDFMKAWEKWREQITKLDSSAFWVLCDHRQTREGLKNLIQIMLGNTEILCTATSHWIELYISHFLFIRPFTVGFESMYNLAQKCVKMKPMSSPHKLLRLIIGIIGENTEVVLAECSRGFGPWMVTHAIELLTARSDQADFLLHKEHDDIGGISMEELHRLVYAQVLTSHFLTWQIAPVYLTSCMRQGMSLLEVLLYRQPAQHNQLLLKNLEICRLYELDHVSSNIMKIAGVYHWKHGRKGLGVFWLQQARDEFLLNKIAQRLFDSVGKSISGESFKQWEGLIELLGSKSKPAGSLEFLHKYRDFKKLLQQVCDRKTPDAARHAADLLMLLMKNPSTPQRFWLPLLYDSLTLLSWQGRPLLNVSQTNLLLNKLQELSMASLRPGIVATDLPAEALDSVRLALATNLGRAILEEQ >Potri.010G200100.4.v4.1 pep chromosome:Pop_tri_v4:10:19269081:19270091:1 gene:Potri.010G200100.v4.1 transcript:Potri.010G200100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200100.v4.1 MANRWWAGNVAMRGVDPVSSSPSLHLGNLEEDSTPPGLNRLGPRREQDFTDTNTSSPKTTTTATPPSTQNQEEHEDSRDNTNNQESGDHTALETIEPGSGSTSRRPRGRPAGSKNKPKPPIVITKESPNSLHSHVLEISSGSDIVESIATFSHRRHRGVSILSGSGIVNNVTLRQPAAPGGVITLHGRFEILSLSGSFLPAPSPPGATGLTVYLAGGQGQVVGGTVMGELIAAGPVMVIAATFSNATYERLPLEEQEQEGMQLQQQVNSPGTNNGNAAAGGGASSGGGNNSVTQSSQGLGEHVSIPGYNLPPNLLPNGQVPHDMFWCPPPRPPPSY >Potri.010G024800.1.v4.1 pep chromosome:Pop_tri_v4:10:3645678:3648184:-1 gene:Potri.010G024800.v4.1 transcript:Potri.010G024800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024800.v4.1 MLGESTDNPDGEFKISFGYQCNAHKGGSCLVPNEIENLPGKFPRNSSFSRLSGAALSANATLANTNICNGVIREEILPSWDSPNSFRRILSSPTLSRLDVLSSSLQSSMSNLSCSPSTPSPPEYDSSSLRSMSSPSRNEGFLNAMEVQVAGGAAGEDRVQAVCCEENGWLFCAIFDGFNGRDAADFLAGTLYENIIHQTNLLDCELKKDVTGASNGFYPHGPFQYAFEGARNSHLEKPPAGTFNKKNSSIDNSTKNGPIPDSPDSFRHRMLDSLQRAVSQAENDFLYMVEQEMEDRPDLVSVGSCVLVGLLHGMDLYTLNLGDSRAVLATYDEEDSEMKGCGRLKAIQLTDCHTVDNELERTRVLSDHPDDPAVIAGGKVKGKLKVTRALGVGYLKKKNLNDALMGILRVRNLISPPYISIEPSLNLHRISKSDHFVIVGSDGLFDFFSNDEAVMLVHSYILSNPNGDPAKFLLEQLVGRAADCAGFSMEELMNIPAGRRRKYHDDVTLIVIILGANQRTSKASTWV >Potri.010G024800.2.v4.1 pep chromosome:Pop_tri_v4:10:3645927:3648261:-1 gene:Potri.010G024800.v4.1 transcript:Potri.010G024800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024800.v4.1 MLGESTDNPDGEFKISFGYQCNAHKGGSCLVPNEIENLPGKFPRNSSFSRLSGAALSANATLANTNICNGVIREEILPSWDSPNSFRRILSSPTLSRLDVLSSSLQSSMSNLSCSPSTPSPPEYDSSSLRSMSSPSRNEGFLNAMEVQVAGGAAGEDRVQAVCCEENGWLFCAIFDGFNGRDAADFLAGTLYENIIHQTNLLDCELKKDVTGASNGFYPHGPFQYAFEGARNSHLEKPPAGTFNKKNSSIDNSTKNGPIPDSPDSFRHRMLDSLQRAVSQAENDFLYMVEQEMEDRPDLVSVGSCVLVGLLHGMDLYTLNLGDSRAVLATYDEEDSEMKGCGRLKAIQLTDCHTVDNELERTRVLSDHPDDPAVIAGGKVKGKLKVTRALGVGYLKKKNLNDALMGILRVRNLISPPYISIEPSLNLHRISKSDHFVIVGSDGLFDFFSNDEAVMLVHSYILSNPNGDPAKFLLEQLVGRAADCAAWKN >Potri.019G036100.1.v4.1 pep chromosome:Pop_tri_v4:19:4885594:4887298:-1 gene:Potri.019G036100.v4.1 transcript:Potri.019G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036100.v4.1 MEIHFQQEKKRRKASMPVSKTSKFKGISRPSSSNKFVGVRQRPSGRWVAEIKYTTQNIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITRVSLDSPLASRIRNLLNNKRRDKQQQSEEETAEVSTPPTSTIITTSAITTSCCSSCSSISSCGMSTDSYSNSSSVHETDILFDDAYKPDLSNLIEEFKWVTSQSDLSWGFGPVFDGFAFSQEVLDFPKSVVSPGTSDLEFSEFDKMKVERQISASLYAMNGVQEYMETIHDPIEALWDLPPL >Potri.015G093200.1.v4.1 pep chromosome:Pop_tri_v4:15:11569132:11572172:-1 gene:Potri.015G093200.v4.1 transcript:Potri.015G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093200.v4.1 MIALSRHSSTAKAAVPAVYRLFNITWSRFFSTAGAAAEAQLDPDYYYYNEPPRRNPLGAMDDTENSVPGRGVQWAFIGSPRAKKRVYAETLSKLLEVPCISMASLARQELNPRSSLYKQIANAVNRRMLVPEDIIFGLLSKRLEDGYYKGETGFILDGIPRSRLQAEILDQLVEIDLVVNFRCTDDSLVKHQEEGIWKERVYAEQSKPLEDYYQKQKRLLDFQVGSAPAENWQGLLAALHLQHINAACSSKKPTTSSSML >Potri.005G195200.1.v4.1 pep chromosome:Pop_tri_v4:5:20223833:20224688:-1 gene:Potri.005G195200.v4.1 transcript:Potri.005G195200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G195200.v4.1 MGSSNRTLIFATIFLYLLLHFPSQTEARGLKSPAKCPKLLSQVCKTSQNYAFCVRVLMSNPLTLLAPNTKGIVVNALDIVRKESMKTSKFFDGLVNGKGTVPAFKPALKECASDFKKASGLMNLKVLEGDFATMDVNYALDDARSCETKLSMDEVNIKSIPAAIKNWKNFYAVANAAALTLENLQN >Potri.002G086700.1.v4.1 pep chromosome:Pop_tri_v4:2:6210539:6212772:1 gene:Potri.002G086700.v4.1 transcript:Potri.002G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086700.v4.1 MEAERVQNIASTFEDTIPEAFIRSEHEQPAITTVHGVNLDVPVIDVSDPDEEKITRLIADASREWGMFQIVNHGIPSEVISKLQSVGRAFFELPQVEKELYAKPPGAKSIEGYGTFLQKEVEGKKGWVDHLFHRIWPPPAINYRFWPKNPPLYREANEEYVKYLHGVVDKLFKSLSLDLGLEEHELKEAVGGDEMTYLLKINYYPPCPRPDLALGVVAHTDMCSITILLPNDIQGLQACRDGQWYCVKYIPNALVIHIGDQIKILSNGKYKSVFHRTTVTKDKTRMSWPVFLEPPPDLAVGPHPKLVNEKNPPKYKTKKYGDYCYCKLNKIPQ >Potri.001G360800.4.v4.1 pep chromosome:Pop_tri_v4:1:37806716:37823324:-1 gene:Potri.001G360800.v4.1 transcript:Potri.001G360800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360800.v4.1 MCNLRSFFTPLLAVLLLQSLVVVVSAQGSASTWQTLTGNPPLVIARGGFSGLFPDSSSVAFQFATLTSLPDVVLWCDVQLTKDGVGICAPDLRLDNSTSIAQVMKNKDKLYLVNGIPTQGWFTVDFTLNELSLVSLAQGIYSRSERFDNTYPIQTVEDVANLKPPGLWLNIQHDAFFTQHNLSMRIYVLSLSRRVVINHLSSPEAGFLRSIVKRFNLNITKLVFRFLEPNVIEPSTNETYGSLSKNFTFIKTFASGILIPKSYIWPLDASNYLQPQTSIVSDAHKAGLEVFVSDFYNDAQLSYNYSYDPVTEYLSFVDNGDFSVDGVLSDFPVTPSATIDCFSGLGKNATPQVNLSVISKNGASGDYPGCTDLAYQKAILDGADVIDCPVQISKDGIPFCLGSINLYDSTTVAQSSYSNREQNIPQIKAGGGIFTFSLTWSEIQNLTPVMSNPYSKYYLLRNPKFRNSGNFLTLSDFLALAKNTSSLSGVLISIENAAYLIEKEGLPVTDKVLEVLSLAGYDDPTSKKVMIESTNSSVLMKFRDKNNYELVYRIEEDIQDAEDAALKDIKDFANSVVISKISVFPKSSSFLTGVTNVVPKLQSHGLSVYVETFNNEFVSQAWDFFSDSTVEINSYVMGANISGVITEFPLTSARYKRNRCLGKELPSYMSPAQPGGLMQLISPFALPPAEPPNPVLTAPDVVEGPLPSHTASPPPVPGGGATAVPPGAPNGLPKIGACIFLPNLAMLITILLLL >Potri.001G360800.1.v4.1 pep chromosome:Pop_tri_v4:1:37806716:37823369:-1 gene:Potri.001G360800.v4.1 transcript:Potri.001G360800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360800.v4.1 MCNLRSFFTPLLAVLLLQSLVVVVSAQGSASTWQTLTGNPPLVIARGGFSGLFPDSSSVAFQFATLTSLPDVVLWCDVQLTKDGVGICAPDLRLDNSTSIAQVMKNKDKLYLVNGIPTQGWFTVDFTLNELSLVSLAQGIYSRSERFDNTYPIQTVEDVANLKPPGLWLNIQHDAFFTQHNLSMRIYVLSLSRRVVINHLSSPEAGFLRSIVKRFNLNITKLVFRFLEPNVIEPSTNETYGSLSKNFTFIKTFASGILIPKSYIWPLDASNYLQPQTSIVSDAHKAGLEVFVSDFYNDAQLSYNYSYDPVTEYLSFVDNGDFSVDGVLSDFPVTPSATIDCFSGLGKNATPQVNLSVISKNGASGDYPGCTDLAYQKAILDGADVIDCPVQISKDGIPFCLGSINLYDSTTVAQSIYSNREQNIPQIKAGSGIFTFSLTWSEIQNLIPVISNPYSKYELLRNPKFKNSGKFLTLSDFLALAKNTSSLSGVLISIENAAYLIEKEGLPITDKVLEVLSLAGYDDPTSKKVMIESTNSSVLMKFRDESNYELVYRIEEDIQDAEDAALKDIKDFASSVVISKASVFPESSSFLSGVTNVVPKLQSHGLSVYVETFSNEFVSQAWDFFSDSTVEINSYVMGVNISGVITEFPLTSARYKRNRCLGKELPSYMSPAQPGGLMQLISPFALPPAEPPNPVLTAPDVVEGPLPSHTASPPPVPGGGATAVPPGAPNGLPKIGACIFLPNLAMLITILLLL >Potri.001G360800.3.v4.1 pep chromosome:Pop_tri_v4:1:37816327:37823388:-1 gene:Potri.001G360800.v4.1 transcript:Potri.001G360800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360800.v4.1 MCNLRSFFTPLLAVLLLQSLVVVVSAQGSASTWQTLTGNPPLVIARGGFSGLFPDSSSVAFQFATLTSLPDVVLWCDVQLTKDGVGICAPDLRLDNSTSIAQVMKNKDKLYLVNGIPTQGWFTVDFTLNELSLVSLAQGIYSRSERFDNTYPIQTVEDVANLKPPGLWLNIQHDAFFTQHNLSMRIYVLSLSRRVVINHLSSPEAGFLRSIVKRFNLNITKLVFRFLEPNVIEPSTNETYGSLSKNFTFIKTFASGILIPKSYIWPLDASNYLQPQTSIVSDAHKAGLEVFVSDFYNDAQLSYNYSYDPVTEYLSFVDNGDFSVDGVLSDFPVTPSATIDCFSGLGKNATPQVNLSVISKNGASGDYPGCTDLAYQKAILDGADVIDCPVQISKDGIPFCLGSINLYDSTTVAQSSYSNREQNIPQIKAGGGIFTFSLTWSEIQNLTPVMSNPYSKYYLLRNPKFRNSGNFLTLSDFLALAKNTSSLSGVLISIENAAYLIEKEGLPVTDKVLEVLSLAGYDDPTSKKVMIESTNSSVLMKFRDKNNYELVYRIEEDIQDAEDAALKDIKDFANSVVISKISVFPKSSSFLTGVTNVVPKLQSHGLSVYVETFNNEFVSQAWDFFSDSTVEINSYVMGANISGVITEFPLTSARYKRNRCLGYNVLPPYMSPAQPGGLIQLISPFALPPAEPPNPVLTAPDVVEGPLPSHTASPPVPGGGATAVPPGAPNGLPKIGACIFLSKLAMLITILLLL >Potri.002G000350.1.v4.1 pep chromosome:Pop_tri_v4:2:36633:42844:1 gene:Potri.002G000350.v4.1 transcript:Potri.002G000350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000350.v4.1 MSVTPHSINLQSHVVYDQGRMVTKAQSVTATRAINVGTSEAPTNELLRYGNDGLGLGNNGFFAVDRLGRGGGLAVLWRSSASVSLLGYSNNHIDLLVVEANNFIWRFTGYYGLPDRNRKMESWNLLRALSRQSALPWVCMGDYNDMLCAEEKRGRVLHPNSSFQGFREAVEDCKLTDMPLIGYPFTWERGRGTAEWIQERIDRAMCSDSWFDYFDRAELHILTCSSSDHSPLLLVTRKHPFHLKHIRFKFDNSWVRELELVSQWGRSLGRNFKVEIRDCHNKLDVLRHLDDEHSAIEFKNCTDRLARLLAQEEDFWRQRAKIYWLTEGGLNTKYFHSVATARRRRNVISALVDGAGTVVEDTEGLQGWKLMAELHSLVARILKARYFPSGDFLNAHLGHKPSFVRSCRWWIGMGNMVNIWNDAWLIDDNNRFIETQVVKGLETMHVYDLMVDNGSRWDVQMIDSLFQNRDVIAIQGIPLSSRGVGDKLIWHFSKDERFNVKLAYRVAMEICGLDLGEAVQGA >Potri.008G217200.2.v4.1 pep chromosome:Pop_tri_v4:8:16306256:16307560:-1 gene:Potri.008G217200.v4.1 transcript:Potri.008G217200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217200.v4.1 MSPVSMAPIRVGHFDDVQELRKDRPTTIPERFVRNMTERPAPATVLPSLSTIPTIDFSRLAEGNKDKYKSEMLELTRACEEWGFFSGVFKMFYQVIDHGIDLSLLESIEKVAMDFFVLPLGEKQKYPIFQFQKLDWVNMIALGLEPHSIRVPKPGPAKPPKFSETVEEKCQHLLKYIGMTLHMRADVFEEIPDLVLGLSPHSDGSALPVLQQGRGSSVRKHTGFNKWKIPKCGALTHREKDRLSLVTFYSPSYEIELGPIPELLDENNPCKCRRYLQGKKNLEFAKVESKKPT >Potri.019G079800.1.v4.1 pep chromosome:Pop_tri_v4:19:12061134:12062938:-1 gene:Potri.019G079800.v4.1 transcript:Potri.019G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079800.v4.1 MPFMYKDPHSRDNPPPLPKPKIPPPPPPPPPPIHLHDFRRRHHHYHDNQNPTMFSPPYRLMLATSTWLRTRRSRRVLLLLFSPILLPFLCATLPILWAAELCVSLCHRGRRKKDEDGGDRLRRCEEGFCDCECEEEEEEEVGLLQRYLEDQLRLVGSVYECGDEFDHHNDGDDQADHDHNLNTPLLG >Potri.010G168200.2.v4.1 pep chromosome:Pop_tri_v4:10:17086193:17089217:1 gene:Potri.010G168200.v4.1 transcript:Potri.010G168200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168200.v4.1 MEWEIKIPEMELLSIIHGHGLLAAFIFLLVNLSPSSSLPQGYGLPYMTSNVKEVLGKSFDYIIVGGGTAGCPLAATLSEKFSVLLIERGGSPYENPMLLDKKYFGFPFLQTDEFSSVAQRFISRDGVPNLRGRVLGGTSTINAGFYSRASADFIKRVGWDEKLVKEAYEWAESKVVFKPLLTKWNSAVKSGLLEAGILPYNGFSWDHIAGTKIGGTVFDANRKRHISADLLERGNSSNIVVLLNATVKNIVFRSDDKGKKSIVRGIRFINSNGSINQTYESYLTQPENSSPQGDVILSAGAIGSPQILLLSGIGPKGHLGNFSIPLLLDLKGVGQDMQDNPGITLILRAKPEYRLPESPQVVGIAKDFKFVVEGFVLPVSFNATTLMRISIKLAFPESKGRLELNNTDPRQNPVVLFNYLAEEKDLRECVQMVQLVKKVARSRSIARFLGAKPLINVTSNPNELRNFCRKNVRTYYHFHGGCSIGSVIDNDYKVIGVKGLRVIDGSTLSESPGTNPMATLLMLGRYQGIKILKEREDASAFGNQQHP >Potri.002G169350.5.v4.1 pep chromosome:Pop_tri_v4:2:12999510:13001474:1 gene:Potri.002G169350.v4.1 transcript:Potri.002G169350.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169350.v4.1 MMVLCFKTKHILPSIEAGWGLFWGFKQVLSCLQRLSVVSGWQSLLALPQGFQRSKQLFFERATHNRLAPMHPRKLSVLFKVVKLKDTLKNNKGKQHFLINAETS >Potri.002G169350.1.v4.1 pep chromosome:Pop_tri_v4:2:12999510:13001474:1 gene:Potri.002G169350.v4.1 transcript:Potri.002G169350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169350.v4.1 MMVLCFKTKHILPSIEAGWGLFWGFKQVLSCLQRLSVVSGWQSLLALPQGFQRSKQVRACQHILAAGSRSLVRVVIKSCHDFGRQEKTISSVNSLLLFFERATHNRLAPMHPRKLSVLFKVVKLKDTLKNNKGKQHFLINAETS >Potri.002G169350.4.v4.1 pep chromosome:Pop_tri_v4:2:12999711:13000049:1 gene:Potri.002G169350.v4.1 transcript:Potri.002G169350.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169350.v4.1 MMVLCFKTKHILPSIEAGWGLFWGFKQVLSCLQRLSVVSGWQSLLALPQGFQRSKQVRACQHILAAGSRSLVRVVIKSCHDFGRQEKTISSVNSLLVINPTCRYLLLQDFLD >Potri.002G169350.2.v4.1 pep chromosome:Pop_tri_v4:2:12999510:13001474:1 gene:Potri.002G169350.v4.1 transcript:Potri.002G169350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169350.v4.1 MMVLCFKTKHILPSIEAGWGLFWGFKQVLSCLQRLSVVSGWQSLLALPQGFQRSKQVRACQHILAAGSRSLVRVVIKSCHDFGRQEKTISSVNSLLLFFERATHNRLAPMHPRKLSVLFKVVKLKDTLKNNKGKQHFLINAETS >Potri.002G169350.3.v4.1 pep chromosome:Pop_tri_v4:2:12999510:13001474:1 gene:Potri.002G169350.v4.1 transcript:Potri.002G169350.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169350.v4.1 MMVLCFKTKHILPSIEAGWGLFWGFKQVLSCLQRLSVVSGWQSLLALPQGFQRSKQVRACQHILAAGSRSLVRVVIKSCHDFGRQEKTISSVNSLLVINPTCSYSSKEPRTTDLLLCTQESFPSSSR >Potri.002G169350.6.v4.1 pep chromosome:Pop_tri_v4:2:12999510:13001474:1 gene:Potri.002G169350.v4.1 transcript:Potri.002G169350.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169350.v4.1 MMVLCFKTKHILPSIEAGWGLFWGFKQVLSCLQRLSVVSGWQSLLALPQGFQRSKQLFFERATHNRLAPMHPRKLSVLFKVVKLKDTLKNNKGKQHFLINAETS >Potri.014G095901.1.v4.1 pep chromosome:Pop_tri_v4:14:6261307:6262235:-1 gene:Potri.014G095901.v4.1 transcript:Potri.014G095901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095901.v4.1 MIYRKWSLLTGPMVILGGIMGTAVAVRVLFFENPYLKPEQKKQDSTPLTK >Potri.001G157800.2.v4.1 pep chromosome:Pop_tri_v4:1:13325083:13333399:1 gene:Potri.001G157800.v4.1 transcript:Potri.001G157800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157800.v4.1 MATNPPFNVMEDQTDEDFFDKLVDDDFGPPNLDSGPKFTEGSDSDEAKAFANLSIEDTKGGFEGKVENDGAGLDGVKAEESNALESVNSLGLSDGVIESNNDGIGSEVVPETTVCQSSGSLKSGVKEVGWGSFYADSADNGNHGFGSSSDFFNDFGGGSEDFPANIVQSASNVENRGGGGLDNSVSYEQYQDGSQVYGGSVMESVNGLDLSSSQYWENMYPGWKQDANTGQWYQVDAFDATASMQGSADGALGVECVAASASISDGKKEVNYLQQTSQSVVGTVAETSTTESVSSWNQVSQGNNNGYPEHMVFDPQYPGWYYDTMVGEWRSLDSYTPSAQSSTVQTNDQQNQNGFAFSNPYSPNSSSMNAEYGQADKYGYQGYNNQGLHGSGGESYGSYNQQGLNMWQPQTAAKTDTISNFGGNQQLENLYGSNVSMNNHVDQQNAFNYSGTVPSYDKASQGYAEANGFVGSQSFVHGGNFSQKSNQETVKQNEQAIFSNDYFSSQKQASVPHQSFQSNQQFSYAPNTGRSSAGRPPHALVTFGFGGKLIVMKDSSSLRKTSFSSQDHVGGSISVMNLMEIILGSSDNASSVGGGTCSYFHALCQQSFPGPLVGGNVGNKELNKWIDERIAHCESLGVNQRKGEVLRLLLALLKIACQHYGKLRSPFGTDNLLKESDAPESAVAKLFASAKKNSTHFSEYGALDHCLQNMPFEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQYYVDTVKLMALRQLVAGSPLRTLCLLIAGQPAEVFSTDSNVHGGFPGDLSIPQQPVQFGANRMLDDWEENLAVITANRTKDDELVLMHLGDCLWKDRSEITAAHICYLIAEANFESYSDTARLCLIGADHWKHPRTYANPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKLLVLSLEERIRAHQQGGFTTNLAPGKIVGKLLNFFDSTAHRVVGGLPPPAPSASQGSVPDSHHQLVAPRVSGSQSTMTMSSLISSASTEPISEWAADGNKMTMHNRSVSEPDFGRSPIQADSSTQGTPSSTQSKASGSVGSSRFGRFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGVEPTAEAAALAPPPTTLGFQNGGSDYNLKSALKNEVSPTDGNSTFKSPTSTDHPSGIPPIPASSNQFSARGRMGVRARYVDTFNQGGGKPANLFQSPSVPSVKPAVASNAKFFVPAPAPAPAPSLEYSMEAIAENIQEDSATTEKPSTFNMKENDYPQPSTSSSAMAMQRFPSMDNITRKGGMINGKDLVSSNSRRTASWSGSFSDSFSPPKVMESKSPGEALGMTPSSFMPSDQSMTRMPSSSSFGDELHEVEL >Potri.001G157800.3.v4.1 pep chromosome:Pop_tri_v4:1:13325136:13333264:1 gene:Potri.001G157800.v4.1 transcript:Potri.001G157800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157800.v4.1 MATNPPFNVMEDQTDEDFFDKLVDDDFGPPNLDSGPKFTEGSDSDEAKAFANLSIEDTKGGFEGKVENDGAGLDGVKAEESNALESVNSLGLSDGVIESNNDGIGSEVVPETTVCQSSGSLKSGVKEVGWGSFYADSADNGNHGFGSSSDFFNDFGGGSEDFPANIVQSASNVENRGGGGLDNSVSYEQYQDGSQVYGGSVMESVNGLDLSSSQYWENMYPGWKQDANTGQWYQVDAFDATASMQGSADGALGVECVAASASISDGKKEVNYLQQTSQSVVGTVAETSTTESVSSWNQVSQGNNNGYPEHMVFDPQYPGWYYDTMVGEWRSLDSYTPSAQSSTVQTNDQQNQNGFAFSNPYSPNSSSMNAEYGQADKYGYQGYNNQGLHGSGGESYGSYNQQGLNMWQPQTAAKTDTISNFGGNQQLENLYGSNVSMNNHVDQQNAFNYSGTVPSYDKASQGYAEANGFVGSQSFVHGGNFSQKSNQETVKQNEQAIFSNDYFSSQKQASVPHQSFQSNQQFSYAPNTGRSSAGRPPHALVTFGFGGKLIVMKDSSSLRKTSFSSQDHVGGSISVMNLMEIILGSSDNASSVGGGTCSYFHALCQQSFPGPLVGGNVGNKELNKWIDERIAHCESLGVNQRKGEVLRLLLALLKIACQHYGKLRSPFGTDNLLKESDAPESAVAKLFASAKKNSTHFSEYGALDHCLQNMPFEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQYYVDTVKLMALRQLVAGSPLRTLCLLIAGQPAEVFSTDSNVHGGFPGDLSIPQQPVQFGANRMLDDWEENLAVITANRTKDDELVLMHLGDCLWKDRSEITAAHICYLIAEANFESYSDTARLCLIGADHWKHPRTYANPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKLLVLSLEERIRAHQQGGFTTNLAPGKIVGKLLNFFDSTAHRVVGGLPPPAPSASQGSVPDSHHQLVAPRVSGSQSTMTMSSLISSASTEPISEWAADGNKMTMHNRSVSEPDFGRSPIQADSSTQGTPSSTQSKASGSVGSSRFGRFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGVEPTAEAAALAPPPTTLGFQNGGSDYNLKSALKNEVSPTDGNSTFKSPTSTDHPSGIPPIPASSNQFSARGRMGVRARYVDTFNQGGGKPANLFQSPSVPSVKPAVASNAKFFVPAPAPAPAPSLEYSMEAIAENIQEDSATTEKPSTFNMKENDYPQPSTSSSAMAMQRFPSMDNITRKGGMINGKDLVSSNSRRTASWSGSFSDSFSPPKVMESKSPGEALGMTPSSFMPSDQSMTRMPSSSSFGDELHEVEL >Potri.001G157800.1.v4.1 pep chromosome:Pop_tri_v4:1:13325179:13333393:1 gene:Potri.001G157800.v4.1 transcript:Potri.001G157800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157800.v4.1 MATNPPFNVMEDQTDEDFFDKLVDDDFGPPNLDSGPKFTEGSDSDEAKAFANLSIEDTKGGFEGKVENDGAGLDGVKAEESNALESVNSLGLSDGVIESNNDGIGSEVVPETTVCQSSGSLKSGVKEVGWGSFYADSADNGNHGFGSSSDFFNDFGGGSEDFPANIVQSASNVENRGGGGLDNSVSYEQYQDGSQVYGGSVMESVNGLDLSSSQYWENMYPGWKQDANTGQWYQVDAFDATASMQGSADGALGVECVAASASISDGKKEVNYLQQTSQSVVGTVAETSTTESVSSWNQVSQGNNNGYPEHMVFDPQYPGWYYDTMVGEWRSLDSYTPSAQSSTVQTNDQQNQNGFAFSNPYSPNSSSMNAEYGQADKYGYQGYNNQGLHGSGGESYGSYNQQGLNMWQPQTAAKTDTISNFGGNQQLENLYGSNVSMNNHVDQQNAFNYSGTVPSYDKASQGYAEANGFVGSQSFVHGGNFSQKSNQETVKQNEQAIFSNDYFSSQKQASVPHQSFQSNQQFSYAPNTGRSSAGRPPHALVTFGFGGKLIVMKDSSSLRKTSFSSQDHVGGSISVMNLMEIILGSSDNASSVGGGTCSYFHALCQQSFPGPLVGGNVGNKELNKWIDERIAHCESLGVNQRKGEVLRLLLALLKIACQHYGKLRSPFGTDNLLKESDAPESAVAKLFASAKKNSTHFSEYGALDHCLQNMPFEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQYYVDTVKLMALRQLVAGSPLRTLCLLIAGQPAEVFSTDSNVHGGFPGDLSIPQQPVQFGANRMLDDWEENLAVITANRTKDDELVLMHLGDCLWKDRSEITAAHICYLIAEANFESYSDTARLCLIGADHWKHPRTYANPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKLLVLSLEERIRAHQQGGFTTNLAPGKIVGKLLNFFDSTAHRVVGGLPPPAPSASQGSVPDSHHQLVAPRVSGSQSTMTMSSLISSASTEPISEWAADGNKMTMHNRSVSEPDFGRSPIQYQADSSTQGTPSSTQSKASGSVGSSRFGRFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGVEPTAEAAALAPPPTTLGFQNGGSDYNLKSALKNEVSPTDGNSTFKSPTSTDHPSGIPPIPASSNQFSARGRMGVRARYVDTFNQGGGKPANLFQSPSVPSVKPAVASNAKFFVPAPAPAPAPSLEYSMEAIAENIQEDSATTEKPSTFNMKENDYPQPSTSSSAMAMQRFPSMDNITRKGGMINGKDLVSSNSRRTASWSGSFSDSFSPPKVMESKSPGEALGMTPSSFMPSDQSMTRMPSSSSFGDELHEVEL >Potri.015G046300.1.v4.1 pep chromosome:Pop_tri_v4:15:4588302:4589929:1 gene:Potri.015G046300.v4.1 transcript:Potri.015G046300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046300.v4.1 MADFTVDLQSFKPPFPFLDIDASMAAINQFTEVNQAIQLDNPIMNNFHSFTPFTSNNFFSHQAPEFPGNFAGSFLPGSFHQNDQNVMPVSQTFTIPAKESEFQESKRRAMDVSESSCMNSYPRVSESGSKKRKSSRRGKRVKSNEEEEEKTREVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASTFYDFNAETEAIETVQRAKAQETKELQRAMREGSGGLAQSHSSWPL >Potri.017G058400.1.v4.1 pep chromosome:Pop_tri_v4:17:4682927:4684707:1 gene:Potri.017G058400.v4.1 transcript:Potri.017G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058400.v4.1 MRCYGLMIPGSETTRFYQSPLKPDPNPILRVKTVSRIPIGSFKTKATINAKVGAELGQMSFYELLGITESGTLPEIKQAYKQLARKYHPDVSPPDRVEEYTRRFIRVQEAYETLSDPRMREIYDRDMAKGLHLAFSARRRYPHQNDEEMEERTEWKNRWQSQLSELKRRSTNKGAGGSMSWAARMRRQREGLSEDL >Potri.006G161400.2.v4.1 pep chromosome:Pop_tri_v4:6:14662468:14665965:-1 gene:Potri.006G161400.v4.1 transcript:Potri.006G161400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G161400.v4.1 MSMPLEHDYIGISSEVSSMENNSGTDTINISTTASKGLNLKATELRLGLPGSDSPERGNENQQLGFSLNNNNNSKDKSFVSGARRGFSVAIHGGSANWVFSGNAGSDPNFSLRGANSGKEGFPHSSKPVVQENKSQVDGANTNGHGAAPASKAQVVGWPPIRSFRKNTMASHLSKNDDGAEVKSGSGCLYVKVSMDGAPYLRKVDLKTFGSYMELSSALEKMFSCFTIGQCGSHVVPGQDGLSESRLMDLLHGSEYVLTYEDKDNDWMLVGDVPWKMFTDSCRRLRIMKGSEAIGLGMFYHTMRWTDSSFHKYSSNVLMQ >Potri.006G161400.1.v4.1 pep chromosome:Pop_tri_v4:6:14662432:14665968:-1 gene:Potri.006G161400.v4.1 transcript:Potri.006G161400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G161400.v4.1 MSMPLEHDYIGISSEVSSMENNSGTDTINISTTASKGLNLKATELRLGLPGSDSPERGNENQQLGFSLNNNNNSKDKSFVSGARRGFSVAIHGGSANWVFSGNAGSDPNFSLRGANSGKEGFPHSSKPVVQENKSQVDGANTNGHGAAPASKAQVVGWPPIRSFRKNTMASHLSKNDDGAEVKSGSGCLYVKVSMDGAPYLRKVDLKTFGSYMELSSALEKMFSCFTIGQCGSHVVPGQDGLSESRLMDLLHGSEYVLTYEDKDNDWMLVGDVPWKMFTDSCRRLRIMKGSEAIGLAPRAMEKCKSRN >Potri.012G123000.4.v4.1 pep chromosome:Pop_tri_v4:12:14022551:14024494:1 gene:Potri.012G123000.v4.1 transcript:Potri.012G123000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123000.v4.1 MADALVSPILEQLTTIVARQVQEQVNLVVGVKKQCDKLKSNLLDIQSVLEDADRKQVKDKAMNGSTAILRWKMEEAVENTHSRQKIQCSFLGSPCFCFNQVVRRRDIALKIKEVSEKVDDIAKERAKYGFDLYKATDELQRLTTTSFVDESSVIGRDGEKRNVVSKLLAESSQEARDVDVISLVGLGGIGKLAQLAFNDAKVTDHFEKKIWVCVSDPFDEVRIAKAILEELEGRASDLVGLQSLLRRVSESIKGKRFLLVLDDVWTENHGQWEQLKPSLTGCARGSRILVTTRKDAVATMMETDHRINIEKLSDEIGEKDRTL >Potri.007G022900.3.v4.1 pep chromosome:Pop_tri_v4:7:1750080:1753608:-1 gene:Potri.007G022900.v4.1 transcript:Potri.007G022900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022900.v4.1 MSRRQREREVSHAKAASVGELNEIVANIKLSKDSMLDVPNFESTESISPGDIFFSVDQTALGMQKNGILKDNNVTNLYLKPASFPHMDSVLLQRNKVNGNIDHNSQRTSTTSSGSRMTMTSASAASRQSSSKLSSDSSKISDASGRTSGSLKKFTENRKKKQTEAWFSCLKKGPCKTSKSPGKKRCDETSFIEKAFVVESLRQFWADKHQPGSLNGFTCHKHEAQILGQLVSHDSIPHILLKGPSGSGKKALAMALIGDIFGDACWHKTHDLRYFQEQRGAAQVVVPITSSAHHAEINVNLEPNAKTALMGLVKEIRNTYAITPDFSNVNFKPDYKVLVLYEVDKAPENIQPLMKWIMDCYTDACKLILCCEDDSDILETVKNRCKVLKVDAPVTHEIMEVLIQIARKEEFDLPMNFAAKIAAKSKQNLRKAIMALEACKAHNYPFSDDQPIPFGWEEVLVELATEILIDPSPNKLFSARGKLKRLLVDFVNPKLILLKLVEQFLKGVEANSRRELYYWHAYYDKRLPTGTTALLKLEEFVAKFMSMYRKSSGTRQYV >Potri.013G146600.1.v4.1 pep chromosome:Pop_tri_v4:13:14388746:14390774:1 gene:Potri.013G146600.v4.1 transcript:Potri.013G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146600.v4.1 MANPVAAEDGPRREYPWLDGIKQDIADLSPVSNWSIWKVPNSLRTVNDDAYNPHIISIGPLHRREEKLQSMEVHKLYYMRSLLLRTPDVDETLRSSAEAIEDFGKMVRACYAEPIHTRVSTLAEILLVDGCFMLELFIRYSKVDLRVQDDPLFYSPWIILTLQRDLALLENQIPFFVLERLYSQVVESSTIGQSLPSLPELALGFFKSVLYINDENLRIIRRPYPHVLDLIHKCCSPQPSSGSSNIGEWVSTQPATSLNEAGIVFRKGTTRTLFDLTFRNGALEIPPLLIHDSTVSLFQNLIAYEQLSRCTEKYFTSYFALMSRLVYDRRDAELLESKGIIQNDTSGWKDISVLFNDMRKQVAVQDFYYANLCQNLNSNFRTRPAFYKATLRKTYFRSPWTTASVMAACVLLSLAVIQTVYTVLSYYPQN >Potri.013G146600.2.v4.1 pep chromosome:Pop_tri_v4:13:14388746:14390528:1 gene:Potri.013G146600.v4.1 transcript:Potri.013G146600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146600.v4.1 MANPVAAEDGPRREYPWLDGIKQDIADLSPVSNWSIWKVPNSLRTVNDDAYNPHIISIGPLHRREEKLQSMEVHKLYYMRSLLLRTPDVDETLRSSAEAIEDFGKMVRACYAEPIHTRRDLALLENQIPFFVLERLYSQVVESSTIGQSLPSLPELALGFFKSVLYINDENLRIIRRPYPHVLDLIHKCCSPQPSSGSSNIGEWVSTQPATSLNEAGIVFRKGTTRTLFDLTFRNGALEIPPLLIHDSTVSLFQNLIAYEQLSRCTEKYFTSYFALMSRLVYDRRDAELLESKGIIQNDTSGWKDISVLFNDMRKQVAVQDFYYANLCQNLNSNFRTRPAFYKATLRKTYFRSPWTTASVMAACVLLSLAVIQTVYTVLSYYPQN >Potri.013G146600.3.v4.1 pep chromosome:Pop_tri_v4:13:14388746:14390528:1 gene:Potri.013G146600.v4.1 transcript:Potri.013G146600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146600.v4.1 MANPVAAEDGPRREYPWLDGIKQDIADLSPVSNWSIWKVPNSLRTVNDDAYNPHIISIGPLHRREEKLQSMEVHKLYYMRSLLLRTPDVDETLRSSAEAIEDFGKMVRACYAEPIHTRPSSGSSNIGEWVSTQPATSLNEAGIVFRKGTTRTLFDLTFRNGALEIPPLLIHDSTVSLFQNLIAYEQLSRCTEKYFTSYFALMSRLVYDRRDAELLESKGIIQNDTSGWKDISVLFNDMRKQVAVQDFYYANLCQNLNSNFRTRPAFYKATLRKTYFRSPWTTASVMAACVLLSLAVIQTVYTVLSYYPQN >Potri.019G062500.4.v4.1 pep chromosome:Pop_tri_v4:19:10251630:10265478:-1 gene:Potri.019G062500.v4.1 transcript:Potri.019G062500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062500.v4.1 MAEAPLLDIEEEVRSLQLDSADCASEINGVINPEDARPEEVEKVDEMEKDSDDKVTVSSQEVQAELPKVKDKEVPAPEDVEAVVEMEQYNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDEPTVNWSKERYDEIESKMIPFLKSSGYNVKKDVQFLPISGLMGTNMKTRLDKAVCPWWNGPCLFEALDSIEVPPRDPNGPLRMPIIDKFKDMGTVVMGKVESGSVTEGDTLLVMPNKTQVKVLAVFCDENKVRRAGPGENVRVKLSGIDDEDILSGFVLSSVARPIFAVTEFDAQLQILELLDNAIFTAGYKAVLHVHSVVEECEIVQLLQQIDPKTRKPMKKKVLFVKNGAIVLCRIQVNNLICVEKFSDFPQLGRFTLRTEGKTVAVGKVTELPSGSNF >Potri.019G062500.3.v4.1 pep chromosome:Pop_tri_v4:19:10251551:10265489:-1 gene:Potri.019G062500.v4.1 transcript:Potri.019G062500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062500.v4.1 MAEAPLLDIEEEVRSLQLDSADCASEINGVINPEDARPEEVEKVDEMEKDSDDKVTVSSQEVQAELPKVKDKEVPAPEDVEAVVEMEQYNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDEPTVNWSKERYDEIESKMIPFLKSSGYNVKKDVQFLPISGLMGTNMKTRLDKAVCPWWNGPCLFEALDSIEVPPRDPNGPLRMPIIDKFKDMGTVVMGKVESGSVTEGDTLLVMPNKTQVKVLAVFCDENKVRRAGPGENVRVKLSGIDDEDILSGFVLSSVARPIFAVTEFDAQLQILELLDNAIFTAGYKAVLHVHSVVEECEIVQLLQQIDPKTRKPMKKKVLFVKNGAIVLCRIQVNNLICVEKFSDFPQLGRFTLRTEGKTVAVGKVTELPSGSNF >Potri.019G062500.8.v4.1 pep chromosome:Pop_tri_v4:19:10252044:10265489:-1 gene:Potri.019G062500.v4.1 transcript:Potri.019G062500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062500.v4.1 MAEAPLLDIEEEVRSLQLDSADCASEINGVINPEDARPEEVEKVDEMEKDSDDKVTVSSQEVQAELPKVKDKEVPAPEDVEAVVEMEQYNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDEPTVNWSKERYDEIESKMIPFLKSSGYNVKKDVQFLPISGLMGTNMKTRLDKAVCPWWNGPCLFEALDSIEVPPRDPNGPLRMPIIDKFKDMGTVVMGKVESGSVTEGDTLLVMPNKTQVKVLAVFCDENKVRRAGPGENVRVKLSGIDDEDILSGFVLSSVARPIFAVTEFDAQLQILELLDNAIFTAGYKAVLHVHSVVEECEIVQLLQQIDPKTRKPMKKKVLFVKNGAIVLCRIQVNNLICVEKFSDFPQLGRFTLRTEGKTVAVGKVTELPSGSNF >Potri.019G062500.9.v4.1 pep chromosome:Pop_tri_v4:19:10252016:10265491:-1 gene:Potri.019G062500.v4.1 transcript:Potri.019G062500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062500.v4.1 MAEAPLLDIEEEVRSLQLDSADCEINGVINPEDARPEEVEKVDEMEKDSDDKVTVSSQEVQAELPKVKDKEVPAPEDVEAVVEMEQYNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKDKSRESWYMAYIMDTNEEERVKGKTVEVGRAHFETETTRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDEPTVNWSKERYDEIESKMIPFLKSSGYNVKKDVQFLPISGLMGTNMKTRLDKAVCPWWNGPCLFEALDSIEVPPRDPNGPLRMPIIDKFKDMGTVVMGKVESGSVTEGDTLLVMPNKTQVKVLAVFCDENKVRRAGPGENVRVKLSGIDDEDILSGFVLSSVARPIFAVTEFDAQLQILELLDNAIFTAGYKAVLHVHSVVEECEIVQLLQQIDPKTRKPMKKKVLFVKNGAIVLCRIQVNNLICVEKFSDFPQLGRFTLRTEGKTVAVGKVTELPSGSNF >Potri.012G085450.1.v4.1 pep chromosome:Pop_tri_v4:12:11118568:11120337:1 gene:Potri.012G085450.v4.1 transcript:Potri.012G085450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085450.v4.1 MLEDLPNSNSPFKTSQEESFENVTRTSAAPHTAVSAPVILNGNPQASNPFAHCAPLASQVPAGDDGKEAKKQNTCLPTRKPNPFGLPTFPMNYGFPSPTDFPFSGVPVENDSALRSPKSHAIKRSDCVNNPMVGMFHRGVQCDGCGVHPITGPRYTSKVKEDYDLCSICFAAMGNEADYIKMDRPMSCRNPWSSKCFNDPTQKSLAFPQPLYKGSCGVKGGQPKLDSRFVLDVNVSDGTVMPSSTPFTKIWRMRNSGSVAWPQGVRLVWIGGDQFFSADSVEIEIPVNGVPIDGELDIAADFVSPALPGRYISYWKMAHPSGVKFGQRIWVLIEVDASLKDPSFKDLNLNESPNWSGSKCHEDLERISTARLLIMLKERVKFT >Potri.012G085450.2.v4.1 pep chromosome:Pop_tri_v4:12:11111542:11120337:1 gene:Potri.012G085450.v4.1 transcript:Potri.012G085450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085450.v4.1 MLEDLPNSNSPFKTSQEESFENVTRTSAAPHTAVSAPVILNGNPQASNPFAHCAPLASQVPAGDDGKEAKKQNTCLPTRKPNPFGLPTFPMNYGFPSPTDFPFSGVPVENDSALRSPKSHAIKRSDCVNNPMVGMFHRGVQCDGCGVHPITGPRYTSKVKEDYDLCSICFAAMGNEADYIKMDRPMSCRNPWSSKCFNDPKSLAFPQPLYKGSCGVKGGQPKLDSRFVLDVNVSDGTVMPSSTPFTKIWRMRNSGSVAWPQGVRLVWIGGDQFFSADSVEIEIPVNGVPIDGELDIAADFVSPALPGRYISYWKMAHPSGVKFGQRIWVLIEVDASLKDPSFKDLNLNESPNWSGSKCHEDLERISTARLLIMLKERVKFT >Potri.018G141232.1.v4.1 pep chromosome:Pop_tri_v4:18:14629087:14630614:-1 gene:Potri.018G141232.v4.1 transcript:Potri.018G141232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141232.v4.1 MAATINEEINSLLSSFDHIYEDFKNGITEIQTLRSNLNAEIKKTEALEFTCKSLNQENERLRKLYTGSLNNLAEELERRTKCVSLNEELKRLRDELINKEDEHKKAVELLKKDYTTKVGELEDQIR >Potri.009G059200.1.v4.1 pep chromosome:Pop_tri_v4:9:6166347:6170663:-1 gene:Potri.009G059200.v4.1 transcript:Potri.009G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059200.v4.1 MEEIPSQSIGKDDENERAMEEAAVVRGEPLQDGNHPPKVESAVEILQEKVTKQIIKEGHGQKPTKYATCFLHYRAWTESTQHKFDDTWHEQRPFEMVLGKEKNEMAGLAVGVSSMKAGERALLHVGWELGYGKEGNFSFPNVPPMADIIYEVELIGFDEVKEGKARGDMTAEERIGAADRRKMDGNSLFKEEKLEEAMQQYEMAIAYLGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLERYEEAIAQCTIVLVEDENNAKALFRRGKARAELGQTDAAREDFLKARKHAPEDKAILRELRLLDEHDKAIYKKQKEIYRGIFGPPPQPKPKPTNVLIRIWQWSILVCQWLLSLIYRLFRRERHKAD >Potri.017G064972.1.v4.1 pep chromosome:Pop_tri_v4:17:5516498:5516989:-1 gene:Potri.017G064972.v4.1 transcript:Potri.017G064972.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G064972.v4.1 MKADWGPVVVAVVLFIVLSPGLLFQLPARTRVVEFGNMYTSGISILVHAVIYFCIITILIIAIGVHIHAN >Potri.006G186500.1.v4.1 pep chromosome:Pop_tri_v4:6:19328807:19329377:1 gene:Potri.006G186500.v4.1 transcript:Potri.006G186500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186500.v4.1 MAMETYASRNVDKIHTDVLSQSRQACYKARDAFFACLEKESDKKPTEIGSVGLQYPAECKKSRVEFEKNCRPAWVKHFDRLYCRNKTAQRLLEDKEIRRGPLSLPQPYTFKPTSSS >Potri.T045600.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:531471:536770:-1 gene:Potri.T045600.v4.1 transcript:Potri.T045600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045600.v4.1 MLYASLEIKGLWIVFWRSKHSWYLMLSYTVGFGNLYGSMDSISLLISSNYSCELNQLFCHFPCINRKVSVMDVSKKYGLEYRLLHAITKGHSWYGDWGYEFGAGSFGLTVYAYKSAVETLSSLPLSIFLSEGQKLQTRLLDIIKFYQSLSDRELVNIRDLFCYLTSLIHDAHKSPSRVNDSSCKKRCIYASGISSSWSKSDIERVEEAMFRVLRAVSGSNWVSWRALRGAVCKVAPPELLDHCLKEIGGKFAADGMIVRSRCNPSSGAFEYRLEPGNPSLNSPATTGSSVITCPSEGNLIQDLRFLYDNMLHPQTMMSSGPEATSDAISSARKLLDCKQFMKDYNKCETTSSPSNPPTICLSCQVEIVDQLEENVPDLPPEIIVLPSNATVFDLKLEASRAFQDVYLMFRRFHAEELLGYSGVDDSTQVKLLIGSTESVRVQGRCLGKNGLGKFRMERGTERWTVDCRCGAKDDDGERMLACDVCGVWQHTRCSGIPDSDPVPAKFVCVGCRGVSLVTKPSAVVK >Potri.T045600.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:531442:536775:-1 gene:Potri.T045600.v4.1 transcript:Potri.T045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045600.v4.1 MVVNGRPIKRMKRRVTADLYNFLSFPSSPSSSSSSSPPPPRGPFRSNIRSFLTEHALLPPPSSLFPHLLTWQISFQVGDLVGCGGAEAGGGVVSLDVVEEDVARSRSVYCDQCRVVGWSGHPVCSKRYHFIIKADGNSIGGYHKPCTCCGDVLHLSESRCKTCNHVTTADDVEEWIYHQLEDTTHLLHGVIHANGFGHLLRVNGKEGGSRVLSGVHIMDFWDRLCKTLGVRKVSVMDVSKKYGLEYRLLHAITKGHSWYGDWGYEFGAGSFGLTVYAYKSAVETLSSLPLSIFLSEGQKLQTRLLDIIKFYQSLSDRELVNIRDLFCYLTSLIHDAHKSPSRVNDSSCKKRCIYASGISSSWSKSDIERVEEAMFRVLRAVSGSNWVSWRALRGAVCKVAPPELLDHCLKEIGGKFAADGMIVRSRCNPSSGAFEYRLEPGNPSLNSPATTGSSVITCPSEGNLIQDLRFLYDNMLHPQTMMSSGPEATSDAISSARKLLDCKQFMKDYNKCETTSSPSNPPTICLSCQVEIVDQLEENVPDLPPEIIVLPSNATVFDLKLEASRAFQDVYLMFRRFHAEELLGYSGVDDSTQVKLLIGSTESVRVQGRCLGKNGLGKFRMERGTERWTVDCRCGAKDDDGERMLACDVCGVWQHTRCSGIPDSDPVPAKFVCVGCRGVSLVTKPSAVVK >Potri.001G045901.1.v4.1 pep chromosome:Pop_tri_v4:1:3325541:3325753:1 gene:Potri.001G045901.v4.1 transcript:Potri.001G045901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045901.v4.1 MEVMKYRQACCLDICIIMCTCLVVERRKRDREREVFSFSFNLYLFYIRPKSEDAREFILIPMNFLNDKIR >Potri.019G120600.1.v4.1 pep chromosome:Pop_tri_v4:19:14645311:14646160:1 gene:Potri.019G120600.v4.1 transcript:Potri.019G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120600.v4.1 MAGADKRADFEDLLPVMANKLGGEGLINELCNGFQLLMDKDRGVITMESLKKNAAFLGLQDLSEDELVSMVKEGDLDRDGALNQMEFCVLMFRLSPELMQESRFWLEEALEEELKGYGF >Potri.015G106700.1.v4.1 pep chromosome:Pop_tri_v4:15:12517650:12517877:1 gene:Potri.015G106700.v4.1 transcript:Potri.015G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106700.v4.1 MKRNSKSSSNNNPKSDARKDRKSGTGMSGSPKKGGHGGKFTWVGDGYSNAEIGFEKEAVDVKDPNFEDPEEIQTV >Potri.010G174300.2.v4.1 pep chromosome:Pop_tri_v4:10:17503819:17506555:1 gene:Potri.010G174300.v4.1 transcript:Potri.010G174300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174300.v4.1 MATSAPLISKSQLSSGIETLDHQEQHSLDDTIEKYIEGFGPAQYLQVILVSLAFLFDGQQTFISDFSDAVPSWHCTDFSNQTCYSSSNFCNLSNTEWAWDEPASSSIVSDWALECGSSVIVGLPASSYFVGSLIGGFTLATLADSWLGRKKLLFLTCLGMSTMALITAFSTNVWMYSGLRFVSGLFRASIGTCVVVMSTEMAGKKSGGFVRVVGFLFFALGALSLPLIAYLNRGSPWRYLYIYTSIPAIVYCIIAYFFVSESPRWLCMRGREAEAVAILNKMVPTKNISSSILKSAYKPLDHEQSNLDIYSSMKSLLERRWALKRLLAAMTLGFGVGMVYYGMFLGVGSLGFNIYLSVTLTASLTIPSILLLPYVIERFNRRSSVVAFGIASGVCSIVCAIIGEELKTVQIIMSLASAFCSCAALNVLQIYTTELFPTCVRISATSMFRQAINFGPIFVPLLVSAARRNNSVVYGVFGSVEISCIFFVIFLPETRGLSLSNAMDEQEKKDNANAYVS >Potri.010G174300.3.v4.1 pep chromosome:Pop_tri_v4:10:17503819:17506554:1 gene:Potri.010G174300.v4.1 transcript:Potri.010G174300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174300.v4.1 MATSAPLISKSQLSSGIETLDHQEQHSLDDTIEKYIEGFGPAQYLQVILVSLAFLFDGQQTFISDFSDAVPSWHCTDFSNQTCYSSSNFCNLSNTEWAWDEPASSSIVSDWALECGSSVIVGLPASSYFVGSLIGGFTLATLADSWLGRKKLLFLTCLGMSTMALITAFSTNVWMYSGLRFVSGLFRASIGTCVVVMSTEMAGKKSGGFVRVVGFLFFALGALSLPLIAYLNRGSPWRYLYIYTSIPAIVYCIIAYFFVSESPRWLCMRGREAEAVAILNKMVPTKNISSSILKSAYKPLDHEQSNLDIYSSMKSLLERRWALKRLLAAMTLGFGVGMVYYGMFLGVGSLGFNIYLSVTLTASLTIPSILLLPYVIERFNRRSSVVAFGIASGVCSIVCAIIGEELKTVQIIMSLASAFCSCAALNVLQIYTTELFPTCVRISATSMFRQAINFGPIFVPLLVSAARRNNSVVYGVFGSVEISCIFFVIFLPETRGLSLSNAMDEQEKKDNANAYVS >Potri.010G174300.1.v4.1 pep chromosome:Pop_tri_v4:10:17503819:17506269:1 gene:Potri.010G174300.v4.1 transcript:Potri.010G174300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174300.v4.1 MATSAPLISKSQLSSGIETLDHQEQHSLDDTIEKYIEGFGPAQYLQVILVSLAFLFDGQQTFISDFSDAVPSWHCTDFSNQTCYSSSNFCNLSNTEWAWDEPASSSIVSDWALECGSSVIVGLPASSYFVGSLIGGFTLATLADSWLGRKKLLFLTCLGMSTMALITAFSTNVWMYSGLRFVSGLFRASIGTCVVVMSTEMAGKKSGGFVRVVGFLFFALGALSLPLIAYLNRGSPWRYLYIYTSIPAIVYCIIAYFFVSESPRWLCMRGREAEAVAILNKMVPTKNISSSILKSAYKPLDHEQSNLDIYSSMKSLLERRWALKRLLAAMTLGFGVGMVYYGMFLGVGSLGFNIYLSVTLTASLTIPSILLLPYVIERFNRRSSVVAFGIASGVCSIVCAIIGEELKTVQIIMSLASAFCSCAALNVLQIYTTELFPTCVRISATSMFRQAINFGPIFVPLLVSAARRNNSVVYGVFGSVEISCIFFVIFLPETRGLSLSNAMDEQEKKDNANAYVS >Potri.008G208100.3.v4.1 pep chromosome:Pop_tri_v4:8:15833399:15835313:-1 gene:Potri.008G208100.v4.1 transcript:Potri.008G208100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G208100.v4.1 MRNYHILVRFFTVWQDGHDADDAKKSTADMTAFVQNLLQQMQSRFQTMSDSIITKIDEMGTRIDELEQSIDDLRSEMGLEEAPSPSVPPKAKEEPKSGNDSA >Potri.008G208100.2.v4.1 pep chromosome:Pop_tri_v4:8:15833399:15835440:-1 gene:Potri.008G208100.v4.1 transcript:Potri.008G208100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G208100.v4.1 MDGHDADDAKKSTADMTAFVQNLLQQMQSRFQTMSDSIITKIDEMGTRIDELEQSIDDLRSEMGLEEAPSPSVPPKAKEEPKSGNDSA >Potri.012G121228.1.v4.1 pep chromosome:Pop_tri_v4:12:13868133:13874038:-1 gene:Potri.012G121228.v4.1 transcript:Potri.012G121228.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121228.v4.1 MACIQHSRNALRRIVAKETNLKSSDGAIHPLLYACQGVRYKKLEVILTTSIEKLGKAGQTVKVAPGHFRNHLMPKLLAVPNIEKFAHLIREQRKIYQPEEEEEVKVVKETMEDKMKEYETAAKRLVKAQLAFRVGINTAKFRARESKDDPIEILSPVTKDDILKEVTRQFNVQIEPDNVHLPSPLTALGEFEVPLRFPKSIPMPEGKVKWTLKVKIRGK >Potri.002G078000.6.v4.1 pep chromosome:Pop_tri_v4:2:5449082:5460149:1 gene:Potri.002G078000.v4.1 transcript:Potri.002G078000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078000.v4.1 MATVPALLNPPFLPSKPPNQQTALYYTKPILTLPFSLTHSFPRLHRRLVIRSSTAIHVSLKENLGSLKKRVTDFTSLNYWIVKDYYRLVESVNALESKIQKLSDDQLSAKTVEFRRRLRQGETLADIQAEAFAVVREAATRKLGMRHFDVQIIGGAVLHDGSIAEMKTGEGKTLVSTLAAYLNALTGEGVHVVTVNDYLAQRDAEWMGRVHRFLGLSVGLIQKGMASKERRSNYRCDITYTNNSELGFDYLRDNLAGNSEQLVMRWPKPFHFAIVDEVDSVLIDEGRNPLLISGEANKDAARYPVAAKVAELLIRGIHYSVELKDNSVELTEEGILLAEMALETKDLWDENDPWARFVMNALKAKEFYRRDVQYIVRNGKALIINELTGRVEEKRRWSEGIHQAVEAKEGLKIQADSVVVAQITYQSLFKLYPKLSGMTGTAKTEEKEFLKMFQVPVIEVPTNLPNIRKDLPIQAFASARGKWEYVRQEVEYMFKQGRPVLVGTTSVENSEYLSDLLKEWRIPHNVLNARPKYATREAEIVAQAGRKHAITISTNMAGRGTDIILGGNPKMLAKEIIENRVLPFLTQEALNAEIDHEIFSQKVLSEIKVGSISSALLAKTALMAKYVGKGEGKSWTYQEAKLIVSDSVEMSHSMDAKELQQLANEQSEMYPLGPTISLAYLSVLKDCEVHCFNEGSEVKRLGGLHVIGTSLHESRRIDNQLRGRAGRQGDPGSTRFMVSLQDEMFQKFNFDTEWAVRLISKITNDETIPIEGDAIVNQLLSLQINAEKYFFGIRKSLVEFDEVLEVQRKHVYDLRQLILTGDNESCSQHVFQYMQAVVDEIVFGNADPLKHPRSWNLSKLLKEFITIGGKLLHEPFVGISEEAFLKSLLQLHESSSINISNFHLPNLPKPPNAFRGIRRKSSSLKRWLAICSDDLTKNGSYQTTTNLLRKYLGDFLIASYLDVILESGYDDAYIKEIERTVLLKTLDYFWRDHLVNMNRLSSAVNVRSFGHRNPLEEYKIDGCRFFISMLSATRRLTVETLLQYWSSPTESQELFVS >Potri.012G018600.12.v4.1 pep chromosome:Pop_tri_v4:12:2014556:2018473:1 gene:Potri.012G018600.v4.1 transcript:Potri.012G018600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018600.v4.1 MCQKRAIFKPMAPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLRGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGAQGSKMVTTPQLVESLKNIFVVQAVIGNFFTAVLSREGRVYTFSWGNDGRIGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVNVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAADEQGNRHVNVLSPELVTSLKEVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGMELVNNQSERGNPERVDVDLR >Potri.012G018600.6.v4.1 pep chromosome:Pop_tri_v4:12:2014526:2018444:1 gene:Potri.012G018600.v4.1 transcript:Potri.012G018600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018600.v4.1 MPMDATTSGTPTIQYHNINDQPVTVTAIVAVPVSTYQRNQRHCFGDLIPGEFPLATNPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFPPDNELSISELAALDMCQKRAIFKPMAPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLRGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGAQGSKMVTTPQLVESLKNIFVVQAVIGNFFTAVLSREGRVYTFSWGNDGRIGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVNVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAADEQGNRHVNVLSPELVTSLKEVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGMELVNNQSERGNPERVDVDLR >Potri.012G018600.8.v4.1 pep chromosome:Pop_tri_v4:12:2014556:2018440:1 gene:Potri.012G018600.v4.1 transcript:Potri.012G018600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018600.v4.1 MPMDATTSGTPTIQYHNINDQPVTVTAIVAVPVSTYQRNQRHCFGDLIPGEFPLATNPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFPPDNELSISELAALDMCQKRAIFKPMAPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLRGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGAQGSKMVTTPQLVESLKNIFVVQAVIGNFFTAVLSREGRVYTFSWGNDGRIGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVNVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAADEQGNRHVNVLSPELVTSLKEVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGMELVNNQSERGNPERVDVDLR >Potri.012G018600.10.v4.1 pep chromosome:Pop_tri_v4:12:2014564:2018479:1 gene:Potri.012G018600.v4.1 transcript:Potri.012G018600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018600.v4.1 MCQKRAIFKPMAPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLRGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGAQGSKMVTTPQLVESLKNIFVVQAVIGNFFTAVLSREGRVYTFSWGNDGRIGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVNVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAADEQGNRHVNVLSPELVTSLKEVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGMELVNNQSERGNPERVDVDLR >Potri.012G018600.13.v4.1 pep chromosome:Pop_tri_v4:12:2015408:2018406:1 gene:Potri.012G018600.v4.1 transcript:Potri.012G018600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018600.v4.1 MCQKRAIFKPMAPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLRGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGAQGSKMVTTPQLVESLKNIFVVQAVIGNFFTAVLSREGRVYTFSWGNDGRIGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVNVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAADEQGNRHVNVLSPELVTSLKEVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGMELVNNQSERGNPERVDVDLR >Potri.012G018600.7.v4.1 pep chromosome:Pop_tri_v4:12:2014562:2018475:1 gene:Potri.012G018600.v4.1 transcript:Potri.012G018600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018600.v4.1 MPMDATTSGTPTIQYHNINDQPVTVTAIVAVPVSTYQRNQRHCFGDLIPGEFPLATNPSIVLHVLTACNLDPQDLAKLEATCSFFRQPANFPPDNELSISELAALDMCQKRAIFKPMAPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLRGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGAQGSKMVTTPQLVESLKNIFVVQAVIGNFFTAVLSREGRVYTFSWGNDGRIGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVNVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAADEQGNRHVNVLSPELVTSLKEVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGMELVNNQSERGNPERVDVDLR >Potri.012G018600.9.v4.1 pep chromosome:Pop_tri_v4:12:2014559:2018815:1 gene:Potri.012G018600.v4.1 transcript:Potri.012G018600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018600.v4.1 MCQKRAIFKPMAPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLRGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGAQGSKMVTTPQLVESLKNIFVVQAVIGNFFTAVLSREGRVYTFSWGNDGRIGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVNVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAADEQGNRHVNVLSPELVTSLKEVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGMELVNNQSERGNPERVDVDLR >Potri.012G018600.11.v4.1 pep chromosome:Pop_tri_v4:12:2014556:2018474:1 gene:Potri.012G018600.v4.1 transcript:Potri.012G018600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018600.v4.1 MCQKRAIFKPMAPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGAVYSFGSNSSGQLGHGTTEEEWRPRQIRSLRGIRIIQAAAGPGRTMLISDAGRVYAFGKDSFGEAEYGAQGSKMVTTPQLVESLKNIFVVQAVIGNFFTAVLSREGRVYTFSWGNDGRIGHQTEPNDVEPHPLLGALENIPVVQIAAGYCYLLALACHPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVEALSKVKAVNVATGDYTTFVVSDEGDVYSFGCGESASLGHNAAADEQGNRHVNVLSPELVTSLKEVKERVVQISLTNSIYWNAHTFALTESGKLYAFGAGDKGQLGMELVNNQSERGNPERVDVDLR >Potri.001G468300.1.v4.1 pep chromosome:Pop_tri_v4:1:49254461:49255769:1 gene:Potri.001G468300.v4.1 transcript:Potri.001G468300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G468300.v4.1 MSSTSISTSLRILTTKFPRSLHSTKTLIFSLEPTNLSFFIFSKPISFQKIPTKIQPLLASPSSSMSLQPIDELPPKLQEIIKLFQSVQEPKAKYEQLLFYGKNLKPLDSEFKTRENKVEGCVSQVWVRAYLDFEKNVVFEADSDSVLTKGLAALLVQGLSGRPVEEVLRVSPDFVVSLGLQQSLTPSRNNGFLNMLKLMQKKTLELYMEAEKGSGAVESSKLRDGSGENEGKIENLGSNGDVGVESSGDNSVKGLSFDAKIDGGEGLGPEGSEKGSNSKALGSRGIRVREKLERELSPVELEVEDISYQHAGHAGVRGSNGETHFNVKVVSKEFDGKSLVKRHRLIYNLLQDELQSGLHALSIVAKTLDEVDGS >Potri.010G050100.1.v4.1 pep chromosome:Pop_tri_v4:10:8183437:8185360:-1 gene:Potri.010G050100.v4.1 transcript:Potri.010G050100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G050100.v4.1 MEREPTTATNFLFSATQWMYIHSSLWDVSLALLGLFVFRCINERLTNKGPMLWPVLGILPTMFLHINDMYNFVTRALSRAGGTFHHKGMWMGGAYGIATADPSNVAYMLKTNFKNFPKGKYFRDRFRDLLGDGIFNADDELWREQRQVVKAEMHSSRFIEHSLQTMQDLLHQKLLKLTEKLVKSGDSFDLQEVLLRFTFDNICTAAFGVDPGCLALDFPEVPFAKAFEKATELTLFRFLIPPFIWKPMKFFGIGYEKALKEAVGIVHDFAEKTVKGRRDEARKHGSLCHQSDLLSRLIEIENTGQGKKLQFPDKYFRDLCVNFILAGRDTTSVALAWFFWLVHSNPEVENRILREINDILSLRETQTKNEIIFTMEELNKMVYLHAALSESLRLYPSVPIEMKEVAEDDVLPDGSIVKKGARVFYCIFSMGRMDSIWGQNCLEFKPERWIRDGKFVSENQFNYAVFNAGPRLCLGKKFAYMQMKMVAASILLRYSVKVIEGHDASPKMTTTLYMKNGLLVTLMPRLVNV >Potri.004G093000.1.v4.1 pep chromosome:Pop_tri_v4:4:7888595:7889393:1 gene:Potri.004G093000.v4.1 transcript:Potri.004G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093000.v4.1 MEATKFSSSKLALRPISSVKPCPRRNTIVALDRRNKGRDYGGKLVDESMIVLRLRIKETKMSEESNNPPSHWMEWEKQYFMHCNYNNDVCEAVQMLQNYLMNVRPSLALGMVLLVSLSVAISTGMVLLLAIEMAMGVLSALH >Potri.014G195000.6.v4.1 pep chromosome:Pop_tri_v4:14:17446950:17449711:1 gene:Potri.014G195000.v4.1 transcript:Potri.014G195000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195000.v4.1 MSALDEGHFNAELENGVKLNCLGLGIQDQPETVAIEDAVKVLLQGLGEDINREGLKKTPLRVAKALREGTKGYKQRVKEIVQGALFPEVGLDDEVGQAGGAGGLVIVRDLDLFSYCESCLLPFQVKCQIGYVPSGQRVVGLSKLSRVADVFAKRLQDPQRLADEICSALHHGVMPAGVAVVLQCLHIQFPNIESLFLDSNHQGWVKAVVHSGSGVFENELADVWGDFLSLLKFRGINLDKTQMKDSVQQCWCPSRYSSSAKVIGPPNRGMVTAVTSILSSLGEDPLRKELVGTPSRFVKWLMNFQSPNLEMKLNGVACGRMDPLKQNGEVSHNKQQIYTELCLSFWSQCEHHLLPFYGVVHIGYYCAEETTPLSKSLLQSIVHFYGFKLQVQERLTRQIAETVSSLLGGDVMVVVEANHTCMISRGIEKFGSSTATIAVLGRFSTDPAARAMFLKNIPNPASGGS >Potri.014G195000.5.v4.1 pep chromosome:Pop_tri_v4:14:17446950:17449684:1 gene:Potri.014G195000.v4.1 transcript:Potri.014G195000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195000.v4.1 MSALDEGHFNAELENGVKLNCLGLGIQDQPETVAIEDAVKVLLQGLGEDINREGLKKTPLRVAKALREGTKGYKQRVKEIVQGALFPEVGLDDEVGQAGGAGGLVIVRDLDLFSYCESCLLPFQVKCQIGYVPSGQRVVGLSKLSRVADVFAKRLQDPQRLADEICSALHHGVMPAGVAVVLQCLHIQFPNIESLFLDSNHQGWVKAVVHSGSGVFENELADVWGDFLSLLKFRGINLDKTQMKDSVQQCWCPSRYSSSAKVIGPPNRGMVTAVTSILSSLGEDPLRKELVGTPSRFVKWLMNFQSPNLEMKLNGVACGRMDPLKQNGEVSHNKQQIYTELCLSFWSQCEHHLLPFYGVVHIGYYCAEETTPLSKSLLQSIVHFYGFKLQVQERLTRQIAETVSSLLGGDVMVVVEANHTCMISRGIEKFGSSTATIAVLGRFSTDPAARAMFLKNIPNPASGGS >Potri.014G195000.4.v4.1 pep chromosome:Pop_tri_v4:14:17446925:17449729:1 gene:Potri.014G195000.v4.1 transcript:Potri.014G195000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195000.v4.1 MSALDEGHFNAELENGVKLNCLGLGIQDQPETVAIEDAVKVLLQGLGEDINREGLKKTPLRVAKALREGTKGYKQRVKEIVQGALFPEVGLDDEVGQAGGAGGLVIVRDLDLFSYCESCLLPFQVKCQIGYVPSGQRVVGLSKLSRVADVFAKRLQDPQRLADEICSALHHGVMPAGVAVVLQCLHIQFPNIESLFLDSNHQGWVKAVVHSGSGVFENELADVWGDFLSLLKFRGINLDKTQMKDSVQQCWCPSRYSSSAKVIGPPNRGMVTAVTSILSSLGEDPLRKELVGTPSRFVKWLMNFQSPNLEMKLNGVACGRMDPLKQNGEVSHNKQQIYTELCLSFWSQCEHHLLPFYGVVHIGYYCAEETTPLSKSLLQSIVHFYGFKLQVQERLTRQIAETVSSLLGGDVMVVVEANHTCMISRGIEKFGSSTATIAVLGRFSTDPAARAMFLKNIPNPASGGS >Potri.T124404.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:8905:13767:-1 gene:Potri.T124404.v4.1 transcript:Potri.T124404.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124404.v4.1 MESCSLQSSAITTIPTSLTKCGFIEKPSIHGQFLKFPNLSKFAHSRKLKILDIKAQASVAVKFSSGAVEAISKEMETKDENLAFVAGATGKVGSRAVRELLKLGFRVRAGVRSAQKAEALAQSVKEMKLDVEGSQPVERLETVECDLEKPNQIGPALGNASVVLCCIGASEKEVFDVTGPCRIDYRATKNLVDAATVAKVDHFIMVSSLGTNKFGFPAAILNLFWGVLIWKRKAEEALIASGVPYTIVRPGGMERPTDAYKETHNLTVSEEDTLFGGQVSNLQVAEFMAFMAKNRGLSYCKVVEVIAETTAPLTPMDELLAKIPSQRVEPKKSDAAELPKSVPPKIVEPEAPSPPSQREPAQAKAVVTRPLSPYTAYEDLKPPTSPIPTQPSGKKENVNSVEAVSMLDTPDPSPASASGIAETKPAPVETKTARPLSPYVAYDDLKPPTSPSPTAPVGLVAITAPAVPKTGNSAPPTAAIDNQRHEEPNPRPLSPYPIYDDLKPPTSPSPTAPVGLVATTSSINAVSKTGNNAPPTAAIDNQHHKEPNPRPLSPYPMYEDLKPPASPTPSLKL >Potri.001G323100.1.v4.1 pep chromosome:Pop_tri_v4:1:33227511:33231908:1 gene:Potri.001G323100.v4.1 transcript:Potri.001G323100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323100.v4.1 MNYFPDEVLEHIFDFVTSQRDRNSVSQVCKPWYKIESTSRQKVFVGNCYAISPERVIERFPGLKSITLKGKPHFADFNLVPHDWGGFVYPWIEAFARNNMGLEELKLKRMIISDECLELISRSFANFKSLVLVSCEGFSTDGLAAIASNCRFLRELDLQENDVEDHRGHWLSFFPDTCTSLVSLNFACLKGDVNLAALERLVARSPNLRSLRLNHAVPLDILQKILMRAPHLVDLGVGSYVHDPDSETYNKLVTALQKCKSVKSLSGFLEAAPQCLSAFHLICPNLTSLNLSYAPGIHGTELIKLIRHCRKLQRLWILDCIGDEGLEVVASTCKHLQEIRVFPSDPFVGNAAVTEVGLVALSSGCRNLHSILYFCQQMTNAALITVAKNCPNFTRFRLCILDPTKPDADTNQPLDEGFGAIVHSCKGLRRLSMSGLLTDQVFLYIGMYAEQLEMLSIAFAGDTDKGMQYLLNGCKKLRKLEIRDCPFGNAALLMDVGKYETMRSLWMSSCDITLGGCKSLAKKMPRLNVEIINESDQMDITADDGQKVEKMFLYRTLAGRRKDAPEFVWTL >Potri.015G016801.1.v4.1 pep chromosome:Pop_tri_v4:15:1148708:1153242:-1 gene:Potri.015G016801.v4.1 transcript:Potri.015G016801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016801.v4.1 NGSIIGVRSSCSGREAVDRVSIGLGGRADEVVFEAIVKDSNSPLHNIKVVLRKLVSTQAKRRGMRAIEVLKKLVRRKLMYHSYSMQVYGYISSPTSGRGSFTLVHGYHGSFSLRHWLQQSDWLPTLEATLALDEESVRRVGDDTVGGPAVSRQLRITRILMRDLLIGNTELRLENVHISPVDRHIKVGILGNAADFYDDGPNSSTLDNMDRRQMMIAFDMRCVGFMMANMVLRELMDPLIFLKFKSFLMKGNDPSCLREFLLQILSRNSPSGNVGLQILDQNWGAGWNLLSLLLATKPSKRISCLDALRHPFLCGPRWRVAPSMDIIRWGLGSTAVRITEEYIYKQPQRNRLYFIELMEMLNAHSRPKNWLELLLGKWRLLNYTGRQIGLTLRQPSARVLISDVYLTINRASKLNTSISFTSDIGFTVMNGGAWPHDKSGITGKLEVNSLFRLTSGRRLYLKEEKTSEKKLVPYKETSEKLSFGQPNNQDSLAQKLSAKKWRKLVPYKEFPWSLPVAKLVSADIEVTMSLGDNLNQHDVTPSSIVQEIRVQVPPEMFDLLLVLRGVNGSALLFTRSMC >Potri.003G052200.8.v4.1 pep chromosome:Pop_tri_v4:3:7667907:7673968:-1 gene:Potri.003G052200.v4.1 transcript:Potri.003G052200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052200.v4.1 MASITASLPSPPLLVHGKRTLSSTLQTLPLSPIKDRQNCVSVVVRATGESSESSAPLGIVKSVKNIWDDSEDRLALVGLGFAALVAIWTSAKLILAIDKLPVVPSVLELIGILFSSWFIYRYLLFKPNREELFQIIKKSVANILGQ >Potri.003G052200.12.v4.1 pep chromosome:Pop_tri_v4:3:7668792:7674115:-1 gene:Potri.003G052200.v4.1 transcript:Potri.003G052200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052200.v4.1 MASITASLPSPPLLVHGKRTLSSTLQTLPLSPIKDRQNCVSVVVRATGESSESSAPLGIVKSVKNIWDDSEDRLALVGLGFAALVAIWTSAKLILAIDKLPVVPSVLELIGILFSSGRAIPDHQEVSCQYLRPVNHALKCSQACTFRAFPDWHIVYLYGWQ >Potri.013G100500.2.v4.1 pep chromosome:Pop_tri_v4:13:10686483:10689932:1 gene:Potri.013G100500.v4.1 transcript:Potri.013G100500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100500.v4.1 MGNQHTEEIGLGYGHKRMDSLEENVSVFFPEDFADESDRSFEDEDDYESDHGFHDPMERAIFWESQEALLQEVLNRCSKTGSKLRQEVYRITGVAKEADFCSCLKPSKGCTSCLRQRVVNLLTQKGFVAALCTSKWNNTKKYPGGKHEYVEMIASTIGSKKKIPYLIELEFRDQFEMAKACDEYRNLVAQLPEYYIGKAEHLNAIVGILCDAAKRSMKEKKIHMGPWRKRSFMQMKWSNTSGRRSVEEPSSKISSLPSSRQAHESCFHFSAAPALTVT >Potri.001G146400.1.v4.1 pep chromosome:Pop_tri_v4:1:12088737:12096577:-1 gene:Potri.001G146400.v4.1 transcript:Potri.001G146400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146400.v4.1 MGTKHSSMGFKTRNSLSIFVVICLCCLFYILGAWQKSGFGKGDGIAVQMTKKTDCNIFTELNFETHHNYVEIIEPSQPKAKVFKPCHVKYTDYTPCQEQDRAMKFPRENMIYRERHCPPEEEKLHCLIPAPKGYKTPFPWPKGRDYVRYANVPYKSLTVEKAVQNWVQFQGDVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYLMKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIRLPFPSRAFDMAQCSRCLIPWTANDGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKADLQAEQRKIEELAESLCWEKKYEKGDIAIFRKKVNDKTCHRKSASVCESKDADDVWYKEMKTCKTPLPKVTSANEVAGGRLKKFPERLHAVPPQIAKGFVEGVTAESFEEDNKLLRKHLHAYKRINKLIGTTRYRNIMDMNARLGGFAAALESPKSWVMNVVPTIAKNTLGVIYERGLVGIYHDWCEGFSTYPRTYDFIHANGVFSLYQNKCNLEDILLEMDRILRPEGTVIFRDEVDVLNKVKKITEGMRWDTKMMDHEDGPLVPEKILVAVKQYWVGGTGNSTSSDQ >Potri.017G139100.1.v4.1 pep chromosome:Pop_tri_v4:17:14027639:14032044:1 gene:Potri.017G139100.v4.1 transcript:Potri.017G139100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139100.v4.1 MATLKRSDSIADNMPEALKQSRYHMKKCFAKYIEKGRRTMKLQQLLDEMENVIDDQVERTRVLEGLLGDIWFSIQEAVVNPPYVAFSIRPSPGFWEYVKVNSANLSVEGITVTDYLKFKEMIYDENWAKDANALEVDFGAFDFSVPHLTLSSSIGNGLGFVSKFVTSKLSGRLENAQPLVDYLLSLNRQGEKLMINETLGTVGKLQMALIVAEVYLSGLAKDTPYQNFEISFKEWGFEKGWGDTAERVKETMRCLSEVLQAPDPMNMEKFLSRLPTVFNVVIFSPHGYFGQADVLGLPDTGGQVVYILDQVKALEEELLLRIKQQGLNVKPQIVVATRLIPDARGTTCNLEFEAIDGTKYSNILRVPFRVENRVLRQWVSRFDVYPYIEKFTQEVTTKILDLMEGKPDLIIGNYTDGNFAATLMAGKLGITQATIAHALEKTKYENSDVKWKELESKYHFPCQFMADIVAMNATDFIIASTYQEIAGSKDRTGQYESHAAFTLPGLCRVVSGVNVFDPKFNIAAPGADQSVYFPHTEKQSRFTQFNPDIEELLYSKVVNDEHIGYLEDKKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRGLVNLVIVGGFFDPNKSKDREEMAEIKKMHELIEKYQLKGQIRWIAAQTDRKRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPSEIIVDGISGFHIDPKNGDESSNIIADFFEKCKVDPGHWNKYSLEGLKRINECYTWKIYANKLLNMGNVYSFWRQLNKEQKLAKQRYIQLFFNLKFRELVQSVPIPTEEAQTPVSEPTARTQSSASMKRTQSRWQKFFGA >Potri.002G058300.1.v4.1 pep chromosome:Pop_tri_v4:2:3997239:4002396:-1 gene:Potri.002G058300.v4.1 transcript:Potri.002G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058300.v4.1 MASSAATLQRSQLPSINTTASPSHSSSSSPLHRLSTFKTPSSSSPPPPFSTTTNPSPSPLDSLAKDPILSPFLSSSFSSTSFSSAALSSGSPASTAEHLHHAIRLLESQLRSEVLSRHSHLLHQLSSLKDAELSLSTLRSAVSSLQSSVRRVRSELSDPHNSIQPKTIQLSNLHRTIQALQHTTRALRSSKKLRDLISASESEPEKLDLAKAAQLHREILTMCDEFDLREIDVVDEELSWVKETGEKLRSEAMKVLERGMEGLNQAEVGTGLQVFYNLGELKVTVEQLVNNYRGMGVKSVGLALDMKAISTSGGGGFGPGGIRGSGTPHIGGGAKAREGLWQRMGNCMDRLHSIVVAIWHLQRVLSKKRDPFTHVLLLDEVIKDGDPMLTDRVWEALVKAFASQMKSAFTASSFVKEIFTMGYPKLLSLIENLLERISRDTDVKGVLPAITLEGKEQMAAAIEIFQTSFLALCLSRLSDLVNTVFPVSSRGSVPSKEQVSRILSRIQEEVEAVQLDGHLTLLVLREIGKVLLLLAGRTEYQISAGHEARQITGPATAAQVKNFALCQHLQEIHTRISSMIAGMPFLAADVLSPSLGAIYGVARDSVTPLFKAMIDCLETCILQIHDHNFGAHGMDAAIDNNASPYMEDLQKCILHFRTEFLSRLLPLARATIAGTETICTQLVRSMASRVLIFFIRHASLVRPLSESGKLRMARDMAELELTVGQSLFPVEQLGPPYRALRAFRPLIFLETSQLGGSPLLQDLPPSVALHHLYTRGPDELESPLQRNRLTPLQYSLWLDSQGEDQIWKGIKATLDDYAAKIRSRGDKEFSPVYPLMHQLGSSLT >Potri.011G124100.1.v4.1 pep chromosome:Pop_tri_v4:11:15449016:15452830:-1 gene:Potri.011G124100.v4.1 transcript:Potri.011G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124100.v4.1 MVDGFWNYVEKKNNGFACKFCEFEFAARTSVTRIKSHLSGIKGLGVSICNRVPDDVKEAACLAIQGGKKRRKPMSSSSNDVLVPNPERDVEMTPMAAQRLHQLVEGGNLSGIEIGNWVDSMIGGEIVIIDQGRAPEVSEAHPAKGKAFQTTELVGRAFERNVSEIWSWLMKDDVLSIGIYGIGGVGKTSLLRHINDQLLQRPSSFQNVFWITVTQDFSIYKLQNLIAKAVDLDLSNEEDEKKRAVNLSNGLIAKKKFVLILDDLWNHFSPEKVGVPVGVDGCKLILTSRSLRVCRQMCCQEKIKVEPLSEDEAWTLFMEKLGLNVELPSEVIEIAKSVAKECTGFPLWIITMAGSMRQVDDIGQWRNAMEKLKASKIGKGDMEADIFKIIEFSYMNLNDSALQQAFLYCALFPVDSGISREDLVEYMIVEGIVAKRKSRQAESDKGHAMLNKLENACLIESCTREGYRCVRMNTLVRDMAIKIQKVSSQAMVESGAQLEKLPDIEKWTEDLVRVSLMKNYITEIPASYSPRCPNLSTLLLSQNYMLRSIEGSFFTQLNGLAVLDLSNTGIKSLPGSISNLVCLTTLLLRRCQQLRQVPTLAKLTALKKLDLVHTQLEELPEGMKLLSNLRYLDLSHTRLKQLSAGILPKLCRLQVLKVLLSSETQVTLKGEEVACLKRLEALECNFCDLIEFSKYVKSWEDTQPPRAYYFIVGPAVPSLSGIHKTELNNTVRLCNCSINIEADLVTLPKTIQALEIVQCHDMTSLCAVSSMKHAIKLKSLVIWDCNGIECLLSLSSISADTLQSLETLCLSSLKNLCGLFSRQRAPPPLFPSNGTFSSLKTCKIFGCPSMKELFPAGVLPNLQNLEVIEVVNCNKMETIIAGGGGRIMSEESNFSLSNTSAVSSTDISLPKLKLLTLICLPELQIICNDVMICSSLEEINAVDCLKLKTIPISLPLPCLQKIKVKAYPKKWWESVEWRY >Potri.001G355650.1.v4.1 pep chromosome:Pop_tri_v4:1:36959512:36959748:1 gene:Potri.001G355650.v4.1 transcript:Potri.001G355650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355650.v4.1 MRWKSEPEELLHRGTRIVWFCFSLCWPFKVPGNMGSVKISCDTMDAFIFCQMRCFFQTRVPPAYVLSTGLLSNGRVEW >Potri.004G050400.2.v4.1 pep chromosome:Pop_tri_v4:4:4077165:4078897:-1 gene:Potri.004G050400.v4.1 transcript:Potri.004G050400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050400.v4.1 MDVDQGHGVSELFKAQCKLYKHIYYFIESMSLKCALQLGIPDIIHKNNQPITLPQLVSALDIPVSKANFLQRLMRILVHSGVFDTTKMHENQEEEEEGYVLTSSSRLLLKDSPTNLSPAVIAILDPVLMSPWFSLGEWIQGKERTPFEACHGMSFREYGKRNIKFINNLNEAMASDSQLVSLVVKEHMEIFESVDSLVDVGGGTGTLARSIADAYPHMKCTVLDLPQVVANLPESENLKFVGGDMFKSIPSADAIIIKSVLLNWSDEDCIKILKRCRAAIPSKDEGGKLVLVEMVINDKKDEHELTKTRLFVDMETMLICNGRGRNEKEWKKLFLEAGFSHYKITATSGLNSIIMVYP >Potri.019G061300.1.v4.1 pep chromosome:Pop_tri_v4:19:10126924:10135237:1 gene:Potri.019G061300.v4.1 transcript:Potri.019G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061300.v4.1 MAIISVSALRGARFPLFTNNPQPFPQFPIPSRRSSKLNFRSKGFTPFARYAQSQQDLFSSRLQDGIENLPKLVEDIVQTSINTGPRGALRLAQGIQAFLGVGGEWLADASKSTNSSAGLPTQMQLGLLSPQYLRRLFERMGATYIKLGQFIASAPTLFPAEYVQEFQNCFDRAPSVPFQEIQAILREELGRPIDSVYEYVDPTPVASASIAQVHAARLKGSQDNVVIKVLKPGIKDILVADLNFVYIVARILEFLNPELSRASLVGIVKDIRESMLEEVDFYKEAANIEAFRSYLEAMGLTRQATAPKVYQHCSTQRILTMERLYGVPLTDLDSISSLVSSPETSLITALNVWFGSLLACETFHADVHAGNLWLLRDGRIGFLDFGIVGRISPKTWTAMEVFLASIATEEYESMASALIEMGATNKDVDVMAFAKDLEKIFSSIQDLDTDLIVATARDTTTNATAVSANVVVDERQMNALFLDVIRVSESYGLKFPREFALLMKQLLYFDRYTRLLAPNLNMLQDQRISIVSNRGSRYKNSFR >Potri.006G072200.5.v4.1 pep chromosome:Pop_tri_v4:6:5249055:5253265:-1 gene:Potri.006G072200.v4.1 transcript:Potri.006G072200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072200.v4.1 MGICCSSLAHKPATTLRFQDPAPSTNDHVSAGGDDFDNRWVSMISTSITTWISQPSSSFTAIWGKNVPESSQFSDATGSGDGTLPNGQSATNLRVFTLAQLRAATYNFRSDLLVGTGGFGNVYKGWLKEKLPPKGIKKTAVAVKKLGSYSTQGFNEWKAEVYFLGLHSHPNLVKLLGYCLEGGDCVLVYEFMKKGSLDFHLYGKRSVPPLSWDIRLKIAIDAARGLAYLHTLEKPVIYRDFKSSNILLDEFYNAKLADFGLSFWGPLIDSHVNTRITGTKGYIDPQYLATGNLHVKSDVYGFGVLVVEMLTGLRAVDMKRPSGKQILVDWVKPYLKNRRKLRKIMDSRLEGKYPPGEASQIAHLAIKCLQIDTRFRPSMTEIAETLEQIDAIHMRLGERKILSG >Potri.011G144466.1.v4.1 pep chromosome:Pop_tri_v4:11:17346277:17346504:1 gene:Potri.011G144466.v4.1 transcript:Potri.011G144466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144466.v4.1 MHYHLISLAPFCSVLVSFLLYKSLLEDKKFCFFKRFYAICDVITYPVTDFTVINIFYNHHLSTEIAQFARQNSLI >Potri.010G154000.6.v4.1 pep chromosome:Pop_tri_v4:10:16323407:16330439:-1 gene:Potri.010G154000.v4.1 transcript:Potri.010G154000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154000.v4.1 MEAKMGGKSRHLYGPVLSDLKAVGKKSLEWDLNDWKWDGDLFTATPLNSVPSDCRSRQLFSTGPELPEKAGLSNSSSSCSDDNDNLGDDKGKRELEKRRRAVFVEDEDLNDAAGSLNLKLGGQVYPIMNEDAKSGKKTKVTMTASNRAVCQVEDCRADLSNAKDYHRRHKVCDVHSKASMALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPENVFNEGSLNDEKGSSYLLISLLRILSNLQSNNSDQTKDQDLLSHLLRSLANLAGTTNGRSLSGLLQGSPGLVNAGATVGNLEKVQDALTNGPESARPSSSASKKDDCINSLDLPRPLGQCGTVPVPDLVQKRILDNDVQGGHQAHSGPQSIPLFLSRNKLPAKPNEPDATVGRIKLNNFDLNNVYDNSQDYVENLDRSHAPVSTGMGSFNCPLWVRSDSHKTNLPHMSGYSDSTPSQSPSSSSGEAQGRTDRIVFKLFGKDPNDFPVALRTQILQWLSHSPTDIESYIRPGCIILTIYLCLEKTKWEEVCLDLGASLSRLLDTFRDSFWQTGWVYVRAQNSVSFIHNGRVVLDTPLPIKSNKNCRISSITPIAVSLSERTQFVVRGFNIVRPVTRVLCAVEGKYLVQETCYDLMDGAATMNEHGKPQCLNFQCSVPNFVGRGFIEIEDHSLSSSFFPFIVAEPEVCSEIRTLEDAIQVAETTTDIHALAETMEIKNQSLDFIHEMGWLLHRSHLKFRLGQLDPFPFKRFEWLVQFSMNRDWCAVVRKLLAIMIDGTVDAGEHSSIELALFNMGLLHRAVQRNCRPMVELLLRYTPDKQLGGPGTQQNQLADENNSRFMFKPDVAGPAGLTPLHVAACRDGAENVLDALTDDPGLVGIDAWKRTRDNTGLTPYDYACLRGHYSYIHLIQRKINKKSESGHVVLDIPSSLADYNSKQKDGHKLPKFAVLHTEKIEMKAMQQHLKVCERKLVYGAARTSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEKLKYGSS >Potri.010G154000.2.v4.1 pep chromosome:Pop_tri_v4:10:16323410:16330634:-1 gene:Potri.010G154000.v4.1 transcript:Potri.010G154000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154000.v4.1 MEAKMGGKSRHLYGPVLSDLKAVGKKSLEWDLNDWKWDGDLFTATPLNSVPSDCRSRQLFSTGPELPEKAGLSNSSSSCSDDNDNLGDDKGKRELEKRRRAVFVEDEDLNDAAGSLNLKLGGQVYPIMNEDAKSGKKTKVTMTASNRAVCQVEDCRADLSNAKDYHRRHKVCDVHSKASMALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPENVFNEGSLNDEKGSSYLLISLLRILSNLQSNNSDQTKDQDLLSHLLRSLANLAGTTNGRSLSGLLQGSPGLVNAGATVGNLEKVQDALTNGPESARPSSSASKKDDCINSLDLPRPLGQCGTVPVPDLVQKRILDNDVQGGHQAHSGPQSIPLFLSRNKLPAKPNEPDATVGRIKLNNFDLNNVYDNSQDYVENLDRSHAPVSTGMGSFNCPLWVRSDSHKTNLPHMSGYSDSTPSQSPSSSSGEAQGRTDRIVFKLFGKDPNDFPVALRTQILQWLSHSPTDIESYIRPGCIILTIYLCLEKTKWEEVCLDLGASLSRLLDTFRDSFWQTGWVYVRAQNSVSFIHNGRVVLDTPLPIKSNKNCRISSITPIAVSLSERTQFVVRGFNIVRPVTRVLCAVEGKYLVQETCYDLMDGAATMNEHGKPQCLNFQCSVPNFVGRGFIEIEDHSLSSSFFPFIVAEPEVCSEIRTLEDAIQVAETTTDIHALAETMEIKNQSLDFIHEMGWLLHRSHLKFRLGQLDPFPFKRFEWLVQFSMNRDWCAVVRKLLAIMIDGTVDAGEHSSIELALFNMGLLHRAVQRNCRPMVELLLRYTPDKQLGGPGTQQNQLADENNSRFMFKPDVAGPAGLTPLHVAACRDGAENVLDALTDDPGLVGIDAWKRTRDNTGLTPYDYACLRGHYSYIHLIQRKINKKSESGHVVLDIPSSLADYNSKQKDGHKLPKFAVLHTEKIEMKAMQQHLKVCERKLVYGAARTSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEKLKYGSS >Potri.010G154000.4.v4.1 pep chromosome:Pop_tri_v4:10:16323403:16330466:-1 gene:Potri.010G154000.v4.1 transcript:Potri.010G154000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154000.v4.1 MEAKMGGKSRHLYGPVLSDLKAVGKKSLEWDLNDWKWDGDLFTATPLNSVPSDCRSRQLFSTGPELPEKAGLSNSSSSCSDDNDNLGDDKGKRELEKRRRAVFVEDEDLNDAAGSLNLKLGGQVYPIMNEDAKSGKKTKVTMTASNRAVCQVEDCRADLSNAKDYHRRHKVCDVHSKASMALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPENVFNEGSLNDEKGSSYLLISLLRILSNLQSNNSDQTKDQDLLSHLLRSLANLAGTTNGRSLSGLLQGSPGLVNAGATVGNLEKVQDALTNGPESARPSSSASKKDDCINSLDLPRPLGQCGTVPVPDLVQKRILDNDVQGGHQAHSGPQSIPLFLSRNKLPAKPNEPDATVGRIKLNNFDLNNVYDNSQDYVENLDRSHAPVSTGMGSFNCPLWVRSDSHKTNLPHMSGYSDSTPSQSPSSSSGEAQGRTDRIVFKLFGKDPNDFPVALRTQILQWLSHSPTDIESYIRPGCIILTIYLCLEKTKWEEVCLDLGASLSRLLDTFRDSFWQTGWVYVRAQNSVSFIHNGRVVLDTPLPIKSNKNCRISSITPIAVSLSERTQFVVRGFNIVRPVTRVLCAVEGKYLVQETCYDLMDGAATMNEHGKPQCLNFQCSVPNFVGRGFIEIEDHSLSSSFFPFIVAEPEVCSEIRTLEDAIQVAETTTDIHALAETMEIKNQSLDFIHEMGWLLHRSHLKFRLGQLDPFPFKRFEWLVQFSMNRDWCAVVRKLLAIMIDGTVDAGEHSSIELALFNMGLLHRAVQRNCRPMVELLLRYTPDKQLGGPGTQQNQLADENNSRFMFKPDVAGPAGLTPLHVAACRDGAENVLDALTDDPGLVGIDAWKRTRDNTGLTPYDYACLRGHYSYIHLIQRKINKKSESGHVVLDIPSSLADYNSKQKDGHKLPKFAVLHTEKIEMKAMQQHLKVCERKLVYGAARTSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEKLKYGSS >Potri.010G154000.1.v4.1 pep chromosome:Pop_tri_v4:10:16323409:16330512:-1 gene:Potri.010G154000.v4.1 transcript:Potri.010G154000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154000.v4.1 MEAKMGGKSRHLYGPVLSDLKAVGKKSLEWDLNDWKWDGDLFTATPLNSVPSDCRSRQLFSTGPELPEKAGLSNSSSSCSDDNDNLGDDKGKRELEKRRRAVFVEDEDLNDAAGSLNLKLGGQVYPIMNEDAKSGKKTKVTMTASNRAVCQVEDCRADLSNAKDYHRRHKVCDVHSKASMALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPENVFNEGSLNDEKGSSYLLISLLRILSNLQSNNSDQTKDQDLLSHLLRSLANLAGTTNGRSLSGLLQGSPGLVNAGATVGNLEKVQDALTNGPESARPSSSASKKDDCINSLDLPRPLGQCGTVPVPDLVQKRILDNDVQGGHQAHSGPQSIPLFLSRNKLPAKPNEPDATVGRIKLNNFDLNNVYDNSQDYVENLDRSHAPVSTGMGSFNCPLWVRSDSHKTNLPHMSGYSDSTPSQSPSSSSGEAQGRTDRIVFKLFGKDPNDFPVALRTQILQWLSHSPTDIESYIRPGCIILTIYLCLEKTKWEEVCLDLGASLSRLLDTFRDSFWQTGWVYVRAQNSVSFIHNGRVVLDTPLPIKSNKNCRISSITPIAVSLSERTQFVVRGFNIVRPVTRVLCAVEGKYLVQETCYDLMDGAATMNEHGKPQCLNFQCSVPNFVGRGFIEIEDHSLSSSFFPFIVAEPEVCSEIRTLEDAIQVAETTTDIHALAETMEIKNQSLDFIHEMGWLLHRSHLKFRLGQLDPFPFKRFEWLVQFSMNRDWCAVVRKLLAIMIDGTVDAGEHSSIELALFNMGLLHRAVQRNCRPMVELLLRYTPDKQLGGPGTQQNQLADENNSRFMFKPDVAGPAGLTPLHVAACRDGAENVLDALTDDPGLVGIDAWKRTRDNTGLTPYDYACLRGHYSYIHLIQRKINKKSESGHVVLDIPSSLADYNSKQKDGHKLPKFAVLHTEKIEMKAMQQHLKVCERKLVYGAARTSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEKLKYGSS >Potri.010G154000.5.v4.1 pep chromosome:Pop_tri_v4:10:16323410:16330443:-1 gene:Potri.010G154000.v4.1 transcript:Potri.010G154000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154000.v4.1 MEAKMGGKSRHLYGPVLSDLKAVGKKSLEWDLNDWKWDGDLFTATPLNSVPSDCRSRQLFSTGPELPEKAGLSNSSSSCSDDNDNLGDDKGKRELEKRRRAVFVEDEDLNDAAGSLNLKLGGQVYPIMNEDAKSGKKTKVTMTASNRAVCQVEDCRADLSNAKDYHRRHKVCDVHSKASMALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPENVFNEGSLNDEKGSSYLLISLLRILSNLQSNNSDQTKDQDLLSHLLRSLANLAGTTNGRSLSGLLQGSPGLVNAGATVGNLEKVQDALTNGPESARPSSSASKKDDCINSLDLPRPLGQCGTVPVPDLVQKRILDNDVQGGHQAHSGPQSIPLFLSRNKLPAKPNEPDATVGRIKLNNFDLNNVYDNSQDYVENLDRSHAPVSTGMGSFNCPLWVRSDSHKTNLPHMSGYSDSTPSQSPSSSSGEAQGRTDRIVFKLFGKDPNDFPVALRTQILQWLSHSPTDIESYIRPGCIILTIYLCLEKTKWEEVCLDLGASLSRLLDTFRDSFWQTGWVYVRAQNSVSFIHNGRVVLDTPLPIKSNKNCRISSITPIAVSLSERTQFVVRGFNIVRPVTRVLCAVEGKYLVQETCYDLMDGAATMNEHGKPQCLNFQCSVPNFVGRGFIEIEDHSLSSSFFPFIVAEPEVCSEIRTLEDAIQVAETTTDIHALAETMEIKNQSLDFIHEMGWLLHRSHLKFRLGQLDPFPFKRFEWLVQFSMNRDWCAVVRKLLAIMIDGTVDAGEHSSIELALFNMGLLHRAVQRNCRPMVELLLRYTPDKQLGGPGTQQNQLADENNSRFMFKPDVAGPAGLTPLHVAACRDGAENVLDALTDDPGLVGIDAWKRTRDNTGLTPYDYACLRGHYSYIHLIQRKINKKSESGHVVLDIPSSLADYNSKQKDGHKLPKFAVLHTEKIEMKAMQQHLKVCERKLVYGAARTSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWEKLKYGSS >Potri.010G221100.4.v4.1 pep chromosome:Pop_tri_v4:10:20625794:20627550:1 gene:Potri.010G221100.v4.1 transcript:Potri.010G221100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221100.v4.1 MATNTLMSCGIATAFPSLLSSSKSKFASSIPLPSVNGTSRVTMSANWMPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILVPEALGLGNWVKAQEWAATPGGQATYLGQPVPWGTLPIILAIEFVAIAFVEHQRSMEKDTEKKKYPGGAFDPLGYSKDPQKFEEYKVKEIKNGRLALLAFVGICVQQTAYPGTGPLENLATHLADPWHNNIGDVLIPRSVSP >Potri.005G042900.10.v4.1 pep chromosome:Pop_tri_v4:5:2702780:2710240:1 gene:Potri.005G042900.v4.1 transcript:Potri.005G042900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042900.v4.1 MVGVYGMGGVGKTTLVNKVAEQVKEGRLFDKVVLALVSPTPDIRRIQGEIADGLGLKLDAETDKGRASQLCRGLKKVTTVLVILDDIWKELKLEDVGIPSGSDHEGCKILMTSRNKNILSREMGANRNFQIQILPVREAWNFFEKMVGVTVKNPSVQLVAAEVAKRCAGLPILLATVARALKNEDLYAWKEALTQLTRFDKDDIDKTAYSCLELSYKALRDDEIKSLFLLCGQILTYDALISDLLKYAIGLDLFKGRSTSEEARNRLHTLVDELKASCLLLEGDNDGSVKMHDVVRSFAISVALRDHHVLIVADEFKEWPTNDVLQQYTAISLPFRKIPDLPAILECPNLNSFLLLSTDPSLQIPENFFREMKELKVLDLTGVNLSPLPSSLQFLENLQTLCLDFCVLEDISIVGELKKLKVLSLMGSDIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFLKWEAEGPSSERNSACLSELKLLANLITLDMQITDADHMPKDLFLCFQKLERFRIFIGDGWDWSVKYATSRTLKLKLNTVIQLEERVNTLLKITEELHLQELNGVKSILNDLDEEGFCQLKDLHVQNCPGVQYIINSMRMGPRTAFLNLDSLFLENLDNLEKICHGQLMAESLGNLRILKVESCHRLKNLFSVSIARRVVRLEEITIIDCKIMEEVVAEESENDTADGEPIEFTQLRRLTLQCLPQFTSFHSNRRQKLLASDVRSKEIVAGNELGTSMSLFNTKILFPKLEDLMLSSIKVEKIWHDQHAVQPPCVKNLASIVVESCSNLNYLLTSSMVESLAQLKSLEICNCKSMEEIVVPEGIGEGKMMSKMLFPKLHILSLIRLPKLTRFCTSNLLECHSLKVLTLGKCPELKEFISIPSSADVPAMSKPDNTKSALFDDKVAFPNLVVFVSFEMDNLKVIWHNELHPDSFCRLKILHVGHGKNLLNIFPSSMLGRFHNLENLVINDCDSVEEIFDLQALINVEQRLAVTASQLRVVRLTNLPHLKHVWNRDPQGIVSFHNLCTVHVQGCLGLRSLFPASIAQNLLQLELLRIDTCGVEEIVAKDEGLEEGPEFVFPKVTFLQLRELPELKRFYPGIHTSEWPRLKTLRVYDCEKIEIFPSEIKCSHEPCREDHMDIQGQQPLLSFRKV >Potri.005G042900.7.v4.1 pep chromosome:Pop_tri_v4:5:2702553:2710538:1 gene:Potri.005G042900.v4.1 transcript:Potri.005G042900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042900.v4.1 MGLCPDLKIRYRLGKAAKEELTVVVDLQEKGKFDRVSYRAAPSGIGPVKDYEAFESRNSVLNDIVDALKDGDVNMVGVYGMGGVGKTTLVNKVAEQVKEGRLFDKVVLALVSPTPDIRRIQGEIADGLGLKLDAETDKGRASQLCRGLKKVTTVLVILDDIWKELKLEDVGIPSGSDHEGCKILMTSRNKNILSREMGANRNFQIQILPVREAWNFFEKMVGVTVKNPSVQLVAAEVAKRCAGLPILLATVARALKNEDLYAWKEALTQLTRFDKDDIDKTAYSCLELSYKALRDDEIKSLFLLCGQILTYDALISDLLKYAIGLDLFKGRSTSEEARNRLHTLVDELKASCLLLEGDNDGSVKMHDVVRSFAISVALRDHHVLIVADEFKEWPTNDVLQQYTAISLPFRKIPDLPAILECPNLNSFLLLSTDPSLQIPENFFREMKELKVLDLTGVNLSPLPSSLQFLENLQTLCLDFCVLEDISIVGELKKLKVLSLMGSDIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFLKWEAEGPSSERNSACLSELKLLANLITLDMQITDADHMPKDLFLCFQKLERFRIFIGDGWDWSVKYATSRTLKLKLNTVIQLEERVNTLLKITEELHLQELNGVKSILNDLDEEGFCQLKDLHVQNCPGVQYIINSMRMGPRTAFLNLDSLFLENLDNLEKICHGQLMAESLGNLRILKVESCHRLKNLFSVSIARRVVRLEEITIIDCKIMEEVVAEESENDTADGEPIEFTQLRRLTLQCLPQFTSFHSNRRQKLLASDVRSKEIVAGNELGTSMSLFNTKILFPKLEDLMLSSIKVEKIWHDQHAVQPPCVKNLASIVVESCSNLNYLLTSSMVESLAQLKSLEICNCKSMEEIVVPEGIGEGKMMSKMLFPKLHILSLIRLPKLTRFCTSNLLECHSLKVLTLGKCPELKEFISIPSSADVPAMSKPDNTKSALFDDKVAFPNLVVFVSFEMDNLKVIWHNELHPDSFCRLKILHVGHGKNLLNIFPSSMLGRFHNLENLVINDCDSVEEIFDLQALINVEQRLAVTASQLRVVRLTNLPHLKHVWNRDPQGIVSFHNLCTVHVQGCLGLRSLFPASIAQNLLQLELLRIDTCGVEEIVAKDEGLEEGPEFVFPKVTFLQLRELPELKRFYPGIHTSEWPRLKTLRVYDCEKIEIFPSEIKCSHEPCREDHMDIQGQQPLLSFRKIIPNLEDLHLESKDASALLKCPQYFFHKLKVLELFCFGDAHATFLFDLLPRFPNMERLNVERGTFKELLPSRLVGMEEHATVLSPIRHLELDSLPCLEHLWKSNSQLDQALQTLETLRVHNCGSLIYLAPSRASFQNLTNLYVWRCERLVKLVTSTTAKSLAQLTRMKVAGCRMVTEIVANEEEGIKDEIVFRKLEILELHRLPSLTSFCSEKHSFDFPSLVEVTVSQCPEMKFFSNGALSTPKLRTVNLTEEEKKGSWVGNLNTTIQQLSTQTEVSS >Potri.005G042900.6.v4.1 pep chromosome:Pop_tri_v4:5:2701632:2710518:1 gene:Potri.005G042900.v4.1 transcript:Potri.005G042900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042900.v4.1 MEIVISIVAKVAELLVVPIKRQIGYVIDCNTNIQNLKNEVEKLTYAKTRVIHSIEEAISKGEEIEVDVENWLGSVDGVIEGGCGVVGDESSKKCFMGLCPDLKIRYRLGKAAKEELTVVVDLQEKGKFDRVSYRAAPSGIGPVKDYEAFESRNSVLNDIVDALKDGDVNMVGVYGMGGVGKTTLVNKVAEQVKEGRLFDKVVLALVSPTPDIRRIQGEIADGLGLKLDAETDKGRASQLCRGLKKVTTVLVILDDIWKELKLEDVGIPSGSDHEGCKILMTSRNKNILSREMGANRNFQIQILPVREAWNFFEKMVGVTVKNPSVQLVAAEVAKRCAGLPILLATVARALKNEDLYAWKEALTQLTRFDKDDIDKTAYSCLELSYKALRDDEIKSLFLLCGQILTYDALISDLLKYAIGLDLFKGRSTSEEARNRLHTLVDELKASCLLLEGDNDGSVKMHDVVRSFAISVALRDHHVLIVADEFKEWPTNDVLQQYTAISLPFRKIPDLPAILECPNLNSFLLLSTDPSLQIPENFFREMKELKVLDLTGVNLSPLPSSLQFLENLQTLCLDFCVLEDISIVGELKKLKVLSLMGSDIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFLKWEAEGPSSERNSACLSELKLLANLITLDMQITDADHMPKDLFLCFQKLERFRIFIGDGWDWSVKYATSRTLKLKLNTVIQLEERVNTLLKITEELHLQELNGVKSILNDLDEEGFCQLKDLHVQNCPGVQYIINSMRMGPRTAFLNLDSLFLENLDNLEKICHGQLMAESLGNLRILKVESCHRLKNLFSVSIARRVVRLEEITIIDCKIMEEVVAEESENDTADGEPIEFTQLRRLTLQCLPQFTSFHSNRRQKLLASDVRSKEIVAGNELGTSMSLFNTKILFPKLEDLMLSSIKVEKIWHDQHAVQPPCVKNLASIVVESCSNLNYLLTSSMVESLAQLKSLEICNCKSMEEIVVPEGIGEGKMMSKMLFPKLHILSLIRLPKLTRFCTSNLLECHSLKVLTLGKCPELKEFISIPSSADVPAMSKPDNTKSALFDDKVAFPNLVVFVSFEMDNLKVIWHNELHPDSFCRLKILHVGHGKNLLNIFPSSMLGRFHNLENLVINDCDSVEEIFDLQALINVEQRLAVTASQLRVVRLTNLPHLKHVWNRDPQGIVSFHNLCTVHVQGCLGLRSLFPASIAQNLLQLELLRIDTCGVEEIVAKDEGLEEGPEFVFPKVTFLQLRELPELKRFYPGIHTSEWPRLKTLRVYDCEKIEIFPSEIKCSHEPCREDHMDIQGQQPLLSFRKIIPNLEDLHLESKDASALLKCPQYFFHKLKVLELFCFGDAHATFLFDLLPRFPNMERLNVERGTFKELLPSRLVGMEEHATVLSPIRHLELDSLPCLEHLWKSNSQLDQALQTLETLRVHNCGSLIYLAPSRASFQNLTNLYVWRCERLVKLVTSTTAKSLAQLTRMKVAGCRMVTEIVANEEEGIKDEIVFRKLEILELHRLPSLTSFCSEKHSFDFPSLVEVTVSQCPEMKFFSNGALSTPKLRTVNLTEEEKKGSWVGNLNTTIQQLSTQTEVSS >Potri.005G042900.8.v4.1 pep chromosome:Pop_tri_v4:5:2703054:2710567:1 gene:Potri.005G042900.v4.1 transcript:Potri.005G042900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042900.v4.1 MTSRNKNILSREMGANRNFQIQILPVREAWNFFEKMVGVTVKNPSVQLVAAEVAKRCAGLPILLATVARALKNEDLYAWKEALTQLTRFDKDDIDKTAYSCLELSYKALRDDEIKSLFLLCGQILTYDALISDLLKYAIGLDLFKGRSTSEEARNRLHTLVDELKASCLLLEGDNDGSVKMHDVVRSFAISVALRDHHVLIVADEFKEWPTNDVLQQYTAISLPFRKIPDLPAILECPNLNSFLLLSTDPSLQIPENFFREMKELKVLDLTGVNLSPLPSSLQFLENLQTLCLDFCVLEDISIVGELKKLKVLSLMGSDIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFLKWEAEGPSSERNSACLSELKLLANLITLDMQITDADHMPKDLFLCFQKLERFRIFIGDGWDWSVKYATSRTLKLKLNTVIQLEERVNTLLKITEELHLQELNGVKSILNDLDEEGFCQLKDLHVQNCPGVQYIINSMRMGPRTAFLNLDSLFLENLDNLEKICHGQLMAESLGNLRILKVESCHRLKNLFSVSIARRVVRLEEITIIDCKIMEEVVAEESENDTADGEPIEFTQLRRLTLQCLPQFTSFHSNRRQKLLASDVRSKEIVAGNELGTSMSLFNTKILFPKLEDLMLSSIKVEKIWHDQHAVQPPCVKNLASIVVESCSNLNYLLTSSMVESLAQLKSLEICNCKSMEEIVVPEGIGEGKMMSKMLFPKLHILSLIRLPKLTRFCTSNLLECHSLKVLTLGKCPELKEFISIPSSADVPAMSKPDNTKSALFDDKVAFPNLVVFVSFEMDNLKVIWHNELHPDSFCRLKILHVGHGKNLLNIFPSSMLGRFHNLENLVINDCDSVEEIFDLQALINVEQRLAVTASQLRVVRLTNLPHLKHVWNRDPQGIVSFHNLCTVHVQGCLGLRSLFPASIAQNLLQLELLRIDTCGVEEIVAKDEGLEEGPEFVFPKVTFLQLRELPELKRFYPGIHTSEWPRLKTLRVYDCEKIEIFPSEIKCSHEPCREDHMDIQGQQPLLSFRKIIPNLEDLHLESKDASALLKCPQYFFHKLKVLELFCFGDAHATFLFDLLPRFPNMERLNVERGTFKELLPSRLVGMEEHATVLSPIRHLELDSLPCLEHLWKSNSQLDQALQTLETLRVHNCGSLIYLAPSRASFQNLTNLYVWRCERLVKLVTSTTAKSLAQLTRMKVAGCRMVTEIVANEEEGIKDEIVFRKLEILELHRLPSLTSFCSEKHSFDFPSLVEVTVSQCPEMKFFSNGALSTPKLRTVNLTEEEKKGSWVGNLNTTIQQLSTQTKAQIAGSIIVKA >Potri.005G042900.9.v4.1 pep chromosome:Pop_tri_v4:5:2701632:2707085:1 gene:Potri.005G042900.v4.1 transcript:Potri.005G042900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042900.v4.1 MEIVISIVAKVAELLVVPIKRQIGYVIDCNTNIQNLKNEVEKLTYAKTRVIHSIEEAISKGEEIEVDVENWLGSVDGVIEGGCGVVGDESSKKCFMGLCPDLKIRYRLGKAAKEELTVVVDLQEKGKFDRVSYRAAPSGIGPVKDYEAFESRNSVLNDIVDALKDGDVNMVGVYGMGGVGKTTLVNKVAEQVKEGRLFDKVVLALVSPTPDIRRIQGEIADGLGLKLDAETDKGRASQLCRGLKKVTTVLVILDDIWKELKLEDVGIPSGSDHEGCKILMTSRNKNILSREMGANRNFQIQILPVREAWNFFEKMVGVTVKNPSVQLVAAEVAKRCAGLPILLATVARALKNEDLYAWKEALTQLTRFDKDDIDKTAYSCLELSYKALRDDEIKSLFLLCGQILTYDALISDLLKYAIGLDLFKGRSTSEEARNRLHTLVDELKASCLLLEGDNDGSVKMHDVVRSFAISVALRDHHVLIVADEFKEWPTNDVLQQYTAISLPFRKIPDLPAILECPNLNSFLLLSTDPSLQIPENFFREMKELKVLDLTGVNLSPLPSSLQFLENLQTLCLDFCVLEDISIVGELKKLKVLSLMGSDIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFLKWEAEGPSSERNSACLSELKLLANLITLDMQITDADHMPKDLFLCFQKLERFRIFIGDGWDWSVKYATSRTLKLKLNTVIQLEERVNTLLKITEELHLQELNGVKSILNDLDEEGFCQLKDLHVQNCPGVQYIINSMRMGPRTAFLNLDSLFLENLDNLEKICHGQLMAESLGNLRILKVESCHRLKNLFSVSIARRVVRLEEITIIDCKIMEEVVAEESENDTADGEPIEFTQLRRLTLQCLPQFTSFHSNRRQKLLASDVRSKEIVAGNELGTSMSLFNTKILFPKLEDLMLSSIKVEKIWHDQHAVQPPCVKNLASIVVESCSNLNYLLTSSMVESLAQLKSLEICNCKSMEEIVVPEGIGEGKMMSKMLFPKLHILSLIRLPKLTRFCTSNLLECHSLKVLTLGKCPELKEFISIPSSADVPAMSKPDNTKSALFDDKVAFPNLVVFVSFEMDNLKVIWHNELHPDSFCRLKILHVGHGKNLLNIFPSSMLGRFHNLENLVINDCDSVEEIFDLQALINVEQRLAVTASQLRVVRLTNLPHLKHVWNRDPQGIVSFHNLCTVHVQGCLGLRSLFPASIAQNLLQLELLRIDTCGVEEIVAKDEGLEEGPEFVFPKVTFLQLRELPELKRFYPGIHTSEWPRLKTLRVYDCEKIEIFPSEIKCSHEPCREDHMDIQGQQPLLSFRKV >Potri.004G084600.1.v4.1 pep chromosome:Pop_tri_v4:4:7009467:7009877:1 gene:Potri.004G084600.v4.1 transcript:Potri.004G084600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084600.v4.1 MAEKEGGVVAKGHQEGLKMAISLLEEFGLPLGLLPLADVIEVGFVRSTGYMWIVQKKKVEHNFKIASKIVSYDTDIKGHVSKKQIRKLKGVKAKEFMLWPPVNEITIDDPPTGKIHFKSLAGITKTFPVEAFAAGQ >Potri.001G340100.1.v4.1 pep chromosome:Pop_tri_v4:1:34891197:34891837:-1 gene:Potri.001G340100.v4.1 transcript:Potri.001G340100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340100.v4.1 MALSTLQQLLKKSPLHSRILASLQNPHLLQSPKVNPPVNIDGRPFLFGSSKVENLTHLNPWLFSPISPVQIFSYQNLSSGSAQDGSNDDDSGMWADSVKKKRKKKMNKHKYRKLKKRLRRRT >Potri.011G043000.1.v4.1 pep chromosome:Pop_tri_v4:11:3345112:3349106:-1 gene:Potri.011G043000.v4.1 transcript:Potri.011G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043000.v4.1 MEEEADQEHEHEHEQEQEQEHISPTATTQQDYTWPVIRYNLPPQRTHHFFKQFRTSPNNFLKGIKWSPDGSCFLTSSEDNTLHSFSLPDNGSGSDADGCCLAIDEDSYDASLIVKEGESVYDYCWYPYMTASDPVSCVFATTTRDHPIHLWDATSGLLRCTYRAYDAVDEITAAISIAFNPAGTKIFAGYNKSIRVFDIHRPGRDFAQYSTIQGNKEGQTGIISAVAFSPTHTGMLATGSYSQTTAIYREDNMELLYVLHGQEGGITHVQFSKDGNYLYTGGRKDPYILCWDIRKAVEVVYKLYRSSEYTNQRIFFDIEPLGRHLATGGQDGLVHIYDLQNGQWISGFQAATDTVNGFAFHPFLPMAVSSSGHRRFKVPDGCDENLPLTGYENCASVWNFSCAASMEEDGINIDGGDFTQSENEILHQDP >Potri.001G119800.1.v4.1 pep chromosome:Pop_tri_v4:1:9726687:9732185:1 gene:Potri.001G119800.v4.1 transcript:Potri.001G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119800.v4.1 METHKAYFLSAILVLAMLSFLHVQSVQAARLNPVEPRILMPTGKDEPEVDDDGEEIGSRWAVLVAGSSGYGNYRHQADVCHAYQLLRKGGIKEENMVVFMYDDIAMHHLNPRPGVIINHPQGDDVYAGVPKDYTGEQVNTENLYAVLLGNKSAVKGGSGKVVDSKPNDRIFLYYSDHGGPGVLGMPNMPFLYAMDFIEVLKKKHASGSYKEMVMYIEACESGSIFEGIMPKDLNIYVTTASNAEEISWGTYCPGMDPSPPSEYVTCLGDLYSVAWMEDSETHNLKKETIKQQYHSVKERTSNYNAFTSGSHVMQYGNESLKGEKLFLYQGFDPASVNFPPNNGHIGARMDVVNQRDAELVFLWQMYKRAEGGSEKKTQILNQIKETMRHRTHLDSSMELIGTLLFGPKKGSTILKSVREPDSPLVDDWRCLKSMVRLFEKHCGSLTQYGMKHMRAFANICNGGVSLASMEEACVAACSGHDAGELHPSNQGYST >Potri.017G026300.1.v4.1 pep chromosome:Pop_tri_v4:17:1750439:1754769:1 gene:Potri.017G026300.v4.1 transcript:Potri.017G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026300.v4.1 MENRPKLHVVQALKQLFLLFAVHSLFLFPFTLSEIFFEERFEDGWKGRWVLSDWKRSEGKAGTFKYTAGKWPGDPDDKGIQTYNDAKHFAISAKITPEFSNQNRTLVVQYSIKFEQDIECGGGYIKLLSGYVNQKKFGGDTPYSFMFGPDICGTQTKKLHVILSYQGQNYPIKKDLQCETDKLTHFYTFILRPDASYSVLVDNRERESGTMYTDWDILPPPKIKDTKAKKPADWDDREYIEDPNDVKPEGYDSIPREIPDPKAKEPDDWDEEEDGIWRPSKIPNPAYKGPWKRKKIKNPNYKGKWKTPWIDNPEFEDDPDLYVLKPVKYVGIEVWQVKAGSIFDNILICDDPQYAREVVEDVFQNREIEKDAFEEAEKVRRAREEEEAQRAREEGEKRRKERGHRKPRRRHDPRDYMDDYHVSISSALFLSFSH >Potri.008G192700.2.v4.1 pep chromosome:Pop_tri_v4:8:13562636:13565256:1 gene:Potri.008G192700.v4.1 transcript:Potri.008G192700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192700.v4.1 MRPPMARGRGAGHGRGAFRAQNNAGRGGRGRGAFRAQNNAGRGLGVRGRGRGGGRGGANHRGGMRGGNKAVIIPHRHEGVFIAKSKDDALLTKSMVPGDTNEDGTKVEYRVWNPFRSKLGAAIREGIDNIWIVPGARVLYLGAASGTSVSHVSDIVGPTGVVYAVEFSQRSGRDLVNMAKKRTNVMPIIEDARHPSKYRMLVGMVDVIFSDVAQPDQARILALNAAYFLKTGGHFVLSIKANCIDSTMPAGKVYDGEVDRLRADLLKPAEMVTLDRFERDHACVVGSYRVPKKQKPSA >Potri.009G008100.1.v4.1 pep chromosome:Pop_tri_v4:9:1592116:1594302:1 gene:Potri.009G008100.v4.1 transcript:Potri.009G008100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G008100.v4.1 MVDQTSKKPHAILVPYPLQGHVIPSVHLAIKLASQGFTITFINTHAFHHQISKAQPNSEPDIFTKVRESGLDIRYATISDGLPVGFDRSLNHDQYMAALLHVFSAHVDEVVGQIVKSDDSVRCLIADTFFVWPSKIAKKFGLLYVSFWTEPALVFSLYYHMDLLRINGHFGCQDCREDIIDYIPGVKAIEPKDMTSYLQEAETTSVCHQIIFNAFNDTRSADFVVCNSVQELEVETLSALQAEMPYYAIGPLFPNGFTKSFVATSLWSESDCTQWLDEKPRGSVLYVSFGSYAHVTKKDLAQIANGLSLSKVSFVWVLRADIVSSDDAHPLPDGFEEEVADRAMIIPWCCQREVLPHHAIGGFLTHCGWNSILESIWCQVPLLCLPLLTDQFTNRKLVVDDWKVGINLSDRKFVTKEEVSSNINSLFSGKLGDELRTKIKEVKKTLENALSPGGSSEKNMAQFIKDLKNKISDKMDQPNKSICNDS >Potri.014G196400.1.v4.1 pep chromosome:Pop_tri_v4:14:17702887:17707389:-1 gene:Potri.014G196400.v4.1 transcript:Potri.014G196400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196400.v4.1 MDAIPSFKLILGSASFSRRKILEEMGYEFTISTADIDEKSIREEKPEDLVMTLAEAKADAIIANLRTTTMNNQQDKDEEPTILVAADTADTILQKLPISDYTKDAEPTLLITADQVVVYEGAIREKPASKEEAWEFIKGYSGGHAATVGSVLVTNLKTGFRKGEWDRVEIYFHEIPDEVIEKLIEEGIVLRVAGGLIIEHPLLLPYIKEVVGTTDSVMGLPKALTKKLIEEAL >Potri.016G052800.1.v4.1 pep chromosome:Pop_tri_v4:16:3456610:3460020:1 gene:Potri.016G052800.v4.1 transcript:Potri.016G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052800.v4.1 MTQPSVILATASYDHTIRFWEAKSGRCYRTIQYPDSQVNRLEITPDKRYLAAAGNPHIKLFDVNSNSPHPVMSYDSHTANVMAVGFQCDGNWMYSGSEDGTVKIWDLRAPGCQREYESRAAVNTVVLHPNQTELISGDQNGNIRVWDLTANSCSCELVPEVDTAVRSLTVMWDGSLVVAANNHGTCYVWRLLRGNQTMTNFEPLHKLQAHNKYILKCLLSPEFCDPHRYLATASADHTVKIWNVDGFTLEKTLIGHQRWVWDCVFSVDGAYLITASSDTTARLWSMSSGEDIRVYQGHHKATVCCALHDGAEPSSS >Potri.004G221400.2.v4.1 pep chromosome:Pop_tri_v4:4:22647349:22648012:-1 gene:Potri.004G221400.v4.1 transcript:Potri.004G221400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G221400.v4.1 MAIFRKKLTSVDILKNLELPNDTRREALPPFQGAREIVIPIMFVEAEMTKVDVHCSCRSGRLEFRKGWIEIASRMNLNAGDVVTLHWEAQGGYKMRVRRSASRA >Potri.013G072800.1.v4.1 pep chromosome:Pop_tri_v4:13:5967880:5978648:-1 gene:Potri.013G072800.v4.1 transcript:Potri.013G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G072800.v4.1 MEVQNQEHQKPNTDQVVLSVDQPDSKLRQSPSPQQPDSKAPLSKTLARTKTLHRLNFSKPKSRFTETNYPPPSRSIHESEEYYQLLNPPDSASSTDEEDDEEWCDYEEGGGEEVDDVGETIKRSKYRRRRIIKIKKRALVEFILFLISMTCLILSLTVESLRNKVLWGLVLWKWCLMVLVLFCGRLVSVWLVGFLGFLIERNFMLREKVLYFVFGLRKSFQHCAWLGLVLLAWMSMFHDAHKRNKTLKRTFRVLIAVFVGATIWLLKILLVKVLASSFHVATFFDRMKESVFHHYILVTLSGPPLDENERETPRRLTPRHSKALPAKQRERASQDMPISKSKRYESRRIDMERLRKLSMMTRATAWSVKRLGSYIKSSGLSTVSRTVDDFSNAESEINSEWEARCSAQRSFKNVAKPGAKYIEEEDLLKFLKTVEVHSIFPLFEGAVETGKITKSSFRNWVVHAYVERKALAHSLNDTKTAIQQLHRLASAIMTLIIIVISLLVTGLATTKVLFVFTSQLLLVGFMFQNTCKSIFESIIFVFVMHPFDVGDRCVVDGVQMVVEEMNILTTVFLRYDSEKIYYPNSVLLTKPISNFRRSPDMGDGIDITIDVSTSVDDFNALKKAIQIYIESKPKHWNPKHTLMVCEIENGKDLKLTLCVQHTMNHQNYGEKSNRRSDLVFELKKIFDKLGIKYHLLPQQVHLTHVNTISNGGMSRQS >Potri.017G012800.4.v4.1 pep chromosome:Pop_tri_v4:17:888592:891768:-1 gene:Potri.017G012800.v4.1 transcript:Potri.017G012800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012800.v4.1 MADGSGNPSVFRKIHGQSSLISKLSSNLHSRNYIMTSGALSNGFHGHLHPAIQGTGLALVPHVSPIFVEAPSEKGAKGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKEEGILALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKERDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLIDVYKKTLQSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGDLQDSFFASFMLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQIIKNEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGG >Potri.017G012800.5.v4.1 pep chromosome:Pop_tri_v4:17:888710:891768:-1 gene:Potri.017G012800.v4.1 transcript:Potri.017G012800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012800.v4.1 MADGSGNPSVFRKIHGQSSLISKLSSNLHSRNYIMTSGALSNGFHGHLHPAIQGTGLALVPHVSPIFVEAPSEKGAKGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKEEGILALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKERDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLIDVYKKTLQSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGDLQDSFFASFMLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQIIKNEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGG >Potri.017G012800.3.v4.1 pep chromosome:Pop_tri_v4:17:888732:891768:-1 gene:Potri.017G012800.v4.1 transcript:Potri.017G012800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012800.v4.1 MADGSGNPSVFRKIHGQSSLISKLSSNLHSRNYIMTSGALSNGFHGHLHPAIQGTGLALVPHVSPIFVEAPSEKGAKGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKEEGILALWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKERDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLIDVYKKTLQSDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGDLQDSFFASFMLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQIIKNEGPKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGG >Potri.015G083700.2.v4.1 pep chromosome:Pop_tri_v4:15:10876761:10881143:1 gene:Potri.015G083700.v4.1 transcript:Potri.015G083700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083700.v4.1 MQHDEVIWQVIRHNHCSFMAKITTGNFCRNPYNITGVCNRSSCPLANSRYATIRDHDGVFYLYMKTIERAHKPNELWERVKLPRNYEKALEIIDKHLMYWPKFLVHKAKQRLTKMTQMRIRMRKLALKTREKIMTTPRKEIKRESRREKKAETAAELDKSIEKELLERLNGGLYGDIHNILPNYFNKILDENELHAVSDDDDVVEPEIEYVQGYDDLEEEDDIEDFGGFAIDESLKNNDDAPEEEEDMDTVDRKRLKIGSESAHRKVEEDEPRKSKKKARVLVEVENEDASERQRETF >Potri.005G185700.2.v4.1 pep chromosome:Pop_tri_v4:5:19340915:19343800:1 gene:Potri.005G185700.v4.1 transcript:Potri.005G185700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185700.v4.1 MTETRKKETFPREMAGEGKARSEDLNLCFEKLMMLAFGGGKTGSSEGGVKMEGAVITEWKDIPVELLLRIVSLVDDRTVIMASGVCSGWRDAICMGLTHLCLSWCKNNMNNLVLSLAPKFTKLQTLVLRQDKPQLEDHAVETIASYCHDLQDLDLSKSFKLSDLSLYALAHGCPNLTKLNISGCTAFSDDGLEYLTEFCQKLKFLNLCGCVKGATDRALQGIGRNCSQLQTLNLGWCENVGDVGVMSLAYGCPDLRTLDLCGCVCITDDSVIALANRCPHLRSLGLYYCRNITDRAMYSLVHNRVKNKLSMWESMKGRCDEEGLSRLNISQCTALTPPAVQALCDSFPALHTCSGRHSLVMSGCLNLTSVHCVCAVQAHRSASSFPHPAH >Potri.005G185700.1.v4.1 pep chromosome:Pop_tri_v4:5:19340875:19343786:1 gene:Potri.005G185700.v4.1 transcript:Potri.005G185700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185700.v4.1 MAGEGKARSEDLNLCFEKLMMLAFGGGKTGSSEGGVKMEGAVITEWKDIPVELLLRIVSLVDDRTVIMASGVCSGWRDAICMGLTHLCLSWCKNNMNNLVLSLAPKFTKLQTLVLRQDKPQLEDHAVETIASYCHDLQDLDLSKSFKLSDLSLYALAHGCPNLTKLNISGCTAFSDDGLEYLTEFCQKLKFLNLCGCVKGATDRALQGIGRNCSQLQTLNLGWCENVGDVGVMSLAYGCPDLRTLDLCGCVCITDDSVIALANRCPHLRSLGLYYCRNITDRAMYSLVHNRVKNKLSMWESMKGRCDEEGLSRLNISQCTALTPPAVQALCDSFPALHTCSGRHSLVMSGCLNLTSVHCVCAVQAHRSASSFPHPAH >Potri.012G049900.1.v4.1 pep chromosome:Pop_tri_v4:12:4754218:4757105:1 gene:Potri.012G049900.v4.1 transcript:Potri.012G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH11 MAGAVQNVNFSKALPMNGGHGLYSYSKNSTYQKKVIVAVKDLITEAIAEKLDICVLSSSNTICISDMGCSVGPNTFVAVQNIVEAVLNKYQSQGHDHSRLPEFQVFLNDHALNDFNTLFKSLPPNRNYYVAGMPGSFHGRLFPNDSLHIVHTSYALNWLSQVPKEVEDVSSPAWNKGRIYYSSAGDQTVKAFADQFAEDLDCFLHARAQEVVRGGLIILMVPGRLDTSPHTRVVSNISYDILGSCLMDMAKMGIISEEKVDSFNIPIYFSSPQEVEATVERNGYFNLERLECLPLEKSQDTIPQKARAVSYHIRAGLEYLLKEHFGHEILDELFDSFNKKLEKSEVFQLGLTYSLLAFLKRKET >Potri.012G049900.2.v4.1 pep chromosome:Pop_tri_v4:12:4754222:4757124:1 gene:Potri.012G049900.v4.1 transcript:Potri.012G049900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH11 MGCSVGPNTFVAVQNIVEAVLNKYQSQGHDHSRLPEFQVFLNDHALNDFNTLFKSLPPNRNYYVAGMPGSFHGRLFPNDSLHIVHTSYALNWLSQVPKEVEDVSSPAWNKGRIYYSSAGDQTVKAFADQFAEDLDCFLHARAQEVVRGGLIILMVPGRLDTSPHTRVVSNISYDILGSCLMDMAKMGIISEEKVDSFNIPIYFSSPQEVEATVERNGYFNLERLECLPLEKSQDTIPQKARAVSYHIRAGLEYLLKEHFGHEILDELFDSFNKKLEKSEVFQLGLTYSLLAFLKRKET >Potri.005G206800.7.v4.1 pep chromosome:Pop_tri_v4:5:21158685:21162176:1 gene:Potri.005G206800.v4.1 transcript:Potri.005G206800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206800.v4.1 MPEKITAEKLINNLVETFAETVPKKKSVSFFEEENKSVTSRLNRLFGRQKPIHHLLGGGKSADVLLWRNKKISAGVLIGATAIWVLFELLNYNFLSLVCYAVVLGMLAQFVWTNASGLINRAPSQVPRLVLPKDIFVSIGRSIGSEVNRDLQFLQDVSCGGNLKKFLVVVASLLVAAIIGSWCNFLTVIYIGFVAAHTLPVLYERYDDEVDDFVHMALDQLQYNYRKLDAGFLSKIPKGKFKGKKHE >Potri.005G206800.8.v4.1 pep chromosome:Pop_tri_v4:5:21158686:21162234:1 gene:Potri.005G206800.v4.1 transcript:Potri.005G206800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206800.v4.1 MPEKITAEKLINNLVETFAETVPKKKSVSFFEEENKSVTSRLNRLFGRQKPIHHLLGGGKSADVLLWRNKKISAGVLIGATAIWVLFELLNYNFLSLVCYAVVLGMLAQFVWTNASGLINRAPSQVPRLVLPKDIFVSIGRSIGSEVNRDLQFLQDVSCGGNLKKFLVVVASLLVAAIIGSWCNFLTVIYIGFVAAHTLPVLYERYDDEVDDFVHMALDQLQYNYRKLDAGFLSKIPKGKFKGKKHE >Potri.010G075100.1.v4.1 pep chromosome:Pop_tri_v4:10:10298887:10300024:1 gene:Potri.010G075100.v4.1 transcript:Potri.010G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075100.v4.1 MRILNLILAVLLLLSLHVQLYKASRILPEELNKDLSLQSLQKGPVPPSAGSGCTNIPGRNGPSCPQVNEMHYAGNALPSDAAFPRLNVQFGVATNQS >Potri.019G092800.1.v4.1 pep chromosome:Pop_tri_v4:19:13021877:13025777:-1 gene:Potri.019G092800.v4.1 transcript:Potri.019G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G092800.v4.1 MQKGGKSKCASTSHHLLMDNAKNRLNDLEERFFNIQTARKEGRNNDVALLEEQVCQSLREWKAELDVPSPANSLLDISLGSFSEDIGRLLQLYEEEDDATSPLTMQSVLKPETQPEPNFQNLNLGNLTTFQDEYLVNSHSQELGFQGFHQPNGSSGLQNVAVSDPDITTLLDCHQFTLDEEFDPGHFVGTNDTKECGINSESNNLQYISPPPSAFMGPKCALWDCTRPAQGAEWCEDYCSSFHATLALNEGPPGMTPVLRPRGINLKDNLLFDALTAKMQGKNVGIPQCEGAAVMKSPWNAAELFDLSLLEGETIREWLFFDKPRRAFDSGNRKQRSLPDYSGRGWHESRKQVMKELGGKKKSYYMDPQPPGCHEWHLYEYEINNCDACALYRLEFKLADGKKTPKGKVSKDSLVDLQKKMGRLTAVVTADNSPSDKGKTNTSGTGHGP >Potri.001G236000.1.v4.1 pep chromosome:Pop_tri_v4:1:25440684:25443846:1 gene:Potri.001G236000.v4.1 transcript:Potri.001G236000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236000.v4.1 MNKGFWMSKGTDGDPAFENPPRLESKRSHQWFIDDTEPELFPNKKQAVQTPNSTTNSGIPSANSPSWHNTSGFQSVPNQFIHRLFGAETARSVNFAERNLYPAGTVESNASEACLNYGGIRKVKINQVKDFDSGVHAPKGHGFTIESDSNNSTGQAFQRESQSSFISTGHAFDKEDNSVTMMGHTYNGEDVHVGPTSSTYIKVDDSAIPISDAYSKEDTNLLSFGGFDDAHDIIPVDRPLSSYDHSYDQSSVRTREAVDEKELRTTTAKAVASNTQATKSRTEPVSKNRPELKTTRKEAPNSFPSNVRSLISTGMLDGVPVKYVSLSREELRGIIKGSGYLCGCQSCNYSKVLNAYEFERHAGCKTKHPNNHIYFENGKTIYQIVQELRSTPESMLFDVIQTVFGAPINQKSFRIWKESFQAATRELQRIYGKEELNL >Potri.001G310700.1.v4.1 pep chromosome:Pop_tri_v4:1:32108126:32110604:1 gene:Potri.001G310700.v4.1 transcript:Potri.001G310700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310700.v4.1 MQYSYLCFTFILLTISFKAPFTRSENFSCNSNDLRSLTSFSSSIDYGLDWNTSDSNCCTWIGVTCDNSTVPSKRVVRLELGSKRLKGKISESLAGLDQLSILNLSQNFLHGYLPARLLHLQNLEIIDSSNNDLVGPLPGGSNLSSIRYVDISRNNFNGSVDAALCESSSYIHTLNLGNNYFTGEVPANFGRCSSLQQLFLNDNHLSGNLPKSLWQLRNLRILHLQNNLVSGPLNDEIGKLSNLVELDISSNKFSGILPDVFERLKLENFFAGSNNFSGHLPMSLVNSPYIVILNLNNNTLNGPINLNCSAMVHLTSVDLGSNNFHGPLPDVISSCQRLTNLNLARNNLGGEVPFAFKNLQALRSLSLSNNSLVNISSALAILQHCRNLTSLFLSFNFHGEQMPRNVNFHFRNLRALAIPYCELTGSIPTWLSGSNMLQLLDLSWNRLYGTIPFWFHEFKYLFYMDLSNNSFTGEIPESLTELQGLINMKISPGLSLGFPLFSFVADGAKLKYKGIWGFRPTLDLSYNKLTGPLWPGFGNLKELHVLKLKENHLSGTIPDSFSGMTSLEVLDLSYNDLSGEIPLSLEKLSFLSKFSIAYNQLQGDIPTGGQFLTFPPSSFEGNKGLRGQQLTPFQPHQAPHDVQLADEEMTIIGLQFGLGVMTGFLFTVTLCFASGWVFAKT >Potri.005G178400.1.v4.1 pep chromosome:Pop_tri_v4:5:18430068:18432534:-1 gene:Potri.005G178400.v4.1 transcript:Potri.005G178400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178400.v4.1 MADSKVETISRLAQWRIDNFGPSSYKKSDPFKVGIWNWYLSIEKNRYLCIRLFPEPSRASKEQPPIARFVLRISNASANNRRPLISPVHERLLRTSEDFVWSVDSTFHGRFIIDVEFLDLKICPLNGGEPSSTWPSDGMMQSVSTQGTLQCLSRMLDEAIHADVTIHTAEGTLSAHKAILSASSPVFQSMFHHNLKEKESSTIYIQDMTLESCMTLLSYLYGTIKQEDFWKHRVSLLGAANKYDIAALKDACEESLLEDINTMNVLERLQEAWLYQLNKLKKGCMIYLFDFGKIYDVRDEISNFLRQADRELMVEMFQEVITVWKPV >Potri.005G074500.1.v4.1 pep chromosome:Pop_tri_v4:5:4996040:4997548:-1 gene:Potri.005G074500.v4.1 transcript:Potri.005G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074500.v4.1 MGRSPCCDESGLKKGPWTPEEDQKLVKYIQKHGHGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSILGNKWSAIAGHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDIFSSLPHLIALANLKELIDHHSLEEHALRLQTEAAQMAKLQYLQYLLQPQPPAASNNATSSNNLNNISAIGEMEAFNLLNSLASFKDSPVSSLSQFDLSASSLQGINDLMPFSHLPDLQIPCNYQTPPNKDVFDQAPELTVFSQGENSPNSPWQLPSSSTPSPPSVFPLVPQNSGMVNNLGDASSTSSYVGEAPSVWHDILENPLFHDIA >Potri.015G040400.2.v4.1 pep chromosome:Pop_tri_v4:15:3653878:3656791:1 gene:Potri.015G040400.v4.1 transcript:Potri.015G040400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040400.v4.1 MEGVRKHYGILLASLAIIAAALPCCSSQTTRRFQFNVEWKQVTRLCTTKQLLMVNGQYPGPTIAVHEGDNVEINVKNQIAQNTTLHWHGVRQLRTGWADGPAYVTQCPIRGGQSYTYKFTVTGQRGTLLWHAHYAWQRASVYGAFIIYPRIPYPFSHPIQAEIPIIFGEWWNGDPDEVENRMMLTGAGPDSSNAYTINGLPGPLYPCSNQDTYIQTVEYGKTYMLRIINAALADELFFAIAKHTLTVVEVDAVYTKPFATTSIMIAPGQTTTVLMTANQVPDFTGMFVMAARPYLTSVFPFNNSTTIGFLRYKNARTWKGKSPVDPSSLKLHNLPAMEDTAFATKFSDKIKSLASPQYPCNVPKTIDKRVITTISLNIQDCPENKTCSGYKGKSFFASMNNQSFVRPSISILESYYKNLTTGSFSSDFPEKPPNNFDYTGGDPLTQNMNTKFGTKLIVVPYGTNVEIVLQDTSFVNLENHPIHVHGHNFFIVGSGFGNFNEARDPKRYNLVDPPERNTVAVPSGGWAAIRIKADNPGVWFIHCHLEQHTSWGLATGFIVQNGQGPSQSMLPPPQDLPSC >Potri.015G040400.1.v4.1 pep chromosome:Pop_tri_v4:15:3653654:3656686:1 gene:Potri.015G040400.v4.1 transcript:Potri.015G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040400.v4.1 MEGVRKHYGILLASLAIIAAALPCCSSQTTRRFQFNVEWKQVTRLCTTKQLLMVNGQYPGPTIAVHEGDNVEINVKNQIAQNTTLHWHGVRQLRTGWADGPAYVTQCPIRGGQSYTYKFTVTGQRGTLLWHAHYAWQRASVYGAFIIYPRIPYPFSHPIQAEIPIIFGEWWNGDPDEVENRMMLTGAGPDSSNAYTINGLPGPLYPCSNQDTYIQTVEYGKTYMLRIINAALADELFFAIAKHTLTVVEVDAVYTKPFATTSIMIAPGQTTTVLMTANQVPDFTGMFVMAARPYLTSVFPFNNSTTIGFLRYKNARTWKGKSPVDPSSLKLHNLPAMEDTAFATKFSDKIKSLASPQYPCNVPKTIDKRVITTISLNIQDCPENKTCSGYKGKSFFASMNNQSFVRPSISILESYYKNLTTGSFSSDFPEKPPNNFDYTGGDPLTQNMNTKFGTKLIVVPYGTNVEIVLQDTSFVNLENHPIHVHGHNFFIVGSGFGNFNEARDPKRYNLVDPPERNTVAVPSGGWAAIRIKADNPGVWFIHCHLEQHTSWGLATGFIVQNGQGPSQSMLPPPQDLPSC >Potri.002G251300.1.v4.1 pep chromosome:Pop_tri_v4:2:24083722:24085147:-1 gene:Potri.002G251300.v4.1 transcript:Potri.002G251300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G251300.v4.1 MKCFYVFKDKSRNQKGQANSAPELREQSKSNSSAMSRAAKSPPPPRSMPELYKEKEHNLRVFSFQELREAANGFLTGCLRSEKVAFGVFSRKQPD >Potri.004G088700.1.v4.1 pep chromosome:Pop_tri_v4:4:7493407:7505984:-1 gene:Potri.004G088700.v4.1 transcript:Potri.004G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088700.v4.1 MGFQNDMPFLPEKEAFADDWAETVNKVVPAVVVLQTTTCRAFDTELPSSGSATGFVVDKQRGIILTNRHVVNPGPVNAQAIFVSNEETPLRPIYRDPVHDFGFFSYDPGAIQFLNYEEIPLAPEAASVGLEIRVIGNDSSEKVSILSGILARLDRNAPAYEKDGYNDFNTFYLQAASGTKPGSSGSPVIDKQGRAVALNAGSSSSSSSAFYLPLERVVRALRLLQKCKDARANKWETVSIPRGTLQVTFCHKGFDETRRLGLRSETEQMVRNASLLGETGMLVVDSVVPGGPAYGQLEPGDMLVSVDGELTTRFLKLETLLDDNVDQKIGLQIERGGISLTVNLTVQDLHTITPNHFLEVSGAVIHPLSYQQARNFSIQCGLVYVAEPGYMLQRSGVPCHAIIKKFSGVEISQLEELISVFSKLSRGARVPLEYIRHNDRHRAKSVIVCIDRHEWYDAPKIYTRDDSSGLWIARPAIQPKFLPLSSCSSDAEQHPKIQSFSLSGESTLAKHMHQSNKQELTNGVARIEDSNGHISKEAHSGEEYDAKTKECQEQGHFSSKEIVAANCSSREIGEIKLKDPSTTEKTVLNGIETATSTASFAESLIEPALVTLEVDVPPSCLLDGVKSVASCGTGVIVHHSQCMGLVAIDKNTVEISACDVILSFAAFPIQIPGEVVFVHPVYNFALVGYDPSALGADGASMVHAAELLPEPALCRGDRVYLVGLSKNLRAQSRKSTVTNPCLALYVHQVDRPRYGATNMEVIELDSGFGSEFTGVLCDERGKVRAIWGSFSNQDYQFVRGIPIYMISQIVDSIVCGGNGPSLLINGVKRGMPLIRTLEVSLCPMLLSEARNFGLSNDWIQALDEKDPVRRQVLCVEGSYAGSKAENVLKQSDMLLAVNKESITCFRDIENACQALEECGGSDGKLKITVFRQGCEVDLLVGTDVRDGNGTTRAISWCGCLVQDSHPAVRTLGFLPDEGYGVFVTKWSLGSPADRYCLSALKWIVQVNGKPTSDLDAFANVVKELGPDECVRVKTVDLDGKPQVQTLKQDLHYWPTWELRFDPDTAMWRKNTINALDCSNG >Potri.012G145300.4.v4.1 pep chromosome:Pop_tri_v4:12:15558594:15563691:-1 gene:Potri.012G145300.v4.1 transcript:Potri.012G145300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145300.v4.1 MEGSLSLFSASSLTNCSILLPTASASASASLSLSHPHFSYDYPLKFPHLSQRASPNHALSFPFSPSSSSSSSTTTTTCQRSRSRSRHANFISKCSTRSSRKAELEFESSSSQPVDDGGDLDCVGTGLDSECLVSEPNLDAPLIQLSSTEKGETATESLIETITETAVLVSPFFFWGTSMVAMKEVLPLTGPFFVSSFRLIPAGLLLVAFAGFKGRPLPSGLTAWLTITLFALVDASCFQRTSAGLGSVIIDSQPLTVAILANLLFGESIGIVGASGLVLGVIGLLLLEVPTLTFDESNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPVMATGWHMVIGGLPLLAISVLNHDPAFNLSLKDLTASDILALLYTSIFGSAISYGVYFYSATKGSLTKLSSLTFLTPMFASIFGFLYLGETFSPLQLAGAIVTVVAIYMVNYRNSNE >Potri.012G145300.1.v4.1 pep chromosome:Pop_tri_v4:12:15558487:15563742:-1 gene:Potri.012G145300.v4.1 transcript:Potri.012G145300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145300.v4.1 MEGSLSLFSASSLTNCSILLPTASASASASLSLSHPHFSYDYPLKFPHLSQRASPNHALSFPFSPSSSSSSSTTTTTCQRSRSRSRHANFISKCSTRSSRKAELEFESSSSQPVDDGGDLDCVGTGLDSECLVSEPNLDAPLIQLSSTEKGETATESLIETITETAVLVSPFFFWGTSMVAMKEVLPLTGPFFVSSFRLIPAGLLLVAFAGFKGRPLPSGLTAWLTITLFALVDASCFQGFLAQGLQRTSAGLGSVIIDSQPLTVAILANLLFGESIGIVGASGLVLGVIGLLLLEVPTLTFDESNFSLWGSGEWWMLLAAQSMAVGTVMVRWVSKYSDPVMATGWHMVIGGLPLLAISVLNHDPAFNLSLKDLTASDILALLYTSIFGSAISYGVYFYSATKGSLTKLSSLTFLTPMFASIFGFLYLGETFSPLQLAGAIVTVVAIYMVNYRNSNE >Potri.002G043300.2.v4.1 pep chromosome:Pop_tri_v4:2:2837466:2838455:1 gene:Potri.002G043300.v4.1 transcript:Potri.002G043300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043300.v4.1 MAVDAWRRRNDTVTTTLSLAGPPAPPTPAVENEPQRHFRGVRKRPWGRFAAEIRDPWKKTRRWLGTFDTAEEAALAYDEAARSLRGPKARTNFFHRDHLAPLPFLQTTVVGAGGLKIWCSPVFLSDEVSRMAAPTAVAPVRSEYQGYKIESVDENVGLVLNEEKQRPLLFDLNLPAPLF >Potri.018G027100.2.v4.1 pep chromosome:Pop_tri_v4:18:2018512:2021531:1 gene:Potri.018G027100.v4.1 transcript:Potri.018G027100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027100.v4.1 MPRPGPRPYECVRRAWHSDRHQPIRGSLIQEIFRLVNEAHCPATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKTLWDRANDAINTIIRRDESLETGELLQPCIEAALNLGCTPRRASRSQRNCNLRFYLSPSTQESNTLSPAAVHNAIRANHISNSHCLRDYSNLVKPTIMNSAPSGSESQDLVGQGNDTSNRFLFRSDNIPPSNVNRCLPLENYRIPSLCSVYPLYYGSCLEPQRGCGALPKTFPGTIEPVKVVAVQNFFPCNEDTPVITSQVGHKDCLQPQEIECDLSLRLGSILAPVPRAKTKQIKDAKDGGHDCSQEGGKFDDWMPQMDKELSFFPKVDVVDPQVSHSSKSREHIIVDVTMKKRKLVFDHHVEDQQFLWQPKLPCNKLTGRMKSVGP >Potri.018G027100.4.v4.1 pep chromosome:Pop_tri_v4:18:2018688:2021649:1 gene:Potri.018G027100.v4.1 transcript:Potri.018G027100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027100.v4.1 MPRPGPRPYECVRRAWHSDRHQPIRGSLIQEIFRLVNEAHCPATKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMDLKTLWDRANDAINTIIRRDESLETGELLQPCIEAALNLGCTPRRASRSQRNCNLRFYLSPSTQESNTLSPAAVHNAIRANHISNSHCLRDYSNLVKPTIMNSAPSGSESQDLVGQGNDTSNRFLFRSDNIPPSNVNRCLPLENYRIPSLCSVYPLYYGSCLEPQRGCGALPKTFPGTIEPVKVVAVQNFFPCNEDTPVITSQVGHKDCLQPQEIECDLSLRLGSILAPVPRAKTKQIKDAKDGGHDCSQEGGKFDDWMPQMDKELSFFPKVDVVDPQVSHSSKSREHIIVDVTMKKRKLVFDHHVEDQQFLWQPKLPCNKLTGRMKSVGP >Potri.005G126000.7.v4.1 pep chromosome:Pop_tri_v4:5:9401535:9407482:-1 gene:Potri.005G126000.v4.1 transcript:Potri.005G126000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126000.v4.1 MGTREESTPAKPSKPASSNQEIPATPSYPDWSNSVQAYYGAGATPPPFFASTVASPTPHPYIWGSQHPLIPPYGTPVPYPALYPAGGVYAHPNMAPTPNSAPANTELEGKVPDGKDHASAKKAKGTSGGKAGESGKAISDSGNDGASQSAESGTDGSSDSSDENTNQQENAANKKGSFNQMLADANAQSNSAGANIQTSVPGKPVVSMPATNLNIGMDLWNASPDASGTTKMRPNPSGASSGIVPAGMPEQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQVRVENLSNDNCNLRDELQSLSEECNKLKSENDFIKEELTRLYGPEAVANLEQTNPVSDPKSHGGEGDS >Potri.005G126000.4.v4.1 pep chromosome:Pop_tri_v4:5:9401536:9407366:-1 gene:Potri.005G126000.v4.1 transcript:Potri.005G126000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126000.v4.1 MGTREESTPAKPSKPASSNQEIPATPSYPDWSNSVQAYYGAGATPPPFFASTVASPTPHPYIWGSQHPLIPPYGTPVPYPALYPAGGVYAHPNMAPTPNSAPANTELEGKVPDGKDHASAKKAKGTSGGKAGESGKAISDSGNDGASQSAESGTDGSSDSSDENTNQQENAANKKGSFNQMLADANAQSNSAGANIQTSVPGKPVVSMPATNLNIGMDLWNASPDASGTTKMRPNPSGASSGIVPAGMPEQWIQDERELKRQKRKQSNRESARRSRLRKQAECEELQVRVENLSNDNCNLRDELQSLSEECNKLKSENDFIKEELTRLYGPEAVANLEQTNPVSDPKSHGGEGDS >Potri.016G105500.1.v4.1 pep chromosome:Pop_tri_v4:16:10882995:10887530:1 gene:Potri.016G105500.v4.1 transcript:Potri.016G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105500.v4.1 MKALKMSSDVKSSRACSEPSSERGFGLDLKKSSRWQKHSRIVKDTALPTQARQSLKHQDKLKAEYYDSQPCGDVPHELRLHANDRISVQPKTSLKHHQLHSDKIKARKDDELVKYMSDLPGYLQRMQRSESIQDKALNVGVLDWSRLKKWRIAASDSSGASLTSSNLPSKMAMNSATSPNAVHNNILNYRSKKHPSLSSSLNPSHNDRVSQPAKPSVQNALRFKDFETASKSSVDGKKKVPRTNRTFSRNNSDVILEQAKRGYVDQKITSKVGSWSSNSRYNTISIRSKVNAIACDSAAEKRAGERQGPDKKRKSLDQKITSSIGDSSSQLRSHYDSLSLKEKNVAGGKTKKGIELQESAGIEELQESTIDLSPQHQPSENKNIVLDPKNYSTNCSLQELRTPVDKDIVLLVPKNYSTNCSLQELRTLVDKDFTEINRKSLSDDFSHEEVHSSEIPHSCPLLSRNKTNTEPHKVLHTAMVTQSAEMSSDASRTSACSYKMPIRLSEDKFAEESRVRTANGSVVETSNALDQEKVELMPRKVRHPLPNRWFSFSLSRMSRSFSFKESSAVPQFSSTYISINSGPLISEGSACLNNSNRKKAGGHNRARSSPLRRMLDPLLKSWSSRILQSAETGSSNESLNFFNLKQFDAKELLQDGKHEPSRTKALLQLTIRNGVPLFRFVIENNSNILEASINRLSSSQENGSGCDYTFYAIDEIKKQSGSWINRGSKEKSCGYVYNLIGHMKVNCSSIFDLTGTDSICQIKVKESVLFGVDQSQADQAMPKFMANRELAAVVVKMPGENSSLDLQQTDQNENLMHKGSSQYLPESQCSGNLGETEHSSSATVILPGGNHSMPNEGVPSPLIHRWRSGGSCDCGGWDVGCKLRILTNRSHCSKITRTSKSCLMSDCFELFTQGAIQQDHPIFSLAQVEKGMYSTEFSSSISSLQAFFICVDVISCRKSYDQDGGNASGKEFHQEISNSSNGSKKIHTISPGQTNVKYTLSPPVSPFERM >Potri.016G105500.2.v4.1 pep chromosome:Pop_tri_v4:16:10882470:10887572:1 gene:Potri.016G105500.v4.1 transcript:Potri.016G105500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105500.v4.1 MKALKMSSDVKSSRACSEPSSERGFGLDLKKSSRWQKHSRIVKDTALPTQARQSLKHQDKLKAEYYDSQPCGDVPHELRLHANDRISVQPKTSLKHHQLHSDKIKARKDDELVKYMSDLPGYLQRMQRSESIQDKALNVGVLDWSRLKKWRIAASDSSGASLTSSNLPSKMAMNSATSPNAVHNNILNYRSKKHPSLSSSLNPSHNDRVSQPAKPSVQNALRFKDFETASKSSVDGKKKVPRTNRTFSRNNSDVILEQAKRGYVDQKITSKVGSWSSNSRYNTISIRSKVNAIACDSAAEKRAGERQGPDKKRKSLDQKITSSIGDSSSQLRSHYDSLSLKEKNVAGGKTKKGIELQESAGIEELQESTIDLSPQHQPSENKNIVLDPKNYSTNCSLQELRTPVDKDIVLLVPKNYSTNCSLQELRTLVDKDFTEINRKSLSDDFSHEEVHSSEIPHSCPLLSRNKTNTEPHKVLHTAMVTQSAEMSSDASRTSACSYKMPIRLSEDKFAEESRVRTANGSVVETSNALDQEKVELMPRKVRHPLPNRWFSFSLSRMSRSFSFKESSAVPQFSSTYISINSGPLISEGSACLNNSNRKKAGGHNRARSSPLRRMLDPLLKSWSSRILQSAETGSSNESLNFFNLKQFDAKELLQDGKHEPSRTKALLQLTIRNGVPLFRFVIENNSNILEASINRLSSSQENGSGCDYTFYAIDEIKKQSGSWINRGSKEKSCGYVYNLIGHMKVNCSSIFDLTGTDSICQIKVKESVLFGVDQSQADQAMPKFMANRELAAVVVKMPGENSSLDLQQTDQNENLMHKGSSQYLPESQCSGNLGETEHSSSATVILPGGNHSMPNEGVPSPLIHRWRSGGSCDCGGWDVGCKLRILTNRSHCSKITRTSKSCLMSDCFELFTQGAIQQDHPIFSLAQVEKGMYSTEFSSSISSLQAFFICVDVISCRKSYDQDGGNASGKEFHQEISNSSNGSKKIHTISPGQTNVKYTLSPPVSPFERM >Potri.001G390500.4.v4.1 pep chromosome:Pop_tri_v4:1:41294289:41298887:1 gene:Potri.001G390500.v4.1 transcript:Potri.001G390500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390500.v4.1 MPPTSKARIIAEYAKSSRSSCKTCSKAISAKALRLGLVSRDSRGFDMTKWHHLGCFSDKIDSTEHIGGFDSLQSCDQEALKKLAEQVSNGDQDVEISRKSSKRTDEDAEESELEKINTKKTKLSIANEEASLDIAFSLSDVRDNYKDATLLPKWKAFQTIIFLERDDGLRDSNKIAAFDFDGCLAKTSVKRVGADAWSIMFPSIPDKLLSLYNDGFKLVIFTNESNIDRWKNKRQVAVDSKIGRLNNFIKHVKVPIQVFIACGFDGKVEDPFRKPKPGMWQIMEKHFNSGISIDMDQSFYVGDAAGRPNDHSDADIKFAKVIGLKFLVPEDYFGV >Potri.011G058000.1.v4.1 pep chromosome:Pop_tri_v4:11:4752802:4753530:-1 gene:Potri.011G058000.v4.1 transcript:Potri.011G058000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G058000.v4.1 MEIKVDSHHDSLNAGEEKLPLLKGVAMPNEDTNLVQKAISQTFKSTAYLANLLPTGTVLAFQLLSPIFSNQGNCDSVTRSMTAGLVVLCGLSCFLSSFSDSFRDKKGNVCYGLATFRGLWVIDGSATIPPEVAANYRLRFIDFMHALMSILVFAAIALFDQNVVDCFYPSPSTKAQEVLTALPVGIGALCSMLFIVFPTKRHGIGFPLSAN >Potri.006G008066.1.v4.1 pep chromosome:Pop_tri_v4:6:557459:558392:1 gene:Potri.006G008066.v4.1 transcript:Potri.006G008066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008066.v4.1 MATTLKSLSFFVILLMVNSLFFTGTTEARPFNIMKSGNSAASRGTESFFDGLSLGGIKEGPSPGAGHEFTNSGTLGGIKEEGPSPSAGHGFTSSGTLGGIKEGPSPGAGHGFTNSGTLGGIKEGPSPGVGHGFTNSGTLEGIKKEGPSPTNSGTLGGIKEGPSPGAGHGFTNSETLGGIKEGPSPGVGHEFTNSGTLGGIKEGSSPV >Potri.010G141900.1.v4.1 pep chromosome:Pop_tri_v4:10:15485173:15487440:-1 gene:Potri.010G141900.v4.1 transcript:Potri.010G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141900.v4.1 MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAFDKERFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYSLGLNNFTTGKGKVNLVDSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >Potri.009G118300.1.v4.1 pep chromosome:Pop_tri_v4:9:9940806:9943031:1 gene:Potri.009G118300.v4.1 transcript:Potri.009G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118300.v4.1 MAAKSKILFIGGTGYIGKFIVEASAKAGHPTFALVRKSSLSSPAKSNVINNFKNLGVNFLTGDLFDHESLVKAIKQVDVVISAVGHSQLGNQDRIITAIKEAGNVKRFFPSEFGNDVDRVHAVEPVKSAYAHKVKLRRVLEAGGIPYTIVSNNFFAGYFLPTLNQIGVTAAPRDKVVIWGDGNPKAVFNVENDIGTYTIRAVDDPRALNKILYIRPPANTISFNDLVSLWERKIGKTLEKIYIPEEQLLKNIQEAPFPDSVELALFHCVFVKGDHTNFKIEPSFGVEASELYPDVKYTTVDEYLDQFV >Potri.002G157200.1.v4.1 pep chromosome:Pop_tri_v4:2:11979814:11989710:-1 gene:Potri.002G157200.v4.1 transcript:Potri.002G157200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157200.v4.1 MEFACNIQQTNAFYSRQGTSCRVSNRLYSRFRHKSYGYNAGDLKIVSRERPSKTLKKSVLYGSGSGMRSHLLVGGYASNPLFCNFIDGFEGLRSVKLRCQGNDSLTYIDGNGRNVEIGKGNDKNLRAGSNGGLGEEDGRGEKVMETEMAAEALSLDELRELLQKAMRELEVARLNSTMFEEKAQSISETAIALQDEASSAWNDVNSTLDMIQDIVNKEGVAKEAFQKATMALSLAEARLKVAVESIKSTKEGVDSLEGSGESDVQNDNKEDYETILAAQNDIRECQANLANCEAELRRLQSIKEELQKEVDVLNEKAEKAQMNALKAEEDVANIMLLAEQAVAFELEATQRVSDAEIALQKAEKSLSSSHVDIQQTARGYVSGDEAVVEEEKMRGGSLSDVEKETDMTVNGDVLVGEPSIDRLSDKTSPSSEELYLSDYSSDHENGKSSLHSIKDTEAEAEKSKGGIQTKKQELQKDLTRESSSSPLSAPKALLKKSSRFFSASFFSFSGDETELTAASVFQGLMEPARKQLPKFLLGLLLFGAGFAFYSNRVEKSTQMLQKPEVVTTSIEEVSSNAKPLIQHIQKLPKRVKKLIAMLPHQEMNEEEASLFDVLWLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVLGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVIGLVTHFVSRLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPAIMGSLGLLIGGKTVLVALVGRFFGISIISAIRIGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVSSDRVAAGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINAFRSRHLSELTELCETSGSSLGYGFSRVMTKPKSQSLDSSDENQFSEGTLAI >Potri.002G157200.5.v4.1 pep chromosome:Pop_tri_v4:2:11979847:11989710:-1 gene:Potri.002G157200.v4.1 transcript:Potri.002G157200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157200.v4.1 MEFACNIQQTNAFYSRQGTSCRVSNRLYSRFRHKSYGYNAGDLKIVSRERPSKTLKKSVLYGSGSGMRSHLLVGGYASNPLFCNFIDGFEGLRSVKLRCQGNDSLTYIDGNGRNVEIGKGNDKNLRAGSNGGLGEEDGRGEKVMETEMAAEALSLDELRELLQKAMRELEVARLNSTMFEEKAQSISETAIALQDEASSAWNDVNSTLDMIQDIVNKEGVAKEAFQKATMALSLAEARLKVAVESIKSTKEGVDSLEGSGESDVQNDNKEDYETILAAQNDIRECQANLANCEAELRRLQSIKEELQKEVDVLNEKAEKAQMNALKAEEDVANIMLLAEQAVAFELEATQRVSDAEIALQKAEKSLSSSHVDIQQTARGYVSGDEAVVEEEKMRGGSLSDVEKETDMTVNGDVLVGEPSIDRLSDKTSPSSEELYLSDYSSDHENGKSSLHSIKDTEAEAEKSKGGIQTKKQELQKDLTRESSSSPLSAPKALLKKSSRFFSASFFSFSGDETELTAASVFQGLMEPARKQLPKFLLGLLLFGAGFAFYSNRVEKSTQMLQKPEVVTTSIEEVSSNAKPLIQHIQKLPKRVKKLIAMLPHQEMNEEEASLFDVLWLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVLGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVIGLVTHFVSRLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPAIMGSLGLLIGGKTVLVALVGRFFGISIISAIRIGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVSSDRVAAGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINAFRSRHLSELTELCETSGSSLGYGFSRVMTKPKSQSLDSSDENQFSEGTLAI >Potri.002G157200.6.v4.1 pep chromosome:Pop_tri_v4:2:11979849:11989276:-1 gene:Potri.002G157200.v4.1 transcript:Potri.002G157200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157200.v4.1 MEFACNIQQTNAFYSRQGTSCRVSNRLYSRFRHKSYGYNAGDLKIVSRERPSKTLKKSVLYGSGSGMRSHLLVGGYASNPLFCNFIDGFEGLRSVKLRCQGNDSLTYIDGNGRNVEIGKGNDKNLRAGSNGGLGEEDGRGEKVMETEMAAEALSLDELRELLQKAMRELEVARLNSTMFEEKAQSISETAIALQDEASSAWNDVNSTLDMIQDIVNKEGVAKEAFQKATMALSLAEARLKVAVESIKSTKEGVDSLEGSGESDVQNDNKEDYETILAAQNDIRECQANLANCEAELRRLQSIKEELQKEVDVLNEKAEKAQMNALKAEEDVANIMLLAEQAVAFELEATQRVSDAEIALQKAEKSLSSSHVDIQQTARGYVSGDEAVVEEEKMRGGSLSDVEKETDMTVNGDVLVGEPSIDRLSDKTSPSSEELYLSDYSSDHENGKSSLHSIKDTEAEAEKSKGGIQTKKQELQKDLTRESSSSPLSAPKALLKKSSRFFSASFFSFSGDETELTAASVFQGLMEPARKQLPKFLLGLLLFGAGFAFYSNRVEKSTQMLQKPEVVTTSIEEVSSNAKPLIQHIQKLPKRVKKLIAMLPHQEMNEEEASLFDVLWLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVLGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVIGLVTHFVSRLPGPAAIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGLAAVKAAVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPAIMGSLGLLIGGKTVLVALVGRFFGISIISAIRIGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMALTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVSSDRVAAGRALDLPVYFGDAGSREVLHKVGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGATAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINAFRSRHLSELTELCETSGSSLGYGFSRVMTKPKSQSLDSSDENQFSEGTLAI >Potri.015G023400.1.v4.1 pep chromosome:Pop_tri_v4:15:1738686:1741744:1 gene:Potri.015G023400.v4.1 transcript:Potri.015G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023400.v4.1 MSRFNRRVQIPDLQLPKYDDAEEEGDYSDNNGVARLTTTGSNVSDDQEPVMGVKVLRQASRHRDIKGDYLDVPSHSYLMKIFQKQGDKQVLFADKVLKFTASGKMKHRILLITDFALYIIDPETNALKRRIALAAVEKTCLSDLSDNFLAIIIPKEYDLLMASTRKTEIVAVLVEATKSASDFELEVVFSNSFEYNADAELVKEIQFEEVEGGVKTRITRK >Potri.005G125400.1.v4.1 pep chromosome:Pop_tri_v4:5:9338143:9339803:-1 gene:Potri.005G125400.v4.1 transcript:Potri.005G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125400.v4.1 MASTLRHFSTNITTTQKISISISKAKSKLRTEHDPDKALAIYSAASNNDSSPAASRYAQDLTVRRLATSHRFTDIESLIESRKTDPKIKQEPFLSSLIRSYGVAGMFDQAMKTYHQMDQLGTPRSSISFNALLSACVQSRLYNKVPGLFDEISEKYRVLPDKVSYGILVKSYCEDGKPDKANEVLREMEKKGVEVTTVVYTTLLNCLYSKGKNEEAERFWHAMVKRGCELDAAVYNVKISNAMKQGPERVKELIEDMENSALKPDTISYNYLITSYCMTGMMEDAKKVYEGLEGYGCKANAATFRTLVFHLCKNGEYEKGYRLFKESVRVHRIPDFNTLKYLAEGLAEKKKMKEAKGLIRTMKKKFPPNLLNAWKKVEESLGLHSTEEDKEVKEATA >Potri.014G016600.6.v4.1 pep chromosome:Pop_tri_v4:14:1002182:1008369:1 gene:Potri.014G016600.v4.1 transcript:Potri.014G016600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016600.v4.1 MENYLNENFGDVKAKNSSDEALQRWRKLCWLVKNRKRRFRFTANLSKRFEAEAIRRSNQEKLRVAVLVSKAALQFIHCLNLSSDYVVPKEVEEAGFQICADELGSIVEGHDVKKLKIHGEVEGIAEKLSTSINDGISTSEDLVNGRKEIYGINKFTESPPRGFLVFVWEALQDMTLMILGVCALVSLIVGIAMEGWPKGSHDGLGIVASILLVVFVTATSDYKQSLQFKDLDREKKKITVQVTRNAVRQKISIYDLLPGDIVHLFIGDQVPADGLFVSGFSVLINESSLTGESEPVNVNAANPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLCNRKLREGTHWIWSGDDAREMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSSTTICSDKTGTLTTNHMTVVKACVSGETREVGSSESTTSFGSAIPDLAKSVLLESIFNNTGGEVVVNEERKVQILGTPTETALLEFGLLLGGDSRQKQEKSKIVKVEPFNSTKKRMGVVIELPNGGFRAHCKGASEIVLAACDKVIDSNGVVVPLDEASINHLNDTIERFASESLRTLCLAYLEIGNEYSDESPIPSKGYTCIAIVGIKDPVRPGVKESVAICRSAGIVVRMVTGDNLTTAKAIARECGILTDDGIAIEGPAFREKSEEELQELIPKIQVMARSSPLDKHALVRHLRTTFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFVVIWYLQTRGKAVFRIDGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVFKGILKNYVFVSVLACTAFFQIIIVEFLGTFANTSPLSWQQWFVSVFFGFLGMPIAAALKMIPVVSN >Potri.014G016600.7.v4.1 pep chromosome:Pop_tri_v4:14:1002146:1008170:1 gene:Potri.014G016600.v4.1 transcript:Potri.014G016600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016600.v4.1 MENYLNENFGDVKAKNSSDEALQRWRKLCWLVKNRKRRFRFTANLSKRFEAEAIRRSNQEKLRVAVLVSKAALQFIHCLNLSSDYVVPKEVEEAGFQICADELGSIVEGHDVKKLKIHGEVEGIAEKLSTSINDGISTSEDLVNGRKEIYGINKFTESPPRGFLVFVWEALQDMTLMILGVCALVSLIVGIAMEGWPKGSHDGLGIVASILLVVFVTATSDYKQSLQFKDLDREKKKITVQVTRNAVRQKISIYDLLPGDIVHLFIGDQVPADGLFVSGFSVLINESSLTGESEPVNVNAANPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLCNRKLREGTHWIWSGDDAREMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSSTTICSDKTGTLTTNHMTVVKACVSGETREVGSSESTTSFGSAIPDLAKSVLLESIFNNTGGEVVVNEERKVQILGTPTETALLEFGLLLGGDSRQKQEKSKIVKVEPFNSTKKRMGVVIELPNGGFRAHCKGASEIVLAACDKVIDSNGVVVPLDEASINHLNDTIERFASESLRTLCLAYLEIGNEYSDESPIPSKGYTCIAIVGIKDPVRPGVKESVAICRSAGIVVRMVTGDNLTTAKAIARECGILTDDGIAIEGPAFREKSEEELQELIPKIQVMARSSPLDKHALVRHLRTTFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFVVIWYLQTRGKAVFRIDGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVFKGILKNYVFVSVLACTAFFQIIIVEFLGTFANTSPLSWQQWFVSVFFGFLGMPIAAALKMIPVVSN >Potri.014G016600.5.v4.1 pep chromosome:Pop_tri_v4:14:1002153:1008427:1 gene:Potri.014G016600.v4.1 transcript:Potri.014G016600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016600.v4.1 MENYLNENFGDVKAKNSSDEALQRWRKLCWLVKNRKRRFRFTANLSKRFEAEAIRRSNQEKLRVAVLVSKAALQFIHCLNLSSDYVVPKEVEEAGFQICADELGSIVEGHDVKKLKIHGEVEGIAEKLSTSINDGISTSEDLVNGRKEIYGINKFTESPPRGFLVFVWEALQDMTLMILGVCALVSLIVGIAMEGWPKGSHDGLGIVASILLVVFVTATSDYKQSLQFKDLDREKKKITVQVTRNAVRQKISIYDLLPGDIVHLFIGDQVPADGLFVSGFSVLINESSLTGESEPVNVNAANPFLLSGTKVQDGSCKMLVTTVGMRTQWGKLMATLSEGGDDETPLQVKLNGVATIIGKIGLFFAVVTFAVLVQGLCNRKLREGTHWIWSGDDAREMLEFFAVAVTIVVVAVPEGLPLAVTLSLAFAMKKMMNDKALVRNLAACETMGSSTTICSDKTGTLTTNHMTVVKACVSGETREVGSSESTTSFGSAIPDLAKSVLLESIFNNTGGEVVVNEERKVQILGTPTETALLEFGLLLGGDSRQKQEKSKIVKVEPFNSTKKRMGVVIELPNGGFRAHCKGASEIVLAACDKVIDSNGVVVPLDEASINHLNDTIERFASESLRTLCLAYLEIGNEYSDESPIPSKGYTCIAIVGIKDPVRPGVKESVAICRSAGIVVRMVTGDNLTTAKAIARECGILTDDGIAIEGPAFREKSEEELQELIPKIQVMARSSPLDKHALVRHLRTTFQEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKESADVIILDDNFSTIVTVAKWGRSVYINIQKFVQFQLTVNVVALIVNFSSACLTGNAPLTAVQLLWVNMIMDTLGALALATEPPNDDLMKRSPVGRKGNFISNVMWRNILGQSLYQFVVIWYLQTRGKAVFRIDGPDSDLILNTLIFNSFVFCQVFNEISSREMEKINVFKGILKNYVFVSVLACTAFFQIIIVEFLGTFANTSPLSWQQWFVSVFFGFLGMPIAAALKMIPVVSN >Potri.004G076000.1.v4.1 pep chromosome:Pop_tri_v4:4:6333193:6335775:-1 gene:Potri.004G076000.v4.1 transcript:Potri.004G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076000.v4.1 MANCKPQKKVLLLCGDYMEDYEAMVPFQALQAYGIAVDAVCPGKKAGDYCRTTVEDSGAYHGYQTYTEKPGHNFSLNATFDEVDFSKYDALVIPGGRAPEYLAINESVLNCARQFSDSGKLIAAICHGPLILAAAGLLKGRKCTAYHALGPVLIDAGALWIEPKTMMDCVSDGNLITGVIYKAHPEYIQLVVKALGGKIAGSDKRILFLCGDFMEDYEVTVPLQSLQALGCHVDAVCPKKKAGDFCPTAVHDFEGDQTYTEKPGHNFILTASYEGLDASSYDALVIPGGRSPEYLALDETVIALVKKFMQSKKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLGGATWLEPDPIDRCYTDENLVTGAAWPGHPQFVSQLMALLGIRVSF >Potri.001G032100.3.v4.1 pep chromosome:Pop_tri_v4:1:2392553:2396970:1 gene:Potri.001G032100.v4.1 transcript:Potri.001G032100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032100.v4.1 MARIVAVLPIVGMVMAECAQAGRMILGKAAMSNGMSSFVFVLYSNAIACLILLPSSFLFHRSSERPPLTLSIVSGFFLLGLFGCLGQSFCYAGINLSSPTLGTAMLNLVPGLTFILAIIFRMENVDWKSYSTLAKSMGTIVSIGGAFIVTCYKGPLLLKALPSVTKSSHQVLLQQSNWVLGGLLMAVDCATASSWLIVQALILKKYSAKLIVVFFHFFFSTILSSIVSVVMERDPSAWSLNSNIRLIAVLFSGILGNAFEIGVTAWCVHKTGPVFVAIFAPLGIVIAAAASVICFGDALDLGIVIGAAVIAIGFYAVIWGKAQEEIKKVEDKENCGSASSSQKVPFLQNRSNDYA >Potri.001G032100.4.v4.1 pep chromosome:Pop_tri_v4:1:2392576:2396930:1 gene:Potri.001G032100.v4.1 transcript:Potri.001G032100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032100.v4.1 MARIVAVLPIVGMVMAECAQAGRMILGKAAMSNGMSSFVFVLYSNAIACLILLPSSFLFHRSSERPPLTLSIVSGFFLLGLFGCLGQSFCYAGINLSSPTLGTAMLNLVPGLTFILAIIFRMENVDWKSYSTLAKSMGTIVSIGGAFIVTCYKGPLLLKALPSVTKSSHQVLLQQSNWVLGGLLMAVDCATASSWLIVQALILKKYSAKLIVVFFHFFFSTILSSIVSVVMERDPSAWSLNSNIRLIAVLFSGILGNAFEIGVTAWCVHKTGPVFVAIFAPLGIVIAAAASVICFGDALDLGIVIGAAVIAIGFYAVIWGKAQEEIKKVEDKENCGSASSSQKVPFLQNRSNDYA >Potri.001G032100.5.v4.1 pep chromosome:Pop_tri_v4:1:2392576:2396930:1 gene:Potri.001G032100.v4.1 transcript:Potri.001G032100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032100.v4.1 MLNLVPGLTFILAIIFRMENVDWKSYSTLAKSMGTIVSIGGAFIVTCYKGPLLLKALPSVTKSSHQVLLQQSNWVLGGLLMAVDCATASSWLIVQALILKKYSAKLIVVFFHFFFSTILSSIVSVVMERDPSAWSLNSNIRLIAVLFSGILGNAFEIGVTAWCVHKTGPVFVAIFAPLGIVIAAAASVICFGDALDLGIVIGAAVIAIGFYAVIWGKAQEEIKKVEDKENCGSASSSQKVPFLQNRSNDYA >Potri.002G103700.1.v4.1 pep chromosome:Pop_tri_v4:2:7594548:7598355:1 gene:Potri.002G103700.v4.1 transcript:Potri.002G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103700.v4.1 MASSVFSRAASILPSTTIRRRLPLVGAFCMISLGLSNLCPTNLSSSSLKTGSAQSLPFIPLLRSKFGSSQAAATQATNIRMEGNSDTVPSIVVYVTVPNREAGKKLANSIVKEKLAACVNIVPGIESVYQWQGEIQSDAEELLIIKTRQSLLEALTEHVKANHEYEVPEVISLPITGGSIPYLKWLKDSTRD >Potri.014G052900.1.v4.1 pep chromosome:Pop_tri_v4:14:3404029:3404509:-1 gene:Potri.014G052900.v4.1 transcript:Potri.014G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052900.v4.1 MSYYSGCLDSHKPHFLEACFLCRKTLGRNSDIYMYRGNTPFCSKECRQEQIEIDQSTEKKSWKMSSSSSRSVRKSDPKDSTPNKTVRTGTVAVA >Potri.008G136600.1.v4.1 pep chromosome:Pop_tri_v4:8:9182008:9184183:-1 gene:Potri.008G136600.v4.1 transcript:Potri.008G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136600.v4.1 MAFVLPAKGILQSEALKQYIYETSAYPGEHEQLKELREATTKKYGSLSEMSVPVDEGRFLSMLLKLMNAKRTLEVGVFTGYSLLSTALALPEDGQVTAIDKDREAYEIGLPFIQKAGVEEKINFIQSEATPILNEMLSNDKQPEFDFAFVDADKSSYKHYHEQLLKLVKIGGIIAYDNTLWYGLVAKEVDDEAPELLRMVRTVIMEFNKLLSSDPRVEISQISIGDGVTLCRRLC >Potri.001G235400.3.v4.1 pep chromosome:Pop_tri_v4:1:25388058:25391950:-1 gene:Potri.001G235400.v4.1 transcript:Potri.001G235400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235400.v4.1 MPAFSKLYLFAYNSLQAFGWAVSLFAILINFFSTHSLNGAYASAGDLICLLQTVSFLEVIHGALGVVPSGVLFPFMQWGGRTHFVLAIVRQIIEVQELPSVFITFVAWSMAEVIRYSHYALNCVGSCPSWITYLRYTAFIVLYPIGLAPGEMWTMYQALPFVKKKNLYADFFAAFPFSYYDFLRVVLLCYPFLWLNLYRYLLKQRRSKLGRHQETKKKK >Potri.004G124500.1.v4.1 pep chromosome:Pop_tri_v4:4:12067858:12075434:1 gene:Potri.004G124500.v4.1 transcript:Potri.004G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124500.v4.1 MQLHISPSMRSITISSSGNNGFIDSMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVKNEEIPDGVKLPASFNHLVSEMKNNQYDARTFAFMLRAMMEKLEREIRESKFAELMNKHFAASSIPKSIHCLSLRLTDEYSSNAHARTQLPSPEFLPLLSDNSYHHFVLSTDNILAASVVVTSTVQSSLKPDRIVFHIITDKKTYAGMHSWFALNPASPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRDYYHGNHIAGANLSDTTPRRFASKLQARSPKYISLLNHLRIYIPELFPNLDKVVFLDDDVVIQHDLSPLWEIDLQGKVNGAVETCKGEDEWVMSKHLKNYFNFSHPLIAKNLDPDECAWAYGMNIFDLHAWRNTNIRETYHSWMKENLKSNLTMWKLGTLPPSLIAFKGHVHPIDPFWHMLGLGYQNNTNIESVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIRNCHILDSV >Potri.004G124500.3.v4.1 pep chromosome:Pop_tri_v4:4:12067862:12075147:1 gene:Potri.004G124500.v4.1 transcript:Potri.004G124500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124500.v4.1 MSTLYYVLGSGSRISFHDDVSVFVLHTGFLFLLCFFSTDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVKNEEIPDGVKLPASFNHLVSEMKNNQYDARTFAFMLRAMMEKLEREIRESKFAELMNKHFAASSIPKSIHCLSLRLTDEYSSNAHARTQLPSPEFLPLLSDNSYHHFVLSTDNILAASVVVTSTVQSSLKPDRIVFHIITDKKTYAGMHSWFALNPASPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRDYYHGNHIAGANLSDTTPRRFASKLQARSPKYISLLNHLRIYIPELFPNLDKVVFLDDDVVIQHDLSPLWEIDLQGKVNGAVETCKGEDEWVMSKHLKNYFNFSHPLIAKNLDPDECAWAYGMNIFDLHAWRNTNIRETYHSWMKENLKSNLTMWKLGTLPPSLIAFKGHVHPIDPFWHMLGLGYQNNTNIESVKKAAVIHYNGQSKPWLEIGFEHLRPFWTKYVNYSNDFIRNCHILDSV >Potri.004G028400.4.v4.1 pep chromosome:Pop_tri_v4:4:2166441:2168692:1 gene:Potri.004G028400.v4.1 transcript:Potri.004G028400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028400.v4.1 MNPKISDFGMARKFMEDQVQGKTTRVVGTYGYMSPEYAIHGQYSIKSDVFSYGVLTLEIISGRKNSDYGEKEPWLNLIGHVWDLWREEKALDIVDPMLEQACPPHEVLRCVQIGLLCVQEFPDDRPAMLEVVFMLGNEITLPSPKKPAFVLRTRSGQDLPAMSRRAACSVNEVTVTMVEAR >Potri.004G028400.3.v4.1 pep chromosome:Pop_tri_v4:4:2166281:2168692:1 gene:Potri.004G028400.v4.1 transcript:Potri.004G028400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028400.v4.1 MNPKISDFGMARKFMEDQVQGKTTRVVGTYGYMSPEYAIHGQYSIKSDVFSYGVLTLEIISGRKNSDYGEKEPWLNLIGHVWDLWREEKALDIVDPMLEQACPPHEVLRCVQIGLLCVQEFPDDRPAMLEVVFMLGNEITLPSPKKPAFVLRTRSGQDLPAMSRRAACSVNEVTVTMVEAR >Potri.018G068300.1.v4.1 pep chromosome:Pop_tri_v4:18:8250959:8254312:-1 gene:Potri.018G068300.v4.1 transcript:Potri.018G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068300.v4.1 MATVVNSTPLCPSVSLKRPAFIGNSVAGKFLRAKPVFHTCRFPSLEVKATDGSNKSSKGTKPKSIVCADCDGNGAISCTQCKGSGVNSMDHFNGQFKAGGLCWLCRGKREILCGSCNGAGFLGGFMSTFDE >Potri.002G161600.4.v4.1 pep chromosome:Pop_tri_v4:2:12360379:12364109:1 gene:Potri.002G161600.v4.1 transcript:Potri.002G161600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161600.v4.1 MKSPCSPYVRRTPASGVRSTSERIPYSPTSSSYSGSSAVKKRDPLFAVAKSVAGVFGACLMPPEPEPNDSKAFGSSEELKAPSVVSNTSGSSERRQGSNRGIYSSPFNSVREREPGSVNFTMEEIYTATRNFSPTFKIGQGDFGTVYKGRLQDGTAVAIKRAKKSVNDKHLGEEFQSEIRTLAQVEHLHLVKFYGYLEHEDERIVLMEYVPNGTLREHLDCMHGNVIDLAVRVDIAIDVAHAVTYLHISPNYSQGHKVLQHSPHRKLSSQGSRFWFC >Potri.002G161600.5.v4.1 pep chromosome:Pop_tri_v4:2:12360379:12364109:1 gene:Potri.002G161600.v4.1 transcript:Potri.002G161600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161600.v4.1 MKSPCSPYVRRTPASGVRSTSERIPYSPTSSSYSGSSAVKKRDPLFAVAKSVAGVFGACLMPPEPEPNDSKAFGSSEELKAPSVVSNTSGSSERRQGSNRGIYSSPFNSVREREPGSVNFTMEEIYTATRNFSPTFKIGQGDFGTVYKGRLQDGTAVAIKRAKKSVNDKHLGEEFQSEIRTLAQVEHLHLVKFYGYLEHEDERIVLMEYVPNGTLREHLDCMHGNVIDLAVRVDIAIDVAHAVTYLHISPNYSQGHKVLQHSPHRKLSSQGSRFWFC >Potri.002G161600.2.v4.1 pep chromosome:Pop_tri_v4:2:12360379:12364109:1 gene:Potri.002G161600.v4.1 transcript:Potri.002G161600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161600.v4.1 MKSPCSPYVRRTPASGVRSTSERIPYSPTSSSYSGSSAVKKRDPLFAVAKSVAGVFGACLMPPEPEPNDSKAFGSSEELKAPSVVSNTSGSSERRQGSNRGIYSSPFNSVREREPGSVNFTMEEIYTATRNFSPTFKIGQGDFGTVYKGRLQDGTAVAIKRAKKSVNDKHLGEEFQSEIRTLAQVEHLHLVKFYGYLEHEDERIVLMEYVPNGTLREHLDCMHGNVIDLAVRVDIAIDVAHAVTYLHMYTDHPIIHRDIKSSNILLTENFRAKVADFGFARLAADRDSGATHVSTQVKGTAGYLDPEYMRTYQLTEKSDVYSFGVLLVELMTGRRPVEPEREIKERLTAKWAIKKFAEGNAIVILDPKLERTAANNLALEKILELALQCLAPGRQSRPSMRKCAEVLWSIRKDYKEQSTSDFCYLISSKSQGSFSVITEE >Potri.002G161600.3.v4.1 pep chromosome:Pop_tri_v4:2:12360379:12364109:1 gene:Potri.002G161600.v4.1 transcript:Potri.002G161600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161600.v4.1 MKSPCSPYVRRTPASGVRSTSERIPYSPTSSSYSGSSAVKKRDPLFAVAKSVAGVFGACLMPPEPEPNDSKAFGSSEELKAPSVVSNTSGSSERRQGSNRGIYSSPFNSVREREPGSVNFTMEEIYTATRNFSPTFKIGQGDFGTVYKGRLQDGTAVAIKRAKKSVNDKHLGEEFQSEIRTLAQVEHLHLVKFYGYLEHEDERIVLMEYVPNGTLREHLDCMHGNVIDLAVRVDIAIDVAHAVTYLHMYTDHPIIHRDIKSSNILLTENFRAKVADFGFARLAADRDSGATHVSTQVKGTAGYLDPEYMRTYQLTEKSDVYSFGVLLVELMTGRRPVEPEREIKERLTAKWLYSYPTLAISDGSPPPNQ >Potri.018G007201.2.v4.1 pep chromosome:Pop_tri_v4:18:546890:548994:-1 gene:Potri.018G007201.v4.1 transcript:Potri.018G007201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007201.v4.1 MHTRKNHHLQRCCEVNSNTEFDILKMIAILRAFPSLQKLYLETCSSEDMGRGKLEHSEHVHKHLKQVEIGGFYDTSNQIGFAIYLLEHAISLERMVINPVGKYCSALRQSWDEGEREKAYRKLHEPDDPLNVSESLWDSAIKFKLFNVLGCALPFALLCLKFSVLACFLSPC >Potri.018G007201.3.v4.1 pep chromosome:Pop_tri_v4:18:546889:548995:-1 gene:Potri.018G007201.v4.1 transcript:Potri.018G007201.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007201.v4.1 MGRGKLEHSEHVHKHLKQVEIGGFYDTSNQIGFAIYLLEHAISLERMVINPVGKYCSALRQSWDEGEREKAYRKLHEPDDPLNVSESLWDSAIKFKLFNVLGCALPFALLCLKFSVLACFLSPC >Potri.018G007201.1.v4.1 pep chromosome:Pop_tri_v4:18:546889:548995:-1 gene:Potri.018G007201.v4.1 transcript:Potri.018G007201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007201.v4.1 MHTRKNHHLQRCCEVNSNTEFDILKMIAILRAFPSLQKLYLETCSSEDMGRGKLEHSEHVHKHLKQVEIGGFYDTSNQIGFAIYLLEHAISLERMVINPVGKYCSALRQSWDEGEREKAYRKLHEPDDPLNVSESLWDSAIKFKLFNVLGCALPFALLCLKFSVLACFLSPC >Potri.012G014700.1.v4.1 pep chromosome:Pop_tri_v4:12:1745496:1747139:-1 gene:Potri.012G014700.v4.1 transcript:Potri.012G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014700.v4.1 MSGGNKVDGSVSILPEEIITEILTRLPVKSLLRFKLVSKDWYSLITSPEFIPAHLHKYSTQKSSILLRGFRWPEHSSTLSFLHRKDTTTFHSLHIPQSLMYNHTFMRNYFLNPQISPNLSFILIGSSGGLLCIKLCDYHGIDYVLWNPATRKFKYVKHPQQDFQLLMDGFGHNGKMNDYMLVKIGRLFHSPNFDAVDDDQLYEKEERDFVLRALVYSWRTDSWRLVYDCRILADDFCSRGQAVSLKGEFYWHLDGLRDIILAFDTAKHVFRWINFPPWNQSTLVEVRLVSGGIKDSLACCVFPYDGSTSITMDIWVVDESGSGVGNEESWTKFLSIPFLGTLHQVFTWGDKVIVNGKRDGHILIYDPISHEIIYDFLNPYFSSYHLDGYVESLVSVDGPNNLEAEHAPV >Potri.003G188100.1.v4.1 pep chromosome:Pop_tri_v4:3:19259914:19263532:1 gene:Potri.003G188100.v4.1 transcript:Potri.003G188100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188100.v4.1 MMVSYSPSFSYKTESEDGIFINAEYAEELQFQEAPTASLISCQMESNVSSSTPTKTKMEAISEHRTEPSLQVQEKGESSLSSCDVYPGRKETDQIIKNESSGLIFCARCSKAIELSENQWSSSKELSMDMNCGNDTGKSLTADASGSINNPTTMTETEPVSGGAAKIVSLNSSQDEDRKIAESIVAKQDGTPQSLKAADTGKQVEEQGGQDEINEEKCESSLSTCDICPERKGKSESSLSIAAKQEGTPQSPNTETQEDKGVDQNSDIPLDINSESLLSGGNGGPRPTSSHIKNVASQLVDCPPVGHTNNGEGGIDSDSTPRKGSETGRKERVADDIRNNVTAALDYHGDAYALDDNNKSILFKELAPEDVNETTEQFYCPACAEVSDTIKWYQGLQALISHSKTTEEMAKLHRKIAQLSEKKFGRKGTSDGPAGEVSSKWKGIRDEKKDREIVWPPMVVVRNTASHQEDENNKRIGITDQELLDLFRSYDAIENVQQAYNSLGHCGMSILIFESSARGYLEAERLDRHFADQGTGRNVWNRSPLYLLPSGELQLHGYMAEEKDVDLFNQYSTGESKLKYEIRLHQDMVCRQMREDNNQLIWLKKRVAEELRRAETLEESNGIMRDRNAKLVEQLKGIRRERMEKAKKETDVLRTKIKLLHERNMEEINNSLGSMKLC >Potri.001G140300.1.v4.1 pep chromosome:Pop_tri_v4:1:11465213:11468808:-1 gene:Potri.001G140300.v4.1 transcript:Potri.001G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140300.v4.1 MGKKKHKEPDTSTAQNHTASALSDVFQTLFSGADQTASTSSLFSDSNPFKRKPEDPKSNENPSTDVDTQKPNFYETTEKLKKVKTENPNLGFEPKEEETLRRRKKRKRDDLEREYEAKKYGPVVDNEENASVVVGAKRKNTDDAADVLVSKESEGFDDESKLLRTVFVGNLPLKVKKKTLIKEFSKFGDVESVRIRSVPIAESKIPRKGAILLKKFNDNVDSVHAYVVFKNEQSAEASLSHNMAVVGGNHIRVDRACPPRKKLKGSDAPLYDNKRTVFVGNLPFDVKDEELYQLFTGIKDLASSIEAVRVIRDPHVGLGKGIAYVLFKTREAANLVIKKRNLKLRDRELRLSHARQDSTPSKRKNSFAEETANSPNKRLAPDSRAPYHNNRPDRKAAKSYQGLRASKSGVEKKVHAPAHSKRDVAPKMKSKPREEKHQGKRPAVAKRKAKATALKDGGALEPARQKRKLDSRTPDSSNRKKKARKFR >Potri.005G108666.1.v4.1 pep chromosome:Pop_tri_v4:5:7868974:7869294:1 gene:Potri.005G108666.v4.1 transcript:Potri.005G108666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108666.v4.1 MVSYGSAQTSTSGILVEDVLHLATEDGAHENLLKTTSHLVVDRFRLVHFWTLLLPWSIWAGHGEDFCS >Potri.011G078300.12.v4.1 pep chromosome:Pop_tri_v4:11:8422324:8432343:1 gene:Potri.011G078300.v4.1 transcript:Potri.011G078300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078300.v4.1 MPSFKMKTNLNMSSIRETNCLSVCQKSNVISKKSYSHVSVSQQPAEFDKCVQKCQDGCVASSMEIYTQEGDIKNNEAVDLQDLPRDGNSQFLKQLPISVDSGTTGDIESIYNFASNLETIFSPVLEPIEVLSVPNIDDGAGNNNDLYVPGLGPDDSDYNKSSCDYQTCNISDFFISDMIIASLPFGESAVVNDFTDANPFLDYKCAEPSMLFDVAEECMILPFLKDTAKVSDSNDMKSSEEAMIDSDNSGLYLAINQIRSCDQESDLITDSDQAEDFDPQFFIRNLPELSDVVSNFRPSISPKEPCRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHTVYVKQRPHVHTFLERVAEMFEVVIFTASQSIYAAQLLDMLDPDRKLISRRIYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVFT >Potri.011G078300.3.v4.1 pep chromosome:Pop_tri_v4:11:8422319:8432238:1 gene:Potri.011G078300.v4.1 transcript:Potri.011G078300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078300.v4.1 MPSFKMKTNLNMSSIRETNCLSVCQKSNVISKKSYSHVSVSQQPAEFDKCVQKCQDGCVASSMEIYTQEGDIKNNEAVDLQDLPRDGNSQFLKQLPISVDSGTTGDIESIYNFASNLETIFSPVLEPIEVLSVPNIDDGAGNNNDLYVPGLGPDDSDYNKSSCDYQTCNISDFFISDMIIASLPFGESAVVNDFTDANPFLDYKCAEPSMLFDVAEECMILPFLKDTAKVSDSNDMKSSEEAMIDSDNSGLYLAINQIRSCDQESDLITDSDQAEDFDPQFFIRNLPELSDVVSNFRPSISPKEPCRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHTVYVKQRPHVHTFLERVAEMFEVVIFTASQSIYAAQLLDMLDPDRKLISRRIYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFSDSSDCALISLLPFLETLVNADDVRPIIAKRFGNKE >Potri.011G078300.11.v4.1 pep chromosome:Pop_tri_v4:11:8422319:8432241:1 gene:Potri.011G078300.v4.1 transcript:Potri.011G078300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078300.v4.1 MPSFKMKTNLNMSSIRETNCLSVCQKSNVISKKSYSHVSVSQQPAEFDKCVQKCQDGCVASSMEIYTQEGDIKNNEAVDLQDLPRDGNSQFLKQLPISVDSGTTGDIESIYNFASNLETIFSPVLEPIEVLSVPNIDDGAGNNNDLYVPGLGPDDSDYNKSSCDYQTCNISDFFISDMIIASLPFGESAVVNDFTDANPFLDYKCAEPSMLFDVAEECMILPFLKDTAKVSDSNDMKSSEEAMIDSDNSGLYLAINQIRSCDQESDLITDSDQAEDFDPQFFIRNLPELSDVVSNFRPSISPKEPCRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHTVYVKQRPHVHTFLERVAEMFEVVIFTASQSIYAAQLLDMLDPDRKLISRRIYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQQYL >Potri.011G078300.10.v4.1 pep chromosome:Pop_tri_v4:11:8422321:8431167:1 gene:Potri.011G078300.v4.1 transcript:Potri.011G078300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078300.v4.1 MPSFKMKTNLNMSSIRETNCLSVCQKSNVISKKSYSHVSVSQQPAEFDKCVQKCQDGCVASSMEIYTQEGDIKNNEAVDLQDLPRDGNSQFLKQLPISVDSGTTGDIESIYNFASNLETIFSPVLEPIEVLSVPNIDDGAGNNNDLYVPGLGPDDSDYNKSSCDYQTCNISDFFISDMIIASLPFGESAVVNDFTDANPFLDYKCAEPSMLFDVAEECMILPFLKDTAKVSDSNDMKSSEEAMIDSDNSGLYLAINQIRSCDQESDLITDSDQAEDFDPQFFIRNLPELSDVVSNFRPSISPKEPCRRKSITLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHTVYVKQRPHVHTFLERVAEMFEVVIFTASQSIYAAQLLDMLDPDRKLISRRIYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVNCRSRTHQSSYLRSGL >Potri.001G382800.1.v4.1 pep chromosome:Pop_tri_v4:1:40246976:40247896:1 gene:Potri.001G382800.v4.1 transcript:Potri.001G382800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382800.v4.1 MEALWNLEDKWKLTTQEAVLLFVCSAFAVIALCTATMLKRKAQRKPRLVNQDPISAGTSIRWSEPDPGSNDWITIKTVLMESMRWSEASKWEEGGSGSESGSGRRGEMLRPPPLLGLERCDSSIGWQSQNSLSPAVWQRPILMGEKCELPRHSGLILYDERGRLLDHSLTSSRKENIHEEKPAAVLRTSKVDLL >Potri.006G099200.4.v4.1 pep chromosome:Pop_tri_v4:6:7596370:7599491:1 gene:Potri.006G099200.v4.1 transcript:Potri.006G099200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099200.v4.1 METLASSFSKIILHKNGDRPFFSSRELRHEISIFPSKKLVHNVGFLHCLCVKHKPICTIRVSSSPSPESQVDLETRDDQNHETNESKTVRVKFQLQKECSFGEQFTIVGDDPLLGLWDPGSVIPLNWSDEHLWTVELDLPVGKSFQFKFILKGIGGGICWQPGPDRVLQTRETDNTIVVWEDWEDAALQKVTEEEPSANGTEEPSVNPEMLIVTENLTHQKEELVSDASNGGVTMNVSSNPAKKPTPVTYEKRIVADNISPMQEKPVAIVADNIRYSEGASAVNEVLVEKRTKSNKSTVIREDVVRNDDAPTAINSSKSDVGGSVVTHEGDPVLVPDLSAVSVLPNEAANDNEGERSRAFHASVGVDEVENHNFLQVTA >Potri.006G099200.1.v4.1 pep chromosome:Pop_tri_v4:6:7596370:7599551:1 gene:Potri.006G099200.v4.1 transcript:Potri.006G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099200.v4.1 METLASSFSKIILHKNGDRPFFSSRELRHEISIFPSKKLVHNVGFLHCLCVKHKPICTIRVSSSPSPESQVDLETRDDQNHETNESKTVRVKFQLQKECSFGEQFTIVGDDPLLGLWDPGSVIPLNWSDEHLWTVELDLPVGKSFQFKFILKGIGGGICWQPGPDRVLQTRETDNTIVVWEDWEDAALQKVTEEEPSANGTEEPSVNPEMLIVTENLTHQKEELVSDASNGGVTMNVSSNPAKKPTPVTYEKRIVADNISPMQEKPVAIVADNIRYSEGASAVNEVLVEKRTKSNKSTVIREDVVRNDDAPTAINSSKSDVGGSVVTHEGDPVLVPDLSAVSVLPNEAANDNEGERSRAFHASVGVDEVENHNFLQFDEKHEIGDKSLREETVNGFIDGEQHGNEVRHKLQAEEEKRDTDDDNPHRGETVNGLSDEKQHGYELVYKPLAQEEKKQELVRNSIVQNDLHWIRKLLTNLGFL >Potri.002G210732.1.v4.1 pep chromosome:Pop_tri_v4:2:18614869:18615707:1 gene:Potri.002G210732.v4.1 transcript:Potri.002G210732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G210732.v4.1 MAAGPVSPKDHQATPPVVPVPMMMEDVIAKDVILAWFREEFAAANAIIDALCSHLAQLGSGSEYEAVFAAIHRRRLNWIPVLQMQKYHSIADVALKLKRVTETKLESAGNMTGEVSDEKVKIRVVNGHVVEGEEEDDSPDSDITDSGKCVCVFLFIIYST >Potri.002G119200.3.v4.1 pep chromosome:Pop_tri_v4:2:9046182:9049203:-1 gene:Potri.002G119200.v4.1 transcript:Potri.002G119200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119200.v4.1 MNTQAMEAFRDGELWNFSRMFSMEEPDCTPELLGQCSFLQDTDEGLHFTIPSAFFPAPESDASMAEDESLFYSWHTPNPNLHFDSQESSNNSNSSSSVFLPYSSHESYFFNDSNPIQATNNNSMSMDIMDEENIGLFMPLFPEIAMAETACMNGDMSGDKTGDLDDNLKPAANDVLAKGLQLKRKLDVPEPIANTLDDMKKKARVTRNVQKTRKVGQSKKNQKNAPDISHDEEESNAGPDGQSSSSCSSEEDNASQDSDSKVSGVLNSNGKTRATRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVNFLQLQIKLLSSDDLWMYAPLAYNGIDIGLNQKLSMFL >Potri.002G119200.2.v4.1 pep chromosome:Pop_tri_v4:2:9046599:9049198:-1 gene:Potri.002G119200.v4.1 transcript:Potri.002G119200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119200.v4.1 MSQSSPRSGRPLAAFCLFSNRILPLYYIQDDRRQPHVLTFSTSKCKMNTQAMEAFRDGELWNFSRMFSMEEPDCTPELLGQCSFLQDTDEGLHFTIPSAFFPAPESDASMAEDESLFYSWHTPNPNLHFDSQESSNNSNSSSSVFLPYSSHESYFFNDSNPIQATNNNSMSMDIMDEENIGLFMPLFPEIAMAETACMNGDMSGDKTGDLDDNLKPAANDVLAKGLQLKRKLDVPEPIANTLDDMKKKARVTRNVQKTRKVGQSKKNQKNAPDISHDEEESNAGPDGQSSSSCSSEEDNASQDSDSKVSGVLNSNGKTRATRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVNFLQLQIKLLSSDDLWMYAPLAYNGIDIGLNQKLSMFL >Potri.002G119200.4.v4.1 pep chromosome:Pop_tri_v4:2:9046599:9049198:-1 gene:Potri.002G119200.v4.1 transcript:Potri.002G119200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119200.v4.1 MNTQAMEAFRDGELWNFSRMFSMEEPDCTPELLGQCSFLQDTDEGLHFTIPSAFFPAPESDASMAEDESLFYSWHTPNPNLHFDSQESSNNSNSSSSVFLPYSSHESYFFNDSNPIQATNNNSMSMDIMDEENIGLFMPLFPEIAMAETACMNGDMSGDKTGDLDDNLKPAANDVLAKGLQLKRKLDVPEPIANTLDDMKKKARVTRNVQKTRKVGQSKKNQKNAPDISHDEEESNAGPDGQSSSSCSSEEDNASQDSDSKVSGVLNSNGKTRATRGAATDPQSLYARKRRERINERLKILQNLVPNGTKVDISTMLEEAVHYVNFLQLQIKLLSSDDLWMYAPLAYNGIDIGLNQKLSMFL >Potri.007G145400.1.v4.1 pep chromosome:Pop_tri_v4:7:15414586:15417079:1 gene:Potri.007G145400.v4.1 transcript:Potri.007G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145400.v4.1 MNQSSKTHKQKRQTGLPEQPTKTHRRKKQPQHQPSFRRNPLQDLNNGGIDSTSIDNTSNASSLSSIEAPKGCLRFFLSHSSSSRTAKTPFNNSSNNQRLIKVKPFSSKTPTSAPDMMRPPKENSSRQNLFERPISKKVEKVKRNHPPCLYQWQSGKKRTCSRNEIANAKVSSFSESSGSLVNNKLKSGSGELKKVIIDGVYEGSEANLTPLCKVASGSGLNLGADGKVMNDDFYEKSSNCNTDSKSTSSNTKTPPVQPSVSPEIQCGSSMKLMTGKPITPATCYGAGHVVSGVTDKRKCRPRGILACGEAKALGSFDSDEDIEQENDIALVENSALSVLPLPIEASMHWLLSPCDEEDEDQKENSRNKLCGFQRLEVRAMLNSPASISSGYGGFSPNLCNTSANRSISTVSAGRRRSASLLSPSELPLPEFQGFLGTPLCDDFAVSPLEEETNNRRGLDGENSPFSIGSLGSGNVIQTPQSDSSSDRRVGASWLQVDGNRKKCSFDSELNSVAEHLQMTSLSPKSHASIWDPTNSSFRFDSLTMPSNSVDLSKFHKILDDRASWFSNSTIENVSQSQMRISWREGLVSRIFEMDEFDCCRYLSDEEHDGSACKIDCSKSHKSPELNVDAATDRISINCFGSTEYVMKEQGTGDKTKDSLPSQPPCSCAESISTDGGGLVCSDDSDWTLCYKNHLFQV >Potri.017G043400.1.v4.1 pep chromosome:Pop_tri_v4:17:3040779:3041213:-1 gene:Potri.017G043400.v4.1 transcript:Potri.017G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043400.v4.1 MISAKKLIKLARKWQKLAALRRKRIALPQMKTSSCSASEMADKGHFVVYSADQKRFLLPLNYLNNKIVRELLKLAEEEFGLPTNGPLTLPCDAELIEYVIALIKQGITRDLEKALLVSIAISSCSMFSDLHHQVTDHQLPICSF >Potri.008G210873.1.v4.1 pep chromosome:Pop_tri_v4:8:17903112:17903960:-1 gene:Potri.008G210873.v4.1 transcript:Potri.008G210873.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210873.v4.1 MLHYYKATIVLSCLVASDNRLFLQTTIILSCLIPGNNRLLHHGSTVWDSYNRLLHHGSTVWSYLITSDFWHPHSGNLSSMVN >Potri.017G080700.1.v4.1 pep chromosome:Pop_tri_v4:17:8954641:8959763:1 gene:Potri.017G080700.v4.1 transcript:Potri.017G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G080700.v4.1 MVADKGKKLKVTEEEETDHIDGELVISIEKLQELQDELEKINEQASDEVLEIEQKYNEVRRPVYTKRNDIIKCIPDFWLTAFLSHPALCDLLTEDDQKIFKYLDSLHVEDSKDVKSGYSITFNFKENPHFEDTKLIKTFTFSDEGTTKITGTDIKWKEGMGTANGGNHEKKGNKRPLAELSFFSWFAETEQKEITELHDEVAEIIKEDLWPNPLKYFNNEADEEDSDADEDEEENGDEQDDDDDDDDNAEDDNEDDDAEDN >Potri.006G264500.7.v4.1 pep chromosome:Pop_tri_v4:6:26054365:26058441:1 gene:Potri.006G264500.v4.1 transcript:Potri.006G264500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264500.v4.1 MGDAKMCNVLCRKTLDSKTAKAFKEKIDDEYRVNMILDNLPLVVPIQRLDQESPPVYQLGYHVGLKGQYSGSKEEKYFIHNHLSFIVKYHKDPQSNSARIVGFEVKPFSVKHAYEGKWNDEKPRLTTCDPHTRHTVVNSNTPQEVEDKAEIIFTYDVEFQDSDVKWASRWDAYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGSEWKKIALRTAVMFPGVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILLVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >Potri.006G264500.6.v4.1 pep chromosome:Pop_tri_v4:6:26055862:26058442:1 gene:Potri.006G264500.v4.1 transcript:Potri.006G264500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264500.v4.1 MGDAKMCNVLCRKTLDSKTAKAFKEKIDDEYRVNMILDNLPLVVPIQRLDQESPPVYQLGYHVGLKGQYSGSKEEKYFIHNHLSFIVKYHKDPQSNSARIVGFEVKPFSVKHAYEGKWNDEKPRLTTCDPHTRHTVVNSNTPQEVEDKAEIIFTYDVEFQDSDVKWASRWDAYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGSEWKKIALRTAVMFPGVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILLVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >Potri.006G264500.2.v4.1 pep chromosome:Pop_tri_v4:6:26054443:26060046:1 gene:Potri.006G264500.v4.1 transcript:Potri.006G264500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264500.v4.1 MAPRARSRSLLLPICTILTILIHGAHSFYLPGVAPQDFINGAELMVKVNKLTSIKTQLPYSYYTLPFCTPSKIVDSAENLGEVLRGDRIENSPYAFNMGDAKMCNVLCRKTLDSKTAKAFKEKIDDEYRVNMILDNLPLVVPIQRLDQESPPVYQLGYHVGLKGQYSGSKEEKYFIHNHLSFIVKYHKDPQSNSARIVGFEVKPFSVKHAYEGKWNDEKPRLTTCDPHTRHTVVNSNTPQEVEDKAEIIFTYDVEFQDSDVKWASRWDAYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGSEWKKIALRTAVMFPGVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILLVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >Potri.006G264500.4.v4.1 pep chromosome:Pop_tri_v4:6:26054389:26059392:1 gene:Potri.006G264500.v4.1 transcript:Potri.006G264500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264500.v4.1 MAPRARSRSLLLPICTILTILIHGAHSFYLPGVAPQDFINGAELMVKVNKLTSIKTQLPYSYYTLPFCTPSKIVDSAENLGEVLRGDRIENSPYAFNMGDAKMCNVLCRKTLDSKTAKAFKEKIDDEYRVNMILDNLPLVVPIQRLDQESPPVYQLGYHVGLKGQYSGSKEEKYFIHNHLSFIVKYHKDPQSNSARIVGFEVKPFSVKHAYEGKWNDEKPRLTTCDPHTRHTVVNSNTPQEVEDKAEIIFTYDVEFQDSDVKWASRWDAYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGSEWKKIALRTAVMFPGVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILLVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >Potri.006G264500.1.v4.1 pep chromosome:Pop_tri_v4:6:26054360:26058514:1 gene:Potri.006G264500.v4.1 transcript:Potri.006G264500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264500.v4.1 MAPRARSRSLLLPICTILTILIHGAHSFYLPGVAPQDFINGAELMVKVNKLTSIKTQLPYSYYTLPFCTPSKIVDSAENLGEVLRGDRIENSPYAFNMGDAKMCNVLCRKTLDSKTAKAFKEKIDDEYRVNMILDNLPLVVPIQRLDQESPPVYQLGYHVGLKGQYSGVCALLHLNFPFLMCWFFLVLTLSGYMQSKEEKYFIHNHLSFIVKYHKDPQSNSARIVGFEVKPFSVKHAYEGKWNDEKPRLTTCDPHTRHTVVNSNTPQEVEDKAEIIFTYDVEFQDSDVKWASRWDAYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGSEWKKIALRTAVMFPGVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILLVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >Potri.006G264500.5.v4.1 pep chromosome:Pop_tri_v4:6:26054493:26058442:1 gene:Potri.006G264500.v4.1 transcript:Potri.006G264500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264500.v4.1 MVKVNKLTSIKTQLPYSYYTLPFCTPSKIVDSAENLGEVLRGDRIENSPYAFNMGDAKMCNVLCRKTLDSKTAKAFKEKIDDEYRVNMILDNLPLVVPIQRLDQESPPVYQLGYHVGLKGQYSGSKEEKYFIHNHLSFIVKYHKDPQSNSARIVGFEVKPFSVKHAYEGKWNDEKPRLTTCDPHTRHTVVNSNTPQEVEDKAEIIFTYDVEFQDSDVKWASRWDAYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGSEWKKIALRTAVMFPGVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILLVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >Potri.006G264500.3.v4.1 pep chromosome:Pop_tri_v4:6:26054360:26059479:1 gene:Potri.006G264500.v4.1 transcript:Potri.006G264500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264500.v4.1 MAPRARSRSLLLPICTILTILIHGAHSFYLPGVAPQDFINGAELMVKVNKLTSIKTQLPYSYYTLPFCTPSKIVDSAENLGEVLRGDRIENSPYAFNMGDAKMCNVLCRKTLDSKTAKAFKEKIDDEYRVNMILDNLPLVVPIQRLDQESPPVYQLGYHVGLKGQYSGSKEEKYFIHNHLSFIVKYHKDPQSNSARIVGFEVKPFSVKHAYEGKWNDEKPRLTTCDPHTRHTVVNSNTPQEVEDKAEIIFTYDVEFQDSDVKWASRWDAYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASTRLYKMFKGSEWKKIALRTAVMFPGVVSAIFFVLNALIWGQKSSGAVPFGTMFALIFLWFGISVPLVFVGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILLVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIASYAFFVLTGTIGFYACLWFTRLIYSSVKID >Potri.016G114500.7.v4.1 pep chromosome:Pop_tri_v4:16:11875085:11877957:1 gene:Potri.016G114500.v4.1 transcript:Potri.016G114500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114500.v4.1 MKTLTSSCSNVILDKKGDNRPVFSFRELRHEVSILPSKKLVHSVGFLHWLCVKHKPICPIRVSSSFSPESQVDLEAEDAQNQETNDSKTVHVKFQLRKECSFGEQFTIVGDDPLLGLWDPESGIPLNWSDGHLWTVEMDIPVGKSIQFKFILKGIAEKIFWQPGPDRILPTWETSNTIVVWEDWEDAALQKITEEEPSANGSEEPVVNPESLIVAENLTCQKEEVVSDMSNGAVTVDVSSNPEKKPSPVTCKKAIVADNIYPVQEKPLAIVADNISDSEGASTVDVNVSNAVLSEKRTSHQEEEQRTTSSKSTVVREDVVRNDDAPTAINSANSDVQGSLVTCGGDAVLVTGLSAATGIPSEAAIDSEERCHAFDASVGAGEKNHNLPEVTA >Potri.016G114500.2.v4.1 pep chromosome:Pop_tri_v4:16:11875253:11878137:1 gene:Potri.016G114500.v4.1 transcript:Potri.016G114500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114500.v4.1 MKTLTSSCSNVILDKKGDNRPVFSFRELRHEVSILPSKKLVHSVGFLHWLCVKHKPICPIRVSSSFSPESQVDLEAEDAQNQETNDSKTVHVKFQLRKECSFGEQFTIVGDDPLLGLWDPESGIPLNWSDGHLWTVEMDIPVGKSIQFKFILKGIAEKIFWQPGPDRILPTWETSNTIVVWEDWEDAALQKITEEEPSANGSEEPVVNPESLIVAENLTCQKEEVVSDMSNGAVTVDVSSNPEKKPSPVTCKKAIVADNIYPVQEKPLAIVADNISDSEGASTVDVNVSNAVLSEKRTSHQEEEQRTTSSKSTVVREDVVRNDDAPTAINSANSDVQGSLVTCGGDAVLVTGLSAATGIPSEAAIDSEERCHAFDASVGAGEKNHNLPELDEKREVGDEPLQGETMDGFNDEEPQGNEIIHKPLVKEVKCDVDDNPHREESIKGLDDEEQHSHELVYKPRAKEEKKQEFVRNCVVQNDLHWIQKLLTSLGLL >Potri.016G018400.2.v4.1 pep chromosome:Pop_tri_v4:16:961093:966570:1 gene:Potri.016G018400.v4.1 transcript:Potri.016G018400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018400.v4.1 MVRKHGWQLPAHTFQVVAITVFCLLVIAFYAFLAPFLGGKIWEYVLIGTYTPVVLLVFILYVRSTAINPADPGIMSKFNSDDVANKLNVKHGMSLKDLPRKFDETGSAMHSSFSSPSRSSIAPANSSKKGSVGEIERAETAGQPPSRKSSHNIGLIFCALFVHEDCRKQEGIAEQQSNGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGYKNYVTFVSLMAISLVWLVLEAGVGIAVFVRCFVNKQSMKVEIVDTLGNGFSIAPFATVVAVCTVVSILACVPLGELFFFHMILIRKGITTYEYVVALRAMSEAPAGASVDEELPNILYSPSGSATTGFSGGSSLGLQYKGAWCTPPRVFVDYQEEVVPHLDPGMVPSTVDPDAAGAQERGNKVPKRPVRISAWKLAKLDSAEAMRAAAKARASSSVLKPVDNHRLPDTEYSSSGNMSVRSSVSTDMGTNKEIKNELRLNALGNSFAPGQGSLDEYEIGTQSISSFSSPSHVHESVTLSPLPQTHSLGRFKAATSAPGLIPDHHVTSKAPLPTANNLLSYPTSGFDEKIMQKGSNTDPLLLSAPATSLLRDVKRTSVVWDQEAGRYVSVPVSASEARNRTAMQTVLPKSNPETSNDGRKQVVPPQQFSSSTAKAPAHPAEKLMYTGDSIFFGGPLLSVPVRDGSRNEGSLGLREGQQRLALNLPRESRFKRDSVSNQLPVFAPGVFDNNPSSASGLR >Potri.005G197800.7.v4.1 pep chromosome:Pop_tri_v4:5:20408490:20419065:-1 gene:Potri.005G197800.v4.1 transcript:Potri.005G197800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197800.v4.1 MNSQSKQRPELFWPRVVVRKWLNISSKDSDYSADSEDDYASSDSASETNEFVQCQRESRFGGNRGEDVQDAIPRIRRRKSETFRAQYININEIRICVGTWNVGGKLPHDDLDIDDWIDTDDPADIYVFGLQEIVPLNPGNILGAEDSRPVPKWENIIRETLNRIRPARTKVKCYSDPPSPSKFMPSEDVPTIEEEILLESDSDIGEEIHPLDEEFKGFDELNDISITGDISVNSGVPDGTVSEKLREQVEWDLQKQFSSPKKLDRLNCLRTEDSAGDVEALAAPRKLTKILSGSERLGLSWPEPPLNLVSQHVLPRPNSFKSVKSFKATKSFGGYSSFKSVSNETQSGLALFAELDFEALMKRKRRPSYVRIVSKQMVGSFLTIWVRRSLRKLIQNVKVSTVGVGVMGYIGNKGSISVSMSIYQTSFCFVCTHLTSGEKDGDERKRNADVHEIHRRTQFRPLSSVGLPKNIYDHERIIWLGDLNYRINLSYDKTHELISRKEWSQLVEKDQLVRELRKGRAFDGWSEGTLNFAPTYKYEINSDKYFGEDPKAGRRIPAWCDRILSYGKGMRLLNYRRTELKLSDHRPVTATFMAEVEVFSPRKLQKALTLTDAEIENEEVVADLGIDVGMSQLRLEQDITFW >Potri.005G197800.4.v4.1 pep chromosome:Pop_tri_v4:5:20408449:20422831:-1 gene:Potri.005G197800.v4.1 transcript:Potri.005G197800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197800.v4.1 MNSQSKQRPELFWPRVVVRKWLNISSKDSDYSADSEDDYASSDSASETNEFVQCQRESRFGGNRGEDVQDAIPRIRRRKSETFRAQYININEIRICVGTWNVGGKLPHDDLDIDDWIDTDDPADIYVFGLQEIVPLNPGNILGAEDSRPVPKWENIIRETLNRIRPARTKVKCYSDPPSPSKFMPSEDVPTIEEEILLESDSDIGEEIHPLDEEFKGFDELNDISITGDISVNSGVPDGTVSEKLREQVEWDLQKQFSSPKKLDRLNCLRTEDSAGDVEALAAPRKLTKILSGSERLGLSWPEPPLNLVSQHVLPRPNSFKSVKSFKATKSFGGYSSFKSVSNETQSGLALFAELDFEALMKRKRRPSYVRIVSKQMVGSFLTIWVRRSLRKLIQNVKVSTVGVGVMGYIGNKGSISVSMSIYQTSFCFVCTHLTSGEKDGDERKRNADVHEIHRRTQFRPLSSVGLPKNIYDHERIIWLGDLNYRINLSYDKTHELISRKEWSQLVEKDQLVRELRKGRAFDGWSEGTLNFAPTYKYEINSDKYFGEDPKAGRRIPAWCDRILSYGKGMRLLNYRRTELKLSDHRPVTATFMAEVEVFSPRKLQKALTLTDAEIENEEVVADLGIDVGMSQLRLEQDITFW >Potri.007G146000.1.v4.1 pep chromosome:Pop_tri_v4:7:15445504:15448801:1 gene:Potri.007G146000.v4.1 transcript:Potri.007G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146000.v4.1 MAFLSASTKALISNSVLFHHQNRSFLPPHQKPTQLSFSSSSTGFGTISIAFERKGRPSLVGTAAVRHLEGSVTRTEGLRFAVVVARFNEIITRPLLEGAVATFKKYSVKEDIDVVWVPGSFEIGIVAERLGKSGKYNAVVCIGAVVRGDTTHYDAVANSAASGVLSAGLKSGVPCVFGVLTCEDMEQAINRAGGKSGNKGAEAALTAIEMASLFEHHLK >Potri.008G179700.2.v4.1 pep chromosome:Pop_tri_v4:8:12400121:12405500:-1 gene:Potri.008G179700.v4.1 transcript:Potri.008G179700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179700.v4.1 MYLSEKPRPLDFYKEEVGPSSSRDNMIIEVVSSNGDLPPLHLHPITAATNPHQMILGESSGDDNHEVKAPKKRAETWVQDETRSLIGFRREMDGLFNTSKSNKHLWEQISTKMRDKGFDRSPTMCTDKWRNLLKEFKKAKHQDRGSGSAKMSYYKEIDEILRGRNKNSQYKCPTPKVDSYMQFSDKGFEDTSISFGPVEASARPTLNLERRLDHDGHPLAITAADAVAASGVPPWNWRETPGNGAESQSFGGRVISVKSGDYTRRIGIDGTTDAIREAIKSAFRLRTKRAFWLEDEDQIIRALDRDMPLGNYTLHLDEGLAIKVCLYDQSDHIPMHTEEKIFYTEDDYRDFLSRRGWTCLREFDGYRNIDSMDDLRHDAIYRGVS >Potri.014G190300.1.v4.1 pep chromosome:Pop_tri_v4:14:16477522:16478614:-1 gene:Potri.014G190300.v4.1 transcript:Potri.014G190300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G190300.v4.1 MSASAGGGGGGGQEEDKKPGGDQSAHINLKVKGQDGNEVFFRIKRSTQLRKLMTAYCDRQSVEFNSIAFLFDGRRLRGEQTPDELDMEDGDEIDAMLHQTGGGHASLD >Potri.001G169700.1.v4.1 pep chromosome:Pop_tri_v4:1:14539070:14541955:-1 gene:Potri.001G169700.v4.1 transcript:Potri.001G169700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169700.v4.1 MEIESQDSARKVIIQLKATADAPILKQKKFKMLGTDKFAKVIDFLRRQIHRETVFVYINSAFSPNPDELVIDLFNNFGVDGKLLVNYACSVAWG >Potri.010G253001.1.v4.1 pep chromosome:Pop_tri_v4:10:22474987:22477178:1 gene:Potri.010G253001.v4.1 transcript:Potri.010G253001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253001.v4.1 MGCDYDSPSSSPPTPPSPLPISIGAGNHKYNFSCSPSPSPPFSPPLSSHTSSENLHPLLQKLASPKRVPSAFSLDRPDPDALDSKSSCLEDLNESLCFLIHFGITKTCTVLYRNNNKKKRKFMSNRPCTPPLDLVELAWTFLSFDWQVFSPFLSVSIHGPTSQKQQNVNQRQRNLPILDCQENCNG >Potri.001G123300.1.v4.1 pep chromosome:Pop_tri_v4:1:10112521:10113299:1 gene:Potri.001G123300.v4.1 transcript:Potri.001G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123300.v4.1 MAQMWLLWWPKTTTKIGAGGVDTTATTKATNSKIVEEIGSTQTCFCGCLTKLLKKLRKRGRVLRTATASRQSSFQCRYDPLSYSLNFDTSGCGSMLDDEDYYQFCAFTSRFVANPSRSSTSSSSSSSSRILVATSH >Potri.011G025300.1.v4.1 pep chromosome:Pop_tri_v4:11:1879462:1882991:-1 gene:Potri.011G025300.v4.1 transcript:Potri.011G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025300.v4.1 MATKIKGLCKGFKYISQIFVVKEREMEIGCPTDVKHVAHIGWDGTSGNAPSWMSEFKTPPDFSTTTVANPRDSNSVTFSPWSSQDFDESMGHQTMPNVFNDIPPSDLPNVPKKPKTRKKKTSSSSPNYSSSSTSRISRKTKQKAMQYELESTPEVQVQ >Potri.011G158901.1.v4.1 pep chromosome:Pop_tri_v4:11:18447760:18449780:1 gene:Potri.011G158901.v4.1 transcript:Potri.011G158901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158901.v4.1 MACSGSSILLFFVFLFLHPSLLLSLPIQDSFLQCLSQNSELSFPFSTILYTPKNSSYTTILQSSAQNPRFTTPSLPKPEFIFTPLQESHIQAAVICSKQLGIHLRVLSGGHDYEGLSYVSEIEKPFIVVNLANLRSISVDIDDSSAWVEVGATNGELYYRIAEKSKTHGFPAGLCTTIGIGGHITGGAYGYLMRKYGLAADNVIDARIVDVHGRVLDRKAMGKDLFWAIRGGGGGSFGIITAWKVKLVPVPSTVTVFQITKTLEQGAIKILNRWQQVADKLNEDLFIRVTLQLAGARNGGKRTVSTTYISLFLGDAKRLLRVMQDSFPELGLTRQDCIETSWINSVLFVAGYSNDTTPEFLLERKNIYKGYFKAKSDYAKEPIPETILEGLWERLLEEERPNIALTPYGGMMSKISENQTPFPHRKGTLFMIRYMTSWDHPSKNDAKHLDWIRNVYEYMKPYVQPRTAYVNYRDLDLGMNKKTNTSFKEASVWGTKYFKDNFRRLGLVKTKVDPDNFFRHEQSIPPLPFSMRN >Potri.001G000700.2.v4.1 pep chromosome:Pop_tri_v4:1:60431:65133:-1 gene:Potri.001G000700.v4.1 transcript:Potri.001G000700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000700.v4.1 MEGNSGGGSGSGAAAAGGGGGGNDVELMCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLINHYVDNSADDQDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIIPAGSSRDEGWAAFRNILAEINEASRLFMLPNQQSSETSEQLVGLSDDVGAGFISGHSSQSPAPTSELNVDRSVDLPPQDEIGNLGVSKVIRVDQKRFFFDLGSNNRGHFLRISEVAGNDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMTGANIRTKDPPRR >Potri.002G252500.1.v4.1 pep chromosome:Pop_tri_v4:2:24151682:24152155:1 gene:Potri.002G252500.v4.1 transcript:Potri.002G252500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252500.v4.1 MMNAPKIINKVVVTALVLALAINFTHQYCFVAAKKERILYEFTTWHVYVVNGLSNNRELSVHCKSKDDDLGFHYLSAGDNFTWSFREDFFLRTLFWCDVNKDDAHAVFKVFWHDVLLFYKCMWKNCVWTVKDDGIYIKNLDHDGLDELYRNWEPAGQ >Potri.013G099800.1.v4.1 pep chromosome:Pop_tri_v4:13:10534622:10540999:1 gene:Potri.013G099800.v4.1 transcript:Potri.013G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099800.v4.1 MAEEEVVAPAVVPVGSDHKRKLEDLEPETLEEAEPSPADEQEPEEDGKADDVEDGGSPDSKRLRIDESKTDGLASENGFKGDESDEHAREEAEEPCVENEHGKDDNAEPLSEEVPETNDKEDPNADNQETNTTDQATVDDSKTEDAEKPSLEDAGKPCAEKLSEEAEEHLSEDQTTSRKMEVPNDKVGVLIGKGGDTIRYLQYNSGAKIQITRDAEADLQSTTRPVELIGSLSSISKAEKLINAVIAEADAGGSPSLIAMGLATSAQAAEVGDQLEIPIPNEKVGLIIGRGGETIKGLQAKSGARIQLIPQHLPEGDESKERTVRVTGDTRQIETAREMIMDVMNQTMRPATLSSSFNQQQSYRPRVPTGPAHWGPRGHHSNQQMPYDYHRGPYPTYGGYPPQQMAPRSNYSSGWEQRPPPNMQGFHPHSGGYDYYSGQGGHVSDHPVSAPMSTPLPGHVSRHSPAPVMGGPPSQVNYNYGQSHGPDYGHQAPYSQAAPSQQSYGYGYDEPKYPYGHGSSQPAYPQASNQPGYGAQQQYGKQPSYGMPSQGPPPQSYGPPRPGQPGDASYQGPIQSSQLYGQPPQQQYPYASSGPMQQAYPPYGSGSASDGYNQAPPASGPGYPQQGGQPVPTYGQPAGQAAAAGYGQVPAGGYGGYPSSQGFPEQQAANTAGYGYQVSQDPAYGSGPAFSAPTSQQAYAQPTAAQPSYDQSVPQSAGYVAAPATAPVGYGKTVSPQPGFPQYDSTQMYAAPR >Potri.006G026600.2.v4.1 pep chromosome:Pop_tri_v4:6:1735698:1739608:1 gene:Potri.006G026600.v4.1 transcript:Potri.006G026600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026600.v4.1 MKGQDPLVSSSSRRECSPAPPEKIRSKSIGCMSGIFQFVYKYHNRRRFITFGKRHEKDIVVTSPKPTTPSSQPSSSSSPSSSSTSLEQENKVLQRLPCDMAPRSPTLPTGILRRSRSLNSPESFRAQPAPVARLMGLSDIPPMTTAELALAEKRRRLLGALEKCDEDLKALKKIIDVVKSSSVASTGDDDDDEGRSERGNDLPLLPQPSPVSVLDEFTRSALSGFSKRYTINERVPQQQTKKEGGGEDISSIDFLDKIMTKENMIHGKSHESVVSSPLWSSKAMIESVNEVCRDTAWGERREIGRTGLALQDYIFRDLIEEIVKEMGFDCIYQLGPLPFESCKKRLSF >Potri.019G080500.1.v4.1 pep chromosome:Pop_tri_v4:19:12105051:12109486:-1 gene:Potri.019G080500.v4.1 transcript:Potri.019G080500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080500.v4.1 MDLLFPCFLHLSILCISLSLIVLLHKRKFWNSKFPPGKTGWPIIGETWDFMMAARCGTPEKFINDRVGKFSPEVFQTSLLGHNMAVFCGSGGNKFLYSSENKCVTGWLPHPIMKVLFSPEHASNSYKEDSAKLRKFLPEFLKPEPLQHYIPVMDSMAKDHLKADWFPYKQVKVFPLSKTYTFSLACRLFMNIKDPEQVSRLQNHFNLVTKGILSLPLNFPGTAYNRAIKGGNMIREEILGLMKERRELISESKEPEFIDLLTRMLLVTDENAMDDMEIADRVVGLLFGSHDTTSASISMAMYYLAGNPHVYTKVLKEQMEIQKLKAPGELLTWNDIQKMKYTWCVVCEVMRLSPPGQGGFREAITDFSYAGFTIPKGWKVHWSVHSTHKNPKYFPDPEKFDPSRFEGKDIEPYSFVPFGGGPRMCPGKEYARLAILVFMHNVVTQFKWEKVIKDEKIIYMSIPMPACSLPITLHPHKS >Potri.017G134900.1.v4.1 pep chromosome:Pop_tri_v4:17:13677292:13681108:1 gene:Potri.017G134900.v4.1 transcript:Potri.017G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134900.v4.1 MKRRSHRRTKLLLVFLVGFSSIFHFANSQTSPDAEVMFSLKKSLNVPDSLGWSDPDPCNWNHVVCSDEKRVTRIQIGRQNLQGTLPSNLRNLAQLERLELQYNNISGPLPSLNGLSSLQVILLSDNKFISVPSDFFTGLSSLQSVEIDNNPFSNWVIPESIKNASALQNFSANSANISGSIPGFFGPDSFPGLTILRLAFNDLEGELPASFSGSQVQSLWLNGQKLSGGIDVIQNMTLLREVWLHSNGFSGPLPDFSGLKDLESLSLRDNSFTGLVPESLVNLESLKFVNLSNNLLQGPMPVFKSSVSVDMVKDSNRFCLPTPDLCDSRVNTLLSIVKSMDYPQRLADSWKGNDPCADWIGITCNNGNITVVNFEKMGLTGSISPDFASVKSLERLVLANNNLTGSIPQEITTLPGLKVLDVSNNHLYGRVPAFTSNVIVNTNGNPNIGKDVNISTSSESPSASPSANTGSGSGGSSRKSGKKSSTLIVVIIFSVIGGVFLLSLIGLLVFCLYKKKQKRFSRVQSPNEMVIHPRHSGSDNESVKITVAGSSISVGAISETHTIPASEQGDIQMVEAGNMVISIQVLRNVTNNFSEENILGWGGFGVVYKGELHDGTKIAVKRMESGVISGKGLTEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHIFNWAEEGLKPLEWTRRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDERQPEESLHLVTWFRRMHLNKDTFRKAIDPTIDLNEETLASISTVAELAGHCCAREPYQRPDMGHTVNVLSSLVELWKPTDQSSEDIYGIDLEMSLPQALKKWQAYEGRSNMDSSSSLLPSLDNTQTSIPARPYGFAESFTSADGR >Potri.011G129900.2.v4.1 pep chromosome:Pop_tri_v4:11:16382788:16384242:1 gene:Potri.011G129900.v4.1 transcript:Potri.011G129900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129900.v4.1 MAIINTKTIIVSLCTLSLVFIFSPQLSFSSSIHDLLISKGLPAGLLPKEVKSYTVSEDGYLEVFLDGPCLTRYENRVLFESVVRANLTYLSLSGVVGLSQEELFLWLPVKDITVDDPRSGLILFDIGVAHKQLSLSLFEDPPNCESKNHAREERGFEAVR >Potri.011G129900.1.v4.1 pep chromosome:Pop_tri_v4:11:16382788:16384242:1 gene:Potri.011G129900.v4.1 transcript:Potri.011G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129900.v4.1 MAIINTKTIIVSLCTLSLVFIFSPQLSFSSSIHDLLISKGLPAGLLPKEVKSYTVSEDGYLEVFLDGPCLTRYENRVLFESVVRANLTYLSLSGVVGLSQEELFLWLPVKDITVDDPRSGLILFDIGVAHKQLSLSLFEDPPNCKPQGESKNHAREERGFEAVR >Potri.019G070801.1.v4.1 pep chromosome:Pop_tri_v4:19:11201604:11205385:1 gene:Potri.019G070801.v4.1 transcript:Potri.019G070801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070801.v4.1 MIEVKAENTDGEPVGSEGSSVSIKVLFFARARDITGLADMQLEVSSGSTTSDCLNKLIARFPSLEEIRGCIVLALNEEYTTEAAIVKEKDELAIIPPISGG >Potri.018G074200.1.v4.1 pep chromosome:Pop_tri_v4:18:8850610:8853341:-1 gene:Potri.018G074200.v4.1 transcript:Potri.018G074200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074200.v4.1 MASPSELSLDCKPHSYSMLLKSFGEQNDQTQKLEEFLSLLEEERLKIDVFKRELPLCMQLLTNAVETSRQQLQAYRANQVPTPVLEEFIPLKTPTSEALEKTTNISDKANWMTTAQLWSQDSNESKPQTTLTSPKQTDIGFNVSSKLALDTKQRNGGAFLPFSKERNLCPSPTLALSSTDKHMEFDHKKCSEAENGFSCPKRESSGNKIGNGGVVIEQAKGAVNNSSSDGQATNTATASTTSTTQTHRKARRCWSPDLHRRFVNALHMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPQAAGAPPPQLVVLGGIWVPPEYATAAAAAHSGGPTLYGAHQASHAPPPHFCAAPPVSQDFYTAAATPPPPLHHHTLYHQLHLYKPTAQAHSSPESDVRGTRDRSESIEDGKSESSSWKGESGENDGGERRGLAALREDCEESNGSEITLKF >Potri.010G067300.2.v4.1 pep chromosome:Pop_tri_v4:10:9521379:9523137:-1 gene:Potri.010G067300.v4.1 transcript:Potri.010G067300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067300.v4.1 MGCFLGCFGLSSKRKRRKPGYRDHKLCSYEPLDSVSTNLAITGKPVTSEAELSKRPKDSLNYKVRKKVSFNLNVQTYEPIPKEVSTSDYWGSDEEETSKDDANERQSSSLSEADSIASKMASYPTNYRYRNCIDSYDEEDGIAYEESDLDGDDDEFDDEEEEEEDDDDNNGCNIDELRVNQKEFSGQFSSLSASSQNKNSSTKLRKDTTENLKSLGDSNEGGLRSRQYVHSVLKPVENLNQWKVVKARGTQPPKQQMKENVALEEHPLKPLSSISNISHSTPLMQEIAVDASLSNWVVPPDSYQKITASNDVETKAPSKKACLTDSTYSWRNREDLPILDIINLEA >Potri.005G233300.1.v4.1 pep chromosome:Pop_tri_v4:5:23184860:23186330:1 gene:Potri.005G233300.v4.1 transcript:Potri.005G233300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DREB5 MGGMSKSTTSGFGEKKQFKKPAQASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGARLWLGTFDTSHEAATAYDAAARKLYGPEAKLNLPELQVNNCQFPASPANSQVTQMTYQPCQIIHNCSSTTSTCSSNTPSIESIEMTPMLYNHDPIMYFSNESVDSDGKGAVNEVNFGRSEVEIKEFWSNFNVNIPFDDSIWVEAAMSINFPVVEDPGIFASNLMEGTGRDTLQTPWCM >Potri.005G037850.1.v4.1 pep chromosome:Pop_tri_v4:5:2444366:2446268:-1 gene:Potri.005G037850.v4.1 transcript:Potri.005G037850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037850.v4.1 METSSSPRSKKSSFTAGDEPKEDENDMIKSSCPLCPRRPTCYNGQDKGSRSRERELTPKTCPWFGLQAATR >Potri.004G233800.3.v4.1 pep chromosome:Pop_tri_v4:4:23859068:23862181:1 gene:Potri.004G233800.v4.1 transcript:Potri.004G233800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233800.v4.1 MPFTPGMEAVGEVIALGPGVTDRKVGEVVGYAGGPMGSYTEEQILPANKVVPVPPSISPSVAASVMLKGMTAQFLLRRCFKVEPGHTILVQAAAGGVGSLLCQWANSLGATVIGTVSTKEKAAQAKDDGCHHVIIYKEEDFAARVNEITSGNGVDVVYDSVGKDTFQGSLACLKTRGYMVCFGQSSGTPDPFPLSVLAPKSLFLTRPSLMQYTATRGELLETAGEVFANIASGVLRVRVNHTYPLSQAAQAHADLESRKTSGSVVLLP >Potri.004G233800.2.v4.1 pep chromosome:Pop_tri_v4:4:23858934:23862269:1 gene:Potri.004G233800.v4.1 transcript:Potri.004G233800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233800.v4.1 MVKSIRVHELGGPEVLKWEDVEIREPGEGEIRVKNKAIGLNFIDVYFRKGVYKASTMPFTPGMEAVGEVIALGPGVTDRKVGEVVGYAGGPMGSYTEEQILPANKVVPVPPSISPSVAASVMLKGMTAQFLLRRCFKVEPGHTILVQAAAGGVGSLLCQWANSLGATVIGTVSTKEKAAQAKDDGCHHVIIYKEEDFAARVNEITSGNGVDVVYDSVGKDTFQGSLACLKTRGYMVCFGQSSGTPDPFPLSVLAPKSLFLTRPSLMQYTATRGELLETAGEVFANIASGVLRVRVNHTYPLSQAAQAHADLESRKTSGSVVLLP >Potri.007G027400.1.v4.1 pep chromosome:Pop_tri_v4:7:2105082:2106119:-1 gene:Potri.007G027400.v4.1 transcript:Potri.007G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027400.v4.1 MLLLSPSSASLLSPQSRSYHVNSRSTGPHRPLKVVGMAKETTDGGNGIVEKVAIVGGLVSTPVIAWSLYTLKTTGCGLPPGPGGSVGALEGVSYLVVVGIIGWSLYTKTKTGSGLPTGPFGLLGAVEGLSYLSLLAILVVFGLQFFEKGSIPGPLPSDQCFG >Potri.011G128800.1.v4.1 pep chromosome:Pop_tri_v4:11:16190852:16195372:-1 gene:Potri.011G128800.v4.1 transcript:Potri.011G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128800.v4.1 MRISKNYTYLLLFSSSLLLIIETTTAIDTVNTTQFIRDGDTIVSANGSFILGFFSPGMSKNRYLGVWYGKISVQTVIWVANRETPLNDTSGVLRLTNQGILAIQNRSGSIIWSSNTLRPARNPIGQLLDSGNLVVKEEGDNDLENSLWQSFEYPGDNLMPDMKQGRNRIAGMDWYMTSWKSPDDPSRGNISYILVPYGYPEILVMEDSRVKFRSGPWNGKRFSGVPQLKPNPVYSFEFVFNEKEIFYRYHLLNSSMLSRIVVSQDGDIQRYTWIDRTQSWVVYLTANRDNCERYALCGANGICSIDNSPVCDCLHGFVPKIESDWKVTDWSSGCVRRTPLNCSVDGFRKLSGVKLPQTNTSWFNKNMNLEECKNTCLKNCNCTAYSSLDIRDGGSGCLIWFGNLLDIRVFVENEPEIYIRMAASELDNDDGATKSNVKKRIIVISGLSAGILLLLLALFLYIRKKKQLKDRNMTGVFEGNLQHKRNKEDLDLPLFDFGAMARATNNFSVNNKLGEGGFGPVYKGTLNDGREVAVKRLSKNSRQGVDEFKNEVKHIVKLQHRNLVKLLGCCIEVDEKMLIYEFLPNNSLDFFLFNETHRLQLDWPKRYNVIKGIARGLLYLHQDSRLRVIHRDLKASNVLLDHEMNPKISDFGLARSFGGNETEANTNKVVGTYGYISPEYASDGLYSTKSDVFSFGVLVLEIISGNKNRGFSHPDHQLNLLGHAWRLFIEGKPLELISESIIESCNLFEVLRSIHVGLLCVQENPVDRPSMSYVVLMLGNEDALPQPKQPGFFTERDLIEVTYSSTQSKPYSANECSISLLEAR >Potri.009G087500.2.v4.1 pep chromosome:Pop_tri_v4:9:8147246:8149814:-1 gene:Potri.009G087500.v4.1 transcript:Potri.009G087500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087500.v4.1 MDHGAIRTNSIFRTTSDTFMDLNFTDELLVQRYWWESAGGVNFLDPEPSISNDLCDPSQYVPFMGSGHLSINSHHRTCQEETDISLENPPVVYPETEEQVVETITSPVHSEGSQLESGEFVLEFCESRECPKQEPEEEETLQETTDSDNNDLDQYQEREFSDFGQLQQNPEHCTVESAGDSFVPWSHLLGSRKTGKKRQTKTEKTISLQVLRKYFAGSLKDAAKSIGVCPTTLKRMCRQYGISRWPSRKIKKVNHSLKKLQHVVDSVMGAQGLIEIDSFYTAFPELSSSGYFGHNPFSSFQITEYPKESNPKPINHLFSTKGPVSKSQSSSRSQNSGLFICHGKRQLTSTINGLSTGHALAVEDPVEVLKRTRSKSELPSLNKEELDRAKSNETSCQHQNLETQASLPINNGQGLRDGGAFRIKATFGDENIRFSLQPNWGFRDLQREIAKRFEIDDFSRIGLKYLDNDHESILLTCDADLEECKDLLGFSQSRTIKITLYLVSKPNLGSSFSSSRDLF >Potri.009G087500.3.v4.1 pep chromosome:Pop_tri_v4:9:8147310:8149849:-1 gene:Potri.009G087500.v4.1 transcript:Potri.009G087500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087500.v4.1 MDHGAIRTNSIFRTTSDTFMDLNFTDELLVQRYWWESAGGVNFLDPEPSISNDLCDPSQYVPFMGSGHLSINSHHRTCQEETDISLENPPVVYPETEEQVVETITSPVHSEGSQLESGEFVLEFCESRECPKQEPEEEETLQETTDSDNNDLDQYQEREFSDFGQLQQNPEHCTVESAGDSFVPWSHLLGSRKTGKKRQTKTEKTISLQVLRKYFAGSLKDAAKSIGVCPTTLKRMCRQYGISRWPSRKIKKVNHSLKKLQHVVDSVMGAQGLIEIDSFYTAFPELSSSGYFGHNPFSSFQITEYPKESNPKPINHLFSTKGPVSKSQSSSRSQNSGLFICHGKRQLTSTINGLSTGHALAVEDPVEVLKRTRSKSELPSLNKEELDRAKSNETSCQHQNLETQASLPINNGQGLRDGGAFRIKATFGDENIRFSLQPNWGFRDLQREIAKRFEIDDFSRIGLKYLDNDHESILLTCDADLEECKDLLGFSQSRTIKITLYLVSKPNLGSSFSSSRDLF >Potri.009G087500.4.v4.1 pep chromosome:Pop_tri_v4:9:8147225:8149839:-1 gene:Potri.009G087500.v4.1 transcript:Potri.009G087500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087500.v4.1 MDHGAIRTNSIFRTTSDTFMDLNFTDELLVQRYWWESAGGVNFLDPEPSISNDLCDPSQYVPFMGSGHLSINSHHRTCQEETDISLENPPVVYPETEEQVVETITSPVHSEGSQLESGEFVLEFCESRECPKQEPEEEETLQETTDSDNNDLDQYQEREFSDFGQLQQNPEHCTVESAGDSFVPWSHLLGSRKTGKKRQTKTEKTISLQVLRKYFAGSLKDAAKSIGVCPTTLKRMCRQYGISRWPSRKIKKVNHSLKKLQHVVDSVMGAQGLIEIDSFYTAFPELSSSGYFGHNPFSSFQITEYPKESNPKPINHLFSTKGPVSKSQSSSRSQNSGLFICHGKRQLTSTINGLSTGHALAVEDPVEVLKRTRSKSELPSLNKEELDRAKSNETSCQHQNLETQASLPINNGQGLRDGGAFRIKATFGDENIRFSLQPNWGFRDLQREIAKRFEIDDFSRIGLKYLDNDHESILLTCDADLEECKDLLGFSQSRTIKITLYLVSKPNLGSSFSSSRDLF >Potri.001G444300.1.v4.1 pep chromosome:Pop_tri_v4:1:46991933:46992671:-1 gene:Potri.001G444300.v4.1 transcript:Potri.001G444300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444300.v4.1 MSQPKKNNTKRSASGFKKFQYDEVRDSPSDARNVLLVVVALIAAVTFQAGVNPPGGVWQEGDRVGRAIYASQKRAFYVFLISNTLALSTCILVITSLTYRFPFHLEIWAATASIMITYASAVFAVTPNESVRFRYLLIAASVPFVMRCFGYFFKKYCMSENESQIGGQEEVEKKDGQAGQQV >Potri.001G155200.3.v4.1 pep chromosome:Pop_tri_v4:1:13020599:13027886:1 gene:Potri.001G155200.v4.1 transcript:Potri.001G155200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155200.v4.1 MDESAVSSDPVIAFLLDEVVVKDWCKRTFKKITAELQVIYNLEAEEMKTRLSLLLKLSVHLAGISNVLEVLESSFKYSLSARLHDLQLLQESISKAKQHMEIIAWCARHHFLENVRSRYTNLSSWRSVVHQRKSAAIKRSWPDVANQSAESSMLAGSLFIEDALANLKIEQNHMQEMGEESELAPLQKDGGLFCKSKLEGLEVCYPFENLRAAVDVLFLHGSSDLVLAKQAIFLYYLFDRHWTMPDESWQHIVDDFSATFGITRHSLLESLTFYLLDDNNEEALQEACNLLPEISGPSTHPKIAQVLLERENPETALMVLRWSGHDGSQMVSLSDAVTAIQVRVECGLLTEAFMHQRMLCTKVRENKFKAGPPRDASDDLKGECRTWENWVEILVTEICCLCIKNNLVDRMIGLPWNLDEEKYLHKCLLDYAFHDPSTTIGSLLVVFYLQRYRYAEAYHVHSKLESMEQEFISQNSISGEALSRIRSASHRREELVVQSIQLLPKVQQEQVKTGKLPPEVHRMSREVEIQERADLPMVQEPKSSSLLVSLPANSLTNHNIMLKPSALETPPRFGASIKNPHMELGNHGSSSVLHQRLSSSPERTQKRRVSVNKNFKFDGISTPMMHHGSHMNTTPLKETSRTSLEVLPNSNLLHNLFDKMSPEREQNGFVKQLRNTSPPYSHRITANPVALFGSNNGLPNDRNGGPRTKSSKDDPMDIAWSSREEFIVDEREVNDGLRWRTDETSDEEEEHVPERVVGVGSYTATARRVRKSRFSRR >Potri.001G155200.1.v4.1 pep chromosome:Pop_tri_v4:1:13019989:13028016:1 gene:Potri.001G155200.v4.1 transcript:Potri.001G155200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155200.v4.1 MERNQMNGRVSPSSSADCGGGTARSTALPRQPNYSSRAVQEALEHLASIDLIELCSEAKVERCRATRDLRSCGRYVQHVLNSCGHASLCSECSQRCDLCPVCRIPIPKTGIRLHPRLYYECIEAGLISKRCDERFQEKEEVDNELTADVQRLYSLFDVALENNLVSLICHYVTDICMDESAVSSDPVIAFLLDEVVVKDWCKRTFKKITAELQVIYNLEAEEMKTRLSLLLKLSVHLAGISNVLEVLESSFKYSLSARLHDLQLLQESISKAKQHMEIIAWCARHHFLENVRSRYTNLSSWRSVVHQRKSAAIKRSWPDVANQSAESSMLAGSLFIEDALANLKIEQNHMQEMGEESELAPLQKDGGLFCKSKLEGLEVCYPFENLRAAVDVLFLHGSSDLVLAKQAIFLYYLFDRHWTMPDESWQHIVDDFSATFGITRHSLLESLTFYLLDDNNEEALQEACNLLPEISGPSTHPKIAQVLLERENPETALMVLRWSGHDGSQMVSLSDAVTAIQVRVECGLLTEAFMHQRMLCTKVRENKFKAGPPRDASDDLKGECRTWENWVEILVTEICCLCIKNNLVDRMIGLPWNLDEEKYLHKCLLDYAFHDPSTTIGSLLVVFYLQRYRYAEAYHVHSKLESMEQEFISQNSISGEALSRIRSASHRREELVVQSIQLLPKVQQEQVKTGKLPPEVHRMSREVEIQERADLPMVQEPKSSSLLVSLPANSLTNHNIMLKPSALETPPRFGASIKNPHMELGNHGSSSVLHQRLSSSPERTQKRRVSVNKNFKFDGISTPMMHHGSHMNTTPLKETSRTSLEVLPNSNLLHNLFDKMSPEREQNGFVKQLRNTSPPYSHRITANPVALFGSNNGLPNDRNGGPRTKSSKDDPMDIAWSSREEFIVDEREVNDGLRWRTDETSDEEEEHVPERVVGVGSYTATARRVRKSRFSRR >Potri.011G053200.1.v4.1 pep chromosome:Pop_tri_v4:11:4263817:4266265:1 gene:Potri.011G053200.v4.1 transcript:Potri.011G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053200.v4.1 MKNTFLSLSLLASWIEMKKFNNKLDLVLLLLPIILFLQPISAIDFVFNGFNSSSVSLYGSAIIESRILTLTNQTSFQIGRALYPTKIPTKAPNSSFVYPFSTSFIFAMVPYKNVLPGHGLAFLFVPFTSIQSSISAQYLGFLNRTNGNRSDDHLLGIEFDVFANEEFSDMNDNHVGIDVNTLTSIKAADAGYWPDNSRSSSNENTSDEDSNSFKEQDLNNGKNYQVWIDYEDSIINVTMAPAGMKRPTRPLLNVSLNLSDVFEDEMYVGFTSSTGLLVENHKILAWSFSNSNFSLSERLITTGLPSFVLPKDPFYRSKVFIAGATVGGVLLVVSAATIIWFLIKRRQRKAREREEMEDWELEYWPHQVRYQEIEAATKGFSEENVIGIGGNGKVYKGVLPGGTEIAVKRISHENDGMREFLAEISSLGRLKHRSLVGLRGWCKRERGVFMLVYDYMENGSLEKRVFDCDESKMLSCEERIRILKDVASALLYLHEGWDSQVLHRDIKASNVLLDKDMNGRLGDFGLARVHGHGQVPSTTRVVGTIGYMAPEVVRSGRASARTDVFGFGVLILEVMCGRRPIEEGQPPLLESVWQLMMQGQSLYALDERLKARGEQFDEGEVERMLHLGLLCAYPDSKGRPTMRQVVKVMEGNNELSEIESEDLDACLLQQMKSTDLWSSHSSHGSHPTFDEIRRHHSSSMSLSWSNTTVEGR >Potri.018G096077.2.v4.1 pep chromosome:Pop_tri_v4:18:11680323:11685457:1 gene:Potri.018G096077.v4.1 transcript:Potri.018G096077.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096077.v4.1 MGILFSKMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDVDRLVIAKDEFHAILEEEELRGAIVLIFANKQDLPGALDDAAVTEALELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >Potri.018G096077.1.v4.1 pep chromosome:Pop_tri_v4:18:11680075:11685436:1 gene:Potri.018G096077.v4.1 transcript:Potri.018G096077.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096077.v4.1 MGILFSKMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDVDRLVIAKDEFHAILEEEELRGAIVLIFANKQDLPGALDDAAVTEALELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >Potri.002G141700.2.v4.1 pep chromosome:Pop_tri_v4:2:10633812:10636994:1 gene:Potri.002G141700.v4.1 transcript:Potri.002G141700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141700.v4.1 MARSSLKVLVTGAAGQIGYAIVPMIARGVMLGPDQPVILHLLDIEPAAEALNGVKMELIDAAFPLLKGVIATTDPIEACMGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAEDCKVLVIANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISESLDVQVSDVKNVIIWGNHSSTQYPDVNHATVQTSSGEKPVRELVSDDKWLNAEFITTVQQRGAAVIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYGIQPGLVYSFPVTCEKGKWSIVQGLKIDDFSRAKMDATAKELVEEKSLAYSCLN >Potri.002G141700.1.v4.1 pep chromosome:Pop_tri_v4:2:10633804:10636992:1 gene:Potri.002G141700.v4.1 transcript:Potri.002G141700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141700.v4.1 MDHAVLLQKILVVSFIVALFWKIIRYMCNLLNVEKEPVRVLVTGAAGQIGYAIVPMIARGVMLGPDQPVILHLLDIEPAAEALNGVKMELIDAAFPLLKGVIATTDPIEACMGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKAQASALEKHAAEDCKVLVIANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISESLDVQVSDVKNVIIWGNHSSTQYPDVNHATVQTSSGEKPVRELVSDDKWLNAEFITTVQQRGAAVIKARKLSSALSAASAACDHIRDWVLGTPEGTWVSMGVYSDGSYGIQPGLVYSFPVTCEKGKWSIVQGLKIDDFSRAKMDATAKELVEEKSLAYSCLN >Potri.013G098900.1.v4.1 pep chromosome:Pop_tri_v4:13:10376432:10378188:1 gene:Potri.013G098900.v4.1 transcript:Potri.013G098900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098900.v4.1 MKLLSLLLFSMLLLILRSFKHASAACHVDDHAGLLAFKSGITHDPSGMLSSWKPGTDCCSWGGISCLDKIRVNTVSLYGNPDKPNGYLTGSISPSLVKLQSLDGVYFRDLNITGPFPDVLLRLPKLNYIYIENNKLSGPLPSDIGKMTQLYTLSISGNQFTGLIPSSIAELTQLSQLNLGNNLLTGPIPLGISKLTGLSFLSLQNNKLTGTIPDFLSSLTNLRILRLSHNKFSGKIPNSIASLAPKLAYLALGHNALTGTIPSFLGKFKALDTLDLSWNNFTETVPKSFGNLTKIFNLDLSHNSLVDPFPVMNVKGIESLDLSYNEFHLEKIPNWVTSSPIIYSLKLAKCGIKMNLNDWKPKETYFYDYIDLSYNEISGSPVWLMNKTDYLVGFWASGNKLKFDLGSLKIVGTLKNLELSRNLVYGKVPKSVSGLESLNLSYNHLCGQLPSTKFPASAFVGNDCLCGAPLPPCKVKGE >Potri.013G081600.2.v4.1 pep chromosome:Pop_tri_v4:13:7281778:7285658:-1 gene:Potri.013G081600.v4.1 transcript:Potri.013G081600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081600.v4.1 MDFHSLSRKDLQALCKKNKIPANMTNISMADALKVLDKVEGLDEFTNAPPKSDSQQSPEKAGSNEILRTSCRTSTRNKPLRIEPESSQKPLTRTPCTTRRRIIGGDGDQENKNANHPETPAMPTSRNRTSATSARRKMVEIAEDQQKNNVPKTPAANSCRRMAPAVSARRKVEAQKEEVSVQRVYSTRQSLRLLEKSMGGMSLKEKGSVGPLKMDELCKEIDDVEMKEESGSDLLTVPEKSSEKTIDTEAISCQNLDHSLEDKGEIKHELQEESNTDVCEVEDCNAKQEIGSENCDNSKVILLDNESEMTNELEEDNKNNDCDMDHCYPKLEGLYERDEDMNESSEKSNPILVERSDKAVPINQEPIYEKGTVKSGFMVSDSPTLEVSEFVDKNSEMISKEDKQHHDNDDLQSNFAIEGESDSNQSDEANENGKVEIVPEDASNQKSESRHETESCHSVTGSSSTSKFPDHFVTGNLVASFKDISFKCENEALVEIHVMEAEEIDMKTHEWHASSCVSNETPGYVNQMASSCTMASDNDSGKILLHKVHDHSSAGNLVDITVMSQEEFAMAPAPALDKTPSSPCQPLVAGAITGQTGSSAPFADDTLQGQFPRPTELISKKSSTKKQPTSWKMIDAINKENIDDGGKKVEPHKEKENNKVIGEKILDEFSLRQLRKMMKEKLQIANNKNSEEDNDTKVGKTRLALQTLADENRRHGAEELK >Potri.015G076200.1.v4.1 pep chromosome:Pop_tri_v4:15:10238761:10243861:1 gene:Potri.015G076200.v4.1 transcript:Potri.015G076200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076200.v4.1 MALNFLSPAEIKAISFLDSTKSNHIPKLPGRLGLKRKDCRGRRIQCSVQNQNQPPPAWPGRAFPEPGRKTWDGPKPISIVGSTGSIGTQTLDIVTENPDKFTVVALAAGSNVTLLADQVRRFKPQLVAVRNESLVDELKEALADVEEKPEIIPGEQGVIEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDICLANKETLIAGGPFVLPLAHKHNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVEKLKEVKVADALKHPNWSMGKKITVDSATLFNKGLEVIEAHYLFGADYDNIDIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTLSWPERVYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMDLAYTAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCDKHQAEFVASPSLEEIIHYDLWAREFAASLQHSSGPSPVLA >Potri.011G159801.2.v4.1 pep chromosome:Pop_tri_v4:11:18475447:18477013:1 gene:Potri.011G159801.v4.1 transcript:Potri.011G159801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G159801.v4.1 MKGIYCGPGHGVSIGSLGKDNSTGIVTKVVLDTALIRETTNGVRIKTWQGGNGYVRGVRFENVRMDNVDNPIIIDQFYCDSPKSCQNQTSAVRISEIMYRNISGTTKSAKAMKFSCSDTAPCSTIVLSNVNLEKEDGTVETYCNSAEGFGYGIVHPSADCLTSHDKDYSFFEQTEVSQDYILNDVTEEKVELADSNNDRIVHTEL >Potri.011G159801.1.v4.1 pep chromosome:Pop_tri_v4:11:18473627:18477011:1 gene:Potri.011G159801.v4.1 transcript:Potri.011G159801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G159801.v4.1 MKGIYCGPGHGVSIGSLGKDNSTGIVTKVVLDTALIRETTNGVRIKTWQGGNGYVRGVRFENVRMDNVDNPIIIDQFYCDSPKSCQNQTSAVRISEIMYRNISGTTKSAKAMKFSCSDTAPCSTIVLSNVNLEKEDGTVETYCNSAEGFGYGIVHPSADCLTSHDKDYSFFEQTEVSQDYILNDVTEEKVELADSNNDRIVHTEL >Potri.009G051100.1.v4.1 pep chromosome:Pop_tri_v4:9:5603511:5610074:-1 gene:Potri.009G051100.v4.1 transcript:Potri.009G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051100.v4.1 MPLFHLIFLILVLLTSSFGSYSWCPHNYAPQNNRKFEQKTDRFWEFQEQSKTWVEVELPYGLVSCVNDNCTKVGSIHPVTRDAEEQLERQNDVTKKTESLKRKDGDGGKEQNSEIVLPLRKRISLTKMSESSIWVTGESGSIYERFWNGVQWVIAPHDLPVLVGHAICIFIVNQSILALSESGILFQMRLSERSQPIWTEFTPTLDESTNKEAGQSSSIPIKSGVISHDGLTIYFCTKNGSLLELSEAEPPRWENHGRPPGADVAAIAAVATIRPEVVYTVSSTGDLYEYDRRSKPSWKKHIWTEGKVEDASLMPSMGCTLHGLSGDYSISLFLLTKGGKLVERRLNQRKWKWIVHGSPKDHQLTSITPGLQDETNEKFLSLFFTTSSGSVFEYRISKQSGTDQGNQIPEAWSSHMHPPHAKVASGISGLQVQVGRIVFALHDGRLAELHLPGLGGENTGPNHQVNLQKKISIKYVWSILDAPETEGWNAEYCREERGPMNCLEGIKDEPNDHGITRSMARRRKGSQAQQDYLFAGANGPKKVSKENRFPDNWINTNFRLRMMHGGKSFFLITDGGLTFEYIYAENLWLWLRHDHPTPMKGALGNYNGSLFLVDIYGSLLIRERSGEGLAWVNCTAMRNLGHVIGGPPWDGIPGKALKVTEEDAIFLVSKNGRLLQFTVALRKFKWKDCQNPPNTKVASIVDQELFRDNIVFVIGRNGKLYQYNKVTELWHEHYQSQHLILSRLPGTAMRASSQSLTGSLFMLSEDGGLVEYHWNTGVGWNWIEHGTPNKGVTLITSPSPCFEGNQLFLIGSDGKVYVRYMDKMTWRWKNCGFPYVGKLMNEDQTQEGGNDDNEEVCMDKDFAASLENVAEKYSDYNRNCDPKVAPTRPIPFSDDSVIFELKDRRLAEMRRVEGTHWVWSRTIGTPTTLCMANYWTAVAS >Potri.008G215800.1.v4.1 pep chromosome:Pop_tri_v4:8:16620716:16623236:1 gene:Potri.008G215800.v4.1 transcript:Potri.008G215800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G215800.v4.1 MDDNPRKSASSSQIPIVIASSECLMNKLPSCLIMDILSRLPIKTILNCRCVCKTWLHYISDSFFAKLHLERSPTSLLVKTISNNPESRSVQLVQITGKPVGLRFRVVEEMKFVQEINLPYNNDFLIENSCNGLLCISKTFQDGSHDDIYLCNPILGEYISIPLAAGQGTRHKRSFSLGYSAITKEYKVLHTFYSKKGPDSQPEAEIYTIGTGKWRSIHKALHILDIFMFDSFVCGSIHWELRGEDNCVNSIGSFNFENEQFSQLSLPPRYDEGGVTLTVFEGCLGVSFFNTCCETQFEIWVMKEYGNKQSWTKQFTVKNLGFENHYQPLIFLNNGLILMMDNHERFVIYDTRRKFMKVIRICQTRGSKYAIAYKPSFVSLKDVGKGEQLKMSRKQAGRKDDKSSSEGTYNCTSHDQLSESIPPQFQQEKLTKMD >Potri.008G182200.3.v4.1 pep chromosome:Pop_tri_v4:8:12553731:12555533:1 gene:Potri.008G182200.v4.1 transcript:Potri.008G182200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182200.v4.1 MVIKLKEELIMNSFKTIDGRGASVHIAGGPCITVQYVTNIIIHGINIHDCKQGGNAYVRDSPGHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDSYKQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYSHWKMYAIGGSADPTINSQGNRFLAPNDRFNKEVTKHEDAPQSEWKGWNWRSEGDLLLNGAFFTASGAGASSSYAKASSLGARPSSLVSSITAGAGSLACKKGSRC >Potri.008G182200.1.v4.1 pep chromosome:Pop_tri_v4:8:12553247:12555370:1 gene:Potri.008G182200.v4.1 transcript:Potri.008G182200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182200.v4.1 MAIPLSLSILLLILAPNFISCSPVQDPELVVEEVHRSINASRRKLGFLSCGTGNPIDDCWRCDPKWEKNRQRLADCAIGFGKHAIGGRDGKIYVVTDPGNDDPVNPKPGTLRYAVIQEEPLWIIFARDMVIKLKEELIMNSFKTIDGRGASVHIAGGPCITVQYVTNIIIHGINIHDCKQGGNAYVRDSPGHYGWRTISDGDGVSIFGGSHVWVDHCSLSNCNDGLIDAIHGSTAITISNNYLTHHNKVMLLGHSDSYKQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYSHWKMYAIGGSADPTINSQGNRFLAPNDRFNKEVTKHEDAPQSEWKGWNWRSEGDLLLNGAFFTASGAGASSSYAKASSLGARPSSLVSSITAGAGSLACKKGSRC >Potri.010G107600.2.v4.1 pep chromosome:Pop_tri_v4:10:12865652:12867485:1 gene:Potri.010G107600.v4.1 transcript:Potri.010G107600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107600.v4.1 MEKVVGIKQLRASKHEVRLKNYPAVPQWVVVMYNTVFFRTCITHPDSRMDRFCADCYSSLCSNCLPAHARHKHVKIRRYIYSDVINRQDLSKLFNCSGIQTYVTNKARVLFLKQRNRYHRHQQQQINFKDYRCIICHRSLQDNCSHYCSIECKVTAIYGGECRKDQYRIQHLKRRKLKQSRKGVPLRAPMF >Potri.010G107600.1.v4.1 pep chromosome:Pop_tri_v4:10:12865652:12867485:1 gene:Potri.010G107600.v4.1 transcript:Potri.010G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107600.v4.1 MEKVVGIKQLRASKHEKNYPAVPQWVVVMYNTVFFRTCITHPDSRMDRFCADCYSSLCSNCLPAHARHKHVKIRRYIYSDVINRQDLSKLFNCSGIQTYVTNKARVLFLKQRNRYHRHQQQQINFKDYRCIICHRSLQDNCSHYCSIECKVTAIYGGECRKDQYRIQHLKRRKLKQSRKGVPLRAPMF >Potri.002G237400.1.v4.1 pep chromosome:Pop_tri_v4:2:22985815:22995445:-1 gene:Potri.002G237400.v4.1 transcript:Potri.002G237400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237400.v4.1 MASLDISTPLIFKLNSSAKPRKLFSLKYPCRHKHRPSFSLTNAAHTQTALPFSSRTTTPKYKIESEQDPISILNERIRRQHHGKREGSNSKPIMDSEEADQYIQMVKEQQQRGLQKLKGDRVAKEGDVFSYKVDPYTLSSGDYVVHKKVGIGRFVGIKFDMSKGSSEAIEYVFIEYADGMAKLPVKQASRMLYRYNLPNDTKKPRTLSKLSDNGAWERRKTKGKVAIQKMVVDLMELYLHRLKQRRPPYPKTPAMVDFSAQFPYEPTPDQKQAFIDVMRDLTERETPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLAPTIVLARQHFDVISERFSKYPHIKVALLSRFQSKAEKEMYLEMTKHGHLDIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLTATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAYSKERLISAIKYELDRGGQVFYVLPRIKGLEEVKDFLEQSFSNVEIAVAHGQQYSKLLEDTMEQFAQGEIKILICTNIVESGLDIQNANTIIIQDVQLFGLAQLYQLRGRVGRADKEAHAHLFYPDKSMLTDQALERLAALEECQELGRGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDFFFEMLFESLSKVDEHRVISVPYQSVQVDLNINPHLPSDYINSLENPMEIINEAEKAAETDIWSLMQFTENLRCQYGKEPCSMEILLKKLYIRRMAADIGIMRIYASGKMVGMETNMSKKVFKLMADSMSSDIHRNSLVFDGNQIKAELLLELPRAQLLNWVFQCIAELHACLPALIKY >Potri.002G237400.2.v4.1 pep chromosome:Pop_tri_v4:2:22985820:22995289:-1 gene:Potri.002G237400.v4.1 transcript:Potri.002G237400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237400.v4.1 MASLDISTPLIFKLNSSAKPRKLFSLKYPCRHKHRPSFSLTNAAHTQTALPFSSRTTTPKYKIESEQDPISILNERIRRQHHGKREGSNSKPIMDSEEADQYIQMVKEQQQRGLQKLKGDRVAKEGDVFSYKVDPYTLSSGDYVVHKKVGIGRFVGIKFDMSKGSSEAIEYVFIEYADGMAKLPVKQASRMLYRYNLPNDTKKPRTLSKLSDNGAWERRKTKGKVAIQKMVVDLMELYLHRLKQRRPPYPKTPAMVDFSAQFPYEPTPDQKQAFIDVMRDLTERETPMDRLICGDVGFGKTEVALRAIFCVVSAGKQAMVLAPTIVLARQHFDVISERFSKYPHIKVALLSRFQSKAEKEMYLEMTKHGHLDIIVGTHSLLGSRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLTATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAYSKERLISAIKYELDRGGQVFYVLPRIKGLEEVKDFLEQSFSNVEIAVAHGQQYSKLLEDTMEQFAQGEIKILICTNIVESGLDIQNANTIIIQDVQLFGLAQLYQLRGRVGRADKEAHAHLFYPDKSMLTDQALERLAALEECQELGRGFQLAERDMGIRGFGTIFGEQQTGDVGNVGIDFFFEMLFESLSKVDEHRVISVPYQSVQVDLNINPHLPSDYINSLENPMEIINEAEKAAETDIWSLMQFTENLRCQYGKEPCSMEILLKKLYIRRMAADIGIMRIYASGKMVGMETNMSKKVFKLMADSMSSDIHRNSLVFDGNQIKAELLLELPRAQLLNWVFQCIAELHACLPALIKY >Potri.012G068100.1.v4.1 pep chromosome:Pop_tri_v4:12:8888569:8899342:1 gene:Potri.012G068100.v4.1 transcript:Potri.012G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068100.v4.1 MSGEAASSSSGVVLQGLDDVEEFIWANEGEGSLPWDRFSHVFDLVQNGNKAFRENHFEEAINYYSRANNIKPGDPIILGNRSAAYSRVSHLLKQRPASTSEDTPLNGLDPTIHAELALKDAEKVINLRNNSVNPYILKANALILLEKYELAQDVVLSGLQVDPFSNPLRVSLRNLERMLGSMMSKSLGKPERSDEFDCTLCLKLLFEPITTPCGHSFCRSCLFQSMDRGNKCPLCRTVLFISPRTCSISVTLNNIIQKNFPEEYAERKSEHDCLTNFGVDLIPLFVMDAVIPCQKFPLHIFEPRYRLMVRRIMEGNHRMGMVIIDSASGSIADLACEVEITECEPLPDGRFYLEVESRRRFRILQSWDQDGYRVAEIEWVQDNSPEGLEQRTEMQELTNSAAEYAQSWLRRAKEAARQDRRRLEKFLNVEAMMPMPLDPERFSFWLATLTDRRPSERLELLRTRDTTKRIRQGLDYLGKAEQGCRMQ >Potri.006G253500.6.v4.1 pep chromosome:Pop_tri_v4:6:25155715:25161181:1 gene:Potri.006G253500.v4.1 transcript:Potri.006G253500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253500.v4.1 MPMTDPFFCIHHKEGIAFDVLFLVNAVMHKGIFNQHQLSNDFFDLLRNQPTEVNVAALKHIYPYRRPVFDAYKKLKVAQEWLLKNPKFFKNQKKLDDIAEIRRLVITPTKAYCLPPEVELSNRVLRKYKDVADRFLRVTFMDEGLQTMNSNALNYFAAPIVRAITSYSFPQKTRIFKRVRSILTEGFYLCGRRYSFLAFSSNQLRDRSAWFFAEDRNINVMAIKSWMGKFTNKNIAKCAARMGQCFSSTYATIEVPPEEVNSDLPDIKRNGYDFSDGIGMITPDLAREVAEKLKFDFDPPCAYQIRYAGCKGVVACWPEQGDGIRLSLRSSMNKFQSNHTILEICSWTRFQPGFLNRQIITLLSALNVPDAVFWKMQELMVSKLNQMLVDSDVAFDVLTASCAEQGNVAAIMLSAGFKPQKEPHLRGMLTCVRAAQLWGLREKARIFVPSGRWLMGCLDELGVLEQGQCFIQVSNSYLENCFVKHGSKFSETKKNLQVVKGTVVIAKNPCLHPGDIRILEAVDAPGLHHLYDCLVFPQKGERPHANEASGSDLDGDLYFVTWDENLIPPSKRSWIPMQYDAAEAKQLTRPVNHQDIVEFFAKNMANENLGAICNAHVVRADLSEYGALDEKCLTLAELAATAVDFPKTGKIVSMPSDLKPKIYPDFMGKEEHQSYKSKKILGRLYRQIKDAYDDDDVAASSELNFVRGDIPYDLDLEVLGATDFISDAWDRKCSYDGQLNGLLAQYKVKREEEVVTGHVWSMPKGSSRKQGDLKERLKHSYNCLKREFRQVFEKMDLDFGQLDDDEKNMLYERKASAWYQVTYHPHWIQKSLELQDSDGAGISVMLSFAWIAADYLARIKIRHSRIGNVDSAKPVNSLAKYLADRM >Potri.006G253500.5.v4.1 pep chromosome:Pop_tri_v4:6:25154339:25160190:1 gene:Potri.006G253500.v4.1 transcript:Potri.006G253500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253500.v4.1 METEGSAKETVVTQVSLGGFDIHVTAKDLLEYLEREIGLVWRCRLKTSWTPPESYPNFEITDITKITRTEDYRRVEPHAFVHFALPQSATCAIDAADRCELFLNNKGLKASLGPENPFTLNQRRRKTTPFKLSGVGVEIGTLVSRDEFFVGWRGPPTGVDFLVDPFDGTCRFCFSRNTAFSFKSTAEHAVIKCDFKVEFLVRDINEIKQYTETSCLVLLLQLASAPRVWYRTADDDIEVSVPFDLLDDDDPWIRTTDFTASGAIGRCHSYRVSIPPRHGPKLRKAVDFLKERRVQEEYLRRPIRIRDEPDFGMPMTDPFFCIHHKEGIAFDVLFLVNAVMHKGIFNQHQLSNDFFDLLRNQPTEVNVAALKHIYPYRRPVFDAYKKLKVAQEWLLKNPKFFKNQKKLDDIAEIRRLVITPTKAYCLPPEVELSNRVLRKYKDVADRFLRVTFMDEGLQTMNSNALNYFAAPIVRAITSYSFPQKTRIFKRVRSILTEGFYLCGRRYSFLAFSSNQLRDRSAWFFAEDRNINVMAIKSWMGKFTNKNIAKCAARMGQCFSSTYATIEVPPEEVNSDLPDIKRNGYDFSDGIGMITPDLAREVAEKLKFDFDPPCAYQIRYAGCKGVVACWPEQGDGIRLSLRSSMNKFQSNHTILEICSWTRFQPGFLNRQIITLLSALNVPDAVFWKMQELMVSKLNQMLVDSDVAFDVLTASCAEQGNVAAIMLSAGFKPQKEPHLRGMLTCVRAAQLWGLREKARIFVPSGRWLMGCLDELGVLEQGQCFIQVSNSYLENCFVKHGSKFSETKKNLQVVKGTVVIAKNPCLHPGDIRILEAVDAPGLHHLYDCLVFPQKGERPHANEASGSDLDGDLYFVTWDENLIPPSKRSWIPMQYDAAEAKQLTRPVNHQVAMCFIQISSLKFVEYCGARYDI >Potri.006G253500.1.v4.1 pep chromosome:Pop_tri_v4:6:25154321:25161180:1 gene:Potri.006G253500.v4.1 transcript:Potri.006G253500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253500.v4.1 METEGSAKETVVTQVSLGGFDIHVTAKDLLEYLEREIGLVWRCRLKTSWTPPESYPNFEITDITKITRTEDYRRVEPHAFVHFALPQSATCAIDAADRCELFLNNKGLKASLGPENPFTLNQRRRKTTPFKLSGVGVEIGTLVSRDEFFVGWRGPPTGVDFLVDPFDGTCRFCFSRNTAFSFKSTAEHAVIKCDFKVEFLVRDINEIKQYTETSCLVLLLQLASAPRVWYRTADDDIEVSVPFDLLDDDDPWIRTTDFTASGAIGRCHSYRVSIPPRHGPKLRKAVDFLKERRVQEEYLRRPIRIRDEPDFGMPMTDPFFCIHHKEGIAFDVLFLVNAVMHKGIFNQHQLSNDFFDLLRNQPTEVNVAALKHIYPYRRPVFDAYKKLKVAQEWLLKNPKFFKNQKKLDDIAEIRRLVITPTKAYCLPPEVELSNRVLRKYKDVADRFLRVTFMDEGLQTMNSNALNYFAAPIVRAITSYSFPQKTRIFKRVRSILTEGFYLCGRRYSFLAFSSNQLRDRSAWFFAEDRNINVMAIKSWMGKFTNKNIAKCAARMGQCFSSTYATIEVPPEEVNSDLPDIKRNGYDFSDGIGMITPDLAREVAEKLKFDFDPPCAYQIRYAGCKGVVACWPEQGDGIRLSLRSSMNKFQSNHTILEICSWTRFQPGFLNRQIITLLSALNVPDAVFWKMQELMVSKLNQMLVDSDVAFDVLTASCAEQGNVAAIMLSAGFKPQKEPHLRGMLTCVRAAQLWGLREKARIFVPSGRWLMGCLDELGVLEQGQCFIQVSNSYLENCFVKHGSKFSETKKNLQVVKGTVVIAKNPCLHPGDIRILEAVDAPGLHHLYDCLVFPQKGERPHANEASGSDLDGDLYFVTWDENLIPPSKRSWIPMQYDAAEAKQLTRPVNHQDIVEFFAKNMANENLGAICNAHVVRADLSEYGALDEKCLTLAELAATAVDFPKTGKIVSMPSDLKPKIYPDFMGKEEHQSYKSKKILGRLYRQIKDAYDDDDVAASSELNFVRGDIPYDLDLEVLGATDFISDAWDRKCSYDGQLNGLLAQYKVKREEEVVTGHVWSMPKGSSRKQGDLKERLKHSYNCLKREFRQVFEKMDLDFGQLDDDEKNMLYERKASAWYQVTYHPHWIQKSLELQDSDGAGISVMLSFAWIAADYLARIKIRHSRIGNVDSAKPVNSLAKYLADRM >Potri.001G149600.2.v4.1 pep chromosome:Pop_tri_v4:1:12496789:12499809:-1 gene:Potri.001G149600.v4.1 transcript:Potri.001G149600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149600.v4.1 MYRERGIGVSKTDVDHRKQRISDVLDKHLDRSSPSSSRPTNGKDLFLFMNKQQPPDHNNIDHLLSKDNNASVVESDTDSEESDVSGSDGDETSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHEEQNELVESAAEMLYGLIHARYILTSKGMTAMLEKYKNYDFGRCPRVCCCGQPCLPVGQSDIPRSSTVKICCPRCDDIYYPRSKYQGNIDGAYFGTTFPHLFLMTHGHLKPQKVVQTYVPRVFGFKLHKP >Potri.001G149600.1.v4.1 pep chromosome:Pop_tri_v4:1:12496798:12499809:-1 gene:Potri.001G149600.v4.1 transcript:Potri.001G149600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149600.v4.1 MYRERGIGVSKTDVDHRKQRISDVLDKHLDRSSPSSSRPTNGKDLFLFMNKQQPPDHNNIDHLLSKDNNASVVESDTDSEESDVSGSDGDETSWISWFCSLRGNEFFCEVDDDYIQDDFNLCGLSSQVPYYDYALDLILDIESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGMTAMLEKYKNYDFGRCPRVCCCGQPCLPVGQSDIPRSSTVKICCPRCDDIYYPRSKYQGNIDGAYFGTTFPHLFLMTHGHLKPQKVVQTYVPRVFGFKLHKP >Potri.001G073800.7.v4.1 pep chromosome:Pop_tri_v4:1:5550697:5556332:-1 gene:Potri.001G073800.v4.1 transcript:Potri.001G073800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073800.v4.1 MEHMIRSIRGSRNVGTGNIEVDNSEMEVFCGCIDRMFSKVNEFEQRVDAVERYYADNKELNTAKCSSILKDKIKKKHLMTVEKQQQDSEKITQDLMRQFAVIFRQIAQHKWAWPFLEPVDVEGLCLHDYYEVIEKPMDFRTIKNRMEAKDGTGYKNVREIYADVRLVFKNAMKYNDERDDVHVMARTLLEKFEEKWLQLLPKVAEEEKRREKEQTATQVATKLAEESSYANMAQDLSNELHGVDMQLERIREMVVRNSRKISTEEKKKLGTALTQLSHQDLIRALEIVAEHNPSFQATAQEVNLDMDTQSDVTLWRLKVFVQDALKVSGRNSGGTGMGCNSNINNDDNKAKININNKNRNTTASKRKGERCDAVTKASAKRTKKISLNSLNP >Potri.001G073800.6.v4.1 pep chromosome:Pop_tri_v4:1:5550320:5556332:-1 gene:Potri.001G073800.v4.1 transcript:Potri.001G073800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073800.v4.1 MEHMIRSIRGSRNVGTGNIEVDNSEMEVFCGCIDRMFSKVNEFEQRVDAVERYYADNKELNTAKCSSILKDKIKKKHLMTVEKQQQDSEKITQDLMRQFAVIFRQIAQHKWAWPFLEPVDVEGLCLHDYYEVIEKPMDFRTIKNRMEAKDGTGYKNVREIYADVRLVFKNAMKYNDERDDVHVMARTLLEKFEEKWLQLLPKVAEEEKRREKEQTATQVATKLAEESSYANMAQDLSNELHGVDMQLERIREMVVRNSRKISTEEKKKLGTALTQLSHQDLIRALEIVAEHNPSFQATAQEVNLDMDTQSDVTLWRLKVFVQDALKVSGRNSGGTGMGCNSNINNDDNKAKININNKNRNTTASKRKGERCDAVTKASAKRTKKISLNSLNP >Potri.006G041500.1.v4.1 pep chromosome:Pop_tri_v4:6:2795187:2803058:-1 gene:Potri.006G041500.v4.1 transcript:Potri.006G041500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G041500.v4.1 MAPYFVFPQNLKSLEEENEDNRLHVLNPTDVASLRLPELEEFVKGVSFDLSDKEIFCIEEQEVFDHVYSLVKGFSSLTPSGKVNLVESLRSNLSVLLPNVDSLLRVFQGQDDDNDNGNETPPVLDRVSSYRNALKIYTFFLVSIVLSEESSASSNNKTKMTGPNRKKQSVHSWNWEPQRGRILNLIANSLEINLALLFGSTDPDENYLSFITKNAFGLFENATLIKDSETKDALCRIIGACATKYHYTAQSCASIMHLVHKYDYVVTHMADAVAGAEKKYADGTLASSLIREVGRTNPKAYVKDTVGAENVGRFLVELADRLPKLISTNIGVLVPHFGGESYKIRNALVAVLGKLVAKAFKDVEGDVSSKSVRLRTKQAMLEILLERCRDVSAYTRSRVLQVWAELCEEHSVSIGLWNEVAAVAAGRLEDKSAIVRKAALNLLIMMLQHNPFGPQLRIASFQATLEQYNKKLNELEPDKSAESVLDGLQSDNETYDGGEVDDVNMEEPVKEQQESLTDSVPNLEEGIPHKDSSVPDIGNLEQTRALVASLEAGLIFSKCVSATMPTLVQLMASSSATDVENTILLLMRCKQFQIDGAEACLRKMLPLVFSQDKSIYEAVENAFITIYVRKNPLDTAKNLLDLAIDSNIGDLAALEFIVNALVSKGDISTSTISALWDFFCFNISGTTPEQSRGALSVLCMAAKASPGVLGSHLQDIIDIGFGRWAKVDPLLARTACIAIQRLSEEDKKKLLASNGSRVFGFLENLISGSWLPENTWYAAADKAIGVIYAIHPTPETLAADLVKKSLSSVFICSGGDDLQNDIESGSADILTTVQVAKISRYLFVTSHVAMNQLLYIETCVRKIQKQKLKRDKLGADGQNGHNNGIKQDDTPKDNINAELGVSASEDAILDTLSERAEKEIVAGGSKEKYLIGLCAPFLSKLCRNFSLMQKYPELQASGMLALCRFMIIDPDFCDANLQLLFTVVESAPSETVRSNCTIALGDLAVRFPNLLEPWTENMYARLRDPSVSVRKNAVLVLSHLILNDMMKVKGYINEMAIRLEDEQERISNLAKLFFHELSKKGSNPIYNLLPDILGKLSNQELKRETFCNIMQFLIGSIKKDKQMESLVEKLCNRFSGVIDTRQWEYISYCLSQLAFTEKGMKKLIDSFKTFEHVLSEDSVMDNFKSIIIKAKKFAKPELKLCIEEFEEKLTKFHMEKKEQEVTARNAQIHQQKIGGMEGCAVARNEGEVSEESDVFEDGEIDDPSMEEMVQSSDSEVNRSGEYSGTSSEVTGMESDGTEVQSKVASKSRATESKVKGESGDISASSRRSTRSKQR >Potri.014G126200.1.v4.1 pep chromosome:Pop_tri_v4:14:8474767:8476323:1 gene:Potri.014G126200.v4.1 transcript:Potri.014G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126200.v4.1 MALTHSSSATFLLLLSLSVIASAGGYGYDQKPDTPKPNYTYNPKPQPDTSKPKYSYDPKPQPDVVKPDLHKPYYDSNPKPTLPKPKFTEPKPDNGYDSKPYLGQPTIPKQDTAKPNYGYNPKPEVPKPKLTMPKPNHGNDPKPKLIVPKPDTAKPNYGYNPKPEVPKPNYEYVPKPNLLKPKMTVPKPDHGYDPKEKVYEQPKSTTPKPEIITPHDGYAQKPNLPEPKLYIPKPGNDKLDYDYEYSPIGIEGFVLCKQGSNYTPIEGAVIRIACTAVDQYGYKKVPFSCLTEATNAKGYYFKTLPALKLTECKAYLESSPLKTCNVPTDMNYGITGAPLSAYHILHDKKIKLYSMRTFFYTSTTPTSTPAGY >Potri.013G042750.1.v4.1 pep chromosome:Pop_tri_v4:13:2945505:2946559:1 gene:Potri.013G042750.v4.1 transcript:Potri.013G042750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042750.v4.1 MPEEGSLLLPTPALKIVQTKSKFLGTSSTTLTMNCEFQTRDLAFQYRIEICVFGVHGQTISKGDNCTVACVISESHASSGCRF >Potri.008G063800.1.v4.1 pep chromosome:Pop_tri_v4:8:3854251:3857003:1 gene:Potri.008G063800.v4.1 transcript:Potri.008G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063800.v4.1 METGIACCARGAYLPGVSQHSKASMSPRSISPSFATRSLKSSSLFGETLRFIPRSSLRVSKAKNSSLVTRCEIGDSLEEFLAKATPDKGLIRVMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANNLLFEALTHSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFSVGTIFGVWPGEKLIGVTGRDQVAAAMGVYGPRTTYVLALKDYPGTHEFLLLDEGKWQHVKETTEVGEGKLFSPGNLRATFDNPDYEKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPTSKAKLRLLFEVAPLGLLVEKAGGYSSDGHKSVLDKEIINLDDRTQVAYGSKNEIIRFEETLYGKSRLKAEGVPVGAAA >Potri.008G063800.10.v4.1 pep chromosome:Pop_tri_v4:8:3854897:3857159:1 gene:Potri.008G063800.v4.1 transcript:Potri.008G063800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063800.v4.1 MMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANNLLFEALTHSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFSVGTIFGVWPGEKLIGVTGRDQVAAAMGVYGPRTTYVLALKDYPGTHEFLLLDEGKWQHVKETTEVGEGKLFSPGNLRATFDNPDYEKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPTSKAKLRLLFEVAPLGLLVEKAGGYSSDGHKSVLDKEIINLDDRTQVAYGSKNEIIRFEETLYGKSRLKAEGVPVGAAA >Potri.008G063800.11.v4.1 pep chromosome:Pop_tri_v4:8:3854253:3856892:1 gene:Potri.008G063800.v4.1 transcript:Potri.008G063800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063800.v4.1 MMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANNLLFEALTHSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFSVGTIFGVWPGEKLIGVTGRDQVAAAMGVYGPRTTYVLALKDYPGTHEFLLLDEGKWQHVKETTEVGEGKLFSPGNLRATFDNPDYEKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPTSKAKLRLLFEVAPLGLLVEKAGGYSSDGHKSVLDKEIINLDDRTQVAYGSKNEIIRFEETLYGKSRLKAEGVPVGAAA >Potri.008G063800.9.v4.1 pep chromosome:Pop_tri_v4:8:3851118:3856897:1 gene:Potri.008G063800.v4.1 transcript:Potri.008G063800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063800.v4.1 MGEGSGHSLLTYKSALQGIAKLQVNETRLSEDLNQSWEVLAEPIQTSLKSSSLFGETLRFIPRSSLRVSKAKNSSLVTRCEIGDSLEEFLAKATPDKGLIRVMMCMGEALRTIAFKVRTASCGGTACVNSFGDEQLAVDMLANNLLFEALTHSHFCKYACSEEVPELQDMGGPVEGGFSVAFDPLDGSSIVDTNFSVGTIFGVWPGEKLIGVTGRDQVAAAMGVYGPRTTYVLALKDYPGTHEFLLLDEGKWQHVKETTEVGEGKLFSPGNLRATFDNPDYEKLINYYVKEKYTLRYTGGMVPDVNQIIVKEKGVFTNVISPTSKAKLRLLFEVAPLGLLVEKAGGYSSDGHKSVLDKEIINLDDRTQVAYGSKNEIIRFEETLYGKSRLKAEGVPVGAAA >Potri.016G046900.1.v4.1 pep chromosome:Pop_tri_v4:16:3000350:3001814:1 gene:Potri.016G046900.v4.1 transcript:Potri.016G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046900.v4.1 MKASLKFREEQNPVFRAKVPLNILGLPFQSGIIAGESKELSLNLSTFFQSGPSIKIAYRPSDTWNPFSLVIKTGTGHFGSPVSSSMIMSAEFNLLSKGNSNLNPSFMLHFKPQFGDFSIKKSQSSTHVSHLTGSILNGGASSDDHGSIEAVEAATPTPDVVDGVFCGKRITVLPPVTASAVAGLFSGVEVTAKTRLPVRSKAVVSFRWGVRVPAEIKSGGESTAGINFRTIPVFVMNKIGIEHVDGRDERSKKEGTTGKVEMDSGNAEVAEACLGVKRQLEVLQSENGHLRKAVEELSEEIGGGKLLVGDLDSGKYERNGIKSLE >Potri.009G004800.1.v4.1 pep chromosome:Pop_tri_v4:9:1097877:1098894:-1 gene:Potri.009G004800.v4.1 transcript:Potri.009G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004800.v4.1 MEAQDEFMGSNDLTQIVKGKRTKRQRSSSPHKVATSCSSSGYGGGGGERGVLIEEYGSISSPTTSSEVCESTEEEEDMANCLILLAQGDVPPKQIHENKGSKVEKFSARKFSDMSAPTINKAGFFVYECKTCNRCFPSFQALGGHRASHKRPKATAPEEKKGLVVASMEDLDDRQLNKRSPYPCLSLQIPNNNNVNKGFQANKAKIHECSICGSEFMSGQALGGHMRRHRANTGANQVSNISTDSSSATTESKIHGDHHHTIKPRNMLALDLNLPAPPEDDHHLRESKFQLSSTQQPLVFSSPALVDCHY >Potri.010G144200.2.v4.1 pep chromosome:Pop_tri_v4:10:15643321:15646419:-1 gene:Potri.010G144200.v4.1 transcript:Potri.010G144200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144200.v4.1 MEISDSSARQTRNGDHISIDIRHGDHLLASIRRKMETISCSHSICRVKENIRNANKKAYIPDKVSIGPYHHGKQGLETMEEHKWRYMDALLSRKPDLEASLDDCLTALREVEHRARACYEEEINVTDDEFLQMMLVDGCFIIELFLKYSIKSLRRRNDPVFTTPGMLFDLRSNLMLLENQIPLFILQRLFEVVPTPKQCTHSLATLAFHFFKYMIPGDPQIHQQKFNQEGNHILDLICHCLLPRYPRVPGTKSDQKHFRCATELQAAGIRIKRARTKNLLDIKFVSGVLEIPNVLIHQYTESLFKNLIALEHCSGDSVQHITSYVFLMKSLIGSDEDVKLLKKKDILTNYDVNEKEVAKLFEKSCEEVNLNESYYDGLFEQVKGHKSTRKTWHLRSEEFKRSYRRNPLHSCT >Potri.010G144200.1.v4.1 pep chromosome:Pop_tri_v4:10:15643322:15646418:-1 gene:Potri.010G144200.v4.1 transcript:Potri.010G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144200.v4.1 MEISDSSARQTRNGDHISIDIRHGDHLLASIRRKMETISCSHSICRVKENIRNANKKAYIPDKVSIGPYHHGKQGLETMEEHKWRYMDALLSRKPDLEASLDDCLTALREVEHRARACYEEEINVTDDEFLQMMLVDGCFIIELFLKYSIKSLRRRNDPVFTTPGMLFDLRSNLMLLENQIPLFILQRLFEVVPTPKQCTHSLATLAFHFFKYMIPGDPQIHQQKFNQEGNHILDLICHCLLPRYPRVPGTKSDQKHFRCATELQAAGIRIKRARTKNLLDIKFVSGVLEIPNVLIHQYTESLFKNLIALEHCSGDSVQHITSYVFLMKSLIGSDEDVKLLKKKDILTNYDVNEKEVAKLFEKSCEEVNLNESYYDGLFEQVKGHKSTRKTWHLRSEEFKRSYRRNPLHSCT >Potri.003G027116.1.v4.1 pep chromosome:Pop_tri_v4:3:2912812:2913105:1 gene:Potri.003G027116.v4.1 transcript:Potri.003G027116.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G027116.v4.1 MKAVGSSELGLLPAEGDDEENGFVLASSWGENGKGELRWLLVKEGLLLAGEGRRRCWNRLEREKENEGLCRKGTPAGRGKPKTWGAGSLQQGKGGFD >Potri.011G147300.1.v4.1 pep chromosome:Pop_tri_v4:11:17528861:17534530:1 gene:Potri.011G147300.v4.1 transcript:Potri.011G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147300.v4.1 MDFSSPPCRSPLSLSRTRNRNRRWRQSRTGTAVVVVAALVISTTAWLSLVFSGTTARCWHRFKNWEGSPHTLTWKPRSQKPNQNGKVDGISSFSTAPSLQLGRNRSLSEAERRKVEAGLTLNHIVFGIAGSSQLWKRRREFIRLWWRKNSMRGHVWLEEKVDDKEWDESLPVIMISEDTSRFRYTNPTGHPSGLRIGRIVLETFRLGLSDVRWFVLGDDDTIFNLDNLVNVLSKYDYNEMVYVGGSSESHSANTYFSHNMAYGGGGIAISYPLAEALYSVLDDCLERYHKLYGSDDRLHACISELGVPLSRELGFHQWDIRGSAHGLLSAHPVAPFVSIHHVEAVDPFYPGLSSLDSLKLFTKAMKVDPMSFLQRSICYDRARRLSFSVSLGYTIQVFPGIVPPRVLERSEMTYSAWNKIHSRNEFDLDTRDPSKSVCKRPVLFFLEDVERQGNTTLGTYVQARMKDDLKRSFFCFTRSAPLPYVESIQVLGYPLKKNWHLSPRRLCCKLNQTSDELLTISVGQCEKGSCGSFADSV >Potri.006G034000.1.v4.1 pep chromosome:Pop_tri_v4:6:2126855:2131667:-1 gene:Potri.006G034000.v4.1 transcript:Potri.006G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034000.v4.1 MKHEMEMELESNSSKVGNGKGKEEEEEEEKKEDSKRRKLGGIKTMPFILSNEICDKFAAAGFYANMITYLTQQLNLPLVKASNTLTNFGGMGSFTPLIGALIADSYAGRYWTIIGGSIIYELGMISLTVSAVLPSLRPPPCPSQVNCKEASNSQLWILYMSLILTSIGSGGIKPCVVTFAADQFDMTKSAVGSRSWNFFNWYYFCMGMATLTALTVVVYIQDNVGWGWGLGIPAIGMALSIVAFIFGSSLYNKLKPEGSPLVRLAQVVVAAAKKRKEVMPLDPGMLYQNKELDAAISVNGRLLHSDQFKWFDKAAIVTNEDAKDSKSPNLWRIATVHRIEELKCIVRMLPIWSAGILLVTASSHLHSFVIQQARSMDRHLSHSFEIPPASFSVFSILTMLIGLVLYERLFVPFARRFTGNPSGITCLQRMGVGFFINIIATIVSALVEVKRKQVAALHNLLDAPQAIIPISVFWLLPQYILHGIADVFMSVGHMEFLYDQSPETMRSTAAALNSLEVSMGNYIGTLVVSLVHKYTGQKNNWLPDRNLNGGKLDYYYWLVTGIQVINLVYYVICAWFYTYKPLEEVKEEDDDVPAEDEIQHKRLNYAEGNGEVELRRNVIV >Potri.005G056800.1.v4.1 pep chromosome:Pop_tri_v4:5:3552903:3555661:-1 gene:Potri.005G056800.v4.1 transcript:Potri.005G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G056800.v4.1 MASIIFFLLLALSFTASAQRQTNITLGSSLTPITNSSWLSPSGLYAFGFFRQRNGYSIGVFLSGISLKTVVWAARRDDAPVPSNATLLFTSDGRLVLTSAQGGETLIVSASQPASLASMSDSGNFVLYNSDREIIWQSFDHPTDTLLPTQQLKARAELFSPVSQTDLSTGIYRLKMQNDGNLVQYPVNTPDTAPYSYFSSFTDGKGDNVTLNLDPDGHLYLLNSTGFNIKNITTGGYPTKETINMMKLDADGIFRLYSQNLTRNGNRSDVWPSTSDKCDPKGSCGLNGYCVMKDKEAECTCLPGFEFVSQGNWTSGCERDFDAESCKDKNGSSTYTMEELSNTEWEDASYSVLSSTTKDNCKQACLEDCNCEAALFTDGQYCRKQRLPLRFGRRKLGSTNLAVVKVGRPISIMDRKDSKEPITEKKNLGTGRTILIISCSFVAFGLAMVPICGIIIYRYHVLAYKKVPSNDSTGLNEEFAPRAFTYAELENVTGGFKEEIGRGSFGTVYKGIISSNQKVVAVKRLEKVLAEGEREFQNEMKVIGKTHHRNLVRLLGYCHDGHHRLLVYEYMSNGSLADILFSLEKRPCFPERLEIARNIARGIVYLHEECETQIIHCDIKPQNILIDESRCPKVSDFGLAKLLKSDQTKTFTGIRGTRGYVAPEWHRNMPVTVKADVYSFGVMLLEITCCRKNVDWSLPEDEAVLEQWVYQCFQDGDMDKLVGEEVAEKKQLDRMVKVGIWCTLDEPSLRPSMKKVLLMLEGTVEIPIPPSPTSFITAI >Potri.001G224600.1.v4.1 pep chromosome:Pop_tri_v4:1:24230695:24232251:1 gene:Potri.001G224600.v4.1 transcript:Potri.001G224600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224600.v4.1 MDDHERELFQGDHYPAKVTAAKPVASQPLDPLASKSKFCFKIKIANSSKPSGDDREGSAADLHGPGYRVCEYCGKEFSSGKAWGGHKRHHLKNDKDLKKAKKLELTKIQREKTKKHELKLSKSNASRCNTIKAGDVSVASGGKPTCCLCAKVFPSMNSLFGHMRFHPDRGWKGTQPPSFSDKHSSSSSLSESAAGLEVDQIGLAMEKGLDDGVDLLASLSSWNKRDKRGRTLDPVEAVCDLDPVVAACNLIELSRDGTGQLEKKKIEEVRQSKKLKINDESATYKSFQPKNSGKPMDDQKAVAFDSEGGVSCWFNEEKGKTKRESDEQDDESHSMITKKKKKKMMMMNWMCKLNDSETSKGTGSYKIGCRSYDKAFPTFHAPGGHVPPGLANADRAELLDYNSNMDVKGHVLSSTEVKQSEEIEEEGSVPMGISSFQCDICHKTFPTGQALGGHKRCHWKGPVKATPSHEVALLGEASQNTSNTESSGEANQDGEPTRASACRLSFDLNMPYIMEDGQ >Potri.009G015800.3.v4.1 pep chromosome:Pop_tri_v4:9:2711565:2718010:1 gene:Potri.009G015800.v4.1 transcript:Potri.009G015800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015800.v4.1 MGVSGKWFKALVGLKKSEKSQSLDKEENGATASKSRHRRKHSVEFDADKIQEEFHNHNHNHNHNHNDGDANIRSIPDTSESPFDSLQVQDLAHNQQVMREELAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTAQQKLQQQLANEARVREIEEGWCDSVGSVEQIQAKLLKRQEAAAKRERAIAYALAHQWQAGSRHQAVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDETAAEVRNGSKSQLKTTAKKAIASDLQSTISSQKKGPSHSDGGSSSPSKSAGMLEAPNTLFSKPKPKPVLEDLVEEAISKPAMATRSHSNPKERTTQLDKQAKKRLSLPNSGGGAGTQAARNSRNAARGTPSSQKPIRDRSKLNGKGDSNTTKSVAQAV >Potri.009G015800.2.v4.1 pep chromosome:Pop_tri_v4:9:2711466:2718009:1 gene:Potri.009G015800.v4.1 transcript:Potri.009G015800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015800.v4.1 MGVSGKWFKALVGLKKSEKSQSLDKEENQGATASKSRHRRKHSVEFDADKIQEEFHNHNHNHNHNHNDGDANIRSIPDTSESPFDSLQVQDLAHNQQVMREELAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTAQQKLQQQLANEARVREIEEGWCDSVGSVEQIQAKLLKRQEAAAKRERAIAYALAHQWQAGSRHQAVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDETAAEVRNGSKSQLKTTAKKAIASDLQSTISSQKKGPSHSDGGSSSPSKSAGMLEAPNTLFSKPKPKPVLEDLVEEAISKPAMATRSHSNPKERTTQLDKQAKKRLSLPNSGGGAGTQAARNSRNAARGTPSSQKPIRDRSKLNGKGDSNTTKSVAQAV >Potri.009G015800.6.v4.1 pep chromosome:Pop_tri_v4:9:2711524:2718007:1 gene:Potri.009G015800.v4.1 transcript:Potri.009G015800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015800.v4.1 MGVSGKWFKALVGLKKSEKSQSLDKEENQGATASKSRHRRKHSVEFDADKIQEEFHNHNHNHNHNHNDGDANIRSIPDTSESPFDSLQVQDLAHNQQVMREELAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTAQQKLQQQLANEARVREIEEGWCDSVGSVEQIQAKLLKRQEAAAKRERAIAYALAHQWQAGSRHQAVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDETAAEVRNGSKSQLKTTAKKAIASDLQSTISSQKKGPSHSDGGSSSPSKSAGMLEAPNTLFSKPKPKPVLEDLVEEAISKPAMATRSHSNPKERTTQLDKQAKKRLSLPNSGQFSLSFFSFMPTHLHDSTRRFKSMQGLPHCLSQK >Potri.009G015800.5.v4.1 pep chromosome:Pop_tri_v4:9:2711521:2718010:1 gene:Potri.009G015800.v4.1 transcript:Potri.009G015800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015800.v4.1 MGVSGKWFKALVGLKKSEKSQSLDKEENGATASKSRHRRKHSVEFDADKIQEEFHNHNHNHNHNHNDGDANIRSIPDTSESPFDSLQVQDLAHNQQVMREELAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTAQQKLQQQLANEARVREIEEGWCDSVGSVEQIQAKLLKRQEAAAKRERAIAYALAHQWQAGSRHQAVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDETAAEVRNGSKSQLKTTAKKAIASDLQSTISSQKKGPSHSDGGSSSPSKSAGMLEAPNTLFSKPKPKPVLEDLVEEAISKPAMATRSHSNPKERTTQLDKQAKKRLSLPNSVEAGGGAGTQAARNSRNAARGTPSSQKPIRDRSKLNGKGDSNTTKSVAQAV >Potri.009G015800.7.v4.1 pep chromosome:Pop_tri_v4:9:2711543:2718205:1 gene:Potri.009G015800.v4.1 transcript:Potri.009G015800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015800.v4.1 MGVSGKWFKALVGLKKSEKSQSLDKEENGATASKSRHRRKHSVEFDADKIQEEFHNHNHNHNHNHNDGDANIRSIPDTSESPFDSLQVQDLAHNQQVMREELAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTAQQKLQQQLANEARVREIEEGWCDSVGSVEQIQAKLLKRQEAAAKRERAIAYALAHQWQAGSRHQAVPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIREDETAAEVRNGSKSQLKTTAKKAIASDLQSTISSQKKGPSHSDGGSSSPSKSAGMLEAPNTLFSKPKPKPVLEDLVEEAISKPAMATRSHSNPKERTTQLDKQAKKRLSLPNSGQFSLSFFSFMPTHLHDSTRRFKSMQGLPHCLSQK >Potri.009G114701.1.v4.1 pep chromosome:Pop_tri_v4:9:9713684:9714829:1 gene:Potri.009G114701.v4.1 transcript:Potri.009G114701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114701.v4.1 MKSSYTFFILFSLFSQFANVIGARKDTGEYWRAVMKDQPMPEAIHGLIRETTLSSVSNEKADCHTTESNEKNNFVKDFGPQPTATSYDNGIKPAKDKSFSKDFHPNTQLFLYNDGVVKGERSFAKDFGPQPTATSYDKGIKPAKDKSFSKHFHPNSQLFLYNDGDVKGERSFAEDVEPRPNVSVYHDDATLKGEKSFQEDFEPGPNISVYDDGVGLKGKKSSSDDFEPRPSTTANYNY >Potri.009G114701.2.v4.1 pep chromosome:Pop_tri_v4:9:9713684:9714856:1 gene:Potri.009G114701.v4.1 transcript:Potri.009G114701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114701.v4.1 MKSSYTFFILFSLFSFANVIGARKDTGEYWRAVMKDQPMPEAIHGLIRETTLSSVSNEKADCHTTESNEKNNFVKDFGPQPTATSYDNGIKPAKDKSFSKDFHPNTQLFLYNDGVVKGERSFAKDFGPQPTATSYDKGIKPAKDKSFSKHFHPNSQLFLYNDGDVKGERSFAEDVEPRPNVSVYHDDATLKGEKSFQEDFEPGPNISVYDDGVGLKGKKSSSDDFEPRPSTTANYNY >Potri.009G049000.1.v4.1 pep chromosome:Pop_tri_v4:9:5441747:5442577:-1 gene:Potri.009G049000.v4.1 transcript:Potri.009G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049000.v4.1 MATTNTHRHGLALAMLLIVGIHILGNQKVAASCNETVTSLVSKCSQFVRIPGPRVLPSDACCQAAKQVTVGDLPCVCKLVTPATQKVFSMDKAVFVARTCGLTIPPGTVCGSYTVPPNFV >Potri.007G095800.1.v4.1 pep chromosome:Pop_tri_v4:7:12066904:12072414:-1 gene:Potri.007G095800.v4.1 transcript:Potri.007G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G095800.v4.1 MEEEEHEVYGGEIPDVEGDMDPHNADVDMSAAEDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVPDPASAAANQANKEEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVEAVQEALALNESELHGRQLKVSPKRTNVPGMKQYHPRSFNPYMGNRFRRPYAPPYFYSPYGYGKVPRFRRSMRYMPYY >Potri.008G043100.1.v4.1 pep chromosome:Pop_tri_v4:8:2432158:2434964:-1 gene:Potri.008G043100.v4.1 transcript:Potri.008G043100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043100.v4.1 MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGTVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDASSAKVTKSAVKKGGK >Potri.019G014403.1.v4.1 pep chromosome:Pop_tri_v4:19:2441425:2451851:-1 gene:Potri.019G014403.v4.1 transcript:Potri.019G014403.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014403.v4.1 MKPVNESESMEKEEDSEVKSLAEFLMTHHSEQLHSIVVSPDPKLHYPLYIDFTDLLNDNSRLAHLVLAQPTVYLLLFDRAAFWAHKIVIKGLKFGEKGVEKKFIHVRINVCGSPLECPETFPSIGRVRVKHRGILLTLKGTLIRSGAIKMYEGERMYQCRKCKHVFPVHPELESRNSITLPSFCPSQRSKSCEGTRFDCVDESVIRHDYQEIKIQESTQVLGVGVIPRSIPVILMDDLVDIVKTGDDIIVTGILTAKWSPDLKDVRSNLDPVLIANYVRRTNELKADIDIPNDVIMKFKQFWSDFNDTPLKGRNAILRGLCPQIFGLFTVKLAVTLTLIGGVQHVDASGSKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPDQSLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILNEGESNKGDHDEDLGNIWTFSMLRRYIHFVKGYFRPVLTKEAEKVISSYYQLQRRSATYNAARTTVRMLESLIRLAQAHARLMFRNEVTRIDAIMAILCIESSMTTSAIVDSVGNSLHSNFTENPDEEYAKQEGLILEKLRSFDEFSDVNIIEGLSR >Potri.019G014403.2.v4.1 pep chromosome:Pop_tri_v4:19:2441052:2451895:-1 gene:Potri.019G014403.v4.1 transcript:Potri.019G014403.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014403.v4.1 MKPVNESESMEKEEDSEVKSLAEFLMTHHSEQLHSIVVSPDPKLHYPLYIDFTDLLNDNSRLAHLVLAQPTVYLLLFDRAAFWAHKIVIKGLKFGEKGVEKKFIHVRINVCGSPLECPETFPSIGRVRVKHRGILLTLKGTLIRSGAIKMYEGERMYQCRKCKHVFPVHPELESRNSITLPSFCPSQRSKSCEGTRFDCVDESVIRHDYQEIKIQESTQVLGVGVIPRSIPVILMDDLVDIVKTGDDIIVTGILTAKWSPDLKDVRSNLDPVLIANYVRRTNELKADIDIPNDVIMKFKQFWSDFNDTPLKGRNAILRGLCPQIFGLFTVKLAVTLTLIGGVQHVDASGSKIRGESHLLLVGDPGTGKSQFLKFAAKLSNRSVITTGLGSTSAGLTVTAVKDGGEWMLEAGALVLADGGLCCIDEFDSMREHDRATIHEAMEQQTISVAKAGLVTTLSTRTIVFGATNPKGQYDPDQSLSVNTALSGPLLSRFDIVLVLLDTKNPEWDAVVSSHILNEGESNKGDHDEDLGNIWTFSMLRRYIHFVKGYFRPVLTKEAEKVISSYYQLQRRSATYNAARTTVRMLESLIRLAQAHARLMFRNEVTRIDAIMAILCIESSMTTSAIVDSVGNSLHSNFTENPDEEYAKQEGLILEKLRSFDEFSDVNIIEGLSR >Potri.003G067501.1.v4.1 pep chromosome:Pop_tri_v4:3:9452313:9452450:-1 gene:Potri.003G067501.v4.1 transcript:Potri.003G067501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067501.v4.1 MRDLKTYLFVAPVISTLWFGSLAGLLIEINRFFPGCFDIPFFFSF >Potri.010G229200.1.v4.1 pep chromosome:Pop_tri_v4:10:21202546:21203803:1 gene:Potri.010G229200.v4.1 transcript:Potri.010G229200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229200.v4.1 MEDHCSLLNWSCFYQDEGIEDIRHHLLYTTELETAIVSAKEEIARREIEIFHLKNLLSRTVKERNDAQIQCRKLVLDKLSFEQQLLQNQQQQQEMQQLKQESASLAITYSSEDESKASDSNNHISSPDSSKVIVPSQFSDPIPQQPSQSSLPDVILKLAADKPLPEKGKLLQAVKEAGPLLQTLLLAGPLPQWQHPPPQLDSIEIPPVTICSPTSRLIHEDSFNSFTSCLSKKRDRYFGEGPDSSSPATKYQKVVLH >Potri.001G250700.1.v4.1 pep chromosome:Pop_tri_v4:1:26641644:26646645:-1 gene:Potri.001G250700.v4.1 transcript:Potri.001G250700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250700.v4.1 MAEQKHNRSAEEEEMKKRKRKRNRAKKEAQEKNLNEIEGGEAKEQEEEDREVEEVKDKKKKNKNKKSKKESEEEEKEKEKVVEEEEEEEKETVKKVKSGGGIMSTESFDSLGLSEATRKTIQEMGFENLTQIQARAIPPLLVGKDVLGAARTGSGKTLAFLIPAVELLHNVHFAPRNGTGVVVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGAARRGEAERLVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLTIDEADRILEANFEEEMKQIIKLLPKARQTALFSATQTKKVEDLARLSFQTTPVYIDVDDGRTKVTNEGLQQGYCVVPSAKRFVLLYSFLKRNLSKKVMVFFSSCNSVKFHADLLRYIQVECFDIHGKQKQQKRTSTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQFDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKAAKVPVKEYEFDQKKLANVQSQLEKLVANNYYLNKSAKDAYRSYMLAYNSHSMKDIFNVHRLDLQAVAASFCFSSPPKVNLNMESNASKFRKKTHKGSRNGFNESNPYGRQSDGDEKRQFARY >Potri.007G137101.1.v4.1 pep chromosome:Pop_tri_v4:7:14857743:14862992:-1 gene:Potri.007G137101.v4.1 transcript:Potri.007G137101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137101.v4.1 MALALIGESLLSAVIEVLVEKLAYPEVLGFFKTQKLNDDLLERLKETLNTVNGLLDDAEEKQITKAAVKNWLNDVKHAVYEAEDLLEEIDYEHLRSKDKAASQIVRTQVGQFLPFLNPTNKRMKRIEAKLGKIFEKLERLIKHKGDLRRIEGDVGGRPLSEKTTPLVNESYVYGRDADREAIMELLRRNEENGPNVVVIPIVGMGGIGKTTLAQLVYNDSRVDDLFELKVWVWVSEIFDVTRVMDDILKKVNASVCGIKDPDESLKEELGGKMVLLVLDDVWNIEYSEWDKLLLPLQYAGQGSKTVVTTRNEDVAKVMQTVNPSYSLKGIGDEDCWQLFARHAFSGVNSGALPHLETFGRKIVRKCKGLPLAAKTLGGLLHSEGDAKEWERISNSNMWGLSNENIPPALRLSYYYLPSHLKRCFAYCAIFPKGYTFMKNELITLWMAEGFLVQSRGDVETERIGEKYFNDLVSRSFFQKSSDDPSSFFMHELIIDLAEYVSGEFCLKFMGDGVSGSRLNGGNPCRLPQRTRYLSLTSRYDQVSKIFEHIHEVQHLRNFLLVAPGWKADGKVLHDMLRILKRLRVLSFVGSGYIHQFQLPNSIGNLKHLRYLDLSGKSIERLPENMSKLYNLQTLILKQCYYLIKLPTNMSKLVNLQHLDIEGTKLREMPPKMGKLTKLRKLTDFFLGKQNGSCVKELGKLLHLQEKLSIWNLQNVEDVQDALEANLKGKKQIERLRLTWDVDMDGRDVLEKLEPPENVKELVITAYGGTKFPGWVGNSSFSNMVSLVLDGCKNSTSLPPLGQLPNLEELQIKGFDEVVAVGSEFYGNGPFMEKPFKSLKSLTLLGMRKWKEWNTDAAGAFPHLEELWIEKCPELTNALPCHLPSLLKLDIEECPQLVVSIPEAPKLTRIQVNDGEGSNDRIYIEELSSSRWCLTFREDSQLKGLEQMSYLSSSIIIDVGIFDCSSLKFCQLDLLPPLSTFTIQYCQNLESLCIQKGQRALRHLKIAECPNLVSFLEGGLAVPGLRRLELEGCINLKSLPGNMHSLLPSLEELELISLPQLDFFPEGGLPSKLNSLCIQDCIKLKVCGLQSLTSLSHFLFVGKDDVESFPEETLLPSTLVTLKIQDLRNLKSLDYKGLKHLTSLSKLEIWRCPQLESMPEEGLPSSLEYLQLWNLANLKSLEFKGLQHLASLRQLMISDCPKLESMPEEGLPSSLEYLNILNLTNLKSLGYKGLQQLSSLHKLNFWSCPKLESMPEQGLPSSLEYLEIGDCPLLEKRCRKEIGEDWPKISHIPFIKIFKHSGG >Potri.005G134600.1.v4.1 pep chromosome:Pop_tri_v4:5:10350601:10352768:1 gene:Potri.005G134600.v4.1 transcript:Potri.005G134600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134600.v4.1 MELSLDLSLVYVPKAISECLKEVSMVKDGSQKLPNPDDYVKRLEDERRKIDAFKRELPLCMLLLNEAIIRLKEEAMQCKELNALVPLKGDSNEDGNDKKKWMSSVQLWNTNNNINLDCKNQDTRSEPKQRGEEDDDRSTCENPIQLGNHGNKGGAFVPFKALSGFERSKKKEEKEVVSQVTGLSLMTPVPKSCDFMSKSNNCGNQMKIQSKSQQQQQQQRQHAYRKQRRCWSPELHRCFVDALQQLGGYQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHLRKVPASSATPANDLWKSQDQCEDPVMHNISESNSPKAPLHGSSSAKAASNSGGDSMEAEDDDKSESHSWNGVLHHPGEVHV >Potri.006G042300.5.v4.1 pep chromosome:Pop_tri_v4:6:2832075:2834893:1 gene:Potri.006G042300.v4.1 transcript:Potri.006G042300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042300.v4.1 MAEMQLNEIRVDPLTNRQEDPLSNRPERGSKMAMSEIQGTSSQTNEHCLCLSGIMRGFEEAKTKQQSGPMIPKVPDNLKDDENKKYYKPSVVSIGPYHYKDRQNKLHEAEKLKVQMAREFVLYSKKDMEILYSEVAKVAENAKRFYEGSSIRCFDDEQFTQMMFLDGCFILQFIRCVSTTDNIKNMSDQQIFHVKQDLLLLENQLPFPVLKSLMSLTPDGKDHGMKIINDFLSLQILQQSNHQRRPVLTWLVKGYLLLLLIYTTVAYPFHVLQGSEDSLFFSPLFGILVAGVIFVCQMVASLMRSLLCENKWQAAKYHWPPHQEPVHILELLYSMFIRSPKEKHKLSLRSCCIHYLSECLGNLFPKSKPGKRGHNLYYSAKDLQKVGIYFKPSKTNTLMDVEFVSSIFYATLKLPSITIEKATRSMLLNLVAYETAAFLDDLWVTSYICFIDSLINDAEDVKVLRSNGILINYLGPDQKVADLFNQIGHSREPNTNVYNDVKGEINLSCDSIWKKWIAECLQTYFSSPWAFIAFVAAATGLVLTATQTYYTLYPYKSSDSPMSVGT >Potri.003G034300.1.v4.1 pep chromosome:Pop_tri_v4:3:3920523:3930715:-1 gene:Potri.003G034300.v4.1 transcript:Potri.003G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034300.v4.1 MNGGDEVGEAPPVPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMGYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTTNGALFLLSTNDKTIKYWKVQEKKVKKISEMNVDPSKAIGNGSIASSSNSSIGKQYLANGGGKYDLPSNDFSIPPGGFPSLRLPVVVTSDETSLMASCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPGHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEETEAPGSRSFFTEIIASISDIKFARDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCNLESTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRAGAEGPGVDPNGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Potri.003G034300.4.v4.1 pep chromosome:Pop_tri_v4:3:3920528:3930715:-1 gene:Potri.003G034300.v4.1 transcript:Potri.003G034300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034300.v4.1 MNGGDEVGEAPPVPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMGYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTTNGALFLLSTNDKTIKYWKVQEKKVKKISEMNVDPSKAIGNGSIASSSNSSIGKQYLANGGGKYDLPSNDFSIPPGGFPSLRLPVVTSDETSLMASCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPGHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEETEAPGSRSFFTEIIASISDIKFARDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCNLESTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAEGPGVDPNGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Potri.003G034300.2.v4.1 pep chromosome:Pop_tri_v4:3:3920565:3930719:-1 gene:Potri.003G034300.v4.1 transcript:Potri.003G034300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034300.v4.1 MNGGDEVGEAPPVPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMGYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTTNGALFLLSTNDKTIKYWKVQEKKVKKISEMNVDPSKAIGNGSIASSSNSSIGKQYLANGGGKYDLPSNDFSIPPGGFPSLRLPVVVTSDETSLMASCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPGHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEETEAPGSRSFFTEIIASISDIKFARDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCNLESTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAEGPGVDPNGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Potri.003G034300.3.v4.1 pep chromosome:Pop_tri_v4:3:3920600:3930715:-1 gene:Potri.003G034300.v4.1 transcript:Potri.003G034300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034300.v4.1 MNGGDEVGEAPPVPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMGYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTTNGALFLLSTNDKTIKYWKVQEKKVKKISEMNVDPSKAIGNGSIASSSNSSIGKQYLANGGGKYDLPSNDFSIPPGGFPSLRLPVVTSDETSLMASCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPGHCNTLAYSSSKGSIRLIDLRQSALCDSHAKLFEETEAPGSRSFFTEIIASISDIKFARDGRHILSRDYMTLKLWDINMDSGPVATFQVHEHLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCNLESTEATTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRAGAEGPGVDPNGNSFDFSTKLLHLAWHPTENSIACAAANSLYMYYA >Potri.002G029600.1.v4.1 pep chromosome:Pop_tri_v4:2:1980181:1984656:-1 gene:Potri.002G029600.v4.1 transcript:Potri.002G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G029600.v4.1 MLSIPATPSSLLLSLHSGNDTLSLPGFLSNRHSFSRVSKNFEPNTPNSRFCKITCRLAKAKETISTTNPDSLIKEPHKYFDQVIITVRSGDGGHGSVLNMPNQRNNNNGSKGKQEKKSRYKSSYKRDFHGSLILPLGGHGGDVVIYADEGKDSLLELHSKSRFNAKRGGNVDAMGVLTSQLHNGFAAPTLRIPVPVGTVVKRKRGMLLADLAQPGDEILVARGGQGGVSLIEAPEHRKKRLMTLTTNVMRDDSDKVLILGQSGEEVSLELILRVVADVGLVGLPNAGKSTLLAAITLAKPDIADYPFTTLMPNLGRLNGDPALGAGMYSSEATLADLPGLIEGAHLGKGLGRNFLRHLRRTRVLVHVVDAAAGDPVNDYRTVKEELRMYNPEYLERPYVVVLNKIDLPEGRDRLQSLTEEILRIGCDEVPSEPKMTSKDAVHSFSTEAGNADKLPSQMANEDKKDKELEDYPRPLAVVGVSVLKGIRVNEMLKEIRAALRKCRDSH >Potri.001G081400.1.v4.1 pep chromosome:Pop_tri_v4:1:6464525:6466936:1 gene:Potri.001G081400.v4.1 transcript:Potri.001G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081400.v4.1 MKEGGRKQGAPAPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDALQTQLALAQAEVVHLRVRQTATLSNHGLSPASPSNSGSPSSRLMGSQMKPMFDMDMVDHTSLGESMWSC >Potri.013G116800.1.v4.1 pep chromosome:Pop_tri_v4:13:12529057:12531265:-1 gene:Potri.013G116800.v4.1 transcript:Potri.013G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116800.v4.1 MTVEAVNPKAYPLADAQLSITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVPSKQALGRACGVTRPVIACSVTTNEGSQLKTQIQQLKDAIEKLLI >Potri.008G076500.1.v4.1 pep chromosome:Pop_tri_v4:8:4738654:4739782:1 gene:Potri.008G076500.v4.1 transcript:Potri.008G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076500.v4.1 MMLRPHAPLRSNHLCLSDSETESDDLNEHLKVHDRKKNSTGVYDGKENCMYEKNKKRDTVNEDHMKSLMDESDIMMRKAEIYQEYMKQIPIPSSTCGLPISYMTWQELARTIKQVYGQPLHYLTNKLLKQWDQLRIGTKDESKPLDNIIDPNRAVATIWGMEEFHRQCSSHQHLARLWLSDPLQHDSLDKTVPH >Potri.011G061900.1.v4.1 pep chromosome:Pop_tri_v4:11:5113099:5115314:1 gene:Potri.011G061900.v4.1 transcript:Potri.011G061900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G061900.v4.1 MEKDCTKQHLLQHLLRLVFYLLIFIPNTSKALANPRASNNSAPAVIVFGDSTVDPGNNNYVKTVFKANFAPYGKDFANHVPTGRFSNGRLTPDFIASYIGIKESIPPYLDPTLSIKELMTGVSFASAGSGFDPLTPRVSNVIGIPKQLENFKEYKKRLESAIGTKETENHINKALFIVSAGTNDFVINYFTLPIRRKIYSVSDYQQFILQKATQFLQDLFEQGARRILFSSLPPMGCLPVVITMFSKHAISERGCLDNYSSVGRQFNQLLQNELNLMQFRLANHGVRIYLTDSYIALTDMVQGQGRSAFDEVSRGCCETGYLETAILCNPKSFLCPDASKYVFWDSIHPTEQVYSNVFKSLRPIIDAIIRD >Potri.013G149400.1.v4.1 pep chromosome:Pop_tri_v4:13:14607443:14612067:1 gene:Potri.013G149400.v4.1 transcript:Potri.013G149400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149400.v4.1 MNKMKTVSAMREIDSPSSKSDEFPKQDASLTTEGSVCTNEALFDYNSKDTICFKGSDLGKKGTEGAGSNHITRNLEEACKTMDIKSYCKVCGSGSTKYGCQCFNVDNVDVMIKSDVANYGYHHQIWRAKKNTPLNWQKRQRIFREGKLHWESRLDCANVKEHMSLGERNFRSRQNSFTDKGLLPTPMVSSAGHSNAACVSNRMTNAERKMHRGFDSKVIFQHDCVPKHRLNGNHSQYFSRSNQAQEIECNKSRLLSHCTFGYDRNHSRGIGWGGYGQTFSRPIFTHGSCCLNPSSAASAHVQQRFTRFIPRMKHVTDRCSDTSVDGKLHSRLIYYNQYRERHVIPCGAKAMWVPVCTKDSVMPEKTYPASVCDSSDILQFSCNELTDSHSKGDVVLSCALNDSKSSSLTSSHRKTSVEGEAPKSEEFSAEAQKVESAMEDMACTEKSRDVSQFLGSQVRTEESNAAQKLQLEYQSTLGHPIAEFERFLHSAAPVITSSCIYKNELSNSSLHLTQIPITSLQAVWKWYEMPGNYGLEVKARDSQSINGLLAGSTSFCAYFVPFLSAVQLYGYPHLSDACPKDLHTNPELIFEFFESEMPHVRKPLHLKIRDLISIGTSNLQVFGDPSKLESMNLQDLHPATWFSVAWYPIYRIPEGKFSASFLTYHLLGQSVVQSIPIDSLSKMFQIVFPVIGLQSYNTQGECWFDLRTPDEPSSRKTIKARTAAILSERRRTLEENASLLSRGTVTKDKAKGVNQHPDYMFFTSRKS >Potri.013G149400.2.v4.1 pep chromosome:Pop_tri_v4:13:14608291:14612043:1 gene:Potri.013G149400.v4.1 transcript:Potri.013G149400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149400.v4.1 MNKMKTVSAMREIDSPSSKSDEFPKQDASLTTEGSVCTNEALFDYNSKDTICFKGSDLGKKGTEGAGSNHITRNLEEACKTMDIKSYCKVCGSGSTKYGCQCFNVDNVDVMIKSDVANYGYHHQIWRAKKNTPLNWQKRQRIFREGKLHWESRLDCANVKEHMSLGERNFRSRQNSFTDKGLLPTPMVSSAGHSNAACVSNRMTNAERKMHRGFDSKVIFQHDCVPKHRLNGNHSQYFSRSNQAQEIECNKSRLLSHCTFGYDRNHSRGIGWGGYGQTFSRPIFTHGSCCLNPSSAASAHVQQRFTRFIPRMKHVTDRCSDTSVDGKLHSRLIYYNQYRERHVIPCGAKAMWVPVCTKDSVMPEKTYPASVCDSSDILQFSCNELTDSHSKGDVVLSCALNDSKSSSLTSSHRKTSVEGEAPKSEEFSAEAQKVESAMEDMACTEKSRDVSQFLGSQVRTEESNAAQKLQLEYQSTLGHPIAEFERFLHSAAPVITSSCIYKNELSNSSLHLTQIPITSLQAVWKWYEMPGNYGLEVKARDSQSINGLLAGSTSFCAYFVPFLSAVQLYGYPHLSDACPKDLHTNPELIFEFFESEMPHVRKPLHLKIRDLISIGTSNLQVFGDPSKLESMNLQDLHPATWFSVAWYPIYRIPEGKFSASFLTYHLLGQSVVQSIPIDSLSKMFQIVFPVIGLQSYNTQGECWFDLRTPDEPSSRKTIKARTAAILSERRRTLEENASLLSRGTVTKDKAKGVNQHPDYMFFTSRKS >Potri.017G001400.3.v4.1 pep chromosome:Pop_tri_v4:17:90381:90783:-1 gene:Potri.017G001400.v4.1 transcript:Potri.017G001400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001400.v4.1 MCITASLLILPLVLAPLPPPPFMLLLLPIGILVVLIVLAFMPSNARDITFAYV >Potri.017G001400.2.v4.1 pep chromosome:Pop_tri_v4:17:90413:92039:-1 gene:Potri.017G001400.v4.1 transcript:Potri.017G001400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001400.v4.1 MSFEHSEPETTLPRGIINLQDHSSSLMDVRARKITANNHRAPPNTSAEEKKIEYNRSLSQGSTRRLLTTSHFSLASLLLLMCITASLLILPLVLAPLPPPPFMLLLLPIGILVVLIVLAFMPSNARDITFAYV >Potri.018G071900.1.v4.1 pep chromosome:Pop_tri_v4:18:8797667:8799297:1 gene:Potri.018G071900.v4.1 transcript:Potri.018G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G071900.v4.1 MMETNHDSSSTNTIGFCLLPSEIIQNILLHLALPEIICLKSLNRFVADVISDKYFVRAYNSQARSTAWLFVYKKRWNRDALLHGFNDQSNRWFSFQIDSLLNPIIRPGDDIYFLTASCNVFLFVSNTRGEVIALNLVTKAVKTIPPSPLGPRGTSSWRRSGMKLVITGSDQFQFLFVDLVRNSPVLFVYSSQTDTWQSTEAREGLAGMPRVCPKEDDHVFLNVIDGPYESMVTAVGLERDAHNNYAPIVLRPRFNRRPSDGLNLLQVYGDGSMLVIKSSGCGDDDGNTGVRMLNDIELWGGLRLNGSWHNWEYISKVPCKIKEQIRKPYRAMRGCLERRDGIIRAVLLSNYDGLWDIIWLSYDMGRSLWAWLPLPDCKMKGLNMAGIAFSSGLTLS >Potri.008G204800.2.v4.1 pep chromosome:Pop_tri_v4:8:14940515:14943080:-1 gene:Potri.008G204800.v4.1 transcript:Potri.008G204800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204800.v4.1 MKQSERNIQRTLPLDIALKIASSLHVLDLCSLGSCSQFWRDSCGSDSIWESLTKQRWPSLHSSSFDPNTKGWKEIYIRMHREKAGSAAEVVGFVEQCSLSESIDVGDYQKAIEDLSSMQLSFEDVQMFLFKPKLNVLLNLVGLHYCIFCLEMPADRVMDTLVGCNILERKVHVKWWKLGRWFYGFRMRDESCSCWVSLEDLLTGKGEEVLGVLRRGAVHEVFRVEISISNPTSTSWCQSTQGQG >Potri.008G020100.1.v4.1 pep chromosome:Pop_tri_v4:8:1001947:1003746:-1 gene:Potri.008G020100.v4.1 transcript:Potri.008G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020100.v4.1 MSTVRLPTTCMIRSAPPRKVASPSKSCALIKSPGALGSVRNVSKAFGLKSSSFKVSAMAVYKVKLIAPDGCEHEFDAPGDTYILDSAENAGVELPYSCRAGACSTCAGMLVSGSVDQSDGSFLDEKQMEKGYVLTCVSYPTSDCVIHTHKEEDLY >Potri.005G061432.1.v4.1 pep chromosome:Pop_tri_v4:5:3876026:3881313:-1 gene:Potri.005G061432.v4.1 transcript:Potri.005G061432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061432.v4.1 MASGQVSKRVKYKSSVKDAGTPGRLFLTLERLMFKPNSPNSATKLNMEFRFIKNHRYTKEGSNKAPMLNLTSSQGMNYIFEFESYDDLHVCKECVGKALSKTGETPKPVDTSEVPSEQPSTEELLSRMNMLRENSELQKLHKRFVSDGVLTEAEFWATRKKLLGGNTSKKSKQRTGLKSVMLSDTKPSTDGRTNKVTFTLTPEIVREIFAEKPAVHQAYLTLVPKKMSERDFWSKYCRAEYLQHAKNANAAAAAAAEAAEDEELALFLKPDNILASETRWKIRGVDPTLDMEADEGDDYTHLPDHGIVRDGSKEITELQHELCRRTLSQDLNRHAAVVLQGTPIDEEQLKDTQTVAEALERSKQEYFK >Potri.001G066500.6.v4.1 pep chromosome:Pop_tri_v4:1:4981281:4987561:-1 gene:Potri.001G066500.v4.1 transcript:Potri.001G066500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066500.v4.1 MENGHESKLIQEIVKDVLNKLDPKYINVATHLVGIDPLVLAISDFLSTATDEVRIVGIHGMPGIGKTSIAKVVFNQFCYRFEGSCFLSNINETSEQSNGLVLLQEQLLHDILKQNTVNISNVVRGMVLIKERICHKRVLVVVDDVAHQNQLNALMGERSWFGPGSRVIITTKDEHLLLKVDRTYRVEELKRDESLQLFSWHAFGDTKPAKDYVELSNDVVDYCGGLPLALEVLGSCLPGKNRARWKCLIDKLRKIPNREIQKKLRISFDSLDDHQLQNTFLDIACFFIGRNKEYVAKVLEARCGYNPEDDLGTLSERSLIKVDAFGKISMHDLLRDMGRDIIHKESPGHPGKRSRIWQREDAWNVLNKHMGTEVVEGLALDARASEDKSLSTGSFTKMRFLKLLQINGVHLTGPFKLLSEELIWICWLECPLKSFPSDLMLDNLVVLDMQYNNIKELWKEKKAGALCHCPGFPYALAGSAGE >Potri.001G066500.4.v4.1 pep chromosome:Pop_tri_v4:1:4982967:4987556:-1 gene:Potri.001G066500.v4.1 transcript:Potri.001G066500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066500.v4.1 MENGHESKLIQEIVKDVLNKLDPKYINVATHLVGIDPLVLAISDFLSTATDEVRIVGIHGMPGIGKTSIAKVVFNQFCYRFEGSCFLSNINETSEQSNGLVLLQEQLLHDILKQNTVNISNVVRGMVLIKERICHKRVLVVVDDVAHQNQLNALMGERSWFGPGSRVIITTKDEHLLLKVDRTYRVEELKRDESLQLFSWHAFGDTKPAKDYVELSNDVVDYCGGLPLALEVLGSCLPGKNRARWKCLIDKLRKIPNREIQKKLRISFDSLDDHQLQNTFLDIACFFIGRNKEYVAKVLEARCGYNPEDDLGTLSERSLIKVDAFGKISMHDLLRDMGRDIIHKESPGHPGKRSRIWQREDAWNVLNKHMGTEVVEGLALDARASEDKSLSTGSFTKMRFLKLLQINGVHLTGPFKLLSEELIWICWLECPLKSFPSDLMLDNLVVLDMQYNNIKELWKEKKILNKLKILNLSHSKHLIKTPNLHSSSLEKLMLEGCSSLVEVHQSVGHLKSLVLLNLKGCWRIKILPESICDVKSLESLNISGCSQLDKLPERMGDIESLTELLADEIQNEQFLSSIGHLKHVRKLSLRVSNFNQDSLSSTSCPSPISTWISASVLRVQPFLPTSFIDWRSVKRLKLANYGLSESATNCVYFGGLSSLQELNLSGNKFLSLPSGISVLTKLQHLRVQNCSNLVSISELPSSLEKLYADSCRSMKRVCLPIQSKTNPILSLEGCGNLIEIQGMEGLSNHGWVIFSSGCCDLSNSSKKSFVEALRNGGYGYQIHFDGGTMPSWLSFHGEGSSLSFHVPPVFQGLVLWFSLENVSIMDGELTIKIKATVFNCLNP >Potri.001G066500.5.v4.1 pep chromosome:Pop_tri_v4:1:4983100:4987537:-1 gene:Potri.001G066500.v4.1 transcript:Potri.001G066500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066500.v4.1 MENGHESKLIQEIVKDVLNKLDPKYINVATHLVGIDPLVLAISDFLSTATDEVRIVGIHGMPGIGKTSIAKVVFNQFCYRFEGSCFLSNINETSEQSNGLVLLQEQLLHDILKQNTVNISNVVRGMVLIKERICHKRVLVVVDDVAHQNQLNALMGERSWFGPGSRVIITTKDEHLLLKVDRTYRVEELKRDESLQLFSWHAFGDTKPAKDYVELSNDVVDYCGGLPLALEVLGSCLPGKNRARWKCLIDKLRKIPNREIQKKLRISFDSLDDHQLQNTFLDIACFFIGRNKEYVAKVLEARCGYNPEDDLGTLSERSLIKVDAFGKISMHDLLRDMGRDIIHKESPGHPGKRSRIWQREDAWNVLNKHMGTEVVEGLALDARASEDKSLSTGSFTKMRFLKLLQINGVHLTGPFKLLSEELIWICWLECPLKSFPSDLMLDNLVVLDMQYNNIKELWKEKKILNKLKILNLSHSKHLIKTPNLHSSSLEKLMLEGCSSLVEVHQSVGHLKSLVLLNLKGCWRIKILPESICDVKSLESLNISGCSQLDKLPERMGDIESLTELLADEIQNEQFLSSIGHLKHVRKLSLRVSNFNQDSLSSTSCPSPISTWISASVLRVQPFLPTSFIDWRSVKRLKLANYGLSESATNCVYFGGLSSLQELNLSGNKFLSLPSGISVLTKLQHLRVQNCSNLVSISELPSSLEKLYADSCRSMKRVCLPIQSKTNPILSLEGCGNLIEIQGMEGLSNHGWVIFSSGCCDLSNSSIAQWWLRVSDSLRWWYDAKLVELPWRRIFIIISCPSSFPGLGSLVFFRKCQYHGW >Potri.008G124000.2.v4.1 pep chromosome:Pop_tri_v4:8:8056295:8061581:1 gene:Potri.008G124000.v4.1 transcript:Potri.008G124000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124000.v4.1 MLGTGLQSTRRRGGEDRFFNDLKARRAHRTDQLRRAQSDVTDDKPVENLTGGFEDLTKPEKVSVPAFESNLDRFLESITPSVPAQHLSKTTMRGWRTYDVEFEPYFVLGDLWESFKEWSAYGAGVPLILNDSDCVVQYYVPYLSGIQIYADSTKMPPKPRQLGEDSDSDFRDSSSDGSSDYEHERGLKCSRERWNHSHATNDLPPRMDGLSLRDQRGAHQEDFFSDEGEYVNSQGCLLFEYLEQDPPYSREPLADKISDIAFRFPELKTRRSCDLLPSSWISVAWYPIYRIPTGPTLKDLDACFLTFHSLYTSLGGGQSAQAPVMTYSNEVDGLSEMSLPVFGLASYKFKGSLWTSNGECDHQLSNSLFQAADKWLRLLQVNHPDFLFFCRS >Potri.004G206300.1.v4.1 pep chromosome:Pop_tri_v4:4:21390987:21393193:1 gene:Potri.004G206300.v4.1 transcript:Potri.004G206300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206300.v4.1 MCIAVFLWQAHPLYPFLLLLNRDEYHSRPTKPLGWWEGGEILGGKDELAGGTWLGCTRDGKIAFITNVREVKSIPQAKTRGDLTLRFLESNKNPKEYAEELSKEADQYNGFNLILADISSKSMVYLTNRPKPENFIVMEVTPGMHVLSNASLDSPWPKAQRLGHGFKDLLEKYDEAELPTKEMAEILMTNTIKDDESMLPGIYPSEREHQLSSIFIEADTPLGRYGTRSTCALSVKSSGEVNFYERYLDKDQWKEHTMSYQIKKMEVHG >Potri.002G096200.2.v4.1 pep chromosome:Pop_tri_v4:2:7027371:7028151:1 gene:Potri.002G096200.v4.1 transcript:Potri.002G096200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096200.v4.1 MEGAEKMESKDKPLKSQVAVRCAKAAILLSLLKSFPNRHFTTSIDDQREEKEMMMREIGDLKMELARERLKSKRIKLCGLMEVILQVMAVLSISTFLLVIVLMQG >Potri.012G048800.1.v4.1 pep chromosome:Pop_tri_v4:12:4563875:4567687:-1 gene:Potri.012G048800.v4.1 transcript:Potri.012G048800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048800.v4.1 MGGNYRLRQLHSSCPIPTINFQSAKPKNSYPSKKTSTSQNNKKKQNFSTTDSDIVKWNIAITTHMRNGLCESALRVFNSMSRRSSVSYNAMISGYLRNHKFDLARDLFDKMPERDLFSWNVMLTGYVRNRDLKTARALFERMPERDIVSWNAMLSGYAQNGFVDEAREIFDKMPLKNGISWNGLLAAYVQNGRIEDAKRLFESKMDWTLVSWNCLMGGFVRKRRLLDARSVFDRMPVRDEISWNTIISGYAQNGEMEEARRLFEESPIQDVFTWTAMVSGYVQNGKLDEARSIFDRMPEKNSVSWNAMIAGYVQCKRMDMARELFEEMPSRDASSWNTMITGYAQSGYIAHARNLFDNMPQRDSISWSAMIAGYSQNGCSEEALHFFVEMQRDCERLNRSSFTCALSTCSNIAALELGRQLHCRLVKAGYQTGWYVGNALLAMYCKCGSIDEARDAFQEILEKDVVSWNTMIHGYARHGFGEEALTVFELMKTTGIRPDDATMVSVLAACSHAGLVDQGSEYFYSMNRDYGITAKLVHYTCMVDLLGRAGQLEEAQNLMKNMPFEPDAATWGALLGASRIHGNTELGEKAAQIIFEMEPHNSGMYILLSKLYAASGRWSDAGKMRLEMRNKGVKKVPGYSWLEVQNKIHTFKVGDTSHPHTDKIYTFLEEMDLKLKQEGYISSTNLVFHDVEEEEKVHMLKYHSEKLAVAYGILYIPAGRPIRVIKNLRVCEDCHNAIKYISKIVGRLIILRDNHRFHYFEGGSCSCRDFW >Potri.006G116200.4.v4.1 pep chromosome:Pop_tri_v4:6:9084005:9092599:-1 gene:Potri.006G116200.v4.1 transcript:Potri.006G116200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116200.v4.1 MDLDYLLVIPSWKSVGILVTFLTYLAIAGSVLPAKLVPGVTLQDGSRLHYRCNGLFSMLLLVLLLGVGAKMELLSLTVISERGLELLSATFIFSFLVTLALYAAGCKSRNQSSSLKPCVTGNLIHDWWFGVQLNPSFLGIDLKFFFVRSGMMGWLFINLSVLAKTIQGATLSHSMILYQIFCLIYILDYFFYEEYMTSTWDIIAERLGFMLVFGDLVWIPFTFSIQGWWLLGNKVELTTAAVIANCFVFLIGYLVFRGANKQKHVFKKNPKALIWGKPPKVVGGKLLASGYWGVARHCNYLGDLLLALSFSLPCGTSSPVPYFYPIYLLILLIWRERRDEARCAEKYKEVWVEYRRLVPWRILPYVY >Potri.005G047400.1.v4.1 pep chromosome:Pop_tri_v4:5:2989407:2991971:1 gene:Potri.005G047400.v4.1 transcript:Potri.005G047400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047400.v4.1 MMMFTRKSAFRGFQQQQVEMGILLPPDFPSFLFSNHHHLNTSTCTKKPSLPQNNGGFVSNNSNDIGIDDAMASFYRMIHMNPRPSFVELGKFLGSIAKKKQYSTVVSLCNQMDLFGVAHNDYTLNILINSLCRLSHIHFAVSVLSKMFKLGIQPDSVTFTTLINGLCNEGRIKEAVELFNEMAGRDAMPNTVTFTILVDVLCKKGMVSEARCVFETMTEKGVEPNIYTYNALMNGYCLRLEMNDASKVFEIMVGKGCAPSVHSYSILINGYCKSRRIDEAKALLTQMSEKELIPNTVTYNTLMQGLCHASSLLEAQELFKKMCSSGMLPNLRTYSILLDGLCKHGHLEEALKLLTSMQERKLEPDIVLYNILIQGMFIAGKLEVAKELFSKLFANGIRPSVRTYNIMIKGLLKEGLSDEAYKLFRKMEDDGFLPDSCSYNVIIQGFLQNQDPSTAIQLIDEMVGRRFSADSSTFKMLLDLESHDEIISRFMRESSQIRKMK >Potri.006G102700.1.v4.1 pep chromosome:Pop_tri_v4:6:7920426:7923751:1 gene:Potri.006G102700.v4.1 transcript:Potri.006G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102700.v4.1 MADTIAAATVSLFLKPSTRHSQLFLTLTTSLPVLRFNSLKNPKFRPLVVSSSMSIDSAAAPQETSSKSSSFLDHKEGSRFLHFVKYHGLGNDFIVLDNRDSSEPKIRPEQAVKLCDRNFGIGADGVIFALPGVNGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAELENFHGKRQSFTVHTGAGLIVPEIQEDGQVKVDMGEPVLKAANVPTKLASNKNDAVVKSELVVDGVTWNVTCVSMGNPHCVTFGTKGGEDLQVDALNLAQIGPKFEHHDVFPARTNTEFVQVYSPSHLKMRVWERGAGATLACGTGACATVVAAVLEGRAGRNCTVDLPGGPLEIEWREEDNHVYMTGPAEVVFYGSVRL >Potri.002G076766.1.v4.1 pep chromosome:Pop_tri_v4:2:5370514:5371198:1 gene:Potri.002G076766.v4.1 transcript:Potri.002G076766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076766.v4.1 MVLKSAIELDIIEIIFTATSEGGCACISVISPAKIAARIPSKNPDASVLLDRMLRLLASYDILKCSTCIKENGEVERAYSEGPTCKFLVKLKVEVVDLSPLCFSLHHYEVFMKSWYLLNDAILEGG >Potri.006G123400.1.v4.1 pep chromosome:Pop_tri_v4:6:9831883:9833944:1 gene:Potri.006G123400.v4.1 transcript:Potri.006G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123400.v4.1 MGRAPCCDKANMKKGPWSPEEDAKLKEYLEKQGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDDEDRIICSLYANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGVMNPIAQRKPHQAALFSSLLQATSLPSSPSTLLSSSSSSFTCSNNSYYSNLTRSFTDPISFSSSPMSTSSFATASMLHPQETFVGPMQNDQVKDSLIMFGGEASCSSSDGSCNNQMSHVKEEYEYSGGTNNNNEQMGLQNYLYNGVEDDQKLMVSSGAAAHGVLNGWIEKQNGLWPGDNPLDYGLEEIKQLISTSSCNSFLFDENKTGEKVMYY >Potri.014G186092.1.v4.1 pep chromosome:Pop_tri_v4:14:15412908:15416944:1 gene:Potri.014G186092.v4.1 transcript:Potri.014G186092.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186092.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQGGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSPSSAVRTSRVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQRAPGPECGNPGSSPAAASDVGLAPAAPSLSHAGGRPERGGPGLEGSPPAGERASQQGLSPAAASDVGLAPAAPSLSPAGGRPERGGPGPEGSPPAGERASQQGLSPAAASDVGLAPAAPSLSPAGGRPERRGPGPEGSAGWRASQQGQPLFSSPAAASDVGLAPAAPSLSHAGGRPERGGPGPEGSPPAGERASQQGLSAAAASDVGLAPAAPSLSPAGGRPERGGPGPEGSPPAGERASQQGLSPAAASDVGLAPAAPSLSPAGGRPERRGPGPEGSAGWRASQQGQPCFRQPSMAAGAAGRHARAPKRPSAQGPPRAPGPQAPAPSAGAGGRARARAWYFWAKGRRRPENGSSMPAKLRAMRPPTLNFSLPAAQVRTRISPPRQQANAAEADTAAESADTVPRAATAPVSKPAVFLVEPWTIQPVQTHRQHLLPISSALPIPPLPLPCLNQRCFVSVSRGRIFLPGLDSPSSSPCSSKNLRCRFSPTNLQLRKYALPIPPCWHGCRCPNNVRTNSLFCQALDYPVCPDSPSADSVDFADFVGAADSNTAPCVQTSIVSSALPLTILTL >Potri.002G083500.4.v4.1 pep chromosome:Pop_tri_v4:2:5940584:5942789:1 gene:Potri.002G083500.v4.1 transcript:Potri.002G083500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083500.v4.1 MAQTMVLMSGVSTRQVVDLKRDPLLQFQVQRLRPAPFSRLLYNPLPSKASSSNAFTTLALFKPRTKAVPKKAAPPPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILSQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPTTGIEGAVIPPGKSFRAALGLKEGGPLFGFTKSNELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPVNEIEPLVLFNVLFFFIAALNPGTGKFVTDEDEE >Potri.002G083500.6.v4.1 pep chromosome:Pop_tri_v4:2:5940490:5942959:1 gene:Potri.002G083500.v4.1 transcript:Potri.002G083500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083500.v4.1 MAQTMVLMSGVSTRQVVDLKRDPLLQFQVQRLRPAPFSRLLYNPLPSKASSSNAFTTLALFKPRTKAVPKKAAPPPKPKVEDGIFGTSGGIGFTKQNELFVGRVAMIGFAASLLGEAITGKGILSQLNLETGIPIYEAEPLLLFFILFTLLGAIGALGDRGRFVDDPTTGIEGAVIPPGKSFRAALGLKEGGSPLFGFTKSNELFVGRLAQLGIAFSLIGEIITGKGALAQLNIETGIPVNEIEPLVLFNVLFFFIAALNPGTGKFVTDEDEE >Potri.017G003689.1.v4.1 pep chromosome:Pop_tri_v4:17:221158:222307:1 gene:Potri.017G003689.v4.1 transcript:Potri.017G003689.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003689.v4.1 MALDAKLALLLIHILSVAGNGYSSLQENYYGSEDEHRKKLDSSFKSGFATGYAFSAVSILAIFMSCCVPWASLNKRKRNKIMMNTPMITSFDGKAREEDKRSQRADLYVGEYC >Potri.011G008164.1.v4.1 pep chromosome:Pop_tri_v4:11:618144:622593:-1 gene:Potri.011G008164.v4.1 transcript:Potri.011G008164.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008164.v4.1 MAAAKYQASSSSRFSHCKYRVFLSFRGEDTRKNFTDHLYTALVQAGIHTFRDDDEIGRGENIESELQKAIQQSKIAIIVFSKDYASSRWCLDEIVMIMERRRTADCRVLPVFYDVDPSQVRKQTGSFAAAFVEHEKHFKEEMERVNGWRIALKEVADLAGMVLGDGYEALLVQCIVAKVSKNLDRKIFHVPLHFIGRDPLVNYINSWLQDESHDVATAMLYGIGGVGKTAIAKSVFNQNFRKFECRSYLSNVREISKESKGVVCLQRQLLSDILNQTVDEIHDVDEGILKIKDALCCRRTLIVLDDVDNRDQFNAIIGMQEWLCQGCKIIVTTRNKGLIAAQDEFVKCKVEPLDNKKSLELFSWHAFGQAYPVEGFVEDSWRIVHHCNGLPLALRVIGSSLSGKGRKLWGSALQELAVIPNCEVQNVLEISYHSLDDDYQKNIFLDIACFFNGMDVDYAVTILDGLGIGARFRIDKLIDRCLVEINNDKRLWMHQLVRDMGREIARQESPKCQRTWHHEDAFRVLNGTTDAEKLCGLTIDMHALMEDDYAEVACTSSMVRSKSRRLNFSLQWFSDFFYGGKLQTDQTSLFLILSTDAFRKMSDVKFLQLNYTKFHGSFEHFPKNLIWLCWHGFSLRSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSHDLIRTPDFSGLLGLEKLILEDCIRLVQIHESIGDLQRLLILNLRNCISLMELPEEMCRLNSLQELVLDCCSNLDRLMNMVLEHHQERSLLQSDGIVASTSYITSLPLKLLFPSRFSARKMLRFTSFSLPRSLERLDLSGTPIRFLPESIKDLGLLRGIYLRNCKMLEALPELPSHLILLDVSFCNSLQRLANLVANTKADGCDQLVEFQDRMKQELIQKFDSHMFRIMETVSAQIQPSRFQIAFIDGTFSVVIYVFDEDEKSRWFYEDKWLIQNEFVDNFSFKISSPPPAHRICGFTLFTRFCMTSEYTLLDPFYIIIRNNTSGRYLRCQAYLLPVSYKHGVREFQSFMHRKLGGDDPTFDNGDEVSISVRRKKPAIQIRTIGVQWLHEEEGKDDDIQSKDEVINAHNSSDDAAHVPKVEIASHFFRNYYCAAHRNETFGDFAWLFAKTGLEFVLYYY >Potri.011G008164.2.v4.1 pep chromosome:Pop_tri_v4:11:618194:622593:-1 gene:Potri.011G008164.v4.1 transcript:Potri.011G008164.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008164.v4.1 MAAAKYQASSSSRFSHCKYRVFLSFRGEDTRKNFTDHLYTALVQAGIHTFRDDDEIGRGENIESELQKAIQQSKIAIIVFSKDYASSRWCLDEIVMIMERRRTADCRVLPVFYDVDPSQVRKQTGSFAAAFVEHEKHFKEEMERVNGWRIALKEVADLAGMVLGDGYEALLVQCIVAKVSKNLDRKIFHVPLHFIGRDPLVNYINSWLQDESHDVATAMLYGIGGVGKTAIAKSVFNQNFRKFECRSYLSNVREISKESKGVVCLQRQLLSDILNQTVDEIHDVDEGILKIKDALCCRRTLIVLDDVDNRDQFNAIIGMQEWLCQGCKIIVTTRNKGLIAAQDEFVKCKVEPLDNKKSLELFSWHAFGQAYPVEGFVEDSWRIVHHCNGLPLALRVIGSSLSGKGRKLWGSALQELAVIPNCEVQNVLEISYHSLDDDYQKNIFLDIACFFNGMDVDYAVTILDGLGIGARFRIDKLIDRCLVEINNDKRLWMHQLVRDMGREIARQESPKCQRTWHHEDAFRVLNGTTDAEKLCGLTIDMHALMEDDYAEVACTSSMVRSKSRRLNFSLQWFSDFFYGGKLQTDQTSLFLILSTDAFRKMSDVKFLQLNYTKFHGSFEHFPKNLIWLCWHGFSLRSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSHDLIRTPDFSGLLGLEKLILEDCIRLVQIHESIGDLQRLLILNLRNCISLMELPEEMCRLNSLQELVLDCCSNLDRLMNMVLEHHQERSLLQSDGIVASTSYITSLPLKLLFPSRFSARKMLRFTSFSLPRSLERLDLSGTPIRFLPESIKDLGLLRGIYLRNCKMLEALPELPSHLILLDVSFCNSLQRLANLVANTKADGCDQLVEFQDRMKQELIQKFDSHMFRIMETVSAQIQPSRFQVLPHPFYCF >Potri.009G158000.1.v4.1 pep chromosome:Pop_tri_v4:9:12280428:12281858:1 gene:Potri.009G158000.v4.1 transcript:Potri.009G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158000.v4.1 MAEDCSSSEETNTPTTSISQDTFTTETTTITTSAFNIPPETPSSSSSFSTHLAIQSLSSILTTVSPSLLSQDQDPAFYLLHNPDISSQVSSLLRLPDSGAGDNNLCRWFYDTFQSSEPQLQLVVLRFLPIIAGLYLSRVALKKPLAGFEAVLLAIYAHETTSRAGQAMTVNVPDLSHPSIYHESKNPAKDNNAADLNLAVISPSLEPHGTVRSTRRARIVGVALELYYSKMSLMPVGSKIDFCEFCKVWSGQDGDEYKDGELQDDQENVEFQDDQGNLGKESVIKEGRIALPWELLQPILRILGHCLLGPNKDKELIGSASAACRSLYSRSLHDINTQAILATRSLLRLSKMALDPKNNVDHTEIPMTDVISL >Potri.014G142800.8.v4.1 pep chromosome:Pop_tri_v4:14:9737085:9740756:1 gene:Potri.014G142800.v4.1 transcript:Potri.014G142800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142800.v4.1 MLVKNLMEKKQLDFNQPLLSVRRFSSTASTKEAKIKRKTDDALSRISPPPVYKSELKSGPLRNPGTVPFVWERSPGRPKDESKPQNRALQRPPIAPKLPPGRILKDQQQASVKGSEGAKLADRSQTRNGHSSFQNETKEEISKEAIKDASSSGSESGEEAYADALDILSRSESFFLNCSISGVSGLDGPDLKPSGAFFTDQHGQDFMMARFLPAAKAMASETPQCFTRKQPVVRELPRQIAKATGVERHPLNRYSPNNIPNYAQADAVEDSEDEDCDDDRPDDPSLKLCGLLPQLCSQNSLCFMNPVLGMRKQVPVPISSVCTTKSGSSNAASRNVTAHEHQRNAMYEKRESIKIACKTENKRLDESSACKGWHSKVASPTDSQFPQPVHEERRCTEIPDKCRNSAASDFIQCAKGSTIFRELLATESREWESVSAVSVAEKTLYIDSMHMVKPQNSNSSSSDARGLSECSKDDVEILVKNREIEETDDVNSSLLDSKHLSTVDEKKKLRPDSLESVDSCFLSLSDKSIHDVHMAVMDGSRQDEDNMQVSNTLTSPKVDKDGKIDLESRSDKKLGNLESSHVFIQDSNGVVAGNGRIDLESQQCRKLSNKESSIGCYTQLLLPPPLPKSPSESWLKRTLPIVSSRNSSSRSPLGMHLHSRVQASKTLSDDPKWETIVRTANIQHGHLRFSEDLLAPIPET >Potri.014G142800.6.v4.1 pep chromosome:Pop_tri_v4:14:9737041:9741998:1 gene:Potri.014G142800.v4.1 transcript:Potri.014G142800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142800.v4.1 MLVKNLMEKKQLDFNQPLLSVRRFSSTASTKEAKIKRKTDDALSRISPPPVYKSELKSGPLRNPGTVPFVWERSPGRPKDESKPQNRALQRPPIAPKLPPGRILKDQQQASVKGSEGAKLADRSQTRNGHSSFQNETKEEISKEAIKDASSSGSESGEEAYADALDILSRSESFFLNCSISGVSGLDGPDLKPSGAFFTDQHGQDFMMARFLPAAKAMASETPQCFTRKQPVVRELPRQIAKATGVERHPLNRYSPNNIPNYAQADAVEDSEDEDCDDDRPDDPSLKLCGLLPQLCSQNSLCFMNPVLGMRKQVPVPISSVCTTKSGSSNAASRNVTAHEHQRNAMYEKRESIKIACKTENKRLDESSACKGWHSKVASPTDSQFPQPVHEERRCTEIPDKCRNSAASDFIQCAKGSTIFRELLATESREWESVSAVSVAEKTLYIDSMHMVKPQNSNSSSSDARGLSECSKDDVEILVKNREIEETDDVNSSLLDSKHLSTVDEKKKLRPDSLESVDSCFLSLSDKSIHDVHMAVMDGSRQDEDNMQVSNTLTSPKVDKDGKIDLESRSDKKLGNLESSHVFIQDSNGVVAGNGRIDLESQQCRKLSNKESSIGCYTQLLLPPPLPKSPSESWLKRTLPIVSSRNSSSRSPLGMHLHSRVQASKTLSDDPKWETIVRTANIQHGHLRFSEDLLAPIPET >Potri.014G142800.1.v4.1 pep chromosome:Pop_tri_v4:14:9737061:9740649:1 gene:Potri.014G142800.v4.1 transcript:Potri.014G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142800.v4.1 MLVKNLMEKKQLDFNQPLLSVRRFSSTASTKEAKIKRKTDDALSRISPPPVYKSELKSGPLRNPGTVPFVWERSPGRPKDESKPQNRALQRPPIAPKLPPGRILKDQQQASVKGSEGAKLADRSQTRNGHSSFQNETKEEISKEAIKDASSSGSESGEEAYADALDILSRSESFFLNCSISGVSGLDGPDLKPSGAFFTDQHGQDFMMARFLPAAKAMASETPQCFTRKQPVVRELPRQIAKATGVERHPLNRYSPNNIPNYAQADAVEDSEDEDCDDDRPDDPSLKLCGLLPQLCSQNSLCFMNPVLGMRKQVPVPISSVCTTKSGSSNAASRNVTAHEHQRNAMYEKRESIKIACKTENKRLDESSACKGWHSKVASPTDSQFPQPVHEERRCTEIPDKCRNSAASDFIQCAKGSTIFRELLATESREWESVSAVSVAEKTLYIDSMHMVKPQNSNSSSSDARGLSECSKDDVEILVKNREIEETDDVNSSLLDSKHLSTVDEKKKLRPDSLESVDSCFLSLSDKSIHDVHMAVMDGSRQDEDNMQVSNTLTSPKVDKDGKIDLESRSDKKLGNLESSHVFIQDSNGVVAGNGRIDLESQQCRKLSNKESSIGCYTQLLLPPPLPKSPSESWLKRTLPIVSSRNSSSRSPLGMHLHSRVQASKTLSDDPKWETIVRTANIQHGHLRFSEDLLAPIPET >Potri.014G142800.5.v4.1 pep chromosome:Pop_tri_v4:14:9737046:9740939:1 gene:Potri.014G142800.v4.1 transcript:Potri.014G142800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142800.v4.1 MLVKNLMEKKQLDFNQPLLSVRRFSSTASTKEAKIKRKTDDALSRISPPPVYKSELKSGPLRNPGTVPFVWERSPGRPKDESKPQNRALQRPPIAPKLPPGRILKDQQQASVKGSEGAKLADRSQTRNGHSSFQNETKEEISKEAIKDASSSGSESGEEAYADALDILSRSESFFLNCSISGVSGLDGPDLKPSGAFFTDQHGQDFMMARFLPAAKAMASETPQCFTRKQPVVRELPRQIAKATGVERHPLNRYSPNNIPNYAQADAVEDSEDEDCDDDRPDDPSLKLCGLLPQLCSQNSLCFMNPVLGMRKQVPVPISSVCTTKSGSSNAASRNVTAHEHQRNAMYEKRESIKIACKTENKRLDESSACKGWHSKVASPTDSQFPQPVHEERRCTEIPDKCRNSAASDFIQCAKGSTIFRELLATESREWESVSAVSVAEKTLYIDSMHMVKPQNSNSSSSDARGLSECSKDDVEILVKNREIEETDDVNSSLLDSKHLSTVDEKKKLRPDSLESVDSCFLSLSDKSIHDVHMAVMDGSRQDEDNMQVSNTLTSPKVDKDGKIDLESRSDKKLGNLESSHVFIQDSNGVVAGNGRIDLESQQCRKLSNKESSIGCYTQLLLPPPLPKSPSESWLKRTLPIVSSRNSSSRSPLGMHLHSRVQASKTLSDDPKWETIVRTANIQHGHLRFSEDLLAPIPET >Potri.014G142800.7.v4.1 pep chromosome:Pop_tri_v4:14:9737078:9740770:1 gene:Potri.014G142800.v4.1 transcript:Potri.014G142800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142800.v4.1 MLVKNLMEKKQLDFNQPLLSVRRFSSTASTKEAKIKRKTDDALSRISPPPVYKSELKSGPLRNPGTVPFVWERSPGRPKDESKPQNRALQRPPIAPKLPPGRILKDQQQASVKGSEGAKLADRSQTRNGHSSFQNETKEEISKEAIKDASSSGSESGEEAYADALDILSRSESFFLNCSISGVSGLDGPDLKPSGAFFTDQHGQDFMMARFLPAAKAMASETPQCFTRKQPVVRELPRQIAKATGVERHPLNRYSPNNIPNYAQADAVEDSEDEDCDDDRPDDPSLKLCGLLPQLCSQNSLCFMNPVLGMRKQVPVPISSVCTTKSGSSNAASRNVTAHEHQRNAMYEKRESIKIACKTENKRLDESSACKGWHSKVASPTDSQFPQPVHEERRCTEIPDKCRNSAASDFIQCAKGSTIFRELLATESREWESVSAVSVAEKTLYIDSMHMVKPQNSNSSSSDARGLSECSKDDVEILVKNREIEETDDVNSSLLDSKHLSTVDEKKKLRPDSLESVDSCFLSLSDKSIHDVHMAVMDGSRQDEDNMQVSNTLTSPKVDKDGKIDLESRSDKKLGNLESSHVFIQDSNGVVAGNGRIDLESQQCRKLSNKESSIGCYTQLLLPPPLPKSPSESWLKRTLPIVSSRNSSSRSPLGMHLHSRVQASKTLSDDPKWETIVRTANIQHGHLRFSEDLLAPIPET >Potri.012G074700.1.v4.1 pep chromosome:Pop_tri_v4:12:9810617:9813406:-1 gene:Potri.012G074700.v4.1 transcript:Potri.012G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074700.v4.1 MGTVKLGENMEIKEVVIQKACSMAMNAHKSPEKQYLSKKIRTSSSEVVFSFPGSWSVNDWFAGTSFGETKMDPQLFPSLKYVGLDVTATVNEVFLNRFKAVLANPQFQIEVEKAATDRRQIVFTGHSSGGAIAILATIWFLEEQIRKSSNWIAPLCLTFGSPLVGDRIINLALRRENWSRYFVNFVMRCDIVPQISLSPLSSINQKLQQVLDYFNQKAQQPPNEAPAFYVTVVKNASSVANYAACKIMGSTNPLLETISSFIELSPYRPLGTYVFCTGNGKLVVSSNPDAVLQVLYYASQLSTGEAREKVEVAQTSLRDHLNYGNDLQEYLKMSIVTCLYQHHPEALPLSSNVGNVERGRVDVALNDLGLSERARLCIHAAEALEKQKLRNQASIEEKQKDIEKCLDKLEAYKKKGALKVGYYDAFKSSEQKEDFHANVERLELAGIWDEIIEMLKRNELPDEFEGRKTWIDLGTRYRRIVEPLDIANYYRHLKNEDTGPYMGKGRPRRYKCTQRWREHAERLPHEVPGSCFWAEVEELCIKTSCQGIKESISHLNTKVKKWIKDGELGVDVLLENSTFNKLLKQHFLTNFSQDLDLRKELHVQGFADA >Potri.002G247100.2.v4.1 pep chromosome:Pop_tri_v4:2:23771308:23774473:1 gene:Potri.002G247100.v4.1 transcript:Potri.002G247100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247100.v4.1 MGALDEEHFNKEIENGVELDCLDLGFEDQLETVAIEDAVKVLLQGLGEDINREGLKKTPLRVAKALREGTKGYKQKAKDIVQSALFPEVGLDDAVGHAGGAGGLVIVRDLDLFSYCESCLLPFQVKCQIGYVPSGQRVVGLSKLSRVADVFAKRLQDPQRLADEICSALHLGIKPAGVAVILQCSHIHFPNIEPPFLDSNLQGWVKALVHSGSGVFENDFADVWDDFFSLLKFRGINVDKTLMKDSKQQCWCPSQYSSSAEVTGQPNTGMVTAVTSILISLGENPLRKELAGTPSHFVKWLMNFQNANLETKLNGFACGRMDPLKQNGEVSHDKRMYTELNLSFCSQCEHHLLPFYGVVHIGYYAEEITPVIKSRLQSIVRFYGFKLQVQERLTRQIAETASSLLGGDVVVVVEANHTCMISRGIEKFGSSTATIAVLGLFSTDPAARAKFLQNIPNPASGGSGF >Potri.010G051800.1.v4.1 pep chromosome:Pop_tri_v4:10:8344853:8347129:-1 gene:Potri.010G051800.v4.1 transcript:Potri.010G051800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051800.v4.1 MSCYFSNQLSSFTPLHSLYIAITFLVVSHEIYVPISFFLNNMAIPLSLSVLLLILAPSFISCLPVQDPELVVEEVHRSINASRRNLAFLSCGTGNPIDDCWRCDPNWEKNRQRLANCAIGFGKNAIGGRDGKIYVVTDSGHDDPVNPKPGTLRHAVIQDEPLWITFARDMVIRLKEELIMNSFKTIDGRGANVHIAGGPCITIQYVTNIIIHGINIHDCKQGGNAYVRDSPSHYGWRTISDGDGVSIFGGSQVWVDHCSLSNCNDGLIDAIHGSTAITISNSYFTRHNKVMLLGHSDSYKQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWKMYAIGGSAAPTINSQGNRFLAPNDRFKKEVTKHEAAPQSQWKRWNWRSDGDLMLNGAFFTPSGAGASSSYARASSLSARPSSLVSSITAGAGALVCKKGSRC >Potri.019G132100.1.v4.1 pep chromosome:Pop_tri_v4:19:15424310:15428450:-1 gene:Potri.019G132100.v4.1 transcript:Potri.019G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132100.v4.1 MSGFYTHNFSPVRASPHVRITPDVDSGQYLTELLEERQKLGPFMQVLPICSRLLNQEILRVSGRTPNQGFGDLDRLQYGSLSPMASLDIIPNTIGAGFNGWNGLQHERIGPQGMGIDWQAAPASPSSHIVKKILRLDIPVDSYPNFNFVGRLLGPRGNSLKRVEASMGCRVYIRGKGSIKDPEKEESLRGRPGYEHLSEQLHILIEAELPANVIDTRLRQAQEIIEELLKPVDESQDIYKRQQLRELALLNLSYREESPGPSGSVSPFTSSGMKRVKTGQ >Potri.010G069000.2.v4.1 pep chromosome:Pop_tri_v4:10:9750398:9758989:1 gene:Potri.010G069000.v4.1 transcript:Potri.010G069000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069000.v4.1 MVKFSKELEAQLIPEWKDAFVNYWQLKKQIKKIKLSQKPAHPHRVLDHEYGLSIFDPIRSLANNISSKLFHSDTMTEIIQVRSKSMEDGDEEVYQTELVQLFSEEDEVRVFFEGLDEELNKVNQFYKTKESEFLERGEILNKQLEILLDLKRILNERRRKPNAGVLSRSWSSSPRNSDFSESASESNEISTDSQTDEIIAALEKNGINFINSATRTKTKKGKPKMAMRIDIPATTPTRTITAVTSMLWEDLVNNPKKEGAGDFINRKKIQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVSNQQASASYLKVVKRSHFISSDKIVRLMDDVESIFTKHFANNDRKKAMKFLRPQRQRESHMVTFFVGLFTGCFVSLFSVYAILAHLSGIFRPNSERSYVETVYPVFSVFALLSLHLFMYGCNLLMWKGTRINYNFIFEFQPSTALKYRDAFLICTTFMTSVVAAMVIHLLLRASGFSPNHVDAIPGILLLIFVFVLICPFDIFYRPTRYCFVRIIRNTICSPFYKVLMVDFFMADQLTSQIPLMRHMESTACYFLAGSFKTHRYETCNSGRLYRELAYVISFLPYYWRAMQCARRWFDESDLNHLANMGKYVSAMVAAGARITYATQKNHLWLGIVLVTSVFATLYQLYWDFVKDWGLLNSKSKNLWLRDDLILKNKSVYYMSIALNIVLRVVWVETVMGFRFNNEVETRMLDFFLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKAVPLPFRETDSDG >Potri.016G078400.11.v4.1 pep chromosome:Pop_tri_v4:16:5948671:5953298:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFCLCVETSSRKEPCLPVLWFALLLQDLVWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLT >Potri.016G078400.9.v4.1 pep chromosome:Pop_tri_v4:16:5948672:5953298:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFCLCVETSSRKEPCLPVLWFALLLQDINNHVVDPRSLKFKKNIHGKPELVWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLTVRKTIPFVEDICISGTDAV >Potri.016G078400.13.v4.1 pep chromosome:Pop_tri_v4:16:5948672:5953298:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFSFMQKMRSFQRNFSVSSSFPLLPLQLPSPMETHVWFVLPDEFKSVSLLNQYMELLSPCEKENVLSMRGDQLQKRALLARTLVRTPIARLWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLT >Potri.016G078400.10.v4.1 pep chromosome:Pop_tri_v4:16:5948672:5953298:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFCLCVETSSRKEPCLPVLWFALLLQDINNHVVDPRSLKFKKNIHGKPELVWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLT >Potri.016G078400.14.v4.1 pep chromosome:Pop_tri_v4:16:5948671:5953298:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFCLCVETSSRKEPCLPVLWFALLLQDLVWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLTVRKTIPFVEDICISGTDAV >Potri.016G078400.7.v4.1 pep chromosome:Pop_tri_v4:16:5948672:5953298:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFSFMQKMRSFQRNFSVSSSFPLLPLQLPSPMETHVWFVLPDEFKSVSLLNQYMELLSPCEKENVLSMRGDQLQKRALLARTLVRTPIARYQINNHVVDPRSLKFKKNIHGKPELVWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLT >Potri.016G078400.12.v4.1 pep chromosome:Pop_tri_v4:16:5948672:5952757:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFSFMQKMRSFQRNFSVSSSFPLLPLQLPSPMETHVWFVLPDEFKSVSLLNQYMELLSPCEKENVLSMRGDQLQKRALLARTLVRTPIARLWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLTVRKTIPFVEDICISGTDAVVPIAGLIKQV >Potri.016G078400.15.v4.1 pep chromosome:Pop_tri_v4:16:5948682:5952803:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFCLCVETSSRKEPCLPVLWFALLLQDIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLTVRKTIPFVEDICISGTDAVVPIAGLIKQV >Potri.016G078400.2.v4.1 pep chromosome:Pop_tri_v4:16:5948672:5953298:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFSFMQKMRSFQRNFSVSSSFPLLPLQLPSPMETHVWFVLPDEFKSVSLLNQYMELLSPCEKENVLSMRGDQLQKRALLARTLVRTPIARYQINNHVVDPRSLKFKKNIHGKPELVWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLTVRKTIPFVEDICISGTDAV >Potri.016G078400.8.v4.1 pep chromosome:Pop_tri_v4:16:5948672:5952788:1 gene:Potri.016G078400.v4.1 transcript:Potri.016G078400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078400.v4.1 MFCLCVETSSRKEPCLPVLWFALLLQDLVWESDDGQCPSPLHFNISHTSSLIACGVTVNSPIGIDVEEKQRKIKNNILAFARRYFSLYEVEHLSAISDSEVQRQEFVKLWILKEAYVKALGRGFSAAPFKTFTIHEKDATNSGASEVVVESSDHPVSLTNNWQFGLFELASSHYAAVCMEKDKTSDVAMRVPMRLTVRKTIPFVEDICISGTDAVVPIAGLIKQV >Potri.008G119700.1.v4.1 pep chromosome:Pop_tri_v4:8:7681786:7687011:-1 gene:Potri.008G119700.v4.1 transcript:Potri.008G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119700.v4.1 MKIFVKTLKGSTFDIEVKPEDTVADVKKKIETAQGVAVYPAEQQMLIHQAKVLKDNTTLDENKIVENSFVVIMLSKTKSSSGDGSTAPTAPTTKAPTTSTPASTTAPQAPTLTSAPPASAPAPALVSSVAAASDYVYGQAASSLVAGSNLEVAVQQILDMGGGTWDRDTVVRALRAAYNNPERAIEYLYSGIPEQAEAPPVAHMPLGGQAPAAQPQQHPTQTAAVPSGGPNANPLDLFPQGLPNVGSGAGGAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQDDFLRLINEPVESGEGNVLGQLAAAMPQAVTVTPEEREAIERLEAMGFDRALVLEVFFACNKNEELAANYLLDHMHEF >Potri.008G119700.3.v4.1 pep chromosome:Pop_tri_v4:8:7681797:7686931:-1 gene:Potri.008G119700.v4.1 transcript:Potri.008G119700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119700.v4.1 MKIFVKTLKGSTFDIEVKPEDTVADVKKKIETAQGVAVYPAEQQMLIHQAKVLKDNTTLDENKIVENSFVVIMLSKTKSSSGDGSTAPTAPTTKAPTTSTPASTTAPQAPTLTSAPPASAPAPALVSSVAAASDYVYGQAASSLVAGSNLEVAVQQILDMGGGTWDRDTVVRALRAAYNNPERAIEYLYSGIPEQAEAPPVAHMPLGGQAPAAQPQQHPTQTAAVPSGGPNANPLDLFPQGLPNVGSGAGGAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQDDFLRLINEPVESGEGNVLGQLAAAMPQAVTVTPEEREAIERVNSASFLHLKLWVLIVHLYWRYSLPATRTRSWLPTTS >Potri.010G100000.2.v4.1 pep chromosome:Pop_tri_v4:10:12302374:12303524:1 gene:Potri.010G100000.v4.1 transcript:Potri.010G100000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100000.v4.1 MEPRVVHKHCDDVPRISSKRLMGKVAVITGGARGIGAATAKVFAENGASVIIADILDELGTSLADSIGGRYIHSVNLALTWKRKIDIMFNNAGIAGTEGSITNIDMEKVKRLLCVNVNGILHGIKHAARVMIEGRKGWCIICMSSSAAIMGGLGSHPYSMSKEAIIGLMRSTACELGVHGILVNCISPHGVASEMLVDAYRKILGKKDMKPEEVSKIVGERGCLLRGRSPSLEDVAQSVLFLASEEAGYINARNLLVDGGYTAASSNMSFIYQ >Potri.006G140400.1.v4.1 pep chromosome:Pop_tri_v4:6:11769980:11774782:1 gene:Potri.006G140400.v4.1 transcript:Potri.006G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140400.v4.1 MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAAATTSIGVRGKDSVCVVTQKKVPDKLLDQTSVTHLFPITKYLGLLATGMTADARNLVQQARNEAAEFRFRYGYEMPVDALARWIADKSQVYTQHAYMRPLGVVAMVLGIDEENGPQLYKCDPAGHFFGHKATSAGLKEQEAINFLEKKMKNDPALSYEETVQTAISALQSVLQEDFKATEIEVGVVRTDNLVFRVLSTEEIDEHLTAISERD >Potri.003G022900.4.v4.1 pep chromosome:Pop_tri_v4:3:2403814:2407351:1 gene:Potri.003G022900.v4.1 transcript:Potri.003G022900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022900.v4.1 MDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGEWNLNGYIVTDCDSLDVFYKSQNYTKTPEEAAAAAILAGVDLNCGSFLGQHTEAAVKGGLVNEHAIDIAVSNNFATLMRLGFFDGDPSKQLYGKLGPKDVCTAENQELAREAARQGIVLLKNTAGSLPLSPTAIKNLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAASVATTYLPGCSNVACSTAQVDDAKKLAAAADATVLVMGADLSIEAESRDRVDVLLPGQQQLLITAVANVSCGPVILVIMSGGGMDVSFARTNDKITSILWVGYPGEAGGAAIADIIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETVYSFGDGLSYSQFTHELIQAPQLVYVPLEESHVCHSSECQSVVASEQTCQNSTFDMLLRVKNEGTISGSHTVFLFSSPPAVHNSPQKHLVGFEKVFLNAQTGRHVRFKVDICKDLSVVDELGSKKVALGEHVLHVGSLKHFLSVRI >Potri.003G022900.1.v4.1 pep chromosome:Pop_tri_v4:3:2402543:2407367:1 gene:Potri.003G022900.v4.1 transcript:Potri.003G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022900.v4.1 MAMASVSPQNSVPKVPVFLLFCMFLVFLSTHVSAQSSPVFACDVVSNPSLASLGFCNTSIGINDRVVDLVKRLTLQEKIVFLVNSAGNVSRLGIPKYEWWSEALHGVSYVGPGTHFSDDVAGATSFPQVILTAASFNTSLFEAIGKVVSTEARAMYNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLSSKYGSCYVKGLQQRDDGDPDKLKVAACCKHYTAYDLDNWKGSDRYHFNAVVTKQDMDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGEWNLNGYIVTDCDSLDVFYKSQNYTKTPEEAAAAAILAGVDLNCGSFLGQHTEAAVKGGLVNEHAIDIAVSNNFATLMRLGFFDGDPSKQLYGKLGPKDVCTAENQELAREAARQGIVLLKNTAGSLPLSPTAIKNLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAASVATTYLPGCSNVACSTAQVDDAKKLAAAADATVLVMGADLSIEAESRDRVDVLLPGQQQLLITAVANVSCGPVILVIMSGGGMDVSFARTNDKITSILWVGYPGEAGGAAIADIIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETVYSFGDGLSYSQFTHELIQAPQLVYVPLEESHVCHSSECQSVVASEQTCQNSTFDMLLRVKNEGTISGSHTVFLFSSPPAVHNSPQKHLVGFEKVFLNAQTGRHVRFKVDICKDLSVVDELGSKKVALGEHVLHVGSLKHFLSVRI >Potri.003G022900.5.v4.1 pep chromosome:Pop_tri_v4:3:2402541:2407312:1 gene:Potri.003G022900.v4.1 transcript:Potri.003G022900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022900.v4.1 MDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGEWNLNGYIVTDCDSLDVFYKSQNYTKTPEEAAAAAILAGVDLNCGSFLGQHTEAAVKGGLVNEHAIDIAVSNNFATLMRLGFFDGDPSKQLYGKLGPKDVCTAENQELAREAARQGIVLLKNTAGSLPLSPTAIKNLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAASVATTYLPGCSNVACSTAQVDDAKKLAAAADATVLVMGADLSIEAESRDRVDVLLPGQQQLLITAVANVSCGPVILVIMSGGGMDVSFARTNDKITSILWVGYPGEAGGAAIADIIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETVYSFGDGLSYSQFTHELIQAPQLVYVPLEESHVCHSSECQSVVASEQTCQNSTFDMLLRVKNEGTISGSHTVFLFSSPPAVHNSPQKHLVGFEKVFLNAQTGRHVRFKVDICKDLSVVDELGSKKVALGEHVLHVGSLKHFLSVRI >Potri.003G022900.3.v4.1 pep chromosome:Pop_tri_v4:3:2403713:2407351:1 gene:Potri.003G022900.v4.1 transcript:Potri.003G022900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022900.v4.1 MSLQVVSTEARAMYNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLSSKYGSCYVKGLQQRDDGDPDKLKVAACCKHYTAYDLDNWKGSDRYHFNAVVTKQDMDDTFQPPFKSCVIDGNVASVMCSYNQVNGKPTCADPDLLSGVIRGEWNLNGYIVTDCDSLDVFYKSQNYTKTPEEAAAAAILAGVDLNCGSFLGQHTEAAVKGGLVNEHAIDIAVSNNFATLMRLGFFDGDPSKQLYGKLGPKDVCTAENQELAREAARQGIVLLKNTAGSLPLSPTAIKNLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAASVATTYLPGCSNVACSTAQVDDAKKLAAAADATVLVMGADLSIEAESRDRVDVLLPGQQQLLITAVANVSCGPVILVIMSGGGMDVSFARTNDKITSILWVGYPGEAGGAAIADIIFGYYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETVYSFGDGLSYSQFTHELIQAPQLVYVPLEESHVCHSSECQSVVASEQTCQNSTFDMLLRVKNEGTISGSHTVFLFSSPPAVHNSPQKHLVGFEKVFLNAQTGRHVRFKVDICKDLSVVDELGSKKVALGEHVLHVGSLKHFLSVRI >Potri.017G146600.2.v4.1 pep chromosome:Pop_tri_v4:17:14651481:14654867:1 gene:Potri.017G146600.v4.1 transcript:Potri.017G146600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146600.v4.1 MADVQMGEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIRLVPDKTNNTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDVNGEQLGRGTKITLYLKEDQLEYLEERRIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDIEEVDEEKETKYKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYASFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFAPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFIKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFNEIAENKEDYQKFYDAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFENLCKTIKDILGDKVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFAARIHRMLKLGLSIDEDEAAGDDTDMPALEEDGAEESKMEEVD >Potri.001G141701.1.v4.1 pep chromosome:Pop_tri_v4:1:11605308:11606328:1 gene:Potri.001G141701.v4.1 transcript:Potri.001G141701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141701.v4.1 MGLKNTPMANHKVLAVVFFVFIGLLGICSAKRSLLTLEAHISAGGYGSGHGAGAGIDVSGGYSGGGGSGAGGGAGYGGAAEHGGAGGGGGSGAGGGSGAGYAGGASGAGYGSGGGEGGGGGYGSGGEGKGSGGGGGGSGGGGGAGYAGGASGAGYGSGSGEGGGGGYGSGGGGKGSGGGGGGVGGGGGGGSGAGGAGYGGGEGAGSGGGYGEGNGGGGGGSGGGGGGGSGAGGAHGGGYGSGGGEGAGHGGGVGGSGGSGAGGGYGSGGGEGAGAGHGGGAGGSSGGGGGGGGSGGGGGYGSAGKHDAGYGAGGGKGEGGGQGGGYAP >Potri.006G183700.1.v4.1 pep chromosome:Pop_tri_v4:6:18992176:18996917:-1 gene:Potri.006G183700.v4.1 transcript:Potri.006G183700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183700.v4.1 MLNNNNTMEMVASLSHPFHCPLRLRYKRSSNISPPSLLQFRACKEPFVGCSTYKQAVLWNSYNSTLNGASCIPRKHVCVPWYNYLQEFNQTMVHKQAKASRPVVVRSELAGTGIPDSAYPLSDITFGSKVRGIGFYVVTAIAAIFLIVLMIAQHPFVLLFDQYRRKAQFSIAKIWASLTVAPFFKIEYERLENLPPPDVPAVYVSNHQSFLDIYTLLTLGRSFKFISKTGIFLFPIIGWAMLMLGVIPLKRMDSRSQMECLKRCMDLLKKGSSVFFFPEGTRSKDGKLCAFKKGAFSVAAKVGVPVVPITLIGTGKIMPTGLEGILNPGSVKVIIHKPIEGSDAAVLCNEARSAIADALQHQG >Potri.013G154500.1.v4.1 pep chromosome:Pop_tri_v4:13:14984108:14988053:-1 gene:Potri.013G154500.v4.1 transcript:Potri.013G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154500.v4.1 MSSMAATTRRRSFDPDITRWVIEFILRQLQIPVLTINKILTNRHVPLSNTSPRFKKTLLLRQIDADIEDGSVSEKTLDAIEMVEQIDRNEGDLIMDSMKNAYCAVAVECTVKYMLGNLQRARKGKFLEAVERVWKNRVAGLKREGKSELVTGKLMKYFEEMEVALKDDVVAKRWLRMNTRNEAAEMVRIYLGEAVAVSGPVFVEMVARMEMRGDGGLLCRKRGEGENEGVEVNLGGGELNGFVEKSREGGGGENGAAGVNVGGGRVCIDVDAGEGCSRLADGNLELGPADMPAKESREGGGGENGAVEVNVGGGRVCIDVNAGEGCSRSDDDNLELGPADMPAKKSSEIQKNNMVRKHRHVVPIKRSKGPVKITNTETAVGVVASSLYDTITTDKVNTARGKLIASSKELRARVKDPLPDTIKQADNIMVEMARNLSNQGTLAEIQSGKSADTVISELARKIINPDPPGKNQNGKDAGSSFLSANQTAVPSAQNQCGKDTDACNPSANHTVEPFAENPNQSEVDAANHFTNQTAVPSIRNEKGKGMDAPNLSANLSKKANTGVASCSHQNNVSKPSLMERNATARTFEWDDSIDDSSEGTGDQMNRIHLDSPKRKAVSPLKKYELARFAKRRKPKRWSLEEEDALREAVKKYGKGNWKLIWNSRRDVFQERTEVDLKDKWRNMTRYG >Potri.004G134200.1.v4.1 pep chromosome:Pop_tri_v4:4:15587076:15589493:1 gene:Potri.004G134200.v4.1 transcript:Potri.004G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134200.v4.1 MEKPPSQSTVGGKPITTFVQTDTKAFRDVVQRLTGSSEGDAAEGTAGAATKIAGIKRSTPKLHERRQNLRPKLEIVKPPLNFKPSTSPTTSGNHSLLPSPAGTPSFMFSPSTILSKLSIQEQESRGESAISDLNNEEEEKAIKERRFYLHPSPRSKPGYTEPELLALFPLTSPQASDKH >Potri.001G192800.1.v4.1 pep chromosome:Pop_tri_v4:1:17792193:17793063:-1 gene:Potri.001G192800.v4.1 transcript:Potri.001G192800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G192800.v4.1 MSSKLTSQGVVLATVMAVSSTVVFLAFSKQKTLPHQVLSESRDSESPTPSQDLRSCLSTEGKRKKKRVQFAENVKNTKGNGDEYRRERQNPWITRREREVSNTRMSRVCRNEIQGNHGMPENRVALYSGILVDRVHKMECSYLFQ >Potri.005G127401.1.v4.1 pep chromosome:Pop_tri_v4:5:9581434:9584003:1 gene:Potri.005G127401.v4.1 transcript:Potri.005G127401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127401.v4.1 MTGGDQSCKTRGGNIPPPPVESSTHSQFAEDFSSYEDACKLDPCLQSFDATLMEKTNHVINSLSTGDIATGSSGSFKAVTNCLLEMNQDVVKFILESKEDIWNNPELVALVDEYFKSSIKTMDFCTELESCVTSARTSQLNIMAAIAHFEKEVELQDGVIEKKYVKTLEELQNFMVAGDPFTPKFFMLFQSVYEQQVSMLKKLQSHKRKLDKKLKSVKIWRRVSNALFVSVFVTVMIFAVAAAAIAAPPVVTALASALADPMRSVGTWCNLLWHRYENALKEQKVLVNAIQVGTFITIKDMESIRVLVNKLEIEIKSLLHHADFAIREEDVVKLVIDELKKKMAVFMETFEDLAAQAHRCNRDIIRGRTMISQRIIELAGK >Potri.013G058400.1.v4.1 pep chromosome:Pop_tri_v4:13:4266974:4269481:-1 gene:Potri.013G058400.v4.1 transcript:Potri.013G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058400.v4.1 MRPPRGGGFRGGRDGGFRGGRDGGFRGGRDGGFRGGRDGGFRGGRGGRGGGFRDEGPPSEVIEVSSFLHACEGDAVTKLTNEKIPYFNAPIFLQNKTQIGKVDEIFGPINESYFSIKMMEGIVATSYAPGDKFYIDPSKLLPLARFLTQPKGQAQGGRGGGRGGRGRGGFGGGRGDFRGRGRGRGPPRGGGRGRGGGFRGRGRG >Potri.015G103500.3.v4.1 pep chromosome:Pop_tri_v4:15:12238510:12243978:1 gene:Potri.015G103500.v4.1 transcript:Potri.015G103500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103500.v4.1 MGLPQFSSSSIAEEAATSLSTIVQTSPRIVGVSSCDLSGMYGGNLGYRMQVEMHCASLTDFQKKTVVENKKGLLSHKSGQNIQTPIPRIVGFESRGLNSPVGAFNGNQTASTVVTIGSNAVESTGSDKKRLLSPLSGMLHPDKFNGASLDTGDGVCKSNLWGSKDNYKVSMSQEHKKAHIGNSSYFKCSNWSASCLPEWKNSPVDSCRENSIFFSDGPVLETELKAHDQFMSPPGLNYFGKTTKARHQTSAMAIPLMKAASPPLSLSPLGPKLSEGIKSPGGCSHITRRLDDGYLTFTDMEQSLDGTVSGILSSRKDRVFKMLDESLDDFDNLCTKFDVFAPEITSGIGQPWCEDSNLSSLNVKLCRSFTSVPVRRSLVGSFEESLLSGRLSSGKVSQRIDGFLAVLNVTGGNFSPKAQKLPFTVTSVDGNNYLLYYSSIDLGGNVQENSDQKMRRSLSIDDSRSDRSRLRIPMKGRIQLVLSNPEKTPIHTFFCSYDLSDMPAGTKTCLRQKITLSSSAPASLPVNVKNRDSDMKNDAKPSSVPKTNNCLPHGRDATNSIRLDTVHNTRSPDHSRFSNSECKNTGVEGCSPPNSSRLCIRKSVHGPSKVNENTTGAGALRYALQLQFMSPLPKKSLRSFQRSTSDPSSVPAANKMDIVSEQRFYLYSNMRVVFPQRHSDSDEGKLKVEYDYPSNPKYFDI >Potri.015G103500.1.v4.1 pep chromosome:Pop_tri_v4:15:12238723:12243951:1 gene:Potri.015G103500.v4.1 transcript:Potri.015G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103500.v4.1 MGLPQFSSSSIAEEAATSLSTIVQTSPRIVGVSSCDLSGMYGGNLGYRMQVEMHCASLTDFQKKTVVENKKGLLSHKSGQNIQTPIPRIVGFESRGLNSPVGAFNGNQTASTVVTIGSNAVESTGSDKKRLLSPLSGMLHPDKFNGASLDTGDGVCKSNLWGSKDNYKVSMSQEHKKAHIGNSSYFKCSNWSASCLPEWKNSPVDSCRENSIFFSDGPVLETELKAHDQFMSPPGLNYFGKTTKARHQTSAMAIPLMKAASPPLSLSPLGPKLSEGIKSPGGCSHITRRLDDGYLTFTDMEQSLDGTVSGILSSRKDRVFKMLDESLDDFDNLCTKFDVFAPEITSGIGQPWCEDSNLSSLNVKLCRSFTSVPVRRSLVGSFEESLLSGRLSSGKVSQRIDGFLAVLNVTGGNFSPKAQKLPFTVTSVDGNNYLLYYSSIDLGGNVQENSDQKMRRSLSIDDSRSDRSRLRIPMKGRIQLVLSNPEKTPIHTFFCSYDLSDMPAGTKTCLRQKITLSSSAPASLPVNVKNRDSDMKNDAKPSSVPKTNNCLPHGRDATNSIRLDTVHNTRSPDHSRFSNSECKNTGVEGCSPPNSSRLCIRKSVHGPSKVNENTTGAGALRYALQLQFMSPLPKKSLRSFQRSTSDPSSVPAANKMDIVSEQRFYLYSNMRVVFPQRHSDSDEGKVYFVGVYYCPLMRRNLLSVKSML >Potri.014G039700.1.v4.1 pep chromosome:Pop_tri_v4:14:2565053:2567579:-1 gene:Potri.014G039700.v4.1 transcript:Potri.014G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039700.v4.1 MDTELDRISSLPGHVLDQILSVLPIRDAVRTSALSRKWRYQWSQIPHLVFDTQCASITSQDQTIAKNNLVNIIDNVLLLHNGPIYKFKLSHRDLLGVSDIDRWILHLSRGSTKEFVLEIWKGQRYKLPSCLFSFENLTHLELFNCLLKPPSAFKGFRNLKSLDLQHVTLAQEVFENLISSCALLERLTLMNFDGFTHLKINAPNLQFFDIGGIFDDVSFHNTSLLTLVSIGLYANVKNDRHVSRGSSSKLLRFFVNLPHLRRLEIQSYFIKYLAISKVPSRLPKPCMDLNYISIRINFNDFEENSAALCILKSCPNLQEIEMLGRPEEQADVEPQTGFWGDDQWKCLFGQLRLVKIVGISGIRSELDCIKFLLSNSPVLEQMTVKPASIEGGWELVKELLRFRRASIQAEVIYLEP >Potri.005G005500.1.v4.1 pep chromosome:Pop_tri_v4:5:418651:421386:1 gene:Potri.005G005500.v4.1 transcript:Potri.005G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005500.v4.1 MVTMTLSKIFLLAFSFFSLFFFTYTEGRVHAKSRSKHSDIVSHVSLPPAPAPQAPVSPSYYKDSPSPSPSPSPIQRIARVYNVLSFGAVGDGVTDDTQAFKMAWDTACLQNESAILLAPDDYSFMIQPTIFTGPCKTSLVFQIDGTIMPPDGPESWPSKMNKRQWLVFYKINGMSMQGGGVIDGRGEKWWNLPCKPHKGINGTTLPGPCDSPVAIRFFTSSNLTVHGLRVKNSPQFHFRFDNCQNVIVQMLSIKSPAQSPNTDGIHIENTYNVQIHNSVVSNGDDCVSIGAGCHNVDIKNITCGPSHGISIGSLGIRNSRACVSNITVTDSVIKYSDNGVRIKTWQGGYGSVSKITFHNIHMETVRNPIIIDQYYCQTRNCTNQTSSVYISDILYTNIKGTYDIRSPPLHLACSDSVPCTNLRLSEIELLPAQGQFMANPFCWNAYGAMQNLTIPPVSCLLDGIPQFLPQNNIDQCQNNLYQTRM >Potri.013G062700.1.v4.1 pep chromosome:Pop_tri_v4:13:4649911:4655916:-1 gene:Potri.013G062700.v4.1 transcript:Potri.013G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062700.v4.1 MYTAEQIRGVAPSVCMANPTCQPKKRTGLGLSTKGLLLLTSLRVQIHKANLKMKHQSSIANDVIHRCAQKLGISVEKLVEEFEAGWKQEIGDYSRKLVEFCCSKALTVECPYIQEKIIDGSFSRLTFDMMLAWEMPTSQDEESHMEQVGKGREDRKLPANVPEEQDDIPLFYTDLMPLLVNEEPSIGEDAFVWLGSLVPLVVDVVNGRFAFETLTAPTGHRLFFPGYKKFLQEIDKCIKHLQKHAKPKGVELADDEFILHVEGTASSQRVVQHVGGTSWPGRLTLTNYAVYFEAMGVITYDDALKIDLSKNISHTVKPAATGPWGAPLFDKAIIYESPEFSEGIVLEFPEMTSSTRRDHWLALIKEVMLMHQFLSSYNVECPIQAWEMHARTISGIIRLHAARELLRISPPSPTKFLIFALFDELPKGDYVLEQLAESLKKVNSGHPCSACSILRTMNMSQSVLPGVEVEAVGKECTSASGQDDIPSSLESAINQVREEAKEVEIAKATTEVLKEEGIGESATVLMELLKPLKNVVPWFQDVISWRRPATTLTMIVASLVIIYKEWVGKAIAAGLLWLVLKMILARYGRSQDKCNEVVVCSGSNQKTTMESIVAAQFGLKNVHEMMQLANISILKIQSLIHAKARKQADMVMAAMTVLAIILAVVPLKYMLIAITLHSIIMTSKLGRHFGNAQGNRRLKEWWDSIPIVPIHVVEDASQCPS >Potri.010G220800.1.v4.1 pep chromosome:Pop_tri_v4:10:20605008:20605388:1 gene:Potri.010G220800.v4.1 transcript:Potri.010G220800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220800.v4.1 MILIKEPMEVHIRRAEAKGSGCFQRLYLGNLKPHRNAKRFSDSIDRVHKVYACFKTEDCLAKQKCFKECGERERSSGDQFLAHLYLIINQKQIYKASINLHSLATYTYRRVEKPRAGQKHYHSFDD >Potri.010G004100.1.v4.1 pep chromosome:Pop_tri_v4:10:370325:373175:-1 gene:Potri.010G004100.v4.1 transcript:Potri.010G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004100.v4.1 MKALPSSSSKGPVKFRVPTRENLVPIRLDIELEEQRYKDAFTWNPTDPDSEITIFAKRTVKDLKLPPPFIMHIVQSIQTQLAEFRSYEGQDMLYTGDKIVPIKLDLRVNNTLIKDQFLWDMNNFESDPEDFAKTFCDDLGIQDPEVGPAVAFAIREQLYETAVQSVAAAREIRMSKKGRRGAEYVPASKAGGTSLDLMKLFNNKYSVVRKRKDWDVYGPMVDLLSNEEVDALEAREERNARSMI >Potri.018G005200.1.v4.1 pep chromosome:Pop_tri_v4:18:394936:395645:1 gene:Potri.018G005200.v4.1 transcript:Potri.018G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005200.v4.1 MSGVWFFKNNGVIRLVENPAAESNGGNGSGSRRKVLVHLPSGQVVSSYSSLEQILNELGWERYYGGDPDLFQFHKQSSIDLISLPRDFSKFNSVYMYDIVIKNPNIFHVRDM >Potri.003G065266.1.v4.1 pep chromosome:Pop_tri_v4:3:9267365:9268629:1 gene:Potri.003G065266.v4.1 transcript:Potri.003G065266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065266.v4.1 MKMLHFAVLFALLFSSSSHAADFCVAKLKGAGSPAGYACRMPSNVTVDDFVFTGLGVAGNTTNIISAAVTPAFVQQFPGVNGLGLSMARLDLAPAGVIPMHTHPGASEILFVVQGKITAGFISSSANTVYVKTLKKGDVMVFPRGLLHFQINAAGIQAIAVVSFSDPDPGLQITDFAFFANDLSSELLEKSTFLDDAQVKKLKKVLGGTG >Potri.008G103200.1.v4.1 pep chromosome:Pop_tri_v4:8:6511045:6512510:-1 gene:Potri.008G103200.v4.1 transcript:Potri.008G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX55 MAMGLAICFMMLIKLVIALAKIPTTLNTTCVGDIGVLLQFDVYQESCPEAEPIILSWVQSAISEEPRMAASLLRLHFHDCFVNGCDASVLLDDTENFVGEKTAPPNLNSLRGFEVIDAIKSDLESVCPETVSCADILAIVARDSVLLSGGPGWEVQMGRRDSLTASKAAATNNIPAPNSSVATLVANFQNVGLTQNDMVALSGAHTMGKARCSTFSSRFQSPSNSGGPDVNMDFVQSLQQLCSETADSTTTVAHLDLVTPATFDNQYYVNLLSGEGLLPSDQVLVVQDDRTREIVESYAEDPLLFFEDFKNSMLKMGALGPLTGDSGEIRVNCRAVN >Potri.010G015100.1.v4.1 pep chromosome:Pop_tri_v4:10:2522972:2523947:1 gene:Potri.010G015100.v4.1 transcript:Potri.010G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G015100.v4.1 MDNKKRPLLDVSSFLLVEGSADSEAEYGLLKLYLDVTIACAAAAADDDDAESCSCDTTDRIHGFSETFEVDDHREPSWSGCKMFLKDAALDCMIIEEGDQEESKVDINLSREVMDAMEDRLFWETCIALGYP >Potri.017G072800.7.v4.1 pep chromosome:Pop_tri_v4:17:7978548:7980220:1 gene:Potri.017G072800.v4.1 transcript:Potri.017G072800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072800.v4.1 MVEGFPYEVPEEYQSMPVLKGRATVDMKVKVKDNPNIDECVFRIILDGYNAPVTAGNFLDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVNGEKSPFYGTTLEELGLYKAQTRLPFNAFGTMAMAREEFESNSASSQVFWLLKESELTPSNANILDGRYTVFGYITENEDSLADLKVGDVIESIQVVSGLNNLVNPSYKIAG >Potri.017G072800.6.v4.1 pep chromosome:Pop_tri_v4:17:7974372:7980486:1 gene:Potri.017G072800.v4.1 transcript:Potri.017G072800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072800.v4.1 MAAIIPCHYCSSLSISKLPRSHIPWGNISHVNAARWGRQLSLRCSLKSSQKAQLHNKLNEKLFPFKEYAISIALAVGLVTGMPFVDWSPNAYAANPAMPDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVKALDSVERNVRQASRSLEQGKSVIISGLAESKKDHGVELLDKLETGMDELQQIVEGRNRDAVATKQKELLNYVGSVEEDMVEGFPYEVPEEYQSMPVLKGRATVDMKVKVKDNPNIDECVFRIILDGYNAPVTAGNFLDLVERHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVNGEKSPFYGTTLEELGLYKAQTRLPFNAFGTMAMAREEFESNSASSQVFWLLKESELTPSNANILDGRYTVFGYITENEDSLADLKVGDVIESIQVVSGLNNLVNPSYKIAG >Potri.019G023300.2.v4.1 pep chromosome:Pop_tri_v4:19:3482589:3486022:-1 gene:Potri.019G023300.v4.1 transcript:Potri.019G023300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023300.v4.1 MVRSTDRFWNDVEDMNNGGMKCNFCGHLFSQNTSISRIKWHLSGVKRHGVKICENVPEEVQDAARAAIDGPPEKRNKNEAGSSNNEVTNAISAPAKEQNNEVIHLDMAQQEAAFSPGELNLVATCLDLDLLREDDNLRRAVKLSKELVKKQKWILILDDLWNFFELHVVGIPVNLKGCKLIMTTRSEKVCKQMDSQHKIKLKPLCEREAWTLFMEKLGDDKALYLEVEQIAIDVARECASLPLGIITVARSLKGVDDLHEWRNTLNKLRESKFKDMEDEVFWLLRFSYDQLDDLALQHCLLYCALFPEDHIIGRDDLINYLIDEGIMKGMRSSQAAFDEGHTMLNKLENVSLLESAKKMFDGGRYVKMHDLIRDMAIQIQQENSQFMVKAGVQLKELPDAEEWTENLVRVSLMCNQIEKIPSSHSPSCPNLSTLFLCDNRWLRFISDSFFMQLHGLKVLNLSTTSIKKLPDFISDLVTLTTLLLSHCYSLRDVPSLRKLRELKRLDLFCTGLRKMPQGMECLSNLWYLRLGLNGKKEFPSGILPKLSRLQVFVFSAQMKVKGKEIGCLRELETLECHFEGHSDFVQFLRYQTKSLSKYRILVGLFDVGVFSLMRGTSSRRKIVVLSNLSINGDGDFQVMFPNDIQELDIIKCNDATTLCDISSLIKYATKLEILKIWKCSNMESLVLSSWFFSAPLPLPSSNSTFSGLKEFCCCYCKSMKKLLPLVLLPNLKNLEHLEVGECEKMEEIIGTTDEEISSSNSNPITEFILPKLRALILRSLPELKSICGAKVICDSL >Potri.009G125300.1.v4.1 pep chromosome:Pop_tri_v4:9:10412669:10415284:1 gene:Potri.009G125300.v4.1 transcript:Potri.009G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MDDGGQHQNGRFKMDYYKAAHPHPHPPAWNMMSQHQVKEQTNALAMNKKIMTILIERDDAIRERNLALAEKKEALAARDEAIQQREKALVERDKALMERDNALAAIQYRENAMSYPLSGGSQRGSKRIPHPVYHSNGMSEALDTGEMHITDALPISSVTAETGKARQTKRSKENKAVGLKAAKSPRKGSRVGEDLNKQGASDGKKIKVEWDSQDVGLNLINFDETTMPAPVCSCTGVPRQCYKWGSGGWQSACCTTTMSSYPLPQMPNKRHARIGGRKMSGNVFTRLLSRLAAEGHDLAIPLDLKDYWARHGTNRYITIK >Potri.010G182900.1.v4.1 pep chromosome:Pop_tri_v4:10:18086470:18089196:1 gene:Potri.010G182900.v4.1 transcript:Potri.010G182900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182900.v4.1 MWNVKHGESILQHSLVRFHVQLIVIFLLVNSFHLVLQRFHFTHFTSEILAGIVLGQTVWRDNDKSERLFPTVVRNQVFASLSKIGYILFSFLIGVRMEPSLIWKTGRTATFLATLLFIFHHIAMLSIDITFDKDKEKLTAGFVLAKEAFSAIYFASITTTEFVMVSTILMQLKIINSQLGHLALASSLLFKLATFAVGTLFGFINAFVNISSQVGARIVIYSLALIVFTVVVSRKTMLFFIRSTPVGKPTKEIYTTMTVGVLFLLSAIGDEVGLHYMYGPLILGLAVPARSPLAEVLVAKFDTLVSGFFLPLMAVFCSSKLNLFQFIHEFKDAVHLQISLIGYVMKLLVTFIGAYFCKIPLRHAIALTIILNAKGITEIAQFLSFGDITVHELDAASGIFLVFLLQAFQPLLIKKLYNPADQYIGYQNKSIEKASDDAELQILACAHRQEDAVAAIKLLQYSNPTKQSPLSVYVLCLEELVSSSTPLLINHQLGQKMSSYRVSRSQPIIDIFKYFESQYKKFVRVNMFTAVSPLKQMHEDICWLSFDKACSLIILPFHKKWNSKGKLVSSNTDTRNLNITVLERAPCSVGILIDRSRTQGLSSIFLASTYRVAALFFEGPDDREAVAYALRMAGRFGLHLTVKRFITPTTEQVYHDWDYMLNSEFLRNLKLGVSESSSINYIEETVRDGADTSSIIKSMVGGYDLIMAGRRHQTEPQAFSGLSEWMDLQELGPIGDLLASEDITSAISVLVVQQQIMKASHSSTLN >Potri.005G014500.1.v4.1 pep chromosome:Pop_tri_v4:5:1151876:1155924:-1 gene:Potri.005G014500.v4.1 transcript:Potri.005G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014500.v4.1 MQGFASYETRKTSTTFSSSSFNGDSKLTTKKHTIFLFCPLLPSNSQQHKTMLKLLSSTSRQIQTHLTSPCLRVNTESQPSSFLKSLSSLTGLAQRNHKSLSFYQRAFFFSGSSSGDGGDGGGFAEVEVRSGASETEAEVGAADASDSSAIVPTNPRPEDCLTVYKCLKLTLELVKKEIEISKIQGRFLQGTIFPRNKQGKRQFCALSLDESLTPTITNIISNQHWSKLKAHLQNTDPNTLLQQLLNSGADPELILRYFTWSQKEFKLSHSLELTFRILNSLALTKKYSKIRSFLDKFVKYEKDYSVSAIFHAISMSGDSFCVNSILADMLVLAFVRNLKILRGFEAFKRAGDYGFKLSLISCNPLLSGLVKESENGDMEFVYREMIKRKIELNVISFNIVVNGLFKVGKLNRAGDVIEDMKVWGVSPNVITYNTLIDGYCKMGRIGKMYKADAILKEMVAKGICPNEVTYNILIDGFCKDENVSGAMRVFGEMQRQGLRPNVVTYNILINGLCSDGKVDEAVALRDQMVSSDLEPNVVTHNVLINGFCKNKTVNEAINLFNDMEKQGVDPNAMTYTTLIDAYCKDGRMEDAFALYNMMIDRGIFPEVSTYNCLIAGLCSKGDVKAARNLMNEMVSKKLSADVVTYNILIDSLCKKGESRKAVKLLDEMFEKGLNPSHVTYNTLMDGYCREGNLRAALIVRTRMERKGKQANVVTYNVLIKGFCLKGRLEDANGLLNEMLERGLVPNRTTYEIIKEEMMEKGFVPDIEGHVYNVSDTNVKRR >Potri.005G014500.2.v4.1 pep chromosome:Pop_tri_v4:5:1152154:1154677:-1 gene:Potri.005G014500.v4.1 transcript:Potri.005G014500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014500.v4.1 MSGDSFCVNSILADMLVLAFVRNLKILRGFEAFKRAGDYGFKLSLISCNPLLSGLVKESENGDMEFVYREMIKRKIELNVISFNIVVNGLFKVGKLNRAGDVIEDMKVWGVSPNVITYNTLIDGYCKMGRIGKMYKADAILKEMVAKGICPNEVTYNILIDGFCKDENVSGAMRVFGEMQRQGLRPNVVTYNILINGLCSDGKVDEAVALRDQMVSSDLEPNVVTHNVLINGFCKNKTVNEAINLFNDMEKQGVDPNAMTYTTLIDAYCKDGRMEDAFALYNMMIDRGIFPEVSTYNCLIAGLCSKGDVKAARNLMNEMVSKKLSADVVTYNILIDSLCKKGESRKAVKLLDEMFEKGLNPSHVTYNTLMDGYCREGNLRAALIVRTRMERKGKQANVVTYNVLIKGFCLKGRLEDANGLLNEMLERGLVPNRTTYEIIKEEMMEKGFVPDIEGHVYNVSDTNVKRR >Potri.010G212400.1.v4.1 pep chromosome:Pop_tri_v4:10:20073880:20076050:1 gene:Potri.010G212400.v4.1 transcript:Potri.010G212400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212400.v4.1 MARSETPRSIAAKRGMLARLFSSSTTLSFSSSLSTPSTPSTPSSSTPNMFSESVMEETIKNVESIITKWDPNSSSITRVTSLFHSNREEAEDFLESVKDLRRAMHALVSEHSTSDKLLLAQNLMQIAMARLEKEFYQILSAARDHQIDPESISARSSEGSSNLEDENELGSEEEFKTAGESNTNVERVTALAMSSDLKTIADCMISSGYSIECIKIYKLIRKSIVDEGLYLLGIEEFRPSQILKMNWEALEHQIKNWLNAVKIAAKTLFSGEKALCDHVFSASQTIRESCFSEITIGGLNLFRFPELVAKCKKLPERIFPLLDLYEALSDIRPDVELIFDSESTSKIKQQAVSSLHGLGESIRAILSEFESTIQKDSSKTLIVGGGIHPLTQKVTSYISSLADYSRILSDIVADSSPPRNTAFPEAYFESPNYDASSTPAVSVHLAWLILVLLCKLDRKADLGYKDMSLSYLFLANNLQFVLDKVCTTRLYVLLGEDWVFKHAEKVIQYASTYETMAWGNAFSSLPEKNSPLLSPEAAKECFQRFNAAFEEAYKKQASWVVPDRRLRDELKVSIAKELIPAYREFYDTHKVMLRRVKDFEVFVRFGPDDLGNYLSDLFHGTAISGSTSPPTPR >Potri.001G370700.2.v4.1 pep chromosome:Pop_tri_v4:1:38853277:38856031:1 gene:Potri.001G370700.v4.1 transcript:Potri.001G370700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370700.v4.1 MMEESESRKQTLLSSLESAGIWIPDNVTSIGDLSPETFVSICAQCVNLIDQTASLPTTLPDAAIDKLKMCADMGLAIKRLGFIGDMSYIKLLYPSEDDLHKLTRFLVERLTESTRPVKIAEVKDVNARGKTKEDGSNIGGRLDLNHQREKLEDPSLHNAVPEAEDIPFIGLSRQNLGKDKLPAFFYGELFDEEGKSGRDLFGNEETAAAGDDKDVQKAISIEEQPSMVIIEKEELRNEEKVLTEEVTAKTSELQHLEEELELLKAAAEMAFGDQNSIDFCFEQLKGQVDAKRCNITELKSQWDAFRMPLEDKKRNLEESLYANIPGSQEKLQKLRELEHEKQLVLSEITKREEEHSKLSADLEKQPKLPSRTSYIERIKEITKSSQKQDADIKRILKETRELQLESNSIQDRLHRTYAVLDEIVCREAKRDLVGRKAYRLLTSLHDCFGQISEKILTTDRISREMTELEKKLAAMASRSLNVDKLQADLDAIVKENECLELRLAENP >Potri.016G097800.1.v4.1 pep chromosome:Pop_tri_v4:16:9805250:9808799:1 gene:Potri.016G097800.v4.1 transcript:Potri.016G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097800.v4.1 MGSSSGSYDLSFKILLIGDSGVGKSSLLVSFISSSVEDLAPTIGVDFKIKQLTVGGKRLKLTIWDTAGQERFRTLTSSYYRNAQGIILVYDVTRRETFTNLSDVWGKEVELFSTNQDCVKMLVGNKVDKESGRDVTKDEGMALAKQHGCLFLECSAKTRENVEQCFEELALKIMEVPSLLEEGSTAVKRNILKQKPEHHVASNGGCCS >Potri.005G118400.1.v4.1 pep chromosome:Pop_tri_v4:5:8704972:8714507:-1 gene:Potri.005G118400.v4.1 transcript:Potri.005G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118400.v4.1 MPPKVEKQQPMNVVEIASSDDEVDIGPPPGMPRKTTPSSSKSRRQQPQKQQQQKQQQQQKQQQQDSSSEAIAAPVPAYQSLDCRSFWKAGAYDVGPIASKAPAQGQLEHARVHPKFLHSNATSHKWAFGAIAELLDNAVDEVHNGATFVKVDKIDIMKDNSPALLFQDDGGGMDPDGIRKCMSLGYSSKKSNTTIGQYGNGFKTSTMRLGADVLVYSCATRAGKATQSIGLLSYTFLRKTGQDDVIVPMIDFDISGNRAEPILYGSQDDWSSNLKTILEWSPFASKEELMQQFEDIGRHGTKIIIYNLWLNDEGIYELSFDDDEEDIRLRDEANHGQTKLHKKTVELRSHISYCIRYSLRAYASILYLRKFTNFSIVLRGKPVQQFNIVDDLKYSKTVSYKPQVGTIKEVTVETTVGFIKEAPALSVSGFNVYHKNRLIRPFWKVTGDAAVKGNGVVGVLEANFIEPAHDKQDFERSSLYIRLEARLKQMVMDYWKRHCHLLGILPPGVKSLDIQKQGAVKTQKPLPANRHNIDLPTNEEEMHLDQPITRSNRQLGSTGQSDLPVEESIIGLADGSYNGVISDDGLGSKPIDQICEENIELFMRCEEYAKKETELKQTVEELEKELEQAKRKCAQLASHLETKRKQKIMQQQSEKVA >Potri.012G117800.3.v4.1 pep chromosome:Pop_tri_v4:12:13636461:13640938:1 gene:Potri.012G117800.v4.1 transcript:Potri.012G117800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117800.v4.1 MATTGNKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDISNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVTAEEAQVYAQEYGLFFIETSAKTATNVNDIFYEIAKRLPRVQPAPNPSGMVLMDRPTESTASASCCS >Potri.004G212100.4.v4.1 pep chromosome:Pop_tri_v4:4:21852713:21859888:-1 gene:Potri.004G212100.v4.1 transcript:Potri.004G212100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212100.v4.1 MAEEGISLFSPYKMGKFSLSHRFPHVPGIYSDAQVEAWKKVVNAVHAKGSIIFCQLWHVGRASHQVYQPGGAAAISSTNKAISNRWRILMPDGTYSTCSAPRALETSEILEVVEHYSQAALNAIRAGFDGVEIHGGYGYLIDQFLKDGINNRIDEYGGSMENRCRFLMQVIQAVVSAVGAERVAFRTSPAIDHNDATDSDPLNLGLSVIERINKLQLQVGSKLTYLHVQRELGRPGTEDVVAQTTRTWRRAYQGTFICSGGFTRELGTQAVAEGDADLVSYGRDFISNPDLVLRLKLNAPLNKYIRETFYTHDPIVGYTDYPFLSKASG >Potri.004G212100.1.v4.1 pep chromosome:Pop_tri_v4:4:21852800:21859857:-1 gene:Potri.004G212100.v4.1 transcript:Potri.004G212100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212100.v4.1 MAEEGISLFSPYKMGKFSLSHRVVLAPLTRCRALGGIPGDALVEYYTQRSTPGGFLITEGTLISPTAPGFPHVPGIYSDAQVEAWKKVVNAVHAKGSIIFCQLWHVGRASHQVYQPGGAAAISSTNKAISNRWRILMPDGTYSTCSAPRALETSEILEVVEHYSQAALNAIRAGFDGVEIHGGYGYLIDQFLKDGINNRIDEYGGSMENRCRFLMQVIQAVVSAVGAERVAFRTSPAIDHNDATDSDPLNLGLSVIERINKLQLQVGSKLTYLHVQRELGRPGTEDVVAQTTRTWRRAYQGTFICSGGFTRELGTQAVAEGDADLVSYGRDFISNPDLVLRLKLNAPLNKYIRETFYTHDPIVGYTDYPFLSKASG >Potri.013G026300.1.v4.1 pep chromosome:Pop_tri_v4:13:1709129:1711353:1 gene:Potri.013G026300.v4.1 transcript:Potri.013G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026300.v4.1 METKNLFLYVLALISIFLPLAQSVHFKFPAVFNFGDSNSDTGNLVGAGIESIRPPYGEIHFQIPSGRYCDGRLIIDFLMDAMELPFLNAYLDSVGVPNFRKGCNFAAAGSTILPATATSVSPFSFGVQVNQFLRFKARVLELVAKGKRFDRYVPAEDYFQKGLYMFDIGQNDLAGAFYSKTLDQIVASIPNILVEFETGIKKLYDQGGRNFWIHNTGPLGCLTQNVAKFGTDPSKLDELGCVSGHNQAAKLLNLQLHALTKKLQGQYADSNITYVDIYTIKSNLIANYSRYGFEQPIMACCGYGGPPLNYDSRISCGQTKVLNGTSVTAKACSDSTEYVNWDGIHYSEAANQYISSQILTGKFSDPPFSDRMPFLLNLKF >Potri.013G026300.2.v4.1 pep chromosome:Pop_tri_v4:13:1708434:1711340:1 gene:Potri.013G026300.v4.1 transcript:Potri.013G026300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026300.v4.1 MASRTIALQVFTLLSILSSVANSIDFNYPSVFNFGDSNSDTGDLAAGLGFLLDPPNGQIYFKTPTGRFCDGRLIVDFLMDAMELPFLNAYLDSVGVPNFRKGCNFAAAGSTILPATATSVSPFSFGVQVNQFLRFKARVLELVAKGKRFDRYVPAEDYFQKGLYMFDIGQNDLAGAFYSKTLDQIVASIPNILVEFETGIKKLYDQGGRNFWIHNTGPLGCLTQNVAKFGTDPSKLDELGCVSGHNQAAKLLNLQLHALTKKLQGQYADSNITYVDIYTIKSNLIANYSRYGFEQPIMACCGYGGPPLNYDSRISCGQTKVLNGTSVTAKACSDSTEYVNWDGIHYSEAANQYISSQILTGKFSDPPFSDRMPFLLNLKF >Potri.011G008676.1.v4.1 pep chromosome:Pop_tri_v4:11:741671:745665:-1 gene:Potri.011G008676.v4.1 transcript:Potri.011G008676.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008676.v4.1 MELEHHQGHRLLQSDGFVASTSYISTLSLKLLFPSRFSARKTSRFTLPHSLTRLDLSGTPIRFLPESIKDLSPLIALILRNCKMLQTLPELPSNLSSLDVSSSYSLQTVPNLIPWTVVYDCDQLVDIQDSMKLELIQKADSHMFRIMETVNAQIQPWLFQVTVFKGVFNVVVADEDGMFKFYEEEEEEWIIQKEFVGCLSFQIAPPAVHRICGFNLFTTFCTTSETLLCGSVYLEIRNNTSGQSLFCPASLFPSGYKHRNVVFQSLSHWKLGGDDPTFDNGDDVSISVLPLDPTIQIMMVGVLWLHEEEGNGDDDDIQSKNKVITSQSSSSSYREVITTHNSSGDDDDDDDDDVHLANVETASHIFRNYSCAARYNPGNLEVCSWLFEKKEKRRHLFFINYRGYPGQLARTSINPTGPEVNDHVSLQ >Potri.009G164200.3.v4.1 pep chromosome:Pop_tri_v4:9:12596655:12598929:1 gene:Potri.009G164200.v4.1 transcript:Potri.009G164200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164200.v4.1 MEHLPVEVIGNILSRLGGARDVVIASATCRKWREAWRKHLHTLSFNSNDCNVYQDLTTSRLQILITQTIFQTTGLQGLSILMDDVDEFSASTVIAWLMYTRETLHRLIYNVRTNPNVNILEICGRQKMEMLELSHNSITGVEPNFQRFPCLKSLSLSYVSISALDLNLLLTACPKIETLELINPEIAMSDAQVTVELSSPTLKRVYVEAISLDKFILEADSIECLHLKDCALELFELIGKGTLKHFKIDDVSVIHLDIGDTVDNLEIIDVSNFTIMWPKFYQMISKSSKLTKLRLWDVVFDDEDEIVDLETIAVCFPHLIHLALSYDLRDGVVNYGLQGSSHLENVFVLELGWTIINDLFSHWVEGLLKLCPNLRKLVIHGVVSEAKSHEECQMLANFTSSIVQLMRKYMHVDVQFDYE >Potri.009G164200.4.v4.1 pep chromosome:Pop_tri_v4:9:12596721:12598928:1 gene:Potri.009G164200.v4.1 transcript:Potri.009G164200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164200.v4.1 MEHLPVEVIGNILSRLGGARDVVIASATCRKWREAWRKHLHTLSFNSNDCNVYQDLTTSRLQILITQTIFQTTGLQGLSILMDDVDEFSASTVIAWLMYTRETLHRLIYNVRTNPNVNILEICGRQKMEMLELSHNSITGVEPNFQRFPCLKSLSLSYVSISALDLNLLLTACPKIETLELINPEIAMSDAQVTVELSSPTLKRVYVEAISLDKFILEADSIECLHLKDCALELFELIGKGTLKHFKIDDVSVIHLDIGDTVDNLEIIDVSNFTIMWPKFYQMISKSSKLTKLRLWDVVFDDEDEIVDLETIAVCFPHLIHLALSYDLRDGVVNYGLQGSSHLENVFVLELGWTIINDLFSHWVEGLLKLCPNLRKLVIHGVVSEAKSHEECQMLANFTSSIVQLMRKYMHVDVQFDYE >Potri.014G113400.2.v4.1 pep chromosome:Pop_tri_v4:14:7634541:7636670:-1 gene:Potri.014G113400.v4.1 transcript:Potri.014G113400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113400.v4.1 MQCKAINANVNFNRGYELYPNPLNLLCYSSSINSLYQKSSGPNKELEKQERSKEDEKTKTVPFPKLFSFADSTDTVLMIIGSIGAVGNGISLPLMSILLGDVINSFGQNQHNENVVHLVSKVSLKFVYLAVGSGVGSFLQVACWMVTGERQAAGIRGTYLKTILRQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLLSTFFGGFAIAFVQGWLLTLVMLSSIPLIVIAGAAMSIMISRKASLGQTAYAKAAIVVEQTLGSIRTVASFTCEEQAISNYQKFLITAYKSGVQEGLAAGLGIGIVMLVIFRTVCDIQIAVNHVFYQRTKHIEVNCHSIRESFDQHVIIFSHISPEHQTSDIFTKTLSRHRHQFLVDKLMLLDLLVLI >Potri.015G126600.1.v4.1 pep chromosome:Pop_tri_v4:15:13837597:13838889:1 gene:Potri.015G126600.v4.1 transcript:Potri.015G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G126600.v4.1 MNVQILSKKLITPSSPTPSHLRNYKVSWLSMFQSPLLYLPNIFYYPSSTGGDNIERSKQLQKSLSEALTIFYPFAGRYIDNSLIIDCNDEGAEYVETQVSGFLSQLLEGGELETELRNRLAPIPHRPADGPIVLVQFNMFECGAVAIGVCIKHRAADATSVFAFINAWATAARLGVDKVRTPSFQLASFFPPRDIPTFTPNFGEKKVEIQKRFVFNSAAISKLKAVASANINGSRQPTRVEVVTALMWKALTMVARAKDGRLRPSLLVHSFNLRGKTAMPVPDNSCGNFTNPALARFTIDDDENKVELHHFVDRVHDGIRKRVTDSAKISSDDDLFSSVVNTKTEMIEAFHRSDADFYMFNSWCRMPVYEVDFGWGNPGWFSAVVVPGHNMFHFMDTKDGDGIEAWVSLEEDDMLLFQENPDIKAFTGQE >Potri.001G361100.1.v4.1 pep chromosome:Pop_tri_v4:1:37918804:37921577:1 gene:Potri.001G361100.v4.1 transcript:Potri.001G361100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361100.v4.1 MDSPQSVVSPFKTSVVFEPEKQKSDCSVQSTGNLSKGIEFPRHEAVAGHPEDFIGIVEVYVHQARDIQNICIYHKQDVYAKFCLTSDPEYTFSTKIINGGGRNPVFNDRLQLNVKTIDSSLKCEIFMMSRVKNYLEDQLLGFALVPLSEVLINNGNLEKEFSLSSTDLFHSPAGFVQLSLSYVGASPEVMEIPAMPTALATNGTIQDSEIQESLPCELDKIEFPDPKVVNENQMMISEYFGISCSSLDSEASESLAISNAENNLGSEIGVHVMESISAATSDPTQVPKLDSPPSSVSTNGVSSPSAAASSETSDSPAASKTPNQEHVSVHKERESANAGDGETDCSGGASNEKNAKPVITVNIEPESKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSSSDQKMQASKNTSSRVFYGSRAFF >Potri.001G361100.3.v4.1 pep chromosome:Pop_tri_v4:1:37919052:37921575:1 gene:Potri.001G361100.v4.1 transcript:Potri.001G361100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361100.v4.1 MDSPQSVVSPFKTSVVFEPEKQKSDCSVQSTGNLSKGIEFPRHEAVAGHPEDFIGIVEVYVHQARDIQNICIYHKQDVYAKFCLTSDPEYTFSTKIINGGGRNPVFNDRLQLNVKTIDSSLKCEIFMMSRVKNYLEDQLLGFALVPLSEVLINNGNLEKEFSLSSTDLFHSPAGFVQLSLSYVGASPEVMEIPAMPTALATNGTIQDSEIQESLPCELDKIEFPDPKVVNENQMMISEYFGISCSSLDSEASESLAISNAENNLGSEIGVHVMESISAATSDPTQVPKLDSPPSSVSTNGVSSPSAAASSETSDSPAASKTPNQEHVSVHKERESANAGDGETDCSGGASNEKNAKPVITVNIEPESKVVQQDIVDMYMKSMQQFTESLAKMKLPLDIDSGPTSSGSSSSDQKMQASKNTSSRVFYGSRAFF >Potri.001G180500.1.v4.1 pep chromosome:Pop_tri_v4:1:15840724:15841453:-1 gene:Potri.001G180500.v4.1 transcript:Potri.001G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180500.v4.1 MEWCTSGSRNMKSYAESRYDRIGSFKALVSAESKTPRWRLLWRKMVKTKRKVFDSSSSAQVYLTYDPYTYSQNFDDGLVMSHPDDSSRSFSARFAVPSRIFEKGEMVWHDQGLARFT >Potri.005G094700.2.v4.1 pep chromosome:Pop_tri_v4:5:6654216:6656238:1 gene:Potri.005G094700.v4.1 transcript:Potri.005G094700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094700.v4.1 MSEAGHLVSAQSIKEFTTNYPSNTRRSQRLTFQRSKIIAASKRNDFPPISKKNSRAPRRLVTISTADGRWQGKWTSDYLLSLQDLKLEDLIEDEQKDAEVSVNLSVQKHAGFGFSVDGRIITSFTRKCSNCFSPYCRKIDTTFNVWVLPSRANREVHLPDIGGDDPSLSYIREAWI >Potri.005G094700.4.v4.1 pep chromosome:Pop_tri_v4:5:6654246:6656428:1 gene:Potri.005G094700.v4.1 transcript:Potri.005G094700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094700.v4.1 MSEAGHLVSAQSIKEFTTNYPSNTRRSQRLTFQRSKIIAASKRNDFPPISKKNSRAPRRLVTISTADGRWQGKWTSDYLLSLQDLKLEDLIEDEQKDAEVSVNLSVQKHAGFGFSVDGRIITSFTRKCSNCFSPYCRKIDTTFNVWVLPSRANREVHLPDIGGDDPSVIYVKPGYEADLDSLIQDTLRLTTSVKDTCSESCEKSKPKLIHIGGQKAASIDKRWSILLELKKENL >Potri.001G058600.1.v4.1 pep chromosome:Pop_tri_v4:1:4458808:4462604:-1 gene:Potri.001G058600.v4.1 transcript:Potri.001G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058600.v4.1 MGYNFKTPWELAESEKQSSPYFTQITVSSSLRVPQATGYCSVDLKLGNSGNFKDKYKDPGGSLMESSSSGSSKRARTPNNATLVPSCLVDGCTSDLSKCRDYHRRHKVCEFHSKSSQVFIKGQEQRFCQQCSRFHSLGEFDEGKRSCRKRLDGHNRRRRKPQPDSLSVNSARIFSNQGTRYLQFGSSQIFSTSAMSSAWTGAAKAERDPMLYTSQSSMNFDGRKNLFPGSLSPNYKGGKQFPFLQGTSSTLPGDSIRLNANSTLGNIGNSQKMFSDGLNRVIDSNRALSLLSSPPSETRDIGLSHVVQPDLNPPAQSLIPSLNYNNALGMESEPVGPVLASDGSSNSNPHGQHMFQIGPDWLSANGSHQTLSFSWE >Potri.001G058600.2.v4.1 pep chromosome:Pop_tri_v4:1:4458807:4461556:-1 gene:Potri.001G058600.v4.1 transcript:Potri.001G058600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058600.v4.1 MGYNFKTPWELAESEKQSSPYFTQITVSSSLRVPQATGYCSVDLKLGNSGNFKDKYKDPGGSLMESSSSGSSKRARTPNNATLVPSCLVDGCTSDLSKCRDYHRRHKVCEFHSKSSQVFIKGQEQRFCQQCSRFHSLGEFDEGKRSCRKRLDGHNRRRRKPQPDSLSVNSARIFSNQGTRYLQFGSSQIFSTSAMSSAWTGAAKAERDPMLYTSQSSMNFDGRKNLFPGSLSPNYKGGKQFPFLQGTSSTLPGDSIRLNANSTLGNIGNSQKMFSDGLNRVIDSNRALSLLSSPPSETRDIGLSHVVQPDLNPPAQSLIPSLNYNNALGMESEPVGPVLASDGSSNSNPHGQHMFQIGPDWLSANGSHQTLSFSWE >Potri.018G146966.1.v4.1 pep chromosome:Pop_tri_v4:18:15880378:15880590:1 gene:Potri.018G146966.v4.1 transcript:Potri.018G146966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146966.v4.1 MMLGMFSSASSLNLLSSGSMTDPRSGLSSCLSSAHRRANLVNFMASLMGQSGTSGSSISAKVPVSMALST >Potri.008G144900.1.v4.1 pep chromosome:Pop_tri_v4:8:9864661:9870958:1 gene:Potri.008G144900.v4.1 transcript:Potri.008G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144900.v4.1 MEPLVSRYPFLLSLLYLCVVSLLSPVRSGDAEALLTLKSAIDPLNSLSWQQGINVCKWQGVKECKNGRVTKLVVEYQNLSGTLDAKILNQLDQLRVLSFKGNSLSGQIPSLSGLVNLKSLFLQTNNFSSDFPDSITGLHRLKVIVLAQNQISGPIPASLLKLSRLYVLYLEDNKFTGAIPPLNQTSLRFFNVSNNQLSGQIPVTSSLIRFNTSSFIGNLNLCGEQIQNPCNNLNLGPSPSPTSPTSKPSSNHSKIIKIVAGSVGGFMFVIICLLLARCFCFEDGPKKEGSSVVGVVGAERGGEALGGGGGGMDGNSGGRQGGVLWEGEGLGSLVFLGAGDQKMCYSLEDLLKASAETLGRGTIGSTYKAVMESGFIVTVKRLKDSRYPRLEDFRRHMELLGRLRHPILVPLRAYFQAKEERLLVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGSTHGNLKSSNVLLGPEFESCLTDYGLTTFRNPDSLEEPSATSLFYRAPEIRDVRKPPTQPADVYSFGVLLLELLTGKTPFQDLVQEHGPDIPRWVRSVREEETESGDDPASGNEAGEEKLQALVSIAMACVSLTPENRPSMRDVLKMIRDARAEAQLSSNSSDYSPGRWSDTVQSLPREEHLTI >Potri.010G000901.1.v4.1 pep chromosome:Pop_tri_v4:10:112284:113075:-1 gene:Potri.010G000901.v4.1 transcript:Potri.010G000901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G000901.v4.1 MMLSREIEYYLCGDWTLDTWHLIEVGEKALPSPLPSSLLNGEFQHGF >Potri.004G016000.2.v4.1 pep chromosome:Pop_tri_v4:4:1174000:1179323:1 gene:Potri.004G016000.v4.1 transcript:Potri.004G016000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G016000.v4.1 MRTTSTDCWSIFAPLLANVICCPQLEATLAILMGQSSKDTRTLALNETVSKHCLSDIEQILAGQGAESNVNKICSIHLSNLTGGSCPVKDVNEFEGTVDSSKLLAACENIDPVKECCDQVCQNSILETATKLALKASEVLIIAGSHGLTEHSTKVDDCKHIVLRWLAGKLEPSRAKEVLRGLSNCKVNKVCPLVFPDMRHVAKGCGNEISNETECCRAMESYVSHLQKQSLITNLQALDCATTLGMKLQRSNITKDVYSLCHITLKDFSLQVAKQESGCLLPSLPSDATFDHSSGISFICDLNDNIPAPWPSKSQLSAPCNKTIKIPALPAAANAQSGLYNEDVVIYVLFAASAVTMMLL >Potri.004G016000.1.v4.1 pep chromosome:Pop_tri_v4:4:1174054:1179473:1 gene:Potri.004G016000.v4.1 transcript:Potri.004G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G016000.v4.1 MSEGVSLKVKLSLSMVFVEVFLLILSLNECHCSPFNNHGGSLSTDRRVDGFLPEISPNSAPQPLLPLLAPSPFAPFTNITIPKLSGQCTLNFTAAQSLMRTTSTDCWSIFAPLLANVICCPQLEATLAILMGQSSKDTRTLALNETVSKHCLSDIEQILAGQGAESNVNKICSIHLSNLTGGSCPVKDVNEFEGTVDSSKLLAACENIDPVKECCDQVCQNSILETATKLALKASEVLIIAGSHGLTEHSTKVDDCKHIVLRWLAGKLEPSRAKEVLRGLSNCKVNKVCPLVFPDMRHVAKGCGNEISNETECCRAMESYVSHLQKQSLITNLQALDCATTLGMKLQRSNITKDVYSLCHITLKDFSLQVAKQESGCLLPSLPSDATFDHSSGISFICDLNDNIPAPWPSKSQLSAPCNKTIKIPALPAAANAQSGLYNEDVVIYVLFAASAVTMMLL >Potri.014G162100.3.v4.1 pep chromosome:Pop_tri_v4:14:11641919:11646765:-1 gene:Potri.014G162100.v4.1 transcript:Potri.014G162100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162100.v4.1 MECQESEYNNQRKQSQGQRKRFHLYFYLSFTIIIPFSSPLIPLLKPSSSSSSSSSSSLHQILSRRFPARACVQDMKGLFKPKPRTPMELVLQTRDLLIFLDQNTETRERKREEKMSELSKQILEMRIVLFGNGQAEPNPDACAHLAREFFKHDTFRLLVVCLPKLDLGRQRVGGRLIASEYLENNLDLMDVLLPGYEDGDIALTYGAISRECIRHQIVARYVLGSEYMKKFFTYIQIPNFDIASDAQSTFKELLTRHRSTVAEFLSANYDWFFQGYNSQLLQSPSYITRRHAVKLLGDMLLDRSNSAVMVRYVSSLENMRILMNLFRDSNKTIQLDTFHVFKLFVANQKKPPEIISVLVTNRSKLLRFLGDFSIDREDEQFEADKAQVIKEIATLEIIGERSCTDADDCEVES >Potri.014G162100.2.v4.1 pep chromosome:Pop_tri_v4:14:11641919:11646765:-1 gene:Potri.014G162100.v4.1 transcript:Potri.014G162100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162100.v4.1 MECQESEYNNQRKQSQGQRKRFHLYFYLSFTIIIPFSSPLIPLLKPSSSSSSSSSSSLHQILSRRFPARACVQDMKGLFKPKPRTPMELVLQTRDLLIFLDQNTETRERKREEKMSELSKQILEMRIVLFGNGQAEPNPDACAHLAREFFKHDTFRLLVVCLPKLDLGARQNATHVLAILQRQRVGGRLIASEYLENNLDLMDVLLPGYEDGDIALTYGAISRECIRHQIVARYVLGSEYMKKFFTYIQIPNFDIASDAQSTFKELLTRHRSTVAEFLSANYDWFFQGYNSQLLQSPSYITRRHAVKLLGDMLLDRSNSAVMVRYVSSLENMRILMNLFRDSNKTIQLDTFHVFKLFVANQKKPPEIISVLVTNRSKLLRFLGDFSIDREDEQFEADKAQVIKEIATLEIIGERSCTDADDCEVES >Potri.007G052200.3.v4.1 pep chromosome:Pop_tri_v4:7:5168972:5185574:1 gene:Potri.007G052200.v4.1 transcript:Potri.007G052200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052200.v4.1 MASAISERVELAKLCSSRDWSKAIRVLDSLLVQSCAIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYILKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELLKFAKQDRSAGCETHVVESRLSIGSRNVLGIHSKSGDNFEIHKGISDEVGRSLKLVPESGCHTNEKSSETSKNPSKASDKSELCSELRDAPEICCKSGDNFDMDNGLSDKAEGNQKPGILVNDNHDILDLPNHVSESCSGVSNASELSSRLSMIPGNLGDTSEILSKSSNKVNMHNEVTDETKGNKKLCVTRISKTKSISVDFRLSRGIAQVNEGKYATAISIFDQILKEDPTYPEALIGRGTARAFKRELGSAIADFSKAIESNPSAGEAWKRRGQARAALGESAEAINDLTKALEFEPNSADILHERGIVSYKFKDFDAAVEDLSACVKLDMDNMSAYTYLGLALSSIGEYKKAEEAHLKAIQLDRSFLEAWAHLTQFYQDMANSTKALDCINQVLQIDSRFAKAYHLRGLLLYGMGEHRKAIKDLSIGLSIENANIESLYLRASCYHAIGEYGEAVKDYDATLDLELDSMEKFVLQCLAFYQKEIALYTASKINSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRDSLKKGKLRKQDFATTKQKIALLAAADSIGQKIQYDCYGFLSNRRQHRMAGLAIIEIAQKVAKAWRSLQNEWKHSNKSTSKYGKRVRRRINIPSQNRGGAGCSTSSSSETTTSYGVLEDRSSGRSTMSWKDVYSMAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVIRYYQNYERTFDVAKTIMKDKLFVHNKSDNIIGLPEDKIQAIIDAKNCTDLYNVVGEDFWLATLCSSTAFEEKQLEGTRITLVKMGEGGFDFAIRTPCTPSRWDDFDAEMTMAWEAVCNAYCGDTYGSTDFDVLENVRDAILRMTYYWYNFMPLSRGSAVVGFTVLLGLLLAANMEFTGKIPKGIQVDWEAILNFDPNSFAESVKRWLYPSLKITTSWKDYPDVTSTLATTGSVVAALSSYDDRAPMNPEQ >Potri.007G052200.5.v4.1 pep chromosome:Pop_tri_v4:7:5169084:5182098:1 gene:Potri.007G052200.v4.1 transcript:Potri.007G052200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052200.v4.1 MASAISERVELAKLCSSRDWSKAIRVLDSLLVQSCAIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYILKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELLKFAKQDRSAGCETHVVESRLSMYASESQPHSKSDETSKHQNKLSDISNLCSGSRNVLGIHSKSGDNFEIHKGISDEVGRSLKLVPESGCHTNEKSSETSKNPSKASDKSELCSELRDAPEICCKSGDNFDMDNGLSDKAEGNQKPGILVNDNHDILDLPNHVSESCSGVSNASELSSRLSMIPGNLGDTSEILSKSSNKVNMHNEVTDETKGNKKLCVTRISKTKSISVDFRLSRGIAQVNEGKYATAISIFDQILKEDPTYPEALIGRGTARAFKRELGSAIADFSKAIESNPSAGEAWKRRGQARAALGESAEAINDLTKALEFEPNSADILHERGIVSYKFKDFDAAVEDLSACVKLDMDNMSAYTYLGLALSSIGEYKKAEEAHLKAIQLDRSFLEAWAHLTQFYQDMANSTKALDCINQVLQIDSRFAKAYHLRGLLLYGMGEHRKAIKDLSIGLSIENANIESLYLRASCYHAIGEYGEAVKDYDATLDLELDSMEKFVLQCLAFYQKEIALYTASKINSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRDSLKKGKLRKQDFATTKQKIALLAAADSIGQKIQYDCYGFLSNRRQHRMAGLAIIEIAQKVAKAWRSLQNEWKHSNKSTSKYGKRVRRRINIPSQNRGGAGCSTSSSSETTTSYGVLEDRSSGRSTMSWKDVYSMAVKWRQISEPCDPVVWVNKLRYPLHLPN >Potri.007G052200.4.v4.1 pep chromosome:Pop_tri_v4:7:5168931:5185656:1 gene:Potri.007G052200.v4.1 transcript:Potri.007G052200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052200.v4.1 MASAISERVELAKLCSSRDWSKAIRVLDSLLVQSCAIQDICNRAFCYSQLELHKHVIKDCDRALQLDPTLLQAYILKGRAFSSLGRKDDALLVWEQGYEHALHQSADLKQLLELEELLKFAKQDRSAGCETHVVESRLSMYASESQPHSKSDETSKHQNKLSDISNLCSGSRNVLGIHSKSGDNFEIHKGISDEVGRSLKLVPESGCHTNEKSSETSKNPSKASDKSELCSELRDAPEICCKSGDNFDMDNGLSDKAEGNQKPGILVNDNHDILDLPNHVSESCSGVSNASELSSRLSMIPGNLGDTSEILSKSSNKVNMHNEVTDETKGNKKLCVTRISKTKSISVDFRLSRGIAQVNEGKYATAISIFDQILKEDPTYPEALIGRGTARAFKRELGSAIADFSKAIESNPSAGEAWKRRGQARAALGESAEAINDLTKALEFEPNSADILHERGIVSYKFKDFDAAVEDLSACVKLDMDNMSAYTYLGLALSSIGEYKKAEEAHLKAIQLDRSFLEAWAHLTQFYQDMANSTKALDCINQVLQIDSRFAKAYHLRGLLLYGMGEHRKAIKDLSIGLSIENANIESLYLRASCYHAIGEYGEAVKDYDATLDLELDSMEKFVLQCLAFYQKEIALYTASKINSEFCWFDIDGDIDPLFKEYWCKRLHPKNVCEKVYRQPPLRDSLKKGKLRKQDFATTKQKIALLAAADSIGQKIQYDCYGFLSNRRQHRMAGLAIIEIAQKVAKAWRSLQNEWKHSNKSTSKYGKRVRRRINIPSQNRGGAGCSTSSSSETTTSYGVLEDRSSGRSTMSWKDVYSMAVKWRQISEPCDPVVWVNKLSEEFNSGFGSHTPMILGQAKVIRYYQNYERTFDVAKTIMKDKLFVHNKSDNIIGLPEDKIQAIIDAKNCTDLYNVVGEDFWLATLCSSTAFEEKQLEGTRITLVKMGEGGFDFAIRTPCTPSRWDDFDAEMTMAWEAVCNAYCGDTYGSTDFDVLENVRDAILRMTYYWYNFMPLSRGSAVVGFTVLLGLLLAANMEFTGKIPKGIQVDWEAILNFDPNSFAESVKRWLYPSLKITTSWKDYPDVTSTLATTGSVVAALSSYDDRAPMNPEQ >Potri.017G100500.1.v4.1 pep chromosome:Pop_tri_v4:17:11207780:11209610:-1 gene:Potri.017G100500.v4.1 transcript:Potri.017G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100500.v4.1 MENNGDSNEDYDSSLPAEKWCGDEQLYQWKGFWFRLQYLPGTRQVLDNFKPLPSDVILASFPKTGTTWLKALLYSIINRSSRVCLRTTHPHMLVPTLEVQLYGAQQQSFSTFASTSSPARILATHLPHQLLADTVKPSDCRMIYVTRNPKDTLTSFWQFVLKSRSYEEPWPLEVAVEKFCSGVVPFGPYYDHVLGYWKESLERPEKVFFITYEELKDDTRTHVKRLADFLGCPFNGDGEEEVLEEIVIGCSFEKLSNYEVNKSSEHSQWMKLPFSSFFRKGDVGDHRNYLNNEMVERIDTITAEKFHESGFMYGIN >Potri.014G076600.3.v4.1 pep chromosome:Pop_tri_v4:14:4957099:4960607:-1 gene:Potri.014G076600.v4.1 transcript:Potri.014G076600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076600.v4.1 MEDERDAEDAIRRLDRAEFGRKGRRLRVEWTKQERESRRPAGSRRSSTNTTPSKTLFVINFDPVHTRTRDLERHFDPHGKILSTRIRRNFAFVQYELQEDATKALEATDMSKFMDRVISVEYAARDDERRNGYSPERRDRDRSPDRNYNRERSPSPYRRDRGSPDYGHRSKTNSRPEPRGNHNYDKAESPENERYRSRTPPSRERSRS >Potri.014G076600.5.v4.1 pep chromosome:Pop_tri_v4:14:4957054:4959318:-1 gene:Potri.014G076600.v4.1 transcript:Potri.014G076600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076600.v4.1 MMISQPVAGFAFVYMEDERDAEDAIRRLDRAEFGRKGRRLRVEWTKQERESRRPAGSRRSSTNTTPSKTLFVINFDPVHTRTRDLERHFDPHGKILSTRIRRNFAFVQYELQEDATKALEATDMSKFMDRVISVEYAARDDERRNGYSPERRDRDRSPDRNYNRERSPSPYRRDRGSPDYGHRSKTNSRPEPRGNHNYDKAESPENERYRSRTPPSRERSRS >Potri.014G076600.1.v4.1 pep chromosome:Pop_tri_v4:14:4957054:4960757:-1 gene:Potri.014G076600.v4.1 transcript:Potri.014G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076600.v4.1 MKAIFCGNLDYDARQSDLERLFRRYGRIDRVDMKSGFAFVYMEDERDAEDAIRRLDRAEFGRKGRRLRVEWTKQERESRRPAGSRRSSTNTTPSKTLFVINFDPVHTRTRDLERHFDPHGKILSTRIRRNFAFVQYELQEDATKALEATDMSKFMDRVISVEYAARDDERRNGYSPERRDRDRSPDRNYNRERSPSPYRRDRGSPDYGHRSKTNSRPEPRGNHNYDKAESPENERYRSRTPPSRERSRS >Potri.017G069500.1.v4.1 pep chromosome:Pop_tri_v4:17:5779951:5782363:1 gene:Potri.017G069500.v4.1 transcript:Potri.017G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069500.v4.1 MSKGKELESEDGRGDVSRNDPDPSKKRVLAEGSGNSCWTNSNSPPMEKIGVCENSRRGVGCYDFPCCDRRAGDSSSVEPQDADYSYVPQLCDELENQILARVPRSEYWKFRIVNKRILSLVKSGELLNIRREIGFRESLVFIFATGDRSWWAFDQQFSSRRKLPDIPADCCFSFGDKESICAGTHLIISGREIDGVVVWRYELETNSWNKGPSMINPRCLFASASCGAFAFVAGGVTGTGFDLNSAEKYNPDTKSWEDLPRMWHKRKLCSGCFMDNKFYVIGGRNEEGKVLTSAEVYDEDKKAWDLIPDMLEDTTIATFQSPPLIAVVNNALYSLEPSSNQLMVYLKKSKTWKKLGPVPVRADSNTGWGVAFKSLGNELLVIGASTSTVSYSGDGMAIYTCRPGSEAEELLWRPLECGKNRLSNFILNCSVMVA >Potri.017G069500.2.v4.1 pep chromosome:Pop_tri_v4:17:5779949:5782361:1 gene:Potri.017G069500.v4.1 transcript:Potri.017G069500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069500.v4.1 MSKGKELESEDGRGDVSRNDPDPSKKRVLAEGSGNSCWTNSNSPPMEKIGVCENSRRGVGCYDFPCCDRRAGDSSSVEPQDADYSYVPQLCDELENQILARVPRSEYWKFRIVNKRILSLVKSGELLNIRREIGFRESLVFIFATGDRSWWAFDQQFSSRRKLPDIPADCCFSFGDKESICAGTHLIISGREIDGVVVWRYELETNSWNKGPSMINPRCLFASASCGAFAFVAGGVTGTGFDLNSAEKYNPDTKSWEDLPRMWHKRKLCSGCFMDNKFYVIGGRNEEGKVLTSAEVYDEDKKAWDLIPDMLEDTTIATFQSPPLIAVVNNALYSLEPSSNQLMVYLKKSKTWKKLGPVPVRADSNTGWGVAFKSLGNELLVIGASTSTVSYSGDGMAIYTCRPGSEAEELLWRPLECGKNRLSNFILNCSVMVA >Potri.006G166701.1.v4.1 pep chromosome:Pop_tri_v4:6:16562056:16564667:1 gene:Potri.006G166701.v4.1 transcript:Potri.006G166701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166701.v4.1 MAGGFKALLALSVVLLVSNWQHWTYGKATPQVPCYFVFGDSLFDNGNNNYLTTPVKVNYLPYGIDFPLGATGRCSNGLNIADTIAEQLGFDSFITDFGVGGFTNFLDGVNYGSSGAGILDETGYLSRDLFTMNIQLYNHKITVSRIAKQLGGDDVAKKYLSKCIYVSDMGHNDYLNNYFLDTYNSSEIYSPDEYAQHLIKNYKTQLEDLYSTGARKIAVFGLIRVGCMPSNIQQYPNELDDSLCAYKLNDDVKIFNSLLQTMLEELNEKHKDAVFTYINSYDIDSDVTNAGFKHTRESCCQVLQSGAVPCQSLSVPCANRSEYVYWDGAHFTEAKAWAFGKRAFKRQLPQDAHPYDISELVKLELHDNDVNNVNLAQL >Potri.005G037100.1.v4.1 pep chromosome:Pop_tri_v4:5:2379839:2382472:-1 gene:Potri.005G037100.v4.1 transcript:Potri.005G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037100.v4.1 MMLELIGFAILLLSIFLIFTNRPRHACFPPGPRSLPIIGHLHLLGPLIHHSFRDISSRYGPLIFLRLGSAPCVVASSPELAKEFLKIHDVIFSSREMDSRAIKLLTYNSSFAFAPYGPLWKFLKRLSTFELLSSRALNHFQPVRKIELQQFLQNLLTKSKISESVNVTQELLNLSNNIISQMMLSIRCSGSDSQGEDAKTLAREVTQIFGEFNVSDFIWLCRNFDFQGSRKKSEDVHTRFDALLDNIITNRELERKQSGGKVQARDLLDMMLDTLEAQNSEIEFTRDHIKALVLDFLTAGTDTTAASTEWALAELINHPKILEKARQEIDAVVGNKRLVEESDFPNLPYLQAIFKETFRLHPPIPMISRKSTQECKINGYTIPANSLLFVNMWSIGRDSKYWTNPSEFEPERFLKPNGDMCNESASVDFKGQHYQLLPFGTGRRSCPGLALAMQELSTTLPAMIQCFEWKVAGSQGEKINGNVAVDMTERPGLTVPRAHDLVCIPVPRQPDIIQAFIKSGLR >Potri.018G136000.1.v4.1 pep chromosome:Pop_tri_v4:18:15608105:15609002:-1 gene:Potri.018G136000.v4.1 transcript:Potri.018G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136000.v4.1 MDYSLFHGSSSLYWCAGMAAYERCSQLGKLCEIFAGCSRYFLLGWVLNERACLFNWVWFRWPIPSSLIWESLGFRLF >Potri.010G254100.1.v4.1 pep chromosome:Pop_tri_v4:10:22541200:22542063:-1 gene:Potri.010G254100.v4.1 transcript:Potri.010G254100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254100.v4.1 MKMEQPATPNITPSKDNNSIKGTKKKPPTPQELISHYQSQGLDSQEASIKVIEDLQNVLFRVIASNSRGKKDKLAGEASRKIDAVNTRVAVVDMKLDLKPGYAETFAIGVASASAFRGVETVWPHVVGGIAQIWNAVRTVTKPPSSSS >Potri.001G303800.1.v4.1 pep chromosome:Pop_tri_v4:1:31373290:31374726:-1 gene:Potri.001G303800.v4.1 transcript:Potri.001G303800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G303800.v4.1 MDLNSPPVEMFFFPFVGGGHQIPMIDIARVFASHADTDMSANSYTDTSMLQEPLQNLLLERKPDCIVHDVFHRWSADAIDSAGIPRITFNGNGCFAHCVRENMERYEPHEKVTSDLEPFIVPGLPDGIELTTSQLPFLGRPPNGGGLHKRMRGSDEKSFGVMVNSFYELETAYVDYFRNELGNRAWLVGPVSLCNRNVEDKAERGQKTSVNEQTILSWLDSEELDSVLYIAHGLEASNHPFIWVIGKILKSTGQNGESKENLLPSGLEDRIKESRRGLIIRGWAPQLLILEHPAVGGTGMPMVTWPITAEQFTNEKLITDELKIGVKVGSMHWMSPRTESWVSVGRDKVEAAVKKLMGSGKEAAEMRRRAEKLGVKAKIAVEEGGSSCKNADALIQELKSRRQS >Potri.005G146900.1.v4.1 pep chromosome:Pop_tri_v4:5:12231168:12235181:-1 gene:Potri.005G146900.v4.1 transcript:Potri.005G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146900.v4.1 MAPLFDWWAKDSHRGTPVVVKMENPNWSMVELEGPSEEDFLITDSPSRLGRDKSRNKNAKQLTWVLLLKAHKAAGCLTSIATAMVTLGSAIKRRIHSGRTDIETTDIDRENENPTVKTRFYTFIKIFLWLSVLLLGFEVAAYFKGWHFGAPHLQLQYLLAMPFGFQDIFDSLYSRWVLFRVEYLAPPLQFLANACIVLFLVQSIDRLVLCLGCFWIRFKNIKPIPNQDAVADLESGENGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKILVQILDDSDDPTTQLLIKEEVNKWQQEGAHILYRHRVIRDGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPTPDFLKRTVPHFKGNEELGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGIFINFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPAIIRSKISIWKKFNMVFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLAKKETKHQRGSSEPNLEELKEEIMQQDQKDKKKKKHNRIYMKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVQ >Potri.005G255500.1.v4.1 pep chromosome:Pop_tri_v4:5:24670703:24674184:-1 gene:Potri.005G255500.v4.1 transcript:Potri.005G255500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255500.v4.1 MATDRRNSNTQLLEELEELSQSLYQTHTSSARRTASLVLPRNSVPSITSADEVTTAKIDEKSSSRPRSRRMSLSPWRSRPKPDEETERKTTNINQPGIKKLDDISSATERKGIWNWKPIRAISHIGMQKLSCLFSVEVVAVQGLPASMNGLRLSVCVRKKETKDGAVNTMPSRVSQGAGDFEETLFIKCHVYCTPGNGKQLKFEQRPFFIYVFAVDAEALDFGRTSVDLSELIQESIEKSQEGTRVRQWDTSFSLSGKAKGGELVLKLGFQIMEKEGGIDIYSQAEVSKTTKFKNFSSSLGRKQSKSSFSVSSPRMTLRSETWTPSQTKPAADIQGMDDLNLDETAPVPSPPPSIQKSEEPEQKIEDLDLPDFEIVDKGVEIQDKEDSGDGESEENVEEKSQSSEVVKEIVHNQVHLTRLTELDSIAEQIKVLESMMGEEKTAKTDDETESQKLDADEETVTKEFLQMLEDEETDSFKFNQPEIPTLHLDGGDDSTEAESKVYLSELGKGLGCVVQTRDGGYLAATNPLDTIVSRKDTPKLAMQLSKPLVLQSDKSMNGFELFQRMASIGFEELCSQILSLMPLDELLGKTAEQIAFEGIASAIIQGRNKEGASSSAARTIAAVKTMATAMSTGRKERISTGIWNVNENPLTAEEVLAFSLQKIEVMAIEALKIQAEIAEEDAPFDVSPLTGKASTDSGKDQNHPLASTIPLEDWIKKYGLASPGDQANHFIMAVVVQLRDPIRRYEAVGGPVVAVVHATQADIEENNYNEEKKFKVTSLHIGGMKGKSGRKRNLWDSERQRLTATQWLVAYGLGKAGKKGKHVLSKGKDLLWSISSRIMADMWLKPMRNPDVKFTR >Potri.015G030100.1.v4.1 pep chromosome:Pop_tri_v4:15:2304473:2306557:-1 gene:Potri.015G030100.v4.1 transcript:Potri.015G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030100.v4.1 MGDISLLQNTSGGLLTDGADERVKMLLKYFKSEEDMGCGDSFAERAEWYYQKRPQLLASLQDLYNGYTILLDRCNRMEKGKRLSKHLSSPMSITSDDDQDQEDSRGSSSCQFLDWDAESSLSYQQPPTPFQDGSNTILGIDEIVADLVMKNVGNDILADQLSDMEKQQLGQESIWRKVELLKKLLEVLESERIVLLNENVRLGYKMQALLEENKGLSSEAMFMKRKAGELASCVLKMREDHRVCMLTRKIEDLQGQIYGLEMRNKEYYQQLLKKEEHQEDKISSSKDGGVAFVGCCFQLEKLKLKKKNEAYVGNGGWSKWWSKVKNMDMFLCGFQCSP >Potri.017G122300.6.v4.1 pep chromosome:Pop_tri_v4:17:12737292:12740910:-1 gene:Potri.017G122300.v4.1 transcript:Potri.017G122300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122300.v4.1 MGDHFVFLVDRLLTESTLEAAIESQNRLWQAVPSANMSSSTGILEECRICHDEDDDKNMEIPCSCRGSLKYAHRKCVQRWCNEKGDINCEICYQQFEPGYTAPRPLFRYGGIPMNFRGNWEIPTRELHAPPFIPMFTTDREYLDSDFEEEYPLPSPRSVMCCRIVAIIFMVLLVLRHTLPIIISGAGDYTMTLFMLMILRTVGILLPIYVMVRAFTAIQHRRRQQQDPPFPLAETDEENV >Potri.017G122300.3.v4.1 pep chromosome:Pop_tri_v4:17:12737292:12740578:-1 gene:Potri.017G122300.v4.1 transcript:Potri.017G122300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122300.v4.1 MGDHFVFLVDRLLTESTLEAAIESQNRLWQAVPSANMSSSTGILEECRICHDEDDDKNMEIPCSCRGSLKYAHRKCVQRWCNEKGDINCEICYQQFEPGYTAPRPLFRYGGIPMNFRGNWEIPTRELHAPPFIPMFTTDREYLDSDFEEEYPLPSPRSVMCCRIVAIIFMVLLVLRHTLPIIISGAGDYTMTLFMLMILRTVGILLPIYVMVRAFTAIQHRRRQQQDPPFPLAETDEENV >Potri.008G096700.1.v4.1 pep chromosome:Pop_tri_v4:8:6019372:6024000:-1 gene:Potri.008G096700.v4.1 transcript:Potri.008G096700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096700.v4.1 MATQVDESTVKLNPKVVGNAFAEQYYNTLSKSPELLHNFYNDLSLISRPGLDGSVSSASTLEEIKKLILSLDYKNCVVEIQTVDSQESYENAVMVIVTGFFAGKDSDRKRFTQAFFLVPQDDGTTYFVLNDIFRYVEESENKKISDADNIAPPTPVTPSPEPPSVPDHTVAVNVSTNLEEGGVQAKESGHPLDNGEIPISEKDIVVEKEVVATQNDAHPVSEAVASSVQEDAPKKSYASVVNALNLKTQPFQQRVSDVKPVKQSYTAVPPMASSHQTGSPRPPGNNTVEINNNSTAVEGYSIFVANLPMDATVDELIQTFSKFGAIKPNGVQVRSYKQDKNCFGFVEFESANSVEKALEVSTVTIGTRTAHIERKNAKTDGERYPVRKGGFRNDNFRNRGNFGGGRGYGRNDFENQGGVSGQARGTTGHNGEANKKVYQNGWARGPRQAQAGRN >Potri.019G049600.2.v4.1 pep chromosome:Pop_tri_v4:19:8161179:8162468:-1 gene:Potri.019G049600.v4.1 transcript:Potri.019G049600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049600.v4.1 MFKPNINMFNYISKHHYIISSLNRPEDRSLFTFFHPKATAMEAFTTLLVLLMIKVLVCATSPTDIPSRSQDLVVASDEMARANYFSFVMLINMAPLDQKFQGNVTFLMPKDRLLSKIRMHQNAVSDFLLHHSIPSPLLFDHLRHIPPGSLIPSSDPDYMLNISNEGRKSFFLNNVKISSPDLCTAGSSIRCHGIDGVLLVDTDRHPLPACSNSTSPAIVATPPSPSLPLPDIPSFPSSAPPPGAAAPTDQEHIPKHSGSSQLESLSLGGLLKFMATSILVLNARVLYTVGQN >Potri.009G075800.7.v4.1 pep chromosome:Pop_tri_v4:9:7356222:7362592:-1 gene:Potri.009G075800.v4.1 transcript:Potri.009G075800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075800.v4.1 MGVRSLFGRLLYFMLPKKRPVEAEEAPDNSISDSSSFKKKRVDCDLESTVICNKKSCVTGNCSNTETETNNHCKEEHLIMAPGDSNPMEIDEDLHSRQLAVYGRETMRRLFGSNVLVSGMQGLGAEIAKNLILAGVKSVTLHDEEIVELWDLSSNFVFSENDVGKNRALASVQKLQDLNNAVSISTLTTELTTEQLSKFQAVVFTDLNLDKAIEFNDYCHNHKPPISFIKAEVRGLFGSVFCDFGPEFTVFDVDGEDAHTGIIASISNDNPALVSFVDDERLEFQDGDLVVFSEVKGMTELNDGKPRKIKNTRPYSFTLEEDTTNFATYEKGGIVTQVKQPKVLNFKPLREAIKDPGEFLLSDFSKFDRPPLLHLAFQALDKFVSEIGRFPVAGSEEDAQKLISQATHINENSGGARVEDINPKLLRHFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPSNFKPLNSRYDAQISVFGSKLQKKLEDANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVGSETENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPAEVNAYLSNPVEYTNAMNKAGDAQSRDTLEHVLECLEKEKCETFQDCITWARLRFEDYFADRVKQLIFTFPEDASTSTGAPFWSAPKRFPHPLQFSVVDPSHLHFVMAASILRAETFGISVPEWAKHPKMLAEAVEKVVVPEFQPREDAKIETDEKATTLSSASVDDAAVINELIKKLEQCRQKLPLGFRMTPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWILKNNPTLRELMQWLKDKGLDAYSISHGSCLLYNSMFPRHRDRMDRKVVDLVREVAKVELPAYRRHFDVVVACEDDEGNDVDIPPVSIYFS >Potri.009G075800.6.v4.1 pep chromosome:Pop_tri_v4:9:7355684:7362683:-1 gene:Potri.009G075800.v4.1 transcript:Potri.009G075800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075800.v4.1 MLPKKRPVEAEEAPDNSISDSSSFKKKRVDCDLESTVICNKKSCVTGNCSNTETETNNHCKEEHLIMAPGDSNPMEIDEDLHSRQLAVYGRETMRRLFGSNVLVSGMQGLGAEIAKNLILAGVKSVTLHDEEIVELWDLSSNFVFSENDVGKNRALASVQKLQDLNNAVSISTLTTELTTEQLSKFQAVVFTDLNLDKAIEFNDYCHNHKPPISFIKAEVRGLFGSVFCDFGPEFTVFDVDGEDAHTGIIASISNDNPALVSFVDDERLEFQDGDLVVFSEVKGMTELNDGKPRKIKNTRPYSFTLEEDTTNFATYEKGGIVTQVKQPKVLNFKPLREAIKDPGEFLLSDFSKFDRPPLLHLAFQALDKFVSEIGRFPVAGSEEDAQKLISQATHINENSGGARVEDINPKLLRHFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPSNFKPLNSRYDAQISVFGSKLQKKLEDANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVGSETENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPAEVNAYLSNPVEYTNAMNKAGDAQSRDTLEHVLECLEKEKCETFQDCITWARLRFEDYFADRVKQLIFTFPEDASTSTGAPFWSAPKRFPHPLQFSVVDPSHLHFVMAASILRAETFGISVPEWAKHPKMLAEAVEKVVVPEFQPREDAKIETDEKATTLSSASVDDAAVINELIKKLEQCRQKLPLGFRMTPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWILKNNPTLRELMQWLKDKGLDAYSISHGSCLLYNSMFPRHRDRMDRKVVDLVREVAKVELPAYRRHFDVVVACEDDEGNDVDIPPVSIYFS >Potri.009G075800.8.v4.1 pep chromosome:Pop_tri_v4:9:7356221:7361195:-1 gene:Potri.009G075800.v4.1 transcript:Potri.009G075800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075800.v4.1 MHCFSFCRIHMNLPILFYATCYFLVVQAVVFTDLNLDKAIEFNDYCHNHKPPISFIKAEVRGLFGSVFCDFGPEFTVFDVDGEDAHTGIIASISNDNPALVSFVDDERLEFQDGDLVVFSEVKGMTELNDGKPRKIKNTRPYSFTLEEDTTNFATYEKGGIVTQVKQPKVLNFKPLREAIKDPGEFLLSDFSKFDRPPLLHLAFQALDKFVSEIGRFPVAGSEEDAQKLISQATHINENSGGARVEDINPKLLRHFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPSNFKPLNSRYDAQISVFGSKLQKKLEDANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVGSETENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPAEVNAYLSNPVEYTNAMNKAGDAQSRDTLEHVLECLEKEKCETFQDCITWARLRFEDYFADRVKQLIFTFPEDASTSTGAPFWSAPKRFPHPLQFSVVDPSHLHFVMAASILRAETFGISVPEWAKHPKMLAEAVEKVVVPEFQPREDAKIETDEKATTLSSASVDDAAVINELIKKLEQCRQKLPLGFRMTPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWILKNNPTLRELMQWLKDKGLDAYSISHGSCLLYNSMFPRHRDRMDRKVVDLVREVAKVELPAYRRHFDVVVACEDDEGNDVDIPPVSIYFS >Potri.009G075800.9.v4.1 pep chromosome:Pop_tri_v4:9:7356161:7361229:-1 gene:Potri.009G075800.v4.1 transcript:Potri.009G075800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075800.v4.1 MTELNDGKPRKIKNTRPYSFTLEEDTTNFATYEKGGIVTQVKQPKVLNFKPLREAIKDPGEFLLSDFSKFDRPPLLHLAFQALDKFVSEIGRFPVAGSEEDAQKLISQATHINENSGGARVEDINPKLLRHFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPSNFKPLNSRYDAQISVFGSKLQKKLEDANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVGSETENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPAEVNAYLSNPVEYTNAMNKAGDAQSRDTLEHVLECLEKEKCETFQDCITWARLRFEDYFADRVKQLIFTFPEDASTSTGAPFWSAPKRFPHPLQFSVVDPSHLHFVMAASILRAETFGISVPEWAKHPKMLAEAVEKVVVPEFQPREDAKIETDEKATTLSSASVDDAAVINELIKKLEQCRQKLPLGFRMTPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWILKNNPTLRELMQWLKDKGLDAYSISHGSCLLYNSMFPRHRDRMDRKVVDLVREVAKVELPAYRRHFDVVVACEDDEGNDVDIPPVSIYFS >Potri.009G047300.1.v4.1 pep chromosome:Pop_tri_v4:9:5312329:5314558:-1 gene:Potri.009G047300.v4.1 transcript:Potri.009G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047300.v4.1 MEAKLGKAFESITNFFTGADQIPWCDRDIVAGCEQEVHEAEKGPSDEFKNECIMRLSWALVHSRQTEDVHRGIAMLESSLGSTTSPLKLREKLYLLAVGYYRSGDYSRSRELVEDCLKIEPEWRQAQSLKKAIEDRIKKDGVIGIGIAATAVGLVAGGIAAAFARRN >Potri.014G092400.1.v4.1 pep chromosome:Pop_tri_v4:14:5990690:5993516:1 gene:Potri.014G092400.v4.1 transcript:Potri.014G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092400.v4.1 MPTFLHQRPLHGTLSDPYPLSPRQSSSSQRQISLFSRTGLIAILSLLLILGVILPWTGTPSIFSATKPASLAKWQQYTLPQAVAFVAKNKTVIVCAVSQPYLPFLSNWLISISRQKHQDKVLVIAEDYATLYNVNERWPGHAVLVPPAPDSQSAHKFGSQGFFNFTSRRPRHLLHILELGYDVMYNDVDMVWLGDPFRYLEGNHDVYFTDDMAAVKPLDHSHDLPPPGKKGRTYICSCMIFMRPTDGAKLVMKKWIEELKAQPWSKTRKANDQPAFNWALNKTAGQVDLYLLPQAAFPTGGLYFKNQTWVQETKGKHVIIHNNYITGFEKKIKRFRDYSLWLVDDHASESPLGKL >Potri.007G107500.1.v4.1 pep chromosome:Pop_tri_v4:7:13011953:13012646:-1 gene:Potri.007G107500.v4.1 transcript:Potri.007G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107500.v4.1 MCCGTKVCMMCTCVILAVILIGLLFGFGIYKNGFHKLKDAIHECDSNGSLCGTGRPFLGVAAPPAPL >Potri.013G090200.1.v4.1 pep chromosome:Pop_tri_v4:13:9129183:9129650:1 gene:Potri.013G090200.v4.1 transcript:Potri.013G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090200.v4.1 METLISPTKTQPFYSPSPLPQTKHHQSFSDPLTSLRFKLREKPNQGLCLAQRLNHVVAARCGNRGPVAELERDMEAEMNLEGEDDWIVEIGKLREECEESKGMVELLECLEREAIMGDDEGRDPTDYNRRAQIFNKSSQVFTALKERTTLSHGQS >Potri.012G029000.2.v4.1 pep chromosome:Pop_tri_v4:12:2888418:2891986:-1 gene:Potri.012G029000.v4.1 transcript:Potri.012G029000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G029000.v4.1 MGCSPLSLSQSFSFFLFVFHFHSTISSPISSNYSSFSSSSSHLCAHHLSLSLLQFKQSFSIYSSASDYYNCQYPFPKTESWKDGTDCCLWYGVSCDLKTGHVTGLDLSCSMLHGTLHSNNSLFSLHHLQKLDLSFNYFNTSHISSGFGQFSNLTHLNLSGSDLAGQVPLEISQLSKLVSLDLSDNDNLSLQPICFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLKLIGCGLQGKLPSSMGKFKHLQYLDLGRNSLTGSIPYDFEQLTELVSLDFSWNNYLNLEPISFDKLVRNLTKLRELDLSSVNMSLVAPNSLTNLSSSLSYLSLLGCGLQGKFPGNIFHLPNLESLDLSYNQGLAGSFPSSNLSNVLSRLYLSNTRISVYLENDLISNLKSLEYMSLRDCNIIRSDLAPLANLTRLVYLDLSSNNLTGEIPSSLGNLVHLQYLILYSNNFMGQVPDSLANLVNLSYLDLSNNQLGGPIHSQLKTLSNLVGLSLFGNLFNGTIPSFLFALPSLYYLDLHDNNLIGNISELQHYSLIYLDLSNNHLHGTIPSSIFKQKNLEVLILASTSKLTGEITSSICKLRFLILLDLSNNSLSGSTPLCLGNFSNSLSVLHLGMNKLQGIIPSTFTKDNSLEYLNLNGNEFEGKIPSSINNCAMLEVLDLGNNKIEDTFPYFLEKLPKLQILVLKSNKLQGFVKGPTAHNSFSTLRILDISDNDFSGSLPTGYFNSLEAMMASDQNMIYMNATSYSSYVYSIDLTWKGVEIEFPKIQSTIRVLDLSNNNFTGEIPKVIGKLKALQQLNLSHNSLTGHIQSSLGILTNLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLKGPIPSGQQFSTFNASSFEGNLGLCGFQVLEECYRDEAPSLQPSSFDEGDDSTLFGEGFGWKAVTMGYGFGFVFGVATGYVVFRTKKPAWFLRMVEDKWNLNNKKTKKNVARYGARRN >Potri.012G029000.3.v4.1 pep chromosome:Pop_tri_v4:12:2888515:2891847:-1 gene:Potri.012G029000.v4.1 transcript:Potri.012G029000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G029000.v4.1 MGCSPLSLSQSFSFFLFVFHFHSTISSPISSNYSSFSSSSSHLCAHHLSLSLLQFKQSFSIYSSASDYYNCQYPFPKTESWKDGTDCCLWYGVSCDLKTGHVTGLDLSCSMLHGTLHSNNSLFSLHHLQKLDLSFNYFNTSHISSGFGQFSNLTHLNLSGSDLAGQVPLEISQLSKLVSLDLSDNDNLSLQPICFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLKLIGCGLQGKLPSSMGKFKHLQYLDLGRNSLTGSIPYDFEQLTELVSLDFSWNNYLNLEPISFDKLVRNLTKLRELDLSSVNMSLVAPNSLTNLSSSLSYLSLLGCGLQGKFPGNIFHLPNLESLDLSYNQGLAGSFPSSNLSNVLSRLYLSNTRISVYLENDLISNLKSLEYMSLRDCNIIRSDLAPLANLTRLVYLDLSSNNLTGEIPSSLGNLVHLQYLILYSNNFMGQVPDSLANLVNLSYLDLSNNQLGGPIHSQLKTLSNLVGLSLFGNLFNGTIPSFLFALPSLYYLDLHDNNLIGNISELQHYSLIYLDLSNNHLHGTIPSSIFKQKNLEVLILASTSKLTGEITSSICKLRFLILLDLSNNSLSGSTPLCLGNFSNSLSVLHLGMNKLQGIIPSTFTKDNSLEYLNLNGNEFEGKIPSSINNCAMLEVLDLGNNKIEDTFPYFLEKLPKLQILVLKSNKLQGFVKGPTAHNSFSTLRILDISDNDFSGSLPTGYFNSLEAMMASDQNMIYMNATSYSSYVYSIDLTWKGVEIEFPKIQSTIRVLDLSNNNFTGEIPKVIGKLKALQQLNLSHNSLTGHIQSSLGILTNLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLKGPIPSGQQFSTFNASSFEGNLGLCGFQVLEECYRDEAPSLQPSSFDEGDDSTLFGEGFGWKAVTMGYGFGFVFGVATGYVVFRTKKPAWFLRMVEDKWNLNNKKTKKNVARYGARRN >Potri.019G055200.2.v4.1 pep chromosome:Pop_tri_v4:19:9327390:9332267:-1 gene:Potri.019G055200.v4.1 transcript:Potri.019G055200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055200.v4.1 MTDFALAISTKVLLFLCVIQFSVSEIIFEERFEDGWESRWVRSDWKRSEGLAGSFKHKAGRWPGDPDDKGIQTTTDARFFAISAKIPEFNNKNRTLVFQYSIRLEQDIECGGGYIKLLSGFVNQKKFGGDTPYSFMFGPDICGSQTKKLHVILSYQGQNYPIKKDLECETDKLTHFYTFVLRPDASYSILIDGRERDSGSMYTDWDILPPRKIKAVKAKKPADWDDREYIDDPNDVKPEGYDSIPKEIPDPKAKQPDDWDEEESGLWKSPKIPNPAYKGPWKLKRIKNPNYKGKWKIPYIDNPEFEDDPDLYVLKPIKYIGIDVWQVKAGSVYDNILVCDDPEYAKQVAQEVLANREIEKEAFEEAEKIRKAREDEEAQRAREEGERRRRERGYDRRHRDRHRDKYRRHYSRDMDDYHDEL >Potri.009G153200.1.v4.1 pep chromosome:Pop_tri_v4:9:12022200:12023672:-1 gene:Potri.009G153200.v4.1 transcript:Potri.009G153200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153200.v4.1 MESKPRGTGAVAAGRVYEEFEPKMEWDRQLGVDTLRVLLPGFEKEQIKVQVSSSRVLRISGERQLSDNRWSCFLKEIPLSSNYNHKEISARYEKGILYVKHPKLIVPDDAELQENEQPPVESSTLDGKPPQEKAQQPTRMDKDKAAKGLVSGAQKLNMESYRKDFSGLVMDMTKPRKLVNLVLFFLSVVVLGMYARKAISWSFKKSEN >Potri.001G407800.1.v4.1 pep chromosome:Pop_tri_v4:1:43513873:43521056:1 gene:Potri.001G407800.v4.1 transcript:Potri.001G407800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407800.v4.1 MASEHQENSNAAQYLQYFTDEDSKSEEDEGEDDEEEEDVEEESPQDCKGMFLNLCGGGSKRRGWSLGQVLDPRGKWVQEWNRVFLLVCATGLFVDPLFFYVLSISDTCMCLFVDGWFAITVTALRCMTDVLYLWNMWLQLKIAKRPHGGGESGGDGERGGSRVAGPCSSALRYLKAKKGFFFDLFVILPLPQIVLWVGIPSLLQKGSVTLLMNVFLIIFLFQYLPKIHYSVCLLRRMQSLSGYIFGTVWWGIALNMIAYFVASHAAGACWYLLGIQRAAKCLKEQCIETPGCGLGLLSCKEPIYYGTTRKVMEKARWAWADNKLARSMCLDSPDKYDYGAYKWTVQLVTNDSRLEKILFPIFWGLMTLSTFGNLESTTEWLEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMQLKMRNIEWWMRKRHLPTGFRQRVRNYERQRWAAMRGVDECEMIRNLPEGLRRDIKYHLCLDLVRQVPLFQHMDDLVLENICDRVKSLIFTKGETITREGDPVQRMLFVVRGHLQSSQVLRDGVKSCCMLGPGNFSGDELLSWCLRRPFIERLPPSSSTLVTLETTEAFGLEAEDVKYVTQHFRYTFVKERVKRSARYYSPGWRTWAAVAIQLAWRRYKHRLTLTSLSFIRPRRPLSRCSSLGEDRLRLYTALLTSPKPNQEDFDF >Potri.018G150700.6.v4.1 pep chromosome:Pop_tri_v4:18:16141127:16144513:-1 gene:Potri.018G150700.v4.1 transcript:Potri.018G150700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150700.v4.1 MPVIEAESSIGVRGMDDEAPAYTLDEALACLGFGKFQGLVLAYAGLGWFAEAMELMLLSFVGPTVKSQWGLSSGQESLLSTVVFAGMLVGAYSWGLVSDNCGRRKGFLGIAVLTSGAGLLSAFSPNYESLVIIRCLVGLGLGGSTVLCSWFLEFVPAPHRGKWMVVFSTFWTLGAIFEASLAWLVMQRLSWRWLLAFSSLPSIALLLFYGIVPESPRYLCMKGRINDAHKILQKIALLNQSELPPGMLVPDSTVGQEEKSAPSKYTPLLSSTRKVIVDFKSVFSSFFMLFSSTLIQTTLLLWVLLFGNVFSYYGIILLTSELSSQQGKCGSTILSSENLQNDSLYINVFVTSLAELPGLLLSAIIVDRLGRKLSMALMLVLGCIFLFPLLFNVSANLTTAMLFGARMCVMGSFTIATLYAPELYPTAVRATGAGVASSVGRIGGMICPLVAVGLVTGCYLTEAIILFEVVMAISAFSSLLFPFETKGQELSDSVDHVSDSTQVASFR >Potri.018G150700.3.v4.1 pep chromosome:Pop_tri_v4:18:16141098:16144513:-1 gene:Potri.018G150700.v4.1 transcript:Potri.018G150700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150700.v4.1 MPVIEAESSIGVRGMDDEAPAYTLDEALACLGFGKFQGLVLAYAGLGWFAEAMELMLLSFVGPTVKSQWGLSSGQESLLSTVVFAGMLVGAYSWGLVSDNCGRRKGFLGIAVLTSGAGLLSAFSPNYESLVIIRCLVGLGLGGSTVLCSWFLEFVPAPHRGKWMVVFSTFWTLGAIFEASLAWLVMQRLSWRWLLAFSSLPSIALLLFYGIVPESPRYLCMKGRINDAHKILQKIALLNQSELPPGMLVPDSTVGQEEKSAPSKYTPLLSSTRKVIVDFKSVFSSFFMLFSSTLIQTTLLLWVLLFGNVFSYYGIILLTSELSSQQGKCGSTILSSENLQNDSLYINVFVTSLAELPGLLLSAIIVDRLGRKLSMALMLVLGCIFLFPLLFNVSANLTTAMLFGARMCVMGSFTIATLYAPELYPTAVRATGAGVASSVGRIGGMICPLVAVGLVTGCYLTEAIILFEVVMAISAFSSLLFPFETKGQELSDSVDHVSDSTQVASFR >Potri.018G150700.7.v4.1 pep chromosome:Pop_tri_v4:18:16141127:16144513:-1 gene:Potri.018G150700.v4.1 transcript:Potri.018G150700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150700.v4.1 MPVIEAESSIGVRGMDDEAPAYTLDEALACLGFGKFQGLVLAYAGLGWFAEAMELMLLSFVGPTVKSQWGLSSGQESLLSTVVFAGMLVGAYSWGLVSDNCGRRKGFLGIAVLTSGAGLLSAFSPNYESLVIIRCLVGLGLGGSTVLCSWFLEFVPAPHRGKWMVVFSTFWTLGAIFEASLAWLVMQRLSWRWLLAFSSLPSIALLLFYGIVPESPRYLCMKGRINDAHKILQKIALLNQSELPPGMLVPDSTVGQEEKSAPSKYTPLLSSTRKVIVDFKSVFSSFFMLFSSTLIQTTLLLWVLLFGNVFSYYGIILLTSELSSQQGKCGSTILSSENLQNDSLYINVFVTSLAVISYSSEGNWCWSGKFSGEDWWHDMPSGGGWTGDWLLSYRSYHSI >Potri.018G150700.5.v4.1 pep chromosome:Pop_tri_v4:18:16141501:16144419:-1 gene:Potri.018G150700.v4.1 transcript:Potri.018G150700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150700.v4.1 MPVIEAESSIGVRGMDDEAPAYTLDEALACLGFGKFQGLVLAYAGLGWFAEAMELMLLSFVGPTVKSQWGLSSGQESLLSTVVFAGMLVGAYSWGLVSDNCGRRKGFLGIAVLTSGAGLLSAFSPNYESLVIIRCLVGLGLGGSTVLCSWFLEFVPAPHRGKWMVVFSTFWTLGAIFEASLAWVEILLFWQLVMQRLSWRWLLAFSSLPSIALLLFYGIVPESPRYLCMKGRINDAHKILQKIALLNQSELPPGMLVPDSTVGQEEKSAPSKYTPLLSSTRKVIVDFKSVFSSFFMLFSSTLIQTTLLLWVLLFGNVFSYYGIILLTSELSSQQGKCGSTILSSENLQNDSLYINVFVTSLAELPGLLLSAIIVDRLGRKLSMALMLVLGCIFLFPLLFNVSANLTTAMLFGARMCVMGSFTIATLYAPELYPTAVRATGAGVASSVGRIGGMICPLVAVGLVTGCYLTEAIILFEVVMAISAFSSLLFPFETKGQELSDSVDHVSDSTQVASFR >Potri.018G145572.1.v4.1 pep chromosome:Pop_tri_v4:18:15491075:15493933:1 gene:Potri.018G145572.v4.1 transcript:Potri.018G145572.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145572.v4.1 MARQRDPFWDFVEKLDDGPFNCTFCGYKFAAATSVSRIKLHLSQVRGRGVAICDKVPEDVQEAAFQAVHGGNKRHKTIASSSNFNENSILTTPQEQNNEVDNLAGDAGRIQAPGTMGQALERFLEEINNVMEDDIENGTGGVVQPGAGSSSSGGLTGNTNETPGDPLPTILHVEVDNVAPQRQHLERVTGQPVVRGSSHERPLVNHDELQEDSSQPTDPPCLTHGRYHDQLRTPLVNMVGDPGQPVVRHSSREALQRNGDDSGRDVFLTEELTGGEFENNKNAIWSWIMNDEASSSIGIYGMGGLGKTTLLTHIYNHLLQEPGTFPHVHWITVSQDFSVYKLQNLIARDIRLDLSNEDNERKRAAKMSKALIEKQRWLLILDDLWNCFDFDVVGIPIQVKGCKLILTTRSFEVCQRMVCQETIKVEPLSMEEAWALFTKILGRIPSEVEEIAKSMARECAGLPLGIKTMAGTMRGVDDICEWRNALEELKQSRVRQEGMDEEVFQILRFSYMHLKESALQQCFLYCALFPEDFMIPREHLIAYLIDEGVIKGLKSREAEFNKGHSMLNKLERVCLLESAEKWGDDERYVKMHDLIRDMAIQIQQENSQCMVKAGEQLRELPGAEEWTENLMRVSLMHNQIEKIPSGHSPRCPSLSTLLLCGNQLVLIADSFFEQLHELKVLDLSYTGITKPPDSVSELVNLTALLLIGCKMLRHVPSLEKLRALKRLDLSGSLALEKMPQGMECLCNLSYLIMDGCGEKEFPSGLLPKLSHLQVFVLLEDSVVDNRFIFPLYSPITVKGKDVGCLRKLETLECHFEGCSDFVEYLNSQDKTRLLKKYRIAVGLLHHNHYEHDKNKVIVLSKLSINRDGDFRDMFPEDIQQLTIDECDDAKSLCNVSSLIKYATDLEYIYISSCNSMESLVSSSWYCSAPLPLPSYKGIFSGLKGLIVLAVRA >Potri.015G112801.1.v4.1 pep chromosome:Pop_tri_v4:15:12897611:12898492:-1 gene:Potri.015G112801.v4.1 transcript:Potri.015G112801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112801.v4.1 MLHLLKKWSPETQYVQTTIPYKALLLLYNFQKEEPRNTDGNAIHLLIDGFSEYEASKRCTKPVGGPLGDG >Potri.009G028800.1.v4.1 pep chromosome:Pop_tri_v4:9:3988076:3989581:-1 gene:Potri.009G028800.v4.1 transcript:Potri.009G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G028800.v4.1 MTTARPIFACAFVILCTLFHAARPELILTLVNNCPFTIYPAIQPNAGHPVLEKGGFPLQTLTHRSFRAPDQHWSGRIWGRTGCTHSNGKFQCTTGDCNNRIECNGLGGAPPATLAQITLHHGHNDFSSYGVSLVDGFNLPMTVTPHEGKGVCPVVGCRANLLSTCPDELKFRSSAGHVVGCKSGCEAFGTDELCCRNHYNSPQTCRASSFSEFFKHACPATFTYAHDSPSLTHECSSPRELKVIFCH >Potri.006G081300.5.v4.1 pep chromosome:Pop_tri_v4:6:6033998:6039008:1 gene:Potri.006G081300.v4.1 transcript:Potri.006G081300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081300.v4.1 MNYLIGAFKPSCNISTTFSDAKNRKQVPMKKENGQTVMVPLFQSQENIAGQISIEPLQGKKVDHNGVKVELLGQIEMYFDRGNFYDFTSLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVTRGYAGSIIEYQDLVVRNYSPPPTINNCIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQESS >Potri.001G042400.2.v4.1 pep chromosome:Pop_tri_v4:1:3086228:3087813:-1 gene:Potri.001G042400.v4.1 transcript:Potri.001G042400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042400.v4.1 MKGKAKHVVGGGGEESMKYSNNNGGVAWSRGPLLGKGGFGSVYLASLKSPKSRNGYYPPVMAVKSAEVSASCSLQKEKEVFNCLNGCPFIIKCFGEETTRDGGGEMFYNVLLEYASGGTLAGLIKKSDGVGLPELDVKRYTRSILEGIHYIHSHGYVHCDLKPENILLVSSSTKAGEFVAKIGDFGLAKKSEKRNKKRKIDPYLRGTTLYMAPETVANHVQEPPCDIWALGCVVLEMLTGKQAWDVKPDVTIEELKRKIGDGYELPKMPSEVSKDAKDFLKRCFVANPMFRFTAEMLLDEPFVSGVDLDGGEFVETLDAESVEWTDTLCGTDDEFSGSSFYEEWSFTSDEGSCFSPWSDDEEGITSNVDAMTHASRVEENAVQQYLPTCTIPAGA >Potri.018G124600.4.v4.1 pep chromosome:Pop_tri_v4:18:13631696:13637385:-1 gene:Potri.018G124600.v4.1 transcript:Potri.018G124600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124600.v4.1 MAMNALILLLLVQFPIILASRFSIHSKVCGSDHIAYSNFHGHELFYINGELKDKESFCKAFHFLDVNACIFENYLGSSSSGLDPDLSLAGFPLKRERNLLQKEGREESTSHDPSQDTPKEKKDDPATLSTPYKAGLAVAGVVVMCCGFLCPCLYKKRKPATHTVLEKDPNSLDSVPSFNANPASEKVLSTPHRVPPSPSRFSPSPKLSRLGSVHLNLSQVARATRNFSPSLQIGEGGFGIVYKAQLDNGQLVAIKRAKKEYFVNLQTEFSSEVELLAKIDHRNLVKLLGYVDKGDERLIITEYVPNGTLREHLDVLHGKILDFNQRLEISIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFARMGPVDSDQTHISTKVKGTMGYLDPEYMKTYQLTPKSDVYSFGILLLEILTGRRPVEMKKPADERVTLRWVFRKYEEGNVVDMADPLMEEVVDAEILSKMFALAIQCAAPIRTERPDMKVVVEQLWGIRSDYLKGVKKG >Potri.003G156200.1.v4.1 pep chromosome:Pop_tri_v4:3:16718564:16723262:1 gene:Potri.003G156200.v4.1 transcript:Potri.003G156200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156200.v4.1 MAVPKRVLLLFAALLFCFSFGFVLCQNQELSSLLEVKKSFEGDPEKVLLDWNESNPNFCTWTGVTCGLNSVDGSVQVVSLNLSDSSLSGSIPPSLGSLQKLLQLDLSSNSLTGPIPATLSNLSSLESLLLFSNQLTGPIPTQLGSLKSLQVLRIGDNGLSGPIPASFGNLVNLVTLGLASCSLTGPIPPQLGQLSQVQSLILQQNQLEGPIPAELGNCSSLTVFTVAVNNLNGSIPGALGRLQNLQTLNLANNSLSGEIPSQLGELSQLVYLNFMGNQLQGPIPKSLAKMSNLQNLDLSMNMLTGGVPEEFGSMNQLLYMVLSNNNLSGVIPRSLCTNNTNLESLILSETQLSGPIPIELRLCPSLMQLDLSNNSLNGSIPTEIYESIQLTHLYLHNNSLVGSISPLIANLSNLKELALYHNSLQGNLPKEIGMLGNLEVLYLYDNQLSGEIPMEIGNCSNLKMVDFFGNHFSGEIPVSIGRLKGLNLLHLRQNELGGHIPAALGNCHQLTILDLADNGLSGGIPVTFGFLQALEQLMLYNNSLEGNLPYSLTNLRHLTRINLSKNRFNGSIAALCSSSSFLSFDVTSNSFANEIPAQLGNSPSLERLRLGNNQFTGNVPWTLGKIRELSLLDLSGNLLTGPIPPQLMLCKKLTHIDLNNNLLSGPLPSSLGNLPQLGELKLSSNQFSGSLPPELFNCSKLLVLSLDGNLLNGTLPVEVGKLEFLNVLNLEQNQLSGSIPAALGKLSKLYELQLSHNSFSGEIPFELGQLQNLQSILDLGYNNLSGQIPSSIGKLSKLEALDLSHNQLVGAVPPEVGDMSSLGKLNLSFNNLQGKLGEQFSHWPTEAFEGNLQLCGSPLDHCSVSSQRSGLSESSVVVISAITTLTAVALLALGLALFIKHRLEFLRRVSEVKCIYSSSSSQAQRKPLFRKGTAKRDYRWDDIMAATNNLSDEFIIGSGGSGTIYRTEFQSGETVAVKKILWKDEFLLNKSFAREVKTLGRIRHRHLVKLIGYCSSEGAGCNLLIYEYMENGSLWDWLRQQPVNIKKRQSLDWETRLKIGLGLAQGVEYLHHDCVPKIIHRDIKSSNILLDSTMEAHLGDFGLAKALEENYDSNTESHSWFAGSYGYIAPEYAYTLKATEKSDVYSMGIVLMELVSGKMPTDASFGVDMDMVRWVEKHMEMQGGCGREELIDPALKPLLPCEESAAYQLLEIALQCTKTTPQERPSSRQACDQLLHLYKNRMVDFDKMNNDPYS >Potri.002G110800.1.v4.1 pep chromosome:Pop_tri_v4:2:8303146:8308681:-1 gene:Potri.002G110800.v4.1 transcript:Potri.002G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110800.v4.1 MAAANPQPLQARPYKDHRTRAPIQIEDDDDGEYEDGDGMDDMEEEAAVAQVNSGVSVAEHNHGAGGGGGGVVIASRTSELTLSFEGEVYVFPAVTPEKVQAVLLLLGGRDIPTAVPTIEVQYDQNNRGVGDTPKRSNLSRRIASLVRFREKRKERCFDKKIRYTVRKEVAQRMHRKNGQFASIKENPGDSSWDSGQSCLQDGTPLSETVVRRCKHCGVSENNTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRNLPVDQIEPETLIEVKPSIIEGEFSGNQDEHGTAKDLSKAVSEGFNNHSINPDEVFQEATEDITNTLPMGVIHSSGDDDEQEPLVELANPSDTDLDIPANFD >Potri.004G131900.1.v4.1 pep chromosome:Pop_tri_v4:4:15018861:15021901:1 gene:Potri.004G131900.v4.1 transcript:Potri.004G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131900.v4.1 MGSSAAIRELQRDLENKANDLSKLQKDIAKNHQVRKKYTIQLGENELVLKELDLLNEGANVYKLIGPVLVKQDLAEANANVSKRIEYISAELKRLDGTLQDLEEKQNSKKDAIFKLQQRIQSFQAGKAKA >Potri.010G239500.1.v4.1 pep chromosome:Pop_tri_v4:10:21829611:21829982:-1 gene:Potri.010G239500.v4.1 transcript:Potri.010G239500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239500.v4.1 MNMVQFVESRIDSCIFMGAICSLSCVEFTNTSFSCFLCNPFHKKKRVKLLNVSCLATFFFLFLSRESDKLVEDGSSSSSRKRQLKSSSFGVTTSKRKSEETESSGKSRCKFFHTRKLEQLANF >Potri.012G011345.1.v4.1 pep chromosome:Pop_tri_v4:12:108111:112900:-1 gene:Potri.012G011345.v4.1 transcript:Potri.012G011345.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011345.v4.1 MWRRRSHLLLSNAVRTSHHLSSLSASTASRGRTLLTPSSNSPLFKPPSLHLAPNNRLSSPLSSTISVRLLRTGRDPFTSYEITPPVNWGVRIVLEKKAFVVERFGKYLKTLPSGIHFLIPLVDRIAYVHSLKEEAIQIPDQSAITKDNVSILIDGVLYEKIVEAINVAATDWGLRCLRYEIRDISPPRGVKQAMEMQAEAERRKRAQILESEGERQANINIADGHKSAQILASQGEKQALINKAQGEAEAIIAKAQATAKGIAIVSENIKKSGGIEALHLHPGPQKENSLSIPWLHSAVSFVSSILAPSILASTAPQNKIAQQQHMMI >Potri.002G122400.1.v4.1 pep chromosome:Pop_tri_v4:2:9262576:9263369:1 gene:Potri.002G122400.v4.1 transcript:Potri.002G122400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122400.v4.1 MARLSTSSIFFVGPLFVCSLLLIISEARPLGVVGSHGSINNRIGTIFSDEMNIEGIKNSGPSEGGRGHAFKIVHS >Potri.009G161900.1.v4.1 pep chromosome:Pop_tri_v4:9:12485301:12491194:-1 gene:Potri.009G161900.v4.1 transcript:Potri.009G161900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161900.v4.1 MSSSQAPNNQSMKQPQQNSMQSHAPNQCQQHQQLPFSSMKPHFLPPGDYHRFGVDHRRPVSDHDVEGIVVKPPTLKRKSDAADHQGESSEWNAGHGYMEVVTSPLQTPVSGKGGKTPKTSRLSKSGKSASQSAAGALGSPGNNVTPTGPIRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRSREGDDNNVATLQAEVENLTIEERRLDEQTREMQERLRDLSVDEKNQKWLFVTKEDIKILPGFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVFRSTMGPIDVYLVSQFEEKFEDIQGAEPPPSDSSTSGFNENAATTMVTEESRAKEFEMQEQDGHRICSEPNTSHDFVSGIMKIVPSDVNSDADYWLLSDADVSITDMWRNEPVVEWNDLDTLHNDYVMPNFSTPQPQTPSNPTEVPPAANTTAG >Potri.009G161900.4.v4.1 pep chromosome:Pop_tri_v4:9:12485301:12491194:-1 gene:Potri.009G161900.v4.1 transcript:Potri.009G161900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161900.v4.1 MEVVTSPLQTPVSGKGGKTPKTSRLSKSGKSASQSAAGALGSPGNNVTPTGPIRYDSSLGLLTKKFINLIKHAEDGILDLNKAADTLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRSREGDDNNVATLQAEVENLTIEERRLDEQTREMQERLRDLSVDEKNQKWLFVTKEDIKILPGFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVFRSTMGPIDVYLVSQFEEKFEDIQGAEPPPSDSSTSGFNENAATTMVTEESRAKEFEMQEQDGHRICSEPNTSHDFVSGIMKIVPSDVNSDADYWLLSDADVSITDMWRNEPVVEWNDLDTLHNDYVMPNFSTPQPQTPSNPTEVPPAANTTAG >Potri.006G082500.1.v4.1 pep chromosome:Pop_tri_v4:6:6114887:6119101:-1 gene:Potri.006G082500.v4.1 transcript:Potri.006G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082500.v4.1 MAPPIETPSKLPISRHSSHPPLNERILSSMNRRSVAAHPWHDLEIGPEAPKIFNCVVEIGKGGKVKYELDKKTGLIKVDRVLYSSVVYPHNYGFIPRTLCEDNDPMDVLIIMQEPVLSGCFLRAKAIGLMPMIDQGEKDDKIIAVCADDPEYRHYNDIKELPPHRLAEIRRFFEDYKKNENKEVAVNDFLPASDAYEAIQHSMNLYADYIVESLRR >Potri.003G081000.5.v4.1 pep chromosome:Pop_tri_v4:3:10814762:10819844:1 gene:Potri.003G081000.v4.1 transcript:Potri.003G081000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081000.v4.1 MTTIDESAGAKRWLPLEANPDVMNQFLWGLGLPLDEAECCDVYGLDEELLEMVPKPVLAVLFLYPITAQSEAERIQQESIKTDPSSKVYFMKQTVGNACGTIGLLHAVGNITSEIKLADGSFFDRFFKSTANMDPLERARFLENDREMEDAHSVAATGGDTEATENVDTHFICFTCVDGKLFELDGRKSGPISHGASSPGSLLQDAAKVIQGMIEKNPGSLNFNVIAISKRA >Potri.007G135100.1.v4.1 pep chromosome:Pop_tri_v4:7:14743227:14744097:-1 gene:Potri.007G135100.v4.1 transcript:Potri.007G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135100.v4.1 MNSHLHHQSQSHGNHALKPRKKRLARDQLRLLETSFNANQTLKAEHKIELASQLGLTSRQVEIWYQNRRARNKNNAIEHDYKNVQLELGNVMTENTRLEKQVSTLKYELNKVQQMILFGSTTSASALASVSGYSDEQANSTSPGNMICNWRDAGNDEIFPVEELYTCLTGSGTQLWPLS >Potri.003G065500.1.v4.1 pep chromosome:Pop_tri_v4:3:9280248:9284171:-1 gene:Potri.003G065500.v4.1 transcript:Potri.003G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065500.v4.1 MASRAHEQALAAMLSHLALSFDGAILGAALAYAAVRSFLNFTANSKSLAKIRKAPTLSVSDLRSLLQNHDQDHQDQEQKLVIVRGQVEAKSAVDGSGKKSSRDSNVLLSHESGDKAVILQRTQTCIYNEWKGFFGWTSDLRSIFGRSLKEQETTFLRTVPFTLVEGGQWPQSDYVIVNMDGSSHPLPLTMVYHQLQPIVASRYTFIQALFGHEYPVGVLHEEKILPLGKCISAVGICNSKDGIPEIKSCKELPYFLADMTKDEMVADLAFKAKILLWSGIVLGSLSIGVLGFAVMRNWNKWKAWRQRRSQQPIHTTSDEDVSQIDDNEDAGDVPEGQLCVICLMRRRRAAFIPCGHLACCHTCAVSVESEVSPKCPLCRQAVRNSIRIFEC >Potri.T002668.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:298080:299794:1 gene:Potri.T002668.v4.1 transcript:Potri.T002668.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002668.v4.1 MLAALLDDFRLMFLWFGDNFKDNSPYRVLPSCPLLLCVFGFVLCFLCLFLLTLFFWVDFFGSLPPFLTVYNFSGFLAFFLALPPFFFFRCSSPFYKPSRLPPISPAFAGLLSSTNEIVGERRGPRLD >Potri.T002668.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:298236:298745:1 gene:Potri.T002668.v4.1 transcript:Potri.T002668.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002668.v4.1 MLAALLDDFRLMFLWFSFCSETISRIILLTVSCLLVLSFSVCSSPFYKPSRLPPISPAFAGLLSSTNEIVGERRGPRLD >Potri.001G208600.1.v4.1 pep chromosome:Pop_tri_v4:1:21314246:21316766:-1 gene:Potri.001G208600.v4.1 transcript:Potri.001G208600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208600.v4.1 MDTDLSLKIDAESEVEEDGDEERRHGKKGGGNHQEEEVDGQNIQDTSEAAGATTTRGGGGGGGGGAEDDSSLLENMKTEELSGLQMEISRMKEENKVLRRTVEKTMKDYHDLRMRFASFQQNMDQKKDPQISLGLNANDNKAVQEVPKAIIPRQSGSSYIQRHQAAASTKGDTVGEGELGLSLRLQITSTSQQEREEDMEENNKEDQTANHAPTISQNNNNNNKNNNQRTDLGGAGITAHGASLANRKARVSVRARCQAATMNDGCQWRKYGQKIAKGNPCPRAYYRCTVSPGCPVRKQVQRCLEDMSILITTYEGTHNHPLPVGATAMASTASAAASFMLLNSSNPLSDGMITTGQANSLPYHAWNPQYSSNFRSINPNDPSKGIVLDLTHDRDRSLLQYPMMASSSQYSSSSASHNQYPSSFSNWMQSRSSSYQNSAANVHGSNFAGHRVQEEKLLMAENVTAIASDPKFRVAVAAAITSLINKENSSSHPIEPSLISRDGERGSPTCKQQQQQQQQLSGP >Potri.005G168402.1.v4.1 pep chromosome:Pop_tri_v4:5:17319005:17319541:1 gene:Potri.005G168402.v4.1 transcript:Potri.005G168402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168402.v4.1 MFKIEIFQLLGRSSGFQVASTHRPCTKGLWLWSAPLKRTALDGTQYNLLLLDSEGIDAYDQTVSAFTLSR >Potri.011G014701.1.v4.1 pep chromosome:Pop_tri_v4:11:1337287:1338274:1 gene:Potri.011G014701.v4.1 transcript:Potri.011G014701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G014701.v4.1 METVSAQIQPSIFKIIFMDGIFNFVVCVLDEDEMLRWFHEKKEEDKWLVQNEFTEKFSFKISSPGTHQICGLNLFTRFCVTSEYSFYDAFHIEIRNNTSGLSMHCQAYLLPTRYKRGPVREIQSLKHGKLGVGDPTFDDGDDVSISVLPHDLAIQVKAIGVQWLHEEERKDDDILSKDDVINAHNSSDDDEDAAHVAK >Potri.001G013800.13.v4.1 pep chromosome:Pop_tri_v4:1:965180:969435:-1 gene:Potri.001G013800.v4.1 transcript:Potri.001G013800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013800.v4.1 MDYELSDSSGTDDDLPPTHRNRFQSGARTAGNGRSAVGGAASQPRLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDMIRRIREWRKANGIQPSMPSTAQPSHDPIPSPTVSGSRKKQKTSQSVASLSMVVPSPVLHPSMQPSTSALRHGPPPGSGNKKPKSSMQQRSTGLSSRAQAANRGSSGVFATNDLIGKKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEGEEPGLFRRGGRPGPGRGNKKAIARGGAVVTAGRGRGTTKGQSKKDFPLIQNGIGKKAMGDIEILHTNTLIKEVEKVFGASHPDPLEIEKAKKALEEQEQALVNAIARLEEASDGESGNN >Potri.001G013800.14.v4.1 pep chromosome:Pop_tri_v4:1:965211:968178:-1 gene:Potri.001G013800.v4.1 transcript:Potri.001G013800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013800.v4.1 MIRRIREWRKANGIQPSMPSTAQPSHDPIPSPTVSGSRKKQKTSQSVASLSMVVPSPVLHPSMQPSTSALRHGPPPGSGNKKPKSSMQQRSTGLSSRAQAANRGSSGVFATNDLIGKKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEGEEPGLFRRGGRPGPGRGNKKAIARGGAVVTAGRGRGTTKGQSKKDFPLIQNGIGKKAMGDIEILHTNTLIKEVEKVFGASHPDPLEIEKAKKALEEQEQALVNAIARLEEASDGESDEGEHPFPRVQSMDQDRGWRKRSYDEIVGEGRGIEGSDGNKMARNGRIVSSDQHDENYDM >Potri.001G013800.10.v4.1 pep chromosome:Pop_tri_v4:1:965209:969435:-1 gene:Potri.001G013800.v4.1 transcript:Potri.001G013800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013800.v4.1 MDYELSDSSGTDDDLPPTHRNRFQSGARTAGNGRSAVGGAASQPRLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDMIRRIREWRKANGIQPSMPSTAQPSHDPIPSPTVSGSRKKQKTSQSVASLSMVVPSPVLHPSMQPSTSALRHGPPPGSGNKKPKSSMQQRSTGLSSRAQAANRGSSGVFATNDLIGKKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEGEEPGLFRRGGRPGPGRGNKKAIARGGAVVTAGRGRGTTKGQSKKDFPLIQNGIGKKAMGDIEILHTNTLIKEVEKVFGASHPDPLEIEKAKKALEEQEQALVNAIARLEEASDGESADEGEHPFPRVQSMDQDRGWRKRSYDEIVGEGRGIEGSDGNKMARNGRIVSSDQHDENYDM >Potri.001G013800.7.v4.1 pep chromosome:Pop_tri_v4:1:965174:969557:-1 gene:Potri.001G013800.v4.1 transcript:Potri.001G013800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013800.v4.1 MDYELSDSSGTDDDLPPTHRNRFQSGARTAGNGRSAVGGAASQPRLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDMIRRIREWRKANGIQPSMPSTAQPSHDPIPSPTVSGSRKKQKTSQSVASLSMVVPSPVLHPSMQPSTSALRHGPPPGSGNKKPKSSMQQRSTGLSSRAQAANRGSSGVFATNDLIGKKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEGEEPGLFRRGGRPGPGRGNKKAIARGGAVVTAGRGRGTTKGQSKKDFPLIQNGIGKKAMGDIEILHTNTLIKEVEKVFGASHPDPLEIEKAKKALEEQEQALVNAIARLEEASDGESDEGEHPFPRVQSMDQDRGWRKRSYDEIVGEGRGIEGSDGNKMARNGRIVSSDQHDENYDM >Potri.001G013800.12.v4.1 pep chromosome:Pop_tri_v4:1:965173:969483:-1 gene:Potri.001G013800.v4.1 transcript:Potri.001G013800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013800.v4.1 MDYELSDSSGTDDDLPPTHRNRFQSGARTAGNGRSAVGGAASQPRLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDMIRRIREWRKANGIQPSMPSTAQPSHDPIPSPTVSGSRKKQKTSQSVASLSMVVPSPVLHPSMQPSTSALRHGPPPGSGNKKPKSSMQQRSTGLSSRAQAANRGSSGVFATNDLIGKKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEGEEPGLFRRGGRPGPGRGNKKAIARGGAVVTAGRGRGTTKGQSKKDFPLIQNGIGKKAMGDIEILHTNTLIKEVEKVFGASHPDPLEIEKAKKALEEQEQALVNAIARLEEASDGESGNN >Potri.001G013800.11.v4.1 pep chromosome:Pop_tri_v4:1:965209:969517:-1 gene:Potri.001G013800.v4.1 transcript:Potri.001G013800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013800.v4.1 MDYELSDSSGTDDDLPPTHRNRFQSGARTAGNGRSAVGGAASQPRLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDMIRRIREWRKANGIQPSMPSTAQPSHDPIPSPTVSGSRKKQKTSQSVASLSMVVPSPVLHPSMQPSTSALRHGPPPGSGNKKPKSSMQQRSTGLSSRAQAANRGSSGVFATNDLIGKKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEGEEPGLFRRGGRPGPGRGNKKAIARGGAVVTAGRGRGTTKGQSKKDFPLIQNGIGKKAMGDIEILHTNTLIKEVEKVFGASHPDPLEIEKAKKALEEQEQALVNAIARLEEASDADEGEHPFPRVQSMDQDRGWRKRSYDEIVGEGRGIEGSDGNKMARNGRIVSSDQHDENYDM >Potri.001G013800.1.v4.1 pep chromosome:Pop_tri_v4:1:965173:969441:-1 gene:Potri.001G013800.v4.1 transcript:Potri.001G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013800.v4.1 MDYELSDSSGTDDDLPPTHRNRFQSGARTAGNGRSAVGGAASQPRLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDMIRRIREWRKANGIQPSMPSTAQPSHDPIPSPTVSGSRKKQKTSQSVASLSMVVPSPVLHPSMQPSTSALRHGPPPGSGNKKPKSSMQQRSTGLSSRAQAANRGSSGVFATNDLIGKKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEGEEPGLFRRGGRPGPGRGNKKAIARGGAVVTAGRGRGTTKGQSKKDFPLIQNGIGKKAMGDIEILHTNTLIKEVEKVFGASHPDPLEIEKAKKALEEQEQALVNAIARLEEASDGESDEGEHPFPRVQSMDQDRGWRKRSYDEIVGEGRGIEGSDGNKMARNGRIVSSDQHDENYDM >Potri.001G013800.9.v4.1 pep chromosome:Pop_tri_v4:1:965208:969429:-1 gene:Potri.001G013800.v4.1 transcript:Potri.001G013800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013800.v4.1 MDYELSDSSGTDDDLPPTHRNRFQSGARTAGNGRSAVGGAASQPRLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDMIRRIREWRKANGIQPSMPSTAQPSHDPIPSPTVSGSRKKQKTSQSVASLSMVVPSPVLHPSMQPSTSALRHGPPPGSGNKKPKSSMQQRSTGLSSRAQAANRGSSGVFATNDLIGKKVWTRWPEDNHFYEAVITDYNPVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEGEEPGLFRRGGRPGPGRGNKKAIARGGAVVTAGRGRGTTKGQSKKDFPLIQNGIGKKAMGDIEILHTNTLIKEVEKVFGASHPDPLEIEKAKKALEEQEQALVNAIARLEEASDDEGEHPFPRVQSMDQDRGWRKRSYDEIVGEGRGIEGSDGNKMARNGRIVSSDQHDENYDM >Potri.002G182700.1.v4.1 pep chromosome:Pop_tri_v4:2:14507159:14509599:-1 gene:Potri.002G182700.v4.1 transcript:Potri.002G182700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182700.v4.1 MSDGGQWKPVVGMVVVNFAFAIVNVLFKKILDEGTNSMVIATYRLSTSAIFLAPVSYYWERKSRPRLTASIFCHLFLGALFGLTLTQYLFLKGLEYISATFACAFLNTVPVNTFILALLFGIEKASMTSKAGRTKVLGALICMGGAVLLIFYKGIPLTNSHSKAATTDILNHADTMISGKKRQRWVVGSILSLAGCFTWSLWFLIQAKISKSYPFQYSSTALMSFLGAVQSAILSLSIERNFSMWILRTKLEIISVLYAGIIGSGLCYVGMSWCVKRRGPVFTSAFTPFTQIFAAMLDFSILHEQIYLGSVLGSVLVILGLYILLWGKSIEAGDCGEKQAHLAREEEHRDTEAQIPATISKSNP >Potri.011G149000.1.v4.1 pep chromosome:Pop_tri_v4:11:17629555:17631862:1 gene:Potri.011G149000.v4.1 transcript:Potri.011G149000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149000.v4.1 MADCNEWKPFIAMITVDFAFSIVNILLKKVLDEGINHLVLITYRLSISALFLGPIGYFWERGSRPKLTFRISCYLFLSAIVGASLTQYFFLIGIQYTSATFACAFVNMVPVVTFIMALPFKMETVHIKSNSGKAKTLGALVCVAGAILLTVYRGAPLFNHSPNQAVTRAMDHGLKLSHARRAERWTFGCIALLAGTLLWSSWFVLQSHIGRRYPCQYSSTAIMSFFGAIQSAVLCLSTKRSLSIWVLKGKIEIITVLYAGMIGSGLCYVGMSWCVKKRGPVFTAAFSPLVQIMAAMLDVPVLHEELYLGSLLGSIFVIIGLYILLWGKNKEMQNHATRVAQEAEEVKEQEPPVQVITVSFDSRCH >Potri.011G149000.2.v4.1 pep chromosome:Pop_tri_v4:11:17629555:17631862:1 gene:Potri.011G149000.v4.1 transcript:Potri.011G149000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149000.v4.1 MADCNEWKPFIAMITVDFAFSIVNILLKKVLDEGINHLVLITYRLSISALFLGPIGYFWERGSRPKLTFRISCYLFLSAIVGASLTQYFFLIGIQYTSATFACAFVNMVPVVTFIMALPFKMETVHIKSNSGKAKTLGALVCVAGAILLTVYRGAPLFNHSPNQAVTRAMDHGLKLSHARRAERWTFGCIALLAGTLLWSSWFVLQSHIGRRYPCQYSSTAIMSFFGAIQSAVLCLSTKRSLSIWVLKGKIEIITVLYAGMIGSGLCYVGMSWCVKKRGPVFTAAFSPLVQIMAAMLDVPVLHEELYLGRTKKCRIMQQE >Potri.008G108000.6.v4.1 pep chromosome:Pop_tri_v4:8:6844784:6849150:1 gene:Potri.008G108000.v4.1 transcript:Potri.008G108000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108000.v4.1 MAGAVVNCVNIRLNAQAIAFLLGHSKSEVVMVDQEFFTLVEEALKIWEGNEKNFKPPLLVVIGDKSCDPKSLEYALGRGAIEYEKFLESGDPEFDWKPPEDEWQSIALGYTSGTTSSPKGVVLSHRGAYLMCLSNPVIWGMDEGAIYLWTLPMFHCNGWCFTWTLAALCVKSICLRQVTAKAIYSAIAYAGVSHFCAAPVVLNTIINASKEETILPLPRLVHVMTAGAAPPPSVLFSMSEKGFRVTHTYGLSETYGPSTVCAWKPEWDSLPPIKQARLNARQGVRYVGLERLDVVDTKTMKPVPADGKTMGEIVMRGNVVMKGYLKNPKANEEAFANGWFHSGDLGVKNPDGYIEIKDRSKDIIISGGENISSLEVENVLYMHPAIYEVSVVARLHERWGESPCAFVTLKPEMEKSDKQQLIDDIMKFSRSNMPAYWVPRSIVFGPLPKTATGKIQKHVLRAKAREMGPIKESKL >Potri.008G108000.5.v4.1 pep chromosome:Pop_tri_v4:8:6844130:6848475:1 gene:Potri.008G108000.v4.1 transcript:Potri.008G108000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108000.v4.1 MGKTRDIDDLPKNPANYMSLTPLWFLERAATVHPTRTSIVHESVQYTWQETYQRCCRFASALSNRSLGLGRTVAVIAPNVPALYEAHFGVPMAGAVVNCVNIRLNAQAIAFLLGHSKSEVVMVDQEFFTLVEEALKIWEGNEKNFKPPLLVVIGDKSCDPKSLEYALGRGAIEYEKFLESGDPEFDWKPPEDEWQSIALGYTSGTTSSPKGVVLSHRGAYLMCLSNPVIWGMDEGAIYLWTLPMFHCNGWCFTWTLAALCVKSICLRQVTAKAIYSAIAYAGVSHFCAAPVVLNTIINASKEETILPLPRLVHVMTAGAAPPPSVLFSMSEKGFRVTHTYGLSETYGPSTVCAWKPEWDSLPPIKQARLNARQGVRYVGLERLDVVDTKTMKPVPADGKTMGEIVMRGNVVMKGYLKNPKANEEAFANGWFHSGDLGVKNPDGYIEIKDRSKDIIISGGENISSLEVENVLYMHPAIYEVSVVARLHERWGESPCAFVTLKPEMEKSDKQQLIDDIMKFSRSNMPAYWVPRSIVFGPLPKTATGKIQKHVLRAKAREMGPIKESKL >Potri.017G121500.1.v4.1 pep chromosome:Pop_tri_v4:17:12696893:12702781:1 gene:Potri.017G121500.v4.1 transcript:Potri.017G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121500.v4.1 MAEAVTSALVSTILGNLNTLVHEELGLVFGIQTEFEKLKRTFMTVQAVLRDAEEKQWKDEAIRTWLKHLKDAAYDADDVLDEFAIEAQRRRQRGGLKNRVRSSFSLDQNPLVFRLKMARKVKKVTEKLDAIADEKNKFILTEGVGENEADRFDWRITSSLVNESEIFGRDKEKEELISLLLANSDDLSVCAICGMGGLGKTTLAQLVYNDASVKGHFDLSIWVCVSVDFDIRRLSRAIIESIEGNPCAIQELDTLQRRLQEKLIGRRFLLVLDDVWDHYHEKWNALKDALRVGARGCAVIITTRLKQVADTMATIPVHLMGRLSEDDSWLLFERLAFGMRRREEYVHLESIGKAIVNKCSGVPLALKALGSFMRFKRNEREWLSVKESEIWNLPDEGGTIKAALKLSYNNLPPHLKQCFGFCCMFPKDYVMEKDQLVKLWMANGFIDPEGQMDLHETGYETFDDLVGRSFFQEVKEGGLGNITCKMHDLFHDLAKSVMTGECYLIEKNRRPRIPQTVRHITFLDKSLCYYYDKALVKGKSLRSLITIQENYSPNEQTSVAPLLKVSAQKKLRTLDLSNFEFEKLPEPIGNLQHLRYLDVSNSSIQKLPESISSLQYLQTLNLSYCSLLYMLPKRMKDMKSLMYLDLTGCDALQCMPSGMGQLTCLRKLGMFIVGTEAGHHIGELQRLNYIGGELSIKDLGNVQGLTDAQNANLMRKTNLQSLSLSWREDDSSKISEANSEDVLCALEPHSNMKKLEISGYRGSKFPDWMMELRLPNLVEISLESCMNCEHLPPFGKLRFLKHLQLKRMDTVKCIGSEMHGDGENPFPSLERLTLGPMMNLEEWETNSMGGREIFTCLDELQIRKCPKLVELPIIPSVKYLTIEDCAVTLLRSVVNFTSITSLRIEGFDELAVLPDGLLQNHTCLQSLTFGSMGSLRSLSNQLNNLSSLKSLGFLFCDKLESLPEGVQNLNSLEMLGICAMMPKMTTLPGLPSSLAELHIVGCLELTSISEGLQHLTALKDLYLAGCVKLNSLPENIQHLTSLSRLRIHGCSNLMSLPEGIRNLEMLREFEIADCPNLERQCKREKGKDWPKIAHIPTIIINAQLIQSSET >Potri.017G121500.2.v4.1 pep chromosome:Pop_tri_v4:17:12697366:12700554:1 gene:Potri.017G121500.v4.1 transcript:Potri.017G121500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121500.v4.1 MARKVKKVTEKLDAIADEKNKFILTEGVGENEADRFDWRITSSLVNESEIFGRDKEKEELISLLLANSDDLSVCAICGMGGLGKTTLAQLVYNDASVKGHFDLSIWVCVSVDFDIRRLSRAIIESIEGNPCAIQELDTLQRRLQEKLIGRRFLLVLDDVWDHYHEKWNALKDALRVGARGCAVIITTRLKQVADTMATIPVHLMGRLSEDDSWLLFERLAFGMRRREEYVHLESIGKAIVNKCSGVPLALKALGSFMRFKRNEREWLSVKESEIWNLPDEGGTIKAALKLSYNNLPPHLKQCFGFCCMFPKDYVMEKDQLVKLWMANGFIDPEGQMDLHETGYETFDDLVGRSFFQEVKEGGLGNITCKMHDLFHDLAKSVMTGECYLIEKNRRPRIPQTVRHITFLDKSLCYYYDKALVKGKSLRSLITIQENYSPNEQTSVAPLLKVSAQKKLRTLDLSNFEFEKLPEPIGNLQHLRYLDVSNSSIQKLPESISSLQYLQTLNLSYCSLLYMLPKRMKDMKSLMYLDLTGCDALQCMPSGMGQLTCLRKLGMFIVGTEAGHHIGELQRLNYIGGELSIKDLGNVQGLTDAQNANLMRKTNLQSLSLSWREDDSSKISEANSEDVLCALEPHSNMKKLEISGYRGSKFPDWMMELRLPNLVEISLESCMNCEHLPPFGKLRFLKHLQLKRMDTVKCIGSEMHGDGENPFPSLERLTLGPMMNLEEWETNSMGGREIFTCLDELQIRKCPKLVELPIIPSVKYLTIEDCAVTLLRSVVNFTSITSLRIEGFDELAVLPDGLLQNHTCLQSLTFGSMGSLRSLSNQLNNLSSLKSLGFLFCDKLESLPEGVQNLNSLEMLGICAMMPKMTTLPGLPSSLAELHIVGCLELTSISEGLQHLTALKDLYLAGCVKLNSLPENIQHLTSLSRLRIHGCSNLMSLPEGIRNLEMLREFEIADCPNLERQCKREKGKDWPKIAHIPTIIINAQLIQSSET >Potri.007G126900.1.v4.1 pep chromosome:Pop_tri_v4:7:14229547:14237726:1 gene:Potri.007G126900.v4.1 transcript:Potri.007G126900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126900.v4.1 MDSEDDMMDANDVESVDDGFFSGEIEDDDYSDGDYYDNDDVDDDDDGPDYDFMAEAVDDTDDLSFRFQQSYTVLKEEDILNRQEDDVTRVSTVLSITRVAASILLRHYNWSVSKVHDAWFADEDAVRKSVGLLDKQVVQFSNARELTCGICFESIPCDKIISAACGHPFCNTCWSGYISTTINDGPGCLMLRCPDPSCRAAVGQDMINLLAPGGDKEKYSRYLLRSYIEDNRKTKWCPAPGCEYAIDFAAGSGSFDVSCLCSHSFCWNCAEEAHRPVDCGTVTKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDESERRREMAKNSLERYTHYYERWASNQLSRQKALVDLHQMQTVHLEKLSDIHCTPESQLKFIAEAWLQIVECRRVLKWTYAYGFYLHEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQQFLAADGPSKEFDEFRTKLAGLTSVTKNYFENLVRALENGLADVDSHGACSKTTSSKNASVSKGKGGKGKGGKTGGSSKNGDD >Potri.013G042600.1.v4.1 pep chromosome:Pop_tri_v4:13:2935772:2938163:1 gene:Potri.013G042600.v4.1 transcript:Potri.013G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042600.v4.1 MEEVEEVHKAAIESCNRVIGLLCQQKDQVQGRNLMVETRETVFKFKRVISLLSTGLGHGRVRKMKKLRPSLPQNIFLDSPNCKTVLSPKPLQMVPPNFLETPLTDMDAKSKPSIQISQKMLLENPVLELNSKIRPPVQIMQTKPPQNFQLPQQHQQIQRMHFQQQQQQMKYQADRVYSRSNGGINLKFDGSTCTPTMSSTRSFISSLSMDGAVSTFDGDSFHLIGMPHSSDHISQQTRRRCSGRGEDGNAKCSSSGKCHCSKRRKLRVKRSIKVPAISNKVADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERCLEDPSMLIVTYEGEHNHSRLISSQSAHT >Potri.016G034600.1.v4.1 pep chromosome:Pop_tri_v4:16:1994097:1997036:1 gene:Potri.016G034600.v4.1 transcript:Potri.016G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034600.v4.1 MGAHQWIAMAVLCATFFQICRAVNSSADDKLLSLPGQPRVSFQQYAGYVTVDENQDRALFYYFVEAETDPASKPLVLWLNGGPGCSSVGAGAFSEHGPFRPSGGGSLVRNHYSWNKEANMLYLESPAGVGFSYAANQSFYDLVNDTITVQDNFVFLQNWFLKFPEYKNRDLFITGESYAGHYVPQLADLIVKSGLKFNLKGIALGNPLLEFSTDLNSEGDFYWSHGLISNPTYELLSAVCNTSQLLRERIGNSLSASCSKVSDQLNAEIPNAIDPYDVTANVCLSFGASLLGVQNNPLTPRFRLFSSAESLQEALSQQKAQESIDPCVQEETFVYLNRKDVQESFHAKLVGTPKWTFCSGVVNYDLRNLEIPTIDVVGSLVNSGVRVLVYSGDQDSVIPFTGSRTLVEGLAKKLGLNATVPYTPWFEDKQVGGWTQVYGDILTFSTIRGGSHMAPFSSPGRSLALFEAFLSGKPLV >Potri.001G336300.1.v4.1 pep chromosome:Pop_tri_v4:1:34454591:34458420:-1 gene:Potri.001G336300.v4.1 transcript:Potri.001G336300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336300.v4.1 MGDTTCVMQPFSYAAGISNDAKEGNPIHALGQSISFGRFMSDSLSWEKWSSFSHNRYVEEAEKFSRPGSVAQKKAFFEAHYRNLAARKAAALLEQANAEANNVQEPENEGGIHDKTTQDSLTVATNSQEAGDREEVHVQQVNCEASFVADDNTRTSNVDMERFESSNVEEVEPSAENEILVENCVKNETLNQIVKVDNKEEVKEMELSVSKQMEKPLLKDFMSCKDDAASMSKKKPAVSSSKSSIYDKASKLPSTPAKPAPSVRAKKENTATPISKKSALESVERRKPTPKSTHKSMNFTPAREFNRITSSIIRKIDNSRVGSHSKSSKDCPTPSRTPMMMVSIAESKHPLATPQSEKRRAKTPLHPSTSGSKTVRSKWHFLPKDCSMFMTSSRNRSQSPSASIPFSFRTEERAARRKEKLEEKFNAYQAQKVQLQVTLKEKAETELKRLRQSLCFKARPLPDFYKQRVAPNNQMEKVPLTHSESPEPGRKMTPSKIRSASQLPQWSSLKNSGSKDAMQKKSDNPRSLASRLKASPHENTSPNIQHE >Potri.019G126901.1.v4.1 pep chromosome:Pop_tri_v4:19:15009737:15010780:-1 gene:Potri.019G126901.v4.1 transcript:Potri.019G126901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126901.v4.1 MPELLFPCLYHGDRFSPMILTNHLQSCSSTNLTNMICFFLTAHRNTRMIKH >Potri.001G395700.3.v4.1 pep chromosome:Pop_tri_v4:1:42049108:42050624:-1 gene:Potri.001G395700.v4.1 transcript:Potri.001G395700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395700.v4.1 MASPNPVKILDICEVAAAYDSTKSATETILSPTFFELTYLRFPPSECLCFFKLTDSNPTFFHSVIFPSLKKSLSHALLHFLPIVGSLTWPPESSRPIFVYHPNNDSVSVTLAECNGDFDRLIGNGIHEAVESHPYAPQFVATETRSPLVVLQVTLFPNKGFCIGMAMHHAIFDGKSASMFLRAWAYTCKYIVEKGEAPRLLPAEITPSFEWKSIQDSKGLEEAYINLWATMGKRLESGSDSNPKSVKPLTKLEVQPNLLRATFHLSSEAIKKLRESVLRYHPEATDPTERLHLSTYVLACSYVSICLVKARGGDADREVYFSWSVDCRSRLDPPLPPNHFGDTIVAHHIVSKAGDFMQENGLAIIAEKLSASINGLEKGLLEGSSERFEMLLSLGPEVRLISVAGATGLKFYNTDFGWGNVDKVELTSIDRTGAFSVLDIGNGSDRRTEIGVALKRPEMESFASFFSNGVEVMPLKQI >Potri.001G395700.4.v4.1 pep chromosome:Pop_tri_v4:1:42049063:42049911:-1 gene:Potri.001G395700.v4.1 transcript:Potri.001G395700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395700.v4.1 MGKRLESGSDSNPKSVKPLTKLEVQPNLLRATFHLSSEAIKKLRESVLRYHPEATDPTERLHLSTYVLACSYVSICLVKARGGDADREVYFSWSVDCRSRLDPPLPPNHFGDTIVAHHIVSKAGDFMQENGLAIIAEKLSASINGLEKGLLEGSSERFEMLLSLGPEVRLISVAGATGLKFYNTDFGWGNVDKVELTSIDRTGAFSVLDIGNGSDRRTEIGVALKRPEMESFASFFSNGVEVMPLKQI >Potri.003G163701.1.v4.1 pep chromosome:Pop_tri_v4:3:17311252:17312662:1 gene:Potri.003G163701.v4.1 transcript:Potri.003G163701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163701.v4.1 MSLKSIPPSLKTHENNNKAQIESVHLISLSKEGKIKEAREFLKQMEEAGNSVSPRSYRCLLEACGKFKSLLDGRLIHEVIQRTVKVPFGLAENPALSMLYILVFNLYASFRKWKEAAGVRKMMTQRSLRKEVSCSWITVKGKVHQFISLPGRKEQPLVHSERFAIAFGLISTPSNAPVVLFKNLRACKDRHDFGKQVSLITGREIIVRDSFSFHHFKLGDCSCNDYW >Potri.010G086400.1.v4.1 pep chromosome:Pop_tri_v4:10:11249335:11250545:1 gene:Potri.010G086400.v4.1 transcript:Potri.010G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086400.v4.1 MINIFSPFPLHNPNKILIPIEKIKKFLLSLTLIASFVSLFIYSTHFTTNAPTNRETMKVHPLPKKRNNITIQFYDHRGDPLVSSSGGSQHKKLRRLPHIFSRVLELPFRSDADVSVEENPDCFRFVAETDNNIGEVRAHTVEIYPGVTKIVIRPNGYLDISPLDDLELDMWRFRLPETTRPELASAVLADGELIVTVPKGEVVEEEGNGNNNNGEFRGGMGNNSSNNNNARLVLVQ >Potri.004G155600.1.v4.1 pep chromosome:Pop_tri_v4:4:17652090:17654301:1 gene:Potri.004G155600.v4.1 transcript:Potri.004G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155600.v4.1 MNNPPETESTTTNGVQIRQSRRLPDFLQSVNLKYVKLGYHYLITHLLTLCFVPLIAFVIFQASQLNPNDIHQLWLHLQYNLVSVIICSVFLVFGATVYIVTRPRSVYLVDYACYKPPAKLQVKYEQFMEHSKLTGDFDDSSLEFQRRILERSGLGEETYAPEAMHYIPPRPSMAAAREEAEQVMFGALDVLFANTNIKPKDIGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIRTFNLGGMGCSAGVIAVDLAKDMLQIHRNTCAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKAKDRRRAKYKLVHVVRTHKGADDKAFKCVYQEQDDAGKTGVSLSKDLMAIAGGALKTNITTLGPLVLPISEQLLFFSTLVAKKLFNAKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPIHVEASRMTLHRFGNTSSSSIWYELAYTEAKGRVRRGNRVWQIAFGSGFKCNSAVWEALRYVKPSTSNPWEDCIDKYPVQIVM >Potri.014G053600.1.v4.1 pep chromosome:Pop_tri_v4:14:3433507:3435084:1 gene:Potri.014G053600.v4.1 transcript:Potri.014G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053600.v4.1 MSAPPDLFTTTPTDTASGGTKTSPFQNLNSSILIIILILSITLLVSVSLCFLLRVLNRRCLSHLSPSRTTATTTTITALSSSNRHSGNNNHRVSPETLEPSIADSLPLFSFSSIKRRSSSSPAISGGDCAVCLSKFEPDDLLRLLPLCCHAFHAHCIDTWVNSNQSCPLCRSPILISESDLAKAISDVEARGGDSFRLEIGSISRREHPAPNSASSLSTSAASFAAGEHRSSYSVGSFDYVVEEESEVTINQSHRRSMSKESGGGGGPTLSEQQILAAEVAAARGSWLREYVDRLSASLSSRTASFRGSGRFFTGSSRRSEIAGVGDYDLEANRVGEEISELFRWFSGV >Potri.018G106800.2.v4.1 pep chromosome:Pop_tri_v4:18:12544974:12550670:-1 gene:Potri.018G106800.v4.1 transcript:Potri.018G106800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106800.v4.1 MAATVVSLATSLTAAVVPSSFSSSSSFVFTKLWSTPCLRITFSVSPFSYSRGGGKLMAHSLARATLGLTQPANIDAPKIAISAKEIDVAEWKGDILAVGVTEKDMTKDDSKRFENSLLKKLDAKLGGHLSEASSEEDFTGKPGQSLVLRLPGLGSKRIGLIGLGQSATNTYAFRNFGEAIAAAAKTAQACNVGIVLASTGSTSNESKLNTASAIASGTVLGTYEDNRYKSDSKKPVLKSVDILGFGTGPELEKKLKHAEDVSSAVIFGKELVNSPANVLTPAVLAEEATKIASMYSDVLSATILNAEQCKELKMGSYLGVAAASANPPHFIHLCYKPPSGPTKAKLALVGKGLTFDSGGYNIKTGPGCFIELMKFDMGGSAAVLGAAKAIGQVKPPGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGAEKIIDLATLTGACVVALGPSIAGVFTPSDELANEVFAAAEASGEKLWRMPMEESYWESMKSGVADMVNTGGRQGGAITAALFLKQFVDEKVQWMHIDIAGPVWNEKKRTATGFGIQTLVEWVQQHSS >Potri.001G019890.1.v4.1 pep chromosome:Pop_tri_v4:1:1488789:1490522:1 gene:Potri.001G019890.v4.1 transcript:Potri.001G019890.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019890.v4.1 MQTQKARVLKMESRGKMGHLSPMIHAIAICLVATSVVAYEPYYYKSPPPPSQSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKYPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPSPSPPPPYMYASPPPPKHY >Potri.001G019890.2.v4.1 pep chromosome:Pop_tri_v4:1:1488793:1490523:1 gene:Potri.001G019890.v4.1 transcript:Potri.001G019890.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019890.v4.1 MESRGKMGHLSPMIHAIAICLVATSVVAYEPYYYKSPPPPSQSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKYPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPSPSPPPPYMYASPPPPKHY >Potri.002G152200.1.v4.1 pep chromosome:Pop_tri_v4:2:11661244:11662290:-1 gene:Potri.002G152200.v4.1 transcript:Potri.002G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152200.v4.1 MASMQKQELGEDEDMRTVDLRINSRELSNIRARPTNRKDCNDMSVALKEEPSTEERSPTPPLGVMPLAAVSMPLTTVTPLKRPSTKDRHTKVEGRGRRIRMPAACAARIFQLTRELGYKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPITTNSSSGLGQPNATKNRKRPANSEYVDVNDTVSVSASLAPVTTQQPQSQPQLPPQPQQTVAAVPQGLVPMWAIPSNAVVPGAFFMVPSIAGPSNQPQIFTFPAAATPLINISARPISSFVSSMQSNMAVAVPVSGSMTAKGISMMAPSSSSACTVSTTSTTTNRTPQMLRDFSLEIYDKQELQFMSRS >Potri.003G209832.2.v4.1 pep chromosome:Pop_tri_v4:3:20808107:20810266:1 gene:Potri.003G209832.v4.1 transcript:Potri.003G209832.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G209832.v4.1 METGIDKLIQSVKEELEISYAFSDTCCIYKVPERLRELNEKAYTPRVVSVGPIHHGKDMLKAMEDHKRMYLQEFIARSGVSVQDFIEYIKENETRLRNCYAETIGFSSKYFIKMILMDAAFVIMLLLKWTYTDFRGSRDSIFYPPYKNVDVRLDICLLENQLPFFILEELYRPSTIFGNSPKPTLIELTHRFFTVEFNSWAVGDILGKVDFSEVKHLVDFLTIYHQPTKQNPKEKLEVLTVPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEIPRLQLVDYSEIIIRNMQAFEQCHGLEYDYVGDYICLMGLFHGAGKDVEILVENRIIENWLLSNEEVVKLFYNLNIRNLVSPDGFLFKGLIKDLNAFCERPWNKWKATLKQNYFNTPWAAISASGAVILLILTVVQSVCSILEVV >Potri.003G209832.1.v4.1 pep chromosome:Pop_tri_v4:3:20808107:20810266:1 gene:Potri.003G209832.v4.1 transcript:Potri.003G209832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G209832.v4.1 METGIDKLIQSVKEELEISYAFSDTCCIYKVPERLRELNEKAYTPRVVSVGPIHHGKDMLKAMEDHKRMYLQEFIARSGVSVQDFIEYIKENETRLRNCYAETIGFSSKYFIKMILMDAAFVIMLLLKWTYTDFRGSRDSIFYPPYKNVDVRLDICLLENQLPFFILEELYRPSTIFGNSPKPTLIELTHRFFTVEFNSWAVGDILGKVDFSEVKHLVDFLTIYHQPTKQNPKEKLEVLTVPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEIPRLQLVDYSEIIIRNMQAFEQCHGLEYDYVGDYICLMGLFHGAGKDVEILVENRIIENWLLSNEEVVKLFYNLNIRNLVSPDGFLFKGLIKDLNAFCERPWNKWKATLKQNYFNTPWAAISASGAVILLILTVVQSVCSILEVV >Potri.014G104300.1.v4.1 pep chromosome:Pop_tri_v4:14:6983608:6985548:1 gene:Potri.014G104300.v4.1 transcript:Potri.014G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104300.v4.1 MDCVEMDKERLTAEMAFKNSSAVIKIRQRLPDFLQSVRLKYVMLGYGYSCNPAILMFLIVVPLFIATLVQFTGLELDRFYELWRTQSLHDFDVTTRLAGSAILLFLLAVFWTKRSKPVYLVDFACYKREDERKTSFEKILKKAKELGTIDDETLRFQRRISTRSGLGEETYISRGLSSQPPNLSMEEARYEAESVMFGALDALFSKTGVEPRDIGILIVNCSLFNPTPSLSSMIVNHYKLRTNIKSYNLGGMGCSAGLISIDLAKDLLKANPDTYAVVVSTENITLNWYFGNDRSMLLCNCIFRMGAAAVLLSNKARERARSKYQLVHTVRIHKGADDKNYRCVYQREDGKGNVGVSLARELMAVAGDALKTNITTLGPLVLPLSEQFKFFVTLVRRKLLKAKVKPYIPDFKLAFEHFCIHAGGRAVLDEMQKNLQLSDWHMEPSRMTLHRFGNTSSSSLWYELAYAEAKGRVSGGDRVWQIAFGSGFKCNSAVWKALRKIPAGESKGNPWIDSIDRYPVKVPVA >Potri.004G086100.1.v4.1 pep chromosome:Pop_tri_v4:4:7175142:7178537:1 gene:Potri.004G086100.v4.1 transcript:Potri.004G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086100.v4.1 MKPRPTLLVSIAFFVFFSLNSLSTVESDLASERAALVTLRDAVGGRSLLWNLSENPCQWVGVFCDQKNSTVVELRLPAMGFSGQLPVALGNLTSLQTLSLRFNALSGRIPADIGDIISLRNLYLQGNFFSGEIPEFLFKLQNLVRLNLANNNFSGVISPSFNNLTRLDTLYLEGNQLTGSIPDLNLPLDQFNVSFNNLTGRIPQKLSNKPASAFQGTFLCGGPLVSCNGTSNGGDKLSGGAIAGIVIGCVIGFLLILLILIFLCRRKRDKKEVGSKDVEQPRESEVEIPGEKAAGGSGNVSAGQTGAVVKSEAKSSGTKNLVFFGNAVRAFDLEDLLKASAEVLGKGTFGTAYKATLDVGMVVAVKRLKEVTVPEKEFREKIEVVGNMNHENLVPLRAYYYSRDEKLLVHDYMPMGSLSALLHGNKGSGRTPLNWETRSGIALGAARGIAYIHSQGPANSHGNIKSSNILLTTSFEARVSDFGLAHLAGPTPTPNRIDGYRAPEVTDARKVSQKADVYSFGILLLELLTGKAPTHTQLNDEGVDLPRWVQSVVREEWSAEVFDPELLRYQTVEEDMVQLLQLASDCTAQYPDNRPSMSEVRSRMEDLCRSSSQEHDIIDDKSS >Potri.016G040300.4.v4.1 pep chromosome:Pop_tri_v4:16:2501719:2506213:1 gene:Potri.016G040300.v4.1 transcript:Potri.016G040300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040300.v4.1 MSRALSLRRSLLHLHHHRPKPLSPTTPPPPKPIQNPFSPLNPARKFCTSPTPTPSTNSEVRKYIGYTALVIFCGAATYYSFPFPGNAKHKKAQLFRYAPLPEDLHTVSNWSGTHEVQTRNFYQPENIEQLEKLVKENNEKKARIRPVGSGLSPNGIGLARSGMVNLALMDKVLEVDKEKRRVRVEAGIRVQELVDGIKEYGLTLQNFASIREQQIGGIVQVGAHGTGARLPPIDEQVISMKLVTPAKGTIEISKEKDPELFYLARVGLGGLGVVSEVTLQCVERQELVEHTFISNMKDIKKNHKKLLSENKHVKYLHIPYTDAVVVVTCNPVSKWKGPPKYKPKYTTDEALQHVRDLFKESLEKYRIAAAKSSDDNEPDINELSFTELRDKLLALDPLNKDHVVKINRAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSENCFPAGTLAKPSLKDLEFIEKLKQLIEKEEIPAPAPIEQRWTARSQSSMSPASSSAEDDIFSWVISVNLSLY >Potri.016G040300.1.v4.1 pep chromosome:Pop_tri_v4:16:2501813:2506213:1 gene:Potri.016G040300.v4.1 transcript:Potri.016G040300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040300.v4.1 MSRALSLRRSLLHLHHHRPKPLSPTTPPPPKPIQNPFSPLNPARKFCTSPTPTPSTNSEVRKYIGYTALVIFCGAATYYSFPFPGNAKHKKAQLFRYAPLPEDLHTVSNWSGTHEVQTRNFYQPENIEQLEKLVKENNEKKARIRPVGSGLSPNGIGLARSGMVNLALMDKVLEVDKEKRRVRVEAGIRVQELVDGIKEYGLTLQNFASIREQQIGGIVQVGAHGTGARLPPIDEQVISMKLVTPAKGTIEISKEKDPELFYLARVGLGGLGVVSEVTLQCVERQELVEHTFISNMKDIKKNHKKLLSENKHVKYLHIPYTDAVVVVTCNPVSKWKGPPKYKPKYTTDEALQHVRDLFKESLEKYRIAAAKSSDDNEPDINELSFTELRDKLLALDPLNKDHVVKINRAEAEFWRKSEGYRVGWSDEILGFDCGGQQWVSENCFPAGTLAKPSLKDLEFIEKLKQLIEKEEIPAPAPIEQRWTARSQSSMSPASSSAEDDIFSWVGIIMYLPTMDARQRKEITEEFFHYRHLTQVELWDKYSAYEHWAKIEVPKDKDELAALRERLRRRFPVDAYNKARKELDPNKILSNNMLEKLFPLSETN >Potri.001G094700.1.v4.1 pep chromosome:Pop_tri_v4:1:7501008:7502086:1 gene:Potri.001G094700.v4.1 transcript:Potri.001G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094700.v4.1 MAGVSRIAVAVVALALASAFVLPVAQAQAPAPAPTSDGTSIDQGIAYVLMLVALVLTYLIHAADLSHSF >Potri.011G093801.1.v4.1 pep chromosome:Pop_tri_v4:11:12084745:12085824:-1 gene:Potri.011G093801.v4.1 transcript:Potri.011G093801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G093801.v4.1 MQYEYIHVFQCETKHSQVEVPILKVIHFQSFQVSRSPSLSVVQPRKSSTAYEDNEEQGV >Potri.005G180500.1.v4.1 pep chromosome:Pop_tri_v4:5:18665214:18668294:-1 gene:Potri.005G180500.v4.1 transcript:Potri.005G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180500.v4.1 MSIFEYNGSAIVAMVGKNCFAIASDRRLGVNLQTVATDFQRIYKIHDKVFVGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFASLVSAILYEKRFGPYFCQPVIAGLGDDDKPFICTMDFIGAKELAKDFVVAGSASESLYGACEALFKPDMEPEELFEVVSQALLASVDRDCLSGWGGHIYIVTPDEIREKILKGRMD >Potri.004G149200.1.v4.1 pep chromosome:Pop_tri_v4:4:17144193:17146793:1 gene:Potri.004G149200.v4.1 transcript:Potri.004G149200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149200.v4.1 MFPGMFMRKPDKAEALKQLKSHVAMFGAWVVVLRVTPYVLHYLSDEKDELKLEF >Potri.011G126600.11.v4.1 pep chromosome:Pop_tri_v4:11:15671428:15674753:1 gene:Potri.011G126600.v4.1 transcript:Potri.011G126600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126600.v4.1 MALVNNAKDHWAFLEEIEAPMWVDFTIEEKSNYQDVDDKWFHTSHPFHQCTSLRLKAAFAHSSERSMSSDFEFKGPSSPNIPSSVSRSRGKHYAGMKWGGGECDLSMNKKHPVKVLNDKSSRVNSEPSDEIKPKLSLANSKGTSRSKLSMVSGKSFTRNAKETDLKAKSGQGGSESSLNSGMAMVSDSNTSTVTFGSDHQARQGNMEVLSRGFDHTSGLLSAVRNGLRKSFVTRKASRVEINDENKQLRDRKSSSSKSSVGSSLKPGHDVKSSTITLMRNKEQTPDSRNVARMTEAARKKKKDSNMSKTSDVRVKEVFNSRKGAISNVSKSAPQEALKSKVQKQTIRVTALAEHRGNKQHSLPGTAKSKEKGKISSPGKGKHPTNAIRRVYLR >Potri.011G126600.10.v4.1 pep chromosome:Pop_tri_v4:11:15671425:15674623:1 gene:Potri.011G126600.v4.1 transcript:Potri.011G126600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126600.v4.1 MALVNNAKDHWAFLEEIEAPMWVDFTIEEKSNYQDVDDKWFHTSHPFHQCTSLRLKAAFAHSSERSMSSDFEFKGPSSPNIPSSVSRSRGKHYAGMKWGGGECDLSMNKKHPVKVLNDKSSRVNSEPSDEIKPKLSLANSKGTSRSKLSMVSGKSFTRNAKETDLKAKSGQGGSESSLNSGMAMVSDSNTSTVTFGSDHQARQGNMEVLSRGFDHTSGLLSAVRNGLRKSFVTRKASRVEINDENKQLRDRKSSSSKSSVGSSLKPGHDVKSSTITLMRNKEQTPDSRNVARMTEAARKKKKDSNMSKTSDVRVKEVFNSRKGAISNVSKSAPQEALKSKVQKQTIRVTALAEHRGNKQHSLPGTAKSKEKVRVSRLNKMVAPGKENVMGKMSLSQNCSRRGTKLNVPQKGDKTVLV >Potri.011G126600.5.v4.1 pep chromosome:Pop_tri_v4:11:15671335:15674834:1 gene:Potri.011G126600.v4.1 transcript:Potri.011G126600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126600.v4.1 MALVNNAKDHWAFLEEIEAPMWVDFTIEEKSNYQDVDDKWFHTSHPFHQCTSLRLKAAFAHSSERSMSSDFEFKGPSSPNIPSSVSRSRGKHYAGMKWGGGECDLSMNKKHPVKVLNDKSSRVNSEPSDEIKPKLSLANSKGTSRSKLSMVSGKSFTRNAKETDLKAKSGQGGSESSLNSGMAMVSDSNTSTVTFGSDHQARQGNMEVLSRGFDHTSGLLSAVRNGLRKSFVTRKASRVEINDENKQLRDRKSSSSKSSVGSSLKPGHDVKSSTITLMRNKEQTPDSRNVARMTEAARKKKKDSNMSKTSDVRVKEVFNSRKGAISNVSKSAPQEALKSKVQKQTIRVTALAEHRGNKQHSLPGTAKSKEKVRVSRLNKMVAPGKENVMGKMSLSQNCSRRGTKLNVPQKGDKTVLV >Potri.011G126600.6.v4.1 pep chromosome:Pop_tri_v4:11:15671334:15675765:1 gene:Potri.011G126600.v4.1 transcript:Potri.011G126600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126600.v4.1 MALVNNAKDHWAFLEEIEAPMWVDFTIEEKSNYQDVDDKWFHTSHPFHQCTSLRLKAAFAHSSERSMSSDFEFKGPSSPNIPSSVSRSRGKHYAGMKWGGGECDLSMNKKHPVKVLNDKSSRVNSEPSDEIKPKLSLANSKGTSRSKLSMVSGKSFTRNAKETDLKAKSGQGGSESSLNSGMAMVSDSNTSTVTFGSDHQARQGNMEVLSRGFDHTSGLLSAVRNGLRKSFVTRKASRVEINDENKQLRDRKSSSSKSSVGSSLKPGHDVKSSTITLMRNKEQTPDSRNVARMTEAARKKKKDSNMSKTSDVRVKEVFNSRKGAISNVSKSAPQEALKSKVQKQTIRVTALAEHRGNKQHSLPGTAKSKEKVRVSRLNKMVAPGKENVMGKMSLSQNCSRRGTKLNVPQKGDKTVLV >Potri.011G126600.9.v4.1 pep chromosome:Pop_tri_v4:11:15671440:15674671:1 gene:Potri.011G126600.v4.1 transcript:Potri.011G126600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126600.v4.1 MALVNNAKDHWAFLEEIEAPMWVDFTIEEKSNYQDVDDKWFHTSHPFHQCTSLRLKAAFAHSSERSMSSDFEFKGPSSPNIPSSVSRSRGKHYAGMKWGGGECDLSMNKKHPVKVLNDKSSRVNSEPSDEIKPKLSLANSKGTSRSKLSMVSGKSFTRNAKETDLKAKSGQGGSESSLNSGMAMVSDSNTSTVTFGSDHQARQGNMEVLSRGFDHTSGLLSAVRNGLRKSFVTRKASRVEINDENKQLRDRKSSSSKSSVGSSLKPGHDVKSSTITLMRNKEQTPDSRNVARMTEAARKKKKDSNMSKTSDVRVKEVFNSRKGAISNVSKSAPQEALKSKVQKQTIRVTALAEHRGNKQHSLPGTAKSKEKVRVSRLNKMVAPGKENVMGKMSLSQNCSRRGTKLNVPQKGDKTVLV >Potri.011G126600.8.v4.1 pep chromosome:Pop_tri_v4:11:15671408:15674924:1 gene:Potri.011G126600.v4.1 transcript:Potri.011G126600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126600.v4.1 MALVNNAKDHWAFLEEIEAPMWVDFTIEEKSNYQDVDDKWFHTSHPFHQCTSLRLKAAFAHSSERSMSSDFEFKGPSSPNIPSSVSRSRGKHYAGMKWGGGECDLSMNKKHPVKVLNDKSSRVNSEPSDEIKPKLSLANSKGTSRSKLSMVSGKSFTRNAKETDLKAKSGQGGSESSLNSGMAMVSDSNTSTVTFGSDHQARQGNMEVLSRGFDHTSGLLSAVRNGLRKSFVTRKASRVEINDENKQLRDRKSSSSKSSVGSSLKPGHDVKSSTITLMRNKEQTPDSRNVARMTEAARKKKKDSNMSKTSDVRVKEVFNSRKGAISNVSKSAPQEALKSKVQKQTIRVTALAEHRGNKQHSLPGTAKSKEKVRVSRLNKMVAPGKENVMGKMSLSQNCSRRGTKLNVPQKGDKTVLV >Potri.018G111550.1.v4.1 pep chromosome:Pop_tri_v4:18:12902110:12904363:-1 gene:Potri.018G111550.v4.1 transcript:Potri.018G111550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111550.v4.1 MGIIITSLNLEHADQTARLRERGRQLRGDGVWGPASYQLQLLLGLGSNLNGVGKIGCLEVATMVIRAMISGSAACSNKYLCFDRSINLGTIPVHKVSECSLH >Potri.001G315500.1.v4.1 pep chromosome:Pop_tri_v4:1:32623044:32626031:-1 gene:Potri.001G315500.v4.1 transcript:Potri.001G315500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315500.v4.1 MAKLSFSLSAALILLVAMAFVIDTSQAGGEGSVKLQDCPEACDVRCSATSHKSACTFFCNYCCKKCLCVPSGTYGHKDECPCYRDMKTQEGKPKCP >Potri.002G219500.1.v4.1 pep chromosome:Pop_tri_v4:2:20986912:20992255:-1 gene:Potri.002G219500.v4.1 transcript:Potri.002G219500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219500.v4.1 MASSSRWRRLLSSSPFRYQLTRRHLSSSFGPPPPVTPRRVVVTGIGMVTPLGCGVQTTWKRLIEGECGVRAITPEDLKMNSSFDTETQLYTFDQLTSKVAAVVPCGTKTGEFNADLWLNSKEHRSVSRFIGYALCAADEALKDAKWAPTEQEQKERTGVSIGGGIGSITDVLEASQLICEKRLRRLSPFFIPRILINMASGHVSMKYGFQGPNHAAVTACATGAHSIGDAARMIQFGDSNVMVAGGTESSIDALSIAGFCRSRALTTKHNSMPLEASRPFDCDRDGFVIGEGSGVLVLEELEHAKERGAKIYAEIRGYGMSGDAYHITQPHNDGRGAVLAMTRALKQSGLHPNQVDYINAHATSTPLGDAVEAIAIKTVFSEHATSGALAFSSTKGAIGHLLGAAGAVEAIFAILAVHHGIAPLTLNLNKPDPLFKDNFMPLTASKEMPVRAALSNSFGFGGTNASLLFTSV >Potri.018G022100.1.v4.1 pep chromosome:Pop_tri_v4:18:1608889:1609249:1 gene:Potri.018G022100.v4.1 transcript:Potri.018G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022100.v4.1 MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Potri.001G291600.2.v4.1 pep chromosome:Pop_tri_v4:1:30358827:30360463:-1 gene:Potri.001G291600.v4.1 transcript:Potri.001G291600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291600.v4.1 MDPMTRPAPASTTTSLNVPFPSSSKKGTGVRPWLLLDSTGQAQVVEVGKHAIMCRTCLRARDLRILGPLLSYLSTVLGRESAIVINFEHIKAIITAHEVLLLNSRDPSVTPFVEELQGRLMFHYHTTKAQVLLILFFFFF >Potri.001G288900.1.v4.1 pep chromosome:Pop_tri_v4:1:30090942:30093252:-1 gene:Potri.001G288900.v4.1 transcript:Potri.001G288900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288900.v4.1 MDPCPFVRILVGNLALKFPVSSKPSLLSVQCFCKIKLKNFPTQKATIPLVNNKKQQENQKPEGNPLSSSLAACYSLDKTQIDDLLSCKKPKNLEIEVYIRDNGVTCGLKDGKMLGRVTVPLEMRKSESRPCVMHNEWIDIGENKKGEPTQFYFCVRVEPDPRYAFQFGGDPECSPQVFQVQGSVRQAVFTCKFSLRNPGDRNLVSMPSMTEPAPSRNWLPSLEADKDPSAKERKGWSITIHDLSGSPVAMASMVTPFVPSPGSNHVSRSNPGAWLILHPAQGTWKPWGRLEAWRERNANALGYHFELLHDSVSASPTTTPLVNCVINAKNGGKFTIDTTNSVSTPVSSPHSSCDFGSGPGSGSWSGSEFGLFSPFVYKGFVMQSSVNNGNDQSSKPEVEIGVQHVNCTEDAAAFVALAAAVDLSVDACKSFTRKLRKELRQSQSFDV >Potri.019G097800.1.v4.1 pep chromosome:Pop_tri_v4:19:13508257:13513412:1 gene:Potri.019G097800.v4.1 transcript:Potri.019G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097800.v4.1 MAVFFLFLSIFMFAYIWRFIIRNRHISPSPSTPSTLTTAQPQVIKYHDVFLSFRGEDTRVGFTSHLHAALDRKQILTFIDYQLVRGDEISASLLRTIEEAKLSVIVISENYASSKWCLEELAKINIERRRNNRQIVIPVFYKVDPSHVRNQTGSFGDAFARLIRNKALTLEEVQSFREALTDAASLSGWNLGNSDLESEFIEKIVGDVLEKLHAMSSSHTTGLFGIDVRVSKVESLLNINSPDFRIVGIWGMGGIGKTTIAKVVCDKVRSRFDGIFFGNFRQQSDLQRSFLSQLLGQEILNRGLLSFRDIFVRNRLCRIKVFIVMDDVDNSMALEEWRDLLDGRNSSFGPGSKVLITSRDKQVLKNVVDQTYKVVGLNYEDAIQLFSSKALKNCTPTIDQRDLIKQIARHVQGNPLALKVLGSSFYGKSIEEWRSALNKLAQDPQIEKALRISYDGLDSEQKSIFLDIAHFFIIWKQDKATRILDCVYGRSVKFDISTLIDKCLITTDNRLNSVDGNERLEMHDLLEEMAFNIVRAESDFPGERSRLCHPPDFVQVLEENKGTQKIKGISLEVSMLSRHIHLKSDTFAMMDGLRFLNFDHDGSSQEYKMHLPPTGLEYLPNELRYLRWDEFPSKSLPPSFRAEHLVELRLPKSKLVRLWTGVKDVGNLRTIDLSESPYLTELPDLSMAKNLVCLRLGRCPSLTEVPSSLQYLDKLEEIDLNRCYNLRSFPMLDSKVLRKLSIGLCLDLTTCPTISQNMVCLRLEQTSIKEVPQSVTGKLKVLDLNGCSKMTKFPEISGDIEQLRLSGTIKEMPSSIQFLTRLEMLDMSGCSKLESFPEITVPMESLRYLFLSKTGIKEIPSISFKHMTSLNTLNLDGTPLKELPSSIQFLTRLYELNLSGCSKLESFPEITVPMKSLEVLNLSKTGIKEIPSSLIKHLISLRCLNLDGTPIKALPELPSLLRKLTTRDCASLETTISIINFSSLWFGLDFTNCFKLDQKPLVAVMHLKIQSGEEIPDGSIQMVLPGSEIPEWFGDKGVGSSLTIQLPSNCHQLKGIAFCLVFLLPLPSQDMPCEVDDDSQVLVFFDYHVKSKNGEHDGNDEVVFGSRLRFALLFSLKTCDSDHMILHYELELVKHLRKYSGNEVTFKFYHLEVDASGRKLGRDIRRPFKLKSWGVYLHFDENLLAYTDLP >Potri.001G118400.1.v4.1 pep chromosome:Pop_tri_v4:1:9629071:9631249:-1 gene:Potri.001G118400.v4.1 transcript:Potri.001G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118400.v4.1 MLNTVTGLWSRWWDASNERQKLFLIMAVTIITMFWFLWNNIKPKKAVAAPFPPGPRGLPLVGYLPFLGNDLHKKFTELAGVYGPIYKLRLGNKLCIVVSSPPLAKEIVRDKDTIFADRDPPISARVFTYGGNDIAWSSYSPRWRKMRKVLVREMLGNSLDASYALRKQEVKKAIRKVYNKIGNPIDFGELAYVTSLNTVLRILLGGGTIQGEKWTNFVAQFRCHAAEMMVLLGKPNVSDLFPVLARYDLQGIERRSKRLAVTLDEFLESAIEQRLNEEKARMDVREDLLQILLDLNKHEDTATSITMDQLKAMLMDIFVGGTDTTTTMIEWTMARLMQHQEVRQKVYQELQEVVGANNTVEEFHLPKLRYLDAVMKETFRLHPALPLLVPRFSGQSCTLGGYTVPKGTTVFLNVYAIHRDPNLWDNPLEFRPERFLNDDTSTFDYSGNNFQYLPFGSGRRVCAGLRLAEKMLMYLQASLLHSFEWKLPVGGVLELSDKYGIVVKKKKPLIVIPTPRLCNLELY >Potri.004G140150.1.v4.1 pep chromosome:Pop_tri_v4:4:16248378:16248747:1 gene:Potri.004G140150.v4.1 transcript:Potri.004G140150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140150.v4.1 MRSDGKSEEAWKSCLRFVGLVVLGCSLLYERETGSEESVWAWVWSYRTLCHTCHGICLVGCGGGKWKTNGEGVFVCWSNEIRETNRDPPIQSLKSNSCLHQRGKLRDSLVSLSILN >Potri.017G017800.7.v4.1 pep chromosome:Pop_tri_v4:17:1306199:1308317:1 gene:Potri.017G017800.v4.1 transcript:Potri.017G017800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017800.v4.1 MATTLITRKDHHRPRAVPNLHNPVLDSEKRSRTSYMAQQQQGGDYYHRNQLGLQAKKPRVDRFNNNEAVNFGPKIQSFPQFEGKKVEINYGVSGFDARVQAREPELSNNGVLTPNFRPLPQLQDQKAEGNNGFFMPSLQAWKPEGNNFVVSRPYPPLSQPFQGKKLGFYNGFSVPNYQVSRHFQDSSLKVDNAVFNPNHAMQQDQEKKSEVNKGGFMPNLNLFSSKSLGGPLYSMPNPAIVKPMLNQNVTNMCLLNSQSHSSLASSVNKNGGFTPNPPVMQLNLVNLSATNMPLNSQAQVASCYSDLLTTLVGNGVVSLAKHEVQSNNANSCKFNCAPKLLETSNQLVANGCPGLRNSLMSSLIKKEDDFRGGVVFDANQLKVRHESVIRSLYADMPRQCSTCGIRFKFQEDHSKHMDWHVIKKRTIKISKQRSISRMWLDGVDMWLAARADVAAVPGFAKADAPVEKEKEEDWMSSTDENKVCALCREPFEEFYSHEADDWIFRGAVYLNAEKKSAAESMDRSRLGPAVHAKCRPASK >Potri.017G017800.5.v4.1 pep chromosome:Pop_tri_v4:17:1306192:1308319:1 gene:Potri.017G017800.v4.1 transcript:Potri.017G017800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017800.v4.1 MATTLITRKDHHRPRAVPNLHNPVLDSEKRSRTSYMAQQQQGGDYYHRNQLGLQAKKPRVDRFNNNEAVNFGPKIQSFPQFEGKKVEINYGVSGFDARVQAREPELSNNGVLTPNFRPLPQLQDQKAEGNNGFFMPSLQAWKPEGNNFVVSRPYPPLSQPFQGKKLGFYNGFSVPNYQVSRHFQDSSLKVDNAVFNPNHAMQQDQEKKSEVNKGGFMPNLNLFSSKSLGGPLYSMPNPAIVKPMLNQNVTNMCLLNSQSHSSLASSVNKNGGFTPNPPVMQLNLVNLSATNMPLNSQAQVASCYSDLLTTLVGNGVVSLAKHEVQSNNANSCKFNCAPKLLETSNQLVANGCPGLRNSLMSSLIKKEDDFRGGVVFDANQLKVRHESVIRSLYADMPRQCSTCGIRFKFQEDHSKHMDWHVIKKRTIKISKQRSISRMWLDGVDMWLAARADVAAVPGFAKADAPVEKEKEEDWMSSTDENKVCALCREPFEEFYSHEADDWIFRGAVYLNAEKKSAAESMDRSRLGPAVHAKCRPASK >Potri.017G017800.1.v4.1 pep chromosome:Pop_tri_v4:17:1306189:1308317:1 gene:Potri.017G017800.v4.1 transcript:Potri.017G017800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017800.v4.1 MATTLITRKDHHRPRAVPNLHNPVLDSEKRSRTSYMAQQQQGGDYYHRNQLGLQAKKPRVDRFNNNEAVNFGPKIQSFPQFEGKKVEINYGVSGFDARVQAREPELSNNGVLTPNFRPLPQLQDQKAEGNNGFFMPSLQAWKPEGNNFVVSRPYPPLSQPFQGKKLGFYNGFSVPNYQVSRHFQDSSLKVDNAVFNPNHAMQQDQEKKSEVNKGGFMPNLNLFSSKSLGGPLYSMPNPAIVKPMLNQNVTNMCLLNSQSHSSLASSVNKNGGFTPNPPVMQLNLVNLSATNMPLNSQAQVASCYSDLLTTLVGNGVVSLAKHEVQSNNANSCKFNCAPKLLETSNQLVANGCPGLRNSLMSSLIKKEDDFRGGVVFDANQLKVRHESVIRSLYADMPRQCSTCGIRFKFQEDHSKHMDWHVIKKRTIKISKQRSISRMWLDGVDMWLAARADVAAVPGFAKADAPVEKEKEEDWMSSTDENKVCALCREPFEEFYSHEADDWIFRGAVYLNAEKKSAAESMDRSRLGPAVHAKCRPASK >Potri.017G017800.2.v4.1 pep chromosome:Pop_tri_v4:17:1306193:1308292:1 gene:Potri.017G017800.v4.1 transcript:Potri.017G017800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017800.v4.1 MPSLQAWKPEGNNFVVSRPYPPLSQPFQGKKLGFYNGFSVPNYQVSRHFQDSSLKVDNAVFNPNHAMQQDQEKKSEVNKGGFMPNLNLFSSKSLGGPLYSMPNPAIVKPMLNQNVTNMCLLNSQSHSSLASSVNKNGGFTPNPPVMQLNLVNLSATNMPLNSQAQVASCYSDLLTTLVGNGVVSLAKHEVQSNNANSCKFNCAPKLLETSNQLVANGCPGLRNSLMSSLIKKEDDFRGGVVFDANQLKVRHESVIRSLYADMPRQCSTCGIRFKFQEDHSKHMDWHVIKKRTIKISKQRSISRMWLDGVDMWLAARADVAAVPGFAKADAPVEKEKEEDWMSSTDENKVCALCREPFEEFYSHEADDWIFRGAVYLNAEKKSAAESMDRSRLGPAVHAKCRPASK >Potri.017G017800.3.v4.1 pep chromosome:Pop_tri_v4:17:1306189:1308289:1 gene:Potri.017G017800.v4.1 transcript:Potri.017G017800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017800.v4.1 MATTLITRKDHHRPRAVPNLHNPVLDSEKRSRTSYMAQQQQGGDYYHRNQLGLQAKKPRVDRFNNNEAVNFGPKIQSFPQFEGKKVEINYGVSGFDARVQAREPELSNNGVLTPNFRPLPQLQDQKAEGNNGFFMPSLQAWKPEGNNFVVSRPYPPLSQPFQGKKLGFYNGFSVPNYQVSRHFQDSSLKVDNAVFNPNHAMQQDQEKKSEVNKGGFMPNLNLFSSKSLGGPLYSMPNPAIVKPMLNQNVTNMCLLNSQSHSSLASSGLLTSSSFVLSNSLNDVKNMYINSAPLHSGFLTNSVLCNNAVNKNGGFTPNPPVMQLNLVNLSATNMPLNSQAQVASCYSDLLTTLVGNGVVSLAKHEVQSNNANSCKFNCAPKLLETSNQLVANGCPGLRNSLMSSLIKKEDDFRGGVVFDANQLKVRHESVIRSLYADMPRQCSTCGIRFKFQEDHSKHMDWHVIKKRTIKISKQRSISRMWLDGVDMWLAARADVAAVPGFAKADAPVEKEKEEDWMSSTDENKVCALCREPFEEFYSHEADDWIFRGAVYLNAEKKSAAESMDRSRLGPAVHAKCRPASK >Potri.017G017800.6.v4.1 pep chromosome:Pop_tri_v4:17:1306192:1308316:1 gene:Potri.017G017800.v4.1 transcript:Potri.017G017800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017800.v4.1 MATTLITRKDHHRPRAVPNLHNPVLDSEKRSRTSYMAQQQQGGDYYHRNQLGLQAKKPRVDRFNNNEAVNFGPKIQSFPQFEGKKVEINYGVSGFDARVQAREPELSNNGVLTPNFRPLPQLQDQKAEGNNGFFMPSLQAWKPEGNNFVVSRPYPPLSQPFQGKKLGFYNGFSVPNYQVSRHFQDSSLKVDNAVFNPNHAMQQDQEKKSEVNKGGFMPNLNLFSSKSLGGPLYSMPNPAIVKPMLNQNVTNMCLLNSQSHSSLASSVNKNGGFTPNPPVMQLNLVNLSATNMPLNSQAQVASCYSDLLTTLVGNGVVSLAKHEVQSNNANSCKFNCAPKLLETSNQLVANGCPGLRNSLMSSLIKKEDDFRGGVVFDANQLKVRHESVIRSLYADMPRQCSTCGIRFKFQEDHSKHMDWHVIKKRTIKISKQRSISRMWLDGVDMWLAARADVAAVPGFAKADAPVEKEKEEDWMSSTDENKVCALCREPFEEFYSHEADDWIFRGAVYLNAEKKSAAESMDRSRLGPAVHAKCRPASK >Potri.010G061500.1.v4.1 pep chromosome:Pop_tri_v4:10:9117793:9119137:1 gene:Potri.010G061500.v4.1 transcript:Potri.010G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061500.v4.1 MGEVKLHGTWNGPYSWRVIWALKPKGISYEYIEEDLRDKSPMLLQHNPVHKKIPMLVHDGKPNCESMVILAYIEETWPQNPL >Potri.010G085000.1.v4.1 pep chromosome:Pop_tri_v4:10:11182082:11183864:-1 gene:Potri.010G085000.v4.1 transcript:Potri.010G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085000.v4.1 MTIIIFPAVLISMLLLLSAAEPSPASPPGCSDELVAFSPCLGYVSAPPNRVTDTATSRCCDAFSKAFNSSDGNCFCYLIKQPLIFGFPLDESRVIALPSACSLSSPVSLDSLCSGSPALPPLRGRTASMPGPDDHHPLAPSLPPESVDGSPASPVSPLAPASHSSAEKHNSNGWFLPGVLTSIVIFNLYCCNHRMLTA >Potri.011G101401.1.v4.1 pep chromosome:Pop_tri_v4:11:12870722:12877977:1 gene:Potri.011G101401.v4.1 transcript:Potri.011G101401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101401.v4.1 MTVTVSSILVSIVYVAVVRWAWRVLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKESSDMLKEARTKPIGLSDALLPRVMPFLHQLVKDYGKNSFMWVGPKPRVNIMNPDQIRDVFMKINEYKKPSHPLLKLIACGLASHEGEKWAKHRKIINPAFHQEKLKLMIPAFYESCSGMINKWEKLVSVDEGPCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTNLTAHVIIKAIVIPGYRFLPIPSNRRLKAIDKEIKASLNALINKREKAMSAGEDAKKDLLGLLLESNFREIQEHGNTKSVGMSIEDVIDECKIFYFAGQETTLVLLTWTMILLAQYPNWQARAREEVVQVFGNKKPHFDGLNHLKVVTMILYEVLRLYPPVITLNRDVHEEIKLGNLLLPAGVQVSLPTILLHQDHELWGDDASEFKPERFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRYSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.016G023300.1.v4.1 pep chromosome:Pop_tri_v4:16:1295888:1298049:1 gene:Potri.016G023300.v4.1 transcript:Potri.016G023300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023300.v4.1 MASDKSENCPAWAAKDESGVLSPYKFKRRDVGKDDISVKITHCGICYADVLLTRNKFGKSLYPVVPGHEIVGTVQEVGSDVQRFKIGDHVGVGTFINSCRDCEYCNDGLEVHCANGIITTINSVDVDGTITKGGYSSFIVVHERYCHRIPDGYPLALAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGMNVTVFSTSISKKEEALNLLGADNFVVSSDTEQMKALDKSLDFIIDTASGEHPFDPYITTLKTAGVLALVGAPSEMKLTPLKLLLGMISISGSATGGTKHTQEMLDFCGTHKIYPKVEVIPIQSVNEALERLIKNDVKYRFVIDIENSLK >Potri.006G125300.4.v4.1 pep chromosome:Pop_tri_v4:6:10007798:10010507:-1 gene:Potri.006G125300.v4.1 transcript:Potri.006G125300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125300.v4.1 MGFSVGFVIGLVGVLILSHAAYSTSQYRGLLKITEDEFSGPPFSVVVELIVGLVLCMWAALTVPGNFLSIHPHSDENRMVSLPDNLDFMIFNHRGKVFVTEIDMKLKH >Potri.001G471400.1.v4.1 pep chromosome:Pop_tri_v4:1:49455102:49460405:1 gene:Potri.001G471400.v4.1 transcript:Potri.001G471400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471400.v4.1 MAMLLKKVWESVTNRRSSCPSSHVDSVISPPGYTKSPPPSSLGAFDRLPIDVVFQIVKLVGPKDAARLSVVCKSWRSLVSDDRLWIYFLQSYHDTWNSVFFAETNLQKFSSHIAKSSFMRIYRQRVQVPGAVIVDGGSGYYKFGWSKYACPSGRSATFSEFVNIEFPSYFRFRNFFATIYSRMQVKASAQPIVLSLPLRNYDDTESARASRRQLKEAIYTALFDMDVPAVCAVNQATLALYAAQRTSGIVVNIGFQVTSVVPILHGKVMRTVGVEVVDVGGLKLTEFLREQMQRNNINFRSLYTARTLKEKLCYIAADYEVELSKDTPASLEVPGEGWFTLSKERFTTGEVLFQPHIAGVHTKGLHEAVALCMDHCHAIGFTGDDTWFKTVVLSGGTALLPGLVGRLEKELHGLLPSSISKGIRVVSPAYGADTAWFGAKLIGNLSTFPESWCVTNKQFRRKPRLNLVW >Potri.016G069700.14.v4.1 pep chromosome:Pop_tri_v4:16:5012403:5016413:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.10.v4.1 pep chromosome:Pop_tri_v4:16:5012431:5017285:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.18.v4.1 pep chromosome:Pop_tri_v4:16:5012383:5016364:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.17.v4.1 pep chromosome:Pop_tri_v4:16:5012382:5016386:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.12.v4.1 pep chromosome:Pop_tri_v4:16:5012380:5016999:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.13.v4.1 pep chromosome:Pop_tri_v4:16:5012380:5016561:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.15.v4.1 pep chromosome:Pop_tri_v4:16:5012367:5016411:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.11.v4.1 pep chromosome:Pop_tri_v4:16:5012380:5017044:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.9.v4.1 pep chromosome:Pop_tri_v4:16:5012315:5017030:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.19.v4.1 pep chromosome:Pop_tri_v4:16:5012351:5016327:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.16.v4.1 pep chromosome:Pop_tri_v4:16:5012380:5016411:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.016G069700.20.v4.1 pep chromosome:Pop_tri_v4:16:5012382:5016327:-1 gene:Potri.016G069700.v4.1 transcript:Potri.016G069700.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069700.v4.1 MATYFHGNPDFQAAAASAEGLQTLVLMNPTYVQYSNTPPPPPSNNFVFLNAAASAAASNSLSPQPHLSGHAPPNTQQFVGIPLDPNSHDASTLHGLIPRIHYNLYNPIDPPPTARDTPRAQQGLSLSLSSQKQGCFGSQAQTVSGEDIRVSGGSVSSGSGVTNGVLGMQGVLLSSKYLKAAQELLDEVVSVNNNDIKSELSKRSNGIGSNTSNKVVGESLAGEGSGGGEVSGKRGPELSTAERQEIQMKKAKLISMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSLLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYTEEIKEQEQDGSEDKTSKSDHNEDSASRSVLQEKGSASENQTRNFKSLDNSPDAPSEISMPTASTSPVGGNVRNQSGFSFIGSSELEGITQRSPKKRRSNDFIQSSTSVPSINMDIKPGEANDEQVSVKFGSERQSRDGYSFMGGQTNFIGGFGQYPIGEIGRFDGEQFTPRFSGNGVSLSLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEFGAINTSTPHSSTAYESIDIQNRKRFLAQLLPDFVA >Potri.003G214800.1.v4.1 pep chromosome:Pop_tri_v4:3:21113526:21115525:1 gene:Potri.003G214800.v4.1 transcript:Potri.003G214800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PO5 MMVDKAMHPLLASLFLVIWFGGSLPYAYAQLTPTFYDGTCPNVSTIIRGVLVQALQTDPRIGASLIRLHFHDCFVDGCDGSILLDNTDTIESEKEAAPNNNSARGFDVVDDMKAAVENACPGIVSCADILAIAAEESVRLAGGPSWTVPLGRRDSLIANRSGANSALPSPFASLDVLKSKFAAVGLNTSSDLVALSGAHTFGRAQCSSFNLRLYNFSGSGNPDPTLNTTYLAELQQLCPQAGNESESVVTNLDPTTPDTFDGNYFSNLQTNEGLLRSDQELFSTTGADTIDIVNNFSSNQTAFFESFVVSMIRMGNISPLTGTDGEIRLNCRRVNDNSTGSNALLVSSI >Potri.014G044700.1.v4.1 pep chromosome:Pop_tri_v4:14:2877054:2878119:-1 gene:Potri.014G044700.v4.1 transcript:Potri.014G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044700.v4.1 MKPTRKPARKFPKQSPDHPPIQKSSSHDESKIIVRLRTNSVVTKSDVKKSEAASSAPTPSDNVVGPSTVLQQQQQEKQEDVAKSATGSRGNKKGMTIKKQGFSISLTKAEIELDFLQLSGVKPKRKPRKRDKDVQTALDSTFPGLKLQIITSRDYRSKHK >Potri.003G186700.3.v4.1 pep chromosome:Pop_tri_v4:3:19185404:19195242:1 gene:Potri.003G186700.v4.1 transcript:Potri.003G186700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186700.v4.1 MEKKKYPIGPENYVLYEEIGQGATASVHRALCVPFNEIVAIKILDFERDNADLRTISREVQTMILVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEELVIATILREVLKGIDYLHHQGYIHRDVKAGNILVDGRGAVKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLNGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDLERDKKFSKSFKQMIASCLVKDPSKRPSANKLLKHSFFKQARSNDYIVRKLLDGLPDLGDRIRALKRKEEDMLAQQKMPDGEKEELSQNEYKRGLSDWNFNLEDVKAQASLIPDSEDPMTDNNLGESLNSLPTLDGVEKQSDTQNSSLGQVTEMMDDNDVSQNRAARRLPLVDSSLNIAKVRFVKSDDDSSTASPCHERHVSQNSSPGCDSLEGNAAERPAFNINGKPSEKLQNQPPNSSNINGATIVQDGDDGTSENPSKALHKPSGASSYELDEKAKPPVVQQRGRFKVTSENVDIEKALSPQLVLQKSYSMQVFTQHPGVSLPSISEAIPSTLSGHSQFPILLSVLQTNITQRDGILYLMRQISGGDTAGNQTIDGGWTTAQGVSTEKSLLEAAHDREKDLLHEIAELQRRLIRTQEELQKYKTENSQNKSQKAD >Potri.003G186700.2.v4.1 pep chromosome:Pop_tri_v4:3:19185404:19195242:1 gene:Potri.003G186700.v4.1 transcript:Potri.003G186700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186700.v4.1 MHNPLSFLFTPRHHHHHHREGKNKDLRKTMEKKKYPIGPENYVLYEEIGQGATASVHRALCVPFNEIVAIKILDFERDNADLRTISREVQTMILVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEELVIATILREVLKGIDYLHHQGYIHRDVKAGNILVDGRGAVKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLNGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDLERDKKFSKSFKQMIASCLVKDPSKRPSANKLLKHSFFKQARSNDYIVRKLLDGLPDLGDRIRALKRKEEDMLAQQKMPDGEKEELSQNEYKRGLSDWNFNLEDVKAQASLIPDSEDPMTDNNLGESLNSLPTLDGVEKQSDTQNSSLGQVTEMMDDNDVSQNRAARRLPLVDSSLNIAKVRFVKSDDDSSTASPCHERHVSQNSSPGCDSLEGNAAERPAFNINGKPSEKLQNQPPNSSNINGATIVQDGDDGTSENPSKALHKPSGASSYELDEKAKPPVVQQRGRFKVTSENVDIEKALSPQLVLQKSYSMQVFTQHPGVSLPSISEAIPSTLSGHSQFPILLSVLQTNITQRDGILYLMRQISGGDTAGNQTIDGGWTTAQGVSTEKSLLEAAHDREKDLLHEIAELQRRLIRTQEELQKYKTENSQNKSQKAD >Potri.003G186700.1.v4.1 pep chromosome:Pop_tri_v4:3:19185404:19191005:1 gene:Potri.003G186700.v4.1 transcript:Potri.003G186700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186700.v4.1 MHNPLSFLFTPRHHHHHHREGKNKDLRKTMEKKKYPIGPENYVLYEEIGQGATASVHRALCVPFNEIVAIKILDFERDNADLRTISREVQTMILVDHPNVLKSHCSFVSDHNLWVVMPYMSGGSCLHILKAAYPDGFEELVIATILREVLKGIDYLHHQGYIHRDVKAGNILVDGRGAVKLGDFGVSACLFDSGDRQRTRNTFVGTPCWMAPEVMEQLNGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDLERDKKFSKSFKQMIASCLVKDPSKRPSANKLLKHSFFKQARSNDYIVRKLLDGLPDLGDRIRALKRKEEDMLAQQKMPDGEKEELSQNEYKRGLSDWNFNLEDVKAQASLIPDSEDPMTDNNLGESLNSLPTLDGVEKQSDTQNSSLGQVTEMMDDNDVSQNRAARRLPLVDSSLNIAKVRFVKSDDDSSTASPCHERHVSQNSSPGCDSLEGNAAERPAFNINGKPRFLVYIYIVKSCKINHQIVQISMEQQSFKMEMMELLKILPKHYINHQEQAVMNLMKKQSRQLFSKEDDSKLLQKTLI >Potri.017G059800.5.v4.1 pep chromosome:Pop_tri_v4:17:4894346:4895031:-1 gene:Potri.017G059800.v4.1 transcript:Potri.017G059800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059800.v4.1 MEPKSFQYFCFLLVIFSLIIAQLSDRVSSKTNECNGSIAECSEEYEFLMPSDISKRFLEEKRKYISPGALKPNRPVCNGGASGQSYSSSCLPPPSNPPSRGCSKYYHCRSDN >Potri.005G237401.1.v4.1 pep chromosome:Pop_tri_v4:5:23533227:23533961:-1 gene:Potri.005G237401.v4.1 transcript:Potri.005G237401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237401.v4.1 MDSRLHIVLALLLLICVGAAQVKSQESLPQCLAACGQDIMKCAETCASKGPLEDAISCIETCSVDNFTCMYDCATTAVIPPSPPPVEH >Potri.004G224200.4.v4.1 pep chromosome:Pop_tri_v4:4:22892010:22892824:1 gene:Potri.004G224200.v4.1 transcript:Potri.004G224200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224200.v4.1 MSELGSERSKPWNIYTSSDPAPSQTGEIDREAPWKSFGTSMNAISFGFVATAILISMFLIMAIFEHLFRPNPSFSTPQEVTDGSSESRPLHKLGNPQTVPAAYASDFAVVMPGQQLPTYIAQPAPLPCPREKIYWPNHEQNLVFP >Potri.004G224200.5.v4.1 pep chromosome:Pop_tri_v4:4:22892010:22892318:1 gene:Potri.004G224200.v4.1 transcript:Potri.004G224200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224200.v4.1 MSELGSERSKPWNIYTSSDPAPSQTGEIDREAPWKSFGTSMNAISFGFVATAILISMFLIMAIFEHLFRPNPSFSTPQEVTDGSSESRPLHKLGNPQTVSPH >Potri.003G205800.1.v4.1 pep chromosome:Pop_tri_v4:3:20479154:20480720:1 gene:Potri.003G205800.v4.1 transcript:Potri.003G205800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205800.v4.1 MELPLSAPPLSSSQKPLLLPPPPPPEDQQHQKDLVFDSIRAIKVLGKGAMGTVFLVHNQETDPTAKNPFALKVVEKSTLHTKFDAERRARWEIQVLNQLSTPKTAHPFLPHLISSVETQEFLAWAVPFCPGGDLNVLRHRQNDHVFSPAVIRFYLAEIVCALDHLHEMGIVFRDLKPENILIQHSGHVTLTDFDLSRTLTRKTVRNLICNAAASGCHLITGNRIEQPQKKQQQQHRRNLTRWWFVNDNQQKKNGLKKAKSARVSPVSRRKLSFNNGERSNSFVGTEEYVSPEVVRGDGHEFAVDWWALGILSYEMLYGTTPFKGKNRKETFRNVLLKKPEFVGKRNDLTDLIERLLEKDPTQRLGYQRGACEIKEHGFFKGVRWDLLTEVLRPPFIPSREDGELTVTTGGVDIRKYFEDLRAPPPSMPPSPSSDCHRKLSEF >Potri.010G062300.1.v4.1 pep chromosome:Pop_tri_v4:10:9157279:9162981:1 gene:Potri.010G062300.v4.1 transcript:Potri.010G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062300.v4.1 MMTSISCKLSLPIISSSSSSSNSNSSKKYSAKVTREDWRQRSKPIPPGGIYPAKDHCSQCGLCDTYYVAHVKNACAFLGDGMSKIEGLEPVVHGRGRKADSFDEAYFGVHEELLYARKTKPVEGAQWTGIVTSIAIEMLKSGMVEAVICVQSDPEDRFSPRPVLARTPDEVLAAKGVKPTLSPNLNTLALVEAAGVKRLLFCGVGCQVQALRSVEHHLNLDKLYVLGTNCVDNGTREGLDKFLKAASDEPETVLHYEFMQDYKVHLKHLDGRIEEVPYFCLPANELVDVIAPSCYSCFDYTNALADLVVGYMGVPKYPGVSMTQHPQYITVRNERGREMIGLVKNLLEITPTINSGDRRPFVMETVNADDNAKLGKGPSQPLPKFIGNFIAFLLNLVGPKGLEFARYSLDYHTIRNYLYTNRTWGKDRADRHTPSYAKKIVESYNKNGQIDLMLQNK >Potri.004G200900.2.v4.1 pep chromosome:Pop_tri_v4:4:21111289:21114622:-1 gene:Potri.004G200900.v4.1 transcript:Potri.004G200900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200900.v4.1 MIKRRPFCHALYFKPKKGPITSTCAVSLDPQPIPNDHTSLCQSLVHDLLRRGLLSSAQQVVQRFIASSPTVHDAISAVEFASASGMDLGPGISGELIRKLVDLGHPLSAREFYHDLVVARGIEPDSNIVNSLVICLAKLGKLDDAVKLFDRHIGSGDCLVSNAACSTILKGFYEQDKFVEAFDYFVRISDANVKLGMWAYNVLIDGLCQQGYVGEAIEVLDIMCRITGLPPTLHMLKTLFYGLCKRGWSIEAEWIFEEMEAQGFFVDKVMYTSLMNAYGRDKKMKMALRVYFRMLKNGYDPDICTCNTLIYGFSKMGLFDKAWVLYNLMNDLGIQPNEVTYSIMIHNYCKKGKLDCAMSLLNSMAPCNLTPCVHCYTPIMVTLYKLNRCLEVDEWCERMLESGIVPDHVLFFVLMKNKPKGLGFELQLCLLMLQAIAKNGCGLDCSSLTNSDKINSTLALEQEIELLLREIARSDLNLGNVAGGIYVSALCEGGKTESALDCLENMVNAGCVPLLFTFNSLIKRLFQDGLSEDVKSLIEIMQNWGISPNLETYLIMVNEYCKQEDLALAFGILEQMDEMGLKPSVAIYDCIIACLSQQRRISEAETLFCRMLENGVDPDEVAYMTMINAYARNGKGVKALHLFEMMIKNAIQPSSYSYTALVAG >Potri.008G142940.1.v4.1 pep chromosome:Pop_tri_v4:8:9680990:9681584:1 gene:Potri.008G142940.v4.1 transcript:Potri.008G142940.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142940.v4.1 MAFFPLFLFLLLLCFPVGCCFVAPLEEKELLRRKWLLLLWGSVEAGLKRSCWIVGENLVVLGGSRRCLTVVRKVAVYFQTVEGESRERGTSGAGQQRAHGEQGTPSQGSLRCSHACAAPHS >Potri.011G103500.1.v4.1 pep chromosome:Pop_tri_v4:11:13144634:13145466:1 gene:Potri.011G103500.v4.1 transcript:Potri.011G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103500.v4.1 MEALWNLEDEWKLTTQEAVLLFVCTALAVIALCAAIMLKRKAQTKQRSVNQDPSTGSSKRWSEPEPGSNNWITIRRVLMESMRWSGASKWDEGSSGSGSGSGMLLPPPVLGLERCESSMGWQSPDSLSAVWQRPILMGEKCELPRYSGLILYDERGRLLDHSLTSSRKENIHEEKPAAVLRTTLKDLLLP >Potri.019G026000.1.v4.1 pep chromosome:Pop_tri_v4:19:3762754:3764201:-1 gene:Potri.019G026000.v4.1 transcript:Potri.019G026000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026000.v4.1 MRSVHFLLAFVLLTLASSIASASDPSPLQDFCVAINDPKAAVFVNGKFCKDPKLATANDFSFSGLNIPRDTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPDNRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPDVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.001G005500.1.v4.1 pep chromosome:Pop_tri_v4:1:369660:372066:-1 gene:Potri.001G005500.v4.1 transcript:Potri.001G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005500.v4.1 MKETNPGADPIGQNLIKLISNVCFSVFVFSVLIFTVIAITYQPPDPWLESAPALTKLFTQTENATFKIDDTVAKTGEDLQTEIARAVSPTADIKPITEEVIEKEEVEVSNMTLKSSGCEDLEVVNCSDPRVLITVEKFNLRLFKSIVFLDYQTPVNGSKQDECDVSWRFRNKKEKSWRKYRDFRRFKFAIGENCSYKIVHAGGWHSGINARRMRTRVNSTRSGGNNPRAAPLVRDDEINDTIPSLGSETNFRKGKYLYYSRGGDYCKGMNHYMWSFLCGLGEAMYLNRTFVMDLSICLAGNYNPDGKDQEGKDFRFYFDFEHLKEEASIVEEGEFLRGWKKWDRSHKKKVPVKKVVTHKMTPMQLRKDKSTVIWRQFDGQEPENYWYRVCEGQAAKYIQRPWYALWKSKRLMNIVTDISGRMDWDFDAVHVIRGEKAQNKVLWPHLDADTSPDALVAKLQGMIQPWRNLYIATNEPFYNYFDKLRSHFKVHLLDDYKELWGNTSDWYNETMLLNSGRPVEFDGYMRVAVDTEVLYRGKTRVETFYNLTSDCKDGINTC >Potri.001G005500.4.v4.1 pep chromosome:Pop_tri_v4:1:369533:372012:-1 gene:Potri.001G005500.v4.1 transcript:Potri.001G005500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005500.v4.1 MKETNPGADPIGQNLIKLISNVCFSVFVFSVLIFTVIAITYQPPDPWLESAPALTKLFTQTENATFKIDDTVAKTGEDLQTEIARAVSPTADIKPITEEVIEKEEVEVSNMTLKSSGCEDLEVVNCSDPRVLITVEKFNLRLFKSIVFLDYQTPVNGSKQDECDVSWRFRNKKEKSWRKYRDFRRFKFAIGENCSYKIVHAGGWHSGINARRMRTRVNSTRSGGNNPRAAPLVRDDEINDTIPSLGSETNFRKGKYLYYSRGGDYCKGMNHYMWSFLCGLGEAMYLNRTFVMDLSICLAGNYNPDGKDQEGKDFRFYFDFEHLKEEASIVEEGEFLRGWKKWDRSHKKKVPVKKVVTHKMTPMQLRKDKSTVIWRQFDGQEPENYWYRVCEGQAAKYIQRPWYALWKSKRLMNIVTDISGRMDWDFDAVHVIRGEKAQNKVLWPHLDADTSPDALVAKLQGMIQPWRNLYIATNEPFYNYFDKLRSHFKVHLLDDYKELWGNTSDWYNETMLLNSGRPVEFDGYMRVAVDTEVLYRGKTRVETFYNLTSDCKDGINTC >Potri.012G058550.1.v4.1 pep chromosome:Pop_tri_v4:12:5787511:5791154:1 gene:Potri.012G058550.v4.1 transcript:Potri.012G058550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058550.v4.1 MHPIFIGLEKCSICRTIQRIKRSHESALSLVEPTGKTRVSVGHVTSSEPPLCRPWDRGDLVRRLATFKSMTWFAKPKAQKLVSLCGWEPRALPYVVDCKDRSTQLVKDSDVRDSYHMVINGQNPSIRVHSVASEQSVEANEESGSCSGRHADPNADVLECKLCGARQDSANENHAGSRGVIGDSASNGALSSMHRPSDLSVTIVGGPLPTKQNFKATISLPVIGQNLRARLSYDSDFRDRIWQGQCSYSRGNQSSCLNFESSEGVAFRKENNSQMSSERTDITREPTFPETAGHDSAVQCSTQSPRNTVHVGAFRGNQASTSQVAWSDAIVPVGNGKRSESNSSEMIISSINHHGQQIPGADISGRKDPKQLALDKTLGFNPIRQRRHFCTWIVSTSSGPPGWQQTLSALERQKEFSLPSTNSPPSSSLIKVDDPIASVRKLFMSPIKRTKPTSGSS >Potri.005G192800.1.v4.1 pep chromosome:Pop_tri_v4:5:20041735:20044221:-1 gene:Potri.005G192800.v4.1 transcript:Potri.005G192800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192800.v4.1 MIIASQSPQLMKIFSMLLFLLSVLSLLSQPSDSTTILVDGFSEWKDPNVYIGDSIIFNHKYRYKLYIFQNQRAFSLCNFTQATLLTKPNSTSYTWHPSRPGFFYFTFNNGSLKSCNHDSQKVSIKVSPSPPPPVQLPPMASTPVPAPIPGDITASPPAWPYHPRDETASSPAPAPSGSAASSPMETVPTSTPDKSGGSGIPFINSNPAVPLPTGEIDSATIRPLSTSGHHRPVAVGLLGFHGPLICAAFLLPLL >Potri.010G130800.6.v4.1 pep chromosome:Pop_tri_v4:10:14718372:14724466:-1 gene:Potri.010G130800.v4.1 transcript:Potri.010G130800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130800.v4.1 MIVRLGFLVAASIAAFAAKQLHVKTAKSTDSSAKRSENGEASIEQHQIKGDDREQFTYFDDSIKEKDVEEEEEEEEVKLINSIFNHAQGTPPGMEDEDILPEFEDLLSGEIDYPLPGEKFDQAEKDKIYETEMANNASELECLRNLVRELEEREVKLEGELLEYYGLKEQESDVVELQRQLKIKTVEIDMLNITINSLQAERKKLQEEISHGASSKKELELARNKIKEFQRQIQLDANQTKGQLLLLKQQVSGLQAKEQEAVKKDAEVEKRLKAVKELEVEVVELKRKNKELQHEKRELIIKLGAAEAKLTSLSNLSETEMVAKVREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSGKVSARDLNKSLSPKSQERAKQLLLEYAGSERGQGDTDMESNYSHPSSPGSEDFDNTSIDSSSSRYSFSKKPNLIQKLKKWGRSKDDSSAFSSPSRSFSGVSPSRSSMSHRPRGPLESLMIRNASDTVAITSFGKMDQDAPDSPGDSLNSVASSFQVMSKSVEGVLDEKYPAYKDRHKLALEREKHIKEKAEKARAVKFSDSSNFQFGTKGEKVIPITLPAKLSQIKEKPVASGESSEQSSDGKDVDSQTVSKMKLAHTEKRAPRVPRPPPKSSAGAPVATNANPSGGVPPPPPGAPPPPPPPPGGPPRPPPPPGSLPRGAGSGDKVHRAPELVEFYQSLMKREAKKDTSSLISSTSNVSHARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEVRAASFSTIDDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLERQVTSFVDDPNLPCEAALKKMYKLLEKVENSVYALLRTRDMAVSRYREFGIPTNWLLDSGVVGKIKLSSVQLARKYMKRVASELDTMSGPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRVRSQMGEENKMEGS >Potri.010G130800.2.v4.1 pep chromosome:Pop_tri_v4:10:14718368:14724467:-1 gene:Potri.010G130800.v4.1 transcript:Potri.010G130800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130800.v4.1 MIVRLGFLVAASIAAFAAKQLHVKTAKSTDSSAKRSENGEASIEQHQIKGDDREQFTYFDDSIKEKDVEEEEEEEEVKLINSIFNHAQGTPPGMEDEDILPEFEDLLSGEIDYPLPGEKFDQAEKDKIYETEMANNASELECLRNLVRELEEREVKLEGELLEYYGLKEQESDVVELQRQLKIKTVEIDMLNITINSLQAERKKLQEEISHGASSKKELELARNKIKEFQRQIQLDANQTKGQLLLLKQQVSGLQAKEQEAVKKDAEVEKRLKAVKELEVEVVELKRKNKELQHEKRELIIKLGAAEAKLTSLSNLSETEMVAKVREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSGKVSARDLNKSLSPKSQERAKQLLLEYAGSERGQGDTDMESNYSHPSSPGSEDFDNTSIDSSSSRYSFSKKPNLIQKLKKWGRSKDDSSAFSSPSRSFSGVSPSRSSMSHRPRGPLESLMIRNASDTVAITSFGKMDQDAPDSPGDSLNSVASSFQVMSKSVEGVLDEKYPAYKDRHKLALEREKHIKEKAEKARAVKFSDSSNFQFGTKGEKVIPITLPAKLSQIKEKPVASGESSEQSSDGKDVDSQTVSKMKLAHTEKRAPRVPRPPPKSSAGAPVATNANPSGGVPPPPPGAPPPPPPPPGGPPRPPPPPGSLPRGAGSGDKVHRAPELVEFYQSLMKREAKKDTSSLISSTSNVSHARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEVRAASFSTIDDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLERQVTSFVDDPNLPCEAALKKMYKLLEKVENSVYALLRTRDMAVSRYREFGIPTNWLLDSGVVGKIKLSSVQLARKYMKRVASELDTMSGPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRVRSQMGEENKMEGS >Potri.010G130800.4.v4.1 pep chromosome:Pop_tri_v4:10:14718539:14726056:-1 gene:Potri.010G130800.v4.1 transcript:Potri.010G130800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130800.v4.1 MIVRLGFLVAASIAAFAAKQLHVKTAKSTDSSAKRSENGEASIEQHQIKGDDREQFTYFDDSIKEKDVEEEEEEEEVKLINSIFNHAQGTPPGMEDEDILPEFEDLLSGEIDYPLPGEKFDQAEKDKIYETEMANNASELECLRNLVRELEEREVKLEGELLEYYGLKEQESDVVELQRQLKIKTVEIDMLNITINSLQAERKKLQEEISHGASSKKELELARNKIKEFQRQIQLDANQTKGQLLLLKQQVSGLQAKEQEAVKKDAEVEKRLKAVKELEVEVVELKRKNKELQHEKRELIIKLGAAEAKLTSLSNLSETEMVAKVREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSGKVSARDLNKSLSPKSQERAKQLLLEYAGSERGQGDTDMESNYSHPSSPGSEDFDNTSIDSSSSRYSFSKKPNLIQKLKKWGRSKDDSSAFSSPSRSFSGVSPSRSSMSHRPRGPLESLMIRNASDTVAITSFGKMDQDAPDSPGDSLNSVASSFQVMSKSVEGVLDEKYPAYKDRHKLALEREKHIKEKAEKARAVKFSDSSNFQFGTKGEKVIPITLPAKLSQIKEKPVASGESSEQSSDGKDVDSQTVSKMKLAHTEKRAPRVPRPPPKSSAGAPVATNANPSGGVPPPPPGAPPPPPPPPGGPPRPPPPPGSLPRGAGSGDKVHRAPELVEFYQSLMKREAKKDTSSLISSTSNVSHARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEVRAASFSTIDDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLERQVTSFVDDPNLPCEAALKKMYKLLEKVENSVYALLRTRDMAVSRYREFGIPTNWLLDSGVVGKIKLSSVQLARKYMKRVASELDTMSGPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRVRSQMGEENKMEGS >Potri.010G130800.5.v4.1 pep chromosome:Pop_tri_v4:10:14718547:14724601:-1 gene:Potri.010G130800.v4.1 transcript:Potri.010G130800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130800.v4.1 MIVRLGFLVAASIAAFAAKQLHVKTAKSTDSSAKRSENGEASIEQHQIKGDDREQFTYFDDSIKEKDVEEEEEEEEVKLINSIFNHAQGTPPGMEDEDILPEFEDLLSGEIDYPLPGEKFDQAEKDKIYETEMANNASELECLRNLVRELEEREVKLEGELLEYYGLKEQESDVVELQRQLKIKTVEIDMLNITINSLQAERKKLQEEISHGASSKKELELARNKIKEFQRQIQLDANQTKGQLLLLKQQVSGLQAKEQEAVKKDAEVEKRLKAVKELEVEVVELKRKNKELQHEKRELIIKLGAAEAKLTSLSNLSETEMVAKVREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSGKVSARDLNKSLSPKSQERAKQLLLEYAGSERGQGDTDMESNYSHPSSPGSEDFDNTSIDSSSSRYSFSKKPNLIQKLKKWGRSKDDSSAFSSPSRSFSGVSPSRSSMSHRPRGPLESLMIRNASDTVAITSFGKMDQDAPDSPGDSLNSVASSFQVMSKSVEGVLDEKYPAYKDRHKLALEREKHIKEKAEKARAVKFSDSSNFQFGTKGEKVIPITLPAKLSQIKEKPVASGESSEQSSDGKDVDSQTVSKMKLAHTEKRAPRVPRPPPKSSAGAPVATNANPSGGVPPPPPGAPPPPPPPPGGPPRPPPPPGSLPRGAGSGDKVHRAPELVEFYQSLMKREAKKDTSSLISSTSNVSHARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEVRAASFSTIDDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLERQVTSFVDDPNLPCEAALKKMYKLLEKVENSVYALLRTRDMAVSRYREFGIPTNWLLDSGVVGKIKLSSVQLARKYMKRVASELDTMSGPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRVRSQMGEENKMEGS >Potri.010G130800.1.v4.1 pep chromosome:Pop_tri_v4:10:14718551:14724163:-1 gene:Potri.010G130800.v4.1 transcript:Potri.010G130800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130800.v4.1 MIVRLGFLVAASIAAFAAKQLHVKTAKSTDSSAKRSENGEASIEQHQIKGDDREQFTYFDDSIKEKDVSVEEEEEEEEVKLINSIFNHAQGTPPGMEDEDILPEFEDLLSGEIDYPLPGEKFDQAEKDKIYETEMANNASELECLRNLVRELEEREVKLEGELLEYYGLKEQESDVVELQRQLKIKTVEIDMLNITINSLQAERKKLQEEISHGASSKKELELARNKIKEFQRQIQLDANQTKGQLLLLKQQVSGLQAKEQEAVKKDAEVEKRLKAVKELEVEVVELKRKNKELQHEKRELIIKLGAAEAKLTSLSNLSETEMVAKVREEVNNLKHANEDLLKQVEGLQMNRFSEVEELVYLRWVNACLRYELRNYQTPSGKVSARDLNKSLSPKSQERAKQLLLEYAGSERGQGDTDMESNYSHPSSPGSEDFDNTSIDSSSSRYSFSKKPNLIQKLKKWGRSKDDSSAFSSPSRSFSGVSPSRSSMSHRPRGPLESLMIRNASDTVAITSFGKMDQDAPDSPGDSLNSVASSFQVMSKSVEGVLDEKYPAYKDRHKLALEREKHIKEKAEKARAVKFSDSSNFQFGTKGEKVIPITLPAKLSQIKEKPVASGESSEQSSDGKDVDSQTVSKMKLAHTEKRAPRVPRPPPKSSAGAPVATNANPSGGVPPPPPGAPPPPPPPPGGPPRPPPPPGSLPRGAGSGDKVHRAPELVEFYQSLMKREAKKDTSSLISSTSNVSHARSNMIGEIENRSSFLLAVKADVETQGDFVQSLATEVRAASFSTIDDLVAFVNWLDEELSFLVDERAVLKHFDWPESKADALREAAFEYQDLMKLERQVTSFVDDPNLPCEAALKKMYKLLEKVENSVYALLRTRDMAVSRYREFGIPTNWLLDSGVVGKIKLSSVQLARKYMKRVASELDTMSGPEKEPNREFLVLQGVRFAFRVHQFAGGFDAESMKAFEELRSRVRSQMGEENKMEGS >Potri.003G125000.2.v4.1 pep chromosome:Pop_tri_v4:3:14490358:14494301:-1 gene:Potri.003G125000.v4.1 transcript:Potri.003G125000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125000.v4.1 MQVWIRPQMKKGLATIRTLLFLLVLFIAVIIYSLWIDASKFSGQNLTNVIISQKHQTLMIITRKPEYFPLNCIITNQTQTCPTNYPKTSKTKDQEDTSSKPECPNYFRWIHEDLRPWNATGISRDMLERAKTTAHFRLIIVKGKAYLEKYKKSIQTRDAFTIWGILQLLRRYPGKIPDLELMFDCDDLPVIQSSDYRGPNKTGPPPLFRYCGDKWTEDIVFPDWSFWGWAEINIKPWDKLLIDLKEGNNRSRWIDREPYAYWKGNPFVAETRKDLLTCNVSDQQDWNARLFIQDWILESQQEFKQSNVANQCTHRYKIYIEGYAWSVSEKYILACDSVTLLVKPHYYDFFTRSLKPVEHYWPIREDDKCKSIKFAVDWGNKHKQKAQAIGKAASDFIQEGLKMDYVYDYMFHLLNEYAKLLRFTPQVPEGAAELCSEIMACSADGFEREFMMESLVKGPSTTSPCTMPPPYKPLVLGAFYRKQLNAARQVEKWENGYWESLNKKQ >Potri.003G125000.4.v4.1 pep chromosome:Pop_tri_v4:3:14490358:14494300:-1 gene:Potri.003G125000.v4.1 transcript:Potri.003G125000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125000.v4.1 MQVWIRPQMKKGLATIRTLLFLLVLFIAVIIYSLWIDASKFSGQNLTNVIISQKHQTLMIITRKPEYFPLNCIITNQTQTCPTNYPKTSKTKDQEDTSSKPECPNYFRWIHEDLRPWNATGISRDMLERAKTTAHFRLIIVKGKAYLEKYKKSIQTRDAFTIWGILQLLRRYPGKIPDLELMFDCDDLPVIQSSDYRGPNKTGPPPLFRYCGDKWTEDIVFPDWSFWGWAEINIKPWDKLLIDLKEGNNRSRWIDREPYAYWKGNPFVAETRKDLLTCNVSDQQDWNARLFIQDWILESQQEFKQSNVANQCTHRYKIYIEGYAWSVSEKYILACDSVTLLVKPHYYDFFTRSLKPVEHYWPIREDDKCKSIKFAVDWGNKHKQKAQAIGKAASDFIQEGLKMDYVYDYMFHLLNEYAKLLRFTPQVPEGAAELCSEIMACSADGFEREFMMESLVKGPSTTSPCTMPPPYKPLVLGAFYRKQLNAARQVEKWENGYWESLNKKQ >Potri.009G140400.1.v4.1 pep chromosome:Pop_tri_v4:9:11250522:11251968:1 gene:Potri.009G140400.v4.1 transcript:Potri.009G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140400.v4.1 MKVVGMLMVSALLALASSFATAYDPSPLQDFCVGINDADSAVVVNGKLCKNPSFATADDFSYSGLNVPGNTSTQLRGHVNLITADLMPGLNTLGVSLARIDFEPNGGLNPPHYHPRASEVLLVLEGTLYAGFVTSNPDHRLFSKILKPGDLFVFPFGLVHFQMNVGNTPAVAIAALTSQNPGVNTVANAIFGANWPINAEVLTTAFHLDKKLVEDLQSQEWVNPS >Potri.013G023000.3.v4.1 pep chromosome:Pop_tri_v4:13:1482622:1484281:1 gene:Potri.013G023000.v4.1 transcript:Potri.013G023000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023000.v4.1 MAAESETFMALGLLDESSRGVSGTPRVLVLIASVLEKSLQNNEKLLTTSRRKDAITIFHGSRSPTLSIRQYIERVFKYSRCSTSCFVVAYIYINKFLQQTDAYLTSLNAHRLLITSIMVAAKFLDDDCYDNAYYARIGGVSTAEMNRMEMKFLFNLDFRLHVTAEVFMNCCLKLENESGKYQNGQAGPSLWPRRRPARQR >Potri.002G257500.1.v4.1 pep chromosome:Pop_tri_v4:2:24603204:24605036:1 gene:Potri.002G257500.v4.1 transcript:Potri.002G257500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257500.v4.1 MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Potri.004G063101.1.v4.1 pep chromosome:Pop_tri_v4:4:5331394:5333699:1 gene:Potri.004G063101.v4.1 transcript:Potri.004G063101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063101.v4.1 MIVFNRPKTFQSSTKTPKSSSPYLNESFYCFSCSKSLTQASVNNLPTTTIGGTDTYISKNQGCNNIVYF >Potri.018G145534.1.v4.1 pep chromosome:Pop_tri_v4:18:15309049:15310717:-1 gene:Potri.018G145534.v4.1 transcript:Potri.018G145534.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145534.v4.1 MESLASSPWFWSGPLTLASYNGIFSGLEEFYCFGCTSMKKLFPLVFLPDLEVIEVSNCEKMEEIIETRSDDEGLIGELELPKLRDLKLIELPELKSIFSE >Potri.012G046600.1.v4.1 pep chromosome:Pop_tri_v4:12:4254222:4259643:1 gene:Potri.012G046600.v4.1 transcript:Potri.012G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046600.v4.1 MRRASTLASSVLSRTLTPTLHEGGALSTINHRFLLVALYSTTSNTGSSHSRRIFNPFSSSLGVAGALVSAAAAASLSQDVLAKEPPRAELVPKEVVLYQYEACPFCNKVKAYLDYYDIPYKVVEVNPISKKEIKWSDYKKVPILTVDGEQLVDSSAIIDKLRNKIHGKEIVESASDKDDDEEIKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSFTEKITVKYAGAAAMYFVSKKLKKKYNITDERAALYEAAETWVDALNGREFLGGSKPNLADLAVFGVLRPIRYLRSGRDMVEQTRIGDWYTRMENAVGESARIKA >Potri.019G078400.4.v4.1 pep chromosome:Pop_tri_v4:19:11903033:11908094:-1 gene:Potri.019G078400.v4.1 transcript:Potri.019G078400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078400.v4.1 MAKTSVLSLQFIITVICLLSLSSFPPSLSLDVETQALLDFKSQLKDPLNVLKSWKESESPCEFSGITCDPLSGKVTAISFDNQSLSGVISPSISALESLMSLWLPSNAISGKLPDGVINCSKLRVLNLTGNKMVGVIPDLSSLRNLEILDLSENYFSGRFPSWIGNLSGLLALGLGTNEYHVGEIPESIGNLKNLTWLFLANSHLRGEIPESIFELENLQTLDISRNKISGQFPKSISKLRKLTKIELFYNNLTGEIPPELANLTLLQEFDVSSNQLYGKLPEGIGSLKSLTVFQGHQNNFSGEIPAGFGEMRYLNGFSIYQNNFSGEFPTNFGRFSPLNSIDISENQFSGSFPRFLCESKQLQYLLALGNRFSGVLPDSYAECKTLWRFRVNKNQLTGKIPEGVWAMPLASIIDFSDNDFTGEVSPQIRLSTSLNQLILQNNRFSGQLPSELGKLMNLEKLYLNNNNFSGVIPSDIGSLQQLSSLHLEENSLTGSIPSELGDCARVVDLNIASNSLSGRIPSTITLMSSLNSLNLSRNKITGLIPEGLEKLKLSSIDLSENQLSGRVPSVLLTMGGDRAFIGNKELCVDENSKTIINSGIKVCLGRQDQERKFGDKLVLFSIIACVLVFVLTGMLLLSYRNFKHGQAEMKNDLEGKKEGDPKWQISSFHQLDIDADEICDLEEDNLIGCGGTGKVYRLDLKKNRGAVAVKQLWKGDGLKFLEAEMEILGKIRHRNILKLYASLLKGESSFLVFEYMPNGNLFQALHTRIKDGQPELDWNQRYKIALGAAKGIAYLHHDCSPPILHRDIKSSNILLDEDNEPKIADFGVAKLAEMSLKGCDNSSFTGTHGYIAPEMAYSLKVTEKSDVYSFGVVLLELVTGKRPIEEAYGEGKDIAYWVLSHLNDRENLLKVLDEEVASGSAQEEMIKVLKIGVLCTTKLPNLRPTMREVVKMLVDADSCAYRSPDYSSDKNEKVFL >Potri.019G078400.5.v4.1 pep chromosome:Pop_tri_v4:19:11903048:11908056:-1 gene:Potri.019G078400.v4.1 transcript:Potri.019G078400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078400.v4.1 MAKTSVLSLQFIITVICLLSLSSFPPSLSLDVETQALLDFKSQLKDPLNVLKSWKESESPCEFSGITCDPLSGKVTAISFDNQSLSGVISPSISALESLMSLWLPSNAISGKLPDGVINCSKLRVLNLTGNKMVGVIPDLSSLRNLEILDLSENYFSGRFPSWIGNLSGLLALGLGTNEYHVGEIPESIGNLKNLTWLFLANSHLRGEIPESIFELENLQTLDISRNKISGQFPKSISKLRKLTKIELFYNNLTGEIPPELANLTLLQEFDVSSNQLYGKLPEGIGSLKSLTVFQGHQNNFSGEIPAGFGEMRYLNGFSIYQNNFSGEFPTNFGRFSPLNSIDISENQFSGSFPRFLCESKQLQYLLALGNRFSGVLPDSYAECKTLWRFRVNKNQLTGKIPEGVWAMPLASIIDFSDNDFTGEVSPQIRLSTSLNQLILQNNRFSGQLPSELGKLMNLEKLYLNNNNFSGVIPSDIGSLQQLSSLHLEENSLTGSIPSELGDCARVVDLNIASNSLSGRIPSTITLMSSLNSLNLSRNKITGLIPEGLEKLKLSSIDLSENQLSGRVPSVLLTMGGDRAFIGNKELCVDENSKTIINSGIKVCLGRQDQERKFGDKLVLFSIIACVLVFVLTGMLLLSYRNFKHGQAEMKNDLEGKKEGDPKWQISSFHQLDIDADEICDLEEDNLIGCGGTGKVYRLDLKKNRGAVAVKQLWKGDGLKFLEAEMEILGKIRHRNILKLYASLLKGESSFLVFEYMPNGNLFQALHTRIKDGQPELDWNQRYKIALGAAKGIAYLHHDCSPPILHRDIKSSNILLDEDNEPKIADFGVAKLAEMSLKGCDNSSFTGTHGYIAPEMAYSLKVTEKSDVYSFGVVLLELVTGKRPIEEAYGEGKDIAYWVLSHLNDRENLLKVLDEEVASGSAQEEMIKVLKIGVLCTTKLPNLRPTMREVVKMLVDADSCAYRSPDYSSDKNEKVFL >Potri.019G078400.6.v4.1 pep chromosome:Pop_tri_v4:19:11903048:11906649:-1 gene:Potri.019G078400.v4.1 transcript:Potri.019G078400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078400.v4.1 MAKTSVLSLQFIITVICLLSLSSFPPSLSLDVETQALLDFKSQLKDPLNVLKSWKESESPCEFSGITCDPLSGKVTAISFDNQSLSGVISPSISALESLMSLWLPSNAISGKLPDGVINCSKLRVLNLTGNKMVGVIPDLSSLRNLEILDLSENYFSGRFPSWIGNLSGLLALGLGTNEYHVGEIPESIGNLKNLTWLFLANSHLRGEIPESIFELENLQTLDISRNKISGQFPKSISKLRKLTKIELFYNNLTGEIPPELANLTLLQEFDVSSNQLYGKLPEGIGSLKSLTVFQGHQNNFSGEIPAGFGEMRYLNGFSIYQNNFSGEFPTNFGRFSPLNSIDISENQFSGSFPRFLCESKQLQYLLALGNRFSGVLPDSYAECKTLWRFRVNKNQLTGKIPEGVWAMPLASIIDFSDNDFTGEVSPQIRLSTSLNQLILQNNRFSGQLPSELGKLMNLEKLYLNNNNFSGVIPSDIGSLQQLSSLHLEENSLTGSIPSELGDCARVVDLNIASNSLSGRIPSTITLMSSLNSLNLSRNKITGLIPEGLEKLKLSSIDLSENQLSGRVPSVLLTMGGDRAFIGNKELCVDENSKTIINSGIKVCLGRQDQERKFGDKLVLFSIIACVLVFVLTGMLLLSYRNFKHGQAEMKNDLEGKKEGDPKWQISSFHQLDIDADEICDLEEDNLIGCGGTGKVYRLDLKKNRGAVAVKQLWKGDGLKFLEAEMEILGKIRHRNILKLYASLLKGESSFLVFEYMPNGNLFQALHTRIKDGQPELDWNQRYKIALGAAKGIAYLHHDCSPPILHRDIKSSNILLDEDNEPKIADFGVAKLAEMSLKGCDNSSFTGTHGYIAPEMAYSLKVTEKSDVYSFGVVLLELVTGKRPIEEAYGEGKDIAYWVLSHLNDRENLLKVLDEEVASGSAQEEMIKVLKIGVLCTTKLPNLRPTMREVVKMLVDADSCAYRSPDYSSDKNEKVFL >Potri.019G078400.2.v4.1 pep chromosome:Pop_tri_v4:19:11903036:11908131:-1 gene:Potri.019G078400.v4.1 transcript:Potri.019G078400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078400.v4.1 MAKTSVLSLQFIITVICLLSLSSFPPSLSLDVETQALLDFKSQLKDPLNVLKSWKESESPCEFSGITCDPLSGKVTAISFDNQSLSGVISPSISALESLMSLWLPSNAISGKLPDGVINCSKLRVLNLTGNKMVGVIPDLSSLRNLEILDLSENYFSGRFPSWIGNLSGLLALGLGTNEYHVGEIPESIGNLKNLTWLFLANSHLRGEIPESIFELENLQTLDISRNKISGQFPKSISKLRKLTKIELFYNNLTGEIPPELANLTLLQEFDVSSNQLYGKLPEGIGSLKSLTVFQGHQNNFSGEIPAGFGEMRYLNGFSIYQNNFSGEFPTNFGRFSPLNSIDISENQFSGSFPRFLCESKQLQYLLALGNRFSGVLPDSYAECKTLWRFRVNKNQLTGKIPEGVWAMPLASIIDFSDNDFTGEVSPQIRLSTSLNQLILQNNRFSGQLPSELGKLMNLEKLYLNNNNFSGVIPSDIGSLQQLSSLHLEENSLTGSIPSELGDCARVVDLNIASNSLSGRIPSTITLMSSLNSLNLSRNKITGLIPEGLEKLKLSSIDLSENQLSGRVPSVLLTMGGDRAFIGNKELCVDENSKTIINSGIKVCLGRQDQERKFGDKLVLFSIIACVLVFVLTGMLLLSYRNFKHGQAEMKNDLEGKKEGDPKWQISSFHQLDIDADEICDLEEDNLIGCGGTGKVYRLDLKKNRGAVAVKQLWKGDGLKFLEAEMEILGKIRHRNILKLYASLLKGESSFLVFEYMPNGNLFQALHTRIKDGQPELDWNQRYKIALGAAKGIAYLHHDCSPPILHRDIKSSNILLDEDNEPKIADFGVAKLAEMSLKGCDNSSFTGTHGYIAPEMAYSLKVTEKSDVYSFGVVLLELVTGKRPIEEAYGEGKDIAYWVLSHLNDRENLLKVLDEEVASGSAQEEMIKVLKIGVLCTTKLPNLRPTMREVVKMLVDADSCAYRSPDYSSDKNEKVFL >Potri.019G078400.3.v4.1 pep chromosome:Pop_tri_v4:19:11903036:11908131:-1 gene:Potri.019G078400.v4.1 transcript:Potri.019G078400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078400.v4.1 MAKTSVLSLQFIITVICLLSLSSFPPSLSLDVETQALLDFKSQLKDPLNVLKSWKESESPCEFSGITCDPLSGKVTAISFDNQSLSGVISPSISALESLMSLWLPSNAISGKLPDGVINCSKLRVLNLTGNKMVGVIPDLSSLRNLEILDLSENYFSGRFPSWIGNLSGLLALGLGTNEYHVGEIPESIGNLKNLTWLFLANSHLRGEIPESIFELENLQTLDISRNKISGQFPKSISKLRKLTKIELFYNNLTGEIPPELANLTLLQEFDVSSNQLYGKLPEGIGSLKSLTVFQGHQNNFSGEIPAGFGEMRYLNGFSIYQNNFSGEFPTNFGRFSPLNSIDISENQFSGSFPRFLCESKQLQYLLALGNRFSGVLPDSYAECKTLWRFRVNKNQLTGKIPEGVWAMPLASIIDFSDNDFTGEVSPQIRLSTSLNQLILQNNRFSGQLPSELGKLMNLEKLYLNNNNFSGVIPSDIGSLQQLSSLHLEENSLTGSIPSELGDCARVVDLNIASNSLSGRIPSTITLMSSLNSLNLSRNKITGLIPEGLEKLKLSSIDLSENQLSGRVPSVLLTMGGDRAFIGNKELCVDENSKTIINSGIKVCLGRQDQERKFGDKLVLFSIIACVLVFVLTGMLLLSYRNFKHGQAEMKNDLEGKKEGDPKWQISSFHQLDIDADEICDLEEDNLIGCGGTGKVYRLDLKKNRGAVAVKQLWKGDGLKFLEAEMEILGKIRHRNILKLYASLLKGESSFLVFEYMPNGNLFQALHTRIKDGQPELDWNQRYKIALGAAKGIAYLHHDCSPPILHRDIKSSNILLDEDNEPKIADFGVAKLAEMSLKGCDNSSFTGTHGYIAPEMAYSLKVTEKSDVYSFGVVLLELVTGKRPIEEAYGEGKDIAYWVLSHLNDRENLLKVLDEEVASGSAQEEMIKVLKIGVLCTTKLPNLRPTMREVVKMLVDADSCAYRSPDYSSDKNEKVFL >Potri.001G338200.2.v4.1 pep chromosome:Pop_tri_v4:1:34714871:34717374:1 gene:Potri.001G338200.v4.1 transcript:Potri.001G338200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338200.v4.1 MATSLITKTLNSIKNPKLLRPLTRQFTSIATVQSENPSSSFTFADSDSNSSSSCNDSNIYMRKGPPNCNVKGEKDSSSVTMPMSSMTGSIVGKRFYKQVTTREADDGNGWNVMLDYRTLKTPSKRPLKLPTLALAKAIAAEWDYQQTDGIRPFTMPLMKLACTALDRVPLTRPKIIEHLMKKFSQDLVFCRAPEDNVLTSGVYERQVEKFDPLIGWIKSEFGFKPVVHSCLFGGKQEEGLVKAIENLLKQTDDCQLAVIDAIASAAHSLIIAVGIVKGKLDIEEAIELIRLEEDFQVDTWGLVEGGHDIDIADLRVQISSAAVFLGLSRK >Potri.001G426260.1.v4.1 pep chromosome:Pop_tri_v4:1:45730314:45730469:-1 gene:Potri.001G426260.v4.1 transcript:Potri.001G426260.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426260.v4.1 MIMLMSLLLFETMKAKALVGQSKFVIQFLTITFTFHLSAVSFHTNYLRTGC >Potri.004G013300.1.v4.1 pep chromosome:Pop_tri_v4:4:817164:819955:-1 gene:Potri.004G013300.v4.1 transcript:Potri.004G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013300.v4.1 MAFTSTLKYHTWFPFHHHLASHKPTSNSTLSFATTNHEPLTTTTNSATRLSPNFTPTQLLHSLRREEDSSAVIHLFYWASKQPNFKPSSSIFKEVLHKLGKAGEFDAMKDILKEMKISLSVIDNDSLLVFIESYASFGLYNEILQFVDAMEVEFGVVANTHFYNFLLNVLVDGNKLKLVEIAHSNMVSRGIRPDVSTFNILIKALCRAHQIRPAILLMEEMEDFGLLPDEKTFTTIMQGFIEEGNLDGAMRVKEQMVEAGCVVTNVTVNVLVNGFCKEGRIEEALRFIEEMSLREGFFPDKYTFNMLVNGLSKTGHVKHALEVMDMMLREGFDPDIYTYNSLISGLCKLGEVDEAVKVLNQMIERDCSPNTVTYNTIISTLCKENQVEEATKLALVLTGKGILPDVCTYNSLIQGLCLSRNHTVAMELYKEMKTKGCHPDEFTYNMLIDSLCFRGKLQEALNLLKEMEVSGCARNVITYNTLIDGFCKNKRIAEAEEIFDQMELQGVSRNSVTYNTLIDGLCKSERVEEASQLMDQMIMEGLRPDKFTYNSLLTYFCKAGDIKKAADIVQTMASDGCEPDIVTYGTLIAGLCKAGRVEAATKLLRTIQMKGINLTPHAYNPVIQALFRRKRSKEAVRLFREMIEKAEAPDAVTYKIVFRGLCQGGGPIGEAVDFVMEMLERGYVPEFSSFYMLAEGLFSLAMVGTLIKLIDMVMEKAKFSDNEVTMIRGFLKISKYQDALATLGGILDSRKPNRAYR >Potri.014G115300.1.v4.1 pep chromosome:Pop_tri_v4:14:7756325:7757653:-1 gene:Potri.014G115300.v4.1 transcript:Potri.014G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115300.v4.1 MSKPRLEGKVALITGAASGIGEEAVKLFVENGGFVVAADVQDDLGHQVVASIGADRATYRHCDVRDEKQVEETVKYIMDKYGKLDVLFSNAGIIGPLTGILELDIEGFDNTMATNVRGVAATIKHAARAMVSKNIRGSIICTTSVASSLAGTGPHAYTTSKHALVGLVRAACSELGAYGIRVNCISPYGVATPLSCRAYNLQPSEVEANSCALANLKGIVLKARHIAEAALFLASDESAYISGHNLAVDGGFTVVNHSFSAI >Potri.013G144100.4.v4.1 pep chromosome:Pop_tri_v4:13:14207929:14212277:1 gene:Potri.013G144100.v4.1 transcript:Potri.013G144100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144100.v4.1 MGACVSTSRSTCSSKSNGEPVPPPCLGIGFCGQKRTKRTFSDHLVTLQHLPSISNRVFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPHGHLVARKVRDALPVKLQSFLNSCQSRQNEPGQTCFTGNSKKSDVGDLDKDGSVEDKLNSLWRETFLKSYKAMDKELKSHPNLDCFCSGSTAITIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTDKDQFIVLASDGVWDVLSNEEVVGIVSSAPTRASAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATLDQSNHSGNAAESDDGQKSEPCLQRNFTVRSAEENDTYGRLAVEVDGDGETVSADDQSWSGLEGVTRVNSLVQLPRFSEERPDP >Potri.013G144100.1.v4.1 pep chromosome:Pop_tri_v4:13:14208366:14212277:1 gene:Potri.013G144100.v4.1 transcript:Potri.013G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144100.v4.1 MGACVSTSRSTCSSKSNGEPVPPPCLGIGFCGQKRTKRTFSDHLVTLQHLPSISNRVFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPHGHLVARKVRDALPVKLQSFLNSCQSRQNEPGQTCFTGNSKKSDVGDLDKDGSVEDKLNSLWRETFLKSYKAMDKELKSHPNLDCFCSGSTAITIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTDKDQFIVLASDGVWDVLSNEEVVGIVSSAPTRASAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATLDQSNHSGNAAESDDGQKSEPCLQRNFTVRSAEENDTYGRLAVEVDGDGETVSADDQSWSGLEGVTRVNSLVQLPRFSEERPDP >Potri.013G144100.5.v4.1 pep chromosome:Pop_tri_v4:13:14207855:14212275:1 gene:Potri.013G144100.v4.1 transcript:Potri.013G144100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144100.v4.1 MSEDVTFCGVFDGHGPHGHLVARKVRDALPVKLQSFLNSCQSRQNEPGQTCFTGNSKKSDVGDLDKDGSVEDKLNSLWRETFLKSYKAMDKELKSHPNLDCFCSGSTAITIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTDKDQFIVLASDGVWDVLSNEEVVGIVSSAPTRASAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATLDQSNHSGNAAESDDGQKSEPCLQRNFTVRSAEENDTYGRLAVEVDGDGETVSADDQSWSGLEGVTRVNSLVQLPRFSEERPDP >Potri.008G139900.1.v4.1 pep chromosome:Pop_tri_v4:8:9416228:9423970:1 gene:Potri.008G139900.v4.1 transcript:Potri.008G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139900.v4.1 MEPKRKRILLALFLLLVSCSDLCFGNEFCASPVHQHSHGHHHHHDCAHGHQHHHHHEHNPGVIESKLPEELAEEEDMKLYGFGNHDHDHDHHRGLELSGLGLWIHALGCSLLVSLASLICLIFLPVIFIKGKPSKAIVDSLALFGAGAMLGDAFLHQLPHAFGGEHTHSDDHHADNFHHAHAGDERGHAHSHSLKDLSVGISVLAGIVLFLLVEKVVRYVEDNSTGANAWNHGHHHHNHNSSKKLKDDGDAHDKTQSKSSKEGDGKGSDEVLDDSSNDTNFTQSESLLRKRKTVQEGKDDKSDVDAADGSANNIRSLNENEHTLSPSNLVFGYLNLISDGVHNFTDGMALGSAFLLYGSVGGWSRTLFLLAHELPQEIGDFGILVRSGFSAPKALFFNFLSALVALAGTALALLWGQDPGQSSLIEGFTAGGFVYIAVAGVLAEMNNSKTTLRSSAVHITSLVLGMAVALCISLVE >Potri.003G126400.2.v4.1 pep chromosome:Pop_tri_v4:3:14582029:14582570:-1 gene:Potri.003G126400.v4.1 transcript:Potri.003G126400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126400.v4.1 MKQVYPTFTYLLVLFVLLVTAGALVEAQKCKPSGKVKGKKPPKNDFEEGGDGGGPSECDGRYHDDDTPMVALSTGLFSNKKRCRHHITINGNGRSVKALVVDHCDSTMGCDDEHGYQPPCANNIVDASKAVWKALGVNEKDKE >Potri.007G079200.1.v4.1 pep chromosome:Pop_tri_v4:7:10371033:10375457:-1 gene:Potri.007G079200.v4.1 transcript:Potri.007G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079200.v4.1 MGSIKTFSPCSPPSHFQNLKSSKNCNSSTSLKPLLCSMNPLNIHSRHKLHISSNICSKSPFPQIPTSSISKKNNNFTSFLSEKVLVSLVGAFIFIGSFGLNTRQSLALPAQTSGGSVNLEGKRDAQMEKSEDEEMYEKVLEKEPRNVEALKVVLHGKMRRGQTKEAVKYVGRLIEIEPEEVEWRLLEALCYEMMGQLNKAKRLFNEILEERPLLLRALHGLALVMHKNLEGPAVFEMLNKALEVAHREKRVTEERNIRILIAQMHVVKGDFEEALKKFQGLVSDNPRDFRPYLCQGIIYSLLDRKEEAAEQFETYRSLVPEEFPQRIFLDDVVLEAKTKSRERFQKEFQAEFSYRK >Potri.006G233400.3.v4.1 pep chromosome:Pop_tri_v4:6:23599448:23604310:-1 gene:Potri.006G233400.v4.1 transcript:Potri.006G233400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233400.v4.1 MQSRVVPLEEGKDPAVSIKASQSKPFPIRLLQLFLLFLALCMAFSIISMYTIKRFGVQTARTTVKPAFEPCFDEPDTLDRWIRPPSNLLHKMSDKELFWRASFVPGIKKYPFKRIPKIAFMFLTKGPLPLAPLWERFLKGHEGLYSVYIHPLPTFEAKFPSSSVFHRRQIPSQVAEWGRMSMCDAERRLLANALLDISNERFVLVSESCIPLYNFSVIYDYMMRSKYSFIGAFDDHGPYGRGRYNENMAPEVNITQWRKGSQWFEINRKLAVNVVEDARYYPKFEEFCKPSCYVDEHYFPTMLTIEAAPLLANRTLTWVDWSRGGAHPATFGRADITKEFFKKIREDTHCVYNNQSSSVCFLFARKFAPSALEPLLQVSQNVLGF >Potri.008G035300.9.v4.1 pep chromosome:Pop_tri_v4:8:1914407:1927665:1 gene:Potri.008G035300.v4.1 transcript:Potri.008G035300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035300.v4.1 MGIFDGLPVPPDKAYLREELSRIDESWAAARFDSLPHVVHILTSKDREAEAQVLKEQSDVVEDVVDEVVQSYHSGFNKAIQNYSQILRLFSESAESITALKIDLAEAKKRLGNRNKQLHQLWYRSVTLRHIISLLEQIEGIAKVPARIEKLIAEKQFYAAVQLHAHSSLMLERESLQTVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEHGSVASSMHERYDELPTTVAVTFTMSNSQSLSRRTKLMKGDNHSFADGSYRPSSIDGSSFDGPDEDLDISDEATPDGHIGSMRANGGNGNMKDIKIVSHQIPSWLSNSTPDEFIEIIKKSDAPLHVKYLQTMVECLCMLGKVAAAGAILCQRLRPTIHDIITSKIKAHSELVNSSRSSNDQTAQTRGLHSVKGQLESYQLSKQKRQNGMSLSRTLLAVSPVSPVMAPTGKAQAAAKELLDSILDIVVRIFENHVIVGELLEVKTAQNGDMNTPRSLTADANWSPDSEASQVTGGYSIGLSLTVLQSECQQLICEILRATPEAASADASVQTARLASKVPSKGKKDGSEDGLAFAFRFTDATISIPNQGVDLIRQGWNRKGPNVLQEGYGSAAVLPEQGIYLAASVYRPVLQFTDKVASMLPKKYAQLGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHTVAPYTPSIEKGRPVLQGLLAIDFLAKEVLGWAQAMPKFAGDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRFDPSSAYLPNSVGESNMVNSASDAESLEIESELNELLFNLQPIKQENLIHDDNKLILLASLSDSLEYVADSIERLGKITSRSPNQVADKGKTLASFADDYRKLAIDCLKVLRVEMQLETIFHMQEMTNRVYLEDQDAEEPDDFIISLTAQITRRDEEMAPFVAGVKQNYIFGGICSVAASASIKALADMKSINLFGVQQICRNSIALEQALTAIPSIDSEAVQQRLDHVRTYYELLNMPYEALLAFITEHEGLFTAAEYINLLKVNVSGRETPPDAQDRVLYILSH >Potri.008G035300.10.v4.1 pep chromosome:Pop_tri_v4:8:1914517:1927665:1 gene:Potri.008G035300.v4.1 transcript:Potri.008G035300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035300.v4.1 MGIFDGLPVPPDKAYLREELSRIDESWAAARFDSLPHVVHILTSKDREAEAQVLKEQSDVVEDVVDEVVQSYHSGFNKAIQNYSQILRLFSESAESITALKIDLAEAKKRLGNRNKQLHQLWYRSVTLRHIISLLEQIEGIAKVPARIEKLIAEKQFYAAVQLHAHSSLMLERESLQTVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEHGSVASSMHERYDELPTTVAVTFTMSNSQSLSRRTKLMKGDNHSFADGSYRPSSIDGSSFDGPDEDLDISDEATPDGHIGSMRANGGNGNMKDIKIVSHQIPSWLSNSTPDEFIEIIKKSDAPLHVKYLQTMVECLCMLGKVAAAGAILCQRLRPTIHDIITSKIKAHSELVNSSRSSNDQTAQTRGLHSVKGQLESYQLSKQKRQNGMSLSRTLLAVSPVSPVMAPTGKAQAAAKELLDSILDIVVRIFENHVIVGELLEVKTAQNGDMNTPRSLTADANWSPDSEASQVTGGYSIGLSLTVLQSECQQLICEILRATPEAASADASVQTARLASKVPSKGKKDGSEDGLAFAFRFTDATISIPNQGVDLIRQGWNRKGPNVLQEGYGSAAVLPEQGIYLAASVYRPVLQFTDKVASMLPKKYAQLGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHTVAPYTPSIEKGRPVLQGLLAIDFLAKEVLGWAQAMPKFAGDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRFDPSSAYLPNSVGESNMVNSASDAESLEIESELNELLFNLQPIKQENLIHDDNKLILLASLSDSLEYVADSIERLGKITSRSPNQVADKGKTLASFADDYRKLAIDCLKVLRVEMQLETIFHMQEMTNRVYLEDQDAEEPDDFIISLTAQITRRDEEMAPFVAGVKQNYIFGGICSVAASASIKALADMKSINLFGVQQICRNSIALEQALTAIPSIDSEAVQQRLDHVRTYYELLNMPYEALLAFITEHEGLFTAAEYINLLKVNVSGRETPPDAQDRVLYILSH >Potri.008G035300.11.v4.1 pep chromosome:Pop_tri_v4:8:1914517:1927568:1 gene:Potri.008G035300.v4.1 transcript:Potri.008G035300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035300.v4.1 MGIFDGLPVPPDKAYLREELSRIDESWAAARFDSLPHVVHILTSKDREAEAQVLKEQSDVVEDVVDEVVQSYHSGFNKAIQNYSQILRLFSESAESITALKIDLAEAKKRLGNRNKQLHQLWYRSVTLRHIISLLEQIEGIAKVPARIEKLIAEKQFYAAVQLHAHSSLMLERESLQTVGALQDVRSELTKLRGVLFYKVLEDLHAHLYNKGEHGSVASSMHERYDELPTTVAVTFTMSNSQSLSRRTKLMKGDNHSFADGSYRPSSIDGSSFDGPDEDLDISDEATPDGHIGSMRANGGNGNMKDIKIVSHQIPSWLSNSTPDEFIEIIKKSDAPLHVKYLQTMVECLCMLGKVAAAGAILCQRLRPTIHDIITSKIKAHSELVNSSRSSNDQTAQTRGLHSVKGQLESYQLSKQKRQNGMSLSRTLLAVSPVSPVMAPTGKAQAAAKELLDSILDIVVRIFENHVIVGELLEVKTAQNGDMNTPRSLTADANWSPDSEASQVTGGYSIGLSLTVLQSECQQLICEILRATPEAASADASVQTARLASKVPSKGKKDGSEDGLAFAFRFTDATISIPNQGVDLIRQGWNRKGPNVLQEGYGSAAVLPEQGIYLAASVYRPVLQFTDKVASMLPKKYAQLGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHTVAPYTPSIEKGRPVLQGLLAIDFLAKEVLGWAQAMPKFAGDLVKYVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRFDPSSAYLPNSVGESNMVNSASDAESLEIESELNELLFNLQPIKQENLIHDDNKLILLASLSDSLEYVADSIERLGKITSRSPNQVADKGKTLASFADDYRKLAIDCLKVLRVEMQLETIFHMQEMTNRVYLEDQDAEEPDDFIISLTAQITRRDEEMAPFVAGVKQNYIFGGICSVAASASIKALADMKSINLFGVQQICRNSIALEQALTAIPSIDSEAVQQRLDHVRTYYELLNMPYEALLAFITEHEGLFTAAEYINLLKVNVSGRETPPDAQDRVLYILSH >Potri.018G133300.1.v4.1 pep chromosome:Pop_tri_v4:18:14264408:14268301:-1 gene:Potri.018G133300.v4.1 transcript:Potri.018G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133300.v4.1 MEMEEQQENSKKRTRKRKTTSLLSLNNTTTIFPLLLAAATQLSDSQKNTPNNSSEILVKKCLTKLHQSILSNNQTFPISVLSLFPILMSSKCAGIACRSAEIVGLASLVSLEMNELVALDEGIVKGLILMLGSGKRKVSVAACNAVLDLSSTLIGRRSLLEFSALEWFIFGFLQVPASSIVVSLCNEDERSVACARIAFKEDGHAVSILHAAITLINTCNVEQLEKIPWKLSEKFLVSLKTLWEKVHDQMLLGNAWSSRRDRDLNLSNVTVNSLAESIFRLSINVSEFVIPLPSVLFDRMIFGWSDLGFENFMLHHWESSPSLVRRLSGSLTEENDILSSFAESLNCKEPCPTFVASILQSFISCVPIASDELNIISFLEEVRSELGCPIIYDQDIRVLRTEQPSKKEVHFFQKKVDPCCFKKLAFNNVDIMKCEEAFKEGYTIALRGVEFRFASIAAVADALASLFGQPSVGANIYLTPPNSQGLARHCDDHCVFVCQLFGTKQWTIYPRPNLQLPRLYDPFDREHCLGEQNSLAECRKFLLREGDILYIPRGFPHEACTHDDGSSDLARFSLHVTFGVEVEPPFEWEGFAHVALHRWYKTQKQLHGASDEPLSGILDLMSVNLLHLMIELIGASDSTLRKASLVGALVLPLEIDDWLYLNQKTTFNHIIDQINKASMFLEVFRSVEVAIGKNEDPFHRMRWLRLLYQETETIQEHDWNVPLGEFQNLFPSCAQHKDMTEAAFMQVKSKLCDEVLFEDVIDSYKLLLEKYKKARKQYMNGMLSLHCSGFR >Potri.018G133300.2.v4.1 pep chromosome:Pop_tri_v4:18:14264433:14268301:-1 gene:Potri.018G133300.v4.1 transcript:Potri.018G133300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133300.v4.1 MVYVPASSIVVSLCNEDERSVACARIAFKEDGHAVSILHAAITLINTCNVEQLEKIPWKLSEKFLVSLKTLWEKVHDQMLLGNAWSSRRDRDLNLSNVTVNSLAESIFRLSINVSEFVIPLPSVLFDRMIFGWSDLGFENFMLHHWESSPSLVRRLSGSLTEENDILSSFAESLNCKEPCPTFVASILQSFISCVPIASDELNIISFLEEVRSELGCPIIYDQDIRVLRTEQPSKKEVHFFQKKVDPCCFKKLAFNNVDIMKCEEAFKEGYTIALRGVEFRFASIAAVADALASLFGQPSVGANIYLTPPNSQGLARHCDDHCVFVCQLFGTKQWTIYPRPNLQLPRLYDPFDREHCLGEQNSLAECRKFLLREGDILYIPRGFPHEACTHDDGSSDLARFSLHVTFGVEVEPPFEWEGFAHVALHRWYKTQKQLHGASDEPLSGILDLMSVNLLHLMIELIGASDSTLRKASLVGALVLPLEIDDWLYLNQKTTFNHIIDQINKASMFLEVFRSVEVAIGKNEDPFHRMRWLRLLYQETETIQEHDWNVPLGEFQNLFPSCAQHKDMTEAAFMQVKSKLCDEVLFEDVIDSYKLLLEKYKKARKQYMNGMLSLHCSGFR >Potri.014G019900.1.v4.1 pep chromosome:Pop_tri_v4:14:1203806:1207245:-1 gene:Potri.014G019900.v4.1 transcript:Potri.014G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019900.v4.1 MERKQGFFSVVRGLSPGRSRAKSPARSASPMSSLLRRRKGHGQHVAKPEPLIIPRSGSLRPAEALSPLKEGPDQDDGGDSRMEGKWGQWMKGQLSRGGPSSVVSSSSNACNNTSKRSDLRLLLGVLGAPLAPVHVSTAEPLPHLSIKDTPIETSSAQYILQQYTAASGGQRLQNSIHNAYAMGKVRMIASEFETANKVTRNRNSSKAAESGGFVLWQMNPDMWYVELALGGSKVHAGCNGKLVWRHTPWLGAHAAKGPVRPLRRALQGLDPRTTASMFINARCIGEKKINGDDCFILKICADPATLKARSEGPAEIIRHVLFGYFSQKTGLLVHLEDSHLTRIQNNGGDAVYWETTINSFLDDYRLVDGIMIAHSGRSVVTLFRFGDTAMSHTRTRMEEAWAIEEVAFNVPGLSMDCFIPPAELRFASISETCELPRSQRVKPAVTASTHRAKVAALERPHENATNNMMWKTDV >Potri.003G178000.2.v4.1 pep chromosome:Pop_tri_v4:3:18450012:18460126:1 gene:Potri.003G178000.v4.1 transcript:Potri.003G178000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178000.v4.1 MVSSNLFHCRKHSWPPEEYISRNTLQLFDFDSAAPPEQAWRRRLNSHANILKEFSVTFKEAIQMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIVPGICESSPVMANQFSIFISRDGGNKNYASVLAPGQHEGIGKAGDQGISSWGWNLSGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAIAACETQNVSVTVLPSFGLSEGSCTTAKAMWGTMVQDGHFDRGNFNWGPSMPSSPGETLCAAVSASAWVEPHGKCTVAFALAWSSPKIKFLKGSSYHRRYTKFYGTSERAAQNLVHDALTNYKQWEEEIEKWQDPILKDEKLPEWYKFTLFNELYFLVAGGTVWIDSSLSSADTRNGHHRSREVETTGIKVTEPQVNCNGGPDHTTTNHHNTTSSEQKENNKAFHTKCICKDESAVSRERGNLDHTLDPFTFLDPLSDDVGRFLYLEGVEYIMWCTYDVHFYASFALLALFPKIELNIQRDFAKAVLSEDGRKVRFLADGSVGIRKARGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGVDVWPAVRTAMEYMEQFDRDDDGLVENDGFPDQTYDAWTVHGVSAYCGCLWLASLQAAAAMAMQLGDKYFAELCKSKFAKAKSAFESKLWNGSYFNYDSGSSNNSKSIQADQLAGEWYMASSGLPSLFDDVKIRSALNKIYDFNVMKVRGGKMGAVNGMHPNGKVDETCMQSREIWSGVTYAVAATMILSGMEDKAFTTAEGIFTAGWSEEGYGYWFQTPEAWTIDGHFRSLIYMRPLAIWGMQWALSLPKAILDAPKINIMERSLLSPSTRFSLIGETGVKKIATKANCLGNSVFHCSC >Potri.003G178000.7.v4.1 pep chromosome:Pop_tri_v4:3:18449640:18460082:1 gene:Potri.003G178000.v4.1 transcript:Potri.003G178000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178000.v4.1 MVSSNLFHCRKHSWPPEEYISRNTLQLFDFDSAAPPEQAWRRRLNSHANILKEFSVTFKEAIQMVRLGIRLWSYVREEASHGRKAPIDPFTRESCKPSASQGVPLGGMGSGSISRGFRGEFRQWQIVPGICESSPVMANQFSIFISRDGGNKNYASVLAPGQHEGIGKAGDQGISSWGWNLSGQHSTYHALFPRAWTVYDGEPDPELKISCRQISPFIPHNYRDSSLPTAVFVYTLVNTGKERAKVSLLFTWANSIGGISHLSGDHVNEPFIGEDGVSGVLLHHKTAKGNPPVTFAIAACETQNVSVTVLPSFGLSEGSCTTAKAMWGTMVQDGHFDRGNFNWGPSMPSSPGETLCAAVSASAWVEPHGKCTVAFALAWSSPKIKFLKGSSYHRRYTKFYGTSERAAQNLVHDALTNYKQWEEEIEKWQDPILKDEKLPEWYKFTLFNELYFLVAGGTVWIDSSLSSADTRNGHHRSREVETTGIKVTEPQVNCNGGPDHTTTNHHNTTSSEQKENNKAFHTKCICKDESAVSRERGNLDHTLDPFTFLDPLSDDVGRFLYLEGVEYIMWCTYDVHFYASFALLALFPKIELNIQRDFAKAVLSEDGRKVRFLADGSVGIRKARGAVPHDLGTHDPWNEMNAYNIHDTSKWKDLNPKFVLQVYRDFAATGDMSFGVDVWPAVRTAMEYMEQFDRDDDGLVENDGFPDQTYDAWTVHGVSAYCGCLWLASLQAAAAMAMQLGDKYFAELCKSKFAKAKSAFESKLWNGSYFNYDSGSSNNSKSIQADQLAGEWYMASSGLPSLFDDVKIRSALNKIYDFNVMKVRGGKMGAVNGMHPNGKVDETCMQSREIWSGVTYAVAATMILSGMEDKAFTTAEGIFTAGWSEEGYGYWFQTPEAWTIDGHFRSLIYMRPLAIWGMQWALSLPKAILDAPKINIMERSLLSPSTRFSLIGETGVKKIATKANCLGNSVFHCSC >Potri.017G085500.6.v4.1 pep chromosome:Pop_tri_v4:17:9853764:9857603:1 gene:Potri.017G085500.v4.1 transcript:Potri.017G085500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085500.v4.1 MAGQRNSYGKRAHSQSDYDIGGNKRRNSGDDRERFVIDSQDTVYRYLCPARKIGSIIGRGGEIIKQLRIDTKSKIRVGETVPGCEERVVTIYSPSDETNEYEDSGNYISPAQDALFRVHDKVIAEDLQVEDDSEGSPQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVLKKALYQIASRLHDNPSRSQHLLVSAVPNVYSSVGSLVGPSAAAPIVGIAPLMGPYGGFKGDTGDWSRSLYSAPRDELASKEFSLRVVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSVAEGDDCLITISAKEIYDHYSPTIEAAVRLQPRCSEKMERDSGLISFTTRLLVPSSRIGCLLGKGGAIIDEMRKLTKAIIRIPRKENLPKVASDDDEMVQIAGDLDVAKDALIQISRRLRANVFDREGAMSAILPVLPYLPVSAEGSEGLNYDSRDGKRHGRGSSYAGGYSSSDYASGDGYGSYGSSQINASGGPYGAYGSYSSGRTGTSGLSGQAPVSRRKSYY >Potri.017G085500.3.v4.1 pep chromosome:Pop_tri_v4:17:9853766:9858829:1 gene:Potri.017G085500.v4.1 transcript:Potri.017G085500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085500.v4.1 MAGQRNSYGKRAHSQSDYDIGGNKRRNSGDDRERFVIDSQDTVYRYLCPARKIGSIIGRGGEIIKQLRIDTKSKIRVGETVPGCEERVVTIYSPSDETNEYEDSGNYISPAQDALFRVHDKVIAEDLQVEDDSEGSPQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVLKKALYQIASRLHDNPSRSQHLLVSAVPNVYSSVGSLVGPSAAAPIVGIAPLMGPYGGFKGDTGDWSRSLYSAPRDELASKEFSLRVVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSVAEGDDCLITISAKEIYDHYSPTIEAAVRLQPRCSEKMERDSGLISFTTRLLVPSSRIGCLLGKGGAIIDEMRKLTKAIIRIPRKENLPKVASDDDEMVQIAGDLDVAKDALIQISRRLRANVFDREGAMSAILPVLPYLPVSAEGSEGLNYDSRDGKRHGRGSSYAGGYSSSDYASGDGYGSYGSSQINASGGPYGAYGSYSSGRTGTSGLSGQAPVSRRKSYY >Potri.017G085500.2.v4.1 pep chromosome:Pop_tri_v4:17:9853736:9858809:1 gene:Potri.017G085500.v4.1 transcript:Potri.017G085500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085500.v4.1 MAGQRNSYGKRAHSQSDYDIGGNKRRNSGDDRERFVIDSQDTVYRYLCPARKIGSIIGRGGEIIKQLRIDTKSKIRVGETVPGCEERVVTIYSPSDETNEYEDSGNYISPAQDALFRVHDKVIAEDLQVEDDSEGSPQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVLKKALYQIASRLHDNPSRSQHLLVSAVPNVYSSVGSLVGPSAAAPIVGIAPLMGPYGGFKGDTGDWSRSLYSAPRDELASKEFSLRVVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSVAEGDDCLITISAKEIYDHYSPTIEAAVRLQPRCSEKMERDSGLISFTTRLLVPSSRIGCLLGKGGAIIDEMRKLTKAIIRIPRKENLPKVASDDDEMVQIAGDLDVAKDALIQISRRLRANVFDREGAMSAILPVLPYLPVSAEGSEGLNYDSRDGKRHGRGSSYAGGYSSSDYASGDGYGSYGSSQINASGGPYGAYGSYSSGRTGTSGLSGQAPVSRRKSYY >Potri.017G085500.4.v4.1 pep chromosome:Pop_tri_v4:17:9853729:9858809:1 gene:Potri.017G085500.v4.1 transcript:Potri.017G085500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085500.v4.1 MAGQRNSYGKRAHSQSDYDIGGNKRRNSGDDRERFVIDSQDTVYRYLCPARKIGSIIGRGGEIIKQLRIDTKSKIRVGETVPGCEERVVTIYSPSDETNEYEDSGNYISPAQDALFRVHDKVIAEDLQVEDDSEGSPQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVLKKALYQIASRLHDNPSRSQHLLVSAVPNVYSSVGSLVGPSAAAPIVGIAPLMGPYGGFKGDTGDWSRSLYSAPRDELASKEFSLRVVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSVAEGDDCLITISAKEIYDHYSPTIEAAVRLQPRCSEKMERDSGLISFTTRLLVPSSRIGCLLGKGGAIIDEMRKLTKAIIRIPRKENLPKVASDDDEMVQIAGDLDVAKDALIQISRRLRANVFDREGAMSAILPVLPYLPVSAEGSEGLNYDSRDGKRHGRGSSYAGGYSSSDYASGDGYGSYGSSQINASGGPYGAYGSYSSGRTGTSGLSGQAPVSRRKSYY >Potri.017G085500.5.v4.1 pep chromosome:Pop_tri_v4:17:9853764:9858805:1 gene:Potri.017G085500.v4.1 transcript:Potri.017G085500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085500.v4.1 MAGQRNSYGKRAHSQSDYDIGGNKRRNSGDDRERFVIDSQDTVYRYLCPARKIGSIIGRGGEIIKQLRIDTKSKIRVGETVPGCEERVVTIYSPSDETNEYEDSGNYISPAQDALFRVHDKVIAEDLQVEDDSEGSPQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVLKKALYQIASRLHDNPSRSQHLLVSAVPNVYSSVGSLVGPSAAAPIVGIAPLMGPYGGFKGDTGDWSRSLYSAPRDELASKEFSLRVVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSVAEGDDCLITISAKEIYDHYSPTIEAAVRLQPRCSEKMERDSGLISFTTRLLVPSSRIGCLLGKGGAIIDEMRKLTKAIIRIPRKENLPKVASDDDEMVQIAGDLDVAKDALIQISRRLRANVFDREGAMSAILPVLPYLPVSAEGSEGLNYDSRDGKRHGRGSSYAGGYSSSDYASGDGYGSYGSSQINASGGPYGAYGSYSSGRTGTSGLSGQAPVSRRKSYY >Potri.011G163216.1.v4.1 pep chromosome:Pop_tri_v4:11:18794836:18795893:1 gene:Potri.011G163216.v4.1 transcript:Potri.011G163216.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163216.v4.1 MAASIIFFGFLALSFSFALASDPSPLQDFCVAGGDGNVLVNGLACKDPKSVQASDFSFSGLHMLGNTSNAVGSRVTAVNVAQIPGLNTLGISFARIDYAPAGINPPHTHPRASEILTVLEGSLEVGFVTSNPENRLITKVLQKGDVFVFPINLVHFQRNVGTSNAVALAALSSQNPGVITIANAVFGSNPDIPSDILAKAFQLHKNVVNSLQSKF >Potri.013G040000.1.v4.1 pep chromosome:Pop_tri_v4:13:2704961:2708730:-1 gene:Potri.013G040000.v4.1 transcript:Potri.013G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040000.v4.1 MAEEQKVIIPRVKLGNQGLEVSKLGFGCMGLSGMYNAPLPEEVVISIIKEAYSKGITFFDTADVYGPHTNEIVVGKALKHLPREKIQLATKFGIVPNGSDFKNCAINGSPEYIRACCDASLKRLDLEYIDLYYQHRTDTSVPIEETMGELKKLVEEGKVKYIGLSEASPDTIKRAHAVHPISAVQMEWSLWSRDIEEEIIPLCRELGIAVVPYSPIGRGFFGGRAVMESLPSETVLKLHPRFTEENIEKNKVFYSRIEKLAAKHGCTPAQLALAWVINQGDDVVPIPGTTKIKNLYENVGSLQVKLTKEDLKEISDAVPINEVAGVRSPQYQLTWKFADTPQPKKSQV >Potri.009G123400.3.v4.1 pep chromosome:Pop_tri_v4:9:10296794:10298662:1 gene:Potri.009G123400.v4.1 transcript:Potri.009G123400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123400.v4.1 MEFRVEERALKSSLLRELDTKTTSEQAFCEDFLALNTPGVVSFDQDFSVDCFLDFSNGEFNDGYVQEQEEEKDSISVSSQDRVDDDFNSNSSSFSDSFLASELAVPTDDIAELEWVSHFVDDSVSDVSLLVPACKGSSKRHAKNRFEPETKPTFAKTSCLFPSRVPSKARTKRSRPTGRTWSAGSNQSETPSSSTSSTSSMPCLVATNTVQTADSLSWLSEQPMKISKKRPAVHTSGLMASTQFQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSSEVHSNSHRKVLEMRRKKEVAGAEPRLNQMVPSF >Potri.009G123400.1.v4.1 pep chromosome:Pop_tri_v4:9:10296786:10298667:1 gene:Potri.009G123400.v4.1 transcript:Potri.009G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123400.v4.1 MEFRVEERALKSSLLRELDTKTTSEQAFCEDFLALNTPGVVSFDQDFSVDCFLDFSNGEFNDGYVQEQEEEKDSISVSSQDRVDDDFNSNSSSFSDSFLASELAVPTDDIAELEWVSHFVDDSVSDVSLLVPACKGSSKRHAKNRFEPETKPTFAKTSCLFPSRVPSKARTKRSRPTGRTWSAGSNQSETPSSSTSSTSSMPCLVATNTVQTADSLSWLSEQPMKISKKRPAVHTSGLMASTQFQRRCSHCQVQKTPQWRTGPLGAKTLCNACGVRYKSGRLFPEYRPACSPTFSSEVHSNSHRKVLEMRRKKEVAGAEPRLNQMVPSF >Potri.008G098850.2.v4.1 pep chromosome:Pop_tri_v4:8:6174478:6183446:1 gene:Potri.008G098850.v4.1 transcript:Potri.008G098850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G098850.v4.1 MNSQMSSTVKIEYLQDIELTESGRSTVSSASGSQVPSFHGVSIGNSDHYVSNGVVENDLQQRDTIERLPTFERITTALLDEVDDGKTGNKQADVKGKRIVNVAKLGAQDRHMLIEKLIKHIENDNLQLLQKLRERLDQVGVEFPTVEVRYRSLCVEAECEVVHGKPLPTLWSTAKGMLSGIANLSCLRQRAKISILKDVRGIIKPRTMTLLLGPPGCGKTTLLLALAGKLSHSLELSGELSYNGYGLGEFVPQKTSAYVSQYDLHIPEMTVRETIDFSACCQGIGSRAEILMEVIRREKQAGIHPDSDVDTYMKGISVEGLKSTLQTDYILKILGLDICSDTMIGDAMRRGISGGQKKRLTTGEMIVGPTKALFMDEISNGLDSSTTSQIVSCLQQMAHVTHDTVLISLLQPAPETFDLFDDVILMAEGKIVYHGPRSSICKFFEDCGFRCPERKGVADFLQEVISRKDQAQYWYCKEQPYSYVSIDEYVKKFKESEFGQKLDEELSKPFAKSESHKTALSFEKYSLPKWELFKVCSTREFLLMKRNYFIYVFKSVLLVFIASVTMTVLLRTRMAVDPIHANYYMGALFYALIIILVDGLPELLMTVSRLAVFNKQRELCFYPAWAYAIPAAILKVPLSFLEAFVWTTLTYYVIGYSPEVSRFFRQFLLFFLVHLTSTSMYRFIASIFQTVVASTLAGSLIVLIVLLFGGFLIQKPSMPAWLEWGFWFSPLTYGEIGLTVNEFLAPRWGKVVSANATIGQRILESRGLNFHSYFYWISVGALIGFTVLFNVGFTLALTFLKSPGKTRAIISYEKYNRLQGKIDGGVCVGKNKTPTSACSKSSTGPNKGRLVLPFELLTFTFKDVQYYVDTPLEMRKRGFLPKRLQLLSDITGAFRPGILTALMGASGAGKTTLMDVLSGRKTLGTIEGEIRIAGYLKVQDTFARISGYCEQTDIHSPQITVEESLVYSAWLRLPPEIPAEKKFEFVNEVLETIELDGIKDALVGIPGISGLSTEQRKRLTIAVELVANPYIIFMDEPTSGLDARAAAVVMRAVKNVAETGRTVVCTIHQPSIDIFEAFEELLLMKLGGRIIYFGPVGQFSSKVIEYFESIPGVPKIEDKYNPATWMLEVTSRSAEAELGVDFAQIYRESTLYKENKQLVEQLSSPISGSKDLHFPSRFPQNGWEQLKACIWKQNLSYWRSPAYNLIRIFYIFSGSVLFGLLFWQQGKRIENHQDLFNILGSMYSAIIFFGISNCSGVLPRIAAERAVMYRERFAGMYSSWAYSFAQVLVEVPYLLAQAIIYVTITHTMIGYSLSPYKIFWSVYGMFCTLLSFNYLGMLLISVTPDIQLASALTSPFYTMLHLFSGFFVPRTYIPKWWIWLYYISPTSWQLNGLFTSQYGDLEKEITVFGQTKSVAAFLQDYFGFHRNFLSVVAVVLIIFPIIFASLFAYFIGRLNFQKR >Potri.013G118600.2.v4.1 pep chromosome:Pop_tri_v4:13:12674509:12676330:1 gene:Potri.013G118600.v4.1 transcript:Potri.013G118600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118600.v4.1 MASEQARREDTTSEREFSVARERVPKIANHFVSLIEKPKESGVLVGVMENLSTEGGNEMGAQFESLADKVRAGTGVSSDKERERREEEQERKAREGYSVGKFEVKGEGERGGRKEKNGEQNNEQELSLDEITKLRGTAQQNSLEALKAAEERNEKEKEKASLVVGCKEKAPQAKHTVVEKAMQAKDTAAEKAARAKETSGDKAGQAKDIISEKAAQAKDIVAERTARAKDAAADKAEQAKDTVLGGAQKTSRNLAEKGSRAKDTAAEKTSQAKDTIWEGAQKSCQQIEEKASQAKSTVLEGAQKVAEKGAQAKDTVAEGSQKSTQYAAEKGAQVKDTAVEGGRKTTQFIAEKGVQAKDTVVEETQKTTHYLAEKGAQVKDTLVEGAKKFTQYVTEKGAQAKDTIFEGAKKTSEYVAEKEVRAKDVTVESGKEAVHYVEKVAVDVKDKAAAAGWTAAHYTTEKAVEGSKAAARAVEYAGQKTAELAGKPLGAAKQTATESGESMKEYTAWKKEEAERELEARKAAEGEVQFKK >Potri.006G209801.2.v4.1 pep chromosome:Pop_tri_v4:6:21743332:21744601:-1 gene:Potri.006G209801.v4.1 transcript:Potri.006G209801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209801.v4.1 MNRYGLLEESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAASMKAAGGDGDEEDEE >Potri.006G209801.3.v4.1 pep chromosome:Pop_tri_v4:6:21742640:21744612:-1 gene:Potri.006G209801.v4.1 transcript:Potri.006G209801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209801.v4.1 MNRYGLLEESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAASMKAAGGDGDEEDEE >Potri.008G099950.4.v4.1 pep chromosome:Pop_tri_v4:8:6232974:6233982:1 gene:Potri.008G099950.v4.1 transcript:Potri.008G099950.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099950.v4.1 MKWRKMRKTERLKKMMKTMTRIPRGMNLKKPKRTFWKGMQEQPLPWKMVRLVEDQEHEVELGKSDYTLFSRKCNNYLQRSMK >Potri.008G099950.1.v4.1 pep chromosome:Pop_tri_v4:8:6232688:6235070:1 gene:Potri.008G099950.v4.1 transcript:Potri.008G099950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099950.v4.1 MKWRKMRKTERLKKMMKTMTRIPRGMNLKKPKRTFWKGMQEQPLPWKMVRLVEDQEHEVELGKSDYTLFSRKCNNYLQRSMK >Potri.008G099950.2.v4.1 pep chromosome:Pop_tri_v4:8:6232911:6234842:1 gene:Potri.008G099950.v4.1 transcript:Potri.008G099950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099950.v4.1 MKWRKMRKTERLKKMMKTMTRIPRGMNLKKPKRTFWKGMQEQPLPWKMVRLVEDQEHEVELGKSDYTLFSRKCNNYLQRSMK >Potri.008G099950.3.v4.1 pep chromosome:Pop_tri_v4:8:6232689:6234762:1 gene:Potri.008G099950.v4.1 transcript:Potri.008G099950.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099950.v4.1 MKWRKMRKTERLKKMMKTMTRIPRGMNLKKPKRTFWKGMQEQPLPWKMVRLVEDQEHEVELGKSDYTLFSRKCNNYLQRSMK >Potri.006G216500.4.v4.1 pep chromosome:Pop_tri_v4:6:22203836:22204834:-1 gene:Potri.006G216500.v4.1 transcript:Potri.006G216500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216500.v4.1 MLKKQATLRFFTTNTRALIMASLARNDPFLKGFHSFPPQSPTRPQSSLLFRNVNVSFDNSGSPGFRIDRGGGALMLKGCCSDSSRDQKARAFAPNNQLVRDFEARLEDRSASINGRFVNDHGLCGKLPRFPDFPLTEKIVVAVDVDEVLGNFVSALNRFIADRYSLNHSVSEYHVYEFFRVFHSLSLFYFHM >Potri.006G216500.1.v4.1 pep chromosome:Pop_tri_v4:6:22201238:22205318:-1 gene:Potri.006G216500.v4.1 transcript:Potri.006G216500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216500.v4.1 MLKKQATLRFFTTNTRALIMASLARNDPFLKGFHSFPPQSPTRPQSSLLFRNVNVSFDNSGSPGFRIDRGGGALMLKGCCSDSSRDQKARAFAPNNQLVRDFEARLEDRSASINGRFVNDHGLCGKLPRFPDFPLTEKIVVAVDVDEVLGNFVSALNRFIADRYSLNHSVSEYHVYEFFRIWNCTRDEADFRVHEFFKTPYFKTGIYPIPGAQKALHKLSRLCKLSVVTSRQNVIKDHTVKWLEKHYPGVFHEIHFGNHFALDGESKSKSEICRSLGAKVLIDDNPRYAIECAEVGIRVLLFDYENSYPWCKTDSVNQNPLVTKVHDWDQVEQQLVSWIGS >Potri.T044600.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:389398:394383:-1 gene:Potri.T044600.v4.1 transcript:Potri.T044600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T044600.v4.1 MENMGGDIGTVQTEVQGLEHGVGEERISSHAEAANDILSMTGLRGDALQSKLRIKQAVQVLQQSNVADNLVADVAKIQMGVQGMEQGLGEERICSHLKVANGMENTGERCIQHVDGSVSHWRHTVDDHDSRREATERLVQTNVGASPSGGNDIDNAISTSPHEQNNEADNLAGDAPDMNEVEIYNFLMKDNTVNGAGGVAQPGAGAFEENTNVIRSWLMDDEVSTIGIWGMGGVGKTTMLERIYKELLERPDILHHVYWVTVSQDFSIYKLQNKIARLLHLDLSSEYEIQPRAVKLSEKLVNKQKWILILDDLWESFDLHKVGIPIPLKGCKLIFTTRLKIICQQMGSKHKIKVKPFSETETWTLFMDKLGYDIPLSLEVERIAKDVAKECAGLPIAITTMAGNLTGVDDLDEWKNTLKELKESKYSDMDEVFRILRFSYDRLHDLALQQCLLYCALFPEGQVIEREELISNLINVGIIERMESRQEALDKGHKMLNRLEGVCLLDRIDDGNAIKMHDLIRDMAIQIRKENPSVMDKMSRPKDPFWNHVEDMNGSSKKCKFCGHEFAKSTSVTRIKLHLSGQRGRGVKICKDVPEEVQEIAFLATLDGTPGRKRKTVAGSRNNEVTIAIDVNMSDRENGTGEVAEKLLDAGESSRPGEKSGWTLLMTNLILEFASAVSDQLSYALIGMVLAYVALLLATAELILYMARKKIMSLLPCFHRRSTSPSAPGEAVGTFVVYFGLVGAAWQCIYSTMQYTYARQQKANPIKMCLLPFLFVLCVVFSKLNSNRIPQTRVLRNGIFSYLKKW >Potri.T044600.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:389287:395009:-1 gene:Potri.T044600.v4.1 transcript:Potri.T044600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T044600.v4.1 MENMGGDIGTVQTEVQGLEHGVGEERISSHAEAANDILSMTGLRGDALQSKLRIKQAVQVLQQSNVADNLVADVAKIQMGVQGMEQGLGEERICSHLKVANGMENTGERCIQHVDGSVSHWRHTVDDHDSRREATERLVQTNVGASPSGGNDIDNAISTSPHEQNNEADNLAGDAPDMNEVEIYNFLMKDNTVNGAGGVAQPGAGAFEENTNVIRSWLMDDEVSTIGIWGMGGVGKTTMLERIYKELLERPDILHHVYWVTVSQDFSIYKLQNKIARLLHLDLSSEYEIQPRAVKLSEKLVNKQKWILILDDLWESFDLHKVGIPIPLKGCKLIFTTRLKIICQQMGSKHKIKVKPFSETETWTLFMDKLGYDIPLSLEVERIAKDVAKECAGLPIAITTMAGNLTGVDDLDEWKNTLKELKESKYSDMDEVFRILRFSYDRLHDLALQQCLLYCALFPEGQVIEREELISNLINVGIIERMESRQEALDKGHKMLNRLEGVCLLDRIDDGNAIKMHDLIRDMAIQIRKENPSVMDKMSRPKDPFWNHVEDMNGSSKKCKFCGHEFAKSTSVTRIKLHLSGQRGRGVKICKDVPEEVQEIAFLATLDGTPGRKRKTVAGSRNNEVTIAIDVNMSDRENGTGEVAEKLLDAGESSRPGEKSGWTLLMTNLILEFASAVSDQLSYALIGMVLAYVALLLATAELILYMARKKIMSLLPCFHRRSTSPSAPGEAVGTFVVYFGLVGAAWQCIYSTMQYTYARQQKANPIKMCLLPFLFVLCVVFSKLNSNRIPQTRVLRNGIFSYLKKW >Potri.015G139966.1.v4.1 pep chromosome:Pop_tri_v4:15:14723284:14724119:1 gene:Potri.015G139966.v4.1 transcript:Potri.015G139966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139966.v4.1 MRNKNPQLFLIFLIVFLVLVHGTTCRDTKRSTSNGETEQGSKTKHSSMFLQALSSIFKASESSTNNIKALHTVSRRLVPCGPNPLHN >Potri.012G021500.1.v4.1 pep chromosome:Pop_tri_v4:12:2217376:2217696:-1 gene:Potri.012G021500.v4.1 transcript:Potri.012G021500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021500.v4.1 MAMGAMSVTVTVVVAAAGIYFFDKSHTKAQELGSRMRSSSENMVAKVKTSETPKLAPQLDGLNCFETLICH >Potri.011G142300.1.v4.1 pep chromosome:Pop_tri_v4:11:17217791:17219534:1 gene:Potri.011G142300.v4.1 transcript:Potri.011G142300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142300.v4.1 MATSSVMASSMSLKPAPFTVKKPSLPSLSRRSSFKVEASRSRKSKTDQPYGINGGMDLRGGLDASGRKGKGKGVYQFVDKYGANVDGYSPIYDPKDWSPSGEVYVGGTTGLLIWAVTLAGLLAGGALLVYNTSALVQ >Potri.012G066700.1.v4.1 pep chromosome:Pop_tri_v4:12:8552122:8555759:1 gene:Potri.012G066700.v4.1 transcript:Potri.012G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066700.v4.1 MFGSKKSPLKISEHNKADPECPAPSRSNHFESENAVGTKKSLKPPRKTSSEPNLTSPNFRTNPFDNDEERGLSSSSTYSLALSTRHKYKNDFLDLGGLENQPVQELENYAVYKAEETTKAVNGCLKIAEEMREGATTTLITLHQQGEQITKTHSVAVEIDHDLSRGEKLLGSLGGMFSKTWKPKKNRAIRGPVITRDASPRRRGNHLEQREKLGLNLAPKERSSTKTPLPETANAFQKVEFEKSKQDDALSDLSNVIGELKNMAVDMGAEFDRQVGALDHVQDDVDELNSRLGGANKRGLHLLRK >Potri.008G138800.4.v4.1 pep chromosome:Pop_tri_v4:8:9331430:9337210:-1 gene:Potri.008G138800.v4.1 transcript:Potri.008G138800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138800.v4.1 MTAATASMVSQAAFNQSKPSLALKTQLAFSSNLFSTPSSVSFRAKSQKGLVVKCSMAAAETNSRAAVSSDKGIKNPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEDLKRKKPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSPVYYDEKGEDGLFSGLSK >Potri.008G138800.3.v4.1 pep chromosome:Pop_tri_v4:8:9331417:9337213:-1 gene:Potri.008G138800.v4.1 transcript:Potri.008G138800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138800.v4.1 MTAATASMVSQAAFNQSKPSLALKTQLAFSSNLFSTPSSVSFRAKSQKGLVVKCSMAAAETNSRAAVSSDKGIKNPIIVIDNYDSFTYNLCQYMGELGCHFEVYRNDELTVEDLKRKKPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKVVRSPYGVVHGKGSPVYYDEKGEDGLFSGLSNPFTAGRYHSLVIEKESFPSEELEVTAWTEDGLIMAARHRKYKHLQGVQFHPESIITSEGKIIVSNFIKMIERKEAESES >Potri.001G038525.1.v4.1 pep chromosome:Pop_tri_v4:1:2788815:2792710:-1 gene:Potri.001G038525.v4.1 transcript:Potri.001G038525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038525.v4.1 MIPRSVSLIFFLLFLVPEIATVSALIMARPNCTDTCGNISIPFPFGIGTGCYRNEWFSVDCNETTADSPSRAFLSRINMEVLEISIGYSNPMVRVNSPIISSGCAGRGANLAINMTGSPFVFSYSNIFTAMGCNNRALLNGIEPEIVGCTSTCGANNLTSSSTEGKENGYCSGNNCCQTTIPSSLQVFNASLGTAEDPLNDQGWNQCKVAFIVEGAWFRNNISSPEVVQDMQYVPVILDWVMYSDDIPEGVKNSDATYCSHPMNLSSGALRTVTLYSNSTTCWCSPGYDGNPYLPDGCTDIDECKIPRVNWCSGMTKCVNVPGRYKCELDKAKITFLILGAATGLLLLLVGIWRLYKLVKKRKNIELKKKFFKRNGGLLLQQQLSSSDGSIQKTKIFTSKELEKATDRFNDNRILGQGGQGTVYKGMQADGMIVAVKKSKMVDEEKLEEFINEVVILSQVNHRNVVKLLGCCLETEVPLLVYEFIPNGNLFEYIHDQKEEFEFSWEMRLRIATEVARALSYLHSAASIPVYHRDIKSTNIMLDEKFRAKVSDFGTSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERPEDRRSLATHFILLMEENKIFDILDERLMGQDREEEVIAVANLARRCLNLNGRKRPTMREVAIELEQIRVSKGALHAQQSSKELENIRDEVPNVWEIAGPTTSVTIGD >Potri.005G013800.1.v4.1 pep chromosome:Pop_tri_v4:5:1101796:1105747:1 gene:Potri.005G013800.v4.1 transcript:Potri.005G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013800.v4.1 MMMKKKWVWLLLTLLTSVGEWYGRCCGCLEEERIGLLEIKALIDPNSVQGELSDWMDNKEDIGNCCEWSGIVCDNTTRRVIQLSLMRARDFRLGDWVLNASLFLPFKELQSLDLGETGLVGCSENEGFGTLSSKLRKLRALDLSYNKFYSDSILSCLTGFSSLKSLDLSWNTLTGSANFYGLNVLSSRLKKLENLHLRGNQYNDSIFSSLIGFSSLKSLDLSYNMLTGSTSINGTFFNSTTLEELYLDGSSLPLNFLHNIGVLPALKVLSAGECDLNGTLLAQGLCGLKSLEQLFLSENNLEGSLPDCFKNLSSLQLLDVSRNQFTGNIASSPLTNLLSLEFISLSNNHFQVPISMKPFMNHSSLRFFFSDNNRLVTEPMSFHDLIPKFQLVFFSLSKSSSEALNVETPSFLYNQHDLRVLDLSQNSFIGMFPSWLLKNNTRLEQLFLNENSFFGALQLQDHPNPDMTAIDISNNNMHGEIPKNICLIFSNMWTLRMAKNGLTGCIPSCLGNISSLGVLDLSNNQLSMVELEQFITLTFLKLSNNNLGGQLPASMVNSSRLNYLYLSDNNFWGQISDFPSPIRTMWHVLDLSNNQFSSMLPRWFVNLTQIFAIDLSKNHFNGPIPVEFCKLDELTYLDLSENNLFDSIPSCFNPPHITYVHLSKNRLSGPLTYGFYNSSSLVTLDLRDNNFTGSISNWIGNLSSLSVLLLRANNFDGEFLVQLCLLEQLSILDVSQNQLSGPLPSCLGNLSFKESYEKASVDFGFHFGSTPIEKAYYEFNQTRALLGSSYIPITTEEVIEFTAKSMYYGYKGKILSFMSGIDLSSNKFSGAIPPELGNLSELLALNLSHNNLTGSIPATFSNLKQIESLDLSYNNLDGVIPHKLYEITTLEVFSVAHNNLSGETPERKYQFGTFDESSYEGNPFLCGPPLQNNCSEEESPSLPMPNDEQEDDGFIDMNFFYISLGVGYIVVVMGIAAVLYINPYWRRGWFNFIDYCIDTCFNFLLASFCKVSNFRR >Potri.001G134425.1.v4.1 pep chromosome:Pop_tri_v4:1:10934540:10939155:-1 gene:Potri.001G134425.v4.1 transcript:Potri.001G134425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134425.v4.1 MAESAVTFLLDKLAPLFENELQLLRGGREEIVYVRGELERIRAFLRVADTLEESDEEVKVWVKQIRDVAHETEDILDEFTILLAHDHASGLYGLIHKMSCCIKNMKARYRIASQIKAMNSRIRNISDGHRRLRQKFFVAEHGSSSASTGWQDRREDALLLDMTDLVGIEERKSKLVGWLVDGRSGREVVSLAGMGGLGKTTLAKQVYDDAEVKKHFSVHAWITVSRSYKMEELLKDILQQLFAADRKPVPKNLESQNSSQLKSIIKEVLQKRRYLIVLDDVWHVNEWDAVKYALPTNNCGSRVMLTTRNADLAFTSRIESEGKVYNLEPLLPEESWTLFCRKTFRGNSCPHHLEDICKNILRKCEGLPLAIVAISGVLAAKDKRRIDEWEMVRRSLGAEIEDNNKLLNLKKVLSLSFNDLPYYLKSCFLYVSIFPEDHLIEHTKLIRLWVAEGFVEAKYGKELEDVAEDYFNELLNRSLLQVAETASDGRVKTCRPHDLLREIIISKSRDQNFAVIAKDQNAMWPDKIRRLSIHYTVRNVQLNRCVSQLRSLFMFGVVEKSPLRTLFPNGFRLLHVLDLQGAPIKMFPVQVINLYYLRYLSLKETKVSIVPSYIGKLQHLETLDLKHTYVTELPDEILKLQRLRHLLVYRYKFESYAHFHSKNGFKALEKIGQLQSLQKLCFVEANHGNGNIVNLSRVFLQNSIVYSYCRNRGFIHHNVQPS >Potri.010G102600.1.v4.1 pep chromosome:Pop_tri_v4:10:12506320:12512074:-1 gene:Potri.010G102600.v4.1 transcript:Potri.010G102600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102600.v4.1 MPFQSTIKAKVSTLSPRLSPTPPSPPILSPPVKSLTFENKTKAMFGEKDRVSNGEAESERSLNQGNPGEKMSGFSQKGIDFLRESKMGFDGFGLKPQELTLSYLCENNHNNPKLGFSLKGKEVIFSDNSNQDEKWVERDFLNLSETKSNSSSKRQVPREEDEEVEENSSRDKKPKLESTLNLSLALPDVSLSLTAPNALQNVDPLIERNRHEFLGAAAAAAATLSNNNTQTTCSNDFTAASLSYSYSHPFSHNPSCSMTRNSTENYEYSVGRDDQIWCGGEGTNGSVHSRFRPIADGIVALNNNNHGGGGSVMQGNRATNKDSCNNSLYKTTSSDNLSFFPSELPARPRLDAYSGDSRRRDSENLRGLESGDAEGRAKKLSRPGRILRQIVSETIPVMAQIIQEVAEETLESTKEYLKNLIAMPEKRDELVGLQNWLERRSDLTKETLLKSQKDQLEILVAVKMGHGSFVSGKVRLPTNELVEIFLFLRCRNVNCKSILPVDDCDCKFCSGNKGFCSSCMCPVCMNFDCASNTCSWVGCDVCSHWCHAACGIQKNLIRPGPSLKGPSGTSEMQFHCIGCNHASEMFGFVKDVFVCCAKDWGLETLIKELDCVAKIFKGSQDFKGKELNTKAEDLLSKLERKMISSKDACIAIIQFFTYADNMSDFPASGVSAKELMPTEASLRKDAVPMLSAPSLPPKYTIDNMGSSSGRRDSLPNDLHRNNIKAALLDDLKIGSEFKFGKLQKNDGFDSLESVVRIKEAEARMFQSKADEARREAEGYRQMIRAKSDKLEEEYAEKLAKLSLQETGERRRKKMEELKALENTHCDYYNMKQRMQEEIDGLLERMEATKQQWV >Potri.010G102600.3.v4.1 pep chromosome:Pop_tri_v4:10:12507397:12511568:-1 gene:Potri.010G102600.v4.1 transcript:Potri.010G102600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102600.v4.1 MFGEKDRVSNGEAESERSLNQGNPGEKMSGFSQKGIDFLRESKMGFDGFGLKPQELTLSYLCENNHNNPKLGFSLKGKEVIFSDNSNQDEKWVERDFLNLSETKSNSSSKRQVPREEDEEVEENSSRDKKPKLESTLNLSLALPDVSLSLTAPNALQNVDPLIERNRHEFLGAAAAAAATLSNNNTQTTCSNDFTAASLSYSYSHPFSHNPSCSMTRNSTENYEYSVGRDDQIWCGGEGTNGSVHSRFRPIADGIVALNNNNHGGGGSVMQGNRATNKDSCNNSLYKTTSSDNLSFFPSELPARPRLDAYSGDSRRRDSENLRGLESGDAEGRAKKLSRPGRILRQIVSETIPVMAQIIQEVAEETLESTKEYLKNLIAMPEKRDELVGLQNWLERRSDLTKETLLKSQKDQLEILVAVKMGHGSFVSGKVRLPTNELVEIFLFLRCRNVNCKSILPVDDCDCKFCSGNKGFCSSCMCPVCMNFDCASNTCSWVGCDVCSHWCHAACGIQKNLIRPGPSLKGPSGTSEMQFHCIGCNHASEMFGFVKDVFVCCAKDWGLETLIKELDCVAKIFKGSQDFKGKELNTKAEDLLSKLERKMISSKDACIAIIQFFTCKFSNFELLLFLCNICAISSH >Potri.008G187200.3.v4.1 pep chromosome:Pop_tri_v4:8:13077866:13080926:-1 gene:Potri.008G187200.v4.1 transcript:Potri.008G187200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187200.v4.1 MKQKTWPGRQYRQSTFKFWLSSEADFRQLRSSDGDFSTLPSDILTKIAASFTLPHLQTASLVCKSWSEGLRPLREALLFLKWGKRFKHGRGGVRPNLDKALDSFLKGAVRGSTLAMVDAGLLYWEIGKKDKAIALYKKAAVLGDPSGQCNLGLSYLQAEPSKRKEAVKWLFQASKSGHVRAQYQLALCLHQGCGFDRHLHEAARWYLKAAEGGYVRAMYRVALCYSVGEGLAQSHRQARKWMKRAADRGHSKAQYEHGLGLFSEGEKLKAVVYLELATHAGETAAVHVKNVILQQLPATSRDHVMNLANNWRALPSL >Potri.008G187200.5.v4.1 pep chromosome:Pop_tri_v4:8:13077466:13080926:-1 gene:Potri.008G187200.v4.1 transcript:Potri.008G187200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187200.v4.1 MKQKTWPGRQYRQSTFKFWLSSEADFRQLRSSDGDFSTLPSDILTKIAASFTLPHLQTASLVCKSWSEGLRPLREALLFLKWGKRFKHGRGGVRPNLDKALDSFLKGAVRGSTLAMVDAGLLYWEIGKKDKAIALYKKAAVLGDPSGQCNLGLSYLQAEPSKRKEAVKWLFQASKSGHVRAQYQLALCLHQGCGFDRHLHEAARWYLKAAEGGYVRAMYRVALCYSVGEGLAQSHRQARKWMKRAADRGHSKAQYEHGLGLFSCDSEKNKDWCFGQI >Potri.008G187200.4.v4.1 pep chromosome:Pop_tri_v4:8:13077678:13080926:-1 gene:Potri.008G187200.v4.1 transcript:Potri.008G187200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187200.v4.1 MKQKTWPGRQYRQSTFKFWLSSEADFRQLRSSDGDFSTLPSDILTKIAASFTLPHLQTASLVCKSWSEGLRPLREALLFLKWGKRFKHGRGGVRPNLDKALDSFLKGAVRGSTLAMVDAGLLYWEIGKKDKAIALYKKAAVLGDPSGQCNLGLSYLQAEPSKRKEAVKWLFQASKSGHVRAQYQLALCLHQGCGFDRHLHEAARWYLKAAEGGYVRAMYRVALCYSVGEGLAQSHRQARKWMKRAADRGHSKAQYEHGLGLFSEGEKLKAVVYLELATHAGETAAVHVKNVILQQLPATSRDHVMNLANNWRALPSL >Potri.005G208600.9.v4.1 pep chromosome:Pop_tri_v4:5:21294554:21305968:1 gene:Potri.005G208600.v4.1 transcript:Potri.005G208600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208600.v4.1 MATPPSSRLQTMLQAAVQSVQWTYSLFWQMCPQQGILVWGDGYYNGPIKTRKTVQPMEVTTEEASLQRSQQLRELYDSLSIGETNQPARRPCAALSPEDLTETEWFYLMCVSFSFPPGGGLPGKAYARRRHVWLTGANEIDSKTFSRAILAKTVVCIPLLDGVVEFGTTDKVQEDLGLIQHVKTFFSDHHHRHLTPPKPALSEHSTSSPATSSHDHPRFHPPPIPPFYVAAEPSANAEQIDEDEEEDEEEEEHDSDSEAETSRDDHLEPRQAQNPHQVVAAEPSELMQLEMSEDIRLGSPDDGSNNLDSDFPLTGPDNSMDHRSRADSYKAESARRWTMLQDNPFSGNLQPSASGPPPLEDLAQEDTHYSQTISTILQSQPVWLAAEPSSIAYEARYHQSAFSRWTNRSDHLFHVSVETTSQWLLKYILFSVPHLHSKSREDNSPKSRDGEAASRFRKGTPQDELSANHVLAERRRREKLNERFIMLRSLVPFVTKMDKASILGDTIEYVKQLRQKIQDLETRNKQMESEQRPRSVDRPQRTSTSDSLKKQKSGVTVVDRARSLGPLPDKRKMRVVEDSAGGGAKPKTVGALPQPEPVVHKELETSVEVSIIESDALLELECGFREGLLLDIMQMLRELRIETIAVQSSLNNGIFAGELRAKVKENVNGKKVSIVEVKRAIHKIIPHD >Potri.005G208600.8.v4.1 pep chromosome:Pop_tri_v4:5:21294459:21305941:1 gene:Potri.005G208600.v4.1 transcript:Potri.005G208600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208600.v4.1 MATPPSSRLQTMLQAAVQSVQWTYSLFWQMCPQQGILVWGDGYYNGPIKTRKTVQPMEVTTEEASLQRSQQLRELYDSLSIGETNQPARRPCAALSPEDLTETEWFYLMCVSFSFPPGGGLPGKAYARRRHVWLTGANEIDSKTFSRAILAKSARVQTVVCIPLLDGVVEFGTTDKVQEDLGLIQHVKTFFSDHHHRHLTPPKPALSEHSTSSPATSSHDHPRFHPPPIPPFYVAAEPSANAEQIDEDEEEDEEEEEHDSDSEAETSRDDHLEPRQAQNPHQVVAAEPSELMQLEMSEDIRLGSPDDGSNNLDSDFPLTGPDNSMDHRSRADSYKAESARRWTMLQDNPFSGNLQPSASGPPPLEDLAQEDTHYSQTISTILQSQPVWLAAEPSSIAYEARYHQSAFSRWTNRSDHLFHVSVETTSQWLLKYILFSVPHLHSKSREDNSPKSRDGEAASRFRKGTPQDELSANHVLAERRRREKLNERFIMLRSLVPFVTKMDKASILGDTIEYVKQLRQKIQDLETRNKQMESEQRPRSVDRPQRTSTSDSLKKQKSGVTVVDRARSLGPLPDKRKMRVVEDSAGGGAKPKTVGALPQPEPVVHKELETSVEVSIIESDALLELECGFREGLLLDIMQMLRELRIETIAVQSSLNNGIFAGELRAKVKENVNGKKVSIVEVKRAIHKIIPHD >Potri.005G208600.6.v4.1 pep chromosome:Pop_tri_v4:5:21294459:21305943:1 gene:Potri.005G208600.v4.1 transcript:Potri.005G208600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208600.v4.1 MATPPSSRLQTMLQAAVQSVQWTYSLFWQMCPQQGILVWGDGYYNGPIKTRKTVQPMEVTTEEASLQRSQQLRELYDSLSIGETNQPARRPCAALSPEDLTETEWFYLMCVSFSFPPGGGLPGKAYARRRHVWLTGANEIDSKTFSRAILAKSARVQTVVCIPLLDGVVEFGTTDKVQEDLGLIQHVKTFFSDHHHRHLTPPKPALSEHSTSSPATSSHDHPRFHPPPIPPFYVAAEPSANAEQIDEDEEEDEEEEEHDSDSEAETSRDDHLEPRQAQNPHQVVAAEPSELMQLEMSEDIRLGSPDDGSNNLDSDFPLTGPDNSMDHRSRADSYKAESARRWTMLQDNPFSGNLQPSASGPPPLEDLAQEDTHYSQTISTILQSQPVWLAAEPSSIAYEARYHQSAFSRWTNRSDHLFHVSVETTSQWLLKYILFSVPHLHSKSREDNSPKSRDGEAASRFRKGTPQDELSANHVLAERRRREKLNERFIMLRSLVPFVTKMDKASILGDTIEYVKQLRQKIQDLETRNKQMESEQRPRSVDRPQRTSTSDSLKKQKSGVTVVDRARSLGPLPDKRKMRVVEDSAGGGAKPKTVGALPQPEPVVHKELETSVEVSIIESDALLELECGFREGLLLDIMQMLRELRIETIAVQSSLNNGIFAGELRAKVKENVNGKKVSIVEVKRAIHKIIPHD >Potri.005G208600.7.v4.1 pep chromosome:Pop_tri_v4:5:21294455:21305941:1 gene:Potri.005G208600.v4.1 transcript:Potri.005G208600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208600.v4.1 MATPPSSRLQTMLQAAVQSVQWTYSLFWQMCPQQGILVWGDGYYNGPIKTRKTVQPMEVTTEEASLQRSQQLRELYDSLSIGETNQPARRPCAALSPEDLTETEWFYLMCVSFSFPPGGGLPGKAYARRRHVWLTGANEIDSKTFSRAILAKSARVQTVVCIPLLDGVVEFGTTDKVQEDLGLIQHVKTFFSDHHHRHLTPPKPALSEHSTSSPATSSHDHPRFHPPPIPPFYVAAEPSANAEQIDEDEEEDEEEEEHDSDSEAETSRDDHLEPRQAQNPHQVVAAEPSELMQLEMSEDIRLGSPDDGSNNLDSDFPLTGPDNSMDHRSRADSYKAESARRWTMLQDNPFSGNLQPSASGPPPLEDLAQEDTHYSQTISTILQSQPVWLAAEPSSIAYEARYHQSAFSRWTNRSDHLFHVSVETTSQWLLKYILFSVPHLHSKSREDNSPKSRDGEAASRFRKGTPQDELSANHVLAERRRREKLNERFIMLRSLVPFVTKMDKASILGDTIEYVKQLRQKIQDLETRNKQMESEQRPRSVDRPQRTSTSDSLKKQKSGVTVVDRARSLGPLPDKRKMRVVEDSAGGGAKPKTVGALPQPEPVVHKELETSVEVSIIESDALLELECGFREGLLLDIMQMLRELRIETIAVQSSLNNGIFAGELRAKVKENVNGKKVSIVEVKRAIHKIIPHD >Potri.005G208600.4.v4.1 pep chromosome:Pop_tri_v4:5:21294449:21306130:1 gene:Potri.005G208600.v4.1 transcript:Potri.005G208600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208600.v4.1 MATPPSSRLQTMLQAAVQSVQWTYSLFWQMCPQQGILVWGDGYYNGPIKTRKTVQPMEVTTEEASLQRSQQLRELYDSLSIGETNQPARRPCAALSPEDLTETEWFYLMCVSFSFPPGGGLPGKAYARRRHVWLTGANEIDSKTFSRAILAKSARVQTVVCIPLLDGVVEFGTTDKVQEDLGLIQHVKTFFSDHHHRHLTPPKPALSEHSTSSPATSSHDHPRFHPPPIPPFYVAAEPSANAEQIDEDEEEDEEEEEHDSDSEAETSRDDHLEPRQAQNPHQVVAAEPSELMQLEMSEDIRLGSPDDGSNNLDSDFPLTGPDNSMDHRSRADSYKAESARRWTMLQDNPFSGNLQPSASGPPPLEDLAQEDTHYSQTISTILQSQPVWLAAEPSSIAYEARYHQSAFSRWTNRSDHLFHVSVETTSQWLLKYILFSVPHLHSKSREDNSPKSRDGEAASRFRKGTPQDELSANHVLAERRRREKLNERFIMLRSLVPFVTKMDKASILGDTIEYVKQLRQKIQDLETRNKQMESEQRPRSVDRPQRTSTSDSLKKQKSGVTVVDRARSLGPLPDKRKMRVVEDSAGGGAKPKTVGALPQPEPVVHKELETSVEVSIIESDALLELECGFREGLLLDIMQMLRELRIETIAVQSSLNNGIFAGELRAKVKENVNGKKVSIVEVKRAIHKIIPHD >Potri.012G133100.2.v4.1 pep chromosome:Pop_tri_v4:12:14812373:14814497:1 gene:Potri.012G133100.v4.1 transcript:Potri.012G133100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133100.v4.1 MAEAEKTGRNTIKGKIGDRKEAENKTSSKSKWPLIKHKKNLQITRLKENDLFTVQDFFSSAESKAFVKVTESIGFVHQGSLGPTHDEAYDRISVNDPFLANMLWSSKLFSDIKMRGGGGGISDSTVAVQLSFMRRNICIACMLLLYFDCFIRSKDQVFVPPVYFGISCYFFSVNLGDGKCTHYTLLIYLSGANKAKTKTDPNSQMDSFSEPLVGGETVFYGSRNSVLADVAPAEGMVLLHIHGDKCMLHEARNVTKGVKYIFRSDACFA >Potri.011G016200.1.v4.1 pep chromosome:Pop_tri_v4:11:1486761:1489751:-1 gene:Potri.011G016200.v4.1 transcript:Potri.011G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G016200.v4.1 MEPLMSPGTIEIDHKQKINGNLYYALMKGNKNRVAELCQKIQDHALHVITVNDDTVLHMATYAKEASLVEKLLDELPDHHLDKLTRQNRVGNTILHETATSNHTVALADKLLKKAPGLLGMRNHNGETALFRAARYGKTDMFNFLAAKVSGYDESGLQFYVQRSDKTTILHMAILSLHFDLAYQIALDYTHLIGQKDADGMTGLQLLSCNPSAFKLEPEEGFINLAKSYGSSVWREKVQKQKQLHRSAVELAKFLVRKDTSWELTYSSIDQSKPKIHKYGERGGQERQEVHLSNKILDKEESLGETPLILATKSGCVEIVEEILKLYPQAVEHIDDEGRNVLHVAIKYRQRKIFELVKGMDVPMKRLTRKIDGDGNSILHTVGRKRKDFVSDEKMEGPAFLLQEELLWFERVKEVTPSHFLNHQNNMKLTAEGYFITANSELRNLAKEWLKTTAEGCSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVTDVLSLTFALTSVVTFLSILTSPFRFKDFKHTLPNKLMVGFTFLFLSVAMMMVAFGATIILMIYSKESWTKITLYAVSFIPVGIFALSYFPLYPSLSKTYNLLQKIPFIKHIPAIPWISFKCCRVETTDTHFP >Potri.011G002700.1.v4.1 pep chromosome:Pop_tri_v4:11:177437:180652:-1 gene:Potri.011G002700.v4.1 transcript:Potri.011G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002700.v4.1 METDPPRLDFKLSYHDDETVGDELEQILTDTEAPYSKRIRSASWVELKLLFHLAAPAVIVYLLNNVVSMSTQIFCGHLGNLELAAVSLGNNGIQMFAYGLMLGMGSAVETLCGQAYGSNRHEMLGIYLQRSTVLLMATGIFPLMMIYIFSKPILILLGEPANIASAAAVFVFGLIPQIFAYAANFPIQKFLQAQSIIAPSAYISLGALVVHVLLTWLAVFKWNWGLLGAGLVLSLSWWIIVVAQFVYIVMSKKCRNTWKGFSVEAFSGLWSFFKLSAASAVMLCLETWYYQILVLIAGLLKNAEVALDSLSVCMTINVWVFMISVGFNAAASVRVSNELGAGHPKSASFSVLVVTSCSFIISVIAAIIVMMFRDSISYIFTEGEVVAKAASDLSPFLAVTIILNGVQPVLSGVAVGCGWQAFVAYVNVGCYYLIGVPLGVLLGFTFDLGAKGIWSGMLGGTVLQTLILLWVTWRTDWNTEVEVAKNRLSSWDDKRQPLLQN >Potri.004G192300.1.v4.1 pep chromosome:Pop_tri_v4:4:20630592:20631815:-1 gene:Potri.004G192300.v4.1 transcript:Potri.004G192300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G192300.v4.1 MLKIRNLGRWFFPLNTVIGLALGVLLLLIGAWWMSKHIKRRKCIQLKKSFFKRNGGLLLQQQLSSSDGSVQKTKIFNSNELEKATDYFNDNRILGHGGQGIVYKGMLADGSIVAVKKSTIVDEEKLEEFINEVVILSQINHRNVVRLLGCCLETDVPLLVYEFIPNGTLSQYLHEQNEDFTLSWESRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRATVADFGTSRSVSIDQTHLTTKVQCTFGYLDPEYFRTSQLTEKSDVYSFGVVLVELLSGKKPIFVAHSLKTMSLAEHFIELMEDSRLFDIIDAQVKGDCTEEEAIVIANLAKRCLNMNGRNRPPMREVAMELEGILLSRNGINIQQMVEVDNSSRSISCSSFEIGIDVPLDCKLLTSSETW >Potri.009G082500.1.v4.1 pep chromosome:Pop_tri_v4:9:7819182:7821885:1 gene:Potri.009G082500.v4.1 transcript:Potri.009G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082500.v4.1 MATKDQQPKKLPLFPLLSLLCFASIFLALSLSLSKRASISNETIHFRSTPTLTSTPCNYSHGSWIYDPNWIPNKYDSTCKEIFKGWNCIAGNKSNAKDIIKWRWQPNGCDLPPSDPVRFLQTFRDTSIGFVGDSLNRNMFVSLFCSLKRVSSDVKKWRPAGADRGFTFLLYNLTIAYHRTNLLARYGRWSANANGGELESLGYKEGYRVDVDIPEGTWADAPSFHDVLIFNTGHWWWAPSKFDPVKSPMLFFEKNQPLIPPVLPAVGLDKVLKHVILFVERRMRPGGIKLFRTQSPRHFEGGDWDQGGSCPRLQPLSPEKVEELFSLKNNGTNVESRLVNQHLFKALKGSTFHVLDITHMSEFRADAHPATAGGKKHDDCMHWCLPGVTDIWNDLFIMHLNSIKARN >Potri.010G176250.1.v4.1 pep chromosome:Pop_tri_v4:10:17632852:17633433:1 gene:Potri.010G176250.v4.1 transcript:Potri.010G176250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176250.v4.1 MKMTVVWLRVAGEEGHILGLGAGVVEVNEIDSALVLYRRGKGRHGRGRGRGKGRSYEISTSENASLGDSQKVCHRTALLFSAPELLHLAVSSLSVFLEVIATFLALSRGGGSVNS >Potri.017G058900.1.v4.1 pep chromosome:Pop_tri_v4:17:4729300:4730709:-1 gene:Potri.017G058900.v4.1 transcript:Potri.017G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058900.v4.1 MPKKIPKLPSEIISEILSRLPVKCLVRFKCVSKTWRSLISHPEFVKNHLKRTKEDTNANHYKIFLSTDPHLSIDPEAYFDADDNLLTTQLKFPVSYPEYSYIEILGSCNGLVCGLIHDNPLIYIWNPSTRESRELAIPGSSEDDAFYGFGYDVKLDDYKIVRVSISTSTNSTDGSNSETKVEVFTLKSNIWRTIEDLRCSVLLEGPGTLANGALHWLVRQENGGSKKCVMVSFDLSEEKFLEMVPLRGLTEDDSSWDLELKVLGDWLCLYSHYGLICEAWIMKEYSSEASWTRFLRFNGESIPGGKYWFRLLWVTKNGNVVYDLDGREVVFYNPDEDTARPFIIYHEGDWFESTAYIESLVSPNNISDGLLPNI >Potri.009G090800.1.v4.1 pep chromosome:Pop_tri_v4:9:8341699:8344520:-1 gene:Potri.009G090800.v4.1 transcript:Potri.009G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090800.v4.1 MARERNMEKQRAAKGSQLESNKKAMSIQCKVCMLTFICTTTEVKCREHAEAKHPKSDVYACFPHLKK >Potri.005G245100.1.v4.1 pep chromosome:Pop_tri_v4:5:24024922:24028878:1 gene:Potri.005G245100.v4.1 transcript:Potri.005G245100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245100.v4.1 MASRFWGQGDSESDEESDYGDEIEDGEADEPTAQTAENKYLRGTASDSDESDDQKRVVRSAKDKRFEEMSATVDQMKNAIKINDWVSLQESFDKMNKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINIYREHPESEEEPEADEESEEEEESDLEFEEDPSKIVISDEEEGVNDQSEKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPIHVWKNCVQNMLVILDILVQYPNIVVDDTVEPDENETQKGADHNGPIQIWGNLVAFLERMDIEFFKSLQCIDPHAREYIERLQDEPMFLVLAQNVQEYLERVGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGNGEEPKVEESRGSSAFVNTPELVPRKPTFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFATSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRVGLITEAHGCLSELYSGGRVKELLAQGFSQSRYYEKTPEQERLERRRQMPYHMHINLELLESVHLSCAMLLEVPSMAANVLDDKRKVISKTFRRLLDVSERQTFTGPPENVRDHVMAATRALRKGDFQKAFDVIESLDVWKLLRNRDGVLGMLKAKIKEEALRTYLFSYTSSYDSLGLDQLTKMFDLSAAETKVIVSKMMINDELQASWDQPTQCIVFHDLEQTRLQALAFQLTEKLSILAESNERAIEAKIGGGGLDLPQRRRDGQDFANLAASGVKWHENSSFTHGRQGSGRSGYGGGGGGGRPQVLGQAFGTSYSKGASNLRAGGGYSGGSRYQDAPTRMVTLNKGARA >Potri.005G245100.5.v4.1 pep chromosome:Pop_tri_v4:5:24027794:24028919:1 gene:Potri.005G245100.v4.1 transcript:Potri.005G245100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245100.v4.1 MLLEVPSMAANVLDDKRKVISKTFRRLLDVSERQTFTGPPENVRDHVMAATRALRKGDFQKAFDVIESLDVWKLLRNRDGVLGMLKAKIKEEALRTYLFSYTSSYDSLGLDQLTKMFDLSAAETKVIVSKMMINDELQASWDQPTQCIVFHDLEQTRLQALAFQLTEKLSILAESNERAIEAKIGGGGLDLPQRRRDGQDFANLAASGVKWHENSSFTHGRQGSGRSGYGGGGGGGRPQVLGQAFGTSYSKGASNLRAGGGYSGGSRYQDAPTRMVTLNKGARA >Potri.005G245100.4.v4.1 pep chromosome:Pop_tri_v4:5:24024382:24028875:1 gene:Potri.005G245100.v4.1 transcript:Potri.005G245100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245100.v4.1 MASRFWGQGDSESDEESDYGDEIEDGEADEPTAQTAENKYLRGTASDSDESDDQKRVVRSAKDKRFEEMSATVDQMKNAIKINDWVSLQESFDKMNKQLEKVMRVMESEKVPTLYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINIYREHPESEEEPEADEESEEEEESDLEFEEDPSKIVISDEEEGVNDQSEKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVNPGLSGHMPIHVWKNCVQNMLVILDILVQYPNIVVDDTVEPDENETQKGADHNGPIQIWGNLVAFLERMDIEFFKSLQCIDPHAREYIERLQDEPMFLVLAQNVQEYLERVGDLKAAAKVALRRVELIYYKPQEVYDAMRKLAEQTEDGGNGEEPKVEESRGSSAFVNTPELVPRKPTFPENSRTMMDALVSLIYKSGDERTKARAMLCDIYHHALLDEFATSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRVGLITEAHGCLSELYSGGRVKELLAQGFSQSRYYEKTPEQERLERRRQMPYHMHINLELLESVHLSCAMLLEVPSMAANVLDDKRKVISKTFRRLLDVSERQTFTGPPENVRDHVMAATRALRKGDFQKAFDVIESLDVWKLLRNRDGVLGMLKAKIKEEALRTYLFSYTSSYDSLGLDQLTKMFDLSAAETKVIVSKMMINDELQASWDQPTQCIVFHDLEQTRLQALAFQLTEKLSILAESNERAIEAKIGGGGLDLPQRRRDGQDFANLAASGVKWHENSSFTHGRQGSGRSGYGGGGGGGRPQVLGQAFGTSYSKGASNLRAGGGYSGGSRYQDAPTRMVTLNKGARA >Potri.003G089500.2.v4.1 pep chromosome:Pop_tri_v4:3:11601541:11608922:1 gene:Potri.003G089500.v4.1 transcript:Potri.003G089500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089500.v4.1 MGSGLSTLFPCFKPPNNNQQDLIFTASEPLDETLGHSFCYVRSSNRFLSPTPSDRFVSPSHSLRFSPARPVPETGFKSISGASVSANTSTPRTVLQLDNIYDDAIDVINSSNDTGGFVTYSAGGARANNTGSIVNNVNGFESTASFSALPLQPVPRGGGGFFLSGPIERGALSGPLDPSTSGTTDGSGGRVHFSAPLGSIYVKNKKRRGKGMSGFKKAMYRNISEKSRPWVVPVLNFVNRRENSGTVEEMEGREEGDNVQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMGNLYRAVFNELQGLFWEVVEEEPQETIHVEGIENKTDPLVEKSGASDVKDLIVKSECNLVSKADPLEEIYVKKDGDGLSCGMESNAVNQDRVKRVTFQPEETEGTASTRRRRLWEFLAEDDLEDGLDLSGSDRFAFSVDDAISVGNAGSAVSRRWLLLSKLKQGLSKHKERKLFPWKFGLEGKGKVEVEVESSSKVEERVLKRKWKAGPVDHDLVLGALSRALEATELAYLDMTDKVLDTNPELALMGSCLLAVLMRDEDVYVMNVGDSRAIVAQYEPQEVGSSVCENELSTEAIIETRLTALQLSTDHSTSIEEEVIRIKNEHPDDNQCIVNDRVKGRLKVTRAFGAGFLKRPKLNDALLEMFRNEYIGTAPYISCSPSLHHHQLCPRDQFLVLSSDGLYQYLTNQEVVFHVESFMEKFPDGDPAQHLIEELLSRAAKKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >Potri.003G089500.3.v4.1 pep chromosome:Pop_tri_v4:3:11601642:11608922:1 gene:Potri.003G089500.v4.1 transcript:Potri.003G089500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089500.v4.1 MGSGLSTLFPCFKPPNNNQQDLIFTASEPLDETLGHSFCYVRSSNRFLSPTPSDRFVSPSHSLRFSPARPVPETGFKSISGASVSANTSTPRTVLQLDNIYDDAIDVINSSNDTGGFVTYSAGGARANNTGSIVNNVNGFESTASFSALPLQPVPRGGGGFFLSGPIERGALSGPLDPSTSGTTDGSGGRVHFSAPLGSIYVKNKKRRGKGMSGFKKAMYRNISEKSRPWVVPVLNFVNRRENSGTVEEMEGREEGDNVQWALGKAGEDRVHVVVSEEHGWLFVGIYDGFNGPDAPEFLMGNLYRAVFNELQGLFWEVVEEEPQETIHVEGIENKTDPLVEKSGASDVKDLIVKSECNLVSKADPLEEIYVKKDGDGLSCGMESNAVNQDRVKRVTFQPEETEGTASTRRRRLWEFLAEDDLEDGLDLSGSDRFAFSVDDAISVGNAGSAVSRRWLLLSKLKQGLSKHKERKLFPWKFGLEGKGKVEVEVESSSKVEERVLKRKWKAGPVDHDLVLGALSRALEATELAYLDMTDKVLDTNPELALMGSCLLAVLMRDEDVYVMNVGDSRAIVAQYEPQEVGSSVCENELSTEAIIETRLTALQLSTDHSTSIEEVSFQFWK >Potri.007G010800.9.v4.1 pep chromosome:Pop_tri_v4:7:837366:843174:-1 gene:Potri.007G010800.v4.1 transcript:Potri.007G010800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010800.v4.1 MARERIQIKKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFEFSSSSMKEILERHNLHSKNLEKLEQPSLELQLVEDSTCSRLSKEVAEKSHQLRQMRGEDLRGLDIDELLQLEKSLEAGLSCVIEKKGEKIMNEITDLQRKGMQLMEENERLKQQVVEISNGRKHVTADSENVGYEEGQSSESVTNVCNSNGPLHDYESSDTSLKLGLPFSN >Potri.007G010800.8.v4.1 pep chromosome:Pop_tri_v4:7:837100:843174:-1 gene:Potri.007G010800.v4.1 transcript:Potri.007G010800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010800.v4.1 MARERIQIKKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFEFSSSSMKEILERHNLHSKNLEKLEQPSLELQLVEDSTCSRLSKEVAEKSHQLRQMRGEDLRGLDIDELLQLEKSLEAGLSCVIEKKGEKIMNEITDLQRKGMQLMEENERLKQQVVEISNGRKHVTADSENVGYEEGQSSESVTNVCNSNGPLHDYESSDTSLKLGLPFSN >Potri.007G010800.7.v4.1 pep chromosome:Pop_tri_v4:7:837076:843221:-1 gene:Potri.007G010800.v4.1 transcript:Potri.007G010800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010800.v4.1 MARERIQIKKIDNATARQVTFSKRRRGLFKKAEELSVLCDADVALIIFSSTGKLFEFSSSSMKEILERHNLHSKNLEKLEQPSLELQLVEDSTCSRLSKEVAEKSHQLRQMRGEDLRGLDIDELLQLEKSLEAGLSCVIEKKGEKIMNEITDLQRKGMQLMEENERLKQQVVEISNGRKHVTADSENVGYEEGQSSESVTNVCNSNGPLHDYESSDTSLKLGLPFSN >Potri.006G107700.2.v4.1 pep chromosome:Pop_tri_v4:6:8367854:8369430:-1 gene:Potri.006G107700.v4.1 transcript:Potri.006G107700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107700.v4.1 MGNNRFRLSDMMPNAWFYKLKEMGKTRNHNTTTHSIKKRQATSAAETQQPPSKPKHPQYNPYPRKSYYITRELISSEQIPHTSPRNSKSTYTNFPDPPRRSSNQRNRRRTIKASPKHVSAGCNCRATLWTKSDSPPDYSASLYDGSLDQETDFSDSFPPEFKSDSALATVSFDKMLSWSSSCDCKLDSIDNDGIVISVDKKSTARNLDNPKVFHSISDLDLPPIVTKPAKFDDQLEDTKKKETQEPTKYRRSPAKYEETNAHASLSVKVVKEESIAVKEYKTSSVRRNSVTSPGVRLRVNSPRISNKKIQAYNNGRKSVSSTTSSSSRSRRSLSDSLAVVKSSFDPQKDFRESMVEMIVENNIKASKDLEDLLACYLSLNSDEYHDLIIKVFKQIWFDLTDIKLK >Potri.005G042400.3.v4.1 pep chromosome:Pop_tri_v4:5:2678637:2690735:1 gene:Potri.005G042400.v4.1 transcript:Potri.005G042400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042400.v4.1 MEFVISIVATVAELLVVPIKRQIGYVLDCNTNIQNLKNEVEKLTDAKTRVNHSIEEARRNGEEIEVDVENWLTSVNGVIGGGGGVVVDESSKKCFMGLCPDLKLRYRLGKAAKKELTVVVNLQEKGKFDRVSYRAAPSGIGPVKDYEAFESRNSVLNDIVDALKDCDVNMVGVYGMGGVGKTTLAKKVAEQVKEGRLFDKVVLAVVSHTPDIRRIQGEIADGLGLKLNAETDKGRADQLCEGLKKVTRVLVILDDIWKELKLEDVGIPSGSDHEGCKILMTSRNKNVLSREMGANRNFQVQVLPVREAWNFFEKMVGVTVKNPSVQPVAAEVAKRCAGLPILLATVARALKNEDLYAWKDALKQLTRFDKDEIDNQVYSCLELSYKALRGDEIKSLFLLCGQFLTYDSSISDLLKYAIGLDLFKGRSTLEEARNRLRTLVDELKASCLLLEGDKDGRVKMHDVVQSFAFSVASRDHHVLIVADEFKEWPTSDVLQQYTAISLPYRKIPDLPAILECPNLNSFILLNKDPSLQIPDNFFREMKELKVLDLTRVNLSPLPSSLQFLENLQTLCLDGCVLEDISIVGELKKLKVLSLISSDIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFVKWETEGSSSQRNNACLSELKRLSNLITLHMQITDADNMLKDLSFLFQKLERFRIFIGDGWDWSVKYATSRTLKLKLNTVIQLEEWVNTLLKSTEELHLQELKGVKSILNDLDGEDFPRLKHLHVQNCPGVQYIINSIRMGPRTAFLNLDSLFLENLDNLEKICHGQLMAESLGKLRILKVESCHRLKNLFSVSMARRLVRLEEITIIDCKIMEEVVAEESENDTADGEPIEFAQLRRLTLQCLPQFTSFHSNRRQKLLASDVRSKEIVAGNELGTSMSLFNTKILFPNLEDLKLSSIKVEKIWHDQPAVQPPCVKNLASMVVESCSNLNYLLTSSMVESLAQLERLEICNCESMEEIVVPEGIGEGKMMSKMLFPKLHLLELSGLPKLTRFCTSNLLECHSLKVLMVGNCPELKEFISIPSSADVPVMSKPDNTKSAFFDDKVAFPDLEVFLIFEMDNLKAIWHNELHSDSFCELKILHVGHGKNLLNIFPSSMLGRLHNLENLIINDCDSVEEIFDLQVLINVEQRLADTATQLRVVRLRNLPHLKHVWNRDPQGILSFHNLCTVHVRGCPGLRSLFPASIALNLLQLEELLIENCGVEEIVAKDEGLEEGPSSFRFSFPKVTYLHLVEVPELKRFYPGVHVSEWPRLKKFWVYHCKKIEIFPSEIKCSHEPCREDHVDIQGQQPLLSFRKIIPNLEDLYLESKDASALLKSLCPQDFYYKLKVLNLVCFHDAHATFPIDLLPRFPKLEKLIAGCSEFKELLPSRLDCMEKHARVLSSIRYLELQILPCLEHLWKSNSQLDQALQTLETLVVQNCSSLIYLAPSRASFQNLTNLDVRDCKRLVKLVTSTTAKSLAQLTRMSIKDCGMVTEIVANEGEGIKDEIVFSKLEILELHLLPSLTSFCSEKHSFDFPSLVEVTVEQCPEMKFFSNGALSTPKLRRVKLTEEDKKGSWVGNLNTTIQQLSTQTKAQIAGSSSS >Potri.005G042400.5.v4.1 pep chromosome:Pop_tri_v4:5:2678637:2686244:1 gene:Potri.005G042400.v4.1 transcript:Potri.005G042400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042400.v4.1 MEFVISIVATVAELLVVPIKRQIGYVLDCNTNIQNLKNEVEKLTDAKTRVNHSIEEARRNGEEIEVDVENWLTSVNGVIGGGGGVVVDESSKKCFMGLCPDLKLRYRLGKAAKKELTVVVNLQEKGKFDRVSYRAAPSGIGPVKDYEAFESRNSVLNDIVDALKDCDVNMVGVYGMGGVGKTTLAKKVAEQVKEGRLFDKVVLAVVSHTPDIRRIQGEIADGLGLKLNAETDKGRADQLCEGLKKVTRVLVILDDIWKELKLEDVGIPSGSDHEGCKILMTSRNKNVLSREMGANRNFQVQVLPVREAWNFFEKMVGVTVKNPSVQPVAAEVAKRCAGLPILLATVARALKNEDLYAWKDALKQLTRFDKDEIDNQVYSCLELSYKALRGDEIKSLFLLCGQFLTYDSSISDLLKYAIGLDLFKGRSTLEEARNRLRTLVDELKASCLLLEGDKDGRVKMHDVVQSFAFSVASRDHHVLIVADEFKEWPTSDVLQQYTAISLPYRKIPDLPAILECPNLNSFILLNKDPSLQIPDNFFREMKELKVLDLTRVNLSPLPSSLQFLENLQTLCLDGCVLEDISIVGELKKLKVLSLISSDIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFVKWETEGSSSQRNNACLSELKRLSNLITLHMQITDADNMLKDLSFLFQKLERFRIFIGDGWDWSVKYATSRTLKLKLNTVIQLEEWVNTLLKSTEELHLQELKGVKSILNDLDGEDFPRLKHLHVQNCPGVQYIINSIRMGPRTAFLNLDSLFLENLDNLEKICHGQLMAESLGKLRILKVESCHRLKNLFSVSMARRLVRLEEITIIDCKIMEEVVAEESENDTADGEPIEFAQLRRLTLQCLPQFTSFHSNRRQKLLASDVRSKEIVAGNELGTSMSLFNTKILFPNLEDLKLSSIKVEKIWHDQPAVQPPCVKNLASMVVESCSNLNYLLTSSMVESLAQLERLEICNCESMEEIVVPEGIGEGKMMSKMLFPKLHLLELSGLPKLTRFCTSNLLECHSLKVLMVGNCPELKEFISIPSSADVPVMSKPDNTKSAFFDDKVAFPDLEVFLIFEMDNLKAIWHNELHSDSFCELKILHVGHGKNLLNIFPSSMLGRLHNLENLIINDCDSVEEIFDLQVLINVEQRLADTATQLRVVRLRNLPHLKHVWNRDPQGILSFHNLCTVHVRGCPGLRSLFPASIALNLLQLEELLIENCGVEEIVAKDEGLEEGPSSFRFSFPKVTYLHLVEVPELKRFYPGVHVSEWPRLKKFWVYHCKKIEIFPSEIKCSHEPCREDHVDIQGQQPLLSFRKV >Potri.005G042400.4.v4.1 pep chromosome:Pop_tri_v4:5:2681766:2690791:1 gene:Potri.005G042400.v4.1 transcript:Potri.005G042400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042400.v4.1 MEFVISIVATVAELLVVPIKRQIGYVLDCNTNIQNLKNEVEKLTDAKTRVNHSIEEARRNGEEIEVDVENWLTSVNGVIGGGGGVVVDESSKKCFMGLCPDLKLRYRLGKAAKKELTVVVNLQEKGKFDRVSYRAAPSGIGPVKDYEAFESRNSVLNDIVDALKDCDVNMVGVYGMGGVGKTTLAKKVAEQVKEGRLFDKVVLAVVSHTPDIRRIQGEIADGLGLKLNAETDKGRADQLCEGLKKVTRVLVILDDIWKELKLEDVGIPSGSDHEGCKILMTSRNKNVLSREMGANRNFQVQVLPVREAWNFFEKMVGVTVKNPSVQPVAAEVAKRCAGLPILLATVARALKNEDLYAWKDALKQLTRFDKDEIDNQVYSCLELSYKALRGDEIKSLFLLCGQFLTYDSSISDLLKYAIGLDLFKGRSTLEEARNRLRTLVDELKASCLLLEGDKDGRVKMHDVVQSFAFSVASRDHHVLIVADEFKEWPTSDVLQQYTAISLPYRKIPDLPAILECPNLNSFILLNKDPSLQIPDNFFREMKELKVLDLTRVNLSPLPSSLQFLENLQTLCLDGCVLEDISIVGELKKLKVLSLISSDIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFVKWETEGSSSQRNNACLSELKRLSNLITLHMQITDADNMLKDLSFLFQKLERFRIFIGDGWDWSVKYATSRTLKLKLNTVIQLEEWVNTLLKSTEELHLQELKGVKSILNDLDGEDFPRLKHLHVQNCPGVQYIINSIRMGPRTAFLNLDSLFLENLDNLEKICHGQLMAESLGKLRILKVESCHRLKNLFSVSMARRLVRLEEITIIDCKIMEEVVAEESENDTADGEPIEFAQLRRLTLQCLPQFTSFHSNRRQKLLASDVRSKEIVAGNELGTSMSLFNTKILFPNLEDLKLSSIKVEKIWHDQPAVQPPCVKNLASMVVESCSNLNYLLTSSMVESLAQLERLEICNCESMEEIVVPEGIGEGKMMSKMLFPKLHLLELSGLPKLTRFCTSNLLECHSLKVLMVGNCPELKEFISIPSSADVPVMSKPDNTKSAFFDDKVAFPDLEVFLIFEMDNLKAIWHNELHSDSFCELKILHVGHGKNLLNIFPSSMLGRLHNLENLIINDCDSVEEIFDLQVLINVEQRLADTATQLRVVRLRNLPHLKHVWNRDPQGILSFHNLCTVHVRGCPGLRSLFPASIALNLLQLEELLIENCGVEEIVAKDEGLEEGPSSFRFSFPKVTYLHLVEVPELKRFYPGVHVSEWPRLKKFWVYHCKKIEIFPSEIKCSHEPCREDHVDIQGQQPLLSFRKIIPNLEDLYLESKDASALLKSLCPQDFYYKLKVLNLVCFHDAHATFPIDLLPRFPKLEKLIAGCSEFKELLPSRLDCMEKHARVLSSIRYLELQILPCLEHLWKSNSQLDQALQTLETLVVQNCSSLIYLAPSRASFQNLTNLDVRDCKRLVKLVTSTTAKSLAQLTRMSIKDCGMVTEIVANEGEGIKDEIVFSKLEILELHLLPSLTSFCSEKHSFDFPSLVEVTVEQCPEMKFFSNGALSTPKLRRVKLTEEDKKGSWVGNLNTTIQQLSTQTKAQIAGSSSS >Potri.010G244500.4.v4.1 pep chromosome:Pop_tri_v4:10:22032566:22034543:-1 gene:Potri.010G244500.v4.1 transcript:Potri.010G244500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244500.v4.1 MWKRVLREATVRQPWSMIASKRCLSSPPTSKSASVDTLLLRYLKEHYVEVSKMNPPPKMNPPSEFSIVKGALDGNGPVLTRTYGNEEIKLSVMRMAYAVPGGGEDDENDEDMNQLFLHVDVSKPGQDKSLHFLCGLYPDALGVHSVSLRPKLDSADFLEVTATYSGPQFAELDERMRDAFHGFIEERGVDEKLFNFLQAWLYVKEHRSLMRWFKTVGMYINENKPAKSS >Potri.010G244500.3.v4.1 pep chromosome:Pop_tri_v4:10:22032272:22034574:-1 gene:Potri.010G244500.v4.1 transcript:Potri.010G244500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244500.v4.1 MWKRVLREATVRQPWSMIASKRCLSSPPTSKSASVDTLLLRYLKEHYVEVSKMNPPPKMNPPSEFSIVKGALDGNGPVLTRTYGNEEIKLSVMRMAYAVPGGGEDDENDEDMNQLFLHVDVSKPGQDKSLHFLCGLYPDALGVHSVSLRPKLDSADFLEVTATYSGPQFAELDERMRDAFHGFIEERGVDEKLFNFLQAWLYVKEHRSLMRWFKTVGMYINENKPAKSS >Potri.009G081300.2.v4.1 pep chromosome:Pop_tri_v4:9:7745459:7748488:-1 gene:Potri.009G081300.v4.1 transcript:Potri.009G081300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081300.v4.1 MAYPHPNLELRPLGNTGLKLSCVGFGASPLGSVFGPVSEHDAISSVREAFRLGINFFDTSPYYGGTLSEKMLGQGLKALGVPRNEYIVSTKCGRYVEGFDFSAERVTKSIDESLARLQLDYVDILQCHDIEFGSLDQIVNETIPALRKLKEAGKIRFIGITGLPLGVFTYVLDRVPPGTVDVILSYCRYSINDSTLADLLPYLKSKGVGVISASPLAMGLLTENGPPEWHPASPELKSACEAAAAFCKEKGKNISKIAMQYSLSNKDISSVLVGMNSVRQVEENVSAATELATFGKDQETLSEVEAILIPVKNQTWPSGIQQS >Potri.009G081300.1.v4.1 pep chromosome:Pop_tri_v4:9:7745458:7748518:-1 gene:Potri.009G081300.v4.1 transcript:Potri.009G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081300.v4.1 MAYPHPNLELRPLGNTGLKLSCVGFGASPLGSVFGPVSEHDAISSVREAFRLGINFFDTSPYYGGTLSEKMLGQGLKALGVPRNEYIVSTKCGRYVEGFDFSAERVTKSIDESLARLQLDYVDILQCHDIEFGSLDQIVNETIPALRKLKEAGKIRFIGITGLPLGVFTYVLDRVPPGTVDVILSYCRYSINDSTLADLLPYLKSKGVGVISASPLAMGLLTENGPPEWHPASPELKSACEAAAAFCKEKGKNISKIAMQYSLSNKDISSVLVGMNSVRQVEENVSAATELATFGKDQETLSEVEAILIPVKNQTWPSGIQQS >Potri.001G289800.1.v4.1 pep chromosome:Pop_tri_v4:1:30220871:30234618:1 gene:Potri.001G289800.v4.1 transcript:Potri.001G289800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289800.v4.1 MANNPQFSGMQPLQPPLVGPMDHPQNFAPPPPMPIQFRPVGPVQPSQQFIPVSSPHFQPVGRGVTVMNPGLPPQPPQPQFPHPMQQLPARPNQPSLGPPPPQAIPLPNAQPNRHVMSGSPLPPPSVQTPNSYMPGLGGPGVPLSSSYTFAPSSYGQPPVTFNAVTQFQPMPQMHVQPIPTGGHPASSMNHNTAPVTPIQRNGEQSSVTTTNVRATSIQPKPTEEALTEWKEHTSANGRRFYYNKRTRQSSWEKPYELLTPIERADASTDWKEFKSPDGRKYYYNKVTKQSKWEIPEELKLARARVENTSTMEKQSEVFTNSHASTSVPQSADKTPSIVDASTAQGAPSSPVLVIPVAAAGNSQSQLASESSTLPVMSSSMTTNADEVQTIEIPVADVPKSAEVTATAVNTITAPMNNFSDQDKPSSADEAPAQDKEEAEKEVVIDEKVNNVPLEEKAVNHEPLLYADKLEAKNLFKALLESANVGSEWTWDQAMRVIINDKRYGALKTLGERKQAFNEFLGQKRKQEAEERRIKQKKAREEFKNMLEESKELTASIRLSKAVTLFENDERFKAVERERDRKDLIETYLQELEEKERAKAQEQRKRNIMEYRQFLESCEFIKASTQWRKVQDRLEADERCSRLEKIDRIEIFQDYLHDLEKEEEEQRKIHKEELRKAERKNRDEFRKLLEEHVAAGTLTAKTNWRDYHLKVKDLPAYVAVASNNSGSTPKDLFEDVAEELQKQYHEDKTRIKDVVKLKKVPLASTWTLEDLKVAIIEDVGSPHISDVNLKMVFDELLERAREKEEKEARKRKRLEDDFLILLQSIKDITASSKWESCKEIFDGSREYSSIGEEGFCREIFEEYVSQLKDQEKENEWKRKEEKAKKEKEREERERRKAKHRREKERGHDRETRKEEEDVEIDDTIETQVCSDKKRSGSDNSRKQRKRHQNAVDDLDESEKDRSKSSHRHSSSDLKKSRRHASTPESDSESRHKRHKRDHRNSSRRTGDLEDLEDGEFGEDRETR >Potri.003G173200.1.v4.1 pep chromosome:Pop_tri_v4:3:18108409:18114769:-1 gene:Potri.003G173200.v4.1 transcript:Potri.003G173200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173200.v4.1 MVVAQKVKEAQITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRRLIDWMEKGVYDALQKKYLKTLLFCVCETIEGPMIEEYTFSFSYSDSESQEVSMNINRTGNKKQGGTFKYNSKNEITPNQMRSSACKMVRTLVQLMRTLDKMPEERAILMKLVYYDDVTPADYEPPFFRGCTEEEAHNAWTKHPLQMEVGNVNSKHFVLALKVKSVLDPCEDENDDMEDDEVSLGADSVERDGYSESGTEVNQSQEDQYIVAPVDKQRPEEDSGLVDEDDTQDQVEDEQQLARVKDWIISHHLDTIELTDVLSNFPDISVVLIEEIMGKLIEEGVLSKIGTDTYSKIKQKAFEYEFTAVKEEIDGDKAPQAEDRMYMKALYHVLPMEYVTISKLQNKLAGEANQSTARKLLEKMIRDGYVEAKGNRGLGKRVIHSSLTETKLMEVRRALGNDAMDIDTNQPYKKSNHPESQKMGNDYKDGSTCGVLHSIGSDLTRMVIRSEMNQNGSARCDQTISKTRDHGNTPTSRAEPVASRESFFPGKENVRANGNTNYLDEADTVICSRSSQDKRSRKTSTVKEPIVQCTKRQKSQVV >Potri.010G231000.1.v4.1 pep chromosome:Pop_tri_v4:10:21306228:21307407:-1 gene:Potri.010G231000.v4.1 transcript:Potri.010G231000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231000.v4.1 MGSVSSSTVMAEKISWYCALLMALMLVLSCCEVSETELSTVGHPRFFENKPCDEIYVVREGETLNTISEKCGDPYIVEENPHIHDPDDVFPGLVIKITPLIDRYTPDDVSSPMRWFV >Potri.004G191500.2.v4.1 pep chromosome:Pop_tri_v4:4:20361310:20364080:-1 gene:Potri.004G191500.v4.1 transcript:Potri.004G191500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191500.v4.1 MTLQMRVSLLMMLVMFWLMTTESSSQDVKPGCQEKCGNVSVPYPFGIGKPDCAMDEHFFLNCSSNDDGAEPWFRSNMTARKISVPEGTVTVSIGTAYSCYDKSGNETRYFDQSMKLGPGPFTFSDTLNIFTVIGCDTAAQVTNEEFTYGVACLSLCTKYVNMTDANACSGSGCCHTSIPMGLKSLDISSYSFFNHSNVSDFNPCGFAFLADTRSFHLSDWPLSRMADGKDTSDVAIEWVVKNETCEQAKANTSAYACGINTNCTYSENGQGYRCVCNEGFEGNPYLEQGCQDIDECKYPERYPCEGKCKNTIGSYKCHCPFGKYANGENGCQRFGGIIIISVAVGAAVFLLIICFLLYVICTKRRRDKNFRKNGGMVLKHQRVRIFREAELEKATNNYVDDQKLGEGGFGYVYKGVLADNTLVAVKKFKGVDKDQLNEEFQKEIGIVSQVNHRNVVNLLGLCLEIKVPLLVMSSFQMELFTSTSMIKGHRY >Potri.001G437000.1.v4.1 pep chromosome:Pop_tri_v4:1:46299670:46301621:1 gene:Potri.001G437000.v4.1 transcript:Potri.001G437000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437000.v4.1 MADDQVTLLDLWASPFGMRVRIALAEKAVKYEYSEQDLWNKGDLLLQMNPVHKKIPVLVHDGKPICESLIIVQYIDEVWNDKAPLLSSDPYERAQSRFWADFVDKKIHDVGRKIWTTKGEDQEAAKKDFIDSLKLLEGELGDKPYFGGDTLGYVDVALLPFYCWFYAYETIGNFNIEADCPKLIAYCKRCLEKESVSKSVKDPQKVYDFVVMLRKKFGLE >Potri.002G084800.1.v4.1 pep chromosome:Pop_tri_v4:2:6051344:6054582:1 gene:Potri.002G084800.v4.1 transcript:Potri.002G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084800.v4.1 MPSSKSVTFILIFINAVALVTSKSTIEPCTNSDSCNALLAYTLYTDLKVSEVASLFQIDPIALLTTNAIDISYPDVENHILPSQLFLKIPITCSCVDGIRKSVSTHYKTRPSDTLSSIADSIYAGLVSADQIKEANSIDDPSVLDVGQSLVVPLPCTCFNGTDNSLPAIYLSYVVKEVDTLAAIAARYETTLTDLMNVNAMGSAAIKAGDILAVPLPACASKFPRYASDFGLIVPNGSYAISASHCVQCSCGPGNLNLYCMPASLAVSCSSMQCRNSNLMLGNVTVQQSSAGCNVTSCNYGGYVNGTIMTTLSTYLQPRCPGSQQFPPLVVPPTTVIRDSTFAPAPAPQSDGSSTPTPTPKTEVVPATGSLPGLPPASGPVGSISLSFSVNPSATLMIAAVLLLFAMTSIPL >Potri.014G196900.1.v4.1 pep chromosome:Pop_tri_v4:14:17767373:17771983:1 gene:Potri.014G196900.v4.1 transcript:Potri.014G196900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196900.v4.1 MKKSGRVIKINYVQKRKKERVGRARQTNLPAKFTSKLSDKERTRRRSKHSTYPQRKRKMASDIGCCSSKFMLYMVIIIGLVTFAGLMAGLTLGLMSLGLVDLEVLIKSGRPQDRIHAAKIFPVVKNQHLLLCTLLIGNSLAMEALPIFLDKLVPPWAAVLASVTLILMFGEILPQAVCTRYGLTVGATLAPLVRVLLLLFFPISYPISKVLDWMLGKGHAVLLRRAELKTFVNFHGNEAGRGGDLTHDETTIITGALELTEKTAKDAMTPISKAFSLDLDATLNLETLNAIMTMGHSRVPVYAGKPTNIIGLFLVKNLLAVDPEDAVPLKKMIIRKIPRVSEDLPLYDILNEFQKGHSHIAVVYKDLNANKETPKNEFKDSCRKRGKTETSHEKGDSEVGSTSAIPNKKAALDSDDNQTAATKNDGGQQIKKSPPSTPPAFKKRHKGCSFCILDVEKAPIPEFPSNEEVVGVITMEDVIEELLQEEILDETDEYVNIHNRIKINMHASQDKAPQSTSLPSANDASVTGTASPTSLLSMAPTPTLSVSSGTSLTSSPTTTNQVSEGDSSKNQ >Potri.016G055200.1.v4.1 pep chromosome:Pop_tri_v4:16:3659032:3661774:1 gene:Potri.016G055200.v4.1 transcript:Potri.016G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055200.v4.1 MSTTYIASAIVGSFALAYVCDHLVSDKKIFGGTTPRTVSNKEWWEETDRKFQAWPRTGGPPVVMNPITRQNFIVKSQDS >Potri.002G007500.1.v4.1 pep chromosome:Pop_tri_v4:2:413035:415378:1 gene:Potri.002G007500.v4.1 transcript:Potri.002G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007500.v4.1 MAASMDFSPPFTLLEGGYNNNVSENLENLKQPTNGKPPCHLRQSMDSVRLLNASDLALNVGVGVVIGNSPAEEESEFFPAVFRSGSCAEGGPKQYMEDEHVCIDNLVDHLSATTSANCPSPGAFYGVFDGHGGTDAASFVKNNILRFIVEDSHFPNCVEKAIKSAFVKADYAFADDSALDISSGTTALTALIFGRTLVVANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDGYLNGQLSVARALGDWHMKGPKGSACPLSAEPELQETNLTEDDEFLIMGCDGLWDVMSSQCAVTIARKELMLHNDPERCSRALVREALRLNACDNLTVIVICFSPDPPHHIEIPQPRVQRSISAEGPNLLKGVLDSNS >Potri.015G104800.1.v4.1 pep chromosome:Pop_tri_v4:15:12357196:12358373:-1 gene:Potri.015G104800.v4.1 transcript:Potri.015G104800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104800.v4.1 MANAKPCKSKVQDKVAIVTGGASGIGEATVLAFVENGARGVVIADIQDEKGQKLAESIGTNRSTYIHCDVTDENQVKSLVESTVQLYGQLDIVFCNAGIMSFGKQTVLDFDLDSYDKLFVINVRGVAACLKHAARAMVEGGIKGSIICTASVIANLARGMHTDYIMSKSGVLALMKCASYQLSEHGIRVNCVSPGPVATPLACKKMNMGVEEAEKAFEPHYCLKGVLKAKHVADAVLFLASEDSEFVTGHNLVVDGGYNFRGIVKI >Potri.011G167532.7.v4.1 pep chromosome:Pop_tri_v4:11:19104439:19108287:1 gene:Potri.011G167532.v4.1 transcript:Potri.011G167532.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167532.v4.1 MGVEEEASSFLHAPRPGIKRTGTAWTAVAHIITGVIGSGVLSLAWSMAQLGWIAGPVTMLCFALVTLVSAFLLCDCYRSPDPEFGPKRNRSYLEAVHETLGKRNALICGVLAQIGLYGTGIVYTITTAISMRAIQKSNCYHKEGHEATCEYGGSLYMLLFGVVQVVLSQIPDFHNLQWLSIVAAIMSVSYASIGFALGFAQVIANGFVKGGIAGVSAYRAADKVWNVSQALGDIAFAYPYSLILLEIQDTLKSPPSESKSMKKASTIAVVVTTFFYLCCGGFGYAAFGEKTPGNLLTGFGFYEPYWLIDLANACIVLHLVGGYQVSN >Potri.011G167532.5.v4.1 pep chromosome:Pop_tri_v4:11:19104324:19108684:1 gene:Potri.011G167532.v4.1 transcript:Potri.011G167532.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167532.v4.1 MKKERIDMWRLSTNRLIWNRNCLHYYYCYQHEKSNCYHKEGHEATCEYGGSLYMLLFGVVQVVLSQIPDFHNLQWLSIVAAIMSVSYASIGFALGFAQVIANGFVKGGIAGVSAYRAADKVWNVSQALGDIAFAYPYSLILLEIQDTLKSPPSESKSMKKASTIAVVVTTFFYLCCGGFGYAAFGEKTPGNLLTGFGFYEPYWLIDLANACIVLHLVGGYQVYSQPLFAVIENWIAEKYPDNRFLNKNLTYKFPRLPGFQLNLLRLCFRTIYVISTTTIAVMFPYFNQVIGLVGGFGFWPLTVYFPVEMYFKQKNIEAWTIKWIMLRAFSVLCFLVTAFALIGSVEGLMSARLS >Potri.011G167532.4.v4.1 pep chromosome:Pop_tri_v4:11:19097074:19108287:1 gene:Potri.011G167532.v4.1 transcript:Potri.011G167532.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167532.v4.1 MAMGEEAEEHQQTPLLLTSDQIPSKSTILCNPFSIKRTGTAWTAVAHIITGVVGSGVLSLAWSMAQLGWIAGPLAMLFFAAVTLLSTFLLCDSYRSPDPEFGPSRNRSYREAVHIILGEKNALICGFLQQVGLCGIGIAYTVTAAISMREIQKSNCYHKQGHGAACEYGDTLYMLLFGAAQVLLSQIPDFNSIKFLSVVAAVMSFTYSFIVFALGFAEVIVSKITGNGYVKGSITGSSTHSVAGKRNALICGVLAQIGLYGTGIVYTITTAISMRAIQKSNCYHKEGHEATCEYGGSLYMLLFGVVQVVLSQIPDFHNLQWLSIVAAIMSVSYASIGFALGFAQVIANGFVKGGIAGVSAYRAADKVWNVSQALGDIAFAYPYSLILLEIQDTLKSPPSESKSMKKASTIAVVVTTFFYLCCGGFGYAAFGEKTPGNLLTGFGFYEPYWLIDLANACIVLHLVGGYQVYSQPLFAVIENWIAEKYPDNRFLNKNLTYKFPRLPGFQLNLLRLCFRTIYVISTTTIAVMFPYFNQVIGLVGGFGFWPLTVYFPVEMYFKQKNIEAWTIKWIMLRAFSVLCFLVTAFALIGSVEGLMSARLS >Potri.011G167532.8.v4.1 pep chromosome:Pop_tri_v4:11:19104439:19108353:1 gene:Potri.011G167532.v4.1 transcript:Potri.011G167532.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167532.v4.1 MLLFGVVQVVLSQIPDFHNLQWLSIVAAIMSVSYASIGFALGFAQVIANGFVKGGIAGVSAYRAADKVWNVSQALGDIAFAYPYSLILLEIQDTLKSPPSESKSMKKASTIAVVVTTFFYLCCGGFGYAAFGEKTPGNLLTGFGFYEPYWLIDLANACIVLHLVGGYQVYSQPLFAVIENWIAEKYPDNRFLNKNLTYKFPRLPGFQLNLLRLCFRTIYVISTTTIAVMFPYFNQVIGLVGGFGFWPLTVYFPVEMYFKQKNIEAWTIKWIMLRAFSVLCFLVTAFALIGSVEGLMSARLS >Potri.011G167532.6.v4.1 pep chromosome:Pop_tri_v4:11:19104324:19108263:1 gene:Potri.011G167532.v4.1 transcript:Potri.011G167532.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167532.v4.1 MWRLSTNRLIWNRNCLHYYYCYQHEKSNCYHKEGHEATCEYGGSLYMLLFGVVQVVLSQIPDFHNLQWLSIVAAIMSVSYASIGFALGFAQVIANGFVKGGIAGVSAYRAADKVWNVSQALGDIAFAYPYSLILLEIQDTLKSPPSESKSMKKASTIAVVVTTFFYLCCGGFGYAAFGEKTPGNLLTGFGFYEPYWLIDLANACIVLHLVGGYQVYSQPLFAVIENWIAEKYPDNRFLNKNLTYKFPRLPGFQLNLLRLCFRTIYVISTTTIAVMFPYFNQVIGLVGGFGFWPLTVYFPVEMYFKQKNIEAWTIKWIMLRAFSVLCFLVTAFALIGSVEGLMSARLS >Potri.017G129000.9.v4.1 pep chromosome:Pop_tri_v4:17:13235763:13244705:1 gene:Potri.017G129000.v4.1 transcript:Potri.017G129000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129000.v4.1 MAPPILSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPVNSVQFSNHTGYPTFKGQVLNGQQLWELMEGLKANDLLYYTHLLTGYIGSVSFLNTVLEVVKKLRSINPKLTYVCDPVLGDEGKLYVPPELVEVYREKVVPVASMLTPNQFEAEQLTGFRIVSEHDGREACNKLHAAGPAKVVITSINIDGHLLLIGSHEKEKGQSPDQFKIVIPKIPAYFTGTGDLMTALLLGWSNKHPDDLAKAAELAVSSLQAVLQRTLDDYKTAGYDPQSSSLEIRLIQSQDDIRHPQIKFKAENYS >Potri.017G129000.5.v4.1 pep chromosome:Pop_tri_v4:17:13235715:13244716:1 gene:Potri.017G129000.v4.1 transcript:Potri.017G129000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129000.v4.1 MLLRSCRVIPRETHRIFISRSISSRKFEMAPPILSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPVNSVQFSNHTGYPTFKGQVLNGQQLWELMEGLKANDLLYYTHLLTGYIGSVSFLNTVLEVVKKLRSINPKLTYVCDPVLGDEGKLYVPPELVEVYREKVVPVASMLTPNQFEAEQLTGFRIVSEHDGREACNKLHAAGPAKVVITSINIDGHLLLIGSHEKEKGQSPDQFKIVIPKIPAYFTGTGDLMTALLLGWSNKHPDDLAKAAELAVSSLQAVLQRTLDDYKTAGYDPQSSSLEIRLIQSQDDIRHPQIKFKAENYS >Potri.017G129000.13.v4.1 pep chromosome:Pop_tri_v4:17:13235715:13244675:1 gene:Potri.017G129000.v4.1 transcript:Potri.017G129000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129000.v4.1 MLLRSCRVIPRETHRIFISRSISSRKFEMAPPILSLALPSETGRVLSIQSHTVQGYVGNKSAVFPLQLLGYDVDPVNSVQFSNHTGKLLILLHSISLRYTVRCLSICIIQAWSPSQITGYIGSVSFLNTVLEVVKKLRSINPKLTYVCDPVLGDEGKLYVPPELVEVYREKVVPVASMLTPNQFEAEQLTGFRIVSEHDGREACNKLHAAGPAKVVITSINIDGHLLLIGSHEKEKGQSPDQFKIVIPKIPAYFTGTGDLMTALLLGWSNKHPDDLAKAAELAVSSLQAVLQRTLDDYKTAGYDPQSSSLEIRLIQSQDDIRHPQIKFKAENYS >Potri.011G134000.1.v4.1 pep chromosome:Pop_tri_v4:11:16707370:16710903:-1 gene:Potri.011G134000.v4.1 transcript:Potri.011G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134000.v4.1 MDPPFEEKYKSLLTNTTLLSKDKDYVIMSDYEEHELPIIDLHRLTLSFSEREQCVKEIRQAAREWGFFQVVNHGIPQEILEGIQLEQRKAFHHPFSKKAEENILNLPGYTWGNPAATCLRQLSWLEVFHIPLTDISKISGEYKSLRESIEAYTATAEKLAKDLSEILAENLGVSSTFFQENCLPETSYLRMNRYPPCPFSSEVLGALPHTDSCFVNVLNQDQIGGLQLWMNGKWISVKPNPEALIINIGDLFQVASNDVYKSIRHRVLASKQAERFSLAYLYCPRKDAVIESGMKPSMYRKFTFGELTEQNARDVKETGNKGGIPRFLM >Potri.018G031700.1.v4.1 pep chromosome:Pop_tri_v4:18:2318318:2323189:-1 gene:Potri.018G031700.v4.1 transcript:Potri.018G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031700.v4.1 MESMKSPFRGIIKDVRGRTACYKDDWVSGLCSGLRILAPTFYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSILGGQPLLILGVAEPTVIMYTYLYNFSKGREELGQKLFLAWAGWVCVWTALLLVLLAIFNAATIIFKFTRIAGELFGMLISVLFIQEAVRGVVSEFNIPKDESSKLEKYQFQWRYANGLLSVIFSLGVLFTALKSRRARSWRYGTGWIRGFIADYGVPLMVVLWTALSYVRPSEVPSGVPRRVHVPLLSDAESVHHWTVIKDMGKVPLTYIFAALIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDVLLLGFMTLICGLLGLPPSNGVLPQSPMHTKSLAVLKRQLIRKKMVKSAKECIGQKASNSEIYGRMHAVFLEMDAPSPDVSVHKELENLKQAVMKSDDEEDAKKKFDPEKHIDAYLPVRVNEQRMSNLIQSILVGVSMCALPLIKRIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFITPSRRYKVLEGVHASFVEVVPFKQIAIFTIFQFVYFFICFGVTWIPIAGILFPLPFFLLIGIRQRILPKLFQPNHLQELDADEYEEIAGAPARSRSLSLMEREPPDVDSEKSEDDFYDAEILDEMTTNRGELKLRTLSFKEDRLHQVYPHEKG >Potri.006G047300.1.v4.1 pep chromosome:Pop_tri_v4:6:3231320:3233373:1 gene:Potri.006G047300.v4.1 transcript:Potri.006G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047300.v4.1 MSGYPHPQAGYGYGQPQQPQQPQPYGSAAPYSSPYGAQPQPAAPYGTAPQPAAAYGAAQPAAPYGHPYGAPAPAPGTKPPKDKPQASAPGGYPSAPYGSSPFASLMPSTFPPGTDPSIVACFQVADQDGSGIVDDKELQRALSSYNQSFSLRTVHLLMYLFTNTNTRKIGPKEFAPLFYSLQNWRTNFERFDRDRSGKIDPNELREALMSLGFAVSPVVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTDKFKERDTAYSGSASFTYENFMLTVLPFLIA >Potri.T125404.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:87632:88287:1 gene:Potri.T125404.v4.1 transcript:Potri.T125404.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125404.v4.1 MEARTKKLVVVALVMAFALVSNPIAAKGQVTLCGMTKEGFASCKPSVQTGVNPLPPSYSCCSALEKADLSCLCFFKKNYPKMLTDNNIDPNLAMQLPAKCNMAGSFSCK >Potri.013G028200.4.v4.1 pep chromosome:Pop_tri_v4:13:1840830:1847044:1 gene:Potri.013G028200.v4.1 transcript:Potri.013G028200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028200.v4.1 MKNNSNKGENNSSNNNNNKNNRFIPNSLKFISSCIKTASSGVRSASASVAASIAGDHQDRKDQVLWASFDKLELGPGSFKNILLVGYSNGFQVIDVEDASNVTELVSRHDDSVTFLQMQPLPAKSEGCKGEGYRASHPLLLVVACDESKSSGLVLSGRDGFNESHTGNVAISPTIVRFYSLRSHNYVHVLRFRSTVYMVRCSPRVVAVGLATQIYCFDALTFENKFSVLTYPVPQLGGQGMGGVNIGYGPMAVGSRWLAYASDNPLVLNTGRLSPQSLTPPLGVSPSSSPGSGSLVARYAMESSKQLATGLINLGDMGYKTLSRYCHDLMPDGSSSPVSSNSSWKVGRSATHSTDSDTAGMVVVKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSCSQSGPGAKSFDWSSSHVHLYKLHRGITPAVIQDICFSHYSQWIAIVSSRGTCHIFVLSPFGGENVLQIHNSHVDGPALLPVVSLPWWSTPSFLLNQLSFSSSPPSPVTLSVVSRIKNNNSGWLNTVSHAASSGSGKASIPSGAIAAVFHSCVPQDSQPAHLRKVNSLDHLMVYTPCGHVVQYKLFSSVGGEPSDIASRNGPASSVQMQDEELRVNVESVQWWDVCRRADWPEREECISGITRRGQETKETVMYMSDGEDDGIGHSQLVKSHEPSHLYLSNAEVQMSSWRIPLWQKSKMYFYAMSHLGPNEENIIEDQTGQEIELEKVPVHEVEIRRRDLLPVFDHFHRTSEWSERAQGGVRYSTLSSGSRGVKESEDAVISHSEIVSPGSVPNSDGGSSTKFYPPMMQAVNSNAGEGGISLLASPILYESSTNKDSGSISFKQTQIGATSAENSNFINSNVTSLTNGPLTAERLIAKEVQSSESGVTSEASNISSNRSDLSMNIIDEGPANDSQDFEHFFQEGYCKASDLKECQESTEVLTFVDNNSSPCDVDKSEEDGDNDDMLGGVFSFSEEG >Potri.013G028200.5.v4.1 pep chromosome:Pop_tri_v4:13:1842018:1847066:1 gene:Potri.013G028200.v4.1 transcript:Potri.013G028200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028200.v4.1 MQPLPAKSEGCKGEGYRASHPLLLVVACDESKSSGLVLSGRDGFNESHTGNVAISPTIVRFYSLRSHNYVHVLRFRSTVYMVRCSPRVVAVGLATQIYCFDALTFENKFSVLTYPVPQLGGQGMGGVNIGYGPMAVGSRWLAYASDNPLVLNTGRLSPQSLTPPLGVSPSSSPGSGSLVARYAMESSKQLATGLINLGDMGYKTLSRYCHDLMPDGSSSPVSSNSSWKVGRSATHSTDSDTAGMVVVKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSCSQSGPGAKSFDWSSSHVHLYKLHRGITPAVIQDICFSHYSQWIAIVSSRGTCHIFVLSPFGGENVLQIHNSHVDGPALLPVVSLPWWSTPSFLLNQLSFSSSPPSPVTLSVVSRIKNNNSGWLNTVSHAASSGSGKASIPSGAIAAVFHSCVPQDSQPAHLRKVNSLDHLMVYTPCGHVVQYKLFSSVGGEPSDIASRNGPASSVQMQDEELRVNVESVQWWDVCRRADWPEREECISGITRRGQETKETVMYMSDGEDDGIGHSQLVKSHEPSHLYLSNAEVQMSSWRIPLWQKSKMYFYAMSHLGPNEENIIEDQTGQEIELEKVPVHEVEIRRRDLLPVFDHFHRTSEWSERAQGGVRYSTLSSGSRGVKESEDAVISHSEIVSPGSVPNSDGGSSTKFYPPMMQAVNSNAGEGGISLLASPILYESSTNKDSGSISFKQTQIGATSAENSNFINSNVTSLTNGPLTAERLIAKEVQSSESGVTSEASNISSNRSDLSMNIIDEGPANDSQDFEHFFQEGYCKASDLKECQESTEVLTFVDNNSSPCDVDKSEEDGDNDDMLGGVFSFSEEG >Potri.016G049600.1.v4.1 pep chromosome:Pop_tri_v4:16:3224892:3226549:1 gene:Potri.016G049600.v4.1 transcript:Potri.016G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049600.v4.1 MKALFISLLVLNLLSLSFSELCNPHDKKVLLQIKNHFGDPYLLASWLSDTDCCTSWNAVECDPTTNRIVSLRIFSGDLSGEIPAEVGDLPYLETLEFHKLTNITGPIPPSISNLIHLISLRLSRLNLTGPVPDSLSKLKNLRVLVLSFNSLSGSIPSSLALMPEIDILELDRNNLTGPIPESFGNFAGRVPGISLSHNQLSGKIPASLDNTDFRLIDFSRNKLEGDASMLFGPNKTSGSVDLSRNLLEFNLSKVVFPNTLTYLDVNHNKIFGSIPTQMTQLNYLSLNVSYNRLCGQIPQGGKLQTLDYTAYFHNRCLCGAPLASCK >Potri.004G050900.7.v4.1 pep chromosome:Pop_tri_v4:4:4121213:4125746:1 gene:Potri.004G050900.v4.1 transcript:Potri.004G050900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050900.v4.1 MQGGDNVVFQEEYAVNHGQQEHAFPFVDQCKDSPSGVRSLAINNIEGAAQLDYHQFDLPQSFEQNFYTSFNGTDLGEEDGMHHVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLEWCQDYCSSFHHSLALNEGPPGMSPVLRPGGIGLKDGLLFAALSAKAEGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETLREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINKCDACALYRLELKAVDGKKTAKGKIANESVADLQKQMGRLTAEFPPDNKRAVKGRTKVNAKVGVGNVYSGSTQVAPTNEAYDYGPGPHYDDYLVENLEGYYLTQYKKP >Potri.004G050900.5.v4.1 pep chromosome:Pop_tri_v4:4:4121174:4125764:1 gene:Potri.004G050900.v4.1 transcript:Potri.004G050900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050900.v4.1 MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRTVDVAVLEEQVHQMLREWKSELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDATSALAAPKLEPNDQSMQGGDNVVFQEEYAVNHGQQEHAFPFVDQCKDSPSGVRSLAINNIEGAAQLDYHQFDLPQSFEQNFYTSFNGTDLGEEDGMHHVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLEWCQDYCSSFHHSLALNEGPPGMSPVLRPGGIGLKDGLLFAALSAKAEGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETLREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINKCDACALYRLELKAVDGKKTAKGKIANESVADLQKQMGRLTAEFPPDNKRAVKGRTKVNAKVGVGNVYSGSTQVAPTNEAYDYGPGPHYDDYLVENLEGYYLTQYKKP >Potri.004G050900.4.v4.1 pep chromosome:Pop_tri_v4:4:4121144:4125819:1 gene:Potri.004G050900.v4.1 transcript:Potri.004G050900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050900.v4.1 MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRTVDVAVLEEQVHQMLREWKSELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDATSALAAPKLEPNDQSMQGGDNVVFQEEYAVNHGQQEHAFPFVDQCKDSPSGVRSLAINNIEGAAQLDYHQFDLPQSFEQNFYTSFNGTDLGEEDGMHHVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLEWCQDYCSSFHHSLALNEGPPGMSPVLRPGGIGLKDGLLFAALSAKAEGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETLREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINKCDACALYRLELKAVDGKKTAKGKIANESVADLQKQMGRLTAEFPPDNKRAVKGRTKVNAKVGVGNVYSGSTQVAPTNEAYDYGPGPHYDDYLVENLEGYYLTQYKKP >Potri.004G050900.2.v4.1 pep chromosome:Pop_tri_v4:4:4121025:4125820:1 gene:Potri.004G050900.v4.1 transcript:Potri.004G050900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050900.v4.1 MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRTVDVAVLEEQVHQMLREWKSELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDATSALAAPKLEPNDQSMQGGDNVVFQEEYAVNHGQQEHAFPFVDQCKDSPSGVRSLAINNIEGAAQLDYHQFDLPQSFEQNFYTSFNGTDLGEEDGMHHVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLEWCQDYCSSFHHSLALNEGPPGMSPVLRPGGIGLKDGLLFAALSAKAEGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETLREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINKCDACALYRLELKAVDGKKTAKGKIANESVADLQKQMGRLTAEFPPDNKRAVKGRTKVNAKVGVGNVYSGSTQVAPTNEAYDYGPGPHYDDYLVENLEGYYLTQYKKP >Potri.004G050900.8.v4.1 pep chromosome:Pop_tri_v4:4:4121215:4125748:1 gene:Potri.004G050900.v4.1 transcript:Potri.004G050900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050900.v4.1 MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRTVDVAVLEEQVHQMLREWKSELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDATSALAAPKLEPNDQSMQGGDNVVFQEEYAVNHGQQEHAFPFVDQCKDSPSGVRSLAINNIEGAAQLDYHQFDLPQSFEQNFYTSFNGTDLGEEDGMHHVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLEWCQDYCSSFHHSLALNEGPPGMSPVLRPGGIGLKDGLLFAALSAKAEGKDVGIPECEGAATAKSPWNAPGMLICVALYLWQAVAIYYVYLKQSISSNHSEYPLQFFAYSINFLLISQSSLIFLSLRVKH >Potri.004G050900.6.v4.1 pep chromosome:Pop_tri_v4:4:4122001:4125762:1 gene:Potri.004G050900.v4.1 transcript:Potri.004G050900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050900.v4.1 MGKGSKINCKSASHKLFKDKAKNRVDDLQGMFLDLQFARKESRTVDVAVLEEQVHQMLREWKSELNEPSPASSLQQGGSLGSFSTDICRLLQLCEEEDDATSALAAPKLEPNDQSMQGGDNVVFQEEYAVNHGQQEHAFPFVDQCKDSPSGVRSLAINNIEGAAQLDYHQFDLPQSFEQNFYTSFNGTDLGEEDGMHHVSSYLPSMCPPPSAFLGPKCALWDCPRPAQGGLEWCQDYCSSFHHSLALNEGPPGMSPVLRPGGIGLKDGLLFAALSAKAEGKDVGIPECEGAATAKSPWNAPELFDLSVLEGETLREWLFFDKPRRAFESGNRKQRSLPDYTGRGWHESRKQVMNEFGGLKRSYYMDPQPLNNFEWHLYEYEINKCDACALYRLELKAVDGKKTAKGKIANESVADLQKQMGRLTAEFPPDNKRAVKGRTKVNAKVGVGNVYSGSTQVAPTNEAYDYGPGPHYDDYLVENLEGYYLTQYKKP >Potri.005G157201.1.v4.1 pep chromosome:Pop_tri_v4:5:14972616:14978844:-1 gene:Potri.005G157201.v4.1 transcript:Potri.005G157201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157201.v4.1 MTDSTGKSREIRQRQSPTTLTSAAHYPPRYHFKRPRCRDQQSCNGRTVSPLFFLKQGRTCFGTKRGQKGHKKGRRIKTQGGERIKKPEKNQGGQKDRDEERKTRGTNKTEKNENTGNW >Potri.006G075800.2.v4.1 pep chromosome:Pop_tri_v4:6:5585048:5590410:1 gene:Potri.006G075800.v4.1 transcript:Potri.006G075800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075800.v4.1 MATFTSFRKAYGALKDTTKVGLAKVNSEYKELDIAIVKATNHVECPPKERHARKIFSATSVIRPRADVAYCIHALCKRLAKTQDWIVAIKTLIVIHRTLREGDPTFREELLNYSHRGNILQMSNFKDDSSSLAWDCSAWVRTYALFLEERLECFKVLKYDIEAERLNKASPVAIKVHSKTRLLNGEDLLEQLPALQQLLYRLLGCQPEGGAYNNYLIQYALALVLKESFKIYCAINDGIINLVDLFFEMTKHDAVKALNIYRRAGQQAENLAEFYEHCKGLELARNFQFPTLRQPPPTFLATMEEYVKEAPQSGSVPKRLEYTENEPEEPEEPSEPVEVEKVDDEKTLIDVEEETKPEEEVVEPPLVSNDAIGDLLGLNEINPKAAELEESNAMALAIVPPGADPLSSSKALSELGKPNATGWELALVTTPSNPTSQPMQSKMGGGFDRLLLDSLYEDDTARKQIQMQNAGYGYGATAVHNPFEQQDPFATSNSIAPPTNVQMTMMAQQQQQYQQQQMMMQQHQQQNQSMIGPCQYQPQYPQQQMQQVGQMGPANPFADPFSSFPQSSEPQQGNHMLI >Potri.016G017232.1.v4.1 pep chromosome:Pop_tri_v4:16:902561:904252:1 gene:Potri.016G017232.v4.1 transcript:Potri.016G017232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017232.v4.1 MKKAELVFIPTPGISHLVSTVEVAKLLVDRDERLSITFLIMKLRSDPKIDRFINSVSTACNRIRFIDLPKDEPDPNQPRKFLFSLIEAQKPHVTEEVCKLVSQSESSPDSPPLAGFVLDMFCTSMIDVANEFGVPSYIFLTSGAAFLGLQFYVQALHDDRKVDPTEYKGSDVELVMPCLANPLPAKVLPSVMLNKEWLPNMLSQARRFRETKGIIINTFEELESHAINSFSKGNSPPVYPVGPILNLNRDGDREEESDKRKDIKQWLDDQPLSSVVYLCFGSMGSFGVDQVKEIACGLEQSGHRFLWSLRQPPPKGKIEPPSDYTNPREVLPEGFLDRTANIGKIIGWAPQTDILAHPSVRGFVSHCGWNSILESIWFGVPIAAWPLYAEQQLNAFQIIVELGLGVEIKMDYRREFISDGNENVISAGEIERGVRCLMELCDEKRERLKEMSGKGKKALENGGSSFTWLGRFIQDSVDHLP >Potri.001G333000.2.v4.1 pep chromosome:Pop_tri_v4:1:34139784:34142432:-1 gene:Potri.001G333000.v4.1 transcript:Potri.001G333000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333000.v4.1 MARSERDGGTRSADICGPSHGVDDQVTVDIDSLTSSVESMMSQNLIMPDKVCIFKVPHILRRHSEKAYTPNAFSIGPWHRHHPLMKSTEKIKLKYLKGLLCQRSASITLKGLIKSTRVIEKEARSCYAGPIDVGVEDFVRMLVIDGCFLIELFRKDHDHENHPREDDDPIFNMSCMKQYLYHDLILVENQIPWLVLENLFNMTADPENTTTLAQLALKFFDNIFSFHPPRKLPSYQGQKHLLDLLRNWLVLSSGKEENGETGWEPIPSVTNLVDAGIKLKAGESSSILDIKFENGVLEIPPLLIQETTEVIIRNLISYEQCCPKCTDRITSYAVLLDNLINTNKDMDTFTGSGIIDNWLNPDEATQFFNKLYQDAYLKKYYYLKLCQEMNRYHQRRFPRWRALLMSNYLGTPWAIVSIFAAATLLILTIVQTIFTIIK >Potri.001G333000.1.v4.1 pep chromosome:Pop_tri_v4:1:34139904:34142458:-1 gene:Potri.001G333000.v4.1 transcript:Potri.001G333000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333000.v4.1 MARSERDGGTRSADICGPSHGVDDQVTVDIDSLTSSVESMMSQNLIMPDKVCIFKVPHILRRHSEKAYTPNAFSIGPWHRHHPLMKSTEKIKLKYLKGLLCQRSASITLKGLIKSTRVIEKEARSCYAGPIDVGVEDFVRMLVIDGCFLIELFRKDHDHENHPREDDDPIFNMSCMKQYLYHDLILVENQIPWLVLENLFNMTADPENTTTLAQLALKFFDNIFSFHPPRKLPSYQGQKHLLDLLRNWLVLSSGKEENGETGWEPIPSVTNLVDAGIKLKAGESSSILDIKFENGVLEIPPLLIQETTEVIIRNLISYEQCCPKCTDRITSYAVLLDNLINTNKDMDTFTGSGIIDNWLNPDEATQFFNKLYQDAYLKKYYYLKLCQEMNRYHQRRFPRWRALLMSNYLGTPWAIVSIFAAATLLILTIVQTIFTIIK >Potri.012G022300.1.v4.1 pep chromosome:Pop_tri_v4:12:2275211:2276279:1 gene:Potri.012G022300.v4.1 transcript:Potri.012G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022300.v4.1 MSIFSWRKMGNCLAHCVRPPFIGSCIRIAEAKQEKVLQVVKTDGKVLEFSTPILVRDILVNFSGSGIGLTQEGIEEHHLPPGYELKLGNVYYILPSAPVISPVIDREDQASGGVQRIKVVITKQQLRHLLTKEISVEEVLLGLEQKSSSLDSPRNWKSNLEPIPEGSE >Potri.001G146900.1.v4.1 pep chromosome:Pop_tri_v4:1:12130790:12134688:1 gene:Potri.001G146900.v4.1 transcript:Potri.001G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146900.v4.1 MNDNQKSLILNSSTQYPLPQGVKLSYGTAGFRADASILESTVFRVGILAALRSLKTQALTGLMITASHNKVNDNGVKIADPSGGMLTQEWEPFADAISNSPTPQHLVQLIDEFVKKENIRFDGARSAEILLGRDTRPSGESLLEAAKQGVYSIVGATATDMGILTTPQLHWMVRARNKGMKATELDYFEQLSSSFRCLVDLTPNQIKMNKTDDKLVVDGANGVGGEKLEVLKKMLNSMVIEVRNSGKEGGVLNEGVGADYVQKEKVVPQGFYLKDVGIRCASLDGDADRLVYFSVQSNNASNIDLVDGDKILSLFALFIKEQLSILKMEGGDHVDENYEARLGVVQTAYANGASTDYLKQLGLEVVFTPTGVKYLHEKAAEYDIGIYFEANGHGTILFSEGFLSWLDARNNELSSKSKGSEQQKAALRLLAVSNLINQAVGDALSGLLLVEAILQYKGWSIHNWSELYHDLPSRQLKVKVVDRTAVVTANAETVVVRPPLIQEAINVEVAKYPRGRSFIRPSGTEDVIRIYAEASIQEAADSLANSVAKLADQFLGFGNSG >Potri.003G055800.5.v4.1 pep chromosome:Pop_tri_v4:3:8168242:8173810:1 gene:Potri.003G055800.v4.1 transcript:Potri.003G055800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055800.v4.1 MSVVGFDFGNENCLVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGRPFSDPELQRDLKSFPYTVTEGPDGFPLIHAQYLGEMRTFTPTQVLGMVFSDLKIIAQKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAELHPLRLMHETTATALAYGIYKTDLPENDQLNVAFVDVGHASLQVCIAGFKKGQLKILAHSYDRSLGGRDFDEALFHHFATKFKAEYHIDVLQNARACLRLRAACEKLKKVLSANPVAPLNIECLMDEKDVRGVIKREEFEQISTPILERVKRPLEKALQDAGLAVENVHMVEVVGSASRIPAVMKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVRDFQVHECFPFSIAVSWKGGALDSQNGAADHQQGTIVFPKGNPIPSIKALTFYRSGTFSIDVQYSDVSELQAPAKISTYTIGPFQCTKSERAKVKVKVRLSLHGIVSVESATLLEEEEVEVPVVKEPAKEPTKMDTDESLSDATTTGPNEADDNMQDEKAAADASGTENGVPESDKPTQMETDTKVEAPKKKVKKTNIPVSEVVYGGIPAAEVQKLLEKEYEMALQDRVMEETKDKKNAVEAYVYDMRNKLSDKYHEFVPDLEREGFTAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTDRGSVIDQLVYCINSYREAAMSGDLKFDHIDMAEKQKVLNECVEAEAWLREKKQHQDSLPKHATPVLLSADVRKKAEALDRFCRPIMTKPKPKPAKPTTPETPATPPSQGSEQQQGGDANADPNANAGAHETAGAAGGEVPPASGEPMETDKPETASGAA >Potri.003G055800.1.v4.1 pep chromosome:Pop_tri_v4:3:8168272:8173778:1 gene:Potri.003G055800.v4.1 transcript:Potri.003G055800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055800.v4.1 MSVVGFDFGNENCLVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGRPFSDPELQRDLKSFPYTVTEGPDGFPLIHAQYLGEMRTFTPTQVLGMVFSDLKIIAQKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAELHPLRLMHETTATALAYGIYKTDLPENDQLNVAFVDVGHASLQVCIAGFKKGQLKILAHSYDRSLGGRDFDEALFHHFATKFKAEYHIDVLQNARACLRLRAACEKLKKVLSANPVAPLNIECLMDEKDVRGVIKREEFEQISTPILERVKRPLEKALQDAGLAVENVHMVEVVGSASRIPAVMKILTEFFGKEPRRTMNASECVSRGCALQCAILSPTFKVRDFQVHECFPFSIAVSWKGGALDSQNGAADHQQGTIVFPKGNPIPSIKALTFYRSGTFSIDVQYSDVSELQAPAKISTYTIGPFQCTKSERAKVKVKVRLSLHGIVSVESATLLEEEEVEVPVVKEPAKEPTKMDTDESLSDATTTGPNEADDNMQDEKAAADASGTENGVPESDKPTQMETDTKVEAPKKKVKKTNIPVSEVVYGGIPAAEVQKLLEKEYEMALQDRVMEETKDKKNAVEAYVYDMRNKLSDKYHEFVPDLEREGFTAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTDRGSVIDQLVYCINSYREAAMSGDLKFDHIDMAEKQKVLNECVEAEAWLREKKQHQDSLPKHATPVLLSADVRKKAEALDRFCRPIMTKPKPKPAKPTTPETPATPPSQGSEQQQGGDANADPNANAGAHETAGAAGGEVPPASGEPMETDKPETASGAA >Potri.018G147749.1.v4.1 pep chromosome:Pop_tri_v4:18:15909915:15910659:-1 gene:Potri.018G147749.v4.1 transcript:Potri.018G147749.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147749.v4.1 MEMVEVDEVEDVMTTEKMAEIPIKVLCAGMSVANSSLTEFAIGSAEGYAEYVIMGECHCQVLQSSSRNRKKELEVNQ >Potri.013G067700.1.v4.1 pep chromosome:Pop_tri_v4:13:5312528:5315008:-1 gene:Potri.013G067700.v4.1 transcript:Potri.013G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067700.v4.1 MQSSILDSIGVEIIGVMSPVSICMLLVVLIVYSLSSSNPFSAASAPPIRTAANLVYLESSSDSTSQKFEGALLNALVFVILIAVVTFFLVLLYYYNCTGFLKNYMRFSAFFVLGTMGGSIFLSMIQHFSIPVDSITCFILLFNFTIVGVMSLFSSGVPIFVRQGYMVALGIFVAAWFTKLPEWTTWALLVALALYDLVAVLAPGGPLKMLVELAQTRDEELPALVYEARPTVSQNGIVQGRSLDLLVGGVSDSRSVEMQAMSSNNVDQNENQNRANSGYTVIQDTNFGNMEGVQRRDEGGRSLSVDILHERHSSSSSSSEYSTVVGNRDSEIVVDEERSPLVGAPEMRNGGEQMRDGLENSDVSSRGIKLGLGDFVFYSVLVGRAAMYDLMTVYACYLAIISGLGCTLILLSVWRQALPALPISIALGVIFYFLTRLLMEPYIVGTATQLMMF >Potri.001G233300.1.v4.1 pep chromosome:Pop_tri_v4:1:25183692:25187434:1 gene:Potri.001G233300.v4.1 transcript:Potri.001G233300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233300.v4.1 MALIMMRSVATTRRGFFKLKRSYSGIRAFSSLLTSPPSKAVVYERHGPPDAVTRVIEMEGVEVKEKDVCVKMMAAPINPSDINRIEGVYPVRPPLPAIGGYEGVGEVLSVGSAVKHLSPGDWVIPSPPSSGTWQTYIVKEESVWHKISKDSPIEYAATITVNPLTALRMLQDFVTLNSGDCIVQNGATSIVGQCIIQLARHRGIHSINIIRDRVGSDEAKEMLKRLGADEVFTESQLEVKNIKGLLTNLPEPALGFNCVGGNSASLVLKFLRHGGTMVTYGGMSKKPITTSTSSFIFKDLSLRGFWLQKLMNSDKVNECRNSIDHLLCLAREGKLKYEMELVSFGDFHTALDKALGKLGSQPKQVLKF >Potri.008G224138.1.v4.1 pep chromosome:Pop_tri_v4:8:18985101:18985595:1 gene:Potri.008G224138.v4.1 transcript:Potri.008G224138.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224138.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATDALVATPGQAGLPAEFKHINKRRKRNLQGFP >Potri.015G129900.1.v4.1 pep chromosome:Pop_tri_v4:15:14069606:14072271:-1 gene:Potri.015G129900.v4.1 transcript:Potri.015G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129900.v4.1 MAPGLRQFTERRGDGAGEPVLDSDNGEDLMYVQPGVSIVIGNRSPESQGTVYISTKKVVWLSDVDRTKGYAVDFLSLSLHAVSRDPEAYSSPCIYTQIETGEDGNESEDSDSESSDALDLSKVTEMRLVPSDPGQLDTLFQILCDCAELNPEPIEDNEEHNWIFSANQLVDETAEGEDSEWNFSQNPSSSIGHSNGDHDLARTVLELQINDNRFEDAEEMEHENDSDGGHH >Potri.014G128300.1.v4.1 pep chromosome:Pop_tri_v4:14:8609440:8615769:1 gene:Potri.014G128300.v4.1 transcript:Potri.014G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128300.v4.1 MKMVEKKRKSRDGKKHDRPPKFNKTSKFNKKSGKRTDEKRRTGPRLPQSLRKELDRVNRNDQYSSEEDDHEGVSNDVYEYEEGVPEEESKKNRRFDSIENYEYQLPEDFEDENVVSDEDDNDFDGVENKIAGRDSKRGDLDKLGDDAEDEDEDDERHLRMLQGITGMPSQAFEGRKKNKVVVSEGYPESEYNPTRDILDGDGRISIEDLMESIQGKPGYRELLNTTRQVEKKGKLLQAPLPKEDRDRLERKAAYELSKKDITKWEPLVKRNRETPTIIFDKDTDLGFSTVGAIASEFEPRTEFEKKMSSLVHDDKVTTAHKEDGSKLLELNKISLEDYVNNRNHIAKMRSLLFRHEVKMKRVKKIKSKTYHRLLKKDRLKGSVGMPMDAEEAKELAMKQEFKRAEERMTLRHKNQSKWAQRIVKRGLDAQDEGTRVAMAEQLHQHALLTRKMKTMKDSSSSDDSSDEEDSENEGGSDQDEASKLLAKARDKTMQVLEGDDEVPDSGVLSLPFMKRALKKKKEAADAEARLAIQDFESSMKQMEDTDEAENSKTGTVSGRRVFGASKMQVIEPKNKIRSSSISSDSEAELEAEEDNEVGLGRTDGMQENINVNSVLLDLDASIPQDSVLKVSEIVRDPGHKKTYEVSILQSDAWKKMSSSCPNEVDTNGKRSRKVVEPAIHNQDVELEEEGEDSDADSEGQMVDGILSPGHISSYKLPSQAELIREAFAGDDVQEEFSKDKEEIINEENPEPEKPVQLPGWGQWTRVQKKKGLPSWVLEEHKNAKRKREEALGKRKDAHLKNVIVSEKLDKKAEKLFSGTLPYPFTSKEVFEQSIRMPIGPEFNPATAVRALNRPEVVKKQGLIIQPINYEDVDPHERGEEHRGSGQKQRQNQRIIRSQGRV >Potri.016G080500.1.v4.1 pep chromosome:Pop_tri_v4:16:6192675:6197175:-1 gene:Potri.016G080500.v4.1 transcript:Potri.016G080500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080500.v4.1 MMKQLHKQTSVNHRRDEEIPTAQSYSPKMLKHPRSLPRSINYLFREQRLLFILVGILIGSTFFIFQPTLSRLNPSDPTTHSSLSSSIYPRNQDSSSGSSGFFSKRTFPGRVPAGIGRKSLRIVVTGGAGFVGSHLVDKLISRGDEVIVIDNFFTGRKENLVHLFGNPRFELIRHDVVEPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYHRGADVEVRIARIFNTYGPRMCLDDGRVVSNFVAQVIRKQPMTVYGDGKQTRSFQYVSDLVDGLVALMEGEHVGPFNLGNPGEFTMLELAEVVKETIDSSATIEFKPNTADDPHKRKPDISKAKELLNWEPRISLREGLPLMVNDFRNRILNGDEGKGL >Potri.012G120400.1.v4.1 pep chromosome:Pop_tri_v4:12:13815210:13816800:1 gene:Potri.012G120400.v4.1 transcript:Potri.012G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120400.v4.1 MGAGSSHDEASESQQTGGEPSRTRPQYNEETSTEAKQSETKLKTVEKAPEAKPLVIRQEKAADVKPLHDAKAKTVKEVKLPHNCEAILRDADSPVDRSSVDKLYDQLSTGVFLNQKRKKYWVEKKCYRNCFMLFAKDLSITWGGDTRYWKWPSICESGDVTVDVAELLDVCWLEIYGKFNTKMLSPGILYEVVFVIKLKDPAYGWGVPVNVSLVLPNGYKQERKEKLQTKPREQWIEVPVGELITSPENVGEIQFGMHEYDGGEWKRGLVIKGIAIRPKT >Potri.015G064200.2.v4.1 pep chromosome:Pop_tri_v4:15:8992328:8997722:1 gene:Potri.015G064200.v4.1 transcript:Potri.015G064200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064200.v4.1 MMYGFLVCRASQKATRNWLSSFNLSRSVHSLPFATVDAEGISGSQPAKVHNLVQGKWIGSSTWNTIVDPLNGEPFIKIAEVDETGTQPFVESLSKCPKHGLHNPFKSPERYLLYGDITAKAAHMLAVPKVSDFFTRLIQRVAPKSYQQALGEVQVTQKFLENFSGDQVRFLARSFAVPGNHLGQQSHGFRWPYGPVAIITPFNFPLEIPLLQLMGALYMGNKPILKVDSKVCIVMEQMIRLLHHCGMPLSDVDFINSDGKTMNKLLQEANPQMTLFTGSSKVAEKLAVDLKGRIKLEDAGFDWKILGPDVNEVDYIAWVCDQDAYACSGQKCSAQSILFMHENWSATSLISKMKDLAERRKLEDLTIGPVLTLTTEAMLDHMNKLLQIPGSKLLFGGKPLENHSIPSIYGALKPTAIYVPLEEILRAKNYELVTREIFGPFQVITEYKKDQLPMVLDALERMHAHLTAAVVSNDVLFLQEVIGKTVNGTTYAGLRARTTGAPQNHWFGPAGDPRGAGIGTPEAIKLVWSCHREVIYDFGPLPKLWEIPPST >Potri.009G026600.1.v4.1 pep chromosome:Pop_tri_v4:9:3782490:3786340:-1 gene:Potri.009G026600.v4.1 transcript:Potri.009G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026600.v4.1 MMGGGGSRIVICVLLTVLILAFLSSGFTPVDNYLIDCGSPTNTTVGGRVFVADDSASIFLSTPKSTLASSSKSVTSGDDSPLYQTARIFDGTSKYSFSIRQPGRHWIRLYFNPFVSGSYDMSGASFDVSTPNHVFLSNFSVKTSVVKEFSANVTSKDLVITFTPSGNSFAFLNALEVVSVPDELITDDAETFNPAGRFKGLSWQALETVYRVNMGGPTVSFENDTLGRTWVPDKSYLVGNNLATNVSNIAAVKYVAGGATQDSAPNAVYGTAIRMNSENDPNSNFNVTWEFNVNPGFQYLVRFHFCDIVSSSLNNLYFNVYIDSWLVAEDEDPSSFANALAVAFYKDFVTAATVSNKLRVSIGPTNTIAVAYPNAILNGLEIMKMNNSLGSLSGPAPDVSDSSSKKNVGVIVGLSIGAVILVVLAGIFFVFCRKRRRLARQGNSKMWIPLSINGGNSHTMGTKYSNGTTATLDSNLGYCIPFAAVHEATNNFDESWVIGIGGFGKVYKGVLNDGTKVAVKRGNPRSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMENGTLKSHLYGSGSPSLCWKDRLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDIYSFGVVLFEVLCARPVIDPSLPREMVNLAEWAMKWQKRGQLEEIIDPTLVGKIRPDSLRKFGETAEKCLADFGVDRPSMGDVLWNLEYALQLQEAVVLGDPEDNSINMIGELSPQINNFSHTDSSVSAAKFEASSVDDLSGVSMSRVFSQLVKSEGR >Potri.003G186650.1.v4.1 pep chromosome:Pop_tri_v4:3:19182649:19183243:-1 gene:Potri.003G186650.v4.1 transcript:Potri.003G186650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186650.v4.1 MESIWRQKSRQIWCKLGDKNNRFFHLIANFRKAKSSIFKIHHNGNTFDSQQGIKQAAVDYFSELYDSPTGKKPQIL >Potri.015G025300.5.v4.1 pep chromosome:Pop_tri_v4:15:1898534:1902449:1 gene:Potri.015G025300.v4.1 transcript:Potri.015G025300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025300.v4.1 MLLIKHDRSFHHLYVLIVLLLLMKLAPGFISGVKGATFGCIERERQALLKFKEDLIDDFGLLSTWGSEEEKRDCCKWRGVRCNNRTGHVTHLDLHQENYINGYLTGKISNSLLELQHLSYLNLNRNSFEGSSFPYFIGSLKKLRYLDLSSIGIVGTLSNQFWNLSRLQYLDLSGNYYVNFTSLDFLSNLFSLEYLDLSGNNLSQVIDWIQTVKKFPFLKILLFRNCDLSNNSPPSLSSTNSSKSLAVIDLSHNYLASSTFNWLSNFSNNLVDLDLSYNDGVTFKSLDFLSNLFFLEHLQLSYIQLQGLIPEAFANMISLRTLDLSFNELQGLIPDAFTNMTSLRTLDLSCNQLQGSIPDAFTNMTSLRTLYLSFNHLQGSIPDAFTNMTSFRTLDLSFNQLQGDLSTFGRMCSLKVLHMSGNNLTGELSQLFQDSHGCVESSLEILQLDGNQLHGSVPDITRFTSMTELDLSRNQLNGSLPKRFSQRSEIVILYLNDNQLTGSLADVTMLSSLREFVIANNRLDGNVSESIGSLYQLEQLDVGRNSLQGVMSEAHFSNLSKLTVLDLTDNSLALKFESNWAPTFQLDRIFLSSCNLGPHFPQWLRNQNNFMELDISGSRISDTVPNWFWNLSNSKLQLLNLSHNKMSGILPDFSSKYSILRNMDLSFNQFEGPLPLFSSDTISTLFLSNNKFSGSASFLCNIGRNISVLDLSNNLLTGWIPDCSMNFTRLNILNFASNNFSGKIPSSIGSMFHLQTLSLHNNSFVGELPSSLRKCTSLVFLDLSSNMLRGEIPGWIGESMPSLEVLSLQSNGFNGSIPQNLCHLSNILILDLSLNNISGIIPKCLNNLTFMVRKTASEYLNNAVSSLYSSTPDVLSAYQNKITVGWKGREDDYGSTLGLLRIINFARNKLIGEIPEEITGLLLLLALNLSGNNLTGEIPQKIWQLKQLESLDLSGNQLSGVIPITMADLNFLAFLNLSNNHLSGRIPSSTQLQGFNASQFTGNLALCGKPLLQRCPGDETNQSPPANDDNRGKEVVADEFMKWFCTAMGIGFSVFFWGVSGALLLKRSWRHAYFRFLDESWDWLYVKVAVRKARLQRAFQWLHEHGLA >Potri.005G000700.1.v4.1 pep chromosome:Pop_tri_v4:5:133197:133939:1 gene:Potri.005G000700.v4.1 transcript:Potri.005G000700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000700.v4.1 MALVMNRNYSYNTSHHLGPTPLSRSSSSGTWNELLGGYSNSSEHYSSSSSVVMMEKRQLFLRSYQFCRKRTLTERIKGSLIRAKKVMWLRLRSARKIRRLVWSRLRYAFYCRRRRRFLRLINHNHHNSSTCTFW >Potri.019G018400.2.v4.1 pep chromosome:Pop_tri_v4:19:3030974:3038557:1 gene:Potri.019G018400.v4.1 transcript:Potri.019G018400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018400.v4.1 MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYDPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHRKRKRKGIDYNSEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEELEGKKRMDIEAQLRKQDMAKNKIAERQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEDIAKMGYASDLLAGSEELTEGSGATRALLANYAQTPRQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKREIQTPNPMLTPSATPGGVALTPRIGMTPSRDSFGMTPKGTPIRDELHINEDMDMHDSAKLEQRRQADLRRNLISGLGNLPQPKNEYQIVIQPPPEENEEPEEKIEEDMSDRIARAKAEEEARQQALLRKRSKVLQRELPRPPAASLELIRDSLLRADGDKSSFVPPTSIEQADEMIRKELLALLEHDNAKYPLEEKPSKEKKKGSKHPSNRSSASIPVIEDFEEDELKQADNLIKVEAQYIRVAMGHEDESLDEFIEAHKTCINDLMYFPTRNAYGLSSVAGNMEKLTALQNEFENVKTRLEAEREKALRLEKKVNVLTQGYQMRAERQLLPPIELTLKQMDTSGTELECFQALQRQEQLAASHRINGLWEEVQKQKELEQTMQRRYGDLVAELERIQQLIINYRALAIQQEEIAAKNRALELAEAATKQAAILNSEPFEPVTPDEHGNSMPVGSFDKKVLEQQMDVDSEKVHSALATDTSLTNNVPSDEGQMTLVQGNGHEASGANPSSPDGNNQNGVPVLTENSINRGDIISTVGVAVEIKVNDASVDGDAGDVMSTEIMDGLASVEGESIQERADDFDKADMMEVSSGGDDKVNQKKDEGKLPVIYVDLTNSD >Potri.019G018400.3.v4.1 pep chromosome:Pop_tri_v4:19:3031606:3038563:1 gene:Potri.019G018400.v4.1 transcript:Potri.019G018400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018400.v4.1 MPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYDPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHRKRKRKGIDYNSEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEELEGKKRMDIEAQLRKQDMAKNKIAERQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEDIAKMGYASDLLAGSEELTEGSGATRALLANYAQTPRQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPELHPSDFSGVTPKKREIQTPNPMLTPSATPGGVALTPRIGMTPSRDSFGMTPKGTPIRDELHINEDMDMHDSAKLEQRRQADLRRNLISGLGNLPQPKNEYQIVIQPPPEENEEPEEKIEEDMSDRIARAKAEEEARQQALLRKRSKVLQRELPRPPAASLELIRDSLLRADGDKSSFVPPTSIEQADEMIRKELLALLEHDNAKYPLEEKPSKEKKKGSKHPSNRSSASIPVIEDFEEDELKQADNLIKVEAQYIRVAMGHEDESLDEFIEAHKTCINDLMYFPTRNAYGLSSVAGNMEKLTALQNEFENVKTRLEAEREKALRLEKKVNVLTQGYQMRAERQLLPPIELTLKQMDTSGTELECFQALQRQEQLAASHRINGLWEEVQKQKELEQTMQRRYGDLVAELERIQQLIINYRALAIQQEEIAAKNRALELAEAATKQAAILNSEPFEPVTPDEHGNSMPVGSFDKKVLEQQMDVDSEKVHSALATDTSLTNNVPSDEGQMTLVQGNGHEASGANPSSPDGNNQNGVPVLTENSINRGDIISTVGVAVEIKVNDASVDGDAGDVMSTEIMDGLASVEGESIQERADDFDKADMMEVSSGGDDKVNQKKDEGKLPVIYVDLTNSD >Potri.013G125600.1.v4.1 pep chromosome:Pop_tri_v4:13:13332552:13335370:1 gene:Potri.013G125600.v4.1 transcript:Potri.013G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125600.v4.1 MAAMTTTASTLQLQQLRNLSFYKCLSLSSSSSSSTNSIIFFQSHFTCNKLLLFSSNPNLRVLKHVGVKPISAVGSGMEASIAETNEKLIALKNVKIVIESQEEDKMQVRVDLSGDETQKVFNKALTDLARSAPPIPGFRREKGGKTTNVPREFLLQILGEDRVTNFVIQEIVTSTMADYVKKENLKVKENKINTTQKAEELKKVFVPGNEFGFNAVLELEKPEAETENENEPEPETETEPEPEPETSS >Potri.002G046000.1.v4.1 pep chromosome:Pop_tri_v4:2:2984618:2993391:-1 gene:Potri.002G046000.v4.1 transcript:Potri.002G046000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046000.v4.1 MKISCWILILWLLLCILASGSLSIDNFNQAFPIIEPDPGHTKLRLSSEGLEAIKRITNPIAAVSVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPLELDINGVKTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVIIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQHVPNSDGNKNIDQVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDLKDGELDPMYVKKREQLKELVASIIRPKIVQGKSLNGKEFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSEMMAKLRLPLPEKSLQNVHDSSKGETMKSFDEQHFGRHHAKRSVMQLDEEIEKVYKNFIMANEYQSAKLCEALYTRCEDRMDQLQVLRLPSMAKFNAGFLQCNQSFEQECVGPSKANYENRMIKMMGKSKSSFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFILIEIGAWVLFIFLETYTRMFWSAESLYYNPVWHFIVATWETLVYSPILDLDRWAIPLGFMVVILVVYWRCYGGRKNGSRWLLPLYNNHKGGTDRPRTD >Potri.002G046000.2.v4.1 pep chromosome:Pop_tri_v4:2:2984665:2993357:-1 gene:Potri.002G046000.v4.1 transcript:Potri.002G046000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046000.v4.1 MEEGQDVAFEPAKLLWLIQRDFLQGKSVQQMVNEALQHVPNSDGNKNIDQVNQIRDSLAIMGDNSTAFSLPQPHLQRTKLCDLKDGELDPMYVKKREQLKELVASIIRPKIVQGKSLNGKEFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSEMMAKLRLPLPEKSLQNVHDSSKGETMKSFDEQHFGRHHAKRSVMQLDEEIEKVYKNFIMANEYQSAKLCEALYTRCEDRMDQLQVLRLPSMAKFNAGFLQCNQSFEQECVGPSKANYENRMIKMMGKSKSSFIKEYNHRLFNWLVAFSLVMVVVGRFIIKFILIEIGAWVLFIFLETYTRMFWSAESLYYNPVWHFIVATWETLVYSPILDLDRWAIPLGFMVVILVVYWRCYGGRKNGSRWLLPLYNNHKGGTDRPRTD >Potri.001G280200.1.v4.1 pep chromosome:Pop_tri_v4:1:29391869:29396833:-1 gene:Potri.001G280200.v4.1 transcript:Potri.001G280200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280200.v4.1 MMDGWWRLNGVKLRMKILMLVLLLLPQSLSFCWSLNTEGLALLRFREMVERDPHGALSNWIEKDGDIDPCSWFGVECSDGQVVILHLTDLCLGGTLAPELGRLAYLKSLNLRNNSFYGNIPREIGELEELEVLDLGYNNFSGPFPPNFFANNLSLTTLLLDNNKFLDGISPEVNDLKVLSEVQADENQLRASCCCRDITWNNVQSRNIAHRMLLQVADPPNPPIDNNRRKTNQSGSEASPSPSLLSPSGSQLSPSMAPSDSPSLSLSPSFSPSPSPSESPSFSPAESPSSLPLPPSPSPMIAPTPASPAPENRPNVFIVQPQYDWVSMVPAPSPSHLEHSKSKTHTVLIAGVIAGSMLALISAVGFFLFRRSKVVTVKPWATGLSGQLQKAFVTGVPKLKRPELEVACEDFSNIIGPFSDGTVYKGTLSSGVEIAVTSTAVKSREDWSKNLEAQFRNKIDTLSKMNHKNFVNLIGFCEEDEPFTRIMVFEYAPNGTLFEHLHVKEAEHLDWGMRLRIAMGVAYCLEYMHQLTPPVAHKNLQSSSIYLTEDYAAKISDFSFWNDATAAKTGSPSMELLESQSSDPESNIYSFGVILYEMITGRIPYAVDNLADWASDYLRGEQPLKEKVDPTLKSFQEDELEKLSEVIRNCIHPDPKHRPTMKEIAAKLKEITSVGPDGATPKLSPLWWAELEIMSTEGSCEVNSV >Potri.002G242100.1.v4.1 pep chromosome:Pop_tri_v4:2:23429196:23432515:1 gene:Potri.002G242100.v4.1 transcript:Potri.002G242100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242100.v4.1 MGKYMKKSKITSDVVAVMEVTSGVRTRAKTHALQLLQSPVSSNPDATSTCYLQLRSRRLEKPPPLFNAETKRNPAKEKDSFSCKGASRLRVNSSSTTGDCDEKGTGKSGFKCETEDSGSLKEASSGDNCFYFERCTRESTPCSLIRDSETIATPGSTTRQRSSTAGSQRLLNTQRNIPTTCEMDEFFAGVEQQQQRLFIEKYNFDIVNDLPLSGRYEWVRVIP >Potri.004G073300.2.v4.1 pep chromosome:Pop_tri_v4:4:6121308:6125330:1 gene:Potri.004G073300.v4.1 transcript:Potri.004G073300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073300.v4.1 MVSKNPNPADGSYLDPTGMAALPGLSPFPTTTTPPTTTTTSSSTSEDPNKKIRKPYTITKSRESWSEPEHDKFLEALQLFDRDWKKIGAFIGSKTIIQIRSHAQKYFLKVQKSGTNEHLPPPRPKRKAAHPYPQKASKNAIVLSQPSEAFQSSSAPLEPGYVLRPDSSSIPMNPIASAAVASSWTNNVPTVSLSNQTKGPVAANNCCSSTESTPRTKPIGKTAEQGNHGHSMRVLPDFSQVYGFIGSVFDPNVTDQLQNLKKMDPIDVETVLLLMRNLSLNLTSPSFEEHRTLLSSHEIDSETIGANNNADQSMNVA >Potri.009G099200.2.v4.1 pep chromosome:Pop_tri_v4:9:8835799:8839738:-1 gene:Potri.009G099200.v4.1 transcript:Potri.009G099200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099200.v4.1 MASVANTLLSLPPSLCFNSNSNSNNNQSPPPSLFVSKAPRSKRCRFHSSAGSPNRSSVTVSLSTVANSVPARSKIHTVGDFMTKREDLHVFKANTTVDEALEALVEKRITGFPVIDDNWKLVGVVSDYDLLVLGSISGSCQNDTNLFPNVDSSWKTFNELQKLLIKNNGKVVGDLMTPNPLVVYETTNLEDAVRLLLETKYRRLPVVDNDGKLVGIITRGDIVRASQQIKSSTERST >Potri.016G094067.1.v4.1 pep chromosome:Pop_tri_v4:16:8461485:8461898:1 gene:Potri.016G094067.v4.1 transcript:Potri.016G094067.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G094067.v4.1 MSWRSEHIWIELIAGSRKISNFCWAIILFLGSLGFLLIGISSYLDRNLISLFPSQQILFFPQGIVMSFYGLVGLFISSYLWCTISWNVGSGYDRFDRKEGIVCIFRWGFPGKNRRILLRLFMKDIQSIRIEVK >Potri.002G045400.1.v4.1 pep chromosome:Pop_tri_v4:2:2944935:2950333:-1 gene:Potri.002G045400.v4.1 transcript:Potri.002G045400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045400.v4.1 MANNPTEPPTDDFLQEILGMPNFASAEAGLVGADAGLAGTASVQAPMMLQLSSGDGSGHISALGGAPGGGGAGFHGFPLGLSLEQGKGGFLKPEEASGSGNRFRDDIVDGRVRNVFHGQPMPTTVTAATHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRAAMLDEIVDYVKFLRLQVKILSMSRLGGAGAVAPLVTDIPLSPVEDETGEGGRNQLAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPITLATAIYHTQPPDTTTIVKPETNPPS >Potri.015G078300.1.v4.1 pep chromosome:Pop_tri_v4:15:10454190:10460096:-1 gene:Potri.015G078300.v4.1 transcript:Potri.015G078300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078300.v4.1 MIHNGEGTHDTIPRPTSSDPFGSIKPDGGDASPASASQHSSCGESEFERYCSATSVMGTPSICSGSFGPSFNDCIKSDVESLKSLDNFSLGPKSFHFGFDDNRNLEDQKLSNSVIDCLDSSFEENGIDGLEIRGSEMDSKRESVRLGIENGENDGCSSGLDVEVGLGFDGGEVERGEDGGSSRYGYSEDDDSMYGCGSDDENRKNLNFRKTVLLGEEGKVGDANPLIMSSSVAFGSEDWDDFELETRGGIGASFTLDKFQQPEQGQETDGNFFSSTSVALTVAPVVGETEIGKGLMEEHAGIRDSAADGSGEKLNSVTKVPFGVQNSVVDQVEDVRDIPVASCQVQGGHELAKDDKGTSIVPVGFPGYCEPQEEDVINISFNCNQVQGANDTTELYKNCPVSSVFEVEQEPLVEKSPIGLGMDFTDHHVDDLNPSVKSGEVVCTDDNVTLENEEAGNLKVEADPFSDTTNQLCSRTAEYSENASAEFIVDQKLNSTQSMLENNMKKASENAPGSVIPYKDHPAVVKAENFELIEFYDEIVNEMEEILLDSVESPGARFPRGNHMFQSQLLVSTASTSGTDEAYMLITQPQRIDRVEVVGAKQKKGDVSLSERLVGVKEYTAYIIRVWSGKNQWEVERRYRDFYTLYRRLKSLFADQGWTLPSPWSSVEKESRKIFGNASPDVVSERSVLIQECLHSTIHSGFFSSPPSALVWFLFPRDSFPSSPAARTLVPQSVFSNRGEDAGNISTLGKTISLIVEIRPFKSTKQMLEAQHYTCAGCHNHFDDGMTLMRDFVQTLGWGKPRLCEYTGQLFCSSCHTNETAVLPARVLHYWDFIQYPVSQLAKSYLDSIHEQPMLCVSAVNPFLFSKVPALHHIMDVRKKIGTMLSYVRCPFCRTINEGLGSRRYLLEGNDFFALRDLIDLSKGAFAALPVMVETVSRKILEHITEQCLICCDVGVPCSARQACNDPSSLIFPFQEGEIERCASCESVFHKPCFSKLTNCFCGAHLRTDEVMESTSSLSRKASGLILGRRSGSAMGLGLFSELFSKANPEKVKDHKDNDAFILMGSLPSNFL >Potri.014G079900.1.v4.1 pep chromosome:Pop_tri_v4:14:5155905:5162901:1 gene:Potri.014G079900.v4.1 transcript:Potri.014G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079900.v4.1 MWRVARFAASNVRSSSQRRFFSAAAIPGACIVHKRGADILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYRSLEKNTQGQPYSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPGQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDVQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLTMAIQALSRMSGNNEMAAKNQCYLLDKDGLITKERKNLDPAAAPFAKDIKDVEGLREGASLLEVVKKLKPHVLLGLSGVGGIFNEQVLKAMRESDSPKPAIFSMSNPTMNAECNAADAFKHAGPNIVFASGSPFENVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGAHVITDGMLQAAAECLASYMTDEEIQKGILYPSIDSIRHITAEVGAAVVQAAVEEDLAEGHGDVGPRELKHMSKEETVAYVMQNMWFPVYSSLVHEK >Potri.014G079900.7.v4.1 pep chromosome:Pop_tri_v4:14:5156633:5162681:1 gene:Potri.014G079900.v4.1 transcript:Potri.014G079900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079900.v4.1 MESYRSLEKNTQGQPYSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPGQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQRILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDVQGTAGVALAGLLGTVRAQGRPLSDFVNQKIVVVGAGSAGLGVLTMAIQALSRMSGNNEMAAKNQCYLLDKDGLITKERKNLDPAAAPFAKDIKDVEGLREGASLLEVVKKLKPHVLLGLSGVGGIFNEQVLKAMRESDSPKPAIFSMSNPTMNAECNAADAFKHAGPNIVFASGSPFENVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGAHVITDGMLQAAAECLASYMTDEEIQKGILYPSIDSIRHITAEVGAAVVQAAVEEDLAEGHGDVGPRELKHMSKEETVAYVMQNMWFPVYSSLVHEK >Potri.017G114700.1.v4.1 pep chromosome:Pop_tri_v4:17:12224872:12226958:-1 gene:Potri.017G114700.v4.1 transcript:Potri.017G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114700.v4.1 MNQVSLQQNAITFCDERRGLVSISDYKGPVVCPKPRRVGILANSPIRPLRWPVSHQAEMCDSKAGAELLDIILMKEGHGADYPANQAASSPPPFFCGSPPTRVGNPLIQDARFGDEKFTPISPLSIPSPSGLSSPSTSACKGGGCVRMKFGLKPAEVRVEGFDCLNRDRQNSSIPAVA >Potri.017G114700.4.v4.1 pep chromosome:Pop_tri_v4:17:12224872:12226967:-1 gene:Potri.017G114700.v4.1 transcript:Potri.017G114700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114700.v4.1 MNQVSLQQNAITFCDERRGLVSISDYKGPVVCPKPRRVGILANSPIRPLRWPVSHQAEMCDSKAGAELLDIILMKEGHGADYPANQAASSPPPFFCGSPPTRVGNPLIQDARFGDEKFTPISPLSIPSPSGLSSPSTSACKGGGCVRMKFGLKPAEVRVEGFDCLNRDRQNSSIPAVA >Potri.010G072101.1.v4.1 pep chromosome:Pop_tri_v4:10:10044456:10044719:-1 gene:Potri.010G072101.v4.1 transcript:Potri.010G072101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072101.v4.1 MGKQNVERREFCNRRNSSLPEFDNAGAMMTMDENPQCSFQILRPAAKKPKFGVGSTVTAKSGTIPHKIKVYAVHPAPRIHTCYFIYK >Potri.005G140300.3.v4.1 pep chromosome:Pop_tri_v4:5:11118687:11124095:-1 gene:Potri.005G140300.v4.1 transcript:Potri.005G140300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140300.v4.1 MQQLRFVREEQERRIHDAVMKTSNEFEKSQMILEEKLADTGKMLAKIGIEKANLSKAFLEKERLVEDLSKQKAQVEADFIALMGRLESTEKDSASLKYEVRVLDKELEIRNEEREFNRRTADSSHKQHLESVKRIAKLEAECQRLRLLVRKRLPGPAAVAKMKSEVEILGRDSVEVSRRRSNCSPIGLVVDSAVGNSAESPSKKINFLTEQLCAMEEENKTLKEALDKKTNELQVSRTMYARTASKLSQVESLFDELPKGQITLERSRSVRMPQELSLASMSEIGSDDKVSSAESWASALISEMEHFKQGKQKGSPTNRTIGVSDISLMDDFAEMERLAIVSVDKQLESPHASSDNVNAIGQEIIPVSESRSGVSNQVIKSKDKASGWLHDILKVVLEQNRVTQRKPCEILEDVRIALANINHASPAEYVDTRQSSTHSNGLNSPHVGGYISWKPMYSVTDSPGGVTEAEALSMDKSHQQVQSDLGKSLCKIIELIEGIAFSYADYGNSETLTRKDGDFFPFKNTETPPGYMVRVLQWKTSELCAVLQEFVHACYDLLNGKSDVNMFAQELGSALDWIMNHCFSIQDVSSMRDAVKKHFDWDESRSEYEAEVVASNGHHNYFEKKDVSDQSTIRDENRKIREELTNIDSAKRDLEARLQLASDKSEPLMNQLKESEKTIESLQTDLETLRGSKAMFESQIENHKLMKEDVDTELTEAKVELNKAHQKLSTLEMELENRKSCCEELEATCLELQIQLESMTKNEIPNSEVHQDESQLRTDWEITAASEKLAECQETILNLGKQLKALASPSEAALFDKVISTSTDTNTISVTTSTSTALTPKNKVLIQRSSLLDQMLAEDTDKVKDTKSVKCKESDSNTSSTVISNKVIEPLEKILVLNGIKHQDDGIATNSLAIVPSKKRGGVNLWRKFLWRKKKSNIKKPSFPFAP >Potri.005G140300.2.v4.1 pep chromosome:Pop_tri_v4:5:11118692:11124984:-1 gene:Potri.005G140300.v4.1 transcript:Potri.005G140300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140300.v4.1 MDHKTWLWRKKSTDKIVAATDPKVELSSKEEEIQTLLDNKAELENDLKILSERLSSALSECNAKDDLAKKQAKLAMEAMAVQEKAEAKALSLKQELDEALQQRAAGEERLTHLDAALKECMQQLRFVREEQERRIHDAVMKTSNEFEKSQMILEEKLADTGKMLAKIGIEKANLSKAFLEKERLVEDLSKQKAQVEADFIALMGRLESTEKDSASLKYEVRVLDKELEIRNEEREFNRRTADSSHKQHLESVKRIAKLEAECQRLRLLVRKRLPGPAAVAKMKSEVEILGRDSVEVSRRRSNCSPIGLVVDSAVGNSAESPSKKINFLTEQLCAMEEENKTLKEALDKKTNELQVSRTMYARTASKLSQVESLFDELPKGQITLERSRSVRMPQELSLASMSEIGSDDKVSSAESWASALISEMEHFKQGKQKGSPTNRTIGVSDISLMDDFAEMERLAIVSVDKQLESPHASSDNVNAIGQEIIPVSESRSGVSNQVIKSKDKASGWLHDILKVVLEQNRVTQRKPCEILEDVRIALANINHASPAEYVDTRQSSTHSNGLNSPHVGGYISWKPMYSVTDSPGGVTEAEALSMDKSHQQVQSDLGKSLCKIIELIEGIAFSYADYGNSETLTRKDGDFFPFKNTETPPGYMVRVLQWKTSELCAVLQEFVHACYDLLNGKSDVNMFAQELGSALDWIMNHCFSIQDVSSMRDAVKKHFDWDESRSEYEAEVVASNGHHNYFEKKDVSDQSTIRDENRKIREELTNIDSAKRDLEARLQLASDKSEPLMNQLKESEKTIESLQTDLETLRGSKAMFESQIENHKLMKEDVDTELTEAKVELNKAHQKLSTLEMELENRKSCCEELEATCLELQIQLESMTKNEIPNSEVHQDESQLRTDWEITAASEKLAECQETILNLGKQLKALASPSEAALFDKVISTSTDTNTISVTTSTSTALTPKNKVLIQRSSLLDQMLAEDTDKVKDTKSVKCKESDSNTSSTVISNKVIEPLEKILVLNGIKHQDDGIATNSLAIVPSKKRGGVNLWRKFLWRKKKSNIKKPSFPFAP >Potri.005G140300.1.v4.1 pep chromosome:Pop_tri_v4:5:11118687:11124503:-1 gene:Potri.005G140300.v4.1 transcript:Potri.005G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140300.v4.1 MDHKTWLWRKKSTDKIVAATDPKVELSSKEEEIQTLLDNKAELENDLKILSERLSSALSECNAKDDLAKKQAKLAMEAMAVQEKAEAKALSLKQELDEALQQRAAGEERLTHLDAALKECMQQLRFVREEQERRIHDAVMKTSNEFEKSQMILEEKLADTGKMLAKIGIEKANLSKAFLEKERLVEDLSKQKAQVEADFIALMGRLESTEKDSASLKYEVRVLDKELEIRNEEREFNRRTADSSHKQHLESVKRIAKLEAECQRLRLLVRKRLPGPAAVAKMKSEVEILGRDSVEVSRRRSNCSPIGLVVDSAVGNSAESPSKKINFLTEQLCAMEEENKTLKEALDKKTNELQVSRTMYARTASKLSQVESLFDELPKGQITLERSRSVRMPQELSLASMSEIGSDDKVSSAESWASALISEMEHFKQGKQKGSPTNRTIGVSDISLMDDFAEMERLAIVSVDKQLESPHASSDNVNAIGQEIIPVSESRSGVSNQVIKSKDKASGWLHDILKVVLEQNRVTQRKPCEILEDVRIALANINHASPAEYVDTRQSSTHSNGLNSPHVGGYISWKPMYSVTDSPGGVTEAEALSMDKSHQQVQSDLGKSLCKIIELIEGIAFSYADYGNSETLTRKDGDFFPFKNTETPPGYMVRVLQWKTSELCAVLQEFVHACYDLLNGKSDVNMFAQELGSALDWIMNHCFSIQDVSSMRDAVKKHFDWDESRSEYEAEVVASNGHHNYFEKKDVSDQSTIRDENRKIREELTNIDSAKRDLEARLQLASDKSEPLMNQLKESEKTIESLQTDLETLRGSKAMFESQIENHKLMKEDVDTELTEAKVELNKAHQKLSTLEMELENRKSCCEELEATCLELQIQLESMTKNEIPNSEVHQDESQLRTDWEITAASEKLAECQETILNLGKQLKALASPSEAALFDKVISTSTDTNTISVTTSTSTALTPKNKVLIQRSSLLDQMLAEDTDKVKDTKSVKCKESDSNTSSTVISNKVIEPLEKILVLNGIKHQDDGIATNSLAIVPSKKRGGVNLWRKFLWRKKKSNIKKPSFPFAP >Potri.014G147800.2.v4.1 pep chromosome:Pop_tri_v4:14:10139803:10141458:-1 gene:Potri.014G147800.v4.1 transcript:Potri.014G147800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147800.v4.1 MERLAVIRNWFVFLVAFSFFVVFFKAFDYSVLSADGTNSATSLISVFVNSFNNLHAHKPNHSLDVPQMFNQNASPDPLVDTDNPFIDVIESLDHANNLAVNKNTTLAARTKRPADSCEGRYIYVHHLPRRFNDDVLKNCSVLVKWLDMCPFLKNSGFGPQVENSEGVLSEKSWFTTNQFLLEVMFHERMKKYKCLTNNSSFANAIYVPFYAGLDAGRYLWGYNISMRDSLGSDLVKWLAQQPEWKRMWGRDHFFVLGRIGWDFRRQTDHDSDWGSKLMTLPESMNLTALSIETTSWSNEFAIPYPTYFHPSSDDEVFQWQNRMQSHNRRYLFAFAGAPRPSANDSIRKEIIHQCLASRRTCNFLRCNSGGESRCDNPAEVIKVFQDSVFCLQPPGDSYTRRSIFDSILAGCIPVFFHPFSAYAQYTWHLQRDYWRYSVFIPIDLVKDGFVSIKQVLLQISDNEMLAMRKEVIKLIPRVIYADPRSKLQTLEDAFDITLKGVLHRIGKVRKNINKGRDPSNGFAEENSWKMKLSGIGVEEEWDHFTDTSMRY >Potri.003G118000.3.v4.1 pep chromosome:Pop_tri_v4:3:13995726:13998375:-1 gene:Potri.003G118000.v4.1 transcript:Potri.003G118000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118000.v4.1 MVEAQKWATRRMSNPRIVDGTTVSTTTDDQVLDIPATPPGDVRNNAYSTVGSYFSPNILTAAIIASWYMSNIGVLLLNKYLLSFYGFRYPIFLTMLHMISCACYSYVAIKFLEIVPLQHILSRKQFMKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKKESAEVYCALLPVVFGIVLASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAALILLPFTLYIEGNVAAITIEKASGDPFIVFLLAGNATVAYLVNLTNFLVTRHTSALTLQVLGNAKAAVAAVISVLIFRNPVTVMGMAGFAVTIMGVVLYSEAKKRSKVTTH >Potri.003G118000.1.v4.1 pep chromosome:Pop_tri_v4:3:13994923:13998370:-1 gene:Potri.003G118000.v4.1 transcript:Potri.003G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118000.v4.1 MVEAQKWATRRMSNPRIVDGTTVSTTTDDQVLDIPATPPGDVRNNAYSTVGSYFSPNILTAAIIASWYMSNIGVLLLNKYLLSFYGFRYPIFLTMLHMISCACYSYVAIKFLEIVPLQHILSRKQFMKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKKESAEVYCALLPVVFGIVLASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAALILLPFTLYIEGNVAAITIEKASGDPFIVFLLAGNATVAYLVNLTNFLVTRHTSALTLQVLGNAKAAVAAVISVLIFRNPVTVMGMAGFAVTIMGVVLYSEAKKRSKVTTH >Potri.008G203500.2.v4.1 pep chromosome:Pop_tri_v4:8:14769791:14772047:1 gene:Potri.008G203500.v4.1 transcript:Potri.008G203500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203500.v4.1 MDQQGHVQPPAVGMVGSTAPVPYGIPSYQHNQMMGPSATGSLQSPTQPAVLTASSAHLAQHQLAYQHIHQQQQQQLQQQLQTFWANQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPIIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASVPRGSLPVGGPADALPYYYMPPQLAPQVSAPGMTVGKPVVDQAFYGQQSRPYVPQQIWPQQTQQPPEDS >Potri.008G203500.3.v4.1 pep chromosome:Pop_tri_v4:8:14770001:14772046:1 gene:Potri.008G203500.v4.1 transcript:Potri.008G203500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203500.v4.1 MDQQGHVQPPAVGMVGSTAPVPYGIPSYQHNQMMGPSATGSLQSPTQPAVLTASSAHLAQHQLAYQHIHQQQQQQLQQQLQTFWANQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPIIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASVPRGSLPVGGPADALPYYYMPPQLAPQVSAPGMTVGKPVVDQAFYGQQSRPYVPQQIWPQQTQQPPEDS >Potri.008G203500.4.v4.1 pep chromosome:Pop_tri_v4:8:14770001:14772043:1 gene:Potri.008G203500.v4.1 transcript:Potri.008G203500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203500.v4.1 MDQQGHVQPPAVGMVGSTAPVPYGIPSYQHNQMMGPSATGSLQSPTQPAVLTASSAHLAQHQLAYQHIHQQQQQQLQQQLQTFWANQYQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPIIFARACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASVPRGSLPVGGPADALPYYYMPPQLAPQVSAPGMTVGKPVVDQAFYGQQSRPYVPQQIWPQQTQQPPEDS >Potri.002G262800.1.v4.1 pep chromosome:Pop_tri_v4:2:25016305:25020791:1 gene:Potri.002G262800.v4.1 transcript:Potri.002G262800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262800.v4.1 MDSEEELLPSSDTEQPSSPLPQRKFKRLKKATNTVKISQDPLLNPPNDTPPSPSLSPSIQPTDSPDLETLDAEEHTGEDFSEPILRSEPGDLENLEEGNDLDTYFSGSGNEDSVADVKRALEFDSVDEEFNGQGMEEEIGDFRTEEGLDKKLPDFDGLEEQKEKKKKKRSKSGDDGYGDEQFSVHTNKRREAKERRERHKELIIESQKLLRETREASFKPVPIVQKPVSSVLEKIRLRKREVSKKLVSVNTSSFNDSDDAFSREVVLECGFENDLIEDIEVQKVARADSETNADPFDEENSFDSLSVEGSKRTANHSPKTMASLMDLNGESKQTFRAPVDDTQDLSFDSQKSTSKDEISDDPPSSPMDVVQAPSLLAMNLKLDSAPPDEFSDEEDNDKENIDPIPHGLADLSLSPKGDPMKAYIDEEAEVEDDSDHDLMRFGDSEEDEDDLDSEELKDMIETGYKEKPFDNEIRNQLHQKWLEKQDADGTENLLRKLKCGSKQRETTLLEEKEYEGKEDEEAEVDEEAEVDEEEFLDEAAEVTRHVVRMNLKKAKEMISQMFTDKDDVYISSDDEETETRLVKEQLSYKAEDQATFLSPAEAEGSKEIFGLIKKLNGVHDTRKKAKITSYSHMRSITGNRNMSSKSSFLGRGSKSSLPSSRKHGSSMVRSFVFERDDSSSRSAISMPEDSSNLIQSENRPRKTVSAKFSGSQIRSSTQNTQTATEMKSGPSLHEILRCPSLQSSHHNSNIMAGQVEAIYDAFKLDRNQRKNEPRVSIRTAYSVT >Potri.005G235400.1.v4.1 pep chromosome:Pop_tri_v4:5:23350392:23359443:-1 gene:Potri.005G235400.v4.1 transcript:Potri.005G235400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235400.v4.1 MESDDLSNCKDLEAKLGSQKGLSKNLLKPRVNKGMEEQKELLGKQIEFGIDSDDNEPIGSLFRLKRPRNPKKVKVVLEKIEVREDKLVTEDEDLGGMDDTLASFKKKLKAPKKGLGSVSAIQNEGDELLDGNVEKKVQNKRKERASKVGSGWKRVRTGGDAAVDDDSEGLGSQGALLENQEEESLLPGESSSQSLDKLEDSISAFYQKKQSGSVRKSCANSSSKQINRVQCLEARLSPETGFGSGGSKDVDLSTFRSSPVSSVVCKDLEGGDSSHIVANSSLLDSTSRQILNTKNQRLDNGFGETSYCIEENSDRIKGLSVSKDESMKSNDKRHGKSSEVTAEVAAPASPALGSQHGVIEDEETQDPCISDFKGEPMGKPCSPYRIWNESHSAPGNNDGLEAQTLKNGLKLCSVGKVSTRNTLEQQSKGVSAACISNAEPQISISSGGREVSASSSPHSQNDLQDLASVPKKENVEISDVRLSPFTVTSREVHKCTFSLCMNHNGNSLDYLSINEEANGPSPRSLTPEENESYPEDAVSVPDSDIKDGHLAAVHRAMRKPKKRRLGDMAYEGDADWETLINEQQFLENYQVVESDRSFRTREKSDSSSNSAEAENGGIAAVSAGLKARAAGPVEKIKFKEVLKRKGGLQEYLECRNRILGLWSKDVSRILPLADCGITETPSQNESPRASLIRQIYEFLDQSGYINAGIASEKESAEPSANHNYKLVEEKTFEGNPGASVADLEDGVSFILGQVKSSQNSLEPKDRVPMDNQDLALKALKSGKLVDLPNVKECEEWPAEDIKQNSVSNTKLSNGLASLDALSTDPSCTMLDSRTAPVINPELRNGLQSVKSNSCAEMGGSHKLLCDSQDRKKIIVIGAGPAGLTAARHLQRQGFSVTILEARSRIGGRVYTDHSSLSVPVDLGASIITGVEADVTTERRPDPSSLICAQLGLELTVLNSDCPLYDIVTGEKVPTDLDEELEAEYNSLLDDMVLVIAQKGQHAMKMSLEDGLNYALKTRRMVHPGAFFDETESGNAVDALYDSKTCSVDGGAPENSKEEILSPLERRVMDWHFAHLEYGCAASLKEVSLPYWNQDDVYGGFGGAHCMIKGGYSNVVESLGERLPIHLNHVVTDISYGIKDARASVSHRSKVKVCTSNGSEFLGDAVLITVPLGCLKAEAIKFSPPLPQWKRSSIQRLGFGVLNKVVLEFPDVFWDDSMDYFGATAEETDRRGHCFMFWNVKKTVGAPVLIALVAGKAAIDGQRMSSSDHVSHALMVLRKLFGEALVPDPVASVVTDWGRDPFSYGAYSYVAIGSSGEDYDILGRPVENCVFFAGEATCKEHPDTVGGAMMSGLREAVRIIDILSMGTDFTTEVEAMEGAQRHSEVERDEVRDITKRLEAVELSNVLYKNSLDRARLLTREALLRDMFFSAKTIAGRLHLAKKLLNLPVGTLKSFAGTRKGLAMLNSWILDSMGKDGTQLLRHCVRLLVLVSTDLLAVRLSGIGKTVKEKVCVHTSRDIRAIASQLVSVWLEVFRREKASNGGVKLSRHATALESSKRKSFNNSTSRKPPLHAHHGALENSGNLQVSTSTRGPLPSNSNMEKAKSKPETLKCSSRLGIEVEEGNTIAISEEEQAALAAEEAARAAAHVAAQAYASSEAKFSTLVQLPKIPSFHKFARREQYAQMDEYDLRRKWSGGVLGKQDCISETDSRNCRVRDWSVDFSAAYANFDSSRMSGDNLSQRSHSNEIASHMSFREQSGESTAVDSSLFTKAWVDTAGSAGIKGYHAIERWQCQAAAADSDFFHRAMHIKDEEDSNTSSRPPTWKHDGRANESSISQVTVNNEPSKHHSRGADRIKQAVVDFVSSLLMPVYKARKIDKEGYKSIMKKISTKVMEKATDIEKAMAVSEFLDSKRKNKIRAFVDKLIENHMAMKPAVEP >Potri.010G090100.2.v4.1 pep chromosome:Pop_tri_v4:10:11513443:11522648:-1 gene:Potri.010G090100.v4.1 transcript:Potri.010G090100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090100.v4.1 MLSNQLHNGMETAKLLWSRIPSSEDGEVDGVSMLRTSNGNTAESLDYEVIENQAYRYEQAQRGKLYVGYQVVVKWFLALLIGIGTGLAAVFINISVENFAGWKFSLTFSIIQRSYFAGFVIYVLINLVLVFSSVYIVTHFAPAAAGSGIPEIKGYLNGLDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSLRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRTAMGWCKSGKCGHFGSGGFIIWDTSDGQEDYSFGELLPMAVIGVIGGLLGALFNQLTLHITYWRRNYLHKNGNRVKIIEACLISVITSAISFGLPLLRKCNPCPESDPDSGIECPRPPGMYGNYVNFYCGKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVNFYKVNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEQQARLRGIPLLESRPKYQMRNMKAKEACGNQKVVSFPRIVKVADVISILQSNIHNGFPVIDHTRNGETLVIGLVLRSHLLVLLQSKVDFQHSPLPCDPAGVSKSIRHNFSEFVKPVSSKGISIEDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVVGMITRKDLLIEDREDSANVELQSTSRAPSI >Potri.010G090100.1.v4.1 pep chromosome:Pop_tri_v4:10:11513468:11522599:-1 gene:Potri.010G090100.v4.1 transcript:Potri.010G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090100.v4.1 MLSNQLHNGMETAKLLWSRIPSSEDGEVDGVSMLRTSNGNTAESLDYEVIENQAYRYEQAQRGKLYVGYQVVVKWFLALLIGIGTGLAAVFINISVENFAGWKFSLTFSIIQRSYFAGFVIYVLINLVLVFSSVYIVTHFAPAAAGSGIPEIKGYLNGLDIPGILLFRTLIGKIFGSIGSVGGGLALGKEGPLVHTGACIASLLGQGGSTKYHLSLRWLQVFKSDRDRRDLVTCGCAAGVAAAFRAPVGGVLFALEEVTSWWRSQLMWRVFFTSAVVAVVVRTAMGWCKSGKCGHFGSGGFIIWDTSDGQEDYSFGELLPMAVIGVIGGLLGALFNQLTLHITYWRRNYLHKNGNRVKIIEACLISVITSAISFGLPLLRKCNPCPESDPDSGIECPRPPGMYGNYVNFYCGKDKEYNDLATIFFNTQDDAIRNLFSAKTIHEFSAQSLLTFLVMFYTLAVVTFGTAVPAGQFVPGIMIGSTYGRLVGMFVVNFYKVNVEEGTYALLGAASFLGGSMRMTVSLCVIMVEITNNLKLLPLIMLVLLISKAVGDAFNEGLYEQQARLRGIPLLESRPKYQMRNMKAKEACGNQKVVSFPRIVKVADVISILQSNIHNGFPVIDHTRNGETLVIGLVLRSHLLVLLQSKVDFQHSPLPCDPAGVSKSIRHNFSEFVKPVSSKGISIEDIHLSSDDLEMYIDLAPFLNPSPYVVPEDMSLTKVYNLFRQLGLRHIFVVPRASRVVGMITRKDLLIEDREDSANVELQSTSVRGHRQYRMISRDVDVERPLLNGLLVQDHMLG >Potri.014G155000.1.v4.1 pep chromosome:Pop_tri_v4:14:10897571:10899136:-1 gene:Potri.014G155000.v4.1 transcript:Potri.014G155000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155000.v4.1 MDWTRGHTIGRGSTATVSVATSIQSGDVFAVKSVELSQSGFLQREQKILSSIISPFIVSYKGCDVTRKNNKVMYNLFLEYMPNGSLSNAIHAHDGGQLDESLIRIFTYQILQGLDYLHLNGLVHCDIKSSNILVAQSGAKIADFGCAKRVEQQGPIAGTPMFMAPEVARGEEQGFASDIWALGCTIIEMASGGTPWHNVSDPVSIIYRAGYSGHLPEFPCCLSEQARDFLDKCLRRDPKERWTASQLLEHPFLVGELNKQIEESNPTSTSPTSILDQGIWNSLDESESLESLVLVPSGSESSAGERIRGLSLLSGAPSWDCDDQNWITAREDGCEEGDTVTDDIEYKD >Potri.007G120300.1.v4.1 pep chromosome:Pop_tri_v4:7:13822045:13823032:1 gene:Potri.007G120300.v4.1 transcript:Potri.007G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120300.v4.1 MSSSRFTSSLYLLTLSILLQNVLGVDPLYSSCSGNEKSTANYGSYKTSLDVLMSSFYQLAPAKEGFALGSLGQKNLDRPYGLVLCRGDVSSSDCSACVADATREIRKRCPYGKSGFIAYDNCLLKYSNKDFFGQIDSQNKIYLYNVRNVSNPVVFNQKTKDLLSQLANKAYIARKMYATGELGLGGSKKLYGMAQCTRDLSSANCKKCLDGAISELQGFAGGKEGGRVTGGSCTVRYEIYPFVKA >Potri.007G003700.1.v4.1 pep chromosome:Pop_tri_v4:7:254666:255232:-1 gene:Potri.007G003700.v4.1 transcript:Potri.007G003700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003700.v4.1 CRYKRHFGVVNKRLLEKLSVATVPADALETTRHLLKIVVKDATFAAHGLTKDALNHIKTHIIDIMPYLLLSAVTTNAAKEAKEKGESNHEQYEKDKGIQQKEPYVSLTSSSFASLKSLSRLKLIIN >Potri.004G053000.2.v4.1 pep chromosome:Pop_tri_v4:4:4316843:4320069:1 gene:Potri.004G053000.v4.1 transcript:Potri.004G053000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053000.v4.1 MGSGDWFKTMISMKKVKDDSSKQPKGSTTSAKSNGFKWKNKLRKESAIFANGSSRANPRFIDMPVEDVAATQIQTAFRAYMARKTLRRLKGTVRLQIITKNYSVKKQAATTLNYIHSWSQIQAQIRARRLCMVTESRLRQKKLENQLKLEAKLHDLEVEWCGGFDTMEETLARIHLREEAAVKRERAMAYAFSHQWRASSGHSLGLVNFELGKANWGWSWKERWIAARPWESRVPVKSASPKKVKNKQAKKVDENTKLQTKKTPVSSKPSLSNGRVNPTARRLSYPPAEKRATLERSIKSDAANTKREHSVS >Potri.001G007501.4.v4.1 pep chromosome:Pop_tri_v4:1:524583:529239:-1 gene:Potri.001G007501.v4.1 transcript:Potri.001G007501.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007501.v4.1 MEIEEEEVKWEAMEPEKDEPVLTLGLRRRRAPEQSLHSLLPSSISLLAESLSYINLVSLKFFQKPAVDRSPIKHMSGASQKIKLKRAKRLPPLMRAFASPRHWMQLSDIGLSNLEILPEIVGSFFYQAHDKILDGITAFFSDLFHLKSLTLSDNDVSRLQPVLASRNNQPIPEFLMEKLPELASGNNQTIPEFLAEKLPELRSWNDHSLQELIANTILMEKLPYLALRNTQRPHPELQANDNRLENLAASVFSQDDSMNDNDVNQHAIYVEAEQLLDAGESSRPREISGWTLLMTNLILEIASAVFDQMGYAQIGMVLAFVALLLAAVDLIHMARKERIKRAAGMSPLPLFHPRSTYTSATRKPVGTIVEYFGLAGAVWQCVYSTVEYTYTRQKKDNPIKISLLPFIFLLCVVISKLLVDKSCIDKSS >Potri.005G032100.2.v4.1 pep chromosome:Pop_tri_v4:5:2119461:2120532:1 gene:Potri.005G032100.v4.1 transcript:Potri.005G032100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032100.v4.1 MDSHVSLASFTCRDTLIMILRKLGARDLARASCVCKLWRDMASDDAIVRPAFMEPWKLKEIVGEPVSGSFWRENGIWKFAISHKIAREDSLTSLAKKYSVQVINKVECFFVTGLLVICSFLFVDLGGRKWFLVCGNLGICLFCL >Potri.005G032100.1.v4.1 pep chromosome:Pop_tri_v4:5:2119461:2121974:1 gene:Potri.005G032100.v4.1 transcript:Potri.005G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032100.v4.1 MDSHVSLASFTCRDTLIMILRKLGARDLARASCVCKLWRDMASDDAIVRPAFMEPWKLKEIVGEPVSGSFWRENGIWKFAISHKIAREDSLTSLAKKYSVQVRDIKLLNNMTSDNGIYSMERLLIPIINPNSLINGICYIELDTYAKREVLVLYPGGQPDKKLM >Potri.001G330900.6.v4.1 pep chromosome:Pop_tri_v4:1:33957364:33962490:1 gene:Potri.001G330900.v4.1 transcript:Potri.001G330900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330900.v4.1 MPGVKGPSDYLQEPPRHPSLQINSKYPFNAEPPRSALISSYVTPVDLFYKRNHGPIPVVDDIERYSVLIRGLIDNPRDLFMRDIKMLPKYNVTATLQCAGNRRTAMSKVKTVKGVGWDVSAIGNGVWGGAKLADVLELVGISKLTSTTKSGGKHVEFVSIDKCKEENGGPYKASIPLSQATNPEADVLVAYEMNGEPLNRDHGYPLRVVVPGVIGARSVKWLDCINIIAEESQGFFMQKDYKMFPPSVNWDNINWSTRRPQMDFPVQSAICSLEDVTAIKPGKVKVSGYAASGGGRGIDRVDVSVDGGKTWVEASRYQKPGVQYISDDMNSDKWAWVLFDVTVDAPQSTEIVVKAVDSAANVQPENVQEIWNLRGILNTSWHRVQVRVGHSNM >Potri.002G146901.1.v4.1 pep chromosome:Pop_tri_v4:2:11056457:11057276:-1 gene:Potri.002G146901.v4.1 transcript:Potri.002G146901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146901.v4.1 MTLMITLLSFPCSDFNGEGQRGKRRWPITTTMTALQPPNQSSSRKGGGSRLKENSELLEPSNHRDPWSISKHHANIKIQIFNASVKDWHPTEAGEAGGTTINFGKKQGDQNLSKEHRING >Potri.004G090400.1.v4.1 pep chromosome:Pop_tri_v4:4:7694883:7703139:-1 gene:Potri.004G090400.v4.1 transcript:Potri.004G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090400.v4.1 MASISTPLSYSPSPVRLKPPVRLKPPDSLLLRTQTLHKLSFKSPNPKTPIGFSVKATADVTKTMGIRPGSVVETDKLPSDVRNRAMEAVDACGGRVTIGDVASRAGLKLNEAQKALQALASDTDGFLEVSDEGDVLYVFPKDYRSKLAAKSLRLKFEPLFEKGKAAAEYLIRVSFGTALIASIVIVYTTIIAILSSSRDENDRGRRRSRSFDTGFAFYLSPTDLFWYWDPYYYRRRQLRTDGGDKMNFIESVFSFVFGDGDPNQGIEEERWKLIGQYISSNGGVVAAEELAPFLDLKTTEDMSDESYILPVLLRFDGKPEIDEEGNILYQFPSLQRTASSKRSGRKEYVGKRWADWVGGVGKFFREKTWQFSKTSSSERAMAIGLGGLNLFGVIILGTMLQDMAITQNGGFIKFVSSIFPLLQIYAGSFFAIPLIRWFLVLQRNAEIENRNRAREQCAQMLELPDISLRRKILSARDMAQKTVIGQDRIVYTTDKDIIEQDVEAREWDQRFREIEKSD >Potri.006G201100.2.v4.1 pep chromosome:Pop_tri_v4:6:20857061:20865472:-1 gene:Potri.006G201100.v4.1 transcript:Potri.006G201100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201100.v4.1 MTRLSEKLHLLHIIIKISQFSLSTLNLLKALTFKTLTFIPSSIMGEDFFSFHNSNTDDSSIFDLDYDNTRSSAAAASSSASGFFSSTLYRGWFSNDDDDNDFDKLYLVPYRWWSEVETGGEQVGVLYNVISNFGGDDAEIVLDFRKEEGSERSEDTEEGFSGREYAIISGRMWLQALKRHNDSKGVMKVVSGSFIAEDYSQDVFPLQIRLSVSRETNSLVVKISSKDNLVSSYRRACNIFAPKSKLLHIWDFSGQTTQFLIDDKINLPNDTLGEQGKEITLELQAYGFSDSMTVRDENFDEMVEMETSFESGSVKMNGCTDHVRSYSSLRNSQSGSGFRVFGYLGLRGLQNLGNTCFMNSAIQCLAHTPKLVDYFLGDYGKEINQENLLGTKGELALAFGDLLSKLWTPRDTPVAPAIFKRKLADFAPQFSGYNQHDSQEFLSFLLDGLHEDLNRVKSKPYIAIKDAEGRLDEVVAEEYWRNHLARNDSIIVDLCQGQYQSTLVCPACNKKSVTFDPFMYLSLPLPSTTMRTMTLTVLSTDGMNLPTPITVTVPKCGRQKDLIEALSIACSLRNDEMLMVVEIYKNRIFRRLTEPSDSVALIRDEDKLVAYRLLKDNRNSPMVVFMHERVERPCEFERAIPNLKLFGIPFVARLENLYTGFDLHKQYLKLLSPLLMPADDALNDYDDVGITTNEDSTMEDVVCPTISDSNTGSDTGTEDDQCSSSDFQFYLKDGMRSTEIKMNEPLPVPKFSDNLEVYVTWSESMIEKYDTCLLSSLPEVLKPQLYARRPQESVSLYKCLEAFLKEEPLGPEDMWDCPSCKKPRQARKKLDLWRLPEILVVHLKRFSYSRYIRNKLETYVDFPIDDFDLSTYTSKKDSQFSNHYVLYAISNHHGGMGCGHYDAFIDLGYRKWYEFDDSRVSPVSEDDIKTSAAYVLFYRRVA >Potri.005G062450.1.v4.1 pep chromosome:Pop_tri_v4:5:3969246:3969554:1 gene:Potri.005G062450.v4.1 transcript:Potri.005G062450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062450.v4.1 MNNRSKLPGTHCTATKAQISSICFCLLKLHYYCTVEHLCTATLSFCLICFLSPLHNCRKQSEICFAPTRVFCLLLFCYTIVLMELLQHICLKFHNFCPSSNL >Potri.004G234500.1.v4.1 pep chromosome:Pop_tri_v4:4:23912929:23913795:-1 gene:Potri.004G234500.v4.1 transcript:Potri.004G234500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234500.v4.1 MTKNFLSTPPPRTHFLWWFAAIFCAILTMAVIIAGIVIFIGYMVIHPRVPVISVVSAYLPHFNYDGAGVLVTQVNILVRSKNDNMKAHARFSNFNLELFFDGNRIAVLSTATPYEVKKNSSVDFNYEYTSDPIPLNPMQMNHVDESLKKDEVSFELKGGVRTQWRVGVLGSVKFLCHLNCQLQFRPSNGSYITRRCSSRSK >Potri.001G125400.1.v4.1 pep chromosome:Pop_tri_v4:1:10302744:10305885:1 gene:Potri.001G125400.v4.1 transcript:Potri.001G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125400.v4.1 MMNNEVRLNCGINMPVLGLGTYSYPNDRQTTELAIDMALKMGYRHFDTAKIYGSEPAVGHALTEAILDQTVDREGIFVTSKLWGSDHHDPVSALRQTLKNMGMEYLDMYLVHWPVKLKPWNYSAVPPEDDFEPLDLESTWAGMEKCLDLGLCRCIGVSNFSSKKIQSLLDFASVPPAVNQVEMHPMWRQRKLRDFCADYKIHVSAYSPLGGPGNAWGTTAVVENPIIQSISRKHKTTPAQVALQWGLSKGSSMIVKSFNQKRLKENMEALNVKLDDQDLTDIEKLEEWKIMRGEFLVNDSTSPYKTIEDLWDGEI >Potri.004G208200.1.v4.1 pep chromosome:Pop_tri_v4:4:21527837:21529136:1 gene:Potri.004G208200.v4.1 transcript:Potri.004G208200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208200.v4.1 MEECMRKLALWHTRTFNPIMTHEELEPLMTTMGFVGLPPSSSSSWKEYAYMAKPPKYYKYCYDHSEEQSPVPPRPKLPYPKIDGLHLYTYQAFIDSVNLYLEMSNISDLFHVRGMPLSRNVDRDWKWRRMEEEETVFVYREGTLDQTTYHLYHLNKPSSGNGDDSVLIRDKGKNAPITCIVPLKDIIVV >Potri.003G092200.1.v4.1 pep chromosome:Pop_tri_v4:3:11839710:11842763:-1 gene:Potri.003G092200.v4.1 transcript:Potri.003G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092200.v4.1 MTDYRLPPTMNLWTDDNGSVMEAFMNSSDLSSLWAPPPQTSASFSTPAAAAAAAAQPSDKTMLNQETLQQRLQALIEGARESWTYAIFWQSSYDCSGASVLGWGDGYYIGEEDKGKGRMKNSASSAAEQEHRKKVLRELNSLIAGPSSVTDDAVDEEVTDTEWFFLVSMTQSFVNGSGLPGQALFNGSPVWVAGSERLGTSPCERARQGQVFGLQTLVCIPSANGVVELGSTELIFQSSDLMNKVKVLFNFNSLEVGSWPIGTTNTDQGENDPSSLWLTDPETKDGNAGIPSTTPAHQTANNNNHHSSSSLTDHSGGIHHVQNHHSHQQQQQQQQIHTQSLFTRELNFGEHSTYDGSTVRNGNSHLMKPESGEILNFGESKRSPSSANGNFYSGLVTEESNKKKKSPASRGGNEEGMLSFTSGVILSSSGLVKSSGGTGGDSDHSDLEASVVKEADSSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYINELKTKLQSAESSKEELENQVESMKRELVSKDSSSPPNQELKMSNDHGGRLIDMDIDVKISGWDAMIRIQCCKMNHPAARLMSALKDLDLDVQYANVTVMNDLMIQQATVKMGNRYYTQEELKVAISTKVGDAR >Potri.003G011850.7.v4.1 pep chromosome:Pop_tri_v4:3:3278546:3279049:-1 gene:Potri.003G011850.v4.1 transcript:Potri.003G011850.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011850.v4.1 MDQWFLRQILHFAADCHVSWNGRDAARSNATMISWQSPIQGFIKLDLDKSSIGYGPKLLPELLALKHGLLIAWNWGYKQVLCNSDSMDSLLLIKNYDIDFHLYNHHYGYSLSPLKGMRSLALSYSTRRQLLCRFSCQIRC >Potri.014G167100.3.v4.1 pep chromosome:Pop_tri_v4:14:12133304:12135974:-1 gene:Potri.014G167100.v4.1 transcript:Potri.014G167100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167100.v4.1 MSSQAQTRTRAHQPCAACRMLRRRCDSNCMLAPYFPGDEAEKFFGVHKVFGASNVIRMIQMVDESKKEDAVKAIIYEATSRLRDPVYGSAGTIFHLQRMVEELKMQVESMRAQVVQLQEQRNQLLGILMNVRHLDSTSSVHDSKFDGGNFMLDEDSMAYDPMTFFTENDWTF >Potri.014G167100.2.v4.1 pep chromosome:Pop_tri_v4:14:12133303:12136046:-1 gene:Potri.014G167100.v4.1 transcript:Potri.014G167100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167100.v4.1 MSSQAQTRTRAHQPCAACRMLRRRCDSNCMLAPYFPGDEAEKFFGVHKVFGASNVIRMIQMVDESKKEDAVKAIIYEATSRLRDPVYGSAGTIFHLQRMVEELKMQVESMRAQVVQLQEQRNQLLGILMNVRHLDSTSSVHDSKFDGGNFMLDEDSMAYDPMTFFTENDWTF >Potri.007G057200.1.v4.1 pep chromosome:Pop_tri_v4:7:5986441:5989258:-1 gene:Potri.007G057200.v4.1 transcript:Potri.007G057200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057200.v4.1 MAAAVTAAVSFPSSTKSTSLPSRTILIAPERITLRKVPVHFRDVSASGRVISIRAQVTTEAPAKVEKVSKKNEEGVVVNKFKPKNPYTGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNDQGEIVKGVCSNFLCDLKPGAEVSITGPVGKEMLMPKDPNATVIMLGTGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKAPDNFRLDFAVSREQTNAKGEKMYIQTRMAEYAEELWELLKKDNTYVYMCGLKGMEKGIDDIMVSLAAKEEIDWLEYKRSLKKAGQWNVEVY >Potri.006G118300.1.v4.1 pep chromosome:Pop_tri_v4:6:9284625:9287895:-1 gene:Potri.006G118300.v4.1 transcript:Potri.006G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118300.v4.1 MGNANSFWATFFLLVLVASVARGDPLVPALIIFGDSVVDVGNNNNLTTLIKANFLPYGRDYVTHRPTGRFCNGKLATDFTAEYLGFTTYPPAYLSPDASGRNILTGANFASAASGLYDGTAQSYSSISLTRQLSYYRDYQMKVVNMAGQARANDIFSGAIHLLSAGSSDFIQNYYINPVLRGLYSVDRFSDLLMSSYSSFIQNLYGLGARRIGVTSLPPTGCLPAAITLFGAGSNQCVESLNQDAILFNDKLNSTSQGLVQKLPGLKLVVFDIYQPLLDMIRKPSDNGFFESRRACCGTGTLETSVLCNDRSVGTCSNATEYVFWDGFHPSEAANQVLAGDLLQQGFDLIS >Potri.002G098300.1.v4.1 pep chromosome:Pop_tri_v4:2:7175053:7177151:1 gene:Potri.002G098300.v4.1 transcript:Potri.002G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098300.v4.1 MVSLATEEFPPHAVCLPFPAQGHINPMLKLAKILHRKDFHITFVNTEFNHRRLLKSRGLGSLDGLPTFRFETIPDGLPPSDADSTQHVPSLCDSTKKNCLAPFRDLLSRLNNTSSSKVPPVTCIVSDCIMSFTLKAAQELGIPNVLFWTASVCGFMSYLQYRPLIEKGFVPLKDESYLTNGYLDTVIDWIPGMEGISLKYLPSFLRTTDSGDIMLNFAIGEVESARNASAVIFNTFDDLESEVLKPLTSTLPHLYTIGPLQLLENQDQENALNSIASNLWKEEPGCIEWLDFKEPDSVIYVNFGSVTVMTPQQLIEFAWGLANSKCTFLWVIRPDLVVGDSAIVPPEFVAETKERGLLAGWCPQEQVLQHPSIGGFLTHSGWNSTLDSLCGGVPMICWPFFAEQQTNCWFCCNKLGIGMEIDSDVKRNEIESLVRELMEGDQGQVMKYKAKEWKRKVEEATASPTGSSCLNLEKMINKVLLAPRDKINGDVILPGITGLGTYGES >Potri.004G217100.1.v4.1 pep chromosome:Pop_tri_v4:4:22280816:22283327:1 gene:Potri.004G217100.v4.1 transcript:Potri.004G217100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217100.v4.1 MISGDSSCFPSASSQGLHMYQAVTEDVVSSTLEASSSSEAHSNSLLYNLSLLKDKVHQVESLISILIAPDHSQPNDHKSTSVALANMSTMIQEIIVAASSVMFTCQQMGIGNDISGNNINTNDLHQPRGRKAEESSLLSQPNFGGNHAGNIGQERGQSFFSPESFDWCSDNYNDNCNTNENIRGIHVSSNNRVDIRREILSQGGEGILQGQSPKNYDIIELDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTTAALSNPMKNNPTATPENKEASMKLPRKYSCPHEGCRWNRKHAKFQPLKSMICVKNHYKRSHCPKMYVCKRCSRKQFSVLSDLRTHEKHCGDLKWLCSCGTTFSRKDKLMGHVALFFGHTPAISGLTRPTKFENQTMQMHLDHDRG >Potri.004G217100.2.v4.1 pep chromosome:Pop_tri_v4:4:22280829:22283327:1 gene:Potri.004G217100.v4.1 transcript:Potri.004G217100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217100.v4.1 MISGDSSCFPSASSQGLHMYQAVTEDVVSSTLEASSSSEAHSNSLLYNLSLLKDKVHQVESLISILIAPDHSQPNDHKSTSVALANMSTMIQEIIVAASSVMFTCQQMGIGNDISGNNINTNDLHQPRGRKAEESSLLSQPNFGGNHAGNIGQERGQSFFSPESFDWCSDNYNDNCNTNENIRGIHVSSNNRVDIRREILSQGGEGILQGQSPKNYDIIELDAADLLAKYTHYCQVCGKGFKRDANLRMHMRAHGDEYKTTAALSNPMKNNPTATPENKEASMKLPRKYSCPHEGCRWNRKHAKFQPLKSMICVKNHYKRSHCPKMYVCKRCSRKQFSVLSDLRTHEKHCGDLKWLCSCGTTFSRKDKLMGHVALFFGHTPAISGLTRPTKFENQTMQMHLDHDR >Potri.009G003500.5.v4.1 pep chromosome:Pop_tri_v4:9:1003038:1008258:-1 gene:Potri.009G003500.v4.1 transcript:Potri.009G003500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003500.v4.1 MAVASVHNVSVLDSSFLRESQSEAVRRWGDDGRASNQTSSHLQTERELEDEHVVSHVQGRVTDRLVQCQSDGSSTDLLRVDASDSHSNDQSGSSEGGSAGESECGQWPPSPIGLENGQEDSSDLGAVERVRVRQIFQEWMDCGARECTSSISQRNSGSRAEWLGETEQERVRIIREWVQMNSRQRGACVDRREEKGAEVAGQIEQVLDGLVVNHNEGRTEHTRRGIRRLCGRQALLDMLKKAERERQSELQGLLEHRAVTKFAHRNRIQSLLRGSFLRNDRIVEDERPTSTAASELGLLRQRHTVSDLREGFFSRFDHSVCRQLSRNVNDTSSNIDVNGNRSEQIKAKNSQQVINEFYEQTESNSEENGSLGLMDSRTDIEDNNVDDASWQEITAHLEEGPRQVSDSNARDRQQLANIAFVERRDGTREEANGNQREGTNGESSQETMGNEVEENKQLLSTREVFSQQSEVDRGGSAVSLLSNHLSDLEATVNEDVNWHESAEPLEQWQNQLLESEGQHLFGASRASGEWADGVQDNIDGRQHETAANEWLENVDREGASEVWLEDGGFQETLQSWLTEPSDQEAISVGRMDPFYFSDDDNVYSMELRELLSRRSVSTLLRSGFRESLDQLVRSYVERQSHTPLEWELQETSAIPASAEQDLEQQTVDQNESQGNAVQSPSLALPSPTIPPVEQLWDQESHHYTWPQHDMHLRFGIEWDIINDLRIDMARLQQRMNNMQGMLEACMDMQLELQRSIQQEVSAALNRSSDSPGVCENSVPEDRSKWDHVRKGICCICCDGSIDSLLYRCGHMCTCSKCAHELVRSGEKCPMCRAPVIEVIHAYSIL >Potri.009G003500.4.v4.1 pep chromosome:Pop_tri_v4:9:1003039:1009023:-1 gene:Potri.009G003500.v4.1 transcript:Potri.009G003500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003500.v4.1 MAVASVHNVSVLDSSFLRESQSEAVRRWGDDGRASNQTSSHLQTERELEDEHVVSHVQGRVTDRLVQCQSDGSSTDLLRVDASDSHSNDQSGSSEGGSAGESECGQWPPSPIGLENGQEDSSDLGAVERVRVRQIFQEWMDCGARECTSSISQRNSGSRAEWLGETEQERVRIIREWVQMNSRQRGACVDRREEKGAEVAGQIEQVLDGLVVNHNEGRTEHTRRGIRRLCGRQALLDMLKKAERERQSELQGLLEHRAVTKFAHRNRIQSLLRGSFLRNDRIVEDERPTSTAASELGLLRQRHTVSDLREGFFSRFDHSVCRQLSRNVNDTSSNIDVNGNRSEQIKAKNSQQVINEFYEQTESNSEENGSLGLMDSRTDIEDNNVDDASWQEITAHLEEGPRQVSDSNARDRQQLANIAFVERRDGTREEANGNQREGTNGESSQETMGNEVEENKQLLSTREVFSQQSEVDRGGSAVSLLSNHLSDLEATVNEDVNWHESAEPLEQWQNQLLESEGQHLFGASRASGEWADGVQDNIDGRQHETAANEWLENVDREGASEVWLEDGGFQETLQSWLTEPSDQEAISVGRMDPFYFSDDDNVYSMELRELLSRRSVSTLLRSGFRESLDQLVRSYVERQSHTPLEWELQETSAIPASAEQDLEQQTVDQNESQGNAVQSPSLALPSPTIPPVEQLWDQESHHYTWPQHDMHLRFGIEWDIINDLRIDMARLQQRMNNMQGMLEACMDMQLELQRSIQQEVSAALNRSSDSPGVCENSVPEDRSKWDHVRKGICCICCDGSIDSLLYRCGHMCTCSKCAHELVRSGEKCPMCRAPVIEVIHAYSIL >Potri.001G126000.1.v4.1 pep chromosome:Pop_tri_v4:1:10347147:10349816:1 gene:Potri.001G126000.v4.1 transcript:Potri.001G126000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126000.v4.1 MEYPLTRRRQPPAPLSPSPCLSRSRSEAENNSSSLTTNRSLVNNRSKSTTRSRNENVNPSCNYTTGTSLTNMHKKPSSQHKESGGKDGFVKFLHRGSTSPRNSSAAIKRTKSSAGSSQSAWALSPGRSPVFPTPESSPGIGGDKRGKVKGNGGGGGGGGAMNSVLRYFKQKKVNPIQEEEYHRYRVLYNRLLQWRFVNARADAAMSYVKTVAEDKLFHVWLRIVNTRNIILEKRIQIRKLKHEVKLCQIINPQMKLLNEWAKLEGKNFEAVGRVTRKLSALSVKLPLEEDAKGDVESVYIAISNAAQVMDSIEGTINKFLSQVEKVLYLITELSSTLQNQDESLEEMEKTITVVAKLVAWEKSVRVHLLQIGQDSEEEEAVSRHD >Potri.001G375500.1.v4.1 pep chromosome:Pop_tri_v4:1:39434192:39445392:1 gene:Potri.001G375500.v4.1 transcript:Potri.001G375500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375500.v4.1 MRNSFSFNRRHLVLTIPILLLLLLITTVHSRYSPFDPSDLISDGVHNNQSLNQTTSSPFHLALKSSAEETCEQTYGFLPCTTTVIGNLFLILVYGYLMFFAATYLSSGSELLLQILGPGIIGGLFLPVLGALPDALLILVSGLSGSTETAQSQVSVGMGLLAGSTVMLLTVIWGSCVVVGKCDMSETENSAIDRKDTKRFSLTGSGVSTDIWTCYAARIMAISILPFIVVQLLQTLHSTSGKHLAVLIGLILSLAILISYCLYQVFQPWIQERKLKYTRHKLVMSGILKHLKQRALGRLLADDSSLDESVVQKLFNTIDENGDGCLSASELKALVIGIRFEEIDFDKDDAVSKLIKDFDKTNDNLINFREFINGIQKWLDEAMRVGGGSPDPGPRTHKYLDNFHDKTKKAHALLGSKEPEEGESDGGVKNPRWTSFKASLMLLAGAVIAAAFADPLVDAVDNFSDATSIPTFFISFIALPLATNSSEAVSAIIFASRKKVTTASLTFSELYGAVTMNNVLCLSVFLALVYFRELTWDFSAEVLVICIVCIVMGAFASFRTTFPLWTCFLAYFLYPFSLVLVYVLDYVLGWS >Potri.013G017254.1.v4.1 pep chromosome:Pop_tri_v4:13:1076741:1078551:1 gene:Potri.013G017254.v4.1 transcript:Potri.013G017254.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017254.v4.1 MDPRLFNAAFTGDVNALLELIQEDPLTLHTVTVTTSNTPLHVAALLGHAQFAMAAMQNCPGLADELNQQGFSPIHLASAKGHWEIVRDMLIRRPDLALIKDEDGKNPLHTAATKGRVQVLREVFSIASAQELTPKGENALHVAVKHNQHKALETLIQLANQIQVGDELVNAKDEDGNTVLHLACAAKNSKIVKLLVSDQTNVEVNAVNSEGLTALDICVTSMAGSNELEEIQEVLRSAGAEVSGRLVQAVVSNQRQQALSREDRSLTSRNYTDSLRNGIGVLAVLFATLSFQLGMNPPGGSWQDWGSSTTPNFLNVTHKPGKSISWELQKSEALTFFLANAICFFTSLTILVFLALTEVSNHVALFKKSQQYWNFMLRTLFGSLLGAAAVEFITGMALVTDTKYASNICAPAGIVIAYCFLVAFFVLPFCWFVRGRLSR >Potri.007G143300.6.v4.1 pep chromosome:Pop_tri_v4:7:15254505:15261549:1 gene:Potri.007G143300.v4.1 transcript:Potri.007G143300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G143300.v4.1 MTEPDSSRSRPQWAYDVFLSFRGKDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISQHLLEAIQESKICIVVFSKGYASSRWCLDELVEILKCKYRKTGQIALPIFYDIDPSDVRKQTGSFAEAFVKHEERSEEKVKEWREALEEAGNLSGWNLKDMTNGHEAKFIQHIIKEVWNKLSPKDMNVGTHPVGIDPLVNEIRDFVSNGTEKVCIVGIHGMPGIGKTTIAKEVFDKLCDEFEGSSFLLNVKEKSESKDMVLLQKQLLHDILRQNTEKINNVDRGKVLIKERLPHKRVLVVVDDVARPDQLLDLMGEPSWLGPGSRVIITTRDESLLLEADQRYQVQELNRDNSLQLFCRHAFRDTKPAKDYVELSNDVVEYCGGLPLALKVLGSCLYGKNQARWESVIDRLRKFPNSEIQKKLRISFDTLDESTLKNTFLDIACFFIGRKKEYVAKVLEGRYGYNPEDDFGTLIERSLIKVDDSGTIGMHDLLRGMGREIVKEESPENPAQRSRIWSQEDAWIVLKMQMGTEVVKGLTLDVRRSEDKSLSTGSFTKMKLLKLLQINGVELTGSFERLSKVLTWICWLECPLEFLPSDFTLDYLVVIDMRYSNIRELWKEKKILNKLKILDLSYSKNLVKTPNMHSLNLEKLLLEGCSSLVEIHQCIGHSKSLVSLNISGCSQLQKLPECMGDIECFTELLADGINNEQFLSSVEHLRCVRKLSLRGHWDWNWNLPYWPSPNSSWIPAFLLTPTSTIWRLLGKLKLGYGLSERATNSVDFGGLSSLEELDLSGNNFFSLPSGIGILSKLRLLTVQECRNLVSIPELPSNLEHLDAFGCQSMQWVRLTIQAKKNLNLDLFCCPDLIEIQGMEGLSNHGWIISQVTKSKLSNNYKKSLVEALCYGGYGYHILFNHCYTFSHRDKFTMIPNWFSYSGKGTSLSFHIPPVFQGLVVGVACQCLLGHFETAKLGIKNKSNGIQLFEAKVCDFASRNWVRYISISEMAMKEYSGDEGLELFVEVRDKFLEMIEYAEVFECGIHVIVEKTDSFEGSEWDHESEVGRDRVIPAPPYLSQNALYNSIEIDGKQGQSNLSKYAEDRLLERIFDYHYLRPFDYSMIPKCFRYRGEGCSLSFRIPLVFEGLVIWAVCSGGGRQEFKAIIKNKSNGIQLFEATHARPYFRSRWVRFISKREMAMEKYCGDDELELHVILRSKKSIVVRCGIHVIEAYPYERSIYDQTPALDHDIDYYETSFEGSGLDHEIDNQESGVESDRTIPSPPYHLLHHPRHGSMRFSTRQQWKAFLIRAFSLWKIRIIQKLSPNDLN >Potri.007G143300.2.v4.1 pep chromosome:Pop_tri_v4:7:15254505:15261549:1 gene:Potri.007G143300.v4.1 transcript:Potri.007G143300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G143300.v4.1 MRKEKRKQSKDEENDSSSRKRRKADLTAMTEPDSSRSRPQWAYDVFLSFRGKDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISQHLLEAIQESKICIVVFSKGYASSRWCLDELVEILKCKYRKTGQIALPIFYDIDPSDVRKQTGSFAEAFVKHEERSEEKVKEWREALEEAGNLSGWNLKDMTNGHEAKFIQHIIKEVWNKLSPKDMNVGTHPVGIDPLVNEIRDFVSNGTEKVCIVGIHGMPGIGKTTIAKEVFDKLCDEFEGSSFLLNVKEKSESKDMVLLQKQLLHDILRQNTEKINNVDRGKVLIKERLPHKRVLVVVDDVARPDQLLDLMGEPSWLGPGSRVIITTRDESLLLEADQRYQVQELNRDNSLQLFCRHAFRDTKPAKDYVELSNDVVEYCGGLPLALKVLGSCLYGKNQARWESVIDRLRKFPNSEIQKKLRISFDTLDESTLKNTFLDIACFFIGRKKEYVAKVLEGRYGYNPEDDFGTLIERSLIKVDDSGTIGMHDLLRGMGREIVKEESPENPAQRSRIWSQEDAWIVLKMQMGTEVVKGLTLDVRRSEDKSLSTGSFTKMKLLKLLQINGVELTGSFERLSKVLTWICWLECPLEFLPSDFTLDYLVVIDMRYSNIRELWKEKKILNKLKILDLSYSKNLVKTPNMHSLNLEKLLLEGCSSLVEIHQCIGHSKSLVSLNISGCSQLQKLPECMGDIECFTELLADGINNEQFLSSVEHLRCVRKLSLRGHWDWNWNLPYWPSPNSSWIPAFLLTPTSTIWRLLGKLKLGYGLSERATNSVDFGGLSSLEELDLSGNNFFSLPSGIGILSKLRLLTVQECRNLVSIPELPSNLEHLDAFGCQSMQWVRLTIQAKKNLNLDLFCCPDLIEIQGMEGLSNHGWIISQVTKSKLSNNYKKSLVEALCYGGYGYHILFNHCYTFSHRDKFTMIPNWFSYSGKGTSLSFHIPPVFQGLVVGVACQCLLGHFETAKLGIKNKSNGIQLFEAKVCDFASRNWVRYISISEMAMKEYSGDEGLELFVEVRDKFLEMIEYAEVFECGIHVIVEKTDSFEGSEWDHESEVGRDRVIPAPPYLSQNALYNSIEIDGKQGQSNLSKYAEDRLLERIFDYHYLRPFDYSMIPKCFRYRGEGCSLSFRIPLVFEGLVIWAVCSGGGRQEFKAIIKNKSNGIQLFEATHARPYFRSRWVRFISKREMAMEKYCGDDELELHVILRSKKSIVVRCGIHVIEAYPYERSIYDQTPALDHDIDYYETSFEGSGLDHEIDNQESGVESDRTIPSPPYHLLHHPRHGSMRFSTRQQWKAFLIRAFSLWKIRIIQKLSPNDLN >Potri.017G077800.1.v4.1 pep chromosome:Pop_tri_v4:17:8636822:8638647:-1 gene:Potri.017G077800.v4.1 transcript:Potri.017G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077800.v4.1 MASKSIEQIVMLPFMAHGHLIPFLALARQIHQATGFKISIASTPLNIQYLSSTFNSSSDEPENDHIHLLELPFCSTDYGLPPNTENSENLSLDSIGKLRSASLSLRTPFHSLVSDIAAKQGHPPLCIISDVFLGWATEVASSLGTVNVTFSTGGAYGTLAYSSLWLNLPHRGRSDSDEFHLPGFPDSCRFHVNQLHHFLRNADGTDSWSQFFQSQISLSMQSFGWLCNTAEEFEPAGLEWLRNFVKLPVWAIGPLLPPIVLKNDYSSLSVAASGISTRRAGKRPEISIEKCMEWLESHSPASVLYISFGSQNSISPSQMMELAIGLEESAKPFIWVIRPPVGFEPKSEFRAEYLPEGFEERMEKRKQGLLVRNWAPQLEILSHKSTGAFLSHCGWNSVLESLSQAVPIIGWPLAAEQAYNSKMLVEEMGVSVELTRGVQSSIDWKVVKNVIELVMDKKGKGGDMRSKAMVIKEQLRASVRDEGEDKGSSVKALDDLIKTLQSKWQMISSIS >Potri.003G006500.7.v4.1 pep chromosome:Pop_tri_v4:3:693079:700801:1 gene:Potri.003G006500.v4.1 transcript:Potri.003G006500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006500.v4.1 MTEGYHVCGFLFLSRFSSPPLFLAFFQMSEQFNQELSLTGKIPSGLFNAMFEFSSCWQKDAANTKTLSFDGVFITLYTVALEKSQMVLRDHVKKAVPSTWDPAALAKFIETFGTHIIVGVKMGGKDVIYMKQQHSSTLQPADLQKRLKEMADRRFLDTNGQYGMASEQVDQNNKLGVREQRLRFADTNPSSSYSHKEDIVRIYKRRGGRDNGILSHTEWLHSVQSEPDVISMSFIPVTSLLYGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQNTASLQFSLMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLKDDSNGNTNQESYERKYYEKVQWKHFSHICTAPVESDEDLSIVTGAQLQVVNSGFKNILFLRLRFSTVLGAVSVKQPEWDGSPGLARKSGLISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPMPSQAPKLLKFVDITEMTRGPQETPGYWVVSGAKLAVEKGRISLRVKYSLLTQVLSDDEDVETEH >Potri.003G006500.1.v4.1 pep chromosome:Pop_tri_v4:3:693079:701057:1 gene:Potri.003G006500.v4.1 transcript:Potri.003G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006500.v4.1 MALRVPAPEAAEIAIGAIGRGYDVAIDLRLRYCKGDLKDPRLIEIDEDGGREIILPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLTGKIPSGLFNAMFEFSSCWQKDAANTKTLSFDGVFITLYTVALEKSQMVLRDHVKKAVPSTWDPAALAKFIETFGTHIIVGVKMGGKDVIYMKQQHSSTLQPADLQKRLKEMADRRFLDTNGQYGMASEQVDQNNKLGVREQRLRFADTNPSSSYSHKEDIVRIYKRRGGRDNGILSHTEWLHSVQSEPDVISMSFIPVTSLLYGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQNTASLQFSLMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLKDDSNGNTNQESYERKYYEKVQWKHFSHICTAPVESDEDLSIVTGAQLQVVNSGFKNILFLRLRFSTVLGAVSVKQPEWDGSPGLARKSGLISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPMPSQAPKLLKFVDITEMTRGPQETPGYWVVSGAKLAVEKGRISLRVKYSLLTQVLSDDEDVETEH >Potri.003G006500.3.v4.1 pep chromosome:Pop_tri_v4:3:693088:700826:1 gene:Potri.003G006500.v4.1 transcript:Potri.003G006500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006500.v4.1 MALRVPAPEAAEIAIGAIGRGYDVAIDLRLRYCKGDLKDPRLIEIDEDGGREIILPGGISIPNVSKSIKCDKGERTRFRSDVLSFQQMSEQFNQELSLTGKIPSGLFNAMFEFSSCWQKDAANTKTLSFDGVFITLYTVALEKSQMVLRDHVKKAVPSTWDPAALAKFIETFGTHIIVGVKMGGKDVIYMKQQHSSTLQPADLQKRLKEMADRRFLDTNGQYGMASEQVDQNNKLGVREQRLRFADTNPSSSYSHKEDIVRIYKRRGGRDNGILSHTEWLHSVQSEPDVISMSFIPVTSLLYGVPGSGFLSHAINLYLRYKPPIEELHQFLEFQLPRQWAPVFSELPLGPQRKQQNTASLQFSLMGPKLFVNTTPVDVGKRPVTGLRLYLEGKRSNRLAIHLQHLSSLPKIFQLKDDSNGNTNQESYERKYYEKVQWKHFSHICTAPVESDEDLSIVTGAQLQVVNSGFKNILFLRLRFSTVLGAVSVKQPEWDGSPGLARKSGLISTLISHHFTSVQKPPPRPADVNINSAVYPGGPPMPSQAPKLLKFVDITEMTRGPQETPGYWVVSGAKLAVEKGRISLRVKYSLLTQVLSDDEDVETEH >Potri.011G040400.1.v4.1 pep chromosome:Pop_tri_v4:11:3139148:3141181:1 gene:Potri.011G040400.v4.1 transcript:Potri.011G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040400.v4.1 MRSISQKYQNFCLKRGTWSADEDQMLRAYIKRYGIWNWNEMPKAAGLSRSGKSCRLRWMNYLRPGIKRGNLSKEEVQTIIKLHEMLGNRWSTIAAKLPGRTDNEIKNFWNTYLRNRFNNNISNTAVQAPKLQGTSPVNDINENQHMEENVGSLESFGEPSFWDQPFSMEAQMCKVEDYGETYTDEMWVQELLSYPNASHNFECKQRNSSDIDVSLPTSPNILNSDEYSSNGTLPMSPIISTDNFSSSSSNPVNDFNENQHMVEIGSLESFGELSLWDHPFSMEAQLCKVEDYGETYTDQMWVQELLYY >Potri.010G183600.1.v4.1 pep chromosome:Pop_tri_v4:10:18128891:18132005:1 gene:Potri.010G183600.v4.1 transcript:Potri.010G183600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183600.v4.1 MEVIKSIFADRHCSFFLVVLLLLASTMSLAIAEIHHHDFVVQATKVKRLCKTHNSITVNGMFPGPTLEVKNGDTLVVKVVNKARYNVTIHWHGIRQMRTGWADGPEFVTQCPIRPGGSYTYRFNIEGQEGTLWWHAHSSWLRATVYGALIIHPREGSSYPFTKPKRETPILLGEWWDANPVDVVREATRTGAAPNISDAYTINGQPGDLYNCSSEDTTIVPIASGETNLLRVINAALNQPLFFTIANHKFTVIGADASYLKPFTTSVIMLGPGQTTDVLISGDQLPGRYYMAARAYQSAQNAPFDNTTTTAILEYKSALCPAKCTTKPVMPRLPAYNDTATVTAFSGSLRSPRKVEVPTDIDENLFFTIGLGLNNCPKNSRARRCQGPNGTRFTASMNNVSFVFPSNIALLQAYQQKVPGIYTTDFPAKPPVKFDYTGNVSRSLFQPVRGTKLYKLMYGSRVQIVLQDTSIVTPENHPIHLHGYDFYIIAEGFGNFNPKTHKSKFNLVDPPMRNTVAVPSNGWAVIRFVADNPGVWLMHCHLDVHITWGLAMAFLVEDGIGELQSVEPPPADLPIC >Potri.006G254800.1.v4.1 pep chromosome:Pop_tri_v4:6:25253176:25254325:1 gene:Potri.006G254800.v4.1 transcript:Potri.006G254800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254800.v4.1 MLSPTPLSLLCTIFLTKNLPKLKIKTPISPQPPPHPPSLPPPPQDDDSLLHLASQVNPRPKSPKKLAFLFLTTTPLPFAPPWELYFNQSRTVFTDKVIHSKLAKRSTPTLISAVRRLLSHALLHDPSTSMFALLSPSYGRRVESMLPEVGFKDFRIGSQFRVLTRKHARMVVRDMRIWPKFNQTCLREDTCYPEENYFPTLIHMQDPRGVSAGGHPRKYKASEVGPDLIMSLRNRRPRYGYEGINGSDLSVMKRNDPFLFARKFSPDSIQPLISIAKDIILND >Potri.005G236000.1.v4.1 pep chromosome:Pop_tri_v4:5:23398055:23405077:1 gene:Potri.005G236000.v4.1 transcript:Potri.005G236000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236000.v4.1 MSAVEAEKKAAEETKVEEKGGELLFCGATCWNVVGRKKGAQEGNLVSPSRLRPLVGVDIRVVASGSASCHCVALDVEGRCYTWGRNERGQLGHGDTIQRDRPTVVSELSKYKLIRAGAGRSHSVVVTEDGLSLAFGWNKHGQLGSGSARNEIESSPVRCLVSDVKTTACGADFTVWLSSVEGASILSAGLPQYGQLGHGTDKEYNTKDSSVRLAYEAQPRPRAIASLAGETIVKVACGTNHTVAVDSNGYVYTWGFGGYGRLGHREQKDEWVPRRVDIFTKHNVLPPGAVISAGSVSSACTAGGGQLYMWGKIKNTGDDWMYPKPLMDLSGWNLRCMDSGNMHHFVGADNSCISWGYALNGELGYGPNGQKSSAVPKKVDILEGMHVIGVACGTGHSMVIVDRTNVGDGLDQLDVYDGKASDEGSGEPGSKDHVKQSGKKGAAKATDKSRKRKSKDASESEEENSNDESDASDDQVNGQTEKKSIRGGKVSGTGQSRGGKKSSSAGKSTGRGQGRPPSANKSTNSSLEKTGKRGRPRKS >Potri.001G178400.1.v4.1 pep chromosome:Pop_tri_v4:1:15579184:15579375:1 gene:Potri.001G178400.v4.1 transcript:Potri.001G178400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178400.v4.1 MEESKGPKKVLSACDVEALKKCLEENKGDYVKCQSQIEAFKSSCSLNKHPPSTTSMESQTKTA >Potri.008G168400.1.v4.1 pep chromosome:Pop_tri_v4:8:11647377:11650383:-1 gene:Potri.008G168400.v4.1 transcript:Potri.008G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168400.v4.1 MHKAVSIISFPGRNMGLKDLHRKLKAFRLKRPLIGNWRKKRTASMAKKASWMMPITHGYHVVEDQSFKGGADESDSDSVVVQREQIAELELWYFGISDAQIGHGVSNYMQSHLFYRNPSESQITTKSKEMMRKAYLAARAKIRETQKSVEALKAGAASVMVINREKLVTANMGDFRVVVCRDGVAHQMKSKHQQTATRHWSHRLFSGRMLSWKSSDAASTKKSKGSELAVGAERIDSDTEFVIIASTGIWETMNNQEAVHLIGHLEDPQEAAECLAKEALTRMSKSNISCIVIRFD >Potri.001G206800.2.v4.1 pep chromosome:Pop_tri_v4:1:21112736:21117775:-1 gene:Potri.001G206800.v4.1 transcript:Potri.001G206800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206800.v4.1 MASFCSQNRVPKVSVFLFFSLVCFLLFSSSHVVLAQSSPVFACDVVSNPSLASFGFCNTSLGVSDRVVDLVKRLTLQEKILFLVNSAGSVSRLGIPKYEWWSEALHGVSYVGPGTHFSSVVPGATSFPQVILTAASFNTSLFVAIGKVVSTEARAMYNVGLAGLTFWSPNINIFRDPRWGRGQETPGEDPLLSSKYGSGYVKGLQQRDDGNPDGLKVAACCKHYTAYDLDNWKGVDRYHFNAVVTKQDMDDTFQPPFKSCVVDGNVASVMCSYNKVNGIPTCADPDLLSGVIRGEWKLNGYIVTDCDSIDVFYNSQHYTKTPEEAAAKAILAGLDLNCGSFLGKHTEAAVTAGLVNESAIDRAVFNNFATLMRLGFFDGDPSKQLYGKLGPKDVCTAENQELAREAARQGIVLLKNTAGSLPLSPTAIKNLAVIGPNANVTKTMIGNYEGTPCKYTTPLQGLAALVATTYLPGCSNVACSTAQVDDAKKIAAAADATVLVMGADLSIEAESRDRVDILLPGQQQLLITAVANASTGPVILVIMSGGGMDVSFAKTNDKITSILWVGYPGEAGGAAIADIIFGSYNPSGRLPMTWYPQSYVDKVPMTNMNMRPDPSNGYPGRTYRFYTGETVYSFGDGLSYSEFSHELTQAPGLVSVPLEENHVCYSSECKSVAAAEQTCQNLTFDVHLRIKNTGTTSGSHTVFLFSTPPSVHNSPQKHLVGFEKVFLHAQTDSHVGFKVDVCKDLSVVDELGSKKVALGEHVLHIGSLKHSMTVRI >Potri.017G149900.2.v4.1 pep chromosome:Pop_tri_v4:17:14845253:14849222:1 gene:Potri.017G149900.v4.1 transcript:Potri.017G149900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G149900.v4.1 MQFFGGSDISPSPPAPTASGNNAHMMYVFNRNGVCLLYREWNRPLHTLNAQQDHKLMFGLLFSLKSLTAKMDPTSMDKGNLGVPQLPGQGCSFHSFRTNTYKLSFMETPSGIKIILITHPKTGDLRESLKYIYNLYVEYVVKNPIYTPGAPIRCELFNTSLDQYVRSIS >Potri.013G001900.1.v4.1 pep chromosome:Pop_tri_v4:13:163134:163929:-1 gene:Potri.013G001900.v4.1 transcript:Potri.013G001900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001900.v4.1 MVGNKQKKSSFFSFLKGRRARRTGDDASYANDDGMSARKILPFDDDKGPLVSVKPDPRIDSKASVFIANFHAARISESERQIFQQAAGNAA >Potri.014G156400.1.v4.1 pep chromosome:Pop_tri_v4:14:11034522:11042015:-1 gene:Potri.014G156400.v4.1 transcript:Potri.014G156400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156400.v4.1 MNPKLGLGFILLLLLCYSIESKCRKGCDLALASYYVWQDANLTFIAEVMQSSILKSSDFDTILRYNPQLPSKDSLSSLIRINIPFPCDCIEGQFLGHFFNFNVRSQNTYTVVADTYYAKLTTIPSLMYFNNYSEFNIPDNGKLNVSVNCSCGDSSVSKDYGLFMTYPLQPNDTLNSIANQTNVTQELLQRYNVGFNFSRGTGVVYIPTKDADGSYRPLKSSTGIAGGAIAGISIAAVAVALLLAVLIYVGFYRKKKEKGAILLSASPQLSPRILHVTGSNTPVNATGSQGLAGITVDKSVEFSYEELAKATDDFSFANKIGEGGFGTVYYAELRGEKAAIKKMDVQDSKEFFAELKVLTHVHHLNLVRLIGYCVEGSLFVVYEYIENGNLSQHLRGSGKDPLTWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLAKLTKVGSASLLTRLVGTFGYMSPEYAQYGDVSPKLDVFAFGVVLYELISAKEAIVKANDSSAESRGLIALFENVLNQPDPGEDLRKLVDPRLGEDYPLDSVRKVTQLAKACTHENPQMRPSMRSIVVALMTLSSSTEDWDVGSFYENKALVNLMSGR >Potri.014G156400.2.v4.1 pep chromosome:Pop_tri_v4:14:11034602:11041872:-1 gene:Potri.014G156400.v4.1 transcript:Potri.014G156400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156400.v4.1 MNPKLGLGFILLLLLCYSIESKCRKGCDLALASYYVWQDANLTFIAEVMQSSILKSSDFDTILRYNPQLPSKDSLSSLIRINIPFPCDCIEGQFLGHFFNFNVRSQNTYTVVADTYYAKLTTIPSLMYFNNYSEFNIPDNGKLNVSVNCSCGDSSVSKDYGLFMTYPLQPNDTLNSIANQTNVTQELLQRYNVGFNFSRGTGVVYIPTKDADGSYRPLKSSTGIAGGAIAGISIAAVAVALLLAVLIYVGFYRKKKEKGAILLSASPQLSPRILHVTGSNTPVNATGSQGLAGITVDKSVEFSYEELAKATDDFSFANKIGEGGFGTVYYAELRGEKAAIKKMDVQDSKEFFAELKVLTHVHHLNLVRLIGYCVEGSLFVVYEYIENGNLSQHLRGSGKDPLTWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLAKLTKVGSASLLTRLVGTFGYMSPEYAQYGDVSPKLDVFAFGVVLYELISAKEAIVKANDSSAESRGLIALVNFLPFLLYLLISGCQKMGLIYCSAEA >Potri.012G112800.1.v4.1 pep chromosome:Pop_tri_v4:12:13238980:13241214:1 gene:Potri.012G112800.v4.1 transcript:Potri.012G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G112800.v4.1 MGSIAAIQCALTAILLVSTTVSSDDKSPIPADPSSLNTWFQDNVKPLADRKGTIDPALEAAEAKPRTIKVRQDGSGEFKTLKDAINSIPTGNTERVIVDIGPGEYIEKLKIERSKPFVTFLGSPSNKPTLSFDGTAKEYGTVYSATLEAEADYFVAANIIFKNSAPRPNGELKGEQAVALRISGDKSAFYNCRLIGFQDTLCDDKGRHLFKDCYIEGTVDYIFGSGKSLYLGTELHVIGDENGNFITAHARNSEAEDTGFSFVHCKVDGTGAKGAYLGRAWQARPRVVFSYTTMSSVVNPEGWSNNFHPERDQTALFGEYKCEGEGANPAGRAKATKQLTPDQAAPFISLGFIEGSKWLLHPPN >Potri.001G411400.1.v4.1 pep chromosome:Pop_tri_v4:1:44036848:44040378:1 gene:Potri.001G411400.v4.1 transcript:Potri.001G411400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G411400.v4.1 MDTLSSMLITANILLLFSRFCNTADTLAFSQSISDGGTGTLVSKDGSFELGFFSPGSSRNRYMGIWYKNIPVRTVVWVANRNNPINDSSGFLLIDNTGNFVLVSNNNSTVVWSSSLTKAGRRAMGELLDSGNLVLRDEKDTNSGSYLWQSFDYPSDTMIPGMKLGWGLRTGLDRRLSAWKGPDDPSPGDFTWGTQLQGNPELVMWKGSKKYCRSGPWNGIGFSGAPELRKNPVFNFDFVDDGEEVYYTYNLKNKYVFTRVVMNQTTYIRQRYTWNEINQTWVLYATVPKDYCDTYNLCGAYGNCITSQSPVCECLEKFTPKSPESWNSMDWSQGCVRNKPLDCQKEDGFVIYVGLKLPDATNSWVNKTMNLKECRSECLQNCSCMAYTAADIKEGSGCAIWFGDLIDIRQFSAAGQEIYIRLNASESRAKAASKIKMAVGIALSIFVACGILLVAYYIFKRKAKLIGGNREENDQIDSGPKEDLELPLFQFTTIAKATNGFSFNNKLGEGGFGPVYKGTLEDGQEIAAKTHSRSSGQGINEFKNEVILITKLQHRNLVKLLGCCIQGEEKILVYEYMPNKSLDSFIFDQTRGELLDWSKRFSIICGIARGLLYLHQDSRLRIVHRDLKASNVLLDKDMNPKISDFGLARMFGGDQTEGNTTRVVGTYGYMAPEYATDGLFSVKSDVFSFGILMLEIISGKKSRGFYHPDHSLSLIGHAWRLWKDGKPLDLIEAFPGESCNLSEVIMRCINISLLCVQQHPDDRPSMATVVWMLGGENTLPQPKEPGFFKGSGPFGPSSSSSNIELSSNNEITTSLFYPR >Potri.010G187900.1.v4.1 pep chromosome:Pop_tri_v4:10:18422425:18426653:-1 gene:Potri.010G187900.v4.1 transcript:Potri.010G187900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187900.v4.1 MCTGSKSDLPPLNPIMEDKSYSQKGSLLYKCSVLLELSASDDLAGFKIEVEQKGLDIDEASYWYGRRIGLKKMGFEERTPLMIAALFGSAHVLKYIIETGKVNVNRVCGSDRVTALHCAVAGGADSSVGVVKLLLDASADPNSVDGNGNKPGDLFAPSSKWLCNSRKKMIELLLKGESLSEDEEEKLIITPQLAREGIEKKEYPLDVTLPDINNGIYGTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPKKYPYSCVPCPEFRKGTCQKGDYCEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHKPEELRPVYAATGSAMPSPRSTSSAVDMATLSPLALGSSSLSLPGTSTPPMSPLAAASSSPKSGGLWQNKVSLTPPALQLPGSRLKTAFCARDLDLEMELLGLESYSSHLQQQQQQLRDEMSGLSSPSHWSSRMADLKPTTLDDVFGSLDPSLMSPMQGVSLKASTQTQLQSPNGLQIRQNMNQLRSSYPAANLSSSPARNPTSYGFDTSAAVAAAVMNSRSSAFAKRSQSFIDRGAVPNRFGLTAAANSASMMSSNLSDWNSPNGKLDWGIQGDELNKLKKSASFGFRSNNNPATTAASLTASHVDEPDVSWVNSLVKDVPPAGSTFFGAEKQYSRREGVPESLPPWMEQMYREQEQMVA >Potri.016G011250.1.v4.1 pep chromosome:Pop_tri_v4:16:553691:555121:1 gene:Potri.016G011250.v4.1 transcript:Potri.016G011250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011250.v4.1 MTWTPMVMGKKKTTLQMIRIAQVCFNQSQALLGTATSGWKNQIWQRMETIIRIDMWHRVIGPGRKPIKHVGPGRKSIKHVVC >Potri.010G217400.2.v4.1 pep chromosome:Pop_tri_v4:10:20406200:20411419:1 gene:Potri.010G217400.v4.1 transcript:Potri.010G217400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217400.v4.1 MSRERPRRATLPPAEENIKKLENVINEGNYYGAQQMYKSISARYVSAQRHSEALDILHSGACLQLKNGQVTCGSELAVIYVETLVKAKVPYDDDVLDCIRKIYKTFPQIPLPQDLGEDEDMQQLNEALGAAKIRVDCCLSFLKAAIKWSAEFGAHRNGSPELHVMLAEYVYSESPELDMTRVSYHFVRGNNPKKFASTLVNFMGKCYPGEDDLAIARAILMYLAMGNLRDANFLMDELKKHAQYKEHDLHRSDLIQFVNHLLPTLQRDALPLFNMLRTKYKSSIDREPAFNERLDEIAELFYGVQRRNPLQGMFGDIFKMMG >Potri.011G083700.1.v4.1 pep chromosome:Pop_tri_v4:11:9212470:9214997:-1 gene:Potri.011G083700.v4.1 transcript:Potri.011G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083700.v4.1 MDLSEIAKKLGLWETKHIIRKAAELRRLCDVQFDSSVIGVGEVCKAIICLEIAATSFQVIFDRQAAIRLSGMSEKAYNRSFNSLQNTLGVKTKLDIRELGIQFGCIRLIPFVKKGLSLYKDRFIASLPASRRANADFTRPVFTAVAFYMCAKKHKLKVDKLKLIEVSGTSESEFNSVFASMKDLCFDVFGISKEKKDPREVKGNRELLDVLPEKRKIEDGNFSSDDGTEVSSHKKHKRMGNAAYEQWKSCVLSSNKKNKAKGHCKQTVQTSLDFLKEAPEKTELEALS >Potri.001G185700.1.v4.1 pep chromosome:Pop_tri_v4:1:16478856:16482627:-1 gene:Potri.001G185700.v4.1 transcript:Potri.001G185700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185700.v4.1 MEKNMEEPLSSQGEMTHQHTTPKHSKGGLITMPFIIANEAFEKVASYGLIPNMILYLMRDYSVGVAKGTNILFLWTAGTNFMPILGALISDSYLGRFLTIGLGSISSLLGMILLWLTAMVPKVRPPPCDITTQSCKTPTTGQMTLLLSSFALMSIGAGGVRPCSIAFGADQLDNKSNPKNERVLESFFGWYYASAAISVLISLTAIVYIQDHLGWKVGFGVPAILMFLSALLFFFASPFYVKQKPSKSLFTGFIQVPVVAYKNRKLAFPPRDSDGWYHHKRDSKFIAPTTKLRFLNKACIIRNPEQDIASDGSASNPWSLCTIEQVEELKALLKVIPLWSTGIMISINISQSTFQLLQASSMDRHISSSFQIPAGSFGMFTIISLATWVILYDRAILPLASKIKGKPVQLGAKLRMGIGLFLSCMAMVVSAIVESIRRRKAIREGYLNNAHAVLDMSAMWLVPQYCLNGLAEAFNAIGQTEFYYSEFPKSMSSIAAALFGLGMAVANLLASAILSAVDKLTSRGGKESWVSNNINKGHYDNYYWLLAIMSSVNLLYFLVCSWAYGPCVERVIKVSDEGNGFKEEEFSNIGAIVKDEV >Potri.001G185700.2.v4.1 pep chromosome:Pop_tri_v4:1:16478864:16482622:-1 gene:Potri.001G185700.v4.1 transcript:Potri.001G185700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185700.v4.1 MIQGMILLWLTAMVPKVRPPPCDITTQSCKTPTTGQMTLLLSSFALMSIGAGGVRPCSIAFGADQLDNKSNPKNERVLESFFGWYYASAAISVLISLTAIVYIQDHLGWKVGFGVPAILMFLSALLFFFASPFYVKQKPSKSLFTGFIQVPVVAYKNRKLAFPPRDSDGWYHHKRDSKFIAPTTKLRFLNKACIIRNPEQDIASDGSASNPWSLCTIEQVEELKALLKVIPLWSTGIMISINISQSTFQLLQASSMDRHISSSFQIPAGSFGMFTIISLATWVILYDRAILPLASKIKGKPVQLGAKLRMGIGLFLSCMAMVVSAIVESIRRRKAIREGYLNNAHAVLDMSAMWLVPQYCLNGLAEAFNAIGQTEFYYSEFPKSMSSIAAALFGLGMAVANLLASAILSAVDKLTSRGGKESWVSNNINKGHYDNYYWLLAIMSSVNLLYFLVCSWAYGPCVERVIKVSDEGNGFKEEEFSNIGAIVKDEV >Potri.010G143133.1.v4.1 pep chromosome:Pop_tri_v4:10:15589073:15590088:1 gene:Potri.010G143133.v4.1 transcript:Potri.010G143133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143133.v4.1 MPNYEWPLPQRRYYNLKTSMSLSIFLILSLLWRLEGYLLAYWDVAIAKQVSHTSVPMGRQQELMIEKVCEISDKISRLENLSLSKQVDSLFSQLVITCTNQCHIEMTKLSEGVQEIRSNLVKLCGTAEGDLGKPFL >Potri.008G064500.1.v4.1 pep chromosome:Pop_tri_v4:8:3891187:3896797:-1 gene:Potri.008G064500.v4.1 transcript:Potri.008G064500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064500.v4.1 MANSKKQEFNEDRVEQSNGNDFDDSSSILNAGGTSTAGSGRVSRERSEISLTERLTDILVDQGDGDLLLQRNDREDRVLQWLQALDMQVIGACRADERLKPLLKLNASSSVAEDRLLAHLSQHFKPSEVGMLARCFCIPLVSIRAGKINKQGTLLCPTAIRGDLNLTLLPSSDLRLSFSGDDGNTERLFTLSGKTQCAAVEVNEIPADSSGRSFSLKMSDGRVFYFWCSEKSKLLGIELLAKMKDLLKRKPSIADLTGISKSRLDCFATHLRAYLVGSNGQGISACSPASSSTTPETSDSTSTTKSLRTRHVGNQAVKTYSAYQGSLSPRSSSFKEGLPRSLSSLRSAAKEKLRRRVDSHLSAVDNMMVALPLSNDVSCNQSENEKLQEAKSCRPLPSSVLESLGRLSLPPFQLPVSHVLSSPPLSPYYCWCPQGTSTLQYPSTPLEISTSSIESPLLPPLPPFLSASRPSNLLSPIPPLSLADLPSLDFPVLLPDQFVRLPIPSSQQIPTFTPLMCDPIVHIPVIDVCSSGQGYLVSAGPTIATTIPPLHTKLVNPLIPNTADSAVEKGARETLRLLISSTSQTNPQFMDVLPAVLTNTDKKSMLTTGSWSLYSGTRGVDAIANRIATMGLVSLSEYSIGDSVAEMSGSCDNTNTLPDNLPDESSAMDGPYSDDDCTLFSNAEEGTN >Potri.008G064500.2.v4.1 pep chromosome:Pop_tri_v4:8:3891776:3896726:-1 gene:Potri.008G064500.v4.1 transcript:Potri.008G064500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064500.v4.1 MANSKKQEFNEDRVEQSNGNDFDDSSSILNAGGTSTAGSGRVSRERSEISLTERLTDILVDQGDGDLLLQRNDREDRVLQWLQALDMQVIGACRADERLKPLLKLNASSSVAEDRLLAHLSQHFKPSEVGMLARCFCIPLVSIRAGKINKQGTLLCPTAIRGDLNLTLLPSSDLRLSFSGDDGNTERLFTLSGKTQCAAVEVNEIPADSSGRSFSLKMSDGRVFYFWCSEKSKLLGIELLAKMKDLLKRKPSIADLTGISKSRLDCFATHLRAYLVGSNGQGISACSPASSSTTPETSDSTSTTKSLRTRHVGNQAVKTYSAYQGSLSPRSSSFKEGLPRSLSSLRSAAKEKLRRRVDSHLSAVDNMMVALPLSNDVSCNQSENEKLQEAKSCRPLPSSVLESLGRLSLPPFQLPVSHVLSSPPLSPYYCWCPQGTSTLQYPSTPLEISTSSIESPLLPPLPPFLSASRPSNLLSPIPPLSLADLPSLDFPVLLPDQFVRLPIPSSQQIPTFTPLMCDPIVHIPVIDVCSSGQGYLVSAGPTIATTIPPLHTKLVNPLIPNTADSAVEKGARETLRLLISSTSQTNPQFMDVLPAVLTNTDKKSMLTTGSWSLYSGTRGVDAIANRIATMGLVSLSEYSIGDSVAEMSGSCDNTNTLPDNLPDESSAMDGPYSDDDCTLFSNAEEGTN >Potri.008G064500.3.v4.1 pep chromosome:Pop_tri_v4:8:3891544:3896797:-1 gene:Potri.008G064500.v4.1 transcript:Potri.008G064500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064500.v4.1 MSDGRVFYFWCSEKSKLLGIELLAKMKDLLKRKPSIADLTGISKSRLDCFATHLRAYLVGSNGQGISACSPASSSTTPETSDSTSTTKSLRTRHVGNQAVKTYSAYQGSLSPRSSSFKEGLPRSLSSLRSAAKEKLRRRVDSHLSAVDNMMVALPLSNDVSCNQSENEKLQEAKSCRPLPSSVLESLGRLSLPPFQLPVSHVLSSPPLSPYYCWCPQGTSTLQYPSTPLEISTSSIESPLLPPLPPFLSASRPSNLLSPIPPLSLADLPSLDFPVLLPDQFVRLPIPSSQQIPTFTPLMCDPIVHIPVIDVCSSGQGYLVSAGPTIATTIPPLHTKLVNPLIPNTADSAVEKGARETLRLLISSTSQTNPQFMDVLPAVLTNTDKKSMLTTGSWSLYSGTRGVDAIANRIATMGLVSLSEYSIGDSVAEMSGSCDNTNTLPDNLPDESSAMDGPYSDDDCTLFSNAEEGTN >Potri.009G116200.1.v4.1 pep chromosome:Pop_tri_v4:9:9811457:9814970:-1 gene:Potri.009G116200.v4.1 transcript:Potri.009G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116200.v4.1 MLSCPHHHHPLHFYHHRNRNSTTILQLSSSHHHHHPDQTPQNHVTTTTITTNDKPILRTHNSKSTSLLIHHLKSPNQTQNKIETSQDVITPEERVKLQELTLVTKKRIPRFPGSVFQTKAESLDSPLQTLFRNQSEDEYNSENDDDFDEEMVVKAIEIRRKVTAEIFKQAMRKKGKFGITYSTNLVNRLDDFVDFVMIKAAAMKRMDEFEFLSFNDRARRVIEELNVVPLIRWLKHNAVSYPRIAKLIYMSRGNVDSIRRVTEWLKSIHVKGEFLGSVLTKAGENILERSIEELDEIVWYLESNGVRMDWMGYVMSRCPQLLCCSMEEVKTRVGFFLDMGMNEKDFGTMVFDYPRVLGYFTLEEMNQKVNYLKEFGLSNEDVGRLLAFKPQLMGCSIEERWKPLVKYLYYLGISRDGMKRMLVIKPMVFCVDLEQTIVPKVRFFQDIGIRDDAIGNMLVKFPPLLTYSLYKKIRPVVIFLMTKAGVSERNIAKAIALGPELLGCSIVNKLEINLKYLLSLGIRHRQLGEMIADFPMLLRYNIDLLRPKYKYLRRTMVRPLQDLIEFPRFFSYSLDDRIIPRHKVLVENRINFKLRYMLASTDEEFQKKVEAAVERRRKFESGLMGDNSQASDADSSEEETDVQFPETEIIVSSSPKTRFNFNSLGSGDSEDLN >Potri.009G102700.1.v4.1 pep chromosome:Pop_tri_v4:9:9039594:9042151:1 gene:Potri.009G102700.v4.1 transcript:Potri.009G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102700.v4.1 MAAALSKKLCWASYILYLYFIYHPAEAAVKRYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTVYVREGDRVLVNVTNHARYNMSIHWHGLKQFRNGWADGPAYITQCPIKTGHSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKPGTPFPFPQPHREEIIIFGEWWNNDVEDIEKQGNKLGLPPNASDAHTINGKPGPLFPCSEKHTFTLEVEQAKTYLLRIINAALNDELFFAIAGHNMTVVEIDAVYTKPFTTQTILIAPGQTTNVLVQATQTPNRYFMAARPFMDAPLSIDNKTATAILQYKGIPNTVLPLLPQLPEPNDTAFARSYNAKLRSLNSPQFQANVPLIVDRHLFYTIGLGINPCPTCLNGTKLTASLNNITFVMPQIGLLQAHYFNIKGVFRLDFPDNPPTPFNYTGAPLTANLGTTLGTRVSKIAYNSTVQLVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPKRDPAKFNLVDPPERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVENGKLPDQSIFPPPKDLPPC >Potri.001G047400.1.v4.1 pep chromosome:Pop_tri_v4:1:3485079:3488866:1 gene:Potri.001G047400.v4.1 transcript:Potri.001G047400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047400.v4.1 MAEAEPQALTYVPEVILKKRKHKEESIALTRKTQLELGQNGGKKRRMDDIKRPEQFVREFRDKELDLIRMKQRTKRAKSALSIPNSKLLFVIRVHGKNDMHPKTRNILYKLRLTRIFHGVFLKATQGVLELLQKVEPYVTYGYPNLKNVSDLIYKKGYGKIDNKRVPLIDNNIIEQALGKHGIVCLEDIVHEVANAGPHFKEIISFLGSFSLNKPKEGLLGKKALYIDGGDTGNRENQINDLINKMN >Potri.T011201.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:40752:41109:1 gene:Potri.T011201.v4.1 transcript:Potri.T011201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011201.v4.1 MEKKCYGLFLLLLIALASQEMMVPAEARVCLSQSHSFKGPCVRGHNCASVCKTEGFPGGECKGFRRRCFCAKPC >Potri.008G053500.1.v4.1 pep chromosome:Pop_tri_v4:8:3145248:3146556:-1 gene:Potri.008G053500.v4.1 transcript:Potri.008G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053500.v4.1 MRFPQPHRNGGETQQHFHSTISLQKLKRFNSLILVFRFSAFCFSLASAVFMLTNSRGSDSFHWYNFDAFRYVFAANAIVAVYSLFEMTAAVWEISRNATLFPEVCQVWFDFGHDQVFAYLLLSANSTGTEMARTMKDACTDNKPFCVQSDIAIALGFVGFLFLGVSSLFSGFRVVCFIINGSRFYV >Potri.011G072741.2.v4.1 pep chromosome:Pop_tri_v4:11:6817835:6839108:-1 gene:Potri.011G072741.v4.1 transcript:Potri.011G072741.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072741.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGKTVNKDGQLSLKFDDRCQQEGVVKAVLTPAPPNSEVNGTNECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKNLFFISLTANRLSGNIPGHLGNFSALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEELAQIKNLKDFRVSDNNLKGTVPKFIGNWTQLQRLELYATGLQGPIPRKIFHLEKLSDLRITDMPGPEFQLPNATIKRDNLVLRNINLTGTIPEDAWKVEKTLDLTFNKLVGEIPPNTIQRQFTFLSGNKLTGTVQDSFLQNSQNLDVSYNNFSRSPRCSGSNGNNINWFRSSSSYNNLSDLLPCSEISRCPKYYRSFHINCGGKDVKNERIWYEGDQDSESNAAARSYYKSESNWGFSSTGDFMDDKNFNHDRYTLPSNSNISLVDFGLYTTARRAAISLTYYGYCLENGDYSVRLHFAEIQFTDEKLYNKVGRRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPTRGNYGPLISAISVCSGNRTYCEEPEEASKKPIVIGVVTSAAFLILLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEMVSDPSIYGDDLHSKLLKGHYQQVREQSLNSTQDLFPPSDKSWIGNSSTSAHDLYPINPESISLNLTETSSLIE >Potri.011G072741.3.v4.1 pep chromosome:Pop_tri_v4:11:6817817:6838996:-1 gene:Potri.011G072741.v4.1 transcript:Potri.011G072741.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072741.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGKTVNKDGQLSLKFDDRCQQEGVVKAVLTPAPPNSEVNGTNECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKNLFFISLTANRLSGNIPGHLGNFSALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEELAQIKNLKDFRVSDNNLKGTVPKFIGNWTQLQRLELYATGLQGPIPRKIFHLEKLSDLRITDMPGPEFQLPNATIKRDNLVLRNINLTGTIPEDAWKVEKTLDLTFNKLVGEIPPNTIQRQFTFLSGNKLTGTVQDSFLQNSQNLDVSYNNFSRSPRCSGSNGNNINWFRSSSSYNNLSDLLPCSEISRCPKYYRSFHINCGGKDVKNERIWYEGDQDSESNAAARSYYKSESNWGFSSTGDFMDDKNFNHDRYTLPSNSNISLVDFGLYTTARRAAISLTYYGYCLENGDYSVRLHFAEIQFTDEKLYNKVGRRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPTRGNYGPLISAISVCSGNRTYCEEPEEASKKPIVIGVVTSAAFLILLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEMVSDPSIYGDDLHSKLLKGHYQQVREQSLNSTQDLFPPSDKSWIGNSSTSAHDLYPINPESISLNLTETSSLIE >Potri.011G072741.1.v4.1 pep chromosome:Pop_tri_v4:11:6817774:6838996:-1 gene:Potri.011G072741.v4.1 transcript:Potri.011G072741.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072741.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGKTVNKDGQLSLKFDDRCQQEGVVKAVLTPAPPNSEVNGTNECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKNLFFISLTANRLSGNIPGHLGNFSALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEELAQIKNLKDFRVSDNNLKGTVPKFIGNWTQLQRLELYATGLQGPIPRKIFHLEKLSDLRITDMPGPEFQLPNATIKRDNLVLRNINLTGTIPEDAWKVEKTLDLTFNKLVGEIPPNTIQRQFTFLSGNKLTGTVQDSFLQNSQNLDVSYNNFSRSPRCSGSNGNNINWFRSSSSYNNLSDLLPCSEISRCPKYYRSFHINCGGKDVKNERIWYEGDQDSESNAAARSYYKSESNWGFSSTGDFMDDKNFNHDRYTLPSNSNISLVDFGLYTTARRAAISLTYYGYCLENGDYSVRLHFAEIQFTDEKLYNKVGRRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPTRGNYGPLISAISVCSGNRTYCEEPEEASKKPIVIGVVTSAAFLILLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEMVSDPSIYGDDLHSKLLKGHYQQVREQSLNSTQDLFPPSDKSWIGNSSTSAHDLYPINPESISLNLTETSSLIE >Potri.011G072741.4.v4.1 pep chromosome:Pop_tri_v4:11:6817839:6835320:-1 gene:Potri.011G072741.v4.1 transcript:Potri.011G072741.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072741.v4.1 MKNLFFISLTANRLSGNIPGHLGNFSALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEELAQIKNLKDFRVSDNNLKGTVPKFIGNWTQLQRLELYATGLQGPIPRKIFHLEKLSDLRITDMPGPEFQLPNATIKRDNLVLRNINLTGTIPEDAWKVEKTLDLTFNKLVGEIPPNTIQRQFTFLSGNKLTGTVQDSFLQNSQNLDVSYNNFSRSPRCSGSNGNNINWFRSSSSYNNLSDLLPCSEISRCPKYYRSFHINCGGKDVKNERIWYEGDQDSESNAAARSYYKSESNWGFSSTGDFMDDKNFNHDRYTLPSNSNISLVDFGLYTTARRAAISLTYYGYCLENGDYSVRLHFAEIQFTDEKLYNKVGRRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPTRGNYGPLISAISVCSGNRTYCEEPEEASKKPIVIGVVTSAAFLILLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEMVSDPSIYGDDLHSKLLKGHYQQVREQSLNSTQDLFPPSDKSWIGNSSTSAHDLYPINPESISLNLTETSSLIE >Potri.017G148800.2.v4.1 pep chromosome:Pop_tri_v4:17:14756869:14762728:-1 gene:Potri.017G148800.v4.1 transcript:Potri.017G148800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148800.v4.1 MAASEENSALFPIFILTIMAIPLVPYTVMKLCRAASKKSKSIHCNCSECVRSGKYRKSIFKRISNFSTYSNLTLILVWAVMIFLVYYIKNMSREIQVFDPYAILGLEPGALDSEIKKNYRRLSIQYHPDKNPDPEANKYFVEFITKAYQALTDPISRENYEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGICILLPLVIAVIYLSRSAKYTGNYVMHQTLSAYYYFMKPSLAPSKVMEVFIKAAEYMESPVRRTDDEPLHKLFMSVRSELNLDLKNIKQEQAKFWKQHPALVKTELLIQAQLTRKSADLPPTLLGDFRRVLELAPRLLEELMKMAVIPRTSQGLGWLRPATGVVELSQCIIQAVPLTARKATGGSTEGAPFLQLPHFSESVIKKIARKKVRTFEDFRDMTLQERAELLEQVAGFSSDQVKDVEMVLGMMPSVTVEVRCETEGEEGIQEGDIVTIQAWITLKRANGLVGALPHAPNFPFHKEENFWFLLADPVSNNVWFSQKVNFMDEASAIIAASKAIEDTMEGSGASVKETSAAVREAVQKVRSGSRLVMGKFPAPAEGNYNLTCYCLCDSWIGCDMKTNLKVKILKRTRAGSRGGLVTEEGPNAEDGIEEEEEDEEEYDDDYESEYSEDEEDKKDTKKKGPAANGKVHKKDSSSEGSGSDEE >Potri.017G148800.1.v4.1 pep chromosome:Pop_tri_v4:17:14756767:14762803:-1 gene:Potri.017G148800.v4.1 transcript:Potri.017G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148800.v4.1 MAASEENSALFPIFILTIMAIPLVPYTVMKLCRAASKKSKSIHCNCSECVRSGKYRKSIFKRISNFSTYSNLTLILVWAVMIFLVYYIKNMSREIQVFDPYAILGLEPGALDSEIKKNYRRLSIQYHPDKNPDPEANKYFVEFITKAYQALTDPISRENYEKYGHPDGRQGFQMGIALPQFLLDIDGASGGILLLWIVGICILLPLVIAVIYLSRSAKYTGNYVMHQTLSAYYYFMKPSLAPSKVMEVFIKAAEYMESPVRRTDDEPLHKLFMSVRSELNLDLKNIKQEQAKFWKQHPALVKTELLIQAQLTRKSADLPPTLLGDFRRVLELAPRLLEELMKMAVIPRTSQGLGWLRPATGVVELSQCIIQAVPLTARKATGGSTEGAPFLQLPHFSESVIKKIARKKVRTFEDFRDMTLQERAELLEQVAGFSSDQVKDVEMVLGMMPSVTVEVRCETEGEEGIQEGDIVTIQAWITLKRANGLVGALPHAPNFPFHKEENFWFLLADPVSNNVWFSQKVNFMDEASAIIAASKAIEDTMEGSGASVKETSAAVREAVQKVRSGSRLVMGKFPAPAEGNYNLTCYCLCDSWIGCDMKTNLKVKILKRTRAGSRGGLVTEEGPNAEDGIEEEEEDEEEYDDDYESEYSEDEEDKKDTKKKGPAANGKVHKKDSSSEGSGSDEE >Potri.005G021700.2.v4.1 pep chromosome:Pop_tri_v4:5:1389725:1399051:-1 gene:Potri.005G021700.v4.1 transcript:Potri.005G021700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021700.v4.1 MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFYFNMKYFEEKVLAGEWDEVEKYLAGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKGVEILVSDLKVFSTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLVELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKNPRPNPDIKTLFTDHTCSPMNGPLAAAPVNLPVAAAAAKPAAYTPLGAHGPFPATGAAANTSALASWMANTSASSSVQAAVVTASSIPIPQNQVPVLKRPRTPPTALGIVDYQNPDHELIKRLRPAQSVEEVTYPASRQHASWSLEYLPRTVAFSLHPGSAVMSMDFHPSHHTLLLVGSVNGEITLWELISRERLFSKPFKIWDMSACSLQFQASGFKDASISVTRVAWSPDGNFVGAAFNKHLIHLYAYNGPNDLRQHLEIDAHVGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLTGRKLFNFGGHEAPVYNICPHHKENIQFIFSTAIDGKIKAWLYDNMGSRVDYDAPGRWCTTMLYSTDGSRLFSCGTSKDGESYLVEWNESEGSIKRSFVGFRKKSAGVVQFDTTQNHFLAAGDDGQIKFWDMENTNVLTSTDADGGLQTLPRLRFNREGNLLAVSTADNGFKILANAAGLRSLRAVETQSFEALRSPMESAAIKVSGASSIANASPVNCKVERNSPVRPSPILNGVDPLNRSMEKPRTVDDVIDKTKPWQLAEIADPSECRLVTLPESADTSSKVVRLLYTNSGVGMLALGANGIQKLWKWPRNEQNPSGKATASVAPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRVTGLAFSTNLNILVSSGADAQLCIWSIDTWEKRKSVAIQIPAGKSPTGDTRVQFHSDQTRLLVIHETQLAIYDASKMERIRQWVPQDAVSAPISYAAYSCNSQLIYATFCDGNIGVFDADSLRLRCRIAPSVYNGSQTVYPLVVATHPLDPNQLALGLTDGSVKVIEPTESEGKWGTSPPVDNGVLNGRTTSSSTTSNHTLDQLQR >Potri.008G223987.1.v4.1 pep chromosome:Pop_tri_v4:8:18898004:18899280:1 gene:Potri.008G223987.v4.1 transcript:Potri.008G223987.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G223987.v4.1 MNMRDLESLLGSEGNIFKYLLSTDVSANKNTYPALVILLKHSYSEHVSYTESNFSMAFSYIAASEEAMENSLVSGFAEACGQDFGISNVAFSESCSVEGENFQKLANLHAINDYLASRIEKRPSGHTDLVVLC >Potri.006G263800.3.v4.1 pep chromosome:Pop_tri_v4:6:26018432:26020971:1 gene:Potri.006G263800.v4.1 transcript:Potri.006G263800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263800.v4.1 MMEEGLGCRERRKFAHCYLSRIARWLSDLRRKRKKESKRNGLAGDRIVQVNSSTNGFNGEASLNSASQTANPGQCNREATVNLGVGCCLLYQIAKSKNELDKIMKTRMQMEKLLEDVREELQKKDGLSKPSEMNEMCAYSTTGSSTITVCGQSLRYETPKKGECSEGRDKLEAELEVELQSLQLHLDTVENSVKHPQQKGRSVTNDNTATSKSQIVSSGNLKWKVTDVNTATSKSQTVSSGEVVAFKFEKAAGSEEHCGVSPHELERRLHELLESRQQEQIRELEVSAKYWTWSNADKGQMGCD >Potri.006G263800.1.v4.1 pep chromosome:Pop_tri_v4:6:26018432:26020971:1 gene:Potri.006G263800.v4.1 transcript:Potri.006G263800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263800.v4.1 MMEEGLGCRERRKFAHCYLSRIARWLSDLRRKRKKESKRNGLAGDRIVQVNSSTNGFNGEASLNSASQTANPGQCNREATVNLGVGCCLLYQIAKSKNELDKIMKTRMQMEKLLEDVREELQKKDGLSKPSEMNEMCAYSTTGSSTITVCGQSLRYETPKKGECSEGRDKLEAELEVELQSLQLHLDTVENSVKHPQQKGRSVTNDNTATSKSQIVSSGNLKWKVTDVNTATSKSQTVSSGEVVAFKFEKAAGSEEHCGVSPHELERRLHELLESRQQEQIRELEGMIECARHKLREKEMEVSWWKDTACLISCHLPESSKLNSRHCAKLLSP >Potri.012G101400.3.v4.1 pep chromosome:Pop_tri_v4:12:12432819:12434528:1 gene:Potri.012G101400.v4.1 transcript:Potri.012G101400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101400.v4.1 MLKVYMHCEACAMEIKKRIQRMQGVESAEPDLKSSQVIVKGVFEPQQLVEYVYKRTGKHAVIVKQEPEKKEEEKVKESKEEKGKESKEEKKGEEGDKQKKGGGGGGEQGESKDKKEGGGSEAKAAAAAAAAPAEETTEETTVVELRKMDFYNYYSPTRYDEHYSPPPQIFSDENPNACSVM >Potri.012G101400.1.v4.1 pep chromosome:Pop_tri_v4:12:12432195:12434533:1 gene:Potri.012G101400.v4.1 transcript:Potri.012G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101400.v4.1 MGEEPKKPAAEEEKKPEQPKKVEEEKKEEKPAEKPATEEKKPEETKKESPPAPQEIVLKVYMHCEGCARKVRRWLKGFEGVEDVTTDCKASKVVVKGEKADPLKVLERIERKSHRQVVLISPIPKPPSEEKKKAEEKEKPKVEEKKEKPPVIIAMLKVYMHCEACAMEIKKRIQRMQGVESAEPDLKSSQVIVKGVFEPQQLVEYVYKRTGKHAVIVKQEPEKKEEEKVKESKEEKGKESKEEKKGEEGDKQKKGGGGGGEQGESKDKKEGGGSEAKAAAAAAAAPAEETTEETTVVELRKMDFYNYYSPTRYDEHYSPPPQIFSDENPNACSVM >Potri.001G402800.2.v4.1 pep chromosome:Pop_tri_v4:1:42857811:42863571:1 gene:Potri.001G402800.v4.1 transcript:Potri.001G402800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402800.v4.1 MANRYRLASIEDFPSHLIFEILTIGRLSAADLVCLELTSRTFGASHGLYPQKFRSLVDFAAHQLCVSHRVYGGMGWNAQKELFDRCGGNWKRVLRFLQAVEESSGMVKTSACNMQVTTGRYHTLLIRDSSVYSCGSSLCGVLGHGAETTQCVAFTRIRFPSLANVVQVSASHNHAAFVLQSGEVFTCGDNSSFCCGHKDTNRPIFRPRLVEALKAVSCKQVSVGLNFTVFLTRQGQLYTCGSNTHGQLGHGDTLDRPTPKIIELLEGIGPVVQIAAGPSYVLAVTDNGVVYSFGYGSNFCLGHGEQHDEFQPRAIQTFRRKDIHVVHVSAGDEHAVALDSSGFVYSWGKGYCGALGHGDEIDKTLPSLVNCLKSRLAVQACARKRKTFVLVDDGSVYGFGCMGFGSLGFPDRDITEKVMTPRVLDSLRAHRVSQISTGLYHTVVVTNQGQMFGFGDNERVQLGHDTLRGCLQPTEIFVQETADDTGLTLERK >Potri.001G402800.1.v4.1 pep chromosome:Pop_tri_v4:1:42857754:42863522:1 gene:Potri.001G402800.v4.1 transcript:Potri.001G402800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402800.v4.1 MANRYRLASIEDFPSHLIFEILTIGRLSAADLVCLELTSRTFGASHGLYPQKFRSLVDFAAHQLCVSHRVYGGMGWNAQKELFDRCGGNWKRVLRFLQAVEESSGMVKTSACNMQVTTGRYHTLLIRDSSVYSCGSSLCGVLGHGAETTQCVAFTRIRFPSLANVVQVSASHNHAAFVLQSGEVFTCGDNSSFCCGHKDTNRPIFRPRLVEALKAVSCKQVSVGLNFTVFLTRQGQLYTCGSNTHGQLGHGDTLDRPTPKIIELLEGIGPVVQIAAGPSYVLAVTDNGVVYSFGYGSNFCLGHGEQHDEFQPRAIQTFRRKDIHVVHVSAGDEHAVALDSSGFVYSWGKGYCGALGHGDEIDKTLPSLVNCLKSRLAVQACARKRKTFVLVDDGSVYGFGCMGFGSLGFPDRDITEKVMTPRVLDSLRAHRVSQISTGLYHTVVVTNQGQMFGFGDNERVQLGHDTLRGCLQPTEIFVQETADDTGLTLERK >Potri.005G066500.1.v4.1 pep chromosome:Pop_tri_v4:5:4307868:4308389:1 gene:Potri.005G066500.v4.1 transcript:Potri.005G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066500.v4.1 MPVEDPTSGRLLIWFISFFMFITVAAGGSLLLLYLVLPSDPSRAWLPVAGIALVCLPWLFWFLTCIYRFLSRFFGFRVAIGSGGGGGGGGRARANVFNTTGNAATNGQSGNIETQGDGTQDEFEGPTITVEQNDSGGNGNTAIKRNLSSSNSINNMSFRSHESELPLTSSMAS >Potri.013G015200.1.v4.1 pep chromosome:Pop_tri_v4:13:973304:975351:-1 gene:Potri.013G015200.v4.1 transcript:Potri.013G015200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015200.v4.1 MASKNMAFFQVLISSIFLLVFPRCSCEAYDDVAKLKQCRFNAIYNFGASLSDTGNQIIEIPQVWSTKLPYGQAIHKVTGRSSDGLLIIDYIAKSAGLPFLEPYLKYQNATSFLSHGVNFAVGGSTVLSTKFLAEKNISNDHVKSPLHVQLEWLDKYLQGYCHDAKDCQEKLASSLFTTFAGGNDYGTAFSQNKTLEEVKNSLVPACVETLKHVVKKFIHHGARRVLVHGLPPSGCAPLFLTKFSSNNSAAYDGFGCLKSYNDLYNYHNDRLKEAIEELKKEYPHVDIVYGDLYKAMQWIMDNSRQLGFKSVTKACCGPKSEYNFIDNFHKMCGAPNIPVCQKPKQYVYWDSGHWTQNANKHLAKWLIRDIFPKFHCKKV >Potri.015G061900.5.v4.1 pep chromosome:Pop_tri_v4:15:8613604:8620743:1 gene:Potri.015G061900.v4.1 transcript:Potri.015G061900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061900.v4.1 MEGEVDEQNLSGHMKESGNGKSVGGGGAGDGFVDRSKVRILLCDNDAKSSQEVFTLLLKCSYQVTSVRSARQVIDALNAEGPEIDIILSEVDIPMTKGMKMLKYIMRDKDLRRIPVIMMSAQDEVSIVVKCLRLGAADYLVKPLRTNELLNLWTHMWRRRHMLGLAEKNILNYDFDPVASDPSDANTNSTTLFSDDTDDLSRRSTNPEMGMSTHQEDESAAAASASASAAAAAAEPSPGDPQKYRPDVPGISDRRTGHLSSGPKKSELKIGESSAFFTYVKPSTVKNNSQGVALIEDNTNQNLRMEEKLQVCGEQMLNDAHLQENGEALEIHSQVDDFRSSSSIPDSLSLERSCTPPMSREFPQRNFKDDRVLMHQTNEPQLDASSLSTQSVYPYFMSGVVNQVMMSSSAQLYQKNLHELQSLGTSAMLPGYNHLPQCPPHMSGMASFPYYPVSICLQPGQMPTTPSWPSFGSSTSADVKLNKVDRREAALNKFRQKRKERCFDKKIRYVNRKKLAERRPRVRGQFVRKVNGVNVDLNGQPASTDYDEDEEEDGDEQASRDSSPEDDASGS >Potri.012G031200.2.v4.1 pep chromosome:Pop_tri_v4:12:950146:952129:1 gene:Potri.012G031200.v4.1 transcript:Potri.012G031200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031200.v4.1 MPKSQQTYIFFFGWVAYVLLLQHKFGLLDLRLLQNSICINSFPSTLGNYYLSLFSCPAFSASFLMESMDRRRRRRRKQAKINNSESEEVSSIEWEFIDMSEQEEDLIYRMYRLVGERWDLIAGRIPGRKAEEIERFWIMKHREGFAEKRRLHSKAKSKTYR >Potri.005G081400.1.v4.1 pep chromosome:Pop_tri_v4:5:5538355:5538870:-1 gene:Potri.005G081400.v4.1 transcript:Potri.005G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081400.v4.1 MSDIAMLVAEEYERRVKHSRKAAGGEGDMEINRVSCASVRFRRIKSKIGKEKMELLKMALEPKSSMGLAASDGFFSA >Potri.009G042300.1.v4.1 pep chromosome:Pop_tri_v4:9:4935137:4936191:-1 gene:Potri.009G042300.v4.1 transcript:Potri.009G042300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042300.v4.1 MVRKRFQETKTGIEYLKSVDYDKYLRKVGIGKEDHYFWKQIGKALLCTYTLIGVVWVYNETSPLGWWTLKPKPKEERELAHLYERREFPYPGDAEAMEEFVAKGGMIGTTIGPKGTVETDKDSYNYQKQLQDKKFEQEAQKMWFRMRNEVIQELQEKGYDVE >Potri.007G129600.1.v4.1 pep chromosome:Pop_tri_v4:7:14402561:14405783:1 gene:Potri.007G129600.v4.1 transcript:Potri.007G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129600.v4.1 MAKALFFHSLKRAASSLLKPPYSFLRPILQARESILIQSRQGISQNLINPSWGFRNVSHGRVNLVISQGKPKFETHEADPPKKEKWMTKKRLKLQRKKEKEKRKAANRKDPRSLTVKRKKGQKFANVEERIKTKLERARIKEAKLVERLKRYEVPKMQGPEVKPHELTGEERFFMKKMAQKKSNYVPIGRRGVFGGVILNMHMHWKKHETVKVICNSSCKPGQVQEFAQEIARLSGGIPIQIIGDDTVIFYRGKNYVQPKVMSPVDTLSKKKALEKSKYEQSLETVRHFIAIAEKELELYFRHNALYGDSNNRNPGSILDGPTRKDLEESGKPVKQNSDLSFNSFPPGLSEIKASATYEDLSGAEDDSKVEDLSLSESDSEDDHLFDLDDEESRDSSSATKTSSSAILGSS >Potri.005G250700.5.v4.1 pep chromosome:Pop_tri_v4:5:24405046:24408037:-1 gene:Potri.005G250700.v4.1 transcript:Potri.005G250700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250700.v4.1 MEGMVKCSANYVPLTPISFLERSAIVYRDRVSVAYGDIKYTWKEIHERCVRLASALAHLGISPGDVVAALAPNIPAMYELHFGVPMAGAVLCTLNVRHDSSMVSVLLKHSEAKLIFVDYQCLPIAQGALEILSERKTKLPLLVLIPECGQPAAISSPRILEYESLLEKGKLDFEVRRPRDEWDPISLNYTSGTTSSPKGVIYSHRGAYLNSLAAALLNDMSAMPVYLWCVPMFHCNGWCLTWAVAAQGGTNVCQRYVTARDIFENIAQHKVTHMSGAPTVLNMIINASASEKRPLPGKVAVMTGGAPPPSHVLFKMEELGFHVTHSYGLTETYGPGTVCAWKPEWASLTPEAQAKIKSRQGVQHLGLEELDIKDPVTMKSVPADAKTMGEVMFRGNTVMNGYLKNLEATKDAFSGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISSIEVESALFSHPAVLEAAIVGRPDDYWGETPCAFVKLKEGCNANAEELIKFCRDHLPHYMTPRTVVFQELPKTSTGKVQKYVLKEKAKAMGSISKGNTTSKL >Potri.005G250700.1.v4.1 pep chromosome:Pop_tri_v4:5:24405047:24408449:-1 gene:Potri.005G250700.v4.1 transcript:Potri.005G250700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250700.v4.1 MEGMVKCSANYVPLTPISFLERSAIVYRDRVSVAYGDIKYTWKEIHERCVRLASALAHLGISPGDVVAALAPNIPAMYELHFGVPMAGAVLCTLNVRHDSSMVSVLLKHSEAKLIFVDYQCLPIAQGALEILSERKTKLPLLVLIPECGQPAAISSPRILEYESLLEKGKLDFEVRRPRDEWDPISLNYTSGTTSSPKGVIYSHRGAYLNSLAAALLNDMSAMPVYLWCVPMFHCNGWCLTWAVAAQGGTNVCQRYVTARDIFENIAQHKVTHMSGAPTVLNMIINASASEKRPLPGKVAVMTGGAPPPSHVLFKMEELGFHVTHSYGLTETYGPGTVCAWKPEWASLTPEAQAKIKSRQGVQHLGLEELDIKDPVTMKSVPADAKTMGEVMFRGNTVMNGYLKNLEATKDAFSGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISSIEVESALFSHPAVLEAAIVGRPDDYWGETPCAFVKLKEGCNANAEELIKFCRDHLPHYMTPRTVVFQELPKTSTGKVQKYVLKEKAKAMGSISKGNTTSKL >Potri.004G009100.1.v4.1 pep chromosome:Pop_tri_v4:4:525654:526925:-1 gene:Potri.004G009100.v4.1 transcript:Potri.004G009100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009100.v4.1 MASLQVSGMVVSGSSSSFCSHKSLVRATINGPKIRIGPLSLPSLSSKDFIEELSIRSVGYGIPITTQMEKGSGPKTSSSDPVVVAKLYAVMEAVADRIEMHKNIGEQRDNWNHLLLSSIHAMTLTAATMCGLAAASASGEPLAGLKVSSTILYLAATGMLAIMNKIQPSQLAEEQRNAARLFKQLHGQIQTLLSVGSPTVSDVNEATENVLALDKAYPLPLLGAMLERYPSSVEPAVWWPQQRRKQAKGLGRKIEGNGWNRELEDEMREIVGVLKRKDKADYLRLSGKALKAHKMLAFSGPLLTGLGALGSAFVGTTNPWAVILGVAGGALASVVNAMEHGGQVGMIFEMYRSNAGFFKLMEESIESNINETNVWGRENGQVYEMKVALQLGRSLSDLKNLAASSSSRNIEEDTEEEFGSKLF >Potri.016G019201.1.v4.1 pep chromosome:Pop_tri_v4:16:1025227:1026485:-1 gene:Potri.016G019201.v4.1 transcript:Potri.016G019201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019201.v4.1 MSQNQATVAYPPPPASTGPSAYSAPPPAGYPTMDGQTCQQDPIPVETKSRGDGFWKGCCAALCCCCALDACF >Potri.007G102500.16.v4.1 pep chromosome:Pop_tri_v4:7:12628416:12632589:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKQVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.28.v4.1 pep chromosome:Pop_tri_v4:7:12628532:12632586:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKQVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVKPATFSCPMVETPTESPQEVIFAKINDFSNMQVYTLPQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.19.v4.1 pep chromosome:Pop_tri_v4:7:12628491:12632589:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVKPATFSCPMVETPTESPQEVIFAKINDFSNMQVYTLPQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.32.v4.1 pep chromosome:Pop_tri_v4:7:12628548:12632475:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.32.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKQVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.30.v4.1 pep chromosome:Pop_tri_v4:7:12628615:12632236:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVKPATFSCPMVETPTESPQEVIFAKINDFSNMQVYTLPQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.34.v4.1 pep chromosome:Pop_tri_v4:7:12628548:12632590:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.34.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.36.v4.1 pep chromosome:Pop_tri_v4:7:12628548:12632475:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.36.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.31.v4.1 pep chromosome:Pop_tri_v4:7:12628548:12632590:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.31.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKQVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.29.v4.1 pep chromosome:Pop_tri_v4:7:12628532:12632586:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVKPATFSCPMVETPTESPQEVIFAKINDFSNMQVYTLPQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.18.v4.1 pep chromosome:Pop_tri_v4:7:12628416:12632589:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.35.v4.1 pep chromosome:Pop_tri_v4:7:12628548:12632475:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.35.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.33.v4.1 pep chromosome:Pop_tri_v4:7:12628548:12632475:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.33.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKQVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.007G102500.17.v4.1 pep chromosome:Pop_tri_v4:7:12628491:12632589:-1 gene:Potri.007G102500.v4.1 transcript:Potri.007G102500.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102500.v4.1 MASTPSDGEVAVFTDTNMDTHIAMGISPDITVADFKRELEKMHFNCFPKLGEIKVCELKQVRRNNCFYHLLESLPIKYAFQGLKGNWFLHVEIRSSNSFRNQHLPQCLAAKDDHISDGSNAIGSLVTNTRKNDMTPNGNNKRIEGLLGIKSPAELPKTAPCFDKRSKEKKRLAEIDREFDRSKKSPKLAARECSGLLTPGNEVKPATEELSKNAPYFKKTSKEKKRLADINKELDRSKKALNVVDKVSSGLLTPRNKVEHATITGALPKIVACFKKKSKDKKRMAGLNKEFDRRKKAPNLAAKVCSGLLTPINQVKPATFSCPMVETPTESPQEVIFAKINDFSNMQVYTLPQVDIRRPPRTLASPLPTDLRPGSSGNKLKTTSAVGKRMMTAANKLKISANKQRPALSFYRFRAPSRASFVVRRSIFDISDSDE >Potri.017G050200.1.v4.1 pep chromosome:Pop_tri_v4:17:3549890:3550547:-1 gene:Potri.017G050200.v4.1 transcript:Potri.017G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050200.v4.1 MATSNILALVVLMVLFLTSTMAQSPTSSPTSSPTKSPVPPASTPTSAPPPTATSPPPTSSPPVSPPAPKKSPTTPPVASPPSPTTVSSPPPASSIPPSSISNSPSDAPGPSANDAVLNRVTVGGSLLAGLFAAVLVI >Potri.004G160100.1.v4.1 pep chromosome:Pop_tri_v4:4:18019625:18023620:-1 gene:Potri.004G160100.v4.1 transcript:Potri.004G160100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160100.v4.1 MNVKRVGGGKHRNLVLKSNLKKMGSSPSSSSIVFAVLFLVFNAAVLCHGGKTSSFVRKVEKTIDMPLDSDVFKVPPGYNAPQQVHITQGDHVGKGVIVSWVTADESGSNTVIYWSESSKQKKEAEGKTYTYKFYNYTSGYIHHCIIRNLEFNTKYYYVVGVGNTTRQFWFITPPAVGPDVPYTFGLIGDLGQTYDSNRTLTHYENNPAKGQAVLFVGDLSYADNYPNHDNVRWDTWGRFVERSVAYQPWIWTAGNHEIDFAPDIGETKPFKPYTHRYHVPYRAAQSTAPFWYSIKRASAYIIVLSSYSAYGKYTPQYQWLEEELPKVNRSETPWLVVLIHSPWYNSYEYHYMEGETMRVMYEPWFVKYKVDVVFAGHVHAYERSERISNIAYNIVNGQCVPVRDQTAPVYITIGDGGNIEGLATSMTYPQPEYSAYREASFGHAIFDIKNRTHAYYGWHRNQDGYAVEADTVWFYNRYWHPVDDSTNSDS >Potri.004G064000.1.v4.1 pep chromosome:Pop_tri_v4:4:5419853:5426603:1 gene:Potri.004G064000.v4.1 transcript:Potri.004G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064000.v4.1 MSLSSDALTTTLCNSIQALGRGFDVTSDIRLLYCKGATGSRLVHIDDEHTRDLDISHGVVLPNVSFDIDCSKENRSIERIPVCSFHEMAGQFNEKSGISGRIPLGSFNGMFNFTGSWQVDAAGTKSLAMVGHFIPLYKVQIAKVNLVLLEEVKRAVPSSWDPASLASFIESYGTHIVTSATIGGRDVVYIRQHQASPLSVSDIENYVKDIADQRFQDSTSTSIAAPLKYKDKDVTVIFRRRGGDDLEQSHAKWAETVQLAPDVINMTFTPIVSLLERVPGIKHLARAVDLYLEYKPPIEDLQYFLDFQIAKGWAPEQNNLQRKEPVCQSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGIKQNRLSIHLQHLVSLPKVLQPHWDAYVAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEYADTSIGDLSGVHIVTGAQLGVWDFGAKNVLHLKLLFSKVPGCTIRRSVWDHSPSNPSAQRTNGASSSVPNEKPSNDKKEDGHVGKLAKIVDMSEMSKGPQDVPGHWLVTGAKLGVDKGKIVLRVKYSLLNY >Potri.006G049000.2.v4.1 pep chromosome:Pop_tri_v4:6:3381014:3382061:1 gene:Potri.006G049000.v4.1 transcript:Potri.006G049000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049000.v4.1 MKIQEREKEEQSESSSIREKKAMHTSDMGRWNRSTGGYQLEKKSGGAPRKLLNRQGGYAVPDRITAIMGPSGSGKSTLLDAFAGVLVNSNITVLHR >Potri.010G009400.2.v4.1 pep chromosome:Pop_tri_v4:10:947032:949995:-1 gene:Potri.010G009400.v4.1 transcript:Potri.010G009400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G009400.v4.1 MGFSPLSLSQSLSFIMFLFHFHSTISSSHFCAPDQSLSLLQFKESFSINSSASGRCHHPKTESWKEGTGCCLWDGVSCDMKTGHVTALDLSCSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNNSHISPRFGQFSNLTLLNLNSSVFAGQVPSEISHLSKLVSLDLSGNYDPSLEPISFAKLVRNLTELRELDLSRVNMSLVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPKLESLDMSYNNRLTGSFPSSNLSNVLSSLDLSNTRISVYLENDLISNLKSLEYMYLRNSNIIRSDLAPLGNLTQLILLDFSSNNFIGEIPSSLGNLVQLRYLKLDSNKFMGQIPDSLGSLLNLRTLSLYGNLFNGTIPSFLFALPSLQYLDLHNNNLIGNISELQHDSLVYLDLSNNHLHGPIPSSIFKQENLEVLILASNSKLTGEISSSICKLRFLRLLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKNNSLEYLNLNGNELEGKIPPSIISCTLLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTTYNSFSKLQIFDISDNNFSESLPTGYFNSLEAMMTWIKK >Potri.012G093800.1.v4.1 pep chromosome:Pop_tri_v4:12:11819601:11822940:-1 gene:Potri.012G093800.v4.1 transcript:Potri.012G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NDPK2 MESVAVFGGAKFCISSSTILPSSTYTTNTTALSLTSCNNLNLRTTHLSAFHSKSHLFSFNNSPSHPHATKTRNSRKPRIFLPHLVASMEQVDETYIMVKPDGVQRGLVGEIISRFEKKGFKLTGLKLFQCPKELAEEHYKDLKAKPFFPGLIDYITSGPVVCMAWEGVGVVASARKLIGSTDPLKAEPGTIRGDLAVQTGRNVVHGSDSPENGKREIGLWFKEGELCQWTPAQAPWLRE >Potri.006G015800.1.v4.1 pep chromosome:Pop_tri_v4:6:1009361:1011932:1 gene:Potri.006G015800.v4.1 transcript:Potri.006G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015800.v4.1 MKSLITPTVLFSPMSIKATPLASNLFSLASKSNLFSKTQNPISSSPLKRIMLSIEPSNFLIQTRCVHSKSSLSTSSGEIHVIVGPMFAGKTTTLLHRVQAEINDGRNVAIIKSNKDNRYGLDSVATHDGVKLPCCALPNLSSFRQKLGQDAYDQLDVIGIDEAQFFEDLYDFCREVADHDGKTVIVAGLDGDYLRRSFGSVLDIIPLADSVTKLSARCEICGKRAFFTLRKTEETQTELIGGADVYMPVCRQHYVSGQVAVEAARMVLGSQNVQCSSCV >Potri.002G195800.1.v4.1 pep chromosome:Pop_tri_v4:2:15855527:15858820:-1 gene:Potri.002G195800.v4.1 transcript:Potri.002G195800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195800.v4.1 MDRQRSFSFKSTRSLVFSFTISSLFFLVFFTIWVSKSTPSILQETNFELNKTSLVASLKPLSVQPFSDLTINSSSSDVKSSIFVDTHFREPENISGFASISVIKRKGNESETSEKDRDGVNGSFSTVQEIALVPETASVEEKKSRSISTQRIEVQSSENIAEETRGAASQEKNEAPNKEGIRKKKKGPISVANIKTSRNERNKEKSMRECDVTKGRWIYDENYPLYTNSSCPFIDEGFDCVGNGRLDKDYMKWRWQPQDCNISRFNATKMLDLIRGKRLVFVGDSINRNQWESMLCMLMGAVKDPKKVYETHGRRITKEKGNYSFKFVDYKCTVEYYVSHFLVHESKARIGSRRVQTLRIDAIDHGSSRWRGADILIFNTAHWWSHFKTKAGINYYQEGNQVHPQLDVSIAFRRALMTWASWVDRHINPRKTRVFFRSSAPSHFRGGQWNSGGQCMGATQPLNETSSTGYSEKNSIVEETIKHMKTPVTFLNITGFSGFRTDGHPSIYGKRPGKRYASSIQDCSHWCLPGVPDTWNEFLSSHLLSKIGSKQGDTFQ >Potri.016G113800.5.v4.1 pep chromosome:Pop_tri_v4:16:11794140:11798045:-1 gene:Potri.016G113800.v4.1 transcript:Potri.016G113800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113800.v4.1 MTQPPNIVRKLLVEVVDARDLLPKDGQGSSSACVIADFDGQRKRTTTKYRDLNPVWKETLEFIVSDPNNMEFEELEVEVLNDKKFGNGSGRKNHFLGRVKVYGSQFSKRGEEGIVYFPLEKKSVFSCIRGEIGLRICFYDELVEEDQQQAPAPSEEDADTLQDQKPLKSPAVIEEEGRVFEVLARPEINCHDYHHPHHHHFHHNGTHSPPFVVIEESPPPVVQVNSEPSLGSQQVPLPEEPHYVETHTQYHPEVRRMQTTRVASSGDNRVKTLRPPIGDFSPKVISGRFKSESTERIHPYDLVEPMQYLFISIVKARGLSQNESPIVKLRTSTHCVRSKPASYRPGASPDSPEWHQVFALGHNNKTDGQLPNAAGNIEISVWDARSEQFLGGVCFDISEVPVRDPPDSPLAPQWYRLESDAAAGQICNRVSGDIQLSVWIGTQADDAFAEAWSSDAPYVSHTRSKVYQSPKLWYLRVTVIEAQDLHLSSNLPPLTVPDIRIKAQLGFQSARTRRGSMSNHSTSFRWIDDLIFVAGEPLEESLILLVEDRTTKEAVLLGHIIIPVSSIEQRYDERHVASKWFALEGGGGDTGGAGCATGGSYRGRIHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAIGVLELGILGARGLLPMKTKGGGKGSTDAYCVAKYGKKWVRTRTITDSFEPRWNEKYTWQVYDPSTVLTIGVFDNWHMFGEMSDDKPDCRIGKIRIRVSTLESNKVYMNSYPLLVLLRTGLKKMGEIELAVRFACPSLLPDTCAVYGQPLLPKMHYLRPLGVAQQEALRGAATKMVSLWLARSEPPLGPEVVRYMLDADSHAWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWRNSVTTVLVHILYLVLVWYPELVVPTGFLYVFLIGVWYYRFRPKIPAGMDIRLSQAETVDSDELDEEFDTVPSMRPPEIIRARYDRLRMLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIAVCLAITLILYVVPPKMVAVALGFYFLRHPMFRDPMPPASLNFFRRLPSLSDRLM >Potri.016G113800.6.v4.1 pep chromosome:Pop_tri_v4:16:11794136:11796876:-1 gene:Potri.016G113800.v4.1 transcript:Potri.016G113800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113800.v4.1 MQYLFISIVKARGLSQNESPIVKLRTSTHCVRSKPASYRPGASPDSPEWHQVFALGHNNKTDGQLPNAAGNIEISVWDARSEQFLGGVCFDISEVPVRDPPDSPLAPQWYRLESDAAAGQICNRVSGDIQLSVWIGTQADDAFAEAWSSDAPYVSHTRSKVYQSPKLWYLRVTVIEAQDLHLSSNLPPLTVPDIRIKAQLGFQSARTRRGSMSNHSTSFRWIDDLIFVAGEPLEESLILLVEDRTTKEAVLLGHIIIPVSSIEQRYDERHVASKWFALEGGGGDTGGAGCATGGSYRGRIHLRLCLEGGYHVLDEAAHVCSDFRPTAKQLWKPAIGVLELGILGARGLLPMKTKGGGKGSTDAYCVAKYGKKWVRTRTITDSFEPRWNEKYTWQVYDPSTVLTIGVFDNWHMFGEMSDDKPDCRIGKIRIRVSTLESNKVYMNSYPLLVLLRTGLKKMGEIELAVRFACPSLLPDTCAVYGQPLLPKMHYLRPLGVAQQEALRGAATKMVSLWLARSEPPLGPEVVRYMLDADSHAWSMRKSKANWFRIVAVLAWAVGLAKWLDDIRRWRNSVTTVLVHILYLVLVWYPELVVPTGFLYVFLIGVWYYRFRPKIPAGMDIRLSQAETVDSDELDEEFDTVPSMRPPEIIRARYDRLRMLAARVQTVLGDFATQGERVQALVSWRDPRATKLFIAVCLAITLILYVVPPKMVAVALGFYFLRHPMFRDPMPPASLNFFRRLPSLSDRLM >Potri.005G224600.1.v4.1 pep chromosome:Pop_tri_v4:5:22547448:22548627:-1 gene:Potri.005G224600.v4.1 transcript:Potri.005G224600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224600.v4.1 MGMPFLLSRLVLIIFVAHFAASKAAATRPGFIYTRTRGRCTPQFWCSRRESWPRMVPQRATVSKVFGSGVFERYGSDVTLLESTTRNDDENAFAGLLKQASAALLNSYAREGFPYSAWEVKTLLIQALVSKEAAATQAKQFSVANEACN >Potri.010G139200.1.v4.1 pep chromosome:Pop_tri_v4:10:15330861:15333452:-1 gene:Potri.010G139200.v4.1 transcript:Potri.010G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139200.v4.1 MANPIFYFSSCLFLSIVIILVKFFHKVWWTPIRIQSSMKSQGIKGPSYRFLHGNTKEIINMISKIRSSPKEVLHHTFPIIQPHIYSWIKLYGMNFLQWYGPQPQLIITEPELIKEILNNKDRAYPKAKAPNYVKQLLGDGLVTSEGEKWLKMRKLANHAFHGGSLKNMIPAMIASSEIILQRWRHYESKEIDVFREFKVLTSEIISRTAFGSSYLEGQHIFDMLTRMAHIISGNNYRIRIPGIGKFFKTSDDIESENLEATIRSSFMNMMKKREQEAMLGNIDGYGHDFFGLLLEAYHDSDKTKKISVDDLIDECKTFYVGGQETTSSLLTWSVLLLAIHTDWQDKARNEVLELFGQQNPGQDSIAKLKIMSMVINESLRLYSPAAVVIRRVEREIKMGKITVPANMEVLISTLALHQNPEIWGEDVHLFKPERFAEGLAKATKNNIAAFFPFGLGPRTCVGVNFALTETKVALSMILQRYRFTLSPTYAHCPVEVLQKQRLHSL >Potri.010G139200.2.v4.1 pep chromosome:Pop_tri_v4:10:15330861:15333452:-1 gene:Potri.010G139200.v4.1 transcript:Potri.010G139200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139200.v4.1 MANPIFYFSSCLFLSIVIILVKFFHKVWWTPIRIQSSMKSQGIKGPSYRFLHGNTKEIINMISKIRSSPKEVLHHTFPIIQPHIYSWIKLYGMNFLQWYGPQPQLIITEPELIKEILNNKDRAYPKAKAPNYVKQLLGDGLVTSEGEKWLKMRKLANHAFHGGSLKNMIPAMIASSEIILQRWRHYESKEIDVFREFKVLTSEIISRTAFGSSYLEGQHIFDMLTRMAHIISGNNYRIRIPGIGKFFKTSDDIESENLEATIRSSFMNMMKKREQEAMLGNIDGYGHDFFGLLLEAYHDSDKTKKISVDDLIDECKTFYVGGQETTSSLLTWSVLLLAIHTDWQDKARNEVLELFGQQNPDEYGN >Potri.001G177333.1.v4.1 pep chromosome:Pop_tri_v4:1:15389186:15389509:-1 gene:Potri.001G177333.v4.1 transcript:Potri.001G177333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177333.v4.1 MDAPSQQEMSYYDHVKRRHENKGCLYAFFFALCCCCCCSETCECCVKCCCCA >Potri.001G056800.1.v4.1 pep chromosome:Pop_tri_v4:1:4292954:4294992:1 gene:Potri.001G056800.v4.1 transcript:Potri.001G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056800.v4.1 MRTRRGISYPRRGVAVNACNTAAEKRTSSTTYNRGKPDFTAGEYMVCRKRNRLVSTGKKGETDLFESLPDDLVISILCKLSSSASCPSDFINVLITCRRLNGLGLHSLVLSKASPKSFAIKANNWSDSAHRFLKLCADAGNAEACYTLGMIRFYCLQNRGSGASLMAKAAISSHAPALYSLAVIQFNGSGGSKSDKDLRAGVALCARAAFLGHIDALRELGHCLQDGYGVRQNVTEGRRFLVQANARELAAVLSNPDSGLPTRAWLTWNPHAHPNHRHPSENGPSGCPLLSDFGCNVPAPEAHPASRFMTEWFAIRGGSAGSGLRLCSHTGCGRPETRKHEFRRCSVCGAVNYCSRACQALDWKLRHKEECAPVERWVDEDGEGGDDAGGVGGGDGDDVMVES >Potri.003G145900.1.v4.1 pep chromosome:Pop_tri_v4:3:16054207:16057902:-1 gene:Potri.003G145900.v4.1 transcript:Potri.003G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145900.v4.1 MLALTRGFNLNWKLFSNSNHSRTLIPSLIKLPLMVATRPSVSSPTYSTGSPVNVPLKHVGTHNGSFHCDEALGCFMIRLTSKYSNAEIVRSRDPQVLEGLDAVLDVGGVYDPSRDRYDHHQKGFGEVFGHGFSTKLSSAGLVYKHFGKEIIAKELQVDEGHPDVHRLFLAVYKSFMEAIDAIDNGINQYDTDQPPKYVNNTHLSSRVGKFNLDWTDPDQSSKKENEAFERAMALAGSEFLDNVRFHAKSWLPARSIVMECLATRFDVDPSGEIMVLKTFCPWKLHLFELEGELKIDPLVKYVLYQDDRSKQWRVQAVAKSPDSFESRKALPAQWRGLRDDDLSRESQIPGGVFVHMSGFIGGNQSFEGALAMAGAALKL >Potri.013G043900.1.v4.1 pep chromosome:Pop_tri_v4:13:3042194:3054857:1 gene:Potri.013G043900.v4.1 transcript:Potri.013G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043900.v4.1 MGSTENIIVGSEVWVEDPQLAWLDGKVSKITGQDAEIETSNGKKVTAKLSKIYPKDMEAPAGGVDDMTKLSYLHEPGVLENLKTRYELNEIYTYTGNILIAINPFQRLPHLYDGHMMQQYKGAPFGELSPHVFAVADVAYREMINERKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQVSSPERNYHCFYLLCAAPQEEVEKYKLGSPKSFHYLNQTNCFELAGVSDAHDYLSTRRAMDIVGISAKEQEAIFRVVAAVLHIGNIDFSKGKEVDSSVPKDDQAKFHLKTTAELLMCDPVALEDALCKRVMITPEEVIKRSLDPQSAVTSRDGLAKTIYSRLFDWIVDKINNSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLLEKKPGGIIALLDEACMFPKSTHETFSNKLYQTFKVHKRFIKPKLSRTDFTIAHYAGEVQYQSDHFLDKNKDYVVPEHQDLLNGSKCPFVAGLFPRLPEETSKSSKFSSIGSRFKIQLQQLMDTLNSTEPHYIRCVKPNNLLKPAVFENINIMQQLRCGGVLEAIRISMAGYPTRRPFFEFINRFGLLAPEAWEGSYDEKTVCKKILEKKGLKGFQIGKTKVFLRAGQMAELDARRAEVLNNAAKTIQGRIRTHYARKQFIALRKATIVVQSLWRGRLACKVYERIKREAAARKIQKHIRRYAARTAYKKLHISALLLQTGLRAMVARKEFRFRKRTKAATIIQARWHCHKAASYYKRLQRSAIVTQTGWRCRVARRELRLLKMAARDTGALREAKDKLEKHVEELTWRLQLEKRLRTDLEEAKAQEVVKFQNSLEEMKNKIEEANALIIKEREAAKKAIDDAPPVIKETQVLVEDTKKIDSLTEEVENLKTTLDSEKQRADDTEKKYSEVKEISEERRKKLEETEKKVQQLQESLQRLEEKLNNLESENKVLRQQALSMTPNKYLSGRSRSIMQRAESHIPVDAARASLDMQSPSMNHREHSEVDDKPQKSLNEKQQENQELLIRCVAQHLGFSGNRPIAACIIYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNDVLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATLFGRMTQSFRGAPQGVNLSLINGGINGGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGARSVANAAAQQALIAHWQGIVKSLGSFLNTLKSNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEHWCYKATDEYAGSAWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSTDVISNMRVLMTEDSNNAVSNSFLLDDDSSIPFSVDDLSKSMEQIDIADIEPPPLIRENSGFSFLLPRCD >Potri.006G276500.1.v4.1 pep chromosome:Pop_tri_v4:6:26773135:26773908:-1 gene:Potri.006G276500.v4.1 transcript:Potri.006G276500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276500.v4.1 MIGITGVCSRLVQALGVSGPSSSTCLQTTPTCKQEKARQADELMIRALTAVFGMENNGKIKKEKARRVVEKLGLIYVEAGGEEDQAIFDLPGGVDDEEVPVEEVLNGLEDGSDRHQLLQEAFKIFDENGNGYIEAVELKRVLQCLGLDKGWDMEQIQKMLKAADLNFDGMVDFNEFELMMG >Potri.015G147300.1.v4.1 pep chromosome:Pop_tri_v4:15:15122581:15125090:-1 gene:Potri.015G147300.v4.1 transcript:Potri.015G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147300.v4.1 MAPEKEEEIMKVKITGKCRVKPNKKLGRSECQLVTFDLPYIAFQYNQKLMVYKGDEYEYEDRVGKLKDGLGVVLEDFYQLAGKLAKDEDWVFRVEYDDDMEGVEVLEAKAEWISVEDLTVEEGTSTLKELIPYSGILNSEGLHRPLLSVQLTKLRDGLAMGLAFNHAILDGTSTWHFMSSWAQICSGLPSISLLPFLDRTKVRNTKVKFQLSPPDQNGSSTDDAKPVDQPLRERVFKFSESGIDKIKSKINSNIPSGGSKPFSTFQSLAVHIWRHVTQARQLKPEDYTVFTVFADCRKRVDPPMPESYFGNLIQAIFTVTAAGLLSMNPPEFGASMIQKAIETHDAKAIEERNKQFESAPKVYQFKDAGVNCVAVGSSPRFPVYEVDFGWGKPETVRSGINNRFDGMVYLYQGKSGGRSIDVEISLEAGAMERLEKDKEFVLEVN >Potri.004G140800.1.v4.1 pep chromosome:Pop_tri_v4:4:16321774:16325246:1 gene:Potri.004G140800.v4.1 transcript:Potri.004G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140800.v4.1 MSSLSVRFLTPQLSPTVPSSSARPRTRLFAGPPTVAQPAETGVDAGRLEPRVEKKDGYYVLKEKFRQGINPQEKVKIEKEPMKLFMENGIEELAKLSMEEIDKEKSTKDDIDVRLKWLGLFHRRKHQYGRFMMRLKLPNGVTTSAQTRYLASVIRKYGKDGCADVTTRQNWQIRGVVLPDVPEILRGLAEVGLTSLQSGMDNVRNPVGNPLAGIDPDEIVDTRPYTNLLSQFITANSRGNPEFTNLPRKWNVCVVGSHDLYEHPHINDLAYMPAMKDGRFGFNLLVGGFFSPKRCAEAIPLDAWVSADDVLPSCKAVLEAYRDLGTRGNRQKTRMMWLIDELGIEGFRSEVVKRMPRQELERESSEDLVQKQWERRDYFGVHPQKQEGLSYAGLHIPVGRVQADDMDELARLADIYGTGELRLTVEQNIIIPNIEDSKIEALLKEPLLKDRFSPEPPLLMQGLVACTGKEFCGQAIIETKARAMKVTEEVQRLVSVSKPVRMHWTGCPNTCGQVQVADIGFMGCMARDEKGKICEGADVYVGGRVGSDSHLGELYKKSVPCKDLVPLVVDILVKQFGAVPREREEVDD >Potri.013G047600.4.v4.1 pep chromosome:Pop_tri_v4:13:3389988:3395482:-1 gene:Potri.013G047600.v4.1 transcript:Potri.013G047600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047600.v4.1 MNTEPLNQKSLERVVSQKALQIGSSFPCQICVVGFLSGVCLTSLFLAALTSLGTFEFGGISFSSISLGNSPLNSSSSGFFNTVTSADCKFKRKEIFTERWVDSKRSENGVDDERVSLLHSAWSALLSESVDGEIAFWQSSGLGKSAVPNAPHLENCKLSEQINEHLDKRAENERLPPWTTWKGLLNAHPASMPTEQLRYFRHQAIPEGAYPPWITGSDEENYPLTRKVQRDIWLHQHPENCRDPNIRFLVAEWERLPGFGIGAQLAGMCGLLAIAINEKRVLVTSYYNRADHDGCKGSLRSSWSCYFFPETSQECRDHAFELMGNKEALERGMVTTKDNYTSKEIWTGRTPRVWGEPWRFLQPTTEINGSLVASHRKMDRRWWRAQAIRYLMRFQTPYMCGLMNVARNAAFGKEVAKMFLTSLGKEWPKDFGNKRRSDIEEFVWSNHRPWIPRPLLSMHVRMGDKACEMKVVEFEGYMHLAERIRQRFPQLKSVWLSTEMQEVINKSKLYTNWNFYYTNVTRQVGNATMAAYEASLGRKTSTNYPLVNFLMAAEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Potri.013G047600.5.v4.1 pep chromosome:Pop_tri_v4:13:3389968:3395553:-1 gene:Potri.013G047600.v4.1 transcript:Potri.013G047600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047600.v4.1 MNTEPLNQKSLERVVSQKALQIGSSFPCQICVVGFLSGVCLTSLFLAALTSLGTFEFGGISFSSISLGNSPLNSSSSGFFNTVTSADCKFKRKEIFTERWVDSKRSENGVDDERVSLLHSAWSALLSESVDGEIAFWQSSGLGKSAVPNAPHLENCKLSEQINEHLDKRAENERLPPWTTWKGLLNAHPASMPTEQLRYFRHQAIPEGAYPPWITGSDEENYPLTRKVQRDIWLHQHPENCRDPNIRFLVAEWERLPGFGIGAQLAGMCGLLAIAINEKRVLVTSYYNRADHDGCKGSLRSSWSCYFFPETSQECRDHAFELMGNKEALERGMVTTKDNYTSKEIWTGRTPRVWGEPWRFLQPTTEINGSLVASHRKMDRRWWRAQAIRYLMRFQTPYMCGLMNVARNAAFGKEVAKMFLTSLGKEWPKDFGNKRRSDIEEFVWSNHRPWIPRPLLSMHVRMGDKACEMKVVEFEGYMHLAERIRQRFPQLKSVWLSTEMQEVINKSKLYTNWNFYYTNVTRQVGNATMAAYEASLGRKTSTNYPLVNFLMAAEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Potri.013G047600.7.v4.1 pep chromosome:Pop_tri_v4:13:3389988:3395554:-1 gene:Potri.013G047600.v4.1 transcript:Potri.013G047600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047600.v4.1 MNTEPLNQKSLERVVSQKALQIGSSFPCQICVVGFLSGVCLTSLFLAALTSLGTFEFGGISFSSISLGNSPLNSSSSGFFNTVTSADCKFKRKEIFTERWVDSKRSENGVDDERVSLLHSAWSALLSESVDGEIAFWQSSGLGKSAVPNAPHLENCKLSEQINEHLDKRAENERLPPWTTWKGLLNAHPASMPTEQLRYFRHQAIPEGAYPPWITGSDEENYPLTRKVQRDIWLHQHPENCRDPNIRFLVAEWERLPGFGIGAQLAGMCGLLAIAINEKRVLVTSYYNRADHDGCKGSLRSSWSCYFFPETSQECRDHAFELMGNKEALERGMVTTKDNYTSKEIWTGRTPRVWGEPWRFLQPTTEINGSLVASHRKMDRRWWRAQDFGNKRRSDIEEFVWSNHRPWIPRPLLSMHVRMGDKACEMKVVEFEGYMHLAERIRQRFPQLKSVWLSTEMQEVINKSKLYTNWNFYYTNVTRQVGNATMAAYEASLGRKTSTNYPLVNFLMAAEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Potri.013G047600.9.v4.1 pep chromosome:Pop_tri_v4:13:3390004:3395318:-1 gene:Potri.013G047600.v4.1 transcript:Potri.013G047600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047600.v4.1 MNTEPLNQKSLERVVSQKALQIGSSFPCQICVVGFLSGVCLTSLFLAALTSLGTFEFGGISFSSISLGNSPLNSSSSGFFNTVTSADCKFKRKEIFTERWVDSKRSENGVDDERVSLLHSAWSALLSESVDGEIAFWQSSGLGKSAVPNAPHLENCKLSEQINEHLDKRAENERLPPWTTWKGLLNAHPASMPTEQLRYFRHQAIPEGAYPPWITGSDEENYPLTRKVQRDIWLHQHPENCRDPNIRFLVAEWERLPGFGIGAQLAGMCGLLAIAINEKRVLVTSYYNRADHDGCKGSLRSSWSCYFFPETSQECRDHAFELMGNKEALERGMVTTKDNYTSKEIWTGRTPRVWGEPWRFLQPTTEINGSLVASHRKMDRRWWRAQAIRYLMRFQTPYMCGLMNVARNAAFGKEVAKMFLTSLGKEWPKDFGNKRRSDIEEFVWSNHRPWIPRPLLSMHVRMGDKACEMKVVEFEGYMHLAERIRQRFPQLKSVWLSTEMQEVINKSKLYTNWNFYYTNVTRQVGNATMAAYEASLGRKTSTNYPLVNFLMAAEADFFVGALGSTWCFLIDGMRNTGGKVMAGYLSVNKDRFW >Potri.014G078800.2.v4.1 pep chromosome:Pop_tri_v4:14:5098667:5103408:-1 gene:Potri.014G078800.v4.1 transcript:Potri.014G078800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078800.v4.1 MATLAHLSLLSKPPPQLNNLFSTIPRTRLNPQSKPTRFKCLASQTGFFTKLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLSETDRVLDELEEALLVSDFGPRITIQIVEKLREDILAGKLKSGSEIKDALKKSVLDLLQKKGNKTELQLGFRKPAVVMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVADREKAKASSVLSQAVKKGKEQEFDVVLCDTSGRLHTNYSLMEELIACKKAVGKIVRGAPNEILLVLDGTTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >Potri.014G078800.7.v4.1 pep chromosome:Pop_tri_v4:14:5099078:5103354:-1 gene:Potri.014G078800.v4.1 transcript:Potri.014G078800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078800.v4.1 MATLAHLSLLSKPPPQLNNLFSTIPRTRLNPQSKPTRFKCLASQTGFFTKLGRLIKEKAKSDVEKIFSGFSKTRDNLAVIDELLLYWNLSETDRVLDELEEALLVSDFGPRITIQIVEKLREDILAGKLKSGSEIKDALKKSVLDLLQKKGNKTELQLGFRKPAVVMIVGVNGGGKTTSLGKLAYRLKNEGAKILMAAGDTFRAAASDQLEIWAERTGCEIVVADREKAKASSVLSQAVKKGKEQEFDVVLCDTSGRLHTNYSLMEELIACKKAVGKIVRGAPNEILLVLDGTTGLNMLPQAREFNEVVGITGFILTKLDGSARGGCVVSVVDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFS >Potri.006G220100.1.v4.1 pep chromosome:Pop_tri_v4:6:22541187:22552181:-1 gene:Potri.006G220100.v4.1 transcript:Potri.006G220100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220100.v4.1 MAFRLELVLFALLLSLVVDSVHSDDGATLLEIKKSFRDVDNVLYDWTDSPSSDYCVWRGVICDNVTYNVIALNLSGLNLEGEISPAIGNLKDLTSIDLKGNRLSGQIPDEMGDCSSLQDLDLSFNEINGDIPFSISKLKQLELLVLKNNQLIGPIPLTLSQIPNLKVLDLAQNRLSGEIPRLLYWNEVLQYLGLRGNNLVGALSPDMCQLTGLWFFDVKNNSLTGKIPENIGNCTSFQVLDLSFNQLTGEIPFNIGFLQIATLSLQGNQLTGKIPPVIGLMQALAVLDLSCNMLSGPIPPILGNLTYTEKLYLHGNQLTGSIPPELGNMTKLHYLELNDNQLIGNIPPELGKLTDLYDLNVANNHLEGPIPDNLSSCTNLNSLNVHGNNLNGTIPRALRRLESMTNLNLSSNNIQGPIPIELSRISNLDTLDISNNKISGSIPSSLGYLEHLLKLNLSRNQLTGFIPAEFGNLRSVMEIDLSDNHLSGLIPQELSQIQNMFLLRLENNNLSGDVISLINCLSLSVLNVSYNNLAGVIPTSNNFSRFSPDSFIGNPGLCGYWLNSPCHDSHPAERATISKAAILGIALGALVILLMILVAACRPHNPAPFPDGSLDKPVSYSTPKLVILHMNMALHVYEDIMRMTENLSEKYIIGHGASSTVYKCVLKNCRPVAIKRLYSHYPQCLKEFETELETVGSIKHRNLVSLQGYSLSPSGNLLFYDYMENGSLWDHFHGPMKKKKLDWDTRLRIALGAAQGLAYLHHDCSPRIIHRDVKSSNILLDKDFEPHLTDFGIAKRLCVSKSHTSTYIMGTIGYIDPEYARTSRLTEKSDVYSYGIVLLELLTGRKAVDNECNLHHLILSKTANNEVMETVDPEITATCKDLGAVKKVFQLALLCTKRQPTDRPTMHEVTRVLGSLVPLITPPKQCTQAPPAPLPSAKVPCYIDEYANIKSPHILNCPSMSTSDAQLFLKFGEVISQNSQ >Potri.002G187700.1.v4.1 pep chromosome:Pop_tri_v4:2:15003315:15006565:1 gene:Potri.002G187700.v4.1 transcript:Potri.002G187700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187700.v4.1 MAMETHLRVVFFLVNVVVLGVSGAGGETAVGDPGMRRDGLRVAFEAWNFCNEVGQEAPGMGSPRAADCFDLSSKNKHRRRKHAVLKSSFLKHQVSEADNKLGVGQPFPGLAPGAINNTDLYAMQKELYLGSLCQVEDTPNPWQFWMVMLKNGNYDTTSGLCPRNGKKAPPFSPGRFPCFGKGCMNQPMLYHQQTKLSDGGIMRGSFNGTYDLGSDIGSGLDGISFYEVVWEKTVSNGSWVFSHKLKTSKKYPWLMLYLRADATTGFSGGYHYDTRGMLKILPESPNFKVKVTLDVKQGGGPKSQFYLIDIGSCWKNNGAPCDGDVLTDITRYSEMIINPETPAWCSPTNLGNCPPYHVTPNNTKIYRNDTANFPYGAYHYYCAPENAQFLEKPVSTCDPYSNPQAQELVQLLPHPIWADYGYPTKQGDGWVGDARTWELDVGGLASRLYFYQDPGTPPARRIWRSIDMGTEIFVSDKDEVAEWTISDFDVTFT >Potri.002G187700.3.v4.1 pep chromosome:Pop_tri_v4:2:15003365:15006612:1 gene:Potri.002G187700.v4.1 transcript:Potri.002G187700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187700.v4.1 MAMETHLRVVFFLVNVVVLGVSGAGGETAVGDPGMRRDGLRVAFEAWNFCNEVGQEAPGMGSPRAADCFDLSSSFLKHQVSEADNKLGVGQPFPGLAPGAINNTDLYAMQKELYLGSLCQVEDTPNPWQFWMVMLKNGNYDTTSGLCPRNGKKAPPFSPGRFPCFGKGCMNQPMLYHQQTKLSDGGIMRGSFNGTYDLGSDIGSGLDGISFYEVVWEKTVSNGSWVFSHKLKTSKKYPWLMLYLRADATTGFSGGYHYDTRGMLKILPESPNFKVKVTLDVKQGGGPKSQFYLIDIGSCWKNNGAPCDGDVLTDITRYSEMIINPETPAWCSPTNLGNCPPYHVTPNNTKIYRNDTANFPYGAYHYYCAPENAQFLEKPVSTCDPYSNPQAQELVQLLPHPIWADYGYPTKQGDGWVGDARTWELDVGGLASRLYFYQDPGTPPARRIWRSIDMGTEIFVSDKDEVAEWTISDFDVTFT >Potri.003G049300.1.v4.1 pep chromosome:Pop_tri_v4:3:7190293:7197564:-1 gene:Potri.003G049300.v4.1 transcript:Potri.003G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G049300.v4.1 MISLNRSTFLLSNAGISSSHSPFSGRQRRSLLSVPSSLRVAAVNSNRDRNSSVLMESTFKDVRDGAVSSSSVIDVDSKSNIAPGGPADVYGEDTATEDQVVTPWFVSVASGYSLLRDPHHNKGLAFTDAERSAHYLRGLLPPAVVSQELQVKKLMYIIRQYQLPLQKYMAMMDLQERNEKLFYKLLVDHVEEMLPIVYTPTVGEACQKYGSIFTRPQGLYISLKEKGSIREVLRNWPEKNIQVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNENLLNDEYYIGLRQRRATGQEYAELVHEFMSAVKQTYGEKVLIQFEDFANHNAFDLLAKYNTTHLVFNDDIQGTASVVLAGLVAALRVVGGTLADHTFLFLGAGEAGTGIAELIALEISKQTNMPMEEARKKIWLVDSKGLIVSSRKESLQHFKKPWAHEHEPTKTLLDAVNDIKPTVLIGTSGVGRTFTKEVVEAMASFNVKPIILSLSNPTSQSECTAEEAYTWSQGRVIFASGSPFTPVEYEGKTFVPGQANNAYIFPGFGLGLIMSGAIRSHDEMLLAASEALAAQVTQENFDKGLIYPPFRNIRKISAEIAAKVAAKAYELGLATRLPPPKDLVKYAESCMYSPAYRSYR >Potri.005G073800.1.v4.1 pep chromosome:Pop_tri_v4:5:4920459:4922037:1 gene:Potri.005G073800.v4.1 transcript:Potri.005G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073800.v4.1 MRSTGARKPHAVCVPFPAQGHVTPMMHLAKLLHSRGFHITFVNTEFNHRRLIRSRGPDSVEGLPDFRFETIPDGLPLPPSDFDATQDVPSLCDSTRTNCLAPFKELLTKLNSSSEVPPVTCVISDGAMSFGIKAAEEFSIPQVQFWTASACSFMGYLHFSELTRRGFVPYKEENLLRDGDTPIDWIPGLSNIRLKDMPTFIRTTNDEIMFDFMGSEAENCLNSPAIIFNTFNEFENEVLESIIATKFPNIYTIGPLPLLAKHIAAESESRSLGSSLWKEDSNCLDWLDKRGLNSVVYINYGSVTVMTDTHLREFAWGLANSKLPFLWIIRPDVVMGDSAILPEEFLEQIDGRGLLASWCPQDQVLAHPSVGVFLTHCGWNSMMETISCGVPVICWPFFADQQPNCRYACTKWGIGVEVNHDVKRNEIESLVKEMIEGDSGKQMRQKALEWKDIAEAATNIGGSSYNDFEKFIKEALFCV >Potri.019G036875.2.v4.1 pep chromosome:Pop_tri_v4:19:5107234:5110745:-1 gene:Potri.019G036875.v4.1 transcript:Potri.019G036875.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036875.v4.1 MEALLSSIIDVSITHLIRHISYPLEYKKNAEKLTHQIDKLKAMRDRVRGAVEEAELNGEMITIDVKCWLQDVNKIIEEVDLVLSVENERARRFPFGSCLSIKSHYQVGRKAKKLAYEVSELQMSGKFDAITSHSAPPWMFDGDHESLPSRLLLCKAIMDALKDDDINMVGVYGIGGVGKTTLVKQVAVQAKEQKLFDVVLMVVVSEALNIRRIQEQIADMLGLHLDADTDEGRSCQLYEKLKHENNILLILDDLWERLDLERIGIPSKDEHSGCKILFVSRIPDVLSNQMGCQRTFEVLSLSDEEAWELFKNTIGDDLVNPFMRSFAVEIAKKCSGLPVVIVSVARYLKKKKSLTEFKKVLKELRSSSLTSSTTSQNINAVLEMRYNCLESDQLKSAFLLYGLMGDNASIRNLLRYGLGLGLFPDAVSLEEAQYIAQSMVRKLGDSSLLFDHNVGEQFAQAVHDAAVSIADRYHHVLTTDNEIQVKQLDNDAQRQLRQIWLHGNISELPADLECPQLDLFQIFNDNHYLKIADNFFSRMHKLRVLGLSNLSLSSLPSSVSLLENLQTLCLDRSTLDDISAIGDLKRLEILSFFQSNIKQLPREIAQLTKLRLLDLSDCFELEVIPPDVFSKLSMLEELYMRNSFHQWDAEGKNNASLAELENLSHLTNAEIHIQDSQVLPYGIIFERLKKYRVCIGDDWDWDGAYEMLRTAKLKLNTKIDHRNYGIRMLLNRTEDLYLFEIEGVNIIQELDREGFPHLKHLQLRNSFEIQYIISTMEMVSSNAFPILESLILYDLSSLKKICHGALRVESFAKLRIIAVEHCNKLTNLFSFFVARGLSQLQKIKIAFCMKMEEVVAEESDELGDQNEVVDVIQFTQLYSLSLQYLPHLMNFYSKVKPSSLSRTQPKPSITEARSEEIISEDELRTPTQLFNEKVFGCFLSSNLCVTINSSEFLGKF >Potri.019G036875.1.v4.1 pep chromosome:Pop_tri_v4:19:5097839:5110741:-1 gene:Potri.019G036875.v4.1 transcript:Potri.019G036875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036875.v4.1 MEALLSSIIDVSITHLIRHISYPLEYKKNAEKLTHQIDKLKAMRDRVRGAVEEAELNGEMITIDVKCWLQDVNKIIEEVDLVLSVENERARRFPFGSCLSIKSHYQVGRKAKKLAYEVSELQMSGKFDAITSHSAPPWMFDGDHESLPSRLLLCKAIMDALKDDDINMVGVYGIGGVGKTTLVKQVAVQAKEQKLFDVVLMVVVSEALNIRRIQEQIADMLGLHLDADTDEGRSCQLYEKLKHENNILLILDDLWERLDLERIGIPSKDEHSGCKILFVSRIPDVLSNQMGCQRTFEVLSLSDEEAWELFKNTIGDDLVNPFMRSFAVEIAKKCSGLPVVIVSVARYLKKKKSLTEFKKVLKELRSSSLTSSTTSQNINAVLEMRYNCLESDQLKSAFLLYGLMGDNASIRNLLRYGLGLGLFPDAVSLEEAQYIAQSMVRKLGDSSLLFDHNVGEQFAQAVHDAAVSIADRYHHVLTTDNEIQVKQLDNDAQRQLRQIWLHGNISELPADLECPQLDLFQIFNDNHYLKIADNFFSRMHKLRVLGLSNLSLSSLPSSVSLLENLQTLCLDRSTLDDISAIGDLKRLEILSFFQSNIKQLPREIAQLTKLRLLDLSDCFELEVIPPDVFSKLSMLEELYMRNSFHQWDAEGKNNASLAELENLSHLTNAEIHIQDSQVLPYGIIFERLKKYRVCIGDDWDWDGAYEMLRTAKLKLNTKIDHRNYGIRMLLNRTEDLYLFEIEGVNIIQELDREGFPHLKHLQLRNSFEIQYIISTMEMVSSNAFPILESLILYDLSSLKKICHGALRVESFAKLRIIAVEHCNKLTNLFSFFVARGLSQLQKIKIAFCMKMEEVVAEESDELGDQNEVVDVIQFTQLYSLSLQYLPHLMNFYSKVKPSSLSRTQPKPSITEARSEEIISEDELRTPTQLFNEKILFPNLEDLNLYAINIDKLWNDQHPSISVSIQNLQRLVVNQCGSLKYLFPSSLVNILVQLKHLSITNCMSVEEIIAIGGLKEEETTSTVFPKLEFMELSDLPKLRRFCIGSSIECPLLKRMRICACPEFKTFAADFSCANINDGNELEEVNSEENNNNVIQSLFGEKVIFPSLAEIEISHIDNLEKIWHNNLAAGSFCELRSIKIRGCKKIVNIFPSVLIRSFMRLEVLEIGFCDLLEAIFDLKGPSVDEIQPSSVVQLRDLSLNSLPKLKHIWNKDPQGKHKFHNLQIVRAFSCGVLKNLFPFSIARVLRQLEKLEIVHCGVEQIVAKEEGGEAFPYFMFPRLTSLDLIEIRKFRNFYPGKHTWECPRLKSLAVSGCGNIKYFDSKFLYLQEVQGEIDPTVPIQQPLFSDEEIISNLEELSLNGEDPATSIIWCCQFPGKFYSRLKVIKLKNFYGKLDPIPFGFLQSIRNLETLSVSCSSFEKIFLNEGCVDKDEDIRGPVDSDEYTRMRARLKNLVIDSVQDITHIWEPKYRLISVVQNLESLKMQSCNSLVNLAPSTVLFHNLETLDVHSCHGLSNLLTSSTAKSLGQLVKLIVVNCKLVTEIVAKQGGEINDDIIFSKLEYLELVRLENLTSFCPGNYNFIFPSLKGMVVEQCPKMRIFSQGISSTPKLQGVYWKKDSMNEKCWHGNLNATLQQLYTKMVGCNGIWSLKLSDFPQLKDRWHGQLPFNCFSNLGNLTVDNCAIVSTAIPSNILKFMNNLKYLHVKNCESLEGVFDLEGLSAQAGYDRLLPNLQELHLVDLPELRHIWNRDLPGILDFRNLKRLKVHNCSSLRNIFSPSMASGLVQLERIGIRNCALMDEIVVNKGTEAETEVMFHKLKHLALVCLPRLASFHLGYCAIKLPSLECVLVQECPQMKTFSQGVVSTPKLRKVVQKEFGDSVHWAHDLNATIHKLFIEMSDIVVQSKLLSLPNEPTQDKNGQHTGSEGQASENLHVTEYPDGTKEYPPVPQKAFNTKEHVIKSEPEIIQLTSRYQESLTASNDEEESSTTPTDSEVQINQYDEKPPVNDNNEAPEDQADPIQAQELPAHTGIGSQISQQVQQASQETEKYENSEDRVMPTFSILQRDTIPSSPAAPVEQVLVLTSASLTNSTSSQELMLTTASQPTIEIPSISVTKTRPALNFESVFASMEQLINSSPESSSQLASSSNSHTESPKSSSVSFEETYSYSMALIKRILRKSPVEVAISADRLLLLSSLKNLRNCPFLNSQQLEIIQFYLENFETLVTSHPFYEQKIDWTKVVKFCIEDDKREITELKTSYEELTRKINILSAEKEALSKKLREIEEEEDHIRANMEGLYAQLVSQKGKLETNMNALAEAVRQERETADRACNIDRYWAKLQGLFAEV >Potri.009G130100.3.v4.1 pep chromosome:Pop_tri_v4:9:10640198:10641349:1 gene:Potri.009G130100.v4.1 transcript:Potri.009G130100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130100.v4.1 MANPRVFFDMSVGGQPAGRIVMELFADIVPKTAENFRALCTGEKGVGKSGKPLHYKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFVKKHTGPGVLSMANAGPGTNGSQFFVCTVKTEWLDGKHVVFGQVVEGLDVVKAIEKVGSGSGKTSKPVAIADCGQLS >Potri.013G020900.1.v4.1 pep chromosome:Pop_tri_v4:13:1355517:1359242:-1 gene:Potri.013G020900.v4.1 transcript:Potri.013G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020900.v4.1 MSYHQNFIVTVFCLICYLMPAKSAFVCNFTDCQALFKFKAGIISDPEGQLQDWKEANPFCNWTGITCHQSIQNRVIDLELTNMDLQGSISPFLSNLSLLTKLSLQSNSFHGEIPTTLGVLSQLEYLNMSENKLTGAFPASLHGCQSLKFLDLTTNSLSGVIPEELGWMKNLTFLAISQNNLSGVIPAFLSNLTELTRLELAVNYFTGKIPWELGALTRLEILYLHLNFLEGAIPSSLSNCTALREISLIENRISGELPAEMGNKLQNLQKLYFINNNISGRIPVTFSNLSQITLLDLSINYLEGEVPEELGKLKNLEILYLHSNNLVSNSSLSFLTALTNCSFLQKLHLGSCLFAGSLPASIGNLSKDLYYFNLLNNRIRGEIPDSIGNLSGLVTLHLWDNRLDGTIPATFGKLKLLQRLYLGRNKLQGSIPDEMGQMENLGLLDLGNNSITGSIPSSLGNLSQLRYLDLSQNSLSGNIPIKLSQCTLMMQLDLSFNNLQGPLPPEITLLVNLNLFLNFSNNNLDGEIPASIGKLVSVQAIDLSVNKFSGMISSSIGSCASLEYLNLSKNMIEGTIPESLKQITYLKVLDLSFNHLTGRVPIWLANASVMQNFNFSYNRLTGEVPSTGRFKNLNGSSLIGNAGLCGGSALMRLQPCVVQKKRRKVRKWAYYLLAITISCSLLLLIFVWVCVRKLFNKKSEAESEEPILMASPSFHGGRNLTQRELEIATNGFNDANLLGRGSFGSVYKAWIDDSISCVAVKVLIEDNRQSYKSLKRECQILSGIKHRNLVKMIGSIWSSQFKALILEFVGNGNLEQHLYPSESEGENCRLTLKERLGIAIDIANALEYLHVGCSTQVVHCDLKPQNVLLDDDMVAHVADFGIGKLIFADKPTEYSTTTSVVRGSVGYIPPEYGQSTEVSSRGDVYSFGLMLLELITRKKPTSEMFADGLDLRKWVDAAFPHHILEIVDMSLKQESLSGDASGDLQKLEQCCLQVLNAGMMCTEENPLRRPPISLVTGELQLTWKEMGFDRLSMAGKESYDSDSV >Potri.013G020900.4.v4.1 pep chromosome:Pop_tri_v4:13:1355624:1359116:-1 gene:Potri.013G020900.v4.1 transcript:Potri.013G020900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020900.v4.1 MSYHQNFIVTVFCLICYLMPAKSAFVCNFTDCQALFKFKAGIISDPEGQLQDWKEANPFCNWTGITCHQSIQNRVIDLELTNMDLQGSISPFLSNLSLLTKLSLQSNSFHGEIPTTLGVLSQLEYLNMSENKLTGAFPASLHGCQSLKFLDLTTNSLSGVIPEELGWMKNLTFLAISQNNLSGVIPAFLSNLTELTRLELAVNYFTGKIPWELGALTRLEILYLHLNFLEGAIPSSLSNCTALREISLIENRISGELPAEMGNKLQNLQKLYFINNNISGRIPVTFSNLSQITLLDLSINYLEGEVPEELGKLKNLEILYLHSNNLVSNSSLSFLTALTNCSFLQKLHLGSCLFAGSLPASIGNLSKDLYYFNLLNNRIRGEIPDSIGNLSGLVTLHLWDNRLDGTIPATFGKLKLLQRLYLGRNKLQGSIPDEMGQMENLGLLDLGNNSITGSIPSSLGNLSQLRYLDLSQNSLSGNIPIKLSQCTLMMQLDLSFNNLQGPLPPEITLLVNLNLFLNFSNNNLDGEIPASIGKLVSVQAIDLSVNKFSGMISSSIGSCASLEYLNLSKNMIEGTIPESLKQITYLKVLDLSFNHLTGRVPIWLANASVMQNFNFSYNRLTGEVPSTGRFKNLNGSSLIGNAGLCGGSALMRLQPCVVQKKRRKVRKWAYYLLAITISCSLLLLIFVWVCVRKLFNKKSEAESEEPILMASPSFHGGRNLTQRELEIATNGFNDANLLGRGSFGSVYKAWIDDSISCVAVKVLIEDNRQSYKSLKRECQILSGIKHRNLVKMIGSIWSSQFKALILEFVGNGNLEQHLYPSESEGENCRLTLKERLGIAIDIANALEYLHVGCSTQVVHCDLKPQNVLLDDDMVAHVADFGIGKLIFADKPTEYSTTTSVVRGSVGYIPPGMHLIGLW >Potri.013G020900.3.v4.1 pep chromosome:Pop_tri_v4:13:1355556:1361694:-1 gene:Potri.013G020900.v4.1 transcript:Potri.013G020900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020900.v4.1 MSYHQNFIVTVFCLICYLMPAKSAFVCNFTDCQALFKFKAGIISDPEGQLQDWKEANPFCNWTGITCHQSIQNRVIDLELTNMDLQGSISPFLSNLSLLTKLSLQSNSFHGEIPTTLGVLSQLEYLNMSENKLTGAFPASLHGCQSLKFLDLTTNSLSGVIPEELGWMKNLTFLAISQNNLSGVIPAFLSNLTELTRLELAVNYFTGKIPWELGALTRLEILYLHLNFLEGAIPSSLSNCTALREISLIENRISGELPAEMGNKLQNLQKLYFINNNISGRIPVTFSNLSQITLLDLSINYLEGEVPEELGKLKNLEILYLHSNNLVSNSSLSFLTALTNCSFLQKLHLGSCLFAGSLPASIGNLSKDLYYFNLLNNRIRGEIPDSIGNLSGLVTLHLWDNRLDGTIPATFGKLKLLQRLYLGRNKLQGSIPDEMGQMENLGLLDLGNNSITGSIPSSLGNLSQLRYLDLSQNSLSGNIPIKLSQCTLMMQLDLSFNNLQGPLPPEITLLVNLNLFLNFSNNNLDGEIPASIGKLVSVQAIDLSVNKFSGMISSSIGSCASLEYLNLSKNMIEGTIPESLKQITYLKVLDLSFNHLTGRVPIWLANASVMQNFNFSYNRLTGEVPSTGRFKNLNGSSLIGNAGLCGGSALMRLQPCVVQKKRRKVRKWAYYLLAITISCSLLLLIFVWVCVRKLFNKKSEAESEEPILMASPSFHGGRNLTQRELEIATNGFNDANLLGRGSFGSVYKAWIDDSISCVAVKVLIEDNRQSYKSLKRECQILSGIKHRNLVKMIGSIWSSQFKALILEFVGNGNLEQHLYPSESEGENCRLTLKERLGIAIDIANALEYLHVGCSTQVVHCDLKPQNVLLDDDMVAHVADFGIGKLIFADKPTEYSTTTSVVRGSVGYIPPEYGQSTEVSSRGDVYSFGLMLLELITRKKPTSEMFADGLDLRKWVDAAFPHHILEIVDMSLKQESLSGDASGDLQKLEQCCLQVLNAGMMCTEENPLRRPPISLVTGELQLTWKEMGFDRLSMAGKESYDSDSV >Potri.003G071100.1.v4.1 pep chromosome:Pop_tri_v4:3:9822580:9823632:1 gene:Potri.003G071100.v4.1 transcript:Potri.003G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071100.v4.1 MNRVVDSDVFDAVNHLFSFPENFEKLMFHPRSSDHTTNEIRSNSIPVDILDAPKDYVFYMDVPGLSKSDIQVTVEDENTLVIKSGGKRKREDGDEEGCKYIRLERKAPQKLIRKFRLPENANVSAITAKCENGVLTVVVGKHPPPPKPKTVEVTIS >Potri.018G066200.1.v4.1 pep chromosome:Pop_tri_v4:18:7928512:7930975:1 gene:Potri.018G066200.v4.1 transcript:Potri.018G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066200.v4.1 MADNNNNSPPVSSKENPDHDSETNLIAKEDSSLATIARRFQDSISLGKTHKFWESQPVGQFKDIGDSSLPEGPIEPPTPLSEVKQEPYNLPTQYEWTTCDMESEETCNEVYNLLKNNYVEDDENMFRFNYSQEFLRWALCPPGYYPSWHIGVRAKASQKLVAFITGVPARIRVRDEVVKMVEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRNYLSQFVVAPDFDENDVEHWLLPTENVVDSYLVESPETHEITDFCSFYTLPSSILGNQNYLTLKAAYSYYNVSVKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRLQHALRPSELGLVLL >Potri.018G066200.2.v4.1 pep chromosome:Pop_tri_v4:18:7928677:7931474:1 gene:Potri.018G066200.v4.1 transcript:Potri.018G066200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066200.v4.1 MADNNNNSPPVSSKENPDHDSETNLIAKEDSSLATIARRFQDSISLGKTHKFWESQPVGQFKDIGDSSLPEGPIEPPTPLSEVKQEPYNLPTQYEWTTCDMESEETCNEVYNLLKNNYVEDDENMFRFNYSQEFLRWALCPPGYYPSWHIGVRAKASQKLVAFITGVPARIRVRDEVVKMVEINFLCVHKKLRSKRLAPVMIKEVTRRVHLENIWQAAYTAGVVLPTPITTCQYWHRSLNPKKLIDVGFSRLGARMTMSRTIKLYKLPDSPATPGFRKMELRDVPAVTRLLRNYLSQFVVAPDFDENDVEHWLLPTENVVDSYLVESPETHEITDFCSFYTLPSSILGNQNYLTLKAAYSYYNVSVKTPLLQLMNDALIVAKQKDFDVFNALDVMHNESFLKELKFGPGDGQLHYYLYNYRLQHALRPSELGLVLL >Potri.015G007700.1.v4.1 pep chromosome:Pop_tri_v4:15:460129:465358:1 gene:Potri.015G007700.v4.1 transcript:Potri.015G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007700.v4.1 MRGSSETKFLQELLLYAASAALSCLVLFAGLRQLDPNREASKKALEHKKEIAKRLGRPLIQTNPYEDVIACDVINPDHIDVEFGSIGGLEAIKQALYELVILPLRRPELFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIARESGAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAIIFIDEVDSFLGQRRTTDHEALTNMKTEFMALWDGFTTDQNAQVMVLAATNRPSELDEAILRRLPQAFEIGMPDQRERAEILKVVLKGEKIENSIDFNYIASLCEGYTGSDLLELCKKAAYFPIREILDEEKKGKKSCAPRPLSQADLQRVLATSTKTGVAANEYSRSSSQSPGWPRQSDDYQVQATINELSKLMVSQILNLQPPDNQDT >Potri.004G058200.1.v4.1 pep chromosome:Pop_tri_v4:4:4865607:4866344:-1 gene:Potri.004G058200.v4.1 transcript:Potri.004G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058200.v4.1 MKGKVGERFSEFFEKWACQLDEYLQLLLRASKQRVINTVCDQRELQTLVSKVTQHYKDYFTIKWSLAHEDVLAFFCPIWGSPLENAYSWFTGWKPSTIFKLIDSTRINRVPGSSLAELTQEQLRKIEELRVKIRLEEEKVEREMERQQVAMADRKMFELARLTGRVKDSETMDQFDGLVEAAMKGLMAGLEKVMKAADCVRLRTLKGVLDVLSPLQCVDFLAATTMLQIQLRQWGKRRDSISRKE >Potri.013G134400.3.v4.1 pep chromosome:Pop_tri_v4:13:13990918:13994194:-1 gene:Potri.013G134400.v4.1 transcript:Potri.013G134400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134400.v4.1 MRQLFVKLKQNPPPDMMPPPPTSAKDGKDAKDENNGKDAEDVKGEKDGKSENEGKDAKNGKPPTQIAAAAAAADENKPASPSKDIAMSSSLDSSKLDALVAAVAEEAKSIPAHPPPAPSPHHFPLDLWPKDSLLPRRPCPPLPPDHFWLVHTWPKGFCSNSSVHCPQPNNLPLELTIHGWWPVDRKDSTLNNYRQVGPINYLFTGEAGEELYTEMKNNWPNLTSPIEYRYGHIAFWEKEWARHGICSCFEPRLYFETALALKRTINVSQALRANGIKPGIEYPRRRFVKALRRKIPRLSFAMRCGDKNGTKILIEIRVCTSETHAISCSQRLNDNCGSCYIKLVSEIEPF >Potri.006G243100.1.v4.1 pep chromosome:Pop_tri_v4:6:24404247:24410142:-1 gene:Potri.006G243100.v4.1 transcript:Potri.006G243100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G243100.v4.1 MAPPAQTNRSPSPSQPSGKSEVTDLKSQLRQLAGSRLPGVDDSKRELFKKVISYMTIGIDVSSVFGEMVMCSATSDIVLKKMCYLYVGNYAKVNPDLALLTINFLQRDCKDEDPMIRGLALRSLGSLNVANLVEYLVGPLNAGLKDNNSYVRIVAVIGVLKLYHISVTTCIDADFPAVLKHLLLNDQDAQVVANCLLALQEIWNGEASTSEEALKEREALLSKPVIYYFLNRIKEFSEWAQCLVLDLAVKYVPADSNEIFDIMNLLEDRLQHANGAVVLATAKVFLHMTLSMTDVHQQVYERIKAPLLTLVSSGSPEQSYAVLSHLHLLVMRAPYVFSSDYKHFYCQYNEPSYVKKLKLEMLTAVANESSTYEIVTELCEYAANVDIPIARESIRAVGKIALQQYDVNAIVDRLLQFLEMEKDYVTAEALVLVKDLLRKYPQWSHDCIAVVGNISSQNVQEPKAKAALIWMLGEYSQDMSDAPYILENLTENWDEEHSAEVRLHLLTAVMKCFFKRPPETQKALGAALASGLADFHQDVHDRALFYYRLLQHNVTVAERVVNPPKQAVSVFADTQSSEVKDRIFDEFNSLSVVYQKPSYMFTDKEHRGPFEFSDELGNLAIRTESDVPVHVVEANDKDLLLGTSEKEESRGSGTNGSAYTAPLYDTSLLSTATQVQPELPISNPAAAGLSPQSSLAIDDLLGLGLPAAPAPTPAPSPPSLKLNAGAVLDPGTFQQKWRQLPICLSEELSVSPQGAAALTTPQALLWHMQGHSIQCIASGGQSPNLKFFFFAQKAEESSIFLIECKINTSSAKTQITIKADDQSMSQAFSTLFQSALSRFGTS >Potri.013G004200.1.v4.1 pep chromosome:Pop_tri_v4:13:295342:299304:-1 gene:Potri.013G004200.v4.1 transcript:Potri.013G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004200.v4.1 MDDTCAVCADTLEWVAYGPCLHKEVCSTCIIRLRFICNDFYCCICKSESNTIFVTKALGDYTRMISDFKGLGEINGSEGKAGEYWYHEGTKAYFDDFDHYKMIKAMCKLSCNVCNKKNGGSREFNSVEQLKAHLFHRHSLFMCSLCLEGRKIFISEQKLYNREQLTQHVRTGDSVVDGNESERGGFTGHPMCEFCENPFYGDNELYLHMSTDHFTCHICQRQHPGQYEYFNNYDYLEIHFRQEHYLCEDEACLARKFIVFATEFELKRHNAMEHGGRMSRSKRSALLQIPVSFQFRQINEHDRRGGGRGSHSNSSGYQMNMAIEDSLEPANAERSCDISSNAQTVSTHREEHEIDMIVNPFESLATTDSEPPSRYHHVLGWNTSRAPMEETSFPPLPLAPRSSQRRSRNGLGGLSGNTMAAHLSRQNMVKVLNSSRALPANNHPNSVASISYQSRPVSDSRVLSSSRSPSSSVLSTYTSSPQAGSSRANGLLVSSNFASSSRTSNSNSKVSQASAAPNPADRTSHKSLSSFPSLSATQVDNMSTSASPMLKVEDVQSANKALVEKIRAALEFDKDKFAAFKIISREYHRDIIDVAEYLAYVHQFGLSHLVLELARLCPKAEKQRELVEIYNFNVGGNGLSIDNGPSKSKKCSKKGKEKCDDNVISVSENIFSDSLRVEILPKDGNCGGKGKSKILVDKQANLDLSREPKSEHAAQAAGVSLKKNVGAGGGGNKPRKKTTKFMKNRLGGTSTASLPDASNSDAGIDEKEEKADVNKDIAVVLPVHGVWKNGGGRKLVVMTQNNRNR >Potri.014G025800.2.v4.1 pep chromosome:Pop_tri_v4:14:1599206:1602362:1 gene:Potri.014G025800.v4.1 transcript:Potri.014G025800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025800.v4.1 MEDLYGAAAATEPEEISTFLHQLLHNNSSSPSKFMHHALSTPVENGVELLDRHRFSETECGAGVNFSDPDGYYAKEGVGNAVVSKRGGVSVEDDLGDFSCDSEGVEVQANTARPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLHPMCLPGALQPMQLPLSGMSFDEGIGLLTTNTLTGIFSANEESSEQNSLNLPTQCTISNQPITIPSGTNITSSETNFGFEPQIHVNHAPFNLSTSSKEICREGTPQAKLEMNQTTKTSPSGVA >Potri.014G025800.1.v4.1 pep chromosome:Pop_tri_v4:14:1599206:1602362:1 gene:Potri.014G025800.v4.1 transcript:Potri.014G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025800.v4.1 MEDLYGAAAATEPEEISTFLHQLLHNNSSSPSKFMHHALSTPVENGVELLDRHRFSETECGAGVNFSDPDGYYAKEGVGNAVVSKRGGVSVEDDLGDFSCDSEKGVEVQANTARPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLHPMCLPGALQPMQLPLSGMSFDEGIGLLTTNTLTGIFSANEESSEQNSLNLPTQCTISNQPITIPSGTNITSSETNFGFEPQIHVNHAPFNLSTSSKEICREGTPQAKLEMNQTTKTSPSGVA >Potri.006G051100.4.v4.1 pep chromosome:Pop_tri_v4:6:3551254:3556988:-1 gene:Potri.006G051100.v4.1 transcript:Potri.006G051100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051100.v4.1 MASLVSSPFTLQSIKPDQLSSPSQKHYFLHSFVPRKINQTSLKSSLKVKCAAIGNGLFTQTTQEVRRIVPENNQNLPTVKIVYVVLEAQYQSSLTAAVQALNKTSKDASYEVVGYLVEELRDASTYKTFCKDLEDANIFIGSLIFVEELALKVKTAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKKKKQGAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKGKKIAYSDPVLFLDSGIWHPLAPCMYEDAKEYLNWYGTRRDANEKLKDPNAPVIGLVLQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVERYLIDPVTKKPMVNSVISLTGFALVGGPARQDHPRAIEALSKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWGELKRKSKVEKKLAITVFSFPPDKGNVGTAAYLNVFSSIFSVLKELERDGYNVEGLPETSEALIEDILHDKEAQFSSPNLNIAYKMGVREYQSLTSYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTARQCNLDKDVELPEEGEEISAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDEISSLPSILAETVGRNIEDVYRESDKGILKDVELLRKITEASRGAVSAFVQKTTNKKGQVVDVADKLSSILGFGINEPWVDYLSSTKFYQADRDKLRTLFRFLGDCLKLIVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAKVVVDRLIERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVMPVADTFGRVNRVELVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPADQNFVRKHALEQAEALGVDIREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMAEKRKVFEMALSTAEATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDKKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSTGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIQDEEMLNKLMSTNPNSFRKMLQTFLEANGRGYWETSQDNIEKLRQLYSEVEDKIEGIDR >Potri.006G051100.1.v4.1 pep chromosome:Pop_tri_v4:6:3551252:3557179:-1 gene:Potri.006G051100.v4.1 transcript:Potri.006G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051100.v4.1 MASLVSSPFTLQSIKPDQLSSPSQKHYFLHSFVPRKINQTSLKSSLKVKCAAIGNGLFTQTTQEVRRIVPENNQNLPTVKIVYVVLEAQYQSSLTAAVQALNKTSKDASYEVVGYLVEELRDASTYKTFCKDLEDANIFIGSLIFVEELALKVKTAVEKERDRLDAVLVFPSMPEVMRLNKLGSFSMSQLGQSKSPFFQLFKKKKQGAGFADSMLKLVRTLPKVLKYLPSDKAQDARLYILSLQFWLGGSPDNLQNFLKMISGSYVPALKGKKIAYSDPVLFLDSGIWHPLAPCMYEDAKEYLNWYGTRRDANEKLKDPNAPVIGLVLQRSHIVTGDESHYVAVIMELEARGAKVIPIFAGGLDFSGPVERYLIDPVTKKPMVNSVISLTGFALVGGPARQDHPRAIEALSKLDVPYIVALPLVFQTTEEWLNSTLGLHPIQVALQVALPELDGGMEPIVFAGRDPRTGKSHALHKRVEQLCTRAIRWGELKRKSKTEKKLAITVFSFPPDKGNVGTAAYLNVFSSIFSVLKELERDGYNVEGLPETSEALIEDILHDKEAQFSSPNLNIAYKMGVREYQSLTSYATALEENWGKPPGNLNSDGENLLVYGKQYGNVFIGVQPTFGYEGDPMRLLFSKSASPHHGFAAYYSFVEKIFKADAVLHFGTHGSLEFMPGKQVGMSDACFPDSLIGNIPNVYYYAANNPSEATIAKRRSYANTISYLTPPAENAGLYKGLKQLSELISSYQSLKDTGRGPQIVSSIISTARQCNLDKDVELPEEGEEISAKERDLVVGKVYSKIMEIESRLLPCGLHVIGEPPSAMEAVATLVNIAALDRPEDEISSLPSILAETVGRNIEDVYRESDKGILKDVELLRKITEASRGAVSAFVQKTTNKKGQVVDVADKLSSILGFGINEPWVDYLSSTKFYQADRDKLRTLFRFLGDCLKLIVADNELGSLKQALEGKYVEPGPGGDPIRNPKVLPTGKNIHALDPQSIPTTAAMQSAKVVVDRLIERQKADNGGKYPETVALVLWGTDNIKTYGESLAQVLWMIGVMPVADTFGRVNRVELVSLEELGRPRIDVVVNCSGVFRDLFINQMNLLDRAVKMVAELDEPADQNFVRKHALEQAEALGVDIREAATRIFSNASGSYSSNINLAVENSSWNDEKQLQDMYLSRKSFAFDCDAPGAGMAEKRKVFEMALSTAEATFQNLDSSEISLTDVSHYFDSDPTNLVQNLRKDKKKPNAYIADTTTANAQVRTLSETVRLDARTKLLNPKWYEGMLSTGYEGVREIEKRLTNTVGWSATSGQVDNWVYEEANSTFIQDEEMLNKLMSTNPNSFRKMLQTFLEANGRGYWETSQDNIEKLRQLYSEVEDKIEGIDR >Potri.004G123800.2.v4.1 pep chromosome:Pop_tri_v4:4:11960660:11965824:1 gene:Potri.004G123800.v4.1 transcript:Potri.004G123800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123800.v4.1 MKMKMGLSPDSVKVKNFKEKSKSKKNKKNQGNEKDEEDIGCWFKFRSTGSCMPSRSKVDSSLSGISTHYVPSKSKNEKNKDQPIVPAMSSTTTTSNAESASSLPTFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVSFLGNLLHKNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRKGSLPLPWSIRMKIALGAAQGLAFLHEEADRPVIYRDFKTSNILLDADYNAKLSDFGLAKDAPDGGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHFGDKRRFYRLLDPRLEGHFSIKGAQKGIQLAAQCLSRDPKARPQMSEVVEALKPLPNLKDMASSSYYFQSMQADRNKSNMNAKNGTRTQAGFVTRNGQPLRSLSDVRASPYNQPQQSPKPKGRSS >Potri.004G123800.1.v4.1 pep chromosome:Pop_tri_v4:4:11960667:11965897:1 gene:Potri.004G123800.v4.1 transcript:Potri.004G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123800.v4.1 MKMKMGLSPDSVKVKNFKEKSKSKKNKKNQGNEKDEEDIGCWFKFRSTGSCMPSRSKVDSSLSGISTHYVPSKSKNEKNKDQPIVPAMSSTTTTSNAESASSLPTFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVSFLGNLLHKNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAQGLAFLHEEADRPVIYRDFKTSNILLDADYNAKLSDFGLAKDAPDGGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHFGDKRRFYRLLDPRLEGHFSIKGAQKGIQLAAQCLSRDPKARPQMSEVVEALKPLPNLKDMASSSYYFQSMQADRNKSNMNAKNGTRTQAGFVTRNGQPLRSLSDVRASPYNQPQQSPKPKGRSS >Potri.004G203000.1.v4.1 pep chromosome:Pop_tri_v4:4:21217439:21218291:-1 gene:Potri.004G203000.v4.1 transcript:Potri.004G203000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203000.v4.1 MEGMHLSSMKQEPSSNPGKPTTRNIAFSWQPKSPSRAEPSQIMNKAQTWCSYPGVENVGNEVKEQAAEALILMHRESVTLTTTTTTGRERLKRHREEVSGKVMIPDTWGQENLLTDWIDYSSFDKLLAPKGITSAREALVAEGRRARTSHQRLGVESSC >Potri.016G121650.1.v4.1 pep chromosome:Pop_tri_v4:16:12625889:12626727:1 gene:Potri.016G121650.v4.1 transcript:Potri.016G121650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121650.v4.1 MANAGSLPSVTLSPDIVHTQKDEIPVSSDRNFAIHGQITMLVLLLLFPLCLLFILYCMCAKRLRDASKVRQPELVSPRNVSGSPDFKGQIRDGYLMHQSVEHKTTQQPV >Potri.006G174202.1.v4.1 pep chromosome:Pop_tri_v4:6:17647110:17652745:1 gene:Potri.006G174202.v4.1 transcript:Potri.006G174202.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G174202.v4.1 MRMAKQKSFCCFLCFLLVILWSEQVTMGKVIIRVGVVLDMNSAVGKTAESCISAAVNDFYARNADYRTRISLVTRDSKGDVVTAASAALDLMKNEEVEAIIGPQRSSEAKFVIELGAKTQVPILSFSATSPALTPVQSNYFIRTAQSDSPQVKVIASIVETYGWREIVLIYEGTEYGIALVPYLLNAFHEIGTRVPYESCIPSSFDDTEIMSELHKIKKKKERVFLVHMTASMGSRLFLLAESAGMMSEGYAWLVTTGLSTLLDPVDAKVMDSMEGVLGVKPYVPKSIELEGFKSRSKKNFNSENLFGLWAYDTVWAIAMAVERAGIVHSRFLKQNASNRSVDLAALGISEMGPRLLKSILNTTFDGLSGKFQLVKGEMEPSAFEIFNVVGRSERVIGYWTQKGGLSQSLDSSSKISHSNSKTKLKQPIWPGGAIQQPKKLRIGVPVRSGFREFMEVKWHQQSNEPIVLGFSAEVFLAVHDILPFPLPYEFIPFMNDSRESAGTYDDLLRQIKHQKFDAVVGDTTIVAYRSSYVDFTLPYSESGVTMVVLMKRDERDNMWIFLKPLSPKLWLVTGVAFFVTGLAVWVLEHRTNTEFRGTPEQQLGTVMWFSFSTLVFAHRERPENNLTKFVLIIWIFVVLIISQSYTASLASMLTVQRMHPAFVDVTEIQRNNYSVGHQKDSFVKDFLKKELDFNDTMLREYSTPEEFHDALSSGPHKGGVAAIFDEIPYVRRFLDKYRCSKFQMVGPTYQTDGFGFAFPLNSPLVSHFSRAILNVTEDHDKMEVIKRKSFGREITCEDRGPETSSGGLRLSSFAGLFLISGVASISSLLIYIIRFLRSNYPASNTMNEQSMWLRILEVAKRFDQKDPSVHHLRRTESRVHPVTGPESIGASPETGNVHEMTSNEGAEDVGENQNHDNLTSGNSGTNFIASNACRYCCP >Potri.006G174202.2.v4.1 pep chromosome:Pop_tri_v4:6:17649563:17652746:1 gene:Potri.006G174202.v4.1 transcript:Potri.006G174202.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G174202.v4.1 MSELHKIKKKKERVFLVHMTASMGSRLFLLAESAGMMSEGYAWLVTTGLSTLLDPVDAKVMDSMEGVLGVKPYVPKSIELEGFKSRSKKNFNSENLFGLWAYDTVWAIAMAVERAGIVHSRFLKQNASNRSVDLAALGISEMGPRLLKSILNTTFDGLSGKFQLVKGEMEPSAFEIFNVVGRSERVIGYWTQKGGLSQSLDSSSKISHSNSKTKLKQPIWPGGAIQQPKKLRIGVPVRSGFREFMEVKWHQQSNEPIVLGFSAEVFLAVHDILPFPLPYEFIPFMNDSRESAGTYDDLLRQIKHQKFDAVVGDTTIVAYRSSYVDFTLPYSESGVTMVVLMKRDERDNMWIFLKPLSPKLWLVTGVAFFVTGLAVWVLEHRTNTEFRGTPEQQLGTVMWFSFSTLVFAHRERPENNLTKFVLIIWIFVVLIISQSYTASLASMLTVQRMHPAFVDVTEIQRNNYSVGHQKDSFVKDFLKKELDFNDTMLREYSTPEEFHDALSSGPHKGGVAAIFDEIPYVRRFLDKYRCSKFQMVGPTYQTDGFGFAFPLNSPLVSHFSRAILNVTEDHDKMEVIKRKSFGREITCEDRGPETSSGGLRLSSFAGLFLISGVASISSLLIYIIRFLRSNYPASNTMNEQSMWLRILEVAKRFDQKDPSVHHLRRTESRVHPVTGPESIGASPETGNVHEMTSNEGAEDVGENQNHDNLTSGNSGTNFIASNACRYCCP >Potri.003G109700.5.v4.1 pep chromosome:Pop_tri_v4:3:13210620:13216970:1 gene:Potri.003G109700.v4.1 transcript:Potri.003G109700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109700.v4.1 MELSMEMTPRVEVEEDSDNNKGSMWDLDQKLDQPMDEEAGRLRNMYREKKFSALLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPRGINDSEDVIGALSLIIYSLTLIPLLKYVFIVCKANDNGQGGTFALYSLLCRHANVRTIPNQHRTDEELTTYSRSTFNEQSFAATTKRWLERNAFRRNALLILVLVGTCMLIGDGILTPAISVLSASGGIKVNHPKLSSDVVIVVAVVILVGLFSMQHYGTDKVSWLFAPIVLLWFLLIGGIGVFNIWKYDTGVLKAFSPVHIYRYFRRGGRDSWTSLGGIMLSITGTEALFADLGHFPVSAVQIAFTVVVFPCLLLAYSGQAAYLMQNKEHVVDAFYRSIPDRIYWPVFIVATAAAVVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWILMILCICVTAGFKNQSQIGNAYGTAVVIVMLVTTLLMILIMLLVWRCHWILVLLFTGLSLVVECTYFSAVLFKIGQGGWVPLVIAAAFLVIMYVWHYGTLKRYEFEMHSKVSMAWIVGLGPSLGLVRVPGIGLVYTELARGVPRIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKKLFDSLFLFVRLETMMEGCSDSDDYSLYGPQTERSREALLNDNVNTASSLADPTISSIDSIVQIKSPSHANFTSRSSDRTSSQAEVDQTEFLNNCRDAGVVHIMGNTVVRARRDSRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >Potri.003G109700.1.v4.1 pep chromosome:Pop_tri_v4:3:13210496:13217001:1 gene:Potri.003G109700.v4.1 transcript:Potri.003G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109700.v4.1 MELSMEMTPRVEVEEDSDNNKGSMWDLDQKLDQPMDEEAGRLRNMYREKKFSALLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPRGINDSEDVIGALSLIIYSLTLIPLLKYVFIVCKANDNGQGGTFALYSLLCRHANVRTIPNQHRTDEELTTYSRSTFNEQSFAATTKRWLERNAFRRNALLILVLVGTCMLIGDGILTPAISVLSASGGIKVNHPKLSSDVVIVVAVVILVGLFSMQHYGTDKVSWLFAPIVLLWFLLIGGIGVFNIWKYDTGVLKAFSPVHIYRYFRRGGRDSWTSLGGIMLSITGTEALFADLGHFPVSAVQIAFTVVVFPCLLLAYSGQAAYLMQNKEHVVDAFYRSIPDRIYWPVFIVATAAAVVASQATITATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDINWILMILCICVTAGFKNQSQIGNAYGTAVVIVMLVTTLLMILIMLLVWRCHWILVLLFTGLSLVVECTYFSAVLFKIGQGGWVPLVIAAAFLVIMYVWHYGTLKRYEFEMHSKVSMAWIVGLGPSLGLVRVPGIGLVYTELARGVPRIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERFLVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKKLFDSLFLFVRLETMMEGCSDSDDYSLYGPQTERSREALLNDNVNTASSLADPTISSIDSIVQIKSPSHANFTSRSSDRTSSQAEVDQTEFLNNCRDAGVVHIMGNTVVRARRDSRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >Potri.002G127400.1.v4.1 pep chromosome:Pop_tri_v4:2:9648688:9654538:-1 gene:Potri.002G127400.v4.1 transcript:Potri.002G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127400.v4.1 MKKIVVTGASGFVGGVLCHTLLKQGHSVRALVRRTSDLSGLPSPSTGENFELAYGDVTDYRSLLDAIFGCDVIFHAAAAVEPWLPDPSKFFSVNVGGLKNVVQAAKETKMIEKIIYTSSMVALGSTDGYVADESQVHHEKYFYTEYERSKVAADKVASQAAAEGLPIVTLYPGVVYGPGKLTTGNALAKMLIDRFAGRLPGYIGRGNDRLSFCHVDDVVGGHIAAMDKGRLGERYLLTGENASFSRVLDIAAIITRTEKPRFSIPLWVIEAYGWLSILIFHFTGKLPLLCPPSVHVLRHQWEYSCEKARIELDYNPRSLKEGLDELLPWLKSLGAITY >Potri.015G128800.1.v4.1 pep chromosome:Pop_tri_v4:15:13977858:13980043:-1 gene:Potri.015G128800.v4.1 transcript:Potri.015G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128800.v4.1 MVTILQPPSFHTPSRTLHHYPSITSKTSRKKRELKEKHKQTQFQKNLNKRTLSFPKSSPTPLLINHRPPPQTQLEALENVIKDLQSSMEKGIRIDTQIFSSLLETCYRLNAIELGVKIHRLIPINLLRRNAGISSKLVRLYSSCGDVEVAHQVFDEMFKRGESAFPWNSLIAGYTESGLYEDAMALYFQMEEEGVEPDQFTFPRVLKACGGIGLIRIGEAVHRDLVRLGFANDGFVLNALVDMYAKCGDIVKARRIFDKIDCKDSISWNSMLTGYIRHGLIAEALHTFHSMVHDGMELDSVAVSTILANVSSFEVAVQIHGWIVRRGMEWDFSIANSLIAVYSNGRKLDRARWLFDHMPKKDIVSWNSIISAHCKDLKALTYFELMERDGALPDKITFVSLLSACAHLGLVKDGERLFSLMKAKYQINPIMEHYACMVNLYGRAGLINEAYAIIRDQMEFEAGPTVWGALLYSCYLHRNVDTGEIAAQYLFDLEPDNEHNFELLMKIYDNAGRLEDAERVRKMMVDRGL >Potri.006G087500.1.v4.1 pep chromosome:Pop_tri_v4:6:6584725:6587627:-1 gene:Potri.006G087500.v4.1 transcript:Potri.006G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087500.v4.1 MGSAFLRILVTALCALWIFSELVVAKHAGITRHYKFDIKLQNVTRLCRTKSIVTVNGQIPGPRIIAREGDRLLIKVVNHVQYNVTLHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNFTVTGQRGTLFWHAHISWLRATLYGPIVILPKKGVSYPFPLPHKEVPIIFGEWWKADTEKIISQALKTGGAPNISDAYTINGHPGLLYNCSAKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHSLTVVEADAVYVKPFKTHIVLITPGQTTNVLLMAKAKAPNSTFLMAARPYATGPGSFDNTTTAGILEYDQNPSATNSKSKNKKLPLLKPSLPVFNDTTFATKFVKKIRSLANARFPAKVPKKVDRRFFFTIGLGSLPCSQNKTCQGPNNTMFAASVNNVSFVQPNIALLQSHFLNRSKGVYTTDFPTNPPFKFNYTGTPPSNTMTAKGTKVVVLPFNTSVELVMQDTSIIGAESHPLHLHGFNFFVVGQGFGNFDPKKDPVKFNLVDPAERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVNDGKRPSQKLPPPPSDLPKC >Potri.010G070001.3.v4.1 pep chromosome:Pop_tri_v4:10:9808684:9813436:-1 gene:Potri.010G070001.v4.1 transcript:Potri.010G070001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070001.v4.1 MTLSLFLLSKPVLHFHASGIAKSTRWLSSSYSSSNGGTQYLSRVKGVEGGKENEHMEMHLKDLPKVKEVEMKVGGSWYSLKNIFTNFKDRMFRNPQSHKQISRDTTLSAVDSAASEIIATQTGVSKHIAESGGSDNVLKSSEIPTDSNSRREYFNTCNISFTRETPLEDAVNTTASDAMEIHGSLDNNKHDEHDSTIVQAASAIISDDPKEVMLENGKRLLEEATFGTVVESNNNQEEEAGNLVSLADHLFDNAFDTRQNKSAKESASIRKEKCQLEKKTATLVERVNIDGSKLEERPPLSDVSQEDDGLVNLFKMSVSNKTAAETSRVNGDLFTPSISFSNHDGKSLKKEESPFDFEGLYGHIKMLRPVTAQDNAILKSNAESRKTVLKDRAVSKNNKKRSKIKKEQSAKTMTKDEGGNLDIAEKDHIKLEKTPQAPLETSEKDSNQTPLTSLADGDTENKLLLRFLHKDVGDGDIISCFRNCGPISKIEKVSSVKGSNLFDAFLHFETRQGLHKALEKPEVLIKNSNAFIHDTASRISIPNLIGDIDISVALVKHPTRTVKIKRLTDDISSHQLKEALSFCRSGINGFFLGASSSSAYVEFESEDAKERALAKHFLQVSGKQLSIFRVDAPRTTVVRILNINPQCRSNVLTICKSFGKLWRMKLRHENIADVYFKIDEWPNMLNILNSLNGLEADGSRWVAQPASIFPPIILQALWNHPDERRHVISSMQCLLKKLEHPMDTAELNNLAARFCGYSL >Potri.004G088800.1.v4.1 pep chromosome:Pop_tri_v4:4:7510449:7514504:-1 gene:Potri.004G088800.v4.1 transcript:Potri.004G088800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088800.v4.1 MNSPPSSCSSALTHSTSSSNTDTPPSPSAAVCRALLLIQSDDLSLKIEAAKEIRRLTKTSQRCRRQLADAVKPLVCMLRVGDDDSVENESALLALLNLAVKDEKNKISIVEAGALESIISFLQSQNSILQEYATASLLTLSASTINKPVISACGAIPLLVEILRNGITQAKVDAVMALSNLSTHSDNLDIILKTNPIPSIVSLLKTCKKSSKTAEKCCALIESLVGFDEGRIALTSEEGGILAVIEVLENGSLQSREHAVGALLTLCQSDRCKYREPILREGVIPGLLELTVQGTPKSQSKAQTLLRLLRDTPYPRSELQPDTLENIVCNIISQIDGDEQSGKAKKMLAEMVQVSMEQSLRHLQQRALVCTPTPNDLPISSCTSEVSSK >Potri.006G281900.1.v4.1 pep chromosome:Pop_tri_v4:6:27106944:27113090:1 gene:Potri.006G281900.v4.1 transcript:Potri.006G281900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPPSVINGAVAASSGNSAPASGRVASVYSEVQSSRIDHALPLPSVLQNPFQIVDGPPSSAAGNPDEIAKLFPNMFGQPSAMLVPNNVDTLSSDQKLRIGVVLSGGQAPGGHNVISGIYDYLQDRAKGSVLYGFRGGPAGIMKCKYVELNADYIYPYRNQGGFDMIASGRDKIESPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVNVICKRSDLGYNYGVILIPEGLIDFIPEVQQLIAELNEVLAHDVVDEGGQWKKKLTNQSLQLFDFLPPAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKGHFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLHSGKTGLISSVGNLGAPVAEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNRYISPGPIQFMGPGSDAISHTLLLELGADA >Potri.002G091400.1.v4.1 pep chromosome:Pop_tri_v4:2:6611022:6614746:1 gene:Potri.002G091400.v4.1 transcript:Potri.002G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091400.v4.1 MLPSSLKLSRVATATVARTARLGLTSIKLSSSSPYRLIHDGIIKSLNANPVALQMIDYALSLAKSQKSDESQGQAMLVLEQCLSSQSSENQDVVTHNSKGMVLLAMSSLLSARGSYDDAMEKLQNIQDLIHSHLDVRVAAMEALVGLNLEMGNDDTSSVLADKCLELLGKVELKNSDEGSEVASARAKAIKGLAELVQGNLESAEPFFQGFLDNKGCIGNAALSYGEFLHATRNFSLAKDFYQKVIQEVANKKDFTDVRALAACNMASEEVLLAATCALGQLEVHMGNFGNAEETLTSALNRAEQLFGSRHPKVGVVLTCLALMFQHKSKQEHSSSLLIQEGLYRRAIELLKAPPLDLEVNRTMRSGMDIIALARGGYAETLCIQENRKGEGEKMKRWAEAAWRNRSLSLSEALKISDSSNRMPVVDARICRAL >Potri.006G111400.12.v4.1 pep chromosome:Pop_tri_v4:6:8656484:8661931:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNVCTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKVVYTRLTVVLLKPAFFNDGTAKTD >Potri.006G111400.6.v4.1 pep chromosome:Pop_tri_v4:6:8656416:8662171:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVVSVSILESSVYKFYEILNFLKLAIFLYAL >Potri.006G111400.8.v4.1 pep chromosome:Pop_tri_v4:6:8656412:8662011:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNVCTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVVLAFDVKKVKRSFLEG >Potri.006G111400.2.v4.1 pep chromosome:Pop_tri_v4:6:8656416:8662171:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREESPGPSGSVSPFNTSGMKRAKTGR >Potri.006G111400.10.v4.1 pep chromosome:Pop_tri_v4:6:8655521:8662489:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNVCTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVEPGASI >Potri.006G111400.7.v4.1 pep chromosome:Pop_tri_v4:6:8656483:8661981:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVVSVSILESSVYKFYEILNFLKLAIFLYAL >Potri.006G111400.3.v4.1 pep chromosome:Pop_tri_v4:6:8655521:8662574:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNVCTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVEPGASI >Potri.006G111400.9.v4.1 pep chromosome:Pop_tri_v4:6:8656412:8662011:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVVLAFDVKKVKRSFLEG >Potri.006G111400.4.v4.1 pep chromosome:Pop_tri_v4:6:8655521:8662574:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVEPGASI >Potri.006G111400.11.v4.1 pep chromosome:Pop_tri_v4:6:8655521:8662489:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVEPGASI >Potri.006G111400.1.v4.1 pep chromosome:Pop_tri_v4:6:8656425:8662171:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNVCTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVDESQDFIKRQQLRELAMLNSNFREESPGPSGSVSPFNTSGMKRAKTGR >Potri.006G111400.5.v4.1 pep chromosome:Pop_tri_v4:6:8656423:8662036:-1 gene:Potri.006G111400.v4.1 transcript:Potri.006G111400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111400.v4.1 MSGLYNPNFSPARAASPQIRSTPDVDSQYLSELLAEHQKLGPFMQVLPTCSRLLNQEIFRVSGMMSNQGFGDFDRLRHRSPSPMASSNLLSNVGGTGLSGWNGIPQERLSGPPGMTMDWQGAPASPSSYTVKRILRLEIPVDTYPNVCTFNFVGRLLGPRGNSLKRVEATTGCRVYIRGKGSIKDPDKEEKLRGRPGYEHLNDPLHILIEADLPANIVDIRLRQAQEIIEELLKPVVSVSILESSVYKFYEILNFLKLAIFLYAL >Potri.001G251204.1.v4.1 pep chromosome:Pop_tri_v4:1:26757651:26760357:1 gene:Potri.001G251204.v4.1 transcript:Potri.001G251204.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251204.v4.1 MAEKLAPEKRHSFVHDGKTIFEWDQTLEEVNIYINLPPNVHSKQFYCKIQSKHVEVGIKGNPPYLNHDLTCPVKTDSSFWTLEDDIMHITMQKRDKGQTWASPILGEGQLDAYSSDLEQKRLMLQRFQEENPGFDFSQAQFTGNCPDPRTFMGGIRND >Potri.001G251204.2.v4.1 pep chromosome:Pop_tri_v4:1:26757853:26759643:1 gene:Potri.001G251204.v4.1 transcript:Potri.001G251204.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251204.v4.1 MAEKLAPEKRHSFVHDGKTIFEWDQTLEEVNIYINLPPNVHSKQFYCKIQSKHVEVGIKGNPPYLNHDLTCPVKTDSSFWTLEDDIMHITMQKRDKGQTWASPILGEGQLDAYSSDLEQKRLMLQRFQEENPGFDFSQAQFTGNCPDPRTFMGGIRND >Potri.004G056250.1.v4.1 pep chromosome:Pop_tri_v4:4:4644576:4645579:1 gene:Potri.004G056250.v4.1 transcript:Potri.004G056250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056250.v4.1 MTVAQLPTVEGEKTEREMSYCSSTLFFCFSVLSFYSLVSSSLPLSVRLPCFQNNLCSSLKQSPASFSLFGSLLQVRFPKVLPPLFSFFFPSSSPFLQLASFFSPKKISPPRPPHLLSLYTSQYL >Potri.001G149100.1.v4.1 pep chromosome:Pop_tri_v4:1:12452236:12456671:-1 gene:Potri.001G149100.v4.1 transcript:Potri.001G149100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149100.v4.1 MKCFYIFKDKFKNKKGQANSAPEPSDHQSKSNSSPRRTAKSLPSPRSIPELYKEKEHNLRVFSFQELKEATNGFNRLLKIGEGGFGSVYKGTVRPASGQGDPVVVAIKKLNNHGLQGHKQWLAEVQFLGVVSHPNLVELLGYCSVDSERGIQRLLVYEYMPNRSLEDHLFKRGPPTLSWRKRLEIILGAAEGLAYLHGGMEVQVIYRDFKSSNVLLDEDFKPKLSDFGLAREGPTGDRTHVSTAVVGTYGYAAPEYVETGHLTIHSDVWSFGVVLYEILTGRRTLERNRPVIEQKLLDWVKQFPVDSKRFSMIIDPRLINEYSFNAAKQIAKLADSCLNKNAKERPTMTQVVERLKQIIQDLEGENTSTNRNAESSQSSLSRRKQNKV >Potri.001G277200.1.v4.1 pep chromosome:Pop_tri_v4:1:29100859:29105704:1 gene:Potri.001G277200.v4.1 transcript:Potri.001G277200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277200.v4.1 MASKLLKLTAYEAKNELELSLKQAFEELEPKLRPPFPLTIPSPQEYSRLNLAILYGVLTEPHLAKTHIKHLHAIVTDGYALFVSLIVKIVNDLYGKLVDSVKDQLVWVVKEMVDVLGVGFDGFLVCLLRQIVGGDFSDGNLWLCFELVSLFSSKWDCLVEDAPFVLTSALYVYLRVLADHCKVSTDAKMESLKRLEIEFCVKMLREQFNLCMKIGRDLIRLLRDLVHVPEFRAIWNDLVLNPSEFRTEGFSDISQLYCSRTSSRYFLLRITPEMETQLRFLLMHVKFGNQKRYQVWFAKKFLFGQERETLVVDIIRFICCAHHPSNEIIQSDIVPRWAVIGWLLKSCREKYVEANMKLALFYDWLFFDEKIDNIMNIEPAMLLMVCSIPKYIDITHSLLEFLLFIAENYEEDRNYVIRRGLSSAMRMLVQKGVVRSMDILTSCDALSPFLREGLRKLILRLNIERNELQPAHLPPHSVSRSSLQNVSHLAITTPAPEQQSAKIVEVRLSKEPAGSSIPISGDLFTTSCPSNVTIESQFDAIESLAQNLAEAMKKSNRMGLQILEEILLSFVNLDGQASTCGSTFPETLSSRIADQFESVGNRLFAPFDVSISVPSSDSGIHSPTILIARSFILSQHERLQEMLLFWSRNGFHVGAHLLSYATRLAYEACISDSSGNAIINNNFSKISDSGMSLLLFHVDGYFSILNGRKQDFLEGSVSTSKMDKELVNMLVKNAFAAYKCFLERSRTILHKEDDLALSKLFILDITSCFLCERKKTKFFYSIFCHLADLCAGNIDIIRFLVSQLDHADLLEMQFEIGLKRFFVFGESTEDIFHLMKNSLSWDPSEQHKLWGLIRSELAVSKVQLEKIILKIFCSNELDANTSAIAVGGLLTLCICRAPTPELVGAIMLLPDDVFQDFAATVLASWVVSNASKLFDSLTKFSEKFDNENGNVAGSVGIVINHSAILWLLNYFKSQGMNGSNFLSTFSASISCEKPA >Potri.001G277200.4.v4.1 pep chromosome:Pop_tri_v4:1:29100840:29106046:1 gene:Potri.001G277200.v4.1 transcript:Potri.001G277200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277200.v4.1 MASKLLKLTAYEAKNELELSLKQAFEELEPKLRPPFPLTIPSPQEYSRLNLAILYGVLTEPHLAKTHIKHLHAIVTDGYALFVSLIVKIVNDLYGKLVDSVKDQLVWVVKEMVDVLGVGFDGFLVCLLRQIVGGDFSDGNLWLCFELVSLFSSKWDCLVEDAPFVLTSALYVYLRVLADHCKVSTDAKMESLKRLEIEFCVKMLREQFNLCMKIGRDLIRLLRDLVHVPEFRAIWNDLVLNPSEFRTEGFSDISQLYCSRTSSRYFLLRITPEMETQLRFLLMHVKFGNQKRYQVWFAKKFLFGQERETLVVDIIRFICCAHHPSNEIIQSDIVPRWAVIGWLLKSCREKYVEANMKLALFYDWLFFDEKIDNIMNIEPAMLLMVCSIPKYIDITHSLLEFLLFIAENYEEDRNYVIRRGLSSAMRMLVQKGVVRSMDILTSCDALSPFLREGLRKLILRLNIERNELQPAHLPPHSVSRSSLQNVSHLAITTPAPEQQSAKIVEVRLSKEPAGSSIPISGDLFTTSCPSNVTIESQFDAIESLAQNLAEAMKKSNRMGLQILEEILLSFVNLDGQASTCGSTFPETLSSRIADQFESVGNRLFAPFDVSISVPSSDSGIHSPTILIARSFILSQHERLQEMLLFWSRNGFHVGAHLLSYATRLAYEACISDSSGNAIINNNFSKISDSGMSLLLFHVDGYFSILNGRKQDFLEGSVSTSKMDKELVNMLVKNAFAAYKCFLERSRTILHKEDDLALSKLFILDITSCFLCERKKTKFFYSIFCHLADLCAGNIDIIRFLVSQLDHADLLEMQFEIGLKRFFVFGESTEDIFHLMKNSLSWDPSEQHKLWGLIRSELAVSKVQLEKIILKIFCSNELDANTSAIAVGGLLTLCICRAPTPELVGAIMLLPDDVFQDFAATVLASWVVSNASKLFDSLTKFSEKFDNENGNVAGSVGIVINHSAILWLLNYFKSQGMNGSNFLSTFSASISCEKPA >Potri.001G277200.2.v4.1 pep chromosome:Pop_tri_v4:1:29101073:29106137:1 gene:Potri.001G277200.v4.1 transcript:Potri.001G277200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277200.v4.1 MASKLLKLTAYEAKNELELSLKQAFEELEPKLRPPFPLTIPSPQEYSRLNLAILYGVLTEPHLAKTHIKHLHAIVTDGYALFVSLIVKIVNDLYGKLVDSVKDQLVWVVKEMVDVLGVGFDGFLVCLLRQIVGGDFSDGNLWLCFELVSLFSSKWDCLVEDAPFVLTSALYVYLRVLADHCKVSTDAKMESLKRLEIEFCVKMLREQFNLCMKIGRDLIRLLRDLVHVPEFRAIWNDLVLNPSEFRTEGFSDISQLYCSRTSSRYFLLRITPEMETQLRFLLMHVKFGNQKRYQVWFAKKFLFGQERETLVVDIIRFICCAHHPSNEIIQSDIVPRWAVIGWLLKSCREKYVEANMKLALFYDWLFFDEKIDNIMNIEPAMLLMVCSIPKYIDITHSLLEFLLFIAENYEEDRNYVIRRGLSSAMRMLVQKGVVRSMDILTSCDALSPFLREGLRKLILRLNIERNELQPAHLPPHSVSRSSLQNVSHLAITTPAPEQQSAKIVEVRLSKEPAGSSIPISGDLFTTSCPSNVTIESQFDAIESLAQNLAEAMKKSNRMGLQILEEILLSFVNLDGQASTCGSTFPETLSSRIADQFESVGNRLFAPFDVSISVPSSDSGIHSPTILIARSFILSQHERLQEMLLFWSRNGFHVGAHLLSYATRLAYEACISDSSGNAIINNNFSKISDSGMSLLLFHVDGYFSILNGRKQDFLEGSVSTSKMDKELVNMLVKNAFAAYKCFLERSRTILHKEDDLALSKLFILDITSCFLCERKKTKFFYSIFCHLADLCAGNIDIIRFLVSQLDHADLLEMQFEIGLKRFFVFGESTEDIFHLMKNSLSWDPSEQHKLWGLIRSELAVSKVQLEKIILKIFCSNELDANTSAIAVGGLLTLCICRAPTPELVGAIMLLPDDVFQDFAATVLASWVVSNASKLFDSLTKFSEKFDNENGNVAGSVGIVINHSAILWLLNYFKSQGMNGSNFLSTFSASISCEKPA >Potri.001G277200.3.v4.1 pep chromosome:Pop_tri_v4:1:29100859:29106347:1 gene:Potri.001G277200.v4.1 transcript:Potri.001G277200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277200.v4.1 MASKLLKLTAYEAKNELELSLKQAFEELEPKLRPPFPLTIPSPQEYSRLNLAILYGVLTEPHLAKTHIKHLHAIVTDGYALFVSLIVKIVNDLYGKLVDSVKDQLVWVVKEMVDVLGVGFDGFLVCLLRQIVGGDFSDGNLWLCFELVSLFSSKWDCLVEDAPFVLTSALYVYLRVLADHCKVSTDAKMESLKRLEIEFCVKMLREQFNLCMKIGRDLIRLLRDLVHVPEFRAIWNDLVLNPSEFRTEGFSDISQLYCSRTSSRYFLLRITPEMETQLRFLLMHVKFGNQKRYQVWFAKKFLFGQERETLVVDIIRFICCAHHPSNEIIQSDIVPRWAVIGWLLKSCREKYVEANMKLALFYDWLFFDEKIDNIMNIEPAMLLMVCSIPKYIDITHSLLEFLLFIAENYEEDRNYVIRRGLSSAMRMLVQKGVVRSMDILTSCDALSPFLREGLRKLILRLNIERNELQPAHLPPHSVSRSSLQNVSHLAITTPAPEQQSAKIVEVRLSKEPAGSSIPISGDLFTTSCPSNVTIESQFDAIESLAQNLAEAMKKSNRMGLQILEEILLSFVNLDGQASTCGSTFPETLSSRIADQFESVGNRLFAPFDVSISVPSSDSGIHSPTILIARSFILSQHERLQEMLLFWSRNGFHVGAHLLSYATRLAYEACISDSSGNAIINNNFSKISDSGMSLLLFHVDGYFSILNGRKQDFLEGSVSTSKMDKELVNMLVKNAFAAYKCFLERSRTILHKEDDLALSKLFILDITSCFLCERKKTKFFYSIFCHLADLCAGNIDIIRFLVSQLDHADLLEMQFEIGLKRFFVFGESTEDIFHLMKNSLSWDPSEQHKLWGLIRSELAVSKVQLEKIILKIFCSNELDANTSAIAVGGLLTLCICRAPTPELVGAIMLLPDDVFQDFAATVLASWVVSNASKLFDSLTKFSEKFDNENGNVAGSVGIVINHSAILWLLNYFKSQGMNGSNFLSTFSASISCEKPA >Potri.006G130600.1.v4.1 pep chromosome:Pop_tri_v4:6:10655859:10660675:-1 gene:Potri.006G130600.v4.1 transcript:Potri.006G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130600.v4.1 MWNLLLTAAIAGSTGFIAKHVFTNHHSPSEKYEQEENLQDSPAFDSPLVTKECHGYESNCDQEGIFRFSSSASGSRGKNKKKSCLKEKSGVSCRRLKFATENVKRSGGLKGTSGRKSCACLKKKRTESSLFGRGLGVGIMYMMSAGKAEISKLSTAMDETSKTIHELRTELYKRKSTQLATSSENISTEQMQLVVNRISMVDRDPNDMKLCGLTMADDVECPSSVLTEEPEPAVLEMDQLEAEFESELQKLPWSSTETSGHEITRLNLGKAEVSSEGFCELEGADDVSYQRDGVLPSELDNKLCHLLIEQQENQITGLESELHLAQSQLHEKEAELQALKDCVRRLTEFSLSTISDDEVEVQPELGCNTEWDKNSQVGSESRKSVVGMKRPIDTA >Potri.017G136400.2.v4.1 pep chromosome:Pop_tri_v4:17:13775421:13778476:-1 gene:Potri.017G136400.v4.1 transcript:Potri.017G136400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136400.v4.1 MAHKLMNVREKLDAIAKERQNFHLTEGAVEMEADGFVQRQTWSSVNESEIYGRGKEKEELINLLLTTSGDLPIYAIWGMGGLGKTTLVQLVFNEESVKQQFSLRIWVCVSTDFDLRRLTRAIIESIDGSPCGLQELDPLQQCLQQKLNRKKFLLVLDDVWDDYGDRWNKLKEVLRCGAKDSAVIVTTRIEMIALRMATAFVKHMGRLSEEDSWRLFQQLAFGMRRKEERARLEAIGVSIVKKCGGVPLAIKALGNLMRLKESEDQWIAVKESEIWDLREEANEILPALRLSYTNLSPHLKQCFAYCAIFPKDEVMRREELIALWMANGFISCRREMDLHVMGIEIFNELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAVQECYMSTEGDGRLEIPKTVRHVAFYNKEVAPSSEVLKVLSLRSLLLRNEQYWNGWGKIPGRKHRALSLRNVLVEKLPKSICDLKHLRYLDVSGSSIRTLPESTTSLQNLQTLDLRDCDELIQLPKGMKHMKSLVYLDITDCGSLRDMPAGMGQLIGLRKLTLFIVGGENGRSISELERLNNLAGELSIADLVNVKNLKDAKSANLKLKTALLSLTLSWHGNGAPQQRKSVIQENNEEVLEGLQPHSNLKKLKIWGYGGSRFPNWMMNLNMTLPNLVEMELSACDHCEQLPPLGKLQFLNNLKLQGMDGVKSIDSNVYGDGQNPFPSLETLNFEYMKGLEQWAACRFPRLRELKIDGCPLLNEMPIIPSVKTVQIFGVNTSLLMSVRNFTSITSLHIGNIPNVRELPDGFLQNHSLLESLEIRWMSDLESLSNRILDNLSALKSLSIWGCGKLESLPEEGLRNLNSLEDLRIADCGRLNCLPMDGLCGLSSLWILVVRHCDKFTSLSEGVRHLTALEHLWLYGCPELNSLPESIQHLTSLRSLTIWDCPNLKKRCEKDLGEDWPKIAHIPKISFN >Potri.002G240000.3.v4.1 pep chromosome:Pop_tri_v4:2:23218923:23221862:1 gene:Potri.002G240000.v4.1 transcript:Potri.002G240000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240000.v4.1 MLISRVFKVVVVSCLWLLLSCSLSYGTDTDIACLKSIKDSLIDTRSYLNSSWNFENATEGFICTFLGVECWHPNENRVLNIRLPDMGLKGRFPLGLTNCTSMTGIDLSSNELFGSIPQNISKIVGFATSLDLSSNNFSGEIPSGLANCSFLNILKLDHNKLTGQIPGQIGFLERLKTFSVANNLLSGPIPSFFNSDILTEENFANNPELCGKPFSSCPSISKKTNTGIIAGAAVGGVTIAAIGVAIGMFFYYRRMSMMRKLKKDDDPEGNKWAKGLKGVKGIKVSLFEKSVSKMKLSDLLKATNNFHKENIIGTGRTGAVYKAVLEDGTPLMVKRLQDSEHSEKEFVSEMATLGSVKHSNLVPLLGYCVANKERFLVYKHMPNGTLYDHIHIADESRKPMEWPLRLKIGIRAAKGFAWLHHYCNPRIIHRNISSKCILLDADFEPKISDFGLARLMNPIDTHMSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGTVLLELVTGETPTHVAKAPESFKGSLVEWITQLSSNSQLKDAVDKSLAGKGVDNEIFQFLKVACNCVLPTPKERPTMFEVYQLLRAIGEQYHFTTEDEILMPSDASGADYMEELIVSREGRENY >Potri.002G240000.2.v4.1 pep chromosome:Pop_tri_v4:2:23218919:23222356:1 gene:Potri.002G240000.v4.1 transcript:Potri.002G240000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240000.v4.1 MLISRVFKVVVVSCLWLLLSCSLSYGTDTDIACLKSIKDSLIDTRSYLNSSWNFENATEGFICTFLGVECWHPNENRVLNIRLPDMGLKGRFPLGLTNCTSMTGIDLSSNELFGSIPQNISKIVGFATSLDLSSNNFSGEIPSGLANCSFLNILKLDHNKLTGQIPGQIGFLERLKTFSVANNLLSGPIPSFFNSDILTEENFANNPELCGKPFSSCPSISKKTNTGIIAGAAVGGVTIAAIGVAIGMFFYYRRMSMMRKLKKDDDPEGNKWAKGLKGVKGIKVSLFEKSVSKMKLSDLLKATNNFHKENIIGTGRTGAVYKAVLEDGTPLMVKRLQDSEHSEKEFVSEMATLGSVKHSNLVPLLGYCVANKERFLVYKHMPNGTLYDHIHIADESRKPMEWPLRLKIGIRAAKGFAWLHHYCNPRIIHRNISSKCILLDADFEPKISDFGLARLMNPIDTHMSTFVNGEFGDLGYVAPEYARTLVATPKGDVYSFGTVLLELVTGETPTHVAKAPESFKGSLVEWITQLSSNSQLKDAVDKSLAGKGVDNEIFQFLKVACNCVLPTPKERPTMFEVYQLLRAIGEQYHFTTEDEILMPSDASGADYMEELIVSREGRENY >Potri.005G206700.2.v4.1 pep chromosome:Pop_tri_v4:5:21152239:21154007:-1 gene:Potri.005G206700.v4.1 transcript:Potri.005G206700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206700.v4.1 MASTSCFLHHHALTTPARSTPSSQRQVANLKPTQLACRAQKQAVQEEDNGAVSRRLALTVLIGAAALGSKVAPADAAYGESANIFGKPKTDTDFLPYNGDGFKLSVPSKWNPSKEREFPGQVLRYEDNFDATSNVSVMVIPTDKKSITDYGSPEEFLSKVDFLLGKQSSLFATASEGGFDPNTVATANILETSTPVVGGKQYFFLSVLTRTADGDEGGKHQLITATVKDGKLYICKAQAGDKRWFKGARKFVESTASSFSVA >Potri.017G006200.2.v4.1 pep chromosome:Pop_tri_v4:17:445697:447698:-1 gene:Potri.017G006200.v4.1 transcript:Potri.017G006200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G006200.v4.1 MRQKSFSKYGADCCQSISTSKLPINTIFNGFNLPITTLSFSQFPPLIACINSYHSMASLRLPMSPLLLTLLSIIFLASPSAKAAQFSVLSYGAKPDGKTDSTKAFAAAWSQACASTGPATISVPKGSFSLRQVKFQGPCKNNAILVRIDGTLVAPSNYGVLGSAENWLIFEHVNGVTLSGGTLDGQGAGLWSCKNSGKGNCPRGATSLEFSNSKNIAITGLASLNSQMFHIVINGCQNVKLQGVKVSADGNSPNTDGIHVQLSTAVTILNSRIGTGDDCISIGPGTSNLWIENVACGPGHGISIGSLGKESQEAGVRDVTVKTTTFTGTENGLRIKTWGRPSNGFATNILFQHVVMNNVKNPILIDQNYCPGKKNCPGQASGVKISDVTYQDIHGTSATELAVKFDCSRKYPCTGIKLQDVKLTYENKPAEASCSNAGGVASGVVQPTSCL >Potri.010G118200.1.v4.1 pep chromosome:Pop_tri_v4:10:13710860:13716722:1 gene:Potri.010G118200.v4.1 transcript:Potri.010G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G118200.v4.1 MAPSRLSFPFLVFILCTTLMVTVSHAARVRELGEAEAIRRNLLDNGLGHTPQMGWNSYNHFPCKLNEELIRETADAMVSSGIAALGYKYINLDDCWAELKRDSKGNLVPKKSIFPSGMKALADYVHSKGLKIGIYGDAGTLTCAKTMPGSLGYEEQDAKTFASWGIDYLKYDNCHNNGVSPQERYSKMSKALLSTGRPIFFSLCEWGQDNPATWAAGIGNSWRTTGDIKDTWDSMISRADQNDDWASYAGPGGWNDPDMLEVGNGGMSTEEYRSHFSIWALMKAPLLLGCDIRSMDNDTFELLSNKEVIAVNQDKLGLQGKKVKKTGDLEVWAGPLSGKRIAAVLWNRGSSRAAITADFKDIGLKSSAVVNARDLWAHSTMTSVRHQLTVTVESHACKMFVLTPQ >Potri.005G185800.1.v4.1 pep chromosome:Pop_tri_v4:5:19344581:19348731:-1 gene:Potri.005G185800.v4.1 transcript:Potri.005G185800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185800.v4.1 MVKETEYYDVLGVSPSASEEEIRKAYYLKARQVHPDKNQDDPLAAERFQVLGEAYQVLSDPVQRDAYDRNGKYCISRETMLDPTAVFALLFGSELFEDYVGHLSVTSMASSELAGESDNPDKVHEKLKAVQKEREEKLARFLKDFLNQYAQGDRIGFLRHAESEAKRLSDAAFGVDILHTIGHIYSRQAAQELGKKAIYLGVPFLAEWARNKGHFWKSQITAAKGAFQLLQLQEDMRRQFKMDRSDPGNDVESHLLSNKDTLMNSLWKLNVVDIEITVIHVCQTVLKENDVRKEELRARALALKILGKIFQQEKQAQNGATSKGKNAAENDDDGSSSDSSSEEDSPRALSYRTPLLTQGIGRLFRCLCNPAFDVDDEEIVYKSK >Potri.005G185800.4.v4.1 pep chromosome:Pop_tri_v4:5:19344581:19348733:-1 gene:Potri.005G185800.v4.1 transcript:Potri.005G185800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185800.v4.1 MVKETEYYDVLGVSPSASEEEIRKAYYLKARQVHPDKNQDDPLAAERFQVLGEAYQVLSDPVQRDAYDRNGKYCISRETMLDPTAVFALLFGSELFEDYVGHLSVTSMASSELAGESDNPDKVHEKLKAVQKEREEKLARFLKDFLNQYAQGDRIGFLRHAESEAKRLSDAAFGVDILHTIGHIYSRQAAQELGKKAIYLGVPFLAEWARNKGHFWKSQITAAKGAFQLLQLQEDMRRQFKMDRSDPGNDVESHLLSNKDTLMNSLWKLNVVDIEITVIHVCQTVLKENDVRKEELRARALALKILGKIFQEKQAQNGATSKGKNAAENDDDGSSSDSSSEEDSPRALSYRTPLLTQGIGRLFRCLCNPAFDVDDEEIVYKSK >Potri.005G185800.3.v4.1 pep chromosome:Pop_tri_v4:5:19344581:19348733:-1 gene:Potri.005G185800.v4.1 transcript:Potri.005G185800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185800.v4.1 MVKETEYYDVLGVSPSASEEEIRKAYYLKARQVHPDKNQDDPLAAERFQVLGEAYQVLSDPVQRDAYDRNGKYCISRETMLDPTAVFALLFGSELFEDYVGHLSVTSMASSELAGESDNPDKVHEKLKAVQKEREEKLARFLKDFLNQYAQGDRIGFLRHAESEAKRLSDADTAFGVDILHTIGHIYSRQAAQELGKKAIYLGVPFLAEWARNKGHFWKSQITAAKGAFQLLQLQEDMRRQFKMDRSDPGNDVESHLLSNKDTLMNSLWKLNVVDIEITVIHVCQTVLKENDVRKEELRARALALKILGKIFQEKQAQNGATSKGKNAAENDDDGSSSDSSSEEDSPRALSYRTPLLTQGIGRLFRCLCNPAFDVDDEEIVYKSK >Potri.005G185800.2.v4.1 pep chromosome:Pop_tri_v4:5:19344581:19348731:-1 gene:Potri.005G185800.v4.1 transcript:Potri.005G185800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185800.v4.1 MVKETEYYDVLGVSPSASEEEIRKAYYLKARQVHPDKNQDDPLAAERFQVLGEAYQVLSDPVQRDAYDRNGKYCISRETMLDPTAVFALLFGSELFEDYVGHLSVTSMASSELAGESDNPDKVHEKLKAVQKEREEKLARFLKDFLNQYAQGDRIGFLRHAESEAKRLSDADTAFGVDILHTIGHIYSRQAAQELGKKAIYLGVPFLAEWARNKGHFWKSQITAAKGAFQLLQLQEDMRRQFKMDRSDPGNDVESHLLSNKDTLMNSLWKLNVVDIEITVIHVCQTVLKENDVRKEELRARALALKILGKIFQQEKQAQNGATSKGKNAAENDDDGSSSDSSSEEDSPRALSYRTPLLTQGIGRLFRCLCNPAFDVDDEEIVYKSK >Potri.015G083800.1.v4.1 pep chromosome:Pop_tri_v4:15:10882506:10886698:1 gene:Potri.015G083800.v4.1 transcript:Potri.015G083800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083800.v4.1 MDPDPKTHPILSYVMARLPSLGHKSPGPSFDIEQPPQPSQPPPQSLFPQLSDPALLSSMRRAVGDVAQTRSVLHTLGPRPDHETVDTAKLKLSEIESNLSKQLEDLVLSPRPCEIDRLEWRAHLAEKEKKIREEAEKEIGFYKMVLQLDEMHKDYEKLLKEAEDKLVKIYRMAERGVEEDKEVEGVEVEEEVEVEVTEEVVGVLREGSSKGIERVDLSNRRLRFLPEGFGRVVGLKVLNLSNNQLQVIPDSITGLEILEELNLASNLLEALPDSIGLLQNLKILDVSSNKIEVLPGTICHCRSLLELDVSFNCLTYLPTNIGHEMSNLQRLSIQLNKIFSLPTSIGEMRSLRHLDAHFNELRGLPLAIGKLTNLEILNLSGNFSDLKELPETFGDLTNLKELDLSNNQISALPDSFGRLDNLTKLNLDQNPLVIPPPEVIKEGVEAVKIFMAKRWIDILVEEERKSTLEVQEQAQTGWLTLSTSWLKTYATGVSATVSGFLSPRVPRDPYLDQQL >Potri.010G107100.1.v4.1 pep chromosome:Pop_tri_v4:10:12829124:12830324:1 gene:Potri.010G107100.v4.1 transcript:Potri.010G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107100.v4.1 MGFKALFNRKKKSKKSDSSVDSPSAIVASISGSKSPSIRLRPQVEELEQVFKKFDVNGDGKISSAELGSIMANLGHEATEDELQTMITEFDADGDGFIDLQEFVALNTQGVDTNEVMENLKDAFSVYDVDGNGSISAEELHKVMASLGEPCSMSECRKIISGVDSDGDGMIDFEEFKVMMMMGARWDSMDALKGIGR >Potri.012G132900.1.v4.1 pep chromosome:Pop_tri_v4:12:14790663:14793885:1 gene:Potri.012G132900.v4.1 transcript:Potri.012G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132900.v4.1 MGKEWYYWGGGKTLKKGGGSGGGREGGREGEKDTANLSTGCMCAVFQLFALNQFQQQSSNVLQPNSFLPLQDHPIPKGVEAPRNSLELEEEPPLPSSKDENLNIPIGIQIKTKGVPNDSSSSEISSSPGTKTPNLVARLMGLDLLPDHLTNSPSHSSSSTLGTPNLPPKSHFHYQHCRPQQPRPLHSKTSSPRSCLDHDFSGTRSLPETPRISSARRSDVEHRLSLQINKENVSEDLALSRLSSLKRIELKVEEENRSPGHYARQIVKQVKESVSRKVGLDITNTVRDREQTRRRDQELELISQYKSKKILSKDPSSTKIVDVTGNSPGNHYVTTTSCSPRLKFLEPKNKPITTLPCKDHNNNSHSKKPSLLLSQNTKPSTKPDLPQVLLQDQCHHQHQQRPSKNCKKVTEEKFDPPPSRLIKKPLKSSDIIRTNKEDPFVLSTSVTRANIPDKKCKKTPLSNDLNISLPTLLPVKKDPTPPATKIPQKQVSNVAQESKWSSQLSSCSSQSYKQQQATRRLDSREKNNEDRCNNGVATKIIPTGDGASAEEYEYITRILKRTGVDKDTPVSFTRWFSPSHPLNPSTFYYLEHLTTPSYVTSTWENNRTLNRRSNRKLLFNLVDEILVDILRPYINMKPWSSTSLGMFSQQDRISHMNGSHLVQMLCTKLRSFPCADCHDLKDIDGLIDKDLAQLKDQSEIAFGEEGERIVMEVEKDIMDTLIHETAMIFYGE >Potri.001G171300.1.v4.1 pep chromosome:Pop_tri_v4:1:14737724:14740066:1 gene:Potri.001G171300.v4.1 transcript:Potri.001G171300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171300.v4.1 MLSLRVTRRFRNFAAAVLLTHSPAAVSNLIQNQNQKPLEEPALLKLKAERDPEKLFNLFKANAENKLVVENRFAFEDTVSRLAGANRFDYIEHLLEHQKTLPQGRREGYMVRIIMLYGKAGMIEHAIDTFHNMHLRGCKRTVKSFNAALKVLTGTRDLATIETFVNEAPKKFDIEFDIFSVNIIVKALCERGVLDKSYLLMVEMEKSGVTPDVITYTTLTSAYYKNNKAEIGNGLWNLMVLKGCLPNLATFNVRIQYLVNIRRAWHANDVMRLMQKIGIVPDEVSYNLVLKGFFQVGHFEMAKLVYFSLRCKGHKFNVKVYQTMVHYLCKGGEFDLAYTMCRDCMRTNWFLNVDTIHTLLEGLKKNGQLNKAKVILTLAQRRVPPFPSSHLSSMQAVLSRS >Potri.001G123250.1.v4.1 pep chromosome:Pop_tri_v4:1:10104740:10105162:1 gene:Potri.001G123250.v4.1 transcript:Potri.001G123250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123250.v4.1 MQASEIQVQLSQQSENHGVNSVVLFTIIHDFAPASSKWCRSIAVKSLDDEGDAFYLSGQRKQRKSLLCYLYLYLFHVFCLRLCRLEIKHLENVDESLSE >Potri.017G135000.1.v4.1 pep chromosome:Pop_tri_v4:17:13687065:13688613:1 gene:Potri.017G135000.v4.1 transcript:Potri.017G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135000.v4.1 MILSWRSRRNSKKNKIPSQEINSDMEISNPRHFCCPISLDLMKDPVTLSTGITYDRESIEKWIEEGNLTCPVTNQVLASYDQIPNHSIRKMIQDWCVENRSYGVDRIPTPRVPVTPYDVSETCKRVNDATRRGEQKKCRELVRKIKNWGKESERNKKCIVENGAGCVLSACFESFASVSVGKDEDLLGEILSVLVWMFPLGEEGQSKLGSVRSLNCLVWFLKSGDLSARQNAALVLKNLLALDQKHVSALVGIEGVFAALVKLIKEPICPTATKASLMAIFYMTSPSSLNEKMIPMFVEMGLVSVIVEILVDGDKSICEKALGVLDHICDCKEGREKAYENALIVAVLIKKILKVSGLASELSVSILWKLFKNSQYRPEDDDAEGGVVVEALQVGAFQKLLVLLQVGCGESTKEKLKELLKLLNLCRVRLDCFDSTADFKYLKRSY >Potri.009G051600.2.v4.1 pep chromosome:Pop_tri_v4:9:5661558:5663657:1 gene:Potri.009G051600.v4.1 transcript:Potri.009G051600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051600.v4.1 MTPSNATNLHPSILQEFRVLPLGILCKSIAISGLVLLLFYAFLSNNPENQSSDSFNLPFQLKWPTASSSSNVNNTMDSPTNISHIGFIVIGSLNSWKNRKSYIESWWRPNVTRGYVFLDKEPTEEFLPWPSTSPPFQVNEDITKLRVYPKIANPLQVRMFHSLLDMYRVGDKGLRWLIMCDDDSIFFVDNLVEVLRKYDHNKYQYIGGISECVKSNADFSFDMGFGGAGYAVSYPFAQAISTKLEDCIERYPHLWVSDHMAQSCFADLGIALTIEKGIHQIDLRGDISGFLSYLPQSPLLTLHHLDIVDPIFPSMDRYEALRHLMKAAKVDQSRVAQQTICYQRESNWSFSVSWGYSTHIYENIIPRSILRKPIETFRPFSKNTRPPLYMFNTRWQINNPCEAPHVFFFESIEHNPENDQVLTTYVRAAQRNLPPCSASGNHSADSISKIRVLSQATTRKTAGVIECCDVDYKAETNITDIKIRSCLKDEVIA >Potri.005G159500.2.v4.1 pep chromosome:Pop_tri_v4:5:15384860:15389061:-1 gene:Potri.005G159500.v4.1 transcript:Potri.005G159500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G159500.v4.1 MTGETSFIDGISLPNHYLLCYHLQQLIKNCVVETSRQGWVCLVRVEGSVQKVPDEESEQYIYSCHQGSEIGAIVSNQSTIIPGTHVLHQTYKELEEKYSDGWCRSLIPKPKHRGGYRFKPQLFEFWQGQHSHLHDRLQYTQEINGKLVWKIVWLAPLPD >Potri.005G159500.3.v4.1 pep chromosome:Pop_tri_v4:5:15386073:15388484:-1 gene:Potri.005G159500.v4.1 transcript:Potri.005G159500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G159500.v4.1 MTGETSFIDGISLPNHYLLCYHLQQLIKNCVVETSRQGWVCLVRVEGSVQKVPDEESEQYIYSCHQGSEIGAIVSNQSTIIPGTHVLHQTYKELEEKYSDGWVAIYSRNQRQASLEDCVVGSAP >Potri.005G159500.4.v4.1 pep chromosome:Pop_tri_v4:5:15386593:15388484:-1 gene:Potri.005G159500.v4.1 transcript:Potri.005G159500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G159500.v4.1 MTGETSFIDGISLPNHYLLCYHLQQLIKNCVVETSRQGWVCLVRVEGSVQKVPDEESEQYIYSCHQGSEIGAIVSNQSTIIPGTHVLHQTYKELEEKYSDGWKFDSKT >Potri.007G033000.2.v4.1 pep chromosome:Pop_tri_v4:7:2569561:2578741:-1 gene:Potri.007G033000.v4.1 transcript:Potri.007G033000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033000.v4.1 MDVIPCNGVQYVGESDCALQSSGTDFTYDGDSSNFKRVEQVEMNDGRVNDLLQHVEESRIERQSEGQWTVDKLSISKGGASYSDFQVESQRLSCDSQDFEEDGINVQDYCTEPCTASENSNLIIDTIESEPNDCKYGEPSLSEPQWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLRAKPTHDRKQYFVIFFPHTRNYSWADMMLVQPINEFPEPIAYRTHKIGLKLVKDLSVARRFIMKKLAVAMLNIVDQFHSEALIDTAHDVMVWKEFAMEASRCTGYSDLGRMLLKLQNMILQRYINSDWLQDSFQSWVQQCQVACSAESVELLREELSNSILWNEIDSLRDASVQSTLGSEWKTWKHEAMKWFSTSHLITSGGDMEQQNYDSLSPTISLQASRKRPKLEVRRAETHASQMETSSPLQTMTVEIDSEFFSNRDTVNAHTLELEISKEEDSREVAAPLESPCSVADRWDEIVIEAGNSELVQIKGVEMTPVNEVLGKKSIEHGSKNRQCTAFIESKGRQCVRWANDGDVYCCVHLASRFAGSSTREEASPPVHGPLCEGTTVLGTRCKHRSLPGSAFCKKHRPWPDTEKTSTLPEDPHKRKHEEVFPSSDITYCKEIKLAGQVENPLRMEPVSVMDGDAFHGRNSLTEKLEHPDHDCNNSEMLHCIGSSSLDSSIPCPDSPKRYSLYCDKHIPSWLKRARNGRSRIISKEVFIDLLKDCSSSQQKLHLHQACELFYKIFKSIFSLRNPVPMDVQLQWALSEASKDFNVGELLLKLVLTEKERLRKLWGFAVEEDIKVSSSVIEEPAVLPLAIDGSQDDEKSIRCKICSKEFLDDKELGNHWMDNHKKEAQWHFRGHACAICLDSFTNRKGLETHVQERHHVEFVEQCMLLRCIPCGSHFGNTEQLWLHVLSVHPADFRLSKGDQQLNLSMGEEKEESLQKLELQNAAPVVNNSENLGGVRKYICKFCGLKFDLLPDLGRHHQAAHMGPNLFSSRPPKRGVRYYAYRLKSGRLSRPRFKKGLGAPYSSIRNSVTAGLKKRIQASKSLSSEGLSIQSNLIEAGTLGRLAESQSSEVAKILFSEVQKTKPRPNNHDILAIARSACCKVSLKASLEGKYGVLPERFYLKAAKLCSEHNIQVQWHQEEFICSRGCKSFKDPGLFSPLMALPNGLISKQITHSSDHVNNEWEVDECHYVIDVHDVREGPKQKATVLCNDISFGKETIPVACVVDEDPLDSLHVLADGSDGQISNFPRPWETFTYVTGPLLDQSDSLGIESLQLGCSCHYPMCCPETCDHVYLFDNDYEDARDIYGNSMLGRFPYDDKGRIVLEEGYLVYECNSMCSCNKTCPNRVLQNGIRVKLEVFKTDNKGWAVRAGEPILRGTFICEYIGEVLDEQEANDRRDRYGKEGCSYMYKIDAHTNDMSRMVEGQSHYFIDATKYGNVSRFINHSCMPNLANHQVLVNSMDSQRAHIGLYASRDISFGEELTYNYRYELLPGEGYPCHCGASKCRGRLY >Potri.007G033000.1.v4.1 pep chromosome:Pop_tri_v4:7:2569561:2580335:-1 gene:Potri.007G033000.v4.1 transcript:Potri.007G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033000.v4.1 MDVIPCNGVQYVGESDCALQSSGTDFTYDGDSSNFKRVEQVEMNDGRVNDLLQHVEESRIERQSEGQWTVDKLSISKGGASYSDFQVESQRLSCDSQDFEEDGINVQDYCTEPCTASENSNLIIDTIESEPNDCKYGEPSLSEPQWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLRAKPTHDRKQYFVIFFPHTRNYSWADMMLVQPINEFPEPIAYRTHKIGLKLVKDLSVARRFIMKKLAVAMLNIVDQFHSEALIDTAHDVMVWKEFAMEASRCTGYSDLGRMLLKLQNMILQRYINSDWLQDSFQSWVQQCQVACSAESVELLREELSNSILWNEIDSLRDASVQSTLGSEWKTWKHEAMKWFSTSHLITSGGDMEQQNYDSLSPTISLQASRKRPKLEVRRAETHASQMETSSPLQTMTVEIDSEFFSNRDTVNAHTLELEISKEEDSREVAAPLESPCSVADRWDEIVIEAGNSELVQIKGVEMTPVNEVLGKKSIEHGSKNRQCTAFIESKGRQCVRWANDGDVYCCVHLASRFAGSSTREEASPPVHGPLCEGTTVLGTRCKHRSLPGSAFCKKHRPWPDTEKTSTLPEDPHKRKHEEVFPSSDITYCKEIKLAGQVENPLRMEPVSVMDGDAFHGRNSLTEKLEHPDHDCNNSEMLHCIGSSSLDSSIPCPDSPKRYSLYCDKHIPSWLKRARNGRSRIISKEVFIDLLKDCSSSQQKLHLHQACELFYKIFKSIFSLRNPVPMDVQLQWALSEASKDFNVGELLLKLVLTEKERLRKLWGFAVEEDIKVSSSVIEEPAVLPLAIDGSQDDEKSIRCKICSKEFLDDKELGNHWMDNHKKEAQWHFRGHACAICLDSFTNRKGLETHVQERHHVEFVEQCMLLRCIPCGSHFGNTEQLWLHVLSVHPADFRLSKGDQQLNLSMGEEKEESLQKLELQNAAPVVNNSENLGGVRKYICKFCGLKFDLLPDLGRHHQAAHMGPNLFSSRPPKRGVRYYAYRLKSGRLSRPRFKKGLGAPYSSIRNSVTAGLKKRIQASKSLSSEGLSIQSNLIEAGTLGRLAESQSSEVAKILFSEVQKTKPRPNNHDILAIARSACCKVSLKASLEGKYGVLPERFYLKAAKLCSEHNIQVQWHQEEFICSRGCKSFKDPGLFSPLMALPNGLISKQITHSSDHVNNEWEVDECHYVIDVHDVREGPKQKATVLCNDISFGKETIPVACVVDEDPLDSLHVLADGSDGQISNFPRPWETFTYVTGPLLDQSDSLGIESLQLGCSCHYPMCCPETCDHVYLFDNDYEDARDIYGNSMLGRFPYDDKGRIVLEEGYLVYECNSMCSCNKTCPNRVLQNGIRVKLEVFKTDNKGWAVRAGEPILRGTFICEYIGEVLDEQEANDRRDRYGKEGCSYMYKIDAHTNDMSRMVEGQSHYFIDATKYGNVSRFINHSCMPNLANHQVLVNSMDSQRAHIGLYASRDISFGEELTYNYRYELLPGEGYPCHCGASKCRGRLY >Potri.007G033000.5.v4.1 pep chromosome:Pop_tri_v4:7:2569560:2575993:-1 gene:Potri.007G033000.v4.1 transcript:Potri.007G033000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033000.v4.1 MKWFSTSHLITSGGDMEQQNYDSLSPTISLQASRKRPKLEVRRAETHASQMETSSPLQTMTVEIDSEFFSNRDTVNAHTLELEISKEEDSREVAAPLESPCSVADRWDEIVIEAGNSELVQIKGVEMTPVNEVLGKKSIEHGSKNRQCTAFIESKGRQCVRWANDGDVYCCVHLASRFAGSSTREEASPPVHGPLCEGTTVLGTRCKHRSLPGSAFCKKHRPWPDTEKTSTLPEDPHKRKHEEVFPSSDITYCKEIKLAGQVENPLRMEPVSVMDGDAFHGRNSLTEKLEHPDHDCNNSEMLHCIGSSSLDSSIPCPDSPKRYSLYCDKHIPSWLKRARNGRSRIISKEVFIDLLKDCSSSQQKLHLHQACELFYKIFKSIFSLRNPVPMDVQLQWALSEASKDFNVGELLLKLVLTEKERLRKLWGFAVEEDIKVSSSVIEEPAVLPLAIDGSQDDEKSIRCKICSKEFLDDKELGNHWMDNHKKEAQWHFRGHACAICLDSFTNRKGLETHVQERHHVEFVEQCMLLRCIPCGSHFGNTEQLWLHVLSVHPADFRLSKGDQQLNLSMGEEKEESLQKLELQNAAPVVNNSENLGGVRKYICKFCGLKFDLLPDLGRHHQAAHMGPNLFSSRPPKRGVRYYAYRLKSGRLSRPRFKKGLGAPYSSIRNSVTAGLKKRIQASKSLSSEGLSIQSNLIEAGTLGRLAESQSSEVAKILFSEVQKTKPRPNNHDILAIARSACCKVSLKASLEGKYGVLPERFYLKAAKLCSEHNIQVQWHQEEFICSRGCKSFKDPGLFSPLMALPNGLISKQITHSSDHVNNEWEVDECHYVIDVHDVREGPKQKATVLCNDISFGKETIPVACVVDEDPLDSLHVLADGSDGQISNFPRPWETFTYVTGPLLDQSDSLGIESLQLGCSCHYPMCCPETCDHVYLFDNDYEDARDIYGNSMLGRFPYDDKGRIVLEEGYLVYECNSMCSCNKTCPNRVLQNGIRVKLEVFKTDNKGWAVRAGEPILRGTFICEYIGEVLDEQEANDRRDRYGKEGCSYMYKIDAHTNDMSRMVEGQSHYFIDATKYGNVSRFINHSCMPNLANHQVLVNSMDSQRAHIGLYASRDISFGEELTYNYRYELLPGEGYPCHCGASKCRGRLY >Potri.007G033000.4.v4.1 pep chromosome:Pop_tri_v4:7:2569562:2580185:-1 gene:Potri.007G033000.v4.1 transcript:Potri.007G033000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033000.v4.1 MDVIPCNGVQYVGESDCALQSSGTDFTYDGDSSNFKRVEQVEMNDGRVNDLLQHVEESRIERQSEGQWTVDKLSISKGGASYSDFQVESQRLSCDSQDFEEDGINVQDYCTEPCTASENSNLIIDTIESEPNDCKYGEPSLSEPQWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLRAKPTHDRKQYFVIFFPHTRNYSWADMMLVQPINEFPEPIAYRTHKIGLKLVKDLSVARRFIMKKLAVAMLNIVDQFHSEALIDTAHDVMVWKEFAMEASRCTGYSDLGRMLLKLQNDSFQSWVQQCQVACSAESVELLREELSNSILWNEIDSLRDASVQSTLGSEWKTWKHEAMKWFSTSHLITSGGDMEQQNYDSLSPTISLQASRKRPKLEVRRAETHASQMETSSPLQTMTVEIDSEFFSNRDTVNAHTLELEISKEEDSREVAAPLESPCSVADRWDEIVIEAGNSELVQIKGVEMTPVNEVLGKKSIEHGSKNRQCTAFIESKGRQCVRWANDGDVYCCVHLASRFAGSSTREEASPPVHGPLCEGTTVLGTRCKHRSLPGSAFCKKHRPWPDTEKTSTLPEDPHKRKHEEVFPSSDITYCKEIKLAGQVENPLRMEPVSVMDGDAFHGRNSLTEKLEHPDHDCNNSEMLHCIGSSSLDSSIPCPDSPKRYSLYCDKHIPSWLKRARNGRSRIISKEVFIDLLKDCSSSQQKLHLHQACELFYKIFKSIFSLRNPVPMDVQLQWALSEASKDFNVGELLLKLVLTEKERLRKLWGFAVEEDIKVSSSVIEEPAVLPLAIDGSQDDEKSIRCKICSKEFLDDKELGNHWMDNHKKEAQWHFRGHACAICLDSFTNRKGLETHVQERHHVEFVEQCMLLRCIPCGSHFGNTEQLWLHVLSVHPADFRLSKGDQQLNLSMGEEKEESLQKLELQNAAPVVNNSENLGGVRKYICKFCGLKFDLLPDLGRHHQAAHMGPNLFSSRPPKRGVRYYAYRLKSGRLSRPRFKKGLGAPYSSIRNSVTAGLKKRIQASKSLSSEGLSIQSNLIEAGTLGRLAESQSSEVAKILFSEVQKTKPRPNNHDILAIARSACCKVSLKASLEGKYGVLPERFYLKAAKLCSEHNIQVQWHQEEFICSRGCKSFKDPGLFSPLMALPNGLISKQITHSSDHVNNEWEVDECHYVIDVHDVREGPKQKATVLCNDISFGKETIPVACVVDEDPLDSLHVLADGSDGQISNFPRPWETFTYVTGPLLDQSDSLGIESLQLGCSCHYPMCCPETCDHVYLFDNDYEDARDIYGNSMLGRFPYDDKGRIVLEEGYLVYECNSMCSCNKTCPNRVLQNGIRVKLEVFKTDNKGWAVRAGEPILRGTFICEYIGEVLDEQEANDRRDRYGKEGCSYMYKIDAHTNDMSRMVEGQSHYFIDATKYGNVSRFINHSCMPNLANHQVLVNSMDSQRAHIGLYASRDISFGEELTYNYRYELLPGEGYPCHCGASKCRGRLY >Potri.012G068600.9.v4.1 pep chromosome:Pop_tri_v4:12:8959868:8970659:1 gene:Potri.012G068600.v4.1 transcript:Potri.012G068600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068600.v4.1 MPNRLLPLRMDAVTVLTKQNAKLIPAILLLCYGDLILFFGQCRWPKFSTILLSKENREIIGGLELMSWMLLLLGRKAYALKFLKVIIQVMLMISSLKLLESDKYLSSWSVLDIGTGNGLLLHELAKQGFSDLTGVDYSEGSIKLARTLADRDGFSNINLLD >Potri.012G068600.8.v4.1 pep chromosome:Pop_tri_v4:12:8959868:8965047:1 gene:Potri.012G068600.v4.1 transcript:Potri.012G068600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068600.v4.1 MPNRLLPLRMDAVTVLTKQNAKLIPAILLLCYGDLILFFGQCRWPKFSTILLSKENREIIGGLELMSWMLLLLGRKAYALKFLKVIIQVMLMISSLKLLESDKYLSSWSVLDIGTGNGLLLHELAKQGFSDLTGVDYSEGSIKLARTLADRDGFSNINLLVCPNFNIIMKL >Potri.002G103800.1.v4.1 pep chromosome:Pop_tri_v4:2:7598536:7600824:-1 gene:Potri.002G103800.v4.1 transcript:Potri.002G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103800.v4.1 MATTTTVPDNLTRDQYVYLAKLAEQAERYEEMVQFMQKLVLGNTPVGELNVEERNLLSVAYKNVIGSLRAAWRIVSSIEQKEEGRKNEEHVVLVKEYRSKVESELSDICASILRLLDSNLIPSATASESKVFYLKMKGDYHRYLAEFKAGDERNAAAGDTMLSYKAAQDAASADLAPTHPIRLGLALNFSVFYYEILNQSDKACSMAKQAFEEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDVQDQLDEP >Potri.012G005800.5.v4.1 pep chromosome:Pop_tri_v4:12:169408:172596:-1 gene:Potri.012G005800.v4.1 transcript:Potri.012G005800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005800.v4.1 MASLVEGTLQTSFNNPFVSLSPTPPKTSLASSSVISTKTQIPKSSLKSSFLGKNMSFIELGRTARTPLTHGGCSVKMSWDGPLHSVKLIIQGKNLELTDTVKRHVEDKVGKAVQKHSHLVREVDVRLSVRGGELGKGPRIRRCEVTLFTNRHGVVRAEEDAETIYASIDLVSSIIQRKLRKIKEKESDHGRHMKGFNRLKVREPVPQVVEGDADEVSQQEVEDSIEEVVRTKFFDMPPLTVSEAIEQLENVDHDFYGFRNEETGEINIVYKRKAGGYGLIIPKGNDEAERLEPLVVEPARESSLAE >Potri.008G161300.1.v4.1 pep chromosome:Pop_tri_v4:8:11095126:11099150:1 gene:Potri.008G161300.v4.1 transcript:Potri.008G161300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161300.v4.1 MDFVELEAIEGLRWSWNAWPTTKNQVSSLVIPLSIMCTPLMQSTELPILTYDPLICTRCAAVLNPYARVDYQSRIWVCPFCYNRNPFPISYSGIGETNLPAELFPTYNTVEYKIDKVDSKFRSNLHLGYGWSNGLSSSNVSLSSMMSVGTPRSIGGAGVGGEVRGFAGPVPVFVFLVDACMVEEELRAVKNELLLVVEQLPENALVGLITFDAMVRVYDLGFSDCSRVVVFHGGREVSSEQTQQFLGIYSTKQQQQQLGKTPVIQKLGFLLPVSECEFNITTAIEEICSLAVVMPGHRPQRCTGAAISVALGLLEGCSVNTGSRIMIFTSGPATLGPGIVVDSDLSNAIRTHGDLINGHASYYTKSCSFYNQLSQRLSDASVVLDLFACSLDQVGAAELKGPVESSGGFMMLGELFESDQFRKCLRQIFSHDEEGNLKMYFDATIEVVTTKDVKICGALGPCISLRKKNSVVSDRETGEGGTYKWKLGTLTNRTCIAFFFELCDEQRAEPGSAFLVQFITRYRHGNMGVRKRVTTAARRWVENKSPEITAGFDQETAASVMARLAIYRAERCFARDVIRWLDDDLICFASKFGDYIQEDPSSFRLSSNFSLYPQFMYYLRRSQFIDVFNCTPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPVPVLLDVRSIFADVILLFDSYFHVVIHYGSKIAQWKKLGYHKDPNHENLRKLLEAPEIDAQQVMVERVPAPKLIKCDQHSSQARFLLAKLNPSVTQNSTFIDGSEIILTDDLSLQDFIDHLQALAVKG >Potri.005G128501.1.v4.1 pep chromosome:Pop_tri_v4:5:9698712:9699416:-1 gene:Potri.005G128501.v4.1 transcript:Potri.005G128501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128501.v4.1 MFMAISLLPFFSTQALLLLILLFSSTYVTSQAPPASNFSCPVDSPTTCSTYFTYLAQPPNFLDLGNISDLFGVSRKEIATASNLESEDTPLFPNQLLLVPKPCGCTGNRSFANITYQIQQGESFYLVSTTSFENLTRWQEVEALNPSLTPTLLHAGDKVIFPLFCKCPSNTHLESGIAYLITYVWQPSDDLTKVAAKLNASERNIVIENNYVNFTAAVYLPVLIPVSQLPVLSQ >Potri.004G037200.3.v4.1 pep chromosome:Pop_tri_v4:4:2936371:2936691:-1 gene:Potri.004G037200.v4.1 transcript:Potri.004G037200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037200.v4.1 MVAHDGGYNVWPPAEAPLLNVQREDQHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPTSGTGGQGDLESQMGFNSKLGHPSPKVSDTLLSFMLAFNVFV >Potri.004G037200.1.v4.1 pep chromosome:Pop_tri_v4:4:2932870:2937060:-1 gene:Potri.004G037200.v4.1 transcript:Potri.004G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037200.v4.1 MVAHDGGYNVWPPAEAPLLNVQREDQHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPTSGTGGQGDLESQMGFNSKLGHPSPKMTVYTNGVSVLMPGDNIPTFIAHPAPVPCPPERISYLHDQQHIDSFPNPTVNPDTNSSSSSILSQQN >Potri.002G223000.1.v4.1 pep chromosome:Pop_tri_v4:2:20738365:20741149:1 gene:Potri.002G223000.v4.1 transcript:Potri.002G223000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223000.v4.1 MVIMDLDFERHSKRRKTKLEDDPQTTGMELLPREIAQDILSRLPITSLVKFKCVCRAWRAMALDPEVVNLYLSCSTQETDPCVILHCDFPIRNNLYFVDFAAHEEEKEKVKRIRAPFSSMMPEFEVVGSCNGLLCLSDSLFNDSLYIYNPFTGRYKELPKSLQYPDQEVVFGFGFNPKTNEYKVIRIVYYRNGHGRYPRSRRIIYPLSQVQILTLGCPGWRSLGKVSYRLVRRASETLVNGRLHWVSRPCRNKPARRLVSFDLTDEQFREVPKPDCGGLNRCDYHLAVLRGCLSVAVYCNYGRLEIWVMKEYNVKESWVKEYNIGAYMPKGLKQNLVRPLKIWKNASNGRAVRALCVLKNGEILLEYKNRALVSYDPKKGKFKDIDLQGTPKWFQTVVHVGSLNWIDTPSD >Potri.013G057500.1.v4.1 pep chromosome:Pop_tri_v4:13:4200626:4200808:-1 gene:Potri.013G057500.v4.1 transcript:Potri.013G057500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057500.v4.1 MEALKMRVFLAIVVVLMAVSAVQNVAAAEAPAPSPTSDATTFVPAVLASLVALAFGQLLF >Potri.017G092500.1.v4.1 pep chromosome:Pop_tri_v4:17:10528296:10531185:1 gene:Potri.017G092500.v4.1 transcript:Potri.017G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092500.v4.1 MASISVPCPKTVLVAAGTGSNAQNPLPKHRISFPRPPNSNQNPSLSFGSTVSGFEWPNRKQQAVVKVQAQLNEAIAKKSSNSALVVDTEPKVASSEGEDEPTESKIPDVSSISAFMTQVSELVKLVDSRDITELQLKQSDCELVIRKKEALQQSAPAAAILAMQPPYPHATFPAPLPAAAPAPAAAIPSPAPAPALPSPAKASSSSHPPLKCPMAGTFYRSPAPGEPPFVKAGDKVQKGQVICIIEAMKLMNEIEADQSGTITEIPAEDGKPVSVDSPLFVIVP >Potri.016G077500.1.v4.1 pep chromosome:Pop_tri_v4:16:5833697:5837689:-1 gene:Potri.016G077500.v4.1 transcript:Potri.016G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077500.v4.1 MMAMPNTLSVLALFALFFVLSNNGAEASHKIYPQFQTLSVENVNQVHRTGYHFQPPRNWINDPNAPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLINWESLEPALYPSKWFDNYGCWSGSATILPNGEPVIFYTGIADKNNSQIQNYAVPANLSDPYLREWVKPDDNPIVNPDVSVNGSAFRDPTTAWWADGHWRILIGSRRNHVGVAYLYRSRDLKKWAKTKHPLHSVQRTGMWECPDFFPVSSFGENGLDPSVNGQNVKHALKVSLDLTRYEYYTLGTYDNKKEKYFPDEGLVDGWAGLRLDYGNFYASKTFFDPSTNRRILWGWVNESDAVQQDTNKGWAGILSIPRKVWLDPSGKQLLQWPVVELEKLRGHNVQLSNQKLNQGDHVQVKGITVAQADVDVTFSFPSLDKAEPFDPKWAKLDALDVCAQKGSKAPGGLGPFGLLTLASENLEEFTPVFFKVFKAVDKHKVLLCSDARSSSLGEGLYKPSFAGFVDVDLTDKKLSLRSLIDHSVVESFGAGGRTAITSRVYPTIAVFEKAHLYVFNNGSETITVENLNAWSMNLPVMNVPIKNRGGENPRNE >Potri.016G077500.2.v4.1 pep chromosome:Pop_tri_v4:16:5833701:5836128:-1 gene:Potri.016G077500.v4.1 transcript:Potri.016G077500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077500.v4.1 MYYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLINWESLEPALYPSKWFDNYGCWSGSATILPNGEPVIFYTGIADKNNSQIQNYAVPANLSDPYLREWVKPDDNPIVNPDVSVNGSAFRDPTTAWWADGHWRILIGSRRNHVGVAYLYRSRDLKKWAKTKHPLHSVQRTGMWECPDFFPVSSFGENGLDPSVNGQNVKHALKVSLDLTRYEYYTLGTYDNKKEKYFPDEGLVDGWAGLRLDYGNFYASKTFFDPSTNRRILWGWVNESDAVQQDTNKGWAGILSIPRKVWLDPSGKQLLQWPVVELEKLRGHNVQLSNQKLNQGDHVQVKGITVAQADVDVTFSFPSLDKAEPFDPKWAKLDALDVCAQKGSKAPGGLGPFGLLTLASENLEEFTPVFFKVFKAVDKHKVLLCSDARSSSLGEGLYKPSFAGFVDVDLTDKKLSLRSLIDHSVVESFGAGGRTAITSRVYPTIAVFEKAHLYVFNNGSETITVENLNAWSMNLPVMNVPIKNRGGENPRNE >Potri.016G077500.3.v4.1 pep chromosome:Pop_tri_v4:16:5833847:5836079:-1 gene:Potri.016G077500.v4.1 transcript:Potri.016G077500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077500.v4.1 MYYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLINWESLEPALYPSKWFDNYGCWSGSATILPNGEPVIFYTGIADKNNSQIQNYAVPANLSDPYLREWVKPDDNPIVNPDVSVNGSAFRDPTTAWWADGHWRILIGSRRNHVGVAYLYRSRDLKKWAKTKHPLHSVQRTGMWECPDFFPVSSFGENGLDPSVNGQNVKHALKVSLDLTRYEYYTLGTYDNKKEKYFPDEGLVDGWAGLRLDYGNFYASKTFFDPSTNRRILWGWVNESDAVQQDTNKGWAGILSIPRKVWLDPSGKQLLQWPVVELEKLRGHNVQLSNQKLNQGDHVQVKGITVAQADVDVTFSFPSLDKAEPFDPKWAKLDALDVCAQKGSKAPGGLGPFGLLTLASENLEEFTPVFFKVFKAVDKHKVLLCSDARSSSLGEGLYKPSFAGFVDVDLTDKKLSLRSLV >Potri.011G064350.1.v4.1 pep chromosome:Pop_tri_v4:11:5473328:5474720:1 gene:Potri.011G064350.v4.1 transcript:Potri.011G064350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064350.v4.1 MFNVLGALIFFMGIKNQELELSRSVMTLNIDSGNMEDAINFILCISLSSSGQLQEPMTKGVAAGG >Potri.013G019500.1.v4.1 pep chromosome:Pop_tri_v4:13:1234331:1235324:1 gene:Potri.013G019500.v4.1 transcript:Potri.013G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019500.v4.1 MDDDKQDQLLPIANVGRVMKQHLPPTARVSKEAKQRMQECATEFISFVTSEASNKCRKENRKALNGDDVCWALSSLGFDDYADTTVRYLHKYREAEREKADQKKATDTEKVNKDEESNHTSCQAVQQQTDQIPEPTILEFRFL >Potri.010G221301.3.v4.1 pep chromosome:Pop_tri_v4:10:20642642:20647942:1 gene:Potri.010G221301.v4.1 transcript:Potri.010G221301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221301.v4.1 MVSMGKASCDGRFIRALSPTLKARVCKGSIVIFKRIRFSLKAKAFVFRKKSSFTYRVMHVYVGGGEKIYDVVLPADSTFLFFLCFRFFLGVSGIILVDLDLMEMPHGTLIFFEE >Potri.010G221301.2.v4.1 pep chromosome:Pop_tri_v4:10:20642642:20647942:1 gene:Potri.010G221301.v4.1 transcript:Potri.010G221301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221301.v4.1 MVSMGKASCDGRFIRALSPTLKARVCKGSIVIFKRIRFSLKAKAFVFRKKSSFTYRVMHVYVGGGEKIYDVVLPADSTFLFFLCFRCVFPMFFHENGGTHIKLSQKHVGFCHDGLSDSFSACRV >Potri.010G221301.1.v4.1 pep chromosome:Pop_tri_v4:10:20642679:20647857:1 gene:Potri.010G221301.v4.1 transcript:Potri.010G221301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221301.v4.1 MHAYMHVLTIMHPYILYVPGSLYAICRAHRFFDGLRGWRMRSHAYSHVYYPWFSLADGRFIRALSPTLKARVCKGSIVIFKRIRFSLKAKAFVFRKKSSFTYRVMHVYVGGGEKIYDVVLPADSTFLFFLCFRCVFPMFFHENGGTHIKLSQKHVGFCHDGLSDSFSACRV >Potri.010G170900.1.v4.1 pep chromosome:Pop_tri_v4:10:17248499:17252335:1 gene:Potri.010G170900.v4.1 transcript:Potri.010G170900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170900.v4.1 MLYICCVSFLVYCNRISFEHFSPSDKHKFLGYIQCKATMPQLCDLVIHVNGQQIFFLNQKILSAYSGKLKKIIGKEKRKSQIKNLSIGIDNFPGGPDGFEMVSRFCYNHGKIKITVSNVALLHCCAVFLGMTENLSPGNLLKQTETFLDGMIHWSWHDIIASLKSCESFFTCTDTSGLVEKLIRSLLEKLAQNSDIATLIASSSSSSSSPETASRFRFSSSAKTTPESTKPGSSSKQWWFDDLIILPPMIIEKIIANMGACGTDNNSFILTKFLLHYLKNAVLQCKGGAKSTVLYSRADYAGLAGTAVHGVSRNSFSCRGLFSVLRIVSGCGLSRDCRAKLERLIGGMLDQATLDDLLISGHDDRGVFDVNLVIRLMRIFVNSDFLSSQKLKKVGRLIDKYLCEISPDQNLETSKLLGVAESLPDSARDCFDGVYRAIDIYLESHPTLSFEERSRLCSCLNFGKMSFEACKDLAKNPRIPPNIAVQALKSQHSKIPLSDCPFSVKDVEGPSTSSSSGHMVLHSDNVERLSQETQEMRMNIQRMQCRVMELENACREMKGRISKVVRHDVNVMSSTPPYNYSRSPFPRLC >Potri.017G091300.2.v4.1 pep chromosome:Pop_tri_v4:17:10419509:10425196:1 gene:Potri.017G091300.v4.1 transcript:Potri.017G091300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091300.v4.1 MKMFCRWFRSLEKRMEGELKPELKEKVSNIFQDFLTRITKLEELAGIGSRLLNGYQQALEFLRRPPIDETSQLIKNIIKANETKRVKSYIEAGCINVHDSIQNTNKLHTCLLGLHNHLSKAKSLLNELEHLLEDLSGAIQTANGCLPPLKNEDCGDQLYQQITTDQSSFELGELEMTNYAVLMGIIYSMVKQDYVMQERIVNSLNLKSLSGELESYCVMWSLRPFIMDEVMHHAWRLIP >Potri.017G091300.3.v4.1 pep chromosome:Pop_tri_v4:17:10417980:10425196:1 gene:Potri.017G091300.v4.1 transcript:Potri.017G091300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091300.v4.1 MEGELKPELKEKVSNIFQDFLTRITKLEELAGIGSRLLNGYQQALEFLRRPPIDETSQLIKNIIKANETKRVKSYIEAGCINVHDSIQNTNKLHTCLLGLHNHLSKAKSLLNELEHLLEDLSGAIQTANGCLPPLKNEDCGDQLYQQITTDQSSFELGELEMTNYAVLMGIIYSMVKQDYVMQERIVNSLNLKSLSGELESYCVMWSLRPFIMDEVMHHAWRLIP >Potri.008G054700.4.v4.1 pep chromosome:Pop_tri_v4:8:3210835:3213731:-1 gene:Potri.008G054700.v4.1 transcript:Potri.008G054700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054700.v4.1 MISVNYKGEEKQFSAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRSLRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDAVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVESKNSLENYAYNMRNTVKDEKISSKLGADDKKKIEDAIDQAIQWLDTNQLAEADEFEDKMKELESICNPIIAKMYQGAGADMGGGMEEDAPPAGSGGAGPKIEEVD >Potri.019G011300.4.v4.1 pep chromosome:Pop_tri_v4:19:1646860:1649745:1 gene:Potri.019G011300.v4.1 transcript:Potri.019G011300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011300.v4.1 NQNWVRALFSTVWKAENKITGGGVAVKQVYLSKLNKNLRNCLDCELNFLSSVNHTNIIRLLDVFEDECCMFLVLEFCSGGNLSSYLQQHGRVEEKIAKRFTQQMGDGLKILQSHHIIHRDLKPENILLSGKESDVVLKIADFGLSRRVLPDNYVETVCGSPFYMAPEVLQFQRYDYKVDMWSVGVILFELLNGYPPFRGRTNFQLLQNIKSSSCLPFSQHILSGLHPDCVDICSRLLSANPVQRLSFDEFYHHKFLRRKGVGKYHGQ >Potri.019G011300.3.v4.1 pep chromosome:Pop_tri_v4:19:1646799:1650283:1 gene:Potri.019G011300.v4.1 transcript:Potri.019G011300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011300.v4.1 MDLEATKNLSESHTTGNYILKSKLAENKITGGGVAVKQVYLSKLNKNLRNCLDCELNFLSSVNHTNIIRLLDVFEDECCMFLVLEFCSGGNLSSYLQQHGRVEEKIAKRFTQQMGDGLKILQSHHIIHRDLKPENILLSGKESDVVLKIADFGLSRRVLPDNYVETVCGSPFYMAPEVLQFQRYDYKVDMWSVGVILFELLNGYPPFRGRTNFQLLQNIKSSSCLPFSQHILSGLHPDCVDICSRLLSANPVQRLSFDEFYHHKFLRRKGVGKYHGQ >Potri.001G382700.1.v4.1 pep chromosome:Pop_tri_v4:1:40241607:40243150:-1 gene:Potri.001G382700.v4.1 transcript:Potri.001G382700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382700.v4.1 MSGRNRGPPLPMKGGPHPSLPPAAIHEARFGRGLGPLPPHPGLLEDMREPQFGMDPRRLPPHHVMFEDRLAVQHQDIQVLLADNQRLAATHVALKQELEAAQHELHRMAHFGDAFHAEKDVQMRELHEKSLRMKMDLRGVEAMRNELHHVRSDIKELTDAKQELTGRMQAMSQDLARYNVDLQQVPSVKAEIENMKQELQRARAAIEYEKKGYAENYEHGVVMEKKLVGMAREMEKLRAEIANAEKRARAAAAIGNPAAAYNANYGNPETGYTANPYQAVYGMNPVQANAESFPQYGAGPGSWGAYDMQRAQGPR >Potri.001G382700.2.v4.1 pep chromosome:Pop_tri_v4:1:40235835:40243689:-1 gene:Potri.001G382700.v4.1 transcript:Potri.001G382700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382700.v4.1 MSGRNRGPPLPMKGGPHPSLPPAAIHEARFGRGLGPLPPHPGLLEDMREPQFGMDPRRLPPHHVMFEDRLAVQHQDIQVLLADNQRLAATHVALKQELEAAQHELHRMAHFGDAFHAEKDVQMRELHEKSLRMKMDLRGVEAMRNELHHVRSDIKELTDAKQELTGRMQAMSQDLARYNVDLQQVPSVKAEIENMKQELQRARAAIEYEKKGYAENYEHGVVMEKKLVGMAREMEKLRAEIANAEKRARAAAAIGNPAAAYNANYGNPETGYTANPYQAVYGMNPVQANAESFPQYGAGPGSWGAYDMQRAQGPR >Potri.001G182801.1.v4.1 pep chromosome:Pop_tri_v4:1:16150021:16150338:-1 gene:Potri.001G182801.v4.1 transcript:Potri.001G182801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182801.v4.1 MYQDLETIRQTGLILLSLECVIHTYWRESLSLSLLAISWMSENMRLNLIPLVCHGNWFSTGNQPLEVGAKSFEMMANRSWCEKLKKHIDFSGCNSTFARHSRNLA >Potri.008G162500.10.v4.1 pep chromosome:Pop_tri_v4:8:11167109:11175075:-1 gene:Potri.008G162500.v4.1 transcript:Potri.008G162500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162500.v4.1 MDTNDKNIEPVIDLGFSLGYSNQCIQRRLKNDSGAGANAASSVDMTFVATNALSELVWSPKKGLSLKCADGTFSNQKPSLLRGAGPSDMVSGSNADKAIGKKVFMTPPEESDVRSEVAGRDNPTKFVTSDTGLFPLSSESRHKVKIATDDHKEEMKTAVGLPFLQKMEDARNNKAEDIYDPINLQVDEISRTWETKFPSLSDETKLDVAQNGPTSKEPNVRIGGVGDASHTLQTEIVSASQVCSVEECESYDTNMQKAPLGREHFESPSCMEKERENNMGTGPYICPLEKLESTAENDFKTPHSENVCDVATEIVGSQNAKEVRSSSQQDDEILPKDNDCAIKQSPTYSRTRRYQMKGKAKALSDGNLNERMLDMDDDSHESVESCNSVGLFSTGKRQRNFDPHSYVGSKSIKTKIQESPGSSSFVKHDGSFMNWISNMMKGFLKSNEDEAPSLALTLANLKHGHEDRDKNLISCNRNQDQGCKTMGFHSLFQSLYCPKTKAQETVALNANTQTEGSKELGLDNKICDSNATPITCPMVTDNVYKRFLQPNEKLNESTSGNGTAPPALTKLLSTNIASGQEISGSNSAEKKNSCNMATDKEKDETSSNSSRGKRKRNDAEQPSEGKATNTSGYRSDPLTSLWITRLSPKTSGPLSNRDLCHRRTSEALDGFTDFIRLKAQWQNHPSSYQDKKIVGAREEEHFTEDPVCMQNCANSTEVSFSINKVNGHHDEKSMCKVNSTLPFSRFRNSEAMASVFARRLDALKHIMPSYGTDDSSHGNLTCFFCGIKGHHVRDCPEIIDSELADILRNANSFNGANEFPCVCIRCFQSNHWAVACPSASSRTRHQAEYGASLVHESSPCKILLNPRNEDDAKQSDGKDSQLQAADAPTVCNGKLHEASASRKMNMNMKPFERDTASSSGEKKLKENQVMPLSINSQILDVPKGIFDAVKRLRLSRTIILKWMNSHTPPSHLDGFFLRLRLGKWEQGLGGTGYYVACITGVQSQSSKQKFKNSIAVIVGGVKCLVESQYISNHDFTEGELVAWWCATLKDGGKTPSEEDLRLKVEGMKMLRF >Potri.008G162500.11.v4.1 pep chromosome:Pop_tri_v4:8:11167044:11173384:-1 gene:Potri.008G162500.v4.1 transcript:Potri.008G162500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162500.v4.1 MTFVATNALSELVWSPKKGLSLKCADGTFSNQKPSLLRGAGPSDMVSGSNADKAIGKKVFMTPPEESDVRSEVAGRDNPTKFVTSDTGLFPLSSESRHKVKIATDDHKEEMKTAVGLPFLQKMEDARNNKAEDIYDPINLQVDEISRTWETKFPSLSDETKLDVAQNGPTSKEPNVRIGGVGDASHTLQTEIVSASQVCSVEECESYDTNMQKAPLGREHFESPSCMEKERENNMGTGPYICPLEKLESTAENDFKTPHSENVCDVATEIVGSQNAKEVRSSSQQDDEILPKDNDCAIKQSPTYSRTRRYQMKGKAKALSDGNLNERMLDMDDDSHESVESCNSVGLFSTGKRQRNFDPHSYVGSKSIKTKIQESPGSSSFVKHDGSFMNWISNMMKGFLKSNEDEAPSLALTLANLKHGHEDRDKNLISCNRNQDQGCKTMGFHSLFQSLYCPKTKAQETVALNANTQTEGSKELGLDNKICDSNATPITCPMVTDNVYKRFLQPNEKLNESTSGNGTAPPALTKLLSTNIASGQEISGSNSAEKKNSCNMATDKEKDETSSNSSRGKRKRNDAEQPSEGKATNTSGYRSDPLTSLWITRLSPKTSGPLSNRDLCHRRTSEALDGFTDFIRLKAQWQNHPSSYQDKKIVGAREEEHFTEDPVCMQNCANSTEVSFSINKVNGHHDEKSMCKVNSTLPFSRFRNSEAMASVFARRLDALKHIMPSYGTDDSSHGNLTCFFCGIKGHHVRDCPEIIDSELADILRNANSFNGANEFPCVCIRCFQSNHWAVACPSASSRTRHQAEYGASLVHESSPCKILLNPRNEDDAKQSDGKDSQLQAADAPTVCNGKLHEASASRKMNMNMKPFERDTASSSGEKKLKENQVMPLSINSQILDVPKGIFDAVKRLRLSRTIILKWMNSHTPPSHLDGFFLRLRLGKWEQGLGGTGYYVACITGVQSQSSKQKFKNSIAVIVGGVKCLVESQYISNHDFTEGELVAWWCATLKDGGKTPSEEDLRLKVEGMKMLRF >Potri.008G162500.12.v4.1 pep chromosome:Pop_tri_v4:8:11167043:11172289:-1 gene:Potri.008G162500.v4.1 transcript:Potri.008G162500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162500.v4.1 MQKAPLGREHFESPSCMEKERENNMGTGPYICPLEKLESTAENDFKTPHSENVCDVATEIVGSQNAKEVRSSSQQDDEILPKDNDCAIKQSPTYSRTRRYQMKGKAKALSDGNLNERMLDMDDDSHESVESCNSVGLFSTGKRQRNFDPHSYVGSKSIKTKIQESPGSSSFVKHDGSFMNWISNMMKGFLKSNEDEAPSLALTLANLKHGHEDRDKNLISCNRNQDQGCKTMGFHSLFQSLYCPKTKAQETVALNANTQTEGSKELGLDNKICDSNATPITCPMVTDNVYKRFLQPNEKLNESTSGNGTAPPALTKLLSTNIASGQEISGSNSAEKKNSCNMATDKEKDETSSNSSRGKRKRNDAEQPSEGKATNTSGYRSDPLTSLWITRLSPKTSGPLSNRDLCHRRTSEALDGFTDFIRLKAQWQNHPSSYQDKKIVGAREEEHFTEDPVCMQNCANSTEVSFSINKVNGHHDEKSMCKVNSTLPFSRFRNSEAMASVFARRLDALKHIMPSYGTDDSSHGNLTCFFCGIKGHHVRDCPEIIDSELADILRNANSFNGANEFPCVCIRCFQSNHWAVACPSASSRTRHQAEYGASLVHESSPCKILLNPRNEDDAKQSDGKDSQLQAADAPTVCNGKLHEASASRKMNMNMKPFERDTASSSGEKKLKENQVMPLSINSQILDVPKGIFDAVKRLRLSRTIILKWMNSHTPPSHLDGFFLRLRLGKWEQGLGGTGYYVACITGVQSQSSKQKFKNSIAVIVGGVKCLVESQYISNHDFTEGELVAWWCATLKDGGKTPSEEDLRLKVEGMKMLRF >Potri.005G117500.1.v4.1 pep chromosome:Pop_tri_v4:5:8592281:8596119:-1 gene:Potri.005G117500.v4.1 transcript:Potri.005G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117500.v4.1 MDSLLQSLQTLPMSFFLIIISSIFFLGLISRLRRRSPYPPGPKGFPLIGSMHLMDQLTHRGLAKLAKQYGGLFHMRMGYLHMVAVSSPEVARQVLQVQDNIFSNRPANIAISYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWESVRDEVDSMVKTVESNIGKPVNVGELIFTLTMNITYRAAFGAKNEGQDEFIKILQEFSKLFGAFNISDFIPWLGWIDPQGLTARLVKARKALDKFIDHIIDDHIQKRKQNNYSEEAETDMVDDMLTFYSEETKVNESDDLQNAIKLTRDNIKAIIMDVMFGGTETVASAIEWAMAELLKSPEDIKRVQQELADVVGLERRVEESDFDKLTFFKCTLKETLRLHPPIPLLLHETSEDAEVAGYYVPKKTRVMINAYAIGRDKNSWEDPDSFKPSRFLEPGVPDFKGNHFEFIPFGSGRRSCPGMQLGLYALDLAVAHLLHCFTWELPDGMKPSELDMTDMFGLTAPRATRLVAVPRKRVVCPF >Potri.005G117500.2.v4.1 pep chromosome:Pop_tri_v4:5:8593004:8594031:-1 gene:Potri.005G117500.v4.1 transcript:Potri.005G117500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117500.v4.1 MGSTLCMHLWQDVMFGGTETVASAIEWAMAELLKSPEDIKRVQQELADVVGLERRVEESDFDKLTFFKCTLKETLRLHPPIPLLLHETSEDAEVAGYYVPKKTRVMINAYAIGRDKNSWEDPDSFKPSRFLEPGVPDFKGNHFEFIPFGSGRRSCPGMQLGLYALDLAVAHLLHCFTWELPDGMKPSELDMTDMFGLTAPRATRLVAVPRKRVVCPF >Potri.014G121400.2.v4.1 pep chromosome:Pop_tri_v4:14:8156096:8159196:1 gene:Potri.014G121400.v4.1 transcript:Potri.014G121400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121400.v4.1 MIRCCLHSNWHSSFKGQLYSNTKLIPLYQRQGRGGGGGQCSREQVCLPGCSSLPFSHSCCSSRDRRVGHTHGQIPFVWPYSSAPRTILQEKLSRILNSTASNKSKTSLLPSFTIQGKVSELSVRWFSSSSNDDTDSDAENDENDESDNCERENKGAIVKSTADPAEVHKVCKVIDELFALDHNMEAVLDECGINLSHDLVIEVLERFRHARKPAFRFFCWAAEKPGFVHDSRTYHSMMIILAKARQFETMMSMLEEMGEKRLLTLDTFSIAMRAFAAAKERKKAVGIFELMKNHKYRVGVETINALLDSLGRAKLGKEAQALFGKLEGRFTPNLRTYTVLLNGWCRVKNLMEAGRIWNEMLDEGFKPDIVTHNIMLEGLLRSKKRSDAIKFFEVMKAKGPSPDVRSYTILIRDLCKQTKMKEAVGYFYEMVDSGCHPDAAVYTCLMTGYGNHKRMDMVYELLKEMKEKGCPPDGKTYNALIKLMTSQRMPDDAVRIYKKMIQNGIEPSIHSYNMIMKSYFRIRNYEMGHAVWDEMSKKGFCPDDNSYTVFIGGLISQGRSEEACKYLEEMIEKGMKAPQLDYNKFAADFSRAGKPDILEELAQKMKFSGKFEVSNVFARWAEMMKKRVKRREPGNRKCTQLAQGQRYSLYEMADG >Potri.012G014800.1.v4.1 pep chromosome:Pop_tri_v4:12:1749083:1749677:-1 gene:Potri.012G014800.v4.1 transcript:Potri.012G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014800.v4.1 MLRLPRIAASRALLVAYVLLLSTIICSYFLFTEARISGFSVIQENLPVHRSARQIPPRIFSPAISPPHGHG >Potri.006G132551.1.v4.1 pep chromosome:Pop_tri_v4:6:10852817:10854790:-1 gene:Potri.006G132551.v4.1 transcript:Potri.006G132551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132551.v4.1 MAAAAAARPLVSVQPLPASLNDMATDSVTTVALPDVMKASIRPDIVNYVHSNISKNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVSGGGTHRAGQGAFGNMCRGGRMFAPTKTWRRWHRKINVNQKRYAVVSAIAASAIPSLVMARGHRVESVPEMPLVISDSAESIEKTSTAIKVLKEIGAYPDAEKAKDSQAIRAGKGKMRNRRYISRKGPLIVYGTEGAKLVKAFRNIPGVEVANVERLNLLRLAPGGHLGRFVIWTKSAIEKLDSIYGTFDKSSEKKKGYVLPRTKMVNADLARIINSDEVQSVVNPIKKEVKRAPLKKNPLKNLNVMLKLNPYAKTARRMALLAEAERVKSKKEKLDRKRKPVSKEELAAAKAAGKAWYKTMISDSDYTEFENFTKWLGVSQ >Potri.008G020600.4.v4.1 pep chromosome:Pop_tri_v4:8:1016429:1027603:-1 gene:Potri.008G020600.v4.1 transcript:Potri.008G020600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020600.v4.1 MWNENLLRLQKFPEDLNSKNQQRSEMLMNERSGGSNFLKMGTQIHRNPSDLGTQRLEDRTKTIVLNKRVRSSVAESRVDGRSNTVLRQPLVTGKDRDIHRDGEVSNLTEEKVRRLPAGGEGWDKKMKKKRSVGTVFTRTIDSDGEVKRMMNHKFNNEHSLQSYDAQGFRSGSFNGSSGMNKVDGISSSANSNTRAIPKESEKVSLTRDYAAGMNKERLVVKANNKVNITEDNNHTVSPSPLTKGKASRTPRTSSLMAASTSTNTPLSPGGFDGWEQPPAITKVNSVGGPNNRKRPMPTGSSSPPMAKWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERGHVSDFATRVTSGIDGPPLAKDVLNGTTQVRVKHENVSSPSRLSESEESGAGENREGKPKDKRTGSGGVEERSLNQNAVPSLLVTKKNKTLGREDTGDGVRRQGRTARGPSSRTNISPMREKLENPASTKPLRNTRPISDKSGSKTGRPPLKKISDRKAFTRLGQIPISGSPDFSGESDDDREELLAAANFACNASYLSCSGSFWKKMEPVFAPICSGDSSYLKQQLKSVEDLHKRLYEMFDCSNNSGDFVLEEDIPSQLIHEESERNLQDQDPPKKLVRTSDLVDPKQDNSAVCGGSRTRNKATPLYQRVLSALIVEDGSEKFAENSGGRNISFQCTGDSSPGDDCLSVDFEPGSTNGIDFNYESMLGFQHQKQSSVDGFSCNGNSTVNRIGGFHNNSYIDHLVQGGNGFMHSKTGMFPGSFENNDEKSTIHSNAISMSAYDCQYEQLGLEDKLLMELQSVGLYPETVPDLADGEDEAINEDIIELQNKLQQVGKKEHLDNLTRAVEEGRELQEWPLEQVAMDRLVELAHRKQLATRGNNASKFGVPKVSKQVALAFTRRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRAIVVESTSCIQDPGASGSFTGRADRHDLHNDKFGRGVSLDHDFARTGPLLNRGRKKELLLDDVGGNALFKTTSSVGNTQLGGAKGKRSERERDKDVLARNSVTRAGRASQSNIKGDRKTKSKPKQKIAQLSASGDGIINKFKETGSNKKREVGATSKGSNPVDSSKKSRATNIAEFQDLDSIELHEGNDFSDTQDLNSLFDGLPENDFAGEILLDDLPLQIPMDDLSMIL >Potri.008G020600.1.v4.1 pep chromosome:Pop_tri_v4:8:1016406:1027669:-1 gene:Potri.008G020600.v4.1 transcript:Potri.008G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020600.v4.1 MAGNVRYDLSSASPEELGFTGSFSNGQRGSYPNASFDRSGSFRESSESRMFSSGASTPRASASPARSMGPLTQHLSLDPVTMGDPKYTRTGELKRAFGISLGSATEDNSFGAAHSKPPPAVDVEELKRIRAGVLDDYRKSRNRAKMWNENLLRLQKFPEDLNSKNQQRSEMLMNERSGGSNFLKMGTQIHRNPSDLGTQRLEDRTKTIVLNKRVRSSVAESRVDGRSNTVLRQPLVTGKDRDIHRDGEVSNLTEEKVRRLPAGGEGWDKKMKKKRSVGTVFTRTIDSDGEVKRMMNHKFNNEHSLQSYDAQGFRSGSFNGSSGMNKVDGISSSANSNTRAIPKESEKVSLTRDYAAGMNKERLVVKANNKVNITEDNNHTVSPSPLTKGKASRTPRTSSLMAASTSTNTPLSPGGFDGWEQPPAITKVNSVGGPNNRKRPMPTGSSSPPMAKWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERGHVSDFATRVTSGIDGPPLAKDVLNGTTQVRVKHENVSSPSRLSESEESGAGENREGKPKDKRTGSGGVEERSLNQNAVPSLLVTKKNKTLGREDTGDGVRRQGRTARGPSSRTNISPMREKLENPASTKPLRNTRPISDKSGSKTGRPPLKKISDRKAFTRLGQIPISGSPDFSGESDDDREELLAAANFACNASYLSCSGSFWKKMEPVFAPICSGDSSYLKQQLKSVEDLHKRLYEMFDCSNNSGDFVLEEDIPSQLIHEESERNLQDQDPPKKLVRTSDLVDPKQDNSAVCGGSRTRNKATPLYQRVLSALIVEDGSEKFAENSGGRNISFQCTGDSSPGDDCLSVDFEPGSTNGIDFNYESMLGFQHQKQSSVDGFSCNGNSTVNRIGGFHNNSYIDHLVQGGNGFMHSKTGMFPGSFENNDEKSTIHSNAISMSAYDCQYEQLGLEDKLLMELQSVGLYPETVPDLADGEDEAINEDIIELQNKLQQVGKKEHLDNLTRAVEEGRELQEWPLEQVAMDRLVELAHRKQLATRGNNASKFGVPKVSKQVALAFTRRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRAIVVESTSCIQDPGASGSFTGRADRHDLHNDKFGRGVSLDHDFARTGPLLNRGRKKELLLDDVGGNALFKTTSSVGNTQLGGAKGKRSERERDKDVLARNSVTRAGRASQSNIKGDRKTKSKPKQKIAQLSASGDGIINKFKETGSNKKREVGATSKGSNPVDSSKKSRATNIAEFQDLDSIELHEGNDFSDTQDLNSLFDGLPENDFAGEILLDDLPLQIPMDDLSMIL >Potri.008G020600.6.v4.1 pep chromosome:Pop_tri_v4:8:1016504:1027433:-1 gene:Potri.008G020600.v4.1 transcript:Potri.008G020600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020600.v4.1 MAGNVRSGSFRESSESRMFSSGASTPRASASPARSMGPLTQHLSLDPVTMGDPKYTRTGELKRAFGISLGSATEDNSFGAAHSKPPPAVDVEELKRIRAGVLDDYRKSRNRAKMWNENLLRLQKFPEDLNSKNQQRSEMLMNERSGGSNFLKMGTQIHRNPSDLGTQRLEDRTKTIVLNKRVRSSVAESRVDGRSNTVLRQPLVTGKDRDIHRDGEVSNLTEEKVRRLPAGGEGWDKKMKKKRSVGTVFTRTIDSDGEVKRMMNHKFNNEHSLQSYDAQGFRSGSFNGSSGMNKVDGISSSANSNTRAIPKESEKVSLTRDYAAGMNKERLVVKANNKVNITEDNNHTVSPSPLTKGKASRTPRTSSLMAASTSTNTPLSPGGFDGWEQPPAITKVNSVGGPNNRKRPMPTGSSSPPMAKWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERGHVSDFATRVTSGIDGPPLAKDVLNGTTQVRVKHENVSSPSRLSESEESGAGENREGKPKDKRTGSGGVEERSLNQNAVPSLLVTKKNKTLGREDTGDGVRRQGRTARGPSSRTNISPMREKLENPASTKPLRNTRPISDKSGSKTGRPPLKKISDRKAFTRLGQIPISGSPDFSGESDDDREELLAAANFACNASYLSCSGSFWKKMEPVFAPICSGDSSYLKQQLKSVEDLHKRLYEMFDCSNNSGDFVLEEDIPSQLIHEESERNLQDQDPPKKLVRTSDLVDPKQDNSAVCGGSRTRNKATPLYQRVLSALIVEDGSEKFAENSGGRNISFQCTGDSSPGDDCLSVDFEPGSTNGIDFNYESMLGFQHQKQSSVDGFSCNGNSTVNRIGGFHNNSYIDHLVQGGNGFMHSKTGMFPGSFENNDEKSTIHSNAISMSAYDCQYEQLGLEDKLLMELQSVGLYPETVPDLADGEDEAINEDIIELQNKLQQVGKKEHLDNLTRAVEEGRELQEWPLEQVAMDRLVELAHRKQLATRGNNASKFGVPKVSKQVALAFTRRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRAIVVESTSCIQDPGASGSFTGRADRHDLHNDKFGRGVSLDHDFARTGPLLNRGRKKELLLDDVGGNALFKTTSSVGNTQLGGAKGKRSERERDKDVLARNSVTRAGRASQSNIKGDRKTKSKPKQKIAQLSASGDGIINKFKETGSNKKREVGATSKGSNPVDSSKKSRATNIAEFQDLDSIELHEGNDFSDTQDLNSLFDGLPENDFAGEILLDDLPLQIPMDDLSMIL >Potri.008G020600.2.v4.1 pep chromosome:Pop_tri_v4:8:1016406:1027433:-1 gene:Potri.008G020600.v4.1 transcript:Potri.008G020600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020600.v4.1 MFSSGASTPRASASPARSMGPLTQHLSLDPVTMGDPKYTRTGELKRAFGISLGSATEDNSFGAAHSKPPPAVDVEELKRIRAGVLDDYRKSRNRAKMWNENLLRLQKFPEDLNSKNQQRSEMLMNERSGGSNFLKMGTQIHRNPSDLGTQRLEDRTKTIVLNKRVRSSVAESRVDGRSNTVLRQPLVTGKDRDIHRDGEVSNLTEEKVRRLPAGGEGWDKKMKKKRSVGTVFTRTIDSDGEVKRMMNHKFNNEHSLQSYDAQGFRSGSFNGSSGMNKVDGISSSANSNTRAIPKESEKVSLTRDYAAGMNKERLVVKANNKVNITEDNNHTVSPSPLTKGKASRTPRTSSLMAASTSTNTPLSPGGFDGWEQPPAITKVNSVGGPNNRKRPMPTGSSSPPMAKWVGQRPQKISRTRRVNVVSPVSNHDEGQMSSERGHVSDFATRVTSGIDGPPLAKDVLNGTTQVRVKHENVSSPSRLSESEESGAGENREGKPKDKRTGSGGVEERSLNQNAVPSLLVTKKNKTLGREDTGDGVRRQGRTARGPSSRTNISPMREKLENPASTKPLRNTRPISDKSGSKTGRPPLKKISDRKAFTRLGQIPISGSPDFSGESDDDREELLAAANFACNASYLSCSGSFWKKMEPVFAPICSGDSSYLKQQLKSVEDLHKRLYEMFDCSNNSGDFVLEEDIPSQLIHEESERNLQDQDPPKKLVRTSDLVDPKQDNSAVCGGSRTRNKATPLYQRVLSALIVEDGSEKFAENSGGRNISFQCTGDSSPGDDCLSVDFEPGSTNGIDFNYESMLGFQHQKQSSVDGFSCNGNSTVNRIGGFHNNSYIDHLVQGGNGFMHSKTGMFPGSFENNDEKSTIHSNAISMSAYDCQYEQLGLEDKLLMELQSVGLYPETVPDLADGEDEAINEDIIELQNKLQQVGKKEHLDNLTRAVEEGRELQEWPLEQVAMDRLVELAHRKQLATRGNNASKFGVPKVSKQVALAFTRRTLAKCRKFEDTGKSCFCEPPLRDVIFAAPRAIVVESTSCIQDPGASGSFTGRADRHDLHNDKFGRGVSLDHDFARTGPLLNRGRKKELLLDDVGGNALFKTTSSVGNTQLGGAKGKRSERERDKDVLARNSVTRAGRASQSNIKGDRKTKSKPKQKIAQLSASGDGIINKFKETGSNKKREVGATSKGSNPVDSSKKSRATNIAEFQDLDSIELHEGNDFSDTQDLNSLFDGLPENDFAGEILLDDLPLQIPMDDLSMIL >Potri.001G172500.2.v4.1 pep chromosome:Pop_tri_v4:1:14817523:14820067:1 gene:Potri.001G172500.v4.1 transcript:Potri.001G172500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172500.v4.1 MGGPASSSSSSSEEEDANWKAAIESIAATTTFANKVLSSTSNGSTSRSAPTTEAYAENSQDSRKLKHYQIKAQKCLDIILERTLEMVRDPVSDNDLDANDVGVRLFKHSPAGIVFDHLDEIQGPRKRPRILPGHGIDEKSKKFRHQIQSIAVDGADIISEARDAERKSLAQLEAKEAKAKAKAKKEEERVVELKRIRGERWLPSIAREMQVPSKKMKTIIKVWAINELGVINMN >Potri.015G071400.1.v4.1 pep chromosome:Pop_tri_v4:15:9706694:9713781:1 gene:Potri.015G071400.v4.1 transcript:Potri.015G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071400.v4.1 MLACRFRTWVLLLLVIFPSLFDPSFSHFDSAKKKQMREKVRKMFYHAYENYMTHAFPHDELKPLSRSFTDSLSELGNLKLEHLPQNYNGTALTLIESLSSLVILGNYTEFERAVLWLSENLTFDVDARVNLFECNIRVLGGLVSAHILATDSTNRLVQGSYKNQLLVLAEDLGRRFLPAFDTPTGLPYAWINLKYGVMKNETTETSTSGCGSLILEMGALSRLTGDPRYESAALRALRKLWSMRSSLNLLGTTLDVETGEWIEHSSGIGAGVDSFYEYLFKAHILFGKEDFWRMFHSAYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIAAANSSHREFVHVWKKFGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATKDPWYIEVGETIVNSLNSYTKVEGGFASIRDVTTMQTEDHMHSFFLAETCKYLYLLFDDSFLVDRNYIFTTEGHPLPVLSAWHERLPEIYIPSNWTYVKNERQTKRSSAMSLQVCPALSLNAGHGEQQVESACHVPDDQSDHKCFSDEECGVDSTSCRRRSCSMAGYCGLWLVA >Potri.002G029100.2.v4.1 pep chromosome:Pop_tri_v4:2:1960696:1963889:1 gene:Potri.002G029100.v4.1 transcript:Potri.002G029100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G029100.v4.1 MADVGGSASSGRMWCSVPERFQLHLAMLALQFGYAGFHVVSRAALNMGVSKLVFPVYRNTIALLLLLPFAYFLEKKERPALTLNFVVQFFLLALVGITANQGFYLLGLENTSPTFASAIQNSVPAITFLMAALLRIEKVRINRIDGIAKVLGTICCVAGASVITLYNGPVIYSPAPHLNRPAPMFVSLGDARGKNWTLGCIYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFLIIAAFMERDPQAWIFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAALIIIGLYLVLWGKSEEKKFLALEKAAIQSTPEHGISRAQTHIKTSLTQPLLPSSTENV >Potri.010G135500.3.v4.1 pep chromosome:Pop_tri_v4:10:15077225:15094003:1 gene:Potri.010G135500.v4.1 transcript:Potri.010G135500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135500.v4.1 MKPHILLLTNNLPLPSPNLPFGTRKSLPLAFLSRNTSIHFPRFQSPKFKVVEAVRFDCPVTDVTELEGEDCELVLETCITRTLPPALTLERGIESIKAAVDDLKSNPPCSLHGVFRFQVAVPPSPKALNWFCSLPESDGVFPRFFLSKETEDASCKKLYLHRTRGVFGLGSAICFEASSYRAPEKLKRIRRYLSSDSTHIMTYGFMDINFNKESSSIKHEAGSFYFLIPEVELDEQEEASILVITLAWDENSCWTFEQAIQSFESSIDQASFCFWPDTERCYSKCIKSTFRNFNLMEAKTFQMACTDALFLDRRDYQADTTELVSPFSWTIFSTQFCFRLSPVVGVSSNMLDDAGETSYSLQDQSNINAVWASLIVEECSRLGIMYFCVAPGSRSSPLAIAASTHPLTTCISCFDERSLAFHAVGYSKGSHKPAVIITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPPELLDAGANQAINQVNHFGSFVRFTFSLPAPTDNIPARMVLTTIDSAVHWATSLPYGPVHINCPFREPLDDSSDNWMLSCLKGLDIWMSGAEPFTKYIQLQNSLACKDGACVPMAEVLEIIKGTDRGLLLLGAIHTEDEIWAALILAKHLNWPVVADILSGLRLRKLLPSLPEIEENVLFVDHLDHALLSEWVRGWIRFDVIVQIGSRITSKRVSQMMEECFPCTYILVDNHPCRHDPSHFVTHRVQCSIRQFADSLMKAQFSHRNSKWCCFLRVLNTMVAWDISFQINAENSLTEPHVAHVITEALSAESALFVGNSMVIRDADMYGHNCKTHAHSIAHMMLDSKLPYLGIRVAGNRGASGIDGLLSTAIGFAVGCNKQVLCLVGDVSMLHDTNGLAILTQRVSRKPMRILVINNHGGAIFSLLPIADKTDPRILDQYFYTSHRISIHKLCAAHSVRHLRVKTKVQLQEALLKFEHEKTDCVIEVESGIGANSTFHSTLRKSAQQAADHALSILSRLSVRVSISDGLFLCKIHKMDFSLYRIQLCAPPTSSSVDHHQNEFHREGYILSVSLEDGSVGYGEVAPLEIHKENLADVEEQLLFLLHVIKGIKINVSLPILKGSFTSWIWSNLGIMECSIFPSVRCGLEMAVLNAIAVSQGSSFISMLQPWMINEEIYEKSSVKICALIDSNGTPTEVAYIASSLVEEGFTAIKLKVARRADPIQDATVICKVRKEVGPCIELRADANRKWTYEEAIQFGFLVKDCDLQYIEEPVENVDDIVKFCEETGLPAALDETIDNFQESHLKMLAKYTHPGIVAVVIKPSVVGGFEKAALIARWAQKHGKMAVVSAAFESGLGLSTYILFSYYLEQLNAVYTVMNRETRPSIAHGLGTYRWLKQDVTAIPLGIHYDPCKGFVGASVAASIQLLQNFQVNNNVIHKTFNEEQVHRYHLTVNSKNFSYSIKVHEVGQESNDNVVIFLHGFLGTGEDWVPIMKAISRSAKCISIDLPGHGGSKIQNHGSEGAQEEATLSIEIVADVLYKLIQGITPFKVTLVGYSMGARIALHMALRLSHKIDGAVIISGSPGLKDTMARKIRQAKDDSRADFLVAYGLELFLDSWYAGELWKSLRSHPHFKEIVAGRLVHEDVQSLAKALSGLSTGSQLPLWEDLKRCDLPLLLIVGEKDAKFKSIAQKMFHEVVQDRKGEDRRGNNICEILEVPNCGHAVHLENPLPIISAMRKFLTRGRSSRAQQ >Potri.010G135500.6.v4.1 pep chromosome:Pop_tri_v4:10:15085807:15094021:1 gene:Potri.010G135500.v4.1 transcript:Potri.010G135500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135500.v4.1 MAVLNAIAVSQGSSFISMLQPWMINEEIYEKSSVKICALIDSNGTPTEVAYIASSLVEEGFTAIKLKVARRADPIQDATVICKVRKEVGPCIELRADANRKWTYEEAIQFGFLVKDCDLQYIEEPVENVDDIVKFCEETGLPAALDETIDNFQESHLKMLAKYTHPGIVAVVIKPSVVGGFEKAALIARWAQKHGKMAVVSAAFESGLGLSTYILFSYYLEQLNAVYTVMNRETRPSIAHGLGTYRWLKQDVTAIPLGIHYDPCKGFVGASVAASIQLLQNFQVNNNVIHKTFNEEQVHRYHLTVNSKNFSYSIKVHEVGQESNDNVVIFLHGFLGTGEDWVPIMKAISRSAKCISIDLPGHGGSKIQNHGSEGAQEEATLSIEIVADVLYKLIQGITPFKVTLVGYSMGARIALHMALRLSHKIDGAVIISGSPGLKDTMARKIRQAKDDSRADFLVAYGLELFLDSWYAGELWKSLRSHPHFKEIVAGRLVHEDVQSLAKALSGLSTGSQLPLWEDLKRCDLPLLLIVGEKDAKFKSIAQKMFHEVVQDRKGEDRRGNNICEILEVPNCGHAVHLENPLPIISAMRKFLTRGRSSRAQQ >Potri.010G135500.1.v4.1 pep chromosome:Pop_tri_v4:10:15081120:15094005:1 gene:Potri.010G135500.v4.1 transcript:Potri.010G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135500.v4.1 MLDDAGETSYSLQDQSNINAVWASLIVEECSRLGIMYFCVAPGSRSSPLAIAASTHPLTTCISCFDERSLAFHAVGYSKGSHKPAVIITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPPELLDAGANQAINQVNHFGSFVRFTFSLPAPTDNIPARMVLTTIDSAVHWATSLPYGPVHINCPFREPLDDSSDNWMLSCLKGLDIWMSGAEPFTKYIQLQNSLACKDGACVPMAEVLEIIKGTDRGLLLLGAIHTEDEIWAALILAKHLNWPVVADILSGLRLRKLLPSLPEIEENVLFVDHLDHALLSEWVRGWIRFDVIVQIGSRITSKRVSQMMEECFPCTYILVDNHPCRHDPSHFVTHRVQCSIRQFADSLMKAQFSHRNSKWCCFLRVLNTMVAWDISFQINAENSLTEPHVAHVITEALSAESALFVGNSMVIRDADMYGHNCKTHAHSIAHMMLDSKLPYLGIRVAGNRGASGIDGLLSTAIGFAVGCNKQVLCLVGDVSMLHDTNGLAILTQRVSRKPMRILVINNHGGAIFSLLPIADKTDPRILDQYFYTSHRISIHKLCAAHSVRHLRVKTKVQLQEALLKFEHEKTDCVIEVESGIGANSTFHSTLRKSAQQAADHALSILSRLSVRVSISDGLFLCKIHKMDFSLYRIQLCAPPTSSSVDHHQNEFHREGYILSVSLEDGSVGYGEVAPLEIHKENLADVEEQLLFLLHVIKGIKINVSLPILKGSFTSWIWSNLGIMECSIFPSVRCGLEMAVLNAIAVSQGSSFISMLQPWMINEEIYEKSSVKICALIDSNGTPTEVAYIASSLVEEGFTAIKLKVARRADPIQDATVICKVRKEVGPCIELRADANRKWTYEEAIQFGFLVKDCDLQYIEEPVENVDDIVKFCEETGLPAALDETIDNFQESHLKMLAKYTHPGIVAVVIKPSVVGGFEKAALIARWAQKHGKMAVVSAAFESGLGLSTYILFSYYLEQLNAVYTVMNRETRPSIAHGLGTYRWLKQDVTAIPLGIHYDPCKGFVGASVAASIQLLQNFQVNNNVIHKTFNEEQVHRYHLTVNSKNFSYSIKVHEVGQESNDNVVIFLHGFLGTGEDWVPIMKAISRSAKCISIDLPGHGGSKIQNHGSEGAQEEATLSIEIVADVLYKLIQGITPFKVTLVGYSMGARIALHMALRLSHKIDGAVIISGSPGLKDTMARKIRQAKDDSRADFLVAYGLELFLDSWYAGELWKSLRSHPHFKEIVAGRLVHEDVQSLAKALSGLSTGSQLPLWEDLKRCDLPLLLIVGEKDAKFKSIAQKMFHEVVQDRKGEDRRGNNICEILEVPNCGHAVHLENPLPIISAMRKFLTRGRSSRAQQ >Potri.010G135500.5.v4.1 pep chromosome:Pop_tri_v4:10:15084310:15094007:1 gene:Potri.010G135500.v4.1 transcript:Potri.010G135500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135500.v4.1 MMEECFPCTYILVDNHPCRHDPSHFVTHRVQCSIRQFADSLMKAQFSHRNSKWCCFLRVLNTMVAWDISFQINAENSLTEPHVAHVITEALSAESALFVGNSMVIRDADMYGHNCKTHAHSIAHMMLDSKLPYLGIRVAGNRGASGIDGLLSTAIGFAVGCNKQVLCLVGDVSMLHDTNGLAILTQRVSRKPMRILVINNHGGAIFSLLPIADKTDPRILDQYFYTSHRISIHKLCAAHSVRHLRVKTKVQLQEALLKFEHEKTDCVIEVESGIGANSTFHSTLRKSAQQAADHALSILSRLSVRVSISDGLFLCKIHKMDFSLYRIQLCAPPTSSSVDHHQNEFHREGYILSVSLEDGSVGYGEVAPLEIHKENLADVEEQLLFLLHVIKGIKINVSLPILKGSFTSWIWSNLGIMECSIFPSVRCGLEMAVLNAIAVSQGSSFISMLQPWMINEEIYEKSSVKICALIDSNGTPTEVAYIASSLVEEGFTAIKLKVARRADPIQDATVICKVRKEVGPCIELRADANRKWTYEEAIQFGFLVKDCDLQYIEEPVENVDDIVKFCEETGLPAALDETIDNFQESHLKMLAKYTHPGIVAVVIKPSVVGGFEKAALIARWAQKHGKMAVVSAAFESGLGLSTYILFSYYLEQLNAVYTVMNRETRPSIAHGLGTYRWLKQDVTAIPLGIHYDPCKGFVGASVAASIQLLQNFQVNNNVIHKTFNEEQVHRYHLTVNSKNFSYSIKVHEVGQESNDNVVIFLHGFLGTGEDWVPIMKAISRSAKCISIDLPGHGGSKIQNHGSEGAQEEATLSIEIVADVLYKLIQGITPFKVTLVGYSMGARIALHMALRLSHKIDGAVIISGSPGLKDTMARKIRQAKDDSRADFLVAYGLELFLDSWYAGELWKSLRSHPHFKEIVAGRLVHEDVQSLAKALSGLSTGSQLPLWEDLKRCDLPLLLIVGEKDAKFKSIAQKMFHEVVQDRKGEDRRGNNICEILEVPNCGHAVHLENPLPIISAMRKFLTRGRSSRAQQ >Potri.010G135500.4.v4.1 pep chromosome:Pop_tri_v4:10:15078750:15093837:1 gene:Potri.010G135500.v4.1 transcript:Potri.010G135500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135500.v4.1 MEAKTFQMACTDALFLDRRDYQADTTELRRTIFSTQFCFRLSPVVGVSSNMLDDAGETSYSLQDQSNINAVWASLIVEECSRLGIMYFCVAPGSRSSPLAIAASTHPLTTCISCFDERSLAFHAVGYSKGSHKPAVIITSSGTAVSNLLPAVVEASQDFVPLLLLTADRPPELLDAGANQAINQVNHFGSFVRFTFSLPAPTDNIPARMVLTTIDSAVHWATSLPYGPVHINCPFREPLDDSSDNWMLSCLKGLDIWMSGAEPFTKYIQLQNSLACKDGACVPMAEVLEIIKGTDRGLLLLGAIHTEDEIWAALILAKHLNWPVVADILSGLRLRKLLPSLPEIEENVLFVDHLDHALLSEWVRGWIRFDVIVQIGSRITSKRVSQMMEECFPCTYILVDNHPCRHDPSHFVTHRVQCSIRQFADSLMKAQFSHRNSKWCCFLRVLNTMVAWDISFQINAENSLTEPHVAHVITEALSAESALFVGNSMVIRDADMYGHNCKTHAHSIAHMMLDSKLPYLGIRVAGNRGASGIDGLLSTAIGFAVGCNKQVLCLVGDVSMLHDTNGLAILTQRVSRKPMRILVINNHGGAIFSLLPIADKTDPRILDQYFYTSHRISIHKLCAAHSVRHLRVKTKVQLQEALLKFEHEKTDCVIEVESGIGANSTFHSTLRKSAQQAADHALSILSRLSVRVSISDGLFLCKIHKMDFSLYRIQLCAPPTSSSVDHHQNEFHREGYILSVSLEDGSVGYGEVAPLEIHKENLADVEEQLLFLLHVIKGIKINVSLPILKGSFTSWIWSNLGIMECSIFPSVRCGLEMAVLNAIAVSQGSSFISMLQPWMINEEIYEKSSVKICALIDSNGTPTEVAYIASSLVEEGFTAIKLKVARRADPIQDATVICKVRKEVGPCIELRADANRKWTYEEAIQFGFLVKDCDLQYIEEPVENVDDIVKFCEETGLPAALDETIDNFQESHLKMLAKYTHPGIVAVVIKPSVVGGFEKAALIARWAQKHGKMAVVSAAFESGLGLSTYILFSYYLEQLNAVYTVMNRETRPSIAHGLGTYRWLKQDVTAIPLGIHYDPCKGFVGASVAASIQLLQNFQVNNNVIHKTFNEEQVHRYHLTVNSKNFSYSIKVHEVGQESNDNVVIFLHGFLGTGEDWVPIMKAISRSAKCISIDLPGHGGSKIQNHGSEGAQEEATLSIEIVADVLYKLIQGITPFKVTLVGYSMGARIALHMALRLSHKIDGAVIISGSPGLKDTMARKIRQAKDDSRADFLVAYGLELFLDSWYAGELWKSLRSHPHFKEIVAGRLVHEDVQSLAKALSGLSTGSQLPLWEDLKRCDLPLLLIVGEKDAKFKSIAQKMFHEVVQDRKGEDRRGNNICEILEVPNCGHAVHLENPLPIISAMRKFLTRGRSSRAQQ >Potri.013G099700.1.v4.1 pep chromosome:Pop_tri_v4:13:10506466:10519546:-1 gene:Potri.013G099700.v4.1 transcript:Potri.013G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099700.v4.1 MAGAKANPAVSEEILPDLLKNTPSNIARLEDVIENCKGRQKYLAQTRSLSDGGDVRWYFCKVPLAENELAASVPLTEIVGKSDYFRFGMRDSLAIEASFLQREEELLTSWWKEYAECSEGPVGWPTTSKKFNTLENADSPEGGRAAQLREVEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRMFQPSGLFAARVDLQGSTLGLHALFTGEDDTWEAWLNIDASGFSNIVSLSGNEIKLRRGYSASHSAKPTQDELRQRKEEEMDDYCSQVPVQHVVFMVHGIGQRLEKSNLVDDVGNFCHITASLAEQHLTSHQRGAQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLGATVHDVLYYMSPVYCQDIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMDWMYNEHPRSEESSLDTKHDLSINLEGNNSNVVSEAKDTVDPVDEEMMTVRSTLLQEDGLARDFSTILSPHVSDLDETASDSNFKQMGGKESLHEFVHDSSNVFSQERDHICEGTEMKLDDPMSGVEASEDTSNKEKEINMLMEEIDSLKAKIAELESKCGGENANEKGKATENMPKQPISETLALGQDEAAKSYTPYIKYTKLEFKVDTFFAVGSPLGVFLSLRNIRIGIGKGQKYWAEENISEEMPACSQMFNIFHPFDPVAYRIEPLVCKELISKRPVIIPYHKGGRRLHIGFQELTEDLAGRSQAIMNHLNFVKGKVLTVCQSRIAYSEEEENSLEKEERTYGSIMMERLAGSEGRIDHILQDKTFKHPYLQAIGAHTNYWRDHDTALFILKHLYREIPEDPILHTESSGGTSKDKIGSTGWYDNSEAAEEELPLTFSDRMMARNFSRKAKKYMKSP >Potri.013G099700.3.v4.1 pep chromosome:Pop_tri_v4:13:10506466:10519418:-1 gene:Potri.013G099700.v4.1 transcript:Potri.013G099700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099700.v4.1 MAGAKANPAVSEEILPDLLKNTPSNIARLEDVIENCKGRQKYLAQTRSLSDGGDVRWYFCKVPLAENELAASVPLTEIVGKSDYFRFGMRDSLAIEASFLQREEELLTSWWKEYAECSEGPVGWPTTSKKFNTLENADSPEGGRAAQLREVEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGLDWLPLREDVAEQLEIAYRSQVWHRRMFQPSGLFAARVDLQGSTLGLHALFTGEDDTWEAWLNIDASGFSNIVSLSGNEIKLRRGYSASHSAKPTQDELRQRKEEEMDDYCSQVPVQHVVFMVHGIGQRLEKSNLVDDVGNFCHITASLAEQHLTSHQRGAQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLGATVHDVLYYMSPVYCQDIINSVSNQLNRLYLKFLKRNPGYDGKVSIYGHSLGSVLSYDILCHQENLSSPFPMDWMYNEHPRSEESSLDTKHDLSINLEGNNSNVVSEAKDTVDPVDEEMMTVRSTLLQEDGLARDFSTILSPHVSDLDETASDSNFKQMGGKESLHEFVHDSSNVFSQERDHICEGTEMKLDDPMSGVEASEDTSNKEKEINMLMEEIDSLKAKIAELESKCGGENANEKGKATENMPKQPISETLALGQDEAAKSYTPYIKYTKLEFKVDTFFAVGSPLGVFLSLRNIRIGIGKGQKYWAEENISEEMPACSQMFNIFHPFDPVAYRIEPLVCKELISKRPVIIPYHKGGRRLHIGFQELTEDLAGRSQAIMNHLNFVKGKVLTVCQSRIAYSEEENSLEKEERTYGSIMMERLAGSEGRIDHILQDKTFKHPYLQAIGAHTNYWRDHDTALFILKHLYREIPEDPILHTESSGGTSKDKIGSTGWYDNSEAAEEELPLTFSDRMMARNFSRKAKKYMKSP >Potri.015G030750.1.v4.1 pep chromosome:Pop_tri_v4:15:2362271:2364067:1 gene:Potri.015G030750.v4.1 transcript:Potri.015G030750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030750.v4.1 MLISAYLSSGHIKESVLTSNSCHGWGCKQCQNWTASMADLSLTKEASKL >Potri.001G000800.4.v4.1 pep chromosome:Pop_tri_v4:1:66087:72177:-1 gene:Potri.001G000800.v4.1 transcript:Potri.001G000800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000800.v4.1 MDSGKSIRSLAVSLHSLLGLKAHLTSNWVKSVSEIIKTLPTEKSVDMQPTKSDTDDDGDSISKIQDELTVLNNHINQLNIKRRQILNEFFDLKGNIRVFCRIRPIAFGENLGHLRPVVASNSNEVVLKLMENKSKSYNFDKVFHPGSSQDEVFTEVEPIIKSVIDGYNACIFAYGQTGTGKTFTMEGSADTTGIVPRAIEALFKQAVDCNHAFLISFSMLEIYMGNLKDLLVPKPTKATDPMPPCLSIQTDPKGGIEIDNLVAIKVNDFNQALRLYRLGCRFRTTASTNSNLTSSRSHSMIRVAITCFDAAERRRETNKLWLVDLGGSERVLKTKAWGKRLDEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGDDSKTIMLVHVSPKEEDLCETICSLNFATRVKSVHLGNEDTIEAKEQKGVAMADLQQEMKHIEDERLLVRSDIEKINKKLENLTGTNPSSEEQLEAFHSLIKDQLTKSRIVDITVTPLSKVPRFMRPTICSKRKSGTGHQTSEGRDDTVLTRRRRPTSHRAESVSFPVKDHSEYNSDRSISRSSCLAELNMKISADNATECSQDTSETDFKSNGLQEQERAPGSLISQKVGISHIQKNSSRQVNKINHIKFSKVDNWLHLQKSESTLSSCSQRTKRVLAVPIPERKHKTNVQSKADEKVQDYVYTKQEVVNHDKLNNHATTGAVGKPISEVVIEKPLKMLRDLFNEDSRSDVTTPLQTTGGETTIQVQHSVDGSLTGDSENDTSSPPDECSPRLEECEDGNGVNAMSTTQAPEGKIQSSDCFLLKNSGCSECYPSELYTTSVCSKRDSGVSFSMLELESCCQQAPTESNMEDSETQGFYSFQPSEKETRHGLLQLKSQRALFTNSENHEDLAVPFGKPEGKAQNTGICHVVKQKIEILCSSALLGLGLYNLGFDHDFFYGLML >Potri.001G000800.1.v4.1 pep chromosome:Pop_tri_v4:1:65966:72511:-1 gene:Potri.001G000800.v4.1 transcript:Potri.001G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000800.v4.1 MDSGKSIRSLAVSLHSLLGLKAHLTSNWVKSVSEIIKTLPTEKSVDMQPTKSDTDDDGDSISKIQDELTVLNNHINQLNIKRRQILNEFFDLKGNIRVFCRIRPIAFGENLGHLRPVVASNSNEVVLKLMENKSKSYNFDKVFHPGSSQDEVFTEVEPIIKSVIDGYNACIFAYGQTGTGKTFTMEGSADTTGIVPRAIEALFKQAVDCNHAFLISFSMLEIYMGNLKDLLVPKPTKATDPMPPCLSIQTDPKGGIEIDNLVAIKVNDFNQALRLYRLGCRFRTTASTNSNLTSSRSHSMIRVAITCFDAAERRRETNKLWLVDLGGSERVLKTKAWGKRLDEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGDDSKTIMLVHVSPKEEDLCETICSLNFATRVKSVHLGNEDTIEAKEQKGVAMADLQQEMKHIEDERLLVRSDIEKINKKLENLTGTNPSSEEQLEAFHSLIKDQLTKSRIVDITVTPLSKVPRFMRPTICSKRKSGTGHQTSEGRDDTVLTRRRRPTSHRAESVSFPVKDHSEYNSDRSISRSSCLAELNMKISADNATECSQDTSETDFKSNGLQEQERAPGSLISQKVGISHIQKNSSRQVNKINHIKFSKVDNWLHLQKSESTLSSCSQRTKRVLAVPIPERKHKTNVQSKADEKVQDYVYTKQEVVNHDKLNNHATTGAVGKPISEVVIEKPLKMLRDLFNEDSRSDVTTPLQTTGGETTIQVQHSVDGSLTGDSENDTSSPPDECSPRLEECEDGNGVNAMSTTQAPEGKIQSSDCFLLKNSGCSECYPSELYTTSVCSKRDSGVSFSMLELESCCQQAPTESNMEDSETQGFYSFQPSEKETRHGLLQLKSQRALFTNSENHEDLAVPFGKPEGKAQNTGICHVVKQKIEILCSSALLGLGLYNLGFDHDFFYGLML >Potri.001G000800.5.v4.1 pep chromosome:Pop_tri_v4:1:65966:72514:-1 gene:Potri.001G000800.v4.1 transcript:Potri.001G000800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000800.v4.1 MEGSADTTGIVPRAIEALFKQAVDCNHAFLISFSMLEIYMGNLKDLLVPKPTKATDPMPPCLSIQTDPKGGIEIDNLVAIKVNDFNQALRLYRLGCRFRTTASTNSNLTSSRSHSMIRVAITCFDAAERRRETNKLWLVDLGGSERVLKTKAWGKRLDEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGDDSKTIMLVHVSPKEEDLCETICSLNFATRVKSVHLGNEDTIEAKEQKGVAMADLQQEMKHIEDERLLVRSDIEKINKKLENLTGTNPSSEEQLEAFHSLIKDQLTKSRIVDITVTPLSKVPRFMRPTICSKRKSGTGHQTSEGRDDTVLTRRRRPTSHRAESVSFPVKDHSEYNSDRSISRSSCLAELNMKISADNATECSQDTSETDFKSNGLQEQERAPGSLISQKVGISHIQKNSSRQVNKINHIKFSKVDNWLHLQKSESTLSSCSQRTKRVLAVPIPERKHKTNVQSKADEKVQDYVYTKQEVVNHDKLNNHATTGAVGKPISEVVIEKPLKMLRDLFNEDSRSDVTTPLQTTGGETTIQVQHSVDGSLTGDSENDTSSPPDECSPRLEECEDGNGVNAMSTTQAPEGKIQSSDCFLLKNSGCSECYPSELYTTSVCSKRDSGVSFSMLELESCCQQAPTESNMEDSETQGFYSFQPSEKETRHGLLQLKSQRALFTNSENHEDLAVPFGKPEGKAQNTGICHVVKQKIEILCSSALLGLGLYNLGFDHDFFYGLML >Potri.001G000800.6.v4.1 pep chromosome:Pop_tri_v4:1:65954:70855:-1 gene:Potri.001G000800.v4.1 transcript:Potri.001G000800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000800.v4.1 MEGSADTTGIVPRAIEALFKQAVDCNHAFLISFSMLEIYMGNLKDLLVPKPTKATDPMPPCLSIQTDPKGGIEIDNLVAIKVNDFNQALRLYRLGCRFRTTASTNSNLTSSRSHSMIRVAITCFDAAERRRETNKLWLVDLGGSERVLKTKAWGKRLDEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGDDSKTIMLVHVSPKEEDLCETICSLNFATRVKSVHLGNEDTIEAKEQKGVAMADLQQEMKHIEDERLLVRSDIEKINKKLENLTGTNPSSEEQLEAFHSLIKDQLTKSRIVDITVTPLSKVPRFMRPTICSKRKSGTGHQTSEGRDDTVLTRRRRPTSHRAESVSFPVKDHSEYNSDRSISRSSCLAELNMKISADNATECSQDTSETDFKSNGLQEQERAPGSLISQKVGISHIQKNSSRQVNKINHIKFSKVDNWLHLQKSESTLSSCSQRTKRVLAVPIPERKHKTNVQSKADEKVQDYVYTKQEVVNHDKLNNHATTGAVGKPISEVVIEKPLKMLRDLFNEDSRSDVTTPLQTTGGETTIQVQHSVDGSLTGDSENDTSSPPDECSPRLEECEDGNGVNAMSTTQAPEGKIQSSDCFLLKNSGCSECYPSELYTTSVCSKRDSGVSFSMLELESCCQQAPTESNMEDSETQGFYSFQPSEKETRHGLLQLKSQRALFTNSENHEDLAVPFGKPEGKAQNTGICHVVKQKIEILCSSALLGLGLYNLGFDHDFFYGLML >Potri.001G000800.3.v4.1 pep chromosome:Pop_tri_v4:1:65965:72177:-1 gene:Potri.001G000800.v4.1 transcript:Potri.001G000800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000800.v4.1 MDSGKSIRSLAVSLHSLLGLKAHLTSNWVKSVSEIIKTLPTEKSVDMQPTKSDTDDDGDSISKIQDELTVLNNHINQLNIKRRQILNEFFDLKGNIRVFCRIRPIAFGENLGHLRPVVASNSNEVVLKLMENKSKSYNFDKVFHPGSSQDEVFTEVEPIIKSVIDGYNACIFAYGQTGTGKTFTMEGSADTTGIVPRAIEALFKQAVDCNHAFLISFSMLEIYMGNLKDLLVPKPTKATDPMPPCLSIQTDPKGGIEIDNLVAIKVNDFNQALRLYRLGCRFRTTASTNSNLTSSRSHSMIRVAITCFDAAERRRETNKLWLVDLGGSERVLKTKAWGKRLDEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGDDSKTIMLVHVSPKEEDLCETICSLNFATRVKSVHLGNEDTIEAKEQKGVAMADLQQEMKHIEDERLLVRSDIEKINKKLENLTGTNPSSEEQLEAFHSLIKDQLTKSRIVDITVTPLSKVPRFMRPTICSKRKSGTGHQTSEGRDDTVLTRRRRPTSHRAESVSFPVKDHSEYNSDRSISRSSCLAELNMKISADNATECSQDTSETDFKSNGLQEQERAPGSLISQKVGISHIQKNSSRQVNKINHIKFSKVDNWLHLQKSESTLSSCSQRTKRVLAVPIPERKHKTNVQSKADEKVQDYVYTKQEVVNHDKLNNHATTGAVGKPISEVVIEKPLKMLRDLFNEDSRSDVTTPLQTTGGETTIQVQHSVDGSLTGDSENDTSSPPDECSPRLEECEDGNGVNAMSTTQAPEGKIQSSDCFLLKNSGCSECYPSELYTTSVCSKRDSGVSFSMLELESCCQQAPTESNMEDSETQGFYSFQPSEKETRHGLLQLKSQRALFTNSENHEDLAVPFGKPEGKAQNTGICHVVKQKIEILCSSALLGLGLYNLGFDHDFFYGLML >Potri.001G000800.2.v4.1 pep chromosome:Pop_tri_v4:1:65968:72426:-1 gene:Potri.001G000800.v4.1 transcript:Potri.001G000800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000800.v4.1 MDSGKSIRSLAVSLHSLLGLKAHLTSNWVKSVSEIIKTLPTEKSVDMQPTKSDTDDDGDSISKIQDELTVLNNHINQLNIKRRQILNEFFDLKGNIRVFCRIRPIAFGENLGHLRPVVASNSNEVVLKLMENKSKSYNFDKVFHPGSSQDEVFTEVEPIIKSVIDGYNACIFAYGQTGTGKTFTMEGSADTTGIVPRAIEALFKQAVDCNHAFLISFSMLEIYMGNLKDLLVPKPTKATDPMPPCLSIQTDPKGGIEIDNLVAIKVNDFNQALRLYRLGCRFRTTASTNSNLTSSRSHSMIRVAITCFDAAERRRETNKLWLVDLGGSERVLKTKAWGKRLDEGKAINLSLSALGDVISALQRKRHHIPYRNSKLTQVLKDSLGDDSKTIMLVHVSPKEEDLCETICSLNFATRVKSVHLGNEDTIEAKEQKGVAMADLQQEMKHIEDERLLVRSDIEKINKKLENLTGTNPSSEEQLEAFHSLIKDQLTKSRIVDITVTPLSKVPRFMRPTICSKRKSGTGHQTSEGRDDTVLTRRRRPTSHRAESVSFPVKDHSEYNSDRSISRSSCLAELNMKISADNATECSQDTSETDFKSNGLQEQERAPGSLISQKVGISHIQKNSSRQVNKINHIKFSKVDNWLHLQKSESTLSSCSQRTKRVLAVPIPERKHKTNVQSKADEKVQDYVYTKQEVVNHDKLNNHATTGAVGKPISEVVIEKPLKMLRDLFNEDSRSDVTTPLQTTGGETTIQVQHSVDGSLTGDSENDTSSPPDECSPRLEECEDGNGVNAMSTTQAPEGKIQSSDCFLLKNSGCSECYPSELYTTSVCSKRDSGVSFSMLELESCCQQAPTESNMEDSETQGFYSFQPSEKETRHGLLQLKSQRALFTNSENHEDLAVPFGKPEGKAQNTGICHVVKQKIEILCSSALLGLGLYNLGFDHDFFYGLML >Potri.001G224100.3.v4.1 pep chromosome:Pop_tri_v4:1:24194991:24199218:-1 gene:Potri.001G224100.v4.1 transcript:Potri.001G224100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224100.v4.1 MSSIGTGYDLSVTTFSPDGRVFQIEYAAKAVDNSGTVIGIKCKDGIVMGVEKLIASKMMLPGSNRRIHAVHRHSGMAVAGLAADGRQIVARAKSEATNYQSVYGEPVPIKELADRVASYVHLCTLYWWLRPFGCGVILGGYDRDGPQLYMVEPSGISYRYFGAAIGKGKQAAKTEIEKLKLSEMTCREGVIEVAKIIYKVHDEAKDKAFELEMSWVCDESKRQHEKVPDDLLEEAKAAARNALEEMDAD >Potri.008G047900.1.v4.1 pep chromosome:Pop_tri_v4:8:2797294:2800179:-1 gene:Potri.008G047900.v4.1 transcript:Potri.008G047900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047900.v4.1 MSRVVAEDYSPSRDSGTMPFYTQSISMDFTNHHPQPPFSSTATSPTLGQLLKNVGDLRREATGNGSETPVHQVLELGSTNLEVPRSIPFVLSFNNLKYSVKVRRKFRLRSILPSRNIHRTGATTDSDPVGGESLSTTTKTLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGTKTLNGEVLESRMLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLSNSKKRLRVQALIEQLGLKNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSVHQPSYRILGLLDRLIFLSRGQTVYSGSPMSLPVYFSDFGHPIPDSENRTEYALDLIRELEGSPGGTKSLVEFNKSWHDLKLSRNGRGEPDGGGLSLKEAISAAISKGKLVSGATNNDAISPNSMVPTFANPVWKEMAVLSRRSFMNSRRVPELFGVRLGAVVVTGFILATVFWQLDSSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHGLVVLPSLIFLSFAFAATTFWAVGLDGGFSGFLFYFLIIFASFWAGSSFVTFLSGVVPHVMLGYIIVVAILAYFLLFSGFFINRDRIPSYWIWFHYLSLVKYPYEAVLQNEFQDATKCFVRGVQIFDQSPLGEAPTSLKLKLLESMSNTLGMKITSSTCLTTGADVLQQQDITGLSKWNCLWVTVAWGFLFRILFYVSLLLGSKNKRR >Potri.003G194200.2.v4.1 pep chromosome:Pop_tri_v4:3:19689808:19694211:-1 gene:Potri.003G194200.v4.1 transcript:Potri.003G194200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194200.v4.1 MEAARRALCVSDPFNPLITTFAQSAGILVISHCFHLFLKQFGQPGPVAQILAGIVLGPSLLSRIPKVNRFFIQSSTADYYEVIESIFRTVFMFLIGLEMDIPYMRRSLRKASIIASGGVIVGVLFGIAVSISLIILLKIKSQLFDFATIIIIALTNSASPVVFRLAAELKFLTSDTGRLAVCASLITEMFCVLWRSVSLAVDPWKNLGTGILFLLMTVTLIGINKYLASWCNQRIRNQKYVTNTEFVVFLFLLIAAALFIEEYGYNSAISCFLLGLMFPREGKTTRTLLHKLSYATYNFILPVYFGYIGFQLNVSILGRLKPLITVIVMIVMSIATKIIGTLVACRYLKISTEEGIVLGFLLDLKGNAELQILGKLPKETLKQWEEADVHGMVLTVVVINTVIAGVVVAHILRKKEEYFSHSHISLELGEHESELRMLACVYGSRNISSKIGLISAFSESLKTPVTTYLMHLVELPKKRTKKNLMYHQLQDGDQYSDEEDYGGNDVVEINDAVDAYTMETKVLIHQRKVVSSFERMYEDVCDSIEDLRVSIIFLTFHKHQRLDGKMESGKDGMRTTNHKVMRHAPCSVGIFVDRGQTGFQQPSSQSVQNIATLFFGGPDDREALACSKMISNHPHIHLTLIHFQNLPSSKQTEYTNEILHRNDELLMEMSNHEIEADIDRAYTQDFYNRYVTSGQVGYVEKYVENGTQTAEALRDIHDTFSLLIVGKGGRGNSPMTTGMSDWEECPELGTVGDLLASSELNTNSSVLVIQQYRHSRNDLN >Potri.010G092000.3.v4.1 pep chromosome:Pop_tri_v4:10:11661469:11668134:-1 gene:Potri.010G092000.v4.1 transcript:Potri.010G092000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092000.v4.1 MQDIFGSVRRSLVFKSTSGGGGGEDGAFSGFVERIGSSIRKSRIGLFSKPSIPPLPPPSKKDDAPPIRCRKGELIGCGAFGRVYMGMNLDSGELLAVKQVLIAASSASKEKTQAHIRELEEEVKLLKNLSHPNIVRYLGTAREDDSLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSIEAKDFLLECLQEVPNLRPAASELLQHPFVTGEYQEPHSVFRNSVRESGNLIAATGMNLKSSMNSVIRRSSCAGLKDVCEMGSVKSSIIYPDKLSISRSYWGAANFDDDMCLIDDKDDFVVGASTRFKSTVASSDLNKSFNPMCEPMEDWPCKFDEDPLFRRSGINLYCSQSIHEVIDSHEASGKGQHDFTFPRGPVMPEDDDEVTESKIRAFLDEKAIDLTKLQTPLYEEFYSSTLNTMGAPTAVGTENSENPTHLLSLPPKSRSPKCFPSRRLSAVVDAANIVSPGRHTKHVANESSIHNRALQEIHPPQLSEWKEFLHNGQRETLTSSLTCSASFSERQRKWEEELYQELERKREIMRQAGVGGKTSSSPKDPILSRQRERLRFAFGGK >Potri.010G092000.4.v4.1 pep chromosome:Pop_tri_v4:10:11661468:11668150:-1 gene:Potri.010G092000.v4.1 transcript:Potri.010G092000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092000.v4.1 MQDIFGSVRRSLVFKSTSGGGGGEDGAFSGFVERIGSSIRKSRIGLFSKPSIPPLPPPSKKDDAPPIRCRKGELIGCGAFGRVYMGMNLDSGELLAVKQVLIAASSASKEKTQAHIRELEEEVKLLKNLSHPNIVRYLGTAREDDSLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSIEAKDFLLECLQEVPNLRPAASELLQHPFVTGEYQEPHSVFRNSVRESGNLIAATGMNLKSSMNSVIRRSSCAGLKDVCEMGSVKSSIIYPDKLSISRSYWGAANFDDDMCLIDDKDDFVVGASTRFKSTVASSDLNKSFNPMCEPMEDWPCKFDEDPLFRRSGINLYCSQSIHEVIDSHEASGKGQHDFTFPRGPVMPEDDDEVTESKIRAFLDEKAIDLTKLQTPLYEEFYSSTLNTMGAPTAVGTENSENPTHLLSLPPKSRSPKCFPSRRLSAVVDAANIVSPGRHTKHVANESSIHNRALQEIHPPQLSEWKEFLHNGQRETLTSSASFSERQRKWEEELYQELERKREIMRQAGVGGKTSSSPKDPILSRQRERLRFAFGGK >Potri.005G197700.1.v4.1 pep chromosome:Pop_tri_v4:5:20401416:20404680:-1 gene:Potri.005G197700.v4.1 transcript:Potri.005G197700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197700.v4.1 MVIATLHFEIHVHSVRSLSMFLLKTWRATAFGVYGYLNFTKSAFMEHSKKFNPEDTQARIDGKNCVVTGANSGIGYATAEGLASRGATVYMVCRSKERGEAALSQIQSTTGNQKVHLEVCDLSSLSDIKSFASRFSSKNVPVHVLVNNAGLLENKQITTSEGFEQNFAVNVLGTYSMTELMLPLLENAAPDARVITVSSGGMYTAPLTTDLQFSKGKFNSAEQYARNKRVQVALTENWAEMYKDKGISFYSMHPGWAETPGVAKSLPDFNKSLSGKLRTSEEGADTVIWLALQPKEKLTPGAFYFDRAEAPKHLMFSATRGSHSLINNIVEDLRSMSRLSS >Potri.003G200500.2.v4.1 pep chromosome:Pop_tri_v4:3:20084869:20090000:1 gene:Potri.003G200500.v4.1 transcript:Potri.003G200500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200500.v4.1 MASSSSSSSSLSRPGWGYDVFLSFRGEDTRKNFTDHLYTALHHARIHAFRDDDELRRGEEISLQLLKAIQESKISIVVFSKGYASSTWCLAELEKILDCRHTTGQIVLPVFYDIDPSDIRKQTGSFAEAFDRHEERFKEEMEKVQKWRKALMEAANLSGLDLRSFANGHESKLIQKIVEEVSSKLNPRFLFDDMPLKRLKTTMISGGGLLDDAEEKQITNRAVRDWLAEYKDAVYEADDFLDEIAYEALRQELEAEAQTFIKPLEIMGLREIEEKSRGLQESLDYLVKQKDALGLINRTGKEPSSPKRRTTSLVDERGVYGRDDDREAILKLLLSDDANGQNLGVVPIVGMGGAGKTTLAQLVYNHSRVQERFGLKAWVCVSEDFSVSKLTKVILEGFGSKPDFDNLDPLQLQLKERLRGKKFLLVLDDVWEEDYAEWDNLLTPLKCGAQGSKILVTTRNESVATVMRTVPTHYLKELTEDSCWAVFATHAFRGENPNAYEELQEIGRAIARKCEGLPLAAITLGGLLRTKRDVEEWEKILKSNLWDLPNDDILPALRLSYLYLLPHMKQCFAYCAIFPKDYSFQKDELVLLWMAEGFLVHSVDDEMEKAGAECFDDLLSRSFFQQSSASPSSFVMHDIMHDLATHVSGQFCFGPNNSSKATRRTRHLSLVAGTPHTEDCSFSKKLENIREAQLLRTFQTYPHNWICPPEFYNEIFQSTHCRLRVLFMTNCRDASVLSCSISKLKHLRYLDLSWSDLVTLPEEASTLLNLQTLILEYCKQLASLPDLGNLKYLRHLNLQRTGIERLPASLERLINLRYLNIKYTPLKEMPPHIGQLAKLQKLTDFLVGRQSETSIKELGKLRHLRGELHIGNLQNVVDARDAVEANLKGREHLDELRFTWDGDTHDPQHITSTLEKLEPNRNVKDLQIDGYGGLRFPEWVGESSFSNIVSLKLSRCTNCTSLPPLGQLASLEYLSIQAFDKVVTVGSEFYGNCTAMKKPFESLKTLFFERMPEWREWISDEGSREAYPLLRDLFISNCPNLTKALPGHHLPSLTTLSIGGCEQLATPLPRCPIINSIYLRDASRTLGWRELDLLSGLHSLYVSRFNFQDSLLKEIEQMVFSPTDIGDIAIDGVASLKCIPLDFFPKLNSLSIFNCPDLGSLCAHERPLNELKSLHSLEIEQCPKLVSFPKGGLPAPVLTQLTLRHCRNLKRLPESMHSLLPSLNHLLISDCLELELCPEGGFPSKLQSLEIWKCNKLIAGRMQWGLQTLPSLSHFTIGGHENIESFPEEMLLPSSLTSLTIHSLEHLKYLDYKGLQHLTSLTELVIFRCPMLESMPEEGLPSSLSSLVINNCPMLGESCEREKGKDWPKISHIPRIVIFPTSAEQKSSS >Potri.018G145600.7.v4.1 pep chromosome:Pop_tri_v4:18:15104813:15108679:1 gene:Potri.018G145600.v4.1 transcript:Potri.018G145600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145600.v4.1 MSCSTLLDQSLQLGFAKKWTSVSLRPRTSTCCTSQERSTLEPKKKGDRESRGEKNRSVTEDKSLISRSRISQQDSLPPLVGALKASAEKNAASFHFPGHNRGRAAPSSLTQLIGLRPFIHDLPELPELDNLFSPEGPILEAQMQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPLQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G145600.10.v4.1 pep chromosome:Pop_tri_v4:18:15104813:15108672:1 gene:Potri.018G145600.v4.1 transcript:Potri.018G145600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145600.v4.1 MQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPLQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G145600.8.v4.1 pep chromosome:Pop_tri_v4:18:15104808:15108674:1 gene:Potri.018G145600.v4.1 transcript:Potri.018G145600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145600.v4.1 MSCSTLLDQSLQLGFAKKWTSVSLRPRTSTCCTSQERSTLEPKKKGDRESRGEKNRSVTEDKSLISRSRISQQDSLPPLVGALKASAEKNAASFHFPGHNRGRAAPSSLTQLIGLRPFIHDLPELPELDNLFSPEGPILEAQMQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPLQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G145600.2.v4.1 pep chromosome:Pop_tri_v4:18:15104762:15108799:1 gene:Potri.018G145600.v4.1 transcript:Potri.018G145600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145600.v4.1 MQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPLQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G145600.1.v4.1 pep chromosome:Pop_tri_v4:18:15104790:15108775:1 gene:Potri.018G145600.v4.1 transcript:Potri.018G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145600.v4.1 MSCSTLLDQSLQLGFAKKWTSVSLRPRTSTCCTSQERSTLEPKKKGDRESRGEKNRSVTEDKSLISRSRISQQDSLPPLVGALKASAEKNAASFHFPGHNRGRAAPSSLTQLIGLRPFIHDLPELPELDNLFSPEGPILEAQMQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPLQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G145600.4.v4.1 pep chromosome:Pop_tri_v4:18:15104812:15108783:1 gene:Potri.018G145600.v4.1 transcript:Potri.018G145600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145600.v4.1 MQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPLQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G145600.3.v4.1 pep chromosome:Pop_tri_v4:18:15104819:15108681:1 gene:Potri.018G145600.v4.1 transcript:Potri.018G145600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145600.v4.1 MQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPLQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G145600.9.v4.1 pep chromosome:Pop_tri_v4:18:15104794:15108659:1 gene:Potri.018G145600.v4.1 transcript:Potri.018G145600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145600.v4.1 MSCSTLLDQSLQLGFAKKWTSVSLRPRTSTCCTSQERSTLEPKKKGDRESRGEKNRSVTEDKSLISRSRISQQDSLPPLVGALKASAEKNAASFHFPGHNRGRAAPSSLTQLIGLRPFIHDLPELPELDNLFSPEGPILEAQMQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPLQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.008G043600.1.v4.1 pep chromosome:Pop_tri_v4:8:2456200:2459753:-1 gene:Potri.008G043600.v4.1 transcript:Potri.008G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043600.v4.1 MIYTAIDNFYLTDDELQNSPSRKDGIDEATETTLRIYGCDLIQESGILLKLPQAVMATGQVLFHRFYCKKSFARFNVKKVAASCVWLASKLEESPRKSRQVIIVFHRMECRRENLPLEFLDLNSKKFAELKIELSKTERHILKEMGFVCHVEHPHKFISNYLMTLGTPQELRQEAWNLANDSLRTTLCVRFKSAVVACGVVYAAARRFQVPLPENPPWWKAFDAEKSGIDEVCRVLAHLYSLPKAQYVSVCKDGDFSFSNKSSDSQLQLISKEIPRTTSLPANNDSTEPKAAPSGVNVESGGSKDITKVALDKLKESKKTDDESKPAEGEAREELIPRSKSEHRTEAGGDKSKERDRDRERERERDRIKAQDRDRGRDSDRERERDEIERDRDRAKDRGRHRSKDRGRESGHSEKSRHHSSRDRDYHSSSYSSREKDRHRHHSYA >Potri.008G179800.1.v4.1 pep chromosome:Pop_tri_v4:8:12415141:12416876:-1 gene:Potri.008G179800.v4.1 transcript:Potri.008G179800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179800.v4.1 MALNFSHRPLFPRISKDNVRSPMRISIQERVEDRFDYGRDRSGSQELVHKDIIDLLPPDPFDMDISTTFTAITGWLEDLEVDYGACGSDQVSTSDGNYQLFAGLNYIWNNAMRFQAFPGNVGFSCKSNLVGGFGDECLEGIEVGNASVHEAPDSDCDMKDVLSLGNEMDKNAGVVDESSGEFQKGHVVFSNGAPHPAFAFALGYLGVQDLLLVETVCRSLRYTVRSDPLLWRSIHIDQPLNEKITEDVLLQLTDRAQGNLQCLSLVKCPRITDDGLKQVLKSNPRLTKLSVPGCTRLSIEGIVTSLKAFKTTGAQGVKHLRIGGVYGVTQKHFEELTLLLGRDSHTQQNVHKPHFYHRADFYLSCEDDHAIDIEMCPRCQNLRLVYDCPAEGCRGNEHPSRACRACTLCIARCV >Potri.005G030000.3.v4.1 pep chromosome:Pop_tri_v4:5:1935229:1942904:-1 gene:Potri.005G030000.v4.1 transcript:Potri.005G030000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030000.v4.1 MSEPPPYPQIEPTTFDLIAVGTGLAECIVAAAASASGKTVLHLDTNPFYGSHYSSLSVPELTSFLISNSTASSPPSSTSTTTDIPDYSIVNLITQPVYSDVEISCFYPELMEENLRKFNLDLCGPRVLFCADKSIDLMLKSGASNYVEFKSIDASFVGDGNGKLWNVPDSRAAIFKDKSLTLMEKNQLMRFFKLVQGHLVATVGAGTSGNDGNGNGNDEEEGKMKISDEDLQRPFVEYLSKMRLPPKIRSIILYAIAMADYDQDDMGVCQNLLKTKDGIDRLALYQSSVGRFTNASGALIYPIYGQGELPQAFSRRSAVKGCIHVLRMPVTALLMEKDSGSYKGVRLASGQDIFSQKLVLDPSFTLASPSASPSDLLHESFNFLSTRDVKGKVARGICITRNSLKPDMSNLLVVYPPRSLYPEQITSIRALQISGNLAVCPLGMFVLYLSALCDDAIQGKRLLNAAMNALLTFPDPVNSESSSTVQSETTEEKPSVIWSGLYMQEMSTVLHVLNFCLLLLASHVCTRAHICAHAHKWGVIRILV >Potri.005G030000.2.v4.1 pep chromosome:Pop_tri_v4:5:1935342:1942905:-1 gene:Potri.005G030000.v4.1 transcript:Potri.005G030000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030000.v4.1 MSEPPPYPQIEPTTFDLIAVGTGLAECIVAAAASASGKTVLHLDTNPFYGSHYSSLSVPELTSFLISNSTASSPPSSTSTTTDIPDYSIVNLITQPVYSDVEISCFYPELMEENLRKFNLDLCGPRVLFCADKSIDLMLKSGASNYVEFKSIDASFVGDGNGKLWNVPDSRAAIFKDKSLTLMEKNQLMRFFKLVQGHLVATVGAGTSGNDGNGNGNDEEEGKMKISDEDLQRPFVEYLSKMRLPPKIRSIILYAIAMADYDQDDMGVCQNLLKTKDGIDRLALYQSSVGRFTNASGALIYPIYGQGELPQAFSRRSAVKGCIHVLRMPVTALLMEKDSGSYKGVRLASGQDIFSQKLVLDPSFTLASPSASPSDLLHESFNFLSTRDVKGKVARGICITRNSLKPDMSNLLVVYPPRSLYPEQITSIRALQISGNLAVCPLGMFVLYLSALCDDAIQGKRLLNAAMNALLTFPDPVNSESSSTVQSETTEEKPSVIWSGLYMQEMSTGQFDSINFAPMPDGNLNYNDILDAALKLFQEMYPNEENFPETTPPENSEDDIGLTLET >Potri.011G007800.4.v4.1 pep chromosome:Pop_tri_v4:11:585898:588534:-1 gene:Potri.011G007800.v4.1 transcript:Potri.011G007800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007800.v4.1 MDKGWGLTLASDPVSVFSSNNNNSPVGSFLKIKRDFISDHNMDHSRNNNMFQFPGSLSAGQDEQPPSAAHEVDFFKERIDKVGDDDSKTTSVIVKKENSIAELAPRSTRTALDVNTGLHLLTANSRSDQSTVDDGVSSDVDDKRSKNNELAQLQMELQKMNTENQRLKDMLGQVTTSYSALQMHFAALMQQHQQQNHGKESNKEQQNFQGKSSEEKKHEDVVVPRQFMDLGPSAETDELSNSSSDERTRSGTPQNHIEVASPKNNGKLPYDQENSSFRDGKRIGREESPESESQAWKVQKTDPASPANKAIEQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMSSADGIMNPNLLARAILPAGSSNMATISASAPFPTVTLDLTHNPNPLQFQRPPPQFQVPFPGQPQNFSSVTTPQLPQVFGQALYNQSKFSGLQLSQEIGTPQLGHQAQPHLLHSGQQPSLSQDTLSAATAAITADPNFTAALAAAISSIIGGANSNTTNNNNNSNATNNTSHRN >Potri.011G007800.5.v4.1 pep chromosome:Pop_tri_v4:11:585898:588534:-1 gene:Potri.011G007800.v4.1 transcript:Potri.011G007800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007800.v4.1 MDKGWGLTLASDPVSVFSSNNNNSPVGSFLKIKRDFISDHNMDHSRNNNMFQFPGSLSAGQDEQPPSAAHEVDFFKERIDKVGDDDSKTTSVIVKKENSIAELAPRSTRTALDVNTGLHLLTANSRSDQSTVDDGVSSDVDDKRSKNNELAQLQMELQKMNTENQRLKDMLGQVTTSYSALQMHFAALMQQHQQQNHGKESNKEQQGKSSEEKKHEDVVVPRQFMDLGPSAETDELSNSSSDERTRSGTPQNHIEVASPKNNGKLPYDQENSSFRDGKRIGREESPESESQAWKVQKTDPASPANKAIEQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMSSADGIMNPNLLARAILPAGSSNMATISASAPFPTVTLDLTHNPNPLQFQRPPPQFQVPFPGQPQNFSSVTTPQLPQVFGQALYNQSKFSGLQLSQEIGTPQLGHQAQPHLLHSGQQPSLSQDTLSAATAAITADPNFTAALAAAISSIIGGANSNTTNNNNNSNATNNTSHRN >Potri.011G007800.1.v4.1 pep chromosome:Pop_tri_v4:11:585857:588600:-1 gene:Potri.011G007800.v4.1 transcript:Potri.011G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007800.v4.1 MDKGWGLTLASDPVSVFSSNNNNSPVGSFLKIKRDFISDHNMDHSRNNNMFQFPGSLSAGQDEQPPSAAHEVDFFKERIDKVGDDDSKTTSVIVKKENSIAELAPRSTRTALDVNTGLHLLTANSRSDQSTVDDGVSSDVDDKRSKNNEKLAQLQMELQKMNTENQRLKDMLGQVTTSYSALQMHFAALMQQHQQQNHGKESNKEQQNFQGKSSEEKKHEDVVVPRQFMDLGPSAETDELSNSSSDERTRSGTPQNHIEVASPKNNGKLPYDQENSSFRDGKRIGREESPESESQAWKVQKTDPASPANKAIEQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMSSADGIMNPNLLARAILPAGSSNMATISASAPFPTVTLDLTHNPNPLQFQRPPPQFQVPFPGQPQNFSSVTTPQLPQVFGQALYNQSKFSGLQLSQEIGTPQLGHQAQPHLLHSGQQPSLSQDTLSAATAAITADPNFTAALAAAISSIIGGANSNTTNNNNNSNATNNTSHRN >Potri.011G007800.2.v4.1 pep chromosome:Pop_tri_v4:11:585889:588543:-1 gene:Potri.011G007800.v4.1 transcript:Potri.011G007800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007800.v4.1 MDKGWGLTLASDPVSVFSSNNNNSPVGSFLKIKRDFISDHNMDHSRNNNMFQFPGSLSAGQDEQPPSAAHEVDFFKERIDKVGDDDSKTTSVIVKKENSIAELAPRSTRTALDVNTGLHLLTANSRSDQSTVDDGVSSDVDDKRSKNNEKLAQLQMELQKMNTENQRLKDMLGQVTTSYSALQMHFAALMQQHQQQNHGKESNKEQQGKSSEEKKHEDVVVPRQFMDLGPSAETDELSNSSSDERTRSGTPQNHIEVASPKNNGKLPYDQENSSFRDGKRIGREESPESESQAWKVQKTDPASPANKAIEQSTEATMRKARVSVRARSEAPMITDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTAAAASMLLSGSMSSADGIMNPNLLARAILPAGSSNMATISASAPFPTVTLDLTHNPNPLQFQRPPPQFQVPFPGQPQNFSSVTTPQLPQVFGQALYNQSKFSGLQLSQEIGTPQLGHQAQPHLLHSGQQPSLSQDTLSAATAAITADPNFTAALAAAISSIIGGANSNTTNNNNNSNATNNTSHRN >Potri.007G004500.1.v4.1 pep chromosome:Pop_tri_v4:7:320028:322519:-1 gene:Potri.007G004500.v4.1 transcript:Potri.007G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004500.v4.1 MYYQGDAVASGGHIEFNLMNYINRVGWATYPERVRLWDSSSGKLSDFTSHFSFSIDTQGLFNTTTMKSSQNQIVSVEFDSYPNEEWDPKVEHVGINNNSIMSAVYTPWNASFHSGDTAEAWITYNSTDRNLSVFWKYQTTSIPGDNSSLFYIIDLSKFLPEWITVGFSAATGQNLERHRLLSWEFNSSLHVKEMKGKNSKKSKIIIGVIVSVLVLIAGATTTFVILWRRKQMITRKRAAEKMTSINEALERGAGPRRFSYDDLVSATNNFSDQRKLGEGGFGAVYRGYLNDMDMEIAVKKISRSSRQGKKEYITEVKTISQLRHRNLVQLLGWCHDKGEFMVVYEFMSNGSLDSHLFGKKKMSPLSWAVRYKISLGLASALLYLHEEWERCVVHRDVKSSNIMLDSSFNVKLGDFGLAKLMDHDEPGPTTTGLAGTFGYMAPEYISTRRASKESDVYSFGVVALEIASGRKANDLVDQNPEMSLVEWIWDLYGYGNLRLAVDKRLDIKDFDDEKQAERLMIVGLWCAHPDQNLRPSIRQAIHVLNFEAAIPNLPPKMPVPVFHVPLPSLASSEPSSITNTSLDAGR >Potri.007G004500.4.v4.1 pep chromosome:Pop_tri_v4:7:320028:322552:-1 gene:Potri.007G004500.v4.1 transcript:Potri.007G004500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004500.v4.1 MDFFLPSKLRDLDIKYFNETNMYYQGDAVASGGHIEFNLMNYINRVGWATYPERVRLWDSSSGKLSDFTSHFSFSIDTQGAPNYGHGIAFFLAPVGFQIPPNSAGGFLGLFNTTTMKSSQNQIVSVEFDSYPNEEWDPKVEHVGINNNSIMSAVYTPWNASFHSGDTAEAWITYNSTDRNLSVFWKYQTTSIPGDNSSLFYIIDLSKFLPEWITVGFSAATGQNLERHRLLSWEFNSSLHVKEMKGKNSKKSKIIIGVIVSVLVLIAGATTTFVILWRRKQMITRKRAAEKMTSINEALERGAGPRRFSYDDLVSATNNFSDQRKLGEGGFGAVYRGYLNDMDMEIAVKKISRSSRQGKKEYITEVKTISQLRHRNLVQLLGWCHDKGEFMVVYEFMSNGSLDSHLFGKKKMSPLSWAVRYKISLGLASALLYLHEEWERCVVHRDVKSSNIMLDSSFNVKLGDFGLAKLMDHDEPGPTTTGLAGTFGYMAPEYISTRRASKESDVYSFGVVALEIASGRKANDLVDQNPEMSLVEWIWDLYGYGNLRLAVDKRLDIKDFDDEKQAERLMIVGLWCAHPDQNLRPSIRQAIHVLNFEAAIPNLPPKMPVPVFHVPLPSLASSEPSSITNTSLDAGR >Potri.007G004500.2.v4.1 pep chromosome:Pop_tri_v4:7:320028:322519:-1 gene:Potri.007G004500.v4.1 transcript:Potri.007G004500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004500.v4.1 MLTSFYISFLLPLFILPFTNSVYFQLSQFNETNMYYQGDAVASGGHIEFNLMNYINRVGWATYPERVRLWDSSSGKLSDFTSHFSFSIDTQGLFNTTTMKSSQNQIVSVEFDSYPNEEWDPKVEHVGINNNSIMSAVYTPWNASFHSGDTAEAWITYNSTDRNLSVFWKYQTTSIPGDNSSLFYIIDLSKFLPEWITVGFSAATGQNLERHRLLSWEFNSSLHVKEMKGKNSKKSKIIIGVIVSVLVLIAGATTTFVILWRRKQMITRKRAAEKMTSINEALERGAGPRRFSYDDLVSATNNFSDQRKLGEGGFGAVYRGYLNDMDMEIAVKKISRSSRQGKKEYITEVKTISQLRHRNLVQLLGWCHDKGEFMVVYEFMSNGSLDSHLFGKKKMSPLSWAVRYKISLGLASALLYLHEEWERCVVHRDVKSSNIMLDSSFNVKLGDFGLAKLMDHDEPGPTTTGLAGTFGYMAPEYISTRRASKESDVYSFGVVALEIASGRKANDLVDQNPEMSLVEWIWDLYGYGNLRLAVDKRLDIKDFDDEKQAERLMIVGLWCAHPDQNLRPSIRQAIHVLNFEAAIPNLPPKMPVPVFHVPLPSLASSEPSSITNTSLDAGR >Potri.007G004500.3.v4.1 pep chromosome:Pop_tri_v4:7:320028:322515:-1 gene:Potri.007G004500.v4.1 transcript:Potri.007G004500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004500.v4.1 MLTSFYISFLLPLFILPFTNSVYFQLSQFNETNMYYQGDAVASGGHIEFNLMNYINRVGWATYPERVRLWDSSSGKLSDFTSHFSFSIDTQGAPNYGHGIAFFLAPVGFQIPPNSAGGFLGLFNTTTMKSSQNQIVSVEFDSYPNEEWDPKVEHVGINNNSIMSAVYTPWNASFHSGDTAEAWITYNSTDRNLSVFWKYQTTSIPGDNSSLFYIIDLSKFLPEWITVGFSAATGQNLERHRLLSWEFNSSLHVKEMKGKNSKKSKIIIGVIVSVLVLIAGATTTFVILWRRKQMITRKRAAEKMTSINEALERGAGPRRFSYDDLVSATNNFSDQRKLGEGGFGAVYRGYLNDMDMEIAVKKISRSSRQGKKEYITEVKTISQLRHRNLVQLLGWCHDKGEFMVVYEFMSNGSLDSHLFGKKKMSPLSWAVRYKISLGLASALLYLHEEWERCVVHRDVKSSNIMLDSSFNVKLGDFGLAKLMDHDEPGPTTTGLAGTFGYMAPEYISTRRASKESDVYSFGVVALEIASGRKANDLVDQNPEMSLVEWIWDLYGYGNLRLAVDKRLDIKDFDDEKQAERLMIVGLWCAHPDQNLRPSIRQAIHVLNFEAAIPNLPPKMPVPVFHVPLPSLASSEPSSITNTSLDAGR >Potri.009G144300.1.v4.1 pep chromosome:Pop_tri_v4:9:11469589:11475576:1 gene:Potri.009G144300.v4.1 transcript:Potri.009G144300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144300.v4.1 MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRTVLDEGGPGPNSNGDAAVATRAKTKPKDGEDGDDPEKDKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEAESTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGTTDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFESLARRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFIHTSDDMWVPCGPKQPGAVQISMQDLAAQGLAEKILPPPIMKTDFDKVLARQKPTVSKADLDVHERFTKEFGEEG >Potri.009G144300.4.v4.1 pep chromosome:Pop_tri_v4:9:11469678:11475441:1 gene:Potri.009G144300.v4.1 transcript:Potri.009G144300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144300.v4.1 MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYMNALEYFKTHLKYEKNPKIREAITQKFTEYLRRAEEIRTVLDEGGPGPNSNGDAAVATRAKTKPKDGEDGDDPEKDKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEAESTFFSVSSSDLVSKWMGESEKLVSNLFQMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGTTDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFESLARRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFIHTSDDMWVPCGPKQPGAVQISMQDLAAQGLAEKILPPPIMKTDFDKVLARQKPTVSKADLDVHERFTKEFGEEG >Potri.012G027250.1.v4.1 pep chromosome:Pop_tri_v4:12:2626595:2630081:-1 gene:Potri.012G027250.v4.1 transcript:Potri.012G027250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027250.v4.1 MPFFTSHLKFPVNYTLNPVRPANMGFSLPCLSQSLSFILFLFHFHSTISSPLSSNYSSSSSSHLCAHRQSLSLLQFKQSFSIQSSPFWFARNYQYDQYPKTESWKEGTDCCLWDGVTCDLKTGHVSGLDLSCSMLYGTLHPNNSLFSLHHLQKLDLSLNDFNTSHISSRFGQFSSLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSRNDYVSVEPISFDKLSFDKLVRNLTKLRELDLSWVNMSLVVPDSLMNLSSSLSSLKLDDCGLQGKFPSSMGKFKHLQYLGLGWNNLTGPIPYGFEQLSELVSLHLSGNFYLSLEPISFDKIVQNLTKLRYLALGYLNMSVVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPNLESLYLSYNEGLTGSFPSSNLSNVLYGLGLSNTRISVYLENDLISNIKSLEYMYLSNSSIIRSDLSLLGNLTHLLSLDLSSNNLNGQIPSSLGNLVHLCYLNLDSNKFMGQVPDFLGSPVNLSELDLSNNQLVTLSILD >Potri.008G136100.1.v4.1 pep chromosome:Pop_tri_v4:8:9114909:9122453:1 gene:Potri.008G136100.v4.1 transcript:Potri.008G136100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136100.v4.1 MSKTVKVSGFPSSTTAGAVQVFLESHTGGGTVEALKIREIRTGGARKYAIVQFTTTRAAEQIISLANPRLWYGHSYLNARPMDRDIIPQPRSFLHTMESVTLHFGYQTSKEKFSAVWSGNNVSVNFGLGMRKLHFFLSHNLAEYKLNLLFENIWQIELHRPRGQTVKYLLIQLYGAPRIYERDVPSSSNVFEDPLLNYFRDVPDEQWVRTTDFTPSCGIGHSSSLCLELPNHLQLPNFNENFFYYKENEGTFVLESGLTFSRNPDLVPIVGPSSGVNLPYNILFRVNLLVQNGCLAGSMLDDIFYRLVDPNRMPVRCIEYALEKLYHLKECCYEPSKWFNEQYKKYLTSGNPPRSPVLSLDAGLVYVYKVQITPCKVFFCGPEVNVSNRVLRQYREDIDNFLRVSFVDEELEKIHSTDVSPRTSSRNELRRTAIYNRILSTLQNGIVIGDKKFEFLAFSSSQLRENSCWMFASRLGLTAADIRAWMGSFHKIRNVARYAARLGQSFGSSTETLSVSSHEIEIIPDIEVSRGGTRYLFSDGIGKISAEFARKVAIKCGCKGFTPSAFQIRYAGYKGVVAVDPTSSKKLSLRRSMFKYESENTKLDVLAHSKYQPCFLNRQLITLLSTLGVPDHHFERKQREAVDQLDAMLTDPLRAQEALELMSPGENTNILKEMLLCGYQPDAEPFLSMMLQTFRASKLLELRTKTRIFIPNGRSMMGCLDETRTLEHGQVFVKFSGSRFRNLYDSSDMFSERGRGQCYLIKGSVVVAKNPCLHPGDLRILKAVDVPALHHMVDCVVFPQKGPRPHPNECSGSDLDGDIYFVCWDPELIPSQQISPMDYTPEPPLQLDHDVTIEEVEEYFTNYIVNDSLGVIANAHTAHADKESLKAMSEPCVELARKFSIAVDFPKTGVPAEIPSNLRAREYPDFMEKPDKPSYESRNVIGKLFREVKDIAPRTSSIRSFTSDVARQCYDPDMEVDGFEDYIDDAFYYKSNYDYKLGNLMEYYGIKTEAELLSGSFMKMSKSFTKKRDAEAIGMAVRSLKKEARSWFNEKGSGLDSQADDVDAKASAWYHVTYHHNYWGCYNEGMNRDHFLSFPWCVYDKLIQIKRRNSARIRRSLILPSVERQFSRGLHLS >Potri.008G136100.2.v4.1 pep chromosome:Pop_tri_v4:8:9117378:9122431:1 gene:Potri.008G136100.v4.1 transcript:Potri.008G136100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136100.v4.1 MLDDIFYRLVDPNRMPVRCIEYALEKLYHLKECCYEPSKWFNEQYKKYLTSGNPPRSPVLSLDAGLVYVYKVQITPCKVFFCGPEVNVSNRVLRQYREDIDNFLRVSFVDEELEKIHSTDVSPRTSSRNELRRTAIYNRILSTLQNGIVIGDKKFEFLAFSSSQLRENSCWMFASRLGLTAADIRAWMGSFHKIRNVARYAARLGQSFGSSTETLSVSSHEIEIIPDIEVSRGGTRYLFSDGIGKISAEFARKVAIKCGCKGFTPSAFQIRYAGYKGVVAVDPTSSKKLSLRRSMFKYESENTKLDVLAHSKYQPCFLNRQLITLLSTLGVPDHHFERKQREAVDQLDAMLTDPLRAQEALELMSPGENTNILKEMLLCGYQPDAEPFLSMMLQTFRASKLLELRTKTRIFIPNGRSMMGCLDETRTLEHGQVFVKFSGSRFRNLYDSSDMFSERGRGQCYLIKGSVVVAKNPCLHPGDLRILKAVDVPALHHMVDCVVFPQKGPRPHPNECSGSDLDGDIYFVCWDPELIPSQQISPMDYTPEPPLQLDHDVTIEEVEEYFTNYIVNDSLGVIANAHTAHADKESLKAMSEPCVELARKFSIAVDFPKTGVPAEIPSNLRAREYPDFMEKPDKPSYESRNVIGKLFREVKDIAPRTSSIRSFTSDVARQCYDPDMEVDGFEDYIDDAFYYKSNYDYKLGNLMEYYGIKTEAELLSGSFMKMSKSFTKKRDAEAIGMAVRSLKKEARSWFNEKGSGLDSQADDVDAKASAWYHVTYHHNYWGCYNEGMNRDHFLSFPWCVYDKLIQIKRRNSARIRRSLILPSVERQFSRGLHLS >Potri.008G215700.1.v4.1 pep chromosome:Pop_tri_v4:8:16631747:16637913:1 gene:Potri.008G215700.v4.1 transcript:Potri.008G215700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G215700.v4.1 MDRYSRGQEGSQSDPALEWTGSGPETGLEEGVWQLGLGETESEYPERSNEQDCMYYLRTGFCGYGARCRYNHPRDRNAVLGAARAGGAEYPERAGQPLCQYYMRTGTCKFGASCKYHHPKQGGGSASPVSLNYYGYPLRPGERECTYYIKTGQCKFGATCKFHHPQPGNIQIPAQSLAPQIAPVPGPTLYPSVQSPSVPSSQQYGVMVARPPLLPGSYVQGPYGPVLLSPSVVPYPSWNPYPAPVSPVASPNTQPAVGSGSVYGMSALSPSAPAYTGAFQSIPPATGPSSSTQKEHLFPERPGQPECQYYIKTGDCKFRSSCRYHHPPELVVSKSNVVLSPIGLPLRPGAPTCSHYTQRGQCKFGPACKFDHPMGTLSYSPSASSLADMPVAPYLVGSSIGTLAPSSSSSDLRSKPISGPSKDSSSTRLSTSTPSGSVGSIFSKSGPAPHLNVQQSGWSSGPSTTSSSSSSGTRTSI >Potri.007G038200.1.v4.1 pep chromosome:Pop_tri_v4:7:3064121:3067221:-1 gene:Potri.007G038200.v4.1 transcript:Potri.007G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038200.v4.1 MRQASILYFCVGILAFLSDTWVQAEDPYRYYTWTVTYGTRTVLDIPQTVILINGQFPGPNIECVTNDNIIVNVINKLNEPFLITWNGIKQRRTIWQDGVLGTNCPIPPGSNWTYKFQAKDQIGTYNYFPTTAMHRAAGGFGGFNIASRSVISIPYPIPVAEFTLLISDWYKAGHQALKQNLDQGKALPVPDALLINGLSKGASFTGEKGQTYKFRISNAGISTSINFRIQGHTLILVEVEGSHTMQEVYDSLDVHVGQSVTVLVKLNSAVKDYFIVASSRFTKPILTTTALLRYAGSNTPPSLPLPIGPTYHVHWSMKQARTIRLNLTANAARPNPQGSFHYGTINVVKRFVFANTAEKINGKLRYAVNGISYVDPSTPLQLADWYNIPGVFSLNTMKTTPVNTPAVLGTSVVGTELHDFVEIVFQNNENTIQSWHLSGTSFYVVGYGDNVWNPSMRKRYNLLDAVSRHTVQVYPTAWTAIYVSLDNKGMWNLRSAIWPRRYLGQQLYVRVWNNERSLFTESDPPPNTLFCGLAKRP >Potri.015G037000.8.v4.1 pep chromosome:Pop_tri_v4:15:3169330:3188346:1 gene:Potri.015G037000.v4.1 transcript:Potri.015G037000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037000.v4.1 MATDGAAVVGFVGLDDLSLDMAAALLRAGYKVQAFEIDETLVDKFLNLGGTRSASLIEAGKEVAALIVLISHVDQINDVFFGQQGVLKGLQKGALIILRSTILPSYIQNLEKRLRDEDSMAHLIEAYVSRGFSEVLKGRTMITSSGRSEANAKAQPILSAMSEKLFTFEGEVGTGSKIKMVNELLEGIHLVAALEAISLCTQAGIHPWIVYDIISNAAGNSWVFKNHIPQFLRGDTKVHSYRTVVQNLGIVLDTAKSLIFPLPLLSVAHQQLILGSSYGQGDDSDVTFVKVWGKLLGANIQDAASAELYEPEQLARQIVAKSVVVKRIGFIGLGAMGFGMATHLLKSNFCVVGYDVYKPTLTRFANAGGLIGNSPAETSKDVDVLVVMVTNETQAESVLYGDLGAVAALPSGASIILSSTVSPAFVSQLERRLQGEGKGLKLVDAPVSGGVKRASEGTLTIMASGTDEALTCTGSVLSALSEKLYVIRGGCGAGSGVKMINQLLAGVHIASGAEAMALGARLGLNTRMLFDFVKNSGGTSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVCRESSSLKVPLHIATVAHQLFLAGSAAGWGRQDDAGVVKVYETLTGVKVEGTLPVLKKEVVLQSLPPEWPLDPIDDIHRLNQSNSKTLVVLDDDPTGTQTVHDIEVLTEWSVGSIVEQFRKKPKCFFILTNSRSLSSEKASALIKDICGNLSIAAKSVENIDYTVVLRGDSTLRGHFPEEADAAVSLLGEMDAWIICPFFLQGGRYTIKDIHYVADSDWLVPAGDTEFARDASFGYKSSNLREWVEEKTRGRIPASSVSSISINLLRKGGPDAVCDTLCNLQKGSTCIVNAASDRDMAVFSAGMIQAELRGKSFLCRTAASFVSTRIGIIPKAPILPKDLGITKERKGGLIVVGSYVPKTTKQVEELKLQCGQFLKKLEVSVDKIAMKSLEEREEEINRVAEMANLLLGACKDTLIMTSRELITGKTASESLEINFKVSSALVEIVRRISTRPRYILAKGGITSSDLATKALEAKCAKVVGQALAGIPLWQLGPESRHPGVPYIVFPGNVGDSKALADVVKSWALPSRLSSTKELLLNAERGGYAVGAFNVYNMEGAEAVVAAAEEENSPAILQIHPSALKQGGIPLVACCVSAAEQANVPITVHFDHGTSKQELVEALDLGFDSLMVDGSHLSLKDNIAYTKYISLLAHSKNMLVEAELGRLSGTEDDLTVEDYEARLTDVNQAEEFIDETGIDALAVCIGNVHGKYPASGPNLRLDLLKDLHALSSKKGVFLVLHGASGLSEELIKASIQRGVTKFNVNTEVRNAYMNSLSNPKKDLVHVMASAKEAMKAVVAEKMRLFGSSGKA >Potri.015G037000.6.v4.1 pep chromosome:Pop_tri_v4:15:3169310:3188350:1 gene:Potri.015G037000.v4.1 transcript:Potri.015G037000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037000.v4.1 MATDGAAVVGFVGLDDLSLDMAAALLRAGYKVQAFEIDETLVDKFLNLGGTRSASLIEAGKEVAALIVLISHVDQINDVFFGQQGVLKGLQKGALIILRSTILPSYIQNLEKRLRDEDSMAHLIEAYVSRGFSEVLKGRTMITSSGRSEANAKAQPILSAMSEKLFTFEGEVGTGSKIKMVNELLEGIHLVAALEAISLCTQAGIHPWIVYDIISNAAGNSWVFKNHIPQFLRGDTKVHSYRTVVQNLGIVLDTAKSLIFPLPLLSVAHQQLILGSSYGQGDDSDVTFVKVWGKLLGANIQDAASAELYEPEQLARQIVAKSVVVKRIGFIGLGAMGFGMATHLLKSNFCVVGYDVYKPTLTRFANAGGLIGNSPAETSKDVDVLVVMVTNETQAESVLYGDLGAVAALPSGASIILSSTVSPAFVSQLERRLQGEGKGLKLVDAPVSGGVKRASEGTLTIMASGTDEALTCTGSVLSALSEKLYVIRGGCGAGSGVKMINQLLAGVHIASGAEAMALGARLGLNTRMLFDFVKNSGGTSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVCRESSSLKVPLHIATVAHQLFLAGSAAGWGRQDDAGVVKVYETLTGVKVEGTLPVLKKEVVLQSLPPEWPLDPIDDIHRLNQSNSKTLVVLDDDPTGTQTVHDIEVLTEWSVGSIVEQFRKKPKCFFILTNSRSLSSEKASALIKDICGNLSIAAKSVENIDYTVVLRGDSTLRGHFPEEADAAVSLLGEMDAWIICPFFLQGGRYTIKDIHYVADSDWLVPAGDTEFARDASFGYKSSNLREWVEEKTRGRIPASSVSSISINLLRKGGPDAVCDTLCNLQKGSTCIVNAASDRDMAVFSAGMIQAELRGKSFLCRTAASFVSTRIGIIPKAPILPKDLGITKERKGGLIVVGSYVPKTTKQVEELKLQCGQFLKKLEVSVDKIAMKSLEEREEEINRVAEMANLLLGACKDTLIMTSRELITGKTASESLEINFKVSSALVEIVRRISTRPRYILAKGGITSSDLATKALEAKCAKVVGQALAGIPLWQLGPESRHPGVPYIVFPGNVGDSKALADVVKSWALPSRLSSTKELLLNAERGGYAVGAFNVYNMEGAEAVVAAAEEENSPAILQIHPSALKQGGIPLVACCVSAAEQANVPITVHFDHGTSKQELVEALDLGFDSLMVDGSHLSLKDNIAYTKYISLLAHSKNMLVEAELGRLSGTEDDLTVEDYEARLTDVNQAEEFIDETGIDALAVCIGNVHGKYPASGPNLRLDLLKDLHALSSKKGVFLVLHGASGLSEELIKASIQRGVTKFNVNTEVRNAYMNSLSNPKKDLVHVMASAKEAMKAVVAEKMRLFGSSGKA >Potri.015G037000.7.v4.1 pep chromosome:Pop_tri_v4:15:3169311:3188351:1 gene:Potri.015G037000.v4.1 transcript:Potri.015G037000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037000.v4.1 MATDGAAVVGFVGLDDLSLDMAAALLRAGYKVQAFEIDETLVDKFLNLGGTRSASLIEAGKEVAALIVLISHVDQINDVFFGQQGVLKGLQKGALIILRSTILPSYIQNLEKRLRDEDSMAHLIEAYVSRGFSEVLKGRTMITSSGRSEANAKAQPILSAMSEKLFTFEGEVGTGSKIKMVNELLEGIHLVAALEAISLCTQAGIHPWIVYDIISNAAGNSWVFKNHIPQFLRGDTKVHSYRTVVQNLGIVLDTAKSLIFPLPLLSVAHQQLILGSSYGQGDDSDVTFVKVWGKLLGANIQDAASAELYEPEQLARQIVAKSVVVKRIGFIGLGAMGFGMATHLLKSNFCVVGYDVYKPTLTRFANAGGLIGNSPAETSKDVDVLVVMVTNETQAESVLYGDLGAVAALPSGASIILSSTVSPAFVSQLERRLQGEGKGLKLVDAPVSGGVKRASEGTLTIMASGTDEALTCTGSVLSALSEKLYVIRGGCGAGSGVKMINQLLAGVHIASGAEAMALGARLGLNTRMLFDFVKNSGGTSWMFENRVPHMLDNDYTPYSALDIFVKDLGIVCRESSSLKVPLHIATVAHQLFLAGSAAGWGRQDDAGVVKVYETLTGVKVEGTLPVLKKEVVLQSLPPEWPLDPIDDIHRLNQSNSKTLVVLDDDPTGTQTVHDIEVLTEWSVGSIVEQFRKKPKCFFILTNSRSLSSEKASALIKDICGNLSIAAKSVENIDYTVVLRGDSTLRGHFPEEADAAVSLLGEMDAWIICPFFLQGGRYTIKDIHYVADSDWLVPAGDTEFARDASFGYKSSNLREWVEEKTRGRIPASSVSSISINLLRKGGPDAVCDTLCNLQKGSTCIVNAASDRDMAVFSAGMIQAELRGKSFLCRTAASFVSTRIGIIPKAPILPKDLGITKERKGGLIVVGSYVPKTTKQVEELKLQCGQFLKKLEVSVDKIAMKSLEEREEEINRVAEMANLLLGACKDTLIMTSRELITGKTASESLEINFKVSSALVEIVRRISTRPRYILAKGGITSSDLATKALEAKCAKVVGQALAGIPLWQLGPESRHPGVPYIVFPGNVGDSKALADVVKSWALPSRLSSTKELLLNAERGGYAVGAFNVYNMEGAEAVVAAAEEENSPAILQIHPSALKQGGIPLVACCVSAAEQANVPITVHFDHGTSKQELVEALDLGFDSLMVDGSHLSLKDNIAYTKYISLLAHSKNMLVEAELGRLSGTEDDLTVEDYEARLTDVNQAEEFIDETGIDALAVCIGNVHGKYPASGPNLRLDLLKDLHALSSKKGVFLVLHGASGLSEELIKASIQRGVTKFNVNTEVRNAYMNSLSNPKKDLVHVMASAKEAMKAVVAEKMRLFGSSGKA >Potri.010G028600.6.v4.1 pep chromosome:Pop_tri_v4:10:4123615:4129537:-1 gene:Potri.010G028600.v4.1 transcript:Potri.010G028600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028600.v4.1 MVAHAIGDIIVGDVVDPDVVVNAAPSVGGLLDSLTGSIGIAGISSRAKPVAAPVASATPSGAASIGTVTADTPKLGSRPLDKDTLRNFISSAMPFGTPLDLNYSNIFAIKANGFSSSDLPPADLKQPAWKPYLFKGKQRIFFTIHETIHAALYDREEISDTISVSGQINCRAELEGLPDVSLPLSGLNKAHVEVLSFHPCAQVSEHGADKQAVMFSPPLGNFVLVRYQANCGFGPPIKGFYQLSMVSEDEGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRVVSFDGTPSVGAVLTTEHSIEWKIIPIGRSLSGKSIEATFPGTVRFAPWQIQRFPPSNSGFGKMGDEDSDVEIESTSNMANVEEFLMEKMSKDLPPVDLEEPFCWQAYRYAKVSFKIAGASLSGMSIDPKSVSIYPAVKAPVEFSSQVSLSSESPLYKV >Potri.010G028600.5.v4.1 pep chromosome:Pop_tri_v4:10:4123617:4129419:-1 gene:Potri.010G028600.v4.1 transcript:Potri.010G028600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028600.v4.1 MPSSCSIRALWILNSLHGVVLSRKFPVVEKQWRAACKTINETSKDAEEDPLKYTVFPFLPNDAELSSAFVERKKREGSLRGYGIRVNNQSVEGSDSWVDDPITRHIISINVESKEKGGEKHLLWPLILHLRGSYVILVLPLVEPVHLKAYAKLCRKSDCGNAVGVDASLSSILLDTPSITGAFMVAHAIGDIIVGDVVDPDVVVNAAPSVGGLLDSLTGSIGIAGISSRAKPVAAPVASATPSGAASIGTVTADTPKLGSRPLDKDTLRNFISSAMPFGTPLDLNYSNIFAIKANGFSSSDLPPADLKQPAWKPYLFKGKQRIFFTIHETIHAALYDREEISDTISVSGQINCRAELEGLPDVSLPLSGLNKAHVEVLSFHPCAQVSEHGADKQAVMFSPPLGNFVLVRYQANCGFGPPIKGFYQLSMVSEDEGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRVVSFDGTPSVGAVLTTEHSIEWKIIPIGRSLSGKSIEATFPGTVRFAPWQIQRFPPSNSGFGKMGDEDSDVEIESTSNMANVEEFLMEKMSKDLPPVDLEEPFCWQAYRYAKVSFKIAGASLSGMSIDPKSVSIYPAVKAPVEFSSQVSLSSESPLYKV >Potri.010G028600.1.v4.1 pep chromosome:Pop_tri_v4:10:4123589:4129543:-1 gene:Potri.010G028600.v4.1 transcript:Potri.010G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028600.v4.1 MPSSCSIRALWILNSLHGVVLSRKFPVVEKQWRAACKTINETSKDAEEDPLKYTVFPFLPNDAELSSAFVERKKREGSLRGYGIRVNNQSVEGSDSWVDDPITRHIISINVESKEKGGEKHLLWPLILHLRGSYVILVLPLVEPVHLKAYAKLCRKSDCGNAVGVDASLSSILLDTPSITGAFMVAHAIGDIIVGDVVDPDVVVNAAPSVGGLLDSLTGSIGIAGISSRAKPVAAPVASATPSGAASIGTVTADTPKLGSRPLDKDTLRNFISSAMPFGTPLDLNYSNIFAIKANGFSSSDLPPADLKQPAWKPYLFKGKQRIFFTIHETIHAALYDREEISDTISVSGQINCRAELEGLPDVSLPLSGLNKAHVEVLSFHPCAQVSEHGADKQAVMFSPPLGNFVLVRYQANCGFGPPIKGFYQLSMVSEDEGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRVVSFDGTPSVGAVLTTEHSIEWKIIPIGRSLSGKSIEATFPGTVRFAPWQIQRFPPSNSGFGKMGDEDSDVEIESTSNMANVEEFLMEKMSKDLPPVDLEEPFCWQAYRYAKVSFKIAGASLSGMSIDPKSVSIYPAVKAPVEFSSQVTSGDYILWNTLGKCPSAAVAQV >Potri.010G028600.4.v4.1 pep chromosome:Pop_tri_v4:10:4123641:4129490:-1 gene:Potri.010G028600.v4.1 transcript:Potri.010G028600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028600.v4.1 MPSSCSIRALWILNSLHGVVLSRKFPVVEKQWRAACKTINETSKDAEEDPLKYTVFPFLPNDAELSSAFVERKKREGSLRGYGIRVNNQSVEGSDSWVDDPITRHIISINVESKEKGGEKHLLWPLILHLRGSYVILVLPLVEPVHLKAYAKLCRKSDCGNAVGVDASLSSILLDTPSITGAFMVAHAIGDIIVGDVVDPDVVVNAAPSVGGLLDSLTGSIGIAGISSRAKPVAAPVASATPSGAASIGTVTADTPKLGSRPLDKDTLRNFISSAMPFGTPLDLNYSNIFAIKANGFSSSDLPPADLKQPAWKPYLFKGKQRIFFTIHETIHAALYDREEISDTISVSGQINCRAELEGLPDVSLPLSGLNKAHVEVLSFHPCAQVSEHGADKQAVMFSPPLGNFVLVRYQANCGFGPPIKGFYQLSMVSEDEGAFLFKLHLMEGYKAPLTMEFCTVTMPFPRRRVVSFDGTPSVGAVLTTEHSIEWKIIPIGRSLSGKSIEATFPGTVRFAPWQIQRFPPSNSGFGKMGDEDSDVEIESTSNMANVEEFLMEKMSKDLPPVDLEEPFCWQAYRYAKVSFKIAGASLSGMSIDPKSVSIYPAVKAPVEFSSQVTSGDYILWNTLGKCPSAAVAQV >Potri.011G128700.1.v4.1 pep chromosome:Pop_tri_v4:11:16179065:16183169:-1 gene:Potri.011G128700.v4.1 transcript:Potri.011G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128700.v4.1 MRTSMDYISVLVLCFSLLLILETATAIDTINTTQSIRDGQTLISADGTYVLGFFKPGKSKSRYLGIWFGKISVVTAVWVANRETPLNDSSGVLRLTNKGSLVLLNSSGSIIWSSNTSRSPARNPVAQLLDSGNLVVKEEDDDILENSLWQSFEHPTDTLLPEMKQGWNKITGMDWSLTSWKSSDDPARGHFIDMLSPNGYPEIQVIEDSKVKYRSGPWNGLRFSGSNQLKQNPRYTFEFVYNENETFYRYHLVNNSMLWRLVISPEGDLQRFTWIDQTQSWLLFSTANTDNCERYALCGANGICSIQNSPMCDCLHGFVPKIRSDWEATDWSSGCVRRTPVNCSVDGFQKVSGVKLPQTNTSWFNKSMNLQECKYMCLKNCSCTAYSNLDIRDGGSGCLLWFGDLVDTRVFSQNEQDIYIRMAASELGNGDGAKVNDKSNTKKMIILSSVLSTGTLLLFLAMVLYIRNRKQQRNRKVSGGFERNSNSNLRKENLDLPLFDLYTLAGATMDFSEDSKLGEGGFGPVYKGTLKDGREIAVKRLSKFSRQGLDEFTNEVKHIVELQHRNLVKLLGCCIERDEKMLVYEFLSNKSLDFFIFDETHTSQLDWPKRYNVIKGIARGLLYLHQDSRLRVIHRDLKASNVLLDHEMNPKISDFGLARSFGGNETEANTNKVMGTYGYISPEYAFDGLYSTKSDVFSFGVLVLEIVSGNRNRGFSHPDHQLNLLGHAWRLFLEGKPLELVSESIIESCNLFEVLRSIHMGLLCVQENPVDRPGMSYVVLMLENEDALPQPKQPGFFTERDLVEVTYSSTQSKPYSANDCSISLLEAR >Potri.011G128700.3.v4.1 pep chromosome:Pop_tri_v4:11:16179163:16183165:-1 gene:Potri.011G128700.v4.1 transcript:Potri.011G128700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128700.v4.1 MRTSMDYISVLVLCFSLLLILETATAIDTINTTQSIRDGQTLISADGTYVLGFFKPGKSKSRYLGIWFGKISVVTAVWVANRETPLNDSSGVLRLTNKGSLVLLNSSGSIIWSSNTSRSPARNPVAQLLDSGNLVVKEEDDDILENSLWQSFEHPTDTLLPEMKQGWNKITGMDWSLTSWKSSDDPARGHFIDMLSPNGYPEIQVIEDSKVKYRSGPWNGLRFSGSNQLKQNPRYTFEFVYNENETFYRYHLVNNSMLWRLVISPEGDLQRFTWIDQTQSWLLFSTANTDNCERYALCGANGICSIQNSPMCDCLHGFVPKIRSDWEATDWSSGCVRRTPVNCSVDGFQKVSGVKLPQTNTSWFNKSMNLQECKYMCLKNCSCTAYSNLDIRDGGSGCLLWFGDLVDTRVFSQNEQDIYIRMAASELGNGDGAKVNDKSNTKKMIILSSVLSTGTLLLFLAMVLYIRNRKQQRNIIEGKVSGGFERNSNSNLRKENLDLPLFDLYTLAGATMDFSEDSKLGEGGFGPVYKGTLKDGREIAVKRLSKFSRQGLDEFTNEVKHIVELQHRNLVKLLGCCIERDEKMLVYEFLSNKSLDFFIFDETHTSQLDWPKRYNVIKGIARGLLYLHQDSRLRVIHRDLKASNVLLDHEMNPKISDFGLARSFGGNETEANTNKVMGTYGYISPEYAFDGLYSTKSDVFSFGVLVLEIVSGNRNRGFSHPDHQLNLLGHAWRLFLEGKPLELVSESIIESCNLFEVLRSIHMGLLCVQENPVDRPGMSYVVLMLENEDALPQPKQPGFFTERDLVEVTYSSTQSKPYSANDCSISLLEAR >Potri.004G110875.1.v4.1 pep chromosome:Pop_tri_v4:4:10078807:10079172:-1 gene:Potri.004G110875.v4.1 transcript:Potri.004G110875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110875.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEDCHKKVTKRCAIEISNSIYTDKTASEYCCQKHVTTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.T002300.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:231619:237063:1 gene:Potri.T002300.v4.1 transcript:Potri.T002300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002300.v4.1 MRESESSRSIPEGAYDVFLSFRGEETRKTFTGHLYAALDEAGIHTFLDDVELPRGEEISEHLLKAIRESKISIVVFSKGYASSRWCLNELVEILKCKRKKTGQIVLPIFYDIDPSDVRKQTGSFAEAFDKHEERFEEELVKEWRKALEDAGNLSGWSLNDMAYGHEAKFIRGIIKDVLNKLRRECLDVPEHLVGMDRCTHNIYDFLSNATDDVRIVGIHGMPGIGKTTIAKVVFNQLCNGFDGSCFLSDINERSKQVNGLALLQKRLLHDILKQDAANFDCVDRGKVLIKERLCRKRVLVVADDVAHQDQLKALMGDRSWFGPGSRVIITTRNSNLLRKADRTYQIEELTRDQSLQLFSWHAFEDTKPAEDYIELSKKAVDYCGGLPLALDVMGACLSGKNRDGWKSVIDKLKRIPNHDIQRKLRISYDLLDGEELKNAFLDIACFFIDRKKEYIAKLLGARCGYNPEVDLQTLHERSLIKVLGETVTMHDLLRDMGREVVRESPPKEPGKRTRIWNQEDAWNVLQQQKGTEVVEGLKLDVRASETKSLSTGSFAKMKGLNLLQINGAHLTGSFKLLSKELMWICWHEFPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILDKLKILNLSHSQHLLKTPNLHSSSLEKLILKGCSSLVEVHQSIENLTSLVFLNLKGCWSLKTLPESIDNVKYLETLNISGCSQLEKLPERMGDMESLTELLADGIENEKCLSSIGQFKHVRRLSLRRNSSAPPSSSLISIGVLNWKRWPPASFIEWILVKHLELSNSGLSDRATNCVDFSGLSALEKLDLDGNKFSRLPSGIGFLLKLRDLSVEGCKYLVSIPDLPSSLTRLGASYCKSLKRVRIPSEPKKELYIFLDESHSLEEFQDIEGLSNSFWYIRVDDRSHSPSKLQKSVVEAMCNGRHGYFIRHTPGQMPNWMSYRGEGRSLSFHIPPVFQGLVVWVDKDDKDAHIIIIIRNNRNGNLLFQCTKWYYHPKTGSIRYIRRSEMAMEDYCADDELELYIYSKPSPLAMAMAMKDAVMPSFEPSTPKQCGVHVIVGKSDSFEELAVGRDAVMLPHPRCGSITASTPKQWIDFLFAKLQNHNLNLRLFGKNTYFL >Potri.T002300.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:232540:236808:1 gene:Potri.T002300.v4.1 transcript:Potri.T002300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002300.v4.1 MDRCTHNIYDFLSNATDDVRIVGIHGMPGIGKTTIAKVVFNQLCNGFDGSCFLSDINERSKQVNGLALLQKRLLHDILKQDAANFDCVDRGKVLIKERLCRKRVLVVADDVAHQDQLKALMGDRSWFGPGSRVIITTRNSNLLRKADRTYQIEELTRDQSLQLFSWHAFEDTKPAEDYIELSKKAVDYCGGLPLALDVMGACLSGKNRDGWKSVIDKLKRIPNHDIQRKLRISYDLLDGEELKNAFLDIACFFIDRKKEYIAKLLGARCGYNPEVDLQTLHERSLIKVLGETVTMHDLLRDMGREVVRESPPKEPGKRTRIWNQEDAWNVLQQQKGTEVVEGLKLDVRASETKSLSTGSFAKMKGLNLLQINGAHLTGSFKLLSKELMWICWHEFPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILDKLKILNLSHSQHLLKTPNLHSSSLEKLILKGCSSLVEVHQSIENLTSLVFLNLKGCWSLKTLPESIDNVKYLETLNISGCSQLEKLPERMGDMESLTELLADGIENEKCLSSIGQFKHVRRLSLRRNSSAPPSSSLISIGVLNWKRWPPASFIEWILVKHLELSNSGLSDRATNCVDFSGLSALEKLDLDGNKFSRLPSGIGFLLKLRDLSVEGCKYLVSIPDLPSSLTRLGASYCKSLKRVRIPSEPKKELYIFLDESHSLEEFQDIEGLSNSFWYIRVDDRSHSPSKLQKSVVEAMCNGRHGYFIRHTPGQMPNWMSYRGEGRSLSFHIPPVFQGLVVWVDKDDKDAHIIIIIRNNRNGNLLFQCTKWYYHPKTGSIRYIRRSEMAMEDYCADDELELYIYSKPSPLAMAMAMKDAVMPSFEPSTPKQCGVHVIVGKSDSFEELAVGRDAVMLPHPRCGSITASTPKQWIDFLFAKLQNHNLNLRLFVRE >Potri.T002300.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:231940:236804:1 gene:Potri.T002300.v4.1 transcript:Potri.T002300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002300.v4.1 MAYGHEAKFIRGIIKDVLNKLRRECLDVPEHLVGMDRCTHNIYDFLSNATDDVRIVGIHGMPGIGKTTIAKVVFNQLCNGFDGSCFLSDINERSKQVNGLALLQKRLLHDILKQDAANFDCVDRGKVLIKERLCRKRVLVVADDVAHQDQLKALMGDRSWFGPGSRVIITTRNSNLLRKADRTYQIEELTRDQSLQLFSWHAFEDTKPAEDYIELSKKAVDYCGGLPLALDVMGACLSGKNRDGWKSVIDKLKRIPNHDIQRKLRISYDLLDGEELKNAFLDIACFFIDRKKEYIAKLLGARCGYNPEVDLQTLHERSLIKVLGETVTMHDLLRDMGREVVRESPPKEPGKRTRIWNQEDAWNVLQQQKGTEVVEGLKLDVRASETKSLSTGSFAKMKGLNLLQINGAHLTGSFKLLSKELMWICWHEFPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILDKLKILNLSHSQHLLKTPNLHSSSLEKLILKGCSSLVEVHQSIENLTSLVFLNLKGCWSLKTLPESIDNVKYLETLNISGCSQLEKLPERMGDMESLTELLADGIENEKCLSSIGQFKHVRRLSLRRNSSAPPSSSLISIGVLNWKRWPPASFIEWILVKHLELSNSGLSDRATNCVDFSGLSALEKLDLDGNKFSRLPSGIGFLLKLRDLSVEGCKYLVSIPDLPSSLTRLGASYCKSLKRVRIPSEPKKELYIFLDESHSLEEFQDIEGLSNSFWYIRVDDRSHSPSKLQKSVVEAMCNGRHGYFIRHTPGQMPNWMSYRGEGRSLSFHIPPVFQGLVVWVDKDDKDAHIIIIIRNNRNGNLLFQCTKWYYHPKTGSIRYIRRSEMAMEDYCADDELELYIYSKPSPLAMAMAMKDAVMPSFEPSTPKQCGVHVIVGKSDSFEELAVGRDAVMLPHPRCGSITASTPKQWIDFLFAKLQNHNLNLRLFVRE >Potri.T002300.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:231616:236921:1 gene:Potri.T002300.v4.1 transcript:Potri.T002300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002300.v4.1 MRESESSRSIPEGAYDVFLSFRGEETRKTFTGHLYAALDEAGIHTFLDDVELPRGEEISEHLLKAIRESKISIVVFSKGYASSRWCLNELVEILKCKRKKTGQIVLPIFYDIDPSDVRKQTGSFAEAFDKHEERFEEELVKEWRKALEDAGNLSGWSLNDMAYGHEAKFIRGIIKDVLNKLRRECLDVPEHLVGMDRCTHNIYDFLSNATDDVRIVGIHGMPGIGKTTIAKVVFNQLCNGFDGSCFLSDINERSKQVNGLALLQKRLLHDILKQDAANFDCVDRGKVLIKERLCRKRVLVVADDVAHQDQLKALMGDRSWFGPGSRVIITTRNSNLLRKADRTYQIEELTRDQSLQLFSWHAFEDTKPAEDYIELSKKAVDYCGGLPLALDVMGACLSGKNRDGWKSVIDKLKRIPNHDIQRKLRISYDLLDGEELKNAFLDIACFFIDRKKEYIAKLLGARCGYNPEVDLQTLHERSLIKVLGETVTMHDLLRDMGREVVRESPPKEPGKRTRIWNQEDAWNVLQQQKGTEVVEGLKLDVRASETKSLSTGSFAKMKGLNLLQINGAHLTGSFKLLSKELMWICWHEFPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILDKLKILNLSHSQHLLKTPNLHSSSLEKLILKGCSSLVEVHQSIENLTSLVFLNLKGCWSLKTLPESIDNVKYLETLNISGCSQLEKLPERMGDMESLTELLADGIENEKCLSSIGQFKHVRRLSLRRNSSAPPSSSLISIGVLNWKRWPPASFIEWILVKHLELSNSGLSDRATNCVDFSGLSALEKLDLDGNKFSRLPSGIGFLLKLRDLSVEGCKYLVSIPDLPSSLTRLGASYCKSLKRVRIPSEPKKELYIFLDESHSLEEFQDIEGLSNSFWYIRVDDRSHSPSKLQKSVVEAMCNGRHGYFIRHTPGQMPNWMSYRGEGRSLSFHIPPVFQGLVVWVDKDDKDAHIIIIIRNNRNGNLLFQCTKWYYHPKTGSIRYIRRSEMAMEDYCADDELELYIYSKPSPLAMAMAMKDAVMPSFEPSTPKQCGVHVIVGKSDSFEELAVGRDAVMLPHPRCGSITASTPKQWIDFLFAKLQNHNLNLRLFVRE >Potri.002G228200.1.v4.1 pep chromosome:Pop_tri_v4:2:21764163:21765453:-1 gene:Potri.002G228200.v4.1 transcript:Potri.002G228200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228200.v4.1 MDWTRGHSIGHGSTATVSLATSIQSGDVFAVKSVELFQSGFLQREQQILSSLTSPFIVSYRGCDITRENSKVMYNLFLEYMPNGTLSNAIHAHGGRLDEPLIRNYTSQVLQGLDYIHLNGLVHCDIKSSNILVGPSGAKIADFGCAKRVEQVGQIAGTPMFMAPEVARGEEQGFASDIWALGCAIIEMASGSTPWHNVNDPVSIIYRVGYSGHLPDFPCCLSEQARDLLDKCLRRDPRERWTASQLLKHPFLVGESNSHHVAKQIQESNSSSNSPTSILDQCFWNSLDLSESLNNLVSVPPSRESSAGERIRGLSLLSGMPRWDWDESWTTIRGYSREERDAIMDCIEVKDDIVSCGLGEQKSYGGSKELLNLLDSNISSRIIDDFWASCKYRKVHFGNPCMSRLPLQA >Potri.003G139000.1.v4.1 pep chromosome:Pop_tri_v4:3:15548856:15550320:1 gene:Potri.003G139000.v4.1 transcript:Potri.003G139000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139000.v4.1 MFVKLTVTVLFFLCAVAYSRTPSDLPDGDFTLPELPRSDPKATPVLFLPSQKPETSEPATEIKYPADVEIEEQKEPGSSSTEVKTESIETAVPLTVVTFRPTNLHFLPRRPLLPVRHRHGCRHGHNMMKPRFYGNDMVVSGEKDDGFELGDHQATRGVVRQIPARWTRFHHGGQRFSFYDDVANGEEREWGHKKHHKHHHVEGEEEHEHEHEHEHEEHDHEHDHEEHEHEHEEHDHEHDHEEHGHGHGHGHDHEHEHGHDHEEHGHGHEEHGHEHEHEEHEHEHGHGHGHEHEGHGHGHEHEGHGHEWGLFKGIRKFLKHNF >Potri.004G233700.2.v4.1 pep chromosome:Pop_tri_v4:4:23856173:23857743:-1 gene:Potri.004G233700.v4.1 transcript:Potri.004G233700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233700.v4.1 MGRMTWFVVLSCMMASMGGVIFGYDIGISAFGRKPSILLGGAAFLAGAALGGAAINVYMLIFGRVLLGVGVGFANQSVPLYLSEMAPPRYRGAINNGFQFSVGIGVLSANLINYGTERIRGGWGWRISLSMAAVPAAILSLGALFLPETAHSLIQRTDDTERAKLMLQRVRGTEDVEAELNDLIQANSTSKTNEHPLKNIIRRKYRPQLVMAIAIPFFEICFLHKKIKIF >Potri.003G222300.2.v4.1 pep chromosome:Pop_tri_v4:3:21564430:21570319:-1 gene:Potri.003G222300.v4.1 transcript:Potri.003G222300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222300.v4.1 MEDQRTEIEFDEFEKLLGEIPNATAANQHSADAGPKNAPLNGSLAPISLNSCKGAFAVKLESNGSLNDRQFLANNTQQYPIKNLQSDEANLPDDQSLTSAFAELSFNSGSPLVNCKSPPNPAVYTNTMNGSLSNMDSTVMVSPLFRSPNNLPSGFDKFNVVKVGQEQSNFLKFDARQLKVPADFCQPQPIENFSTALSPTHGMQGFQLLSNVAVPGMDFPLMSDHQQYFTDVQSPLPYLHSQQLNQSHISWRNIGEEQYYRMHQQYLYMQQLHNQRLEAQNPIQANGNVATKLMSRNVRQPYLEVPFSHQVQQSNQEPFCSSYAVSRGLNQSQNGIRVLDKVGKQSFPEKILTRSQGLNTLKALKFGSAGGNETLAHLNHHGKFLSNGHLLHSLPAPTAGCFQLDHLTSWDILPDFTDLKSSNFSSQSLKYNSVDEVTGRIYLMAKDQHGCRFLQRKFSEGSPQDVEKIFLEIIDHIVELMTDPFGNYLVQKLLEVCDEDQRMQILRAITRKAGELVRISCDMHGTRAVQKVIETLKTPEQFSMVVSALKPGIVTLIKNMNGNHVAQRCLQCLMPEYIDFLFEATTDNCIELATDRHGCCVLQKCLSHSEGEQRSRLVSEITSNALILSQDQFGNYVVQFVFELRLPWATTDILGQLEGNYRDLSVQKYSSNVVEKCLKYAGEERRTRIIRELINNAHLDQVMQDPFGNYVIQAALQQSKGALHTALVEAIRPHVPTLRTSPYGKKVLSSNSLKK >Potri.014G016100.2.v4.1 pep chromosome:Pop_tri_v4:14:953246:957562:1 gene:Potri.014G016100.v4.1 transcript:Potri.014G016100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016100.v4.1 MWREIRDREAGKTRPNSFKNRVSSSRISNLQLSNYKDVVSPHRGSINSLQVDLTEGRYLLSGASDASASVFDIQHATDFEGGGEGALIAKHKSLITIDKQHQNGHKFAISSAIWYPIDTGLFVTGSYDHYIKVWDTNSTQMVINFKLPGKVYRTAMSPLATSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRVEWSTSSEWVLVTGGCDGAIRFWDIRRAGCFLVLDQSQSQLGRRPPVLARSAVSKVSTSKALSKGQNLLGKPRMPQKKSGGGNGIKHSPLGQVPAKGYLKQRLHPGMLSSQDRATAHYGAVTGLKITEDGMYLLSAGSDSRIRLWDVESGRNTLVNFETARLQTSKPIQLATAQDSNLVFVPCMTSVKAFDVWSGKTYVTFRGHYEHVNCCWFSSQDQELYTGGNDRQILVWSPARLIPNEDASPAEDQDNWSD >Potri.014G016100.1.v4.1 pep chromosome:Pop_tri_v4:14:953298:957575:1 gene:Potri.014G016100.v4.1 transcript:Potri.014G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016100.v4.1 MWREIRDREAGKTRPNSFKNRVSSSRISNLQLSNYKDVVSPHRGSINSLQVDLTEGRYLLSGASDASASVFDIQHATDFEGGGEGALIAKHKSLITIDKQHQNGHKFAISSAIWYPIDTGLFVTGSYDHYIKVWDTNSTQMVINFKLPGKVYRTAMSPLATSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMTVEWSTSSEWVLVTGGCDGAIRFWDIRRAGCFLVLDQSQSQLGRRPPVLARSAVSKVSTSKALSKGQNLLGKPRMPQKKSGGGNGIKHSPLGQVPAKGYLKQRLHPGMLSSQDRATAHYGAVTGLKITEDGMYLLSAGSDSRIRLWDVESGRNTLVNFETARLQTSKPIQLATAQDSNLVFVPCMTSVKAFDVWSGKTYVTFRGHYEHVNCCWFSSQDQELYTGGNDRQILVWSPARLIPNEDASPAEDQDNWSD >Potri.014G016100.5.v4.1 pep chromosome:Pop_tri_v4:14:953268:957532:1 gene:Potri.014G016100.v4.1 transcript:Potri.014G016100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016100.v4.1 MWREIRDREAGKTRPNSFKNRVSSSRISNLQLSNYKDVVSPHRGSINSLQVDLTEGRYLLSGASDASASVFDIQHATDFEGGGEGALIAKHKSLITIDKQHQNGHKFAISSAIWYPIDTGLFVTGSYDHYIKVWDTNSTQMVINFKLPGKVYRTAMSPLATSHMLIAAGTEDVQVRLCDIASGAFAHTLSGHRDGVMTVEWSTSSEWVLVTGGCDGAIRFWDIRRAGCFLVLDQSQSQLGRRPPVLARSAVSKVSTSKALSKGQNLLGKPRMPQKKSGGGNGIKHSPLGQVPAKGYLKQRLHPGMLSSQDRATAHYGAVTGLKITEDGMYLLSAGSDSRIRLWDVESGRNTLVNFETARLQTSKPIQLATAQDSNLVFVPCMTSVKAFDVWSGKTYVTFRGHYEHVNCCWFSSQDQVSSYSCTFSQGFSSYHFISLIIMLPVRFFMQS >Potri.015G110500.2.v4.1 pep chromosome:Pop_tri_v4:15:12765892:12768101:-1 gene:Potri.015G110500.v4.1 transcript:Potri.015G110500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110500.v4.1 MGDQLGEFGARDPFPAEIESAFAEKVLGNVDTEHKILIPTVSALSLSQQECTPISPLQDPMSKDDAQKLFKKVLGWRLLDEEVGLKLQCLWKLRDFKCGVELVNRIYKVTESCGHFPDVHLEQNQVRAELWTESLGGLSMTDFIVAAKIDEIKTSDLVPRKRVWA >Potri.005G056700.1.v4.1 pep chromosome:Pop_tri_v4:5:3548773:3551492:-1 gene:Potri.005G056700.v4.1 transcript:Potri.005G056700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G056700.v4.1 MASIIFFLLLALSFTASAQIQTNITLGSSLTPITNSSWLSPSGLYAFGFFRQRNGYSIGVFLSGISLKTVVWAARRDDDPVPSNSTLLFTSDGRLVLTSAQGGETLIVSVSQPASLASMSDSGNFVLYNSDREIIWQSFDHPTDTLLPTQQLKAGAELFSPVSETELSTGIYRLKMQNDGNLVQYPVNTPDTAPYAYFATPTYREGNNVTLNLDPDGHLYLLNSTGFNIFNITDGGYPTKETINMMKLDADGILRLYSQNLTRNGNWSAVWSSTSNKCQPKGSCGLNGYCVMKDQEAECICLPGFEFVTQGNWTSSCERDFNAESCKDKNGSSTYTMEELSNTEWEDASYSVLSSTTKDNCKQACLEDCNCEAALFTDGQYCRKQRLPLRFGRRNLESSNLAVVKVGRPISTMDRKEPITEKNLGTGRTILIISGSFVAFGLAMVAICGIIIYRYHVLAYKKVPSNDSTGLNEEFAPRAFTYAELENVTGGFKEEIGRGSFGTVYKGIISSNQKVVAVKRLEKVLAEGEREFQNEMKVIGKTHHRNLVRLLGYCHDGHHRLLVYEYMSNGSLADILFSLEKRPCFPERLEIARNIARGIVYLHEECETQIIHCDIKPQNILIDESRCPKVSDFGLAKLLKSDQTKTFTGIRGTRGYVAPEWHRNMPVTVKADVYSFGVMLLEITCCRKNVDWSLPEDEAVLEQWVYQCFQDGDMDKLVGEEVAEKKQLDRMVKVGIWCTLDEPSLRPSMKKVLLMLEGTVEIPIPPSPTSFFTAI >Potri.008G125900.2.v4.1 pep chromosome:Pop_tri_v4:8:8189766:8193260:1 gene:Potri.008G125900.v4.1 transcript:Potri.008G125900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125900.v4.1 MACASFVKLNAASSSWTGQKSSFGKRSPGSSTRRVSFSIRASSYTDELVQTAKLIASPGRGILAIDESNATCGKRLASIGLDNTETNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGRKFVDCLRDENIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIDRTLEVAEKVWAEVFYYLAENNVVFEGILLKPSMVTPGAEHKEKASPDTIAKYTLTMLKRRVPPAVPGIMFLSGGQSEVQATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWQGRPENVEAAQKSLLVRAKANSLAQLGRYSAEGESEEAKKGMFVKGYTY >Potri.018G023900.5.v4.1 pep chromosome:Pop_tri_v4:18:1773719:1781318:1 gene:Potri.018G023900.v4.1 transcript:Potri.018G023900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETAHKLEQESGLFFNAKYFEELVLGGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLLELKKLMESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFVDHSCRNANHAYPQLAASNHLIASAPKSEGFLSVVANGSFQPAPAPAPAPAPAPAPAPIPIQTPLTTWISNPATVTHPIVLGGGLNFIGPNPGVAMPKGHGDSDVSRPRVSGALERVMLAGNSSGQNHYGLAFNMTEELPKTVARTLNQGSVPTSMDFHPIQQTLLLVGTGIGDITLWEVSSREKLESKSFQVWDIGASSMVLKASIMKDPSVSVKRVLWSPDGSLFGVAYSKHIVQIYTYYDGHGIRHHIEIDAHIGSVNDLAFCNPKQPAVITCGDDKTIRVWEVATGAELYTFKGHEAPVHSVCPHSRETVHFVFSTSVDGKIKAWLYDMMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTNQDGESHMVEWNENEGTIKRTYQGFHKRSLGVVQFDTTKNRFLAVGDDYLIKFWDMDSPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFESHSLVASRVGIASEAPIKNGDSRSSEGVKTRVPEEVHPPKIWKLTEINDPSKLQSLRLSTRIKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKAAPQLVQPGSGVLMTNDLVDARTEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTIHIYNVRVDEVKSKLMGHSKRIAGLAFSNVLNTLVSSGADAQVIVWSTDRWERKKNCVLQIPAGRTPPAMSGTQVQFHQDQIHLLVVHETLLAIYETTKLECLKQWNIGEFSAPISHATFSCDSQLVYASFVDGTLRIFGASNLQVRCQINPNAYLQSDVGSSVYPLAVAAHPQEPNQFAIGLTDGSIQVFEPLESEGKWGMPPPAENGASSSMPPAPPAVPLDKPQNMD >Potri.018G023900.4.v4.1 pep chromosome:Pop_tri_v4:18:1773962:1781176:1 gene:Potri.018G023900.v4.1 transcript:Potri.018G023900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETAHKLEQESGLFFNAKYFEELVLGGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLLELKKLMESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFVDHSCRNANHAYPQLAASNHLIASAPKSEGFLSVVANGSFQPAPAPAPAPAPAPAPAPIPIQTPLTTWISNPATVTHPIVLGGGLNFIGPNPGVAMPKGHGDSDVSRPRVSGALERVMLAGNSSGQNHYGLAFNMTEELPKTVARTLNQGSVPTSMDFHPIQQTLLLVGTGIGDITLWEVSSREKLESKSFQVWDIGASSMVLKASIMKDPSVSVKRVLWSPDGSLFGVAYSKHIVQIYTYYDGHGIRHHIEIDAHIGSVNDLAFCNPKQPAVITCGDDKTIRVWEVATGAELYTFKGHEAPVHSVCPHSRETVHFVFSTSVDGKIKAWLYDMMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTNQDGESHMVEWNENEGTIKRTYQGFHKRSLGVVQFDTTKNRFLAVGDDYLIKFWDMDSPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFESHSLVASRVGIASEAPIKNGDSRSSEGVKTRVPEEVHPPKIWKLTEINDPSKLQSLRLSTRIKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKAAPQLVQPGSGVLMTNDLVDARTEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTIHIYNVRVDEVKSKLMGHSKRIAGLAFSNVLNTLVSSGADAQVIVWSTDRWERKKNCVLQIPAGRTPPAMSGTQVQFHQDQIHLLVVHETLLAIYETTKLECLKQWNIGEFSAPISHATFSCDSQLVYASFVDGTLRIFGASNLQVRCQINPNAYLQSDVGSSVYPLAVAAHPQEPNQFAIGLTDGSIQVFEPLESEGKWGMPPPAENGASSSMPPAPPAVPLDKPQNMD >Potri.018G023900.9.v4.1 pep chromosome:Pop_tri_v4:18:1773726:1781318:1 gene:Potri.018G023900.v4.1 transcript:Potri.018G023900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETAHKLEQESGLFFNAKYFEELVLGGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLLELKKLMESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFVDHSCRNANHAYPQLAASNHLIASAPKSEGFLSVVANGSFQPAPAPAPAPAPAPAPAPIPIQTPLTTWISNPATVTHPIVLGGGLNFIGPNPGVAMPKGHGDSDVSRPRVSGALERVMLAGNSSGQNHYGLAFNMTEELPKTVARTLNQGSVPTSMDFHPIQQTLLLVGTGIGDITLWEVSSREKLESKSFQVWDIGASSMVLKASIMKDPSVSVKRVLWSPDGSLFGVAYSKHIVQIYTYYDGHGIRHHIEIDAHIGSVNDLAFCNPKQPAVITCGDDKTIRVWEVATGAELYTFKGHEAPVHSVCPHSRETVHFVFSTSVDGKIKAWLYDMMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTNQDGESHMVEWNENEGTIKRTYQGFHKRSLGVVQFDTTKNRFLAVGDDYLIKFWDMDSPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFESHSLVASRVGIASEAPIKNGDSRSSEGVKTRVPEEVHPPKIWKLTEINDPSKLQSLRLSTRIKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKAAPQLVQPGSGVLMTNDLVDARTEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTIHIYNVRVDEVKSKLMGHSKRIAGLAFSNVLNTLVSSGADAQVIVWSTDRWERKKNCVLQIPAGRTPPAMSGTQVQFHQDQIHLLVVHETLLAIYETTKLECLKQWNIGEFSAPISHATFSCDSQLVYASFVDGTLRIFGASNLQVRCQINPNAYLQSDVGSSVYPLAVAAHPQEPNQFAIGLTDGSIQVFEPLESEGKWGMPPPAENGASSSMPPAPPAVPLDKPQNMD >Potri.018G023900.6.v4.1 pep chromosome:Pop_tri_v4:18:1773551:1781264:1 gene:Potri.018G023900.v4.1 transcript:Potri.018G023900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETAHKLEQESGLFFNAKYFEELVLGGDWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLLELKKLMESNPLFRDKLQYPNIKNSRLRMLINQSCRNANHAYPQLAASNHLIASAPKSEGFLSVVANGSFQPAPAPAPAPAPAPAPAPIPIQTPLTTWISNPATVTHPIVLGGGLNFIGPNPGVAMPKGHGDSDVSRPRVSGALERVMLAGNSSGQNHYGLAFNMTEELPKTVARTLNQGSVPTSMDFHPIQQTLLLVGTGIGDITLWEVSSREKLESKSFQVWDIGASSMVLKASIMKDPSVSVKRVLWSPDGSLFGVAYSKHIVQIYTYYDGHGIRHHIEIDAHIGSVNDLAFCNPKQPAVITCGDDKTIRVWEVATGAELYTFKGHEAPVHSVCPHSRETVHFVFSTSVDGKIKAWLYDMMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTNQDGESHMVEWNENEGTIKRTYQGFHKRSLGVVQFDTTKNRFLAVGDDYLIKFWDMDSPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFESHSLVASRVGIASEAPIKNGDSRSSEGVKTRVPEEVHPPKIWKLTEINDPSKLQSLRLSTRIKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKAAPQLVQPGSGVLMTNDLVDARTEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKTMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTIHIYNVRVDEVKSKLMGHSKRIAGLAFSNVLNTLVSSGADAQVIVWSTDRWERKKNCVLQIPAGRTPPAMSGTQVQFHQDQIHLLVVHETLLAIYETTKLECLKQWNIGEFSAPISHATFSCDSQLVYASFVDGTLRIFGASNLQVRCQINPNAYLQSDVGSSVYPLAVAAHPQEPNQFAIGLTDGSIQVFEPLESEGKWGMPPPAENGASSSMPPAPPAVPLDKPQNMD >Potri.018G027600.2.v4.1 pep chromosome:Pop_tri_v4:18:2055350:2056248:1 gene:Potri.018G027600.v4.1 transcript:Potri.018G027600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027600.v4.1 MAAAAMMTANTLPRFNGLRPSAVPVKSLAAVQPVRRKGNGALGARMDFIGSPTNLIMVTSTSLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDLAGFTLADTLACGAVGHIIGVGVVLGLKNMGAL >Potri.010G075600.2.v4.1 pep chromosome:Pop_tri_v4:10:10340610:10341410:-1 gene:Potri.010G075600.v4.1 transcript:Potri.010G075600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075600.v4.1 MSSDTTCQGKSSWPELLGAEGKVAAATIERENPLVDAKIVPEGSIVPLDFRCDRVWVWVDKRGIVIQVPRIG >Potri.008G029300.5.v4.1 pep chromosome:Pop_tri_v4:8:1556758:1562684:1 gene:Potri.008G029300.v4.1 transcript:Potri.008G029300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029300.v4.1 MNCRHCLPRSSSALPLQGFNFYQRRPSSPSLFSLNMGTKNSSDLRLRVSVKAIPSSPSSAEMSGADAEEEEEKSEIYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDISAIQDYAKACGDIQHLRAQIRDFDAFDLRKRIPAVVSKLYKAINRNGGVAYIHCTAGLGRAPAVAMAYMFWFQGYKLSDACDLLLSKRSCFPNLDAIKNSTADILTGLRKKLVTLKWKDDKCCTVEISGLDIGWGQRIPLELDEEQGFWILKRELLEGRYEYKYIVDGEWTINKHELVTTQNKDGHVNNYVQVLDDDTDSANAALQKSLTGDDPNLTGDERLRIRRFLEALPEDEG >Potri.008G029300.1.v4.1 pep chromosome:Pop_tri_v4:8:1556763:1562736:1 gene:Potri.008G029300.v4.1 transcript:Potri.008G029300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029300.v4.1 MNCRHCLPRSSSALPLQGFNFYQRRPSSPSLFSLNMAGTKNSSDLRLRVSVKAIPSSPSSAEMSGADAEEEEEKSEIYSHDMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTIFCLQQDPDLEYFGVDISAIQDYAKACGDIQHLRAQIRDFDAFDLRKRIPAVVSKLYKAINRNGGVAYIHCTAGLGRAPAVAMAYMFWFQGYKLSDACDLLLSKRSCFPNLDAIKNSTADILTGLRKKLVTLKWKDDKCCTVEISGLDIGWGQRIPLELDEEQGFWILKRELLEGRYEYKYIVDGEWTINKHELVTTQNKDGHVNNYVQVLDDDTDSANAALQKSLTGDDPNLTGDERLRIRRFLEALPEDEG >Potri.019G109200.1.v4.1 pep chromosome:Pop_tri_v4:19:14018455:14022343:1 gene:Potri.019G109200.v4.1 transcript:Potri.019G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G109200.v4.1 MAAGGAHKGNGNGNRGRPYGLMLLLAFGAALLGVMVLHKFRERRIFNLLVKEKDRELMSLQLILQKERERSKEMKRKAEEMKGKIYSLRTQKMELDRRQLEMQSTIDSIKDEQKIMESALEEKQNEIKMLREMNIDADKGNLQMADLIESLKQKEAEIEELKHHLEYPAKKWSVSTDDPSSPPINMTVSLNMVNEGNIEVDKSKEEEVQLHETAYDGNGLDSRGNGGNSTSTNLEKQGDTAIVENASESRVGIADRREVSEEKESQKLDGSRNGSSIGIDKDQEYENESSQGKRASGAGEENNTSNATETIVSRIGRASKTADAHNDEKSRDREEHKFNLDGQPGLENVQEAEDRQETLRGGAKREMVDNSRSRGNERYHHASRVRGKRRTVVAKNRLLESRNDVNNVAEKTRNRKFPMDDQGRLIYREGGRASIDGTTEEITKAVGSSKTKSIEHQNHEDSKDLENKLGEDRQNQQMSEVHETMKRLPVAHDAKVLTNGSLDGQLSNIRSNDRKQSLDEDQQQARGTEESRNSSNMNTKKNSDEQVINISKHERQEQMEDSDVEHKTDADSGDFYKESVSDLEEDKEEYREETDESDF >Potri.010G113000.6.v4.1 pep chromosome:Pop_tri_v4:10:13285983:13291135:1 gene:Potri.010G113000.v4.1 transcript:Potri.010G113000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113000.v4.1 MAGEFQEPFSLSNCISFQVDSLHSGSISFGRFKSEDLSWERRSSFSHNRYLEEVEKCSKPGSVIQKKAYFEAHFKKKGILRPDSLDGLSGRGCQNCEDDEYGNLGQGEEDDDMNGSCNYSHREDDVLESVDCDEFDYGNQGDQFDHVNDESHRACFDESPEDPDYHGQLEVIECEREDPIVLSSESPVEAALDDANVSVRGVGEYVKPEEAHQIETGLDESHLNNDKQEMEMKDNINDNVAKIDESSVTIVLSPKSGTAKDLDNTSPVHQQNLSPKLRDCVESKSTNPRMRSPINGSQFQKILNNVSKTTAKTQNRGEGETPQRAKSEKQSSRATTPTRRTLHRAKNEENSESGNLRLHPVNRRYFLIRANCKPASSMFSALKMSVLLCSERASRVNKFESPPSRSKKVEPMSHLRANRNKQIVNSIKPDTMPCAAAFSFKSDERAERRKEFYMKLEEKLHAKEAEMNQIQAKTQEQKKAEIKKFRERLNFKAAPMPSFYRVAVSPGSDGNKASSSKTKPAKAQHRSTSPGSGAAARSQLLSRTGNDQAVTANESVKPTNQPDPSGRTDHQARNVSEARETKPTNNNRHKPEAVTKIGVTGKNERGKVKDASLQRHQVSENTRVSKDLKVEGKAKTRSHRSNSEMLRKSIKHIEIGSNTGKGNLAVGVAILN >Potri.010G113000.5.v4.1 pep chromosome:Pop_tri_v4:10:13285989:13291138:1 gene:Potri.010G113000.v4.1 transcript:Potri.010G113000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113000.v4.1 MAGEFQEPFSLSNCISFQVDSLHSGSISFGRFKSEDLSWERRSSFSHNRYLEEVEKCSKPGSVIQKKAYFEAHFKKKGILRPDSLDGLSGRGCQNCEDDEYGNLGQGEEDDDMNGSCNYSHREDDVLESVDCDEFDYGNQGDQFDHVNDESHRACFDESPEDPDYHGQLEVIECEREDPIVLSSESPVEAALDDANVSVRGVGEYVKPEEAHQIETGLDESHLNNDKQEMEMKDNINDNVAKIDESSVTIVLSPKSGTAKDLDNTSPVHQQNLSPKLRDCVESKSTNPRMRSPINGSQFQKILNNVSKTTAKTQNRGEGETPQRAKSEKQSSRATTPTRRTLHRAKNEENSESGNLRLHPVNRSERASRVNKFESPPSRSKKVEPMSHLRANRNKQIVNSIKPDTMPCAAAFSFKSDERAERRKEFYMKLEEKLHAKEAEMNQIQAKTQKKAEIKKFRERLNFKAAPMPSFYRVAVSPGSDGNKASSSKTKPAKAQHRSTSPGSGAAARSQLLSRTGNDQAVTANESVKPTNQPDPSGRTDHQARNVSEARETKPTNNNRHKPEAVTKIGVTGKNERGKVKDASLQRHQVSENTRVSKDLKVEGKAKTRSHRSNSEMLRKSIKHIEIGSNTGKGNLAVGVAILN >Potri.010G113000.7.v4.1 pep chromosome:Pop_tri_v4:10:13286036:13291105:1 gene:Potri.010G113000.v4.1 transcript:Potri.010G113000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113000.v4.1 MAGEFQEPFSLSNCISFQVDSLHSGSISFGRFKSEDLSWERRSSFSHNRYLEEVEKCSKPGSVIQKKAYFEAHFKKKGILRPDSLDGLSGRGCQNCEDDEYGNLGQGEEDDDMNGSCNYSHREDDVLESVDCDEFDYGNQGDQFDHVNDESHRACFDESPEDPDYHGQLEVIECEREDPIVLSSESPVEAALDDANVSVRGVGEYVKPEEAHQIETGLDESHLNNDKQEMEMKDNINDNVAKIDESSVTIVLSPKSGTAKDLDNTSPVHQQNLSPKLRDCVESKSTNPRMRSPINGSQFQKILNNVSKTTAKTQNRGEGETPQRAKSEKQSSRATTPTRRTLHRAKNEENSESGNLRLHPVNRSERASRVNKFESPPSRSKKVEPMSHLRANRNKQIVNSIKPDTMPCAAAFSFKSDERAERRKEFYMKLEEKLHAKEAEMNQIQAKTQVRKKFSNSWLLLLSVSALILYLLL >Potri.010G113000.1.v4.1 pep chromosome:Pop_tri_v4:10:13285739:13291165:1 gene:Potri.010G113000.v4.1 transcript:Potri.010G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113000.v4.1 MAGEFQEPFSLSNCISFQVDSLHSGSISFGRFKSEDLSWERRSSFSHNRYLEEVEKCSKPGSVIQKKAYFEAHFKKKGILRPDSLDGLSGRGCQNCEDDEYGNLGQGEEDDDMNGSCNYSHREDDVLESVDCDEFDYGNQGDQFDHVNDESHRACFDESPEDPDYHGQLEVIECEREDPIVLSSESPVEAALDDANVSVRGVGEYVKPEEAHQIETGLDESHLNNDKQEMEMKDNINDNVAKIDESSVTIVLSPKSGTAKDLDNTSPVHQQNLSPKLRDCVESKSTNPRMRSPINGSQFQKILNNVSKTTAKTQNRGEGETPQRAKSEKQSSRATTPTRRTLHRAKNEENSESGNLRLHPVNRSERASRVNKFESPPSRSKKVEPMSHLRANRNKQIVNSIKPDTMPCAAAFSFKSDERAERRKEFYMKLEEKLHAKEAEMNQIQAKTQEQKKAEIKKFRERLNFKAAPMPSFYRVAVSPGSDGNKASSSKTKPAKAQHRSTSPGSGAAARSQLLSRTGNDQAVTANESVKPTNQPDPSGRTDHQARNVSEARETKPTNNNRHKPEAVTKIGVTGKNERGKVKDASLQRHQVSENTRVSKDLKVEGKAKTRSHRSNSEMLRKSIKHIEIGSNTGKGNLAVGVAILN >Potri.001G073700.6.v4.1 pep chromosome:Pop_tri_v4:1:5547779:5550157:1 gene:Potri.001G073700.v4.1 transcript:Potri.001G073700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073700.v4.1 MSPKTAQIRLMSSHPEVYEPCDDSFALVDALLADRTNILDHCPRLCLEVGCGSGYVIASLALMLGQELPGVYYFATDINPHALRVTRETLDAHAVDAELMCMDIASGLEKRLAGMVDVMVVNPPYVPTPDYEVGREGIASAWAGGENGRIVIDRILPVADRLLSDKGWLYMVTLTTNDPSQICLLMRKKGYASRIVVQRSTEEESLHIIKFWRDSDIQLDTKEILTTNKSVPARVKDSLISQFSQLSFCRGTNSNCL >Potri.001G073700.2.v4.1 pep chromosome:Pop_tri_v4:1:5547744:5550149:1 gene:Potri.001G073700.v4.1 transcript:Potri.001G073700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073700.v4.1 MSPKTAQIRLMSSHPEVYEPCDDSFALVDALLADRTNILDHCPRLCLEVGCGSGYVIASLALMLGQELPGVYYFATDINPHALRVTRETLDAHAVDAELMCMDIASGLEKRLAGMVDVMVVNPPYVPTPDYEVGREGIASAWAGGENGRIVIDRILPVADRLLSDKGWLYMVTLTTNDPSQICLLMRKKGYASRIVVQRSTEEESLHIIKFWRDSDIQLDTKEILTTNKSVPARVKDSLISQFSQLSFCRGTNSNCL >Potri.001G073700.7.v4.1 pep chromosome:Pop_tri_v4:1:5547776:5548973:1 gene:Potri.001G073700.v4.1 transcript:Potri.001G073700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073700.v4.1 MSPKTAQIRLMSSHPEVYEPCDDSFALVDALLADRTNILDHCPRLCLEVGCGSGYVIASLALMLGQELPGVYYFATDINPHALRVTRETLDAHAVDAELMCMDIASGLEKRLAGMVDVMVVNPPYVPTPDYEVGREGIASAWAGGENGRIVIDRILPVADRLLSDKGWLYMVTLTTNDPSQICLLMRKKGYASRIVVQRSTEEESLHIIKFWRDSDIQLDTKEILTTNKSVPARVKDSLISQFSQLSFCRGTNSNCL >Potri.012G121044.1.v4.1 pep chromosome:Pop_tri_v4:12:13860224:13861368:1 gene:Potri.012G121044.v4.1 transcript:Potri.012G121044.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121044.v4.1 MSYDSKNHKASAGVYPPPSTSWPPKQEVRRRPRPRRRPRPPTTPRPPKCSCSPSVQGYYEAGPDVAPPPVSDPTKYGPQHLQQPPPPPPPERTRDDEFCTGCCCCCL >Potri.003G050500.1.v4.1 pep chromosome:Pop_tri_v4:3:7360921:7362332:-1 gene:Potri.003G050500.v4.1 transcript:Potri.003G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G050500.v4.1 MQTTTMNITKMTSLVVFFCICFIITVASMNGLVIAERVFKVGDVFGWQEPGQNSSSLYAQWATRNRFQVGDSLSFDYKNDSVIEVNKWGYYHCDASKHIVAFNNGNRVFKLDKSGLFYYISGTPSHCKNGQRLLVEVMGLHHHSPPFIAAPPGYLAPSPQLSSGVSVSGTLGSLSMALMATLIALLWSLA >Potri.013G116700.1.v4.1 pep chromosome:Pop_tri_v4:13:12522565:12525138:1 gene:Potri.013G116700.v4.1 transcript:Potri.013G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116700.v4.1 MSFSLLRRRKFLVLCTNPISPQKFYTNPALISKTQQNPCPQNPLTQNPLGVLQFYALFSTQASKFHEYEMPSVTWGVVQGKKEKLVNRVIICDYLKGLGIIPDELESLELPSTVEVMKERVEFLQRMGLTIDDINEYPLMLGCSVRKNIIPVLGYLEKIGISRSKLGEFVKSYPQVLHASVVVELQPVIKFLRGLDVDKLDIGYVLQKYPELLGFKLEGTMSTSVAYLVSIGVSPRDIGPMVTQYPYLLGMRVGTMIKPLVDYLVSLGLPKKIVARMLEKRPYVLGYDLQETVKPNVDCLISFGIRREVLASIVAQYPPILGLPLKAKLSSQQYFFNLKLKIDPERFARVIEKMPQIVSLNQNVIMKPVQFLLERAIPSEDVATMVIKCPQLLALRVPLMKNSYYFFKSEMGRPLKELVEFPEYFTYSLESRIKPRYEMLKSKGIRSSLNWFLNCSDKRFEERLEGDYIESESLGPSFCMGGKLELPGCEILSDEEDEIDDDEDEVLFRRTVSL >Potri.013G102200.1.v4.1 pep chromosome:Pop_tri_v4:13:11066925:11068460:1 gene:Potri.013G102200.v4.1 transcript:Potri.013G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GXM3 MRPNKNQSPINIKIITLSLFFLLFLVLVAWSSFSSPRSNPSPKAENDDLTNPSSSLSTDEEDQPKPSTPACPSLPLTPTCTKTPPSLANALVHYVTTNITPQQTLKEISVTLRVLEKKSPCNFLVFGLGHDSLMWTSLNHGGRTVFLEEDKAWIEQITEKLPSLEAYHVTYDTRVHQADGLMETGMGDECKVVSDPRFSKCQLSLKGFPSDIYDMEWDLIMVDAPTGYHDEAPGRMTAIYTAGLMARNRENGETDVFVHDVDRVVEDKFSKAFLCEGYLTEQEGRLRHFIIPSHRTRSGRPFCP >Potri.014G030200.1.v4.1 pep chromosome:Pop_tri_v4:14:1906823:1907510:1 gene:Potri.014G030200.v4.1 transcript:Potri.014G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030200.v4.1 MSPWTNHPKNLGKGCAKPTPFSEEHLIKIFNRFDTSGDGLLSREEVKSAYNLLGKSFAGLRTWWTLLVGDENGDGYINQKEFIKLVKKNYLT >Potri.006G026100.2.v4.1 pep chromosome:Pop_tri_v4:6:1715254:1719475:-1 gene:Potri.006G026100.v4.1 transcript:Potri.006G026100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026100.v4.1 MLPRLKQTLQSLKRTATISKAQAIKNLSTQIKKEDVPTEKLDCVVIGAGVVGIAIARELASKGREVLVLDSASTFGTGTSSRNSEVIHAGIYYPPDSLKALFCVRGRELLYRYCYEHGVPCKQIGKLIVATGPLEISKLNELMNRGTQNGVDNLKMLEGFEAMKMEPELQCKKALLSPVSGIVDSHSLMLSLVGEAENNGTTFSYNSTVISGHLEGNCLHLYIVESKDLENWNGDYPLHPELVLVPKLVVNSAGLSSLALAKRFHGLDNGIIPPGFFARGCYFTLSSTKVPPFEHLIYPIPEDGGLGVHVTLDLDGHLKFGPDVEWIDGIDDVSSFLNKYDYSVSASRAERFYPEIRKYYPNLKDGSLQPGYSGIRPKISGPRQSPIDFVIQGEDIHGVPGLVNLFGIESPGLTASMAIAEHIASRFLK >Potri.013G032400.1.v4.1 pep chromosome:Pop_tri_v4:13:2098198:2103183:-1 gene:Potri.013G032400.v4.1 transcript:Potri.013G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032400.v4.1 MYKNQLQELAQRSCFNLPSYSCIREGPDHAPRFKATVNFNGETFESPTFCSTLRLAEHAAAEVALNTLASRGPSRALIAGVLDETGVYKNLLQETAHRAGLKLPVYTTIRSGPGHVPVFSCTVELAGMSFTGEPARTKKQAQKNAAMAAWSSLKRLVQHSTLPSNSSTSSPVEAKRSSEEQEQVVIARILASLQPAEIKNSKQSDSQRGQERFIPVCKDLTPPIPTLYPVQCQGWAYPSFTPEMAIYQMWQQEELFQLQNRLLAFQVPPVSPGPQILPYMQSILHSDSVLFGPLREQEPVPASPRITIATSRPFYLADHHVSDPIKGESKVTIREIHEEKPEEPVQCSTSVIPDPPVVGNFNAEQRLKDPVDMDDKQMKVELDRKDENVQPGDNQTRQFEWASCSYKDSGYRPADFQAQNMQNFHSSRVTLQYPQRASSLRSFRPAPSAAPPVMIRSVRPVPSSTVPPALNSNTGPPPVPKLQDLAAQIPAPPRMRTGGHSYPASPLPQRMNLGGVRPRFMAPAVRIRSVVPVCSAPPARKMPTSSQGAVVPERGSKDTAAPEDMTAASSELSKLRI >Potri.014G100400.2.v4.1 pep chromosome:Pop_tri_v4:14:6630039:6633456:-1 gene:Potri.014G100400.v4.1 transcript:Potri.014G100400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100400.v4.1 MLGLVSAKTTPNATPQHVSFPQNDNCIHKNGYETPSPLLKRTPSPSFAKPKIKPPNKTLQELRTHESSLDNPDLGPFLLKLAIDTVASGDNQNKALDYAARASISFEKSSGLGLDLAMSLQVEAAIYCSMGRLEDAIPVLERSIEALDHKNGSDHAVAKFSGFMQLGDTYSMLGRVDRSISSYESGLKIQTETLGDLDPSVTETCRYLAEAYVQAMQFDEAEKLCQRSLEIQRVHNAPASLEEAGDRRLMALIYEAKGDYESALEHLVLASMVMIAAGQENEVAAIDVSIGNIYVSLCRFDEAIFSYQKALTVFKSIRGDDHCTIASVYIRLADVYCKTGKLRESKSYCENALRILSKPVPGIATEEIASGLTEISAIYQALNEHEEALKLLDMAMKLLKDTPGQHSMIAGIEAHMGVMFYKVGRYGEARSSFKNAVAKLRASGKTRSVFFGIVLNQMGLASAQLYRIDEAAQLFQEAREILEQECGSCHLDTIGVYSNLAATYDAMGRVEDATVILEYILKLREEKLGTANPEVADEKERLAMLLKEAGRARIRKGNSLVNLLDSSS >Potri.014G100400.3.v4.1 pep chromosome:Pop_tri_v4:14:6630107:6633376:-1 gene:Potri.014G100400.v4.1 transcript:Potri.014G100400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100400.v4.1 MLGLVSAKTTPNATPQHVSFPQNDNCIHKNGYETPSPLLKRTPSPSFAKPKIKPPNKTLQELRTHESSLDNPDLGPFLLKLAIDTVASGDNQNKALDYAARASISFEKSSGLGLDLAMSLQVEAAIYCSMGRLEDAIPVLERSIEALDHKNGSDHAVAKFSGFMQLGDTYSMLGRVDRSISSYESGLKIQTETLGDLDPSVTETCRYLAEAYVQAMQFDEAEKLCQRSLEIQRVHNAPASLEEAGDRRLMALIYEAKGDYESALEHLVLASMVMIAAGQENEVAAIDVSIGNIYVSLCRFDEAIFSYQKALTVFKSIRGDDHCTIASVYIRLADVYCKTGKLRESKSYCENALRILSKPVPGIATEEIASGLTEISAIYQALNEHEEALKLLDMAMKLLKDTPGQHSMIAGIEAHMGVMFYKVGRYGEARSSFKNAVAKLRASGKTRSVFFGIVLNQMGLASAQLYRIDEAAQLFQEAREILEQECGSCHLDTIGVYSNLAATYDAMGSHLFGFIIPSNQAKLFIFYKAWQSFCIGWF >Potri.001G250800.1.v4.1 pep chromosome:Pop_tri_v4:1:26647480:26650698:-1 gene:Potri.001G250800.v4.1 transcript:Potri.001G250800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250800.v4.1 MGKLSFKDSLKALEADIQHANTLALDHPRENDGARLQMRLSYSPAAQIFLFLVQWTDCNLAGALGLLRILIYLTYADGKTTMSVQERKASIGEFYAVIFPSLLQLQGGITDVEDRKQKEACTLRYRRNDELGDKGKLSEFDIEREEECGICLEMNSKVVLPNCSHSLCLRCYQDWLPRSQSCPFCRGSLKRVNSGDLWIYTDNADVVDLSSIMIQDCKRLFMYIDKLALVVPDPVYMIYDSHVK >Potri.014G069400.1.v4.1 pep chromosome:Pop_tri_v4:14:4394680:4400350:1 gene:Potri.014G069400.v4.1 transcript:Potri.014G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069400.v4.1 MDQVLPIALISVLLGTAIALIFFKNYFLKRKSEIGSIAKPEIHPDPLRKPPKPTHQSKKSHSHASSDKDHNKKHHPLDLNTLKGHGDSVTGLCFSYDGRSLATACADGVVRVFKLDDASSKSFKFLRINVPAGGHPVAVAFSDDASSVVLASHTLSGSSLYMWGEEKVKDSNDSKQQPKLPLPEIKWEHHKIHEKKVVLTLVGTASTYGNGDGSTIVASCSEGTDIKIWHGKTGKNLGTVDTNQLKNTMAAISPNGRFIAAAAFTADVKVWEIVYSKDGSVKEVPKVMQLKGHRSAVTWLCFTPDSEQIITASKDGSIRIWNINVRYHLDEDPKTLKVFPIPLHDSNGGTLHYDRLSLSPDGRILVATHGSMLQWLCVETGKVLDTADRAHDGDITWIAWAPKPIPTGDGQTSILATASVDKKVKLWAAPPLRSA >Potri.006G070500.1.v4.1 pep chromosome:Pop_tri_v4:6:5140990:5149921:-1 gene:Potri.006G070500.v4.1 transcript:Potri.006G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070500.v4.1 MSGVSWPIENELNEIKEIVSAMAGRGPEEVRVVVSPYRICPLGAHIDHQGGTVSAMTINKGILLGFIPSDDTEVILRSGQFKGEVRFSVDEVQQPRPIRKKGESHATDSPKLQEAGNWGNFARGAVYALQSRGISLTQGITGYICGSEGLDSSGLSSSAAAGVAYLLAFETANNLTMTPTENIEYDRLIENEYLGLKNGILDQSAILLSSHGFLTHMNCKTKEHKLVPSPKQSNFQKSYKILLAFSGLRNALTNSPGYNLRVVECHEAARILLKASGNDNLEPRLCNVDPEAYETHKGLLEPNLAKRAEHYFSENMRVMKGLEAWASGNLEEFGKLISASGLSSIQNYECGCEPLQQLYEILLRAPGVYGTRFSGAGFRGCCLAFVDANLAEEAVTFVTEEYQKAQPKHASQIFTEKAALICDAGDCARVI >Potri.006G070500.4.v4.1 pep chromosome:Pop_tri_v4:6:5140951:5149222:-1 gene:Potri.006G070500.v4.1 transcript:Potri.006G070500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070500.v4.1 MAGRGPEEVRVVVSPYRICPLGAHIDHQGGTVSAMTINKGILLGFIPSDDTEVILRSGQFKGEVRFSVDEVQQPRPIRKKGESHATDSPKLQEAGNWGNFARGAVYALQSRGISLTQGITGYICGSEGLDSSGLSSSAAAGVAYLLAFETANNLTMTPTENIEYDRLIENEYLGLKNGILDQSAILLSSHGFLTHMNCKTKEHKLVPSPKQSNFQKSYKILLAFSGLRNALTNSPGYNLRVVECHEAARILLKASGNDNLEPRLCNVDPEAYETHKGLLEPNLAKRAEHYFSENMRVMKGLEAWASGNLEEFGKLISASGLSSIQNYECGCEPLQQLYEILLRAPGVYGTRFSGAGFRGCCLAFVDANLAEEAVTFVTEEYQKAQPKHASQIFTEKAALICDAGDCARVI >Potri.007G095700.2.v4.1 pep chromosome:Pop_tri_v4:7:12061875:12064998:1 gene:Potri.007G095700.v4.1 transcript:Potri.007G095700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G095700.v4.1 MALSTLSSKSLYSNANNLLKQNQQQPPTFSFVPGNKTHMSKSHLSISAVAAEPTKNSVAVKEYAAPGTGKWSLESWKTKKALQLPEYPDANELQSVLKTIEAFPPIVFAGEARSLEERLAEAAMGNAFLLQGGDCAESFKEFSAINIRDTFRIMLQMSVVLMFGGQMPIIKVGRMAGQFAKPRSDPFEDKDGVKLPNPQRLIRAYNQSAATLNLLRAFATGGYAAMQRVTQWNLDFAQHSEQGDRYQELAHRVDEALGFMAAAGLTVDHPIMTTTEFWTSHECLHLPYEQSLTRLDSTSGLYYDCSAHMLWCGERTRQLDGAHVEFLRGISNPIGIKVSNKMDPNELVKLIEILNSNNKPGRISIIVRMGAENMRVKLPHLIRAVRRAGQIVTWICDPIHGNTIKAPCGLKTRPFDAILAEGRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRNRRVGTQRLLSSGL >Potri.010G200301.1.v4.1 pep chromosome:Pop_tri_v4:10:19283501:19283874:-1 gene:Potri.010G200301.v4.1 transcript:Potri.010G200301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200301.v4.1 MQLYKCRSSSDTVKHHLTISLTNCKINNFKMNTSQSTFCKDDCLHFQT >Potri.009G015700.1.v4.1 pep chromosome:Pop_tri_v4:9:2686733:2689480:-1 gene:Potri.009G015700.v4.1 transcript:Potri.009G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015700.v4.1 MFGRAPKKSDNTRYYEILGVSKNASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEEALKEGMGGGGAGHNPFDIFESFFGGNPFGGGGSRGRRQRRGEDVVHPLKVSLEDLYLGTSKKLSLTRNVICSKCNGKGSKSGESMKCTGCQGSGMKVSIRQLGPSMIQQMQHPCNECKGTGETISDKDRCPQCKGEKIVPEKKVLEVIVEKGMQNGQKITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLPLTEALCGFQFVLTHLDGRQLLIKSNPGEAVKPDSFKAINDEGMPMYQRPFMKGKLYIHFTVDFPDSLTPDQVKAIETILPRPSSQLTDMELDECEETTLHDVNIEEEMRRKQQAREEAYDEDEEMPHGGQRVQCAQQ >Potri.008G182350.1.v4.1 pep chromosome:Pop_tri_v4:8:12564129:12564353:1 gene:Potri.008G182350.v4.1 transcript:Potri.008G182350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182350.v4.1 MIILIILTIIKIIMIKKNNNNNNRLGSNIFSLATTPNSRRIEHMGLTSLQASRLAGANPSLLWLDLASLADHLG >Potri.010G004750.1.v4.1 pep chromosome:Pop_tri_v4:10:442257:443786:1 gene:Potri.010G004750.v4.1 transcript:Potri.010G004750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004750.v4.1 MIIKILIIVVVMIVIMIIVIIIVIIIVMMIVVLVVKIIIILIGMFLLLLIMIILAIIVVVVMIIIVMILLINNSSYNNLDPYFLNCYFLKFFCMIRIFLFNFII >Potri.003G221500.4.v4.1 pep chromosome:Pop_tri_v4:3:21541911:21542252:-1 gene:Potri.003G221500.v4.1 transcript:Potri.003G221500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221500.v4.1 MVFNSLIVLSVAHKSADVWQQIACMGLQDQVSSHQLLDLVCCFPLQQLGRFSLYIWTFLCLPPPDSFLSSYTYQSTSSDDDDHPHHSYAHHHHDRASSSSSSAEVEYLYYDSD >Potri.014G083300.1.v4.1 pep chromosome:Pop_tri_v4:14:5338568:5346597:-1 gene:Potri.014G083300.v4.1 transcript:Potri.014G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083300.v4.1 MDIESATEFLGGVPLLQRLPSSSLKKIAELVIVKRYEEGQYIIRQGEVGEGIYFIWKGEAQVCGTVNEEEEGRPEFQLQRYDYFGDGLSASVQQADVIALTELICLVLPHDQCTLLRPKSIWNADKTLDSCPLVESILHLESIEVNIFQGITLPDAPRFGKVFGGQFVGQALAAASKTVDCFKLVHSLHAYFLLIGDLDMPIIYQVQRIRDGKSFATRKVDAIQKGNIVFTLMASFQKEEHGFVHQLAQMPAVPEPELLLSMEELREKRLTDPLLPRSYRNKVAAKEFVPWPMEIRFCEPNTNTNQTKSPPSLKYWLRAKGKLSDDQALHRCVVAYASDLIFLQVSVNPHRARGLKPSAVSLDHTMWFHRPFRADEWLLFAIVSPAAYNARGFVVGEMFNRKGEHIVSVTQEGLLRTFATTNSARNPTTASKL >Potri.006G102800.1.v4.1 pep chromosome:Pop_tri_v4:6:7925566:7928696:-1 gene:Potri.006G102800.v4.1 transcript:Potri.006G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102800.v4.1 MNASTAYEQVSPAVPSWLNKGDNAWQMTASTLVALQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLGYRMAFGDELLPFWGKGAPALGQKYLLTRARVPESTHTLEDGTRETVEPWYPMASLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDASIAVLNTNVCAATSLLVWTSLDVVYFGKPSVIGAVQGMMTGLVCITPGAGLVQSWAAIVMGILSGSIPWVSMMILHKKSALLQKVDDTLGVFHTHAVAGLLGGLLTGLLAEPELCDLILPVNTRGAFYGGSGGVQFLKQVVAALFVIGWNVVSTTIILLFIRLFIPLRMPEEQLAIGDDAVHGEEAYALWGDGEKYDPTRHGRNTLYGEETAQSPYVNGARGVTINL >Potri.012G048700.1.v4.1 pep chromosome:Pop_tri_v4:12:4545354:4547551:-1 gene:Potri.012G048700.v4.1 transcript:Potri.012G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048700.v4.1 MASQSHQLHFVLFPLMAQGHMIPMFDIAKMLAHHGVIVTIVTTQLNAKRVAIPLARAAESGLQIKSVEIPFPCQEAGLPNEMENFDMLPSLGLGYELFMAANMLQEPVERLFEVLTPRPSCIISDMCLPYTSDVATKFGIPRISFNGFSCFCTLCLHTIQINKVLESVNSESELFVVPGLPDHIEMATNQLPYAMLDVKDFSAQVSGAEMLRYGFIINSFEELEPAYVQEYERATGGKVWCVGPVSVCNKDDVDKVHRGDKSSIDESECLKWLDSQQPRSVIYVCLGSLCNLITPQLMELGLGLEASNKPFIWVTRGGEKSRELENWFEENGFKERTKGRGLIIQGWAPQVAILSHSAIGSFLTHCGWNSVLEGISAGLPMVTWPLFGDQFCNEKLVVEVLKIGVRVGSEVTIRWGEEEKFGVLVKKEQVKNAVNSLMNDGEECEERRRRVQELRKMAYKAVEEEGSSYLSMKLLIEDIRKHTFFK >Potri.004G185300.1.v4.1 pep chromosome:Pop_tri_v4:4:19878525:19880287:-1 gene:Potri.004G185300.v4.1 transcript:Potri.004G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185300.v4.1 MDFVAAFSFLFFGFTILFSMFSFLIYVWRLKPWCNCDVCKAYLSASWTKDFTNLCDWYTHLLRNSKTGTIHLHVLGNIITANPENVEHMVKTKFDNYPKGKPFSDLLGDLLGRGIFNVDGDSWKFQRKMASLELGSVSIRMYAFDLIITEIRKRLLPLLSSAAAKQEVLDLQDVFRRFSFDNICKFSFGLDPGCLELSLPVCEFALAFDAASKLSAERAIAASPMVWKIKRLLNIGSERELKEAIKKVDELAERMINQKRKEGFSNISDLLSRFMTSISDDRYLRDIVISFLLAGRDTVASGLTSFFWILSQHPEVVSAIREEIEKVTGPNQELPNFQEMLQMHYLNAAIYESLRLYPPVQFDSKFAQEDDILPDGTFMPKGTRATYHQYAMGRMEQIWGPDCLAFKPERWLKKGVFEPANPFKYTVFHAGHRICIGKELALVEMKTVALAIIRGFNTVVEDPNQVPRFIPGFTATVRGGLPVLIQEREA >Potri.004G202466.1.v4.1 pep chromosome:Pop_tri_v4:4:21189193:21189719:1 gene:Potri.004G202466.v4.1 transcript:Potri.004G202466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202466.v4.1 EKRDTLTSIPFSAFWLRSSVVSVLISLISDTWANGSHDIKLIFLGGGSVTIACYWGSRASPARCTKALAWRTPPILVELISHSLIVIYIYIHCQELNLLEFSLNSFNKDMRWS >Potri.018G126925.1.v4.1 pep chromosome:Pop_tri_v4:18:13790133:13790360:1 gene:Potri.018G126925.v4.1 transcript:Potri.018G126925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126925.v4.1 MLIYRVFWMHSFLPAVSFLLHLHQKETTQTKIYRVCTFQEHKHTRFLKEGVCVCVCVCVYTYILWIGLCILILMM >Potri.002G001250.1.v4.1 pep chromosome:Pop_tri_v4:2:91717:93115:-1 gene:Potri.002G001250.v4.1 transcript:Potri.002G001250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001250.v4.1 MVLFFLLSLFLDNRGVCLATKVLSCFRGLFCDFVPVHPRAFAGRRLCCYKDHWCILEFSWMVAFPSPVFVWFPGFSYSDTNKGIASHNGNDTC >Potri.002G170700.2.v4.1 pep chromosome:Pop_tri_v4:2:13143954:13146083:1 gene:Potri.002G170700.v4.1 transcript:Potri.002G170700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170700.v4.1 MEKKHYCCFCICTIIIINIGLIMIVCVCYVVYQSGKPFCHKSLEPMNTLIFWVQVSLAKLIEFFMWGHAAEMINVLTVLQKDMFLPRIYVAAATDNMSLQKARVLEDNMVDRAWGKGVSVQFMQIYRRSREVGQSYLTSVGTTLLAMSHALWLMIRIRSQVVRCLSSQRLVSAFCEGIIGYLFFMPRIARLKKLSLSGLLLFKLYMAD >Potri.016G137600.1.v4.1 pep chromosome:Pop_tri_v4:16:14114939:14116984:1 gene:Potri.016G137600.v4.1 transcript:Potri.016G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137600.v4.1 MTELLYFQQTWQEIRPKIGLNYFVFFLIFLSFILFLFKLTTSRKLNLPPSPPKLPVIGNIHHLGTLPHRSLQALSEKYGPLMLLHMGHVPTLIVSSAEAASEIMKTHDIVFANRPQTTAASIFFHGCVDVGFAPFGEYWRKVRKISVQELLGPKTVQSFHYVREEEAAGLIDKIRFACHSGTSVNLSEMLISVSNDIVSRCVVGRKADKEGGNSKFGELTRTVMVQLTAFSFGDLFPYLGWMDTLTGLIPRLKATSRTLDSLLDQVIEEHRSLESDGDRCAQTDFLLALLQLQKNGKLDVQLTRDNIIAVVLDMFVGGTDTSSTMMEWAIAELVRNQTIMRKAQEEVRRIVGKKSKVEANDIEEMGYLKCIIKETLRLHPPAPLLVPRETSASVELGGYFIPPKTRVIVNAFAIQRDPSFWDRPDEFLPERFENNPVDFKGQDFQFIPFGSGRRGCPGALFGVTAVEFMIANLLYWFDWRLPDGATQEELDMSEICGMTAYKKTPLLLVPSLYSP >Potri.001G407650.1.v4.1 pep chromosome:Pop_tri_v4:1:43478991:43480622:-1 gene:Potri.001G407650.v4.1 transcript:Potri.001G407650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407650.v4.1 MQCNADARNNPVKKKKTREREVWNYFLPISECLHFCFEIHRHRNTLSTPFNPKIKRFLKRKTCQGMMLQIRFEYLLQRIVI >Potri.009G132000.2.v4.1 pep chromosome:Pop_tri_v4:9:10729216:10731728:1 gene:Potri.009G132000.v4.1 transcript:Potri.009G132000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132000.v4.1 MSDQRQTGKVKWFSDQKGFGFITPDDGGDDLFVHQSSIKSEGYRSLGDGEEVEFEIENSDDGRTKAANVTAPGGNPVQGIRSSGGYGGGGGGGGYGGGGGGRGGRGGGGYGGSGGYGGGSGGYGGGGYGGGRRGGGGGGYGGSGGGGGYGGGGGGGCYSCGESGHMARDCPQGGGGGGGGGRYGGGSGGGGGGGGCYNCGGTGHFARECPNSGR >Potri.016G133900.1.v4.1 pep chromosome:Pop_tri_v4:16:13808616:13811121:-1 gene:Potri.016G133900.v4.1 transcript:Potri.016G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK21 MEVVGETPPASAGEVNLFGKYELGKLLGYGAFAKVYHARNVSTGQSVAIKAVSKAKVMKEGFVAHVKREISIMSRLRHAHIVRLHEVLATKGKVYFVMEYAKGGELFSKISKGRFSEDLSRRYFQQLITAVGYCHARGVFHRDLKPENLLLDENFNLKITDFGLSAVTEQVRPDGLLHTLCGTPAYVAPELLAKKGYDGAKVDIWSCGVVLFVLIAGYLPFNDTNLMAMYRKIYKGQYRCPKWTSPDLKRLLSRLLDANPETRITIDMIINDPWFKKGFKEEKKLYLDEFDFDKGFEEEEDEKSLNAFDIISFSSGYDLSRMFNESDTMILTERFVSAEKPEKVMERIEEVARKEGLEIAKRKNWGAKLEGCNGNFIMIIEVHRLTDHLVMIEVKEKKFKIGPGQETWEDKLKPQLRSLIYQPEQAVSGN >Potri.006G035900.2.v4.1 pep chromosome:Pop_tri_v4:6:2299266:2301126:-1 gene:Potri.006G035900.v4.1 transcript:Potri.006G035900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035900.v4.1 MDPEAAKTARESLDLTFHMSNLLNTGLDRHTLSVLIALCDLGLNPEALAAVVKELRSERVSSSSAPIPKP >Potri.002G161100.1.v4.1 pep chromosome:Pop_tri_v4:2:12320789:12324688:-1 gene:Potri.002G161100.v4.1 transcript:Potri.002G161100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161100.v4.1 MAGWSMIANDSTKNPRRKIAPNRFWVDPEPNSKGSVVKTKLNYQLRSCFDKFSESFFKEVCGLDSCLWTLPPMLGNGQFVDLLKLFLVVREKGGYDVVSKNGLWGLVAQESGFGLSLVPAVKLVYIKYLDALERWLERLLVDSVELNTELSDSGVNVVGAVMELGAEFKGLLSEMPEKEFLELKSELNVDAEVESYESEKFVEDEEPLHIDLTKSGVDYVEVGESGDNVVKSVMVDDSFSNWNVKCKDVVEKLISDSRKNEKVENEDEVKSVVVVEIDGDGEGDKGDNSEVEELDLATYNESVSSRKRKRESIPRMLNWVTGIARDPCDPVVGSLPEWSKWKFYGNEECWKQVLLTREALFLKRNVDSTSIAERSFRQKNPKMHPCRYDDHAGSSYNLRERLKCRKKPLPGGTSSQAHVCSQSSSGETSSCMDGVYDGDSSTEHSVLDFPITKRIPVGPVFQAEVPEWTGVVSKSDSKWLGTQVWPLKTSINKFVIEREPIGKGRSDSCGCQVPKSIECVRFHITERRLRVMRELAKAFNQWRFDRMGEEVKLSWTVEEQKKFGATVRSNPLSLDKNFWVEIFKCFPGRRREDLVSYYYNVFLLQRRANQNRSTPGNINSDDESECELLTNGSGREAVNSPGSLLSAKKQHKHVK >Potri.002G161100.3.v4.1 pep chromosome:Pop_tri_v4:2:12318544:12324675:-1 gene:Potri.002G161100.v4.1 transcript:Potri.002G161100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161100.v4.1 MAGWSMIANDSTKNPRRKIAPNRFWVDPEPNSKGSVVKTKLNYQLRSCFDKFSESFFKEVCGLDSCLWTLPPMLGNGQFVDLLKLFLVVREKGGYDVVSKNGLWGLVAQESGFGLSLVPAVKLVYIKYLDALERWLERLLVDSVELNTELSDSGVNVVGAVMELGAEFKGLLSEMPEKEFLELKSELNVDAEVESYESEKFVEDEEPLHIDLTKSGVDYVEVGESGDNVVKSVMVDDSFSNWNVKCKDVVEKLISDSRKNEKVENEDEVKSVVVVEIDGDGEGDKGDNSEVEELDLATYNESVSSRKRKRESIPRMLNWVTGIARDPCDPVVGSLPEWSKWKFYGNEECWKQVLLTREALFLKRNVDSTSIAERSFRQKNPKMHPCRYDDHAGSSYNLRERLKCRKKPLPGGTSSQAHVCSQSSSGETSSCMDGVYDGDSSTEHSVLDFPITKRIPVGPVFQAEVPEWTGVVSKSDSKWLGTQVWPLKTSINKFVIEREPIGKGRSDSCGCQVPKSIECVRFHITERRLRVMRELAKAFNQWRFDRMGEEVKLSWTVEEQKKFGATVRSNPLSLDKNFWVEIFKCFPGRRREDLVSYYYNVFLLQRRANQNRSTPGNINSDDESECELLTNGSGREAVNSPGSLLSAKKQHKHVK >Potri.002G161100.2.v4.1 pep chromosome:Pop_tri_v4:2:12319992:12324845:-1 gene:Potri.002G161100.v4.1 transcript:Potri.002G161100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161100.v4.1 MIANDSTKNPRRKIAPNRFWVDPEPNSKGSVVKTKLNYQLRSCFDKFSESFFKEVCGLDSCLWTLPPMLGNGQFVDLLKLFLVVREKGGYDVVSKNGLWGLVAQESGFGLSLVPAVKLVYIKYLDALERWLERLLVDSVELNTELSDSGVNVVGAVMELGAEFKGLLSEMPEKEFLELKSELNVDAEVESYESEKFVEDEEPLHIDLTKSGVDYVEVGESGDNVVKSVMVDDSFSNWNVKCKDVVEKLISDSRKNEKVENEDEVKSVVVVEIDGDGEGDKGDNSEVEELDLATYNESVSSRKRKRESIPRMLNWVTGIARDPCDPVVGSLPEWSKWKFYGNEECWKQVLLTREALFLKRNVDSTSIAERSFRQKNPKMHPCRYDDHAGSSYNLRERLKCRKKPLPGGTSSQAHVCSQSSSGETSSCMDGVYDGDSSTEHSVLDFPITKRIPVGPVFQAEVPEWTGVVSKSDSKWLGTQVWPLKTSINKFVIEREPIGKGRSDSCGCQVPKSIECVRFHITERRLRVMRELAKAFNQWRFDRMGEEVKLSWTVEEQKKFGATVRSNPLSLDKNFWVEIFKCFPGRRREDLVSYYYNVFLLQRRANQNRSTPGNINSDDESECELLTNGSGREAVNSPGSLLSAKKQHKHVK >Potri.008G204700.3.v4.1 pep chromosome:Pop_tri_v4:8:14935846:14938802:1 gene:Potri.008G204700.v4.1 transcript:Potri.008G204700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204700.v4.1 MDTITGCCLTLRPRSLGIADHHSPQLSTPKRTVSLLSLYKNQKSKTKLTLSSMAAPLQVSAFSTIGARNEELGTASSGLVGENDLLIVGPGVLGRLVAEKWRQEHPGCQVYGQTVTTDHHDELIKMGINPSLKGTKATQQYPYVIFCAPPSRTSDYPGDVREAALSWNGDGSFVFTSSSAPYDCFDNGQCNEDSPVVPIGRSPRTDVLLKAEKVVLESGGCAIRLAGLYKADRGAHAYWLEKGTVEVRPDHILNLIHYEDAASLAVAILKKKLRSRIFLGCDNHPLSRQEVMDLVAKSGKFSKKFVAFTGTSDPLGKRLNNSKTREEIGWEPEYPSFAHFLGVSK >Potri.005G088300.2.v4.1 pep chromosome:Pop_tri_v4:5:6161473:6162891:-1 gene:Potri.005G088300.v4.1 transcript:Potri.005G088300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G088300.v4.1 MKNTFQDQALGIPINSAAYTARRSPLRYLPGPDGQYPQAYFKQCKADSMLKMMNKLGKKADNFANGVREHVRLGPKISETVKGKLSLGAKILQVGGVEKIFKQLFVVSEDEKLLKASQCYLSTTAGPIAGLLFVSTEKVAFCSERSIKISSPSGKSVRVHYKVLVPLKKIKMLNQSENVKKPSQKYLELVTVDDFEFWFMGFINYQKSFKYLQQAMSQISDEMNVAF >Potri.006G139300.1.v4.1 pep chromosome:Pop_tri_v4:6:11628257:11632882:-1 gene:Potri.006G139300.v4.1 transcript:Potri.006G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139300.v4.1 MMQQQRLKQQAMMQYLHPALLAAPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVTDPLLQEVFSNTGPIEGCKLIRKDKSSYAFVDYFDRRSAALSILTLNGRHLFGQPIKVNWAYASSQREDTSGHYNIFVGDLSPEVTDATLYACFSAYPSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQNAINDLNGKWLGSRQIRCNWATKGANTNDDKPSTDAKSIDLTNGTSEDGQERNNDDAPENNPQYTTVYVGNLAPEVTSVDLHRHFHMLGAGTIEDVRVQRDKGFGFVRYSTHAEAALAIQMGNARIMYGKPIKCSWGSKPTPPGTSSTPLPPPAAGHMPSLSAAELAVAAYEQQMALSKYGAPALMHPQGHHALKQAVMGMGTVGSSQAIYDGGFQNAATTQQLMYYH >Potri.006G139300.4.v4.1 pep chromosome:Pop_tri_v4:6:11628252:11632882:-1 gene:Potri.006G139300.v4.1 transcript:Potri.006G139300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139300.v4.1 MMQQQRLKQQAMMQYLHPALLAAPQIEPILSGNLPPGFDSSTCRSVYVGNIHPQVTDPLLQEVFSNTGPIEGCKLIRKDKSSYAFVDYFDRRSAALSILTLNGRHLFGQPIKVNWAYASSQREDTSGHYNIFVGDLSPEVTDATLYACFSAYPSCSDARVMWDQKTGRSRGFGFVSFRNQQEAQNAINDLNGKWLGSRQIRCNWATKGANTNDDKPSTDAKSIDLTNGTSDGQERNNDDAPENNPQYTTVYVGNLAPEVTSVDLHRHFHMLGAGTIEDVRVQRDKGFGFVRYSTHAEAALAIQMGNARIMYGKPIKCSWGSKPTPPGTSSTPLPPPAAGHMPSLSAAELAVAAYEQQMALSKYGAPALMHPQGHHALKQAVMGMGTVGSSQAIYDGGFQNAATTQQLMYYH >Potri.007G015500.1.v4.1 pep chromosome:Pop_tri_v4:7:1147709:1149992:-1 gene:Potri.007G015500.v4.1 transcript:Potri.007G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015500.v4.1 MASASLLKISPVLDKSEWVKGQTIRQPSVSLVRCHSVAPSALSVRAGSYADELVKTAKTVASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTVPGLGECISGAILFEETLYQSTTDGRKIVDVLVEQNIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRNFEVAQKVWAEVFFYLAENNVMFEGILLKPSMVTPGAECKDRATPEQVAEYTLKLLQRRVPPAVPGIMFLSGGQSEVEATLNLNAMNQAPNPWHVSFSYARALQNTCLKTWGGRPENVKAAQDALLVRAKANSIAQLGKYTGEGESDEAKKGMYVKNYSY >Potri.002G209023.1.v4.1 pep chromosome:Pop_tri_v4:2:17548388:17554584:-1 gene:Potri.002G209023.v4.1 transcript:Potri.002G209023.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209023.v4.1 MGFKFYKRFSNSILLYKSSHFISSGRHPSATSSASASFLLQPPFNSHHQVNNNNSYNNPPPFIIHRRWHFGHSHAHHSDHHHRPSPGEQSESIFRLGLAADIGLAAGKAFTGYLSGSTAIIADAAHSVSDVVLSGIALWSFKAGMAPKDKEHPYGHGKFETLGALGISCMLLATAGGIAWHALDLLLGLLTAVPEVANHPLTHEHVHNHLQGGHHHGVDMDHPILALSMTIVSISVKEGLYWVTKRAGERQGSGLMKANAWHHRADAISSVVALIGVGGAILGVKFLDPLAGLVVSGMILKAGLESGYQSVLELVDAAIPEEDLYPIKQTILQVQGVQGCHRLRGRRAGSSLYLDVHIVVDPFLSVSAAHEIGENVRQEIHNSHPGIAEVFIHIDPAYLCISPTMMDQEENLNGKVNQNKKISLDDKDIELIVSDVFSSKIPEKIEVERITRHLMQGNILLQIEISMRPSILIRDAMELAGEAEKEILKASSSIIQVGFLLRLGSPIPKFVNE >Potri.002G209023.2.v4.1 pep chromosome:Pop_tri_v4:2:17548385:17554648:-1 gene:Potri.002G209023.v4.1 transcript:Potri.002G209023.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209023.v4.1 MDHPILALSMTIVSISVKEGLYWVTKRAGERQGSGLMKANAWHHRADAISSVVALIGVGGAILGVKFLDPLAGLVVSGMILKAGLESGYQSVLELVDAAIPEEDLYPIKQTILQVQGVQGCHRLRGRRAGSSLYLDVHIVVDPFLSVSAAHEIGENVRQEIHNSHPGIAEVFIHIDPAYLCISPTMMDQEENLNGKVNQNKKISLDDKDIELIVSDVFSSKIPEKIEVERITRHLMQGNILLQIEISMRPSILIRDAMELAGEAEKEILKASSSIIQVGFLLRLGSPIPKFVNE >Potri.009G095500.1.v4.1 pep chromosome:Pop_tri_v4:9:8631574:8633360:-1 gene:Potri.009G095500.v4.1 transcript:Potri.009G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095500.v4.1 MEILKENKEEEIHVLMVAFPSQGHLNPMLRLGKCLVNKGLHVTLATTEFTRHRMLKSSTINPTSSTISISGVQVRFFSDGQSLNYDRMVNYESYKKSLAKFGTINLSNLIKEHFPSNGHKKLSCIINNPFVTWVADVAINHGIPCAMFWIQPCSLYAIYYRFYNKLNSFPTLTDPEMSVELPGLPLLNTEDLPSFVLPSNPYGIFPKLFSEMFQNMKMYKWVLGNSFFGLEKDAIESMADLCPISPIGPLVPPSLLGEDEDHDTGVEMWKAEDTCIEWLNKGAPSSVIYVSFGSLVVLSAKQMECMAKALKNSNSPFIWAVKKPDLQEPDGAGQLPLGFLEETKDQGVVVSWSPQTKVLAHPAIACFITHCGWNSMLETIAAGVPVIAYPKWSDQPTSAKLIVDVFRIGLRLRANQDGIVSTEEVERCIREIMDGPKSVELKSNARELRIAARKAVAGGGSSDKNTQLFVDEIIESCGSIN >Potri.003G188600.1.v4.1 pep chromosome:Pop_tri_v4:3:19287543:19291292:1 gene:Potri.003G188600.v4.1 transcript:Potri.003G188600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188600.v4.1 MQNHASQEKETKNQRNPETMRFRRGDVVWARVIYPQTWYPGLVSTTDTLGISVSFFNIIKPRYVVESEVRSFKENFGSLVKTIKCSIGQVLLDHVLKLIARRAVSSLKCPCQYPLLESENERRKIRSEDVGFLFCANSVVGLVRGLGVCPFVEDSDFVCAVSLVSQVQMFRRFMVTRQKLLLNEEAIKKKRNEDDCVCLSASGNATQSVTQKSVTSELEVESFCEDQRSEVLAQTKQTKPQPLTEMPVNLHCLASNAFFMRRECLNTVKQSCSRFGNLSYQSTSNLELRKFFCRSIGVRFSYPDCIRSQPSVEVDGKAGRGNFGPPAPYIANPINFTGVKRQNDQSAGSGFSFKLQKPTPRCPICKADAYHQKRKSEHRVHISDTLIPGPAFLDSICNTQPLLSSTGGDAHLQSRTRFETGAEIQDRHSMHLVQNMPHVYLAKDASYRSNILELSMFQESKDNVDALNDNGTCLPDAEMQEPGGITSILKDCEALKPVEYDAVEKIAEGILSEDKMDDCKLVLKLSTTEPVINCLVETSDLSFKPQVAQQSVLDAFANSKTYSDNDKYMNANAATSNCLPYESNTDQIQMKPTNSDDAISFGTSRRMSIIQSFGDSLMNNKECYLPCTFDPLVKPQLTERLAIGTFSSSIIYSENDKIGNARAATSNCFPCKLNIDQSQLEHGDNDDATSVETSLGASMISPFEGSSMDDKGHCRPGTSDLSFKPQMAQQSAVGAFDNIKTRSGNEKIGNCLSSELNTHKVLIQPCNSDNATSVETSRRVRMISSSEGSSMNNERNIPGTSDQPFKSKVSQQPAESTFTYSNSLHMKFPKDFNLPSKMDLIRKFSRFGRVDPLKTKVFQVMGSAQVVFFEELDAVAAYQYAKRKNNLYGVATVLYWLDRSGQKRRGPNFLSPSSSSNLKSSLKKSGRRGKEDNKRTRKVRFTIET >Potri.006G222000.1.v4.1 pep chromosome:Pop_tri_v4:6:22739790:22743892:1 gene:Potri.006G222000.v4.1 transcript:Potri.006G222000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222000.v4.1 MGMRRHGQSSGSSNDPGPSGESGRTTSSTTTTSNEPRRFPLAAQPEIMRAAEKDDQYASFIYDACRDAIRHLFGTRVAVAYQNEAKLLGQMLYYVLTTGSGQQTLGEEYCDVTQVAGPHGLAPTPARRALFIVYQTAVPYIAERISSRVASRAIALDDSLSDEPYRDIASGTSQAQSPIIIELPSSSSSGTSVSVLSRLRQKFNGIRMQAIQRWPTVLPVAREFLQLVLRTNLMFFYFEGMYYHISKRASGIRYVFIGKPSNQRPRYQILGVFLLIQLCIIAAEGLRRSNLSSIASSVHQTSLVSHQTAGRGLPVLNEEGNLIPLEAGNGNWVSDSTSTSESNAISSSKCTLCLSNRQYPTATACGHVFCWNCIMEWCNEKPECPLCRTPITHSSLVCLYHSDF >Potri.008G224301.1.v4.1 pep chromosome:Pop_tri_v4:8:19056868:19057116:1 gene:Potri.008G224301.v4.1 transcript:Potri.008G224301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224301.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.013G079500.1.v4.1 pep chromosome:Pop_tri_v4:13:6821198:6824433:1 gene:Potri.013G079500.v4.1 transcript:Potri.013G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079500.v4.1 MATAASFNQTSKTVCVMDASGPLGSSIVHRLLQRGYSVHAAVQNQGKLWQSFEGLSCNNEKLKVFHSDPFDYHSIMDALKGCCGLFYSFEPPSDQPTYDEFMADVEVRAAHNVLEACAQTNTIDKVVFTSSATTVIWNDSHRKAPDLDERNWSDLNFCRKFKLWQALSKTLAEKTAWALAMDRSINMVTVNGGLLMSPDLTIANPYLKGAAEMYEDGVFVTVDLRFIVDTHIHVFEDITSYGRYLCFNHVIKCNEDAVKLANTLLPPSESSLPQSLEDTRIHQQRISNKKLNKLMVDFDSKLRVD >Potri.010G243700.1.v4.1 pep chromosome:Pop_tri_v4:10:21998564:22000087:1 gene:Potri.010G243700.v4.1 transcript:Potri.010G243700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX49 MKGMMKGKLMKKLKSIKPIGYLKETRVLQVNAADGFIETFIKKPILKAQAQAETPEVMVCKEVEQEKVKDSSFVVNQESDVIDVNELMRDLEEEEEEMEVDDDKENVRPVVKARVDLFGVKDKEESKGSNFRQSPLSEIDISSFRRPDLNSGSLFDPNLLSAFEEAVKEHMRVSEEERRARIEKENLERMREEPEKNFENQEEEPPLKARRIEEGDDIDPILGFQEMCPPGGSDSVILYTTSLRGIRKTFEDCNSIRFLLESFRVLFFERDVSMHMEFKEELWRVLDGRVNPPRLFIKGRYIGGAEEVLALHEQGRFRVLFEGIPIDIFIGSPCEGCAGFRFVLCFHCNGSHKVVAENGLSSTCQDCNENGLIICPLCC >Potri.018G124100.2.v4.1 pep chromosome:Pop_tri_v4:18:13608406:13614293:1 gene:Potri.018G124100.v4.1 transcript:Potri.018G124100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124100.v4.1 MATNSINGESNDDHESVTIPLLTSERSNVNSTSQVAIVGANVCPIESLDYEIAENDLFKQDWRSGGKIQIFQYVFMKWLLCFLIGLIVSLIGFFNNLAVENIAGLKFVVTSNMMLAKRFGMAFLVFSVSNLTLTLFASIITAFIAPAAAGSGIPEVKAYLNGVDAPGIFSLRTLIVKIIGSISAVSSSLFVGKAGPMVHTGACVAALLGQGGSKRFRLTWRWLHFFRNDRDRRDLVTCGSAAGIAAGFRAPVGGVLFALEEMASWWRSVLLWRSFFTTAVVAIVLRALIDFCLSGKCGLFGKGGLIMFDVYSASVTYHLIDVPPALALGVIGGILGSLYNFLLDKVLRIYNLINEKGIAYKIFLACAISVSTSCLLFGLPWLASCQPCPSDASESCPTIGRSGNFKKFQCPPGHYNDLASLIFNTNDDAVRNLFSKNTNSEFQYSSILIFFVTCFFLSIFSCGIVAPAGLFVPVIVTGASYGRFVGMLVGSHSSLNHGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLLLPLIMLVLLISKTVADAFNGNIFDLIMKAKGFPYLESHAEPYMRQLTVGDVVTGPLQVFQGIEKVGNIVHVLRTTRHNGFPVVDEPPLSEPPVLYGLILRAHLIELLKKKAFMSAPVPTSIDALKLFSAGDSAERGSGNGDKIEELRFTEEEMEMFLDLHPFTNASPYTVVETMSLAKALILFREVGLRHLLVMSRISGRSPVVGILTRHDFMPEHILGLHPLLIRSRWKRLRIRVPRVFKFF >Potri.018G124100.3.v4.1 pep chromosome:Pop_tri_v4:18:13608378:13614222:1 gene:Potri.018G124100.v4.1 transcript:Potri.018G124100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124100.v4.1 MATNSINGESNDDHESVTIPLLTSERSNVNSTSQVAIVGANVCPIESLDYEIAENDLFKQDWRSGGKIQIFQYVFMKWLLCFLIGLIVSLIGFFNNLAVENIAGLKFVVTSNMMLAKRFGMAFLVFSVSNLTLTLFASIITAFIAPAAAGSGIPEVKAYLNGVDAPGIFSLRTLIVKIIGSISAVSSSLFVGKAGPMVHTGACVAALLGQGGSKRFRLTWRWLHFFRNDRDRRDLVTCGSAAGIAAGFRAPVGGVLFALEEMASWWRSVLLWRSFFTTAVVAIVLRALIDFCLSGKCGLFGKGGLIMFDVYSASVTYHLIDVPPALALGVIGGILGSLYNFLLDKVLRIYNLINEKGIAYKIFLACAISVSTSCLLFGLPWLASCQPCPSDASESCPTIGRSGNFKKFQCPPGHYNDLASLIFNTNDDAVRNLFSKNTNSEFQYSSILIFFVTCFFLSIFSCGIVAPAGLFVPVIVTGASYGRFVGMLVGSHSSLNHGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLLLPLIMLVLLISKTVADAFNGNIFDLIMKAKGFPYLESHAEPYMRQLTVGDVVTGPLQVFQGIEKVGNIVHVLRTTRHNGFPVVDEPPLSEPPVLYGLILRAHLIELLKKKAFMSAPVPTSIDALKLFSAGDSAERGSGNGDKIEELRFTEEEMEMFLDLHPFTNASPYTVVETMSLAKALILFREVGLRHLLVMSRISGRSPVVGILTRHDFMPEHILGLHPLLIRSRWKRLRIRVPRVFKFF >Potri.018G124100.1.v4.1 pep chromosome:Pop_tri_v4:18:13608340:13614295:1 gene:Potri.018G124100.v4.1 transcript:Potri.018G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124100.v4.1 MATNSINGESNDDHESVTIPLLTSERSNVNSTSQVAIVGANVCPIESLDYEIAENDLFKQDWRSGGKIQIFQYVFMKWLLCFLIGLIVSLIGFFNNLAVENIAGLKFVVTSNMMLAKRFGMAFLVFSVSNLTLTLFASIITAFIAPAAAGSGIPEVKAYLNGVDAPGIFSLRTLIVKIIGSISAVSSSLFVGKAGPMVHTGACVAALLGQGGSKRFRLTWRWLHFFRNDRDRRDLVTCGSAAGIAAGFRAPVGGVLFALEEMASWWRSVLLWRSFFTTAVVAIVLRALIDFCLSGKCGLFGKGGLIMFDVYSASVTYHLIDVPPALALGVIGGILGSLYNFLLDKVLRIYNLINEKGIAYKIFLACAISVSTSCLLFGLPWLASCQPCPSDASESCPTIGRSGNFKKFQCPPGHYNDLASLIFNTNDDAVRNLFSKNTNSEFQYSSILIFFVTCFFLSIFSCGIVAPAGLFVPVIVTGASYGRFVGMLVGSHSSLNHGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLLLPLIMLVLLISKTVADAFNGNIFDLIMKAKGFPYLESHAEPYMRQLTVGDVVTGPLQVFQGIEKVGNIVHVLRTTRHNGFPVVDEPPLSEPPVLYGLILRAHLIELLKKKAFMSAPVPTSIDALKLFSAGDSAERGSGNGDKIEELRFTEEEMEMFLDLHPFTNASPYTVVETMSLAKALILFREVGLRHLLVMSRISGRSPVVGILTRHDFMPEHILGLHPLLIRSRWKRLRIRVPRVFKFF >Potri.001G458000.1.v4.1 pep chromosome:Pop_tri_v4:1:48360756:48361564:1 gene:Potri.001G458000.v4.1 transcript:Potri.001G458000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G458000.v4.1 MKKISLILRKCKSLSRQLGRSSSYSSLRSKSTREDLWGHHDHKQEDENHATIFVGSTRKRYVISSKYLSHPLVNALIEKSKQKPGEDSILVVRCEVVFFDHLLWMLENADPSVNFGSLEELADLYMF >Potri.010G051700.4.v4.1 pep chromosome:Pop_tri_v4:10:8337766:8344267:1 gene:Potri.010G051700.v4.1 transcript:Potri.010G051700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051700.v4.1 MALAFDEFGRPFVIIKEQDQKVRLRGLDAQKANIASGMAVSRILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLLVELSRSQDYEIGDGTTGVVVLAGALLEQAQKLLERGVHPIRVAEGYETASRIAVEHLEKIAQKFDFGVNNIEPLVKTCMTTLSSKIVNRCKRSLAEISVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGILVDKEMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDNMVQKCKGVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFEELTPEKLGKAGLVREKAFGTTKDRMLYVEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSSEISCSISVEAAADRYPGVEQYAIRAFADALDSVPMSLAENSGLQPIETLSAVKSQQIKENNPYCGIDCNDAGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDF >Potri.010G051700.5.v4.1 pep chromosome:Pop_tri_v4:10:8337653:8344225:1 gene:Potri.010G051700.v4.1 transcript:Potri.010G051700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051700.v4.1 MTGRFVIVGYLDCLHMLYTVTATLFCSVNRCKRSLAEISVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGILVDKEMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDNMVQKCKGVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFEELTPEKLGKAGLVREKAFGTTKDRMLYVEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSSEISCSISVEAAADRYPGVEQYAIRAFADALDSVPMSLAENSGLQPIETLSAVKSQQIKENNPYCGIDCNDAGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDF >Potri.010G051700.6.v4.1 pep chromosome:Pop_tri_v4:10:8337754:8342571:1 gene:Potri.010G051700.v4.1 transcript:Potri.010G051700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051700.v4.1 MTGRFVIVGYLDCLHMLYTVTATLFCSVNRCKRSLAEISVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGILVDKEMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDNMVQKCKGVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFEELTPEKLGKAGLVREKAFGTTKDRMLYVEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSSEISCSISVEAAADRYPGVEQYAIRAFADALDSVPMSLAENSGLQPIETLSAVKSQQIKENNPYCGIDCNDAGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDF >Potri.010G051700.3.v4.1 pep chromosome:Pop_tri_v4:10:8337755:8342743:1 gene:Potri.010G051700.v4.1 transcript:Potri.010G051700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051700.v4.1 MALAFDEFGRPFVIIKEQDQKVRLRGLDAQKANIASGMAVSRILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLLVELSRSQDYEIGDGTTGVVVLAGALLEQAQKLLERGVHPIRVAEGYETASRIAVEHLEKIAQKFDFGVNNIEPLVKTCMTTLSSKIVNRCKRSLAEISVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGILVDKEMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDNMVQKCKGVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFEELTPEKLGKAGLVREKAFGTTKDRMLYVEHCANSRAVTIFIRGGNKMMIEETKRSIHDALCVARNLIRNNSIVYGGGSSEISCSISVEAAADRYPGVEQYAIRAFADALDSVPMSLAENSGLQPIETLSAVKSQQIKENNPYCGIDCNDAGTNDMREQNVFETLIGKQQQILLATQVVKMILKIDDVISPSDF >Potri.019G071300.1.v4.1 pep chromosome:Pop_tri_v4:19:11293804:11297452:-1 gene:Potri.019G071300.v4.1 transcript:Potri.019G071300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071300.v4.1 MEGDAVTDFQDWELLANSDSDSVLADSPNSVANSSRSFHEIEADTEGMFRSDYFSLENDSRHVKAALDASEEGSVESDNPSWIDPGSETRFQRRNSGESWPDSGSDRSDDRKSSDFDVKNELGFVENERTGVGFQGIGEIEAKEGKLESLEREASNFQGKSEVGLVETLKDQVGLEGFGEQGKDKELIKFWSDSDGDNLVFGGLGKDQAGSEILGESEGGNVSKEVSLGVVAVRDTKPGDEGEKRKVVVWWKVPFEVLRYCVFRLNPVWSVSMAAAVMGFVILGRRLYKMRRKTKSLQLKVTVDDKKVSQFMTRATRLNEAFSVVRRVPIVRPLLPAAGVNPWPVMSLR >Potri.008G146400.2.v4.1 pep chromosome:Pop_tri_v4:8:9959671:9964778:1 gene:Potri.008G146400.v4.1 transcript:Potri.008G146400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G146400.v4.1 MAGGGGPAPPPKQEELQPHPVKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGRNEEKAKMIQTLLFVAGLNTFLQTLFGTRLPAVIGGSYSYLPTTISIVLAGRYSAIVDPVEKFEKIMRGIQGALIVASTLQIVVGFSGLWRNVARFLSPLSTVPLVALSGFGLYEFGFPLLAKCVEIGLPQIIFLLIFSQYMPHLIRGERAVFDRFAVIFSVVIVWIYAHLLTVSGAYKNAGPTTQTSCRTDRAGIIGASPWIRVPYPFQWGAPTFDAGEAFAMMATSFVALVESTGAFIAVSRYASATPVPPSILSRGVGWQGVGILFSGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGSAGLSILQFCNLNSFKTKFILGFSVFMGLSIPQYFNEYTAIHGYGPVHTGARWFNDMINVPFSSEPFVAGFLAMFLDVTLHKKDTTTRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Potri.008G146400.1.v4.1 pep chromosome:Pop_tri_v4:8:9959997:9964768:1 gene:Potri.008G146400.v4.1 transcript:Potri.008G146400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G146400.v4.1 MAGGGGPAPPPKQEELQPHPVKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVLIPTTLVPQMGGRNEEKAKMIQTLLFVAGLNTFLQTLFGTRLPAVIGGSYSYLPTTISIVLAGRYSAIVDPVEKFEKIMRGIQGALIVASTLQIVVGFSGLWRNVARFLSPLSTVPLVALSGFGLYEFGFPLLAKCVEIGLPQIIFLLIFSQYMPHLIRGERAVFDRFAVIFSVVIVWIYAHLLTVSGAYKNAGPTTQTSCRTDRAGIIGASPWIRVPYPFQWGAPTFDAGEAFAMMATSFVALVESTGAFIAVSRYASATPVPPSILSRGVGWQGVGILFSGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPAPIIAALYCLFFAYVGSAGLSILQFCNLNSFKTKFILGFSVFMGLSIPQYFNEYTAIHGYGPVHTGARWFNDMINVPFSSEPFVAGFLAMFLDVTLHKKDTTTRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Potri.006G062200.1.v4.1 pep chromosome:Pop_tri_v4:6:4437573:4440862:1 gene:Potri.006G062200.v4.1 transcript:Potri.006G062200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062200.v4.1 MSSLQNREMGLCLLVCIISCSFIYVSSQSAVAGNDNSSVSEGTVFIDGKTSIGKIDEDFICATLDWWPPEKCDYGTCSWDQASLINLDLNNNILLNAIKAFSPLKIRLGGTLQDKVIYDTEDNKQPCVQFVKNTSEMFGFTQGCLPMYRWDELNAFFKKSGAEIIFGLNALAGRSITSDGSAVGAWNYTNAESFISYTVKKNYSIYGWELGNELSGSGVGTRVAAAQYASDTISLYNTVKKIYSSIEPKPLVIAPGGFFDANWFKEFVDKTGNSVNAITHHIYNLGPGVDTHLIEKILDPSYLDGEADTFNSLQSTIKSSATSAVAWVGESGGAYNSGRNLVTNAFVFSFWYLDQLGMASAYDTKTYCRQSLIGGNYGLLNTTTFVPNPDYYSALLWHRLMGRNVLSTSFSGTKKIRAYTHCAKQSKGITLLLINLDNSTTVEVTVTFNSTRRLHQKHKPHRSHKLHKPHRSHRSHKSHKSKVIQQPQRSTSGITREEYHLTAKDGDLHSQTMLLNGNILTVNSSGDIPSLEPLHVNSSKPIMVAPFSIVFVQMPYVLPACS >Potri.010G110900.1.v4.1 pep chromosome:Pop_tri_v4:10:13101207:13103586:1 gene:Potri.010G110900.v4.1 transcript:Potri.010G110900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110900.v4.1 MDNLLGLLRIRVKRGNNLAVRDLGTSDPYAVITMGKQKLKTRVVKKNCNPEWNEELTLSITDLNVPINLTVFDKDRFTVDDKMGEAEIDIKAYIASLKMGLQNLPNGCVVSRIKPSRNNCLADESCVVWDNGKILQDMILRLRNVESGEVMIQIEWMNVPGCRGLEIGDREAPWNRSKRLD >Potri.011G151600.1.v4.1 pep chromosome:Pop_tri_v4:11:17861982:17862543:-1 gene:Potri.011G151600.v4.1 transcript:Potri.011G151600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151600.v4.1 MNSMFSSFDALCNEFLSQKVKSSFAFTTMDGNTKRVLSRKVAEDKLIKNQQGSSSRSLTRKQEKKMTRFALELDGLNCFETIVNY >Potri.016G059500.6.v4.1 pep chromosome:Pop_tri_v4:16:4073474:4075604:1 gene:Potri.016G059500.v4.1 transcript:Potri.016G059500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059500.v4.1 MSVLAANPHVLYREVQYHNKDQQQQLWSGGGVGSSLLLSQRTSFGCSWFDGRNKDLCKKNSKRDLKVAASWPGMTRPTSVEMEPIDDSHHLDKILLQAHELSQPIIIDWMASWCRKCIYLKPKLEKLAAEYDTKIKFYCVDVNKVPQALVKRGNISKMPTIQLWKEGEMKAEVIGGHKAWLVMEEVREMIQKFV >Potri.012G074800.2.v4.1 pep chromosome:Pop_tri_v4:12:9821071:9826524:1 gene:Potri.012G074800.v4.1 transcript:Potri.012G074800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074800.v4.1 MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFALSGDSLFYTKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIARGDAVPEAKRGAGGSAVFVARNRFAVLDKSSNQVLVKNLKNEVVKKSGLPISCDAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGELQTPFVKYVVWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKISGNTIFCLDRDGKNKPIVIDATEYIFKLSLLKKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLRIAEVKNDVMGQFHNALYLGDVRERVKILENAGHLPLAYAAAKVHGLEDVVERLAAELGDDIPSFPKGKEPSLLMPPAPIMCGGDWPLLRVMKGIFEGGLDNMVRGGADEDEEEAADGDWGEELDMVDAVGLQNGDVTAILEDGEAAEENEEEEGGWDLEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFVPLKPMFLDLHSGSHTYLRAFSSTPVISLAVERGWNKSASPNVRAPPALVFDFSQLEEKLKAGYKATTAGKFTEALKLFLSILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMTVCFKNKNLATAANFARRLLETNPPNENQARSARQVLAASERNMTDAAQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSHEGQLCTVCDLAVVGADASGLLCSPSQIR >Potri.012G074800.3.v4.1 pep chromosome:Pop_tri_v4:12:9821062:9826478:1 gene:Potri.012G074800.v4.1 transcript:Potri.012G074800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074800.v4.1 MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFALSGDSLFYTKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIARGDAVPEAKRGAGGSAVFVARNRFAVLDKSSNQVLVKNLKNEVVKKSGLPISCDAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGELQTPFVKYVVWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKISGNTIFCLDRDGKNKPIVIDATEYIFKLSLLKKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLRIAEVKNDVMGQFHNALYLGDVRERVKILENAGHLPLAYAAAKVHGLEDVVERLAAELGDDIPSFPKGKEPSLLMPPAPIMCGGDWPLLRVMKGIFEGGLDNMVRGGADEDEEEAADGDWGEELDMVDAVGLQNGDVTAILEDGEAAEENEEEEGGWDLEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFVPLKPMFLDLHSGSHTYLRAFSSTPVISLAVERGWNKSASPNVRAPPALVFDFSQLEEKLKAGYKATTAGKFTEALKLFLSILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMTVCFKNKNLATAANFARRLLETNPPNENQARSARQVLAASERNMTDAAQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSHEGQLCTVCDLAVVGADASGLLCSPSQIR >Potri.012G074800.6.v4.1 pep chromosome:Pop_tri_v4:12:9823019:9826220:1 gene:Potri.012G074800.v4.1 transcript:Potri.012G074800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074800.v4.1 MNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFALSGDSLFYTKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIARGDAVPEAKRGAGGSAVFVARNRFAVLDKSSNQVLVKNLKNEVVKKSGLPISCDAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGELQTPFVKYVVWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKISGNTIFCLDRDGKNKPIVIDATEYIFKLSLLKKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLRIAEVKNDVMGQFHNALYLGDVRERVKILENAGHLPLAYAAAKVHGLEDVVERLAAELGDDIPSFPKGKEPSLLMPPAPIMCGGDWPLLRVMKGIFEGGLDNMVRGGADEDEEEAADGDWGEELDMVDAVGLQNGDVTAILEDGEAAEENEEEEGGWDLEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFVPLKPMFLDLHSGSHTYLRAFSSTPVISLAVERGWNKSASPNVRAPPALVFDFSQLEEKLKAGYKATTAGKFTEALKLFLSILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMTVCFKNKNLATAANFARRLLETNPPNENQARSARQVLAASERNMTDAAQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSHEGQLCTVCDLAVVGADASGLLCSPSQIR >Potri.012G074800.5.v4.1 pep chromosome:Pop_tri_v4:12:9822387:9827238:1 gene:Potri.012G074800.v4.1 transcript:Potri.012G074800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074800.v4.1 MCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFALSGDSLFYTKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIARGDAVPEAKRGAGGSAVFVARNRFAVLDKSSNQVLVKNLKNEVVKKSGLPISCDAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGELQTPFVKYVVWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKISGNTIFCLDRDGKNKPIVIDATEYIFKLSLLKKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLRIAEVKNDVMGQFHNALYLGDVRERVKILENAGHLPLAYAAAKVHGLEDVVERLAAELGDDIPSFPKGKEPSLLMPPAPIMCGGDWPLLRVMKGIFEGGLDNMVRGGADEDEEEAADGDWGEELDMVDAVGLQNGDVTAILEDGEAAEENEEEEGGWDLEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFVPLKPMFLDLHSGSHTYLRAFSSTPVISLAVERGWNKSASPNVRAPPALVFDFSQLEEKLKAGYKATTAGKFTEALKLFLSILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMTVCFKNKNLATAANFARRLLETNPPNENQARSARQVLAASERNMTDAAQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSHEGQLCTVCDLAVVGADASGLLCSPSQIR >Potri.012G074800.4.v4.1 pep chromosome:Pop_tri_v4:12:9821067:9826367:1 gene:Potri.012G074800.v4.1 transcript:Potri.012G074800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074800.v4.1 MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNTDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFALSGDSLFYTKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPKDSIARGDAVPEAKRGAGGSAVFVARNRFAVLDKSSNQVLVKNLKNEVVKKSGLPISCDAIFYAGTGNLLCRAEDRVVIFDLQQRLVLGELQTPFVKYVVWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLDVPIYITKISGNTIFCLDRDGKNKPIVIDATEYIFKLSLLKKRYDHVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLITGNLEKLSKMLRIAEVKNDVMGQFHNALYLGDVRERVKILENAGHLPLAYAAAKVHGLEDVVERLAAELGDDIPSFPKGKEPSLLMPPAPIMCGGDWPLLRVMKGIFEGGLDNMVRGGADEDEEEAADGDWGEELDMVDAVGLQNGDVTAILEDGEAAEENEEEEGGWDLEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFVPLKPMFLDLHSGSHTYLRAFSSTPVISLAVERGWNKSASPNVRAPPALVFDFSQLEEKLKAGYKATTAGKFTEALKLFLSILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMTVCFKNKNLATAANFARRLLETNPPNENQARSARQVLAASERNMTDAAQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSHEGQLCTVCDLAVVGADASGLLCSPSQIR >Potri.002G201900.3.v4.1 pep chromosome:Pop_tri_v4:2:16543904:16544733:1 gene:Potri.002G201900.v4.1 transcript:Potri.002G201900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201900.v4.1 MAPTSSYFAFFMSLSMVAAIASATDGGYGSHPNPNLVKPKLNKEKPLSTMIGVQGLVYCRSGPKRFPLEGAVIRITCLANDVYGYEAAPFSFLSEATDAKGYFFATLSPYEMQDNLKIKECKAFLELSPLETCKIPTDEKQGISGALLASYHYLSDKKMKLFTVGPFVYTSAPNSGSNY >Potri.014G142700.12.v4.1 pep chromosome:Pop_tri_v4:14:9707880:9724720:-1 gene:Potri.014G142700.v4.1 transcript:Potri.014G142700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142700.v4.1 MEETRDDSGPAEQGPSNSVWWGSDFIEKFESVSLLSQEDTLRNKESHKNYEEDGLSSQTASQVLWSTGMLSEQIPNGFYSVIPDKRLKELFVNIPTLDELHSLGAEGCKADIILVDAKKDKKLSMLKQLIVPLVKGLNSNPAAMIKKIAGLVADFYKRPNVESPAKAALEEASHMLENRGVQLLGQIRHGSCRPRAIFFKVLADSVGLESRLVVGLPNDGIVECVDSYKHMSVIVMLNSVELLVDLMRSPGQLMPRSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPMYGFAERVDPDSAEKDEGSLQVHRKLEASSNVLGPSLRNMMLRSATSIDRKLSPEHPSFRGRGRSMLSGDRHSIRHYADDVAISSHRSEGASMSEARRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLMEQGDDRLFTNNLGDKDNGTDLQKNVSNFSLDGRDEISGGRSALYTLERNRINSQKAISLPSSPHEYRSQTSERSGPSGFVADDQLVSTWNKVLESPLFHNNPPLPFQEWHIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAVKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLIHSSGQKKLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNNHKTIKICDFGLSRVMTDIPIRDSSSAGTPEWMAPELIRNEPVTEKCDIFSLGVIMWELCTLSRPWEGVPPKRVVDAVANEGSRLEIPEGPLGRLISDCWAEPDLRPSCGEILTRLLDCEYTSC >Potri.014G142700.8.v4.1 pep chromosome:Pop_tri_v4:14:9707637:9724757:-1 gene:Potri.014G142700.v4.1 transcript:Potri.014G142700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142700.v4.1 MEETRDDSGPAEQGPSNSVWWGSDFIEKFESVSLLSQEDTLRNKESHKNYEEDGLSSQTASQVLWSTGMLSEQIPNGFYSVIPDKRLKELFVNIPTLDELHSLGAEGCKADIILVDAKKDKKLSMLKQLIVPLVKGLNSNPAAMIKKIAGLVADFYKRPNVESPAKAALEEASHMLENRGVQLLGQIRHGSCRPRAIFFKVLADSVGLESRLVVGLPNDGIVECVDSYKHMSVIVMLNSVELLVDLMRSPGQLMPRSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPMYGFAERVDPDSAEKDEGSLQVHRKLEASSNVLGPSLRNMMLRSATSIDRKLSLSHSEPNIATTFWRRSRKKVIAEQRTASSSPEHPSFRGRGRSMLSGDRHSIRHYADDVAISSHRSEGASMSEARRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLMEQGDDRLFTNNLGDKDNGTDLQKNVSNFSLDGRDEISGGRSALYTLERNRINSQKAISLPSSPHEYRSQTSERSGPSGFVADDQLVSTWNKVLESPLFHNNPPLPFQEWHIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAVKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLIHSSGQKKLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNNHKTIKICDFGLSRVMTDIPIRDSSSAGTPEWMAPELIRNEPVTEKCDIFSLGVIMWELCTLSRPWEGVPPKRVVDAVANEGSRLEIPEGPLGRLISDCWAEPDLRPSCGEILTRLLDCEYTSC >Potri.014G142700.9.v4.1 pep chromosome:Pop_tri_v4:14:9707881:9724740:-1 gene:Potri.014G142700.v4.1 transcript:Potri.014G142700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142700.v4.1 MEETRDDSGPAEQGPSNSVWWGSDFIEKFESVSLLSQEDTLRNKESHKNYEEDGLSSQTASQVLWSTGMLSEQIPNGFYSVIPDKRLKELFVNIPTLDELHSLGAEGCKADIILVDAKKDKKLSMLKQLIVPLVKGLNSNPAAMIKKIAGLVADFYKRPNVESPAKAALEEASHMLENRGVQLLGQIRHGSCRPRAIFFKVLADSVGLESRLVVGLPNDGIVECVDSYKHMSVIVMLNSVELLVDLMRSPGQLMPRSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPMYGFAERVDPDSAEKDEGSLQVHRKLEASSNVLGPSLRNMMLRSATSIDRKLSLSHSEPNIATTFWRRSRKKVIAEQRTASSSPEHPSFRGRGRSMLSGDRHSIRHYADDVAISSHRSEGASMSEARRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLMEQGDDRLFTNNLGDKDNGTDLQKNVSNFSLDGRDEISGGRSALYTLERNRINSQKAISLPSSPHEYRSQTSERSGPSGFVADDQLVSTWNKVLESPLFHNNPPLPFQEWHIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAVKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLIHSSGQKKLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNNHKTIKICDFGLSRVMTDIPIRDSSSAGTPEWMAPELIRNEPVTEKCDIFSLGVIMWELCTLSRPWEGVPPKRVVDAVANEGSRLEIPEGPLGRLISDCWAEPDLRPSCGEILTRLLDCEYTSC >Potri.014G142700.10.v4.1 pep chromosome:Pop_tri_v4:14:9707635:9724740:-1 gene:Potri.014G142700.v4.1 transcript:Potri.014G142700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142700.v4.1 MEETRDDSGPAEQGPSNSVWWGSDFIEKFESVSLLSQEDTLRNKESHKNYEEDGLSSQTASQVLWSTGMLSEQIPNGFYSVIPDKRLKELFVNIPTLDELHSLGAEGCKADIILVDAKKDKKLSMLKQLIVPLVKGLNSNPAAMIKKIAGLVADFYKRPNVESPAKAALEEASHMLENRGVQLLGQIRHGSCRPRAIFFKVLADSVGLESRLVVGLPNDGIVECVDSYKHMSVIVMLNSVELLVDLMRSPGQLMPRSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPMYGFAERVDPDSAEKDEGSLQVHRKLEASSNVLGPSLRNMMLRSATSIDRKLSLSHSEPNIATTFWRRSRKKVIAEQRTASSSPEHPSFRGRGRSMLSGDRHSIRHYADDVAISRSEGASMSEARRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLMEQGDDRLFTNNLGDKDNGTDLQKNVSNFSLDGRDEISGGRSALYTLERNRINSQKAISLPSSPHEYRSQTSERSGPSGFVADDQLVSTWNKVLESPLFHNNPPLPFQEWHIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAVKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLIHSSGQKKLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNNHKTIKICDFGLSRVMTDIPIRDSSSAGTPEWMAPELIRNEPVTEKCDIFSLGVIMWELCTLSRPWEGVPPKRVVDAVANEGSRLEIPEGPLGRLISDCWAEPDLRPSCGEILTRLLDCEYTSC >Potri.014G142700.11.v4.1 pep chromosome:Pop_tri_v4:14:9707876:9724750:-1 gene:Potri.014G142700.v4.1 transcript:Potri.014G142700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142700.v4.1 MEETRDDSGPAEQGPSNSVWWGSDFIEKFESVSLLSQEDTLRNKESHKNYEEDGLSSQTASQVLWSTGMLSEQIPNGFYSVIPDKRLKELFVNIPTLDELHSLGAEGCKADIILVDAKKDKKLSMLKQLIVPLVKGLNSNPAAMIKKIAGLVADFYKRPNVESPAKAALEEASHMLENRGVQLLGQIRHGSCRPRAIFFKVLADSVGLESRLVVGLPNDGIVECVDSYKHMSVIVMLNSVELLVDLMRSPGQLMPRSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPMYGFAERVDPDSAEKDEGSLQVHRKLEASSNVLGPSLRNMMLRSATSIDRKLSPEHPSFRGRGRSMLSGDRHSIRHYADDVAISSHRSEGASMSEARRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLMEQGDDRLFTNNLGDKDNGTDLQKNVSNFSLDGRDEISGGRSALYTLERNRINSQKAISLPSSPHEYRSQTSERSGPSGFVADDQLVSTWNKVLESPLFHNNPPLPFQEWHIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAVKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLIHSSGQKKLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNNHKTIKICDFGLSRVMTDIPIRDSSSAGTPEWMAPELIRNEPVTEKCDIFSLGVIMWELCTLSRPWEGVPPKRVVDAVANEGSRLEIPEGPLGRLISDCWAEPDLRPSCGEILTRLLDCEYTSC >Potri.014G142700.7.v4.1 pep chromosome:Pop_tri_v4:14:9707789:9724761:-1 gene:Potri.014G142700.v4.1 transcript:Potri.014G142700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142700.v4.1 MEETRDDSGPAEQGPSNSVWWGSDFIEKFESVSLLSQEDTLRNKESHKNYEEDGLSSQTASQVLWSTGMLSEQIPNGFYSVIPDKRLKELFVNIPTLDELHSLGAEGCKADIILVDAKKDKKLSMLKQLIVPLVKGLNSNPAAMIKKIAGLVADFYKRPNVESPAKAALEEASHMLENRGVQLLGQIRHGSCRPRAIFFKVLADSVGLESRLVVGLPNDGIVECVDSYKHMSVIVMLNSVELLVDLMRSPGQLMPRSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPMYGFAERVDPDSAEKDEGSLQVHRKLEASSNVLGPSLRNMMLRSATSIDRKLSLSHSEPNIATTFWRRSRKKVIAEQRTASSSPEHPSFRGRGRSMLSGDRHSIRHYADDVAISRSEGASMSEARRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLMEQGDDRLFTNNLGDKDNGTDLQKNVSNFSLDGRDEISGGRSALYTLERNRINSQKAISLPSSPHEYRSQTSERSGPSGFVADDQLVSTWNKVLESPLFHNNPPLPFQEWHIDFSELTVGTRVGIGFFGEVFRGIWNGTEVAVKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTKPPRLSMVTEYMEMGSLYYLIHSSGQKKLSWRRRLKMLRDICRGLMCIHRMKIVHRDLKSANCLVNNHKTIKICDFGLSRVMTDIPIRDSSSAGTPEWMAPELIRNEPVTEKCDIFSLGVIMWELCTLSRPWEGVPPKRVVDAVANEGSRLEIPEGPLGRLISDCWAEPDLRPSCGEILTRLLDCEYTSC >Potri.003G085200.1.v4.1 pep chromosome:Pop_tri_v4:3:11140611:11149727:1 gene:Potri.003G085200.v4.1 transcript:Potri.003G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085200.v4.1 MDPLSSISEELAEINGQIADIFRALSNGFQKLEKIKDVNRQSRQLEELTGKLRECKRLIKEFDREMKDMESRNDPDTNKMLNEKKQSMIKELNSYVALKKQYATNLENNKRVDLFDGPNEELHDDNVLLASSMTNQQLVDHGNQMMDETDQAIERGKKVVQDTINVGTETAAALKAQTEQMSRIVNELDSIHFSIKKASQLVKEIGRQVATDKCIMALLFLIVVGVIAIIIVKLVNPSNKDIRDIPGLAPPAPSRRLLWIPNQETS >Potri.005G177500.1.v4.1 pep chromosome:Pop_tri_v4:5:18337819:18344436:1 gene:Potri.005G177500.v4.1 transcript:Potri.005G177500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177500.v4.1 MIPYIVAILVPLIITLLYQKSKNAKKRGVPVDVGGEPGYAIRNAQFPTLLETAWEGVSTLAQLFEMACKKHGHKCLLGTRTLISKDTEVSANGRSFEKLHLGEYEWLTYGQVFEKVCNFASGLAHLGLRSEERVAIFADTRAEWFISLQGCFRRNVSVVTIYASLGEEALCYSLNETEVTAVICGAKELKKLAEVSGQLETVKYIICMDDETPSSASSMAQSGRWRVVSVADVEKLGRENPVDAALPLSEDVAVIMYTSGSTGLPKGVMMTHGNVLAVVSSVRTIVTGLEDKDVYLAYLPLAHILEIAAENVVAGAGSAIGYGTPMTLTDTSNKIKRGTKGDATVLRPTVMAAVPAILDRVRDGVQKKVDAKGGLTKKLFDFAYARRISAINGSWFGARGIELLLWNFLVFRKVRAVLGGRIRFLLSGGAPLSGDTQRFINICLGAPICQGYGLTETCAGGTFSEFDDPSVGRVGNPVPCSYIKLIDWPEGGYLISNSPMPRGEIVIGGPNVTLGYFKNEAKTKEVYKVDERGMRWFYTGDIGQFHADGCLEIIDRKKDIVKLQHGEYISLGKVEAALVVSPYVENIMLDADPFHSYCVALVVAAQPALEEWASKKGIAFTDFAELCEKEETIKELQASLLKAAKAARLEKLEIPTKIKLLSDPWTPETGLVTAALKLKREAVRKAFFEELSKLYES >Potri.016G028501.1.v4.1 pep chromosome:Pop_tri_v4:16:1576276:1577873:-1 gene:Potri.016G028501.v4.1 transcript:Potri.016G028501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028501.v4.1 MKSFNLRSICLGGNDREGVEIFDVQCDNMRCTATVTSNAARLMDLIGNLCKLVTTPNSGTRLRVSMDMIWDKPVDDDAEVDPPVTLQFCYEHFCIIYHVNPPDNFPTSSLENFLNHDCIDFFGFEMKPKVEYLRRAYNLVVKNWFDIPSEARLSNPARFGDKVDLSLQEMVSMDFSREYSKATDLLQSNWRSSKLSTDQVINAALDCYFAYKFAVRVSICPRSD >Potri.001G112500.2.v4.1 pep chromosome:Pop_tri_v4:1:9115609:9117079:1 gene:Potri.001G112500.v4.1 transcript:Potri.001G112500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112500.v4.1 MAKLNGGPLHPSKHGPSVFEQNSSFFFYRRKPMTIKKKNLFTGPVARLLGPAIFEASKPKVLLLEVENKQPAAGELPPRTCTLTNCLAPSIICKTKALPSTIFSWACQYAIILLAFILLLSFIPFR >Potri.018G020000.1.v4.1 pep chromosome:Pop_tri_v4:18:1396389:1400005:-1 gene:Potri.018G020000.v4.1 transcript:Potri.018G020000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020000.v4.1 MMNLLSSFCVQNLVAVLIVLMQGKALPLITSSFLLTAASTITAPSSFGGNETDYEALLAFKAKIQDPHSNTLSSWNDSLDFCNWPGITCGRRHGRVRIINLVDQKLAGTLSPYVGNISFLREIRLANNTIHGEIPPEVGRLLRLRVLMLTNNSIEGKIPANLSGCSSLAELYIDRNKLGGEIPTELGFLSKLTILSFRQNNLLGKIPHSIGNLTSLESLSLKRNVLEGTIPDSLGRLKRLTSLLLGENKLSGFIPPSLYNLSLITTFYLGGNGFRGSLPSNLGLSFPHLQWLALWQNQFSGPIPGSLTNASELQIVSFTYNSLTGKIPDIFGKLHHLSGLHFGSNNLGTGGDDEMAFLASLTNCSMLKVVSINNNRLEGSLPITVGNLSTYMVYFGLSGNHIVGRIPSGIGNLVNLTFLYMDRNHFTGEIPTSFGNLRKLEQFSLFSNRLSGKIPSSLGNLSLLSVLYLDDNKLQDTIPASLGGCKNLVSLGLSRNNLNGSIPEQLFGTSSVLFSLNLSHNQFTGSLPSTIGSLKGLSELDVSWNMLSSEIPTSFGGCTSLEVLHMEDNFFQGSIPSSFSSLRGIQFLDLSCNNLSGQLPNFLVTIPFISLNLSYNNFEGEVPRKGVFTNKSAVSVVGNDKLCGGILEFHLSECPNKEPKKTKMSHLQYLLAITIPCALVGAITVSSFLFCWFKKKRKEHSSDTLLKESFPQISYERLFKATDGFSTTNLIGVGSFSSVYKGRIDEDGTLVAIKVLNLQRRGASKSFKDECEALRNIRHRNLVKIITSCSSIDFQGNNFKALVYEYMPKGSLEKWLHPTQETHDDQQINQVQRPNLLERINIAIDVAAALDYLHHHCHSPIIHCDVKPSNILLDKDMIGHLGDFGLARIFQEFSEPSLESSSAGIKGTTGYAAPEYGQGREVSIDGDVYSYGILLLEMMTGKRPIDDTFEKGLNLHMFAKMALPDHVIEITDPVLLSERHLENAASMEECLTSLVKIGVACSMDSPRDRMDMSRVVRELLMVRDTFQGTASRPENNKYPGAHGFHS >Potri.001G341700.1.v4.1 pep chromosome:Pop_tri_v4:1:35231041:35231943:1 gene:Potri.001G341700.v4.1 transcript:Potri.001G341700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341700.v4.1 MDLIPILLVLVSAFSSIQAVTPANSKVFREYIGAEGKGVRFADVPINSKVEVHFILSFAIDYTSSSSPSPTNGRFKAYWDEDNLSPSHVSATKALHSNVKVCISLGGDTIRGQYVFFKPRSINSWVSNAISSITKIAREYDLDGIDIDYEHFDADTNTFAECIGRLLSHLKEQKIVSIASIAPYDNDSYGYLIDYVNFQFYAYDKGTTISQFLDHFETQSSNYRGGKVLVSFGTDGSGGLSPENGFFEACSKLRHAKKLHGIFIWSADDSKKAGFPYEKQSQTFLASTK >Potri.001G259200.3.v4.1 pep chromosome:Pop_tri_v4:1:27460601:27467708:1 gene:Potri.001G259200.v4.1 transcript:Potri.001G259200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259200.v4.1 MAWPAVLVIVPVGIIFILSGLIVNLIQAVLFILVRPVSKSLHRRINKIVAELLWLELIVLVDWWANLKIEVYADDETFELLGKEHALVISNHNSDLDWLVGWILAQRSGCLGSALAVMKKEAKVLPIIGWSMWFSDYVFLERSWGKDERILQSGFERLADFPMPFWLALFVEGTRFTQAKLLAAQEFAASRGIPVPRNVLIPRTKGFVSAVTHLRSFVPAIYDATVAVANSQPAPTFLRIFRGQSSVIKVLLERHSMQELPETADGIAQWCKDAFVTKDAVLEKYFSKDIFRDKKLQDIGRPKKSLFVMIFWSSLLAYATVRLFQWLSLFLASWEVITFSIAFLFLVTIIMQILIQSSESERSTPATNFTLSEGTRQRLLPR >Potri.010G220700.1.v4.1 pep chromosome:Pop_tri_v4:10:20599328:20608695:-1 gene:Potri.010G220700.v4.1 transcript:Potri.010G220700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220700.v4.1 MGHRQMFNPSQFFEMEQDWSHGHPAAGQSYVHMGRAVSQENGSFSHPINPPSIDGPSCASRRNLENRALEHSSTYFRSEGPQVHAPLSAPRHDVFPHCPAGGSFYPPPELEATHVHSNHHNRHGIHEGEGGLLDHTMSAGRGPFKRKSPGVPTSWERGGTSSMYSAGSSSNSFELHHEKPTSDYRNYFSESSGLPPYMGSSLSIGGEDPPRNVRSRSRLDLEPNPRRTHSSSYTSHPFSSTSHLRNHPGPVDVANLNADRTAYEQNQIGVPPPAHGRFHTSENNSLSHEMNQHYAGGNPTDIRRYNHDSILSRNPIAPPRHLHGFHAQASREGQNSYSRRAIPTRRADINSSHLRQEAAAVENGQHFLSETHSSRYSRPLLSGGWHSNHREGRSRISIERFQSLSNVVDVRDRMGSEALMMLDHSYLYGSRNFLDQYRDMRLDVDSMSYEELLALGERIGIVNTGLPEDVFSKCLVETRCHSSDKAQEETSCAICLEEYKSMDKVGMIRNCGHVYHVDCIKKWLSMKNMCPICKAPAVADGSNKE >Potri.013G062800.1.v4.1 pep chromosome:Pop_tri_v4:13:4659064:4669086:1 gene:Potri.013G062800.v4.1 transcript:Potri.013G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062800.v4.1 MTQNDADMDETEEEESPKESQTLQTLTATQLSSSVSNLSASSRAIPSNKDFHFYYNFDEFKIPIQEIAEKSQSLLESIGSSSSNHIFKDKLQFPTDVDIDEAYDWLVNVNDEIFERFDASIDEFRRVREETGRVVGVDSEDGFQLVLGKKNKKSMKKTVSDDSVSRAGGDSGVKVADNKKWILGNKAKVPFHIPTIRRPQEEHNILVNNSNRAFDHVWLERSEDGLRVIHPLERLSVLDFMDKSTGDVEPAPPLPIESTSFKLVEEVKDLKELAAKLRGVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPAKRKVMHGADRDVVWLQQDFGIYICNLFDTGQASRVLKLERNSLEHLLHHFCGVTANKEYQNADWRLRPLPDEMIRYAREDTHYLLHIYDLMRALLLSKPIDNENADPPLLEVYKRSYDVCMQLYEKELFTENSYLNMYGLPSAGFNAQQLAIVAGLYEWRDAIARAEDESTGYILPNKTLLEIAKEMPVTISKLRQLLKSKHSYIERHLSSVVSIIRHSMQTSAAFEAAVQHLKERHMEIPSQEETEANDGSEAQSIPGGNGMNSGVAACHETSAQLEKGLLKQGSSIVELGRGGQGSSAKHHGANGEVNTGSSSYISDASPTAKVAGATVQVLKKPTGAFGALLGGAVAKRKLDTDKKVKEKIKLEKIRSSVNLPFHSFMGINEPPKVVVEEPIGVSEISHPEESLDVPATGSSLQDIILLDNDSDMEQNTHIAEPDRDDSKTTNANGDDKSSGSALETDGEEPVSLADLSMSFQKCFPSGNQNKKTAEVKKSGEPSGGLKLKPFDYTTALRSGEDPAGRLKVGSAKNQRGVLDSVGTIKSSPGAKMQKDDETGEYRQGRRRQAFPATGNRSATFR >Potri.014G039800.1.v4.1 pep chromosome:Pop_tri_v4:14:2571646:2575426:1 gene:Potri.014G039800.v4.1 transcript:Potri.014G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039800.v4.1 MGNWNTTCACKRSKLMRKQMDSMNHNTHHEGIRTVKVNKVCDFSGNSDLCICAVTWNMNGKVVYEDLVELVGSNSKSDLLVVGLQEVPRKNIARLLETALVDTHVLLGKAIMQSLQLYVFGPQNSGFSIKELKVDKHSVGGCGGLIRRKKGAVAICMSYNGIRMVFISCHLSAHARNVEERNSQCRHISHNLFSKYMNPYSKPAQVTVWLGDLNYRIQGIETHPVRNLIQKNLHRFLTSKDQLLQEAERGQVFDGYCEGTLTFKPTYKYDVGSSNYDTSYKVRVPSWTDRILFKIQDMEEIRASLHSYESVDDIHSSDHKPVKAHLCLKVNEQP >Potri.001G392801.4.v4.1 pep chromosome:Pop_tri_v4:1:41613438:41635551:-1 gene:Potri.001G392801.v4.1 transcript:Potri.001G392801.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392801.v4.1 MTSSAIDDFRGDGFEGSHQERCIFADIFFGKDTGGTGKRSIDAGVINLKSQDCKIADPSLHTNNEYSAVSTLSSPISLSIEDSDVNENSVGATASGCFTERFTFVEGTSQNKTVKRMKFAVDEPSDTEPDTLKVLTSSLLHKEIVSGTAAADMDSLSQTVLLHLVESSSQGVVSTSYLLKQHAKIDRKGDAREPDVLKCSLPNSDGVAGKAIASPVSQESYATRILLARPVDVVGKPGSPLNAEERAKAFNSPGLDVSIISKTDSKMDPRPFLQSHITRLLSALGWCIGKRKRPSRKYMESIYQSPEGRLIRDFPKVWRLCGQILFANGYKVVQEGNGKEWADISHFWSDLSDTLTTIEKDMDKSDLAKALAHQWSILDPFVNVVFIDRKVGVLRKGCMVKAAPSLVNDRNVKSEDGIGKESAQKNLLAWHSDSCPVTKSASTICEGSCHDCDVQSGNRTFSECGQESMVKGQMDVSIHMNDREGMCSDGMGNQSCSMCKDRIGGVDMTDWLTCGTDCTSAQLCSCQCNDFPVTAGNIIVHGASESVSPHQDSNLVDPDDGTGHMDSIHHDEPTSAQVVTSGVLQQSRFSEEEGLQCIQASRFKTRDKAAMKKIRRKSRKISEIRSTTLSQSENIDVLGNPLESNKVEEKLIKRTKKICMKSSPLDNCLHQGVKNGTKLKSTHGNSYGPKYKQKKTTGCQIDDDDLLIAAIIKNKDFSPGATRSISKKKSCILRAGSKRKRKKGGCRLLPRNLGKLGKHYVGGKWSRMGSRTVLSWLIDAGVLSVKDVVQYRNLKDDFVIKDGVVTKDGIMCKCCNMVLSVTKFKSHAGFKLNRPCSNLFMESGKPFTLCQLQAWSAEYKSRKSGTQVVRADEDDKNDDSCGLCGDGGELICCDNCPSTFHQACLCTEDLPEGSWYCPNCTCWICGDLVNDKEASSSVGAYKCLQCEHKYHGACQQGKQTHEGLVSDAWFCSGSCQEVYSGLHSRVGINNPIADGFCWTLLRCIHEDQKVLSAQRLALKAECNSKLAVALTIMEECFQSMVDPRTGIDMIPHALYNWGSDFARLNFFGFYTVVLEKDDVLVSAASVRVHGVTVAEMPLIATCSNYRRQGMCRHLMTAIEEMLISFKVEKLVISAIPDLVETWTKGFGFIPVSKDEKQSLNKINFMVFPGTILLKKQLYKTKEADTQSGLGDAAPLTEVDICPMEDHVTELVQQSNENSYLDEVGISAELEHGESQNLQESEPSSERQGNYLDEVGISAELKHVESQKLQESEPSSEGETHDGAEGLGRAPRMVTNLSSEVGLCSDGMPFVESDRKFFPNKHAAKKEDKKTETQGSDLQEQLSKLSRGDLVPALGRGPGEVACNVQCISYVPSPDTPSQQVCELNEK >Potri.001G392801.5.v4.1 pep chromosome:Pop_tri_v4:1:41613429:41635572:-1 gene:Potri.001G392801.v4.1 transcript:Potri.001G392801.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392801.v4.1 MTSSAIDDFRGDGFEGSHQERCIFADIFFGKDTGGTGKRSIDAGVINLKSQDCKIADPSLHTNNEYSAVSTLSSPISLSIEDSDVNENSVGATASGCFTERFTFVEGTSQNKTVKRMKFAVDEPSDTEPDTLKVLTSSLLHKEIVSGTAAADMDSLSQTVLLHLVESSSQGVVSTSYLLKQHAKIDRKGDAREPDVLKCSLPNSDGVAGKAIASPVSQESYATRILLARPVDVVGKPGSPLNAEERAKAFNSPGLDVSIISKTDSKMDPRPFLQSHITRLLSALGWCIGKRKRPSRKYMESIYQSPEGRLIRDFPKVWRLCGQILFANGYKVVQEGNGKEWADISHFWSDLSDTLTTIEKDMDKSDLAKALAHQWSILDPFVNVVFIDRKVGVLRKGCMVKAAPSLVNDRNVKSEDGIGKESAQKNLLAWHSDSCPVTKSASTICEGSCHDCDVQSGNRTFSECGQESMVKGQMDVSIHMNDREGMCSDGMGNQSCSMCKDRIGGVDMTDWLTCGTDCTSAQLCSCQCNDFPVTAGNIIVHGASESVSPHQDSNLVDPDDGTGHMDSIHHDEPTSAQVVTSGVLQQSRFSEEEGLQCIQASRFKTRDKAAMKKIRRKSRKISEIRSTTLSQSENIDVLGNPLESNKVEEKLIKRTKKICMKSSPLDNCLHQGVKNGTKLKSTHGNSYGPKYKQKKTTGCQIDDDDLLIAAIIKNKDFSPGATRSISKKKSCILRAGSKRKRKKGGCRLLPRNLGKLGKHYVGGKWSRMGSRTVLSWLIDAGVLSVKDVVQYRNLKDDFVIKDGVVTKDGIMCKCCNMVLSVTKFKSHAGFKLNRPCSNLFMESGKPFTLCQLQAWSAEYKSRKSGTQVVRADEDDKNDDSCGLCGDGGELICCDNCPSTFHQACLCTEDLPEGSWYCPNCTCWICGDLVNDKEASSSVGAYKCLQCEHKYHGACQQGKQTHEGLVSDAWFCSGSCQEVYSGLHSRVGINNPIADGFCWTLLRCIHEDQKVLSAQRLALKAECNSKLAVALTIMEECFQSMVDPRTGIDMIPHALYNWGSDFARLNFFGFYTVVLEKDDVLVSAASVRVHGVTVAEMPLIATCSNYRRQGMCRHLMTAIEEMLISFKVEKLVISAIPDLVETWTKGFGFIPVSKDEKQSLNKINFMVFPGTILLKKQLYKTKEADTQSGLGDAAPLTEVDICPMEDHVTELVQQSNENSYLDEVGISAELEHGESQNLQESEPSSERQGNYLDEVGISAELKHVESQKLQESEPSSEGETHDGAEGLGRAPRMVTNLSSEVGLCSDGMPFVESDRKFFPNKHAAKKEDKKTETQGSDLQEQLSKLSRGDLVPALGRGPGEVACNVQCISYVPSPDTPSQQVCELNEK >Potri.001G392801.3.v4.1 pep chromosome:Pop_tri_v4:1:41613438:41635510:-1 gene:Potri.001G392801.v4.1 transcript:Potri.001G392801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392801.v4.1 MTSSAIDDFRGDGFEGSHQERCIFADIFFGKDTGGTGATASGCFTERFTFVEGTSQNKTVKRMKFAVDEPSDTEPDTLKVLTSSLLHKEIVSGTAAADMDSLSQTVLLHLVESSSQGVVSTSYLLKQHAKIDRKGDAREPDVLKCSLPNSDGVAGKAIASPVSQESYATRILLARPVDVVGKPGSPLNAEERAKAFNSPGLDVSIISKTDSKMDPRPFLQSHITRLLSALGWCIGKRKRPSRKYMESIYQSPEGRLIRDFPKVWRLCGQILFANGYKVVQEGNGKEWADISHFWSDLSDTLTTIEKDMDKSDLAKALAHQWSILDPFVNVVFIDRKVGVLRKGCMVKAAPSLVNDRNVKSEDGIGKESAQKNLLAWHSDSCPVTKSASTICEGSCHDCDVQSGNRTFSECGQESMVKGQMDVSIHMNDREGMCSDGMGNQSCSMCKDRIGGVDMTDWLTCGTDCTSAQLCSCQCNDFPVTAGNIIVHGASESVSPHQDSNLVDPDDGTGHMDSIHHDEPTSAQVVTSGVLQQSRFSEEEGLQCIQASRFKTRDKAAMKKIRRKSRKISEIRSTTLSQSENIDVLGNPLESNKVEEKLIKRTKKICMKSSPLDNCLHQGVKNGTKLKSTHGNSYGPKYKQKKTTGCQIDDDDLLIAAIIKNKDFSPGATRSISKKKSCILRAGSKRKRKKGGCRLLPRNLGKLGKHYVGGKWSRMGSRTVLSWLIDAGVLSVKDVVQYRNLKDDFVIKDGVVTKDGIMCKCCNMVLSVTKFKSHAGFKLNRPCSNLFMESGKPFTLCQLQAWSAEYKSRKSGTQVVRADEDDKNDDSCGLCGDGGELICCDNCPSTFHQACLCTEDLPEGSWYCPNCTCWICGDLVNDKEASSSVGAYKCLQCEHKYHGACQQGKQTHEGLVSDAWFCSGSCQEVYSGLHSRVGINNPIADGFCWTLLRCIHEDQKVLSAQRLALKAECNSKLAVALTIMEECFQSMVDPRTGIDMIPHALYNWGSDFARLNFFGFYTVVLEKDDVLVSAASVRVHGVTVAEMPLIATCSNYRRQGMCRHLMTAIEEMLISFKVEKLVISAIPDLVETWTKGFGFIPVSKDEKQSLNKINFMVFPGTILLKKQLYKTKEADTQSGLGDAAPLTEVDICPMEDHVTELVQQSNENSYLDEVGISAELEHGESQNLQESEPSSERQGNYLDEVGISAELKHVESQKLQESEPSSEGETHDGAEGLGRAPRMVTNLSSEVGLCSDGMPFVESDRKFFPNKHAAKKEDKKTETQGSDLQEQLSKLSRGDLVPALGRGPGEVACNVQCISYVPSPDTPSQQVCELNEK >Potri.001G392801.7.v4.1 pep chromosome:Pop_tri_v4:1:41613443:41635532:-1 gene:Potri.001G392801.v4.1 transcript:Potri.001G392801.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392801.v4.1 MTSSAIDDFRGDGFEGSHQERCIFADIFFGKDTGGTGATASGCFTERFTFVEGTSQNKTVKRMKFAVDEPSDTEPDTLKVLTSSLLHKEIVSGTAAADMDSLSQTVLLHLVESSSQGVVSTSYLLKQHAKIDRKGDAREPDVLKCSLPNSDGVAGKAIASPVSQESYATRILLARPVDVVGKPGSPLNAEERAKAFNSPGLDVSIISKTDSKMDPRPFLQSHITRLLSALGWCIGKRKRPSRKYMESIYQSPEGRLIRDFPKVWRLCGQILFANGYKVVQEGNGKEWADISHFWSDLSDTLTTIEKDMDKSDLAKALAHQWSILDPFVNVVFIDRKVGVLRKGCMVKAAPSLVNDRNVKSEDGIGKESAQKNLLAWHSDSCPVTKSASTICEGSCHDCDVQSGNRTFSECGQESMVKGQMDVSIHMNDREGMCSDGMGNQSCSMCKDRIGGVDMTDWLTCGTDCTSAQLCSCQCNDFPVTAGNIIVHGASESVSPHQDSNLVDPDDGTGHMDSIHHDEPTSAQVVTSGVLQQSRFSEEEGLQCIQASRFKTRDKAAMKKIRRKSRKISEIRSTTLSQSENIDVLGNPLESNKVEEKLIKRTKKICMKSSPLDNCLHQGVKNGTKLKSTHGNSYGPKYKQKKTTGCQIDDDDLLIAAIIKNKDFSPGATRSISKKKSCILRAGSKRKRKKGGCRLLPRNLGKLGKHYVGGKWSRMGSRTVLSWLIDAGVLSVKDVVQYRNLKDDFVIKDGVVTKDGIMCKCCNMVLSVTKFKSHAGFKLNRPCSNLFMESGKPFTLCQLQAWSAEYKSRKSGTQVVRADEDDKNDDSCGLCGDGGELICCDNCPSTFHQACLCTEDLPEGSWYCPNCTCWICGDLVNDKEASSSVGAYKCLQCEHKYHGACQQGKQTHEGLVSDAWFCSGSCQEVYSGLHSRVGINNPIADGFCWTLLRCIHEDQKVLSAQRLALKAECNSKLAVALTIMEECFQSMVDPRTGIDMIPHALYNWGSDFARLNFFGFYTVVLEKDDVLVSAASVRVHGVTVAEMPLIATCSNYRRQGMCRHLMTAIEEMLISFKVEKLVISAIPDLVETWTKGFGFIPVSKDEKQSLNKINFMVFPGTILLKKQLYKTKEADTQSGLGDAAPLTEVDICPMEDHVTELVQQSNENSYLDEVGISAELEHGESQNLQESEPSSERQGNYLDEVGISAELKHVESQKLQESEPSSEGETHDGAEGLGRAPRMVTNLSSEVGLCSDGMPFVESDRKFFPNKHAAKKEDKKTETQGSDLQEQLSKLSRGDLVPALGRGPGEVACNVQCISYVPSPDTPSQQVCELNEK >Potri.001G392801.6.v4.1 pep chromosome:Pop_tri_v4:1:41613439:41635550:-1 gene:Potri.001G392801.v4.1 transcript:Potri.001G392801.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392801.v4.1 MTSSAIDDFRGDGFEGSHQERCIFADIFFGKDTGGTGKRSIDAGVINLKSQDCKIADPSLHTNNEYSAVSTLSSPISLSIEDSDVNENSVGATASGCFTERFTFVEGTSQNKTVKRMKFAVDEPSDTEPDTLKVLTSSLLHKEIVSGTAAADMDSLSQTVLLHLVESSSQGVVSTSYLLKQHAKIDRKGDAREPDVLKCSLPNSDGVAGKAIASPVSQESYATRILLARPVDVVGKPGSPLNAEERAKAFNSPGLDVSIISKTDSKMDPRPFLQSHITRLLSALGWCIGKRKRPSRKYMESIYQSPEGRLIRDFPKVWRLCGQILFANGYKVVQEGNGKEWADISHFWSDLSDTLTTIEKDMDKSDLAKALAHQWSILDPFVNVVFIDRKVGVLRKGCMVKAAPSLVNDRNVKSEDGIGKESAQKNLLAWHSDSCPVTKSASTICEGSCHDCDVQSGNRTFSECGQESMVKGQMDVSIHMNDREGMCSDGMGNQSCSMCKDRIGGVDMTDWLTCGTDCTSAQLCSCQCNDFPVTAGNIIVHGASESVSPHQDSNLVDPDDGTGHMDSIHHDEPTSAQVVTSGVLQQSRFSEEEGLQCIQASRFKTRDKAAMKKIRRKSRKISEIRSTTLSQSENIDVLGNPLESNKVEEKLIKRTKKICMKSSPLDNCLHQGVKNGTKLKSTHGNSYGPKYKQKKTTGCQIDDDDLLIAAIIKNKDFSPGATRSISKKKSCILRAGSKRKRKKGGCRLLPRNLGKLGKHYVGGKWSRMGSRTVLSWLIDAGVLSVKDVVQYRNLKDDFVIKDGVVTKDGIMCKCCNMVLSVTKFKSHAGFKLNRPCSNLFMESGKPFTLCQLQAWSAEYKSRKSGTQVVRADEDDKNDDSCGLCGDGGELICCDNCPSTFHQACLCTEDLPEGSWYCPNCTCWICGDLVNDKEASSSVGAYKCLQCEHKYHGACQQGKQTHEGLVSDAWFCSGSCQEVYSGLHSRVGINNPIADGFCWTLLRCIHEDQKVLSAQRLALKAECNSKLAVALTIMEECFQSMVDPRTGIDMIPHALYNWGSDFARLNFFGFYTVVLEKDDVLVSAASVRVHGVTVAEMPLIATCSNYRRQGMCRHLMTAIEEMLISFKVEKLVISAIPDLVETWTKGFGFIPVSKDEKQSLNKINFMVFPGTILLKKQLYKTKEADTQSGLGDAAPLTEVDICPMEDHVTELVQQSNENSYLDEVGISAELEHGESQNLQESEPSSERQGNYLDEVGISAELKHVESQKLQESEPSSEGETHDGAEGLGRAPRMVTNLSSEVGLCSDGMPFVESDRKFFPNKHAAKKEDKKTETQGSDLQEQLSKLSRGDLVPALGRGPGEVACNVQCISYVPSPDTPSQQVCELNEK >Potri.001G392801.8.v4.1 pep chromosome:Pop_tri_v4:1:41613451:41635519:-1 gene:Potri.001G392801.v4.1 transcript:Potri.001G392801.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392801.v4.1 MTSSAIDDFRGDGFEGSHQERCIFADIFFGKDTGGTGATASGCFTERFTFVEGTSQNKTVKRMKFAVDEPSDTEPDTLKVLTSSLLHKEIVSGTAAADMDSLSQTVLLHLVESSSQGVVSTSYLLKQHAKIDRKGDAREPDVLKCSLPNSDGVAGKAIASPVSQESYATRILLARPVDVVGKPGSPLNAEERAKAFNSPGLDVSIISKTDSKMDPRPFLQSHITRLLSALGWCIGKRKRPSRKYMESIYQSPEGRLIRDFPKVWRLCGQILFANGYKVVQEGNGKEWADISHFWSDLSDTLTTIEKDMDKSDLAKALAHQWSILDPFVNVVFIDRKVGVLRKGCMVKAAPSLVNDRNVKSEDGIGKESAQKNLLAWHSDSCPVTKSASTICEGSCHDCDVQSGNRTFSECGQESMVKGQMDVSIHMNDREGMCSDGMGNQSCSMCKDRIGGVDMTDWLTCGTDCTSAQLCSCQCNDFPVTAGNIIVHGASESVSPHQDSNLVDPDDGTGHMDSIHHDEPTSAQVVTSGVLQQSRFSEEEGLQCIQASRFKTRDKAAMKKIRRKSRKISEIRSTTLSQSENIDVLGNPLESNKVEEKLIKRTKKICMKSSPLDNCLHQGVKNGTKLKSTHGNSYGPKYKQKKTTGCQIDDDDLLIAAIIKNKDFSPGATRSISKKKSCILRAGSKRKRKKGGCRLLPRNLGKLGKHYVGGKWSRMGSRTVLSWLIDAGVLSVKDVVQYRNLKDDFVIKDGVVTKDGIMCKCCNMVLSVTKFKSHAGFKLNRPCSNLFMESGKPFTLCQLQAWSAEYKSRKSGTQVVRADEDDKNDDSCGLCGDGGELICCDNCPSTFHQACLCTEDLPEGSWYCPNCTCWICGDLVNDKEASSSVGAYKCLQCEHKYHGACQQGKQTHEGLVSDAWFCSGSCQEVYSGLHSRVGINNPIADGFCWTLLRCIHEDQKVLSAQRLALKAECNSKLAVALTIMEECFQSMVDPRTGIDMIPHALYNWGSDFARLNFFGFYTVVLEKDDVLVSAASVRVHGVTVAEMPLIATCSNYRRQGMCRHLMTAIEEMLISFKVEKLVISAIPDLVETWTKGFGFIPVSKDEKQSLNKINFMVFPGTILLKKQLYKTKEADTQSGLGDAAPLTEVDICPMEDHVTELVQQSNENSYLDEVGISAELEHGESQNLQESEPSSERQGNYLDEVGISAELKHVESQKLQESEPSSEGETHDGAEGLGRAPRMVTNLSSEVGLCSDGMPFVESDRKFFPNKHAAKKEDKKTETQGSDLQEQLSKLSRGDLVPALGRGPGEVACNVQCISYVPSPDTPSQQVCELNEK >Potri.001G192700.2.v4.1 pep chromosome:Pop_tri_v4:1:17765402:17770417:-1 gene:Potri.001G192700.v4.1 transcript:Potri.001G192700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G192700.v4.1 MHGSSGCLGCYNKPTLITSVGEQSKGPKVKCETVKKPSISEDFWTTSTCDMDYSAVQSQGSISSISIANQTLDQHGGSGSINNVSEFVNHGLLLWNQTRHCWVGNKRSGNEEQLPQEPKLNWNATYESLLGSNKPFPQPIPLTEMVDFLVDIWEQEGMYD >Potri.016G085800.2.v4.1 pep chromosome:Pop_tri_v4:16:6733109:6734923:-1 gene:Potri.016G085800.v4.1 transcript:Potri.016G085800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085800.v4.1 MGKTRGMGAGRKLKSHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Potri.013G130300.1.v4.1 pep chromosome:Pop_tri_v4:13:13678242:13679136:1 gene:Potri.013G130300.v4.1 transcript:Potri.013G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130300.v4.1 MEQEEQETPTPRSPRTPRASSTAYSLVLAIMSKRRTWVCLFVIVYAILLSSSWNWLKTILSWYKQQESQNSASGWPALYASVLLGAVFGLLSMVAALAVAVPATLVIWITVVVMLTFFGKPRRVLVVEGRKITKEIIGFVFKTLLKEGNAVAAVCAVLGYFVIFRRNCEVD >Potri.010G254600.1.v4.1 pep chromosome:Pop_tri_v4:10:22569822:22571741:1 gene:Potri.010G254600.v4.1 transcript:Potri.010G254600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254600.v4.1 MGQAQSEVAPSDTADQMEPHFSSSPSMESLLAEAVAYGNDENESLEAKAQKALECPCIADLRNGPCGVQFSESFLCFLKSTSEEKGSDCVHPFVALQNCIKANPNAFSKDILEEAEEKKEEEPAQEYKIIPPIWSRESQSPKSKL >Potri.006G183800.1.v4.1 pep chromosome:Pop_tri_v4:6:19001178:19001675:-1 gene:Potri.006G183800.v4.1 transcript:Potri.006G183800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183800.v4.1 MAGSDQAARHSTEVLHQRRKLSLCPLKTAIGGVAVVAAIGYFVLYTKKKPEASALDVAKVTVGVANPANTHPRN >Potri.019G070651.1.v4.1 pep chromosome:Pop_tri_v4:19:11166133:11173601:1 gene:Potri.019G070651.v4.1 transcript:Potri.019G070651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070651.v4.1 MASSSAVAHKWKYDVFLSFRGKDTRNNFTSHLYDALCRKKIKTFIDDRLERGGEITPALLKTIEESRISVVIFSKNYASSPWCVDELVKILECKETYGQIVLPVFYHVNPSDVDEQTGSFGNAFAELEKNFKGKMDKVPRWRADLTNAASISGWDSQVTSPESKLVTDVVQTIWKRLNRASPSKLRGLVGADSRIEQINKLLSIVPSDVRTIGIWGMGGIGKTTIAGAFYDSFSSQYEGHHFLPNIRQESEKGRLNDLRDELLSKLLEEENLRVGTPHIPTFIRDRLCQKKVLLVLDDVNDVRQFQHLNEVPLIGAGSVVVVTSRDKQVLKNVVDEIYEVGELNSHEALQLFSLNAFKGNHPPKAYMELSITAINYAKGNPLALRVLGSFLFNRERHFWESQLNEIESFPELNICDLLRIGFDALRDNNTKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHEVVRKESAYELRKQSRLWNPKDAYQVLTNNLGTGKVEGIFLDVSKIRTEKVEGMFLDVSEIREIELSSTAFARMYNLRLLKIYNSAAGDKCTVHLPSGLESLSHELRYLHWDGYPLTSLPCNFRPQNLVELNLSSSKVKQLWRGDQNLGNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCKSLVKFPSSIQHLDKLVDLDLRGCKRLINLPSRINSSCLETLNLSGCANLKKCPETAGKLTYLNLNETAVEELPQSIGELSGLVTLNLKNCKLVLNLPENIYLLKSLLIVDISGCSSISRFPDFSWNIRYLYLNGTAIEELPSSIGGLRELIYLDLVGCNRLKNLPSAVSKLGCLEKLDLSGCSSITEFPKVSRNIRELYLDGTAIREIPSSIECLCELNELHLRNCKQFEILPSSICKLKKLRRLNLSGCLQFRDFPEVLEPMVCLRYLYLEQTRITKLPSPIGNLKGLACLEVGNCKYLEDIHCFVGLQLSKRHRVDLDCLRKLNLDGCSLSEVPDSLGLLSSLEVLDLSGNNLRTIPISINKLFELQYLGLRNCKRLQSLPELPPRLSKLDVDNCQSLNYLVSRSSTVVEGNIFEFIFTNCLRLPVVNQILEYSLLKFQLYTKRLYHQLPDVPEGACSFCLPGDVTPEWFSHQSWGSIATFQLSSHWVNSEFLGFSLCAVIAFRSISHSLQVKCTYHFRNEHGDSHDRYCYLYGWYDEKRMDSAHIFVGFDPCLMAKKDYMFSEYSEVSVEFQLEDINGNILPLDLCQVHECGVRLLYEDEKHRFDLIMPGYYRFYPLDRDGLEAMFQAKRARFQGIRWEDYSVMCRTYEFLVDHQEELDVPAWACSFCLPGDVTPEWFSHQSWGSTVTFLLSSHWANSKFLGFSLCVVIAFRSVSHSLQVKCTYHFRNKHGDSHDLYCYLHGWYDEKRIHSAHIFIGFDPCLVAKEDYMFSEYSEVSIEFQVEDMNGNLLPIDLCQVHECGVRVLYEDEKHRFDLIMSGYFRIYPLDRDGLEAMFQAKRARFQGMRWEDYSVMRRTYEFLADHQEEPDVPTGACSFCLPGDVTPEWFSHQSWGSTVTFQISSHWANSKFLGFSLCAVIAFCFVSHRLQVKCTYRFRNKHGDSHDLYCYLHGWYDEKRIDSAHIFVGFDPCLVSKEDYMFSEYNEVSVEFQPEDMNGNLLPLELCQVHECGVRVLYEGEKHRFDLIIPGYFRFYPLDRNGLEAMFQAKRARFQGMRWEDYSVMCRTYKFLADCQEEPDVLARACSFCLPGDVTPEWFSHQSWGSTVTFQISSDWANSEFLGFSLCAVIAFCSVSHRLQVKCAYHFRNKHGDSHDLYCYLHGWYDERRIDSNHIFVGFDPCLVAKEKNMFSKYSEVSIKFYLVDMNDNLLPLDCCQVVECGVRVLHAHDGLEAMFQAKIARMLDMRREDYFGYCILW >Potri.008G145000.1.v4.1 pep chromosome:Pop_tri_v4:8:9872878:9877622:1 gene:Potri.008G145000.v4.1 transcript:Potri.008G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145000.v4.1 MEELSVQNDTATMDKAAAVVLDIASLAQPLDRSSGSPKMTRALSRKWSYRAERWTGTEDEDADEPAKKLPIKGSSQLEPLKQPLVINKALGPSLTIPSGPNLIDPVDGWNKRFNRLMAINPRKILFMFATMSSMGTLILIYFTLAINRST >Potri.018G043000.3.v4.1 pep chromosome:Pop_tri_v4:18:3421301:3425297:-1 gene:Potri.018G043000.v4.1 transcript:Potri.018G043000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043000.v4.1 MWIEGEGMLHAVCFDKESDDGETWTVLYNNRHVETETYKIEIQRDKPSFLPAIEGSPPAILLSYLLNMLRFGKVNKDLSNTNVFEHSGKFYSIAENHIPQEIDIFSLQTLGDWDINGTWHRPFNSHPKRAPGTGELVVFGVDAMKPFMELGVVSADGKRLVHKVDLKFDRCTLSHDMGVTERYNVIMDFPLTIDIQRLIKGGPLIKFEKEEYARIGIMPRCGNADSIRWFEVEPNCTFHILNCFEEGDEVVVRGCRSLESIISESCDMDLDKFEWVSGRLRSKDPVDQQDAKHFKNDELLFCRSYEWRLNMETGEVKERNLTGTLLSIEFPMINPNFNGVKNKFGYAQTVHGLASATSGMPKFGGLAKLYFEETANKEWEESEGHIKVEYHEFVGNTFCTGAAFVPKEGWLEEDDGWIITFVHDEDTDTSKVYIIDTKNFTSEPVAKITLPCRVPYGFHGAFMPTPSHE >Potri.018G043000.4.v4.1 pep chromosome:Pop_tri_v4:18:3421306:3425297:-1 gene:Potri.018G043000.v4.1 transcript:Potri.018G043000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043000.v4.1 MHDNKFQLRFGKVNKDLSNTNVFEHSGKFYSIAENHIPQEIDIFSLQTLGDWDINGTWHRPFNSHPKRAPGTGELVVFGVDAMKPFMELGVVSADGKRLVHKVDLKFDRCTLSHDMGVTERYNVIMDFPLTIDIQRLIKGGPLIKFEKEEYARIGIMPRCGNADSIRWFEVEPNCTFHILNCFEEGDEVVVRGCRSLESIISESCDMDLDKFEWVSGRLRSKDPVDQQDAKHFKNDELLFCRSYEWRLNMETGEVKERNLTGTLLSIEFPMINPNFNGVKNKFGYAQTVHGLASATSGMPKFGGLAKLYFEETANKEWEESEGHIKVEYHEFVGNTFCTGAAFVPKEGWLEEDDGWIITFVHDEDTDTSKVYIIDTKNFTSEPVAKITLPCRVPYGFHGAFMPTPSHE >Potri.018G043000.1.v4.1 pep chromosome:Pop_tri_v4:18:3421301:3425296:-1 gene:Potri.018G043000.v4.1 transcript:Potri.018G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043000.v4.1 MTYTSSMAFHVNCSVQSRPSPFENIDRFKNRLSSSYKPLLKELQQLPMQLDVSKSIRNASVKLLDAFVDSLFQFADQPVLPSQSNFAPVDELNEPLAITSIEGKVPYDFPEGVYIRNGPNPLFGGLKSTSSVFGKTGHMWIEGEGMLHAVCFDKESDDGETWTVLYNNRHVETETYKIEIQRDKPSFLPAIEGSPPAILLSYLLNMLRFGKVNKDLSNTNVFEHSGKFYSIAENHIPQEIDIFSLQTLGDWDINGTWHRPFNSHPKRAPGTGELVVFGVDAMKPFMELGVVSADGKRLVHKVDLKFDRCTLSHDMGVTERYNVIMDFPLTIDIQRLIKGGPLIKFEKEEYARIGIMPRCGNADSIRWFEVEPNCTFHILNCFEEGDEVVVRGCRSLESIISESCDMDLDKFEWVSGRLRSKDPVDQQDAKHFKNDELLFCRSYEWRLNMETGEVKERNLTGTLLSIEFPMINPNFNGVKNKFGYAQTVHGLASATSGMPKFGGLAKLYFEETANKEWEESEGHIKVEYHEFVGNTFCTGAAFVPKEGWLEEDDGWIITFVHDEDTDTSKVYIIDTKNFTSEPVAKITLPCRVPYGFHGAFMPTPSHE >Potri.001G141801.1.v4.1 pep chromosome:Pop_tri_v4:1:11611085:11613298:1 gene:Potri.001G141801.v4.1 transcript:Potri.001G141801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141801.v4.1 MVTYKVLCSVFFALLGLGICTATRALLNLEEIPGHIPVVGYGTGHGAAAGGGGGVEGYGGGGGGGSGGGGGGGYGAVGEHGAGYGGGGGEGGGAGYGGAGGHGGGGGAGGGGGAGYGVGGDHGAGYGSGGGSGEGGGAGYGGAGGHGGGGGSGGGGGAGYGAGGEHGVGYGSGGGSGEGGGAGYGAAAGHGGGGGSGGGGGAGYGVGGDHGAGYGSGSGSGEGGGAGYGAGSGQGGGGGSGGGGGAGYGSGGEHGAGYGSGGGSGEGGGAGYGAAAGHGGGGGSGGGGGAGYGGEHGAGYGSGGGSGEGGGAGYGAGGGGGGGSGGGYGVGGDNGAGYGGGKGGGAGGGYGAGGEHGGGYGGGGGSGGGGGGGYASGGAHGGGYGGGEGGGHGGGAEGGHAGGGGGGFGGGGGGGYGAGSEHGVGYGGGGGHGTGGYAP >Potri.019G049100.4.v4.1 pep chromosome:Pop_tri_v4:19:8087668:8090993:-1 gene:Potri.019G049100.v4.1 transcript:Potri.019G049100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049100.v4.1 MGNPPRTRKRVRGIRRAPDGSAFENCNNCGVLVAIALADLHECEAGTKNNVKRFKGLDGKQNVVQQSFCDQPRSPFRLFMEDFMKTGKIWNIIDIDRKGFETWRNMSKEERQPYITRADEINSAHVKCLIQDIDHMSEVNDEADSAIVGKFDPFYEHYEHCDNSDSCYSFQYKDFESLNTWEWEMVRTWMAKSSG >Potri.019G049100.1.v4.1 pep chromosome:Pop_tri_v4:19:8087668:8090993:-1 gene:Potri.019G049100.v4.1 transcript:Potri.019G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049100.v4.1 MGNPPRTRKRVRGIRRAPDGSAFENCNNCGVLVAIALADLHECEAGTKNNVKRFKGLDGKQNVVQQSFCDQPRSPFRLFMEDFMKTGKIWNIIDIDRKGFETWRNMSKEERQPYITRADEINSAHVKCLIQDIDHMSEVNDEADSAIVGKFDPFYEHYEHCDNSDSCYSFQYKDFESLNTWEWEMVRTWMAKSSG >Potri.014G003401.1.v4.1 pep chromosome:Pop_tri_v4:14:258187:259937:1 gene:Potri.014G003401.v4.1 transcript:Potri.014G003401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003401.v4.1 MVPAEVRLLTRLQTLPFFVVGPNHKIEELGCLNELRGALKISKLEQVRDREEAEKAKLREKRMNKLVLKWSDDEGNNSVNSEDAVEGLQPHPDIKSLKIKGYGDEYFPSWMSALPLNNLTVLRLKDCSKCRQLPTLGCLPRLKIIEIKGMSTIKCIGNEFYSSGSAAVLFPALKELSLNSIGGLEEWIVSGGEVVAVFPCLE >Potri.008G119800.1.v4.1 pep chromosome:Pop_tri_v4:8:7694104:7695436:-1 gene:Potri.008G119800.v4.1 transcript:Potri.008G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119800.v4.1 MDELLNHKKRVRDGSDESDLDFPEVKKIRDDLFGLLDDSDPDSLGQDLDSVMKSFEQEISASSSSPVPVVDLTSESGESQPDLGFLLEASDDELGLPPSSINLSSGEVKGGVETELARVDSAQSSGVGGELWGFEDQIPTYDSFGLGVGDSNYSSDYVGFDDSLFEYSNVCFDSSDFSDLSWRLGGMPAE >Potri.015G030600.1.v4.1 pep chromosome:Pop_tri_v4:15:2338434:2342915:-1 gene:Potri.015G030600.v4.1 transcript:Potri.015G030600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030600.v4.1 MASLSLTQIRGSNSVTEFTRLRLKTQRGRSKIGFLKVVGSQGQNQGEPDLSVTVNGLHMPNPFVIGSGPPGTNYTVMKRAFDEGWGAVIAKTVSLDAAKVINVTPRYARLRAGANGSAKGQIIGWENIELISDRPLETMLKEFKQLKEEYPDRILIASIMEEYNKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEVCGWINAKATVPVWAKMTPNITDITQPARVSLKSGCEGVAAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKAVHPIALGKVMSIAKMMKSEFDLEQYSLSGIGGVETGSDAAEFILVGANTVQVCTGVMMHGYGLVKKLCDELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAIQQRKAIKKGLQSDKDWTGDGFVKETESMVSN >Potri.014G182166.1.v4.1 pep chromosome:Pop_tri_v4:14:14269212:14271685:-1 gene:Potri.014G182166.v4.1 transcript:Potri.014G182166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182166.v4.1 MKGLLEFNSANGSPFAINPYPYFAYRSDTRPETLALCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPVMPGKSVDTYLFALYDEELKPGPGSERSFGLFKTDLTVVYDVGLSTNSQNKSLEATPQPPPATAVTATSTSTNNNDSSTSMGTSTSTGSSMNTISIINKVYLIRIFNLGLLSEYMELSLIFFFFYDLHT >Potri.004G006900.1.v4.1 pep chromosome:Pop_tri_v4:4:424320:425083:1 gene:Potri.004G006900.v4.1 transcript:Potri.004G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006900.v4.1 MKSSTTLFTLLVLIALANIQSATPMVKQSHVSIEKHSTNDLPLQRDEEQPHLLRSGRFLASKVTMKCDKYPPICRAKGSAGPDCCRKQCVNVMSDKLNCGKCGKKCKYSEMCCQGKCVNPSVDEKHCGKCNQKCKKGSSCLYGLCSYAN >Potri.003G151400.1.v4.1 pep chromosome:Pop_tri_v4:3:16422355:16426573:-1 gene:Potri.003G151400.v4.1 transcript:Potri.003G151400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151400.v4.1 MEFTEAYKQTGPCCFSPNSRYIAVAVDYRLVIRDTLSFKVVQLFSCLDKISHVEWANDSEYILCGLYKRPMIQAWSLTQPEWTCKIDEGPAGIAYARWSPDSRHILTTSDFQLRLTVWSLLNTACVHVQGPKHVSKGVSFTKDGKFAAICTRRDCKDYVNLLSCHTWEIMGAFAVDTLDLADIEWSPDDSAIVIWDSPLEYKVLIYSPDGRCLSKYQAYESGLGVKSVSWSPCGQYLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRGPCCAAVFKEVDEPLHLNMSELCLSDEFLQGNSDVSEGHFRVMYEVTEVPISLPFQKPPADKPNPKQGIGLMSWSKDSRYIYTRNDSMPTALWIWDIHHLELAAILVQKDPIRAAAWDPTCPRLVLCTGSSHLYMWTPSGAYCVSNPLPQFNINDLKWNSDGSCLLLKDKDSFCCAAVSLMPESSEYSSDD >Potri.009G065201.1.v4.1 pep chromosome:Pop_tri_v4:9:6594581:6595444:-1 gene:Potri.009G065201.v4.1 transcript:Potri.009G065201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065201.v4.1 MKLNKVTKILIVVTIITLLVTSIAAAGGGGGGGGRGGGGGGGGGRGGGGGKGGGPARGGGTPAKGSSPGTRNVGGGGTSTVPGGAAGGGGPVLGGSGHAGGNSGSVSVARDPASMLLHVLVMFCIFFVLIMY >Potri.016G034100.1.v4.1 pep chromosome:Pop_tri_v4:16:1969262:1973486:-1 gene:Potri.016G034100.v4.1 transcript:Potri.016G034100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034100.v4.1 MDILKSQCADMSAKCEGSLKGRNRKMFNEIAAVVKVQQMYRGYRTRRRMADSAVVAQELWWQAIYHADLNENTVSFFKNSKSESAASRWKRVGSHASKVGKGLSKNAESEKLYFEHWIEAIDPRHRYGAFLYMYFKKWSETNSSQPFFYWLDVGDGKEVEVEECPRSKLQENGIKYLGPKEREQYECIIIEGKFFHKQSRNLVDTKGKWIFVLSPAKRLYAGQKKRGKFHHSSFLAGGATIAAGTVIIENGNLKFISPMSGHYRPTQEKFESFLSFFKDNGVNLDEVQVNQAIEYSSASDYAAKLSGSGSGKMMEVANINLEPPPTMRTPHEEKDSKLQEVEKETRDENKRTLSEGLEEPKATIFDLNKWSTGAGPRIGSIADYPAEVREQALEFVNLSSKTPPASML >Potri.014G001500.1.v4.1 pep chromosome:Pop_tri_v4:14:168642:176274:1 gene:Potri.014G001500.v4.1 transcript:Potri.014G001500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001500.v4.1 MWRLKIAEKGSNPYIFSTNDFVGRQIWAYDPNAGTPEEREQVEEARRNFTKNRSEVKPSSDLLWQYQILREKNFKQTIPAVRVEDGEEVTYEKTTTALRRSANFLSALQASDGHWPAENSGVLFFLPPFVFCFYITGHLNTMFPPEYRKEIFRYIYNHQNEDGGWGLHIESHSNMFCTTFSYICLRMLGVGPDEEACARGRKWILDRGGVTSIPSWGKTWLSILGLFDWLGCNPMPPEFWIIPSTLPIHPAKMWCYTRLVYMPMSYLYGKRFVGPITPLILSLREELYLQPYESVNWKHVRHLCAKEDLYYPHTLIQDFLWDSLYLMSEPLLTRWPFNQLIRKKALEVTMKHIHYEDESSRYITIGCIEKVLCMLSCWVEDPDGVAFKRHLARVPDFLWVGEDGMKVQTFGSQLWDATFGFQALYSSDLGEEIKPTLAKAFDFIKKCQVVDNPAGDFRGMYRHISRGSWTFSDQDHGWQVSDCTAEALKCVLFAQMLPSEYVGEKLDPRMIFEAVNIILSLQGPRGGLSGWETIRGEMWLEKLNPLEFAENIVIEHDYTECTSSAIQVFVMFMKMYPGHRKKEIETSIARAVEYLKMIQMPDGSWYGNWGVCFIYSTWYALVGLAAAGKTYYNNQAMRRGVDFLLRVQSPDGGWGESYLSCPNKIYTSLEENRSTYVQSAWAMLGLIHAGQADRDPTPLHRAAKLLINSQAEDGSYPQQEITGVFKNNCMLHYPIHKNVFPLWALAEYRKKFPFPSKKL >Potri.013G042100.1.v4.1 pep chromosome:Pop_tri_v4:13:2901001:2903529:1 gene:Potri.013G042100.v4.1 transcript:Potri.013G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042100.v4.1 MQQGGGSDKEVTWEDQQNINNFGRLNNRLHELEDEIKIAKETNDNLEDASNELILTDEEVVRFQIGEVFAHVPRDEVETRIEQMKEVTSKNMEKLDEEKEFVVAQMAKLKKILYGKFGDSINLEEN >Potri.006G171400.7.v4.1 pep chromosome:Pop_tri_v4:6:17402227:17406339:-1 gene:Potri.006G171400.v4.1 transcript:Potri.006G171400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171400.v4.1 MAYGNKLGKYQVGRTIGEGTFAKVKLAVDTTNGHQVAIKIMDKNMVMQSGLKNQVQREIRTMKLLHHPNIVRIHEVIGSKTKIYMVMEYISGGQLADKLSYAKKLNESEARKIFHQLIDAVDYCHTRGVYHRDLKPENLLLDSKGNLKVSDFGLSAFHKPASMLTTACGSPCYVAPELIANKGYEGAAADVWSCGIILFELLSGYLPFDERNLIMLYKKISTAEYTCPLWFTKSQRKLISRILDPNPRKRITIPEIIEDEWFRIDYVPSCGYESDEKIFLDDVNAAFDADEDNASETETPESSSFINAFQLIAMSHDLDLSGLFEEQEDKKQKTRLGSEHSVHETIRKIEVAALDVSLSVERMKNSKMKMNQKLKMKRCTRSYYDLSAEVIEVAPMNCVVEISKSVGDLRLYKEFCKSLSSLLTKKADVSLQTQGSEKTSSNKSTQERRSCEEQIEKETNDLQGYSTS >Potri.005G084651.1.v4.1 pep chromosome:Pop_tri_v4:5:5836185:5837427:1 gene:Potri.005G084651.v4.1 transcript:Potri.005G084651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084651.v4.1 MLCGLCLGATSLGWVSFLSGLAALGGLLLFMSFSFFSWLSVFSLFGWLGLLGVFFSLEPSPEPFHGRLLSHSNKSLDRWMLSCLFFSDGVMKMHMDVMIIFLPEKTLTMFRYFFYIKE >Potri.018G120901.1.v4.1 pep chromosome:Pop_tri_v4:18:13473795:13475326:-1 gene:Potri.018G120901.v4.1 transcript:Potri.018G120901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120901.v4.1 MLEQEVMIFTRFRCRMFLKAPHSSMKLLDAPRRCRLRALIATSVPSSSMSPLYTAKINYHLQSSCISGRESNFRGDEMLKNL >Potri.001G217200.1.v4.1 pep chromosome:Pop_tri_v4:1:22630953:22633372:1 gene:Potri.001G217200.v4.1 transcript:Potri.001G217200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217200.v4.1 MEVGSGSGDKAGFFTQGRWWLKALPAKFKANMVAMVRNIKKLGQDDPRRVIHSLKVGLALTLVSMFYYCQPLYSNFGVTAMWAIMTVVVVFEFSVGATLGKGLNRGMATLMAGGLGVGAHHLANLSGHIGEPILLGFFVFLQATISTFLRFFPKIKSRYDYGMLIFILTFSLISVSGYRDDEILEFAHKRLSTISIGGSACVIISTVVCPVWAGEDLHNLIALNIEKLGNFLEGFGDEYFKRTGGEESKDDKKFLEGYKSVLNSKNSEESLANFAAWEPGHGRFPFRHPWKLYLKVGTLARECAYRIEALNGCLNADIQASSEVGSIIQEACTNLSIESGKALKELALAIKIMVQVQPSSADSHIENAKSAAKNLKSLLKSGIWEDIDLLKVIPGVTVASILIDVVTCTEKIAESIHELASKAQFKSVEPTLSTEKLHSGQIQSVKSAQMVNCSHVVINVGESTLPSPPSETSSAPNASKQRMEV >Potri.001G370800.1.v4.1 pep chromosome:Pop_tri_v4:1:38856382:38860187:1 gene:Potri.001G370800.v4.1 transcript:Potri.001G370800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370800.v4.1 MGLLDSLLGNNARKFIKRKDSDAGEAGQALEELRGSLYNDIRTSEGAKRQQQRFCGPAVAMTFNFIAAVGVIMANKLVMKKVGFNFPIFLTLIHYSTSWILLGILRALSLLPVSPPSKATPFAPLFSLGVVMSFASGLANASLNHNSVGFYQMAKIAVTPSIVLAEFILFRKTISYKKVLALVVVSGGVAVATVKDLEFNFFGACIAIAWIIPSSINKILWSNLQQHANWTALALMWKTTPVTIFFLVALMPCLDPPGLLSFKWDLYSSSAIFLTALLGFLLQWSGALALGATSATSHVVLGQFKSCVILLGGFLIFGSDPGFVSICGALTALAGMSVYTSLNLQESRENLIFQLQTQTLPLSKPKTKPETTTADDQT >Potri.001G370800.2.v4.1 pep chromosome:Pop_tri_v4:1:38858440:38860187:1 gene:Potri.001G370800.v4.1 transcript:Potri.001G370800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370800.v4.1 MKKVGFNFPIFLTLIHYSTSWILLGILRALSLLPVSPPSKATPFAPLFSLGVVMSFASGLANASLNHNSVGFYQMAKIAVTPSIVLAEFILFRKTISYKKVLALVVVSGGVAVATVKDLEFNFFGACIAIAWIIPSSINKILWSNLQQHANWTALALMWKTTPVTIFFLVALMPCLDPPGLLSFKWDLYSSSAIFLTALLGFLLQWSGALALGATSATSHVVLGQFKSCVILLGGFLIFGSDPGFVSICGALTALAGMSVYTSLNLQESRENLIFQLQTQTLPLSKPKTKPETTTADDQT >Potri.001G464200.1.v4.1 pep chromosome:Pop_tri_v4:1:48984390:48986600:1 gene:Potri.001G464200.v4.1 transcript:Potri.001G464200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464200.v4.1 MCYNHLQKILLSLKTKALNIKFLDQILTHTITTGFTYSTPTWNCLIRAYSRSPTAPIKAILVYNFFIKTCSTRPDNYTYPCLLKACSRLFTDSNGKQVHTHVIKTGLDSDIYVQNALVHFYGSVGNSTDACFLFDRMPDRDVASWNSLMGIYNTNNSFTEVMVLFKKLMCGCVKADKISLVIVLSACAQAQMEGLEYGRSVHGYVIKVGFGCFLNVDNALLNFYIKCKEIDDASKMFDEFVHEGDVVSYTILINAYVEMGLIDLARDVFDEIVDKDRVLWNLMVHAYVKARCPNEALDLFEKMDSAGVIPDENTMVSVLLACASISDLQCARLLHRFINRNSNVRQDVFLKTALITMYSKCGSVEEALVTFYKMEYTDVFTWTAMIEGLANNGYGNEALSMFNRMENQGIRPNESTLVSVLTACIHSGLVKEGCQLFKSMVSDYKMQLKMEHFGCLIDLLSRAGLLHQAEEFIQLLLPEERLIAYKTLLSACMKYSEFDFGKKVANEMSKLSSNSHETRILLSNFYALAGQWAQVAETRKFIKEFDTRKAPGMSFVDVKP >Potri.016G130000.5.v4.1 pep chromosome:Pop_tri_v4:16:13283492:13291226:1 gene:Potri.016G130000.v4.1 transcript:Potri.016G130000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130000.v4.1 MADQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTVYGTLPIVRTILVREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADIGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAPERLGNDEIIIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIVGGDGPKRVRLEEMRERHSLQDRVEMLGSVPHAHVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPEDMIVLAEPDPSDMVRATGKAISLLPNIDPQQMHNRMKKLYDWHDVAKRTEIVYDRALKCPDQNLLERLSRYLSCGSWAGKIFCFVMIIDFLLWRLLQLWQPTEEIEEVPEFTSPRHQDEEIISNFN >Potri.016G130000.11.v4.1 pep chromosome:Pop_tri_v4:16:13284500:13291226:1 gene:Potri.016G130000.v4.1 transcript:Potri.016G130000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130000.v4.1 MADQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTVYGTLPIVRTILVREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADIGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAPERLGNDEIIIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIVGGDGPKRVRLEEMRERHSLQDRVEMLGSVPHAHVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPEDMIVLAEPDPSDMVRATGKAISLLPNIDPQQMHNRMKKLYDWHDVAKRTEIVYDRALKCPDQNLLERLSRYLSCGSWAGKIFCFVMIIDFLLWRLLQLWQPTEEIEEVPEFTSPRHQDEEIISNFN >Potri.016G130000.4.v4.1 pep chromosome:Pop_tri_v4:16:13283554:13291226:1 gene:Potri.016G130000.v4.1 transcript:Potri.016G130000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130000.v4.1 MADQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTVYGTLPIVRTILVREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADIGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAPERLGNDEIIIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIVGGDGPKRVRLEEMRERHSLQDRVEMLGSVPHAHVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPEDMIVLAEPDPSDMVRATGKAISLLPNIDPQQMHNRMKKLYDWHDVAKRTEIVYDRALKCPDQNLLERLSRYLSCGSWAGKIFCFVMIIDFLLWRLLQLWQPTEEIEEVPEFTSPRHQDEEIISNFN >Potri.016G130000.10.v4.1 pep chromosome:Pop_tri_v4:16:13284500:13291226:1 gene:Potri.016G130000.v4.1 transcript:Potri.016G130000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130000.v4.1 MADQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTVYGTLPIVRTILVREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADIGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAPERLGNDEIIIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIVGGDGPKRVRLEEMRERHSLQDRVEMLGSVPHAHVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPEDMIVLAEPDPSDMVRATGKAISLLPNIDPQQMHNRMKKLYDWHDVAKRTEIVYDRALKCPDQNLLERLSRYLSCGSWAGKIFCFVMIIDFLLWRLLQLWQPTEEIEEVPEFTSPRHQDEEIISNFN >Potri.016G130000.9.v4.1 pep chromosome:Pop_tri_v4:16:13283761:13291226:1 gene:Potri.016G130000.v4.1 transcript:Potri.016G130000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130000.v4.1 MADQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTVYGTLPIVRTILVREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADIGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAPERLGNDEIIIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIVGGDGPKRVRLEEMRERHSLQDRVEMLGSVPHAHVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPEDMIVLAEPDPSDMVRATGKAISLLPNIDPQQMHNRMKKLYDWHDVAKRTEIVYDRALKCPDQNLLERLSRYLSCGSWAGKIFCFVMIIDFLLWRLLQLWQPTEEIEEVPEFTSPRHQDEEIISNFN >Potri.016G130000.8.v4.1 pep chromosome:Pop_tri_v4:16:13284741:13291226:1 gene:Potri.016G130000.v4.1 transcript:Potri.016G130000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130000.v4.1 MADQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTVYGTLPIVRTILVREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADIGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAPERLGNDEIIIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIVGGDGPKRVRLEEMRERHSLQDRVEMLGSVPHAHVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPEDMIVLAEPDPSDMVRATGKAISLLPNIDPQQMHNRMKKLYDWHDVAKRTEIVYDRALKCPDQNLLERLSRYLSCGSWAGKIFCFVMIIDFLLWRLLQLWQPTEEIEEVPEFTSPRHQDEEIISNFN >Potri.016G130000.6.v4.1 pep chromosome:Pop_tri_v4:16:13283729:13291226:1 gene:Potri.016G130000.v4.1 transcript:Potri.016G130000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130000.v4.1 MADQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTVYGTLPIVRTILVREKISLVHGHQAFSTLCHEALMHARTMGYKVVFTDHSLYGFADIGSIHMNKVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAPERLGNDEIIIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIVGGDGPKRVRLEEMRERHSLQDRVEMLGSVPHAHVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPEDMIVLAEPDPSDMVRATGKAISLLPNIDPQQMHNRMKKLYDWHDVAKRTEIVYDRALKCPDQNLLERLSRYLSCGSWAGKIFCFVMIIDFLLWRLLQLWQPTEEIEEVPEFTSPRHQDEEIISNFN >Potri.016G130000.12.v4.1 pep chromosome:Pop_tri_v4:16:13283550:13291226:1 gene:Potri.016G130000.v4.1 transcript:Potri.016G130000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130000.v4.1 MADQKHRILMVSDFFFPNFGGVESHIYYLSQCLLKLGHKVVVMTHAYGNRSGVRYMTGGLKVYYVPWRPFLMQNTLPTVYGTLPIVLQFTLADVSQAICVSHTSKENTVLRSGLPPEKVFMIPNAVDTAMFKPAPERLGNDEIIIVVISRLVYRKGADLLVEVIPEICRLYPNVRFIVGGDGPKRVRLEEMRERHSLQDRVEMLGSVPHAHVRSVLISGHIFLNSSLTEAFCIAILEAASCGLLTVSTRVGGVPEVLPEDMIVLAEPDPSDMVRATGKAISLLPNIDPQQMHNRMKKLYDWHDVAKRTEIVYDRALKCPDQNLLERLSRYLSCGSWAGKIFCFVMIIDFLLWRLLQLWQPTEEIEEVPEFTSPRHQDEEIISNFN >Potri.010G178200.1.v4.1 pep chromosome:Pop_tri_v4:10:17762852:17770811:-1 gene:Potri.010G178200.v4.1 transcript:Potri.010G178200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178200.v4.1 MAASVLLLSSRITAQNPSSKLDLDGGFSRKSSGSVHFLRPSIWKNNNAVKVSCAISKDNGNAVSVADSVPTVTRSKMQRHTISVFVGDEIGIINRIAGVFARRGYSIESLAVGLNKDKALFTIVVCGTEKVLRQVVEQLNKLVNVIKVEDISRDPQVERELMLIKLNANPSTRAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKMAAVQRNLSKFGIKELARTGKIALKRETMGETAPFWGFSAASYPDLDRIPSGVALDRNSTNTFAGNGDLSLNGSNTSSSKGDVYAVEPYDDFLANQVLDAHWGVLYDEDSNGLRSHTLSMLVNNCPGVLNILTGVISRRGYNIQSLAVGHAEKEGLSRITTVIPGTDESIGKLVQQLHKSIDFHEVRDITHSPFAERELTLIKIAANSAARRDVLDIARIFRAKAVDFSDHTITLELTGDLNKMVALQRILEPYGICEVARTGRVALVRESGVDSSHLRGFPLPL >Potri.010G178200.2.v4.1 pep chromosome:Pop_tri_v4:10:17762914:17770798:-1 gene:Potri.010G178200.v4.1 transcript:Potri.010G178200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178200.v4.1 MAASVLLLSSRITAQNPSSKLDLDGGFSRKSSGSVHFLRPSIWKNNNAVKVSCAISKDNGNAVSVADSVPTVTRSKMQRHTISVFVGDEIGIINRIAGVFARRGYSIESLAVGLNKDKALFTIVVCGTEKVLRQVVEQLNKLVNVIKVEDISRDPQVERELMLIKLNANPSTRAEIMWLVDIFRAKIVDISEHSLTIEVTGDPGKMAAVQRNLSKFGIKELARTGKIALKRETMGETAPFWGFSAASYPDLDRIPSGVALDRNSTNTFAGNGDLSLNGSNTSSSKGDVYAVEPYDDFLANQVLDAHWGVLYDEDSNGLRSHTLSMLVNNCPGVLNILTGVISRRGYNIQSLAVGHAEKEGLSRITTVIPGTDESIGKLVQQLHKSIDFHEVRDITHSPFAERELTLIKIAANSAARRDVLDIARIFRAKAVDFSDHTITLEVNFFPIYQPNLSSSIFIH >Potri.017G072700.1.v4.1 pep chromosome:Pop_tri_v4:17:7959541:7960531:1 gene:Potri.017G072700.v4.1 transcript:Potri.017G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072700.v4.1 MRGLESFNKAAWTKKMLHIFYDICIKAIDMGMRPNIHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSKAGVSWNSELGTISASDEWWKQKIQEIRRAKKYRHVDIEPSLKNKFDRMYSNIVAIGEFAWAPSSEVPTGSDIDLGTSNANIDRAGLEEGSSDSEKDVIPDFQTDMARMVGGINMSSSSNTKSSGKRKERDPYEGRGRKKKTSRIGVQLLSRCNQLLESMSTKSDSTFVHMDHEGCSIREVMAELHSISGVSIEDEFHDFATEYLSLRRKREM >Potri.019G100800.8.v4.1 pep chromosome:Pop_tri_v4:19:13712510:13715392:1 gene:Potri.019G100800.v4.1 transcript:Potri.019G100800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100800.v4.1 MATEQYLEETVAQNDYNREYKPSLLNWKPIPKTAIDSDDSPSNGFDCNICLDSVQDPVVTLCGHLYCWPCIYKWLHSQSISAENQDQQPQQQCPVCKAEVSQSTIVPLFGRGQTTKPSMRKAPNVGIIIPHRPPGLACGFDSPRTPIATGSPRPVQQIHHRHNYPHQSQLHYSQPGSYSASPMHSPRGTTINMADPVVGMFGEMIYARVFGNSITNMPSYPNSYHLAGSASPRVRRHVMQADRSLSRICFFLFCCVFLCFLSF >Potri.017G033600.1.v4.1 pep chromosome:Pop_tri_v4:17:2314034:2321462:1 gene:Potri.017G033600.v4.1 transcript:Potri.017G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G033600.v4.1 MDQQANSPINPKSGFNSSAKTFHSLRPPIHLPPEETPFSAADYALSLHANHSPWPDSLAIINSSTSQKLSYSDFIERIKSLTLYLQNVTQLRKNDTAFILCPNSIQVPILYFSLLSLGVVISPANPISTESEISHQISLSNPVIAFAISKTCHKLPKLKHGTILIDSPEFDSIITMSPATTTARQDLEKVTVNQSDLAAIMFSSGTTGKVKGVLLTHRNLMAVIAGYYPFKQERKSPTVLLYTVPYFHVFGFFYSFKSIALSETVVVMERFDLKKMLRAVEKFRVTHLAVAPPVVVAMTKSDLTDGYDLRSLETVGCGGAPLGKDVMKVFADRFPTVDLWQGYGLTESTGVLSRSNSPEESRHWGSVGRLTAGCEAKIVDADTGDALPPGKQGELWVRGSTIMKGYVGDPEATSTTLDPDGWLRTGDLCYIDEEGFLFVVDRLKELIKYKGYQVAPAELEQLLHSHPEIADAAVIPYPDEEAGQVPMAFVVKQPQSRINERGVMDFVAKQVAPYKKVRRVEFVSAIPKSPAGKILRKELRKMVLIPFPSSKM >Potri.017G033600.2.v4.1 pep chromosome:Pop_tri_v4:17:2313924:2325462:1 gene:Potri.017G033600.v4.1 transcript:Potri.017G033600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G033600.v4.1 MDQQANSPINPKSGFNSSAKTFHSLRPPIHLPPEETPFSAADYALSLHANHSPWPDSLAIINSSTSQKLSYSDFIERIKSLTLYLQNVTQLRKNDTAFILCPNSIQVPILYFSLLSLGVVISPANPISTESEISHQISLSNPVIAFAISKTCHKLPKLKHGTILIDSPEFDSIITMSPATTTARQDLEKVTVNQSDLAAIMFSSGTTGKVKGVLLTHRNLMAVIAGYYPFKQERKSPTVLLYTVPYFHVFGFFYSFKSIALSETVVVMERFDLKKMLRAVEKFRVTHLAVAPPVVVAMTKSDLTDGYDLRSLETVGCGGAPLGKDVMKVFADRFPTVDLWQGYGLTESTGVLSRSNSPEESRHWGSVGRLTAGCEAKIVDADTGDALPPGKQGELWVRGSTIMKGYVGDPEATSTTLDPDGWLRTGDLCYIDEEGFLFVVDRLKELIKYKGYQVAPAELEQLLHSHPEIADAAVIPNFRYPDEEAGQVPMAFVVKQPQSRINERGVMDFVAKQVAPYKKVRRVEFVSAIPKSPAGKILRKELRKMMVPIPFPSSKM >Potri.017G033600.3.v4.1 pep chromosome:Pop_tri_v4:17:2313924:2325467:1 gene:Potri.017G033600.v4.1 transcript:Potri.017G033600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G033600.v4.1 MDQQANSPINPKSGFNSSAKTFHSLRPPIHLPPEETPFSAADYALSLHANHSPWPDSLAIINSSTSQKLSYSDFIERIKSLTLYLQNVTQLRKNDTAFILCPNSIQVPILYFSLLSLGVVISPANPISTESEISHQISLSNPVIAFAISKTCHKLPKLKHGTILIDSPEFDSIITMSPATTTARQDLEKVTVNQSDLAAIMFSSGTTGKVKGVLLTHRNLMAVIAGYYPFKQERKSPTVLLYTVPYFHVFGFFYSFKSIALSETVVVMERFDLKKMLRAVEKFRVTHLAVAPPVVVAMTKSDLTDGYDLRSLETVGCGGAPLGKDVMKVFADRFPTVDLWQGYGLTESTGVLSRSNSPEESRHWGSVGRLTAGCEAKIVDADTGDALPPGKQGELWVRGSTIMKGYVGDPEATSTTLDPDGWLRTGDLCYIDEEGFLFVVDRLKELIKYKGYQVAPAELEQLLHSHPEIADAAVIPYPDEEAGQVPMAFVVKQPQSRINERGVMDFVAKQVAPYKKVRRVEFVSAIPKSPAGKILRKELRKMMVPIPFPSSKM >Potri.010G164300.7.v4.1 pep chromosome:Pop_tri_v4:10:16863963:16865953:1 gene:Potri.010G164300.v4.1 transcript:Potri.010G164300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164300.v4.1 MSEVFEGYERQYCELSANLSRKCNSTSLLPDGVEKNAKVNEIKSGLDDCDVLIRKMDLEARSLQPNVKAMLLAKLREYKSDLNKLKREFKRITSGDVNQASRVELLEAGMADVHSVSADQRERMTMSVERLNQSGDRIKESRRTMLETEELGVSILEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLTSMLRRMTRNKWIVGSVIAALVVAIIFIILFKISHH >Potri.010G164300.1.v4.1 pep chromosome:Pop_tri_v4:10:16863814:16865938:1 gene:Potri.010G164300.v4.1 transcript:Potri.010G164300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164300.v4.1 MSEVFEGYERQYCELSANLSRKCNSTSLLPDGVEKNAKVNEIKSGLDDCDVLIRKMDLEARSLQPNVKAMLLAKLREYKSDLNKLKREFKRITSGDVNQASRVELLEAGMADVHSVSADQRERMTMSVERLNQSGDRIKESRRTMLETEELGVSILEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLTSMLRRMTRNKWIVGSVIAALVVAIIFIILFKISHH >Potri.001G111300.2.v4.1 pep chromosome:Pop_tri_v4:1:8964834:8979575:1 gene:Potri.001G111300.v4.1 transcript:Potri.001G111300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111300.v4.1 MEIDFSEEQQVPSALITGMAFGVLTEAETEKLSVLNIDAVSEVTDPKLGLPNPSSQCSTCGSRDLKSCEGHFGVINFPYTIVHPYFLSEVVQILNKICPGCKSIRLAKATELITKENPQRKGCKYCAGNSLGWYPPMKFKVSSKEIFRKTAIIAEIRETLSKKPQKGFKKILAADYWDIFPKDEQEEEEETNAKPNRRVLSHSQVRHMLKDVDPNFIKLSILKTDTIFLNCFPVTPNSHRVTEVTHAFSNGQRLIFDERTRAYKKMVDFRGVANTLSFHVMDCLKTSKLNPDKSGNIDPWTAQPKKSNDYVNNASGLRWIKDVVLGKRNDHSFRMVIVGDPHLQLHEIGIPCHIAERLQISESLTAWNWEKLNACFEKSRFEKGDMHVRREGNLVRVRHMKELRLGDIIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVPSVLAINPLCCPPFRADFDGDCLHGYVPQSVDTRVELTELVSLDKQLTNWQSGRNLLSLSQDSLTAAHLVLEDDVFLSSFELQQLQMFRPERFLLPAVKAPSANALVWTGKQLISMLLPVGFDHDFPSCNVCIRDGDLVSSEGSFWLWDTDGNLFQSLVKHCHGQVLDFLYAAQRVLCEWLSMRGLSVSLSDLYLCPDSNSRKNMMDEIWYGLQDADYACNLKHLMVDSCRDFLTGNNEEDQCNVERLRFLSGCSEEDYCVMAFDGERLCYEKQRSAALSQSSVDAFRLVFRDIQSLVYKYASQDNSFLAMFKAGSKGNLLKLVQHSMCLGLQHALASLSFRIPHQLSCAGWNKQKADDATESAKRYIPHAVVEGSFLSGLNPIECFVHSVTSRDSSFSDNADLPGTLFRRMMFFMRDLHGAYDGTVRNAYGNQLVQFSYNIDDMDPSGSVDEINNSDGIAGRPVGPLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRNSAHQTMSLFLSEKLGRQRHGFEYAALEVQNHLERLLFSDIVSFVRIIFSPQSDGRMHFSPWVCHFHVYKEIVKKRSLKVHYIIDALEKQCKSKTRFPKVQITSRYCTVADTWKEKKETFCITVTIVETSKNEFIELETIQDLMIPFLLETVIKGFMEIQKVDILWNDKPKIPKSHNRLRGELFLRVHMSRGSDKTRLWNQLMDDCLSIMDLIDWARSHPDNIHECCLAYGIDAGWKFFLNNLQSAMSDVGKTVLPEHLLLVANCLSVTGEFVGLNAKGLKRQREHASVSTPFVQACFSNPGDCFIRAAKAGVVDDLQGSIDALAWGKVPAIGTGQFDIVYSGKGLEFSKPVDVYNLLGSQMISTEQNTEFGVLDAQIYKSDKCGAQFLHKFGGCGPKGFKVKEGIPRSFLRRLLTYDDIQRMSYTVRKILNKYSVDQQLNESDKSVLMMTLYFHPRRDEKIGIGAKDIKVINHPEYQDTRCFSLVRTDGTIEDFSYRKCLHNALEIIAPQRAKRYCEKYLTSKVSATDNSGCTDLPLDN >Potri.001G111300.3.v4.1 pep chromosome:Pop_tri_v4:1:8964879:8979578:1 gene:Potri.001G111300.v4.1 transcript:Potri.001G111300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111300.v4.1 MEIDFSEEQQVPSALITGMAFGVLTEAETEKLSVLNIDAVSEVTDPKLGLPNPSSQCSTCGSRDLKSCEGHFGVINFPYTIVHPYFLSEVVQILNKICPGCKSIRLAKATELITKENPQRKGCKYCAGNSLGWYPPMKFKVSSKEIFRKTAIIAEIRETLSKKPQKGFKKILAADYWDIFPKDEQEEEEETNAKPNRRVLSHSQVRHMLKDVDPNFIKLSILKTDTIFLNCFPVTPNSHRVTEVTHAFSNGQRLIFDERTRAYKKMVDFRGVANTLSFHVMDCLKTSKLNPDKSGNIDPWTAQPKKSNDYVNNASGLRWIKDVVLGKRNDHSFRMVIVGDPHLQLHEIGIPCHIAERLQISESLTAWNWEKLNACFEKSRFEKGDMHVRREGNLVRVRHMKELRLGDIIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVPSVLAINPLCCPPFRADFDGDCLHGYVPQSVDTRVELTELVSLDKQLTNWQSGRNLLSLSQDSLTAAHLVLEDDVFLSSFELQQLQMFRPERFLLPAVKAPSANALVWTGKQLISMLLPVGFDHDFPSCNVCIRDGDLVSSEGSFWLWDTDGNLFQSLVKHCHGQVLDFLYAAQRVLCEWLSMRGLSVSLSDLYLCPDSNSRKNMMDEIWYGLQDADYACNLKHLMVDSCRDFLTGNNEEDQCNVERLRFLSGCSEEDYCVMAFDGERLCYEKQRSAALSQSSVDAFRLVFRDIQSLVYKYASQDNSFLAMFKAGSKGNLLKLVQHSMCLGLQHALASLSFRIPHQLSCAGWNKQKADDATESAKRYIPHAVVEGSFLSGLNPIECFVHSVTSRDSSFSDNADLPGTLFRRMMFFMRDLHGAYDGTVRNAYGNQLVQFSYNIDDMDPSGSVDEINNSDGIAGRPVGPLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRNSAHQTMSLFLSEKLGRQRHGFEYAALEVQNHLERLLFSDIVSFVRIIFSPQSDGRMHFSPWVCHFHVYKEIVKKRSLKVHYIIDALEKQCKSKTRFPKVQITSRYCTVADTWKEKKETFCITVTIVETSKNEFIELETIQDLMIPFLLETVIKGFMEIQKVDILWNDKPKIPKSHNRLRGELFLRVHMSRGSDKTRLWNQLMDDCLSIMDLIDWARSHPDNIHECCLAYGIDAGWKFFLNNLQSAMSDVGKTVLPEHLLLVANCLSVTGEFVGLNAKGLKRQREHASVSTPFVQACFSNPGDCFIRAAKAGVVDDLQGSIDALAWGKVPAIGTGQFDIVYSGKGLEFSKPVDVYNLLGSQMISTEQNTEFGVLDAQIYKSDKCGAQFLHKFGGCGPKGFKVKEGIPRSFLRRLLTYDDIQRMSYTVRKILNKYSVDQQLNESDKSVLMMTLYFHPRRDEKIGIGAKDIKVINHPEYQDTRCFSLVRTDGTIEDFSYRKCLHNALEIIAPQRAKRYCEKYLTSKVSATDNSGCTDLPLDN >Potri.001G111300.4.v4.1 pep chromosome:Pop_tri_v4:1:8964879:8979430:1 gene:Potri.001G111300.v4.1 transcript:Potri.001G111300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111300.v4.1 MEIDFSEEQQVPSALITGMAFGVLTEAETEKLSVLNIDAVSEVTDPKLGLPNPSSQCSTCGSRDLKSCEGHFGVINFPYTIVHPYFLSEVVQILNKICPGCKSIRLAKATELITKENPQRKGCKYCAGNSLGWYPPMKFKVSSKEIFRKTAIIAEIRETLSKKPQKGFKKILAADYWDIFPKDEQEEEEETNAKPNRRVLSHSQVRHMLKDVDPNFIKLSILKTDTIFLNCFPVTPNSHRVTEVTHAFSNGQRLIFDERTRAYKKMVDFRGVANTLSFHVMDCLKTSKLNPDKSGNIDPWTAQPKKSNDYVNNASGLRWIKDVVLGKRNDHSFRMVIVGDPHLQLHEIGIPCHIAERLQISESLTAWNWEKLNACFEKSRFEKGDMHVRREGNLVRVRHMKELRLGDIIYRPLNDGDTVLINRPPSIHQHSLIALSVKVLPVPSVLAINPLCCPPFRADFDGDCLHGYVPQSVDTRVELTELVSLDKQLTNWQSGRNLLSLSQDSLTAAHLVLEDDVFLSSFELQQLQMFRPERFLLPAVKAPSANALVWTGKQLISMLLPVGFDHDFPSCNVCIRDGDLVSSEGSFWLWDTDGNLFQSLVKHCHGQVLDFLYAAQRVLCEWLSMRGLSVSLSDLYLCPDSNSRKNMMDEIWYGLQDADYACNLKHLMVDSCRDFLTGNNEEDQCNVERLRFLSGCSEEDYCVMAFDGERLCYEKQRSAALSQSSVDAFRLVFRDIQSLVYKYASQDNSFLAMFKAGSKGNLLKLVQHSMCLGLQHALASLSFRIPHQLSCAGWNKQKADDATESAKRYIPHAVVEGSFLSGLNPIECFVHSVTSRDSSFSDNADLPGTLFRRMMFFMRDLHGAYDGTVRNAYGNQLVQFSYNIDDMDPSGSVDEINNSDGIAGRPVGPLAACAISEAAYSALDQPISLLEKSPLLNLKNVLECGLKRNSAHQTMSLFLSEKLGRQRHGFEYAALEVQNHLERLLFSDIVSFVRIIFSPQSDGRMHFSPWVCHFHVYKEIVKKRSLKVHYIIDALEKQCKSKTRFPKVQITSRYCTVADTWKEKKETFCITVTIVETSKNEFIELETIQDLMIPFLLETVIKGFMEIQKVDILWNDKPKIPKSHNRLRGELFLRVHMSRGSDKTRLWNQLMDDCLSIMDLIDWARSHPDNIHECCLAYGIDAGWKFFLNNLQSAMSDVGKTVLPEHLLLVANCLSVTGEFVGLNAKGLKRQREHASVSTPFVQACFSNPGDCFIRAAKAGVVDDLQGSIDALAWGKVPAIGTGQFDIVYSGKGLEFSKPVDVYNLLGSQMISTEQNTEFGVLDAQIYKSDKCGAQFLHKFGGCGPKGFKVKEGIPRSFLRRLLTYDDIQRMSYTVRKILNKYSVDQQLNESDKSVLMMTLYFHPRRDEKIGIGAKDIKVINHPEYQDTRCFSLVRTDGTIEDFSYRKCLHNALEIIAPQRAKRYCEKYLTSKVSATDNSGCTDLPLDN >Potri.011G167200.1.v4.1 pep chromosome:Pop_tri_v4:11:19092560:19095654:1 gene:Potri.011G167200.v4.1 transcript:Potri.011G167200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167200.v4.1 MAVKHEQENGLLLDDDGRTRRTGTLWSCIAHIITAVIGSGVLSLAWSVAQLGWIAGPIAMLCFAIVTYVSVVLLSGCYRCPDPVTGTRNYSYMDAVRVNLGKTQTCLCGMFQYLYMYGIGIAYVITTSTCMSAIRRSNCYHDKGHAAPCKHKDIPNMLMFGAVQIVASQIPDFHSIKWLSVIAAIMSFAYSFTGFGLGFAKVIENGMIKGSIAGAPASTRAKKLWLAFQALGDIAYSYPYALVLLEIQDTLKSPPPENKTMKKASMIAMILTTFFYLCCGCFGYAAFGNNTPGNLLTGFGFYEPYWLIDFANACVVLHLVGGYQLFSQPVFEFAERWFSEKFPSNGFVNKFYNFKLPLLPSFQINLFRICFRTAYVVSTTAVAAVFPYFNQVLGLLGALNFWPLAIYFPVEMYFVQNKIEAWTRKWIVLRTFSLACLLVSIVGLIGSIEGIISAKFG >Potri.017G037900.1.v4.1 pep chromosome:Pop_tri_v4:17:2483094:2484981:1 gene:Potri.017G037900.v4.1 transcript:Potri.017G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX86 MRGFGYFVVMFFCLLVFMGSTEGQLQMGFYSRSCPNAEKIVQDYVNRHIHNAPSVAATILRMHFHDCFVRGCDASLLLNTTSSGNQTEKLATPNVTLRGFDFIDRVKSLLEAACPGVVSCADVIALVARDAVVATGGPFWKVPTGRRDGTISRSSEASNNIPPPTSNFTSLQRLFANQGLDLKDLVVLSGAHTIGVSHCSSFSNRLYNFTGVLGTQDPALDSEYAANLKARKCRSLNDNTTIVEMDPGSFRTFDLSYYGHLLKRRGLFQSDSALTTNSTTLSFVNQLLQGSLENFFAEFADSMEKMGRINVKTGTVGEIRKQCAVVNS >Potri.019G072500.1.v4.1 pep chromosome:Pop_tri_v4:19:11383601:11390164:1 gene:Potri.019G072500.v4.1 transcript:Potri.019G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072500.v4.1 MAAEEVVAPAVIPVASDHKRKLGDLEPEVLEQAEPSPVDEQEPEVTEKADDVEDGGSPETKRLRIDESKTDGLASENGFKGDKSDERAREETEEQSVENEQEKDDNVEPPSEEVLETTDKEETNAVIPETDNTDQATVDGSKDEDAEKPSLEDAEQPSLEDAEKLSLEDAEEHLSEDQTTSRKIEVPNDKVGVLIGKGGDTIRYLQYNSGAKIQITRDSEADSQSTTRPVELIGTLSSIRNAEKLINAVIAEADAGGSPSLVAMGLASGAQTAGVGDQLEIPVPNEKVGLIIGRGGETIKGLQAKSGARIQVLIPQHLPEGDGSKERTVRVTGDKRQVEMAREMIMDVMNQTVRPSTLSSSFNQQQSYRPRGPTGPAHWGPRGPHSNQKMPYDYQHRGPYPSHGSQYPPAYGDYPQHMAPRSNYSSGWEQRPANVQGPHTHTSGYDYYSQGGHASDHPVSGPMPTPIPGPASRHSPAPVMGGPPSQVNYSYGQSHGPDYGHQAPYSQAAPSHQSYGHGYDEPKYPYGYGSSQPAYSQAGNQPGYGAQQQYGKQPSFGMPSQGPPPQSYGPPRPGQPGDMSYQGPMQSSQLYGPNAPPPLQQQYPYASSGPMQQPYPSYGSGSGSDGYNQAQTASGPGYPQQGGQPVPTPGQPGGQAAAGYAQGPAGGYGSYPSAQQGYPEQQAANNAGYGYQGSQDPAYGSAPAYGAPASQQGYAQPAPTQPSYDQSVPQSAGYGAAPATAPVGYGKTVSPQPGYPQYDSTQMYAAPR >Potri.019G072500.3.v4.1 pep chromosome:Pop_tri_v4:19:11383620:11390302:1 gene:Potri.019G072500.v4.1 transcript:Potri.019G072500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072500.v4.1 MAAEEVVAPAVIPVASDHKRKLGDLEPEVLEQAEPSPVDEQEPEVTEKADDVEDGGSPETKRLRIDESKTDGLASENGFKGDKSDERAREETEEQSVENEQEKDDNVEPPSEEVLETTDKEETNAVIPETDNTDQATVDGSKDEDAEKPSLEDAEQPSLEDAEKLSLEDAEEHLSEDQTTSRKIEVPNDKVGVLIGKGGDTIRYLQYNSGAKIQITRDSEADSQSTTRPVELIGTLSSIRNAEKLINAVIAEADAGGSPSLVAMGLASGAQTAGVGDQLEIPVPNEKVGLIIGRGGETIKGLQAKSGARIQLIPQHLPEGDGSKERTVRVTGDKRQVEMAREMIMDVMNQTVRPSTLSSSFNQQQSYRPRGPTGPAHWGPRGPHSNQKMPYDYQHRGPYPSHGSQYPPAYGDYPQHMAPRSNYSSGWEQRPANVQGPHTHTSGYDYYSQGGHASDHPVSGPMPTPIPGPASRHSPAPVMGGPPSQVNYSYGQSHGPDYGHQAPYSQAAPSHQSYGHGYDEPKYPYGYGSSQPAYSQAGNQPGYGAQQQYGKQPSFGMPSQGPPPQSYGPPRPGQPGDMSYQGPMQSSQLYGPNAPPPLQQQYPYASSGPMQQPYPSYGSGSGSDGYNQAQTASGPGYPQQGGQPVPTPGQPGGQAAAGYAQGPAGGYGSYPSAQQGYPEQQAANNAGYGYQGSQDPAYGSAPAYGAPASQQGYAQPAPTQPSYDQSVPQSAGYGAAPATAPVGYGKTVSPQPGYPQYDSTQMYAAPR >Potri.019G072500.2.v4.1 pep chromosome:Pop_tri_v4:19:11383620:11390303:1 gene:Potri.019G072500.v4.1 transcript:Potri.019G072500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072500.v4.1 MAAEEVVAPAVIPVASDHKRKLGDLEPEVLEQAEPSPVDEQEPEVTEKADDVEDGGSPETKRLRIDESKTDGLASENGFKGDKSDERAREETEEQSVENEQEKDDNVEPPSEEVLETTDKEETNAVIPETDNTDQATVDGSKDEDAEKPSLEDAEQPSLEDAEKLSLEDAEEHLSEDQTTSRKIEVPNDKVGVLIGKGGDTIRYLQYNSGAKIQITRDSEADSQSTTRPVELIGTLSSIRNAEKLINAVIAEADAGGSPSLVAMGLASGAQTAGVGDQLEIPVPNEKVGLIIGRGGETIKGLQAKSGARIQLIPQHLPEGDGSKERTVRVTGDKRQVEMAREMIMDVMNQTVRPSTLSSSFNQQQSYRPRGPTGPAHWGPRGPHSNQKMPYDYQHRGPYPSHGSQYPPAYGDYPQHMAPRSNYSSGWEQRPANVQGPHTHTSGYDYYSQGGHASDHPVSGPMPTPIPGPASRHSPAPVMGGPPSQVNYSYGQSHGPDYGHQAPYSQAAPSHQSYGHGYDEPKYPYGYGSSQPAYSQAGNQPGYGAQQQYGKQPSFGMPSQGPPPQSYGPPRPGQPGDMSYQGPMQSSQLYGPNAPPPLQQQYPYASSGPMQQPYPSYGSGSGSDGYNQAQTASGPGYPQQGGQPVPTPGQPGGQAAAGYAQGPAGGYGSYPSAQQGYPEQQAANNAGYGYQGSQDPAYGSAPAYGAPASQQGYAQPAPTQPSYDQSVPQSAGYGAAPATAPVGYGKTVSPQPGYPQYDSTQMYAAPR >Potri.010G125200.1.v4.1 pep chromosome:Pop_tri_v4:10:14252470:14255687:-1 gene:Potri.010G125200.v4.1 transcript:Potri.010G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125200.v4.1 MGFQKAKTSLEDLIKKAGGCAVIDGGFATQLERHGATINDPLWSALCLIKDPDLIKRVHLEYLEAGADILVTSSYQATLPGFLSRGLSAEEGELLLKKSVTLAVEARNKFWDAVERNPGHSYNRALVAASIGSYGAYLADGSEYSGCYGPDVNLEKLKDFHRRRLQVLVKASPDLLAFETIPNKLEAQACVELLEEENINIPSWICFSCVDGENAPSGESFQQCLEAINKSDRVKAVGINCAPPHFIESLICKFKELTEKLIVVYPNSGEVWDGRAKRWLPSTCFDDDKFEVFATRWHDLGASLIGGCCRTTPSTIQAISKVLKDPKQS >Potri.016G017500.1.v4.1 pep chromosome:Pop_tri_v4:16:915700:919222:-1 gene:Potri.016G017500.v4.1 transcript:Potri.016G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017500.v4.1 MAETEQQEKKMMNGNGCKVSCHSNSDPSTLPPSSDRPVRVYADGIYDLFHFGHARSLEQAKKSFPNTYLLVGCCNDDTTHKYKGKTVMTEDERYESLRHCKWVDEVIPDAPWVIDQEFLDKHNIDYVAHDSLPYADASGAGKDVYEFVKKVGRFKETKRTDGISTSDIIMRIVKDYNQYVLRNLDRGYSRKELGVSYVKEKRLRVNMRLKKLQEKVKEQQEKVGEKIQIVTMHRNEWVENADRLVAGFLEMFEEGCHKMGTAIRDRIQERLRGQQSNRLLENGKENSEDEEEEYYDDDDDYDDEEEYFDNEEYYAETYNKDAKIKN >Potri.005G094800.2.v4.1 pep chromosome:Pop_tri_v4:5:6657964:6658929:1 gene:Potri.005G094800.v4.1 transcript:Potri.005G094800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094800.v4.1 MSSSIDSPTLTTETTQLSPQPDTETAQPTKKITLKSSDNQIFEVEEAVAMEFATVKSFFEDSPSSTDTVPLPNVTAKPLSQVIEFCKEQIKFKANPDEAQKKKYHSEFLKEKSDKDLVDMIPVANYLEIKDLLDVLNQAVADRIENKSVEYVRSFFGIDNDFTAEEEAALRQEHAWAYEGVDED >Potri.011G104700.2.v4.1 pep chromosome:Pop_tri_v4:11:13316631:13318221:-1 gene:Potri.011G104700.v4.1 transcript:Potri.011G104700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104700.v4.1 MIDDTFPSFLETLPKLKVVILRSNKLRGSLKGPTVKDSFSKLQIFDLSNNSLSGPLPTEYFNNFKAMMSIDQDMDYMRTKNVSTTYVYSVQLAWKGSKTLFPKIQIALTTLDLSCNKFTGKIPESLGKLKSLKQLNLSHNSLIGCIQPSMGNLTNLEWLDLSSNLLAGRIPQELVDLTFLQVLNLSYNQLEGPIPQGKQFNTFENGSYEGNLGLCGFPLQVKCNKGEGQQPPPSNFEKQGSMFEEGFGWKAVTMGYGCGFVFGVSIGYVVFRARKAAWFVKMVEDTVKKRGSSSRLV >Potri.002G204200.1.v4.1 pep chromosome:Pop_tri_v4:2:16884483:16888166:1 gene:Potri.002G204200.v4.1 transcript:Potri.002G204200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204200.v4.1 MEMEFEYQEGYIRSSRGVQLFTCRWLPSGSSSPRALVFLCHGYGMECSGSMRECGIRLARAGYAVFGIDYEGHGRSAGSRCYIKKFENIVNDCQDFFKSVCAEKDYRYKGRFLYGESMGGAVALLLHQKEPLFYHGAVLVAPMCKISEKLKPHPVVVNILTGLVDLIPKWKIVPTKDIIDSAFKDPLKREEIRNNKLIYQDKPRLKTALEMLRTSMRVEESLKQVTLPFVVLHGDADTVTDPEVSKALYDRASSEDKTMKMYPGMWHALTVGETDENVGVVFADIIAWLDEHTAEGTLLVEPLHETFHVGIEKLPSPPPTTQKQSHRFYLCGFKEPRTLHSAM >Potri.018G001000.5.v4.1 pep chromosome:Pop_tri_v4:18:85775:90138:-1 gene:Potri.018G001000.v4.1 transcript:Potri.018G001000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001000.v4.1 MDDHGLRHGRDQGESSSSIEIQNQWREIQDLLKERLITQDDFPWKLPIPSSSGNVVVEEEEGTLLKYVGGVDVSYSKELDSAAAAASTAACGCGSLVVLDVTTPTLQVVYQDFFLLTNFDVPYIPSFLAFREAPILLQLLQKMKNTDNPFYPQLLLVDGNGLLHPRGFGLACHLGVLANIPTIGIGKNAMRSTKGSCKPIYISVGHRVSLNTAIKIVKMICKYRVPEPIRQADIRSRDYLQKNSCGNGRTLQ >Potri.018G001000.4.v4.1 pep chromosome:Pop_tri_v4:18:85775:90138:-1 gene:Potri.018G001000.v4.1 transcript:Potri.018G001000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001000.v4.1 MDDHGLRHGRDQGESSSSIEIQNQWREIQDLLKERLITQDDFPWKLPIPSSSGNVVVEEEEGTLLKYVGGVDVSYSKELDSAAAAASTAACGCGSLVVLDVTTPTLQVVYQDFFLLTNFDVPYIPSFLAFREAPILLQLLQKMKNTDNPFYPQLLLVDGNGLLHPRGFGLACHLGVLANIPTIGIGKNLHHIDGLTQSGVRQLLQAKDNSGEDFITLTGSSGCVWGAAMRSTKGSCKPIYISVGHRVSLNTAIKIVKMICKYRVPEPIRQADIRSRDYLQKNSCGNGRTLQ >Potri.018G001000.3.v4.1 pep chromosome:Pop_tri_v4:18:85775:90138:-1 gene:Potri.018G001000.v4.1 transcript:Potri.018G001000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001000.v4.1 MDDHGLRHGRDQGESSSSIEIQNQWREIQDLLKERLITQDDFPWKLPIPSSSGNVVVEEEEGTLLKYVGGVDVSYSKELDSAAAAASTAACGCGSLVVLDVTTPTLQVVYQDFFLLTNFDVPYIPSFLAFREAPILLQLLQKMKNTDNPFYPQLLLVDGNGLLHPRGFGLACHLGVLANIPTIGIGKNLHHIDGLTQSGVRQLLQAKDNSGEDFITLTGSSGCVWGAAMRSTKGSCKPIYISVGHRVSLNTAIKIVKMICKYRVPEPIRQADIRSRDYLQKNSCGNGRTLQ >Potri.018G001000.7.v4.1 pep chromosome:Pop_tri_v4:18:85775:90138:-1 gene:Potri.018G001000.v4.1 transcript:Potri.018G001000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001000.v4.1 MDDHGLRHGRDQGESSSSIEIQNQWREIQDLLKERLITQDDFPWKLPIPSSSGNVVVEEEEGTLLKYVGGVDVSYSKELDSAAAAASTAACGCGSLVVLDVTTPTLQVVYQDFFLLTNFDVPYIPSFLAFREAPILLQLLQKMKNTDNPFYPQLLLVDGNGLLHPRGFGLACHLGVLANIPTIGIGKNLHHIDGLTQSGVRQLLQAKDNSGEDFITLTGSSGCVWGAAMRSTKGSCKPIYISVGHRVSLNTAIKIVKMICKYRVPEPIRQADIRSRDYLQKNSCGNGRTLQ >Potri.018G001000.8.v4.1 pep chromosome:Pop_tri_v4:18:85775:90138:-1 gene:Potri.018G001000.v4.1 transcript:Potri.018G001000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001000.v4.1 MDDHGLRHGRDQGESSSSIEIQNQWREIQDLLKERLITQDDFPWKLPIPSSSGNVVVEEEEGTLLKYVGGVDVSYSKELDSAAAAASTAACGCGSLVVLDVTTPTLQVVYQDFFLLTNFDVPYIPSFLAFREAPILLQLLQKMKNTDNPFYPQLLLVDGNGLLHPRGFGLACHLGVLANIPTIGIGKNAMRSTKGSCKPIYISVGHRVSLNTAIKIVKMICKYRVPEPIRQADIRSRDYLQKNSCGNGRTLQ >Potri.018G001000.6.v4.1 pep chromosome:Pop_tri_v4:18:85775:90138:-1 gene:Potri.018G001000.v4.1 transcript:Potri.018G001000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001000.v4.1 MDDHGLRHGRDQGESSSSIEIQNQWREIQDLLKERLITQDDFPWKLPIPSSSGNVVVEEEEGTLLKYVGGVDVSYSKELDSAAAAASTAACGCGSLVVLDVTTPTLQVVYQDFFLLTNFDVPYIPSFLAFREAPILLQLLQKMKNTDNPFYPQLLLVDGNGLLHPRGFGLACHLGVLANIPTIGIGKNAMRSTKGSCKPIYISVGHRVSLNTAIKIVKMICKYRVPEPIRQADIRSRDYLQKNSCGNGRTLQ >Potri.005G229400.1.v4.1 pep chromosome:Pop_tri_v4:5:22896668:22899505:-1 gene:Potri.005G229400.v4.1 transcript:Potri.005G229400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229400.v4.1 MAAISCHCAVPSKKVSLSSSSSALSTTPLSRCSRFSAVSVPSRASRGRISCQISSSSATSPPPPSSVNGPAKAGMEDFLHISDFDKATIMKILDRAAEVKAVIKSGDRTFLPFKGKTMAMIFAKPSMRTRVSFETGFFLLGGHAIYLGPDDIQMGKREETRDVARVLSRYNDIIMARVFAHQDILDLAKHATVPVINGLTDYNHPCQIMADALTIIEHVGRLEGTKVVYVGDGNNIVHSWLLLAAVIPFHFVCACPKGFEPDAKTVQKAQQAGISKIEITNDPQEAVRGADVVYSDVWASMGQKEEAAHRRQVFQGFQVDENLMKLAGPKAYFMHCLPAERGVEVTDGVIEAPNSIVFPQAENRMHAQNAIMLHALGL >Potri.018G145546.1.v4.1 pep chromosome:Pop_tri_v4:18:15329556:15336196:1 gene:Potri.018G145546.v4.1 transcript:Potri.018G145546.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145546.v4.1 MCGKESDDGCADVEIATERERGVFNYGVQQRKRMLRPRGSPQVANCEPRFRSHTQDFEGCQGKSEVSSVIYKVSECMQELIKLWKEYETSQSDKNSESSHKGPTLEIRIPAEHITATNRQVRGGQLWGTDIYTNDSDLVAVLMHTGYFRPTASPPPPAIQELCATIRVLPPQDSYISMLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHTSAVEPTLVPVAVERTMTTRAAASSWS >Potri.002G190500.4.v4.1 pep chromosome:Pop_tri_v4:2:15241499:15247175:1 gene:Potri.002G190500.v4.1 transcript:Potri.002G190500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190500.v4.1 MASVSVVPASGLRDTLGNTTGVDKLPEEMNDMKISDDKEMEAAVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGLVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETIHRVIKHYYKMSQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEALIHPFFDELRDPNARLPNGRILPPLFNFKPHELKGVPVEMLVKLIPEHARKQCAFLGL >Potri.002G190500.5.v4.1 pep chromosome:Pop_tri_v4:2:15243292:15246966:1 gene:Potri.002G190500.v4.1 transcript:Potri.002G190500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190500.v4.1 MASVSVVPASGLRDTLGNTTGVDKLPEEMNDMKISDDKEMEAAVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGLVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETIHRVIKHYYKMSQRMPLIYVKLYFYQICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRSTALEALIHPFFDELRDPNARLPNGRILPPLFNFKPHELKGVPVEMLVKLIPEHARKQCAFLGL >Potri.006G010500.1.v4.1 pep chromosome:Pop_tri_v4:6:685729:688497:-1 gene:Potri.006G010500.v4.1 transcript:Potri.006G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010500.v4.1 MSLEVGVDSNTKSMGFDEKNKDEVSETKATTKTPPNEEHNVDEPKSGGISRKMSESSLYATDQEEEDDDESHERKIELGPQYTLKEQLEKDKDDESLRRWKEQLLGAVDIEAVGETLEPEVKILSLEIKSPGRPDIVLSVPENGKPKGSWFTLKEGSRYSLQFTFEVKNNIVSGLKYSNTVWKTGVKVDSSKEMIGTFSPQAEPYTHEMPEETTPSGIFARGSYAAKTKFVDDDNKRYLEINYTFDIRKDWLPTQN >Potri.002G238500.2.v4.1 pep chromosome:Pop_tri_v4:2:23095486:23104238:-1 gene:Potri.002G238500.v4.1 transcript:Potri.002G238500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238500.v4.1 MAFLKSALSQAIELLPDNSLVGLITFGTLVHVHELGFGETPKTFVFKGSKDVSKDQLLEQMGFFLKKPKPPTSVIAGAKDGLSSDSISRFLLPASQCEFTLNSVLEELQKDPWPVPPHQRASRCTGTALSVAACLLGACVPDSGARIMAFIGGPSTEGLGSIVSKNLSEPIRSHKDIDKDSANHYHKAVKFYERLAKQLVHQGHVLNLFACALDQVGVAELKVAIERTGGLVVLAESFGHLVFKDSLRRVLQLGDYDLGLSSNGIFEVNCSKDIRVQGIIGPCASLEKKGPLCSDTVVGQGTTSAWKMCGLDKATTLCLIFEIAKKDSTDTTVQPSSYQFYFQLLTYYQHSGGQMRLRVTTLSRRWVAGLGSAQDLIAGFDQEAAAVAMARLVSFKMENEVEFDPIRWLDKALIHLCARFGDYQKGSSSSFSLSSRLSIFPQFMFHLRRSQFVQVFNNSPDETAYFRVILNRENVANSVVMIQPSLISYSFHSGPEPALLDVAAIAADRILLLDSYFTIVIFDGATIAQWRKAGYHNQPEHQVLCSVKMT >Potri.001G357200.1.v4.1 pep chromosome:Pop_tri_v4:1:37204295:37210141:-1 gene:Potri.001G357200.v4.1 transcript:Potri.001G357200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357200.v4.1 MRLAIHPPRAGILSGSSPPATVLGWNVQATRHQPFSLASTVFGVSRQSGRLSTRSRIHSQLLGIQNTPSFSAGKKFQLDDLIEAQQFDREILADIFEVAHEMEKVEKNSPGSQVLKGYLMATLFYEPSTRTRLSFESAMKRLGGEVLTTENAREFSSAAKGETLEDTIRTVEGYSDIIVMRHFESGAAKRAAATAEVPVINAGDGPGQHPTQALLDVYTIDREIGKLDGIKVALVGDLANGRTVRSLAYLLAKYQDVKIYFVSPDVVKMKDDIKDYLTSKRVEWEESADLMEVASKCDVLYQTRIQRERFGKRIDLYEEARGKYIVDHDVLNVMQNHAVVMHPLPRLDEITVDVDADPRAAYFRQAKNGLYIRMALLKLLLVGW >Potri.010G004600.1.v4.1 pep chromosome:Pop_tri_v4:10:423671:425824:1 gene:Potri.010G004600.v4.1 transcript:Potri.010G004600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004600.v4.1 MDRRGSLTKGMLPLLAIHAVSEYYRLPWKPPVTAALLGANTLIYLRPAFLRHILPSIDQVWFNPHLILKYRDLKRFLLSPFYHVSDSHLVYNMMSLLWKGIQLETSVGSVEFASMLAALLAMSQGITLLLARSLLLFFDYDKPFYSEYSAGFSGVLFAMKVVLNSQSESLTNVYGLVIPARHAAWAELILIQMFVPGVSFLGHLGGILAGILYVKLKRAYSGPDPLTLTIRTLTNVISWPLRFVRNLFQFRRGRISGRGSVGGRQTGRAMSGLWRCQACTYDNSSLLSVCEMCGTSRGARGLSSREFSRHSDDLTLEEIRLRRIERFG >Potri.008G096800.1.v4.1 pep chromosome:Pop_tri_v4:8:6027600:6034490:1 gene:Potri.008G096800.v4.1 transcript:Potri.008G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096800.v4.1 MPSMEQNGPRNTTQTRKQKPVLKLSNQPRCAADAISRREIVKKQRESLPIASVKERLVQEVKNHDVLIIVGETGSGKTTQLPQFLFNAGFCSNGKVIGITQPRRVAAITVAKRVAEECGVELGLKVGYSIRFDDKTSSSTRIKYMTDGLLLREALLDPYLSRYSVIIVDEAHERTVHTDVLLGLLKNVQRARLKSVIDHAVVSNKKASNGITKENEKGAECTNFLKQCQRKFPPLKLIIMSASLDARLFSEYFGGARAVHVEGRQHHVDIFYTLHAETDYVDAALITIFQIHLEEGPGDILVFLTGQEEIEGVERLVQEQLQKLPEESRKLVTAPIFSSLPSEQQMRVFMPAPAGHRKVILATNIAETSVTIPGIKYVIDPGFIKARSYDPVKGMESLIIIPTSKAQALQRSGRAGREGPGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIIGFDFLEKPSRAAIQKSLEELFLLGALTDDCKLSDPVGHQMARLPLDPIYSKALILASQFNCLEEMLIAVSMLSVESIFYNPREKSEEAKTAKKCFASPDGDHLTLINVYRAADELLQKRRMELGIEKNEKNIKGKNEKILRKWCRENFINSRSLRHACDIHSQIRGHVEQMGLPISSCGDDTLQFRRCLAASFFLNAALKQPEGTYRALASGQVVQIHPTSVLHQSKVECVIFDELVQTSQKYIRNTTRIDYLWLTELAPHYYAMQG >Potri.008G096800.4.v4.1 pep chromosome:Pop_tri_v4:8:6027625:6034486:1 gene:Potri.008G096800.v4.1 transcript:Potri.008G096800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096800.v4.1 MPSMEQNGPRNTTQTRKQKPVLKLSNQPRCAADAISRREIVKKQRESLPIASVKERLVQEVKNHDVLIIVGETGSGKTTQLPQFLFNAGFCSNGKVIGITQPRRVAAITVAKRVAEECGVELGLKVGYSIRFDDKTSSSTRIKYMTDGLLLREALLDPYLSRYSVIIVDEAHERTVHTDVLLGLLKNVQRARLKSVIDHAVVSNKKASNGITKENEKGAECTNFLKQCQRKFPPLKLIIMSASLDARLFSEYFGGARAVHVEGRQHHVDIFYTLHAETDYVDAALITIFQIHLEEGPGDILVFLTGQEEIEGVERLVQEQLQKLPEESRKLVTAPIFSSLPSEQQMRVFMPAPAGHRKVILATNIAETSVTIPGIKYVIDPGFIKARSYDPVKGMESLIIIPTSKAQALQRSGRAGREGPGKCFRLYPESEFEKLEDSTKPEIKRCNLSNVILQLKALGVDDIIGFDFLEKPSRAAIQKSLEELFLLGALTDDCKLSDPVGHQMARLPLDPIYSKALILASQFNCLEEMLIAVSMLSVESIFYNPREKSEEAKTAKKCFASPDGDHLTLINVYRAADELLQKRRMELGIEKNEKNIKGKNEKILRKWCRENFINSRSLRHACDIHSQIRGHVEQMGLPISSCGDDTLQFRRCLAASFFLNAALKQPEGTYRALASGQVVQIHPTSVLHQSKVECVIFDELVQTSQKYIRNTTRIDYLWLTELAPHYYAMQG >Potri.009G075700.4.v4.1 pep chromosome:Pop_tri_v4:9:7347964:7354663:-1 gene:Potri.009G075700.v4.1 transcript:Potri.009G075700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075700.v4.1 MLPKRLPVEAVLVEGEGSENNIAKDSSSVFKKHRVDCYLESTAANSNKKTSSVIGNCSDISRESGGAEEKVSMALGDSNPSEIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGVEIAKNLVLAGVKSVTLHDEGVVELWDLSSNFVFSENDVGTNRALASVQKLQELNNAVVISTLTTKLTKEHLSKFQAVVFTDVTFEKAIEFNDYCHDHKPPISFIKAEVRGLFGSIFCDFGPEFTVFDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVAFSEVKGMTELNDGKPRKIKNARAYSFFLEEDTSDFGIYEKGGIVTQVKEPKVLNFKTLREAIKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSEMGRLPVAGSEEDAQKLVSLASLINENSGDGRVEDINPKLLRHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPSEFMPLNSRYDAQISVFGSNLQKKLEGANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVGSETENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPAEVNAYLSNPVEYTNAMIKAGDAQSRDILEHVLECLEKEKCETLQDCISWARLKYFL >Potri.009G075700.1.v4.1 pep chromosome:Pop_tri_v4:9:7347852:7354769:-1 gene:Potri.009G075700.v4.1 transcript:Potri.009G075700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075700.v4.1 MLPKRLPVEAVLVEGEGSENNIAKDSSSVFKKHRVDCYLESTAANSNKKTSSVIGNCSDISRESGGAEEKVSMALGDSNPSEIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGVEIAKNLVLAGVKSVTLHDEGVVELWDLSSNFVFSENDVGTNRALASVQKLQELNNAVVISTLTTKLTKEHLSKFQAVVFTDVTFEKAIEFNDYCHDHKPPISFIKAEVRGLFGSIFCDFGPEFTVFDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVAFSEVKGMTELNDGKPRKIKNARAYSFFLEEDTSDFGIYEKGGIVTQVKEPKVLNFKTLREAIKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSEMGRLPVAGSEEDAQKLVSLASLINENSGDGRVEDINPKLLRHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPSEFMPLNSRYDAQISVFGSNLQKKLEGANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVGSETENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPAEVNAYLSNPVEYTNAMIKAGDAQSRDILEHVLECLEKEKCETLQDCISWARLKFEDYFSDRVKQLIYTFPEDASTSTGVPFWSAPKRFPHPLQFSTTDLSHLHFVMAASVLRAETFGIPVPDWIRNPKMVAEAVDKVIVPDFQPREGVKIETDEKATNLSNASVDDAAIINELIRKLELCRENLPAGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWTLKNNPTLRELLQWFTDKGLSAYSISFGSCLLYNSMFPRHRERMDRKVVDLVREVAKVELPAYRRHFDVVVACDDDEGNDVDIPTVSIYFR >Potri.009G075700.3.v4.1 pep chromosome:Pop_tri_v4:9:7347791:7351619:-1 gene:Potri.009G075700.v4.1 transcript:Potri.009G075700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075700.v4.1 MTELNDGKPRKIKNARAYSFFLEEDTSDFGIYEKGGIVTQVKEPKVLNFKTLREAIKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSEMGRLPVAGSEEDAQKLVSLASLINENSGDGRVEDINPKLLRHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPSEFMPLNSRYDAQISVFGSNLQKKLEGANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVGSETENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPAEVNAYLSNPVEYTNAMIKAGDAQSRDILEHVLECLEKEKCETLQDCISWARLKFEDYFSDRVKQLIYTFPEDASTSTGVPFWSAPKRFPHPLQFSTTDLSHLHFVMAASVLRAETFGIPVPDWIRNPKMVAEAVDKVIVPDFQPREGVKIETDEKATNLSNASVDDAAIINELIRKLELCRENLPAGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWTLKNNPTLRELLQWFTDKGLSAYSISFGSCLLYNSMFPRHRERMDRKVVDLVREVAKVELPAYRRHFDVVVACDDDEGNDVDIPTVSIYFR >Potri.009G075700.2.v4.1 pep chromosome:Pop_tri_v4:9:7347904:7354764:-1 gene:Potri.009G075700.v4.1 transcript:Potri.009G075700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075700.v4.1 MLMLGLGLGFMISVPIFVATVIANNSLSLISIVMICGLFGSLLYFMLPKRLPVEAVLVEGEGSENNIAKDSSSVFKKHRVDCYLESTAANSNKKTSSVIGNCSDISRESGGAEEKVSMALGDSNPSEIDEDLHSRQLAVYGRETMRRLFASNVLVSGMQGLGVEIAKNLVLAGVKSVTLHDEGVVELWDLSSNFVFSENDVGTNRALASVQKLQELNNAVVISTLTTKLTKEHLSKFQAVVFTDVTFEKAIEFNDYCHDHKPPISFIKAEVRGLFGSIFCDFGPEFTVFDVDGEEPHTGIVASISNDNPALVSCVDDERLEFQDGDLVAFSEVKGMTELNDGKPRKIKNARAYSFFLEEDTSDFGIYEKGGIVTQVKEPKVLNFKTLREAIKDPGDFLLSDFSKFDRPPLLHLAFQALDKFVSEMGRLPVAGSEEDAQKLVSLASLINENSGDGRVEDINPKLLRHFAFGARAVLNPMAAMFGGIVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPSEFMPLNSRYDAQISVFGSNLQKKLEGANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTITDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVGSETENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMVIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPAEVNAYLSNPVEYTNAMIKAGDAQSRDILEHVLECLEKEKCETLQDCISWARLKFEDYFSDRVKQLIYTFPEDASTSTGVPFWSAPKRFPHPLQFSTTDLSHLHFVMAASVLRAETFGIPVPDWIRNPKMVAEAVDKVIVPDFQPREGVKIETDEKATNLSNASVDDAAIINELIRKLELCRENLPAGFRMKPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWTLKNNPTLRELLQWFTDKGLSAYSISFGSCLLYNSMFPRHRERMDRKVVDLVREVAKVELPAYRRHFDVVVACDDDEGNDVDIPTVSIYFR >Potri.001G139400.2.v4.1 pep chromosome:Pop_tri_v4:1:11358876:11364469:-1 gene:Potri.001G139400.v4.1 transcript:Potri.001G139400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139400.v4.1 MRRGKHRIGESWTVKRLHDHSQHQQKQQQQEESNLESPSVPSASSQQQYIKKSEHKPSNSQVVSLNSPKSDPPSSSTEVGASSFGEKVNENMEKHLHETKKEEIEEKKEESEPDNGNGVDGVVTRLEEFFLGVEEPELSEEQLRINDQLQEDELLAMESIYGDNTFILERQRGLRSFQIHIHIEVPAEFTVTAKLYSLGDCNGKSGSSDEFSYSFEVQYLPPIVLTCLLPKSYPSHLPPYFTISVQWLDSTSISHLCSMLDSIWTEQPGLEVIYQWADWLQNYSLSFLGIDKDIILGPCVTKHKKDRRAISGSVSLEVDVPSLRSYNAEQCHENFCKNLHECCICCDEYAGSDFIRLPCQHFFCPKCMKRYSDIHVAEGTVNKLQCPDAKCGVMVPPGLLKRLLGDEEYERWESLMLQKTLESMSDVSYCPRCETPCIEDEEQHAQCSKCLYSFCTLCRERRHLGEVCMTPEMKLQVLEERQNSSHLKDGQKHREREMINELLSVKEILRDAKQCPSCKMAISRTEGCNKMVCKNCGQFFCYRCNKGIDGYDHFKDGQCELFPQEEIQQWEERMNPRRVVAEVHAELFPDHVNACPNCGQFNVKVGNNNHILCWSCQMHYCYLCRKIVRRGSQHFGPKGCKQHTVG >Potri.008G170200.1.v4.1 pep chromosome:Pop_tri_v4:8:11773014:11777654:-1 gene:Potri.008G170200.v4.1 transcript:Potri.008G170200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170200.v4.1 MEKDSRCHSFSGTLTTISIDPADTDLSWPFGDLKGLNKDDIRETAYEVFFTACRSSPGFGGGRNAINFYSNHHHQHHDGDGAAGTGSPTARMGGGPVVVMSPTSRIKRALGLKMLKKSPTRRMSAVGSSGAGTAPVSPSGPLQHGGTSPALGFATVPVTGRPRRPLTSAEIMRAQMRVTEHSDNRLRKTLMRTLVGQMGRRAETIILPLELLRHLKPSEFNDSQEYHLWQRRQLKILEAGLLLHPSIPLDKSNSYAMRLREIIHASETKPIDTGKNSDTMRTLCNSVVSLSWRSANGTPTDVCHWADGFPLNIHIYISLLQSIFDFRDETLVLDEVDELVELIKKTWSALGINRPIHNLCFAWVLFQQYVLTSQVEPDLLYATHAMLSTEVANDAKKPDREAMYVKLLSSMLASMQGWAERRLLHYHDYFQRGDVFLIENLLPLALSASKILGEDVTITEGAGKDKGDTQIVDSSGDRVDHYIRASVKKAFAKIIETGSYKSTSLQVKDEASEALLQLAKEVKDLALRERESFSPILKKWNPIVASVAAVTLHQCYGAVLKQYIAGISTLNNETVAVLQSAGKLEKFLVQMLVEDSADCEDGGKTIVREMIPYEVDSVILKLMKQWFVERLDRAKDCLSRAKDSETWNPKSKLEPYATSAAELMKIAKEAVNDFFEIPVGITDDLIYDLAEGFDNIFKDYTNLVAACGSKQSYVPTLPPLTRCNRDSKFLKLWKKAAPCSINTEDTHQFGVSDAHHPRPSTSRGTQRLYIRLNTLHYLLTHLHSLEKNLALAPRTTPSRGYHRRHRINSSSYFELALASIQTACQHVSEVAAYRLIFLDSNSVFYDSLYVADVENSRIRHALRIIKQNLSLLTAILIDRAQPLAMREVMKASFEAFLTVLLAGGCSRVFYRSDYPMIEEDFANLKRTFCTCGEGLMNEEAVEKEAEIVEGVIALMDDSTEKLMEDFSILACEASGIGVVGSGHNLPMPPTTGRWNRADPNTILRVLCHRNDKAANHFLKKAFQLAKRR >Potri.008G170200.2.v4.1 pep chromosome:Pop_tri_v4:8:11773014:11777654:-1 gene:Potri.008G170200.v4.1 transcript:Potri.008G170200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170200.v4.1 MEKDSRCHSFSGTLTTISIDPADTDLSWPFGDLKGLNKDDIRETAYEVFFTACRSSPGFGGGRNAINFYSNHHHQHHDGDGAAGTGSPTARMGGGPVVVMSPTSRIKRALGLKMLKKSPTRRMSAVGSSGAGTAPVSPSGPLQHGGTSPALGFATVPVTGRPRRPLTSAEIMRAQMRVTEHSDNRLRKTLMRTLVGQVRIATMGRRAETIILPLELLRHLKPSEFNDSQEYHLWQRRQLKILEAGLLLHPSIPLDKSNSYAMRLREIIHASETKPIDTGKNSDTMRTLCNSVVSLSWRSANGTPTDVCHWADGFPLNIHIYISLLQSIFDFRDETLVLDEVDELVELIKKTWSALGINRPIHNLCFAWVLFQQYVLTSQVEPDLLYATHAMLSTEVANDAKKPDREAMYVKLLSSMLASMQGWAERRLLHYHDYFQRGDVFLIENLLPLALSASKILGEDVTITEGAGKDKGDTQIVDSSGDRVDHYIRASVKKAFAKIIETGSYKSTSLQVKDEASEALLQLAKEVKDLALRERESFSPILKKWNPIVASVAAVTLHQCYGAVLKQYIAGISTLNNETVAVLQSAGKLEKFLVQMLVEDSADCEDGGKTIVREMIPYEVDSVILKLMKQWFVERLDRAKDCLSRAKDSETWNPKSKLEPYATSAAELMKIAKEAVNDFFEIPVGITDDLIYDLAEGFDNIFKDYTNLVAACGSKQSYVPTLPPLTRCNRDSKFLKLWKKAAPCSINTEDTHQFGVSDAHHPRPSTSRGTQRLYIRLNTLHYLLTHLHSLEKNLALAPRTTPSRGYHRRHRINSSSYFELALASIQTACQHVSEVAAYRLIFLDSNSVFYDSLYVADVENSRIRHALRIIKQNLSLLTAILIDRAQPLAMREVMKASFEAFLTVLLAGGCSRVFYRSDYPMIEEDFANLKRTFCTCGEGLMNEEAVEKEAEIVEGVIALMDDSTEKLMEDFSILACEASGIGVVGSGHNLPMPPTTGRWNRADPNTILRVLCHRNDKAANHFLKKAFQLAKRR >Potri.007G124400.1.v4.1 pep chromosome:Pop_tri_v4:7:14092661:14095302:-1 gene:Potri.007G124400.v4.1 transcript:Potri.007G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124400.v4.1 MVSFEMTDRKKIGLGLTGFGIFFSFLGIVFFFDKGLLAMGNILFISGVSLTIGPKSTMQFFMKRQNFKGTISFGAGFFFVVIGWPVIGMILEAYGFIVLFSGFWPTLAVFVQRIPIFGWVFQQPFVRSFFDRYRGKRVPV >Potri.001G303700.1.v4.1 pep chromosome:Pop_tri_v4:1:31368133:31370340:-1 gene:Potri.001G303700.v4.1 transcript:Potri.001G303700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G303700.v4.1 MGGEENQVHIFFFPFMAHGHMIPTIDMAKLFASRGVKATIVTTPLNAPLVSRTIQRSKGLGFDINIKTIKFPAVEVGLPEGCENADSITSHETQGEMTKKLFMATAMLQQPLEKLLQECHPDCLIADMFLPWTTDAAAKFGIPRLVFHGISCFSLCTSDCLNRYKPYKKVSSDSELFVVPELPGDIKFTSKQLPDYMKQNVETDFTRLIQKVRESSLKSYGIVVNSFYELESDYANFFKELGRKAWHIGPVSLCNREFEDKAQRGKEASIDEHECLKWLDSKKPNSVVYICFGTVANFSDSQLKEIAIALEASGQQFIWVVRKDKKAKDNEEWLPEGFEKRMESKGLIIRGWAPQVVILDHEAIGGFVTHCGWNSTIEGIAAGKPMVTWPVSAEQFFNEKLVTDVLKIGVAVGVQQWVTVYGDKITSGAVEKAVTRIMTGEEAKEMRSRVEALGGMAKRAIEEDGSSYSNLNALIEELRGRRH >Potri.009G088400.1.v4.1 pep chromosome:Pop_tri_v4:9:8191893:8193038:1 gene:Potri.009G088400.v4.1 transcript:Potri.009G088400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G088400.v4.1 MAPKRAPPVEDPPSASSSEEEEETSSGEEEASDDEQSHPSPPQPQTKTIRTQNSAPKKPEPTTQQSESELESDSGSESESESESEPDQSVKPITSKPMEETPPKEAAAVKKSRSKPAVAATPEKSTAVKRGNGADRDSKDLKRAKSKESEPEKSEDSKKQLFQRLWTEEDEIALLQGIIDFIAEKGYDPSKDMNAFYDFIKRSLHFDVSMTQLKDKISRLRKKFENHVKGKKGESKIFSKPHDQKGFDLSKYIWGSEGTIKANGKKNNNSNDNRKGNAKKLEALKAELGMDVGEEERMEVEMERDSSVKKVLKFDGSVSGGKMDDYVVRNGLDFAHGMKKAEMEEKWRKLHMAELELFLKRNELIREQAKLMLSAFKADKD >Potri.005G134850.1.v4.1 pep chromosome:Pop_tri_v4:5:10379067:10379404:-1 gene:Potri.005G134850.v4.1 transcript:Potri.005G134850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134850.v4.1 MPKAVPNRFFTFTGSQTITCSYFNVLFITSQVFINVNSIRFMAPSMSTRAEV >Potri.010G153300.1.v4.1 pep chromosome:Pop_tri_v4:10:16259311:16269380:1 gene:Potri.010G153300.v4.1 transcript:Potri.010G153300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153300.v4.1 MEQEEQQSCFECLKRRINSDFSDKLIFSYALSDSAFPFASSAVVQVSNSNGNSSTSASASQFVLVQLPSHKHNCLTEYVNECVLQDNEESDGHVIDDNQDQAKVNGLTAGPATSDSSLDQSLRLQNGDKIANVNHTGFGSSACAHSSRFACVRTIPALVPTAHIGISSYSNFQKIASDFLSGSLEDHVLRSLSLLIEGKASGRDGVNFLRLIGLPSFEESGIPGCLRHPNIVPVLGLLKTSEYVNLVLPKTPCTLEGILHYCPKALKSEWHIRFLAYQLLSALVYLHGLGVSHGNIHPSNVMLTNLCWSWLRIYDKPISGSNASSRKGESDTPSASARLCCCTDSCFSQVLYADLKLSPSVEWHSQFDQWWKGELSNFEYLLVLNRLAGRRWGDHTFHTVMPWVVDFSTKPDENSDSGWRDLSKSKWRLAKGDEQLDFTFSTSEIPHHVSDECLSELAVCSYKARRLPLSVLRLAVRSVYEPNEYPSNMQRLYQWTPDECIPEFYCDPQIFYSLHSGMTDLAVPPWAGSPEEFIKLHRDALESERVSYQIHHWIDITFGYKMSGQAAVSAKNVMLPSSDTAMPRSVGRRQLFTRPHPVRRVVARKKNDSANTSMNQSQLNVAENDTPLLSETVHLQQLEEVTAFSEHAGYLSPCYYYNPENIAKDVPSVKELARETFEKSICKPLEMSRNGVPCDINLSYLLEHMEVEGEGSLGYQELLLWRQKSSCSSALSEDVAKDIFSVGCVLAELYLKRPLFNSTSLASYIQSGISPGSMQELPPHTKVLVEACIQKDWARRPSAKSILESPYFPATVKSAYLFIAPLQLLANDGPRLQYAANFAKQGALKAMGTLAAEMCAPYCLPLVVNPLSDIEAEWAYVLLKEFLKCLTPKAVKGLILPAIQKILQTAGYSHLKVSLLQGSFVQEIWNFIGKQAYLETVHPLVISNLCIAPHRSSAAVASVLLIGTSEELGVPITVNQTILPLIYCFGKGLCPDGIDVLVRLGGLFGETFIIRQLLPLLKQVARSCVNVSNTTKPEPVQSWSALALVDCLTTLDGLAALLPGEVVVKGLVEDRSLHVMVLTQTNLEISVLQVAATTLLAACQRMGPDLTALHVLPQLKELFDELAFSQEALTGSGSFGQNLKISKSKVDGEVQIGSRMDLVLLLYPSFASLLGIEKLRKCCATWLLLEQYLLRYHNWKWEYTGESSRSGAENTTANRPSLNKGSTSDYNPAKLLLNGVGWSIPQSQGIKGAKNLMPQKRFNDIHQRPVESHAVTSNLLKSEPWFWFPSPAASWDGPDFLGRVGSLKEELPWKIRASIIYSIRAHHGALRSLSVSQDECTVFTAGTGPGFKGTVQKWELSRINCVSGYYGHEEVVNDICVLSSSGRIASSDGTIHVWNSRTGKVVSVFSEPSVYSAHISSPSSQSKTNDHHSNMLNPNTLSSGLLTSAFDGSLYTCMHYLDSLERLVVGTGNGSLRFIDVSQGRKLHLWRGESAEFSFPSLVSAICSCGSDKTPADGAFAQPSWVAAGLSSGHCRLFDLRSGNVIASWRAHDGYVTKLAAPEDHLLVSSSLDRTLRVWDLRRNWPPPQPSVLRGHTDGVSGFSVWGQDIISISRNKIGLSTLSRSTEEDGQQRITPQKLYAADNGTKNVSVLSSISILPFSRLFVVGSEDGYLRICC >Potri.001G009200.2.v4.1 pep chromosome:Pop_tri_v4:1:629457:630794:1 gene:Potri.001G009200.v4.1 transcript:Potri.001G009200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009200.v4.1 MARSYNNHSFLDYISYFSMPIHLFFFISILFSILCLSWYINYEDKFEDLINQVKLFLMLSPVVLLLLVHCLSSCFPVFLPFPERDSLHRAGGSPWGVALLLVFLIFMISYQSSFHELCQGFVANPKSGLKLVGMKWSMMRCVVTAHP >Potri.007G030900.2.v4.1 pep chromosome:Pop_tri_v4:7:2354991:2357647:-1 gene:Potri.007G030900.v4.1 transcript:Potri.007G030900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030900.v4.1 MIRLFKVKEKQRELAENANGGVPIKKQSAGELRLHKDISELNLPKSCTMTFPNGKDDLMSFEVSIRPDEGYYLGGTFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLYHLFTEPNYEDPLNHDAAAVLRDNPKMFESNVRRAMTGGYVGQSFFPRCI >Potri.007G028500.6.v4.1 pep chromosome:Pop_tri_v4:7:2166651:2171081:-1 gene:Potri.007G028500.v4.1 transcript:Potri.007G028500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028500.v4.1 MTDYEAERYEGNGGADFENQSYGAGGADSSPQPRGADDHSDSKSERGSRDYERESSKSREKERSKDRDRGRDRERDRDKDREKSKDRDRDRDRDKDRHRDRDRHRDRTERRDRGRDRDGDDYHRSRDYDSRQRDYDRDKEDRHRRRSRSRSKGRSEHRSRSRSKSKRISGFDMAPPASAMLPSAAAAAVAAAGQITGTTPPIPGMFPNMFPLGTGQQFGALPVMPVQAMTQQATRHARRVYVGGLPPIANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGALRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPPPVVTKVVCLTQVVTVDELKDDDEYEDILEDIRMEAGKFGQLVNVVIPRPRPDGENAPGVGKVFLEYADTEGSSKARAGMNGRKFGGNHVVAVFFPENKFSQGEYDD >Potri.007G028500.2.v4.1 pep chromosome:Pop_tri_v4:7:2166466:2171160:-1 gene:Potri.007G028500.v4.1 transcript:Potri.007G028500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028500.v4.1 MTDYEAERYEGNGGADFENQSYGAGGADSSPQPRGADDHSDSKSERGSRDYERESSKSREKERSKDRDRGRDRERDRDKDREKSKDRDRDRDRDKDRHRDRDRHRDRTERRDRGRDRDGDDYHRSRDYDRQRDYDRDKEDRHRRRSRSRSKGRSEHRSRSRSKSKRISGFDMAPPASAMLPSAAAAAVAAAGQITGTTPPIPGMFPNMFPLGTGQQFGALPVMPVQAMTQQATRHARRVYVGGLPPIANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGALRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPPPVVTKVVCLTQVVTVDELKDDDEYEDILEDIRMEAGKFGQLVNVVIPRPRPDGENAPGVGKVFLEYADTEGSSKARAGMNGRKFGGNHVVAVFFPENKFSQGEYDD >Potri.007G028500.3.v4.1 pep chromosome:Pop_tri_v4:7:2166631:2171181:-1 gene:Potri.007G028500.v4.1 transcript:Potri.007G028500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028500.v4.1 MTDYEAERYEGNGGADFENQSYGAGGADSSPQPRGADDHSDSKSERGSRDYERESSKSREKERSKDRDRGRDRERDRDKDREKSKDRDRDRDRDKDRHRDRDRHRDRTERRDRGRDRDGDDYHRSRDYDRQRDYDRDKEDRHRRRSRSRSKGRSEHRSRSRSKSKRISGFDMAPPASAMLPSAAAAAVAAAGQITGTTPPIPGMFPNMFPLGTGQFGALPVMPVQAMTQQATRHARRVYVGGLPPIANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGALRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPPPVVTKVVCLTQVVTVDELKDDDEYEDILEDIRMEAGKFGQLVNVVIPRPRPDGENAPGVGKVFLEYADTEGSSKARAGMNGRKFGGNHVVAVFFPENKFSQGEYDD >Potri.007G028500.8.v4.1 pep chromosome:Pop_tri_v4:7:2166671:2171161:-1 gene:Potri.007G028500.v4.1 transcript:Potri.007G028500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028500.v4.1 MFPNMFPLGTGQFGALPVMPVQAMTQQATRHARRVYVGGLPPIANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGALRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPPPVVTKVVCLTQVVTVDELKDDDEYEDILEDIRMEAGKFGQLVNVVIPRPRPDGENAPGVGKVFLEYADTEGSSKARAGMNGRKFGGNHVVAVFFPENKFSQGEYDD >Potri.007G028500.7.v4.1 pep chromosome:Pop_tri_v4:7:2166674:2171163:-1 gene:Potri.007G028500.v4.1 transcript:Potri.007G028500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028500.v4.1 MTDYEAERYEGNGGADFENQSYGAGGADSSPQPRGADDHSDSKSERGSRDYERESSKSREKERSKDRDRGRDRERDRDKDREKSKDRDRDRDRDKDRHRDRDRHRDRTERRDRGRDRDGDDYHRSRDYDSRQRDYDRDKEDRHRRRSRSRSKGRSEHRSRSRSKSKRISGFDMAPPASAMLPSAAAAAVAAAGQITGTTPPIPGMFPNMFPLGTGQFGALPVMPVQAMTQQATRHARRVYVGGLPPIANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLAAVGLTPGSAGGLEGPDRIFVGGLPYYFTEAQIRELLESFGALRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPPPVVTKVVCLTQVVTVDELKDDDEYEDILEDIRMEAGKFGQLVNVVIPRPRPDGENAPGVGKVFLEYADTEGSSKARAGMNGRKFGGNHVVAVFFPENKFSQGEYDD >Potri.002G110700.5.v4.1 pep chromosome:Pop_tri_v4:2:8279674:8301714:1 gene:Potri.002G110700.v4.1 transcript:Potri.002G110700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110700.v4.1 MAASPVKFLFGFLSLSVTLWLLFIFASRLMAWILSRILGASVGFRVGGWKCLRDVVVKFRKGPVESISVGEVRLSIRQSLVKLGVGFISRDPKLQVLICDLEIVMRPSSRGTQKTKTQRPRPRTSGRGKWMVLANVARFLSVSVTDLAVKTPKATIDVKELRLDISKDGGSKPNLYVKLNISPVLIHMGEPRIISDQMPNFNNGGCISSGEVAFGNMDRSSAAFFCEELSLSCEFNHDREVGVIIQNVDINSGEVTVNLNEELLSRKKSSSDAFAHTDKELVADSSVSKNQQNKQSKLVAITKYASMFPEKVFFTLPKLDVRFVHQEHDLVVENNIMGIQLRSIKSRSAEDVGESTLIEVQMDFSEIHLLREAGTSVLEILKVDVVSSVYIPIQPISPVRAEVDVKLGGTQCNIIMSRLKPWLRLHHSKKKKMVLREETSTPVRSPTTESKVIMWTCTVSAPEMTIVLYSINGLPLYQGCSQSSHVFANNISSMGTAVHMELGELNLHMADEYQECLKESPFGMESNSGALMHIAKVSLDWGKKDIESSEEDGSRCKLVLNVDVTGMGIYLNFKRVESLITTGISFQALLKSLSASGKRTAQSRGGRSSKPSGKGTRFLKFNLERCSVNFCGDTSLENTVVLDPKRVNYGSQGGQVIISVLDDGTPRTASIMSSVSDECKKLKYSVSLDIFHFTLCMNKEKQSTEMELERARSMYQEYLEERSLDTKVTVFDMQNAKFVQRSGGLKGIAICSLFSATDIKVRWEPDVHLSLIELVLQLRLLVHHQKLQVYGNESKEDASNMKDTDQKKEAPSAPEHLDKHKKRESIFAVDVEMLTISGEVGDGVEAVVQVQSIFSENACIGLLLEGLLLSFNGSRVLKSSRMQISRIPSTPSSLSDAKIPASVTWDWVIQGLDVHICLPYRLQLRAIDDSIEDMWRGLKLITAAKTALIFPMKKETSKPKRSSSAKFGSVKFFIRKLTADIEEEPMQGWLDEHYQLMKNEASELAVRLKFFDEFISKASHCPKVAETVDSSQERKVMYNGVEIDLQNPSNIQELREGIYKQSFRSYYNACQKLVTSEGSGACVEGFQTGFKPSTARISLLSISATELEVSLTRIDGGDAGMIEVLKKLDPVCCENDIPFSRLYGSNIFLRTGNLAVQLRNYTFPLFAATSGKCEGCVVLAQQATSFQPQIYQDVFIGRWRKVRMLRSASGTTPPVKSYFDLPLHFQKGEVSFGVGYEPSFADVSYAFMVALRRANLSVRNSDAPQVQPPKKERSLPWWDDMRNYIHGNITLFFSETRWHVLATTDPYEKLDQLQFVSGLMKIQQSDGRVYVSAQDFKILISSLEKLASGCGLKLPSGASGALLEAPVFTLEVTMDWECDSGTPLNHYLYALPIEGKPREKVFDPFRSTSLSLRWNFSFRPSPPSCESQLPSSSSVDSKVVNGTVYDLPYKPENVSTVSPTLNIGAHDLAWLIKFWNMNYLPPHKLRSFSRWPRFGIARAIRSGNLSLDKVMTEFFLRIDATPTCIKHMPLDVDDPAKGLTFNMTKMKYELCYSRGKQMFTFECKRDPLDLVYQGLDLYMPKAILDKVDSNSVPKAVQMTRNNSQSSAVNRIPSEKRNNMGGCTEKHRDDGFLLSCDYFTIRRQSRKADADRLSAWQEAGRRNLEMTYVRSEFENGSESDDHTRSDPSDDDGYNVVIADNCQQVFVYGLKLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQNARKLHEENQLDPKSEVLQDDISNLPSISHKVDTPSHHVETSGTLSSPSHSAKVKNSSFPSIVTNGSIDDSEEEGTRHFMVNVMEPQFNLHSEEANGRFLLAAVSGRVLARSFNSILHVGYEIIEQGMVNGNVQQIPEHVPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKPLKELTFNSHNIMATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSYPAEDDGDVEEEADEVVPDGVEEVELAKINLEQKEREHKLILNDIRKLSLFSDTSGDPLSRKEADLWMVTGGRYSLVQGLKRELVSAKKSRKEASVSLRMALQKAAQLRLMEKEKNKSPSYAMRISLKINKVVWSMLVDGKTFAEAEINDMIFDFDRDYKDVGVALFTTKYFVVRNCLSNAKCDMVLSPWNAPTDWGKEVMLRVDAKQGAPRDGNSRIELFQVKIFPLKIYLTETMYKMMWEYFFPEEEQDSQRRQEVWKVSTTAGAKRVKKGPSSHEASSSCSHTTKESDVPSKVIGSSAPELRRTSSFDRTWEETVAESVATELVLQAHSSGISSSKSEPFDSIEQPDESSRSKSKESKPVKSGRSSHEEKKVGKTNEEKRSRPRKVMEFNNIKISQVELQLTYESSRFNLHELKLLMDTFHRVEFTGTWRRLFSRVKKHVVWGTLKSVTGMQGKKFKDKAHGQRDPNVASVPDSDLNFSDNDDGLAVQSDQYPNWLKRPTDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFHGEWSESDAEFSPFARQLTITKAKRLIKRHTKKFRSRGQKASSSQQRESLPSSPRESTPFESDSYSDSSPYEDFHE >Potri.002G110700.6.v4.1 pep chromosome:Pop_tri_v4:2:8286876:8301756:1 gene:Potri.002G110700.v4.1 transcript:Potri.002G110700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110700.v4.1 MELGELNLHMADEYQECLKESPFGMESNSGALMHIAKVSLDWGKKDIESSEEDGSRCKLVLNVDVTGMGIYLNFKRVESLITTGISFQALLKSLSASGKRTAQSRGGRSSKPSGKGTRFLKFNLERCSVNFCGDTSLENTVVLDPKRVNYGSQGGQVIISVLDDGTPRTASIMSSVSDECKKLKYSVSLDIFHFTLCMNKEKQSTEMELERARSMYQEYLEERSLDTKVTVFDMQNAKFVQRSGGLKGIAICSLFSATDIKVRWEPDVHLSLIELVLQLRLLVHHQKLQVYGNESKEDASNMKDTDQKKEAPSAPEHLDKHKKRESIFAVDVEMLTISGEVGDGVEAVVQVQSIFSENACIGLLLEGLLLSFNGSRVLKSSRMQISRIPSTPSSLSDAKIPASVTWDWVIQGLDVHICLPYRLQLRAIDDSIEDMWRGLKLITAAKTALIFPMKKETSKPKRSSSAKFGSVKFFIRKLTADIEEEPMQGWLDEHYQLMKNEASELAVRLKFFDEFISKASHCPKVAETVDSSQERKVMYNGVEIDLQNPSNIQELREGIYKQSFRSYYNACQKLVTSEGSGACVEGFQTGFKPSTARISLLSISATELEVSLTRIDGGDAGMIEVLKKLDPVCCENDIPFSRLYGSNIFLRTGNLAVQLRNYTFPLFAATSGKCEGCVVLAQQATSFQPQIYQDVFIGRWRKVRMLRSASGTTPPVKSYFDLPLHFQKGEVSFGVGYEPSFADVSYAFMVALRRANLSVRNSDAPQVQPPKKERSLPWWDDMRNYIHGNITLFFSETRWHVLATTDPYEKLDQLQFVSGLMKIQQSDGRVYVSAQDFKILISSLEKLASGCGLKLPSGASGALLEAPVFTLEVTMDWECDSGTPLNHYLYALPIEGKPREKVFDPFRSTSLSLRWNFSFRPSPPSCESQLPSSSSVDSKVVNGTVYDLPYKPENVSTVSPTLNIGAHDLAWLIKFWNMNYLPPHKLRSFSRWPRFGIARAIRSGNLSLDKVMTEFFLRIDATPTCIKHMPLDVDDPAKGLTFNMTKMKYELCYSRGKQMFTFECKRDPLDLVYQGLDLYMPKAILDKVDSNSVPKAVQMTRNNSQSSAVNRIPSEKRNNMGGCTEKHRDDGFLLSCDYFTIRRQSRKADADRLSAWQEAGRRNLEMTYVRSEFENGSESDDHTRSDPSDDDGYNVVIADNCQQVFVYGLKLLWTIENRDAVWSWVGGISKAFEPPKPSPSRQNARKLHEENQLDPKSEVLQDDISNLPSISHKVDTPSHHVETSGTLSSPSHSAKVKNSSFPSIVTNGSIDDSEEEGTRHFMVNVMEPQFNLHSEEANGRFLLAAVSGRVLARSFNSILHVGYEIIEQGMVNGNVQQIPEHVPEMTWKRMEFSVMLEHVQAHVAPTDVDPGAGLQWLPKILRSSPKVKRTGALLERVFMPCDMYFRYTRHKGGTPDLKVKPLKELTFNSHNIMATMTSRQFQVMLDVLTNLLFARLPKPRKSSLSYPAEDDGDVEEEADEVVPDGVEEVELAKINLEQKEREHKLILNDIRKLSLFSDTSGDPLSRKEADLWMVTGGRYSLVQGLKRELVSAKKSRKEASVSLRMALQKAAQLRLMEKEKNKSPSYAMRISLKINKVVWSMLVDGKTFAEAEINDMIFDFDRDYKDVGVALFTTKYFVVRNCLSNAKCDMVLSPWNAPTDWGKEVMLRVDAKQGAPRDGNSRIELFQVKIFPLKIYLTETMYKMMWEYFFPEEEQDSQRRQEVWKVSTTAGAKRVKKGPSSHEASSSCSHTTKESDVPSKVIGSSAPELRRTSSFDRTWEETVAESVATELVLQAHSSGISSSKSEPFDSIEQPDESSRSKSKESKPVKSGRSSHEEKKVGKTNEEKRSRPRKVMEFNNIKISQVELQLTYESSRFNLHELKLLMDTFHRVEFTGTWRRLFSRVKKHVVWGTLKSVTGMQGKKFKDKAHGQRDPNVASVPDSDLNFSDNDDGLAVQSDQYPNWLKRPTDGAGDGFVTSIRGLFNTQRRKAKAFVLRTMRGEAENDFHGEWSESDAEFSPFARQLTITKAKRLIKRHTKKFRSRGQKASSSQQRESLPSSPRESTPFESDSYSDSSPYEDFHE >Potri.019G068900.5.v4.1 pep chromosome:Pop_tri_v4:19:10950864:10955975:-1 gene:Potri.019G068900.v4.1 transcript:Potri.019G068900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068900.v4.1 MQRSRRAFLERRALEKDIRGKNQFYKVSLSLVFVLWGLVFLLSIWISHGDGYTDGSGDLPVSISTWNEATAEPSKCSVSVHKNQSKETCPVCSDESSCTDSAETRGSNDTLLISEGNTNDAFAVEQSEVDSGSAVKSENNAQKTDRPSRVVPLGLDEFKSRAFSSKSKPGTGQVGGVIHRMEPGGKEYNYASASKGAKVLAFNKEAKGASNILVGDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKHFELLGSLVYPTGDWVKLGNFTAANVKHAQRFTLQVLIGVRYLRLNLLSHYGSEFYCTLSVIEIYGVDAVEQMLEDMISDQDNLFGYEVGAGEQKPPSSQLESTQDDDTYTDLYSDMEDSSVENSNAKNEVVKNKLPDPVEEVRHQQVGRMPGDSVLKILMQKVRSLDLSLSILERYLEEVNSKYGNIFKEIDKDLGEKDILLEKMRSDVKSLHSSQDLIAKDVNDLISWKSLASTQLDGLLRDNLILRSKIERVLEIQKSMENKGIAVFLICLIFGILAFVRLFVDLLLSVYMAFNVQGTESRKFCWTGSSWHFLLLSCTVIILVISL >Potri.019G068900.7.v4.1 pep chromosome:Pop_tri_v4:19:10951110:10956087:-1 gene:Potri.019G068900.v4.1 transcript:Potri.019G068900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068900.v4.1 MEPGGKEYNYASASKGAKVLAFNKEAKGASNILVGDKDKYLRNPCSAEEKFVVIELSEETLVDTIEIANFEHYSSNLKHFELLGSLVYPTGDWVKLGNFTAANVKHAQRFTLQVLIGVRYLRLNLLSHYGSEFYCTLSVIEIYGVDAVEQMLEDMISDQDNLFGYEVGAGEQKPPSSQLESTQDDDTYTDLYSDMEDSSVENSNAKNEVVKNKLPDPVEEVRHQQVGRMPGDSVLKILMQKVRSLDLSLSILERYLEEVNSKYGNIFKEIDKDLGEKDILLEKMRSDVKSLHSSQDLIAKDVNDLISWKSLASTQLDGLLRDNLILRLLLFLSDIFHLLLGTMLLKFVYTAYIWHCFFYKKNP >Potri.006G090700.1.v4.1 pep chromosome:Pop_tri_v4:6:6916217:6918351:1 gene:Potri.006G090700.v4.1 transcript:Potri.006G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090700.v4.1 MAFNSVLRRASKSFLPLAIRTVGSPRTFQRAIPTVLFVENRTTLRNFLPFSHFSTAATSEKPIADDNLIRALETEIDCAEEPQDVEDIPNEFPFKIEDNPGERTISLNRKFQDETIKIEVDMPNVSIDVEDTDDNTKDADVSSIPLVVSISKGSGQHMEFGITAFPDEITIDSLSIKNPENSDELAYAGPDFNDLDENLQNAFHKHLEIRGIKPSTTNVLFDYMANKDNKEYLLWLKNLKNFVEK >Potri.011G044300.1.v4.1 pep chromosome:Pop_tri_v4:11:3456046:3465359:1 gene:Potri.011G044300.v4.1 transcript:Potri.011G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044300.v4.1 MTSGIMGRKNSCLALVLLVVLVFQCVWVERVWCVTVTYDHKALVIDGKRRVLQSGSIHYPRTTPEVWPEIIRKSKEGGLDVIETYVFWNYHEPVRGQYYFEGRFDLVRFVKTVQEAGLFVHLRIGPYACAEWNYGGFPLWLHFIPGVQFRTSNDIFKNAMKSFLTKIVDLMKDDNLFASQGGPIILAQVENEYGNVQWAYGVGGELYVKWAAETAISLNTTVPWVMCVQEDAPDPVINTCNGFYCDQFTPNSPSKPKMWTENYSGWFLAFGYAVPYRPVEDLAFAVARFFEYGGSFQNYYMYFGGTNFGRTAGGPLVATSYDYDAPIDEYGFIRQPKWGHLRDLHSAIKQCEEYLVSSDPVHQQLGNKLEAHVYYKHSNDCAAFLANYDSGSDANVTFNGNTYFLPAWSVSILADCKNVIFNTAKVVTQRHIGDALFSRSTTVDGNLVAASPWSWYKEEVGIWGNNSFTKPGLLEQINTTKDTSDFLWYSTSLYVEAGQDKEHLLNIESLGHAALVFVNKRFVAFGYGNHDDASFSLTREISLEEGNNTLDVLSMLIGVQNYGPWFDVQGAGIHSVFLVDLHKSKKDLSSGKWTYQVGLEGEYLGLDNVSLANSSLWSQGTSLPVNKSLIWYKATILAPEGNGPLALNLASMGKGQAWINGQSIGRYWSAYLSPSAGCTDNCDYRGAYNSFKCQKKCGQPAQTLYHIPRTWVHPGENLLVLHEELGGDPSQISLLTRTGQDICSIVSEDDPPPADSWKPNLEFMSQSPEVRLTCEHGWHIAGINFASFGTPEGKCGTFTPGNCHADMLTIVKKACIGHERCSIPISAAKLGDPCPGVVKRFVVEALCSE >Potri.012G056500.1.v4.1 pep chromosome:Pop_tri_v4:12:5563807:5566494:1 gene:Potri.012G056500.v4.1 transcript:Potri.012G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056500.v4.1 MAGGCEERERRMMMMESVVCPKPRKLLLLNPSLCEQIRPLRLSVNHHAEMADSKARAELLDIILTKGGNGGDKPAFQVASSPPFYCGSPPSRASNPVIQDVQFGNEKITPLSPAPPSPSPSSSSARKGGSCVRMKFGDMPAVVRIEGFDCRSRDQRNCSISAVA >Potri.006G137000.1.v4.1 pep chromosome:Pop_tri_v4:6:11323028:11323828:1 gene:Potri.006G137000.v4.1 transcript:Potri.006G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137000.v4.1 MAKGGKLMRLKSVLKKLNSFNNNKQSRPSKIGSSISVTNDDISSSYSSGDLHPVYVGKSRRRYLISSDIIDHPLFRELAERSSTEQSPDTINVACEVVLFEHLLWMLENADPQPESLDELVEFYAC >Potri.002G247600.1.v4.1 pep chromosome:Pop_tri_v4:2:23804913:23805678:-1 gene:Potri.002G247600.v4.1 transcript:Potri.002G247600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247600.v4.1 MVFFLVRFIFLAITALSSLVSRLIFSATAYLLVLIIQGCRLPGQAVQGGLQLIADAIRACFEYVLQFIVGEISSAATAALDLLIKGISGSAALTGSALGGLVEKTRASLDGVLENSLSQLAEDFRQMISTIMTDLWSNYKDAVGYFTKNA >Potri.008G224102.1.v4.1 pep chromosome:Pop_tri_v4:8:18968951:18969199:1 gene:Potri.008G224102.v4.1 transcript:Potri.008G224102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224102.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.009G107800.3.v4.1 pep chromosome:Pop_tri_v4:9:9349281:9354673:-1 gene:Potri.009G107800.v4.1 transcript:Potri.009G107800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G107800.v4.1 MEDGINPQIKEDKEEPQEEEKHEGSQTSSGWGGWGFSAFSVLSDLQKKAEEISRNAAVVAEKAAKSITDLNIAEDSESSKGEPEEEESASDKETKGEETEDDKLRKSTLEKLEKASEDSILGQGLKVLDHSVENLASGAWQALGSAWKGGSNLVQKLENSAVNLADSIQQGSLPGSAGSVAPSLLETGKAFTAKGMQVLEYVGKETMDLLITETGIEVEKNTKNSEKGADEDHLLEEMTFDRCFYIYGGPEQLEELEALSNHYALLFNRRKAKLSSEEKSAYDGKLKLVQQIFSLSTEMDAAEFEKGKKIESATEGSSDEMKNLHDSSVSKAADMAAGFTNALAGQAVNDIIQRTAGRLETLHSEGVHRLSEMCCSAVSQLLMLGKSVISNANKVQQEDADGDIVDIDWPEDSVEKAKVMRTKARSMAGYVEAVSNSFITGISDVAEAYAAAINGATADSHENFQQSSIQDKVNAFSELLRTDRTTAVSKIQDGLQYLSYVVISTSMPAA >Potri.002G051900.1.v4.1 pep chromosome:Pop_tri_v4:2:3489542:3491298:1 gene:Potri.002G051900.v4.1 transcript:Potri.002G051900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051900.v4.1 MASSGSQQTPPYKPYRHIRTLRGHERAVSCVKFSNDGTLLASASLDKTLILWSSPDFSLVHRLIGHSEGVSDLAWSSDSHYICSASDDRTLRIWDARTPFDCLKILKGHSDFVFCVNFNPQSNLIVSGSFDETIRIWEVKTGKCVRVIRAHSMPVTSVHFNRDGSLIVSGSHDGSCKIWEASSGTCLKTLIDDKNPAVSFVKFSPNGKFILVATLDSTLKLWNYSTGKFLKIYSGHTNKVYCITSTFSVTNGKYIVSGSEDKCVYLWDLQQKTMVQKLEGHTDTAISVTCHPTENKIASAGLDGDKSIRIWHQD >Potri.003G188750.1.v4.1 pep chromosome:Pop_tri_v4:3:19300783:19301255:1 gene:Potri.003G188750.v4.1 transcript:Potri.003G188750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188750.v4.1 MFNQKPPMAEDLQELVLNLPREKDLDGTNSLYLFKGAWVSAYVLRAVDSFQRHFIAKDTDIIVASMPKSGTTWLKALTFSVAKRHIYDPTESP >Potri.010G047200.5.v4.1 pep chromosome:Pop_tri_v4:10:7962892:7968790:-1 gene:Potri.010G047200.v4.1 transcript:Potri.010G047200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047200.v4.1 MGILALSAGSRKPNETMRILLTTFFGVVFGFLIGVSFPTLSLTKSNKSSGFFPVIDLAYSENKPGSKTVLSPWSSMKGNNSSSMQAPNSKDKSKIWVPSNPRGAERLPPGFVVAESDYYLRRLWGDPKEDLTRAPRYLVTFTVGYDQRKNIDACVKKFSENFTILLFHYDGRVSEWDEFEWSKSAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDSEVHKITDEKPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCIEPAHEKIGVVDSQWIVHQTIPSLGNQGESQNGKKPWQGVKERCRKEWTMFQNRLASAERTYFRTAGLDPPNSNGH >Potri.010G047200.6.v4.1 pep chromosome:Pop_tri_v4:10:7962892:7968758:-1 gene:Potri.010G047200.v4.1 transcript:Potri.010G047200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047200.v4.1 MFGVPLTSFAGSRKPNETMRILLTTFFGVVFGFLIGVSFPTLSLTKSNKSSGFFPVIDLAYSENKPGSKTVLSPWSSMKGNNSSSMQAPNSKDKSKIWVPSNPRGAERLPPGFVVAESDYYLRRLWGDPKEDLTRAPRYLVTFTVGYDQRKNIDACVKKFSENFTILLFHYDGRVSEWDEFEWSKSAIHVSARKQTKWWYAKRFLHPDIVAPYDYIFIWDEDLGVEHFNAEEYIKLVKKHGLEISQPGLEPNKGLTWQMTKRRGDSEVHKITDEKPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWHMIQNDLVHGWGLDFALRKCIEPAHEKIGVVDSQWIVHQTIPSLGNQGESQNGKKPWQGVKERCRKEWTMFQNRLASAERTYFRTAGLDPPNSNGH >Potri.002G243900.2.v4.1 pep chromosome:Pop_tri_v4:2:23572854:23577049:-1 gene:Potri.002G243900.v4.1 transcript:Potri.002G243900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243900.v4.1 MGFFNFGLQVVVVLISYCSFVSFVVYGNKIRSNVIPSTLDGPFEPRTVPFDVSLRGNAVDLPDADPRVRRRVKGFQPEQISLSLSATYDSVWISWITGEFQMSNHNKNITPLDPKSVASVVRYGTLRNPLNHEAKGYSLVYSQLYPFEGLQNYTSGIIHHVRLTGLKPDKLYYYRCGDPSIGALSDVYSFKTMPVSSPKTYPKRIAVMGDLGLTYNTSTTISHVISNKPQLALLVGDVTYANLYLTNGTGCDCYSCSFPNSPIHETYQPRWDYWGRFMQPLVSKVPLMVVEGNHEIEKQVGNQTFAAYSSRFAFPAKESGSSSTFYYSFNAGGIHFVMLGAYIAYHRSSDQYRWLERDLANVDRFVTPWLVAVWHPPWYSSYNAHYREAECMMAAMEELLYSYAVDIVFNGHVHAYERSNRVYNYTLDPCGPVHIVVGDGGNREKMAVGHADEPGNCPDPATTPDQHIGGFCALNFTTGPAAGQFCWDRQPDYSAFRESSFGHGILEVKNQTWALWTWHRNQDSRSTVGDQIYIVRQPDKCPVRYKQSERWFASI >Potri.001G453200.11.v4.1 pep chromosome:Pop_tri_v4:1:47998908:48005816:1 gene:Potri.001G453200.v4.1 transcript:Potri.001G453200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453200.v4.1 MFGASNPFGQSSNSPFGTTQPVFGQASNVSSGPFALKPFGSPTTPFGAQTGSSIFGGTSTGMFGAPQTSSFSATNAFGSSTPAFGASTTPAFGSSSSSAFGGSSVFGQKPFGGFGSTTQTSPFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGNNSLFGSTPFGAPSQSAFGAASTPAFGTTTAPAFGATSTTPAFGSTSTTPAFGAPSTTPAFGSTATPGFGSTGASYTSSPLFGAGGAFGTSTSVFGSSATTPAFGGLTGSGFGTPTTSAFGATTTSAFGATSSPSFTFSSSPGFGQSTSTFGSSPFGSTTSTFPAQPSPFGAQPTTSAFGNTGFAQPGFGGHRPGTRAAPYAETAEAEGGAQAGKLVSISAMPAYKDKSHEELRWEDYQLGDKGGPLPPGQSPGGAGYSMSTPQANPFAPSTGLGQTSTNMFSSTATNLFAPKTQTSTPSFTTASPFGPSTSSNLFQPSTPAFSVGSSPSLFGSTSMPNFSTTPSLFSSTTGQGNASPFGSNMFNNTQSSLSFPSTTPSMGQTTAFPQSNPFGPSTTSSLFNSPATGGLFSSTQSQITPNLGGFNQMTPSQPAQNAAGNSGFAGVSSNFGQPFTTQNAVAVQPVPITNPFGTLPAMPQVSFGRAGTTPSVQYGISTMPVVEKPSPIRISSLLTSRHLSQRRIRLPMRKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRESAEKASPLNASAPVHENENSAENGVIKEQVKVNQKPNGVHEDHATQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERARPGFCRHVKDFVVGRHGYGSIKFLVETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCIDKKTGRQFTEGPKIERYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEDEGEEDWDVVQATCNKVDDCLVLKE >Potri.001G453200.10.v4.1 pep chromosome:Pop_tri_v4:1:47998879:48005777:1 gene:Potri.001G453200.v4.1 transcript:Potri.001G453200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453200.v4.1 MFGASNPFGQSSNSPFGTTQPVFGQASNVSSGPFALKPFGSPTTPFGAQTGSSIFGGTSTGMFGAPQTSSFSATNAFGSSTPAFGASTTPAFGSSSSSAFGAGSSVFGQKPFGGFGSTTQTSPFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGNNSLFGSTPFGAPSQSAFGAASTPAFGTTTAPAFGATSTTPAFGSTSTTPAFGAPSTTPAFGSTATPGFGSTGASYTSSPLFGAGGAFGTSTSVFGSSATTPAFGGLTGSGFGTPTTSAFGATTTSAFGATSSPSFTFSSSPGFGQSTSTFGSSPFGSTTSTFPAQPSPFGAQPTTSAFGNTGFAQPGFGGHRPGTRAAPYAETAEAEGGAQAGKLVSISAMPAYKDKSHEELRWEDYQLGDKGGPLPPGQSPGGAGYSMSTPQANPFAPSTGLGQTSTNMFSSTATNLFAPKTQTSTPSFTTASPFGPSTSSNLFQPSTPAFSVGSSPSLFGSTSMPNFSTTPSLFSSTTGQGNASPFGSNMFNNTQSSLSFPSTTPSMGQTTAFPQSNPFGPSTTSSLFNSPATGGLFSSTQSQITPNLGGFNQMTPSQPAQNAAGNSGFAGVSSNFGQPFTTQNAVAVQPVPITNPFGTLPAMPQVSFGRAGTTPSVQYGISTMPVVEKPSPIRISSLLTSRHLSQRRIRLPMRKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRESAEKASPLNASAPVHENENSAENGVIKEQVKVNQKPNGVHEDHATQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERARPGFCRHVKDFVVGRHGYGSIKFLVETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCIDKKTGRQFTEGPKIERYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEDEGEEDWDVVQATCNKVDDCLVLKE >Potri.001G453200.1.v4.1 pep chromosome:Pop_tri_v4:1:47998879:48005819:1 gene:Potri.001G453200.v4.1 transcript:Potri.001G453200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453200.v4.1 MFGASNPFGQSSNSPFGTTQPVFGQASNVSSGPFALKPFGSPTTPFGAQTGSSIFGGTSTGMFGAPQTSSFSATNAFGSSTPAFGASTTPAFGSSSSSAFGAGSSVFGQKPFGGFGSTTQTSPFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGNNSLFGSTPFGAPSQSAFGAASTPAFGTTTAPAFGATSTTPAFGSTSTTPAFGAPSTTPAFGSTATPGFGSTGASYTSSPLFGAGGAFGTSTSVFGSSATTPAFGGLTGSGFGTPTTSAFGATTTSAFGATSSPSFTFSSSPGFGQSTSTFGSSPFGSTTSTFPAQPSPFGAQPTTSAFGNTGFAQPGFGGHRPGTRAAPYAETAEAEGGAQAGKLVSISAMPAYKDKSHEELRWEDYQLGDKGGPLPPGQSPGGAGYSMSTPQANPFAPSTGLGQTSTNMFSSTATNLFAPKTQTSTPSFTTASPFGPSTSSNLFQPSTPAFSVGSSPSLFGSTSMPNFSTTPSLFSSTTGQGNASPFGSNMFNNTQSSLSFPSTTPSMGQTTAFPQSNPFGPSTTSSLFNSPATGGLFSSTQSQITPNLGGFNQMTPSQPAQNAGIFSLNFSQTQAAGNSGFAGVSSNFGQPFTTQNAVAVQPVPITNPFGTLPAMPQVSFGRAGTTPSVQYGISTMPVVEKPSPIRISSLLTSRHLSQRRIRLPMRKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRESAEKASPLNASAPVHENGKFSVSEDACPSNGSTAGDKNKNSAENGVIKEQVKVNQKPNGVHEDHATQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERARPGFCRHVKDFVVGRHGYGSIKFLVETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCIDKKTGRQFTEGPKIERYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEDEGEEDWDVVQATCNKVDDCLVLKE >Potri.001G453200.2.v4.1 pep chromosome:Pop_tri_v4:1:47998877:48005818:1 gene:Potri.001G453200.v4.1 transcript:Potri.001G453200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453200.v4.1 MFGASNPFGQSSNSPFGTTQPVFGQASNVSSGPFALKPFGSPTTPFGAQTGSSIFGGTSTGMFGAPQTSSFSATNAFGSSTPAFGASTTPAFGSSSSSAFGAGSSVFGQKPFGGFGSTTQTSPFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGNNSLFGSTPFGAPSQSAFGAASTPAFGTTTAPAFGATSTTPAFGSTSTTPAFGAPSTTPAFGSTATPGFGSTGASYTSSPLFGAGGAFGTSTSVFGSSATTPAFGGLTGSGFGTPTTSAFGATTTSAFGATSSPSFTFSSSPGFGQSTSTFGSSPFGSTTSTFPAQPSPFGAQPTTSAFGNTGFAQPGFGGHRPGTRAAPYAETAEAEGGAQAGKLVSISAMPAYKDKSHEELRWEDYQLGDKGGPLPPGQSPGGAGYSMSTPQANPFAPSTGLGQTSTNMFSSTATNLFAPKTQTSTPSFTTASPFGPSTSSNLFQPSTPAFSVGSSPSLFGSTSMPNFSTTPSLFSSTTGQGNASPFGSNMFNNTQSSLSFPSTTPSMGQTTAFPQSNPFGPSTTSSLFNSPATGGLFSSTQSQITPNLGGFNQMTPSQPAQNAGIFSLNFSQTQAAGNSGFAGVSSNFGQPFTTQNAVAVQPVPITNPFGTLPAMPQVSFGRAGTTPSVQYGISTMPVVEKPSPIRISSLLTSRHLSQRRIRLPMRKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRESAEKASPLNASAPVHENENSAENGVIKEQVKVNQKPNGVHEDHATQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERARPGFCRHVKDFVVGRHGYGSIKFLVETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCIDKKTGRQFTEGPKIERYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEDEGEEDWDVVQATCNKVDDCLVLKE >Potri.001G453200.9.v4.1 pep chromosome:Pop_tri_v4:1:47998883:48005819:1 gene:Potri.001G453200.v4.1 transcript:Potri.001G453200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453200.v4.1 MFGASNPFGQSSNSPFGTTQPVFGQASNVSSGPFALKPFGSPTTPFGAQTGSSIFGGTSTGMFGAPQTSSFSATNAFGSSTPAFGASTTPAFGSSSSSAFGGSSVFGQKPFGGFGSTTQTSPFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGNNSLFGSTPFGAPSQSAFGAASTPAFGTTTAPAFGATSTTPAFGSTSTTPAFGAPSTTPAFGSTATPGFGSTGASYTSSPLFGAGGAFGTSTSVFGSSATTPAFGGLTGSGFGTPTTSAFGATTTSAFGATSSPSFTFSSSPGFGQSTSTFGSSPFGSTTSTFPAQPSPFGAQPTTSAFGNTGFAQPGFGGHRPGTRAAPYAETAEAEGGAQAGKLVSISAMPAYKDKSHEELRWEDYQLGDKGGPLPPGQSPGGAGYSMSTPQANPFAPSTGLGQTSTNMFSSTATNLFAPKTQTSTPSFTTASPFGPSTSSNLFQPSTPAFSVGSSPSLFGSTSMPNFSTTPSLFSSTTGQGNASPFGSNMFNNTQSSLSFPSTTPSMGQTTAFPQSNPFGPSTTSSLFNSPATGGLFSSTQSQITPNLGGFNQMTPSQPAQNAGIFSLNFSQTQAAGNSGFAGVSSNFGQPFTTQNAVAVQPVPITNPFGTLPAMPQVSFGRAGTTPSVQYGISTMPVVEKPSPIRISSLLTSRHLSQRRIRLPMRKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRESAEKASPLNASAPVHENENSAENGVIKEQVKVNQKPNGVHEDHATQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERARPGFCRHVKDFVVGRHGYGSIKFLVETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCIDKKTGRQFTEGPKIERYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEDEGEEDWDVVQATCNKVDDCLVLKE >Potri.001G453200.3.v4.1 pep chromosome:Pop_tri_v4:1:47998933:48005866:1 gene:Potri.001G453200.v4.1 transcript:Potri.001G453200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453200.v4.1 MFGASNPFGQSSNSPFGTTQPVFGQASNVSSGPFALKPFGSPTTPFGAQTGSSIFGGTSTGMFGAPQTSSFSATNAFGSSTPAFGASTTPAFGSSSSSAFGGSSVFGQKPFGGFGSTTQTSPFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGNNSLFGSTPFGAPSQSAFGAASTPAFGTTTAPAFGATSTTPAFGSTSTTPAFGAPSTTPAFGSTATPGFGSTGASYTSSPLFGAGGAFGTSTSVFGSSATTPAFGGLTGSGFGTPTTSAFGATTTSAFGATSSPSFTFSSSPGFGQSTSTFGSSPFGSTTSTFPAQPSPFGAQPTTSAFGNTGFAQPGFGGHRPGTRAAPYAETAEAEGGAQAGKLVSISAMPAYKDKSHEELRWEDYQLGDKGGPLPPGQSPGGAGYSMSTPQANPFAPSTGLGQTSTNMFSSTATNLFAPKTQTSTPSFTTASPFGPSTSSNLFQPSTPAFSVGSSPSLFGSTSMPNFSTTPSLFSSTTGQGNASPFGSNMFNNTQSSLSFPSTTPSMGQTTAFPQSNPFGPSTTSSLFNSPATGGLFSSTQSQITPNLGGFNQMTPSQPAQNAGIFSLNFSQTQAAGNSGFAGVSSNFGQPFTTQNAVAVQPVPITNPFGTLPAMPQVSFGRAGTTPSVQYGISTMPVVEKPSPIRISSLLTSRHLSQRRIRLPMRKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRESAEKASPLNASAPVHENGKFSVSEDACPSNGSTAGDKNKNSAENGVIKEQVKVNQKPNGVHEDHATQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERARPGFCRHVKDFVVGRHGYGSIKFLVETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCIDKKTGRQFTEGPKIERYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEDEGEEDWDVVQATCNKVDDCLVLKE >Potri.001G453200.8.v4.1 pep chromosome:Pop_tri_v4:1:47998908:48005830:1 gene:Potri.001G453200.v4.1 transcript:Potri.001G453200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453200.v4.1 MFGASNPFGQSSNSPFGTTQPVFGQASNVSSGPFALKPFGSPTTPFGAQTGSSIFGGTSTGMFGAPQTSSFSATNAFGSSTPAFGASTTPAFGSSSSSAFGGSSVFGQKPFGGFGSTTQTSPFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGSTTQTSPFGNTNQQPQPGFGNTNQQPQPGFGNNSLFGSTPFGAPSQSAFGAASTPAFGTTTAPAFGATSTTPAFGSTSTTPAFGAPSTTPAFGSTATPGFGSTGASYTSSPLFGAGGAFGTSTSVFGSSATTPAFGGLTGSGFGTPTTSAFGATTTSAFGATSSPSFTFSSSPGFGQSTSTFGSSPFGSTTSTFPAQPSPFGAQPTTSAFGNTGFAQPGFGGHRPGTRAAPYAETAEAEGGAQAGKLVSISAMPAYKDKSHEELRWEDYQLGDKGGPLPPGQSPGGAGYSMSTPQANPFAPSTGLGQTSTNMFSSTATNLFAPKTQTSTPSFTTASPFGPSTSSNLFQPSTPAFSVGSSPSLFGSTSMPNFSTTPSLFSSTTGQGNASPFGSNMFNNTQSSLSFPSTTPSMGQTTAFPQSNPFGPSTTSSLFNSPATGGLFSSTQSQITPNLGGFNQMTPSQPAQNAAGNSGFAGVSSNFGQPFTTQNAVAVQPVPITNPFGTLPAMPQVSFGRAGTTPSVQYGISTMPVVEKPSPIRISSLLTSRHLSQRRIRLPMRKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRESAEKASPLNASAPVHENGKFSVSEDACPSNGSTAGDKNKNSAENGVIKEQVKVNQKPNGVHEDHATQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERARPGFCRHVKDFVVGRHGYGSIKFLVETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCIDKKTGRQFTEGPKIERYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEDEGEEDWDVVQATCNKVDDCLVLKE >Potri.019G076450.1.v4.1 pep chromosome:Pop_tri_v4:19:11739563:11739930:-1 gene:Potri.019G076450.v4.1 transcript:Potri.019G076450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076450.v4.1 MLISRSSHKSPQGNHVWLFFCHHKQKDNSCLKMKLLSKAGAADPLSGFTSLDCGTSTISEANDPPSKRQRPSKLDRD >Potri.009G028700.3.v4.1 pep chromosome:Pop_tri_v4:9:3976375:3982111:-1 gene:Potri.009G028700.v4.1 transcript:Potri.009G028700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G028700.v4.1 MGDPLHNFGKNQGQGQTAVYKRNIIASSLKACPLSAYKHIVSSRHVTGPVGQVAIFLLKVAALETVRRVSRCKCPHVWNGLQALQLLCYPPFKWIQRWAPFKGLVKGVQMFSRPLLVLSVATAISDQSNRSGENSNGTNNSHADSETCSESLSARSSFETRTSSGAFQSLASENWLIQLLTELENQGITLPERINEDELRRFYTAANGDFSCFLLSIKKTIRWRETYRILSQQELEMWSNMVFWHDHDMLNRPCLIVRLGLACTGLPSHERPRFAQAIISQVEHGVQHLVDEDSPQLTVIVDCDGISPLKIPMQIMRSCSSLLQDNFPNCLGHLLVIRLPPVVRVIAQTFIQVLKPVTRKKLRIEGNMNHRVLSKYLKTIPSCLGGNCTCEICSDIHVRQQPRSSINEIDMARPYFSDGEDLPSPRQTSQADVHVSDNWNHLLRTLVIGILMVWIVIALIAGIYDPESRPF >Potri.018G150800.2.v4.1 pep chromosome:Pop_tri_v4:18:16149792:16153649:1 gene:Potri.018G150800.v4.1 transcript:Potri.018G150800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150800.v4.1 MSRQSVAVEAGASHFTTCLFHKFMCGACLDPSYSPNSSGKGKSKSSIKKSSHGFHLVEGKSGHAMEDYHVAEYRKEKNHELGLFAIFDGHLGNRVPSYLKDHLFNNILDEPNFWKDPATAIKNAYRSTNHFILENSMELGPGGSTAVTAIVIDGKGIWVANIGDSRAVVCVGGFANQLTVDHEPHTERKRIEKQGGFVITFPGDVPRVNGQLAVARAFGDQSLRAHLSSEPDVRYVPIDSTMDFVILASDGLWKVMKNQEAVDLVKPIKDPRAAAKRLTTEALARKSKDDISCIVIRFG >Potri.010G201700.1.v4.1 pep chromosome:Pop_tri_v4:10:19377557:19377811:-1 gene:Potri.010G201700.v4.1 transcript:Potri.010G201700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201700.v4.1 MAITLTHSASEPPQFYFDEKWKLSKKEGSSRSRSSTSSLMKDSSQRRCSFTRKCARLVKEQRARFYIVRRCVTMLICWRDYSDA >Potri.018G124945.2.v4.1 pep chromosome:Pop_tri_v4:18:13669247:13671059:1 gene:Potri.018G124945.v4.1 transcript:Potri.018G124945.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124945.v4.1 MEKRFKIWVYKEGERPLVHGGPLNNIYGVEGQFLDEMEHGKSPFAASHPDEAHMFLLPISVAYIISYVYKPIVTYSRDELQRLVQDYVGVVADKYPYWNRSKGADHFLVSCHDWAPDISGANPDLYKNFIRVLCNANTSERFEPRRDVSIPEINIPNGKLGPPHKGLPPSKRSIFAFFAGGAHGYIRKVLLENWKDKDDEIQVHEYLDKKGTDYFELMGQSKFCLCPSGYEVASPRVVTAIQLGCVPVTISDNYTLPFSDVLDWSKFSVHIPSEKIPEIKTILKKISPRRYLIMQMRVIQVQRHFELNRPARPYDLLHMLLHSVWVRRLNVKVPYR >Potri.018G124945.1.v4.1 pep chromosome:Pop_tri_v4:18:13667791:13671059:1 gene:Potri.018G124945.v4.1 transcript:Potri.018G124945.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124945.v4.1 METICNGSNVGFMLLPVVVFASLIVYFGSPLNQNTNTVGYFSSFSTSNTVYTKANELVFESSQPSPSQPHQLPTQIKRGREKIEDGLARARAAILDAARLKNYTSYKEGTYIPKGVIYRNPYAFHQSHTEMEKRFKIWVYKEGERPLVHGGPLNNIYGVEGQFLDEMEHGKSPFAASHPDEAHMFLLPISVAYIISYVYKPIVTYSRDELQRLVQDYVGVVADKYPYWNRSKGADHFLVSCHDWAPDISGANPDLYKNFIRVLCNANTSERFEPRRDVSIPEINIPNGKLGPPHKGLPPSKRSIFAFFAGGAHGYIRKVLLENWKDKDDEIQVHEYLDKKGTDYFELMGQSKFCLCPSGYEVASPRVVTAIQLGCVPVTISDNYTLPFSDVLDWSKFSVHIPSEKIPEIKTILKKISPRRYLIMQMRVIQVQRHFELNRPARPYDLLHMLLHSVWVRRLNVKVPYR >Potri.007G075500.1.v4.1 pep chromosome:Pop_tri_v4:7:9959375:9962409:-1 gene:Potri.007G075500.v4.1 transcript:Potri.007G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075500.v4.1 MLASSGSRISQHLANCHYNQKTHLGLGFCNTKIHKISYLSFSLFMLKPPSFVTIFGGDNYRHNNYSHCKHGFVSLSSLQSKSSSGETPILSDCVSQRDNDCELPSEGLASVAGGIVALGKFDALHIGHRELAIQASKVGAPSLLSFVGMAEILGWEPRAPIVAKCDRSRVLSSWAPYCGNLVPEEVQIEFSCVRHLTPRQFVEKLSKELGVIGVVAGENYRFGYKAAGDASELVRLCKEHGMGAYIISSVMDNNQDYRSMNSNDLKDRGQVSSTRVRQALAVGDMKYVSELLGRHHRLILMLKDQEERAKTSSGWRVSAPKSYLLNLPPKDGFYENCSLLFGNKNPVTCRVFMDTTHIHLETDEADPFDFETDQEQHLLGIEFGDSRPDKD >Potri.008G118900.2.v4.1 pep chromosome:Pop_tri_v4:8:7635014:7642008:-1 gene:Potri.008G118900.v4.1 transcript:Potri.008G118900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118900.v4.1 MASSTLICETQPWKDLKSHVEDIKKTHLRELLSDTDRCKSMVVDFDGITLDYSRQRATPGTMDNLYNLAEAAHLKEKIDRMFNGEHINSTENRSVLHVALRAPRDAVMQSDGKNVVPDVWNVLDKIKDFSERVRNGSWVGATGKALTDVISVGIGGSFLGPLFVHTALQTDPEASKCATGRQLRFLANVDPIDVARNTAGLKPETTLVVVVSKTFTTAETMLNARTLRAWISKELGPSAVAKHMVAVSTNLTLVEKFGIDPNNAFAFWDWVGGRYSVCSAVGVLPLSLQYGFTVVDKFLKGASSIDQHFYSAPFEKNLPVLLGMLSVWNVSFFGYPARAILPYSQALEKFAPHIQQVSMESNGKGVSIDGKPLPFETGEIDFGEPGTNGQHSFYQLIHQGRVIPCDFIGVVKSQQPVYLEGEVVNNHDELMSNFFAQPDALAYGKTPEELQKENVLQHLIPHKTFSGNRPSLSLLLSSLDAYKIGQLLAIYEHRVAVQGFIWGINSFDQWGVELGKSLATQVRKQLHASRVKGEPVEGFNFSTTTMLTKYLKESLEVPANPPTILPRI >Potri.001G461900.1.v4.1 pep chromosome:Pop_tri_v4:1:48744938:48746770:1 gene:Potri.001G461900.v4.1 transcript:Potri.001G461900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461900.v4.1 MHKTLRIMNSLSSSMFPFLFLFLFSFSWVASADRHEDFLQCLHYQNSNAISKVIYTPINSSYSSVLQFSIRNGRFNTSATPKPLVIVTPLNVAHIQAAITCSQKHGLQIRVRSGGHDYEGLSYVAVIPFVVVDLINMRTVTVDVANKTAWVQGGATLGEVYYRIAEKSRTLAFPAGVCPTVGVGGHISGGGTGMIMRKYGLAVDHIIDAQLIDVKGRILDRASMGEDLFWAIRGGGGNTFGVVVAWKLELVPIPASVTVFNVTRTLMKEDATKLIHQWQYAIEKFDEDLFSRIFIWRSANSTQEGKPMIQAAFTSLFLGGVDRLLSYMQESFPELGLVREDCIEMSWIESTVYFAQFPRNTSLEVLLNRSPRSTIFFKGKTDFVKEPIPKTALEGIWERLDQVDAESAELQFTAYGGKMNEIAESSTPFPHRAGTLYQIHYAISWDEEGIEAYAKYISWIRRLYSYMAPYVSKNPRQAYVNYRDLDLGVNNLGNTSYRQASIWGTKYFKNNFDRLVRVKTAVDPANFFRNEQSIPPLSSW >Potri.005G033900.2.v4.1 pep chromosome:Pop_tri_v4:5:2237008:2240266:1 gene:Potri.005G033900.v4.1 transcript:Potri.005G033900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033900.v4.1 MMSGGVGKYTHIDNQPQVSGSVPAVPDPGHVTVQFTDSNLQTFPPSGSQGKISGGSRPPRDADDTFSKPISGSDEPQQGGWFRTFTIAAYKPYFDVDTTEVLDRIKDSLFPFRGTFTEKTANNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLQKKEWNYDINLVTWSAGVFYGYVLLVPLALYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPLEIFRWVIAGVAGFMSATFVALNLRAHIMSAGERWFLIVAGIFLLQLALSVVLKLYLFTVTV >Potri.005G033900.1.v4.1 pep chromosome:Pop_tri_v4:5:2236988:2240643:1 gene:Potri.005G033900.v4.1 transcript:Potri.005G033900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033900.v4.1 MMSGGVGKYTHIDNQPQVSGSVPAVPDPGHVTVQFTADSNLQTFPPSGSQGKISGGSRPPRDADDTFSKPISGSDEPQQGGWFRTFTIAAYKPYFDVDTTEVLDRIKDSLFPFRGTFTEKTANNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLQKKEWNYDINLVTWSAGVFYGYVLLVPLALYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVVPLEIFRWVIAGVAGFMSATFVALNLRAHIMSAGERWFLIVAGIFLLQLALSVVLKLYLFTVTV >Potri.015G135900.2.v4.1 pep chromosome:Pop_tri_v4:15:14509889:14510897:-1 gene:Potri.015G135900.v4.1 transcript:Potri.015G135900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135900.v4.1 MISGRCAACKYLRRRCPSDCIFSPYFPSNNPRRFACVHRIHGASNVAKMLQQLPAHLRAEAANSLYYEAQCRTQDPVYGCVGILSLLHQQIHSVESQLAKTRAEIAVLDSLAQEPAQIQENEEEASFNNFLLEQDNAADHFNHSTNISSHFM >Potri.003G137300.1.v4.1 pep chromosome:Pop_tri_v4:3:15448681:15453159:1 gene:Potri.003G137300.v4.1 transcript:Potri.003G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137300.v4.1 MEFVGSHEDPENIEQMKETKRDIEHKVGKILKLIQNNGPAKKGKIPEDSKKRSELVELVEDFHKQYQSLHAQYDYLRAEMGNKAPGRKEQESSSSSSFSDSEYYSPDIASSLIIDMHLEASDGTAGELDAASLEVTGLKHRLTSATAENEALRTKYSAELQEADTVNKQNRELSALVKVHELHDSQASAQIKELEGQLATLKTEMDSLCTLKKDFEAQIENKAAEAKHLQEKNSQLLSRVSELELMSKEKGDEISTIQKQLKDGEKNFTSRIEDLMAQVNNLQLETVSLRSQNAKLEASKRKEVSAQAKGLKNRINILQKELDSFRGEKSQLEAQLNMKTKEVVENLLRTETLEGEIAKKAITEQELLKEKETFLVQREDLELEANSIRNQKNRLEELIRSKNQETDQLREEGERMHARILELEGILLDRGDSFSPCQKEYESRENEASTQIMALKSQVFSLQQDLDSLLSEKSLLETQNERLRRDVMQIQFQMENEVHNLTSKIEEQQKILKDKEDTIKKLTEETKVVRHHLLDSPKYRSLDSPKYRSLDSPRTNPQSLERKIEELAGSFHMKMENHIRILYQRILVAEQIHAETKDGYKKMKDRLDQENIELNEKTTAFEAELRKIREMLLDAGEVFSGAETMLKKFYEDNEILSDPICRISNELQFAKRWITETKDEIKKLKHNVDSLTLQLNGKEEKELLLREKVWKLEAKLSKEGGEKLNSVSQLERKVVYLEQQVKHKEDIFLGVSEEKREAIRQLCILVDYHRSRYDHLREAISKKTVHIKRVA >Potri.011G128650.1.v4.1 pep chromosome:Pop_tri_v4:11:16171948:16172686:-1 gene:Potri.011G128650.v4.1 transcript:Potri.011G128650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128650.v4.1 MRTTMDRIAPIFLFLLLIIDTSTAIDTINTTQSIRDGDTILSANGAYELGFFSPGNSANRYLGVWYAKISVMTVVWVANRETPLNDSSGVLRLTNQGILVLSNRNGSIVWSSDSSRPATNPAAQLLDSGNLVVKEEGDDNLESSLWQSFEHPADTLLPEMKLGRNRITGMDSYITSWKSPDDPSRGNVSVILVPYGYPEIIVVENSIVKHRSGPWNGLRFSGMPQSKPNPKSQILN >Potri.015G002800.1.v4.1 pep chromosome:Pop_tri_v4:15:157515:164976:1 gene:Potri.015G002800.v4.1 transcript:Potri.015G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002800.v4.1 MDTKVISSGVHYTNLPASYVRPESERPRLSEVSTCEDVPVIDLGCQDRNQIVQQVGDACEHYGFFQVINHGVSLEAVEKMLGVAHDFFSLPVEEKLKLYSDDPSKTMRLSTSFNVNKEKVHNWRDYLRLHCYPLDKYVPEWPSNPPPFKEIVRSYSIQVRELGFRIQELISESLGLEKDHIKNVLGEQGQHMAVNFYPPCPEPELTYGLPAHTDPNALTILLQDLSVAGLQVLLKDGKWVAVNPHPDAFVINIGDQLQALSNGRYKSVWHRAITNTDKARMSVASFLCPFDNALITPPKALTDDGTGAIYRDFTYAEYYKKFWSRNLDQEHCLELFKN >Potri.005G037600.1.v4.1 pep chromosome:Pop_tri_v4:5:2420359:2421810:1 gene:Potri.005G037600.v4.1 transcript:Potri.005G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037600.v4.1 MMSFCKKSVHSFLDLTNHPNISVSSIGAAEKPSCKCQGVCSSPSGSGLGLITTTTCESVHKTPNILESTTIKSSTPLTTPPSSPPPPPPPSIASIFKKGPSGIGFLDDIGGGVNGLMSCTESLGFESSDERRFDDDNELCSREIPTINKVKWREFGEKKEAKKFPPPLSSLNHNGQPSFFLKPVRKDGRLELTEVRIDRHEILRASRQDGRLRLHLVEDEEFPVVEEEYEPEQEQEEYLEEEKEGELEEEEMVVKENNEEESAGELGFRVNKEGLRIRCHELVVDHHNDYHHHHHRSNLWSQQYCVPTR >Potri.007G034101.1.v4.1 pep chromosome:Pop_tri_v4:7:2665686:2666601:-1 gene:Potri.007G034101.v4.1 transcript:Potri.007G034101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034101.v4.1 MEDCNMATRKQISSDYSCGGWGNDKGKHCKSKSSPGCVILTEETAAEQQPHRALPGSATKAADGRHQRRWCCV >Potri.014G038900.1.v4.1 pep chromosome:Pop_tri_v4:14:2508656:2510650:-1 gene:Potri.014G038900.v4.1 transcript:Potri.014G038900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038900.v4.1 MASSLSFLSQPRIRPVTKRPPNPSSSFLELLSVSGNSLQVTKNKDLAVVSRAYQREIAGVQGENLVRPDFERLCKEGKVEAALEIMDEKERNGDYADLLDIVKLIQVCADLKLLEAGKKVDEYVMRSSSKFKSSVVVLNNLVEMYCKLGDTNGAREIFEQMGVRNLDSWNKMLLGLAENKEGEKALEIFSQMKGDGIRPDGSSFVGVLMACVCLGAEKEGQKHFESMSRDYGITPTVEHYEVFVDLLGRTGKIAEAKELVSNMPIDPNSRIWETLQKYSKARTQGQLGYPVSPPGLKLGDMKRAKDNINTNHRRVTSDRSKAYEKLRSLSKEVRDAGYVPDTRFVLHDLDQEAKEKALFYHSERLAIAYGLINTSPGTTLRIMKNLRICGDCHNFIKILSKIEDREFIVRDNKRFHHFKAGNCSCRDYW >Potri.015G039300.2.v4.1 pep chromosome:Pop_tri_v4:15:3505411:3509150:1 gene:Potri.015G039300.v4.1 transcript:Potri.015G039300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039300.v4.1 MFLANLSKLKKLSIPFKPKLSPLSFLSTHPQNQEQALNHQQQSICITNRSYWTQKIHDLCTKHRNVDEALRLLDHLRLRGYLPDSLNLSSIIHGLCDANRFNEAHQRLIIFLTSLCVPDERTCNVLVARLLHSKDPFRTLNVIHRLIEFKPEFVPSLINYNRLIDQFCSVSLPNVAHRMLYDMINRGHCPSIVSYTTLVNGYSKIGEISDAYKLFDEMPEWGVVPNSLSYSLLIRGVLRKRDIERGRELMHVLFQRMRHEEDQSVNSAAFDNLVDCLCREGLFNEVFMIAEEMPQGNRVNEDFAYGHLIDSLCKVGRSHGASRVVYIMRKKGFTPSVVSYNSIIHGLCKEGGCMRAYQLLEEGVGFGYLLSEYTYKVLVEALCQAMDLDKAREVLKVMLNKGGMDRTRIYNIYLRALCLMNNPTELLNVLVSMLQTNCQPDVITLNTVINGFCKMGRVEEALKVLNDMMTGKFSAPDAVTFTSIISGLLNVGRSQEARNLLLQMLEKGITPGVVTYNAILRGLFKLQLTKEAMAVFDEMITDGVAANSQTYSIIVEGLCESGQIDGAKKFWDEVIWPSKIHDDFVYAAILKGLCRSGHLNEAIHFLYELVDSGVNPNIVSYNIVIDRACSLGMKREAYQIAGEMQKNGLTPDAVTWRTLDKLHGQVKN >Potri.015G039300.1.v4.1 pep chromosome:Pop_tri_v4:15:3505411:3509284:1 gene:Potri.015G039300.v4.1 transcript:Potri.015G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039300.v4.1 MFLANLSKLKKLSIPFKPKLSPLSFLSTHPQNQEQALNHQQQSICITNRSYWTQKIHDLCTKHRNVDEALRLLDHLRLRGYLPDSLNLSSIIHGLCDANRFNEAHQRLIIFLTSLCVPDERTCNVLVARLLHSKDPFRTLNVIHRLIEFKPEFVPSLINYNRLIDQFCSVSLPNVAHRMLYDMINRGHCPSIVSYTTLVNGYSKIGEISDAYKLFDEMPEWGVVPNSLSYSLLIRGVLRKRDIERGRELMHVLFQRMRHEEDQSVNSAAFDNLVDCLCREGLFNEVFMIAEEMPQGNRVNEDFAYGHLIDSLCKVGRSHGASRVVYIMRKKGFTPSVVSYNSIIHGLCKEGGCMRAYQLLEEGVGFGYLLSEYTYKVLVEALCQAMDLDKAREVLKVMLNKGGMDRTRIYNIYLRALCLMNNPTELLNVLVSMLQTNCQPDVITLNTVINGFCKMGRVEEALKVLNDMMTGKFSAPDAVTFTSIISGLLNVGRSQEARNLLLQMLEKGITPGVVTYNAILRGLFKLQLTKEAMAVFDEMITDGVAANSQTYSIIVEGLCESGQIDGAKKFWDEVIWPSKIHDDFVYAAILKGLCRSGHLNEAIHFLYELVDSGVNPNIVSYNIVIDRACSLGMKREAYQIAGEMQKNGLTPDAVTWRTLDKLHGQVKN >Potri.014G020500.1.v4.1 pep chromosome:Pop_tri_v4:14:1249947:1252131:-1 gene:Potri.014G020500.v4.1 transcript:Potri.014G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G020500.v4.1 MATLILYFLVILALYIITRHFIDKIRNFPPGPFPSLPIIGHLYLLKKPIYRTLSKISSKHGPVLLLQLGSRRLLVVSSPSIAEECFTKNDVVFANRPRLLIAKHLAYNYTSLAWAPYGDHWRNLRRIVSIEVLSAYRLQMLSAIRLEEVKSMICVLFRNQKHTVDMKTVFFELTLNIMMRMIAGKRYYGESVSDVEEAKKFRAIHAETFLIGGKTIIGDYIPWIKSKKMEKRMIECHIKRDSFMQYLIEEQRRKILESDCCGEKKTNLIQVLLSLQETEPEYYTDDIIKGIMLVLLLAGTDTSSTTMEWALSLLLNHPEVLEKAQREIDEHIGHDRLMDEGDLAQLPYLRSILNETLRMYPPAPLLVPHESSEECLVGGFRIPRGTMLSVNMWAIQNDPKIWRDPTKFRPERFDNPEGGRYEFKLMPFGHGRRSCPGEGLALKVVGLALGSLLQCFEWQKIGDKMVDMTESPGFTVPKAKQLEAICRARPRMLTLLSQI >Potri.018G087450.2.v4.1 pep chromosome:Pop_tri_v4:18:10538341:10539360:1 gene:Potri.018G087450.v4.1 transcript:Potri.018G087450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087450.v4.1 MPENASSNSTLAFCPKRNYFAMNEKEEERQEADEMTQRYTPGRVICFTSYVERLCYFWWCGMRA >Potri.018G087450.1.v4.1 pep chromosome:Pop_tri_v4:18:10538341:10539589:1 gene:Potri.018G087450.v4.1 transcript:Potri.018G087450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087450.v4.1 MPENASSNSTLAFCPKRNYFAMNEKEEERQEADEMTQRYTPGRVICFTSYVERLCYFWWCGMRA >Potri.001G058100.3.v4.1 pep chromosome:Pop_tri_v4:1:4387137:4389849:-1 gene:Potri.001G058100.v4.1 transcript:Potri.001G058100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058100.v4.1 MMRRQNQDQQSRVFEELSALVLNLLRSPTPVSFSDQIPMPTTPVRRRLPEITPAGFASLLLGMSLTLMLCGSVTFFIGFLLMPWVLGLVMVFYVAGIVSTISMLGRSLLCYATAPPSSPRKEIPAWKLL >Potri.001G058100.4.v4.1 pep chromosome:Pop_tri_v4:1:4389049:4389468:-1 gene:Potri.001G058100.v4.1 transcript:Potri.001G058100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058100.v4.1 MMRRQNQDQQSRVFEELSALVLNLLRSPTPVSFSDQIPMPTTPVRRRLPEITPAGFASLLLGMSLTLMLCGSVTFFIGFLLMPWVLGLVMVFYVAGIVSTISMLGRSLLCYATAPPSSPRKEIPGNYSRIPCFVLFSLL >Potri.001G093500.1.v4.1 pep chromosome:Pop_tri_v4:1:7396221:7397225:-1 gene:Potri.001G093500.v4.1 transcript:Potri.001G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093500.v4.1 MEKIQGKNKRQEQKQNQNQNQNQNKNQNQKTLQANNKALVWDCGSSLYDSFELKSFERQLDSAINSRTLSMPHFPDRQVLTTPPPPPKKPSKISRSLNKFLKSMFKSKQNSSTMFRVQERLQDEYYVIYDKSGALTTIPEVPEIDFGGFSPEINSLVRKTVSDRFTAASIGIS >Potri.012G124300.1.v4.1 pep chromosome:Pop_tri_v4:12:14114674:14128133:-1 gene:Potri.012G124300.v4.1 transcript:Potri.012G124300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124300.v4.1 MAPKILLFLTPLLLCYAAFTTSPAKGEGMKEAEALLEWKANLDNQSQSFLSSWAGDSPCNWFGISCDKSGSVTNLSLPGSSLSGTIHSLRFSYFPNLVKLDLSENSLYGTIPSHISNLSKLTILDLSYNKITGNIPSEISSLKSLTKFSLLSNSMNGPIPASIGNLSNLEYIYFDRNRFSGPIPVEVGNLRSLIHLMLPNNSLSGIIPASIGNMSNLQYIFLYNNELSGSIPAEVGNLRFLIDINLHENHLTGTIPASMGNLTSSFIATNLGSNNLTGRIPPSLGNLRSLSKLYLHDNNFSGSIPPELNNLTHLSSLQIYSNRFSRHLPQDVCLGGLLQNFSAADNYFTGAIPKTLKNCSSLIRLFLYNNQLSENISEAFGIYPHLNYIDLSDNELYGELSWKWQQFRSLTALIISGNRISGEIPVELGKTTHLQALHLSSNQIVGRIPKELGKLKLIELTLNDNQLSGDIPFDVTALSSLRRLGLAANNFSATILKQLGKCSELIFLNVSKNRFTGSIPSEMGLLQSLQSLDLSWNSLTGGIAPELGQLLMLEVLNLSHNMLSGFFPTSFIRLQGLTDVDVSYNKLEGPLPDIKAFREASFEAIRNNTNLCGNATGLEACDVLIKNITLHKKGNKVVLLIVLPLLGSLLVLVGCFFIVCQGTKRKRLNEAQSKDVFAKWSPEWELKYENIIEATEGFNSKHCIGEGGYGVVYKAVLPTEQVLAVKKFHQTPEVEKTSLRSFRSEIDVLMGIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRNVLKNEEQAEEMDWVKRLNLVKGVASALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGSARLLMPDSSNWTSFAGTYGYTAPELAYTMKVDEKCDVYSFGVVVLEVMLGRHPGNFISSLMSLAASSSSSSPSPSVGGNTLLKDVLDQRLPPPEDKLAGGVARVVKLALSCLCSDPQFRPAMRQVSSELATLRHSLPKPFSTIELNDVLHDRIAIG >Potri.014G076100.3.v4.1 pep chromosome:Pop_tri_v4:14:4923141:4927450:-1 gene:Potri.014G076100.v4.1 transcript:Potri.014G076100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076100.v4.1 MDDYFSSVLPILLLLMEQGFKFDPSDEELIRKYLVPKTRGDIMRGLPMAVVNLCEHEPWDLPGKSIIKLTGQVAWYFLCPRDLRGKVHRRKTKAGYWKPTSQQKSITAEHTNKKIGVVRTLRFYEKQVRTGWMIYEFDLIAESSQFKKGQFVLCKLEFDSKGEKSKKGEQSHHIAPVSHSEVEPSQSMDSDSENINPSEMAMRYSPCDESELSHHAGSHFGNQNPSELMNNSARQLSELSHHMASDSENNLMPNLVYDGSGSSHSTVFNCEDLYWNQPTVDSAYNGSESLYMAFDSENQNPNELPTVDNSASNVSKNHGMAFGLANHNPNVSLTVDNSTSNVSESHHMALVLENQNLNNSISILTCENSLMASRGLENQEPFFPPCSLINQSTYDKSESSSLMDFDFENQNLVKEFDISAFCEGVWSNTTATPPDFGNQNPCKKTDMSTLEEGYSSYFNSSSSDNDLADVALPEVRTKIPCITDSF >Potri.014G076100.4.v4.1 pep chromosome:Pop_tri_v4:14:4923139:4927450:-1 gene:Potri.014G076100.v4.1 transcript:Potri.014G076100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076100.v4.1 MDDYFSSVLPILLLLMEQGFKFDPSDEELIRKYLVPKTRGDIMRGLPMAVVNLCEHEPWDLPGKSIIKLTGQVAWYFLCPRDLRGKVHRRKTKAGYWKPTSQQKSITAEHTNKKIGVVRTLRFYEKQVRTGWMIYEFDLIAESSQFKKGQFVLCKLEFDSKGEKSKKGEQSHHIAPVSHSEVEPSQSMDSDSENINPSEMAMRYSPCDESELSHHAGSHFGNQNPSELMNNSARQLSELSHHMASDSENNLMPNLVYDGSGSSHSTVFNCEDLYWNQPTVDSAYNGSESLYMAFDSENQNPNELPTVDNSASNVSKNHGMAFGLANHNPNVSLTVDNSTSNVSESHHMALVLENQNLNNSISILTCENSLMASRGLENQEPFFPPCSLINQSTYDKSESSSLMDFDFENQNLVKEFDISAFCEGVWSNTTATPPDFGNQNPCKKTDMSTLEEGYSSYFNSSSSDNDLADVALPEQVSPGLQAEIEGCFEQENIPNPALVQLPAYMEESHSFRGFGTLENQEPFFSPRSFINQSTYDKSESSSLMNFDFGNQNLVKEFDISAFGEGVWSNTTATPPDFGNQNPCKKTDMSTLEEGYSSYFNSSSSDNDLADVALPEQVSPGPQAEIEGCFEQENIPNPALVQLPACMEESHSFRGFGTLENQEPFFPSCSFINQSTYDKSESSSLMNFDFGNQNLVKEFDISAFGEGVWSNTTATPPDFGNQNPCKKTDMSTPEEGYSSYFNSSSSDNDLADVAHPEQVSPGLQAEIEGCFEQENIPNPALVQLPAYMEESHSFRGFGTLENQEPFFPPCSFINQSTYDKSESSSLMNFDFGNQNLVKEFDISAFGEGVWSNTTATPPDFGNQNPCKKSDMSTLEEGYSSYFNSSSSDNDLADVALPEQVSPGPQAEIEGCFEQENIPNPTLVQLPFLGFE >Potri.014G162600.1.v4.1 pep chromosome:Pop_tri_v4:14:11705231:11708015:1 gene:Potri.014G162600.v4.1 transcript:Potri.014G162600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162600.v4.1 MDLDCEKHRKRRKTKVEYDPQTTGMELLPREIAHDILSRLPITSLVQFKCVCRAWRALVQDPQLVDLYLSCSTQDTDHPCLILHCDFPIRNNLYFVDFAAHEEEKEKVKRIPAPFSSMMPEFEVVGSCNGLLCLSDSLYNDSLYIYNPFTGCYKELPKSLQYPDQEVVSGFGFNPKTKEYKVIRIVYYRNGHGGYPRSRRIIYPLSEVQILTLGCPEWRSLGKVSYRLVRRASGALVNGRLHWISRPCRNKPARRLVSFDLTDEQFREVSKPDCGGLNRCNYHLVVLRGCLSAAVYCNYGRLEIWVMKEYNVKESWVKEYNIGAYMPKGLKQNQDRQLKIWKNSSNGRVVGALCVLKNGEILLEYKNRVLVSYDPKNGKFKDIELQGAPNWFQTVVHVGSLNWIDTPSDAYNQGSDTSPYVS >Potri.004G212600.1.v4.1 pep chromosome:Pop_tri_v4:4:21904090:21911216:-1 gene:Potri.004G212600.v4.1 transcript:Potri.004G212600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212600.v4.1 MKLQLKVAFACLVGVVWIQQGFCDELSTKSGINNWTCTCSASYQGNQSYTKSNCSTSCYCSPAGRQSRGTWTCTCSTDGLPKVAAGSQDTTCFTACNCTSGSLTDAQATRKHISSKIVVVILLCVILTTLAFLASTTWYLCRKDKCPIQSPTFLSDRETSCNSATNLISHRASSVSETKIRVDSPINPISGCFRKASFLCRSKTEIIHGTLICFAYSELEHATDKFSHSNLIGLGGSSYVYRGQLKDGTTVAVKRLKAQGGTDADLLFSREVELLAKLHHCHVVPLLGYCSEFRGKLSERLLVFEYMPNGNLRDCLDGIMGENMNWQTRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILMDELWRAKITDLGMAKRLRADGVPSSSSSPARMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLEVITGRQPIHKTTNKVEESLVLWATPLLQDSRRVISELPDPRLKGNFPEEELQIMAYLAKECLLMDPDSRPSMSEVVQILSTIAPEKSKRRNIPVSLFQMSSIQSVTTDPYKEKPDSRAEDPVDAEEVLKRDRLSIQQSAFDVDRNLFVGSYIEGADNISTKYMERLIISTSKAHSWGAADDEAVDLTEPRLESFCVENVEST >Potri.004G212600.3.v4.1 pep chromosome:Pop_tri_v4:4:21904053:21911216:-1 gene:Potri.004G212600.v4.1 transcript:Potri.004G212600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212600.v4.1 MKLQLKVAFACLVGVVWIQQGFCDELSTKSGINNWTCTCSASYQGNQSYTKSNCSTSCYCSPAGRQSRGTWTCTCSTDGLPKVAAGSQDTTCFTACNCTSGSLTDAQATRKHISSKIVVVILLCVILTTLAFLASTTWYLCRKDKCPIQSPTFLSDRETSCNSATNLISHRASSVSETKIRVDSPINPISGCFRKASFLCRSKTEIIHGTLICFAYSELEHATDKFSHSNLIGLGGSSYVYRGQLKDGTTVAVKRLKAQGGTDADLLFSREVELLAKLHHCHVVPLLGYCSEFRGKLSERLLVFEYMPNGNLRDCLDGIMGENMNWQTRVTIAIGAARGLEYLHEAAAPRILHRDVKSTNILMDELWRAKITDLGMAKRLRADGVPSSSSSPARMQGTFGYFAPEYAMIGRASLMSDVFSFGVVLLEVITGRQPIHKTTNKVEESLVLWATPLLQDSRRVISELPDPRLKGNFPEEELQIMAYLAKECLLMDPDSRPSMSEVVQILSTIAPEKSKRRNIPVSLFQSVTTDPYKEKPDSRAEDPVDAEEVLKRDRLSIQQSAFDVDRNLFVGSYIEGADNISTKYMERLIISTSKAHSWGAADDEAVDLTEPRLESFCVENVEST >Potri.005G144400.5.v4.1 pep chromosome:Pop_tri_v4:5:11649484:11663772:1 gene:Potri.005G144400.v4.1 transcript:Potri.005G144400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144400.v4.1 MTSITSVELNYLVFRYLQESGFTHSAFALGYEGGINKCTIDGNMIPPGALITFVQKGLQYLEMEANLSNSDADVDEDFSFLQPLDLITKDVNELQQIIKEKKKNLHKDREKEKEKEKDKDRDKDKDKDKEFEREHERECARVREKERHEREKEKEKDRERLESEKERDKQLEDNTDRRMVTDAEDKHEENEFFEVGPEPMDISITSTSQTCEIPSSDVMILEGHTSEVCACAWSPIGQLLASGSGDSTARIWTITEGTSRSGVQNDPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSTDGELKTTLSKHKGPIFTLKWNKKGDYLLTGSCDKTAIVWDVRAEEWKQQFEFHSGPTLDVDWRNNVSFATSSTDNMIYVCKVGETRPIKSFAGHQGEVNCVKWDPTGSLLASCSDDISAKIWSMKQDKYVHDLREHSKEIYTIRWSPTGPGTNNPNQQLVLASASFDSTVKLWDVEFGKLLSSLNGHREPVYSVAFSPNGEYLASGSLDRCINIWSLKEGKIVKTYTGNGGIFEVCWNKEGDKIAACFANNTVCVLDFRM >Potri.018G108850.1.v4.1 pep chromosome:Pop_tri_v4:18:12717718:12719088:-1 gene:Potri.018G108850.v4.1 transcript:Potri.018G108850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108850.v4.1 MIKRVRIFMRTISEKVGDGLDKKNERSNGNVNNDKRLSHQYHVRPEAKDRASYMNIGTYKFGANCKFNHPIRRKNQAQLLLLPPPPPLLLLELLFGFVFSRVYILLVYCCWCKSSVFRQGQHK >Potri.001G361600.4.v4.1 pep chromosome:Pop_tri_v4:1:37982330:37986225:1 gene:Potri.001G361600.v4.1 transcript:Potri.001G361600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361600.v4.1 MEVSTNELSLSASLHMVTSVASAPAEVDSDELNQTGLSSSGLQASQSDHRAGTAPSMSSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYASGSVLSMQEDRFDKSSSLPNQGDKSPGAYGQVPHAIEPNGALELSTGANDDTGEGAEDDDDPFSKRRRLDAGGFDVTPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTNSHDMAGPSAVNGPSRIRPDENETISLDLGVGISSTTENQSSDQQQALHAELIKHENQASGSSFRVVHATPITAYYGVLNGGMNQYGSRQIPGESRSIEIPPYPYPQNMGRLLTGP >Potri.001G361600.1.v4.1 pep chromosome:Pop_tri_v4:1:37980297:37986236:1 gene:Potri.001G361600.v4.1 transcript:Potri.001G361600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361600.v4.1 MDNNTSRSEVSDCGDPTRPESGGGGARYKLMSPAKLPISRSACITIPPGLSPTSFLESPVLLSNVKAEPSPTTGTFTKPRTALGSLSSTPYSATTVSSTACGERKSDYFEFRPYARSNMVSADINHQRSTQCAQVQSQCHSQSFASPPLVKGEMEVSTNELSLSASLHMVTSVASAPAEVDSDELNQTGLSSSGLQASQSDHRAGTAPSMSSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYASGSVLSMQEDRFDKSSSLPNQDKSPGAYGQVPHAIEPNGALELSTGANDDTGEGAEDDDDPFSKRRRLDAGGFDVTPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTNSHDMAGPSAVNGPSRIRPDENETISLDLGVGISSTTENQSSDQQQALHAELIKHENQASGSSFRVVHATPITAYYGVLNGGMNQYGSRQIPGESRSIEIPPYPYPQNMGRLLTGP >Potri.001G361600.2.v4.1 pep chromosome:Pop_tri_v4:1:37980418:37986724:1 gene:Potri.001G361600.v4.1 transcript:Potri.001G361600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361600.v4.1 MDNNTSRSEVSDCGDPTRPESGGGGARYKLMSPAKLPISRSACITIPPGLSPTSFLESPVLLSNVKAEPSPTTGTFTKPRTALGSLSSTPYSATTVSSTACGERKSDYFEFRPYARSNMVSADINHQRSTQCAQVQSQCHSQSFASPPLVKGEMEVSTNELSLSASLHMVTSVASAPAEVDSDELNQTGLSSSGLQASQSDHRAGTAPSMSSDDGYNWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFERSHDGQITEIIYKGTHDHPKPQPSRRYASGSVLSMQEDRFDKSSSLPNQGDKSPGAYGQVPHAIEPNGALELSTGANDDTGEGAEDDDDPFSKRRRLDAGGFDVTPVVKPIREPRVVVQTLSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTNSHDMAGPSAVNGPSRIRPDENETISLDLGVGISSTTENQSSDQQQALHAELIKHENQASGSSFRVVHATPITAYYGVLNGGMNQYGSRQIPGESRSIEIPPYPYPQNMGRLLTGP >Potri.008G027000.2.v4.1 pep chromosome:Pop_tri_v4:8:1405156:1408147:-1 gene:Potri.008G027000.v4.1 transcript:Potri.008G027000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027000.v4.1 MATDDFPLIDEPPPHPPTRHPYSVTTTGDYYYSDHDKSAATPSSKRNQQNHYYNNNKRSKSNSITEIESCDYRDYRKDREEWSDAAISCLLEAYTEKYNQLNRGNLRGRDWEEVAEAVSERGGSNKKSVEQCKNKIDNLKKRYKVELQRISGSGSSWHWFKHIEAIMGNACNGKSGGGGESDGGGGGGSGGNVNAAVKQVKRYTSGSAAFANTLKTKPVPNLKWRRVVFKISGSALAGNCQNIDPKVAMQIAREVATASRGGLEIAIVLGGRNFFCGDSWISATGLERPTAYQISMMATVMNSILLQSALEKHGVQARVQSAFAMPELAEPYSRQRAIRHLEKGRVVIFGGAGAGAGAGAGNPLFTTDTAAALRASEINADALLKGTTNVDGVYDNHAGNSNAILDHISFREVVSRGVTSMDMMAITYCEENGIPVVIFNLLEPGNISRALCGDQVGTLIDQAGRIS >Potri.010G173000.1.v4.1 pep chromosome:Pop_tri_v4:10:17403589:17404964:1 gene:Potri.010G173000.v4.1 transcript:Potri.010G173000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173000.v4.1 MAFLLPNLSPSLLINSKSFKDREKPVLYQTQTLPSHYQTTTTTTSTTTKKPTNTNSSMPPPLQVKTPPGAQDKEQHQRDEFYVNLGLAVRTLREDLPVLFTEDLNYDIYRDDITFIDPLNTFTGIDNYKLIFWALRFHGKMLFREISLEVYRIWQPSENVILIRWNLKGVPRVPWEAKGEFQGTSRYKLDRNGKIYEHKVDNLAFNFPQQLKPAASVLDLVAACPASPNPTFLWGPADVYSSSWVEFYRAVRETLDRENSTNCFCKMATCS >Potri.014G043500.1.v4.1 pep chromosome:Pop_tri_v4:14:2806765:2808333:1 gene:Potri.014G043500.v4.1 transcript:Potri.014G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043500.v4.1 MISFASIQLPPPPPHHHHHHHTLVSAHLHKTTMYQPNRFKDFPRMSSISSNARPIHSGGSSLASVAPLEAILFDIDGTLCDSDPLHFYAFRDMLQEIGFNGGTPITEEFFIKNISGKHNEELREILLPDWEIQRSRQFLEDKEALFRRLASEQLQPMKGLQKLCKWIEDRGLRRAAVTNAPRSNAELLISMLGLSDFFEILVLASECDRVKPFPDPYLKALQELDISHKHAFVFEDSVSGIKAGMGAGMPVVGLGTRNPEQLLIEAGAVFVIADFDDPKLWTELEEMEIKAEATTTTK >Potri.004G145500.10.v4.1 pep chromosome:Pop_tri_v4:4:16818834:16822362:1 gene:Potri.004G145500.v4.1 transcript:Potri.004G145500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145500.v4.1 MDRSVMTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRDKQADELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNSGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPTSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRNFRSTIHRILNVQYSIPDYVHISPECQHLISRIFVADPAKRITIPEIRNHDWFLKNFPADLMVENNMNNQFEEPDQPMQSIDEIMQIITEATIPAAGTPSLNHYLTGSLDIDDEMEEDLESDPELDLDSSGEIVYAM >Potri.004G145500.11.v4.1 pep chromosome:Pop_tri_v4:4:16818723:16822359:1 gene:Potri.004G145500.v4.1 transcript:Potri.004G145500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145500.v4.1 MQARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPTSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRNFRSTIHRILNVQYSIPDYVHISPECQHLISRIFVADPAKRITIPEIRNHDWFLKNFPADLMVENNMNNQFEEPDQPMQSIDEIMQIITEATIPAAGTPSLNHYLTGSLDIDDEMEEDLESDPELDLDSSGEIVYAM >Potri.004G145500.8.v4.1 pep chromosome:Pop_tri_v4:4:16818709:16822358:1 gene:Potri.004G145500.v4.1 transcript:Potri.004G145500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145500.v4.1 MDRSVMTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRDKQADELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNSGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPTSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRNFRSTIHRILNVQYSIPDYVHISPECQHLISRIFVADPAKRITIPEIRNHDWFLKNFPADLMVENNMNNQFEEPDQPMQSIDEIMQIITEATIPAAGTPSLNHYLTGSLDIDDEMEEDLESDPELDLDSSGEIVYAM >Potri.004G145500.9.v4.1 pep chromosome:Pop_tri_v4:4:16818892:16822562:1 gene:Potri.004G145500.v4.1 transcript:Potri.004G145500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145500.v4.1 MDRSVMTVGPGMDMPIMHDGDRYELVRDIGSGNFGVARLMRDKQADELVAVKYIERGEKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICNSGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPTSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPRNFRSTIHRILNVQYSIPDYVHISPECQHLISRIFVADPAKRITIPEIRNHDWFLKNFPADLMVENNMNNQFEEPDQPMQSIDEIMQIITEATIPAAGTPSLNHYLTGSLDIDDEMEEDLESDPELDLDSSGEIVYAM >Potri.001G319200.1.v4.1 pep chromosome:Pop_tri_v4:1:32891101:32893153:-1 gene:Potri.001G319200.v4.1 transcript:Potri.001G319200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319200.v4.1 MVSCKEDQNLVYDIKLSSAGPGRITGSDVIHEPNGMDLAMKLPYLKGVYFFNSQACQGLTIMQIKGSMFYWLNDYYTVCGRFQRTEAGRPYMKCNDCGVRIVEARCSKTVDEWLETRDCSLDNLLIYHSPIGPELFFSPSLYMQVTKFKCGGMSLGISWAHIIGDVYSASECLNSWGQFLAGLKSYGPLKLTKSPTGLEDSKSPSVGTQEPVSLKQVDPVGDLWVTANDCKMETFSFHLSASQVSQLHSRIWGPSGIAKIPFFESLCAIMWQCIAKAKDGLEPKVVTLCKKDPNNPKDGILSNSQIISSVKADSSVVDADLQELATLLVDQATEENSQIEEVVEKDNGVFDYIVYGANLTFVDLEETNFYGLEWNGHKPEAVHYSIQGVGDEGAVMVLPWPKDSGTDGNIGRIVVVTLPENEVVKLRFELQKNGLMLEDDIDS >Potri.002G182800.1.v4.1 pep chromosome:Pop_tri_v4:2:14524457:14525201:1 gene:Potri.002G182800.v4.1 transcript:Potri.002G182800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182800.v4.1 MSKFSAIFTCVTIFLLSSLCFPIALSETGAGILIQEVTREDGKGDACAGLKAPASCPINCFRADPVCGVDGVTYWCGCADALCSGTRVDKLGACEVGSGGSSSLPGQALLLIHIVWLILLGFSLLFGFF >Potri.011G167566.1.v4.1 pep chromosome:Pop_tri_v4:11:19108752:19109220:-1 gene:Potri.011G167566.v4.1 transcript:Potri.011G167566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167566.v4.1 MMFWVGWLSALITQFYHRLHSRSLEFLESRTCEV >Potri.001G013700.1.v4.1 pep chromosome:Pop_tri_v4:1:962906:965236:1 gene:Potri.001G013700.v4.1 transcript:Potri.001G013700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013700.v4.1 MSNYTVESTKVETSDGAKLHTRLFKPIEEGDVKDNLVIVLVHPFSILGGCQALLKGIAAGLAEKGYKAVTFDMRGAGKSTGRASLTGFSEIKDVIAVCKWVCENLSSDRILLVGSSAGAPIAGSAVDEIREAVGYVSIGYPFGMFASILFGRHHKAVLNSPKPKLFVMGTRDGFTSVKQLQNKLSSAVGRVETHLIEGASHFQMEGPEFDNQMVNLILPFISSL >Potri.011G115700.1.v4.1 pep chromosome:Pop_tri_v4:11:14662526:14666183:1 gene:Potri.011G115700.v4.1 transcript:Potri.011G115700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G115700.v4.1 MGRIFVVELEGRSYRCKFCGTHLALPDQLVSKSFRCRRGKAYLFNNVVNITVGVLEERMMLSGMHTVADIFCCCCGGIIGWKYEAAHEMSQKYKEGKFVLERGRIVGEMDFSTELFIDTHPE >Potri.002G215200.1.v4.1 pep chromosome:Pop_tri_v4:2:20117654:20118471:-1 gene:Potri.002G215200.v4.1 transcript:Potri.002G215200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215200.v4.1 MENLKVLVLTFFAVFLLLVFDANGQLNPMPQRPLCVSQLALVNYACGSLLLAPPATTSLIPSTVVFPADDDNNHGHRNGHRHGHGGTQEDTCCRWLNDVDDECVCQLLVRLPPFLSRTRHEYTIKIDDSCSVSYTCGFT >Potri.009G004650.1.v4.1 pep chromosome:Pop_tri_v4:9:1086658:1088113:1 gene:Potri.009G004650.v4.1 transcript:Potri.009G004650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004650.v4.1 MTTLGATVAGAAWQPWTIPASIEATFKNSSCQPLHQQPSCPQSELKTTTPLSSSNRAWSLPCNDNNRVFIIPAEHQLLPATTAVSRPVEREGTRRQNQKEKKERKERNNREDEEKQKQRGKQQQSATLHHHRLCLCLRNNAITTNNDASSSRTPR >Potri.003G069200.6.v4.1 pep chromosome:Pop_tri_v4:3:9623698:9628990:1 gene:Potri.003G069200.v4.1 transcript:Potri.003G069200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069200.v4.1 MPQHVQENQTTQNLGPSSMLALSARSFAPDGNVPALPLVSQQQRYQMRISPRSMQDQGSGSPANISGAAAFGQDKMVAHCTMNSAALLGKRENQDAQMSPLSSFSKRPRLTPAGPDVIQQQQRGLHMDGLHESEMNRKNSLLQQQAMTRGIQYANAGIQKYPHQMLEGVVHQNAAATSFSAGHPGMRLGLKEEQFETEKLDGSVLSQGKNDMQMMETETGHLETQQPWLQQRLPQPVMRSNFPQAGWNNLSQDCRKEEQPQKRKPAQSPRLSTGGLAQSPLSSKSGELSSGSAGPHFGAAAATAALGSSQKEKSVVTAVGGTPSLTSSANDSLQRQHQVQVAAKRRLNSLPKTLVMSNVGSPASVSNTSIPLNANSPSIGTPPMADQSMLERFAKIEMVTMRHQLNCKKNKVDDYPIRKPKTYSLQNLSFHLSNSTSNEEFKDDTNARQLSKSLVGGNMNICKTRFMDFIITERVLQGNVVSYVQRVRNRMIMSEKPNDGTVVMHYGEADEFDVLSAEDYLPTLPNTHFADLLATQLFSLMMREGYIVEDHIQPRPICTNIASSNQPNVSGGPHNNSPIEVKQYNEAVPVQPCNDLKPTLGGNASINSSHNLLANTRMLPPGNPQSLVSGVSVPARPQQLDPQHSLLQQQQNQHALMHQQNSQFQRSQMVLPSNSLSHLGAIGPNSNMQLGGHLVNKSSLQLQLLQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSQQLQSQQLQQQQSQQQSQQLQPQQQQQQLPQMQQRKMMMGLGRAMGMGNMVGLGGLGNAAGIGGARGMGPGISGPMAPITGMSNVGQTPMNLGQTANINTISQQLRGGHMTPAAALMIKQRMSPASMIGGPQSGIAGMSGARQMHSGSAGFSLLSQSLNRANMNLMQRSPMGPMGPPKLMTGMNPYMNQQQQLELHKQQQQQQLQQQQQQQLQQQQQQQQFQQQQQQQQQQQFQQQQQQQQQLQQQQQQQQQQLQQQHQHQQLLLQQHQQLQQETTSTSSSLQSVVSPLQIGSPSTMGIPQLNHQTQQQQPQQQPSPQQMSQRTPMSPQLSSGAIHAISAGNPEGCPASPQLSSQTLGSVGSFTNSPMELQTVNKSNPVSNA >Potri.003G069200.4.v4.1 pep chromosome:Pop_tri_v4:3:9620385:9628981:1 gene:Potri.003G069200.v4.1 transcript:Potri.003G069200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069200.v4.1 MGVSFKVSKTGTRFRSKPFVQSDTVLDEVSENSEESSVIGSKNESSTRKGEADIFEGAEDALAVSSLSFSGQEVSLTLNLYPDGYSIGKPSEIEAAHQAPLQDGQKLLHPYDKTSETLFSAIESGRLPGDILDDIPCKYVNGTLVCEVRDYRKCASKQGSSVPFMDGLPIVNKVCLRMSLENVVKDIPLISDNSWTYGDLMEVESRILKALQPQLCLDPTPKLDRLCNNSISTKLNLDLRSFRRNRLRQTPEVTVTSKNRIHGTNTCINRVPESSNSRLGDSGIISGNVMPQHVQENQTTQNLGPSSMLALSARSFAPDGNVPALPLVSQQQRYQMRISPRSMQDQGSGSPANISGAAAFGQDKMVAHCTMNSAALLGKRENQDAQMSPLSSFSKRPRLTPAGPDVIQQQQRGLHMDGLHESEMNRKNSLLQQQAMTRGIQYANAGIQKYPHQMLEGVVHQNAAATSFSAGHPGMRLGLKEEQFETEKLDGSVLSQGKNDMQMMETETGHLETQQPWLQQRLPQPVMRSNFPQAGWNNLSQDCRKEEQPQKRKPAQSPRLSTGGLAQSPLSSKSGELSSGSAGPHFGAAAATAALGSSQKEKSVVTAVGGTPSLTSSANDSLQRQHQVQVAAKRRLNSLPKTLVMSNVGSPASVSNTSIPLNANSPSIGTPPMADQSMLERFAKIEMVTMRHQLNCKKNKVDDYPIRKPKTYSLQNLSFHLSNSTSNEEFKDDTNARQLSKSLVGGNMNICKTRFMDFIITERVLQGNVVSYVQRVRNRMIMSEKPNDGTVVMHYGEADEFDVLSAEDYLPTLPNTHFADLLATQLFSLMMREGYIVEDHIQPRPICTNIASSNQPNVSGGPHNNSPIEVKQYNEAVPVQPCNDLKPTLGGNASINSSHNLLANTRMLPPGNPQSLVSGVSVPARPQQLDPQHSLLQQQQNQHALMHQQNSQFQRSQMVLPSNSLSHLGAIGPNSNMQLGGHLVNKSSLQLQLLQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSQQLQSQQLQQQQSQQQSQQLQPQQQQQQLPQMQQRKMMMGLGRAMGMGNMVGLGGLGNAAGIGGARGMGPGISGPMAPITGMSNVGQTPMNLGQTANINTISQQLRGGHMTPAAALMIKQRMSPASMIGGPQSGIAGMSGARQMHSGSAGFSLLSQSLNRANMNLMQRSPMGPMGPPKLMTGMNPYMNQQQQLELHKQQQQQQLQQQQQQQLQQQQQQQQFQQQQQQQQQQQFQQQQQQQQQLQQQQQQQQQQLQQQHQHQQLLLQQHQQLQQETTSTSSSLQSVVSPLQIGSPSTMGIPQLNHQTQQQQPQQQPSPQQMSQRTPMSPQLSSGAIHAISAGNPEGCPASPQLSSQTLGSVGSFTNSPMELQTVNKSNPVSNA >Potri.003G069200.1.v4.1 pep chromosome:Pop_tri_v4:3:9620369:9628982:1 gene:Potri.003G069200.v4.1 transcript:Potri.003G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069200.v4.1 MYFEAIESGRLPGDILDDIPCKYVNGTLVCEVRDYRKCASKQGSSVPFMDGLPIVNKVCLRMSLENVVKDIPLISDNSWTYGDLMEVESRILKALQPQLCLDPTPKLDRLCNNSISTKLNLDLRSFRRNRLRQTPEVTVTSKNRIHGTNTCINRVPESSNSRLGDSGIISGNVMPQHVQENQTTQNLGPSSMLALSARSFAPDGNVPALPLVSQQQRYQMRISPRSMQDQGSGSPANISGAAAFGQDKMVAHCTMNSAALLGKRENQDAQMSPLSSFSKRPRLTPAGPDVIQQQQRGLHMDGLHESEMNRKNSLLQQQAMTRGIQYANAGIQKYPHQMLEGVVHQNAAATSFSAGHPGMRLGLKEEQFETEKLDGSVLSQGKNDMQMMETETGHLETQQPWLQQRLPQPVMRSNFPQAGWNNLSQDCRKEEQPQKRKPAQSPRLSTGGLAQSPLSSKSGELSSGSAGPHFGAAAATAALGSSQKEKSVVTAVGGTPSLTSSANDSLQRQHQVQVAAKRRLNSLPKTLVMSNVGSPASVSNTSIPLNANSPSIGTPPMADQSMLERFAKIEMVTMRHQLNCKKNKVDDYPIRKPKTYSLQNLSFHLSNSTSNEEFKDDTNARQLSKSLVGGNMNICKTRFMDFIITERVLQGNVVSYVQRVRNRMIMSEKPNDGTVVMHYGEADEFDVLSAEDYLPTLPNTHFADLLATQLFSLMMREGYIVEDHIQPRPICTNIASSNQPNVSGGPHNNSPIEVKQYNEAVPVQPCNDLKPTLGGNASINSSHNLLANTRMLPPGNPQSLVSGVSVPARPQQLDPQHSLLQQQQNQHALMHQQNSQFQRSQMVLPSNSLSHLGAIGPNSNMQLGGHLVNKSSLQLQLLQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSQQLQSQQLQQQQSQQQSQQLQPQQQQQQLPQMQQRKMMMGLGRAMGMGNMVGLGGLGNAAGIGGARGMGPGISGPMAPITGMSNVGQTPMNLGQTANINTISQQLRGGHMTPAAALMIKQRMSPASMIGGPQSGIAGMSGARQMHSGSAGFSLLSQSLNRANMNLMQRSPMGPMGPPKLMTGMNPYMNQQQQLELHKQQQQQQLQQQQQQQLQQQQQQQQFQQQQQQQQQQQFQQQQQQQQQLQQQQQQQQQQLQQQHQHQQLLLQQHQQLQQETTSTSSSLQSVVSPLQIGSPSTMGIPQLNHQTQQQQPQQQPSPQQMSQRTPMSPQLSSGAIHAISAGNPEGCPASPQLSSQTLGSVGSFTNSPMELQTVNKSNPVSNA >Potri.003G069200.5.v4.1 pep chromosome:Pop_tri_v4:3:9620369:9628971:1 gene:Potri.003G069200.v4.1 transcript:Potri.003G069200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069200.v4.1 MGVSFKVSKTGTRFRSKPFVQSDTVLDEVSENSEESSVIGSKNESSTRKGEADIFEGAEDALAVSSLSFSGQEVSLTLNLYPDGYSIGKPSEIEAAHQAPLQDGQKLLHPYDKTSETLFSAIESGRLPGDILDDIPCKYVNGTLVCEVRDYRKCASKQGSSVPFMDGLPIVNKVCLRMSLENVVKDIPLISDNSWTYGDLMEVESRILKALQPQLCLDPTPKLDRLCNNSISTKLNLDLRSFRRNRLRQTPEVTVTSKNRIHGTNTCINRVPESSNSRLGDSGIISGNVMPQHVQENQTTQNLGPSSMLALSARSFAPDGNVPALPLVSQQQRYQMRISPRSMQDQGSGSPANISGAAAFGQDKMVAHCTMNSAALLGKRENQDAQMSPLSSFSKRPRLTPAGPDVIQQQQRGLHMDGLHESEMNRKNSLLQQQAMTRGIQYANAGIQKYPHQMLEGVVHQNAAATSFSAGHPGMRLGLKEEQFETEKLDGSVLSQGKNDMQMMETETGHLETQQPWLQQRLPQPVMRSNFPQAGWNNLSQDCRKEEQPQKRKPAQSPRLSTGGLAQSPLSSKSGELSSGSAGPHFGAAAATAALGSSQKEKSVVTAVGGTPSLTSSANDSLQRQHQVQVAAKRRLNSLPKTLVMSNVGSPASVSNTSIPLNANSPSIGTPPMADQSMLERFAKIEMVTMRHQLNCKKNKVDDYPIRKPKTYSLQNLSFHLSNSTSNEEFKDDTNARQLSKSLVGGNMNICKTRFMDFIITERVLQAGNVVSYVQRVRNRMIMSEKPNDGTVVMHYGEADEFDVLSAEDYLPTLPNTHFADLLATQLFSLMMREGYIVEDHIQPRPICTNIASSNQPNVSGGPHNNSPIEVKQYNEAVPVQPCNDLKPTLGGNASINSSHNLLANTRMLPPGNPQSLVSGVSVPARPQQLDPQHSLLQQQQNQHALMHQQNSQFQRSQMVLPSNSLSHLGAIGPNSNMQLGGHLVNKSSLQLQLLQQQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQSQQLQSQQLQQQQSQQQSQQLQPQQQQQQLPQMQQRKMMMGLGRAMGMGNMVGLGGLGNAAGIGGARGMGPGISGPMAPITGMSNVGQTPMNLGQTANINTISQQLRGGHMTPAAALMIKQRMSPASMIGGPQSGIAGMSGARQMHSGSAGFSLLSQSLNRANMNLMQRSPMGPMGPPKLMTGMNPYMNQQQQLELHKQQQQQQLQQQQQQQLQQQQQQQQFQQQQQQQQQQQFQQQQQQQQQLQQQQQQQQQQLQQQHQHQQLLLQQHQQLQQETTSTSSSLQSVVSPLQIGSPSTMGIPQLNHQTQQQQPQQQPSPQQMSQRTPMSPQLSSGAIHAISAGNPEGCPASPQLSSQTLGSVGSFTNSPMELQTVNKSNPVSNA >Potri.001G094800.1.v4.1 pep chromosome:Pop_tri_v4:1:7514796:7516779:-1 gene:Potri.001G094800.v4.1 transcript:Potri.001G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094800.v4.1 MDHPVKFSEHRNQTKLTTSFLSNPVQHSKPPKIVRISVTDTYATDSSSDEENEVAAGSSHKRHVTRVKKFVNEISIEPPSSSRSADCVPVDTVLGTKPSRSARKKVGDNGSRTRRFPSKATATVVVGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGPDALTNFVTPPARCSPLAATSGYISGDESNSNYNINNDGVVNVSSPISVLRFSEEAETQSASSSREIRDTGTEVPEVKEDSCVSENLSDFSEYNSSIDSLFPPTTDICELRSSMRNIFEETSFAAGGFLKDDDFRDMDLDFGFGLSSWNVQDHFQDIGDLFGSDSLIAF >Potri.003G100200.1.v4.1 pep chromosome:Pop_tri_v4:3:12536254:12538658:-1 gene:Potri.003G100200.v4.1 transcript:Potri.003G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100200.v4.1 MAKSKVLVVGGTGYIGRRIVKASLDQGHTTYVLQRSEIGLDIEKLHLLLSFKKQGAHLVQGSFSDQQSLVEAVKKVDVVICTMSGVHFKSHNILMQLKLVDAIKEAGNVKRFLPSEFGMDPATMEHALAPGRETFDQKMIVRKAIEDAKIPFTYVSANCFAGYFVGSLCQLETLTPPKDKVRLYGDGNVKVVFMDEDDVATYAIKTIDDPRTLNKTLYLRPPENILTQRQLVEIWEKLSGKKLEKISIPGEDFLASMKGMDYVAQAGMGHFYHIFYEGCLTNFEIGEEASDLYPEVKYTRMDEYLKIFL >Potri.004G032200.2.v4.1 pep chromosome:Pop_tri_v4:4:2474955:2476575:-1 gene:Potri.004G032200.v4.1 transcript:Potri.004G032200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032200.v4.1 MSSLHPSELDSVATDSVASSPRSDYHSLHDPRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVHRSTTFSTLITKLSKLSGIVNLSVKYQLPNEDLDALISVTTDEDVENMMDEYDRVLQNQNPRSARLRLFLFSTENEESSRASSISSLLDGSTNRENWFFDALNSGARRLERGRSEASSIISEVPDYLFGLENSDETKQKSRFVMNDNVSASDPGSPAPVVSSPYCSTSSVVPTMPSIPDLPPVKTKPDYPDPITVQVKQTPFEGYSEPMEPPPMTQPTGYPGNPVMHYIPDSHYSGAPVQQMPVYYVQGTIPPGNVQIRSPYAQQYPIATGQMPIRYHQAVQGMGQVYSGMTMRPVAAMDPYDGARVVSDGVNQQFYYGVRNASTGMVQGYPGMVIPGGEDMKRTASDVNPGRVSQ >Potri.013G052500.1.v4.1 pep chromosome:Pop_tri_v4:13:3771712:3774497:1 gene:Potri.013G052500.v4.1 transcript:Potri.013G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052500.v4.1 MAEAGVLETMQRTMTKETELKKELEKLVQTILVEEDYRVEVTDEAMRILSVLKELKFKKSSKVVDNTVIPEEFICPISKKIMNDPVVLATGQTYDRPFIQRLLNEGHRTCPQTQQVISHTFLTPNHLVQEMISKWRKERGIELPKPLVDDDVHTDADRVYLKSLLEKMSSSLSDQKEAAKELRLITKKKPSFRALFSDSTDAIPQLLNPLSPGRANTHPDLQEDLITTIFNLSIHENNKQLFAENPHVIPLLVESVRSGTIETRSNAAAALFSLSSLDSNKLIIGKAGALNPLIGLLEEGHPPAMKDAALAIFNLCLVLENKVRAVQEGAVRVILKKIMDCILVDELLATLAILTSQQEAVQEMGLLGAVPCLIDIIRESSSERNKENCAAILHTICLNDRTKWRAVMEEEKANATLSILAEHGTSRAKRKANGILKILNRAASITHTL >Potri.016G072500.1.v4.1 pep chromosome:Pop_tri_v4:16:5385222:5385719:1 gene:Potri.016G072500.v4.1 transcript:Potri.016G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072500.v4.1 MSKQGPPPRTPTLRRRNSIATSFVIPTKLSLHTSSLPPSHLNGTLPSHLDISLLPLKSSLSYTSIKDLLPSATVNSPTSATSNTTSTYEIPIRNRLVKQAAWAYLQPMSSSPDSSGTHFLRRLWLRLSTKNPITACFGFISFRVIPSITNAFDRILRAIRVHFNK >Potri.003G030400.1.v4.1 pep chromosome:Pop_tri_v4:3:3379644:3382195:1 gene:Potri.003G030400.v4.1 transcript:Potri.003G030400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030400.v4.1 MAGVSALPAEILLSKRVQEMVLNGEEPQKLYISRDDCTNEAIPSPPSDLIPIIDLSLLSSSEPCSAQELQRLRSALCSWGCFQATGHGIPKSFLDKIRQVARDFFEQPMEEKKRHAKGVEEFEGYGADPVPAEGQSLDWSDRLFLDVYPEDRRKHKFWPENPKSFREVLEEYTSRMQILTELVSKAIAKSLNLEADCFLNQFGKRAALQARFNYYSRCQRPDLVLGLKAHADGSGYTIILQDDVEGLQVFQDERWLTVPAISDALLVLMGDQMEIMTNGMFKSPVHRVLTNSEKERISVAVFYTPEPNKEIGPEEGLINEERKTIYKKVKDYADVHWEYYQQGKRALHVARV >Potri.004G102400.8.v4.1 pep chromosome:Pop_tri_v4:4:8991005:8997766:-1 gene:Potri.004G102400.v4.1 transcript:Potri.004G102400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102400.v4.1 MVLVQSSKLSLPPSVSATKSLLFEPNSLSLALMHTDSSLSLFPSLPFPSLPSLPPKPQTLVPSPSSSSSFLLIHQDPIPKVLFLVAGPYKGGSQILLRFHVLQNDSFFYKPQVVCNQKGLAFDSKLGVLLDINHGVSIKIVGSINFFVLHSVSSKKVWVFAVKIIDDGDGEMLKLMRCAVIECSVPVWSISVSSGVLILGEDNGVRVFNLRQLVKWKVKKVKGFDSNGKLDRKGLKSSNGDGEDNGVSSSSGNACNGALDGKTDKHCVSVKQRSVRCSQDSGEGGACFVAFKREATEGMKPTTLKAVSIQALPPKKFVILDSIGDLHILCLSAPVVGPNVMAHMRQLPHSMKVQKLAVFPDFSSSIQF >Potri.004G102400.4.v4.1 pep chromosome:Pop_tri_v4:4:8990966:8997719:-1 gene:Potri.004G102400.v4.1 transcript:Potri.004G102400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102400.v4.1 MVLVQSSKLSLPPSVSATKSLLFEPNSLSLALMHTDSSLSLFPSLPFPSLPSLPPKPQTLVPSPSSSSSFLLIHQDPIPKVLFLVAGPYKGGSQILLRFHVLQNDSFFYKPQVVCNQKGLAFDSKLGVLLDINHGVSIKIVGSINFFVLHSVSSKKVWVFAVKIIDDGDGEMLKLMRCAVIECSVPVWSISVSSGVLILGEDNGVRVFNLRQLVKWKVKKVKGFDSNGKLDRKGLKSSNGDGEDNGVSSSSGNACNGALDGKTDKHCVSVKQRSVRCSQDSGEGGACFVAFKREATEGMKPTTLKAVSIQALPPKKFVILDSIGDLHILCLSAPVVGPNVMAHMRQLPHSMKVQKLAVFPDFSSKMQTFWVSDGLHSVHTITLSNMDAAVNTNNGDVTQEKLIRITVIQAILSAEKIQDLIPLGANGILILGQGNIYSYTIP >Potri.008G135860.1.v4.1 pep chromosome:Pop_tri_v4:8:9067043:9068219:-1 gene:Potri.008G135860.v4.1 transcript:Potri.008G135860.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135860.v4.1 MGSKFAAMLFIFMIFMAISLPPIYAGTPCTQPHPPSYPHPPPPNPPYSSSPKTTNHEASTASWRPLTFQETTIATSSTTTNYNKSPASDNTSSNSTTYNKPSCDNTTTFFKLPSISTWFWWSSIWRRWWWWRRRRRWWWGWRGGSIPGVNPPPTTQPTCPINALKLGACVDVLGGLVHVGLGNPVENVCCPVLKGLLELEAAICLCTSKGLSSLTSPFSFL >Potri.005G208100.1.v4.1 pep chromosome:Pop_tri_v4:5:21246799:21247032:1 gene:Potri.005G208100.v4.1 transcript:Potri.005G208100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208100.v4.1 MGMKPAKQAAVVFGALAFGWLAIEMAFKPFLDKARSAMDKSDPARDPDGDDDSADRKEKGSLSESGAFFSDENPAVA >Potri.004G179811.1.v4.1 pep chromosome:Pop_tri_v4:4:19423587:19424832:-1 gene:Potri.004G179811.v4.1 transcript:Potri.004G179811.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179811.v4.1 MLCMQALPPYPTNNTQEGKKKRKEFKVASQSRTMMKVANLIAVFILLALASSFVTAYDPSPLQDFCVAIDDANSAVLVNGKLCKNPSLATPDDFSYSGLDVPGNTSNQLGARVNIITADLMPGLNTLGVSLARIDLAPNGGLNPPHYHPRGSEVLLVLEGTLYAGFVTSNPDHRLFTKILKPGDLFVFPFGLIHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASWPLYPEVLTTAFHLDEKLVEDLQSQEWVNPT >Potri.010G102100.2.v4.1 pep chromosome:Pop_tri_v4:10:12454866:12460947:-1 gene:Potri.010G102100.v4.1 transcript:Potri.010G102100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102100.v4.1 MAMPPGNVVIPDKMQFPAGAGGGAAAAGNEIHQHHPQRHQWFPVDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEPGEYDLVVGCIQQRRCNWNHVLHMQQYFSVGEVVAALQQAVLRRQQQQQQQNHHHHQHRFYHDQGKVGGKDFKRSSSAGFNRGYRSGGGGEAVKEGVNSSVENRTFNGNSSENVRSEKFEEVKSGGDCGNSDDKRDVTAKPHTHNLLNSSGNSQGTFSGNSEAVVGDDRCSPKESDSHPSNNQNEKQNLAITPKTFVAEEMIDEQKVNVVDGLKLYEKLLDGLEVPKLVSLVNELRAAGRRGQFQGQTYILSKRPMKGHGREMIQFGLPIADAPAETENETGTSKDRRVESIPAMLQEVIDRLVGMQVLTVKPDSCIIDIYNEGDHSQPRMWPPWFGKPVSVLFLTECELTFGREIETVHHGDYRGSLKLSLVPGSLLVMQGKSSDVAKHAIPMIRKQRMLITFTKSQPKKFSSTDGSRLPSHAVAPSSHWGPSLSRSPNHPRHPVPKHYAAIPTAGVLPVPPIRPQIPPPNGVQPIFMTTTVPFPAPVPIPPVSTGWLTASPRHPSARLPVPIPGTGVFLPPPGSGNASSPLQLSTAATEMNFHTETASLPEKENGLGKSNCDTSASPKEKLAEKTQRLDCNGILDGRAVKKEEQQSVNHTVADQSAGAV >Potri.010G102100.3.v4.1 pep chromosome:Pop_tri_v4:10:12455033:12460892:-1 gene:Potri.010G102100.v4.1 transcript:Potri.010G102100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102100.v4.1 MAMPPGNVVIPDKMQFPAGAGGGAAAAGNEIHQHHPQRHQWFPVDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEPGEYDLVVGCIQQRRCNWNHVLHMQQYFSVGEVVAALQQAVLRRQQQQQQQNHHHHQHRFYHDQGKVGGKDFKRSSSAGFNRGYRSGGGGEAVKEGVNSSVENRTFNGNSSENVRSEKFEEVKSGGDCGNSDDKRADVTAKPHTHNLLNSSGNSQGTFSGNSEAVVGDDRCSPKESDSHPSNNQNEKQNLAITPKTFVAEEMIDEQKVNVVDGLKLYEKLLDGLEVPKLVSLVNELRAAGRRGQFQGQTYILSKRPMKGHGREMIQFGLPIADAPAETENETGTSKDRRVESIPAMLQEVIDRLVGMQVLTVKPDSCIIDIYNEGDHSQPRMWPPWFGKPVSVLFLTECELTFGREIETVHHGDYRGSLKLSLVPGSLLVMQGKSSDVAKHAIPMIRKQRMLITFTKSQPKKFSSTDGSRLPSHAVAPSSHWGPSLSRSPNHPRHPVPKHYAAIPTAGVLPVPPIRPQIPPPNGVQPIFMTTTVPFPAPVPIPPVSTGWLTASPRHPSARLPVPIPGTGVFLPPPGSGNASSPLQLSTAATEMNFHTETASLPEKENGLGKSNCDTSASPKEKLAEKTQRLDCNGILDGRAVKKEEQQSVNHTVADQSAGAV >Potri.010G102100.4.v4.1 pep chromosome:Pop_tri_v4:10:12455044:12460858:-1 gene:Potri.010G102100.v4.1 transcript:Potri.010G102100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102100.v4.1 MAMPPGNVVIPDKMQFPAGAGGGAAAAGNEIHQHHPQRHQWFPVDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEPGEYDLVVGCIQQRRCNWNHVLHMQQYFSVGEVVAALQQAVLRRQQQQQQQNHHHHQHRFYHDQGKVGGKDFKRSSSAGFNRGYRSGGGGEAVKEGVNSSVENRTFNGNSSENVRSEKFEEVKSGGDCGNSDDKRADVTAKPHTHNLLNSSGNSQGTFSGNSEAVVGDDRCSPKDLVVLPESDSHPSNNQNEKQNLAITPKTFVAEEMIDEQKVNVVDGLKLYEKLLDGLEVPKLVSLVNELRAAGRRGQFQGQTYILSKRPMKGHGREMIQFGLPIADAPAETENETGTSKDRRVESIPAMLQEVIDRLVGMQVLTVKPDSCIIDIYNEGDHSQPRMWPPWFGKPVSVLFLTECELTFGREIETVHHGDYRGSLKLSLVPGSLLVMQGKSSDVAKHAIPMIRKQRMLITFTKSQPKKFSSTDGSRLPSHAVAPSSHWGPSLSRSPNHPRHPVPKHYAAIPTAGVLPVPPIRPQIPPPNGVQPIFMTTTVPFPAPVPIPPVSTGWLTASPRHPSARLPVPIPGTGVFLPPPGSGNASSPLQLSTAATEMNFHTETASLPEKENGLGKSNCDTSASPKEKLAEKTQRLDCNGILDGRAVKKEEQQSVNHTVADQSAGAV >Potri.010G235200.1.v4.1 pep chromosome:Pop_tri_v4:10:21591379:21595195:-1 gene:Potri.010G235200.v4.1 transcript:Potri.010G235200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235200.v4.1 MMASGINLVMTVIGFSVSTMFIVFVCTRLICARIQLNASRRSFRIASRSDLSMLERGLHGLEPVVIASFPTKKYNDKLFSASEDAQCTVCLAEYHGKDILRILPYCGHSFHVTCIDMWLQQHSTCPMCRISLREFPEKKCAMQPLFSSAIRSQYGTETFDSHSYNYLLTGHGISQRSDDSHGMDTIQDNLCASDGDEACGENSPPLTESNQIAKDTGDKHVESPSNP >Potri.018G112200.1.v4.1 pep chromosome:Pop_tri_v4:18:12967898:12972855:-1 gene:Potri.018G112200.v4.1 transcript:Potri.018G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112200.v4.1 MPPRASKRKATAPQNSSSVTSADDSPTASDKTKTKKTDRLDSLFDSYANSSLGIIDPEGIEALCSDMSVEHTDVRILMFAWKLKAQRQGYFTRDEWRSGMKALKVDSLSKLKKGLPELEKEVNTPENFQDFYSYAFRYCLTEEKQKTVDIESVCELLNLVLGSQFQSKVDLLIEYLKIQSDYKAINLDQWMGFLRFCKEISFPDLENYDADLAWPLILDNFVDWMKEKLS >Potri.018G106700.1.v4.1 pep chromosome:Pop_tri_v4:18:12540681:12543661:-1 gene:Potri.018G106700.v4.1 transcript:Potri.018G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106700.v4.1 MKKGKKMSVSLTVMTFNLHDDQAEDSPNSWEKRKDLCISVVTSYSPMILCTQQGVKTQLDYLQQCLPGYGQFGISRKGSQDSLDEHCTIFYDKEKVELLEDGTFWLSESPSVPGSMSWGAAVPCIATWAIFQLKGIEPPGFSLQIVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTHKESTTGRFLLGRSSEHGVVGDMRDTWPNAQVRKNVSLVHTFHDFKGDKQGALEFFKLILRALCLCWDRQTQDLHVDWILFRGRSLIPVQCEVVNDNINGRYPSSHYPIFAEFMLPRSVRLLEPPLTAEENPLAV >Potri.013G071000.2.v4.1 pep chromosome:Pop_tri_v4:13:5815655:5820202:1 gene:Potri.013G071000.v4.1 transcript:Potri.013G071000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G071000.v4.1 MDPQAFIRLSIGSLGLRISGTTLNSAQSGLQAFSSPCSCEIRLRGFPVQTIAVPLLSSPEVAPEIHSIASIFYLEESDLKALLTPGCFYANQACLEIAVFTGRKGSHCGVGIKRQQIGTFKLEVGPEWGEGKPVILFSGWIGIGKNKKESGKPRAELHLRVKLDPDPRYVFQFEDVTTSSPQIVQLQGSIKQPIFSCKFSRDRVTQVDPLSTYWSTSDDGADVETERKERKGWKVKIHDLSGSAVAATFITTPFVPATGCDWVARSNPGAWLIVRPDACRPDSWQPWGKLEAWRERGIRDSICCRFHLLSEGQEGGEVLMSEILISAEKGGEFFIDTDRQLRTAAAPIPSPQSSGDFSGLSPIVGFIMSCRVQGEGKHNKPLVQLAMRHVTCVEDAAIFMALAAAVDLSIVACRPFRRKLRKGSRHSL >Potri.016G051000.1.v4.1 pep chromosome:Pop_tri_v4:16:3283145:3287675:1 gene:Potri.016G051000.v4.1 transcript:Potri.016G051000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051000.v4.1 MWNLIFWIICLLINFGLLAIVFYALLCLTDLEVDQMDPFVATANINRWILLEFALQAALSILLLFTGHWILFLVAVPLTCYHAMLFIRRQHLIDVTEVFRNLNTDKKCRMIKLGVYMIFFTICVFRVVVFAVNLILNDDDDIDLH >Potri.016G051000.5.v4.1 pep chromosome:Pop_tri_v4:16:3283146:3287674:1 gene:Potri.016G051000.v4.1 transcript:Potri.016G051000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051000.v4.1 MWNLIFWIICLLINFGLLAIVFYALLCLTDLEVDQMDPFVATANINRWILLEFALQAALSILLLFTGHWILFLVAVPLTCYHAMLFIRRQHLIDVTEVFRNLNTDKKCRMIKLGVYMIFFTICVFRNSN >Potri.016G051000.3.v4.1 pep chromosome:Pop_tri_v4:16:3283250:3285656:1 gene:Potri.016G051000.v4.1 transcript:Potri.016G051000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051000.v4.1 MWNLIFWIICLLINFGLLAIVFYALLCLTDLEVDQMDPFVATANINRWILLEFALQAALSILLLFTGHWILFLVAVPLTCYHAMLFIRRQHLIDVTEVFRNLNTDKKCRMIKLGVYMIFFTICVFRIGAGILSLFNSEELDIHSSFFEF >Potri.016G051000.12.v4.1 pep chromosome:Pop_tri_v4:16:3283250:3285554:1 gene:Potri.016G051000.v4.1 transcript:Potri.016G051000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051000.v4.1 MWNLIFWIICLLINFGLLAIVFYALLCLTDLEVDQMDPFVATANINRWILLEFALQAALSILLLFTGHWILFLVAVPLTCYHAMLFIRRQHLIDVTEVFRNLNTDKKCRMIKLGVYMIFFTICVFRFVLNSVYNYPGFSLSTCSNYLLV >Potri.016G051000.6.v4.1 pep chromosome:Pop_tri_v4:16:3283735:3287675:1 gene:Potri.016G051000.v4.1 transcript:Potri.016G051000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051000.v4.1 MDPFVATANINRWILLEFALQAALSILLLFTGHWILFLVAVPLTCYHAMLFIRRQHLIDVTEVFRNLNTDKKCRMIKLGVYMIFFTICVFRVVVFAVNLILNDDDDIDLH >Potri.002G035600.1.v4.1 pep chromosome:Pop_tri_v4:2:2345536:2348249:-1 gene:Potri.002G035600.v4.1 transcript:Potri.002G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035600.v4.1 MEGNVFAEALEKMDHVKSPEGEILAKPFLDLCKTVLPVLDNFGPAMGPVKSDIGGNISRLETRYLSNQSEFNYLYRIVQSEIESKKAKSSSSCTNALLWLTRAMDFLSELFRNLMVHPDWSMSQVCTDSYDKSLKKWHGWLASSSFSVALKLAPDRKKFMSVVGVKGDDVSDIEDFCGRFSPLLEENHKFLASVGMDNLKA >Potri.002G035600.3.v4.1 pep chromosome:Pop_tri_v4:2:2345536:2348249:-1 gene:Potri.002G035600.v4.1 transcript:Potri.002G035600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035600.v4.1 MEGNVFAEALEKMDHVKSPEGEILAKPFLDLCKTVLPVLDNFGPAMGPVKSDIGGNISRLETRYLSNQSEFNYLYRIVQSEIESKKAKSSSSCTNALLWLTRAMDFLSELFRNLMVHPDWSMSQVCTDSYDKSLKKWHGWLASSSFSVALKLAPDRKKFMSVVGVKGDDVSDIEDFCGRFSPLLEENHKFLASVGMDNLKA >Potri.002G035600.2.v4.1 pep chromosome:Pop_tri_v4:2:2345536:2348249:-1 gene:Potri.002G035600.v4.1 transcript:Potri.002G035600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035600.v4.1 MEGNVFAEALEKMDHVKSPEGEILAKPFLDLCKTVLPVLDNFGPAMGPVKSDIGGNISRLETRYLSNQSEFNYLYRIVQSEIESKKAKSSSSCTNALLWLTRAMDFLSELFRNLMVHPDWSMSQVCTDSYDKSLKKWHGWLASSSFSVALKLAPDRKKFMSVVGVKGDDVSDIEDFCGRFSPLLEENHKFLASVGMDNLKA >Potri.012G133600.2.v4.1 pep chromosome:Pop_tri_v4:12:14897110:14901241:-1 gene:Potri.012G133600.v4.1 transcript:Potri.012G133600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133600.v4.1 MRSIFCGNFEYDARQTELERLFKRYGRVERVDMKAGFAFIYMEDERDAEDAIRGLDRVEFGRKGRRLHVEWTKQERGARQPGGSSRKSANTRPSKTLFVINFDPHHTRTKDLERHFEPYGRIVSVRIRRNFAFVQYEAQEDATKALDATNMSKLLYRVISVEYAARDDGERKDGHSPDRSRDRSPDRRGHDRRRSPSPYRRERGSTDYGHGPSPYRKERVSPDSGCRRSPSPYRRDRASPDYGRGSTRSPSRRERAGSDHGHGPSRGPYRKDKASPVNGNGPSDSPYQREEKLSPENGRVPSRSPYGRERPNPDKGRTSSHSPSERDGSSPENGQWRSPSSNPDKRDSAIGRVESPRHERYHSQSPAADE >Potri.012G133600.4.v4.1 pep chromosome:Pop_tri_v4:12:14897156:14901218:-1 gene:Potri.012G133600.v4.1 transcript:Potri.012G133600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133600.v4.1 MEDERDAEDAIRGLDRVEFGRKGRRLHVEWTKQERGARQPGGSSRKSANTRPSKTLFVINFDPHHTRTKDLERHFEPYGRIVSVRIRRNFAFVQYEAQEDATKALDATNMSKLLYRVISVEYAARDDGERKDGHSPDRSRDRSPDRRGHDRRRSPSPYRRERGSTDYGHGPSPYRKERVSPDSGCRRSPSPYRRDRASPDYGRGSTRSPSRRERAGSDHGHGPSRGPYRKDKASPVNGNGPSDSPYQREEKLSPENGRVPSRSPYGRERPNPDKGRTSSHSPSERDGSSPENGQWRSPSSNPDKRDSAIGRVESPRHERYHSQSPAADE >Potri.003G214700.1.v4.1 pep chromosome:Pop_tri_v4:3:21103730:21105664:-1 gene:Potri.003G214700.v4.1 transcript:Potri.003G214700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX23 MERVFSFKMMIDKALHPLVASLFFVIWFGGSLPYAYAQLTPTFYDGTCPNVSTIIRGVLAQALQTDPRIGASLIRLHFHDCFVDGCDGSILLDNTDTIESEKEAAPNNNSARGFDVVDNMKAAVENACPGIVSCADILAIAAEESVRLAGGPSWTVPLGRRDSLIANRSGANSSIPAPSESLAVLKSKFAAVGLNTSSDLVALSGAHTFGRAQCLNFISRLYNFSGSGNPDPTLNTTYLAALQQLCPQGGNRSVLTNLDRTTPDTFDGNYFSNLQTNEGLLQSDQELFSTTGADTIAIVNNFSSNQTAFFESFVVSMIRMGNISPLTGTDGEIRLNCRIVNNSTGSNALLVSSI >Potri.014G044800.4.v4.1 pep chromosome:Pop_tri_v4:14:2878525:2883883:-1 gene:Potri.014G044800.v4.1 transcript:Potri.014G044800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044800.v4.1 MVFRTEPETEPNTNNNTIMASSTTTTSTVKPHQPLHNFPLQDLKWSMNHSNNVTNHHHRFRTHKSPHRDAASAADSEGDGGVKIDKLLKKKSGDVENSERKSKIFIRLRTNKNSSGSGSSSGSSKGVVGDVAAGAVDQGSAAVVEDVEELMPKTWNLRPRRAVNNINNDSNNNNNKGLNGNGGALKICGGAVPEIKPQVPGGNRTELTRSNRNGNDANNYDNDNDNNNNNRKERGKEKEKEKKVRFSIPLTKVEIEEDIYSLTGSKPARRPKKRAKHVQKQLDCLFPGMWLDSITPDCYKVHEAPSKG >Potri.014G044800.1.v4.1 pep chromosome:Pop_tri_v4:14:2882264:2883635:-1 gene:Potri.014G044800.v4.1 transcript:Potri.014G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044800.v4.1 MVFRTEPETEPNTNNNTIMASSTTTTSTVKPHQPLHNFPLQDLKWSMNHSNNVTNHHHRFRTHKSPHRDAASAADSEGDGGVKIDKLLKKKSGDVENSERKSKIFIRLRTNKNSSGSGSSSGSSKGVVGDVAAGAVDQGSAAVVEDVEELMPKTWNLRPRRAVNNINNDSNNNNNKGLNGNGGALKICGGAVPEIKPQVPGGNRTELTRSNRNGNDANNYDNDNDNNNNNRKERGKEKEKEKKVRFSIPLTKVEIEEDIYSLTGSKPARRPKKRAKHVQKQLDCLFPGMWLDSITPDCYKVHEAPSKLRM >Potri.014G044800.5.v4.1 pep chromosome:Pop_tri_v4:14:2878543:2883883:-1 gene:Potri.014G044800.v4.1 transcript:Potri.014G044800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044800.v4.1 MVFRTEPETEPNTNNNTIMASSTTTTSTVKPHQPLHNFPLQDLKWSMNHSNNVTNHHHRFRTHKSPHRDAASAADSEGDGGVKIDKLLKKKSGDVENSERKSKIFIRLRTNKNSSGSGSSSGSSKGVVGDVAAGAVDQGSAAVVEDVEELMPKTWNLRPRRAVNNINNDSNNNNNKGLNGNGGALKICGGAVPEIKPQVPGGNRTELTRSNRNGNDANNYDNDNDNNNNNRKERGKEKEKEKKVRFSIPLTKVEIEEDIYSLTGSKPARRPKKRAKHVQKQLDCLFPGMWLDSITPDCYKVHEAPSKG >Potri.017G017700.3.v4.1 pep chromosome:Pop_tri_v4:17:1297298:1305308:1 gene:Potri.017G017700.v4.1 transcript:Potri.017G017700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017700.v4.1 MIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKIMGVLTHLDQFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYVKREIHNLARFISVMKFHPLSWRTSHPYVLADRFEDVTPPERVRVDNKCDRNITLYGYLRGCNLKRGTKVHIAGVGDYNLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLVYDKDAVYININDHFVQYSNVDNKSDRMTHKGKDQDVGESLVKSLQNTKYSIDEKLEKSFISLFSRNNISSEAQNDAKDNHRSVDHSYNLEPNELGEESDAEDLDGSESTDEDEAAQKDAVVNGESDGSDEEHGTAAKQKADPQDRMKEQVEFHGGRLRRKAMFGNDIDDKDLKDCDEGSESDDDVGDQSLSDSEFSEEDRDEEDMGNISKWKESLVDRTFSKQNNNLMQRVYGKSASTPINEKQDGSEDEESDDEFFKLKGEGNKKLREGFDVENVDADECSKFTNYSDLKNWKDEEIYESIRDRFVTGDWSKAAQRNKLPTANDEDDEDSVYGDFEDLETGEKHGNHQKEESGNVSMQKEDELEEQRKLKKLALRARFDAQFHGNESPDEEVDEKHGAKFHRGQANESGYIDKLKEEIEIRKQRNIAELNDLDEETRLEIEGFQTGTYLRLELHDVPFEMVEHFDPCDPILVGGIGLGEEHVGYMQARLKRHRWHRKVLKTKDPVIFSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLATFWGPLAPPNTGVVAVQNLANNQASFRITATAVVLEFNHAAKMVKKVKLVGHPCKIFKKTALIMNMFTSDLEVARFEGAAVRTVSGIRGQVKKAAKDEIGNQPTKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEAPCFYNPLTTALQPRNKTWQGMKTVAELRREHNLPIPVNKDSLYRPIERTPKKFNPLVIPKSLQATLPFESKPKDIPKGRATLERRRAVVMEPDERKVHALVQQLRLITNDKMRKRKLKKDQERNKLEAEKAKDEELSRKRKREERRERYRVQEKLKKKARRNSDA >Potri.017G017700.1.v4.1 pep chromosome:Pop_tri_v4:17:1296444:1305347:1 gene:Potri.017G017700.v4.1 transcript:Potri.017G017700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017700.v4.1 MAKISSIHEQSHKPHRLRQAGPSKQTKKKKQQGGGEEEKKRNPKAFGFKSSVKAKKLQSRTVEKEQRKLHVPTIERNYGEPPPFVVVVHGPPQVGKSLLIKCLVKHYTKHNIQEVRGPITIVSGKKRRVQFVECPNDINGMIDAAKFADLALLLIDGSYGFEMETFEFLNILQVHGFPKIMGVLTHLDQFKDVKKLKKTKQRLKHRFWTEIYDGAKLFYLSGLIHGKYVKREIHNLARFISVMKFHPLSWRTSHPYVLADRFEDVTPPERVRVDNKCDRNITLYGYLRGCNLKRGTKVHIAGVGDYNLAGVTALADPCPLPSAAKKKGLRDKEKLFYAPMSGLGDLVYDKDAVYININDHFVQYSNVDNKSDRMTHKGKDQDVGESLVKSLQNTKYSIDEKLEKSFISLFSRNNISSEAQNDAKDNHRSVDHSYNLEPNELGEESDAEDLDGSESTDEDEAAQKDAVVNGESDGSDEEHGTAAKQKADPQDRMKEQVEFHGGRLRRKAMFGNDIDDKDLKDCDEGSESDDDVGDQSLSDSEFSEEDRDEEDMGNISKWKESLVDRTFSKQNNNLMQRVYGKSASTPINEKQDGSEDEESDDEFFKLKGEGNKKLREGFDVENVDADECSKFTNYSDLKNWKDEEIYESIRDRFVTGDWSKAAQRNKLPTANDEDDEDSVYGDFEDLETGEKHGNHQKEESGNVSMQKEDELEEQRKLKKLALRARFDAQFHGNESPDEEVDEKHGAKFHRGQANESGYIDKLKEEIEIRKQRNIAELNDLDEETRLEIEGFQTGTYLRLELHDVPFEMVEHFDPCDPILVGGIGLGEEHVGYMQARLKRHRWHRKVLKTKDPVIFSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLATFWGPLAPPNTGVVAVQNLANNQASFRITATAVVLEFNHAAKMVKKVKLVGHPCKIFKKTALIMNMFTSDLEVARFEGAAVRTVSGIRGQVKKAAKDEIGNQPTKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEAPCFYNPLTTALQPRNKTWQGMKTVAELRREHNLPIPVNKDSLYRPIERTPKKFNPLVIPKSLQATLPFESKPKDIPKGRATLERRRAVVMEPDERKVHALVQQLRLITNDKMRKRKLKKDQERNKLEAEKAKDEELSRKRKREERRERYRVQEKLKKKARRNSDA >Potri.017G017700.4.v4.1 pep chromosome:Pop_tri_v4:17:1298477:1305310:1 gene:Potri.017G017700.v4.1 transcript:Potri.017G017700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017700.v4.1 MSGLGDLVYDKDAVYININDHFVQYSNVDNKSDRMTHKGKDQDVGESLVKSLQNTKYSIDEKLEKSFISLFSRNNISSEAQNDAKDNHRSVDHSYNLEPNELGEESDAEDLDGSESTDEDEAAQKDAVVNGESDGSDEEHGTAAKQKADPQDRMKEQVEFHGGRLRRKAMFGNDIDDKDLKDCDEGSESDDDVGDQSLSDSEFSEEDRDEEDMGNISKWKESLVDRTFSKQNNNLMQRVYGKSASTPINEKQDGSEDEESDDEFFKLKGEGNKKLREGFDVENVDADECSKFTNYSDLKNWKDEEIYESIRDRFVTGDWSKAAQRNKLPTANDEDDEDSVYGDFEDLETGEKHGNHQKEESGNVSMQKEDELEEQRKLKKLALRARFDAQFHGNESPDEEVDEKHGAKFHRGQANESGYIDKLKEEIEIRKQRNIAELNDLDEETRLEIEGFQTGTYLRLELHDVPFEMVEHFDPCDPILVGGIGLGEEHVGYMQARLKRHRWHRKVLKTKDPVIFSIGWRRYQTTPVYAIEDRNGRHRMLKYTPEHMHCLATFWGPLAPPNTGVVAVQNLANNQASFRITATAVVLEFNHAAKMVKKVKLVGHPCKIFKKTALIMNMFTSDLEVARFEGAAVRTVSGIRGQVKKAAKDEIGNQPTKKGGAPREGIARCTFEDRILMSDIVFLRAWTQVEAPCFYNPLTTALQPRNKTWQGMKTVAELRREHNLPIPVNKDSLYRVIYDGGCSLGP >Potri.019G130000.1.v4.1 pep chromosome:Pop_tri_v4:19:15274565:15277856:-1 gene:Potri.019G130000.v4.1 transcript:Potri.019G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130000.v4.1 MSSSMETQDPQSKPPQNSDPTKPHNPNPTTPPASSMLNNIRGGALHRRARSEMSFRLPEDMTMMMMDIHPSDQINGGNGNGNGTGNGGGGSSTGSLEEIGSEDDLFSTYIDVDKLTGGNSGNGAGGGVQNDNDNNMNGEKAGVSGSGTSRPKHRHSNSVDGSVFGGGEVMDAKKAMPPDKLAELWNIDPKRAKRILANRQSAARSKERKARYILELERKVQTLQTEATTLSAQLTLFQRDTTGLSSENTELKLRLQAMEQQAQLRDALNEALKKEVERLRIATGEMVSPSESFNLGMHQMPFTQPNFFPLPPQPGSSGHPNMQLPSFTHTPPSMSTHHLQQADSHNLSDYMQNDPIRQLQGLDISNKGLNIVKSEGPSLSASENSSTF >Potri.002G172050.1.v4.1 pep chromosome:Pop_tri_v4:2:13240022:13240668:1 gene:Potri.002G172050.v4.1 transcript:Potri.002G172050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172050.v4.1 MGWRCRFWFMSTALFESWWCYTGDEHYFFVSMSASKTPRKGRGLLEFENRIRLFSCASKEASLDF >Potri.010G026800.1.v4.1 pep chromosome:Pop_tri_v4:10:3889778:3896335:1 gene:Potri.010G026800.v4.1 transcript:Potri.010G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026800.v4.1 MSRTRKSSNLCDDKIAGKGSSTSQGPNEVSDYLDHEIAQLTKLRSRPHELLSRDVPGRSRLPVSTMRMLVGREGNYSGRGRFSSADSRHVLSRYLPVHGPCTVDQLNSRTYVSQFSDDGTLFVAGCQGSHIRIYNVDKGWKIQKDILAKSLRWTITDTCLSPNQRYLVYASLSPVVHIVDIGSAATESLANVTEIHNGLDFSVDNDDYDEFGIFSVKFSTDGRELVAASSDNSIYIYDLEANTCSLRVPAHKSDVNTVCFADEAGHLLYSGSDDNLCKVWDRRCFISKGKAAGVLMGHLEGITFVDSRGDGRYFISNGKDQTTKLWDIRKMSSNAKEYTPRLRDSDWDYRWMEYPPHAKILKHPRDQSVTTYRGHSILRTLIRCYFSPEYSTGQKYIYTGSSDCSVYIYDLVSGALVATLDHHEGLVRDCSWHPLYPMIISSSWDGVIARWEFPGSGEAPTPVVRRRSSLRRDL >Potri.010G026800.5.v4.1 pep chromosome:Pop_tri_v4:10:3889906:3896373:1 gene:Potri.010G026800.v4.1 transcript:Potri.010G026800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026800.v4.1 MSRTRKSSNLCDDKIAGKGSSTSQGPNEVSDYLDHEIAQLTKLRSRPHELLSRDVPGRSRLPVSTMRMLVGREGNYSGRGRFSSADSRHVLSRYLPVHGPCTVDQLNSRTYVSQFSDDGTLFVAGCQGSHIRIYNVDKGWKIQKDILAKSLRWTITDTCLSPNQRYLVYASLSPVVHIVDIGSAATESLANVTEIHNGLDFSVDNDDYDEFGIFSVKFSTDGRELVAASSDNSIYIYDLEANTCSLRVPAHKSDVNTVCFADEAGHLLYSGSDDNLCKVWDRRCFISKGKAAGVLMGHLEGITFVDSRGDGRYFISNGKDQTTKLWDIRKMSSNAKE >Potri.019G034100.1.v4.1 pep chromosome:Pop_tri_v4:19:4662852:4665203:-1 gene:Potri.019G034100.v4.1 transcript:Potri.019G034100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034100.v4.1 MASLLSFSLRKPSIIKATSLSPTTTSPSTPEVLEEKFGRKGIKFLESNNVPTVELKVRNGSSVRVQIPNAYVSSYKPKVYWKDDGFEEVLYTLPGKEKDSSGIAKGGIGLVINDASEGGSKGSLISSSEWTVKDVDSDSIDAVQVELSCSSGPLEISYVVSLYPLSMASAVIVKNNGRKDVTLTSAILSHLKFKKRAKAGIQGLRKCYYCTQPPLSSPFEVLSPSEALKPESPGLLDFDFEPEEKPGSWKVQEEPYIILKDRLSRVYAAPPQERLKAFYNTSPTKYETLDQGKELFFRVIRIGFEDIYIGSPGSFAEKYGKDYFICTGPAAMLVPVVVKPGEEWKGAQMIEHDNL >Potri.T010700.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:82309:87767:1 gene:Potri.T010700.v4.1 transcript:Potri.T010700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010700.v4.1 MAAELVSSATSDKLTEVDWTKNIEICELVARDERQARDVVKAIKKRLGSKNANTQLYAVMLLEMLMNNIGEQVHRQVIDTGILPILVKIVKKKTELPVRERIFLLLDATQTALGGASGKFPQYYSAYYDLVCAGVQFPQRPRERPSNHQATQESKKNTLNGELAAARHEVGAHPVPVEPQVVPESSIIQKASNALEVLKEVLDAVDSQNPEGAKDEFTLDLVEQCSFQKQRVMHLVMTSRDEKLVSQAIELNEQLQKVLARHDSLLSGRSTVSDTTTISDRTTTTANHFNHEESEEEEEPEQLFRRLRKGKACARPEDEGNSEERLPLGLLGSTIPGDRLNRPLIRPLPSEQPQDPNANCAPVVIPPPPAKHMERQKFFQEKKADGSAVSGHMRGLSLHSRNASSSCSGSIDFSD >Potri.T010700.6.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:82298:87737:1 gene:Potri.T010700.v4.1 transcript:Potri.T010700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010700.v4.1 MAAELVSSATSDKLTEVDWTKNIEICELVARDERQARDVVKAIKKRLGSKNANTQLYAVMLLEMLMNNIGEQVHRQVIDTGILPILVKIVKKKTELPVRERIFLLLDATQTALGGASGKFPQYYSAYYDLVCAGVQFPQRPRERPSNHQATQESKKNTLNGELAAARHEVGAHPVPVEPQVVPESSIIQKASNALEVLKEVLDAVDSQNPEGAKDEFTLDLVEQCSFQKQRVMHLVMTSRDEKLVSQAIELNEQLQKVLARHDSLLSGRSTVSDTTTISDRTTTTANHFNHEESEEEEEPEQLFRRLRKGKACARPEDEGNSEERLPLGLLGSTIPGDRLNRPLIRPLPSEQPQDPNANCAPVVIPPPPAKHMERQKFFQEKKADGSAVSGHMRGLSLHSRNASSSCSGSIDFSD >Potri.009G026850.1.v4.1 pep chromosome:Pop_tri_v4:9:3829966:3830801:1 gene:Potri.009G026850.v4.1 transcript:Potri.009G026850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026850.v4.1 MLTKCSVIKSLKLASSPLQRQADPSDDMQPCELKGKELAFAAINALNMLATWHL >Potri.008G042900.1.v4.1 pep chromosome:Pop_tri_v4:8:2423920:2425367:1 gene:Potri.008G042900.v4.1 transcript:Potri.008G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042900.v4.1 MEKTTKIYHDDWELESEKDLSFCDLPSFLIKEPEPNSYHHDDQEQRNSFSSQDLFEFLPPDQDTKTNSPQDIIFFGRNMLHKTKQPANSPNPLCFSALLSNRSRRSHSLHVETSKASPTPKLTGSFRDQYSSNNSRKHRVLIGLAKIPPKMELSDIKRRQSRQAPVPMIPAPMLAVDDEKTLIASINGSYDDHQKGRAGLAKPLKCRSHLSRLLTNACFKGCLPLL >Potri.016G049100.10.v4.1 pep chromosome:Pop_tri_v4:16:3168275:3172051:1 gene:Potri.016G049100.v4.1 transcript:Potri.016G049100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049100.v4.1 MQRPLHEDFLLKETNPHLGGGKITGDKLTSTYDLVEQMQYLYVRVVKAKELPAKDVTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRMQASMLEVTVKDKDFVKDDFMGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVTGTDGLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVYVKATLGNQVLRTRVSPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRIAPNKDEVLGKCAIPMHYVDRRLDHKPVNTRWFNLERHVIVEGEKKKETKFSSRIHTRICLEGGYHVLDESTHYSSDLRPTAKQLWKNSIGVLEVGILNAQGLMPMKTKDSRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLFPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYVTPFQVVALLTGFYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >Potri.016G049100.11.v4.1 pep chromosome:Pop_tri_v4:16:3168243:3172236:1 gene:Potri.016G049100.v4.1 transcript:Potri.016G049100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049100.v4.1 MQRPLHEDFLLKETNPHLGGGKITGDKLTSTYDLVEQMQYLYVRVVKAKELPAKDVTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRMQASMLEVTVKDKDFVKDDFMGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVTGTDGLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVYVKATLGNQVLRTRVSPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRIAPNKDEVLGKCAIPMHYVDRRLDHKPVNTRWFNLERHVIVEGEKKKETKFSSRIHTRICLEGGYHVLDESTHYSSDLRPTAKQLWKNSIGVLEVGILNAQGLMPMKTKDSRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLFPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYVTPFQVVALLTGFYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >Potri.016G049100.8.v4.1 pep chromosome:Pop_tri_v4:16:3168234:3172052:1 gene:Potri.016G049100.v4.1 transcript:Potri.016G049100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049100.v4.1 MQRPLHEDFLLKETNPHLGGGKITGDKLTSTYDLVEQMQYLYVRVVKAKELPAKDVTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRMQASMLEVTVKDKDFVKDDFMGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVTGTDGLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVYVKATLGNQVLRTRVSPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRIAPNKDEVLGKCAIPMHYVDRRLDHKPVNTRWFNLERHVIVEGEKKKETKFSSRIHTRICLEGGYHVLDESTHYSSDLRPTAKQLWKNSIGVLEVGILNAQGLMPMKTKDSRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLFPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYVTPFQVVALLTGFYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >Potri.016G049100.9.v4.1 pep chromosome:Pop_tri_v4:16:3168266:3172049:1 gene:Potri.016G049100.v4.1 transcript:Potri.016G049100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049100.v4.1 MQRPLHEDFLLKETNPHLGGGKITGDKLTSTYDLVEQMQYLYVRVVKAKELPAKDVTGSCDPYVEVKLGNYKGTTRHFEKKSNPEWNQVFAFSKDRMQASMLEVTVKDKDFVKDDFMGRVLFDLNEVPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVTGTDGLANIRSKVYLSPKLWYLRVNVIEAQDLQPSDKGRYPEVYVKATLGNQVLRTRVSPSRSINPMWNEDLMFVAAEPFEEPLILSVEDRIAPNKDEVLGKCAIPMHYVDRRLDHKPVNTRWFNLERHVIVEGEKKKETKFSSRIHTRICLEGGYHVLDESTHYSSDLRPTAKQLWKNSIGVLEVGILNAQGLMPMKTKDSRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGARDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPNGVKKMGEIHLAVRFTCSSLLNMMHMYSQPLLPKMHYIHPLTVSQLDSLRHQATQIVSMRLSRAEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIAVGKWFDQICNWKNPITTVLIHILFIILVLFPELILPTIFLYLFLIGVWYYRWRPRHPPHMDTRLSHAESAHPDELDEEFDTFPTSRPPDIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYVTPFQVVALLTGFYVLRHPRFRHKLPSVPLNFFRRLPARTDCML >Potri.010G130700.1.v4.1 pep chromosome:Pop_tri_v4:10:14711652:14715013:1 gene:Potri.010G130700.v4.1 transcript:Potri.010G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130700.v4.1 MATFSSSPLLFANPDSGFSLLERTCKIKMLRRTTATPPSITAASLNDNDDQSSSSKLVTFIGKGGSGKTTSAIFAAQHYAMSGLRTCLVIQTQDPSAEYLLNYKIGTSPTECSDNLWAVRLETSKMLLEPLDRLKQADSRLKMTQGVLEGVVGEELGVLPAMDSIFAVYALAGLVGSLNVNQTNRDKFDIIVYDGVSTDETLRVIGAASKARLYLKYLRNLAEKTDLGRLAGPSLVSLVDEALSLSGSKYNLNRKTSAEIWDSLETMLMQGSSAFYEPSRFGCYLVMDPNIPTSVNAALRYWGCTLQAGAQVSGAIGISSPRFNEESLEGVKKNFLPLPFAFIPHLSIGYPPEWNSVMLNTVGHDARTLFSLPASHSNSMAPPVKFDAAEKSVTLFMPGFDKSEIKLYQYRGGSELLVEAGDQRRVICLPTKIQGKVGGAKFFDRSLVITMR >Potri.019G085800.3.v4.1 pep chromosome:Pop_tri_v4:19:12508032:12511305:-1 gene:Potri.019G085800.v4.1 transcript:Potri.019G085800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085800.v4.1 MESGVRKEDKPPSSSVNLQQQQQLPSSVKPGPLKKIIMVASIAAGVQFGWALQLSLLTPYVQLLGIPHTWAAFIWLCGPISGMLVQPVVGYHSDRCTSRFGRRRPFIAAGAAFVTIAVFLIGYAADIGHLSGDSLTKTAKPRAIAVFVVGFWILDVANNMLQGPCRAFLADLSGTNQKKTRTSNAFFSFFMAVGNVLGYAAGSYTHLYKLFPFSRTKACDVYCANLKSCFFISIALLLTLTILALSYVREKPWSPEGSPGEGGDEEEEEEAIGEAKESAPMPFFGEIFAALKNLQRPMWILLLVTCLNWVAWFPFLLFDTDWMGREVYGGDSSGNADQLKMYDRGVRAGALGLMLNSVVLGVTSLGVEALARGVGGVKRLWGIVNFVLAICLAMTILITKLAQSNRRYTTVNGGTHLLTPPPGIKAGALALFAVMGIPQAVSSCWASFNFFSSIVS >Potri.019G085800.1.v4.1 pep chromosome:Pop_tri_v4:19:12507458:12511401:-1 gene:Potri.019G085800.v4.1 transcript:Potri.019G085800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085800.v4.1 MESGVRKEDKPPSSSVNLQQQQQLPSSVKPGPLKKIIMVASIAAGVQFGWALQLSLLTPYVQLLGIPHTWAAFIWLCGPISGMLVQPVVGYHSDRCTSRFGRRRPFIAAGAAFVTIAVFLIGYAADIGHLSGDSLTKTAKPRAIAVFVVGFWILDVANNMLQGPCRAFLADLSGTNQKKTRTSNAFFSFFMAVGNVLGYAAGSYTHLYKLFPFSRTKACDVYCANLKSCFFISIALLLTLTILALSYVREKPWSPEGSPGEGGDEEEEEEAIGEAKESAPMPFFGEIFAALKNLQRPMWILLLVTCLNWVAWFPFLLFDTDWMGREVYGGDSSGNADQLKMYDRGVRAGALGLMLNSVVLGVTSLGVEALARGVGGVKRLWGIVNFVLAICLAMTILITKLAQSNRRYTTVNGGTHLLTPPPGIKAGALALFAVMGIPQAITYSIPFALASIFSNTSGAGQGLSLGVLNLSIVIPQMLVSVASGPWDALFGGGNPPAFVVGAVAAAVSGILAFTMLPSPPPDIPSNKMAVTAAFH >Potri.010G097000.2.v4.1 pep chromosome:Pop_tri_v4:10:12052398:12059025:-1 gene:Potri.010G097000.v4.1 transcript:Potri.010G097000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097000.v4.1 MAAESNTGFHREGILPSALNRHAISFQSGAINSGCTTDMVPIGMGSYFGINTSTSSSLMLPGSFGLIGNNNSPGGSGSGGIIQAQAGNSSASSSSLLDSVPGLKHDAGLAVEWSVEEQYKLEEGLHKYADEPTILRYIKIAAMLRDKTVRDVALRCRWMMRKRRKAEDYNMGKMINNRKDKLVESSSKMNMAAALPQNMAAYPLMMHHMDQSEPLPFEGISGMARLLDQNAQAFSQISANLSIFKLQDNIDLLCHTRNNITAILNDMREMPGMSQMPPLPVSINEDLANSILPNTVQSMMFGSPSGIQRKQEPRC >Potri.010G097000.1.v4.1 pep chromosome:Pop_tri_v4:10:12052473:12059213:-1 gene:Potri.010G097000.v4.1 transcript:Potri.010G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097000.v4.1 MAAESNTGFHREGILPSALNRHAISFQSGAINSGCTTDMVPIGMGSYFGINTSTSSSLMLPGSFGLIGNNNSPGGSGSGGIIQAQAGNSSASSSSLLDSVPGLKHDAGLAVEWSVEEQYKLEEGLHKYADEPTILRYIKIAAMLRDKTVRDVALRCRWMMRKRRKAEDYNMGKMINNRKDKLVESSSKMNMAAALPQNMAAYPLMMHHMDQSEPLPFEGISGMARLLDQNAQAFSQISANLSIFKLQDNIDLLCHTRNNITAILNDMREMPGMSQMPPLPVSINEDLANSILPNTVQSMMFGSPSGIQRKQEPRC >Potri.012G121412.1.v4.1 pep chromosome:Pop_tri_v4:12:13876870:13878364:-1 gene:Potri.012G121412.v4.1 transcript:Potri.012G121412.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121412.v4.1 MSKYQNITGRNSLHQVQIYEGYLVPKLKNKSIKELQLYDFYKNGDLSYDLTNVNCSCKLVSVLDGKSLYPSCQAIGGALGYGGTITGKNICLIPSAFILGKMIPDAP >Potri.005G192700.2.v4.1 pep chromosome:Pop_tri_v4:5:20035536:20038842:-1 gene:Potri.005G192700.v4.1 transcript:Potri.005G192700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192700.v4.1 MELELGLKITHTRDDITSFTGLRVAKDHAGPLFLSRETETMFNLIAYLTGFRKENIDIKISEDGNQITISGKKPVQELVLVGWIMHKKEVELRAFSKAFRIPHGVILDKIKAKFSDQDLTLTITLPKLVKGIRGVGIEEVKEEEVDKGRGEATQITADRAPEGECREPEMKKVEEIDQVVQKEMNTREAETTRAVALELSRKEKDNEAAKEESIEPKIRTDEETAQVKEQAIDQGQFKEVEEVADHMSGERDNSKEIIQEKSAEPNIKSKEESEKFVEQKVDAGDRVPERVRDTTLQRKPEPKDQSEIEEAILDKSEPPATATTATYQETTIKDSKQFKPEKEIEHQEPKEPTLGEETESKELPGLKEQWKKQETPEAKSTDEETLPKHPERNELLQAFKDLVTKQPEASNQPSSQANQEHAVEENHPVRAEISQESVKLGTETNVQEPTTPGPDQEKKLADKSRNDEAQEINEATTDDVVEEHELVKVKDLGERATNRKNSVSRGTKLFPPLVVAGSAILVSIIVLVISWIRAKKR >Potri.005G192700.1.v4.1 pep chromosome:Pop_tri_v4:5:20035535:20038843:-1 gene:Potri.005G192700.v4.1 transcript:Potri.005G192700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192700.v4.1 MELELGLKITHTRDDITSFTGLRVAKDHAGPLFLSRETETMFNLIAYLTGAGFRKENIDIKISEDGNQITISGKKPVQELVLVGWIMHKKEVELRAFSKAFRIPHGVILDKIKAKFSDQDLTLTITLPKLVKGIRGVGIEEVKEEEVDKGRGEATQITADRAPEGECREPEMKKVEEIDQVVQKEMNTREAETTRAVALELSRKEKDNEAAKEESIEPKIRTDEETAQVKEQAIDQGQFKEVEEVADHMSGERDNSKEIIQEKSAEPNIKSKEESEKFVEQKVDAGDRVPERVRDTTLQRKPEPKDQSEIEEAILDKSEPPATATTATYQETTIKDSKQFKPEKEIEHQEPKEPTLGEETESKELPGLKEQWKKQETPEAKSTDEETLPKHPERNELLQAFKDLVTKQPEASNQPSSQANQEHAVEENHPVRAEISQESVKLGTETNVQEPTTPGPDQEKKLADKSRNDEAQEINEATTDDVVEEHELVKVKDLGERATNRKNSVSRGTKLFPPLVVAGSAILVSIIVLVISWIRAKKR >Potri.005G133550.1.v4.1 pep chromosome:Pop_tri_v4:5:10198368:10198679:1 gene:Potri.005G133550.v4.1 transcript:Potri.005G133550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G133550.v4.1 MMPNTGIMHKENLIAQTDVWSLQFGPQKSPSLCVWLDAVLCEEDHCLLLTGDLSRDYKMTIGVLPKRR >Potri.017G020398.1.v4.1 pep chromosome:Pop_tri_v4:17:1446305:1449950:-1 gene:Potri.017G020398.v4.1 transcript:Potri.017G020398.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G020398.v4.1 MHCRAVLELVTMEEKQDFDLETEKASQALQAADLRINLQPRLHPECFSRDQRAELTEIANVTRAVCQTHRLPLALTWIPCDYTWGAVDDISKSHIRLCNSGFLRTCELSIERTACYSDEEMQGFVNACEQLFLNTGQGAAGQACETCLPSFEPDVKEKHVSEYPLAHHARKYN >Potri.001G440400.1.v4.1 pep chromosome:Pop_tri_v4:1:46617657:46618415:1 gene:Potri.001G440400.v4.1 transcript:Potri.001G440400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440400.v4.1 MATKTSSKVVLNPIFLLGLLLLITCLAPKIDARLLKEENEKPTKIDKINAKMGLGEMKNLPPFPNIPIPGIPFPPFPFPPPFDIPNVPPLPDFPLTPFLFPPFPFLQSPPA >Potri.007G132601.1.v4.1 pep chromosome:Pop_tri_v4:7:14567346:14572021:-1 gene:Potri.007G132601.v4.1 transcript:Potri.007G132601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132601.v4.1 MGSTDATQLVVSLIFLVILGSNLIAGQTFSSNSSKPGTYVRDANNVSQPMIRSDDTVRLDPLENFKKYKGGYDIKNKHYWSSSIFTGVHGYVIGVIWLLGGIAYGGFLLALAFCCKTRRYGQQKKRLPCHKQCWPILLAIFFTTLAITASGLVLGGNAKFHSRAKNVVDIIIDTANDAWKTMYNTTGVLKDMKENLGVSKQRAAAQASTLLTTTSAKLDAEAADIQRRARKNRHLIDKGLKIVYIVTTVTISLNLAALIALSVCGTLRLRRPLYILIVVCWILTVLCWLFFGLYFFLENFSRDSCAALKNFQQNPYNNSLSSILPCDQLLSAKAVLFDVSRGIYRLVNQVNANLSTMQGVPYTVCNPFSAPPEYQYQPDKCPANTIRIGEIPQVLKVFTCSSFDNGTCANGQFISPNYYRTVEAYSTSIQSLLNVYPQMENLVQCKAVKDAFSEILLYHCKPLKRYVRMVWASMVFLSLVMVFLVLIWTMLAQHEQEHHSLDGSVKPRLPSVAEELETGTKDSTEHTVSV >Potri.007G132601.3.v4.1 pep chromosome:Pop_tri_v4:7:14567346:14572021:-1 gene:Potri.007G132601.v4.1 transcript:Potri.007G132601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132601.v4.1 MGSTDATQLVVSLIFLVILGSNLIAGQTFSSNSSKPGTYVRDANNVSQPMIRSDDTVRLDPLENFKKYKGGYDIKNKHYWSSSIFTGVHGYVIGVIWLLGGIAYGGFLLALAFCCKTRRTASGLVLGGNAKFHSRAKNVVDIIIDTANDAWKTMYNTTGVLKDMKENLGVSKQRAAAQASTLLTTTSAKLDAEAADIQRRARKNRHLIDKGLKIVYIVTTVTISLNLAALIALSVCGTLRLRRPLYILIVVCWILTVLCWLFFGLYFFLENFSRDSCAALKNFQQNPYNNSLSSILPCDQLLSAKAVLFDVSRGIYRLVNQVNANLSTMQGVPYTVCNPFSAPPEYQYQPDKCPANTIRIGEIPQVLKVFTCSSFDNGTCANGQFISPNYYRTVEAYSTSIQSLLNVYPQMENLVQCKAVKDAFSEILLYHCKPLKRYVRMVWASMVFLSLVMVFLVLIWTMLAQHEQEHHSLDGSVKPRLPSVAEELETGTKDSTEHTVSV >Potri.007G132601.2.v4.1 pep chromosome:Pop_tri_v4:7:14567346:14572021:-1 gene:Potri.007G132601.v4.1 transcript:Potri.007G132601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132601.v4.1 MGSTDATQLVVSLIFLVILGSNLIAGQTFSSNSSKPGTYVRDANNVSQPMIRSDDTVRLDPLENFKKYKGGYDIKNKHYWSSSIFTGVHGYVIGVIWLLGGIAYGGFLLALAFCCKTRRYGQQKKRLPCHKQCWPILLAIFFTTLAITASGLVLGGNAKFHSRAKNVVDIIIDTANDAWKTMYNTTGVLKDMKENLGVSKQRAAAQASTLLTTTSAKLDAEAADIQRRARKNRHLIDKGLKIVYIVTTVTISLNLAALIALSVCGTLRLRRPLYIFSRDSCAALKNFQQNPYNNSLSSILPCDQLLSAKAVLFDVSRGIYRLVNQVNANLSTMQGVPYTVCNPFSAPPEYQYQPDKCPANTIRIGEIPQVLKVFTCSSFDNGTCANGQFISPNYYRTVEAYSTSIQSLLNVYPQMENLVQCKAVKDAFSEILLYHCKPLKRYVRMVWASMVFLSLVMVFLVLIWTMLAQHEQEHHSLDGSVKPRLPSVAEELETGTKDSTEHTVSV >Potri.014G160000.1.v4.1 pep chromosome:Pop_tri_v4:14:11431018:11435044:1 gene:Potri.014G160000.v4.1 transcript:Potri.014G160000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160000.v4.1 MRTQSELLLALSILFFQSNLFCYALYGPSSPVLQLNPSNFKSKVLNSNGVVLVEFFAPWCGHCKALTPTWEKAAAVLKGVATVAALDADAHQSLAQEYGIRGFPTIKVFVPGNPPVDYQGARDVKPIAEYALKQIKALLKDRLNGKSTGGSSEKSETSLSVELNSRNFDELVLKSKELWIVEFFAPWCGHCKKLAPEWTKAANNLQGKVKLGHVDCDSEKSLMSRFNVQGFPTILVFGADKDTPIPYEGARTASAIESFALEQLESNVAPPEVTELTGPDVMEEKCGSAAICFVAFLPDILDSKAEGRNKYLEQLLSVAEKFKRSPYSYVWAAAGKQPDLENRVGVGGYGYPALVALNAKKGAYAPLKSAFELEHIVEFVKEAGRGGKGNLPLNGNPEIVKTEPWDGKDGEIIEEDEFSLEELMGEDAGSKDEL >Potri.018G049401.2.v4.1 pep chromosome:Pop_tri_v4:18:4590124:4590900:-1 gene:Potri.018G049401.v4.1 transcript:Potri.018G049401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049401.v4.1 MMKKNSLSGSITFLVTDNEIKNYWNTTLGKKAKGQSSSQSKQSSQRKSRAIKPMTSTQPSKSTQTTQVIRTKATRCTKVLLSLQSPPPTLTPLPPPEILSSTAMNDPSQASLINHQQDGPNFHCGTEEVHACHDGSDFFNFGKWNEIQPNDIDGDTLMKSGCNRNLSRGSEYSLGLFDDLMFKDWALNHCPEDNATLDLESLAHLLDSEEWP >Potri.018G049401.1.v4.1 pep chromosome:Pop_tri_v4:18:4587200:4591290:-1 gene:Potri.018G049401.v4.1 transcript:Potri.018G049401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049401.v4.1 MGRSPCCSKEGLNRGAWTALEDKILMAYIKAHGEGNWRNLPERAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKAKGQSSSQSKQSSQRKSRAIKPMTSTQPSKSTQTTQVIRTKATRCTKVLLSLQSPPPTLTPLPPPEILSSTAMNDPSQASLINHQQDGPNFHCGTEEVHACHDGSDFFNFGKWNEIQPNDIDGDTLMKSGCNRNLSRGSEYSLGLFDDLMFKDWALNHCPEDNATLDLESLAHLLDSEEWP >Potri.012G037001.1.v4.1 pep chromosome:Pop_tri_v4:12:3324890:3325840:1 gene:Potri.012G037001.v4.1 transcript:Potri.012G037001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037001.v4.1 MANLFEISSFKTGKFGTTIWVVKMMLLCVGIISTFILFKVAIIPYTFNLILSTLPRVWISLRGWLSPPYIYIILNFIIIAIVASSIFQHPTPTLLQEKTNKDTSKESCLTDSAKKQQAKMDKEPLTPKTDQQDTLEDAWTLIMEKQGKAPARQLKKSGTWDTPPKVLQKANGMITAAGDGGGGRELEKSDTFNDSVSLRREKLISQDELNRRAEEFIRKFNSEMRLQRQESEQQFRAMVRGGV >Potri.003G205700.1.v4.1 pep chromosome:Pop_tri_v4:3:20459077:20463018:-1 gene:Potri.003G205700.v4.1 transcript:Potri.003G205700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205700.v4.1 MNSNNWLSFPLSPTHPSLPAHLHASHPHQFSLGLVNDNMENPFQTQEWSLLNTHQGNNEVPKVADFLGVSKSENQSDLVAFNEIQANESDYLFSNNSLVPVQNAVVGATNTFEFQENASNLQSLTLSMGSASGKGSTCEPSGDNSTNTVEAAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGTSTTTNFPISNYEKEIEEMKHMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNTLPIGGGAAKRLKEAQAIESSRKREEMIALGSSFPYGSTSSSSRLQAYPLMQTPFEQPQPLLTLQNQDISQYTQDSSSFHQNFLQTQLHLHQQSTGSNFLHNQSNQNPQYYNSYIQNNPALLHGLWNMGSSSSVMENNGSSSGSYSTGGYLGNGLGMASNSTGSNAVGSAEELALVKVDYDMPSSGYGSWSGDSVQGSNPGVFTMWNE >Potri.003G120101.2.v4.1 pep chromosome:Pop_tri_v4:3:14130106:14132774:-1 gene:Potri.003G120101.v4.1 transcript:Potri.003G120101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120101.v4.1 MGFSKGKLGAPLEGNVVIGFLDTGIWPESDSFNDEGMSAPPAKWKGKCIGANFTCNNKLIGARWYNSENFFDITDFPSPRDSEGHGTHTSSTAAGREVQGASYFGLAEGAARGGVPNARIAMYKVCWSYGCSSADILAAYDDAIADGVDIISVSLGSDFPFPYMEDPIAIGSFHAMKNGILTSNSAGNSGPYPYSVSNCAPWTLTVAASTIDRKFVAQVVLGNGLALSGLSINNFDLNGTTYPLIWGGDAVNFSAGVNTEIAGYCFPGALNSYKVERKIVLCDTMVTGSDILIANGVGVIMSDSFYSVDFAFSFPVPATVISNEDRVKVLNYIRTTENPTATILVAQGWKDVVAASVVSFSSRGPNPITPDILKPDITAPGVDILAAWSPVAPPSIDYKDTRSVNFNIISGTSMSCPHTSAAAAYVKAGHPNWSPAAIKSALMTTATIMDPRKHVDLEFSYGSGQINPEHALNPGLVYNASEADYINFLCKQGYNTTTLRMITGSNSSVCNSTTPGRAWDLNYPTFALAVEDGQPIQGVFTRTVTNVGNSYSTYTVSTYMPYSVSITVEPSVLTFSKIGEMKTFTVKLYGPVIAQQPIMSGAITWKDGNGHEVRSPVVVYNILPGYEYGIPTSSMTTQKTDKFEGPSMYHKTGILGRH >Potri.011G011400.1.v4.1 pep chromosome:Pop_tri_v4:11:1031843:1033815:1 gene:Potri.011G011400.v4.1 transcript:Potri.011G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G011400.v4.1 MADAADRARPNREEENRLKHACDAGMVTGEVPSDSEDSNNPLSSDSVSSESPPRLADLAASFRVFSESMARMDLAEMEMIKAREASRLEAEKRRMELEAELTRMMLQTQLQIASIVAGKGTSRKRKRVGEEEGELPILSREGALLLSLLQCNFFF >Potri.004G210000.10.v4.1 pep chromosome:Pop_tri_v4:4:21643923:21647931:-1 gene:Potri.004G210000.v4.1 transcript:Potri.004G210000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210000.v4.1 MATTNKDATIPSDEKTGSASGAENNSKDSSSKSSETSSTSGEQRRAPPPQSPGLGGAAAAAAGFPPSPFDFSAMTGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTFQGATAEDAIPNFDTQQYYSTMQQVMQNPQFMTMAEHLGTALMQDPSMSQMLESFTNPSQKDQIEERMTRIREDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVSEEAGTSVETSGHEEAEEAGNEDESVVHHCASVGDVEGLKNALASGADKDEEDSEGRTALHFSCGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIDVAKLNNQQEVLKLLEKDAFL >Potri.004G210000.11.v4.1 pep chromosome:Pop_tri_v4:4:21643928:21647855:-1 gene:Potri.004G210000.v4.1 transcript:Potri.004G210000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210000.v4.1 MATTNKDATIPSDEKTGSASGAENNSKDSSSKSSETSSTSGEQRRAPPPQSPGLGGAAAAAAGFPPSPFDFSAMTGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTFQGATAEDAIPNFDTQQYYSTMQQVMQNPQFMTMAEHLGTALMQDPSMSQMLESFTNPSQKDQIEERMTRIREDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVSEEAGTSVETSGHEEAEEAGNEDESVVHHCASVGDVEGLKNALASGADKDEEDSEGRTALHFSCGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIDVAKLNNQQEVLKLLEKDAFL >Potri.004G210000.8.v4.1 pep chromosome:Pop_tri_v4:4:21643926:21647884:-1 gene:Potri.004G210000.v4.1 transcript:Potri.004G210000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210000.v4.1 MATTNKDATIPSDEKTGSASGAENNSKDSSSKSSETSSTSGEQRRAPPPQSPGLGGAAAAAAGFPPSPFDFSAMTGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTFQGATAEDAIPNFDTQQYYSTMQQVMQNPQFMTMAEHLGTALMQDPSMSQMLESFTNPSQKDQIEERMTRIREDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVSEEAGTSVETSGHEEAEEAGNEDESVVHHCASVGDVEGLKNALASGADKDEEDSEGRTALHFSCGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIDVAKLNNQQEVLKLLEKDAFL >Potri.004G210000.12.v4.1 pep chromosome:Pop_tri_v4:4:21643972:21647790:-1 gene:Potri.004G210000.v4.1 transcript:Potri.004G210000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210000.v4.1 MATTNKDATIPSDEKTGSASGAENNSKDSSSKSSETSSTSGEQRRAPPPQSPGLGGAAAAAAGFPPSPFDFSAMTGLLNDPSIKELAEQIAKDPSFNQMAEQLQKTFQGATAEDAIPNFDTQQYYSTMQQVMQNPQFMTMAEHLGTALMQDPSMSQMLESFTNPSQKDQIEERMTRIREDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVSEEAGTSVETSGHEEAEEAGNEDESVVHHCASVGDVEGLKNALASGADKDEEDSEGRTALHFSCGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIDVAKLNNQQEVLKLLEKDAFL >Potri.010G218500.1.v4.1 pep chromosome:Pop_tri_v4:10:20475833:20476962:-1 gene:Potri.010G218500.v4.1 transcript:Potri.010G218500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218500.v4.1 MIGTKEFDPEPVQENTSLQDFEDAEEAFSFSDLSLKNCDNYGDDFAKQDLSSSFDHQDFFEFFSEEFTSTASSTNSSDSIIFCGKLIPYRGETVVAETEQNLGLAAQETVVAETEQDLGIAAQTKHTKKGSILPSELSPSFNKSTERAAARSKTPPKKKKLQKSTDKARESTNKGYATRKLSVDRKYDCSMRKGPDLSPLMNSGCYSFRLGVGKFPMEMDLSDIRMRQGKKSTPPARKLPFNDECHQTDKSGKGKREKTLWGLFRGKASLGCIPPV >Potri.017G088401.1.v4.1 pep chromosome:Pop_tri_v4:17:10151832:10152053:-1 gene:Potri.017G088401.v4.1 transcript:Potri.017G088401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088401.v4.1 MQHSCAVIFEAKQKVLSNVVDTLSDILEIDSEDKVQLSVSADADLGTIYSITVPVRRLKPEYYDEEDLEALSL >Potri.008G167500.1.v4.1 pep chromosome:Pop_tri_v4:8:11561814:11569813:1 gene:Potri.008G167500.v4.1 transcript:Potri.008G167500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167500.v4.1 MADLVNYGNPERDIEQALVTLKKGTQLIKYSRKGKPKFRAFRLSPDETSLIWLSHGQEKNLKLSSVLRIIPGQRTAVFRRYLRPEKDYLSFSLLYNNGERSLDLICKDKVEAEVWLAGLKALIGKNHNRRTRSNISDFQLTDGELYQNGRPFGATLEFTSSLARGRVSIDLGSRDNPLDLRSSDVSERSSMQLRASTGGDFRISVSSTPSCSSAGSGPDDIESLGDVYIWGEIWSDGVFPDGSVSSVPTKNDVLTPKPLESNVVLDVHQISCGVRHVALVTRQGEVFTWGEESGGRLGHGIEDHFTHPKLVESLAVTNIDYVACGEYHTCAISTSGDLFTWGDGSNNSGLLGHGTDVSHWIPKRVSGPLEGLQVLSIACGTWHSALATSNGKLFTFGDGTFGALGHGDRKSVSSPKELQSLNGLKTIKVACGVWHTAAIVEVMSQSGSNISSRKLFTWGDGDKHRLGHGNKDAYLLPTCVSSLIDYNFQQLACGHTMTVALTTSGHVFTMGGSAYGQLGNPSSNGKIPCLVQDRLVGEFVEEISCGAYHTAVLTSRSEVFTWGRGANGQLGHGDTEDRKLPTLVEALKERHVKNLSCGANFTSSICIHKWVSGADQSVCSGCRQAFGFTRKRHNCYNCGLVHCHNCSSKKAMKAALAPTPGKPHRVCDSCYAKLKAAESGNTSAINRKTTVPRRSMDIREKMERGEPRFSRILLSPTTEPIKYLEIKSGKQGAQSEAASIVRASQVPSLLPLKDVAFPSSPSTLQNAWKPAPPIVPQLTVNSSQPAANSRPSSPYSRRPSPPRSASPGFSRGVIDSLKKTNEIFKQDMTKMQNQIKTLKKKCDNQELEIHNLENRAKGAAKLAAAESSKSNIAMEFAKSITKELKEMMQQLPPEGRETDTLKAIDSKIEAFLEKIRASESSSLPESVGSDYQNASASSPLTSDSSNLLEKRMEGQTDTVRATAMSRTDGSVPEESSRSSVSHLTEAVPRESSENESRTPTASIKRTESQKEIIEQFEPGVYVTVILRPNGVKIFKRVKFSKRRFQEQQAEVWWKENKDRLLKKYSPPTINVSLVGGPSTPVGSSSTPAPTEVNEAGPPET >Potri.010G084900.1.v4.1 pep chromosome:Pop_tri_v4:10:11179836:11181499:1 gene:Potri.010G084900.v4.1 transcript:Potri.010G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084900.v4.1 MEHTSISTSPHQANAHVLLLPLPLQGHVAPFMKLGHQLVRHGSKVTFLTTESIRSQIEKVDEEEEHMRIISVPDGLALEDDHKDDNRLVQSFLHVIPGHLENLIRKTNEDELIGIGQITCLIVDVVLSRDPIEIAEKMGLKHAIFFPSAPGVLALILHIPKLIEAGIIDADDGTVEKNEKIQLSPNLPAMDSADFIWKRPGNKSNFNQKDVFQYLLLVNQILKVPNWVLCNWFHELDPSANALLPNIISVGPLPAHDGKSTGNFRSGDLTCLPWLDRQSPGSVIYIAFGSTSKFSQQQFHELAFGLELIGKPFLWAVRSDFIDGISIEYPDGFQDRVKNLGKIVNWAPQEKVLAHPSIACYMTHCGWNSTMESINMGIPMLCWPYFGDQFWNKSCVCYGWKVGLEIDPDESGMVTRHEIKRKVDELLSDEGIKANALKLKELALNNAYEGGSSKNFRDFVAQLML >Potri.001G177500.1.v4.1 pep chromosome:Pop_tri_v4:1:15403006:15406763:-1 gene:Potri.001G177500.v4.1 transcript:Potri.001G177500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177500.v4.1 MAQPLGLEITELRLGPPGSENGPKNEKKRVFSELSGEANSTTDGRKTQTTSQVVGWPPVCSYRKKNSFNEKDSHETSKIYVKVSMDGAPFLRKVDLGMHKEYSDLVVALEKLFGCFGIGKALKDTDDCEYVPIYEDKDGDWMLVGDVPWEMFIESCKRLRIMKRSEAKGFGLQPRGALQQGNISKDDRD >Potri.006G164350.3.v4.1 pep chromosome:Pop_tri_v4:6:15965953:15971129:1 gene:Potri.006G164350.v4.1 transcript:Potri.006G164350.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164350.v4.1 MRGQKRQQNFSRRWHCSSASLNQELQDMEALALRGQNKSPEEANQMIQIQAWQEEVERSRQGQRDAESKLSYFETEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKKVKRLQEAQVETERSRVSRHTSSSWEEDTEMKELEPLPLHHRHMVGASVQVNSALVV >Potri.006G164350.1.v4.1 pep chromosome:Pop_tri_v4:6:15965953:15971129:1 gene:Potri.006G164350.v4.1 transcript:Potri.006G164350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164350.v4.1 MRGQKRQQNFSRRWHCSSASLNQELQDMEALALRGQNKSPEEANQMIQIQAWQEEVERSRQGQRDAESKLSYFETEVQKMRVEMAAMKRDAEHYSRQEHMELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKKVKRLQEAQVETERSRVSRHTSSSWEEDTEMKELEPLPLHHRHMVGASVQLQKAAKLIDSGAAARATRFLWRYRTARLSLLFYPVRRVYLVYKIFN >Potri.001G423400.1.v4.1 pep chromosome:Pop_tri_v4:1:45136102:45141052:1 gene:Potri.001G423400.v4.1 transcript:Potri.001G423400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423400.v4.1 MMQQPGIAGAGVPQPDQQQQYQQQWMMQQQQQSQPVPPPAGWTPPPVPPPSQYGSAAGSAAVGDEIKSLWIGDLQQWMDENYILSIFSTTGEVVQAKVIRNKQTGYPEGYGFIEFVSHAAAERILQTYNGTPMPNSEQTFRLNWATLGAGERRQDDGPDYTVFIGDLAADVNDYLLQETFRNVYSSVKGAKVVTDRVTGRSKGYGFVRFADENEQMRAMVEMNGQYCSTRPMRIGPAATKKPLTQQYQKAAYQSPQGNQGESDPNNTTIFVGALDPSVTDDTLRAVFSKYGELVHVKIPAGKRCGFVQFANRTCAEQALSMLNGTQIAGQNIRLSWGRSPSNKQAQPDQSQWNGGGYYGYPQGYDAYGYAAAAAAAAAAAPQDPSMYYGGYPGYGNYQQPGAYQQQPGAYQQQPGAYQQQPGAYQQQQQ >Potri.019G059500.1.v4.1 pep chromosome:Pop_tri_v4:19:9881391:9883312:1 gene:Potri.019G059500.v4.1 transcript:Potri.019G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059500.v4.1 MAGENAMFKFLSPRLRLQSTDIQTAAFWGVAAGTTALWLVQPFDWIKKTFFEKANTEEK >Potri.018G098000.1.v4.1 pep chromosome:Pop_tri_v4:18:11875516:11876281:-1 gene:Potri.018G098000.v4.1 transcript:Potri.018G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098000.v4.1 MSPPPHHHGGAPPKPNRKFIFLVLKAIVMIAITILFFVLLGVAAILLLIPTAALHRHSTPSSNPPKGLPLKDLKKLPRFRFSTKTTPETAADQSSCVVCLEDIKQGQWCRNLVGCGHVLHMKCVDSWLVKVSACPICRTRVEFDQGVKDRPSWDFVWKNELNIGRIFSFFF >Potri.005G245600.1.v4.1 pep chromosome:Pop_tri_v4:5:24052867:24054122:1 gene:Potri.005G245600.v4.1 transcript:Potri.005G245600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245600.v4.1 MIERLKILCRENSTITEMEKQAAAAPRMILKFLPKVVSAVNFHNLTVGPGRDKRSENAHKHKAIAGKGVNPVRSLIPVEVRRQPKNESFETQEDPTSPKVSCIGQVKHKKKMYKATSKRVPWLPQETKPVSQNPHKIKKHASKLMRLFTGSKAGRKSIVSGGDKPTLPDKTPSLSQMTRFASGRDTLAGFDWTAHQIAPVESDRRDYYSDEERVDRLEEEEHEEVIIPFSAPIMVGGGIDLLPRKEINLWKKRTINPPNPLQLRSNMVRGN >Potri.009G062200.6.v4.1 pep chromosome:Pop_tri_v4:9:6401732:6405239:-1 gene:Potri.009G062200.v4.1 transcript:Potri.009G062200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062200.v4.1 MAEQIQHPSVMRKVAGQFLHSSVSNDFGCDGAFQRPALHQRCAYGNYSNPAFQYPMGWACVAATELSIVPSTASSVLVQAPSEKGLASFGIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKTGRLSEPYKGIGDCFKRTMKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYKKTMASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >Potri.009G062200.4.v4.1 pep chromosome:Pop_tri_v4:9:6401832:6405655:-1 gene:Potri.009G062200.v4.1 transcript:Potri.009G062200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062200.v4.1 MAEQIQHPSVMRKVAGQFLHSSVSNDFGCDGAFQRPALHQRCAYGNYSNPAFQYPMGWACVAATELSIVPSTASSVLVQAPSEKGLASFGIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKTGRLSEPYKGIGDCFKRTMKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYKKTMASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >Potri.009G062200.7.v4.1 pep chromosome:Pop_tri_v4:9:6401601:6403793:-1 gene:Potri.009G062200.v4.1 transcript:Potri.009G062200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062200.v4.1 MAEQIQHPSVMRKVAGQFLHSSVSNDFGCDGAFQRPALHQRCAYGNYSNPAFQYPMGWACVAATELSIVPSTASSVLVQAPSEKGLASFGIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKTGRLSEPYKGIGDCFKRTMKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYKKTMASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >Potri.009G062200.5.v4.1 pep chromosome:Pop_tri_v4:9:6401754:6405240:-1 gene:Potri.009G062200.v4.1 transcript:Potri.009G062200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062200.v4.1 MAEQIQHPSVMRKVAGQFLHSSVSNDFGCDGAFQRPALHQRCAYGNYSNPAFQYPMGWACVAATELSIVPSTASSVLVQAPSEKGLASFGIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMLKTGRLSEPYKGIGDCFKRTMKEEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGERQFNGLIDVYKKTMASDGVAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGDMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAIAGAGVLAGYDKLQLIVFGKKYGSGGA >Potri.001G422301.4.v4.1 pep chromosome:Pop_tri_v4:1:45025714:45026654:1 gene:Potri.001G422301.v4.1 transcript:Potri.001G422301.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422301.v4.1 MDSIKPLAPSRRVSKSKHRKQWNRERRETMERLKTDMVEIGEGQKRIREGQREIRQKFEEIESECRSLKEETMNIAKQSDYNQTRINLMFSILKAREDNNFAHADHLTGLLRLVKFPSPFLFLIIGISDFIINLANASYRGKHKLTNSK >Potri.001G422301.2.v4.1 pep chromosome:Pop_tri_v4:1:45025710:45026685:1 gene:Potri.001G422301.v4.1 transcript:Potri.001G422301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422301.v4.1 MDSIKPLAPSRRVSKSKHRKQWNRERRETMERLKTDMVEIGEGQKRIREGQREIRQKFEEIESECRSLKEETMNIAKQSDYNQTRINLMFSILKAREDNNFAHADHLTGLLREEMEKQEQGKAGLVG >Potri.001G422301.3.v4.1 pep chromosome:Pop_tri_v4:1:45025714:45026955:1 gene:Potri.001G422301.v4.1 transcript:Potri.001G422301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422301.v4.1 MDSIKPLAPSRRVSKSKHRKQWKNRERRETMERLKTDMVEIGEGQKRIREGQREIRQKFEEIESECRSLKEETMNIAKQSDYNQTRINLMFSILKAREDNNFAHADHLTGLLRLVKFPSPFLFLIIGISDFIINLANASYRGKHKLTNSK >Potri.013G051300.1.v4.1 pep chromosome:Pop_tri_v4:13:3657573:3662210:1 gene:Potri.013G051300.v4.1 transcript:Potri.013G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051300.v4.1 MERISYSMLTVFVISLSFHQSMGLNAEGQYLLDIKSRIGDAYNHLSNWNPNDSTPCGWKGVNCTSDYNQVVWRLDLNSMNLSGSLSPSIGGLVHLTLLNVSFNFLSKNIPSEIGNCSSLEVLYLDNNLFVGQLPVELAKLSCLTDLNIANNRISGPLPDQIGNLSSLSLLIAYSNNITGPLPASLGNLKNLRTFRAGQNLISGSLPSEIGGCESLEYLGLAQNQLSEEIPKEIGMLQNLTDLILWSNQLSGSIPEELGNCTNLGTLALYHNKLEGPMPQELGNLLFLRKLYLYGNNLNGAIPKEIGNLSFAVEIDFSENELTGEIPIELTKISGLQLLYIFENELNGVIPDELTTLENLTKLDLSINYLSGTIPMGFQHMKQLVMLQLFNNSLGGIIPQALGVYSKLWVVDLSNNHLTGEIPRHLCRNENLILLNLGSNNLTGYIPTGVTNCKPLVQLHLAANGLVGSFPSGLCKMVNLSSFELDQNKFTGPIPPEIGQCHVLKRLHLSGNYFNGELPRQIGKLSQLVIFNVSSNFLTGVIPAEIFSCKMLQRLDLTRNSFVGAIPSEIGALSQLEILMLSENQLSGNIPVEVGNLSRLTYLQMGGNLFSGEIPVTLGGILSLQIALNLSYNNLSGPIPTELGNLVLLEFLLLNNNHLSGEIPGSFEKLSSLLGCNFSNNDLTGPLPSLSLFQKTGIGSFFGNKGLCGGPFGNCNGSPSFSSNPSDAEGRSLRIGKIIAIISAVIGGISLILILVIVYFMRRPVDMVAPLQDQSSSSPISDIYFSPKDEFTFQDLVVATENFDDSFVIGRGACGTVYRADLPCGRIIAVKRLASNREGSNIDNSFRAEIQTLGNIRHRNIVKLYGFCYHQGSNLLLYEYLAKGSLGELLHGSPSSLDWRTRFKIALGSAHGLAYLHHDCKPRIFHRDIKSNNILLDEKFDARVGDFGLAKVIDMPHSKSMSAVAGSYGYIAPEYAYTLKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVSWVRNYIQVHSLSPGMLDDRVNVQDQNTIPHMITVMKIALLCTSMSPVDRPTMREVVLMLIESNKLEGHLDSSSSSSSPSHHSNSSDELIVHV >Potri.013G051300.2.v4.1 pep chromosome:Pop_tri_v4:13:3657568:3662219:1 gene:Potri.013G051300.v4.1 transcript:Potri.013G051300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051300.v4.1 MERISYSMLTVFVISLSFHQSMGLNAEGQYLLDIKSRIGDAYNHLSNWNPNDSTPCGWKGVNCTSDYNQVVWRLDLNSMNLSGSLSPSIGGLVHLTLLNVSFNFLSKNIPSEIGNCSSLEVLYLDNNLFVGQLPVELAKLSCLTDLNIANNRISGPLPDQIGNLSSLSLLIAYSNNITGPLPASLGNLKNLRTFRAGQNLISGSLPSEIGGCESLEYLGLAQNQLSEEIPKEIGMLQNLTDLILWSNQLSGSIPEELGNCTNLGTLALYHNKLEGPMPQELGNLLFLRKLYLYGNNLNGAIPKEIGNLSFAVEIDFSENELTGEIPIELTKISGLQLLYIFENELNGVIPDELTTLENLTKLDLSINYLSGTIPMGFQHMKQLVMLQLFNNSLGGIIPQALGVYSKLWVVDLSNNHLTGEIPRHLCRNENLILLNLGSNNLTGYIPTGVTNCKPLVQLHLAANGLVGSFPSGLCKMVNLSSFELDQNKFTGPIPPEIGQCHVLKRLHLSGNYFNGELPRQIGKLSQLVIFNVSSNFLTGVIPAEIFSCKMLQRLDLTRNSFVGAIPSEIGALSQLEILMLSENQLSGNIPVEVGNLSRLTYLQMGGNLFSGEIPVTLGGILSLQIALNLSYNNLSGPIPTELGNLVLLEFLLLNNNHLSGEIPGSFEKLSSLLGCNFSNNDLTGPLPSLSLFQKTGIGSFFGNKGLCGGPFGNCNGSPSFSSNPSDAEGRSLRIGKIIAIISAVIGGISLILILVIVYFMRRPVDMVAPLQDQSSSSPISDIYFSPKDEFTFQDLVVATENFDDSFVIGRGACGTVYRADLPCGRIIAVKRLASNREGSNIDNSFRAEIQTLGNIRHRNIVKLYGFCYHQGSNLLLYEYLAKGSLGELLHGSPSSLDWRTRFKIALGSAHGLAYLHHDCKPRIFHRDIKSNNILLDEKFDARVGDFGLAKVIDMPHSKSMSAVAGSYGYIAPEYAYTLKVTEKCDIYSYGVVLLELLTGRTPVQPLDQGGDLVSWVRNYIQVHSLSPGMLDDRVNVQDQNTIPHMITVMKIALLCTSMSPVDRPTMREVVLMLIESNKLEGHLDSSSSSSSPSHHSNSSDELIVHV >Potri.013G051300.3.v4.1 pep chromosome:Pop_tri_v4:13:3657573:3662203:1 gene:Potri.013G051300.v4.1 transcript:Potri.013G051300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051300.v4.1 MERISYSMLTVFVISLSFHQSMGLNAEGQYLLDIKSRIGDAYNHLSNWNPNDSTPCGWKGVNCTSDYNQVVWRLDLNSMNLSGSLSPSIGGLVHLTLLNVSFNFLSKNIPSEIGNCSSLEVLYLDNNLFVGQLPVELAKLSCLTDLNIANNRISGPLPDQIGNLSSLSLLIAYSNNITGPLPASLGNLKNLRTFRAGQNLISGSLPSEIGGCESLEYLGLAQNQLSEEIPKEIGMLQNLTDLILWSNQLSGSIPEELGNCTNLGTLALYHNKLEGPMPQELGNLLFLRKLYLYGNNLNGAIPKEIGNLSFAVEIDFSENELTGEIPIELTKISGLQLLYIFENELNGVIPDELTTLENLTKLDLSINYLSGTIPMGFQHMKQLVMLQLFNNSLGGIIPQALGVYSKLWVVDLSNNHLTGEIPRHLCRNENLILLNLGSNNLTGYIPTGVTNCKPLVQLHLAANGLVGSFPSGLCKMVNLSSFELDQNKFTGPIPPEIGQCHVLKRLHLSGNYFNGELPRQIGKLSQLVIFNVSSNFLTGVIPAEIFSCKMLQRLDLTRNSFVGAIPSEIGALSQLEILMLSENQLSGNIPVEVGNLSRLTYLQMGGNLFSGEIPVTLGGILSLQIALNLSYNNLSGPIPTELGNLVLLEFLLLNNNHLSGEIPGSFEKLSSLLGCNFSNNDLTGPLPSLSLFQKTGIGSFFGNKGLCGGPFGNCNGSPSFSSNPSDAEGRSLRIGKIIAIISAVIGGISLILILVIVYFMRRPVDMVAPLQDQSSSSPISDIYFSPKDEFTFQDLVVATENFDDSFVIGRGACGTVYRADLPCGRIIAVKRLASNREGSNIDNSFRAEIQTLGNIRHRNIVKLYGFCYHQGSNLLLYEYLAKGSLGELLHGSPSSLDWRTRFKIALGSAHGLAYLHHDCKPRIFHRDIKSNNILLDEKFDARVGDFGLAKVIDMPHSKSMSAVAGSYGYIAPGKLSQVLLFMMLLHFLVSYPKFFYS >Potri.001G387700.1.v4.1 pep chromosome:Pop_tri_v4:1:40690204:40692194:1 gene:Potri.001G387700.v4.1 transcript:Potri.001G387700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387700.v4.1 MDSVKPSAVTSKKSKFARNVAKVIQLRAATGIAPADGVQKVVSQEEVKHDKHHRKSAASRPQPFDINNIDEHQKSLALEALVAKMFASVSCVKAAYAQLQYSQSPYDADGIQAADQFVVSELKNLSELKQCYIKKQFDPSPETALVLADVQEQKSLSKTYEVMGKKLESQLRLKESEIMYLREKMEESNRQNRLLEKRLNKSGHLSMPDNLRLPGLSPSHFITVLLHTVKSIRSFVKLMIDEMKSTGWDLDAAAKCIVSDVAYRRADDKCFAFESFVSREMFDGFHLTNFSPQKESPPEKKNQQQLFFKRFVELKSTKATEYIAHKPKSTFAKFCRAKYLQLIHPQMETSFFGNLSKRSLVNSGEFPDTIFFTTFAEMARRVWLLHCLAYSFDPEASIFQVRRGCRFSEVYMECVAEDALLSSENAPDVDPSVAFTVVPGFRIGKTVIQCQVYLSPLQAKVNRG >Potri.013G045101.1.v4.1 pep chromosome:Pop_tri_v4:13:3124787:3125218:1 gene:Potri.013G045101.v4.1 transcript:Potri.013G045101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045101.v4.1 MPSDLVQNGSVCDIEKELQAFDESKAGVKGLVDAGIIKTPPFFVVLESEVSCQPSPAHFHIPVIDLKGIHEDDVRRREIVEQMCNASETWGFFQVVNHGISKNVMEGMVQGVKGIHKEKNEVKMEYYTRDTKKKVTCTSNSLL >Potri.007G082800.1.v4.1 pep chromosome:Pop_tri_v4:7:10773939:10780678:1 gene:Potri.007G082800.v4.1 transcript:Potri.007G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082800.v4.1 MTLFTLFSTLSLLFLSTSGSDLRSLLEFKKGILYDPLDKIFSKWDPSSIPDPNSCPNSWPGISCDPNSDSVIAITLDHLSLSGNLKFSTLLDLKSLQNISLSGNNFTGRIVPALGSMSSLQYLDLSNNNFSGPIPGRIVELWNLKYLNLSMNGFEGRFPVGSPVGFRNLQQLRVLDLSCNSFWGDISGVLSELINLERVDLSDNGFFGGFSEISVENVSGLANTVHFVNLSKNRLNSGFFKAEVIALFRNLEVLDLGDNVINGELPSFGSLTNLKVLRLGNNQLFGGIPEELINGSIPIEELDLSGNGFTGSVHGTRSTTLNILNLSSNGLTGTLPTFLQRCSVVDLSGNMITGDLSVMQQWGASVEVLDLSSNQLSGSLPNLTWFVRLSELNLRNNSLDGNLPAQLGDLSTSSSVDLSLNQFNGPIPGGFFTSLTLMNLNLSGNRFSGPIPFQDSGAGELLVLPSYPLMESLDLSQNSLSGILPSGIGNFANLRSLNLSNNNLSGQLPIQLSKLTHLQYLDLSANRFQGKIPDKLPSSLIGLNMSNNDLAGNISLNLRNKFDISSFRPGNPLLIIPNTGVEPSTNSVPDQISVHGKNHSSKRNITIAVIVATVGTAAMIAFVLLAYQRAQRKEFHGRSDFSGQTTREDAKQGRSSQTSLFNFHSNAHRPPTSLSFSNDHLLTANSRSLSGQAEFETEIVEHGLPEGMAASSSSIPNLLDDHPTTSGKKSSPGSPLSSSPRFVEPTKLDVYSPDRLAGELSFLDSSLAFTAEELSRAPAEVLGRSSHGTLYKATLDSGHMLTVKWLRVGLVKHKKEFAKEVKKIGSIRHQNIVPLRAFYWGPREQERLLLADYIQGDSLALHLYETTPRRYSLLSFSQRLKVAVDVACCLLYLHDRGMLHGNLKPTNIILEGSDYNARLTDCGLHCLMTPAGIAEQILNLGALGYRAPELDNASKPAPSFKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVRLCDQEGRQMDCIDRDIAGGEEPTKAMDDLLAISLRCILPLNERPNIRQVFDDLCSISV >Potri.T124406.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:9582:17223:1 gene:Potri.T124406.v4.1 transcript:Potri.T124406.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124406.v4.1 MHEPGSNTDFLLESIFISGVCGSLHLVLLLALCVSFLCKKLSRWGDGEGSSEMLMMKRRFLWYKQTLVCCLGVSVFNFILCLLSYFYLYGNVLSDGEIMTLLDLGLKTLSWGALVVYLHTQFFNSGEKMFPLSLRVWWGFYLAISCYCFVVDVFLHRKHGSLEIEWCLVSDVVSVFSGLFLCYVGFLRSDIQDVLGEPLLNGDSSSINNLETSNSRGGDTVTPFGNAGLFSILTFSWMNSLIAAGNKKTLDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRVTGFKLAKALFLLVWKEILKTALLALICTLCSFVGPYLIDAFVQCLEGRGEFKNQGYILASTFVAAKLAECLAHRHSSFRLQQIGTRLRAVTATMIYNKSLTISCQSKQGHSSGEMINIMTIDADRLGTFSQYIHDPWLVILQVCLALLILYRNLGLGSVAGFVATGIVMSLNYPFGRLEEKFQDKLMESKDKRMKATVEILRNMRVLKLQGWEMKFLSKILDLREVETRWLKKYFYNSVVITVVFWATPTVVAVATFGTCMLMGIPLESGKVLSALATFEILQSPIYNLPDTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPGGSSDTAIEIVDGNFSWDLSSPSATLQDINFKVLNGMKVAVCGTVGSGKSSLLSSILGELPKISGTLKLCGTKAYVAQSPWIQSGTIEENILFGKVMDRERYDKVLEACSLKKDLEILSFGDQTGIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLSAADLIVVMKDGRIAQAGKYDDILNAGSDFKVLVGALKTALSVLDSRHAGPVSENESVRDNNGGENSTDRIVHNEGNKDSQIGKADEVAEPQAQLIQEEEREKGSVGFQIYWKYITIAYGGALVPFILLAQLLFQILQIGSTYWMAWATPVSKDVKPGVSGSRLLIVYVSLVIGSSFCMLAQAMLFVTAGYKTATLLFNKLHLCIFRAPMSFFDATPSGRIINRASTDQSALDMKIPHTVEGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPSARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFEEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSITFAFCLFLLVSFPERTNPAIAGLAVMYALELHMAQFGLIWCFCDCENELISVERILQYISIPAEPPLVIEANRPDHSWPSHGEVDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRKHFSDCTVITIAHRITSVLDSDMVLLLSQGLIEEYDSPTRLLENKSSSFSQLVAEYTVRSNTSFEKSTGLNL >Potri.011G126100.1.v4.1 pep chromosome:Pop_tri_v4:11:15697744:15698652:-1 gene:Potri.011G126100.v4.1 transcript:Potri.011G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126100.v4.1 MGGNRRLSKFEVAFKSMAQKSNIGGAESAPSNINSASKNMCRISTASGGNNHEATGMNSGADDYRRKRREEKAEALLHLICWGPN >Potri.018G009500.1.v4.1 pep chromosome:Pop_tri_v4:18:690315:698002:-1 gene:Potri.018G009500.v4.1 transcript:Potri.018G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G009500.v4.1 MHVGGITVDLNWLLQFIFTVFIIGLGLLHLVKNTASKYFEVDANFEAAESNHTVIDPSIVNQAMEAEEDSLRCVNCGISGSKTCSRCKSVRYCSQGCQEAHWKAGHKLQCKDFKANSSQTAKSNFGFKPSGGGSKSFSSIALVPASGGSNSKPTKKPGKVLFPYDEFIKLYNSDKPRFPPCGLLNCGNSCFANVVLQCLTYTRPLVAYLLEKGHQTECRHNDWCFLCEFQSHVERASQSALPFSPMNILSRLRNIGGNLGYGRQEDAHEFMRFAIDTMQSVCLDEFGGEKAVEPASQETTIIQHIFGGRLQSQVICTKCNKISNQFENMMDLTVEIHGDAESLEECLNQFTDKEWLHGENMYKCDGCNDYVKAWKRLTIQRAPNVLTIALKRFQSGRFGKLNKRVTFPETLDLSPYMSEKGDGADVYKLYAVVVHVDMLNASFFGHYICYTKDFHGNWHRIDDSKVSSVELDEVLSQGAYMLLYSRVSVRPSCLRTIEPSKEQQSIVKVELDSCTEKPAGHLSPMESTDATSSGFLAPAPESRNSEFGSACHEDAVVNFESSTAVSKGVSSCENESPSKADMKDAEDSGVNHNSELSSSAMEEDPRDIPVALSSGIIHEVPEDMNGIDSSSSSLIPVGVSDLKKDSSTALDSEAVVIEHSLDHSDTVMYESDSAVAKDIKVNGSIHSFSSEEISTKPNSLKRQPPFHDSDGEDTNGAKRAETVDSC >Potri.014G018700.7.v4.1 pep chromosome:Pop_tri_v4:14:1149532:1155027:1 gene:Potri.014G018700.v4.1 transcript:Potri.014G018700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018700.v4.1 MMEELRYRERLEVEGLKSEMRTEFVEQVTEKVYEFVTGISSVEHAKDSATRDEILLESETEVQELIEAILKEEEEEDKLKEGDFYDEDVKQVNLVSSNRENREEEPIGVELNVVEEIGVAGSDSKERIEEIEVNEVEDDDDWEGIERSELEKIFGEAAKFVEESGNKDERLASVGSDLQMELYGLHKIATEGPCREQPPMALKVSARAKWNAWQRLGNMSPEAAMEQYIALVLERAPGWMEEKPSGDNKPGSSEVENLVAVTPDLSTLPSRQPNFTDEMTCRNPEPKPVAEEGDPTGGSNLDSRPKNDKHCPAA >Potri.014G018700.8.v4.1 pep chromosome:Pop_tri_v4:14:1149299:1150524:1 gene:Potri.014G018700.v4.1 transcript:Potri.014G018700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018700.v4.1 MELVKELFVTGVIAVLFSFLIAKLVSMAMSSGDSSHHEDSQTQNVDREAFSAAEIVGEEEEKGMMEELRYRERLEVEGLKSEMRTEFVEQVTEKVYEFVTGISSVEHAKDSATRDEILLESETEVQELIEAILKEEEEEDKLKEGDFYDEDVKQVNLVSSNRENREEEPIGVELNVVEEIGVAGSDSKERIEEIEVNEVEDDDDWEGIERSELEKIFGEAAKFVEESGNKDERLASVGSDLQMELYGLHKIATEGPCREQPPMALKVSARAKWY >Potri.014G018700.3.v4.1 pep chromosome:Pop_tri_v4:14:1149301:1152976:1 gene:Potri.014G018700.v4.1 transcript:Potri.014G018700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018700.v4.1 MELVKELFVTGVIAVLFSFLIAKLVSMAMSSGDSSHHEDSQTQNVDREAFSAAEIVGEEEEKGMMEELRYRERLEVEGLKSEMRTEFVEQVTEKVYEFVTGISSVEHAKDSATRDEILLESETEVQELIEAILKEEEEEDKLKEGDFYDEDVKQVNLVSSNRENREEEPIGVELNVVEEIGVAGSDSKERIEEIEVNEVEDDDDWEGIERSELEKIFGEAAKFVEESGNKDERLASVGSDLQMELYGLHKIATEGPCREQPPMALKVSARAKWNAWQRLGNMSPEAAMEQYIALVLERAPGWMEEKPSGDNKPGSSEVENLVAVTPDLSTLPSRQPNFTDEMTCRNPEPKPVAEEGDPTGGSNLDSRPKNDKHCPAA >Potri.001G144400.5.v4.1 pep chromosome:Pop_tri_v4:1:11890285:11893184:-1 gene:Potri.001G144400.v4.1 transcript:Potri.001G144400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144400.v4.1 MGGASLPPGFRFHPTDEELVGYYLQRKAQGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKSGYWKATGKDRKVVCKSAVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDISQGSPNLKGAFALCHVVKKNEQGLKISDSQGEPKAKQVGSSSSNVDFTSAVISNEPSNISFDMSSQASYLYNNESRYSSPITSPYQVAPMSDFEPAASMESYPSSHWISPDLILDSSKDYSQVHETAAQYLPQYEYPISMNTWQPDRHREFSPGSSYSNFTGEIEPPDDLSHIGFMSPYSGHGNYLGFGGNDDMHYQGFEPRNQAPICKQASGDGSLGEYGGLWSQEDNMVIVI >Potri.001G144400.1.v4.1 pep chromosome:Pop_tri_v4:1:11890219:11893184:-1 gene:Potri.001G144400.v4.1 transcript:Potri.001G144400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144400.v4.1 MGGASLPPGFRFHPTDEELVGYYLQRKAQGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATKSGYWKATGKDRKVVCKSAVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDISQGSPNLKGAFALCHVVKKNEQGLKISDSQGEPKAKQVGSSSSNVDFTSAVISNEPSNISFDMSSQASYLYNNESRYSSPITSPYQVAPMSDFEPAASMESYPSSHWISPDLILDSSKDYSQVHETAAQYLPQYEYPISMNTWQPDRHREFSPGSSYSNFTGEIEPPDDLSHIGFMSPYSGHGNYLGFGGNDDMHYQGFEPRNQAPICKQASGDGSLGEYGGLWSQEDNMVIVI >Potri.001G048500.1.v4.1 pep chromosome:Pop_tri_v4:1:3564414:3568259:-1 gene:Potri.001G048500.v4.1 transcript:Potri.001G048500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048500.v4.1 MNVLGLLLLMWALLNTSLFFLLDARGESTPSTISASPLPKKHKPKPMFLFSSKMQAQSPGMPLVPVVEEVHHQDLNKKILIALVVASTLLGGIVLFFSCFWIYRLKKSRKCRAKSKGDDVAKRHSPSLIGDKFNLLRMAGKKGSVAVMEYQLLQAATNNFREDNVLGQGGHGCVYKARFSEKLLAAVKRFEGEAQDIGREFENELNWLTKIQHQNIISLLGYCLHGETRFLVYEMMQNGSLESQLHGPTHGSALTWHLRMKIAVDVARGLEYLHEHCNPPVVHRDLKSSNILLDSSFNAKLSDFGLAVTSGIQSKNIELSGTLGYVAPEYLLDGKLTDKSDVYAFGVVLLELLIGRKPVEQMSPDQCQSIVSWAMPQFTDRSKLPNIVDPVIKDTMDLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPLELGGSLRVTEPVPLALPSH >Potri.004G001701.1.v4.1 pep chromosome:Pop_tri_v4:4:124459:128241:1 gene:Potri.004G001701.v4.1 transcript:Potri.004G001701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001701.v4.1 MVASRSFVLWLHGLGDSGPANVLIKTLFTCPEFRTTKWSFPSAPISPVSCNDSPKDESRSLKAVRNVHAIIDKEIAAGTNPENVFVCGFSQGGALTLTSVLLYPKTLGGGEVFSGWVPFNFSIMEQISPDAKRVLPAINTSAIQSQSWNMLIPQNSILIWFPLLSSYFCDRNIIFLSSYC >Potri.003G121200.1.v4.1 pep chromosome:Pop_tri_v4:3:14234383:14235742:-1 gene:Potri.003G121200.v4.1 transcript:Potri.003G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121200.v4.1 MATTSSSSKRHPMYRGIRSRSGKWVSEIREPRKTTRIWLGTFPKPEMAAAAYDVAVLALKGADAVLNFPSSVGTYPVPASTSPTDIRNAANAAAALKKAEMSYNEALVEQPRNDCAVGTFLASGGEEFVDEEALFDMPNLLVDMAGGMLLSPPRITPSPSDDSQGTSDGESLWSYS >Potri.017G024300.1.v4.1 pep chromosome:Pop_tri_v4:17:1568472:1571282:1 gene:Potri.017G024300.v4.1 transcript:Potri.017G024300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G024300.v4.1 MGEDKASTPNKGKDIDVGSASGIEMATNPDYYQNREREKATDILAKLDSEVKQMSFKRNGSECCIYRVPEPLRNVNLEAYSPLLISIGPLNRQNIRLEAMEKEKLKYFKKLTERDGMDKKKIIDILISIENQEERLRHCYSEKFNLIKSRDFVKMILLDAVFIIQFLLESKDHDNDPKNFEPRMTFDIREDLVLLENQLPFFIIQEIYDQVNPPSQDGTTIPFLDLAKCHFGKHKFSQGAETSPSVKGSRHFTDLLRNLMLNGAIQRSYIFYPIKLKYSAVMLRKAGVKFQVTQDKCLVNITFEKGVLKIPQLEVDHSFERLVRNIMALEQCCNPREAYVCSYIKFMDHLIDSAEDVGLLVRKGIILHGLGDDAAVSNMINHFCKNIGDNYTCFGDISRNISRHYENRFNHTKATLKLIYFPNIWRGTATVAAAILLILTFIQTIASVKWAF >Potri.006G047250.1.v4.1 pep chromosome:Pop_tri_v4:6:3225362:3226021:-1 gene:Potri.006G047250.v4.1 transcript:Potri.006G047250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047250.v4.1 MARVSFNVGLLVIFFIIASGFILDAEAQTRHVDGCQKEIECEGACALPCQACVCNDNKCVCDDQAMAKSKPARERHD >Potri.010G155400.1.v4.1 pep chromosome:Pop_tri_v4:10:22719666:22724753:-1 gene:Potri.010G155400.v4.1 transcript:Potri.010G155400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155400.v4.1 MKGLFKSKPRTPVDIVRQTRDLLIYADQSSASLSDSKREEKMAELAKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLIFCLSQLNLEARKDATQVVANLQRQQVNSRLIASDYLEKNTDLLDTLIAGYENTDMALHYGVMLRECIRHQTVARYVLESPNVKKFFDYIQLPYFDISADAAATFKELLTRHKSTVAEFLSKNYDWFFAEFNLKLLESTNYITRRQAVKLLGDILLDRSNAVVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPPDIVNILVANRSKLLRLFADFKIDKEDEQFEADKAQVVREIAALEPRE >Potri.004G086600.1.v4.1 pep chromosome:Pop_tri_v4:4:7268752:7269653:1 gene:Potri.004G086600.v4.1 transcript:Potri.004G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086600.v4.1 MASSMSLKLACAMLVAMVVSAPLAEAAISCGQVSSSLAQCITYLQKGGAVPAACCSGLKGLNSAATTTADRQGVCNCLKSLAGKISGINYGVAAGLPSKCGVSISYKISPSTDCKSVK >Potri.004G167000.1.v4.1 pep chromosome:Pop_tri_v4:4:18538697:18540120:-1 gene:Potri.004G167000.v4.1 transcript:Potri.004G167000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167000.v4.1 MAGYPGSTVEDEESLYSGKKPQPSATTPMAEVVQNEGGIQKKKSPTLREILGLEDLFSLTTWRASVAELLGTAVLVFALDTIVISTIQTQTKTPNLILSTLVAIIVTILLLATYPISGGHINPIVTFAALLTGLISISKAFIYILAQCVGGIVGALALKAVVNSEIERTFSLGGCTLTVVAPGPEGPTVVGLETGQALWLEIICGFVFLFASVWMAFDHRQAKGLGRVNVLIIVGIVLGLLVYVSTTVTATKGYAGAGLNPARCLGPAIVRGGHLWNGHWVFWVGPAIACVAFAIYTKVIPSQLSHTIE >Potri.011G039300.1.v4.1 pep chromosome:Pop_tri_v4:11:3037567:3041258:-1 gene:Potri.011G039300.v4.1 transcript:Potri.011G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039300.v4.1 MVALLLLLCFFCLQLGAARDTITSSQYVKDPDAIVSAGNKFKLGFFSPVNSTNRYVGIWFSSFTPITRVWVANRNKPLNDSSGVMTISGDGNLVVLNGQKETLWSSNVSNGVSNSSARLMDDGNLVLRDIGSGNRLWESFQEPSDTMITNMRLTAKVRTGEKTLLSSWRSPSDPSIGTFSVGIDPVRIPQCFIWNHSHPIYRTGPWNGQVFIGIPGMNSVNINGFDIEQDGNGTFTLILNSANESYIGSFVLSYDGNFNELYWDYGKEEWVYVGRLPNDECDVYGKCGSFGICNAKYSPICSCMKGFEPKDADEWNSRNWTSGCVRRRPMQCERIQYGGEPGKEDGFLKLRTVKVPDFADRSLAVSEQTCRENCMNNCSCIAYAYYTGIRCMLWWENLTDIRKFPSGGADLYVRLAYSELDNRTTSMKVIIGLTVVVGAIISAICVFCMWRRIAHYRERKKRSMKILLDESMMQDDLNQAKLPLLSLPKLVAATNNFDIANKLGQGGFGPVYKGRLPDGQEIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLVYEYMPNKSLDAFLFDPLRKQLLDWNKRFDIVDGICRGLLYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGMARIFGGNEDQANTIRVVGTYGYMSPEYAIQGRFSEKSDVFSFGVLLLEIASGRKNTSFYDCEQVSSLIGFAWKSWNEGNIGAIVDPVISNPSFEVEVFRCINIGLLCVQELARDRPTISTVISMLNSEIVDLPAPKQSAFAERFSYLDKESSEQNKQRYSINNVSITALEAR >Potri.007G003150.1.v4.1 pep chromosome:Pop_tri_v4:7:218013:218659:1 gene:Potri.007G003150.v4.1 transcript:Potri.007G003150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003150.v4.1 MVEKKVAVLLRVAVKNCVGAWLSLLCLLQSEMKFNKKKCFMLVKRQSKTSDCL >Potri.011G002800.1.v4.1 pep chromosome:Pop_tri_v4:11:186363:190352:-1 gene:Potri.011G002800.v4.1 transcript:Potri.011G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002800.v4.1 METDPPRLSFKLSVHDDHETVSDELEQILTDTEAPYSKRIRSASWVELKLLFHLAAPAVIVYLLNNVVSMSTQIFCGHLGNLELAAVSLGNTGIQVFAYGLMLGMGSAVETLCGQAYGANRHEMLGIYLQRSTVLLMAAGIPLMMIYIFSKPILILLGEPVNIASAAAVFVFGLIPQIFAYAANFPIQKFLQAQSIIAPSAYISLGALVVHVLLTWLAVFKWNWGLLGAGLVLSLSWWIIVVAQFVYIVMSKKCRNTWKGFSVKAFSGLWSFFRLSAASAVMLCLETWYFQILVLIAGLLENAEVALDSLSVCMTISGWVFMISVGFNAAASVRVSNELGAGHPKSASFSVLVVTSCSFVVSVIAAIIVLIFRDSISYIFTEGEVVAKAASDLSPFLAVTLILNGVQPVLSGVAVGCGWQAFVAYVNVGCYYLIGIPLGVLLGFTFDLGAKGIWSGMLGGTVLQTLILLGVTWRTDWNKEVEGAKNRLSTWDDKSEPLLQK >Potri.001G033900.4.v4.1 pep chromosome:Pop_tri_v4:1:2511176:2515176:-1 gene:Potri.001G033900.v4.1 transcript:Potri.001G033900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033900.v4.1 MATDQRKRTMDALERRFAVAKAELVQQQQKKHKVTHHEGHRKENNNAASTSLHRADAPKTPSSSLSKKGSSFFFGYTPSQDPEENGLAYSQLPQAAHENLLTTGVKFESKKGSVVDKILHELFQHGDASQKYMQGSRNIKIDNWILLDNYVPSKSTGSQTRASQSNPKHSRRHMSMKQHKKLGMFNLPQDLQKFDVYKPMHEIWKDYMMQLLKKTGRNELPKCLLSADLHGAAILVADCKIKSFTGISGIMIRETAETFGIITQDSKLKVVPKKLSVFIFQIDCWKITMLGDKLSSRNSGL >Potri.011G135900.1.v4.1 pep chromosome:Pop_tri_v4:11:16841169:16845719:1 gene:Potri.011G135900.v4.1 transcript:Potri.011G135900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135900.v4.1 MICSIKQSTITPTINGSDTIFRRKNMSAIQRSLILPSLNANKSKPVLSMSKPLHVSKVESFALSRPQKRTMITCKAYEADRSEPIEASEVKSEAAKRVKIGIYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMMISWATRIAEAPNTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFLLGETFPPSVYMSLVPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKRGMKGKSVSGMNYYACLSILSLFILTPFAIAVEGPQMWAAGWQTALSQIGPNFIWWLAAQSVFYHLYNQVSYMSLNEISPLTFSIGNTMKRISVIVSSIIIFHTPIQPINALGAAIAVLGTFLYSQAKQ >Potri.017G040215.1.v4.1 pep chromosome:Pop_tri_v4:17:2672780:2673968:-1 gene:Potri.017G040215.v4.1 transcript:Potri.017G040215.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040215.v4.1 MVGATPAAAAAIVNGCCTLMATNPTDRPYGLVLCRGDVSSSDCRTCAANATREIRKLCPNGKRAIIAYDNCLLKYSDSDFFGQIDENKFSTFTVNNVSNPVLFKEKTTELLSQLADKASYNSSKLYAAGEMDLLEGSMKLYGMAQCTRDLSSVDCKKCLDGNIGALPGVAYGNEGTVVGGSCMVIYATYPFVKA >Potri.016G121800.1.v4.1 pep chromosome:Pop_tri_v4:16:12632080:12634309:-1 gene:Potri.016G121800.v4.1 transcript:Potri.016G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121800.v4.1 MEESNHTGCSKTSASLQDHDESAGGENDEEESRPKKGGSSSNSTVEESENKSSVRPYVRSKLPRLRWTPELHLCFIKAVERLGGQERATPKLVLQLMNVNGLSIAHVKSHLQMYRSKKVDDPSQGMADHRHLVESGDRNIYNLSQLPMLQGYNQYQRQNSSFRYGDASWNAREHFIYNPHVGRCVIDRTRPGSYGTMAERIYGSSNNSNWSANSGKFQMGASSLIAQSKWKNEELKGDQQLPQSLHNNRFWQPPPSPSLIDVSPLVLPQMQTKVGESSTHFKRFLPSDSKSTTSTVQEWKTLKRKASDCNLDLDLSLKLTPTKDHDSNQRSLEDSTKVNSELSLSLYSPSSSKLSRLKREGDGNKDHGKRASTLDLTI >Potri.004G155100.3.v4.1 pep chromosome:Pop_tri_v4:4:17561671:17567184:-1 gene:Potri.004G155100.v4.1 transcript:Potri.004G155100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155100.v4.1 MVDQKIVLALKFLLLVLFFESTFEQQQQERLNSPIERAALLELRSSLGLRSKDWPRKADPCSVWNGIKCENGSVSEINISGFRRTRLGSQNPQFSVDSLVNLTRLKSFNASGFYLPGSIPDWFGQRLVSLQVLDLSSCLISNAIPESLGNLTSLTGLYLHDNNFTGMIPSSLGLLVGLSVLDLSANKFTGSIPVSFGSLQNLTRLDISKNFLFGSIPLGIGMLSKLQYLNLSSNNLSSSIPPQLGDLSNLADFDLSFNSLSGSLPAELRGLRNLQRMLIGNNLLGGFLPVNLFPVPSQLQTVVLKRNGFSGSVPDLLWSIPQLRLLDISGNNFTGILPNGSLNANASSAELNISENLFYGGLTPTLRRFLVVDLSGNYFEGTVPDYVSDNASLVSNCLQNSSNQRSLLDCTSFYTEKGLTFDNFGLPNSTQPPAGENTGKNNKKVIIWASVLGGVGLILLLVILLVLLLFCIRKRGTMTQGGVGVGPVTPVPSGSSPPPPGVSIDFSSLGDTFTYQQLLLATGDFRDVNLIKHGHSGDLYKGILESGIPVVIKKIDLQSHRKEAYLLELDFYSKVSHSRLVPLLGHCLEKENEKFLIYKHIPNGDLSSSLFRKTDSEDDGLKSLDWITRLKIAIGAAESLSYLHHECMPPIVHRDVQASSILLDDKFEVRLGSLSEVCTQEGDTHQSRISRLLRLPQ >Potri.004G155100.1.v4.1 pep chromosome:Pop_tri_v4:4:17561701:17567746:-1 gene:Potri.004G155100.v4.1 transcript:Potri.004G155100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155100.v4.1 MVDQKIVLALKFLLLVLFFESTFEQQQQERLNSPIERAALLELRSSLGLRSKDWPRKADPCSVWNGIKCENGSVSEINISGFRRTRLGSQNPQFSVDSLVNLTRLKSFNASGFYLPGSIPDWFGQRLVSLQVLDLSSCLISNAIPESLGNLTSLTGLYLHDNNFTGMIPSSLGLLVGLSVLDLSANKFTGSIPVSFGSLQNLTRLDISKNFLFGSIPLGIGMLSKLQYLNLSSNNLSSSIPPQLGDLSNLADFDLSFNSLSGSLPAELRGLRNLQRMLIGNNLLGGFLPVNLFPVPSQLQTVVLKRNGFSGSVPDLLWSIPQLRLLDISGNNFTGILPNGSLNANASSAELNISENLFYGGLTPTLRRFLVVDLSGNYFEGTVPDYVSDNASLVSNCLQNSSNQRSLLDCTSFYTEKGLTFDNFGLPNSTQPPAGENTGKNNKKVIIWASVLGGVGLILLLVILLVLLLFCIRKRGTMTQGGVGVGPVTPVPSGSSPPPPGVSIDFSSLGDTFTYQQLLLATGDFRDVNLIKHGHSGDLYKGILESGIPVVIKKIDLQSHRKEAYLLELDFYSKVSHSRLVPLLGHCLEKENEKFLIYKHIPNGDLSSSLFRKTDSEDDGLKSLDWITRLKIAIGAAESLSYLHHECMPPIVHRDVQASSILLDDKFEVRLGSLSEVCTQEGDTHQSRISRLLRLPQSLEQGTSGSLTATCAYDVYCFGKVLLELVTGKLGISASSDAQLKEFSEQILPYISIYDKELLIKIVDPSLIIDEDLLEEVWAMAIVARSCLNPKPSRRPIMRYILKALENPLKVVREENSGSARLRTTSSRSWNASLFGSWRHSSSDVAVIPATSSARPEGGSSFKRSGTSNSQGSGQNGSGNHSSSMRRHSREIFPEPSDEQDVERQN >Potri.017G065200.8.v4.1 pep chromosome:Pop_tri_v4:17:7464865:7469595:-1 gene:Potri.017G065200.v4.1 transcript:Potri.017G065200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065200.v4.1 MPVPIRSGTPKKPSEMMRLFMTTFIGIIFGFFLGISFPTLSLSKMNLPSSLFPSIDLTYIEDKYSGLSKQALFNAWSSLKGNKEASPLLPRYNETEIWVPTNPRGAERLPPGIVASESDFYLRRLWGLPSEDLTIQPRYLVTFTVGYDQKKNIDAAVKKFSENFTIVLFHYDGRTTEWDEFEWSKRTVHISAHKQTKWWYAKRFLHPDIVAPYDYIFLWDEDLGVEHFDAEKYIKLVRKHGLEISQPGLDPDRGTTWAMTKRRDGIEVHKDTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFAMRKCVEPAHEKIGVVDAQWIIHQGVPSLGSQGQAQKGKAPWEGVRERCRKEWTMFQDRMTNAENVYYKAMEMDPPNSTAR >Potri.017G065200.9.v4.1 pep chromosome:Pop_tri_v4:17:7464879:7469636:-1 gene:Potri.017G065200.v4.1 transcript:Potri.017G065200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065200.v4.1 MPVPIRSGTPKKPSEMMRLFMTTFIGIIFGFFLGISFPTLSLSKMNLPSSLFPSIDLTYIEDKYSGLSKQALFNAWSSLKGNKEASPLLPRYNETEIWVPTNPRGAERLPPGIVASESDFYLRRLWGLPSEDLTIQPRYLVTFTVGYDQKKNIDAAVKKFSENFTIVLFHYDGRTTEWDEFEWSKRTVHISAHKQTKWWYAKRFLHPDIVAPYDYIFLWDEDLGVEHFDAEKYIKLVRKHGLEISQPGLDPDRGTTWAMTKRRDGIEVHKDTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFAMRKCVEPAHEKIGVVDAQWIIHQGVPSLGSQGQAQKGKAPWEGVRERCRKEWTMFQDRMTNAENVYYKAMEMDPPNSTAR >Potri.017G065200.1.v4.1 pep chromosome:Pop_tri_v4:17:7464846:7469595:-1 gene:Potri.017G065200.v4.1 transcript:Potri.017G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065200.v4.1 MMRLFMTTFIGIIFGFFLGISFPTLSLSKMNLPSSLFPSIDLTYIEDKYSGLSKQALFNAWSSLKGNKEASPLLPRYNETEIWVPTNPRGAERLPPGIVASESDFYLRRLWGLPSEDLTIQPRYLVTFTVGYDQKKNIDAAVKKFSENFTIVLFHYDGRTTEWDEFEWSKRTVHISAHKQTKWWYAKRFLHPDIVAPYDYIFLWDEDLGVEHFDAEKYIKLVRKHGLEISQPGLDPDRGTTWAMTKRRDGIEVHKDTEERPGWCTDPHLPPCAAFVEIMATVFSRDAWRCVWHMIQNDLVHGWGLDFAMRKCVEPAHEKIGVVDAQWIIHQGVPSLGSQGQAQKGKAPWEGVRERCRKEWTMFQDRMTNAENVYYKAMEMDPPNSTAR >Potri.012G073500.1.v4.1 pep chromosome:Pop_tri_v4:12:9693092:9697838:-1 gene:Potri.012G073500.v4.1 transcript:Potri.012G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073500.v4.1 MASITEREEASPSIYISEEWSEAADSVAYDSTTSPPPIALVCGPKNCGKTTFSRYLLNILLQRYKRVGYLDTDVGQPEFTTPGFLSLTVVDKLTPDLTIPCLKTPERCFFFGDVSSKRDPTAYLKCIFTLYDYYRKEYCKSDSPVENEMPLVVNTHGWVKGVGYDILVDMLRHIAPTHVVKINTTSERKNLPGGKFWLDEGYGGQVNLIDINSTRHDSFNRSVLIQKDARLLRDLRIMAYFRQCFPSNLNITTIKELANALASHPPYQVPISSIKIRHLHSQVPHTEVFYSLNATIVGLAVSSKQPENMPWCVGLGIVRGIDTFKGLLYLISPVPPILLEKVDLLLQGFIHIPTCLLQVQGCMSPYMSGNVLATS >Potri.002G084700.9.v4.1 pep chromosome:Pop_tri_v4:2:6026410:6034546:-1 gene:Potri.002G084700.v4.1 transcript:Potri.002G084700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084700.v4.1 MDISADDEGPVAVAPAAEEIPQPEQSVRRRLVQSTLFPHKSPEIELNSEKNIDENCNGEEYRGSQNKKKRMQKGKTTPLTRTAKKHKLPGTESNCYQKREKECNVEDGKGEGECEECCGSQKKRTRIGKATPQSRTPKKSKEKSPMNGTPKKNGTDNGKGISNLVENQGVSSPIPNLRLEAKMAAEENSRMFAGRQIHPFFSSWKVSKRCDETNAVESNHHLARRKAKGINIGPIHVFERGQDDARPLDWSDWIVCEKPFIDSSFSLESSFSSNFEGFIGLLDINDFPSSSHPPGTSLPRDNASLDQCLCQQEFVCEAPAIVSSRSSDVQLGCCQLAEDAETDCEAVEVHIISASARKSDAKQLSDLLQERTVPLYISRTKQIENRLWTDKYQPKNATEVCGNDESVKLLSNWLHSWQQRGHQVCTDTYSGDVCDRQDADYYRVQSESDSENNNEGASLKNVLLITGPTGSGKSAAIYACAKEEGFKVLEVNASDYRNGALVKQRYGEALESHFLHWSQKTHVEPQSNNITKFSSALPDSKLTQDFYRKMVEVVPISDEDNSLGATEATVKFDSNDSTIACGQGKLKHLILFEDVDLAFTEDRGFVAAIQQIAEKAKGPVILTSNCENPDLPANLDRLEVCFVLPSEKELLRLADMVCSAEKVNIQAHLLKQVVEYCQGDIRKTIMHLQFWFQGRQIRKLSPSREAQRLFGPLMFDPEAGHQVLPKMMPWDFPCQLSELVEKEIATSLSMMVENSVSLEVIKEDFEDKELQNNIKIHNYGKDSGTKTKKEAMLSQNCFDNDCNHFKIPYDSVCDVFNSPGTPVSLTPRKNRRKLGVVMPSDSEDEMVNDRVTLTTDRDTNYELILEADGGFPSHCLSMQPSTDMQLCPGAEKLDENHSKYPDIGINLHVKETCMSVDASCVPESTFVPETEINNGTEVSFSMVSCTRVGDALEEVSMIIESKQNLLPVETENIDRYVPDMLGSTCDVIAELSPDVVEDSLNEHVEALTKEYQVMDKYSCMDFNKKSKPVEKFRSCMMTDLVRESWRKLCNRHPDLRHFVTSEVIDATGMMDLAYGMSNLISEAELLLSNHQTLDSLESSVVHSEVMDVSSWSDENLKMSSAITQQGFCFYAKEIANLGLKMGLDSKVDFTREMLSTASMMEVDNLVRQNLSSKSSHSRLSTEMNQPERGTSSNRYSANSEMKSCLFYIIQSLVPSRSYMTVKGEAFYEYLSSLGHIARSEASRLSASIDKIKGRRTRASRNYLSGGSLMLSPEEISLLGRSNIYPKMLSQSMDSTDKTI >Potri.002G084700.16.v4.1 pep chromosome:Pop_tri_v4:2:6026414:6034547:-1 gene:Potri.002G084700.v4.1 transcript:Potri.002G084700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084700.v4.1 MLLQHKLPGTESNCYQKREKECNVEDGKGEGECEECCGSQKKRTRIGKATPQSRTPKKSKEKSPMNGTPKKNGTDNGKGISNLVENQGVSSPIPNLRLEAKMAAEENSRMFAGRQIHPFFSSWKVSKRCDETNAVESNHHLARRKAKGINIGPIHVFERGQDDARPLDWSDWIVCEKPFIDSSFSLESSFSSNFEGFIGLLDINDFPSSSHPPGTSLPRDNASLDQCLCQQEFVCEAPAIVSSRSSDVQLGCCQLAEDAETDCEAVEVHIISASARKSDAKQLSDLLQERTVPLYISRTKQIENRLWTDKYQPKNATEVCGNDESVKLLSNWLHSWQQRGHQVCTDTYSGDVCDRQDADYYRVQSESDSENNNEGASLKNVLLITGPTGSGKSAAIYACAKEEGFKVLEVNASDYRNGALVKQRYGEALESHFLHWSQKTHVEPQSNNITKFSSALPDSKLTQDFYRKMVEVVPISDEDNSLGATEATVKFDSNDSTIACGQGKLKHLILFEDVDLAFTEDRGFVAAIQQIAEKAKGPVILTSNCENPDLPANLDRLEVCFVLPSEKELLRLADMVCSAEKVNIQAHLLKQVVEYCQGDIRKTIMHLQFWFQGRQIRKLSPSREAQRLFGPLMFDPEAGHQVLPKMMPWDFPCQLSELVEKEIATSLSMMVENSVSLEVIKEDFEDKELQNNIKIHNYGKDSGTKTKKEAMLSQNCFDNDCNHFKIPYDSVCDVFNSPGTPVSLTPRKNRRKLGVVMPSDSEDEMVNDRVTLTTDRDTNYELILEADGGFPSHCLSMQPSTDMQLCPGAEKLDENHSKYPDIGINLHVKETCMSVDASCVPESTFVPETEINNGTEVSFSMVSCTRVGDALEEVSMIIESKQNLLPVETENIDRYVPDMLGSTCDVIAELSPDVVEDSLNEHVEALTKEYQVMDKYSCMDFNKKSKPVEKFRSCMMTDLVRESWRKLCNRHPDLRHFVTSEVIDATGMMDLAYGMSNLISEAELLLSNHQTLDSLESSVVHSEVMDVSSWSDENLKMSSAITQQGFCFYAKEIANLGLKMGLDSKVDFTREMLSTASMMEVDNLVRQNLSSKSSHSRLSTEMNQPERGTSSNRYSANSEMKSCLFYIIQSLVPSRSYMTVKGEAFYEYLSSLGHIARSEASRLSASIDKIKGRRTRASRNYLSGGSLMLSPEEISLLGRSNIYPKMLSQSMDSTDKTI >Potri.002G084700.5.v4.1 pep chromosome:Pop_tri_v4:2:6026395:6034529:-1 gene:Potri.002G084700.v4.1 transcript:Potri.002G084700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084700.v4.1 MDISADDEGPVAVAPAAEEIPQPEQSVRRRLVQSTLFPHKSPEIELNSEKNIDENCNGEEYRGSQNKKKRMQKGKTTPLTRTAKKSMLLQHKLPGTESNCYQKREKECNVEDGKGEGECEECCGSQKKRTRIGKATPQSRTPKKSKEKSPMNGTPKKNGTDNGKGISNLVENQGVSSPIPNLRLEAKMAAEENSRMFAGRQIHPFFSSWKVSKRCDETNAVESNHHLARRKAKGINIGPIHVFERGQDDARPLDWSDWIVCEKPFIDSSFSLESSFSSNFEGFIGLLDINDFPSSSHPPGTSLPRDNASLDQCLCQQEFVCEAPAIVSSRSSDVQLGCCQLAEDAETVCIATPDCEAVEVHIISASARKSDAKQLSDLLQERTVPLYISRTKQIENRLWTDKYQPKNATEVCGNDESVKLLSNWLHSWQQRGHQVCTDTYSGDVCDRQDADYYRVQSESDSENNNEGASLKNVLLITGPTGSGKSAAIYACAKEEGFKVLEVNASDYRNGALVKQRYGEALESHFLHWSQKTHVEPQSNNITKFSSALPDSKLTQDFYRKMVEVVPISDEDNSLGATEATVKFDSNDSTIACGQGKLKHLILFEDVDLAFTEDRGFVAAIQQIAEKAKGPVILTSNCENPDLPANLDRLEVCFVLPSEKELLRLADMVCSAEKVNIQAHLLKQVVEYCQGDIRKTIMHLQFWFQGRQIRKLSPSREAQRLFGPLMFDPEAGHQVLPKMMPWDFPCQLSELVEKEIATSLSMMVENSVSLEVIKEDFEDKELQNNIKIHNYGKDSGTKTKKEAMLSQNCFDNDCNHFKIPYDSVCDVFNSPGTPVSLTPRKNRRKLGVVMPSDSEDEMVNDRVTLTTDRDTNYELILEADGGFPSHCLSMQPSTDMQLCPGAEKLDENHSKYPDIGINLHVKETCMSVDASCVPESTFVPETEINNGTEVSFSMVSCTRVGDALEEVSMIIESKQNLLPVETENIDRYVPDMLGSTCDVIAELSPDVVEDSLNEHVEALTKEYQVMDKYSCMDFNKKSKPVEKFRSCMMTDLVRESWRKLCNRHPDLRHFVTSEVIDATGMMDLAYGMSNLISEAELLLSNHQTLDSLESSVVHSEVMDVSSWSDENLKMSSAITQQGFCFYAKEIANLGLKMGLDSKVDFTREMLSTASMMEVDNLVRQNLSSKSSHSRLSTEMNQPERGTSSNRYSANSEMKSCLFYIIQSLVPSRSYMTVKGEAFYEYLSSLGHIARSEASRLSASIDKIKGRRTRASRNYLSGGSLMLSPEEISLLGRSNIYPKMLSQSMDSTDKTI >Potri.002G084700.8.v4.1 pep chromosome:Pop_tri_v4:2:6026389:6034544:-1 gene:Potri.002G084700.v4.1 transcript:Potri.002G084700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084700.v4.1 MDISADDEGPVAVAPAAEEIPQPEQSVRRRLVQSTLFPHKSPEIELNSEKNIDENCNGEEYRGSQNKKKRMQKGKTTPLTRTAKKSMLLQHKLPGTESNCYQKREKECNVEDGKGEGECEECCGSQKKRTRIGKATPQSRTPKKSKEKSPMNGTPKKNGTDNGKGISNLVENQGVSSPIPNLRLEAKMAAEENSRMFAGRQIHPFFSSWKVSKRCDETNAVESNHHLARRKAKGINIGPIHVFERGQDDARPLDWSDWIVCEKPFIDSSFSLESSFSSNFEGFIGLLDINDFPSSSHPPGTSLPRDNASLDQCLCQQEFVCEAPAIVSSRSSDVQLGCCQLAEDAETVCIATPVNNLDCEAVEVHIISASARKSDAKQLSDLLQERTVPLYISRTKQIENRLWTDKYQPKNATEVCGNDESVKLLSNWLHSWQQRGHQVCTDTYSGDVCDRQDADYYRVQSESDSENNNEGASLKNVLLITGPTGSGKSAAIYACAKEEGFKVLEVNASDYRNGALVKQRYGEALESHFLHWSQKTHVEPQSNNITKFSSALPDSKLTQDFYRKMVEVVPISDEDNSLGATEATVKFDSNDSTIACGQGKLKHLILFEDVDLAFTEDRGFVAAIQQIAEKAKGPVILTSNCENPDLPANLDRLEVCFVLPSEKELLRLADMVCSAEKVNIQAHLLKQVVEYCQGDIRKTIMHLQFWFQGRQIRKLSPSREAQRLFGPLMFDPEAGHQVLPKMMPWDFPCQLSELVEKEIATSLSMMVENSVSLEVIKEDFEDKELQNNIKIHNYGKDSGTKTKKEAMLSQNCFDNDCNHFKIPYDSVCDVFNSPGTPVSLTPRKNRRKLGVVMPSDSEDEMVNDRVTLTTDRDTNYELILEADGGFPSHCLSMQPSTDMQLCPGAEKLDENHSKYPDIGINLHVKETCMSVDASCVPESTFVPETEINNGTEVSFSMVSCTRVGDALEEVSMIIESKQNLLPVETENIDRYVPDMLGSTCDVIAELSPDVVEDSLNEHVEALTKEYQVMDKYSCMDFNKKSKPVEKFRSCMMTDLVRESWRKLCNRHPDLRHFVTSEVIDATGMMDLAYGMSNLISEAELLLSNHQTLDSLESSVVHSEVMDVSSWSDENLKMSSAITQQGFCFYAKEIANLGLKMGLDSKVDFTREMLSTASMMEVDNLVRQNLSSKSSHSRLSTEMNQPERGTSSNRYSANSEMKSCLFYIIQSLVPSRSYMTVKGEAFYEYLSSLGHIARSEASRLSASIDKIKGRRTRASRNYLSGGSLMLSPEEISLLGRSNIYPKMLSQSMDSTDKTI >Potri.002G084700.6.v4.1 pep chromosome:Pop_tri_v4:2:6026282:6034545:-1 gene:Potri.002G084700.v4.1 transcript:Potri.002G084700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084700.v4.1 MDISADDEGPVAVAPAAEEIPQPEQSVRRRLVQSTLFPHKSPEIELNSEKNIDENCNGEEYRGSQNKKKRMQKGKTTPLTRTAKKHKLPGTESNCYQKREKECNVEDGKGEGECEECCGSQKKRTRIGKATPQSRTPKKSKEKSPMNGTPKKNGTDNGKGISNLVENQGVSSPIPNLRLEAKMAAEENSRMFAGRQIHPFFSSWKVSKRCDETNAVESNHHLARRKAKGINIGPIHVFERGQDDARPLDWSDWIVCEKPFIDSSFSLESSFSSNFEGFIGLLDINDFPSSSHPPGTSLPRDNASLDQCLCQQEFVCEAPAIVSSRSSDVQLGCCQLAEDAETVCIATPVNNLDCEAVEVHIISASARKSDAKQLSDLLQERTVPLYISRTKQIENRLWTDKYQPKNATEVCGNDESVKLLSNWLHSWQQRGHQVCTDTYSGDVCDRQDADYYRVQSESDSENNNEGASLKNVLLITGPTGSGKSAAIYACAKEEGFKVLEVNASDYRNGALVKQRYGEALESHFLHWSQKTHVEPQSNNITKFSSALPDSKLTQDFYRKMVEVVPISDEDNSLGATEATVKFDSNDSTIACGQGKLKHLILFEDVDLAFTEDRGFVAAIQQIAEKAKGPVILTSNCENPDLPANLDRLEVCFVLPSEKELLRLADMVCSAEKVNIQAHLLKQVVEYCQGDIRKTIMHLQFWFQGRQIRKLSPSREAQRLFGPLMFDPEAGHQVLPKMMPWDFPCQLSELVEKEIATSLSMMVENSVSLEVIKEDFEDKELQNNIKIHNYGKDSGTKTKKEAMLSQNCFDNDCNHFKIPYDSVCDVFNSPGTPVSLTPRKNRRKLGVVMPSDSEDEMVNDRVTLTTDRDTNYELILEADGGFPSHCLSMQPSTDMQLCPGAEKLDENHSKYPDIGINLHVKETCMSVDASCVPESTFVPETEINNGTEVSFSMVSCTRVGDALEEVSMIIESKQNLLPVETENIDRYVPDMLGSTCDVIAELSPDVVEDSLNEHVEALTKEYQVMDKYSCMDFNKKSKPVEKFRSCMMTDLVRESWRKLCNRHPDLRHFVTSEVIDATGMMDLAYGMSNLISEAELLLSNHQTLDSLESSVVHSEVMDVSSWSDENLKMSSAITQQGFCFYAKEIANLGLKMGLDSKVDFTREMLSTASMMEVDNLVRQNLSSKSSHSRLSTEMNQPERGTSSNRYSANSEMKSCLFYIIQSLVPSRSYMTVKGEAFYEYLSSLGHIARSEASRLSASIDKIKGRRTRASRNYLSGGSLMLSPEEISLLGRSNIYPKMLSQSMDSTDKTI >Potri.002G084700.7.v4.1 pep chromosome:Pop_tri_v4:2:6026414:6034525:-1 gene:Potri.002G084700.v4.1 transcript:Potri.002G084700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084700.v4.1 MDISADDEGPVAVAPAAEEIPQPEQSVRRRLVQSTLFPHKSPEIELNSEKNIDENCNGEEYRGSQNKKKRMQKGKTTPLTRTAKKHKLPGTESNCYQKREKECNVEDGKGEGECEECCGSQKKRTRIGKATPQSRTPKKSKEKSPMNGTPKKNGTDNGKGISNLVENQGVSSPIPNLRLEAKMAAEENSRMFAGRQIHPFFSSWKVSKRCDETNAVESNHHLARRKAKGINIGPIHVFERGQDDARPLDWSDWIVCEKPFIDSSFSLESSFSSNFEGFIGLLDINDFPSSSHPPGTSLPRDNASLDQCLCQQEFVCEAPAIVSSRSSDVQLGCCQLAEDAETVCIATPDCEAVEVHIISASARKSDAKQLSDLLQERTVPLYISRTKQIENRLWTDKYQPKNATEVCGNDESVKLLSNWLHSWQQRGHQVCTDTYSGDVCDRQDADYYRVQSESDSENNNEGASLKNVLLITGPTGSGKSAAIYACAKEEGFKVLEVNASDYRNGALVKQRYGEALESHFLHWSQKTHVEPQSNNITKFSSALPDSKLTQDFYRKMVEVVPISDEDNSLGATEATVKFDSNDSTIACGQGKLKHLILFEDVDLAFTEDRGFVAAIQQIAEKAKGPVILTSNCENPDLPANLDRLEVCFVLPSEKELLRLADMVCSAEKVNIQAHLLKQVVEYCQGDIRKTIMHLQFWFQGRQIRKLSPSREAQRLFGPLMFDPEAGHQVLPKMMPWDFPCQLSELVEKEIATSLSMMVENSVSLEVIKEDFEDKELQNNIKIHNYGKDSGTKTKKEAMLSQNCFDNDCNHFKIPYDSVCDVFNSPGTPVSLTPRKNRRKLGVVMPSDSEDEMVNDRVTLTTDRDTNYELILEADGGFPSHCLSMQPSTDMQLCPGAEKLDENHSKYPDIGINLHVKETCMSVDASCVPESTFVPETEINNGTEVSFSMVSCTRVGDALEEVSMIIESKQNLLPVETENIDRYVPDMLGSTCDVIAELSPDVVEDSLNEHVEALTKEYQVMDKYSCMDFNKKSKPVEKFRSCMMTDLVRESWRKLCNRHPDLRHFVTSEVIDATGMMDLAYGMSNLISEAELLLSNHQTLDSLESSVVHSEVMDVSSWSDENLKMSSAITQQGFCFYAKEIANLGLKMGLDSKVDFTREMLSTASMMEVDNLVRQNLSSKSSHSRLSTEMNQPERGTSSNRYSANSEMKSCLFYIIQSLVPSRSYMTVKGEAFYEYLSSLGHIARSEASRLSASIDKIKGRRTRASRNYLSGGSLMLSPEEISLLGRSNIYPKMLSQSMDSTDKTI >Potri.006G028700.1.v4.1 pep chromosome:Pop_tri_v4:6:1878894:1881047:-1 gene:Potri.006G028700.v4.1 transcript:Potri.006G028700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G028700.v4.1 MQKIHTLLPPGFIFRPTGEQLIGHYLHHKVHGRLTVEADDMIKDYNLYGAEEPWELFNKVTGHKEGDDVLYCFTTLDKKTAKSTKRMRRTVGTNGGKWHGDSLEEVIYRLDNREFKGTKRRFRYQNKQRRDKHGCWTLLEYGSQSISDNIVICELKMSDHGLKESRKRKSMWPLPSGDMGLEAPAIVNIEPALRLQPQQANFIQEPMISEHQQVAFASFSDNHQKVVLQSEAVFDGYGRSQNIVESFYEHPKISFEPMKAEESKIIKNQEITGLADFPTQDSFENAAAIINSEPMTSAYENQQMEPASYNGGGGVCPAGDNAVTPENAAPAVSAIPAMGHSIY >Potri.001G289700.2.v4.1 pep chromosome:Pop_tri_v4:1:30207572:30211876:-1 gene:Potri.001G289700.v4.1 transcript:Potri.001G289700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289700.v4.1 MATLSTSSHFSLSPCTIKTKNIKSNPCSGISCSLTTNRLAQNTHLCFYPCSKNKPGEPLKFTIRNEQETEAKRHSFRKRHSFGRRTSLSFSLVTKENHLKPVMSSAKDEGESEQTSSSSVAVITDKTESETKESPEVELSKESDRLDKDKEKQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDRESSDNPIVGLFNRLVRDSLTREKERLEKAEEAFRALDLNKLKSCFGFDTFFATDARRFGDGGIFIGNLRKPIEEVIPKLEKKLSEAAGREVVVWFMEEKNDDITKQACVVQPKSEMDLQFESIKLSTPWGYISAIVLCVATFGTIALMSGFFLKPNATFDDYIADVAPLFGGFLTILGVSEIATRVTAAHYDVKLSPSFLVPSNWTGCLGVMNNYESLLPNRKALFDIPVARTASAYLTSLVLAITAFVTDGSFNGGDNALYIRPQFFYNNPLLSFIQFVIGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMYGRNTATLLSFATSLLLGIGGLSGSVLCLAWGLFATFFRGGEEIPAKDEITPLGDDRLAWGVVLGLICFLTLFPNGGGTFSSPFLSDPFFRGDM >Potri.001G289700.1.v4.1 pep chromosome:Pop_tri_v4:1:30207558:30211928:-1 gene:Potri.001G289700.v4.1 transcript:Potri.001G289700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289700.v4.1 MATLSTSSHFSLSPCTIKTKNIKSNPCSGISCSLTTNRLAQNTHLCFYPCSKNKPGEPLKFTIRNEQETEAKRHSFRKRHSFGRRTSLSFSLVTKENHLKPVMSSAKDEGESEQTSSSSVAVITDKTESETKESPEVELSKESDRLDKDKEKQQEMDWKTDEEFKKFMGNPSIEAAIKLEKKRADRKLKELDRESSDNPIVGLFNRLVRDSLTREKERLEKAEEAFRALDLNKLKSCFGFDTFFATDARRFGDGGIFIGNLRKPIEEVIPKLEKKLSEAAGREVVVWFMEEKNDDITKQACVVQPKSEMDLQFESIKLSTPWGYISAIVLCVATFGTIALMSGFFLKPNATFDDYIADVAPLFGGFLTILGVSEIATRVTAAHYDVKLSPSFLVPSNWTGCLGVMNNYESLLPNRKALFDIPVARTASAYLTSLVLAITAFVTDGSFNGGDNALYIRPQFFYNNPLLSFIQFVIGPYTDDLGNVLPYAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMYGRNTATLLSFATSLLLGIGGLSGSVLCLAWGLFATFFRGGEEIPAKDEITPLGDDRLAWGVVLGLICFLTLFPNGGGTFSSPFLSDPFFRGDM >Potri.016G132600.1.v4.1 pep chromosome:Pop_tri_v4:16:13658689:13660623:-1 gene:Potri.016G132600.v4.1 transcript:Potri.016G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G132600.v4.1 MSSRKKKKAALYEKLRAATNSNAMNKTSIIVDASKYIGELKNKVDRLKKEIGTSSTPQNSLPAQVTVENLEKGFLINVFSGKNCPGLLVSILEAFEELGLDVLDARVSCEDNFQLEAIGGDQNQGHDAQVVKQAVLQAIHNWNEGS >Potri.013G144600.1.v4.1 pep chromosome:Pop_tri_v4:13:14268973:14276078:1 gene:Potri.013G144600.v4.1 transcript:Potri.013G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144600.v4.1 MGVKRSGKRVCLNLEIYGKFFIGFVLICTARISVGVTNPSDVTAINSLYISLGSPVLPGWVGTGGDPCGEGWQGIVCNVSEIQSIVLNGANLGGELGDNLGMFASIISIGLSNNHIGGSIPSNLPVTMQNLFLSDNNFTGSIPDSLSTLTLLKAMSLNDNFLSGEIPDAFQALPGLINLDLSNNNLSGQLPSSFIDLASLTTLRLQDNQLSGTLDVLQDLPLRDLNVENNLFSGPIPDKLLAIPNFRNDGNPFNTSSAPLPAPTSPSPYTPPLSGAPPSPSSRRTPGKQADAPSSSEKSSSGGKNKRVVWISIAGVLLFVILALALVLLIPRCSRRRWEDSRIFKRHQVGENPRDNGSLVRPTNQNEKVPKETTQKPKEDHPKPQNMHMRNEPKMNPAPNRDNHLMAIGRADLDFMAPPLPPPPPPPPPPPPPPPPPPPPVENVIVKPIVPAEVSSGKPSRKTQIPLTSARSFNIASLQQYTSSFSQENLIGGGMLGSVYRAQLPNGKLLAVKKLDKRTAEQQKDVEFIELVNNIDRIRHANVVELMGYCAEHGQRLLIYEYCSNGSLQDALHSDDEFKKKLSWNARIKMALEAARALEYLHEVCQPPVIHRNFKSANVLLDDDLDVRVSDCGLASLISSGSVSQLSGQLLTAYGYGAPEFESGIYTIQSDVYSFGVVMLELLTGRKSYDRTRTRGEHFIVRWAIPQLHDIDTLSKMVDPALNGEYSAKSLSNFADIISRCVQSEPEFRPQMSEVVQDLTDMIRRDRPSNESIGD >Potri.008G030002.1.v4.1 pep chromosome:Pop_tri_v4:8:1620075:1620462:1 gene:Potri.008G030002.v4.1 transcript:Potri.008G030002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030002.v4.1 MSNGNESRLIQGSLKMLDKLDRKYLHVSKHPVGIDFRVNKIILLVKIATDDAYVVAIDGMRGVGKKTITKVVLNEC >Potri.009G137000.2.v4.1 pep chromosome:Pop_tri_v4:9:11029438:11033826:-1 gene:Potri.009G137000.v4.1 transcript:Potri.009G137000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137000.v4.1 MILKQCLVIGSRKKRSMPKVPRDEDPETDFDYEEFERKKEEEELLALLSRFISLIEDVSIVDSGPRAGRKMAYAIVRHVKFGSSKKGGGKKDELANARPTDSRSAPPKSPAMSKEEHAEFYMESEDESADDFDKVFDFSNDAKSPSINHSEGSNSVTEPATSSVEFNVPKFSHPRSAHDVRNARLPSALPEPSPGKENRYRRSEPGNQFLQTSMDNKGPGKQDSFKFEPQFSNQRRQPQPQMNATPSTGERKQVSPDFSASRNSKPPHETPKQVASSPETAKPASSYGIFSSAKAVIPGKQGSVADDSAGNSSLPGSKSDGGVDQGGQKGFGIFSRGRPN >Potri.009G137000.1.v4.1 pep chromosome:Pop_tri_v4:9:11029458:11033825:-1 gene:Potri.009G137000.v4.1 transcript:Potri.009G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137000.v4.1 MAFWIRTNQSKLIFLSKQLKIYYLQIPYDSSLKYTATSSRSRVLENPVCDFIKKHSEFCNNVRFFAAPVQAKVNKEEKTLSGPRLNEKITAPVVRLVGDEGHIVLSLREALERAKRLELDLVEVQRNANPPVCKLLNFNREKYKKELKEKERSKSKAGETLRKGDHKEVRFAAKTEQRDLEMKADMAKRLMERGYRVKCLVIGSRKKRSMPKVPRDEDPETDFDYEEFERKKEEEELLALLSRFISLIEDVSIVDSGPRAGRKMAYAIVRHVKFGSSKKGGGKKDELANARPTDSRSAPPKSPAMSKEEHAEFYMESEDESADDFDKVFDFSNDAKSPSINHSEGSNSVTEPATSSVEFNVPKFSHPRSAHDVRNARLPSALPEPSPGKENRYRRSEPGNQFLQTSMDNKGPGKQDSFKFEPQFSNQRRQPQPQMNATPSTGERKQVSPDFSASRNSKPPHETPKQVASSPETAKPASSYGIFSSAKAVIPGKQGSVADDSAGNSSLPGSKSDGGVDQGGQKGFGIFSRGRPN >Potri.008G195200.10.v4.1 pep chromosome:Pop_tri_v4:8:13773654:13777636:-1 gene:Potri.008G195200.v4.1 transcript:Potri.008G195200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195200.v4.1 MSAIKSIINRSRSHRVVQYSSAPDLSLFGGGCGAETTSCWANLPQELLREVLIRIEESESSWPPRKNVVACAGVCRSWRDITKELVKVPELSGKLTFPISVKQPGPRDFLLQCFIKRCRSAQTYRLYLGLNNALTEDGKFLLAARKCRRPTCTDYIISLDTDDMSKGSNAYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPTGNYPVASISYELNVLGSRGPRRMHCIMDAIPASAIEPGGIAPTQTEFSHHNVDFLPSLPFFRSKSSRVESFQSGSLSSQRERALVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVVSPENGPAGPEHENIILQFGKVGKDLFTMDYRYPISAFQAFALCLSSFDTKIACE >Potri.008G195200.2.v4.1 pep chromosome:Pop_tri_v4:8:13773653:13777643:-1 gene:Potri.008G195200.v4.1 transcript:Potri.008G195200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195200.v4.1 MSAIKSIINRSRSHRVVQYSSAPDLSLFGGGCGAETTSCWANLPQELLREVLIRIEESESSWPPRKNVVACAGVCRSWRDITKELVKVPELSGKLTFPISVKQPGPRDFLLQCFIKRCRSAQTYRLYLGLNNALTEDGKFLLAARKCRRPTCTDYIISLDTDDMSKGSNAYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPTGNYPVASISYELNVLGSRGPRRMHCIMDAIPASAIEPGGIAPTQTEFSHHNVDFLPSLPFFRSKSSRVESFQSGSLSSQRERALVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVVSPENGPAGPEHENIILQFGKVGKDLFTMDYRYPISAFQAFALCLSSFDTKIACE >Potri.008G195200.6.v4.1 pep chromosome:Pop_tri_v4:8:13773654:13777729:-1 gene:Potri.008G195200.v4.1 transcript:Potri.008G195200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195200.v4.1 MSAIKSIINRSRSHRVVQYSSAPDLSLFGGGCGAETTSCWANLPQELLREVLIRIEESESSWPPRKNVVACAGVCRSWRDITKELVKVPELSGKLTFPISVKQPGPRDFLLQCFIKRCRSAQTYRLYLGLNNALTEDGKFLLAARKCRRPTCTDYIISLDTDDMSKGSNAYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPTGNYPVASISYELNVLGSRGPRRMHCIMDAIPASAIEPGGIAPTQTEFSHHNVDFLPSLPFFRSKSSRVESFQSGSLSSQRERALVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVVSPENGPAGPEHENIILQFGKVGKDLFTMDYRYPISAFQAFALCLSSFDTKIACE >Potri.008G195200.4.v4.1 pep chromosome:Pop_tri_v4:8:13773654:13777711:-1 gene:Potri.008G195200.v4.1 transcript:Potri.008G195200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195200.v4.1 MSAIKSIINRSRSHRVVQYSSAPDLSLFGGGCGAETTSCWANLPQELLREVLIRIEESESSWPPRKNVVACAGVCRSWRDITKELVKVPELSGKLTFPISVKQPGPRDFLLQCFIKRCRSAQTYRLYLGLNNALTEDGKFLLAARKCRRPTCTDYIISLDTDDMSKGSNAYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPTGNYPVASISYELNVLGSRGPRRMHCIMDAIPASAIEPGGIAPTQTEFSHHNVDFLPSLPFFRSKSSRVESFQSGSLSSQRERALVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVVSPENGPAGPEHENIILQFGKVGKDLFTMDYRYPISAFQAFALCLSSFDTKIACE >Potri.008G195200.3.v4.1 pep chromosome:Pop_tri_v4:8:13773637:13777635:-1 gene:Potri.008G195200.v4.1 transcript:Potri.008G195200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195200.v4.1 MSAIKSIINRSRSHRVVQYSSAPDLSLFGGGCGAETTSCWANLPQELLREVLIRIEESESSWPPRKNVVACAGVCRSWRDITKELVKVPELSGKLTFPISVKQPGPRDFLLQCFIKRCRSAQTYRLYLGLNNALTEDGKFLLAARKCRRPTCTDYIISLDTDDMSKGSNAYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPTGNYPVASISYELNVLGSRGPRRMHCIMDAIPASAIEPGGIAPTQTEFSHHNVDFLPSLPFFRSKSSRVESFQSGSLSSQRERALVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVVSPENGPAGPEHENIILQFGKVGKDLFTMDYRYPISAFQAFALCLSSFDTKIACE >Potri.008G195200.9.v4.1 pep chromosome:Pop_tri_v4:8:13773692:13777729:-1 gene:Potri.008G195200.v4.1 transcript:Potri.008G195200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195200.v4.1 MSAIKSIINRSRSHRVVQYSSAPDLSLFGGGCGAETTSCWANLPQELLREVLIRIEESESSWPPRKNVVACAGVCRSWRDITKELVKVPELSGKLTFPISVKQPGPRDFLLQCFIKRCRSAQTYRLYLGLNNALTEDGKFLLAARKCRRPTCTDYIISLDTDDMSKGSNAYVGKLRSNFLGTKFTVFDGQPPHAGAKMTKSRSSRLVNLKQVSPRVPTGNYPVASISYELNVLGSRGPRRMHCIMDAIPASAIEPGGIAPTQTEFSHHNVDFLPSLPFFRSKSSRVESFQSGSLSSQRERALVLKNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVVSPENGPAGPEHENIILQFGKVGKDLFTMDYRYPISAFQAFALCLSSFDTKIACE >Potri.007G010700.1.v4.1 pep chromosome:Pop_tri_v4:7:815330:834862:-1 gene:Potri.007G010700.v4.1 transcript:Potri.007G010700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010700.v4.1 MAEQRNMWNWEVAGFEPRPVEVEQPIVRRYSISTTRENSEFSKQALASKVHRLKDKIKLAKEDYLELRQEASDLQEYSNAKLDRVTRYLGVLAEKTRKLDQVALETEARISPLINEKKRLFNDLLTAKGSIKVFCRVRPLFEDESPSVVEFPDDCTIRVNTGSDTISNPKKDFEFDRVYGPHVGQAELFTDVQPFVQSALDGYNVSMFAYGQTHSGKTHTMEGSSYDRGLYARCFEELFDLANSDSTSTSQFNFSVTVFELYNEQITDLLSESESTLQKICMGSLESFIELQQEKVDNPLDFSRILKAAFQRRENNISKLNVSHLIVTVHIYYNNVISGENLYSKLSLVDLAGSEGLIAEDDSSERVTDMLHVMKSLSALGDVLSSLTSRKDVVPYENSMLTKVLADSLGRDSKTLMILNVCPNIANLSETLSSLSFCSRARNATLSLGNRDTIKKWRDVANDARKELYEKEKEIQDLKQEVLELTQALKDANDQCVLLFNEVQKAWKVSFTLQSDLKSENIMIADKHKVEKEQNAQLRNQVAQLLHTEQDQKMIMQQKDSTIQTLQAQIKSMESQLNEALRLREAQSTFGSESGPVISSISKATGDGMDSSAVTKKLEEELRKRDALIERLHEENEKLFDRLTEKASLAGSPQVSSPLSKGTVNVKSQELGRNENNKGRSMDVAPSPLGADKTDGTVALVKSGSEKVKSTPAGEYLTAALNDFDPEQYDSLAAISDGANKLLMLVLAAVIKAGASREHEILAEIRDAVFSFIRKMEPKRVMDTMLVSRVRILYIRSLLARSPELQSIKVPPVECFLERANTGRSRSSSRANSPGRSPVHFVEEQIQGFKVNIKLEKKSKLSSVVLRMRGIDQDAWRQQVTGGKLREIQEEAKSFAIGNKALAALFVHTPAGELQRQIRSWLAENFEFLSVTGDDASGGITGQLELLSTAIMDGWMAGLGAALPPSTDALGQLLSEYAKRVFTSQLQHLKDIAGTLASEEAEDAAQVAKLRSALESVDHKRRKILQQMRSDAALLTLEDGGLPVQNPSTAAEDARLASLISLDGILKQVKDILRQSSVNTLSKSKKKTLLVSLDELGERMPSLLNIDHPCAQRQIAEARRMVESIPEQDDPLHELAHARKSTADLGSGTETDVAQWNVLQFNTGSTTPFIIKCGANSNSELVIKADGRVQEPKGGEIMRVVPRPSVLENMSMDEMKHVFSQLPEALSLLALARTADGTRARYSRLYRTLAMKVPSLRDLVGELEKGGVLKDVKS >Potri.016G068900.3.v4.1 pep chromosome:Pop_tri_v4:16:4917808:4925406:1 gene:Potri.016G068900.v4.1 transcript:Potri.016G068900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068900.v4.1 MFLARRSHGAPSFFSKHLLLAAPALSSTTLSYIHRDISTHAMSRRPNFQGGRRGGGGVPRRGGDRGRGRGGRGGGRGEQRWWDPVWRAERLRQKQSEMEVLDEDEWWSKMEQMKLRGEQEIIMKRSFSRDDQQKLSDMAFELGLHFHAYNKGKTLVVSKVPLPDYRADLDEQHGSTQKEIQMPTEIERRVGNLLNSSQKAAAGNESNATSSQGGKHASLGGKIVKPASMLETDAAKEKQSIELKQKQDKLKASSSVKEMQSFREKLPAFKMRTEFLKAVAENQVLVISGETGCGKTTQLPQYILEEGISSLRGAHYNIVCTQPRRISAISVAARIASERGESLGETVGYQIRLEALRSAQTRLLFCTTGVLLRKLVQDPNLTGVSHLAVDEIHERGMNEDFLLIILRDLLPRRPDMRLILMSATINADLFSKYFRNAPTIHIPGLTFPVSEFYLEDVLEKTRYEIQELDSFQGNSRQRRREQYSKKDPITELFEEVDIGSQYKNYSASTRLSLEAWSGSQLDLGLVEATIEYICRHEREGAVLVFLTGWDEISKLLEQIKGNKLLGDQSKFLVLPLHGSMPTINQREIFDRPPPNKRKIVLATNIAESSITIDDVVYVVDCGKAKETSYDALNKLACLLPSWVSKASAHQRRGRAGRLQPGVCYRLYPKIIHDSMLQYQLPEILRTPLQELCLHIKSLQLGAVGSFLSKALQPPDPLAVENAIELLKTIGALDDKEELTPLGRHLCNLPVDPNIGKLLLMGCVFQCLSPALTIAAALAHRDPFVLPIDRKREADAAKRSFAGDSCSDHIALVKAFEGYKEAKRNRNERAFCWEYFLSPVTLRMMEDMRDQFLNLLSDIGFVNKSRGVSAYNQYSHDMEMVSAILCAGLYPNVVQCKRRGKRTAFFTKEVGKVDIHPASVNAGVHLFPLPYMVYSERVKTTSIYVRDSTNISDYALLLFGGNLVASKNGEGIEMLRGYLHFSASKSVLDLIQKLRGELDKLLSKKIEDPCLDINVEGKGVVSAVVELLHSYNVRY >Potri.009G090700.1.v4.1 pep chromosome:Pop_tri_v4:9:8337988:8340640:-1 gene:Potri.009G090700.v4.1 transcript:Potri.009G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090700.v4.1 MAHLYLLSIFLSLLLSIPRSLSTNSEGNALQALRSRLSDPTNALQSWDPALVSPCTWFHVTCDSNNHVTRLDLGNSNISGTLGPELGQLKHLKYLELYRNDIGGKIPKELGNLKNLVSMDMYGNKFEGEIPKSFAKLKSLVFLRLNNNKLSGSIPRELATLKDLKVFDVSNNNLCGTIPVDGPFASFPMESFANNRLNGPELKGLVPYDFGC >Potri.015G044300.1.v4.1 pep chromosome:Pop_tri_v4:15:4311994:4313500:-1 gene:Potri.015G044300.v4.1 transcript:Potri.015G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G044300.v4.1 MPIIYSSDSENETTPRTKLFGRQRPIRSVLGGGQVADVLLWENKKVSAALSVGMTVLWLLFEVAEYNLVTLFSHISIATMLIVFIWFTTADFFNWSHPELPTSILDKSTFQEFASTLHGRSNQALSKFMDIARGKEPALFFMAIFFLYILSVIGNYFTFLNFLYLCFVCLQTLPFLYNKYEDEVDRYAGKLIRRAKKMFKRFDSSVLNKIPRGPAKEKKTR >Potri.015G044300.2.v4.1 pep chromosome:Pop_tri_v4:15:4312000:4313451:-1 gene:Potri.015G044300.v4.1 transcript:Potri.015G044300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G044300.v4.1 MPIIYSSDSENETTPRTKLFGRQRPIRSVLGGGQVADVLLWENKKVSAALSVGMTVLWLLFEVAEYNLVTLFSHISIATMLIVFIWFTTADFFNWSHPELPTSILDKSTFQEFASTLHGRSNQALSKFMDIARGKEPALFFMAIFFLYILSVIGNYFTFLNFLYLCEFCLLANSAISL >Potri.013G153700.1.v4.1 pep chromosome:Pop_tri_v4:13:14918015:14921392:-1 gene:Potri.013G153700.v4.1 transcript:Potri.013G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G153700.v4.1 MLTGDIPPNQTIYVKNLNEKVKKEELKRSLYCLFSQYGRILDVVALKTAKLRGQAWVVFSEVTAASNAVRQMQGFPFYDKPMRIQYAKTMSDCITEAEGVYDPNAKKKKKNEEKAERKRRAEESQQSAPANGKPAESNGGPTSFRHGNQGAQETAPPNNILFIQNLPHETTSMMLQVLFQQYPGFREVRMIEAKPGIAFVEFEDDVQSSMAMQALQGFKITPQNPMAVTYAKK >Potri.006G184500.2.v4.1 pep chromosome:Pop_tri_v4:6:19057435:19062889:-1 gene:Potri.006G184500.v4.1 transcript:Potri.006G184500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184500.v4.1 MAHSARATLGLTQPANIDVPKIAFSAKEIDVAEWKGDILAVGVTEKDMAKDDSKKFENSLLKKLDAKLGGQLSEASSEEDFTGKPGQSLVLRLPGLGSKRIGLIGLGQSATNTYNFRNFGEAIAAAAKTAQASDVGIVLASTGSISNESKLNTASAIVSGTVLGTYEDNRYKSDSKRPVLKSVDILGLGTGPELVKKLKYAEDVSSAVIFGKELVNSPANVLTPAVLAEEASKIASTYSDVLSATILSAEQCIELKMGSYLGVAAASANPPHFIHLCYKPSSGPVKAKLALVGKGLTFDSGGYNIKTGPGCSIEFMKFDMGGSAAVLGAAKAIGQVKPRGVEVHFIVAACENMISGTGMRPGDIVTASNGKTIEVNNTDAEGRLTLADALVYACNQGVEKIIDLATLTGACRVALGPSIAGVFTPSDELANEVFTAAEASGEKLWRMPLEESYWESMKSGVADMVNTGARQGGAITAALFLKQFVDEKVQWMHIDMAGPVSSEKKRTATGFGILTLVEWVQQHSS >Potri.002G024400.2.v4.1 pep chromosome:Pop_tri_v4:2:1546391:1550113:-1 gene:Potri.002G024400.v4.1 transcript:Potri.002G024400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024400.v4.1 MRQIRNLKEEHEQKVQDVVLNKKKQLDKIKMDFEAKIGNLDQELLRSAAENAALSRSLQERSNMLIKISEERSQAEADIELLKSNIESCEREINSLKYELHVTSKELEIRNEEKNMIMRSAEAANKQHTEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRLRRSPVKPPSPHLSSVPEFSLDNVQKFNKENEFLTERLFAVEEETKMLKEALAKRNSELQASRNLCAKTASKLQSLEAQFQLNNHQKSSPKSITQVPAEGYSSQNISNPPSLTSVSEDGNDDTQSCADSWATTSVSDVSHFKKDNHSEKSNKAENAKHLELMDDFLEMEKLACLNADSATTISSSPNNKASETANTDALAEVSLQKEDALSEEKRDLDPLANHVSCNKDSSAINSGSDADLLSFGKLQSRISMLLESVSKEVDVDKILEEIKQVVHDAETAASCGSKEVHHSDATCDRQTCPEDAVIMGEKEITLLQENKAATHTMHTVSEELLAAISQIHDFVLLLGKEAMAVHDTSCDSIGLSQKIEEFSITFKKVLCSDRSLIDFMFDLSRVLAVASGLRFNVLGYKCNEAEINSPDCIDKVALPENKVIQNDSPGETFQNGCANISSPTSNPEVPDYGNLVPGYGSNTTSCKVSLEEFEELKSEKDTMAMDLARCTENLEMTKSQLHETEQLLAEVKSQLVSAQKSNSLAETQLKCMAESYRSLETRAQELETEVNLLRVKTETLESELQEEKTSHQDALTRCKELEEQLQTKESSSADGIDLKSKQEKEITAAAEKLAECQETIFLLGKQLKYLRPQTEIMGSPYSERSQSGDGIAKDEPTISGINLQDSDQAEMDTGASVNFLKAGSESPSDSYNHPCYPSDTESNLLRSPVGLKHPKHRPTKSTSSSSSSTPTPEKHPRGFSRFFSSKGKNGHGY >Potri.002G024400.3.v4.1 pep chromosome:Pop_tri_v4:2:1546395:1552604:-1 gene:Potri.002G024400.v4.1 transcript:Potri.002G024400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024400.v4.1 MDRRSWPWKKKSSDKTEKAAPAEDSGGSQGEKDSYKKPNYVQISVESYTHLTGLEDQVKTYGEQVETLEDQIMDLNEKLSAAHSEMTTKENLVKQHAKVAEEAVSGWEKAEAEALALKNHLETVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKVQDVVLNKKKQLDKIKMDFEAKIGNLDQELLRSAAENAALSRSLQERSNMLIKISEERSQAEADIELLKSNIESCEREINSLKYELHVTSKELEIRNEEKNMIMRSAEAANKQHTEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRLRRSPVKPPSPHLSSVPEFSLDNVQKFNKENEFLTERLFAVEEETKMLKEALAKRNSELQASRNLCAKTASKLQSLEAQFQLNNHQKSSPKSITQVPAEGYSSQNISNPPSLTSVSEDGNDDTQSCADSWATTSVSDVSHFKKDNHSEKSNKAENAKHLELMDDFLEMEKLACLNADSATTISSSPNNKASETANTDALAEVSLQKEDALSEEKRDLDPLANHVSCNKDSSAINSGSDADLLSFGKLQSRISMLLESVSKEVDVDKILEEIKQVVHDAETAASCGSKEVHHSDATCDRQTCPEDAVIMGEKEITLLQENKAATHTMHTVSEELLAAISQIHDFVLLLGKEAMAVHDTSCDSIGLSQKIEEFSITFKKVLCSDRSLIDFMFDLSRVLAVASGLRFNVLGYKCNEAEINSPDCIDKVALPENKVIQNDSPGETFQNGCANISSPTSNPEVPDYGNLVPGYGSNTTSCKVSLEEFEELKSEKDTMAMDLARCTENLEMTKSQLHETEQLLAEVKSQLVSAQKSNSLAETQLKCMAESYRSLETRAQELETEVNLLRVKTETLESELQEEKTSHQDALTRCKELEEQLQTKESSSADGIDLKSKQEKEITAAAEKLAECQETIFLLGKQLKYLRPQTEIMGSPYSERSQSGDGIAKDEPTISGINLQDSDQAEMDTGASVNFLKAGSESPSDSYNHPCYPSDTESNLLRSPVGLKHPKHRPTKSTSSSSSSTPTPEKHPRGFSRFFSSKGKNGHGY >Potri.002G024400.4.v4.1 pep chromosome:Pop_tri_v4:2:1546391:1552215:-1 gene:Potri.002G024400.v4.1 transcript:Potri.002G024400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024400.v4.1 MDRRSWPWKKKSSDKTEKAAPAEDSGGSQGEKDSYKKPNYVQISVESYTHLTGLEDQVKTYGEQVETLEDQIMDLNEKLSAAHSEMTTKENLVKQHAKVAEEAVSGWEKAEAEALALKNHLETVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQKVQDVVLNKKKQLDKIKMDFEAKIGNLDQELLRSAAENAALSRSLQERSNMLIKISEERSQAEADIELLKSNIESCEREINSLKYELHVTSKELEIRNEEKNMIMRSAEAANKQHTEGVKKIAKLEAECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRLRRSPVKPPSPHLSSVPEFSLDNVQKFNKENEFLTERLFAVEEETKMLKEALAKRNSELQASRNLCAKTASKLQSLEAQFQLNNHQKSSPKSITQVPAEGYSSQNISNPPSLTSVSEDGNDDTQSCADSWATTSVSDVSHFKKDNHSEKSNKAENAKHLELMDDFLEMEKLACLNADSATTISSSPNNKASETANTDALAEVSLQKEDALSEEKRDLDPLANHVSCNKDSSAINSGSDADLLSFGKLQSRISMLLESVSKEVDVDKILEEIKQVVHDAETAASCGSKEVHHSDATCDRQTCPEDAVIMGEKEITLLQENKAATHTMHTVSEELLAAISQIHDFVLLLGKEAMAVHDTSCDSIGLSQKIEEFSITFKKVLCSDRSLIDFMFDLSRVLAVASGLRFNVLGYKCNEAEINSPDCIDKVALPENKVIQNDSPGETFQNGCANISSPTSNPEVPDYGNLVPGYGSNTTSCKVSLEEFEELKSEKDTMAMDLARCTENLEMTKSQLHETEQLLAEVKSQLVSAQKSNSLAETQLKCMAESYRSLETRAQELETEVNLLRVKTETLESELQEEKTSHQDALTRCKELEEQLQTKESSSADGIDLKSKQEKEITAAAEKLAECQETIFLLGKQLKYLRPQTEIMGSPYSERSQSGDGIAKDEPTISGINLQDSDQAEMDTGASVNFLKAGSESPSDSYNHPCYPSDTESNLLRSPVGLKHPKHRPTKSTSSSSSSTPTPEKHPRGFSRFFSSKGKNGHGY >Potri.006G181966.1.v4.1 pep chromosome:Pop_tri_v4:6:18864547:18864639:-1 gene:Potri.006G181966.v4.1 transcript:Potri.006G181966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181966.v4.1 MGLSRTRRGMLVAILGIWQILMRTAQGQGQ >Potri.002G130900.2.v4.1 pep chromosome:Pop_tri_v4:2:9934027:9934647:-1 gene:Potri.002G130900.v4.1 transcript:Potri.002G130900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130900.v4.1 MKEDGIRPYGSSFVVVLMACMCLGAEKEGHKHFESMSRGYGIILAEEHYEAMVDLLGRSGKIAECKGVCCKYTNRLKHQSLGDSTEALESQNTRATGLFCITIRAKDSFNINYKRATSDGIKAYEKLRSLSKEVRDAGYLPDTRFVFHDLDQEAKEKTLFYHIERIAIAYGLINTPRGTSLRIMKNLRICGDCHNFIKILSKMKNR >Potri.002G128500.1.v4.1 pep chromosome:Pop_tri_v4:2:9731201:9732307:1 gene:Potri.002G128500.v4.1 transcript:Potri.002G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128500.v4.1 MLKLQVIVPAPGMDFDFNEARTSPFLTAPSTPKRFGDCTFSAPTSPSRVAEFYSYFDSLIDSNEERTGFTFHWDERRGTPKSPRATANTNSEDDFAFDFCVELEKTSLSADELFDGGKIRPLKPPPRLQGEGFTQISPLSSPRSPIQKGKRMIREAFSPRKKKDSDPFATAVENSRKRTDQNERGRQVEKVSGLTSSSRRRASRSLTLFRASEYPWEEEKQLDKITNQSAENPKALVSSNSSSSSSSSSNSSSKSSSRKWRLRDFLLFRSASEGHAHEKDRLRKYSGLFKKHEDGKEPSFVSTDSSGSASLRRKGPVSAHELHYTVNKAASENLKKKTFLPYKQGFFGRLAFNRAPHAPGNGFATSTR >Potri.006G088400.1.v4.1 pep chromosome:Pop_tri_v4:6:6655543:6657823:-1 gene:Potri.006G088400.v4.1 transcript:Potri.006G088400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088400.v4.1 MLFRIVFLVRVLVSLAIADSQDLNFTFSGFHSTNLSLDGLAELTSNGLLRLTNETYHRTGHAFYPNPVTFKNSINSTAFTFSTTFVFAIIPEYATLGGHGIAFVIAPTRGLPGALRGEYLGLFNKTNNGNQTNHVVAVELDTINSSEFNDIDDNHVGIDINGLVSERSASAGYYSQLNGQFTNLTLISGHPMQVWMEYDGMEKQLNVTIAPIDVDKPSRPLVTLSRDLSPILNSSMYIGFSSSTGNSVFASQYVLGWSFKMNGLAEALSISRLPKLPRVGPKKTSKFLTIGLPVLCLSLVLVAVSSISYAIRRTRKFAEVLEDWELDYGPHRFKFKDLYTATKGFRDEELLGSGGFGRVYKGVLPTSKIQVAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMPYGSLDKYLYGQPTVALNWSQRFRVIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTSTDVFALGAFLLEVASGRRPIQPTEDIILVDWVFIRWLGGEILEARDPNLGTEYISEEMELVLKLGLMCSHSEPAARPSMRQVVQFLEGNVPLPDILPLRLSASGQKFSHHEGFDDFAYSYPSSMDKASAHSSSVAESLLSGGR >Potri.005G160200.2.v4.1 pep chromosome:Pop_tri_v4:5:15406506:15414681:1 gene:Potri.005G160200.v4.1 transcript:Potri.005G160200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160200.v4.1 MRSYCWLGLCRRHLLSSTAVDRGDRLRKVSSFSDRFLNSCGHSISKPPDFGTTETHLIKRRYIHATGSCNAKERSFYEILGVSENASREDIKTAFHLLAKKYHPDANKNSASAKRKFQEIREAYETLQDSEKRAQYDAMRTGGLNYGADSAEGYGADDAAGFRHGAGNAGGFRYAYRTNFSNSFHKIFSEIFEDEANHFASDIQVDLVLSFSESARGCTKHVTFDAYVPCDSCDGHGYPANAKASICPTCRGVGKVTIPPFTSTCSHCRGLGRIIKEHCTSCRGSGVVEGIKDVKVTIPEGVGSGDTIRVPEAGNTGGRGSRSGNLFINLKVADDPVFAREGADVYVDANISFTQAILGGKVEVPTLSGKTQVNIPKGVQPGQLVVLRGKGLSKHGFLVDHGDQYVRFCINFPNAINKRQHAILEEFAKEEINNENDTSNEGNWWQQLLERVTDPRFMLKFSMLMLILLFFNKTMI >Potri.005G160200.8.v4.1 pep chromosome:Pop_tri_v4:5:15406288:15414309:1 gene:Potri.005G160200.v4.1 transcript:Potri.005G160200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160200.v4.1 MRSYCWLGLCRRHLLSSTAVDRGDRLRKVSSFSDRFLNSCGHSISKPPDFGTTETHLIKRRYIHATGSCNAKERSFYEILGVSENASREDIKTAFHLLAKKYHPDANKNSASAKRKFQEIREAYETLQDSEKRAQYDAMRTGGLNYGADSAEGYGADDAAGFRHGAGNAGGFRYAYRTNFSNSFHKIFSEIFEDEANHFASDIQVDLVLSFSESARGCTKHVTFDAYVPCDSCDGHGYPANAKASICPTCRGVGKVTIPPFTSTCSHCRGLGRIIKEHCTSCRGSGVVEGIKDVKVTIPEGVGSGDTIRVPEAGNTGGRGSRSGNLFINLKVADDPVFAREGADVYVDANISFTQAILGGKVEVPTLSGKTQVNIPKGVQPGQLVVLRGKGLSKHGFLVDHGDQYVRFCINFPNAINKRQHAILEEFAKEEINNENDTSNEGNWLYQQLSTG >Potri.005G160200.6.v4.1 pep chromosome:Pop_tri_v4:5:15406288:15414309:1 gene:Potri.005G160200.v4.1 transcript:Potri.005G160200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160200.v4.1 MRSYCWLGLCRRHLLSSTAVDRGDRLRKVSSFSDRFLNSCGHSISKPPDFGTTETHLIKRRYIHATGSCNAKERSFYEILGVSENASREDIKTAFHLLAKKYHPDANKNSASAKRKFQEIREAYETLQDSEKRAQYDAMRTGGLNYGADSAEGYGADDAAGFRHGAGNAGGFRYAYRTNFSNSFHKIFSEIFEDEANHFASDIQVDLVLSFSESARGCTKHVTFDAYVPCDSCDGHGYPANAKASICPTCRGVGKVTIPPFTSTCSHCRGLGRIIKEHCTSCRGSGVVEGIKDVKVTIPEGVGSGDTIRVPEAGNTGGRGSRSGNLFINLKVADDPVFAREGADVYVDANISFTQAILGGKVEVPTLSGKTQVNIPKGVQPGQLVVLRGKGLSKHGFLVDHGDQYVRFCINFPNAINKRQHAILEEFAKEEINNENDTSNEGNWWQQLLERVTDPRFMLKFSMLMLILLFFNKTMI >Potri.005G160200.7.v4.1 pep chromosome:Pop_tri_v4:5:15406288:15414309:1 gene:Potri.005G160200.v4.1 transcript:Potri.005G160200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160200.v4.1 MRSYCWLGLCRRHLLSSTAVDRGDRLRKVSSFSDRFLNSCGHSISKPPDFGTTETHLIKRRYIHATGSCNAKERSFYEILGVSENASREDIKTAFHLLAKKYHPDANKNSASAKRKFQEIREAYETLQDSEKRAQYDAMRTGGLNYGADSAEGYGADDAAGFRHGAGNAGGFRYAYRTNFSNSFHKIFSEIFEDEANHFASDIQVDLVLSFSESARGCTKHVTFDAYVPCDSCDGHGYPANAKASICPTCRGVGKVTIPPFTSTCSHCRGLGRIIKEHCTSCRGSGVVEGIKDVKVTIPEGVGSGDTIRVPEAGNTGGRGSRSGNLFINLKVADDPVFAREGADVYVDANISFTQAILGGKVEVPTLSGKTQVNIPKGVQPGQLVVLRGKGLSKHGFLVDHGDQYVRFCINFPNAINKRQHAILEEFAKEEINNENDTSNEGNWLYQQLSTG >Potri.005G160200.9.v4.1 pep chromosome:Pop_tri_v4:5:15406288:15414296:1 gene:Potri.005G160200.v4.1 transcript:Potri.005G160200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160200.v4.1 MRSYCWLGLCRRHLLSSTAVDRGDRLRKVSSFSDRFLNSCGHSISKPPDFGTTETHLIKRRYIHATGSCNAKERSFYEILGVSENASREDIKTAFHLLAKKYHPDANKNSASAKRKFQEIREAYETLQDSEKRAQYDAMRTGGLNYGADSAEGYGADDAAGFRHGAGNAGGFRYAYRTNFSNSFHKIFSEIFEDEANHFASDIQVDLVLSFSESARGCTKHVTFDAYVPCDSCDGHGYPANAKASICPTCRGVGKVTIPPFTSTCSHCRGLGRIIKEHCTSCRGSGVVEGIKDVKVTIPEGVGSGDTIRVPEAGNTGGRGSRSGNLFINLKVADDPVFAREGADVYVDANISFTQAILGGKVEVPTLSGKTQVNIPKGVQPGQLVVLRGKGLSKHGFLVDHGDQYVRFCINFPNAINKRQHAILEEFAKEEINNENDTSNEGNWLYQQLSTG >Potri.005G160200.3.v4.1 pep chromosome:Pop_tri_v4:5:15406288:15414309:1 gene:Potri.005G160200.v4.1 transcript:Potri.005G160200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160200.v4.1 MRSYCWLGLCRRHLLSSTAVDRGDRLRKVSSFSDRFLNSCGHSISKPPDFGTTETHLIKRRYIHATGSCNAKERSFYEILGVSENASREDIKTAFHLLAKKYHPDANKNSASAKRKFQEIREAYETLQDSEKRAQYDAMRTGGLNYGADSAEGYGADDAAGFRHGAGNAGGFRYAYRTNFSNSFHKIFSEIFEDEANHFASDIQVDLVLSFSESARGCTKHVTFDAYVPCDSCDGHGYPANAKASICPTCRGVGKVTIPPFTSTCSHCRGLGRIIKEHCTSCRGSGVVEGIKDVKVTIPEGVGSGDTIRVPEAGNTGGRGSRSGNLFINLKVADDPVFAREGADVYVDANISFTQAILGGKVEVPTLSGKTQVNIPKGVQPGQLVVLRGKGLSKHGFLVDHGDQYVRFCINFPNAINKRQHAILEEFAKEEINNENDTSNEGNWWQQLLERVTDPRFMLKFSMLMLILLFFNKTMI >Potri.005G160200.5.v4.1 pep chromosome:Pop_tri_v4:5:15406288:15414309:1 gene:Potri.005G160200.v4.1 transcript:Potri.005G160200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160200.v4.1 MRSYCWLGLCRRHLLSSTAVDRGDRLRKVSSFSDRFLNSCGHSISKPPDFGTTETHLIKRRYIHATGSCNAKERSFYEILGVSENASREDIKTAFHLLAKKYHPDANKNSASAKRKFQEIREAYETLQDSEKRAQYDAMRTGGLNYGADSAEGYGADDAAGFRHGAGNAGGFRYAYRTNFSNSFHKIFSEIFEDEANHFASDIQVDLVLSFSESARGCTKHVTFDAYVPCDSCDGHGYPANAKASICPTCRGVGKVTIPPFTSTCSHCRGLGRIIKEHCTSCRGSGVVEGIKDVKVTIPEGVGSGDTIRVPEAGNTGGRGSRSGNLFINLKVADDPVFAREGADVYVDANISFTQAILGGKVEVPTLSGKTQVNIPKGVQPGQLVVLRGKGLSKHGFLVDHGDQYVRFCINFPNAINKRQHAILEEFAKEEINNENDTSNEGNWWQQLLERVTDPRFMLKFSMLMLILLFFNKTMI >Potri.005G160200.4.v4.1 pep chromosome:Pop_tri_v4:5:15406288:15414309:1 gene:Potri.005G160200.v4.1 transcript:Potri.005G160200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160200.v4.1 MRSYCWLGLCRRHLLSSTAVDRGDRLRKVSSFSDRFLNSCGHSISKPPDFGTTETHLIKRRYIHATGSCNAKERSFYEILGVSENASREDIKTAFHLLAKKYHPDANKNSASAKRKFQEIREAYETLQDSEKRAQYDAMRTGGLNYGADSAEGYGADDAAGFRHGAGNAGGFRYAYRTNFSNSFHKIFSEIFEDEANHFASDIQVDLVLSFSESARGCTKHVTFDAYVPCDSCDGHGYPANAKASICPTCRGVGKVTIPPFTSTCSHCRGLGRIIKEHCTSCRGSGVVEGIKDVKVTIPEGVGSGDTIRVPEAGNTGGRGSRSGNLFINLKVADDPVFAREGADVYVDANISFTQAILGGKVEVPTLSGKTQVNIPKGVQPGQLVVLRGKGLSKHGFLVDHGDQYVRFCINFPNAINKRQHAILEEFAKEEINNENDTSNEGNWWQQLLERVTDPRFMLKFSMLMLILLFFNKTMI >Potri.005G139400.1.v4.1 pep chromosome:Pop_tri_v4:5:10990061:10993412:1 gene:Potri.005G139400.v4.1 transcript:Potri.005G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139400.v4.1 MKGSNVFSNILPIQLLFILLCLFIASSSCLKIGETCSSNSTCDAGLSCQSCSANGNTRQRCTKIQPLSPTSKVKGLAFNKYSWLTTHNSFALTNAQSDTGSALIATKNQEDTVTSQLKNGVRGLMLDMYDFMNDIWLCHSFNGNCYNFTAFQPAIDVLKEIETFLAANPSEIVTIFIEDYVTSPQGLTKVFNASGLGIYWFPVSKMPKNGEDWPTVDEMVQQNQRLVVFTSKSSKEATEGIAYNWKYVVENQYGDDGMKAGSCPNRAESSPMNTKTISLVLQNYFPTNPNESGVCLDNSAPLISMTNTCYEAAGRRWPNFITVDFYQRSDGGGAPEAVDEANGHLTCGCDNIAYCRANATFGTCDVPPTAPPPPAAAASGGDSQTPSNIASLDSKPVQLWWLLGAISMMTLLL >Potri.006G174801.1.v4.1 pep chromosome:Pop_tri_v4:6:17888225:17889259:1 gene:Potri.006G174801.v4.1 transcript:Potri.006G174801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G174801.v4.1 MLNTFCDICIKAIEKGMRLNTHFDKAGWKYEIQGARKFRHSGIDLALCCKYDIMFTNIMATDQYAWAPSQGLNSDDDGLGERRKNASNEDPHLEEGSGDSEENTLPNFIEDGSGMGAQLFLRLDQLVDRVSTRSECTSSDWDEKGCSIEEAMKGFHSIEEVVFDNELYCFATEFFIVRSKREIWAAIGDKKRKFQWLKLMFKRRSYIKP >Potri.010G081200.1.v4.1 pep chromosome:Pop_tri_v4:10:10850743:10854167:-1 gene:Potri.010G081200.v4.1 transcript:Potri.010G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081200.v4.1 MATMSTLFTKTSYSSHSLPKPLNTHFGPTLKLSFAPKTQWKRVRIQAGLIDPDGGKLVQLFAEKSQQDLKKKEAISLPKVKLTKIDIQWVHVLSEGWASPLRGFMRESEFLQTLHFNSLRLENGSVVNMSVPIVLAIDDLQKQGIGESKRVALVDSDDNTIAIFNDIEIYKHPKEERIARTWGTSAPGLPYAEETIAKSGNWLIGGDLEVLEPIKYHDGLDHFRLSPAELREEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHEEVLKDGVLDPETTVVSIFPSPMQYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPVEKRDLYDADHGKKVLSMAPGLERLNILPFRVAAYDKTQGKMAFFDPSRHGDFLFISGTKMRTLAKNKENPPDGFMCPGGWKVLVEYYDSLTLAGNGKVPEPVPA >Potri.007G090500.1.v4.1 pep chromosome:Pop_tri_v4:7:11554302:11556717:1 gene:Potri.007G090500.v4.1 transcript:Potri.007G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090500.v4.1 MSGDNFTSKPRAALGDVTNLPEKRGFSSISDDLGLKSRGGYSENGDSVFAKQVCLGVENLVKKKCETKTGDASGNEKVCDSLTTSSKTRASKDNVAVVSVVADRPSDIKETSNLIDGSVDLVKSSGTGTQSVGEVSCASSGSMYTSSGLCVKDSDDEGKVTSNVMLINPVVQGLVGGASTSDDIVSGVGRLASDKCGSVEWSRLPNSQGLKSFELEKCTTLKGDVCANLNAGADMLKACSCSFCLKAAYIWSDLYYQDIKGRQSALKKSQKEAGILVNKYSRGKQTDIHSQVNSNKSLNLESDLTDHWRSLFRHMEDMFANESSQLQTGYVTLKDLRDNCKIDLERITGMPSDTL >Potri.018G031800.5.v4.1 pep chromosome:Pop_tri_v4:18:2328792:2335086:-1 gene:Potri.018G031800.v4.1 transcript:Potri.018G031800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031800.v4.1 MGTAIAQYPYGITSHSFVKFGHKLEISSSNCHYKVDISRFNLYPSSVSTTDSKVCVGRIRALPDIGDIFSDIIATPLLDVVENPIHLKNLTIKELKLLASEIRSELSSIMSKAQKDFKASLAAVELTVAIHHVFHAPVDKILWDVGEQARFAADSVLYGGLYSHQRADNSMLIGTYAHKILTGRRSLMRTLRQKDGLSGFTSRSESEYDPFGAGHGCNSISAGLGMAVARDIKGKRERIVTVIGNGTTMAGQVYEAMGNAGYLDSNMIVILNDSRHSLHPKIEEGSKTSINALSSTLSKLQSSKSFRRLREVAKGVTKRIGMYELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHNIEDLVCVLQEVSTLESMGPVLIHVITEENQCAEHKQQTEATGNQQGGYAVLSNMHTQTYSDCFVEALIMEAEKDKDIVVVHAGKEMEPSFQLFQERFPHRFFYVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVVHDVDQQRIPVRFVITSAGLVGPDGPTMCGAFDITFMSCLPNMIVMAPSDEDQLVDMVATAAHINDRPVCFRYPRGAIAGTDHYTRSGIPVEIGKGLTLVEGKDVALLGYGTMVQNCLRAQTLLSKLGIEVTVADARFCKPLDMKLLRQLCENHAFLVTVEEGSIGGFGSHVSQFIALDGQLDGRTKWRPIVLPDNYIEHALPNEQLALAGLTGHHIAATVLRLLGRSREALLLMC >Potri.018G031800.1.v4.1 pep chromosome:Pop_tri_v4:18:2328759:2335074:-1 gene:Potri.018G031800.v4.1 transcript:Potri.018G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031800.v4.1 MGTAIAQYPYGITSHSFVKFGHKLEISSSNCHYKVDISRFNLYPSSVSTTDSKVCVGRIRALPDIGDIFSDIIATPLLDVVENPIHLKNLTIKELKLLASEIRSELSSIMSKAQKDFKASLAAVELTVAIHHVFHAPVDKILWDVGEQTYAHKILTGRRSLMRTLRQKDGLSGFTSRSESEYDPFGAGHGCNSISAGLGMAVARDIKGKRERIVTVIGNGTTMAGQVYEAMGNAGYLDSNMIVILNDSRHSLHPKIEEGSKTSINALSSTLSKLQSSKSFRRLREVAKGVTKRIGMYELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHNIEDLVCVLQEVSTLESMGPVLIHVITEENQCAEHKQQTEATGNQQGGYAVLSNMHTQTYSDCFVEALIMEAEKDKDIVVVHAGKEMEPSFQLFQERFPHRFFYVGMAEQHAVTFSAGLSCGGLKPFCIIPSAFLQRAYDQVVHDVDQQRIPVRFVITSAGLVGPDGPTMCGAFDITFMSCLPNMIVMAPSDEDQLVDMVATAAHINDRPVCFRYPRGAIAGTDHYTRSGIPVEIGKGLTLVEGKDVALLGYGTMVQNCLRAQTLLSKLGIEVTVADARFCKPLDMKLLRQLCENHAFLVTVEEGSIGGFGSHVSQFIALDGQLDGRTKWRPIVLPDNYIEHALPNEQLALAGLTGHHIAATVLRLLGRSREALLLMC >Potri.015G005801.3.v4.1 pep chromosome:Pop_tri_v4:15:340505:342113:-1 gene:Potri.015G005801.v4.1 transcript:Potri.015G005801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005801.v4.1 MSISRETTEGQLLKEGLEGWLLMSHLSFCWIHCHQRGQCDAMTIPSSRNRTRGEVRAPWEIKDEEQEIKMRFDMPGLSKEDVKLSIEGDVLVIKGEHKREETGADSWSGSSISSCEKDKIMAELKNGVLFVNIPKTKIQRKVIDVHIQ >Potri.015G005801.2.v4.1 pep chromosome:Pop_tri_v4:15:340387:342113:-1 gene:Potri.015G005801.v4.1 transcript:Potri.015G005801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005801.v4.1 MSISRETTEGQLLKEGLEGWLLMSHLSFCWIHCHQRGQCDAMTIPSSRNRTRGEVRAPWEIKDEEQEIKMRFDMPGLSKEDVKLSIEGDVLVIKGEHKREETGADSWSGSSISSCEKDKIMAELKNGVLFVNIPKTKIQHVESCWTCTSVSS >Potri.015G005801.1.v4.1 pep chromosome:Pop_tri_v4:15:340505:342113:-1 gene:Potri.015G005801.v4.1 transcript:Potri.015G005801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005801.v4.1 MSISRETTEGQLLKEGLEGWLLMSHLSFCWIHCHQRGQCDAMTIPSSRNRTRGEVRAPWEIKDEEQEIKMRFDMPGLSKEDVKLSIEGDVLVIKGEHKREETGADSWSGSSISSCEKDKIMAELKNGVLFVNIPKTKIQRFFLSLFLPILLQLLSSP >Potri.015G104700.3.v4.1 pep chromosome:Pop_tri_v4:15:12350766:12356079:1 gene:Potri.015G104700.v4.1 transcript:Potri.015G104700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104700.v4.1 MHSQTWKSMAMAALVVQLSISMGVDSSLPHPDKIARLPGQPHVGFQQFSGYVTVDNNKHRALFYYFVEAEIDPESKPLVLWLNGGPGCSSLGLGAFSENGPFRPEGRVLIRNEHSWNREANMLYLETPVGVGFSYATNSSSFVAVDDEATARDNLLFLQGWFHKFPRYRSTDLFIAGESYAGHYIPQLAKLMIEVNKKEKLFNLKGIALGNPVLDFATDLNSRAEYFWSHGLISDSTYKMFTSACNYSRYVSEYYRDSVSSICSIVMKQVNTETSRFVDKYDVTLDVCVSSVFSQSKFISPKQVSERIDVCIEDETVNYLNRKDVRRALHARLIGVRRWEVCSNILDYEFLNIEKPTFNIVGSLIKAEIPVLVYSGDQDSVIPLTGSRTLVHRVAKELGLNTTVPYRVWFAGKQVYGNILSFATIRGASHEAPFSQPERSLMLFKSFLEGKHLPEVF >Potri.015G104700.4.v4.1 pep chromosome:Pop_tri_v4:15:12350766:12355660:1 gene:Potri.015G104700.v4.1 transcript:Potri.015G104700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104700.v4.1 MHSQTWKSMAMAALVVQLSISMGVDSSLPHPDKIARLPGQPHVGFQQFSGYVTVDNNKHRALFYYFVEAEIDPESKPLVLWLNGGPGCSSLGLGAFSENGPFRPEGRVLIRNEHSWNREANMLYLETPVGVGFSYATNSSSFVAVDDEATARDNLLFLQGWFHKFPRYRSTDLFIAGESYAGHYIPQLAKLMIEVNKKEKLFNLKGIALGNPVLDFATDLNSRAEYFWSHGLISDSTYKMFTSACNYSRYVSEYYRDSVSSICSIVMKQVNTETSRFVDKYDVTLDVCVSSVFSQSKFISPKQVSERIDVCIEDETVNYLNRKDVRRALHARLIGVRRWEVCSNILDYEFLNIEKPTFNIVGSLIKAEIPVLVYSGDQDSVIPLTGSRTLVHRVAKELGLNTTVPYRVWFAGKQLVV >Potri.010G176300.4.v4.1 pep chromosome:Pop_tri_v4:10:17634304:17641380:1 gene:Potri.010G176300.v4.1 transcript:Potri.010G176300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176300.v4.1 MEKRKLGVTSGFRQTANQLYGYGATATTTSASRSLTDSYLTDSVLTRYSGTGSDPLSSDSSKYSVSSSMYLKQSDTALRYSVDRGIASASAAATSPHFSSWTPPPGVDVPPAVESLVPGLKRTPEVLYHPTLLGAHSTIGQSEDWYSTNSLAKRVRFETTSHFPIYPQRPGEKDCAHYMLTRTCKFGDTCKFDHPVWVPEGGIPDWKEVPLIATSETFPERPGEPDCPYFLKTQRCKYGLNCKFNHPKEKLSLGDSENSSVSALPERPSEPPCAFYMKTGKCKFGASCKFHHPKDIQIPLSGLGNDNGVQTDSVVKNEGITGDVDVIYSPVTPALHHNSKGLPIRLGEVDCPFYLKTGSCKYGATCRYNHPERTAINPPAAAIGHPIVAPSLANLNFGVFNPAASIYQTIDPRLSMLGVGPTFYPQRPGQTECDFYMKTGECKFGERCKFHHPIDRSAPTEKQIQQQTVKLTLAGLPRREGAVHCPYYMKTGACKYGATCKFDHPPPGEVMAVATSLDAAVLGAEVGTSQAQ >Potri.014G007300.4.v4.1 pep chromosome:Pop_tri_v4:14:600332:602660:1 gene:Potri.014G007300.v4.1 transcript:Potri.014G007300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007300.v4.1 MELGYQPFPSLRHLWMLSRLVPSQSDTHISPFIFILKISKQLGGEGAESTDGFTTTTAASLVRAKDDSGFVKCEECKKDMPVAL >Potri.014G007300.3.v4.1 pep chromosome:Pop_tri_v4:14:600332:602660:1 gene:Potri.014G007300.v4.1 transcript:Potri.014G007300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007300.v4.1 MELGYQPFPSLRHLWMLSRLVPSQSDTHISPFIFILKISKQLGGEGAESTDGFTTTTAASLVRAKDDSGFVKCEECKKDMPVAL >Potri.014G007300.2.v4.1 pep chromosome:Pop_tri_v4:14:600332:607770:1 gene:Potri.014G007300.v4.1 transcript:Potri.014G007300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007300.v4.1 MELGYQPFPSLRHLWMLSRLVPSQSDTHISPFIFILKISKQLGGEGAESTDGFTTTTAASLVRAKDDSGFVKCEECKKDMPVAL >Potri.001G030000.1.v4.1 pep chromosome:Pop_tri_v4:1:2246935:2250164:-1 gene:Potri.001G030000.v4.1 transcript:Potri.001G030000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030000.v4.1 MASGGGSVEWHLRPPNPKNPIVFFDVTIGTIPAGRIKMELFADIAPRTAENFRQFCTGEYRKAGLPVGYKGCQFHRVIKDFMIQAGDFLKGDGSGCVSIYGLKFEDENFVAKHTGPGLLSMANSGPNTNGCQFFMTCAKCDWLDNKHVVFGRVLGDGLLVVRKIENVATGPNNRPKLPCIIAECGEM >Potri.001G197700.1.v4.1 pep chromosome:Pop_tri_v4:1:19431450:19432242:-1 gene:Potri.001G197700.v4.1 transcript:Potri.001G197700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197700.v4.1 MEHFRSKSCREGRIEMEGYDEDKAAPTNMQDLRSYSVSYAVSVQPNQSGKEGKMKKGKSNLESSSKSWSFNDPELQRKRRVANYKVYAIEGKMKGSLRKSFRWIKDTCTQVVYGWR >Potri.005G224100.1.v4.1 pep chromosome:Pop_tri_v4:5:22528519:22530829:1 gene:Potri.005G224100.v4.1 transcript:Potri.005G224100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224100.v4.1 MVRAPCCEKMGLKKGPWTAEEDQILINYIQLHGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSREEEDTIIKLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEKNHVTPEIKGRSVDISRFDHELNTDQELLDSSNLAAGSDQTEEHRPISPQQCSSDTSSLITGDIDISNNMCMKIESSDDFPEMDESFWSEVLSADNSRIVSDFSAIGTEPQLQFPFSPLVIEVEQVYATNSNMYDSTEYWHDLFTRAGGSLDLPEI >Potri.005G000800.1.v4.1 pep chromosome:Pop_tri_v4:5:138261:139759:1 gene:Potri.005G000800.v4.1 transcript:Potri.005G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000800.v4.1 MGFQANAVIPSRFRYEKLINGGDQYHEKLMARPRRWRFWVKKMNGGVKGLRLSRSRKLTFKALSVILMPSPNSRIAKVYAHVIDRIKMMDDLTLYPNIIFSTHWGLPVLSHPSVKTTTRLSSLHTNLTCI >Potri.010G099300.4.v4.1 pep chromosome:Pop_tri_v4:10:12251187:12259724:1 gene:Potri.010G099300.v4.1 transcript:Potri.010G099300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099300.v4.1 MNAISILISETHQKIIDAVHDNVKELKVSEVIKILESYKEAKIGFGTGNSIRSSIIQALYDCPFIGAGVKELNGIVICIIASSVLMDDKDVHASLLTFRRTANYTGEIIICTSHEPNLEPNMLVTTVVIVGCIEQQAPQKSSIFSKLAQHFPFVFKLLRISHQKPCGNKGKDMEDAQLSKGIDSPDSGLVENTSSVEGLVNGVDKHSKDSERVSGGDYVDIYSSRNYNNGVEEDGVGLLEEDTIESSNFYNQNMEETPAFQREPLISRNMGPGYQIAQEWAKERAGATPVLDDMSVFQLPVGVRPSEESKGSLNISYATELSEPKTEDDFKGQTLVNSTIPSWGDAGFIAVRDFYNNASTLLKGKNPDVLKKQGILSARAASMLEAERDSPKKWSPIMEMQYRGGVYRGRCQGGLPEGKGRLILQDGSMYDGMWRYGKRSGPGTFYFSNGDVFQGSWRDDVMHGKGWFYFHSGDRWFANFWKGKANGESRFYSKFGDVFFGHFQDGWRHGHFLCIDVDGARYVETWDEGVLVSREQLDSDNGGG >Potri.010G099300.1.v4.1 pep chromosome:Pop_tri_v4:10:12251187:12259724:1 gene:Potri.010G099300.v4.1 transcript:Potri.010G099300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099300.v4.1 MEVPVLTSFHSVSLSSKTAFYMDRCSFFRKRLNCKKRCRLRIKVSSEKDDDDGSLSFKSDAVNFCGGGQSGDLVDVISIGSRKDAVVDFCFDSPLQLSSSLLRFWNIQTKDSVTVQLQERVLEKDVNPRVMEVSQFLKFPSKAIVLVASAGYGLDHITAIDILKTRRFRNAFTVAIFLKPFSFEGQRRQDEVKDLVGKLQEYTNFCIDIDTDALLKKDLVTLDEALKSANSAVLLAMNAISILISETHQKIIDAVHDNVKELKVSEVIKILESYKEAKIGFGTGNSIRSSIIQALYDCPFIGAGVKELNGIVICIIASSVLMDDKDVHASLLTFRRTANYTGEIIICTSHEPNLEPNMLVTTVVIVGCIEQQAPQKSSIFSKLAQHFPFVFKLLRISHQKPCGNKGKDMEDAQLSKGIDSPDSGLVENTSSVEGLVNGVDKHSKDSERVSGGDYVDIYSSRNYNNGVEEDGVGLLEEDTIESSNFYNQNMEETPAFQREPLISRNMGPGYQIAQEWAKERAGATPVLDDMSVFQLPVGVRPSEESKGSLNISYATELSEPKTEDDFKGQTLVNSTIPSWGDAGFIAVRDFYNNASTLLKGKNPDVLKKQGILSARAASMLEAERDSPKKWSPIMEMQYRGGVYRGRCQGGLPEGKGRLILQDGSMYDGMWRYGKRSGPGTFYFSNGDVFQGSWRDDVMHGKGWFYFHSGDRWFANFWKGKANGESRFYSKFGDVFFGHFQDGWRHGHFLCIDVDGARYVETWDEGVLVSREQLDSDNGGG >Potri.003G108900.1.v4.1 pep chromosome:Pop_tri_v4:3:13146217:13148801:-1 gene:Potri.003G108900.v4.1 transcript:Potri.003G108900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108900.v4.1 MNDTVDRLVIFLAKRDGIDKLVKTFQYVSKLVRWQVEATHPDVARRFQQWEVASGLGRKAFRTGRFLTGFNALRRGPGSTPTFKILAVLANAGEMVYFFFDHFLWLSRIGTLDAKLAGRMSFISAFGESFGYIFFIIADFIIIKEGLKEERRLLTSSKEDTSKDATESLRKIRADRVMRLMAVAANVADFIIALADIEPNPFCNHAVTLGVSGLVSAWAGWYRNWPS >Potri.004G022500.1.v4.1 pep chromosome:Pop_tri_v4:4:1562770:1567781:-1 gene:Potri.004G022500.v4.1 transcript:Potri.004G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022500.v4.1 MTHSPIAYLVPLSPSLEENASIPKLPLSNGQNTIGRNDISASDKRLSRNHLSLTLSLTSSTITVEGTNPVAVVKSGKRRRKLRAGEKAEIINDDIIELIPGNYFYKYVLITPNSNLQKRGCFEGRENGIGESKRKKIREGISSSSKVEMESGGPPRNCEEEAIRDFGVSEDELALTFRLLRVKELPAWANTSCVSINDVIKGDILVAILSNYMVDMDWLLSACPTIAKVPNVMVIHGEGDGTLEHMKRRKPANWILHKPRLPISFGTHHSKAMFLVYPRGVRVIVHTANLIYVDWNNKSQGLWMQDFPWKEEKKPGKGCGFENDLVDYLSMLKWPEFTVKLPNLGRISINASFFKKFDYSHAAVRLIASVPGYHTGANLRKWGHMKLQSVLQECTFDNEFKRSPLVYQFSSLGSLDEKWMTELAISMSSGYAEDKTPLGLGVPQIIWPTVEDVRCSLEGYAAGNAIPGPLKNVEKGFLKKYWAKWKASHSGRCRAMPHIKTFTRYNGQKLAWFLLTSSNLSKAAWGALQKNNSQLMIRSYELGVLFLPSSIRRYGSGFSCTSNGGPSMDNCGSLVDSEELRTTLVTLKWQGTSDSASKVIPLPVPYELPPIPYSSEDVPWSWDRRYSKKDVYGQVWPRTVQLYTSQD >Potri.011G071400.4.v4.1 pep chromosome:Pop_tri_v4:11:6369856:6371652:1 gene:Potri.011G071400.v4.1 transcript:Potri.011G071400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071400.v4.1 MFFVEGLGIKDAKSSRAEIEFLEEQIVNHEGDIEPTASVLNGFVAIARYCRFLLYGFEENEVELQIGNQKKLRKGLISQEIAETFITIPKDFCCPISLDLMRDPVIISTGQTYDRSLISRWMEEGHCTCPKTGQMLMNTRLVPNRALRNLIVQWCTAHGIPYDPPENTDSLVEAFAAAMPSKAAIEANRATATLLIHKLASGSQHAKTVAARGIRLLAKSGRENRAFIAEAGAIPHLRNLLSSTNSVAQENSVTAILNLSIHDKNKSQIMDETGCLGSIVGVLRFGLTTEARENAAATLFSLSAVHDYKKRIADEEGAVEALAGLLRAGTPRGKKDAVTALFNLSTHTENCLRMIEAGAVTALVGALGNEGVAEEAAGALALIVRQPIGAKAVGGEEMAVAGLIGMMRCGTPRGKENAVAALLELCRSGGTVATEKVLKAPALWGLLQSLLFTGTKRARRKAASLARVFQRCENYSLHFGGLGAGYAFAGNSAPNRDSSFVNEVSVPVSISVPVL >Potri.011G071400.1.v4.1 pep chromosome:Pop_tri_v4:11:6369173:6371514:1 gene:Potri.011G071400.v4.1 transcript:Potri.011G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071400.v4.1 MATAAIFSSLRRRRSPSLEAFLAPVDLTDVALVQTLVSVSTELVSCFSGKSLFFQRKNSRSLIRKIEVFVVLLEYLRDSGAGSALSPPTIVCFKELYLLLYRSKILLDCCVQSSKLWLLLQNHTISGHFHDLNQEISTLLDVFPLDDVELSEDVREQIDLMHKQSRKATLFIDKEEEDLRVSFFSFLDEFEKGKIPNMVDLRMFFVEGLGIKDAKSSRAEIEFLEEQIVNHEGDIEPTASVLNGFVAIARYCRFLLYGFEENEVELQIGNQKKLRKGLISQEIAETFITIPKDFCCPISLDLMRDPVIISTGQTYDRSLISRWMEEGHCTCPKTGQMLMNTRLVPNRALRNLIVQWCTAHGIPYDPPENTDSLVEAFAAAMPSKAAIEANRATATLLIHKLASGSQHAKTVAARGIRLLAKSGRENRAFIAEAGAIPHLRNLLSSTNSVAQENSVTAILNLSIHDKNKSQIMDETGCLGSIVGVLRFGLTTEARENAAATLFSLSAVHDYKKRIADEEGAVEALAGLLRAGTPRGKKDAVTALFNLSTHTENCLRMIEAGAVTALVGALGNEGVAEEAAGALALIVRQPIGAKAVGGEEMAVAGLIGMMRCGTPRGKENAVAALLELCRSGGTVATEKVLKAPALWGLLQSLLFTGTKRARRKAASLARVFQRCENYSLHFGGLGAGYAFAGNSAPNRDSSFVNEVSVPVSISVPVL >Potri.011G071400.2.v4.1 pep chromosome:Pop_tri_v4:11:6369257:6371516:1 gene:Potri.011G071400.v4.1 transcript:Potri.011G071400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071400.v4.1 MHKQSRKATLFIDKEEEDLRVSFFSFLDEFEKGKIPNMVDLRMFFVEGLGIKDAKSSRAEIEFLEEQIVNHEGDIEPTASVLNGFVAIARYCRFLLYGFEENEVELQIGNQKKLRKGLISQEIAETFITIPKDFCCPISLDLMRDPVIISTGQTYDRSLISRWMEEGHCTCPKTGQMLMNTRLVPNRALRNLIVQWCTAHGIPYDPPENTDSLVEAFAAAMPSKAAIEANRATATLLIHKLASGSQHAKTVAARGIRLLAKSGRENRAFIAEAGAIPHLRNLLSSTNSVAQENSVTAILNLSIHDKNKSQIMDETGCLGSIVGVLRFGLTTEARENAAATLFSLSAVHDYKKRIADEEGAVEALAGLLRAGTPRGKKDAVTALFNLSTHTENCLRMIEAGAVTALVGALGNEGVAEEAAGALALIVRQPIGAKAVGGEEMAVAGLIGMMRCGTPRGKENAVAALLELCRSGGTVATEKVLKAPALWGLLQSLLFTGTKRARRKAASLARVFQRCENYSLHFGGLGAGYAFAGNSAPNRDSSFVNEVSVPVSISVPVL >Potri.011G071400.3.v4.1 pep chromosome:Pop_tri_v4:11:6369829:6371518:1 gene:Potri.011G071400.v4.1 transcript:Potri.011G071400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071400.v4.1 MVDLRMFFVEGLGIKDAKSSRAEIEFLEEQIVNHEGDIEPTASVLNGFVAIARYCRFLLYGFEENEVELQIGNQKKLRKGLISQEIAETFITIPKDFCCPISLDLMRDPVIISTGQTYDRSLISRWMEEGHCTCPKTGQMLMNTRLVPNRALRNLIVQWCTAHGIPYDPPENTDSLVEAFAAAMPSKAAIEANRATATLLIHKLASGSQHAKTVAARGIRLLAKSGRENRAFIAEAGAIPHLRNLLSSTNSVAQENSVTAILNLSIHDKNKSQIMDETGCLGSIVGVLRFGLTTEARENAAATLFSLSAVHDYKKRIADEEGAVEALAGLLRAGTPRGKKDAVTALFNLSTHTENCLRMIEAGAVTALVGALGNEGVAEEAAGALALIVRQPIGAKAVGGEEMAVAGLIGMMRCGTPRGKENAVAALLELCRSGGTVATEKVLKAPALWGLLQSLLFTGTKRARRKAASLARVFQRCENYSLHFGGLGAGYAFAGNSAPNRDSSFVNEVSVPVSISVPVL >Potri.014G154900.1.v4.1 pep chromosome:Pop_tri_v4:14:10889544:10892158:-1 gene:Potri.014G154900.v4.1 transcript:Potri.014G154900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154900.v4.1 MPLEALTSTAPSFPSFRRQNPTTLSCHVSFDVSGLNTRRNRTTKVTAGSKVESSSFPLEKKRKVVEHVCLLKAKKFLSDEDEKDMLDYLYTSQYQMRGIVAVSLGRISDDNVENYTHAVFMRFQTKEDVTRFYENPFYLKVLKEHVMPYCHDLVNVDYESEVEDDMIPIFRKGEEFNYGEEFVHLFAFDDSALGAPVEDALASLEKLKKEFPSLIVQSTQGSNFNLSSKEYTHAVVTRFRSSEAFEIFVGSTEYRDVWRSKFELITRKTLPIHFSVDPVGKEVM >Potri.004G160600.7.v4.1 pep chromosome:Pop_tri_v4:4:18046413:18048493:-1 gene:Potri.004G160600.v4.1 transcript:Potri.004G160600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160600.v4.1 MMMMMRQGSNLGGSRCQECGNQAKKDCVYMRCRTCCNSKGFQCQTHVKSTWVPACRRRQRAQNLLPCQQQQLQGHNPKRPRENPSTGLEIENFPAEVNSTVTFRCFRVSSIDESVDQFAYQTSVNIGGRVFKGILYDQGPHESRYYFGESSSRQLQEPNLPSADALTPGALASTSGAAESLAHPSYPFPLTASMSGTQLFLHPKS >Potri.004G160600.4.v4.1 pep chromosome:Pop_tri_v4:4:18043563:18048247:-1 gene:Potri.004G160600.v4.1 transcript:Potri.004G160600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160600.v4.1 MMMMMRQGSNLGGSRCQECGNQAKKDCVYMRCRTCCNSKGFQCQTHVKSTWVPACRRRQRAQNLLPCQQQQLQGHNPKRPRENPSTGLEIENFPAEVNSTVTFRCFRVSSIDESVDQFAYQTSVNIGGRVFKGILYDQGPHESRYYFGESSSRQLQEPNLPSADALTPGALASTSGAAESLAHPSYPFPLTASMSAMA >Potri.004G160600.8.v4.1 pep chromosome:Pop_tri_v4:4:18046837:18047748:-1 gene:Potri.004G160600.v4.1 transcript:Potri.004G160600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160600.v4.1 MMMMMRQGSNLGGSRCQECGNQAKKDCVYMRCRTCCNSKGFQCQTHVKSTWVPACRRRQRAQNLLPCQQQQLQGHNPKRPRENPSTGLEIENFPAEVNSTVTFRCFRVSSIDESVDQFAYQTSVNIGGRVFKGILYDQGPHESRYYFGESSSRQLQEPNLPSADALTPGALASTSGAAESLAHPSYPFPLTASMSGTQLFLHPKS >Potri.004G160600.6.v4.1 pep chromosome:Pop_tri_v4:4:18045864:18048295:-1 gene:Potri.004G160600.v4.1 transcript:Potri.004G160600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160600.v4.1 MMMMMRQGSNLGGSRCQECGNQAKKDCVYMRCRTCCNSKGFQCQTHVKSTWVPACRRRQRAQNLLPCQQQQLQGHNPKRPRENPSTGLEIENFPAEVNSTVTFRCFRVSSIDESVDQFAYQTSVNIGGRVFKGILYDQGPHESRYYFGESSSRQLQEPNLPSADALTPGALASTSGAAESLAHPSYPFPLTASMSGTQLFLHPKS >Potri.013G067800.2.v4.1 pep chromosome:Pop_tri_v4:13:5329820:5331952:-1 gene:Potri.013G067800.v4.1 transcript:Potri.013G067800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067800.v4.1 MKRVSLSSAEIIASNQDLLTEILIRIPAKPLLKFKSVSKQWLSLISDPKFCIWHACHQRVLNPTPNALLLNNFYSSTPTFQFIPLIGDDSSSYSQAAVFDYLNVSPNYRLKIKNSCNGLILCMSIFYGCGFDDAGSELKGIICNPTTKQFKTLSFPVPKFGNSKTYSSFYGLAFDPLKSHHYKIICLHVDYRVSKNPQIYLYSSRTNSWSFLQNSLEAPTLYGFVNGVFCNDAIHWCSYEQTTLYFDVNTESLKIMPMPPIFSTVNRFREMMYFGESRGRLHLAGIGRRFISRFDVWEMASDYSGWSLLYNVNLHSMKRAFPEQKFDTFSILNVLLAEKEEESKVVISVDSIAVSLNVYDGTWKMLSVLEPGLEFPAELGYEGHDAFQYFQSLVCV >Potri.008G007866.1.v4.1 pep chromosome:Pop_tri_v4:8:358511:367033:1 gene:Potri.008G007866.v4.1 transcript:Potri.008G007866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G007866.v4.1 NETDQLALVEFKTHITNDPLGVLRSWNNSIHFCQWHGVLCGRRHQRVIALDLGSYKLAGYISPHVGNLSFLRLLDLRNNSLSQEIPPELGNLSRLKYLYLNNNSLSGEIPSNVSFCFNLIHFLVNWNRLVGKIPAEFATLSKLEMFFIHANNLTGGIPITFGNLTSLQRFSATQNYIGGSIPATIGKLASLTHIALSGNRLSGSIPPSFFNLSSIIAFDIAYNQLEGTLPSNLGITLPNLQTLGLSANQFTGSIPFTISNATNLEYLASNDNRHTGSVPTLERLNRLGFLSLTSNHLGSGRTSDLDFLRSLLNATYLEILALNDNNFGGIFPEFIGNFTWLTILFLDGNRISGSIPNGIQNLLAIWRMELWENQISGGIPPEIGHLQQLDRLRLSGNRLSGNIPSSLGNLTSLTSLYLGQNNLQGSIPSSLGLCENLLELDLSQNNLSGTIPKELVSLSALSIFMNLSHNFLNGYLPQEVGNLKNLGALDVSDNMLSGKIPTSLGSCVTLEILRMQRNFLSGELPSSLRSLKGIRMLDLSRNNLTGQLPKFLEQFDLQYLNLSFNDFDGEMLLQGVFKNASVVSVEGNSRLCGGVPELQLPPCKFQNSTKGRLALKFKIIISVVFGVLGVTTLLSTLYFCWLRKKGKGPDLSNSENSTLRLSYQSLVAATDGFSSAHLIGEGSFGSVYKGVIDELGTTVAIKVLNLLRRGAPKSFAAECEALRNIRHRNLVKILTACSGVDYKGNDFKALIYEFMVNGSLEKWLHPTPITDEVNEAPRSLNLLQRLNIAIDVASALEYLHKDCQPPVVHCDLKPSNILLDEDMTAHVGDFGIARILPEAAMDLSNNVTSSIGVRGTTGYTAPEYGMGNEVSTYGDVYSYGVLLLEMFTGKRPTNDMFKDSLSLSRFVKAALPMQVLEIADPILVQEVEGKTSVNTPHGHRRESGRRIQECLASIFTIGVACSEEIPRERKGISNVVTELHSIRNKL >Potri.004G009600.2.v4.1 pep chromosome:Pop_tri_v4:4:557079:560495:-1 gene:Potri.004G009600.v4.1 transcript:Potri.004G009600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009600.v4.1 MRGGGLWQLGQSITRRLAQVDKKVVGRRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMESTVNCRQNGSLFDVSHMCGLSLKGKDCIPFLEKLVIADVAALAPGTGTLTVFTNEKGGAIDDSVITKVQNDHMYIVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLSASVLQHLTKDDLSKLYFGEFRITDINGAYCFITRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGAEVILKQLAEGPKIRLVGFTSTGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGSHKAGTKAKILVRGKAYDGVVTKKPFVPTKYYKPS >Potri.004G009600.1.v4.1 pep chromosome:Pop_tri_v4:4:557509:560381:-1 gene:Potri.004G009600.v4.1 transcript:Potri.004G009600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009600.v4.1 MRGGGLWQLGQSITRRLAQVDKKVVGRRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMESTVNCRQNGSLFDVSHMCGLSLKGKDCIPFLEKLVIADVAALAPGTGTLTVFTNEKGGAIDDSVITKVQNDHMYIVVNAGCRDKDLAHIEEHMKAFKAKGGDVSWHIHDERSLLALQGPLSASVLQHLTKDDLSKLYFGEFRITDINGAYCFITRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKIRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLSWAIGKRRKAEGGFLGAEVILKQLAEGPKIRLVGFTSTGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGSHKAGTKAKILVRGKAYDGVVTKKPFVPTKYYKPS >Potri.001G134100.4.v4.1 pep chromosome:Pop_tri_v4:1:10902735:10908540:-1 gene:Potri.001G134100.v4.1 transcript:Potri.001G134100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134100.v4.1 MSRFNSDPNPFDEEEEVNPFSKGSSVPAVKARIPPLGHEPMGFGHNDATVDIPLDTMNDSKKKEKDLASWEADLKRREKEIKRREDAVAKTGITPDDKNWPPFFPIIHHDIANEIPIHAQRLQYLAFASWLGIVLCLVFNLIAVTVCWIRGGGVKIFFLAVIYVLMGCPLSYILWYRPLYRAMRTDSALKFGWFFLFYLIHIGFCIFAAIAPPIVFHGKSLTGILPAVDVISDHLLVGIFYLVGFGLFCLESLLSLWVLQKIYMYFRGHK >Potri.001G134100.5.v4.1 pep chromosome:Pop_tri_v4:1:10902057:10908516:-1 gene:Potri.001G134100.v4.1 transcript:Potri.001G134100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134100.v4.1 MSRFNSDPNPFDEEEEVNPFSGSSVPAVKARIPPLGHEPMGFGHNDATVDIPLDTMNDSKKKEKDLASWEADLKRREKEIKRREDAVAKTGITPDDKNWPPFFPIIHHDIANEIPIHAQRLQYLAFASWLGIVLCLVFNLIAVTVCWIRGGGVKIFFLAVIYVLMGCPLSYILWYRPLYRAMRTDSALKFGWFFLFYLIHIGFCIFAAIAPPIVFHGKSLTGILPAVDVISDHLLVGIFYLVGFGLFCLESLLSLWVLQKIYMYFRGHK >Potri.007G143250.1.v4.1 pep chromosome:Pop_tri_v4:7:15229721:15230374:-1 gene:Potri.007G143250.v4.1 transcript:Potri.007G143250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G143250.v4.1 MDKKLAETVWDEEVANKQVILKNYVISCLPKKSDVEVIASTIKLKVPEETPGILVKNLYLSYIDSYMPGLPLNGNGVAGALDSGHPDYRKGDLIWEEHSLITETKGLFKIQHTGCCSATEIHGRKV >Potri.005G172500.2.v4.1 pep chromosome:Pop_tri_v4:5:17788846:17790169:1 gene:Potri.005G172500.v4.1 transcript:Potri.005G172500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172500.v4.1 MDIPPNRTMSKNEAEKDQKDKIVKARANTNRVIISIYSESPRKRLQQKHNPATKKTMGTRGYDRRAQLLSYASELRCAGSEPMEWSRRNSRPRSKKWKWSSGPARIRESFLRMFQQKERQWVYERIVTEENGEAARMSGSKRINSRNQTGVSESRNPSFCKKLTCMIKELKCGLLCNKG >Potri.005G172500.3.v4.1 pep chromosome:Pop_tri_v4:5:17788846:17790169:1 gene:Potri.005G172500.v4.1 transcript:Potri.005G172500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172500.v4.1 MDIPPNRTMSKNEAEKDQKDKIVKARANTNRVIISIYSESPRKRLQQKHNPATKKTMGTRGYDRRAQLLSYASELRCAGSEPMEWSRRNSRPRSKKWKWSSGPARIRESFLRMFQQKERQWVYERIVTEENGEAARMSGSKRINSRNQTGVSESRNPSFCVNLINHFSYNLFYLHTVAK >Potri.018G086500.1.v4.1 pep chromosome:Pop_tri_v4:18:10429998:10431212:1 gene:Potri.018G086500.v4.1 transcript:Potri.018G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086500.v4.1 MEMEPASTPEMGKKLWHIVRVIFYMVRKSISKSRIMVDLHLMLKRGNKLAEKAICNLMFHNYHHSSFSCRSNDALSFISPREYEFSCSNSPANFNPFYTHKRKHQLNLFAKSYKYDDVTTAAAVQKMLEMLNNPEVASAVEASPLSLPGFGKSPMVRQLRITDSPFPLKDEGDSQVDKAAEEFIKKFYKDLKLQKTAAAALESPYNGMWGR >Potri.014G147700.2.v4.1 pep chromosome:Pop_tri_v4:14:10135547:10138714:-1 gene:Potri.014G147700.v4.1 transcript:Potri.014G147700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147700.v4.1 MAAAVKRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPESDMVSLIHHAINSGVTLLDTSDMYGPHTNEILLGKALKAGSGLREKVELATKFGINFQDGKREIRGDPAYVRAACEASLKRLQLDCVDLYYQHRIDTKVPIEVTMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPVTAVQLEWSLWSRDVEEEIVPTCRELGIGIVAYSPLGRGFLSSGPKLVESFTEGDFRKHLPRFQPENLDHNRQLFERVNEISARKQCTPSQLALAWVHHQGDDVCPIPGTTKIENFNQNVGALSVKLTPEEMAELESIASAGAVKGDRYEGSMFTYKDSDTPPLSSWKAT >Potri.007G036300.1.v4.1 pep chromosome:Pop_tri_v4:7:2841398:2843532:1 gene:Potri.007G036300.v4.1 transcript:Potri.007G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036300.v4.1 MATIIYTLALVLFVVDLSYFGKVACDNSALGASFIFGDSLVDAGNNNYLPTLSKANIKPNGIDFKASGGNPTGRYTNGRTIGDIVGEELGQPNYAHPFLSPNTTGKAILYGVNYASGGGGIMNGTGRIFVNRLGMDVQIDYFAITRKQFDKLLGASQARDYIMKKSIFSITVGANDFLNNYLLPVLSIGARISESPDAFIDDMLSHFKGQLTRLYKMDARKFVIGNVGPIGCIPYQKTINQLSENECVGLANKLAVQYNGRLKDLLAELNENLPGATFVLANVYDMVMELITNYEKYGFTTSSRACCGNGGQFAGIIPCGPTSTLCEDRSKHVFWDPYHPSEAANVIIAKKLLDGDTKYISPVNLRQLRDL >Potri.017G114800.1.v4.1 pep chromosome:Pop_tri_v4:17:12241178:12246057:1 gene:Potri.017G114800.v4.1 transcript:Potri.017G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114800.v4.1 MSLPPAKLSSGRSFFEGCAWFQGKGWRRQGREKAAAAMASRPVRFPEIDDELRKIIDANMDEVPARKRAREAFKDIQLGIDHILFKTPCDGLKMEESYEVNSRGLEIFTKSWLPKSSSPKAVVCFCHGYGDTCTFFVEGIARKLASSGYGFFAMDYPGYGLSEGLHGYIPSFDRLVDDVIEHYSKVKEKPEFRTLPSFLFGESLGGAVALKVHLKQPNAWNGAILVAPMCKIADDMTPPWLVTQILIGVANLLPKHKLVPQKDLAEAAFRDPKNRKLAAYNVIAYKDKPRLKTALEMLRTTQEIERRLEEVSLPLLILHGEADIVTDPSVSKTLHEKACCSDKKLKLYKDAYHALLEGEPDEMIIQVFNDIISWLDERSRETNSC >Potri.001G117500.1.v4.1 pep chromosome:Pop_tri_v4:1:9546253:9552280:1 gene:Potri.001G117500.v4.1 transcript:Potri.001G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117500.v4.1 MAVIRTTTNMILKQVFLNHRLIPPLSVTRTLSNLHWASLEVPNRQISSCRIKCAASDDKKVSARLSQVHQLLQEAEERASAVGNEPTPKITRGHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKKAYWLSDRIRERIMQMEKNRINKDGEIVISSTKTRTQTGNIDDALEKLQAVIDAASYVPPPPSEEQKKKIAKLASIGEQKRLRSKKVLSDKKAFRRSRDSWD >Potri.016G011300.1.v4.1 pep chromosome:Pop_tri_v4:16:556290:562978:-1 gene:Potri.016G011300.v4.1 transcript:Potri.016G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011300.v4.1 MKGLFKSKPRTPVDIVRQTRDLLIYADQSSASLSDSKREEKMAELAKNIRELKSVLYGNSESEPVSEACAQLTQEFFRENTLRLLIFCLSQLNVEARKDATQVVANLQRQQVNSRLIASGYLEKNTDLLDTLIAGYENIDMALHYGVMLRECIRHQAR >Potri.008G098600.1.v4.1 pep chromosome:Pop_tri_v4:8:6162933:6170480:1 gene:Potri.008G098600.v4.1 transcript:Potri.008G098600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G098600.v4.1 MEATIGGKSRHFYGPVVSDLKAVGKRSLEWDLNDWKWDGDLFKASPLNSAPSDCRSRQLFPTGPVLHENAGLWNSSSSCSDDNDNLGDEKGKRELEKRRRVVFVEDENLNNEVGSLNLKLGEQVYPLMDEDAKSGKKTKVTMTASNRAVCQVEDCRADLSNAKDYHRRHKVCNAHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTHPENLVNEGSLNDEKGSSYLLISLLRILSNLHSNGSDQTKDQDLLSHILRSLADLAGATNGRSLSESLQGSQGLANARAIVGNLDKAHDALTNGPESARPSSSASKKDDCIISQDLLRPLGQCGTVPISDLVQKRILDNDAQVGTLQAPSGSQSITLFPSRNNLPAKTNEPEATVGRIKLNNFDLNNAYDDSQHSVENLERSHAPVDTGMGSFSCPLWVWSDSQKTSPPHTSGKSDSTFSQSPSSSSGEAQIRTDRIVFKLFGKDPNDFPVALRTQILDWLSHSPTDIESYIRPGCIVLTIYLCLEKSKWEEVCLDLGASLSRLLNTSSDSFWQTGWVYVRVQNCVSFIYNGRVVLDTPLPIKSHKNCRISSITPIAVSLSERTQFVVRGFDIAQPMTRLLCAVEGKYLVQETCYDLMDGADTMNELDKPQYLNFQCSVPNFVGRGFIEVEDHGLSSSFFPFIVAEPEVCSEIRMLEDAIQVAETATDMHTIAERMDIKNQALDFIHEMGWLLHRSRLKFRLGQLDPNLDLFPFKRFKWLIQFSMDHDWCAVVRKLLAVVFDGTVDAGEHSSIELALLDMGLLHRAVRRNCRPMVELLLRYIPDKKFGGTGTQQNQLVDGRNSRFMFKPDVVGPAGLTPLHVAACRDGAENVLDALTDDPGLVGIDAWKRARDSTGLTPYDYACLRGHYSYIHLIQRKINKKSESGNVVLDIPSSLVDCNSKQKDGNELPKVTSLHTEKIKMKATHQHCKLCEQKLVCGAARTSLVYRPAMLSMVAIAAVCVCVALLFKSSPEVLYVFQPFRWELLKYGSS >Potri.002G226300.1.v4.1 pep chromosome:Pop_tri_v4:2:21501114:21501745:-1 gene:Potri.002G226300.v4.1 transcript:Potri.002G226300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226300.v4.1 MKLFQLCLIVALLIVFGSSPRRSHAIRGSSSAPSTSQQVFRSPFSPSPFAQRAEEFASQKRRVPAGPNPLHNKR >Potri.006G067200.1.v4.1 pep chromosome:Pop_tri_v4:6:4917105:4918587:-1 gene:Potri.006G067200.v4.1 transcript:Potri.006G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067200.v4.1 VPHNKSYYENWVGGKKFEVGDSLEFIWSIVPHNVLEVTTKTEYDSCVKTNGTPEDTSPATFDLTKNGTYYYICTIGSHCDSGQKVTIVVGNGSSSSPPISMPNNHAALSAVLSTITIVAASLVHLCSFLLY >Potri.019G077500.1.v4.1 pep chromosome:Pop_tri_v4:19:11830042:11838077:-1 gene:Potri.019G077500.v4.1 transcript:Potri.019G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077500.v4.1 MKKKKEPLGRTKVVIRHLPPSLSQSNLFSQFDHLFCHRYNWFRFRPGNSSHKSQRYSRAYIDFKNPEDVLEFAGFFHGHVFVNEKGSQFKAIVEYAPCQHVPKSCPHKDSREGTIYTDPDYLEFLKLIAKPARNPPSAEIKLERKEAEESEAVKGAPISTPLMEFVRKKRADKGVQGSAVVKNRKRAGSASLTNSGSSNAKQGSGKKRYILKDSTKNSNWKGKSIIIMARKQEGLPTTSGRKEISEVESISGVEGSASKISLDAESGRKINLLLDGKKREISLESEGPLHQLGLTSNFGSSVSTAAKQYQRHEASERLIKSILPSKAASAKKKFQNLEVENDKQPIQPKTTQVGLSGHVPYKEPLASMSDNDVKSSSYDKLVKKDQHDPGSHFEKQEKREKHTRNKDRPCRGVWTPVRNCPSKHANAEHLTSSILQSEVHSDSVRETHGEVKDGTQYRDHNQGSAGSPSGSNNSSAENVSRRDFGRRVTAHNIMLDSSPSATGGKFSKKGGHAGYTAQEKQVWVQKS >Potri.002G258400.1.v4.1 pep chromosome:Pop_tri_v4:2:24660980:24665200:-1 gene:Potri.002G258400.v4.1 transcript:Potri.002G258400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258400.v4.1 MSSLHNPSMAASQKLYVALFHVLLLSLFPLKAKSSARTQAEALLQWKSTLSFSPPPLSSWSRSNLNNLCKWTAVSCSSTSRSVSQINLRSLNITGTLAHFNFTPFTDLTRFDIQSNNVNGTIPSAIGSLSKLTHLDLSANLFEGSIPVEISQLTELQYLSLYNNNLNGIIPFQLANLPKVRHLDLGANYLENPDWSKFSMPSLEYLSFFLNELTAEFPHFITNCRNLTFLDLSLNKFTGQIPELVYTNLGKLEALNLYNNSFQGPLSSNISKLSNLKNISLQYNLLSGQIPESIGSISGLQIVELFSNSFQGNIPSSIGHLKHLEKLDLRMNALNSTIPPELGLCTNLTYLALADNQLSGELPLSLSNLSKIADMGLSENSLSGEISPTLISNWTELISLQVQNNLFSGNIPPEIGKLTMLQYLFLYNNTFSGSIPPEIGNLKELLSLDLSGNQLSGPLPPPLWNLTNLQILNLFSNNITGKIPSEVGNLTMLQILDLNTNQLHGELPQTISNITSLTSINLFGNNLSGSIPSDFGKYMPSLAYASFSNNSFSGELPPELCRGLSLQQFTVNENSFTGSLPTCLRNCSKLTRVRLEENRFTGNITNAFGVLPNLVFVALSDNQFIGEISPDWGECKNLTNLQMDGNRISGEIPAELGKLPQLQVLSLGSNELTGRIPAELGNLSKLFMLNLSNNQLTGEVPQSLTSLKGLNSLDLSDNKLTGNISKELGSYEKLSSLDLSHNNLAGEIPFELGNLNSLQYLLDLSSNSLSGAIPQNFAKLSRLETLNVSHNHLSGRIPDSLSSMLSLSSFDFSYNELTGPIPTGSVFKNASARSFVGNSGLCGEGEGLSQCPTTDSKSSKDNKKVLIGVIVPVCGLLVIATIFSVLLCFRKNKLLDEETKIVNNGESSKSVIWERESKFTFGDIVKATDDFNEKYCIGRGGFGSVYKAVLSTGQVVAVKKLNMSDSNDIPATNRQSFENEIKMLTEVRHRNIIKLYGFCSRRGCLYLVYEHVERGSLGKVLYGIEGEVELGWGRRVNTVRGVAHAIAYLHHDCSPPIVHRDISLNNILLETDFEPRLADFGTARLLNTDSSNWTAVAGSYGYMAPELAQTMRVTDKCDVYSFGVVALEVMMGRHPGDLLSSLSSMKPPLSSDPELFLKDVLDPRLEAPTGQVAEEVVFVVTVALACTQTKPEARPTMHFVAQELAARTQAYLAEPLNSITISKLRSFQK >Potri.001G024401.1.v4.1 pep chromosome:Pop_tri_v4:1:1856017:1856794:1 gene:Potri.001G024401.v4.1 transcript:Potri.001G024401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024401.v4.1 MAKNFVMLSTEDVFLMTSSAHPARSLFEQCYELQPTFQLLLQGPDHLALNFGEVSTYTQRQVEDTGHPCFVSSVEEVGHIVMDKMNQLSQVEEQSKEAC >Potri.016G012500.1.v4.1 pep chromosome:Pop_tri_v4:16:666033:667712:1 gene:Potri.016G012500.v4.1 transcript:Potri.016G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012500.v4.1 MSKIPHEIITDIFQQLPVKSLLRFRSLSKPICSLIDGPDFIKFHLYHSITAKSNHSVILKEWDLFTVDFDTLSDAVEVKHHPLYAAGGTEVIGSVNGLVFLRHSERNLAVYNLSTREWKKCFVVEIKPPRRDLITGYVYYGFGYDSVGDDYKVVRMAQFVREDEGGGGGGGYGDGGGGLGCEYEVRVYSLKNDKWKKIEDLPICLKLLSKQFFHVLHRRGYGVFAGHALHWIIPQRRQLGIRDCVLGFDIRNDKFFELPQPNYESKGMSFQVDVGVLEGNLCVMCNYEYVCVDVWVMREYGMKESWCKMFSVQGIKWIGAFMFLRPLIYSKDGGKVLLEVNDEKLVWYDWKNKHAKVVKIRGGPNSYGSEMYVESLVRINDGDRNGWKKQQEIDEEEEKRKADRKKRDNFLSVGFKLKL >Potri.013G011000.1.v4.1 pep chromosome:Pop_tri_v4:13:701604:704013:1 gene:Potri.013G011000.v4.1 transcript:Potri.013G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011000.v4.1 MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTGREVLAILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNESFRLLYDTKGRFRLHSLRDDEAKFKLCKVRSVQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLENNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGTFETIHVQDATGHEFATRLGNVFTIGKGTKPWISLPKGKGIKLSIIEEAKKRLAASQAAA >Potri.005G086200.1.v4.1 pep chromosome:Pop_tri_v4:5:5971564:5975461:1 gene:Potri.005G086200.v4.1 transcript:Potri.005G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086200.v4.1 MQSRFTFLATRTLKSTTTKAKNRTFSSSTVESSTKQPPQFSQTLAGLRARLAVESPTLSDFIHLQSNNTYSVEVGTKKKPLPKPKWMREAIPGGEKYVQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPTNVAEAIASWGLDYVVITSVDRDDLADQGSGHFAETVHKLKTLKPNMLIEALVPDFRGDRGCVEKVAKSGLDVFAHNIETVEELQSSVRDHRANFKQSLDVLMMAKEYAPPGTLTKTSIMLGCGEAPEQVVKTMEKVRAAGVEVMTFGQYMRPSKRHMPVSEYITPDAFEKYKTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRSVSSQLPIS >Potri.017G004701.1.v4.1 pep chromosome:Pop_tri_v4:17:314921:316572:1 gene:Potri.017G004701.v4.1 transcript:Potri.017G004701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G004701.v4.1 MLMGLLYLEGLLMARVLDCGLARIPARVARPRGATSLEFSNSNNIAITGLASLNSQLFHIVINGCQNVKVQGVKVSAAGNSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSSLWIESVACGPGHGISIGSLGKESQEAGVQNVTVMTTTFTGTENGLRIKSWGRPSNRFARDILFQHAVMNNVQNPIVIDQNYCPGEKNCPGQVSGVKISDVTYQDIHGSSATEVAVKFDCSKKYPCTGIKLEDVKLTYKNQPAEASCSNAGGVASGLVQPTSCL >Potri.007G003800.1.v4.1 pep chromosome:Pop_tri_v4:7:270111:273016:1 gene:Potri.007G003800.v4.1 transcript:Potri.007G003800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003800.v4.1 MGATGGDDHQAAGMFSVTVTEKEVVAAVLPLHEHWLPLSNLDLLLPPLDVGVFFCYKRPTSSTLTISSSSPATNSYNFRSMVGVLKKAMAQVLVSYYAFAGEVVANSVGEPELLCNNRGVDFFEAVADIELMDLNLYNPDDSIEGKLVPRKKNGVLAVQATQLKCGGLVVACTFDHRIADAYSANMFLVSWAETAQSKPISLLPSFRRSLLNPRRPSCVDASLDNMYVPVSTLSPPKEPAPDADQLVSRIYYVKADDLNQLQSLASSKGNKRTKMESFSGFLWQLVAKYCAIKDDDDDDDNINNACKKISKMGIVVDGRTRLSSDLERGDVMEAYFGNVLSIPYGGKTVRELIENPLSWVANEVHDFLENAVTKEHFLGLIDWVEARRPEPAVAKIYCGGGDSDGPAFVVSSGQRFPVSKVDFGWGVPLFGSYHFPWGGTAGYVMPMPNPAGNGDWMVYMHLFRGQLEFIETEASNFLRPLTCNYLRCLSASSN >Potri.006G247900.1.v4.1 pep chromosome:Pop_tri_v4:6:24770818:24775834:-1 gene:Potri.006G247900.v4.1 transcript:Potri.006G247900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247900.v4.1 MQQQHDDDTNKVMRFEEEELQSARASVETLTANLDNLNQRKADVLNNLEQLRERINKEGDATYTGVQKLVPLLKSLKDLESQESVLQSDYDVKRTELEAEVCNLEEKIAAGMDSEGLCKDLDCLLSESLEKLNAAKKELAARLRAVMSVKRKLGEVPTQSELIQYERGFSDVNDHIQEKHRQTRKYYATYNTLLEIKELMLKETSLLNSISSQFQDAITTTNGCTKLIASMEGIVKGSQQKLQKVELGLQEEQKVSDALKKRYAAAIAEQRRCYSLLKAFQEECAKNERLRGQTSV >Potri.006G051600.1.v4.1 pep chromosome:Pop_tri_v4:6:3577329:3578239:1 gene:Potri.006G051600.v4.1 transcript:Potri.006G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051600.v4.1 MEPEPEPDNKPPSPPPKRRSICHKCDRPIPVCLCHVIPTPPIPTRTQIIIIQHPHESHHKLNTTPLLTKSLLNSSSIITRRLTSNTLINEPPSSTYYLFPPSQSSPAVTLSDLKTALKNNTPPVLIVFDATWKHAKEMVSASEGYLSRFATRVCLDGFDGGIEGGSIYDSDLVLRKEPCGGCVSTLEAVARWLGVVEENGLEIEDRLVGLLKEMVRLQAQFLKPMRPRPKLLKKSKQIEQGKNSSDA >Potri.011G162200.1.v4.1 pep chromosome:Pop_tri_v4:11:18695992:18697036:1 gene:Potri.011G162200.v4.1 transcript:Potri.011G162200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162200.v4.1 MANHLLVIASLLVIACAMVTAFEPSPLQDFCVADPTSSAKVNGLACLDPKMVQANHFSFSGLHIPGNTSNSLGSAVTPVFVGQLPGLNTLGISMARIDYAPWGLIPPHTHPRATEILTVLEGKLLVGFVTSNPENRLITKVLEKGDVFVFPIGLVHFQRNVGHGSAFSISALSSQNAGVVLIPNTLFGSTPSIPGDILARALQVDTSVIEKLQAQF >Potri.002G133000.1.v4.1 pep chromosome:Pop_tri_v4:2:10022591:10024352:-1 gene:Potri.002G133000.v4.1 transcript:Potri.002G133000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133000.v4.1 MASGFGESTSRAPHNSSFTSNNGNGDAGNFECNICFDLAQDPIVTLCGHLFCWPCLYKWLHFHSKSRECPVCKALVEEEKLVPLYGRGKTSTDPRSKSIPGVNIPNRPAGQRPETAPPPEPNHFGQHGFGFMGGLGGFAPMATARLGNFTFSAAFGGLIPSLFNLQVHGFPDAAMYGPAGYPYGFNSFHGGHPHGYRQHHGQGQQDYYLKRLLLFIGFCVFLALIWQ >Potri.006G243600.2.v4.1 pep chromosome:Pop_tri_v4:6:24475922:24477928:1 gene:Potri.006G243600.v4.1 transcript:Potri.006G243600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G243600.v4.1 MEFHLTRILAFLSFALVASHAALPPELYWNSVLPNTPMPKSVRDLLHPDLVEDKSTSVAVGKGGVNVDAGKGKPGGTAVNVGKGGVSVDAGKGKPGGTNVNAGKGGVNVDAGKGKPGSGTHVSVGGKGVGVAAGKPGKRTHVGVGKGGVSVSTGHHGKPVIVGVRPGPGPFNYIYAATETQLHDDPNVALFFLEKDMHPGKIMNLQFTENTNTATFLPRQVADSIPFSSDKLPEIYSEFSVKPGSMEAAEMENTIKECESPGIKGEEKYCATSLESMIDFSTSKLGKNVQAISTEVDNQTKMQKYTIKTGVKKVAGDKSVVCHKQNYAYSVFYCHATQTTRAYTVPLEGDDGTKAKAVAVCHTDTSAWNPKHLAFQVLNVKPGTVPVCHFLPQDHVVWFSN >Potri.005G171300.4.v4.1 pep chromosome:Pop_tri_v4:5:17558295:17563217:-1 gene:Potri.005G171300.v4.1 transcript:Potri.005G171300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171300.v4.1 MPQLTELSRVDPRIWRACAGSSVQIPTINSRVYYFPQGHLEQSSSSTAPHPPFLSNLALSKPLISCQISAVDFLADPVTDEVFIRLLLLPLNNHSCNLPLSFLEPSRSEGGGVNDVDDDENKILAFAKILTPSDANNGGGFSVPRFCADSIFPPLNYQAEPPVQTLTVTDIHGISWDFRHIYRGTPRRHLLTTGWSKFVNNKKLIAGDSVVFMRNLKGEMFIGVRRAVRLNNSARWREQIAGGGGEGKVKVEEGFSMSGRGRLSQEAVAEAVEMAAKGLPFDVVYYPRAGWYSDFVVRAEAVEAALGVFWTAGMRVKMAMETEDSSRMTWFQGTVSGTGLPDSGAWRGSPWRMLQITWDEPEVLQNAKRVSPWQVEFVATTPQLQAAFPPMKKLRYPNDSRFLTDGELFFPMSDLTNSTMGHTNASMLNYSTFPAGMQGARQDPFSTFGLSNFISENAPQVFSDRAFGNNLVPKMKRMPSEMNIGSLQSENLSPESQSSAYSFGIGFVGNRGFNPKKVGINSIQLFGKIIHMDQPVENGFDDVGFMDDSSKCCNETEGVDALELSLTSSYTELLNRIDAQCQRASPVEACPT >Potri.005G171300.5.v4.1 pep chromosome:Pop_tri_v4:5:17558244:17563172:-1 gene:Potri.005G171300.v4.1 transcript:Potri.005G171300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171300.v4.1 MPQLTELSRVDPRIWRACAGSSVQIPTINSRVYYFPQGHLEQSSSSTAPHPPFLSNLALSKPLISCQISAVDFLADPVTDEVFIRLLLLPLNNHSCNLPLSFLEPSRSEGGGVNDVDDDENKILAFAKILTPSDANNGGGFSVPRFCADSIFPPLNYQAEPPVQTLTVTDIHGISWDFRHIYRGTPRRHLLTTGWSKFVNNKKLIAGDSVVFMRNLKGEMFIGVRRAVRLNNSARWREQIAGGGGEGKVKVEEGFSMSGRGRLSQEAVAEAVEMAAKGLPFDVVYYPRAGWYSDFVVRAEAVEAALGVFWTAGMRVKMAMETEDSSRMTWFQGTVSGTGLPDSGAWRGSPWRMLQITWDEPEVLQNAKRVSPWQVEFVATTPQLQAAFPPMKKLRYPNDSRFLTDGELFFPMSDLTNSTMGHTNASMLNYSTFPAGMQGARQDPFSTFGLSNFISENAPQVFSDRAFGNNLVPKMKRMPSEMNIGSLQSENLSPESQSSAYSFGIGFVGNRGFNPKKVGINSIQLFGKIIHMDQPVENGFDDVGFMDDSSKCCNETEGVDALELSLTSSYTELLNRIDAQCQRASPVEACPT >Potri.005G171300.3.v4.1 pep chromosome:Pop_tri_v4:5:17558258:17563263:-1 gene:Potri.005G171300.v4.1 transcript:Potri.005G171300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171300.v4.1 MPQLTELSRVDPRIWRACAGSSVQIPTINSRVYYFPQGHLEQSSSSTAPHPPFLSNLALSKPLISCQISAVDFLADPVTDEVFIRLLLLPLNNHSCNLPLSFLEPSRSEGGGVNDVDDDENKILAFAKILTPSDANNGGGFSVPRFCADSIFPPLNYQAEPPVQTLTVTDIHGISWDFRHIYRGTPRRHLLTTGWSKFVNNKKLIAGDSVVFMRNLKGEMFIGVRRAVRLNNSARWREQIAGGGGEGKVKVEEGFSMSGRGRLSQEAVAEAVEMAAKGLPFDVVYYPRAGWYSDFVVRAEAVEAALGVFWTAGMRVKMAMETEDSSRMTWFQGTVSGTGLPDSGAWRGSPWRMLQITWDEPEVLQNAKRVSPWQVEFVATTPQLQAAFPPMKKLRYPNDSRFLTDGELFFPMSDLTNSTMGHTNASMLNYSTFPAGMQGARQDPFSTFGLSNFISENAPQVFSDRAFGNNLVPKMKRMPSEMNIGSLQSENLSPESQSSAYSFGIGFVGNRGFNPKKVGINSIQLFGKIIHMDQPVENGFDDVGFMDDSSKCCNETEGVDALELSLTSSYTELLNRIDAQCQRASPVEACPT >Potri.002G262700.1.v4.1 pep chromosome:Pop_tri_v4:2:25011987:25013768:1 gene:Potri.002G262700.v4.1 transcript:Potri.002G262700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262700.v4.1 MRASLKGRYENDKSTGAATVAFNTGDVKLRASVTDATFVNGPSLNGLSLAVEKPGFFIVDYNVPKKDFRFQFMNAVKVVDKPLNLTYIHSRGDKRTILDGTLVIDSANKISANYMVGTENCKLKYTYVHRGLMTFEPCYDLAKNSWDFAVSQKVYSDDVLKATYQTSSKNLGLEWSTNSKLNGNFKFSASVNLAEESKMPKLSAESMWNFEM >Potri.001G206700.1.v4.1 pep chromosome:Pop_tri_v4:1:21076046:21082367:-1 gene:Potri.001G206700.v4.1 transcript:Potri.001G206700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206700.v4.1 MWAIWTSAFFLVFHLVVVRVSSNVEGDALNALKTNLLDPNNVLQSWDATLVNPCTWFHVTCNNENSVTRVDLGNANLSGQLVTQLGVLSNLQYLELYSNNITGQIPAELGNLTNLVSLDLYLNNLTGPIPQTLGKLQKLRFLRLNNNTLSGTIPVNLTTVTTLQVLDLSNNQLTGDVPVNGSFSFFTPISFANNKLNASQAPPPPPLSPPSRGPSNGNSATGAIAGGVAAGAALLFAAPAMVLAYWRKRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSHKNILGRGGFGKVYKGRLTDGSLVAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERSESQSPLEWPIRKQIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLEMLVDADLTGNYIDDEVENLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKEEVFRQEFNHTHHAHTNWIVDSSSHIPPDELSGPR >Potri.001G212200.1.v4.1 pep chromosome:Pop_tri_v4:1:21805321:21806473:-1 gene:Potri.001G212200.v4.1 transcript:Potri.001G212200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212200.v4.1 MRSGRRTNKWSSVEEPSKEDPKEDAASAGDQGKKLGPKKVKRRRQGRIRHKKGNGRLGGKRREKGEQQRVVLDKRYVFKVLKQVHPDLGISSMAMSMINSLINDMFERIAEEAAKLSDYRKRTTLSSGEIQGAVKLVLPGELGKHAIAEGSEAGPNYIYIAWD >Potri.012G112700.1.v4.1 pep chromosome:Pop_tri_v4:12:13184742:13187257:-1 gene:Potri.012G112700.v4.1 transcript:Potri.012G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G112700.v4.1 MYMLVNCSNCHTLLQHPPGANSICCAICHAITYIADPRSAPPPPARSYSSSSHYQHYPPPPHPFQVVPSPFTHAPHGPPPAVHGTKRAVICAVSYKNTKNELKGCINDAVCIKYLLVNRYNFPESSIIMLTEEETEPYRQPTKFNMRLALSWLVQGCQPGDSLVFHFSGHGSQQKDYNGDELDGYDETLCPTDFETQGMIVDDEINAVIVKPISHGVKLLAIIDACHSGTVLDLPFLCRMDRSGKYVWEDHRPRSGVWKGTSGGEVISFSGCDDDQTSADTSALSKITSTGVMTYSFIQAIERGHGTTYGSVLNAMRATIRKTTNEHGGGIVTTLISMLLAGGNFSGGITQEPQLTASEPFDVYSKPFSL >Potri.012G106200.4.v4.1 pep chromosome:Pop_tri_v4:12:12815498:12821786:-1 gene:Potri.012G106200.v4.1 transcript:Potri.012G106200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106200.v4.1 MVKENEVAAMEIEGPKPNNSDQINPRYSINVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRRAMNESTVTEVRYLHLVLYTAERAWSHAMEKRQLLDGPNAKQRIYLIGRLRKAVKWADLFSRLCAAKGDSRTSLEAEAYASCMNGLLLFEQDRNWDTALKNFISARAVYEELGKYGDLENQVLCRERVEELEPSVRYCRHKIGESNLKTSELLQIGEMEGPALDLFKAKLEAAMAEARSQQAASLTEFRWLGHRFPISNAKTRVSILKAQELEKDLHGPAADSLPVEKKLAVFDKIYTAYQEARSFIRSDLASAGNADSVKDDLNGLDKAVGAVLGQRTIERNQLLVSIAKSKLARHRDDKNEKVTKPEELVRLYDLLLQNTGDLSDLVSSGRDRKPEEVAFSEDCVLRSMAFRAQRCFYLAKSYSSAGKRTEAFALYCHARSLAENALKKFQTMMDTDEAMTKELKTLYNECRANSCIEHATGIMEDEKAPENLSKKISTISLSGVDKKAEKFLVEKLDVYESAVGEPNVKSVPRIGVFPPAFQSIPRNPIVLDLAYNCIDFPSLENRMKKDKKGFISRLWR >Potri.016G092700.6.v4.1 pep chromosome:Pop_tri_v4:16:7731200:7743492:-1 gene:Potri.016G092700.v4.1 transcript:Potri.016G092700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092700.v4.1 MGSERSVMLINRLFLLNFLLVWQLGCGTITFNDSIEEVARSRSIPDDEVRALRLLSQNLQSSSTQLPLASSTQLSLSFPICSDNQYAEIKCGSPDNTKNGSFGWVTEINLPGKKLDGYIHNSIGRFKNLKKLNLYNNQLSGGIPSTLGQLQHLKYLYLSSNSLTGSIPPSLTMLRNLTVLDLSSNDLDGTIPSNLTGLQSLRILYLSRNKLTGPIPDSIRYCQNLTDIYADRNFLNGTINKSLGTLSSLESLGLYYNSLSGGIPKELGKLSALQYLALDGNDLHGELPKELGNLTNLRILYLTANNFNGTIPTTYAKLTNLEVFAVSGNYLSGPIPAYIGKWVNLNDLVLIGNNFSGNLPAETFSLTKLQTLWVSDVNNPGISFPEEVIPEPKYLSSVVLRNCNINGPIREYIGKWPELSYLDLSFNNLNGSIPETFQKLTKLFLTRNMLTGLPSWITNPKKSKNSRPTVDLSYNNFNVSCKNIKCLGLQNVTIHPTRSFIDEMKSKKCRRKHNSLFINSGGEDVYYGKDHYHNDTSISSFNLSPSDDWAYSYAGDIDASASVINSTCEFTSAKADIDNNFRLAPVSLTYYGLCLRKGEYIVTLYFAEALYSKSEDYSTSGKRVFDIYIQGTNVRPDVNIKEIYGKEHEGKQLNFSVKINDGSLEIQLFWAGKGSLYGPARNGPLISAVSITRVPRKLYPWEIALIIGCCILFLLLLLAFMWRMGWIGDREFRETKVKIGERTFTLKQIIHATKKFSPKMQLGSGRSGIVYRAELPDLTVAVKKLFTHSKAVDEIGSEVYARKALDLKHENLVNLIASYSRRHLILLIYEYMEHGSLGQVLFGTNPTVQIDWQKRFTICRGIAKGLKYLHERNPPIIHRNIKANNILLDASCNPKISDFGLAKLYEEENPYIAIGAGGDLLYMSPEYATRRAMTVKVDVYSFGILLLEIVSGRNNADYRANQETVFLLDTAGNLNARGRLGDLVDPSLRTYDWDQAKIVLNLAMMCTDQSPSLRPTMSQVVAVLEGEKTLEDLSKEIAPST >Potri.017G040132.1.v4.1 pep chromosome:Pop_tri_v4:17:2671299:2672036:1 gene:Potri.017G040132.v4.1 transcript:Potri.017G040132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040132.v4.1 MYLSRSIPLFFLLFSLLLHVAICDDPLYHFCFSQENYTGAYNNPYRGNLNDLLLLLSAKVPPTGFGLGSIGQGRNRVNGLALCGGDVSSTKCKTWITDAGKETRERCPDKKGAIIWYDNCMVKYSTYADTKFYATGELDLVASKKLHGLAQCTRDLSSTDCKKCLDVAVSGLPSCCDGKRGGRVVGGSCNVRYELYPFVENW >Potri.006G254700.1.v4.1 pep chromosome:Pop_tri_v4:6:25246805:25249177:-1 gene:Potri.006G254700.v4.1 transcript:Potri.006G254700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254700.v4.1 MPRPGPRPYECVRRAWHSDRHQPIRGSLIQEIFRLVNEAHSSTTKKNKEWQEKLPVVVLKAEEIMYSKANSEAEYMELKTLWDRTNDAINTIIRRDESTEIGELLQPCIEAALNLGCTPRRASRSQRNCNPSFYLSPSTQEPNTLSSGSVHSAIQANRTSNSHVLPNYSSMVKPIIMNSTPPGSESQDFVGQSNGTSNRFLFIDDSIPLSNANQCLPLGNYRIPSLCSVYPLYYGCCLEPQRGCGALPKTFPGTMEPVKVAVMQNFFPCNEDTPVKTCHADHKDSPLQPQEIGCDLSLRLGSLPAPMLSVKTKQLKDAKDGGHDCSQEGGKVDDWMPQVDKELPFFTRVNVADPLVSHSSKSREHVNIDETKKKRKAVLDHHVEDQFCWQPKLHCNQLTCRMKSAGS >Potri.009G130600.1.v4.1 pep chromosome:Pop_tri_v4:9:10665434:10668489:1 gene:Potri.009G130600.v4.1 transcript:Potri.009G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130600.v4.1 MSYPDSHDSFMSPQASYQAGSDAIGVWPQFVMNNIEQFEHHQPPYKRPRNSEDNPNQSVNSRTPPTNNLPVHKGATNIFFKTRVCAKFKTGTCRNGENCNFAHGMQDLRQPPPNWKELVGVSVSSEEDRSTATNWEDDQRIIHKMKLCKKFYNGEECPYGDRCNFLHEDPSKFREDTGRFRESSAISIGTTGQALGHGSGVFNAAEVNRPANNAVSDASRSNIIKPVYWKTKLCTKWEITGQCPFGEKCHFAHGLAELQAPGGRTEVEAGNAGSVLTKAPPPLLPNNVSPSMTVNVPSLIEEEQGKKCLLKWKGQKKINRIYADWLDDLPLVHNLTNQVQS >Potri.009G130600.2.v4.1 pep chromosome:Pop_tri_v4:9:10665576:10668428:1 gene:Potri.009G130600.v4.1 transcript:Potri.009G130600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130600.v4.1 MSYPDSHDSFMSPQASYQAGSDAIGVWPQFVMNNIEQFEHHQPPYKRPRNSEDNPNQSVNSRTPPTNNLPVHKGATNIFFKTRVCAKFKTGTCRNGENCNFAHGMQDLRQPPPNWKELVGVSVSSEEDRSTATNWEDDQRIIHKMKLCKKFYNGEECPYGDRCNFLHEDPSKFREDTGRFRESSAISIGTTGQALGHGSGVFNAAEVNRPANNAVSDASRSNIIKPVYWKTKLCTKWEITGQCPFGEKCHFAHGLAGTFCCLCCISSLYLTCVFHFSFSLAC >Potri.004G021300.1.v4.1 pep chromosome:Pop_tri_v4:4:1518774:1519283:-1 gene:Potri.004G021300.v4.1 transcript:Potri.004G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G021300.v4.1 MADTEHSSSDETSVDSREETSQESKLEFSEDEETLIIRMFNLVGERWSLIAGRIPGRTAEEIEKYWNTRCSTSE >Potri.012G036400.1.v4.1 pep chromosome:Pop_tri_v4:12:3280556:3284499:1 gene:Potri.012G036400.v4.1 transcript:Potri.012G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036400.v4.1 MQFQGLLFTGCYSNLLVADRRYNLTVNPSFTLKNKSSSVRAHAALKLGHIQRSNSTSTCARGLLWRWGVSKVISIYLLCCLNLVALAQDYPSLSTSSYECEDVSSYYASVKGLEGKALKKKLHSVISKHQSLSYKEVWDALKFLDATNVDRPQASSGIVEIYSLRAVSKNLAGKPEGWNREHLWPRSYGLISGPSLTDLHNIRPADVNEFHAVNSSRGNKYYGECNKKSIRCLKPANKEAAFDTETDKERWTPPTKVRGDIARAIMYMAVCYGLHQPGGQNLHLSDSPSIENREMGLLSTLLKWNEVDPPSREEKLRNDRVCKFYQHNRNPFVDHPEYVSLIWKRVTPTHQNRHFPAKKEIMK >Potri.012G036400.5.v4.1 pep chromosome:Pop_tri_v4:12:3280456:3284574:1 gene:Potri.012G036400.v4.1 transcript:Potri.012G036400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036400.v4.1 MQFQGLLFTGCYSNLLVADRRYNLTVNPSFTLKNKSSSVRAHAALKLGHIQRSNSTSTCARGLLWRWGVSKVISIYLLCCLNLVALAQDYPSLSTSSYECEDVSSYYASVKGLEGKALKKKLHSVISKHQSLSYKEVWDALKFLDATNVDRPQASSGIVEIYSLRAVSKNLAGKPEGWNREHLWPRSYGLISGPSLTDLHNIRPADVNVRVPCSKFISGKQILRRMQ >Potri.012G036400.7.v4.1 pep chromosome:Pop_tri_v4:12:3280551:3284452:1 gene:Potri.012G036400.v4.1 transcript:Potri.012G036400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036400.v4.1 MQFQGLLFTGCYSNLLVADRRYNLTVNPSFTLKNKSSSVRAHAALKLGHIQRSNSTSTCARGLLWRWGVSKVISIYLLCCLNLVALAQDYPSLSTSSYECEDVSSYYASVKGLEGKALKKKLHSVISKHQSLSYKEVWDALKFLDATNVDRPQASSGIVEIYSLRAVSKNLAGKPEGWNREHLWPRSYGLISGPSLTDLHNIRPADVNVNSSRGNKYYGECNKKSIRCLKPANKEAAFDTETDKERWTPPTKVRGDIARAIMYMAVCYGLHQPGGQNLHLSDSPSIENREMGLLSTLLKWNEVDPPSREEKLRNDRVCKFYQHNRNPFVDHPEYVSLIWKRVTPTHQNRHFPAKKEIMK >Potri.012G036400.3.v4.1 pep chromosome:Pop_tri_v4:12:3280457:3284452:1 gene:Potri.012G036400.v4.1 transcript:Potri.012G036400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036400.v4.1 MQFQGLLFTGCYSNLLVADRRYNLTVNPSFTLKNKSSSVRAHAALKLGHIQRSNSTSTCARGLLWRWGVSKVISIYLLCCLNLVALAQDYPSLSTSSYECEDVSSYYASVKGLEGKALKKKLHSVISKHQSLSYKEVWDALKFLDATNVDRPQASSGIVEIYSLRAVSKNLAGKPEGWNREHLWPRSYGLISGPSLTDLHNIRPADVNEFHAVNSSRGNKYYGECNKKSIRCLKPANKEAAFDTETDKERWTPPTKVFLHVLNLHAFHQHIIDCYYMLLKQRTQRVTSCAYPGYALGCF >Potri.012G036400.8.v4.1 pep chromosome:Pop_tri_v4:12:3280550:3284452:1 gene:Potri.012G036400.v4.1 transcript:Potri.012G036400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036400.v4.1 MQFQGLLFTGCYSNLLVADRRYNLTVNPSFTLKNKSSSVRAHAALKLGHIQRSNSTSTCARGLLWRWGVSKVISIYLLCCLNLVALAQDYPSLSTSSYECEDVSSYYASVKGLEGKALKKKLHSVISKHQSLSYKEVWDALKFLDATNVDRPQASSGIVEIYSLRAVSKNLAGKPEGWNREHLWPRSYGLISGPSLTDLHNIRPADVNVNSSRGNKYYGECNKKSIRCLKPANKEAAFDTETDKERWTPPTKVFLHVLNLHAFHQHIIDCYYMLLKQRTQRVTSCAYPGYALGCF >Potri.012G036400.9.v4.1 pep chromosome:Pop_tri_v4:12:3280457:3284574:1 gene:Potri.012G036400.v4.1 transcript:Potri.012G036400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036400.v4.1 MQFQGLLFTGCYSNLLVADRRYNLTVNPSFTLKNKSSSVRAHAALKLGHIQRSNSTSTCARGLLWRWGVSKVISIYLLCCLNLVALAQDYPSLSTSSYECEDVSSYYASVKGLEGKALKKKLHSVISKHQSLSYKEVWDALKFLDATNVDRPQASSGIVEIYSLRAVSKNLAGKPEGWNREHLWPRSYGLISGPSLTDLHNIRPADVNVRVPCSKFISGKQILRRMQ >Potri.017G077700.1.v4.1 pep chromosome:Pop_tri_v4:17:8616807:8623614:-1 gene:Potri.017G077700.v4.1 transcript:Potri.017G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077700.v4.1 MASKSIEQIVMLPFMAHGHLIPFLALARQIHQATGFKISIASTPLNIQYLSSTFNSSSDEPENDHIHLLELPFCSTDYGLPPNTENSENLSLDSIGKLFSPSLSLKKPFHSLVSDIAAKQGHPPLCIISDVFLGWATEVASCGAYGTLAYSSLWLNLPHRGRSDSDEFHLPGFPDSCRFHINQLHHFLRNADGTDSWSKFFQSQISLSMQSFGWLCNTAEEFEPAGLEWLRNFVKLPVWAIGPLLPPIVLKNDYSSLSVAASGISTRHASKRPEISVEKCMEWLESHSPASVLYISFGSQNSISPSQMMEPPVGFEPKSEFRAEYLPEGFEERMEKRKQGLLVRNWAPQLEILSHKSTGAFLSHCGWNSVLESLSQAVPIIGWPLAAEQAYNSKMLVEEMGVSVELTRGLQSSIDWKVVKKVIELVMDKKGKGGDMRSKAMVIKEQLRASVRDEGEDKGSSV >Potri.005G149100.1.v4.1 pep chromosome:Pop_tri_v4:5:12627373:12628973:-1 gene:Potri.005G149100.v4.1 transcript:Potri.005G149100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G149100.v4.1 MMAPDNLQAKQAPIDDNQITTSNRKTTTTRPQEEALKCPRCDSPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRNVPFGGGCRKNKKIKSSSGLSSDSSGSSEIGGFNFFHGLSPAMKFNLGGLSFPRLNLSPNGIYNHFPSFGDISATSEAAATVTSPRFRLDPSVSSTAGSGSLMGFSYPLTSVSSGFCGATQENTGGASVNVNTNLASSIESLSCINQDLHWKLQQQRLAMLFGTGKNHRDDSTVSTAPIENHVQKLQPIMFENLEISKPQVCAAGNSRKDGATSGDPATEWFFGNSYDQLTATPTNRSNNGNNDNTGNWNGVQAWGDLNQYSALP >Potri.017G144301.1.v4.1 pep chromosome:Pop_tri_v4:17:14464845:14466530:1 gene:Potri.017G144301.v4.1 transcript:Potri.017G144301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144301.v4.1 MGKARTFGVGMDYSPTSKAALRWAAENLIDEGDRVILIQAQPPKADHTRKQLFEENGSPLVPLEEFREINYSKQYGLTHDPEVLDILDTVSKTKGAKVVAKVYWGDPREKLIDAVDDLKLDSLVIGSRGLGAIKRVLLGSVSYYVVTNASCPVTVVKGSKP >Potri.015G056501.1.v4.1 pep chromosome:Pop_tri_v4:15:7842377:7879271:1 gene:Potri.015G056501.v4.1 transcript:Potri.015G056501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056501.v4.1 MLIQLPRLTSSLRSPFDIDQAYLQRKVLLQNYLKKPNNTANSLHESELARKIIDGWEEASTEVRQAYRQFIGGVVELIDGEVQSEEFREVALNAYRIFGEEESADSNFTQKKSKLQKLIGHAVSDARLQKVAALSQRLYGLQPRNSGAALIVESHVNGSGDDLEFGADLAFQAPARFLVDTSLEDGELLGEESAAPLSMFHDGWYDHGDPGQNHSTTDGGNFDLSWLRDACDQIVGESTSQLSQDDLPMAICRVLDSDKPGEEIAGDLLDLVGDSAFEIVQDLILHRKELVDAIHRGLSLLKSDKTASNSQSRMPSYGTQVTIQTESAKQIDKLRRKEEKRNRRGTEHGVESDVAVASFSSLLQASERKNPFDNLIGSGPGPHSLSVTALPQGTVRKHYKGYEEVIIPPTPTTEMKPGEKLIEIKELDDFAQAAFHGYKSLNRIQSWIFQTVYYTNENILVCAPTGAGKTNIAMISVLHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNMTVRELTGDMQLSKSELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVSPETGLFFFDSSYRPVPLAQQYIGISEQNFAARKDLLNEICYKKVVDSLKQGHQAMVFVHSRKDTAKTAEKLVELARNNEDVELFRNDEHPQFALFKKEVMKSRNKDLVELFGSGVGVHHAGMLRADRGLTERLFSGGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHEKLAYYLRLLTCQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRQNPLAYGIGWDEVIEDPSLSLKQRALVTDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNELLRRHMNDSEVIDMVAHSSEFENIVVREEEQNELEMLLRSSCPLEVRGGPSNKHGKISILIQLYISRGSIDTFSLVSDASYISASLARIMRALFEICLRRGWSEMSLFMLEYCKAVDRQIWPHQHPLRQFDKDLSAEILRKLEERGSDLDHLQEMEEKDIGTLIRYAPGGRLVKQYLGYFPRIQLSATVSPITRTVLKLDLLIIPEFIWKDRFHGAAQRWWILVEDSENDHIYHSELLTLTKRMIRGEPHKLSFTVPIFEPHPPQYYIRAVSDSWLHAESFYTISFHNLALPEARTSHTELLDLKPLPVTSLGNNTYEALYSFSHFNPIQTQIFHILYHSDNNVLLGAPTGSGKTIAAELAMLRLFSTQPDMKVIYIAPLKAIVRERMNDWRKHLVSQLGKQMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLVILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANASDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVIIFVSSRRQTRLTALDLIQFAASDEHPRQFLSMTEEVLQMVLSQVTDQNLRHTLQFGIGLHHAGLNERDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHEHINAEIVTGTICHKEDAMHYLTWTYLFRRLMVNPAYYGLENAEAETLNSYLSRLVQTTFEDLEDSGCIKMDEENVESMVLGTIASQYYLSYMTVSMFGSNIGPDTSLEMFLHILSGASEYDELPVRHNEENYNEALSGRVRYMVDKNGLDDPHVKANLLFQAHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSASVNCMHLLQMVMQGLWFDKDSSLWMLPCMNEDLQQSLRKRGISTVQQLLDLPGATLQAMIGNFPASRFYQDLQNFPCIRMKLRVEKKDIDGRKSLALKIKLEKTNRKQNRSRAFTPRFPKLKDEAWWLVLGNTSTSELYALKRVSFTDHLVTHMELPSTLTSVQGMKLMLVSDCYVGFEQEHSVEELIKSQQTEAGD >Potri.015G056501.3.v4.1 pep chromosome:Pop_tri_v4:15:7848535:7879274:1 gene:Potri.015G056501.v4.1 transcript:Potri.015G056501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056501.v4.1 MISVLHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNMTVRELTGDMQLSKSELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVSPETGLFFFDSSYRPVPLAQQYIGISEQNFAARKDLLNEICYKKVVDSLKQGHQAMVFVHSRKDTAKTAEKLVELARNNEDVELFRNDEHPQFALFKKEVMKSRNKDLVELFGSGVGVHHAGMLRADRGLTERLFSGGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHEKLAYYLRLLTCQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRQNPLAYGIGWDEVIEDPSLSLKQRALVTDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNELLRRHMNDSEVIDMVAHSSEFENIVVREEEQNELEMLLRSSCPLEVRGGPSNKHGKISILIQLYISRGSIDTFSLVSDASYISASLARIMRALFEICLRRGWSEMSLFMLEYCKAVDRQIWPHQHPLRQFDKDLSAEILRKLEERGSDLDHLQEMEEKDIGTLIRYAPGGRLVKQYLGYFPRIQLSATVSPITRTVLKLDLLIIPEFIWKDRFHGAAQRWWILVEDSENDHIYHSELLTLTKRMIRGEPHKLSFTVPIFEPHPPQYYIRAVSDSWLHAESFYTISFHNLALPEARTSHTELLDLKPLPVTSLGNNTYEALYSFSHFNPIQTQIFHILYHSDNNVLLGAPTGSGKTIAAELAMLRLFSTQPDMKVIYIAPLKAIVRERMNDWRKHLVSQLGKQMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLVILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANASDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVIIFVSSRRQTRLTALDLIQFAASDEHPRQFLSMTEEVLQMVLSQVTDQNLRHTLQFGIGLHHAGLNERDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHEHINAEIVTGTICHKEDAMHYLTWTYLFRRLMVNPAYYGLENAEAETLNSYLSRLVQTTFEDLEDSGCIKMDEENVESMVLGTIASQYYLSYMTVSMFGSNIGPDTSLEMFLHILSGASEYDELPVRHNEENYNEALSGRVRYMVDKNGLDDPHVKANLLFQAHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSASVNCMHLLQMVMQGLWFDKDSSLWMLPCMNEDLQQSLRKRGISTVQQLLDLPGATLQAMIGNFPASRFYQDLQNFPCIRMKLRVEKKDIDGRKSLALKIKLEKTNRKQNRSRAFTPRFPKLKDEAWWLVLGNTSTSELYALKRVSFTDHLVTHMELPSTLTSVQGMKLMLVSDCYVGFEQEHSVEELIKSQQTEAGD >Potri.015G056501.2.v4.1 pep chromosome:Pop_tri_v4:15:7842406:7879270:1 gene:Potri.015G056501.v4.1 transcript:Potri.015G056501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056501.v4.1 MLIQLPRLTSSLRSPFDIDQAYLQRKVLLQNYLKKPNNTANSLHESELARKIIDGWEEASTEVRQAYRQFIGGVVELIDGEVQSEEFREVALNAYRIFGEEESADSNFTQKKSKLQKLIGHAVSDARLQKVAALSQRLYGLQPRNSGAALIVESHVNGSGDDLEFGADLAFQAPARFLVDTSLEDGELLGEESAAPLSMFHDGWYDHGDPGQNHSTTDGGNFDLSWLRDACDQIVGESTSQLSQDDLPMAICRVLDSDKPGEEIAGDLLDLVGDSAFEIVQDLILHRKELVDAIHRGLSLLKSDKTASNSQSRMPSYGTQVTIQTESAKQIDKLRRKEEKRNRRGTEHGVESDVAVASFSSLLQASERKNPFDNLIGSGPGPHSLSVTALPQGTVRKHYKGYEEVIIPPTPTTEMKPGEKLIEIKELDDFAQAAFHGYKSLNRIQSWIFQTVYYTNENILVCAPTGAGKTNIAMISVLHEIGQHFKDGYLHKDEFKIVYVAPMKALAAEVTSTFSHRLSPLNMTVRELTGDMQLSKSELEETQMIVTTPEKWDVITRKSSDMSLSMLVKLLIIDEVHLLNDDRGPVIEALVARTLRQVESTQTMIRIVGLSATLPNYLEVAQFLRVSPETGLFFFDSSYRPVPLAQQYIGISEQNFAARKDLLNEICYKKVVDSLKQGHQAMVFVHSRKDTAKTAEKLVELARNNEDVELFRNDEHPQFALFKKEVMKSRNKDLVELFGSGVGVHHAGMLRADRGLTERLFSGGLLKVLVCTATLAWGVNLPAHTVVIKGTQLYDPKAGGWRDLGMLDVMQIFGRAGRPQFDKSGEGIIITSHEKLAYYLRLLTCQLPIESQFISSLKDNLNAEVALGTVTNVKEACAWLGYTYLFIRMRQNPLAYGIGWDEVIEDPSLSLKQRALVTDAARALDKAKMMRFDEKSGNFYCTELGRIASHFYIQYSSVETYNELLRRHMNDSEVIDMVAHSSEFENIVVREEEQNELEMLLRSSCPLEVRGGPSNKHGKISILIQLYISRGSIDTFSLVSDASYISASLARIMRALFEICLRRGWSEMSLFMLEYCKAVDRQIWPHQHPLRQFDKDLSAEILRKLEERGSDLDHLQEMEEKDIGTLIRYAPGGRLVKQYLGYFPRIQLSATVSPITRTVLKLDLLIIPEFIWKDRFHGAAQRWWILVEDSENDHIYHSELLTLTKRMIRGEPHKLSFTVPIFEPHPPQYYIRAVSDSWLHAESFYTISFHNLALPEARTSHTELLDLKPLPVTSLGNNTYEALYSFSHFNPIQTQIFHILYHSDNNVLLGAPTGSGKTIAAELAMLRLFSTQPDMKVIYIAPLKAIVRERMNDWRKHLVSQLGKQMVEMTGDYTPDLMALLSADIIISTPEKWDGISRNWHSRSYVTKVGLVILDEIHLLGADRGPILEVIVSRMRYISSQTERAVRFVGLSTALANASDLADWLGVGEIGLFNFKPSVRPVPLEVHIQGYPGKYYCPRMNSMNKPAYAAICTHSPTKPVIIFVSSRRQTRLTALDLIQFAASDEHPRQFLSMTEEVLQMVLSQVTDQNLRHTLQFGIGLHHAGLNERDRSLVEELFANNKIQVLVCTSTLAWGVNLPAHLVIIKGTEYYDGKAKRYVDFPITDILQMMGRAGRPQYDQHGKAVILVHEPKKSFYKKFLYEPFPVESSLREQLHEHINAEIVTGTICHKEDAMHYLTWTYLFRRLMVNPAYYGLENAEAETLNSYLSRLVQTTFEDLEDSGCIKMDEENVESMVLGTIASQYYLSYMTVSMFGSNIGPDTSLEMFLHILSGASEYDELPVRHNEENYNEALSGRVRYMVDKNGLDDPHVKANLLFQAHFSQLELPISDYVTDLKSVLDQSIRIIQAMIDICANSGWLSASVNCMHLLQMVMQGLWFDKDSSLWMLPCMNEDLQQSLRKRGISTVQQLLDLPGATLQAMIGNFPASRFYQVSNHLDVCATFLCMENTQLVHRPFWGWDPLPITFLYMPYYWQTLQGAVCAWRA >Potri.013G047100.6.v4.1 pep chromosome:Pop_tri_v4:13:3341119:3346817:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQEELDWNEALIKCYVGREALWLHRRFLSLSWIRHFTTNLGDTSNYRVCKSSVNADIGIFMDNELHLANSCSTIPDNEFEDFQAQAIYCATYILWLTKQISDSQGIELQEKPNLGSLKTVLNMACPERSFLSDISTGITGKCDEGLKENRYQLR >Potri.013G047100.12.v4.1 pep chromosome:Pop_tri_v4:13:3342514:3346788:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQDVGDKLSFFYWTCDKKMVPWRSRKQNPNYQD >Potri.013G047100.8.v4.1 pep chromosome:Pop_tri_v4:13:3341575:3346795:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAEVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQEELDWNEALIKCYVGREALWLHRRFLSLSWIRHFTTNLGDTSNYRVCKSSVNADIGIFMDNELHLANSCSTIPDNEFEDFQAQAIYCATYILWLTKQISDSQGIELQEKPNLGSLKTVLNMACPERSFLSDISTGITGKCDEGLKENRYQLR >Potri.013G047100.13.v4.1 pep chromosome:Pop_tri_v4:13:3342514:3346788:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWCCMS >Potri.013G047100.10.v4.1 pep chromosome:Pop_tri_v4:13:3341118:3346817:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQSYAKKWVRMLETSSLSFIGHVIRRWCRGDQGNKTPIIRISFLTWGRLRFLVCLMISFC >Potri.013G047100.7.v4.1 pep chromosome:Pop_tri_v4:13:3341577:3346788:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MNMHFYVVDILEYSDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQEELDWNEALIKCYVGREALWLHRRFLSLSWIRHFTTNLGDTSNYRVCKSSVNADIGIFMDNELHLANSCSTIPDNEFEDFQAQAIYCATYILWLTKQISDSQGIELQEKPNLGSLKTVLNMACPERSFLSDISTGITGKCDEGLKENRYQLR >Potri.013G047100.9.v4.1 pep chromosome:Pop_tri_v4:13:3341162:3346815:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQEELDWNEALIKCYVGREALWLHRRFLSLSWIRHFTTNLGDTSNYRVCKSSVNADIGIFMDNELHLANSCSTIPDNEFEDFQAQAIYCATYILWLTKQISDSQGIELQEKPNLGSLKTVLNMACPERSFLSDISTGITGKCDEGLKENRYQLR >Potri.013G047100.3.v4.1 pep chromosome:Pop_tri_v4:13:3341254:3345442:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQEELDWNEALIKCYVGREALWLHRRFLSLSWIRHFTTNLGDTSNYRVCKSSVNADIGIFMDNELHLANSCSTIPDNEFEDFQAQAIYCATYILWLTKQISDSQGIELQEKPNLGSLKTVLNMACPERSFLSDISTGITGKCDEGLKENRYQLR >Potri.013G047100.11.v4.1 pep chromosome:Pop_tri_v4:13:3341118:3346817:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQSYAKKWVRMLETSSLSFIGHVIRRWCRGDQGNKTPIIRISFLTWGRLRFLVCLMISFC >Potri.013G047100.4.v4.1 pep chromosome:Pop_tri_v4:13:3341237:3346809:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQEELDWNEALIKCYVGREVHLTKRCPTGFVASSSFPLLVLDKAFHN >Potri.013G047100.5.v4.1 pep chromosome:Pop_tri_v4:13:3341576:3346788:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQEELDWNEALIKCYVGREALWLHRRFLSLSWIRHFTTNLGDTSNYRVCKSSVNADIGIFMDNELHLANSCSTIPDNEFEDFQAQAIYCATYILWLTKVQISDSQGIELQEKPNLGSLKTVLNMACPERSFLSDISTGITGKCDEGLKENRYQLR >Potri.013G047100.1.v4.1 pep chromosome:Pop_tri_v4:13:3341119:3346817:-1 gene:Potri.013G047100.v4.1 transcript:Potri.013G047100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047100.v4.1 MRKESMILKGNSLSLLNEFELILESDPLIDEVGFIHPSQFVTLNKEASSSLEHEDANFWSRDHKLAISTDVLLPLYKAVKDVFMHAISQYKRHENLSDASWDEGNLESEVMKHSKALLLLSCDFGTAWNFRKLIVSKKQHMLIFLDELFLSALVLSYSPKSEKAWCHRRWVIKMVAGKCSTMQDIVGKESELVEKIAERSKMNYRAWNHRCWLVSYMTTEQVLHELKKSRNWAGLHVADNSCFHYRTRLMLRIREDHCHKLEDGTSDGNDEIYRIWQEELDWNEALIKCYVGREALWLHRRFLSLSWIRHFTTNLGDTSNYRVCKSSVNADIGIFMDNELHLANSCSTIPDNEFEDFQAQAIYCATYILWLTKQISDSQGIELQEKPNLGSLKTVLNMACPERSFLSDISTGITGKCDEGLKENRYQLR >Potri.006G220600.1.v4.1 pep chromosome:Pop_tri_v4:6:22581980:22588146:-1 gene:Potri.006G220600.v4.1 transcript:Potri.006G220600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220600.v4.1 MDSGEKKEKEKWGLDLNLSTQEEFSTGLGFQDFLGEEKEAENDQLGHDFGRDSDFRIRERDSVVETDDFTSSKRLRYTTEEKGKAKVDCEVNLDFDLNLDLWGFEKDPVEGKMDTWPFEAGLLSSGPVMHNFFPDSVERNTQVENYDVPRKDIVFEQRKEIALSSVRKRQSRRKEQKLMQREIARNVAPRFAHLGPQEQQMKQHKEKKVKLREVDLEMELDLDDSQSPFSLALEAIKMRQTVRKGSLTGFSESLFKWVPAKAKDCDALKRDVPTLLDLSLNALAKNADAIVSLEHVPDKLRHRLSQLVSDCGVVDAHFVELLARGSPTEIRLRNISRLTEEEFSKIFSVCDTKDLTVLQLDLCGRCMPDYILNGTLARSSHRLPSLATISLKGAHRLSDIGLTQLAVSAPALQSINLSQCSLLTSQGISDFVSCFESTLRELYIDDCQNIDATIILPALKKLKCLEVLSVAGIETVCDNFVIGLVKALGINMKELGFANCVQLTDISLRIVGKNCPNLCALDLSYLHNLTDSALKHLANGCQSIRRLKLHRNDFSDEAISAFLEVSGQSLDALSVNNIHRVAHNTALSIAKCSRNLVSLDLSWCRRLTDEALGMIVDSCLSLKLLKLFGCTQITEAFLNGHSNPMVRIIGCKTGPVLEHLDALEPQENPLRYSPLTSFC >Potri.008G152800.6.v4.1 pep chromosome:Pop_tri_v4:8:10494866:10496237:-1 gene:Potri.008G152800.v4.1 transcript:Potri.008G152800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152800.v4.1 MAVVLGAKTCSFVTFKTMNRTSKPDIDYKLNSRCNAVLSSQAALPSRRHVLVFSASFVTVLTLNCFLTPLQARAKEEEKDDGVAGAIKSLFDPNEKTKSGKLLPKAYLKAAREVVKNLRESLKEDTKDIAKFRRTADAAKESIREYLGSWRGQEKVVREESYVELEKAIRSLASFYSRAGPSAQLPEEVRSEILNDLNTAEEFL >Potri.006G095500.4.v4.1 pep chromosome:Pop_tri_v4:6:7257779:7261125:1 gene:Potri.006G095500.v4.1 transcript:Potri.006G095500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095500.v4.1 MVADSKGKSDISFAGTFASSAFAACLAEICTIPLDTAKVRLQLQKSAVAGDGLALPKYRGMLGTVATIAREEGLSALWKGIVPGLHRQCVFGGLRIGLYEPVKNYYVGSDFVGDVPLTKKILAALTTGAIGITVANPTDLVKVRLQAEGKLPPGVPRRYSGALNAYSTIVRQEGVRALWTGIGPNVARNAIINAAELASYDQVKQTILKIPGFTDNIVTHLFAGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRNLESS >Potri.006G095500.1.v4.1 pep chromosome:Pop_tri_v4:6:7257466:7261144:1 gene:Potri.006G095500.v4.1 transcript:Potri.006G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095500.v4.1 MVADSKGKSDISFAGTFASSAFAACLAEICTIPLDTAKVRLQLQKSAVAGDGLALPKYRGMLGTVATIAREEGLSALWKGIVPGLHRQCVFGGLRIGLYEPVKNYYVGSDFVGDVPLTKKILAALTTGAIGITVANPTDLVKVRLQAEGKLPPGVPRRYSGALNAYSTIVRQEGVRALWTGIGPNVARNAIINAAELASYDQVKQTILKIPGFTDNIVTHLFAGLGAGFFAVCIGSPVDVVKSRMMGDSAYKSTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRNLESS >Potri.009G139300.1.v4.1 pep chromosome:Pop_tri_v4:9:11160574:11163134:-1 gene:Potri.009G139300.v4.1 transcript:Potri.009G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139300.v4.1 MHAHVRYQQKITTSHVPLNHHHLSHRQQPLPYLSQPTSPPLNLSTKWNNFKCLAAISKQAQRFFSAVLPTVATRDTSATNRLIKKFVASSPKSIALDALSNLLSPDSTHHPLLYLLTLPLYLKISEASWFSWNPKLVAQVVVLLDKQGLDKELKALMSETVSRLQFKERELVLFYCNLIGFNSKHNWVRGFDDSYSRLNQFVSDSNSVYVKKQGYKAMISGLCEMGRAREAEDLIGEMRERGLKPKLFEFRCVLYGYGRLGLFKDMERILDKMESGEIEVDTVCANMVLASYGAHNALPEMGLWLRKMKTLGIPLSIRTCNSVLNSCPTIMALMRNLDASYPVSIQELLKILSEEELMLVKELIESSVLKEATKWDTSEGKLDLHGMHLGSAYVIMLQWMEETRNRLSDGEHVIPAEITVVCGSGNHSTVRGESPVKSMITQIMAQTRSPMRIDRKNIGCFVAKGNVVKKWLC >Potri.018G129400.1.v4.1 pep chromosome:Pop_tri_v4:18:13993849:13995025:-1 gene:Potri.018G129400.v4.1 transcript:Potri.018G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129400.v4.1 MGSTLVAFVVLGAASLLLHSSKAAVYEVGDSTGWKAPSDSSFYSTWASDKSFTVGDVLTFTFSTTVHDVATVSKSDYDNCNIASQSNVLTVGPATITLNATGNQYYFCTLSNHCTRGQKLAITVAASSTPSPPGTPPTTPSSSPPPPSSSTPSPPPPPSASSSLVATFALVFMSIAISFMYYF >Potri.001G088300.1.v4.1 pep chromosome:Pop_tri_v4:1:7022912:7024813:1 gene:Potri.001G088300.v4.1 transcript:Potri.001G088300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088300.v4.1 MASSSTSSSPTSNLVSSPFYPSSFSKSPSLPLLSFSQTLKPFPNTSKLVYRAHSNPTTAVKAQTVDFAGSFYEGGIGSDDEPGSPIGSGTMTAVEEKETPPCPPGLRQYETMAVLRPDMSEDERLALTQKYEELLVAGGGMYVEVFNRGVVPLAYSIKKKNKAGESNTYLDGIYLLFTYFTKPESIGILEQTLNTDDDVIRSSSFKVRKRKY >Potri.007G061100.3.v4.1 pep chromosome:Pop_tri_v4:7:6606738:6611311:1 gene:Potri.007G061100.v4.1 transcript:Potri.007G061100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061100.v4.1 MLSRLINFLRACWLPSSDPCIHTGLEAAGRQDGLLWYKDKGQHMNGEFSMAVVQANNLLEDQSQIESGPLSSLGSGPYGTFVGIYDGHGGPETSRYINDYLFQHLKRLTSEQQSMSVDVIRKAYQATEEGFFSLVAKQWSMKPQIAAVGSCCLVGVICGGILYIANLGDSRAVLGRHVKATGEVISIQLSSEHNVAIESVRQEMHSWHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYAKFRLHEPFKRPILSSDPSISVHRLQPHDQFLIFASDGLWEHLSNQEAVDIVQNHPHSGIARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVAVVFLDANLLSRASTVKGPSVSVRGGGIHLPAKTLAPCASPMEHNST >Potri.007G061100.2.v4.1 pep chromosome:Pop_tri_v4:7:6606797:6611229:1 gene:Potri.007G061100.v4.1 transcript:Potri.007G061100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061100.v4.1 MLSRLINFLRACWLPSSDPCIHTGLEAAGRQDGLLWYKDKGQHMNGEFSMAVVQANNLLEDQSQIESGPLSSLGSGPYGTFVGIYDGHGGPETSRYINDYLFQHLKRLTSEQQSMSVDVIRKAYQATEEGFFSLVAKQWSMKPQIAAVGSCCLVGVICGGILYIANLGDSRAVLGRHVKATGEVISIQLSSEHNVAIESVRQEMHSWHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNREPLYAKFRLHEPFKRPILSSDPSISVHRLQPHDQFLIFASDGLWEHLSNQEAVDIVQNHPHSGIARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVAVVFLDANLLSRASTVKGPSVSVRGGGIHLPAKTLAPCASPMEHNST >Potri.014G151200.2.v4.1 pep chromosome:Pop_tri_v4:14:10508938:10514172:-1 gene:Potri.014G151200.v4.1 transcript:Potri.014G151200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151200.v4.1 MAGKILANLIVMGSAILGRAFIQAYRQALANASKSGVAQETLQNTIRRGSKVMTEQEARQILGVTEETSWEEILKKYDTLFERNSKNGSFYIQSKVHRAKECLEAVHQGKGEGTPT >Potri.001G416200.1.v4.1 pep chromosome:Pop_tri_v4:1:44460148:44461780:1 gene:Potri.001G416200.v4.1 transcript:Potri.001G416200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416200.v4.1 MASWKQLEVHTEDQTPHKWSVSLSEEAFKRFFAHGSPTVHKIFGDGSLFSPLLFGKFFDPSDAFPLWDFESDVLLSNLRSSGKTSIDWFQTDDAYVLKADLPGVGNNTVQVYVENGKIMEISGQWKPQRDQSKAKDWRSGHWWEPGFVRRLELPEDVDWRETEAYVSNDMFLEVRIPKSTSDSGTPGNGTMTKNSD >Potri.001G402700.2.v4.1 pep chromosome:Pop_tri_v4:1:42845943:42847408:-1 gene:Potri.001G402700.v4.1 transcript:Potri.001G402700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402700.v4.1 MEAELMHHDDEEALEEEDYVLLDLEAVFAQVDITPNTPYVLSGLDTPEPIMIIDDKVKLIGKYEDTIGTCFVFSENEAAPLVQEEANLFAGRYIINRSKPSSN >Potri.003G131500.3.v4.1 pep chromosome:Pop_tri_v4:3:14975351:14976800:1 gene:Potri.003G131500.v4.1 transcript:Potri.003G131500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131500.v4.1 MAAAVNFPGQLPRQTISLKGVAGLTLASSPFKGSSQLMLSNVKMEQEVACLRKQVNNKLFNKRWVNLVVSAAADFDLKLGSSPSNMIKQFYTCINDKKLKELDGYISEDCHFENCSFLQPMQGKREVMHFFRQLTAGMGENMKFIIEHVCEDDEMTAGVNWHLEWKTIQIPFTRGCSFYECSHKDDRLVIKKALVVIESPIKPGGIVLTLLKNMTAIFDDFPRAAECRVAKESSCHNAILFKNLQQAFGPSCQPTSCRLHQSLETHCKIICFCTEHSIPFSK >Potri.003G131500.2.v4.1 pep chromosome:Pop_tri_v4:3:14975351:14976800:1 gene:Potri.003G131500.v4.1 transcript:Potri.003G131500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131500.v4.1 MAAAVNFPGQLPRQTISLKGVAGLTLASSPFKGSSQLMLSNVKMEQEVACLRKQVNNKLFNKRWVNLVVSAAADFDLKLGSSPSNMIKQFYTCINDKKLKELDGYISEDCHFENCSFLQPMQGKREVMHFFRQLTAGMGENMKFIIEHVCEDDEMTAGVNWHLEWKTIQIPFTRGCSFYECSHKDDRLVIKKALVVIESPIKPGGIVLTLLKNMTAIFDDFPRAAEWLLKSPHVIMQFCSKIYSRLLAPLVNPLLAGYIRAWKPIARLFAFALNIVFHFLNKYFG >Potri.006G132000.1.v4.1 pep chromosome:Pop_tri_v4:6:10763896:10772447:-1 gene:Potri.006G132000.v4.1 transcript:Potri.006G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132000.v4.1 MGNRGQKRAEMVNELPSDKRACSSLEFRPSSSNSSIQTQINSENHNAETHDADMDTSSSGSASSHSDEEEPERDSAYGSCDSEGPRHSSLREYQRQRSSGDHSRLRDCLSNLTEGTEPSGQLAALTELCEVLSFCTEDSLSSTMADSLSPVLVMLSRLESNPDIMLLAIRALTYLCDVFPRASVFLVKHNAIPAICQRLMAIEYLDVAEQCLQALEKISRDQPLPCLQAGAIMAVLSFVDFFSTSVQRVALSTVVNICKKLPSENFSPFMEAVPRLCNLLQYEDRQLVENVAICLIKIAERVSQLSEMLDELCKHGLINQATHLVQLNSRTTLSQPVYNGLIGLLVKLCSGSVVAFKTLYELNISSILKDILTTYDLSHGMSSPHVVDGQGNQVHEVLKLLNVLLPIIARDQDVQQHVLDKETFLANHPKLLQKFGLDIIPSLIQVVNSGANLYVCYGCLCVINKLVYLSKSDMLLELLKNTNIPSFLAGVLTRKDHHVLMLALQITETILQKLPDVFVNSFIKEGVFFAIDALLVSEKCSQLMFPVCSGIQLPIDASLKSSSKVVMRCLCYAFDTGQSLSTTETGTCKLEKDSVQNLAKHIRTSCFASELCNSEKGLTDILQKLRALSAELSDLMNMPGNIGSCTQDEEKCYCVLRQIIEKLDGREPVSTFEFIESGIVKILVNYLSSGKYLREKVEPQGTLDDCDVIEKRFEVFARLLLSSPDLSVEFPLSVLIQKLQGALSSLENFPVILSHASKQRSSFAIIPTGHCTSYPCLRVRFVRGKGETCLCDYSEDVVTVDPLSSVDAIEGYLSPKVRIKGTEQIESAAQAIEGALSAENAQFKSPSTANSSQGESSGLMEPDSIATDLPVMQEDEANLSQSPPEPDVNLLQRNPDETTSSNNTHNVSVEKIVQSPSCADVTTKGHCLMSCSNGDALPKLVFYLEGQRLDQTLTLYQAILQQKVKADREINSTAKLWTQVHTLTYGMVVDPKDDSPPDHSSTAQNSSMLDQVGAYMQHPAFFSSLFNGELTSDLDKYSPTNDVLFLLKSLEGLNRFIFHLMSRERIHAFAEGLIDNLGYLKVAVRPVSQNEFVSCKLTEKLEQQMRDSLAVSIGGMPVWCNQLMDSCSFLFSFEARCKYFRLSAFGRQQVQPQPSSHNNSGVSRDGPPSAGSLSRKKFLVLRDRVLESAAQMMDSYAHVKAPIEVEYNEEVGTGLGPTLEFYTLVSREFQKSGLGMWRQDHISFTTSETLQAEYSGIVNSSFGLFPRPWPSSVDASDAAQFSEVIKKFFLLGQIVAKALQDGRVLDLPFSKAFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRKKNMGSAFGENSSSALDACFWNTKIEDLYLDFTLPGYPDYVLSFDEDHKIVNMVNLDAYVSRIVDATIHTGISRQVEAFKSGFNQVFPIKHLMIFTEEELERLLCGEREFWAFNELLDHIKFDHGYTASSPPVVNLLEIIKEFEYEQLRSFLQFVTGAPRLPTGGLASLNPKLTIVRKHCSNCADADLPSVMTCANYLKLPPYSSKDKMKEKLLYAITEGQGSFHLS >Potri.006G132000.14.v4.1 pep chromosome:Pop_tri_v4:6:10763938:10772410:-1 gene:Potri.006G132000.v4.1 transcript:Potri.006G132000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132000.v4.1 MGNRGQKRAEMVNELPSDKRACSSLEFRPSSSNSSIQTQINSENHNAETHDADMDTSSSGSASSHSDEEEPERDSAYGSCDSEGPRHSSLREYQRQRSSGDHSRLRDCLSNLTEGTEPSGQLAALTELCEVLSFCTEDSLSSTMADSLSPVLVMLSRLESNPDIMLLAIRALTYLCDVFPRASVFLVKHNAIPAICQRLMAIEYLDVAEQCLQALEKISRDQPLPCLQAGAIMAVLSFVDFFSTSVQRVALSTVVNICKKLPSENFSPFMEAVPRLCNLLQYEDRQLVENVAICLIKIAERVSQLSEMLDELCKHGLINQATHLVQLNSRTTLSQPVYNGLIGLLVKLCSGSVVAFKTLYELNISSILKDILTTYDLSHGMSSPHVVDGQGNQVHEVLKLLNVLLPIIARDQDVQQHVLDKETFLANHPKLLQKFGLDIIPSLIQVVNSGANLYVCYGCLCVINKLVYLSKSDMLLELLKNTNIPSFLAGVLTRKDHHVLMLALQITETILQKLPDVFVNSFIKEGVFFAIDALLVSEKCSQLMFPVCSGIQLPIDASLKSSSKVVMRCLCYAFDTGQSLSTTETGTCKLEKDSVQNLAKHIRTSCFASELCNSEKGLTDILQKLRALSAELSDLMNMPGNIGSCTQDEEKCYCVLRQIIEKLDGREPVSTFEFIESGIVKILVNYLSSGKYLREKVEPQGTLDDCDVIEKRFEVFARLLLSSPDLSVEFPLSVLIQKLQGALSSLENFPVILSHASKQRSSFAIIPTGHCTSYPCLRVRFVRGKGETCLCDYSEDVVTVDPLSSVDAIEGYLSPKVRIKGTEQIESAAQAIEGALSAENAQFKSPSTANSSQGESSGLMEPDSIATDLPVMQEDEANLSQSPPEPDVNLLQRNPDETTSSNNTHNVSVEKIVQSPSCADVTTKGHCLMSCSNGDALPKLVFYLEGQRLDQTLTLYQAILQQKVKADREINSTAKLWTQVHTLTYGMVVDPKDDSPPDHSSTAQNSSMLDQVGAYMQHPAFFSSLFNGELTSDLDKYSPTNDVLFLLKSLEGLNRFIFHLMSRERIHAFAEGLIDNLGYLKVAVRPVSQNEFVSCKLTEKLEQQMRDSLAVSIGGMPVWCNQLMDSCSFLFSFEARCKYFRLSAFGRQQVQPQPSSHNNSGVSRDGPPSAGSLSRKKFLVLRDRVLESAAQMMDSYAHVKAPIEVEYNEEVGTGLGPTLEFYTLVSREFQKSGLGMWRQDHISFTTSETLQAEYSGIVNSSFGLFPRPWPSSVDASDAAQFSEVIKKFFLLGQIVAKALQDGRVLDLPFSKAFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRKKNMGSAFGENSSSALDACFWNTKIEDLYLDFTLPGYPDYVLSFDEDHKIVNMVNLDAYVSRIVDATIHTGISRQVEAFKSGFNQVFPIKHLMIFTEEELERLLCGEREFWAFNELLDHIKFDHGYTASSPPVVNLLEIIKEFEYEQLRSFLQFVTGAPRLPTGGLASLNPKLTIVRKHCSNCADADLPSVMTCANYLKLPPYSSKDKMKEKLLYAITEGQGSFHLS >Potri.006G132000.13.v4.1 pep chromosome:Pop_tri_v4:6:10763948:10772489:-1 gene:Potri.006G132000.v4.1 transcript:Potri.006G132000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132000.v4.1 MGNRGQKRAEMVNELPSDKRACSSLEFRPSSSNSSIQTQINSENHNAETHDADMDTSSSGSASSHSDEEEPERDSAYGSCDSEGPRHSSLREYQRQRSSGDHSRLRDCLSNLTEGTEPSGQLAALTELCEVLSFCTEDSLSSTMADSLSPVLVMLSRLESNPDIMLLAIRALTYLCDVFPRASVFLVKHNAIPAICQRLMAIEYLDVAEQCLQALEKISRDQPLPCLQAGAIMAVLSFVDFFSTSVQRVALSTVVNICKKLPSENFSPFMEAVPRLCNLLQYEDRQLVENVAICLIKIAERVSQLSEMLDELCKHGLINQATHLVQLNSRTTLSQPVYNGLIGLLVKLCSGSVVAFKTLYELNISSILKDILTTYDLSHGMSSPHVVDGQGNQVHEVLKLLNVLLPIIARDQDVQQHVLDKETFLANHPKLLQKFGLDIIPSLIQVVNSGANLYVCYGCLCVINKLVYLSKSDMLLELLKNTNIPSFLAGVLTRKDHHVLMLALQITETILQKLPDVFVNSFIKEGVFFAIDALLVSEKCSQLMFPVCSGIQLPIDASLKSSSKVVMRCLCYAFDTGQSLSTTETGTCKLEKDSVQNLAKHIRTSCFASELCNSEKGLTDILQKLRALSAELSDLMNMPGNIGSCTQDEEKCYCVLRQIIEKLDGREPVSTFEFIESGIVKILVNYLSSGKYLREKVEPQGTLDDCDVIEKRFEVFARLLLSSPDLSVEFPLSVLIQKLQGALSSLENFPVILSHASKQRSSFAIIPTGHCTSYPCLRVRFVRGKGETCLCDYSEDVVTVDPLSSVDAIEGYLSPKVRIKGTEQIESAAQAIEGALSAENAQFKSPSTANSSQGESSGLMEPDSIATDLPVMQEDEANLSQSPPEPDVNLLQRNPDETTSSNNTHNVSVEKIVQSPSCADVTTKGHCLMSCSNGDALPKLVFYLEGQRLDQTLTLYQAILQQKVKADREINSTAKLWTQVHTLTYGMVVDPKDDSPPDHSSTAQNSSMLDQVGAYMQHPAFFSSLFNGELTSDLDKYSPTNDVLFLLKSLEGLNRFIFHLMSRERIHAFAEGLIDNLGYLKVAVRPVSQNEFVSCKLTEKLEQQMRDSLAVSIGGMPVWCNQLMDSCSFLFSFEARCKYFRLSAFGRQQVQPQPSSHNNSGVSRDGPPSAGSLSRKKFLVLRDRVLESAAQMMDSYAHVKAPIEVEYNEEVGTGLGPTLEFYTLVSREFQKSGLGMWRQDHISFTTSETLQAEYSGIVNSSFGLFPRPWPSSVDASDAAQFSEVIKKFFLLGQIVAKALQDGRVLDLPFSKAFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRKKNMGSAFGENSSSALDACFWNTKIEDLYLDFTLPGYPDYVLSFDEDHKIVNMVNLDAYVSRIVDATIHTGISRQVEAFKSGFNQVFPIKHLMIFTEEELERLLCGEREFWAFNELLDHIKFDHGYTASSPPVVNLLEIIKEFEYEQLRSFLQFVTGAPRLPTGGLASLNPKLTIVRKHCSNCADADLPSVMTCANYLKLPPYSSKDKMKEKLLYAITEGQGSFHLS >Potri.006G132000.15.v4.1 pep chromosome:Pop_tri_v4:6:10763955:10772209:-1 gene:Potri.006G132000.v4.1 transcript:Potri.006G132000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132000.v4.1 MGNRGQKRAEMVNELPSDKRACSSLEFRPSSSNSSIQTQINSENHNAETHDADMDTSSSGSASSHSDEEEPERDSAYGSCDSEGPRHSSLREYQRQRSSGDHSRLRDCLSNLTEGTEPSGQLAALTELCEVLSFCTEDSLSSTMADSLSPVLVMLSRLESNPDIMLLAIRALTYLCDVFPRASVFLVKHNAIPAICQRLMAIEYLDVAEQCLQALEKISRDQPLPCLQAGAIMAVLSFVDFFSTSVQRVALSTVVNICKKLPSENFSPFMEAVPRLCNLLQYEDRQLVENVAICLIKIAERVSQLSEMLDELCKHGLINQATHLVQLNSRTTLSQPVYNGLIGLLVKLCSGSVVAFKTLYELNISSILKDILTTYDLSHGMSSPHVVDGQGNQVHEVLKLLNVLLPIIARDQDVQQHVLDKETFLANHPKLLQKFGLDIIPSLIQVVNSGANLYVCYGCLCVINKLVYLSKSDMLLELLKNTNIPSFLAGVLTRKDHHVLMLALQITETILQKLPDVFVNSFIKEGVFFAIDALLVSEKCSQLMFPVCSGIQLPIDASLKSSSKVVMRCLCYAFDTGQSLSTTETGTCKLEKDSVQNLAKHIRTSCFASELCNSEKGLTDILQKLRALSAELSDLMNMPGNIGSCTQDEEKCYCVLRQIIEKLDGREPVSTFEFIESGIVKILVNYLSSGKYLREKVEPQGTLDDCDVIEKRFEVFARLLLSSPDLSVEFPLSVLIQKLQGALSSLENFPVILSHASKQRSSFAIIPTGHCTSYPCLRVRFVRGKGETCLCDYSEDVVTVDPLSSVDAIEGYLSPKVRIKGTEQIESAAQAIEGALSAENAQFKSPSTANSSQGESSGLMEPDSIATDLPVMQEDEANLSQSPPEPDVNLLQRNPDETTSSNNTHNVSVEKIVQSPSCADVTTKGHCLMSCSNGDALPKLVFYLEGQRLDQTLTLYQAILQQKVKADREINSTAKLWTQVHTLTYGMVVDPKDDSPPDHSSTAQNSSMLDQVGAYMQHPAFFSSLFNGELTSDLDKYSPTNDVLFLLKSLEGLNRFIFHLMSRERIHAFAEGLIDNLGYLKVAVRPVSQNEFVSCKLTEKLEQQMRDSLAVSIGGMPVWCNQLMDSCSFLFSFEARCKYFRLSAFGRQQVQPQPSSHNNSGVSRDGPPSAGSLSRKKFLVLRDRVLESAAQMMDSYAHVKAPIEVEYNEEVGTGLGPTLEFYTLVSREFQKSGLGMWRQDHISFTTSETLQAEYSGIVNSSFGLFPRPWPSSVDASDAAQFSEVIKKFFLLGQIVAKALQDGRVLDLPFSKAFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRKKNMGSAFGENSSSALDACFWNTKIEDLYLDFTLPGYPDYVLSFDEDHKIVNMVNLDAYVSRIVDATIHTGISRQVEAFKSGFNQVFPIKHLMIFTEEELERLLCGEREFWAFNELLDHIKFDHGYTASSPPVVNLLEIIKEFEYEQLRSFLQFVTGAPRLPTGGLASLNPKLTIVRKHCSNCADADLPSVMTCANYLKLPPYSSKDKMKEKLLYAITEGQGSFHLS >Potri.006G132000.12.v4.1 pep chromosome:Pop_tri_v4:6:10763931:10772430:-1 gene:Potri.006G132000.v4.1 transcript:Potri.006G132000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132000.v4.1 MGNRGQKRAEMVNELPSDKRACSSLEFRPSSSNSSIQTQINSENHNAETHDADMDTSSSGSASSHSDEEEPERDSAYGSCDSEGPRHSSLREYQRQRSSGDHSRLRDCLSNLTEGTEPSGQLAALTELCEVLSFCTEDSLSSTMADSLSPVLVMLSRLESNPDIMLLAIRALTYLCDVFPRASVFLVKHNAIPAICQRLMAIEYLDVAEQCLQALEKISRDQPLPCLQAGAIMAVLSFVDFFSTSVQRVALSTVVNICKKLPSENFSPFMEAVPRLCNLLQYEDRQLVENVAICLIKIAERVSQLSEMLDELCKHGLINQATHLVQLNSRTTLSQPVYNGLIGLLVKLCSGSVVAFKTLYELNISSILKDILTTYDLSHGMSSPHVVDGQGNQVHEVLKLLNVLLPIIARDQDVQQHVLDKETFLANHPKLLQKFGLDIIPSLIQVVNSGANLYVCYGCLCVINKLVYLSKSDMLLELLKNTNIPSFLAGVLTRKDHHVLMLALQITETILQKLPDVFVNSFIKEGVFFAIDALLVSEKCSQLMFPVCSGIQLPIDASLKSSSKVVMRCLCYAFDTGQSLSTTETGTCKLEKDSVQNLAKHIRTSCFASELCNSEKGLTDILQKLRALSAELSDLMNMPGNIGSCTQDEEKCYCVLRQIIEKLDGREPVSTFEFIESGIVKILVNYLSSGKYLREKVEPQGTLDDCDVIEKRFEVFARLLLSSPDLSVEFPLSVLIQKLQGALSSLENFPVILSHASKQRSSFAIIPTGHCTSYPCLRVRFVRGKGETCLCDYSEDVVTVDPLSSVDAIEGYLSPKVRIKGTEQIESAAQAIEGALSAENAQFKSPSTANSSQGESSGLMEPDSIATDLPEDEANLSQSPPEPDVNLLQRNPDETTSSNNTHNVSVEKIVQSPSCADVTTKGHCLMSCSNGDALPKLVFYLEGQRLDQTLTLYQAILQQKVKADREINSTAKLWTQVHTLTYGMVVDPKDDSPPDHSSTAQNSSMLDQVGAYMQHPAFFSSLFNGELTSDLDKYSPTNDVLFLLKSLEGLNRFIFHLMSRERIHAFAEGLIDNLGYLKVAVRPVSQNEFVSCKLTEKLEQQMRDSLAVSIGGMPVWCNQLMDSCSFLFSFEARCKYFRLSAFGRQQVQPQPSSHNNSGVSRDGPPSAGSLSRKKFLVLRDRVLESAAQMMDSYAHVKAPIEVEYNEEVGTGLGPTLEFYTLVSREFQKSGLGMWRQDHISFTTSETLQAEYSGIVNSSFGLFPRPWPSSVDASDAAQFSEVIKKFFLLGQIVAKALQDGRVLDLPFSKAFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRKKNMGSAFGENSSSALDACFWNTKIEDLYLDFTLPGYPDYVLSFDEDHKIVNMVNLDAYVSRIVDATIHTGISRQVEAFKSGFNQVFPIKHLMIFTEEELERLLCGEREFWAFNELLDHIKFDHGYTASSPPVVNLLEIIKEFEYEQLRSFLQFVTGAPRLPTGGLASLNPKLTIVRKHCSNCADADLPSVMTCANYLKLPPYSSKDKMKEKLLYAITEGQGSFHLS >Potri.006G132000.16.v4.1 pep chromosome:Pop_tri_v4:6:10763931:10772410:-1 gene:Potri.006G132000.v4.1 transcript:Potri.006G132000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132000.v4.1 MGNRGQKRAEMVNELPSDKRACSSLEFRPSSSNSSIQTQINSENHNAETHDADMDTSSSGSASSHSDEEEPERDSAYGSCDSEGPRHSSLREYQRQRSSGDHSRLRDCLSNLTEGTEPSGQLAALTELCEVLSFCTEDSLSSTMADSLSPVLVMLSRLESNPDIMLLAIRALTYLCDVFPRASVFLVKHNAIPAICQRLMAIEYLDVAEQCLQALEKISRDQPLPCLQAGAIMAVLSFVDFFSTSVQRVALSTVVNICKKLPSENFSPFMEAVPRLCNLLQYEDRQLVENVAICLIKIAERVSQLSEMLDELCKHGLINQATHLVQLNSRTTLSQPVYNGLIGLLVKLCSGSVVAFKTLYELNISSILKDILTTYDLSHGMSSPHVVDGQGNQVHEVLKLLNVLLPIIARDQDVQQHVLDKETFLANHPKLLQKFGLDIIPSLIQVVNSGANLYVCYGCLCVINKLVYLSKSDMLLELLKNTNIPSFLAGVLTRKDHHVLMLALQITETILQKLPDVFVNSFIKEGVFFAIDALLVSEKCSQLMFPVCSGIQLPIDASLKSSSKVVMRCLCYAFDTGQSLSTTETGTCKLEKDSVQNLAKHIRTSCFASELCNSEKGLTDILQKLRALSAELSDLMNMPGNIGSCTQDEEKCYCVLRQIIEKLDGREPVSTFEFIESGIVKILVNYLSSGKYLREKVEPQGTLDDCDVIEKRFEVFARLLLSSPDLSVEFPLSVLIQKLQGALSSLENFPVILSHASKQRSSFAIIPTGHCTSYPCLRVRFVRGKGETCLCDYSEDVVTVDPLSSVDAIEGYLSPKVRIKGTEQIESAAQAIEGALSAENAQFKSPSTANSSQGESSGLMEPDSIATDLPEDEANLSQSPPEPDVNLLQRNPDETTSSNNTHNVSVEKIVQSPSCADVTTKGHCLMSCSNGDALPKLVFYLEGQRLDQTLTLYQAILQQKVKADREINSTAKLWTQVHTLTYGMVVDPKDDSPPDHSSTAQNSSMLDQVGAYMQHPAFFSSLFNGELTSDLDKYSPTNDVLFLLKSLEGLNRFIFHLMSRERIHAFAEGLIDNLGYLKVAVRPVSQNEFVSCKLTEKLEQQMRDSLAVSIGGMPVWCNQLMDSCSFLFSFEARCKYFRLSAFGRQQVQPQPSSHNNSGVSRDGPPSAGSLSRKKFLVLRDRVLESAAQMMDSYAHVKAPIEVEYNEEVGTGLGPTLEFYTLVSREFQKSGLGMWRQDHISFTTSETLQAEYSGIVNSSFGLFPRPWPSSVDASDAAQFSEVIKKFFLLGQIVAKALQDGRVLDLPFSKAFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRKKNMGSAFGENSSSALDACFWNTKIEDLYLDFTLPGYPDYVLSFDEDHKIVNMVNLDAYVSRIVDATIHTGISRQVEAFKSGFNQVFPIKHLMIFTEEELERLLCGEREFWAFNELLDHIKFDHGYTASSPPVVNLLEIIKEFEYEQLRSFLQFVTGAPRLPTGGLASLNPKLTIVRKHCSNCADADLPSVMTCANYLKLPPYSSKDKMKEKLLYAITEGQGSFHLS >Potri.002G242600.1.v4.1 pep chromosome:Pop_tri_v4:2:23463918:23466501:1 gene:Potri.002G242600.v4.1 transcript:Potri.002G242600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242600.v4.1 MVSGSGVCAKVVVVDARHHMLGRLASIIAKELLNGQKVVVVRCEEICMSGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPAKIFWRTVRGMIPHKTKKGEAALARLKAYEGIPPPYDKKKRMVIPDALKVLRLQKGHKYCLLGKLSSEVGWNHYDIIRELEEKRKERSQVRYERKKQLNKLRAKAEVTAEEKLGPQVDILAPVKY >Potri.006G263700.4.v4.1 pep chromosome:Pop_tri_v4:6:25994778:26007608:-1 gene:Potri.006G263700.v4.1 transcript:Potri.006G263700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263700.v4.1 MVFNFREGDNQSQISSVLSEFDMTVMDYPRHYESCPILSMEMIHHFLRSSESWLSLGQQNVVLMHCERGGWPVLAFMLAALLLYGKQFTGEQRTLDMIYKQGPRELLQLMSPINPLPSQLRYLQYVSRRNVGTQWPPLDRALTLDCIILRLVPGMDTEGGCRPIFRIYGQDPFMAADRNPKVLFSTPKRSRFVRHFKQAECELVKIDIHCHIQGDIVMECISLDSDHEREQMMFRVMFNTAFIRSNILMFNRDEIDTLWNAKDQFPKDFRAEVLFSEMDSATSIAAIDLPGLEEKDGLPMEAFARVHEIFSNVDWPDTKTDVAQNVHQHIIAPQENLDSSPQRAEIGSVLMESTLVKVQEKLKLKESENKTPSPTSITPMKQSILSFEPFSDTNSVREEAEPQELKVALHSVPSIKSSPDENSAGEKVEHQELKVALQFTPSIKPSSDENSTQKKVEPQELQVALQLSAQSKLISQRVPQRSHSSPVSYSNSLQASPVPMSRYHGAPSNLGITALLYDHAASKGEEVVCPVTLSLPSSAISSPVTGVLKIAPLSNVSSLRTPPLPTPLQSSIGAPKTTEKPSPTCPIPPTPQPISISSSVTELSKPAQLNQVSTPGTPPCPPNSLQSSIETPQTIKNSFVSCSIPAQSFSKETDSLLSQHPQATSGSIYSPLVPSPLHSSLSASSSPVIKSSSSAPPAPPPPPSFLGALPSSADRNSYPAPPPLPPGMASMVASSVTSQSVKNLAVVPRPPPPPSPPLLAGSALGPTSAPSVPPPPPPPPLHSGSALGPSTAPSVPPPPPPLHSGSALGLTSAPSVPPPPPPPHSSAVSSYNNVAHIPPVPPPPAPLANGLSRASSVSSLSHAGVSNGNVPSVPGPPSGAPFSAKGRGMFRINSKNQPRKANLKPYHWLKLTRAVSGSLWAEAQKADEASKAPEFDMSELETLFSAAAPNSDRGSTAGKSNRRTVGHKSDKIQLIELRRAYNCEIMLSKVKIPLPDLMSSVLALDDTALDVDQVDNLIKFCPTKEEMELLKGYNGDKENLGKCEKFFLEMMKVPRAESKLRVFSFKIQFQSQVSDLRGNLNIVNSASEEIRSSAKLKRIMQTILSLGNALNFGTARGSAVGFRMDSLLKLTDTRARNNKMTLMHYLCKVLAEKLAELLDFPKDLVSLEAATKIQLKYLAEEMQAVSKGLEKVMQELSAAENDGLVSEIFCKILKEFLAFAESEVRSLACLYSCVGRNADALALYFGEDPARCTFEQVVSTLLNFVRMFVRAHDENQKQLELEKKKAEKEAQIEKPKIASPRKLSENLVQTPIKSGSIQ >Potri.006G263700.5.v4.1 pep chromosome:Pop_tri_v4:6:25994845:26006250:-1 gene:Potri.006G263700.v4.1 transcript:Potri.006G263700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263700.v4.1 MECISLDSDHEREQMMFRVMFNTAFIRSNILMFNRDEIDTLWNAKDQFPKDFRAEVLFSEMDSATSIAAIDLPGLEEKDGLPMEAFARVHEIFSNVDWPDTKTDVAQNVHQHIIAPQENLDSSPQRAEIGSVLMESTLVKVQEKLKLKESENKTPSPTSITPMKQSILSFEPFSDTNSVREEAEPQELKVALHSVPSIKSSPDENSAGEKVEHQELKVALQFTPSIKPSSDENSTQKKVEPQELQVALQLSAQSKLISQRVPQRSHSSPVSYSNSLQASPVPMSRYHGAPSNLGITALLYDHAASKGEEVVCPVTLSLPSSAISSPVTGVLKIAPLSNVSSLRTPPLPTPLQSSIGAPKTTEKPSPTCPIPPTPQPISISSSVTELSKPAQLNQVSTPGTPPCPPNSLQSSIETPQTIKNSFVSCSIPAQSFSKETDSLLSQHPQATSGSIYSPLVPSPLHSSLSASSSPVIKSSSSAPPAPPPPPSFLGALPSSADRNSYPAPPPLPPGMASMVASSVTSQSVKNLAVVPRPPPPPSPPLLAGSALGPTSAPSVPPPPPPPPLHSGSALGPSTAPSVPPPPPPLHSGSALGLTSAPSVPPPPPPPHSSAVSSYNNVAHIPPVPPPPAPLANGLSRASSVSSLSHAGVSNGNVPSVPGPPSGAPFSAKGRGMFRINSKNQPRKANLKPYHWLKLTRAVSGSLWAEAQKADEASKAPEFDMSELETLFSAAAPNSDRGSTAGKSNRRTVGHKSDKIQLIELRRAYNCEIMLSKVKIPLPDLMSSVLALDDTALDVDQVDNLIKFCPTKEEMELLKGYNGDKENLGKCEKFFLEMMKVPRAESKLRVFSFKIQFQSQVSDLRGNLNIVNSASEEIRSSAKLKRIMQTILSLGNALNFGTARGSAVGFRMDSLLKLTDTRARNNKMTLMHYLCKVLAEKLAELLDFPKDLVSLEAATKIQLKYLAEEMQAVSKGLEKVMQELSAAENDGLVSEIFCKILKEFLAFAESEVRSLACLYSCVGRNADALALYFGEDPARCTFEQVVSTLLNFVRMFVRAHDENQKQLELEKKKAEKEAQIEKPKIASPRKLSENLVQTPIKSGSIQ >Potri.006G263700.1.v4.1 pep chromosome:Pop_tri_v4:6:25994803:26008949:-1 gene:Potri.006G263700.v4.1 transcript:Potri.006G263700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263700.v4.1 MALFRKFFFRKPPDGLLEISERVYVFDCCYCTDTFEDEEYKVYIGGIVGKLHNHLPDASFMVFNFREGDNQSQISSVLSEFDMTVMDYPRHYESCPILSMEMIHHFLRSSESWLSLGQQNVVLMHCERGGWPVLAFMLAALLLYGKQFTGEQRTLDMIYKQGPRELLQLMSPINPLPSQLRYLQYVSRRNVGTQWPPLDRALTLDCIILRLVPGMDTEGGCRPIFRIYGQDPFMAADRNPKVLFSTPKRSRFVRHFKQAECELVKIDIHCHIQGDIVMECISLDSDHEREQMMFRVMFNTAFIRSNILMFNRDEIDTLWNAKDQFPKDFRAEVLFSEMDSATSIAAIDLPGLEEKDGLPMEAFARVHEIFSNVDWPDTKTDVAQNVHQHIIAPQENLDSSPQRAEIGSVLMESTLVKVQEKLKLKESENKTPSPTSITPMKQSILSFEPFSDTNSVREEAEPQELKVALHSVPSIKSSPDENSAGEKVEHQELKVALQFTPSIKPSSDENSTQKKVEPQELQVALQLSAQSKLISQRVPQRSHSSPVSYSNSLQASPVPMSRYHGAPSNLGITALLYDHAASKGEEVVCPVTLSLPSSAISSPVTGVLKIAPLSNVSSLRTPPLPTPLQSSIGAPKTTEKPSPTCPIPPTPQPISISSSVTELSKPAQLNQVSTPGTPPCPPNSLQSSIETPQTIKNSFVSCSIPAQSFSKETDSLLSQHPQATSGSIYSPLVPSPLHSSLSASSSPVIKSSSSAPPAPPPPPSFLGALPSSADRNSYPAPPPLPPGMASMVASSVTSQSVKNLAVVPRPPPPPSPPLLAGSALGPTSAPSVPPPPPPPPLHSGSALGPSTAPSVPPPPPPLHSGSALGLTSAPSVPPPPPPPHSSAVSSYNNVAHIPPVPPPPAPLANGLSRASSVSSLSHAGVSNGNVPSVPGPPSGAPFSAKGRGMFRINSKNQPRKANLKPYHWLKLTRAVSGSLWAEAQKADEASKAPEFDMSELETLFSAAAPNSDRGSTAGKSNRRTVGHKSDKIQLIELRRAYNCEIMLSKVKIPLPDLMSSVLALDDTALDVDQVDNLIKFCPTKEEMELLKGYNGDKENLGKCEKFFLEMMKVPRAESKLRVFSFKIQFQSQVSDLRGNLNIVNSASEEIRSSAKLKRIMQTILSLGNALNFGTARGSAVGFRMDSLLKLTDTRARNNKMTLMHYLCKVLAEKLAELLDFPKDLVSLEAATKIQLKYLAEEMQAVSKGLEKVMQELSAAENDGLVSEIFCKILKEFLAFAESEVRSLACLYSCVGRNADALALYFGEDPARCTFEQVVSTLLNFVRMFVRAHDENQKQLELEKKKAEKEAQIEKPKIASPRKLSENLVQTPIKSGSIQ >Potri.006G263700.6.v4.1 pep chromosome:Pop_tri_v4:6:25994844:26008934:-1 gene:Potri.006G263700.v4.1 transcript:Potri.006G263700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263700.v4.1 MKLTRYGMQRINFPRTSEQRYLVIFHFLKFTMTRDNLMFWVWMKVLFSEMDSATSIAAIDLPGLEEKDGLPMEAFARVHEIFSNVDWPDTKTDVAQNVHQHIIAPQENLDSSPQRAEIGSVLMESTLVKVQEKLKLKESENKTPSPTSITPMKQSILSFEPFSDTNSVREEAEPQELKVALHSVPSIKSSPDENSAGEKVEHQELKVALQFTPSIKPSSDENSTQKKVEPQELQVALQLSAQSKLISQRVPQRSHSSPVSYSNSLQASPVPMSRYHGAPSNLGITALLYDHAASKGEEVVCPVTLSLPSSAISSPVTGVLKIAPLSNVSSLRTPPLPTPLQSSIGAPKTTEKPSPTCPIPPTPQPISISSSVTELSKPAQLNQVSTPGTPPCPPNSLQSSIETPQTIKNSFVSCSIPAQSFSKETDSLLSQHPQATSGSIYSPLVPSPLHSSLSASSSPVIKSSSSAPPAPPPPPSFLGALPSSADRNSYPAPPPLPPGMASMVASSVTSQSVKNLAVVPRPPPPPSPPLLAGSALGPTSAPSVPPPPPPPPLHSGSALGPSTAPSVPPPPPPLHSGSALGLTSAPSVPPPPPPPHSSAVSSYNNVAHIPPVPPPPAPLANGLSRASSVSSLSHAGVSNGNVPSVPGPPSGAPFSAKGRGMFRINSKNQPRKANLKPYHWLKLTRAVSGSLWAEAQKADEASKAPEFDMSELETLFSAAAPNSDRGSTAGKSNRRTVGHKSDKIQLIELRRAYNCEIMLSKVKIPLPDLMSSVLALDDTALDVDQVDNLIKFCPTKEEMELLKGYNGDKENLGKCEKFFLEMMKVPRAESKLRVFSFKIQFQSQVSDLRGNLNIVNSASEEIRSSAKLKRIMQTILSLGNALNFGTARGSAVGFRMDSLLKLTDTRARNNKMTLMHYLCKVLAEKLAELLDFPKDLVSLEAATKIQLKYLAEEMQAVSKGLEKVMQELSAAENDGLVSEIFCKILKEFLAFAESEVRSLACLYSCVGRNADALALYFGEDPARCTFEQVVSTLLNFVRMFVRAHDENQKQLELEKKKAEKEAQIEKPKIASPRKLSENLVQTPIKSGSIQ >Potri.003G144000.1.v4.1 pep chromosome:Pop_tri_v4:3:15917908:15922740:1 gene:Potri.003G144000.v4.1 transcript:Potri.003G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144000.v4.1 MNTFLVSDPSDMAQQNLNLFSLSLLSLATMTLATSLSPKCSVGGPVVATTPLVTFLERVQETALGTFGEEDFDPKLYVDLSLKFNLSKTQKAFDELPRSGENGTVSVEDLKVFIATYFDDAADDLVYYDPVDFVPEPEGFLPKVKNPEVRSWALEVHALWKNLSRKVSDGVLEHSELHTLLPLPEAVVVPGSRFREVYYWDSYWVIRGLMASKMYETAKAIVTNLIFLVDTYGYVLNGARAYYTNRSQPPLLSAMVYEIYNRTCDVELVRKALPALLKEHAFWNSEIHKVTIQDAQGFNHNLSRYYAIWNKPRPESSTIDKESASKFFGNSEKQQFYRDVASAAESGWDFSTRWMRNTSEFTTLSTTSILPVDLNVYILKMELDIAFLAKILGNKSTMESFMEVAEARKNAINSVFWDAEKGQWLDYRLTNGTICKESETWQACNQNQNAYASNFIPLWIDLFHSDTALVENVMRSFQSSGLVHAAGIATSLINSGQQWDFPNGWAPLQHMIVEGLLRSGLKEARSLAEDIAVRWIKTNYVGYKKTGAMHEKYDVRKCGAFGGGGEYIPQTGFGWSNGVVLTFLEEFGWPEDRSIGC >Potri.008G122901.1.v4.1 pep chromosome:Pop_tri_v4:8:7986828:7987273:1 gene:Potri.008G122901.v4.1 transcript:Potri.008G122901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G122901.v4.1 MSAHYLTFKFDIHGGGIDLIFPHHENEIAQSCAACEESSVSYWLHNGHVTNNNEKMSKSLGNFFTIHQITERYYPLALRHFLISAHYRSPLNYFVLQLEGASDAVFYI >Potri.008G122901.2.v4.1 pep chromosome:Pop_tri_v4:8:7982116:7988376:1 gene:Potri.008G122901.v4.1 transcript:Potri.008G122901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G122901.v4.1 MTLHMWWREMSSLRLINPQIMVSYLGRGWKIIEWGNVLLLTRENIILLTLHCGRYKSHKYLKFIIRLHCTISDVYLFLQAAKPGDPSWESPWGPGRPGWHIECSAMSAHYLTFKFDIHGGGIDLIFPHHENEIAQSCAACEESSVSYWLHNGHVTNNNEKMSKSLGNFFTIHQITERYYPLALRHFLISAHYRSPLNYFVLQLEGASDAVFYI >Potri.005G021800.1.v4.1 pep chromosome:Pop_tri_v4:5:1407604:1410807:1 gene:Potri.005G021800.v4.1 transcript:Potri.005G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021800.v4.1 MAIKHGELVTLEELNPSSPFFKQGASVRVTGKLQEYTVETAIAVVADGNATLKIDTQHLRDISFRIGSVYQFIGELLIQPDSEAILQARVGRIADGIDLSLYHQSLQLLRQFQADHLNNSTS >Potri.006G229300.1.v4.1 pep chromosome:Pop_tri_v4:6:23311818:23319218:1 gene:Potri.006G229300.v4.1 transcript:Potri.006G229300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229300.v4.1 MERARRLANRAILKRLVNESKQSHKQARNDSSLLNSSSPVSYTPSRYVSSLSSFGSRSPRSGLLPGTKNIVSHNVPAGSYGIGSQIRSISVESLKPSDTFPRRHNSATPEEQTKMAELCGFDTLDSLIDATVPKSIRLDSMKFSKFDGGLTESQMIEHMNYLASKNKVFKSYIGMGYYNTHVPPVILRNIMENPAWYTQYTPYQAEISQGRLESLLNYQTMITDLTGLPMSNASLLDEGTAAAEAMAMCNNIQKGKKKTFIIANNCHPQTIDICDTRAGGFDLKVVTADLKDIDYKSGDVCGVLVQYPGTEGEVLDYGEFIKNAHAHGVKVVMASDLLALTMLKPPGELGADIVVGSAQRFGVPMGYGGPHAAFLATSQEYKRMMPGRIIGVSVDSSGKPALRMAMQTREQHIRRDKATSNICTAQALLANMAAMYAVYHGPEGLKTIAQRVHGLAGAFTVGLKKLGTVEVQGLPFFDTVKVKCADAHAIADAAYKSEINLRVVDAKTITVSFDETTTLEDVDKLFKVFSGGKPVPFTAASLAPEVQNVIPSGLTRESPYLTHPIFNTYHTEHELLRYMHRLQSKDLSLCHSMIPLGSCTMKLNATSEMMPVTLPNFTDMHPFAPTEQSQGYQEMFDDLGDLLCTITGFDSFSFQPNAGAAGEYAGLMVIRAYHKARGDHHRNVCIIPVSAHGTNPASAAMCGMKIVAVGTDAKGNINVEELRKAAEDNRDNLSALMVTYPSTHGVYEEGIDEICKIIHDNGGQVYMDGANMNAQVGLTSPGFIGADVCHLNLHKTFCIPHGGGGPGMGPIGVQKHLAPYLPSHPVVPTGGIPAPDQSQPLGTISAAPWGSALILPISYTYIAMMGSKGLTDASKIAILNANYMAKRLENYYPILFRGVNGTVAHEFIVDLRGVKNTAGIEPEDVAKRLMDYGFHAPTMSWPVPGTLMIEPTESESKAELDRFCDALISIREEIAEIEKGKADIHNNVLKGAPHPPSLLMGDAWTKPYSREYAAFPASWLRVAKFWPSTGRVDNVYGDRNLTCTLLSVSQVVEEQAAATA >Potri.018G054501.1.v4.1 pep chromosome:Pop_tri_v4:18:5417457:5418397:1 gene:Potri.018G054501.v4.1 transcript:Potri.018G054501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054501.v4.1 MLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQIGHAFTKTQLKNKWDGCKNDWRIWNKLVSETSIGWNSELGTISASDEWWKQKIQEIKGAKKFRHVGIEPSLKNKFDRMYSNIVATRAFAWAPSSGLPAGNDVDPGTSNADIDRDGLEEGSRINMSSSNNTKGGCKRKGRDPYDVQGRKKKTFGIGVQLLSRCNQLLESMSTKSDSTSINMDREGCSIRKVMAELHLIPRVSIEDEFHDFATEYLSLRRKR >Potri.010G042200.5.v4.1 pep chromosome:Pop_tri_v4:10:7364427:7369157:-1 gene:Potri.010G042200.v4.1 transcript:Potri.010G042200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042200.v4.1 MLGGNNGNSLLPVFMDENHIPYQTNASNQLQLFGNLAAGCSIDPVNYFGNEHGTPILRANKRGREAEDFGRQQKLQISLNYNICQDEADRSASIPNPNPVSTGLRLSYDDDEHNSSITSASGSMSAAPSIILSLGDNIRTELDRQNDEFDQYIKIQEEHLAKGVRDLKQRHFSSLLAAMEKGVSKKLQEKDREIENINRKNKELIERIRQVAAEAQNWHYRAKYNESVVNVLKSNLQQAISQGADQGKEGFGDNEIDDAASYIEPNNYLNFSGDPAKPLPWNYQGLKEHVTCRACKTREVSMLLMPCRHLCLCKECDALINVCPVCRLIKTNSFQVFLS >Potri.010G042200.1.v4.1 pep chromosome:Pop_tri_v4:10:7364439:7369196:-1 gene:Potri.010G042200.v4.1 transcript:Potri.010G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042200.v4.1 MLGGNNGNSLLPVFMDENHIPYQTNASNQLQLFGNLAAGCSIDPVNYFGNEHGTPILRANKRGREAEDFGRQQKLQISLNYNICQDEADRSASIPNPNPVSTGLRLSYDDDEHNSSITSASGSMSAAPSIILSLGDNIRTELDRQNDEFDQYIKIQEEHLAKGVRDLKQRHFSSLLAAMEKGVSKKLQEKDREIENINRKNKELIERIRQVAAEAQNWHYRAKYNESVVNVLKSNLQQAISQGADQGKEGFGDNEIDDAASYIEPNNYLNFSGDPAKPLPWNYQGLKEHVTCRACKTREVSMLLMPCRHLCLCKECDALINVCPVCRLIKTNSFQVFLS >Potri.011G072841.1.v4.1 pep chromosome:Pop_tri_v4:11:6912277:6916509:-1 gene:Potri.011G072841.v4.1 transcript:Potri.011G072841.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072841.v4.1 MGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKIYVGIARGLAFLHEGSAIRIVHRDIKVTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIMDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVLNMLEGQTNIPEVTSDPSIYDNDLQSKRVKGHYQQVTDQSLNSTQGLLPPSDKSWIGNSSTSAHDLYAINPESISLNLSETSSLI >Potri.017G148700.3.v4.1 pep chromosome:Pop_tri_v4:17:14753369:14756428:1 gene:Potri.017G148700.v4.1 transcript:Potri.017G148700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148700.v4.1 MLCLRYSLPLIPNRFQSFDTTRNTKSCVVVCAAKGPRPRYPRVWKTKRRIGTISKSAKLVDCIKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALRALEEQQEWKRIIQVTKWMLSKGQGRTMGTYFTLMNALAEDGRLDEVEELWTKLFSQYLEGTPRMMFDKMISIYYKRDMHDQIFEIFADMEELGLRPSVSIVNMVGNVFQRLGMMDKYEKLKKKYPPPKWIYRYIKGKRVRVRAKNDNEAGDVNSVASGDEEASHDDELDGINDVASGDEEASHDNKLDGINDVASEDEEAFHDESEVGIRIDANEVGVETNLSSNDFSVEANSSLSECQNQDRLHWEHNQPSGVSNSS >Potri.017G148700.1.v4.1 pep chromosome:Pop_tri_v4:17:14753395:14756427:1 gene:Potri.017G148700.v4.1 transcript:Potri.017G148700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148700.v4.1 MLCLRYSLPLIPNRFQSFDTTRNTKSCVVVCAAKGPRPRYPRVWKTKRRIGTISKSAKLVDCIKGLSNVKEEVYGALDSFVAWELEFPLIAVKKALRALEEQQEWKRIIQVTKWMLSKGQGRTMGTYFTLMNALAEDGRLDEVEELWTKLFSQYLEGTPRMMFDKMISIYYKRDMHDQIFEIFADMEELGLRPSVSIVNMVGNVFQRLGMMDKYEKLKKKYPPPKWIYRYIKGKRVRVRAKNDNEAGDVNSVASGDEEASHDDELDGINDVASGDEEASHDNKLDGINDVASEDEEAFHDESEVGIRIDANEVGVETNLSSNDFSVEANSSLSECQNQDRLHWEHNQPSGVSNSS >Potri.009G102800.1.v4.1 pep chromosome:Pop_tri_v4:9:9051042:9052143:1 gene:Potri.009G102800.v4.1 transcript:Potri.009G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102800.v4.1 MAWLKMFNGEQQSFYFSSMDPRISFSNDFADAKKANKYESSYREAPVSTDFEFSVKNDSMIPADEIFFEGTMLPLKDNCTNQQRKMTLRDELLVDDEYDNAFPAPKISGWWKEKLGLKRGHIAPKKSDRVAGVLDRVVEEMPTFVHEEGLANKRTQEVLVEGGLSCKDGAMKCYPK >Potri.007G070700.15.v4.1 pep chromosome:Pop_tri_v4:7:9230017:9234242:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.17.v4.1 pep chromosome:Pop_tri_v4:7:9230362:9234210:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.21.v4.1 pep chromosome:Pop_tri_v4:7:9230022:9234143:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.14.v4.1 pep chromosome:Pop_tri_v4:7:9230022:9234246:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.18.v4.1 pep chromosome:Pop_tri_v4:7:9230019:9234205:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.13.v4.1 pep chromosome:Pop_tri_v4:7:9230054:9234243:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.19.v4.1 pep chromosome:Pop_tri_v4:7:9230028:9234205:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.20.v4.1 pep chromosome:Pop_tri_v4:7:9230022:9234204:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.16.v4.1 pep chromosome:Pop_tri_v4:7:9230102:9234210:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.007G070700.22.v4.1 pep chromosome:Pop_tri_v4:7:9229969:9233205:1 gene:Potri.007G070700.v4.1 transcript:Potri.007G070700.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070700.v4.1 MPAAAILSLPAPPVNGDGSPPPPSQVIEQQPREGRPENEEQNRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNPSDPYHAYYQHRLTEFRAQNQAPGQQPTSQPADSAAPDSATKPDLATDSNEAATKPDPAAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQTFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPRGLTEKLTKSVDDMTTVLERCLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEMEMEMDEEEMQLVEEGMRIASIEENDGEKDTRTNEEPEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHSGSIGRTANQAMSQNAGEDQNEAVNNDARNLPGPAAPPPRPGVLPVRPLPPPPGLQLNLLRAPPNTVQYSGPAGGAYPVPPQRPVGIPMMQPNYPPPPPMQMASGQQPIMVNRPPPMPPSMSGNPSMHVPPPPGSQYNSMAVHRPFVPLHVPQPGLPMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHLGPVRITVAVPNLDEGNLKGQVLEIMMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGESLSLSLRERGGRKR >Potri.016G113700.1.v4.1 pep chromosome:Pop_tri_v4:16:11784710:11785497:1 gene:Potri.016G113700.v4.1 transcript:Potri.016G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113700.v4.1 MASLMVGAIPMTPQALNFGSRLSYSQSQISLCHSTSSLPLSTARTSVPFVYCGRGDRKTERGKRFNHSFGNARPRDKKKGRGPPRIPVPGAPPKIDKSVDDEVVKIEIDESLG >Potri.002G195700.4.v4.1 pep chromosome:Pop_tri_v4:2:15848079:15855669:1 gene:Potri.002G195700.v4.1 transcript:Potri.002G195700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195700.v4.1 MLKVFSPLIINSISTFSYYYCCYRQKSDGEPSNNGVGNLTSKMNQLKRQIQAERVVSIKDKVEKNRRKLEADVSQLRLATSRTFMGQNGVSKMISLRIGTPLCKYGGFAQGSGDKDVINGHEVAATTGTKLPFVEKIPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDNEPEEEKHEFCDGEDRILWMVSREHGLAEEVLNVVSQFIGVGTTEIQERCRTLEEKYSGDQSVKDTSDSGTGRGISMEKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQSYWSEYEDDRKPCSDQCSLRLRVVKDLPGGSVNRTKTATSTEEKKTAAASDAEGPSGVDFMIDEESIMEAFCNLEPASEAPNLDMSAMVIHNQEYMRKRKAPQHTDIAPDGSSQAPDDMQDFSKKKKRLLHLDVVNEAAEGVFPDHGSTAKKASDKIELKMTIKKTTNDSFETVCSGTEENVGHGAKDVFGVPRPKQSSSVDRAAEGVLRKSEWKPIEKELYLKGVEIFGKNSCLIARNLLSGLKTCIEVSNYMRESGAMMPHRSVAPRSFLEDSGKSDTDYVEQDMPTRSRLLRRRGRARKLKYSWKSAGHPSFWKRIADGKNQSCKQFTPCGCQSMCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEACEELFYDYRYGPDQAPAWARKPEGSKRDDSTISQGRAKKHQSH >Potri.002G195700.1.v4.1 pep chromosome:Pop_tri_v4:2:15847826:15855669:1 gene:Potri.002G195700.v4.1 transcript:Potri.002G195700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195700.v4.1 MDAWHLIDGQDSYRVGHAITTYHIPRVNLVSESCFFLLLFLPSFPLLATRFHRGESQSSGAMSRSSDSASKFRKSDGEPSNNGVGNLTSKMNQLKRQIQAERVVSIKDKVEKNRRKLEADVSQLRLATSRTFMGQNGVSKMISLRIGTPLCKYGGFAQGSGDKDVINGHEVAATTGTKLPFVEKIPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDNEPEEEKHEFCDGEDRILWMVSREHGLAEEVLNVVSQFIGVGTTEIQERCRTLEEKYSGDQSVKDTSDSGTGRGISMEKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQSYWSEYEDDRKPCSDQCSLRLRVVKDLPGGSVNRTKTATSTEEKKTAAASDAEGPSGVDFMIDEESIMEAFCNLEPASEAPNLDMSAMVIHNQEYMRKRKAPQHTDIAPDGSSQAPDDMQDFSKKKKRLLHLDVVNEAAEGVFPDHGSTAKKASDKIELKMTIKKTTNDSFETVCSGTEENVGHGAKDVFGVPRPKQSSSVDRAAEGVLRKSEWKPIEKELYLKGVEIFGKNSCLIARNLLSGLKTCIEVSNYMRESGAMMPHRSVAPRSFLEDSGKSDTDYVEQDMPTRSRLLRRRGRARKLKYSWKSAGHPSFWKRIADGKNQSCKQFTPCGCQSMCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEACEELFYDYRYGPDQAPAWARKPEGSKRDDSTISQGRAKKHQSH >Potri.002G195700.3.v4.1 pep chromosome:Pop_tri_v4:2:15847908:15855667:1 gene:Potri.002G195700.v4.1 transcript:Potri.002G195700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195700.v4.1 MSRSSDSASKFRKSDGEPSNNGVGNLTSKMNQLKRQIQAERVVSIKDKVEKNRRKLEADVSQLRLATSRTFMGQNGVSKMISLRIGTPLCKYGGFAQGSGDKDVINGHEVAATTGTKLPFVEKIPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDNEPEEEKHEFCDGEDRILWMVSREHGLAEEVLNVVSQFIGVGTTEIQERCRTLEEKYSGDQSVKDTSDSGTGRGISMEKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQSYWSEYEDDRKPCSDQCSLRLRVVKDLPGGSVNRTKTATSTEEKKTAAASDAEGPSGVDFMIDEESIMEAFCNLEPASEAPNLDMSAMVIHNQEYMRKRKAPQHTDIAPDGSSQAPDDMQDFSKKKKRLLHLDVVNEAAEGVFPDHGSTAKKASDKIELKMTIKKTTNDSFETVCSGTEENVGHGAKDVFGVPRPKQSSSVDRAAEGVLRKSEWKPIEKELYLKGVEIFGKNSCLIARNLLSGLKTCIEVSNYMRESGAMMPHRSVAPRSFLEDSGKSDTDYVIWHMPMIFQEKIAALDKRRVEENHEQDMPTRSRLLRRRGRARKLKYSWKSAGHPSFWKRIADGKNQSCKQFTPCGCQSMCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEACEELFYDYRYGPDQAPAWARKPEGSKRDDSTISQGRAKKHQSH >Potri.002G195700.2.v4.1 pep chromosome:Pop_tri_v4:2:15847941:15855669:1 gene:Potri.002G195700.v4.1 transcript:Potri.002G195700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195700.v4.1 MSRSSDSASKFRKSDGEPSNNGVGNLTSKMNQLKRQIQAERVVSIKDKVEKNRRKLEADVSQLRLATSRTFMGQNGVSKMISLRIGTPLCKYGGFAQGSGDKDVINGHEVAATTGTKLPFVEKIPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDQHGSEALICSDSEEDNEPEEEKHEFCDGEDRILWMVSREHGLAEEVLNVVSQFIGVGTTEIQERCRTLEEKYSGDQSVKDTSDSGTGRGISMEKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQSYWSEYEDDRKPCSDQCSLRLRVVKDLPGGSVNRTKTATSTEEKKTAAASDAEGPSGVDFMIDEESIMEAFCNLEPASEAPNLDMSAMVIHNQEYMRKRKAPQHTDIAPDGSSQAPDDMQDFSKKKKRLLHLDVVNEAAEGVFPDHGSTAKKASDKIELKMTIKKTTNDSFETVCSGTEENVGHGAKDVFGVPRPKQSSSVDRAAEGVLRKSEWKPIEKELYLKGVEIFGKNSCLIARNLLSGLKTCIEVSNYMRESGAMMPHRSVAPRSFLEDSGKSDTDYVIWHMPMIFQEKIAALDKRRVEENHVRIFEQDMPTRSRLLRRRGRARKLKYSWKSAGHPSFWKRIADGKNQSCKQFTPCGCQSMCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDVCRNCWVSCGDGSLGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKNPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCYAKVMLVAGDHRVGIFAKEHIEACEELFYDYRYGPDQAPAWARKPEGSKRDDSTISQGRAKKHQSH >Potri.006G015700.9.v4.1 pep chromosome:Pop_tri_v4:6:997671:1001809:-1 gene:Potri.006G015700.v4.1 transcript:Potri.006G015700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015700.v4.1 MEMELGKLFIGGISWDTNEDRLKEYFRAFGEVLEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHLIDGRNVEAKKAVPREDQNTLNKNSSSVNGSPGPARTKKIFVGGLASTVTESDFRKYFDQFGVITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPARNQLGGFNYSPSRVSSFLNGYTQGYNPSFVGGYGVRMDGRFSPVTAGRSNFSPFGSGYGMGLNFEQVLNPVYGGNSNISSNAGYGRVSPSYSGNASRYSNPIGFSGGNGGSSSVLNPIAHSLWGNGSINHASNTTNSSSFMSSGTGSSGMGSFSSMGALWGSSANSEQGGGVRAVNSSNLSFGGGDFDIGLEGVGYGRNSRTGVAPASSHAASNGGYDGAYADFYEKGSLYGDNTWQSSPSELDVSGSFGFGLGNATSDVMTKNSAGYVGGYSVANRQSNRGIAA >Potri.006G015700.2.v4.1 pep chromosome:Pop_tri_v4:6:997520:1001915:-1 gene:Potri.006G015700.v4.1 transcript:Potri.006G015700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015700.v4.1 MEMELGKLFIGGISWDTNEDRLKEYFRAFGEVLEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHLIDGRNVEAKKAVPREDQNTLNKNSSSVNGSPGPARTKKIFVGGLASTVTESDFRKYFDQFGVITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPARNQLGGFNYSPSRVSSFLNGYTQGYNPSFVGGYGVRMDGRFSPVTAGRSNFSPFGSGYGMGLNFEQVLNPVYGGNSNISSNAGYGRVSPSYSGNASRYSNPIGFSGGNGGSSSVLNPIAHSLWGNGSINHASNTTNSSSFMSSGTGSSGMGSFSSMGALWGSSANSEQGGGVRAVNSSNLSFGGGDFDIGLEGVGYGRNSRTGVAPASSHAASNGGYDGAYADFYEKGSLYGDNTWQSSPSELDVSGSFGFGLGNATSDVMTKNSAGYVGGYSVANRQSNRGIAA >Potri.006G015700.3.v4.1 pep chromosome:Pop_tri_v4:6:997540:1001915:-1 gene:Potri.006G015700.v4.1 transcript:Potri.006G015700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015700.v4.1 MEMELGKLFIGGISWDTNEDRLKEYFRAFGEVLEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHLIDGRNVEAKKAVPREDQNTLNKNSSSVNGSPGPARTKKIFVGGLASTVTESDFRKYFDQFGVITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPARNQLGGFNYSPSRVSSFLNGYTQGYNPSFVGGYGVRMDGRFSPVTAGRSNFSPFGSGYGMGLNFEQVLNPVYGGNSNISSNAGYGRVSPSYSGNASRYSNPIGFSGGNGGSSSVLNPIAHSLWGNGSINHASNTTNSSSFMSSGTGSSGMGSFSSMGALWGSSANSEQGGGVRAVNSSNLSFGGGDFDIGLEGVGYGRNSRTGVAPASSHAASNGGYDGAYADFYEKGSLYGDNTWQSSPSELDVSGSFGFGLGNATSDVMTKNSAGYVGGYSVANRQSNREKCRNKNNP >Potri.006G015700.8.v4.1 pep chromosome:Pop_tri_v4:6:997542:1001903:-1 gene:Potri.006G015700.v4.1 transcript:Potri.006G015700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015700.v4.1 MEMELGKLFIGGISWDTNEDRLKEYFRAFGEVLEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHLIDGRNVEAKKAVPREDQNTLNKNSSSVNGSPGPARTKKIFVGGLASTVTESDFRKYFDQFGVITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPARNQLGGFNYSPSRVSSFLNGYTQGYNPSFVGGYGVRMDGRFSPVTAGRSNFSPFGSGYGMGLNFEQVLNPVYGGNSNISSNAGYGRVSPSYSGNASRYSNPIGFSGGNGGSSSVLNPIAHSLWGNGSINHASNTTNSSSFMSSGTGSSGMGSFSSMGALWGSSANSEQGGGVRAVNSSNLSFGGGDFDIGLEGVGYGRNSRTGVAPASSHAASNGGYDGAYADFYEKGSLYGDNTWQSSPSELDVSGSFGFGLGNATSDVMTKNSAGYVGGYSVANRQSNRGKCFAPSFC >Potri.006G015700.10.v4.1 pep chromosome:Pop_tri_v4:6:997672:1001809:-1 gene:Potri.006G015700.v4.1 transcript:Potri.006G015700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015700.v4.1 MEMELGKLFIGGISWDTNEDRLKEYFRAFGEVLEAVIMKDRATGRARGFGFVVFADPAVAERVVMEKHLIDGRNVEAKKAVPREDQNTLNKNSSSVNGSPGPARTKKIFVGGLASTVTESDFRKYFDQFGVITDVVVMYDHNTQRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPARNQLGGFNYSPSRVSSFLNGYTQGYNPSFVGGYGVRMDGRFSPVTAGRSNFSPFGSGYGMGLNFEQVLNPVYGGNSNISSNAGYGRVSPSYSGNASRYSNPIGFSGGNGGSSSVLNPIAHSLWGNGSINHASNTTNSSSFMSSGTGSSGMGSFSSMGALWGSSANSEQGGGVRAVNSSNLSFGGGDFDIGLEGVGYGRNSRTGVAPASSHAASNGGYDGAYADFYEKGSLYGDNTWQSSPSELDVSGSFGFGLGNATSDVMTKNSAGYVGGYSVANRQSNRGIAA >Potri.013G125100.1.v4.1 pep chromosome:Pop_tri_v4:13:13308074:13309182:-1 gene:Potri.013G125100.v4.1 transcript:Potri.013G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125100.v4.1 MRSNLLTIILAIILAGAVPEKGVEAQSCGCAANLCCSQYGYCGTGNAYCGQGCKQGPCSASPSTPSGGGASVADIVTPSFFDGIISQAGAGCAGKNFYTRNTFLDALNSYSQFGQLGSDDASKPEIAAFFAHVTHETGHFCYIEEINGSSRDYCDGKNKEYPCVPGKKYHGRGPLQISWNFNYGPAGKSNDFDGLNNPDIVAKDPVVAFKTALWFWMNNIRPVLNQGFGATIRAINGALECNGGNPRTVQTRIGYYRDYCNQLGVAPGNNLSC >Potri.019G044400.1.v4.1 pep chromosome:Pop_tri_v4:19:6180018:6187069:1 gene:Potri.019G044400.v4.1 transcript:Potri.019G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044400.v4.1 MLNGFGQKLPSLNPRVSSVRSYQVLLTGLVSISVSLTRTHLVLVRVVGLGLCHLSTAVYIYLIVYINTDNQTQTPKQKKITFLPSKKNQTFLNTMKGKRKATANPVLTDAAEGSSSNLFETQVREGNVDEEEERIWAYNGENREETEGEEEEDDDDEEEEEEEEDSKGEQERDNLFDEERTKLDEGFFEIEAIRRKRVRKGQLQYLIKWRGWPETANTWEPLENLQSCADVIDAFEESLQSGKSFRKRKRKHGGPHTQSKKKQSRSSTSYNVLDVEISIADKHLPSAPLSSSLLGDLPSPLQFISSDPGGESNGEANNVKTFKQIDENGSMNGSKHIFPRKEDNEYDPKLSELRGTIPNIDVNTNNLAIHFHEEKTLEGNGLANGLPKVDYDPVQNSQRTGARKRKSGSVKRFKKDSVKPAFLHNSSANFSVGSTGGVAQLGIENPSLTWGNSSHMPTAENTINALAITKILKPTGFSASVSDNVQDVLITFRALRSDGQEVTVDNRFLKANNPHLLINFYEQHLKYST >Potri.005G250800.1.v4.1 pep chromosome:Pop_tri_v4:5:24410442:24411618:1 gene:Potri.005G250800.v4.1 transcript:Potri.005G250800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250800.v4.1 MSRMRTDRKPPLAKSPIRIRPRRVLRSESTTLQTPPGSLTKSQKPNRKLDTEDSDLRPEYHSISCELRALAKMVRDEFGNGESTNGGVGKSLSANSSPLFERGRFYEEYSARRNDRLKRKKGDTGDDVKTPYNLGVTVESSKRRYTRKLESARKSVSDACLVERNETPRYLLRSMNKENKKPPLPVYSFEKSVLAGERKVAARKVRKI >Potri.001G352200.1.v4.1 pep chromosome:Pop_tri_v4:1:36568112:36570651:-1 gene:Potri.001G352200.v4.1 transcript:Potri.001G352200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352200.v4.1 MGEAQEVQLQVMGKEAKEASPPRHENETNQPAIPQKRNSKWWLLVAFYSLLLLAGQSVAVLLGRLYFEKGGNSSWMGALVQPAGFPILLPFYLSQPKSPSTSNFETNLPSNLVLASIYISSGLFLAIVSMLHSLGLKYLPVSTYSLVCASQLGFNALFSFFLNSLKLTPFIINSLVLLTISSILLVFQDDSAESKQVYKRKYAFGFICTVGASAGYGLLLSLTQFAFKKVLKQETFKVVLDMTIYPSLACTIAVLVGLFASGEWKGLGKEMEGFKLGEVSYCMTLIWTAISWQLFSIGCVGLIFEVSSVFSNAISTFGLPVVPVLAVFCFGDKMDVIKAIAMVLAIWGFLSYVYQHYLDDCKLKKQKSNAAATEMLITG >Potri.008G084200.1.v4.1 pep chromosome:Pop_tri_v4:8:5266961:5268032:1 gene:Potri.008G084200.v4.1 transcript:Potri.008G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084200.v4.1 MGIWDYINSSADLVNRRALTPTKNICWNSFSYGRAAVTRIDTAVRVNAIPALNKRLQDEETRAMMSHVTINCAKNAAIFAFREGVKIVPGGAPVYEIVSKSIGKKGVAGDSKEKTNKLEAEVEVLKKEINELKRLSNQCEASDYMNGCGSTARVDCFEQPKVVNKTLQGAVVRSFMNTQQPDSAIRRFMMEGFAHVLEYEVKSENEQPHK >Potri.009G026100.4.v4.1 pep chromosome:Pop_tri_v4:9:3752686:3758287:-1 gene:Potri.009G026100.v4.1 transcript:Potri.009G026100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026100.v4.1 MAIEKNNFKVSNKFDAELSPDSRDTAMSSDEDEDEDDLLHQQRIGSDEDEEDDVDVEEGDEDDEEFNDADSGAGSDDFDLLELGETRAEFCQFGNLTCSVPFELYDLSGLQDILSVDVWNDVLTEDDKFSLTKYLPDVDQDTFMRTLKELLEGGNFHFGSPINKLFQMLKGGLCEPRVALYRDGLYFFQQRQHYHLLRKHQNSMVSHLCQIRDAWHDCKGYSIGEKLRVLNIMKSHKSLMHENAEGELESGSSDQGEPGDRFWDRTVKDKKSASKFDRTPAYRVGSGLEFSSPVSLEVAKYGKQNPRGILKSAGSKDPSTRDVPGRFPSVYHGLGMTSSPHGSALTLSRQNKVAGYDSGDAPRQRDQMTTEKDDAEYAMYRLGVQRDRNMVLGGDMVKSRVPRAGKKHDFRTTRLAADSFMNLPFSSNNDLHAYGRDNNAGPLSEAKVFTSNILNNRTKSESSKKTKYAENSPQFTVPDQMKYLKGQTPQLPLKGNRVDLSDHAEPICHSKNQGQVFSMDSTFKSNDWNMRSKKCRTGRESPDLNFKAHRALSPQVNDRIALPQVRAKQSREKIRGRVIQNGRPEKRALKANRIYIKGEETESDSSEQFDDEDDDGSNPLMKSKSAYPTSIIEGSRSSFLKPSLGAKKASFIKKDVQENELAFDGIAHVSKKVSGFTEPGQMPRYLSKAKQMGKMHETHSSSARVLEDSSLTGLGKLKDDNDRNRIHRSGKIGQLRVESGERLHRSSSKAYPSDRKQKGEVSHDFIVDDEDDLLETQLLSDENALVRLRKKGRNMETYAHGQSDRPEALLLGCNSGMKKRKAKYDVMDMAGRDEDGNRHSNSVEQQIDDSISLKKKGKRKLEADDVIPDWETPEAPVTKTGVVDVELEAKPQKKPYTPITPTVHIGFSFSIIHLLSAVRLAMITPLSEDSLEVGKPTAELNRAHEGDNNGVLSNENADVNKSDPAAQVKMPSLTVQEIVNRVRSNPMDPCILETQEPLQDLIRGVLKIFSSKTAPLGIKGWKALVFYDKSTKTWSWIGPVSHTLTDHDTFIEVTSPEYWGLPHKSCVKLVDSFANWLKSGQETLQQIGSLPAPPLSLMQCNLDEKERFRDLRAQKSLNTISPSSEEGRAYFRREEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYTVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADLSDQGTVTVAFHGAGDQSGFDLGSDLNAEPLAAADDKRTDLVCSDVRQSAEDTVDTTHGLQQGSTYQGESMVWEALSLNPLEENKLICQEDSTNEDFDDETFERERPDGILSTSLL >Potri.010G116300.1.v4.1 pep chromosome:Pop_tri_v4:10:13562674:13565134:1 gene:Potri.010G116300.v4.1 transcript:Potri.010G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116300.v4.1 MEGFPISYNFTCLVLLLTWSLIVYLYYSFWWRPELRLRKQGIRGPPPNFLLGNIPEIKQATVQNRSESTPSMESDSFSGFPSFKQWCKKYGNTYMFKLGALHFLYATNPFMVKEIKLFRSLDLGKPAYLQKDRGVLLGKGVITSNGPAWSHQRKILSPQLYVDKDTLNIIVESGITVIKSWERILMESKDGLDADIMVDSHMRSFTSCIISKLMFGHDHCRGMNVTARCHTLFKAMGTPTTIGIPFLRYLPTKANRNAWRLAKEIHSMILDIAKNRCGSSTTKDILQVILEGSENGGPGPSSAHEFIVDNCKDMLLAASEGTAISAMWGLMLLASNPEWQARARSEVKQVCGGHLPNFNMLGKMKVLKMVILEVLRLYPPVALVSRRALQDVKLCNMQVPKGVNIWIWAPALHRDPDLWGPDADKFNPERFIDGVSGACKSSHAYIPFGVGARLCPGNKLGMIQLKVLLAMILSSFNLSISPNYRHSPTLGLLLEPEHGVNLVIQKI >Potri.010G183100.1.v4.1 pep chromosome:Pop_tri_v4:10:18100037:18101885:1 gene:Potri.010G183100.v4.1 transcript:Potri.010G183100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183100.v4.1 MASCCYPDVLAWIQNLPPITRWKEKNPLSICICSSGSFQPSLNLSVAKNLQSPTISFSIIADLNLPISLWSSKPIKTNPKSLKLLDDETISSLSINLIEDVLGYASNKSCSSSTKVLKTDSVLSHLKDTFNLAFLTLSLLICIYEAPADLRSECLASLKNQLTDSQLRGASKSLMKIMGSNLEEQWMRSLNLAITNWIAELQASHHTLKTPSPLFSYSFSTPGLWKVQLYCPVIAMDIESSSNPPAHERLLFSLKYHQLECVIQFNYKVIVQENWVDVIVNTDNIRCDVVRLVNETLMTEQGAGTDEKHFPSRISLHLTPILQTNIISVSVGKSSANPTREIEMEKGIETSFDPPNSFLGLKVSAGETVSMSLKPWKFEQSVYGTSAIMNWFLHDNMDGREVFSNKPPRTALIKPKAWFKNRYSSSYRPFTRQGGVIFAGDEYGKSVQWKVDKSTMGKTMEWEIKGWIWLTYWPNKYRTAYSETRRLQFKEILHLSIPPS >Potri.005G073700.1.v4.1 pep chromosome:Pop_tri_v4:5:4904766:4906562:1 gene:Potri.005G073700.v4.1 transcript:Potri.005G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073700.v4.1 MGSCRLLPASRSLVLTTAISIYFLLYPVSCFDQHLQPPTSPRKGIAFEEKARLGSTPPSCHNKCNGCHPCIAVQVPALPSQNEPVQMGSAKTSSIDEFFDSYPAGNRFPDYRPLGWKCRCADHFYNPLT >Potri.007G062462.1.v4.1 pep chromosome:Pop_tri_v4:7:7175984:7176442:1 gene:Potri.007G062462.v4.1 transcript:Potri.007G062462.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062462.v4.1 MQYNAGCRLYCQAWLGDFGLAEVYEHSSNTREVTIPPAGRMGYLAPEYVYSAVPTEKTYVYSFGVVVLEVATGRRPVDDDGTVVVDWVWDLREKGKKRPRMLKDRILYQRIREQRSGLLLLLAFLLPHVDSKPSPSPACSLSALFWLTAYLY >Potri.015G084500.1.v4.1 pep chromosome:Pop_tri_v4:15:10912301:10913287:-1 gene:Potri.015G084500.v4.1 transcript:Potri.015G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084500.v4.1 MQDHPIGIPACFTSGEKVADDPATVTRSGQSVFMSAYRTKIADQCRLITITWCKNLLLHGLSVSVEGPEGESQYTCKLELKPWYFWRKQGSKRFVVEGKAVDIFWDLKAAKFKGETEPNSEYYVAVVCDEEVVLLLGDLKKDAYRKTGCRPALIDPILVSRKEHIFGKKKFATRIKFHEKGRFHEISIECKNGSNSGTIVSNGNSINGDEPEMEIRIDGHLVIHVKHLQWKFRGNEYINLRKLRVEVYWDVHDWLFSPGLRHALFIFKPIMSCTSLSLLSTSSSSPTLTSSTSTPLSSQTGGSGSLEGLNAGGGSSDFCLFLYAWKVE >Potri.016G060200.2.v4.1 pep chromosome:Pop_tri_v4:16:4124960:4133531:1 gene:Potri.016G060200.v4.1 transcript:Potri.016G060200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060200.v4.1 MPGLAQRNEQFRNATSSGGSYSISANGFWSKHRDDVSFNQLQKFWSELPPQARQKLLRIDKQTLFEQARKNMYCSRCNGLLLEGFMQIVMYVKSLQQEGGGGHIPCNRLEASKNLNDCGSHVPNGCQDEIQDPSVHPWGGLTTTRDGSLTLLTCYLFSKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLVDFWSALGEETRLSLLRMKEEDFIERLMCRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFQYEVSDDSVQADWRQTFSDTVVSYHHFEWAVGTGEGKSDILEFENVGMNGSVQVTGLDLGGLSACFITLRAWKFDGRCTELSVKAHALKGQQCVHCRLVVGDGFVTITRGESIRRFFEHAEEAEEEEDDDSTDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLSLKLLEDRVHVACKEIITLEKQMKLLEEEEAEKREEEERKERRRTKEREKKIRRKERLKGKERDKEKKCPESNDITIFPDVSKDETTPSVDEELNNAICCRDSVSETGDISLSRPGSPDIQDQQFSYGCETSIMENDSYDSPDGEVANLKEGTGSFSTEQSKYSRRRLKFRKEVQLDSSLKWPDRRRFAVISESGAVVNRSELRHHSDNFETPPRLVNGLNRLSRINGPKSNGRNCGLKFNENFHCSHNRMNDRYDFHSCSCHQNIECRVKVEPHVSSLRSDQESKSVGKSEAVMDMPKQFYRGTKYSPVNYMREGCGRIKIKSSMGNNSKKVWEPVESQKKYSRRSSDSDVTMSSSTKVEAVVPDSKLFKSSGDMCSSEVTGDSIETDHDENNLKESRDRSLATVEDCQSGCHVEANGCYSTETSYEEVSSCPAKNSASSETSDPGIGSSLSSDNCSSCLSEGDSNTVSSNNGHPESSSTSDSEDTSPQSEGRDTSTCSGNGFSNSHELVLDNKPSTNGDEVFGSKKPFELQPDGLRLNILGNPPTTTVQNPDNGIPTVSVGLQRQVVFPPMHNHNLQFPVFQAPSTMGYYHHQTPVSWPAAPANGLMPFPQPNHYLYAGSLGYGLNGNSRFCMQYGPVQHLATPVFNPGPVPVYQPVAKEYGLNSEVRTETRMMQETLTEANKERMVPAKSRSTEAPPSGESGKVDNSAKLPNGNSGFSLFHFGGPVALSTGCKSDPVPSKNGIIGDFSSKVTTNQIENDPACNKKEIAMEEYNLFAASNGIRFSIF >Potri.016G060200.5.v4.1 pep chromosome:Pop_tri_v4:16:4128862:4133439:1 gene:Potri.016G060200.v4.1 transcript:Potri.016G060200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060200.v4.1 MNGSVQVTGLDLGGLSACFITLRAWKFDGRCTELSVKAHALKGQQCVHCRLVVGDGFVTITRGESIRRFFEHAEEAEEEEDDDSTDKDGNELDGECSRPQKHAKSPELAREFLLDAATVIFKEQVEKAFREGTARQNAHSIFVCLSLKLLEDRVHVACKEIITLEKQMKLLEEEEAEKREEEERKERRRTKEREKKIRRKERLKGKERDKEKKCPESNDITIFPDVSKDETTPSVDEELNNAICCRDSVSETGDISLSRPGSPDIQDQQFSYGCETSIMENDSYDSPDGEVANLKEGTGSFSTEQSKYSRRRLKFRKEVQLDSSLKWPDRRRFAVISESGAVVNRSELRHHSDNFETPPRLVNGLNRLSRINGPKSNGRNCGLKFNENFHCSHNRMNDRYDFHSCSCHQNIECRVKVEPHVSSLRSDQESKSVGKSEAVMDMPKQFYRGTKYSPVNYMREGCGRIKIKSSMGNNSKKVWEPVESQKKYSRRSSDSDVTMSSSTKVEAVVPDSKLFKSSGDMCSSEVTGDSIETDHDENNLKESRDRSLATVEDCQSGCHVEANGCYSTETSYEEVSSCPAKNSASSETSDPGIGSSLSSDNCSSCLSEGDSNTVSSNNGHPESSSTSDSEDTSPQSEGRDTSTCSGNGFSNSHELVLDNKPSTNGDEVFGSKKPFELQPDGLRLNILGNPPTTTVQNPDNGIPTVSVGLQRQVVFPPMHNHNLQFPVFQAPSTMGYYHHQTPVSWPAAPANGLMPFPQPNHYLYAGSLGYGLNGNSRFCMQYGPVQHLATPVFNPGPVPVYQPVAKEYGLNSEVRTETRMMQETLTEANKERMVPAKSRSTEAPPSGESGKVDNSAKLPNGNSGFSLFHFGGPVALSTGCKSDPVPSKNGIIGDFSSKVTTNQIENDPACNKKEIAMEEYNLFAASNGIRFSIF >Potri.001G337466.1.v4.1 pep chromosome:Pop_tri_v4:1:34592494:34593470:-1 gene:Potri.001G337466.v4.1 transcript:Potri.001G337466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337466.v4.1 MEDVHVIFKELEIFHFLSDSKFTQQEHPAWKPIPTPRWVVFAFVLVAIVFIPIGIACLTGSQDVSLSVT >Potri.004G217600.3.v4.1 pep chromosome:Pop_tri_v4:4:22318595:22326702:-1 gene:Potri.004G217600.v4.1 transcript:Potri.004G217600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217600.v4.1 MANSTDAKDAAMAKVRPGHKREFEFAFRARSEIRGYLGRTRSSRVFSSPGNNGSNSYNGKKLKGYGIKKVCQLEKAEKVDVVDLEEAKVESVTPLLSKNGDAGIVEVKEIEEAKEKVVECEERNKGSLLILDKDLKEEGDLCEERNNGLVTVLMDVEMEENEVLGSKSGVEVKEGYKDHPCEEGISGLVLMDEDSNAIVNRAFERKNDCELKKDDAREEGTSGLSSVLVKNGEGGDVNNSLHPVVVDGDIKCKVEAEKPFRRFTRSALKPKIETVDISSSDGVKVDDRGSSSAAAATTTTTTPTKMFSIDGSKKFPTKLKDLLDSGILEGQKVKYLRGAKVRGPGEKGLHGMVRESGILCFCDDCKGKEVVTPAIFVLHAGSSNKRPPEYICLENGNTLCDVMNACKNSSLDTLDEAIRLSTGFSPSKKSNFCLNCRGAGSRKSKVLCSQCFGLKDFQASSAPKTAKKERTAKPHSVPESSCNLLKSSLSGSKSQGRVTKKDIRTHKLVFEEEVLPDGTEVGYYCQGKKLLAGYKKGFGIFCSCCNSEVSPSQFEAHAGWASRRKPYLNIYTSNGVSLHELAISLSKGRRHSIKENDDLCQICRDGGKLLCCDVCPRAFHQECLSLPSIPRGKWYCKYCLNTFEKEKFVERNANAIAAGRVAGVDPIEQITRRCIRIVKTFEAEVGGCVFCRGHDFERTFGPRTVIICDQCEKEFHVGCLKEHKMQDLKELPKGKWFCCTGCERIHSALQKLVIRGEEKLPDSSLNFIKKHEESASESGCSDDVRWRLLSKKTDSSDVTEALLSDAVAIFHECFDPITVDKSKRRRDDHDFIPSMVKGGNMKGQDLGGMYCAVLLVNHVVVSVAVVRIFGQELAELPIVATSSRWQGQGYFQTLFTCIEKLLGFLNVKNLVLPAAEEVGSIWKNKFGFGAITQDELMEYRRRYQIMVFQGALMLQKPVPKCRIVGKSEGG >Potri.004G217600.1.v4.1 pep chromosome:Pop_tri_v4:4:22318164:22326726:-1 gene:Potri.004G217600.v4.1 transcript:Potri.004G217600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217600.v4.1 MANSTDAKDAAMAKVRPGHKREFEFAFRARSEIRGYLGRTRSSRVFSSPGNNGSNSYNGKKLKGYGIKKVCQLEKAEKVDVVDLEEAKVESVTPLLSKNGDAGIVEVKEIEEAKEKVVECEERNKGSLLILDKDLKEEGDLCEERNNGLVTVLMDVEMEENEVLGSKSGVEVKEGYKDHPCEEGISGLVLMDEDSNAIVNRAFERKNDCELKKDDAREEGTSGLSSVLVKNGEGGDVNNSLHPVVVDGDIKCKVEAEKPFRRFTRSALKPKIETVDISSSDGVKVDDRGSSSAAAATTTTTTPTKMFSIDGSKKFPTKLKDLLDSGILEGQKVKYLRGAKVRGPGEKGLHGMVRESGILCFCDDCKGKEVVTPAIFVLHAGSSNKRPPEYICLENGNTLCDVMNACKNSSLDTLDEAIRLSTGFSPSKKSNFCLNCRGSITGAGSRKSKVLCSQCFGLKDFQASSAPKTAKKERTAKPHSVPESSCNLLKSSLSGSKSQGRVTKKDIRTHKLVFEEEVLPDGTEVGYYCQGKKLLAGYKKGFGIFCSCCNSEVSPSQFEAHAGWASRRKPYLNIYTSNGVSLHELAISLSKGRRHSIKENDDLCQICRDGGKLLCCDVCPRAFHQECLSLPSIPRGKWYCKYCLNTFEKEKFVERNANAIAAGRVAGVDPIEQITRRCIRIVKTFEAEVGGCVFCRGHDFERTFGPRTVIICDQCEKEFHVGCLKEHKMQDLKELPKGKWFCCTGCERIHSALQKLVIRGEEKLPDSSLNFIKKHEESASESGCSDDVRWRLLSKKTDSSDVTEALLSDAVAIFHECFDPITVDKSKRRRDDHDFIPSMVKGGNMKGQDLGGMYCAVLLVNHVVVSVAVVRIFGQELAELPIVATSSRWQGQGYFQTLFTCIEKLLGFLNVKNLVLPAAEEVGSIWKNKFGFGAITQDELMEYRRRYQIMVFQGALMLQKPVPKCRIVGKSEGG >Potri.004G217600.4.v4.1 pep chromosome:Pop_tri_v4:4:22318612:22326726:-1 gene:Potri.004G217600.v4.1 transcript:Potri.004G217600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217600.v4.1 MANSTDAKDAAMAKVRPGHKREFEFAFRARSEIRGYLGRTRSSRVFSSPGNNGSNSYNGKKLKGYGIKKVCQLEKAEKVDVVDLEEAKVESVTPLLSKNGDAGIVEVKEIEEAKEKVVECEERNKGSLLILDKDLKEEGDLCEERNNGLVTVLMDVEMEENEVLGSKSGVEVKEGYKDHPCEEGISGLVLMDEDSNAIVNRAFERKNDCELKKDDAREEGTSGLSSVLVKNGEGGDVNNSLHPVVVDGDIKCKVEAEKPFRRFTRSALKPKIETVDISSSDGVKVDDRGSSSAAAATTTTTTPTKMFSIDGSKKFPTKLKDLLDSGILEGQKVKYLRGAKVVTPAIFVLHAGSSNKRPPEYICLENGNTLCDVMNACKNSSLDTLDEAIRLSTGFSPSKKSNFCLNCRGSITGAGSRKSKVLCSQCFGLKDFQASSAPKTAKKERTAKPHSVPESSCNLLKSSLSGSKSQGRVTKKDIRTHKLVFEEEVLPDGTEVGYYCQGKKLLAGYKKGFGIFCSCCNSEVSPSQFEAHAGWASRRKPYLNIYTSNGVSLHELAISLSKGRRHSIKENDDLCQICRDGGKLLCCDVCPRAFHQECLSLPSIPRGKWYCKYCLNTFEKEKFVERNANAIAAGRVAGVDPIEQITRRCIRIVKTFEAEVGGCVFCRGHDFERTFGPRTVIICDQCEKEFHVGCLKEHKMQDLKELPKGKWFCCTGCERIHSALQKLVIRGEEKLPDSSLNFIKKHEESASESGCSDDVRWRLLSKKTDSSDVTEALLSDAVAIFHECFDPITVDKSKRRRDDHDFIPSMVKGGNMKGQDLGGMYCAVLLVNHVVVSVAVVRIFGQELAELPIVATSSRWQGQGYFQTLFTCIEKLLGFLNVKNLVLPAAEEVGSIWKNKFGFGAITQDELMEYRRRYQIMVFQGALMLQKPVPKCRIVGKSEGG >Potri.001G268908.1.v4.1 pep chromosome:Pop_tri_v4:1:28379195:28382271:1 gene:Potri.001G268908.v4.1 transcript:Potri.001G268908.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268908.v4.1 MLRIFCDLCIKAIDMGMRPNIHFDKTGWKFLITSFKEQTGHAFTITQPEKKWDGCKKDWRIWTKLISETGVGWSSELGTNLASNEWWKAKLQEIRGAKKFRHVGIEPSLKIKFDIMYSSIAAIEEYAWAPSLGVLGGNDIDPFINKVNIDGTDMEERSDDSEEDEISNLKNDMSQMVGGVNMSSSNNIKSSGKRKERDPSKVRGRKKKTSGIGVQLLSRWDQLLESMSTRSNFTSLHMDRQDCSILEVMAEL >Potri.014G078700.1.v4.1 pep chromosome:Pop_tri_v4:14:5093878:5095248:-1 gene:Potri.014G078700.v4.1 transcript:Potri.014G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078700.v4.1 MDKQGHKASRTVEVTVLSAENLRLDRKSVKKGTYVIARASPLNSGSTKADFEGGSNPSWNEKLTLDIPFQTRFISLEVKCKTSSGDRVIGTASLPISDILGDYTPENHLHFLSYRLRDSSGGRNGVINVSARVKMPVDSVCPSATKNPSGYGCSSSWQQPALGVPVGHQQNYYSGVVTGVPVWS >Potri.004G213900.1.v4.1 pep chromosome:Pop_tri_v4:4:22053190:22054064:1 gene:Potri.004G213900.v4.1 transcript:Potri.004G213900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213900.v4.1 MRSTSTTTMFYILFIYFLLSSNSLGITMAGRDIPKPPSSVPSTMMAVPLDSQGEDHVRMKPLLNRKRPFFGDRDVKGCLPKGYRHSSAPSRFVNNLPLVLCSKLQP >Potri.008G062950.1.v4.1 pep chromosome:Pop_tri_v4:8:3810928:3811422:-1 gene:Potri.008G062950.v4.1 transcript:Potri.008G062950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062950.v4.1 MLYLWIDFILELPKEKLISNIIVRRLDVMSLFSNLVLIEDEIHIFLCFAHTLVVVWSLIFVASTHKEHSWSLANRIVNNILF >Potri.008G022000.1.v4.1 pep chromosome:Pop_tri_v4:8:1087077:1090196:1 gene:Potri.008G022000.v4.1 transcript:Potri.008G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022000.v4.1 MKGFGRLISRALLNQKRFELCREINTTCRLTPCRFIHVSSAMAPFLHSRGGAFSDCKTLKSSLHPEKWTLLTAPRRTMFIQTQSTPNPLSLMFHPGKPIMDVGSADFPNARSAMNSPLAKSIYEIDGITRVFFGSDFVTVTKSDDASWEFLEPEIFAAIMDFYSSGEPLFQDSKTAAAKDTAISEDDSETVTMIKELLETRIRPAVRMMVEILSIGVLMRKLG >Potri.014G085400.7.v4.1 pep chromosome:Pop_tri_v4:14:5494619:5499758:-1 gene:Potri.014G085400.v4.1 transcript:Potri.014G085400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085400.v4.1 MSEVDMAVIKPEMMKSYIWIQTTDGAIQQVEQEVAMFCPMICQEVIQKGMGSSKNCAISLPQRVTPAMLSLILDYCRFHQVAGRSNKERKSFDEKFVRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKDVDAEEEHVDDRSVDDLLSFINGGDGDSKGIKTSKNKKKHRKRKDLQKCAPSNEAIETPKKESNAASSLCHNAEVDHELQSSLSEKLKLQDAAGEIFAPTVEFDDVDIDDEIDPALKEEIDREVEDFARILNSDWPERMQELLSLGQERRLTHLSVNGNGALKGHANPGQK >Potri.011G110500.1.v4.1 pep chromosome:Pop_tri_v4:11:14055384:14056850:-1 gene:Potri.011G110500.v4.1 transcript:Potri.011G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110500.v4.1 MSKLFSLCLLLSIFFIAHSTVPPSSTFKFVNEGEFGPYISEYVPDYRPLPIGTSPFQLMFYNTTPNAYTLALRMGTRRSESLTRWVWEANRGNPVRENATLTFGKDGNLVLADADGRVAWQTNTANKGVAGFEVLPNGNMVLHDSKGNFIWQSFDSPTDTLLVGQSLRVGGATRLVSRASQKENSDGAYSLVMESKRLVMYYKSPNSPKQYFYYTFNTLQDRLQNVTLNCNPDSYDNSASEVTLDLSSGGWSVHARPKFNATLSFLRIGIDGNLRIYSYNNKVDYMAWDVSFNLFSRDGFPESECQLPERCGKFGLCEDSQCVACPSPSGLLGWSKNCEPVKPPACGSKDFYYYKLEGVDHSMSKYASGSVAVKEDDCGKKCSSDCKCMGYFYNKETSKCTIAYDLQTLTKVSNSTHVGYIKAPKR >Potri.001G056700.3.v4.1 pep chromosome:Pop_tri_v4:1:4260710:4262961:-1 gene:Potri.001G056700.v4.1 transcript:Potri.001G056700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056700.v4.1 MASVCASSAIAAVSISSSSSQKTGFLVGSTKASFLSGKKLRLKKYTAPTAARSVTVCVAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYTAGELEYFTDTTTLFIVELFFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATVFSAFTPK >Potri.004G065900.4.v4.1 pep chromosome:Pop_tri_v4:4:5666759:5669300:-1 gene:Potri.004G065900.v4.1 transcript:Potri.004G065900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065900.v4.1 MSSLRADPPTSKIHPEAEAEATTSCFCSSSKIDHFDRLPDSLLLFVFNKIGDVKALGRCCVVSRRFHSLVPQVDNVVVRVDCVISDDDTSSSSSSIKSHSSSSSGFSSIFRLVFGGISKPFQALSQMFGPKVNSRNGNGPSLSVAADDDMELDQAGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVITNNKISSAMQQENAAAVADDDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLADADGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSEQAATKKDVSDVSWVSTTFEEPYGTAAKMLVKRRTYCLEMNSF >Potri.004G065900.3.v4.1 pep chromosome:Pop_tri_v4:4:5666504:5669257:-1 gene:Potri.004G065900.v4.1 transcript:Potri.004G065900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065900.v4.1 MSSLRADPPTSKIHPEAEAEATTSCFCSSSKIDHFDRLPDSLLLFVFNKIGDVKALGRCCVVSRRFHSLVPQVDNVVVRVDCVISDDDTSSSSSSIKSHSSSSSGFSSIFRLVFGGISKPFQALSQMFGPKVNSRNGNGPSLSVAADDDMELDQAGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVITNNKISSAMQQENAAAVADDDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLADADGQGVLCMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSEQAATKKDVSDVSWVSTTFEEPYGTAAKMLVKRRTYCLEMNSF >Potri.006G201600.1.v4.1 pep chromosome:Pop_tri_v4:6:20910903:20913391:1 gene:Potri.006G201600.v4.1 transcript:Potri.006G201600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201600.v4.1 MTTLTRELKPLLLFLVTITSSTLLPVQSDNTNHVYSPCADTTVQVSDGFTFSIAFSSSKAFFFNSTLQLSPCDSRLSLTSQNSQISVFRPKVDEISLLTINTSSFSPGDFGGYMVAFAGRKYAARSLPAFVANSTYTVTSFTLVLEFKKGRLQNLYWKRDGCAKCSGNSKFVCLNNQDCAIRTSSCIKNGGSVDCSLGIQLAFSGTDKHFSALNSWYEVENLRQYSLYGLYSNLRDSLTSQYNNIF >Potri.003G163151.1.v4.1 pep chromosome:Pop_tri_v4:3:17264076:17265256:1 gene:Potri.003G163151.v4.1 transcript:Potri.003G163151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163151.v4.1 MVKLILAIFCSLSSLLSIFADNPKTIFLISLTSLHHAKKIQPATLKKLQRRDFSLLPMMLITDHEPSCPLRLRHSPSLFPTRAPVREVFFGLGFGL >Potri.015G128700.1.v4.1 pep chromosome:Pop_tri_v4:15:13973373:13974226:-1 gene:Potri.015G128700.v4.1 transcript:Potri.015G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128700.v4.1 MAKPSLIWLLISLLYIASTANCASTTTKSSSRASNFIKASCSATTYPALCVQSLSLYASSIKQSPRQLIQTALSVSLDKAQSTKTFVYKLTKFKGIKPREKAAIKDCFEEIDDTLDKLVKSVKELKNMGSSKGQDYQWHISNVQTWISAALTDENTCVDGFAGKALDGRVKNSITARFVHVERVTSNALALINKFGSQY >Potri.010G010464.1.v4.1 pep chromosome:Pop_tri_v4:10:1893977:1896448:1 gene:Potri.010G010464.v4.1 transcript:Potri.010G010464.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010464.v4.1 MAISNDGSNAGKKKFAIIGVSSIILVAMVVAVAVGIGGSPGDSKQESSPKGQISTTSKSIKAICQPTDYRETCEESLSKAAGNTTDPSKLVQAGFKVTIEALQNAINRSTTLKELAKDPMASQALDNCRELMDDAIAELEHSFDLIESFQASQFDEYVNNLKVWLSATITYQRTCLDGFENTTGSAGEKMKELLMASSQLTSNGLAMVDGVTSILKDLNIPGLTSRRLLEADDEFPSWVNGGKRMLLLKETPATIKADAIVAQDGSGQYKTIAEAIEKIPKKKNETFVIYIKEGVYKEQVSLARSHTHVLMIGDGPTKTKITGNLNYANGVQTFKTATVSISGDHFMAKDIGFENSAGAIGHQAVALRVQADMSVFYNCQIDGYQDTLYAHTKRQFYRDCTITGTIDFIFGDAIAVFQNCKLVVRKPLDNQQCIVTAQGRNETREPTGFVIQNCTITADPQYFPVRLQNKAYLGRPWRELSRTIVMQSHIDDLIAPEGWLPWLGSFGLNTLFYSEYNNKGQGAVETNRVKWAGIKKLTPEAADGYTAAKFIQGDEWIPQTGVPYTAGMISA >Potri.010G010464.2.v4.1 pep chromosome:Pop_tri_v4:10:1893983:1896080:1 gene:Potri.010G010464.v4.1 transcript:Potri.010G010464.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010464.v4.1 MAISNDGSNAGKKKFAIIGVSSIILVAMVVAVAVGIGGSPGDSKQESSPKGQISTTSKSIKAICQPTDYRETCEESLSKAAGNTTDPSKLVQAGFKVTIEALQNAINRSTTLKELAKDPMASQALDNCRELMDDAIAELEHSFDLIESFQASQFDEYVNNLKVWLSATITYQRTCLDGFENTTGSAGEKMKELLMASSQLTSNGLAMVDGVTSILKDLNIPGLTSRRLLEADDEFPSWVNGGKRMLLLKETPATIKADAIVAQDGSGQYKTIAEAIEKIPKKKNETFVIYIKEGVYKEQVSLARSHTHVLMIGDGPTKTKITGNLNYANGVQTFKTATVSISGDHFMAKDIGFENSAGAIGHQAVALRVQADMSVFYNCQIDGYQDTLYAHTKRQFYRDCTITGTIDFIFGDAIAVFQNCKLVVRKPLDNQQCIVTAQGRNETREPTGFVIQNCTITADPQYFPVRLQNKAYLGRPWRELSRTIVMQSHIDDLIAPEGWLPWLGSFGLNTLFYSEYNNKGQGAVETNRVKWAGIKKLTPEAADGYTAAKFIQGDEWIPQTGVPYTAGMISA >Potri.003G109800.3.v4.1 pep chromosome:Pop_tri_v4:3:13219736:13224424:1 gene:Potri.003G109800.v4.1 transcript:Potri.003G109800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109800.v4.1 MASLSIDNEEIGNKGRMWALQQQLDPPMDEEGGGLRNRYDEKDSSTLFLLQLAFQSLGVVYGDLGTSPLYVFYNTFPDGIKDPEDVIGALSLIIYSLTLVPLLKYVFIVCRANDNGQGGTFALYSLLCRHAKLKTIPNQDHSDEKLTTYSHSTFHEKSFAAKTKRWLERHTFRKNSLLVLVLVGTCMVIGDGILTPAISVLSAVQGIKLGRPEMSTEIVLVVAVIILVVLFCLQHRGVEKITWIFAPIVLLWFLLIGGIGIYNIIKYDSSALKAFSPLYIYRYFKRGRKESWTSLGGVMLSITGTEALFADLSHFSVASIQIAFTVVVFPCLLSAYSGQAAYLLKNSDNVVDAFYRSIPDSIYWPVLIIATGAAVVASQATITATFSVIKQAAAVYCFPRVKVMHTSEKMLSHIYIPEINWILMILCIAVTVGFRNQSQIGNASGIAVVIVMLVTTALMVLIMLLVWRWHWILVLVFTLLSLVVEVTYFSALLFKINQGGWVPLVIAAVFLTIMCAWHYGTMKLYEFEVHSKVSMPWILGLGPSLGLVRVPGVGLVYTELARGVPHIFSHFFTNLPALHSVVVFVCIKYLPVHTVLEEERFLVRRIGSKDFHMFRCVARYGYKDLHKKDDDFENKLFDSLFRFVRLESMMEGFSDLDEYSLDDELTRELRNGLSGYNGDELSSTVDETISSVGSTTVNSELQANNAILTSNQVISQITNGELQLLRNCRDSGVVHILGNTVVTARQDSSLWKKIAIDYVYALLRKLCRENTVVFNVPCESLLNVGQVIHV >Potri.003G109800.4.v4.1 pep chromosome:Pop_tri_v4:3:13219736:13224424:1 gene:Potri.003G109800.v4.1 transcript:Potri.003G109800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109800.v4.1 MASLSIDNEEIGNKGRMWALQQQLDPPMDEEGGGLRNRYDEKDSSTLFLLQLAFQSLGVVYGDLGTSPLYVFYNTFPDGIKDPEDVIGALSLIIYSLTLVPLLKYVFIVCRANDNGQGGTFALYSLLCRHAKLKTIPNQDHSDEKLTTYSHSTFHEKSFAAKTKRWLERHTFRKNSLLVLVLVGTCMVIGDGILTPAISVLSAVQGIKLGRPEMSTEIVLVVAVIILVVLFCLQHRGVEKITWIFAPIVLLWFLLIGGIGIYNIIKYDSSALKAFSPLYIYRYFKRGRKESWTSLGGVMLSITGTEALFADLSHFSVASIQIAFTVVVFPCLLSAYSGQAAYLLKNSDNVVDAFYRSIPDSIYWPVLIIATGAAVVASQATITATFSVIKQAAAVYCFPRVKVMHTSEKMLSHIYIPEINWILMILCIAVTVGFRNQSQIGNASGIAVVIVMLVTTALMVLIMLLVWRWHWILVLVFTLLSLVVEVTYFSALLFKINQGGWVPLVIAAVFLTIMCAWHYGTMKLYEFEVHSKVSMPWILGLGPSLGLVRVPGVGLVYTELARGVPHIFSHFFTNLPALHSVVVFVCIKYLPVHTVLEEERFLVRRIGSKDFHMFRCVARYGYKDLHKKDDDFENKLFDSLFRFVRLESMMEGFSDLDEYSLDDELTRELRNGLSGYNGDELSSTVDETISSVGSTTVNSELQANNAILTSNQVISQITNGELQLLRNCRDSGVVHILGNTVVTARQDSSLWKKIAIDYVYALLRKLCRENTVVFNVPCESLLNVGQVIHV >Potri.014G086000.3.v4.1 pep chromosome:Pop_tri_v4:14:5543185:5546232:-1 gene:Potri.014G086000.v4.1 transcript:Potri.014G086000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086000.v4.1 MEGKNEGEENPPRGNDWEVVSLTASTYAAAPGPKEFDQKDDDNSKVYEEDEAESSHALFMSRHFVFPPSQHENLPLEHVNSEILDSHVGKNVALELGPEEGGRSSGKNEEIWPFKGLEESEEYPGIQLFDEKGKKGQEFEESTTLQDFSDKEQSIYSTAALTSFHNETELSGSTTYGENLGIPEVNESSERGLDFPAVVPFSPKAAKDADLPSNAWWKRRAASLYAHAKEANTFWSIFVTAAVMGIVILGQRWQQERWQALQLKWQASINNEVLFPESFFCFFCGSRFFFAYKFF >Potri.014G086000.2.v4.1 pep chromosome:Pop_tri_v4:14:5543152:5546276:-1 gene:Potri.014G086000.v4.1 transcript:Potri.014G086000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086000.v4.1 MEGKNEGEENPPRGNDWEVVSLTASTYAAAPGPKEFDQKDDDNSKVYEEDEAESSHALFMSRHFVFPPSQHENLPLEHVNSEILDSHVGKNVALELGPEEGGRSSGKNEEIWPFKGLEESEEYPGIQLFDEKGKKGQEFEESTTLQDFSDKEQSIYSTAALTSFHNETELSGSTTYGENLGIPEVNESSERGLDFPAVVPFSPKAAKDADLPSNAWWKRRAASLYAHAKEANTFWSIFVTAAVMGIVILGQRWQQERWQALQLKWQASINNERSGSVLRPITRLKDVIVGGNRRGSFIRGSSSSDN >Potri.014G086000.1.v4.1 pep chromosome:Pop_tri_v4:14:5543018:5546307:-1 gene:Potri.014G086000.v4.1 transcript:Potri.014G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086000.v4.1 MEGKNEGEENPPRGNDWEVVSLTASTYAAAPGPKEFDQKDDDNSKVYEEDEAESSHALFMSRHFVFPPSQHENLPLEHVNSEILDSHVGKNVALELGPEEGGRSSGKNEEIWPFKGLEESEEYPGIQLFDEKGKKGQEFEESTTLQDFSDKEQSIYSTAALTSFHNETELSGSTTYGENLGIPEVNESSERGLDFPAVVPFSPKAAKDADLPSNAWWKRRAASLYAHAKEANTFWSIFVTAAVMGIVILGQRWQQERWQALQLKWQASINNERSGSVLRPITRLKDVIVGGNRRGSFIRGSSSSDN >Potri.003G060800.3.v4.1 pep chromosome:Pop_tri_v4:3:8742542:8745294:-1 gene:Potri.003G060800.v4.1 transcript:Potri.003G060800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060800.v4.1 MPRDRRVHRLSSDRSRSSPYPRSSKNSEQFKTQSSLGSDENIQKWGNVRCPVSVDHPRDKGDDPERSLRSTKDVKEWEEIRCPICMEHPHNAVLLQCSSFGKGCRPHMCNTSYRHSNCLDQFRKSNVSSPSVEILHEIPSVSNRTGGELQLLGQTGHKESEPQPKLWCPLCRGQIYGWTVVKPAREFMNSKVRSCSWETCDFSGSYSELRKHARSDHPFIRPSEVDIQRQHDWANFEYERDVADIVATLGLTREEQEELGRDFDDLPAMVSPNEEEDEENEDRNRGDSYRVRRTSNRNDRPVVLLRFELEMSPEDHDMETSHNSRQTNNFRWNRNMSPVPARYERGASYANRRTNNNFRRNNSSRSNNIPERMLHGLNSHSYPNRRTNNTRWNNSSRNNDPERMRHGLNSYRQNDGFSRNGNFRGNGNFWSNRTERNERRRSDSFRRRPSERTP >Potri.004G123700.6.v4.1 pep chromosome:Pop_tri_v4:4:11948316:11948720:-1 gene:Potri.004G123700.v4.1 transcript:Potri.004G123700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123700.v4.1 MAKPISSATTSLFQTLKRYLKKPWEITGPCASPEYKSALPMATEYRPECPATTKVKPVVPTSNPETVYDIKYFVRDQRRDRPPIQRTVLKKSDVVNLMKEKQSFDVTEFPIPYLTAKVEEDDNAYGGGYETGFK >Potri.004G123700.5.v4.1 pep chromosome:Pop_tri_v4:4:11946180:11948829:-1 gene:Potri.004G123700.v4.1 transcript:Potri.004G123700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123700.v4.1 MAKPISSATTSLFQTLKRYLKKPWEITGPCASPEYKSALPMATEYRPECPATTKVKPVVPTSNPETVYDIKYFVRDQRRDRPPIQRTVLKKSDVVNLMKEKQSFDVTEFPIPYLTAKVEEDDNAYGGGYETGFK >Potri.004G123700.4.v4.1 pep chromosome:Pop_tri_v4:4:11946086:11948835:-1 gene:Potri.004G123700.v4.1 transcript:Potri.004G123700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123700.v4.1 MAKPISSATTSLFQTLKRYLKKPWEITGPCASPEYKSALPMATEYRPECPATTKVKPVVPTSNPETVYDIKYFVRDQRRDRPPIQRTVLKKSDVVNLMKEKQSFDVTEFPIPYLTAKVEEDDNAYGGGYETGFK >Potri.003G132300.2.v4.1 pep chromosome:Pop_tri_v4:3:15048675:15051737:-1 gene:Potri.003G132300.v4.1 transcript:Potri.003G132300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132300.v4.1 MVFKLNNHLLSSIASSNGHGEDSPYFEGWKAYDSNPHHPTENPNGVIQMGLAENQLCFDLIQDWLKNNPKASICTPEGVNEFREAVAKFMEKVRGNKVTFDPDRIVMNGGATGAHETIAFCLADPGEAFLVPTPYYPGFDRDLRWRTGVKLIPVDSESSNNFKVTREALENAYEMAKLGNIKVKGLLITNPSNPLGTMLDRETLRSIVSFINEKNIHLVCDEIYAATVFSQPDFISIAEILQEDIECNLDLVHIVYSLSKDMGFPGLRVGIIYSYNDAVVSCARKMSSFGLVSSQTQHMIASMLSDDEFVGKFIRKSKRRLAARHRIFTYGLAQVSIKCLTTSNAGLFLWMDLSGLLEEKTFEAEMALWRVIIHEVKLNVSPGCSFHCTEPGWFRVCFANMDDQTMQVALSRIRTFVNKDVDTKKPKKTLRWQGSLKLNSPRIYDDFINSPHSPIPQSPLVRART >Potri.003G132300.1.v4.1 pep chromosome:Pop_tri_v4:3:15048675:15051737:-1 gene:Potri.003G132300.v4.1 transcript:Potri.003G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132300.v4.1 MVFKLNNHLLSSIASSNGHGEDSPYFEGWKAYDSNPHHPTENPNGVIQMGLAENQLCFDLIQDWLKNNPKASICTPEGVNEFREVAIFQDYHGLPEFRNAVAKFMEKVRGNKVTFDPDRIVMNGGATGAHETIAFCLADPGEAFLVPTPYYPGFDRDLRWRTGVKLIPVDSESSNNFKVTREALENAYEMAKLGNIKVKGLLITNPSNPLGTMLDRETLRSIVSFINEKNIHLVCDEIYAATVFSQPDFISIAEILQEDIECNLDLVHIVYSLSKDMGFPGLRVGIIYSYNDAVVSCARKMSSFGLVSSQTQHMIASMLSDDEFVGKFIRKSKRRLAARHRIFTYGLAQVSIKCLTTSNAGLFLWMDLSGLLEEKTFEAEMALWRVIIHEVKLNVSPGCSFHCTEPGWFRVCFANMDDQTMQVALSRIRTFVNKDVDTKKPKKTLRWQGSLKLNSPRIYDDFINSPHSPIPQSPLVRART >Potri.014G025700.1.v4.1 pep chromosome:Pop_tri_v4:14:1590319:1593817:1 gene:Potri.014G025700.v4.1 transcript:Potri.014G025700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025700.v4.1 MNTSMEESNTNPKTLSSSLPPGCRFYPSDEQLFCYYLKNKNRTVPNDTDDVNGYDLIKELDLYDYEPFDLPENACYAYGYKARKRHWYCYTKEQVGVPRRKAKGGFWRISGRVRDVVVGPGGEAVMGTRTRFVFYMGNSVKSAVRTGWVLYEYALVDHAKASFVLCRVFVKSRGGNSISENVVSSCAEESVSALPSIGAQHDEFLTPDIAEAKGPNDLSRYSARLDRELDDQVTTRPLSISSFQFPSDSQCNEAVRRTSGPTGGNMYVDAETSQQLQSILEGDFIELDDLVD >Potri.011G037000.5.v4.1 pep chromosome:Pop_tri_v4:11:2842991:2848487:-1 gene:Potri.011G037000.v4.1 transcript:Potri.011G037000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037000.v4.1 MKNIVLSSNPSTTSNNPILQLLSTGNLVVKDIGSDDISNNYIWQSFDYPCDTLIPGMKLGWDLTTGQNWFLTSWKSLQDPSAGLYTYKLDIKGLPQVHLRRGSDIVYRSGPWDGVMWDGLRLGGGLQMKGFQIFKSIFIYNSNYIYFSFDNSDNNMISRFLVDSSGVLNYFTWNQKSNEWFLMFSLQKDLCDAYSRCGPNGICNENQVPICHCPTGFVPKVTEEWYSMDWSSGCVPRKPLNCSTNEGFMRFPNLKLPDNSYAMQSITTNQENCADACLRNCSCVAYATTELIDCVTWFGDLLDVSEFNDGGDELYVRMAASELESSAMDKVTLIIFWASTILAVLLLVLVALYVLWKRKSGRKIGQSVEEACHDDKPGLEDLELPLFDRSTIAAATNDFAFANKVGEGGFGPVYKGKLSTGQEIAVKVLSKDSGQGLKEFKNEVILIAKLQHRNLVRLLGCYIHAEEQMLVYEYMSKRSLDLYIFDSQEGASLDWQKRFNIVVGIARGLLYLHRDSRLRIIHRDLKASNILLDSDLNPKISDFGLARMFGGDQTEAKTCRVMGTYGYMSPEYAIDGQFSVKSDVFSFGVLLLEIVSGKRNREFYHPDHDFNLLGHAWILWNDERAIELLMDPFMGNPINTSEVLKCIQVGLLCVQQCPEDRPTMSSVVLMLDCENPLLPQPRKPGYYTDRCLLSNMESYFSGNDLSITTLMGR >Potri.004G000300.2.v4.1 pep chromosome:Pop_tri_v4:4:43476:45108:-1 gene:Potri.004G000300.v4.1 transcript:Potri.004G000300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G000300.v4.1 MAGAILNTLNTRLDARTISLLLCHAESELLFVDLMSVSLVNEAISLLPSSAKPPLLVLIADDDEVLPQQPSPTIHFYDTYEGLLEKGDPAFNWTRPKNDFDPIVLNYTSGTTSSPKGVVHCHRGLFIVTLDSLVDWSFPKQPVFLWTLPMFHSNGWSYPWGMAAVGGTNICLRKFDAPTIYGLIKKHGVTHMCGAPVVLNMLSNSPITMEPLKNPVHIITAGAPPPATILSRTESLGFVVGHGYGLTETGGIVVSCAWKRQWNLFPATERARLKARQGVRTVGMTEVDVVDPVTGMSVKRDGLTLGEIVLRGGCIMLGYLKDPVATAKCMTENGWFCTGDVGVMHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYTFPDINEAAVVARPDEFWGETPCAFVSLKEACCRIPTEKEIIEHCRGKLPHYMVPKTVVVKEKLPKTSTGKIQKAVLRDMAKAMGSSRASRM >Potri.004G000300.1.v4.1 pep chromosome:Pop_tri_v4:4:43491:46202:-1 gene:Potri.004G000300.v4.1 transcript:Potri.004G000300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G000300.v4.1 MDELRPRPANSYALTPVGFLDRAAIVYGDCPSIIYNNISYTWSQTHRRCLQLASSLSSIGLNNGHVVSILAPNIPAMYELHFAVPMAGAILNTLNTRLDARTISLLLCHAESELLFVDLMSVSLVNEAISLLPSSAKPPLLVLIADDDEVLPQQPSPTIHFYDTYEGLLEKGDPAFNWTRPKNDFDPIVLNYTSGTTSSPKGVVHCHRGLFIVTLDSLVDWSFPKQPVFLWTLPMFHSNGWSYPWGMAAVGGTNICLRKFDAPTIYGLIKKHGVTHMCGAPVVLNMLSNSPITMEPLKNPVHIITAGAPPPATILSRTESLGFVVGHGYGLTETGGIVVSCAWKRQWNLFPATERARLKARQGVRTVGMTEVDVVDPVTGMSVKRDGLTLGEIVLRGGCIMLGYLKDPVATAKCMTENGWFCTGDVGVMHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYTFPDINEAAVVARPDEFWGETPCAFVSLKEACCRIPTEKEIIEHCRGKLPHYMVPKTVVVKEKLPKTSTGKIQKAVLRDMAKAMGSSRASRM >Potri.001G433466.3.v4.1 pep chromosome:Pop_tri_v4:1:45876490:45882351:-1 gene:Potri.001G433466.v4.1 transcript:Potri.001G433466.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G433466.v4.1 MKALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAIESQLFPEVLMATVSQNPNFIGIQDRMADSLHLKFEKTSKEGRASELWQRLLGKKMLIILDDVWKHIDLKEIGIPFGDDHRGCKILLTTRLQGICFSMECQQKVLLRVLPDDEAWDLFRINAGLRDGDSTLNTVTREVARECQGLPIALVTVGRALRGKSRVQWEVASKQLKESQFVRMEQIDEQNNAYTCLKLSYDYLKYEETKSCFVLCCLFPEDYDIPIEDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDFAIQIASSKEYGFMVLEKWPTSIESFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVDYGMNVPQRFFEGMKEIEVLSLKGGRLSLQSLELSTKLQSLVLISCGCKDLIWLKKMQRLKILVFQWCSSIEELPDEIGELKELRLLEVTGCERLRRIPVNLIGRLKKLEELLIGHRSFDGWDVDGCDSTGGMNASLTELNSLSQLAVLSLRIPKVECIPRDFVFPSLLKYDLMLGNTTKYYSNGYPTSTRLILGGTSLNAKTFEQLFLHKLEFVEVRDCGDVFTLFPARLQQGLKNLRRVEIEDCKSVEEVFELGEEKELPLLSSLTELKLYRLPELKCIWKGPTRHVSLHSLAHLHLDSLDKMTFISTPSLAQSLSKLETLCISKSGELKHIIREEDGEREIIPESPCFPKLKTIIIEGCGKLEYVFRVSVSLTLQSLPQLKRLQVSDCGELKHIIREEDGEREIIPESPRFPKLKTLRISHCGKLEYVFPVSLSHNRDGIIDLTIEGHEEVGNWLAQLQQNGFVQRLEFVHVDKCGDVRAPFPAKLLRALKNLKELTVRRCKSLEEVFELGEPYEGSTEEKEMPLLSSLILSELPELKCIWKGPTRHVSLQSLARLFLTSLDKLIFIFTPSLAQSLPKLQDLHISKCSELKHIIRKEDGEREIIPESPCFPKLEALFIQDCGKLEYVLPVSVSPSLLNLEEMGIFNAHNLKQIFYSGEGDALTRDAIIKFPQLRELSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLQGLTNFKKLHLRFLLVPDIRCIWKGLELSKLTTLEVVECKRLTHVFTCSMIVSLVQLKVLKILSCEELEQIIAKDDDENDQILLGDHLRSLCFPKLRQIEIRECNKLKSLFPVAMASGLPNLRILRVTKSSHLLGVFGQEDHVSLVNVEKEMVLPNLKKLSLKQLPSIVCFSFGCCDFLFPRLKKLKVYECSKLTTKFAITPDGSMSAQSEVSEVAEDSSINREWTRNNGWKEEEEEEEEEEEDDDDDDGSE >Potri.001G433466.2.v4.1 pep chromosome:Pop_tri_v4:1:45876493:45885298:-1 gene:Potri.001G433466.v4.1 transcript:Potri.001G433466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G433466.v4.1 MALESAGVSIISKIAGVLVEPVGRQFRYMFCLESFAQEFNERKQNLVSAKERLQKGVEAAERNAEEIYKDVKKWLEDATKAIDHEDVKHLENEIRKNDNRFTCCPNCIRQFKLSKALAKKSETFRKLGESSEKLKTVAQKAPPQPIEFLTSKEFTTSESSKEALEQIMKALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAIESQLFPEVLMATVSQNPNFIGIQDRMADSLHLKFEKTSKEGRASELWQRLLGKKMLIILDDVWKHIDLKEIGIPFGDDHRGCKILLTTRLQGICFSMECQQKVLLRVLPDDEAWDLFRINAGLRDGDSTLNTVTREVARECQGLPIALVTVGRALRGKSRVQWEVASKQLKESQFVRMEQIDEQNNAYTCLKLSYDYLKYEETKSCFVLCCLFPEDYDIPIEDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDFAIQIASSKEYGFMVLEKWPTSIESFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVDYGMNVPQRFFEGMKEIEVLSLKGGRLSLQSLELSTKLQSLVLISCGCKDLIWLKKMQRLKILVFQWCSSIEELPDEIGELKELRLLEVTGCERLRRIPVNLIGRLKKLEELLIGHRSFDGWDVDGCDSTGGMNASLTELNSLSQLAVLSLRIPKVECIPRDFVFPSLLKYDLMLGNTTKYYSNGYPTSTRLILGGTSLNAKTFEQLFLHKLEFVEVRDCGDVFTLFPARLQQGLKNLRRVEIEDCKSVEEVFELGEEKELPLLSSLTELKLYRLPELKCIWKGPTRHVSLHSLAHLHLDSLDKMTFISTPSLAQSLSKLETLCISKSGELKHIIREEDGEREIIPESPCFPKLKTIIIEGCGKLEYVFRVSVSLTLQSLPQLKRLQVSDCGELKHIIREEDGEREIIPESPRFPKLKTLRISHCGKLEYVFPVSLSHNRDGIIDLTIEGHEEVGNWLAQLQQNGFVQRLEFVHVDKCGDVRAPFPAKLLRALKNLKELTVRRCKSLEEVFELGEPYEGSTEEKEMPLLSSLILSELPELKCIWKGPTRHVSLQSLARLFLTSLDKLIFIFTPSLAQSLPKLQDLHISKCSELKHIIRKEDGEREIIPESPCFPKLEALFIQDCGKLEYVLPVSVSPSLLNLEEMGIFNAHNLKQIFYSGEGDALTRDAIIKFPQLRELSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLQGLTNFKKLHLRFLLVPDIRCIWKGLELSKLTTLEVVECKRLTHVFTCSMIVSLVQLKVLKILSCEELEQIIAKDDDENDQILLGDHLRSLCFPKLRQIEIRECNKLKSLFPVAMASGLPNLRILRVTKSSHLLGVFGQEDHVSLVNVEKEMVLPNLKKLSLKQLPSIVCFSFGCCDFLFPRLKKLKVYECSKLTTKFAITPDGSMSAQSEVSEVAEDSSINREWTRNNGWKEEEEEEEEEEEDDDDDDGSE >Potri.002G138200.5.v4.1 pep chromosome:Pop_tri_v4:2:10377122:10383612:1 gene:Potri.002G138200.v4.1 transcript:Potri.002G138200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138200.v4.1 MASDSEKDASEGLGGARNTGEKDHKPGSSRKLTEAERKRKQECQRNFNARKKQRIKSTEEEVETLKEQLAYYKGQADAHYKGIQELGKVVNSVGEVGKKQTAQIDKLCELIIEGKHSAGRRECWGSTSSSTEVLEGAPNQLAFNSLKERYIASETRHAQEKVATEARHAKEMAAIKAHHAQEMAAKEAHHAQEMAAKEAHHAQEMAAKEAHHAQEMAAVRTEYGLDVENDMLQALAGASPGFDFADQFVNYK >Potri.009G111938.1.v4.1 pep chromosome:Pop_tri_v4:9:9552886:9553589:1 gene:Potri.009G111938.v4.1 transcript:Potri.009G111938.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111938.v4.1 MINTNISNMKAFLVIICILLATIVFSPSSTSAARELLQVGKDPYRGSGPVNSGPPCGSSKQSCHPSPKPGPNKPKKRCESSARQSDCGPN >Potri.009G111938.2.v4.1 pep chromosome:Pop_tri_v4:9:9552983:9553800:1 gene:Potri.009G111938.v4.1 transcript:Potri.009G111938.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111938.v4.1 MKAFLVIICILLATIVFSPSSTSAARELLQVGKDPYRGSGPVNSGPPCGSSKQSCHPSPKPGPNKPKKRCESSARQSDCGPN >Potri.005G168900.1.v4.1 pep chromosome:Pop_tri_v4:5:17137284:17138680:1 gene:Potri.005G168900.v4.1 transcript:Potri.005G168900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168900.v4.1 MLLGKRPRNPMKRTTSLTEIKFDLNTASSEAAPPSDHPQKQVGYGGMIDQRSSAATGSPRTSHRRASADFLETPNFLRACSLCKRRLIPGRDIYMYKGDSAFCSQECRQQQMSLDERKEKCSLASKKEAVSSTTATEVSAKGETVAAL >Potri.006G144300.2.v4.1 pep chromosome:Pop_tri_v4:6:12219525:12220984:-1 gene:Potri.006G144300.v4.1 transcript:Potri.006G144300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144300.v4.1 MQKFERQNEKMEMVTEVMGDAIDDALEGDEEEEETEEQVNQVLDEIGIELVNAPSSAVAAPAAKGKVAQVETTGDEDSGTDSDLQARLDNLRRM >Potri.004G169300.2.v4.1 pep chromosome:Pop_tri_v4:4:18663435:18666522:1 gene:Potri.004G169300.v4.1 transcript:Potri.004G169300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169300.v4.1 MKILKNPARSLPSLCPLTIFLLLLFVNTIKGSPFSIQEATIQEIQQAFAQNKLTSKQLVNFYLDRIQELNPLLHSVLEVNPDALEQAGKADEDRERNKGKRFLGDLHGIPVLLKDSIGTKDKLNTTCGSYALVGSEVARDAHVVEKLRNAGAVILGKASLSEWYNCRSFDIPDGWCARGGLAKNPYVESADPCGSSSGSAISVAANMVAVSLGTETDGSIICPADHNSVVGLKPTVGLTSRAGVIPISPRQDTIGTVSDAVYVLDAIVGFDPRDSQATTKAAEFIPAGGYKQFLKKDGLKGKRVGIVRNPFLDSFNDSTVISTFNHHLEVLRQGGANIVDNLQIDNIDVILDPYRSGEVIVMLAEFKLTIKQYLEELIKSPVRSLADIIAFNNNNPDLESMSKYGQELLLAAEMTNGLGEEEMKLVKLMEQLSEEGFEKMMKENDLDAMLTLGVDVSTVLAIGGYPALTVPAGYDSKGKPFGICFGGLKGMEPKLIEVAYAFEQATLSRKAAPSLWT >Potri.004G169300.1.v4.1 pep chromosome:Pop_tri_v4:4:18663443:18666600:1 gene:Potri.004G169300.v4.1 transcript:Potri.004G169300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169300.v4.1 MKILKNPARSLPSLCPLTIFLLLLFVNTIKGSPFSIQEATIQEIQQAFAQNKLTSKQLVNFYLDRIQELNPLLHSVLEVNPDALEQAGKADEDRERNKGKRFLGDLHGIPVLLKDSIGTKDKLNTTCGSYALVGSEVARDAHVVEKLRNAGAVILGKASLSEWYNCRSFDIPDGWCARGGLAKNPYVESADPCGSSSGSAISVAANMVAVSLGTETDGSIICPADHNSVVGLKPTVGLTSRAGVIPISPRQDTIGPICRTVSDAVYVLDAIVGFDPRDSQATTKAAEFIPAGGYKQFLKKDGLKGKRVGIVRNPFLDSFNDSTVISTFNHHLEVLRQGGANIVDNLQIDNIDVILDPYRSGEVIVMLAEFKLTIKQYLEELIKSPVRSLADIIAFNNNNPDLESMSKYGQELLLAAEMTNGLGEEEMKLVKLMEQLSEEGFEKMMKENDLDAMLTLGVDVSTVLAIGGYPALTVPAGYDSKGKPFGICFGGLKGMEPKLIEVAYAFEQATLSRKAAPSLWT >Potri.002G104500.2.v4.1 pep chromosome:Pop_tri_v4:2:7666830:7668424:1 gene:Potri.002G104500.v4.1 transcript:Potri.002G104500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104500.v4.1 MAIQFLQRFQSKNLKKDRHLPLHTKSIDFYGDKYVIVKLPNIRALRLLAQSLLLALIFATFPLLRTVLSDASISSGSPILEPESDPDLFDAKVLPLLIHDLANEGLLKTGDNAVFVSSGNGNGNGIGNAIHISEILNVNDTKIVSAANLDRQRSIPGEALDFAFTYDDFQTTSEFIDRTLKVGGIAVVQLSNDPSSAFDKPFNYKIVYLRRFQAANILAMRKTGYGDANLITQRRLLGYHANEAKKAALENLEDVLLEPPRAASGKSSRYLKRTRYLPDLMGDSLESYPRRVFIDVGLPEKEGGSGNGWFAKNYPTRNLDFEMYKIETVTEQSSGKEVPQVEEVGMSDWLKHNVKGEEYVVMKAEAEVVEEMVKSKAIRLVDELFLECKPRRNGNGSKKTYWECLALYGKLRDEGVAVHQWWG >Potri.005G032600.2.v4.1 pep chromosome:Pop_tri_v4:5:2145555:2154310:1 gene:Potri.005G032600.v4.1 transcript:Potri.005G032600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032600.v4.1 MFQNIVNAIASCCAGDSKMKIKGTVVLMKKNVLEFNDFHASVLDRGHELFGQGVSLQVISAAKSDPPENDLKGKLGEPAYLEEWITTITSLTAGESAFKVTFDWDEEIGVPGAFLIRNKHHSEFYLKTVTLEDVPGQGRVHFVCNSWIYPTKCYNYDRVFFTNQNYLPHETPAPLRKYREEELVKLRGDGKGELKEWDRVYDYAYYNDLGDPDKGAKYVRPVLGGSSEYPYPRRGRTGRAPAKSDPNTESRLPLLMSLNIYVPRDERFGHLKLADFLAYALKSVAQFIKPELEALRDSTPNEFDSFAAVLDLYEGGFKLPDGPLLENLKKNIPVEMLKEIIRTDGEGLFRFPKPQVIQESNSAWRTDEEFGREMLSGVNPVIIRRLEEFPPKSKLDSKLYGDQNSTITEEHIKDSLDGLSIDEAIEKNRMFILDHHDALMPYLRRINTTTTKTYASRTLLFLKDDGTLKPLVIELSLPHEEGDEFGAISKVYTPAEHGVEGSIWDLAKAYVAVNDSGYHQLISHFLNTHAVSEPFVIATNRQLSVLHPIYKLLEPHFRDTMNINALARQTLINAGGILESTVYPAKYAMEMSSVIYKNWNFTEQALPEDLKKRGVAVEDPKSPHGVRLLIEDYPYAVDGLEIWSAIKEWVRDYCSFYYKNDEMIRKDSELQSWWKEVREEGHGDLKDAPWWPKMLTREELIDSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEEGSPDYKELKSNPDKAFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTHEWTADKKPLEAFEKFGKKLAEIEDKMLYMNKAGKWKNRVGPVEVPYTLLVPTSEGGLTGRGIPNSVSI >Potri.005G032600.3.v4.1 pep chromosome:Pop_tri_v4:5:2150737:2154201:1 gene:Potri.005G032600.v4.1 transcript:Potri.005G032600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032600.v4.1 MSLNIYVPRDERFGHLKLADFLAYALKSVAQFIKPELEALRDSTPNEFDSFAAVLDLYEGGFKLPDGPLLENLKKNIPVEMLKEIIRTDGEGLFRFPKPQVIQESNSAWRTDEEFGREMLSGVNPVIIRRLEEFPPKSKLDSKLYGDQNSTITEEHIKDSLDGLSIDEAIEKNRMFILDHHDALMPYLRRINTTTTKTYASRTLLFLKDDGTLKPLVIELSLPHEEGDEFGAISKVYTPAEHGVEGSIWDLAKAYVAVNDSGYHQLISHFLNTHAVSEPFVIATNRQLSVLHPIYKLLEPHFRDTMNINALARQTLINAGGILESTVYPAKYAMEMSSVIYKNWNFTEQALPEDLKKRGVAVEDPKSPHGVRLLIEDYPYAVDGLEIWSAIKEWVRDYCSFYYKNDEMIRKDSELQSWWKEVREEGHGDLKDAPWWPKMLTREELIDSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEEGSPDYKELKSNPDKAFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTHEWTADKKPLEAFEKFGKKLAEIEDKMLYMNKAGKWKNRVGPVEVPYTLLVPTSEGGLTGRGIPNSVSI >Potri.005G032600.1.v4.1 pep chromosome:Pop_tri_v4:5:2149311:2154200:1 gene:Potri.005G032600.v4.1 transcript:Potri.005G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032600.v4.1 MKHTRAVMDENDLKGKLGEPAYLEEWITTITSLTAGESAFKVTFDWDEEIGVPGAFLIRNKHHSEFYLKTVTLEDVPGQGRVHFVCNSWIYPTKCYNYDRVFFTNQNYLPHETPAPLRKYREEELVKLRGDGKGELKEWDRVYDYAYYNDLGDPDKGAKYVRPVLGGSSEYPYPRRGRTGRAPAKSDPNTESRLPLLMSLNIYVPRDERFGHLKLADFLAYALKSVAQFIKPELEALRDSTPNEFDSFAAVLDLYEGGFKLPDGPLLENLKKNIPVEMLKEIIRTDGEGLFRFPKPQVIQESNSAWRTDEEFGREMLSGVNPVIIRRLEEFPPKSKLDSKLYGDQNSTITEEHIKDSLDGLSIDEAIEKNRMFILDHHDALMPYLRRINTTTTKTYASRTLLFLKDDGTLKPLVIELSLPHEEGDEFGAISKVYTPAEHGVEGSIWDLAKAYVAVNDSGYHQLISHFLNTHAVSEPFVIATNRQLSVLHPIYKLLEPHFRDTMNINALARQTLINAGGILESTVYPAKYAMEMSSVIYKNWNFTEQALPEDLKKRGVAVEDPKSPHGVRLLIEDYPYAVDGLEIWSAIKEWVRDYCSFYYKNDEMIRKDSELQSWWKEVREEGHGDLKDAPWWPKMLTREELIDSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEEGSPDYKELKSNPDKAFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTHEWTADKKPLEAFEKFGKKLAEIEDKMLYMNKAGKWKNRVGPVEVPYTLLVPTSEGGLTGRGIPNSVSI >Potri.015G007800.1.v4.1 pep chromosome:Pop_tri_v4:15:467537:469512:1 gene:Potri.015G007800.v4.1 transcript:Potri.015G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007800.v4.1 MLFIMNLSSPILVFFITIIVFLVLRFLSKTSFLHILARCWRSFEDKFCVYQIFKVPQFNDLFQENQLYHKVSTYLTSLPAIEDSDFTNLFSGSKANDIILHLDKNQVIHDSFLGARVQWSNEKYCEGNNGKRTLVLKLRKKDKRTILRPYLQHILSVADQIKQKNEEIKLFMNLEKKPYESGRWTSVPFTHPATMDTVVMDGELKSKVKADLELFLKSKQYYHRLGHVWKRSYLLYGASGTGKSSFIAAMARFLSFDVYDIDISKVSDDSDLKMLLLQTTSRSMIVIEDLDRLLMEKSKDVSLSGVLNFMDGIVSCCGEERVMVFTMNSKDQIDQSVLRPGRVDVHIQFPLCDFSAFKSLANNYLGVKEHKLFSLVEEILQGGSSLTPAEIGEIMISNRNSPSRALRLVISALQFQTSSGDARRASKVGQGMSESGSARSSRDETGETGGVFCQESGAHTVKELKKLYGLLRMGSRRKESVDLSSSTEKQGSHQEA >Potri.016G065400.1.v4.1 pep chromosome:Pop_tri_v4:16:4574248:4576930:1 gene:Potri.016G065400.v4.1 transcript:Potri.016G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065400.v4.1 MLTSTNLLSRIIKKKRHKKPKTKTRNKRQETKEDPIEQDELEEDTEGFNLKTSAPSNTYGVQPLGNLYFNRGSINSRNTGLGNLQILTDELVLDILGFLDGTQLGVLATVSKSFYVFTNHEPLWRNLVLDKLNGEFLFNGSWKSTYIAGIYPLFDVNGCSSLKVRDFYSDYLFQSWLCASLEMKPEWLERDNIVRKKGISVEEFVMNFEEPNKPVLLERCIDNWAALQKWDKDYLVSVCGDVKFAAGPVEMRLQEYFRYSDQVREERPLYLFDPKFAEKIPVLGSEYEVPLYFREDLFSVLGNERPDYRWVIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWILFPPDVVPPGVYPSPDGAEVACPVSIMEWFMNFYGATRNLKRRPIECICKAGEVIFVPNGWWHLVINLEESIAITQNYVSRRNLLNVLDFLKRPNAGELVSGTRDRVNLHDKFENAIESTFPGTIDQLVQKAEEEKAQEKKLSFWDSVTDSHAGAFKFSF >Potri.016G065400.2.v4.1 pep chromosome:Pop_tri_v4:16:4574261:4576929:1 gene:Potri.016G065400.v4.1 transcript:Potri.016G065400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065400.v4.1 MLTSTNLLSRIIKKKRHKKPKTKTRNKRQETKEDPIEQDELEEDTEGFNLKTSAPSNTYGVQPLGNLYFNRGSINSRNTGLGNLQILTDELVLDILGFLDGTQLGVLATVSKSFYVFTNHEPLWRNLVLDKLNGEFLFNGSWKSTYIAGIYPLFDVNGCSSLKVRDFYSDYLFQSWLCASLEMKPEWLERDNIVRKKGISVEEFVMNFEEPNKPVLLERCIDNWAALQKWDKDYLVSVCGDVKFAAGPVEMRLQEYFRYSDQVREERPLYLFDPKFAEKIPVLGSEYEVPLYFREDLFSVLGNERPDYRWVIIGPAGSGSSFHIDPNSTSAWNAVIKGSKKWILFPPDVVPPGVYPSPDGAEVACPVSIMEWFMNFYGATRNLKRRPIECICKAGEVIFVPNGWWHLVINLEESIAITQNYVSRYFCFVFNYL >Potri.019G036160.1.v4.1 pep chromosome:Pop_tri_v4:19:4904058:4906154:1 gene:Potri.019G036160.v4.1 transcript:Potri.019G036160.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036160.v4.1 MRNLCSTTSTRSRSVPTPCCSKVGIKKGPWTPEEDELLANYIRKEGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSMIAGRIPGRTDNEIKNYWNTCLSKKLISQGIDPRTHKPLKPNPDSSEIANVPVQNSNPKSSPLDENGRVYRTVATRVSEKFTVTDLYQSPNQVAAGATENWPNRDGFTMGSLQSGHGRKNEDDFIEDIGNEDTFSSFLDSLLNENVFVYQQRQQLQHQNMFGPSSKLAVSSSQILSHANIWEAEVSPPMAALCDKGVGGASNSLPV >Potri.006G163400.1.v4.1 pep chromosome:Pop_tri_v4:6:15823829:15824989:1 gene:Potri.006G163400.v4.1 transcript:Potri.006G163400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163400.v4.1 MTDPPTSNSNSKKDSSSIYSYSSSSPTPSSTSSSGLSPNPVSKPDPEKNLRKPKRPRETNSSNNSKHPVFRGVRMRTWGKWVSEIREPRKKNRIWLGTFSTPEMAARAHDVAALSIKGNSAILNFPGLAGSLPRPASNSPRDVQAAAAKAASMDFNSTVTTVTTNVCNDENNSNNNSTASNNNGSSSSQMTQSSSSSSSSSSSSTVRVTSDVATPEELSEIVELPSLETSYEESPEFVLFGDSWPYINQSWCYEDYGVKSNGYFSDQYLSIIPENNVITSGFETLLWEH >Potri.006G225167.1.v4.1 pep chromosome:Pop_tri_v4:6:22981068:22983201:-1 gene:Potri.006G225167.v4.1 transcript:Potri.006G225167.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225167.v4.1 MTSVEVLWNPFEDIIPKVPSKSSIEPETENKDSKKKAEKLCLMQKAELAFFWREAEEEEKQLAATKQKTKSSHDVLNDPHLLKEENPSKELNSSEGETTRDVQLSVKEALSSKKGTLSVKEAPGSKKEAPRRDSAAVFSNSDDDDEDDALFYSGMRQQIIQKGKELGDLPPKLKQNDFKRERSHQINDDDRLVKTRMR >Potri.001G279900.1.v4.1 pep chromosome:Pop_tri_v4:1:29361785:29364696:-1 gene:Potri.001G279900.v4.1 transcript:Potri.001G279900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279900.v4.1 MLHQNPVVSDLIATGLSGTIALSFLRSFEETAKRHIFDQKLNRKLMHISIGLVFMLCWPMFSSGHRGALLAACIPGLNIIRMLLIGSGMWKDEATVKSMSRFGDRRELLKGPLYYALTITVACAIYWRTSPVAIAAICNLCAGDGIADIVGRRLGSQKIPYNRNKSIAGSVAMALAGFLASLGFMVYFSAFGYVQKSWEMILGFLIVSLASSFVESLPISTELDDNLTVTLTSLLLGNLVF >Potri.005G254366.1.v4.1 pep chromosome:Pop_tri_v4:5:24616692:24621453:1 gene:Potri.005G254366.v4.1 transcript:Potri.005G254366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254366.v4.1 MARRHGWQFPVHTFQIVAVTVFFLLSVAFYSFFAPFLGKDIYEYVAIGVYSVSALSVFILYVRCTAIDPADPGILLGADETAGHKSENDTYLPGNSAEEPSKTRLKNGGKSNKYGSSWCSRLGDFFCCFLVKQDCRKDEDILQQESGEEALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGKKNYITFVSLMATSLVWLIVEFGVGVAVLIRCFVDRKGMDHQIMEKLGIGFSRPPFATVVALCTFVSLLATVPLGELFFFHLILIRKGSQHTSM >Potri.015G049500.1.v4.1 pep chromosome:Pop_tri_v4:15:5182466:5200411:1 gene:Potri.015G049500.v4.1 transcript:Potri.015G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049500.v4.1 MAGIFEYFVVCGLGPEMRTMDGNKGYHGMRVLYLPSLLDQYPPDNHCLYPPPPPQLPTCVLPAGVEFYPSGLDANDSSTFPKSYPIVLTEGDGSKIYVSCIAFRDPVSEDIAEAYRIPPNSFADKCICLVSRSPSFGVLRTALEELFALCFSPAGSSKPLWDVISYMVSNVPLPTPGKDRVLFAIENCLLSVEAPPKDGLPHVEISFQPLVQCLDVDNLLKLFTAVLLERRILLRSNKYSLLTLASEAICHLIYPLRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSAVDTSYLAMDGVVVVDLEYNRICTSEEIPPIPEPELSTLRGEILKLLYPNVMGIDQMKAGLVSSSEQYFKGCNKPWGEDHDLQLRLIFLKFFASILGGYRNFIENTATHAFNTQAFLRKRSRSTNQPPDAMITQFLDSHGFLDYLERVIDSDENNYNLLDKLQDAIGRGQNPISVLPSSWVEPEIITISDPDVGILGSGAKFTYDRFPANIRSEEHEEKRKQILAAASGAFDYIKHTPSSPSVQVGKDSLSPMERAAERERMVLDIKVKLQGLWLRLLKLRATDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWHCQLTDEQFIAVKELLKTAISRATSRNDVSTIRDALEVSAEMYKRDANNVSDYVQRHLISLSIWEELRFWEGYFEYLMEHPSSKSANYSALVTTQLILVALHMAGLGLLDTDAWHMIETIAEKNNIGYKQFIKLRGFLSHIQQVRISYWGISSVKAQSMRSPGLSSPRPKDSMDENEQPAEASVIGRSWVQSMFSRDPSRANSFGRVRKGASDGTSVNENGTPRKQDSSAAGQKKLQTNVRILRGHSGAVTALHCVTRREVWDLVGDREDAGFFISGSTDCMVKIWDPSIRGSELRATLKGHTRTVRAISSDRGKVVSGSDDQSVIVWDKQTSQLLEELKGHDAQVSCVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLITGSDDWTARVWSVSRGTCDAVLACHAGPILCVEYSMSDRGIITGSTDGLLRFWENEEEGIRCVKNVTIHTAPILSINAGEHWLGIGAADNSMSLFHQPQERLGGFSSTGSKMSGWQLYRTPQRTVAMVRCVASDLERKRICSGGRNGVLRLWEATINI >Potri.015G049500.2.v4.1 pep chromosome:Pop_tri_v4:15:5182467:5200274:1 gene:Potri.015G049500.v4.1 transcript:Potri.015G049500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049500.v4.1 MAGIFEYFVVCGLGPEMRTMDGNKGYHGMRVLYLPSLLDQYPPDNHCLYPPPPPQLPTCVLPAGVEFYPSGLDANDSSTFPKSYPIVLTEGDGSKIYVSCIAFRDPVSEDIAEAYRIPPNSFADKCICLVSRSPSFGVLRTALEELFALCFSPAGSSKPLWDVISYMVSNVPLPTPGKDRVLFAIENCLLSVEAPPKDGLPHVEISFQPLVQCLDVDNLLKLFTAVLLERRILLRSNKYSLLTLASEAICHLIYPLRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSAVDTSYLAMDGVVVVDLEYNRICTSEEIPPIPEPELSTLRGEILKLLYPNVMGIDQMKAGLVSSSEQYFKGCNKPWGEDHDLQLRLIFLKFFASILGGYRNFIENTATHAFNTQAFLRKRSRSTNQPPDAMITQFLDSHGFLDYLERVIDSDENNYNLLDKLQDAIGRGQNPISVLPSSWVEPEIITISDPDVGILGSGAKFTYDRFPANIRSEEHEEKRKQILAAASGAFDYIKHTPSSPSVQVGKDSLSPMERAAERERMVLDIKVKLQGLWLRLLKLRATDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWHCQLTDEQFIAVKELLKTAISRATSRNDVSTIRDALEVSAEMYKRDANNVSDYVQRHLISLSIWEELRFWEGYFEYLMEHPSSKSANYSALVTTQLILVALHMAGLGLLDTDAWHMIETIAEKNNIGYKQFIKLRGFLSHIQQVRISYWGISSVKAQSMRSPGLSSPRPKDSMDENEQPAEASVIGRSWVQSMFSRDPSRANSFGRVRKGASDGTSAVNENGTPRKQDSSAAGQKKLQTNVRILRGHSGAVTALHCVTRREVWDLVGDREDAGFFISGSTDCMVKIWDPSIRGSELRATLKGHTRTVRAISSDRGKVVSGSDDQSVIVWDKQTSQLLEELKGHDAQVSCVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLITGSDDWTARVWSVSRGTCDAVLACHAGPILCVEYSMSDRGIITGSTDGLLRFWENEEEGIRCVKNVTIHTAPILSINAGEHWLGIGAADNSMSLFHQPQERLGGFSSTGSKMSGWQLYRTPQRTVAMVRCVASDLERKRICSGGRNGVLRLWEATINI >Potri.015G049500.3.v4.1 pep chromosome:Pop_tri_v4:15:5182421:5200278:1 gene:Potri.015G049500.v4.1 transcript:Potri.015G049500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049500.v4.1 MAGIFEYFVVCGLGPEMRTMDGNKGYHGMRVLYLPSLLDQYPPDNHCLYPPPPPQLPTCVLPAGVEFYPSGLDANDSSTFPKSYPIVLTEGDGSKIYVSCIAFRDPVSEDIAEAYRIPPNSFADKCICLVSRSPSFGVLRTALEELFALCFSPAGSSKPLWDVISYMVSNVPLPTPGKDRVLFAIENCLLSVEAPPKDGLPHVEISFQPLVQCLDVDNLLKLFTAVLLERRILLRSNKYSLLTLASEAICHLIYPLRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSAVDTSYLAMDGVVVVDLEYNRICTSEEIPPIPEPELSTLRGEILKLLYPNVMGIDQMKAGLVSSSEQYFKGCNKPWGEDHDLQLRLIFLKFFASILGGYRNFIENTATHAFNTQAFLRKRSRSTNQPPDAMITQFLDSHGFLDYLERVIDSDENNYNLLDKLQDAIGRGQNPISVLPSSWVEPEIITISDPDVGILGSGAKFTYDRFPANIRSEEHEEKRKQILAAASGAFDYIKHTPSSPSVQVGKDSLSPMERAAERERMVLDIKVKLQGLWLRLLKLRATDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWHCQLTDEQFIAVKELLKTAISRATSRNDVSTIRDALEVSAEMYKRDANNVSDYVQRHLISLSIWEELRFWEGYFEYLMEHPSSKSANYSALVTTQLILVALHMAGLGLLDTDAWHMIETIAEKNNIGYKQFIKLRGFLSHIQQVRISYWGISSVKAQSMRSPGLSSPRPKDSMDENEQPAEASVIGRSWVQSMFSRDPSRANSFGRVRKGASDGTSVNENGTPRKQDSSAAGQKKLQTNVRILRGHSGAVTALHCVTRREVWDLVGDREDAGFFISGSTDCMVKIWDPSIRGSELRATLKGHTRTVRAISSDRGKVVSGSDDQSVIVWDKQTSQLLEELKGHDAQVSCVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLITGSDDWTARVWSVSRGTCDAVLACHAGPILCVEYSMSDRGIITGSTDGLLRFWENEEGIRCVKNVTIHTAPILSINAGEHWLGIGAADNSMSLFHQPQERLGGFSSTGSKMSGWQLYRTPQRTVAMVRCVASDLERKRICSGGRNGVLRLWEATINI >Potri.014G080200.1.v4.1 pep chromosome:Pop_tri_v4:14:5179592:5182251:1 gene:Potri.014G080200.v4.1 transcript:Potri.014G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080200.v4.1 MSGLGHPNMSQGEGFFPSDNLPLFNHNNRMELQQYPRRSKPSLSPTLGELLKRVEDAQSDTSIDSTPVHHHQALELGYACSSMPPSSPFVLSFNNLTYSVKVGQNMPFPVCGKEDLSHGSSETASMKVLLNDISGEAREGEIMAVLGASGSGKSTLIDALADRIAKESLKGSVTLNGEVLESRLLKVISAYVMQDDLLFPMLTVEETLMFSADFRLPRSLSRSKKKARVQALIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGTDIVHDPILLFLDEPTSGLDSTSAFMVVKVLQRIARSGSIVIMSVHQPSYRILTVLDSLIFLSHGQTVYGGSPGGLPEFFGQFGHPIPENENRTEFALDLIRELEEAPDGTKTLVEFNKSWQTKKNPTNRTCNVSKLSLKDAISASISRGKLVSGAPNNSNSTSSVPTFANPLWAEMMVISKRSLLNAKRMPELFGIRLGAVLVTGIILATVFYHLDNSPRGAQERLGFFAFAMSTTYYTCAESIPAFLQERYIFMRETAYNAYRRSSYVLAHSLISIPSLIVLSIAFAATTFWAVGLDGGFSGFCFYFFAILSAFWAGSSFVTFLSGVVSHVMLGFTIVVAILAYFLLFSGFFISRDRVPSYWIWFHYISLVKYPYEAALQNEFHDPTKCFVRGVQMFDTTPLAAVPLSLKLKMLKSISSTLGMNITGNTCVVTGTDILRQQGITQISKWNCLWVTIAWGFFFRILFYFALLLGSKNKRR >Potri.T124707.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:30317:34865:1 gene:Potri.T124707.v4.1 transcript:Potri.T124707.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124707.v4.1 MATFNSSAISFLLLSALVSTLFFLCLSPLNQTIRISTPLSSLYSPNYSIEEAKSNHTFERFQSFPSPTTAVGDEEISAPKDKEKISERLPPSPSPSPSPLPLPVHNSSAVSSLPSIPVITRHEKKKTDFERIEEGLAQARAAIYRAIRSQNSSSYKEGSYIPRGSMYRNQYAFHQSYTEMEKRFKVWVYKEGELPVVHGAPVNDIYSIEGQFLDEIESGKSPFIARHPDEAHAFFLPISVAYIIHYVYKPRITFARDQLQRLVTDYVRVVADKYTYWNRTQGADHFSVSCHDWAPDVSRANPELFRYFVRVLCNANISEGFRPQRDVSIPEIFLPVGKLGPPREYTKPPSKRSILAFFAGGAHGHIRKVLLTHWKEKDDEVQVHEYLTQRNKKNTNLYFELMGQSKFCLCPSGHEVASPRVVTAIQLGCVPVTISANYSLPFSDVLDWSKFSVDIPPEKIPEIKTILKGISSRRYLTMQRRVMQIQRHFMLNRPAQPYDMLHMILHSVWLRRLNVKKDSSI >Potri.006G250550.1.v4.1 pep chromosome:Pop_tri_v4:6:24971764:24973086:-1 gene:Potri.006G250550.v4.1 transcript:Potri.006G250550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250550.v4.1 MPIASHLSFHGVSRLLLMNPCIFQGQSRRHANFASRGLKMMLGTCGSPSFFWFIELGAVCAGIMCRVLDEVRSEL >Potri.002G074900.3.v4.1 pep chromosome:Pop_tri_v4:2:5242713:5248067:-1 gene:Potri.002G074900.v4.1 transcript:Potri.002G074900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074900.v4.1 MAVWLAKSRTITHHLKRKVGFMGIFHQDLWTSSSSSSCMQPSPLSSIFIPGFHEHQYSSTPRLFPFNSSISTRFSLHRFKSTKAASQLEHLYSTDDDDHDNSQDLDFPGGKVACISEMRFLPESAGKRIPCYRVLDDNGEIIVGSDYKQLCEEIAVKMYTNMVSLQMMDTMFYEAQRQGRISFYMTSTGEEAINIASAAALSADDIILPQYREPGILLWRGFTIEEFASQCFGNKDDCGKGRQMPMHYGSKKHNFVTISSPIATQLSQAVGVAYSLKMDKKDACVVTYTGDGGTSEGDFHAALNFAAVTEAPVVFICRNNGWAISTNISEQFRSAILITYLLTLPFLFSSTRSPYVATY >Potri.002G074900.1.v4.1 pep chromosome:Pop_tri_v4:2:5242709:5248031:-1 gene:Potri.002G074900.v4.1 transcript:Potri.002G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074900.v4.1 MAVWLAKSRTITHHLKRKVGFMGIFHQDLWTSSSSSSCMQPSPLSSIFIPGFHEHQYSSTPRLFPFNSSISTRFSLHRFKSTKAASQLEHLYSTDDDDHDNSQDLDFPGGKVACISEMRFLPESAGKRIPCYRVLDDNGEIIVGSDYKQLCEEIAVKMYTNMVSLQMMDTMFYEAQRQGRISFYMTSTGEEAINIASAAALSADDIILPQYREPGILLWRGFTIEEFASQCFGNKDDCGKGRQMPMHYGSKKHNFVTISSPIATQLSQAVGVAYSLKMDKKDACVVTYTGDGGTSEGDFHAALNFAAVTEAPVVFICRNNGWAISTNISEQFRSDGIVVRGQAYGIRSIRVDGNDALAVYSAIHTAREMAISEQRPVLVEALSYRVGHHSTSDDSTKYRSVDEIEYWKMVRNPVNRFRKWVERNGWWSEEEESELRSSMKKQLLQVIQVAEKKEKPPLKELFSDVYDITPPNLREQEKQLRETIIRHPQDYPSDVPL >Potri.010G029000.1.v4.1 pep chromosome:Pop_tri_v4:10:4164043:4167428:1 gene:Potri.010G029000.v4.1 transcript:Potri.010G029000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029000.v4.1 MVFDSTPSLFNNTRSSSSDPYSEEYGNAMIVRAHPLGTARANNNVNVEGARGPGLEPCIGLEFDSADDAREFYSVYATRVGFRTRTGQLYRSRTDGSVASRRFVCSKEGFQLNSRMGCPAFIRVQRRDSGKWVVDQIHKDHNHELGDVEESRPPILPQRTPTGRKSSAKVSSKSKLKFLAEVDDGQPCFSRSISFKRIKTGGDGGQPKAEPYAGLVFSSVDEAFHFYLRYADEAGFKTRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRQDSGTWMVDRLQKDHNHDLEPQTRTHTKSSTASKKFIDEVNGGLDTLDLSEINNGVRSNISQGNNIGSEWYHLLLDYFQSKQAKDTGFFYSVQVDNGVCMSVFWADGRSRFASSQFGDAIVVDTSYRKTNYLVPFATFVGVNHHKQPVLLGCALIANESKESFIWLFRTWLRAMSGCRPKSIIADQDMAIQQAIAHVFPGTRHRFSMWQIRAKERENLRSLSNEFKYEYEKCIYDSQTNADFNTMWNALVNKYGLKENVWLKEMYEKRESWVPLYLRGTFFAGIPLNESMESLFGIFLNAETPLVEFIARYDQGLEQRREEERKEDFNCSNLQAFLQTKEPIEEQCRRLYTLAVFQLFQKELLQCYNYLGIKIYEEGTISRYSVRRCGNDSEKHMVTFSASNLNVSCSCQMFEFEGVLCRHVLRVFILLNMREIPSHYLLHRWTRNAEHGLVCDVDSGVSCQELKSLMVWSLRETACKYIESGTTSIEKYRLACEIMREGAKKFCRQR >Potri.004G039900.8.v4.1 pep chromosome:Pop_tri_v4:4:3155256:3162705:-1 gene:Potri.004G039900.v4.1 transcript:Potri.004G039900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039900.v4.1 MFVRTYGRRNRGGDDTVSDHNYSFSESQESPSNQDFFSSNFPFSSQESTSYSLDPDPYSFDENPIPSGVVPRKSKKARHSKSKSERPNSGKIGNSNVLTSSTTLMEAQEFGEMMEHVDEVNFSLDGLKKGQPLRIKRASLLSLLRVCGTQQQRRLLRTQGMAKTIIDAILSLSLDDSTSNLAAAALFYVLTSDGQDEHVLESPTSIHFLIKLLKPIISTATEDKARNIGSKLLSLRKESDILRDTSKLADSTSTAIAAKVQEILVNCKEMKSHCGDDSRMERPELSPKWIALLSMEKACLSKISFEDTSGMVRKTGGNFKEKLRELGGLDAVFEVIMNCHSVMKRWTEHHSPSIQEHDMHLSSLVLLLKCLKIMENATFLSKDNQTHLLGMRGNSDSHGHRISFTKIIISVIKILSSLHLLKSSAAASSVGNRCSLSERSDHASDLVLIDDYRVDSNGVISISSSPNNCNEARTSSEKSLNVSQNSMARLRLSASSSETTTPFIGNTCQLKMRIHPSMSSSCSETLRSYESNGSRTIFGLVEKPNCRKDARSELLDDSQDPYAFDEDDFQPSKWDLLSGKQKISRTHNGRVNSREVENGYQYKLPSQEELSNGDNWLQKSSNGENCLQKSSNGEQYHSQKSSHCSVPDEEHSSLLADCLLTAIKVLMNLTNDNPIGCQQIAVCGGLETMSTLIAGHFPSFSSSISLVGEMQEDGSSIEPDNQNDVHLTDQELDFLVAILGLLVNLVEKDGDNRSRLAATSVPLSILEGSEDESRKDVIPLLCSIFLANQGAGDAAGEGNVVSWNDEAAVLQGEKEAEKMIVEAYSALVLAFLSTESKSIRDSIADCLPNHNLVILVPVLERFVVFFFFVVLRVY >Potri.004G039900.7.v4.1 pep chromosome:Pop_tri_v4:4:3155189:3162811:-1 gene:Potri.004G039900.v4.1 transcript:Potri.004G039900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039900.v4.1 MFVRTYGRRNRGGDDTVSDHNYSFSESQESPSNQDFFSSNFPFSSQESTSYSLDPDPYSFDENPIPSGVVPRKSKKARHSKSKSERPNSGKIGNSNVLTSSTTLMEAQEFGEMMEHVDEVNFSLDGLKKGQPLRIKRASLLSLLRVCGTQQQRRLLRTQGMAKTIIDAILSLSLDDSTSNLAAAALFYVLTSDGQDEHVLESPTSIHFLIKLLKPIISTATEDKARNIGSKLLSLRKESDILRDTSKLADSTSTAIAAKVQEILVNCKEMKSHCGDDSRMERPELSPKWIALLSMEKACLSKISFEDTSGMVRKTGGNFKEKLRELGGLDAVFEVIMNCHSVMKRWTEHHSPSIQEHDMHLSSLVLLLKCLKIMENATFLSKDNQTHLLGMRGNSDSHGHRISFTKIIISVIKILSSLHLLKSSAAASSVGNRCSLSERSDHASDLVLIDDYRVDSNGVISISSSPNNCNEARTSSEKSLNVSQNSMARLRLSASSSETTTPFIGNTCQLKMRIHPSMSSSCSETLRSYESNGSRTIFGLVEKPNCRKDARSELLDDSQDPYAFDEDDFQPSKWDLLSGKQKISRTHNGRVNSREVENGYQYKLPSQEELSNGDNWLQKSSNGENCLQKSSNGEQYHSQKSSHCSVPDEEHSSLLADCLLTAIKVLMNLTNDNPIGCQQIAVCGGLETMSTLIAGHFPSFSSSISLVGEMQEDGSSIEPDNQNDVHLTDQELDFLVAILGLLVNLVEKDGDNRSRLAATSVPLSILEGSEDESRKDVIPLLCSIFLANQGAGDAAGEGNVVSWNDEAAVLQGEKEAEKMIVEAYSALVLAFLSTESKSIRDSIADCLPNHNLVILVPVLERFVAFHLTLNMISPETHKAVTEVIESCRIR >Potri.004G039900.5.v4.1 pep chromosome:Pop_tri_v4:4:3155256:3162774:-1 gene:Potri.004G039900.v4.1 transcript:Potri.004G039900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039900.v4.1 MFVRTYGRRNRGGDDTVSDHNYSFSESQESPSNQDFFSSNFPFSSQESTSYSLDPDPYSFDENPIPSGVVPRKSKKARHSKSKSERPNSGKIGNSNVLTSSTTLMEAQEFGEMMEHVDEVNFSLDGLKKGQPLRIKRASLLSLLRVCGTQQQRRLLRTQGMAKTIIDAILSLSLDDSTSNLAAAALFYVLTSDGQDEHVLESPTSIHFLIKLLKPIISTATEDKARNIGSKLLSLRKESDILRDTSKLADSTSTAIAAKVQEILVNCKEMKSHCGDDSRMERPELSPKWIALLSMEKACLSKISFEDTSGMVRKTGGNFKEKLRELGGLDAVFEVIMNCHSVMKRWTEHHSPSIQEHDMHLSSLVLLLKCLKIMENATFLSKDNQTHLLGMRGNSDSHGHRISFTKIIISVIKILSSLHLLKSSAAASSVGNRCSLSERSDHASDLVLIDDYRAVDSNGVISISSSPNNCNEARTSSEKSLNVSQNSMARLRLSASSSETTTPFIGNTCQLKMRIHPSMSSSCSETLRSYESNGSRTIFGLVEKPNCRKDARSELLDDSQDPYAFDEDDFQPSKWDLLSGKQKISRTHNGRVNSREVENGYQYKLPSQEELSNGDNWLQKSSNGENCLQKSSNGEQYHSQKSSHCSVPDEEHSSLLADCLLTAIKVLMNLTNDNPIGCQQIAVCGGLETMSTLIAGHFPSFSSSISLVGEMQEDGSSIEPDNQNDVHLTDQELDFLVAILGLLVNLVEKDGDNRSRLAATSVPLSILEGSEDESRKDVIPLLCSIFLANQGAGDAAGEGNVVSWNDEAAVLQGEKEAEKMIVEAYSALVLAFLSTESKSIRDSIADCLPNHNLVILVPVLERFVAFHLTLNMISPETHKAVTEVIESCRIR >Potri.006G066750.1.v4.1 pep chromosome:Pop_tri_v4:6:4862904:4863369:-1 gene:Potri.006G066750.v4.1 transcript:Potri.006G066750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066750.v4.1 MPDSLLMFSKNVVFFFLKCFLLCVEKLIGEAIEHFVASHDCFREANKMVKIDLDFYDQ >Potri.018G015300.2.v4.1 pep chromosome:Pop_tri_v4:18:1071276:1076351:1 gene:Potri.018G015300.v4.1 transcript:Potri.018G015300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G015300.v4.1 MKIAIEGCMHGDLDKVYQTLKLIESQNGTKIDLLLCCGDFQAVRNERDMESLNVPLKYREMKSFWKYYSGREIAPVPTIFIGGNHEASNYLWELCYGGYAAPNIYFLGFAGVIKFGNIRIGGLSGIYNARNYRTGHHERAPYNESSIRSVYHVREYDVHKLMQVEEPIDIFLSHDWPVGITDCGNWKQLVRYKPHFEKEIQEKSLGSKAAAQLLEKLRPAYWFSAHLHCKFAAVVQHGEGGPLTKFLALDKCLPRRKFLQVIEIESEPGPYEIQYDEEWLAITRKFNSIFPLTFKNANFGATQLEMEDCRQFVSSRLQERGTKPFEFTQTAPPFDPTQSGPNGSFSGCPRNPQTESLLQLLELPYLLDSTSESREGRYSPSASQLIQRGSFVHNSEEIPIDDVDESELEEADDVDTRKE >Potri.003G138600.2.v4.1 pep chromosome:Pop_tri_v4:3:15526255:15527744:-1 gene:Potri.003G138600.v4.1 transcript:Potri.003G138600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138600.v4.1 MGQPQSVGVSAGVPESPISINGSSRSDEFDGGVKDNQGYNEASKKRKTTPRWTDHVRVSPENGLEGPHDDGYSWRKYGQKDILGAKYPSYYRCTYRNTQNCWATKQVQRSDEDPTIFEITYRGTHTCAHGNQSIPSPSSPEKQEKKQKNTNNNYQQQQSQQALYNFQNSLKVITEDLDNKEMVSPFSFPSAYGCSKNVSSYSPSFISPATPEPTHYSVSPFQMNNFVGAYNLQHLESDFTEIISTNTSATNSPIVDLDFSLDQVELDPNFPFDTPGFFS >Potri.003G138600.3.v4.1 pep chromosome:Pop_tri_v4:3:15526255:15527542:-1 gene:Potri.003G138600.v4.1 transcript:Potri.003G138600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138600.v4.1 MLLEDYVHFSCANASCKMFSDLDCRKTTPRWTDHVRVSPENGLEGPHDDGYSWRKYGQKDILGAKYPRSYYRCTYRNTQNCWATKQVQRSDEDPTIFEITYRGTHTCAHGNQSIPSPSSPEKQEKKQKNTNNNYQQQQSQQALYNFQNSLKVITEDLDNKEMVSPFSFPSAYGCSKNVSSYSPSFISPATPEPTHYSVSPFQMNNFVGAYNLQHLESDFTEIISTNTSATNSPIVDLDFSLDQVELDPNFPFDTPGFFS >Potri.003G138600.1.v4.1 pep chromosome:Pop_tri_v4:3:15526244:15529838:-1 gene:Potri.003G138600.v4.1 transcript:Potri.003G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138600.v4.1 MESGLCWEQQTLIAELVQGMELAKQLRAHLNATSSVESRDELLQRILASYERALLILNWGGSMGQPQSVGVSAGVPESPISINGSSRSDEFDGGVKDNQGYNEASKKRKTTPRWTDHVRVSPENGLEGPHDDGYSWRKYGQKDILGAKYPRSYYRCTYRNTQNCWATKQVQRSDEDPTIFEITYRGTHTCAHGNQSIPSPSSPEKQEKKQKNTNNNYQQQQSQQALYNFQNSLKVITEDLDNKEMVSPFSFPSAYGCSKNVSSYSPSFISPATPEPTHYSVSPFQMNNFVGAYNLQHLESDFTEIISTNTSATNSPIVDLDFSLDQVELDPNFPFDTPGFFS >Potri.006G189300.4.v4.1 pep chromosome:Pop_tri_v4:6:19644146:19645737:-1 gene:Potri.006G189300.v4.1 transcript:Potri.006G189300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189300.v4.1 MLVKENEPIVFTYEVTFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNSGLLCVMVGDGVQILGMAVVTVMFAALGFMSPASRGTLIIGMLIFYMILGIAAGYVAVRLWRTIGCGDKKGWVSVSWKVACCFPGIAFFILTTLNFLLWGSHSTGAIPFSLFVVLIFMWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVFGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVFILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFELKSLSGPVSEALYLGYSLLMALAIMFAMGSVGFLSSFWFVHYLFSSVKLD >Potri.006G189300.1.v4.1 pep chromosome:Pop_tri_v4:6:19644190:19646752:-1 gene:Potri.006G189300.v4.1 transcript:Potri.006G189300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189300.v4.1 MDFFAHFKIWVLTLCLVFQSGYGFYLPGSYPHNYGIGDTLSVKVNSITSIETEIPFSYYSLPFCKPLEGVKDSAENLGEVLMGDRIENSPYKFKMHTNETDIFLCRTDPLSGDHFKLLKKRIDEMYQVNLILDNLPAIRYAKKESYFLRWTGYPLGIKVKDAYYVFNHLKFTVLVHKYEEANVARVMGTGDGSELIPTVGSGGSELPGYMVVGFEVVPCSVMHDAQSVKNLKPYEKYPSPIKCDPTTVAMLVKENEPIVFTYEVTFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNSGLLCVMVGDGVQILGMAVVTVMFAALGFMSPASRGTLIIGMLIFYMILGIAAGYVAVRLWRTIGCGDKKGWVSVSWKVACCFPGIAFFILTTLNFLLWGSHSTGAIPFSLFVVLIFMWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVFGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVFILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFELKSLSGPVSEALYLGYSLLMALAIMFAMGSVGFLSSFWFVHYLFSSVKLD >Potri.006G189300.5.v4.1 pep chromosome:Pop_tri_v4:6:19644111:19645573:-1 gene:Potri.006G189300.v4.1 transcript:Potri.006G189300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189300.v4.1 MVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNSGLLCVMVGDGVQILGMAVVTVMFAALGFMSPASRGTLIIGMLIFYMILGIAAGYVAVRLWRTIGCGDKKGWVSVSWKVACCFPGIAFFILTTLNFLLWGSHSTGAIPFSLFVVLIFMWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVFGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVFILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFELKSLSGPVSEALYLGYSLLMALAIMFAMGSVGFLSSFWFVHYLFSSVKLD >Potri.006G189300.6.v4.1 pep chromosome:Pop_tri_v4:6:19644095:19645319:-1 gene:Potri.006G189300.v4.1 transcript:Potri.006G189300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189300.v4.1 MFAALGFMSPASRGTLIIGMLIFYMILGIAAGYVAVRLWRTIGCGDKKGWVSVSWKVACCFPGIAFFILTTLNFLLWGSHSTGAIPFSLFVVLIFMWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVFGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVFILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFELKSLSGPVSEALYLGYSLLMALAIMFAMGSVGFLSSFWFVHYLFSSVKLD >Potri.006G189300.3.v4.1 pep chromosome:Pop_tri_v4:6:19644147:19646160:-1 gene:Potri.006G189300.v4.1 transcript:Potri.006G189300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189300.v4.1 MHTNETDIFLCRTDPLSGDHFKLLKKRIDEMYQVNLILDNLPAIRYAKKESYFLRWTGYPLGIKVKDAYYVFNHLKFTVLVHKYEEANVARVMGTGDGSELIPTVGSGGSELPGYMVVGFEVVPCSVMHDAQSVKNLKPYEKYPSPIKCDPTTVAMLVKENEPIVFTYEVTFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNSGLLCVMVGDGVQILGMAVVTVMFAALGFMSPASRGTLIIGMLIFYMILGIAAGYVAVRLWRTIGCGDKKGWVSVSWKVACCFPGIAFFILTTLNFLLWGSHSTGAIPFSLFVVLIFMWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVFGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVFILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFELKSLSGPVSEALYLGYSLLMALAIMFAMGSVGFLSSFWFVHYLFSSVKLD >Potri.006G189300.2.v4.1 pep chromosome:Pop_tri_v4:6:19644160:19646302:-1 gene:Potri.006G189300.v4.1 transcript:Potri.006G189300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189300.v4.1 MGDRIENSPYKFKMHTNETDIFLCRTDPLSGDHFKLLKKRIDEMYQVNLILDNLPAIRYAKKESYFLRWTGYPLGIKVKDAYYVFNHLKFTVLVHKYEEANVARVMGTGDGSELIPTVGSGGSELPGYMVVGFEVVPCSVMHDAQSVKNLKPYEKYPSPIKCDPTTVAMLVKENEPIVFTYEVTFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNSGLLCVMVGDGVQILGMAVVTVMFAALGFMSPASRGTLIIGMLIFYMILGIAAGYVAVRLWRTIGCGDKKGWVSVSWKVACCFPGIAFFILTTLNFLLWGSHSTGAIPFSLFVVLIFMWFCISVPLTLVGGYFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVFGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVFILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFELKSLSGPVSEALYLGYSLLMALAIMFAMGSVGFLSSFWFVHYLFSSVKLD >Potri.006G112100.2.v4.1 pep chromosome:Pop_tri_v4:6:8708081:8711904:1 gene:Potri.006G112100.v4.1 transcript:Potri.006G112100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112100.v4.1 MDRVLQRTSQDVPLSTAHIHVNGGFVADHPSHSALCALCRSILVPDNDDLEISICGHCKFLLLEDLETPTRDSHLRRLHRGRRTRYSSSESIDTLFSQQFSQVINLARQNHNTIYGNEDRSIDGDSSARLLQRTSSRTTPSSSRRWQHLFSDSESESFDNVDSLYGESEINFRSSRYRVFHSESDAISSSVYGEDSDASVDGHSVLDTEMFIQADEGSNFDSDTDIDPMHAGLHQWNSDDLEEEEDEEDEEWEEADIEEDTIGSVEAGARLRNMFISSPSEGNGPVSWRRQFRSPEFEGMNRRRTRQSRQAYNHGFLANLEESELPRHMWNSEFGDYATGFGDLLEALARSDIGRRGAPPAAVSFVNNLPLVIINEEHEKHDGLACAICKDLLPIGTEVNKLPCLHLYHPYCILPWLSARNSCPLCRYEFPTDDKDYEEGRQNSSTRMEMHGIQRQEVSEDSSSDVSDEPLEHGQRGRGFLDVGPPLNSSGREGSGRRWLLLAAAPLVSLLGIVFVMWWGNPQGRRANGHCNFSGRGLHQIQVSGSSQLNQRGNGRRRWWSFF >Potri.006G112100.4.v4.1 pep chromosome:Pop_tri_v4:6:8708028:8711696:1 gene:Potri.006G112100.v4.1 transcript:Potri.006G112100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112100.v4.1 MDRVLQRTSQDVPLSTAHIHVNGGFVADHPSHSALCALCRSILVPDNDDLEISICGHCKFLLLEDLETPTRDSHLRRLHRGRRTRYSSSESIDTLFSQQFSQVINLARQNHNTIYGNEDRSIDGDSSARLLQRTSSRTTPSSSRRWQHLFSDSESESFDNVDSLYGESEINFRSSRYRVFHSESDAISSSVYGEDSDASVDGHSVLDTEMFIQADEGSNFDSDTDIDPMHAGLHQWNSDDLEEEEDEEDEEWEEADIEEDTIGSVEAGARLRNMFISSPSEGNGPVSWRRQFRSPEFEGMNRRRTRQSRQAYNHGFLANLEESELPRHMWNSEFGDYATGFGDLLEALARSDIGRRGAPPAAVSFVNNLPLVIINEEHEKHDGLACAICKDLLPIGTEVNKLPCLHLYHPYCILPWLSARNSCPLCRYEFPTDDKDYEEGRQNSSTRMEMHGIQRQEVSEDSSSDVSDEPLEHGQRGRGFLDVGPPLNSSGREGSGRRWLLLAAAPLVSLLGIVFVMWWGNPQGRRANGHCNFSGRGLHQIQVSGSSQLNQRGNGRRRWWSFF >Potri.016G066000.4.v4.1 pep chromosome:Pop_tri_v4:16:4614678:4620214:-1 gene:Potri.016G066000.v4.1 transcript:Potri.016G066000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066000.v4.1 MSLGFKNSLFFGQFNTVQLGSSKSRSQKHRILSIEPVRTSVLDGYIVPRNIKGKIDNLGKVKLNKDFVLRSKSVLTVDKEVDVDGNGGLGRERSNYDAIVIGSGIGGLVAATQLAVKGAKVLVLEKYVIPGGSSGYYERDGYTFDVGSSVMFGFSDKGNLNLITQALAAVGCEMEVIPDPTTVHFHLPNDLSVQVHREYIDFISELAAKFPHEKDGILKFYGECWKIFNALNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGDIARKYIKDPQLLSFIDAECFIVSTVNALQTPMINAAMVLCDRHFGGINYPVGGVGGIAKSLSKGLVDQGSEILYRANVTNIILEHGKAVGVRLSDGREFFGKTIISNATRWDTFGKLLKGETLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWARLEEPYGSIFLSIPTILDSSLAPEGHHILHIFTTSSIEDWEGLSTKDYEAKKKVAADEIISRLEKKLFPGIRSSIAFMEVGSPKTHRRYLARDKGTYGPMPRRTPKGLLGMPFNTTAVDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGIEKKSPVLDAALLRLLGWLRTLA >Potri.002G092100.3.v4.1 pep chromosome:Pop_tri_v4:2:6670336:6673277:1 gene:Potri.002G092100.v4.1 transcript:Potri.002G092100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092100.v4.1 MQDRDLSEYSPSLSSTSRHLSCDHQLCEWGSNCKNPKDPCPYIFNYDDFENTTSAGFLVEDKLHLASVGDHTARKMLQASVVLGCGRKQGGSFFDGAAPDGVMGLGPGDISVPSLLAKAGLIQNCFSLCFDENDSGRILFGDRGHASQQSTPFLPIQGTYVAYFVGVESYCVGNSCLKRSGFKALVDSGSSFTYLPSEVYNELVSEFDKQVNAKRISFQDGLWDYCYNASSQELHDIPAIQLKFPRNQNFVVHNPTYSIPHHQGFTMFCLSLQPTDGSYGIIGQNFMIGYRMVFDIENLKLGWSNSSCQDTSDSADVHLAPPPDNKSPNPLPTNEQQSIPRTPSVAPAVAGRTSSESSAASLVIPFLHLMISLLLLLVKCMFISTL >Potri.002G092100.1.v4.1 pep chromosome:Pop_tri_v4:2:6669602:6673281:1 gene:Potri.002G092100.v4.1 transcript:Potri.002G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092100.v4.1 MECDRVVVLVVVVVLLCCQFEASIGLTFSSKLIHRFSDEAKSISISRKGNASGDLWPKRYSFEYFQLLLGNDLKRQRMKLGSQKNQLLFPSQGSQALFFGNELDWLHYTWIDIGTPNVSFLVALDAGSDLLWVPCDCIQCAPLSASYYNISLDRDLSEYSPSLSSTSRHLSCDHQLCEWGSNCKNPKDPCPYIFNYDDFENTTSAGFLVEDKLHLASVGDHTARKMLQASVVLGCGRKQGGSFFDGAAPDGVMGLGPGDISVPSLLAKAGLIQNCFSLCFDENDSGRILFGDRGHASQQSTPFLPIQGTYVAYFVGVESYCVGNSCLKRSGFKALVDSGSSFTYLPSEVYNELVSEFDKQVNAKRISFQDGLWDYCYNASSQELHDIPAIQLKFPRNQNFVVHNPTYSIPHHQGFTMFCLSLQPTDGSYGIIGQNFMIGYRMVFDIENLKLGWSNSSCQDTSDSADVHLAPPPDNKSPNPLPTNEQQSIPRTPSVAPAVAGRTSSESSAASLVIPFLHLMISLLLLLVKCMFISTL >Potri.013G022700.2.v4.1 pep chromosome:Pop_tri_v4:13:1463844:1470202:-1 gene:Potri.013G022700.v4.1 transcript:Potri.013G022700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022700.v4.1 MQDFLGSVRRSIVFRTPPQDHNPNQETNYCSPNNPLTSTLVDKLNNCVRKSKIFNKPTSPSSLPMPPPIRYRKGELIGCGAFGHVYMGMNLDSGELLAIKQVLIAANGATRERAQAHIRELEEEVKLLQNLSHPNIVRYLGTVREEETLNILLEFVPGGSISSLLGKFGSFPEPVIRAYTKQLLLGLEYLHNNGIMHRDIKGANILVDNKGCIKLADFGASKQVVELATVSGAKSMKGTPYWMAPEVILQTGHGFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFYIGSTKSHPEIPGHLTPEAKDFLLKCLHKEPNMRPEASQLLQHPFVTGEMGASDHVIHSPVMEHSGIPLQLYTTNPETIQMPSAHDSMDVCNLGSLGCSIDLKKLSECKDAWEIPNSNDDMCLISHDFSIDEIKLNSRLSSNDFNKSSDPKCELSGEWRCKFDESPELEQAGSKVDTGKPVQVDQNISFSCGASLSEDDEELTESKIRAFLGEKALELKKLQTPLYEEFYNSLNASFSPSYGGSSRDETPPNYLKLPPKSKSPSRIPVGSPSTASDAVSTGSPGSNRRASNVGNASDEASEDNSSPRSNDRKGLQVDGQPETSSPSVSFSERQRKWKEELDQELERKREMMRQAAVGSKTSSPKDRALGRQRERTRFASPSK >Potri.004G135300.1.v4.1 pep chromosome:Pop_tri_v4:4:15661847:15663931:-1 gene:Potri.004G135300.v4.1 transcript:Potri.004G135300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135300.v4.1 MALMETFYLSHGAPTLAIDETVPARKFFQSWQQSAYKEKPSSILVISAHWETAQPTVNVVDRNDTIYDFYGFPKPLYQIKYTPPGAPELAKRVKELLLASGIEHVDEDKKRGLDHGAWVPLMFMYPEADIPVCQLSVQSDRDGTHHYNMGKALAPLREEGVLILGSGSAVHNLGSRLPDGSPVPSWALEFDNWLKDALIEGRYEDVNHYESKAPHPKMAHPRPDHFYPLHVAMGAAGEDSKAKLVHSSWTDGTLSYASYQFTAPK >Potri.006G085900.1.v4.1 pep chromosome:Pop_tri_v4:6:6416379:6420574:-1 gene:Potri.006G085900.v4.1 transcript:Potri.006G085900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085900.v4.1 MKERQRWRAEEDALLRAYVKQYGPREWNLVSQRMNTPLNRDAKSCLERWKNYLKPGIKKGSLTEEEQSLVIRLQAKHGNKWKKIAAEVPGRTAKRLGKWWEVFKEKQQRELKENNKTVEPIDEGKYDRILETFAEKLVKERPSPAFVMATSNGTFLHPDPHPHPHPPPHPHPSTPAPTMLPPWLSNSNSTSTVRPPSPSVTLSLSPSTVAASPPIPWLQPERGPENTPLVLGNLPPHGIVPVCGESFLMSELVDCCRELEEGHRAWAAHKKEAAWRLRRVELQLESEKSCRRREKMEEIESKIKSLREEEKASLDRIEAEYREQLTGLRRDAETKEQKLSDQWTAKHLRLTKFLEQMSCRPRLSEPNSR >Potri.001G399400.2.v4.1 pep chromosome:Pop_tri_v4:1:42534237:42545661:-1 gene:Potri.001G399400.v4.1 transcript:Potri.001G399400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399400.v4.1 MGVEVVAPEKVQAPIDSVSEVDETILHEKENVKLDEVSGLTEPIQFGSHGEEPVRAEGNDVTDANLPKDAIDEWPAPKQIHSFYFVTYRQYDDPKIKSKIDQADKEIQKRNLSRFEITEELKAIRAKRAELVNQVRTLKNEGRQYKSMFDEKKKKIEPLQQALGKLRDTNSAGRGGLCSSEEELNDLIYSLQYRIQHESIPLTEEKQILREIKQFEGTREKVIANAAMRAKIQDSMGQKEAIQDQVKLIGVDLDGVRKEQQVLWGKVDGLDGKVKALDAEIKTLQDELTAVTQKRDKAYETIQELRKQRDEANANFYQCRMLLTKAKELAARKDVPALEELAHAEVENFMSLWSHNKAFRDDYKKRILPSLDRRQMSKDGRIRNPDEKPLVILETPKLSEPEPVVKAIAKRPKEDPKPPSQKDALPPQKVQKETTKTELKTTSEHSDIIDKEVSGLENLQKNPAEKEVDEAKLKEMKREEEIAKAKQAMERKKKLAEKAAAKAAARAQKDAEKKLKEREKKLKKKAAATEPEEPVEAVAEAEPEMDEVNDEVPVPVKEKVGKENTVRPRNRPRGPGSLPKVIPRRKKSTNYWIWAAPAALVVLLFLALGYYYYLL >Potri.002G049650.1.v4.1 pep chromosome:Pop_tri_v4:2:3332808:3334634:1 gene:Potri.002G049650.v4.1 transcript:Potri.002G049650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049650.v4.1 MLCMPFLEHVFVYYLSRGWMYPEHVHIYDRRDGQCSVCLHGRHGHERRLMSVVVFFNPLRPSLFYFILFYFIFKVVIFDVNLDQLGRKGWISSHSWKKKKRLRGKRLDFT >Potri.009G165300.1.v4.1 pep chromosome:Pop_tri_v4:9:12668098:12670871:-1 gene:Potri.009G165300.v4.1 transcript:Potri.009G165300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165300.v4.1 MALNLRQKQTECIIRMLNLNQPLNATGTANEEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKHVHDVPAVYFVQPSQVNVQRIVADASRSLYDSLHLNFSSSIPRPLLEDLASGTLNSESIDKILKVHDQYLEFVTLEHNLFSLAQKFCYVQLNDPSAGDREIEEIVEKVASGLFCVLATLAVVPVIRCPSGGPAEMVASVLDQKLRDHLLSKNNLFTEGGGFASSFQRPVLCIFDRNFELSVGIQHDFRYLPLVHDVLGLRLNRLSVPGEKGGMRSYELDSSDPFWVANGSLEFPEVAVEIETQLNKYKKDVDEVNRRTGGTDGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGGIDRNELLGVLRGKGTKMDKLRFAIIYLICSESINPAEVEAIETSLRESEVDTCAFQYVKKMKSLNVSLASSNSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRIVEALMEGRPNPDVDSYLVFDPRAPKSGSGTSGSHLKGPYKEAIVFMIGGGNYMEYGSLQEFAQRQQPVKHVIYGTTEILTGIEFVEQLTVLGQKMGLGSTAASPAPTL >Potri.006G208500.2.v4.1 pep chromosome:Pop_tri_v4:6:21656357:21658967:-1 gene:Potri.006G208500.v4.1 transcript:Potri.006G208500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208500.v4.1 MAAALRRIAGEKSFMLSSIRAKLVAAPTALFIWQRGITTKLFVGGLSIYTTENGLSEAFSQYGQVVEAKIVMDRALDRSKGFGFVTYASEDEAQKALDEMNGKALNGRVIYVDYAKLKTNFGGGIPIARGPPEPTTSES >Potri.019G063300.1.v4.1 pep chromosome:Pop_tri_v4:19:10329726:10333083:-1 gene:Potri.019G063300.v4.1 transcript:Potri.019G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063300.v4.1 MNPYNGNNRSDEEEKGLLWKLPEVRFNELGRVGPAFGFGAGCGVGFGVGLVGGVGFGPGIPGLQFGIGLGAGCGIGYGFGYGVGRGVAHDEKRRYSNVGKHFRGPVNLPTHDEVGSLIDELVINTKKLVKATSREIEKWRR >Potri.002G248300.2.v4.1 pep chromosome:Pop_tri_v4:2:23848912:23854232:1 gene:Potri.002G248300.v4.1 transcript:Potri.002G248300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248300.v4.1 MEEDFELPTGDEMMMNNGDQLDLPDEGPMLKVGEEKEIGNQGLKKKLLKEGEGWDTPDNGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDLGIKTMKKGENALFTIPADLAYGSSGSPPTIPPNATLQFDVELLSWSSIKDICKDGGIFKKILVEGEKWENPKDLDEVLVRYEAQLEDGSVIARSDGVEFTVKDGHFCPALARAVKTMKMGEKVLLTVKPQYGFGEKGKPASGDESAVPPNANIQITLELVAWKTVTEVTDDKKVIKKILKEGDGYDRPNEGAVVKVKLIGKLQDGTVFFKKGQDDSELFEFKTDEEQVIDGLDRAVSTMKKGELALLTIAPEYAFGSSESQQELAVVPPNSTVCYEIELVSFDKEKESWDMNTDEKIEAAGKKKEEGNVLFKAGKYAKASKRYEKAVKYIEYDSSFSEEEKKQAKALKVACNLNNAACKLKLKDYKQAEKLCTKVLELESRNVKALYRRAQAYIQLADLDLAEFDIKKALEIDPDNRDVKLEHKTLKEKMKEYNKKEAKFYGNMFAKMSKVGSLESNKAEAKEAEPMSVDSEA >Potri.002G248300.3.v4.1 pep chromosome:Pop_tri_v4:2:23848877:23853226:1 gene:Potri.002G248300.v4.1 transcript:Potri.002G248300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248300.v4.1 MEEDFELPTGDEMMMNNGDQLDLPDEGPMLKVGEEKEIGNQGLKKKLLKEGEGWDTPDNGDEVEVHYTGTLLDGTQFDSSRDRGTPFKFTLGQGQVIKGWDLGIKTMKKGENALFTIPADLAYGSSGSPPTIPPNATLQFDVELLSWSSIKDICKDGGIFKKILVEGEKWENPKDLDEVLVRYEAQLEDGSVIARSDGVEFTVKDGHFCPALARAVKTMKMGEKVLLTVKPQYGFGEKGKPASGDESAVPPNANIQITLELVAWKTVTEVTDDKKVIKKILKEGDGYDRPNEGAVVKVKLIGKLQDGTVFFKKGQDDSELFEFKTDEEQVIDGLDRAVSTMKKGELALLTIAPEYAFGSSESQQELAVVPPNSTVCYEIELVSFDKEKESWDMNTDEKIEAAGKKKEEGNVLFKAGKYAKASKRYEKAVKYIEYDSSFSEEEKKQAKALKVACNLNNAACKLKLKDYKQAEKLCTKVLELESRNVKALYRRAQAYIQLADLDLAEFDIKKALEIDPDNRDVKLEHKTLKEKMKEYNKKEAKFYGNMFAKMSKVGSLESNVSMQPKLITSNCYNAQVLYTKTAFCLYDV >Potri.006G234200.1.v4.1 pep chromosome:Pop_tri_v4:6:23666249:23668696:-1 gene:Potri.006G234200.v4.1 transcript:Potri.006G234200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234200.v4.1 MGRAPCCDKANVKRGPWSPEEDATLKSYLETHGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICTLYSQMGSRWSLIAAQLPGRTDNDVKNYWNTKLKKKILAGKISLTIKNNPINAPVNVANIPAIPCSTSPILYVPKAETESSVTFSDHYSLTQISGTLPALSDIGYEPIINSTAQNLSPNQFQFSSFPGVIDMSEFSMNSTHIVSPSQEGSSISDSSSLAMDNKGLSVPSTNGGLEDVGIFMDSEPGFPSDFFNGLLLQDKASEVATNCYQYFAGFGYVDIKP >Potri.002G070101.1.v4.1 pep chromosome:Pop_tri_v4:2:4894619:4896455:-1 gene:Potri.002G070101.v4.1 transcript:Potri.002G070101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070101.v4.1 MGSPMASLLVTLLVATISLSLPSESTATYKYSSPPPPKKSPPPPPPPYHYKSPPPPPPVHSPPPPVHPYKYKSPPPPPPVHKPPPSPTHPYKHKSPPPPPPVHKPLPSPPTHPYKYKSPPPPPPVHSPPPPPPTHPYKYKSPPPPPPVHKPLPSPPTHPYKYKSPPPPPPVHSPPPPPPTHPYKYKSPPPPPVHSPPPPTHPYKYKSPPPPPVHSPPPPPSPVYKYKSPPPPPPVHKSPPLPPKKPYKYKSPPPPPPVHKSPPLPPKKPYKYKSPPPPPVYKSPPPPPPVYKSPPPPPHKKPYKYKSPPPPPPVYKSPPPPPHKKPYKYKSPPPPTPVYKYKSPPPPPPPVHKSPPPPHYIYKSPPPPHHY >Potri.008G055600.1.v4.1 pep chromosome:Pop_tri_v4:8:3274105:3275494:-1 gene:Potri.008G055600.v4.1 transcript:Potri.008G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055600.v4.1 MAMLLNKNRKMGSPLLHEFKRQASFFFKEKIKTARLALTDVTPTELLTEEITNGDLWAPDTRAMGVISRAAFEVDDYCRIVDILHKRLIKFDRKNWRIFYKTLLLLEHLLTHGPLRVADEFQCDKDAIKEMASFQFVDEKGFNWGSSVRKLSERILELLENEEFLKEERASARKLTREIQGFGSFSQRSSSAEESLKALGFRTRLRCNSIYSHQHNQEKDDEFMDSKGKLLHEERIQIHEYTSHPALGNQENISREEYTAEDHPFCDNHHHTTVALLSDAE >Potri.014G006800.1.v4.1 pep chromosome:Pop_tri_v4:14:561279:563185:1 gene:Potri.014G006800.v4.1 transcript:Potri.014G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006800.v4.1 MDLQLLKKGLLDYTRKNKRRALLLAALGFSTYTAYKVYHFPSLAKKRKRISKLFVALVAIAQLISDSAETIGVVSKDFKDFVQSESDQIPNSLKQISKVARSNEFSEGLVTLTQAFTVGIYQSHARIHHDAGANGDRNSKGSPSLLDKVFEKPASPTGSGFVSVIVGSFARNLVLGLYEGGLNSISDLNAAADSHDSFQKVMEAVCGDKGRELIGVCIQLFVSTAVTVYLDRTTHINTYDEFFAGLTNPKHETKMREVLVSICNGAIETLVKTSHQVLTTDDSNLNSSSDSPYLAIDQEESAIEDEVSGKEAFFSESMTRKSVAEVKENGWVNKVSSALAVPSNRRLVLEVTGRVTFETVRSLLEFLLGKLYNGMRRCVDFAHEVVVDTGIEVVRYVKAKSSVIATLCISFCLHILDVAWILVPA >Potri.009G069900.1.v4.1 pep chromosome:Pop_tri_v4:9:6910067:6913773:1 gene:Potri.009G069900.v4.1 transcript:Potri.009G069900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069900.v4.1 MQQNMSTKRPTTIFLLLLLVLFHDRIHASPIKTVVVVVMENRSFDHMLGWMKKINPEINGVDGTQWNPLNISDPSSQKFFTNTQAQYVDPDPGHSFQAIREQIFGSEDTSKNPPPMNGFAQQAFSMDASINLSRDVMNGFEPDMVAVYKTLVSEFSVFDRWFASVPSSTQPNRLFVHSATSAGATSNIPALLVKGYPQRTIFENLDDAGISWGIYYQNIPATLFYSNLRKLKHITKFHPYGMSFKKHAKQGKLPGYAVLEQRYMDIKISPANDDHPSHDVYQGQMFVKEVYETLRASPQWNETLLVITYDEHGGFYDHVATPVSGVPSPDGIVGPEPFLFKFDRLGVRVPTIVVSPWIEKGTVVHGPNGSPFSTSEYEHSSIPATVKKLFNMPSPFLTRRDEWAGTFEGILQTRTEPRTDCPVQLPTPTQVRKSEPNEDAKLTEFQQELLQLAAVLKGDHILTSYPDKIGKEMTVKQGNDYMEDALKRFFEAGLYAKSLGVDEEQIVQMRPSLTTRPSKPTNQP >Potri.001G082600.1.v4.1 pep chromosome:Pop_tri_v4:1:6542776:6544126:1 gene:Potri.001G082600.v4.1 transcript:Potri.001G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082600.v4.1 MEEVKVMMMSSLHHSMNESSPQDPITTTTCTSWKLYENPFYNSQHNIQHQHQQHCQSNKHLHHLPLSARKIAASFWDLTFFRPIMDTELDFARAQILDLKAELEYERKARKKLETMSKRLAKELAEERRGREALARVCEELAREISCDKEEIDHMKREMEEERKMLRMAEVLREERVQMKLAEARMLFEEKLLELGGTTTQTELHHNSASRMEQKYQEDKEAEISTPFKAAAILSSKLNRLVLSEKSCYDNSGADSKESTRVILSEMSSFNDKSRSISSMVIQRSRASPEPENPHIKRGIKGFVEFPRVIRAIGSKNRHWGTKLECQKAQLRILLKQKSPIRSNNLIIS >Potri.002G174001.1.v4.1 pep chromosome:Pop_tri_v4:2:13407774:13408392:-1 gene:Potri.002G174001.v4.1 transcript:Potri.002G174001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174001.v4.1 MPLNSLTFCRGLMSPQVRSLVSFHGSAMFGYLIDQRKEPPLLWEDSLIGSCWKIAFNLAVMMVGPNCINFHLIFWCASFCIAKVHGNIKKKYTHTHRAHTHTQSVEGSSRSGPRRTIVENLLKPLNLEYGKVAPGWGTTSLMGVVMALFAIFLSIILKIYNSSILLDGISMN >Potri.009G100900.1.v4.1 pep chromosome:Pop_tri_v4:9:8935722:8940383:1 gene:Potri.009G100900.v4.1 transcript:Potri.009G100900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100900.v4.1 MESMLCLLSPSPTKLSPFKKLTSTCALKTTFSSLSFASMTSPPQPKTPSTKKLSSSKPTKTLSPKTPTTSTSVQETSTPFSPPLKKVLVPIGFGTEEMEAVIIVDVLRRAGAEVIVASVEPQLEVEAAGGTRLVADTSISKCANEVFDLVALPGGMPGSARLRDCEVLRQITSKQAEDKRLYGAICAAPAITLLPWGLLRRKQMTGHPAFMDKLPTFWAVASKIQVSGELTTSRGPGTSFEFALSLVDQLFGESVAKEVGQLLLMQADDDTQRKEEYNKVEWSFDHNPRVLLPIANGSEEIEIVAIVDILRRAKVDVVVASIEKSVQILASRGIKIVADKLIGDAAESVYDLIILPGGNAGAERLHKSKVLKKLLQEQYTAGRIYGAVCSSPAVLHRQGLLKDKRATAHPSVVTNLNNVSNGAKVVIDGKLITSKGLSTVTDFALAIVSKLFGHARTRCVAEGLVFDYPRS >Potri.009G100900.2.v4.1 pep chromosome:Pop_tri_v4:9:8935722:8940361:1 gene:Potri.009G100900.v4.1 transcript:Potri.009G100900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100900.v4.1 MTGHPAFMDKLPTFWAVASKIQVSGELTTSRGPGTSFEFALSLVDQLFGESVAKEVGQLLLMQADDDTQRKEEYNKVEWSFDHNPRVLLPIANGSEEIEIVAIVDILRRAKVDVVVASIEKSVQILASRGIKIVADKLIGDAAESVYDLIILPGGNAGAERLHKSKVLKKLLQEQYTAGRIYGAVCSSPAVLHRQGLLKDKRATAHPSVVTNLNNVSNGAKVVIDGKLITSKGLSTVTDFALAIVSKLFGHARTRCVAEGLVFDYPRS >Potri.009G124200.7.v4.1 pep chromosome:Pop_tri_v4:9:10349767:10358169:1 gene:Potri.009G124200.v4.1 transcript:Potri.009G124200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124200.v4.1 MARRRDEDDDLEDEEYEEQEEQLMDEEEEYEEEEEEDRGAAIKKRRRSDFIDDIAEEEDEEEEDDDDDEDYGGGGGGGGGGGRKQKGKKRRGSEFFDDIAQVASDDDEEEEDAEDDFIVDDHGADLPDEASGRRMHRPLLSREDDQEDVEALERSIQARYAKSMHSEYDEETTEVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSVVALDHLKNYIYIEADKEAHVREACKGLRNIFGQKIMLVPIREMTDVLSVESKVIDLSRDTWVRMKIGTYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREAPKKKAFVPPPRFMNVDEARELHIRVERRRDPMTGDYFENIGGMLFKDGFLYKTVSMKSISAQNIKPSFDELEKFRSPGENGDGDVASLSTLFANRKKGHFMKGDAVIVVKGDLKSLKGWVEKVDEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVSGTHEGVTGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGVTKIGDYELHDLVLLDNMSFGLIIRVESEAFQVLKGVTERAEVALVRLREIKCKIEKKTNVQDRYKNTVSVKDVVRIIDGPCKGKQGPVEHIYRGVLFIYDRHHLEHAGYICAKSHSCIVIGGSRSNGDRNGDSYSRLGSFKTPRVPPSPRRFPRGGPPFDSGGRNRGGRGGHDALVGTTIKVRQGPFKGYRGRVVDIKGQFVRVELESQMKVVTVDRSHISDNVVVSTPYRDAPRYGMGSETPMHPSRTPLRPYMTPMRDSGATPIHDGMRTPMRDRAWNPYAPMSPPRDNWEDGNPGSWGTSPQYQPGSPPSGTYEAPTPGSGWASTPGGNYSEAGTPRDSSSAYANAPSPYLPSTPGGQPMTPSSASYLPGTPGGQLMTPGTNGLDMMSPVIGGDGEGPWFIPDILVTVHRTADESAVGVIREVLQDGSCKIVLGAHGNGETITALPSEIEMVVPRKSDKIKILGGAHRGATGKLIGVDGTDGIVKLEDTLDVKILDMVILAKLAQM >Potri.008G186100.7.v4.1 pep chromosome:Pop_tri_v4:8:12952823:12956457:1 gene:Potri.008G186100.v4.1 transcript:Potri.008G186100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186100.v4.1 MKFMKLGSRPDTFYTAQAVRSVSSEVSSDLIVQVKGSRYLLHKFPLLSKCLRLQRLCSESPETSQHHIVQLPDFPGGVEAFELCAKFCYGITITLSAFNIVAVRCAAEYLQMTEDVEKGNLTYKLEVFFNSCILHGWKDSIVTLQSTKEFPSWSEDLGITSRCIEAIASKVLTHPSKVSLSHIYSRRERDDESCNGAESQRHKPPSKGWWAEDMAELGIDLYWRTMIAVKSGGKMPSSLIGEALKIYAARWLPNISRERNVNKQVASDSDSDSTNEITSKHRVLLESIVSLLPAEKGAVSCSFLLKLLKAANILNASSSSKMELARRVALQMEEATVRDLLIPSISYANSTVYDVDLVITILEQFMLQGQSPPTSPPRSKLGFERRRSRSAENIVLAFQESRRSSSASHSSKLKVAKLVDGYLQEIARDMNLPLSKFIALAEAIPDFSRLDHDDLYRAIDIYLKAHPDLNKSERKRLCRTLDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMGSGKVTELPSNIKALLAAHNIDPSRPTTALSTTTSIPADDQWSVSGLRSPKSKVSTLRMKLAEDDLDESDLQSEGLRRTSKFKSFCALPTRPKRMFSKFLSINRNSSEKN >Potri.004G091000.1.v4.1 pep chromosome:Pop_tri_v4:4:7749901:7754395:1 gene:Potri.004G091000.v4.1 transcript:Potri.004G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091000.v4.1 MGSSKKKEEEKLRFCIDRGGTFTDVYAEISGKSDGRDLKLLSVDPANYEDAPVEGIRRILEEYTGEKIPRTSKIPTNKIEFIRMGTTVATNALLERKGERIALCVTRGFKDLLQIGNQARPNIFDLTVSKPSNLYEEVIEVDERVQLVVDDSGDDGLGSVVKGVSGELVRVVKPVDEQGLKPLLKGLLERGISCLAVVLMHSYTFPQHELAVEKLAVDLGFRHVSLSSSLTPMVRAVPRGLTASVDAYLTPVIKDYLSGFMSKFDEGLGKVNVLFMQSDGGLAPENRFSGHKAVLSGPAGGVVGYSQTLFGLETEKPLIGFDMGGTSTDVSRYAGSYEHVLETQISGAIIQAPQLDISTVAAGGGSKLKFQFGAFRVGPESVGAHPGPVCYRKGGELAVTDANLVLGFVIPDHFPSIFGPNEDQPLDIKATREEFEKLANQINSYRKSQDSSAKDMTVEEIALGFVNVANETMCRPIRQLTEMKGHETRNHALACFGGAGPQHACAIARSLGMKEVLVHRFCGILSAYGMGLADVVEEAQEPYSAVYGPDSILEASHREDMLLKQIRQKLQEQGFREENITTETYLNLRYEGTDTAIMVKKHVNEDGSGSDYAVEFVKLFQQEYGFKLQNRNILICDVRVRGIGVTNILKPQVLEPTSGNLEVEGHYKVYFGNGWLDTPLYKLDNLGCGHVIPGPAIIMNGNSTVVVEPQCKAIITIYGNIKIEIESNMSTVKIAEKVADVVQLSIFNHRFMGIAEQMGRTLQRTSISTNIKERLDFSCALFGPDGGLVANAPHVPVHLGAMSSTVRWQLNYWGENLNEGDVLVTNHPSAGGSHLPDITVITPVFDNGKLVFFVASRGHHAEIGGITPGSMPPFSKSIWEEGAAIKAFKLVEKGIFQEEGIVNLLQFPGSDESAHKIPGTRRLQDNLSDLHAQVAANQRGISLIKELIEQYGLETVQAYMTYVQLNAEEAVREMLKSVAARVSSQSDKFGENNNVTIEEEDSMDDGSVIHLKLTIDSNKGEAFFDFSGTSPEVYGNWNAPEAVTAAAVIYCLRCLVDVDIPLNQGCLAPVGIHIPKGSFLSPSDKAAVVGGNVLTSQRVTDVVLTAFQACACSQGCMNNLTFGDNTFGYYETIGGGSGAGPHWDGTSGVQCHMTNTRMTDPEIFEQRYPVLLHKFGLRENSGGSGLHKGGDGLVREIEFRRPVVVSILSERRVHAPKGLKGGKDGARGANYLITKDKRRVYLGGKNTVEVQAGEILEILTPGGGGWGSC >Potri.015G087000.1.v4.1 pep chromosome:Pop_tri_v4:15:11089327:11091374:-1 gene:Potri.015G087000.v4.1 transcript:Potri.015G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087000.v4.1 MFPGLLLNASRAHEFATDLLQKNDGTFVFKGPWFAGFDFMITSNSMNVQHILSKNFTNYHRNLEFKQIFEPLGDGIFNSDGDWWKTQRRIIHTSLKHRKFELALEKIIQQKILQGLFMVLDHVSVLGVEVDLQDVLHRLTFDNARALVLGFDPNCLSIDFPQVPYEKAYDVIEEVAFHRHLKPHGFWKLQKWLQIGQERKMKKAWEIIDHFLCQRISRKRELLSESKIQIEGEDHFDLLTYILVEDDDKGGEKGVFRKSDKFVRDMAFYLLAAGSDTVASGLVWFLWLVSTHPLVEMKILEEIKANLSVEGEEKWRFFNFKELSKLVYLHAAICEALRLYPPVPFEHKDSIESEILPSGHHIGRNTRIIYSLYSMGRMKEIWGEDCLEFKPERWISDQGQIKPVSPYKFIVSMQDRGLV >Potri.001G321100.2.v4.1 pep chromosome:Pop_tri_v4:1:33083403:33086144:1 gene:Potri.001G321100.v4.1 transcript:Potri.001G321100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321100.v4.1 MATNSTFQLFSPSSAASGFFDSSTEPPPPPPPPPVEVLSSEVSLNVKCSVESVNLEDGLTLLKGRVSTKEVFGLPNSDLVPGVYEGGLKLWEGSLDLINALQAEVRNGHLSFSGKRVLELGCGHGLPGIFAFLEGASAVHFQDFNAEVLQCLTIPNVNANLSEKLSPSTSEDASSDTEGELRFFAGDWSQVHQCLPHANKKEKDLGCSLGHSPHSGYDIVLMAETIYSISAQHNLYSLIKKCLSHPGGVVYMAAKKHYFGVGGGTRQFLSMVEKDGAMAASLVAEVADGSSNVREVWKLSI >Potri.015G072100.1.v4.1 pep chromosome:Pop_tri_v4:15:9776183:9779372:1 gene:Potri.015G072100.v4.1 transcript:Potri.015G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072100.v4.1 MAFLLKNCSSSISSHLRSKSQKTQDALSISRRGFHVEPGPREKALLAEDPALKWFKSHKKSVWRLKRAGDVLTLVVVAGCCYEIYVKAVMREEARKEAKKSA >Potri.004G223400.1.v4.1 pep chromosome:Pop_tri_v4:4:22808188:22815846:-1 gene:Potri.004G223400.v4.1 transcript:Potri.004G223400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223400.v4.1 MAKVKKDSVSPPPPPSPLPAAAAATNDDTRRATERPLLKKIKSTSSSISDDTRFPGPLFPSVRRTAAPPLMPSIHHHHHHHLRPPPSDLRLSKDSVSSNNNFNNSSANGGTNSIDISGSNITSDNLNFSVDRDWMYPSFLGPHVARNRVTVKGRRGNNKADVEVEEKHNTGAAASYTTASHDTDQGKVKDEKLLKVDNNNNNIKEVKTAATATQVLVTQSSVNRSRGLKSSFIYYLLNFTCIVSVSCSIYLWNEVAKLEEENIDLRTVCCNKVGVGNDSMEVLQAEDNSSFSFGNADSRTVAFYTVMFTLIMPFVLYKYLDYFPQIKTLSKRTMNNKEEAPLKKRIAYMVDVCFSVYPYAKLLALLVATIFLIGFGGLALYAVSDGSLAEALWLSWTFVADSGNHADRVGTGPRIVSVSISSGGMLIFCMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSVGGGVIVVLAERDKEEMEMDIAKLEFDLMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLKGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPRLDGLRFQDVLISFPEAVPCGVKVAAEGGKINLNPDDNYVLKEGDEVLVIAEDDDTYAPGPLPEIHWSSCPKIIDPPKYPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEKEREKKLTDGGLDIPGLENITLVHREGNAVIKRHLESLPLETFDSILILADESLEDSIVHSDSRSLATLLLIREIQLTRLPYRDVKPTSLRVSGYSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEEIPFYEIVIRGRQRNEIVIGFRLANAERAIINPPEKLQPRKWSLADVFVVISLGD >Potri.008G049200.1.v4.1 pep chromosome:Pop_tri_v4:8:2878248:2879418:-1 gene:Potri.008G049200.v4.1 transcript:Potri.008G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049200.v4.1 MEIHKILSTTNKAIACLLFLTLTIVFTTSARTLDEQPEVPVVPPESDNAVDDTVTSVPPLPTPLAPTQPNPTGAASTSATISNPGHTLSFFMHDILGGTNPSARAVTGIVSNPAVSGQVPFAKPNGANLPINNGVPQNSNNNGLINNNNLPFLTGLGGTTQPVVQNNGNNFNNAFNLPLSNGGNLPSGSTLQQLMFGTITVIDDELTEGHDLRSSFVGKAQGFYVASSLDGTSQTMAFTAMFQSGHYADSLSFFGVLRTGVSESQLAIMGGTGKYVNAQGYAIIKIIPSTNQNTTDGVETLLEFVVYVSY >Potri.005G216600.5.v4.1 pep chromosome:Pop_tri_v4:5:21992083:21994290:-1 gene:Potri.005G216600.v4.1 transcript:Potri.005G216600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216600.v4.1 MAGKGGKGLLATKTTAAANKDKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKQRISAHGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >Potri.005G216600.1.v4.1 pep chromosome:Pop_tri_v4:5:21992362:21994290:-1 gene:Potri.005G216600.v4.1 transcript:Potri.005G216600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216600.v4.1 MAGKGGKGLLATKTTAAANKDKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKQRISAHGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >Potri.007G037800.5.v4.1 pep chromosome:Pop_tri_v4:7:3024791:3028760:-1 gene:Potri.007G037800.v4.1 transcript:Potri.007G037800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037800.v4.1 MNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDIDLELFDITYVQCGEVELEKKIEEKIDQFISWVEKHPNKKSQICLSFYETKNKHPSWFNKTERLFWEQWYVNLHVTQHTKAHSGKSHHSKPMVDLGETVSEDRGVRREALEASLHEVLFQIIKFVNEKKDHVPPINEDIICFPCEITIPSSSDSPFGMDVFKRMLQTGHPTMLS >Potri.007G037800.4.v4.1 pep chromosome:Pop_tri_v4:7:3024791:3028728:-1 gene:Potri.007G037800.v4.1 transcript:Potri.007G037800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037800.v4.1 MNCEVCQLKELEVEHFEIREVLRCILHTIVFHRALGLVRPKDIDLELFDITYVQCGEVELEKKIEEKIDQFISWVEKHPNKKSQICLSFYETKNKHPSWFNKTERLFWEQWYVNLHVTQHTKAHSGKSHHSKPMVDLGETVSEDRGVRREALEASLHEVLFQIIKFVNEKKDHVPPINEDIICFPCEITIPRKFL >Potri.011G138400.2.v4.1 pep chromosome:Pop_tri_v4:11:16976817:16982220:1 gene:Potri.011G138400.v4.1 transcript:Potri.011G138400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138400.v4.1 MMQQPGVAGVGAPQPDQQQQYQQQQQQWMMQQQQQSQPVPPPAGWNPPPVPPPTQYGAAAGSGGDGDEIKSLWIGDLQQWMDENYLLSIFSATGEIVQAKVIRNKQTGYPEGYGFIEFVSRAAAERILQTYNGTPMPNSEQAFRLNWATLGAGERRQDDGPDFTVFVGDLAADVNDYLLQETFRNVYPSVKGAKVVTDRVTGRSKGYGFIRFADENEQRRAMVEMNGQYCSTRPMRIGPAATKKPLTQQYQKATYQNPQGNQGENDPNNTTIFVGALDPSVTDDTLRAVFSKYGELVHVKIPAGKRCGFVQFANRTSAEQALSMLNGTQIAGQNIRLSWGRSPSNKQVQPDQSQWNGGGYYGYPQGYDAYGYAAAAPQDPNMYYGGYPGYGNYQQPGAYQQQQQ >Potri.017G002600.1.v4.1 pep chromosome:Pop_tri_v4:17:145653:146060:-1 gene:Potri.017G002600.v4.1 transcript:Potri.017G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002600.v4.1 MRGRMLKREGYYAESYKPRLPLSGYGVAIVLDSVHPEFKKGDLVWGVTGWEEYSLITATKGLSKIQHTDVPLSYYTGILG >Potri.014G122100.1.v4.1 pep chromosome:Pop_tri_v4:14:8212097:8212999:-1 gene:Potri.014G122100.v4.1 transcript:Potri.014G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122100.v4.1 MGNCITYHNSSNSAGRVILSDGRVCEFDKPLTVAELMLEYPQQVVVEFHSYLTEKRPPPLPADKKLEMKLYLMLPMKPGKPASLSSEEARRVLLSANSVLRSRSSLSSSRFLPLFAMMCPAGVGEEQKLVMRKKECYVEEKPAADQKYDSELTEIFESRPEYLSRQLSGKGTWKPSLGTINEKRVEKKIPRWLF >Potri.015G094900.1.v4.1 pep chromosome:Pop_tri_v4:15:11702217:11703844:1 gene:Potri.015G094900.v4.1 transcript:Potri.015G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094900.v4.1 MEQHFPLFAIFLTFLLFIFMVLRMRKKSETNKYLTTNPPPGPWKLPLVGNIHHVAGHQIHHRFTDLARKYGPVMQILLGEVRFVVISSRETAKEVMKTNENIIVDRPDGVIPRIVFYNGKAISFTPYGEYWKQLRKSCSSKLLSPQCVRSLIRSTMEEEVSDFVTSISSKEGSPINLSKMLFTLTFGLISRVILGKKGKNQALLSSIEEWKQGGAGFDVADIFPSFKLFHSLGWARSKFVRQHQEIGEMLETVINERRASKIRTKTSEHEIEEDFLDVLEFFLAGSDSSSAVMEWAMSEMLKNPRHMKRAQKEVRVVFTKMGNDDETRLHELKYLQLIIKETTRLHPPAPLILRACREACKINGHDIPDRSNKFNPERFLDSSIDYMGTNFEFIPFGAGKRKCPGMAFGLAIVEMALAKLLYIFDWKLCDGVKNEDLNMKEDTALGSTVKRKHELYLIPIPYHPSSPAK >Potri.008G131550.1.v4.1 pep chromosome:Pop_tri_v4:8:8661749:8661889:1 gene:Potri.008G131550.v4.1 transcript:Potri.008G131550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131550.v4.1 MVEILSSSNYMLSLCRSRAGVVSVASAFAFGSCKEGWYYRCIGVDP >Potri.002G227800.2.v4.1 pep chromosome:Pop_tri_v4:2:21725956:21727316:1 gene:Potri.002G227800.v4.1 transcript:Potri.002G227800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G227800.v4.1 MDARNSEPVIEIVIEQTAIPSPHRGSLSIIKQSLISILARLHAGYFRISLALCSQALLWKILGESPDHAHALRRMFRMLPSTAFVLHWSLALFSLASLSLLYILRCLYHFEMVKAEFLHHVGVNYLFAPWISWLLLLQSSTFITPKPCIPSSVVGFCGSSGGSRCQNLRPVVYQRKEVFISGGESYQSAISDREFGRGPGCSGNRVEGECCLYVLFGHGTLSCPVCYTISKVIRGQQPVFFLFFAAPSVASLAWDSISGSFDNPQRCSSFCLSSSSYPCAGSGFSRLCARGEGLPCTWSNACAIVVVRSGISFSNGAHCAQYEDAVPRK >Potri.018G144700.2.v4.1 pep chromosome:Pop_tri_v4:18:14988376:14992989:1 gene:Potri.018G144700.v4.1 transcript:Potri.018G144700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144700.v4.1 MRGCVRCHHAVFFARHGSVKSSIKALTSSLSTITIDIKMGLFLQVLTVLVITVSLQGWLPRGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHANCCDWESVNCHSSTGRVTLLDLDSVRNEELGDWYLNASFFLPFQQLNVLYLWNNRIAGWVEKKGGSELQKLSNLEILDLESNSFNNSILSFVEGLPSLKSLYLDYNRLEGSIDLKESLTSLETLSLGGNNISNLVASRGPSNLRALSLYNITTYGSSFQLLQSLGAFPNLTTLYLNYNDFRGRILGDGLQNLSSLKELYLDGCSLDEHALQSLGALHSLKNLSLQELNGTVLSGGFLDLKNLEYLDLSSNTLNNSIFQTIETVTSLKTLRLRSCKLDGRIHTAQGFLNLKNLEFLDLSSNTLNNNIFQTMGLCDLHHLQVLYINNNNLSGILPPCLANLTSLQQLYLSYNHFMIPMSLSPLYNLSKLKVFYGTSNEIFAGEDDYNLSPKFQLESLYLSGIGQGGAFPKFLYHQFSLQSLDLTNIQIKGEFPNWLIENNTYLQELYLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGARLPGLEVLFMSDNSFNGSIPFSLGNISSLQVLDLSNNSLQGQIPGWIGNMPSLEFLDLSGNNFSGRLPPRFGTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGRIPKWIDRLSNLRFLLLSYNNLEGEIPIQLSRLDQLILIDLSHNHLSGNILSWMISTHNFPVESTYFDFLAISHQSFEFTTKNVSLSYRGDIIWYFKGIDFSCNNFTGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPARVAQFATFEESCYKDNLFLYGEPLPKICDAAMPPSPMSTSTNNEDNGGFMDMEVFYVTFWVAYIMMLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLMNNLPILSKFGFS >Potri.010G158900.2.v4.1 pep chromosome:Pop_tri_v4:10:16525583:16527416:-1 gene:Potri.010G158900.v4.1 transcript:Potri.010G158900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158900.v4.1 MAVLVFLGLFLALTGHSSATYCICKDGVGDTQLQKSLDYACGAGADCTQIIQNAPCYQPNTVKDHCSYAVNSYFQKKGQAVGSCDFSGTAMTSATPPQSVASGCTYPASATPSTGTGNTPTTTTPSTGTTPTGTGTTPTGTGTGTSTGTGTGTGTGTGTPSSIIPTPPSSVFNSGLGPTGFNDNSSEAPAFKGTNLWFIASLTLLFSGIFLFWG >Potri.010G223100.3.v4.1 pep chromosome:Pop_tri_v4:10:20740672:20740893:1 gene:Potri.010G223100.v4.1 transcript:Potri.010G223100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223100.v4.1 MLEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRAEKIRIQKWYTIYKDHITLRDYEIHDGMGLELYYN >Potri.008G022248.1.v4.1 pep chromosome:Pop_tri_v4:8:1113440:1114967:1 gene:Potri.008G022248.v4.1 transcript:Potri.008G022248.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022248.v4.1 MTAKAGAAASFMFMLFLLNIACQAQLSPAFYDSSCPNAISAIRTAIRSAIASDRRMAASLIRLHFHDCFVQGCDASILLDETLSIQSEKTALGNLNSARGYNVIDKAKTEVEKICPGVVSCADIIAVAARDASAYVGGPSYAVKLGRRDSTTASRTLANAELPAFFESLESLISRFQKKGLTARDMVALSGSHTLGQAQCFTFRERIYNHSNIDAGFASTRRRRCPRVGSNSTLAPLDLVTPNSFDNNYFKNLMQNKGLLQSDQVLFNGGSTDSIVSEYSRNPARFRSDFGSAMIKMGDIGLLTGSAGQIRRICSAVNN >Potri.002G186300.1.v4.1 pep chromosome:Pop_tri_v4:2:14810322:14815579:1 gene:Potri.002G186300.v4.1 transcript:Potri.002G186300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186300.v4.1 MTTFIDFSLLRPTTPSLIPSSFSKFSTPRPFKLRCSLTEESATITPSKLNGEAQSNGGHSAAADCVIVGGGISGLCIAQALATKHRDVAPNVIVTEARDRVGGNITTLERDGYLWEEGPNSFQPSDPMLTMVVDSGLKEDLVLGDPNAPRFVLWNGKLRPVPGKPTDLPFFDLMSIGGKLRAGFGALGLRPPPPGHEESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWNLEQTGGSIIGGTFKTIQERRKNPKPPRDPRLPTPKGQTVGSFRKGLAMLPDAIATRLGSNVKLSWKLASVIKLDSGGYSLTYETPEGLVSLLSKSVVFTIPSHIASTLLHPLSATAADALSKFYYPPVAAVSVSYPKEAIRPERLIDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPTGRILLLNYIGGTTNPGIVSKTESELVEAVDRDLRKMLINPNATDPLVLGVRVWPQAIPQFLIGHFDILDAARDALKAKGLQGLFLGGNYVSGVALGRCVEGAYEVAAEVTDFLSQYANK >Potri.018G047150.1.v4.1 pep chromosome:Pop_tri_v4:18:4104295:4104717:1 gene:Potri.018G047150.v4.1 transcript:Potri.018G047150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047150.v4.1 MVSSSITEFPFLSFPVSVSRDDNEYVRGLGTGKLKEEESYKVVDSIARSPAQEEKREVRKVRKSGRERGRYEGSGCYLGMGETDGQLDGKEDCMEDTWIPLRKQTTYQAQTVQATTAFQESSKRQQQLRKTDDKTIRRLK >Potri.010G159800.2.v4.1 pep chromosome:Pop_tri_v4:10:16582671:16585675:-1 gene:Potri.010G159800.v4.1 transcript:Potri.010G159800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159800.v4.1 MVKICCIGAGYVGGPTMAVIALKCPKIEVVVVDIFEPRIIAWNSDQLPIYEPGLDDVVKQCRGKNLFFSKDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPEGQKAIQALKDVYAHWVPAERIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAIGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLTEVANYWKQVVRVNEYQKTRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIGVCQGLLGDKAILSIYDPQVPQEQIQRDLSMHKSELDKPPHLRPVSPVAIEQVTCVRDAYEATKGAHGICVLTEWDEFKTLDYQKIYNDMQKPAFVFDGRNVMDVDKLRQIGFIVYSIGKPLDAWLKDMPAVA >Potri.010G159800.3.v4.1 pep chromosome:Pop_tri_v4:10:16582984:16585759:-1 gene:Potri.010G159800.v4.1 transcript:Potri.010G159800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159800.v4.1 MVKICCIGAGYVGGPTMAVIALKCPKIEVVVVDIFEPRIIAWNSDQLPIYEPGLDDVVKQCRGKNLFFSKDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARMIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFKPDRVLIGGRETPEGQKAIQALKDVYAHWVPAERIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVSQVSHAIGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLTEVANYWKQVVRVNEYQKTRFVNRVVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIGVCQGLLGDKAILSIYDPQVPQEQIQRDLSMHKSELDKPPHLRPVSPVAIEQVTCVRDAYEATKGAHGICVLTEWDEFKTLDYQKIYNDMQKPAFVFDGRNVMDVDKLRQIGFIVYSIGKPLDAWLKDMPAVA >Potri.011G072916.1.v4.1 pep chromosome:Pop_tri_v4:11:7012146:7014594:-1 gene:Potri.011G072916.v4.1 transcript:Potri.011G072916.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072916.v4.1 MIITVTLLHSQRLQSSRQARFPPELANLTYVQKIDFTRNYLFGTIPVEWASMKNLSFISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGELVSLETLILSGNKLVGTLPEELAQIKNLTDFRVSDNNLNGTVPEFIGKWNQLRKLELHATGLQGPIPPAIFHLEKLSDLRIADMPGPEFQLPKKPIERKYLVLRNINLTGTIPENAWKVEKTLDLTFTKLVGEIPPTTIRRQFTFLSGNKLTGTVQDSFLQNSQNL >Potri.008G214600.1.v4.1 pep chromosome:Pop_tri_v4:8:16875848:16876153:-1 gene:Potri.008G214600.v4.1 transcript:Potri.008G214600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX12 MERVTNLASERPVVIFSKSSCCMCHTIKTLFNEFGVNVAVHELDEMPRGREIEQALSRFGCPTLPAVFIGGELVGGANEVMSLHLNRSLIPMLKRAGALWV >Potri.015G015275.1.v4.1 pep chromosome:Pop_tri_v4:15:1019118:1019483:1 gene:Potri.015G015275.v4.1 transcript:Potri.015G015275.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G015275.v4.1 MARFSKVLRKTDIKKRLSVPTGFLSSLSSFNGGAHAVDFQAVDGSGRVWTFRCSIRKKGHPKPVISKGWLAFVASKSLKVGDKVQFLKEKNEAGAKTHAYEMRAEKEIKIFGAVFGYAPII >Potri.010G128801.1.v4.1 pep chromosome:Pop_tri_v4:10:14536005:14536160:-1 gene:Potri.010G128801.v4.1 transcript:Potri.010G128801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128801.v4.1 MKRLPTFNIRCMRLCLWAFPLTPFVGPLAFPCEHLLSVHWPFRVSLRTDYV >Potri.015G020450.1.v4.1 pep chromosome:Pop_tri_v4:15:1450577:1453875:1 gene:Potri.015G020450.v4.1 transcript:Potri.015G020450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020450.v4.1 MSCYSWKDLYIWVRYQDLDLNTMPSNQTTELSCRFAGSHSTICSEKKHAGYRACGSLHSVLFFDNNHHSLLSFS >Potri.015G146700.1.v4.1 pep chromosome:Pop_tri_v4:15:15071960:15079651:-1 gene:Potri.015G146700.v4.1 transcript:Potri.015G146700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146700.v4.1 MPSWWGKSSSKEVKKKANKESFIDTLHRRFKSPSDGKLNGRPGGSRRRCSDTISERGSQSRAESRSPSPSPSSKHVSRCQSFAERPHAQPLPLPGVHPASVGRTDSGIGISTKPRLQKGAKSSLFLPLPRPGCMRNKSNPTDLDGDLATTSVFSESSTDSEDPADSSHRSPLATDYDLGTRTIASSPSSAMVKDHCATVSQVNSREAKKPANLSFGNHTSPTSPKRRPISSHVPNLQVPKHGSFCSAPDSYMSSPSRSPMRAFGAEQVINSAFWAGKPYPDVNLLGSGHCSSPGSGYNSGHNSMGGDMSGQLFWQQSRGSPECSPIPSPRMTSPGPSSRVQSGAVTPIHPRAGGTIESQTSWPDDGKQQSHRLPLPPVTVSSPSPFSHSNSAAASPSVPRSPGRAENPTSPGSRWKKGKLLGRGTFGHVYLGFNSESGEMCAMKEVTLFSDDAKSKESAKQLMQEISLLSRFQHPNIVQYYGSETVGDRLYIYLEYVSGGSIYKLLQEYGQLGELVIRSYTQQILSGLAFLHSKSTVHRDIKGANILVDPNGRVKLADFGMAKHITGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQFEGVAAMFKIGNSKDLPEIPEDLSDEGKDFVRQCLQRNPVHRPTASQLLEHPFVKLAAPLERPILCLDPTDPPPGVSNGVKILGINHARNFPTLDSERLAVHSSRVSKTGLHTSDLHIPRNISCPVSPIGSPLLHSRSPQHLNGRMSPSPIASPRTTSGSSTPLTGCTGAIPFNHLKHSVHFQEGFGNMQNHSNGIYVNGLAYHDSSPDLFRGMQPGSPIFSELVPCENDLIGKQLGRPTQGEPYDGQSVLADRVSRQLLRDHVKMKPSLDLSPNSPLPSRTGGI >Potri.015G146700.3.v4.1 pep chromosome:Pop_tri_v4:15:15071838:15073189:-1 gene:Potri.015G146700.v4.1 transcript:Potri.015G146700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146700.v4.1 MSPSPIASPRTTSGSSTPLTGCTGAIPFNHLKHSVHFQEGFGNMQNHSNGIYVNGLAYHDSSPDLFRGMQPGSPIFSELVPCENDLIGKQLGRPTQGEPYDGQSVLADRVSRQLLRDHVKMKPSLDLSPNSPLPSRTGGI >Potri.008G091500.1.v4.1 pep chromosome:Pop_tri_v4:8:5713766:5715314:-1 gene:Potri.008G091500.v4.1 transcript:Potri.008G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091500.v4.1 MEVSSGASPRMSLDQLQKEGSEETHLQNFELDSFDYNDSHNLQFYSTSALDILRETARILRCNSWSFMTIAALLICPVSAILLSNVLVDQSIVKRLSTRLLLVANSSGLPLRPLIKQLCHRFAEMAVSSATCFPLFITLSLLSRAAVVYSVDCTYSRKDVDGSKFLAMISKIWRRIVSTYLWSCMVIVGCLTLFFVLLLAVCSTFLVLGFWPELNLYAAMIVGLVFSVIFANAIIVCNIAVVISVLVDVSGPQALLRSSVLIRGQTQVGLLIFLGSTIGMAFIEGLFEHRVKTLSYGDGSSRIWEGPLLVIMYSFVVLIDLMMSAVFYYSCRSHGMEASDGECLAILGTVTVSAESVGIP >Potri.001G297400.1.v4.1 pep chromosome:Pop_tri_v4:1:30791018:30793176:-1 gene:Potri.001G297400.v4.1 transcript:Potri.001G297400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297400.v4.1 MEISSRTCFPFLSTETVPLLKPPFHTSSPSLRILAARPVNLRMGRTVVTCATASTGNRAPSGIMKPKRVSPEMADFVGAPEVSRTQVLKLIWAHIKERNLQDPSNKKNIICDEKLKKIFPGRDQVGFLEIAGLISPHFLK >Potri.001G320201.2.v4.1 pep chromosome:Pop_tri_v4:1:32982676:32987307:-1 gene:Potri.001G320201.v4.1 transcript:Potri.001G320201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320201.v4.1 MRYPALKFRGQILYSRTSMEVEKAARELLQSLKVKKGVLPGKTAVMQICGNTSLCHAMHIFHSGITSLQFLLEDSTLVKVGVGISSDCAEVLRDYNVSVKSVEDLSYHANQKLGREPKTWGLRSSKDSCLQRASKAQQNQTWKLGSRCFIKRTTYNMLPLMPWFLGNYTKC >Potri.001G320201.1.v4.1 pep chromosome:Pop_tri_v4:1:32984883:32987295:-1 gene:Potri.001G320201.v4.1 transcript:Potri.001G320201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320201.v4.1 MRYPALKFRGQILYSRTSMEVEKAARELLQSLKVKKGVLPGKTAVMQICGNTSLCHAMHIFHSGITSLQFLLEDSTLVKVGVGISSDCAEVLRDYNVSVKSVEDLSYHANQKLGREPKTWGLRSSKDSCLQRGL >Potri.001G332700.1.v4.1 pep chromosome:Pop_tri_v4:1:34114628:34117066:1 gene:Potri.001G332700.v4.1 transcript:Potri.001G332700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332700.v4.1 MGVAAKIAPSMLSSDFANLASEAQRMLDCGADWLHMDIMDGHFVPNLTIGAPVIESLRKHTKAYLDCHLMVTNPLDYVEPLGKAGASGFTFHVEVSRDNWGDLVQRIKSKGMRPGVSLKPGTPIEEVYPLVEGENPVEMVLVMTVEPGFGGQKFMPETMDKVRTLRKKYPSLDIEVDGGLGPSTIDMAASAGANCIVAGSSVFGAPDSAQVISLLRKSVEEVQKNN >Potri.018G096900.2.v4.1 pep chromosome:Pop_tri_v4:18:11761203:11765900:-1 gene:Potri.018G096900.v4.1 transcript:Potri.018G096900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096900.v4.1 MGSTVNSKWLPVTTTITVALALLSASTAAISLYFCRKKSKVLYSKIQELEISLNSCMQKSAAERQGRIRAQQDLRKTLAQPKSENLEQASYPMTPIGVVQSCFSTRNGTPRQPLLVPLARACLVFDSARVPPASLEGLVEYSHCWIIYVFHLNTDLVKLWKHPSRSKFKAKVRVPRLKGGKMGVFATRSPHRPCPIGLTVAKVEAVQGNMVLLSGVDLVDGTPILDIKPYLPYCDSIQGAAVPEWVMVDNMLAVASVNFSEGFSSSLSDCWETAKKKSLYASPDELQCLIKQVLSWDIRSISQRNQPHDPLIKTGNGEAPHSALDSNQNQDQEASDNENSQVCHPSGDVTYHLILEGMDVSYRIDFNGNVIVENVVVSPDILNGNQNRSSFLMWRDELS >Potri.012G069000.4.v4.1 pep chromosome:Pop_tri_v4:12:9011083:9016959:1 gene:Potri.012G069000.v4.1 transcript:Potri.012G069000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069000.v4.1 MRREEKAVVFLNFNSDNISSYHRCVMATKGNSGDRKSNNSLFSIFVIAGLCCFFYILGAWQRSGFGKGDNIALEISKQTDCSVFNNLNYQKSGDAGMIDDGAQVKEFKPCEDKYIDYTPCQDQMRAMTFPRDNMIYRERHCPPDNEKLPCLIPAPKGYANPFPWPKSRDYVPFVNAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPHGADAYINELASVIPMDNGIVRTALDTGCGVASWGAYLFKKNVIAMSFAPRDSHESQIQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEIDRVLRPGGYWVLSGPPINWKNNYQAWQRPKEELDEEQRKIEEVAKLLCWEKKHEIGEIAIWQKRINNDFCREQDPKPTMCKSTNPDDVWYKKMEACVTPHPETDEVTGAAWQPFSERLNAVPSRISSGSIPGLSVETFLEDSRTWKKHVNAYKRINNVIDSGRYRNIMDMNAGMGGFAAALESPKLWVMNVMPTINERDTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCNMEDILLEMDRILRPEGAVIFRDKVDVLIKVRRIVGGMRWNAKMVDHEDGPLPSEKVLFTVKQYWVAGENNSTSSQ >Potri.012G069000.5.v4.1 pep chromosome:Pop_tri_v4:12:9011375:9016991:1 gene:Potri.012G069000.v4.1 transcript:Potri.012G069000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069000.v4.1 MATKGNSGDRKSNNSLFSIFVIAGLCCFFYILGAWQRSGFGKGDNIALEISKQTDCSVFNNLNYQKSGDAGMIDDGAQVKEFKPCEDKYIDYTPCQDQMRAMTFPRDNMIYRERHCPPDNEKLPCLIPAPKGYANPFPWPKSRDYVPFVNAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPHGADAYINELASVIPMDNGIVRTALDTGCGVASWGAYLFKKNVIAMSFAPRDSHESQIQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEIDRVLRPGGYWVLSGPPINWKNNYQAWQRPKEELDEEQRKIEEVAKLLCWEKKHEIGEIAIWQKRINNDFCREQDPKPTMCKSTNPDDVWYKKMEACVTPHPETDEVTGAAWQPFSERLNAVPSRISSGSIPGLSVETFLEDSRTWKKHVNAYKRINNVIDSGRYRNIMDMNAGMGGFAAALESPKLWVMNVMPTINERDTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCNMEDILLEMDRILRPEGAVIFRDKVDVLIKVRRIVGGMRWNAKMVDHEDGPLPSEKVLFTVKQYWVAGENNSTSSQ >Potri.012G069000.6.v4.1 pep chromosome:Pop_tri_v4:12:9011281:9016910:1 gene:Potri.012G069000.v4.1 transcript:Potri.012G069000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069000.v4.1 MATKGNSGDRKSNNSLFSIFVIAGLCCFFYILGAWQRSGFGKGDNIALEISKQTDCSVFNNLNYQKSGDAGMIDDGAQVKEFKPCEDKYIDYTPCQDQMRAMTFPRDNMIYRERHCPPDNEKLPCLIPAPKGYANPFPWPKSRDYVPFVNAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPHGADAYINELASVIPMDNGIVRTALDTGCGVASWGAYLFKKNVIAMSFAPRDSHESQIQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEIDRVLRPGGYWVLSGPPINWKNNYQAWQRPKEELDEEQRKIEEVAKLLCWEKKHEIGEIAIWQKRINNDFCREQDPKPTMCKSTNPDDVWYKKMEACVTPHPETDEVTGAAWQPFSERLNAVPSRISSGSIPGLSVETFLEDSRTWKKHVNAYKRINNVIDSGRYRNIMDMNAGMGGFAAALESPKLWVMNVMPTINERDTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCNMEDILLEMDRILRPEGAVIFRDKVDVLIKVRRIVGGMRWNAKMVDHEDGPLPSEKVLFTVKQYWVAGENNSTSSQ >Potri.012G069000.2.v4.1 pep chromosome:Pop_tri_v4:12:9011083:9017017:1 gene:Potri.012G069000.v4.1 transcript:Potri.012G069000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069000.v4.1 MATKGNSGDRKSNNSLFSIFVIAGLCCFFYILGAWQRSGFGKGDNIALEISKQTDCSVFNNLNYQKSGDAGMIDDGAQVKEFKPCEDKYIDYTPCQDQMRAMTFPRDNMIYRERHCPPDNEKLPCLIPAPKGYANPFPWPKSRDYVPFVNAPYKSLTVEKAVQNWIQYEGNVFRFPGGGTQFPHGADAYINELASVIPMDNGIVRTALDTGCGVASWGAYLFKKNVIAMSFAPRDSHESQIQFALERGVPAVIGVLGTIKLPYPSRAFDMAHCSRCLIPWGANDGMYMMEIDRVLRPGGYWVLSGPPINWKNNYQAWQRPKEELDEEQRKIEEVAKLLCWEKKHEIGEIAIWQKRINNDFCREQDPKPTMCKSTNPDDVWYKKMEACVTPHPETDEVTGAAWQPFSERLNAVPSRISSGSIPGLSVETFLEDSRTWKKHVNAYKRINNVIDSGRYRNIMDMNAGMGGFAAALESPKLWVMNVMPTINERDTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHANGVFSLYKDKCNMEDILLEMDRILRPEGAVIFRDKVDVLIKVRRIVGGMRWNAKMVDHEDGPLPSEKVLFTVKQYWVAGENNSTSSQ >Potri.006G169550.1.v4.1 pep chromosome:Pop_tri_v4:6:17031232:17031543:1 gene:Potri.006G169550.v4.1 transcript:Potri.006G169550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G169550.v4.1 MVGALADADDMSMSGIVYFLWVFSRNWHMSLSLSLSFLCVLFSSHCCLFWFLFGNLCIFTGFSHLLRFSFSLLGLWIFLLFSLWCASCFALLSRVYGLCLRGF >Potri.005G080000.1.v4.1 pep chromosome:Pop_tri_v4:5:5436005:5439759:1 gene:Potri.005G080000.v4.1 transcript:Potri.005G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080000.v4.1 MELSGMSSCIFCPLLLLIIQLSFSFSLHEGNETDRLSLLAFKAQITDPLDALSSWNASTHFCKWSGVICGHRHQRIVELNLQSSQLTGNLSPHIGNLSFLRVLNLEGNYFSRDIPQELGRLFRLQRLVLGNNTFSGEIPVNISSCSNLLVLHLGSNNLTGKIPAQLGSLSKLGAFVLQGNNLVGDIPSSFGNLSSVQNFFWTKNYLRGGIPESLGNLKRLKYFAVAENDLSGTIPSSICNISSLAYVSLGQNQLHGSLPPDLGLNLPNLAYLVINFNHLNGPIPATLSNASKIFLVDLSYNNLTGKIPDLASLPDLQKLLVHHNDLGNGEEDDLSFLYTLANSTNLESLGINDNNFGGVLPEIVSNFSTNLKGITFGRNQIHGSIPTEIGNLISLDTLSLETNQLHGIIPSSIGKLQNLAALYLNENKISGSIPSSLGNITSLVEVSFAQNNLQGTIPASLGNWHKLLILDLSQNNLSGPIPKEVLGISSLSVLLYLHDNQLTGSLPSEVGQLVNLGFLRVSKNRLSGEIPKSLDSCKSLEGLDLGGNFFEGPVPDLSSLRALQMLLLSYNNLSGQIPQFLKDFKLLETLDLSYNDFEGEVPEQGVFENTSRISVQGNKKLCGGIPQLDLPKCTSNEPARPKSHTKLILIIAIPCGFLGIVLMTSFLLFYSRKTKDEPASGPSWESSFQRLTYQDLLQATDGFSSSNLVGAGAFGSVYRGTLTSDGAVVAVKVLNLLRKGASKSFMAECAALINIRHRNLVKVITACSSNDFQGNDFKALVYEFMVNGSLEEWLHPVHISDVTPETRNLDLVQRLNIAIDVASALDYLHNHCQVPVVHCDLKPSNVLLGDDMTACVGDFGLARFLPEASNQLPADESSSVGLKGTIGYAAPEYGMGSEVSTYGDVYSYGILLLEMFTGRRPTDGMFKDGHNLHNYAKMVLPDNVLEFVDPTLREHEEMNHNDDSHKVMECMVSIIKAGLACSAELPGERMGIANVVVELHRIREMLLGIKTRERGEIIPSSIDS >Potri.010G147301.1.v4.1 pep chromosome:Pop_tri_v4:10:15817789:15819566:1 gene:Potri.010G147301.v4.1 transcript:Potri.010G147301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147301.v4.1 MYLNPKKSFDGEFRSTTQYVSPKINKESYDLSPVSEISDANHCCQTATISILALNPVVSAPTETSSVSDSIPTSKISTITDESASVSTDCCGLNKASISKIGQVERLEANIVVILLKEDRIVVLKADVQSKKLMGALIKVVRDEHYTLTEDKDLAIGLVSMKCSHPLYMVN >Potri.001G377900.3.v4.1 pep chromosome:Pop_tri_v4:1:39738749:39742914:-1 gene:Potri.001G377900.v4.1 transcript:Potri.001G377900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377900.v4.1 MPRSRGSEMPQRQSPRGSHPLRTSNSDSDPLHHRPITDRREKLGDRCSPRGSQPDSLNQKKLGTRIADLESQLGQAQVELKTLKEQLASAEAAKKEAQKELEKKARKGAVPEPEVDSKKADSNSADEVSEDNQLETDVFEVPVEKKTVEPKDDPGDLLDQVEKENNPTDIPAEPLVISEPEKLSFHDLALKDDEINMLKTKLEEKQKDLEVFGMENENLKNQLNEANLYISSAKSKEEEVSLKLGQLGEELEASKANAAQLKGKLEAAEGANEALETEMKKMRVQTEQWRKAADAAAAVLAGGVEMSGRIPERCGSMDKHFGGVFEPPAGGYAGFVGSPGMADDLDDGFGSVKRKSSGIKKFGDLWKKKGQK >Potri.001G377900.4.v4.1 pep chromosome:Pop_tri_v4:1:39738622:39741616:-1 gene:Potri.001G377900.v4.1 transcript:Potri.001G377900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377900.v4.1 MPRSRGSEMPQRQSPRGSHPLRTSNSDSDPLHHRPITDRREKLGDRCSPRGSQPDSLNQKKLGTRIADLESQLGQAQVELKTLKEQLASAEAAKKEAQKELEKKARKGAVPEPEVDSKKADSNSADEVSEDNQLETDVFEVPVEKKTVEPKDDPGDLLDQVEKENNPTDIPAEPLVISEPEKLSFHDLALKDDEINMLKTKLEEKQKDLEVFGMENENLKNQLNEANLYISSAKSKEEEVSLKLGQLGEELEASKANAAQLKGKLEAAEGANEALETEMKKMRVQTEQWRKAADAAAAVLAGGVEMSGRIPERCGSMDKHFGGVFEPPAGGYAGFVGSPGMADDLDDGFGSVKRKSSGIKKFGDLWKKKGQK >Potri.001G377900.1.v4.1 pep chromosome:Pop_tri_v4:1:39738750:39743698:-1 gene:Potri.001G377900.v4.1 transcript:Potri.001G377900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377900.v4.1 MPRSRGSEMPQRQSPRGSHPLRTSNSDSDPLHHRPITDRREKLGDRCSPRGSQPDSLNQKKLGTRIADLESQLGQAQVELKTLKEQLASAEAAKKEAQKELEKKARKGAVPEPEVDSKKADSNSADEVSEDNQLETDVFEVPVEKKTVEPKDDPGDLLDQVEKENNPTDIPAEPLVISEPEKLSFHDLALKDDEINMLKTKLEEKQKDLEVFGMENENLKNQLNEANLYISSAKSKEEEVSLKLGQLGEELEASKANAAQLKGKLEAAEGANEALETEMKKMRVQTEQWRKAADAAAAVLAGGVEMSGRIPERCGSMDKHFGGVFEPPAGGYAGFVGSPGMADDLDDGFGSVKRKSSGIKKFGDLWKKKGQK >Potri.019G103600.3.v4.1 pep chromosome:Pop_tri_v4:19:13926409:13932601:-1 gene:Potri.019G103600.v4.1 transcript:Potri.019G103600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103600.v4.1 MAGKKASGRDDENAAVGGGGKSRKKGLVIGNDDQYSIGTELSEKKGNYEGEEGEDEQVPEVVLVSGKKKLKGRKSGAGGNTGFNWSNFGLLGGGDEDDNDGDDEEISKLTGEKDSDQEEEDEPVVSFKGKKKGKGNKKSGGGGGSVFSASGFDATDDGENDGEVMDKDGDDDDDVPVIEFTGQKKKSSKGGKKVAGRFSSKSNKKSGNNKFSAALLDEKSEDQVEETLKHEKKKKNKSARDAQEEDDLNKILAELGDAPPTSKSLAPPPQEEKPNLQPEPVSVPDSVAEKEGEDEKEESAGAKKRKKKKKEKEKEKKAAAKEERLEEEKAETSEPKKTDAKGKGSEKKLPKHVREMQEALARRKEMEERKAREEEDKRRKEEEERLRQEELERQAEEARRRKKEREKERLLKKKQEGKLLTGKQKEEQRRLESMRYQILANATITVPNAERDGGPTRRPRYQTRRSRPVHDQANGIRIEEPVEAKEKEQEEEEVVHEVESLELENFEPVEEEKTEVANVLVEDGMEEDDDDEEWDAKSWDDVNLNVKGAYDDDEDSEPEPVLKKETKAPLAAAQPAIAVRKPVTSQPMDSHDVEDKKSQAGVEVSDKNRKKDAAAKSKGAVSDAIPHKGEETLRSPICCVMGHVDTGKTKLLDYIRGTHVQEGEARGITQQIGATFFPVENIRDRTKELKADARLNVPGLLVIDTPGHEAFTNLRSHGSGLCDIAILVVNIMHGLEPQTIESLNLLRKRNTEFIVALNKIDRLYGWKTQPNAPIRKALKQQSKDVQNEFDRRLIEVITQLKEQGLNTELYYKNKDMGETFNIVPTSAISGEGIPDLLLLLIQWSQKTMVEKLTFRNEVQCTVLEVKVTKGHGTTIDVVLVNGVLHEGDQIVFCSLQGPIVTTIRALLTPHPMQELRVKGTYLHHKEIKAAQGIKITGQGLEHAIAGTSLYVVRRDDDLEEVKESAMQDMKSVTSRIDRSGEGVCVQASSLGSLEALLDFLKSLEPSIPVSGIGIGPVHKKDVIKASVMLEKKKEYANILAFGVEVTPEARELADKLGVKIFKEDTIYCFSKEFKAYIQNLKEERKREAAGEAIFPCVLEIIPECIFNKKAPIVLGVDVLEGILKVGTPVCVLQKDFTDIGRIASIRFNEKAVDHARKGQKVTIKIVGTNPEEQQKMHGRHFDNDDQLVSHITRRSIDVLKAYYRDDLSMEDWKLVLKLKTLFKIQ >Potri.019G103600.2.v4.1 pep chromosome:Pop_tri_v4:19:13926443:13932714:-1 gene:Potri.019G103600.v4.1 transcript:Potri.019G103600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103600.v4.1 MAGKKASGRDDENAAVGGGGKSRKKGLVIGNDDQYSIGTELSEKKGNYEGEEGEDEQVPEVVLVSGKKKLKGRKSGAGGNTGFNWSNFGLLGGGDEDDNDGDDEEISKLTGEKDSDQEEEDEPVVSFKGKKKGKGNKKSGGGGGSVFSASGFDATDDGENDGEVMDKDGDDDDDVPVIEFTGQKKKSSKGGKKVAGRFSSKSNKKSGNNKFSAALLDEKSEDQVEETLKHEKKKKNKSARDAQEEDDLNKILAELGDAPPTSKSLAPPPQEEKPNLQPEPVSVPDSVAEKEGEDEKEESAGAKKRKKKKKEKEKEKKAAAKEERLEEEKAETSEPKKTDAKGKGSEKKLPKHVREMQEALARRKEMEERKAREEEDKRRKEEEERLRQEELERQAEEARRRKKEREKERLLKKKQEGKLLTGKQKEEQRRLESMRYQILANATITVPNAERDGGPTRRPRYQTRRSRPVHDQANGIRIEEPVEAKEKEQEEEEVVHEVESLELENFEPVEEEKTEVANVLVEDGMEEDDDDEEWDAKSWDDVNLNVKGAYDDDEDSEPEPVLKKETKAPLAAAQPAIAVRKPVTSQPMDSHDVEDKKSQAGVEVSDKNRKKDAAAKSKGAVSDAIPHKGEETLRSPICCVMGHVDTGKTKLLDYIRGTHVQEGEARGITQQIGATFFPVENIRDRTKELKADARLNVPGLLVIDTPGHEAFTNLRSHGSGLCDIAILVVNIMHGLEPQTIESLNLLRKRNTEFIVALNKIDRLYGWKTQPNAPIRKALKQQSKDVQNEFDRRLIEVITQLKEQGLNTELYYKNKDMGETFNIVPTSAISGEGIPDLLLLLIQWSQKTMVEKLTFRNEVQCTVLEVKVTKGHGTTIDVVLVNGVLHEGDQIVFCSLQGPIVTTIRALLTPHPMQELRVKGTYLHHKEIKAAQGIKITGQGLEHAIAGTSLYVVRRDDDLEEVKESAMQDMKSVTSRIDRSGEGVCVQASSLGSLEALLDFLKSLEPSIPVSGIGIGPVHKKDVIKASVMLEKKKEYANILAFGVEVTPEARELADKLGVKIFKEDTIYCFSKEFKAYIQNLKEERKREAAGEAIFPCVLEIIPECIFNKKAPIVLGVDVLEGILKVGTPVCVLQKDFTDIGRIASIRFNEKAVDHARKGQKVTIKIVGTNPEEQQKMHGRHFDNDDQLVSHITRRSIDVLKAYYRDDLSMEDWKLVLKLKTLFKIQ >Potri.009G068800.1.v4.1 pep chromosome:Pop_tri_v4:9:6819995:6820962:1 gene:Potri.009G068800.v4.1 transcript:Potri.009G068800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068800.v4.1 MGLRKELACLALLFLILLLLETSSVPDRSARHGSFKNTGSTAQLTGPVKSHGGGLRGDRDEEGDATLGDEKRKVYTGPNPLHNR >Potri.009G005600.1.v4.1 pep chromosome:Pop_tri_v4:9:1191733:1193873:-1 gene:Potri.009G005600.v4.1 transcript:Potri.009G005600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005600.v4.1 MALPIPINFYLKETSLFQGMDRMDDPTGNPLAAQTNFQFQLQDFIDEANFDRYIDLIRGENEITAFDCDLINGFLVDNQFGLSTGDKFDCDLINHVPTHTSSAMEQDPNYVPIALPSFDGDMGLEAEEDTDEEDSSGTATTTKKTKKDRSRTLISERRRRGRMKEKLYALRSLVPNITKMDKASIIGDAVLFVQELQMQANKLKADIASLESSLIGSDRYQGSNRNPKNLQNTSNNHPIRKKIIKMDVFQVEERGFYVRLVCNKGEGVAPSLYRALESLTSFSVQNSNLATTSEGFVLTFTLNVKESEQDMNLPNLKLWVTGALLNQGFELLTA >Potri.010G175801.1.v4.1 pep chromosome:Pop_tri_v4:10:17616142:17616465:-1 gene:Potri.010G175801.v4.1 transcript:Potri.010G175801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175801.v4.1 MSLLLTPSIAATAELKSVATRRYIFRCGITSTTTITRGSRTSAAARTTDITILALTKCIHMWWVVPIHRSITPFKLVQIVCIDVCGMITMTDNTVVTEGHLYVVGLV >Potri.010G074700.1.v4.1 pep chromosome:Pop_tri_v4:10:10269255:10273189:-1 gene:Potri.010G074700.v4.1 transcript:Potri.010G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074700.v4.1 MKSLPLHACTPSISSAIINRSYSLLHFTALVALFYYRLSSFLSSKPKASLPYLLVFASEMLLSIIWLFDQAYTWRPVSRTTFPERLPEDEELPGIDVFICTADHKKEPPLEVMNTVLSAMALDYPPDKLSVYLSDDGGSSLTLQGMREAWLFARSWLPFCRRFGIKIRCPKVYFSSLEDNYSGPLHSLEYEEEKEKIKGKYELFKERVNKAGEIIGSEEATNSKDHPPVIEVINDEPKNVAAIRQAKMPLLVYVSREKRPSHSHHFKAGALNVLLRVSGIMTNSPYILVLDCDMYCNDPTSARQAMCFHLDPKISPSLAFIQFPQKFHNINKNDIYDGQLRKLFVIRWPGIDGLQGPILSGTGFYMKREALYGNLSEKDVMRLKQSFGHSNEFIMLIYKIYQYCAIKNTESSSKLQQEAPFLSSCTYEKNTLWGEQMGFLYHSVVEDYFTGFILHCKGKTSVFCNPSKPAFLGSSTTNLNDLLVQGTRWNSGLFEVTLSKFCPFIYGLSRMPLLQTMCYGYLALQPLYFLPLWCLATLPQLCLLNGIPIYPQVSSSWFMVFSFIFLASLLKHLEEILSTGASIQTLLNEQRVWMMKSVTAYTFGSLDAIMKCFGMREASFLPTNKVADDEQVALYQMGKLNFQASTMILTPIITLIILNIVSFIGGVARMFIAGSWNETFGQVFLSLYILMVNYPVIEGMLLRKDKGRVPTPVTLLSLVITIFLLCLGHMTLSW >Potri.013G106600.1.v4.1 pep chromosome:Pop_tri_v4:13:11570180:11573590:-1 gene:Potri.013G106600.v4.1 transcript:Potri.013G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106600.v4.1 MSSSNQTPLLSYDIVDGSVDYKSRPAYRSNSGGWRSASFIIGVEVAERFAYYGISSNLITYLTGPLGQSTATAAENVNVWAGTASLLPLLGAFVADSFLGRYRTIIFASLIYILGLGLLTLSALLPSHAAATGFRSTNLPSSPVPFQLILFFFALYLVAIGQSGHKPCVQAFGADQFDGQDPQESKAKSSFFNWWYCFMCAGTVVTLLVLNYIQDNLNWGLGFGIPCAVMVIALIIFLLGTKTYRYSIKGDEKSAFLRIGWVFVSSIRNWRTTPSAIAFEEETRGTLPHQSSEQYKFLNKALLAPNGLKEDGKVCSLGDVEEAKALLRLVPIWATCLVFAIVFAQTSTFFTKQGVTMDRSISPSLDLPAASLQSFISLSIVLFIPIYDRVLVPIARALTRKPSGITMLQRIGSGMFISVVAMIVAALVEMKRLKTAQEHGLVDLPNVTIPMSVWWLIPQYVLFGVAEAFTMVGLQEFFYDQVPSDLRSVGLSLYLSIFGVGSFLSSFLISIIEKATGGNGRYSWFANNLNRAHLDYFYWLLAGLSAVQLVAYVCFAKSYIYNRGGTV >Potri.019G054300.4.v4.1 pep chromosome:Pop_tri_v4:19:9163168:9170598:-1 gene:Potri.019G054300.v4.1 transcript:Potri.019G054300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054300.v4.1 MDKWSFFSGISICVLLNFASVEAAPKGSLITGLPGFNGVFPSNHYSGYITFDDKNLFYYFIVSERNPSKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEEGKPKGSLPILHLNPYSWSKVSNIIYLDSPCGVGMSYSKNQSKYINDDLQTAADTHNFLLQWFQLYPEFVNNQFYISGESYAGIYVPTLSAEVVKGIQAGQDPVINFKGYLIGNGVSHSQFEGLSALVPFTHGMGLVSDDIFEEIERACKGNYQNASDSCYNSIGKIDQALSGLNIYDILEPCYHDPASDQQAKGNTSSNLPISFQQLGATDRPLKVRKRMFGRAWPLWAFEKDGNFPSWSELALQGSVPCVNDEVATTWLNDESVRTAIHAEPKSIAGPWQICSDRLDYRYGAGNMLPYHKNLTAQGYRALIYSGDHDMCVPFTGTQAWTRSLGYKIIDEWRSWVSNEQVAGYLQGYDNNLTFLTIKGAGHTVPEYKPRESLDFFSRWLDGKPI >Potri.005G004366.1.v4.1 pep chromosome:Pop_tri_v4:5:363214:363723:1 gene:Potri.005G004366.v4.1 transcript:Potri.005G004366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004366.v4.1 MDLFSLINLLMLLLSRKDNDVFLSFSHHDIGKNFGDHLYKDLNSAGIRTLRDDGGIYAGQKSDIKKAIRESRISVVVFSKGYASSTKCLDQLVHIMDSRNKTGLLVLPVFYNVDPSEVSEQKGLFEEAFAKHEKSFHKKMARVESWRAALKEAADLAGMVLQQDRYTSN >Potri.010G185500.1.v4.1 pep chromosome:Pop_tri_v4:10:18263748:18267005:-1 gene:Potri.010G185500.v4.1 transcript:Potri.010G185500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185500.v4.1 METKNSTTHNDLFSSLISDIKSYSGNDPLLPWLRGIKKMKDCLPPNALKQKLPRFLQKCTQSFASDRRYRNDPRYLRVWLQLMDYVSDPRALLSTMEMNSIGTKRSLFYQAYALYHEKMKNFEEAENMYRLGVQNLAEPVDELQKSYEQFLHRMERHKKKNIKRQIGRSGKRPLSSRKSEENNENVYSIEDRPKQIPDRSSQNVKPMKESNHVGVSGNSREDGLGSDLFRKKGHVKKIGQRDVSKQQKNIGESDEAKTRHSDDTVVVKFVDTAIVGKQEAEDACHHGLVDPTINMKEAMNAINGMFREPLETSPVNRSRISRPKEECSLNNGFDVFIDENLDSGTDSSLQKEEAGISLMVHGRAQIPQTHQEPFQIFIDDEESNENGDRTYDNKLEESKTQNLADGSCSSILPLNAFVFPSPKDLPSESSDYMNSESSPRIKLREDTVVHRFVGSTILDEPEVENVCHHGLVDPTINLKEAMDDINNMFGKPIDFIRTKRPKKQDKAPVRKQDLCGFTILPDDDSEHLQGQPPPRSSRVSNRDLFEPTAFTKEAMDDINKMFGKLLDF >Potri.012G077101.1.v4.1 pep chromosome:Pop_tri_v4:12:10071348:10074689:1 gene:Potri.012G077101.v4.1 transcript:Potri.012G077101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077101.v4.1 MGLLLKNYSTITSHYRSQSQTQGAFSLSRRGFHVEPGPREQALLAEDPSLKRFKSHKKSVWRLKRFGDVLTLVVVAGCCYEIYVKTVMREEARKQAKESA >Potri.001G114000.1.v4.1 pep chromosome:Pop_tri_v4:1:9240146:9241402:1 gene:Potri.001G114000.v4.1 transcript:Potri.001G114000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114000.v4.1 MKSQAPPSKIARLSNSRTGLLNGWNMEGNRRNGRSRSPSIGLGVELGRGGSSQRPITGCKKPYGFTILQLHELELQSLIYKYIQAGFPVPYHLVLPIWKSVTASLGGLSSSLYQLYPSFMGCKCNPLYLEYKKGMEHEPGRCRRTDGKKWRCSKEVLPDQKYCDRHIHRGRQRSRKLVEAASHSNASTNLSISLPGIGSASA >Potri.001G114000.2.v4.1 pep chromosome:Pop_tri_v4:1:9240129:9241416:1 gene:Potri.001G114000.v4.1 transcript:Potri.001G114000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114000.v4.1 MEGNRRNGRSRSPSIGLGVELGRGGSSQRPITGCKKPYGFTILQLHELELQSLIYKYIQAGFPVPYHLVLPIWKSVTASLGGLSSSLYQLYPSFMGCKCNPLYLEYKKGMEHEPGRCRRTDGKKWRCSKEVLPDQKYCDRHIHRGRQRSRKLVEAASHSNASTNLSISLPGIGSASA >Potri.006G180600.3.v4.1 pep chromosome:Pop_tri_v4:6:18708560:18711525:-1 gene:Potri.006G180600.v4.1 transcript:Potri.006G180600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180600.v4.1 MRPVKKSGDKLPPTSAQDLGVKAKIVPLKYSSKPSSSAWKVKEKKETSKEPSNLSPNMSSSDQVHRSQPTQKGKCSAGAVKKSRNQIQAVKKSGKKITPTPEQEKEKKEPSEGPSNFPTKISLSDRAHRDQPVQKRKCSAEAVKKSGNQLPAIPKRVWQVKGKIASSQDSGKTSSKVFQVKKKTSSTLSKKTSSSHAKTRSFSPEHYIKWDDFVKLGHNCFLCENDLAHSPLPTDEELESDKFPDVAVLPCGHAFHAMCLQQAIPEDQMRDPSCFVCDSLQ >Potri.006G180600.2.v4.1 pep chromosome:Pop_tri_v4:6:18708528:18711525:-1 gene:Potri.006G180600.v4.1 transcript:Potri.006G180600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180600.v4.1 MDITHDVRTCTANLNCDCEPTGLLLGVNGGTKARYVNRDSRKCSEIRGTASKLSRRRDSTFPVENQGKLYRISSENATEARTPDEHGLQNENIKAAGTSQTVSTSDYVAAEAKRSNGLKVRSGIVQFDPEVYGKSGLAQPHQLTQNSRHSAKAANDNGHPMRPVKKSGDKLPPTSAQDLGVKAKIVPLKYSSKPSSSAWKVKEKKETSKEPSNLSPNMSSSDQVHRSQPTQKGKCSAGAVKKSRNQIQAVKKSGKKITPTPEQEKEKKEPSEGPSNFPTKISLSDRAHRDQPVQKRKCSAEAVKKSGNQLPAIPKRVWQVKGKIASSQDSGKTSSKVFQVKKKTSSTLSKKTSSSHAKTRSFSPEHYIKWDDFVKLGHNCFLCENDLAHSPLPTDEELESDKFPDVAVLPCGHAFHAMCLQQAIPEDQMRDPSCFVCDSLQ >Potri.006G180600.1.v4.1 pep chromosome:Pop_tri_v4:6:18708528:18711525:-1 gene:Potri.006G180600.v4.1 transcript:Potri.006G180600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180600.v4.1 MDITHDVRTCTANLNCDCEPTGLLLGVNGGTKARYVNRDSRKCSEIRGTASKLSRRRDSTFPVENQGKLYRISSENATEARTPDEHGLQNENIKAAGTSQTVSTSDYVAAEAKRSNGLKVRSGKSGLAQPHQLTQNSRHSAKAANDNGHPMRPVKKSGDKLPPTSAQDLGVKAKIVPLKYSSKPSSSAWKVKEKKETSKEPSNLSPNMSSSDQVHRSQPTQKGKCSAGAVKKSRNQIQAVKKSGKKITPTPEQEKEKKEPSEGPSNFPTKISLSDRAHRDQPVQKRKCSAEAVKKSGNQLPAIPKRVWQVKGKIASSQDSGKTSSKVFQVKKKTSSTLSKKTSSSHAKTRSFSPEHYIKWDDFVKLGHNCFLCENDLAHSPLPTDEELESDKFPDVAVLPCGHAFHAMCLQQAIPEDQMRDPSCFVCDSLQ >Potri.005G002900.3.v4.1 pep chromosome:Pop_tri_v4:5:258863:261628:1 gene:Potri.005G002900.v4.1 transcript:Potri.005G002900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002900.v4.1 MALRRLCGFSDGELMRSDCKPCSRLMRQTAGIFSVGGAFGFWILCRLHYGPRITNPRSLRWAACGAIAWSSTSALLVRLFSPECEPQNIAAYDKVK >Potri.011G003000.1.v4.1 pep chromosome:Pop_tri_v4:11:202430:207558:-1 gene:Potri.011G003000.v4.1 transcript:Potri.011G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003000.v4.1 MNEGVSLKLKLSLSMVFVEVLLLISSLNECHCSPFNDFGGPLSTDRRVDGFLPEISPDSAPQPLLPLLAPTPLAPFTNSTVPKLSGQCTLNFTAAQSLMSTTSIDCWSVFAPLLANVICCPQLEATLAILVGQSSKDTNALALNGTVSKYCLSDIEQILVGQGAAANVNKICSIHPSNLTEGACPVKDVNEFEGTVDSSKLLAACESIDPVKECCNQVCQNAILEAATKIALKGSEVLSIAGSRGLTEQSTKVVDCKQIVLRWLAGKLDPSHAKEVLRGLSNCKVNNVCPLVFPDMRHVAKGCGNGISNKTECCSAMESYVSHLQKQSLVTNLQALNCATTLGMKLQRSNITKDVYSLCHITLKDFSLQVAIQESGCLLPSLPSDATFDQYSGISFICDLNDNIPAPWPSTSQLSASCNKTIKIPALPAAANAQSGLYNEDVIFYVLFAASSVTMMLL >Potri.011G003000.3.v4.1 pep chromosome:Pop_tri_v4:11:202376:205691:-1 gene:Potri.011G003000.v4.1 transcript:Potri.011G003000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003000.v4.1 MSTTSIDCWSVFAPLLANVICCPQLEATLAILVGQSSKDTNALALNGTVSKYCLSDIEQILVGQGAAANVNKICSIHPSNLTEGACPVKDVNEFEGTVDSSKLLAACESIDPVKECCNQVCQNAILEAATKIALKGSEVLSIAGSRGLTEQSTKVVDCKQIVLRWLAGKLDPSHAKEVLRGLSNCKVNNVCPLVFPDMRHVAKGCGNGISNKTECCSAMESYVSHLQKQSLVTNLQALNCATTLGMKLQRSNITKDVYSLCHITLKDFSLQVAIQESGCLLPSLPSDATFDQYSGISFICDLNDNIPAPWPSTSQLSASCNKTIKIPALPAAANAQSGLYNEDVIFYVLFAASSVTMMLL >Potri.001G300100.1.v4.1 pep chromosome:Pop_tri_v4:1:31097449:31102363:-1 gene:Potri.001G300100.v4.1 transcript:Potri.001G300100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G300100.v4.1 MLPTTSKGRASSSSTSRVNSSTFPQYLRRIIKWQQMDIEYTFWQMLYLCTSPKVVYQHTKFHKQTKNQWARDDPAFVVISSLLLAVAALAYCAAYDHSAGHAVFVVISVLLFHFLITGAGLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYVIHYFLSPILVAHGFIPVLLSNLLFMVAASYYHYLNFLGYYVLPFLERTTFFLYPIGLVIVLSPILILSGFNPSRYLMNVYFSQRV >Potri.019G126500.5.v4.1 pep chromosome:Pop_tri_v4:19:14978978:14984129:-1 gene:Potri.019G126500.v4.1 transcript:Potri.019G126500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126500.v4.1 MATIGETSMNSPQSKPVVVRVKRKAHQSRLDAFWLEINEKPTKKAMLDLEKLSISNNTVDVQVEELKAKKVLVKHVETVTSMDATMEIVQSFASNSAHVVEEQKRTIKKYSKQEQILSRSRRNQEVLASDARFEQIWRSRRGDKGASEDKALHDMCSFYDVVRVEESFNEVQELEVASLEDQKILSSYLPLLREFLPSAVAEVESDIHAYLSNQGSAFDAIPLDVDDYVYDYYTVKDDMDIDDIETASPFPLVKVEEEDFYDGPDDESEYDTDDSNAEDHPRNDYPDEASLDDHESESEASLDESEREEEEEEEESDAPSIKHSESDDADNFDDHGSYFDYPECEYGSDDED >Potri.001G105000.3.v4.1 pep chromosome:Pop_tri_v4:1:8458699:8459097:1 gene:Potri.001G105000.v4.1 transcript:Potri.001G105000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105000.v4.1 MKQVCSSFTYLLVLFVLLVTVSVLVEAQKCKSSGKVEGKKPPKKECNQENGAERCEEDKLYTTYKCSQPVSALPVNSFEEGGDAVAPSECDGKYHDNYTPVVALSTAWFGKKETPHHITINGNGSLESFRHK >Potri.007G125450.1.v4.1 pep chromosome:Pop_tri_v4:7:14137176:14140385:-1 gene:Potri.007G125450.v4.1 transcript:Potri.007G125450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125450.v4.1 MSRFLFAGYIALLPLLLVFQTSNCKDTNLCAPSCGNHTISYPFSLESDPSNCGNHNISYPFNYTLHCEKNNSTVLYLDSRKYYVQAINYNNLTIRVVDAGVKENDCSSLPNFSLAFDSLGKSRRAYTIFTLTFTGFDYIADDDYPYTWFQFKKTGWEWSPTYKPLPLSQMMIFINCANPVDSPLYVGTGTCLNGEKSYVNVGGMKASDLMELCSLERMTLLPVKDYKSMSYKEIHSQLAYGFELSWHNSRCGSCAGICYIYDSNKTRCAGLSWFELIAGVLQTVFLFIALLQGTKFIFGSPFVIAFLIYKWRRRHLSAYDTVEEFLQTHNNLMPVLRYSYSEIKKMTGGFKEKLGEGGFGCVYKGKLRSGHSAAIKLLGKSKANGQDFINEVATIGRIRHTNVVQLVGFCAEGSKRALVYDFMPNGSLNNFIFSQESSVSLSWEKLHEISLGVAHGIEYLHRGCEMQILHFDIKPHNILLDENFAPKVSDFGLARLCPANETEKSLTAAGGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEMAGRRKNLNALTENSNQIYWPDWVHDQVSNEKAIEIGDGGTEEEEKIVKKMIITGLWCIQMNPLNRPAMNEVVEMLEGDIESLQLPSRPVLYPDEKPMNTCGESSSMSDYSSKSVSLIENASN >Potri.005G135800.2.v4.1 pep chromosome:Pop_tri_v4:5:10502133:10504078:1 gene:Potri.005G135800.v4.1 transcript:Potri.005G135800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G135800.v4.1 MLNIECFIIVLVHGLFMCHNLIDIIFIHFKLLLNVLKDIMEGLESSDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVSIEPSLKNKFDRMYSNIVATGAFAWAPSSGVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGDKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.009G048100.1.v4.1 pep chromosome:Pop_tri_v4:9:5386239:5387413:-1 gene:Potri.009G048100.v4.1 transcript:Potri.009G048100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048100.v4.1 MKQKIVIKVTGKGPKSRSKALQIAVGLSGVESAGLGGEDKSQIEVVGDGVDAVQLTNLLRKKVGYAELASVEAVGEKKEEPEVQPVDWPVYVGGMPQTYIYPIHPHQDPSCSIM >Potri.002G162400.9.v4.1 pep chromosome:Pop_tri_v4:2:12430078:12431684:1 gene:Potri.002G162400.v4.1 transcript:Potri.002G162400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162400.v4.1 MQEWPVMKPLPSYGRGRDAAAGRYSSLIFGTNLTDVIITGNNGTIDGQGAFWWQNFHKGKLKYTRPYLIEIMFSDTIQISNLTLLNSPSWNVHPVYSRDILVQGITIIAPISSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIAFGMPTKQLVIRRLTCISPYSATIALGSEMSGGIEDVRAEDITAIHTESGVRIKTAVGRGGYVKDIYVKRMTMHTMKWVFWMTGNYGSHADKNYDPNALPLIQGINYRDMVADNVTMAARLEGIAGDPFKEICISNVTIGLAPKAKKVPWTCTEIEGMTSGVSPRPCDLLPDQGPEKITSCDFPPENIPIDLVQFKTCSFGMSYM >Potri.002G162400.6.v4.1 pep chromosome:Pop_tri_v4:2:12429434:12431887:1 gene:Potri.002G162400.v4.1 transcript:Potri.002G162400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162400.v4.1 METRVVLALLVVAFISFRGAESRKARILDSFEYSAINCRAHSASLTDFGGVGDGTTSNTKAFKDAIDHLSQFSSDGGSQLFVPAGKWLTGSFSLTSHFTLYLHKDAVLLASQDMQEWPVMKPLPSYGRGRDAAAGRYSSLIFGTNLTDVIITGNNGTIDGQGAFWWQNFHKGKLKYTRPYLIEIMFSDTIQISNLTLLNSPSWNVHPVYSRDILVQGITIIAPISSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIAFGMPTKQLVIRRLTCISPYSATIALGSEMSGGIEDVRAEDITAIHTESGVRIKTAVGRGGYVKDIYVKRMTMHTMKWVFWMTGNYGSHADKNYDPNALPLIQGINYRDMVADNVTMAARLEGIAGDPFKEICISNVTIGLAPKAKKVPWTCTEIEGMTSGVSPRPCDLLPDQGPEKITSCDFPPENIPIDLVQFKTCSFGMSYM >Potri.002G162400.1.v4.1 pep chromosome:Pop_tri_v4:2:12429503:12431709:1 gene:Potri.002G162400.v4.1 transcript:Potri.002G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162400.v4.1 MSLQVVLALLVVAFISFRGAESRKARILDSFEYSAINCRAHSASLTDFGGVGDGTTSNTKAFKDAIDHLSQFSSDGGSQLFVPAGKWLTGSFSLTSHFTLYLHKDAVLLASQDMQEWPVMKPLPSYGRGRDAAAGRYSSLIFGTNLTDVIITGNNGTIDGQGAFWWQNFHKGKLKYTRPYLIEIMFSDTIQISNLTLLNSPSWNVHPVYSRDILVQGITIIAPISSPNTDGINPDSCTNTKIEDCYIVSGDDCVAVKSGWDEYGIAFGMPTKQLVIRRLTCISPYSATIALGSEMSGGIEDVRAEDITAIHTESGVRIKTAVGRGGYVKDIYVKRMTMHTMKWVFWMTGNYGSHADKNYDPNALPLIQGINYRDMVADNVTMAARLEGIAGDPFKEICISNVTIGLAPKAKKVPWTCTEIEGMTSGVSPRPCDLLPDQGPEKITSCDFPPENIPIDLVQFKTCSFGMSYM >Potri.018G118800.1.v4.1 pep chromosome:Pop_tri_v4:18:13439455:13445929:1 gene:Potri.018G118800.v4.1 transcript:Potri.018G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118800.v4.1 MERISAACAMEWSIELEKALRSKKPGQAIEGIQRIGKRIQEWSKEPKPTMAVYNMFGLVTGEDTLFANTILLRLADAFRFGDRETRVSIVKVFLLELKSRDNKKMKGRQYRGILSKDRVQNHVELLKRVKIVFDTGDVDSKALALALFGCWAPFAKDSAHIRYLILSSMISSDVLQVQASLFAAGCFCELAGDFVPVVLEMLVNMVTSSETLLTIRLAGTRVFAKMGPSYSVASRAYKTGLKLLDSLEEDLVVTMLVSLTKLASKSTLLLLEQVDLLLPFLSQEKDLLFQATALRCLHFIFMRGVVYSSVSAHGIKTFSRIVDEADLPLSMQCEALQILHKMLLYRLHNLPQDNMLELSPLLTIIENSAESSIMSKSLLAIHVQADLSMKLSRRAEMESGGNSFSPLLTRTISIIIDRVILLVKPLLVLCQAGAGVLQEVQSLLSLLLSLVREHPDLGVSVLDKVRLFIEYLVDVHEGNIVIRQESLSVPEVFDFKGENVGISLKLAYYVHKFSVSCVEIMNEAGAITTQLVDKVKLLVQSVHRCGLFHWYVLIMYSILLHSHSMWSYVVHNKKESCNPDSNLNCSLCRELVEREFFTLDCAKKLLTERDNWSAYKAGTFAACQGAWITAAFVFEQLTSKVQSGSCSCWLKSLTQFAQTESKFQFYPITQWGFSLADRSKMNEFPVMFFQDFSDELGQGAVENIRDPNYTEMLRQAHHGLCSSRKTLESIVTSDKSFCFQRWFLAIRMELLGTMADVVKVLGATPLSEDSISNSRKGEKKDEYLNSLRQITQSSFRLNRLVQEYDLISMSFIGMDSRSSKIISTLALSCLLLAFATGFAISISDQLANEILMPCDSENSKHYLQGMLVRNLIRRLWHLDQDTISHLCLVLGVGVQPNDNFHQSRSQRLNISGEERDILDVCNYIVAGIVALKEEANRKKNEEILSQVTKDGFQLLLNTITKWMRIPFQVPTYFFKIRPCIGSELFVFNADTRNSNQLSVLPGFNLSLNLCLQLRNLPPDLPFIVTRSYCVLYSSMSFQECKEKGETKGQFLWENGPLDTDNLIQMNEKLFHHVTECTKKTSNSKRGREKDIDSDEIITGFVCFDLIDARKGFSNCLLDVSHFPVGSYRIKWQSCCIDSQGSYWSLLPLNTGPVFTVQKPTAV >Potri.018G118800.2.v4.1 pep chromosome:Pop_tri_v4:18:13439488:13445933:1 gene:Potri.018G118800.v4.1 transcript:Potri.018G118800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118800.v4.1 MLELSPLLTIIENSAESSIMSKSLLAIHVQADLSMKLSRRAEMESGGNSFSPLLTRTISIIIDRVILLVKPLLVLCQAGAGVLQEVQSLLSLLLSLVREHPDLGVSVLDKVRLFIEYLVDVHEGNIVIRQESLSVPEVFDFKGENVGISLKLAYYVHKFSVSCVEIMNEAGAITTQLVDKVKLLVQSVHRCGLFHWYVLIMYSILLHSHSMWSYVVHNKKESCNPDSNLNCSLCRELVEREFFTLDCAKKLLTERDNWSAYKAGTFAACQGAWITAAFVFEQLTSKVQSGSCSCWLKSLTQFAQTESKFQFYPITQWGFSLADRSKMNEFPVMFFQDFSDELGQGAVENIRDPNYTEMLRQAHHGLCSSRKTLESIVTSDKSFCFQRWFLAIRMELLGTMADVVKVLGATPLSEDSISNSRKGEKKDEYLNSLRQITQSSFRLNRLVQEYDLISMSFIGMDSRSSKIISTLALSCLLLAFATGFAISISDQLANEILMPCDSENSKHYLQGMLVRNLIRRLWHLDQDTISHLCLVLGVGVQPNDNFHQSRSQRLNISGEERDILDVCNYIVAGIVALKEEANRKKNEEILSQVTKDGFQLLLNTITKWMRIPFQVPTYFFKIRPCIGSELFVFNADTRNSNQLSVLPGFNLSLNLCLQLRNLPPDLPFIVTRSYCVLYSSMSFQECKEKGETKGQFLWENGPLDTDNLIQMNEKLFHHVTECTKKTSNSKRGREKDIDSDEIITGFVCFDLIDARKGFSNCLLDVSHFPVGSYRIKWQSCCIDSQGSYWSLLPLNTGPVFTVQKPTAV >Potri.018G118800.3.v4.1 pep chromosome:Pop_tri_v4:18:13442357:13446684:1 gene:Potri.018G118800.v4.1 transcript:Potri.018G118800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118800.v4.1 MSKSLLAIHVQADLSMKLSRRAEMESGGNSFSPLLTRTISIIIDRVILLVKPLLVLCQAGAGVLQEVQSLLSLLLSLVREHPDLGVSVLDKVRLFIEYLVDVHEGNIVIRQESLSVPEVFDFKGENVGISLKLAYYVHKFSVSCVEIMNEAGAITTQLVDKVKLLVQSVHRCGLFHWYVLIMYSILLHSHSMWSYVVHNKKESCNPDSNLNCSLCRELVEREFFTLDCAKKLLTERDNWSAYKAGTFAACQGAWITAAFVFEQLTSKVQSGSCSCWLKSLTQFAQTESKFQFYPITQWGFSLADRSKMNEFPVMFFQDFSDELGQGAVENIRDPNYTEMLRQAHHGLCSSRKTLESIVTSDKSFCFQRWFLAIRMELLGTMADVVKVLGATPLSEDSISNSRKGEKKDEYLNSLRQITQSSFRLNRLVQEYDLISMSFIGMDSRSSKIISTLALSCLLLAFATGFAISISDQLANEILMPCDSENSKHYLQGMLVRNLIRRLWHLDQDTISHLCLVLGVGVQPNDNFHQSRSQRLNISGEERDILDVCNYIVAGIVALKEEANRKKNEEILSQVTKDGFQLLLNTITKWMRIPFQVPTYFFKIRPCIGSELFVFNADTRNSNQLSVLPGFNLSLNLCLQLRNLPPDLPFIVTRSYCVLYSSMSFQECKEKGETKGQFLWENGPLDTDNLIQMNEKLFHHVTECTKKTSNSKRGREKDIDSDEIITGFVCFDLIDARKGFSNCLLDVSHFPVGSYRIKWQSCCIDSQGSYWSLLPLNTGPVFTVQKPTAV >Potri.003G025500.1.v4.1 pep chromosome:Pop_tri_v4:3:2702674:2704810:1 gene:Potri.003G025500.v4.1 transcript:Potri.003G025500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025500.v4.1 MQQPQSQLPHLQCHENQLIPLLQSMNTQGSVPQMQQNNMSSLLHNSLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTRVGSVQQNLVSISQPTKVNTLSTQSGASMLQPNIPLQSNSNMIQHQHLKQQHEQQMLQTQQLKRLQQHQNLMQNQQMQQQQLHQQAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQALAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVTEQSLECLIKAVKSLSPKALGASVGDIGSVVSMIDRIAGSAAGNGSRAAAGEDLVAMTGCHLLSFLSFLFFTCFSQDGNSRE >Potri.013G123500.1.v4.1 pep chromosome:Pop_tri_v4:13:13203453:13209750:-1 gene:Potri.013G123500.v4.1 transcript:Potri.013G123500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123500.v4.1 MAQESSINTASRRTRILNNHLVQSPSKPTSCLQSNSCLSYSPPELTESFDFDIKEMRKILDFHNLEDRDWLFGVIKQGRVFNGKERGGRLFVSPDYNQTMEQQREMTMKRIEYLLERGAFDGWLTKKGVEAELKKLALLEVIEIFDHSLAIKTGVHFFLWGGAIQFMGTKRHHDKWLRDTETFAIKGCFSMTELGHGSNVRGIETVTTYDSKTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNINGVNEGVHALIAQIRDVNGNICPNICIADCGHKIGLNGVDNGRIWFDNVRIPRENLLNSVADVSSDGQYLSAIKDQDQRFAAFLAPLTSGRVTIATSAIYSAKIGLAIAIRYALSRRAFSITPNGPEVLLLDYPSHQRRLLPLLAKSYAMSFGGNYLKMMYVNRTPESAKTLHVVSSAFKAIFTWHNMRTLQECREACGGQGLKTENRVGHLKGEFDVQSTFEGDNNVLMQQVSKALLSEYVAAKKKNKPFKGLGLEHMNGPVPVIQSNLTSTTLRNSQFQMNAHCLRERDLLNRFAAEVSLYQSKGESKERAFILSYQLAEDLGRAFSDRAILQTFIDAEANVSAGSLKNVLGLLRSMYALICLEEDAAFLRYGYLSTDNAAAVRNEVTKLCGELRPHALALVSSLGIPDAFLSPIAFNWIDANSWSSVQK >Potri.019G013302.1.v4.1 pep chromosome:Pop_tri_v4:19:1791345:1792023:1 gene:Potri.019G013302.v4.1 transcript:Potri.019G013302.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013302.v4.1 MDFLKIFLNNYQQNYIRQKNKIVTWSQASTITPIMIGYTIVIHNGKENLPIYITDRMVGHKLGEFAPTLNFQGHAKNDNKSHR >Potri.002G158700.1.v4.1 pep chromosome:Pop_tri_v4:2:12092615:12093398:-1 gene:Potri.002G158700.v4.1 transcript:Potri.002G158700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158700.v4.1 MKKQVCSSALFVSFLFLATLCLSIEAQTCKPSGNIRGRKPPPNQCNQENDSDCCADGKLYPIFKCSPGVTGRTKATLTLNSFEKGGDGGAPSECDNHYHSDDTPVVALSTGWYNHGNRCLNFINIHGNGKSVKAMVVDECDSTMGCDSDHDYQPPCANNIVDASKAVWKALGVPESDWGGMDIYWSDA >Potri.009G014600.1.v4.1 pep chromosome:Pop_tri_v4:9:2549730:2553622:-1 gene:Potri.009G014600.v4.1 transcript:Potri.009G014600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014600.v4.1 MSVSHASIHPVEDPTTTDGGNNNAPRVRMKDIQGMPGTKGGLALRLSQFIFAATALSVMASTSDFPSVTAFTYLVVAASLQCLWSLCLAIVDIYALLVMRSLQNYRIVITFAVGDGIASTLTFAAACASAGITVLINNDLDSCANNHCLQFETATAMAFISWFSALPSFLLNFWSLASR >Potri.001G225800.1.v4.1 pep chromosome:Pop_tri_v4:1:24368855:24369392:1 gene:Potri.001G225800.v4.1 transcript:Potri.001G225800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225800.v4.1 MATVGGITEVEGTANSLEIDSLARFAVDDYNKKQNSVLEFKRVLNAKQQVVAGTIYYITFEVTEGGHKKVYEAKVWVKPWLNFKEVQEFKLVADAPCDSSA >Potri.006G231900.3.v4.1 pep chromosome:Pop_tri_v4:6:23493041:23495512:1 gene:Potri.006G231900.v4.1 transcript:Potri.006G231900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231900.v4.1 MASKLAQLQSKAAQASQLVAKHGGSYYRQLLEQNKQYIQDPPTAEKCNLLSKQLLYTRLASIPGRYEAFWKELDSAKQLWKNRKELKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >Potri.006G231900.1.v4.1 pep chromosome:Pop_tri_v4:6:23492788:23495623:1 gene:Potri.006G231900.v4.1 transcript:Potri.006G231900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231900.v4.1 MASKLAQLQSKAAQASQLVAKHGGSYYRQLLEQNKQYIQDPPTAEKCNLLSKQLLYTRLASIPGRYEAFWKELDSAKQLWKNRKELKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >Potri.004G038800.3.v4.1 pep chromosome:Pop_tri_v4:4:3089152:3090822:-1 gene:Potri.004G038800.v4.1 transcript:Potri.004G038800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038800.v4.1 MGLSSKQVTSNGLDWSQTLLQERTLELPKPPAIRRQQQQNQLQSESLKCPRCESTNTKFCYYNNYNKSQPRHFCKTCKRHWTKGGTLRNVPVGGGRKNKRPKTSKTNADTTTSTNTASTAAPRTDNSNNMINRVNPQQPIQAQQQKQNLPDLVLGDQKNLSGILYQAMINPPSSVLQQNSISCNNLDTKSFNTNNNGVLLGSSLSLPQIQGSLFPCPSSFDTHPSLICTSSFQPLNVYNYSGESMEDSTITTIMPSTSSTIAQPWQVPNTSSGMDMTNYWNWDDIENYVSTDLNVTWDDSEIKP >Potri.004G038800.1.v4.1 pep chromosome:Pop_tri_v4:4:3088974:3090821:-1 gene:Potri.004G038800.v4.1 transcript:Potri.004G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038800.v4.1 MGLSSKQVTSNGLDWSQTLLQERTLELPKPPAIRRQQQQNQLQSESLKCPRCESTNTKFCYYNNYNKSQPRHFCKTCKRHWTKGGTLRNVPVGGGRKNKRPKTSKTNADTTTSTNTASTAAPRTDNSNNMINRVNPQQPIQAQQQKQNLPDLVLGDQKNLSGILYQAMINPPSSVLQQNSISCNNLDTKSFNTNNNGVLLGSSLSLPQIQGSLFPCPSSFDTHPSLICTSSFQPLNVYNYSGESMEDSTITTIMPSTSSTIAQPWQVPNTSSGMDMTNYWNWDDIENYVSTDLNVTWDDSEIKP >Potri.011G149950.1.v4.1 pep chromosome:Pop_tri_v4:11:17701861:17703529:1 gene:Potri.011G149950.v4.1 transcript:Potri.011G149950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149950.v4.1 MVNSYKNCDLNECIKSVSQCFPGLPSLDYFSLLFLILPDSLFRFKLICTGLVVQGPVQNHQTTPYIDMDIFHLLNSHAYFPPLQALFSFCHLESSYHHASGLLLRQKTIFHSIRLNLRSSISFLQ >Potri.015G091200.1.v4.1 pep chromosome:Pop_tri_v4:15:11406665:11408620:-1 gene:Potri.015G091200.v4.1 transcript:Potri.015G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091200.v4.1 MAHSFLSGEFNDENVSETSGLDLSLSAMACYPRPPYLPTFDSNAVSWILPFSDDTRDAKRMRRSSSLVESIRSNNSSLYSGGSSICRSISTNILNSIPKLHFRDHIWTYTQRYLAAEAVEEAASEAMINADEGGNEEEGNADGMRLVQLLIACAEAVACRDKSHASALLSELRSNALVFGSSFQRVASCFVQGLTDRLSLVQPLGAVGFVPTMNIMDIASDKKEEALRLVYEICPHIRFGHFVANNAILEAFEGESFVHVVDLGMTLGLSHGHQWRRLIESLAERAGKAPSRLRITGVGLCVDRFRIIGDELKEYAKDMGINLEFSAVESNLENLRPEDIKINEGEVLVVNSILQLHCVVKESRGALNSVLQIVHELSPKVLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDAMLPKYDTRRAKMEQFYFAEEIKNIVSCEGPARVERHERVYQWRRRMSRAGFQAAPIKMMAQAKQWLVKNKVCDGYTVVEEKGCLVLGWKSKPIIAASCWKCLINSSQ >Potri.008G092300.2.v4.1 pep chromosome:Pop_tri_v4:8:5756080:5758285:-1 gene:Potri.008G092300.v4.1 transcript:Potri.008G092300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092300.v4.1 MLKWPGFKIHGHFKRSAGIAEDYQLSSTAINQEAESLLLSAVNMSFFERLNLAWRIIFPSPTQRKSSNARIAKQRLKMILFSDRCAVSDEAKRKIVNNVVHALSEFVEIESQDKVQLSVTTDTDLGTVYSVTVPVHRVKPGYQEEEESGSITNIEYKDTGETSASVDVRFDFYIPDERTR >Potri.008G092300.1.v4.1 pep chromosome:Pop_tri_v4:8:5755993:5758524:-1 gene:Potri.008G092300.v4.1 transcript:Potri.008G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092300.v4.1 MAISGDLRVSATLASYSKHLLCSLPPSNSKVEFLGFLNGGCGTSQNMLKWPGFKIHGHFKRSAGIAEDYQLSSTAINQEAESLLLSAVNMSFFERLNLAWRIIFPSPTQRKSSNARIAKQRLKMILFSDRCAVSDEAKRKIVNNVVHALSEFVEIESQDKVQLSVTTDTDLGTVYSVTVPVHRVKPGYQEEEESGSITNIEYKDTGETSASVDVRFDFYIPDERTR >Potri.017G126700.6.v4.1 pep chromosome:Pop_tri_v4:17:13080487:13084472:1 gene:Potri.017G126700.v4.1 transcript:Potri.017G126700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126700.v4.1 MDNPTESELSNPASPSPAPPALAPPPCTTPDLAPHPVTSQVIAPNQAPVPDPTPSQAPVPDPTPSPAPVHEPTPSPAPVPDPTPNPAPAPDSTLSPSPAASTTTLTSRVSENISFSKKLVKKGLPDNLMYKNRLQEYTQKSSLQLPVYQTLNEGPAHMPRFRSTVWVDGARYRSQKTFLHRKAAEQDVANLALESILKRVKDEGCPLLLGDTVFCKSILNEFAVKVNREKPTYNTVQSPGLLPVFISTLVFDGVSYTGDAGRNKKEAEQLAARAVILSLIGNSGSSKILYEIIKSKSKLYAALDRVKDPSHSQPNIVPVAVKVGHCSETTVDQEQEVSTAVVRDAAPVSANIVPVAVKVGHCSETTVDQEQEVSTAVVRDAVPVSAIPPAASGMHPSHHDSKRPRPDLHPVSEQPLVVDFGSSSAKKRRKNKKKDNKETDTQFPTDAIPLNQASPCSVAQ >Potri.017G126700.2.v4.1 pep chromosome:Pop_tri_v4:17:13080648:13084801:1 gene:Potri.017G126700.v4.1 transcript:Potri.017G126700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126700.v4.1 MYKNRLQEYTQKSSLQLPVYQTLNEGPAHMPRFRSTVWVDGARYRSQKTFLHRKAAEQDVANLALESILKRVKDEGCPLLLGVCEQIDTVFCKSILNEFAVKVNREKPTYNTVQSPGLLPVFISTLVFDGVSYTGDAGRNKKEAEQLAARAVILSLIGNSGSSKILYEIIKSKSKLYAALDRVKDPSHSQPNIVPVAVKVGHCSETTVDQEQEVSTAVVRDAAPVSANIVPVAVKVGHCSETTVDQEQEVSTAVVRDAVPVSAIPPAASGMHPSHHDSKRPRPDLHPVSEQPLVVDFGSSSAKKRRKNKKKDNKETDTQFPTDAIPLNQASPCSVAQ >Potri.017G126700.7.v4.1 pep chromosome:Pop_tri_v4:17:13080513:13084801:1 gene:Potri.017G126700.v4.1 transcript:Potri.017G126700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126700.v4.1 MLVPVFLKMLLCVLPFLAFHFLLFGYNFLICPVSQGEYGIAQLEHRVVTRMLNLLLPFPPIIFLCGYLQFASSISTYYFLVWLYLQDTVFCKSILNEFAVKVNREKPTYNTVQSPGLLPVFISTLVFDGVSYTGDAGRNKKEAEQLAARAVILSLIGNSGSSKILYEIIKSKSKLYAALDRVKDPSHSQPNIVPVAVKVGHCSETTVDQEQEVSTAVVRDAAPVSANIVPVAVKVGHCSETTVDQEQEVSTAVVRDAVPVSAIPPAASGMHPSHHDSKRPRPDLHPVSEQPLVVDFGSSSAKKRRKNKKKDNKETDTQFPTDAIPLNQASPCSVAQ >Potri.017G040450.1.v4.1 pep chromosome:Pop_tri_v4:17:2689814:2690702:-1 gene:Potri.017G040450.v4.1 transcript:Potri.017G040450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040450.v4.1 MSFSNFAFSLCLITFSLLLHTVFGAGPNFHLCSSPENFTANGPYESNLNKLTSYLYYKAPPTGFGMGSRGHTPDQTYGLALCRGDVSTSDCKTCVVEVSSEIRKRCPYNKAAIIWYDNCLLKYSNNAFFGQIDNGNKFYMWNVHVVSEPAPFNEKTKELLSQLANEAQATPKLFATGERELGKSTKLYGLVQCTGDLSSAVCKKCLDGIIGELPICCDGKQGGRVVSGSCNFIYEIYPFVNA >Potri.010G117800.2.v4.1 pep chromosome:Pop_tri_v4:10:13659683:13672369:-1 gene:Potri.010G117800.v4.1 transcript:Potri.010G117800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117800.v4.1 MLSSLLHSPPWQIPQTVKPSTSVSSTAMKDRSPPSSLGAVYVPPHCRIRSLVSTPYCHSSSNASSPYPPIGSKFRENHSESTTVLNPRNRPPLHQQQRNGVADNNDFNSNKKPAPKFVSAYDDRESEEGSDLETDSPVVQPGAYLSDDIEEWKRKLTMLLHDKEKQELISREKKDRRDFEQIAALASKMGLHSHSYAKVVVFSKAPLPNYRFDLDDKRPQREVNLPLGLLQRVDAYLGDYLYQRSRINSNFPDTFSRSSSSSLSTDDGLFEQPEPLASSKAVTEKILWRRSMQLCDQQQAWQESPEGCKMLEFRKTLPAYKEKDAILAAISQNQIVIISGATGCGKTTQIPQFILESEVESVRGAVCNIICTQPRRISAMSVSERIASERGEKLGERVGYKVRLEGVKGKDTHLLFCTTGILLRRLLVDRSLKGITHVIVDEIHERGMNEDFLLIVLKDLLPHRPELKLILMSATLDAELFSSYFDGAPILRIPGFTFPVRTHFLENILEMTGYRLTQCNQIDGYGQEKMWRIGKQAPRKRKSQIASSVEDALRTADFKEYSSQTRESLSCWNPDSIGFNLVEYLLCNICENERPGAVLVFMTGWDDISSLKDKLQAHPFLGDPSRVLLLTCHGSMASSEQRLIFDEPEEGVRKIALATNIAETSITINDIVFVLDCGKAKESSYDALNNTPCLLPSWISKVSAQQRRGRAGRVQPGECYHLYPRCVYDAFAEYQLPEILRTPLQSICLQIKSLKLGSISDFLSRALQSPELLAVQNAIEYLKIIGALDQNENLTVLGRYLTMLPVEPKLGKMLVLGAILNCLDPVLTVVAGLSVRDPFLMPLDKKDLAEAAKSQFSGDYSDHLALVRAYEGWKDAERDLSGYEYCWKNFLSVQSMKAIDSLRKEFFSLLMDTGLVDGNPTTCNAWSHDEHLVRAVICSGLYPGICSIVHNEKSFSLKTMEDGQVLLHSNSVNARESKIPYPWLVFNEKIKVNSVFLRDSTAVSDSVLLLFGGSISRGDADGHLKMLGGFLEFYMQPSVAEMYQSLRRELDELIQTKLLNPRMDIHMHHELLSAVRLLVSEDNCDGRFVFGCHFFKSSKPAVFATQPTLISRGDSGPGGDNSKSQLQTLLTRAGYAAPSYKTKQLKNNQFRATVEFNGMQIMGQPCNNKKSAEKDAAAEALQWLVGGTQTSQEYINHMSMLLKKSKKDHY >Potri.003G078500.3.v4.1 pep chromosome:Pop_tri_v4:3:10568837:10571950:1 gene:Potri.003G078500.v4.1 transcript:Potri.003G078500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078500.v4.1 MASVENDDGTVKYGIVGVGMMGREHLINLYHLRSQNVGVVAIADPHVPSQQLAVELAQSFGWHLEVFSGHRELLDSGLCDVVVVSSPNMTHYRILMDIISHPKPHHVLVEKPLCTTVADCKKVVDAARRRTDMLVQVGLEYRYMPPVAKLIEVVRGGAVGQVKMVAIREHRFPFLVKVDNWNRFNANTGGTLVEKCCHFFDLMKLFAGANPVRVMASGAIDVNHKDEVYDGKVPDIIDNAYVIVEFDNGSRGMLDLCMFAEGSKNEQEISVVGDIGKGEAFVPESLVRFGTRVAGRDGVQTLKAEDRRIKYDGLHHGSSYLEHLTFLSAVRAKGEKAPAVDLQDGLISVAIGVAAQLSIEKGQFVTIQEVMGEHYG >Potri.013G031100.9.v4.1 pep chromosome:Pop_tri_v4:13:2011311:2014895:-1 gene:Potri.013G031100.v4.1 transcript:Potri.013G031100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031100.v4.1 MVKAVAVLNSSEGVKGTINFTQEGDGPTTVTGSLCGLKPGLHGFHVHALGDTTNGCMSTGPHFNPVGKEHGAPEDENRHAGDLGNVTVGDDGTATVSIIDNQIPLTGPNSIVGRAVVVHADPDDLGKGGHELSKTTGNAGGRVACGVIGLQG >Potri.007G083000.1.v4.1 pep chromosome:Pop_tri_v4:7:10796254:10798322:-1 gene:Potri.007G083000.v4.1 transcript:Potri.007G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G083000.v4.1 MAWILTTLALIALAFFLRAWLSKRKIKDSKLPPGPIGFPIFGSLHLLGKFPHHDLHQLAKKYGPIMYMRLGLVPTVVVSSPRAAELILKTHDLVFANRPPNEAAKHITYEQKGLTFAPYGSYWRNVRKMCTLELLSNHKINSFMSTRKEELDLLIDYIKDASRDRVAVDLSAKVSSLNADISCRMIFGKKDLEKEFDERGFEPLTHEGIRIAASFNLGDYIPPIAPLDLQGLTKRMKAIGKVFDDFFEKIIDEHIQFKDENRTKDFLDVMLDFLGSEETEYRIGRGNIKAIILDMLVGSMDTPAAAIEWTLSELIKHPRVMKKVQKELEEKIGMDRMVEESDLEGLEYLHMVIKEAFRLHPVAPLLVPHESMEDCTIDGFLIPQKTRVLVNVWAIGRDQSAWTDANKFIPERFAGSNIDVRGRDFQLLTFGAGRRSCPGMHLGLTMVRQIVAQLVHCFDWELPNNMLPEELDMTEAFGLVTPRANHLCATPTYRLHL >Potri.003G174400.1.v4.1 pep chromosome:Pop_tri_v4:3:18195469:18197327:-1 gene:Potri.003G174400.v4.1 transcript:Potri.003G174400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174400.v4.1 MEPSNLNKEQDQNSWALNAWTLLSKLRDQSPLIQCITNFVSMDLMANTLLSAGASPAMIHSIEEIQDFTPHIHALCINVGTLSPAWLPAMREAALVADKAGKPWILDPVAAGASRFRLKACLELVGLKPSVIRGNGSEIIALSKASLGATKGVDSSHESMDAMEAAKSLAQSSGAIVAVSGAVDIITDGHRVVGVHNGVSMMQKITATGCAVTALIAAFVAVDPLHALEATASALSIFGIAGEMGMDMAKGPASLRMHLIDSLYNLDQAAVSSRLKVSSL >Potri.008G205600.3.v4.1 pep chromosome:Pop_tri_v4:8:15060602:15069151:1 gene:Potri.008G205600.v4.1 transcript:Potri.008G205600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205600.v4.1 MAKPSKKRTSSDEAMSSEEEPLNEQINEEEDEEEIEEVARSVDSDDDEADGDAEGDEGEEADEEGTSNEISKREKERLKEMQKLKKHKIQEILDQQNAAIDADINNKGKGRLKYLLQQTELFAHFAKHDQSASQKRAKGRGRHASKVTEEEEDEEYLKEEEDGLSGNTRLVAQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAIKFLGNPDERKHIREELLVAGKFDVCVTSFEMAIKEKSILRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISAENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLIFSGHQYCRIDGNTGGEDRDASIDAFNRPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDNAELYDFDDDKDENKFDFEKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIDVDEPEETRDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDLKSIATELEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMMCMVHKLGYGNWDELKVAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEHDERERQARKEKKLAKSMTLSKRSMGRQTESPPSQKKRKQLSMDDYLTTGKRKK >Potri.008G205600.1.v4.1 pep chromosome:Pop_tri_v4:8:15060641:15069220:1 gene:Potri.008G205600.v4.1 transcript:Potri.008G205600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205600.v4.1 MAKPSKKRTSSDEAMSSEEEPLNEQINEEEDEEEIEEVARSVDSDDDEADGDAEGDEGEEADEEGTSNEISKREKERLKEMQKLKKHKIQEILDQQNAAIDADINNKGKGRLKYLLQQTELFAHFAKHDQSASQKRAKGRGRHASKVTEEEEDEEYLKEEEDGLSGNTRLVAQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLLGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAIKFLGNPDERKHIREELLVAGKFDVCVTSFEMAIKEKSILRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISAENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYRALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYTTGEHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLIFSGHQYCRIDGNTGGEDRDASIDAFNRPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDNAELYDFDDDKDENKFDFEKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIDVDEPEETRDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDLKSIATELEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMMCMVHKLGYGNWDELKVAFRTSPLFRFDWFVKSRTTQELARRCDTLIRLVEKENQEHDERERQARKEKKLAKQSMTLSKRSMGRQTESPPSQKKRKQLSMDDYLTTGKRKK >Potri.010G073232.1.v4.1 pep chromosome:Pop_tri_v4:10:10127696:10130191:-1 gene:Potri.010G073232.v4.1 transcript:Potri.010G073232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073232.v4.1 MASTLDSSSDRTSELKAFDETKAGVKGLVDAGITKVPWIFHHPPDDLDKTLIVATDGKFRFPIIDLEGVRKDPFQRKEIVERVRDASETWGFFEVVNHGIPVSVLEEMKDGVCRFYEQDVELKKEYFSRDYTRKVIYNSNFDLYTASSTNWRDTVSYIMAPGPPMPEELPAACRDILMEYSKAVMNLGNLLLELLSEALGLNPNYLKDIDCAKGLAVLCHYYPACPQPELTLRATKHSDNDFLTVLLQDQIGGLQILHQNQWVDVPPTPGALVINIGDLMQLISNDKFISVEHRVLANCKGPRVSVACFFSTFLTPSPRFYGPMKELLSEENLPKYRETTVRDYCAHYNEKGLGRTSALLDFKL >Potri.001G106400.1.v4.1 pep chromosome:Pop_tri_v4:1:8536699:8537920:-1 gene:Potri.001G106400.v4.1 transcript:Potri.001G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106400.v4.1 MAKVFPQSQRPTLDPFVSFERQTYTIWMKSLLCQTNGCTVFDSDGDIVYRVDNYDKKCRNKVYLMDLRGRVLVTILRKRLHCFGCWYGYRWNSYANKEKPWFQVKKYCRFICMGSFACQVTVGFEKYWVEKLASKTAFRIVGIDGNVIAEVKQKQLSSGITLGDDVLTLVVEPHVDHSLIMAIVTVYGLIKHKL >Potri.001G278800.5.v4.1 pep chromosome:Pop_tri_v4:1:29239176:29250536:1 gene:Potri.001G278800.v4.1 transcript:Potri.001G278800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278800.v4.1 MAAANAPITMKEALTLPSLGINPQFINFTHVTMESEKYICIRETAPQNSVVIVDMSMPAQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKVKSHQMPEQVVFWKWSSANMLALVTQTSVYHWSIEGDSEPVKMFDRTANLQGNQIINYRCDPSEKWLVLIGIAQGPPERPQLVKGNMQLFSVDQQRSQALEAHAASFAAFKVAGNDNASILISFASRSFNAGQLTSKLHVIELGAVPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETASAVYRNRISPDPIFLTTDASSVGGFYAVNRRGQVLLATVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQAKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYNPDYLFLLQTILRTDPQAAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHVIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYVNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECAEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGDNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLSPDNEYRRQLIDQVVSTALPESKSPDQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAIGEVAVEAQLYEEAFAIFKKFNLNFSAVNVLLDNIRSIDRAVEFAFRVEEEAVWSQVAKAQLREGLVSEAIESFIRADDATQFLEVIKAAEDADVYHDLVRYLLMVRQKSKEPKVDSELIYAYAKIDQLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFRFISNWAKLAVTHVKLNEFQSAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKVIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDLLNVIALRVDHTRVVDIMRKAGQLRLVKPYMVAVQSNNVSAVNEALNGIYIEEEDYDRLRESIELHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGERELSEELLVYFIEQGKKECFAACLFVCYDMIRPDVALELAWMNNMIDFAFPYLLQVAFLFVFFFVLDC >Potri.001G278800.7.v4.1 pep chromosome:Pop_tri_v4:1:29242240:29250550:1 gene:Potri.001G278800.v4.1 transcript:Potri.001G278800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278800.v4.1 MMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHVIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYVNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECAEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGDNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLSPDNEYRRQLIDQVVSTALPESKSPDQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAIGEVAVEAQLYEEAFAIFKKFNLNFSAVNVLLDNIRSIDRAVEFAFRVEEEAVWSQVAKAQLREGLVSEAIESFIRADDATQFLEVIKAAEDADVYHDLVRYLLMVRQKSKEPKVDSELIYAYAKIDQLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFRFISNWAKLAVTHVKLNEFQSAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKVIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDLLNVIALRVDHTRVVDIMRKAGQLRLVKPYMVAVQSNNVSAVNEALNGIYIEEEDYDRLRESIELHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGERELSEELLVYFIEQGKKECFAACLFVCYDMIRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDELIKEKLEALSEVKAKEKEEKDMVAQQNMYAQLLPLALPAPPMPGMGGGFAPPPMGGMGMPPMPPYGMPSMAPY >Potri.001G278800.2.v4.1 pep chromosome:Pop_tri_v4:1:29239176:29250585:1 gene:Potri.001G278800.v4.1 transcript:Potri.001G278800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278800.v4.1 MAAANAPITMKEALTLPSLGINPQFINFTHVTMESEKYICIRETAPQNSVVIVDMSMPAQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKVKSHQMPEQVVFWKWSSANMLALVTQTSVYHWSIEGDSEPVKMFDRTANLQGNQIINYRCDPSEKWLVLIGIAQGPPERPQLVKGNMQLFSVDQQRSQALEAHAASFAAFKVAGNDNASILISFASRSFNAGQLTSKLHVIELGAVPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETASAVYRNRISPDPIFLTTDASSVGGFYAVNRRGQVLLATVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQAKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYNPDYLFLLQTILRTDPQAAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHVIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYVNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECAEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGDNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLSPDNEYRRQLIDQVVSTALPESKSPDQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAIGEVAVEAQLYEEAFAIFKKFNLNFSAVNVLLDNIRSIDRAVEFAFRVEEEAVWSQVAKAQLREGLVSEAIESFIRADDATQFLEVIKAAEDADVYHDLVRYLLMVRQKSKEPKVDSELIYAYAKIDQLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFRFISNWAKLAVTHVKLNEFQSAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKVIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDLLNVIALRVDHTRVVDIMRKAGQLRLVKPYMVAVQSNNVSAVNEALNGIYIEEEDYDRLRESIELHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGERELSEELLVYFIEQGKKECFAACLFVCYDMIRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDELIKEKLEALSEVKAKEKEEKDMVAQQNMYAQLLPLALPAPPMPGMGGGFAPPPMGGMGMPPMPPYGMPSMAPY >Potri.001G278800.8.v4.1 pep chromosome:Pop_tri_v4:1:29245155:29250583:1 gene:Potri.001G278800.v4.1 transcript:Potri.001G278800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278800.v4.1 MKDLLLVNLRGNLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYVNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECAEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGDNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLSPDNEYRRQLIDQVVSTALPESKSPDQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAIGEVAVEAQLYEEAFAIFKKFNLNFSAVNVLLDNIRSIDRAVEFAFRVEEEAVWSQVAKAQLREGLVSEAIESFIRADDATQFLEVIKAAEDADVYHDLVRYLLMVRQKSKEPKVDSELIYAYAKIDQLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFRFISNWAKLAVTHVKLNEFQSAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKVIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDLLNVIALRVDHTRVVDIMRKAGQLRLVKPYMVAVQSNNVSAVNEALNGIYIEEEDYDRLRESIELHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGERELSEELLVYFIEQGKKECFAACLFVCYDMIRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDELIKEKLEALSEVKAKEKEEKDMVAQQNMYAQLLPLALPAPPMPGMGGGFAPPPMGGMGMPPMPPYGMPSMAPY >Potri.001G278800.6.v4.1 pep chromosome:Pop_tri_v4:1:29239809:29250541:1 gene:Potri.001G278800.v4.1 transcript:Potri.001G278800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278800.v4.1 MKAKVKSHQMPEQVVFWKWSSANMLALVTQTSVYHWSIEGDSEPVKMFDRTANLQGNQIINYRCDPSEKWLVLIGIAQGPPERPQLVKGNMQLFSVDQQRSQALEAHAASFAAFKVAGNDNASILISFASRSFNAGQLTSKLHVIELGAVPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYVITKQGLLFVYDLETASAVYRNRISPDPIFLTTDASSVGGFYAVNRRGQVLLATVNEATLVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQAKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYNPDYLFLLQTILRTDPQAAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGFLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHVIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLYVNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECAEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSGDNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWEKVLSPDNEYRRQLIDQVVSTALPESKSPDQVSATVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAIGEVAVEAQLYEEAFAIFKKFNLNFSAVNVLLDNIRSIDRAVEFAFRVEEEAVWSQVAKAQLREGLVSEAIESFIRADDATQFLEVIKAAEDADVYHDLVRYLLMVRQKSKEPKVDSELIYAYAKIDQLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFRFISNWAKLAVTHVKLNEFQSAVDAARKANSSKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKVIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDLLNVIALRVDHTRVVDIMRKAGQLRLVKPYMVAVQSNNVSAVNEALNGIYIEEEDYDRLRESIELHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGERELSEELLVYFIEQGKKECFAACLFVCYDMIRPDVALELAWMNNMIDFAFPYLLQFIREYTSKVDELIKEKLEALSEVKAKEKEEKDMVAQQNMYAQLLPLALPAPPMPGMGGGFAPPPMGGMGMPPMPPYGMPSMAPY >Potri.006G154400.1.v4.1 pep chromosome:Pop_tri_v4:6:13765906:13768006:1 gene:Potri.006G154400.v4.1 transcript:Potri.006G154400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154400.v4.1 MGAANDISSSSSDFLNNSDTQVVLNIYDLIPLNQYTYWFGFGIFHSGIEVHGKEYGFGAHDFPASGVFEVEPRSCPGFIYRCSIPLGRINMPPFEFRTFIESAASEYHGDTYHLISKNCNHFTDDMSWRLTGKCIPGWVNRLARLGALCSCLLPESLQVTTVKQLPEYHECLEEGGSESLATSTPCESTEIDDSDEAKLLLSPTAVSGDVAFVKEAHK >Potri.006G058500.2.v4.1 pep chromosome:Pop_tri_v4:6:4118929:4121433:-1 gene:Potri.006G058500.v4.1 transcript:Potri.006G058500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058500.v4.1 MKTLFNLLSLSLSEELCNSRDQKVLLQIKKHFGDPYHLASWLPGTDCCTAWNQVECDPTTNRVVSLRIFSGNLSGEIPAEVGDMPYLKTLEFHKLTNITGPIPTSISKLIHLISLTLSRLNLTGPVPDSLSNLKNLRVLDLSFNSLSGSIPSSLALLPEIDILGLDRNKLTGPIPESFGNFVGRVPGISLSHNQLSGKIPASLDNRNFRLVDFSRNKLEGDASMFFGPNKLLKVWISQGICWSLIFQRWCFQPP >Potri.012G015100.4.v4.1 pep chromosome:Pop_tri_v4:12:1770446:1773882:-1 gene:Potri.012G015100.v4.1 transcript:Potri.012G015100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL4 MGCYHSKRTKETPGYEEPTVLAAETPFTVSEVEALYELFKKLSSSVIDDGLIHKEELQLALFRNKNRRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKIHFTFRLYDLRQTGFIEREELKEMVLAILHESDLLLSDDVVETIVDKTFSDADLKGDGKIDPEEWKEFVSKNPSLIKNMTLPYLKDITLAFPSFVLSTEVEDSEV >Potri.014G185588.1.v4.1 pep chromosome:Pop_tri_v4:14:15371376:15371750:-1 gene:Potri.014G185588.v4.1 transcript:Potri.014G185588.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185588.v4.1 MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCSRGESGSSRAGRGTGWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Potri.015G089300.1.v4.1 pep chromosome:Pop_tri_v4:15:11261286:11291413:-1 gene:Potri.015G089300.v4.1 transcript:Potri.015G089300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089300.v4.1 MSRVSNNWERLVRATLKRELGQGHERMSSGIAGAVPVSLGRTTNIDAILQAADEIQDEDPNVARILCEQAYSMAQNLDPSSDGRGVLQFKTGLMSVIKQKLAKRDGARIDRNRDIEHLWEFYQHYKRRHRVDDIQREEQKFRESGNFSTVIRGEFELSSLEMKKVFATLRALEDVMEAVSKDADPHGAGRHIMEELQRIKTVGELTSYNIVPLEAPSLSNAIGVFPEVRGAMSAIRYAEHYPRLPAGFVISGERDLDMFDLLEYVFGFQNDNVRNQRENVVLAIANAQSRLGIPIQADPKIDEKAINEVFLKVLDNYIKWCKYLRKRLAWNSIEAINRDRKLFLVSLYYLIWGEAANVRFLPECICYIFHHMAKELDAILDHGEANHAASCITESGSVSFLEQIICPIYQTIAAEAERNNNGKAVHSAWRNYDDFNEYFWSPACFELSWPMKENSSFLLKPKKSKRTGKSTFVEHRTFLHIYRSFHRLWIFLALMFQALAIIAFNHGDLSLDTFKEMLSVGPSFAIMNFIESCLDVLLMFGAYSTARGMAISRLVIRFFWCGLSSVFVTYLYVKVLEEKNRQNSDSFHFRIYILVLGVYAALRLFLALLLKFPACHALSDMSDQSFFQFFKWIYQERYYVGRGLFEKMSDYCRYVLYWLVIFACKFTFAYFLQIRPLVKPTNTIRALPSLPYSWHDLISKNNNNVLTIASLWAPVVAIYIMDIHIWYTILSAIVGGVMGARARLGEIRSIEMVHKRFESFPAAFVKNLVSPQAQRMVLNRHASQEAQDMNKAYAALFAPFWNEIIKSLREEDYISNREMDLLSIPSNTGSLRLVQWPLFLLSSKILLAVDLALDCKDTQADLWNRISKDEYMAYAVQECYYSVEKILHSLVDGEGRLWVERIFREINNSILEGSLVITLRLEKLPHVLSRFIALFGLLIQNETPVLANGAAKAVYAVYEAVTHDLLSSDLREQLDTWNILARARNERRLFSRIEWPKDPEIKEQVKRLQLLLTVKDSAANIPKNLEARRRLEFFSNSLFMDMPSAKPVSEMTPFSVFTPYYSETVLYSSSELRVENEDGISILFYLQKIFPDEWENFLERIGRAESTGDADLQENSGDSLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLERRSQGVDDYSQTNFSTSQGFELSHEARAQADLKFTYVVSCQIYGQQKQRKAVEAADISLLLQRNEALRVAFIHVEESDSADGQVSHEFYSKLVKADIHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRANHGIRPPTILGVRENVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNTTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFYFTTVGYYVCTMMTVLTVYVFLYGRAYLAFSGLDNAISVSAKKMGNTALDAALNAQFLVQIGVFTAIPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTDGGALSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVDDFEDWTSWLLYKGGVGVKGDNSWESWWEEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLHLTGKDRSIAIYGFSWVVLVCFVMIFKVFTYSPKRSTSFQLLMRFMQGIASLGLVAALCLIVAFTDLSIPDLFASFLAFIATGWTILSIAIAWKRIVWSLGLWDSVREFARMYDAGMGVLIFVPIAFLSWFPFVSTFQSRLLFNQAFSRGLEISLILAGNKANVDRS >Potri.015G089300.2.v4.1 pep chromosome:Pop_tri_v4:15:11261244:11291096:-1 gene:Potri.015G089300.v4.1 transcript:Potri.015G089300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089300.v4.1 MSRVSNNWERLVRATLKRELGQGHERMSSGIAGAVPVSLGRTTNIDAILQAADEIQDEDPNVARILCEQAYSMAQNLDPSSDGRGVLQFKTGLMSVIKQKLAKRDGARIDRNRDIEHLWEFYQHYKRRHRVDDIQREEQKFRESGNFSTVIRGEFELSSLEMKKVFATLRALEDVMEAVSKDADPHGAGRHIMEELQRIKTVGELTSYNIVPLEAPSLSNAIGVFPEVRGAMSAIRYAEHYPRLPAGFVISGERDLDMFDLLEYVFGFQNDNVRNQRENVVLAIANAQSRLGIPIQADPKIDEKAINEVFLKVLDNYIKWCKYLRKRLAWNSIEAINRDRKLFLVSLYYLIWGEAANVRFLPECICYIFHHMAKELDAILDHGEANHAASCITESGSVSFLEQIICPIYQTIAAEAERNNNGKAVHSAWRNYDDFNEYFWSPACFELSWPMKENSSFLLKPKKSKRTGKSTFVEHRTFLHIYRSFHRLWIFLALMFQALAIIAFNHGDLSLDTFKEMLSVGPSFAIMNFIESCLDVLLMFGAYSTARGMAISRLVIRFFWCGLSSVFVTYLYVKVLEEKNRQNSDSFHFRIYILVLGVYAALRLFLALLLKFPACHALSDMSDQSFFQFFKWIYQERYYVGRGLFEKMSDYCRYVLYWLVIFACKFTFAYFLQQIRPLVKPTNTIRALPSLPYSWHDLISKNNNNVLTIASLWAPVVAIYIMDIHIWYTILSAIVGGVMGARARLGEIRSIEMVHKRFESFPAAFVKNLVSPQAQRMVLNRHASQEAQDMNKAYAALFAPFWNEIIKSLREEDYISNREMDLLSIPSNTGSLRLVQWPLFLLSSKILLAVDLALDCKDTQADLWNRISKDEYMAYAVQECYYSVEKILHSLVDGEGRLWVERIFREINNSILEGSLVITLRLEKLPHVLSRFIALFGLLIQNETPVLANGAAKAVYAVYEAVTHDLLSSDLREQLDTWNILARARNERRLFSRIEWPKDPEIKEQVKRLQLLLTVKDSAANIPKNLEARRRLEFFSNSLFMDMPSAKPVSEMTPFSVFTPYYSETVLYSSSELRVENEDGISILFYLQKIFPDEWENFLERIGRAESTGDADLQENSGDSLELRFWASYRGQTLARTVRGMMYYRRALMLQSYLERRSQGVDDYSQTNFSTSQGFELSHEARAQADLKFTYVVSCQIYGQQKQRKAVEAADISLLLQRNEALRVAFIHVEESDSADGQVSHEFYSKLVKADIHGKDQEIYSIKLPGNPKLGEGKPENQNHAIIFTRGEAIQTIDMNQDNYLEEAMKMRNLLEEFRANHGIRPPTILGVRENVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNTTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLFDFFRMLSFYFTTVGYYVCTMMTVLTVYVFLYGRAYLAFSGLDNAISVSAKKMGNTALDAALNAQFLVQIGVFTAIPMIMGFILELGLLKAVFSFITMQLQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVRHIKFAENYRLYSRSHFVKALEVALLLIVYIAYGYTDGGALSFVLLTLSSWFLVISWLFAPYIFNPSGFEWQKTVDDFEDWTSWLLYKGGVGVKGDNSWESWWEEEQAHIQTLRGRILETILSLRFLIFQYGIVYKLHLTGKDRSIAIYGFSWVVLVCFVMIFKVFTYSPKRSTSFQLLMRFMQGIASLGLVAALCLIVAFTDLSIPDLFASFLAFIATGWTILSIAIAWKRIVWSLGLWDSVREFARMYDAGMGVLIFVPIAFLSWFPFVSTFQSRLLFNQAFSRGLEISLILAGNKANVDRS >Potri.014G088900.1.v4.1 pep chromosome:Pop_tri_v4:14:5771864:5779037:-1 gene:Potri.014G088900.v4.1 transcript:Potri.014G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088900.v4.1 MAFPYMEAVVGFMILVYFFETYLDLRQRAALKLPILPKTLVGVISQEKFEKSRAYSLDKSHFNFVHEFVTILLDSAILFYGILPWFWKKSGSFVVLAGFNEENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDLFKGICLAILLGPPIVSAIILIVQKGGPYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELREKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRSFEFQADAFAKKLGYGSALRGGLVKLQEENLSSMNTDPWYSAYHYSHPPLVERLAAIDESDKKAD >Potri.014G088900.2.v4.1 pep chromosome:Pop_tri_v4:14:5771936:5778109:-1 gene:Potri.014G088900.v4.1 transcript:Potri.014G088900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088900.v4.1 MILVYFFETYLDLRQRAALKLPILPKTLVGVISQEKFEKSRAYSLDKSHFNFVHEFVTILLDSAILFYGILPWFWKKSGSFVVLAGFNEENEILHTLAFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTIWLFFRDLFKGICLAILLGPPIVSAIILIVQKGGPYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELREKIEKLASSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKDDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRSFEFQADAFAKKLGYGSALRGGLVKLQEENLSSMNTDPWYSAYHYSHPPLVERLAAIDESDKKAD >Potri.016G138300.1.v4.1 pep chromosome:Pop_tri_v4:16:14179150:14180258:-1 gene:Potri.016G138300.v4.1 transcript:Potri.016G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138300.v4.1 MLKFQSPLTGDKDLRPKSGRKPLQPKNSPATPMTQIQILKSKQEWTEFSVVKDSNKENHPIYTTTPTKPIVEPLDSSLAEELSAIKKKLERLRSDKERTEKMLEERAMVLDLQKKELEQRGEVQKRLEIEVDRLYRLKELHSYSMQRISPIRTLREKEHEKKMSEAQPLEKETEELEESLGDNAMQSPSSSWGSANSVSSQLVAVK >Potri.016G138300.2.v4.1 pep chromosome:Pop_tri_v4:16:14179208:14180216:-1 gene:Potri.016G138300.v4.1 transcript:Potri.016G138300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138300.v4.1 MLKFQSPLTGDKDLRPKSGRKPLQPKNSPATPMTQIQILKSKQEWTEFSVVKDSNKENHPIYTTTPTKPIVEPLDSSLAEELSAIKKKLERLRSDKERTEKMLEERAMVLDLQKKELEQRGEVQKRLEIEVDRLYRLKELHSYSMRISPIRTLREKEHEKKMSEAQPLEKETEELEESLGDNAMQSPSSSWGSANSVSSQLVAVK >Potri.012G144200.3.v4.1 pep chromosome:Pop_tri_v4:12:15485236:15489570:-1 gene:Potri.012G144200.v4.1 transcript:Potri.012G144200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144200.v4.1 MRSVNNSSIETVNAAATAIVSAESRVQPSSSSVQKRRWGGCWSLYWCFGSHGSHKNSKRIGHAVLVPEPEVPGAVSSSTENQTQSTPILLPFIAPPSSPASFLQSDPPSSTQSPAGLLSLTSLSANAYSPRGPASIFAIGPYAHETQLVTPPVFSAFTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLTSSLERARRNSGPNQKFSLSHYEFQSYHLYPGSPGGQIISPGSAISNSGTSSPFPDRHPMLEFRMGEAPKLLGFEHFSTRKWGSRLGSGSLTPDGLGLGSRLGSGTATPDGMGLSRLGSGTVTPDGMGLSRLCSGTATPDGAGLRSRLGSGTLTPDCFVPASQIGFLLENQISEVASLTNSENGSKTEENVVHHRVSFELSGEEVARCLEIKSVASTRTFPEYPQDTMPEDPVRGDRLAMNGERCLQNGEASSEMPEKNSEETEEDHVYRKHRSITLGSIKEFNFDNSKGEVSDKPAISSEWWANETIAGKEARPANSWTFFPLLQPEVPSL >Potri.012G144200.1.v4.1 pep chromosome:Pop_tri_v4:12:15485237:15489752:-1 gene:Potri.012G144200.v4.1 transcript:Potri.012G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144200.v4.1 MRSVNNSSIETVNAAATAIVSAESRVQPSSSSVQKRRWGGCWSLYWCFGSHGSHKNSKRIGHAVLVPEPEVPGAVSSSTENQTQSTPILLPFIAPPSSPASFLQSDPPSSTQSPAGLLSLTSLSANAYSPRGPASIFAIGPYAHETQLVTPPVFSAFTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLTSSLERARRNSGPNQKFSLSHYEFQSYHLYPGSPGGQIISPGSAISNSGTSSPFPDRHPMLEFRMGEAPKLLGFEHFSTRKWGSRLGSGSLTPDGLGLGSRLGSGTATPDGMGLSRLGSGTVTPDGMGLSRLCSGTATPDGAGLRSRLGSGTLTPDCFVPASQIGFLLENQISEVASLTNSENGSKTEENVVHHRVSFELSGEEVARCLEIKSVASTRTFPEYPQDTMPEDPVRGDRLAMNGERCLQNGEASSEMPEKNSEETEEDHVYRKHRSITLGSIKEFNFDNSKGEVSDKPAISSEWWANETIAGKEARPANSWTFFPLLQPEVS >Potri.012G144200.4.v4.1 pep chromosome:Pop_tri_v4:12:15485235:15489416:-1 gene:Potri.012G144200.v4.1 transcript:Potri.012G144200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144200.v4.1 MRSVNNSSIETVNAAATAIVSAESRVQPSSSSVQKRRWGGCWSLYWCFGSHGSHKNSKRIGHAVLVPEPEVPGAVSSSTENQTQSTPILLPFIAPPSSPASFLQSDPPSSTQSPAGLLSLTSLSANAYSPRGPASIFAIGPYAHETQLVTPPVFSAFTTEPSTAPFTPPPESVQLTTPSSPEVPFAQLLTSSLERARRNSGPNQKFSLSHYEFQSYHLYPGSPGGQIISPGSAISNSGTSSPFPDRHPMLEFRMGEAPKLLGFEHFSTRKWGSRLGSGSLTPDGLGLGSRLGSGTATPDGMGLSRLGSGTVTPDGMGLSRLCSGTATPDGAGLRSRLGSGTLTPDCFVPASQIGFLLENQISEVASLTNSENGSKTEENVVHHRVSFELSGEEVARCLEIKSVASTRTFPEYPQDTMPEDPVRGDRLAMNGERCLQNGEASSEMPEKNSEETEEDHVYRKHRSITLGSIKEFNFDNSKGEVSDKPAISSEWWANETIAGKEARPANSWTFFPLLQPEVPSL >Potri.008G153000.2.v4.1 pep chromosome:Pop_tri_v4:8:10509519:10514876:1 gene:Potri.008G153000.v4.1 transcript:Potri.008G153000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153000.v4.1 MGSIPDPGELTELTRPSFDEFQRQTSLMTSCTLLWKELSDHFTSLEQNLQKKSEALKHKIQTLDNQTKASLASLKKREVTIDGSVEIALERVEEHRELALKSLSDPDYENPDGEVDDGDGSFMVLKSLCLTMESRDFWNFVITKKKEIEILRKQIPLALAECVDPAKFVIEAISEVFPVDKRGERSGEKGNDLGWACVLILESLIPVVVDPVIGKSRLLVTPTVKERAKEIAETWKKSLEERGGIENVKTPDVHTFLQHLVTFGIVKKDDVDLYRKLVVGSAWRKQMPKLAVSLGLGDKMPDMIEELISRGQQLDAVHFTYEVGLVDKFPPVPLLKAFLKDAKKAAASVLEDPENTGRAAHLAARKEQSALRAVIKCVEDYKLESRFPPENLKKRLEQLEKAKTEKKRPAAVPANKRTRASNGGPMPPAKAGRLTNAYVSSFPAPPTFVRSPSHTQYPTGVPAYPSPPAVYGSRSPPSPYAYSPEAAAPIAGSYPGAPLNYPAYGGYGNGFAPAYQQAYYR >Potri.005G083900.1.v4.1 pep chromosome:Pop_tri_v4:5:5786632:5789532:-1 gene:Potri.005G083900.v4.1 transcript:Potri.005G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083900.v4.1 MFDLGDELTVEGYRIPWLIWIQILILVLLVILLFCFSFFPSDLSDTTTSSSSAAPSAVGVFMPLNSHLDKQTLEHNDHTTTATDCLQHSQVHQNKSIKGEITTNTGRRIVSEDNFGNSANFIDFHPCNYFRLAKLAFLKCFGLDSMSDNSLNSEQKKER >Potri.002G049700.8.v4.1 pep chromosome:Pop_tri_v4:2:3343949:3346064:1 gene:Potri.002G049700.v4.1 transcript:Potri.002G049700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049700.v4.1 MGTSNLKIPSKSSSSDSVNSPPSRACCVVVEYLPGGTLKKFLIRNTRKKLAFKIVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDATRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFAEVSSAVVRQHLRPEIPRCCPSSLASVMRKCWDANPEKRPEMDEVVRLLEAIDTSKGGGMLPEDQSTGCLCFTPARGP >Potri.002G049700.1.v4.1 pep chromosome:Pop_tri_v4:2:3341131:3346049:1 gene:Potri.002G049700.v4.1 transcript:Potri.002G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049700.v4.1 MESSNGKEAEGAVKEEKSNTHQEAETVSNAEETKLGSKVGSMSNKEMYFRADKIDFKSWDVQLEKHLSRAWSRDMEVQSTRKEEWEIDLGKLDIRHVIAYGTYGVVYRGNYDGQDVAVKVLDWGEDGIATAAETVALRASFKQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKSSSSDSVNSPPSRACCVVVEYLPGGTLKKFLIRNTRKKLAFKIVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDATRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWEIYCCDMPYPDLSFAEVSSAVVRQHLRPEIPRCCPSSLASVMRKCWDANPEKRPEMDEVVRLLEAIDTSKGGGMLPEDQSTGCLCFTPARGP >Potri.003G187300.1.v4.1 pep chromosome:Pop_tri_v4:3:19217281:19222370:1 gene:Potri.003G187300.v4.1 transcript:Potri.003G187300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G187300.v4.1 MSEETKYVELCKGINGLDKIILREVRGCSAEVYLFGGHVTSWKNEHGEELLFVSSKAIFKPPKAIRGGIPICFPQFSNLGSLEPHGFARTRFWSIDNDPPPFPTNSSNKAFIDLILKHSEEDVKIWPHRYEFRLRITLGPGGDLMMTSRIRNTNTDGKSFTFTFAYHTYFHVTDISEVRVEGLETLDYLDNLKNRERFTEQGDAIVFESEVDKVYLRTPTKIAVLDHERKRTFELRKDGLPDAVVWNPWDKKAKTIPDLGDDEYKHMLCVEAACVEKPITLKPGEEWRGRQELSAVPSSYCSGQLNPESAILSEDIGPA >Potri.011G082000.3.v4.1 pep chromosome:Pop_tri_v4:11:8922250:8927206:1 gene:Potri.011G082000.v4.1 transcript:Potri.011G082000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082000.v4.1 MVGYVYAHLTFFTKLLNLDFQIKLLSCYRNTAKTFYAASIFFEIITQFGALQPDLEQKQKYAVWKAADIRKALKEGRKPNPGPPADDENLSIPSSTPSGGYDLGPSESAASNARPESAASNARPESDPSPQFHDQLNDEPYTNIPPSPLFHDKVNNHHSAHVPPPSLFYDSASNQHSTDTPPPSFYPAAGYPSQDFHPPPPASRSENSAYAQPYHHQSNSQEPQPHLPQNYQSHEPSSYSYPNFQSYPSFSESSLPSVPSHHPSYYQGSDSSHTPQPAPPTSSYSSTPQYASSSIMRTTSDPASTSAKTYQYDINYQPPPEKIVEAHKAARFAVGALAFDDVSVAVDYLRKSLELLTNPSVGQ >Potri.011G082000.1.v4.1 pep chromosome:Pop_tri_v4:11:8922194:8927233:1 gene:Potri.011G082000.v4.1 transcript:Potri.011G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082000.v4.1 MGSENEPAKLLLPYLQRADELQKHETLVAYYCRLYAMEKGLRIPQNERTKTTNSLLISLMNQLEKDKKSLNLGPEDNLYLEGFALNVFGKADKQDRAGRADLNTAKTFYAASIFFEIITQFGALQPDLEQKQKYAVWKAADIRKALKEGRKPNPGPPADDENLSIPSSTPSGGYDLGPSESAASNARPESAASNARPESDPSPQFHDQLNDEPYTNIPPSPLFHDKVNNHHSAHVPPPSLFYDSASNQHSTDTPPPSFYPAAGYPSQDFHPPPPASRSENSAYAQPYHHQSNSQEPQPHLPQNYQSHEPSSYSYPNFQSYPSFSESSLPSVPSHHPSYYQGSDSSHTPQPAPPTSSYSSTPQYASSSIMRTTSDPASTSAKTYQYDINYQPPPEKIVEAHKAARFAVGALAFDDVSVAVDYLRKSLELLTNPSVGQ >Potri.011G077100.2.v4.1 pep chromosome:Pop_tri_v4:11:8250723:8255255:1 gene:Potri.011G077100.v4.1 transcript:Potri.011G077100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077100.v4.1 MIAAGSVVMKKLTIKIASQRIEVIPGKKLLAAERSCSVSVSENPGSQTSKSEIVKQKSSVSGSRKRGPPKMIECKQQKRLKMDRAVTQQCSALLKSLMVHPAGWVFNKPVDPVALNIPDYFSIISIPMDLGTVKSKLGKNCYASIKEFADDIRLTFSNAMLYNPPTNNVHKMAEELNGIFETSWKALEDKWNHEGPKFGSGKIISGQTTQIIDSRPNCPRTPPLHSNALPKKSKPSEEKVIRCSSNASVVLEAKPTKPAGVCKSHVPSSYKGVKWQSSVIGTDGGGRHACVSKNVKPLLIPVASNCSSCGSNTCQCRLQSDSNHTNSDISSERSSGRDQRACSTDTSKPLFFQEKSMPVPQMSKSDPDSDGAVSALDDENICPSSQLTTPAADAASGEDWSSLFDVPLSPTKALRYATIKHRFADTILKAQNKAPLHDGDKADPMKMRQEKERLERRQREEKSWIEAQIRAAEAASRRREEMELKMQREREREAARIALQKMEKTVEIEQNLDIQRELERLCGCSISFDFRFGSGKMEVVKKGEIGACIGSPLERLGLFMKDDIEDEDGGEFLDGDGEEGEILC >Potri.011G077100.3.v4.1 pep chromosome:Pop_tri_v4:11:8250725:8255356:1 gene:Potri.011G077100.v4.1 transcript:Potri.011G077100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077100.v4.1 MIAAGSVVMKKLTIKIASQRIEVIPGKKLLAAERSCSVSVSENPGSQTSKSEIVKQKSSVSGSRKRGPPKMIECKQQKRLKMDRAVTQQCSALLKSLMVHPAGWVFNKPVDPVALNIPDYFSIISIPMDLGTVKSKLGKNCYASIKEFADDIRLTFSNAMLYNPPTNNVHKMAEELNGIFETSWKALEDKWNHEGPKFGSGKIISGQTTQIIDSRPNCPRTPPLHSNALPKKSKPSEEKVIRCSSNASVVLEAKPTKPAGVCKSHVPSSYKGVKWQSSVIGTDGGGRHACVSKNVKPLLIPVASNCSSCGSNTCQCRLQSDSNHTNSDISSERSSGRDQRACSTDTSKPLFFQEKSMPVPQMSKSDPDSDGAVSALDDENICPSSQLTTPAADAASGEDWSSLFDVPLSPTKALRYATIKHRFADTILKAQNKAPLHDGDKADPMKMRQEKERLERRQREEKSWIEAQIRAAEAASRRREEMELKMQREREREAARIALQKMEKTVEIEQNLDIQRELERLCGCSISFDFRFGSGKMEVVKKGEIGACIGSPLERLGLFMKDDIEDEDGGEFLDGDGEEGEILC >Potri.005G087600.5.v4.1 pep chromosome:Pop_tri_v4:5:6115268:6118516:-1 gene:Potri.005G087600.v4.1 transcript:Potri.005G087600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087600.v4.1 MSKTSIIIYITIALLFLLLVTQTPNKPSNHRNRRIKIRSKFDFEPRQHNHHHEPVPFDPLVADIERKREDKEWEKQYFEHSHPEFVHDSAAAGHESQPEWEDFIDAEDYVNDEEKFNVTNRLLVLFPKIDVEPDDGYVSEHELTEWNLKQSEKEVMHRTKREMDVHDKNHDGFVSFAEYEPPSWVRNSDKNSFGYDMGWWKEEHFNASDADGDGFLNITEFNDFQHPADSKNPKLLQWLCKEEVRERDSDKDGKVNFQEFFHGLFDLVRNYDEEGHNSSHLSDNLMEAPAKKLFDELDKDGDGFLSDIELLPIIGKLHPSERYYAKQQADYILSQADTDKDGRLSLTEMIENPYVFYSAIFSDEDDDYDIHDEFR >Potri.015G012100.2.v4.1 pep chromosome:Pop_tri_v4:15:760509:762509:-1 gene:Potri.015G012100.v4.1 transcript:Potri.015G012100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012100.v4.1 MEGLESFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNTVATGAYAWASSSGVPAGSDVDPGTSNADIAHDGLEEGSGDSEEDVIPDFQTDMARMVGGINMSNSSNTTSGGKRKE >Potri.014G091600.1.v4.1 pep chromosome:Pop_tri_v4:14:5952123:5953212:-1 gene:Potri.014G091600.v4.1 transcript:Potri.014G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091600.v4.1 MAVLTTTSMPFLVLVMLLLATGSNAGGITIYWGQNGNEGTLAETCATGLYEFVNIAFLSSFGSGRNPMMNLAGHCDPYSKGCTGLSSDIESCQSKGIKLMLSIGGGSGSYSLASSDDARQVATYIWNNFLGGQSSFRPLGPAVLDGVDFDIEGGTDLYWDDLARYLSAYSNQGKKVYLTAAPQCPFPDASVGNALKTGLFDYVWVQFYNNPPCQYTSGDITNLEDAWKLWVSDIPATVFFLGLPASPEAAGSGFIPVPDLTSNVLPAIKGSDKYGGVMLWSKYYDDQSGYSSSIKADV >Potri.011G125351.1.v4.1 pep chromosome:Pop_tri_v4:11:15914633:15920807:1 gene:Potri.011G125351.v4.1 transcript:Potri.011G125351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125351.v4.1 MGRFLVIFACCFLFFILTNSTTPAIINPSHSIRDGEILLSDGGSFELGFFSPANSTNRYLGLWFTKSPQTVFWVANRENPLPNSLGVLNITSEGILIIYSSTKDIVWSSNSSRTAENPVAELLESGNLVVREENDNNTANFLWQSFDYPCDTLLPGMKLGINFVTRLESSLSSWKSSEDPAGGEFSFLLDPNGYPQLVLKKGNKTQVRIGSWNGIRYAAEIIPKPDSISTDDFVLNEKEGYFVFGSKSLGFPRLKLTPWGIPQRSIWNDRTHKWDFVEIAQLDICAQYSICGPNSYCQFNNSPICACLDGFMPKSPRDWKLSNWSGGCARSTPCSDKDRFQNYSRMKLPDTSSSWYNKSTGLGECKGICLKNCSCTAYANLDVRGGGSGCLIWFGGLIDTIRSKGDGQDLYVRIAVSELENVEKKRPLDKKKQAVIIASSVISVLGLLILGVVSYTRKTYLRNNDNSEERKEDMELPIYDLNTIARATNNFSSMNKLGEGGFGPVFKGTLVDGQEIAVKRLSKSSGQGMDEFKNEVVLIAKLQHRNLVKLLGFCIHKDEKMLIYEYMPNKSLDSIIFDLTRRKLLNWRRRIHIIGGIARGLVYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARLFGGDQVEANTNRVVGTYGYMSPEYALDGHFSVKSDVFSFGVLVLEIVSGKKNRGFCHPDQNLNLLGHAWILWTEGTPLDLIDEGLSDSRNLAELLRCIHVALLCVQQRPEDRPTMSTVVVMLGSENPLPQPKQPGFFMGKNPSEKDSSSNNHEAHSVNEVSLTLLEAR >Potri.012G103900.1.v4.1 pep chromosome:Pop_tri_v4:12:12604599:12610685:-1 gene:Potri.012G103900.v4.1 transcript:Potri.012G103900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103900.v4.1 MGCVLGKYTARRRQSRGGSSDRGQSSDEQTVTVTEAVNAAVRVKREETATITSTSTTTQRQKATRDTGDFQVEDVQGGTERRRPRPEASLKCQQGWPSWLMAVAGDAIGDWTPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESVKFMAREILVLRRLDHPNVLKIEGLVTSRMSCSLYLVFEYMEHDLAGLVARQGVKFTEPQVKCYMTQLLSGLEHCHNHRVLHRDIKGSNLLINNDGVLKIADFGLATFYDPDRKVPMTSRVVTLWYRPPELLLGATSYGVSVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPNATLFKPQQPYKRCIAETFKDFPASSLPLIETLLSIDPDDRVTATAALNSEFFTTEPYACEPSSLPKYPPSKELDVKLRDEEARRQRGLSGKANAVDGARRVRIRDRPGRAIPAPEANAENPANLDRWRAMTQANAKSKSEKFPPPHQDAAVGHPMDASHKGGPVSFGPSDSSFSSSIFDSKSSSIKSAGAIGGPSRRIKTNKEDLQMAPSRKFIRPFNPSSVGLSMNQLFKGKSEVFGNRR >Potri.004G052700.1.v4.1 pep chromosome:Pop_tri_v4:4:4284232:4294892:1 gene:Potri.004G052700.v4.1 transcript:Potri.004G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052700.v4.1 MSQQFWKPGSEKPRILEDEEGGVVFFGPPLSSAASSSSRFGYASIGSQRQRLPVYKYRTAILYLVETHATTIIVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQTVASRVAEEMDVKLGEEVGYTIRFEDVTNPAATMIKFLTDGVLLREIMNDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEAKSMSDFFQTSKKHRGPEDHEFVPRKVPAILSVEGRGFNVHIHYVVEPVSDYVQATVSTVLSIHEQEPAGDILVFLTGQDDIDTAIRLLTEEAHASRKISSGLIVLPLYSSLPRADQDLVFSPTPRGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQQFYNPISDIENLVVAPISKASARQRAGRAGRVRPGKCYRLYTEEYFVNEMSSVGIPEMQRSKLVSCVIQLKALGIDNILGFDWPASPPPEAMIRALEVLYSLGVLDDDAKLTSPVGFQAAEIPLDPMISKMILSSNQLGCSDEIITIAAILSIQSIWVSGRGVQKELDEAKLRFAAAEGDHVTFLNVYKGFLQSGKSSQWCHKNYMNYQAMKKVIEIREQLRRTALRLGIVLKSCEGDMLAVRKAVTAGFFANASRLEAFSHNGMYKTVRGSQEVYIHPSSVLFRVNPKWVIYHSLVSTDRQYMRNVMTVDPSWLTEAAPHFFQHQRTPDSIVH >Potri.004G052700.3.v4.1 pep chromosome:Pop_tri_v4:4:4284232:4294873:1 gene:Potri.004G052700.v4.1 transcript:Potri.004G052700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052700.v4.1 MSQQFWKPGSEKPRILEDEEGGVVFFGPPLSSAASSSSRFGYASIGSQRQRLPVYKYRTAILYLVETHATTIIVGETGSGKTTQIPQYLKEAGWADGGRVIACTQPRRLAVQTVASRVAEEMDVKLGEEVGYTIRFEDVTNPAATMIKFLTDGVLLREIMNDPLLTKYSVIMVDEAHERSISTDILLGLLKKIQRRRPELRLIISSATIEAKSMSDFFQTSKKHRGPEDHEFVPRKVPAILSVEGRGFNVHIHYVVEPVSDYVQATVSTVLSIHEQEPAGDILVFLTGQDDIDTAIRLLTEEAHASRKISSGLIVLPLYSSLPRADQDLVFSPTPRGKRKVVISTNIAETSLTLEGVVYVVDSGFSKQQFYNPISDIENLVVAPISKASARQRAGRAGRVRPGKCYRLYTEEYFVNEMSSVGIPEMQRSKLVSCVIQLKALGIDNILGFDWPASPPPEAMIRALEVLYSLGVLDDDAKLTSPVGFQAAEIPLDPMISKMILSSNQLGCSDEIITIAAILSIQSIWVSGRGVQKELDEAKLRFAAAEGDHVTFLNVYKGFLQSGKSSQWCHKNYMNYQAMVCICSYYSL >Potri.007G002000.1.v4.1 pep chromosome:Pop_tri_v4:7:123701:126507:-1 gene:Potri.007G002000.v4.1 transcript:Potri.007G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002000.v4.1 MVMAAHVAMLARATTSSLVLLVLAFVLSIVVTSFGSPDSDALLKFKEQLVNNEAISNWNVSVNPCERDRSNWVGVLCFNGGIWGLQLEHMGLAGNIDLDALAPLPSFRTLSLMDNNFDGPLPDFKKLGKLKALYLSNNRFSGDIPDKAFEGMGSLKRLFLANNLLTGKIASSLAILPKLTELKLDGNQFEGQIPNFQQKGMKTANVANNELEGPIPEALSRLSPNSFAGNKGLCGPPLGPCIPSPPSTPKAHGKKFSILYIVIIILIVLLILAAIAFAFLLFSRKESKRRTQRRASENSNRIMSSYYRDVHREMPETNSHSRITDHGKLSFLKDDIEKFDLQDLLRASAEVLGSGTYGSSYKAVVGGQPVVVKRYRHMNNVEREEFHEHMRRIGRLKHPNLLPLAAYYYRRDEKLLVTVFAENGSLASHLHGNHSLEEDGLDWRIRLKIVKGVARGLAFLYNQLPIIAPHGHLKSSNVLLDESFEPLLTDYALRPVINPEHAHVFMMAYKSPEYAQHGRSSNKTDIWSFGILILEILTGKFPENYLTPGYNSDADLATWVNNMVKEKRTSEVFDKEMLGTKNSKGEMIKLLKIGLSCCEQEVERRSDIKEVVDKIEELKEGDDDEDFYGSEGNACSVRGNNQEGFSFTYDR >Potri.013G038901.2.v4.1 pep chromosome:Pop_tri_v4:13:2625595:2627332:-1 gene:Potri.013G038901.v4.1 transcript:Potri.013G038901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038901.v4.1 MGKASRWMINFLLGKKEEKTKKNDISFHAEKETTPTATPAYKRRWSFGKSAKKERVYRGRRSLDSIITTPYLAHRSSFALPTAEAIKKVVAQTHAADRIRKAVEDAAATRIQAVFRSYLARKALCALRGLVKLQALVRGHQVRKQTTATLRRMHTLMTIQARACCHRVQMAGESQQLAMKRKSSRHREAVDVKNRSGYMNHSLIDRVEREIPRFYSGELKISRQEHQYKEFSFTAQNSPTTGSPPSKTTWGRASFTYGRPDYVDTLSNQFSSLPSYMADTESSKAKVRSQSEPKQRPKESTRAKNKQTTWMDGLNGLQDAQSQCASSHSKRMVHVNQDPWFIKLYRPTKSKDSYYDANITSSTQDSNYSKVLVTYEPHLNIY >Potri.004G179822.1.v4.1 pep chromosome:Pop_tri_v4:4:19430049:19431082:1 gene:Potri.004G179822.v4.1 transcript:Potri.004G179822.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179822.v4.1 MSGSSFHHGSRESGTGWQQYSRGVSNSNQNFRNVKCQLCYGFGHSAKYCSQFTSQHLQATANLAFQNPQLSSAGWFPDTGANQHVTPDLASMTSSEPYLGSDQLHVGDGSNNQGGAPFRSE >Potri.010G068100.1.v4.1 pep chromosome:Pop_tri_v4:10:9643914:9648828:-1 gene:Potri.010G068100.v4.1 transcript:Potri.010G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068100.v4.1 MEHGLDDYTQDGTVDLKGKPVLRSKRGGLKACSFVVVYEVFERMAYYGISSNLVVYLTKKLHQGTVKSSNNVTNWVGTIWLTPILGAYVADAHLGRYWTFVVASVIYLSGMSLLTLSVSLPALRPPICKNANVENCKEASTLQLAVFFGALYTLAIGTGGTKPNISTIGADQFDDFHPKEKAYKLSFFNWWMFSIFFGTLFANTILVYIQDNVGWALGYGLPTLGLVISVAIFLAGTPFYRHRQPTGSPFTRMAKVIVAALRKWKVSVPNDPKELHELDLEEYAKAGKFRIDSTPTLRFLNKAAVKTGSTDPWMLCSVTQVEETKQMLRMIPILISTFVPSTMIAQINTLFVKQGTTLDRQIGNFEVPPASLAGFVTLSMLVCVVLYDRFFVRIVRRWTKNPRGITLLQRMGIGLVFHIIIMITASLIERHRLSVARQHGLVEKGGQVPLTIFILLPQFVLMGAADAFLEVAKLEFFYDQAPESMKSLGTSYSTTSLGVGNFISSFLLSTVSHITKKHGHRGWILNNLNASHLDYYYAFFAILNFLNFIFFLGVIRFYVYKAEVSDSMEVLAEELKAMRLREPNQVSEH >Potri.010G068100.2.v4.1 pep chromosome:Pop_tri_v4:10:9643919:9645752:-1 gene:Potri.010G068100.v4.1 transcript:Potri.010G068100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068100.v4.1 MSLLTLSVSLPALRPPICKNANVENCKEASTLQLAVFFGALYTLAIGTGGTKPNISTIGADQFDDFHPKEKAYKLSFFNWWMFSIFFGTLFANTILVYIQDNVGWALGYGLPTLGLVISVAIFLAGTPFYRHRQPTGSPFTRMAKVIVAALRKWKVSVPNDPKELHELDLEEYAKAGKFRIDSTPTLRFLNKAAVKTGSTDPWMLCSVTQVEETKQMLRMIPILISTFVPSTMIAQINTLFVKQGTTLDRQIGNFEVPPASLAGFVTLSMLVCVVLYDRFFVRIVRRWTKNPRGITLLQRMGIGLVFHIIIMITASLIERHRLSVARQHGLVEKGGQVPLTIFILLPQFVLMGAADAFLEVAKLEFFYDQAPESMKSLGTSYSTTSLGVGNFISSFLLSTVSHITKKHGHRGWILNNLNASHLDYYYAFFAILNFLNFIFFLGVIRFYVYKAEVSDSMEVLAEELKAMRLREPNQVSEH >Potri.012G107600.1.v4.1 pep chromosome:Pop_tri_v4:12:12911929:12913765:1 gene:Potri.012G107600.v4.1 transcript:Potri.012G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107600.v4.1 MGSSPLLSFLVLTLMFLFVTPSHSSEYDAAQEPAPPFTSRKISRNSEKVTMSLYYESLCPYCSSFIVGPLAQVLETDLMTILNLRLVPWGNAILDSNSTIECQHGEDECYLNIIHTCAINLWPDLKKHFNFIKCIEKQYKAPDRNGAEESWEVCSGKLRLSTQSIKKCYDSGHGKKLVLQNGKETDHLRPPHEYVPWVVVDDTPLLDDYVNFIHYVCKAYKGKSLPKTCSSHPNTSINKDTSLQSACHSSEAMSGDSSGKHQMKMEPLA >Potri.005G165400.11.v4.1 pep chromosome:Pop_tri_v4:5:16526224:16530665:1 gene:Potri.005G165400.v4.1 transcript:Potri.005G165400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G165400.v4.1 MSHFGRSGPPDIRDTFSLLVLNITFRTTADDLFPLFDKYGKVVDVFIPRDRRTGESRGFAFVRYKYAEEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIRSGRIVESSSKIKGRSRSRSPRPRYRDGYRDKDKDRDYRRRSRSRSKDRYDRDGYRGRDRDYHPRSVSHSPDHRKEYGRGHDEKRHRRSQSHGSPNSPRSPSPHRAYPRDGSPNGRNDNKHSPNGSPNSPRSPSPHRAHPRDGSPNGCNDNKRSPNGSPNSPRSPSPHRAHPRDGSPNGRNDNKRSPTSKSVSPCNEPVDS >Potri.015G063400.3.v4.1 pep chromosome:Pop_tri_v4:15:8900940:8907998:-1 gene:Potri.015G063400.v4.1 transcript:Potri.015G063400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063400.v4.1 MADLDSSPHTAAFWTQADALLRKNLTYQKRNISANCRLISFPFVLCILLVITQTLVDNQLNKKSSKCGCQCIDTNGDGTCETVCGLQFSDLDQSPNCPVPSPLKWPPLLQVPAPQYRAVRSASDPFTDLPDESCRQTGNCPVTVFITGTNQTLGQSLAASMFSPPSPLNSTNSVLGSDSETRQDSFLDPAFFEDSLYNIQSQCTSNSTANVSVSQSPSFNFVKETVCVPSLSLWRNSTSEINDQIFRGYKKGNAERQYNEILGAYDFLNSNANTFNVSVWYNATNKDTVDGQYYLVRLPRAVNLVSNAYLQLFQGRMELEFIKEMPKPATKQKLDIASLLGTLFFTWVVIQLFPVVLTALVYEKQEKLRIMMKMHGLGDGPYWMISYMYFLVISALYMFVFVAFGSLVGLKFFTLNDYSIQFVFYFLYINLQISLAFLASAFFSNVKTATVVGYICVFGTGLLGGFLFQNFVEDTSFPKGWVIFMELYPGFALYRGLYEFAEYSSSGTSMGTDGMKWGNLSASENGMRDVMIIMLLEWLALLFVAYYVDQVFSSGSGKNPKYLLQKFRKKRPSSFRKPSMGRQGSKVFVDMDKPDVIQEREKVEQLLLEPTTSHSIVCDNLRKVYPGRDGNAEKLAVRGLSLAVPRGECFGMLGPNGAGKTSFISMMIGLTTPTTGTAYVEGLDIRTEMDWVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLRGAALKRAVEESLRSVNLFNGGVADKKAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRSNLWNVVKRAKQDRAIILTTHSMEEAEYLCDRLGIFVDGSLQCVGNPKELRGRYGGSYVFTMTTSVNDEREVEQMVLRLSPSAERTYQMAGTQKFEMPKEEISIADVFQAVEVAKSRFPVYAWGLSDTTLEDVFIKVANSAQHFHTLT >Potri.005G216750.1.v4.1 pep chromosome:Pop_tri_v4:5:21997383:21999009:1 gene:Potri.005G216750.v4.1 transcript:Potri.005G216750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216750.v4.1 MSREDINISFLILKSCFYNSSLISLVLHRDLPGFFFFLYVFVPASHAKPLKFFSLLITRQSFLEFQIPPNWKTMHFWFYMENGQVASLLSRSRPDLPILAFTSSFSVHRRFNLQGGLIPFYLLSFFPCDVKASNLKGTFLAS >Potri.002G060300.1.v4.1 pep chromosome:Pop_tri_v4:2:4171469:4174660:-1 gene:Potri.002G060300.v4.1 transcript:Potri.002G060300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060300.v4.1 MEEASNGKIEERQEVIEEVEVVGSGAKLAREVVIDSTSELVKESLDESISSLDGSNGSNEEAEAKEKNAQVEEAAILEVVEKEVVDTAVGSTEFVVSVVEKLTETIEASVEKLENSDVVEEEVKETKEKVSDVVDVVSVSLNETDVIPPAVTDQTDGELPEVVLNATDGSFPAVTEVVSEKVEEKVLQSYEESNAAPPALTDAESKGSEEVKQAALEENIGESSINVDRETVENVESTTVVGSSDAFPETTGTTPIISLRQRNLRPSWKSCCGLFEALRPSDR >Potri.004G090732.2.v4.1 pep chromosome:Pop_tri_v4:4:7728746:7739269:1 gene:Potri.004G090732.v4.1 transcript:Potri.004G090732.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090732.v4.1 MVELLQIRLRDSALPVIGLESISPLMNKAVIEAFIKLHEKGLIYQGSYLVNWSPNLQTAVSDLEVEYSEEPGALYHIKYRVAGQSDFLTVATTRPETLFGDVAIAVNPKDDRYSKFIGKMAVVPMTYGRHVPIIADRIIEPLVSKQWFVTMEPLAEKALRAVEKGELTIMPERFEKIYNHWLSNIKDWCISRQLWWGHHIPVWYVLGKNCEEDYIVAKNADEALERAREKYGKNIEIYQDPDVLDTWFSSALWPFSTLGWPDVSAEDFRKFYPTTMLETGHDILFFWVARMIMMGIEFTGTVPFSYVYLHGLIRDSQGRKMSKTLGNVIDPLDTIKEFGTDALRFTISLGTAGQDLNLSTERLTANKAFTNKLWNAGKFVLQNLPSQTDVSAWEAIMDCKFDKEESVSRLPLPECWVVSKLHVLIDMVTTSYDKFFFGDVGRETYDFFWSDFADW >Potri.001G470000.2.v4.1 pep chromosome:Pop_tri_v4:1:49369059:49374317:1 gene:Potri.001G470000.v4.1 transcript:Potri.001G470000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470000.v4.1 MGDAAEELPLLGSFSSASADDEQEESLKRTGTIWTATAHVITGVIGAGVLSLAWSIAQLGWIAGPLCMIVFAVITLVSTSLLCDCYRFPDPEHGPTRNRSYMEAVKLYLGERSQIVCGIFAEESLYGCGIAYTITSASSIRAIQRSNCYHREGHKASCEYGDTIYMLLFGAVQIVMSQIPDFHNMGWLSVIAAIMSFTYSFIGFGLGVAKVIENGRIKGSITGVSAATTVNKLWLAFEALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKKASMISIFITTFFYLCCGCFGYAAFGNDTPGNLLTGFGFFEPYWLIDLANACVVLHLVGGYQIYSQPVFAFIESWFSRKFPSSGFVNNFHTFKLPLFPPLHINLFRLCFRTAYVASTTAIAMVFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRTFSFFCLLVTIVGLIGSIEGIISAKLG >Potri.001G470000.1.v4.1 pep chromosome:Pop_tri_v4:1:49370616:49374374:1 gene:Potri.001G470000.v4.1 transcript:Potri.001G470000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470000.v4.1 MAVQHPLEPANGCCDDDGHSLRTGTLWSCVAHIITAVISSGVLSLAWSTAQLGWIAGPVSFLCFAIVTYVSAFLLSNCYRSPDPVTGTRNYSYMHAVRVYLGKTQTWVCGLLQYLSLYGTGVACVITTSTSMRAIQRSNCYHREGHKASCEYGDTIYMLLFGAVQIVMSQIPDFHNMGWLSVIAAIMSFTYSFIGFGLGVAKVIENGRIKGSITGVSAATTVNKLWLAFEALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKKASMISIFITTFFYLCCGCFGYAAFGNDTPGNLLTGFGFFEPYWLIDLANACVVLHLVGGYQIYSQPVFAFIESWFSRKFPSSGFVNNFHTFKLPLFPPLHINLFRLCFRTAYVASTTAIAMVFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRTFSFFCLLVTIVGLIGSIEGIISAKLG >Potri.002G109300.3.v4.1 pep chromosome:Pop_tri_v4:2:8144796:8148899:1 gene:Potri.002G109300.v4.1 transcript:Potri.002G109300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109300.v4.1 MHSTPILLETEPDVPKQTPPFPGSAPDRKLPLPLTLGYLRLPMGSLEIEGKPQNPNMNENIEEDDGVSPIEEVRLTVPTRDDHTLPVWTFRMWFLGIASCIILSFLNTFFSYRTEPLTISMISVQVVTLPIGRFMAKTLPTAKFRVPGFGGREFSLNPGPFNVKEHVLISIFANVGSSTAYAVGIIDIIMAFYKRKISFLASWILVLTTQMLGYGWAGIMRKYVVDPAEMWWPLSLMQVSLFRALHEKDNSRMSRGKFFLIALICSFSWYVFPGYLFPAIGTISWVCWAFPKSVTAQQLGSGMRGLGIGAFGLDWSVIASFIGSPLITPFFAAVNVLVGYAAFMYVILPIAYWRFNLYNAKTFPIFSSHLFDTKGHVYNVSAIVDNNFELDVAAFQRQGNINLSVFFSLTYGIGFAAIMSTLTHVLVFNGKEIWQQFRASYKGKMDVHTRLMKRYEDIPGWWFHLTLVLSFLLSLVLCIVMKDQVQMPWWALIFASGLALIFTLPVSVITATTNQTPGLNIITEYIMGLIYPGRPIANVCFKTYGYISMTQAVSFLSDFKLGHYMKIPPRSMFVVQCIGTLINGTLNTAVAWWLLTGIENICQDELLPPNSPWTCPGDRVFYDASVIWGLIGPARIFGRLGNYTALNWFFLGGVLGPVLVWGLHRLFPKQKWIKLINLPVLLGSTAAMPPATTVNFNCWIVVAITFNYFIFKFHKVWWQRYNYVLSAALDAGLAFMGVLLYFSLTMKEISLNWWGAAGEHCPLATCPTAKGVIVDGCPVY >Potri.002G109300.1.v4.1 pep chromosome:Pop_tri_v4:2:8144796:8149042:1 gene:Potri.002G109300.v4.1 transcript:Potri.002G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109300.v4.1 MHSTPILLETEPDVPKQTPPFPGSAPDRKLPLPLTLGYLRLPMGSLEIEGKPQNPNMNENIEEDDGVSPIEEVRLTVPTRDDHTLPVWTFRMWFLGIASCIILSFLNTFFSYRTEPLTISMISVQVVTLPIGRFMAKTLPTAKFRVPGFGGREFSLNPGPFNVKEHVLISIFANVGSSTAYAVGIIDIIMAFYKRKISFLASWILVLTTQMLGYGWAGIMRKYVVDPAEMWWPLSLMQVSLFRALHEKDNSRMSRGKFFLIALICSFSWYVFPGYLFPAIGTISWVCWAFPKSVTAQQLGSGMRGLGIGAFGLDWSVIASFIGSPLITPFFAAVNVLVGYAAFMYVILPIAYWRFNLYNAKTFPIFSSHLFDTKGHVYNVSAIVDNNFELDVAAFQRQGNINLSVFFSLTYGIGFAAIMSTLTHVLVFNGKEIWQQFRASYKGKMDVHTRLMKRYEDIPGWWFHLTLVLSFLLSLVLCIVMKDQVQMPWWALIFASGLALIFTLPVSVITATTNQTPGLNIITEYIMGLIYPGRPIANVCFKTYGYISMTQAVSFLSDFKLGHYMKIPPRSMFVVQCIGTLINGTLNTAVAWWLLTGIENICQDELLPPNSPWTCPGDRVFYDASVIWGLIGPARIFGRLGNYTALNWFFLGGVLGPVLVWGLHRLFPKQKWIKLINLPVLLGSTAAMPPATTVNFNCWIVVAITFNYFIFKFHKVWWQRYNYVLSAALDAGLAFMGVLLYFSLTMKEISLNWWGAAGEHCPLATCPTAKGVIVDGCPVY >Potri.002G258832.1.v4.1 pep chromosome:Pop_tri_v4:2:24716134:24717660:1 gene:Potri.002G258832.v4.1 transcript:Potri.002G258832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258832.v4.1 MGVAVSIIVFLVSTPFYRNKLPPVSLFTRMDQVIVASVRKWKVPVPGDPKQLHELSLDEYTGSGKFGIAYTSSLGFLDKAAVESGSRSLWMLFPVTQVEETKQMIKVLPVWAATFIPSTILAQVHTLFIKQGTVLDRSMGPHFEIPPACLAAFVTISMLISLAIYDRYFVLMARHYMKRPRGTTLLQRMGIGFMLHVIVMITACLAERKRLSVAREHNIISKNEVVPLSIFILLPQFVLMGVADNFVEAAKIEFFYDQAREGMKSLGNSYIATFLGIGSFLSSFLLSTVSKITKKHA >Potri.006G149500.1.v4.1 pep chromosome:Pop_tri_v4:6:12960178:12973378:1 gene:Potri.006G149500.v4.1 transcript:Potri.006G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149500.v4.1 MASSSRLPPPKVPMELHAKNREKLLKSLRQHLTETSRPLHGFVFLQGGEEKTRYCTDHIELFRQESYFAYLFGVKEPGFYGAIDIATGKSILFAPRLPADYAVWLGEIKPSSCFQQQYMVSMVYYTDEIVGVLHELSNVLEKPLLFLLHGLNTDSNNFSKPAEFEGIEKFEKDLTTLHPILTECRVLKSDMELALIQFANDISSEAHVEVMRKTRVGMEEYQLESIFLHHTYMYGGCRHCSYTCICATGENSAVLHYGHAAAPNDRTLQDGDMALFDMGAEYQFYGSDITCSFPVNGKFTSDQSLIYNAVLDAHNAVISAMKPGVSWVDMHKLAEQVILESLKNGCIIVGNVDDMMIERLGAVFMPHGLGHFLGIDTHDPGGYLKGLEKLKGPGLKALRTIRELQEGMVITVEPGCYFIDALLAPAMESSNTAKFFDREAISRFKGFGGVRIESDVHVTAGGCQNMTKCPRQISEIEAVMAGSPWPLN >Potri.004G164500.1.v4.1 pep chromosome:Pop_tri_v4:4:18412335:18416152:-1 gene:Potri.004G164500.v4.1 transcript:Potri.004G164500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164500.v4.1 MTNSSSSSKKNGQDHPVEISGPIPKSQRIKMSKTEENTEKKILNKKLKDVEISVPIVYGNIAFWLGKKANEYQSHKWTVYVRGATNEDLGVVIKRAVFQLHSSFNNPTRVIEAPPFELSEAGWGEFEIAITLYFHSDVCDKPLNLYHHLKLYPEDESGSLSMKKPVVVESYDEIVFPEPSEGFLARIQSHPAVNLPRLPSGFTLPPPVPVEDTSKRKRGDTKDHPLSQWFMNFSEADELLQLAAARQQVQAHIAKLRRQISLIDGQNQQLKSPSDQ >Potri.001G041600.1.v4.1 pep chromosome:Pop_tri_v4:1:3024607:3030136:1 gene:Potri.001G041600.v4.1 transcript:Potri.001G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041600.v4.1 MEESQLERNPRNGFLALVLRPINWLKMLGEELHWSFVLGVMIVYGISQGFAVGMSKVSTKYYMKDEQKVQPSELQVYLGLLQLPWVIKPLWGLLTDTLPVLGYRRRPYFIFAGFLSVTSMLALSIQRNLSLAFSLLSLMGVSAGIAIADVAIDACVTQNSISHPSLAGDMQSLCGFSSSIGALVGYSLSGFLVHLVGPKGVFGLLSVPAGLVILVGMMLKESRVHKFTHRGVNEKFLDAGKAMWTALKFRDVWRPCLYMYLSLAVSLNIHEGMFYWYTDAKGGPSFSQEVVGSIFSVGAVGSLSGVLIYQNWLKDHRFRDLLFWSQLLYGASGLLDLILVLRLNLKIGLPDYFFVVIDEAISMMIGRIKWQPLLVLSSKLCPAGIEGTFFALLMSIDHVGLLSSTWVGGLLLKFLKVTRTQFDNLWVAILIRSLMRIIPVFLLFLIPRSDPNLSILPEEMLKTKKGDNRLESENTEMVSLADST >Potri.004G068400.1.v4.1 pep chromosome:Pop_tri_v4:4:5815100:5817496:-1 gene:Potri.004G068400.v4.1 transcript:Potri.004G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068400.v4.1 MSDPYERVTGGRLTFKGGSLATLSKGIEKKRKKKKKPVVDTVEDAAPVAENLESDAGEIIYTIDAAKKMKYEELFPVETKKFGYSEKKDLKSVEDALDDRVKKKADRYCK >Potri.018G056400.9.v4.1 pep chromosome:Pop_tri_v4:18:5571789:5584681:-1 gene:Potri.018G056400.v4.1 transcript:Potri.018G056400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056400.v4.1 MATSSKFDLSSDSPDRQIYTSCQRGSHLAAQMDRSSSFRESMGNPILSSLPNMTRSSAVVVQGDVVNFLHCLRFDPKVVASDHKSSRQGDFKRHVNAALGISADDSTGSLKGKVVSSPSPEQIKRVKTGLRESSVKGRERVKIFNEALSAFNKFFPSIPSKKRSRSEGYSNDRPNASVSIDRSVLAPGLCKMGIQNHSATSGFELEQQKPEEQTKNAVSNKRTRTSLVDVRGNSLVRSSVTVDKDREVLRFANNGAVQGDQTLSIGVDGWEKKKMKKKRSGIKPDLSSSVLSTKPTDGYREPKQGAPQIPVTDARSRLNIDSHVFRESVRDDFNSVSPISGAKMNLSIRAPRSGSAITSKFSPVFHRATAPNDWELSHCTNKPPAVGANNCKRTVSAQSSSPPVAHWASHRPQKISRTARRKKLVPIVNNDESPTLDSVSDVSGNEIGAGLARRLKLKGDTLLSAMLSESEESGATEVKSKDKSRKSDEMDEKAGQNVQKISPLGLPSRKNKPVSGEDLGDGIRRQGRIGRGFTSTRYLMPTAVEKLGNVGTAKQLRSARLGLDKNESKTGRPPTRKLSDRKAYTRQKHTTVNATEDFLVGSDDGHEELLAAASAVINPDQMFSSSFWRQMEPFFGFISNVDIAHLRQQGSIVYAALSATQVHSDPNNCSTVPNGYGLFDHEREVGHAAETRTSGLLPDQLVHEEREIPLSQILLAAIISEEDCTHGNGDLEFDAHGVGFELDEELGSNCVIHLDNFHFSGHAAFNGYKVTGKPDHVETDIDISGIPNMSIDSNFRHTVNGVLSDHALVPEMVCSKFQYDNMKIEEKLSLEVHSLGIFPEPLPDMQMDDEGICGYISKLEENHHGQVSKKKGLLDKLLKHASEIKELQEKEFEQRAHDKLVAMAYEKHMTCWGPNAGGGKGSSNKMAKQAALAFVKRTLEQCHKFEVTGNSCFSEPLFRDMFLSGTAHLSGAQSVDTPTNDESAKLYGNTSTRSLEARVSASMGSQPSPQALPLGNEDSYISNPSDLLPPFNRLSEQITGKEDTWSNRVKKRELLLDDVGCTVGSPSSAPSVIGGSLLSITKGKRSERDREGKGHIREILSRNGTNKIGRPTFSNAKGERKTKTKPKQKTTQLSVSVNGLAGKISEQPKTTLPSEAKSSENNTNSKAKENDGFVLDALDDAIDLSNLQLPGIDDNQGQDLGSWLNIDDDGLQEHGDIDFMGLEIPMDDLADLNMMV >Potri.018G056400.3.v4.1 pep chromosome:Pop_tri_v4:18:5571660:5584675:-1 gene:Potri.018G056400.v4.1 transcript:Potri.018G056400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056400.v4.1 MATSSKFDLSSDSPDRQIYTSCQRGSHLAAQMDRSSSFRESMGNPILSSLPNMTRSSAVVVQGDVVNFLHCLRFDPKVVASDHKSSRQGDFKRHVNAALGISADDSTGSLKGKVVSSPSPEQIKRVKTGLRESSVKGRERVKIFNEALSAFNKFFPSIPSKKRSRSEGYSNDRPNASVSIDRSVLAPGLCKMGIQNHSATSGFELEQQKPEEQTKNAVSNKRTRTSLVDVRGNSLVRSSVTVDKDREVLRFANNGAVQGDQTLSIGVDGWEKKKMKKKRSGIKPDLSSSVLSTKPTDGYREPKQGAPQIPVTDARSRLNIDSHVFRPGVSNSAVGVGKTDGISQSTGLSVRSITPRTDLDNGSLQIERREHPLGSDKERVNVRAVNKESVRDDFNSVSPISGAKMNLSIRAPRSGSAITSKFSPVFHRATAPNDWELSHCTNKPPAVGANNCKRTVSAQSSSPPVAHWASHRPQKISRTARRKKLVPIVNNDESPTLDSVSDVSGNEIGAGLARRLKLKGDTLLSAMLSESEESGATEVKSKDKSRKSDEMDEKAGQNVQKISPLGLPSRKNKPVSGEDLGDGIRRQGRIGRGFTSTRYLMPTAVEKLGNVGTAKQLRSARLGLDKNESKTGRPPTRKLSDRKAYTRQKHTTVNATEDFLVGSDDGHEELLAAASAVINPDQMFSSSFWRQMEPFFGFISNVDIAHLRQQGSIVYAALSATQVHSDPNNCSTVPNGYGLFDHEREVGHAAETRTSGLLPDQLVHEEREIPLSQILLAAIISEEDCTHGNGDLEFDAHGVGFELDEELGSNCVIHLDNFHFSGHAAFNGYKVTGKPDHVETDIDISGIPNMSIDSNFRHTVNGVLSDHALVPEMVCSKFQYDNMKIEEKLSLEVHSLGIFPEPLPDMQMDDEGICGYISKLEENHHGQVSKKKGLLDKLLKHASEIKELQEKEFEQRAHDKLVAMAYEKHMTCWGPNAGGGKGSSNKMAKQAALAFVKRTLEQCHKFEVTGNSCFSEPLFRDMFLSGTAHLSGAQSVDTPTNDESAKLYGNTSTRSLEARVSASMGSQPSPQALPLGNEDSYISNPSDLLPPFNRLSEQITGKEDTWSNRVKKRELLLDDVGCTVGSPSSAPSVIGGSLLSITKGKRSERDREGKGHIREILSRNGTNKIGRPTFSNAKGERKTKTKPKQKTTQLSVSVNGLAGKISEQPKTTLPSEAKSSENNTNSKAKENDGFVLDALDDAIDLSNLQLPGIDDNQGQDLGSWLNIDDDGLQEHGDIDFMGLEIPMDDLADLNMMV >Potri.018G056400.11.v4.1 pep chromosome:Pop_tri_v4:18:5571655:5584671:-1 gene:Potri.018G056400.v4.1 transcript:Potri.018G056400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056400.v4.1 MATSSKFDLSSDSPDRQIYTSCQRGSHLAAQMDRSSSFRESMGNPILSSLPNMTRSSAVVVQGDVVNFLHCLRFDPKVVASDHKSSRQGDFKRHVNAALGISADDSTGSLKGKVVSSPSPEQIKRVKTGLRESSVKGRERVKIFNEALSAFNKFFPSIPSKKRSRSEGYSNDRPNASVSIDRSVLAPGLCKMGIQNHSATSGFELEQQKPEEQTKNAVSNKRTRTSLVDVRGNSLVRSSVTVDKDREVLRFANNGAVQGDQTLSIGVDGWEKKKMKKKRSGIKPDLSSSVLSTKPTDGYREPKQGAPQIPVTDARSRLNIDSHVFRESVRDDFNSVSPISGAKMNLSIRAPRSGSAITSKFSPVFHRATAPNDWELSHCTNKPPAVGANNCKRTVSAQSSSPPVAHWASHRPQKISRTARRKKLVPIVNNDESPTLDSVSDVSGNEIGAGLARRLKLKGDTLLSAMLSESEESGATEVKSKDKSRKSDEMDEKAGQNVQKISPLGLPSRKNKPVSGEDLGDGIRRQGRIGRGFTSTRYLMPTAVEKLGNVGTAKQLRSARLGLDKNESKTGRPPTRKLSDRKAYTRQKHTTVNATEDFLVGSDDGHEELLAAASAVINPDQMFSSSFWRQMEPFFGFISNVDIAHLRQQGSIVYAALSATQVHSDPNNCSTVPNGYGLFDHEREVGHAAETRTSGLLPDQLVHEEREIPLSQILLAAIISEEDCTHGNGDLEFDAHGVGFELDEELGSNCVIHLDNFHFSGHAAFNGYKVTGKPDHVETDIDISGIPNMSIDSNFRHTVNGVLSDHALVPEMVCSKFQYDNMKIEEKLSLEVHSLGIFPEPLMDDEGICGYISKLEENHHGQVSKKKGLLDKLLKHASEIKELQEKEFEQRAHDKLVAMAYEKHMTCWGPNAGGGKGSSNKMAKQAALAFVKRTLEQCHKFEVTGNSCFSEPLFRDMFLSGTAHLSGAQSVDTPTNDESAKLYGNTSTRSLEARVSASMGSQPSPQALPLGNEDSYISNPSDLLPPFNRLSEQITGKEDTWSNRVKKRELLLDDVGCTVGSPSSAPSVIGGSLLSITKGKRSERDREGKGHIREILSRNGTNKIGRPTFSNAKGERKTKTKPKQKTTQLSVSVNGLAGKISEQPKTTLPSEAKSSENNTNSKAKENDGFVLDALDDAIDLSNLQLPGIDDNQGQDLGSWLNIDDDGLQEHGDIDFMGLEIPMDDLADLNMMV >Potri.018G056400.1.v4.1 pep chromosome:Pop_tri_v4:18:5571661:5584682:-1 gene:Potri.018G056400.v4.1 transcript:Potri.018G056400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056400.v4.1 MATSSKFDLSSDSPDRQIYTSCQRGSHLAAQMDRSSSFRESMGNPILSSLPNMTRSSAVVVQGDVVNFLHCLRFDPKVVASDHKSSRQGDFKRHVNAALGISADDSTGSLKGKVVSSPSPEQIKRVKTGLRESSVKGRERVKIFNEALSAFNKFFPSIPSKKRSRSEGYSNDRPNASVSIDRSVLAPGLCKMGIQNHSATSGFELEQQKPEEQTKNAVSNKRTRTSLVDVRGNSLVRSSVTVDKDREVLRFANNGAVQGDQTLSIGVDGWEKKKMKKKRSGIKPDLSSSVLSTKPTDGYREPKQGAPQIPVTDARSRLNIDSHVFRPGVSNSAVGVGKTDGISQSTGLSVRSITPRTDLDNGSLQIERREHPLGSDKERVNVRAVNKESVRDDFNSVSPISGAKMNLSIRAPRSGSAITSKFSPVFHRATAPNDWELSHCTNKPPAVGANNCKRTVSAQSSSPPVAHWASHRPQKISRTARRKKLVPIVNNDESPTLDSVSDVSGNEIGAGLARRLKLKGDTLLSAMLSESEESGATEVKSKDKSRKSDEMDEKAGQNVQKISPLGLPSRKNKPVSGEDLGDGIRRQGRIGRGFTSTRYLMPTAVEKLGNVGTAKQLRSARLGLDKNESKTGRPPTRKLSDRKAYTRQKHTTVNATEDFLVGSDDGHEELLAAASAVINPDQMFSSSFWRQMEPFFGFISNVDIAHLRQQGSIVYAALSATQVHSDPNNCSTVPNGYGLFDHEREVGHAAETRTSGLLPDQLVHEEREIPLSQILLAAIISEEDCTHGNGDLEFDAHGVGFELDEELGSNCVIHLDNFHFSGHAAFNGYKVTGKPDHVETDIDISGIPNMSIDSNFRHTVNGVLSDHALVPEMVCSKFQYDNMKIEEKLSLEVHSLGIFPEPLMDDEGICGYISKLEENHHGQVSKKKGLLDKLLKHASEIKELQEKEFEQRAHDKLVAMAYEKHMTCWGPNAGGGKGSSNKMAKQAALAFVKRTLEQCHKFEVTGNSCFSEPLFRDMFLSGTAHLSGAQSVDTPTNDESAKLYGNTSTRSLEARVSASMGSQPSPQALPLGNEDSYISNPSDLLPPFNRLSEQITGKEDTWSNRVKKRELLLDDVGCTVGSPSSAPSVIGGSLLSITKGKRSERDREGKGHIREILSRNGTNKIGRPTFSNAKGERKTKTKPKQKTTQLSVSVNGLAGKISEQPKTTLPSEAKSSENNTNSKAKENDGFVLDALDDAIDLSNLQLPGIDDNQGQDLGSWLNIDDDGLQEHGDIDFMGLEIPMDDLADLNMMV >Potri.001G189400.1.v4.1 pep chromosome:Pop_tri_v4:1:17070092:17072680:-1 gene:Potri.001G189400.v4.1 transcript:Potri.001G189400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189400.v4.1 MAISPESMPENPIDFRAPPPSPIASGRRSSVTNDEVLTEFLEHSLRVPDLILPDKIFPRQKIVETPPRIDCQSLISGESDSVLRMLDSIARIGCFQLVNFGIPSEFIRLVSVTAAGIFQLPPEKKEAVSRSLERPYGFEEVHGDHQEAESEVSEEFVWCKDESLKLDMEGIWPTGYSNFSKKMETLSSDIEKVARKILQILHENCPRKSMYGNDMMQRQDLIGSVCCLYKHGRNFLADQWASSLGYDVMRMLIRGTDYSHALCLHVCDGSSEFHVYSKKGWVSFCPDKDALIVTVGDRTQVWSGGQYKHVFGWPIFKGEDKDSISMAFLYSPPSSNSSSSKTSKGKTVSLGQQAILAIILTLVCHFLVYFYKEV >Potri.001G219900.1.v4.1 pep chromosome:Pop_tri_v4:1:22949742:22951204:-1 gene:Potri.001G219900.v4.1 transcript:Potri.001G219900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219900.v4.1 MESLRKMLVVLMTMVVTVRMVNASLVYVGGGKETWRSNVNFSEWSARQNIYVGDWLYFGFDKKLYNVLEVNKTGYEGCHDVGFIKNITRGGRDVFQVNEAKTYYFINGGGSCFGGMKVAVNVENPQPAPSPSQLTGVKSIKNGSPSRFGGHVVTLMAVLANVLLAWAIL >Potri.018G028300.1.v4.1 pep chromosome:Pop_tri_v4:18:2106703:2107767:1 gene:Potri.018G028300.v4.1 transcript:Potri.018G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028300.v4.1 MSIVAEAPGYLQVFSDGSVKRFASETVPDSAESYSDGFKFKDVLIDSSKPITARLFVPDTQGSVSQLPVVVYFHGGGFCICSTTWLGFHHFLGDFSVASQSIVLSVDYRLAPENRLPIAYDDCFRSLEWLSNNVSSEPWLKQSDLSRVFLSGDSAGGNITHQVAIRAVRSKTYQVEIKGLMLIHPYFGSEKRTKKEMSEGAPGDVAMNDMFWGLSIPEGSNRDYFGCNFEMQDVSAAEWSAFPAVAVYVAGLDFLNERGVMYAQFLAKKGVKEVTLVEAEGQNHVFHVFYPKSEATLVLQQQMSEFMKIH >Potri.009G108200.1.v4.1 pep chromosome:Pop_tri_v4:9:9365254:9367054:-1 gene:Potri.009G108200.v4.1 transcript:Potri.009G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108200.v4.1 MIKSLLLRSFPSPLLIEARDVNRSSKRVVSSTITCSSSRSDDALNIPKLDPFSRTKIERAVKSLPLIQKSENELADYCSTLEGDDSYSCWRAYFELKDLERESPKEDVVRLIIQSGGVKSLIGCLHGISSMNKGKKKAFSMAAPPNVMKERERLCPIPDGLPKSLQELEEEERARMPDSPFTRMLRTKGRFPAWYSPAPDHETD >Potri.009G134500.1.v4.1 pep chromosome:Pop_tri_v4:9:10896420:10900330:1 gene:Potri.009G134500.v4.1 transcript:Potri.009G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134500.v4.1 MSSSHQEDLDLLLSLQDRVLETPPGSPSNHHSLSPGYLSDDGSPRRKGQADLSVFRDAVQDCLDYEPKPVVKAGKKLNVLKNSTEANVEKYSGLRIRNQSVTAVELSERFSEIRFVRLPTIKNLLMGDTLMGCWATVGVLIEKGSPKTSSVGKSYCIWKFGSLDESSISVFLFGDAYQQNCKEQAGTVFALFNCTVRKDNVGTGFSLSVFSANQILKMGTSIDYGVCKGKRKDGLPCTLVINKRQGMYCRYHNSKTSERFSTVRTELKGGNLKTAFRDPLKSRGIYLVDPLADKTLLKKPTQPVKLLSVEALKRALSNADKVTTNSHSQGIRFLNEITGKLSSKNSDKVSATQNRQVNSSEKRKSSTKKLDPSTVTKNQPDLKRKKTVQGQASVDKVKQGTTKMIELDIFDSDEEP >Potri.007G080900.1.v4.1 pep chromosome:Pop_tri_v4:7:10512379:10519478:-1 gene:Potri.007G080900.v4.1 transcript:Potri.007G080900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080900.v4.1 MSTVMQKIKDIEDEMARTQKNKATAHHLGLLKAKLAKLRRELLEPSAKGGGGAGQGFDVTKSGDARVGLVGFPSVGKSTLLNKLTGTFSEVASYEFTTLTCIPGVIVYKGAKIQLLDLPGIIEGAKDGKGRGRQVISTARTCNCILIVLDAIKPITHKRLIEKELEGFGIRLNKEPPNLTFRKKDKGGINFTSTVANTHLDLDTVKAICSEYRMHNADITLRYDATADDLIDVIEGSRIYMPCIYVVNKIDQITLEELEILDKLPHYCPVSAHLEWNLDGLLDKVWEYLNLTRIYTKPKGMNPDYEDPVILSSKKRTVEDFCERIHKDMLKQFKYALVWGSSAKHKPQRVGKEHELEDEDVVQIIKKV >Potri.009G038400.1.v4.1 pep chromosome:Pop_tri_v4:9:4705281:4706735:-1 gene:Potri.009G038400.v4.1 transcript:Potri.009G038400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038400.v4.1 MATIFSFRCLCFIIALLSAFSFVSFLFWFQCSSSYRYSNQMISQKENQPINLLSFPSAWNHLSFPSKLPSKYLKIALFVKKWPHRSLAGGLERHALTLHLALAKRGHELHIFTTSPSNSSFPRYPMSNLYFHLSKPTAAGYLDQAIVWKQFQTQNSTGKAFDIVHTESVGLLHTRSRNLTNLAVTWHGIAYETIHTDIIQELLRNPDEQQAYALTERITKVVEEVRFFPHYAHHVATSDHAGDILRRIYMIPEERVHVILNGVDEEIFKPDPSKGEAFKQKFGVAKSRSLVLGMAGRLVKDKGHPLMFEALKQMLVENGTFRENTIVLIAGDGPWGDRYRDLGTNTLVLGPLEQAQLASFYNAIDIFVNPTLRAQGLDHTLLEAMLSGKSVMSTRVASITGSVIVSTEIGYTFSPMVVSLKNALYRVWEDGRRVLEMKGQASRQRGLQLFTATKMAAAYERLFLCISNDNSKREDYCQYQSPFN >Potri.009G141000.1.v4.1 pep chromosome:Pop_tri_v4:9:11270946:11271823:-1 gene:Potri.009G141000.v4.1 transcript:Potri.009G141000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141000.v4.1 MINLMRLVKFTKKWKKLAAPERKRISIPRSGEDENTDNNDRLPVANKGHFVVYTVDQRRFEFPISYLNNNIFRELLAMSEEEFGLPRTGPITLLCDAMFMKYAASLMQRNVDKDMEKVLHIDISSSGRCSLSFHSLLQEQSSQQLLVC >Potri.002G014900.1.v4.1 pep chromosome:Pop_tri_v4:2:914831:917586:1 gene:Potri.002G014900.v4.1 transcript:Potri.002G014900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014900.v4.1 MPSYASLALLVRSMRSLFRPSQQGITSRVWWPGFAKGHHRTGVRDRVSNFGSIADDNNENNTKTQSFNVQPRSVPVHVAHELLQVGHQYLDVRTHDEFRAGHPSGAINIPYMLNNGAEMFKNSKFLEEVSSQFGKDDDIVVGCKSGRRSLMAASDLQSAGFNHVTDVAGGYTAWTENGLPIAK >Potri.006G224500.1.v4.1 pep chromosome:Pop_tri_v4:6:22923083:22929088:1 gene:Potri.006G224500.v4.1 transcript:Potri.006G224500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224500.v4.1 MDQFKGQARLPKFAIPKRYDIRLKPELTACTFAGSVAIDLDIVENTNFIVLNAADLSINSASVSYSSSSKVLQPVKVELVEADEILVLEFAETLPIGIGVLDIVFDGVLNDKMKGFYRSTYEINGEKKNMAVTQFEPVDARRCFPCWDEPVCKATFKITLEVPAELVALSNMPIIEEKVNGDLKTVSYQETPIMSTYLVAIVVGLFDYVEDHTSDGVKVRVYCQVGKTKQGNFALHVAVKTLELFKGYFAVPYALPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPDWKIWTQFLDECTEGLKLDGLAESHPIEVDINHASEIDEIFDAISYRKGASVIRMLQSYLGAKNFQRSLASYIKKHAYSNAKTEDLWAALEEGSGEPVNKLMNSWTRQQGYPVVSVKFKDQKLEFEQSQFLSSGAPGDGQWIVPITLCCCSYDAHKSFLLQTKSETQDVKELLGSCQAGSGSSWIKVNVEQTGFYRVKYDEELRARLGCAIEKKNLTETDRFGILDDSFALCMARQQSLTSLLTLMGAYREELEYIVLSNLINISSKVGRIAADATPDLKDDINQFFINLLQFSAEKLGWDPKQGESHLDAMLRGEVLTALAYFRHDLTLDEASRRFHAFLEDRNTPLLPPDIRKAAYVAVMQRVSTSNRSDYDSLLQVYRETDLSQEKTRILGSIASCPDPNIILEALNFLLTSEVRSQDAVFGLAVSKEGRETAWAWLKDKWDHITNTWGSGFLLTRFVSMIVSPFASFEKAKEVEEFFASRTKPAISRTLKQSIERVHINANWVQSIQKETKLGEAVKELAFRKY >Potri.006G224500.7.v4.1 pep chromosome:Pop_tri_v4:6:22923079:22929048:1 gene:Potri.006G224500.v4.1 transcript:Potri.006G224500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224500.v4.1 MDQFKGQARLPKFAIPKRYDIRLKPELTACTFAGSVAIDLDIVENTNFIVLNAADLSINSASVSYSSSSKQVLQPVKVELVEADEILVLEFAETLPIGIGVLDIVFDGVLNDKMKGFYRSTYEINGEKKNMAVTQFEPVDARRCFPCWDEPVCKATFKITLEVPAELVALSNMPIIEEKVNGDLKTVSYQETPIMSTYLVAIVVGLFDYVEDHTSDGVKVRVYCQVGKTKQGNFALHVAVKTLELFKGYFAVPYALPKLDMIAIPDFAAGAMENYGLVTYRETALLYDDQHSAAANKQRVATVVAHELAHQWFGNLVTMEWWTHLWLNEGFATWVSYLATDSLFPDWKIWTQFLDECTEGLKLDGLAESHPIEVDINHASEIDEIFDAISYRKGASVIRMLQSYLGAKNFQRSLASYIKKHAYSNAKTEDLWAALEEGSGEPVNKLMNSWTRQQGYPVVSVKFKDQKLEFEQSQFLSSGAPGDGQWIVPITLCCCSYDAHKSFLLQTKSETQDVKELLGSCQAGSGSSWIKVNVEQTGFYRVKYDEELRARLGCAIEKKNLTETDRFGILDDSFALCMARQQSLTSLLTLMGAYREELEYIVLSNLINISSKVGRIAADATPDLKDDINQFFINLLQFSAEKLGWDPKQGESHLDAMLRGEVLTALAYFRHDLTLDEASRRFHAFLEDRNTPLLPPDIRKAAYVAVMQRVSTSNRSDYDSLLQVYRETDLSQEKTRILGSIASCPDPNIILEALNFLLTSEVRSQDAVFGLAVSKEGRETAWAWLKDKWDHITNTWGSGFLLTRFVSMIVSPFASFEKAKEVEEFFASRTKPAISRTLKQSIERVHINANWVQSIQKETKLGEAVKELAFRKY >Potri.006G194900.9.v4.1 pep chromosome:Pop_tri_v4:6:20236969:20248454:-1 gene:Potri.006G194900.v4.1 transcript:Potri.006G194900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194900.v4.1 MATKQGSKSRISGLISNSKKPAANSQSSSTASSTKQFLENSMDGQSSPASSSARSKPQYFYSESVNLDTERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETVVRNEHNPSTAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSLYGENSEGEAVNLSQLSLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVTIPRLKDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRISHRPGPRRRHSFGEEELAYLPYKRQDLILDDENIDLYVSLEGNTESADETLKEEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNSTPSTPQAENSNYYAESRLSHPSLAESSPSADLLSEVRQDREVPEDNFLEQETPLNGIKTSDQIDLLREQQKILSGEVALHSSILKRLSEEASRNPLKEHIQLEMKKLSDEIKVKNEQIALLEKQIADSIMASHNSLANLEASQTIAELTAQLNEKSFELEVKAADNCIIQDQLSQKICECEGLQETIVSLKQQLSDALESKNISPLASYSQRISELKSFHAQHHMNKETAASKDRNEDLLLQAQATEMEELKQKVDALTESKEQLETRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELIALKNSPTQRRSGSTVRNGRRDNHMKHQDQVGAASELKRELAVSREREVQYEAALMEKDQRETDLQRKVKESKQREAYLENELANMWVLVAKLKKSQGAEMDVSEATGHDGLGI >Potri.006G194900.8.v4.1 pep chromosome:Pop_tri_v4:6:20236972:20248472:-1 gene:Potri.006G194900.v4.1 transcript:Potri.006G194900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194900.v4.1 MATKQGSKSRISGLISNSKKPAANSQSSSTASSTKQFLENSMDGQSSPASSSARSKPQYFYSESVNLDTERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETVVRNEHNPSTAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSLYGENSEGEAVNLSQLSLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVTIPRLKDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRISHRPGPRRRHSFGEEELAYLPYKRQDLILDDENIDLYVSLEGNTESADETLKEEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNSTPSTPQAENSNYYAESRLSHPSLAESSPSADLLSEVRQDREVPEDNFLEQETPLNGIKTSDQIDLLREQQKILSGEVALHSSILKRLSEEASRNPLKEHIQLEMKKLSDEIKVKNEQIALLEKQIADSIMASHNSLANLEASQTIAELTAQLNEKSFELEVKAADNCIIQDQLSQKICECEGLQETIVSLKQQLSDALESKNISPLASYSQRISELKSFHAQHHMNKETAASKDRNEDLLLQAQATEMEELKQKVDALTESKEQLETRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELIALKNSPTQRRSGSTVRNGRRDNHMKHQDQVGAASELKRELAVSREREVQYEAALMEKDQRETDLQRKVKESKQREAYLENELANMWVLVAKLKKSQGAEMDVSEATGHDGLGI >Potri.006G194900.6.v4.1 pep chromosome:Pop_tri_v4:6:20236972:20248522:-1 gene:Potri.006G194900.v4.1 transcript:Potri.006G194900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194900.v4.1 MATKQGSKSRISGLISNSKKPAANSQSSSTASSTKQFLENSMDGQSSPASSSARSKPQYFYSESVNLDTERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETVVRNEHNPSTAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSLYGENSEGEAVNLSQLSLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVTIPRLKDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRISHRPGPRRRHSFGEEELAYLPYKRQDLILDDENIDLYVSLEGNTESADETLKEEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNSTPSTPQAENSNYYAESRLSHPSLAESSPSADLLSEVRQDREVPEDNFLEQETPLNGIKTSDQIDLLREQQKILSGEVALHSSILKRLSEEASRNPLKEHIQLEMKKLSDEIKVKNEQIALLEKQIADSIMASHNSLANLEASQTIAELTAQLNEKSFELEVKAADNCIIQDQLSQKICECEGLQETIVSLKQQLSDALESKNISPLASYSQRISELKSFHAQHHMNKETAASKDRNEDLLLQAQATEMEELKQKVDALTESKEQLETRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELIALKNSPTQRRSGSTVRNGRRDNHMKHQDQVGAASELKRELAVSREREVQYEAALMEKDQRETDLQRKVKESKQREAYLENELANMWVLVAKLKKSQGAEMDVSEATGHDGLGI >Potri.006G194900.7.v4.1 pep chromosome:Pop_tri_v4:6:20237004:20248576:-1 gene:Potri.006G194900.v4.1 transcript:Potri.006G194900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194900.v4.1 MATKQGSKSRISGLISNSKKPAANSQSSSTASSTKQFLENSMDGQSSPASSSARSKPQYFYSESVNLDTERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETVVRNEHNPSTAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSLYGENSEGEAVNLSQLSLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVTIPRLKDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRISHRPGPRRRHSFGEEELAYLPYKRQDLILDDENIDLYVSLEGNTESADETLKEEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNSTPSTPQAENSNYYAESRLSHPSLAESSPSADLLSEVRQDREVPEDNFLEQETPLNGIKTSDQIDLLREQQKILSGEVALHSSILKRLSEEASRNPLKEHIQLEMKKLSDEIKVKNEQIALLEKQIADSIMASHNSLANLEASQTIAELTAQLNEKSFELEVKAADNCIIQDQLSQKICECEGLQETIVSLKQQLSDALESKNISPLASYSQRISELKSFHAQHHMNKETAASKDRNEDLLLQAQATEMEELKQKVDALTESKEQLETRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELIALKNSPTQRRSGSTVRNGRRDNHMKHQDQVGAASELKRELAVSREREVQYEAALMEKDQRETDLQRKVKESKQREAYLENELANMWVLVAKLKKSQGAEMDVSEATGHDGLGI >Potri.006G194900.11.v4.1 pep chromosome:Pop_tri_v4:6:20236972:20248451:-1 gene:Potri.006G194900.v4.1 transcript:Potri.006G194900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194900.v4.1 MATKQGSKSRISGLISNSKKPAANSQSSSTASSTKQFLENSMDGQSSPASSSARSKPQYFYSESVNLDTERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETVVRNEHNPSTAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSLYGENSEGEAVNLSQLSLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVTIPRLKDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRISHRPGPRRRHSFGEEELAYLPYKRQDLILDDENIDLYVSLEGNTESADETLKEEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNSTPSTPQAENSNYYAESRLSHPSLAESSPSADLLSENGIKTSDQIDLLREQQKILSGEVALHSSILKRLSEEASRNPLKEHIQLEMKKLSDEIKVKNEQIALLEKQIADSIMASHNSLANLEASQTIAELTAQLNEKSFELEVKAADNCIIQDQLSQKICECEGLQETIVSLKQQLSDALESKNISPLASYSQRISELKSFHAQHHMNKETAASKDRNEDLLLQAQATEMEELKQKVDALTESKEQLETRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELIALKNSPTQRRSGSTVRNGRRDNHMKHQDQVGAASELKRELAVSREREVQYEAALMEKDQRETDLQRKVKESKQREAYLENELANMWVLVAKLKKSQGAEMDVSEATGHDGLGI >Potri.006G194900.10.v4.1 pep chromosome:Pop_tri_v4:6:20236535:20248228:-1 gene:Potri.006G194900.v4.1 transcript:Potri.006G194900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194900.v4.1 MATKQGSKSRISGLISNSKKPAANSQSSSTASSTKQFLENSMDGQSSPASSSARSKPQYFYSESVNLDTERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETVVRNEHNPSTAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFSIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLTVESSLYGENSEGEAVNLSQLSLIDLAGSESSKAETTGVRRKEGSYINKSLLTLGTVISKLTDGRAAHIPYRDSKLTRLLQSSLSGHGRVSLICTVTPSSSSSEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRSLKEELEQLKRGIVTIPRLKDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASQPSRISHRPGPRRRHSFGEEELAYLPYKRQDLILDDENIDLYVSLEGNTESADETLKEEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNSTPSTPQAENSNYYAESRLSHPSLAESSPSADLLSEVRQDREVPEDNFLEQETPLNGIKTSDQIDLLREQQKILSGEVALHSSILKRLSEEASRNPLKEHIQLEMKKLSDEIKVKNEQIALLEKQIADSIMASHNSLANLEASQTIAELTAQLNEKSFELEVKAADNCIIQDQLSQKICECEGLQETIVSLKQQLSDALESKNISPLASYSQRISELKSFHAQHHMNKETAASKDRNEDLLLQAQATEMEELKQKVDALTESKEQLETRNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELIALKNSPTQRRSGSTVRNGRRDNHMKHQDQVGAASELKRELAVSREREVQYEAALMEKDQRETDLQRKVKESKQREAYLENELANMWVLVAKLKKSQGAEMDVSEATGHDGLGI >Potri.001G358800.1.v4.1 pep chromosome:Pop_tri_v4:1:37478501:37482739:1 gene:Potri.001G358800.v4.1 transcript:Potri.001G358800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358800.v4.1 MAHPLFFLVVCLVLAPAAAAHDLQSNEEGYISLLLSNKGVDFAKDVLINKAVSTIIPLQLPDIEKSVKIPLIGKVHMILSNITIYSVNISSSYVETGNPGLVLVASGATASLSMKWAYSYSTWLIVISDSGDASVQVKGMEVGLTVAFKEQGGTLELSLLDCGCHVQDITIKLNGGASWLYQGIVDAFQGSIGSAVENAISKKIKEEIVKLDSLLQSIPKQIPIDHVAALNATFVDSPVLSNSFIELEINGLFTATDDFAVPRNYNKGKKSSLFYNCPAKMIGISLHEDVFNTAGLVYLNAGCMHWIVDKSLNHSFLNTAAWKYIYPQLYLQYPNHDMSLNISATSSPAVKIAKNGINVTIYLDVTVNVLDDSKVIPVACISLEINASCSPQILWNKIAGTLKLKSFNMSLKWSEIGNVHMDLLQPVIFALLETVFIPYVNLHLMRGFPLPLIHGFSLQNAEILYTESRS >Potri.008G173300.1.v4.1 pep chromosome:Pop_tri_v4:8:11967833:11969760:-1 gene:Potri.008G173300.v4.1 transcript:Potri.008G173300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G173300.v4.1 MGKKKQILFGESDPDIDLKYLIHQHSLLFDKLIKLIPAKFYLPTDEKEKPWFHGLSKGAKASAKKEARENIKKARRERLDPEKSSTTTLDLLMQNLEKEKSNSESDGEEVEINPMVSGLENDDQSVTYEELRQRLHRKIEELRGGRDCGSSERSKKRREMKVTLQKKRKRESGSVEKRPGMSTSLERVEKDAEEATKELKFSHVKMGNEEEHGKKKKRKVSKLKELEKAKELEEAKKDPDKGGPVSKKHSWKAATSRAAGIKVHDDPKLLKQSLKKDSKKHQKNTEKWKERVETQLKMKAEKQQKRSRNIADRIEQKKMRRIEKRERKLTRPGFEGRKEGYINEGLT >Potri.018G075300.1.v4.1 pep chromosome:Pop_tri_v4:18:9403791:9408404:-1 gene:Potri.018G075300.v4.1 transcript:Potri.018G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075300.v4.1 MESTGGEEEERKLCKSYQQKRKRKEITSACMLNTELGAVLAVMRRPQQEPSFYTSDSQSDTNILNSLKSLRSLIFNPQQEWQTIDPSIYISPFLDVVQGENIPAAATIVALSAILKILRLEIFNEKTPGARDAITCIVTGVSTCRLETTNQACEEAVMLRILQVLTAVMRHSASILLSNHAVCTLVNTCFQVVQQSANRSDLLQRSARYAMHEVLQVIFSRLLEIEVKPEQCESDTEDVDDGTDSDSGYGVRCAVDIFHFLCSLLNVVQVVETEGSTSHAADEDVQLFSLVLINSCIELGGPEIGKHPKLLRMIQDDLFHHLIHYGTRSAPLLFSMICSIVLNIYHFLKRFIRLQLEAFFRFVILRVASTGSSVHLQEVAVEAIINFLRQSSFIMEVYVNYDCHPTCLSVFEEIGKLLCKLAFPGAVPLTTIQVQAFEGLVIIMHTIAENIDNQGDSCPFGPYPVEITEYRPFWEEKSKDDLDLETWVEDSRIRRTQKKKLLIARDHFNRDEKKGLEYLKLCQLVSDPADPKDIAMFFRYTPELDKNMMGDYLGDPDEFHLRVLREFAETFRFSGVILDTALRTYLAAFRLPGESQKIQRILEAFSDRFYDQQSSDIFASKDAVFILCYSLIMLNTDQHNPQVKKKMTEEEFIRNNRAINGGQDLPREYLSELFQSIATNPIAVFGQSGLLVEMNPGRWMELMNQSKVMQLYIQCDFDRQLGRDMFACVAGPSIAALSAFFEHSDEDEMFHECIEGLMSVARIAQYGLEDTLDELIASFSKFTTLLNPYASAEETLFAFSNDMKPKMATLAIFTIANSFGDSIRAGWRNIVDCLLKLKRLKLIPESAIDFDNAASANLSTESGVISPSHDPKFGDNQTPNAISRFSQFLSVESMEDSLSLGMSEFERNLKVIKQCRIGSIFSNSSTLPEDTVLNLGRSLIFAAAGKGQKFSTPVEEEETVGFCWDLVTVIALANIHRFQTFWPSFHDYLLVVAQFPLFSPIPFAEKGILCLFKVCLKLLSSSRADKISEELIFKSINLMWKLDKEILDTCCESITNTISKILIGYPENLQTHLGWKSALHMLSVSGRHLETYEQGVETLITLMSDTGHVSRINYAYCIDCAFGFVALKNSPLEKNLKILDLLSDSVNLLIHWYRNYSDPGSNHSMVSNASNSSVEDIIKGSGNYTMNLFIKLGEAFRKTSLARREEMRNHAIASLQKSFTLAEELDFSPVNCINCFNLVIFAMVDDLLEKMVEYSRRENAEREMRGMEGTLKLAMELLTDVYMLFLKQIAASPGFRTFWLGVLRRMDTCMKADLGVWGETKLQQIVPSLLRRMITKMKEEEILVQKEGDDLWDITDIQIQWIAPSLKEELFPDEI >Potri.007G134700.1.v4.1 pep chromosome:Pop_tri_v4:7:14705171:14708829:1 gene:Potri.007G134700.v4.1 transcript:Potri.007G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134700.v4.1 MNFKNVKVPNLPGGGAIGTLIKLGVIGGLGLYGAANSLYNVDGGHRAIMFNRIVGVKDKVYPEGTHFMVPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVADQLPEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAVNFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAEGEATSAQLIGQAIANNPAFITLRKIEAAREIAHTISNSANKVFLDSGDLLLNLQKMELETTGKK >Potri.007G134700.2.v4.1 pep chromosome:Pop_tri_v4:7:14705749:14708844:1 gene:Potri.007G134700.v4.1 transcript:Potri.007G134700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134700.v4.1 MNFKNVKVPNLPGGGAIGTLIKLGVIGGLGLYGAANSLYNVDGGHRAIMFNRIVGVKDKVYPEGTHFMVPWFERPVIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVADQLPEIYRTLGENYNERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERAVNFNIALDDVSITSLTFGKEFTAAIEAKQVAAQEAERAKFIVEKAEQDKKSAVIRAEGEATSAQLIGQAIANNPAFITLRKIEAAREIAHTISNSANKVFLDSGDLLLNLQKMELETTGKK >Potri.001G129700.1.v4.1 pep chromosome:Pop_tri_v4:1:10612770:10616736:-1 gene:Potri.001G129700.v4.1 transcript:Potri.001G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129700.v4.1 MKLSFSIPSNSNSKAKKPVSDKDEGQSDDNNTKQYVTEFDPTKTLQSTRTPIIQPIQNEYQPHKKLKNIDLLLHPDPSTDLRFELQTLSPDPPDPMSFGLNLRQPTATATSLTKEARVEDEMLEKLRYDLKRLPEDRGFEEFEEMPVEDFAKALLKGYGWHEGRGVGKNAKEDVKIKQYTKRTDKEGLGFFSASLDSKNSNKNSSNGDGSGSVKEKESEKNKDGFSVGKEVRVFFGKKENLGLKGTIVDRLGSDSIILRVEKSGESVKVRVSDVAELGSGEEERCLKELKDLKIKEEKKSSDGDREQRPVNKRSVESRESLIIGNGGIVKERGVQWLRSHIRVRIISKDLKGGKLYLKKGEVVDVVGPYKCDVSMDESRELVQSVDQDLLENALPRRGGPVLVLYGKHRGAYGNLVQRDLDREVGVVQDYGSHELLNVKLEQIAEYVGDPSYIGY >Potri.013G138000.1.v4.1 pep chromosome:Pop_tri_v4:13:15632925:15634448:-1 gene:Potri.013G138000.v4.1 transcript:Potri.013G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpA MVTIRADEISNIIRERIEQYNREVKIVNTGTVLQVGDGIARIYGLDEVMAGELVEFEEGTIGIALNLESNNVGVVLMGDGLMIQEGSSVKATGKIAQIPVSEAYLGRVINALAKPIDGRGAISSSESRLIESPAPGIISRRSVYEPLQTGLIAIDSMIPIGRGQRELIIGDRQTGKTAVATDTILNQQGQNVICVYVAIGQKASSVAQVVNALQERGAMEYTIVVAETADSPATLQYLAPYTGAALAEYFMYRERHTLIIYDDLSKQAQAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKPSSSLGEGSMTALPIVETQSGDVSAYIPTNVISITDGQIFLSADLFNAGIRPAINVGISVSRVGSAAQIKAMKQVAGKLKLELAQFAELEAFAQFASDLDKATQNQLARGQRLRELLKQSQAAPFTVAEQIMTIYTGTNGYLDSLEIGQVRKFLVELRTYLKTSKTQFQEIISSTKTFTEEAEALLKEAIQEQMERFLLQEQV >Potri.011G065300.1.v4.1 pep chromosome:Pop_tri_v4:11:5576560:5579516:-1 gene:Potri.011G065300.v4.1 transcript:Potri.011G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065300.v4.1 MSQTLGPNMPETTPSPSIWALSHMKLRFFARVRRFLQTKTIQRNIKSRNKAMVSKEEQVTTADKRSGDDDDDDSVVLQRSVKRLHFGGLEEKEMAAIEIERLAREDVKMRKMMAELGVIPALVGMVASELAGRRRVAIKALIELANGTYTNKALMVEAGIFSKLPVNIDVLEEPTRHEFAELILSLSSLANHTQFPLASSEVLPFLIGILESGSSCETKESCLGTLYNLSAVLDNTGALLSNGVVQTLLRLISVKALSEKALATLGHLVVTLMGKKAMENSSLVPESLIEIMTWEDKPKCQELSAYILMILAHQSSAQREKMAKSGIVPALLELALLGSPLAQKRALKLLQWFKDERQTRMGPHSGPQTARIAIGSPVNHREAQEGKKLMKDLVKQSLHKNMELITRRANATSGHSSMLKSLVISTSSKSLPC >Potri.001G163300.1.v4.1 pep chromosome:Pop_tri_v4:1:13860128:13863089:1 gene:Potri.001G163300.v4.1 transcript:Potri.001G163300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163300.v4.1 MWWRSASFILDKQQNDAVPKLRNPPDTLTPPSPPPLSMPDTLQNPNPQNNISAYYQTRAAHHAVVTSDWLAQAQAAVGSDLDGAPETGVPTVAGKPFSVIDEFNSWRKQPDLAEAVAAIRALAAVIRNCQATTMMELEIELKKASDSLKLWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLIERAEKFGEISCKARRIIAMLSQDFIFDGCTILVHGFSRVVLEVLKTAAQSKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYTMDEVDMVLVGADGVVESGGIINMMGTYQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMGPALRPIDFGVPIPSKVEVETSAWDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Potri.017G060400.2.v4.1 pep chromosome:Pop_tri_v4:17:4942911:4943520:1 gene:Potri.017G060400.v4.1 transcript:Potri.017G060400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060400.v4.1 MAVITKVSVVIPMIMFFASVLALTSEPTIGASPAVLPYVNAPNMSSFFPPPTDEWDLGPAAPPRLGKLAPVPISGEFIGKSSSSPAVFNGHITIFGILLCFLQYSYMK >Potri.006G187000.1.v4.1 pep chromosome:Pop_tri_v4:6:19416119:19419783:1 gene:Potri.006G187000.v4.1 transcript:Potri.006G187000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187000.v4.1 MLSLRLFFWKKIKIFASHFVKSTIATGYNRLLYLLTSSTISKFCSLRSSEAEMKIQNLLLFLLALLEFFMIASFALPDDDVYRILSDPAVTFTYSRLSEVEKQCRSLLSSASELKTDEDTKFRLMGGLAFSNGDWEQKTGGVPLMPFFDSGLPTSSTSLPTALNLVSFEVKDVSQVQHFQNTVSVGGYLVIGISRESSFVLGYNPAPNFIRPGTSALTFAFEGLYTENEQNGGERNLCLLGKSTVTSGIGINVPSDFANRFGLETDQISLVLRYPMTFNLRNREIHGEMRSLNEKASPKYFDTVYITSQLGHQSMYQFSSTVQASPTCEPYPYFHDEVMEDGVQKFSWSKFCTILGQVSWEALSVFPDYKLGGSYVEDRKLTSVLFGNDIGDMNLSYKHIKLILQHVQCSQATSNDSHGAEVSAVLRVIPAEMYPNLARTLTGLSGLVFNAEGRWNPSSGKLCMLACRTGDDSELKRCTLRISLYFPRALSIKQRSLVFGSISNIRDGVSSNYHLLFDLVMQPSYLRNPVYSYLSYNYSKLSPASSFKKRAQRFTTLSHSLSRYPALKGAESRAQLDSLSDELLVDGCIAPDLPDGLGTRISIRMEVLSLGPLIGHFHEDGSKEVAVNTTANVTFTNRQLLNVSTHLVFRELKEETREFTMISYRNISQVFLEGIYDPVIGEMHLIGCRKVAIGGTGVERGLDCLIEVEIQYPSENMEHTKITITSQRGRDDPLCFGPVSLLTNKTPCQDHSLYRMGQDDPLYFGPVSLPRYENHTLTVAYRRNFEGILRILLLSGVIAMTWIQLHYMKKQTNVIPYVSLVMLALQVLGYSLPLLSGAEILFISSSYDLDWYGLLPKVLDYAGRFLVLVSLLLTSRIFLMVYKYQNKPLCTSKMKHFWVPHNKLVLLSTSAVHTGVLIWLSVYGHRDMLFHPENGSYKTGAIHVQQIWMRILKDFAGVVQDFFLLPQIISNFLLQTNVKSLHKAHYIGLTLIRLVLHLYDYISNPFLDSEFQDSEFASPESTSEFRKSAVVIIMVVLAVIVHIQQNWKKLSQWLELRKLSDKLDEEV >Potri.006G187000.2.v4.1 pep chromosome:Pop_tri_v4:6:19416119:19419783:1 gene:Potri.006G187000.v4.1 transcript:Potri.006G187000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187000.v4.1 MKIQNLLLFLLALLEFFMIASFALPDDDVYRILSDPAVTFTYSRLSEVEKQCRSLLSSASELKTDEDTKFRLMGGLAFSNGDWEQKTGGVPLMPFFDSGLPTSSTSLPTALNLVSFEVKDVSQVQHFQNTVSVGGYLVIGISRESSFVLGYNPAPNFIRPGTSALTFAFEGLYTENEQNGGERNLCLLGKSTVTSGIGINVPSDFANRFGLETDQISLVLRYPMTFNLRNREIHGEMRSLNEKASPKYFDTVYITSQLGHQSMYQFSSTVQASPTCEPYPYFHDEVMEDGVQKFSWSKFCTILGQVSWEALSVFPDYKLGGSYVEDRKLTSVLFGNDIGDMNLSYKHIKLILQHVQCSQATSNDSHGAEVSAVLRVIPAEMYPNLARTLTGLSGLVFNAEGRWNPSSGKLCMLACRTGDDSELKRCTLRISLYFPRALSIKQRSLVFGSISNIRDGVSSNYHLLFDLVMQPSYLRNPVYSYLSYNYSKLSPASSFKKRAQRFTTLSHSLSRYPALKGAESRAQLDSLSDELLVDGCIAPDLPDGLGTRISIRMEVLSLGPLIGHFHEDGSKEVAVNTTANVTFTNRQLLNVSTHLVFRELKEETREFTMISYRNISQVFLEGIYDPVIGEMHLIGCRKVAIGGTGVERGLDCLIEVEIQYPSENMEHTKITITSQRGRDDPLCFGPVSLLTNKTPCQDHSLYRMGQDDPLYFGPVSLPRYENHTLTVAYRRNFEGILRILLLSGVIAMTWIQLHYMKKQTNVIPYVSLVMLALQVLGYSLPLLSGAEILFISSSYDLDWYGLLPKVLDYAGRFLVLVSLLLTSRIFLMVYKYQNKPLCTSKMKHFWVPHNKLVLLSTSAVHTGVLIWLSVYGHRDMLFHPENGSYKTGAIHVQQIWMRILKDFAGVVQDFFLLPQIISNFLLQTNVKSLHKAHYIGLTLIRLVLHLYDYISNPFLDSEFQDSEFASPESTSEFRKSAVVIIMVVLAVIVHIQQNWKKLSQWLELRKLSDKLDEEV >Potri.006G066600.1.v4.1 pep chromosome:Pop_tri_v4:6:4854704:4856380:1 gene:Potri.006G066600.v4.1 transcript:Potri.006G066600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066600.v4.1 MELQLGLGLPSEKTMKGLDLNSYVSEPKELLGSGQLHLGSYSWFSTNDNDKKRSFIDASEESSRNEDVPRTLPLLVWNNQPNEEDDPPKDLDNHCNYSFSSNKSDGESDGIVGWPPIKFKRKKLSRQNSRVLEVNRAVDNGCEDCQARSSNSMYIKVKMEGVGIARKIDVSVYRCFPTLKHTLLDMFGICQENSSNYRLTYQDREGDWLLAEDVPWRNFLGSVQRLKLMRSSN >Potri.011G024200.13.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813036:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVLDCAPELFAHTPTVMNLTSIRLSDESFFEFLIFTTVIPSGTFSTALLILKLLVSLVSS >Potri.011G024200.7.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813036:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKIELSEELPTNCAKDDWILEPVPPCFQSCLKCIEIRDFVGLIDELDAVALLLKNAIALDDMVITCSTTGSEGQRKIREQLFELPGWSEIGRLNFV >Potri.011G024200.20.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813037:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKIELSEELPTNCAKDDWILEPVPPCFQSCLKCIEIRDFVGLIDELDAVALLLKNAIALDDMVITCSTTGSEGQRKIREQLFELPGWSEIGRLNFV >Potri.011G024200.24.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813037:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVLDCAPELFAHTPTVMNLTSIRLSDESFFEFLIFTTVIPSGTFSTALLILKLLVSLVSS >Potri.011G024200.19.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813005:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVLDCAPELFAHTPTVMNLTSIRLSDESFFEFLIFTTVIPSGTFSTALLILKLLVSLIELSEELPTNCAKDDWILEPVPPCFQSCLKCIEIRDFVGLIDELDAVALLLKNAIALDDMVITCSTTGSEGQRKIREQLFELPGWSEIGRLNFV >Potri.011G024200.9.v4.1 pep chromosome:Pop_tri_v4:11:1810420:1813024:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKIELSEELPTNCAKDDWILEPVPPCFQSCLKCIEIRDFVGLIDELDAVALLLKNAIALDDMVITCSTTGSEGQRKIREQLFELPGWSEIGRLNFV >Potri.011G024200.22.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813004:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MRAEQDMDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVFLLLRFASASIWSMDNILICICHSLALSLSFLSPFIFSIQLLEMFIKSLLILFVMQYFCRKLIVA >Potri.011G024200.4.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813005:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVLDCAPELFAHTPTVMNLTSIRLSDESFFEFLIFTTVIPSGTFSTALLILKLLVSLIELSEELPTNCAKDDWILEPVPPCFQSCLKCIEIRDFVGLIDELDAVALLLKNAIALDDMVITCSTTGSEGQRKIREQLFELPGWSEIGRLNFV >Potri.011G024200.21.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813005:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKIELSEELPTNCAKDDWILEPVPPCFQSCLKCIEIRDFVGLIDELDAVALLLKNAIALDDMVITCSTTGSEGQRKIREQLFELPGWSEIGRLNFV >Potri.011G024200.18.v4.1 pep chromosome:Pop_tri_v4:11:1810400:1813024:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVLDCAPELFAHTPTVMNLTSIRLSDESFFEFLIFTTVIPSGTFSTALLILKLLVSLIELSEELPTNCAKDDWILEPVPPCFQSCLKCIEIRDFVGLIDELDAVALLLKNAIALDDMVITCSTTGSEGQRKIREQLFELPGWSEIGRLNFV >Potri.011G024200.26.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813004:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVLDCAPELFAHTPTVMNLTSIRLSDESFFEFLIFTTVIPSGTFSTALLILKLLVSLVSS >Potri.011G024200.23.v4.1 pep chromosome:Pop_tri_v4:11:1810422:1813004:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MRAEQDMDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVLDCAPELFAHTPTVMNLTSIRLSDESFFEFLIFTTVIPSGTFSTALLILKLLVSLVSS >Potri.011G024200.25.v4.1 pep chromosome:Pop_tri_v4:11:1810400:1813024:1 gene:Potri.011G024200.v4.1 transcript:Potri.011G024200.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024200.v4.1 MDDSGGKSINDLPDALLQHILSFLETKDAVRTSILSRKWEHLWKSLSNLEFHQCYCKAMRKIFMNSVERVLLLRDSSNIEKFTLSCGIDDATRTRTWVSAAVNRNVKELCVNFYEGGESESFVSPLCLFTCATLTKLKITSHGVLRLPSSISLPCLKILSLQHVVFPDEDSTQQILNLRTLEELKISYCDWKNLKAITISAPKLRSLDICEMHRNDLRGSDACHVMIFGTALTFFSFSGGFWDDYCLFKSSSLVEAYFHVYGCYERSREIAHRAYKHIVGLSTVKHLTLTPDVLKVLDCAPELFAHTPTVMNLTSIRLSDESFFEFLIFTTVIPSGTFSTALLILKLLVSLVSS >Potri.006G172100.4.v4.1 pep chromosome:Pop_tri_v4:6:17680369:17685977:1 gene:Potri.006G172100.v4.1 transcript:Potri.006G172100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G172100.v4.1 MRMAKQKSFFCFLCFLLVILWSEQVTMGKVIIRAGVVLDMNSAVGKMAESCISAAETDFYARNADYRTRISLATRNSKGDVVTAASAALDLMKNEEVEAIIGPQRSSEAKFVIELGAKTQVPILSFSATSPALTPVQSNYFIRTAQSDSSQVKAIASIVETYGWREIVLIYEGTEYGIALVPYLLNALHAIRTRVPYESCIPSSSDDTEIMSELQKIKKMQESVFLVHMTASMGSRLFLLAKSAGMMSEGYAWLVTTGLSTLLDPVNAKVMDSMEGVLGVKPYVPKSIELEGFKSRWKKNFNSENLFGLWAYDTVWAIAMAVERAGIVHSRFLKQNASNRSVDLAALGISEMGPRLLKSILNTTFDGLSGKFQLVKGEMAPFAFEIFNVVGRSEMVIGYWTQKGGLSQSLDSSSKITHSNSKTKLKQPIWPGRAIQQPKKLRIGVPVRSSFIEFIEVKWDQQNNETNISGFSAQVFFAVLDILPFPLPYEFIPFMNKSSRKSAGTYDDLLRQIKFQKFDAVVGDTTIVAYRSSYVDFTLPYSESGITMVVLMKRDERDNMWIFLKPLSPKLWLVTGLAFFVTGLVVWLLEHRTNREFRGTPEQQLGTVIWFSFSTLVFAHRERPENNLTRFVLIIWIFVVLIISQSYTASLASMLTVQRMHPAFVDVKEIKRNNYFVGHQKDSFVKDFLKKELLFNDTMLREYSTPEEYHDALSRGSHNGGVAAIFDEIPYVRRFLNDKYRCSKFQMVGPTYQTDGFGFAFPLNSPLVSDFSRAILNVTEDHDKMEEIKRKSFGREITCEDQGAETSSGGLRLSSFAGLFLISGVASISSLLIYIIRFLRSNYPASNTMNEQSMWLRILEVLKVLEPHLRLATCMK >Potri.006G172100.2.v4.1 pep chromosome:Pop_tri_v4:6:17680342:17685979:1 gene:Potri.006G172100.v4.1 transcript:Potri.006G172100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G172100.v4.1 MRMAKQKSFFCFLCFLLVILWSEQVTMGKVIIRAGVVLDMNSAVGKMAESCISAAETDFYARNADYRTRISLATRNSKGDVVTAASAALDLMKNEEVEAIIGPQRSSEAKFVIELGAKTQVPILSFSATSPALTPVQSNYFIRTAQSDSSQVKAIASIVETYGWREIVLIYEGTEYGIALVPYLLNALHAIRTRVPYESCIPSSSDDTEIMSELQKIKKMQESVFLVHMTASMGSRLFLLAKSAGMMSEGYAWLVTTGLSTLLDPVNAKVMDSMEGVLGVKPYVPKSIELEGFKSRWKKNFNSENLFGLWAYDTVWAIAMAVERAGIVHSRFLKQNASNRSVDLAALGISEMGPRLLKSILNTTFDGLSGKFQLVKGEMAPFAFEIFNVVGRSEMVIGYWTQKGGLSQSLDSSSKITHSNSKTKLKQPIWPGRAIQQPKKLRIGVPVRSSFIEFIEVKWDQQNNETNISGFSAQVFFAVLDILPFPLPYEFIPFMNKSSRKSAGTYDDLLRQIKFQKFDAVVGDTTIVAYRSSYVDFTLPYSESGITMVVLMKRDERDNMWIFLKPLSPKLWLVTGLAFFVTGLVVWLLEHRTNREFRGTPEQQLGTVIWFSFSTLVFAHRERPENNLTRFVLIIWIFVVLIISQSYTASLASMLTVQRMHPAFVDVKEIKRNNYFVGHQKDSFVKDFLKKELLFNDTMLREYSTPEEYHDALSRGSHNGGVAAIFDEIPYVRRFLNDKYRCSKFQMVGPTYQTDGFGFAFPLNSPLVSDFSRAILNVTEDHDKMEEIKRKSFGREITCEDQGAETSSGGLRLSSFAGLFLISGVASISSLLIYIIRFLRSNYPASNTMNEQSMWLRILEVAKRFDQKDPSVHHLRRTESRVHPVTGPESIGASPETGNVHEMTSNEGAEDVGENQNHNNLTSGNSGPNFIASNADTVAPNTPERNRALPDAAYAHEMTSDDGAEVVVGDQNRGNPTSVNSGTNTNTM >Potri.006G172100.3.v4.1 pep chromosome:Pop_tri_v4:6:17680369:17686086:1 gene:Potri.006G172100.v4.1 transcript:Potri.006G172100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G172100.v4.1 MRMAKQKSFFCFLCFLLVILWSEQVTMGKVIIRAGVVLDMNSAVGKMAESCISAAETDFYARNADYRTRISLATRNSKGDVVTAASAALDLMKNEEVEAIIGPQRSSEAKFVIELGAKTQVPILSFSATSPALTPVQSNYFIRTAQSDSSQVKAIASIVETYGWREIVLIYEGTEYGIALVPYLLNALHAIRTRVPYESCIPSSSDDTEIMSELQKIKKMQESVFLVHMTASMGSRLFLLAKSAGMMSEGYAWLVTTGLSTLLDPVNAKVMDSMEGVLGVKPYVPKSIELEGFKSRWKKNFNSENLFGLWAYDTVWAIAMAVERAGIVHSRFLKQNASNRSVDLAALGISEMGPRLLKSILNTTFDGLSGKFQLVKGEMAPFAFEIFNVVGRSEMVIGYWTQKGGLSQSLDSSSKITHSNSKTKLKQPIWPGRAIQQPKKLRIGVPVRSSFIEFIEVKWDQQNNETNISGFSAQVFFAVLDILPFPLPYEFIPFMNKSSRKSAGTYDDLLRQIKFQKFDAVVGDTTIVAYRSSYVDFTLPYSESGITMVVLMKRDERDNMWIFLKPLSPKLWLVTGLAFFVTGLVVWLLEHRTNREFRGTPEQQLGTVIWFSFSTLVFAHRERPENNLTRFVLIIWIFVVLIISQSYTASLASMLTVQRMHPAFVDVKEIKRNNYFVGHQKDSFVKDFLKKELLFNDTMLREYSTPEEYHDALSRGSHNGGVAAIFDEIPYVRRFLNDKYRCSKFQMVGPTYQTDGFGFAFPLNSPLVSDFSRAILNVTEDHDKMEEIKRKSFGREITCEDQGAETSSGGLRLSSFAGLFLISGVASISSLLIYIIRFLRSNYPASNTMNEQSMWLRILEVAKRFDQKDPSVHHLRRTESRVHPVTGPESIGASPETGNVHEMTSNEGAEDVGENQNHNNLTSGNSGPNFIASNADTVAPNTPERNRALPDAAYAHEMTSDDGAEVVVGDQNRGNPTSVNSGTNTNTM >Potri.013G041400.1.v4.1 pep chromosome:Pop_tri_v4:13:2853251:2856046:1 gene:Potri.013G041400.v4.1 transcript:Potri.013G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041400.v4.1 MTSIMGAEPEKYSMINFEETELRLGLPGGIGNGNDGEVAKSNGKRGFSETVDLKLNLSTKESGKGGDEEKVMKEKTVAPPASTDPAKPPAKAQVVGWPPIRSFRKNVMAVQKNSNDNGEKSGSSGTGVAFVKVSMDGAPYLRKVDLKLYKSYQELSDALGKMFSSFTIGNCGSQGMKDFMNESKLIDLLNGSDYVPTYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRI >Potri.009G117100.1.v4.1 pep chromosome:Pop_tri_v4:9:9882700:9885074:1 gene:Potri.009G117100.v4.1 transcript:Potri.009G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117100.v4.1 MGKSLAMYMSLLRVLLRLVGVRSQVVEIEPGTLVHFWAPAENPNKTKIHNQAKRKPAVVFLHGFGFNGISTWQFQMLALAKDYAIYVPDFLFFGGSFTDKTERSPAFQAECMAKGLKKLGVEKCTLVGLSYGGMVGFKMAEMYPDLVDSMVVTCSVMALTESITRAALERIGFSSWAEVLIPETFEGVKKIAELCTYKSLAMPDFFYRDIFQDMFINHKKERAELLEALQVKDKDFYIPHYPQAQRIHLLWGEEDVFFTMEIARNLKERLLGGKATLHYVEKAGHVVQSERPCAYNRQLKKILASLYAN >Potri.009G117100.2.v4.1 pep chromosome:Pop_tri_v4:9:9882700:9884088:1 gene:Potri.009G117100.v4.1 transcript:Potri.009G117100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117100.v4.1 MGKSLAMYMSLLRVLLRLVGVRSQVVEIEPGTLVHFWAPAENPNKTKIHNQAKRKPAVVFLHGFGFNGISTWQFQMLALAKDYAIYVPDFLFFGGSFTDKTERSPAFQAECMAKGLKKLGVEKCTLVGLSYGGMVGFKMAEMYPDLVDSMVVTCSVMALTESITRAALERIGFSSWAEVLIPETFEGVKKIAELCTYKSLAMPDFFYRDIFQDMFINHKKERAELLEALQVKDKDFYIPHYPQAQRIHLLWGEEDVFFTMEIARNLKE >Potri.003G152100.1.v4.1 pep chromosome:Pop_tri_v4:3:16496317:16498200:-1 gene:Potri.003G152100.v4.1 transcript:Potri.003G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152100.v4.1 MRNGPGASQRKERKSRDRKLSRSIKRIRADMVEISKGHKRIKEGQDEVRGRFEEISKEAAKLKEETNLISKQSAANQVRLDLMFQIVKARSENDTARDAVLTQTLRELMASKSKLPDEKKEQDLAR >Potri.011G169900.1.v4.1 pep chromosome:Pop_tri_v4:11:19268472:19272761:-1 gene:Potri.011G169900.v4.1 transcript:Potri.011G169900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169900.v4.1 MASSSSSGLTFKLHPLVIVNISDHYTRVKSQTNGCSDGGSASPPPRVYGCVIGVQRGRTVEIFNSFELLYDPVTHSLDRAFIEKKQELYKKVFPHFYILGWYSTGSDAEESDMHIHKALMDINESPVYVLLNPSINPAQKDLPVTIYESELHVIDGIPQLIFVCSSYTIETVEAERISVDHVAHLKPSDGGSAATQLAAHLTGIHSAIKMLNSRIRVLHHYLVAMQKGEIPCENSLLRQVSSLLRRLPAIESEKFQDDFLMEYNDTLLIAYLAMFTNCSSTMNELVDKFNTAYDRHSRRGGRTAFI >Potri.018G047800.3.v4.1 pep chromosome:Pop_tri_v4:18:4229078:4238384:1 gene:Potri.018G047800.v4.1 transcript:Potri.018G047800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047800.v4.1 MFSILSPAATGKKDTIIQRVKTLFVHVYQFNVLLFLSITSNPLTIIKSISLSLSLSLSHAFSEVSLSKTLISIKMINNLLLVVSPKPKLCFARTSKFNFDSVHFNANLSKRRRLALRPRAFPKFTLSSSLQTETDLENAAFQAPKNNNSPILLDVTGMMCGACVSRVKSILSADERVESAVVNMLTETAAVKLKPEALLEGEVSASIGESLAKRLSECGFEAKKRVSGNGVAENVKKWKDMVKKKEELIVKSRNRVVFAWTLVALCCGSHASHILHSLGIHVGHGSVLEVLHNSYVKGGLALGSLLGPGRDLLVDGLRAFKKGSPNMNSLVGFGSIAAFVISAISLLNPALEWDASFFDEPVMLLGFVLLGRSLEEKARIRASSDMNELLALMSTQSRLVITPSDSNSPTENVLCSDAICTEVPTDDVRVGDTLLVLPGETIPVDGRVLAGRSVVDESMLTGESLPVFKEEGLKVSAGTINWDGPLRVEALSTGSNSTISRIIRMVEDAQGSEAPIQRLADSIAGPFVYSVMTVSAATFAFWYYIGSHVFPDVLLNDIAGPDGDPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDVLERLASISYVALDKTGTLTEGKPAVSAVASISYEESEILQMAFAVERTALHPIAKAIVNKAESLKLTIPETRGQLTEPGFGTLAEVDGRLVAVGSLDWVNERFQRRTKLSDLKDLETKVTYQSSEGMPSSNYSKTVVYVGREGEGIIGAIAISDCLRHDAESTISRLQQKGINTVLLSGDREEAVATIANRVGIESEFINASLTPQKKSEVISSLQAAGHRVAMVGDGINDAPSLALADVGIAIQNEAQENAASDVASIILLGNRLAQVVDALDLSRATMAKVYQNLSWAIAYNVVAIPIAAGVLLPQYDFAMTPSLSGGLMALSSIFVVSNSLLLQLHRSETGRNRERST >Potri.018G047800.1.v4.1 pep chromosome:Pop_tri_v4:18:4229296:4238316:1 gene:Potri.018G047800.v4.1 transcript:Potri.018G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047800.v4.1 MINNLLLVVSPKPKLCFARTSKFNFDSVHFNANLSKRRRLALRPRAFPKFTLSSSLQTETDLENAAFQAPKNNNSPILLDVTGMMCGACVSRVKSILSADERVESAVVNMLTETAAVKLKPEALLEGEVSASIGESLAKRLSECGFEAKKRVSGNGVAENVKKWKDMVKKKEELIVKSRNRVVFAWTLVALCCGSHASHILHSLGIHVGHVGSVLEVLHNSYVKGGLALGSLLGPGRDLLVDGLRAFKKGSPNMNSLVGFGSIAAFVISAISLLNPALEWDASFFDEPVMLLGFVLLGRSLEEKARIRASSDMNELLALMSTQSRLVITPSDSNSPTENVLCSDAICTEVPTDDVRVGDTLLVLPGETIPVDGRVLAGRSVVDESMLTGESLPVFKEEGLKVSAGTINWDGPLRVEALSTGSNSTISRIIRMVEDAQGSEAPIQRLADSIAGPFVYSVMTVSAATFAFWYYIGSHVFPDVLLNDIAGPDGDPLLLSLKLSVDVLVVSCPCALGLATPTAILVGTSLGAKQGLLIRGGDVLERLASISYVALDKTGTLTEGKPAVSAVASISYEESEILQMAFAVERTALHPIAKAIVNKAESLKLTIPETRGQLTEPGFGTLAEVDGRLVAVGSLDWVNERFQRRTKLSDLKDLETKVTYQSSEGMPSSNYSKTVVYVGREGEGIIGAIAISDCLRHDAESTISRLQQKGINTVLLSGDREEAVATIANRVGIESEFINASLTPQKKSEVISSLQAAGHRVAMVGDGINDAPSLALADVGIAIQNEAQENAASDVASIILLGNRLAQVVDALDLSRATMAKVYQNLSWAIAYNVVAIPIAAGVLLPQYDFAMTPSLSGGLMALSSIFVVSNSLLLQLHRSETGRNRERST >Potri.011G000900.8.v4.1 pep chromosome:Pop_tri_v4:11:60543:64150:1 gene:Potri.011G000900.v4.1 transcript:Potri.011G000900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G000900.v4.1 MFFFMMPDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTSIVIIGGNIPYLSESFSKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPGFIKSLFADDAVVVARPANVRFAPPAEELHQD >Potri.011G000900.1.v4.1 pep chromosome:Pop_tri_v4:11:60547:64214:1 gene:Potri.011G000900.v4.1 transcript:Potri.011G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G000900.v4.1 MAQAVEDWYKQMPIITRSYVTAAVVTTIGCSLDIISPSNLYLNPKLVMKNYEFWRLVTNFLYFRKMDLDFMFHMFFLARYCKLLEENSFRGRTADFFYMLLFGASVLTSIVIIGGNIPYLSESFSKIIFLSNSLTFMMVYVWSKQNPFIHMSFLGLFTFTAAYLPWVLLGFSVLVGASAWVDLLGMIAGHAYYFLEDVYPRMTGRRPLRTPGFIKSLFADDAVVVARPANVRFAPPAEELHQD >Potri.017G039200.1.v4.1 pep chromosome:Pop_tri_v4:17:2597513:2604650:-1 gene:Potri.017G039200.v4.1 transcript:Potri.017G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039200.v4.1 MERNQNIKQFKGQTRLPKFAIPDRYDLHLKPDLSVCTFSGTICINLRIIEPTKFVVLNALELNIHGVLFTDSQNQQQFSPCDIVLDDDDEILMLVFEEALNAGDGILRIDFSGILNEHLRGFYRCTYMDGEEKKNMAVTQFEAVDARRCFPCWDEPALKATFKITIDLPLELIALSNMPIIDEKLTGNVKTVYFDESPLMSTYLVAVVIGLFDYVEDTTADGVKVRVYCPLGQANEGKYALSIAVRALDLFAEYFSMPYPLPKLDMVAVPEFSGGAMENYGLIIYRENELLYDDLQSTAARKQIMTIVVMHEVAHHWFGNLVTMEWWTHLWLNEGFATWISYMATDGLFPEWKIWTRFLQQTTGGLRVDALEGSHPIEVEVHQARSVNEIFDAISYKKGSAVIRMLQGYLGDDILQKALSSYMEKYAWKNAKTEDLWSVLSEESGIQVNKMMDCWTKKKGYPVISVKSEDHFLEFEQSQFLSSGLHGEGKWIVPITLFLGSYNRRKNFLLESKFEKVDVSELFSSSDGYSGSFNEANEEKCSEFVWVKVNVEQSGFYRVKYEDKLAAQLRKAVEKNCLLATDKFGVLDDAFALCQACEISISSLLSLMDVYRKELDYAVLSKLIDVCYSVVEISIDAIPDAVNELKTFFINLLLFSAEKLGWESVPGEIHLNTMLRGDVYKALATFGHDKTHSEAMQRFESLLNDRATPLLSADIRKAAYIAIMRNASTTNRNGFESLLKILREADTVHEKERVLGCIASCPDSEIVLEVLNLLVSDEVRDQDIIYGLRGISLEGREIAWRWLKDNWDLILNKYGDGLLITHFIRDIITPFCSNEKADEVTEFFATRATPGIAMNLKQSIEQVRIKARWVQSIKQESSLEEVISRLAC >Potri.017G039200.11.v4.1 pep chromosome:Pop_tri_v4:17:2597532:2604624:-1 gene:Potri.017G039200.v4.1 transcript:Potri.017G039200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039200.v4.1 MERNQNIKQFKGQTRLPKFAIPDRYDLHLKPDLSVCTFSGTICINLRIIEPTKFVVLNALELNIHGVLFTDSQNQFSPCDIVLDDDDEILMLVFEEALNAGDGILRIDFSGILNEHLRGFYRCTYMDGEEKKNMAVTQFEAVDARRCFPCWDEPALKATFKITIDLPLELIALSNMPIIDEKLTGNVKTVYFDESPLMSTYLVAVVIGLFDYVEDTTADGVKVRVYCPLGQANEGKYALSIAVRALDLFAEYFSMPYPLPKLDMVAVPEFSGGAMENYGLIIYRENELLYDDLQSTAARKQIMTIVVMHEVAHHWFGNLVTMEWWTHLWLNEGFATWISYMATDGLFPEWKIWTRFLQQTTGGLRVDALEGSHPIEVEVHQARSVNEIFDAISYKKGSAVIRMLQGYLGDDILQKALSSYMEKYAWKNAKTEDLWSVLSEESGIQVNKMMDCWTKKKGYPVISVKSEDHFLEFEQSQFLSSGLHGEGKWIVPITLFLGSYNRRKNFLLESKFEKVDVSELFSSSDGYSGSFNEANEEKCSEFVWVKVNVEQSGFYRVKYEDKLAAQLRKAVEKNCLLATDKFGVLDDAFALCQACEISISSLLSLMDVYRKELDYAVLSKLIDVCYSVVEISIDAIPDAVNELKTFFINLLLFSAEKLGWESVPGEIHLNTMLRGDVYKALATFGHDKTHSEAMQRFESLLNDRATPLLSADIRKAAYIAIMRNASTTNRNGFESLLKILREADTVHEKERVLGCIASCPDSEIVLEVLNLLVSDEVRDQDIIYGLRGISLEGREIAWRWLKDNWDLILNKYGDGLLITHFIRDIITPFCSNEKADEVTEFFATRATPGIAMNLKQSIEQVRIKARWVQSIKQESSLEEVISRLAC >Potri.017G039200.2.v4.1 pep chromosome:Pop_tri_v4:17:2597531:2604650:-1 gene:Potri.017G039200.v4.1 transcript:Potri.017G039200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039200.v4.1 MERNQNIKQFKGQTRLPKFAIPDRYDLHLKPDLSVCTFSGTICINLRIIEPTKFVVLNALELNIHGVLFTDSQNQQFSPCDIVLDDDDEILMLVFEEALNAGDGILRIDFSGILNEHLRGFYRCTYMDGEEKKNMAVTQFEAVDARRCFPCWDEPALKATFKITIDLPLELIALSNMPIIDEKLTGNVKTVYFDESPLMSTYLVAVVIGLFDYVEDTTADGVKVRVYCPLGQANEGKYALSIAVRALDLFAEYFSMPYPLPKLDMVAVPEFSGGAMENYGLIIYRENELLYDDLQSTAARKQIMTIVVMHEVAHHWFGNLVTMEWWTHLWLNEGFATWISYMATDGLFPEWKIWTRFLQQTTGGLRVDALEGSHPIEVEVHQARSVNEIFDAISYKKGSAVIRMLQGYLGDDILQKALSSYMEKYAWKNAKTEDLWSVLSEESGIQVNKMMDCWTKKKGYPVISVKSEDHFLEFEQSQFLSSGLHGEGKWIVPITLFLGSYNRRKNFLLESKFEKVDVSELFSSSDGYSGSFNEANEEKCSEFVWVKVNVEQSGFYRVKYEDKLAAQLRKAVEKNCLLATDKFGVLDDAFALCQACEISISSLLSLMDVYRKELDYAVLSKLIDVCYSVVEISIDAIPDAVNELKTFFINLLLFSAEKLGWESVPGEIHLNTMLRGDVYKALATFGHDKTHSEAMQRFESLLNDRATPLLSADIRKAAYIAIMRNASTTNRNGFESLLKILREADTVHEKERVLGCIASCPDSEIVLEVLNLLVSDEVRDQDIIYGLRGISLEGREIAWRWLKDNWDLILNKYGDGLLITHFIRDIITPFCSNEKADEVTEFFATRATPGIAMNLKQSIEQVRIKARWVQSIKQESSLEEVISRLAC >Potri.011G168800.1.v4.1 pep chromosome:Pop_tri_v4:11:19174717:19178544:1 gene:Potri.011G168800.v4.1 transcript:Potri.011G168800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168800.v4.1 MSTLDPTATVISPQQQELHSESINGQSFRSYPPATAKYEDIAQSSDLFWEKLKAFHQSFGTKFMVPTVGGKALDLHHLFVEVTSRGGIEKVITDRKWKEVITAFNFPTTITSASFVLRKHYLSLLYHFEQVYHFNKQIPLVSGTDAMNGRSLVNGSATLEEGAITNQFSSSQQLQIGCSVSGIIDGKFDNGYLVTVNLGTNQLKGVLYHIPHTFHESQDSRSSDLPPRRRRKRSRLALRDPSQPKSNRSGYNFFFTEHYAQLKPLHHGQEKVISKKIGLLWNNLTEAEKQVYQEKGLRDKEKYRNEMLEYRSSYAQ >Potri.011G168800.3.v4.1 pep chromosome:Pop_tri_v4:11:19174855:19178550:1 gene:Potri.011G168800.v4.1 transcript:Potri.011G168800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168800.v4.1 MSTLDPTATVISPQQQELHSESINGQSFRSYPPATAKYEDIAQSSDLFWEKLKAFHQSFGTKFMVPTVGGKALDLHHLFVEVTSRGGIEKVITDRKWKEVITAFNFPTTITSASFVLRKHYLSLLYHFEQVYHFNKQIPLVSGTDAMNGRSLVNGSATLEEGAITNQFSSKGMCQLLLSM >Potri.011G168800.2.v4.1 pep chromosome:Pop_tri_v4:11:19174856:19178544:1 gene:Potri.011G168800.v4.1 transcript:Potri.011G168800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168800.v4.1 MSTLDPTATVISPQQQELHSESINGQSFRSYPPATAKYEDIAQSSDLFWEKLKAFHQSFGTKFMVPTVGGKALDLHHLFVEVTSRGGIEKVITDRKWKEVITAFNFPTTITSASFVLRKHYLSLLYHFEQVYHFNKQIPLVSGTDAMNGRSLVNGSATLEEGSQQLQIGCSVSGIIDGKFDNGYLVTVNLGTNQLKGVLYHIPHTFHESQDSRSSDLPPRRRRKRSRLALRDPSQPKSNRSGYNFFFTEHYAQLKPLHHGQEKVISKKIGLLWNNLTEAEKQVYQEKGLRDKEKYRNEMLEYRSSYAQ >Potri.009G014750.1.v4.1 pep chromosome:Pop_tri_v4:9:2573438:2574419:1 gene:Potri.009G014750.v4.1 transcript:Potri.009G014750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014750.v4.1 MILCSWWIGYSLNPPLKLLLLRAKIDCGKPYPLQIKPAQSTSHRNRTWMMTGTWRYHVLAVAVLAEFIQPFILKSQYMLLTNKIIASLSQRPFRYSFDEIRKSQMPEILHVLKFECSTGAYFEHSWHPYKTCMISFKLIISCTMCLHDAKNLNTQFKTLFN >Potri.001G330501.2.v4.1 pep chromosome:Pop_tri_v4:1:33917167:33919350:1 gene:Potri.001G330501.v4.1 transcript:Potri.001G330501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330501.v4.1 MNRWFLILLSISISISISGILKFIVNRCFINTKPTTLYKLPPSPKSIPVISNLLWLRRISPSNIHSILNSLHARLGPIITIYLGSRPLIFIADRLLAHKALIQNGAAFANRPPASATQKIVTSNQRNVSLAFYGPIWRLLRRNLTENFLHPSRAKSFSHSRRWALQVLKNRLASHEKSGQPICVREHFQYTMFCLLVVICFGDNVDENQIKQIEEAQRRAFLSFNKFNILNLWPRVTKIVLRRRWEEFYQLRKCQLDVSIPLIRTRKNMQEEDRKSMEPHHDRALCYVDTLLSLEFPDEERKINEEEIANLCSEFLNAGTDTTTTSLEWIMANLVKYPKIQDRLFMEIKEVVGNGDQEEVSESDLKRMPYLKAVVLEGLRRHPPARLLIPHAVMEDVVLNNEYLIPKNAAINFLVAEMGWDPEAWEDPLTFKPERFLNHDNGIRQEFDITGSREIKMMPFGAGRRICPGYQLGMLHLEYYVANLVCKFEWRAVDGDGVDLSEKAERTMVMKNPLRVRISPR >Potri.001G007900.6.v4.1 pep chromosome:Pop_tri_v4:1:543161:549124:1 gene:Potri.001G007900.v4.1 transcript:Potri.001G007900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007900.v4.1 MNKKNSWADLAANSAAENINAGSSANTGSVGTTPAAAPSRSTYVPPHLRNRAPSSDPPAAAHSGPVSSNDHSGYGGGSRSGVPRNDFRGGYGGSGGRSGGWGNRGGWDRGREREVNPFGDDDETEQPFSEQENTGINFDAYEDIPVETSGENVPPAVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPISLTGRDLMACAQTGSGKTAAFCFPIISGIMKMQDQSAQRPLRGARTVYPLALILSPTRELSMQIHEEAKKFSYQTGVKVVVAYGGAPIHQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGSRQTMLFSATFPKEIQRLASDFLASYVFLAVGRVGSSTDLIAQRVEFVQESDKRSHLMDLLYAQRANGVQGKQDLTLVFVETKKGADSLEHWLCINNFPATSIHGDRSQQEREQALRSFKSGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNASMARPLSELMQEANQEVPAWLSRYAARASFGGKNRRSGGGRFGGRDFRRDSSSSRGNSDYYGGGSSGGYGGASGGYGGGGYGSGVTSAWD >Potri.001G007900.3.v4.1 pep chromosome:Pop_tri_v4:1:543159:549118:1 gene:Potri.001G007900.v4.1 transcript:Potri.001G007900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007900.v4.1 MNKKNSWADLAANSAAENINAGSSANTGSVGTTPAAAPSRSTYVPPHLRNRAPSSDPPAAAHSGPVSSNDHSGYGGGSRSGVPRNDFRGGYGGSGGRSGGWGNRGGWDRGREREVNPFGDDDETEQPFSEQENTGINFDAYEDIPVETSGENVPPAVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPISLTGRDLMACAQTGSGKTAAFCFPIISGIMKMQDQSAQRPLRGARTVYPLALILSPTRELSMQIHEEAKKFSYQTGVKVVVAYGGAPIHQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGSRQTMLFSATFPKEIQRLASDFLASYVFLAVGRVGSSTDLIAQRVEFVQESDKRSHLMDLLYAQRANGVQGKQDLTLVFVETKKGADSLEHWLCINNFPATSIHGDRSQQEREQALRSFKSGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNASMARPLSELMQEANQEVPAWLSRYAARASFGGKNRRSGGGRFGGRDFRRDSSSSRGNSDYYGGGSSGGYGGASGGYGGGGYGSGVTSAWD >Potri.001G007900.2.v4.1 pep chromosome:Pop_tri_v4:1:543049:549176:1 gene:Potri.001G007900.v4.1 transcript:Potri.001G007900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007900.v4.1 MNKKNSWADLAANSAAENINAGSSANTGSVGTTPAAAPSRSTYVPPHLRNRAPSSDPPAAAHSGPVSSNDHSGYGGGSRSGVPRNDFRGGYGGSGGRSGGWGNRGGWDRGREREVNPFGDDDETEQPFSEQENTGINFDAYEDIPVETSGENVPPAVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPISLTGRDLMACAQTGSGKTAAFCFPIISGIMKMQDQSAQRPLRGARTVYPLALILSPTRELSMQIHEEAKKFSYQTGVKVVVAYGGAPIHQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGSRQTMLFSATFPKEIQRLASDFLASYVFLAVGRVGSSTDLIAQRVEFVQESDKRSHLMDLLYAQRANGVQGKQDLTLVFVETKKGADSLEHWLCINNFPATSIHGDRSQQEREQALRSFKSGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNASMARPLSELMQEANQEVPAWLSRYAARASFGGKNRRSGGGRFGGRDFRRDSSSSRGNSDYYGGGSSGGYGGASGGYGGGGYGSGVTSAWD >Potri.001G007900.5.v4.1 pep chromosome:Pop_tri_v4:1:543160:549706:1 gene:Potri.001G007900.v4.1 transcript:Potri.001G007900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007900.v4.1 MNKKNSWADLAANSAAENINAGSSANTGSVGTTPAAAPSRSTYVPPHLRNRAPSSDPPAAAHSGPVSSNDHSGYGGGSRSGVPRNDFRGGYGGSGGRSGGWGNRGGWDRGREREVNPFGDDDETEQPFSEQENTGINFDAYEDIPVETSGENVPPAVNTFAEIDLGEALNLNIRRCKYVKPTPVQRHAIPISLTGRDLMACAQTGSGKTAAFCFPIISGIMKMQDQSAQRPLRGARTVYPLALILSPTRELSMQIHEEAKKFSYQTGVKVVVAYGGAPIHQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPPPGSRQTMLFSATFPKEIQRLASDFLASYVFLAVGRVGSSTDLIAQRVEFVQESDKRSHLMDLLYAQRANGVQGKQDLTLVFVETKKGADSLEHWLCINNFPATSIHGDRSQQEREQALRSFKSGNTPILVATDVAARGLDIPRVAHVVNFDLPNDIDDYVHRIGRTGRAGKSGLATAFFNEGNASMARPLSELMQEANQEVPAWLSRYAARASFGGKNRRSGGGRFGGRDFRRDSSSSRGNSDYYGGGSSGGYGGASGGYGGGGYGSGVTSAWD >Potri.010G005700.2.v4.1 pep chromosome:Pop_tri_v4:10:529142:532474:1 gene:Potri.010G005700.v4.1 transcript:Potri.010G005700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005700.v4.1 MGLELETKKSQLVFEICSLSALLVSCVHRHHSSSCDPVESHFIDWYRILGVDENAGLEVIKKRYHKLALQLHPDKNNHPKADVAFKLVLEAYSYLSDNIKRRDFNLERSKKFCIVCNRIPYAFSNNLSKSHASKVVEELKSANRTRLLRNRVKEMKQRFKEEIKVMENCLRANSLSRKETPLFKPSENYQFQSNTRCVSQKAESPVFDPSNYLFTGYPHIRNPIYKKPENFSDLKRGDILNKYGGHGEGRSYNDFPVFEMRSDGGMLKESAACVYS >Potri.008G040800.5.v4.1 pep chromosome:Pop_tri_v4:8:2285509:2290069:1 gene:Potri.008G040800.v4.1 transcript:Potri.008G040800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040800.v4.1 MVAVKMLSAGSKQGDREFISEIASVSNINHENLVKLHGGCIDGPYKILVYDYMENGSLAQTLLGSEEKRANFRWETRREISLGIAQGLAYIHEEIKPRIVHRDIKASNILLDQNLCPKVSDFGLSKLFPEDFTHVSTRVAGTLGYLAPEYAISGRLTRKTDVYSFGVLLLEIICGRKAVDFDPELGEHYLVEKVLLFFTSCKQQSLSYKIEYALYIIGQRLVIYLFFMKI >Potri.008G040800.4.v4.1 pep chromosome:Pop_tri_v4:8:2285354:2291519:1 gene:Potri.008G040800.v4.1 transcript:Potri.008G040800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040800.v4.1 MKNSSSKHRSRSTFRSMMRLSFSCFRCFSSSSDIPTDNALIMQGLQVLQNVHAFSFNELKVATNGFRSSNKIGEGGFGSVYKGILQDGRMVAVKMLSAGSKQGDREFISEIASVSNINHENLVKLHGGCIDGPYKILVYDYMENGSLAQTLLGSEEKRANFRWETRREISLGIAQGLAYIHEEIKPRIVHRDIKASNILLDQNLCPKVSDFGLSKLFPEDFTHVSTRVAGTLGYLAPEYAISGRLTRKTDVYSFGVLLLEIICGRKAVDFDPELGEHYLVEKVLLFFTSCKQQSLSYKIEYALYIIGQRLVIYLFFMKI >Potri.008G040800.3.v4.1 pep chromosome:Pop_tri_v4:8:2285406:2290069:1 gene:Potri.008G040800.v4.1 transcript:Potri.008G040800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040800.v4.1 MKNSSSKHRSRSTFRSMMRLSFSCFRCFSSSSDIPTDNALIMQGLQVLQNVHAFSFNELKVATNGFRSSNKIGEGGFGSVYKGILQDGRMVAVKMLSAGSKQGDREFISEIASVSNINHENLVKLHGGCIDGPYKILVYDYMENGSLAQTLLGSEEKRANFRWETRREISLGIAQGLAYIHEEIKPRIVHRDIKASNILLDQNLCPKVSDFGLSKLFPEDFTHVSTRVAGTL >Potri.003G060432.1.v4.1 pep chromosome:Pop_tri_v4:3:8708917:8709417:-1 gene:Potri.003G060432.v4.1 transcript:Potri.003G060432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060432.v4.1 MGDGQQESQAAVAAGSSSYQSMSTMQTPRDSLHSIPFEQQATLCEGTMPSSENADIIELNQMSPERNSKKAKYSTESEGCRRRNCKRSRCLKL >Potri.002G146500.1.v4.1 pep chromosome:Pop_tri_v4:2:11036120:11038594:1 gene:Potri.002G146500.v4.1 transcript:Potri.002G146500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146500.v4.1 MSHLDHTPSTPGKFKIDKSPYYSRTRWHSSVAKLTLWSSLFVALIFLFFYRSPSSSSNNPPSSDPSRRYLASANWGGAAWEKRVRTSARIRSRNGFSVLVTGAAGFVGTHVSSALKRRGDGVLGIDNFNDYYDPTLKRARQALLERSGVFIVEGDINDVALLKKLFDIVPFTHVMHLAAQAGVRYAMQNPGSYVHSNIAGFVSLLEVCKDANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVFGPWGRPDMAYFFFTKDILKGKSIPIFEAANHGTVARDFTYIDDIVKGCLGSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVSILERLLKVKAKRNVMKLPRNGDVPYTHANISYAQKEFGYKPTTDLQTGLKKFVRWYLSYYGDKKAVAR >Potri.019G014000.1.v4.1 pep chromosome:Pop_tri_v4:19:1822806:1828799:1 gene:Potri.019G014000.v4.1 transcript:Potri.019G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014000.v4.1 MMMGFKIYSLFSFKMSIFLFFFLNNAIAYSSHSSSADTTMHTNNWAVLVCTSRFWFNYRHMANTLSLYRTVKRLGIPDERIILMLADDMACNARNKYPAQVFNNENHRLNLYGDNVEVDYRGYEVTVENFLRVLTGRHETAVPRSKRLLSDEGSHILLYMTGHGGDEFLKFQDSEELQSHDLADAVKQMKEKRRFKELLIMVDTCQAATLFNQLHSPGVLAIGSSMKGENSYSHHLDSDVGVSVVDRFTFYTLAFFERLNMYDNASLSSLFTSYDPNTLMSTAYYRTDLYRRHLDEVPVTNFFGSVMETIHTDSAYRAVPRKMSKRAGINMAVEKSVQHDDRRTLIDSNVQDPTSHIKTKDQNCPFTRTMNTFFDKVERIEHPDSLVNYGLILMLPLLMVSVWLSS >Potri.001G154300.1.v4.1 pep chromosome:Pop_tri_v4:1:12937799:12942653:1 gene:Potri.001G154300.v4.1 transcript:Potri.001G154300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154300.v4.1 MTQLKFFDFKSASLSEKAALMGRAGSSPFSSLGHSVGVRFSQEFSSCRGSGVFTKASYSSSSNGTYMSKRALSSVDKEKFAAENYFYQINGTSVSSSSLFSRNVKALDACDDEYGGVVVDPDRLPVNPDAFASILRFSLSHWKMKGKKGIWLKLPLERSELVPFAVKEGFQYHHAERGYVMLTYWIPEGPCMLPTNATHQVGVGGFVINDKNEVLVVQEKFYAPSFADLWKIPTGFILESEEIYSGAVREVKEETGVDTEFVEVIAFRHAHNLAFDKSDLFFVCMLKPLSAQIKVDDLEIQAAKWMPLVEFVAQPLIQEDGMFKKIIDICIARLGKHYCGLLPHQVVSKFDGRPSCLYYNVIDDQDVNCKGN >Potri.001G154300.5.v4.1 pep chromosome:Pop_tri_v4:1:12937800:12942175:1 gene:Potri.001G154300.v4.1 transcript:Potri.001G154300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154300.v4.1 MFLSMFHDVFGNQAGSGVFTKASYSSSSNGTYMSKRALSSVDKEKFAAENYFYQINGTSVSSSSLFSRNVKALDACDDEYGGVVVDPDRLPVNPDAFASILRFSLSHWKMKGKKGIWLKLPLERSELVPFAVKEGFQYHHAERGYVMLTYWIPEGPCMLPTNATHQVGVGGFVINDKNEVLVVQEKFYAPSFADLWKIPTGFILESEEIYSGAVREVKEETGVDTEFVEVIAFRHAHNLAFDKSDLFFVCMLKPLSAQIKVDDLEIQAAKWMPLVEFVAQPLIQEDGMFKKIIDICIARLGKHYCGLLPHQVVSKFDGRPSCLYYNVIDDQDVNCKGN >Potri.002G255900.1.v4.1 pep chromosome:Pop_tri_v4:2:24493272:24497971:1 gene:Potri.002G255900.v4.1 transcript:Potri.002G255900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255900.v4.1 MQAISRRLGHQSLKPAASLSSFKSIYPLSDHHYGADHPRHASSLAAKGVGHLIRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSEDSHRHLKLMGDLGQIVPMKYNPRDENSIKAVMAKANVVINLIGRDHETRNYSFEELNHGMTEQLAMISKEHGGIMRFIQVSCLGASASSPSRMLRAKAAGEEAVLREMPEATVMKPAVMIGTEDRILNRWAHFAKKYSFLPLIGDGSTKIQPVYVVDVAAAIIAALKDDGSSMGKVYELGGPEIFTVHELADLMHDVIREWPRYVKVPFPIAKALATPRAVLINKVPFPLPAPKIFNLDEINALTIDSVVSENALTFNDLGIVPHKLKGYPIEFLISYRKGGPQFGSTISERVSPDSFP >Potri.006G106300.2.v4.1 pep chromosome:Pop_tri_v4:6:8190404:8191766:1 gene:Potri.006G106300.v4.1 transcript:Potri.006G106300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106300.v4.1 MEKQGTCTTNSCTQRGRRISCWSYELRLLPTIDFVSSSELVIHVPVFLIMITTTPSYIAPSRALRVGESPVQVLNPCMQG >Potri.006G106300.1.v4.1 pep chromosome:Pop_tri_v4:6:8190200:8193468:1 gene:Potri.006G106300.v4.1 transcript:Potri.006G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106300.v4.1 MEKQGTCTTNSCTQRGRRISCWSYELRLLPTIDFVSSSELVIHVPVFLIMITTTPSYIAPSRALRVGESPVQVLNPCMQKWTLNFQQLREKSL >Potri.016G084100.1.v4.1 pep chromosome:Pop_tri_v4:16:6541510:6545329:-1 gene:Potri.016G084100.v4.1 transcript:Potri.016G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084100.v4.1 MPPKQQPKADLAKKQKIAEDKTFGLKNKNKSKNVQKYVQSLKQNVQPQPDSSKLAAKKKKEEEKAREKELNELFKVAVSQPKVPNGVDPKSIVCEFFKVGQCTKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKGKEYQQNKPTDIVCKYFLEAVEKKQYGWFWACPNGGKDCHYRHALPPGYVLKSQMKALLDEEAEKISIEEEIENQRAKTTASTPMTPELFMQWKKKRMEERDAGLASQRAERAKTDRMSGRELFLSDASVFVDDEEAYEKYQREEEPDGTEQQVKDKSTADGPSTSAAAVGDAEDLCDDDDDELDMDELNELEASLSRTSIQIQEHSGCK >Potri.004G216200.3.v4.1 pep chromosome:Pop_tri_v4:4:22208991:22213398:1 gene:Potri.004G216200.v4.1 transcript:Potri.004G216200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216200.v4.1 METTRMKKYNRQLSPERAKVWTERSPKYQQQNRKVAVVYYLCRNRQLEHPHFIEVPLASPDGLYLRDVIERLDVLRGRGMASLYSWSSKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFEESNSDHFAPVGTIKMQNLKLLPQPASSRSQDDSSSASSLNGKEAKHSQEDEISPRLQHPGSSGVSPESTVGKNSTWNGSLSLTEYKVYKSDGFANASTQTEENGSRPKSRETCTRGVSTDDASLEQECKEDCQNRLPCVKENSEICENSVSPPPSSSSPSSSGGKTETLESLIRADVNKINSFRIIEEEDIRMPNNARLKASNMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFPSPLYSTSVMLGELDCLSENPRVTGLRLEEKEYFSGSLIEMKMLEGGNGLACLKRSSSYNADRMCKQPDSVEDNGESTSGHSKCISQSIKASLSKQPHGISLRSPVSDKPRNSSDGVDGSQVIHYSLSNGGSQRITEPVPGKKQSKKLDSFREEERVIKIEERLASGARVIIHSKAPCNPAVCRS >Potri.004G216200.1.v4.1 pep chromosome:Pop_tri_v4:4:22209013:22213398:1 gene:Potri.004G216200.v4.1 transcript:Potri.004G216200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216200.v4.1 METTRMKKYNRQLSPERAKVWTERSPKYQQQNRKVAVVYYLCRNRQLEHPHFIEVPLASPDGLYLRDVIERLDVLRGRGMASLYSWSSKRSYKNGFVWHDLCEDDLILPAHGNEYVLKGSELFEESNSDHFAPVGTIKMQNLKLLPQPASSRSQDDSSSASSLNGKEAKHSQEDEISPRLQHPGSSGVSPESTVGKNSTWNGSLSLTEYKVYKSDGFANASTQTEENGSRPKSRETCTRGVSTDDASLEQECKEDCQNRLPCVKENSEICENSVSPPPSSSSPSSSGGKTETLESLIRADVNKINSFRIIEEEDIRMPNNARLKASNMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKFPSPLYSTSVMLGELDCLSENPRVTGLRLEEKEYFSGSLIEMKMLEGGNGLACLKRSSSYNADRMCKQPDSVEDNGESTSGHSKCISQSIKASLSKQPHGISLRSPVSDKPRNSSDGVDGSQVIHYSLSNGGSQRITEPVPGKKQSKKLDSFREEERVIKIEESLLQELGL >Potri.005G248925.1.v4.1 pep chromosome:Pop_tri_v4:5:24279288:24279374:-1 gene:Potri.005G248925.v4.1 transcript:Potri.005G248925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248925.v4.1 MHANDFFYFLKIIFDISTSKRSKTYKPY >Potri.003G098700.2.v4.1 pep chromosome:Pop_tri_v4:3:12416305:12424327:1 gene:Potri.003G098700.v4.1 transcript:Potri.003G098700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098700.v4.1 MKEEEEEEEEEGFEEWGADFLEQLIQVEEHALSSQLPSSISPPSTTTTKLSYLPPPVQPPQQQQHQDYQNNSISYSPPRELSQRPIEFGINYNNSMTFDGFSNQFSHSAPSTSVSNDNARDLEIDRLKRELGRVSKHLTNLEKECFELKKERRKKDDQIKSAYANIEEKDMDVHSRKKTNFGREHGFHSKDVHGISQHSKNAKPLEDQIDIASTSKAIGVQTERSIDFTKIDLNNDLSSHLELSKTLLGIWGSTSEQQLGRNMISKLFMACLTDFQVLFGCMSMNMSSRVQMDYMPGESSSHAALQYHLRSFPTSEAAKVSHLYSVLTKINNGVLQLEALFRSLLDLCDVPNVSILSSSLHILLVFLKYLLSLGTKLGGDNIKIEGLCSGGSLGGQDLFSVVSHETSHVGCSSHGIRSFDLKHLCKKRCWNADTSLLLTSVNWVSLFELMLRVAVSNTEECVRLEAVSIMNVILMSTNAFTQREKFGQLPIFESIAQLLKREAGSRVQKEALHLLFLLLNCSKLLSIFCSGCKEAEISDSTNDKKITSTPKGFSSTLEGLAECIACSGYSLQDIELRKRAIIMLAFLASSGKPGFEIMVTCKLPGETNFLMLILQVLVSEMDVEASAEPERSIKARTLLIREALILLNRLVSNPGYSAIALRVLTARRDMAILTIDIANRLSQEDQRHRQSDVQGHVKESEIVELGQVFKKRVFAYLGDKMS >Potri.001G359900.2.v4.1 pep chromosome:Pop_tri_v4:1:37630343:37649617:-1 gene:Potri.001G359900.v4.1 transcript:Potri.001G359900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359900.v4.1 MASSDDEADTLPESVSTYHFADDKDEPLSFSLLPIRWRESKNFDDGKKNHMIFLKGSVDNGLRTIYKQVIAWTFDLSNTTPQISVLTKEKCWMELGKPRKSYEIMIRTVLITVHCLHFARWNPEASGKSVWDYLSKTFSLYEHRPSQNDLVDHLDLIGEAVRRENSLAKCKFLLNFLGEKPRKKMLSDEFIIFQDFQAATMSAFIVDDVEDDNFEDLEEDESNDEDELFDSVCAFCDNGGNLLCCEGSCLRSFHATVEAGEESACESLGFTNREVEAMQSFFCKNCKFKQHQCFACGKLGSSDKFSGAEVFRCANATCGHFYHPHCAATMLHREDKVAAEELRKKIAAGDSFACPIHKCCICKQVEDKKKSDLQFAVCRRCPTSYHQKCLPKEIAFENEADEDTIARAWQNLLPNRILIYCLKHDIIEDIGTPVRDHIRFPDVGGKNTAAKVQKRKTSELPANEEESLSKKKRLTSEESFSGTFRTKASKEMFSSAKIVKITNDSEQISSESNSLGKMRMNNPSRKSIRENTKSASSEVERSTTANVNKTSLGDKLYGFMTIKSGKAKLRKQDIFGSELDKSLAVKSVGKKLTSELPSLDADTQRRLLALVKEAASSITLDNVIKKHEVPSTHVHSSKNVVDKNITLGKVEGTVEAVRTALKKLEEKCSIEDAKAVCEPDVLNQVFKWKNKLKVYLAPFLYGMRYTSFGRHFTKVEKLVEIADILCWYVENGDMIVDFCCGANDFSCIMKKKLEEMGKKCSYKNYDVIQPKNDFNFEKRDWMTVCPDELPKKGSQLIMGLNPPFGVKAALANKFIDKALQFKPKLLILIVPPETERLDKKKPYNLVWENDHFLSGKSFYLPGSVNENDKQMDQWNVTAPPLYLWSRQEWSAKHKAIAQKHGHPFKQQEISNLDKNHFETKTPDPVNDQYNNAGASMLPNYIPLQSKEPEESNCGIVNDDHKGRSQCNNSDRESQDSHCPRKSHSDETSRKKRQGEKMVERGTGEKSLEGRQNGGKKPSPSDSDKGVHRPSPPPNIDGRSLLDGSSRSVEKQSQAGIGKNCYQHLDPSFSDSYSQQHATPYGGSWASNHDDMNRRHCTNIHESYSLNIHGLSSGGNMEEQSTRCMNGTEFVRQPQVHLYGLQGADSARWNYPSGRYLGYGHMEPAPAIPYGHMGSASEPPYMMNMSAMQRYAPRLDELNHTRMSSLGPEPSMLNRNGSYDPRAPGAGYRFDSMGFAPGPQHPYPHHSAGWLNE >Potri.001G359900.3.v4.1 pep chromosome:Pop_tri_v4:1:37630403:37649690:-1 gene:Potri.001G359900.v4.1 transcript:Potri.001G359900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359900.v4.1 MASSDDEADTLPESVSTYHFADDKDEPLSFSLLPIRWRESKNFDDGKKNHMIFLKGSVDNGLRTIYKQVIAWTFDLSNTTPQISVLTKEKCWMELGKPRKSYEIMIRTVLITVHCLHFARWNPEASGKSVWDYLSKTFSLYEHRPSQNDLVDHLDLIGEAVRRENSLAKCKFLLNFLGEKPRKKMLSDEDFQAATMSAFIVDDVEDDNFEDLEEDESNDEDELFDSVCAFCDNGGNLLCCEGSCLRSFHATVEAGEESACESLGFTNREVEAMQSFFCKNCKFKQHQCFACGKLGSSDKFSGAEVFRCANATCGHFYHPHCAATMLHREDKVAAEELRKKIAAGDSFACPIHKCCICKQVEDKKKSDLQFAVCRRCPTSYHQKCLPKEIAFENEADEDTIARAWQNLLPNRILIYCLKHDIIEDIGTPVRDHIRFPDVGGKNTAAKVQKRKTSELPANEEESLSKKKRLTSEESFSGTFRTKASKEMFSSAKIVKITNDSEQISSESNSLGKMRMNNPSRKSIRENTKSASSEVERSTTANVNKTSLGDKLYGFMTIKSGKAKLRKQDIFGSELDKSLAVKSVGKKLTSELPSLDADTQRRLLALVKEAASSITLDNVIKKHEVPSTHVHSSKNVVDKNITLGKVEGTVEAVRTALKKLEEKCSIEDAKAVCEPDVLNQVFKWKNKLKVYLAPFLYGMRYTSFGRHFTKVEKLVEIADILCWYVENGDMIVDFCCGANDFSCIMKKKLEEMGKKCSYKNYDVIQPKNDFNFEKRDWMTVCPDELPKKGSQLIMGLNPPFGVKAALANKFIDKALQFKPKLLILIVPPETERLDKKKPYNLVWENDHFLSGKSFYLPGSVNENDKQMDQWNVTAPPLYLWSRQEWSAKHKAIAQKHGHPFKQQEISNLDKNHFETKTPDPVNDQYNNAGASMLPNYIPLQSKEPEESNCGIVNDDHKGRSQCNNSDRESQDSHCPRKSHSDETSRKKRQGEKMVERGTGEKSLEGRQNGGKKPSPSDSDKGVHRPSPPPNIDGRSLLDGSSRSVEKQSQAGIGKNCYQHLDPSFSDSYSQQHATPYGGSWASNHDDMNRRHCTNIHESYSLNIHGLSSGGNMEEQSTRCMNGTEFVRQPQVHLYGLQGADSARWNYPSGRYLGYGHMEPAPAIPYGHMGSASEPPYMMNMSAMQRYAPRLDELNHTRMSSLGPEPSMLNRNGSYDPRAPGAGYRFDSMGFAPGPQHPYPHHSAGWLNE >Potri.001G359900.6.v4.1 pep chromosome:Pop_tri_v4:1:37630778:37640443:-1 gene:Potri.001G359900.v4.1 transcript:Potri.001G359900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359900.v4.1 MIYIYILYCKLAQSITCQVFRCANATCGHFYHPHCAATMLHREDKVAAEELRKKIAAGDSFACPIHKCCICKQVEDKKKSDLQFAVCRRCPTSYHQKCLPKEIAFENEADEDTIARAWQNLLPNRILIYCLKHDIIEDIGTPVRDHIRFPDVGGKNTAAKVQKRKTSELPANEEESLSKKKRLTSEESFSGTFRTKASKEMFSSAKIVKITNDSEQISSESNSLGKMRMNNPSRKSIRENTKSASSEVERSTTANVNKTSLGDKLYGFMTIKSGKAKLRKQDIFGSELDKSLAVKSVGKKLTSELPSLDADTQRRLLALVKEAASSITLDNVIKKHEVPSTHVHSSKNVVDKNITLGKVEGTVEAVRTALKKLEEKCSIEDAKAVCEPDVLNQVFKWKNKLKVYLAPFLYGMRYTSFGRHFTKVEKLVEIADILCWYVENGDMIVDFCCGANDFSCIMKKKLEEMGKKCSYKNYDVIQPKNDFNFEKRDWMTVCPDELPKKGSQLIMGLNPPFGVKAALANKFIDKALQFKPKLLILIVPPETERLDKKKPYNLVWENDHFLSGKSFYLPGSVNENDKQMDQWNVTAPPLYLWSRQEWSAKHKAIAQKHGHPFKQQEISNLDKNHFETKTPDPVNDQYNNAGASMLPNYIPLQSKEPEESNCGIVNDDHKGRSQCNNSDRESQDSHCPRKSHSDETSRKKRQGEKMVERGTGEKSLEGRQNGGKKPSPSDSDKGVHRPSPPPNIDGRSLLDGSSRSVEKQSQAGIGKNCYQHLDPSFSDSYSQQHATPYGGSWASNHDDMNRRHCTNIHESYSLNIHGLSSGGNMEEQSTRCMNGTEFVRQPQVHLYGLQGADSARWNYPSGRYLGYGHMEPAPAIPYGHMGSASEPPYMMNMSAMQRYAPRLDELNHTRMSSLGPEPSMLNRNGSYDPRAPGAGYRFDSMGFAPGPQHPYPHHSAGWLNE >Potri.001G359900.5.v4.1 pep chromosome:Pop_tri_v4:1:37630739:37649661:-1 gene:Potri.001G359900.v4.1 transcript:Potri.001G359900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359900.v4.1 MSFLFGQAMQSFFCKNCKFKQHQCFACGKLGSSDKFSGAEVFRCANATCGHFYHPHCAATMLHREDKVAAEELRKKIAAGDSFACPIHKCCICKQVEDKKKSDLQFAVCRRCPTSYHQKCLPKEIAFENEADEDTIARAWQNLLPNRILIYCLKHDIIEDIGTPVRDHIRFPDVGGKNTAAKVQKRKTSELPANEEESLSKKKRLTSEESFSGTFRTKASKEMFSSAKIVKITNDSEQISSESNSLGKMRMNNPSRKSIRENTKSASSEVERSTTANVNKTSLGDKLYGFMTIKSGKAKLRKQDIFGSELDKSLAVKSVGKKLTSELPSLDADTQRRLLALVKEAASSITLDNVIKKHEVPSTHVHSSKNVVDKNITLGKVEGTVEAVRTALKKLEEKCSIEDAKAVCEPDVLNQVFKWKNKLKVYLAPFLYGMRYTSFGRHFTKVEKLVEIADILCWYVENGDMIVDFCCGANDFSCIMKKKLEEMGKKCSYKNYDVIQPKNDFNFEKRDWMTVCPDELPKKGSQLIMGLNPPFGVKAALANKFIDKALQFKPKLLILIVPPETERLDKKKPYNLVWENDHFLSGKSFYLPGSVNENDKQMDQWNVTAPPLYLWSRQEWSAKHKAIAQKHGHPFKQQEISNLDKNHFETKTPDPVNDQYNNAGASMLPNYIPLQSKEPEESNCGIVNDDHKGRSQCNNSDRESQDSHCPRKSHSDETSRKKRQGEKMVERGTGEKSLEGRQNGGKKPSPSDSDKGVHRPSPPPNIDGRSLLDGSSRSVEKQSQAGIGKNCYQHLDPSFSDSYSQQHATPYGGSWASNHDDMNRRHCTNIHESYSLNIHGLSSGGNMEEQSTRCMNGTEFVRQPQVHLYGLQGADSARWNYPSGRYLGYGHMEPAPAIPYGHMGSASEPPYMMNMSAMQRYAPRLDELNHTRMSSLGPEPSMLNRNGSYDPRAPGAGYRFDSMGFAPGPQHPYPHHSAGWLNE >Potri.001G359900.1.v4.1 pep chromosome:Pop_tri_v4:1:37630772:37649626:-1 gene:Potri.001G359900.v4.1 transcript:Potri.001G359900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359900.v4.1 MASSDDEADTLPESVSTYHFADDKDEPLSFSLLPIRWRESKNFDDGKKNHMIFLKGSVDNGLRTIYKQVIAWTFDLSNTTPQISVLTKEKCWMELGKPRKSYEIMIRTVLITVHCLHFARWNPEASGKSVWDYLSKTFSLYEHRPSQNDLVDHLDLIGEAVRRENSLAKCKFLLNFLGEKPRKKMLSDEDFQAATMSAFIVDDVEDDNFEDLEEDESNDEDELFDSVCAFCDNGGNLLCCEGSCLRSFHATVEAGEESACESLGFTNREVEAMQSFFCKNCKFKQHQCFACGKLGSSDKFSGAEVFRCANATCGHFYHPHCAATMLHREDKVAAEELRKKIAAGDSFACPIHKCCICKQVEDKKKSDLQFAVCRRCPTSYHQKCLPKEIAFENEADEDTIARAWQNLLPNRILIYCLKHDIIEDIGTPVRDHIRFPDVGGKNTAAKVQKRKTSELPANEEESLSKKKRLTSEESFSGTFRTKASKEMFSSAKIVKITNDSEQISSESNSLGKMRMNNPSRKSIRENTKSASSEVERSTTANVNKTSLGDKLYGFMTIKSGKAKLRKQDIFGSELDKSLAVKSVGKKLTSELPSLDADTQRRLLALVKEAASSITLDNVIKKHEVPSTHVHSSKNVVDKNITLGKVEGTVEAVRTALKKLEEKCSIEDAKAVCEPDVLNQVFKWKNKLKVYLAPFLYGMRYTSFGRHFTKVEKLVEIADILCWYVENGDMIVDFCCGANDFSCIMKKKLEEMGKKCSYKNYDVIQPKNDFNFEKRDWMTVCPDELPKKGSQLIMGLNPPFGVKAALANKFIDKALQFKPKLLILIVPPETERLDKKKPYNLVWENDHFLSGKSFYLPGSVNENDKQMDQWNVTAPPLYLWSRQEWSAKHKAIAQKHGHPFKQQEISNLDKNHFETKTPDPVNDQYNNAGASMLPNYIPLQSKEPEESNCGIVNDDHKGRSQCNNSDRESQDSHCPRKSHSDETSRKKRQGEKMVERGTGEKSLEGRQNGGKKPSPSDSDKGVHRPSPPPNIDGRSLLDGSSRSVEKQSQAGIGKNCYQHLDPSFSDSYSQQHATPYGGSWASNHDDMNRRHCTNIHESYSLNIHGLSSGGNMEEQSTRCMNGTEFVRQPQVHLYGLQGADSARWNYPSGRYLGYGHMEPAPAIPYGHMGSASEPPYMMNMSAMQRYAPRLDELNHTRMSSLGPEPSMLNRNGSYDPRAPGAGYRFDSMGFAPGPQHPYPHHSAGWLNE >Potri.001G359900.4.v4.1 pep chromosome:Pop_tri_v4:1:37630482:37649644:-1 gene:Potri.001G359900.v4.1 transcript:Potri.001G359900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359900.v4.1 MASSDDEADTLPESVSTYHFADDKDEPLSFSLLPIRWRESKNFDDGKKNHMIFLKGSVDNGLRTIYKQVIAWTFDLSNTTPQISVLTKEKCWMELGKPRKSYEIMIRTVLITVHCLHFARWNPEASGKSVWDYLSKTFSLYEHRPSQNDLVDHLDLIGEAVRRENSLAKCKFLLNFLGEKPRKKMLSDEDFQAATMSAFIVDDVEDDNFEDLEEDESNDEDELFDSVCAFCDNGGNLLCCEGSCLRSFHATVEAGEESACESLGFTNREVEAMQSFFCKNCKFKQHQCFACGKLGSSDKFSGAEVFRCANATCGHFYHPHCAATMLHREDKVAAEELRKKIAAGDSFACPIHKCCICKQVEDKKKSDLQFAVCRRCPTSYHQKCLPKEIAFENEADEDTIARAWQNLLPNRILIYCLKHDIIEDIGTPVRDHIRFPDVGGKNTAAKVQKRKTSELPANEEESLSKKKRLTSEESFSGTFRTKASKEMFSSAKIVKITNDSEQISSESNSLGKMRMNNPSRKSIRENTKSASSEVERSTTANVNKTSLGDKLYGFMTIKSGKAKLRKQDIFGSELDKSLAVKSVGKKLTSELPSLDADTQRRLLALVKEAASSITLDNVIKKHEVPSTHVHSSKNVVDKNITLGKVEGTVEAVRTALKKLEEKCSIEDAKAVCEPDVLNQVFKWKNKLKVYLAPFLYGMRYTSFGRHFTKVEKLVEIADILCWYVENGDMIVDFCCGANDFSCIMKKKLEEMGKKCSYKNYDVIQPKNDFNFEKRDWMTVCPDELPKKGSQLIMGLNPPFGVKAALANKFIDKALQFKPKLLILIVPPETERLDKKKPYNLVWENDHFLSGKSFYLPGSVNENDKQMDQWNVTAPPLYLWSRQEWSAKHKAIAQKHGHPFKQQEISNLDKNHFETKTPDPVNDQYNNAGASMLPNYIPLQSKEPEESNCGIVNDDHKGRSQCNNSDRESQDSHCPRKSHSDETSRKKRQGEKMVERGTGEKSLEGRQNGGKKPSPSDSDKGVHRPSPPPNIDGRSLLDGSSRSVEKQSQAGIGKNCYQHLDPSFSDSYSQQHATPYGGSWASNHDDMNRRHCTNIHESYSLNIHGLSSGGNMEEQSTRCMNGTEFVRQPQVHLYGLQGADSARWNYPSGRYLGYGHMEPAPAIPYGHMGSASEPPYMMNMSAMQRYAPRLDELNHTRMSSLGPEPSMLNRNGSYDPRAPGAGYRFDSMGFAPGPQHPYPHHSAGWLNE >Potri.007G056100.1.v4.1 pep chromosome:Pop_tri_v4:7:5837972:5840710:1 gene:Potri.007G056100.v4.1 transcript:Potri.007G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056100.v4.1 MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRAKRELWRVQYALSRIRNAARMLLTLDEKNPRRIFEGEALLRRMNRYGLLEENQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIKQRHTRVGRQVVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRKNQKAAAKKATGGDGDEEDEE >Potri.014G166200.3.v4.1 pep chromosome:Pop_tri_v4:14:12050227:12053034:-1 gene:Potri.014G166200.v4.1 transcript:Potri.014G166200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166200.v4.1 MVGLSLGEKHFIQGGIDQNLRSDGRKRDAYRPISIETGVIPQANGSARIRIGATEVIASVKAELGRPNALQPDKGKVAIFVDCSPVAEPMFEGRGGEELSAELSVALQRCLLGGKSGAGAGIDLSSLIVAEGKICWDLYIDGLVISSDGNLLDALGAAIKAALSNTGIPRVQVAAGASGDEQPEVDISDEEFMQFDTSGVPVIVTLTKVGRHYIVDATSEEESQMSSAVSVSISRKGQICGLSKRGSAGLDPSVISDMISVARHISEQLINKLDSEISAAEADEDEM >Potri.008G158200.1.v4.1 pep chromosome:Pop_tri_v4:8:10837150:10838207:-1 gene:Potri.008G158200.v4.1 transcript:Potri.008G158200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158200.v4.1 MATSTVMQTVLASPVASSLVKNRSRVSNLFSATYVPRLRGSASKRLQCKAELDEQKMSAEPSPPPKPKVSTKFADVLAFSGPAPERINGRLAMIGFVAAMAVELSKGQDLFSQISNGGVSWFVGTSILLSVASLVPLFKGVSAESKSDGVMTSDAEMWNGRFAMLGLVALAFTEFVSGRTLL >Potri.008G158200.2.v4.1 pep chromosome:Pop_tri_v4:8:10837053:10838207:-1 gene:Potri.008G158200.v4.1 transcript:Potri.008G158200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158200.v4.1 MATSTVMQTVLASPVASSLVKNRSRVSNLFSATYVPRLRGSASKRLQCKAELDEQKMSAEPSPPPKPKVSTKFADVLAFSGPAPERINGRLAMIGFVAAMAVELSKGQDLFSQISNGGVSWFVGTSILLSVASLVPLFKGVSAESKSDGVMTSDAEMWNGRFAMLGLVALAFTEFVSGRTLL >Potri.008G023800.1.v4.1 pep chromosome:Pop_tri_v4:8:1200723:1202087:1 gene:Potri.008G023800.v4.1 transcript:Potri.008G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023800.v4.1 MSQTVVLKVGMSCGGCVGAVKRVLGKMEGVESYDIDLKEQKVTVKGNVQPDAVLQTVSKTGKKTTFWEAEAPAEPATAETLAAA >Potri.003G114400.1.v4.1 pep chromosome:Pop_tri_v4:3:13658611:13662628:1 gene:Potri.003G114400.v4.1 transcript:Potri.003G114400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114400.v4.1 MATNTDNMSSSTICGPWSWFCKGDQDNEDILLPIILLAVSVTILGTCLFQWGFKKQRETADKLPPGPRGLPIVGYLPFLGPNLHQLFMELAQTYGPIYKLSIGRKLCVIISSPALVKEVVRDQDITFANRNPTIAAKTFSYGGKDIAFQPYGPEWRMLRKILLREMQSNANLDAFYSLRRNKVKESVNETYRKIGKPVNIGELAFSTVISMISGMFWGGTLEVDTEIDIGSEFRAAASELIEILGKPNVSDFFPVLARFDIQGIERKMKKATQRIEKIYDFVMDEWIEKGSARVESEAKNDQRKDFMHFLLGFKEQDSRRSISREQIKALLMDIVVGGTDTTSTTVEWAMAEMMLHPEVMKNAQKELTDAVGTDEIVEERHIDKLQFLHAVVKETLRLHPVAPLLLPRSPSNTCFVGGYTIPRNAKVFLNVWAIHRDPKFWDNPSEFQPERFLSDVSRLDYLGNNMQYLPFGSGRRICAGQPLGERMLMYCLATFLHMFKWELPNGERADTSEKFGVVLEKSTPLIAIPTPRLSNLNLYA >Potri.001G074901.1.v4.1 pep chromosome:Pop_tri_v4:1:5646134:5649249:1 gene:Potri.001G074901.v4.1 transcript:Potri.001G074901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074901.v4.1 MVFSATVVGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGVVFVNQLVKWDAQLEQDLDKMLQKAKEANERRYFDQDDD >Potri.003G018500.2.v4.1 pep chromosome:Pop_tri_v4:3:1899907:1907429:-1 gene:Potri.003G018500.v4.1 transcript:Potri.003G018500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G018500.v4.1 MDIGAESSSPSSTSPETGVVGGGVVIDFPVSDKVSFSSPRRIPKNLQKRLLEAKTPTTSSVEEIEAKLRHAHLRRQQFYEKLSSKARPKPRSPSQCSSHEEDLAQRLEAKLHAAEQKRLSILEKAQMRLARLDELRQAAKTGVEMRFERERERLGTKVELRVQQAEANRMLMLKAYRQRRATLKERTSQSLSRRMARESKYKERVRAAINQKRAAAEKKRMGLLEAEKRRACARVLQVQRVARSVSHQREIERRRMRDKLEDRLQRAKRQRAEYLRQRGRQHSSVRVNWNKMHKQADLLSRKLARCWRQFLRSRRTTIDLAKDYDALKINENCVKLMPFEPLARLIESTGTLQTVKALLDRVESRFRVSMAVATMDHPSSLENIDHLLKRVATPKKRRTTPRSSMRSRDVKRVGTTRESARSAATLSRYPVRIVLCAYMILGHPDAVFSGQGQREIALAKSAEDFIREFELLIRIILDGPMHSSDEDSESMSPKRCTFRSQLAAFDKEWCSYLNCFVVWKVKDAQSLEEDLVRAACQLELSMIQKCKLTPEGSTDALTHDMKAIQKQVTEDQKLLREKVQHLSGDAGIERMEIALSETRSRYFQAKENGSPVGSPIIHFLSPSMPPSSPSATGSANRNNVSDGIERPSRVVRSLFREDTSSAKEPASSATSSSHFDGQSGSAVGKSITENELIINEFLHEQRHGFMDRFNLADKDENSLKEKVRETMEAAFWDSVLESMKQDEPKYEWVVQLVGEVRDEIQELAPESWKQEIVESIDPDLLAQVLRSGNLDVGYCGKILEFALVTLQKLSSPAHEDEMKALHQKMLKELAQTCQTEDESKYSHIATMIKGLRFVLQQIQALKQEISKARIRMMEPLLTGPAALDYLRKAFANHYGSDLDACNSLPLTMQWLSSVKSSEDQEWEEHKNSLLALKSHDSSSRVFVPLTSLRTGGSFLVKTNESVIASSSVASETDNQQPEPECTGERVDLLVRLGLLKLVSGVSGLTKEALPETFMLNLLRLRAVQAQIQKIIVISTSILVCRQMLLMEQAVTSSADMENILLECSNKLSEVLDRVDDAGIEEIVEVVSGLLQGDDKVVDEEKLKPRKIVMARMLAKSLQAGDPIFEKVSRAVYLALRGIVLGGSGPWGRKLVEMALRQIGAVMLTKTVVAAAEVLVVAATVSTGIHRPWYVNLTDNL >Potri.012G075100.1.v4.1 pep chromosome:Pop_tri_v4:12:9855595:9865348:-1 gene:Potri.012G075100.v4.1 transcript:Potri.012G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075100.v4.1 MSGKEFRFFLSCDINLPVTFRVERLLGPLPSSPTKSPNSEEINAELYVECALYIDGAPFGLPIRTRLESGGASYCWNELITMSTKYRDLTAHSQLAFTVWDVSCGKDEGLIGGATILLFNNKMQLKTGKQKLRLWPGKEADGSFPTTTPGKVPKHERGEVERLEKLVNKYERGQIQCVDWLDRLTFKAMEKIKELENCKNGNSSLYLVVDFCSLEHRVVFQESGANFLLPSPIASTNELVTVWDPEIGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRILNGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMNRWEMIDVCDALELLSPVFEREEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSQFLVKRSLNNIELGSFLRWYVAVELYDPAYAKRFYSTYEILEENMVKLAAGPSGEEDGFKLWQSLVRQTELTAQLCSITKDLRNVRGNTQKKTEKLRQLLSGLLSELTYFEEPIRSPLAPGVLITGIVPSESTIFKSALHPLRLTFRTHNGGTCKVIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILQEHRSIINYLQKFHPDEHGPFGITASCLETFIKSCAGYSVITYILGVGDRHLDNLLLTEDGRLFHVDFGFILGRDPKHFPPPMKLCKEMVEAMGGAESPYYTRFKSYCCEAYNIIRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDMDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >Potri.012G075100.2.v4.1 pep chromosome:Pop_tri_v4:12:9855745:9865348:-1 gene:Potri.012G075100.v4.1 transcript:Potri.012G075100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075100.v4.1 MSGKEFRFFLSCDINLPVTFRVERLLGPLPSSPTKSPNSEEINAELYVECALYIDGAPFGLPIRTRLESGGASYCWNELITMSTKYRDLTAHSQLAFTVWDVSCGKDEGLIGGATILLFNNKMQLKTGKQKLRLWPGKEADGSFPTTTPGKVPKHERGEVERLEKLVNKYERGQIQCVDWLDRLTFKAMEKIKELENCKNGNSSLYLVVDFCSLEHRVVFQESGANFLLPSPIASTNELVTVWDPEIGKINPSEHKQLKLARSLTRGIIDRDLKPSSNERKSIQRILKYPPTRILNGDERQLLWKFRFSLMSEKRALTKFLRCVEWSDVQEAKQALELMNRWEMIDVCDALELLSPVFEREEVRAYAVSVLERADDEELQCYLLQLVQALRFERSDKSRLSQFLVKRSLNNIELGSFLRWYVAVELYDPAYAKRFYSTYEILEENMVKLAAGPSGEEDGFKLWQSLVRQTELTAQLCSITKDLRNVRGNTQKKTEKLRQLLSGLLSELTYFEEPIRSPLAPGVLITGIVPSESTIFKSALHPLRLTFRTHNGGTCKVIFKKGDDLRQDQLVVQMVSLMDRLLKLENLDLHLTPYKVLATGQDEGMLEFIPSRSLAQILQEHRSIINYLQKFHPDEHGPFGITASCLETFIKSCAGYSVITYILGVGDRHLDNLLLTEDGRLFHVDFGFILGRDPKHFPPPMKLCKEMVEAMGGAESPYYTRFKSYCCEAYNIIRKSSNLILNLFHLMAGSNIPDIASDPEKGILKLQEKFRLDMDDEACIHFFQDLINESVSALFPQMVETIHRWAQYWR >Potri.017G087900.1.v4.1 pep chromosome:Pop_tri_v4:17:10082856:10089132:-1 gene:Potri.017G087900.v4.1 transcript:Potri.017G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G087900.v4.1 MEGPSHRGSSGVDMYLPNYKLGKTLGIGSFGKVKIAEHALTGHKVAVKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKDGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHQWFQARLPRYLAVPPPDTLQQAKKIDEEILQDVVKMGFDRIQLIESLRNRMQNEATVAYYLLLDNRFRVSNGYLGAEFQETMETAFNRMHPNEPLSPAGGHRLPGFMDYQGMGLKSQFPVERKWALGLQSRAHPREIMTEVLKALQELNVCWKKIGQYNMKCRWIPGTPGHHEGMVNHPAHYNHFFGDEPTIIENDGIINSPNVVKFEVQLYKTRDEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >Potri.001G218800.1.v4.1 pep chromosome:Pop_tri_v4:1:22869931:22871474:1 gene:Potri.001G218800.v4.1 transcript:Potri.001G218800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218800.v4.1 MLIQLRLTREQDTRTRTRTRMEELPLGYRFYPTEEELISFYLHNKLGGGRQERLQRVIPDISIYDIEPWDLPKLSGELCQGDTEQWFFFTPRQEREARGGRPNRTTASGYWKATGSPGYVYSSDNRVIGLKKTMVFYTGKAPRGRKTKWKMNEYRAIEVHESSSNATPKLRHEFSLCRVYVVSGSFRAFDRRPLEAVTRETQLLGDGATTSAQGPDPTVDMTSSPETSYSVEDHVDHPGTAASANWGSVDGLEPPAWEWPGQLDWP >Potri.006G046700.2.v4.1 pep chromosome:Pop_tri_v4:6:3176943:3183330:1 gene:Potri.006G046700.v4.1 transcript:Potri.006G046700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046700.v4.1 MDWDLWGPPNDTVAEESGFDNPQRDFYFDVIEEEALNEKYRVRVLRILIKKANTEILELEQDLLSLQTELAWVENEDWPDVCCNALREKIDFLDISIKYLRNKDKNDIEVRLLMYTQPVETLDEILKALFRNYICKRDKQLKDAIVLGSSSDAPRHTTNGLEKEKRLSNCNLETITSEKTKDCSSTPTEYGAVLSPSLNLQEKKTGNSKVIEPTSADIKDFSPQSSEVAPDFSDEKKSLSFCGPKNTGKRDAREHGLAPKDAKLIQSSSSKSAYKGRHGLKSVKVEPTENSVSNSTIYALENAVVLSYKEKPGDSDSTATKEVEEHSSISTPDVVISSSLKPMAKKTDLGKIVKPAGAIIKNVSAEALRRAAGLNAKKNNSDCALGTFKQANCSNSDIEQKFFEFAPKAAQKRSVKESKIAAAHDIVSLKSPQKTNGKKKTPLIVKLRETDLTDTENCALTSLLEMQDHEGKNAAKLQPDEEKPMLVEVQMTETSADEERSSMNLLSNPQKEKGKRNIKSNPPILHETGFSKLILNSSSSSISESNKKRKSRVGPQKNKSLSGKITKKAVRADKGETKEHGAATDNTPKSLSEPQNKKRVCVNFPHLLGTEDSSVQMDLSSSLGDTINGARNDDLSVEKSCSICDSSYEVVASGIADISNLRDLRLPKLRTIAGRLKLTKYSKLRKELLLELISERLAN >Potri.004G230100.4.v4.1 pep chromosome:Pop_tri_v4:4:23369095:23374254:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.22.v4.1 pep chromosome:Pop_tri_v4:4:23369109:23372496:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLADVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.11.v4.1 pep chromosome:Pop_tri_v4:4:23369094:23374254:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.3.v4.1 pep chromosome:Pop_tri_v4:4:23369445:23372263:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.9.v4.1 pep chromosome:Pop_tri_v4:4:23369109:23372267:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.19.v4.1 pep chromosome:Pop_tri_v4:4:23369095:23372495:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPVRADELR >Potri.004G230100.24.v4.1 pep chromosome:Pop_tri_v4:4:23369144:23372496:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLADVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.7.v4.1 pep chromosome:Pop_tri_v4:4:23369095:23374254:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.13.v4.1 pep chromosome:Pop_tri_v4:4:23369144:23372496:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLADVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.8.v4.1 pep chromosome:Pop_tri_v4:4:23369095:23372467:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.1.v4.1 pep chromosome:Pop_tri_v4:4:23369095:23374254:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.25.v4.1 pep chromosome:Pop_tri_v4:4:23369109:23372496:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLADVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPVRADELR >Potri.004G230100.5.v4.1 pep chromosome:Pop_tri_v4:4:23369095:23374254:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.23.v4.1 pep chromosome:Pop_tri_v4:4:23369109:23372496:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLADVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.26.v4.1 pep chromosome:Pop_tri_v4:4:23369109:23372496:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLADVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPVRADELR >Potri.004G230100.10.v4.1 pep chromosome:Pop_tri_v4:4:23369095:23374254:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSEDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.004G230100.17.v4.1 pep chromosome:Pop_tri_v4:4:23369082:23372260:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKVILEDSLREGKLMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPGKG >Potri.004G230100.6.v4.1 pep chromosome:Pop_tri_v4:4:23369095:23374254:1 gene:Potri.004G230100.v4.1 transcript:Potri.004G230100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230100.v4.1 MGEGDGKPLMFVAKPPHFFKMLPQKFVTRYGMDLTNLARLKVLGEAWEIELKRCDGKVWLQKGWKEFAEYYSVACGHFLVFEYERNCDFHVLIFDNSATEIDYPLKNNRSEVPGRGLLKECTKDRGKENNSVEILDHFSPSRRTRKKSPLPCPRPHKMVRTYSTYETGTCSKLSTSVEVPPTGTWSRGMKLESSKTKAKLRCSVRGLDEEDSIRGGRGMLMARGQRLSYAGALANMRSLTCYEKAKALCRTSAFKSENPFFKVAMSPSYVHTGYKLSVPSSFARKYFTKNKGNVTLCVTDGRTWPVKYCNRTKSGVIFCHGWKAFAKDNKLAVGDFCVFELINVTEMSLKVVFFRLKDVESLLSSDMGGANQVEPNKSLVAKPQSDWNSRDGAGISNPDDEHKPGEFEHSESRFEVEPDKFGKPELKNSSSVLVTRGSKTESKFISTHPFFKVVLRSYYLNRCFVSVPMSFVERYFKHKSQIVMLQVADRSWPVKLIIRWSQRQAILSAGWARFARENSLQVGHVCAFEIVKNGMLKVSISRSDR >Potri.013G002301.2.v4.1 pep chromosome:Pop_tri_v4:13:185385:185510:1 gene:Potri.013G002301.v4.1 transcript:Potri.013G002301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002301.v4.1 MALRRLFGFSEGELMRSVCKPCSRLMRQTAGIFSVVGAFGF >Potri.013G002301.1.v4.1 pep chromosome:Pop_tri_v4:13:184863:185911:1 gene:Potri.013G002301.v4.1 transcript:Potri.013G002301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002301.v4.1 MALRRLFGFSEGELMRSVCKPCSRLMRQTAGIFSVVGAFGF >Potri.004G153500.1.v4.1 pep chromosome:Pop_tri_v4:4:17440313:17447022:1 gene:Potri.004G153500.v4.1 transcript:Potri.004G153500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153500.v4.1 MAQPLVKKDDDRDDEAEYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGDSFTKVEATEVFFSVTKLFQSKDLGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLQQIRQNDRLAVSKLVTSLTRGTVRSPLAQCLLIRYTSQVIRESSTQTGDRPFYDFLESCLRHKAEMVIFEAARAITELSGVTSRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTTDPSKYIRYIYNRVHLENATVRAAAVSTLAKFGAMVDALKPRIFVLLRRCIFDSDDEVRDRATLYLNTLGGDGEVVETDKEVKTFLFGDLDIPLVNLETSLKNYEPSEEPFDIHSVPKEVKSQPLVEKKAPGKKPAGLGAPPAGPPSTVDAYERLLSSIPEFSNFGKLFKSSAPVELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLENVSVIVDASEADDFAEVASKPLRSLPYDTPGQTFVAFEKPEGITTVGKFTNMLRFIVKEVDPSTGEAEEDGVEDEYQLEDLEVVAADFMMKVGVSNFRNAWESMGDDFERVDEYGLGPRESLAEAVSAVINLLGMQPCEGTEVVATNSRSHTCLLSGVSLGNVKVLVRLQFGIEGSRDVAMKLSVRSEDEAIGDAIHEIVSCS >Potri.001G417600.2.v4.1 pep chromosome:Pop_tri_v4:1:44581043:44586734:1 gene:Potri.001G417600.v4.1 transcript:Potri.001G417600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417600.v4.1 MHDFVLHNGNVKVIGYSLKLLYVAIAVGLSAFVEGLCWTRTAERQTSIMRMEYLKSVLRQEVGFFDTQEAGSSTTHQVVSTISNDANSIQVAICDKIPNCLAYMSTFVFCLVTSYMLSWKLALAALPLTLMFIIPGLVFGKFMMDVIMKMIESYGVAGGIAEQAVSSIRTVYSYVAENQTLDKFSRALQQTMELGIKQGFAKGLLMGSMGMIYVGWSFQAWLGTYLVTEKGEKGGSIFVAGINIIMGGLSVLGALPNLTSITEAMAASTRIFQMIDRTPSIDSEDKKGKALSYIRGEIQFQDIYFNYPSRPDTPILQGLNLTIPAGKTVGLVGGSGSGKSTVISLLQRFYDPNEGQILLDGHKVNRLQLKWWRSQMGLVNQEPVLFATSIKENILFGKEGASMDDVVNAAKDANAHDFITKLPDGYETQVGQFGFQLSGGQKQRIAIARALIRDPKILLLDEATSALDVQSERIVQDAIDEASKGRTTITIAHRLSTIRTANLIVVLQAGRVIESGSHEQLMQKNDGQGGEYFRMVQLQMASQNEASNDFTYHNDGHSFHRMSPAPSPLSVRRSVPGTPLLNPFSPAFSMGTPYSFSIYDPADESFEDDLYQLNYPAPSQWRLLRMNAPEWGSALIGCLAAIGSGAVQPINAYCVGSLISNYFLSDKSAAKHKSNKLSLVFLGIAALDFITSLLQHYNFAVMGEKLTRRVREKLLAKLMTFEIGWFDDDENTSASICAKLATEANMFRSLVGDRMSLLVQAFFGSLFAYTLGLILTWRLALVMIAVQPLVVGSYYSKSVLMKSMAGKAQKAQKEGSQLASEAVINHRTITAFSSQRRMLGLFRATLRGPREESARHSWLSGFGLFSSQFLNTASTALAFWYGGRLLTEGLISPEHLFQAFLILLFSAYVIAEAGSMTNDLSKGGNAIRSVLAILDRKSEIDPNNSWGALDIKKKLKGQVEFNNVFFAYPTRPDQMIFKGLNLKIDAGKTMALVGPSGSGKSTVIGLIERFYDPMKGTVFIDGQDVKSYNLRLLRSHIALVSQEPTLFAGTIRENIAYGKEDARESEIRKAAVLANAHEFISGMKEGYDTYCGERGVQLSGGQKQRIALARAIIKDPSILLLDEATSALDSVSESLVQEALEKMMVGRTCVVIAHRLSTIQKSNCISVIKNGKVVEQGSHSQLMELGSGGAYYSLTRIQSGSSSYH >Potri.001G417600.1.v4.1 pep chromosome:Pop_tri_v4:1:44580518:44586734:1 gene:Potri.001G417600.v4.1 transcript:Potri.001G417600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417600.v4.1 MGGKDSMFRYADSKDKLLMFFGVLGSIGDGLQYPLTMYVLSHVINEYGSSSASVSIDTVNKYSLKLLYVAIAVGLSAFVEGLCWTRTAERQTSIMRMEYLKSVLRQEVGFFDTQEAGSSTTHQVVSTISNDANSIQVAICDKIPNCLAYMSTFVFCLVTSYMLSWKLALAALPLTLMFIIPGLVFGKFMMDVIMKMIESYGVAGGIAEQAVSSIRTVYSYVAENQTLDKFSRALQQTMELGIKQGFAKGLLMGSMGMIYVGWSFQAWLGTYLVTEKGEKGGSIFVAGINIIMGGLSVLGALPNLTSITEAMAASTRIFQMIDRTPSIDSEDKKGKALSYIRGEIQFQDIYFNYPSRPDTPILQGLNLTIPAGKTVGLVGGSGSGKSTVISLLQRFYDPNEGQILLDGHKVNRLQLKWWRSQMGLVNQEPVLFATSIKENILFGKEGASMDDVVNAAKDANAHDFITKLPDGYETQVGQFGFQLSGGQKQRIAIARALIRDPKILLLDEATSALDVQSERIVQDAIDEASKGRTTITIAHRLSTIRTANLIVVLQAGRVIESGSHEQLMQKNDGQGGEYFRMVQLQMASQNEASNDFTYHNDGHSFHRMSPAPSPLSVRRSVPGTPLLNPFSPAFSMGTPYSFSIYDPADESFEDDLYQLNYPAPSQWRLLRMNAPEWGSALIGCLAAIGSGAVQPINAYCVGSLISNYFLSDKSAAKHKSNKLSLVFLGIAALDFITSLLQHYNFAVMGEKLTRRVREKLLAKLMTFEIGWFDDDENTSASICAKLATEANMFRSLVGDRMSLLVQAFFGSLFAYTLGLILTWRLALVMIAVQPLVVGSYYSKSVLMKSMAGKAQKAQKEGSQLASEAVINHRTITAFSSQRRMLGLFRATLRGPREESARHSWLSGFGLFSSQFLNTASTALAFWYGGRLLTEGLISPEHLFQAFLILLFSAYVIAEAGSMTNDLSKGGNAIRSVLAILDRKSEIDPNNSWGALDIKKKLKGQVEFNNVFFAYPTRPDQMIFKGLNLKIDAGKTMALVGPSGSGKSTVIGLIERFYDPMKGTVFIDGQDVKSYNLRLLRSHIALVSQEPTLFAGTIRENIAYGKEDARESEIRKAAVLANAHEFISGMKEGYDTYCGERGVQLSGGQKQRIALARAIIKDPSILLLDEATSALDSVSESLVQEALEKMMVGRTCVVIAHRLSTIQKSNCISVIKNGKVVEQGSHSQLMELGSGGAYYSLTRIQSGSSSYH >Potri.003G035200.2.v4.1 pep chromosome:Pop_tri_v4:3:4061774:4062872:-1 gene:Potri.003G035200.v4.1 transcript:Potri.003G035200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G035200.v4.1 MANYGTIPTSSSPGSSTKLEYISRAKERIKAGLGTRQPWKVMFNFRSFNFPGTLSDALARVRKNIAYFTMNYAIVVLIILFLSLLWHPVSLIVFIVMGVAWVCLYFLRDEPLVVLGRTIDDRVVMIVLGVLTIFFLLLTHVTWNVLVSLLVGVVLVLIHGVTRKIDDLSLDEETTGLMSSTHAAGAGDGAGPSS >Potri.013G133200.2.v4.1 pep chromosome:Pop_tri_v4:13:13903539:13907441:-1 gene:Potri.013G133200.v4.1 transcript:Potri.013G133200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133200.v4.1 MAGNREVGFPKTTASSLREQLARTTLSRVRARGHPYLELREDGKRFIFFCTLCLSPCYSDTILLDHLRGNLHTERLSAAKATLLKPNPWPFSDGIHFFDASSGNEEQLAIKDGKESSRFLKFEENSDNLAIVKYVENLKPGCDTVVDENLSGSDEGSDLVIPSVRLKEEVSDLKATLVGSGQIAARMYEKKDGSNEISRIWCEWLGKKSSNDEDKVKVLDHDFGVVTFAYDYELGKSGLFDDVKLLLSSSAPALTENDERGNWKRKRSVSEPEDVSRSLTNQYGLCEEESSKTTCASSNLVLDRYDDQLMHTRFISNKTVRREVRKQQRIAAEKMCDICQQKMLPEKDVATLWNRKTGKLACSSRNVYGAFHVFHASCLIHWILYCEFEIVRNQTVSTKGGRRSRKKNGTKSNTTGKDGTVNVLPNPIVSVFCPDCQGTGVNIEGDEFEKPLTPLSEMFKYKIKVSEGHRGWMKNPEILENCSTGFHFPSQSGEPVQEKVLPLKLLHFYRPEE >Potri.013G133200.3.v4.1 pep chromosome:Pop_tri_v4:13:13903539:13907411:-1 gene:Potri.013G133200.v4.1 transcript:Potri.013G133200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133200.v4.1 MAGNREVGFPKTTASSLREQLARTTLSRVRARGHPYLELREDGKRFIFFCTLCLSPCYSDTILLDHLRGNLHTERLSAAKATLLKPNPWPFSDGIHFFDASSGNEEQLAIKDGKESSRFLKFEENSDNLAIVKYVENLKPGCDTVVDENLSGSDEGSDLVIPSVRLKEEVSDLKATLVGSGQIAARMYEKKDGSNEISRIWCEWLGKKSSNDEDKVKVLDHDFGVVTFAYDYELGKSGLFDDVKLLLSSSAPALTENDERGNWKRKRSVSEPEDVSRSLTNQYGLCEEESSKTTCASSNLVLDRYDDQLMHTRFISNKTVRREVRKQQRIAAEKMCDICQQKMLPEKDVATLWNRKTGKLACSSRNVYGAFHVFHASCLIHWILYCEFEIVRNQTVSTKGGRRSRKKNGTKSNTTGKDGTVNVLPNPIVSVFCPDCQGTGVNIEGDEFEKPLTPLSEMFKYKIKVSEGHRGWMKNPEILENCSTGFHFPSQSGEPVQEKVLPLKLLHFYRPEE >Potri.001G264600.2.v4.1 pep chromosome:Pop_tri_v4:1:27996301:27999552:1 gene:Potri.001G264600.v4.1 transcript:Potri.001G264600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264600.v4.1 MDRVRAINGTDPDCPGFISYAVKNVAGKVISIGLAFVFSNLLHQLLKPLSQPRIVSDIVVGLVLGNIGVIRRAFDEQFIAILNSIAEFGMMCYMFVLGMEMDPYVIFKGPTRNALVAYAGMVSTSVLVCSIIPFMNYYKHPSIGFTLSLSISLSGSASHILTRLITSLKIGKSDIGKIVIAAGVHSDMISMLLISIGYPFLQLTVTVTDIAASVRMTLIMAAALLLQILFTATVSPIFMNWVNNENPEGKPMKGSHLVLSVAFMAFACSVAPIYGYSPILSAFVAGVFIPSKGRVSKWAIGKINFLLPTIFYPVFFFWMGYHANISKFEAGKRETWLRFFVLAVITIYIWQSFHRRESAELGFLLTAKGHFHVFLAVVASLLGITTISTCISIIIVIFLSVVHTPTVVSKIIKRARKLAPTQRMALQWLDPSSELRILLCLHDVHNVHSTINFMEISRGASDPGILVYLTDMVELTDQIASTLVQEGRDTVTVTDKDVTQMRDQITTAVQAYVEENGDGITLKRMLALSKFNGMAKDICNLAEDLMISLVILPFHKNQCANGTLDGGNPGFRHVNRKLGTDSCSGMPMFSGDSVDRGYGLVEEISRSVRSFQVAVIFFGGKDDREALAYAGRVARHPGVKLTVIRFLLDSDSENASRRDVNYRINAAEQEEEMKLDDECFAQFYERHIAGGHVSYNEKHVANSAETYATLRSLEPYGLIIVGRGGRVNSILTIGMNDWQQWPELGPIGDVLSGSDSSHATSVLIIQQHSLKGELDGVDDEFSIM >Potri.010G211600.8.v4.1 pep chromosome:Pop_tri_v4:10:20020591:20025499:-1 gene:Potri.010G211600.v4.1 transcript:Potri.010G211600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211600.v4.1 MDVNPEGKVPVVKFDDKWVSDSDVIVGILEEKYPEPSLATPPEFASVGSKIFPSFVKFLKSKDPNDGTEQALLEELKALDDHLKAHGPFIAGEKITAVDLSLAPKLYHLEVALAHFKNWTIPDKLTHVLNYIKLLFSHESFEKTKAAKEHIIAGWEPKVNA >Potri.003G105400.1.v4.1 pep chromosome:Pop_tri_v4:3:12867031:12869701:1 gene:Potri.003G105400.v4.1 transcript:Potri.003G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105400.v4.1 MRESSKPWRPFTANCCSVEDQAFFGNYSRCRTSKSDVSKSIAPLPSFRRLSFSDLSRSSSIRINEDLAQTFGPDLFDFQLSELRAITHNFSSSFLLGEGGFGTVHKGYVDDNLRQGLKAQAVAVKLLDMEGLQGHREWLAEVIFLGQLRHSNLVKLLGYCCEEEERLLVYEFMPRGSLENHLFKRLSVSLPWGTRLKIATGAAKGLAFLHGAEKPVIYRDFKTSNVLLDSDFTAKLSDFGLAKMGPEGSETHVTTRVMGTYGYAAPEYVSTGHLTTQSDVYSFGVVLLELLTGRRATDRSRPKSEQNIIDWAKPYLTSSRRLRCIMDPRLAGQYSVKGAKHMALLALQCISLNPRDRPKMPSIVETLEALQHYKDMAVSCGQWQASPKSTARNGVSPRGRLESRGASYRKSAPVTSRKRN >Potri.013G003400.1.v4.1 pep chromosome:Pop_tri_v4:13:240036:245187:1 gene:Potri.013G003400.v4.1 transcript:Potri.013G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003400.v4.1 MSVLEKPSSISSSVRETDPLLKDLNEKKQGFRKNVVSLAAELKEARNRLASQEQSFAKETVTRQEAENKAKTMEVEISRLQERLEEKNGQLQVTASTAEKYLTELDGLRSQLAATRATADASAASAQSVQLQCLALIKEIDAKNSSLKEHEERVSRLGDQLDNLQKDLQARESSQRQLKDEVMRIEHDIMRAISQAGDSKDCELRKLLDEVSPKNFDKMNKILVVKDEEIAKLKDEIRVMSTHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARAQTRRLQRMGERRDKALKELRDQIAAKQQAVSEGNNEKQNFWETSNFKIVVSLSMLILVVFSKR >Potri.002G011200.2.v4.1 pep chromosome:Pop_tri_v4:2:693236:700131:1 gene:Potri.002G011200.v4.1 transcript:Potri.002G011200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011200.v4.1 MQGGSTGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLLRLSAGGTELICEGLFSHPEEIWNLSSCPFDQRVFSTVFSSGESFGAAVWQIPELYGQLNSPQLERIASLDGHVGKLNCVLWWPSGRNDKLISIDQENIFLWSLDCSRQAAQVEVQSKESAGMLHYLSGGAWDPHDVNAVAATCESSVQFWDLRAMKKTNSIECGHVRNVDYDTRKKHILVTAEDESGIHIWDLRMPKVPVKELRGHSHWTWAVACNPEYDGLILSGGSDSTVNLWFASTTTSDELTSESVFKSPTRELDSMLLNSFSDYDDSVYGLAWSSQEPWIFASLSYDGRVVVESIKPYLPKK >Potri.002G011200.1.v4.1 pep chromosome:Pop_tri_v4:2:693236:700131:1 gene:Potri.002G011200.v4.1 transcript:Potri.002G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011200.v4.1 MQGGSTGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLLRLSAGGTELICEGLFSHPEEIWNLSSCPFDQRVFSTVFSSGESFGAAVWQIPELYGQLNSPQLERIASLDGHVGKLNCVLWWPSGRNDKLISIDQENIFLWSLDCSRQAAQVQSKESAGMLHYLSGGAWDPHDVNAVAATCESSVQFWDLRAMKKTNSIECGHVRNVDYDTRKKHILVTAEDESGIHIWDLRMPKVPVKELRGHSHWTWAVACNPEYDGLILSGGSDSTVNLWFASTTTSDELTSESVFKSPTRELDSMLLNSFSDYDDSVYGLAWSSQEPWIFASLSYDGRVVVESIKPYLPKK >Potri.005G095100.1.v4.1 pep chromosome:Pop_tri_v4:5:6672446:6675211:1 gene:Potri.005G095100.v4.1 transcript:Potri.005G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095100.v4.1 MEGMNDWLSFSMHESLNHDLAIRRFCPARMELEQGEECWEEITFSEIELLSPDDDSTSPPHLADSEVDELVDSFINVDQDGNKDDKSFEKDQDFNHLQDDHIEAFSMVNDVFQDVPKMNIEGDELEMSSSFEDLEAVSDMEPRVEDMTQGVDQGLHLVHLLLACAEALSCRDTRLAETMLSQIWPSVSPWGDSLQRVSFCFAMGLKCRLSHLNNVNAHGTFTIGGAMDRSLIVRAEKMEAFQLLHQATPYIAFGFMAANEAICQAAQEKDSLHIIDLGMEHALQWPSLMRILASRPEGPPKLRITGLIDGHNLLELEASMKELAEEASSLGIRLEFNLVSEPVSPLLLTTENLNLREGEALFVNSIMHLHKFVKESRGSLKAILQAIKKLNPTLLTVVEQDANHNGPFFLGRFIESLHYYSAIFDSLEASLPRNSPQRIKMEKVQFSTEICNIIAYEGSNRIERHERADQWRRQLSRAGFQVMGLKCMSQARMMLSVYGIDGYTLATEKGCLLLGWKGRPIMLASAWQVHNLFPS >Potri.002G100600.1.v4.1 pep chromosome:Pop_tri_v4:2:7353100:7354592:-1 gene:Potri.002G100600.v4.1 transcript:Potri.002G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100600.v4.1 MKRFNSSDPLAAFISISSSKEERTQKTNQGYSRDFQSMLDSLEEEDYSEAASHVGEKKRRLNLHQVKALEKNFEVENKLEPERKLKLAGELGLQPRQVAIWFQNRRARWKTKQLERDYGTLKANYEALKLDYCNLEQKNEVLAQKVKELKAKLSEENVDSSHSVKEEHRVSESDNNASVHSKNRDFSEKNNSSAITKDHSNISSSHELMNLFQLSDSRVILGNIYQVYQPHLMKPEEQSLFSTEESCNFFSVDQAPTLHCYFPEH >Potri.002G100600.2.v4.1 pep chromosome:Pop_tri_v4:2:7352795:7354639:-1 gene:Potri.002G100600.v4.1 transcript:Potri.002G100600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100600.v4.1 MKRFNSSDPLAAFISISSSKEERTQKTNQGYSRDFQSMLDSLEEEDYSEAASHVGEKKRRLNLHQVKALEKNFEVENKLEPERKLKLAGELGLQPRQVAIWFQNRRARWKTKQLERDYGTLKANYEALKLDYCNLEQKNEVLAQKVKELKAKLSEENVDSSHSVKEEHRVSESDNNASVHSKNRDFSEKNNSSAITKDHSNISSSHELMNLFQLSDSRVILGNIYQVYQPHLMKPEEQSLFSTEESCNFFSVDQAPTLHCYFPEH >Potri.002G100600.3.v4.1 pep chromosome:Pop_tri_v4:2:7352690:7354055:-1 gene:Potri.002G100600.v4.1 transcript:Potri.002G100600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100600.v4.1 MLDSLEEEDYSEAASHVGEKKRRLNLHQVKALEKNFEVENKLEPERKLKLAGELGLQPRQVAIWFQNRRARWKTKQLERDYGTLKANYEALKLDYCNLEQKNEVLAQKVKELKAKLSEENVDSSHSVKEEHRVSESDNNASVHSKNRDFSEKNNSSAITKDHSNISSSHELMNLFQLSDSRVILGNIYQVYQPHLMKPEEQSLFSTEESCNFFSVDQAPTLHCYFPEH >Potri.010G198400.2.v4.1 pep chromosome:Pop_tri_v4:10:19139635:19147020:1 gene:Potri.010G198400.v4.1 transcript:Potri.010G198400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198400.v4.1 MNGTDPSRGFFKDVKRLIIKVGTAVVTRTDGRLALGRLGALCEQIKDLNSLGYEVIVVTSGAVGLGRQRLKYRRLVNSSFADLQKPQVDFDGKACAAVGQNNLMALYDTLFSQLDVTSAQLLVTDSDFRDKGFRKQLDQTVKSLLALRVIPIFNENDAVSTRRAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPQSKLIHTYIKEIHQSEITFGDKSRVGRGGMTAKVKAAVNAAYAGIPVVITSGYAPENIMKVLQGERVGTLFHQDAHLWVPVKEVSGREMAVAARESSRRLQALSSQDRKKILLGVADALEANEKLIKIENEADVAAAQQAGLEKSLISRLALKPGKIESLANSIRVLANMEDPIGRVLKRTELADGLLLEKTSSPLGVLLIVFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITTAIPDTVGGRLIGLVTSRDEIPDLLKLDDVIDLVIPRGSNKLVSQIKSSTKIPVLGHADGICHVYMDKSANMEMAKRVVLDAKIDYPAACNAMETLLVHQDLVQTAGLNELIVDLRTEGVTLFGGQRACKELNLPEAHSLHHEYNSMACTVEIVDDVHAAINHIHQHGSAHTDCIIAEDQDVAEVFLCQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTKWILRGSGQVVNGDKGVIYTHKDMTLQSVD >Potri.002G069600.1.v4.1 pep chromosome:Pop_tri_v4:2:4843733:4846594:1 gene:Potri.002G069600.v4.1 transcript:Potri.002G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069600.v4.1 MELSILLVLILISMLVFSAFFWLQSRISPKEMEVIPGSLGWPVVGESFSFLSAFSSAAGIFSFMKNRQQRYGKVFKTFVLGRFTVFMTGREASKILLTGKDGMVSLNLFYTGKQVLGPTSLLQTTGEAHKRLRRLIGEPLSVDGLKTYFHFINTVAMETLDQWSGRTVLVLEEASTFTLKVIGNMIMSLEPAGEEQEKFRSNFKIISSSFASLPFKIPGTAYHNGIKARDRMYDMLDSIIAGRRSGKSIQQDFLESLIMKHSKAEGGEDQEDKLTDKQLKDNILTLLVAGHDTTTAALTWLMKFLEENPAVLEKLREEHINIQSKRTDGASLTWSEVNNMPYTNKVINETLRRATILPWFSRKAAQDFEIDGYEIKKGWSINLDVVSIHHDPQVFPDPEKFDPSRFDAPVKSFSFLGFGSGPRMCPGINLARLEICVFIHHLVIRYKWKPLEKDDSVQPTLVRMPRNKYPVIVEPL >Potri.016G035800.7.v4.1 pep chromosome:Pop_tri_v4:16:2105716:2110246:-1 gene:Potri.016G035800.v4.1 transcript:Potri.016G035800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035800.v4.1 MNGGPSGFSNAPVTKTFVIASAIFTIFLRIKGGPNKLGLSYQDIFRNPRLWKLILSVFAFSSTSEMMFGLYLLYYFRVFERQIGSNKYLVFILFSVIVSLLFEIFAVALLKDPSANLLTSGPYVSTRFHIFSNHFSDKSFIYLAGVQLLLSSWKRSILPGICGILAGSLYRLNIFGIRKAKFPEFIASFFSQLSWPSTGSPQGPTTRNVTGSARSHAGRHVERTYPAPMAPSTEPTEDAIATLVSMGFDRSSARQALVQARNDINTATNILLEALSN >Potri.011G148100.1.v4.1 pep chromosome:Pop_tri_v4:11:17589172:17592081:1 gene:Potri.011G148100.v4.1 transcript:Potri.011G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148100.v4.1 MSSEGVKDDCLAWAARDPSGVLSPYKFSRRALGKDDVSLKITHCGVCYADVIWSKNKHGDSRYPLVPGHEIAGIVKEVGSSVSNFKVGDHVGVGTYVNSCRECEHCNDKEEVSCEKGSVFTFNGIDADGSITKGGYSSYIVVHERYCFRIPDGYPLASAAPLLCAGITVYNPMMRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVLSTSVSKKEEALSVLGADNFVITSDQAQMKALYKSLDFIIDTASGDHPFDPYLSLLKTAGVFVLVGFPSEVKFSPASLNIGMKTVAGSITGGTRVIQEMLDFCAANKIYPGIEVIPIQYINEALERMVKNDVKYRFVIDIENSLK >Potri.006G133800.4.v4.1 pep chromosome:Pop_tri_v4:6:10968906:10970109:-1 gene:Potri.006G133800.v4.1 transcript:Potri.006G133800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133800.v4.1 MLSRNRMLIRSLVSSSVPCTRNFSGTAPPPRQVLKTGDTLRQTRIFTNEDVTEYSKASHDSNPLHFDSEFARNAGFEDRLVHGMLVAALFPRIIASHFPGAVYVSQSLHYKSPVYVGDAVVGESKILDKVLQER >Potri.006G133800.1.v4.1 pep chromosome:Pop_tri_v4:6:10968702:10970241:-1 gene:Potri.006G133800.v4.1 transcript:Potri.006G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133800.v4.1 MRMLIRSLVSSSVPCTRNFSGTAPPPRQVLKTGDTLRQTRIFTNEDVTEYSKASHDSNPLHFDSEFARNAGFEDRLVHGMLVAALFPRIIASHFPGAVYVSQSLHYKSPVYVGDAVVGEVQATNIRENKSRYIVKFLTRCFKNDKLLVIDGEAVAILPNLAAEKVNVVD >Potri.006G133800.2.v4.1 pep chromosome:Pop_tri_v4:6:10968702:10970233:-1 gene:Potri.006G133800.v4.1 transcript:Potri.006G133800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133800.v4.1 MLSRNRMLIRSLVSSSVPCTRNFSGTAPPPRQVLKTGDTLRQTRIFTNEDVTEYSKASHDSNPLHFDSEFARNAGFEDRLVHGMLVAALFPRIIASHFPGAVYVSQSLHYKSPVYVGDAVVGEVQATNIRENKSRYIVKFLTRCFKNDKLLVIDGEAVAILPNLAAEKVNVVD >Potri.006G133800.3.v4.1 pep chromosome:Pop_tri_v4:6:10968702:10970210:-1 gene:Potri.006G133800.v4.1 transcript:Potri.006G133800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133800.v4.1 MRNRMLIRSLVSSSVPCTRNFSGTAPPPRQVLKTGDTLRQTRIFTNEDVTEYSKASHDSNPLHFDSEFARNAGFEDRLVHGMLVAALFPRIIASHFPGAVYVSQSLHYKSPVYVGDAVVGEVQATNIRENKSRYIVKFLTRCFKNDKLLVIDGEAVAILPNLAAEKVNVVD >Potri.007G062182.1.v4.1 pep chromosome:Pop_tri_v4:7:7045098:7045250:-1 gene:Potri.007G062182.v4.1 transcript:Potri.007G062182.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062182.v4.1 METATLVAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGCIRISSKA >Potri.T028032.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:8498:11220:-1 gene:Potri.T028032.v4.1 transcript:Potri.T028032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T028032.v4.1 MSRTVKREAGSTSNGNPGDLNQEPREMKRERVTRSRGKSGVEEPNQQMDRRVLRSMYRTLQNRIKDKRDDLTRHDLDRFNTMIKEVEDLHKFVQKPREQVADAEALLGLANTLVSSVKSQSNEGITPADFVSHLIKEFGQQTRSLDNDEDAPVSIKWKDLGLLVSPIFRRCTGVSTMLGPMNTELKQRKAAVHRKRTRPAEKARPEEVDDAGGEKKTDTDKNMKIIFDILKEKKSVRLENLILNRRSFAETVENLFALSFLVKDGRVKIVVDESGCHFVSPRNAPAPSSVMSGEVAYRHFVFRFDFRDWKLMKGVVPDGEELMPHRESSGASQVEPDANNTQGTRSRTPIRKFSRNRGLVVQEDSVVVEDSPDIDDDVDARATGLMRCRRKLA >Potri.011G021900.3.v4.1 pep chromosome:Pop_tri_v4:11:1651802:1654495:-1 gene:Potri.011G021900.v4.1 transcript:Potri.011G021900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021900.v4.1 MEKKIVVAVIAFWLTLSFGSGSTSVANDICTEAMTRLRNCLPFLTTTAPSPSLSCCEAVGWVSQHATTTQDRRDLCKCLKSASLAYKVDPTRAKELPDVCKVSVPVPILPQIDCDKIQ >Potri.011G021900.2.v4.1 pep chromosome:Pop_tri_v4:11:1651802:1653073:-1 gene:Potri.011G021900.v4.1 transcript:Potri.011G021900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021900.v4.1 MEKKIVVAVIAFWLTLSFGSGSTSVANDICTEAMTRLRNCLPFLTTTAPSPSLSCCEAVGWVSQHATTTQDRRDLCKCLKSASLAYKVDPTRAKELPDVCKVSVPVPILPQIDCDKIQ >Potri.011G021900.4.v4.1 pep chromosome:Pop_tri_v4:11:1651802:1654495:-1 gene:Potri.011G021900.v4.1 transcript:Potri.011G021900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021900.v4.1 MTRLRNCLPFLTTTAPSPSLSCCEAVGWVSQHATTTQDRRDLCKCLKSASLAYKVDPTRAKELPDVCKVSVPVPILPQIDCDKIQ >Potri.001G070100.2.v4.1 pep chromosome:Pop_tri_v4:1:5283144:5288145:-1 gene:Potri.001G070100.v4.1 transcript:Potri.001G070100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070100.v4.1 MGGVERMRGGSGGEKMYNTSTPMPTHQLSDSNRRIMGSHNDNRARNLRVYQAWKGNNIFCLGGRLVFGPDVRSLFLTILLIMIPVVLFSAFVSRRLIEDFQHQLGDYIVVICAVLTAYVIILLFLTSARDPGIIPRNLHPPEDEGSSISADWPGSQVSGPSLPPTKDVMVNGMVVKVKYCQTCMLYRSPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTILCLYVLAFCWVNIRKIMDTDHCDIWRAFLKSPVSGILVLYTFICAWFVGGLTAFHLYLICTNQTTYENFRYRYDGKMNPYNLGCVRNVLEVFFTKIPKSKNKFRAKVLVDSSSGYAASMPMSHVLSPEVPKRSFDIEVGKRQAVADEDFEDLQSQIDSIGGLERCGTQPRHANWDHKANWEITPDIQVLAAEFGMEPGLADGQKISRDH >Potri.008G060100.1.v4.1 pep chromosome:Pop_tri_v4:8:3607269:3611052:1 gene:Potri.008G060100.v4.1 transcript:Potri.008G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060100.v4.1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKEFETKLEIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPLKQMIDFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVIDRIELRPTSIEKEVFPKIAADNKLYAMVLPGFWMDIGQPRDYIVGLRLYLDSLKKNSSSKLATGPHIVGNVLVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCSVMRGVYIKKHACISSSIIGWHSTVGRWARVENMTILGEDVQVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Potri.008G060100.2.v4.1 pep chromosome:Pop_tri_v4:8:3607269:3610933:1 gene:Potri.008G060100.v4.1 transcript:Potri.008G060100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060100.v4.1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKEFETKLEIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVISEYPLKQMIDFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVIDRIELRPTSIEKEVFPKIAADNKLYAMVLPGFWMDIGQPRDYIVGLRLYLDSLKKNSSSKLATGPHIVGNVLVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCSVMRGVYIKKHACISSSIIGWHSTVGRWARVENMTILGEDVQVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Potri.018G071050.1.v4.1 pep chromosome:Pop_tri_v4:18:8692039:8692563:-1 gene:Potri.018G071050.v4.1 transcript:Potri.018G071050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G071050.v4.1 MTSISTKKLDMYEAMTIVVEKDIATKNYVKSYADINLEKNTEVQSISIENKGEYEELQKGKKTSSSSAQKRQHRKINRMYEDDGVEKLSKKIGDVAFAIQSLSKNQLDVNELYIEMMKIEGFDEITLGDAFDHLVQNKMFAKQFMAKNANLRKIWVQNFVNQHYYRHVAKMILL >Potri.015G080600.1.v4.1 pep chromosome:Pop_tri_v4:15:10644724:10649547:1 gene:Potri.015G080600.v4.1 transcript:Potri.015G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080600.v4.1 MEDPSSSQPSSSTDPKSGKKDFSTAILERKKSPNRLVVDEAINDDNSVVAMHPATMEKLQFFRGDTVLIKGKKRRDTVCIVLAEEQCEEPKIRMNKVVRANLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLESYRPVRKDDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPIKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEEVDLEKVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDDTIDAEVLNSMAVTNEHFRTALGTSNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVSKDVDLTALARYTNGFSGADITEICQRACKYAIRENIEKDIEKEKRKQENPEAMEEDDVDEVPEIKAAHFEESMKYARRSVSDADIRKYQSFAQTLQQSRGFGTEFRFPDRPENAADGGAADPFASATTAADEDDLYS >Potri.001G317900.1.v4.1 pep chromosome:Pop_tri_v4:1:32795940:32801688:1 gene:Potri.001G317900.v4.1 transcript:Potri.001G317900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317900.v4.1 MEISPSTTQESLNLNTIRSRINELEEIYRDCNADSFSEINSSDSDELMKDSAQQLVSKVSQTVTEYSDFSFLGIEDLDAYLAHLKEELDAAEAESAKISNEIELLNRTCMEDSSELENDLEWMKCSLDLISSQRDREKEKGDEQMEHFSSGENQSNLINTNEENKFEILKLDNQIEESTRILKSMQDLDSVCKWYDAIEQIEDVLSGLKVIEFDGTCIRLSLRTYIPKQDVLFLQKIEETNVPYEINHEFLIEVTNGSMEIKKVEMFPNDIYIGDIVDAAKSFRQMFLHLALMETSSSLEWFVRKAQDRIIQSTLRRLVARSASTSRQSIEYLDRDEIIVAHMVGGVDAFMEVSQGWPITNSPLKLVSLKNANHHAKEISLGFLCKVEEAANSLDVHTRQNLSSFVDSVEKILVEQMHLELHSDGTSSI >Potri.011G073041.1.v4.1 pep chromosome:Pop_tri_v4:11:7224170:7226445:1 gene:Potri.011G073041.v4.1 transcript:Potri.011G073041.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073041.v4.1 MCNCTLNLNNDGYCHITSLYLKTLSFSGELPPEITNLTYLEILDLTRNYISGNIPEEWASMKHLTYLSLTSNRLSGNIPGYLGSFRSLTYLSLEANQFSGTIPSQLGNLVNLTDLILSSNQLEGTLPETLANLNLTNFRASDNNLSGRIPDFIGNWSNLDRLELYASGLEGPIPTAILSLEKLTDLRITDMSGPEFNLTNIPPIVKKLVLRNINLTGVIPRDVWTSGSLTTL >Potri.009G103000.1.v4.1 pep chromosome:Pop_tri_v4:9:9075096:9084161:1 gene:Potri.009G103000.v4.1 transcript:Potri.009G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103000.v4.1 MEIKPGLSAIVTGGASGIGRALSLALGEKGIFVTVIDFSEEKGKEVASLVEKENAKFHPNLGFPSALFIRCDVSKSRDLAAAFEKHVATYGGMDICINGAGISNPIPFYEDKTDGTHSWKHTVNVNLLAVIDCTHLAINSMLAAQKPGVIINLGSASGLYPMYNDPIYAGSKGGVVMFTRSLVPYKRRGIRINVLCPEFVKTEMGEKIDSKFIDMMGGFVPMKMVVKGAFELISDESKAGSCLWITNRRGMEYWPTPMEEAKYLARSSNSKRRVSYQAPVNLQLPLSYEKLVVQTLSHNFRNATRIVRVPLRLPIGSHQVLVKVIYAGVNASDVNFSSGRYFSGKNQDLTSSLPFDVGFEAVGIIAAVGESVTDLKVGTPAALMTFGSYTEFTVVPAKHILPVPRPDPEVVALLTSGMTASIALEKCGQMKSGEAVVVTAAAGGTGQFAVQLAKLAGNTVVATCGGNEKAILLKQLGVDRVIDYKAEDIKTVLKKEFPKGIDIIYESVGGDMFDLCLNALAMHGRLIVIGMISQYQGEHGWTPANYTGLCEKILARSQTVAGFFLLQHTHLWAQHLDRLYNMFASGKLKVVLDQKRFDGVHSVADAVEYLHSGKSVGKVVVCIDPSFSEQKSKL >Potri.008G109100.6.v4.1 pep chromosome:Pop_tri_v4:8:6899191:6903192:-1 gene:Potri.008G109100.v4.1 transcript:Potri.008G109100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109100.v4.1 MKGKGVSRDYSRRRIRSGKRTTRSLDNIVVIDVDSDDEFDNVIIIDVPESLQQKLRGSNVVREGRSFPCIISIDDDDDTVDDHEINAQGDGNLDSDGTSSHSSPASDCIGKSVYRDADGCRVAEENRPVFKLRKCNRTYPEKAPSRKRYGLDSDSESDSSEDSTSDCEVMEGSFGEVREQWEKASLKRKSKSCKGLDDQASPCSSHGDVHPNAEVENRTKQNPDPSVCSSSKNVNFEKVNTCASTSTRDGVLGGCSSSAKMENPFANYNQKGESFSRPQKSRTNENIHFHWKSDDLCGGERSMDDSSTSYNKFRTLNGLGTRFPPGPSSWSNQEKDDKQYHHRRACFQDMEQNTATGHSFPNDQSGPNLHSDDGKASVLNEDASLPDGHFLGEKHDVINSQVDSKEEYKEFTQVPSSCKILSNEAQCREKFVSYARSSEDKVVENVIASSCTTQEVSDEKSGHQKMVERAAREKSSQCHDRLGRPGTSNSAEGKEVCTDFASSSQLHHERDPLCALPGARFPYAVKDIINDREKLKETEEYKQAMEEEWAARQQQLQIQAEEAQRLRKRRKAETLRILDMERRQKQRVEEMRETQKKDEENLNIKERFRVEVRKELYRLEVTCINMASLLRGLGIHVEGGFQPLPNQVHAAYKRALLKFHPDRASKTDIRRQVEAEEKFKLISRMKEKFLSTSCY >Potri.008G109100.9.v4.1 pep chromosome:Pop_tri_v4:8:6899244:6903154:-1 gene:Potri.008G109100.v4.1 transcript:Potri.008G109100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109100.v4.1 MKGKGVSRDYSRRRIRSGKRTTRSLDNIVVIDVDSDDEFDNVIIIDVPESLQQKLRGSNVVREGRSFPCIISIDDDDDTVDDHEINAQGDGNLDSDGTSSHSSPASDCIGKSVYRDADGCRVAEENRPVFKLRKCNRTYPEKAPSRKRYGLDSDSESDSSEDSTSDCEVMEGSFGEVREQWEKASLKRKSKSCKGLDDQASPCSSHGDVHPNAEVENRTKQNPDPSVCSSSKNVNFEKVNTCASTSTRDGVLGGCSSSAKMENPFANYNQKGESFSRPQKSRTNENIHFHWKSDDLCGGERSMDDSSTSYNKFRTLNGLGTRFPPGPSSWSNQEKDDKQYHHRRACFQDMEQNTATGHSFPNDQSGPNLHSDDGKASVLNEDASLPDGHFLGEKHDVINSQVDSKEEYKEFTQVPSSCKILSNEAQCREKFVSYARSSEDKVVENVIASSCTTQEVSDEKSGHQKMVERAAREKSSQCHDRLGRPGTSNSAEGKEVCTDFASSSQLHHERDPLCALPGARFPYAVKDIINDREKLKETEEYKQAMEEEWAARQQQLQIQAEEAQRLRKRRKAETLRILDMERRQKQRVEEMRETQKKDEENLNIKERFRVEVRKELYRLEVTCINMASLLRGLGIHVEGGFQPLPNQVHAAYKRALLKFHPDRASKTDIRRQVEAEEKFKLISRMKEKFLSTSCY >Potri.008G109100.8.v4.1 pep chromosome:Pop_tri_v4:8:6899244:6903259:-1 gene:Potri.008G109100.v4.1 transcript:Potri.008G109100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109100.v4.1 MKGKGVSRDYSRRRIRSGKRTTRSLDNIVVIDVDSDDEFDNVIIIDVPESLQQKLRGSNVVREGRSFPCIISIDDDDDTVDDHEINAQGDGNLDSDGTSSHSSPASDCIGKSVYRDADGCRVAEENRPVFKLRKCNRTYPEKAPSRKRYGLDSDSESDSSEDSTSDCEVMEGSFGEVREQWEKASLKRKSKSCKGLDDQASPCSSHGDVHPNAEVENRTKQNPDPSVCSSSKNVNFEKVNTCASTSTRDGVLGGCSSSAKMENPFANYNQKGESFSRPQKSRTNENIHFHWKSDDLCGGERSMDDSSTSYNKFRTLNGLGTRFPPGPSSWSNQEKDDKQYHHRRACFQDMEQNTATGHSFPNDQSGPNLHSDDGKASVLNEDASLPDGHFLGEKHDVINSQVDSKEEYKEFTQVPSSCKILSNEAQCREKFVSYARSSEDKVVENVIASSCTTQEVSDEKSGHQKMVERAAREKSSQCHDRLGRPGTSNSAEGKEVCTDFASSSQLHHERDPLCALPGARFPYAVKDIINDREKLKETEEYKQAMEEEWAARQQQLQIQAEEAQRLRKRRKAETLRILDMERRQKQRVEEMRETQKKDEENLNIKERFRVEVRKELYRLEVTCINMASLLRGLGIHVEGGFQPLPNQVHAAYKRALLKFHPDRASKTDIRRQVEAEEKFKLISRMKEKFLSTSCY >Potri.008G109100.10.v4.1 pep chromosome:Pop_tri_v4:8:6899185:6903154:-1 gene:Potri.008G109100.v4.1 transcript:Potri.008G109100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109100.v4.1 MKGKGVSRDYSRRRIRSGKRTTRSLDNIVVIDVDSDDEFDNVIIIDVPESLQQKLRGSNVVREGRSFPCIISIDDDDDTVDDHEINAQGDGNLDSDGTSSHSSPASDCIGKSVYRDADGCRVAEENRPVFKLRKCNRTYPEKAPSRKRYGLDSDSESDSSEDSTSDCEVMEGSFGEVREQWEKASLKRKSKSCKGLDDQASPCSSHGDVHPNAEVENRTKQNPDPSVCSSSKNVNFEKVNTCASTSTRDGVLGGCSSSAKMENPFANYNQKGESFSRPQKSRTNENIHFHWKSDDLCGGERSMDDSSTSYNKFRTLNGLGTRFPPGPSSWSNQEKDDKQYHHRRACFQDMEQNTATGHSFPNDQSGPNLHSDDGKASVLNEDASLPDGHFLGEKHDVINSQVDSKEEYKEFTQVPSSCKILSNEAQCREKFVSYARSSEDKVVENVIASSCTTQEVSDEKSGHQKMVERAAREKSSQCHDRLGRPGTSNSAEGKEVCTDFASSSQLHHERDPLCALPGARFPYAVKDIINDREKLKETEEYKQAMEEEWAARQQQLQIQAEEAQRLRKRRKAETLRILDMERRQKQRVEEMRETQKKDEENLNIKERFRVEVRKELYRLEVTCINMASLLRGLGIHVEGGFQPLPNQVSYILLHSDYTT >Potri.016G102900.1.v4.1 pep chromosome:Pop_tri_v4:16:10471791:10474559:1 gene:Potri.016G102900.v4.1 transcript:Potri.016G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102900.v4.1 MIIVLTKQHTSFRPILGLMGSSQTSIPPKKNCFLTVLFLFLSTSSAQNVLRRGSSLSVEDDSDILISPDKTFSCGFYGMGQNAYWFSIWFTNSKDRTVVWMANRDRPANGRGSRVSLRRDGAMVLTDVDGSIIWETNTTSTDVGRAELLDTGNLVLKDPGGKILWQSFDFPTDTLLPNQLFTKRTKLVARLHSGSYASGYFSFFFDNDNVLRLIYDGPDISSIYWPNPDPEFDVFRNGRTNYNSSRTAVFDEMGHFISSDQLQFSAPDTGLLRIKRRLTMDHDGNLRLYSLNNETGLWAISWQALSQLCNVHGICGINSICVNTPDPKCSCPPGYEITEPGNWNKGCKPMFNSTLSQSQQVKFVLLPHVDFWGFDLNFSASATFDSCMKLCLGDYRCKSFSYRLYGERRCFTKGVLFNGYQSPSFPGNIYLRLPVSFETSQLGILNGSDLICQSAESETTIGSPSMYNFDTKRTRWVYFYSFASAIGLIEILFVVSGWWFLFRKRGSPNLAEDGYHLVLSPFRRFTYTELKKATNNFKEELGRGGSGAVYKGILTDERVVAVKRLENMYQGEDVFWAEVSTIGKINHMNLMRMWGFCSEGKHRLLVYEYMEYQSLDKHLFSPTFLEWKDRFKAALGIAKGLAYLHHECLEWVMHCDVKPGNILLDSEFEPKIADFGLAKLSQRGDNSSDFSQIRGTKGYLAPEWATNLPINAKVDVYSYGVVVLEIVKGIPLSNWVIEGREEHDESDLTRFVRVVKRKIQCGETSWIEEIVDPRLNGQFSRNQATTIVELGMSCVEEDRNKRPTMDSVVQALLECLDES >Potri.010G014700.1.v4.1 pep chromosome:Pop_tri_v4:10:2460240:2468383:1 gene:Potri.010G014700.v4.1 transcript:Potri.010G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014700.v4.1 MGSLGDDDDGEIKAGREDPNANFAPSYTQPFDSQFLPSPLPGEKGEDANELQFLQSTMLFEDTVRVEDAFETQVVDLGGETQALDDLDWFQNVDTQLIDEIIDSDGEGTDRTEVLDDGNELSDDESGRRGKCESLDGEKIQDTSLSKHGEKGLVEQSDALTDEQHLSGSALKYTSVRVESLRVSGIAARSSASNGTNNSDSCSLVTDGQISEQFTVNTNRSKTKIPEEVVWRHDMWRSDDEVKEFSNGSRCNIGCSAMRKLFAENSFIETKGHFVGGKEVPICDDGVAGLSYIDSQEPGDLSQADALLCVQKLIEESKVLFDEVDLGKIDRRKSSHISAAKGVQSLAKKTTDGGTKGKSRIFDWDDGLEDEGGGDIFRRRKEMFFGTANLGKRSFMKPSKSKGNQLGVCRDNEGKSNVQNVIEVHSESKIDLCSLRANKKMMQETETNVSRNLFNKFDEQPEADASAGQLEALTRKEVPEMLDIGLDTQMAAEAMEALFHGEGIAYDATNDGQQIPAVNSKDLMEGSLRSKAKKSIHSKQHSLSNNEDIGVTTHQSKKTLKISAKLGKQSLTPSQKCPENVRKQCDKDVVMTRSKRARSDAGLDELDRCNGTASRGHRSVKKQDLPVELATSTPVAHRTRQSLAVSKLKSAENVSIDNREKPNSQVELGGREWHKAGVVDVEASEVLNAKGNSSGLGSSQPGEPKTSKSRLLATDNYISFPRRKRSRQNLSGQLDDPHNLHAQSKPSCQPGISTDLNMKKKTRSSISVCPDLSPQHVHKPGIDGTTCNYNSVDMNGKMSNNLMGVGASVKSSHRRSNADSSPSAANEVNVPSSDNLSREKTKSYESACASPGICMTPVNAASPVCMGSEYKQSCRKNLSRACLVKEMSSLCAAWTGPISEPKDTRKRRDLSDVRVLFSHHLDEDILKQQRKIADRLKVSIASSITDATHFVTDKFVRTRNMLEAIASGKPVVTHLWLENVGQANYYIDEQKYIVRDSKKEKEFGFNLAVSLAHARQHPLLQGRRVLITPKTKPGKEIISSLVKAVRGQAVERVGRSTLKDDVVPDDLLILSCEEDYEVCVPFLEKGAAVYSSELLLNGIVTQKLEYERHRLFADTVKRTRSTVWMKKDGHNFVPVTKRR >Potri.001G061700.1.v4.1 pep chromosome:Pop_tri_v4:1:4748229:4750518:-1 gene:Potri.001G061700.v4.1 transcript:Potri.001G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061700.v4.1 MASRILACLVIAIAIATVDCNLEGDVLNSWKTQLFDPNNVLQSWDPTLVNPCTWFHVTCNSNNSITRVDLGKAGLSGPLIPELGLLANLQYLEVFSNNISGPIPRELGNLTKLVSLDLYLNKLSGPIPASLGNLGSLLFMRLHGNKLTGTIPTSVINLITTGRLRILNVSYNLLSGTVHRNNSTGLRITTVIQDPKAPAM >Potri.003G106000.1.v4.1 pep chromosome:Pop_tri_v4:3:12896402:12902086:-1 gene:Potri.003G106000.v4.1 transcript:Potri.003G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106000.v4.1 MLKSLSSLTRLPIPPISTSCRICSSKMSTTKPSPTCPKFISVEGDDIYSRSKPDGVRFSLVSYNILAQVYVKSSIFPHSPSPCLKWKARSQEILTVLKNLGTDFLCLQELDEYDSFYKKNIESYGYSSIYIQRSGQKRDGCGIFYKPDCADLLLEERIEYNDLVDSIQDVSILCDDKHSDTQANGDENSEPKNGSSSKSTLEDHGDPNDPRVRLKRDCVGIMAAFRLKNTPHHVIVANTHIYWDPEWADVKLAQAKYLLSRVAQFKELVSEKYECVPSVILAGDFNSIPGDKVYEYLVSGSSSSASLAECLDELPIPLCSVYGSTRGEPPFTNCTPDFTNTLDYIFFVPDDQIKPLSFLELPEANSPNVLGGLPNYYHPSDHLPIGAEFEITRE >Potri.014G089800.8.v4.1 pep chromosome:Pop_tri_v4:14:5835153:5839230:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLDGKENSVSAKKCKYGAKTSSLQSQGCLQIEHFFGRASQ >Potri.014G089800.12.v4.1 pep chromosome:Pop_tri_v4:14:5835153:5839228:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLVGWQGKFCICQEVQVWS >Potri.014G089800.6.v4.1 pep chromosome:Pop_tri_v4:14:5835153:5839228:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGKGNALDSATDEEVIVSLDDTREGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLVGWQGKFCICQEVQVWS >Potri.014G089800.10.v4.1 pep chromosome:Pop_tri_v4:14:5835153:5839230:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGKGNALDSATDEEVIVSLDDTREGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLDGKENSVSAKKCKYGAKTSSLQSQGCLQIEHFFGRASQ >Potri.014G089800.14.v4.1 pep chromosome:Pop_tri_v4:14:5835293:5839081:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLDGKENSVSAKKCKYGAKTSSLQSQGCLQIEHFFGRASQ >Potri.014G089800.13.v4.1 pep chromosome:Pop_tri_v4:14:5835290:5839100:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGKGNALDSATDEEVIVSLDDTREGQPILNIRKDFLGRGANRVSLNFDFFLLKMKLFFMLSNRFDMLM >Potri.014G089800.9.v4.1 pep chromosome:Pop_tri_v4:14:5835153:5839228:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLVGWQGKFCICQEVQVWS >Potri.014G089800.1.v4.1 pep chromosome:Pop_tri_v4:14:5835153:5839228:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLDGKENSVSAKKCKYGAKTSSLQSQGCLQIEHFFGRASQ >Potri.014G089800.4.v4.1 pep chromosome:Pop_tri_v4:14:5835153:5839228:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGKGNALDSATDEEVIVSLDDTREGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLDGKENSVSAKKCKYGAKTSSLQSQGCLQIEHFFGRASQ >Potri.014G089800.11.v4.1 pep chromosome:Pop_tri_v4:14:5835153:5839230:-1 gene:Potri.014G089800.v4.1 transcript:Potri.014G089800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089800.v4.1 MVTNPNNSFAKTICSICYEDLKPIVEDLQSISICGHVFHELCLQQWFEYCSNEKKRSCPVCKQNCSAQNAGRLYFQSVGDQTEPFGDRKAGECEEDPKLLRGEVKRLEGKLSGLNTILESQVKEMKHLNEELYLCKDELKKEVKLRTHAMEQKASIQHLLRSKSEELDALKLERIRLQDRNMALAKELVALKLVSDVNLEEDEVLKLASFGNEANNKDTVDILRKSLVIRNKTYKELMAKCNQLGQGEARSCKRLEKAKEKINKLKTRVKELEMVVEVKDNESLRALKASKKANCKGLVAEDIKDSSNALATSTSCAGQKEQHCVSVDLTGSSTSDRENFSFMGDKGANSSKECTRITALNKQGNAYYARDEAALNLPTAVHVTLDPDSKHQTREGESALAKSEAVSDIHSEAKVHKTVNPSGPFGIRINNGKGNALDSATDEEVIVSLDDTREGDICFSSGLMGPDGTNRYLGRWCKRGQSNGSLAMQGTSASSRDLIAVGSDGRGGRVKVLRSMNQSLLVGWQGKFCICQEVQVWS >Potri.008G071700.2.v4.1 pep chromosome:Pop_tri_v4:8:4407226:4410423:-1 gene:Potri.008G071700.v4.1 transcript:Potri.008G071700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071700.v4.1 MKGSDSFEGSKSSYSDKKVGQDEGDEEEVDGISFKSKNEGQSTSNSSIEENKKKAADGSFRQYIRSKMPRLRWTPDLHLCFVHAVERLGGQDRATPKLVLQMMNIKDLNIAHVKSHLQMYRSKRSDDPGQGQGLLFEGGDNHICNLRQLAMPQDFNQRSPFNLRYGDASWRGHDHMMCRAYMGGTALNRVKHGLYGSVIGCNNHNSLNYGSSVHIPSLNGKATGRTHQSLEAVELFQVSRQNESTPSSMESDFITKLQERSGMLDQVDFLNTSSSADKNWRTIQEMQKGLKRKTLDPDCNLDLNLSLKLASKDDDELEKFVEGCEVDGSLSLSLSSSSSSKLGGSMEGDGSGKHARMASTLDLTL >Potri.008G071700.3.v4.1 pep chromosome:Pop_tri_v4:8:4407226:4410423:-1 gene:Potri.008G071700.v4.1 transcript:Potri.008G071700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071700.v4.1 MMNIKDLNIAHVKSHLQMYRSKRSDDPGQGQGLLFEGGDNHICNLRQLAMPQDFNQRSPFNLRYGDASWRGHDHMMCRAYMGGTALNRVKHGLYGSVIGCNNHNSLNYGSSVHIPSLNGKATGRTHQSLEAVELFQVSRQNESTPSSMESDFITKLQERSGMLDQVDFLNTSSSADKNWRTIQEMQKGLKRKTLDPDCNLDLNLSLKLASKDDDELEKFVEGCEVDGSLSLSLSSSSSSKLGGSMEGDGSGKHARMASTLDLTL >Potri.008G071700.4.v4.1 pep chromosome:Pop_tri_v4:8:4408258:4410423:-1 gene:Potri.008G071700.v4.1 transcript:Potri.008G071700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071700.v4.1 MMNIKDLNIAHVKSHLQMYRSKRSDDPGQGQGLLFEGGDNHICNLRQLAMPQDFNQRSPFNLRYGDASWRGHDHMMCRAYMGGTALNRVKHGLYGSVIGCNNHNSLNYGSSVHIPSLNGKATGRTHQSLEAVELFQVSRQNESTPSSMESDFITKLQERSGMLDQVDFLNTSSSADKNWRTIQEMQKGLKRKTLDPDCNLDLNLSLKLASKDDDELEKFVEGCEVDGSLSLSLSSSSSSKLGGSMEGDGSGKHARMASTLDLTL >Potri.008G071700.5.v4.1 pep chromosome:Pop_tri_v4:8:4408330:4410398:-1 gene:Potri.008G071700.v4.1 transcript:Potri.008G071700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071700.v4.1 MKGSDSFEGSKSSYSDKKVGQDEGDEEEVDGISFKSKNEGQSTSNSSIEENKKKAADGSFRQYIRSKMPRLRWTPDLHLCFVHAVERLGGQDRATPKLVLQMMNIKDLNIAHVKSHLQMYRSKRSDDPGQGQGLLFEGGDNHICNLRQLAMPQDFNQRSPFNLRYGDASWRGHDHMMCRAYMGGTALNRVKHGLYGSVIGCNNHNSLNYGSSVHIPSLNGKATGRTHQSLEAVELFQVSRQNESTPSSMESDFITKLQERSGMLDQVDFLNTSSSADKNWRTIQEMQKGLKRKTLDPDCNLDLNLSLKLASKDDDELEKFVEGCEVDGSLSLSLSSSSSSKLGGSMEGDGSGKHARMASTLDLTL >Potri.008G071700.1.v4.1 pep chromosome:Pop_tri_v4:8:4408258:4410438:-1 gene:Potri.008G071700.v4.1 transcript:Potri.008G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071700.v4.1 MKGSDSFEGSKSSYSDKKVGQDEGDEEEVDGISFKSKNEGQSTSNSSIEENKKKAADGSFRQYIRSKMPRLRWTPDLHLCFVHAVERLGGQDRATPKLVLQMMNIKDLNIAHVKSHLQMYRSKRSDDPGQGQGLLFEGGDNHICNLRQLAMPQDFNQRSPFNLRYGDASWRGHDHMMCRAYMGGTALNRVKHGLYGSVIGCNNHNSLNYGSSVHIPSLNGKATGRTHQSLEAVELFQVSRQNESTPSSMESDFITKLQERSGMLDQVDFLNTSSSADKNWRTIQEMQKGLKRKTLDPDCNLDLNLSLKLASKDDDELEKFVEGCEVDGSLSLSLSSSSSSKLGGSMEGDGSGKHARMASTLDLTL >Potri.001G174000.2.v4.1 pep chromosome:Pop_tri_v4:1:14946791:14947069:1 gene:Potri.001G174000.v4.1 transcript:Potri.001G174000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174000.v4.1 MDVAVVKGNHALPPVVPLIWCFCKLISNAITSSTSVFWSRVSIYGFPYWRRVVALMGMPKARFCSPCDVNFGSSSIDIQNLENYQLSILCKI >Potri.011G114600.1.v4.1 pep chromosome:Pop_tri_v4:11:14489918:14491048:-1 gene:Potri.011G114600.v4.1 transcript:Potri.011G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114600.v4.1 MGDCKPLGFLIGLPFALVALVLSLIGAVIWVIGTVLSCLCPCCICFAGLANFAVSLVKLPVKVMRWFIDLIPC >Potri.013G027700.6.v4.1 pep chromosome:Pop_tri_v4:13:1797983:1803743:-1 gene:Potri.013G027700.v4.1 transcript:Potri.013G027700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027700.v4.1 MERDGVEGLGSATSPLLLAEKITGDSNKPTGDHSITPLLVFSTFVALCGSFSYGCSVGYSSPAESGIMEDLGLSVAAYSVFGSIVTIGGMIGAILSGKMADLIGRRGTMWICQIVCMAGWLAIASAKNAWCVDIGRFVVGVAIGILTYVVPVYISEITPKNLRGRFTSATQLLVCCGFAVTFFAGSIVGWRALSLLATIPNIVQIVCLFFVPESPRWLAKLGREKEFEATLQRLRGTKSDISEEAADIRDAIETLKHTSDEARTLELFQKRYAYAIIVGVGLILLQTFGGNSAVSYYLGTIFAKANVSTSVGPIVFALLQIPISIVTILLMDLFGRRTLLMASATASCLCSFLVGLSFCFQELHYLKEFTPILTVVGIMVT >Potri.013G027700.2.v4.1 pep chromosome:Pop_tri_v4:13:1797987:1803797:-1 gene:Potri.013G027700.v4.1 transcript:Potri.013G027700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027700.v4.1 MIGAILSGKMADLIGRRGTMWICQIVCMAGWLAIASAKNAWCVDIGRFVVGVAIGILTYVVPVYISEITPKNLRGRFTSATQLLVCCGFAVTFFAGSIVGWRALSLLATIPNIVQIVCLFFVPESPRWLAKLGREKEFEATLQRLRGTKSDISEEAADIRDAIETLKHTSDEARTLELFQKRYAYAIIVGVGLILLQTFGGNSAVSYYLGTIFAKANVSTSVGPIVFALLQIPISIVTILLMDLFGRRTLLMASATASCLCSFLVGLSFCFQELHYLKEFTPILTVVGIMGFGCGFALGMSGIPWVIMAEIFPVNIKASAGSLVVLTSWASSWVLTYTFNFMLEWSSAGTFFIFSGMCALTISFIWRLVPETKGRTLEEIQSTLISS >Potri.013G027700.3.v4.1 pep chromosome:Pop_tri_v4:13:1797983:1803797:-1 gene:Potri.013G027700.v4.1 transcript:Potri.013G027700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027700.v4.1 MIGAILSGKMADLIGRRGTMWICQIVCMAGWLAIASAKVPVYISEITPKNLRGRFTSATQLLVCCGFAVTFFAGSIVGWRALSLLATIPNIVQIVCLFFVPESPRWLAKLGREKEFEATLQRLRGTKSDISEEAADIRDAIETLKHTSDEARTLELFQKRYAYAIIVGVGLILLQTFGGNSAVSYYLGTIFAKANVSTSVGPIVFALLQIPISIVTILLMDLFGRRTLLMASATASCLCSFLVGLSFCFQELHYLKEFTPILTVVGIMGFGCGFALGMSGIPWVIMAEIFPVNIKASAGSLVVLTSWASSWVLTYTFNFMLEWSSAGTFFIFSGMCALTISFIWRLVPETKGRTLEEIQSTLISS >Potri.013G027700.4.v4.1 pep chromosome:Pop_tri_v4:13:1797983:1803743:-1 gene:Potri.013G027700.v4.1 transcript:Potri.013G027700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027700.v4.1 MERDGVEGLGSATSPLLLAEKITGDSNKPTGDHSITPLLVFSTFVALCGSFSYGCSVGYSSPAESGIMEDLGLSVAAYSVFGSIVTIGGMIGAILSGKMADLIGRRGTMWICQIVCMAGWLAIASAKNAWCVDIGRFVVGVAIGILTYVVPVYISEITPKNLRGRFTSATQLLVCCGFAVTFFAGSIVGWRALSLLATIPNIVQIVCLFFVPESPRWLAKLGREKEFEATLQRLRGTKSDISEEAADIRDAIETLKHTSDEARTLELFQKRYAYAIIVGVGLILLQTFGGNSAVSYYLGTIFAKANVSTSVGPIVFALLQIPISIVTILLMDLFGRRTLLMASATASCLCSFLVGLSFCFQELHYLKEFTPILTVVGIMGFGCGFALGMSGIPWVIMAENLNLNMSFHCRYFL >Potri.013G027700.5.v4.1 pep chromosome:Pop_tri_v4:13:1797983:1803743:-1 gene:Potri.013G027700.v4.1 transcript:Potri.013G027700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027700.v4.1 MERDGVEGLGSATSPLLLAEKITGDSNKPTGDHSITPLLVFSTFVALCGSFSYGCSVGYSSPAESGIMEDLGLSVAAYSVFGSIVTIGGMIGAILSGKMADLIGRRGTMWICQIVCMAGWLAIASAKNAWCVDIGRFVVGVAIGILTYVVPVYISEITPKNLRGRFTSATQLLVCCGFAVTFFAGSIVGWRALSLLATIPNIVQIVCLFFVPESPRWLAKLGREKEFEATLQRLRGTKSDISEEAADIRDAIETLKHTSDEARTLELFQKRYAYAIIVGVGLILLQTFGGNSAVSYYLGTIFAKANVSTSVGPIVFALLQIPISIVTILLMDLFGRRTLLMASATASCLCSFLVGLSFCFQELHYLKEFTPILTVVGIMGFGCGFALGMSGIPWVIMAEEHFSSSVECVL >Potri.013G027700.1.v4.1 pep chromosome:Pop_tri_v4:13:1797983:1803743:-1 gene:Potri.013G027700.v4.1 transcript:Potri.013G027700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027700.v4.1 MERDGVEGLGSATSPLLLAEKITGDSNKPTGDHSITPLLVFSTFVALCGSFSYGCSVGYSSPAESGIMEDLGLSVAAYSVFGSIVTIGGMIGAILSGKMADLIGRRGTMWICQIVCMAGWLAIASAKNAWCVDIGRFVVGVAIGILTYVVPVYISEITPKNLRGRFTSATQLLVCCGFAVTFFAGSIVGWRALSLLATIPNIVQIVCLFFVPESPRWLAKLGREKEFEATLQRLRGTKSDISEEAADIRDAIETLKHTSDEARTLELFQKRYAYAIIVGVGLILLQTFGGNSAVSYYLGTIFAKANVSTSVGPIVFALLQIPISIVTILLMDLFGRRTLLMASATASCLCSFLVGLSFCFQELHYLKEFTPILTVVGIMGFGCGFALGMSGIPWVIMAEIFPVNIKASAGSLVVLTSWASSWVLTYTFNFMLEWSSAGTFFIFSGMCALTISFIWRLVPETKGRTLEEIQSTLISS >Potri.015G000900.4.v4.1 pep chromosome:Pop_tri_v4:15:18175:18618:-1 gene:Potri.015G000900.v4.1 transcript:Potri.015G000900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G000900.v4.1 MEVFGKSTMSAPANVVYLSTILGLDGPIPVHKCDCKCQNEHVFGNMYRCRLTGLTHICDKNCNQRILYDNHSSLCRASRQIFPLSPAEEQAVRGVRRKLDAENSSAPTDSCSFKRRRDAQFHPSPFERSFSAVSPICSQVGDGMDMS >Potri.015G000900.3.v4.1 pep chromosome:Pop_tri_v4:15:18108:18893:-1 gene:Potri.015G000900.v4.1 transcript:Potri.015G000900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G000900.v4.1 MEVFGKSTMSAPANVVYLSTILGLDGPIPVHKCDCKCQNEHVFGNMYRCRLTGLTHICDKNCNQRILYDNHSSLCRASRQIFPLSPAEEQAVRGVRRKLDAENSSAPTDSCSFKRRRDAQFHPSPFERSFSAVSPICSQVGDGMDMS >Potri.008G156300.2.v4.1 pep chromosome:Pop_tri_v4:8:10702388:10705997:-1 gene:Potri.008G156300.v4.1 transcript:Potri.008G156300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156300.v4.1 MAVGKNKRISKGKKGGKKKAADPFSKKDWYDIKAPSVFSVKNVGKTLVTRTQGTKIASEGLKHRVFEVSLADLQGDEDNAYRKIRLRAEDVQGRNVLTNFWGMNFTNDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIGFTKRRPNQVKRTTYAQSSQIRQIRRKMREIMTAQAASCDLKELVRKFIPESIGKEIEKATSSIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSEDVGVKLDRPAEETMAEAPAETA >Potri.001G239700.1.v4.1 pep chromosome:Pop_tri_v4:1:25747543:25747921:1 gene:Potri.001G239700.v4.1 transcript:Potri.001G239700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239700.v4.1 MSDIVLGVNKSAASHGPRILSAGSHLMATDLFVFCVSLLLCWFHCEEFLFIYVFSSYCCGVLKYYQNAIN >Potri.014G168300.1.v4.1 pep chromosome:Pop_tri_v4:14:12274978:12277126:-1 gene:Potri.014G168300.v4.1 transcript:Potri.014G168300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168300.v4.1 MAVVGVGGKWVKLNQKGTGLGARSSHAITLVGHEAYAFGGEFAPRVPVDNKLHVFNLQTLTWSVADGTGDIPPPRVGVTMATVGKTIYVFGGRDATHKELNELYSFDTSTNQWTLLSNGDAGPPHRSYHSTASDDRHVYIFGGCGVAGRLNDLWEYDVIEKKWVKYPTPGDNCKGRGGTGLAVAQGKIWVVYGFSGVEMNDVHCFDPIQGTWTQVDTSGEKPSARSVFSTVGIGKYIIISGGEVDPSDLGHLGAGKFASEAYALDTDTLTWKRLNDGLGSDDHPGPRGWCAFAGGCLNGNQGLLVCGGNSPSNDRLDDIFFFTPCVDAIGS >Potri.009G066600.1.v4.1 pep chromosome:Pop_tri_v4:9:6698266:6701919:1 gene:Potri.009G066600.v4.1 transcript:Potri.009G066600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066600.v4.1 MGSRNLVVSYQQQPRGEAKQKAFAPADGRNRRVLQDIGNLVNDRVSLQGKKPITEVVDSVVARNVRAPAATKVPAAAIKKVNEKHRPEDVIVISSEETEKSKPVSRVPRKEVKTLTSILTARSKAACGKPEDTLVEIDAADVNNELAVVEYVDDMYEFYKLTEVDSRVHDYLQFQPDINAKMRSILVDWLIDVHRKFLLMPETLYLTINIVDRFLALKLVPRRELQLVGISSMLIACKYEEIWAPEVNDFVRISDNAYIREQVLAMEKEILGKLEWYLTVPTPYVFLVRYIKASIPSDEETENLVFFLSELGLMQYPVVVKYGPSKIAASAVYAARCTLDKIPFWTETLKHHTGYTEDMLRDCAKLLVHFHTAAAESKLKAVYKKFSSADRGAVALLTPARSLSSELP >Potri.012G009400.1.v4.1 pep chromosome:Pop_tri_v4:12:544865:547372:1 gene:Potri.012G009400.v4.1 transcript:Potri.012G009400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G009400.v4.1 MKNYSLSLAQFPCSILFLLYFLTTISSSFSSNFSSSTQLCSHHQALSLLQFKQSFSIQRSPFWFARNYQYDQYPKTESWKEGTDCCLWDGVTCDLKTGQVTELDLSFSMLHGTLHSNNSLFSLHHLQKLVLSYNDFNFSNISSQFGQFSNLMHLNLTHSNFAGQVPSEISHLSKLVSLDISNKHLSLETFSFDKIVQNLTKLRVLYLDYIHMSLVAPNSLTNLSSSLTLLSLVGCGLQGEFPSNIFLLPNLDSLILRDNEGLTGSFSSSNVSNVLWQLDLSDTRISVYLENDFISKLKSLEYIWLRNCDIRRTNVALLGNLTQLRMLDLSHNNLSGEIPSSFENLSNLQSLYLFSNLFNGTIPSFLFALPSLGYLDLHNNHFIGHISEFQHNSLEYLDLSNNHFHGPVPSSIFKQEYLEVLILASHNKLTGEISYSICKLKYLEILDLSNNSLSGSIPQCLSNFSNTLSILHLGMNNLQGTISLAFSEGNSLGYLSLNDNELEGEIPSSIINCTMLEVLNLGNNKIKDTFPHFLERLPKLQVLVLKSNKLQGFVKDPTTDNSFSKLQIFDISSNNLSGPLPTGFFNSLEAMMTSNQNMIYMTSNNYYGFADIYAYSVEMTWKGLKIEFVKIQSILRVLDLSSNSFTGEIPKLIGKLKGLQRLNLSHNYFTGHIQSSLGILTNLESLDLSSNLFTGRIPVQLVDLTFLQVLDLSHNRLEGPIPKGKQFNTFDHRSFEGNSGLCGFPMPEECSNGEAPPLPPSNFIAGDDSTLLEDGFGWKAVAIGYGCGFMFGVIMRYVVFKTRRPAWFLKMVEDQWSLNASRTKKNASRNGARRK >Potri.015G024200.1.v4.1 pep chromosome:Pop_tri_v4:15:1806862:1808029:1 gene:Potri.015G024200.v4.1 transcript:Potri.015G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024200.v4.1 MAKYSQATLLLLPLLVLLLIKSSHAAGGISIYWGQNGNEGTLAQTCATGRYAYVNIAFLNKFGNGQTPEMNLAGHCNPANGGCTIVSGGIKSCQQQGIKVLLSLGGGIGNYSLASKGDAKNVADYLWNNFLGGQSSSRPLGDAVLDGIDFDIEQGSTLYWEDLARFLSKYGRKVYLAAAPQCPFPDSNLGTALNTGLFDYVWVQFYNNRPCQYSSGNTTNLLNSWNRWTTSIDAGKIFLGLPAAPSAAGSGYIPPDVLTSQILPVIKKSPKYGGVMLWSKYWDDQNGYSPSIISSV >Potri.004G179457.1.v4.1 pep chromosome:Pop_tri_v4:4:19364072:19368921:-1 gene:Potri.004G179457.v4.1 transcript:Potri.004G179457.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179457.v4.1 MHPPPAFGSSPNLEALALEANKFDDQDGDNSVCADSKFFRPMHEITFSTEDKPKLLSQLADLVADIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEETEQLREALAKEVSKIEDREQFRIKYETNHVAIPNDGTDVWEIDPKYLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERVNSDLQKEFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMHGGSVYDYLHKQRGVFKLPNLLKVAIDVSKGMDYLHQNNIIHRDLKGANLLMDENEVVKVADFGVARVKAQTGIMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNTQPKLAELLEKCWQQDPALRPDFSEIIEILQQIAKEVGDCGEWRKEKSSGRFLSVLRRK >Potri.005G163300.1.v4.1 pep chromosome:Pop_tri_v4:5:16096015:16097210:-1 gene:Potri.005G163300.v4.1 transcript:Potri.005G163300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163300.v4.1 MASFLSSHSLLQLVLLISIIQFSSAARTFSVSDQSQDPLLFQYHNGPLLTGEISINLIWYCKFKPSQRAIVSDFIASVSSRRPTTAQPSVATWWKATEKYYNLVKTKKTSPLLLSVGTQILDESCSLGKSLSSKQIVQLASKGGQKGAINVVLTSSDVAVEGFCSSKCGTHGSSLSAKTINAKRSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTATNPFENGYFQGPKEAPLEAASACPGVYGKGAYPGYAGDLLVDSTTGASYNAHGVNGRKYVLPALFDPSTSTCSTLI >Potri.003G067900.3.v4.1 pep chromosome:Pop_tri_v4:3:9509428:9515585:-1 gene:Potri.003G067900.v4.1 transcript:Potri.003G067900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067900.v4.1 MATATMATAAGAAALLYYTLNRKLRSSTSHDDDDGDNENDSGDAPSRVHLGIERVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGTLHVGSVFGGEDSVQLKGLEVAAELRYLLNLLTLCWHFSKKPFPLFLEETGFTKESVLLQEPKAGILKPAFTILVDHKTKYFFLLIRGTHSIKDTLTAATGAVVPFHHSVVHEGGVSNLVLGYAHCGMVAAAWWISKLATPCLKQALGQFPDYKLKIVGHSLGGGTAALLTYVLREQKELSSTTCVTFAPAACMTWELAESGNDFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIASARAKVAGAGAILRPVSNGTQVVMKRAQSMAQAAWTTRPSLQLSSWSCIGPRHRATAASSNSGEGGSPGSGLKAETSEPLLTSPDSNTTTASTGTIEHPASSGGAEWTSEIEYSCTDEMASHAHMGADLDRGEDLMSDSHEDRMNEVELWQQLEHELYDRSDSEDADVAKEIREEEAAAIAEVGEDQPGSTAPGMKEVRRFFPPGKIMHIVTLHLDSAESEGDTPTSSGSDNNQPPVETKVGIFRTPRTLYGKLRLSQTMVSDHFMPVYRRQIEKLIKELEEEEAAGNHKDNAEVVL >Potri.003G067900.4.v4.1 pep chromosome:Pop_tri_v4:3:9509429:9515587:-1 gene:Potri.003G067900.v4.1 transcript:Potri.003G067900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067900.v4.1 MVAAAWWISKLATPCLKQALGQFPDYKLKIVGHSLGGGTAALLTYVLREQKELSSTTCVTFAPAACMTWELAESGNDFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIASARAKVAGAGAILRPVSNGTQVVMKRAQSMAQAAWTTRPSLQLSSWSCIGPRHRATAASSNSGEGGSPGSGLKAETSEPLLTSPDSNTTTASTGTIEHPASSGGAEWTSEIEYSCTDEMASHAHMGADLDRGEDLMSDSHEDRMNEVELWQQLEHELYDRSDSEDADVAKEIREEEAAAIAEVGEDQPGSTAPGMKEVRRFFPPGKIMHIVTLHLDSAESEGDTPTSSGSDNNQPPVETKVGIFRTPRTLYGKLRLSQTMVSDHFMPVYRRQIEKLIKELEEEEAAGNHKDNAEVVL >Potri.003G067900.1.v4.1 pep chromosome:Pop_tri_v4:3:9509348:9515587:-1 gene:Potri.003G067900.v4.1 transcript:Potri.003G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067900.v4.1 MATATMATAAGAAALLYYTLNRKLRSSTSHDDDDGDNENDSGDAPSRVHLGIERVSHRLIQAPATWLETISTLSETLRFTYSETLGKWPIGDLAFGINFLLKRQGTLHVGSVFGGEDSVQLKGLEVAAELRYLLNLLTLCWHFSKKPFPLFLEETGFTKESVLLQEPKAGILKPAFTILVDHKTKYFFLLIRGTHSIKDTLTAATGAVVPFHHSVVHEGGVSNLVLGYAHCGMVAAAWWISKLATPCLKQALGQFPDYKLKIVGHSLGGGTAALLTYVLREQKELSSTTCVTFAPAACMTWELAESGNDFITSVINGADLVPTFSAASVDDLRAEVTASAWLNDLRNQIEHTRILSTVYRSASALGSRLPSIASARAKVAGAGAILRPVSNGTQVVMKRAQSMAQAAWTTRPSLQLSSWSCIGPRHRATAASSNSGEGGSPGSGLKAETSEPLLTSPDSNTTTASTGTIEHPASSGGAEWTSEIEYSCTDEMASHAHMGADLDRGEDLMSDSHEDRMNEVELWQQLEHELYDRSDSEDADVAKEIREEEAAAIAEVGEDQPGSTAPGMKEVRRFFPPGKIMHIVTLHLDSAESEGDTPTSSGSDNNQPPVETKVGIFRTPRTLYGKLRLSQTMVSDHFMPVYRRQIEKLIKELEEEEAAGNHKDNAEVVL >Potri.005G129700.1.v4.1 pep chromosome:Pop_tri_v4:5:9944616:9950250:-1 gene:Potri.005G129700.v4.1 transcript:Potri.005G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129700.v4.1 MAEIRLTSVGQGQTKIRNVPTALTQEGFWCCPSPVVFQNTIKAQNPLNKTKPSSPTPKTTVQKKQTPFNERKPPPTPLRSAVVSDDQRNRCSDTPGVSVSIVNERTPRLKIESLPRKVAIEFGEPGTSDMKVVLLGKQGFCVKLSVHKKVLEENSRFFANKLSEEESNLSCLEIDDCEDIEIYVETMGLMYCKEMKQRLIKQSVSRVLRILKFAELLRFNTCIQSCLEYLEAVPWVGEEEEEKVISLVTRLQNEGIGVTPVLKRVFSTVANPSKDTISHIMELVLKSNEEKGRREMKSIVQKLLRENSSLPSYAGSSDICNETIYSSCRICLNSLLSLFKKAAEPEFTDTPVNSKQTVVKQIALEADNLSWLLEILADRQAADEFALMWSSQQELTALHSKLPIVSRYHVSCITSRLFVGIGRGELLPSKDTRHLLLQTWLQPLISDYSWLQHGCRSFDRKVVEEGIGRTILTLPLENQQTILLSWLGTFLKSGDNCPNLQRAFEVWWRRTFIRPYME >Potri.004G154800.1.v4.1 pep chromosome:Pop_tri_v4:4:17531193:17533886:-1 gene:Potri.004G154800.v4.1 transcript:Potri.004G154800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G154800.v4.1 MASFCRSAMTATTRSLASLSKTAGQKTLYAKSMSSPFTSTPTRTILATSRIVSVLGSVESLMPLHSAIANARLKSSIAVDSSCWSWLSQDFAVPR >Potri.004G154800.3.v4.1 pep chromosome:Pop_tri_v4:4:17531193:17533885:-1 gene:Potri.004G154800.v4.1 transcript:Potri.004G154800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G154800.v4.1 MASFCRSAMTATTRSLASLSKTAGQKTLYAKSMSSPFTSTPTRTILATSRIVSVLGSVESLMPLHSAIANARLKSSIAVDSSCWSWLSQATEFLPSFLPWHFPDFAVPR >Potri.013G108800.1.v4.1 pep chromosome:Pop_tri_v4:13:11728313:11728919:-1 gene:Potri.013G108800.v4.1 transcript:Potri.013G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108800.v4.1 MAISSFSTIFDPFTWGPFKDFSFPSSNSLVSHENSAFPNIHIDWKETPEAHVFNADLPGLKKEQVRVEIKDGKVLQISRERNVEKEDKNKTRHRVERSSRRNRGRMRFLL >Potri.T085401.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:193273:198990:1 gene:Potri.T085401.v4.1 transcript:Potri.T085401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085401.v4.1 MIGLVDRERVDAQLYDDYETAKAREKRLAKEARAQEVQAKNASLGKKVKEQSTMKGMKGRGEASFYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKAQSYISFCRLDIDIHRNIPHIHLHEKRNNDDCWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFRFISDAPDKNVTIKFARRTDVMPPVPLETKHHPSSVDLLLIKRLIAETSKQNLLQFLQHEFVNIGKSLAERLIGEMGPEFSPKMVVKSLTDQQIVRINQLFRQAKFDDPTGDCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWGSYKINQTQDKIGVFVSVVSTKIPFKGTGKEYIGDDINEIATAVKPSSLPFSNAASS >Potri.002G034700.1.v4.1 pep chromosome:Pop_tri_v4:2:2297355:2299844:-1 gene:Potri.002G034700.v4.1 transcript:Potri.002G034700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034700.v4.1 MADQRPFRFRLPWLSAPVAPPLRPTAEPQPPRPRVEIQAPARPITTIPIQRPPFRPAGITPATTPTTQTTAQEQTEPQPVPPRRAAIESQVNAQPVSQSRETRAASVPPSQSRETPQSRAASVPPSPSRAKTQSQAAPQTQSPSRATPQSRAASVAPSPSRTTSQPQSAAAVTVPQTQSPSRLATQVPGRKSPQLSSPSKTATQVQPTVSQSPSKKLQLATQEISQPPPKSTQSDTQQEETKPTPAAEPVQASDAVTAPTPTPEPALETPASLQKSDSRTIGADHPMPLSQPVKRVKEDIFERKKTTTISPNGETIKTARTRSAFGESHQKTSMSSGEKVPLQKEIREDISKFVHNLGMEHMEHPIGEKPVSVVTLAGENRGATMYVGSESSRKDGSVHIHRGYKINPDESSEATTDGEGSSRGRSSKDLLTKEDPARKAYINSNTQSVNNSILFETSVSERSPGVQLSLSYNDEEPSKNSSKPVRLETRKAEFQVTPAEKLSYEPKVRRRCLRGLFLESSDSDPDNPEKPRRHGCRYSCGQKRKDKDIGVL >Potri.003G215600.1.v4.1 pep chromosome:Pop_tri_v4:3:21157906:21161188:1 gene:Potri.003G215600.v4.1 transcript:Potri.003G215600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215600.v4.1 MEDMNSGYLSPKEPLLNVNESCGPSGGNTYHSLRTGFLSRLPDKVRTVLDLESSFHFNVSKTKGLSKDEKEYYEKQFATLKSFQEVDTLMTTDTIDEEDDEEQVQAEKAMKISNYANIVLLVFKIYATIRTGSIAIAASTLDSLLDLMAGGILWFTHISMKNINIYKYPIGKLRMQPVGIIIFAAVMATLGFQILVLAAEELIEDETHKKMSSNQLLWLYIIMIAASVVKLALWIYCRSSGNSIVRAYAKDHYFDVVTNVVGLVAAVLGDKYYWWIDPAGAILLAVYTITNWSGTVIENAVSLVGQTAPPEVLQKLTYLVTRHPQVKRVDTVRAYTFGVLYFVEVDIELPEELPLKEAHAIGETLQDKIEKLPEVERAFVHLDFECEHKPEHSVLSRLPNS >Potri.004G079700.1.v4.1 pep chromosome:Pop_tri_v4:4:6582831:6586439:1 gene:Potri.004G079700.v4.1 transcript:Potri.004G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079700.v4.1 METKTLLLLSLTLLSLVSFSTSFTPTDNYLINCGSNTNTSFIPTDSRIFGAESTSQGSIFPSKGQSISPKNQNPSPNSPTLYNTARVFTTASSYKFSIKRNGTHFIRLHFSPFKAQGFDLSTAKFSVLVNGNLLLSDFSTKVVVLKEYVFRVDDNALEILFSPVGKSSFGFVNAIEVFSAPKDFILDEGAKLVSANGIEVYKNLSSHVLETIHRINVGGSKLVPFNDTVWRTWIPDEDFLVLKYAAKRAVTTRAPNYQSGGASREIAPENVYMTAQQLNKDNNPIQSRFNITWDFPVGSVGVRHLVRLHFCDIVSTSLNQLYFDVYLNEYSAESDFDLSSLTFHVLSSPMYVDYIVDSNDLGAVRVSVGPSAISGPLKVNAILNGVEIMKMINPSGSQSGSKKRTVWIAVGSSIGGFVVLCLTVFVVTLSCKCKKKKPKPRRVESAGWTPVCVYGGSTHGRMSEVTVNEYRSLKIPFADVQLATNNFDNRLKIGSGGFGIVFKGVLKDNTKVAVKRGLPGSRQGLPEFQSEITVLSKIRHHHLVSLIGYCEEQSEMILVYEYMEKGPLKEHLYGPGCSHLSWKQRLEICIGAARGIHYLHTGSAQGIIHRDIKSTNILLDENYVSKVADFGLSRSGPCLDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEVLCARPAVDPLLATEQVNLAEWAMQWQKKGMLEQIIDPHLAGQIKQNSLKKFGETAEKCLADYGVDRPSMGDVLWNLEHAFQLQKSDSGPSREPREDSNVNAPELPTPRIAPQDPSKNTETETDSGDGTSEIKNSQVFSQLMTNEGR >Potri.018G081800.2.v4.1 pep chromosome:Pop_tri_v4:18:9973595:9976574:1 gene:Potri.018G081800.v4.1 transcript:Potri.018G081800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081800.v4.1 MMISLQFITSLPSLQITNSHFLKPNLLSLQCFTNPNFHSIPFPKTPYLTKSKHTLFLSFPKNSNFKLKTSQRLKLSAKFQRLICHGVKDSGEETKTVLDSGGDGGGGGDDGDEEMEKNDGILPEWLNFTTDDVKTVFSAVAVSLAFRYFVAEPRFIPSLSMYPTFDVGDRVVAEKVSYYFRKPCVNDIVIFRSPPVLQEVGYTDGDVFIKRIVAKEGDIVEVHEGKLIVNGVVRSEKFILESPLYEMTPVRVPENSVFVMGDNRNNSYDSHVWGPLPAKNIIGRSVFRYWPPKRIGGTVLETGCAVDNQKNTAASE >Potri.018G081800.7.v4.1 pep chromosome:Pop_tri_v4:18:9973647:9976030:1 gene:Potri.018G081800.v4.1 transcript:Potri.018G081800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081800.v4.1 MMISLQFITSLPSLQITNSHFLKPNLLSLQCFTNPNFHSIPFPKTPYLTKSKHTLFLSFPKNSNFKLKTSQRLKLSAKFQRLICHGVKDSGEETKTVLDSGGDGGGGGDDGDEEMEKNDGILPEWLNFTTDDVKTVFSAVAVSLAFRYFVAEPRFIPSLSMYPTFDVGDRVVAEKVSYYFRKPCVNDIVIFRSPPVLQEVGYTDGDVFIKRIVAKEGDIVEVHEGKLIVNGVVRSEKFILESPLYEMTPVVSINSIIGISCLSF >Potri.013G087400.6.v4.1 pep chromosome:Pop_tri_v4:13:8247353:8254217:-1 gene:Potri.013G087400.v4.1 transcript:Potri.013G087400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087400.v4.1 MTTTSIKCPSKSIIYNTSRCACPTGQFLNITTNSCSYFWGRSAIYTDTGVEVDNSFGFSFPETIFSFDSIKKFTQSQAVFLEATLVMVASWLLFCFFLRFTKLEHHGTHNIWFRIRWWISRLDICFATRHWLDDRKVVVKRKTELGGAFSIASWILFIGLFATLLYQIISKRTIEVHNVRATNAPDLAAFVNDMEFNITTVSSMSCSNLQGLGTLVTGNPGFIDHRVAPLTDFVNYTCRNTSMGPTLTFKCSKCHLNRDYMYISWQFVDLPSTPATAVGFQFNLSAKDHADKKHVSFVSGTLKSGSTFDDRPVTFRGKDSNILKFNLFPRIYHNLHDLRLIQPLFHEFLPGSFFGETSQLQASLETSSDGLINTTLSISYLSSYIVEIESQNIMGPVSFLADLGGLYCISIGIFFYFLVQCEYRVKRLRNEDVTMRQIRNRLKAREHWDKLRKYVMYTWGCKTLDNDYESTKQGSSCTGFMIPSIRGNGSLHGNKSSRKKLQNREDSVSFNRKVSIPSEKNSIAEHTCTQGVKPFIAESVLNSEERLLDSREEHHSVGFYEGGASKPQAYSCEDDDVIPIPPPLEFNAGSEVEMSDILKNLHRLHDYNVMLREKFLANQSLLHALASKSTSLTNG >Potri.013G087400.1.v4.1 pep chromosome:Pop_tri_v4:13:8247282:8254265:-1 gene:Potri.013G087400.v4.1 transcript:Potri.013G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087400.v4.1 MTTTSIKCPSKSIIYNTSRCACPTGQFLNITTNSCSYFWGRSAIYTDTGVEVDNSFGFSFPETIFSFDSIKKFTQSQAVFLEATLVMVASWLLFCFFLRFTKLEHHGTHNIWFRIRWWISRLDICFATRHWLDDRKVVVKRKTELGGAFSIASWILFIGLFATLLYQIISKRTIEVHNVRATNAPDLAAFVNDMEFNITTVSSMSCSNLQGLGTLVTGNPGFIDHRVAPLTDFVNYTCRNTSMGPTLTFKCSKCHLNRDYMYISWQFVDLPSTPATAVGFQFNLSAKDHADKKHVSFVSGTLKSGSTFDDRPVTFRGKDSNILKFNLFPRIYHNLHDLRLIQPLFHEFLPGSFFGETSQLQASLETSSDGLINTTLSISYLSSYIVEIESQNIMGPVSFLADLGGLYCISIGIFFYFLVQCEYRVKRLRNEDVTMRQIRNRLKAREHWDKLRKYVMYTWGCKTLDNDYESTKQGSSCTGFMIPSIRGNGSLHGNKSSRKKLQNREDSVSFNRKVSIPSEKNSIAEHTCTQGVKPFIAESVLNSEERLLDSREEHPPKGEVLISSNDRKQHSVGFYEGGASKPQAYSCEDDDVIPIPPPLEFNAGSEVEMSDILKNLHRLHDYNVMLREKFLANQSLLHALASKSTSLTNG >Potri.013G087400.5.v4.1 pep chromosome:Pop_tri_v4:13:8247353:8254265:-1 gene:Potri.013G087400.v4.1 transcript:Potri.013G087400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087400.v4.1 MTTTSIKCPSKSIIYNTSRCACPTGQFLNITTNSCSYFWGRSAIYTDTGVEVDNSFGFSFPETIFSFDSIKKFTQSQAVFLEATLVMVASWLLFCFFLRFTKLEHHGTHNIWFRIRWWISRLDICFATRHWLDDRKVVVKRKTELGGAFSIASWILFIGLFATLLYQIISKRTIEVHNVRATNAPDLAAFVNDMEFNITTVSSMSCSNLQGLGTLVTGNPGFIDHRVAPLTDFVNYTCRNTSMGPTLTFKCSKCHLNRDYMYISWQFVDLPSTPATAVGFQFNLSAKDHADKKHVSFVSGTLKSGSTFDDRPVTFRGKDSNILKFNLFPRIYHNLHDLRLIQPLFHEFLPGSFFGETSQLQASLETSSDGLINTTLSISYLSSYIVEIESQNIMGPVSFLADLGGLYCISIGIFFYFLVQCEYRVKRLRNEDVTMRQIRNRLKAREHWDKLRKYVMYTWGCKTLDNDYESTKQGSSCTGFMIPSIRGNGSLHGNKSSRKKLQNREDSVSFNRKVSIPSEKNSIAEHTCTQGVKPFIAESVLNSEERLLDSREEHPPKGEVLISSNDRKQHSVGFYEGGASKPQAYSCEDDDVIPIPPPLEFNAGSEVEMSDILKNLHRLHDYNVMLREKFLANQSLLHALASKSTSLTNG >Potri.014G017350.1.v4.1 pep chromosome:Pop_tri_v4:14:1079772:1080941:-1 gene:Potri.014G017350.v4.1 transcript:Potri.014G017350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017350.v4.1 MLTRLNLSSCPRQNLPGLRGMGGKIVYSIPFEKENYVAGFLVKLGASQISPSMVWDSPPPSSIRLLSGDAASILSLRP >Potri.002G231600.1.v4.1 pep chromosome:Pop_tri_v4:2:22360783:22362204:1 gene:Potri.002G231600.v4.1 transcript:Potri.002G231600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231600.v4.1 MASKVLMPNIPSMNHVAYSGSMVPRSHSSGQLCLPKKRADTTAFRSQSHVSSFITPAMSTELAKTSSSTSSSSLAHMWREVQGCNNWDGLVEPLHPFLRQEIIRYGEFVTACYEAFDLNPKSKRYLTCKYGKKNLFREVGMGNSDYEVTKYIYATPDVNIPIQNEPSCGRWIGYVAVSSDDAVRRLGRRDIVITFRGTVTNPEWISNLMSSLTPARLDPNNPRPEVKVESGFLSLYTSNESDNKFGLGSCREQLLSEVSRLLNRYKGEELSISLAGHSMGSSLALLLAYDIAELGLNRLDPKLDVPVTVFSFGGPRVGNSSFKERCEELGVKVLRIANVNDPITKLPGVLLNENLRVFGGRYEFPWSCSCYEHVGVEIALDFFNMQNPSCVHDLGSYISLLKCLKRDQVQKDEENLFNRARESILSRAQNINMEPLKNAASNVVNLVQSQRTEFLKDDTILGLMNSFALYILL >Potri.018G090300.1.v4.1 pep chromosome:Pop_tri_v4:18:11041155:11048294:-1 gene:Potri.018G090300.v4.1 transcript:Potri.018G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090300.v4.1 MEKATSLEEIKNETVDLERIPVEEVFEQLKCTKEGLSSEEGASRIQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGSGKPPDWQDFVGIICLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEEDAAILVPGDIISVKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKHPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIELVVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSVDKNLIEVFAKGVDKDHVVLLAARASRVENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDADGNWHRASKGAPEQILDLCNAREDVKKKTHSCMDKFAERGLRSLAVARQQVPEKSKESPGGPWEFVGLLNLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQHKDASIASLPVEELIEKADGFAGVFPEHKYEIVKKLQESKHIVGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWAVHDTDFFSNKFGVRSLRHHDEEMMGALYLQVSIVSQALIFVTRSRSWSFIERPGLLLLSAFMLAQLVATLIAVYANWGFARIKGIGWGWAGVIWIYSIVFYFPLDIMKFAIRYILSGKAWLNLLDNKTAFTTKKDYGKEEREAQWAHAQRTLHGLQPPETAGIFNEKSSYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Potri.010G101400.4.v4.1 pep chromosome:Pop_tri_v4:10:12415872:12418158:1 gene:Potri.010G101400.v4.1 transcript:Potri.010G101400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101400.v4.1 MDDDALNMRNWGYYEPSYKEPLGLQLMPAMVDRDSKHLLPRRDPNNIMVGATGAYLPRESLVSDASMHMNYMRDSWINREKFLNMLPPNPSYVVHPETSGAQSMPMLQPPDSSRDERVSRMEEPSVSKEGSQLKKRQVGGTAPKTPKPKKPRKPKDGNNNTVQRAKPAKKSVDVVINGIDMDISGIPIPVCSCTGIPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRTHWARHGTNKFVTIR >Potri.010G101400.1.v4.1 pep chromosome:Pop_tri_v4:10:12416363:12418158:1 gene:Potri.010G101400.v4.1 transcript:Potri.010G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101400.v4.1 MDDDALNMRNWGYYEPSYKEPLGLQLMPAMVDRDSKHLLPRRDPNNIMVGATGAYLPRESLVSDASMHMNYMRDSWINREKFLNMLPPNPSYVVHPETSGAQSMPMLQPPDSSRDERVSRMEEPSVSKEGSQLKKRQVGGTAPKTPKPKKPRKPKDGNNNTVQRAKPAKKSVDVVINGIDMDISGIPIPVCSCTGIPQQCYRWGCGGWQSACCTTNVSMYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRTHWARHGTNKFVTIR >Potri.003G142500.2.v4.1 pep chromosome:Pop_tri_v4:3:15803359:15809307:1 gene:Potri.003G142500.v4.1 transcript:Potri.003G142500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142500.v4.1 MEGRPKESGTKKASTGGGPPPLHTVKPMGITIFNRLFATVYALAILALFYHHTKKLLCSPTLVSFSINLALSLSDFVLTFMWISTQTFRMCPVYRKQFPENVEKVVKRSDFPALDVFICTADPYKEPPIGVVNTALSVMAYDYPTEKISVYVSDDGGSALTLFSFMEAAKFSTHWLPFCKKNNILVRSPEAYFESSHPCTSETEKIEVMYRSMKAKVEHALEKGEVDDRFITGLDQQHEIFNKWTDNFTRQDHPPVIQVLLDASKDKDIAGNLMPNLIYVSRGKCKALPHHFKAGALNALLRVSSNMTNAPTILTLDCDFCSNDPQTLLRAMCYLCDPAIRSTLAYVQFPQIYRGINKNDIYCGEYKRLFVINTMGMDGVEGPNYVGTGCFFRRRAFFGSPSSLISPEIPELSPDHVVDKPIQSQSVLALAHQVADCNYENQTDWGSKIGFRYGSLVEDYYTGFRLQCEGWKGIFCNPERPAFFGDVPINLADALNQQKRWSIGLLEVGFSKHSPATFGVRSKGILMGLGYAQLAFWAIWSIPITTYAFLPQLALLNRVSIFPKVSEPWFFLYAFLFLGAYGQDCLDFVLAGGSVQRWWNDQRFWHIRGVTCYLFGSIEFFLKFLGISASGFTVTSKAVDAEQSKRYEQGIFEFGVHSPMFVSLTLAAIINLISFSQGLVEVFGGNNLEGLFVQMFISGFAVVNSWPIYEAIALRNDTGKMPIKTTIMATLLAGALYMAASFIFK >Potri.006G038400.4.v4.1 pep chromosome:Pop_tri_v4:6:2519763:2535991:-1 gene:Potri.006G038400.v4.1 transcript:Potri.006G038400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038400.v4.1 MASLQSPLISPVPQLVNATTPNSVNKNLLFVDFVGLYCKSKRTRRKIGVSSSFSSSFSRFANKKKSSCPVNATLSVDRRNISPPSSPPHPPPDLKPQVANLEDILSERGACGVGFIANLENKPSHAIVKDALTALGCMEHRGGCGADNDSGDGSGLMTSIPWELFDKWAESEGIGSFDKLHTGVGMIFFPKDDNLMKEAKEVIVNIFKQEGLEVLGWRPVPVNTSVVGFYAKETMPNIEQVFVRVINEEDVDDIERELYICRKLIERAANSESWGNELYFCSLSNRTIVYKGMLRSEVLRLFYSDLQNDIYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRETSLKSSVWHGRENEIRPYGNPKASDSANLDSAAELLIRSGRTPEHALMVLVPEAYKNHPTLTIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTVDNFVYVASEVGVVPMDESKVTMKGRLGPGMMITVDLPGGQVYENTEVKKRVALSNPYGKWVHENLRSLKSTNFLSATVMDNESILRCQQAFGYSSEDVQMVIENMASQGKEPTFCMGDDIPLAILSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEINIGKRGNILEDGPENASQVILSSPVLNEGELELLLKDPYLKPQVLPTFFDIRKGVEGSLEKTLIKLCAAADEAVRNGSQLLVLSDRSDDLEPTRPAIPILLAVGAVHQHLIQNGLRMSTSIVADTAQCFSTHHFACLIGYGASAICPYLALETCRQWRLSKRTVNLMMNGKMPTVTIEQAQKNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGKEVVDLAFCGSVSNIGGVTFDELARETLSFWVKAFSEATAKRLENYGFIQFRPGGEYHGNNPEMSKLLHKAVRQKSENAFSIYQQHLANRPVNVLRDLLEFKSDRAPIPVGKVEPAISIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWTPLSDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNAVQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADVIQISGHDGGTGASPISSIKHAGGPWELGLTETHQTLVANGLRERVILRVDGGFKSGVDVLMAAAMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGVPGDLVNFFLYVAEEVRGMLAQLGYQKLDDIIGHTDLLRQRDISLVKTQHLDLSYIMSSVGLPKLSSTDIRNQDVHSNGPVLDDVVLADPEILDAIENEKVVNKTIKIYNVDRAVCGRIAGVVAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRLIGEANDYVGKGMAGGELVVTPVENTGFVPEDATIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAEAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYMLDEDDTLMPKVNKEIVKVQRVTAPVGQMQLKSLIEAHVEKTGSGKGAAILKEWDTYLPLFWQLVPPSEEDTPEACASFEATSAGQVTSFQSA >Potri.004G101100.1.v4.1 pep chromosome:Pop_tri_v4:4:8777740:8785540:-1 gene:Potri.004G101100.v4.1 transcript:Potri.004G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101100.v4.1 MEKEPAKLLILYATQTGNALDAAERIGREAERRGCAASVRSIDDFDASSLPHEDTVIFVVSTTGQGDTPDSMKSFWKYLLLRNIAKNWLEGVHYAVFGLGDSGYQKYNFVAKKLDRRISDLGATAVVERGLGDDQHPSGYEGALDPWMSSLWSMLYQINPKFFPNGPDFVISDTKLINQPKFQITYHEIDKVDLQSSSAPGLDHVQMQTGRARSMSPGKVSHIKNIPDCFLKMIKNQPLCRAGCGKDVRHFEFEFVSSIIEYGVGDVLEVLPGQDPAAVDAFLQCCNLNPESLITVHPIGMESRHRDAEGNDYKVPIKLKTFVELTMDIASASPRRYFFEVMSYFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPFEWLVQLVPPLKTRAFSISSSPSAHPNQVHLTVNVVSWTTPFKRKRTGLCSTWLAGLDPQDGMGVYIPAWFCKGSLPPPPPSIPLVLVGPGTGCAPFRGFVEERAIQDMSGSAAPIMLFFGCRNQENDFLYKDFWLSHAQNSGPLSIARGGGFYVAFSRDQPQKVYVQHKMREQSQRVWNLLVEGASIYVSGSSTKMPSDVMSALEEIISKEAGVSRETAVLQLRRLEKDGRYHVEAWS >Potri.004G101100.3.v4.1 pep chromosome:Pop_tri_v4:4:8777570:8785540:-1 gene:Potri.004G101100.v4.1 transcript:Potri.004G101100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101100.v4.1 MEKEPAKLLILYATQTGNALDAAERIGREAERRGCAASVRSIDDFDASSLPHEDTVIFVVSTTGQGDTPDSMKSFWKYLLLRNIAKNWLEGVHYAVFGLGDSGYQKYNFVAKKLDRRISDLGATAVVERGLGDDQHPSGYEGALDPWMSSLWSMLYQINPKFFPNGPDFVISDTKLINQPKFQITYHEIDKVDLQSSSAPGLDHVQMQTGRARSMSPGKVSHIKNIPDCFLKMIKNQPLCRAGCGKDVRHFEFEFVSSIIEYGVGDVLEVLPGQDPAAVDAFLQCCNLNPESLITVHPIGMESRHRDAEGNDYKVPIKLKTFVELTMDIASASPRRYFFEVMSYFATAEHEKERLQYFASPEGRDDLYQYNQKERRTVLEVLEDFPSVQMPFEWLVQLVPPLKTRAFSISSSPSAHPNQVHLTVNVVSWTTPFKRKRTGLCSTWLAGLDPQDGVYIPAWFCKGSLPPPPPSIPLVLVGPGTGCAPFRGFVEERAIQDMSGSAAPIMLFFGCRNQENDFLYKDFWLSHAQNSGPLSIARGGGFYVAFSRDQPQKVYVQHKMREQSQRVWNLLVEGASIYVSGSSTKMPSDVMSALEEIISKEAGVSRETAVLQLRRLEKDGRYHVEAWS >Potri.006G134500.1.v4.1 pep chromosome:Pop_tri_v4:6:11066158:11068731:1 gene:Potri.006G134500.v4.1 transcript:Potri.006G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134500.v4.1 MTFSHPTRMSKISTLSLFLLLLTFFLPVCTARASSITDDLQNNCLKVPVNQFVGSLTSTLDIIRDVISIISNFGNVFGDIRLTNAITDCLDLLDFSADELSWSMSASQNPNGKHNSTGDLSSDLRTWLSAALVNQDTCIEGFDGTNNILKGLVSGSLNQITSSVQELLKNVDPHTNSKSSGGGFSGSKSGNNDRFPSWVKHEDRKLLVLNGVTPNVIVAADGTGNFTKIMDAVAAAPDYSMHRHIIYIKKGVYNEYVDIKKKKWNLMMVGEGMNATIITGNRNFIDGWTTFRSATFAVSGRGFIARDITFENTAGPSKHQAVALRSDSDLSVFFRCEIKSYQDSLYTHTMRQFYRECRVSGTVDFIFGDATAVFQNCQILARKGLPDQKNTVTAHGRKDPNQPTGYSFQFCNISADIDLLPYVNSSYTYLGRPWKNFSRTIIMQSYMSNAIRPEGWLEWNGNVYLDTLYYGEYINYGPGAGVGRRVRWPGFHMLNDSTQANNYTVAQFIQGNLWLPSTGVRYTAGLEV >Potri.014G174200.1.v4.1 pep chromosome:Pop_tri_v4:14:12967300:12970325:-1 gene:Potri.014G174200.v4.1 transcript:Potri.014G174200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G174200.v4.1 MGYAQLVIGPAGSGKSTYCSSLYRHCETAGRSINIVNLDPAAEQFDYPVAMDIRELICLDDVMEELGLGPNGALMYCMEELEDNLDDWLTDELDNYMDDDYLVFDCPGQIELFSHVPVLRNFVEHLKSKNFNVCVVYLLDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKRDIGKYLNPQGQVLLSELNQRMAPQFFKLNKALIDLVDQYSMVSFVPLDLRKESSIQYILSQIDNCIQYGEDADVKVKDFDPEDIDD >Potri.014G174200.3.v4.1 pep chromosome:Pop_tri_v4:14:12967340:12970799:-1 gene:Potri.014G174200.v4.1 transcript:Potri.014G174200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G174200.v4.1 MGYAQLVIGPAGSGKSTYCSSLYRHCETAGRSINIVNLDPAAEQFDYPVAMDIRELICLDDVMEELGLGPNGALMYCMEELEDNLDDWLTDELDNYMDDDYLVFDCPGQIELFSHVPVLRNFVEHLKSKNFNVCVVYLLDSQFITDVTKFISGCMASLSAMVQLELPHVNILSKMDLVTNKRDIGKYLNPQGQVLLSELNQRMAPQFFKLNKALIDLVDQYSMVSFVPLDLRKESSIQYILSQIDNCIQYGEDADVKVKDFDPEDIDD >Potri.007G021600.1.v4.1 pep chromosome:Pop_tri_v4:7:1673333:1676088:1 gene:Potri.007G021600.v4.1 transcript:Potri.007G021600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G021600.v4.1 MMSTPAILHKPTFKSLLFSPFKLNQAKPISFHRFPASKSDFFSSKNKALSKNMTELVRMSAFRGGNGSDSEESTGLFQQEAVVDGLNEFQSGLLADGLEATLNRLSKWLVAALFGAVILWRHDAEAMWAVLGSIVNSILSVILKRIFNQERPDSTLRSDPGMPSSHGQSIFFTVVFAILSVVEWLGVNGFSLILGALILAFGTYLTWLRVSQGLHTISQVAAGAAVGFIFSIFWFWSWDAFVLKAFISSLSVRIIVIMAAAASCMGFLVYVIRYWFRDE >Potri.006G108200.2.v4.1 pep chromosome:Pop_tri_v4:6:8405715:8409838:1 gene:Potri.006G108200.v4.1 transcript:Potri.006G108200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108200.v4.1 MGCFTVLKSKKKRPEASVSIKRVNLKEQIPTTLPEPQVQTRSLQSAPPSFRTRAKPVQPEIKVTSNRARALSAPESLDAAEQDALASSECEELEESKNRVGNAKEQRLPGPQPLPLPSPQGGAVLKPMSSFKAVNCSGPLYASGPLPRPPGGTLRNFSYEEILSACRNFSSDRCVSEGLSSIMFWASFGDDASSSKRFEASVTRLHSSSQGLKEFINEVNTLASLQHPNLCKLLGYHARDGSDQRMLVYERLYHGSLDRLLYGRSDGPPIDWNTRMKIALCAAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPETEISNSSVAAANLSVETVERGLLTPKSNVWSFAIVLLELLTGRKNLDSRHPREERNLVKWTRPFLADDCRLSLIMDPQLKCRFPAKAARTLADIALRCLQKDPLERLTMRTIVEHLKVIQDMKYSSRFPLQEPAAVAGKQMSRSPSLNGIITPTPRLSFSQSPPSRARPSISPTRPRALPLSLPPRACSSTLSLEELELELERQESRKSSSSAVRRPSVEGF >Potri.006G108200.1.v4.1 pep chromosome:Pop_tri_v4:6:8405715:8411254:1 gene:Potri.006G108200.v4.1 transcript:Potri.006G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108200.v4.1 MGCFTVLKSKKKRPEASVSIKRVNLKEQIPTTLPEPQVQTRSLQSAPPSFRTRAKPVQPEIKVTSNRARALSAPESLDAAEQDALASSECEELEESKNRVGNAKEQRLPGPQPLPLPSPQGGAVLKPMSSFKAVNCSGPLYASGPLPRPPGGTLRNFSYEEILSACRNFSSDRCVSEGLSSIMFWASFGDDASSSKRFEASVTRLHSSSQGLKEFINEVNTLASLQHPNLCKLLGYHARDGSDQRMLVYERLYHGSLDRLLYGRSDGPPIDWNTRMKIALCAAQGLTFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPETEISNSSVAAANLSVETVERGLLTPKSNVWSFAIVLLELLTGRKNLDSRHPREERNLVKWTRPFLADDCRLSLIMDPQLKCRFPAKAARTLADIALRCLQKDPLERLTMRTIVEHLKVIQDMKYSSRFPLQEPAAVAGKQMSRSPSLNGIITPTPRLSFSQSPPSRARPSISPTRPRALPLSLPPRACSSTLSLEELELELERQESRKSSSSAVRRPSVEGF >Potri.002G258766.1.v4.1 pep chromosome:Pop_tri_v4:2:24712873:24715488:1 gene:Potri.002G258766.v4.1 transcript:Potri.002G258766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258766.v4.1 MSSNCYSIQTIVNHLKHCSKLKQLQSMYAVMVKTNTNQDCYLMNQFISALSTFNRMDYAVLAYTQMEIPNVFVYNAMIKGFVQSYQPVQALELYVQMLRANVSPTSYTFPSLIKACGLVSQLRFAEAVHGHVWRNGFDSHVFVQTSLVDFYSSMGRIEESVRVFDEMPERDVFAWTTMVSGLVRVGDMSSAGRLFDMMPDRNLATWNTLIDGYARLREVDVAELLFNQMPARDIISWTTMINCYSQNKRFREALGVFNEMAKHGISPDEVTMATVISACAHLGALDLGKEIHYYIMQHGFNLDVYIGSALIDMYAKCGSLDRSLLMFFKLREKNLFCWNSVIEGLAVHGYAEEALAMFDKMEREKIKPNGVTFVSVLSACNHAGLIEEGRKRFASMTRDHSIPPGVEHYGCMVDLLSKAGLLEEALQLIRTMKLEPNAVIWGALLSGCKLHRNLEIAQVAANKLMVLEPGNSGYYTLLVNMNAEVNRWGEAAKIRLTMKEQGVEKRCPGSSWIEMESQVHQFAASDKSHAASDEIYSLLAELDGQMKLAGYVPELWSVL >Potri.012G121504.1.v4.1 pep chromosome:Pop_tri_v4:12:13879087:13880048:-1 gene:Potri.012G121504.v4.1 transcript:Potri.012G121504.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121504.v4.1 MSDDSNTHQASAGVYPAPPTSCPPKQEVRYTDIKILSCPPPMQGYYEAGPDVAPPPVSDPPKCGPQYHQQPPPPPPPERTSQRDDDFCTGWCCCL >Potri.005G189400.1.v4.1 pep chromosome:Pop_tri_v4:5:19715548:19719254:-1 gene:Potri.005G189400.v4.1 transcript:Potri.005G189400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G189400.v4.1 MVTICQKCGDRGFDAALIFCDECQAYAVHCYCLDVLPATFDEYVVWLCYHCESKAVKLSSLDRPNSPISTESDSDSLKIIQLKKKNPLKRLEGKPKEMVFDCSLTNSDLLRPQISSDFQLVEVDCCEDDGKDQKLGSQNGLHEDSVPEVAEYLESKNPVSPLPDLQLVDVDCSQNDEKDQKLGGKNSLEESGFQETEPLRNKNSQLVVCDVQPLQIHCREDGGGSQKVGRQNDLNEGNFVEEDELDKTKICHVDAPYFAEQSSIRVLPIRDPIWRGSMSIFQNNYGAPGGIVAHLSSIACSRASEEAKGLSGLLSPELLPRSGVWPKSFRKLGPAADHIGLYFFPDNERNEIVFDSLVNDMISQDLAMRVVIENAELLIYTSRILPMDCWRFQSKFYLWGVFRPKKPSAPDVVPGEQQGLTKAITWERRSPVSPLSNGSYGSGLMCPSQDS >Potri.004G218300.1.v4.1 pep chromosome:Pop_tri_v4:4:22436526:22443541:1 gene:Potri.004G218300.v4.1 transcript:Potri.004G218300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218300.v4.1 MSSAIRSLPLIAFISLLLLSPALAFDADHRYGQEEAVKLWVNKVGPYNNPQETYNYYSLPFCHPSSNDGTHKWGGLGEVLGGNELIDSQIDLKFAKNVDKAVICQLELDEAKVKQFKDAIENSYWFEFFIDDLPLWGFVGELRPDKNSDNGKHLLFTHKSITIKYNKDQIIHVNLTQENPKPLEAGTVLEMTYSVKWSLTNVSFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYARDDDDLVESLERDVSEETGWKLVHGDVFRPPRSLVVLSAVVGTGAQLAMLVLLVILMAIVGTLYVGRGAIVTTFIVCYALTSFIAGYVSGGLYSRHGGKSWIKSMILTACLFPCMCFGIGFILNTIAIFYGSLAAIPFGTMVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYYSVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYKNIKCD >Potri.002G222800.1.v4.1 pep chromosome:Pop_tri_v4:2:20672047:20677284:-1 gene:Potri.002G222800.v4.1 transcript:Potri.002G222800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G222800.v4.1 MKGLFKPKPRTPAELVLQARDLLKFLDQNTETRERKREEKMSELSKVILEIRVVLFGNGQAEPNPDACAHLAQDFFKHDTFRLLILSLPKLDLGARQNATHVIANLQRQRVSGRLIASEYLENNLDLMDILLPGYEDGEIAITYGAILRECIRHQIVARYVLETEHLKKVLTYVQIPNFDIASDALATFKELLTRHKSTVAEFLSVNYDWFFQEYNSQLLESPSYITRRHAVKLLGNMLLDRSNSAVMVRYVSSLDNMRILMNLFRDPNKTMQLETFHVFKLFVANQNKPPEIISVLVTNRSKLLRFLGDFSIDKGDEQFEADKAQVIKEIATLEINDRSCTDAHDCEVES >Potri.005G253600.6.v4.1 pep chromosome:Pop_tri_v4:5:24575949:24578768:1 gene:Potri.005G253600.v4.1 transcript:Potri.005G253600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253600.v4.1 MAELTAWLLMILVVLTFTVVSSIDDKCAACNAVAEEIENGLSNEKPRNHLDMRHRLDSKGQRKGKVIDYRVSELRVVELLDGLCDKMQDYTLQKVDSKRYEWVRVDSWDNLAINKQEAKAYSKDISSYCGRLLEETEDELAERIKKGSVKVGDVSKILCQDLSKHCNMSSDSHQADADDDEPDGEL >Potri.005G257900.2.v4.1 pep chromosome:Pop_tri_v4:5:24822918:24825410:-1 gene:Potri.005G257900.v4.1 transcript:Potri.005G257900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257900.v4.1 MEFLEGDDVLGQIDWDNLLDGFPDSDSIFELEPPLTTDLQPINDSSNSSSPATVSSWIGEVETLLMKDDDDKVDQLENCNAFLADILVDSPSAHESGGEVLDASTDKDSTSSDDADGGPKEKDDGAEEKNNNDEEEDPDDIVSKKRRRQLRNKDAAVRSRERKKLHVRDLEIKSRYLEGECRRLDRLLQCFIAENHALRLSLQRGNAFGVTSAKQESAVLLLESLLLGSLLWLLGIMCLFPQPAMPQSTMVEVLLETMEKKAPENVAQRGAGSKIFISLANSRRCKASRPRMKLTGVIFPSLNLVL >Potri.003G141300.2.v4.1 pep chromosome:Pop_tri_v4:3:15723134:15726035:1 gene:Potri.003G141300.v4.1 transcript:Potri.003G141300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141300.v4.1 MSISLLLPLLLLLLSTFFPRSRNLAVPQELKNLSQNLITYNTPAISPSSSSLVVSFSISPSPTPTTLPVNPPLVPAFFIFGDSSVDCGTNNYLGTFARADHSPYGRDFDTHKPTGRFCNGRIPVDYLALRLGLPFVPSYLGQMGTVEDMIKGVNYASAGAGVIFSSGSELGQRISFTQQIQQFSDTLQSFILNMGEAAANELISNSVFYISIGVNDYIHYYLRNASNIQNLYLPWSFNQFVAASIRQEIKNLYNMNVRRVILMGLPPIGCAPYYLWRYNSKNGECIEEINDIILEYNFVMRYMIEELGLKLPDAKITFCDMYEGSMDIIKNHELYGFNVTTDACCGLGKYKGWIMCLASEIACSNATNHIWWDQYHPTDAVNAILADNVWNGLHTKMCYPMNLEDMVAPRTK >Potri.012G116250.1.v4.1 pep chromosome:Pop_tri_v4:12:13471059:13472557:-1 gene:Potri.012G116250.v4.1 transcript:Potri.012G116250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116250.v4.1 MLTCCDTYIIAHLKVLGTSYTYIVDDLEGETVDKSSDFQNASDTANDSAGELEGDTFDDLDDDLEGDTSDDHADDNDLVDNNIENANDSADNLVDDCADDTVDDCDNE >Potri.001G368500.1.v4.1 pep chromosome:Pop_tri_v4:1:38513157:38521368:-1 gene:Potri.001G368500.v4.1 transcript:Potri.001G368500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368500.v4.1 MAQVLSLHVFFSAEIHKVGPSKPLKRIHKPKTSLSTRRKATQSPQSPLRKTQKLNLQVSPHRAVSAVRLMRIEMGGAFADLLNDKGKGSGDNEMGYVERTLGFRTKDLDDRDLRLVTDIVGGTIRWRRYLDYLIGSLCHDEKAFRSMEPLLLQILRIGFYEIVKLDMPSYAVVDENVRLAKVSLRPGAGNMVNGILRKLVLLKESNSLPLPKLEGDDRAQARALATLYSHPVWMVRRWTKLLGQEKAIKLMMWNNDNPSFSLRANSGKGVTRADLVMQFNMLKVPHELSLHLDHFVRVKTGLQIVIQAGLLKQGLCAVQDESAGLIVSVVNPQPGDSIIDCCAAPGGKTLYMASQMHGQGMLYAIDINKGRLRIVKETAKLHQVDGVITTIPSDLRTFAESYQLKSDKVLLDAPCSGLGVLSKRADLRWNRRLEDLEELKKLQEELLDAASILVKPGGVLVYSTCSIDPEENEERVDAFLLRHPEFRIDPVDRYVPPDFVTKRGFYSSDPVKHSMDGAFAARLIRTL >Potri.001G368500.3.v4.1 pep chromosome:Pop_tri_v4:1:38513159:38521375:-1 gene:Potri.001G368500.v4.1 transcript:Potri.001G368500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368500.v4.1 MAQVLSLHVFFSAEIHKVGPSKPLKRIHKPKTSLSTRRKATQSPQSPLRKTQKLNLQVSPHRAVSAVRLMRIEMGGAFADLLNDKGKGSGDNEMGYVERTLGFRTKDLDDRDLRLVTDIVGGTIRWRRYLDYLIGSLCHDEKAFRSMEPLLLQILRIGFYEIVKLDMPSYAVVDENVRLAKVSLRPGAGNMVNGILRKLVLLKESNSLPLPKLEGDDRAQARALATLYSHPVWMVRRWTKLLGQEKAIKLMMWNNDNPSFSLRANSGKGVTRADLVMQFNMLKVPHELSLHLDHFVRVKTGLQIVIQAGLLKQGLCAVQDESAGLIVSVVNPQPGDSIIDCCAAPGGKTLYMASQMHGQGIFKPRKVVYASSKSYCFLFWCFLAFIMPFQHHIFLAPAHCLKQLPHLISMHFYSLLLHYKPIAVGF >Potri.007G009300.2.v4.1 pep chromosome:Pop_tri_v4:7:725875:729485:-1 gene:Potri.007G009300.v4.1 transcript:Potri.007G009300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009300.v4.1 MRKEAVVLATVTTVAVVAVLEETSTLNMLVSYAASLPKGDEKGLYYGLNLRGTNFLILCARLGGRNEPISDLYRKEISIPPNVLSGTSQELFDYIAVELAKFVSEHPPDDTLDAPEREKKLGFTVSYPVDQAAASSGSAIKWKSFSANDTVEKALINDINRALEKHGLKFRVFSLVDDTVGNLAGGRYYNNGIVASVTLAMGSNAAYVEPNEAVPKWQGPMPSSNSGELVINTEWGNFNCSNLPVTEFDSSLDSESSNPGCRIFEKLTSAMYLGEIVRRVLLKMAQETALFGDVVPPKLATPYQLRSPDMAAMHQDTSEDHGVVGEKLKENLGITNSTPMVREVVAEVCDIVAERGARLAGAGIVGIIKKLGRIESRKSVVTVEGGLYEHYRVFRNYLHSSVWEMLGSDHSDNVVIEHSHGGSGAGAVFLAACQNTKSF >Potri.007G009300.3.v4.1 pep chromosome:Pop_tri_v4:7:725875:729484:-1 gene:Potri.007G009300.v4.1 transcript:Potri.007G009300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009300.v4.1 MRKEAVVLATVTTVAVVAVLEETSTLNMLVSYAASLPKGDEKGLYYGLNLRGTNFLILCARLGGRNEPISDLYRKEISIPPNVLSGTSQELFDYIAVELAKFVSEHPPDDTLDAPEREKKLGFTVSYPVDQAAASSGSAIKWKSFSANDTVEKALINDINRALEKHGLKFRVFSLVDDTVGNLAGGRYYNNGIVASVTLAMGSNAAYVEPNEAVPKWQGPMPSSNSGELVINTEWGNFNCSNLPVTEFDSSLDSESSNPGCRIFEKLTSAMYLGEIVRRVLLKMAQETALFGDVVPPKLATPYQLRSPDMAAMHQDTSEDHGVVGEKLKENLGQITNSTPMVREVVAEVCDIVAERGARLAGAGIVGIIKKLGRIESRKSVVTVEGGLYEHYRVFRNYLHSSVWEMLGSDHSDNVVIEHSHGGSGAGAVFLAACQNTKSF >Potri.007G009300.1.v4.1 pep chromosome:Pop_tri_v4:7:725875:729484:-1 gene:Potri.007G009300.v4.1 transcript:Potri.007G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009300.v4.1 MRKEAVVLATVTTVAVVAVLVRQYSLKKQRQWKQTQRILRKFARESATPVPKLWEVANALVSDMQASLVSQEETSTLNMLVSYAASLPKGDEKGLYYGLNLRGTNFLILCARLGGRNEPISDLYRKEISIPPNVLSGTSQELFDYIAVELAKFVSEHPPDDTLDAPEREKKLGFTVSYPVDQAAASSGSAIKWKSFSANDTVEKALINDINRALEKHGLKFRVFSLVDDTVGNLAGGRYYNNGIVASVTLAMGSNAAYVEPNEAVPKWQGPMPSSNSGELVINTEWGNFNCSNLPVTEFDSSLDSESSNPGCRIFEKLTSAMYLGEIVRRVLLKMAQETALFGDVVPPKLATPYQLRSPDMAAMHQDTSEDHGVVGEKLKENLGITNSTPMVREVVAEVCDIVAERGARLAGAGIVGIIKKLGRIESRKSVVTVEGGLYEHYRVFRNYLHSSVWEMLGSDHSDNVVIEHSHGGSGAGAVFLAACQNTKSF >Potri.017G007600.1.v4.1 pep chromosome:Pop_tri_v4:17:566829:569792:-1 gene:Potri.017G007600.v4.1 transcript:Potri.017G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007600.v4.1 MALNAKLALLLTLFLSVASNGYSSLQENNASDDELGGRLPLVPSKELWTKFDSSFKSGFVIGYVFSAVSLLTIFLSYCVPWARLNKRKRNKVMMKTPMTTSLMERQEKKRKEADIQISTFDQMLIARISFAELQKATNSFDRGKVIGVGKTGTVYKAAHPHLRFTAVKRLFDFQHLEKQFLSELMILGKFRHKNIVPLLGFCVESRERILVYQYMPNGNLYDWLHPVKGEPKIMEWHFRVNIAVGMARGLAWLHNHNTLQLAHLNLSSSCILLDKNFEPRISNFGRAMHIMTSNARIFMANIEMSEWDLTKRDVHQLGVLLLELITGEDPLNNSGFYHSLEGKLVQNNCLLSTVSAALYCAVDKSLLGQGFDREVLYFLKVACNCIQPIPNRRPTMVEVYKMLMAIKNTHPDILG >Potri.017G007600.2.v4.1 pep chromosome:Pop_tri_v4:17:566829:569792:-1 gene:Potri.017G007600.v4.1 transcript:Potri.017G007600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007600.v4.1 MALNAKLALLLTLFLSVASNGYSSLQENNASDDELGGRLPLVPSKELWTKFDSSFKSGFVIGYVFSAVSLLTIFLSYCVPWARLNKRKRNKVMMKTPMTTSLMERQEKKRKEADIQMLIARISFAELQKATNSFDRGKVIGVGKTGTVYKAAHPHLRFTAVKRLFDFQHLEKQFLSELMILGKFRHKNIVPLLGFCVESRERILVYQYMPNGNLYDWLHPVKGEPKIMEWHFRVNIAVGMARGLAWLHNHNTLQLAHLNLSSSCILLDKNFEPRISNFGRAMHIMTSNARIFMANIEMSEWDLTKRDVHQLGVLLLELITGEDPLNNSGFYHSLEGKLVQNNCLLSTVSAALYCAVDKSLLGQGFDREVLYFLKVACNCIQPIPNRRPTMVEVYKMLMAIKNTHPDILG >Potri.008G188700.1.v4.1 pep chromosome:Pop_tri_v4:8:13199658:13208224:-1 gene:Potri.008G188700.v4.1 transcript:Potri.008G188700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188700.v4.1 MEGTYGLHSTVADYSDKALMSPENLILTTEYQSLLSSETFRQRIPIQGSQELLSEAASITTEIQREGDMSSLVKAKIASHPSYPRLLEAYIDCQKVGAPPQIARFLDEIRRENDLFKHDAVSTYWGADPELDEFMETYCDLLVKYKSDLERPFDEATTFLNKIEMQFRNICTAASIRSVSGDGAPSSDDELSGGEMDMHEAQPSGEDRELKDKLLRRFGGHIGTLKREFSKKKKKGKLPKEARQTLLGWWNVHYKWPYPTEADKIALAESTGLDQKQINNWFINQRKRHWKPSENLQFAVMNNLSGQFLAED >Potri.007G032800.1.v4.1 pep chromosome:Pop_tri_v4:7:2558485:2565001:-1 gene:Potri.007G032800.v4.1 transcript:Potri.007G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032800.v4.1 MAEIRLTKVEQGQTKIRNVPIAVTPEGFWCCPSPVVFQKTIKAQNPLNKTKPSSPPPRTTVQKKRTPFNERKAPPTPLRSSVVSDDQRNCGSDTPGVSASIVNERTPRPKIESLPRKVAIEFGEPGTSDMKVVLLGKQGFCVKLSVHKNVLVENSSFFADKLSEEESNLSCLEIDDCEDVEIYVETVGLMYCKEMKQRLIKQSVSRVLRILKVAELLIFNTCIQSCLEYLEAVPWIGDEEEEKVVSSVIRLQNEGIGVTPVLKRVSSNVANPPKDTISHIMELVLKSNEEKGRREMKSVVLKLLRENNSLPSYTDSSDICNDTIYSSCRSCLNSLLSLFKQAAEPEFSDKPLDNKEPVVKQIALEADNLSWLLEILADRQAADEFALMWSSQQELAALHSKLPIVSRYHVSCITSRLFVGIGRGELLPSKDTRHLLLQTWLQPLINDYSWLQHGCRSFDRKVVEEGIGRTILTLPLENQRSILLAWLGTFLKAGDNCPNLQRAFEVWWRRTFIRPYTETQGSPQQPDSSMTSRQET >Potri.011G063400.1.v4.1 pep chromosome:Pop_tri_v4:11:5372830:5374982:-1 gene:Potri.011G063400.v4.1 transcript:Potri.011G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063400.v4.1 MATILGTSSAAILAYRPFSKPSIPSLSLTSSGLSFGRESYGGIGLVGKKGRPQFHVAVACVATDIGSVEEAQKLASKENQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPEDPESMGIEVRESVVKGENLTVVMTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRHSYKAEQEKLRQQIASARACLSSVQIDHDLKVKISKVCAELNVDGLRGDIVTNRAAKSLAALKGRDQVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Potri.011G063400.4.v4.1 pep chromosome:Pop_tri_v4:11:5372891:5374080:-1 gene:Potri.011G063400.v4.1 transcript:Potri.011G063400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063400.v4.1 MKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSLVDLLPEIKVVAGDPYNSDPEDPESMGIEVRESVVKGENLTVVMTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERARFDKNPKEFRHSYKAEQEKLRQQIASARACLSSVQIDHDLKVKISKVCAELNVDGLRGDIVTNRAAKSLAALKGRDQVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVIEKFYEVFS >Potri.007G105900.5.v4.1 pep chromosome:Pop_tri_v4:7:12890724:12891583:-1 gene:Potri.007G105900.v4.1 transcript:Potri.007G105900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105900.v4.1 MAAMNSSVLACNYAISGTGSSELNAKIVSMPAVASLVVSGPKLPVIRAQQTRADSREMKASEGRRAAMVYLAATLFTSAAAASSANAGVIEEYLEKSKANKELNDKKRLATSGANFARAFTVQFGTCKFPENFTGCQDLAKQKKVPFISDDLALECEGKDKYKCGSNVFWKW >Potri.018G073400.2.v4.1 pep chromosome:Pop_tri_v4:18:8738049:8742965:-1 gene:Potri.018G073400.v4.1 transcript:Potri.018G073400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G073400.v4.1 MKGVEMQQQLELGRSGESHSDSDPLLQNQADASSTQEITVNNDDIENVSVPCCRICLETDCEPGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVALSEDNSWRKMKFRLFVTRDIVFVFFAVQIVIAAMGGFAYLMDKDGTFRNSFSDGWDRILSKHPIPFYYCIGVLVFFVLLGFFGLILHCSSLNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVILFAILGIAYGFLAATMAIQKIWQRHYHILIKRELTKEYIVEDLHGSYSPAKLDSEHEERLKMVKLL >Potri.001G276600.2.v4.1 pep chromosome:Pop_tri_v4:1:29041671:29045881:1 gene:Potri.001G276600.v4.1 transcript:Potri.001G276600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276600.v4.1 MLFIVVWWMVAITGAFSDPQANLLSAGCSQYKVDDVPEFTNNLNATLLDLGAQLNSSKYFATAEQARGTAPVFAMVQCRKYLSTADCVACFAIAARQIRNCSAGINGARVIYDGCFLRYESTSFYDQSTQDGHYGACGNQTASPAYQASVESLLSDLQIASPKISGFFATSKKEVVGANSVVYGVTQCVETISKAGCQDCLTVAYADLQTCFPDADGRSINVGCFLRYSDTPFFADNQLTDLKPFLRNGSSSKKKVLIAGLVGGIGLLLLATAILFLWFKLYRKPKAVPRVEGDILGATELRGPVNYSFKDLKSATKNFSEEYKLGEGGFGEVYKGVLKNERVVAVKKLAISQSHRAKEEFEKEVKIISNVHHRNLIRLLGCCSNGPELLLVYEYMANSSLDNFLYGDKKGSLSWKQRFDIILGTARGLSYLHEDFHLCIIHRDIKASNILLDDDFQPKIADFGLARLLPESQSHLTTKFAGTLGYTAPEYAIYGQLSEKVDIYSYGVVVLEIMSGQKCSQANQDPDSDYLLKRAWRLYEADMHLELVDGSMSPDEYETEEMKKIIEIALMCTQSTASLRPTMSEVVVLLKSKGSSAQKPPPVSPALIESFQKIRADTSTSTGSPMSNATASVSQVSAR >Potri.008G175400.1.v4.1 pep chromosome:Pop_tri_v4:8:12153550:12163471:1 gene:Potri.008G175400.v4.1 transcript:Potri.008G175400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175400.v4.1 MASRLSSRDVSGFKFIFFLAIIYTIISLLVHSVLHMKFITPLSIDAPLDRFSEARAIHHVAVLTKDDRQEGRPGLRKAAAYIKEQLEMLKERAESNIRIEVEETTVNGSFNMIFLGHGISFAYRDHINIVARISSADLQETDPSVLINGHFDSPLGSPGAGDCGSCVASMLELARVTVESGWIPPRPIIFLFNGAEELFMLGSHGFMTTHKWRDSVGASINVEASGTAGPDLVCQSGPGSWPSQVYAESAVYPMAHSAAQDIFPVIPGDTDYRIFSQDHGNIPSLDIIFLLGGYYYHTSYDTLDKLLPGSIQARGDNLLSILKAFTNSSKLQSARERESKATTNDYKDERAVFFDYLSWFLIFYSRRVAVVLHSIPIAIFFVMPFLLHFWDSRSRSCFAIFYDFVKGLLFHAAGIILAIIFPIILSILQLFFSSYALSWFAHPYLAFLMFIPCSLVGLLIPRTVWGCFPLSQDVSVIKKSEEALAEEARFWGAFGFYACLTSAYLVAGLSGGFLTFSVSASMLPAWIFFSLSIKSSDHQSPRSAVFYVIPLIPCLTYSVYFGGFLTQFLIEKMGMMGAVPPPYGYYVPDVVVAASVGVVTGWCVGPLIPVCSHWLARSSILQLLSHISVLALALSSQFFPYSNAAPKRVVFQHTLVTTGVNRIVDSSYEFSVVDSNSLLFLFKYAPEVAKELHIGQELSFETANMSHRETWMGIFPVPFLFSQSLKFPARSDGILKRYRYFPHLSIYKPHTVSSDKSRRVYLEFYLGDLEEVWVAVLNITGPLSSWSFADNMLSVPETVDGGPPSYILRLSGNSQRNWTFWLEASSSDDLRVEVAVVDQVLDDEARRLKGLFPEWADVIAYSSFMSSYIF >Potri.003G204600.1.v4.1 pep chromosome:Pop_tri_v4:3:20378037:20380768:-1 gene:Potri.003G204600.v4.1 transcript:Potri.003G204600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204600.v4.1 MADRRQQPSVMQKMASQVHLSSSFPQGFQRPALHRRQFAYGNYSNAGFQYAMTRPCQSSPDMSLVASSTAPVFVQAPSEKGLAGFAVDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGIGECFSRTIKDEGTMSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGGSSLLFVYSLDYARTRLANDAKAGKKGGERQFNGLVDVYRKTLKSDGIAGIYRGFNISCVGIIVYRGLYFGLYDSLKPVVLTGKLQDSFFASFALGWVITNGASLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQVIVLGKKYGSGGA >Potri.002G102000.2.v4.1 pep chromosome:Pop_tri_v4:2:7454996:7457484:-1 gene:Potri.002G102000.v4.1 transcript:Potri.002G102000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102000.v4.1 MLGIYMQRSWVVLFLCCFLLLPFYVFATPLLKRLGQADEVAKMAGAVALWLIPLHFSFAFLFPLRTFLQSQLKNQVTAWVSLVSLGINALTSWLFIYELDFGVVGVAIALDISWWALTLGLFVYCSCGRCPSTWTGFSVQAFSGLWEFVKLSVASGVMLCLENWYYRILIIMTGHLKNSTLAVDALSVCMGTIGWELMIPLAFYAAAGVRVSNELGAGNSKAAKFATMVSVAQTTITGLVLCVLIMLLKNKIALAFTSDADVIHEVDSLSPLLAISILLNNVQPVLSGVAVGSGSQTKIAYVNLGCYYIIGLPLGFLMGWVFKLGIKGIWCGMILGGTFTQTVTLAIITMKFNWDKEAEKARNRVDKWSKPRPTG >Potri.001G087600.1.v4.1 pep chromosome:Pop_tri_v4:1:6975535:6979875:1 gene:Potri.001G087600.v4.1 transcript:Potri.001G087600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087600.v4.1 MAIASPSTPTFSASFCCKRTVSTHLIRFPSTLSPTRTRHLPPRRFAISSRLNSSKSCGSVYPDNGGSEDFEHYELQHGFTGPVERRRRGSPVYVTLPAELVAEDGKVRRIKVLTASLRALVTAGVEGVVMEIWWGIVEREKPRVYNWGGYLDLVALARRCGLKVRAVLAFHQRGTGPGDPLWVSLPQWVLEEIDKDPDIAYTDRFGRRNMEYISLGCDMFPVLKGRSPLQAYSDFMMNFRDTFRSLLGVVITGVQVGMGPAGELRYPSCPSQKLAWAWHTRELGEFQCYDKYMIASLNACAHDAGMREWGYGGPIGSGNLMHGPENTEFFKSNGGSWNTPFGKFFLQWYSGMLLLHGERICREAKTIFQGTEIDTSAKVAGIHWHYGMQSHPSELTAGYYNTSRRDGYLPIARMLGRYGFGLCCSGFGMRDVEEKKTNPVSSPEGFLKQLLLAARVCHIPIEGENSTTFLEDESFEQVLKMSKFYTYGLESPTFSFNFMRMDRYLFEQHKWVRFTRFVKQLSGANIFRARLDFGGDVQPTSMSDVVKVRAAYTYC >Potri.001G166000.1.v4.1 pep chromosome:Pop_tri_v4:1:14130076:14133198:1 gene:Potri.001G166000.v4.1 transcript:Potri.001G166000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166000.v4.1 MAVIIRCSSCFSSPPSSDHIISPKPHLPAGKLASLLFRARKFTPSSGDASSILSASRHKLPGSESVHIRPASEGHLNTVQVPPVCDKFLELLSAHQGNMQLRSSAMAFLVTNALMWTTPFEALAETCEADSSIFNMPLLLFVALVGATVGGLLARQRKGELQRLNEQLRQINAALRRQAKIESYAPTLSYAPVGSRILESEVIVDPRKEELISRLKVGKNFLRNQDPEKAFVEFKSALELAQNLKDPTEEKKAVRGLGASLQRQGKLQEAIKYHSMVLAISKREGEESGNTEAYGAIADCYTELGDLEQAAKFYDKYIARLETD >Potri.003G001700.1.v4.1 pep chromosome:Pop_tri_v4:3:435766:439566:1 gene:Potri.003G001700.v4.1 transcript:Potri.003G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G001700.v4.1 MARNRGDKEEGPEKLMGLLKLVQILSLLVIFVAGVILGLATSSHINRYFTSQAQLFLTNNIASAKLSDNNCTVVKPCEKVDFLNMERFVHPDNVIHSMTDDQVFWRASLLPQKKGYPFDRVPKVAFMFLTRGPLPLLPLWERFFRGHGQYFSIYVHTPHDYVLNVSSDSPFYGRMIPSKDVEWGSVSLVDAEKRLLANALLDFSNERFVLLSESCIPIYNFPTVYKYLIRSEYSFVESYDEPTRYGRGRYSRKMLPDIHLYQWRKGSQWFEIQRDLAVYIVSDTKYYTIFKKYCRPACYPDEHYIPTYLNMFHGSLNSNRSVTWVDWSIGGPHPARYGGGNITEDFIQSIRNNGTQCSYNSEMTSVCYLFARKFAPSALVPLLSLTSTVMEF >Potri.008G176000.1.v4.1 pep chromosome:Pop_tri_v4:8:12182154:12184495:1 gene:Potri.008G176000.v4.1 transcript:Potri.008G176000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176000.v4.1 MSFFTLITQDNPLVHSKSNPVVDSRVAHDIDVLIVPGLPDDVAKYCLALVPRRYLPAMGAVCKKWRSFLKSQEFITVRKLAGLLEEWLYVLTMDSEGKESHWVVLDRLGHKRQLLPPMPGPTKAGFGVVVLNGKLLVMAGHSLIDGTGTASADVYEYDCCLNSWSKLSRMNVARYDFACAEVNGKVYAAGGYGMDGDSLSSVEMYDPDTNTWTMIESLRRPRWGCFACGFEGKLYVMGGRSTFSIGNSRSVDVYNPERHSWCEMKNGCVMVTAHAVLGKKLFCMEWKNQRKLAIFNPEDSSWKTVAVPLTGSSSIGFRFGILDGKLLLFSLQEEPGYRTLLYDPNASAGSEWCTSEIKPSGCCLCSVTIKA >Potri.015G077100.1.v4.1 pep chromosome:Pop_tri_v4:15:10333498:10335918:1 gene:Potri.015G077100.v4.1 transcript:Potri.015G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077100.v4.1 MGLNSLQVCMDSSDWLQGTINEESGMDSSSPSGDMLTCSRPLIERRLRPPHDQALKCPRCDSAHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSKKSNDQSVNQINTGSSSSHNPTDLHLSFPDQVQLSHLHNILGSQETLANPNFMESKYNIGMLENPRPVDFMDSKFEALVGSSRNYDFMGNGDLGMVSGLGDMSHHHGLAPNYSGYCSPFGMSLDGNSGSFMETCQRLMLPYDQGNDHDQNPIDVKPNTKLLSLDWQDQGCSDVGKDTFGYLNNLGSWTGMMNGYGSSTTNTLV >Potri.009G094001.1.v4.1 pep chromosome:Pop_tri_v4:9:8523819:8526339:-1 gene:Potri.009G094001.v4.1 transcript:Potri.009G094001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094001.v4.1 MSSLEDSPMSDSGGSEMSDSETSGSEDFELSTPEDFESSAPEDACLECYCGRLAKLRVSNTTKNPSRLFYNCPMRIDSQCGYFEWADELGQAKHTKELNKIRLRCTQLQERLEDIQQQRDNDRIVWQRERSELTTRLFTVQAELDDIKKKIKMVNESELMPPLDKLSSTVADDERDDAKVIYAT >Potri.001G083900.1.v4.1 pep chromosome:Pop_tri_v4:1:6693328:6696192:1 gene:Potri.001G083900.v4.1 transcript:Potri.001G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083900.v4.1 METQIDSFWVLVLVSKCKAFSAQNPIFLLVSVFLAWLAMALCYWVYPGGPAWGNYLRKKGISCSRAKMIPGPRGFPVIGSMNLMVNLAHHKLAAAAKAFKAERLMAFSLGETKVIITCNPDVAKEILNSSVFADRPVKESAYQLMFNRAIGFAPYGVYWRTLRRIAATHLFCPKQISSTESQRFNIASQMVSAIASQGGDYFCVRGILKKASLNNMMCSVFGRKYDLGSSNSETEELRRLVDEGYDLLGKLNWSDHLPWLANLDLQRIRFRCSNLVPKVNRFVNRVIEEHREDQTGQRRNDFVDVLLSLHGPDKLSHHDMIAVLWEMIFRGTDTVAVLIEWILARMVLHRDIQSKVHDELDQVVGRSRPLMEADIQSMVYLPAVVKEVLRLHPPGPLLSWARLAITDTNVDGYDVPAGTTAMVNMWAITRDPQVWANPLRFLPERFLCKDATADVEFSVSGSDLKLAPFGSGRRTCPGKALGLATVSFWVGVLLHEFEWVQCDHEPVDLSEVLRLSCEMSNPLTIKVNPRRR >Potri.003G096850.1.v4.1 pep chromosome:Pop_tri_v4:3:12292521:12293771:1 gene:Potri.003G096850.v4.1 transcript:Potri.003G096850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096850.v4.1 MSIAVLLLKDRQALNVDKLPKIFPMPTNAGGAVDATGPSLVDGTLGPLFDGFCSGKWILHC >Potri.017G044200.1.v4.1 pep chromosome:Pop_tri_v4:17:3089217:3099705:-1 gene:Potri.017G044200.v4.1 transcript:Potri.017G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044200.v4.1 MARKKIQIKKIDNTSARQVSFSKRRRGLFKKAFELSILCDAEIALMVFSATGKFFEYSNSSIGQVIERRNLHPKNLDTFSQPSVELQLDSAVHAMLNKEIAEKTRELRRTRGEDLQGLNMEELEKLEKLIEKSLCRVIETKGEKILKEVDALKSKEHQLIEENQRLKQRLMSLSKGQGHLLEQGQSSDSMVNNISSNSANPRQDYDNYSSFLTLGLPFPD >Potri.005G244700.1.v4.1 pep chromosome:Pop_tri_v4:5:24005006:24009984:1 gene:Potri.005G244700.v4.1 transcript:Potri.005G244700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244700.v4.1 MNLEENSRKLQASKAEANGDSHRISSSRFSSLLASKDRDYLLSQDGTQVKVSDLEGKVLGLYFSANWYAPCRSFTSQVLIGAYQDLKSNGSNFEIVFVSSDEDLDAFNNYRANMPWLSIPFSDLETKKALNSKFDVEAIPCLVILHPKDNKDEATLHDGVELLHRFGVQAFPFTKERLEELKLEEKEKHERQTLTNLLICHNRDYLLGHPAPRQVPVASLVGKTIGLYFSSHWCLPGGKFTPKLISIYQKIKQMLVNKGNDDDFEIVFVSSDRDQAEFDSYFNSMPWLALPFGDPANKTLAKHFDVKGIPCLVILGPDGKTVSKHGRNLINLYQENAYPFTEAQVDLLEKQMDEEAQSLPRSKNHAGHRHELTLVSEGTGGGPFICCDCDEQGSGWAYQCLECGYEVHPKCVRAVDRGSMAES >Potri.005G244700.4.v4.1 pep chromosome:Pop_tri_v4:5:24004932:24009695:1 gene:Potri.005G244700.v4.1 transcript:Potri.005G244700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244700.v4.1 MNLEENSRKLQASKAEANGDSHRISSSRFSSLLASKDRDYLLSQDGTQVPVASLVGKTIGLYFSSHWCLPGGKFTPKLISIYQKIKQMLVNKGNDDDFEIVFVSSDRDQAEFDSYFNSMPWLALPFGDPANKTLAKHFDVKGIPCLVILGPDGKTVSKHGRNLINLYQENAYPFTEAQVDLLEKQMDEEAQSLPRSKNHAGHRHELTLVSEGTGGGPFICCDCDEQGSGWAYQCLECGYEVHPKCVRAVDRGSMAES >Potri.005G244700.3.v4.1 pep chromosome:Pop_tri_v4:5:24004993:24009727:1 gene:Potri.005G244700.v4.1 transcript:Potri.005G244700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244700.v4.1 MPWLSIPFSDLETKKALNSKFDVEAIPCLVILHPKDNKDEATLHDGVELLHRFGVQAFPFTKERLEELKLEEKEKHERQTLTNLLICHNRDYLLGHPAPRQVPVASLVGKTIGLYFSSHWCLPGGKFTPKLISIYQKIKQMLVNKGNDDDFEIVFVSSDRDQAEFDSYFNSMPWLALPFGDPANKTLAKHFDVKGIPCLVILGPDGKTVSKHGRNLINLYQENAYPFTEAQVDLLEKQMDEEAQSLPRSKNHAGHRHELTLVSEGTGGGPFICCDCDEQGSGWAYQCLECGYEVHPKCVRAVDRGSMAES >Potri.008G128400.2.v4.1 pep chromosome:Pop_tri_v4:8:8345405:8347891:1 gene:Potri.008G128400.v4.1 transcript:Potri.008G128400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128400.v4.1 MTKEDDFKLLKIQTCVLKVNIHCDGCKKKVKKLLQRIEGVYQVNIDAEQQKVTISGTVDSATLIKKLVRAGKHAEVWFQKSNQNQKQKNNCIKDDGNIKGQKPGLVKGLEAFKNQPKFPAFCAEEDDDYLDDEDEDGDDLRFLGPNQLGLLRQQAMDASNAKKGIGAIAATSNNGNKMNNLVNGNAGNKGNPNQNIGMKVNPGGGIDQKAMAALQMKNAHLGGRSISAGEFHRGNDMNAMINLPGFHGNGANVSNAAAAIAALGGNPNGLGGLQVQSNNNAGFPTGGYATGQYPSSMLMNMNGHNHPTAAALMMNMQNRNVSQPPPQMMYHRSPYNPPTTGYYYNYSPAPYPYPYPDPYTEQPNYNGDHSAASTEMLSDENTSSCSIM >Potri.008G128400.4.v4.1 pep chromosome:Pop_tri_v4:8:8344593:8347764:1 gene:Potri.008G128400.v4.1 transcript:Potri.008G128400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128400.v4.1 MTKEDDFKLLKIQTCVLKVNIHCDGCKKKVKKLLQRIEGVYQVNIDAEQQKVTISGTVDSATLIKKLVRAGKHAEVWFQKSNQNQKQKNNCIKDDGNIKGQKPGLVKGLEAFKNQPKFPAFCAEEDDDYLDDEDEDGDDLRFLGPNQLGLLRQQAMDASNAKKGIGAIAATSNNGNKMNNLVNGNAGNKGNPNQNIGMKVNPGGGIDQKAMAALQMKNAHLGGRSISAGEFHRGNDMNAMINLPGFHGNGANVSNAAAAIAALGGNPNGLGGLQVQSNNNAGFPTGGYATGQYPSSMLMNMNGHNHPTAAALMMNMQNRNVSQPPPQMMYHRSPYNPPTTGYYYNYSPAPYPYPYPDPYTEQPNYNGDHSAASTEMLSDENTSSCSIM >Potri.011G089300.6.v4.1 pep chromosome:Pop_tri_v4:11:11453087:11460369:-1 gene:Potri.011G089300.v4.1 transcript:Potri.011G089300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089300.v4.1 MESPSFVSKARTAIHSAAAKAEQVFTDIKSDFISDREGPDSDKISPKESIKESGEKSSPRNDSESKNKNEGKQQHMRWRPGKKGTKLDWQDRFKNTIRLGGKRGPDNNNKAENSTMALPCYDENLYLLNMKNEEEAKGSHVSSIVERLNATNPDTIPPISALKQLAVAVDSGKKFKSVKDILASSGASSPIMERASLSLAAVKSLMLRDKEDKLTSEFGNDEKLESLIKSLFDAEGNFLSRNMSLVLEPPLLPRDIHGAPPEGFLTKLSEVIGSFTTLRKMALFWCKIVTEIRRLWSEELYIPGIPLDEIPDLNSCRLYQQLQVVNSCVSRKKWRTLATQSIESVMRHAGSCSEESADLQGTVTSHHILYARISTGELVLRLGADRPADNLTMLETGEPVYSPITQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTEGEPSDEAQEYVDQVDSSSTRGQLSSRMQKEGNLWRELWETAKPVPAVKQAPLFDVDLAVEGILNDLEDIPPVELFEQLFISLLGLGFVMAEAKLSCNNDLLKIFLECKDYVVVTCQGKIWSDKMDDLCQVYETVETMLLNPEEVLKAARQMEETNTVGEPRRRLKMLGLSFGSKERNSRNPSKDEKNSEENSSRQPFSTFFDGKSSLFLKKPPRPESVSFGDKAAYQDENENDWTIV >Potri.011G089300.2.v4.1 pep chromosome:Pop_tri_v4:11:11454067:11460395:-1 gene:Potri.011G089300.v4.1 transcript:Potri.011G089300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089300.v4.1 MESPSFVSKARTAIHSAAAKAEQVFTDIKSDFISDREGPDKISPKESIKESGEKSSPRNDSESKNKNEGKQQHMRWRPGKKGTKLDWQDRFKNTIRLGGKRGPDNNNKAENSTMALPCYDENLYLLNMKNEEEAKGSHVSSIVERLNATNPDTIPPISALKQLAVAVDSGKKFKSVKDILASSGASSPIMERASLSLAAVKSLMLRDKEDKLTSEFGNDEKLESLIKSLFDAEGNFLSRNMSLVLEPPLLPRDIHGAPPEGFLTKLSEVIGSFTTLRKMALFWCKIVTEIRRLWSEELYIPGIPLDEIPDLNSCRLYQQLQVVNSCVSRKKWRTLATQSIESVMRHAGSCSEESADLQGTVTSHHILYARISTGELVLRLGADRPADNLTMLETGEPVYSPITQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTEGEPSDEAQEYVDQVDSSSTRGQLSSRMQKEGNLWRELWETAKPVPAVKQAPLFDVDLAVEGILNDLEDIPPVELFEQLFISLLGLGFVMAEAKLSCNNDLLKIFLECKDYVVVTCQGKIWSDKMDDLCQVYETVETMLLNPEEVLKAARQMEETNTVGEPRRRLKMLGLSFGSKERNSRNPSKDEKNSEENSSRQPFSTFFDGKSSLFLKKPPRPESVSFGDKAAYQDENENDWTIV >Potri.011G089300.8.v4.1 pep chromosome:Pop_tri_v4:11:11454112:11460290:-1 gene:Potri.011G089300.v4.1 transcript:Potri.011G089300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089300.v4.1 MESPSFVSKARTAIHSAAAKAEQVFTDIKSDFISDREGPDSDKISPKESIKESGEKSSPRNDSESKNKNEGKQQHMRWRPGKKGTKLDWQDRFKNTIRLGGKRGPDNNNKAENSTMALPCYDENLYLLNMKNEEEAKGSHVSSIVERLNATNPDTIPPISALKQLAVAVDSGKKFKSVKDILASSGASSPIMERASLSLAAVKSLMLRDKEDKLTSEFGNDEKLESLIKSLFDAEGNFLSRNMSLVLEPPLLPRDIHGAPPEGFLTKLSEVIGSFTTLRKMALFWCKIVTEIRRLWSEELYIPGIPLDEIPDLNSCRLYQQLQVVNSCVSRKKWRTLATQSIESVMRHAGSCSEESADLQGTVTSHHILYARISTGELVLRLGADRPADNLTMLETGEPVYSPITQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTEGEPSDEAQEYVDQVDSSSTRGQLSSRMQKEGLPFTLVTSTTI >Potri.011G089300.7.v4.1 pep chromosome:Pop_tri_v4:11:11454113:11460351:-1 gene:Potri.011G089300.v4.1 transcript:Potri.011G089300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089300.v4.1 MRWRPGKKGTKLDWQDRFKNTIRLGGKRGPDNNNKAENSTMALPCYDENLYLLNMKNEEEAKGSHVSSIVERLNATNPDTIPPISALKQLAVAVDSGKKFKSVKDILASSGASSPIMERASLSLAAVKSLMLRDKEDKLTSEFGNDEKLESLIKSLFDAEGNFLSRNMSLVLEPPLLPRDIHGAPPEGFLTKLSEVIGSFTTLRKMALFWCKIVTEIRRLWSEELYIPGIPLDEIPDLNSCRLYQQLQVVNSCVSRKKWRTLATQSIESVMRHAGSCSEESADLQGTVTSHHILYARISTGELVLRLGADRPADNLTMLETGEPVYSPITQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTEGEPSDEAQEYVDQVDSSSTRGQLSSRMQKEGNLWRELWETAKPVPAVKQAPLFDVDLAVEGILNDLEDIPPVELFEQLFISLLGLGFVMAEAKLSCNNDLLKIFLECKDYVVVTCQGKIWSDKMDDLCQVYETVETMLLNPEEVLKAARQMEETNTVGEPRRRLKMLGLSFGSKERNSRNPSKDEKNSEENSSRQPFSTFFDGKSSLFLKKPPRPESVSFGDKAAYQDENENDWTIV >Potri.011G089300.9.v4.1 pep chromosome:Pop_tri_v4:11:11454113:11460347:-1 gene:Potri.011G089300.v4.1 transcript:Potri.011G089300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089300.v4.1 MQIFAEGNFLSRNMSLVLEPPLLPRDIHGAPPEGFLTKLSEVIGSFTTLRKMALFWCKIVTEIRRLWSEELYIPGIPLDEIPDLNSCRLYQQLQVVNSCVSRKKWRTLATQSIESVMRHAGSCSEESADLQGTVTSHHILYARISTGELVLRLGADRPADNLTMLETGEPVYSPITQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTEGEPSDEAQEYVDQVDSSSTRGQLSSRMQKEGNLWRELWETAKPVPAVKQAPLFDVDLAVEGILNDLEDIPPVELFEQLFISLLGLGFVMAEAKLSCNNDLLKIFLECKDYVVVTCQGKIWSDKMDDLCQVYETVETMLLNPEEVLKAARQMEETNTVGEPRRRLKMLGLSFGSKERNSRNPSKDEKNSEENSSRQPFSTFFDGKSSLFLKKPPRPESVSFGDKAAYQDENENDWTIV >Potri.011G089300.1.v4.1 pep chromosome:Pop_tri_v4:11:11453087:11460445:-1 gene:Potri.011G089300.v4.1 transcript:Potri.011G089300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089300.v4.1 MESPSFVSKARTAIHSAAAKAEQVFTDIKSDFISDREGPDSDKISPKESIKESGEKSSPRNDSESKNKNEGKQQHMRWRPGKKGTKLDWQDRFKNTIRLGGKRGPDNNNKAENSTMALPCYDENLYLLNMKNEEEAKGSHVSSIVERLNATNPDTIPPISALKQLAVAVDSGKKFKSVKDILASSGASSPIMERASLSLAAVKSLMLRDKEDKLTSEFGNDEKLESLIKSLFDAEGNFLSRNMSLVLEPPLLPRDIHGAPPEGFLTKLSEVIGSFTTLRKMALFWCKIVTEIRRLWSEELYIPGIPLDEIPDLNSCRLYQQLQVVNSCVSRKKWRTLATQSIESVMRHAGSCSEESADLQGTVTSHHILYARISTGELVLRLGADRPADNLTMLETGEPVYSPITQEGPLLTEDLIKETEEFVLRTGSVGAGCSQLLSDMQAFKAANPGCILEDFVRWHSPPDWTEGEPSDEAQEYVDQVDSSSTRGQLSSRMQKEGNLWRELWETAKPVPAVKQAPLFDVDLAVEGILNDLEDIPPVELFEQLFISLLGLGFVMAEAKLSCNNDLLKIFLECKDYVVVTCQGKIWSDKMDDLCQVYETVETMLLNPEEVLKAARQMEETNTVGEPRRRLKMLGLSFGSKERNSRNPSKDEKNSEENSSRQPFSTFFDGKSSLFLKKPPRPESVSFGDKAAYQDENENDWTIV >Potri.004G036600.3.v4.1 pep chromosome:Pop_tri_v4:4:2870624:2875558:-1 gene:Potri.004G036600.v4.1 transcript:Potri.004G036600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036600.v4.1 MAGRYDPNPFDEEEVNPFIDPAERSKASGQSKYSGSAFNTTGVPPASNSRLSPLPPEPAGFYNYGTTVDIPIDAAADLRKKEKELQAREAELRRREKVKRLEDAAARAGVVLEEKNWPPFFPIIHHDVANEIPVHLQKIQYFALTTFLGLTFCLFWNVIAVTTAWIHGEGVNIWFLSVNYFISGVPGAYFLWYRPLYRAFRTASAMRFGWFFLFYVLHIGFCIFAAVAPPKIFKGKSLTGILAAVDVVGNNTIAGIFYFIGFGCFCLESLLSIWVVQQVYMYFRGSGKAAQMKREAARGALRAAV >Potri.004G036600.1.v4.1 pep chromosome:Pop_tri_v4:4:2870573:2875558:-1 gene:Potri.004G036600.v4.1 transcript:Potri.004G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036600.v4.1 MAGRYDPNPFDEEEVNPFIDPAERSKASGQSKYSGSAFNTTGVPPASNSRLSPLPPEPAGFYNYGTTVDIPIDAAADLRKKEKELQAREAELRRREKRLEDAAARAGVVLEEKNWPPFFPIIHHDVANEIPVHLQKIQYFALTTFLGLTFCLFWNVIAVTTAWIHGEGVNIWFLSVNYFISGVPGAYFLWYRPLYRAFRTASAMRFGWFFLFYVLHIGFCIFAAVAPPKIFKGKSLTGILAAVDVVGNNTIAGIFYFIGFGCFCLESLLSIWVVQQVYMYFRGSGKAAQMKREAARGALRAAV >Potri.008G024500.7.v4.1 pep chromosome:Pop_tri_v4:8:1238795:1244336:-1 gene:Potri.008G024500.v4.1 transcript:Potri.008G024500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024500.v4.1 MQSRRKEDYVTQSPSSKIRNPHRTDIGHESHPAPRHNAVDRSPRVQQRRSLSPRSKVEVSRRVVQGEGRSSSTEKRDYGWHLGAGRTEKVRPGSPQYAQEHRKPHSDDGVVHRKYRQVEDMDYDDGKSNRLKRGYDHHAAPSRVNKEKDYRESRAVGIDGHGMLGQKSVPMEDGLVRGPYRVPPDLVPNSSYGDTGAHIQSMSRGMDIGHFEDAELRFREPIPSDKIPVRDFYEEEERPMFHSRNVPYTRMPAPHSKDLESTSRFENFAGSSSGFSRSEFPSSYREGMPLAESDEYPRSSMKLTEPMDFNAYRERPVMDIRDREAGKRIITSYPQGAYNTKRVSHDHYLYSRSQGTVNDDHAYLSDDIHRMMSPPSPLDYEHARIDYEHREFSRLSMHPVRDRTEHAEGSYINMRRSTVFDHPTIQKQAPMENLDAGRIQHASKYNAEYLGSAYTRVEFGQGELQDNRKSHLGVTQNHQIPHSRSNYGFGRDAGPQFQKETLDNTPMPLYDLERLAAKRQRTRVELAIYKPSDKAFKQKYVMEEEINRHDRKYVVEEDINRHDTRNIVSNKWNAPQEFEDVYETGEEWVNENAGDLHVSRTQRFYQSAYRNAKRTYDRQDILGDSASEDWLSSQASLSPARRHSIRHYKPGAKYMNGHPRSGPLSWYNSHQTDRKSGVHRQHRIWKRNDDFGEDANVIDDDQSEEWVNLGEAEPPEGSEEFKQLVDEAFLLYSKRLNLNSAVQRRYKEQGKAGSLFCIVCGKRSVYFFCDMHF >Potri.008G024500.1.v4.1 pep chromosome:Pop_tri_v4:8:1238553:1244357:-1 gene:Potri.008G024500.v4.1 transcript:Potri.008G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024500.v4.1 MQSRRKEDYVTQSPSSKIRNPHRTDIGHESHPAPRHNAVDRSPRVQQRRSLSPRSKVEVSRRVVQGEGRSSSTEKRDYGWHLGAGRTEKVRPGSPQYAQEHRKPHSDDGVVHRKYRQVEDMDYDDGKSNRLKRGYDHHAAPSRVNKEKDYRESRAVGIDGHGMLGQKSVPMEDGLVRGPYRVPPDLVPNSSYGDTGAHIQSMSRGMDIGHFEDAELRFREPIPSDKIPVRDFYEEEERPMFHSRNVPYTRMPAPHSKDLESTSRFENFAGSSSGFSRSEFPSSYREGMPLAESDEYPRSSMKLTEPMDFNAYRERPVMDIRDREAGKRIITSYPQGAYNTKRVSHDHYLYSRSQGTVNDDHAYLSDDIHRMMSPPSPLDYEHARIDYEHREFSRLSMHPVRDRTEHAEGSYINMRRSTVFDHPTIQKQAPMENLDAGRIQHASKYNAEYLGSAYTRVEFGQGELQDNRKSHLGVTQNHQIPHSRSNYGFGRDAGPQFQKETLDNTPMPLYDLERLAAKRQRTRVELAIYKPSDKAFKQKYVMEEEINRHDRKYVVEEDINRHDTRNIVSNKWNAPQEFEDVYETGEEWVNENAGDLHVSRTQRFYQSAYRNAKRTYDRQDILGDSASEDWLSSQASLSPARRHSIRHYKPGAKYMNGHPRSGPLSWYNSHQTDRKSGVHRQHRIWKRNDDFGEDANVIDDDQSEEWVNLGEAEPPEGSEEFKQLVDEAFLLYSKRLNLNSAVQRRYKEQGKAGSLFCIVCGKSSSKEFMAAQNLVQHAFMSHKIGLRAQHLGLHKAICVLMGWNSSVPCDAITCVPEILPAEEAVAQKEDLMLWPPLVVIHNISMSNNNPEHQKVVPIEGVEAFLRGKGIVGGKIKVCLGKPADQSIMLVKFLGTFTGLGNAEKLHKYFAEKKHGREEFEHKTSNNGNNISSSKEETQGGGKLEEQLLYGYLGIAEDLDGLDFNTKKWIKIKSKKEIQELANAPVKTDDKLLNY >Potri.008G024500.6.v4.1 pep chromosome:Pop_tri_v4:8:1238687:1244324:-1 gene:Potri.008G024500.v4.1 transcript:Potri.008G024500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024500.v4.1 MQSRRKEDYVTQSPSSKIRNPHRTDIGHESHPAPRHNAVDRSPRVQQRRSLSPRSKVEVSRRVVQGEGRSSSTEKRDYGWHLGAGRTEKVRPGSPQYAQEHRKPHSDDGVVHRKYRQVEDMDYDDGKSNRLKRGYDHHAAPSRVNKEKDYRESRAVGIDGHGMLGQKSVPMEDGLVRGPYRVPPDLVPNSSYGDTGAHIQSMSRGMDIGHFEDAELRFREPIPSDKIPVRDFYEEEERPMFHSRNVPYTRMPAPHSKDLESTSRFENFAGSSSGFSRSEFPSSYREGMPLAESDEYPRSSMKLTEPMDFNAYRERPVMDIRDREAGKRIITSYPQGAYNTKRVSHDHYLYSRSQGTVNDDHAYLSDDIHRMMSPPSPLDYEHARIDYEHREFSRLSMHPVRDRTEHAEGSYINMRRSTVFDHPTIQKQAPMENLDAGRIQHASKYNAEYLGSAYTRVEFGQGELQDNRKSHLGVTQNHQIPHSRSNYGFGRDAGPQFQKETLDNTPMPLYDLERLAAKRQRTRVELAIYKPSDKAFKQKYVMEEEINRHDRKYVVEEDINRHDTRNIVSNKWNAPQEFEDVYETGEEWVNENAGDLHVSRTQRFYQSAYRNAKRTYDRQDILGDSASEDWLSSQASLSPARRHSIRHYKPGAKYMNGHPRSGPLSWYNSHQTDRKSGVHRQHRIWKRNDDFGEDANVIDDDQSEEWVNLGEAEPPEGSEEFKQLVDEAFLLYSKRLNLNSAVQRRYKEQGKAGSLFCIVCGKSSSKEFMAAQNLVQHAFMSHKIGLRAQHLGLHKAICVLMGWNSSVPCDAITCVPEILPAEEAVAQKEDLMLWPPLVVIHNISMSNNNPEHQKVVPIEGVEAFLRGKGIVGGKIKVCLGKPADQSIMLVKFLGTFTGLGNAEKLHKYFAEKKHGREEFEHKTSNNGNNISSSKEETQGGGKLEEQLLYGYLGIAEDLDGLDFNTKKWIKIKSKKEIQELANAPVKTDDKLLNY >Potri.003G179100.1.v4.1 pep chromosome:Pop_tri_v4:3:18547952:18550191:-1 gene:Potri.003G179100.v4.1 transcript:Potri.003G179100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179100.v4.1 MRDRSTELPPECWELIFNFLDHHRHFESLSLVSTQFLSITNHLRRSLIISSQTSPFLPNLFQRFPNLKGIEIREFDGDLNFLLHQISNSGLDLESLTLSSQDHFPLMGLRELGLRMRNLRKLSCSEMNCLQDTHLFEIGNSFPLLEDLNISFPQYNSRFDPIGSLDLQRFSGIVTDEGIIHLSMKLKSLLKIDLSGNQFISDKSLQFLSENCLLLREIVIRECDFITQNGIGSVMRRCINLNYISVDGIGIPSIELYFQESFVFAKNLSEVNLSHSFISDELLSSIADACLPLKKLTICHCYDFTFVGVSYLLYKYQFLEYLDLEGANFLTDESMIDLCEFLRKLTFINLSLCSKLTSLTFFMLVSNCSLLKDVKMERTNLGVEEFLVDFGINPCVMSLNLARNESLSDECIKKIAFCCPNLQELKISHCPTITEEGIREVLRSCGEIRHLEMNHCSGIKCLDIDFELPKLEVVQAEGPVLDDEALMMIAKRCHGLLQLDLEGCLNVTIKGVNGVVQSCMRLREINLKWCDNVKVDIIPRMVFSRPSLRKIIPPCRFIPTDKQNKFFLRHGCLVCKG >Potri.015G114600.2.v4.1 pep chromosome:Pop_tri_v4:15:13146506:13147550:1 gene:Potri.015G114600.v4.1 transcript:Potri.015G114600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G114600.v4.1 MAVIFTSLRYLSVYSFEYQIGGNENLVVPPAIDTRIYVDWALENRFQVGDTARDQFKHKEIRDLPLPSISWVFIIFFCEGFKHRKDSVMKVRAEDYKKRNSRHPNFFSNTVHHLNHPASSYFISGVSGHCEKGQRMIIIKVISTDQETNSNLQLFLLQEC >Potri.016G103750.1.v4.1 pep chromosome:Pop_tri_v4:16:10659578:10661008:1 gene:Potri.016G103750.v4.1 transcript:Potri.016G103750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103750.v4.1 MMHFQLKSSFKKHILKTKDRLRFVQSDTICVLCQEEEETHSHLFFACTWTSLLWRMVKTWLRVHRHMTTLSSAIRGLNSSKNNLEARMGRVSLGLLVYLVWEEKNTWIFESTCKWIDLIFRKFQVISRVVFLLLFVASYGLLCFF >Potri.005G174000.1.v4.1 pep chromosome:Pop_tri_v4:5:17949888:17952934:1 gene:Potri.005G174000.v4.1 transcript:Potri.005G174000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174000.v4.1 MASEKVETVIAGNYVEMEREEGDSKSTKSKFSKFFWHGGSVCDAWFSCASNQVAQVLLTLPYSFSQLGLLSGILFQLFYGLLGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKHWRNVGLFFNCTFLLFGSVIQLIACASNIYYINDSLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLMMTSYTAWYLTIASLIHGQIEGVKHSGPTTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLIATLYVLTLTLPSASAVYWAFGDLLLTHSNALSLLPRNGYRDTAVVLMLIHQFITFGFACTPLYFVWEKFIGIHYTKSVFKRALARLPVVIPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPSLAHMVTFSSASARENAVERPPPFLGGWVGSYCVNFFVVVWVFVVGFGFGGWASMLNFIRQIDSFGLFTKCYQCPHKA >Potri.003G136800.1.v4.1 pep chromosome:Pop_tri_v4:3:15413619:15417039:-1 gene:Potri.003G136800.v4.1 transcript:Potri.003G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136800.v4.1 MQWYFVAVLLTILTSSQGILTTLSQSNGKYLYDYATVPFLAEVFKLLLSTLLLWRECRISPSTRVTTEWKSVRLYVIPSIIYLIHNNVQFATLTYVDTSTYQIMGNLKIVTTAILFRLFLRRRLSNLQWMAIVLLAVGTTTSQVKGCGEASCDSLFAAPIQGYMLGVLSACLSALAGVYTEFLMKKNNDSLYWQNVQLYTFGSIFNMARLVLDDYRGGYENGSWWQRLFNGYSITTWMVVLNLGSTGLLVSWLMKYADNIVKVYSTSLAMLLTMVLSVYLFNLKPTLQLLLGTIICMMSLHMYFAPPNMLVDLPTQVRAAPESLKEVAVERRTDS >Potri.014G045100.1.v4.1 pep chromosome:Pop_tri_v4:14:2912716:2914941:1 gene:Potri.014G045100.v4.1 transcript:Potri.014G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045100.v4.1 MGDKNDGLGLSLSLGFDATQQNHQQQPSLKLNLMPVPSQNNHRKTSLTDLFQSSDRACGTRFFQRGIDMNRVPAAVTDCDDETGVSSPNSTLSSLSGKRSEREQIGEETEAERASCSRDSDDEDGAGGDASRKKLRLSKEQSLVLEETFKEHNTLNPKEKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCENLTEENRRLQKEVQELRALKLSPQLYMHMNPPTTLTMCPSCERVAVSSASSSSAAAASSALAPTASTRQPQRPVPINPWATMPVHQRTFDAPASRS >Potri.013G014300.1.v4.1 pep chromosome:Pop_tri_v4:13:922167:922928:1 gene:Potri.013G014300.v4.1 transcript:Potri.013G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014300.v4.1 MHTASKMKAIDDKKDTVVIKTVSRDAEGKKKVEKAELKTHNIDTIKYVEKKLMDKGVMRMERHPVDGKGGIGRPPPKSGHGGKFTWEGPYDEAEIELEAAAPPAIDEKDPNYVDEVVEEKIVKGEEKDVAGVVVGEIEVAKAVEGRHGVARVEVDPRLVIDN >Potri.005G061700.1.v4.1 pep chromosome:Pop_tri_v4:5:3898543:3901737:-1 gene:Potri.005G061700.v4.1 transcript:Potri.005G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061700.v4.1 MTKFRKLNRPTGHRMSMLRTLVSQLIKHERIETTVAKAKEIRRLADNMVQLGKEGSLCAARRAAAFVRGDDVIHKLFSEMAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQPPQRAPLDPWTRSRLTRQFAPPKEEKSSDPEI >Potri.012G072101.1.v4.1 pep chromosome:Pop_tri_v4:12:9591161:9592504:1 gene:Potri.012G072101.v4.1 transcript:Potri.012G072101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072101.v4.1 MVLYSSEHMDSVHQRFSLHLLHLLSNSQIHSSLSTLDTVSDIGADCGVLSGVLYTSATSWNQSRVRGGPWVVLVAGAIQCFAGYFSTWAAVTGLIPRPPVAAMCLFVFVAAHAQSFFNTADVVTSVRNFRHFSDTAVGIMKGFLGLSGAILIQAYQTIFSSKPSRYLLTLAILTRTK >Potri.004G143100.1.v4.1 pep chromosome:Pop_tri_v4:4:16596118:16596948:1 gene:Potri.004G143100.v4.1 transcript:Potri.004G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143100.v4.1 MDSSNSNEIVHEFSPFFRIYRNGKVERITADTETVPPSDDPLTGVQTKDTVVSQENSLSVRLFIPKITDPTQKLPLLIYIHGGAFCIESPFSSLYHNYLTDLVHNANVIAVSVQYRRAPEHPLPAAYDDSWAAIQWVASHVNGEGSESWLNGHADFDRTFLAGDSAGANIAHNMAVRAGTTNGLNGVKIVGVVLAHPFFGNNEPDTFSPVIEFIFPSVRIYDDPRINPAGAGGAELASLGCARVLIFEQSLLTNRQV >Potri.001G433700.1.v4.1 pep chromosome:Pop_tri_v4:1:45903737:45906230:-1 gene:Potri.001G433700.v4.1 transcript:Potri.001G433700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G433700.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFAQEFKEREENLVSEKDRLQDVVRAPERNAEEIYKDVKKWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKLGESSEKFTKVADKRPPQRIEFLTSKEFTPSKSSEEALEQIMEALKDDNVNMIGLYGMGGVGKTTLVKEVGRIATESQLFDEVLMATVSQNPNVIDIQNRMADMLGLKIEENSKEGRADRLRQRLKKVEKMLITLDDVWKHIDLKEIGIPFGDDHRGCKILLTTRRKNICSSMKCQQKVFLRVLPEKEACDLFRINAGLDDGDSTLNEVARDVARECHGLPIALVTMGRALRDESAVKWKRVSKQLKNSQFPDMEQIEEKNAYACLKLSYDYLKSKETKLCFLLCCLFPEDYNIPVEDLTRYAVGYGLHQDGEPIEDAREQVHVAIKDLKACCLLLGTETEEHVRMHDLVRDVAIQIASSKEYGFMVLEKWPTSIESFEGCTTISLMGNKLAELPEGLVCPQLKVLLLELDDGLNVPERFFEGMKEIEVLSLKGGCLSLQSLELSTKLQLSLLTECECKDLISLRKLQGLKILGLMSCLSIEELPDEIGELKELRLLDVTGCQRLRRIPVNLIGRLKKLEELLIGDGSFDGWDVVGCHRRNECKPNRTKFVVSVSRIIIEDTEG >Potri.001G433700.2.v4.1 pep chromosome:Pop_tri_v4:1:45902093:45905683:-1 gene:Potri.001G433700.v4.1 transcript:Potri.001G433700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G433700.v4.1 MGGVGKTTLVKEVGRIATESQLFDEVLMATVSQNPNVIDIQNRMADMLGLKIEENSKEGRADRLRQRLKKVEKMLITLDDVWKHIDLKEIGIPFGDDHRGCKILLTTRRKNICSSMKCQQKVFLRVLPEKEACDLFRINAGLDDGDSTLNEVARDVARECHGLPIALVTMGRALRDESAVKWKRVSKQLKNSQFPDMEQIEEKNAYACLKLSYDYLKSKETKLCFLLCCLFPEDYNIPVEDLTRYAVGYGLHQDGEPIEDAREQVHVAIKDLKACCLLLGTETEEHVRMHDLVRDVAIQIASSKEYGFMVLEKWPTSIESFEGCTTISLMGNKLAELPEGLVCPQLKVLLLELDDGLNVPERFFEGMKEIEVLSLKGGCLSLQSLELSTKLQLSLLTECECKDLISLRKLQGLKILGLMSCLSIEELPDEIGELKELRLLDVTGCQRLRRIPVNLIGRLKKLEELLIGDGSFDGWDVVGCHRRNECKPNRTKFVVSVSRIIIEDTEG >Potri.006G223800.2.v4.1 pep chromosome:Pop_tri_v4:6:22871128:22873338:-1 gene:Potri.006G223800.v4.1 transcript:Potri.006G223800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223800.v4.1 MVLIKIGLEGTMEEMQRRLKEAAVEGNVIALLKLLEEDKLVLDGCATDCFTETPLHISAMLGHLEFTRKILCRKPEFAKELDFLGSSPLHLATANGHLEVVRALLSVNPDMCFAQNRDGRNPLHIAVIKGRVDVLKELVQNKPEAVLHRTARGETVLHLCVKHFQLEALKLLVETIKDYGFINSKDEDGSTVLHLAVADKEIEGRRNWKDVDVQDSLHQVGASSAKDLSSTMHRLEAVGAVNLRSEDHFTSLQSRLKRKYQRRQSYGLGEKRNALMIVASLIATMAFQAGISPPGGLWQEDSQGATSNEHHEAGRSIMADKLPAAYNKFVMHNSIAFLASLSVILLLISGLPFRWRFSMWILTAIMWVAITESTFTYLISIYCLSSLHQRRTYIVTVVVLSVVMLGLLSVLLVGHSVRLIAKIVKLGRRLMAPRDRRILFE >Potri.006G223800.1.v4.1 pep chromosome:Pop_tri_v4:6:22871128:22873337:-1 gene:Potri.006G223800.v4.1 transcript:Potri.006G223800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223800.v4.1 MVLIKIGLEGTMEEMQRRLKEAAVEGNVIALLKLLEEDKLVLDGCATDCFTETPLHISAMLGHLEFTRKILCRKPEFAKELDFLGSSPLHLATANGHLEVVRALLSVNPDMCFAQNRDGRNPLHIAVIKGRVDVLKELVQNKPEAVLHRTARGETVLHLCVKHFQLEALKLLVETIKDYGFINSKDEDGSTVLHLAVADKEIEIISFLIMKTEIEVNAINASGFTVLDIALAQGRRNWKDVDVQDSLHQVGASSAKDLSSTMHRLEAVGAVNLRSEDHFTSLQSRLKRKYQRRQSYGLGEKRNALMIVASLIATMAFQAGISPPGGLWQEDSQGATSNEHHEAGRSIMADKLPAAYNKFVMHNSIAFLASLSVILLLISGLPFRWRFSMWILTAIMWVAITESTFTYLISIYCLSSLHQRRTYIVTVVVLSVVMLGLLSVLLVGHSVRLIAKIVKLGRRLMAPRDRRILFE >Potri.006G103000.1.v4.1 pep chromosome:Pop_tri_v4:6:7936922:7939815:1 gene:Potri.006G103000.v4.1 transcript:Potri.006G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103000.v4.1 MLTKMASGKLVFSFIITLLLIFLLPPSHAASHSDPSDIKDSSSINRQKVNLSVYYEALCPSCANFIVQSLARVFNDDLINIINLRMVPWGNAHVNKTDSTIICQNGLDECVLNTIQACAINVWHDVNKYYALIYCIEFLTIEGRHSNWQSCFSSLGLPEKPILDCYNNGTGAKLQALYGYETAHLSPPQTFVPWVVVDSKQLGNDYEKFTTYICNAYKSNVIPNACKSLPPNNVSSSKEEDPIHPVCYRGEAKNLTSLGPIKRT >Potri.010G143400.1.v4.1 pep chromosome:Pop_tri_v4:10:15613477:15614946:-1 gene:Potri.010G143400.v4.1 transcript:Potri.010G143400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143400.v4.1 MGFHCPGLETKGKSYLKPQSLGEGKDNQASFSLEAFQVLKQYEKRVKHLNKEKLEEPSNIIERNSADVLALSTEEIIKVATETSLEYHLQRSDNLSSLSFGCAPFVLTSDGVKYVELIQFLIAAAEKVGQQQFDHVSEMLSMCDQMSSATGNPVQRIVYYFTGALRERIDRETGKITPRGLFTISSNVEEAMVSLSPAILECHQRMPFCQIAQLTGIQAIVESAADAKRLHVIDLKIDSGVQWIALMQALAARNDCPTELLKITAFGTTSMSKIQETGKRLAQFAETVNLPFSFNLVMVLNINDLKKESFDIEAGEFIAVYSSLFLKNLLAQPKCLESLMRVIRDLRPEIMVITEPEANHNSQAFKDRFVETLLYFSAIFDCLEACMDRSDPSRMGAEGLYLSYAIKNSIAKEGKERTFECVKIDYWRAYLAEFGMEETELSMTSLYQAIQVVKKFACGSYCTLDMNGKCLIIGWKGTPINSLSVWKFC >Potri.005G026500.1.v4.1 pep chromosome:Pop_tri_v4:5:1682717:1683718:1 gene:Potri.005G026500.v4.1 transcript:Potri.005G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026500.v4.1 MEATTKATKGAGGRRGGDRKKSVSKSIKAGLQFPVGRISRFLKKGRYAKRLGSGAPIYMAAVLEYLAAEVLELAGNAARDNKKTRINPRHVLLAVRNDEELGKLLQGVTIASGGVLPNINPVLLPKKTSGSEKSSGSEPKSPKKA >Potri.019G102900.1.v4.1 pep chromosome:Pop_tri_v4:19:13849770:13851326:1 gene:Potri.019G102900.v4.1 transcript:Potri.019G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102900.v4.1 MGSLDEEALLRGQVEVWQLMFGFAESMALKCAIELGIADIINSLGGPVTLNQIASGIDSPCVDIPYLARIMRFLVRKRVFTQHIPSDGGETLYGLTDSSKWLLRDSEVSLAPMVLMQNYPWQLAPWHYLSQCVKEGGIAFKKAHGCEIWDLASQNPEFNRIFNDALACTAKIIMRAVVSHYKGGFDDVETLVDVGGGTGGNLAEIVKAYPHIKGINFDLPHVVAAAPAYNGVSHVGGSFFEAIPNADSIFMKWVLHDWGDEDCVKILKNCRKAMPEKTGKLILVEIVLQPEGNGQFGDMGMVSDLVMFAHSTGGKERTELEWKKLLEEGGFPRYKIINIPALPSIIEAYLQ >Potri.011G080600.1.v4.1 pep chromosome:Pop_tri_v4:11:8700998:8707458:-1 gene:Potri.011G080600.v4.1 transcript:Potri.011G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080600.v4.1 MASLLSPNSSSFSFGSNHLLSFTVIIPALPFSFGLVMLSINHHHHLSRIRLSRPPKPFSSSPLYSNSFHNFKLNGSSAGGRRLRHKIVQVRAFDEDLSGVSSPLDDWANNDGAAGYMLLSSDGEDSDGEYIINPVTDMELPTAKVSTNDALTLTAHRLAMIGRAPRKRRNKLGTLINLCLLAFLTVLLLFVDWCAWKIVRLPLEPFYLCRPFFISAVLVSFLGYLCVPLLSELRIHQNIWKEGPLRHSKKRASPTMGGLFFVPIGVGVAKFVAGFSSVEVSGTAVATLAFATIGLLDDIVTVIKNRNSGLSVWVKIFLEVAVGTCFSFWLHTTSISSPYSMKMLVALPAPLGLICLGKYYSLLTSFCFVSMGNGINLTDGLDGLAAGTAALAFVGMSIAVLPICPELAIFGASMAGACFGFLLHNRYKASVFMGDTGSLALGGALAAMAACSGMFLPLFISSGIFFLDASSVIMQVLYFKTTKRMRGDGCRLFRMAPIHHHLELCGLKEPVIVAGAYVISGVLALFAGYVGLISA >Potri.005G070100.3.v4.1 pep chromosome:Pop_tri_v4:5:4543729:4544652:-1 gene:Potri.005G070100.v4.1 transcript:Potri.005G070100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070100.v4.1 MMSKENVSQPISSGVRNDGHSPSESEDLGTGSRCSLDAGIATCRVCQCAESDKRGDVALGFLGIVPPLQEARKSSGAVKPESKEVPLNAEADRFHSKNTGRESGLVEFFSPEGEVFICNTDTDLELGSCHQQDLLIELGCSCKSDLALVHYACALKWFVNHGSTVCEICGHVAINIRTSDFKKVMVALKDYEALRERTATGDPNPAQVHASAGVDPDAVAAVRRQRLSEISLWFCPHNNNTNNYNNNSAAVSQVVSEQPLNTVTEDIVPADNRATKWAVEGTGILLATGLLTVTLAWLIAPRVGKVW >Potri.005G070100.1.v4.1 pep chromosome:Pop_tri_v4:5:4538553:4545979:-1 gene:Potri.005G070100.v4.1 transcript:Potri.005G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070100.v4.1 MMSKENVSQPISSGVRNDGHSPSESEDLGTGSRCSLDAGIATCRVCQCAESDKRGDVALGFLGIVPPLQEARKSSGAVKPESKEVPLNAEADRFHSKNTGRESGLVEFFSPEGEVFICNTDTDLELGSCHQQDLLIELGCSCKSDLALVHYACALKWFVNHGSTVCEICGHVAINIRTSDFKKVMVALKDYEALRERTATGDPNPAQVHASAGVDPDAVAAVRRQRLSEISLWFCPHNNNTNNYNNNSAAVSQVVSEQPLNTVTEDIVPADNRATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGICALTVVIFFRFIVLTRIKYGPARYWAILFVFWFLVFGIWASRTHDAHTT >Potri.005G070100.4.v4.1 pep chromosome:Pop_tri_v4:5:4538862:4545933:-1 gene:Potri.005G070100.v4.1 transcript:Potri.005G070100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070100.v4.1 MMSKENVSQPISSGVRNDGHSPSESEDLGTGSRCSLDAGIATCRVCQCAESDKRGDVALGFLGIVPPLQEARKSSGAVKPESKEVPLNAEADRFHSKNTGRESGLVEFFSPEGEVFICNTDTDLELGSCHQQDLLIELGCSCKSDLALVHYACALKWFVNHGSTVCEICGHVAINIRTSDFKKVMVALKDYEALRERTATGDPNPAQVHASAGVDPDAVAAVRRQRLSEISLWFCPHNNNTNNYNNNSAAVSQVVSEQPLNTVTEDIVPADNRATKWAVEGTGILLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGICALTVVIFFRFIVLTRIKYGPARYWAILFVFWFLVFGIWASRTHDAHTT >Potri.015G021900.3.v4.1 pep chromosome:Pop_tri_v4:15:1608226:1610216:-1 gene:Potri.015G021900.v4.1 transcript:Potri.015G021900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G021900.v4.1 MGDTLRLAVGVMGNAASLLLFSAPILTFCRVIRKKSTEEFSCVPYTIALLNCLLYTWYGLPVISYRWEKFPVVTINGLGILFELSFILIYLWFSSAKGKMKVAITVIPVILVFCITAAISLFSFHDHHHRKIFVGSVALVASVVMYGSPLVVVKQVIKTKSVEYMPFNLSFFSFLSSSLWMVYGLLSHDPFLTFPNLVGIPLGILQLVLYCKYRKRGIKEESHKWDLEIRNEEKSKQLQLVINDSNNDKS >Potri.001G372900.1.v4.1 pep chromosome:Pop_tri_v4:1:39096953:39102786:-1 gene:Potri.001G372900.v4.1 transcript:Potri.001G372900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372900.v4.1 MAWRKECLDLVLVPLGLMIMSGYHLYLLHRCVRSPETTGIGHENHYRKAWVERVLQVEGKERGLYLTVIASTITASTFLASTSLALSSLIGALVVSSSHNIFINSVVYGDTSSSVITVKYIFLLICFLVAFASFLQCARSLVYANFLISMPNSDIPVSYVQKAVIRGSTFWSIGLRAIYFATNLLMWIFGPIPMFVTSLVLVAILNISDTNSTPFHQFKSAKSHSMFRRISEEVHLHLQQ >Potri.019G035800.2.v4.1 pep chromosome:Pop_tri_v4:19:4869706:4873037:-1 gene:Potri.019G035800.v4.1 transcript:Potri.019G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G035800.v4.1 MATGSVKAVALITGDSNVRGSLHFIQEPNGATHVTGRIAGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGAPCDNERHAGDLGNIIAGSDGVAEVSITDFQIPLSGMHSILGRAVVVHADPDDLGKGGHDLSKTTGNAGARVGCGIIGLKSSV >Potri.010G180700.2.v4.1 pep chromosome:Pop_tri_v4:10:17959299:17967798:-1 gene:Potri.010G180700.v4.1 transcript:Potri.010G180700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180700.v4.1 MEKATATSTSCPSTPRWNIDRPFLTGRFHQETKGTSRLADTKGFSMDLSSHGLERPIGYYNAAVQELIVIDDLLSAMVGIEGRYISIRRVRGKEDHISFQVDASMDLAIQELAKRMFPLCESYLLIDQFVESRSQFKNGLVNHAFAAALKALLVDYQAMVAQLEHQFRLGRLSIQGLWFYCQPMMGSMQALSIVIQKASANNFTGSSVLNLLQSQAKAMAGDNAVRSLLEKMTQCASNAYLSILERWVYEGVIDDPYGEFFIAENKSLQKESLTQDYDAKYWRQRYSLKEGIPSFLANIAGTILTTGKYLNVMRECGHNVQVPASENYKLTIFGSNHHYLECIKAAYDFASGELLNLIKEKYDLMGKLRSIKHYLLLDQGDFLVHFMDIARDELTKKFDEISVEKLQSLLDLALRTTAAAVDPCHEDLTCCVERSSLLKRLSTLKDLEVRTVSDGNALAEPLNITGLETFSLSYKVEWPLSIVISRKALAKYQLIFRFLFRCKHVDRQLCGAWQVHQGVRALNMRGTAISRSSLICRSMLKFINSLLHYLTFEVLEPNWHVMHNRLQTAKSIDEVIQYHDLFLDKCLRECLLLLPELLKKVERLQSLCLQYAAATQWLISSSISIPKLEEHSKSSRPSRMLTMTTENASVTDSILKFEREFNAELQSLGPILSNSSQAEPYLTHLAQWILGDGHDQ >Potri.009G133800.1.v4.1 pep chromosome:Pop_tri_v4:9:10840241:10843024:1 gene:Potri.009G133800.v4.1 transcript:Potri.009G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133800.v4.1 MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSTAKLQDSRSVKKIVSLDDHVALVCAGLKADARVLINKARIECQSYRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPHLGVPALYQTDPSGAFSAWKANATGRNSNSMREFLEKNFKETSGQETVKLAIRALLEVVESGGKNIEIAVMTKDQGLRQLEEAEIDAIVAEIEAEKAAAEAAKKGPPKDS >Potri.016G006400.1.v4.1 pep chromosome:Pop_tri_v4:16:308346:314895:1 gene:Potri.016G006400.v4.1 transcript:Potri.016G006400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006400.v4.1 MGNVNGREEEGAISPSSGCGGEGESHNSSEVMVASDESHVTYPAPPPEMMGHSPPHSPRATHSPLMFTPQVPVVPLQRPDEIQVPSHSWMQNSLGYEEMCNEQGIPTMITWTYGGKEVAVEGSWDDWKTRMPLQRSGKDYTIMKVLPSGVYQYRFIVDGQWRYAPDLPWAKDDSGNAYNTLDLQDFVPEDLESISGFEPPHSPESSYSNLQLSNEDFAKEPPMVPPHLQMTLLNVPSSCMEIPPPLSRPQHVVLNHLYMQKGKSGPAVVALGSTHRFLAKYVTVVLYKSLQR >Potri.007G000600.1.v4.1 pep chromosome:Pop_tri_v4:7:35184:38523:-1 gene:Potri.007G000600.v4.1 transcript:Potri.007G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000600.v4.1 MATSKLLASTLRRTLTTSSSFRRFSTAAAEAVALASEPEDSMIIKGVKISSRPLYLDMQATSPVDPRVLDAMLPYYLARYGNPHSRTHLYGWESDQAVETARSQIADLIGASPKEIVFTSGATESNNISVKGVMKFYKEKKRHVVTTQTEHKCVLDSCRHLQQEGFEVTYLPVGNDGIVDLEKLKGSIRPDTGLVSVMAVNNEIGVIQPMEEIGEICKELNVPFHTDAAQALGKIPIDVDKWNVSLMSLSGHKIYGPKGVGALYMRRRPRIRVEPQMNGGGQERGIRSGTVPTPLVVGMGAACELAKKEMEYDDKRIRALHERMLNGVRAKLDGVVVNGSVERRYAGNLNLSFAYVEGESLLMGLKDVAVSSGSACTSASLEPSYVLRALGVDEDMAHTSIRFGIGRFTTEEEIDRAIELTVQQVEKLREMSPLYEMVKEGIDIKQIQWAQH >Potri.004G176500.1.v4.1 pep chromosome:Pop_tri_v4:4:19149629:19151362:1 gene:Potri.004G176500.v4.1 transcript:Potri.004G176500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176500.v4.1 MDSYELHHIVHCSLDVVDERVNNPKKSGPMLNETYGYLTNTKVKFILVTLDLDVRDADVRNFFQKFHAAFVGAVSNPFYVPGKKITSRTFAERVSYIVKSFGLSSAV >Potri.011G158500.1.v4.1 pep chromosome:Pop_tri_v4:11:18417632:18420135:1 gene:Potri.011G158500.v4.1 transcript:Potri.011G158500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158500.v4.1 MAEPTLLAFLLLLLVFNVPSGSFSATTNDESVYESFLQCLEKNTNPQDKISNLVYSQSNAAYTSVLRAYIRNARYNTSATPKPLVIVTPTEISHVQATVICTKKVGYQLKIRSGGHDYDGISYISDTPFFILDMFNLRSIEVDIKDESACVQAGATLGELYYKIWESSKGYGFPAGVCPTVGVGGHLSGGGYGNMLRKYGLSVDNVLDAQIVDVNGKLLDRKAMGEDLFWAICGGGGGSFGVIISYKIKLVPVPKTVTVFRVERTLDQNATDVVYKWQFVAPTISNDLFMRMLLQPVTRKGKQTIRASIVTLYLGDSDSLVALLGKEFPELGLKKENCNETSWIQSVLWWANYDLGTSPDVLLDRNPNDANFLKRKSDYVQKPIPKDGLEWLWKKMIDVGKTGLVFNPYGGRMSEIPASATPFPHRAGNLYKIQYSMNWQEAGKEADKKFMTQIRRLHSYMTSFVSKNPRSAFLNYRDLDIGVTVPNKDSFEQGSVYGYKYFNDNFDRLVKVKTAVDPENFFRNEQSIPTLPRKA >Potri.016G136200.1.v4.1 pep chromosome:Pop_tri_v4:16:14024900:14025469:1 gene:Potri.016G136200.v4.1 transcript:Potri.016G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136200.v4.1 MVNMHRRMLDTVPLDVAPANGNRTHDSYINETNFDTNMVIILAALLCALIGALGLNSIVRCLLRCSSRFALETTEEAAARLAATGLKKRDLRQIPVAIYGAGGSISATECPICLGEFVDGEKVRVLPKCNHGFHVRCIDTWLLSHSSCPNCRHSLLEHTTDSGAAQEVTGAARPGENDPGRQGNVSTVV >Potri.004G120100.2.v4.1 pep chromosome:Pop_tri_v4:4:11386627:11391394:-1 gene:Potri.004G120100.v4.1 transcript:Potri.004G120100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120100.v4.1 MVMAEKQIFVSMVLVLLLVVFSSASHHHAVNEVEEEADRISSLPGQPKVSFQQFSGYVTVNKVVGRALFYWLTEAVHDPSSKPLVVWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNSVANLLFLETPAGVGFSYSNRSSDLLDTGDIRTAKDSLEFLVGWMNRFPRYKHREVYLTGESYAGHYVPQLAREIMMYNKRSKHPINLKGFMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYRQLINTCDFRRQKESVECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGSTSTHQSIRLPHHPYKVVRPLSGYDPCTEKYAEIYYNRPDVQKALHANVTKTPYKWTACSEVLNRNWNDTDVSVLPIYREMLASGLRIWVFSGDVDSVVPVTATRYSLAQLKLATKIPWHPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLFKSFLKGQPLPKS >Potri.004G120100.4.v4.1 pep chromosome:Pop_tri_v4:4:11386624:11388998:-1 gene:Potri.004G120100.v4.1 transcript:Potri.004G120100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120100.v4.1 MNRFPRYKHREVYLTGESYAGHYVPQLAREIMMYNKRSKHPINLKGFMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYRQLINTCDFRRQKESVECESLYSYAMDQEFGNIDQYNIYAPPCNNSDGSTSTHQSIRLPHHPYKVVRPLSGYDPCTEKYAEIYYNRPDVQKALHANVTKTPYKWTACSEVLNRNWNDTDVSVLPIYREMLASGLRIWVFSGDVDSVVPVTATRYSLAQLKLATKIPWHPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLFKSFLKGQPLPKS >Potri.014G171900.1.v4.1 pep chromosome:Pop_tri_v4:14:12764525:12771607:1 gene:Potri.014G171900.v4.1 transcript:Potri.014G171900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G171900.v4.1 MTSRGGGVPGGVGRDRFRREFTSSRFESSNSNGKRGNNPPSRHLWVGNLSHSIEETDLTDEFLQFGDLDSVAFQPGRSYAFVNFNKEEDAIAAIKSLQGYPLAGNPLRIEFAKADKSSTPSHDEDYLQRRDEQRLTLRGSPFLQRDSRVRNASPETFYPDKSKMSDNSAEPSEVLWIGFPALLKVDEMILRKAFSPFGEIEKITVFPGRSYAFVRFTNLTSACRAKETLQGKLFGNPRVHICFAKNEAGSSNSGRTPLSPHYKPNSRQGGPENFWQDRNFGSTATDPSIRSPRFNSDLDPADSDVYGLNRKGTLHQVGNGAFDNWRFGEELGPPPDVYERHGSPTRGRDAHFHEFAKKNPQKGPFYEEPWDLPEDSYLYHEAKKLKTGSFPPDKELPEYPYSDLEQERRAFPRAFSDFPQPEAFDKNLEAGPFGYTPIQDRPINLSLPHGERSDPWKVSYDNFQAGSGSLPTNRTERKRFTPEPEPSSLKLWKWEGTIAKGGTPVCHARCFPVGKALDFMLPDFLDCTARTGLDMLAKHYYQAASAWVVFFVPASDADMGYYNELMHYLEEKQRAAVAKLDDKTTLFLVPPSDFSEKVLRVPGKLSISGVILRLENSGSNLGPVHHPNEKRDMNILPFHRDPSYPKPPTHSGQFPAMVSFSDLSRSGGDPAFLGNVASTAPPVAFSGPAHPAGSISDSYNESRHHYPLQQQNSTLRPNWSPHHSQSIVSGNRNVPSQASNTAVDPVIPEHHSVLPRTVQENGPAHFASGMSTNPVSGNSKSTFQETKPSVPVSLPIAGLQPQQLAQLASSLLGQQRLLGNNSNGSASEDFKRTVNQSGNQVGIAQALGLHKNQVGSEMLTSQFSQLQQMQQQQVSNVPTSVPPPVRKELQPGAQGNPRMESAGTQEEADGDPQKRLQATLQLAAALLQQIQQGKGT >Potri.001G337700.5.v4.1 pep chromosome:Pop_tri_v4:1:34630318:34638451:1 gene:Potri.001G337700.v4.1 transcript:Potri.001G337700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337700.v4.1 MTMDREKEREIELESAVYTNCLLLGLDPSIIGLGPSSNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVSKATRLWDSILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLSAMDQSYQVSYSDKHSDDKEHSDGSYANGNGEKSKSSMDSSHLQVNDEMHSRVDDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLLLAKANDGEGPDILRNALDGGTSGHGESLAATLAEHQQHLSSFQGLIDQLNEVVPSIQNSISECTDKVNNISSSQPPMAKHHGRATSPIQAQSSGRTLETSSDNVAEVTSKLSTVQLDKVSASPPALKLPHLFSLTPNSSGKGANLQKRQMLAPQTIQMENLSERNSLDQPLSNDRLDNPLQDGENFVQNLKRSVREAALSMQSCNSESSRNSQSDESSEHFFLPLSSPGFSMVPENKVVSTRSKRFSASQMNTALLEKHARDGHAGSKYKELPEILNDLGPLTDYDHVNGFLSVAGSNGAISDGQRSFNDFEEPYAQVFSPPLLLDTSLLPDSYEDLLAPLSETETALMEL >Potri.001G337700.4.v4.1 pep chromosome:Pop_tri_v4:1:34630395:34638445:1 gene:Potri.001G337700.v4.1 transcript:Potri.001G337700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337700.v4.1 MTMDREKEREIELESAVYTNCLLLGLDPSIIGLGPSSNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVSKATRLWDSILARKSQHEVLASGPIEDLIAHREHRYRISGSSLLSAMDQSYQVSYSDKHSDDKEHSDGSYANGNGEKSKSSMDSSHLQVNDEMHSRVDDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLLLAKANDGEGPDILRNALDGGTSGHGESLAATLAEHQQHLSSFQGLIDQLNEVVPSIQNSISECTDKVNNISSSQPPMAKHHGRATSPIQAQSSGRTLETSSDNVAEVTSKLSTVQLDKVSASPPALKLPHLFSLTPNSSGKGANLQKRQMLAPQTIQMENLSERNSLDQPLSNDRLDNPLQDGENFVQNLKRSVREAALSMQSCNSESSRNSQSDESSEHFFLPLSSPGFSMVPENKVVSTRSKRFSASQMNTALLEKHARDGHAGSKYKELPEILNDLGPLTDYDHVNGFLSVAGSNGAISDGQRSFNDFEEPYAQVFSPPLLLDTSLLPDSYEDLLAPLSETETALMEL >Potri.001G472600.26.v4.1 pep chromosome:Pop_tri_v4:1:49562018:49567138:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.001G472600.18.v4.1 pep chromosome:Pop_tri_v4:1:49561982:49567675:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.001G472600.21.v4.1 pep chromosome:Pop_tri_v4:1:49561519:49567326:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.001G472600.22.v4.1 pep chromosome:Pop_tri_v4:1:49561576:49567290:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.001G472600.25.v4.1 pep chromosome:Pop_tri_v4:1:49561527:49567219:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.001G472600.19.v4.1 pep chromosome:Pop_tri_v4:1:49562021:49567838:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.001G472600.23.v4.1 pep chromosome:Pop_tri_v4:1:49561816:49567290:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.001G472600.20.v4.1 pep chromosome:Pop_tri_v4:1:49561728:49567327:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.001G472600.24.v4.1 pep chromosome:Pop_tri_v4:1:49561729:49567233:-1 gene:Potri.001G472600.v4.1 transcript:Potri.001G472600.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472600.v4.1 MHARHRSPGNGYRSGAMGVGVGASRISPDTSARGHGFYNSEHRSFNNRGFGRGQGHPRSIRQPPQPPTQKGDVLMEAGRLAAEYLVSKGLLPQSALSGKWQNGGFKMQAGDYQDFRQQEDLMHEGRTSAHSRLGSGASDTGLSRRRYSDDFNSRNHVKGRRRGEHYRGYSAEWGREYGRSGPLSDRNRVSPDMEGQSDTVSEHYEEQQVSNDVGDGMEKSGLSGVAPESEETADIESGLSKYNHPDETGSKASSSSVPKEETGGEPSKGSGDPANLNLGNGEVKDSNYDYETEKQIVPEDLPIQQSAVEGDISGRNGSDLLTLSKFANVPTKTRSALSCRSSRVDQVPNNEDDGTSGIGLNKGSEDSVQDGMYNVSAADVLANAPRDSNCPNSEITEVAVVQSAEDVDEEGPEYGAVQGKCVRSHSFPVRAFIHDNEQESSLGPSGFGRSTSVKERGEKRAAEGSDFSEVVKKPREWLPSLVNTTDELLHLSNLNENTGGSQEERVSPDQQLTMAATQDTYVSNCRFPRTGGEPGFKYAQEKQLFPSSFKIVDLNLMETSDMNDTHRSDPVLTHPSIMTIGKQAPQVDIDLSMSNSNISGEYTRHMTDGKQVEIIDLENDTLEDKDFDSSQRKTEIAFNGTEGFPNHSQNVGNVTDVQDNYDGLMLSEFLSNFPNCTSVPENINPLPNEMGLDNGEGTLGDDDSIYMSLGEIPLSFIPAWEQPTPQEYEKPF >Potri.004G024000.1.v4.1 pep chromosome:Pop_tri_v4:4:1899857:1903140:1 gene:Potri.004G024000.v4.1 transcript:Potri.004G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024000.v4.1 MILMTTIDVNLVMFLLIIPFSALPAITVADHLVTSCSDNTSNYTLNSPFESNLKLLLENMPSITSLTGFNHTSVGDIPAKVYGQALCRGDVNSSSCQACVEKASQQIFNDCRNYKDAIIWYELCQVHYSFQNMTSLYVYTGKYPDWDSHEKFLSDPKHFSDVLIYLMTNLSTEAAVNPSKHMFATGSIKFRSETVYGHAQCTRDIIPDDCQTCLKTALAELKGCCSSRQGGIIVSRNCDVRFELNKYYNASSYLLTYPNPKGGNWKIGIVAFAACAPVAVIVIVIGSCIVCLLKKRGQQRGVERSHLALLQELACPRGVTMTDEGQLVSSEDLPFMDLTTIREATDNFSDSNKLGQGGFGTVYKGVLPDGKEIAVKRLSRKSWQGLEEFKNEVKVIAKLQHRNLVRLLGCGMEGDEKLLIYEFMHNKSLDIFIFDAERRALLDWETCYNIAGGIARGLLYLHEDSRLRIIHRDLKPSNVLLDHEMVAKISDFGMARIFCENQNKANTRRVVGTFGYMAPEYAMGGLFSVKSDVFSFGVILLEITSGQRSSGFYLSEHGQTLLAYTWRLWNEGREIELVDPSLMDRSQTEGIVRCMHVGLLCVQEDPSDRPTMSFVVLALGSDPIALPQPKQPAFSLGKMVPIYKSSPTDPSVNQMTVSGIAPR >Potri.004G024000.2.v4.1 pep chromosome:Pop_tri_v4:4:1899856:1903162:1 gene:Potri.004G024000.v4.1 transcript:Potri.004G024000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024000.v4.1 MTNLSTEAAVNPSKHMFATGSIKFRSETVYGHAQCTRDIIPDDCQTCLKTALAELKGCCSSRQGGIIVSRNCDVRFELNKYYNASSYLLTYPNPKGGNWKIGIVAFAACAPVAVIVIVIGSCIVCLLKKRGQQRGVERSHLALLQELACPRGVTMTDEGQLVSSEDLPFMDLTTIREATDNFSDSNKLGQGGFGTVYKGVLPDGKEIAVKRLSRKSWQGLEEFKNEVKVIAKLQHRNLVRLLGCGMEGDEKLLIYEFMHNKSLDIFIFDAERRALLDWETCYNIAGGIARGLLYLHEDSRLRIIHRDLKPSNVLLDHEMVAKISDFGMARIFCENQNKANTRRVVGTFGYMAPEYAMGGLFSVKSDVFSFGVILLEITSGQRSSGFYLSEHGQTLLAYTWRLWNEGREIELVDPSLMDRSQTEGIVRCMHVGLLCVQEDPSDRPTMSFVVLALGSDPIALPQPKQPAFSLGKMVPIYKSSPTDPSVNQMTVSGIAPR >Potri.004G024000.3.v4.1 pep chromosome:Pop_tri_v4:4:1899857:1903140:1 gene:Potri.004G024000.v4.1 transcript:Potri.004G024000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024000.v4.1 MILMTTIDVNLVMFLLIIPFSALPAITVADHLVTSCSDNTSNYTLNSPFESNLKLLLENMPSITSLTGFNHTSVGDIPAKVYGQALCRGDVNSSSCQACVEKASQQIFNDCRNYKDAIIWYELCQVHYSFQNMTSLYVYTGKYPDWDSHEKFLSDPKHFSDVLIYLMTNLSTEAAVNPSKHMFATGSIKFRSETVYGHAQCTRDIIPDDCQTCLKTALAELKGCCSSRQGGIIVSRNCDVRFELNKYYNASSYLLTYPNPKGGNWKIGIVAFAACAPVAVIVIVIGSCIVCLLKKRGQQRGVERSHLALLQELACPRGVTMTDEGQLVSSEDLPFMDLTTIREATDNFSDSNKLGQGGFGTVYKGVLPDGKEIAVKRLSRKSWQGLEEFKNEVKVIAKLQHRNLVRLLGCGMEGDEKLLIYEFMHNKSLDIFIFDAERRALLDWETCYNIAGGIARGLLYLHEDSRLRIIHRDLKPSNVLLDHEMVAKISDFGMARIFCENQNKANTRRVVGTFGYMAPEYAMGGLFSVKSDVFSFGVILLEITSGQRNVEIVE >Potri.010G034900.1.v4.1 pep chromosome:Pop_tri_v4:10:6362194:6368523:1 gene:Potri.010G034900.v4.1 transcript:Potri.010G034900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034900.v4.1 MAATPTSEMADGPVLSLITKRLRALKKKHNRILQMEESIAQGKPINKEQEDFLRSKPSVSAAIDELEKLRQPLSIAVSEEIELAAHRLNPKSDSTVVPEASDEMLEDLLHLLYFGSLFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDMISMLGGLLISRPGNTSLSHKNALQRCMEHAKLWLANSDQPIDSTANVSYAELRERLNKIMASDYFTTTPEMKAPVEVAAAAGNYVPFQVPFSVPVQVDDSVAQYQPKEQETENFQHETGDNQSTPTEESQKDEQETGNPAEVVLDQEEQDKPLTEVGVDHNQRELEPEQQYVNRRNYQNQRGGRGGGNGGRRGYSNGRGGRSGSRGGGGYQNGRNQYYDQPGNFQQRNYYNNRGRGGRGGGHTYNNHGSTGQGGPAAADVGVAS >Potri.010G034900.2.v4.1 pep chromosome:Pop_tri_v4:10:6362299:6368713:1 gene:Potri.010G034900.v4.1 transcript:Potri.010G034900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034900.v4.1 MAATPTSEMADGPVLSLITKRLRALKKKHNRILQMEESIAQGKPINKEQEDFLRSKPSVSAAIDELEKLRQPLSIAVSEEIELAAHRLNPKSDSTVVPEASDEMLEDLLHLLYFGSLFDVKSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLGERDLDMISMLGGLLISRPGNTSLSHKNALQRCMEHAKLWLANSDQPIDSTANVSYAELRERLNKIMASDYFTTTPEMKAPVEVAAAAGNYVPFQVPFSVPVQVDDSVAQYQPKEQETENFQHETGDNQSTPTEESQKDEQETGNPAEVVLDQEEQDKPLTEVGVDHNQRELEPEQQYVNRRNYQNQRGGRGGGNGGRRGYSNGRGGRSGSRGGGGYQNGRNQYYDQPGNFQQRNYYNNRGRGGRGGGHTYNNHGSTGQGGPAAADVGVAS >Potri.007G111200.1.v4.1 pep chromosome:Pop_tri_v4:7:13297929:13300743:-1 gene:Potri.007G111200.v4.1 transcript:Potri.007G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111200.v4.1 MASAYSALLLVFFFWIYEPVSPQQSRTHSIELGSSLSTNFPPTSWRSPSGLFAFGFYRQGSGFIVGIWLASKPDATFTWTINRDVPHVSSNATLELTKKGKLLLRRHRNNATNEEIFIANFKGSASYAQMLDSGNFVLYNERSEAIWESFSFPTDTILGGQNLYKGGELFSRASAIDLSTGRFHLKMQDDGNLVLYPVDTLDLPLDAYWSSETNGNPGIHLILTGTGDLLLVNQTLHKIKTVTSSGSESNSTSIIYRATLDYDGIFRLYSHNFDGVAKYIISLMWYVPWIQCEVRGFCGFNSYCTMNDDDQPDCLCLPGTAYVDPNQRFRGCERDYNEGSCKHTNEMSSLYNITVMDQIAWDDNAYFQASMSEEGCRKSCLEDCNCAGALYEAGNCKKQKYPVKYASKTQYQSSKSFFKVALESIKSSNHSSAIGMVPSVIQRTSKKAVVLILVMSLAFITWCLVALAISGLFIFKSRVIKGRMQTESGNFGLARELTLRAFSYRELKKATKGFKEELGKGSSGAVYKGTLYKGKKAIAVKRLEKVISESEREFLTEMRSIGKTHHKNLVRLLGYCTEGSHRLLVYEYMSNGSLANLLFRNERIPDWSDRVKIALDIAKGILYLHEECEAPIMHCDIKPQNILMDDFWTAKISDFGLAKLLVPDQTRTLTVARGTPGYMAPEWTKISTPTSVKVDVYSYGVVLLEIVFCRRNMEINVSKPEEVLLSKWAYELLVAREFDRLDLGEDVDRQKLEKMVMIGIWCIQDEPGLRPSMKTVVMMLEGITDVSVPPHPTSASA >Potri.011G073616.1.v4.1 pep chromosome:Pop_tri_v4:11:7703049:7722616:1 gene:Potri.011G073616.v4.1 transcript:Potri.011G073616.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073616.v4.1 MKNLSFISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKSLILSGNKLVGTLPEALAQIKDLKDFRVSDNNLNGTVPEFIGNWTQLQKLELYATGLQGPIPLPIFHLEKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLTGTIPENAWKVEKTLDLNFNKLVGEIPPNTIRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRSPRCSSSNENNINWFRSSSSNNKLSDLLPCSGISRCPKYYRSFHINCGGQDVKNGKILYEGDQDSGSNAAARCYNRSGSNWGFSSTGDFMDDENFYDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVRKDFNFTKAVQGSNRSFTRAFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGIISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSALMLDWTTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYAQWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKENLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSIRPAMSEVVSMLEGQTCIPEVTSDPSIYDDDDLHSKRVKGHYQQVTDQSLNSTQDLFPPSDKSWIGNSSTSAPELYPINPVSISLNLVKPRL >Potri.003G157850.1.v4.1 pep chromosome:Pop_tri_v4:3:16873272:16873751:1 gene:Potri.003G157850.v4.1 transcript:Potri.003G157850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157850.v4.1 MERHGHCLKNRPPHHYFLFSVPSSKTYFIVSLPSSHRNGWATSPPQPFPPPPSPPEHDLFIQDPCVSMCI >Potri.005G218800.2.v4.1 pep chromosome:Pop_tri_v4:5:22150543:22151503:-1 gene:Potri.005G218800.v4.1 transcript:Potri.005G218800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218800.v4.1 MATITASTTTTSSLVRAALVHKPSLGVSCSPVLAMAKKGRVSCSMEGKPSVEEKCKGMSASLMAAVCAASMSSPALALVDERMSTEGTGLPFGLSNNLLVWILLGVFALIWALYFTYTATLEEDEESGLSL >Potri.005G218800.1.v4.1 pep chromosome:Pop_tri_v4:5:22150638:22151462:-1 gene:Potri.005G218800.v4.1 transcript:Potri.005G218800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218800.v4.1 MATITASTTTTSSLVRAALVHKPSLGVSCSPVLGLPAMAKKGRVSCSMEGKPSVEEKCKGMSASLMAAVCAASMSSPALALVDERMSTEGTGLPFGLSNNLLVWILLGVFALIWALYFTYTATLEEDEESGLSL >Potri.005G218800.4.v4.1 pep chromosome:Pop_tri_v4:5:22150654:22151452:-1 gene:Potri.005G218800.v4.1 transcript:Potri.005G218800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218800.v4.1 MVPGLPAMAKKGRVSCSMEGKPSVEEKCKGMSASLMAAVCAASMSSPALALVDERMSTEGTGLPFGLSNNLLVWILLGVFALIWALYFTYTATLEEDEESGLSL >Potri.001G284305.1.v4.1 pep chromosome:Pop_tri_v4:1:23524501:23525811:-1 gene:Potri.001G284305.v4.1 transcript:Potri.001G284305.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284305.v4.1 MRRVNSTHLGNSTHLGTLVIFFYGAQSVTFDFKNNCPYTVWPGTLTAAGGPSLSSTGFTLATGASSSLSVPVNWSGRLWARTQCSTDASGKFVCATADCASGVIECNGAGAIPPASLAEFTLSGDGGKDYYDISLVDGFNLPISVTPQGGSTGCPSTSCAANVNAVCDPSLAVKGSDGTVIACKSACLAFNQPQYCCTGDHNTAETCPPTQYSMTFKQQCPQAYSYAFDDKSSTFTCPSGGNYLITFCP >Potri.007G073100.1.v4.1 pep chromosome:Pop_tri_v4:7:9547082:9548929:-1 gene:Potri.007G073100.v4.1 transcript:Potri.007G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073100.v4.1 MLPRNPNLNLKRTLITFLDKCKSMLQLNQLHALVITFGLSQDDLFMSRIVSFSALSDSNNTDYSYRALLNLQDPTIFEWNSVIRGYSKSKNPNKSISVFVKMLQVGIYPDHLTYPFLAKATSRLLRKELGVSIHGHVIKSGFEIDRFVANSLIHMYGSCGDIVYARKVFDGTPVKNLVSWNSMVDGYAKCGYLDLARGLFDLMPERDVRSWSCLIDGYAKSGNYGDAMAVFEKMRTSGPKANEVTMVSVLCACAHLGALDKGRMMHQYLVDNGFELNLVLRTSLIDMYAKCGAVEEAFAVFRGVSLRKSDVLIWNAMIGGLATHGLVKESLDLYTEMQIAGIKPDEITFLCLLSACAHGGLVKQASYVFEGLGKNGMTPKTEHYACMVDVMARAGQVAEAYQFLCQMPLEPTASMLGALLSGCMNHGKLDLAELIGKKLIELDPEHDGRYVGLSNVYAIGRRWDEARIMREAMERRGVKKTPGYSFLEMSGAHHRFIAHDKSHPSSEQIYTMLSFIVSQMQFGVLKEGQEHCLYGIEGI >Potri.009G046700.1.v4.1 pep chromosome:Pop_tri_v4:9:5260271:5265305:1 gene:Potri.009G046700.v4.1 transcript:Potri.009G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046700.v4.1 MPTPVGVARQCLTEEAARALDEAVAVARRRSHSQTTSLHAVSALLALPASTLKNACSRTTTSAYSSRRQFHVLDLCVGVSLDRLPSSKTLEEDPPISNSLMAAIKRSQANQRRHPDNFHMHQIHCNQQAASVLKVEMKHFILSILDDPIVSRVFGEAGFRSCDIKMAIVHPPVIQSSKFSRAGCAPVFLCNLPGSNSTVPGRPPGFSFPFSSGLDDDVGDDDVCRRIGEALVRREGKGRNLLLVGVYASNALKGFVDSVNKDNKGGVLPSEISGVSVISVEDEVIHFVSEGGGDKEKMRLKFDELGQELERCSGPGIVVNIGDLKVLVGENVCRDALSYLVSKLTGLLEGFREKIWLVGAADSYDTYLKSVGRFSGVEKDWDLRILPITSYKSPIGGFGTKSSLLGSFVPFGGFFSTPSDFKIPSNSINQSITRCHLCNAKYEQDVAAILKMGPTISVAEQCSENLPSSLQMAELDTRKAVDMVKTKDDGTSLNAKILGLQNRWDDICQRLHHAQPFSKFDVSQATSQAAIAEGFQYLTGRKESRSNSSSRDSSLNENQCAYLNLGACLDKQKIFPGKYCAVSEVENVNHQSKLLEEVPRCQQEEKESPWLTPNPMANVSLPTDRTSSFSVTSVTTDLGLGTLYASSTRELITTKLCDPREHQEHFSGSSSVEYDDNTSLQIAQSSSCSGPSSGGQFNLRNFKSVMRALSEQVGWQDRATLAISEAVSRCKAGHGRHHGSNSKGDISFAFLGPDRIGKKKIASALAMVMFGSIQSFISMDLGSHGKVKSSNSMLESQELHDDELGRSTTFVDYIASKLSKKPHSLIFLENVDKADPLVQNSLSYALRTGKFPDSRGREVSTNSTIFVATSTITVGNTNLLSERETIRFSEEMILRAKSWQMQILVEHVAEAATKSSQMKVRMSREVTSAVSSGNKRKLDVTSDSMEQESTCESSKRAHKPLRSYLDLNLPVEDTGECANCSDNDSDSISESSQAWLEYFSDQVDEKVVFKPFDFDSLAEKTMKEISKQCQRVFGSEVLLEIDHEVMVQILAASWLSEKKRAMGDWIEEVVGRGFSEAKQKSQAGAQCIVKLVTCKGLVVKEQAPGICLPSRINL >Potri.009G046700.4.v4.1 pep chromosome:Pop_tri_v4:9:5260368:5265254:1 gene:Potri.009G046700.v4.1 transcript:Potri.009G046700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046700.v4.1 MGPTISVAEQCSENLPSSLQMAELDTRKAVDMVKTKDDGTSLNAKILGLQNRWDDICQRLHHAQPFSKFDVSQATSQAAIAEGFQYLTGRKESRSNSSSRDSSLNENQCAYLNLGACLDKQKIFPGKYCAVSEVENVNHQSKLLEEVPRCQQEEKESPWLTPNPMANVSLPTDRTSSFSVTSVTTDLGLGTLYASSTRELITTKLCDPREHQEHFSGSSSVEYDDNTSLQIAQSSSCSGPSSGGQFNLRNFKSVMRALSEQVGWQDRATLAISEAVSRCKAGHGRHHGSNSKGDISFAFLGPDRIGKKKIASALAMVMFGSIQSFISMDLGSHGKVKSSNSMLESQELHDDELGRSTTFVDYIASKLSKKPHSLIFLENVDKADPLVQNSLSYALRTGKFPDSRGREVSTNSTIFVATSTITVGNTNLLSERETIRFSEEMILRAKSWQMQILVEHVAEAATKSSQMKVRMSREVTSAVSSGNKRKLDVTSDSMEQESTCESSKRAHKPLRSYLDLNLPVEDTGECANCSDNDSDSISESSQAWLEYFSDQVDEKVVFKPFDFDSLAEKTMKEISKQCQRVFGSEVLLEIDHEVMVQILAASWLSEKKRAMGDWIEEVVGRGFSEAKQKSQAGAQCIVKLVTCKGLVVKEQAPGICLPSRINL >Potri.009G046700.3.v4.1 pep chromosome:Pop_tri_v4:9:5260363:5265149:1 gene:Potri.009G046700.v4.1 transcript:Potri.009G046700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046700.v4.1 MPTPVGVARQCLTEEAARALDEAVAVARRRSHSQTTSLHAVSALLALPASTLKNACSRTTTSAYSSRRQFHVLDLCVGVSLDRLPSSKTLEEDPPISNSLMAAIKRSQANQRRHPDNFHMHQIHCNQQAASVLKVEMKHFILSILDDPIVSRVFGEAGFRSCDIKMAIVHPPVIQSSKFSRAGCAPVFLCNLPGSNSTVPGRPPGFSFPFSSGLDDDVGDDDVCRRIGEALVRREGKGRNLLLVGVYASNALKGFVDSVNKDNKGGVLPSEISGVSVISVEDEVIHFVSEGGGDKEKMRLKFDELGQELERCSGPGIVVNIGDLKVLVGENVCRDALSYLVSKLTGLLEGFREKIWLVGAADSYDTYLKSVGRFSGVEKDWDLRILPITSYKSPIGGFGTKSSLLGSFVPFGGFFSTPSDFKIPSNSINQSITRCHLCNAKYEQDVAAILKMGPTISVAEQCSENLPSSLQMAELDTRKAVDMVKTKDDGTSLNAKILGLQNRWDDICQRLHHAQPFSKFDVSQATSQAAIAEGFQYLTGRKESRSNSSSRDSSLNENQCAYLNLGACLDKQKIFPGKYCAVSEVENVNHQSKLLEEVPRCQQEEKESPWLTPNPMANVSLPTDRTSSFSVTSVTTDLGLGTLYASSTRELITTKLCDPREHQEHFSGSSSVEYDDNTSLQIAQSSSCSGPSSGGQFNLRNFKSVMRALSEQVGWQDRATLAISEAVSRCKAGHGRHHGSNSKGDISFAFLGPDRIGKKKIASALAMVMFGSIQSFISMDLGSHGKVKSSNSMLESQELHDDELGRSTTFVDYIASKLSKKPHSLIFLENVDKADPLVQNSLSYALRTGKFPDSRGREVSTNSTIFVATSTITVGNTNLLSERETIRFSEEMILRAKSWQMQILVEHVAEAATKSSQMKVRMSREVTSAVSSGNKRKLDVTSDSMEQESTCESSKRAHKPLRSYLDLNLPVEDTGECANCSDNDSDSISESSQAWLEYFSDQVDEKVVFKPFDFDSLAEKTMKEISKQCQRVFGSEVLLEIDHEVMVQILAASWLSEKKRAMGDWIEEVVGRGFSEAKQKSQAGAQCIVKLVTCKGLVVKEQAPGICLPSRINL >Potri.010G112800.7.v4.1 pep chromosome:Pop_tri_v4:10:13262731:13267196:1 gene:Potri.010G112800.v4.1 transcript:Potri.010G112800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112800.v4.1 MISWNDLYNVLSAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLIALGIWTNFTKNGSLEWMITIFSVSTLPNTLVMGIPLLTAMYGKYSGSLMVQIVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIISFKVDSDVVSLDGRDFLETDAEIGDDGKLHVTVRKSNASRRSLGPGSFSGMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNPSDFYSMMGVQGFPGRHSNLGPADLYSVQSSRGPTPRPSNFEENCAPTATLSSPRFGFYPAQTVPTSYPAPNPEFASTVTTKTAKNQQQQNSKANHDAKELHMFVWSSSASPVSEGGGLHVFGGADFGASEQSGRSDQGAKEIRMLVADHPQNGETKTIPQQDGDFAGEDFSFAGRGEGDDDQREKEGPTGLNKLGSSSTAELQPKAAEAPDSGGSRKMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVAFRWHVEMPKIIKQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYIFLGL >Potri.010G112800.1.v4.1 pep chromosome:Pop_tri_v4:10:13262670:13266818:1 gene:Potri.010G112800.v4.1 transcript:Potri.010G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112800.v4.1 MISWNDLYNVLSAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFIAADTLQKIIMLIALGIWTNFTKNGSLEWMITIFSVSTLPNTLVMGIPLLTAMYGKYSGSLMVQIVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIISFKVDSDVVSLDGRDFLETDAEIGDDGKLHVTVRKSNASRRSLGPGSFSGMTPRPSNLTGAEIYSLSSSRNPTPRGSNFNPSDFYSMMGVQGFPGRHSNLGPADLYSVQSSRGPTPRPSNFEENCAPTATLSSPRFGFYPAQTVPTSYPAPNPEFASTVTTKTAKNQQQQNSKANHDAKELHMFVWSSSASPVSEGGGLHVFGGADFGASEQSGRSDQGAKEIRMLVADHPQNGETKTIPQQDGDFAGEDFSFAGRGEGDDDQREKEGPTGLNKLGSSSTAELQPKAAEAPDSGGSRKMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVAFRWHVEMPKIIKQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTAVIFGMLIALPITLVYYIFLGL >Potri.007G062021.1.v4.1 pep chromosome:Pop_tri_v4:7:7026251:7026625:-1 gene:Potri.007G062021.v4.1 transcript:Potri.007G062021.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062021.v4.1 MLDSHLPPLHPSLRKNLTLLLSLPPAPGTPAPFCAFLNEAPSFTAFFLPTRDWYSVCQNETKKGVFLISYINVDVGCRVWIEIESPKTGASVFYLFCFDRGAVSRIRIVRSYPSFAADGGATTR >Potri.002G085600.1.v4.1 pep chromosome:Pop_tri_v4:2:6113356:6114463:1 gene:Potri.002G085600.v4.1 transcript:Potri.002G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085600.v4.1 MVKNEHKIQSETSTPMPTSSSACKKKKYKGVRMRSWGSWVSEIRAPNQKTRIWLGSYSTPEAAARAYDAALLCLKGSSANLNFPITSSHYIPDAVMSPKSIQRVAAAAANSSEDNPTTPQVSSLPLPSSSSSSSSSILSSPSMVSSPSDQPDDYMSLMESFETDNEPIPMLDSWYNFDGLQSPKYIDQMFNGVSFNPPMIDDFYEGDIRLWSFSE >Potri.006G260100.1.v4.1 pep chromosome:Pop_tri_v4:6:25638380:25642233:1 gene:Potri.006G260100.v4.1 transcript:Potri.006G260100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260100.v4.1 MKLLHLVLALQTVPIWFFHLCLVVVHAIQEDPLVSSPPPSPISPISTSMAAFSPGVELEMGIKDQQKHEELHKKMILLLIVCCSILVVIVFLSLFSCFIYYRKSCQKKKASQCSDAEKGLSLSPFFGKFSSLRMVSNKGSVSLIDYKILEEGTNNFEDDKLLGRGGFGLVYKAVLEDDSSIAVKKLDCATDDAQREFENEVGLLSKFQHPNIISIVGYSVHEEMGFIIYELMSNGSLEDLLHGTSRGSSLNWHLRLKIALDTARGLEYLHEFCKPAVIHRDLKSSNILLDANFNAKLSDFGLAVADSSHNKNKLKLSGTVGYVAPEYLLDGELTDKSDVYAFGVVLLELLLGRRPVEKLAPAHCQSIVTWAMPQLTNRAVLPTIVDPVIRDSVDEKYLFQVAAVAVLCIQPEPSYRPLITDVVHSLVPLVPLELGGTLRVAQPTTPRGHRQG >Potri.006G260100.4.v4.1 pep chromosome:Pop_tri_v4:6:25638961:25642176:1 gene:Potri.006G260100.v4.1 transcript:Potri.006G260100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260100.v4.1 MGIKDQQKHEELHKKMILLLIVCCSILVVIVFLSLFSCFIYYRKSCQKKKASQCSDAEKGLSLSPFFGKFSSLRMVSNKGSVSLIDYKILEEGTNNFEDDKLLGRGGFGLVYKAVLEDDSSIAVKKLDCATDDAQREFENEVGLLSKFQHPNIISIVGYSVHEEMGFIIYELMSNGSLEDLLHGTSRGSSLNWHLRLKIALDTARGLEYLHEFCKPAVIHRDLKSSNILLDANFNAKLSDFGLAVADSSHNKNKLKLSGTVGYVAPEYLLDGELTDKSDVYAFGVVLLELLLGRRPVEKLAPAHCQSIVTWAMPQLTNRAVLPTIVDPVIRDSVDEKYLFQVAAVAVLCIQPEPSYRPLITDVVHSLVPLVPLELGGTLRVAQPTTPRGHRQG >Potri.001G254308.1.v4.1 pep chromosome:Pop_tri_v4:1:27061471:27064468:1 gene:Potri.001G254308.v4.1 transcript:Potri.001G254308.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254308.v4.1 MQNSILFVYDEAGDEIKQPMLAIESLELPFWWEDGLAEQVEYNSDGNIKTQVVRSPFVQIPVGVTEDRLIGSVDVEESMKTGTTVFQPGLLAEAHRGVLYVGEINLLDEGINNLLLNVLTEGSALSILASHF >Potri.004G082200.2.v4.1 pep chromosome:Pop_tri_v4:4:6774925:6779275:1 gene:Potri.004G082200.v4.1 transcript:Potri.004G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082200.v4.1 MEDWEDEQVPPLVAKDQPKSKWDDEDVDEDDVKESWEDEDEPDPAPVVKPPPEMAPKKPAVKSTEKKGNTADVVKDQPLDPLAEKLRQQRLVEEADFRSTAELFGKKDDEKSLDSFIPKSESDFLEYAELISNKLRPFEKSYHYIHLLKAVMRLSMTSMKAADAKEVSTSVSAIANEKIKAEKEANTGKKKTAGKKKQLHVGKPGDDLEGDSYDALDDYDFM >Potri.004G082200.5.v4.1 pep chromosome:Pop_tri_v4:4:6775078:6779263:1 gene:Potri.004G082200.v4.1 transcript:Potri.004G082200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082200.v4.1 MEDWEDEQVPPLVAKDQPKSKWDDEDVDEDDVKESWEDEDEPDPAPVVKPPPEMAPKKPAVKSTEKKGNTADVVKDQPLDPLAEKLRQQRLVEEADFRSTAELFGKKDDEKSLDSFIPKSESDFLEYAELISNKLRPFEKSYHYIHLLKAVMRLSMTSMKAADAKEVSTSVSAIANEKIKAEKEANTGKKKTAGKKKQLHVGKPGDDLEGDSYDALDDYDFM >Potri.004G082200.4.v4.1 pep chromosome:Pop_tri_v4:4:6774774:6779193:1 gene:Potri.004G082200.v4.1 transcript:Potri.004G082200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082200.v4.1 MEDWEDEQVPPLVAKDQPKSKWDDEDVDEDDVKESWEDEDEPDPAPVVKPPPEMAPKKPAVKSTEKKGNTADVVKDQPLDPLAEKLRQQRLVEEADFRSTAELFGKKDDEKSLDSFIPKSESDFLEYAELISNKLRPFEKSYHYIHLLKAVMRLSMTSMKAADAKEVSTSVSAIANEKIKAEKEANTGKKKTGMCFHYFFLKLSTVSLDIVNLVFFFFVFKSWILKH >Potri.019G026780.1.v4.1 pep chromosome:Pop_tri_v4:19:3855071:3857934:1 gene:Potri.019G026780.v4.1 transcript:Potri.019G026780.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026780.v4.1 MEEIRRAAEAYYKNLSEEKKRNARFYFNEMDIDGDGRINLDEYVEYLKKDNNTVLTHPSLFTALDKDGNGSLDFEETIVWYYIMQSGRALFCQSCNTFLADVYFSCFQCFCLDESPSTYDLCCDCYGGKRFTHHDDAVFWDNYTLLSQSRSLALEAPVQKRRNVLKKIGMIVQVTGIVVGGACLASSSSCSIM >Potri.013G145700.1.v4.1 pep chromosome:Pop_tri_v4:13:14345936:14349160:-1 gene:Potri.013G145700.v4.1 transcript:Potri.013G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145700.v4.1 MLKMMLACCKVYISESRNKVALESIERAAKLFPEAPIVNKFEDVTYNRVGYTLVSSLAPKPSLDSCPLKGVVLAMVKAALETIDFGLHCGSHPRLGVVDHICFHPLAQSSLDQAAGIAKSLAVDAGSSLQVPTFLYGAANVEGRTLDSIRRELGYFKPNSGNQWAGGPKSESLPLKPDEGPAQVNQTKGVLVIGATRWVDNYNVPVFSTDIAAVRRIAKRVSGRGGGLPSVQAMALAHGDDVIEVACNLLEPSNVGGEMVQQEVERLAKEEGMAVGKGYFTDFSQDKIIENYLKFCPLM >Potri.003G043700.5.v4.1 pep chromosome:Pop_tri_v4:3:4948898:4950466:-1 gene:Potri.003G043700.v4.1 transcript:Potri.003G043700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043700.v4.1 MRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDDRMLFDIQKFYNVVIEELPSNVADLL >Potri.003G043700.1.v4.1 pep chromosome:Pop_tri_v4:3:4948410:4951969:-1 gene:Potri.003G043700.v4.1 transcript:Potri.003G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043700.v4.1 MAGLAPEGSQFDSKQYDAKMNDLLANDGQDFFTSYDEVYDTFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFHVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDDRMLFDIQKFYNVVIEELPSNVADLL >Potri.003G080400.5.v4.1 pep chromosome:Pop_tri_v4:3:10769587:10772539:-1 gene:Potri.003G080400.v4.1 transcript:Potri.003G080400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080400.v4.1 MELKFLDSKSSSLSEKAALVARTKSSPFSSFEHSVGVKFSQEFSSCRGVFTKASYLSSKGTFMPKRAISSVDKEKTAAENYFYQISTNGAGSSLFSRNVGVFDACDDEYGGVVVDPDRLPVNPDAFAPMLQFSLSHWKMKGKKGIWLKLPLERSELVPVAVKEGFEYHHAERGYVMLTYWIPEGPCMLPSNATHQVGVGGFVINDKNEVLVVQEKFYAPSFADLWKIPTGFILESEEIYTGAVREVKEETGVDTEFVEVIAFRHAHNLDFDKSDLFFVCMLKPLSAQIKVDNLEIQAAKKLWLICLMHHQYSDWPEGDSLQSQ >Potri.003G080400.1.v4.1 pep chromosome:Pop_tri_v4:3:10768870:10772691:-1 gene:Potri.003G080400.v4.1 transcript:Potri.003G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080400.v4.1 MELKFLDSKSSSLSEKAALVARTKSSPFSSFEHSVGVKFSQEFSSCRGVFTKASYLSSKGTFMPKRAISSVDKEKTAAENYFYQISTNGAGSSLFSRNVGVFDACDDEYGGVVVDPDRLPVNPDAFAPMLQFSLSHWKMKGKKGIWLKLPLERSELVPVAVKEGFEYHHAERGYVMLTYWIPEGPCMLPSNATHQVGVGGFVINDKNEVLVVQEKFYAPSFADLWKIPTGFILESEEIYTGAVREVKEETGVDTEFVEVIAFRHAHNLDFDKSDLFFVCMLKPLSAQIKVDNLEIQAAKWMPFVEFVAQPLIQEDGLFKKIIDICLARLGKHYCGLLPRQVVSKFDGRPSCLYYNVLDTGNVNCNGN >Potri.002G156100.2.v4.1 pep chromosome:Pop_tri_v4:2:11912159:11913181:1 gene:Potri.002G156100.v4.1 transcript:Potri.002G156100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156100.v4.1 MANFRKTILVVSFLTTALCGVSMATVYQVGDSAGWTSMGQVDYQDWAASKNFHGGDTLVFNYDNQFHNVKQVTHQGFESCNATSPLATYTNGSDTVTLGKQLGHFYFICGYPGHCQAGQKIDILVVPATSNLSPAASPSSASSLYFSNLSWTLGVLGFCLLGFAY >Potri.008G097300.1.v4.1 pep chromosome:Pop_tri_v4:8:6064188:6068144:-1 gene:Potri.008G097300.v4.1 transcript:Potri.008G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097300.v4.1 MASLSFTHFLPLSRWQLNWPNHQQLNFVQLQDFRLQNKWGLAAISRKKISEALPEEEPSENGVVGKKKTTRTGTKRTTSRTRKKKVADALEENSEVVGTNDPTNEKSVVSEDPKKTRRRTRRKDVSASTSLEESSTEKVRKRRARKKMDEDVETQDSESENSDQEQSEFVTNLEDESDGDLELDKDDGEDITYTYDWPPLVCCFGAAQHAFVPTGRPANRLLNYEIHDRMREAYWEPEKFMRAPGGSAGGVAVALASLGGKVAFMGKLGDDEFGQAMLYFLNVNNVQTRSVRMDSKRSTAVSQMKIARRGRLRMTCSKSCAEDSLLKSELNIDVLKQAKMFYFNTHSLLDRSMRSTALRAIKVSKKLGAVVFYDVNLPLPLWRSSEETKLFIQEAWNLADVIEVTKQELEFLCGIEPDEEFDTRNNAKSKFVHYGPEVVAPLWHENLEVLFVTNGTSKIHYYTREHNGAVHGMEDPPITPFTSDMSASGDGITAGLLRMLTVQPDLFTDKEYLESTIKYAIDCGVIDQWLLGRTRGFPPREEMGDEVEPDENGIRSATEKEYRTLENDSDPEGYMPPPEMECRPVKPVPDDTSSKTEKEYTYKASWM >Potri.019G084100.1.v4.1 pep chromosome:Pop_tri_v4:19:12384671:12392564:1 gene:Potri.019G084100.v4.1 transcript:Potri.019G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G084100.v4.1 MAQHRHYTNGTSDHVSVGIRASSSSSQQQKSGRVRRLGYRSDKSSRGGLSLIGAVIVFLCLALVVTVLAYYFLSNENTTNDKGVNDNHVEDDEMKNDDFLTNVTRTDTIKVLGFGQGSVGHGRDSRYWDTDDRRRDEDYNEDDVEHDSKVHRDGESSEKVHNLVKVKNYKEKAKRVEDRKGVGLYNEDGRKELKMYEIEYEASLKSTGNLGNKSDIKNLLLDDEDNGEQNGAADSENDYDDGIDFHDPRTEEYGGDSEHDKEENSSETTVHVKDNRVSSSFLDAKTKDQNSAKDNQEDSSSLLEKGSSNSQSSDDGNTESRHADNIGGRSTSKSRSDSKKKSKRHKYSGCGMKFLNSTTRLVEPFESRKFARFSLQYTEIEEKPEGQEQWEPRFAGHQSLHEREESFLAHDQKINCGFVKGPEGSSSTGFDLAEDDASYISRCHIAVISCIFGNSDRLRSPVHKMVTRLSRKNVCFVMFMDEVTFQTLSSEGHIADTAGFIGLWKIVVVKNLPYNDMRRVGKVPKLLPHRLFPSARYSIWLDSKLRLQVDPLLVLEYFLWRKGYEFAISKHYDRHCVWEEVAQNKRLNKYNHTVIDQQFASYQTDGLKRFNVSDPNKLLPSNVPEGSLIVRAHTPMSNLFSCLWFNEVDRFTPRDQLSFAFTYQKLRRMNPGKPFYLNMFKDCERRAIAKLFRHKSEEKRSTPRQEAAE >Potri.018G026200.2.v4.1 pep chromosome:Pop_tri_v4:18:1933016:1935568:-1 gene:Potri.018G026200.v4.1 transcript:Potri.018G026200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026200.v4.1 MFAAENGLKGDPRLQGISEAIRVVPHFPKPGIMFQDITTLLLDHKAFKDTVDIFVDRYRDMGISVVAGVEARGFMFGPAIALAIGAKFVPLRKPRKLPGEVIAEAYELEYGTDCLEMHVGAVEPGERAIVIDDLVATGGTLSAAIRLLERMEAEVVECACVIGLREVKGQCRLNGKPLYILVEPRQIDNCC >Potri.013G060900.8.v4.1 pep chromosome:Pop_tri_v4:13:4467194:4469754:-1 gene:Potri.013G060900.v4.1 transcript:Potri.013G060900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060900.v4.1 MFQRRLAIRTSVTSPGFGSPSSLSESSHWESTSKRPFAFSSRNFSNQRSYMSKAVYPLVFRNPVSDCETFGDADNSSLGRLTPGEDRISPSHWPDNSSSVDYKFHKTLTELQKLETSPDPSASSRREGFRWSSASSYDLGIDGERFDIAEHMDMESLRSPSRPIVEQKCGVCGKLLWQKSPWSSHRIMRGSDMPIAGVLPCSHVFHAECLEQVTPKTQIHDPPCPLCLKTVGSIEESPPVSEPLQMALRSVRRSRGVVISEAQGSHSNTEASYHIKDRLRRNWPCTVSPRNDNGSSITCRLRRHFTFKAGKELFNAKVFQKTGSSSSQKPA >Potri.013G060900.1.v4.1 pep chromosome:Pop_tri_v4:13:4467165:4472462:-1 gene:Potri.013G060900.v4.1 transcript:Potri.013G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060900.v4.1 MWDCRLRSDGLSHGSRAVHDSSFSSNSRGSRSWVGSEQYANHHHSVSDGALSYSDSTPDNVQEPRWTSPVQKFNLSDLAVSTAGGSRSQPTWFPCSTERRLAIRTSVTSPGFGSPSSLSESSHWESTSKRPFAFSSRNFSNQRSYMSKAVYPLVFRNPVSDCETFGDADNSSLGRLTPGEDRISPSHWPDNSSSVDYKFHKTLTELQKLETSPDPSASSRREGFRWSSASSYDLGIDGERFDIAEHMDMESLRSPSRPIVEQKCGVCGKLLWQKSPWSSHRIMRGSDMPIAGVLPCSHVFHAECLEQVTPKTQIHDPPCPLCLKTVGSIEESPPVSEPLQMALRSVRRSRGVVISEAQGSHSNTEASYHIKDRLRRNWPCTVSPRNDNGSSITCRLRRHFTFKAGKELFNAKVFQKTGSSSSQKPA >Potri.017G128100.1.v4.1 pep chromosome:Pop_tri_v4:17:13156950:13160798:1 gene:Potri.017G128100.v4.1 transcript:Potri.017G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128100.v4.1 MNSSSSSSSSSSSHTHYSTSSSDTDTPPSSSAAVRRALRLIQSEDLSLKIEAAKDIRRLTKTSQRCRRQLADAVKPLVCMLRVGDDDSVELSESALLALLNLAVKDEKNKISIVEAGALEPIISFLKSQNSILRECATASLLTLSASSINKQVISATGAIPLLVDILRNGNTQAKVDAVMALSNLSTHSNNLDIILKTNPIPSIVSLLKTCKKSSKTAEKCCALIESLVGFHEGRTALTSEEGGILAVVEVLENGSLQSREHAVGALLTLCQSDRFKYREPILREGVIPGLLELTVQGTPKSQSKAHTLLCLLRDAPYPRSELQPDTLENIVCNIISQIDGDEQSGKAKKMLAEMVQVSMEQSLKQLQQRALVCTPTPK >Potri.014G071001.1.v4.1 pep chromosome:Pop_tri_v4:14:4533544:4533876:1 gene:Potri.014G071001.v4.1 transcript:Potri.014G071001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071001.v4.1 MKKLIPKTPKQTPHKHTPFATASAPSHNVSSSSYLCRNLASCPPSTAAAPPSFKPPPPPPPPPPRSPPPSQLLLPSVPFPEIPALSLHLLISYCKNNNNKNSLSINKKGK >Potri.010G141501.1.v4.1 pep chromosome:Pop_tri_v4:10:15457572:15459764:-1 gene:Potri.010G141501.v4.1 transcript:Potri.010G141501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141501.v4.1 MPLTGNSEGNLFSHSKCWCQSLPCCTCDTYTIINASKEETTLPLPRLVHVMTAGAAPPPSVPFAMSEKDHETRPRRWKNQGRNCDERQFSDEGLYLKNPKATKEAFADGWFHSRDLGAKNPDGYVEIKDRSKDIIIYGGENISSLEVENVLYRWCLGQMRAGASHLAQMPAYRVSKSRVWTPAKNSDREDSEASPQGQGKRDGTYQGEQVIAGDKAFLAVEQFVL >Potri.013G109900.1.v4.1 pep chromosome:Pop_tri_v4:13:11846291:11847910:1 gene:Potri.013G109900.v4.1 transcript:Potri.013G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109900.v4.1 MAVQLPDDMIVEILCRLPVKVVMRLKVVSKTWHRLISNVCAPLFSAAAAAHPSGFLFLCSFQIIGGLGYFAAYASYPDVHDCVGQTDGFVDSYACMLPFMLSSDHYFDCCNGLLLFVRREQREALPHYYFVCNTTTRQCVAIPNPRPRTAPFAAAIAYDPAKSPHYKVVRFIYLEEKTSCPVKLDIFSSDTGKWVRRGVMLSTELPLAAADADKYGCIRRSIYLDGMIYKLSFVVNYLIRFDLNAPSDVAIELPHKNAADRHGFIGMSRGSLYYSNQDESGLMISIWLLEDRCKRDPFWKLTHNISVDSLTSKYPDVRNSGFHFHTYAIHPASDIIFLGNPTMVLSYDLKSNKSEDVFTLSSGLEISSGQHFVHLYSPCYAVLSNFDNNCG >Potri.017G041900.1.v4.1 pep chromosome:Pop_tri_v4:17:2826581:2828292:1 gene:Potri.017G041900.v4.1 transcript:Potri.017G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041900.v4.1 MAATPAYHIAMYPWFAFGHLTPFLHLANKLAQAGHKISFLLPTRTQSKLQQFNLHPDIITFIPITVPHVDGLPPGAETTSDVPFPSFTHIMTAMDRTESDIESIYYCVVSSVTIGYIMSPARRLSGNKLTKADLMQPPLGFPDLPIKLKAHEAEVYIARRVMKFGSDVMFCDRIYMGMSQCDAIGFRTCREIEGPYADYVGNQFDKPVLFSGPVIPEPNTSTLEEKFECTLEKDQFEELLLGLELTGMPFLAALKPPAGYESIELALPQGFQERICGRGMVHGGWVQQQLILEHPSVGCFITHCGSGSLSEALINKCQLVLLPHVGDHIFQARLMSLHLKVGVEVEKGEEDGLFTKETVYKAVRTVMEEEREFGRDVKTNRAKLREFLSRKSLESSYIDSFNEQLRVLLG >Potri.009G106300.3.v4.1 pep chromosome:Pop_tri_v4:9:9265482:9266671:-1 gene:Potri.009G106300.v4.1 transcript:Potri.009G106300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106300.v4.1 MEGTQQAAYTTRTSHGSVGPVIAVLAVITILGVIAGMIGRFCSGRRVLGHGQYDLEGWVERKCSSCLDGHVGPPPTRPADIPVAFPVVKGRPQETKEEKQEQIQHKPLASTSES >Potri.016G014000.1.v4.1 pep chromosome:Pop_tri_v4:16:742307:746783:1 gene:Potri.016G014000.v4.1 transcript:Potri.016G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014000.v4.1 MGTEESGGVSKRQRLDEQSSASGIENPLVPYNDVDDEEEDFERGRTANGGGRVEENRGQVVAAENGEEEEEEEEEEDLYGEENSLEKRKSQFEPREDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYVNLRTEKVYCLPDGYEIIDPSLDDIRHVLNPRFTRDQVKQLDKNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVQRYGELTRKIWHARNFKGQVSPHEFLQAVMKASKKRFRIGQQSDPVEFMAWLLNTLHTNLRTLKKNNSIIYECFQGELEVVKEIPNKAITEKKENGNDQIDGGAGHDIFTETSRMPFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGESVTEVVRPRVARMKYRVIRLPQYLILHMQRFKKNNFFIEKNPTLVNFPVKNLELKDFIPLPMPKENERLRSKYDLIADIVHDGKPNEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYLQIYEQQQ >Potri.005G154300.1.v4.1 pep chromosome:Pop_tri_v4:5:14152499:14153113:-1 gene:Potri.005G154300.v4.1 transcript:Potri.005G154300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G154300.v4.1 MAIHLYKTSTPSTRNGAVDSQVKSNTRNNLIYGQHRCGKGRNSRGIITARHRGGGHKRLYRKINFRRNEKYIYGRIVTIEYDPTRNAYICLIHYGDGEKRYILHPREAIIGDTIISGTEVPIKMGNALPLSVV >Potri.010G133850.1.v4.1 pep chromosome:Pop_tri_v4:10:14985025:14985274:1 gene:Potri.010G133850.v4.1 transcript:Potri.010G133850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133850.v4.1 MESKGGKKKSSSSKTLFYEAPLGYSIEDIRPNGGIKKFRSAAYSNCARKPS >Potri.004G163800.1.v4.1 pep chromosome:Pop_tri_v4:4:18351988:18355396:1 gene:Potri.004G163800.v4.1 transcript:Potri.004G163800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163800.v4.1 MGDTEEANSEMIQRLQSSFGTTQSSSTTMAKQPFSLINQIDVSQLSLNPTQMRARHFTNFSQNFSGDSNKRVGFPPSHPNQIPPISPYSQIPVSRPVNQQMGPQSFSLGPTHSRSLSQPSSFFSLDSLPPLSPAPFRDSSSPSVSDPISTDVFMEEKDGGSHSLLPPSPFNRGNAPRVVESLPPRKAHRRSNSDIPFGLANVLQCSPPLIPSRGSSGLERSMSGRENLGMAKPAQSVKKEWERGGDSNAEGMGERKSEGEVVDDLFSAYMNLDNIDVLNSSGTDDKNGNENREDLDSRASGTKTNGGDSSDNEAESSVNESGGNLPRAGLSSSTEKREGIKRSAGSDIAPTTRHYRSVSMDSFMGKLNFGNESPKLPPSPGTRPGQLSPTDSIDGNAFSLDFGNGEFSGAELKKIMANEKLAEIALADPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTNQNNELKFRIQAMEQQAQLRDALNEALTAEVRRLKIATAEQGGDSDPSKSMVQQQLSINPQMYLQQPRPSQLGMHQLQQQSSASQFNMHQRQRQQQQQQQQQSSQPQPQQNGNTTPKPDSNQ >Potri.007G046500.1.v4.1 pep chromosome:Pop_tri_v4:7:4250592:4251140:-1 gene:Potri.007G046500.v4.1 transcript:Potri.007G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L6 MESGVDKDMATRKRGGMESERQYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPEVLAAEGFGGGGSCGDMSAASIRKRATEVGAHVDAIETALNHHHHHHHHHLHHDDRQRNSNNSSSSNDNNETVVDSRELKPRPVDLNKVPDPEDSDGDEWKRS >Potri.006G074600.2.v4.1 pep chromosome:Pop_tri_v4:6:5452679:5454930:-1 gene:Potri.006G074600.v4.1 transcript:Potri.006G074600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074600.v4.1 MSPNPNMVQKQNPPSQAKHHSSSLSLYLNSTAPTSQSMETIENIAADQNYCEMTKMFSNDELKSWTMHQAFTQSYEYSSSPEGAASTASKTIVSERKRRKKLNDKLLELRGAVPKISKLDKASTLKDAIVYIQDLQEQERRLQAEIMELESKSLKKDPGFDFEQELPVLLRPKKTRYDQIYDHRAPISYPIKVHELRVNSMGEKTLLVSLTCSKARDAMIKICEIFESMKLKIITANVAIVSGMVKKTVLIEADMEEKDNLKIKIERAFSAESDPDSHLSMQSK >Potri.006G074600.1.v4.1 pep chromosome:Pop_tri_v4:6:5452755:5454840:-1 gene:Potri.006G074600.v4.1 transcript:Potri.006G074600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074600.v4.1 METIENIAADQNYCEMTKMFSNDELKSWTMHQAFTQSYEYSSSPEGAASTASKTIVSERKRRKKLNDKLLELRGAVPKISKLDKASTLKDAIVYIQDLQEQERRLQAEIMELESKSLKKDPGFDFEQELPVLLRPKKTRYDQIYDHRAPISYPIKVHELRVNSMGEKTLLVSLTCSKARDAMIKICEIFESMKLKIITANVAIVSGMVKKTVLIEADMEEKDNLKIKIERAFSAESDPDSHLSMQSK >Potri.004G100201.3.v4.1 pep chromosome:Pop_tri_v4:4:8673195:8676395:1 gene:Potri.004G100201.v4.1 transcript:Potri.004G100201.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100201.v4.1 MRPNMQKRSLENQLCQRGLFMKYLLYCIRICARTNLQVFQGLWNPRSQTIEAILQLQNKTSEFSEKGMITRLLVPSSKVGCILGQGSQDINEMRRLQAEICVYPKNEKPKCASEDEELVQLGYYLRYCI >Potri.004G100201.2.v4.1 pep chromosome:Pop_tri_v4:4:8673195:8676395:1 gene:Potri.004G100201.v4.1 transcript:Potri.004G100201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100201.v4.1 MTVAKDEAKHAEEVNFRSLENQLCQRGLFMKYLLYCIRICARTNLQVFQGLWNPRSQTIEAILQLQNKTSEFSEKGMITRLLVPSSKVGCILGQGSQDINEMRRLQAEICVYPKNEKPKCASEDEELVQLGYYLRYCI >Potri.004G100201.1.v4.1 pep chromosome:Pop_tri_v4:4:8673195:8676395:1 gene:Potri.004G100201.v4.1 transcript:Potri.004G100201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100201.v4.1 MTVAKDEAKHAEEVNFRSLENQLCQRGLFMKYLLYCIRICARTNLQVFQGLWNPRSQTIEAILQLQNKTSEFSEKGMITRLLVPSSKVGCILGQGSQDINEMRRLQAEICVYPKNEKPKCASEDEELVQISGNYGVAKDVLVDIASDSEQELCMMKMLEQNLSVLDLSRALGWHTTCQE >Potri.005G007900.4.v4.1 pep chromosome:Pop_tri_v4:5:615327:621867:-1 gene:Potri.005G007900.v4.1 transcript:Potri.005G007900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007900.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWYGIKCDNTTGRVIRLSLWDARDQSLGDWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSENRFNDDKSILSCFNGLSALKSLDLSDNQLTGSGLKVLSSRLKKLENLDLSWNQCNDSIFSSITGFSSLKSLVLSANQLTGSGLKVLSSRLQKLENLHLSRNQCNDSIFSSITGFSSLKSLDLSYNEVTGSGLKVLSSKLKKLENLDLSDNQCNDSIFSSLSGFSSLKYLNLSQNQLTGSSTGINSFQVLASALRNLEELRLSYNKLNDNILSFLSGFSTLKSLDLSDNMFTGSTGLNGLRNLEELYLGSNKFNNNILSSLSGFSTLKYLDLSNNSFTGSTGLNGLRNLETLYLGNTDFKESILIESLGALPSLKTLDASYSNFTHFGKGLCNSSSLEEVFLDDSSLPASFLRNIGPLSTLKVLSLAGVDFNSTLPAQGWCELKNLEELYLLGNNLKGVLPPCLGNLSFLQILELSHNQLEGNIAFSHLSHLTQLEYLSVSNNYFQVPITSGSFMNLSNLKFIACDNNELIAAPSFQPSAPKFQLLFFSASNCTPKPLEAGFTNFLHSQYDLMFVDLSHNKFVGEPFPSWLFENNRKLNRLYLRDTSITGPLQLPQHPTPYLQTVDISGNTIHGQIARNICSIFPRLKNFLMANNSLTGCIPRCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWFLKLSNNNFSGRLPPSVFNMTYLLYLLLDGNKFVGEVPGMLPRGIGNSSKSQLDGIDLSRNHFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFHALDLRYVHLYGNRLSGPLPYDFYNLSSLVTLDLGDNNLTGPIPNWIDSLSELSIFVLKSNKFNGKLPHQLCLLRKLSILDLSENNFSGLFPSCLSNLNLTASDEKTSVEPDRASRDYWSEGEILSSIGGRGFSPHDTKLWPEISVKIAVELTAKKNFYTYEGGILRYMSALDLSCNRFTGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFSNLKHIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNNLSGRTPEMKNQFGTFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDCNGDGGFIDMYSFYASFGVCYIIAVLTIAAVLCINPHWRRRWFYFIEECIDTCFCFLAINFRKLSRFRR >Potri.005G007900.1.v4.1 pep chromosome:Pop_tri_v4:5:615327:621840:-1 gene:Potri.005G007900.v4.1 transcript:Potri.005G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007900.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWYGIKCDNTTGRVIRLSLWDARDQSLGDWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSENRFNDDKSILSCFNGLSALKSLDLSDNQLTGSGLKVLSSRLKKLENLDLSWNQCNDSIFSSITGFSSLKSLVLSANQLTGSGLKVLSSRLQKLENLHLSRNQCNDSIFSSITGFSSLKSLDLSYNELTGSSTGINSFQVLASALRNLEELRLSYNKLNDNILSFLSGFSTLKSLDLSDNMFTGSTGLNGLRNLETLYLGNTDFKESILIESLGALPSLKTLDASYSNFTHFGKGLCNSSSLEEVFLDDSSLPASFLRNIGPLSTLKVLSLAGVDFNSTLPAQGWCELKNLEELYLLGNNLKGVLPPCLGNLSFLQILELSHNQLEGNIAFSHLSHLTQLEYLSVSNNYFQVPITSGSFMNLSNLKFIACDNNELIAAPSFQPSAPKFQLLFFSASNCTPKPLEAGFTNFLHSQYDLMFVDLSHNKFVGEPFPSWLFENNRKLNRLYLRDTSITGPLQLPQHPTPYLQTVDISGNTIHGQIARNICSIFPRLKNFLMANNSLTGCIPRCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWFLKLSNNNFSGRLPPSVFNMTYLLYLLLDGNKFVGEVPGTFSLESSLLWLDISNNLLSGMLPRGIGNSSKSQLDGIDLSRNHFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFHALDLRYVHLYGNRLSGPLPYDFYNLSSLVTLDLGDNNLTGPIPNWIDSLSELSIFVLKSNKFNGKLPHQLCLLRKLSILDLSENNFSGLFPSCLSNLNLTASDEKTSVEPDRASRDYWSEGEILSSIGGRGFSPHDTKLWPEISVKIAVELTAKKNFYTYEGGILRYMSALDLSCNRFTGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFSNLKHIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNNLSGRTPEMKNQFGTFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDCNGDGGFIDMYSFYASFGVCYIIAVLTIAAVLCINPHWRRRWFYFIEECIDTCFCFLAINFRKLSRFRR >Potri.005G007900.5.v4.1 pep chromosome:Pop_tri_v4:5:615327:621840:-1 gene:Potri.005G007900.v4.1 transcript:Potri.005G007900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007900.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWYGIKCDNTTGRVIRLSLWDARDQSLGDWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSENRFNDDKSILSCFNGLSALKSLDLSDNQLTGSGLKVLSSRLKKLENLDLSWNQCNDSIFSSITGFSSLKSLVLSANQLTGSGLKVLSSRLQKLENLHLSRNQCNDSIFSSITGFSSLKSLDLSYNEVTGSGLKVLSSKLKKLENLDLSDNQCNDSIFSSLSGFSSLKYLNLSQNQLTGSSTGINSFQVLASALRNLEELRLSYNKLNDNILSFLSGFSTLKSLDLSDNMFTGSTGLNGLRNLEELYLGSNKFNNNILSSLSGFSTLKYLDLSNNSFTGSTGLNGLRNLETLYLGNTDFKESILIESLGALPSLKTLDASYSNFTHFGKGLCNSSSLEEVFLDDSSLPASFLRNIGPLSTLKVLSLAGVDFNSTLPAQGWCELKNLEELYLLGNNLKGVLPPCLGNLSFLQILELSHNQLEGNIAFSHLSHLTQLEYLSVSNNYFQVPITSGSFMNLSNLKFIACDNNELIAAPSFQPSAPKFQLLFFSASNCTPKPLEAGFTNFLHSQYDLMFVDLSHNKFVGEPFPSWLFENNRKLNRLYLRDTSITGPLQLPQHPTPYLQTVDISGNTIHGQIARNICSIFPRLKNFLMANNSLTGCIPRCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWFLKLSNNNFSGRLPPSVFNMTYLLYLLLDGNKFVGEVPGMLPRGIGNSSKSQLDGIDLSRNHFEDEKTSVEPDRASRDYWSEGEILSSIGGRGFSPHDTKLWPEISVKIAVELTAKKNFYTYEGGILRYMSALDLSCNRFTGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFSNLKHIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNNLSGRTPEMKNQFGTFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDCNGDGGFIDMYSFYASFGVCYIIAVLTIAAVLCINPHWRRRWFYFIEECIDTCFCFLAINFRKLSRFRR >Potri.005G007900.6.v4.1 pep chromosome:Pop_tri_v4:5:615327:621840:-1 gene:Potri.005G007900.v4.1 transcript:Potri.005G007900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007900.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWYGIKCDNTTGRVIRLSLWDARDQSLGDWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSENRFNDDKSILSCFNGLSALKSLDLSDNQLTGSGLKVLSSRLKKLENLDLSWNQCNDSIFSSITGFSSLKSLVLSANQLTGSGLKVLSSKLKKLENLDLSDNQCNDSIFSSLSGFSSLKYLNLSQNQLTGSSTGINSFQVLASALRNLEELRLSYNKLNDNILSFLSGFSTLKSLDLSDNMFTGSTGLNGLRNLEELYLGSNKFNNNILSSLSGFSTLKYLDLSNNSFTGSTGLNGLRNLETLYLGNTDFKESILIESLGALPSLKTLDASYSNFTHFGKGLCNSSSLEEVFLDDSSLPASFLRNIGPLSTLKVLSLAGVDFNSTLPAQGWCELKNLEELYLLGNNLKGVLPPCLGNLSFLQILELSHNQLEGNIAFSHLSHLTQLEYLSVSNNYFQVPITSGSFMNLSNLKFIACDNNELIAAPSFQPSAPKFQLLFFSASNCTPKPLEAGFTNFLHSQYDLMFVDLSHNKFVGEPFPSWLFENNRKLNRLYLRDTSITGPLQLPQHPTPYLQTVDISGNTIHGQIARNICSIFPRLKNFLMANNSLTGCIPRCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWFLKLSNNNFSGRLPPSVFNMTYLLYLLLDGNKFVGEVPGMLPRGIGNSSKSQLDGIDLSRNHFEDEKTSVEPDRASRDYWSEGEILSSIGGRGFSPHDTKLWPEISVKIAVELTAKKNFYTYEGGILRYMSALDLSCNRFTGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFSNLKHIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNNLSGRTPEMKNQFGTFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDCNGDGGFIDMYSFYASFGVCYIIAVLTIAAVLCINPHWRRRWFYFIEECIDTCFCFLAINFRKLSRFRR >Potri.005G007900.3.v4.1 pep chromosome:Pop_tri_v4:5:615327:621867:-1 gene:Potri.005G007900.v4.1 transcript:Potri.005G007900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007900.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWYGIKCDNTTGRVIRLSLWDARDQSLGDWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSENRFNDDKSILSCFNGLSALKSLDLSDNQLTGSGLKVLSSRLKKLENLDLSWNQCNDSIFSSITGFSSLKSLVLSANQLTGSGLKVLSSRLQKLENLHLSRNQCNDSIFSSITGFSSLKSLDLSYNEVTGSGLKVLSSKLKKLENLDLSDNQCNDSIFSSLSGFSSLKYLNLSQNQLTGSSTGINSFQVLASALRNLEELRLSYNKLNDNILSFLSGFSTLKSLDLSDNMFTGSTGLNGLRNLEELYLGSNKFNNNILSSLSGFSTLKYLDLSNNSFTGSTGLNGLRNLETLYLGNTDFKESILIESLGALPSLKTLDASYSNFTHFGKGLCNSSSLEEVFLDDSSLPASFLRNIGPLSTLKVLSLAGVDFNSTLPAQGWCELKNLEELYLLGNNLKGVLPPCLGNLSFLQILELSHNQLEGNIAFSHLSHLTQLEYLSVSNNYFQVPITSGSFMNLSNLKFIACDNNELIAAPSFQPSAPKFQLLFFSASNCTPKPLEAGFTNFLHSQYDLMFVDLSHNKFVGEPFPSWLFENNRKLNRLYLRDTSITGPLQLPQHPTPYLQTVDISGNTIHGQIARNICSIFPRLKNFLMANNSLTGCIPRCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWFLKLSNNNFSGRLPPSVFNMTYLLYLLLDGNKFVGEVPGTFSLESSLLWLDISNNLLSGMLPRGIGNSSKSQLDGIDLSRNHFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFHALDLRYVHLYGNRLSGPLPYDFYNLSSLVTLDLGDNNLTGPIPNWIDSLSELSIFVLKSNKFNGKLPHQLCLLRKLSILDLSENNFSGLFPSCLSNLNLTASDEKTSVEPDRASRDYWSEGEILSSIGGRGFSPHDTKLWPEISVKIAVELTAKKNFYTYEGGILRYMSALDLSCNRFTGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFSNLKHIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNNLSGRTPEMKNQFGTFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDCNGDGGFIDMYSFYASFGVCYIIAVLTIAAVLCINPHWRRRWFYFIEECIDTCFCFLAINFRKLSRFRR >Potri.005G007900.2.v4.1 pep chromosome:Pop_tri_v4:5:615327:621867:-1 gene:Potri.005G007900.v4.1 transcript:Potri.005G007900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007900.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWYGIKCDNTTGRVIRLSLWDARDQSLGDWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSENRFNDDKSILSCFNGLSALKSLDLSDNQLTGSGLKVLSSRLKKLENLDLSWNQCNDSIFSSITGFSSLKSLVLSANQLTGSGSFYGLKVLSSRLQKLENLHLSRNQCNDSIFSSITGFSSLKSLDLSYNEVTGSGLKVLSSKLKKLENLDLSDNQCNDSIFSSLSGFSSLKYLNLSQNQLTGSSTGINSFQVLASALRNLEELRLSYNKLNDNILSFLSGFSTLKSLDLSDNMFTGSTGLNGLRNLEELYLGSNKFNNNILSSLSGFSTLKYLDLSNNSFTGSTGLNGLRNLETLYLGNTDFKESILIESLGALPSLKTLDASYSNFTHFGKGLCNSSSLEEVFLDDSSLPASFLRNIGPLSTLKVLSLAGVDFNSTLPAQGWCELKNLEELYLLGNNLKGVLPPCLGNLSFLQILELSHNQLEGNIAFSHLSHLTQLEYLSVSNNYFQVPITSGSFMNLSNLKFIACDNNELIAAPSFQPSAPKFQLLFFSASNCTPKPLEAGFTNFLHSQYDLMFVDLSHNKFVGEPFPSWLFENNRKLNRLYLRDTSITGPLQLPQHPTPYLQTVDISGNTIHGQIARNICSIFPRLKNFLMANNSLTGCIPRCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWFLKLSNNNFSGRLPPSVFNMTYLLYLLLDGNKFVGEVPGTFSLESSLLWLDISNNLLSGMLPRGIGNSSKSQLDGIDLSRNHFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFHALDLRYVHLYGNRLSGPLPYDFYNLSSLVTLDLGDNNLTGPIPNWIDSLSELSIFVLKSNKFNGKLPHQLCLLRKLSILDLSENNFSGLFPSCLSNLNLTASDEKTSVEPDRASRDYWSEGEILSSIGGRGFSPHDTKLWPEISVKIAVELTAKKNFYTYEGGILRYMSALDLSCNRFTGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFSNLKHIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNNLSGRTPEMKNQFGTFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDCNGDGGFIDMYSFYASFGVCYIIAVLTIAAVLCINPHWRRRWFYFIEECIDTCFCFLAINFRKLSRFRR >Potri.013G005300.10.v4.1 pep chromosome:Pop_tri_v4:13:358240:358593:1 gene:Potri.013G005300.v4.1 transcript:Potri.013G005300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005300.v4.1 MERETKKRKMECIAEQEREKEDEDEEKMEKFFALLRRNKEMHDHIRRNSNGIFKEREEIKKAEEERVIVHWNPSFQPEDFSEDGKDSSQVAGPSERKHEEKKDKGEEGTDLDLKLSL >Potri.013G005300.7.v4.1 pep chromosome:Pop_tri_v4:13:357992:359842:1 gene:Potri.013G005300.v4.1 transcript:Potri.013G005300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005300.v4.1 MERETKKRKMECIAEQEREKEDEDEEKMEKFFALLRRNKEMHDHIRRNSNGIFKEREEIKKAEEERVIVHWNPSFQPEDFSEDGKDSSQVAGPSERKHEEKKDKGEEGTDLDLKLSL >Potri.013G005300.9.v4.1 pep chromosome:Pop_tri_v4:13:357992:358717:1 gene:Potri.013G005300.v4.1 transcript:Potri.013G005300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005300.v4.1 MERETKKRKMECIAEQEREKEDEDEEKMEKFFALLRRNKEMHDHIRRNSNGIFKEREEIKKAEEERVIVHWNPSFQPEDFSEDGKDSSQVAGPSERKHEEKKDKGEEGTDLDLKLSL >Potri.013G005300.8.v4.1 pep chromosome:Pop_tri_v4:13:357992:359842:1 gene:Potri.013G005300.v4.1 transcript:Potri.013G005300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005300.v4.1 MERETKKRKMECIAEQEREKEDEDEEKMEKFFALLRRNKEMHDHIRRNSNGIFKEREEIKKAEEERVIVHWNPSFQPEDFSEDGKDSSQVAGPSERKHEEKKDKGEEGTDLDLKLSL >Potri.003G020700.16.v4.1 pep chromosome:Pop_tri_v4:3:2166222:2169976:1 gene:Potri.003G020700.v4.1 transcript:Potri.003G020700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020700.v4.1 MAMFSCSARPTKLYAALPLPPAKHGTSHHSIMLTFPSSSKPKKNNSRVGIDLLSSSNNNPDDSWGYWRTNVSFFQFFSAKSKDVKSLKQQLLEAIAPLDRGAVATPQDQKRVDEIAQELEAVNDIKEPFKSNLLNGKWELLYTTSQSILKTKRPKFLRPNGKIYQAINADTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDFFRIADTY >Potri.003G020700.3.v4.1 pep chromosome:Pop_tri_v4:3:2166154:2170148:1 gene:Potri.003G020700.v4.1 transcript:Potri.003G020700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020700.v4.1 MLFIFSPSSTTRTSYLENKENREERQPSVLQSSVHLHLAPPLMAMFSCSARPTKLYAALPLPPAKHGTSHHSIMLTFPSSSKPKKNNSRVGIDLLSSSNNNPDDSWGYWRTNVSFFQFFSAKSKDVKSLKQQLLEAIAPLDRGAVATPQDQKRVDEIAQELEAVNDIKEPFKSNLLNGKWELLYTTSQSILKTKRPKFLRPNGKIYQAINADTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDFFRIAGLIPIKSPGSGRGQLEITYLDEELRISRGDRGNLFVLKMADPSYRVPL >Potri.003G020700.11.v4.1 pep chromosome:Pop_tri_v4:3:2166154:2170148:1 gene:Potri.003G020700.v4.1 transcript:Potri.003G020700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020700.v4.1 MLFIFSPSSTTRTSYLENKENREERQPSVLQSSVHLHLAPPLMAMFSCSARPTKLYAALPLPPAKHGTSHHSIMLTFPSSSKPKKNNSRVGIDLLSSSNNNPDDSWGYWRTNVSFFQFFSAKSKDVKSLKQQLLEAIAPLDRGAVATPQDQKRVDEIAQELEAVNDIKEPFKSNLLNGKWELLYTTSQSILKTKRPKFLRPNGKIYQAINADTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDFFRIAGLTL >Potri.003G020700.1.v4.1 pep chromosome:Pop_tri_v4:3:2166154:2170148:1 gene:Potri.003G020700.v4.1 transcript:Potri.003G020700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020700.v4.1 MLFIFSPSSTTRTSYLENKENREERQPSVLQSSVHLHLAPPLMAMFSCSARPTKLYAALPLPPAKHGTSHHSIMLTFPSSSKPKKNNSRVGIDLLSSSNNNPDDSWGYWRTNVSFFQFFSAKSKDVKSLKQQLLEAIAPLDRGAVATPQDQKRVDEIAQELEAVNDIKEPFKSNLLNGKWELLYTTSQSILKTKRPKFLRPNGKIYQAINADTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDFFRIAGLIPIKSPGSGRGQLEITYLDEELR >Potri.003G020700.15.v4.1 pep chromosome:Pop_tri_v4:3:2166269:2169931:1 gene:Potri.003G020700.v4.1 transcript:Potri.003G020700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020700.v4.1 MAMFSCSARPTKLYAALPLPPAKHGTSHHSIMLTFPSSSKPKKNNSRVGIDLLSSSNNNPDDSWGYWRTNVSFFQFFSAKSKDVKSLKQQLLEAIAPLDRGAVATPQDQKRVDEIAQELEAVNDIKEPFKSNLLNGKWELLYTTSQSILKTKRPKFLRPNGKIYQAINADTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDFFRIAGLVCILAPGILLQ >Potri.003G020700.13.v4.1 pep chromosome:Pop_tri_v4:3:2166156:2169927:1 gene:Potri.003G020700.v4.1 transcript:Potri.003G020700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020700.v4.1 MLFIFSPSSTTRTSYLENKENREERQPSVLQSSVHLHLAPPLMAMFSCSARPTKLYAALPLPPAKHGTSHHSIMLTFPSSSKPKKNNSRVGIDLLSSSNNNPDDSWGYWRTNVSFFQFFSAKSKDVKSLKQQLLEAIAPLDRGAVATPQDQKRVDEIAQELEAVNDIKEPFKSNLLNGKWELLYTTSQSILKTKRPKFLRPNGKIYQAINADTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDFFRIAGLIPIKSPGSGRGQLEITYLDEELRIY >Potri.003G020700.14.v4.1 pep chromosome:Pop_tri_v4:3:2166211:2169984:1 gene:Potri.003G020700.v4.1 transcript:Potri.003G020700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020700.v4.1 MAMFSCSARPTKLYAALPLPPAKHGTSHHSIMLTFPSSSKPKKNNSRVGIDLLSSSNNNPDDSWGYWRTNVSFFQFFSAKSKDVKSLKQQLLEAIAPLDRGAVATPQDQKRVDEIAQELEAVNDIKEPFKSNLLNGKWELLYTTSQSILKTKRPKFLRPNGKIYQAINADTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDFFRIAGLVCILAPGILLQ >Potri.003G020700.10.v4.1 pep chromosome:Pop_tri_v4:3:2166154:2170148:1 gene:Potri.003G020700.v4.1 transcript:Potri.003G020700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020700.v4.1 MLFIFSPSSTTRTSYLENKENREERQPSVLQSSVHLHLAPPLMAMFSCSARPTKLYAALPLPPAKHGTSHHSIMLTFPSSSKPKKNNSRVGIDLLSSSNNNPDDSWGYWRTNVSFFQFFSAKSKDVKSLKQQLLEAIAPLDRGAVATPQDQKRVDEIAQELEAVNDIKEPFKSNLLNGKWELLYTTSQSILKTKRPKFLRPNGKIYQAINADTLRAQNMETWPFFNQATANLVPLNTRRVAVKFDFFRIAGLTL >Potri.003G103300.1.v4.1 pep chromosome:Pop_tri_v4:3:12742169:12745301:-1 gene:Potri.003G103300.v4.1 transcript:Potri.003G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103300.v4.1 MDLLSLSSSTATPLFSSLKPTLSPHHNLKPPASPFPFLFKPLTPSSPKLSPLKTSLKSSLTLTPIPQLASPQTPATSMRGAETDAMGLLLRERIVFLGNNIDDFVADAIISQLLLLDAQDPTKDIRLFVNCPGGSLSASMAIYDVVQLVRADVSTIALGISASTASIILGGGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAREIMHNKNNIIRIVSGFTSRTVEQVQKDMDRDRYMSPIEAVEYGIIDGVIDRDSIIPLAPVPERVTPTLNYEDMRKDPMKFLNPDVPDDEIY >Potri.003G103300.2.v4.1 pep chromosome:Pop_tri_v4:3:12742089:12745387:-1 gene:Potri.003G103300.v4.1 transcript:Potri.003G103300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103300.v4.1 MDLLSLSSSTATPLFSSLKPTLSPHHNLKPPASPFPFLFKPLTPSSPKLSPLKTSLKSSLTLTPIPQLASPQTPATSMRGAETDAMGLLLRERIVFLGNNIDDFVADAIISQLLLLDAQDPTKDIRLFVNCPGGSLSASMAIYDVVQLVRADVSTIALGISASTASIILGGGTKGKRFAMPNTRIMIHQPLGGASGQAIDVEIQAREIMHNKNNIIRIVSGFTSRTVEQVQKDMDRDRYMSPIEAVEYGIIDGVIDRDSIIPLAPVPERVTPTLNYEDMRKDPMKFLNPDVPDDEIY >Potri.016G110100.5.v4.1 pep chromosome:Pop_tri_v4:16:11269607:11273651:1 gene:Potri.016G110100.v4.1 transcript:Potri.016G110100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110100.v4.1 MVADSKGKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKSAVAGDGVALPKYRGMLGTVATIAREEGLAALWKGIVPGLHRQCVYGGLRIGLYEPVKNLYVGSDFVGDVPLTKKILAALTTGAIGIAVANPTDLVKVRLQAEGKLPAGVPRRYSGAMNAYSTIVRQEGVGALWTGIGPNIARNAIINAAELASYDQVKETILKIPGFTDNVVTHLFAGMGAGFFAVCIGSPVDVVKSRMMGDSTYKNTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRSLESSRA >Potri.016G110100.4.v4.1 pep chromosome:Pop_tri_v4:16:11269563:11273818:1 gene:Potri.016G110100.v4.1 transcript:Potri.016G110100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110100.v4.1 MVADSKGKSDISFAGTFASSAFAACFAEICTIPLDTAKVRLQLQKSAVAGDGVALPKYRGMLGTVATIAREEGLAALWKGIVPGLHRQCVYGGLRIGLYEPVKNLYVGSDFVGDVPLTKKILAALTTGAIGIAVANPTDLVKVRLQAEGKLPAGVPRRYSGAMNAYSTIVRQEGVGALWTGIGPNIARNAIINAAELASYDQVKETILKIPGFTDNVVTHLFAGMGAGFFAVCIGSPVDVVKSRMMGDSTYKNTLDCFIKTLKNDGPLAFYKGFIPNFGRLGSWNVIMFLTLEQAKKFVRSLESSRA >Potri.012G090100.2.v4.1 pep chromosome:Pop_tri_v4:12:11526213:11531075:1 gene:Potri.012G090100.v4.1 transcript:Potri.012G090100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090100.v4.1 MGGILSRRSSSRHPSSSHSWSHHSYPQSPYAQPSQEYMQYQHYVPPPQSYGDPAPNSRRLERKYSKIDDNYNSLVQVTEALARAGLESSNLIVGIDFTKSNEWTGARSFSHRSLHHIGDDQNPYEQAIYIIGKTLSSFDEDNLIPCFGFGDASTHDQEVFSFYPDERFCNGFEEVLRRYRELVPCLRLAGPTSFAPVIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTEHGHLSPQEKRTVEAIVKASEYPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTEIMSKNMDRPRKEAEFSLAALMEIPSQYKATLELNIMGATKGKAIDRVPLPPPQYGAGSTSFRNPKPAQSSNSRPSASSSGRHDVVGTALPASSASDNHLCPICLTDPKDMAFGCGHQTCCDCGQDLQSCPICRSTIHTRIKLY >Potri.005G003600.2.v4.1 pep chromosome:Pop_tri_v4:5:314179:318418:-1 gene:Potri.005G003600.v4.1 transcript:Potri.005G003600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003600.v4.1 MSVLERSSSTSTPVREIDPLLKDLNEKKLSFRKNVVSLAAELKGVRNRLASQEQSFAKETETRQEAENKAKIMEEEISRLQERMEERNGQLLASASTADKYLTELDRLRSQLAATQATADASAASAQSAQLQCLALIKELDAKNSSLKEHEERVTRLGEQLDNLQKDLQARESSQKQLKDEVTRIEHDIMKAISQAGDSKDCELRKLLDEVSPKNFEKMNKLLVVKDEEITKLKDEIRVMSAHWKLKTKELESQLEKQRRADQELKKRVLKLEFCLQEARAQTRKLQRMGERRDKAIKELRDQLAAKKQAISEANNDKQNFWETSSFKVVVSMSMLILVVFSKR >Potri.002G167400.2.v4.1 pep chromosome:Pop_tri_v4:2:12816720:12819859:1 gene:Potri.002G167400.v4.1 transcript:Potri.002G167400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167400.v4.1 MNRFSTKYTEHKTVTNKLVKWEDSKATRIVRISVTDGNATDSSGDEKEESKRHHPRVKKHINEIRITSCGSDRAAEDAKKSSKVVSRQQVVKKISRDQCSYPGGKRYRGVRQRPWGRWAAEIRDPYRRTRLWLGTYDTAEEAAMVYDQAAIRIKGPDAQTNFTQPPVSKQHAPDVDINVNISGYESGKESHNSLCSPTSVLRFQSTEEPGPESQVAVQSDCCWRIQTQEEVVQEEIIKVGEDDECLVTDPLCLKEFWDFENPAPIFFEECSVPDTVLREDYADISVHLDGDFGSCLWDVDKYFEA >Potri.015G105600.2.v4.1 pep chromosome:Pop_tri_v4:15:12443670:12450836:-1 gene:Potri.015G105600.v4.1 transcript:Potri.015G105600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105600.v4.1 MGKENEAIAMEVDGPKSNNSDQINPRYSINVLQLLKSAQMQHGLRHGDYARYRRYCTARLRRLYKSLKFTHGRGKYTRRAINESTVTEVRYLHMVLYTAERAWSHAMEKRQLLDGPNAKQRIYLIGRLRKAVKWADLFSGLCAAKGDSRTSLEAEAYASYMNGLLLFEQDRNWDTALKNFISSRAVYEELGKYGDLENQVLCRERVEELEPSIRYCRHKIGESNLKTSELLQIGEMEGPALDLFKAKLEAAMAEARSQQAASLTEFHWLGHRFPISNAKTRVAILKAQELEKDLHGPTADSIPAEKKLAVFDKIFTAYHEARSFIRSDLVSAGNADSVKDELNGLDKAVSAVLGQRTIERNQLLVSIAKSKLTRRRDDKNEKVTKPEELVRLYDLLLQNTADLSDLVSSGRDRKPEEVEFTEDCDLRSTAFRAQRCFYLAKSYSSAGKRAEAYVLYCHARSLAENALKKFQTVTSTDEVTIKELRTLNNECRANSCIEHATGIMEHEKVSENLSKKVSTISLSGVDKKVEKFLLEKLDVYESAVGEPNVKSVPRIEVFPPAFQSIPRNPIVLDLAYNCIDFPSLENRMKKDKKGFISRLWR >Potri.010G122932.1.v4.1 pep chromosome:Pop_tri_v4:10:14083638:14087940:1 gene:Potri.010G122932.v4.1 transcript:Potri.010G122932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122932.v4.1 MRQGMLEEGKATLRMKQDMQSDNGNMHDLIAYRIKFTPHSGDKWCIYPSYDYAYCIVDSLEDITHSLCTLEFETRRASYYWLLHVLDLYQPYVWEYSRLNVTNNVMSKRKLNFLVTKKLVDGWDDPRLMTLAGLRRRGVTSTAINAFVRGIGITRSDCCTIRLERLEYHIREELNRTVPRTMVVLQPLKVVITNLDSGLVMDLDAKKWPDASTEDSSAFYKVPFSRVVYIEQSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTEVVLADDNETIVEIWAEYDHSKKKNQRELYTGLLNLHGKLIH >Potri.001G252900.1.v4.1 pep chromosome:Pop_tri_v4:1:26910253:26915425:-1 gene:Potri.001G252900.v4.1 transcript:Potri.001G252900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252900.v4.1 MKQSCLERSNKKDASNDTVDGIDGEGDFDPSAPPPFKIAEIRAAIPKHCWVKNPWRSLSYVLRDVTVVFALAAAVLRLDSWVFWPLYWAAQGTMFWAIFVLGHDCGHGSFSNNHLLNNVVGHVLHSSILVPYHGWRISHRTHHQNHGNVENDESWVPLPEKIYKNLDLPTRIMRYTVPLPMLAYPIYLWRRSPGKEGSHFNPYSKLFAHSERKAVLTSTVCWSIMVLLLIYSSYVFDPVQVLKIHGVPYLIFVMWLDFVTYLHHHGHEQKLPWYRGKEWSYLRGGLTTVDRDYGWINGIHHDIGTHVIHHLFPQIPHYHLVEATRAAKPVLGKYYREPKKSGPFPLHLFSNLVRSIREDHYVSDTGDVVYYQTDPQLCTIKSN >Potri.004G003200.1.v4.1 pep chromosome:Pop_tri_v4:4:200176:208114:1 gene:Potri.004G003200.v4.1 transcript:Potri.004G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003200.v4.1 MAAISQLNQFPCKTLSSKTPLFSHFTSSRPSIIPLNSIKNNPTTNNSILKPRILVTREQPTKKRNLFLVKAVDEDEGSPENEGPPVALAEKGEEELKELAEVDRLKGQLVDTFYGTDRGLNATSETRAEVVELITQLEARNPNPAPTEALTLLNGKWILAYTSFAGLFPLLSRGTLPLVKVEEISQTIDSENLTVQNSVQFSGPLATTSISTNAKFEVRSPKRVQIKFEEGIIGTPKLTDSIELPENVEFLGQKIDLTPFRGIISSVQDTASSVAKTISSQPPLKFSISNRNAESWLLTTYLDDDLRISRGDAGSIFVLIKEGSPLLTP >Potri.015G041000.1.v4.1 pep chromosome:Pop_tri_v4:15:3757024:3759496:-1 gene:Potri.015G041000.v4.1 transcript:Potri.015G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MFT MAASVDPLVVGRVIGDVVDMFVPAVKMSVYYGSKHVSNGCDIKPSLSVDPPKVTISGHSDELYTLVMTDPDAPSPSEPRMREWVHWIVADIPGGTNPTRGKEILSYVGPRPPVGIHRYILVLFQQKMPLGSMVEPPQNRSHFNTRLYAAHLDLGLPVATVYFNAQKEPANKRR >Potri.011G094900.1.v4.1 pep chromosome:Pop_tri_v4:11:12236075:12240696:1 gene:Potri.011G094900.v4.1 transcript:Potri.011G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL2 MFQCVERFITSLLRCFDFDLYKSRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIEEKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Potri.014G035400.1.v4.1 pep chromosome:Pop_tri_v4:14:2229167:2229538:-1 gene:Potri.014G035400.v4.1 transcript:Potri.014G035400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035400.v4.1 MSMLLDQQPPPMSVTPQSFNTYSTHASIGPAIAVLVVIMVFGVLAVMVGRLCSGRRIMGYGQYDMESWAEVKCSSCIDGRISPPLSRSSVPATSSSASTPAQTQQETKQEEQSPQHPPENLDS >Potri.015G008200.2.v4.1 pep chromosome:Pop_tri_v4:15:491990:493502:1 gene:Potri.015G008200.v4.1 transcript:Potri.015G008200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008200.v4.1 MSAEQEQPMISNEAKKTRDQPNLTECQSCGLRTPSHKRLEILYSEWRIILLCTKCFNLVESSKICSYCFRKFSVKTKCLRCCQCKRVVHKSCFAKRKNVAPWSYSCYGDSGGFSVCIDCWVPKSVAIKRGKVCGVSKRNDTGVLGRSLEDVVKDAACTVQEKVESAVRARELAVRKALEARKAADVARKALDLVANNEGGKENNDNVDDIELAFQLHRAMNSSPRISSNLCLVNSSCLGVPMIGEGNGEMRIRNSELRNLGAFGKLDGFMSKSVDVGRRKSNGNDDGVIRPDAKKDRNVGMQQQEQSFFNKLINSRGNDCSVNSDFQSYREGNESLVPDDKGCKRKHDRYLLKYSRKRVLFKYSRRKVMLKYCRRKLDERLIPNGRPKFLYEGLLSRLGHPLQDNC >Potri.004G057700.1.v4.1 pep chromosome:Pop_tri_v4:4:4810140:4813836:1 gene:Potri.004G057700.v4.1 transcript:Potri.004G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057700.v4.1 MSSEMEDFKKSQMVHLILLLLVGLTSVSSSLPSEYSIVGNDFSELPPDESIIEIFQQWRDRHQKAYKHAEEAEKRFGNFKRNLKYIIEKTGKETTLRHRVGLNKFADLSNEEFKQLYLSKVKKPINKTRIDAEDRSRRNLQSCDAPSSLDWRKKGVVTAVKDQGDCGSCWSFSTTGAIEGINAIVTSDLISLSEQELVDCDTTNYGCERGYMDYAFEWVINNGGIDTEANYPYTGVDGTCNTAKEEIKVVSIDGYKDVDETDSALLCAAAQQPISVGIDGSAIDFQLYTGGIYDGDCSDDPDDIDHAVLIVGYGSENGEDYWIVKNSWGTSWGIEGYFYIKRNTDLPYGVCAINAMASYPTKEASAQSPTSPPSPPSPPPPPPPPPTPVPPPPSPQPSDCGDFSYCPSDETCCCILNVFDYCLVYGCCAYENAVCCADSVYCCPSDYPICDVEEGLCLKGQGDYLGVAASKRHMAKHKFPWTKLQERAKTDHRVLQWKRNPFAAMR >Potri.007G007000.1.v4.1 pep chromosome:Pop_tri_v4:7:481021:481951:1 gene:Potri.007G007000.v4.1 transcript:Potri.007G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007000.v4.1 MSHRKVYSQGSIPFSWEDSPGVSKVIHKEYTIDIGLNAVNISSPTSPILFPRDSDTSYKVLGSHGIKIPLPPCVSAKIEPPRRSKSVKGFRWWQEDPFLAAYKECTKNGRNGKLSSESKKNVRSKLRKSRLIFSCKDSCDVQDDNLVMLANLPAIPRDRVRGR >Potri.010G250500.1.v4.1 pep chromosome:Pop_tri_v4:10:22345737:22349125:-1 gene:Potri.010G250500.v4.1 transcript:Potri.010G250500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250500.v4.1 MSQVDGIDNLVASRIVLKTSIENSRALASAFDSTGQRLEGMKQRLPSLEAAVRHVPRQKCTFVAIREHIDRAIGPAAAVLKVYDIIQELQKSLLSHPCSDLSTYLLMVKQLEESLKFLADNCRLAIQWLEAILEFLEDNAVHDDLYILNVNKSLSILQELQATDKHARLGGGILCAALDKLEIEFKQILVENRICVVLDSFSSSIRNQASIAPSPLPVAVIQKLQAIVERLDADNRLEKCISTYVEVRCLNTMRSFQALDLDYLNQSFNEFDDVQDVECYVDQWCKHLQLAVKQVFETEYKLCSDVFEKNGPEVWMDCFAKIVTQSGILSFLRFGKKITGCKNDPVKLMKLLDIFSTLDNLRVDFNRLFGGSACIEIQTMTRDLLKGVVNGACEIFWELPIQVELQRRSSPPLDGSVPRLVSFVTDYCNHLLGDDYRPLLTQILTIQQSWKQEKYQEELVTNQIYYIIKQIGLNLDAWSKAHYDLTLSYLFMMNNHCHFCCLKGTNLGGLMGDSWLKAHEQYRDYYMTLYLRESWGKIFASLSQERGFAGDLVKKRLKSFNEEFDHMYQKQSNWVVPCEDLRLKMCKLVVQAYVPVYRSYLQDYGFQAETDASPSRHVKYTTQGLEAMLSSLFQPKLSKSGSTKHNRLIGKIKDIVTDNFRLTLMAV >Potri.012G129500.1.v4.1 pep chromosome:Pop_tri_v4:12:14479417:14484204:-1 gene:Potri.012G129500.v4.1 transcript:Potri.012G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129500.v4.1 MIYEVMEAKEGIAVSSGVTVKAEEAPDGFRVAPRNENSSPSPNPNPNPNQNNNHNPNPNSNSNSNSNPSPNPDPGQLGAPQVGASPVSAVGTDTAGKKKRGRPRKYAPDGTLALALSPMPISSSIPLTGDYYAWKRGRGRPLESVKKQHNYEYESTGDKIAYFVGTNFMPHVITVNAGEDVTMKVMSFSQQGARAICILSANGTISNVTLRQPTSSGGTLTYEGRFEILSLSGSFMPSENGGTKGRSGGMSVSLAGPDGRVVGGGLAGLLVAAGPVQVVVGSFLLGHQQESKHKKQRIEPALAVIPATIPATINVISPEEMKGSYGGVRPIAIPSPLHGDNPASLNPMQA >Potri.016G089400.1.v4.1 pep chromosome:Pop_tri_v4:16:7215008:7215148:1 gene:Potri.016G089400.v4.1 transcript:Potri.016G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089400.v4.1 MEKKIRDLSSWMEVAPALFISWRKTSNSPALETITEEEAEGREDDK >Potri.015G138400.1.v4.1 pep chromosome:Pop_tri_v4:15:14625726:14628744:-1 gene:Potri.015G138400.v4.1 transcript:Potri.015G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138400.v4.1 MSSLSIHPLIQLKTHHQFRAKKTRKSIAIHASSDNPQNQRQQQQQLNLSVLRFTFGIPGLDESYLPRWIGYGFGSLLILNHFLGSNPDTTQAQLRTEVLGLSLAAFSAALPYFGRFLKGATPVDQGTLPQDAEQIFAMSQNISDAQKEDLAWATYILLRNTNTIAVLISIQGELCVRGYWKTPDKMSKDEVLDWFKEQIENIGLSDVKDTLYFPQTTESEIWEMLPEGTRSLLVEPVLQATVQSGNKTENNEGFILLASSIGYAYSDKDRAWIRATGNKFRGKSTCSEL >Potri.001G062632.1.v4.1 pep chromosome:Pop_tri_v4:1:4826664:4827513:1 gene:Potri.001G062632.v4.1 transcript:Potri.001G062632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062632.v4.1 MIKGMLEWLNVKARNEGHVPDCSSVLLDVDDVDKEQWLWVHSERLALAYGLIRTPSICHVHIIKNLRICAGSHTAIK >Potri.002G137800.15.v4.1 pep chromosome:Pop_tri_v4:2:10343976:10351986:1 gene:Potri.002G137800.v4.1 transcript:Potri.002G137800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137800.v4.1 MNSSASKSATDAASESSSSSFAAETPDFNDHSNASPIPNREIDYQSPAAARSEEYRQLFRLPLEEVLVQHFNCAYQGSILLQGHMYLFVHYICFYSKIFGFETKKIIPFHEVTSVKRAKTAGIFPNAIEVFAGGKKYFFASFLSREEALNLIKDGWLQHGNGSNLIAEQQDLISVSSSLDNGPVVIEKVNSFKQTSELDSPDRQKATAPSPDSEFSPSVEKGSVSMIQIQVADELEQDVELVRNTDPSSSATLAWNVENFNAPQIRESFKEVGQTKFPIKVEEFFYLFFSDAAAKFVESFHSRCGDKDFRCSLWYIDDKSGHARDVSFQHPIKIYFGAKFGSCQEVQKFRVYRNSHLIIETSQEISDVRFGDCFRVEGLWDVTRDGDGSNEGCILRIYVNVVFSKKTIFKGKIVQSTVQECQETSAIWINHAHELLK >Potri.018G013400.1.v4.1 pep chromosome:Pop_tri_v4:18:884019:887976:-1 gene:Potri.018G013400.v4.1 transcript:Potri.018G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013400.v4.1 MTPLTSRLIQSRTSLSKLFTIAASRNGRYLSTDSNKVDEPFKVEEAETVNVPPPSTEKLLVLGGNGFVGSHICKEAVDRGLTVASLSRSGRPSIHDAWVNNVIWHQGSLLSSYSWTEALNGVTSVISCVGGFGSQSYMYKINGTANINAIRAASEKGVKRFVYISSADFGLANYLLQGYYEGKKAAETELLTKFAYGGVILRPGFIYGTRNVGSVKLPLGVIGSPLEMVLQHAKPLKQLPLVGPLFTPPVNVTAVAKVAVRAATDPVFPPGIIDVSGIQRYSQQGAA >Potri.001G216600.4.v4.1 pep chromosome:Pop_tri_v4:1:22422146:22426759:-1 gene:Potri.001G216600.v4.1 transcript:Potri.001G216600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216600.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDATQQQHQQQSPNMIFFNHSNTVNNSLSHGPPQNHHFVGIPLPVPSSNITSSVPDNHSRPSSLHGVVPSVHYNIWGSIDQNSVASAATASESSGAHDVMSSQVGFRRPVVVSPGRQGLSLSLSSQQAPAPPTPYSRAISNEHEIQALHPHVSVVSSGDEIRLSGNSPPSVSAVSNGVSCMQNMVLGSKYLRATQELLDEVANVGKDLIKSGIIARTKEKMKMTKESITGDGSDGSGEAVGETSAKRGADLTTAHRQELQMKKAKLVTMLDEVDQRYRQYHHQMQVVVSSFEQAAGYGAAKSYTALALQTISRQFRSLKDTIASQIRATSKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEREKDGSEESGGKNENKESGSHSSAPGESSTHHMDQLKGVVLQSKQPEKPTNQNGSPTRFSNPTISMSPMGASFQQQAGFTLIGPAEMEGIAQSSKKPRSGDMQNSPSSILSMDMDVKHGETSREIGVNFGGDRLTKDGYPLITGSNGSFGAYPMGDLGRFNIEQLTPRFSGNSVSLTLGLPHCENLSLSGTQQNYLSSQNIQLGGRRIEIGTSEPDFSGINTSQNSHSSSGFESVDIQNRKRFPAQLLPDFVA >Potri.001G216600.1.v4.1 pep chromosome:Pop_tri_v4:1:22421833:22426854:-1 gene:Potri.001G216600.v4.1 transcript:Potri.001G216600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216600.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDATQQQHQQQSPNMIFFNHSNTVNNSLSHGPPQNHHFVGIPLPVPSSNITSSVPDNHSRPSSLHGVVPSVHYNIWGSIDQNSVASAATASESSGAHDVMSSQVGFRRPVVVSPGRQGLSLSLSSQQAPAPPTPYSRAISNEHEIQALHPHVSVVSSGDEIRLSGNSPPSVSAVSNGVSCMQNMVLGSKYLRATQELLDEVANVGKDLIKSGIIARTKEKMKMTKESITGDGSDGSGEAVGETSAKRGADLTTAHRQELQMKKAKLVTMLDEVDQRYRQYHHQMQVVVSSFEQAAGYGAAKSYTALALQTISRQFRSLKDTIASQIRATSKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEREKDGSEESGGKNENKESGSHSSAPGESSTHHMDQLKGVVLQSKQPEKPTNQNGSPTRFSNPTISMSPMGASFQQQAGFTLIGPAEMEGIAQSSKKPRSGDMQNSPSSILSMDMDVKHGETSREIGVNFGGDRLTKDGYPLITGSNGSFGAYPMGDLGRFNIEQLTPRFSGNSVSLTLGLPHCENLSLSGTQQNYLSSQNIQLGGRRIEIGTSEPDFSGINTSQNSHSSSGFESVDIQNRKRFPAQLLPDFVA >Potri.001G216600.5.v4.1 pep chromosome:Pop_tri_v4:1:22422286:22426759:-1 gene:Potri.001G216600.v4.1 transcript:Potri.001G216600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216600.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDATQQQHQQQSPNMIFFNHSNTVNNSLSHGPPQNHHFVGIPLPVPSSNITSSVPDNHSRPSSLHGVVPSVHYNIWGSIDQNSVASAATASESSGAHDVMSSQVGFRRPVVVSPGRQGLSLSLSSQQAPAPPTPYSRAISNEHEIQALHPHVSVVSSGDEIRLSGNSPPSVSAVSNGVSCMQNMVLGSKYLRATQELLDEVANVGKDLIKSGIIARTKEKMKMTKESITGDGSDGSGEAVGETSAKRGADLTTAHRQELQMKKAKLVTMLDEVDQRYRQYHHQMQVVVSSFEQAAGYGAAKSYTALALQTISRQFRSLKDTIASQIRATSKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEREKDGSEESGGKNENKESGSHSSAPGESSTHHMDQLKGVVLQSKQPEKPTNQNGSPTRFSNPTISMSPMGASFQQQAGFTLIGPAEMEGIAQSSKKPRSGDMQNSPSSILSMDMDVKHGETSREIGVNFGGDRLTKDGYPLITGSNGSFGAYPMGDLGRFNIEQLTPRFSGNSVSLTLGLPHCENLSLSGTQQNYLSSQNIQLGGRRIEIGTSEPDFSGINTSQNSHSSSGFESVDIQNRKRFPAQLLPDFVA >Potri.001G216600.7.v4.1 pep chromosome:Pop_tri_v4:1:22422317:22426448:-1 gene:Potri.001G216600.v4.1 transcript:Potri.001G216600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216600.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDATQQQHQQQSPNMIFFNHSNTVNNSLSHGPPQNHHFVGIPLPVPSSNITSSVPDNHSRPSSLHGVVPSVHYNIWGSIDQNSVASAATASESSGAHDVMSSQVGFRRPVVVSPGRQGLSLSLSSQQAPAPPTPYSRAISNEHEIQALHPHVSVVSSGDEIRLSGNSPPSVSAVSNGVSCMQNMVLGSKYLRATQELLDEVANVGKDLIKSGIIARTKEKMKMTKESITGDGSDGSGEAVGETSAKRGADLTTAHRQELQMKKAKLVTMLDEVDQRYRQYHHQMQVVVSSFEQAAGYGAAKSYTALALQTISRQFRSLKDTIASQIRATSKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEREKDGSEESGGKNENKESGSHSSAPGESSTHHMDQLKGVVLQSKQPEKPTNQNGSPTRFSNPTISMSPMGASFQQQAGFTLIGPAEMEGIAQSSKKPRSGDMQNSPSSILSMDMDVKHGETSREIGVNFGGDRLTKDGYPLITGSNGSFGAYPMGDLGRFNIEQLTPRFSGNSVSLTLGLPHCENLSLSGTQQNYLSSQNIQLGGRRIEIGTSEPDFSGINTSQNSHSSSGFESVDIQNRKRFPAQLLPDFVA >Potri.001G216600.6.v4.1 pep chromosome:Pop_tri_v4:1:22422288:22426756:-1 gene:Potri.001G216600.v4.1 transcript:Potri.001G216600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216600.v4.1 MATYFHGSSEIQAAAAPSDGIQTLYLMNPNYLSSYSDATQQQHQQQSPNMIFFNHSNTVNNSLSHGPPQNHHFVGIPLPVPSSNITSSVPDNHSRPSSLHGVVPSVHYNIWGSIDQNSVASAATASESSGAHDVMSSQVGFRRPVVVSPGRQGLSLSLSSQQAPAPPTPYSRAISNEHEIQALHPHVSVVSSGDEIRLSGNSPPSVSAVSNGVSCMQNMVLGSKYLRATQELLDEVANVGKDLIKSGIIARTKEKMKMTKESITGDGSDGSGEAVGETSAKRGADLTTAHRQELQMKKAKLVTMLDEVDQRYRQYHHQMQVVVSSFEQAAGYGAAKSYTALALQTISRQFRSLKDTIASQIRATSKSLGEEDCIGAKVEGSRLRYVDHQLRQQRALQQLGMVQHNAWRPQRGLPERAVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYLEEIKEREKDGSEESGGKNENKESGSHSSAPGESSTHHMDQLKGVVLQSKQPEKPTNQNGSPTRFSNPTISMSPMGASFQQQAGFTLIGPAEMEGIAQSSKKPRSGDMQNSPSSILSMDMDVKHGETSREIGVNFGGDRLTKDGYPLITGSNGSFGAYPMGDLGRFNIEQLTPRFSGNSVSLTLGLPHCENLSLSGTQQNYLSSQNIQLGGRRIEIGTSEPDFSGINTSQNSHSSSGFESVDIQNRKRFPAQLLPDFVA >Potri.019G025866.1.v4.1 pep chromosome:Pop_tri_v4:19:3746974:3748031:1 gene:Potri.019G025866.v4.1 transcript:Potri.019G025866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025866.v4.1 MEIGLSVDPEGNGDDSAVLLSAEMRPWLVSGWKRRDEENRLVMFLAEGEEKKVCVQRGPVCLAESESNQREDGRSQNGGRFGLSLTKRGEVAGYGR >Potri.015G034500.4.v4.1 pep chromosome:Pop_tri_v4:15:2849253:2853458:-1 gene:Potri.015G034500.v4.1 transcript:Potri.015G034500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034500.v4.1 MSFQPRNDRRDNNNNRSSRFPTQKWVPRGANSSPAVDANTKPPSSSNSRCNGNGGGGAAHGWSGTAHHRYNKGGMAVNAPRGLVGRPRKGIERSEKTRELNDPNLPQLAQDIQEKLVKSTVECMICYDMVRRSVPIWSCSSCFSIFHLNCIKKWARAPTSVDLIAEKNQGFNWRCPGCQSVQLTTLNDIRYVCFCGKRRDPPSDLYLTPHSCGEPCGKPLEKEAPGADGSKEDLCPHNCVLQCHPGPCPPCKAFAPPRLCPCGKKIITTRCADRMSVVTCGHPCDKLLECWRHRCERICHVGPCDSCQVLVNASCFCKKKTEVVLCGDMAVKGEVKAEDGVFSCNSTCGKMLGCGNHMCDETCHPGLCGDCELMPARVRSCYCGKTSLQEERKSCLDPIPTCTQICGKSLPCGMHQCKGVCHSGDCAPCLVSVTQKCRCGSTSQIVECYKITSENEKFLCEKPCGRKKNCGRHRCSERCCPLSNINNQFSGDWDPHFCQMACGKKLRCGQHSCDDLCHSGHCPPCLETIFTDLTCACRRTSIPPPLPCGTPPPSCQLPCSVPQPCGHPASHSCHFGDCPSCLVPVAKECVGGHVILGNIPCGSRDIRCNKLCGKTRQCGLHACGRTCHSLPCDTSSGNETGTRASCGQTCGAPKRDCRHTCTALCHPHAPCPDVRCEFLVTISCSCGRMTASVPCDAGGSNGAYNDTVLEASILHKLPASLQPVESTGKKIPLGQRKLMCDDECAKLERKRVLADAFDITPPNLEALHFGENSAVTELIGDLYRRDPKWVLAVEERCKYLVLGKSRGTTSGLKIHVFCPMLKDKRDAVSLIAERWKLAIYSAGWEPKRFFVVHATSKSKPPPRVIGIKGTTTLSSHPPVFDVLVDMDPRLVVSFLDLPREADISSLVLRFGGECELVWLNDKNALAVFNDPARAATAMRRLDHGSLYHGASVVPQNTGASVASPANNAWAVAGTAMEGTVAALKGTSWKKAVVQETGCKKYSWSGEEWSDGGSADVQASAWKGKEAPIVASINRWSVLDSEKADSSSAASVKMEDPAKQVAGSLSSSGLESNASTSSASRQPAMQSGGVSREEDLSVVVDDWEKAYD >Potri.015G034500.5.v4.1 pep chromosome:Pop_tri_v4:15:2849393:2854160:-1 gene:Potri.015G034500.v4.1 transcript:Potri.015G034500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034500.v4.1 MSFQPRNDRRDNNNNRSSRFPTQKWVPRGANSSPAVDANTKPPSSSNSRCNGNGGGGAAHGWSGTAHHRYNKGGMAVNAPRGLVGRPRKGIERSEKTRELNDPNLPQLAQDIQEKLVKSTVECMICYDMVRRSVPIWSCSSCFSIFHLNCIKKWARAPTSVDLIAEKNQGFNWRCPGCQSVQLTTLNDIRYVCFCGKRRDPPSDLYLTPHSCGEPCGKPLEKEAPGADGSKEDLCPHNCVLQCHPGPCPPCKAFAPPRLCPCGKKIITTRCADRMSVVTCGHPCDKLLECWRHRCERICHVGPCDSCQVLVNASCFCKKKTEVVLCGDMAVKGEVKAEDGVFSCNSTCGKMLGCGNHMCDETCHPGLCGDCELMPARVRSCYCGKTSLQEERKSCLDPIPTCTQICGKSLPCGMHQCKGVCHSGDCAPCLVSVTQKCRCGSTSQIVECYKITSENEKFLCEKPCGRKKNCGRHRCSERCCPLSNINNQFSGDWDPHFCQMACGKKLRCGQHSCDDLCHSGHCPPCLETIFTDLTCACRRTSIPPPLPCGTPPPSCQLPCSVPQPCGHPASHSCHFGDCPSCLVPVAKECVGGHVILGNIPCGSRDIRCNKLCGKTRQCGLHACGRTCHSLPCDTSSGNETGTRASCGQTCGAPKRDCRHTCTALCHPHAPCPDVRCEFLVTISCSCGRMTASVPCDAGGSNGAYNDTVLEASILHKLPASLQPVESTGKKIPLGQRKLMCDDECAKLERKRVLADAFDITPPNLEALHFGENSAVTELIGDLYRRDPKWVLAVEERCKYLVLGKSRGTTSGLKIHVFCPMLKDKRDAVSLIAERWKLAIYSAGWEPKRFFVVHATSKSKPPPRVIGIKGTTTLSSHPPVFDVLVDMDPRLVVSFLDLPREADISSLVLRFGGECELVWLNDKNALAVFNDPARAATAMRRLDHGSLYHGASVVPQNTGASVASPANNAWAVAGTAMEGTVAALKGTSWKKAVVQETGCKKYSWSGEEWSDGGSADVQASAWKGKEAPIVASINRWSVLDSEKADSSSAASVKMEDPAKQVAGSLSSSGLESNASTSSASRQPAMQSGGVSREEDLSVVVDDWEKAYD >Potri.015G034500.6.v4.1 pep chromosome:Pop_tri_v4:15:2849391:2853280:-1 gene:Potri.015G034500.v4.1 transcript:Potri.015G034500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034500.v4.1 MSFQPRNDRRDNNNNRSSRFPTQKWVPRGANSSPAVDANTKPPSSSNSRCNGNGGGGAAHGWSGTAHHRYNKGGMAVNAPRGLVGRPRKGIERSEKTRELNDPNLPQLAQDIQEKLVKSTVECMICYDMVRRSVPIWSCSSCFSIFHLNCIKKWARAPTSVDLIAEKNQGFNWRCPGCQSVQLTTLNDIRYVCFCGKRRDPPSDLYLTPHSCGEPCGKPLEKEAPGADGSKEDLCPHNCVLQCHPGPCPPCKAFAPPRLCPCGKKIITTRCADRMSVVTCGHPCDKLLECWRHRCERICHVGPCDSCQVLVNASCFCKKKTEVVLCGDMAVKGEVKAEDGVFSCNSTCGKMLGCGNHMCDETCHPGLCGDCELMPARVRSCYCGKTSLQEERKSCLDPIPTCTQICGKSLPCGMHQCKGVCHSGDCAPCLVSVTQKCRCGSTSQIVECYKITSENEKFLCEKPCGRKKNCGRHRCSERCCPLSNINNQFSGDWDPHFCQMACGKKLRCGQHSCDDLCHSGHCPPCLETIFTDLTCACRRTSIPPPLPCGTPPPSCQLPCSVPQPCGHPASHSCHFGDCPSCLVPVAKECVGGHVILGNIPCGSRDIRCNKLCGKTRQCGLHACGRTCHSLPCDTSSGNETGTRASCGQTCGAPKRDCRHTCTALCHPHAPCPDVRCEFLVTISCSCGRMTASVPCDAGGSNGAYNDTVLEASILHKLPASLQPVESTGKKIPLGQRKLMCDDECAKLERKRVLADAFDITPPNLEALHFGENSAVTELIGDLYRRDPKWVLAVEERCKYLVLGKSRGTTSGLKIHVFCPMLKDKRDAVSLIAERWKLAIYSAGWEPKRFFVVHATSKSKPPPRVIGIKGTTTLSSHPPVFDVLVDMDPRLVVSFLDLPREADISSLVLRFGGECELVWLNDKNALAVFNDPARAATAMRRLDHGSLYHGASVVPQNTGASVASPANNAWAVAGTAMEGTVAALKGTSWKKAVVQETGCKKYSWSGEEWSDGGSADVQASAWKGKEAPIVASINRWSVLDSEKADSSSAASVKMEDPAKQVAGSLSSSGLESNASTSSASRQPAMQSGGVSREEDLSVVVDDWEKAYD >Potri.002G188200.2.v4.1 pep chromosome:Pop_tri_v4:2:15023605:15026846:-1 gene:Potri.002G188200.v4.1 transcript:Potri.002G188200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188200.v4.1 MEQRLSRRSACLPEASPTEFSRANKELRKLRGSMDLITYPRTKQKEIDGLRSMMAECPEDKDVLDMANEELIRAMEEEKRLQNLLLKSLLPKDDADERDYILEVGAGTGGEEASLFAMDIFKMRERFSQKNDWKFEAVDIMESDLKGYKEASAAISGADVFGKLKFESGIHRVQRVPVTEKSGCVHMSAVSFAILPQADEMLNSSMVHHHAVLYNWQLCSLVIIVFGSINTSHFYVQLRNEELRIGAYRSGGSGGQHANTTNSAVRITHLLTRKTVSVQDERSQHLPFKVLCAKLYEMERSSIQMSRSRLRSERASIICHRLLTGLAGLIFFLKKSNDVVLIKNKSQRVTTRFLTGSCRVARLTRLYKGESLDVFIDAFLLQQEMDLFIGRYI >Potri.011G072100.1.v4.1 pep chromosome:Pop_tri_v4:11:6476921:6479115:1 gene:Potri.011G072100.v4.1 transcript:Potri.011G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072100.v4.1 MADIDGTGSNGNHGGVVLDIKDDHPPTSSNLTKEDSDLYFSVPFMQKLVAEIVGTYFLIFAGCSSVAVNLNFEKVVTLPGISIVWGLAVMVLVYSLGHISGAHFNPAVTLAFATCKRFPWKQVPAYISCQVIGSTLAAGTIRLIFQGKQDHFTGTMPAGSDLQSFVVEFIITFYLMFIISGVATDNRAIGELAGLAVGSTVLLNVMFAGPISGASMNPARSLGPAMVSHEYRGIWIYVVSPILGAQAGAWVYNLIRYTDKPLREITKSASFLQSKGRF >Potri.006G124200.1.v4.1 pep chromosome:Pop_tri_v4:6:9915852:9921964:-1 gene:Potri.006G124200.v4.1 transcript:Potri.006G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G124200.v4.1 MVAGGAHLEDGDRHPSSASRRGGGGGGGGGGATTGSWVSGQSVSTSGSVGSPSSRSEHAMATPASDSTFLRLNHLDIHADDAATQDAAANKKKKRGQRAVGADKSGRGLRQFSIKVCEKVESKGTTTYNEVADELVAEFADPSNSVSTPDQQQYDEKNIRRRVYDALNVLMALDIISKDKKEIQWKGLPRTSLSDIEELKVERLGLRNRIEKKAAYLQELEEQFVGLQNLIQRNEQLYSSGNAPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERPQSDDMAPNPPADGGEGSSMSSMYQPQILASPSTNTPVRHPTSPPLPGIIKARVKNEH >Potri.003G182300.1.v4.1 pep chromosome:Pop_tri_v4:3:18771596:18775273:-1 gene:Potri.003G182300.v4.1 transcript:Potri.003G182300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182300.v4.1 MSGGPPRVRAMNSIREPENKEPEKPATKKKPTNEKQPPQETESNNKSKKKGGSGKFVVLRQKSMNASCSSYASSESSSPLSRASSSSSSWSSGRTAVRRNAVMVRKKQCGGGGENEVLVGDNSTDDFQLQIKKRCAWVTPTTDPSYATFHDEEWGVPVHDDKKLFELLSLSGALAELTWPLILNKRHIFREVFLDFDPIDVSKLNEKRIAMPGSPASSLLSELKLRSIIENARQICKVTDEFGSFDKYIWNFVNHKPIISQFRYSRQVPVKTPKAELISKDLVKRGFRSVSPTVIYSFMQVAGLTNDHLINCFRFQECTTKGEARVKDDYLEAKTEVKELEDPIDVGLSRAVDD >Potri.011G087000.1.v4.1 pep chromosome:Pop_tri_v4:11:10925642:10926721:-1 gene:Potri.011G087000.v4.1 transcript:Potri.011G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087000.v4.1 MSKLKRSQILMLSILAALLFVTPLISSSLRPKYLYFIMNLLIIALGVEAGLISAAFSKPVEQYKKHAVVPLTTKPARSFVMAPPDQYQASTTDQANEAPAKAKVLEKSSSENIVSTVVKVDHKVKKCPSLPSLFFIGGGDHGTKAVEDVTEEHEEEAEGEVGGISGQELFTKAETFIGNFYKQLKMQREESWKRIHGFYHKAF >Potri.012G116210.1.v4.1 pep chromosome:Pop_tri_v4:12:13444430:13451483:-1 gene:Potri.012G116210.v4.1 transcript:Potri.012G116210.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116210.v4.1 MKMEQGMQLIDGNGKFNVDGLKDFMTATEFAQSGLSYAIVAIIGSQSSGKSTLMNQTFHTNFEEMDAYNGRGQTTKGIWIAKCSDIDPFTIAMDFEGTDSNQRGEDDTAFEKQSTLFALAIADVVLINMWYKDIGLENAASRPLLKTVFQVMKRLFKPRKKTLLFVIRDHSKTPLEYLKTALLEDIEKIWAAVAEPETLSSAPLREFFNVEITALPSYEYQEENFKEQVAQLRQRFVHSTYPGGLVGDREEVEPASGFPLRAEEIWKIIKDNRDLDLPAVKVMVATVRCEEIAGEKLKCFTTDEDWLEMKEAVQAGPVSGFGGAVSSILETYLSEYDREVVYFDQEVRIEKRRQLLSNALMVVRDAYDTMLMHLYSNTVKSFKTSLEQSQNVAAIHLCSQSCMSMFDQGCEDAAIQQSEWNASKFREKLICDMVSEMMAKYKKQITHALAKRVESLLEAGERDTWASIGNLFECNTEAAVSEFSDAVVSFDLCSSAIDTKLQHLREHARNLVEMKAREAADAGRVLRRMKDRFSQVLSAYESSLSWYNWTGEINLDEVERKTLSESLRILSIMAAIRFDGMPDRIEKVLYSSLMDRTVPDPSLQKTFMGAKLDPLASDTWEEVSPEATTLLKPKDCKSLWMNFIEKIKPMMTGARSRQDGRRRTRSYAAAAAAAAAATGAGAAVVAVAGPAAVVDAGIVIFLRAMRL >Potri.006G100900.1.v4.1 pep chromosome:Pop_tri_v4:6:7703274:7706096:1 gene:Potri.006G100900.v4.1 transcript:Potri.006G100900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100900.v4.1 MEMTSSPRTVEEIFKDFSARRAAVVRALTYDVDGFYGLCDPDKENLCLYGHPNETWEVTLPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFSLINDLPTVFEVVTERKPVKEKPSVDSGSKSRGSIKRSSDGLTKSNPKLTEDSFEEEEDEHTQTLCGSCGGNYNSDEFWIGCDVCERWYHGKCVKITPAKAESIKQYKCPSCMKRSRP >Potri.018G062100.5.v4.1 pep chromosome:Pop_tri_v4:18:7231526:7234004:-1 gene:Potri.018G062100.v4.1 transcript:Potri.018G062100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062100.v4.1 MGRQPLIIVADPELCREIGIKKFKDIPNRSIPSPISASPLHQKGLFFTRDAIWSTMRNSILSVYQPSHLASLVPTMQSFIESATENFQSLKEEEITFSNLSLKLATDVIGQAAFGVDFGLSKPQSTSDSFNSFHSQGKDNTDVSEFIKQHIYSTTQLKMDLSGSFSIILGLLVPILQEPFRQILKRIPGTMDWKVDRTNKNISGRLEEIVRKKMEEKNKGSKDFLSLILRARESETLSKNAFTPDYISAVTYEHLLAGSATTAFTLSSVVYLIAQHPEVEKKLLAEIDGFGPHEQMPTAQDLQNEFPYLDQVVKEAMRFYVVSPLIARETSKEVEIGGYLLPKGTWIWLAPGVLAKDPKNFPEPDKFKPERFDPNCEEEKRRHPYALIPFGLGPRACIGQKFSIQEIKLSLIHLYRKYLFRHSPHMEKPLELDFGIVLNFRHGVKLRIVKRT >Potri.018G062100.1.v4.1 pep chromosome:Pop_tri_v4:18:7231526:7234356:-1 gene:Potri.018G062100.v4.1 transcript:Potri.018G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062100.v4.1 MSTDLQVLFTPMVTPLCTVLAMLLGLLGYLYGPYWGVRKVPGPPVIPLLGHLPLMAKHGPDVFTLLAKLYGPIFRFHMGRQPLIIVADPELCREIGIKKFKDIPNRSIPSPISASPLHQKGLFFTRDAIWSTMRNSILSVYQPSHLASLVPTMQSFIESATENFQSLKEEEITFSNLSLKLATDVIGQAAFGVDFGLSKPQSTSDSFNSFHSQGKDNTDVSEFIKQHIYSTTQLKMDLSGSFSIILGLLVPILQEPFRQILKRIPGTMDWKVDRTNKNISGRLEEIVRKKMEEKNKGSKDFLSLILRARESETLSKNAFTPDYISAVTYEHLLAGSATTAFTLSSVVYLIAQHPEVEKKLLAEIDGFGPHEQMPTAQDLQNEFPYLDQVVKEAMRFYVVSPLIARETSKEVEIGGYLLPKGTWIWLAPGVLAKDPKNFPEPDKFKPERFDPNCEEEKRRHPYALIPFGLGPRACIGQKFSIQEIKLSLIHLYRKYLFRHSPHMEKPLELDFGIVLNFRHGVKLRIVKRT >Potri.008G116000.1.v4.1 pep chromosome:Pop_tri_v4:8:7408857:7412120:1 gene:Potri.008G116000.v4.1 transcript:Potri.008G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G116000.v4.1 MCGLKEEDQEEQTIHNLQNYQEQLLFQYHQQMQQHHQQQSSDIYGGARGSGLIFPEVSPILPWPLPPAHSFNPDHFTSNHPVRDHDPFLIPPPIPSSYGGLFNRRSPSLQFAYDGTSSDHLRIISETLGPVVQPGSAPFGLQAELSNMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTSLIAETSPVPTEMDELTVDTADEDGKFVLKASLCCEDRSDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFIAGEEDSSSDSNDHQQQQQPLQYSISSIQEALKSVMEKTGGDESSSGSVKRQRTNINVLQQQHRSL >Potri.004G044900.1.v4.1 pep chromosome:Pop_tri_v4:4:3559626:3560854:-1 gene:Potri.004G044900.v4.1 transcript:Potri.004G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044900.v4.1 MGSKFWLVFLMLATALVVESTTLMDDADLWGLSTLGHDNNGFEFSTEMMMDSEINHRLLAQKTRYISYGALRANSVPCNRRGSSYYNCNKRQRANPYRRGCSTITRCRRYTH >Potri.006G069900.11.v4.1 pep chromosome:Pop_tri_v4:6:5117981:5120782:1 gene:Potri.006G069900.v4.1 transcript:Potri.006G069900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069900.v4.1 MMASAPLSTLCLLPSQNREVNGYVMNLRSSFYGKVIANQIHIKKETGSIRRDPFVAASVLGRRKTVVPEPDYRIPVVLLGLAGGLAYTNNLLPAAPAGLLGLLLLFQTTRVKFVFDDKALEVKVGEQLQESGENVFVGGKNRWKYSTFVNWELWWPNFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSKTSGPK >Potri.006G069900.2.v4.1 pep chromosome:Pop_tri_v4:6:5117862:5120876:1 gene:Potri.006G069900.v4.1 transcript:Potri.006G069900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069900.v4.1 MMASAPLSTLCLLPSQNREVNGYVMNLRSSFYGKVIANQIHIKKETGSIRRDPFVAASVLGRRKTVVPEPDYRIPVVLLGLAGGLAYTNNLLPAAPAGLLGLLLLFQTTRVKFVFDDKALEVKVGEQLQESGENVFVGGKNRWKYSTFVNWELWWPNFPILVYFKETQTKPEGQVHFFPVIFNGKQLYDVMVERAGPSKTSGPK >Potri.005G082600.1.v4.1 pep chromosome:Pop_tri_v4:5:5626969:5627808:1 gene:Potri.005G082600.v4.1 transcript:Potri.005G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082600.v4.1 MKYNPRVSSSRRKSRKAHFSAPSSLRRILMSAPLSTDLRQKYNVRSMPVRKDDEVQVVRGTYKGREGKVVQVYRRKWVIHIERITREKVNGSTVNVGINASKVVITKLRLDKDRKSLLDRKAKGRAVGDKEKGTKFTAEDIMQSVD >Potri.015G017100.3.v4.1 pep chromosome:Pop_tri_v4:15:1190141:1195097:-1 gene:Potri.015G017100.v4.1 transcript:Potri.015G017100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017100.v4.1 MAATAAIYGVGFCSFSDNLSTKKLIKRRGNGSIIGVRSICSRREVGTVVRCSSSSKATAPLESEGDGRRCVIEEEEEEGGGGGGGGGDVMRFKMSDFKVLDRVSIGLGGRADEVVFEAIVKDSNSPLHNIKVVLRKLVSTQAKRRGMRAIEVLKKLVRRKLMYHSYSMQVYGYISSPTSGRGSFTLVHGYHGSFSLRHWLQQSDWLPTLEATLALDEESVRRVGDDTVGGPAVSRQLRITRILMRDLLIGVNYLHSHGLAHTELRLENVHISPVDRHIKVGILGNAADFYEDGPNSSTLDMDRRQMMIAFDMRCVGFMMAKMVLRELMDPLNFLKFKSFLMKGNDPSCLREFLLQILSRNSPSGNVGLQILDRNWGAGWNLLSLLLATKPSKRISCLDALRHPFLCGPRWRVAPSMDIIRWGLGSTAVRITEEYIYKQPQRNRLSYFIELMEMLNAHSRPKNWLELLPGKWRLLYCTGRQIGLTLRQPSARVLIGDVYLTINRASKLNTSISFTSDIGFTVMNGRDWPHDKSGVTGKLEVNSLFRLTSGRRLYLKEEKTSEKLSFGQPNNQDSLAQKLSAKKWRKLVPYKEFPSSLPVAKLVAADIEVTMSLGDNLNQNDVTPSSIVQEIRVQVPPEMFDLSRLVCGTYVDSRLLVLRGVNGSALLFTRSMC >Potri.010G066700.2.v4.1 pep chromosome:Pop_tri_v4:10:9495539:9498342:1 gene:Potri.010G066700.v4.1 transcript:Potri.010G066700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066700.v4.1 MAAVTNEAAAAAASIEGSATETIEKPLPHKLERKWTFWFDNQSKPNQGAAWGTSLRKIFSFDTVEEFWCLYEQIFKPSKLPVNADFHLFRAGIEPKWEDPVCATGGKWSVTSSGKANLDTMWLETLMALIGEQFDEADEICGVVASVRRQRQDKLALWTKTAANEAVQMSIGRKWKEVIDVTNKITYSFHDDSKRERNAKSRYNV >Potri.001G370432.1.v4.1 pep chromosome:Pop_tri_v4:1:38834851:38835929:-1 gene:Potri.001G370432.v4.1 transcript:Potri.001G370432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370432.v4.1 MDDSQSQDKACWTREMLHAFCDICIKAIEQGMRPNTHFDKTGWKYVMNCFKDQTGHALTKAQLKNKWDGIKKDWRIWKNAELGTIAASDEWWKAKNHEIRGARKFRHVGIDPTLCSKYDIMFTNTVATGQQTNAVNEDPQLQEGSADSEEDSLPTFVADVNNMVAGVNFSNSTSNPTGSSGKRKGVQQSAGRGSHLFARLDKLVDSVFTKSECTSTVLDKKGCSIEEVMKEFHSIEEVVFGSELYCFATEFFMVRSRREMWAAIGDMDRKFQWLKLMFDRRATYRP >Potri.005G192466.1.v4.1 pep chromosome:Pop_tri_v4:5:20011941:20014090:-1 gene:Potri.005G192466.v4.1 transcript:Potri.005G192466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192466.v4.1 MMESSAHFSESVNQLNFLALWFLLWLSRPEFVLRGWLAGSLLNISQVVTLMTCAFSFDVVVQPFTTMIKSFR >Potri.010G021500.2.v4.1 pep chromosome:Pop_tri_v4:10:3147720:3159385:-1 gene:Potri.010G021500.v4.1 transcript:Potri.010G021500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G021500.v4.1 MGGCFPCFGSSNKEGSSGVGLVKELNNKDSLKEGSAGQSHHVGRVSSDKSKSRSGSDPKKEQSIPKDGPTANIAAQIFTFRELAAATKNFRPECLLGEGGFGRVYKGRIESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPGKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRDPGEHNLVAWARPLFKDRRKFPKMADPLLQGRYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAVNQSNRVGPSTPRNRDDRRGMADGLDSPDEHGRGGRNGSPSTYKNSPDYRKRDHVREFSTGAELGRSETGGSGRKWGLDDSDQQDSQRDSPVSTSRARETPRNRDLDRERAVAEAKVWGENWREKRRANAMGSFDGTNE >Potri.007G061841.1.v4.1 pep chromosome:Pop_tri_v4:7:7003194:7003640:-1 gene:Potri.007G061841.v4.1 transcript:Potri.007G061841.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MGSLDGEQKQLIKKLVNFRMKEGKRTRVRAIVYQTFHRPARTERDVIKLMVDAIENIKPICEVEKVGVAGTIYDVPGIVARDRQQTLAIRWILEAAFKRRISYRISLEKCSFAEILDAYRKRGIARKKRENLHGLASTNRSFAHFRWW >Potri.017G121901.1.v4.1 pep chromosome:Pop_tri_v4:17:12715054:12718826:1 gene:Potri.017G121901.v4.1 transcript:Potri.017G121901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121901.v4.1 MKKDQLVKLWMANGFIDPEGQMDLHETGYEIFDDLVGRSFFQEVKEDSFGNITCKMHDLVYDLAKSVMTGECYLIEKNRRPRIPKTVRHITFLDGSLCYYYDKALVKGKSLRSLITIQEDYFPNEHNSVAPLLKVSAQKKLRTLDLSNFEFEKLPEPIGNFQHLRYLDVSNSSIQKLPESISSLQNLQTLNLSYCSLLYMLPKRMKDMKSLMYLDLTGCDALQCMPSGMGQLTCLRKLGMFIVGTEAGHHIGELQRLNYIGGELSIKDLGNVQGVTDAQNAYLTRKTNLQSLSLSWREDSTSKISEDVLCALEPHSNMKKLEISGYRGSKFPYWMMELRLPNLVEISLESCMNCEHLPPFGKLQFLKSLQLKRMDAVKCIGSEMYGDGENPFPSLERLTFGQMMNLEEWETNTMGGSEIFRCLHELQIGKCPKLVELPIIPSVKELTIGGLQCNFAEVSCEFQFYDLPSN >Potri.007G073850.1.v4.1 pep chromosome:Pop_tri_v4:7:9604870:9607512:1 gene:Potri.007G073850.v4.1 transcript:Potri.007G073850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073850.v4.1 MAGGGFVAQSGGRNYEGGVTTFVIITCLVAAMGGLIFGYDIGISGGVTSMDSFLKKFFPSVYNKEKEERHDNMYCKFDSHLLQLFTSSLYLAALVASFFSSTVTRLFGRKISMLCGGLVFLVGAIINGAAKNVAMLIIGRLLLGVGVGFANQSVPIYLSEMAPAKIRGALNIGFQMAITIGILAANLINYGTSKIEDGYGWRISLALAAVPAVMIVVGSFFLPDTPNSILERGYPEKAKKMLQKIRGADNVEAEFQDLVDASEAAKKVEHPWKNILQPRYRPQLVICALIPFFQQITGINVIMFYAPVLFKTLGFGDDASLMSAVITGMVNVVCTAVSIYSADRFGRRILFLEGGIQMIISQILVAVMIAINFGTNGVGEMSGSTANFVLFLICAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFFTFFIGQFFLTMLCHFKFGLFLFFAGFVVIMTIFIYFFLPETKNVPIEEMNTVWKAHWFWSKYIPDDAVIGVETHTA >Potri.003G119100.1.v4.1 pep chromosome:Pop_tri_v4:3:14060958:14062664:-1 gene:Potri.003G119100.v4.1 transcript:Potri.003G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119100.v4.1 MMVTSSFVVPRVESLASSGIQSIPKEYIRPQEELSSIRDVFEEEKKVEGPQVPTIDLKEMESEDKVVREKCREELVKAATEWGVMHLVNHGIPDDLIDRVKKAGQAFFDLPIEEKEKHANDQASGNVQGYGSKLANNASGQLEWEDYFFHLIFPEDKRDFSIWPKTPSDYTEVTSEYARQLRSLATKILSVLSLGLGLEEGRLEKEVGGLEELLLQMKINYYPKCPQPDLALGVEAHSDVSALTFILHNMVPGLQLLYEGKWITAKCVPNSIIMHIGDTVEILSNGKYKSIIHRGLVNKEKVRISWAVFCEPPKAKIILKPLAEIVTEAEPPLFPPRTFSQHIEHKLFRKTQDSLLPRKAN >Potri.006G165300.5.v4.1 pep chromosome:Pop_tri_v4:6:16166187:16179391:1 gene:Potri.006G165300.v4.1 transcript:Potri.006G165300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165300.v4.1 MAVSMRDLDSAFQGAGQKAGLEIWRIENFRPVPVPKSSHGKFFMGDSYVILQTTALKSGSLRHDIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQKGGVASGFKHPEAEEHQTCLFVCTGKHVVHVNEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAETGEFWGFFGGFAPLPRKTASDEDKTDVSLSTKLFCVEKGQAEPVETDSLTREFLDTNKCYILDCGAEVFVWMGRNTPLDERKSASVAAEELVRAVERPKSRVVRVIEGFETVMFRSKFESWPQTTNVTVSEDGRGKVAALLRRQGVNVKGLLKTAPAKEEPQPYIDVTGNLQVWSVNGQEKVLIPAADQSKFYSGGCYIFQYSYPGEDREEYLIGTWFGKKSVKEERASAISLVSKMVESLKFLPAQARIYEGNEPIQFFSIFQSFLVFKGGHSSGYKNYIEENELPDETYKEEGIALFRVQGSGPDNMQALQVEPVASSLNSSYCYILHNDSSVFTWSGNLTSSEDQELIERQLDLIKPNMQSKPQKEGSEAEHFWDLLGGKSEYPSQKLAREGESDPHLFSCIFSKGNLKVSEIYNFTQDDLMTEDIFILDSHSEIFVWVGQQVDSKSKLQALTIGEKFLEHDFLLEKLSSETPIYIVMEGSEPPFFTRFFTWDSAKSLMHGNSFQRKLAIVKNGGTTLLDKPKRRTPVSHGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFSALAANFESPSARNLSTPPPVVRKVYPKSVSPDSAKLASNSSAIAALTASFEQPPPARQVIMPRSVKASPEAPKLTPESNSKENSMSSRIESLTIQEDVKEDEAEDEEGLPIYPYESLKVNSSDPATEIDVTKRETYLSAVEFREKFGMAKYAFYKLPKWKQNKLKMALQLF >Potri.006G165300.7.v4.1 pep chromosome:Pop_tri_v4:6:16166546:16179382:1 gene:Potri.006G165300.v4.1 transcript:Potri.006G165300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165300.v4.1 MAVSMRDLDSAFQGAGQKAGLEIWRIENFRPVPVPKSSHGKFFMGDSYVILQTTALKSGSLRHDIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQKGGVASGFKHPEAEEHQTCLFVCTGKHVVHVNEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAETGEFWGFFGGFAPLPRKTASDEDKTDVSLSTKLFCVEKGQAEPVETDSLTREFLDTNKCYILDCGAEVFVWMGRNTPLDERKSASVAAEELVRAVERPKSRVVRVIEGFETVMFRSKFESWPQTTNVTVSEDGRGKVAALLRRQGVNVKGLLKTAPAKEEPQPYIDVTGNLQVWSVNGQEKVLIPAADQSKFYSGGCYIFQYSYPGEDREEYLIGTWFGKKSVKEERASAISLVSKMVESLKFLPAQARIYEGNEPIQFFSIFQSFLVFKGGHSSGYKNYIEENELPDETYKEEGIALFRVQGSGPDNMQALQVEPVASSLNSSYCYILHNDSSVFTWSGNLTSSEDQELIERQLDLIKPNMQSKPQKEGSEAEHFWDLLGGKSEYPSQKLAREGESDPHLFSCIFSKGNLKVSEIYNFTQDDLMTEDIFILDSHSEIFVWVGQQVDSKSKLQALTIGEKFLEHDFLLEKLSSETPIYIVMEGSEPPFFTRFFTWDSAKSLMHGNSFQRKLAIVKNGGTTLLDKPKRRTPVSHGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFSALAANFESPSARNLSTPPPVVRKVYPKSVSPDSAKLASNSSAIAALTASFEQPPPARQVIMPRSVKASPEAPKLTPESNSKENSMSSRIESLTIQEDVKEDEAEDEEGLPIYPYESLKVNSSDPATEIDVTKRETYLSAVEFREKFGMAKYAFYKLPKWKQNKLKMALQLF >Potri.006G165300.6.v4.1 pep chromosome:Pop_tri_v4:6:16165894:16179395:1 gene:Potri.006G165300.v4.1 transcript:Potri.006G165300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165300.v4.1 MAVSMRDLDSAFQGAGQKAGLEIWRIENFRPVPVPKSSHGKFFMGDSYVILQTTALKSGSLRHDIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQKGGVASGFKHPEAEEHQTCLFVCTGKHVVHVNEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAETGEFWGFFGGFAPLPRKTASDEDKTDVSLSTKLFCVEKGQAEPVETDSLTREFLDTNKCYILDCGAEVFVWMGRNTPLDERKSASVAAEELVRAVERPKSRVVRVIEGFETVMFRSKFESWPQTTNVTVSEDGRGKVAALLRRQGVNVKGLLKTAPAKEEPQPYIDVTGNLQVWSVNGQEKVLIPAADQSKFYSGGCYIFQYSYPGEDREEYLIGTWFGKKSVKEERASAISLVSKMVESLKFLPAQARIYEGNEPIQFFSIFQSFLVFKGGHSSGYKNYIEENELPDETYKEEGIALFRVQGSGPDNMQALQVEPVASSLNSSYCYILHNDSSVFTWSGNLTSSEDQELIERQLDLIKPNMQSKPQKEGSEAEHFWDLLGGKSEYPSQKLAREGESDPHLFSCIFSKGNLKVSEIYNFTQDDLMTEDIFILDSHSEIFVWVGQQVDSKSKLQALTIGEKFLEHDFLLEKLSSETPIYIVMEGSEPPFFTRFFTWDSAKSLMHGNSFQRKLAIVKNGGTTLLDKPKRRTPVSHGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFSALAANFESPSARNLSTPPPVVRKVYPKSVSPDSAKLASNSSAIAALTASFEQPPPARQVIMPRSVKASPEAPKLTPESNSKENSMSSRIESLTIQEDVKEDEAEDEEGLPIYPYESLKVNSSDPATEIDVTKRETYLSAVEFREKFGMAKYAFYKLPKWKQNKLKMALQLF >Potri.006G165300.8.v4.1 pep chromosome:Pop_tri_v4:6:16169119:16179381:1 gene:Potri.006G165300.v4.1 transcript:Potri.006G165300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165300.v4.1 MAVSMRDLDSAFQGAGQKAGLEIWRIENFRPVPVPKSSHGKFFMGDSYVILQTTALKSGSLRHDIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQKGGVASGFKHPEAEEHQTCLFVCTGKHVVHVNEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAETGEFWGFFGGFAPLPRKTASDEDKTDVSLSTKLFCVEKGQAEPVETDSLTREFLDTNKCYILDCGAEVFVWMGRNTPLDERKSASVAAEELVRAVERPKSRVVRVIEGFETVMFRSKFESWPQTTNVTVSEDGRGKVAALLRRQGVNVKGLLKTAPAKEEPQPYIDVTGNLQVWSVNGQEKVLIPAADQSKFYSGGCYIFQYSYPGEDREEYLIGTWFGKKSVKEERASAISLVSKMVESLKFLPAQARIYEGNEPIQFFSIFQSFLVFKGGHSSGYKNYIEENELPDETYKEEGIALFRVQGSGPDNMQALQVEPVASSLNSSYCYILHNDSSVFTWSGNLTSSEDQELIERQLDLIKPNMQSKPQKEGSEAEHFWDLLGGKSEYPSQKLAREGESDPHLFSCIFSKGNLKVSEIYNFTQDDLMTEDIFILDSHSEIFVWVGQQVDSKSKLQALTIGEKFLEHDFLLEKLSSETPIYIVMEGSEPPFFTRFFTWDSAKSLMHGNSFQRKLAIVKNGGTTLLDKPKRRTPVSHGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFSALAANFESPSARNLSTPPPVVRKVYPKSVSPDSAKLASNSSAIAALTASFEQPPPARQVIMPRSVKASPEAPKLTPESNSKENSMSSRIESLTIQEDVKEDEAEDEEGLPIYPYESLKVNSSDPATEIDVTKRETYLSAVEFREKFGMAKYAFYKLPKWKQNKLKMALQLF >Potri.016G063300.1.v4.1 pep chromosome:Pop_tri_v4:16:4423958:4428477:1 gene:Potri.016G063300.v4.1 transcript:Potri.016G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063300.v4.1 MSMSVFKTMKLVPLTVKTLHHRIIRNTVMGPRRQPLIINRSYSTTPTGRTKKPWTDAMNVLYNRISSLADQRITISLFLNHWVLEGQPVNKNQLREFIKELRFHKRYAHALEISTWMTDSGYFELASQDVAVQLDLISKVHGIEQAQKLFNNTPQHLKVLKVYSALLNCYAKAKLVEKAESVVQEMKSLGFANTLLVYNVILNFYYQTGNPDKINSLMQEMEQNGIGCDKFAHSIQLSAYASVSDIVGIEKTLAKMESDPNVFLDWTSYTAAAKGYIKVGLVDKALEMLEKSERLVTGKRRGTAYDSLITLYAATGKTNEVLRIWELYKKNEKVYKEAYISIITSLLKLDDFENAEKIFEEWEFQNHSCYDIHIPNFLIDAYSRKGLVEKAETLIDRAISKGGEPNAKTWYHLATGYLQNGQTLKAVEAMKKAVVVSGRMWKPSNEILANCLGYLKVEGDLGKLTNFMDLLRDNDIISLDIQERLLNHIKNAKSSSDVLGALNNN >Potri.016G029700.5.v4.1 pep chromosome:Pop_tri_v4:16:1662255:1666849:-1 gene:Potri.016G029700.v4.1 transcript:Potri.016G029700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029700.v4.1 MVPNPVSLTRKTPLLYCFAGFSCKPTSHSSQRTQLSLNPVQITGFRACSSSTLFSNSLNYSHGAVFKDLDGTRKGYRQLGVDQSRLLAVSDGGSGGSGGVGGSAGGGGEGSGASSGSGGNSNWSFLSWYLNLLANYPVLTKAVTSAILTLMGDLICQLVIDQVPSLDLKRTFLFTLLGLVLVGPTLHIWYLYLSKMVTVPGASGAFLRLLADQFVFSPIFIGVFLSTLVTLEGRPSQVIPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANVIALVWNVILSFKAHKEVLPK >Potri.016G029700.6.v4.1 pep chromosome:Pop_tri_v4:16:1663008:1666815:-1 gene:Potri.016G029700.v4.1 transcript:Potri.016G029700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029700.v4.1 MVPNPVSLTRKTPLLYCFAGFSCKPTSHSSQRTQLSLNPVQITGFRACSSSTLFSNSLNYSHGAVFKDLDGTRKGYRQLGVDQSRLLAVSDGGSGGSGGVGGSAGGGGEGSGASSGSGGNSNWSFLSWYLNLLANYPVLTKAVTSAILTLMGDLICQLVIDQVPSLDLKRTFLFTLLGLVLVGPTLHIWYLYLSKMVTVPGASGAFLRLLADQFVFSPIFIGVFLSTLVTLEGRPSQVIPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANVIALVWNVILSFKAHKEVLPK >Potri.007G126501.1.v4.1 pep chromosome:Pop_tri_v4:7:14187665:14195880:-1 gene:Potri.007G126501.v4.1 transcript:Potri.007G126501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126501.v4.1 MEEVCEGKDFSFPSQEENIISFWSEIKAFETQLERTKDLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVENEIDKKLGIKRRDEVLKLGIDKYNEECRGIVTRYVEEWEKVVVRVGRWIDFKNDYKTMDLKFMESVWWVFGKLFEKGLVYQGFKVMPYSTGCKTVLSNFEVQQNYKDVPDPEIMVSFPIVDDLHNASFVAWTTTPWTLPSNLALCVNGNFDYIKVRNRYTGKVYIVAECRLSALPIEKPKSTASGSAGDSKTSNSKIKCGKAENLMDSYELLEKVKGNELVNKKYEPLFNYFSEFSDTAFRVVADDYVTDDSGTGIVHCAPAFGEEDYRVCIENKILSKENLIVAVDDDGCFIGKITDFSGRYVKDADKDIIEAVKAKGRLVKSGSFMHSYPFCWRSDTPLIYRAVPSWFIRVEELKEQLLENNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWVTPLPVWISDDGEEVIVMDSIAKLEKLSGVKVFDLHRHNIDHITIPSSRGPEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMDVINDYGADALRLYLINSPVVRAETLRFKKSKTCSFLGTMLIGSLYRMQRGSRLKGLHHLLLLIQQLFKIHPMCLISGSTQLLRVLFILFVRK >Potri.007G126501.2.v4.1 pep chromosome:Pop_tri_v4:7:14182538:14195919:-1 gene:Potri.007G126501.v4.1 transcript:Potri.007G126501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126501.v4.1 MEEVCEGKDFSFPSQEENIISFWSEIKAFETQLERTKDLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVENEIDKKLGIKRRDEVLKLGIDKYNEECRGIVTRYVEEWEKVVVRVGRWIDFKNDYKTMDLKFMESVWWVFGKLFEKGLVYQGFKVMPYSTGCKTVLSNFEVQQNYKDVPDPEIMVSFPIVDDLHNASFVAWTTTPWTLPSNLALCVNGNFDYIKVRNRYTGKVYIVAECRLSALPIEKPKSTASGSAGDSKTSNSKIKCGKAENLMDSYELLEKVKGNELVNKKYEPLFNYFSEFSDTAFRVVADDYVTDDSGTGIVHCAPAFGEEDYRVCIENKILSKENLIVAVDDDGCFIGKITDFSGRYVKDADKDIIEAVKAKGRLVKSGSFMHSYPFCWRSDTPLIYRAVPSWFIRVEELKEQLLENNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWVTPLPVWISDDGEEVIVMDSIAKLEKLSGVKVFDLHRHNIDHITIPSSRGPEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMDVINDYGADALRLYLINSPVVRAETLRFKK >Potri.014G036000.1.v4.1 pep chromosome:Pop_tri_v4:14:2265874:2269657:-1 gene:Potri.014G036000.v4.1 transcript:Potri.014G036000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036000.v4.1 MDLRDSIGNQTDVALSLSKQILLTESNNSNLVFSPLSIEVLLSLIASGSKGSTLEQLLSFLKSKSSDHLSSFSSQLLAVVLTDGSASGGPQLKFANGVWVDNSLSLKPSFKQVVGSTYKAATNQVDFQTKAVEVTNEVNTWAENETNGLIKEVLPSGSVDRTTRLILTNALYFKGAWNEKFDASTTKDDDFYLLNGGSVRVPFMTSKKKQFVCDFDGFKVLALPYKQGEDKRKFSMYFYLPDAKDGLQALVEKMVSESGFLDRHLPPKQVKVDDFRIPRFKISFGFEASNALKGLGLVSPFSNEADLTEMVDSSAGQGLCVSSIFHKSFIEVNEEGTEAAAASAGVIALRGIDIPTKIDFVADHPFLFLIREDMTGVVMFVGHVLDPSQAN >Potri.006G249200.2.v4.1 pep chromosome:Pop_tri_v4:6:24879637:24881930:1 gene:Potri.006G249200.v4.1 transcript:Potri.006G249200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249200.v4.1 MSESNQTKPLIQPSSLRKLPDFKQSVKLKYVKLGYHYLITHGMFLFLSPIAVVIAAQLSTFSIQDLHDLWYHLKFNLISVILCSTLLVFLLTLYFLTRPRPVYLVNFSCYKPDDSRECTRKIFMDRSKLTGVFTEESMEFQRKILERSGLGESTYLPEAVLRVPPNPCMAEARKEAEAVMFGAVDELLKKTSVKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNILSYNLGGMGCSAGLISIDLAKHLLQAHPNSYALVISMENITLNWYFGNDRSMLLTNCLFRMGGAAVLLSNKRSDWWRSKYQLVHTVRTNKGADDKCFSCVTQQEDSTGKVGVSLSKDLMAVAGNTLKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKLKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWMDEIHQFPVDVPKFSAIQ >Potri.006G249200.1.v4.1 pep chromosome:Pop_tri_v4:6:24879550:24882184:1 gene:Potri.006G249200.v4.1 transcript:Potri.006G249200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249200.v4.1 MSESNQTKPLIQPSSLRKLPDFKQSVKLKYVKLGYHYLITHGMFLFLSPIAVVIAAQLSTFSIQDLHDLWYHLKFNLISVILCSTLLVFLLTLYFLTRPRPVYLVNFSCYKPDDSRECTRKIFMDRSKLTGVFTEESMEFQRKILERSGLGESTYLPEAVLRVPPNPCMAEARKEAEAVMFGAVDELLKKTSVKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNILSYNLGGMGCSAGLISIDLAKHLLQAHPNSYALVISMENITLNWYFGNDRSMLLTNCLFRMGGAAVLLSNKRSDWWRSKYQLVHTVRTNKGADDKCFSCVTQQEDSTGKVGVSLSKDLMAVAGNTLKTNITTLGPLVLPMSEQLLFFATLVGKKLFKMKLKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLQLSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWMDEIHQFPVDVPKFSAIQ >Potri.006G182000.4.v4.1 pep chromosome:Pop_tri_v4:6:18865161:18869879:-1 gene:Potri.006G182000.v4.1 transcript:Potri.006G182000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182000.v4.1 MAGITSTSGSSCFPLLTRITATKTTPLVITSHYGGPRSYGDSRRPRKSDSDEDQALDKSRISSATVRLIDQQQNMVGVVSGREAIQMAEDAELDLLSPDADPPVVRIMDYNKFRYEPQKKKREQQKKSAGECLFYFRELKMGYNIDQHDYDVRLRAAQNFLKDGDKVKVIVNLNWRENEFRNIAIELIRRFQNFVGELATEESKNFHDRNIFISLVPNKAILQKALEPPKKKDKSAANEVSAVCHFIGHIPRQVVYCSKETG >Potri.009G085900.1.v4.1 pep chromosome:Pop_tri_v4:9:8044677:8045827:-1 gene:Potri.009G085900.v4.1 transcript:Potri.009G085900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085900.v4.1 MGGTGTRSEAKKRSKLVVILLAPFTVLRKAGNFYMKFVADCSDMVGNDYNGMVGGPTAQMSTLPKSFSSNSMRESSIGYSASGRRSGPLQSASGRRSGPLQSASGRRGGVISESASGRRSHEMQPDMHYREAGVMRRESTMRYSNGMAMRSYSVGIGKIGKIEEEKPCSFREDDEDDKVDVFPRSRSHAVTRNKGAYY >Potri.010G022300.1.v4.1 pep chromosome:Pop_tri_v4:10:3268742:3274477:-1 gene:Potri.010G022300.v4.1 transcript:Potri.010G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022300.v4.1 MYSGSSDGESHEAAQRRIPPASSMLWVRNLRRFIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEGGTIPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLQDMPAAFIQMYCRIAAHKFFFFCDPHRRGKACIKKVLLSNCLQELMELHQESEEEVTDSEQAENWFSLTSAQRICDMFLALDKDMNGTLSKQELGEYADGTLTGIFIERVYDEHVRRGKGGGGNTREMDFESFLDFVLALENKDTPEGLTYLFRCLDLSGRGFLITPDIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLRITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >Potri.019G066000.1.v4.1 pep chromosome:Pop_tri_v4:19:10571730:10574737:-1 gene:Potri.019G066000.v4.1 transcript:Potri.019G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066000.v4.1 MVMATSSGGVPPGFRFHPTDEELLHYYLKKKVSFQKFDMEVIREVDLNKMEPWELQERCKIGSAPQNEWYLFCHKDRKYPTGSRTNRATNAGFWKATGRDKCIRNSYKKIGMRKTLVFYGGRAPHGQKTDWIMHEYRLEDGDDAQGNLGEDGWVVCRVFKKKNLFKVSGEGGTTSMNSSDQQLHSSSTNQSRTFMHRDSQYSLRQNHNHGNIQQPFVLSKAELELHYPHMAAPHQYSLFQSQTLMPPNKSLGYDHYSGLPKEPPVMVKQLMSGARDCESGSESLRYHACEPGLEVCTCEAPQQMVAGREDHQGLNEWAMLDRIVTSHLGNEDSAKGVRFDDASNAPSAHAINQLPLRGEMMDFWGYGK >Potri.004G099500.4.v4.1 pep chromosome:Pop_tri_v4:4:8597268:8600783:-1 gene:Potri.004G099500.v4.1 transcript:Potri.004G099500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099500.v4.1 MLFLIVLLLDITGLTSSQIASSVFTLGTAAVLPFYTLMVVAPKAEVTRKSMESSIPYIVLGLLYAFLLYLSWTPDTMRLMFASQYWLPELPGIAKMFSNEMTLASAWIHLLAVDLFAARQVFNDGLENEVETRHSVSLCLLFCPIGIATHCITKALTKGSGTTTHDM >Potri.004G099500.2.v4.1 pep chromosome:Pop_tri_v4:4:8596770:8600781:-1 gene:Potri.004G099500.v4.1 transcript:Potri.004G099500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099500.v4.1 MAFSSCFCYPQFSLKNDCSGLTIRSHHIVGKDRKLFSSLRSNSIELFGQRVARVGADLHRDWNFLGGSRIIVHPKLTNFLVYRKSSGVNASWLTSSQIASSVFTLGTAAVLPFYTLMVVAPKAEVTRKSMESSIPYIVLGLLYAFLLYLSWTPDTMRLMFASQYWLPELPGIAKMFSNEMTLASAWIHLLAVDLFAARQVFNDGLENEVETRHSVSLCLLFCPIGIATHCITKALTKGSGTTTHDM >Potri.015G028101.2.v4.1 pep chromosome:Pop_tri_v4:15:2134757:2141974:1 gene:Potri.015G028101.v4.1 transcript:Potri.015G028101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028101.v4.1 MAVSNLNLTPTILSSSSCRCCYCHFNQKSDCSLLCLGFVRKTISVSRVLRKPDFESSNKLIFNATTDTRIVHNVAATTKATSTATVEIPVTCYQLVGVPDKAEKDEIVRSVMQLKNAEVEEGYTMDAVMSRQDLLMDVRDKLLFEPEYAGNVRDKIPPKSSLRIPLAWLPGALCLLQEVGEEKLVLDIGQAALQHPDAKPYVHDVLLSMALAECAIAKIGFERNKVSFGFEALARAQCLLRSKISLGKMALLSQIEESLEELAPACTLELLGTPHSPENAERRRGAIAALRELLRQGLDLETSCRVQDWPCFLSQALNRLMATEIVDLLPWDDLVLIRKNKKSLESQNQRVVIDFNCFYVVLLAHIALGFSSKQTELINKAKTICECLIASESIDLKFEEAFCLFLLGQGNQDQAVEKLQQLQSISNPAAQNLVPGKEIKDVSGVKPSLETWLKDSVLLVFSDTRDCSPSLVNFFGGEKRVIGSKKSRVPAQATPTMSHRPLSDIAMKRMDSGESRRYMNSSQHFRSAVKQLSPTDLQSSLILTENGSGSNSNEPSVQLKREIGAHNRRTWESWLQHADVVRKISFVAVLGCIVFITFKMSGMGLRRIRVASNLMSDRTSIGTSSLAWKTDSFLDRNVHPVYIRGSGITGRMRKLLSMLKMQYGNQLDTKKLQSSRLAASISPSMETVSRKQMPVEEAEALVNHWQAIKAEALGPWYQVHSLSEVLDESMLAQWQDLAEAAKAQSCYWRFVLLQLSILRADIFSDGYGLEIAEIEVLLEEAAELVDESQQKNPNYYSTYKTLYVLKRQDDGSWRFCESDIQTSS >Potri.003G157100.4.v4.1 pep chromosome:Pop_tri_v4:3:16809389:16810867:1 gene:Potri.003G157100.v4.1 transcript:Potri.003G157100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157100.v4.1 MGYWKSKVLPKIKKVFEKDSAKKAAGAEACKTFDESKEEISKEFEEKKTELEPKVIEIYEASSAEIKTLVKDPKEAGLKKQSTSVQKFLDELVKIEFPGSKLVSETSSKYGPAYVSGPIFFVFEKVSTFIPVEEKAVEAPAPAPAPETKTEEATTSTEKEIVVEEEKKEEAVVAEASEKTEPPPAVAETPAKVEEAEPPKP >Potri.003G157100.3.v4.1 pep chromosome:Pop_tri_v4:3:16809394:16810855:1 gene:Potri.003G157100.v4.1 transcript:Potri.003G157100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157100.v4.1 MGYWKSKVLPKIKKVFEKDSAKKAAGAEACKTFDESKEEISKEFEEKKTELEPKVIEIYEASSAEIKTLVKDPKEAGLKKQSTSVQKFLDELVKIEFPGSKLVSETSSKYGPAYVSGPIFFVFEKVSTFIPVEEKAVEAPAPAPAPETKTEEATTSTEKEIVVEEEKKEEAVVAEASEKTEPPPAVAETPAKVEEAEPPKP >Potri.001G239650.1.v4.1 pep chromosome:Pop_tri_v4:1:25746738:25747628:1 gene:Potri.001G239650.v4.1 transcript:Potri.001G239650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239650.v4.1 MSCCGGKCGCGSGCNCGSGCNGCGMYPDLGFSETSTTQTIIAGVAPVKMFHEGAEMNFGAENDCKCGSNGTCDPCSCK >Potri.003G165000.1.v4.1 pep chromosome:Pop_tri_v4:3:17425368:17427667:-1 gene:Potri.003G165000.v4.1 transcript:Potri.003G165000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165000.v4.1 MKMSRGTVELDFFGMSKENRSSSSKPKCFNRQRSFRDIQSAISKINPELLKSVIASGSASNKATPANGNQLSNKAFSVPSTPKQDLPPFPALPVYFPLPRLNLENPPETAPLTIFYNGTVAVFDVPRDKAENILKLAEKGFSKTVVESVADPRTDHQQKLLESLDGDLPIARRKSLQRFLEKRKERLTSATPYACTPKTRF >Potri.018G145528.1.v4.1 pep chromosome:Pop_tri_v4:18:15256791:15258384:1 gene:Potri.018G145528.v4.1 transcript:Potri.018G145528.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145528.v4.1 MENSSGRLVQPGTSASSTKLVGRAFEQDMKVIRSWLMDDEVSTIGIYGMGGVGKTTMLQHIRNELLERRDISHSVYWVNVPQGFKIEELQDLITKYLNLDLSSKDDDLSRVVKLAKELANKQKWILILDDLWNSFEPQEVGIPIPLKGSNLIMTTRSEMVCRQMNSRNNIKVDTLSDEESWTLFTEKLGHDKPLSPEVERIAVDVARECAGLPLGIVTLAESLKGVDDLHEWRITLKRLKESNFWHMEDQMFQILRLSYDCLDNSAQQCFVYCALFDEHHKIERGVLIESFIEEGIIKEINRQATLDKGHSILDRLENVNLLERIDGGSAIKMHDLLRDMAIQILDEYSLVMG >Potri.015G059100.1.v4.1 pep chromosome:Pop_tri_v4:15:8236770:8238764:1 gene:Potri.015G059100.v4.1 transcript:Potri.015G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059100.v4.1 MGRGVSSGGGQSSLGYLFGGGEAPAPPVPKPTNTNAETPQSSRLPVANEPAEVSKQIPAGVPAKPNTTNNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLDFLFGGGGAGAGAGAGAGGAGGGK >Potri.015G059100.2.v4.1 pep chromosome:Pop_tri_v4:15:8236836:8238833:1 gene:Potri.015G059100.v4.1 transcript:Potri.015G059100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059100.v4.1 MGRGVSSGGGQSSLGYLFGGGEAPAPPVPKPTNTNAETPQSSRLPVANEPAEVSKQIPAGVPAKPNTTNNYFRADGQNCGNFLTDRPTTKVHAAPGGGSSLDFLFGGGGAGAGAGAGAGGAGGGK >Potri.019G015768.1.v4.1 pep chromosome:Pop_tri_v4:19:247673:252714:1 gene:Potri.019G015768.v4.1 transcript:Potri.019G015768.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G015768.v4.1 MQETLLATLSENPKIKAKNSKDVSLLVPSPCHLVTTRTRSQPNYRRVTPTRDATTTTINSRIDTGCSLVEKVLPNGDIYTGGLVDGVPHGKGKYLWCDGCMYEGEWKRGKANGGGKFSWPSGATYEGQFKLGRMDGYGTFIGVDGEVYSGNWVSDKKHGFGEKRYANGDVYQGLWKFNLQDGEGKYRWCNGNEYVGEWKHGVISGKGVLVWANGKRYEGYWENGVPKGKGMFTFGSGNVNGRVVGGGGEDFKGVALDPVVGAGGGRKRSSVDGNFPRICIWELDGEAGDITCDIVDNVEASMFYRDGNDDNGGGCEGNVQQQLQPGRSPCSSVDGEVNKKQGQTISKGHKNYDLMLNLQLGIRHSVGKHDAIMRELRHSDFDPKEKFWTRFPPEGSKSTPPHQSVDFRWKDYCPMVFRHLRELFAIDPVNYMLAICGSDALREFSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIKMLPSYYQHVCQYKNSLVTKFFGVHCVKPVGGQKTRFVVMGNLFCSEYRIHKRFDLKGSSHGRTTDKLEGEIDETTTLKDLDLNFVFRLERSWFNELISQVYRDCEFLEAERIMDYSLLIGLHFRDDYSSEEMMSPNDKHFEKRNSHHEETSMRGYHLLPNMDWVMEGRGPFIRLGANVPARAERVMRTTEMDQCMGGGSNNSTPSHNGNEIFDVVLHFGIIDILQDYDISKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFIHRIFIEDK >Potri.001G393001.1.v4.1 pep chromosome:Pop_tri_v4:1:41663410:41664624:1 gene:Potri.001G393001.v4.1 transcript:Potri.001G393001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393001.v4.1 MENISEASPSPSPGSSSLASLSIQMVSKSVSERLLGKFFDASQYDFDYEQSGLWSPPIPARRVFLASPAGHIYSQGEFFSELKKGKRACRRRIACFNAFWCTSRRQP >Potri.001G038300.1.v4.1 pep chromosome:Pop_tri_v4:1:2776144:2780484:-1 gene:Potri.001G038300.v4.1 transcript:Potri.001G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038300.v4.1 MIPRSVSLLFFLLFLVPEIATVSALIMARPNCAETCGNISIPFPFGIGTGCYMNNWFSVDCNETTAGSPSRAFLSSINMEVLEISLEDSTVRVYSPIISSGCAGRGANLAINMTTSPFAFSSSNIFTAMGCNNLALLNGIEPEIVGCTSTCGANNLTSNSSAEGKENSYCSGNNCCQTTIPSSLQVFNASLGTPEHPINDQGRNQCKAAFIVEEEWFRNNISSPEVVQYMQYVPVILRWLMYYGTDIPEGVTLYSDANNSDAKNSDAKYCDPPVNLTSGRWGLRTVTLYSNSTTCWCSPGYDGHPYLPDGCTDIDQCKIPGVNWCSGMTKCVNVPGWYKCELDKAKITFLILGAATGLLLLLVGIWRLYKLVKKRKNIELKKKFFKRNGGLLLQQQLSSSDGSIQKTKIFTSKELEKATDRFNDNRILGQGGQGTVYKGMQADGMIVAVKKSILVDEEKLEEFINEVVILSQVNHRNVVKLLGCCLETEVPLLVYEFIPNGNLFEYIHDQKEEFEFSWEMRLRIATEVARALSYLHSAASIPVYHRDIKSTNIMLDEKFRAKVSDFGTSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERPEDRRSLATHFILLMEENKIFDILDERLMGQDREEEVIAVANLARRCLNLNGRKRPTMREVAIELEQIRVSKGAPHAQQSCKDLENIRDEVPNVWEIAGPTTSVTIGDLEMARLHLWMSNH >Potri.008G104400.2.v4.1 pep chromosome:Pop_tri_v4:8:6595812:6597371:-1 gene:Potri.008G104400.v4.1 transcript:Potri.008G104400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104400.v4.1 MALSLPLPKPQQQQQQTTTTTTNDGEIQEIDIFHQFDVVSDSSDHHYLVNNIDNDSSSSSSKKTKDGGGDSLTNISSGVYKKIMQEWKILEKHLPDSIYVRAHENRIDLLRAVIIGVAGTPYHDGLYFFDIAFPPDYPARPPLVYYRSFGLRINPNLYANGRVCLSLLNTWPGRKSEKWNSSESTVLQVLVSIQALVLNEKPYYNEPGNGVLPGRAIWEKKSNAYSENVFFLSCKTMLFLLRRPPKNFEGFVASHFREKASVILSACNAYINGQTRVGYYRNDGSCSSGNSSTVDVSDKFKGLMGQLYPELLLGFKRNGASLGNFVEPSVQPVEMKTRSFKGKAVIQSKKSGFARTVFAKLKRVLGLKKMNKSGKSGVKNKGF >Potri.004G019700.1.v4.1 pep chromosome:Pop_tri_v4:4:1400005:1403630:-1 gene:Potri.004G019700.v4.1 transcript:Potri.004G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019700.v4.1 MGISSLCKALILLELILLPLFASSDTKTLHESSDSSSFPANFLFGTASSSYQFEGAYLSDGKGLSNWDVHTHKPGNIIDGSNGDIAVDQYHRYLEDIELMASLGVNSYRFSMSWARILPKGRFGGVNMAGISYYNKLINALLLKGIQPFVSLTHFDVPQELEDRYGGFLSPKSQEDFGYYVDICFKYFGDRVKYWATFNEPNFQAIYGYRVGECPPKRCSKPFGNCSHGDSEAEPFIAAHNIILAHATAVDIYRTKYQREQRGSIGIVMNCMWYEPISNSTANKLAVERALAFFLRWFLDPIIFGRYPEEMKKVLGSTLPEFSRNDMNKLRKGLDFIGMNHYTSYYVQDCILSVCEPGKGSTRTEGSSLLTQEKDGVPIGKPSEVDWLHVYPQGMEKMVTYVKERYNNTPMIITENGYAQVSNSNGNIEEFLHDTGRVEYMSGYLDALLTAMKKGADVRGYFAWSFLDNFEWTFGYTRRFGLYHVDYTTMKRTPRLSATWYKEFIARYKVDKSQM >Potri.006G255600.1.v4.1 pep chromosome:Pop_tri_v4:6:25306396:25307416:1 gene:Potri.006G255600.v4.1 transcript:Potri.006G255600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255600.v4.1 MANMIMASSKPLITLSSSSLPTNNKPKLQIPQLSFPKILKITKPQLLSLSTSTLKSLSLIAATSLTFAPPSLAEEIEKAALFDFNLTLPIIMVEFLVLMVALDKIWFTPLGNFMDERDSAIKEKLSSVKDTSEEVKQLEEQAAAVMRAARAEISAALNKMKKETQGEVEQKLAEGRKKIEADLQEALAKLETQKEETMKALDSQIAALSDGIVKKVLPVQ >Potri.003G166400.6.v4.1 pep chromosome:Pop_tri_v4:3:17565750:17570543:-1 gene:Potri.003G166400.v4.1 transcript:Potri.003G166400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166400.v4.1 MFGIVRQKISAGGSPLLAFGQRIRPAVSAWRGYSSAAKEITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSSGQISVPIVFRGPNGAAAGVGAQHSHCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCVPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKEGINAEVINLRSIRPLDRDTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSVPMAAAA >Potri.003G166400.8.v4.1 pep chromosome:Pop_tri_v4:3:17565875:17570386:-1 gene:Potri.003G166400.v4.1 transcript:Potri.003G166400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166400.v4.1 MFGIVRQKISAGGSPLLAFGQRIRPAVSAWRGYSSAAKEITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSSGQISVPIVFRGPNGAAAGVGAQHSHCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCVPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKEGINAEVINLRSIRPLDRDTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSVPMAAAA >Potri.003G166400.9.v4.1 pep chromosome:Pop_tri_v4:3:17565837:17570346:-1 gene:Potri.003G166400.v4.1 transcript:Potri.003G166400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166400.v4.1 MFGIVRQKISAGGSPLLAFGQRIRPAVSAWRGYSSAAKEITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSSGQISVPIVFRGPNGAAAGVGAQHSHCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCVPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKEGINAEVINLRSIRPLDRDTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSVPMAAAA >Potri.003G166400.10.v4.1 pep chromosome:Pop_tri_v4:3:17565844:17570411:-1 gene:Potri.003G166400.v4.1 transcript:Potri.003G166400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166400.v4.1 MFGIAFGQRIRPAVSAWRGYSSAAKEITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSSGQISVPIVFRGPNGAAAGVGAQHSHCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCVPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKEGINAEVINLRSIRPLDRDTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSVPMAAAA >Potri.003G166400.7.v4.1 pep chromosome:Pop_tri_v4:3:17565837:17570491:-1 gene:Potri.003G166400.v4.1 transcript:Potri.003G166400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166400.v4.1 MFGIAFGQRIRPAVSAWRGYSSAAKEITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSSGQISVPIVFRGPNGAAAGVGAQHSHCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCVPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKEGINAEVINLRSIRPLDRDTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSVPMAAAA >Potri.003G166400.5.v4.1 pep chromosome:Pop_tri_v4:3:17565817:17570475:-1 gene:Potri.003G166400.v4.1 transcript:Potri.003G166400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166400.v4.1 MFGIVRQKISAGGSPLLAFGQRIRPAVSAWRGYSSAAKEITVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSSGQISVPIVFRGPNGAAAGVGAQHSHCYASWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCVPIGKAKIEREGKDVTITAFSKMVGYALKAAEILAKEGINAEVINLRSIRPLDRDTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSVPMAAAA >Potri.011G049500.2.v4.1 pep chromosome:Pop_tri_v4:11:3936980:3940020:1 gene:Potri.011G049500.v4.1 transcript:Potri.011G049500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049500.v4.1 MTCFEFCSQNAMHLEEKEKREKDMRKQIIEEGEEYIRGFYEKRKLNIETNIATNREREKLYFANQEKFHKEADKQYWKAIAELIPREVPNIEKKRGKKDKDQDKMPSITVIQGPKPGKPTDLSRLRHLLVKLKHTPPPHMIPPPPPLKDAKDGKEGKDATAGATVSGTKGEAAPVAKEATANGSSNTPKEDVAAANAQPVAEPESTPAA >Potri.011G049500.1.v4.1 pep chromosome:Pop_tri_v4:11:3936980:3940282:1 gene:Potri.011G049500.v4.1 transcript:Potri.011G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049500.v4.1 MAAFDAFEDIQPSNHTPFDEVDDESYSNFSSYSTAAAAPGEFSGGGVSVDHVSASPDVFGFGSNADPGYPHQSPFGSVHVENGNGSNGYNGADDGFFVSDGPILPPPTEMEPEEGFALREWRRQNAMHLEEKEKREKDMRKQIIEEGEEYIRGFYEKRKLNIETNIATNREREKLYFANQEKFHKEADKQYWKAIAELIPREVPNIEKKRGKKDKDQDKMPSITVIQGPKPGKPTDLSRLRHLLVKLKHTPPPHMIPPPPPLKDAKDGKEGKDATAGATVSGTKGEAAPVAKEATANGSSNTPKEDVAAANAQPVAEPESTPAA >Potri.016G141600.6.v4.1 pep chromosome:Pop_tri_v4:16:14432630:14434317:1 gene:Potri.016G141600.v4.1 transcript:Potri.016G141600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141600.v4.1 MHAGLHQWNSDDLEEEEEEEEDGEWEEADIEEDTIESVEAGARLRNLFVSSPSEVNGPVSWHRQSHSPEFEGLNRWRTRQSRQAHNRDFFANLEELELPQHIWNYELADYATGFGDLLEALTHSDIGRRGAPPAALSFVNNLPLVIINEEHERHDGVACAICKDLLPIGTEVNQLPCLHLYHPYCILPWLSARNSCPLCRYEFPTDDKDYEEGKQNSSTRMGIHDVQQQEASEDSSSDVSDEPLEHGQIGRELLDVGLPLSTSGREGTRRRWFLLAAAPLVSLLGIVLVMWWDNPQGRRANSHGNFPERGLYQIQVSGPPQPNQKVNERRRWWFFS >Potri.016G141600.5.v4.1 pep chromosome:Pop_tri_v4:16:14431976:14434276:1 gene:Potri.016G141600.v4.1 transcript:Potri.016G141600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141600.v4.1 MFIQADEGSNFDSDTDIDPMHAGLHQWNSDDLEEEEEEEEDGEWEEADIEEDTIESVEAGARLRNLFVSSPSEVNGPVSWHRQSHSPEFEGLNRWRTRQSRQAHNRDFFANLEELELPQHIWNYELADYATGFGDLLEALTHSDIGRRGAPPAALSFVNNLPLVIINEEHERHDGVACAICKDLLPIGTEVNQLPCLHLYHPYCILPWLSARNSCPLCRYEFPTDDKDYEEGKQNSSTRMGIHDVQQQEASEDSSSDVSDEPLEHGQIGRELLDVGLPLSTSGREGTRRRWFLLAAAPLVSLLGIVLVMWWDNPQGRRANSHGNFPERGLYQIQVSGPPQPNQKVNERRRWWFFS >Potri.008G034200.1.v4.1 pep chromosome:Pop_tri_v4:8:1856985:1858357:1 gene:Potri.008G034200.v4.1 transcript:Potri.008G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034200.v4.1 MVRIDIEIVSREIIKPSSPIVQHKKPYKLSLLDQLTPATYSSIIFFYPNMNDVNSNSITKTRTDHLKKSLSETLNIYYPLSGRVKDNLFIDSFNEGVPSIEAQVNCCLFDFLKHFEIESLNHFLPFQPYSKEDMNAPLMSIQVSRFTCGGMAVGFSASHKLVDGATFKAFLTALTFISRGIAPILFPPRNSLPQNHLSLMETLWFTEGNYITRRLVFNPKAIAMLRAKAKAGRPDAKPTRIQTLTCFIWKCCLAASKAISGSPKPSILVEAVDLRSRTKPPISDTSTGNVFWWATALVNPMGTKTELPELVNILNEATALYDSDYTQTLQGGEGFKTMSEYCNQLEELFSLEKPDIFAITSWCHSGFTKTNFGWGEPIWAGHMGKAGSAFKNLTMFIETKDGKGIEAWVTLDEERMTILERDPEFLAFASPNPKISPLNIISVL >Potri.003G204425.1.v4.1 pep chromosome:Pop_tri_v4:3:20343458:20344475:1 gene:Potri.003G204425.v4.1 transcript:Potri.003G204425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204425.v4.1 MVVVVVMTCNSMKVVVMRMVEVVNFNSMVEEEEVTCSSMKVVVMTMVEEVICNSTGEEVTTKEVVVTCSSMEVVVMKMVEEVICSSMMAKEMKNEEVMTCSDNVVLDNALMVVEVICNNKMNALYILVEVVTCNNTVNALHVLKNILVVVVTCNSIYEYVLISLVVVVVIYKNKVDVSNILVEVVTCNNMVDELFVLVEIKICNGVEDAQHVLELMVTCSMGLMKVESQKLVFRSVFCDLHRAAMSLCIVIFPTV >Potri.018G139700.1.v4.1 pep chromosome:Pop_tri_v4:18:14462069:14466298:-1 gene:Potri.018G139700.v4.1 transcript:Potri.018G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139700.v4.1 MMYEQIQQQQQQMMNRVDRRKVMGERRKAIEEEEVDLSGMSLETIPNPSLNLAAICKLNLSNNDLQMIPESLTARMLNLVVLDVHSNQLKSLPNSIGCLSKLKALNVSCNLLVSLPRTIENCRSLEELNVNFNKLSRLPDTIGFELVNLKKLSVNSNKLVFLPMSTSYITSLKTLDARLNNLRSLPEDLENLINLEVLNVSQNFQYLETLPYSIGLLLSLVELDVSYNKITTLPDSMGCLRKLQKLSVEGNPLVSPPMEVVERGVHFVKEYLSEKMNAGHKSPTKKKSWVGKLVKYGTFSGRIGSRKDREGFDVTPDHYSSFDGLASPAYSGKSPKHTWNRSIEGLASPRYLRIFSPGRLFSSKNLS >Potri.017G061950.1.v4.1 pep chromosome:Pop_tri_v4:17:5120008:5120955:-1 gene:Potri.017G061950.v4.1 transcript:Potri.017G061950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061950.v4.1 MLGLLFFHDMIKPCLILTSRTDRLIFLIDEECSYADEYYLCFIVWNWEHRELAHCMKRDRVISLYLLLFLFNSYKQLIEYFSINSSLLAATRRSASSLSLMMMSFTLPYYFQ >Potri.019G065400.1.v4.1 pep chromosome:Pop_tri_v4:19:10545877:10548454:1 gene:Potri.019G065400.v4.1 transcript:Potri.019G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065400.v4.1 MDAAAAPVTIKMKACCGYGGIKATEIRWATRKEYSSKVSTKLKPRIPIFISTNPSHINPQELRNLYGSCNHSCHRFPKMDKMGKLVEPVDIKRLSIALSHSALLVSVFCNKEDVLASDDDCGNEREPSKGETPPVLGLGDLLHRVVPLPVVSPSTGMLVGFGRAVSDHGLTASIFDVMVIPSLRGMGIGTMIVKRIIRILTSRDIYDIAALCSANDRLFFKACGFGDDIMGSTTMMYTRTLSTHIEGDQTVKYAGRKLLLVPPLRQSS >Potri.009G163400.6.v4.1 pep chromosome:Pop_tri_v4:9:12549666:12554680:1 gene:Potri.009G163400.v4.1 transcript:Potri.009G163400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163400.v4.1 MPSTKGNGSAAAEQAESEKPVEYDEKVDLDEDYDPEEMMDEEVEYEEVEEIVEEEVEEEEIIEEEEEVEVEEEDDDDASNANAGDETKVEDEDEQKKYDELLARPPHGSEVYIGGIPNDASEEDLRDFCESVGEVTEVRIMREKDSSENRGFAFVTFRSVDLASTAIGELNNTEFKGKKIKCSTSQAKHRLFLSNIPRSWGEDGLRKIVAEVGPGVTNVQLVKEKSSSNNRGYAFIEYYNNACAEYSRQKMMDPKFKLGDNAPAVSWADPKNADSSASSQVKALYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELDGQLVECALAKPQSEQKAAGGSNLQNTGLLPGYPPGVGYGMMGNAYGALGAGYVTAGFAQPLIYGSGPSPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGGRGNKMGGSSNRGRHSNDSGHGQRFRPY >Potri.009G163400.12.v4.1 pep chromosome:Pop_tri_v4:9:12549399:12554682:1 gene:Potri.009G163400.v4.1 transcript:Potri.009G163400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163400.v4.1 MPSTKGNGSAAAEQAESEKPVEYDEKVDLDEDYDPEEMMDEEVEYEEVEEIVEEEVEEEEIIEEEEEVEVEEEDDDDASNANAGDETKVEDEDEQKKYDELLARPPHGSEVYIGGIPNDASEEDLRDFCESVGEVTEVRIMREKDSSENRGFAFVTFRSVDLASTAIGELNNTEFKGKKIKCSTSQAKHRLFLSNIPRSWGEDGLRKIVAEVGPGVTNVQLVKEKSSSNNRGYAFIEYYNNACAEYSRQKMMDPKFKLGDNAPAVSWADPKNADSSASSQVKALYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELDGQLVECALAKPQSEQKAAGGSNLQNTGLLPGYPPGVGYGMMGNAYGALGAGYVTAGFAQPLIYGSGPSPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGGRGNKMGGSSNRGRHSNDSGHGQRFRPY >Potri.009G163400.9.v4.1 pep chromosome:Pop_tri_v4:9:12551238:12554752:1 gene:Potri.009G163400.v4.1 transcript:Potri.009G163400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163400.v4.1 MPSTKGNGSAAAEQAESEKPVEYDEKVDLDEDYDPEEMMDEEVEYEEVEEIVEEEVEEEEIIEEEEEVEVEEEDDDDASNANAGDETKVEDEDEQKKYDELLARPPHGSEVYIGGIPNDASEEDLRDFCESVGEVTEVRIMREKDSSENRGFAFVTFRSVDLASTAIGELNNTEFKGKKIKCSTSQAKHRLFLSNIPRSWGEDGLRKIVAEVGPGVTNVQLVKEKSSSNNRGYAFIEYYNNACAEYSRQKMMDPKFKLGDNAPAVSWADPKNADSSASSQVKALYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELDGQLVECALAKPQSEQKAAGGSNLQNTGLLPGYPPGVGYGMMGNAYGALGAGYVTAGFAQQPLIYGSGPSPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGGRGNKMGGSSNRGRHSNDSGHGQRFRPY >Potri.009G163400.8.v4.1 pep chromosome:Pop_tri_v4:9:12549362:12554651:1 gene:Potri.009G163400.v4.1 transcript:Potri.009G163400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163400.v4.1 MPSTKGNGSAAAEQAESEKPVEYDEKVDLDEDYDPEEMMDEEVEYEEVEEIVEEEVEEEEIIEEEEEVEVEEEDDDDASNANAGDETKVEDEDEQKKYDELLARPPHGSEVYIGGIPNDASEEDLRDFCESVGEVTEVRIMREKDSSENRGFAFVTFRSVDLASTAIGELNNTEFKGKKIKCSTSQAKHRLFLSNIPRSWGEDGLRKIVAEVGPGVTNVQLVKEKSSSNNRGYAFIEYYNNACAEYSRQKMMDPKFKLGDNAPAVSWADPKNADSSASSQVKALYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELDGQLVECALAKPQSEQKAAGGSNLQNTGLLPGYPPGVGYGMMGNAYGALGAGYVTAGFAQQPLIYGSGPSPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGGRGNKMGGSSNRGRHSNDSGHGQRFRPY >Potri.009G163400.11.v4.1 pep chromosome:Pop_tri_v4:9:12549373:12554696:1 gene:Potri.009G163400.v4.1 transcript:Potri.009G163400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163400.v4.1 MPSTKGNGSAAAEQAESEKPVEYDEKVDLDEDYDPEEMMDEEVEYEEVEEIVEEEVEEEEIIEEEEEVEVEEEDDDDASNANAGDETKVEDEDEQKKYDELLARPPHGSEVYIGGIPNDASEEDLRDFCESVGEVTEVRIMREKDSSENRGFAFVTFRSVDLASTAIGELNNTEFKGKKIKCSTSQAKHRLFLSNIPRSWGEDGLRKIVAEVGPGVTNVQLVKEKSSSNNRGYAFIEYYNNACAEYSRQKMMDPKFKLGDNAPAVSWADPKNADSSASSQVKALYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELDGQLVECALAKPQSEQKAAGGSNLQNTGLLPGYPPGVGYGMMGNAYGALGAGYVTAGFAQPLIYGSGPSPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGGRGNKMGGSSNRGRHSNDSGHGQRFRPY >Potri.009G163400.10.v4.1 pep chromosome:Pop_tri_v4:9:12549486:12554610:1 gene:Potri.009G163400.v4.1 transcript:Potri.009G163400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163400.v4.1 MPSTKGNGSAAAEQAESEKPVEYDEKVDLDEDYDPEEMMDEEVEYEEVEEIVEEEVEEEEIIEEEEEVEVEEEDDDDASNANAGDETKVEDEDEQKKYDELLARPPHGSEVYIGGIPNDASEEDLRDFCESVGEVTEVRIMREKDSSENRGFAFVTFRSVDLASTAIGELNNTEFKGKKIKCSTSQAKHRLFLSNIPRSWGEDGLRKIVAEVGPGVTNVQLVKEKSSSNNRGYAFIEYYNNACAEYSRQKMMDPKFKLGDNAPAVSWADPKNADSSASSQVKALYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELDGQLVECALAKPQSEQKAAGGSNLQNTGLLPGYPPGVGYGMMGNAYGALGAGYVTAGFAQQPLIYGSGPSPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGGRGNKMGGSSNRGRHSNDSGHGQRFRPY >Potri.009G163400.7.v4.1 pep chromosome:Pop_tri_v4:9:12549534:12554679:1 gene:Potri.009G163400.v4.1 transcript:Potri.009G163400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163400.v4.1 MPSTKGNGSAAAEQAESEKPVEYDEKVDLDEDYDPEEMMDEEVEYEEVEEIVEEEVEEEEIIEEEEEVEVEEEDDDDASNANAGDETKVEDEDEQKKYDELLARPPHGSEVYIGGIPNDASEEDLRDFCESVGEVTEVRIMREKDSSENRGFAFVTFRSVDLASTAIGELNNTEFKGKKIKCSTSQAKHRLFLSNIPRSWGEDGLRKIVAEVGPGVTNVQLVKEKSSSNNRGYAFIEYYNNACAEYSRQKMMDPKFKLGDNAPAVSWADPKNADSSASSQVKALYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELDGQLVECALAKPQSEQKAAGGSNLQNTGLLPGYPPGVGYGMMGNAYGALGAGYVTAGFAQPLIYGSGPSPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGGRGNKMGGSSNRGRHSNDSGHGQRFRPY >Potri.014G114200.1.v4.1 pep chromosome:Pop_tri_v4:14:7680737:7684931:-1 gene:Potri.014G114200.v4.1 transcript:Potri.014G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114200.v4.1 MSCFGCCEEDDVQKAADNGGPYAVKSSAGHVGNYHASETAPRGPQAVKIQPIEVPAISVDELKEVTVNFGTDSLIGEGSYGRVYYGVLKSEQAAAIKKLDASKQPDDEFLAQVSMVSRLKHENFVQLLGYCVDGGSRVLAYEFASNGSLHDILHGRKGVKGAQPGPVLTWPQRVKIAVGAAKGLEYLHEKADPRIIHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLQGECPLKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGESVGM >Potri.008G052601.2.v4.1 pep chromosome:Pop_tri_v4:8:3088286:3095971:1 gene:Potri.008G052601.v4.1 transcript:Potri.008G052601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052601.v4.1 MMMLRFGVLWECTCAVAKAVMFFHDDDKKKAAGHYVVTCPIIEVIFSEVGDLLRCSLHYDMSGRSKGTAEVVFALQTDALAAIKRYNNVQLDGKPLKIELVGDNVITPVPVLVTTTTNLAKPKMSLEVFKKELVRGKGHGSGQELARGHSQGDTMSRSLLQKHLILTWTDIPP >Potri.010G172800.4.v4.1 pep chromosome:Pop_tri_v4:10:17380237:17385836:1 gene:Potri.010G172800.v4.1 transcript:Potri.010G172800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172800.v4.1 MARESGGGGTDYTTEDDNTHLLPPPASWRLDIDKFRLPRESHKHGSHDRVSSFSLDRLLPTSRKQRKVSEYYKKQERLLEGYNEMEAMTESGCFPGNPTEDEMKQLAKSERLAIHISNVANLLLFAAKVYASMESKSLAVIASTLDSLLDLLSGFILWFTSHAMKKPNHYHDYPIGKKRMQPVGIIVFASVMATLGLQILLESGRQLVLKKGPRMDNGQEKWMIAIMVSVTVVKFLLMLYCRRFKNEIVRAYAQDHLFDVVTNSVGLITAVLAVRYYWWIDPTGAIIIALYTITTWARTVIENVWSLIGRTAPPEFLAKLTYLIWNHHKEIKHIDTVRAYTFGNHYFAEVDIVLPEDMVLNQAHNIGEDLQEKLEQLPEVERAFVHIDFEFSHRPEHKSKI >Potri.009G093100.5.v4.1 pep chromosome:Pop_tri_v4:9:8478300:8482380:1 gene:Potri.009G093100.v4.1 transcript:Potri.009G093100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093100.v4.1 MSENENHVQSTRSSSSSSRKRAPKAHHPLPPSKKKRVPLGELTNSLHITTNNSDCNISESKYAAKADDLPPPSTESSRDSDFETTPKIECEVKEGNHNDLEKFPSAESIKKSGLRRCSYTSSIYRYLHSLEMEGNRRCLSNYMREVQNDVSGNMREILVDWLVEVAEEYRLVSDTLYLTVSYIDRKYEEISPPHVESFCHITDNTYTKDQVLDMEKQVLKSLNYEMGAPTTINFLRILLKAAQENCESSDLQFELLSCYLAELSLLEYGCMRFLPSMIAASAVFLSSFTIQPQMHPWSMALQRHSGYRPSDLKECVLAIHDIQLNRKGSSSRAVRDKYTQNKFKHVATLSPPSEVPGRYFAAINELCSVRFSRMR >Potri.009G093100.4.v4.1 pep chromosome:Pop_tri_v4:9:8478300:8482380:1 gene:Potri.009G093100.v4.1 transcript:Potri.009G093100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093100.v4.1 MSENENHVQSTRSSSSSSRKRAPKAHHPLPPSKKKRVPLGELTNSLHITTNNSDCNISESKYAAKADDLPPPSTESSRDSDFETTPKIECEVKEGNHNDLEKFPSAESIKKSGLRRCSYTSSIYRYLHSLEMEGNRRCLSNYMREVQNDVSGNMREILVDWLVEVAEEYRLVSDTLYLTVSYIDRFLSSQALSRNNLQLLGVSCMLIASKYEEISPPHVESFCHITDNTYTKDQVLDMEKQVLKSLNYEMGAPTTINFLRILLKAAQENCESSDLQFELLSCYLAELSLLEYGCMRFLPSMIAASAVFLSSFTIQPQMHPWSMALQRHSGYRPSDLKECVLAIHDIQLNRKGSSSRAVRDKYTQNKFKHVATLSPPSEVPGRYFAAINELCSVRFSRMR >Potri.005G036200.1.v4.1 pep chromosome:Pop_tri_v4:5:2316887:2320619:-1 gene:Potri.005G036200.v4.1 transcript:Potri.005G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036200.v4.1 MHTFGYRANALLTYALTILALMCTIASFSDNFNFPSPSAEIQVLNFNWFQKQPHGNDEVSLTMNITADLQSLFTWNTKQLFIFVAAEYETPQNSVNQVSLWDAIIPAKEHAKFWFQTANKYRFVDQGSNLRGKEFNLTLHWHVMPKTGKMLADKLVMSGFRLPEEYR >Potri.009G058500.1.v4.1 pep chromosome:Pop_tri_v4:9:6118372:6118955:1 gene:Potri.009G058500.v4.1 transcript:Potri.009G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058500.v4.1 MGGKYMEMFRIAARFHSHCPQTARVYYHPPPNADDHHNNHPHPHQHGCGCGGGTSSASNPEAVTRVLDVRELILNSI >Potri.008G099000.2.v4.1 pep chromosome:Pop_tri_v4:8:6188898:6196032:1 gene:Potri.008G099000.v4.1 transcript:Potri.008G099000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099000.v4.1 MTVRETIDFSARCQGIGSRADIMMEVIRREKQAGILPDPDVDAYMKAISVEGLKSTLQTDYILKILGLDICSDIMVGDAMRRGISGGQKKRLTTGEMIVGPVKALFMDEISNGLDSSTTFQIMSCMQHLAHITDATVLISLLQPAPETFDLFDDIILMAEGKIVYHGPRSTISKFFEDCGFRCPERKGIADFLQEVISRKDQGQYWHRTEQLHSYIPVDQFVKKFKESQFGEKLDKELSRPFDKSKSHKNALTFSKYSLTKWELFKACSMREFLMMKRNSFIYVLKSIQLVIVASICMTVLLRTRMGVDEIHANYYMGALFYALVILVVDGVPELQMTTSRLAVFYKQRELYFYPAWAYAIPAAILKVPLSLMEAFVWTALTYYVIGYSPELERFLRQFLILFLLHLASLSMFRFVASIFQTAVASMTAGSIAIMGCLLFGGFVIPKPSMPAWLQWGFWISPITYGEIGLTTNEFLAPRWEKIVSGNTTIGQQTLESRGLNFHGYFYWISVGALMGLALLFNIGFTLALTFLKPPGNSRAIISYERYYQLQGRKDDVDGFDEDKKLHSANESSPGPKKGRMVLPFEPLVMTFKDVQYYVDTPLEMRKRGVLQKKLQLLSDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGTTEGEIRIGGYPKVQDTFARISGYCEQADIHSPQITIEESVVFSAWLRLPSVIDPKTKFDFVNEVLETIELDGIKDSLVGIPGISGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAAKNIVETGRTVICTIHQPSIDIFEAFDELILMKTGGRLIYSGQLGQRSSALIEYFEKIPGVPKIKDNYNPATWMLEVTSQSAEAELGVDFGQIYEGSTLYKENRKLVEQLSSKTPGSKDLHFPTQFSQNGWEQLKACLWKQNLSYWRSPPYNLLRISFISSGALLFGVLFWQQGKNINNQQDLFSMLGAMYTAIMFFGINNCSTVLPYVSADRTVLYRERFAGTYSAWAYSLAQLLVEVPYLFAQSVIYVIVTYPMIGYSLSAYKIFWSLYGMFCTLLCFNYLGMLLISVTPNAQVAIILCSIAFTTMNFFAGFIVPKKRIPMWWIWLYYICPTSWALEGMFTSQYGDLDKEISVFGETKTASAFIEDYFGYRQDFLGVVGLVLIIIPIVIASLFTYFIGKLNFQRR >Potri.008G099000.1.v4.1 pep chromosome:Pop_tri_v4:8:6186910:6196036:1 gene:Potri.008G099000.v4.1 transcript:Potri.008G099000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099000.v4.1 MAQLAGPDEIESFRIELAEIERNIRTSFRSQVPSFHSVSNGSSEHIRDADDEDMLQWATVERLPTFERITTALFEEQDCTAANGDAKGKTIVNVSKLGAQERHVFIEKLIKHIENDNLRLLRRLKQRIDKVGVKFPTVEVRYRNLCVEAECELVHGKPLPTLWNTAKSLLSGFASLSCSKRRTKAGILKDAGGILKPGRMTLLLGPPGCGKTTLLLALSGKLSHALEVSGEISYNGHSLEEFVPQKSSVYISQHDLHIPEMTVRETIDFSARCQGIGSRADIMMEVIRREKQAGILPDPDVDAYMKAISVEGLKSTLQTDYILKILGLDICSDIMVGDAMRRGISGGQKKRLTTGEMIVGPVKALFMDEISNGLDSSTTFQIMSCMQHLAHITDATVLISLLQPAPETFDLFDDIILMAEGKIVYHGPRSTISKFFEDCGFRCPERKGIADFLQEVISRKDQGQYWHRTEQLHSYIPVDQFVKKFKESQFGEKLDKELSRPFDKSKSHKNALTFSKYSLTKWELFKACSMREFLMMKRNSFIYVLKSIQLVIVASICMTVLLRTRMGVDEIHANYYMGALFYALVILVVDGVPELQMTTSRLAVFYKQRELYFYPAWAYAIPAAILKVPLSLMEAFVWTALTYYVIGYSPELERFLRQFLILFLLHLASLSMFRFVASIFQTAVASMTAGSIAIMGCLLFGGFVIPKPSMPAWLQWGFWISPITYGEIGLTTNEFLAPRWEKIVSGNTTIGQQTLESRGLNFHGYFYWISVGALMGLALLFNIGFTLALTFLKPPGNSRAIISYERYYQLQGRKDDVDGFDEDKKLHSANESSPGPKKGRMVLPFEPLVMTFKDVQYYVDTPLEMRKRGVLQKKLQLLSDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGTTEGEIRIGGYPKVQDTFARISGYCEQADIHSPQITIEESVVFSAWLRLPSVIDPKTKFDFVNEVLETIELDGIKDSLVGIPGISGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDARAAAIVMRAAKNIVETGRTVICTIHQPSIDIFEAFDELILMKTGGRLIYSGQLGQRSSALIEYFEKIPGVPKIKDNYNPATWMLEVTSQSAEAELGVDFGQIYEGSTLYKENRKLVEQLSSKTPGSKDLHFPTQFSQNGWEQLKACLWKQNLSYWRSPPYNLLRISFISSGALLFGVLFWQQGKNINNQQDLFSMLGAMYTAIMFFGINNCSTVLPYVSADRTVLYRERFAGTYSAWAYSLAQLLVEVPYLFAQSVIYVIVTYPMIGYSLSAYKIFWSLYGMFCTLLCFNYLGMLLISVTPNAQVAIILCSIAFTTMNFFAGFIVPKKRIPMWWIWLYYICPTSWALEGMFTSQYGDLDKEISVFGETKTASAFIEDYFGYRQDFLGVVGLVLIIIPIVIASLFTYFIGKLNFQRR >Potri.002G066900.2.v4.1 pep chromosome:Pop_tri_v4:2:4619024:4622592:-1 gene:Potri.002G066900.v4.1 transcript:Potri.002G066900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066900.v4.1 MRWGFSPPKITCGLGLAAISYVAVDYLRHLSPAWHERLQPALWSILALIAISRVPFYKHWSSEFRAAIPFVASMLFMLACFLLEALSVRLVTAVLGLDWHSETPPLPDTGQWLLLSLNEKLPGPLVEILRARIIGLHHFLMLFMMLAFSVLFDSVEAPGLGLGARYMFTMAIGRLLRAITFVSTILPSARPWCAAARFRVPAYPHHWAQKYYVPYASDADAIRQIINRDIAYADTGEYHGDYHPDWGSMNFLVNFLRPTPAEGASWFSLLKRAGGGCNDLLYSGHMLVAVLTAMAWTEAYGGFSSAFIWLLVMHSAQREIRERHHYTVDCIVAIYVGILLWKMTGFIWPAKDSMRSRRLAKLERIQGRLIQAAKDSDMDEVRELLKEVELRSQESQHKGHSRYLWLFSCATIFLALTIVLLAFTWTSDG >Potri.017G115100.1.v4.1 pep chromosome:Pop_tri_v4:17:12253234:12255417:1 gene:Potri.017G115100.v4.1 transcript:Potri.017G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115100.v4.1 MAMASLFISSSPSFTLPPKTYTKYQTAYFLKPFSLKAASTSVDQQPTISLADKQPSPFKANSWQWKFQDKVLTIYYEEHGKESPEPTKNILMLPSISDVSTVEEWRSVAGNIVQRASKINWRAVIVDWPGLGYSDRPKLDYNVDVMEKFLTDFISAPDGPMKHFGNDLVIFGGGHAPTITLCAAKKGLVKLAAIAAVAPTWAGPLPIVFGRDSTMEMRYGLLRDTLRTPGVGWMMYNVLVSNEKAIASQYRSHVYANPDNVTPDVVDSRIALTKRKGARYAPASFLTGLLDPVKSQEEFLELFADLDGKVPVLVVSTKSSPKRSKAVMQALKGAKGVSKFVEVPGALLPQEEYPTMIAEELYQFLQENFEFNI >Potri.012G085000.2.v4.1 pep chromosome:Pop_tri_v4:12:11082896:11084588:1 gene:Potri.012G085000.v4.1 transcript:Potri.012G085000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085000.v4.1 MSIDCKTKTKPETLKFLCSYGGKILPRSSDGVLRYVGGLTRVLAVDRSISYAELMVKLGEFCGYSVELRCQLPDGDLETLISVKSEDELKSLILEFDRSCPGSKIRAILSPPKSLKKISPPTSNASSIDFSPTKSFLNYHQSRSCSPPIGYKGRYSPSRRQESLCYNHQCCDYWH >Potri.002G183000.3.v4.1 pep chromosome:Pop_tri_v4:2:14533470:14537594:-1 gene:Potri.002G183000.v4.1 transcript:Potri.002G183000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183000.v4.1 MAKKNTPRISPSHFLGVVVFSLCFFVPKSVLGVKKELRFRKNGEFKILQVADMHFADGKTTSCLDVFPNQMPTCSDLNTTAFVERMIQAEKPDFIVFTGDNIFGFDATDAAKSLSAAFQPAIASNIPWAAILGNHDQESTLSREGVMKHIVGLKNTLSQVNPAEVHIIDGFGNYNLEIGGVKGSRFENKSALNLYFLDSGDYSTVPAIPGYGWIKPSQQLWFQRTSAKLRRAYMRQPEAQKGPAPGLVYFHIPLPEFASFDSSNFTGVRQEGISSASVNSGFFTTMVEAGDVKGVFTGHDHLNDFCGELTGIQLCYAGGFGYHAYGKAGWSRRARVVLASLEKTEQGGWGAVKSIKTWKRLDDEHLTTVDGQVLWSKSHAGVRRKKQVGSPE >Potri.002G183000.2.v4.1 pep chromosome:Pop_tri_v4:2:14533470:14537522:-1 gene:Potri.002G183000.v4.1 transcript:Potri.002G183000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183000.v4.1 MAKKNTPRISPSHFLGVVVFSLCFFVPKSVLGVKKELRFRKNGEFKILQVADMHFADGKTTSCLDVFPNQMPTCSDLNTTAFVERMIQAEKPDFIVFTGDNIFGFDATDAAKSLSAAFQPAIASNIPWAAILGNHDQESTLSREGVMKHIVGLKNTLSQVNPAEVHIIDGFGNYNLEIGGVKGSRFENKSALNLYFLDSGDYSTVPAIPGYGWIKPSQQLWFQRTSAKLRRAYMRQPEAQKGPAPGLVYFHIPLPEFASFDSSNFTGVRQEGISSASVNSGFFTTMVEAGDVKGVFTGHDHLNDFCGELTGIQLCYAGGFGYHAYGKAGWSRRARVVLASLEKTEQGGWGAVKSIKTWKRLDDEHLTTVDGQVLWSKSHADVSYLSNKVHKTLEMRAVLGCSLLLYAAKNHA >Potri.005G106400.1.v4.1 pep chromosome:Pop_tri_v4:5:7676671:7679253:-1 gene:Potri.005G106400.v4.1 transcript:Potri.005G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106400.v4.1 MYVGSRYHHINTWQPCFSSSSLKATNIINNHVNNLHSQKPYIQSRLLKRTIKRKKQYNTEREKMAAKAVVCYGSSTSSTTLRPVLCPQQLNKRRGVFAVNSRRCSININHKSLSFRPKTSLRWNLEATGIPTSVPVRVAHELHQAGHRYLDVRTPDEFSTGHAAGAINIPYMYRVGSGMTKNPKFVEEVSSHFRKHDEIIVGCQLGKRSMMAATDLLAAGFTAVTDIAGGFAAWTQNGLPTDN >Potri.018G096063.1.v4.1 pep chromosome:Pop_tri_v4:18:11652916:11659744:-1 gene:Potri.018G096063.v4.1 transcript:Potri.018G096063.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096063.v4.1 MSRFSYLSLFPCFLLFAHFFTLSNAATFEIRNQCPYTVWAAAVPGGGRRLGRGESWTITANAGTTQARIWGRTNCIFDGAGRGMCETGDCNGLLQCQAFGQPPNTLAEYALNQFNNLDFFDISLVDGFNVPMDFSPVSGNCRGIRCAADINGQCPDPLKASGGCNNPCTVFKTDQYCCNSGSCEPTDYSRFFKQRCPDAYSYPKDDQRSTFTCPGGTNYRVVFCP >Potri.018G096063.2.v4.1 pep chromosome:Pop_tri_v4:18:11653141:11653967:-1 gene:Potri.018G096063.v4.1 transcript:Potri.018G096063.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096063.v4.1 MCETGDCNGLLQCQAFGQPPNTLAEYALNQFNNLDFFDISLVDGFNVPMDFSPVSGNCRGIRCAADINGQCPDPLKASGGCNNPCTVFKTDQYCCNSGSCEPTDYSRFFKQRCPDAYSYPKDDQRSTFTCPGGTNYRVVFCP >Potri.014G047101.1.v4.1 pep chromosome:Pop_tri_v4:14:2996370:2996943:-1 gene:Potri.014G047101.v4.1 transcript:Potri.014G047101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047101.v4.1 MCDEYAGDIWSFGLSILEIYPGRFPIEVETRDYLTRLVFAICMSAPPEAPPSASTEFRYFITCCLQREPERRWECSAVVATPFYTAEPGESVKIASKNKNSSNNVLLLFHPVTSIISNLFHQIYSAITWCSCL >Potri.017G082900.6.v4.1 pep chromosome:Pop_tri_v4:17:9440080:9441233:-1 gene:Potri.017G082900.v4.1 transcript:Potri.017G082900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082900.v4.1 MPSPIATHTNPTSLKCAACGCHRNFHRREPEDSPPHTATTTTIQYQSHHRHHPLPPPQAQPLHNGSPNSASPPPISSSYYPSGPHILLALSGGVSGLNENANINVPPPVGSSPRKRFRTKFSQSQKERMYQFAERVGWKMQKRDEDLVQEFCNEVGVDRGVLKVWMHNNKNSLGKKEHLNGNNNDILRSNNLENSDNSNNEANKNITNDNNNGSEGLNHHHNHHHFENESHVGVHVGTNGSSSSS >Potri.017G082900.5.v4.1 pep chromosome:Pop_tri_v4:17:9440169:9441317:-1 gene:Potri.017G082900.v4.1 transcript:Potri.017G082900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082900.v4.1 MDMTTTTTTPTPTNNNNTNTPTPKSPEAYTETPARIHDTKPLSFTNGVLKRHPPHHHHHHHHHFSPSPIVIAYKECLKNHAATIGGHALDGCGEFMPSPIATHTNPTSLKCAACGCHRNFHRREPEDSPPHTATTTTIQYQSHHRHHPLPPPQAQPLHNGSPNSASPPPISSSYYPSGPHILLALSGGVSGLNENANINVPPPVGSSPRKRFRTKFSQSQKERMYQFAERVGWKMQKRDEDLVQEFCNEVGVDRGVLKVWMHNNKNSLGKKEHLNGNNNDILRSNNLENSDNSNNEANKNITNDNNNGSEGLNHHHNHHHFENESHVGVHVGTNGSSSSS >Potri.003G096100.2.v4.1 pep chromosome:Pop_tri_v4:3:12196523:12204272:1 gene:Potri.003G096100.v4.1 transcript:Potri.003G096100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096100.v4.1 MVEGRVCLSKEARNGLEYLKRKRLQKMKLESVTETVSIPSMMSRSGGDALRASASCGVRINGNMESFSRSAGASSGKDVFSKRKVEKFDTSDLEWTEKIPECPVYCPTKEEFEDPLVYLQKIAPEASRYGICKIISPISASVPAGIVLMKEKAGFKFTTRVQPLRLAEWDSSDRVTFFMSGRNYTFHDFEKMANKVFARRYCSASCLPATYMEKEFWHEIACGKTETVEYACDVDGSAFSSSPRDPLGNSKWNLKNLSRLPKSILRLLGPAIPGVTDPMLYIGMLFSVFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALKFEKVVREHVYSHDILSTDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASWRYALLNRVPLLPHEELLCKEAMLLYTSLELEDSDYSSADLVSHNWIKASFVKLMRFHHRARWSIMKSRACTGLLPNTNGTILCTLCKLDCYVAFLNCSCDLHPVCLRHDFSSLGFSCGRNHTLFLREDISNMEAVAKKFEKEDGILEEIRRQANTGDDLYSYQLSVKFHCVPEDGYFPYCDISFDFNAETPAITWECSQEFSKSTNKYGIGNFRPEYSEASISCAASTLCSFGEPVESFSASDNVQADFNAGKLDPERLFEEGLHSKHEYSVSSQSHDDEFLRIQKSNPRGLEVKSSVDEQSDDSDSEIFRVKRRSSLKVEKRVVNDAASSKNSEHQGLKRLKKLQHEGRYGQTTSSEYCRADESNHGSTSSNSDYKEAPECASKDRVARGSTIPFSIKFKKLTSKEEMGRQREHHRLDRFQHELGKTTREPPPIEIGPKRLKVRGPSSLGSESRLD >Potri.003G096100.5.v4.1 pep chromosome:Pop_tri_v4:3:12196672:12204270:1 gene:Potri.003G096100.v4.1 transcript:Potri.003G096100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096100.v4.1 MVEGRVCLSKEARNGLEYLKRKRLQKMKLESVTETVSIPSMMSRSGGDALRASASCGVRINGNMESFSRSAGASSGKDVFSKRKVEKFDTSDLEWTEKIPECPVYCPTKEEFEDPLVYLQKIAPEASRYGICKIISPISASVPAGIVLMKEKAGFKFTTRVQPLRLAEWDSSDRVTFFMSGRNYTFHDFEKMANKVFARRYCSASCLPATYMEKEFWHEIACGKTETVEYACDVDGSAFSSSPRDPLGNSKWNLKNLSRLPKSILRLLGPAIPGVTDPMLYIGMLFSVFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALKFEKVVREHVYSHDILSTDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASWRYALLNRVPLLPHEELLCKEAMLLYTSLELEDSDYSSADLVSHNWIKASFVKLMRFHHRARWSIMKSRACTGLLPNTNGTILCTLCKLDCYVAFLNCSCDLHPVCLRHDFSSLGFSCGRNHTLFLREDISNMEAVAKKFEKEDGILEEIRRQANTGDDLYSYQLSVKFHCVPEDGYFPYCDISFDFNAETPAITWECSQEFSKSTNKYGIGNFRPEYSEASISCAASTLCSFGEPVESFSASDNV >Potri.003G096100.1.v4.1 pep chromosome:Pop_tri_v4:3:12196672:12204272:1 gene:Potri.003G096100.v4.1 transcript:Potri.003G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096100.v4.1 MVEGRVCLSKEARNGLEYLKRKRLQKMKLESVTETVSIPSMMSRSGGDALRASASCGVRINGNMESFSRSAGASSGKDVFSKRKVEKFDTSDLEWTEKIPECPVYCPTKEEFEDPLVYLQKIAPEASRYGICKIISPISASVPAGIVLMKEKAGFKFTTRVQPLRLAEWDSSDRVTFFMSGRNYTFHDFEKMANKVFARRYCSASCLPATYMEKEFWHEIACGKTETVEYACDVDGSAFSSSPRDPLGNSKWNLKNLSRLPKSILRLLGPAIPGVTDPMLYIGMLFSVFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALKFEKVVREHVYSHDILSTDGEDGAFDVLLGKTTLFPPNILLEHDVPVYKAVQKPGEFIITFPRAYHAGFSHGFNCGEAVNFAIGDWFPLGAVASWRYALLNRVPLLPHEELLCKEAMLLYTSLELEDSDYSSADLVSHNWIKASFVKLMRFHHRARWSIMKSRACTGLLPNTNGTILCTLCKLDCYVAFLNCSCDLHPVCLRHDFSSLGFSCGRNHTLFLREDISNMEAVAKKFEKEDGILEEIRRQANTGDDLYSYQLSVKFHCVPEDGYFPYCDISFDFNAETPAITWECSQEFSKSTNKYGIGNFRPEYSEASISCAASTLCSFGEPVESFSASDNADFNAGKLDPERLFEEGLHSKHEYSVSSQSHDDEFLRIQKSNPRGLEVKSSVDEQSDDSDSEIFRVKRRSSLKVEKRVVNDAASSKNSEHQGLKRLKKLQHEGRYGQTTSSEYCRADESNHGSTSSNSDYKEAPECASKDRVARGSTIPFSIKFKKLTSKEEMGRQREHHRLDRFQHELGKTTREPPPIEIGPKRLKVRGPSSLGSESRLD >Potri.006G211700.1.v4.1 pep chromosome:Pop_tri_v4:6:21858942:21864615:1 gene:Potri.006G211700.v4.1 transcript:Potri.006G211700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211700.v4.1 MSLLQCQLKPSPPSSSFPSPLHMKNLQSRRRIPFPSKPLQLTNPTLKLPSKTLLKPLYSTFSLFSSIAPQQRQQLQIGPHKQQQQGNTNEPFDPLSIIKPFIFSQYKPILLGWLCSLLSVLSLSQLVPKFGQFSSSIGKINGVTLRNDGLVLAGLFLAKLIATYGQHALLWEAALNASYKIRVFVFERVLERELGFFEGGGAVSSGDVAYRITAEAADVADTLYALLNTIVPSALQLSAMASRMLVISPALSLISAMVIPCTALAIAYLGTRLRKISKKAQLTISALSAYLNEVLPAILFVKASNAEFCEIARFERLAYADLSALLTKRKMKAFIPQIVQIIYFGALSILCVGSMVVSSGCFDGCSMVSFITSLIFVVEPIQDVGKAYNEWKQGEPAIERLFDLTRFKSKVTEKPDAVDLDHVSGDVKFCDISFRYGENSPLVLNGLNLHIKAGETVALVGPSGGGKTTLIKMLLRLYDPLHGCILVDNQNIQNVQLESLRRHVGLVSQDISLFSGTVAENIGYRDIMTKIDMEKVELAAQTANADEFIRKLPKGYQTNIGPRGSSLSGGQKQRLAIARALYQDSSILILDEATSALDSRSELLVRQAVERLMENHTVLVIAHRLETVLMAKRVLLLDDGKLQELTPSLLGSHHSSQTFTGLVI >Potri.006G211700.4.v4.1 pep chromosome:Pop_tri_v4:6:21858952:21864489:1 gene:Potri.006G211700.v4.1 transcript:Potri.006G211700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211700.v4.1 MSLLQCQLKPSPPSSSFPSPLHMKNLQSRRRIPFPSKPLQLTNPTLKLPSKTLLKPLYSTFSLFSSIAPQQRQQLQIGPHKQQQQGNTNEPFDPLSIIKPFIFSQYKPILLGWLCSLLSVLSLSQLVPKFGQFSSSIGKINGVTLRNDGLVLAGLFLAKLIATYGQHALLWEAALNASYKIRVFVFERVLERELGFFEGGGAVSSGDVAYRITAEAADVADTLYALLNTIVPSALQLSAMASRMLVISPALSLISAMVIPCTALAIAYLGTRLRKISKKAQLTISALSAYLNEVLPAILFVKASNAEFCEIARFERLAYADLSALLTKRKMKAFIPQIVQIIYFGALSILCVGSMVVSSGCFDGCSMVSFITSLIFVVEPIQDVGKAYNEWKQGEPAIERLFDLTRFKSKVTEKPDAVDLDHVSGDVKFCDISFRYGENSPLVLNGLNLHIKAGETVALVGPSGGGKTTLIKMLLRLYDPLHGCILVDNQNIQNVQLESLRRHVGLVSQDIVRF >Potri.011G091200.22.v4.1 pep chromosome:Pop_tri_v4:11:11736589:11769782:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.011G091200.20.v4.1 pep chromosome:Pop_tri_v4:11:11735840:11769957:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.011G091200.24.v4.1 pep chromosome:Pop_tri_v4:11:11735868:11769318:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.011G091200.19.v4.1 pep chromosome:Pop_tri_v4:11:11735979:11769961:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.011G091200.23.v4.1 pep chromosome:Pop_tri_v4:11:11735864:11769777:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.011G091200.18.v4.1 pep chromosome:Pop_tri_v4:11:11736706:11769972:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.011G091200.17.v4.1 pep chromosome:Pop_tri_v4:11:11735820:11770082:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.011G091200.12.v4.1 pep chromosome:Pop_tri_v4:11:11735906:11769961:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.011G091200.21.v4.1 pep chromosome:Pop_tri_v4:11:11735907:11769862:-1 gene:Potri.011G091200.v4.1 transcript:Potri.011G091200.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091200.v4.1 MGFEALDWYCKPVRDGVWTKAVQNAFGAYTPCATDTLVVSLSYLVLMALCFYKIWLTKKDFKLQRFCLRSKWYAYLLALLALYSTAEPLYRLVMGISVLNLDGQTGLAPFEIGSLIIEALAWCSLLVMIVVEIKVYIREFRWFVRFGVIYTLVGDAVMLNLILTVKEFYNNAVLHLYISEVIVQGLFGILLLVYVPDLDPYPGYTPMQIESVDDAEYEELPGGEYICPERHANIISKIVFGWMSPLMKLGYRRPITEKDVWKLDTWDRTETLNDRFQKCWAEELRKPKPWLLRALNSSLGGRFWWGGFWKIGNDASQFVGPLVLNQLLKSMQEGDPAWIGYVYAFSIFAGVVFGVLCEAQYFQNVMRVGYRLRATLVAAVFRKSLRLTHEGRRKFASGKITNLMTTDAEALQQICQSLHTLWSAPFRIIVAMVLLYQQLNVASLLGALMLVLLFPIQTFVISRMQKLSKEGLQRTDKRIGLMNEILAAMDTVKCYAWESSFQAKVQGVRDDELSWFRKASLLGACNSFILNSIPVMVTVISFGMYTLLGGNLTPARAFTSLSLFAVLRFPLFMLPNMITQVVNANVSLKRLEELFLAEERILLPNPLLDPCLPAVSIKNGYFSWDSKAERPTLSNINLDVPIGSLVAVVGSTGEGKTSLVSAMLGELPATSDASVVIRGTVAYVPQVSWIFNATVRDNILFGSPFDSARYEKAIDVTALQHDLDLLPGGDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAQVGRQVFDKCIKGELSKKTRILVTNQLHFLSQVDRIILVHEGMVKEEGTFEDLSNNGMLFQKLMENAGKMEEYEEQENNEIVDHKTSSKQVANGVMNNLPKNVSGTKKPKEGKSVLIKQEERETGVVNLKVLIRYKNALGGAWVVMVLFMCYLMTEVLRVSSSTWLSNWTNQGTSKRHGPLYYNLIYSFLSIGQVSVTLLNSYWLITSSLYAAKRLHDAMLNSILRAPMVFFHTNPLGRIINRFAKDLGDIDRNVAIFVNMFMGQISQLLSTFVLIGIVSTMSLWAIMPLLVLFYGAYLYYQSTAREVKRLDSITRSPVYAQFGEALNGLSTIRAYKAYDRMASINGKSMDNNVRYTLVNMGANRWLAIRLETLGGIMIWFTATFAVMQNGRADNQQAFASTMGLLLSYALNITSLLTAVLRLASLAENSLNSVERVGTYIELPSEAPLVIESNRPPPGWPSSGAIKFEDVVLRYRPELPPVLHGLSFTIFPSDKVGIVGRTGAGKSSMLNALFRIVELERGRILIDDCDISKFGLMDLRKVLGIIPQAPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDVIRRNSLGLDSEVTEAGDNFSVGQRQLLSLARALLRRSKILVLDEATAAVDVRTDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRVILLDSGRVLEYDTPEELLSNENSAFSKMVQSTGAANAQYLRSLVMGGERESRLGREENKQLDGPRRWLASSRWAAAAQFALAVSLTSSQNDLQQLEIEDENSVLKKTKDAVVTLQRVLEGKHDKVIDESLNQYQISRDGWWSALYKMVEGLAMMSRLGRHRLHQSDYGLEDKTIDWNHVEM >Potri.013G054000.1.v4.1 pep chromosome:Pop_tri_v4:13:3916133:3918241:1 gene:Potri.013G054000.v4.1 transcript:Potri.013G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054000.v4.1 MKNPQSSLPPGFRFHPTDEELILHYLKKKLASTPFPVSIIADVDIYKFDPWDLPAKASLGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKIIMASTMAPGGVVGGQENIGVKKALVFYKGKPPKGVKTNWIMHEYRLADNPACNNNKKSMKPKDSSMRLDDWVLCRIYKKSHALTSSPRALISSEHDQEEEEEQQQQQQFVQETLLPISNKNNLMSQKSCSFSNLFDAMDYSMWSSFLADTPFNPTGFESNPTLNSTATQLDQPFFSNSNSASNSNSTSSGSFLQKLPQLNTSMPNMQENKLKRQLPHIDEDLLHPSKKFMNSCSFTNTNNNTQTDMGQYNFVSQPFLDQQLLLSPHLQFLG >Potri.005G225800.1.v4.1 pep chromosome:Pop_tri_v4:5:22661981:22666583:-1 gene:Potri.005G225800.v4.1 transcript:Potri.005G225800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225800.v4.1 MGLRDIGATLPPGFRFYPSDEELVCHYLYKKITNEQVLKGTLVEVDLHTCEPWQLPEVAKLNATEWYFFSFRDRKYATGFRTNRATTTGYWKATGKDRTVLDPTTREVVGMRKTLVFYRNRAPNGIKTGWIMHEFRLETPHMHPKEDWVLCRVFHKCKAEDYNAKFSPQLMFETTTSSGTVNLAASPLSTDHQTLPCGYQQMTSLSSNPPHQNQDQSSLLNLLQLSQDRNANPNISTDISSKAVDDYAFLWDDMNLEETSLGDGVLASNLEDMRFEIDHNSMVFI >Potri.004G207700.2.v4.1 pep chromosome:Pop_tri_v4:4:21506078:21510343:-1 gene:Potri.004G207700.v4.1 transcript:Potri.004G207700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207700.v4.1 MESETEMVAFPLLLTPIETNYRACTIPYRFPSDNPKKATPTELQWINVFLNSIASFKKRAETDSTVPDAPLRAEKFAQRYGDILEDFKKDPESHGGPPDGILLCRLRELILRELGFIDIFKKVKDEENAKAISLFKNVVQLNDAIVDEPKRLENLVRGIFAGNIFDLGSAELAEVFSRDGMSFLASSQNLVPRPWVIDDLDTFKVKWSKKTWKKVVIFVDNSGADIVLGILPFARELLRCGSQVVLAANDMPSINDVTYTELIEIIAKLKDENGQLMGVDMSNLLVANSGNDLPVIDLTRVSQVLAYLASDADLVILEGMGRGIETNLYAQFKCDSLKIGMVII >Potri.004G207700.1.v4.1 pep chromosome:Pop_tri_v4:4:21505997:21510381:-1 gene:Potri.004G207700.v4.1 transcript:Potri.004G207700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207700.v4.1 MESETEMVAFPLLLTPIETNYRACTIPYRFPSDNPKKATPTELQWINVFLNSIASFKKRAETDSTVPDAPLRAEKFAQRYGDILEDFKKDPESHGGPPDGILLCRLRELILRELGFIDIFKKVKDEENAKAISLFKNVVQLNDAIVDEPKRLENLVRGIFAGNIFDLGSAELAEVFSRDGMSFLASSQNLVPRPWVIDDLDTFKVKWSKKTWKKVVIFVDNSGADIVLGILPFARELLRCGSQVVLAANDMPSINDVTYTELIEIIAKLKDENGQLMGVDMSNLLVANSGNDLPVIDLTRVSQVLAYLASDADLVILEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYSEAVS >Potri.010G169500.4.v4.1 pep chromosome:Pop_tri_v4:10:17153389:17158303:-1 gene:Potri.010G169500.v4.1 transcript:Potri.010G169500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169500.v4.1 MFRPVQAVPIQKLESMAASIAESIGLHLPPVYFYGIASRYLKQLSLPVEKILPHACRIYEWSMPPDQWFSTNELRLPSRVCVLSILIVAIRIIYNINGFGAWEGSLSGYTSRLDFPCSSQMRVDEKDSGSPHKLDDSDEKSIGNRSHVQRSELDSAELLRNLDAKYNEISDTYEFTRDLPSYLQYCNEVVFCGAGSSHVNHREDELIKKLWDFYQSEKYSDPAEDDGAQNRTVFNGKRSRNDNGLARDQMGKKKIREETHECLSTDTCNSTGDENNDDSTETVKDKAIRKLKLDMAENRFYYIPPRLKIKRFDYLHYVRRVGDGALTYVAHADYYILLRSFARAAQVDTRIMHIGVMNFERRVAWMEKRIDYCLHLTPPSFTCEYCRDVPDHSDDDDAIGLSQLHL >Potri.010G169500.1.v4.1 pep chromosome:Pop_tri_v4:10:17153327:17156980:-1 gene:Potri.010G169500.v4.1 transcript:Potri.010G169500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169500.v4.1 MEREEGEVRNLQCESCGYIGLEESDGFYYCQQCGAQAQGIMETGVADEDFVEKGLDAAALYSHRHIRRSQPTHCPQPTADPSSIAWLSFTQEEAEPDNNNHTQNYIKREDDYGYDYNDDGVFGPTEPEDFGGLSKAKLSYEDYYNEVRIKYVLGMGLMIRLQCEALVEKFNVTPLIVGVASTVWLRFLLTTGVFKDSWANDVLIDSEMQKPETTELSVEPEDKRRVRYHRHEPRNSFGQRAVLIWFRYLRKEIPLHYSLVISFLACHVAREAVLPTDIVKWSVEGKLPYFDAHVEIEKHFGHSSPACCISSSLMFRPVQAVPIQKLESMAASIAESIGLHLPPVYFYGIASRYLKQLSLPVEKILPHACRIYEWSMPPDQWFSTNELRLPSRVCVLSILIVAIRIIYNINGFGAWEGSLSGYTSRLDFPCSSQMRVDEKDSGSPHKLDDSDEKSIGNRSHVQRSELDSAELLRNLDAKYNEISDTYEFTRDLPSYLQYCNEVVFCGAGSSHVNHREDELIKKLWDFYQSEKYSDPAEDDGAQNRTVFNGKRSRNDNGLARDQMGKKKIREETHECLSTDTCNSTGDENNDDSTETVKDKAIRKLKLDMAENRFYYIPPRLKIKRFDYLHYVRRVGDGALTYVAHADYYILLRSFARAAQVDTRIMHIGVMNFERRVAWMEKRIDYCLHLTPPSFTCEYCRDVPDHSDDDDAIGLSQLHL >Potri.002G194600.2.v4.1 pep chromosome:Pop_tri_v4:2:15745207:15750053:-1 gene:Potri.002G194600.v4.1 transcript:Potri.002G194600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194600.v4.1 MSKRKFGFEGFGINRQATYNFERSQAPQRLYVPPSSRQNHDNYEDTDLDNIDYDDNDAAKESAENNGSAAEIDPLDAFMEGIHEEMRAAPPPKAKEKVERYKDDDDEEDDPMESFLRAKKDLGLTLAADALRAGYDSDEEVYAAAKAVDAGMLEYDSDDNPVVVDKKKIEPIQALDHGSIEYEPFSKDFYEESPSISEMSEQDVAEYMKSLAIRVSGFEVPRPIKTFEDCGFSPQLMNAIAKQGYEKPTPIQCQALPIVLSGSDIIGMAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLETKKFSKSHGIRVSAVYGGMSKLDQFKELKAGCEIVIATPGRLIDMLKMKALNMSRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKIEKLAREILTDPVRVTVGEVGRANEDITQVVQVIPSDAEKLPWLIEKLPGMIDEGDVLVFASKKATVDDIESQLAQKAFKVAALHGDKDQASRMEILQKFKSGVYHVLVATDVAARGLDIKSIKSVVNFDIAREMDVHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSVELMDLAMKVGKRVKGGVEAVEVYVEWILVLALVIIQNPIVPHLLLLQVDLLLLQVDLLLLIR >Potri.002G194600.3.v4.1 pep chromosome:Pop_tri_v4:2:15745235:15750122:-1 gene:Potri.002G194600.v4.1 transcript:Potri.002G194600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194600.v4.1 MSKRKFGFEGFGINRQATYNFERSQAPQRLYVPPSSRQNHDNYEDTDLDNIDYDDNDAAKESAENNGSAAEIDPLDAFMEGIHEEMRAAPPPKAKEKVERYKDDDDEEDDPMESFLRAKKDLGLTLAADALRAGYDSDEEVYAAAKAVDAGMLEYDSDDNPVVVDKKKIEPIQALDHGSIEYEPFSKDFYEESPSISEMSEQDVAEYMKSLAIRVSGFEVPRPIKTFEDCGFSPQLMNAIAKQGYEKPTPIQCQALPIVLSGSDIIGMAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLETKKFSKSHGIRVSAVYGGMSKLDQFKELKAGCEIVIATPGRLIDMLKMKALNMSRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKIEKLAREILTDPVRVTVGEVGRANEDITQVVQVIPSDAEKLPWLIEKLPGMIDEGDVLVFASKKATVDDIESQLAQKAFKVAALHGDKDQASRMEILQKFKSGVYHVLVATDVAARGLDIKSIKSVVNFDIAREMDVHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSVELMDLAMKDGRFRSKRDSRKGGGKKGKGRGGGSRGVRGVDFGLGIGYNPESNSTSSPAVTIDLLLLIR >Potri.002G194600.1.v4.1 pep chromosome:Pop_tri_v4:2:15745218:15750122:-1 gene:Potri.002G194600.v4.1 transcript:Potri.002G194600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194600.v4.1 MSKRKFGFEGFGINRQATYNFERSQAPQRLYVPPSSRQNHDNYEDTDLDNIDYDDNDAAKESAENNGSAAEIDPLDAFMEGIHEEMRAAPPPKAKEKVERYKDDDDEEDDPMESFLRAKKDLGLTLAADALRAGYDSDEEVYAAAKAVDAGMLEYDSDDNPVVVDKKKIEPIQALDHGSIEYEPFSKDFYEESPSISEMSEQDVAEYMKSLAIRVSGFEVPRPIKTFEDCGFSPQLMNAIAKQGYEKPTPIQCQALPIVLSGSDIIGMAKTGSGKTAAFVLPMIVHIMDQPELEKEEGPIGVVCAPTRELAHQIYLETKKFSKSHGIRVSAVYGGMSKLDQFKELKAGCEIVIATPGRLIDMLKMKALNMSRATYLVLDEADRMFDLGFEPQIRSIVGQIRPDRQTLLFSATMPRKIEKLAREILTDPVRVTVGEVGRANEDITQVVQVIPSDAEKLPWLIEKLPGMIDEGDVLVFASKKATVDDIESQLAQKAFKVAALHGDKDQASRMEILQKFKSGVYHVLVATDVAARGLDIKSIKSVVNFDIAREMDVHVHRIGRTGRAGDKDGIAYTLITQKEARFAGELVNSLIAAGQNVSVELMDLAMKDGRFRSKRDSRKGGGKKGKGRGGGSRGVRGVDFGLGIGYNPESNSTSSPAVTSRSPAVTSRSTAVNSLRTGVMAQFKSNFVAATSNSQSPGLNTSSSVYANKRPALRGFVSGGSIGGDMNRPQTTSSLAGFVSGGSIGEDMDRPRTTSSLPGFASGGSIGGDATQTRTVSQNSGGNTSQKNTEGSRDRGRERRRPSGWDR >Potri.006G014600.1.v4.1 pep chromosome:Pop_tri_v4:6:922299:922646:1 gene:Potri.006G014600.v4.1 transcript:Potri.006G014600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014600.v4.1 MKRQTIALPFSLLFIAITLAVVDAFSAGGWTPIKNMNDTQAIEIAEFAITENNKQASSNLELDSIVKGLERDAGDNKNYQLVLTVKGGKADEQYEADVNVSGNLKALILFVPLKG >Potri.016G074000.1.v4.1 pep chromosome:Pop_tri_v4:16:5548836:5551250:-1 gene:Potri.016G074000.v4.1 transcript:Potri.016G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074000.v4.1 MSTTAFISTIARRLQGKVALITGGASGIGECTAKVFSHHGAKVVIADIQDELGHSVVEALGPSNSTYVRCDVTEEAQIKNAVDKAISTYGKLDIMLNNAGIADDSKARIIDNEMADFERVLKINVTGVFLGIKHAARVMIPARSGTIISTASVSSLLGAAASHAYCCSKHAVLGLTRNAAAELGQFGIRVNCLSPYALATPLARKFIGVDDDEALEIAMNSFANLKGVTLKTEDVANAALYLASDEARYISGHNLFIDGGFSVQNPTFQMFQYPDS >Potri.004G008000.1.v4.1 pep chromosome:Pop_tri_v4:4:499439:500962:-1 gene:Potri.004G008000.v4.1 transcript:Potri.004G008000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G008000.v4.1 MASLQVSGMVVSGSSSSFCSHKSLVRATINGPKIRIGPLSLPSLSSKDFIEELSIRSVGYGIPITTQMEKGSGPKTRSSDPVVVAKLYAVMEAVADRIEMHKNIGEQRDNWNHLLLSSIHAMTLTAATMCGLAAASASGEPLAGLKVSSTILYLAATGMLAIMNKIQPSQLAEEQRNAARLFKQLHGQIQTLLSVGSPTVSDVNEATENVLALDKAYPLPLLGAMLERYPSSVEPAVWWPQQRRKQAKGIGRKIEGNGWNRELEDEMREIVGVLKRKDQADYLRLSGKALKAHKVLAFSGPLLTGLGALGSAFVGTTNPWAVILGVAGGALASVVNAMEHGGQVGMIFEMYRSNAGFFKLMEESIESNINETNVWGRENGQVYEMKVALQLGRSLSDLRNLAASSSLRNIEEDTEEEFGSKLF >Potri.007G058700.2.v4.1 pep chromosome:Pop_tri_v4:7:6246378:6249577:-1 gene:Potri.007G058700.v4.1 transcript:Potri.007G058700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G058700.v4.1 MAQETNASLQIERLDGGCSGTCKGSVSAMEDEKDENLDNSNQLTTGKPPRNVKMVRHCSSSAFFTDFESEIAILGLVSPSSENSVFLPIFRSGSWSEKGPKQYMEDEHICVDNLHKHLVTSAELPSPGAFYGVFDGHGGTDAASFTRENILNFIVEDSQFPSGTKRAIKSAFVKTDHALADTKSIDSSSGTTVLMALILGRTMLIANAGDSRAVLGKRGRAVELSKDHKPNCSSEKQRIERLGGVIYDGYLNGQLSVARALGDWHIKGSKGSKSPLSSEPELKEINLTEDDEFLILGCDGLWDVMSSQCAVTMVRKELMMHNDPERCSKALVTEALQRNTCDNLTVLVICFSPDPPPKIEIPRNHRRRSISAEGLDRLKGILNN >Potri.003G162550.1.v4.1 pep chromosome:Pop_tri_v4:3:17213133:17213923:1 gene:Potri.003G162550.v4.1 transcript:Potri.003G162550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162550.v4.1 MFIIQKIISPICPPPLSPPPLWLPSSVLLHSFASSTVLPSSVLLHSFDLHCASLVSWICPPSRSFPLSTSHITISFLLHFPLCNLPLLSPLCFPLSCQAQLSKVRKKPTMSAVETSIWTSQRMAHTRKLKAQAPLTQVRKKGTTPRHV >Potri.007G074014.1.v4.1 pep chromosome:Pop_tri_v4:7:9626345:9629423:1 gene:Potri.007G074014.v4.1 transcript:Potri.007G074014.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074014.v4.1 MSFTGAPPLPAVTAAATTTSKQYFCYQCNRTVSITPSSYDDPFCPICHDSFIEEFETQNPQNPNPFSDSYFNDPFDPFSSLFPLLFQNSGNFSHPEFPTRPGFSDPNAFNPLEFLRSHLQNLHSGGGRVQFVIDNNGHEPGLRFPDGNFGDYFIGSGLEQLIQQLAENDPNRYGTPPASKKAIEALPTMKVTEEMMKSEMNNQCAVCKDEFEGGEEVKGMPCKHVFHEDCIIPWLNMHNSCPVCRYELPTDDPDYENRSPGGQGSGGGSAGGMERRFTISIPRAFGGSGGGGGQSSS >Potri.007G074014.2.v4.1 pep chromosome:Pop_tri_v4:7:9626267:9638735:1 gene:Potri.007G074014.v4.1 transcript:Potri.007G074014.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074014.v4.1 MSFTGAPPLPAVTAAATTTSKQYFCYQCNRTVSITPSSYDDPFCPICHDSFIEEFETQNPQNPNPFSDSYFNDPFDPFSSLFPLLFQNSGNFSHPEFPTRPGFSDPNAFNPLEFLRSHLQNLHSGGGRVQFVIDNNGHEPGLRFPDGNFGDYFIGSGLEQLIQQLAENDPNRYGTPPASKKAIEALPTMKVTEEMMKSEMNNQCAVCKDEFEGGEEVKGMPCKHVFHEDCIIPWLNMHNSCPVCRYELPTDDPDYENRSPGGQGSGGGSAGGMERRFTISIPRAFGGSGGGGGQSSS >Potri.012G123450.1.v4.1 pep chromosome:Pop_tri_v4:12:14044749:14045510:1 gene:Potri.012G123450.v4.1 transcript:Potri.012G123450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123450.v4.1 MVLHFSVHGLSQVNMETKYNWIVKRIFSCTHWLVLGVRFSFQNLGKSLGCGTICHVHTRRKELD >Potri.006G121900.2.v4.1 pep chromosome:Pop_tri_v4:6:9676244:9678370:-1 gene:Potri.006G121900.v4.1 transcript:Potri.006G121900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121900.v4.1 MKQQRTYVLCSCSLIFVLVFSPLSVTAQEVEDEREFDYTEGSEKGPAHWGDIKTEWADCKTGSLQSPIDMSDRRVKMVQKTENIKRNYRPFNATLKNRGHDIMVQWEGFDKAGSIQINGARYFLQQCHWHSPSEHTINGRSYDMELHMLHTTPEQKIAVIGYLYKTGKPDAFLSKLLNDIVSMTDQKMERNIGIVDPREIKFGGKKYYRYMGSLTTPPCKQGVIWTINKKIRTISKDQVRALRVAVHDYAEKNARPLQPLNQREIHFHGRSPKDTYN >Potri.001G135800.1.v4.1 pep chromosome:Pop_tri_v4:1:11047317:11050254:-1 gene:Potri.001G135800.v4.1 transcript:Potri.001G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135800.v4.1 MAPGLINANPVIYEKKERRVRSVDENEYTAAESIDQLEVFDHIRDIKDPEHPYSLEELKVITEDAIEVDDKLSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPQRYKVDIKVAPGTHATEAAVNKQLNDKERVAAALENPNLVDMVDECLAPTYA >Potri.006G048100.1.v4.1 pep chromosome:Pop_tri_v4:6:3300247:3301686:1 gene:Potri.006G048100.v4.1 transcript:Potri.006G048100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048100.v4.1 MAVSHLAGKSPAMLSMMLLFGILLASLDTAGAQIGVCYGMLGNLPPRPEVIALYNERGIQRMRLYDPDQDALRALGGTNIELILGILNPDLQGIASSQDNANAWVQNNVRNFGNVRFRYIAVGNEVKPSDSSAQFLVPAMQNIRNALDSAGLGSIKVSTAIDPEVLTDDSFPPSKGSFRAEYRPLLDPIIRFLVDKQSPLLVNLYPYFTYSGDTAGNIPLDYALFTAPSSPVSDPPLNYQNLFDAILDTIYAALEKSGGGSLDIVVSESGWPTAGGKGTSVDNARTYNNNLVQHVKTGSPKRPGKPIETYIFAMFDEVNKSPELEKNWGLFFPNKQPKYQIDLN >Potri.012G086400.2.v4.1 pep chromosome:Pop_tri_v4:12:11174133:11178421:1 gene:Potri.012G086400.v4.1 transcript:Potri.012G086400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086400.v4.1 MTTSPSPMDITIQNPIETTAISHDLSDGNGVALPSSSPSPILTHRKFLVSVEVCLKPSSTARVEDVRLAVERMLEKRSLSYVDGPIPVPFDDQFLFENVQRICVCDTDEWVTNHDILLFWQVKPVVHVFQLSEEGPCEELGGDGQLSSFNEWILPAKEFDGMWESLIYEFGLKQRLLRYAASALFFTEKGVDPFLVSWNRLILLHGPPGTGKTSLCKALAQKLSIRFNSSKWFSESGKLVAKLFQKIQEMIEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKTSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRTGIISNFQDSDYLMLPNYSTLREKLNAPDIQEAQPVLPFCKQLLEAAEACEGMSGRSLRKLPFLAHAALSNPNSCDASEFLCAMIDTARREHSELPES >Potri.012G086400.3.v4.1 pep chromosome:Pop_tri_v4:12:11168922:11178421:1 gene:Potri.012G086400.v4.1 transcript:Potri.012G086400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086400.v4.1 MLSVEVCLKPSSTARVEDVRLAVERMLEKRSLSYVDGPIPVPFDDQFLFENVQRICVCDTDEWVTNHDILLFWQVKPVVHVFQLSEEGPCEELGGDGQLSSFNEWILPAKEFDGMWESLIYEFGLKQRLLRYAASALFFTEKGVDPFLVSWNRLILLHGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMIEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKTSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRTGIISNFQDSDYLMLPNYSTLREKLNAPDIQEAQPVLPFCKQLLEAAEACEGMSGRSLRKLPFLAHAALSNPNSCDASEFLCAMIDTARREHSELPES >Potri.012G086400.1.v4.1 pep chromosome:Pop_tri_v4:12:11174133:11178421:1 gene:Potri.012G086400.v4.1 transcript:Potri.012G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086400.v4.1 MTTSPSPMDITIQNPIETTAISHDLSDGNGVALPSSSPSPILTHRKFLVSVEVCLKPSSTARVEDVRLAVERMLEKRSLSYVDGPIPVPFDDQFLFENVQRICVCDTDEWVTNHDILLFWQVKPVVHVFQLSEEGPCEELGGDGQLSSFNEWILPAKEFDGMWESLIYEFGLKQRLLRYAASALFFTEKGVDPFLVSWNRLILLHGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMIEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKTSPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRTGIISNFQDSDYLMLPNYSTLREKLNAPDIQEAQPVLPFCKQLLEAAEACEGMSGRSLRKLPFLAHAALSNPNSCDASEFLCAMIDTARREHSELPES >Potri.015G003000.5.v4.1 pep chromosome:Pop_tri_v4:15:183996:210408:1 gene:Potri.015G003000.v4.1 transcript:Potri.015G003000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003000.v4.1 MVVVQADSLSEENVVDHLIDKQKHAAAPVKSAVDKFQLLPEFLKGRGLVKQHLDSFNYFINIGIKKIVRANDRIVSTVDPSLYLRFTDVKIGKPSMVVDAISEQITPHMCRLSDTTYAAPILVNIEYISGSHGRKEKMIKNDVVIGRMPIMLRSCCCVLYGKNEAELARLGECPLDPGGYFVVKGTEKVILIQEQLSKNRIIIDNDKKGNINASVTSSTEATKSKTVILMEKEKMYLCLNQFAKKIPIMVVMKAMGMESDQEVVQMVGRDPRYSALLLPSIEECASHGVYTQQQALEYLEAMVKRSTYSSSSTEKQENRALAILRDVFIANVPVRKNNFRPKCIYVAVMLRRMMEALLNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTMITEVQKTADTLLVKQNRSSRFDFSQYIVRDSITNGLERALSTGNWDVKRFRMNRKGVTQVLVRLSYMASLGHMTRISPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEESPLISLCKCLGVEDLELLSGEELHTPNSFLVIFNGLILGKHRRPQQFANAMRKLRRAGKIGEFVSVFVNEKQRAVYIASDGGRVCRPLVIADKGVSRIKEHHMRELMDGARTFDDFLHEGLIEYLDVNEENNALIALYEWEATPETTHIEIEPFTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLGRMDSLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIVLKKYTCTNQKYENGASDRILRPRKNEERERVLDDDGLAAPGEIIRHGDIYINKESPIETRGPLKSAAALADVKYRPCAQIFKGTEGESCVVDRVALCSDKNNNLCIKYKIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLVKHGFSYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMLTRQPTDGRARNGGLRVGEMEKDCLVAYGTSMLLYERLMVSSDQFEAQVCRACGLLGYYNQKLKAAMCTTCKNGDKVSTMKLPYACKLLIQELQSMNIVPRLKLAEA >Potri.015G003000.7.v4.1 pep chromosome:Pop_tri_v4:15:191479:210409:1 gene:Potri.015G003000.v4.1 transcript:Potri.015G003000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003000.v4.1 MVVMKAMGMESDQEVVQMVGRDPRYSALLLPSIEECASHGVYTQQQALEYLEAMVKRSTYSSSSTEKQENRALAILRDVFIANVPVRKNNFRPKCIYVAVMLRRMMEALLNKDAMDDKDYVGNKRLELSGQLISLLFEDLFKTMITEVQKTADTLLVKQNRSSRFDFSQYIVRDSITNGLERALSTGNWDVKRFRMNRKGVTQVLVRLSYMASLGHMTRISPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEESPLISLCKCLGVEDLELLSGEELHTPNSFLVIFNGLILGKHRRPQQFANAMRKLRRAGKIGEFVSVFVNEKQRAVYIASDGGRVCRPLVIADKGVSRIKEHHMRELMDGARTFDDFLHEGLIEYLDVNEENNALIALYEWEATPETTHIEIEPFTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLGRMDSLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIVLKKYTCTNQKYENGASDRILRPRKNEERERVLDDDGLAAPGEIIRHGDIYINKESPIETRGPLKSAAALADVKYRPCAQIFKGTEGESCVVDRVALCSDKNNNLCIKYKIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLVKHGFSYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMLTRQPTDGRARNGGLRVGEMEKDCLVAYGTSMLLYERLMVSSDQFEAQVCRACGLLGYYNQKLKAAMCTTCKNGDKVSTMKLPYACKLLIQELQSMNIVPRLKLAEA >Potri.015G003000.9.v4.1 pep chromosome:Pop_tri_v4:15:199864:210414:1 gene:Potri.015G003000.v4.1 transcript:Potri.015G003000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003000.v4.1 MGKQAMGNIAYNQLGRMDSLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIVLKKYTCTNQKYENGASDRILRPRKNEERERVLDDDGLAAPGEIIRHGDIYINKESPIETRGPLKSAAALADVKYRPCAQIFKGTEGESCVVDRVALCSDKNNNLCIKYKIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLVKHGFSYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMLTRQPTDGRARNGGLRVGEMEKDCLVAYGTSMLLYERLMVSSDQFEAQVCRACGLLGYYNQKLKAAMCTTCKNGDKVSTMKLPYACKLLIQELQSMNIVPRLKLAEA >Potri.015G003000.8.v4.1 pep chromosome:Pop_tri_v4:15:196347:210410:1 gene:Potri.015G003000.v4.1 transcript:Potri.015G003000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003000.v4.1 MASLGHMTRISPQFEKSRKVSGPRALQPSQWGMLCPCDTPEGEACGLVKNLALMTHVTTDEEESPLISLCKCLGVEDLELLSGEELHTPNSFLVIFNGLILGKHRRPQQFANAMRKLRRAGKIGEFVSVFVNEKQRAVYIASDGGRVCRPLVIADKGVSRIKEHHMRELMDGARTFDDFLHEGLIEYLDVNEENNALIALYEWEATPETTHIEIEPFTILGVVAGLIPYPHHNQSPRNTYQCAMGKQAMGNIAYNQLGRMDSLLYLLVYPQRPLLTTRTIELVGYDKLGAGQNATVAVMSYSGYDIEDAIVMNKASLDRGFGRCIVLKKYTCTNQKYENGASDRILRPRKNEERERVLDDDGLAAPGEIIRHGDIYINKESPIETRGPLKSAAALADVKYRPCAQIFKGTEGESCVVDRVALCSDKNNNLCIKYKIRHTRRPEVGDKFSSRHGQKGVCGTIIQQEDFPFSERGICPDLIMNPHGFPSRMTVGKMIELLGGKAGVSCGRFHYGSAFGEPSGHADRVEAISETLVKHGFSYNGKDFIYSGITGCPLQAYIFMGPIYYQKLKHMVLDKMHARGSGPRVMLTRQPTDGRARNGGLRVGEMEKDCLVAYGTSMLLYERLMVSSDQFEAQVCRACGLLGYYNQKLKAAMCTTCKNGDKVSTMKLPYACKLLIQELQSMNIVPRLKLAEA >Potri.004G041200.1.v4.1 pep chromosome:Pop_tri_v4:4:3299611:3303794:1 gene:Potri.004G041200.v4.1 transcript:Potri.004G041200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041200.v4.1 MYIGSVRKSFKDSLKVLEADIQHANTLASDISRDYDGACLQMRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMTTHERKASIREFYAVIYPSLLQLQRGVTDTEDKEQKAVCMERYRRRDDEEHRQHADVDIEREEECGICMEMNSKIVLPNCHHAMCLKCYREWRSRSQSCPFCRDSLKRVNSGDLWVFTDSKDIVDMATVTRDNLRRLFMYVDKLPLIIPDNLFDTYDSHVR >Potri.004G041200.2.v4.1 pep chromosome:Pop_tri_v4:4:3300935:3303670:1 gene:Potri.004G041200.v4.1 transcript:Potri.004G041200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041200.v4.1 MRMSYSPAAHLFLFLVQWTDCHLAGALGLLRILIYKVYVDGTTTMTTHERKASIREFYAVIYPSLLQLQRGVTDTEDKEQKAVCMERYRRRDDEEHRQHADVDIEREEECGICMEMNSKIVLPNCHHAMCLKCYREWRSRSQSCPFCRDSLKRVNSGDLWVFTDSKDIVDMATVTRDNLRRLFMYVDKLPLIIPDNLFDTYDSHVR >Potri.016G126500.3.v4.1 pep chromosome:Pop_tri_v4:16:12976777:12978621:-1 gene:Potri.016G126500.v4.1 transcript:Potri.016G126500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126500.v4.1 MPCLNISTNVNLDGVNTSAILSEASSQVAKIIKKPESYVMIVLKGSVPISFGGTEEPAAYGELVSIGGLSSDVNKKLSSAISTILLSKLSVPKSRFFLKFYDVQRSHLGWNGSTF >Potri.016G126500.4.v4.1 pep chromosome:Pop_tri_v4:16:12976682:12978621:-1 gene:Potri.016G126500.v4.1 transcript:Potri.016G126500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126500.v4.1 MPCLNISTNVNLDGVNTSAILSEASSQVAKIIKKPESYVMIVLKGSVPISFGGTEEPAAYGELVSIGGLSSDVNKKLSSAISTILLSKLSVPKSRFFLKFYDVQRSHLGWNGSTF >Potri.005G105000.2.v4.1 pep chromosome:Pop_tri_v4:5:7572357:7573678:1 gene:Potri.005G105000.v4.1 transcript:Potri.005G105000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105000.v4.1 MAWLTKVEESTYGDIQLLVPVSNRQLRTDSPGMMPKMLNLLRFRLVEITKACSLKLASGSPHGINKVVLLPSSTNRNTNEYGVLAIFHEGKLGYWRFDEKEWKFIDETSFNYDDIIVYRGQAYVVDRLGTIYWINSSLNLIQYSPPLYGYGSQKTLVESDGDFYVVDRFFHGERRTWNDELHSDVAYPFRYLPICQAKTVSIKVYKLDEEWGTWVNISSLGDKVFVLGNECSFSVSAKDFSGRKGNCIYFIDPLDASRQGELSGGDARVCDLSSGRISKVANFPGHSCMLWPPPNFYC >Potri.013G150100.4.v4.1 pep chromosome:Pop_tri_v4:13:14657815:14660809:-1 gene:Potri.013G150100.v4.1 transcript:Potri.013G150100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150100.v4.1 MMMMATKKLSFSVATSSSASTFIGFHLLRQIRMGMIIFPSKISLLFYNNINNNPICCCLHSSSSYRHKNRTFRNINDALSAFNHMVNTNPLPSIVKFGELFSALVRMKHYKTVVSLSKQMEMAGISHDVLSLTILINCFCRLCHVDYGFSVLGKIIKLGLEPNVITFSTLINGFCIEGKIGRAIELFDVMVARGYKPNVHSYSIIIKGLCRVGKTTEVIKLLEHMKVVGCEPDVVIYNTIVDRLCKDRLVNEAVHIFCKMKGTGILPTVVTYTSLIHGLRNLGRWKEAFGLLNEMKGGNIMPDLVAFSVLIDIMCKEGEVSEARVILKTMTEMGVEPDVATYNTLMNGYCLRMEVVEARKVFEVMISKGRMPDVFSFSILVNGYCKAKRIDEAKQLFDEMTHRGLIPDTVSYNTLISGLCQARRPLEAEELFKDMHSNGYPPNLVTYSILLDCLSKQGYLDQAMGLFRAMENSGLKPDLVTYNIMIDGMCKYGKFKDARELFAELSVKGLQPNNWVCTPTIDGVCKGGLLDEAHKAFRQMEKDDCSPAQGCINGRATY >Potri.013G150100.1.v4.1 pep chromosome:Pop_tri_v4:13:14657812:14660854:-1 gene:Potri.013G150100.v4.1 transcript:Potri.013G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150100.v4.1 MMMMATKKLSFSVATSSSASTFIGFHLLRQIRMGMIIFPSKISLLFYNNINNNPICCCLHSSSSYRHKNRTFRNINDALSAFNHMVNTNPLPSIVKFGELFSALVRMKHYKTVVSLSKQMEMAGISHDVLSLTILINCFCRLCHVDYGFSVLGKIIKLGLEPNVITFSTLINGFCIEGKIGRAIELFDVMVARGYKPNVHSYSIIIKGLCRVGKTTEVIKLLEHMKVVGCEPDVVIYNTIVDRLCKDRLVNEAVHIFCKMKGTGILPTVVTYTSLIHGLRNLGRWKEAFGLLNEMKGGNIMPDLVAFSVLIDIMCKEGEVSEARVILKTMTEMGVEPDVATYNTLMNGYCLRMEVVEARKVFEVMISKGRMPDVFSFSILVNGYCKAKRIDEAKQLFDEMTHRGLIPDTVSYNTLISGLCQARRPLEAEELFKDMHSNGYPPNLVTYSILLDCLSKQGYLDQAMGLFRAMENSGLKPDLVTYNIMIDGMCKYGKFKDARELFAELSVKGLQPNNWVCTPTIDGVCKGGLLDEAHKAFRQMEKDDCSPAQGCINGRATY >Potri.013G150100.5.v4.1 pep chromosome:Pop_tri_v4:13:14657811:14660776:-1 gene:Potri.013G150100.v4.1 transcript:Potri.013G150100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150100.v4.1 MMMMATKKLSFSVATSSSASTFIGFHLLRQIRMGMIIFPSKISLLFYNNINNNPICCCLHSSSSYRHKNRTFRNINDALSAFNHMVNTNPLPSIVKFGELFSALVRMKHYKTVVSLSKQMEMAGISHDVLSLTILINCFCRLCHVDYGFSVLGKIIKLGLEPNVITFSTLINGFCIEGKIGRAIELFDVMVARGYKPNVHSYSIIIKGLCRVGKTTEVIKLLEHMKVVGCEPDVVIYNTIVDRLCKDRLVNEAVHIFCKMKGTGILPTVVTYTSLIHGLRNLGRWKEAFGLLNEMKGGNIMPDLVAFSVLIDIMCKEGEVSEARVILKTMTEMGVEPDVATYNTLMNGYCLRMEVVEARKVFEVMISKGRMPDVFSFSILVNGYCKAKRIDEAKQLFDEMTHRGLIPDTVSYNTLISGLCQARRPLEAEELFKDMHSNGYPPNLVTYSILLDCLSKQGYLDQAMGLFRAMENSGLKPDLVTYNIMIDGMCKYGKFKDARELFAELSVKGLQPNNWVCTPTIDGVCKGGLLDEAHKAFRQMEKDDCSPAQGCINGRATY >Potri.013G150100.3.v4.1 pep chromosome:Pop_tri_v4:13:14657814:14660825:-1 gene:Potri.013G150100.v4.1 transcript:Potri.013G150100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150100.v4.1 MMMMATKKLSFSVATSSSASTFIGFHLLRQIRMGMIIFPSKISLLFYNNINNNPICCCLHSSSSYRHKNRTFRNINDALSAFNHMVNTNPLPSIVKFGELFSALVRMKHYKTVVSLSKQMEMAGISHDVLSLTILINCFCRLCHVDYGFSVLGKIIKLGLEPNVITFSTLINGFCIEGKIGRAIELFDVMVARGYKPNVHSYSIIIKGLCRVGKTTEVIKLLEHMKVVGCEPDVVIYNTIVDRLCKDRLVNEAVHIFCKMKGTGILPTVVTYTSLIHGLRNLGRWKEAFGLLNEMKGGNIMPDLVAFSVLIDIMCKEGEVSEARVILKTMTEMGVEPDVATYNTLMNGYCLRMEVVEARKVFEVMISKGRMPDVFSFSILVNGYCKAKRIDEAKQLFDEMTHRGLIPDTVSYNTLISGLCQARRPLEAEELFKDMHSNGYPPNLVTYSILLDCLSKQGYLDQAMGLFRAMENSGLKPDLVTYNIMIDGMCKYGKFKDARELFAELSVKGLQPNNWVCTPTIDGVCKGGLLDEAHKAFRQMEKDDCSPAQGCINGRATY >Potri.013G150100.2.v4.1 pep chromosome:Pop_tri_v4:13:14657817:14660771:-1 gene:Potri.013G150100.v4.1 transcript:Potri.013G150100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150100.v4.1 MMMMATKKLSFSVATSSSASTFIGFHLLRQIRMGMIIFPSKISLLFYNNINNNPICCCLHSSSSYRHKNRTFRNINDALSAFNHMVNTNPLPSIVKFGELFSALVRMKHYKTVVSLSKQMEMAGISHDVLSLTILINCFCRLCHVDYGFSVLGKIIKLGLEPNVITFSTLINGFCIEGKIGRAIELFDVMVARGYKPNVHSYSIIIKGLCRVGKTTEVIKLLEHMKVVGCEPDVVIYNTIVDRLCKDRLVNEAVHIFCKMKGTGILPTVVTYTSLIHGLRNLGRWKEAFGLLNEMKGGNIMPDLVAFSVLIDIMCKEGEVSEARVILKTMTEMGVEPDVATYNTLMNGYCLRMEVVEARKVFEVMISKGRMPDVFSFSILVNGYCKAKRIDEAKQLFDEMTHRGLIPDTVSYNTLISGLCQARRPLEAEELFKDMHSNGYPPNLVTYSILLDCLSKQGYLDQAMGLFRAMENSGLKPDLVTYNIMIDGMCKYGKFKDARELFAELSVKGLQPNNWVCTPTIDGVCKGGLLDEAHKAFRQMEKDDCSPAQGCINGRATY >Potri.001G356600.2.v4.1 pep chromosome:Pop_tri_v4:1:37104736:37105700:1 gene:Potri.001G356600.v4.1 transcript:Potri.001G356600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356600.v4.1 MQLVRIIFIIAITVALSTTLTVKRIGEDEEKPPKDDQSIDTSTRLSQGLNIMHDGKELMPSKRLSRFLAAEKNPRAADHCNKDNEICQILQGKNYKCCNNKCMDLSTDKQNCGACKRKCKYTEDCCRGECVLLSLDKRHCGKCNNRCQKGEFCVYGMCNYP >Potri.001G004366.1.v4.1 pep chromosome:Pop_tri_v4:1:290575:291331:-1 gene:Potri.001G004366.v4.1 transcript:Potri.001G004366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004366.v4.1 MRPNADGKSPFTSSLDCALKVLKARGLVGLYKGFPLFLCRSLPATTITCMDDVRRYPGLRGICWIVVAQEYPRRSCSLDPTHNCSICKQGFNLLRKLCCQ >Potri.004G196700.1.v4.1 pep chromosome:Pop_tri_v4:4:20891259:20892041:1 gene:Potri.004G196700.v4.1 transcript:Potri.004G196700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196700.v4.1 MEEEHKVKLYGMWASTYVKRVEVALRAKGIACEYIEEDLSNKSQALLQYDPVHNEVPVLVHNGKPITESSIILEYIDETWKQAPRLLPDDPYQRAKVRFWASFIQQQLFEGVSQVITRGGEAQEKAIGELLEKMNIFEEEMKKLLPNGVSVIEVQNLGLLDILVGAVFSPYKAQEEVAGVQILDPEKNPLILSWVTAWNQLTTVQELLPPHDKIVGLLQFVRKTALGSSG >Potri.001G184100.4.v4.1 pep chromosome:Pop_tri_v4:1:16302994:16307880:-1 gene:Potri.001G184100.v4.1 transcript:Potri.001G184100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184100.v4.1 MEVEEGEEGSGGGRREETVEISSENSGPMRSKSDDDFEGEGEHDHEDDDDGDDKNKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKSEMDKLREDNKSMRETINKACCPNCGTATTSRDAALTSTEEQQLRIENAKLKAEVEKLRAVVGKSSPGATASCSAGNEQENRSSLDFYTGIFGLDKSRIMETANQAMEELKKMATAGEPLWIRSVETGREILNYDEYTKVFGSEDSSINGRPKRSIEASRETGVVFIDVPRLVQSFMDVDQWKEMFPCLISKAATVDVICNGEGASRNGAVQLMFAEVQMLTPMVPTREVYFVRYCKQLNAEQWAIVDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLQCQKSTVHTMYRTVVHSGLTFGARHWMATLQLQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCRAICASSYHTWNKVSSKTGEDIRVSSRKNLNDPGEPVGVILCAVSSVWLPVVPHILFDFLRDEARRNEWDIMSNGGPVQTIANLIKGQDRGNAAAILKMKSKENNMWVLQDSCTNAYESMIVYAPVDTNGMQSVITGCDSSNLAILPSGFSILPDGHESRPLVITSRQEERSTEGGCLLTIAFQILTNTSPTAKPTMESVDSINTLISCTLKNIKTSLQCEDS >Potri.001G184100.3.v4.1 pep chromosome:Pop_tri_v4:1:16302895:16307968:-1 gene:Potri.001G184100.v4.1 transcript:Potri.001G184100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184100.v4.1 MGVDMSNPPNSHIKDFFASPALSLSLAGIFRGANVCNGEAAASMEVEEGEEGSGGGRREETVEISSENSGPMRSKSDDDFEGEGEHDHEDDDDGDDKNKKKKRKKYHRHTAEQIREMEALFKESPHPDEKQRQQLSKQLGLAPRQVKFWFQNRRTQIKAIQERHENSLLKSEMDKLREDNKSMRETINKACCPNCGTATTSRDAALTSTEEQQLRIENAKLKAEVEKLRAVVGKSSPGATASCSAGNEQENRSSLDFYTGIFGLDKSRIMETANQAMEELKKMATAGEPLWIRSVETGREILNYDEYTKVFGSEDSSINGRPKRSIEASRETGVVFIDVPRLVQSFMDVDQWKEMFPCLISKAATVDVICNGEGASRNGAVQLMFAEVQMLTPMVPTREVYFVRYCKQLNAEQWAIVDVSIDKVEDNIDASLVKCRKRPSGCIIEDKSNGHCKVIWVEHLQCQKSTVHTMYRTVVHSGLTFGARHWMATLQLQCERLVFFMATNVPTKDSTGVATLAGRKSILKLAQRMTWSFCRAICASSYHTWNKVSSKTGEDIRVSSRKNLNDPGEPVGVILCAVSSVWLPVVPHILFDFLRDEARRNEWDIMSNGGPVQTIANLIKGQDRGNAAAILKMKSKENNMWVLQDSCTNAYESMIVYAPVDTNGMQSVITGCDSSNLAILPSGFSILPDGHESRPLVITSRQEERSTEGGCLLTIAFQILTNTSPTAKPTMESVDSINTLISCTLKNIKTSLQCEDS >Potri.013G074300.1.v4.1 pep chromosome:Pop_tri_v4:13:6202066:6204307:-1 gene:Potri.013G074300.v4.1 transcript:Potri.013G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G074300.v4.1 MASSPSSLVFKVHRREPELIKPAKPTPHEFKLLSDIDDQEGLRYHIPFIQFYRHNPSVQGKDPVKVIREAIAKTLVFYYPFAGRLMAGHNRKLMVECTGEGILFIEADADVTLEQFGDPLQPPFPCLEELLFDVPGSSGVLNCPLLLIQVSRLKCGGFLFSLRLNHTMSDGPGLVQFMAAVGEMARGASAPSVPPVWERHVLNATDPPRVSCRHRAYEEVAGSKSSILTHDHLVHRSFFFSPSDITALRKLVPPHLGYCSSFEILTACLWICRAIALQPDPNEEMRIICLVNAREKLNPPLLPRGYYGNGFGLLAAVATAGELSKRPIGYALELVKKAKAGMTEEYMRSTASLMVSKGRPLFTVPGTYIVSDLRRTGFEKVDFGWGNALYGGTAKAIPELASFYIPFTNKKGEDGVVVQFCLPAPAMERLFKELEGMLKG >Potri.016G103600.1.v4.1 pep chromosome:Pop_tri_v4:16:10646172:10647608:-1 gene:Potri.016G103600.v4.1 transcript:Potri.016G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103600.v4.1 MSSSLSIPTHLSFYKTLSSQNPLFFRKPLSLTLPRKTSIIRMGGGPRTYPGGVSKWQWKRMQKNKAKQLLKARLSRERQIYEMRKRAELKASVSELERPWEVVQKAPKLFSVGADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGVPSARFFPKGVVHSVKPYGKVSASGFGELSGEMPDSELESYTESETEAESEYENDGMSVNGKLSREDEDGSVLESAYGENPDGKLGMDKRNAKRINGKHRKKGNRRRFGSGFNAFDSGQVGFEKEKRVGGISNFDAGGNNRSKNGSRISKTRGLQNRRDSNSEVHVMSLQRDGSYGFQVENEKFDSDSWDVEAFEFDSF >Potri.006G005600.1.v4.1 pep chromosome:Pop_tri_v4:6:391068:393552:1 gene:Potri.006G005600.v4.1 transcript:Potri.006G005600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005600.v4.1 MSYFSGCNNLVTMMNFIISTIFIIFTITLQASTAKAENPLQEFSSTEELVQWAGYGEEKLSTVLVTGTVLCEACLHGENQLHAWPISGALVNVECHTSTKRSKKSSAQAITDEYGDFLIDLPSHLHGIPHLERICSVKVLRLPQNSVCRPAHARKQKALKLSSVGNGIRNYSAGEIKFLQVTSKPLQACNERGSSDKQIAW >Potri.001G437950.1.v4.1 pep chromosome:Pop_tri_v4:1:46413821:46415568:1 gene:Potri.001G437950.v4.1 transcript:Potri.001G437950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437950.v4.1 MGLAGLLWYLRKRRLREKYLNELLTLDSTNETHELENDGNKGHNLKLYNAATIMAATNSFSADNKLGQGGFGPVYKGKLPDGREIAVKRLSRSSGQGLVEFKNELILIAKLQHMNLVRLLGCCIQGEEKMLVYEYMPNKSLDTFIFDKSKRELIDWKKRFEIIEGIAQGLLYLHKYSRLRIIHRDLKAGNILLDENLNPKISDFGMARIFKINDLEGNTNQIVGTRGYMSPEYVMEGIFSVKSDGFSFGVRLLEIVSGRRIQGLLEIDGHPLNLVGYAWELWKAGSPFELVDPTLRESCSKDQVLRCIHVGLLCVEDNAVDRPIMSDVISMLTSEAQLPLPKQPAFSNARSIVEEKSLSKPAEIGSINYVSLSTKDVR >Potri.001G080000.1.v4.1 pep chromosome:Pop_tri_v4:1:6361963:6362848:1 gene:Potri.001G080000.v4.1 transcript:Potri.001G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080000.v4.1 MAELQQSQQPGKQPRSQQIVKATTAVTAGGSLLVLSGLTLAATVILLTIATPLLVIFSPVIVPAVMAVSLLLMGFLASGGFGVAGITAMSWIYGYVTGRHPPGSDQLEQARIKLAVKAREMKDRAEQFGHQVIS >Potri.017G151800.1.v4.1 pep chromosome:Pop_tri_v4:17:14899835:14904290:-1 gene:Potri.017G151800.v4.1 transcript:Potri.017G151800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G151800.v4.1 MDRNGRDDIKVENGYDLEDDYDHRKKDKKEEQEEELSVERIFENQEVPSWRNQLTLRAFVVSFVLSILFSVIVMKLNLTTGIIPSLNVSAGLLGFFFIKTWTKFLEKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSGTVAKQSTEDSDAFKNPSLSWMIGFLFVVSFLGLFSVVPLRKVMIIDFKLTYPSGTATAYLINSFHTPAGAKLAKKQVIALGKFLSFSFLWGFFQWFYTAGDGCGFAEFPSLGLKAHENKFFFDFSATYVGVGMICPYIINISVLLGGILSWGLMWPLIDTKKGDWYPADLKPGSLHGLQGYKVFIAIAMILGDGLYNFFKVLSRTLTVLFFQLQRKDATGALPIADRSSPETSRISYNDQRRTQLFLKDQIPTWFAVAGYVAIAAISTATLPHIFPELKWYYILVIYIFAPALAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGASHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLSSPRSMFVSQLIGTAMGCIISPCVFWLFFKAFKDLGTPGSQYPAPYATVYRNMAILGVDGFSSLPKNCLYLCYGFFGAAILINLIKDALGKKWARFIPNPMAMAIPFYIGPYFAIDMCVGSLILFIWEKIDKAKADAFGPAVASGLICGDGIWTLPSAILALVGVKPPICMKFLSRGTNAKVDAFLGS >Potri.001G312900.1.v4.1 pep chromosome:Pop_tri_v4:1:32377656:32382389:1 gene:Potri.001G312900.v4.1 transcript:Potri.001G312900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312900.v4.1 MATTATRTTTPTTTKPPQPLPPNFLPYIDMTTLSQSELHKLSLTFSTPPSTTNNTITPTIDRTNFNESAGSRRQTFARPSHHHHRHRLAATPFTKTLPDPPNNPIPNDPDRLENPTIIKFLKNLLSSHPEFQEPDFSVEFDTFNHFNHPITIISNSLKPRQVLDFDMPPGVRKRKRGRKPKVKALSIVHREMGLEIVNRKGVVVDLVGLACLDDPYKDELKRRTEGMEKEEELLGFFRELGGQWCSRRKKRKIVDAGEFGDFLPVGWKLILGLKRKEGRAWVYCRRYLSPSGQQFISCKDVSAYLQSLVGPYDAQQAKDHTGHSIQQDHGGAPVSHAGAIERLEDQRQSIEHQKQVSLLETDNLAEVQIRDLFECHKCRMTFDEKGTYLEHLLSFHQRTTRRYRLGSSVGDGVIVKDGKFECQFCHKVFHERRRYNGHVGIHVRNYVRGIEDSPGVKNYMRGIEESPAVQLALQKSDPPTPDDLPTRISKMDALIEIAQNSIRETSSSGANDEQNVVSDSKLPASVSEHELNSDSPPSEPQMEDSIPGKSLELNLHQQKVDFMVIDEKMEKVEDASDVQDFKTVSSADAQHHNTFESLSRNDGLAPGTNEIGRSGIKGETVSESHSLAPVNTQKIFGAESNMIFVGFDRPHQHKPDEVDKSVNVEMKIGFGSNNSIADGNAIQDTGGHSFKENVLKCGVPEQQLQLPHDFSTPEAIVDKGENEFGTADQIHAKVTGFDELKLDEIEHLKFSLGTGQEPMSLHEVPLGLGNITEMEAAYDASLQFESDVIVDTADRQLTTVCVWCGAEFSHEAFDTEMQSGSVGYMCPDCKAKISGQLNI >Potri.001G312900.8.v4.1 pep chromosome:Pop_tri_v4:1:32377648:32382586:1 gene:Potri.001G312900.v4.1 transcript:Potri.001G312900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312900.v4.1 MATTATRTTTPTTTKPPQPLPPNFLPYIDMTTLSQSELHKLSLTFSTPPSTTNNTITPTIDRTNFNESAGSRRQTFARPSHHHHRHRLAATPFTKTLPDPPNNPIPNDPDRLENPTIIKFLKNLLSSHPEFQEPDFSVEFDTFNHFNHPITIISNSLKPRQVLDFDMPPGVRKRKRGRKPKVKALSIVHREMGLEIVNRKGVVVDLVGLACLDDPYKDELKRRTEGMEKEEELLGFFRELGGQWCSRRKKRKIVDAGEFGDFLPVGWKLILGLKRKEGRAWVYCRRYLSPSGQQFISCKDVSAYLQSLVGPYDAQQAKDHTGHSIQQDHGGAPVSHAGAIERLEDQRQSIEHQKQVSLLETDNLAEVQIRDLFECHKCRMTFDEKGTYLEHLLSFHQRTTRRYRLGSSVGDGVIVKDGKFECQFCHKVFHERRRYNGHVGIHVRNYVRGIEDSPGVKNYMRGIEESPAVQLALQKSDPPTPDDLPTRISKMDALIEIAQNSIRETSSSGANDEQNVVSDSKLPASVSEHELNSDSPPSEPQMEDSIPGKSLELNLHQQKVDFMVIDEKMEKVEDASDVQDFKTVSSADAQHHNTFESLSRNDGLAPGTNEIGRSGIKGETVSESHSLAPVNTQKIFGAESNMIFVGFDRPHQHKPDEVDKSVNVEMKIGFGSNNSIADGNAIQDTGGHSFKENVLKCGVPEQQLQLPHDFSTPEAIVDKGENEFGTADQIHAKVTGFDELKLDEIEHLKFSLGTGQEPMSLHEVPLGLGNITEMEAAYDASLQFESDVIVDTADRQLTTVCVWCGAEFSHEAFDTEMQSGSVGYMCPDCKAKISGQLNI >Potri.001G312900.2.v4.1 pep chromosome:Pop_tri_v4:1:32377649:32382455:1 gene:Potri.001G312900.v4.1 transcript:Potri.001G312900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312900.v4.1 MATTATRTTTPTTTKPPQPLPPNFLPYIDMTTLSQSELHKLSLTFSTPPSTTNNTITPTIDRTNFNESAGSRRQTFARPSHHHHRHRLAATPFTKTLPDPPNNPIPNDPDRLENPTIIKFLKNLLSSHPEFQEPDFSVEFDTFNHFNHPITIISNSLKPRQVLDFDMPPGVRKRKRGRKPKVKALSIVHREMGLEIVNRKGVVVDLVGLACLDDPYKDELKRRTEGMEKEEELLGFFRELGGQWCSRRKKRKIVDAGEFGDFLPVGWKLILGLKRKEGRAWVYCRRYLSPSGQQFISCKDVSAYLQSLVGPYDAQQAKDHTGHSIQQDHGGAPHAGAIERLEDQRQSIEHQKQVSLLETDNLAEVQIRDLFECHKCRMTFDEKGTYLEHLLSFHQRTTRRYRLGSSVGDGVIVKDGKFECQFCHKVFHERRRYNGHVGIHVRNYVRGIEDSPGVKNYMRGIEESPAVQLALQKSDPPTPDDLPTRISKMDALIEIAQNSIRETSSSGANDEQNVVSDSKLPASVSEHELNSDSPPSEPQMEDSIPGKSLELNLHQQKVDFMVIDEKMEKVEDASDVQDFKTVSSADAQHHNTFESLSRNDGLAPGTNEIGRSGIKGETVSESHSLAPVNTQKIFGAESNMIFVGFDRPHQHKPDEVDKSVNVEMKIGFGSNNSIADGNAIQDTGGHSFKENVLKCGVPEQQLQLPHDFSTPEAIVDKGENEFGTADQIHAKVTGFDELKLDEIEHLKFSLGTGQEPMSLHEVPLGLGNITEMEAAYDASLQFESDVIVDTADRQLTTVCVWCGAEFSHEAFDTEMQSGSVGYMCPDCKAKISGQLNI >Potri.001G312900.9.v4.1 pep chromosome:Pop_tri_v4:1:32377660:32382414:1 gene:Potri.001G312900.v4.1 transcript:Potri.001G312900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312900.v4.1 MATTATRTTTPTTTKPPQPLPPNFLPYIDMTTLSQSELHKLSLTFSTPPSTTNNTITPTIDRTNFNESAGSRRQTFARPSHHHHRHRLAATPFTKTLPDPPNNPIPNDPDRLENPTIIKFLKNLLSSHPEFQEPDFSVEFDTFNHFNHPITIISNSLKPRQVLDFDMPPGVRKRKRGRKPKVKALSIVHREMGLEIVNRKGVVVDLVGLACLDDPYKDELKRRTEGMEKEEELLGFFRELGGQWCSRRKKRKIVDAGEFGDFLPVGWKLILGLKRKEGRAWVYCRRYLSPSGQQFISCKDVSAYLQSLVGPYDAQQAKDHTGHSIQQDHGGAPHAGAIERLEDQRQSIEHQKQVSLLETDNLAEVQIRDLFECHKCRMTFDEKGTYLEHLLSFHQRTTRRYRLGSSVGDGVIVKDGKFECQFCHKVFHERRRYNGHVGIHVRNYVRGIEDSPGVKNYMRGIEESPAVQLALQKSDPPTPDDLPTRISKMDALIEIAQNSIRETSSSGANDEQNVVSDSKLPASVSEHELNSDSPPSEPQMEDSIPGKSLELNLHQQKVDFMVIDEKMEKVEDASDVQDFKTVSSADAQHHNTFESLSRNDGLAPGTNEIGRSGIKGETVSESHSLAPVNTQKIFGAESNMIFVGFDRPHQHKPDEVDKSVNVEMKIGFGSNNSIADGNAIQDTGGHSFKENVLKCGVPEQQLQLPHDFSTPEAIVDKGENEFGTADQIHAKVTGFDELKLDEIEHLKFSLGTGQEPMSLHEVPLGLGNITEMEAAYDASLQFESDVIVDTADRQLTTVCVWCGAEFSHEAFDTEMQSGSVGYMCPDCKAKISGQLNI >Potri.014G050700.1.v4.1 pep chromosome:Pop_tri_v4:14:3278983:3280068:-1 gene:Potri.014G050700.v4.1 transcript:Potri.014G050700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050700.v4.1 MLTEKRNHNFQLRDMENHPKNTTSTRIKSKIKVCFKFIAEPTRPPLEGDLKQETHDIRNVLLAGAALIATVTFQAGISPPGGVWQSDDKLGHKAGRAIYSDQRIPFQIFLLCNTIALTSSSFLLLCLTFRYPYFLEVFIATISMMGTYGSAIYCVTPYESVSFRLIFLAAPVPMVIRVLIWVFSAVFYYKCPMNG >Potri.010G046600.1.v4.1 pep chromosome:Pop_tri_v4:10:7895682:7897439:-1 gene:Potri.010G046600.v4.1 transcript:Potri.010G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046600.v4.1 MEEALRRLNGVPSHMPESNSYEPIIADLQKKSAPSTTTNKRSLKEGGGNSGNMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDYAARVMRGIKARTNFVYPETYSATTDSHNLLPPFTFSKSSHHQPSRQYNTVPSSNWSSVGHSHVGDFSAGGSAPQRSTSGSSANTSTLNMLFLRDFVNSSSGSSSQNHSQPLYDHFPCINGSSYSAPSTFAGGSLGNPSSNTSSTSPYNFSGTLADSTVTSLPHMEFNQNYTPGVLNSPNSKAEELEFFPQEPSGSGLLQEIIQGFLPKHSTEKIDFSKSYGESMVAPSAEIISGGQSLDGLRRSTKYFVKNEHQGAYLDHEGMKSSGQPEGCYRTGVSCHDQVSYDNEMSLNLPVGQDSFLEDIFQYPDLMSAFAARFKNA >Potri.004G116500.1.v4.1 pep chromosome:Pop_tri_v4:4:10944413:10949393:1 gene:Potri.004G116500.v4.1 transcript:Potri.004G116500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116500.v4.1 MGNLCTCFSPKTPVKTKKPTKRLQGNSQTAPNSSNRWTRVRSTRKDTHDALIHEQALAAAILFRQHQQQNGSDSGSFPFDRSISLRYPNGSGSKKTQLPRSSSSRARSLTDPLLQPHQLVNRDIKLDDLDTNHFVLVHGGGFGAWCWYKTIALLEEGGFKVTAIDLAGSGIHSFDTNGVTSLSQYVKPLTDFLDKLADGEKTILVGHDFGGACISYAMELFPHKVSKAIYVAAAMLTNGQSTLDMFSQKAVSSDLMQQAQIFVYANGNNHPPTAINLDKSLLRDLLFNQSPGKDVALASVSMRPIPFAPVLEKLTLSDFKYGTVRRFYIETSEDNAIPITLQESMINSSPPEKVFRLKGADHSPFFSKPQALHKLLVEILKIPST >Potri.011G146700.5.v4.1 pep chromosome:Pop_tri_v4:11:17486293:17497333:-1 gene:Potri.011G146700.v4.1 transcript:Potri.011G146700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146700.v4.1 MKLDVQSLMAQSIRSSRSSFSSTNGNEETPMHPSASFSNGDGYDSDGSNFATPTPATLSTAIPAELAGAIPLIDKFQVEGFLKLMQKQIQSTGKRGFFSKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKLFQIILKYMGVDSSDRAAPTSLDERIELVGKLFKHTLKRAELRDEIFAQISKQTRNNPDRQYLIKGWELMYLCASSMPPSKDIGGYLSEYVHNVAYGASTDSEVQILALNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELAGIIKLSAFPSFSLFECHKVVSGSKSPDPGNEEYIGLDDNKYIGDLLGEFKAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGREDAAQLSALQILVDIGYVGSPELSVDWTSLLERFLPRQIAITRGKREWELDILSRYHSMENLTKDDARQQFLRILRSLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARTAASGSVNGDVSNNFKPPSAEVHEKRLRELSRTIEESHKKTEQLLEELHEKQNQEVKLQEELEALKDSLRSEKQNLAEVECDRDRLKSLCAERDAALQAALSEKRSVETSLANLSNFAVEKNTKNNLVGADNQVLHKLQDEFKQRNEELHAAEERMQRSANEKIFLEQKISRLERKVEEMEVIEKNLEQERQSLKFRVIELERKLETVTQDLATSKSTLAVANADLAALHNNLKELEELREMKEDIDRKNEQTAAILKMQASQLAELEVLYKEEQVLRKRYFNTIEDMKGKIRVFCRLRPLSEKEISEKDRGLLTSIDEFTVEHPWKDDKAKQHMYDRVFDGSATQEDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSEGNPGLTPRATSELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNMKRLKLDIKKDSKGMVSVENVTVVSIATFEELQSIIQRGSDKRHISGTQMNEESSRSHLILSVVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDESYNSLMYASRVRSIVNDPSKNVSSKEVARLKKLVAYWKEQAGKKGDGDDLEEIQEQRLVREKTDGRHSM >Potri.011G146700.4.v4.1 pep chromosome:Pop_tri_v4:11:17486294:17497690:-1 gene:Potri.011G146700.v4.1 transcript:Potri.011G146700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146700.v4.1 MKLDVQSLMAQSIRSSRSSFSSTNGNEETPMHPSASFSNGDGYDSDGSNFATPTPATLSTAIPAELAGAIPLIDKFQVEGFLKLMQKQIQSTGKRGFFSKKSVGPQVREKFTFEDMLCFQKDPIPTSLLKINSDLVSRATKLFQIILKYMGVDSSDRAAPTSLDERIELVGKLFKHTLKRAELRDEIFAQISKQTRNNPDRQYLIKGWELMYLCASSMPPSKDIGGYLSEYVHNVAYGASTDSEVQILALNTLNALKRSVKAGPRHTIPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELAGIIKLSAFPSFSLFECHKVVSGSKSPDPGNEEYIGLDDNKYIGDLLGEFKAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGREDAAQLSALQILVDIGYVGSPELSVDWTSLLERFLPRQIAITRGKREWELDILSRYHSMENLTKDDARQQFLRILRSLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMLRRYSKARTAASGSVNGDVSNNFKPPSAEVHEKRLRELSRTIEESHKKTEQLLEELHEKQNQEVKLQEELEALKDSLRSEKQNLAEVECDRDRLKSLCAERDAALQAALSEKRSVETSLANLSNFAVEKNTKNNLVGADNQVLHKLQDEFKQRNEELHAAEERMQRSANEKIFLEQKISRLERKVEEMEVIEKNLEQERQSLKFRVIELERKLETVTQDLATSKSTLAVANADLAALHNNLKELEELREMKEDIDRKNEQTAAILKMQASQLAELEVLYKEEQVLRKRYFNTIEDMKGKIRVFCRLRPLSEKEISEKDRGLLTSIDEFTVEHPWKDDKAKQHMYDRVFDGSATQEDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTIYGSEGNPGLTPRATSELFKILRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNMKRLKLDIKKDSKGMVSVENVTVVSIATFEELQSIIQRGSDKRHISGTQMNEESSRSHLILSVVIESTNLQTQSVARGKLSFVDLAGSERVKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDESYNSLMYASRVRSIVNDPSKNVSSKEVARLKKLVAYWKEQAGKKGDGDDLEEIQEQRLVREKTDGRHSM >Potri.012G130800.2.v4.1 pep chromosome:Pop_tri_v4:12:14576565:14579528:1 gene:Potri.012G130800.v4.1 transcript:Potri.012G130800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130800.v4.1 MDKENVGSCYYTVVITTCCSSPRYTRDHISIAFGDVYGNQIYAPRLDDPSKEHLNGICYVYLYRSGPDGWKPDTVRISGYSSRTVTFTYNTYIPRDVWYGFNLCHNASSALQRGIPQWFLYMILAVLASFILLV >Potri.014G111900.1.v4.1 pep chromosome:Pop_tri_v4:14:7536304:7540506:1 gene:Potri.014G111900.v4.1 transcript:Potri.014G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111900.v4.1 MDKQGRELVFFHSGDFLRQNPGVSDHTNDSSGDHTRSITKEVDFFSTDRTSELPGTDQEKRISTIGSSSLVDSSINTGLNLLTSNSGISIIANVKNPDNNELSGLQGELERLHDENKKLRSLLDQITKSYRDLQAQLIMATQKQTQGNRIEQKGELNDTPGSIMSAQHLMDPRPRPSVTLDVNDPSVSDDKTQEVLVSSTNTVGTKSQMLGKRASMEDGLDQTSQSWGSSKSPKLEHEKPDEQTPEVPFRKARVSVRARSDAPLISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKTILITTYEGNHNHPLPPAATAMASTTSAAAAMLLSGSTTSKEGLSSSSSFYPSFPYASTMATLSASAPFPTITLDLTQGPNTTMPFHRTSPSPATFPLPLHGFPQLLGHPMYASPKLPAIPSVQLGQRHASMVETVTAAIASDPNFTAALAAAISTFMGTPRSSDGANNHNAPSGIPGSPQLPQSCTTFSTN >Potri.018G036500.4.v4.1 pep chromosome:Pop_tri_v4:18:2814896:2823083:-1 gene:Potri.018G036500.v4.1 transcript:Potri.018G036500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036500.v4.1 MVEAEKVDRIEFKWCKKRGVGGKKKDVQFYESFFYDGVDYTLYDSVYMYKEGEPEPYIGKLIKIWENADKTKKVKVLWFFRPREISNYLGDEKTLKNELFLASGEGVGNANVNPLEAIAGKCNVVCSSKDSRNPLPSDEELQEADFVFYRAFDVGNCRILDMIDEKIAGIEVKFLLNRVGNQNSSGVPKLDSNKKEVSGNAGVTDDTRILAKKESYLGEKAASSSGVQFDEVAKTNERQVLVEEELKVAKASGDLDDRSCKKAKLDDSAKASHDNKVKSTQKLRHDSNDSSSKAVAQITPAAEDKSRPNLTKDPQETNNALSEKPKPDEKLANGKFPEASLRQPSEEGSKTSYKIQEPTRRPATDRSKWFRGLPWEETMQTAHEQGTLVLLQNLDPSYTSAEVEDLIWQAFKQSCTAKMIQRTARSSPHSGQAFVIFQKREVAEMAVAKLDEVCLMLSNGRPLVGSIAAPCFPGKQSTFFGHLTINKLRIHMQREMKEAVSTSHCSQPNTLEYDMAMEWCLLQDRSDLALRKLRQQQEQELRKLRATLKSK >Potri.013G068200.1.v4.1 pep chromosome:Pop_tri_v4:13:5366159:5370163:1 gene:Potri.013G068200.v4.1 transcript:Potri.013G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068200.v4.1 MGQKQQPTADPISEPKKRRRVGFSNIDVGIEANQCILIYLVSSKEEVGASHSFRISPVDLNGFFDEDGKIYGYNGLKITIWVSSVSFHAFADIKFQSTSDGGKGITDLKSSLQRIFADTLVDNKDDFLQTFSTESHFVRSIISDGEILQHKTSNGHVDSNSHLGTATSDVEVVRMVIGNTAAGHLYSRLVPLVLLLIDGSNPIDVDDPGWELYVLIQKKSDEHGDTQHKLLGFTAVYRFYHYPDSTRLRLSQILVLPPYQHKGYGGHLVEVLSNVAILEDVYDLTVEEPLDYFQHVRTSIDIKRLLLFAPIQDAVNSTVSYLKQGKLSKKTHVPCFNPPVSAVEDVRKTLKINRKQFLQCWEVLIYLGLDPVDKYMEDFVAIISSRVKADVLGKDSGSSGKQVVEVPSDYNPEMSFVMFRSQDSEAARVWMDENQTNQEEQLQQLVDERVKEIKLIAQKVHHV >Potri.012G062300.1.v4.1 pep chromosome:Pop_tri_v4:12:6636712:6656973:1 gene:Potri.012G062300.v4.1 transcript:Potri.012G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062300.v4.1 MGRGRIQLKRIENKINRQVTFSKRRSGLLKKAHEISVLCDAEVALIVFSTKGKLFEYATDSCMERILERYERYSYAERQLLANDDPENHGSWTLEYAKLKARVDVLQRNQRHFMGEDLDSLNIKELQNLEHQIDSALKHVRSRKNQLMYESISELQKKDKALQEQNNMLAKKVKEKEKAIIAQQASWEQQNPDLDSPTILRPQPMQPLNISSSHLATGIEEEPAPIQHRANALLPAWMLRYLNE >Potri.007G086300.1.v4.1 pep chromosome:Pop_tri_v4:7:11126010:11127154:-1 gene:Potri.007G086300.v4.1 transcript:Potri.007G086300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086300.v4.1 MASLSEFFSHLYTMSIVFLSLLLVEIVILFQSVIGSTLKSNKPIISTTQYLKHMEEKNPTISYSEKLTRQQDSMECAVCLSKFSEGESVRKLNCKHTFHKDCLDKWLQQSLATCPLCRAKVLPDEIVAKYDRMQNQIGYDGSDEEMAPFLLSALHGNGLQRIF >Potri.007G122451.1.v4.1 pep chromosome:Pop_tri_v4:7:13973927:13975497:-1 gene:Potri.007G122451.v4.1 transcript:Potri.007G122451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX44 MAVQKLFPVLFLQLALAFLLAGLTNAGGLQLGFYQGACPDAELIVHQTLYRYISRDPTLAAPLLRMHFHDCFIRGCEGSVLLSSTKNNQAEKDAIPNKTLRGFNVIDAVKSALEKKCPGVVSCADILALVARDAVLMIGGPRWDVPTGRRDGRVSIANEALFNLPSPFANITVLKQQFAATGLSVKDLAVLSGGHTIGIGHCTIISNRLYNFTGKGDTDPSLDPRYAAQLKNKCKPGNSNTVVEMDPGSFKSFDEDYYNIVAKRRGLFRSDAALLDDAETRGYVKFQSMTQGSTFAQDFAESMVKMGYIGVLTGEQGEIRKHCAVVN >Potri.001G101200.1.v4.1 pep chromosome:Pop_tri_v4:1:8136470:8138752:1 gene:Potri.001G101200.v4.1 transcript:Potri.001G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101200.v4.1 MSSDLYVLDASFYHLSSPEIVSPEGDLFFSDPFSPFRDSSIDILQEFSNNQNIQCPVENSKFVDSFNPSILSSSPPSHQLENLSLYTNHLQALANSENLADGYGNFPGLDALGVKTEECQVGFDPSYNQQSFMPHSYSGVENVAKMMQRSYSSNSFEGNPGFLFQPRFDTLFESPNYQGQALSLPENNFLAGQLRRVCSAGDLQSINKTPHTTQRSFSSPLATESSFIEDSNFKVGRYSAEERKERISKYRAKRNQRNFSKTIKYACRKTLADNRPRIRGRFARNEETGETPKVACSTRDEDEEELWLDGLHEEEDDGAIRGSPGGFAQPQFQYYYGY >Potri.001G101200.2.v4.1 pep chromosome:Pop_tri_v4:1:8136470:8138754:1 gene:Potri.001G101200.v4.1 transcript:Potri.001G101200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101200.v4.1 MSSDLYVLDASFYHLSSPEIVSPEGDLFFSDPFSPFRDSSIDILQEFSNNQNIQCPVENSKFVDSFNPSILSSSPPSHQLENLSLYTNHLQALANSENLADGYGNFPGLDALGVKTEECQVGFDPSYNQQSFMPHSYSGVENVAKMMQRSYSSNSFEGNPGFLFQPRFDTLFESPNYQGQALSLPENNFLAGQLRRVCSAGDLQSINKTPHTTQRSFSSPLATESSFIEDSNFKVGRYSAEERKERISKYRAKRNQRNFSKTIKATYTWQIRTKRRNW >Potri.004G142900.1.v4.1 pep chromosome:Pop_tri_v4:4:16579070:16580556:-1 gene:Potri.004G142900.v4.1 transcript:Potri.004G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G142900.v4.1 MMEIEKFNDGEIAYDFSPFFKVYKDGYIERCLPIIKVPPSHELPTSLQSKDVLITTEPPISARIFMPQMTKLNSKLPVLIYIHGGGFALRSPFDPIYQKYVASIVTEANVIAVSIEYRLAPEHPIPTGYEDSWTSLRWVASHANGHGPEPWLNIHGDFSRVFLAGDSAGANIAYNLAVRIRSVLPKMKLAGMILMHPFFMGSKLDKMWFYLCPRNGGLKDSRFKPAAEDMATTGCERVLVFLAGRDKLKNAGRSYYEELKKCGWEGNVEMVEHDGVGHVFHLLKPRCEQALDMMKRLVSFINQEPVIISWTSKI >Potri.005G184600.1.v4.1 pep chromosome:Pop_tri_v4:5:19162923:19164229:1 gene:Potri.005G184600.v4.1 transcript:Potri.005G184600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184600.v4.1 MDSKQEEMQFLGLFGIYKEAFKIIFSRKTIFSQITLVFILPTSFVFIANMKVSNALFAKIIEVVQVPRMQPQAGTPEQIELCLPNSTGWTQLLFFKAAYITFLLLFSPLSTAAVVYTIACISTGQEVTFKMVMRAVPKFWKRLVIISACAFAAIIAFTMGTLLTIAVTRIFMINRYILAIGFVLLVLFFMGFVYISLVWQTASVVSVSEEAYVMTAMIKSKALVRGKTKVMGVIFLTMTISYVIMKIEFSKLVEGSSLGIVNRVSCGIICFLLLVLLILFELVIQTVTYFVCKSYHHENIDKSTTLDHLDAGYNDYVPLKAKDV >Potri.T045350.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:499089:500854:1 gene:Potri.T045350.v4.1 transcript:Potri.T045350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045350.v4.1 MEEIIGGTRPDEEGVMGEETSSSNIEFKLPKLRNMKLRRLPELKSICSAKLICDSIEVIEVENCEKIEEIISGTRSDEEGVKGEESSSSEFKLPKLKLLVLTGLPGLKSICSAKLICDSIERIEVRNCEKIEEIISGTRSDEEGVMGEESSSSEFKLPKLKLLVLTGLPELKSICSAKLICDSLEVIGVRKCEKLRRLPICLPLLENGQPSPPPSLKYIKVDSEEWWESVVEWEHPNAKDVLRPFVRF >Potri.010G249300.1.v4.1 pep chromosome:Pop_tri_v4:10:22288768:22290128:-1 gene:Potri.010G249300.v4.1 transcript:Potri.010G249300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249300.v4.1 MKPVQPPPPQPLPSTNRHTNNSRPRRRPDLKLPLPQRDPQLAVPLPLPPNSGGSNSSSSSSARAQLSFSELDRINRIGSGSGGTVYKVVHRPTSRLYALKVIYGNHEDSVRNSICREIEILRDVNHPNVVKCHDMFDHNGEIQVLLEFMDGGSLEGTHINHEGYLSDVARQILNGIAYLHKRKIVHRDIKPSNLLINSKNNVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNKGMYDGYAGDIWSLGVSILEFYLGRFPFGVGRQGDWASLMCAICMSQPPEAPATASREFRDFIACCLQREPARRFTANQLLQHPFIVRRAGEGSGGNGTHSLMLPPPPRPIP >Potri.004G014450.3.v4.1 pep chromosome:Pop_tri_v4:4:974324:976052:1 gene:Potri.004G014450.v4.1 transcript:Potri.004G014450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014450.v4.1 YPLDDETGTFSCASSDYQIVFCAGNTTEYKSTTSNMTVVSSGPAEPPPSTTVIRAPSLSPPPSPSVKTPPSPSPQGITPPSPSPQEITPRPSPEIRRKTARSLVPIIAGVIGSVLLIVSFVVIFISRARWRGKSEQDPQDVEDDHIKHVPGMPVRFSYQELYVATDNFNERLGRGGFGSVFKGKLGDGTQIAVKRLEKLGQGMSAFLAEAEAIGSLHHFNLVRLIGFCAEKSSRLLVFEYLSNGSLDNWIFMNVQRSFLDWQTRKKIILDIAKGLAYLHEDCRHTIIHLDVKPQNILLDSSFHAKIADFGLSKLINRDMSQVQISMRGTPGYLAPEWRQPLGRITVKVDIYSFGIVLLEIVCARRNADQSQPESAFHLLTMLQKKADQDRVIDIVENLDEYTRSDREEITRMIKVAAWCLQDDPERRPLMSTVLKVLEGVMEVDSNINYRFSHAMVSSPAGNNHISSPPPPASVLSNPR >Potri.004G014450.2.v4.1 pep chromosome:Pop_tri_v4:4:973856:975998:1 gene:Potri.004G014450.v4.1 transcript:Potri.004G014450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014450.v4.1 MEDKVLHQPTKDNGEGSAPLVHVKGDHGGDNAPLCPEIRGLWTGTFSCASSDYQIVFCAGNTTEYKSTTSNMTVVSSGPAEPPPSTTVIRAPSLSPPPSPSVKTPPSPSPQGITPPSPSPQEITPRPSPEIRRKTARSLVPIIAGVIGSVLLIVSFVVIFISRARWRGKSEQDPQDVEDDHIKHVPGMPVRFSYQELYVATDNFNERLGRGGFGSVFKGKLGDGTQIAVKRLEKLGQGMSAFLAEAEAIGSLHHFNLVRLIGFCAEKSSRLLVFEYLSNGSLDNWIFMNVQRSFLDWQTRKKIILDIAKGLAYLHEDCRHTIIHLDVKPQNILLDSSFHAKIADFGLSKLINRDMSQVQISMRGTPGYLAPEWRQPLGRITVKVDIYSFGIVLLEIVCARRNADQSQPESAFHLLTMLQKKADQDRVIDIVENLDEYTRSDREEITRMIKVAAWCLQDDPERRPLMSTVLKVLEGVMEVDSNINYRFSHAMVSSPAGNNHISSPPPPASVLSNPR >Potri.003G084300.1.v4.1 pep chromosome:Pop_tri_v4:3:11091170:11091523:-1 gene:Potri.003G084300.v4.1 transcript:Potri.003G084300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084300.v4.1 MCIASQNPRCFTTNSPGSALSSPAWDAKASIAIGINESRENNRPFYFIFSPRTISARLSCPYRKTQAFDSCQYFFKQAAENSNWLAIRTNKLYFTGTTSRFLQGTNRLPLSTFFFWP >Potri.006G022200.1.v4.1 pep chromosome:Pop_tri_v4:6:1506677:1509231:-1 gene:Potri.006G022200.v4.1 transcript:Potri.006G022200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022200.v4.1 MMVYTYVGYFAAGLAVQVLVAKILKLCWIVLWRPYALIKSFEKQGIKGPSYSILHGTLPEMKTLLKAANEVILDTNCHDIAQRVQPHYNRWSAEYGEVFLFWRGVQPAIRIADPKLAKQILSDKSGAYAQPQFDHRLLSFAGNGVGQLNGPDWVRHRSILTPAFTKDKLKLMTKRMASCTIDMIDDWKNRARIADHQNITIEMSEEFKRLTCDVITHTAFGSNYVEGGEVFKAQDELIHHCVATMADLYIPGSRFLPTPSNRQMWKMENNVNNSLRRLIQGRLESAQARGNLDGCYGDDVLGLLVEASKTTNKSLKLTMDEIIDECKQFFFSGHETTAKLLTWTVFLLSLHQEWQERLREEVLTECGMGIPDADMVSKLKLLNMVLLETLRLYCPVLETLRETSRATKLGDFLIPKGVFITIQLVQLHRSKEYWGEDANDFNPLRFKNGVSQAAKHPNAFLGFGMGPRTCLGQNFAMLEVKLVLSLLLQRFSFFLSPEYKHAPANYLTMEAQYGVPTIVKPLLSK >Potri.001G031400.1.v4.1 pep chromosome:Pop_tri_v4:1:2341433:2349344:-1 gene:Potri.001G031400.v4.1 transcript:Potri.001G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBL901 MSPAALKNMEENKTTVMTTSHSSNDGGETVKGYSDAVEVRFSDFCKSGLALDENTCTQAIKLFKDTKHLLMTNVSSIGNGTSEEAERFWFAFVSYSVKRLSEKNRDDAQQKSDDPGLTLCQILRLAKLNIVDFFKELPHFIVKAGPILSNIYGADWENRLEAKELQANFVHLSILSRHYKRACRELFLTSDASSDKQPAISNEATHVSDHHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAVLIIHVPVRFRNFSFNDSQWFVRKGDKGVDLLASLCNKYDTSEEVLRKSMETTNNLIANILKKKPHSASEYKNENLVNINPDGLIYYEDLMEESSLQSSLNILEKDYDDAIRNKAELDERVFINEEDSLLGSGSVSAGSLNITGAKRKFDLISSPTKTITSPLSPHRSPASHANGIPGSANSKMAATPVSTAMTTAKWLRTIISPLPSKPSAQLERFLVSCDKDVTNDVIRRAQIILEAIFPSSSLGERCVNGSLQSTNLMDNIWAEQRRLEALKLYYRVLESMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVVATYKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLDSMVWEKGSSLYNSLTVARPALSAEINRLGLLAEPMPSLDAIAMHINFSSGCLPPVPSLQKHETSPGSGQNGDLRSPKRPCTDFRSVLVERNSFTSPVKDRLLGNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINVFFTKINKLAAVRINGMIEKLQPSQQHIRENVYRLFQLILSHQTSLFFNRHIDQIILCCFYGVAKISKLNLTFREIIYNYRRQPHCKTLVFRSVFVDWSSARHNGRTGQDHVDIITFYNEIFIPAAKPLLVDVGSAGTTVKASNVPEVGNNKDGQCPASPKVSPFPSLPDMSPKKVSSAHNVYVSPLRSSKMDALISNSSKSYYACVGESTHAYQSPSKDLNAINNRLNGNRKARGTLNLDNDVGLVSDSMVANSLGLQNGNCASTSGAALKSEQSDS >Potri.001G031400.7.v4.1 pep chromosome:Pop_tri_v4:1:2341441:2349319:-1 gene:Potri.001G031400.v4.1 transcript:Potri.001G031400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBL901 MEENKTTVMTTSHSSNDGGETVKGYSDAVEVRFSDFCKSGLALDENTCTQAIKLFKDTKHLLMTNVSSIGNGTSEEAERFWFAFVSYSVKRLSEKNRDDAQQKSDDPGLTLCQILRLAKLNIVDFFKELPHFIVKAGPILSNIYGADWENRLEAKELQANFVHLSILSRHYKRACRELFLTSDASSDKQPAISNEATHVSDHHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAVLIIHVPVRFRNFSFNDSQWFVRKGDKGVDLLASLCNKYDTSEEVLRKSMETTNNLIANILKKKPHSASEYKNENLVNINPDGLIYYEDLMEESSLQSSLNILEKDYDDAIRNKAELDERVFINEEDSLLGSGSVSAGSLNITGAKRKFDLISSPTKTITSPLSPHRSPASHANGIPGSANSKMAATPVSTAMTTAKWLRTIISPLPSKPSAQLERFLVSCDKDVTNDVIRRAQIILEAIFPSSSLGERCVNGSLQSTNLMDNIWAEQRRLEALKLYYRVLESMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVVATYKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLDSMVWEKGSSLYNSLTVARPALSAEINRLGLLAEPMPSLDAIAMHINFSSGCLPPVPSLQKHETSPGSGQNGDLRSPKRPCTDFRSVLVERNSFTSPVKDRLLGNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINVFFTKINKLAAVRINGMIEKLQPSQQHIRENVYRLFQLILSHQTSLFFNRHIDQIILCCFYGVAKISKLNLTFREIIYNYRRQPHCKTLVFRSVFVDWSSARHNGRTGQDHVDIITFYNEIFIPAAKPLLVDVGSAGTTVKASNVPEVGNNKDGQCPASPKVSPFPSLPDMSPKKVSSAHNVYVSPLRSSKMDALISNSSKSYYACVGESTHAYQSPSKDLNAINNRLNGNRKARGTLNLDNDVGLVSDSMVANSLGLQNGNCASTSGAALKSEQSDS >Potri.001G031400.6.v4.1 pep chromosome:Pop_tri_v4:1:2341556:2349580:-1 gene:Potri.001G031400.v4.1 transcript:Potri.001G031400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBL901 MSPAALKNMEENKTTVMTTSHSSNDGGETVKGYSDAVEVRFSDFCKSGLALDENTCTQAIKLFKDTKHLLMTNVSSIGNGTSEEAERFWFAFVSYSVKRLSEKNRDDAQQKSDDPGLTLCQILRLAKLNIVDFFKELPHFIVKAGPILSNIYGADWENRLEAKELQANFVHLSILSRHYKRACRELFLTSDASSDKQPAISNEATHVSDHHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAVLIIHVPVRFRNFSFNDSQWFVRKGDKGVDLLASLCNKYDTSEEVLRKSMETTNNLIANILKKKPHSASEYKNENLVNINPDGLIYYEDLMEESSLQSSLNILEKDYDDAIRNKAELDERVFINEEDSLLGSGSVSAGSLNITGAKRKFDLISSPTKTITSPLSPHRSPASHANGIPGSANSKMAATPVSTAMTTAKWLRTIISPLPSKPSAQLERFLVSCDKDVTNDVIRRAQIILEAIFPSSSLGERCVNGSLQSTNLMDNIWAEQRRLEALKLYYRVLESMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVVATYKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLDSMVWEKGSSLYNSLTVARPALSAEINRLGLLAEPMPSLDAIAMHINFSSGCLPPVPSLQKHETSPGSGQNGDLRSPKRPCTDFRSVLVERNSFTSPVKDRLLGNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINVFFTKINKLAAVRINGMIEKLQPSQQHIRENVYRLFQLILSHQTSLFFNRHIDQIILCCFYGVAKISKLNLTFREIIYNYRRQPHCKTLVFRSVFVDWSSARHNGRTGQDHVDIITFYNEIFIPAAKPLLVDVGSAGTTVKASNVPEVGQCPASPKVSPFPSLPDMSPKKVSSAHNVYVSPLRSSKMDALISNSSKSYYACVGESTHAYQSPSKDLNAINNRLNGNRKARGTLNLDNDVGLVSDSMVANSLGLQNGNCASTSGAALKSEQSDS >Potri.001G031400.2.v4.1 pep chromosome:Pop_tri_v4:1:2341422:2349364:-1 gene:Potri.001G031400.v4.1 transcript:Potri.001G031400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBL901 MSPAALKNMEENKTTVMTTSHSSNDGGETVKGYSDAVEVRFSDFCKSGLALDENTCTQAIKLFKDTKHLLMTNVSSIGNGTSEEAERFWFAFVSYSVKRLSEKNRDDAQQKSDDPGLTLCQILRLAKLNIVDFFKELPHFIVKAGPILSNIYGADWENRLEAKELQANFVHLSILSRHYKRACRELFLTSDASSDKQPAISNEATHVSDHHRFGWLLFLALRVHAFSRFKDLVTCTNGLVSVLAVLIIHVPVRFRNFSFNDSQWFVRKGDKGVDLLASLCNKYDTSEEVLRKSMETTNNLIANILKKKPHSASEYKNENLVNINPDGLIYYEDLMEESSLQSSLNILEKDYDDAIRNKAELDERVFINEEDSLLGSGSVSAGSLNITGAKRKFDLISSPTKTITSPLSPHRSPASHANGIPGSANSKMAATPVSTAMTTAKWLRTIISPLPSKPSAQLERFLVSCDKDVTNDVIRRAQIILEAIFPSSSLGERCVNGSLQSTNLMDNIWAEQRRLEALKLYYRVLESMCTAEAQILHATNLTSLLTNERFHRCMLACSAELVVATYKTVTMLFPAVLERTGITAFDLSKVIESFIRHEESLPRELRRHLNSLEERLLDSMVWEKGSSLYNSLTVARPALSAEINRLGLLAEPMPSLDAIAMHINFSSGCLPPVPSLQKHETSPGSGQNGDLRSPKRPCTDFRSVLVERNSFTSPVKDRLLGNLKSKLPPPPLQSAFASPTRPNPGGGGETCAETGINVFFTKINKLAAVRINGMIEKLQPSQQHIRENVYRLFQLILSHQTSLFFNRHIDQIILCCFYGVAKISKLNLTFREIIYNYRRQPHCKTLVFRSVFVDWSSARHNGRTGQDHVDIITFYNEIFIPAAKPLLVDVGSAGTTVKASNVPEVGNNKDGQCPASPKVSPFPSLPDMSPKKVSSAHNVYVSPLRSSKMDALISNSSKSYYACVGESTHAYQSPSKDLNAINNRLNGNRKARGTLNLDNDVGLVSDSMVANSLGLQNGNCASTSGAALKSEQSDS >Potri.002G231534.1.v4.1 pep chromosome:Pop_tri_v4:2:22335054:22338646:1 gene:Potri.002G231534.v4.1 transcript:Potri.002G231534.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231534.v4.1 MNAFSSLRWPSLSGDNWWRGHAAAFLKYVNLPSEALRHGRVCQEKCEAAYFVVVLHHLRSVVISVRGTETPEDLITDGLGRECLLSRDDLDGLINSSHIQPDVKRRVESSFPHYGHSGIVEAARDLYIQIEGDLADNGNQYFFLLHYLSTKLSWKQIILHIHGSFVLCYISMTLQFCRSTHV >Potri.003G206301.1.v4.1 pep chromosome:Pop_tri_v4:3:20547431:20549957:-1 gene:Potri.003G206301.v4.1 transcript:Potri.003G206301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206301.v4.1 MDQSDEVSLDINEIANSLREQLKIKKAFSPACCIYRVPEPLRELNEKACAPRVVSIGPIHHGKENLKAMEDHKIMYLQQFLEQSKVSVEDLINIIMENETQLRDCYAETIDLRTKEFATMIVLDAVFIIMLSLNMKHIYETRHDHIFYRPWKFFDVMWDMCLLENQLPFFILEKLFELTSVAANTDNCTLIELTCLLLQNIMHEWVKEDSWKKLNSSEVLHFVDFIRKCQQPTVQNRQERGTGILRAPTATELHQSGVKFKHPEKSSLLDVSFSNGILEIPQLTIHGRTEILFRNLQAFEQCHCISEDKFVNEYIAFISCLGSAPKDVGLLAHNENLKYLGNSDRAVSNLILILQKESDVSVDGFLSGVCEELTLHCRKSRHKWKATLKQVYFNNPWTGISVAAASFLLILTVIQTVCSILQLR >Potri.013G106100.1.v4.1 pep chromosome:Pop_tri_v4:13:11522334:11525143:1 gene:Potri.013G106100.v4.1 transcript:Potri.013G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106100.v4.1 MDDLFFPYFIHLVALSISLSLIFLVYKKKSSNIKFPPGRIGWPIIGEAWGFVMAGKRGTPEKFFNDRMKKYSTEVFQTSLFGDNMAVFCGASGNKFLFSSENKYVATWWPRTIKKIIYFPEHVDNSSIEETAALRRFLPEFLKPEALQHYIPIMDSMAREQLEADWCPHKQVRVFPLSKKYTFALACRLFMRIRDPDHVTRLANQFALVTDGLVSVPINFPGTTYNRAIKGGKMIREELLAIMKQREGELISDNKDDAGAIDLLTRMLITSDDNGKTMNHKEIANKIVGLLVASHDTTSSSITMVMYYLAQHPCIYQKVLKEQTEIAMSKAPGELLNWNDVQKMKYSWCVVCEAMRFSSPSQGAFREAITDFFYAGFIIPKGWKVHWSVHSTHKNPKYFPDPERFDPSRFEGSGPAPYTFVPFGGGPRMCAGKEYARLEILVFMHNVVTKFKWEKIIPEEKVLNISFPMPVNGLPILLQPHSTSLE >Potri.013G106100.2.v4.1 pep chromosome:Pop_tri_v4:13:11522357:11525142:1 gene:Potri.013G106100.v4.1 transcript:Potri.013G106100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106100.v4.1 MDDLFFPYFIHLVALSISLSLIFLVYKKKSSNIKFPPGRIGWPIIGEAWGFVMAGKRGTPEKFFNDRMKKYSTEVFQTSLFGDNMAVFCGASGNKFLFSSENKYVATWWPRTIKKIIYFPEHVDNSSIEETAALRRFLPEFLKPEALQHYIPIMDSMAREQLEADWCPHKQVRVFPLSKKYTFALACRLFMRIRDPDHVTRLANQFALVTDGLVSVPINFPGTTYNRAIKGGKMIREELLAIMKQREGELISDNKDDAGAIDLLTRMLITSDDNGKTMNHKEIANKIVGLLVASHDTTSSSITMVMYYLAQHPCIYQKVLKEQTEIAMSKAPGELLNWNDVQKMKYSWCVVCEAMRFSSPSQGAFREAITDFFYAGFIIPKGWKVHWSVHSTHKNPKYFPDPERFDPSRFEGSGPAPYTFVPFGGGPRMCAGKEYARLEILVFMHNVVTKFKWEKIIPEEKVLNISFPMPVNGLPILLQPHSTSLE >Potri.012G047200.6.v4.1 pep chromosome:Pop_tri_v4:12:4332832:4336659:1 gene:Potri.012G047200.v4.1 transcript:Potri.012G047200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047200.v4.1 MISLLDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNDPYKMNFRFIAADTLQKIIVLVVLAFWTMFSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQVVVLQCIIWYTLMLFLFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGRNSNFGASDVYGLSASRGPTPRPSNFEEENGGSNKPRFHYHAPGGATHYPAPNPGMFSPTTASKGVAANANNAAAKKPNGQAQQKAEDGRDLHMFVWSSSASPVSDVFGGHDYGAHDQKDVRLAVSPGKVSVEGHTENQEDYNLERDGFSFGNRGMDREMNNPEGEKVGAAGKPKPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWDVQMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILMGL >Potri.012G047200.2.v4.1 pep chromosome:Pop_tri_v4:12:4332640:4336807:1 gene:Potri.012G047200.v4.1 transcript:Potri.012G047200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047200.v4.1 MISLLDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNDPYKMNFRFIAADTLQKIIVLVVLAFWTMFSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQVVVLQCIIWYTLMLFLFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGRNSNFGASDVYGLSASRGPTPRPSNFEEENGGSNKPRFHYHAPGGATHYPAPNPGMFSPTTASKGVAANANNAAAKKPNGQAQQKAEDGRDLHMFVWSSSASPVSDVFGGHDYGAHDQKDVRLAVSPGKVEGHTENQEDYNLERDGFSFGNRGMDREMNNPEGEKVGAAGKPKPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFRWDVQMPAIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMAVRFLTGPAVMAAASIAVGLRGTLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLVYYILMGL >Potri.015G096400.1.v4.1 pep chromosome:Pop_tri_v4:15:11781537:11784914:-1 gene:Potri.015G096400.v4.1 transcript:Potri.015G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096400.v4.1 MNSRELALVSTATVFGALASAFAVRFLYFSNSNSRKRLLSKTKSVPNGDVSKKCSIQSQFDPSKRKEYLSWDDYFMAIAFLSAERSKDPNRQVGACLVSQNGIILGIGYNGFPRGCSDDKLPWAKKSKSGDPLETKYPYVCHAEVNAILNTNHASAAGQKLYVTMFPCNECAKIIIQSGVSEVIYFVEKNLNNSDIAYIASHKLLSMAGIKVRKHQPRMDQILIKFEDP >Potri.001G373100.1.v4.1 pep chromosome:Pop_tri_v4:1:39111515:39114385:-1 gene:Potri.001G373100.v4.1 transcript:Potri.001G373100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373100.v4.1 MDTFVDRLHRSNSNNNSSTGNNNTIRRLRSNGSMKGGHASPMFPTNGKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGNKLRTRSEKRGEISFRRVDQNSNAFEGSNNHQDLINNQFLNQQQQQEDLSPRNPRWVHLPVTICEALRTFGAEFNCFLPCRSSCTASEKEKEEKAAAAGSNNNGSSSCGAVFARWLVAVQEEEGKGREIELVVGEEVEEERDERRRSYRRHVYEEIEFKDEKFGGNEGLQEEEEARVNICIPPKNALLLMRCRSDPVKMAALANKFWEAPAPQVEEDEQEDNEKDRNLGVEEEKRINVEDKREVGPGHEEEEQIKVVQESIREHKEDLFVAENLVSFETVEEQHTQETGVGLALLEGEGGADSQQVRSTDENIDGVLQEGSPVKQEEEPEILEVMNLQPTATTQESVSLCSDQSSHDQEIADPEALMNHENENKMVKENEDNQEDRTLEAEQEHVVDFSDDIEENSVSAQFEQESLEVAVKDLQDQEPEPRSVAESQVQESKEEKETEQEEEEQTVTHERSEPEDPKTQEAGQTGTGVKSKKRDSSQPLLPDCLLLMMCEPKLSMEVSKETWVCTTDFIRWLPEHSRPVNKADGKDEPKKRASIDSNPAQVHNSNSINNNNNNNLQQPARSSCSYPGKPPAHGAGTESMSTMIEQKLVGARAYDPFVLTRCKSEPMRSASKLAPEACFWMNRKLEPHGAAATLGVGAAGVGF >Potri.011G070000.1.v4.1 pep chromosome:Pop_tri_v4:11:6153445:6154922:1 gene:Potri.011G070000.v4.1 transcript:Potri.011G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070000.v4.1 MVVRLQRRTAMHNMRPYLRTLTRSKSVKRKYVIMDALLCIYKLKLKLEAIKTELANLIAVKREYLSLMKELQLPKKEVEVEKGEKGFIVRVTCEKGGDKLVSILEVFEEMGLTVSHARVSCNLYLSMEAIVVAEEERALHAKSIAQAVTKAIERQ >Potri.011G070000.2.v4.1 pep chromosome:Pop_tri_v4:11:6153445:6154922:1 gene:Potri.011G070000.v4.1 transcript:Potri.011G070000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070000.v4.1 MVVRLQRRTAMHNMRPYLRTLTRSKSVKRKYVIMDALLCIYKLKLKLEAIKTELANLIAVKREYLSLMKELQLPKEVEVEKGEKGFIVRVTCEKGGDKLVSILEVFEEMGLTVSHARVSCNLYLSMEAIVVAEEERALHAKSIAQAVTKAIERQ >Potri.001G010600.1.v4.1 pep chromosome:Pop_tri_v4:1:688907:689641:1 gene:Potri.001G010600.v4.1 transcript:Potri.001G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010600.v4.1 MEVEASLAEILIKVAMFVLVQVLVYAILSNSSNIFSKNKTRSFSFKPARSLSIRGFLDAISDMPLGSSEPSPLSRGSRSFTQDSIFDD >Potri.001G148000.2.v4.1 pep chromosome:Pop_tri_v4:1:12206281:12208598:1 gene:Potri.001G148000.v4.1 transcript:Potri.001G148000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148000.v4.1 MPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKKSSQEKSSTAEPEGENAYASFQGLLALARITSSNADEARGACKRCGRVGHLAFQCRNFVSVKDGDKEKDAEAVQAAVLSGLEKIKGNGKRVVQGEESEDDEDESETSDSEEDSEIERIIAERYGKKKSSTLGKSSRKKEDSDDDGSDSGRKKRGRSKKRRIKKRVSSDSEDGDEDRRKRRKEKRRKKNESSDEEDDRQRRKRKSRKEKRRRRSHRHSDDSDSDEHEHSGRRHKQKSRKTSSLSDPDASGSDDPRVGRDTKRSEKKIRKRHHEDDE >Potri.001G148000.9.v4.1 pep chromosome:Pop_tri_v4:1:12205872:12208590:1 gene:Potri.001G148000.v4.1 transcript:Potri.001G148000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148000.v4.1 MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKKSSQEKSSTAEPEGENAYASFQGLLALARITSSNADEARGACKRCGRVGHLAFQCRNFVSVKDGDKEKDAEAVQAAVLSGLEKIKGNGKRVVQGEESEDDEDESETSDSEEDSEIERIIAERYGKKKSSTLGKSSRKKEDSDDDGSDSGRKKRGRSKKRRIKKRVSSDSEDGDEDRRKRRKEKRRKKNESSDEEDDRQRRKRKSRKEKRRRRSHRHSDDSDSDEHEHSGRRHKQKSRKTSSLSDPDASGSDDPRVGRDTKRSEKKIRKRHHEDDE >Potri.001G148000.8.v4.1 pep chromosome:Pop_tri_v4:1:12205917:12209087:1 gene:Potri.001G148000.v4.1 transcript:Potri.001G148000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148000.v4.1 MPATAGRVRMPANNRVHSSAALQTHGIWQSAIGYDPYAPNKDDSKKSSQEKSSTAEPEGENAYASFQGLLALARITSSNADEARGACKRCGRVGHLAFQCRNFVSVKDGDKEKDAEAVQAAVLSGLEKIKGNGKRVVQGEESEDDEDESETSDSEEDSEIERIIAERYGKKKSSTLGKSSRKKEDSDDDGSDSGRKKRGRSKKRRIKKRVSSDSEDGDEDRRKRRKEKRRKKNESSDEEDDRQRRKRKSRKEKRRRRSHRHSDDSDSDEHEHSGRRHKQKSRKTSSLSDPDASGSDDPRVGRDTKRSEKKIRKRHHEDDE >Potri.009G005100.3.v4.1 pep chromosome:Pop_tri_v4:9:1148111:1152360:-1 gene:Potri.009G005100.v4.1 transcript:Potri.009G005100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005100.v4.1 MQAAAIAAMAAHAILTAMPPILHLPLSPLPPNHSSFHGVSLKPPRQSFSLSLAAKKQPPLFVVASATKKAVAVLKGTSNVEGVVILTQEADGPTTVNARITGLTPGPHGFHLHQYGDTTNGCVSTGAHFNPNNLTHGAPEDEIRHAGDLGNIVATADGVAEAIIVDNQIPLSGPNTVIGRALVVHELEDDLGKGRHELSSTTGNAGGRLACGVVGLTPV >Potri.019G103100.11.v4.1 pep chromosome:Pop_tri_v4:19:13887511:13892745:1 gene:Potri.019G103100.v4.1 transcript:Potri.019G103100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103100.v4.1 MGACVSTSRSTCSSKSNGEPVPLPCLGIGFCRQKRTKRTFSDHVVTLQHLPSIPNRVFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPHGHLVARKVRDTLPVKLQSFLNSCQSRQNGSDQTCFKGNSMKSDVGDLDKDGSIEDKLNSLWREAFLKSYKAMDKELKSHPNLDCFCSGSTAITIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTERDQFIVLASDGVWDVLSNEEVVEIVSSAPTRASAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATLDQSNHSGNAAESDDGQKSEPCLQRNFTVRSAEETDTYGRQAVEVDGDGEAVNNAEDQSWLGLEGVTRVNSLVQLPRFSEERPGP >Potri.019G103100.10.v4.1 pep chromosome:Pop_tri_v4:19:13887638:13892842:1 gene:Potri.019G103100.v4.1 transcript:Potri.019G103100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103100.v4.1 MGACVSTSRSTCSSKSNGEPVPLPCLGIGFCRQKRTKRTFSDHVVTLQHLPSIPNRVFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPHGHLVARKVRDTLPVKLQSFLNSCQSRQNGSDQTCFKGNSMKSDVGDLDKDGSIEDKLNSLWREAFLKSYKAMDKELKSHPNLDCFCSGSTAITIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTERDQFIVLASDGVWDVLSNEEVVEIVSSAPTRASAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATLDQSNHSGNAAESDDGQKSEPCLQRNFTVRSAEETDTYGRQAVEVDGDGEAVNNAEDQSWLGLEGVTRVNSLVQLPRFSEERPGP >Potri.019G103100.7.v4.1 pep chromosome:Pop_tri_v4:19:13888420:13892724:1 gene:Potri.019G103100.v4.1 transcript:Potri.019G103100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103100.v4.1 MGACVSTSRSTCSSKSNGEPVPLPCLGIGFCRQKRTKRTFSDHVVTLQHLPSIPNRVFTNGKSRTSCIFTQQGRKGINQDAMIVWEDFMSEDVTFCGVFDGHGPHGHLVARKVRDTLPVKLQSFLNSCQSRQNGSDQTCFKGNSMKSDVGDLDKDGSIEDKLNSLWREAFLKSYKAMDKELKSHPNLDCFCSGSTAITIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTERDQFIVLASDGVWDVLSNEEVVEIVSSAPTRASAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATLDQSNHSGNAAESDDGQKSEPCLQRNFTVRSAEETDTYGRQAVEVDGDGEAVNNAEDQSWLGLEGVTRVNSLVQLPRFSEERPGP >Potri.019G103100.12.v4.1 pep chromosome:Pop_tri_v4:19:13887513:13892021:1 gene:Potri.019G103100.v4.1 transcript:Potri.019G103100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103100.v4.1 MGACVSTSRSTCSSKSNGEPVPLPCLGIGFCRQKRTKRTFSDHVVTLQHLPSIPNRVFTNGKSRTSCIFTQQGRKGINQDAMIVWESRQNGSDQTCFKGNSMKSDVGDLDKDGSIEDKLNSLWREAFLKSYKAMDKELKSHPNLDCFCSGSTAITIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTERDQFIVLASDGVWDVLSNEEVVEIVSSAPTRASAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATLDQSNHSGNAAESDDGQKSEPCLQRNFTVRSAEETDTYGRQAVEVDGDGEAVNNAEDQSWLGLEGVTRVNSLVQLPRFSEERPGP >Potri.019G103100.8.v4.1 pep chromosome:Pop_tri_v4:19:13887513:13892706:1 gene:Potri.019G103100.v4.1 transcript:Potri.019G103100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103100.v4.1 MGACVSTSRSTCSSKSNGEPVPLPCLGIGFCRQKRTKRTFSDHVVTLQHLPSIPNRVFTNGKSRTSCIFTQQGRKGINQDAMIVWESRQNGSDQTCFKGNSMKSDVGDLDKDGSIEDKLNSLWREAFLKSYKAMDKELKSHPNLDCFCSGSTAITIVKQGSNLFMGYIGDSRAIMGSKDSNDSMVAVQLTVDLKPDLPREAERIKRCKGRVFALQDEPEVPRVWLPFDDAPGLAMARAFGDFCLKEYGVISIPEFSHRTLTERDQFIVLASDGVWDVLSNEEVVEIVSSAPTRASAARILVDSAAREWKLKYPTSKMDDCAVVCLFLDGKMDSESDYDEQGFSSATLDQSNHSGNAAESDDGQKSEPCLQRNFTVRSAEETDTYGRQAVEVDGDGEAVNNAEDQSWLGLEGVTRVNSLVQLPRFSEERPGP >Potri.008G225201.1.v4.1 pep chromosome:Pop_tri_v4:8:19169977:19170225:1 gene:Potri.008G225201.v4.1 transcript:Potri.008G225201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G225201.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.010G056500.2.v4.1 pep chromosome:Pop_tri_v4:10:8745128:8753488:1 gene:Potri.010G056500.v4.1 transcript:Potri.010G056500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056500.v4.1 MESDSDSDSSHISSTPPRNPMPPPPPPPPPRRPTLLSSHKSKIRLKSSSSSSKSQRKLPSEPAEPPPQPSLDDTSLNLPPLSTLPFQIRCSSNLHRPNLIETLPAGYFSKSTFFSKIQRPSLNFEPSESFTCPPVSADRAPPENKPNNFIKKHPNLIGANAPLPPAKLRKCSEGNFVKLNLNHGRRKFVNRKGKKKSSYASSSRGFYRRSKRKSKGEGDVEMESVCDEEGLVTEIGQQKPKKGCELIDEAVLEVQNEASDENLARLLNVMYGYDSFREGQLEAIKMVLDGKSTMLVLPTGAGKSLCYQIPATVFSGVTLVVSPLVALMIDQLKQLPPVIQGGLLCSSQTPQEVSETLRLLQEGGIKVLFVSPERFLNAEFLSILSPIPISLLVVDEAHCISEWSHNFRPSYMRLRASLLCTRLNIGCILAMTATATTTTLNAIMSALEIPSTNLIQNAKLRDNMQLSVSLSGNRTKDLLTLIKSPPFVELQSIIIYCKFQSETDIISRYLCDNNISAKSYHSSITSKDRSRIQELFCSNKIRVVVATVAFGMGLDKRDVGAVIHYSMPESLEEYVQEIGRAGRDGRLSHCHLFFDDTTYFKLRSLMHSEGVDEYAVNKFLCEIFSTDMKHPGKIHAIIKESSSRKFDMKEEVMLTLLTQLELGEVQYIHLLPQLNVTCTLNFYKTSPMLLSDKDNVVSAILKKSETKQGQYVFDIPTVANSIGVTTTELSNHLQNLKLKGEITYDVKDPAYCYSIVEVPRDFCSLSRHLTKWLLEVECFKVQKLDAMFNAAIFAVNDCEKMQGCHGTQHTPCLQRKILDYFKDDGRRDIPNKMGQSSPFLRADIKVFLQGNSQAKFTPRAIARIMHGIASPAYPSATWSRTHFWGRYTQIDFQVVMEAAKVELMNFVGKDAL >Potri.010G056500.3.v4.1 pep chromosome:Pop_tri_v4:10:8745128:8753488:1 gene:Potri.010G056500.v4.1 transcript:Potri.010G056500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056500.v4.1 MESDSDSDSSHISSTPPRNPMPPPPPPPPPRRPTLLSSHKSKIRLKSSSSSSKSQRKLPSEPAEPPPQPSLDDTSLNLPPLSTLPFQIRCSSNLHRPNLIETLPAGYFSKSTFFSKIQRPSLNFEPSESFTCPPVSADRAPPENKPNNFIKKHPNLIGANAPLPPAKLRKCSEGNFVKLNLNHGRRKFVNRKGKKKSSYASSSRGFYRRSKRKSKGEGDVEMESVCDEEGLVTEIGQQKPKKGCELIDEAVLEVQNEASDENLARLLNVMYGYDSFREGQLEAIKMVLDGKSTMLVLPTGAGKSLCYQIPATVFSGVTLVVSPLVALMIDQLKQLPPVIQGGLLCSSQTPQEVSETLRLLQEGGIKVLFVSPERFLNAEFLSILSPIPISLLVVDEAHCISEWSHNFRPSYMRLRASLLCTRLNIGCILAMTATATTTTLNAIMSALEIPSTNLIQNAKLRDNMQLSVSLSGNRTKDLLTLIKSPPFVELQSIIIYCKFQSETDIISRYLCDNNISAKSYHSSITSKDRSRIQELFCSNKIRVVVATVAFGMGLDKRDVGAVIHYSMPESLEEYVQEIGRAGRDGRLSHCHLFFDDTTYFKLRSLMHSEGVDEYAVNKFLCEIFSTDMKHPGKIHAIIKESSSRKFDMKEEVMLTLLTQLELGEVQYIHLLPQLNVTCTLNFYKTSPMLLSDKDNVVSAILKKSETKQGQYVFDIPTVANSIGVTTTELSNHLQNLKLKGEITYDVKDPAYCYSIVEVPRDFCSLSRHLTKWLLEVECFKVQKLDAMFNAAIFAVNDCEKMQGCHGTQHTPCLQRKILDYFKDDGRRDIPNKMGQSSPFLRADIKVFLQGNSQAKFTPRAIARIMHGIASPAYPSATWSRTHFWGRYTQIDFQVVMEAAKVELMNFVGKDAL >Potri.010G056500.4.v4.1 pep chromosome:Pop_tri_v4:10:8745199:8752458:1 gene:Potri.010G056500.v4.1 transcript:Potri.010G056500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056500.v4.1 MESDSDSDSSHISSTPPRNPMPPPPPPPPPRRPTLLSSHKSKIRLKSSSSSSKSQRKLPSEPAEPPPQPSLDDTSLNLPPLSTLPFQIRCSSNLHRPNLIETLPAGYFSKSTFFSKIQRPSLNFEPSESFTCPPVSADRAPPENKPNNFIKKHPNLIGANAPLPPAKLRKCSEGNFVKLNLNHGRRKFVNRKGKKKSSYASSSRGFYRRSKRKSKGEGDVEMESVCDEEGLVTEIGQQKPKKGCELIDEAVLEVQNEASDENLARLLNVMYGYDSFREGQLEAIKMVLDGKSTMLVLPTGAGKSLCYQIPATVFSGVTLVVSPLVALMIDQLKQLPPVIQGGLLCSSQTPQEVSETLRLLQEGGIKVLFVSPERFLNAEFLSILSPIPISLLVVDEAHCISEWSHNFRPSYMRLRASLLCTRLNIGCILAMTATATTTTLNAIMSALEIPSTNLIQNAKLRDNMQLSVSLSGNRTKDLLTLIKSPPFVELQSIIIYCKFQSETDIISRYLCDNNISAKSYHSSITSKDRSRIQELFCSNKIRVVVATVAFGMGLDKRDVGAVIHYSMPESLEEYVQEIGRAGRDGRLSHCHLFFDDTTYFKLRSLMHSEGVDEYAVNKFLCEIFSTDMKHPGKIHAIIKESSSRKFDMKEEVMLTLLTQLELGEVQYIHLLPQLNVTCTLNFYKTSPMLLSDKDNVVSAILKKSETKQGQYVFDIPTVANSIGVTTTELSNHLQNLKLKGEITYDVKDPAYCYSIVEVPRDFCSLSRHLTKWLLEVECFKVQKLDAMFNAAIFAVNDCEKMQGCHGTQHTPCLQRKILDYFKDDGRRDIPNKMGQSSPFLRADIKVFLQGNSQAKFTPRAIARIMHGIASPAYPSATWSRTHFWGRYTQIDFQVVMEAAKVELMNFVGKDAL >Potri.010G056500.1.v4.1 pep chromosome:Pop_tri_v4:10:8745128:8753488:1 gene:Potri.010G056500.v4.1 transcript:Potri.010G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056500.v4.1 MESDSDSDSSHISSTPPRNPMPPPPPPPPPRRPTLLSSHKSKIRLKSSSSSSKSQRKLPSEPAEPPPQPSLDDTSLNLPPLSTLPFQIRCSSNLHRPNLIETLPAGYFSKSTFFSKIQRPSLNFEPSESFTCPPVSADRAPPENKPNNFIKKHPNLIGANAPLPPAKLRKCSEGNFVKLNLNHGRRKFVNRKGKKKSSYASSSRGFYRRSKRKSKGEGDVEMESVCDEEGLVTEIGQQKPKKGCELIDEAVLEVQNEASDENLARLLNVMYGYDSFREGQLEAIKMVLDGKSTMLVLPTGAGKSLCYQIPATVFSGVTLVVSPLVALMIDQLKQLPPVIQGGLLCSSQTPQEVSETLRLLQEGGIKVLFVSPERFLNAEFLSILSPIPISLLVVDEAHCISEWSHNFRPSYMRLRASLLCTRLNIGCILAMTATATTTTLNAIMSALEIPSTNLIQNAKLRDNMQLSVSLSGNRTKDLLTLIKSPPFVELQSIIIYCKFQSETDIISRYLCDNNISAKSYHSSITSKDRSRIQELFCSNKIRVVVATVAFGMGLDKRDVGAVIHYSMPESLEEYVQEIGRAGRDGRLSHCHLFFDDTTYFKLRSLMHSEGVDEYAVNKFLCEIFSTDMKHPGKIHAIIKESSSRKFDMKEEVMLTLLTQLELGEVQYIHLLPQLNVTCTLNFYKTSPMLLSDKDNVVSAILKKSETKQGQYVFDIPTVANSIGVTTTELSNHLQNLKLKGEITYDVKDPAYCYSIVEVPRDFCSLSRHLTKWLLEVECFKVQKLDAMFNAAIFAVNDCEKMQGCHGTQHTPCLQRKILDYFKDDGRRDIPNKMGQSSPFLRADIKVFLQGNSQAKFTPRAIARIMHGIASPAYPSATWSRTHFWGRYTQIDFQVVMEAAKVELMNFVGKDAL >Potri.018G060000.5.v4.1 pep chromosome:Pop_tri_v4:18:6095374:6099860:1 gene:Potri.018G060000.v4.1 transcript:Potri.018G060000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G060000.v4.1 MERLMQVPYEATVKVMLASLERNLLPDAVIRRLTRMLSADRLRSCYKTSSELQLADLLQFVHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNLKYSCCYFSDKSNTLEDAEKAMLELYCERSQLKDGHTVLDVGCGWGSLSLYIAQKYSNCKITGICNSTTQKVHIDEQCRDLQLQNVEIIVADISTFEMQASYDRIYSIEMFEHMKNYGDLLNKISKWMKQDGLHFVHYFCHKQFAYHFEDVNEDDWITRYFFTGGTMPSANLLLYFQDDVSIVDHWLVNGKHYSQTR >Potri.018G060000.1.v4.1 pep chromosome:Pop_tri_v4:18:6095379:6099999:1 gene:Potri.018G060000.v4.1 transcript:Potri.018G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G060000.v4.1 MERLMQVPYEATVKVMLASLERNLLPDAVIRRLTRMLSADRLRSCYKTSSELQLADLLQFVHSLKEMPIAIKTDKPKTQHYELPTSFFKLVLGKNLKYSCCYFSDKSNTLEDAEKAMLELYCERSQLKDGHTVLDVGCGWGSLSLYIAQKYSNCKITGICNSTTQKVHIDEQCRDLQLQNVEIIVADISTFEMQASYDRIYSIEMFEHMKNYGDLLNKISKWMKQDGLHFVHYFCHKQFAYHFEDVNEDDWITRYFFTGGTMPSANLLLYFQDDVSIVDHWLVNGKHYSQTSEEWLKRMDRNLAAIKPIMESTYGKDQAVKWTVYWRTFFIAVAELFGYNNGEEWMVAHFLFNKK >Potri.005G193000.1.v4.1 pep chromosome:Pop_tri_v4:5:20060618:20062930:1 gene:Potri.005G193000.v4.1 transcript:Potri.005G193000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193000.v4.1 MMWVKSRASKNRKDGKEFRDACKSLNVNEEYLSALRTQSYADFFTKAQSLVNEPSFPSYCHRKFSEILLEPGQDSIPAILESAFLSKVPELKGLMLNYFDLSAEASNVCSYLVKNINQIQSSYQFIQRVLNSIDDYSPDKLKLIVSELNSFIVQSNPFSTPNKHDFKLINDRYSSVLNHLKSKRKKVARKMKFIAYIHKASGICITAACGLIAISAIVLAAHTLTVVVMGPAILSFPLKRFKKKLLSFKFLRSGFLRKVGQQLDVAAKATYILNRDFDTMSRLVARLHDEVEHDKAMIQFCLERKEDRFSFQVIKELKKSDSGFRKQVEELEEHVYLCLLTINRARALVIKEMTASSIEHFSY >Potri.015G095000.1.v4.1 pep chromosome:Pop_tri_v4:15:11704218:11707356:-1 gene:Potri.015G095000.v4.1 transcript:Potri.015G095000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G095000.v4.1 MVGGKQRGKKKTKDEDGSLKEESSLKDRLLASGGESLTPSIEIMKKKKTRRRSEKHEASAEDVGVSSSLSEGNVDVNNAADKNERKKKKKEKKKKKSEGHGDCSEIVAVSSSLSEGNVDVNNAAEKNEKKKKKSKRHEACVEDVAVSLSEGNIDVNNAEGRNEKKERKRKLEHGNNEKESQVARKKNKVSEVGEVLEETHMRIEDNMGLQKNVHVDLVRNSESEVRRDGKKNRKEKNREDRSSTVAPVVNILDDNRKEEVVGVQKGPGSEGIANMNNGEGRDRKKEKKKKQKKYGGGGGDTEVIESAADGKDAGREESTEIVQDKVESSKKEKKKKKKRHNVVQEAASIQIMAETMGNQSSVIESDGGNSSSIKNKARDGKLKVNDEGGKKRKKKAKSLGNGSKEKSSKRVTQMEKDVETTGPSEKSSSKATSKRVSFCEDVEVFPSSDGPSDEKAVGEDGLVRGKRFSHEEDEMVKEAVLNYIDVHGLGAEGLNMVLNCKKHPAIVHCWKEIGAALPWRPRQSVYHRAHILFERGQNSSWTPEEYELIRKFHEKHGSDWKTLAEALGKHRFHVKDTWRRIKLINMKKGKWSQDEYQSLFDFVNLDLRLKAFEERKTKHGMLRDNISWTAISERLETRTDALCCQKWYDQLTSPMVAEGKWLDTDDYRLLMELYDLDACCMEDVDWDNLLEHRSGDLCRKRWNQMVKHLGDHRNKSFADQVDVLIKRYCPDVLEAREAYNSKPAVP >Potri.002G055300.2.v4.1 pep chromosome:Pop_tri_v4:2:3761262:3765642:-1 gene:Potri.002G055300.v4.1 transcript:Potri.002G055300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055300.v4.1 MDSGFKSNRPQLRRGLCYSNEGRGQARSPSVIVIGGGIAGVAAARALHDASFQVVLLESRDRLGGRVHTDFSFGFPVDLGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLYDHDLESYALFDMDGNQVPQELVTKVGEAFENILKETDKVRLENNEDMSILRAFSIVFERRPDLRLEGLALKVLQWYLCRMEGWFAADSETISLKCWDQEELLPGGHGLMVRGYLPVINTLAKGLDIRLSHRVKKIVRRYNGVKVTVEDGSTFMADAAVVAVPLGVLKSKTITFEPELPDWKEKAIKDLGVGIENKIVLNFDHVFWPNVEFLGVVAETSYGCSYFLNLHKATGHPVLVYMPAGKLARDIEKMSDEAAANFAFTQLKKILPDASAPIKYLVSRWGSDINSLGSYSYDTVGKSHDLYERLRIPIDNLFFAGEATSISYPGSVHGAFSTGLMAAEACRMRVLERYGELDIFQPVMGEEATVSVPLLISRM >Potri.013G022800.1.v4.1 pep chromosome:Pop_tri_v4:13:1471577:1473544:-1 gene:Potri.013G022800.v4.1 transcript:Potri.013G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022800.v4.1 MDCSPSKVSGTAITAHVLILTLPAQGHVAPTMKLAYRLADLGVKVTFLTAECTFDQLDSEQSRNKDALPKIQGGPYQERVKTVFFPDGLKSTELDERRDTVKFLERIAKVMPYHVEEFIKKANQPESEKDEKITCVIADLVVAWALEIANKMGLKGAIFLSSAPGIVSMVLQIPHLIEAGIIDAEGTPKKKEKVMLSPYLPALSSDDYVWNFPGNKELQKVGFEFVRSGEPFLRTSNWVLCNWYRDLDPSADGLLPTTLSIGPLLANDRPSGNMFSEDLTCLSWLDRQPPGSVVYVSFGSTGTFNSHQFDELALGLQLVGRPFLWVVRPDISHEVASATDGLRNRGADDHQLGKIVQWAPQEKVLAHPSVGCFLTHCGWNSTVEGMSMGVPLLCWPHMRDQFYVRTCICDGWKVGLELKPNEDGIFTRHEIKSKVDELLINGGIRENALKIKRLAQMSLSKGGSSSKNLEQFVAELVL >Potri.004G034301.3.v4.1 pep chromosome:Pop_tri_v4:4:2660362:2663852:-1 gene:Potri.004G034301.v4.1 transcript:Potri.004G034301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034301.v4.1 MSWFSCVEDGLVPEQGCKPWASALDDGNAIMGPNSSDISDCEVMMMVGLPASGKTTWAEKWAEEYPEKRFVLLGRNLILDEMKVCLVELLAFHYLHLLLHHQGPRIPIFLLACSSILEDITLLLRETANFLIVLPSIPQDLGDLTSKLKTGNREGQVLVHAELQIFCKHELNEENSKGPPSKIISRFL >Potri.012G004600.3.v4.1 pep chromosome:Pop_tri_v4:12:34463:37439:-1 gene:Potri.012G004600.v4.1 transcript:Potri.012G004600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004600.v4.1 MKGIKRKDLSSPIYLYPHSSSKQQQQRRGGSKQGKAKRKRKEMEQQQGKQGVTVAKLFPNQDPMKFVQFKFKELEDGFKSWLSKQSLPVEAAVVTATGGVQGAAIGAIMGTLTPDISSSMPTPPPQASLNPQAMASLKQAQALAGGPLIQARNFAVMTGTNAGIACIMKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNLATNAITSGLFFALVQGGLFKLGEKLSKPPVEDLCYARTRSMLNNLGLQNYEKNFKKGLLTDNTLPLLTDSALRDVRIPPGPRLLILDHLRRDPELREKTCKP >Potri.012G004600.2.v4.1 pep chromosome:Pop_tri_v4:12:34438:37387:-1 gene:Potri.012G004600.v4.1 transcript:Potri.012G004600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004600.v4.1 MEQQQGKQGVTVAKLFPNQDPMKFVQFKFKELEDGFKSWLSKQSLPVEAAVVTATGGVQGAAIGAIMGTLTPDISSSMPTPPPQASLNPQAMASLKQAQALAGGPLIQARNFAVMTGTNAGIACIMKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNLATNAITSGLFFALVQGGLFKLGEKLSKPPVEDLCYARTRSMLNNLGLQNYEKNFKKGLLTDNTLPLLTDSALRDVRIPPGPRLLILDHLRRSGTRS >Potri.015G132500.3.v4.1 pep chromosome:Pop_tri_v4:15:14212829:14214385:1 gene:Potri.015G132500.v4.1 transcript:Potri.015G132500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132500.v4.1 MSMTVASTSTASASFRYSKSRTGGVKPNIAAVRPRSLVVVRAEAQSINPEIRKNEEKVVDSVVVAELSKPLTAYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKKQKE >Potri.002G159900.9.v4.1 pep chromosome:Pop_tri_v4:2:12179620:12188608:-1 gene:Potri.002G159900.v4.1 transcript:Potri.002G159900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159900.v4.1 MLRYLILAVPDTFVALDCFPLPPSVVSYAVNDGTFLSKASEDARKTKDNSAEVACVFRSKGLDAQYQSLSFDRVVSSIQKRADNLAKAVSSGYPVHSVAKALQALDKALSLGDIREAYGYLFENFCEGSVHESWIKEVSPCLRSSLKWLRGVSLSLICSVFLLCEWATCDYRDFRSAPPHELKFTGRKDFSQVYIASRLLKSKIRDLQSPFRRKNEKSPGVNSLVKGLNQSNYFGRIPVGNGYEIKSNSKTVSGQGTNMSNIFESPGPLHDITVCWIDQHEVCNVEGLKRLQLLIVELIHSGIFSPQVYVRQLIISGIMDAAGPPADLDRRKRHYRVLKQLPGRFVHDVLEDARIAEGSELSEAMRIYSNERRLLLHGLFCERYQNSVKSNLSVKKPKHHPPIAGKDGASPSSFEQWKNTQSRPSAKVKNEMDIEELKASISALLQLPICSTSSDTGLDESQGSVKRPAESIGSKMDVVETPGCEDCRKAKRQKLSEERNSYLQGHSPISDDEDTWWVRKGAKPLDSSKVDPPPKSSKQVSKGRQKVVRKTQSLAHLAAARIEGSQGASTSHFCDNKISCPHHRTGIEGDNLRSMDGMGTMYGGDIVSIGKSLKQLRPVEKRTITVWLIAVVRQLVEETEKSAVKASQFSRSLVNVDDRSSVRWKLGEDELSAILYLLDICCDLVPAAKLLLWLLPKVLSNPNSTIHSGRNSMMLPRNVENHACEVGEAFLLSSLRRYENIIIATDLIPEVLSTTMHRVAALLASNGRISGSAALIYSRHLLRKYSDVPSVLEWEKSFKASCDKRLLSELEIGRSLDADFGFPLGVPAGVEDFDDFFRQKISGSRLSRVGMSMRDVVQRNIDDAFHYFGKERKLFGAGTAKVPGMEKSDDTYQIAQQIIMGLMDCMRQTGGAAQEGDPSLVSSAVSAIVNNVGPTIAKMPDFSPGSNYSNASAGTGLLNFARRILRIHINCLCLLKEALGERQSRVFEVALATEASSALATAFAPGKASRSPFQLSPESHDSSGNIANEILNNSAKAAGRTKSAAAISGLVVGAIIHGVTTLERMVTVFRLKEGLDVIQCIRNAKSNSNGNARSFTVFKMDNSIEVYVHWFRLLVGNCRTVSDGLIVELLGEPSLVALSRMQRLLPLSLVFPPAYSIFAFVIWRPFSATREDIHQLYRSLTMAIGDAIKHLPFRDVCLRDSQGFYDLIAADSSDAEFASMLELNGLDMRFKTKAFVPLRGRLFLNAIVDCKLPHSVFVQDDGNRASGHGGSKVQHAENEIKLLDKLVNVLDALQPAKFHWQWVELRLLLNEQALIEKLETHDISLADAIRSSSPGPEKEAASENENNFIEIILTRLLVRPDAAPLFSELVHLLGTSLENSMLLQAKWFLGGHDVLFGRKTIRQRLINIAESKGLSTKAHFWKPWGWSNSGFDPVMNRGDKKKFEVPSLEEGEVVEEGTETKRSGKGSFPVFESEGSSLFQQNVTERALVELVLPCIDQGSDDSRNTFATDLIKQLNNIEQQINSVTRGTSKQTGTASSGLEGPANKSNNRKGIRGGSPGLARRTAAAADSTLPSPAALRASMLLRLQLLLRLLPTICTNGEPSGRNMRHVLASVILRLLGSRVVHEDAELSFYPLQSFQSKGELESPLEAASADLSGESLFDRLLLVLHGLLSSSRPSWLKPRPASSSKSVNESSKDCAGFDRDLVESLQNDLDRMKLPGSTRLRIQAAMPILLPSVRCFVSCQPPPVPTAAAASLQPSIAISGVLNGNNSQKNPAPLARSANNISTKSKPLPLPLPLQLDNDMEIDPWTLLEDGTGSSLSSSNTSVIGSSDHANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Potri.002G159900.8.v4.1 pep chromosome:Pop_tri_v4:2:12179531:12193915:-1 gene:Potri.002G159900.v4.1 transcript:Potri.002G159900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159900.v4.1 MQRYHTAGCTSAVNNSSIGGTSSRDSTRTDSSSLASNFSINPRRPPPLIPYKLKCDKEPLNSRLGPPDFHPQTPNCPEETVTNEYVEAGYKDAVEGLEEAREILHTQAQSFTSPVVKKCKEAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRSCGEDFKKKWIEGLSQPHKRLRSLADHVPHGYRKKSLLEVLIRNNVPLFRATWFIKVTYLNQVKPSSTSISSGTPDKSQLSRTELWTKDVVDYLQSLLDEYLSRNNPHSAPHSKDRSQQMLYTGSVQHRSDPSSAILDSEEPSLHLKLWYVARLLHWHHAEGLLLPSVIIDWVLSQLQEKDLLEILQLLLPILYGVLETVILSQSFVRTLVGVAVRFIHEPSPGGSDLVDNSRRAYTTSALIEMLRYLILAVPDTFVALDCFPLPPSVVSYAVNDGTFLSKASEDARKTKDNSAEVACVFRSKGLDAQYQSLSFDRVVSSIQKRADNLAKAVSSGYPVHSVAKALQALDKALSLGDIREAYGYLFENFCEGSVHESWIKEVSPCLRSSLKWLRGVSLSLICSVFLLCEWATCDYRDFRSAPPHELKFTGRKDFSQVYIASRLLKSKIRDLQSPFRRKNEKSPGVNSLVKGLNQSNYFGRIPVGNGYEIKSNSKTVSGQGTNMSNIFESPGPLHDITVCWIDQHEVCNVEGLKRLQLLIVELIHSGIFSPQVYVRQLIISGIMDAAGPPADLDRRKRHYRVLKQLPGRFVHDVLEDARIAEGSELSEAMRIYSNERRLLLHGLFCERYQNSVKSNLSVKKPKHHPPIAGKDGASPSSFEQWKNTQSRPSAKVKNEMDIEELKASISALLQLPICSTSSDTGLDESQGSVKRPAESIGSKMDVVETPGCEDCRKAKRQKLSEERNSYLQGHSPISDDEDTWWVRKGAKPLDSSKVDPPPKSSKQVSKGRQKVVRKTQSLAHLAAARIEGSQGASTSHFCDNKISCPHHRTGIEGDNLRSMDGMGTMYGGDIVSIGKSLKQLRPVEKRTITVWLIAVVRQLVEETEKSAVKASQFSRSLVNVDDRSSVRWKLGEDELSAILYLLDICCDLVPAAKLLLWLLPKVLSNPNSTIHSGRNSMMLPRNVENHACEVGEAFLLSSLRRYENIIIATDLIPEVLSTTMHRVAALLASNGRISGSAALIYSRHLLRKYSDVPSVLEWEKSFKASCDKRLLSELEIGRSLDADFGFPLGVPAGVEDFDDFFRQKISGSRLSRVGMSMRDVVQRNIDDAFHYFGKERKLFGAGTAKVPGMEKSDDTYQIAQQIIMGLMDCMRQTGGAAQEGDPSLVSSAVSAIVNNVGPTIAKMPDFSPGSNYSNASAGTGLLNFARRILRIHINCLCLLKEALGERQSRVFEVALATEASSALATAFAPGKASRSPFQLSPESHDSSGNIANEILNNSAKAAGRTKSAAAISGLVVGAIIHGVTTLERMVTVFRLKEGLDVIQCIRNAKSNSNGNARSFTVFKMDNSIEVYVHWFRLLVGNCRTVSDGLIVELLGEPSLVALSRMQRLLPLSLVFPPAYSIFAFVIWRPFSATREDIHQLYRSLTMAIGDAIKHLPFRDVCLRDSQGFYDLIAADSSDAEFASMLELNGLDMRFKTKAFVPLRGRLFLNAIVDCKLPHSVFVQDDGNRASGHGGSKVQHAENEIKLLDKLVNVLDALQPAKFHWQWVELRLLLNEQALIEKLETHDISLADAIRSSSPGPEKEAASENENNFIEIILTRLLVRPDAAPLFSELVHLLGTSLENSMLLQAKWFLGGHDVLFGRKTIRQRLINIAESKGLSTKAHFWKPWGWSNSGFDPVMNRGDKKKFEVPSLEEGEVVEEGTETKRSGKGSFPVFESEGSSLFQQNVTERALVELVLPCIDQGSDDSRNTFATDLIKQLNNIEQQINSVTRGTSKQTGTASSGLEGPANKSNNRKGIRGGSPGLARRTAAAADSTLPSPAALRASMLLRLQLLLRLLPTICTNGEPSGRNMRHVLASVILRLLGSRVVHEDAELSFYPLQSFQSKGELESPLEAASADLSGESLFDRLLLVLHGLLSSSRPSWLKPRPASSSKSVNESSKDCAGFDRDLVESLQNDLDRMKLPGSTRLRIQAAMPILLPSVRCFVSCQPPPVPTAAAASLQPSIAISGVLNGNNSQKNPAPLARSANNISTKSKPLPLPLPLQLDNDMEIDPWTLLEDGTGSSLSSSNTSVIGSSDHANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Potri.002G159900.7.v4.1 pep chromosome:Pop_tri_v4:2:12179614:12194207:-1 gene:Potri.002G159900.v4.1 transcript:Potri.002G159900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159900.v4.1 MQRYHTAGCTSAVNNSSIGGTSSRDSTRTDSSSLASNFSINPRRPPPLIPYKLKCDKEPLNSRLGPPDFHPQTPNCPEETVTNEYVEAGYKDAVEGLEEAREILHTQAQSFTSPVVKKCKEAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVYPEQRSCGEDFKKKWIEGLSQPHKRLRSLADHVPHGYRKKSLLEVLIRNNVPLFRATWFIKVTYLNQVKPSSTSISSGTPDKSQLSRTELWTKDVVDYLQSLLDEYLSRNNPHSAPHSKDRSQQMLYTGSVQHRSDPSSAILDSEEPSLHLKLWYVARLLHWHHAEGLLLPSVIIDWVLSQLQEKDLLEILQLLLPILYGVLETVILSQSFVRTLVGVAVRFIHEPSPGGSDLVDNSRRAYTTSALIEMLRYLILAVPDTFVALDCFPLPPSVVSYAVNDGTFLSKASEDARKTKDNSAEVACVFRSKGLDAQYQSLSFDRVVSSIQKRADNLAKAVSSGYPVHSVAKALQALDKALSLGDIREAYGYLFENFCEGSVHESWIKEVSPCLRSSLKWLRGVSLSLICSVFLLCEWATCDYRDFRSAPPHELKFTGRKDFSQVYIASRLLKSKIRDLQSPFRRKNEKSPGVNSLVKGLNQSNYFGRIPVGNGYEIKSNSKTVSGQGTNMSNIFESPGPLHDITVCWIDQHEVCNVEGLKRLQLLIVELIHSGIFSPQVYVRQLIISGIMDAAGPPADLDRRKRHYRVLKQLPGRFVHDVLEDARIAEGSELSEAMRIYSNERRLLLHGLFCERYQNSVKSNLSVKKPKHHPPIAGKDGASPSSFEQWKNTQSRPSAKVKNEMDIEELKASISALLQLPICSTSSDTGLDESQGSVKRPAESIGSKMDVVETPGCEDCRKAKRQKLSEERNSYLQGHSPISDDEDTWWVRKGAKPLDSSKVDPPPKSSKQVSKGRQKVVRKTQSLAHLAAARIEGSQGASTSHFCDNKISCPHHRTGIEGDNLRSMDGMGTMYGGDIVSIGKSLKQLRPVEKRTITVWLIAVVRQLVEETEKSAVKASQFSRSLVNVDDRSSVRWKLGEDELSAILYLLDICCDLVPAAKLLLWLLPKVLSNPNSTIHSGRNSMMLPRNVENHACEVGEAFLLSSLRRYENIIIATDLIPEVLSTTMHRVAALLASNGRISGSAALIYSRHLLRKYSDVPSVLEWEKSFKASCDKRLLSELEIGRSLDADFGFPLGVPAGVEDFDDFFRQKISGSRLSRVGMSMRDVVQRNIDDAFHYFGKERKLFGAGTAKVPGMEKSDDTYQIAQQIIMGLMDCMRQTGGAAQEGDPSLVSSAVSAIVNNVGPTIAKMPDFSPGSNYSNASAGTGLLNFARRILRIHINCLCLLKEALGERQSRVFEVALATEASSALATAFAPGKASRSPFQLSPESHDSSGNIANEILNNSAKAAGRTKSAAAISGLVVGAIIHGVTTLERMVTVFRLKEGLDVIQCIRNAKSNSNGNARSFTVFKMDNSIEVYVHWFRLLVGNCRTVSDGLIVELLGEPSLVALSRMQRLLPLSLVFPPAYSIFAFVIWRPFSATREDIHQLYRSLTMAIGDAIKHLPFRDVCLRDSQGFYDLIAADSSDAEFASMLELNGLDMRFKTKAFVPLRGRLFLNAIVDCKLPHSVFVQDDGNRASGHGGSKVQHAENEIKLLDKLVNVLDALQPAKFHWQWVELRLLLNEQALIEKLETHDISLADAIRSSSPGPEKEAASENENNFIEIILTRLLVRPDAAPLFSELVHLLGTSLENSMLLQAKWFLGGHDVLFGRKTIRQRLINIAESKGLSTKAHFWKPWGWSNSGFDPVMNRGDKKKFEVPSLEEGEVVEEGTETKRSGKGSFPVFESEGSSLFQQNVTERALVELVLPCIDQGSDDSRNTFATDLIKQLNNIEQQINSVTRGTSKQTGTASSGLEGPANKSNNRKGIRGGSPGLARRTAAAADSTLPSPAALRASMLLRLQLLLRLLPTICTNGEPSGRNMRHVLASVILRLLGSRVVHEDAELSFYPLQSFQSKGELESPLEAASADLSGESLFDRLLLVLHGLLSSSRPSWLKPRPASSSKSVNESSKDCAGFDRDLVESLQNDLDRMKLPGSTRLRIQAAMPILLPSVRCFVSCQPPPVPTAAAASLQPSIAISGVLNGNNSQKNPAPLARSANNISTKSKPLPLPLPLQLDNDMEIDPWTLLEDGTGSSLSSSNTSVIGSSDHANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Potri.014G198000.1.v4.1 pep chromosome:Pop_tri_v4:14:12833345:12837697:-1 gene:Potri.014G198000.v4.1 transcript:Potri.014G198000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G198000.v4.1 MSGNTNPKVSGWRGVGRFEFSKAPSSRSSRLIQKVNRKPHLTTATTMDEEGIEKPSKRKRNAAIAKPKEQRQHSSKKQVVADIEDLFSDKETQKIRASLLEWYDHNQRDLPWRRITQTKETPFKEEEEEEEEEEERRAYGVWVSEVMLQQTRVQTVIDYYNRWMLKWPTLHHLAQASLEEVNEMWAGLGYYRRARFLLEGAKMIVAGGDGFPKIVSSLRKVPGIGDYTAGAIASIAFKEVVPVVDGNVIRVLARLKAISANPKDKVTVKKFWKLAAQLVDPHRPGDFNQSLMELGATLCTPVNPSCSSCPVSGQCRALTISKLDKLVLITDYPAKSIKLKQRHEFSAVCAVEITGRQDLIEGDQSSSVFLLVKRPDEGLLAGLWEFPSVMLGKEADMTRRRKEMNRFLKKSFRLDPQKTCSVLLREDIGEFIHIFTHIRLKVYVELLIVHLKGDMSDLFSKQSRENMTWKCVDREALSSLGLTSGVRKACTMVQKFKQKSLSTVSAAARKRTNSKKPGSS >Potri.005G150400.1.v4.1 pep chromosome:Pop_tri_v4:5:12842748:12846746:1 gene:Potri.005G150400.v4.1 transcript:Potri.005G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150400.v4.1 MGVCPITFQNSKPNNTTPQLSISVSHSMGTVEVEAPPSKDLDIDEEELSPIEQVRLTVPNTDDPSLPVWTFRMWFLGILSCCLLSFLNQFFAYRTEPLIITQITVQVATLPIGRFLATVLPKTKFNILGFGSREFSFNPGPFNMKEHVLISIFANAGSAFGSGSAYAVGIVNIIKSKAFYGRNISFFSAWVLIITTQVLGYGWAGLLRKYVVEPAHMWWPSTLVQISLFRTLHEKEDKNEHRISRVKFFVIAMTCSFLWYLLPGYLFQTLQSIAWICYAFPHSVTAQQIGSGMRGLGIGALTLDWSTVASYLYSPLLTPFFAIVNVFVGYALIMYVVIPISYWGLNVYDAKTFPIFSSHLFDARGASYNITEVVNDKFELDLAQYDKHGRVHLSTFFALTYGFGFATIAATLSHVALFYGREIYDRYRASYKGKEDIHTRLMKNYKDIPSWWFHGLLAMTLLVALFLCIFLKKEVQMPFWGLLFAAALAFIFSLPISIITATTNQTPGLNIITEYAMGIIYPGRPIANVCFKTYGYMSMAQAISFLSDFKLGHYMKIPPRSMFLVQFIGTIIAGTINLGVAWWLLNSVEHICQDNLLAANSPWTCPSDRVFFDASVIWGLVGPRRIFGSLGEYAALNWFFLGGLLGPFIVWLLHKAFPGQSWIPLINLPVLLGATASMPPATAINYNSWILVGTIFNFFIFRYRKAWWQRYNYVLSAALDAGVAFMAVLIYVTVGLENKNLYWWGSDPDVFPEHCSLATCPTAKGISVDGCPTF >Potri.005G150400.2.v4.1 pep chromosome:Pop_tri_v4:5:12842715:12846660:1 gene:Potri.005G150400.v4.1 transcript:Potri.005G150400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150400.v4.1 MTCSFLWYLLPGYLFQTLQSIAWICYAFPHSVTAQQIGSGMRGLGIGALTLDWSTVASYLYSPLLTPFFAIVNVFVGYALIMYVVIPISYWGLNVYDAKTFPIFSSHLFDARGASYNITEVVNDKFELDLAQYDKHGRVHLSTFFALTYGFGFATIAATLSHVALFYGREIYDRYRASYKGKEDIHTRLMKNYKDIPSWWFHGLLAMTLLVALFLCIFLKKEVQMPFWGLLFAAALAFIFSLPISIITATTNQTPGLNIITEYAMGIIYPGRPIANVCFKTYGYMSMAQAISFLSDFKLGHYMKIPPRSMFLVQFIGTIIAGTINLGVAWWLLNSVEHICQDNLLAANSPWTCPSDRVFFDASVIWGLVGPRRIFGSLGEYAALNWFFLGGLLGPFIVWLLHKAFPGQSWIPLINLPVLLGATASMPPATAINYNSWILVGTIFNFFIFRYRKAWWQRYNYVLSAALDAGVAFMAVLIYVTVGLENKNLYWWGSDPDVFPEHCSLATCPTAKGISVDGCPTF >Potri.008G214100.1.v4.1 pep chromosome:Pop_tri_v4:8:17129054:17133896:1 gene:Potri.008G214100.v4.1 transcript:Potri.008G214100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G214100.v4.1 MSLRPSTRTDVRRNRYKVAVDADEGRRRREDNMVEIRKNKREESLQKKRREGLLAQQFPAAVLSSSNMEKKLESLPSMVSGVWSDDSNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVELLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLSHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPLFEKVRPALPALERLVHSTDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLVELLLHPSPSVLVPALRTVGNIVTGDDMQTQCIINTGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIEAGLIGPLVNLLQNAEFDIKKEAAWAVSNATSGGTHEQIKFLVSQGCIKPLCDLLVCPDPRIVTVCLEGLENILKVGEAEKNLGNSGDVNFYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDEALPSGDGAQQGFHFGENNVQVPSGGFNF >Potri.017G076300.1.v4.1 pep chromosome:Pop_tri_v4:17:8467655:8470816:1 gene:Potri.017G076300.v4.1 transcript:Potri.017G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076300.v4.1 MLSACSFHLDHAASLPYFLEKTTFRGRVFMTHATKAIFKLLLTNYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTVDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLCAAEMPQFSPDICIIESTYGVQLHQPRHLREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPIYYASPLAKKCMTVYQTYILSMNERIRNQFANSNPFKFKHISPLNSIEDFTDVGPSVVMASPSGLQSGLSRQLFDMWCSDKKNACVIPGYVVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFTDGNTKIITPKNCQSVEIYFNSEKMAKTIGKLAERTPNVGETVSGILVKKGFTYQIMAPGDLHVFLQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEEESGSPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSKEEKEKKAEKVIYALLVSLFGDVKLGGNGKLVIRVDGNVAELDKQSGDVESEHEGLKERVRTAFRRIQSAVRPIPLPAS >Potri.008G132200.3.v4.1 pep chromosome:Pop_tri_v4:8:8727957:8732058:1 gene:Potri.008G132200.v4.1 transcript:Potri.008G132200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132200.v4.1 MAGNKFATMLNRNTNKITLILVYAILEWILIILLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHFFEPTNFQNSYRSLVCDDHAKEISKLGYCSNHRKLAESQDMCEDCSSSSHSESLNKFAFFPWMKQLRDLQDLGGGKLSENGEDDLKCSCCGVCLDTKLFCDDYCLINPSWGDSVFTQKGNLVLDHQVDDKVGVEDHPDRESSDFVSDFCGVEQGIVENRGLEIGNREEEVGQNCSGPVSNFDRKEVAADDCEKEDVFLEEQEEPVKKDDLNGQMDNPACVQPVMVQASSSKDKASEIQPWHLEFYIDQDDCHLIPVELIDSDATEKQIRKRHGKGVEENSGSEDFVLEFDKQVGAQYELIVEDRSNLEEEMPLISVDDNAEEPKIAVVRFMEILEKESSSGVHADLDLVEEEFELVATAQPTQTPSSDGNDAQESSLAVGEFMDSDYNQVSEEALQMLSDEIEADVSIGTEIPDQGQIDDIHYGEEVSPSYSSKQEDPSTSDVNKHACEDHGSKQAEEDAIEFRTITVETGEPSLHTEGNELEEDKIPDTPTSMDSLHHLHKKLLLLERKESATEESLDGSIISDVEAGEGVLTTEKLKSALRAERKALSALYAELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEIYRKKVQDYEMKEKLMVLKRRRDGSTRSGTASPSCSNAEDSDGLSADLNHEGREVAESFDNHQESSNQNTPVDAVIYLESSLANFEEERLSILEQLKVLEEKLFMLSDDEEQQFEDIKPIEHLYQENGNDYNEIYDHSSESNGVANGHYKEMNGKHQQGRRNIDAKAKRLLPLFDAIDTESEDGILNGHSKGFDSVAFQMSVNKSDMDRKKLAVEEEVDHFYERLLALEADREFLKHCITSLRKGDKGIELLQDILQHLRDLRNVEQRARNLEDGAL >Potri.008G132200.4.v4.1 pep chromosome:Pop_tri_v4:8:8727984:8732056:1 gene:Potri.008G132200.v4.1 transcript:Potri.008G132200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132200.v4.1 MAGNKFATMLNRNTNKITLILVYAILEWILIILLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHFFEPTNFQNSYRSLVCDDHAKEISKLGYCSNHRKLAESQDMCEDCSSSSHSESLNKFAFFPWMKQLRDLQDLGGGKLSENGEDDLKCSCCGVCLDTKLFCDDYCLINPSWGDSVFTQKGNLVLDHQVDDKVGVEDHPDRESSDFVSDFCGVEQGIVENRGLEIGNREEEVGQNCSGPVSNFDRKEVAADDCEKEDVFLEEQEEPVKKDDLNGQMDNPACVQPVMVQASSSKDKASEIQPWHLEFYIDQDDCHLIPVELIDSDATEKQIRKRHGKGVEENSGSEDFVLEFDKQVGAQYELIVEDRSNLEEEMPLISVDDNAEEPKIAVVRFMEILEKESSSGVHADLDLVEEEFELVATAQPTQTPSSDGNDAQESSLAVGEFMDSDYNQVSEEALQMLSDEIEADVSIGTEIPDQGQIDDIHYGEEVSPSYSSKQEDPSTSDVNKHACEDHGSKQAEEDAIEFRTITVETGEPSLHTEGNELEEDKIPDTPTSMDSLHHLHKKLLLLERKESATEESLDGSIISDVEAGEGVLTTEKLKSALRAERKALSALYAELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEIYRKKVQDYEMKEKLMVLKRRRDGSTRSGTASPSCSNAEDSDGLSADLNHEGREVAESFDNHQESSNQNTPVDAVIYLESSLANFEEERLSILEQLKVLEEKLFMLSDDEEQQFEDIKPIEHLYQENGNDYNEIYDHSSESNGVANGHYKEMNGKHQQGRRNIDAKAKRLLPLFDAIDTESEDGILNGHSKGFDSVAFQMSVNKSDMDRKKLAVEEEVDHFYERLLALEADREFLKHCITSLRKGDKGIELLQDILQHLRDLRNVEQRARNLEDGAL >Potri.008G132200.1.v4.1 pep chromosome:Pop_tri_v4:8:8727863:8732164:1 gene:Potri.008G132200.v4.1 transcript:Potri.008G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132200.v4.1 MAGNKFATMLNRNTNKITLILVYAILEWILIILLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHFFEPTNFQNSYRSLVCDDHAKEISKLGYCSNHRKLAESQDMCEDCSSSSHSESLNKFAFFPWMKQLRDLQDLGGGKLSENGEDDLKCSCCGVCLDTKLFCDDYCLINPSWGDSVFTQKGNLVLDHQVDDKVGVEDHPDRESSDFVSDFCGVEQGIVENRGLEIGNREEEVGQNCSGPVSNFDRKEVAADDCEKEDVFLEEQEEPVKKDDLNGQMDNPACVQPVMVQASSSKDKASEIQPWHLEFYIDQDDCHLIPVELIDSDATEKQIRKRHGKGVEENSGSEDFVLEFDKQVGAQYELIVEDRSNLEEEMPLISVDDNAEEPKIAVVRFMEILEKESSSGVHADLDLVEEEFELVATAQPTQTPSSDGNDAQESSLAVGEFMDSDYNQVSEEALQMLSDEIEADVSIGTEIPDQGQIDDIHYGEEVSPSYSSKQEDPSTSDVNKHACEDHGSKQAEEDAIEFRTITVETGEPSLHTEGNELEEDKIPDTPTSMDSLHHLHKKLLLLERKESATEESLDGSIISDVEAGEGVLTTEKLKSALRAERKALSALYAELEEERSASAVAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKAELEKELEIYRKKVQDYEMKEKLMVLKRRRDGSTRSGTASPSCSNAEDSDGLSADLNHEGREVAESFDNHQESSNQNTPVDAVIYLESSLANFEEERLSILEQLKVLEEKLFMLSDDEEQQFEDIKPIEHLYQENGNDYNEIYDHSSESNGVANGHYKEMNGKHQQGRRNIDAKAKRLLPLFDAIDTESEDGILNGHSKGFDSVAFQMSVNKSDMDRKKLAVEEEVDHFYERLLALEADREFLKHCITSLRKGDKGIELLQDILQHLRDLRNVEQRARNLEDGAL >Potri.018G053640.1.v4.1 pep chromosome:Pop_tri_v4:18:5273675:5274178:1 gene:Potri.018G053640.v4.1 transcript:Potri.018G053640.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053640.v4.1 MAELCGFDTLDSLIDATVPKSIRLDSMKFSKFDGGLTESQMIEHMKYLASKNKVFKSYIGMGYYDTQVPPVILRNIMENPAWYTQYTRTKLRYLRVDWSLCSITKTMITDLTGLPMSNASLLDEGTAAAEAMTIFLRERKRLLLLLTIATLKLLIFARLELVVSILR >Potri.002G117900.1.v4.1 pep chromosome:Pop_tri_v4:2:8939711:8942035:1 gene:Potri.002G117900.v4.1 transcript:Potri.002G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117900.v4.1 MAEPNLNSFSDFPEDVQFCILSFLSPTEIANFASTSKRYAPLCQSDSKLWHSLCDRRWGSKTQINKWGNGQISYKLLYKTLNKWENLIGFWRRCGQSQQKETVKPPSLVFFEWGSSFLSGWRVSPSQNAYSVIKSPFLWISISPEGEIVNYLDPEGQNKNKLFGELGYLDMDVVPVNVNFIGDSHFSVEENVNFAYRRSLSSGNLKGEDNGEDIVSVESGSTGSLPETFEIYQYYANRMSPGADRAIRRQRRREKEKQGKKRWETEHFLKIVDSSPTLDRPLQGLWKGICEDMKLEFYLVAYDEFGISCRRVGDLSERRSSSTPVFWTSDPTFVESPFPTEEEYIYDSRIHIQPPEAANLDWKFPLENDVVSRIMYINSSYDLVILGVEGASVNPWRVEGRVWQYRNGTFGYGFLRDHFIVDLKHIAKNGCLLDIPVDL >Potri.002G117900.2.v4.1 pep chromosome:Pop_tri_v4:2:8939778:8940914:1 gene:Potri.002G117900.v4.1 transcript:Potri.002G117900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117900.v4.1 MAEPNLNSFSDFPEDVQFCILSFLSPTEIANFASTSKRYAPLCQSDSKLWHSLCDRRWGSKTQINKWGNGQISYKLLYKTLNKWENLIGFWRRCGQSQQKETVKPPSLVFFEWGSSFLSGWRVSPSQNAYSVIKSPFLWISISPEGEIVNYLDPEGQNKNKLFGELGYLDMDVVPVNVNFIGDSHFSVEENVNFAYRRSLSSGNLKGEDNGEDIVSVESGSTGSLPETFEIYQYYANRMSPGADRAIRRQRRREKEKQGKKRWETEHFLKIVDSSPTLDRPLQGLWKVGDSSVSTLFF >Potri.008G205100.2.v4.1 pep chromosome:Pop_tri_v4:8:15002436:15004753:1 gene:Potri.008G205100.v4.1 transcript:Potri.008G205100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205100.v4.1 MESQNKASLPVMDGLERRVVASQSEGASTCDLLLRVLALVLTLAAAIVLGVDKQTKVVPIKIVDTLPAINLPVSAKWHYLSAFTYSVASNAIACSYAALSLVLAVSGKKGIMSIVIVLDLLMVAMLFSSNGAALAIGLMGYQGNSHVRWTKVCHVFGRFCNQAAVSISLSLLGSILFLLLVGITSLRLHKKSK >Potri.013G031600.2.v4.1 pep chromosome:Pop_tri_v4:13:2046676:2048410:-1 gene:Potri.013G031600.v4.1 transcript:Potri.013G031600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031600.v4.1 MVCGCSLSDGHHHVDGDSKKGFLEYREKETGVEEKELTPVQEELVEALVWFKKRNFTTKESLKAKISTASCSAHQSVNPPGCFVPKKRRGCGDGFLSMKGPQSNAVEEAKSTVTSTVDELRLPSKKTQSCPDQEDAMSTTSSVDEVVNTLGILVPMEKRGNRKSHVKKSHYHHYVCSHVDGLKLTIERQEEKKTAAGKKPIFKLERMDNEDGQGERPSEKRKKMGRVDFKKLGLDPAPNFSSQIKDRIDHQEGRDSEIKLRIMKQVFKTDMNMHHDRFSMPVNQIKDIKDFLKENEIEGTEVKLVEMGLEDDDVHQSTMRLRKCHINSNISYVLASNWSDFLRRNEGALKENDIVQVYSFRRDGKLWCVLIKIMDADDVARGTAASERSTAQENNNGDHGTVAGQAFHGSQASEEGARISQA >Potri.012G015600.3.v4.1 pep chromosome:Pop_tri_v4:12:1793942:1801509:-1 gene:Potri.012G015600.v4.1 transcript:Potri.012G015600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015600.v4.1 MAVAAVRGKPTKETASQFRYFMFNYMHVGSRLSSAHCASKTTKWDDHFANTPHHFTSFKPVSLCGEFVEKGTQLLENMRSSGNSSKDFDRNWSQKVRYNSGDGSGYGDPPEVWEPPGDGVAKLKVTDGGVNFKVGSRDGSGSKDGSWGGSKLGSSFPTPKDICKALDKFVIGQQRAKKVLSVAVYNHYKRIHLESVKKCTTWEARCNADSGNEKAETMDEEEVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVDSMLYKLLTAADYNVAAAQQGIIYIDEVDKITKKAESVNISRDVSGEGVQQALLKMLEGTVVNVPDKEARKHPRGDNIQINTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGVTSAAMTSSLLETAESSDLVSYGLIPEFVGRFPILVSLSALTEDQLVQVLTEPKNALGKQYRKLFQMNGVKLHVTEHALRSIAIKAITKNTGARALRSILENILMDSMYEIPDVRRGADIIDAVVVDEEAIGPKQRGAGAKILYGRGALDHYLSKNKLKSSEATVEGSDGEPEVESELRKIVASM >Potri.012G015600.2.v4.1 pep chromosome:Pop_tri_v4:12:1793911:1801503:-1 gene:Potri.012G015600.v4.1 transcript:Potri.012G015600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015600.v4.1 MAVAAVRGKPTKETASQFRYFMFNYMHVGSRLSSAHCASKTTKWDDHFANTPHHFTSFKPVSLCGEFVEKGTQLLENMRSSGNSSKDFDRNWSQKVRYNSGDGSGYGDPPEVWEPPGDGVAKLKVTDGGVNFKVGSRDGSGSKDGSWGGSKLGSSFPTPKDICKALDKFVIGQQRAKKVLSVAVYNHYKRIHLESVKKWCNADSGNEKAETMDEEEVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVDSMLYKLLTAADYNVAAAQQGIIYIDEVDKITKKAESVNISRDVSGEGVQQALLKMLEGTVVNVPDKEARKHPRGDNIQINTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGVTSAAMTSSLLETAESSDLVSYGLIPEFVGRFPILVSLSALTEDQLVQVLTEPKNALGKQYRKLFQMNGVKLHVTEHALRSIAIKAITKNTGARALRSILENILMDSMYEIPDVRRGADIIDAVVVDEEAIGPKQRGAGAKILYGRGALDHYLSKNKLKSSEATVEGSDGEPEVESELRKIVASM >Potri.006G093600.2.v4.1 pep chromosome:Pop_tri_v4:6:7102202:7106905:1 gene:Potri.006G093600.v4.1 transcript:Potri.006G093600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093600.v4.1 MEGSKMSSDVKSSRTCSEPSSERGFGLELKKSSRWQQHLDTALPTQAMQSLKHQDKLKAKYYGSQRCVDMPRELKLHANDRILVQPKTSGNNHQLHSVKRNSRKDDELVKYMSDLPGYLQRMERSESIQDKALNVGVLDWSRLEKWRIAASYSNSTSLTSSNLPSKITMKSATPNAVRNNTLAHRSKQHPSLSSSLNSSHRDHVSRASKPPIQNASCFQDFETSSKSSVNGQKKVRRTNKSVGRNNSDVILEQGKREDVNQKITSKVRSRSSNSRYDSISIRSKVNMSACDSAAEKRAGEKEGLEVKRKPLDQTITSRIRAPSSQLRSHDVSPSSKAKNVADGKTKKGIEELQESSIDLSPQHQSMENNIVLLVPKKFPANCSLQEPRTPLDKDLNETHRRSLSDVFSHVEAQSSEPSEILHPCSLISRKETDTEPHKSLHAAMVTRGAETSADASDTSACSSKMPIRLSEDKFAGESSGRAAKGSVIETSNTLDQETMEVMARKGRHPSPNRRFSFSLSRMSRSFSFKESSTVPQLSSTYISTKSGPVISEGFACLDNSNREKASGHNRARSSPLRRMLDPLLKSRSSRTLLSAENDSLKDSLNSFNLKRFDATEPLKDEKHEPPRIKALLQLTIRNGVPLFRFAVGNNSNILAATMNKLSSPQKNDSGCDYTFYTIDEIKKKSGSWINQGSKEKSCGYIYNVIGRMKVNNSSSISALTGPSSICQIKVKESVLFGVDLSQADQASPRFVANRELAAVVVKMLNEISGLDLRQTDQNDNLMHKGSSQCLPESQCSGNLGKTEHSNSATTVILPGGNHSLPNEGVPSPLIHRWRSGGSCDCGGWDVGCKLRILSNGSQCNEIPRTSKSCLMPDCFELFSEGANQQDQPIFSLAQVEKGMYSIEFSSSISSLQAFFIGVTVISCQKSTDLLDVSNASGEKFQQEPRNSSDVTKTIHTMPSGKTHVKYTLSPPLSPFERV >Potri.006G093600.1.v4.1 pep chromosome:Pop_tri_v4:6:7102231:7107063:1 gene:Potri.006G093600.v4.1 transcript:Potri.006G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093600.v4.1 MEGSKMSSDVKSSRTCSEPSSERGFGLELKKSSRWQQHLDTALPTQAMQSLKHQDKLKAKYYGSQRCVDMPRELKLHANDRILVQPKTSGNNHQLHSVKRNSRKDDELVKYMSDLPGYLQRMERSESIQDKALNVGVLDWSRLEKWRIAASYSNSTSLTSSNLPSKITMKSATPNAVRNNTLAHRSKQHPSLSSSLNSSHRDHVSRASKPPIQNASCFQDFETSSKSSVNGQKKVRRTNKSVGRNNSDVILEQGKREDVNQKITSKVRSRSSNSRYDSISIRSKVNMSACDSAAEKRAGEKEGLEVKRKPLDQTITSRIRAPSSQLRSHDVSPSSKAKNVADGKTKKGIEELQESSIDLSPQHQSMENNIVLLVPKKFPANCSLQEPRTPLDKDLNETHRRSLSDVFSHVEAQSSEPSEILHPCSLISRKETDTEPHKSLHAAMVTRGAETSADASDTSACSSKMPIRLSEDKFAGESSGRAAKGSVIETSNTLDQETMEVMARKGRHPSPNRRFSFSLSRMSRSFSFKESSTVPQLSSTYISTKSGPVISEGFACLDNSNREKASGHNRARSSPLRRMLDPLLKSRSSRTLLSAENDSLKDSLNSFNLKRFDATEPLKDEKHEPPRIKALLQLTIRNGVPLFRFAVGNNSNILAATMNKLSSPQKNDSGCDYTFYTIDEIKKKSGSWINQGSKEKSCGYIYNVIGRMKVNNSSSISALTGPSSICQIKVKESVLFGVDLSQADQASPRFVANRELAAVVVKMLNEISGLDLRQTDQNDNLMHKGSSQCLPESQCSGNLGKTEHSNSATTVILPGGNHSLPNEGVPSPLIHRWRSGGSCDCGGWDVGCKLRILSNGSQCNEIPRTSKSCLMPDCFELFSEGANQQDQPIFSLAQVEKGMYSIEFSSSISSLQAFFIGVTVISCQKSTDLLDVSNASGEKFQQEPRNSSDVTKTIHTMPSGKTHVKYTLSPPLSPFERV >Potri.002G113600.4.v4.1 pep chromosome:Pop_tri_v4:2:8593361:8595544:1 gene:Potri.002G113600.v4.1 transcript:Potri.002G113600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113600.v4.1 MGSGPILGLAPAPEYTFLIYASPVGNYFKEGLKPLNLYVEDEFHRATRGGAGGVKSITNYAPVLKAMARAKSRGFSDVLYLDSANKKNLEEVSSCNIFLVKGNIISSPATSGTILPGVTRRSIIEIALDHGYQVEERAIPLDELMDADEVFCTGTAVGVAPVGTITYQDRRVEYNVGEESVSQKLYSILEGIKTGVIEDKKGWTIEIQ >Potri.002G113600.1.v4.1 pep chromosome:Pop_tri_v4:2:8591222:8595542:1 gene:Potri.002G113600.v4.1 transcript:Potri.002G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113600.v4.1 MIQTNSGLRSLVQSLRPITSSLSEIAAYSCYTSQAASALQQVSRPDSYSEDEYAKVDWDNLRFGITPADYMYTMKCSSDGKFEQGQLAPYGNVELSPSAAVLNYGQGLYEGTKAYRTEDGRLLLFRLDQNATRMKMGADRLCMACPSIYQIIDAVKQTALANKRWTPPRGKGTLYIRPLLMGSGPILGLAPAPEYTFLIYASPVGNYFKEGLKPLNLYVEDEFHRATRGGAGGVKSITNYAPVLKAMARAKSRGFSDVLYLDSANKKNLEEVSSCNIFLVKGNIISSPATSGTILPGVTRRSIIEIALDHGYQVEERAIPLDELMDADEVFCTGTAVGVAPVGTITYQDRRVEYNVGEESVSQKLYSILEGIKTGVIEDKKGWTIEIQ >Potri.008G002400.1.v4.1 pep chromosome:Pop_tri_v4:8:60452:63844:1 gene:Potri.008G002400.v4.1 transcript:Potri.008G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002400.v4.1 MGSAAAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCSFFKMDEDHERVVDCTTPNFTGIISVMDPSRSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEDERVPYIPPKRV >Potri.001G465300.1.v4.1 pep chromosome:Pop_tri_v4:1:49059345:49063780:-1 gene:Potri.001G465300.v4.1 transcript:Potri.001G465300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465300.v4.1 MDSQLLLLNNNNSLTKLPQFSFFASPKPRYNPSSNFLGFKLKRVMCAMKSYRLSELNNSEVESLKARPRIDFSSIFGIVNPIVDDVRQRGDAAVKDYTSRFDKVKLDKIVENVSELPDPELDATVKEAFDVAYNNIYAFHLAQKSVEKSVETMKGVRCKRVARSISSVGLYVPGGTAVLPSTALMLAIPAQIAGCKTVVLATPPAQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKAEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADRYASPVHIAADLLSQAEHGPDSQVVLVVAGDGVDMKAIEEEISKQCQSLPRGEYASKALSHSFTVFARDMVEAVSFSNLYAPEHLIINVKEAEKWESFIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFQKYMTVQSLTEEGLRKLGPYVATMAEVEGLDAHKRAVTLRLQDIEARQVSNTR >Potri.001G465300.2.v4.1 pep chromosome:Pop_tri_v4:1:49059358:49063794:-1 gene:Potri.001G465300.v4.1 transcript:Potri.001G465300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465300.v4.1 MCAMKSYRLSELNNSEVESLKARPRIDFSSIFGIVNPIVDDVRQRGDAAVKDYTSRFDKVKLDKIVENVSELPDPELDATVKEAFDVAYNNIYAFHLAQKSVEKSVETMKGVRCKRVARSISSVGLYVPGGTAVLPSTALMLAIPAQIAGCKTVVLATPPAQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTESCPKAEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADRYASPVHIAADLLSQAEHGPDSQVVLVVAGDGVDMKAIEEEISKQCQSLPRGEYASKALSHSFTVFARDMVEAVSFSNLYAPEHLIINVKEAEKWESFIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFQKYMTVQSLTEEGLRKLGPYVATMAEVEGLDAHKRAVTLRLQDIEARQVSNTR >Potri.007G072900.3.v4.1 pep chromosome:Pop_tri_v4:7:9528765:9531637:1 gene:Potri.007G072900.v4.1 transcript:Potri.007G072900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072900.v4.1 MSRQAKNLVSFFSSKISSFSYSQSRSFTAAPPPPPAVFVNKNCQGITGKNESGTKMPTVASSSGLTAGGHQEERPPKAMTTKICIAIRSFEDLVPGNTISGIPADARKIRLPESRVLYTVLRSPHVDKKSREQFEMRIKKQILVMKTQSHELRNKYFWLKRQRIFGAQYEIQFHCKTRLDKDELQKLLL >Potri.015G131300.1.v4.1 pep chromosome:Pop_tri_v4:15:14139867:14140265:1 gene:Potri.015G131300.v4.1 transcript:Potri.015G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131300.v4.1 MFESTLELITQAASNSFVIFCFCNLIIVMILMGSKPVFNFDQEREIPRSMVINTHTKVKEDILAKPSSLDGNEISIDDRNVSITQEEPTGDGDEDDGEDGYGDEDDELRRRSEEFINKINHGWRTESSRHHV >Potri.019G129740.1.v4.1 pep chromosome:Pop_tri_v4:19:15235733:15239028:-1 gene:Potri.019G129740.v4.1 transcript:Potri.019G129740.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129740.v4.1 MDQSAFLERPITLDEAHEAVLDCDSLTGRNLLGQMVSTSDFTGKIQEFNDENSQYRIFLLSTRAGGLGINPTSADTCILYDSNPQMDLQAMDRCHRIGQTKPVHVYRLTTAQSVEGRILKRAFSKLKLEHVVIGKGQFHQERTKSTGTDLMEEEMLALLRDEETAEDKLIQTDISDEDLERVLDRSDLVVGSSSDDIENMAATVSIPLKGPGWEVVVPTASGGVLSTLNS >Potri.019G129740.4.v4.1 pep chromosome:Pop_tri_v4:19:15235720:15238281:-1 gene:Potri.019G129740.v4.1 transcript:Potri.019G129740.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129740.v4.1 MDLQAMDRCHRIGQTKPVHVYRLTTAQSVEGRILKRAFSKLKLEHVVIGKGQFHQERTKSTGTDLMEEEMLALLRDEETAEDKLIQTDISDEDLERVLDRSDLVVGSSSDDIENMAATVSIPLKGPGWEVVVPTASGGVLSTLNS >Potri.019G129740.2.v4.1 pep chromosome:Pop_tri_v4:19:15235720:15238279:-1 gene:Potri.019G129740.v4.1 transcript:Potri.019G129740.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129740.v4.1 MFHPWYILKTLDFCTITWIFTILSQNPQMDLQAMDRCHRIGQTKPVHVYRLTTAQSVEGRILKRAFSKLKLEHVVIGKGQFHQERTKSTGTDLMEEEMLALLRDEETAEDKLIQTDISDEDLERVLDRSDLVVGSSSDDIENMAATVSIPLKGPGWEVVVPTASGGVLSTLNS >Potri.019G129740.3.v4.1 pep chromosome:Pop_tri_v4:19:15235720:15239028:-1 gene:Potri.019G129740.v4.1 transcript:Potri.019G129740.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129740.v4.1 MDLQAMDRCHRIGQTKPVHVYRLTTAQSVEGRILKRAFSKLKLEHVVIGKGQFHQERTKSTGTDLMEEEMLALLRDEETAEDKLIQTDISDEDLERVLDRSDLVVGSSSDDIENMAATVSIPLKGPGWEVVVPTASGGVLSTLNS >Potri.014G039466.1.v4.1 pep chromosome:Pop_tri_v4:14:2559181:2559855:-1 gene:Potri.014G039466.v4.1 transcript:Potri.014G039466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039466.v4.1 MQGFSDEGKLGFVIRSVNYDFVLLLYARLKSFGYVF >Potri.017G056000.1.v4.1 pep chromosome:Pop_tri_v4:17:4365544:4369054:1 gene:Potri.017G056000.v4.1 transcript:Potri.017G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056000.v4.1 MNIFRLAGDMTHLASVLVLLLKIHTIKSCAGISLKTQELYALVFASRYLDMFTSYVSFYNTVMKLIFLGSSFSIVWYMRHHKLVRRSYDKDHDTFRHFFIVLPCLILALLIHEKFTFIEVMWAFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWVFRFFTEPHYVHWITWISGLVQTLLYADFFYYYFQSWKNNVKLELPA >Potri.014G012200.1.v4.1 pep chromosome:Pop_tri_v4:14:665523:669319:1 gene:Potri.014G012200.v4.1 transcript:Potri.014G012200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012200.v4.1 MKSMNNDDDNNNHNANWLGFSLSPQMKMEVPSGAGHHHQTQPPSAAIPTAIPTGFFHSQLPQLNYGIYYGVDDQGENGGFYSPLPVLPLKSDGSLCMMDALTRTQPQATMVTTSTPKLEDFFGGATMGTHHYESNDREAMALSLDSSPSMYYHQDPDHEPNNQNCLNHLQQNPRQQQHQHIQVQHYPCYSNFRNNEMLVGEDAKQMAQASDCSLKLPNMGDDGITGMKNWVSRNYQGNHAMEQKMLRCMVENGGESGPNISAMAYGDLQCLSLSMSPGSQSSCVTGSLQVSPSVNDSAAMETKKRGPGKVDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQNEIEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFVITRYDVERIMASSTLLAGELARRNKDIGPCDDASTNHSIPSTHNSNGESLPSQNSENESDWKMVLYQSSQQQLDHKASNVMDNYKTQAFLLSPENVIGIDCMSSVHQHEFEDSSKMGAHMSNASSLVTSLSSSREGSPDRASLPMLFGMPPSAASKLFTSPNGDVNSWIPSAAAAQLRPAVSLPHAPVFAAWTDA >Potri.014G012200.2.v4.1 pep chromosome:Pop_tri_v4:14:665673:669241:1 gene:Potri.014G012200.v4.1 transcript:Potri.014G012200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012200.v4.1 MVTTSTPKLEDFFGGATMGTHHYESNDREAMALSLDSSPSMYYHQDPDHEPNNQNCLNHLQQNPRQQQHQHIQVQHYPCYSNFRNNEMLVGEDAKQMAQASDCSLKLPNMGDDGITGMKNWVSRNYQGNHAMEQKMLRCMVENGGESGPNISAMAYGDLQCLSLSMSPGSQSSCVTGSLQVSPSVNDSAAMETKKRGPGKVDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQNEIEEMKNMTRQEYVAHLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVNAVTNFVITRYDVERIMASSTLLAGELARRNKDIGPCDDASTNHSIPSTHNSNGESLPSQNSENESDWKMVLYQSSQQQLDHKASNVMDNYKTQAFLLSPENVIGIDCMSSVHQHEFEDSSKMGAHMSNASSLVTSLSSSREGSPDRASLPMLFGMPPSAASKLFTSPNGDVNSWIPSAAAAQLRPAVSLPHAPVFAAWTDA >Potri.009G037500.1.v4.1 pep chromosome:Pop_tri_v4:9:4663597:4663884:-1 gene:Potri.009G037500.v4.1 transcript:Potri.009G037500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037500.v4.1 MRSVTSWCTACIPGRKQKETKTEESEKSLRKKPSREESSGPDDQAEGSSHQGTTHAASSADTGGAAVVVMTATQMSDMEGSSHGGGGGDGGGGDG >Potri.014G006700.6.v4.1 pep chromosome:Pop_tri_v4:14:552584:556449:-1 gene:Potri.014G006700.v4.1 transcript:Potri.014G006700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006700.v4.1 MEITAYKPYIDAEFESLMERIYPPRVCVDNETYQDCTLIKVDSANKQGILLEMVQVLTDLDLVISKSYISSDGGWFMEGQCPPTKIKHHACITPLLFHVTDQLGSKLTDDSLILYIQQALCVDRRRGVSKESQTSLHREVRPPYASTDHTAMEITGTDRPGLLSEISAVLSKLECHVTASAVWTHNNRAASIIYMEDGFQGGPITDPKRLAHVQEQLENVVEAHHGVGERRSVRLTAPAPGQKTHTGRRLHQLMYANMDYEPCQGCNGGGLAHRNNCTKIHVSIDSCKEKGYSVVNVRSRDRPKLLFDTLCALTDMQYVVFHAAVSAKGTMADQEYFIRQQDGCTLDTESERHKLTQCLIAAIERRVSHGARLDICTHNRMGLLSNVTRAFRENGLSISRAEIGTNGDRAVGSFYVTDASGYEANPQAIDEVKKEMGGSVVVVNKSPGWTPKTSKTPSVGSVSRNSSGSIDEEKPRLSPGSLFWSQLKRLSSNFSSIRS >Potri.014G006700.9.v4.1 pep chromosome:Pop_tri_v4:14:552593:554345:-1 gene:Potri.014G006700.v4.1 transcript:Potri.014G006700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006700.v4.1 MEITGTDRPGLLSEISAVLSKLECHVTASAVWTHNNRAASIIYMEDGFQGGPITDPKRLAHVQEQLENVVEAHHGVGERRSVRLTAPAPGQKTHTGRRLHQLMYANMDYEPCQGCNGGGLAHRNNCTKIHVSIDSCKEKGYSVVNVRSRDRPKLLFDTLCALTDMQYVVFHAAVSAKGTMADQEYFIRQQDGCTLDTESERHKLTQCLIAAIERRVSHGARLDICTHNRMGLLSNVTRAFRENGLSISRAEIGTNGDRAVGSFYVTDASGYEANPQAIDEVKKEMGGSVVVVNKSPGWTPKTSKTPSVGSVSRNSSGSIDEEKPRLSPGSLFWSQLKRLSSNFSSIRS >Potri.014G006700.3.v4.1 pep chromosome:Pop_tri_v4:14:552585:556476:-1 gene:Potri.014G006700.v4.1 transcript:Potri.014G006700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006700.v4.1 MEITAYKPYIDAEFESLMERIYPPRVCVDNETYQDCTLIKVDSANKQGILLEMVQVLTDLDLVISKSYISSDGGWFMEVFHVTDQLGSKLTDDSLILYIQQALCVDRRRGVSKESQTSLHREVRPPYASTDHTAMEITGTDRPGLLSEISAVLSKLECHVTASAVWTHNNRAASIIYMEDGFQGGPITDPKRLAHVQEQLENVVEAHHGVGERRSVRLTAPAPGQKTHTGRRLHQLMYANMDYEPCQGCNGGGLAHRNNCTKIHVSIDSCKEKGYSVVNVRSRDRPKLLFDTLCALTDMQYVVFHAAVSAKGTMADQEYFIRQQDGCTLDTESERHKLTQCLIAAIERRVSHGARLDICTHNRMGLLSNVTRAFRENGLSISRAEIGTNGDRAVGSFYVTDASGYEANPQAIDEVKKEMGGSVVVVNKSPGWTPKTSKTPSVGSVSRNSSGSIDEEKPRLSPGSLFWSQLKRLSSNFSSIRS >Potri.014G006700.8.v4.1 pep chromosome:Pop_tri_v4:14:551394:556476:-1 gene:Potri.014G006700.v4.1 transcript:Potri.014G006700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006700.v4.1 MEITAYKPYIDAEFESLMERIYPPRVCVDNETYQDCTLIKVDSANKQGILLEMVQVLTDLDLVISKSYISSDGGWFMEVFHVTDQLGSKLTDDSLILYIQQALCVDRRRGVSKESQTSLHREVRPPYASTDHTAMEITGTDRPGLLSEISAVLSKLECHVTASAVWTHNNRAASIIYMEDGFQGGPITDPKRLAHVQEQLENVVEAHHGVGERRSVRLTAPAPGQKTHTGRRLHQLMYANMDYEPCQGCNGGGLAHRNNCTKIHVSIDSCKEKGYSVVNVRSRDRPKLLFDTLCALTDMQYVVFHAAVSAKGTMADQEYFIRQQDGCTLDTESERHKLTQCLIAAIERRVSHGARLDICTHNRMGLLSNVTRAFRENGLSISRAEIGTNGDRAVGSFYVTDASGYEANPQAIDEVKKEMGGSVVVVNKSPGWTPKTSKTPSVGSVSRNSSGSIDEEKPRLSPGSLFWSQLKRLSSNFSSIRS >Potri.014G006700.4.v4.1 pep chromosome:Pop_tri_v4:14:552584:556474:-1 gene:Potri.014G006700.v4.1 transcript:Potri.014G006700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006700.v4.1 MEITAYKPYIDAEFESLMERIYPPRVCVDNETYQDCTLIKVDSANKQGILLEMVQVLTDLDLVISKSYISSDGGWFMEVFHVTDQLGSKLTDDSLILYIQQALCVDRRRGVSKESQTSLHREVRPPYASTDHTAMEITGTDRPGLLSEISAVLSKLECHVTASAVWTHNNRAASIIYMEDGFQGGPITDPKRLAHVQEQLENVVEAHHGVGERRSVRLTAPAPGQKTHTGRRLHQLMYANMDYEPCQGCNGGGLAHRNNCTKIHVSIDSCKEKGYSVVNVRSRDRPKLLFDTLCALTDMQYVVFHAAVSAKGTMADQEYFIRQQDGCTLDTESERHKLTQCLIAAIERRVSHGARLDICTHNRMGLLSNVTRAFRENGLSISRAEIGTNGDRAVGSFYVTDASGYEANPQAIDEVKKEMGGSVVVVNKSPGWTPKTSKTPSVGSVSRNSSGSIDEEKPRLSPGSLFWSQLKRLSSNFSSIRS >Potri.014G006700.5.v4.1 pep chromosome:Pop_tri_v4:14:552584:556449:-1 gene:Potri.014G006700.v4.1 transcript:Potri.014G006700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006700.v4.1 MEITAYKPYIDAEFESLMERIYPPRVCVDNETYQDCTLIKVDSANKQGILLEMVQVLTDLDLVISKSYISSDGGWFMEGQCPPTKIKHHACITPLLFHVTDQLGSKLTDDSLILYIQQALCVDRRRGVSKESQTSLHREVRPPYASTDHTAMEITGTDRPGLLSEISAVLSKLECHVTASAVWTHNNRAASIIYMEDGFQGGPITDPKRLAHVQEQLENVVEAHHGVGERRSVRLTAPAPGQKTHTGRRLHQLMYANMDYEPCQGCNGGGLAHRNNCTKIHVSIDSCKEKGYSVVNVRSRDRPKLLFDTLCALTDMQYVVFHAAVSAKGTMADQEYFIRQQDGCTLDTESERHKLTQCLIAAIERRVSHGARLDICTHNRMGLLSNVTRAFRENGLSISRAEIGTNGDRAVGSFYVTDASGYEANPQAIDEVKKEMGGSVVVVNKSPGWTPKTSKTPSVGSVSRNSSGSIDEEKPRLSPGSLFWSQLKRLSSNFSSIRS >Potri.014G006700.7.v4.1 pep chromosome:Pop_tri_v4:14:552671:556693:-1 gene:Potri.014G006700.v4.1 transcript:Potri.014G006700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006700.v4.1 MEITAYKPYIDAEFESLMERIYPPRVCVDNETYQDCTLIKVDSANKQGILLEMVQVLTDLDLVISKSYISSDGGWFMEVFHVTDQLGSKLTDDSLILYIQQALCVDRRRGVSKESQTSLHREVRPPYASTDHTAMEITGTDRPGLLSEISAVLSKLECHVTASAVWTHNNRAASIIYMEDGFQGGPITDPKRLAHVQEQLENVVEAHHGVGERRSVRLTAPAPGQKTHTGRRLHQLMYANMDYEPCQGCNGGGLAHRNNCTKIHVSIDSCKEKGYSVVNVRSRDRPKLLFDTLCALTDMQYVVFHAAVSAKGTMADQEYFIRQQDGCTLDTESERHKLTQCLIAAIERRVSHGARLDICTHNRMGLLSNVTRAFRENGLSISRAEIGTNGDRAVGSFYVTDASGYEANPQAIDEVKKEMGGSVVVVNKSPGWTPKTSKTPSVGSVSRNSSGSIDEEKPRLSPGSLFWSQLKRLSSNFSSIRS >Potri.005G087850.1.v4.1 pep chromosome:Pop_tri_v4:5:6133344:6142794:1 gene:Potri.005G087850.v4.1 transcript:Potri.005G087850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087850.v4.1 MEATPEQLERKKKKEEKAREKELKKLKAAQKEAKFKVQLQSKKKSRREEEEKVVEEEEDYRDPLTPSGQKKRLSAIMPKNYNPSNVEKCWYEWWEASGFFVADANSSKPPFVILFPPPNVTGNLHLGHALTAAIQDTMIRWKRMSGFNALWVPGLDHAGIATQVVVEKKLMRERRSTRHDLGREKFVSEVWKWKHEHGGNILQQLRRLGASLDWSRECFTMDEKRSKAVIEEFVRLFKEGLIYRDLRLVHWDCTLRTAISDDEVDKIDIKERKFLNIPGYDKQVEFGVLTSFAYPIEGGLGEIVVSTTRVETMLGDTAIAVHPGDERYSHLHGKFANHPFNGRKLPIICDAILVDPSFGTGAVKITPAHDQDDFKAGKRHSLEFIVMFTDDGKINDNGGAEFSGMPRFEAREAVKEALKKKGLYRGAENNEMHLGLCSRSNDVVEPMLKPQWFVNCDSLAKQALQAATDGENPKLEFIPKQFLAEWKRWLGNIQDWCISRQLWWGHRIPAWYVTLEDDAEKDFGVYNDHWVVGRNEEEALAEASQKFSGKKFDISQDPDVLDTWFSSGLFPLSVLGWPDDTDDLRTFYPTSVLETGHDILFFWVARMVMLGIQLGGDVPFRKVFLHPMVRDAHGRKMSKSLGNVIDPLEVIDGISLEGLHKRLEEGNLDQKELVVAKAGQKQDFPNGIAECGTDALRFALVSYTAQSDKINLDIQRVVGYRQWCNKLWNAVRFAMSKFDIDYTAPINFNLETMPFSCKWILSVLNKAISKAVSALDSYELSYAASTVYSWWQYQFCDVFIEAIKPYFAGDDPAFAFERSSAQETLWICLDSGLRLLHPFMPFVTEELWQRLPPVRGHTRKESIVTSEYPSAADAWTNEEVEYEMDLIESTVKCLRSLRAEVFEDRKNERLPAFAFCKMRAVADILTSHNFEILTLAKNLSSIQVLLSGEDAPPAGCAFENVNENLAVYLKAGEKVNAEVELVKMRNKMDEIQKQQEKLEKKINAAGYKEKVPLEIQDKDTEKLSKLVQEMELFEKESERLEAVKSL >Potri.016G130950.1.v4.1 pep chromosome:Pop_tri_v4:16:13448371:13449377:1 gene:Potri.016G130950.v4.1 transcript:Potri.016G130950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130950.v4.1 MLQLMISGNEKRSEHTWFWSGEGDGDAVFSASPCPLLPFGLLLFLLPLPFVLSFCSVPLYSACFSAVFSLYVSVFSLSVSSSLLLFSFPPRLLSVFSFFFSRSLLLCSPRVLSAPALPYSSSFSSLDLLTVSLLFFSLLLSLCFLSISFSLPPCSFGAEHPLAFIARGCNRFPLQGRNNGRRDISRGTWPLDHRLRCCSRFSASCTET >Potri.005G030365.1.v4.1 pep chromosome:Pop_tri_v4:5:1973130:1974951:-1 gene:Potri.005G030365.v4.1 transcript:Potri.005G030365.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030365.v4.1 MASRVEDIESLLSLGSTTAEAVYHRNCSKFEGQSFFQNVREESQKHGIDHVRQKILGEVLEKKDMTIRTKVLPPAIKRMLQRKKVLIVLDDVNDPQDLKYLLGEDELNLYSCSKLASLPNSICKLKCLAKLNLGRYPKLASLPDSIEELRSLAELGISSCSKLASLLDSIDELRSLEKLSLSSCSKLASLPDSIGELRSLAKLSLSSCSGLASLQDSIGGLRSFTMLYLNGCYGLTSLPDNIGALKSLQWLYLDGCSGLINLPNSIGGLKSLKSLLSRLTSLPDSIDELKSLKSLNLSGRSGLASLPDNIGALKSLKYLILMVAQD >Potri.006G183466.1.v4.1 pep chromosome:Pop_tri_v4:6:18985926:18986362:1 gene:Potri.006G183466.v4.1 transcript:Potri.006G183466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183466.v4.1 MHILGDKHATNVARNLQAHNLFNGKKRVLCFVAKACKHVCSCPLHLPTLLLRYLC >Potri.013G010400.1.v4.1 pep chromosome:Pop_tri_v4:13:656585:659843:-1 gene:Potri.013G010400.v4.1 transcript:Potri.013G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010400.v4.1 MELQEANSSNKNGQTSTPDPKTDLENPYNGKALESQYFTEDFDSTCSTPYVSAPSSPGRPGSGPVNGGFFYSCPASPMHFAITSSASERGSFASSPDNSVPIGYEFEFSARFGSTASGQTGSMSSADELFLNGQIRPMKLSSHLERPQVLAPLLDLENEEEDGDSSNDDESKKGSRKGGNNGESMSRGRDLRLKNKSLRRRTRSMSPLRSTSFEDYDEENKNVIDGSSTSLEVNDESLKLDEATTSGGTSTPSVSASSSRSSSAGRNSKRWVFLKDFLYRSKSEGRSNNKFWSNISFSPAKEKSKQHSGSVQLGMSKEKSSSSNNNGTIVGGESQKVKGNSGQGSSSSSSSSGKKPVNGVGKRRVPVSPHELHYKASRAQAEEMRKKTFLPYRQGLLGCLGFSSKGYGAMNGIARALNPVKSS >Potri.010G245332.1.v4.1 pep chromosome:Pop_tri_v4:10:22083363:22085108:1 gene:Potri.010G245332.v4.1 transcript:Potri.010G245332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245332.v4.1 MESMLLKLLFPSPFLIKAMSAVPVATLGYVGLSEVRGKHMQYSKFLNIGEKKPIEKTIQVSSRTGMLIAYTPAFLAGAASFGLFPNDDLRFLLVKSTLTFHFFKRILEVLFVHRYSGGMEVESVIPITLSYFTSSVFVIYAQHLAQGLPEPAIDLMYPGLLLFLIGISGNFYHHCLLSKLRSKDDKEYKVPKGGLFDLVICPHYLFEILGILGISLTAQTLYAFSFFVGTTLYLSGRSYSTRRWYLSQFKDFPKDVKALIPLVF >Potri.001G400900.8.v4.1 pep chromosome:Pop_tri_v4:1:42712684:42716281:1 gene:Potri.001G400900.v4.1 transcript:Potri.001G400900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400900.v4.1 MSMCSSESSSSKFWIVHSIVAGAAIAAAIGAGAYLGRYRKFRSRVVGIIPARYASSRFEGKPLVNILGKPMIQRTWERAKLASTLDHIVVATDDEKIAECCRGFGADVIMTSESCRNGTERCNEALQKLDKKYDVVVNIQGDEPLIEPEIIDRIVKALQAAPDAVFSTAVTALKPEDAFDPNRVKCVVDNHGYAIYFSRGLIPYNKTGKVNTQFPYMLHLGIQSYDTKFLKIYPELRSTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDIPEDVEKLETLMREQSFS >Potri.002G173750.1.v4.1 pep chromosome:Pop_tri_v4:2:13385491:13385640:-1 gene:Potri.002G173750.v4.1 transcript:Potri.002G173750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173750.v4.1 MGKACLAFSLRRRGKVQVPSKSLKGKRGRLYIIMRCVLMLVCWRDHGDK >Potri.019G029800.2.v4.1 pep chromosome:Pop_tri_v4:19:4201276:4205268:1 gene:Potri.019G029800.v4.1 transcript:Potri.019G029800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029800.v4.1 MAYIPPHKRHSKDVRTAPPIPETPHPQFQRKMNLRASTSRKDKSGKIVYADHAISKWFAVGLDDDGRFPPYIHLEPISLLEYVERKIGEKPLVLVNSIVTEEDSKLERNCSRSPWEIIAENVQQELLSSFEILRNEMDGQGSEKVKPTLVARLGKFIFHGNHSMGLESVNKMQVEEAILRQLNRSLYTDIPSSYMENIIDGVVPAIGVDFLEEKDVYTVKLFDNTRPDATVSCKCIVLENKKLHLYKVELNQVRQMVIDVSCLDKNLDLRLMLCSRKILTALTDEEMNSLRDLINSAVLDSDMKGGLRWPLGEASSGGRYSVIGAWHSVTKAYKNSSFRLKARDADRYDFRTGTGETTREIYLKLKGIVSEMQEPGAEGDSISKMLEDSIRLIWDKFLCCERFLT >Potri.009G014100.1.v4.1 pep chromosome:Pop_tri_v4:9:2455487:2456824:1 gene:Potri.009G014100.v4.1 transcript:Potri.009G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014100.v4.1 MATSKGCFARQNYRFLSTDLTHHVPLTHNSPFELDESDIYYHTTARSNSPEFRKPVLSSRLAKKSTPAAAACRRTDPGGRACGTPSSLPVNIPDWSKILKDEYRRGPDVVDGGGGDEDDDDMDGDDCFDGGVRVPPHELLARQMARTRIASFSVHEGIGRTLKGRDLSRVRNAIWEKTGFQD >Potri.017G055400.1.v4.1 pep chromosome:Pop_tri_v4:17:4303596:4305391:-1 gene:Potri.017G055400.v4.1 transcript:Potri.017G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055400.v4.1 MEDQFPKIETFMHKELVAAYCSFHGMATGSKYFGDPTIWGALSGATCRVEDGNSGMKSPSQSSSERLFSSSESSSSADDQVSGTDLIGNIPGFGKQDVVKQDHESCFMSGMNSINALGANSISDQTIKESSIPVNFLEPFPTLKNQAQVPEPPSPSSLSKSPNLTLFLQEPAAASLLHPSKRVLDPPGKDQRCEPAMSLFPNTSFSMPQLGQVHCQPSNEWLKINQTLANYSTKGFNDYWLSTTKTQPMKYSGRKLQNHHQKPSFSSASTSPGKLFRGVRQRHWGKWVAEIRLPRNRTRVWLGTFDTAEDAAIAYDTAAYMLRGDYAHLNFPDLKHQLKSSSLNRTITALLEAKLQAISSQGNNISGQNKHISDPPPPSPSKINVHATDATKLKGLSQNTTRKEWQFELRGKSGGEVIENKKGQEVVASDIDAIQLSRMPSLDMDMIWDALLVSDS >Potri.007G094100.1.v4.1 pep chromosome:Pop_tri_v4:7:11966978:11968315:1 gene:Potri.007G094100.v4.1 transcript:Potri.007G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G094100.v4.1 MSNPGESTRNPTGFSVPISNTDPRVPLLNLSAVRTRMDSLQQFLSESVNNNTLIGKDQMDMVSSEISSAIHEIIVNAAALLSCNSSSSQPFTPQPPVDSTAIKKAQVLKVENKEEDSEVVELDAVELLAEHVHFCEICGKGFKRDANLRMHMRAHGNQFKTLEALAKPDKGNETISASFAGKTKFSCPFEGCNRNKKHGKFKPLKSVICVRNHFKRSHCPKMYSCNRCNKKSFSVVTDLKSHLKHCGESRWKCSCGTSFSRKDKLFGHMALFEGHMPAVAGEEEDSKAKEVGVGGAVDMEEDEEEESVVKGDNLGGDCNDNEFFEGLLDGFCSMEGYSLQDVLGSPFSGIEEFSGLRH >Potri.018G144800.5.v4.1 pep chromosome:Pop_tri_v4:18:15007220:15012279:1 gene:Potri.018G144800.v4.1 transcript:Potri.018G144800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144800.v4.1 MGLFLQVLTVLVITVSLQGWLPLSCLEEERIALLHLKDSLNYPNGTSLPSWRIAHANCCDWERIVCNSSTGRVTLLDLLGVRNEELGDWYLNASLFLPFQQLNALSLYGNRIAGWVENKGGYELQKLSNLEILDLESNSFNNSILSFVEGLPSLKSLYLDYNRLEGSIDLKESLTSLETLSLGGNNISNLVASRGPSNLRTLYLENITTYGSSFQLLQSLGAFSNLTTLSLEYNDFRGRILGDKFQNLSSLEMLYLDSCSLDEHSLQSLGALPSLKNLSLRELNGTVPYGGFLDLKNLKYLDLSYNTLSNSIFQAIGTMTSLKTLMLYNLNGQLPTMQGFLDLKNLEYLDLSYNTLNNSIFQAIGTMTSLKTLSLQSCRLNGRIPTTQGLCDLNHLQELDISDNDLSGVLPSCLTNLTSLQQLYLSSNYLKIPMSLSPLYNLSKLKSFSSSGNEIFAEEDDHNLSPKFQLKSLYLRGRGQDAGALPKFFYHQFSLQSLDLENIQIKGGFPNWLIENNTYLQEIYLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGDRLPGLEVLKMSDNGFNGSIPSSLGNMSSLFELDLSNNVLTGRILSNNSLQGQIPRCIWNMSSLEFLDLSGNNFSGRFPPRFNTSSNLRYVYLSRNKFQGPITMTFYDLAEILALDLSHNNLTGTIPKWIDRLSNLRFLLLSYNNLEGEIPIQLSRLDRLTLIDLSHNHLSGNILYWMISTHPFPQPYNSRDSMSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFTGEIPPEIGNLSMIKVLNLSHNNLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFIVAHNNLSGKTPARVATRTTLFFVENRYPKYVMWLCHHHQLQRTMKIMVASWI >Potri.011G127200.9.v4.1 pep chromosome:Pop_tri_v4:11:15595638:15599621:-1 gene:Potri.011G127200.v4.1 transcript:Potri.011G127200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127200.v4.1 MVRPNDPFWDYVEKMTGGLLRCMFCEYIFAVATSISRIKSHFAGVKRRGVNICTKVPKEIQEASYLAIHGSRKKLKTMPSEVANKFSTSSLEEKNEVEILARDIEEVLMEAESSDEVESKSPAELIQFVETGSSVEGNVADVHETGGTALPRMDLVGQSIEKDWQEIFDLSKENDDLNCSREDMAGDLIQEGLHETRGDALLTTELVGQAFQRNTDEIWSLLKKEQVLTIGVCGRGGMGKTTLVMHIHNLLLKIPNSFHHIYWITVTQDFSIYKLQNLIAKNIDLDLSNEKDEKSRAAKLSKAFLTKQKSVLILDNLRNHFDVEKVGIPIRGNKCKLIFTTRSLDVCKWMGCPEYMVNVEPLSEEEAWSLFAKELGNFDIKVGHLAKFLASECAGFPLGIKTTARSMRGVEDVYAWRKTLQELEGLKRTKGSMELDVFPILEFSYLHLNDLSLQRCLLYCALFPEDCKINKNDLIEYLIAEGIIEARGSRQSQFDNGHFMLDKLENACLLESFITEDYGYVRMHDLIRDMALQIMNSRAMVKAGVQLKEFPDEEKWTEGLMHVSLMRNDIEEVPPNLSPRCTNLATLLLCGNHKLELITDSFVKRFCLLQFLDLSFTAIKELPGSISGLVHLDGLWLRGCNKLRHVPSLAKLRKLKMLNFSNAPLEEVPHGIDSLFKLRYLNLDGTTLKEFSATMFFNLSNLQFLHLHQSLGGLRAVEVEGVAGLRKLESLKCHFYDLVGFNKYLKSQEERQPLCTYDIKIGQLGDNVFTDFMLPPISKKDTNKEVRLYNCNIGDRGDFLALPEGIQKLVIAKCHDARNLCNVQATGLKSFVISECHGVEFLFTLSSFSTDIVKSVETLHLYWLKNLLALFGREGTALQPFPSIGTFSCLRVFDVFNCPSIKKLFPSGLLPNLKHLEVIEVEFCDKMEEIIAAEEEDEGGIMGEERNSSSRSIDASVEFRLPNLRLLKLRNLSELKSICSGVMICDSLQELDVVYCLKLKRLPFSRALLKSIRKIPSYPEEWWEQVEWDKCSAKNIHQPPP >Potri.011G127200.8.v4.1 pep chromosome:Pop_tri_v4:11:15595663:15599824:-1 gene:Potri.011G127200.v4.1 transcript:Potri.011G127200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127200.v4.1 MVRPNDPFWDYVEKMTGGLLRCMFCEYIFAVATSISRIKSHFAGVKRRGVNICTKVPKEIQEASYLAIHGSRKKLKTMPSEVANKFSTSSLEEKNEVEILARDIEEVLMEAESSDEVESKSPAELIQFVETGSSVEGNVADVHETGGTALPRMDLVGQSIEKDWQEIFDLSKENDDLNCSREDMAGDLIQEGLHETRGDALLTTELVGQAFQRNTDEIWSLLKKEQVLTIGVCGRGGMGKTTLVMHIHNLLLKIPNSFHHIYWITVTQDFSIYKLQNLIAKNIDLDLSNEKDEKSRAAKLSKAFLTKQKSVLILDNLRNHFDVEKVGIPIRGNKCKLIFTTRSLDVCKWMGCPEYMVNVEPLSEEEAWSLFAKELGNFDIKVGHLAKFLASECAGFPLGIKTTARSMRGVEDVYAWRKTLQELEGLKRTKGSMELDVFPILEFSYLHLNDLSLQRCLLYCALFPEDCKINKNDLIEYLIAEGIIEARGSRQSQFDNGHFMLDKLENACLLESFITEDYGYVRMHDLIRDMALQIMNSRAMVKAGVQLKEFPDEEKWTEGLMHVSLMRNDIEEVPPNLSPRCTNLATLLLCGNHKLELITDSFVKRFCLLQFLDLSFTAIKELPGSISGLVHLDGLWLRGCNKLRHVPSLAKLRKLKMLNFSNAPLEEVPHGIDSLFKLRYLNLDGTTLKEFSATMFFNLSNLQFLHLHQSLGGLRAVEVEGVAGLRKLESLKCHFYDLVGFNKYLKSQEERQPLCTYDIKIGQLGDNVFTDFMLPPISKKDTNKEVRLYNCNIGDRGDFLALPEGIQKLVIAKCHDARNLCNVQATGLKSFVISECHGVEFLFTLSSFSTDIVKSVETLHLYWLKNLLALFGREGTALQPFPSIGTFSCLRVFDVFNCPSIKKLFPSGLLPNLKHLEVIEVEFCDKMEEIIAAEEEDEGGIMGEERNSSSRSIDASVEFRLPNLRLLKLRNLSELKSICSGVMICDSLQELDVVYCLKLKRLPFSRALLKSIRKIPSYPEEWWEQVEWDKCSAKNIHQPPP >Potri.006G084000.2.v4.1 pep chromosome:Pop_tri_v4:6:6259596:6265908:-1 gene:Potri.006G084000.v4.1 transcript:Potri.006G084000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084000.v4.1 MAASRRLRELQSQPANKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKKMESGGNDKLNAFLAQYGIPKETDIVAKYNTNAASVYRDRIQTLADGRSWRDPPVVKETIGSKKKPPLSQSGSAGGGRDSYSSNNGGWDNWDNDDSLGSSSSDVRRNQSVSDFRGGNTGGGGGGGGHAMGGPVRSRSTEDIYTRSQLEASAANKESFFARRMADNESRSDAVPPSQGGKYVGFGSSPAPTQRNNNSQQDVLSAVSQGFGKLSMVAASAAQSAANVVHAGTKELTSKVKEGGYDYKVNETVNVVTAKTTEIGQRTWGIMKGVMAIASQKVEEYTKEGRNTDNWQQSDNQSNGYYQEFNKQENKGWNSSSGGQSSSGHHNSYGSSSWDDWDQKDNRKEDSIKSTASHSNDDWAGWDDAKDDGYDDNIYHSAPSTKSASHNGKSDASWTGGGFL >Potri.005G038250.1.v4.1 pep chromosome:Pop_tri_v4:5:2465425:2466426:1 gene:Potri.005G038250.v4.1 transcript:Potri.005G038250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038250.v4.1 MAAVSSKWVSFLLLFLCIVLHLSAITHGDDKLDKTRFGDDNCGFSRRGCGGRFGGGRGGGRGGGGGLGGGAGGGVGGGGGFGGGGGGGVGGGSGHGGGFGAGGGVGGGLGGGAGGGGGGGGGGGGGGVGGGSGHGGGFGAGGGVGGGLGGGAGGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGVGGGLGGGASGGGGGGLGGGAGGGGGGGGGGGGIGGGSGHGGGFGAGGGVGGGAGGGLGGGRGGGAGGGFGVGIGVGVGVGVGAGAGQGSGSGSGRGNDGRH >Potri.002G028000.1.v4.1 pep chromosome:Pop_tri_v4:2:1865779:1866418:1 gene:Potri.002G028000.v4.1 transcript:Potri.002G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028000.v4.1 MTTLNGRSVVHISCMIVMVLMTLMPKITTESSAAWPPAQISSSASLSSRRFKEYQKEGLEQHTEIHPVDMVEDYSNWDPVPRSGGGYYAPIPH >Potri.006G180100.5.v4.1 pep chromosome:Pop_tri_v4:6:18669089:18676763:-1 gene:Potri.006G180100.v4.1 transcript:Potri.006G180100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180100.v4.1 MAYKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVVASVNDVADLAACIASCLNMLLGTPSTETEDSDIINDEKLKCKWVETFVGKRFGWQWKHESYQDLRKFAILRGLSHKVGLELLPRDYDMDNAFPFKRSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNGETVSDEYQKDEILSPTYPIVENSSDKENKSETQFAEPGNEKSDSGLPDQSLLKTDDKTQEEDSDEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNLPQSSRFRGKPNNFASPKTSPNDPAASTGLTVPVPKKFAKSASFSTKVNNSGASTGGAEKSSTPKSAPATPASTEQVAKAAPTASPISVQSAGKIFSYKEVALAPPGTIVKAVAEQLPKGNLPMEPSTQGSNEASATDVTSGEVTTLKAAEVDNFLKPEAVKHLPASEGMKSPVDQKKETEEGGLVATEQLEGKKSAVEDRTDKEDNGAEIKIVAVKVNTSEAGNISFLGNENLDTSKDSNTISSPTEVPETQVSDGFPAASPDMEPQSTSTENSGLMEKDASISNEGVEDENTLDPSSDNTNAKALSTEGGKQDETETGKETAKKLSAAAPPFNPSIIIPVFGSVTIPGFKDHGGLLPSPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGFNRSGNRVPRNKPSFNNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPDGYSILQNGYMATTNGMPVSPNGFPISPTGIPVSPNGYPALLNGIQATQNEFPASPVSSVERPMLVSVDVRVENKSEAEAENGVETSAIEVGVEDQSGEKEHQEEDVNPEIKENPAELPETSDTVVAIETCDSLPIEEKPSKCWADYSDNEADIVEVAS >Potri.006G180100.1.v4.1 pep chromosome:Pop_tri_v4:6:18669178:18681813:-1 gene:Potri.006G180100.v4.1 transcript:Potri.006G180100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180100.v4.1 MAPKTGKAKPHKAKGEKKKKEEKVLPTVIEVTVETPDDSQVSLKGISTDRILDVRKLLGVHVETCHLTNFSLSHEVRGPRLKDSVDIILLKPCHLTITEEDYTEEQSIAHIHRLLDIVACTTSFGASSTSPTKTPGRTGGSKESGSTETGGDNKKIVNKSGKDACTDAMEKADAAVSMCPPPRLGQFYEFFSFSHLTPPVQYIRRSSRPFLEDKTEDDFFQIDVRVCSGKPMTIVASREGFYPAGKRALLCRSLVSLLQQISRVFDSAYKALMKAFTEHNKFGNLPYGFRANTWVVPPLVADNPSVFPPLPVEDENWGGNGGGQGRDGKHDYRPWAKEFAILATMPCKTAEERQIRDRKAFLLHSLFVDVSVFKAVAAIKSIIENQCFLSDTVKSFLHEERVGDLIIIITRDVSDASTKLDCKNDGCQVLGVSQEELARRNLLKGITADESATVHDTPTLGVVVVRHCGFTAVVKASSEVNWEGDPIPQDISIEEHPEGGANALNVNSLRMLLHKSSTPQSSNTLQRLQGGDLEILHSARSLVRKILEDSLLKLQEESSRYTKSIRWELGACWVQHLQNQAAGKTEAKKNEETNPEPAVKGLGKQGALLREIKKKTDVKTGKTEEGKDVYAGNNLDMSKKPDSTNQEEMEKKDEEMKVIWKKLLPEAAYLRLRESETGLHLKTPDELIEMAYKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVVASVNDVADLAACIASCLNMLLGTPSTETEDSDIINDEKLKCKWVETFVGKRFGWQWKHESYQDLRKFAILRGLSHKVGLELLPRDYDMDNAFPFKRSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNGETVSDEYQKDEILSPTYPIVENSSDKENKSETQFAEPGNEKSDSGLPDQSLLKTDDKTQEEDSDEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNLPQSSRFRGKPNNFASPKTSPNDPAASTGLTVPVPKKFAKSASFSTKVNNSGASTGGAEKSSTPKSAPATPASTEQVAKAAPTASPISVQSAGKIFSYKEVALAPPGTIVKAVAEQLPKGNLPMEPSTQGSNEASATDVTSGEVTTLKAAEVDNFLKPEAVKHLPASEGMKSPVDQKKETEEGGLVATEQLEGKKSAVEDRTDKEDNGAEIKIVAVKVNTSEAGNISFLGNENLDTSKDSNTISSPTEVPETQVSDGFPAASPDMEPQSTSTENSGLMEKDASISNEGVEDENTLDPSSDNTNAKALSTEGGKQDETETGKETAKKLSAAAPPFNPSIIIPVFGSVTIPGFKDHGGLLPSPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGFNRSGNRVPRNKPSFNNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPDGYSILQNGYMATTNGMPVSPNGFPISPTGIPVSPNGYPALLNGIQATQNEFPASPVSSVERPMLVSVDVRVENKSEAEAENGVETSAIEVGVEDQSGEKEHQEEDVNPEIKENPAELPETSDTVVAIETCDSLPIEEKPSKCWADYSDNEADIVEVAS >Potri.006G180100.4.v4.1 pep chromosome:Pop_tri_v4:6:18669382:18678790:-1 gene:Potri.006G180100.v4.1 transcript:Potri.006G180100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180100.v4.1 MKAFTEHNKFGNLPYGFRANTWVVPPLVADNPSVFPPLPVEDENWGGNGGGQGRDGKHDYRPWAKEFAILATMPCKTAEERQIRDRKAFLLHSLFVDVSVFKAVAAIKSIIENQCFLSDTVKSFLHEERVGDLIIIITRDVSDASTKLDCKNDGCQVLGVSQEELARRNLLKGITADESATVHDTPTLGVVVVRHCGFTAVVKASSEVNWEGDPIPQDISIEEHPEGGANALNVNSLRMLLHKSSTPQSSNTLQRLQGGDLEILHSARSLVRKILEDSLLKLQEESSRYTKSIRWELGACWVQHLQNQAAGKTEAKKNEETNPEPAVKGLGKQGALLREIKKKTDVKTGKTEEGKDVYAGNNLDMSKKPDSTNQEEMEKKDEEMKVIWKKLLPEAAYLRLRESETGLHLKTPDELIEMAYKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVVASVNDVADLAACIASCLNMLLGTPSTETEDSDIINDEKLKCKWVETFVGKRFGWQWKHESYQDLRKFAILRGLSHKVGLELLPRDYDMDNAFPFKRSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNGETVSDEYQKDEILSPTYPIVENSSDKENKSETQFAEPGNEKSDSGLPDQSLLKTDDKTQEEDSDEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNLPQSSRFRGKPNNFASPKTSPNDPAASTGLTVPVPKKFAKSASFSTKVNNSGASTGGAEKSSTPKSAPATPASTEQVAKAAPTASPISVQSAGKIFSYKEVALAPPGTIVKAVAEQLPKGNLPMEPSTQGSNEASATDVTSGEVTTLKAAEVDNFLKPEAVKHLPASEGMKSPVDQKKETEEGGLVATEQLEGKKSAVEDRTDKEDNGAEIKIVAVKVNTSEAGNISFLGNENLDTSKDSNTISSPTEVPETQVSDGFPAASPDMEPQSTSTENSGLMEKDASISNEGVEDENTLDPSSDNTNAKALSTEGGKQDETETGKETAKKLSAAAPPFNPSIIIPVFGSVTIPGFKDHGGLLPSPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGFNRSGNRVPRNKPSFNNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPDGYSILQNGYMATTNGMPVSPNGFPISPTGIPVSPNGYPALLNGIQATQNEFPASPVSSVERPMLVSVDVRVENKSEAEAENGVETSAIEVGVEDQSGEKEHQEEDVNPEIKENPAELPETSDTVVAIETCDSLPIEEKPSKCWADYSDNEADIVEVAS >Potri.006G180100.3.v4.1 pep chromosome:Pop_tri_v4:6:18669382:18679642:-1 gene:Potri.006G180100.v4.1 transcript:Potri.006G180100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180100.v4.1 MEKADAAVSMCPPPRLGQFYEFFSFSHLTPPVQYIRRSSRPFLEDKTEDDFFQIDVRVCSGKPMTIVASREGFYPAGKRALLCRSLVSLLQQISRVFDSAYKALMKAFTEHNKFGNLPYGFRANTWVVPPLVADNPSVFPPLPVEDENWGGNGGGQGRDGKHDYRPWAKEFAILATMPCKTAEERQIRDRKAFLLHSLFVDVSVFKAVAAIKSIIENQCFLSDTVKSFLHEERVGDLIIIITRDVSDASTKLDCKNDGCQVLGVSQEELARRNLLKGITADESATVHDTPTLGVVVVRHCGFTAVVKASSEVNWEGDPIPQDISIEEHPEGGANALNVNSLRMLLHKSSTPQSSNTLQRLQGGDLEILHSARSLVRKILEDSLLKLQEESSRYTKSIRWELGACWVQHLQNQAAGKTEAKKNEETNPEPAVKGLGKQGALLREIKKKTDVKTGKTEEGKDVYAGNNLDMSKKPDSTNQEEMEKKDEEMKVIWKKLLPEAAYLRLRESETGLHLKTPDELIEMAYKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVVASVNDVADLAACIASCLNMLLGTPSTETEDSDIINDEKLKCKWVETFVGKRFGWQWKHESYQDLRKFAILRGLSHKVGLELLPRDYDMDNAFPFKRSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNGETVSDEYQKDEILSPTYPIVENSSDKENKSETQFAEPGNEKSDSGLPDQSLLKTDDKTQEEDSDEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNLPQSSRFRGKPNNFASPKTSPNDPAASTGLTVPVPKKFAKSASFSTKVNNSGASTGGAEKSSTPKSAPATPASTEQVAKAAPTASPISVQSAGKIFSYKEVALAPPGTIVKAVAEQLPKGNLPMEPSTQGSNEASATDVTSGEVTTLKAAEVDNFLKPEAVKHLPASEGMKSPVDQKKETEEGGLVATEQLEGKKSAVEDRTDKEDNGAEIKIVAVKVNTSEAGNISFLGNENLDTSKDSNTISSPTEVPETQVSDGFPAASPDMEPQSTSTENSGLMEKDASISNEGVEDENTLDPSSDNTNAKALSTEGGKQDETETGKETAKKLSAAAPPFNPSIIIPVFGSVTIPGFKDHGGLLPSPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGFNRSGNRVPRNKPSFNNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPDGYSILQNGYMATTNGMPVSPNGFPISPTGIPVSPNGYPALLNGIQATQNEFPASPVSSVERPMLVSVDVRVENKSEAEAENGVETSAIEVGVEDQSGEKEHQEEDVNPEIKENPAELPETSDTVVAIETCDSLPIEEKPSKCWADYSDNEADIVEVAS >Potri.006G180100.7.v4.1 pep chromosome:Pop_tri_v4:6:18669297:18672140:-1 gene:Potri.006G180100.v4.1 transcript:Potri.006G180100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180100.v4.1 MKAREAQKKARAKVKGKPGQNGETVSDEYQKDEILSPTYPIVENSSDKENKSETQFAEPGNEKSDSGLPDQSLLKTDDKTQEEDSDEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNLPQSSRFRGKPNNFASPKTSPNDPAASTGLTVPVPKKFAKSASFSTKVNNSGASTGGAEKSSTPKSAPATPASTEQVAKAAPTASPISVQSAGKIFSYKEVALAPPGTIVKAVAEQLPKGNLPMEPSTQGSNEASATDVTSGEVTTLKAAEVDNFLKPEAVKHLPASEGMKSPVDQKKETEEGGLVATEQLEGKKSAVEDRTDKEDNGAEIKIVAVKVNTSEAGNISFLGNENLDTSKDSNTISSPTEVPETQVSDGFPAASPDMEPQSTSTENSGLMEKDASISNEGVEDENTLDPSSDNTNAKALSTEGGKQDETETGKETAKKLSAAAPPFNPSIIIPVFGSVTIPGFKDHGGLLPSPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGFNRSGNRVPRNKPSFNNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPDGYSILQNGYMATTNGMPVSPNGFPISPTGIPVSPNGYPALLNGIQATQNEFPASPVSSVERPMLVSVDVRVENKSEAEAENGVETSAIEVGVEDQSGEKEHQEEDVNPEIKENPAELPETSDTVVAIETCDSLPIEEKPSKCWADYSDNEADIVEVAS >Potri.006G180100.2.v4.1 pep chromosome:Pop_tri_v4:6:18669406:18680699:-1 gene:Potri.006G180100.v4.1 transcript:Potri.006G180100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180100.v4.1 MECTVLPTVIEVTVETPDDSQVSLKGISTDRILDVRKLLGVHVETCHLTNFSLSHEVRGPRLKDSVDIILLKPCHLTITEEDYTEEQSIAHIHRLLDIVACTTSFGASSTSPTKTPGRTGGSKESGSTETGGDNKKIVNKSGKDACTDAMEKADAAVSMCPPPRLGQFYEFFSFSHLTPPVQYIRRSSRPFLEDKTEDDFFQIDVRVCSGKPMTIVASREGFYPAGKRALLCRSLVSLLQQISRVFDSAYKALMKAFTEHNKFGNLPYGFRANTWVVPPLVADNPSVFPPLPVEDENWGGNGGGQGRDGKHDYRPWAKEFAILATMPCKTAEERQIRDRKAFLLHSLFVDVSVFKAVAAIKSIIENQCFLSDTVKSFLHEERVGDLIIIITRDVSDASTKLDCKNDGCQVLGVSQEELARRNLLKGITADESATVHDTPTLGVVVVRHCGFTAVVKASSEVNWEGDPIPQDISIEEHPEGGANALNVNSLRMLLHKSSTPQSSNTLQRLQGGDLEILHSARSLVRKILEDSLLKLQEESSRYTKSIRWELGACWVQHLQNQAAGKTEAKKNEETNPEPAVKGLGKQGALLREIKKKTDVKTGKTEEGKDVYAGNNLDMSKKPDSTNQEEMEKKDEEMKVIWKKLLPEAAYLRLRESETGLHLKTPDELIEMAYKYYADTALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAYKHILQAVVASVNDVADLAACIASCLNMLLGTPSTETEDSDIINDEKLKCKWVETFVGKRFGWQWKHESYQDLRKFAILRGLSHKVGLELLPRDYDMDNAFPFKRSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALSKLVSVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEVYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNGETVSDEYQKDEILSPTYPIVENSSDKENKSETQFAEPGNEKSDSGLPDQSLLKTDDKTQEEDSDEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNLPQSSRFRGKPNNFASPKTSPNDPAASTGLTVPVPKKFAKSASFSTKVNNSGASTGGAEKSSTPKSAPATPASTEQVAKAAPTASPISVQSAGKIFSYKEVALAPPGTIVKAVAEQLPKGNLPMEPSTQGSNEASATDVTSGEVTTLKAAEVDNFLKPEAVKHLPASEGMKSPVDQKKETEEGGLVATEQLEGKKSAVEDRTDKEDNGAEIKIVAVKVNTSEAGNISFLGNENLDTSKDSNTISSPTEVPETQVSDGFPAASPDMEPQSTSTENSGLMEKDASISNEGVEDENTLDPSSDNTNAKALSTEGGKQDETETGKETAKKLSAAAPPFNPSIIIPVFGSVTIPGFKDHGGLLPSPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGFNRSGNRVPRNKPSFNNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPDGYSILQNGYMATTNGMPVSPNGFPISPTGIPVSPNGYPALLNGIQATQNEFPASPVSSVERPMLVSVDVRVENKSEAEAENGVETSAIEVGVEDQSGEKEHQEEDVNPEIKENPAELPETSDTVVAIETCDSLPIEEKPSKCWADYSDNEADIVEVAS >Potri.006G180100.8.v4.1 pep chromosome:Pop_tri_v4:6:18669372:18672020:-1 gene:Potri.006G180100.v4.1 transcript:Potri.006G180100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180100.v4.1 MQVKGKPGQNGETVSDEYQKDEILSPTYPIVENSSDKENKSETQFAEPGNEKSDSGLPDQSLLKTDDKTQEEDSDEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNLPQSSRFRGKPNNFASPKTSPNDPAASTGLTVPVPKKFAKSASFSTKVNNSGASTGGAEKSSTPKSAPATPASTEQVAKAAPTASPISVQSAGKIFSYKEVALAPPGTIVKAVAEQLPKGNLPMEPSTQGSNEASATDVTSGEVTTLKAAEVDNFLKPEAVKHLPASEGMKSPVDQKKETEEGGLVATEQLEGKKSAVEDRTDKEDNGAEIKIVAVKVNTSEAGNISFLGNENLDTSKDSNTISSPTEVPETQVSDGFPAASPDMEPQSTSTENSGLMEKDASISNEGVEDENTLDPSSDNTNAKALSTEGGKQDETETGKETAKKLSAAAPPFNPSIIIPVFGSVTIPGFKDHGGLLPSPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGFNRSGNRVPRNKPSFNNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPDGYSILQNGYMATTNGMPVSPNGFPISPTGIPVSPNGYPALLNGIQATQNEFPASPVSSVERPMLVSVDVRVENKSEAEAENGVETSAIEVGVEDQSGEKEHQEEDVNPEIKENPAELPETSDTVVAIETCDSLPIEEKPSKCWADYSDNEADIVEVAS >Potri.006G180100.6.v4.1 pep chromosome:Pop_tri_v4:6:18669132:18672615:-1 gene:Potri.006G180100.v4.1 transcript:Potri.006G180100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180100.v4.1 MEVYSLSVQHEQTTLQILQAKLGPEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNGETVSDEYQKDEILSPTYPIVENSSDKENKSETQFAEPGNEKSDSGLPDQSLLKTDDKTQEEDSDEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNLPQSSRFRGKPNNFASPKTSPNDPAASTGLTVPVPKKFAKSASFSTKVNNSGASTGGAEKSSTPKSAPATPASTEQVAKAAPTASPISVQSAGKIFSYKEVALAPPGTIVKAVAEQLPKGNLPMEPSTQGSNEASATDVTSGEVTTLKAAEVDNFLKPEAVKHLPASEGMKSPVDQKKETEEGGLVATEQLEGKKSAVEDRTDKEDNGAEIKIVAVKVNTSEAGNISFLGNENLDTSKDSNTISSPTEVPETQVSDGFPAASPDMEPQSTSTENSGLMEKDASISNEGVEDENTLDPSSDNTNAKALSTEGGKQDETETGKETAKKLSAAAPPFNPSIIIPVFGSVTIPGFKDHGGLLPSPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGFNRSGNRVPRNKPSFNNGEHTGDGNHFSPPRIMNPHAAEFVPGQPWVPDGYSILQNGYMATTNGMPVSPNGFPISPTGIPVSPNGYPALLNGIQATQNEFPASPVSSVERPMLVSVDVRVENKSEAEAENGVETSAIEVGVEDQSGEKEHQEEDVNPEIKENPAELPETSDTVVAIETCDSLPIEEKPSKCWADYSDNEADIVEVAS >Potri.014G146300.3.v4.1 pep chromosome:Pop_tri_v4:14:10010890:10024407:1 gene:Potri.014G146300.v4.1 transcript:Potri.014G146300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146300.v4.1 MALLHKPHTVDKIHKSPLDFEEGEAGGTPIRYVSLDRVYSAASLCGSANVMSKKVKARKLLPHHQHHLHHPRADHPPSLLHVYSRRPKRAPRPSFFDSLVSRAAEPKEAVKSDFCEFEEESMIELNKEKKRRRTGSKELLKLGVDSNILLGFDRPRLRDCRNNTNNSNSKIGDFKRKKRDSMVTSSDKFSALPATSKKWVRLSFDGVDPKSFIGLPCKVYWPMDAEWYSGRVVGHIADTNRYNIEYEDGDKEDLIISNEKVKFFISHEEMERLNLTVSVKSTDGDRYDYNEMVVLAASLDDCQDLDPGDIIWAKVTGHAMWPAIVVDEALIGNHKGLSKNIGGRSVSVQFFGTHDFARIKPKQAISFLKGLLSSFHLKCKQPRFTRSLEEAKMYLSEQKLPRRMLQLQNGMKADSCESASSEDEGSTDSGEDCIQDGGIRRILARLGTSPYVIGDLQIISLGKIVKDSEHFQDDRFIWPEGYTALRKFTSIKDPNVHMMYKMEVLRDAESKIRPLFRVTLDNGEEIKDDRGNTNFVGHILREWGRIELIKGSTPAACWDKIYRKIRKMQDSTSNGFSTEGGVGRILKSGSEMFGFSNPEVIKLIKGLSKSRHSSKLSMCKLSSERYQGIPVGYRPVRVDWKDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRPGAPDSTPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRINKDRWKLLCSICGVAYGACIQCSNNACRVAYHPLCARAAGLCVELEDEDRLYLLSLDEDDADQCIRLLSFCKKHRQPSNERVVTDERVGQIPRRCSDYIPPCNLSGCARTEPYNYFGRRGRKEPEVLAAASLKRLFVENQPYLVGGYSQHESSGCTLASNGLINSGFSSSLQRLRASQLDAPSNILSMAEKYQHMRHTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPPIADRREHFIYNSLVGAGTYMFRIDDKRVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIKRWEELTYDYRFFSIEEKLACYCGFSRCRGVVNDTEAEEQVAKLYAPRSELTDWKGE >Potri.014G146300.1.v4.1 pep chromosome:Pop_tri_v4:14:10010869:10024263:1 gene:Potri.014G146300.v4.1 transcript:Potri.014G146300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146300.v4.1 MALLHKPHTVDKIHKSPLDFEEGEAGGTPIRYVSLDRVYSAASLCGSANVMSKKVKARKLLPHHQHHLHHPRADHPPSLLHVYSRRPKRAPRPSFFDSLVSRAAEPKEAVKSDFCEFEEESMIELNKEKKRRRTGSKELLKLGVDSNILLGFDRPRLRDCRNNTNNSNSKIGDFKRKKRDSMVTSSDKFSALPATSKKWVRLSFDGVDPKSFIGLPCKVYWPMDAEWYSGRVVGHIADTNRYNIEYEDGDKEDLIISNEKVKFFISHEEMERLNLTVSVKSTDGDRYDYNEMVVLAASLDDCQDLDPGDIIWAKVTGHAMWPAIVVDEALIGNHKGLSKNIGGRSVSVQFFGTHDFARIKPKQAISFLKGLLSSFHLKCKQPRFTRSLEEAKMYLSEQKLPRRMLQLQNGMKADSCESASSEDEGSTDSGEDCIQDGGIRRILARLGTSPYVIGDLQIISLGKIVKDSEHFQDDRFIWPEGYTALRKFTSIKDPNVHMMYKMEVLRDAESKIRPLFRVTLDNGEEIKGSTPAACWDKIYRKIRKMQDSTSNGFSTEGGVGRILKSGSEMFGFSNPEVIKLIKGLSKSRHSSKLSMCKLSSERYQGIPVGYRPVRVDWKDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRPGAPDSTPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRINKDRWKLLCSICGVAYGACIQCSNNACRVAYHPLCARAAGLCVELEDEDRLYLLSLDEDDADQCIRLLSFCKKHRQPSNERVVTDERVGQIPRRCSDYIPPCNLSGCARTEPYNYFGRRGRKEPEVLAAASLKRLFVENQPYLVGGYSQHESSGCTLASNGLINSGFSSSLQRLRASQLDAPSNILSMAEKYQHMRHTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPPIADRREHFIYNSLVGAGTYMFRIDDKRVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIKRWEELTYDYRFFSIEEKLACYCGFSRCRGVVNDTEAEEQVAKLYAPRSELTDWKGE >Potri.014G146300.4.v4.1 pep chromosome:Pop_tri_v4:14:10010893:10024167:1 gene:Potri.014G146300.v4.1 transcript:Potri.014G146300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146300.v4.1 MALLHKPHTVDKIHKSPLDFEEGEAGGTPIRYVSLDRVYSAASLCGSANVMSKKVKARKLLPHHQHHLHHPRADHPPSLLHVYSRRPKRAPRPSFFDSLVSRAAEPKEAVKSDFCEFEEESMIELNKEKKRRRTGSKELLKLGVDSNILLGFDRPRLRDCRNNTNNSNSKIGDFKRKKRDSMVTSSDKFSALPATSKKWVRLSFDGVDPKSFIGLPCKVYWPMDAEWYSGRVVGHIADTNRYNIEYEDGDKEDLIISNEKVKFFISHEEMERLNLTVSVKSTDGDRYDYNEMVVLAASLDDCQDLDPGDIIWAKVTGHAMWPAIVVDEALIGNHKGLSKNIGGRSVSVQFFGTHDFARIKPKQAISFLKGLLSSFHLKCKQPRFTRSLEEAKMYLSEQKLPRRMLQLQNGMKADSCESASSEDEGSTDSGEDCIQDGGIRRILARLGTSPYVIGDLQIISLGKIVKDSEHFQDDRFIWPEGYTALRKFTSIKDPNVHMMYKMEVLRDAESKIRPLFRVTLDNGEEVSYDEIKGSTPAACWDKIYRKIRKMQDSTSNGFSTEGGVGRILKSGSEMFGFSNPEVIKLIKGLSKSRHSSKLSMCKLSSERYQGIPVGYRPVRVDWKDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRPGAPDSTPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGLNRINKDRWKLLCSICGVAYGACIQCSNNACRVAYHPLCARAAGLCVELEDEDRLYLLSLDEDDADQCIRLLSFCKKHRQPSNERVVTDERVGQIPRRCSDYIPPCNLSGCARTEPYNYFGRRGRKEPEVLAAASLKRLFVENQPYLVGGYSQHESSGCTLASNGLINSGFSSSLQRLRASQLDAPSNILSMAEKYQHMRHTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPPIADRREHFIYNSLVGAGTYMFRIDDKRVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIKRWEELTYDYRFFSIEEKLACYCGFSRCRGVVNDTEAEEQVAKLYAPRSELTDWKGE >Potri.009G110000.3.v4.1 pep chromosome:Pop_tri_v4:9:9467103:9469849:1 gene:Potri.009G110000.v4.1 transcript:Potri.009G110000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110000.v4.1 MIKTTPSPSPSPHHHHTWAPSPTPTISSTPRATAPSYQSSPPSPSPTSKLPVDFSPTLIAMVVVVAAAFLTITYSRLISRSLLRVIRRWRRWRRRRRRRYPPSSNGGLDSPPPLFDSPEGFHVYSPYGLDDAVIKTIPLSLYTTKNSNSFHKQIKDCAVCLLEFEDDEYVRTLPVCSHAFHVDCIDIWLRSHANCPLCRAGIFRAESPFIPVMAARIRPSLDETILRSTLLPLEPIIQSPLRTYSAVADTATATVTEITPCPEEPSPRRHSMNNFNTNSEDRFNGRDHFFLKRSYSFGFERSLASERMLVMEPATASPWRFRRGGFWSKRPSPFGSISKARVFSFRHYRGMKSPFFRRRGSGFFPLSERFSTVGGGGGGGSSRRCKSMASPQFLRSSVGSSMAAFSSSRLRCGDPEALLSPERFNRR >Potri.011G050300.4.v4.1 pep chromosome:Pop_tri_v4:11:4017347:4021196:-1 gene:Potri.011G050300.v4.1 transcript:Potri.011G050300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050300.v4.1 MKIISSLLLLFSLLSFLQISPILAVKKSYVVYLGRNSHTSKPSTLGNDGMTESYYDLLGSCLKSKEKAKEAIFYSYTSHINGFAATLEDDEVDQLSNRPEVVSVFPNEVNQLHTTRSWEFLGLERNGQIPADSIWLKARFGEDVIIGNLDTGVWPESESFEDEGMGPIPTRWKGYCETNDGVKCNRKLIGARYFNKGYEAALGRPLDSSNNTARDTNGHGTHTLSTAGGRFVSGANFLGSAYGTAKGGSPHARVASYKVCWPGCYDADILAAFDAAIQDGVDILSISLGRAVAIPYFRDGVAIGSFQAVMNGILVVCSAGNSGQVLGFGTTSNVAPWVLTVAASTIDREFPSNVVLGNNKEFKGTSFNTNNLSDRKYYPIVYSVDAKAANASAQLAQICYPESLDPTKVRGKIVYCLGGVMPDVEKSLVVAQAGGVGMILADQTADSSSIRQGFFVPTSLVSAIDGLSVLSYIYSTMSPVAYISGSTEIGKVVAPVMASFSSTGPNEITPEILKPDITAPGVNILAAYTKAPRRLSRLIDRRPLSFNIISGTSMSCPHVSGIAGLLKTMHPDWSAAAIKSAIMTTARTSSTARQPIADASAAEATPFNYGSGHLRPNREMDPGLVYDLTTTDYLNFLCSIGYNATQMSIFIEEPYACPPKNISLLNFNYPSITVPNLSGNVTLTRTLKNVGTPGLYTVRVKKPDGILVKVEPENLKFSKLNEEKTFKVMLKAMDNWFDSSYVFGGLTWSDGVHHVRSPIVVGRQLTQF >Potri.011G050300.1.v4.1 pep chromosome:Pop_tri_v4:11:4013877:4021267:-1 gene:Potri.011G050300.v4.1 transcript:Potri.011G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050300.v4.1 MKIISSLLLLFSLLSFLQISPILAVKKSYVVYLGRNSHTSKPSTLGNDGMTESYYDLLGSCLKSKEKAKEAIFYSYTSHINGFAATLEDDEVDQLSNRPEVVSVFPNEVNQLHTTRSWEFLGLERNGQIPADSIWLKARFGEDVIIGNLDTGVWPESESFEDEGMGPIPTRWKGYCETNDGVKCNRKLIGARYFNKGYEAALGRPLDSSNNTARDTNGHGTHTLSTAGGRFVSGANFLGSAYGTAKGGSPHARVASYKVCWPGCYDADILAAFDAAIQDGVDILSISLGRAVAIPYFRDGVAIGSFQAVMNGILVVCSAGNSGQVLGFGTTSNVAPWVLTVAASTIDREFPSNVVLGNNKEFKGTSFNTNNLSDRKYYPIVYSVDAKAANASAQLAQICYPESLDPTKVRGKIVYCLGGVMPDVEKSLVVAQAGGVGMILADQTADSSSIRQGFFVPTSLVSAIDGLSVLSYIYSTMSPVAYISGSTEIGKVVAPVMASFSSTGPNEITPEILKPDITAPGVNILAAYTKAPRRLSRLIDRRPLSFNIISGTSMSCPHVSGIAGLLKTMHPDWSAAAIKSAIMTTARTSSAARQPIADASAAEATPFNYGSGHLRPNRAMDPGLVYDLTTTDYLNFLCSIGYNATQMSIFIEEPYACPPKNISLLNFNYPSITVPNLSGNVTLTRTLKNVGTPGLYTVRVKKPDGILVKVEPESLKFSKLNEERTFKVILKAKDNWFSSSYVFGGLTWSDGVHHVRSPFVVRKAVNPTSN >Potri.011G050300.3.v4.1 pep chromosome:Pop_tri_v4:11:4013877:4021236:-1 gene:Potri.011G050300.v4.1 transcript:Potri.011G050300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050300.v4.1 MKIISSLLLLFSLLSFLQISPILAVKKSYVVYLGRNSHTSKPSTLGNDGMTESYYDLLGSCLKSKEKAKEAIFYSYTSHINGFAATLEDDEVDQLSNRPEVVSVFPNEVNQLHTTRSWEFLGLERNGQIPADSIWLKARFGEDVIIGNLDTGVWPESESFEDEGMGPIPTRWKGYCETNDGVKCNRKLIGARYFNKGYEAALGRPLDSSNNTARDTNGHGTHTLSTAGGRFVSGANFLGSAYGTAKGGSPHARVASYKVCWPGCYDADILAAFDAAIQDGVDILSISLGRAVAIPYFRDGVAIGSFQAVMNGILVVCSAGNSGQVLGFGTTSNVAPWVLTVAASTIDREFPSNVVLGNNKEFKGTSFNTNNLSDRKYYPIVYSVDAKAANASAQLAQICYPESLDPTKVRGKIVYCLGGVMPDVEKSLVVAQAGGVGMILADQTADSSSIRQGFFVPTSLVSAIDGLSVLSYIYSTMSPVAYISGSTEIGKVVAPVMASFSSTGPNEITPEILKPDITAPGVNILAAYTKAPRRLSRLIDRRPLSFNIISGTSMSCPHVSGIAGLLKTMHPDWSAAAIKSAIMTTARTSSTARQPIADASAAEATPFNYGSGHLRPNRAMDPGLVYDLTTTDYLNFLCSIGYNATQMSIFIEEPYACPPKNISLLNFNYPSITVPNLSGNVTLTRTLKNVGTPGLYTVRVKKPDGILVKVEPESLKFSKLNEERTFKVILKAKDNWFSSSYVFGGLTWSDGVHHVRSPFVVRKAVNPTSN >Potri.007G037700.1.v4.1 pep chromosome:Pop_tri_v4:7:3021918:3023479:1 gene:Potri.007G037700.v4.1 transcript:Potri.007G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037700.v4.1 MQVLTGIKTTMVETKNQKHFVLVHGACHGAWCWQKFKTLLESASNRVTVLDLAASGANMKAIQDVETLDEYTEPLLEFLASLQPKEKVILVGHSLGGLSLALAMEKFPEKIAVAVFLSAFMPDTTHKPSFVLDQYNERTPADSWLDTQFLPYSSSQSHLTTMSFGPKFLSSKLYQLSPPEDLEQAKTMVRPGSLFLYDLSKANSFSTTGYGSVKRVYVICDEDLAIPEEFQRWMIENSAVEEVMEIEGADHMVMFSKPQELFHCLSEIANKHA >Potri.019G075900.10.v4.1 pep chromosome:Pop_tri_v4:19:11702082:11706005:-1 gene:Potri.019G075900.v4.1 transcript:Potri.019G075900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075900.v4.1 MEISKLSHKNLRYLSNPYYNLIFPLLSQQSQPQLFPNPSYPSSTSLCFCNYPSLSLFSSNGSPSVSKFMGFTGCNGRYNGHNSQSLNLYFFKSSIHGYLRGYKTSSFDVYKEMGTGRVRPKAMQKQVAYIIDLIKRDEYDLEYKLGSLSVKLSIASVTLVFHVLNSEKVSALRFFRWIRHWQPELRCNSDICSLVIDNCGRLDDYDAMRSLLNEFNENQLCLTKKAFEFLHVMNVTNESLVESTQRVIVLLLEVRGSCYGWVSSLIEMFSVLGSFDMVEFVMKKTERKISYYYIFIREMCRRCDFKGVRDIQDEMRKEGFELNARIYNYLISCLLKNGEYADACKVLTEMQDKDCPPDALTFEIFIYYCCNNGKTEIACHYFDEIVARGLEPRLSTHAAFIKGFFNSEQYEEAYKYVVDSDKKYKCTSCMNYSLLARLHQKRGNLVIAQNILSEMIKKGLRPYFKVYMKVFNCLNKSGRETLATDLQEQFHQLHSKA >Potri.019G075900.1.v4.1 pep chromosome:Pop_tri_v4:19:11702082:11705986:-1 gene:Potri.019G075900.v4.1 transcript:Potri.019G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075900.v4.1 MEISKLSHKNLRYLSNPYYNLIFPLLSQQSQPQLFPNPSYPSSTSLCFCNYPSLSLFSSNGSPSVSKFMGFTGCNGRYNGHNSQSLNLYFFKSSIHGYLRGYKTSSFDVYKEMGTGRVRPKAMQKQVAYIIDLIKRDEYDLEYKLGSLSVKLSIASVTLVFHVLNSEKVSALRFFRWIRHWQPELRCNSDICSLVIDNCGRLDDYDAMRSLLNEFNENQLCLTKKAFEFLHVMNVTNESLVESTQRVIVLLLEVRGSCYGWVSSLIEMFSVLGSFDMVEFVMKKTERKISYYYIFIREMCRRCDFKGVRDIQDEMRKEGFELNARIYNYLISCLLKNGEYADACKVLTEMQDKDCPPDALTFEIFIYYCCNNGKTEIACHYFDEIVARGLEPRLSTHAAFIKGFFNSEQYEEAYKYVVDSDKKYKCTSCMNYSLLARLHQKRGNLVIAQNILSEMIKKGLRPYFKVYMKVFNCLNKSGRETLATDLQEQFHQLHSKA >Potri.019G075900.7.v4.1 pep chromosome:Pop_tri_v4:19:11702066:11706007:-1 gene:Potri.019G075900.v4.1 transcript:Potri.019G075900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075900.v4.1 MEISKLSHKNLRYLSNPYYNLIFPLLSQQSQPQLFPNPSYPSSTSLCFCNYPSLSLFSSNGSPSVSKFMGFTGCNGRYNGHNSQSLNLYFFKSSIHGYLRGYKTSSFDVYKEMGTGRVRPKAMQKQVAYIIDLIKRDEYDLEYKLGSLSVKLSIASVTLVFHVLNSEKVSALRFFRWIRHWQPELRCNSDICSLVIDNCGRLDDYDAMRSLLNEFNENQLCLTKKAFEFLHVMNVTNESLVESTQRVIVLLLEVRGSCYGWVSSLIEMFSVLGSFDMVEFVMKKTERKISYYYIFIREMCRRCDFKGVRDIQDEMRKEGFELNARIYNYLISCLLKNGEYADACKVLTEMQDKDCPPDALTFEIFIYYCCNNGKTEIACHYFDEIVARGLEPRLSTHAAFIKGFFNSEQYEEAYKYVVDSDKKYKCTSCMNYSLLARLHQKRGNLVIAQNILSEMIKKGLRPYFKVYMKVFNCLNKSGRETLATDLQEQFHQLHSKA >Potri.019G075900.17.v4.1 pep chromosome:Pop_tri_v4:19:11702082:11705952:-1 gene:Potri.019G075900.v4.1 transcript:Potri.019G075900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075900.v4.1 MEISKLSHKNLRYLSNPYYNLIFPLLSQQSQPQLFPNPSYPSSTSLCFCNYPSLSLFSSNGSPSVSKFMGFTGCNGRYNGHNSQSLNLYFFKSSIHGYLRGYKTSSFDVYKEMGTGRVRPKAMQKQVAYIIDLIKRDEYDLEYKLGSLSVKLSIASVTLVFHVLNSEKVSALRFFRWIRHWQPELRCNSDICSLVIDNCGRLDDYDAMRSLLNEFNENQLCLTKKAFEFLHVMNVTNESLVESTQRVIVLLLEVRGSCYGWVSSLIEMFSVLGSFDMVEFVMKKTERKISYYYIFIREMCRRCDFKGVRDIQDEMRKEGFELNARIYNYLISCLLKNGEYADACKVLTEMQDKDCPPDALTFEIFIYYCCNNGKTEIACHYFDEIVARGLEPRLSTHAAFIKGFFNSEQYEEAYKYVVDSDKKYKCTSCMNYSLLARLHQKRGNLVIAQNILSEMIKKGLRPYFKVYMKVFNCLNKSGRETLATDLQEQFHQLHSKA >Potri.002G092600.1.v4.1 pep chromosome:Pop_tri_v4:2:6717072:6720610:1 gene:Potri.002G092600.v4.1 transcript:Potri.002G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092600.v4.1 MALLPVFRTIITHFFKPKTLNPSLSPLFQEPTVKNLSFLAKHKTLPKPHASLNSKTTLLLNGQDQRFMGLNGTDDKSISTTIAAIVTSVGGPPASVGIVRLSGPSAVEIAARVFKPMRKKKKKGFCVWKPTSHVVDYGVVLDHQGNVVDEVLAVPMLAPRSYTREDVVELQCHGTEVCLRRVLRACIEAGARLAEPGEFTLRAFLNGRLDLSQAENVGKLISSKSVAAADAALAGIQGGFASLVKSLRTQCIELLTEIEARLDFDDEMPPLDLNLIMDKIHSMSENVENALKTANYDKLLQSGLQIAIVGRPNVGKSSLLNAWSKSERAIVTEIAGTTRDIVEASITVGGIPVILLDTAGIRVTDDVVEKIGVERSEAVALGADVIVMTVSALDGWTPEDTELLNRIVSKKKSVGSFTPMILVVNKIDCSLSLCSEWVDRGGGSFSKHVFTCAITGQGIQDLEMAISEIVGLNKIPAGGLKWTVNHRQCEQLVRMKEALVRLKSSIEEEMPLDFWTIDLRDAALALGQISGENISEEILSNIFGKFCIGK >Potri.006G112600.1.v4.1 pep chromosome:Pop_tri_v4:6:8736278:8738034:1 gene:Potri.006G112600.v4.1 transcript:Potri.006G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112600.v4.1 MDSESCTDKTNKTQDEQANKANVEPSLGPITPDSSKESGDIPLFSVTLVKKLPKVLSFTSKTNRNEDLFDNFSSPRTPQDGVFDPFAPSHEDNALAPQCKKYYDEARASVACRLNFTSSLRAFRNGSFGDDVEFLSDEEMFESVYESLLEAIVLKQTEGALEEMSKLECGSDDCTTPPAAPKLTRVPDTCPGAPLKQKGKSRIIDLGLCRKLEF >Potri.001G332800.1.v4.1 pep chromosome:Pop_tri_v4:1:34120328:34122990:1 gene:Potri.001G332800.v4.1 transcript:Potri.001G332800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332800.v4.1 MGMVPLISKLYFGAFAFILICLLVSALGQNLLSCQTTSPDASGYHCNSNGLQDQCKTFAILHTSSYFSSLSNLSFYLGLDRFVIAATNGFSANTEFLPKDQPLLIPIDCKCNGGFFQALVTKTTIKGESFYSISKSLEGLTTCKAIREKNPGISPENLNGKVQLQVPLRCACPSSTEVILATRLLLSYPVSAGDTISNLAIKFNTTPEAITSANNRSLTTFKPTSLVPLTSLLIPLGGKPTLGPLAKPNEPNLHIPASSLPVINPHKKRSKMWRIGVYIAVTGAVVGVSIAIAAAFLVIQLKKKKQVLSKEADTELQQLSLSVRTTSDKKVSFDDSQNHFDSQITDTTPGKVFVETYTVEELKRATEDFNSSNQIEGSVYHGRLNGKNLAIKRVQPETISKVELGLFQDATHHHPNIIRVVGTCLSEGPDSFLVFEYAKNGSLKDWLHGGLAMKNQFIASCYCFLTWNQRLKICLDVAVALQYMHHIMHPSYVHRNIKSRNIFLDEEFNAKIGNFGMAGCVEDDTKEPDFNSTNPASWSLGYLAPEAHQGVVSSSTDIFSFGVVLMEVLSGQTPITRPNDNGEGSIWLSKKIKSILLSENADELREWIDSAMGENYSFDEAATLANIARACTEEDPSLRPTSGEIVEKLLRLVEESTEGEQILICESSCKPLVKSTATSV >Potri.018G001950.1.v4.1 pep chromosome:Pop_tri_v4:18:135207:136072:-1 gene:Potri.018G001950.v4.1 transcript:Potri.018G001950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001950.v4.1 MQSALQYEKYCRNLQLQKVKVKVKVKVKQAGSGRKFGKYIRAIVSMENHERRQKKEGKERENRRSIESTSNEKPSGDWLTDLLFSLFLCFCLKIFFLFFFSYNIIISDFVLMMEMKTVAEEY >Potri.005G198200.1.v4.1 pep chromosome:Pop_tri_v4:5:20453222:20453623:1 gene:Potri.005G198200.v4.1 transcript:Potri.005G198200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198200.v4.1 MARQLLLKQIPLSSSGLGLPAVTLLLCAFALFMCASHSRKWRRWSACYGPSDHDPVIQLNTVDTMLGTGEHGIHAGSRDDSMFSGEQVVSVWRKNILMGWKCQLPDFSGVIIYDSDGNVVTPAKNPRPLLTWK >Potri.005G230200.4.v4.1 pep chromosome:Pop_tri_v4:5:22961801:22964948:1 gene:Potri.005G230200.v4.1 transcript:Potri.005G230200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230200.v4.1 MEVKLERKDAADWSYRGEGAANIVLAYTGSSPAFIGKVTRIAKKERNGSPKCDSNQSVLTEEERLLWRDVQELVASPTKEIAEQIYTQLVMSPLLGPKHVDAGMRVPVAREFLECVEKNVIKQRPPWRVDVSTFDMERDSVIIMSDHSLFPGGVLGDGSCISVEIKPKCGFLPLSKFMAEGNSVKRSTTRFRMHQILKLREQEISELSEYDPLDLFSGSKERIHKAIKDLYNTPQNNFRVFLNGSLIFGGSGGGTEKTNAVVGKAFEDMLEGIIQAEDGLRTMSFIQLVGETVYCSRVLDELLEVQKFDNFDIEGAIHAYYNIVSQPCAVCQQLDEAGLPHRCSSLHSIHMDESLKIVKDYLIAATAKDCSLMISFRPTEDGEFGSPYSHVYLQSTNQSFNYKVNFIDLDLRPLKKMEDYYELDKKILNSYSRIL >Potri.005G230200.5.v4.1 pep chromosome:Pop_tri_v4:5:22961521:22965216:1 gene:Potri.005G230200.v4.1 transcript:Potri.005G230200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230200.v4.1 MEVKLERKDAADWSYRGEGAANIVLAYTGSSPAFIGKVTRIAKKERNGSPKCDSNQSVLTEEERLLWRDVQELVASPTKEIAEQIYTQLVMSPLLGPKHVDAGMRVPVAREFLECVEKNVIKQRPPWRVDVSTFDMERDSVIIMSDHSLFPGGVLGDGSCISVEIKPKCGFLPLSKFMAEGNSVKRSTTRFRMHQILKLREQEERIHKAIKDLYNTPQNNFRVFLNGSLIFGGSGGGTEKTNAVVGKAFEDMLEGIIQAEDGLRTMSFIQLVGETVYCSRVLDELLEVQKFDNFDIEGAIHAYYNIVSQPCAVCQQLDEAGLPHRCSSLHSIHMDESLKIVKDYLIAATAKDCSLMISFRPTEDGEFGSPYSHVYLQSTNQSFNYKVNFIDLDLRPLKKMEDYYELDKKILNSYSRIL >Potri.005G230200.1.v4.1 pep chromosome:Pop_tri_v4:5:22961521:22965216:1 gene:Potri.005G230200.v4.1 transcript:Potri.005G230200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230200.v4.1 MEVKLERKDAADWSYRGEGAANIVLAYTGSSPAFIGKVTRIAKKERNGSPKCDSNQSVLTEEERLLWRDVQELVASPTKEIAEQIYTQLVMSPLLGPKHVDAGMRVPVAREFLECVEKNVIKQRPPWRVDVSTFDMERDSVIIMSDHSLFPGGVLGDGSCISVEIKPKCGFLPLSKFMAEGNSVKRSTTRFRMHQILKLREQEISELSEYDPLDLFSGSKERIHKAIKDLYNTPQNNFRVFLNGSLIFGGSGGGTEKTNAVVGKAFEDMLEGIIQAEDGLRTMSFIQLVGETVYCSRVLDELLEVQKFDNFDIEGAIHAYYNIVSQPCAVCQQLDEAGLPHRCSSLHSIHMDESLKIVKDYLIAATAKDCSLMISFRPTEDGEFGSPYSHVYLQSTNQSFNYKVNFIDLDLRPLKKMEDYYELDKKILNSYSRIL >Potri.005G230200.2.v4.1 pep chromosome:Pop_tri_v4:5:22961521:22965216:1 gene:Potri.005G230200.v4.1 transcript:Potri.005G230200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230200.v4.1 MLSAGTVFSIHLNHSLRFFRIYLSLDVSLLGLNYHQHFAATADIFLSFSVMEVKLERKDAADWSYRGEGAANIVLAYTGSSPAFIGKVTRIAKKERNGSPKCDSNQSVLTEEERLLWRDVQELVASPTKEIAEQIYTQLVMSPLLGPKHVDAGMRVPVAREFLECVEKNVIKQRPPWRVDVSTFDMERDSVIIMSDHSLFPGGVLGDGSCISVEIKPKCGFLPLSKFMAEGNSVKRSTTRFRMHQILKLREQEISELSEYDPLDLFSGSKERIHKAIKDLYNTPQNNFRVFLNGSLIFGGSGGGTEKTNAVVGKAFEDMLEGIIQAEDGLRTMSFIQLVGETVYCSRVLDELLEVQKFDNFDIEGAIHAYYNIVSQPCAVCQQLDEAGLPHRCSSLHSIHMDESLKIVKDYLIAATAKDCSLMISFRPTEDGEFGSPYSHVYLQSTNQSFNYKVNFIDLDLRPLKKMEDYYELDKKILNSYSRIL >Potri.005G230200.3.v4.1 pep chromosome:Pop_tri_v4:5:22961521:22965216:1 gene:Potri.005G230200.v4.1 transcript:Potri.005G230200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230200.v4.1 MLSAGTVFSIHLNHSLRFFRIYLSLDVSLLGLNYHQHFAATADIFLSFSVMEVKLERKDAADWSYRGEGAANIVLAYTGSSPAFIGKVTRIAKKERNGSPKCDSNQSVLTEEERLLWRDVQELVASPTKEIAEQIYTQLVMSPLLGPKHVDAGMRVPVAREFLECVEKNVIKQRPPWRVDVSTFDMERDSVIIMSDHSLFPGGVLGDGSCISVEIKPKCGFLPLSKFMAEGNSVKRSTTRFRMHQILKLREQEERIHKAIKDLYNTPQNNFRVFLNGSLIFGGSGGGTEKTNAVVGKAFEDMLEGIIQAEDGLRTMSFIQLVGETVYCSRVLDELLEVQKFDNFDIEGAIHAYYNIVSQPCAVCQQLDEAGLPHRCSSLHSIHMDESLKIVKDYLIAATAKDCSLMISFRPTEDGEFGSPYSHVYLQSTNQSFNYKVNFIDLDLRPLKKMEDYYELDKKILNSYSRIL >Potri.002G260900.2.v4.1 pep chromosome:Pop_tri_v4:2:24900753:24904447:1 gene:Potri.002G260900.v4.1 transcript:Potri.002G260900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260900.v4.1 MEGPYEASSNGEAAKSNLQIIRYSPFKPWTKFVSPWFDLRVFYVRISNFQVDDSTPQFLTLNHIPLSPDTLLEINGSRTSMYSDGVASLLRRDRVDRKSEEATFVSTDSIRSTGSVEFEVFDGKDLVLSGVLEMSSSNGFTGESKSSVKRWRMNCDSHITIGTGFLKGKYNGGSELPPPTIEVYVTGSFSGTPIILTKTLQLTYRKKHNRKGMLDSIPEYETAESTKNASPDHDLQVVDYRSYKLENEEDYSNMYWRRNEYMDGEDGELSWFNAGVRVGVGLGLGICLGIGVGVGLLVRTYKATTHNFKRRLV >Potri.001G057300.2.v4.1 pep chromosome:Pop_tri_v4:1:4323022:4324026:-1 gene:Potri.001G057300.v4.1 transcript:Potri.001G057300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057300.v4.1 MTTSRRLADRKVDRFDKKVTKRGAVGETSTRKGKDYPVGPLLLGFFIFVVIGSSLFQIIRTASSGGMA >Potri.017G030700.1.v4.1 pep chromosome:Pop_tri_v4:17:2056346:2057479:-1 gene:Potri.017G030700.v4.1 transcript:Potri.017G030700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G030700.v4.1 MEKEKSPDILVALIVGVTGMVGFSLAEALKQPTTQGSPWKVYGVARRPLPSWFPSSLIDCFLSLDALDHEDTKNKLFPVAHEITHVFWISRKLRDSEEVNISMNSTMLANVLNALKSAFPSRLRHVTLLTGTKHYMGPIFDPSLRGQLVHQEPPFKEDLGRLPYPNFYYALEDLVVSYLPSITHSVHRSSIIIGASSRSLNNTLLTLSVYATICRYQGLPFLYPGNKYIWEHFCDMSDARVLAEQQIWAAVTEGAKNQAFNCTNGDVFTWKSLWGVLCEVFDVEFVAYEENDEKFDCLAMMKGKGKVWDEIVEKYGLFETKMEDITCFEALNVVLHFGFQHVCSMNKSRESGFQGFADTLKSIPMWVGRLRDMKIIP >Potri.013G152000.1.v4.1 pep chromosome:Pop_tri_v4:13:14805518:14809743:-1 gene:Potri.013G152000.v4.1 transcript:Potri.013G152000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152000.v4.1 MATSLPFLTRPPNKVFSFIALCPQPFKIRPLDPPPFRFPASTLKFLETHYSSSLNLTTHFNNNKDDCNESTFKPDKFYASLIDDSIHKTHLNQIYAKLLVTGLQYGGFLIAKLVNKASNIGEVSCARKLFDKFPDPDVFLWNAIVRCYSRHGFFGHAIEMYARMQVACVSPDGFSFPCVLKACSALPALEMGRRVHGQIFRHGFESDVFVQNGLVALYAKCGEIVRANAVFGRLVDRTIVSWTSIISGYAQNGQPIEALRIFSEMRKTNVRPDWIALVSVLRAYTDVEDLEHGKSIHGCVIKMGLECEFDLLISLTSLYAKCGHVMVARLFFNQVENPSLIFWNAMISGYVKNGYAEDAIELFRLMKSKNIRPDSITVTSSIAACAQIGSLELARWMDEYISMSEFRNDVIVNTSLIDTYAKCGSVDMARFVFDRIPDKDVVVWSAMMVGYGLHGQGRESIILFHAMRQAGVSPNDVTFVGLLTACKNSGLVEEGWDLFHRMRDYGIEPRHQHYACVVDLLGRAGHLDRAYNFVMNMPIEPGVSVWGALLSACKIHRHVTLGEYAAERLFSLDPYNTGHYVQLSNLYASSCLWDCVAKVRVLMREKGLTKHLGYSVIEINGKLQAFQAGDKTHPRSKEIFEEVEDLERRLKEAGFVPHTESVLHDLNYEETEETLCNHSERLAIAYGLISTPPGTTLRITKNLRACDNCHAAIKLISKLVSREIVVRDACRFHHFKDGACSCGDYW >Potri.009G147201.1.v4.1 pep chromosome:Pop_tri_v4:9:11645024:11650912:-1 gene:Potri.009G147201.v4.1 transcript:Potri.009G147201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147201.v4.1 MKHQRHSNVYISRPLSPPRQTPRCGIRDCELEENRRFSHANRSRSPARRENQQHGNVRTREEVEGNRRFSRSYRSRSPVWSEQHRSGQAGREYQQRGYVKTQTPPRKQDEIEGNRRFSRSHRSRSPVWAEPPRSRQDGRERQQLGDVRTRTPPRKQDEIEGNRRFSRSHRSRSPLCAEPPRSRQDGREHQQHCDAITRIPPRKQDEIEGNRRFSLSHRSRSPVWAEPHRSRQDGREHQQHGDVRIRSPPWNREERVTVMRSSGLNQPVEPDPLGSVHRREGDRRFNEERDEHLEKLSQFCESLSKKGSTFNKFQWDNLLAQENKPKNASANLGPSVDPWKTSGVVVDSGVVPTSMSMEYVGPRSHFECCLDATTRGDNHGLIDGGIGNVNVSPFGEPVRERFERRSSSVIDPIVDKVERNDASVVGHHYVERVRVSHSMKQEEKDVKDCRNDHGDYGVFIRERGNMGSLPMESSFQNVKNVRGKTCFVDVIDGNDAALNDHMRENRMLDNPGVFGERYPYAERVSVFHSMELDGRDKDCRSDPVEYGFIQDKNESHLRKTFVRERANVGSLPMESPCENMSVLRKRTCLVDPIADMIDGNDAALRERMRTSRILDNLGGFQERPINVSRVSLRSFEQDDKDEEALVSRNDNMYYEMEECLDPENLKSTEECGFEGDGGSIGSCGDGYKRVPVSYDGLYATENSSQRVVMEQQPGIHGQSEYMLDGQHIFDMEASIHDQRSLISFDWNDLEQMHDVSYRDGKWTNQDNEQYSSLENSGLRHLQSGRDGWMIDDRASHEDAYSFHPLPSTDRHLSELVEPPKSNVKQRLGPLRNVKQRLGSVRNVKQRLGSVRNVKQRLGPAQNACKSLGAAQKAQRKLPWVKIYEEQCLYDSDGTHNIQGVKSSEMKKKHANTEASKDSDEFMHLVQRAFLKFSKLLNENSANKRKYQEKGGNANLKCCVCGSNSKDFVDTLSLAQHTVMSSKGSFRAEHLGLHKALCVLMGWNSAGFPNSQWVRQILPEVEASSLKEDLIIWPPVVVIHNRSIANDNPDERIIVSVEGLRHILRGMGFGQGITNICRGKAANQSTMVVIFGQTFSGLQGAERLHKLYAESKRGRTEFQQIGLHGSLQTQGVSSNTKENVLYGYLGISIDLDKLDFEIKKRCVVKSKKEIKAVADFALNTE >Potri.011G059600.1.v4.1 pep chromosome:Pop_tri_v4:11:4986597:4988126:-1 gene:Potri.011G059600.v4.1 transcript:Potri.011G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059600.v4.1 MVQDTFVRSEGICDVIDPIQERKATELFQAQTHLYGQMFNYLNSMSLVCAAQLGIPDIIHNHGRPITLPELVSALHIPPNKSSCIYRLMRMLVHSGFFATTKAVIGQGGGEEAYVLTPPSQLLVKDNTNCLSPFMSLINPAFVTPWHSLGDWFRGSEPTAFQQAYGMAFWEYNNQNPELNGLFNAAMACDSQMMNLVIRDCKPIFEGLDSMVDVGGGTGSLARIISETFPHMNCTVLEIPQVIANLEGTENLKYVGGDMFQHIPSADAVLLKLIFHGWSDEDCVKILKKCKEAISSKEKGGKVIIVDVVINEKKDEHELTETKLLFDMLMMVVAAGKERSVEEWERLFLEAGFSHYKITSLFGLRSLIEVYP >Potri.003G176900.1.v4.1 pep chromosome:Pop_tri_v4:3:18381085:18383194:1 gene:Potri.003G176900.v4.1 transcript:Potri.003G176900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176900.v4.1 MVTVDEIRKSQRAEGPATIMAIGTSTPPNCVDQSTYPEYYFRITNSEHKAELKEKFKRMCEKSMIKKRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRSSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAALVIGSDPVIGVEKPLFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNVEKSLTEAFKPLGISDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSAEDGLQSTGEGLEWGVLFGFGPGLTVETVVLHSVAPTI >Potri.001G104900.5.v4.1 pep chromosome:Pop_tri_v4:1:8452408:8458160:1 gene:Potri.001G104900.v4.1 transcript:Potri.001G104900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104900.v4.1 MDGREAMPFSSGSSPYHIHRGSGFLGPGYGSQHGVSHPPPGFRSLSNPQLAAQSNVRSGSTVPAFSIEPPDVNFGHGINMAATSEVQVGEPVKKKRGRPRKYGLVGQVSLGLSPLPNKPKPSSGEDSSTSKRNRGRPPGSGRKQQLATLGEWMNSSAGVAFSPHVISIEVGEDIVSKLLSFSQQRPRAVCILSGTGTVSSVTLRQPASSGSSITYEGRFEILCLSGSYLVAEDGGPRNRTGGISASLSSPDGHVIGGAIAMLIAASPVQVVACSFVYGVSKKDKQVSHPINEKDSTSWPDDNLDNLKAVTPTSMPPQSFTSSPTSIWPGPRAVDMRNPHTDIDLTRG >Potri.010G159700.1.v4.1 pep chromosome:Pop_tri_v4:10:16575182:16579006:-1 gene:Potri.010G159700.v4.1 transcript:Potri.010G159700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159700.v4.1 MGFKRPFDYEEFQDLPFKQARQVDYCNKLTQFSETGAHSYMPLKPDITADDCGNSFVKPLWHETFENDKVIEVSNLAKDSDFSAPLSLVTCSSSDENFESRMATSPEYFQFEFPRKMSMPLKDVHSFYLDDFPRKQVPLGPNHQASIPLWDNHIKKDKLVQFFNPNSSSLSESDHHIYNDNEEKLMGTCIIPMPDTELQLCSRYEAGCGRSDCGCLDEGSFRCVRQHIMEAREELIKSIGHEKCVNLGFYDMGEEVACKWTKEEERVFHEVVYSRPASLGHNFWKHLAQVFPDRTTKEIVSYYFNVFMLRKRAAQNRSNPLDIDSDDDEFPQIHRGSHIQVVEEDEDSDLESPVDQYDHAGLEEDILEDDDNDDDDDGGGDFDGDFGDGDGDTTGEGSGIDYSEAIDINCIDPVVKHMNKNAGSDGLDFTVQDDSCMSFEFQADKVDPCAPGDSRAALHVNRGTSDHSKCMPSEVDGCDDDVDQVYLLDPCDTKDWDARYLSPIRGVDLLPTSNIIEEIFGHGACEDRTRDVNSIS >Potri.010G159700.4.v4.1 pep chromosome:Pop_tri_v4:10:16575632:16579046:-1 gene:Potri.010G159700.v4.1 transcript:Potri.010G159700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159700.v4.1 MGFKRPFDYEEFQDLPFKQARQVDYCNKLTQFSETGAHSYMPLKPDITDDCGNSFVKPLWHETFENDKVIEVSNLAKDSDFSAPLSLVTCSSSDENFESRMATSPEYFQFEFPRKMSMPLKDVHSFYLDDFPRKQVPLGPNHQASIPLWDNHIKKDKLVQFFNPNSSSLSESDHHIYNDNEEKLMGTCIIPMPDTELQLCSRYEAGCGRSDCGCLDEGSFRCVRQHIMEAREELIKSIGHEKCVNLGFYDMGEEVACKWTKEEERVFHEVVYSRPASLGHNFWKHLAQVFPDRTTKEIVSYYFNVFMLRKRAAQNRSNPLDIDSDDDEFPQIHRGSHIQVVEEDEDSDLESPVDQYDHAGLEEDILEDDDNDDDDDGGGDFDGDFGDGDGDTTGEGSGIDYSEAIDINCIDPVVKHMNKNAGSDGLDFTVQDDSCMSFEFQADKVDPCAPGDSRAALHVNRGTSDHSKCMPSEVDGCDDDVDQVYLLDPCDTKDWDARYLSPIRGVDLLPTSNIIEEIFGHGACEDRTRDVNSIS >Potri.010G159700.3.v4.1 pep chromosome:Pop_tri_v4:10:16575533:16579046:-1 gene:Potri.010G159700.v4.1 transcript:Potri.010G159700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159700.v4.1 MGFKRPFDYEEFQDLPFKQARQVDYCNKLTQFSETGAHSYMPLKPDITDDCGNSFVKPLWHETFENDKVIEVSNLAKDSDFSAPLSLVTCSSSDENFESRMATSPEYFQFEFPRKMSMPLKDVHSFYLDDFPRKQVPLGPNHQASIPLWDNHIKKDKLVQFFNPNSSSLSESDHHIYNDNEEKLMGTCIIPMPDTELQLCSRYEAGCGRSDCGCLDEGSFRCVRQHIMEAREELIKSIGHEKCVNLGFYDMGEEVACKWTKEEERVFHEVVYSRPASLGHNFWKHLAQVFPDRTTKEIVSYYFNVFMLRKRAAQNRSNPLDIDSDDDEFPQIHRGSHIQVVEEDEDSDLESPVDQYDHAGLEEDILEDDDNDDDDDGGGDFDGDFGDGDGDTTGEGSGIDYSEAIDINCIDPVVKHMNKNAGSDGLDFTVQDDSCMSFEFQADKVDPCAPGDSRAALHVNRGTSDHSKCMPSEVDGCDDDVDQVYLLDPCDTKDWDARYLSPIRGVDLLPTSNIIEEIFGHGACEDRTRDVNSIS >Potri.001G120200.1.v4.1 pep chromosome:Pop_tri_v4:1:9795139:9798125:1 gene:Potri.001G120200.v4.1 transcript:Potri.001G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120200.v4.1 MSSSSSLSLDHLPPSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLFLPSASQFPLGHNLYSPSHNLLDDQIPNPTPNFLINQTHVNDFSVTVRGMADHELPRPPVIHRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQAMKKNNVRQQEGEDVLINDGFFASSNVGVTTPY >Potri.003G138100.1.v4.1 pep chromosome:Pop_tri_v4:3:15502465:15507377:1 gene:Potri.003G138100.v4.1 transcript:Potri.003G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138100.v4.1 MAPPPKPDPFPADSQREVDAGAVFVLQSKGEWWHAGFHLTTAIVGPTILTLPYVFKGLGWALGFFCLTVMGMVTFYAYYLMSKVLDYCEKDGRRHIRFRELAADVLGSGWMFYFVIFIQTAINTGVGIGAILLAGECLQIMYSSLSPDGPLKLYEFIAMVTVVMIVLSQLPTFHSLRHINLASLFLSLGYTFIVVGACVQAGLSKNAPSRDYSLESSGSARVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYTVILLTFYSASVSGYWAFGNKSNSNIIKSLMPDEGPSLAPTWVLGLGVIFVLLQLFAIGLVYSQVAYEIMEKKSADVKQGMFSRRNLIPRLILRTLYMIFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPPKSSLIYWVNLSIMVVFTGAGLMGAFSSMRKLILDANKFKLFSSNVVD >Potri.003G138100.3.v4.1 pep chromosome:Pop_tri_v4:3:15502505:15507396:1 gene:Potri.003G138100.v4.1 transcript:Potri.003G138100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138100.v4.1 MKIMYSSLSPDGPLKLYEFIAMVTVVMIVLSQLPTFHSLRHINLASLFLSLGYTFIVVGACVQAGLSKNAPSRDYSLESSGSARVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYTVILLTFYSASVSGYWAFGNKSNSNIIKSLMPDEGPSLAPTWVLGLGVIFVLLQLFAIGLVYSQVAYEIMEKKSADVKQGMFSRRNLIPRLILRTLYMIFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTYKPPKSSLIYWVNLSIMVVFTGAGLMGAFSSMRKLILDANKFKLFSSNVVD >Potri.005G239150.1.v4.1 pep chromosome:Pop_tri_v4:5:23649232:23650848:-1 gene:Potri.005G239150.v4.1 transcript:Potri.005G239150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239150.v4.1 MTEESRINDARQMYASCVGKRFQLEHCWVILRKEPKWQFERASQHQRSNKKQKGHVNASPASSTPSTPDSVSLGEDSEPLIYQDRPIGQKAANERFKRRQGRDKVGDVTVTNLLQQFRDTLVEIED >Potri.014G193200.2.v4.1 pep chromosome:Pop_tri_v4:14:17065584:17067367:-1 gene:Potri.014G193200.v4.1 transcript:Potri.014G193200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193200.v4.1 MYVAITDGVDVLSLSLGGFPLPLFTATIAIGSFRAMEHGISVVCTAGNNGPIENSVANKAPWIATVSASTLHKRFPALVQIDNGQFLYGESMYPGNQLSPTKEFELVYVTGEDNGSEFCFRGSLPRAKVGGKMVVCDRVNGRTEKGPAVKESGGAAMILTNTAINLEEDSFDVHVLPATLIGFDEALRLKAYINSTSKPKARIVFGGTVIRKSRAPAAEQFSARGPSYTNPSILKPDVIATAVNIIAAWPQNLGKSIFISI >Potri.007G068500.1.v4.1 pep chromosome:Pop_tri_v4:7:8903293:8906119:1 gene:Potri.007G068500.v4.1 transcript:Potri.007G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068500.v4.1 MGIDRKGKEKKSRKRTSSLSSSEDEGRRRKRRRSDDERRNRKSDKKEKRKDKKSHRHHSDKEKKSKDKHKSKRHEGDHHSKSEFQELSNDDYFSKNNEFATWLKEKKKMFFSDLSSESARELFSVFVKDWNSQKLESRYYEGISSAPRSAHNWAFKR >Potri.001G309300.1.v4.1 pep chromosome:Pop_tri_v4:1:31969354:31971426:1 gene:Potri.001G309300.v4.1 transcript:Potri.001G309300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309300.v4.1 MFLKLDSMASKLVNPASQVGHPVPRMRKMLKTHSRSVPAIIHPWTRRKKIADGVRPIHTIKVAEQSPGLVDDNKEINENDKDNREVEQIKADLYQAVQVINRGIFGVPSAKKSAILGLVELLESQNPTPDPTLNLEKVGGRWKLVYSTITILGSKRTKLGLRDFITLGDFFQNIDVAKGKAVNVINFNVRGLNLLNGQLTIEASFKIASKSRVDINYESSTIIPDQLMNLFRKNYDLLLSIFNPDGWLEITYVDDNMRIGRDDSGNIFILERSQESET >Potri.007G030050.1.v4.1 pep chromosome:Pop_tri_v4:7:2294963:2299464:1 gene:Potri.007G030050.v4.1 transcript:Potri.007G030050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030050.v4.1 MQESNGASGYFLEGQSANKKKDLGAIRLTEVTVSDKLEGFRASKEHFRGLSFPTISSVCPNAAIIHYSPHAETCAELNPDSIYLFDSGAQYLDGTTDITRTVHFGNPSTHEKASYTAVLKGHIALGNACFPNGTNGHALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVPLQASMTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGDKGYLSFEHITWVSTCASIKFLQ >Potri.007G030050.2.v4.1 pep chromosome:Pop_tri_v4:7:2296100:2299828:1 gene:Potri.007G030050.v4.1 transcript:Potri.007G030050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030050.v4.1 MKAHKMAKLDMTLRHFPHINGMCYGRGAIRLTEVTVSDKLEGFRASKEHFRGLSFPTISSVCPNAAIIHYSPHAETCAELNPDSIYLFDSGAQYLDGTTDITRTVHFGNPSTHEKASYTAVLKGHIALGNACFPNGTNGHALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVPLQASMTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGDKGYLSFEHITWSYYIKQR >Potri.007G030050.3.v4.1 pep chromosome:Pop_tri_v4:7:2294963:2299852:1 gene:Potri.007G030050.v4.1 transcript:Potri.007G030050.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030050.v4.1 MQESNGASGYFLEGQSANKKKDLGAIRLTEVTVSDKLEGFRASKEHFRGLSFPTISSVCPNAAIIHYSPHAETCAELNPDSIYLFDSGAQYLDGTTDITRTVHFGNPSTHEKASYTAVLKGHIALGNACFPNGTNGHALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVPLQASMTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGDKGYLSFEHITWSYYIKQR >Potri.004G095200.1.v4.1 pep chromosome:Pop_tri_v4:4:8185740:8186468:-1 gene:Potri.004G095200.v4.1 transcript:Potri.004G095200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095200.v4.1 MELAPSFFNGSWKRYWRRKRYQRLDGAITARKNTNVARFGGSPRRTWKIKAVPKLRILKNIASPTKLLRKLKNAYISMILSVAGNADGTHVFGDKRVPRGRQVKAAYPSEAFEKRLVYEIYKNLLATRELSTM >Potri.008G011800.7.v4.1 pep chromosome:Pop_tri_v4:8:594303:600163:-1 gene:Potri.008G011800.v4.1 transcript:Potri.008G011800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011800.v4.1 MDGEVVDVEVREGNKHLAVIADPNETEKQNTTGNYTEAAVRNQDDDGIALPQVGMEFESEDAAKTFYDTYAKRMGFSTHVGQFTRSRPDGPIVTWEFACSKEVFKRKNIESCNAVLRIVRKDSHSDNWAVTKFVEEHNHSLGTPGKVLRPRRHFAGATKNMAETLDATNDVYVSTDGSHVPHEPNHVRNAFPVEPNNLVRNVAPLPATYFRAPGGRKSLGRDAQSLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWADARSRIAYSHFGDAVVFDTMYRPNQYQVPFAPFTGMNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNGQPPVSFTTDQDRAIHMAVALVFPETRHCICKWHILREGQDRLAHIYLAHPSFYGELYSCINFSETNEDFESSWASLLEKYDLQRIEWLQAVYNARQQWAPVYFRNTFFAALSSNHGISSLFDGYVNQQTTIPLFFKQYELVLEHSLEKEIEADYDTICTTPVLKTPSPMEQQAANLYTKKVFTKFQEELVETFVYTANKIERDGMATKYRVAKYEHDDKAYIVMLNISEMQASCSCQMFEYCGILCRHILTVFTVTNILTLPSHYILKRWTRNAKSWIGSEEQSADSQGLDTLTSRFNNLCLEAIKYAEEGAIAIETYNAAISNLKEGGTKIASVKKSVAKVTPYRSHFSGNSQEENNKKTPTAPHEMIPSLWPWQDAMPPRFNLNDGGVPCADLNQPSMAPVSIHRDGGPTDNSVVLTYFKSMTWVIENKTLTPAGKVAVINLKLQDYGKNPSGETEVQFRLTKVTLEPMLRSMAYISQQLSTPANRVAVINLKLQDTKTTTGETELKFQVSRDTLGSMLRSMAYIREQL >Potri.008G011800.2.v4.1 pep chromosome:Pop_tri_v4:8:594305:600199:-1 gene:Potri.008G011800.v4.1 transcript:Potri.008G011800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011800.v4.1 MDGEVVDVEVREGNKHLAVIADPNETEKQNTTGNYTEAAVRNQDDDGIALPQVGMEFESEDAAKTFYDTYAKRMGFSTHVGQFTRSRPDGPIVTWEFACSKEVFKRKNIESCNAVLRIVRKDSHSDNWAVTKFVEEHNHSLGTPGKVLRPRRHFAGATKNMAETLDATNDVYVSTDGSHVPHEPNHVRNAFPVEPNNLVRNVAPLPATYFRAPGGRKSLGRDAQSLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWADARSRIAYSHFGDAVVFDTMYRPNQYQVPFAPFTGMNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNGQPPVSFTTDQDRAIHMAVALVFPETRHCICKWHILREGQDRLAHIYLAHPSFYGELYSCINFSETNEDFESSWASLLEKYDLQRIEWLQAVYNARQQWAPVYFRNTFFAALSSNHGISSLFDGYVNQQTTIPLFFKQYELVLEHSLEKEIEADYDTICTTPVLKTPSPMEQQAANLYTKKVFTKFQEELVETFVYTANKIERDGMATKYRVAKYEHDDKAYIVMLNISEMQASCSCQMFEYCGILCRHILTVFTVTNILTLPSHYILKRWTRNAKSWIGSEEQSADSQGLDTLTSRFNNLCLEAIKYAEEGAIAIETYNAAISNLKEGGTKIASVKKSVAKVTPYRSHFSGNSQEENNKKTPTAPHEMIPSLWPWQDAMPPRFNLNDGGVPCADLNQPSMAPVSIHRDGGPTDNSVVLTYFKSMTWVIENKTLTPAGKVAVINLKLQDYGKNPSGETEVQFRLTKVTLEPMLRSMAYISQQLSTPANRVAVINLKLQDTKTTTGETELKFQVSRDTLGSMLRSMAYIREQL >Potri.008G011800.3.v4.1 pep chromosome:Pop_tri_v4:8:594299:600103:-1 gene:Potri.008G011800.v4.1 transcript:Potri.008G011800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011800.v4.1 MDGEVVDVEVREGNKHLAVIADPNETEKQNTTGNYTEAAVRNQDDDGIALPQVGMEFESEDAAKTFYDTYAKRMGFSTHVGQFTRSRPDGPIVTWEFACSKEVFKRKNIESCNAVLRIVRKDSHSDNWAVTKFVEEHNHSLGTPGKVLRPRRHFAGATKNMAETLDATNDVYVSTDGSHVPHEPNHVRNAFPVEPNNLVRNVAPLPATYFRAPGGRKSLGRDAQSLLNYFKKMQAENPGFYYAIQLDDENRMTNVFWADARSRIAYSHFGDAVVFDTMYRPNQYQVPFAPFTGMNHHGQMVLFGCALLLDESESSFTWLFRTWLSAMNGQPPVSFTTDQDRAIHMAVALVFPETRHCICKWHILREGQDRLAHIYLAHPSFYGELYSCINFSETNEDFESSWASLLEKYDLQRIEWLQAVYNARQQWAPVYFRNTFFAALSSNHGISSLFDGYVNQQTTIPLFFKQYELVLEHSLEKEIEADYDTICTTPVLKTPSPMEQQAANLYTKKVFTKFQEELVETFVYTANKIERDGMATKYRVAKYEHDDKAYIVMLNISEMQASCSCQMFEYCGILCRHILTVFTVTNILTLPSHYILKRWTRNAKSWIGSEEQSADSQGLDTLTSRFNNLCLEAIKYAEEGAIAIETYNAAISNLKEGGTKIASVKKSVAKVTPYRSHFSGNSQEENNKKTPTAPHEMIPSLWPWQDAMPPRFNLNDGGVPCADLNQPSMAPVSIHRDGGPTDNSVVLTYFKSMTWVIENKTLTPAGKVAVINLKLQDYGKNPSGETEVQFRLTKVTLEPMLRSMAYISQQLSTPANRVAVINLKLQDTKTTTGETELKFQVSRDTLGSMLRSMAYIREQL >Potri.019G030500.1.v4.1 pep chromosome:Pop_tri_v4:19:4270915:4272657:-1 gene:Potri.019G030500.v4.1 transcript:Potri.019G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030500.v4.1 MGFLSNDQLTFLFGLLGNIVAAGMFLAPVPTFYTIFKRKSSEGFQSIPYSVALMSASLLLYYGLLKTNAYLLISINSIGCAFEVTYLIIYLIYAPKQEKMHTMKLLLIFNMGSFGVVLLLTMLLMKGKPRLSVVGWICAVFSVAVCAAPLSIMRRVVRTKSVEYLPFTLSASITLNAVMWFFYGLLQHDYYIALPNVLGFLLGIAQMILYMVYKNLKKNVEEKSEQLAGNMEVVQMTKETESCTVDDPHMETKICICDL >Potri.011G038512.1.v4.1 pep chromosome:Pop_tri_v4:11:3001488:3002427:-1 gene:Potri.011G038512.v4.1 transcript:Potri.011G038512.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038512.v4.1 MARIFCGNEDQVNTTRVVGTYGYMSPEYLMKGRFSEKSDVFSFGVLLLEIVSGRKNSSFYDNEHSLSLIGFAWKLWNEGDITALVVPAISDPCFQVEIFRCIHIGLLCMQELAKDRPAVSTITSMLNSEIVDLPPPKKPAFVERQSSLDTESITQNQEINSINNVTISDVNGR >Potri.009G052400.1.v4.1 pep chromosome:Pop_tri_v4:9:5709904:5714773:-1 gene:Potri.009G052400.v4.1 transcript:Potri.009G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052400.v4.1 MDKVSTITTLTTRRPKWQYPPAQPTPRILHLPRRPRRKPQVPKANATKPSSQRDEKGKLEALFDQERGFARGVVPIMMASGSRGYQCFEEERRERVEERESVVMEEEKWRFQAEMLRAECNLLRMEREIAVKKMERRRVQMERILRSAVETLLSGRKGICDGKDASMVLDEEIQELVEKLEKLQRRSGVKDLEGRKCSNLDRQVSLLQRGLKNFGGESDEKCVKEIQEVAEASMSIKTNCSVHETFASNRSCNQMEILRRKMEGLSNRSLLERMEDEYGSMHSTASSSAANYASSSKRIIEFPDKPSSSTRQPCKEEKTCSGCCKIIVRSVIEQVQAETEQWSQMQEMLGQVRNEMEELQASRDFWEDRALDSDCQIQSLNSAVQEWRQKALSSEAKANELQNQVAVLQEELERLRKERVKETSRSKNLPSISLEAPNETEKRVLVCRLKENRNTNDDCRQKKAFSDGSRKPHACAGGLDAPKRSLFRDIGNSSPLARQNSRAVFPLHYPVQENFKF >Potri.009G052400.2.v4.1 pep chromosome:Pop_tri_v4:9:5709904:5714773:-1 gene:Potri.009G052400.v4.1 transcript:Potri.009G052400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052400.v4.1 MDKVSTITTLTTRRPKWQYPPAQPTPRILHLPRRPRRKPQVPKANATKPSSQRDEKGKLEALFDQERGFARGVVPIMMASGSRGYQCFEEERRERVEERESVVMEEEKWRFQAEMLRAECNLLRMEREIAVKKMERRRVQMERILRSAVETLLSGRKGICDGKDASMVLDEEIQELVEKLEKLQRRSGVKDLEGRKCSNLDRQVSLLQRGLKNFGGESDEKCVKEIQEVAEASMSIKTNCSVHETFASNRSCNMEILRRKMEGLSNRSLLERMEDEYGSMHSTASSSAANYASSSKRIIEFPDKPSSSTRQPCKEEKTCSGCCKIIVRSVIEQVQAETEQWSQMQEMLGQVRNEMEELQASRDFWEDRALDSDCQIQSLNSAVQEWRQKALSSEAKANELQNQVAVLQEELERLRKERVKETSRSKNLPSISLEAPNETEKRVLVCRLKENRNTNDDCRQKKAFSDGSRKPHACAGGLDAPKRSLFRDIGNSSPLARQNSRAVFPLHYPVQENFKF >Potri.010G074800.7.v4.1 pep chromosome:Pop_tri_v4:10:10275794:10280312:-1 gene:Potri.010G074800.v4.1 transcript:Potri.010G074800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074800.v4.1 MEISPPLHLCHVSKTSIFINRLHGLLHSIAIAFLIYYRASFLFQEPQTKATVPMLLWLLVFVAELLLSFIWLIGQAYHWHPVSRTVFPERLPEDDKLPAIDVFICTVDPDKEPTLDVMNTVLSAMALDYPAEKLNLYLSDDGGAAVTLHGMKEAWRFAKSWLPFCKKYGIKTRCPKAYFSATSKDDDSFGSSNEFMADRQIIQEKYEDFKERVMRFREDFVLEETKSDITGRDHPALIEVIQDNSNEEAPKDEANEMPLLVYVSREKRPSHPHHFKAGALNVLLRVSGVISNSPHILVLDCDMYCNDPTSARQAMCFFFDPNISSSLAFVQFPQRFHNISKHDIYDSQLRSTFGILWQGLDGLKGPVLSGTGFYIKRNSLYGDSMQKGCNLLELRDTFGLSNEFVNSIRQNYKANPMSYGSVSSMLLQETRILASCDYPRHTKWGEEVGFLYHSVAEDFFTGFILHCKGWLSVYLNPSRPQFLGTSITSLNDLLIQGTRWSSGLVEVGLSRFCPLIYGTLRMSFLESLCYAEISLFPLFYCLPLWCFATIPQLCLLNGIPLYPKVSSSFFIVFSFIFLSAVSKHLYEVLKSGGSINTLVYEQRLWMMKSVSTHTYGSLDAVMKRIGVREASFLPTNKAADEEKFKLYQMGKFDFKTSSMLLVPMVTVIILNMASFVLGVIRIIIAGNWDSMVVQVFLSSYILVMNSAIIEGMTIRKDKGCIPLSVIVLSTVFSIIFLCLGSFFLMY >Potri.010G175701.1.v4.1 pep chromosome:Pop_tri_v4:10:17606969:17609029:1 gene:Potri.010G175701.v4.1 transcript:Potri.010G175701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175701.v4.1 MGKNFVKYFVVDAFTDSAFKGNPAAVCFLESEKDEKWLQDVAAEFNISQTGYLTPITSDVAVTSNPRFRLRWFTPVAEVNLCGHATLAASHTLFSNGLVSSDIIEFDTLSGILTAKKVPESINPESVSNGEAKESFLIELDFPVVPTTEFSIDTVSISKALNSAPIIDLKKTTTFGDLFVVLPSGKDVAEINPEFGEILKCPGRGVIVSGVAPPESGFDFYSRFFCPKLGINEDPVCGSAHCALAPYWSKKLGKCDFMAYQASPRSGILDIHLDEQNQRVLLRGKAVTVMEGSVLV >Potri.012G110900.2.v4.1 pep chromosome:Pop_tri_v4:12:13092966:13093520:1 gene:Potri.012G110900.v4.1 transcript:Potri.012G110900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110900.v4.1 MAFRSTGYWKWLTSRLRGNAHATSTSPKRQVYAGPAADFGYLDLVEEVRKTSVKADFTYTGLLKAPNVRVKKKLRETIPVVVVPDKVVDEGKKFVEKSFYRKAAHVQEFEIGIQYMPNPICKDVYAHPLKAETLKSVGIEPQQL >Potri.014G131800.1.v4.1 pep chromosome:Pop_tri_v4:14:8813288:8813884:-1 gene:Potri.014G131800.v4.1 transcript:Potri.014G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131800.v4.1 MANSYRYLFLVLLLSALIISSSTVDASEDHNLNWVPTRARGCQGTVAECMGNDEFEMDSEINRRILATSNYISYDALGKNNVPCSQRGASYYNCKTGAEANPYSRGCSAITRCRS >Potri.002G244801.1.v4.1 pep chromosome:Pop_tri_v4:2:23622209:23627939:-1 gene:Potri.002G244801.v4.1 transcript:Potri.002G244801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244801.v4.1 MPVGADGRIVLSFNLLNADPSDWTYDWDFRKIDETLLAPMIDALGPIANISVESQVLYHTPKFSVSSWDEKLGGYIFSTKDLPFFVNSNEWHLDTSIAAGGRSKILQFVVYVPSAKECPLLLQLPNGEISKTNAFISPMWGGVMVWNPQSCSRDSDSELLVRHIMSPEDLQKVFEVFVGQFRQLFGLKSGSLHVGAMGTYSLLASEKGFTEWELDVLSRQHTCFNIHSSATTLGSLSKLVQSLPRMIIMDEIGKQVKFSLEAAKLARVNASLGFYDASAVSSRQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVSMHVLLAALREWRRYKQEKAKLLAMEG >Potri.001G143200.1.v4.1 pep chromosome:Pop_tri_v4:1:11792930:11800598:1 gene:Potri.001G143200.v4.1 transcript:Potri.001G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G143200.v4.1 MADIEQVLGDLNKDSFVSLLTNLIGESKYVQNNPPELIPEEDRVVKHVLNSLLPYSTTTGGGPLIVNHVSYFPQRGNLIVEYPGTEQGKILSFVGMHMDVVTANPNDWEFDPFSLSIDGEKLRGRGTTDCLGHVALVTELMKKLGETKPKLKSTVVAVFIANEENSAITGVGVDALVKDGLLNKLKGGPLYWIDTADKQPCIGTGGMIPWKLHVTGKLFHSGLPHKAINPLELGMEALKEIQSRFYRDFPPHKEEQVYGFATPSTMKPTQWSYPGGGINQIPAECTISGDVRLTPFYSVEDVMSKLQKHVDDINENIEKLGTRGPVSKYVLPEENLRGSLAVTFDEASSGVACNLKSRGFEVLCKATEKIVGHVKPYSITGTLPLIRELKDEGFDVQTAGYGLMATYHAKNEYCLLSDMCQGYQIFTSIISQLEN >Potri.004G038700.1.v4.1 pep chromosome:Pop_tri_v4:4:3085319:3087896:1 gene:Potri.004G038700.v4.1 transcript:Potri.004G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038700.v4.1 MGSSTLLLLVVFVFDLVAFALAVAAEQRRNTAKIEKVGNDSYCRYDSDIATGLGVGSLLLLMASQLLIMVASRCLCCGKAMRPSGSRAWAIVLFITCWVFFFIAEICLLVASVRNGYHTKYLSDPSHSCQKVRKGIFGAGAAFVVLTGIVSELYYVSYSKANDEQPSYDRDTGIRMGNL >Potri.014G182410.1.v4.1 pep chromosome:Pop_tri_v4:14:14343531:14348190:1 gene:Potri.014G182410.v4.1 transcript:Potri.014G182410.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182410.v4.1 MGPSQNPMLLDLASSPKWFFKRALLLSLHNSLKAGFDNFLSEVRDSIKTSVNKGGNVVQSLLDTIASSITSIKEGASEAVDGALSKVFSSFDQTGELVGDRLTSFSSGLREATQKATGTSVDVLRVAIVVVEESIAKGASFVVYSYGPAKNLLPP >Potri.001G225700.1.v4.1 pep chromosome:Pop_tri_v4:1:24341105:24343037:-1 gene:Potri.001G225700.v4.1 transcript:Potri.001G225700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225700.v4.1 MAIPYHHFNLVTSEKPDMEMLFPSPVVLTQDELKRIAAYKAVEMVESGMVVGLGTGSTAKHAVDRIGELLHQGKLKNIIGIPTSTKTHEQALSLGIPLSDLDSHPVLDIAIDGADEIDPNLNLVKGRGGSLLREKMVEGVCKKFVVIVDESKLVKYIGGSGLAMPVEIVPFCWKFTAKRLQELFEYAGCVAKLRTFASCNGMENGGLPFVTDNGNYVVDLYFKRDIGDLKAASDAILRLAGVVEHGMFLDMATTVIVAGDLGVTIKNKIIK >Potri.004G237000.1.v4.1 pep chromosome:Pop_tri_v4:4:24126461:24128418:1 gene:Potri.004G237000.v4.1 transcript:Potri.004G237000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G237000.v4.1 MNMSSSSSSVQVQMHVEPFHFEKCQSMSQLRQYHSQIIRLGLSSHNHLIPPLINFCARASTSDALTYALKLFDSIPQPDAFLYNTIIKGFLHSQLLPTNSILLLYSHMLQNSVLPNNFTFPSLLIACRKIQHGMQIHAHLFKFGFGAHSVCLNSLIHMYVTFQALEEARRVFHTIPHPDSVSWTSLISGYSKWGLIDEAFTIFQLMPQKNSASWNAMMAAYVQTNRFHEAFALFDRMKAENNNVLDKFVATTMLSACTGLGALDQGKWIHEYIKRNGIELDSKLTTAIVDMYCKCGCLEKALQVFHSLPLPCRWISSWNCMIGGLAMHGNGEAAIQLFKEMERQRVAPDDITFLNLLTACAHSGLVEEGRNYFSYMIRVYGIEPRMEHFGCMVDLLGRAGMVPEARKLIDEMPVSPDVTVLGTLLGACKKHRNIELGEEIGRRVIELEPNNSGRYVLLANLYANAGKWEDAAKVRKLMDDRGVKKAPGFSMIELQGTVHEFIAGERNHPQAKELHAKVYEMLEHLKSVGYVADTNGVLHGHDFDEEEDGENPLYYHSEKLAIAFGLSRTKPGETLRILKNLRICEDCHHACKLISTVFDREIIVRDRTRFHRFKMGQCSCQDYW >Potri.010G197500.1.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYGDFVSSYAERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSKYGLRRTLDHIRLTGNFPL >Potri.010G197500.5.v4.1 pep chromosome:Pop_tri_v4:10:19078857:19084708:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSKYGLRRTLDHIRLTGNFPL >Potri.010G197500.10.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084708:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSNPL >Potri.010G197500.14.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPSLLDADC >Potri.010G197500.18.v4.1 pep chromosome:Pop_tri_v4:10:19078932:19084689:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSKYGLRRTLDHIRLTGNFPL >Potri.010G197500.12.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYGDFVSSYAERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPSLLDADC >Potri.010G197500.17.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSKYGLRRTLDHIRLTGNFPL >Potri.010G197500.6.v4.1 pep chromosome:Pop_tri_v4:10:19078884:19084708:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSKYGLRRTLDHIRLTGNFPL >Potri.010G197500.4.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSNPL >Potri.010G197500.11.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19083315:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSNPL >Potri.010G197500.16.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYGDFVSSYAERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSKYGLRRTLDHIRLTGNFPL >Potri.010G197500.3.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYGDFVSSYAERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSNPL >Potri.010G197500.9.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084708:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSNPL >Potri.010G197500.13.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYGDFVSSYAERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPSLLDADC >Potri.010G197500.7.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084708:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSNPL >Potri.010G197500.8.v4.1 pep chromosome:Pop_tri_v4:10:19078884:19084708:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSKYGLRRTLDHIRLTGNFPL >Potri.010G197500.15.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPSLLDADC >Potri.010G197500.2.v4.1 pep chromosome:Pop_tri_v4:10:19078818:19084707:-1 gene:Potri.010G197500.v4.1 transcript:Potri.010G197500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197500.v4.1 MAPPNSGVHHISNPCIYERKSRFMKWLGKLFKSGSNRGGARGGVGGRAHPQILGEENVVWSAPARSVDDRSRTGKERGDAIALAMAEDWKQPSGCSRGTNDQDLARSLQDTLNSSSFPSYGTTQYCHRNLRLCSGCQRDIGYGNYLGCMGKFFHPECFCCRSCGYPITETEFSLSGNDPYHKSCFKELTHPKCEVCHQFIPTNAAGLIEYRCHPFWSQKYCPSHEHDNTARCCSCERLESRDARYYSLEDGRSLCLECMESAIMDTGDCQPLYHAIRDYYEGMNMKLDQQIPMLLVQRQALNEAIFGEKNGYHHMPETRGLCLSEEQTVASIQKRPRIGGHRLVGMRTEPRKLTRKCEVTAILVLYGLPRLLTGAILAHELMHGWLRLKGYRNLNPEVEEGICQVLSYMWLESELPGSKGMPSTSTSAASSSSSSSSSKKGEKSQVEKKLGDFFMHQIAHDATPAYGEGFRSANAAVSKYGLRRTLDHIRLTGNFPL >Potri.012G125200.1.v4.1 pep chromosome:Pop_tri_v4:12:14208227:14209146:1 gene:Potri.012G125200.v4.1 transcript:Potri.012G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125200.v4.1 MTHRFNNYKKRRSLQVTGNRRRRTWVRYLEMEESSSSFPEIFNAKKSTLCVHNAEIEITSDKCLIRGQAAFLGEDCPRGISIRSFSENGVKCTDFVIPREVHVVEAATRRVAHRATSPRHSDSSFQHNPKKPHKKKQSKQASLE >Potri.002G023200.1.v4.1 pep chromosome:Pop_tri_v4:2:1444073:1446821:-1 gene:Potri.002G023200.v4.1 transcript:Potri.002G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023200.v4.1 MAALTFLQEHKENRQKQPSRCKRKLQKEKEKEKQPSSWDQIKNLLTCKQIEGSRVHDPSKNPIGYSKLGSSCSSICSFKDVVHGNTRVVHRADNSPESSTLGQETGLLSRKGVSTGSSSTRSLTSSGRSNSGVTCSSSSRGMQFRKLSGCYECHMIVDPSRYPSARTTISACTQCGEVFPKIESLELHQKVRHAVSELGPEDSGRNIVEIIFKSSWLKKDNPICKIERILKVHNTQRTIQRFEDCRDAVKTRALNSTKKNPRCAADGNELLRFHCTTLTCSLGSLGSSSLCGSIPVCGVCTIIRHGFQGIECKGVSTTASSGRAHDSLWGCTDGRRAMLVCRVIAGRVKRVAEDALPPEEDGASAGSYDSVAGGAGIYSSLEELSVFNPRAILPCFVVIYKALES >Potri.003G028401.1.v4.1 pep chromosome:Pop_tri_v4:3:2965990:2971618:1 gene:Potri.003G028401.v4.1 transcript:Potri.003G028401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028401.v4.1 MPPQVQNHGLFLPILLSTNQSQARQQLLSQNMQNCMASNGVQSFAGLQATLPLVSGVTQTIPNTVVQDPNMQSIPGVSQNSVGNSMGQGNPSTMFANSQRQMPASLDSTAQTGHAKGADWQEEIYQKIKVMKETYFLEINEIYQRIAAKLQQELYREQGIRQEELGYHEQTLDSHSQQPKSEQLEKLEVFKVMLERLITFLQVSKNNVTPSFKEKLGSYEKQIVSFLNPSRFRRPIPNLQLGQLPQPPVHQLQSHENQLNPQLQSLNVQGSIPTMQQNNMSSLQHGSLSSLSGVSMSQPIMMMRDERF >Potri.002G158800.1.v4.1 pep chromosome:Pop_tri_v4:2:12096194:12098714:-1 gene:Potri.002G158800.v4.1 transcript:Potri.002G158800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158800.v4.1 MPAHTLTLPYHPPTPLNFSRSSRTLPSLASPQYPLNLTLRDHSRSGFFNPVNRMSSSGKHGILVTVSCVAKEGGDDDKDDGFYMRRCVELARKAIGCTSPNPMVGCVIVKDGKIVGEGFHPKAGQPHAEVFALRDAEDLAENATAYVSLEPCNHYGRTPPCSEALVKAKVKKVVIGMIDPNPIVASRGVQRLRDAGINVVVGVEEELCKRLNEAFIHKMLTGSPFVTLRYSLSVNGHLVNQLGEGVTDTGGYYSQLLQEYDAVILSASLTGSSPFPASQEPGANQPLWILMAGGPSSPIQIPLLTEEAASKVTIFTDKEASEEPETSKKGIETVVLDKINLNAILEYCKSRGLCSVLMDLRGSFVELEELLKDGTEQNLLQKIVVEVLPFWDESSGLSSLTASKSIRRRLKVNNLQPKISGDQSIVLEGYL >Potri.010G223600.1.v4.1 pep chromosome:Pop_tri_v4:10:20794518:20798813:1 gene:Potri.010G223600.v4.1 transcript:Potri.010G223600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223600.v4.1 MACMKLGSKSEVFHLDGHTWLCSTGLQSDVIIEIGETSFHLHKFPLLSRSEALANLTGDHSSENEKRCVLQLHDVPGGAKTFLLIAKFCYGVKMELTTLNVVSLRCAAEYFGMSEDYGEENLIAQTENFLNEVFGGWTDSLKALETCENVLAQAEELHIVSRCINSLAMKASTDPSLFSWPMQGGSDMRNPDGTVFWNGIRTSAKLHPVGEDWWYEDVSLLRLPLYKRLILAVRSNDMKPERVAGALMYYAKRHLPLLGRESSIESGNFAAPRSTISGTSEADQRNLLEELVGLLPDQKGVTPSNFLLRLLRTAMMIHASPSCRGNLEKRVGVQLDQASLQDLLIPNTGYLVETLYDIDCVQRILNHFMLVDHDDPTSNYIVDEEQMMEGSRFPTPITTVANLIDSYLAEVAPDVNLKLAKFQSLAAAVPEYARPLDDGIYRAIDIYLKAHPWLTDSEREQLCRLMNCQKFSLEASTHATQNERLPLRVTVQVVFFEQLRLRTSVSGLFFVSENLDNSRNLSGNLALARNGLHTEAGATHGRIVVDDMKRRVSELEKECLSMKQEIEKMGKTKLGSWNNLFRKFGFSHSKSKPGDPKASKPTDTKESPTSSAPLVNGGEHPNNESVE >Potri.006G079400.1.v4.1 pep chromosome:Pop_tri_v4:6:5910636:5911711:1 gene:Potri.006G079400.v4.1 transcript:Potri.006G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079400.v4.1 MKKTVLKVNINCMRCKKELMKTVGKIEGIDQIAINSEKGTLIVVGIVDPVVLANKLRKAGKVAEFISVGPYKKEDFETEKLKLPHNFPRCCKQCEVVAIGFPSYYQGHHDPCSIL >Potri.017G002100.1.v4.1 pep chromosome:Pop_tri_v4:17:124976:125287:-1 gene:Potri.017G002100.v4.1 transcript:Potri.017G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002100.v4.1 MEGLIPLVCKAFRKNKTRRQYECLSVGAALSYNISDFYTHEAPKSELHFQPSMENTNSQKKVHRRFWSVHEDFSGGFSSPAVRSTTAASPQTKQLARFRSQRV >Potri.014G060500.5.v4.1 pep chromosome:Pop_tri_v4:14:3892068:3895871:1 gene:Potri.014G060500.v4.1 transcript:Potri.014G060500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060500.v4.1 MKAMPLPFENFQGKGVLDFSSSTTSSPDSLHLHHQKWQNNNSKESCGFFLGSTEPTSVLDTISRQSPPTSSSTLSSSQGGGGGGGGASTDTTNGVAAAGGSNPCVDEKCGQQLGMEDWESVLPGSPSQEQSILRLIMGDIEDPSVGLHKLLQSGSRSEDMEHASGFGVVDQVFGFDVSSMSSASANLVANNSIDPSSIHGINLLPGLFQQQQQQAAFDQDEKPQILNPVMISNQNQHQFVQNPATPFPFSYSQLQEHHNNQHFLSPPPSKRLNSGPVGVNYVPKVFDSRPPELFLPRQHQQQQQNHQFRMLQQLQQRQGMITNQKIASDELANQQQLQQAIINPICQAAELIETGNPVLAQGILARLNHQLSLPIGKPYQRTAFYFKDALQLLLHTSNNNSIDNACSLIFKIGAYKSFSEISPILQFANFTCNQVLLEASEGFERIHIVDFDIGYGGQWASLMQELALRTGGAPSLKITAFASPSSHDELELGFTRENLRIFASEINMPFELEILSLESLGSGSWPMPLRMPEKEVTAVNLPIGSFSNDTSTLPLALRFVKHLSPKVVVSLDRGCDRSDLPFAHHVNHAIQSYSTLLESLDAVNVNLDALQKIESFLVQPGIEKIVLGRHRCPDRTSPWRSLFLQSGFTPLPFSNFTESQAEYLVHRTPVRGFHVEKRQSSLVLCWQRKDLIAASAWRC >Potri.014G060500.1.v4.1 pep chromosome:Pop_tri_v4:14:3891966:3896161:1 gene:Potri.014G060500.v4.1 transcript:Potri.014G060500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060500.v4.1 MKAMPLPFENFQGKGVLDFSSSTTSSPDSLHLHHQKWQNNNSKESCGFFLGSTEPTSVLDTISRQSPPTSSSTLSSSQGGGGGGGGASTDTTNGVAAAGGSNPCVDEKCGQQLGMEDWESVLPGSPSQEQSILRLIMGDIEDPSVGLHKLLQSGSRSEDMEHASGFGVVDQVFGFDVSSMSSASANLVANNSIDPSSIHGINLLPGLFQQQQQQAAFDQDEKPQILNPVMISNQNQHQFVQNPATPFPFSYSQLQEHHNNQHFLSPPPSKRLNSGPVGVNYVPKVFDSRPPELFLPRQHQQQQQNHQFRMLQQLQQRQGMITNQKIASDELANQQQLQQAIINPICQAAELIETGNPVLAQGILARLNHQLSLPIGKPYQRTAFYFKDALQLLLHTSNNNSIDNACSLIFKIGAYKSFSEISPILQFANFTCNQVLLEASEGFERIHIVDFDIGYGGQWASLMQELALRTGGAPSLKITAFASPSSHDELELGFTRENLRIFASEINMPFELEILSLESLGSGSWPMPLRMPEKEVTAVNLPIGSFSNDTSTLPLALRFVKHLSPKVVVSLDRGCDRSDLPFAHHVNHAIQSYSTLLESLDAVNVNLDALQKIESFLVQPGIEKIVLGRHRCPDRTSPWRSLFLQSGFTPLPFSNFTESQAEYLVHRTPVRGFHVEKRQSSLVLCWQRKDLIAASAWRC >Potri.014G060500.4.v4.1 pep chromosome:Pop_tri_v4:14:3891917:3895924:1 gene:Potri.014G060500.v4.1 transcript:Potri.014G060500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060500.v4.1 MKAMPLPFENFQGKGVLDFSSSTTSSPDSLHLHHQKWQNNNSKESCGFFLGSTEPTSVLDTISRQSPPTSSSTLSSSQGGGGGGGGASTDTTNGVAAAGGSNPCVDEKCGQQLGMEDWESVLPGSPSQEQSILRLIMGDIEDPSVGLHKLLQSGSRSEDMEHASGFGVVDQVFGFDVSSMSSASANLVANNSIDPSSIHGINLLPGLFQQQQQQAAFDQDEKPQILNPVMISNQNQHQFVQNPATPFPFSYSQLQEHHNNQHFLSPPPSKRLNSGPVGVNYVPKVFDSRPPELFLPRQHQQQQQNHQFRMLQQLQQRQGMITNQKIASDELANQQQLQQAIINPICQAAELIETGNPVLAQGILARLNHQLSLPIGKPYQRTAFYFKDALQLLLHTSNNNSIDNACSLIFKIGAYKSFSEISPILQFANFTCNQVLLEASEGFERIHIVDFDIGYGGQWASLMQELALRTGGAPSLKITAFASPSSHDELELGFTRENLRIFASEINMPFELEILSLESLGSGSWPMPLRMPEKEVTAVNLPIGSFSNDTSTLPLALRFVKHLSPKVVVSLDRGCDRSDLPFAHHVNHAIQSYSTLLESLDAVNVNLDALQKIESFLVQPGIEKIVLGRHRCPDRTSPWRSLFLQSGFTPLPFSNFTESQAEYLVHRTPVRGFHVEKRQSSLVLCWQRKDLIAASAWRC >Potri.014G060500.6.v4.1 pep chromosome:Pop_tri_v4:14:3892086:3895770:1 gene:Potri.014G060500.v4.1 transcript:Potri.014G060500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060500.v4.1 MKAMPLPFENFQGKGVLDFSSSTTSSPDSLHLHHQKWQNNNSKESCGFFLGSTEPTSVLDTISRQSPPTSSSTLSSSQGGGGGGGGASTDTTNGVAAAGGSNPCVDEKCGQQLGMEDWESVLPGSPSQEQSILRLIMGDIEDPSVGLHKLLQSGSRSEDMEHASGFGVVDQVFGFDVSSMSSASANLVANNSIDPSSIHGINLLPGLFQQQQQQAAFDQDEKPQILNPVMISNQNQHQFVQNPATPFPFSYSQLQEHHNNQHFLSPPPSKRLNSGPVGVNYVPKVFDSRPPELFLPRQHQQQQQNHQFRMLQQLQQRQGMITNQKIASDELANQQQLQQAIINPICQAAELIETGNPVLAQGILARLNHQLSLPIGKPYQRTAFYFKDALQLLLHTSNNNSIDNACSLIFKIGAYKSFSEISPILQFANFTCNQVLLEASEGFERIHIVDFDIGYGGQWASLMQELALRTGGAPSLKITAFASPSSHDELELGFTRENLRIFASEINMPFELEILSLESLGSGSWPMPLRMPEKEVTAVNLPIGSFSNDTSTLPLALRFVKHLSPKVVVSLDRGCDRSDLPFAHHVNHAIQSYSTLLESLDAVNVNLDALQKIESFLVQPGIEKIVLGRHRCPDRTSPWRSLFLQSGFTPLPFSNFTESQAEYLVHRTPVRGFHVEKRQSSLVLCWQRKDLIAASAWRC >Potri.005G216100.1.v4.1 pep chromosome:Pop_tri_v4:5:21955221:21957957:1 gene:Potri.005G216100.v4.1 transcript:Potri.005G216100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216100.v4.1 MESETASSADEQVSGGGGGGGGGGGGGGASAGADTRGKHRILAELKRVEQEMKFLEEELEELEKTDNVSTVCEELLRNVENIPDPLLSLTNGPANPLWDRWFEGPQKSQGCVCRIL >Potri.014G109500.2.v4.1 pep chromosome:Pop_tri_v4:14:7327376:7331835:1 gene:Potri.014G109500.v4.1 transcript:Potri.014G109500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109500.v4.1 MSVRLLKRVLKEQELQQQQHHDESEEEEGESPYSGTRPAINPFDLLNDDDVDQENEPEIDDEMVVGNNHKQELSGMKSMAGAISTSNQKSKKKKKKKSKTGLSSVTNKVKEPFDDMLDTLSLDVNSSRHQPCPTKTKPETSKLCAEFVKQCAPSALQVDPKCLNPENELRRIFGSKVVKSFEKSNQASSSRQVIGGRRGAHHTRRTILVSPSEHWPRWDGSLSMEFLETKDGYHHFRYVHSSSSDQAQRTFEAAKAIHDLNGIASILLYHPYHLDSLVTMADYFKFVGENQMSADSIAKSLYALECAWHPTFSPLQANCQLKICHETNKPLFTTLFTHMKNLDRRGCHRSALEVCKLLLSLDLDDPMGAMFCVDYFALRAEEYAWLEWFSEDYKSDNSLWLFPNFSYSLAICRFYLEREEPSKDADTCATKSNSADLMKQALMLHPSVLKKLVAKVPLKDQAWTNIIKHVFFRSEKTGSASLDHLINIYVEKSYIIWRLPDLQKLLRVSALQVIEILEHSTSDAKDWACVRKEAFSSENNEYGHLLVSDFSDTVPTLPPENLQNFMVDPRMREPERNGGQIANPLDGGPAPRDVANRNALAVLFESMLPWVNYGGRGDGGNEENQVNEHE >Potri.014G109500.1.v4.1 pep chromosome:Pop_tri_v4:14:7327384:7331891:1 gene:Potri.014G109500.v4.1 transcript:Potri.014G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109500.v4.1 MSVRLLKRVLKEQELQQQQHHDESEEEEGESPYSGTRPAINPFDLLNDDDVDQLQENEPEIDDEMVVGNNHKQELSGMKSMAGAISTSNQKSKKKKKKKSKTGLSSVTNKVKEPFDDMLDTLSLDVNSSRHQPCPTKTKPETSKLCAEFVKQCAPSALQVDPKCLNPENELRRIFGSKVVKSFEKSNQASSSRQVIGGRRGAHHTRRTILVSPSEHWPRWDGSLSMEFLETKDGYHHFRYVHSSSSDQAQRTFEAAKAIHDLNGIASILLYHPYHLDSLVTMADYFKFVGENQMSADSIAKSLYALECAWHPTFSPLQANCQLKICHETNKPLFTTLFTHMKNLDRRGCHRSALEVCKLLLSLDLDDPMGAMFCVDYFALRAEEYAWLEWFSEDYKSDNSLWLFPNFSYSLAICRFYLEREEPSKDADTCATKSNSADLMKQALMLHPSVLKKLVAKVPLKDQAWTNIIKHVFFRSEKTGSASLDHLINIYVEKSYIIWRLPDLQKLLRVSALQVIEILEHSTSDAKDWACVRKEAFSSENNEYGHLLVSDFSDTVPTLPPENLQNFMVDPRMREPERNGGQIANPLDGGPAPRDVANRNALAVLFESMLPWVNYGGRGDGGNEENQVNEHE >Potri.014G109500.4.v4.1 pep chromosome:Pop_tri_v4:14:7327387:7331832:1 gene:Potri.014G109500.v4.1 transcript:Potri.014G109500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109500.v4.1 MVVGNNHKQELSGMKSMAGAISTSNQKSKKKKKKKSKTGLSSVTNKVKEPFDDMLDTLSLDVNSSRHQPCPTKTKPETSKLCAEFVKQCAPSALQVDPKCLNPENELRRIFGSKVVKSFEKSNQASSSRQVIGGRRGAHHTRRTILVSPSEHWPRWDGSLSMEFLETKDGYHHFRYVHSSSSDQAQRTFEAAKAIHDLNGIASILLYHPYHLDSLVTMADYFKFVGENQMSADSIAKSLYALECAWHPTFSPLQANCQLKICHETNKPLFTTLFTHMKNLDRRGCHRSALEVCKLLLSLDLDDPMGAMFCVDYFALRAEEYAWLEWFSEDYKSDNSLWLFPNFSYSLAICRFYLEREEPSKDADTCATKSNSADLMKQALMLHPSVLKKLVAKVPLKDQAWTNIIKHVFFRSEKTGSASLDHLINIYVEKSYIIWRLPDLQKLLRVSALQVIEILEHSTSDAKDWACVRKEAFSSENNEYGHLLVSDFSDTVPTLPPENLQNFMVDPRMREPERNGGQIANPLDGGPAPRDVANRNALAVLFESMLPWVNYGGRGDGGNEENQVNEHE >Potri.015G033700.1.v4.1 pep chromosome:Pop_tri_v4:15:2683195:2685709:1 gene:Potri.015G033700.v4.1 transcript:Potri.015G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033700.v4.1 MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNESFRLLYDTKGRFRLHSLRDDEAKFKLCKVRSIQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLENNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGTKPWISLPKGKGIKLSIIEEARKRLAASQATA >Potri.005G135700.1.v4.1 pep chromosome:Pop_tri_v4:5:10491932:10493289:-1 gene:Potri.005G135700.v4.1 transcript:Potri.005G135700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G135700.v4.1 MEAYEEGRESPKHKTRHSEECHGDIMLGKMEEWTKMKEELQKAKDEAMQSWLDSRPLIDELEKLQSGLASAKNRASMSNIVISELESQLEATNADTQSKMEEEIKVANMTNEITQAMDHLREEFKRIKLETDEERRERSKLKQVLRLRRQTLRKLQLTLRAVQIESEAFGESAAAALGHIKSWESENSTVQLSQEDYLSLKRRAKERTSLSDWRISVSTEQKLAAEESRNLASRRRKEILSEKNMSWRRRMEDEKTREDGCTIKEEEEEDSRSQKVKRSGNAFPKARPKAISKSNSTTPPQKMRGSARKRNKKLQKKKKLSILQQVRRFLVQSMRKLFG >Potri.001G286000.4.v4.1 pep chromosome:Pop_tri_v4:1:29881803:29885444:-1 gene:Potri.001G286000.v4.1 transcript:Potri.001G286000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286000.v4.1 MDSGPDPSLYPLHRCKTIHVVRHAQGLHNVEGEKNYKAYLNPEYLDAPLTQLGWQQVNYLRKHVHASGLSKRVELVVTSPLLRTLQTAVGVFGGEGYTDGMNALPLMVANVGNSGREAISSRNSPPFIAVEDCREHFGVHPCDKRHNVSEYQFLFPAVDFSLIETDEDVLWKADVRETTEELAARGLKFLNWLWTRKEKEIAIVTHSGFLVHTLRAFGTDCHPLVKKEMDTRFANCELRSMVIVDRSMIGSDVSTTNYPGKIPSGLDFPSDVGEEEAPNSI >Potri.014G122600.1.v4.1 pep chromosome:Pop_tri_v4:14:8260109:8264528:-1 gene:Potri.014G122600.v4.1 transcript:Potri.014G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122600.v4.1 MEHQHRRSKILLLLCVALSYYAIIAIAGKSYYEVLQVPKGASDEQIKKAYRKLALKYHPDKNQGNEEANLRFAEINNAYEVLSDSEKRNIYDRYGEEGLKQHMASGGRGGGGGMNFQDIFSQFFGGGSMEEEEKIARGDDVIVELDATLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCQNVKYEREGYFLTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIRTAPHDIFRREGNDLHTTVTITLVQALVGFEKNIEHLDEHLVDISSKGITKPKEVRRFKGEGMPLHFSTKNGDLYVTFEVLFPTSLTEDQKKRIKEVLG >Potri.003G059400.2.v4.1 pep chromosome:Pop_tri_v4:3:8620733:8626376:1 gene:Potri.003G059400.v4.1 transcript:Potri.003G059400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059400.v4.1 MAPAPRGCWGVAAEKARRCVRTVIFMVAMVASLLVSSMPVLVAIGDVVVPFFLVSSFTCLTCFGFKSHLRKYSFKSSFTDIPLVSLIRSFLIICVYSMCDAPALSHGPYLGTVTLCSVVSIVLLSIKTCVFTVNSQIEAEASTSSISRQKLHLKKSWGMPVLFLSSVVFALGHTVVAYRTSCRARRKLMFHRADPEAVLSCKSVFSGYQKVPRSPTPTAGRTPKSDSEMKRKPFGTTRDEGELPVRLLADIDSLFITCRGLTVHYKLCFPGAPPCYLYSTAALESSSSCSSPKLAPGRLRLERQPFSAVVKTQHHLHRSCSNQFYSSSLYAPLLDSSPTSVLSEEIPVLNLEDAVQEDGICEPKPVIPGQDMEESSQLGIVLVHGFGGGVFSWRHVMGVLCQQVGCKVAAFDRPGWGLTSRLRHKDWEDKELPNPYKLETQVDLLLSFCSEMGFSSVVLIGHDDGGLLALKAVQRVQASMNSFNVTIKGVVLLNVSLSREVVPAFARILLRTSLGKKHLVRSLLRTEIIQVVNRRAWYDATKLTTEVLSLYKAQLCVEGWDEAVHEIGKLSCETVLSPQNSASLLKAVEGMPVLVIAGAEDALVPLKSSQAMASKLVNSRLVAISGCGHLPHEECPKALLAAITPFISRLLVEPDLENQ >Potri.002G040200.1.v4.1 pep chromosome:Pop_tri_v4:2:2632960:2635057:-1 gene:Potri.002G040200.v4.1 transcript:Potri.002G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040200.v4.1 MAILYAYSRFIPHLLMILVQIGYSFLYFLAEAAFSHGMSPHVFVTYRYIVGGLVMFPLAYFLERKVRPKLTLVLFLEIFVLSLLGASLTVNIYFASLRYTSPTYITSMTNTVPSMTFIIAIMLRLEIVNLRNPRGIAKIVGTLLSLAGVLTITLYKGPEVQSLQGAPIHIKSNASQQNWVKGTILLVVSCITWSLWFIMQAYTLKRYPAQLSLSAWINGFAAAQSAVFTVFMQHKPAAWSIGSSIVFWSIIYAGVISCGLTVSIQLWCTEQKGPVFVTMFSPLATVMVAILAYFLFGEELHAGSILGGAVVIIGLYMLLWGKEKDGDRNKSQKQSLSTNDEEKLSHLAVESSAGRDNETGLEK >Potri.001G082100.1.v4.1 pep chromosome:Pop_tri_v4:1:6500550:6508457:1 gene:Potri.001G082100.v4.1 transcript:Potri.001G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082100.v4.1 MDMDWSESRHERREERDDVDDKVNGVEKSSKHTRKKSGRGEERERERDRDHKSKDRERSKKTSDNDVGKDKSRDSKVKDKEYDREKSREKDKDRKDRGKEKERERDREKKEKERERVKEKEKHKDREKDRDNDKERERGREKTKERERDREADQDKERSREKDRASRKSNEEDYDDKVQMDYEDEVDKDNRKQGKVSFRDEDDQSAEGASAGAHSSASELGQRILKMKEERTKKKSEPGSDILAWVGKSRKIEENKYAAKKRAKHLSKIFEEQDNIGQGGSDDEEADQHNAYNLAGIKVLDGLDKVLEGGAVVLTLKDQNILADGDINEEVDMLENVEIGEQKRRDEAYKAAKKKTGIYEDKFNDDPASEKKMLPQYDDANADEGVTLDERGRFTGEAEKKLEELRRRLQGTSTSARLEDLNSSGKISSDYFTHEEMLQFKKPKKKKSLRKKDKLDIDALEAEAVSAGLGIGDLGSRKDGRRQAIREEQERSEAEMRNNAYQSAYAKADEASKSLRLDRTLQTKVEEEENLVFADDEEDLYKSLERARKLALKKQEAEASGPLAIAHLASTTLSSQIADDKNPETGESHENKLVFTEMEEFVSAIQLAEEVHKPDNEDVFMDEDEPPRVSDEEQKDEAGGWMEVPDNSKDENPVNEDEEIVPDETIHEVAVGKGLSGALKLLKERGTLKESIDWGGRNMDKKKSKLVGIVDDDVGTNNDNKFKDIRIERTDEFGRIMTPKEAFRMISHKFHGKGPGKMKQEKRMKQYQEELKLKQMKNSDTPSLSVERMRGAQAQLKTPYLVLSGHVKPGQTSDPRSGFATVEKDFPGGLTPMLGDKKVEHFLGIKRKPETGFSGAPKKPKI >Potri.001G321600.1.v4.1 pep chromosome:Pop_tri_v4:1:33096451:33102213:-1 gene:Potri.001G321600.v4.1 transcript:Potri.001G321600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321600.v4.1 MATGTEVVSAHGHGCCKKGPGYASPLEAMSGPRESLIYVTCVYAGTGIEKPDYLATVDVDPNSPNYSKVIHRLPMPNLGDELHHSGWNSCSSCHGDPSAARRYLILPSLISGRIYAIDTLKDPRAPSLHKVVEPADIVNKTGLAYPHTSHCLASGDVMVSCLGDKDGKAEGNGFLLLDSEFNVKGRWEKPGHSPKFGYDFWYQPRHNTMISSSWGAPAAFTKGFNPQHVSDGLYGRHLHVYSWPNGELKQTLDLGSTGLLPLEIRFLHNPSKDTGFVGCALTSNMVRFFKTPDESWSHELAISVKPLKVQNWILPEMPGLITDFLLSLDDRFLYFVNWLHGDVRQYNIEDPKNPVLKGQVWVGGLIQKGSPVVAEGEDGKTWQYDVPEIQGHRLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPEVVEKGSHMLQIDVDTEKGGLAINPNYFVDFASEPDGPSLAHEMRYPGGDCTSDIWI >Potri.010G240600.1.v4.1 pep chromosome:Pop_tri_v4:10:21860567:21861588:1 gene:Potri.010G240600.v4.1 transcript:Potri.010G240600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G240600.v4.1 MASNTINQGFILILVLIFGCFQIAISGDADIISDFIVPPNVTKVDGKLFTFTALRSLVGAKPPVSFTASKVSMVEFPALNGQSVSYAFLQYPAGTLNPPHTHPRSAELLFLVDGCLEVGFVDTANKLFTQTLEAGDMFVFPKGLVHYQYNNDPKNLAVAVSSFGSASAGTVSIPSTLFTTGVDSGILAKAFKTDVATIEKIKAGFA >Potri.015G072600.3.v4.1 pep chromosome:Pop_tri_v4:15:9805845:9812453:-1 gene:Potri.015G072600.v4.1 transcript:Potri.015G072600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072600.v4.1 MAGGGKAEEPQAHPPREQLPNISYCMTSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILSGRFSDEVDPVEKFKRIMRAIQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHIFDRFAVIFAVVIVWIYAHLLTVGGAYNDAAPRTQAICRTDRAGLIDAAPWIRIPYPFQWGAPTFDAGEAFAMMMASFVALVESTGAFIAVSRYASATHMPPSVLSRGVGWQGIAILLSGLFGTSTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPGPIFASLYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHTGGRWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRNDSSIRKDRGKHWWDKFRSYKGDTRSEEFYSLPFNLNKYFPSV >Potri.015G072600.6.v4.1 pep chromosome:Pop_tri_v4:15:9806168:9812451:-1 gene:Potri.015G072600.v4.1 transcript:Potri.015G072600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072600.v4.1 MAGGGKAEEPQAHPPREQLPNISYCMTSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILSGRFSDEVDPVEKFKRIMRAIQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHIFDRFAVIFAVVIVWIYAHLLTVGGAYNDAAPRTQAICRTDRAGLIDAAPWIRIPYPFQWGAPTFDAGEAFAMMMASFVALVESTGAFIAVSRYASATHMPPSVLSRGVGWQGIAILLSGLFGTSTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPGPIFASLYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHTGGRWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRNDSSIRKDRGKHWWDKFRSYKGDTRSEEFYSLPFNLNKYFPSV >Potri.015G072600.7.v4.1 pep chromosome:Pop_tri_v4:15:9806270:9812451:-1 gene:Potri.015G072600.v4.1 transcript:Potri.015G072600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072600.v4.1 MAGGGKAEEPQAHPPREQLPNISYCMTSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILSGRFSDEVDPVEKFKRIMRAIQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHIFDRFAVIFAVVIVWIYAHLLTVGGAYNDAAPRTQAICRTDRAGLIDAAPWIRIPYPFQWGAPTFDAGEAFAMMMASFVALVESTGAFIAVSRYASATHMPPSVLSRGVGWQGIAILLSGLFGTSTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPGPIFASLYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHTGGRWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRNDSSIRKDRGKHWWDKFRSYKGDTRSEEFYSLPFNLNKYFPSV >Potri.015G072600.5.v4.1 pep chromosome:Pop_tri_v4:15:9806274:9812453:-1 gene:Potri.015G072600.v4.1 transcript:Potri.015G072600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072600.v4.1 MAGGGKAEEPQAHPPREQLPNISYCMTSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILSGRFSDEVDPVEKFKRIMRAIQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHIFDRFAVIFAVVIVWIYAHLLTVGGAYNDAAPRTQAICRTDRAGLIDAAPWIRIPYPFQWGAPTFDAGEAFAMMMASFVALVESTGAFIAVSRYASATHMPPSVLSRGVGWQGIAILLSGLFGTSTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPGPIFASLYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHTGGRWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRNDSSIRKDRGKHWWDKFRSYKGDTRSEEFYSLPFNLNKYFPSV >Potri.015G072600.1.v4.1 pep chromosome:Pop_tri_v4:15:9806249:9812451:-1 gene:Potri.015G072600.v4.1 transcript:Potri.015G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072600.v4.1 MAGGGKAEEPQAHPPREQLPNISYCMTSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGGNKEKADVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILSGRFSDEVDPVEKFKRIMRAIQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFVSQYMPHVIKSGRHIFDRFAVIFAVVIVWIYAHLLTVGGAYNDAAPRTQAICRTDRAGLIDAAPWIRIPYPFQWGAPTFDAGEAFAMMMASFVALVESTGAFIAVSRYASATHMPPSVLSRGVGWQGIAILLSGLFGTSTGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAIFASIPGPIFASLYCLFFAYVGAAGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGYGPVHTGGRWFNDIVNVPFSSEAFVAGCLAYFLDNTLHRNDSSIRKDRGKHWWDKFRSYKGDTRSEEFYSLPFNLNKYFPSV >Potri.011G013900.3.v4.1 pep chromosome:Pop_tri_v4:11:1286470:1291033:1 gene:Potri.011G013900.v4.1 transcript:Potri.011G013900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G013900.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYKALIHAGFHTFRDDDEIRRGKNIRLELQKAIKQSKIAIIVFSKNYAWSKWCLDELVKIMERKRNAECIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEKMERVNGWRIALKEVANLAGMDLGDGYEAQFVQSIVENVLKNLDPKIFYVPLHFIGRDALVQDINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKGALCCRRTLIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSANDIQWIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEDSWRIVYHCNGLPLALRVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREVARQESPKCQRIWHHEDAFTVLKGTTDAEKLRGLTIDMHALMEYRYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCIRLVQFHESIGDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLNGCSNLNNLNMELEHHQGRKLLQSDGIVASTSFISSLPLKLFFPFRFSTRKMLRFTLFSLPRFLESLDLSGTPICFLPESIKDLGLLRALYLRNCKMLQALPELPFLLDLLDVSFCYSLQGLANPKSWTEGDGCDHLVEFQDRIKQELIQKVDSQMFRIMETVSAQIQPSRFQITFMDGIFNVVVYAFEDEMFSNLFCAEGEEDKWLIQNEFVDNFSFKISSPPAHRICGFNLFTGLGVTSAYRGFSNVYIEIRNNTSGQSLLCQFFVFPMRYARGVREVQSLLHTKLGGNDPAFDNGDDVSISVRPRGPAIQIRTVGVQWLHEEEGKDEDINAHNSSDDDDAAHVAEVEIASHIVRNYNCAFHGKRRARNFTFWNFAKKGLQLELF >Potri.008G067000.1.v4.1 pep chromosome:Pop_tri_v4:8:4050865:4054214:1 gene:Potri.008G067000.v4.1 transcript:Potri.008G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067000.v4.1 MEGNKRKSDASSSSGNNNRGEPVVSDDDSLKSPCCYCKSTGLTSIFHVLRADSITVDDFQDLLDRGCRRSGSSLYKPEMDKTCCPSYTIRLRASDFVPSKEQQRVSRRMQRFVDGALDVKKAVEAIEDPSICASAFNEVSSLGTKETSSVTKKEKNMGDHITDSLSEQVDNVIWTCRESGQFPCSVQLPKASVKKVSQAKRKLPVEGAENLMYSSNIAFQIAATIRQAQSIVKDASQSAEDNPLPPKIIAENLAASLDQLAKTNGLSIRACNGHINYYTAATQASSNKDSHIATSSQESATGRKGCSLRNSSMHPKRKRRRLEIRLKRSSFDPEEFALYRRYQINVHNDTPDDVSESLYRKFLVDTPLVPVQPSGDDRVPPCGFGSFHQQYVIDGHLVAVGVIDILPKCLSSNYLFWDPDYAFLSPGKYSALQEIGWVKENEVYCPSLQYYYLGYYIHSCSKMRYKAAYRPSELLCPLRYKWVPFEIAQPLLDKKSYAVLSDSVLLQNGESSQPLVSGNVMEEQDDDNSNNVLIDDE >Potri.001G115900.2.v4.1 pep chromosome:Pop_tri_v4:1:9409656:9412746:-1 gene:Potri.001G115900.v4.1 transcript:Potri.001G115900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115900.v4.1 MASSLNANLSQPSLSCGAKLYSGLKLQFPSLYATGRPNLTAEFYGKVNKSLQCGTRNHKPTRAAVKMMPIGTPRVPYRTPGEGTWQWVDIWNALYRERILFIGQNIDEEFSNQILATMLYLDTIDDSKRMYLYINGPGGDLTPSLAIYDTMQSLKSPVGTHCVGFAYNLAGFLLTAGEKGNRSAMPLSRIALQSPAGAARGQADDICNEANELLRIRDYLYNELSKKTGQPFEKISKDLSRMKRFEAQDALEYGLIDRIIRPPRIDDDVGPSDASAGLG >Potri.017G126800.1.v4.1 pep chromosome:Pop_tri_v4:17:13088182:13090094:1 gene:Potri.017G126800.v4.1 transcript:Potri.017G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126800.v4.1 MALAKDRMDSVQTCALYGNVMGDLSSLGPNYGFDEEGDRNFEKNSALMIKNLAMSPSPPSLGSPSSANSGELVFQATDNQVEEAHSLINFKGTGFDSIMHANGSLISFEQSNRVSQTSSHKDDYSAWEGNLSCNYQWNQINPKCNANPRLMEDLNCYQSASNFNSITNSAEKENHGDWLYTHESTIVTDSIPDSATPDASSFHKRPNMGESMQALKKQRDSATKKPKPKSAGPAKDPQSIAAKNRRERISERLKMLQDLVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDEFWPVQGGKAPDISQVKGAIDAILSSQTKDRNSNSSSK >Potri.008G055100.1.v4.1 pep chromosome:Pop_tri_v4:8:3237989:3241381:1 gene:Potri.008G055100.v4.1 transcript:Potri.008G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055100.v4.1 MVFSSIPAYLGSRNWQQQPNNQPPGAGNENPQLPPQPAPPPGGGYGDGSTGSFRPGSMTDRARLAKVQLPETALKCPRCQSPNTKFCYFNNYSLSQPRHFCKTCRRYWTSGGALRNVPVGGGCRRNKRSKGSGRSKFPIKAVSSSSGGLASNCCTADILGHMPPPPPQLPILPPLHHLGDYNSADIGLDFGGIQHSIGVTAGGGDGVEFQIGSSTSSNGVAGSILSSGLVEQWRLQQVQQQVQQFPFFSNMEPPSGLFSFEGGGIESSNYAGQIRSKPLDTTGITHLATVKTEENQRLNLSKKVLGISGIDQHWGGNNAWTDLPGPVKSNQLEDKRELSSFNQLP >Potri.008G055100.4.v4.1 pep chromosome:Pop_tri_v4:8:3238538:3239965:1 gene:Potri.008G055100.v4.1 transcript:Potri.008G055100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055100.v4.1 MTDRARLAKVQLPETALKCPRCQSPNTKFCYFNNYSLSQPRHFCKTCRRYWTSGGALRNVPVGGGCRRNKRSKGSGRSKFPIKAVSSSSGGLASNCCTADILGHMPPPPPQLPILPPLHHLGDYNSADIGLDFGGIQHSIGVTAGGGDGVEFQIGSSTSSNGVAGSILSSGLVEQWRLQQVQQQVQQFPFFSNMEPPSGLFSFEGGGIESSNYAGQIRSKPLDTTGITHLATVKTEENQRLNLSKKVLGISGIDQHWGGNNAWTDLPGFTSSTSHLL >Potri.008G055100.3.v4.1 pep chromosome:Pop_tri_v4:8:3238422:3239962:1 gene:Potri.008G055100.v4.1 transcript:Potri.008G055100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055100.v4.1 MTDRARLAKVQLPETALKCPRCQSPNTKFCYFNNYSLSQPRHFCKTCRRYWTSGGALRNVPVGGGCRRNKRSKGSGRSKFPIKAVSSSSGGLASNCCTADILGHMPPPPPQLPILPPLHHLGDYNSADIGLDFGGIQHSIGVTAGGGDGVEFQIGSSTSSNGVAGSILSSGLVEQWRLQQVQQQVQQFPFFSNMEPPSGLFSFEGGGIESSNYAGQIRSKPLDTTGITHLATVKTEENQRLNLSKKVLGISGIDQHWGGNNAWTDLPGPVKSNQLEDKRELSSFNQLP >Potri.012G010001.1.v4.1 pep chromosome:Pop_tri_v4:12:125299:128133:-1 gene:Potri.012G010001.v4.1 transcript:Potri.012G010001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G010001.v4.1 MWRRRSHLLLSNAVRTSHHLSSLSASTASRGRTLLTPSSNSPLFKSPSLHLAPNNRLSSPLSSTISVRLLRTGRDPFTSYEITPPVNWGVRIVPEKKAFVVVTYLVTRNGCAVYGKKKLKTLPSGIHFLIPLVDRIAYVHSLKEEANQIPDQSAITKDNVSILIDGVLYEKIVEAINVAATDWGLRCLRYEIRDISPPRGVKQAMEMQAEAERRKRAQILESEGERQANINIADGHKSAQILASQGEKQALINKAQGEAEAIIAKAQATAKGIAIVSENIKKSGGIEVRFSM >Potri.018G094400.1.v4.1 pep chromosome:Pop_tri_v4:18:11469716:11472558:1 gene:Potri.018G094400.v4.1 transcript:Potri.018G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094400.v4.1 MRTLLSVFLFLFYFTFPSSQTSRELIFNTNSTKTSSNSTRFMTFIALVDPLCKPSPFFSHHHWYSSLLNSSSSTTSFIHIYNTLIHGFSASLTPYQAKHINSSHGVLSLFPDSIFHLHTTRSPSFLGLNNLKLKLLNSSGSNVIIGFMDTGIWPEHPSFADDGLEPIPAHWRGKCETGFGFNQSNCNKKLIGARFFSGGYRALFGHDHPASEYRSPRDHDGHGTHVSSIAAGAPVTGSSFYGFAGGLAQGMAPNARIAVYKVCWVSGCLLSDICAAFEKAILDGVNIISISLGSSRLPFYLDLLSIVSLRAFSGGIFVASSAGNEGPTWASITNAPPWITTVGAGTIDRDFPAKLLLGNGISITGISITMTRESKLTRGFHRLYFGGEISSSKFSFSRQLVKGNIVLCLTTGHMQRMLLGASLLSLGAVAMVICHGSIDPNGIISEPHVIPTITVGILEAKLIEDYILSSDSPVANISSQGTVEKHAKPAPVVAAFSSRGPNSAVPGILKPDVIAPSVNILGAWTDAIGPSSVALDNRRPQFNIMSGTSMACPHVSGVAAIIKSVHPDWGPSEIKSALMTTSNTHKLYYYRNVSLLSSSLILDESTGKAANPFDFGAGHIHPERALDPGLVFDLGYQDYIDFLCQLNYTKNEIHIISGKHANCSNIGKGQLNYPAIVVAAEKVGHKGVNFYRRLKNVNEVGSRKYKAKVVGLRGFYKIGVIPKKLKFSKIDEKLSFKIAIRKEKGVAKRNSLWVGALIWHEIGGKHRVRCPIVIFSRQG >Potri.001G459100.1.v4.1 pep chromosome:Pop_tri_v4:1:48501657:48503440:1 gene:Potri.001G459100.v4.1 transcript:Potri.001G459100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459100.v4.1 MKMKVSMSIILSVFSALLLSVSLASSDSSLEKFLQCLPNNSLPSYPISEAIYTTANSSFESVLQTYINNRRFLTPTTPKPIAIVTALHESHVQATVICAKASGLQVRIRSGGHDYEGLSYVSEVPFVILDMFNLRSIDVDIANETAWVQAGATLGEIYYNIANNSNVHAFPAGVCPTVGAGGHISGGGYGTLMRKYGLSVDNIIDAKVVDVNGNILDRETMGEDLFWAIRGGGGASFAVVLSWKMNLVRVPEKVTVFKVDRTLEEGATDIFYQWQQVSTELDKDLFIRAMPQVANGSQEGTKTISISFYALFLGQSEALLSMMNKSFPKLGLQQKDCIEMRWIESTLFWFDLPIGTSIDVLLNRPQGAQSFYKNKSDYVNQIVPKEALERIWKMMIKADPMWMQWNPYGGRMSEIPDTATPFPHRAGYLFKLQYSINWDEEGTEATERYISLIREMHDAMAPYVTSYPREAFQNYRDLDIGSNPSNQTDFERAKVYGLKYFKGNFLRLVKIKGKVDPDNFLKHEQSIPPRWI >Potri.005G201500.1.v4.1 pep chromosome:Pop_tri_v4:5:20712925:20713841:-1 gene:Potri.005G201500.v4.1 transcript:Potri.005G201500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201500.v4.1 MENSLNQEITPTFHKRKESKTTHKKPHEMGNAKHESLVSLTRRKRADNVHKRNSRKGNEILKAQEKDQASTVGVEDDGKAEVERKIVALQRIVPGGELFGVDKLFEETADYIMALQCQIKAMRVLAGFLEGLEKEKRKFGG >Potri.003G031000.2.v4.1 pep chromosome:Pop_tri_v4:3:3465336:3479279:-1 gene:Potri.003G031000.v4.1 transcript:Potri.003G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031000.v4.1 MAPRSGRGKSNKARAERKRKEEKSVPSVVDVTVITPYESQVVLKGISTDRILDVKKLLAASVQTCHLTNYSLSHEVKGHGLHDRVEIISLKPCLLKIIEEDYTEESQAVAHVRRLLDIVACTTRFSNKSRRPSQSISQSKRSNSSRSPRTSTPATPLSDDAASETTSVSAAMSESMDMAAIHPTPKLSEFYDFFSFSHLPPPILDLRRCSEVKDGEERSRPGDYFEFQVKICNGKLIKVVASVKGFYAVGKQFSQSHSVVDLLQNLSRAFANAYDSLMKAFVEHNKFGNLPYGFRANTWLVPPSVADSPSNFPSLPVEDESWGGNGGGQGRYGGYDLRPWATDFAILASLPCKTEEERVVRDRKALLLHSQFVDVSIFKAVGAIQGVIDSNLQARDTISGSFLLEDHVGDLSIVVERDAADASLKTVVKVNGNHLSGIPAKEIAQRNLLKGVTADESVVVHDTSSLSTVIVRLCGYTATVKVVGNVKKKKFDAQDIEIDDLPDGGANALNINSLRVLLHKCCSAESSLGQSSHSTLEELEASRCLIRKVIKESLTKQEEKPIASERSIRWELGSCWLQHLQKHEASKDTNSKSPEDNSENEQAVKGLGKEFKFLKKRDMKLTVTSTHDREEIESGLCSQAMGINAGQHSNDESNIGCELRRLVSEEAFLRLKESGTGLHLKSADELLQTAYRYYDEVALPKLVTDFGSLELSPVDGRTLTDFMHFRGLQMRSLGRVVELAEKLPHIQSLCVHEMVTRAFKHILKVVIASINNISDLSAAIASSLNFLLGSCGVEGSDQTMKDDHALKLQWLRTFLSQRFGWTLKDEFQHLRKLSILRGLCHKVGLELVPRDYDMECSNPFRKCDIISVVPVCKNVGCSSADGRTLLESSKVALDKGKLEDAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHVELALKYVNRALFLLQFACGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGTEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYISPDQDSRGSDALRKQRRAKVLQVSDKSYQVHQDVMVKDGLGNAMVMTDDGNTQEQGVDMIHNEEAEENDDITKYRPTVAGEVVEETTSDEGWLEANPKGRSWKAAGRKSGRRRPALAKLNINTAEYSSNRERRYRSQIISPAQRKTPRTITMEVSPAKQSIELQAKATVSKPFCAPANLTAMASKSLSYKEVAVAPPGMALKPSQEIVEESSGAKPETQICGVVPETFKEEESNDIPVIDNKPGPDEAEGTHESETQPEKSGPEVEEISSSNQEKYIEKNGSKLSAAAEPFNPGVCPLVHPLNSASAPSIYDATASQGMLVVPAVAPPLARVPRGPRSPLYYRTAQSYHMRQGLLKYRTHLATQPRSMNPHAPEFVPSRAWQTNPENGDSAISTEMKSLLETSKAREEEEDFDEESGNEVQDCSTKRTTSETEKAELARQILLSFIVKSVQNNIDGGSETLGSKRLDSSESSSDAIANDTAIIKILYGNEGKTKLVTQSSDGEQLKTPDANKNNHGDGEGFIVVTKRRRNKQQFTNGVAGLYNQQSLCAPVL >Potri.003G031000.5.v4.1 pep chromosome:Pop_tri_v4:3:3465681:3478993:-1 gene:Potri.003G031000.v4.1 transcript:Potri.003G031000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031000.v4.1 MAPRSGRGKSNKARAERKRKEEKSVPSVVDVTVITPYESQVVLKVGISTDRILDVKKLLAASVQTCHLTNYSLSHEVKGHGLHDRVEIISLKPCLLKIIEEDYTEESQAVAHVRRLLDIVACTTRFSNKSRRPSQSISQSKRSNSSRSPRTSTPATPLSDDAASETTSVSAAMSESMDMAAIHPTPKLSEFYDFFSFSHLPPPILDLRRCSEVKDGEERSRPGDYFEFQVKICNGKLIKVVASVKGFYAVGKQFSQSHSVVDLLQNLSRAFANAYDSLMKAFVEHNKFGNLPYGFRANTWLVPPSVADSPSNFPSLPVEDESWGGNGGGQGRYGGYDLRPWATDFAILASLPCKTEEERVVRDRKALLLHSQFVDVSIFKAVGAIQGVIDSNLQARDTISGSFLLEDHVGDLSIVVERDAADASLKTVVKVNGNHLSGIPAKEIAQRNLLKGVTADESVVVHDTSSLSTVIVRLCGYTATVKVVGNVKKKKFDAQDIEIDDLPDGGANALNINSLRVLLHKCCSAESSLGQSSHSTLEELEASRCLIRKVIKESLTKQEEKPIASERSIRWELGSCWLQHLQKHEASKDTNSKSPEDNSENEQAVKGLGKEFKFLKKRDMKLTVTSTHDREEIESGLCSQAMGINAGQHSNDESNIGCELRRLVSEEAFLRLKESGTGLHLKSADELLQTAYRYYDEVALPKLVTDFGSLELSPVDGRTLTDFMHFRGLQMRSLGRVVELAEKLPHIQSLCVHEMVTRAFKHILKVVIASINNISDLSAAIASSLNFLLGSCGVEGSDQTMKDDHALKLQWLRTFLSQRFGWTLKDEFQHLRKLSILRGLCHKVGLELVPRDYDMECSNPFRKCDIISVVPVCKNVGCSSADGRTLLESSKVALDKGKLEDAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHVELALKYVNRALFLLQFACGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGTEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYISPDQDSRGSDALRKQRRAKVLQVSDKSYQVHQDVMVKDGLGNAMVMTDDGNTQEQGVDMIHNEEAEENDDITKYRPTVAGEVVEETTSDEGWLEANPKGRSWKAAGRKSGRRRPALAKLNINTAEYSSNRERRYRSQIISPAQRKTPRTITMEVSPAKQSIELQAKATVSKPFCAPANLTAMASKSLSYKEVAVAPPGMALKPSQEIVEESSGAKPETQICGVVPETFKEEESNDIPVIDNKPGPDEAEGTHESETQPEKSGPEVEEISSSNQEKYIEKNGSKLSAAAEPFNPGVCPLVHPLNSASAPSIYDATASQGMLVVPAVAPPLARVPRGPRSPLYYRTAQSYHMRQGLLKYRTHLATQPRSMNPHAPEFVPSRAWQTNPENGDSAISTEMKSLLETSKAREEEEDFDEESGNEVQDCSTKRTTSETEKAELARQILLSFIVKSVQNNIDGGSETLGSKRLDSSESSSDAIANDTAIIKILYGNEGKTKLVTQSSDGEQLKTPDANKNNHGDGEGFIVVTKRRRNKQQFTNGVAGLYNQQSLCAPVL >Potri.003G031000.4.v4.1 pep chromosome:Pop_tri_v4:3:3465710:3479066:-1 gene:Potri.003G031000.v4.1 transcript:Potri.003G031000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031000.v4.1 MAPRSGRGKSNKARAERKRKEEKSVPSVVDVTVITPYESQVVLKVVPLLLGISTDRILDVKKLLAASVQTCHLTNYSLSHEVKGHGLHDRVEIISLKPCLLKIIEEDYTEESQAVAHVRRLLDIVACTTRFSNKSRRPSQSISQSKRSNSSRSPRTSTPATPLSDDAASETTSVSAAMSESMDMAAIHPTPKLSEFYDFFSFSHLPPPILDLRRCSEVKDGEERSRPGDYFEFQVKICNGKLIKVVASVKGFYAVGKQFSQSHSVVDLLQNLSRAFANAYDSLMKAFVEHNKFGNLPYGFRANTWLVPPSVADSPSNFPSLPVEDESWGGNGGGQGRYGGYDLRPWATDFAILASLPCKTEEERVVRDRKALLLHSQFVDVSIFKAVGAIQGVIDSNLQARDTISGSFLLEDHVGDLSIVVERDAADASLKTVVKVNGNHLSGIPAKEIAQRNLLKGVTADESVVVHDTSSLSTVIVRLCGYTATVKVVGNVKKKKFDAQDIEIDDLPDGGANALNINSLRVLLHKCCSAESSLGQSSHSTLEELEASRCLIRKVIKESLTKQEEKPIASERSIRWELGSCWLQHLQKHEASKDTNSKSPEDNSENEQAVKGLGKEFKFLKKRDMKLTVTSTHDREEIESGLCSQAMGINAGQHSNDESNIGCELRRLVSEEAFLRLKESGTGLHLKSADELLQTAYRYYDEVALPKLVTDFGSLELSPVDGRTLTDFMHFRGLQMRSLGRVVELAEKLPHIQSLCVHEMVTRAFKHILKVVIASINNISDLSAAIASSLNFLLGSCGVEGSDQTMKDDHALKLQWLRTFLSQRFGWTLKDEFQHLRKLSILRGLCHKVGLELVPRDYDMECSNPFRKCDIISVVPVCKNVGCSSADGRTLLESSKVALDKGKLEDAVNYGTKALAKMIAVCGPYHRTTASAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLSVFYYRLQHVELALKYVNRALFLLQFACGLSHPNTAATYINVAMMEEGMGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLKILQAKLGTEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASIASKGHLSVSDLLDYISPDQDSRGSDALRKQRRAKVLQVSDKSYQVHQDVMVKDGLGNAMVMTDDGNTQEQGVDMIHNEEAEENDDITKYRPTVAGEVVEETTSDEGWLEANPKGRSWKAAGRKSGRRRPALAKLNINTAEYSSNRERRYRSQIISPAQRKTPRTITMEVSPAKQSIELQAKATVSKPFCAPANLTAMASKSLSYKEVAVAPPGMALKPSQEIVEESSGAKPETQICGVVPETFKEEESNDIPVIDNKPGPDEAEGTHESETQPEKSGPEVEEISSSNQEKYIEKNGSKLSAAAEPFNPGVCPLVHPLNSASAPSIYDATASQGMLVVPAVAPPLARVPRGPRSPLYYRTAQSYHMRQGLLKYRTHLATQPRSMNPHAPEFVPSRAWQTNPENGDSAISTEMKSLLETSKAREEEEDFDEESGNEVQDCSTKRTTSETEKAELARQILLSFIVKSVQNNIDGGSETLGSKRLDSSESSSDAIANDTAIIKILYGNEGKTKLVTQSSDGEQLKTPDANKNNHGDGEGFIVVTKRRRNKQQFTNGVAGLYNQQSLCAPVL >Potri.002G177900.2.v4.1 pep chromosome:Pop_tri_v4:2:13838212:13844126:1 gene:Potri.002G177900.v4.1 transcript:Potri.002G177900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177900.v4.1 MSVKVPAARTRVGKYELGKTIGEGSFAKVKVAKNVQTGDVVAIKILDRDQVLRHKMVEQLKREISTMKLIKHPNVIKIFEVMASKTKIYIVIEFVDGGELFDKIAKHGRLKEDEARRYFQQLIKAVDYCHSRGVFHRDLKPENLLLDSRGVLKVSDFGLSALSQQLRGDGLLHTACGTPNYVAPEVLRDQGYDGTASDVWSCGVILYVLMAGFLPFSESSLVVLYRKICRADFTFPSWFSSGAKKLIKRILDPKPLTRITVSEILEDEWFKKGYKPPQFEQEEDVNIDDVDAVFNDSKEHLVTERKVKPVSINAFELISKTQGFSLDNLFGKQAVRIIRFLSSLWSLHIKFAIRCATNVKVMYYGGKIL >Potri.002G177900.1.v4.1 pep chromosome:Pop_tri_v4:2:13838059:13845540:1 gene:Potri.002G177900.v4.1 transcript:Potri.002G177900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177900.v4.1 MSVKVPAARTRVGKYELGKTIGEGSFAKVKVAKNVQTGDVVAIKILDRDQVLRHKMVEQLKREISTMKLIKHPNVIKIFEVMASKTKIYIVIEFVDGGELFDKIAKHGRLKEDEARRYFQQLIKAVDYCHSRGVFHRDLKPENLLLDSRGVLKVSDFGLSALSQQLRGDGLLHTACGTPNYVAPEVLRDQGYDGTASDVWSCGVILYVLMAGFLPFSESSLVVLYRKICRADFTFPSWFSSGAKKLIKRILDPKPLTRITVSEILEDEWFKKGYKPPQFEQEEDVNIDDVDAVFNDSKEHLVTERKVKPVSINAFELISKTQGFSLDNLFGKQAGVVKRETHIASHSPANEIMSRIEEAAKPLGFNVDKRNYKMKLKGDKSGRKGQLSVATEVFEVAPSLHMVELRKIGGDTLEFHKFYKSFSSGLKDVVWKSDQTIEGLRP >Potri.009G092900.1.v4.1 pep chromosome:Pop_tri_v4:9:8462429:8465095:1 gene:Potri.009G092900.v4.1 transcript:Potri.009G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092900.v4.1 MAVDNALSSPLGPPACEKDAKALQFLEEMTRNADSVQEDVLAKILTQNSEVEYLKRFNLDGAIDRETFKSKIPMIRYEDLQPEIQRIANGDRSSILSAHPISEFLTSSGTSAGERKLMPTIKQELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETRTPGGLLARPVLTSYYKSDHFKTRPYDPYNVYTSPNEAILCADSFQSMYTQMLCGLLEREQVLRVGAVFASGLLRAIRFLQLHWRELSDDIESGMLNKKITDPTVKDCMVNILKPNPKLAEFVRMECGKENWEGIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPKACTMYASSECYFGLNLNPMCKPSEVCYTIMPNMAYFEFLPHEPAGISQDSTPKLVDLADVELGKEYELVITTYAGLYRYRVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQTAVENASQLLREFNTSVVEYTSHADTKTIPGHYVIYWELLVKDSANSPGDEVLNQCCLAMEESLNSVYRQGRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSKHFSPALPRWAPERRR >Potri.016G093000.1.v4.1 pep chromosome:Pop_tri_v4:16:7842933:7844310:-1 gene:Potri.016G093000.v4.1 transcript:Potri.016G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093000.v4.1 MASAMPSTTTTTTLRPLFISQQRPTRFFFVTSGIKFPDKKWIDNKNKNNYYHHKNVSAYPGFPVLTSCSSYSLHSFLSLRSPSLLSHPSLCFLFQSRSPPLRSPFSYMTTTNQSSPDPPPQSPSTKTVRVVVKGRVQGVFYRNWTVENATQLGLKGWVRNRRDGSVEALFSGDSDKVQEMEQRCRRGPHDAMVTGFLVFPSSDDPGIGFQRKATL >Potri.006G195000.12.v4.1 pep chromosome:Pop_tri_v4:6:20261615:20268035:-1 gene:Potri.006G195000.v4.1 transcript:Potri.006G195000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195000.v4.1 MSGKASISQLSVSSSGSAGLSHVYIQHPPLRCNVPGSRGLFYDDGNKLLCSPTSDRVFSWKVAPFDPLVAPTSDLISEGPILSIRYSLDAKIIAIQRTSQEIQFFHRETGQNFCHKCKPESESILGFFWTDCPRCHFVLVKTSGLDLLACDAESKSFKLVETRKSNVSWYVYTHESRLVLLASGMQCKTFSGFQLSSAGIVCLPKFEMVMAKSEANSKPVLAAEDVYIATIYGRIYCLQIDRVAMLLHSYRFYQDAVVQQGSLPIYSSKIAVSVVDNVLLIHQVDTKVVILYDIFVDSRAPISAPLPLLFRGFPRSNASSSRSTIKDGESPEANISDSESNIYGDDWTFLIPDLTCNVSNKQLWKIHLDLEAISACSSEVPSVLEFLQHRRLEASKAKQLCLAITHNLILERSPVLMVAKAIEVLIASYSQSLKTGSYLKGIKDTEDNVQFKSIKTNRKVNKEKLSGGAESSSTEVHTSSSQSQHLRPTNSPLNASVSERQESEVTSPAISPDEMYSLVFAPVEEEMVGDSSYFVAIIVEFLRSASSVKKKVQPNIYVLAIQLLARNERYAELSLFIINKVLQPSKEVAMQLLEAGRQNSQVRKLGLDMLRQLSLHHDYVLQLVQDGYYLEALRYARKHKVVTVRPSLFLEAALASNDSQLLAAVLRFFSDFTPGFKTTSDCHTYNRILNEMNSAVAV >Potri.006G195000.15.v4.1 pep chromosome:Pop_tri_v4:6:20261638:20268035:-1 gene:Potri.006G195000.v4.1 transcript:Potri.006G195000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195000.v4.1 MSGKASISQLSVSSSGSAGLSHVYIQHPPLRCNVPGSRGLFYDDGNKLLCSPTSDRVFSWKVAPFDPLVAPTSDLISEGPILSIRYSLDAKIIAIQRTSQEIQFFHRETGQNFCHKCKPESESILGFFWTDCPRCHFVLVKTSGLDLLACDAESKSFKLVETRKSNVSWYVYTHESRLVLLASGMQCKTFSGFQLSSAGIVCLPKFEMVMAKSEANSKPVLAAEDVYIATIYGRIYCLQIDRVAMLLHSYRFYQDAVVQQGSLPIYSSKIAVSVVDNVLLIHQVDTKVVILYDIFVDSRAPISAPLPLLFRGFPRSNASSSRSTIKDGESPEANISDSESNIYGDDWTFLIPDLTCNVSNKQLWKIHLDLEAISACSSEVPSVLEFLQHRRLEASKAKQLCLAITHNLILERSPVLMVAKAIEVLIASYSQSLKTGSYLKGIKDTEDNVQFKSIKTNRKVNKEKLSGGAESSSTEVHTSSSQSQHLRPTNSPLNASVSERQESEVTSPAISPDEMYSLVFAPVEEEMVGDSSYFVAIIVEFLRSASSVKKKVQPNIYVLAIQLLARNERYAELSLFIINKVLQPSKEVAMQLLEAGRQNSQVRKLGLDMLRQLSLHHDYVLQLVQDGYYLEALRYARKHKVVTVRPSLFLEAALASNDSQLLAAVLRFFSDFTPGFKTTSDCHTYNRILNEMNSAVAV >Potri.006G195000.14.v4.1 pep chromosome:Pop_tri_v4:6:20261636:20268035:-1 gene:Potri.006G195000.v4.1 transcript:Potri.006G195000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195000.v4.1 MSGKASISQLSVSSSGSAGLSHVYIQHPPLRCNVPGSRGLFYDDGNKLLCSPTSDRVFSWKVAPFDPLVAPTSDLISEGPILSIRYSLDAKIIAIQRTSQEIQFFHRETGQNFCHKCKPESESILGFFWTDCPRCHFVLVKTSGLDLLACDAESKSFKLVETRKSNVSWYVYTHESRLVLLASGMQCKTFSGFQLSSAGIVCLPKFEMVMAKSEANSKPVLAAEDVYIATIYGRIYCLQIDRVAMLLHSYRFYQDAVVQQGSLPIYSSKIAVSVVDNVLLIHQVDTKVVILYDIFVDSRAPISAPLPLLFRGFPRSNASSSRSTIKDGESPEANISDSESNIYGDDWTFLIPDLTCNVSNKQLWKIHLDLEAISACSSEVPSVLEFLQHRRLEASKAKQLCLAITHNLILERSPVLMVAKAIEVLIASYSQSLKTGSYLKGIKDTEDNVQFKSIKTNRKVNKEKLSGGAESSSTEVHTSSSQSQHLRPTNSPLNASVSERQESEVTSPAISPDEMYSLVFAPVEEEMVGDSSYFVAIIVEFLRSASSVKKKVQPNIYVLAIQLLARNERYAELSLFIINKVLQPSKEVAMQLLEAGRQNSQVRKLGLDMLRQLSLHHDYVLQLVQDGYYLEALRYARKHKVVTVRPSLFLEAALASNDSQLLAAVLRFFSDFTPGFKTTSDCHTYNRILNEMNSAVAV >Potri.006G195000.10.v4.1 pep chromosome:Pop_tri_v4:6:20261325:20268038:-1 gene:Potri.006G195000.v4.1 transcript:Potri.006G195000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195000.v4.1 MSGKASISQLSVSSSGSAGLSHVYIQHPPLRCNVPGSRGLFYDDGNKLLCSPTSDRVFSWKVAPFDPLVAPTSDLISEGPILSIRYSLDAKIIAIQRTSQEIQFFHRETGQNFCHKCKPESESILGFFWTDCPRCHFVLVKTSGLDLLACDAESKSFKLVETRKSNVSWYVYTHESRLVLLASGMQCKTFSGFQLSSAGIVCLPKFEMVMAKSEANSKPVLAAEDVYIATIYGRIYCLQIDRVAMLLHSYRFYQDAVVQQGSLPIYSSKIAVSVVDNVLLIHQVDTKVVILYDIFVDSRAPISAPLPLLFRGFPRSNASSSRSTIKDGESPEANISDSESNIYGDDWTFLIPDLTCNVSNKQLWKIHLDLEAISACSSEVPSVLEFLQHRRLEASKAKQLCLAITHNLILERSPVLMVAKAIEVLIASYSQSLKTGSYLKGIKDTEDNVQFKSIKTNRKVNKEKLSGGAESSSTEVHTSSSQSQHLRPTNSPLNASVSERQESEVTSPAISPDEMYSLVFAPVEEEMVGDSSYFVAIIVEFLRSASSVKKKVQPNIYVLAIQLLARNERYAELSLFIINKVLQPSKEVAMQLLEAGRQNSQVRKLGLDMLRQLSLHHDYVLQLVQDGYYLEALRYARKHKVVTVRPSLFLEAALASNDSQLLAAVLRFFSDFTPGFKTTSDCHTYNRILNEMNSAVAV >Potri.006G195000.13.v4.1 pep chromosome:Pop_tri_v4:6:20261633:20268035:-1 gene:Potri.006G195000.v4.1 transcript:Potri.006G195000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195000.v4.1 MSGKASISQLSVSSSGSAGLSHVYIQHPPLRCNVPGSRGLFYDDGNKLLCSPTSDRVFSWKVAPFDPLVAPTSDLISEGPILSIRYSLDAKIIAIQRTSQEIQFFHRETGQNFCHKCKPESESILGFFWTDCPRCHFVLVKTSGLDLLACDAESKSFKLVETRKSNVSWYVYTHESRLVLLASGMQCKTFSGFQLSSAGIVCLPKFEMVMAKSEANSKPVLAAEDVYIATIYGRIYCLQIDRVAMLLHSYRFYQDAVVQQGSLPIYSSKIAVSVVDNVLLIHQVDTKVVILYDIFVDSRAPISAPLPLLFRGFPRSNASSSRSTIKDGESPEANISDSESNIYGDDWTFLIPDLTCNVSNKQLWKIHLDLEAISACSSEVPSVLEFLQHRRLEASKAKQLCLAITHNLILERSPVLMVAKAIEVLIASYSQSLKTGSYLKGIKDTEDNVQFKSIKTNRKVNKEKLSGGAESSSTEVHTSSSQSQHLRPTNSPLNASVSERQESEVTSPAISPDEMYSLVFAPVEEEMVGDSSYFVAIIVEFLRSASSVKKKVQPNIYVLAIQLLARNERYAELSLFIINKVLQPSKEVAMQLLEAGRQNSQVRKLGLDMLRQLSLHHDYVLQLVQDGYYLEALRYARKHKVVTVRPSLFLEAALASNDSQLLAAVLRFFSDFTPGFKTTSDCHTYNRILNEMNSAVAV >Potri.006G195000.11.v4.1 pep chromosome:Pop_tri_v4:6:20261615:20268037:-1 gene:Potri.006G195000.v4.1 transcript:Potri.006G195000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195000.v4.1 MSGKASISQLSVSSSGSAGLSHVYIQHPPLRCNVPGSRGLFYDDGNKLLCSPTSDRVFSWKVAPFDPLVAPTSDLISEGPILSIRYSLDAKIIAIQRTSQEIQFFHRETGQNFCHKCKPESESILGFFWTDCPRCHFVLVKTSGLDLLACDAESKSFKLVETRKSNVSWYVYTHESRLVLLASGMQCKTFSGFQLSSAGIVCLPKFEMVMAKSEANSKPVLAAEDVYIATIYGRIYCLQIDRVAMLLHSYRFYQDAVVQQGSLPIYSSKIAVSVVDNVLLIHQVDTKVVILYDIFVDSRAPISAPLPLLFRGFPRSNASSSRSTIKDGESPEANISDSESNIYGDDWTFLIPDLTCNVSNKQLWKIHLDLEAISACSSEVPSVLEFLQHRRLEASKAKQLCLAITHNLILERSPVLMVAKAIEVLIASYSQSLKTGSYLKGIKDTEDNVQFKSIKTNRKVNKEKLSGGAESSSTEVHTSSSQSQHLRPTNSPLNASVSERQESEVTSPAISPDEMYSLVFAPVEEEMVGDSSYFVAIIVEFLRSASSVKKKVQPNIYVLAIQLLARNERYAELSLFIINKVLQPSKEVAMQLLEAGRQNSQVRKLGLDMLRQLSLHHDYVLQLVQDGYYLEALRYARKHKVVTVRPSLFLEAALASNDSQLLAAVLRFFSDFTPGFKTTSDCHTYNRILNEMNSAVAV >Potri.002G173600.1.v4.1 pep chromosome:Pop_tri_v4:2:13373003:13377749:1 gene:Potri.002G173600.v4.1 transcript:Potri.002G173600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173600.v4.1 MSTTLRDLLVAERENEASPPPFPGPSTRYSVSGDISDEEDSTNTSPLFSSEEEQSPENLKKVTAPAAYLLDLKLNENLDKEELKKSSEFITLEPATIVDKSFEESEPSYSSVKEVLKTAEKSPFSSDLKCKQLSEVSSDLKIESVTLTDLTKEPSASLEKVIAKSVEKCEAKGEATVSEESTVITSREVVGGRIPEKDEATVTVQSNVCVSDNAKSTALKQCPSVGVKLDVLDLNISQRLNGGGLLMSPESCTMVDEAWERLNKSYVYFKGKPVGTLAAMDTSADALNYNQVFVRDFVPTGLACLMKEPPEPEIVRNFLLKTLHLQGLEKRVDNFTLGEGVLPASFKVLYDSDLEKETLLVDFGASAIGRVAPVDSGFWWIILLRSYIKRTRDYALLDRPEVQNGMKLILKLCLSDGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFYFALRCAKQMLKPELDGKEFIERIEKRITALSYHIQTYYWLDFTQLNNIYRYKTEEYSHTAVNKFNVIPESIPDWVFDFMPLRGGYLIGNVSPARMDFRWFLVGNCVAILSSLVTPAQATAIMDLVEERWEDLIGEMPLKITYPALEGHEWRLVTGFDPKNTRWSYHNGGSWPMLLWLLSAACIKVGRPQIAKRAIELAEQRLSKDGWPEYYDGKTGRYVGKQARKYQTWSIAGYLVAKMMVENPSNLLMISLEEDKKSARSRLTRSNSTSF >Potri.002G049800.1.v4.1 pep chromosome:Pop_tri_v4:2:3346889:3351203:1 gene:Potri.002G049800.v4.1 transcript:Potri.002G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049800.v4.1 MSHPAAETSSFMTDFLRQSGGVAIIDGGLATELERHGADLNDPLWSAKCLLTSPHLVRAVHLDYLEAGADIIITASYQATIQGFEAKGFSREDSEALLRKSVEIACEARDIYYGRCREGSPDGSDDGRVLKHRPILVAASVGSYGAYLADGSEYSGNYGDAITLETLKDFHRRRVQILAESGADLIAFETVPNKVEAQAYAELLEEEDIKIPAWFSFNSKDGINVVSGDSLLECASIAESCKNAVAVGINCTPPRFIHELILSIKKVTTKPILIYPNSGESYDGDRKEWVQNTGISDQDFVSYVNKWCEIGAALVGGCCRTTPHTIRAIYKTLPNRSAALSSH >Potri.012G075600.1.v4.1 pep chromosome:Pop_tri_v4:12:9903576:9909606:-1 gene:Potri.012G075600.v4.1 transcript:Potri.012G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075600.v4.1 MAIHLPNRQLFIDGEWRETVLKKRIPVINPATEQIIGDIPAATAEDVEIAVEAAKKAFSRNKGKDWSSASGAYRARYLRAIAAKITERKSELGKLEAIDSGKPLDEALWDMDDVAGCFEYYADLAEGLDTKQKAPVSLPMETFKSFVLKEPLGVVALITPWNYPLLLATWKVAPALAAGCTAILKPSELASVTCLELGEVCREVGLPPGVLNILTGLGTEAGAPLASHPHVDKVAFTGSTATGSRIMASAAQMVKPVSMELGGKSPIIVFEDVDLDKAAEWTLFGCFWTNGQICSATSRLLVHESIASEFLDKLVKWTKKIKISDPFEEGCRLGPLVSGEQYDKILKFIATAKSEGATILSGGDRPKHLNKGFFVEPTIIIDVTTSMQIWREEVFGPVLCVKTFSTEDEAIDLANDTHYGLGAAVISNDPERCDRVAKAFRAGIVWINCSQPCFCQAPWGGIKRSGFGRELGEWGLENYLSVKQVTRYISEEPWGWYQAPSKL >Potri.007G012900.1.v4.1 pep chromosome:Pop_tri_v4:7:996546:999313:-1 gene:Potri.007G012900.v4.1 transcript:Potri.007G012900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012900.v4.1 MACAESNSATLLHLLTLLLLTISLPYKSLSIRIPDHVSTAIRNPPPDYPLKTAVFALGCFWRSEAVFGCLNGVVRTTVGYCGGSKLNPVYRSLGDHAESVQVEYDPKVISYSQLLEVFWASHDSRQVFGQGPDVGNQYRSVIFTNGTEERRLAGVSKEREQLKLRSSVVVTQIQQLGTFYPAEPEHQKFELKQNPFIRQLMGNLPEADLENSSLAAKLNGYAAELCPPRIQKQINAKINDILRKGWPVLRDV >Potri.016G050400.1.v4.1 pep chromosome:Pop_tri_v4:16:3250372:3256163:-1 gene:Potri.016G050400.v4.1 transcript:Potri.016G050400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G050400.v4.1 MSNYVDYNQKIDYVFKIVLIGDSAVGKSQLLARFARNEFTVDSKATIGVEFQTKTLAMHNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHMARWLEELRGHADKSIIIMLIGNKCDLGSLRAVPAEDAKEFAQRENLFFMETSALEATNVETAFLTMLTEIYRIISKRTLAGNDESNENSGAFKGTRILVPSQEQNSEKKGCCM >Potri.001G244800.1.v4.1 pep chromosome:Pop_tri_v4:1:26194783:26197821:1 gene:Potri.001G244800.v4.1 transcript:Potri.001G244800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244800.v4.1 MAPTLTSNSFLPNTTPHSRLSPKNPRLTVFAKQAGPFSPFQFGKPKDDASSSEGSQTDGSGNSSPFNFNFGKIPGVKSLVPVVSKPASGLSFGNSRRKDPGTVFVAGATGQAGIRIAQTLLREGFSVRAGVPELGAAQELARLAAQYKIISNEEVKRLNAVESTFQDAESIAKAIGNASKVVVTIGPTENGPTSEVSTLDALQVIEAAQLAGVGHVAIIYNGNIDSASTYNVLDGFKSFFNNLFSQSQLSVPEFLQKVIETDVKYTFIKTSLTEDFSPESSYNVVVSAERSTSADDYKVAKSQIALVVANVFSNTSAAENKVVEVFTSPSAPSRPVDELFSAIPEDGRRKVYAEAFAKAKAEEEARIAVEKASEAAKKLEEEVKKLSEQEANAASLAEEAQEKAEAAGASVESFLGKAKEIGSGLSWEKISSQISTAVQTTSEKTKVQIATVRGQAKARSLPGQKAVVKRPGPKLFALKPKEEPKPKAKESTESKTELRKMFGGLFQQETIYIDDD >Potri.015G112140.1.v4.1 pep chromosome:Pop_tri_v4:15:12849786:12852161:-1 gene:Potri.015G112140.v4.1 transcript:Potri.015G112140.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112140.v4.1 MAELAETAVMPKVITFLSSLLQRVAESNDISHQLYPQKASIFHGLTRPTISIQNYLERIFKYSNCSPSCFVVAYVYLDRFSQRQSCFPLNSFNVHRLLITSVLVSVKFMDDIYYNNAFYAKVGGISTREMNLLEVDFLFGLGFQLNVTPTTFHLYCSYLQREMSIQSPLQIVDTPLNIARPLKIHCCFNEDESTHQKQLAV >Potri.003G148400.1.v4.1 pep chromosome:Pop_tri_v4:3:16233396:16237266:1 gene:Potri.003G148400.v4.1 transcript:Potri.003G148400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148400.v4.1 MASSAWRKLKKALKSKLSFLSNSTRTPIPTPTNPTTFTTENARFTTATPPPVPTSSSSSFSARLSRSFSLHSSSKKCAICLRSLRKGQGQAIFYAECSHPFHFNCIADNTKHGNLKCPICRSKWKDVPFQAPRNAPNFQRAGSLHAHVSPYNVPPVQIEAEHFSDDEVLSDVSPDQSLSSRPHAITVKTFTEYPAVSASESFSNFGVLVRILAPPLDNTLPHHRARAPIDVVTVLDVSGSMASKLILLKRAVNFIIQNLGPSDRLSIVTFSSSARRMLPLRRMSGSGREDATSVVDSISAIGGTNIVAGLKKGVQVLEERRQHNSVATIILLSDGCDTQSHNAQNRLDYLKFLPTSIFPNNNTSREESKQPTFPVYTFGFGSDHDSAAMHAISDASRGTFSFIESINILQDAFARCIGGLISIVARDVQLKVRSASPGVQILSTPSGRHKNKIFDQGHQAVIDIGDLYAEEEKEFLVFLSIPVSSAVDGEERLENTSLVEVSCFHKGSVSVDTVQVEGERVEIRRPPTLSPIDRVLCLEVDRQRNRLLVTETIAKTQRMAEMGDLKGAQALLAKQLSTLLTTASSQAGDDLCNQLEAELKETRERMETRELYERSGRAYVLSGMSSHSWQRAATRGHSTTILSQGGNSDTSFTTSYETPSMTSMVLKSQILNLAPRE >Potri.001G298266.1.v4.1 pep chromosome:Pop_tri_v4:1:30907350:30907643:1 gene:Potri.001G298266.v4.1 transcript:Potri.001G298266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298266.v4.1 MKAVGSSELGLLPAEGDDEENGFVLASSWGENGKGELRWLLVKEGLLLAGEGRRRCWNRLEREKENEGLCRKGTPAGRGKPKTWGAGSLQQGKGGFG >Potri.006G032500.3.v4.1 pep chromosome:Pop_tri_v4:6:2040157:2044242:-1 gene:Potri.006G032500.v4.1 transcript:Potri.006G032500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032500.v4.1 MVQNPVCFTRKASLLYRFVGFSPKPTPHFSLRTQLSLNPAQITGFRACSSSSPFSNSSHGAVFKDMGGSKIGFRQLDFDQFRVLAVSGGGSGGSGRLGGSSGGGGGQGGAASGGSGSGGNRNWSFLSWYLNLLAKYPVLTKAVTSAILTLMGDLICQLVIDQAPSLDLKRTFVFTFLGLVLVGPTLHFWYLYLSKLVTLPGASGAFLRLLVDQFVFSPIFIGVFLSTLVTLEGRPSEVLPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANVIALVWNVILSFKAHKEVLPK >Potri.006G032500.2.v4.1 pep chromosome:Pop_tri_v4:6:2040130:2044285:-1 gene:Potri.006G032500.v4.1 transcript:Potri.006G032500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032500.v4.1 MVQNPVCFTRKASLLYRFVGFSPKPTPHFSLRTQLSLNPAQITGFRACSSSSPFSNSSHGAVFKDMGGSKIGFRQLDFDQFRVLAVSGGGSGGSGRLGGSSGGGGGQGGAASGGSGSGGNRNWSFLSWYLNLLAKYPVLTKAVTSAILTLMGDLICQLVIDQAPSLDLKRTFVFTFLGLVLVGPTLHFWYLYLSKLVTLPGASGAFLRLLVDQFVFSPIFIGVFLSTLVTLEGRPSEVLPKLQQEWFSAVLANWQLWIPFQFLNFRFVPQQFQVLAANVIALVWNVILSFKAHKEVLPK >Potri.013G070400.2.v4.1 pep chromosome:Pop_tri_v4:13:5746698:5754328:-1 gene:Potri.013G070400.v4.1 transcript:Potri.013G070400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070400.v4.1 MKGKRKATANPVLADTAEGSSNSLIETQVREGNIGEEKEINWVDNGENREETEGEEEEEEDNDDEEEEEEDPKGKDEKGNLFDEERTKLDEGFFEIEAIRRKRVRKGQLQYLIKWRGWPETANTWEPLENLQSCSDVIDAFEESLRSGRSSRKRKRKHGAPHTPSKKKQPRSSAVYNVMDVEVSIADKHLPSAPLNNSLLADLPSPSQFIGLGHGGESSGDVNNIKTSKQTDENGSINGSKHIFERKEDNEYDPKLSELIGTIPNIDVNTNKFTIHFQEEKASEDNGIANGLPKVDYVDLVQNSRCTGAKKRKSGSVKRFKKDSVMCEPVFLPNSSGNFSVGSTGAAAQPGIENPSLTWGNSSHMPMTGNSINAFAITKILKPIGFSASVFDNVQDVLITFRALRSDGQEVTVDNKFLKANNPHLLINFYEQHLKYST >Potri.019G131400.10.v4.1 pep chromosome:Pop_tri_v4:19:15377707:15381083:-1 gene:Potri.019G131400.v4.1 transcript:Potri.019G131400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131400.v4.1 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Potri.019G131400.4.v4.1 pep chromosome:Pop_tri_v4:19:15377692:15381083:-1 gene:Potri.019G131400.v4.1 transcript:Potri.019G131400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131400.v4.1 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Potri.019G131400.11.v4.1 pep chromosome:Pop_tri_v4:19:15377642:15381083:-1 gene:Potri.019G131400.v4.1 transcript:Potri.019G131400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131400.v4.1 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVSIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Potri.015G122200.1.v4.1 pep chromosome:Pop_tri_v4:15:13556285:13560913:-1 gene:Potri.015G122200.v4.1 transcript:Potri.015G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122200.v4.1 MLRNRRRPRYSPQICAVISALLLLFSVSLLYTRLSSSPQQNTVPSSFKTQADEFSIAIDKIDEQDTIKEEEGYHQNDSNEDQNDTEEEDTKRPTISSSGYYMDHVTGSIRRYLNKKSIEDYDFSDYNSNGFSLGLNVEDYKSKTAFGSDDIVVDEEIKRKLSEVKSIEDALLLKIGKKVSPLREGWGDWFDKKSDFLRRDKMFKSNLEVLNPLTNPLLQDPDGVGVTGLTRGDKVMQKMILSEFKRNPFGGKRSLSGLEMIHNAEVGGKRGEVKRVLNEDNGKVLKDGDGNIGKDVSFKGNGIVLHEDHERNLMKDKKLSNGLNGDDGRNLMKGKSLSDVSNVEGVKDYQGDKNLSANENVVDKSSGVGGETRQMIGMKTKRSKDQQRKPEESSHILADGKRWGYFPGLHPHLSFSDFMASFFRNGKCGMRVFMVWNSPPWMHSVRHQRGLESLLSHHRDACVVIFSETIDLDFFKDSFVKDGYKVAVAMPNLDELLKDTPTHIFTSVWFQWRKTKFYPTHYSELVRLAALYKYGGIYLDSDVIVLNSLSSLNNTVGLENPRAGSSLNGAVMAFRKHSPFITKCLNEFYMTYDDNRLRWNGADLLTRVARNFLSKENKSIDQKELKVQPSYIFFPISSQNITSYFTTPANENEKAHQDALFEKILSESLTFHFWNSLTSTLTPEPGSLVSRLIDHSCIRCFDVL >Potri.015G122200.2.v4.1 pep chromosome:Pop_tri_v4:15:13555940:13560909:-1 gene:Potri.015G122200.v4.1 transcript:Potri.015G122200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122200.v4.1 MLRNRRRPRYSPQICAVISALLLLFSVSLLYTRLSSSPQQNTVPSSFKTQADEFSIAIDKIDEQDTIKEEEGYHQNDSNEDQNDTEEEDTKRPTISSSGYYMDHVTGSIRRYLNKKSIEDYDFSDYNSNGFSLGLNVEDYKSKTAFGSDDIVVDEEIKRKLSEVKSIEDALLLKIGKKVSPLREGWGDWFDKKSDFLRRDKMFKSNLEVLNPLTNPLLQDPDGVGVTGLTRGDKVMQKMILSEFKRNPFGGKRSLSGLEMIHNAEVGGKRGEVKRVLNEDNGKVLKDGDGNIGKDVSFKGNGIVLHEDHERNLMKDKKLSNGLNGDDGRNLMKGKSLSDVSNVEGVKDYQGDKNLSANENVVDKSSGVGGETRQMIGMKTKRSKDQQRKPEESSHILADGKRWGYFPGLHPHLSFSDFMASFFRNGKCGMRVFMVWNSPPWMHSVRHQRGLESLLSHHRDACVVIFSETIDLDFFKDSFVKDGYKVAVAMPNLDELLKDTPTHIFTSVWFQWRKTKFYPTHYSELVRLAALYKYGGIYLDSDVIVLNSLSSLNNTVGLENPRAGSSLNGAVMAFRKHSPFITKCLNEFYMTYDDNRLRWNGADLLTRVARNFLSKENKSIDQKELKVQPSYIFFPISSQNITRYSPNFEFISLHAYSFFSIQISFFFGNHTCTSKLLKMLLFMSSLECIAENIGFWFQLPLPHNFVYTYWKTKRKT >Potri.015G130701.2.v4.1 pep chromosome:Pop_tri_v4:15:14103330:14108378:-1 gene:Potri.015G130701.v4.1 transcript:Potri.015G130701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130701.v4.1 MESLGYLDDFSGVQEGSNEDGKSACERESIACAQDNEVNNGMKSPGPAGESIGDQDDGDEELRSADDKEDDGDGEDNKLMESLGHLDDCTDVQEGCDEEGDIADDVEDNEDSDDTEEVTTVHVKGLVKSWNVKKLKELCKQYGEIINVLLPRNFGAKHKDFGFIAFSSHKSALACVEGINKTQLGGETKVKADLAKTRFRGAAQKKRRWGKHHAKYEEVGTEIKAQAHGNKSKGTRKRGRGIAKEEIQAPSLLNSTKEGKPNNHRTSFSEGQDAN >Potri.015G130701.1.v4.1 pep chromosome:Pop_tri_v4:15:14102217:14108391:-1 gene:Potri.015G130701.v4.1 transcript:Potri.015G130701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130701.v4.1 MESLGYLDDFSGVQEGSNEDGKSACERESIACAQDNEVNNGMKSPGPAGESIGDQDDGDEELRSADDKEDDGDGEDNKLMESLGHLDDCTDVQEGCDEEGDIADDVEDNEDSDDTEEVEDPSLTSSGKQRQLEIHVTTVHVKGLVKSWNVKKLKELCKQYGEIINVLLPRNFGAKHKDFGFIAFSSHKSALACVEGINKTQLGGETKVKADLAKTRFRGAAQKKRRWGKHHAKYEEVGTEIKAQAHGNKSKGTRKRGRGIAKEEIQAPSLLNSTKEGKPNNHRTSFSEGQDAN >Potri.009G074100.1.v4.1 pep chromosome:Pop_tri_v4:9:7239838:7242242:-1 gene:Potri.009G074100.v4.1 transcript:Potri.009G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G074100.v4.1 MAAACLIDATRSTTCRDTQAATHLKLISILIIFFTSIIGISFPVLLARYFQGKPVYDKATLIIKCFAAGVILSTSLVHVLPDAFGALSDCHVASKHPWKDFPFAGLITLIGVLLALLVDLTASAHVEQHGQGHGDGDGDGEYTVVGTQEEMVGKKVSDMSVRVEIMGEVDLVKVKQRLVSQVLEIGIIFHSVIIGVTMGMSQNKCTIRPLVAALAFHQIFEGMGLGGCIAQAGFGFGTVAYMCFMFAVTTPMGIVLGMIIFSITGYDDSNPNALIMEGLLGSLSSGVLVYMGLVDLIAVDFFHNKLMSSAPWLKKASFIALALGSTSMSILALWA >Potri.001G386300.1.v4.1 pep chromosome:Pop_tri_v4:1:40527146:40541138:1 gene:Potri.001G386300.v4.1 transcript:Potri.001G386300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386300.v4.1 MALWPPLLSKTFSVLVSGFVVLNCFAVDKFGSHVQGVTALLPLDEVQTLQNISNKLNISSWATINRTSCRSAQWNQTINSKTQSIVTCNCTFENGSVCHVTNISVKSFNLNGVLPEELGDLPHLLEIDLTRNYINGTIPPRLAQLPNLKILSLIVNRLTGPIPPEIGNITTLEELVLDDNLLGGPLPPELGNLTSLRRLVLSSNNFTGRIPETLGNLKNLTEFMIDGSELSGKIPEFIGNWSNIEKLDLQGTSMEGPIPSTISLLTSLKSLRISDLNGSSSPFPNLEAMKNLEKLILRNCLINDSIPDYIANMSSLNFLDLSFNKLTGRISNFTNLESRTVFLNNNLLTGEVPNWALNNKKPVDLSYNNFTWSALEGSALGGCQQLKKDQNLVSSHLSNNNTDEWCFTKGLPCTQNPEYRSLFINCGGVSAPFHDDTYEGDSTDGGPVAFFFLQRQWGYSSTGTYMENNSVPTSIAKNDYNLSVTGVYETARLAPQSLKYYALCLPKGKYKVQLHFAEIMYSNDQTYRSLGRRIFDISIQGITLRKNFNIMEKAGGVGIGITEVFDNIIVNGSTLEIHLYWAGKGTTFVPNRGVHGPLISAITVTPKFKNGSGLSIGAVIGIVAASCVLAALFLLLLRSKGYLAGKELVDKELRGLDLQTGYFTLRQIKHATNNFDTANKIGEGGFGPVFKGVLSDGAVIAVKQLSSKSRQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGREEHQLQLDWQTRRKILLGIAKGLSYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLHERNNLLELVDPRLGSSYSKEEAMKMLNLALLCTNLSPSLRPAMSSVVRMLEGKIPVQAPIINRGSMDQEARFKAFELLSQDSQTQVSSLSQSSQVQSSSVSRDGPWLDSSYSLQSNDETKDLYPINLD >Potri.019G001200.1.v4.1 pep chromosome:Pop_tri_v4:19:736419:738141:1 gene:Potri.019G001200.v4.1 transcript:Potri.019G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001200.v4.1 MKIEITSRKWITPSSPTPPHLQSLKISSFDQHGQNHYVPSFHFYPANEEECGVISNAEKSLRLQESLSEVLTLYYPFAGRYSSDEPLIECNDKGAEYLEAQVAGSLSQLLSDEELETQLQNHFVPPMFDPISSPPLVVQFNRFECGGIAIGVSMTHKMVDAFSAFGFITAWATACRIGIDKACPPSFELGSIFPPRDAFRIENWERKATATNKIVTKRFVFDAVAISNLKAAVSASARNNSSELPKQQITRVKAVAALIWGSCIRVSQAVHGRMRPSIIKFPINLRGKTNLPIPENSCGNFAGWSAPHFMPNDEGELKIHELVSRIHDGIEQTLTNYAKASSSDEFFIMVMNDFRKLDEALKQTEQQDVYLFSCWCRFPMYEADFGWGKPSLVSRGVQAHKEMILLFDTKDGNGIDAWVSLEENNMLLFKQDPDLLAFTT >Potri.008G121300.1.v4.1 pep chromosome:Pop_tri_v4:8:7870739:7876067:-1 gene:Potri.008G121300.v4.1 transcript:Potri.008G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121300.v4.1 MSLLNQLFNRGVFGSKCKTCLNLAISRIKLLQNKRDLQLKHMRKEIAQFLQAGQEAIARIRVEHVIREQNIRAAYEILELFCEFVLVRVPILESQKECPAELREAIASIIFAAPRCSEVPDLLQIKNLFAAKYGKEFNMAASELRPDSGVNRAIIERLSVRAPPAEARLKVLKEIAQEFSLEWDSSNTEAELGKKHEDLLGGSKEIMADAILPQAPTKQNSPLSPPSNGAHSTLNTDNKQGSHRLEAPALVSNMPRVNANEIEPSIRNYMADVQRETTSQSSDVLERARVAIASAERATIAARAAAELVNVQFG >Potri.012G028600.3.v4.1 pep chromosome:Pop_tri_v4:12:2841983:2845738:-1 gene:Potri.012G028600.v4.1 transcript:Potri.012G028600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028600.v4.1 MGFSLPCLSQSLSFILLLFHFHSTISSPLSSNYSSSSSHLCAHRQSLSLLQFKLSFSIQSSPFWFARNYQYDQYPKTGSWKEGTDCCLWDGVTCDLKTGHVTALDLSCSMLYGTLLPNNSLFSLHHLQQLDLSFNDFNSSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNDYVSVEPISFDKLSFDKLVRNLTKLRELDLSLVNMSLVVPDSLMNLSSSLSSFKLNYCRLKGKLPSSMGKFKHLQYLDLGGNDFTGSIPYDFDQLTELVSLRLSFNFYPSLEPISFDKLVRNLTKLRELDLSWVNMSLVVPDSFMNLSSSLSSLKLNHCRLQGKLPSSMGKFKHLQYLDLGGNDFTGSIPYDFKQLTELVSLGLSSNNYLSLEPISFHKIVQNLTKLRELDLGYVNMSLVSQKIFNSLTNLSSSLSSLSLWSCGLQGKFPGNIFLLPNLELLDLSYNEGLIGSFPSSNLSNVLSLLDLSNTRISVYLENDLISNLKSLEYIFLRNCNIIRSDLALLGNLTKIIYLDLSSNNFIGEIPSSLENLVHLRYLKLDSNKFMGQIPDFLSSLSNLRSLHLYGNLFNGTIPSSLFALPSLYYLDLHNNNLIGNISELQHDSLTYLDLSNNHLRGPIPSSIFKQENLEVLILESNSKLTGEISSSICKLRFLHVLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNNLQGTLPSTFSKDNSLEYLNLNGNELEGKIPPSIINCTMLEVLDLGNNKIEDAFPYFLETLPKLQILVLKSNKLQGFVKGPTAHNSFSKLQILDISDNDFSGSLPTGYFNSLEAMMASDQIMIYMNATNYSSYVYSIEMTWKGVEIEFPKIQSTIRILDLSKNNFIGEIPKVIGKLKALQQLNLSHNSLTGQIQSSLGNLTNLESLDLSSNLLTGRIPTQLAGLTFLAILNLSHNQFEGRIPSGEQFNTFTVTSFEGNLGLCGFQVLKECYGDEAPSLLPSSFDEGDDSTLFGDGFGWKAVTMGYVCGFVFGVATGYVVFRTKKPSWFFRMVEDIWNLKSKKTKKNVDRCGARRN >Potri.002G132700.1.v4.1 pep chromosome:Pop_tri_v4:2:9998659:10004254:1 gene:Potri.002G132700.v4.1 transcript:Potri.002G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G132700.v4.1 MGWLSKIFKGSNHNISEGHYYGNYGQDANYNAPSTSGEAYLEQENEEDIDRAIALSLLEDNHIGKNVADVEIQLKEDEQLAKALQESLSVESPPRHGIPYQGNAYQPYPIHFPMGFRVCAGCNTEIGQGKFLNCLNAFWHPECFRCHACGLPISDYEFSMTGNYPYHKFCYKERYHPKCEVCKLFIPTNPAGLIEYRAHPFWIQKYCPSHEHDGTPRCCSCERMEPQDTGYVALNDGRKLCLECLDSAVMDTKHCQPLYLDIQEFYERLNMKVEQDVPLLLVERQALNEARDGEKNGHYHMPETRGLCLSEEQTISTVSKQPRFGAGNRAMGMMTEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWMRLKGFQTLSQDVEEGICQVLAHMWLDSELTPTSGSNIASSSASRTTKNGTRSQFERKLGDFFKHQIESDTSPVYGDGFRAGQQAVQKYGLERTLDHIRMTGKFPY >Potri.001G003100.4.v4.1 pep chromosome:Pop_tri_v4:1:187820:190435:-1 gene:Potri.001G003100.v4.1 transcript:Potri.001G003100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003100.v4.1 MQIAMFSTLILLGIVLLVSLYIITKTLKERLIPNPHLPPGSLGWPLIGETLNYLGTCLAGRPDWFLRDRMEKHDPQVFKTSLFGETVAVFCGPAGNKFLFSNENKLVNLWWPRSVKKLLKSSLVNVAGDEAKRIRRILLTFLDPDALKRYIERMDLVTQHHISTLWEGKEEVKVHPTVNLYTFELSCRLFISIDDPLHISKLAHHFDVFLKGVIHFPINIPGTRFYRASKAADAIKEELRLISRRRRAALDKKMASPTQDLLSHLLVTSDASGKFLSETEIVDNILLLLFASHDTTTSVVTCVMKYLAELPEVYQTVLREQIDIAKSKEPGELLKWEDIQKMRYSWNVVSEIMRLMPPVRGAFREAIVDFTYAGYTIPKGWKVYWSPMTTSKDPTLFPNAEQFDASRYDGAGPAPYSYVPFGGGPRICLGNEYARPQILVFLHNIVKRFKWDLMIPDEKVPYDPMPAPSQGLPIRLRPSA >Potri.002G263000.4.v4.1 pep chromosome:Pop_tri_v4:2:25034272:25045192:1 gene:Potri.002G263000.v4.1 transcript:Potri.002G263000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G263000.v4.1 MTEPSSEELTAEATNGIPTGLNRIKTRRVSSKEQLSSKPDELTESKIHVVASSRPPVKDKQKPMAQGRGKSASFKADSRKGKSIAQWITSYLSKESIQVINDVSPNVEEGNLEAKTPDRKERAGTEFTSGCNYLNEEISSSENPNRSKVSKGLKSFSHELGPKGGIPPAQTRAHSYSDLKELLGSLHSRFDAAKAVANTELASLIGDAMDVLEKTDFSLQEEQKLAVDLLTLSRFCMEMKCSQFRTKCEDIVQDLTEKRQQCQTGILKWLFTRMLFILTRCTRLLQFQKDSEPIDEKSLRKLKKCLESVPSVEMSWAAKRGIADSDSGYALNQKVDVKQKLQGQIAASSLPAEIYCCSEQPTDQSDLNSNKDSLFLEQKLQSQKSKNDPVSQVQHFCQGNNRSSGNISYNQNCSSLHEQGQNLDDPIDNQGRVLDGSDLVICRICEEIVPISHLESHSYICAYADKCDLNFLDIDERLSNLEEILEQIIDSRNMNFHPSYGSPENLRVQSTNSVITEGQSPKISEWRNRGVEGMFEDIHEMDTAFIDDSHSPSVNFKGHLGAKLPNHGASSPAGSMTSISSANTPRAGHFDSFWLEHNNPPELEDVQQMIDLADIARCVAGTDLSKEGSSEFLLACMQDLQDVLQHSKLKALVIDTFGGRIEKLLREKYILACDLMDTKSPIIDERSKENLRLPFDNASQSSAASTPVHVSNKERTSIDDFEIIKPISRGAFGKVFLARKRTTGDLFAIKVLKKLDMLRKNDVQRILAERNILITVRNPFVVRFFYSFTCRDNLYLVMEYLIGGDLYSLLRKVGCLEEDIARIYIAELVLALEYLHSHGIVHRDLKPDNILIAHDGHIKLTDFGLSKIGLINSTIDLSGPDTDRNASSDPPNPNAQQTEDRNRHSAVGTPDYLAPEILLGTEHGYAADWWSVGIILFEFITGIPPFTAERPEIIFDNILNRKIPWPSVPDDMSYEAQDLINRLIIHNPSQRLGANGSTEVKAHPFFRGVDWDNLALQKAAFVPNPNSVDDTSYFVSRFPQMSVGMPNDKASSHSDSDMHDSSSNSGVEMDECGDLADFDSSPLDISLINFSFKNLSQLASINHDVLLGKDPAKFSPSRAAPNT >Potri.009G170000.2.v4.1 pep chromosome:Pop_tri_v4:9:12918946:12922369:1 gene:Potri.009G170000.v4.1 transcript:Potri.009G170000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170000.v4.1 MVVLAFFLHWRIVNPNDDARWLWGMSVVCEVWFAFSWILDIIPKLHPMNRSTDLEVLRDKFDMPSPSNPSGRSDLPGVDLFVSTADPDKEPPLVTANTILSILSVDYPVEKVACYISDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPETYFNLKVDPTKNKSRPDFVKDRRKMKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMLKHIRESGGDPLEPIKVPKATWMADGTHWPGTWASPAAEHSKGDHAGILQVMLKPPSPDPLMGGTDDKMIDFTDVDIRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAVLSNGPFILNLDCDHYIYNCKAIREGMCFMMDRGGENICYIQFPQRFEGIDPNDRYANRNTVFFDGNMRALDGVQGPVYVGTGCMFRRFALYGFDPPNTNKTEQKKDSETLPLATSEFDPDLDFNLLPKRFGNSTLLAESIPIAEFQGRPLADHPAVKYGRPPGALRVPREPLDATTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRRLKLLQRFAYLNVGIYPFTSIFLIVYCFLPALSLFSGYFIVQTLDVAFLIYLLLITICLVVLAILEVKWSGIELEEWWRNEQFWLISGTSAHFAAVMQGLLKVIAGIEISFTLTSKSAGDEVDDIYADLYLVKWTSLMIMPIVIAMTNIIAMAFAFIRTIYSTVPQWSKFVGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLIAIIISLLWIAISPQKPNATADGVGGGFQFP >Potri.009G170000.1.v4.1 pep chromosome:Pop_tri_v4:9:12917498:12922346:1 gene:Potri.009G170000.v4.1 transcript:Potri.009G170000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170000.v4.1 MASLSSQPSKKAIRSPVSTNNSSSQQGNRGSNSQTVKFARRTSSGRYVSLSRDDIDISGELSGLDYMNYTVQIPLTPDNQPMDTSVAVKTEEQYVSNSLFTGGFNNVTRAHLMDKVIESEVSHPQMAGSKGSSCAMPACDGMIMKDERGNDIIPCECRLKICRDCYMDAQKETGLCPGCKEQYKVGDYDDEIPNFSSGALPLPPPGKGGDHNNMTVMKRNQNGDFDHNRWLFETQGTYGYGNAFWPQDDMYGDDGEEEFPGGVLENMDKPWKPLSREQPISQAVISPYRLLILIRMVVLAFFLHWRIVNPNDDARWLWGMSVVCEVWFAFSWILDIIPKLHPMNRSTDLEVLRDKFDMPSPSNPSGRSDLPGVDLFVSTADPDKEPPLVTANTILSILSVDYPVEKVACYISDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPETYFNLKVDPTKNKSRPDFVKDRRKMKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMLKHIRESGGDPLEPIKVPKATWMADGTHWPGTWASPAAEHSKGDHAGILQVMLKPPSPDPLMGGTDDKMIDFTDVDIRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAVLSNGPFILNLDCDHYIYNCKAIREGMCFMMDRGGENICYIQFPQRFEGIDPNDRYANRNTVFFDGNMRALDGVQGPVYVGTGCMFRRFALYGFDPPNTNKTEQKKDSETLPLATSEFDPDLDFNLLPKRFGNSTLLAESIPIAEFQGRPLADHPAVKYGRPPGALRVPREPLDATTVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLASRRLKLLQRFAYLNVGIYPFTSIFLIVYCFLPALSLFSGYFIVQTLDVAFLIYLLLITICLVVLAILEVKWSGIELEEWWRNEQFWLISGTSAHFAAVMQGLLKVIAGIEISFTLTSKSAGDEVDDIYADLYLVKWTSLMIMPIVIAMTNIIAMAFAFIRTIYSTVPQWSKFVGGAFFSFWVLAHLYPFAKGLMGRRGKTPTIVFVWSGLIAIIISLLWIAISPQKPNATADGVGGGFQFP >Potri.006G196400.2.v4.1 pep chromosome:Pop_tri_v4:6:20443572:20444112:1 gene:Potri.006G196400.v4.1 transcript:Potri.006G196400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196400.v4.1 MTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDIRFSKEVRAENPFIAQLK >Potri.004G052800.1.v4.1 pep chromosome:Pop_tri_v4:4:4296721:4299768:1 gene:Potri.004G052800.v4.1 transcript:Potri.004G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052800.v4.1 MNIAALILLPVLLTIQFASSRSIRNEFSDLISDGLDQKGQNNFLQLNNLGTTVTCEPIYGFMPCTTKVWGNLFLLVVYEYLLSLADKYISSGSNLFFQMFGTGVFGGSVFYVVGKFPQIVLVLVTLLSASENVVGSAVSMSMGFLAGSTMMSLTIIWGSVIAFGSYDLQQTNSSNLENKTPCLSNGYGVKTDIVTKYTARIILLSMIPYLILELSKVFNSSSATRAGVLIALIVTVILLVTYCTFQVFQPWIQDRTLEYLILSYVKKNLLQSLCNPYGRPIEFRIRQLFHKIDLNKNGQISEDEVRAFLVGIEAGVVGLIGDHCVSKVMAEFDFSGDHGISKEEFIGGISKWLDEANGVENNGNQTELFNSNLPGTGEKQQIWEAEQQDSKNSDEWNYSKATYFILLGTAIAVLLAKPLTKTLQELATAIKVPSFLVSYFLVPFALNFRQGYKSITSVSDKKEKSVSLTLSQIYCGVFMNNVLGLTSFLTIVYIRDVEWDITAEILVVLVICSGIGLSSSFSSKFPFWTCLVAYALYPISLGFLYVLTISLGWG >Potri.001G240904.2.v4.1 pep chromosome:Pop_tri_v4:1:25868811:25869011:-1 gene:Potri.001G240904.v4.1 transcript:Potri.001G240904.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240904.v4.1 MLTCEEWEEFREVRSRTPFESKLARSNARRRTEEPVRMVRLLVLFITTSLCSLADKHISIMGMIVK >Potri.001G240904.1.v4.1 pep chromosome:Pop_tri_v4:1:25866923:25869026:-1 gene:Potri.001G240904.v4.1 transcript:Potri.001G240904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240904.v4.1 MLTCEEWEEFREVRSRTPFESKLARSNARRRTEEPVRMEDLKGWTIDVLNRQLHGTPSLRLVYYCDNYDAQCI >Potri.009G027200.1.v4.1 pep chromosome:Pop_tri_v4:9:3872536:3873973:1 gene:Potri.009G027200.v4.1 transcript:Potri.009G027200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027200.v4.1 MAITSIAFGSPAEVGQSDALKAALAEFISMLIFVFAGEGSGMAFNKLTDDGSSTPAGLVAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFLGGHITFIRSILYWVAQCLGSVVACLLLKLATGGLETSAFSLSSGVGVWNAVVFEIVMTFGLVYTVYATAVDPKRGDIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDNHWVYWLGPFVGSAIAAIVYEVCFISPTTHEQLTSSDF >Potri.018G107300.1.v4.1 pep chromosome:Pop_tri_v4:18:12583090:12586174:-1 gene:Potri.018G107300.v4.1 transcript:Potri.018G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107300.v4.1 MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVNRTDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDSLLTPIKDGFGEGKDLVVTVMSAMGEEQICALKDIGPKN >Potri.011G114100.1.v4.1 pep chromosome:Pop_tri_v4:11:14440596:14445176:-1 gene:Potri.011G114100.v4.1 transcript:Potri.011G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114100.v4.1 MKAVSFSPANHCNLKLQKYPIKQPTLANPIHFNNHQNQKPSNKKHHFPSFSIKASKTPQTPLTKYPNTPPLVVVGSANADIYVEIDRLPAEGETISAKTGQTLAGGKGANQAACGAKLSYPTYFVGQVGEDAHGKLITEALKNGGVNLDCVRNLSDVPTGHAVVMLQPDGQNSIIIVGGANMSCWPEKLSDEDLEVVRKAGVVLLQREIPDLVNIQVAKAAKSAGVPVILDAGGMDAPMPPELLNVVDIFSPNESELARLTCMSTESFEQIGQAVVKCHKMGVKQVLVKLGAKGSALFIEGEKPIKQTIISAARVLDTTGAGDTFTAAFAVALVEGKTKEECMRFAAAAASLCVQVKGAIPSMPDRTSVLHLLQTV >Potri.010G170050.1.v4.1 pep chromosome:Pop_tri_v4:10:17198152:17198878:-1 gene:Potri.010G170050.v4.1 transcript:Potri.010G170050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170050.v4.1 MPAQRSRFEIELITNPSSIIVDRIKPSPVSILTKFHAGYFRIGLSLGGRALPSAPYVALLHPAGFLVLWSLAFLILTSLSFLYILRCMFFFKVVETEFWHLALDVKIYGQWFSKGKRFLSTFANPTSQLSVIGNLVGAQAAAKMGWKEIAIFLFSPGMVHYLVLFVHLSALI >Potri.001G408200.1.v4.1 pep chromosome:Pop_tri_v4:1:43557147:43560291:-1 gene:Potri.001G408200.v4.1 transcript:Potri.001G408200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408200.v4.1 MAISDRHKTNGDHSMKLFRLCPFWQAATNSSSSSSTQNLNHSHNGGSNSSRSAAVNSSGLKSTTVSSVARSLLPTRRRLRLDPSNNLYFPYEPGKQVKSAVRLKNTSKSHVAFKFQTTAPKSCYMRPPGGILAPGESLIATVFKFVDPPENNEKQLDQKSKAKFKIVSLKVKGGLEYVPELFDEQKDQVTVERVLRVVFLDAERPSPAMEKLKRQLAEAEAALEVRKKPPPDTGPPVVGEGLVIDEWKERREKYLARQQVEAVQ >Potri.001G408200.9.v4.1 pep chromosome:Pop_tri_v4:1:43557145:43560335:-1 gene:Potri.001G408200.v4.1 transcript:Potri.001G408200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408200.v4.1 MAISDRHKTNGDHSMKLFRLCPFWQAATNSSSSSSTQNLNHSHNGGSNSSRSAAVNSSGLKSTTVSSVARSLLPTRRRLRLDPSNNLYFPYEPGKQVKSAVRLKNTSKSHVAFKFQTTAPKSCYMRPPGGILAPGESLIATVFKFVDPPENNEKQLDQKSKAKFKIVSLKVKGGLEYVPELFDEQKDQVTVERVLRVVFLDAERPSPIVSLKAMEKLKRQLAEAEAALEVRKKPPPDTGPPVVGEGLVIDEWKERREKYLARQQVEAVQ >Potri.001G070600.1.v4.1 pep chromosome:Pop_tri_v4:1:5322375:5325321:1 gene:Potri.001G070600.v4.1 transcript:Potri.001G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070600.v4.1 MERSWKLIPQLLLIACFVFQICASYDDVMFYEPFDESFEGKWIFSEKDYYQGVWKLSKSEGHDDYGLLVSEKAKRYAIVKELDSVFSLNDGTVVLQFEVRLQNGLECGGAYLKYLRPQEVGWKPKEFDNEAPSQAPPSVPSDKFSHVYTAILKPDNELKIIIDGEEKKKANFLSAEDFEPALIPPKTIPDPDDRKPEDWDERAKIPDPDAVKPDDWDEDAPMEIEDEDAVKPEGWLDDEPEEIDDPDALKPGEWDEEEDGEWEPPKNDNPKCELAPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPQEIPNSAYFELEKPDFEPIAAIGIEIWSMQDGILFDNILIASDEKVADTYRETTWKPKFEVEKEKLKAEEATAGHGISSFKKKIFNLLYKIGDIPFLGAYKPKIIDLIEQGEKQPNINIGILASIVIVIFTIFYRILFGGRRPVVDVPETSSADASVTSINQGSSREREREDENDDENEDEDAAVPPRRRATRRET >Potri.014G161400.2.v4.1 pep chromosome:Pop_tri_v4:14:11592141:11593567:-1 gene:Potri.014G161400.v4.1 transcript:Potri.014G161400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161400.v4.1 MVNHELMREDEAQRYCHQLINIVDHCHSKGVSHRDLKGIKSSSIKPRERRDRERKPLKLLHPLVEVGDLGLVRFL >Potri.011G148600.1.v4.1 pep chromosome:Pop_tri_v4:11:17607787:17610658:-1 gene:Potri.011G148600.v4.1 transcript:Potri.011G148600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148600.v4.1 MTFIQFGFAGMTLISKAALNAGMNPLVFNAYRQMIATLVLALLVLLMERKKSGSVSFSLFCKIFVAALLGPTLSLDLYYVALHLTSATFAAAILNSIPVVTFFLAIIMGLEAVGWRSFYGGLKILGIVITVGGAMLLSFYRRPSTRHPNSPSPGSNDGTFFVNKLEGRTRLILGPVLMFLSAIAWSTWLVVQSKLLELYPARLRLSTLQCLIGSVQSTIIAAALERERNSWKIRWDIQLASLAYCGVFVTGAAYGLQIWCIEKKGPFYVSMFSPLALLLTAIFSAILWAERLNWQSILGGILIVGGLYGVLWGRSKAEKQEIHNGESPDIEKH >Potri.009G150300.1.v4.1 pep chromosome:Pop_tri_v4:9:11875102:11886605:-1 gene:Potri.009G150300.v4.1 transcript:Potri.009G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150300.v4.1 MALSGMRGLSVFISDIRNCPNKEQERLRVDKELGNIRTRFKNEKGLTPYEKKKYVWKMLYIYMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNVDGWSDRMAQLLDERDLGVLTSCMSLLVALVSNNHEAYWSCVPKCVKTLERLARNQDIPQEYTYYGIPSPWLQVKTMRALQYYPTIEDPNTRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMTRMLMVTDVHDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSTADFAMREELSLKAAILAEKFFPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKEIFSVIHEKLPTVSTTTIPILLSTYAKILMHTQPPDPELQKHVWAIFSKYESCIDVEIQQRAIEYFALSRKGAAVMDILAEMPKFPERQSALIKKAEVAEVDTAEQSAIKLRAQQHMSNALVVTDQQPSNGTPQSVGQLSLVKIPSMSGDEHTSAVQELSQANGTLATVDPQSPSADLLGDLLGPLAIEGPPGAAVQFEPNAVSGLEGVPIPADDAAAIVPVGKETNSVQPIGNINERFYALCLKDSGVLYEDPNIQIGIKAEWRAQHGRLVLFLGNKNTSPLLSVRAQILPPAHLKIELSLVPETIPPRAQVQCPLEIMNLHPSRDVAVLDFSYKFGTNMANVKLRLPAVLNKFLQPITVSADEFFPQWRSLSGPPLKLQEVVRGVRPLSLIDMANIFTSSRLTVCPGLDPNPNNLIASTTFYSESIRPMLCLIRIETDPADRTQLRMTVASGDPTLTFELKEFIKEQLVSIPTAPPPPAPPAAPVAQPTNAAALTDPGALLAGLL >Potri.001G313100.2.v4.1 pep chromosome:Pop_tri_v4:1:32399846:32404677:-1 gene:Potri.001G313100.v4.1 transcript:Potri.001G313100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313100.v4.1 MHCWVPRIIKSSKPSLLLLHGFGANAMWQYGQHLHIFTSRFNVYVPDLLFFGESYTSRPERTESFQAQCVMRLMEAHGVHRMNLVGISYGGFVGYSMAAQFQEKIEKVVLCCAGVCLEEKDMDNGLFAVPNLDEAASILLPQTAEKLRELMRFSFVKPAIGIPSFFLTDFIDVMCTDYVKEKRELIQAILHGRNLSVLPKITQPTLIIWGEKDQIFPVELGHRLKRHVGESSQLVIVKNAGHAVNLEKAKEFAKHLKSFLIDSAASPSPSPGSLTDFIHDKNEG >Potri.001G313100.1.v4.1 pep chromosome:Pop_tri_v4:1:32402887:32404985:-1 gene:Potri.001G313100.v4.1 transcript:Potri.001G313100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313100.v4.1 MMSKCFSFTASRDWFYRYSFAKAGLRAHSANLGDGTIMHCWVPRIIKSSKPSLLLLHGFGANAMWQYGQHLHIFTSRFNVYVPDLLFFGESYTSRPERTESFQAQCVMRLMEAHGVHRMNLVGISYGGFVGYSMAAQFQEKIEKVVLCCAGVCLEEKDMDNGLFAVPNLDEAASILLPQTAEKLRELMRFSFVKPAIGIPSFFLTDFIDVMCTDYVKEKRELIQAILHGRNLSVLPKITQPTLIIWGEKDQIFPVELGHRLKRHVGESSQLVIVKNAGHAVNLEKAKEFAKHLKSFLIDSAASPSPSPGSLTDFIHDKNEG >Potri.011G010000.1.v4.1 pep chromosome:Pop_tri_v4:11:927476:932516:-1 gene:Potri.011G010000.v4.1 transcript:Potri.011G010000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010000.v4.1 MIPSSSRYPHIQTLLVSCVLLFLVFKVQAKCRTGCGLALASYYVWQGSNLTYISTIFNQSITEILRYNPKVPNQDSIRSDTRLNVPFSCDCLNGDFLGHTFSYITQSGDTYHKIARNAFSNLTTEDWVHRVNIYDITEIPNYVPINVTVNCTCGDKQVSRDYGLFTTYPLRPDENLSSLEAESGVPADLLEKYNLGTDFNAGGGIVYMPAKDPTGNYPPLKIATGISSRAIAGISVAGVAGSFFLASCFYFGFYRRREVEASLFPEAAESPYIHHRHGSGNILEQTSETAALVGSPGLTGFTVDKSVEFSYEELAKATNDFSMDNKIGQGGFGAVYYAELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEFIENGNLGQHLRSNSGKDPLPWSTRVQVALDSARGLEYIHEHTVPVYIHRDVKSANILIDKNFRGKVADFGLTRLTEVGSASLHTRLVGTFGYMPPEYAQYGDVSSKIDVYAFGVVLYELISAKEAVVKTNEFITESMGLVALFEEVLGQPDPRENLPKLVDARLGDDYPLDSVCKMAQLARACTQENPHVRPSMRSIVVALMTLSSSTEDWDVGSLYENQAIVDLMSGR >Potri.015G026801.1.v4.1 pep chromosome:Pop_tri_v4:15:2021417:2023044:-1 gene:Potri.015G026801.v4.1 transcript:Potri.015G026801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026801.v4.1 MGSDTAAFENQTDESQSIHLQRKHSVSISLPTSPIAESSKKAKKVLFKEDGEKTFVYGNPDSSAASKIVDTPPKQVKFHSQPMAKGTAAFEVALDFPNHPNNHPSLKKLKDKTYDSFKTWSGKLGRQTTNLRVRPREDSTEKTAGPKAEKDALPVDRYFDALEGPELETLRPNNNVETLATSASTKFLHISIDVNLVLWCIAVALVVIIGSIYLLKMILYFEAVRREYYHPIRVNFFFAPWIALLFLAIGMPPSLAEDLHAALWYVLMTPFLILELKIYGQWMLLGYCHLVAIPHH >Potri.010G247000.2.v4.1 pep chromosome:Pop_tri_v4:10:22146175:22157374:-1 gene:Potri.010G247000.v4.1 transcript:Potri.010G247000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247000.v4.1 MNPSSLTRLNPNSCDPMSLPSTLRLSSLLHRLPPPSRRYFSFLHHRSVSDLRFSSSSPRIRPVVQSRRREEPATDDSENGSLLVKDPNGGSPGGGNGRVVQTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSKKPFKKCARVVGEVLGKFHPHGDTAVYDALVRMAQDFSLRCPLIQGHGNFGSVDADPPAAMRYTECRLDGLTEAVFLADLEQDTVDFVPNFDNSQKEPSLFPTRLPTLLLNGSSGIAVGMATKIPPHNLGELVDVLCALIHNPEATLQELLEYMPGPDFPTGGIIMGNQGILDAYRSGQGRIVVRGKTDVELLDSKTKRNAVIIKEIPYQTNKASLVEKIAELVEDKNLDGISDIRDESDRSGMRIVIELKRGADPSIVLNNLYRLTPLQSSFSCNMVGILDGQPKQMGLKELLQAFLDFRCSVVERRAMFKLSEAQKRRHIVEGVMAGLDNLDRVVDIIRKASSNAIASADLRNEFSLSEKQAEAILDISLRRLTLLEGKKFVEESKSLMEQITKLEELLSSRGNILQLIEQEAVELKNKFSNPRRSMLEDSDSGQLEDIDVIPNEEMLLAISEKGYVKRMKPNTFNLQNRGTIGKSVGKLRDSDAMSDFIVCHAHDRVLYFSDQGIVYSAPAYKIPECTRAAAGTPLIQFLSLSDGERITSIIPVSEFVEDQFLLMLTVNGYIKKVSLNSFSAIRSTGIIAIQLVPGDELKWVRCCTNGDLVAMASQNGMVILTSCENIRALGRNTRGGVAMRLREGDKIASMDIIPASLQKDLEVASKDSENNNKGTGPWLLFVSESGHGKRVPLSSFKQSRLNRVGLIGYKFFEEDHLAAVFAVGFSLTDGESDEQVVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSTSLISAADPELEELAPVPELLSEASL >Potri.010G247000.1.v4.1 pep chromosome:Pop_tri_v4:10:22146172:22157383:-1 gene:Potri.010G247000.v4.1 transcript:Potri.010G247000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247000.v4.1 MNPSSLTRLNPNSCDPMSLPSTLRLSSLLHRLPPPSRRYFSFLHHRSVSDLRFSSSSPRIRPVVQSRRREEPATDDSENGSLLVKDPNGGSPGGGNGRVVQTELHKEATEAYMAYAMSVLLGRALPDVRDGLKPVHRRILFAMHELGLSSKKPFKKCARVVGEVLGKFHPHGDTAVYDALVRMAQDFSLRCPLIQGHGNFGSVDADPPAAMRYTECRLDGLTEAVFLADLEQDTVDFVPNFDNSQKEPSLFPTRLPTLLLNGSSGIAVGMATKIPPHNLGELVDVLCALIHNPEATLQELLEYMPGPDFPTGGIIMGNQGILDAYRSGQGRIVVRGKTDVELLDSKTKRNAVIIKEIPYQTNKASLVEKIAELVEDKNLDGISDIRDESDRSGMRIVIELKRGADPSIVLNNLYRLTPLQSSFSCNMVGILDGQPKQMGLKELLQAFLDFRCSVVERRAMFKLSEAQKRRHIVEGVMAGLDNLDRVVDIIRKASSNAIASADLRNEFSLSEKQAEAILDISLRRLTLLEGKKFVEESKSLMEQITKLEELLSSRGNILQLIEQEAVELKNKFSNPRRSMLEDSDSGQLEDIDVIPNEEMLLAISEKGYVKRMKPNTFNLQNRGTIGKSVGKLRDSDAMSDFIVCHAHDRVLYFSDQGIVYSAPAYKIPECTRAAAGTPLIQFLSLSDGERITSIIPVSEFVEDQFLLMLTVNGYIKKVSLNSFSAIRSTGIIAIQLVPGDELKWVRCCTNGDLVAMASQNGMVILTSCENIRALGRNTRGGVAMRLREGDKIASMDIIPASLQKDLEVASKDSENNNKGTGPWLLFVSESGHGKRVPLSSFKQSRLNRVGLIGYKFFEEDHLAAVFAVGFSLTEDGESDEQVVLVSQSGTVNRIKVRDISIQSRFARGVILMRLEHAGKIQSTSLISAADPELEELAPVPELLSEASL >Potri.008G109600.3.v4.1 pep chromosome:Pop_tri_v4:8:6944940:6946443:-1 gene:Potri.008G109600.v4.1 transcript:Potri.008G109600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109600.v4.1 MKERGKAVEMHNNDLFDQDYCTSSDLPCKKHPSSSSVGICAYCLKDRLVNLVCSDCGEQRLSSCSCSEISSNRNSCTVEVGSVGRISFLIENENQKNDQVLHSNSSTANPKSSSGDQKGDELNFLVKRNSSSCVEIKRKNGFWGIGRLFSKKRGKGCDRSSVGGLEEKSDLWVVDYMGVSRCRSLCSFRGGGFFGSEDGTFSGARSSISAARSSISAARNSGVNGGLLFDPDRKSGFSEAEPRKSGFDGEKRDTTSTALESERLDSGHDGANTRRVFSLKEGNFTTVDDSGFIDLKFDFPPESKADLSAVKMVSSSDSNSAFGSMRGGDVVAQDQYGGFGSLMGDGPCSNGSSCRITVSDRGIKRSRKSFKSWRWIFRQHPSSNKKD >Potri.008G109600.2.v4.1 pep chromosome:Pop_tri_v4:8:6944887:6947012:-1 gene:Potri.008G109600.v4.1 transcript:Potri.008G109600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109600.v4.1 MKERGKAVEMHNNDLFDQDYCTSSDLPCKKHPSSSSVGICAYCLKDRLVNLVCSDCGEQRLSSCSCSEISSNRNSCTVEVGSVGRISFLIENENQKNDQVLHSNSSTANPKSSSGDQKGDELNFLVKRNSSSCVEIKRKNGFWGIGRLFSKKRGKGCDRSSVGGLEEKSDLWVVDYMGVSRCRSLCSFRGGGFFGSEDGTFSGARSSISAARSSISAARNSGVNGGLLFDPDRKSGFSEAEPRKSGFDGEKRDTTSTALESERLDSGHDGANTRRVFSLKEGNFTTVDDSGFIDLKFDFPPESKADLSAVKMVSSSDSNSAFGSMRGGDVVAQDQYGGFGSLMGDGPCSNGSSCRITVSDRGIKRSRKSFKSWRWIFRQHPSSNKKD >Potri.006G207400.1.v4.1 pep chromosome:Pop_tri_v4:6:21560578:21563294:1 gene:Potri.006G207400.v4.1 transcript:Potri.006G207400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G207400.v4.1 MRLITWFLLSVLFLYQQSLAFQAGQELGLQFLTRELLAAAREPDFFEWVRGIRRRIHEYPELGFEEYRTSEIIRSELDLLGIDYKWPVAKTGVVATVGSGQEPVFALRADMDALPLQEEVEWEHKSKIDGKMHACGHDSHVAMLLGAAKLLQAKRETLKGTVKLVFQPGEEGYAGAYHMLQDGCLDDVEAILSIHVIPSVPTGAIASRPGPLLAGVGLFEAKIQGIGAHASSPHLARDPILMASSAVVALQQIVSRETDPLEAAVVTVGYIEGGKAGNVIPETAKFGGTFRSLSNEGVSYLQKRIQEIIEAHAAVHRCNATVNFMEDRHLPHPVMINDEQLYKHAKRVGEALLGEPNVQLFPVTMGAEDFSFFSQRMPAAIFVIGTMNETLKSHQPLHSPYFFIDEEALPIGTALNAAVAISYLDTQIVKNCEEPPSAFAF >Potri.017G142200.2.v4.1 pep chromosome:Pop_tri_v4:17:14255677:14258542:1 gene:Potri.017G142200.v4.1 transcript:Potri.017G142200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142200.v4.1 MDAEDDWESSSGEVTDTDQFNDEDFYSTSACLSKLQFRNDVSKAKWNVEMGMAEVIEKKGKMWITTGIVRNGKTFCLIEETLFLAEIGALLVMDDNDECLALKDIHKKMSEERNGCSWELFEVYKHLKSLGYVVGRHGVPWSMKGVENNSKPCSSQGTIQNNRVEGVEENSITCAVQMLSNLQVDELRLNFDVYLPNSKFRKSSPGDPAFLLCLVRGSPPSNAKSKVLERQCVGIPLKLCHVDHGRVSFFSFKRVELPILP >Potri.015G080100.1.v4.1 pep chromosome:Pop_tri_v4:15:10618837:10624584:-1 gene:Potri.015G080100.v4.1 transcript:Potri.015G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080100.v4.1 MAIRTCLNLGRPQIAPVTLTKSPSRNCKFSVQSCSLSSNQSHKQLIVEVKEKLGRDYHSLPVGKNGRDDEEMILWFLKDRKFSVDEAVSKLTKAIKWREEFKVSELSEESVKSIADTGKAYVHDSLDVYGKPVLIVVASKHLPAVHDPVEDEKLCVFLIEKALRKLAAGKEQILGIIDLRGFSTRNADLKFLTFLFDVIYYYYPKRLGQVLFVEAPFIFKPIWQVAKPLLRSYTSLVRFCSVETVRKEYFTEETLPANFREKTL >Potri.018G054900.8.v4.1 pep chromosome:Pop_tri_v4:18:5443325:5448544:-1 gene:Potri.018G054900.v4.1 transcript:Potri.018G054900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054900.v4.1 MGDSSDSLSIDMETPSLSGKEYVIETCYGHVSVSVYGDQDKPALVTYPDLALNHTSCFQGLLFCPEACSLLLHNFCIYHISPPGHELGAATISPDDPLLSVDDLADQIAEVLNYFGLDAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCNAPSWTEWLYNKVLSNLLYYYGMCGVVKELLLKRYFSKEALGSSQVPESDAVQACKRLLDERQGLNVWRFLEAINGRQDISNGLRKLLCCSLIFVGENSPFHFESLDMTSELDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFLTGYGMYRPPKLSGSPRSTLSPICISPELLSPESMGLKLKPIKTRISLEV >Potri.010G048700.1.v4.1 pep chromosome:Pop_tri_v4:10:8074886:8081397:1 gene:Potri.010G048700.v4.1 transcript:Potri.010G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048700.v4.1 METVRLRLVLDNALTTTTEGLRKCWILLKPQHKTISDLSSHILQVFDLHNACPSGLFLSMEGFVLPPFESTCILNDKDIVRVIKKDGTATEIIKIDDGLNDSLNVVEIIDKPPVTTGTNLLANEEFEKESDSYESEQEVDVAAEVEDVENSPEVKAVSKKRKASKDAHSPKRKKTKSASAKKCLEVSENVVTDVSAEQNGTLGIVDVDERSGKSRKAMRDTKKRKKTKSASAEKCMEVSENVVTSVCAGQNGTLSFFKADERSSKSTEATINAQKSCQPEQNGNGSVDASHILSGSKKCPSRSARRKKAKRHWLKEQLKAEKKAQNKRELLLNINQQSSEKDNQNVSGESPEPGSQKTSEEKLREDNQLGERDSDVEGDVVPVVIRPGHIRFEPLKKGDSDHAVPQNHVSIERFQWNGITSKKKGQKWGKEKVVSCKRNDYNNFKKESYSSLTIEEQTPVYDCTNFEEFPLYASLPKEGDVIAYRLVELSSSWTPELSSYRVGKVSKYDLESNIVMLAQVPEYPVIPEKIDDEASDALPETSPYQDDGSLEIKFSALFEVRLVHHGNIKSAKSVTGGSNEVHVRDQDSGTGFKLNNNHEAGTSAQENGKHNPWEETNQALTAQKAWLSQEDSCKKPESSGRSPWSYKALRGSALGPTVALLRAQNEL >Potri.010G048700.3.v4.1 pep chromosome:Pop_tri_v4:10:8074823:8081399:1 gene:Potri.010G048700.v4.1 transcript:Potri.010G048700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048700.v4.1 METVRLRLVLDNALTTTTEGLRKCWILLKPQHKTISDLSSHILQVFDLHNACPSGLFLSMEGFVLPPFESTCILNDKDIVRVIKKDGTATEIIKIDDGLNDSLNVVEIIDKPPVTTGTNLLANEEFEKESDSYESEQEVDVAAEVEDVENSPEVKAVSKKRKASKDAHSPKRKKTKSASAKKCLEVSENVVTDVSAEQNGTLGIVDVDERSGKSRKAMRDTKKRKKTKSASAEKCMEVSENVVTSVCAGQNGTLSFFKADERSSKSTEATINAQKSCQPEQNGNGSVDASHILSGSKKCPSRSARRKKAKRHWLKEQLKAEKKAQSSEKDNQNVSGESPEPGSQKTSEEKLREDNQLGERDSDVEGDVVPVVIRPGHIRFEPLKKGLMLGDSDHAVPQNHVSIERFQWNGITSKKKGQKWGKEKVVSCKRNDYNNFKKESYSSLTIEEQTPVYDCTNFEEFPLYASLPKEGDVIAYRLVELSSSWTPELSSYRVGKVSKYDLESNIVMLAQVPEYPVIPEKIDDEASDALPETSPYQDDGSLEIKFSALFEVRLVHHGNIKSAKSVTGGSNEVHVRDQDSGTGFKLNNNHEAGTSAQENGKHNPWEETNQALTAQKAWLSQEDSCKKPESSGRSPWSYKALRGSALGPTVALLRAQNEL >Potri.010G048700.2.v4.1 pep chromosome:Pop_tri_v4:10:8074898:8081511:1 gene:Potri.010G048700.v4.1 transcript:Potri.010G048700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048700.v4.1 METVRLRLVLDNALTTTTEGLRKCWILLKPQHKTISDLSSHILQVFDLHNACPSGLFLSMEGFVLPPFESTCILNDKDIVRVIKKDGTATEIIKIDDGLNDSLNVVEIIDKPPVTTGTNLLANEEFEKESDSYESEQEVDVAAEVEDVENSPEVKAVSKKRKASKDAHSPKRKKTKSASAKKCLEVSENVVTDVSAEQNGTLGIVDVDERSGKSRKAMRDTKKRKKTKSASAEKCMEVSENVVTSVCAGQNGTLSFFKADERSSKSTEATINAQKSCQPEQNGNGSVDASHILSGSKKCPSRSARRKKAKRHWLKEQLKAEKKAQNKRELLLNINQQSSEKDNQNVSGESPEPGSQKTSEEKLREDNQLGERDSDVEGDVVPVVIRPGHIRFEPLKKGLMLGDSDHAVPQNHVSIERFQWNGITSKKKGQKWGKEKVVSCKRNDYNNFKKESYSSLTIEEQTPVYDCTNFEEFPLYASLPKEGDVIAYRLVELSSSWTPELSSYRVGKVSKYDLESNIVMLAQVPEYPVIPEKIDDEASDALPETSPYQDDGSLEIKFSALFEVRLVHHGNIKSAKSVTGGSNEVHVRDQDSGTGFKLNNNHEAGTSAQENGKHNPWEETNQALTAQKAWLSQEDSCKKPESSGRSPWSYKALRGSALGPTVALLRAQNEL >Potri.008G060600.6.v4.1 pep chromosome:Pop_tri_v4:8:3640181:3646277:1 gene:Potri.008G060600.v4.1 transcript:Potri.008G060600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060600.v4.1 MPTYFRFLALLAFKIFSAEQVDVAILEVGLGGKFDATNVVQKPIVCGIASLGYDHMEILGNTLAQIAGEKAGIFKDGIPAFTVPQPDEAMNVLEEKASELNVHLQVAEPLDAKLLNGLKLRLEGEHQYLNAGLAIALSFTWLQRTGHHEFTHMEQASSLPEQFIKGLTTASLQGRAQIVTDQYINAESYGDLVFYLDGAHSPESMEMCARWFSLAIKEDSQKRTFNYPPQNNSESTIELVQEHHDERYGKSSMQILLFNCMSVRDPQLLLPPLMKACASHGVYFKKALFVANTSVYYKVGSHALPPTDSQVNLSWQFALQRVWENLIQSDKGGEVKHAVAVCEEGKEDAKMSGRTCENSAVFPSLPLAIKWLRESVQRNRSVHYQVLVTGSLHLVGDVLRLVKK >Potri.008G060600.5.v4.1 pep chromosome:Pop_tri_v4:8:3639535:3645510:1 gene:Potri.008G060600.v4.1 transcript:Potri.008G060600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060600.v4.1 MAEGDNNGSSKPTVTPYEEALDALSSLITKRSRADKSNNGDRFDVLFDYLKILELEEAISEMKIIHVAGTKGKGSTCTFTESILRNCGFRTGLFTSPHLIDVRERFRLDGKDICEEKFLAYFWWCYDRLKEKSTEDVPMPTYFRFLALLAFKIFSAEQVDVAILEVGLGGKFDATNVVQKPIVCGIASLGYDHMEILGNTLAQIAGEKAGIFKDGIPAFTVPQPDEAMNVLEEKASELNVHLQVAEPLDAKLLNGLKLRLEGEHQYLNAGLAIALSFTWLQRTGHHEFTHMEQASSLPEQFIKGLTTASLQGRAQIVTDQYINAESYGDLVFYLDGAHSPESMEMCARWFSLAIKEDSQKRTFNYPPQNNSESTIELVQEHHDERYGKSSMQILLFNCMSVRDPQLLLPPLMKACASHGVYFKKALFVANTSVYYKVGSHALPPTDSQVNLSWQFALQRVWENLIQSDKGGEVKHAVAVCEEGKEDAKMSGRTCENSAVFPSLPLAIKWLRESVQRNRSVHYQVLVTGSLHLVGDVLRLVKK >Potri.018G047700.10.v4.1 pep chromosome:Pop_tri_v4:18:4211768:4217584:-1 gene:Potri.018G047700.v4.1 transcript:Potri.018G047700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047700.v4.1 MNLSKKGSLTNDTKLSLPNKATTLNPNAAEFVPFSLRSSSSPSGSTSNTTDAATKLATSGTVGKSVLDRSESSVSNASDDEAHQFWRHQLPDDITPDFKVMNEDESQGLGGLSLAGLSLHDSSEVPRFHASSRSGYVLTEQQEPSPHHINGSSFSENMRYAVASYGEDPTSASFLNLPTKPWDKQIANSDQLLSNGREVHPYNGNSRHGFRSEILGEHAIVDDTEINPLEFLASQFPGFAAESLAEVYFANACDLNLTIEMLTQLELQVDGGFNQTTNSKTVSAPTNLSALDFPALTVPDNQNGPSKYAGDDLQQAGIPYRSSNKDNMLVFKSGASFSSRGAVDFASAVRKLASQDSSMWNHDRNGSADSTVGSSRSSHVLASAYSGGHGRGIYADRSQSRGSGQAAPVWLETGEAVASMYSEMREEARDHARIRNAYLEQARQAYLIGNKALAKELSAKGQLHNMHMKEAHGKAQESIYRQRNPASLEMQGTGRGHERMIDLHGLHVTEAIHVLKHELSILRSTARAADQRLQVYICVGTGHHTRGARTPARLPVAVQRYLLEEEGLDYTEPQPGLLRVVMY >Potri.018G047700.8.v4.1 pep chromosome:Pop_tri_v4:18:4211816:4217602:-1 gene:Potri.018G047700.v4.1 transcript:Potri.018G047700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047700.v4.1 MNLSKKGSLTNDTKLSLPNKATTLNPNAAEFVPFSLRSSSSPSGSTSNTTDAATKLATSGTVGKSVLDRSESSVSNASDDEAHQFWRHQLPDDITPDFKVMNEDESQGLGGLSLAGLSLHDSSEVPRFHASSRSGYVLTEQQEPSPHHINGSSFSENMRYAVASYGEDPTSASFLNLPTKPWDKQIANSDQLLSNGREVHPYNGNSRHGFRSEILGEHAIVDDTEINPLEFLASQFPGFAAESLAEVYFANACDLNLTIEMLTQLELQVDGGFNQTTNSKTVSAPTNLSALDFPALTVPDNQNGPSKYAGDDLQQAGIPYRSSNKDNMLVFKSGASFSSRGAVDFASAVRKLASQDSSMWNHDRNGSADSTVGSSRSSHVLASAYSGGHGRGIYADRSQSRGSGQAAPVWLETGEAVASMYSEMREEARDHARIRNAYLEQARQAYLIGNKALAKELSAKGQLHNMHMKEAHGKAQESIYRQRNPASLEMQGTGRGHERMIDLHGLHVTEAIHVLKHELSILRSTARAADQRLQVYICVGTGHHTRGARTPARLPVAVQRYLLEEEGLDYTEPQPGLLRVVMY >Potri.005G095600.1.v4.1 pep chromosome:Pop_tri_v4:5:6739521:6741192:1 gene:Potri.005G095600.v4.1 transcript:Potri.005G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095600.v4.1 MAMAKLVLVLAFFFIQSLTTSLTLPLQATATKSFVSPIQKDRSTLQYIITAYLQTPLRQAKLLVDLGASFTWVNCDDKYFHSTTYKHIPCDYPLADLLGQPACLVNCVDPPGPNCANNSCLLSPVNPIKPIDFTRSDPIATALLDYLALPELINGSSQVGPLEKIRNFIFSCGHTSYLKGLARGVVGLAGFGRSNISIPVQITPHFFAICLSGSKSQPGVAFFGSKGPYYFSPGIDLSSSLTYTPLIVNPVGKDSGPDNKIASPEYYIELTSVKVNNKVVQFNQSLLAITSENGFGGTTISTVAPYTKLESSIYKAVTRAFLKAAASSTYNLTKTTTKPVKPFGVCYPASDIKITKMGPVVPTIDLVLHNKDVVWKIFGSNSMVRIVKKGGVDVWCLAFVDGGARTTVRDSTWIGSPSVVIGGHQLEDNMLQFDLESKKLGFSSSILSKGTTCSNFKFSTKKI >Potri.006G031300.1.v4.1 pep chromosome:Pop_tri_v4:6:1950766:1952082:-1 gene:Potri.006G031300.v4.1 transcript:Potri.006G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031300.v4.1 MENFELRCNHKGGNYIGGDDVFDVKCDDMLCYTTIINNPTSLLRWIAELFGTKLPDRRNRLRVSMDMIWDKYCDDGGLKTPATLQFCHESCCIIYHVYPPDNFPTSSLEKFLNHSCVDFVCFGLIEKVNYLRKTHNLEVKMEHWYDIPFMANVLDPNRFGLNLHSPLQDMVYIEFSKSYKKPDDLLKSNWRLVPLPLDKVMHAALDCFFAYKLAARLSIPVKILTEFQDLRIHRTDDSTGW >Potri.005G239800.1.v4.1 pep chromosome:Pop_tri_v4:5:23673024:23675110:1 gene:Potri.005G239800.v4.1 transcript:Potri.005G239800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239800.v4.1 MAFKHDYCSLYEDFGGDEIEVAEILLDFPRLIAVSKYGSLLPFSWGGTRRRSAEANLGPRCAVHSPPTSASPSQTPILSVPVGPAITTPPPPAVATEPEGPITVKAEPATSPATPLSFSPSESDERPKRLKRKVYTKKRREDLLKITNQIIDSNELLRGEIQKVTRYYEHLKARNSLLKARKQELNMGFIKREDQLNLPRMNSVQSTVKCPPVVDDQNHVPRPMPGIRVHHHHHQQQQQPPQPPPYMVDRNANNQEMGCNYPNPYGQRVSLFQSTSASDGTGPRGIPDLNLTVGEPVWMDSKQLFVNDRSAAVKRAIAAQARQRRKLICKDKSFNSSSKSRFSFR >Potri.003G195300.1.v4.1 pep chromosome:Pop_tri_v4:3:19759055:19761333:-1 gene:Potri.003G195300.v4.1 transcript:Potri.003G195300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195300.v4.1 MDKETNRESPSLLPSNTTTIKEESPRKLLGGTGAAGVVVSGGGGGGGDRLKRDEWSEGAVSTLLEAYENKWILRNRAKLKGHDWEDVAHHVSSRANCTKSPKTQTQCKNKIESMKKRYRSESSTADASSWPLYPRLDLLLRGNSATAAVTPSPQVPPQHQQPSIPTSANPPLMLLMDQSPLAVTQPPAPPLAPPPPPLPPPLPHQTIVTAQNSHGSNGVDRGAKGDGMDTKLSDHVSDKNAMDTDSSTPALYSDKEKLRSKKLKKKMEKRKRRKREEWEMADSIRWLAEVVVRSEQARMDTMRELEKMRIEAEAKRGEMDLKRTEIIANTQLEIAKLFAGVGRGVDSSLRIGKT >Potri.002G069100.1.v4.1 pep chromosome:Pop_tri_v4:2:4797316:4804994:1 gene:Potri.002G069100.v4.1 transcript:Potri.002G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069100.v4.1 MADQEEEELRVALRMSMQNSPPEPKRSKPRDAGAPAASPEESRRMQRELMAAAAEKRMIATRIASPSPSLSHSPSPSPSPSPSPSPSPSKATIDRNPGKSADCVRKEVNFGAKEGNSGLELSSEEVNQLFSMVFGSGVSKDILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKYLLFFPNEIGKVTSNAPQNLGPGRLSKGQYVASDNFGSLTEDAKARALVKSMGEILFMCGDNKRAVIATLNVVGLDTKGFAKNEIVAKALEGLSIESAFDLQKILRIETHTSQASALQKLHKALPVFQSRMGALLFLISALLSRGLDSIQSDRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGIFTSVEVGFLTLLESLNFCKVGQHLKCPKWPIWVVGSESHYTVLFALDTTVQDENELEERESQIRRAFDAQDQSGGGGFISVEGFHQVLREAGIRLPSEKLDHLCSTGFIVWSEFWQVILDLDKSLGGLKDSSGLMGKKVFDLYHFNGIAKSDLNGSHTTSGGETPVQRPRLTKLRVSVPPRWTPEEFMADVVVTSGPVGNESSGKDTEVTKPEPSQHAPLVDCIRTRWSRAVCNWVGDPPSIV >Potri.002G069100.2.v4.1 pep chromosome:Pop_tri_v4:2:4797634:4805135:1 gene:Potri.002G069100.v4.1 transcript:Potri.002G069100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069100.v4.1 MADQEEEELRVALRMSMQNSPPEPKRSKPRDAGAPAASPEESRRMQRELMAAAAEKRMIATRIASPSPSLSHSPSPSPSPSPSPSPSPSKATIDRNPGKSADCVRKEVNFGAKEGNSGLELSSEEVNQLFSMVFGSGVSKDILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKYLLFFPNEIGKVTSNAPQNLGPGRLSKGQYVASDNFGSLTEDAKARALVKSMGEILFMCGDNKRAVIATLNVVGLDTKGFAKNEIVAKALEGLSIESAFDLQKILRIETHTSQASALQKLHKALPVFQSRMGALLFLISALLSRGLDSIQSDRDDPSLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDLGGGMFLKGIFTSVEVGFLTLLESLNFCKVGQHLKCPKWPIWVVGSESHYTVLFALDTTVQDENELEERESQIRRAFDAQDQSGGGGFISVEGFHQVLREAGIRLPSEKLDHLCSTGFIVWSEFWQVILDLDKSLGGLKDSSGLMGKKVFDLYHFNGIAKSDLNGSHTTSGGETPVQRPRLTKLRVSVPPRWTPEEFMADVVVTSGPVGNESSGKDTEVTKPEPSQHAPLVDCIRTRWSRAVCNWVGDPPSIV >Potri.004G181900.5.v4.1 pep chromosome:Pop_tri_v4:4:19608799:19611595:1 gene:Potri.004G181900.v4.1 transcript:Potri.004G181900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181900.v4.1 MIGFRKTLVFYKGRAPRGSKTDWVMNEYRLPEYSSPLPKDIVLCKIYRKATSLKVLEQRAAMEEEMKTIHASPSSSSLDTMSFCSQPEDPVPPELPTQHLVFKKEIEDDIIRYEKPREIKGPSLQLPMGTDKLPELQVPSKLSMEWNQDPIWSLNSPWLQNLIPYADILNF >Potri.004G181900.6.v4.1 pep chromosome:Pop_tri_v4:4:19608799:19610583:1 gene:Potri.004G181900.v4.1 transcript:Potri.004G181900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181900.v4.1 MIGFRKTLVFYKGRAPRGSKTDWVMNEYRLPEYSSPLPKDIVLCKIYRKATSLKVLEQRAAMEEEMKTIHASPSSSSLDTMSFCSQPEDPVPPELPTQHLVFKKEIEDDIIRYEKPREIKGPSLQLPMGTDKLPELQVPSKLSMEWNQDPI >Potri.001G209000.1.v4.1 pep chromosome:Pop_tri_v4:1:21387113:21389239:-1 gene:Potri.001G209000.v4.1 transcript:Potri.001G209000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209000.v4.1 MFRKVVVPVISLILVVGVVIGVVAVVNNNKGSDGGKKEENLSPEMKIATQLCQPSEYKEACTETLSSVNSTDPKEFVKQAILAASDAVKKSFNFSEDLVVKASKDKREKMALDDCKELLDYAVQELQASMSLVGDSDLHTTNERVAELQSWLSSVLAYQETCVDGFSDNSTIKPTIEQGFVDASHLTDNVLAIISGLSGFLKSVGLQFNIPSNSRRLLAEDGFPTWFSGADRKLLAAQGNGKVKPNAVVAQDGSGQFKTISAAIAAYPNNLKGRYIIYVKAGIYREYVTVDKKKPNVFIYGDGPRKTIVTGSKSFAKDGLGTWKTATFVAEADGFIAKSMGFQNTAGPDGHQAVALRVSSDMSAFLNCRMDGYQDTLLYQAKRQFYRNCVISGTVDFIFGYGAAVIQNSLIVVRRPNDNQQNSVTADGRKEKHATTGLVIHNCRIVPEQKLVAERFKIPTYLGRPWKPFSRTVVMESELADFIQPAGWMPWAGSIHLDTLYYAEYANRGAGANTNKRVNWKTFHVINRNEALQFTAGQFLKGASWIKNAGVPVLLGLKR >Potri.004G211400.1.v4.1 pep chromosome:Pop_tri_v4:4:21769147:21772093:-1 gene:Potri.004G211400.v4.1 transcript:Potri.004G211400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211400.v4.1 MSVSHASIHPVEDPTTTDGGNNNAPGVRMKDIQGMPGTKGGLALRLSQFVFAVTALSVMASTSDFASVTAFTYLVAAASLQCLWSLCLAIVDIYALLVMRSLQNYRIVISFAVGDGIASTFTFAAACASAGITVLIDNDLDSCDDNHCLQFETATAMAFISCFSALPSFLLNFWSLASR >Potri.011G125201.1.v4.1 pep chromosome:Pop_tri_v4:11:15883810:15885070:1 gene:Potri.011G125201.v4.1 transcript:Potri.011G125201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125201.v4.1 MLIYEYMPNKSLDFFIFDQTRRKLLDWSKCMNIIVGIARGLLYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARMFRGDQTEANTHRVVGTYGYMSPEYASNGHLSVKTDVFSFGVLVLEIVSGNKNRGFRHPDQTLNLLGHAWILWIKGTPLELIDECLANSSNVSEVLRCIHVALLCVQQRPEDRPNMPTIVQILGNENPLPQPKQPGFFIGRNPLEQDTSSNRNNVYSANEASLTSLEAR >Potri.010G211100.6.v4.1 pep chromosome:Pop_tri_v4:10:19977600:19987612:-1 gene:Potri.010G211100.v4.1 transcript:Potri.010G211100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211100.v4.1 MGTENPGRPNPVTGSPFAAAPPTVTPFSASGPVVGSEALGFRPPAQPPQNTMLSMSSGPVAGSQASGFRPNNPPARFNDPSVASSPTAYVPPTSGPPFQRYPTPQFPSVHQAPPIGQPPFQPPAGQLPSPASFHPQPQVPVVPMGSPPSSLNVPQLSSDSSSFASRMNFQPSFPRMDSSYSASRATLQPSLPGYVKQANAISQASPMTPFQAQQGSYAASTPTPPPPFLPQQGGFAQPPPVGTPFGLHSRDQIQHPGSAPPIGGIQGLAEDFSSLSVGSVPGSIDSGLDPKALPRPLDGDMEPNSLGDAYSMNCNPRYLRLTTSAVPSSQSLLSRWHFPLGAVICPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPHVTFTDSGRKWCCNICALLNEVPGNYFAQLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGYPRTQVGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNVNVESALGPAVKATFMVMSQLGGKLLIFQNTIPSLGVGRLKLRGDDLRVYGTDKEHALRIPEDPFYKNMAAECTKYQIGVNVYAFSDKYTDIASLGALAKYSGGQIYYYPSFQSATHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSKTVYFQVVLLYTASCGERRIRVHTAAVPVVTDLGEMYRQADTGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREYRNLYAMQHRLGGRMIYPEPLKFLPLYGLALCKSAALRGGYADVQLDDRCAAGFTMMALPVKTMLKLLYPSLIRVDEYLLKPSAQADEFKNIMKRLPLTSESLDSRGLYVYDDGFRFVVWFGRMFSPDVAMNLLGQDAAVEFSKVALGKHDTEMSRKLMGLLKKLRDSDPSYYQLCNLVRQGEQPREGYLLLTNLVEDQIGGASGYSDWMVQIHRQVQQNA >Potri.010G211100.8.v4.1 pep chromosome:Pop_tri_v4:10:19977602:19987585:-1 gene:Potri.010G211100.v4.1 transcript:Potri.010G211100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211100.v4.1 MGTENPGRPNPVTGSPFAAAPPTVTPFSASGPVVGSEALGFRPPAQPPQNTMLSMSSGPVAGSQASGFRPNNPPARFNDPSVASSPTAYVPPTSGPPFQRYPTPQFPSVHQAPPIGQPPFQPPAGQLPSPASFHPQPQVPVVPMGSPPSSLNVPQLSSDSSSFASRMNFQPSFPRMDSSYSASRATLQPSLPGYVKQANAISQASPMTPFQAQQGSYAASTPTPPPPFLPQQGGFAQPPPVGTPFGLHSRDQIQHPGSAPPIGGIQGLAEDFSSLSVGSVPGSIDSGLDPKALPRPLDGDMEPNSLGDAYSMNCNPRYLRLTTSAVPSSQSLLSRWHFPLGAVICPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPHVTFTDSGRKWCCNICALLNEVPGNYFAQLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGYPRTQVGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNVNVESALGPAVKATFMVMSQLGGKLLIFQNTIPSLGVGRLKLRGDDLRVYGTDKEHALRIPEDPFYKNMAAECTKYQIGVNVYAFSDKYTDIASLGALAKYSGGQIYYYPSFQSATHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSKTVYFQVVLLYTASCGERRIRVHTAAVPVVTDLGEMYRQADTGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREYRNLYAMQHRLGGRMIYPEPLKFLPLYGLALCKSAALRGGYADVQLDDRCAAGFTMMALPVKTMLKLLYPSLIRVDEYLLKPSAQADEFKNIMKRLPLTSESLDSRGLYVYDDGFRFVVWFGRMFSPDVAMNLLGQDAAVEFSKVALGKHDTEMSRKLMGLLKKLRDSDPSYYQLCNLVRQGEQPREGYLLLTNLVEDQIGGASGYSDWMVQIHRQVQQNA >Potri.010G211100.9.v4.1 pep chromosome:Pop_tri_v4:10:19977601:19987564:-1 gene:Potri.010G211100.v4.1 transcript:Potri.010G211100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211100.v4.1 MGTENPGRPNPVTGSPFAAAPPTVTPFSASGPVVGSEALGFRPPAQPPQNTMLSMSSGPVAGSQASGFRPNNPPARFNDPSVASSPTAYVPPTSGPPFQRYPTPQFPSVHQAPPIGQPPFQPPAGQLPSPASFHPQPQVPVVPMGSPPSSLNVPQLSSDSSSFASRMNFQPSFPRMDSSYSASRATLQPSLPGYVKQANAISQASPMTPFQAQQGSYAASTPTPPPPFLPQQGGFAQPPPVGTPFGLHSRDQIQHPGSAPPIGGIQGLAEDFSSLSVGSVPGSIDSGLDPKALPRPLDGDMEPNSLGDAYSMNCNPRYLRLTTSAVPSSQSLLSRWHFPLGAVICPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPHVTFTDSGRKWCCNICALLNEVPGNYFAQLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGYPRTQVGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNVNVESALGPAVKATFMVMSQLGGKLLIFQNTIPSLGVGRLKLRGDDLRVYGTDKEHALRIPEDPFYKNMAAECTKYQIGVNVYAFSDKYTDIASLGALAKYSGGQIYYYPSFQSATHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSKTVYFQVVLLYTASCGERRIRVHTAAVPVVTDLGEMYRQADTGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREYRNLYAMQHRLGGRMIYPEPLKFLPLYGLALCKSAALRGGYADVQLDDRCAAGFTMMALPVKTMLKLLYPSLIRVDEYLLKPSAQADEFKNIMKRLPLTSESLDSRGLYVYDDGFRFVVWFGRMFSPDVAMNLLGQDAAVEFSKVALGKHDTEMSRKLMGLLKKLRDSDPSYYQLCNLVRQGEQPREGYLLLTNLVEDQIGGASGYSDWMVQIHRQVQQNA >Potri.010G211100.4.v4.1 pep chromosome:Pop_tri_v4:10:19977602:19987705:-1 gene:Potri.010G211100.v4.1 transcript:Potri.010G211100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211100.v4.1 MGTENPGRPNPVTGSPFAAAPPTVTPFSASGPVVGSEALGFRPPAQPPQNTMLSMSSGPVAGSQASGFRPNNPPARFNDPSVASSPTAYVPPTSGPPFQRYPTPQFPSVHQAPPIGQPPFQPPAGQLPSPASFHPQPQVPVVPMGSPPSSLNVPQLSSDSSSFASRMNFQPSFPRMDSSYSASRATLQPSLPGYVKQANAISQASPMTPFQAQQGSYAASTPTPPPPFLPQQGGFAQPPPVGTPFGLHSRDQIQHPGSAPPIGGIQGLAEDFSSLSVGSVPGSIDSGLDPKALPRPLDGDMEPNSLGDAYSMNCNPRYLRLTTSAVPSSQSLLSRWHFPLGAVICPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPHVTFTDSGRKWCCNICALLNEVPGNYFAQLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGYPRTQVGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNVNVESALGPAVKATFMVMSQLGGKLLIFQNTIPSLGVGRLKLRGDDLRVYGTDKEHALRIPEDPFYKNMAAECTKYQIGVNVYAFSDKYTDIASLGALAKYSGGQIYYYPSFQSATHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSKTVYFQVVLLYTASCGERRIRVHTAAVPVVTDLGEMYRQADTGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREYRNLYAMQHRLGGRMIYPEPLKFLPLYGLALCKSAALRGGYADVQLDDRCAAGFTMMALPVKTMLKLLYPSLIRVDEYLLKPSAQADEFKNIMKRLPLTSESLDSRGLYVYDDGFRFVVWFGRMFSPDVAMNLLGQDAAVEFSKVALGKHDTEMSRKLMGLLKKLRDSDPSYYQLCNLVRQGEQPREGYLLLTNLVEDQIGGASGYSDWMVQIHRQVQQNA >Potri.010G211100.7.v4.1 pep chromosome:Pop_tri_v4:10:19977600:19987612:-1 gene:Potri.010G211100.v4.1 transcript:Potri.010G211100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211100.v4.1 MGTENPGRPNPVTGSPFAAAPPTVTPFSASGPVVGSEALGFRPPAQPPQNTMLSMSSGPVAGSQASGFRPNNPPARFNDPSVASSPTAYVPPTSGPPFQRYPTPQFPSVHQAPPIGQPPFQPPAGQLPSPASFHPQPQVPVVPMGSPPSSLNVPQLSSDSSSFASRMNFQPSFPRMDSSYSASRATLQPSLPGYVKQANAISQASPMTPFQAQQGSYAASTPTPPPPFLPQQGGFAQPPPVGTPFGLHSRDQIQHPGSAPPIGGIQGLAEDFSSLSVGSVPGSIDSGLDPKALPRPLDGDMEPNSLGDAYSMNCNPRYLRLTTSAVPSSQSLLSRWHFPLGAVICPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPHVTFTDSGRKWCCNICALLNEVPGNYFAQLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGYPRTQVGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNVNVESALGPAVKATFMVMSQLGGKLLIFQNTIPSLGVGRLKLRGDDLRVYGTDKEHALRIPEDPFYKNMAAECTKYQIGVNVYAFSDKYTDIASLGALAKYSGGQIYYYPSFQSATHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSKTVYFQVVLLYTASCGERRIRVHTAAVPVVTDLGEMYRQADTGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREYRNLYAMQHRLGGRMIYPEPLKFLPLYGLALCKSAALRGGYADVQLDDRCAAGFTMMALPVKTMLKLLYPSLIRVDEYLLKPSAQADEFKNIMKRLPLTSESLDSRGLYVYDDGFRFVVWFGRMFSPDVAMNLLGQDAAVEFSKVALGKHDTEMSRKLMGLLKKLRDSDPSYYQLCNLVRQGEQPREGYLLLTNLVEDQIGGASGYSDWMVQIHRQVQQNA >Potri.010G211100.5.v4.1 pep chromosome:Pop_tri_v4:10:19977601:19987702:-1 gene:Potri.010G211100.v4.1 transcript:Potri.010G211100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211100.v4.1 MGTENPGRPNPVTGSPFAAAPPTVTPFSASGPVVGSEALGFRPPAQPPQNTMLSMSSGPVAGSQASGFRPNNPPARFNDPSVASSPTAYVPPTSGPPFQRYPTPQFPSVHQAPPIGQPPFQPPAGQLPSPASFHPQPQVPVVPMGSPPSSLNVPQLSSDSSSFASRMNFQPSFPRMDSSYSASRATLQPSLPGYVKQANAISQASPMTPFQAQQGSYAASTPTPPPPFLPQQGGFAQPPPVGTPFGLHSRDQIQHPGSAPPIGGIQGLAEDFSSLSVGSVPGSIDSGLDPKALPRPLDGDMEPNSLGDAYSMNCNPRYLRLTTSAVPSSQSLLSRWHFPLGAVICPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPHVTFTDSGRKWCCNICALLNEVPGNYFAQLDATGRRIDLDQRPELTKGSVEFVAPTEYMVRPPMPPLFFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGYPRTQVGFITFDSTIHFYNMKSSLTQPQMMVVSDLDDIFVPLPDDLLVNLSESRSVVEAFLDSLPSMFQDNVNVESALGPAVKATFMVMSQLGGKLLIFQNTIPSLGVGRLKLRGDDLRVYGTDKEHALRIPEDPFYKNMAAECTKYQIGVNVYAFSDKYTDIASLGALAKYSGGQIYYYPSFQSATHGEKLRHELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSKTVYFQVVLLYTASCGERRIRVHTAAVPVVTDLGEMYRQADTGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREYRNLYAMQHRLGGRMIYPEPLKFLPLYGLALCKSAALRGGYADVQLDDRCAAGFTMMALPVKTMLKLLYPSLIRVDEYLLKPSAQADEFKNIMKRLPLTSESLDSRGLYVYDDGFRFVVWFGRMFSPDVAMNLLGQDAAVEFSKVALGKHDTEMSRKLMGLLKKLRDSDPSYYQLCNLVRQGEQPREGYLLLTNLVEDQIGGASGYSDWMVQIHRQVQQNA >Potri.001G264100.1.v4.1 pep chromosome:Pop_tri_v4:1:27971199:27977621:-1 gene:Potri.001G264100.v4.1 transcript:Potri.001G264100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264100.v4.1 MKRLRSSDDLDSYNEKSSVKDSNPSRSSRSFYHKSDNVRKGLVSSSSSSSRYDRDRSTDEDNRESSRMVRKRSDHEFDSFDRRKGLGLGFDRYGSGGGSSNSREGYCGSGGGGGNDRVIHRPESLAGSRREFPKGFRSERERSRREVSVSSWRRFGSKEFEESRGGSGRGGNEERMGSARSSPKGLRDVVRSPSWSRDSGSEQTRVARGSGSGRDEAKVKSSNSKSRSSPTWSKDSGSEQSKSVEVGKKSEAETKSVEVEAKSVEMEVKVVQSGNCSEIEEGELEPEPDSVPKVAKEDENDNVNEELENVKVDIDQRKVEIEAEVKELVNEETGSHKENVNEGKDVVKEAGEMPNVEENSNDSVSEDEVGNMDGDGDTKDNKSLMERVECRGEVSKNMIVEESLNLEENNKQDKGIDLEVKADDVEVTESNKETVKENGGTEVNINMVTEISSQNVKDKGKSVAVSPINAPDSAEDGTWAERESRNVATFRNGEDDMEGPSTRGFELFSTSPVRRVEKAEESSGIKSKDEKLLLEPLDLSLSLPDVLLPVGATGDTGQAPGSPSHGRSVQSFSSFRTNSDGFTASMSFSGSQSFYHNPSCSLTQNSLDMDNYEQSVHSRPIFQGIDQTHWQGQTQNDSKYKDVPLYQKILMNGNGSLHQPQAVPGLSNGQALQGTSKMHNELERQLSFQRQLPGGQARNHDDTRSPSQSVGSHDIGSSYSFEKKRAMKEKHGSSLYRSNSQKELEQFSIGGADFVETIIGRIVSEPIHVMAKKFHEMTAQSASCLKESIREILLNANKQGQACAFQSMLQNRSELTLDMLLKSHRVQLEVLVALRTGLPEYLQVDSGISSSDLAEVFLNLRCRNLTCQSHLPVDECDCKVCVKKNGFCSSCMCLVCSKFDMASNTCSWVGCDVCLHWCHADCALREACIRNGRSVSGAQGTTEMQFHCVACDHPSEMFGFVKEVFQNFAKDWTAETFCRELEYVKRIFCASKDLRGRRLHEIADQMLAKLANKSILPEVYNYIMGFLTESDPSKFGNASGFSGKEQGNGSNGIIGGPSQDTAWFKSVYAEKTPQLERSTSFHSDLNDKRPVESELLRSAQKEPLFDELESIVRIKQAEAKMFQARADDARREAEGLKRIVIAKSEKIDEEHAGRLSKLHIVEAEEMRRQRFEEFQSLERAHREYYSMKMRMEADIKDLLLKMEATKRNLTM >Potri.002G004000.2.v4.1 pep chromosome:Pop_tri_v4:2:243748:247809:-1 gene:Potri.002G004000.v4.1 transcript:Potri.002G004000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G004000.v4.1 MDSRRLPPKSSRTTSSNDGGPLHHHQKRPAPSVDNRSPSPTPKASDALPLPLYLTNAIFFTLFFSVAYYLLHRWREKIRDSTPLHVVTFPEIAAIVSLMASFIYLLGFFGIDFVQSFITRPSHDTWDLEDTDNPNYLINEDHRLVTCPPPANVPPISKLPNPEPIITPLASVEDEEIVKSVTEGTLPSYSLESKLGDCKRAAVIRREALQRTTGRSLEGLPIEGFDYDSILGQCCEMPVGYVQIPVGIAGPLLLNGMEYMVPMATTEGCLVASTNRGCKAMYASGGATSVLLADGMTRAPVVRFETAKRASELKLFLEDPDNFDTLSIVFNRSSRFARLQGIKCSMAGKNLYMRFKCSTGDAMGMNMVSKGVQNVLDFLQNDFHDMEVIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIREEIVKKVLKTSVASLVELNMLKNLAGSAVAGALGGFNAHASNIVSAIFIATGQDPAQNIESSHCITMMEAVNNGKDLHISVTMPSIEVGTVGGGTQLVSQSACLNLLGVKGASKESPGSNSRLLATIVAGSVLAGELSLMAAIAQGQLVKSHMKYNRSSKDISKAAS >Potri.001G129800.1.v4.1 pep chromosome:Pop_tri_v4:1:10620788:10622337:-1 gene:Potri.001G129800.v4.1 transcript:Potri.001G129800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129800.v4.1 MPQEDCVAVSLRRNLSRRRSFRSVGGVDRDDRGWTSLHIGARKGDLKQVKHLLDEGMDVNVPAWGPKSKGLTALHLAAQGGHLEIMDELLARGANIDARTLGACGWTPLHRAAKERKKEAVKFLIENGAFLPDDMNDSRFNPPLHYCTGLEWAYEEMKRHQRENLSAGEASYSSES >Potri.004G230600.2.v4.1 pep chromosome:Pop_tri_v4:4:23415972:23422388:1 gene:Potri.004G230600.v4.1 transcript:Potri.004G230600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230600.v4.1 MSHSWVRALSSRKRHYGVGILHRSISSSSGSCCSHFSKVNNENNPLFTVSFRSLFNNANIINGNTLIPPDLKCCPLAPRWHLYRGYVSVVQQKMPELVSGSGEDVTSSDLEQLDFCSDDGGTDKKVKVVYEKPIDFTKIDTNLLPTVIIVGRPNVGKSALYNRLIRRREALVYNTPDDHVTRDIREGIAKLGDLRFKVLDSAGLETEAASGSILQRTTSMTANVLARTQFAVFLIDVRAGLHPLDLDVGKWFRKHAPGIKPIVAMNKSESLCDGVGSISDAADEARMLGFGDPIAISAETGLGMAALHDALQPLIEDYMLQVLNNNCDQDNGYGPSHVDDVAGEVDESKLPLQLAIIGRPNVGKSTLLNTLLQEERVLVGPEVGLTRDSVRTQFQYEGRTIYLVDTAGWLQRTGLEKGPSSLSVMQSRKNLMRAQVVALVLDAEEVVKARRSMTHAEVVIARRAVEEGRGLVVIVNKMDLLKGKRNSTLFDKVMEAVPLEIQTVIPQITGIPVVFTSALEGRGRIAVMRQVIDTYEKWCSRLSTSRLNRWLRKVMSRHSWKDQAAQPKIKYFTQVKARPPTFVAFTSGKTQLSETDLRFLTKSLKEDFDLGGIPIRIMQRSVPRKGGGNRSKSTPSAGRMAERFLSDKRTADV >Potri.004G230600.1.v4.1 pep chromosome:Pop_tri_v4:4:23415931:23422407:1 gene:Potri.004G230600.v4.1 transcript:Potri.004G230600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230600.v4.1 MSHSWVRALSSRKRHYGVGILHRSISSSSGSCCSHFSKVNNENNPLFTVSFRSLFNNANIINGNTLIPPDLKCCPLAPRWHLYRGYVSVVQQKMPELVSGSGEDVTSSDLEQLDFCSDDGGTDKKVKVVYEKPIDFTKIDTNLLPTVIIVGRPNVGKSALYNRLIRRREALVYNTPDDHVTRDIREGIAKLGDLRFKVLDSAGLETEAASGSILQRTTSMTANVLARTQFAVFLIDVRAGLHPLDLDVGKWFRKHAPGIKPIVAMNKSESLCDGVGSISDAADEARMLGFGDPIAISAETGLGMAALHDALQPLIEDYMLQVLNNNCDQDNGYGPSHVDDVAGEVDESKLPLQLAIIGRPNVGKSTLLNTLLQEERVLVGPEVGLTRDSVRTQFQYEGRTIYLVDTAGWLQRTGLEKGPSSLSVMQSRKNLMRAQVVALVLDAEEVVKARRSMTHAEVVIARRAVEEGRGLVVIVNKMDLLKGKRNSTLFDKVMEAVPLEIQTVIPQITGIPVVFTSALEGRGRIAVMRQVIDTYEKWCSRLSTSRLNRWLRKVMSRHSWKDQAAQPKIKYFTQVKARPPTFVAFTSGKTQLSETDLRFLTKSLKEDFDLGGIPIRIMQRSVPRKGGGNRSKSTPSAGRMAERFLSDKRTADV >Potri.005G203401.1.v4.1 pep chromosome:Pop_tri_v4:5:20850750:20851922:-1 gene:Potri.005G203401.v4.1 transcript:Potri.005G203401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203401.v4.1 CWHKFCIIGLGWLYKVEKKRKNFKFKEKFFKRNGGLLLQQQLCSGEVNVNTTKLFRSSELEKATDHFSVHRKVGQGGQGTVYKGMLADGRIVAVKKSGLVSEGKLEKFINEVVILSQINHRNVVRLLGCCLETEVPLLVYEFIHNGTLSQYLHDQLEEFPLTWDMRLRIAIEVAEALSYLHSAASLPIYHRDIKSSNILLDEKYRAKVADFGISKSVAIDQTHVTTQVQGTFGYLDPEYFQSSQFTEKSDVYSYGVVLVELLTRQKPNSSTRSEEDGSLILYFMLCLEENRLGDIINAELVLQAQKEVIVAVAKVAYKCLNINRKKRPTMKEVTKELEDIQILQNDFNANPQNYEDAEHLRCDLSETGDALSPSNQLLGKMLVKLDDFFL >Potri.010G092950.1.v4.1 pep chromosome:Pop_tri_v4:10:11750902:11752741:1 gene:Potri.010G092950.v4.1 transcript:Potri.010G092950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092950.v4.1 MTPKPHPIQSKYQSLSELGPLPSSANHALLLYGACFIQSVATPFRHSFGGRFIVKKTTSIEVAMPLICGFYYCYY >Potri.007G134800.1.v4.1 pep chromosome:Pop_tri_v4:7:14718096:14718557:1 gene:Potri.007G134800.v4.1 transcript:Potri.007G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX7 MQQAIPYKSWPPLYTNNKPLISPFQLIARHNNGGVVATQEVLKGSGNMSKMVQENAIIVFARRGCCMSLVAKRLLLGLGVNPAVYEIDEADEISVLEELEMICNDGGKGSKKKVQFPALFIGGKLFGGLDKLMAAHISGELVPILKEAGALWL >Potri.017G016300.1.v4.1 pep chromosome:Pop_tri_v4:17:1204091:1204714:-1 gene:Potri.017G016300.v4.1 transcript:Potri.017G016300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016300.v4.1 MDINVLVQEGAEIAQPFLENVLQKPEKTPGQKAIRKTFKGTAHLARLLPTGSVLTFQILSPILTHEGQCRSVTSQTLTSSLLAVCGLACFLLCFTDSFRDARGKVRYGMVTFKGLWIIDATAELSPEEAAKYKLKFIDVLHAFMSILVFGAVSLFDKNVAKCFFPAPSDEAKDLLIVVPATIGVICSILFLAFPSKRHGIGCPLSRN >Potri.001G358700.1.v4.1 pep chromosome:Pop_tri_v4:1:37465238:37470922:1 gene:Potri.001G358700.v4.1 transcript:Potri.001G358700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358700.v4.1 MSDLDRQIEQLKRCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTSYLFLGDFVDRGYYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYMSLSALIENKVFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFNHSNNIDYICRAHQLVMEGYKWMFRNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPPESRGAPAKNPAPDYFL >Potri.019G096300.1.v4.1 pep chromosome:Pop_tri_v4:19:13422094:13423275:1 gene:Potri.019G096300.v4.1 transcript:Potri.019G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G096300.v4.1 MARSVIFLPAIFLSFCTLSCIAQQQPCTAYKFSNNKQFSSCSHLPVLSSSLHWNYHPLSSRVEVAFRHIGVTDRRWIAWAINPTSGGMIGSQAIVSFQRTDGSLAVYTSPITSYGTRLEQGNLSFPVSDLSATNQNNEMIIYASLELQGNISTVNHLWQVGSMSENTPMMHNVAPSSPNVKSMGSLDFLSGRIKATRSSSTTLKNVHGILNTVSWGILMPVGAVIARYLKRFESAGPLWFYLHVSCQLLAYILGGLSGFGTGIFLGIRSHGIEHSCHKIIGIVLFCLATAQVFGGLVRPDKDSKYRPFFNWFHFLAGCSTLILSIFNIYKGFDILHAARFWRLTYSGMILTLLLVMLLLEICTRWCLQITKRSMSNTVDKNTSTVTVVAAMDV >Potri.005G202300.1.v4.1 pep chromosome:Pop_tri_v4:5:20779403:20780550:-1 gene:Potri.005G202300.v4.1 transcript:Potri.005G202300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202300.v4.1 MEDPSLIQPILIPRDIATASSSSTTTTTTSFYYFDDTDADYIKHWSSNSSIILRLLLITSIGIISIWANYEASKGFGITLVNDAKDSAEGKRFTLSYISNDKATRIILNTSLFVENLLYPNINDDTKKQVIHHVTLRLASNNLPNLVTVDTNTNNEFVINISPSVLMGHPKNLDYAITSVVLQGMARAWIWDSESRAPQWLLDGMVEYINGLAGFGPVRNLGGHESLDDQFGKFCSGDKDPMVVAQFLKNCERHEKGFIQRLNKALRDDHQWIGRTVEDVLGISEQNLCDLYKNTSQGLSSW >Potri.011G124240.1.v4.1 pep chromosome:Pop_tri_v4:11:15474859:15477098:-1 gene:Potri.011G124240.v4.1 transcript:Potri.011G124240.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124240.v4.1 MDFGIMLRRKIMVSPVSFVSLNLQRALQLLGLNHIYQELKGLALAYGNLSGIEIGNWVDSMIGGEIVIIDQGRAPEVSEAHPAKGKAFQTTELVGRAFERNVSEIWSWLMKDDVLSIGIYGIGGVGKTSLLRHINDQLLQRPSSFQNVFWITVTQDFSIYKLQNLIAKAVDLDLSNDEDEKKRAVKLSNGLIAKKKFVLILDDLWNHFSPEKVGVPVGVDGCKLILTSRSLRVCRQMCCQEKIKVEPLSEDEAWTLFMEKLGINVELPSEVIEIAKSVAKECTGLLLWIITMAGSMRQVDDIGQWRNALETLKESKIGKGDMEADIFKIIEFSYMNLNDSALQQAFLYCALFPVDSGISREDLVEYMIVEGIVAKRKSRQAESDKGHAMLNKLENACLIESCTREGYRCVRMNTLVRDMAIKIQKVNSQAMVESGG >Potri.003G099900.1.v4.1 pep chromosome:Pop_tri_v4:3:12508684:12511434:1 gene:Potri.003G099900.v4.1 transcript:Potri.003G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099900.v4.1 MQRLCTKLRSLASVSSSHRLLHPPPQSYHRHLHFAAASRKWNLNGSLLNTSSSLPIQLPSVAALSSSRLSQLPHSLVQVRHVSSRERKKRRKPMTPRTSKVKKIKMKAYSSYKERFRTMNDGTIRRWREGKNHNAHSKSKKSKRRLRQPSTVPAAYAKVMKKLNFCG >Potri.003G098800.1.v4.1 pep chromosome:Pop_tri_v4:3:12428850:12436020:-1 gene:Potri.003G098800.v4.1 transcript:Potri.003G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098800.v4.1 MGKKIREANLNGEPIAQQEAANGHKSDKKKRKDKNKNQEPEFQETQKEPTLKRRLEETQDQETVKKEKKKKKHEEEKEGEIHEGKDKESESFPESTKKDKNKKKESKKQEENGKENVIHEVKEEVQPQDRVVVSGKDTQETKYASLKSFAEAKLPDNVLECCKNFKNPSPIQAHAWPFLFDGRDFIGIAKTGSGKTLAFGIPAIMHILSKRKGKSTRGANPLCLVLAPTRELADQISVVLRDAGEPCGVRSVCIYGGTSKGPQIKSLKAGVDIVIGTPGRLKDLIEMNVLHLAEVSFVVLDEADRMLDMGFRQEVRSILSNTCSARQMIMFSATWPLDVHNLAEEYMDPNPVKVVVGSEDTAANHDVMQIVEVLEDHLRDQRLLALLEKYHKSQQNRVLVFALYQKEAERLDGMLRKSGWNVVSIHGNKAQEQRTKALSLFKKGSCPLMVATDVAARGLDVPDVEVVINYTFPLTAEDYVHRIGRTGRAGKKGVAHTFFTHHNRGLAGELVNILREAGQIVPPALLKFGTHVKKKESKLYGAHFKEISADAPKAKKITFNSDDED >Potri.013G046200.1.v4.1 pep chromosome:Pop_tri_v4:13:3257804:3262805:1 gene:Potri.013G046200.v4.1 transcript:Potri.013G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046200.v4.1 MALAVSNVLNCPKRQISQRNYTLKSSVLKSPPSFLRFPRVERQHKCFIYASASAAGGSRSDNDLNPYEVLGVNPIEGFDMVKAAYAKKRKEAQIEGDEVAAAQLEKAYDKLMMAQLSNRKKGVTYGSFKVSKDIKYADKQPIVPWGPRFSKSSENDMRINLAISVAFTAWILIKRSAEYKPLQFLAFAFVYRIFEKLKAFEPPVSQTYTEEGEDEGRTLRLGKRILRSLALVFGSVAFASLAYTGILNLIEMAGSYIPVFLYNNQELLITTATSVILYILASYYR >Potri.011G152400.3.v4.1 pep chromosome:Pop_tri_v4:11:17942917:17945983:1 gene:Potri.011G152400.v4.1 transcript:Potri.011G152400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152400.v4.1 MEYCRFYYCASLILSISGLVFPVMVGSIGINYGQIANNLPAPDNVVPLVKSIGATKVKLYDADPRVLKAFANTGVEFIVGLGNEYLSKMRDPEKAQAWVKTNVQAYLPATKITCITIGNEVLTFNDTGLTDNLIPAMQNIHTALVNLGLDKQVSVTTAHSLAILEVSYPPSAGSFRKDLVGCITPILNFHAKTNSPFLINAYPFFAYKSNPKQISLDFVLFQPNQGIVDSKSNFHYDNMLFAQIDAVHSALASLGYSKLPVHISETGWPSKGDADEVGATLENAKKYNGNLLKIICQRKGTPMRPNTDFNIYVFALFNENMKPGPASERNYGLFKPDGTPAYSLGISGTDAVSANTTTTTGAPAPPSPDSSSTGYLSISAAVKVSPCLLCGAFTFDVFVVVSCT >Potri.011G152400.4.v4.1 pep chromosome:Pop_tri_v4:11:17943088:17945984:1 gene:Potri.011G152400.v4.1 transcript:Potri.011G152400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152400.v4.1 MEYCRFYYCASLILSISGLVFPVMVGSIGINYGQIANNLPAPDNVVPLVKSIGATKVKLYDADPRVLKAFANTGVEFIVGLGNEYLSKMRDPEKAQAWVKTNVQAYLPATKITCITIGNEVLTFNDTGLTDNLIPAMQNIHTALVNLGLDKQVSVTTAHSLAILEVSYPPSAGSFRKDLVGCITPILNFHAKTNSPFLINAYPFFAYKSNPKQISLDFVLFQPNQGIVDSKSNFHYDNMLFAQIDAVHSALASLGYSKLPVHISETGWPSKGDADEVGATLENAKKYNGNLLKIICQRKGTPMRPNTDFNIYVFALFNENMKPGPASERNYGLFKPDGTPAYSLGISGTDAVSANTTTTTGAPAPPSPDSSSTGYLSISAAVKERCCSCIGQLLFPLLLLNYLAFRLAF >Potri.011G152400.1.v4.1 pep chromosome:Pop_tri_v4:11:17942889:17946103:1 gene:Potri.011G152400.v4.1 transcript:Potri.011G152400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152400.v4.1 MEYCRFYYCASLILSISGLVFPVMVGSIGINYGQIANNLPAPDNVVPLVKSIGATKVKLYDADPRVLKAFANTGVEFIVGLGNEYLSKMRDPEKAQAWVKTNVQAYLPATKITCITIGNEVLTFNDTGLTDNLIPAMQNIHTALVNLGLDKQVSVTTAHSLAILEVSYPPSAGSFRKDLVGCITPILNFHAKTNSPFLINAYPFFAYKSNPKQISLDFVLFQPNQGIVDSKSNFHYDNMLFAQIDAVHSALASLGYSKLPVHISETGWPSKGDADEVGATLENAKKYNGNLLKIICQRKGTPMRPNTDFNIYVFALFNENMKPGPASERNYGLFKPDGTPAYSLGISGTDAVSANTTTTTGAPAPPSPDSSSTGYLSISAAVKERCCSCIGQLLFPLLLLNYLAFRLAF >Potri.011G152400.5.v4.1 pep chromosome:Pop_tri_v4:11:17943573:17946145:1 gene:Potri.011G152400.v4.1 transcript:Potri.011G152400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152400.v4.1 MRDPEKAQAWVKTNVQAYLPATKITCITIGNEVLTFNDTGLTDNLIPAMQNIHTALVNLGLDKQVSVTTAHSLAILEVSYPPSAGSFRKDLVGCITPILNFHAKTNSPFLINAYPFFAYKSNPKQISLDFVLFQPNQGIVDSKSNFHYDNMLFAQIDAVHSALASLGYSKLPVHISETGWPSKGDADEVGATLENAKKYNGNLLKIICQRKGTPMRPNTDFNIYVFALFNENMKPGPASERNYGLFKPDGTPAYSLGISGTDAVSANTTTTTGAPAPPSPDSSSTGYLSISAAVKERCCSCIGQLLFPLLLLNYLAFRLAF >Potri.005G004233.1.v4.1 pep chromosome:Pop_tri_v4:5:352430:355760:1 gene:Potri.005G004233.v4.1 transcript:Potri.005G004233.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004233.v4.1 MHYEVFLSFRGENTRRSFTDHLYTALCRAEIRAFRDDDGIRRGENIDLEIKKAIQETKLSIIVFSKDYASSRWCLDELAMIMERRRAVGHIVFPVFYDVDPSEVGTQTGRYGEAFAKHQIRFKDQMERVEGWRKALKEVAYMEGMVLEDGYESKFIESIVKEIADKLNFSLPHAPPSSLPLSSALRPPSYFLGLLREWRDPLSLFIFFDFSLNTIDLTLFSNEVHTVQIPIVFSEKTKKIKRESGAAGKAEVSGDENKRMVPQRTDFV >Potri.001G253100.4.v4.1 pep chromosome:Pop_tri_v4:1:26934350:26939496:-1 gene:Potri.001G253100.v4.1 transcript:Potri.001G253100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253100.v4.1 MCIAGSIVIVIHAPQESPITSVQEIWSMATQPAFLLYVGSVIVLVFIMIFHFAPQCGHSNVLVFTGICSFMGSLSVMSVKAVGTALKLTFEGNNQLLYPETWFFVFIVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQNVGSIISEICGFIVVLSGTIVLHTTREFERSSSFRGSYASLSPTLSARLCNGNGEFMKHEENLLPDEAVFFQRQEMH >Potri.001G253100.1.v4.1 pep chromosome:Pop_tri_v4:1:26934350:26939478:-1 gene:Potri.001G253100.v4.1 transcript:Potri.001G253100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253100.v4.1 MGFSQDNLKGFVLALSSSAFIGASFIIKKKGLRRAAAASGVRAGVGGFSYLLEPLWWLGMITMIVGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAHFILNEKLHQLGILGCVMCIAGSIVIVIHAPQESPITSVQEIWSMATQPAFLLYVGSVIVLVFIMIFHFAPQCGHSNVLVFTGICSFMGSLSVMSVKAVGTALKLTFEGNNQLLYPETWFFVFIVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQNVGSIISEICGFIVVLSGTIVLHTTREFERSSSFRGSYASLSPTLSARLCNGNGEFMKHEENLLPDEAVFFQRQEMH >Potri.001G298500.4.v4.1 pep chromosome:Pop_tri_v4:1:30955433:30958740:1 gene:Potri.001G298500.v4.1 transcript:Potri.001G298500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298500.v4.1 MELEVDDKDLKAAGAEILTDGHHGLRIHGWEIVSYKGSILKSSSVITWEEKLETSHLPEMVFGESSLVLKHVISGAKIHFNAFDALTGWKKEALPPVEVPAAAQWKFRSKPFQQVILDYDYTFTTPYCGSETIGLDMEKKDSGEILEATCSPCWEDCEEQIDVVALASKEPILFYDEVVLYEDELADNGVSLLTVKVVNAKIFTNCSKH >Potri.001G298500.1.v4.1 pep chromosome:Pop_tri_v4:1:30955428:30958748:1 gene:Potri.001G298500.v4.1 transcript:Potri.001G298500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298500.v4.1 MELEVDDKDLKAAGAEILTDGHHGLRIHGWEIVSYKGSILKSSSVITWEEKLETSHLPEMVFGESSLVLKHVISGAKIHFNAFDALTGWKKEALPPVEVPAAAQWKFRSKPFQQVILDYDYTFTTPYCGSETIGLDMEKKDSGEILEATCSPCWEDCEEQIDVVALASKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSCWFLLLRFWLRVDGVLMRLRDTRMHCAFSDSANPVILRESCWREATFQALAAKGYPTDAASYSDPSIISQKLPVIMHKTQKLVVHSNL >Potri.001G130500.2.v4.1 pep chromosome:Pop_tri_v4:1:10654250:10656760:1 gene:Potri.001G130500.v4.1 transcript:Potri.001G130500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130500.v4.1 MKLQDLEFCFLDTVPSFHRLHLAAWSGQAEAVTYLCKHKADVGAAAMDDMGAIHFASQKGHLEVVRTLLSSGASIKASTRKGLTPLHYGVQGSHLELVKYLVKKGASLTVRTKAGMTPLDLATNEEIRLFLEESEKTSKKETLNGKDKAEVSEPKTSLEDKSEYSGGEATAGEHEEQVNESVKRKGEADDTKETSSELKRARVALNHLLSADDTQEEENL >Potri.001G130500.1.v4.1 pep chromosome:Pop_tri_v4:1:10654211:10656835:1 gene:Potri.001G130500.v4.1 transcript:Potri.001G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130500.v4.1 MGRGRGGGGGGGDAELHAASRSGDMKAMETIVSSNPLAINSRDKHSRTPLHLAAWSGQAEAVTYLCKHKADVGAAAMDDMGAIHFASQKGHLEVVRTLLSSGASIKASTRKGLTPLHYGVQGSHLELVKYLVKKGASLTVRTKAGMTPLDLATNEEIRLFLEESEKTSKKETLNGKDKAEVSEPKTSLEDKSEYSGGEATAGEHEEQVNESVKRKGEADDTKETSSELKRARVALNHLLSADDTQEEENL >Potri.T045901.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:577027:578087:1 gene:Potri.T045901.v4.1 transcript:Potri.T045901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045901.v4.1 MVSTEDFSFPRITNPLPHFAFSPSLWRVSSLVYPDYRYEDDERELPFFKQSFSFTTCQELKIESIEEKMDRLWEKFNDEELQRASSDSLGGKKGSYSVDSLDSECARGELKHLCRVKKELKISKSDMISASTQSKRQQIVMVFKVLKKMFLHPISSKGLKVDRI >Potri.010G068600.2.v4.1 pep chromosome:Pop_tri_v4:10:9687037:9691530:-1 gene:Potri.010G068600.v4.1 transcript:Potri.010G068600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068600.v4.1 MPGTQILVNELVTKLRKRKVEGSQATALLTAELLRSVISQLKVPQTNQAETLIEAVKSVGEQLVAANPVELAVGNIVKRVLHVIREEDFSVATNALRGLVSSAGGDNRDTAEHKDFRAQNVAAVAAGPPSRPPSLHSLLDRTPDSAIAHHSSYIGDSFEGKGKSDRNTEIWKLKHDVIRAVNELIEDINSCHEQIAEHAVELIHQNEIILTFGRSRTVREFLCAAKEKRSFRVFVAEGAPKYQGHALAKDLVARGLQTTLITDSAVFAMISRVNMVVVGVHAVMANGGVLGPVGLNMVALAARKHAVPFVVVAGTHKLCPLYPQNPQVLLNEMRCPSELLQYGEFSDCMDFSIGSGNPLLNVVNPAFDYVSPELVSLFITDIGGYNPSFMHRLIADYYSADDVNLPKKTTS >Potri.010G068600.1.v4.1 pep chromosome:Pop_tri_v4:10:9687037:9691530:-1 gene:Potri.010G068600.v4.1 transcript:Potri.010G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068600.v4.1 MPGTQILVNELVTKLRKRKVEGSQATALLTAELLRSVISQLKVPQTNQAETLIEAVKSVGEQLVAANPVELAVGNIVKRVLHVIREEDFSVATNALRGLVSSAGGDNRDTAEHKDFRAQNVAAVAAGPPSRPPSLHSLLDRTPDSAIAHHSSYIGDSFEGKGKSADRNTEIWKLKHDVIRAVNELIEDINSCHEQIAEHAVELIHQNEIILTFGRSRTVREFLCAAKEKRSFRVFVAEGAPKYQGHALAKDLVARGLQTTLITDSAVFAMISRVNMVVVGVHAVMANGGVLGPVGLNMVALAARKHAVPFVVVAGTHKLCPLYPQNPQVLLNEMRCPSELLQYGEFSDCMDFSIGSGNPLLNVVNPAFDYVSPELVSLFITDIGGYNPSFMHRLIADYYSADDVNLPKKTTS >Potri.010G104701.1.v4.1 pep chromosome:Pop_tri_v4:10:12663031:12663345:1 gene:Potri.010G104701.v4.1 transcript:Potri.010G104701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104701.v4.1 MFGRTISLFHDQNLLEAIKHKYGLQFHTSQIPEFQVFFSDQPINDFNTLFDNLPQERQYFAAGVLGSFYGQLFPESFLHLVHCSISLHYWLSKLPEQVFDKNPP >Potri.008G040700.1.v4.1 pep chromosome:Pop_tri_v4:8:2272815:2277570:-1 gene:Potri.008G040700.v4.1 transcript:Potri.008G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040700.v4.1 MASSTLTSKSFLDSSRIDTASLSSAHLRKLSFSSVQISSRSRLPKKLQINAAGSTFGNNFRVTTFGESHGGGVGCIIDGCPPRIPLSESDMQFDLDRRRPGQSRITTPRKETDTCKISSGVSEGQTTGTPIHVFVPNTDQRGFDYNEMSVAYRPSHADATYDMKYGVRSVQGGGRSSARETIGRVAAGAVAKKILKLYAGTEILAYVSQVHKVVLPEGVVNHDSLSLDQIESNIVRCPDPEYAEKMIAAIDAVRVKGDSVGGVVTCIVRNAPRGLGSPVFDKLEAELAKAAMSLPATKGFEFGSGFSGTFLSGSEHNDEFYTDEHGRIRTRTNRSGGIQGGISNGEIINLRIAFKPTSTIGRKQHTVTRDKKEIELIARGRHDPCVVPRAVPMVEAMVALVLMDQLMAQFSQSYLFPINPDLQEPLTMPRLEAANASV >Potri.010G005800.2.v4.1 pep chromosome:Pop_tri_v4:10:544255:548527:1 gene:Potri.010G005800.v4.1 transcript:Potri.010G005800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005800.v4.1 MAAATMATAAGAAMLMYYILIRRLAAAKGVDLSKSRSGRRRIARRPVQAPATWLETITTLSETLRFTYSETLGKWPIGDLAFGINYLLRRQGNLQVASVYAGGNSVQLKGPDIIAEMYDLLRLLTLCMYFSKKPFPMFLELAGFSQEDVLIQKPKAGLLKPAFTIIHDKNSKQFLLLIRGTHGIKDTLTAATGAVVPFHHSVLHDGWISNLVLGYAHCGMVAAARWIAKMSSPLLLRVHGEYPDHKIKIVGHSLGGGTAALLTYILREQKELSSSTCVTFAPAACMTWDLAESGKHFITTVINGSDLVPTFSAASVDDLRTEVTASSWLNDLRDQVEHTRVLNVVYRSATALGSRLPSIASAKARVAGAGALLRPVSSSTQVVMKRAQDVAQAVARTRPSLTSWSCMGQRRRSVGPSSVNSNTQDLPEATIVSVNSEALVTEVLTKDSLQIKLESSCGSGHDDAREDEPFLSDDRVMTSSVMEEVTEGQLWYELERELQRQESEVDIQAQEEEAAAANEIFEEENDLAKAAAAKTHITSEDLSESQLFYPPGRIMHVISMPSSDTANLELDEPTEEHVGLYETPRELYSKIRLSRTMINDHYMPMYKKMMELLIQELENDEDCSCVMFED >Potri.010G005800.3.v4.1 pep chromosome:Pop_tri_v4:10:544295:548479:1 gene:Potri.010G005800.v4.1 transcript:Potri.010G005800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005800.v4.1 MQLLKPAFTIIHDKNSKQFLLLIRGTHGIKDTLTAATGAVVPFHHSVLHDGWISNLVLGYAHCGMVAAARWIAKMSSPLLLRVHGEYPDHKIKIVGHSLGGGTAALLTYILREQKELSSSTCVTFAPAACMTWDLAESGKHFITTVINGSDLVPTFSAASVDDLRTEVTASSWLNDLRDQVEHTRVLNVVYRSATALGSRLPSIASAKARVAGAGALLRPVSSSTQVVMKRAQDVAQAVARTRPSLTSWSCMGQRRRSVGPSSVNSNTQDLPEATIVSVNSEALVTEVLTKDSLQIKLESSCGSGHDDAREDEPFLSDDRVMTSSVMEEVTEGQLWYELERELQRQESEVDIQAQEEEAAAANEIFEEENDLAKAAAAKTHITSEDLSESQLFYPPGRIMHVISMPSSDTANLELDEPTEEHVGLYETPRELYSKIRLSRTMINDHYMPMYKKMMELLIQELENDEDCSCVMFED >Potri.006G019400.2.v4.1 pep chromosome:Pop_tri_v4:6:1223124:1224420:-1 gene:Potri.006G019400.v4.1 transcript:Potri.006G019400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019400.v4.1 MVEKKVTTMVIKVVDLGCEKCHKKIKRVLCAIPQIQNQTYDKKKNTVTITVVCCCPEKIMKKIYCKGGRTVKCIVIPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPTCPCCEKCRRGPCCHHFCMPTVPPYCPVPCRRSECDKWGDGCCSCRSRGYYVCRSAYVCEEYYPSAPCTIM >Potri.019G043200.5.v4.1 pep chromosome:Pop_tri_v4:19:5996061:5999986:1 gene:Potri.019G043200.v4.1 transcript:Potri.019G043200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043200.v4.1 MEKTAKGVGKSKEAKDGDVGEFEKHTKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAKMRPKNMGMGFNDFKEASAKLPQLQETETVSQRQSQTVGRMKDRRWMKGMKKQQQEKYITAEELLANKEEQGFEVFQKLIDMRGPQVRVLMNLENLNAEEKAKENDVPMSELQHNLRLIVDLAELDIQKIDRDLRNERETAISLHQEKEKLETEAARQKKQLDNMEEIMSVVSHIEEQKSSGTLTLDSLAKYFTDDIKRKFADDYKLCNLTCIACSYALPLFIRVFQGWDPLRNPLHGLEALELWKSVLQGEESSDIWDESTPYAQLVSEVVLPAVRISGTSTWEPRDPEPMLRFLESWENLFPASVVQSILGNIVMPKLYSAVDSWDPRLETVPIHVWVHPWLPQLGLKFEGLYQMIRMKLSMVLDAWHPSDASAYTILSPWKTVFDSASWESLMRRFIVPKLQVALQEFQINPANQKLDHFYWVMSWASAIPIHLMVDLLERFFFSKWLQVLYHWLCSNPNLQEIHKWYVGWKGLLPPELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLREKMAQEQRQFEVQERAAAHAQYQTAAGMGSTTKMDGFGGDAVEMTLKEVVEAHAQHHGLLFKPKPGRMHDGHQIYGYGNLSIYVDPRHERLYVQKEQDWLLTNLDNLPEMHNSSLKKGR >Potri.019G043200.4.v4.1 pep chromosome:Pop_tri_v4:19:5996145:5999988:1 gene:Potri.019G043200.v4.1 transcript:Potri.019G043200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043200.v4.1 MEKTAKGVGKSKEAKDGDVGEFEKHTKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAKMRPKNMGMGFNDFKEASAKLPQLQETETVSQRQSQTVGRMKDRRWMKGMKKQQQEKYITAEELLANKEEQGFEVFQKLIDMRGPQVRVLMNLENLNAEEKAKENDVPMSELQHNLRLIVDLAELDIQKIDRDLRNERETAISLHQEKEKLETEAARQKKQLDNMEEIMSVVSHIEEQKSSGTLTLDSLAKYFTDDIKRKFADDYKLCNLTCIACSYALPLFIRVFQGWDPLRNPLHGLEALELWKSVLQGEESSDIWDESTPYAQLVSEVVLPAVRISGTSTWEPRDPEPMLRFLESWENLFPASVVQSILGNIVMPKLYSAVDSWDPRLETVPIHVWVHPWLPQLGLKFEGLYQMIRMKLSMVLDAWHPSDASAYTILSPWKTVFDSASWESLMRRFIVPKLQVALQEFQINPANQKLDHFYWVMSWASAIPIHLMVDLLERFFFSKWLQVLYHWLCSNPNLQEIHKWYVGWKGLLPPELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLREKMAQEQRQFEVQERAAAHAQYQTAAGMGSTTKMDGFGGDAVEMTLKEVVEAHAQHHGLLFKPKPGRMHDGHQIYGYGNLSIYVDPRHERLYVQKEQDWLLTNLDNLPEMHNSSLKKGR >Potri.019G043200.2.v4.1 pep chromosome:Pop_tri_v4:19:5996145:6000140:1 gene:Potri.019G043200.v4.1 transcript:Potri.019G043200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043200.v4.1 MEKTAKGVGKSKEAKDGDVGEFEKHTKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAKMRPKNMGMGFNDFKEASAKLPQLQETETVSQRQSQTVGRMKDRRWMKGMKKQQQEKYITAEELLANKEEQGFEVFQKLIDMRGPQVRVLMNLENLNAEEKAKENDVPMSELQHNLRLIVDLAELDIQKIDRDLRNERETAISLHQEKEKLETEAARQKKQLDNMEEIMSVVSHIEEQKSSGTLTLDSLAKYFTDDIKRKFADDYKLCNLTCIACSYALPLFIRVFQGWDPLRNPLHGLEALELWKSVLQGEESSDIWDESTPYAQLVSEVVLPAVRISGTSTWEPRDPEPMLRFLESWENLFPASVVQSILGNIVMPKLYSAVDSWDPRLETVPIHVWVHPWLPQLGLKFEGLYQMIRMKLSMVLDAWHPSDASAYTILSPWKTVFDSASWESLMRRFIVPKLQVALQEFQINPANQKLDHFYWVMSWASAIPIHLMVDLLERFFFSKWLQVLYHWLCSNPNLQEIHKWYVGWKGLLPPELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLREKMAQEQRQFEVQERAAAHAQYQTAAGMGSTTKMDGFGGDAVEMTLKEVVEAHAQHHGLLFKPKPGRMHDGHQIYGYGNLSIYVDPRHERLYVQKEQDWLLTNLDNLPEMHNSSLKKGR >Potri.019G043200.3.v4.1 pep chromosome:Pop_tri_v4:19:5996145:6000139:1 gene:Potri.019G043200.v4.1 transcript:Potri.019G043200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043200.v4.1 MEKTAKGVGKSKEAKDGDVGEFEKHTKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAKMRPKNMGMGFNDFKEASAKLPQLQETETVSQRQSQTVGRMKDRRWMKGMKKQQQEKYITAEELLANKEEQGFEVFQKLIDMRGPQVRVLMNLENLNAEEKAKENDVPMSELQHNLRLIVDLAELDIQKIDRDLRNERETAISLHQEKEKLETEAARQKKQLDNMEEIMSVVSHIEEQKSSGTLTLDSLAKYFTDDIKRKFADDYKLCNLTCIACSYALPLFIRVFQGWDPLRNPLHGLEALELWKSVLQGEESSDIWDESTPYAQLVSEVVLPAVRISGTSTWEPRDPEPMLRFLESWENLFPASVVQSILGNIVMPKLYSAVDSWDPRLETVPIHVWVHPWLPQLGLKFEGLYQMIRMKLSMVLDAWHPSDASAYTILSPWKTVFDSASWESLMRRFIVPKLQVALQEFQINPANQKLDHFYWVMSWASAIPIHLMVDLLERFFFSKWLQVLYHWLCSNPNLQEIHKWYVGWKGLLPPELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLREKMAQEQRQFEVQERAAAHAQYQTAAGMGSTTKMDGFGGDAVEMTLKEVVEAHAQHHGLLFKPKPGRMHDGHQIYGYGNLSIYVDPRHERLYVQKEQDWLLTNLDNLPEMHNSSLKKGR >Potri.009G145200.2.v4.1 pep chromosome:Pop_tri_v4:9:11533343:11537743:-1 gene:Potri.009G145200.v4.1 transcript:Potri.009G145200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G145200.v4.1 MAMASSSNKKKPKKNQTPESQFNYNLNFYSKSKDLHSAISLYDTAISQDTRLNQHGFNTLLYLCSVSLNDPSTEELALRCGLRIFDHMVSNGIKPNEASITAVARLAAAKGDGDYAFDLVKNVGAYNELPRLRTYDPALFCFCEKLEAHKAYEVEEHMGSMGVGLEEGEIAALLKVSVESRNEKRVYGYLQKLRKMVRRVRGETAKVIEHWFAVFEGSGVELDVDLVREAVSRNGGGWHGLGWIGKGKWVLKRGSVDVGGKCCCCGEQLVSVDIDDDETERFAESVAGLAMEREVNANFSEFQNWLEEHANYEAIVDGANIGLYQQNFAEGGFSISQLDAVVKDLYNQSGKKWPLIIMHNKRLRALLQNPSTRELIQEWIEKDVLYTTPQGSNDDWYWLYAAVKLRCLLVTNDEMRDHIFELLGSDFFIKWKERHQVRYTFVKGKLELQMPPLFSVVIQESEHGSWHVPVAGNGNDSPQSWLCVSRPRACDALEEDSCMEGSKDSNDICCNSKLLSFGRPESLTSCKNKLQSQASFQESDNKITALTCKRKERSPSPSYLHVPCDQRHQ >Potri.008G082301.2.v4.1 pep chromosome:Pop_tri_v4:8:5155427:5159312:1 gene:Potri.008G082301.v4.1 transcript:Potri.008G082301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G082301.v4.1 MHTGAAKSKTRSVIPSNQGRRALQGHPLGRNPKTTKHLNLSWRKSWSGTRQKLKILHQKADQILESIINEDRAREAPSNEIEADDLVHVLLNLLGHGKLEFPLTTDNIKSVNLDMFLGGTETSSTVLDWAIAGLLRNPRVMKKAQAEVRQVFCTAGNVDETDLEKLKYLELVVKETLRLHPPLSLLLPRESREDCEINGFKIPAKIKVVINVWAIGRDPAYWNEPEKIPSREIP >Potri.011G168700.1.v4.1 pep chromosome:Pop_tri_v4:11:19173081:19174564:1 gene:Potri.011G168700.v4.1 transcript:Potri.011G168700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168700.v4.1 MTTFSLLPTPTIQKHHHNLTPSNISFQGLRPLTKAKTASLSKITLTAPKRSLAVKAELNPSLVISLSTGVSLFLGRFVFFNFQRENVAKQVPEQNGLTHFEAGDERAKEYVSLLKSNDPVGFNIVDVLAWGSIGHIVAYYILATASNGYDWDPSFPCCF >Potri.005G220500.6.v4.1 pep chromosome:Pop_tri_v4:5:22256074:22260100:-1 gene:Potri.005G220500.v4.1 transcript:Potri.005G220500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220500.v4.1 MKGFLGPLSRLGSIRNYSGTFKRSQLRLEGVKDVIAVASGKGGVGKSTTAVNLAVALAIKCQLKVGLLDADVYGPSVPMMMKIDRKPDITEDKKMIPIENYGVKCMSMGFLVEKDAPIVWRGPMVMSALVKMTRGVDWGNLDILVVDMPPGTGDAQLTMTQNLQLSGALIVSTPQDIALLDARRGANMFSKVGVPILGFVENMSFFKCPHCGEPSFIFGKGGARNAAASMGHNFLGEIPLEVDVRKGSDEGIPVVISAPDSAISKAYGDTAQNVVNKLEELAKEPSLHPEINL >Potri.010G132900.1.v4.1 pep chromosome:Pop_tri_v4:10:14903567:14909464:-1 gene:Potri.010G132900.v4.1 transcript:Potri.010G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132900.v4.1 MATVSPSPNSPPSATPPPLNGTPPPSSTSSPPQASSPPPTSPPSQPNANPPDPRTPPAPSPPPQTPPPTVSNPPPATPSTPPPQPPPPMTSRSPPLSSSDPPSNSNSPPPLSATPPVSSPPPPPPSNPPSTAPPPPLLNPPTSSSPPSPSTTPPQNSPPPSSTPPPQSSSPSPPQISSPPPPSSPPPQSSPPPPSLPPQSSPPPPSTPPPQSSPPSQPSSRPPQNSPPPPPPTPPPASLPPPRRSPPPPASTPPENSPRPPISIAPRPSNVPPPPRLTPPTSPQATPVPSDSNPPALSPPKIRLAPPPRALVSPPSPTNNTAPNSPGSSNSTGNGGIGTGGMVAIGAAIGIIMLSLVGLALWCMRRRRKEISGLNGVYVMPSSLGSSPRSGSTFTKTHSTAPLIASGSSSDCVSLPTESSGLGNSRPLFAFEELVKATNGFSSQNLLGEGGFGSVYKGYLPDGRDVAVKQLKIGGGQGEREFKAEVEIISRVHHRHLVSLVGYCICETRRLLVYDYVPNNTLYFHLHGVGGLALDWATRVKIAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDNNYEAKVSDFGLAKLALDSNTHVTTRVMGTFGYMAPEYASSGKLTEKSDVFSYGVVLLELITGRKPVDASQPMGEESLVEWARPLLNHALENEELESLADPRLEKNYIESEMFRMIEAAAACVRHSASKRPRMGQVVRAFDTLAIADLTNGMRVGESELFNSAQQSEEIRLFRRMAFGSQNYSIDCYSLDN >Potri.008G083400.2.v4.1 pep chromosome:Pop_tri_v4:8:5226495:5227078:-1 gene:Potri.008G083400.v4.1 transcript:Potri.008G083400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083400.v4.1 MLYREISLEVYRIWQPSENVILIRWNSKGVPRIPWEVMGEFQRTLRYKLDRNGKNYEHKVDNLAFSFPQPLKPAASVLDLVAACPASPNPTFLWGPVDICSSSWVEFYRAVRETWGQEQCHSLV >Potri.012G107100.2.v4.1 pep chromosome:Pop_tri_v4:12:12888121:12894850:1 gene:Potri.012G107100.v4.1 transcript:Potri.012G107100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107100.v4.1 MHYAELSQSILDRDKKEKSLISEQGANMCEHAWSSTDHHPKSWSSLSLQPASYSKGRSRADISAAQWENSLFSSSFSEIFSRKLRFSGNDIHSHQPAKTITSSNEEEEPFESLEELEAKTIGNLLPPEDNMFSGVTTELGHDAKNNNLDDLEDFDLFSSGGGMELEGEGGQGNSGLLGGVPIGQGHSNGSTVVGHPSRTLFVRNINSNVEDSELKAIFEQFGDIRTLYTACKHRGFVMVSYYDIRAARNAMNALQNKPLRCRKLDIHYSIPKDNPSEKDMNQGTLVVFNLDSSISIDELHQIFGVYGEIKEIRESPQRHHDKFIEYYDIRDADAALSALNRSDIAGKQIKVESSLPGGTRSLMQQSEHKQTEPHPFQRPFKDLLSGSLATFSPGVSASSYMENRSTQVLHSAIPSQLGAFTDLHRSSSVSINLPSPVTASAAKQISISEMKFGNQCIPSTHPHSLPEYHDSLANTISYNSPGTIRDMPSSFTSKVAEGINSLHIQGVGSNGHLMELIGGVSGSPGAGSCSLPGHHYVWKNSKSGQQHPSSGMIWPNSPSFVNGVHAHHLPHMPGFPRGRAVMLNSAPAPHHIGSAPAVNPSLWERQHTFSGKSPETSSFHLGSLGSVGFPGSSPPHPVEIASHNIFSHVGRSCMDMTKGTVLPSSPQMCHMFPGRNSMIAMPASFGSHERVRNLSHRRIESNSNHSDKKLYELDTDCILRGEDSRTTLMIKNIPNKYTSKMLLAAIDDQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMSEDKRCRPILFHTDGPNAGDPEPFPMGTHIRSRLGKPQSTGNEENHHSRSPSTLANEEDSPNGIHSTSGSDYVVFFGQDTNPNPVRV >Potri.012G107100.3.v4.1 pep chromosome:Pop_tri_v4:12:12886772:12894838:1 gene:Potri.012G107100.v4.1 transcript:Potri.012G107100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107100.v4.1 MPFEVMDHRGSATASSHYFEDIHLPAERQIGFWNPNTMPDHQGGPLSMHYAELSQSILDRDKKEKSLISEQGANMCEHAWSSTDHHPKSWSSLSLQPASYSKGRSRADISAAQWENSLFSSSFSEIFSRKLRFSGNDIHSHQPAKTITSSNEEEEPFESLEELEAKTIGNLLPPEDNMFSGVTTELGHDAKNNNLDDLEDFDLFSSGGGMELEGEGGQGNSGLLGGVPIGQGHSNGSTVVGHPSRTLFVRNINSNVEDSELKAIFEQFGDIRTLYTACKHRGFVMVSYYDIRAARNAMNALQNKPLRCRKLDIHYSIPKDNPSEKDMNQGTLVVFNLDSSISIDELHQIFGVYGEIKEIRESPQRHHDKFIEYYDIRDADAALSALNRSDIAGKQIKVESSLPGGTRSLMQQSEHKQTEPHPFQRPFKDLLSGSLATFSPGVSASSYMENRSTQVLHSAIPSQLGAFTDLHRSSSVSINLPSPVTASAAKQISISEMKFGNQCIPSTHPHSLPEYHDSLANTISYNSPGTIRDMPSSFTSKVAEGINSLHIQGVGSNGHLMELIGGVSGSPGAGSCSLPGHHYVWKNSKSGQQHPSSGMIWPNSPSFVNGVHAHHLPHMPGFPRGRAVMLNSAPAPHHIGSAPAVNPSLWERQHTFSGKSPETSSFHLGSLGSVGFPGSSPPHPVEIASHNIFSHVGRSCMDMTKGTVLPSSPQMCHMFPGRNSMIAMPASFGSHERVRNLSHRRIESNSNHSDKKLYELDTDCILRGEDSRTTLMIKNIPNKYTSKMLLAAIDDQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMSEDKRCRPILFHTDGPNAGDPEPFPMGTHIRSRLGKPQSTGNEENHHSRSPSTLANEEDSPNGIHSTSGSDYVVFFGQDTNPNPVRV >Potri.012G107100.1.v4.1 pep chromosome:Pop_tri_v4:12:12886284:12894853:1 gene:Potri.012G107100.v4.1 transcript:Potri.012G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107100.v4.1 MPFEVMDHRGSATASSHYFEDIHLPAERQIGFWNPNTMPDHQGGPLSMHYAELSQSILDRDKKEKSLISEQGANMCEHAWSSTDHHPKSWSSLSLQPASYSKGRSRADISAAQWENSLFSSSFSEIFSRKLRFSGNDIHSHQPAKTITSSNEEEEPFESLEELEAKTIGNLLPPEDNMFSGVTTELGHDAKNNNLDDLEDFDLFSSGGGMELEGEGGQGNSGLLGGVPIGQGHSNGSTVVGHPSRTLFVRNINSNVEDSELKAIFEQFGDIRTLYTACKHRGFVMVSYYDIRAARNAMNALQNKPLRCRKLDIHYSIPKDNPSEKDMNQGTLVVFNLDSSISIDELHQIFGVYGEIKEIRESPQRHHDKFIEYYDIRDADAALSALNRSDIAGKQIKVESSLPGGTRSLMQQSEHKQTEPHPFQRPFKDLLSGSLATFSPGVSASSYMENRSTQVLHSAIPSQLGAFTDLHRSSSVSINLPSPVTASAAKQISISEMKFGNQCIPSTHPHSLPEYHDSLANTISYNSPGTIRDMPSSFTSKVAEGINSLHIQGVGSNGHLMELIGGVSGSPGAGSCSLPGHHYVWKNSKSGQQHPSSGMIWPNSPSFVNGVHAHHLPHMPGFPRGRAVMLNSAPAPHHIGSAPAVNPSLWERQHTFSGKSPETSSFHLGSLGSVGFPGSSPPHPVEIASHNIFSHVGRSCMDMTKGTVLPSSPQMCHMFPGRNSMIAMPASFGSHERVRNLSHRRIESNSNHSDKKLYELDTDCILRGEDSRTTLMIKNIPNKYTSKMLLAAIDDQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMSEDKRCRPILFHTDGPNAGDPEPFPMGTHIRSRLGKPQSTGNEENHHSRSPSTLANEEDSPNGIHSTSGSDYVVFFGQDTNPNPVRV >Potri.004G122000.1.v4.1 pep chromosome:Pop_tri_v4:4:11624889:11625756:1 gene:Potri.004G122000.v4.1 transcript:Potri.004G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G122000.v4.1 METMSSGDTNMMQDSDSNSHQQPHILPGNIRQIFQHAINDVFAVRAAIRSTNQDGNSNTTARRLPASRDAIDAMPRITVQEGGNDCAICLNEIGIGSELREMPCKHGFHSGCIEQWLRIHGSCPVCRFTMMPVEGAEVGASGSES >Potri.001G218700.4.v4.1 pep chromosome:Pop_tri_v4:1:22857960:22860256:-1 gene:Potri.001G218700.v4.1 transcript:Potri.001G218700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218700.v4.1 MSKRRTREPKEENVTLGPTVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Potri.016G098200.1.v4.1 pep chromosome:Pop_tri_v4:16:9855462:9857036:1 gene:Potri.016G098200.v4.1 transcript:Potri.016G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098200.v4.1 MPIRNIAVGHYRETTQPDALKAALAEFISTLIFVFAGEGSGMAFSKLTDGASNTPAGLIAAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFIGGNITLFRGILYWIAQLLGSTVACLLLKFVTGGLETSAFALSTGVGVWNAFVLEIVMTFGLVYTVYATAIDPKKGNLGIIAPIAIGFIVGANILVGGAFDGASMNPAVSFGPALVSWSWTNHWVYWAGPLVGGGLAGLIYELFFIGFGTHEQLPTTDY >Potri.006G046800.2.v4.1 pep chromosome:Pop_tri_v4:6:3183362:3186705:-1 gene:Potri.006G046800.v4.1 transcript:Potri.006G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046800.v4.1 MAASETKTNLEGVLKPFYQRASEAEDRLSRLEASVASNRDAGSEEHLKTISELQAKLEGANAELASEREKAKKLAVENGKLQYRVIHLVQAIREGDAKLESLKGGSEASAEAIPKLENLRL >Potri.012G118200.2.v4.1 pep chromosome:Pop_tri_v4:12:13668910:13672325:1 gene:Potri.012G118200.v4.1 transcript:Potri.012G118200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118200.v4.1 MILTIINKRVSKFSEMIAQVNKSLVFSPVDIEISYKKQNPFLSSLKVQCFCSSRSSQNAKVSHSGSVQSLVTLHSTRVSRVARTDAQRVLFDYLHCTRNFDFNDAEHISKNSPHFIENLLTKIDNDKDVVRLLNKFLRYNPINEFEPFFESLGLRPSEVPSVLPPHLMYLGDNDMLLENFHVLCNYGIPRSKIGRMYKEAIEIFGYNYGVLKLKLLAYENLGLSKTTVVKLVSCCPSLLIGGVDREFVNVLGRLNRAGLKNDLIGGYLSAKESYDWKRLIDTIYFLDKVGYSEEQFRDLLKTNPVLVFEGSGKKVYLLFGRLLKLGLKVNEIYSLFTQYPQILSAKRAKNLLRGIHILLGIGMGVEDIANIISTQMELLCSAALKGPVTLRRQFKDKKDSLCQILMENPLELFHLDSKSEVESSKMLSSQGPTNKLEKTAFLLRLGYVENSDEMARALKMFRGRGDQLQERFDCLVQAGLDYNVVSSFIKQAPMVLNQTKDVIEKKIDCLTNLGCSVNSLVAFPSYLCYDMERINLRFRMYTWLKEKGAAKPKLSLSTILACSDARFIKYFVDVHPEGPAMWESLRNTSASS >Potri.012G118200.1.v4.1 pep chromosome:Pop_tri_v4:12:13668910:13672393:1 gene:Potri.012G118200.v4.1 transcript:Potri.012G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118200.v4.1 MILTIINKRVSKFSEMIAQVNKSLVFSPVDIEISYKKQNPFLSSLKVQCFCSSRSSQNAKVSHSGSVQSLVTLHSTRVSRVARTDAQRVLFDYLHCTRNFDFNDAEHISKNSPHFIENLLTKIDNDKDVVRLLNKFLRYNPINEFEPFFESLGLRPSEVPSVLPPHLMYLGDNDMLLENFHVLCNYGIPRSKIGRMYKEAIEIFGYNYGVLKLKLLAYENLGLSKTTVVKLVSCCPSLLIGGVDREFVNVLGRLNRAGLKNDLIGGYLSAKESYDWKRLIDTIYFLDKVGYSEEQFRDLLKTNPVLVFEGSGKKVYLLFGRLLKLGLKVNEIYSLFTQYPQILSAKRAKNLLRGIHILLGIGMGVEDIANIISTQMELLCSAALKGPVTLRRQFKDKKDSLCQILMENPLELFHLDSKSEVESSKMLSSQGPTNKLEKTAFLLRLGYVENSDEMARALKMFRGRGDQLQERFDCLVQAGLDYNVVSSFIKQAPMVLNQTKDVIEKKIDCLTNLGCSVNSLVAFPSYLCYDMERINLRFRMYTWLKEKGAAKPKLSLSTILACSDARFIKYFVDVHPEGPAMWESLRNTSASS >Potri.013G112300.1.v4.1 pep chromosome:Pop_tri_v4:13:12106645:12108057:-1 gene:Potri.013G112300.v4.1 transcript:Potri.013G112300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G112300.v4.1 MEKQIEGSKKRVMVIIDESEYSYHSFMWVVDNLKEFITESPLVILAALPAPNCKFFYGAQFGTAALCCPVSPISPTLDLICAIQEKNKKILLGILEKAVNICASRGVKAETILEAGEPYELTCNAVQKNNINLLVIGNTSINGTLKRDFLGRLSNYCLNNAKCHVLVVKKPE >Potri.008G165900.1.v4.1 pep chromosome:Pop_tri_v4:8:11432877:11434563:-1 gene:Potri.008G165900.v4.1 transcript:Potri.008G165900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165900.v4.1 MDLVSKSYGSQSLPPMTSPGGSSIFGPRTHSSDTSFPIIAIAIIGILATAFLLVSYYIFVIKCCLNWHRIDLLRRLSLSRNGNHEDPLMAYSPAAIVSRGLDESVIRSIPVFKFKKEGNSFSNLGERNFCECAVCLNEFQEAERLRNLPNCNHVFHIDCIDVWLQSNANCPLCRTSISSTTRFPIDYVIAPSSSPQDPNPYSGSPMGGDEDYVVIELSNHSSTDQTLLAAHERLNSGELSARSVSPSPKTIEQRVGHKKSRKFNKVTSMGDECIDTRGKDDQFGLIQPIRRSFSMDSSADWQLYLSIQEIVQQSRQLTEVSPVEGCSGRARRTFFSFGHVRGSRSNTVLPVYLQS >Potri.001G017000.2.v4.1 pep chromosome:Pop_tri_v4:1:1249094:1255200:-1 gene:Potri.001G017000.v4.1 transcript:Potri.001G017000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G017000.v4.1 MTMDRNSRPEKPGRDSNSVIVLSVECLKGSSTADEWTGDMLQTGDIVEEILIGSGSSLSGSRSIRYKAPFKNGKSGVQKILHKSFKNKETSIVVRVRRGRDEFAELHACVVPESGYKNKYVLRSIEDPNYAVGFTDRSEAECFELQASRSSRIVSALQRAKLQDGYVAYPWEKKMQEYLLIPTSSSFLSLLLLPKASDRVASRYNDLEDTLARANAWLYASQASGVPIVFMNIQTESLLTKISGETASSTVNAGSFSDLSRLAHVSLYGFEDYHGVDLGVVRAVRLWYAPLCGEFAIEVKIKEDDTKLGFAISRTEEGFIYVSSVMDDDDNVPSTRSGLSNLYKEATSSSRLLVVSRLSNQKVLPWMVSSTGAVKCFDTVSLSQKLSLHRHAKVPILIHVLLWDGTLPSPPSAGSTGRFRSVSPPVMALPPEIQLARQPSQNQIQPLPAADIPNDAVVGSEADIRLDRDTAGETSFRFDYFSLPNNWSTRIVILVRLLQTLPTVDSFKIHRPNPLPKEGRSSKFQKSPPTRINPIGHFTAHPDLHFHRS >Potri.001G017000.3.v4.1 pep chromosome:Pop_tri_v4:1:1250743:1255200:-1 gene:Potri.001G017000.v4.1 transcript:Potri.001G017000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G017000.v4.1 MQEYLLIPTSSSFLSLLLLPKASDRVASRYNDLEDTLARANAWLYASQASGVPIVFMNIQTESLLTKISGETASSTVNAGSFSDLSRLAHVSLYGFEDYHGVDLGVVRAVRLWYAPLCGEFAIEVKIKEDDTKLGFAISRTEEGFIYVSSVMDDDDNVPSTRSGLSNLYKEATSSSRLLVVSRLSNQKVLPWMVSSTGAVKCFDTVSLSQKLSLHRHAKVPILIHVLLWDGTLPSPPSAGSTGRFRSVSPPVMALPPEIQLARQPSQNQIQPLPAADIPNDAVVGSEADIRLDRDTAGETSFRFDYFSLPNNWV >Potri.001G017000.1.v4.1 pep chromosome:Pop_tri_v4:1:1250720:1255206:-1 gene:Potri.001G017000.v4.1 transcript:Potri.001G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G017000.v4.1 MTMDRNSRPEKPGRDSNSVIVLSVECLKGSSTADEWTGDMLQTGDIVEEILIGSGSSLSGSRSIRYKAPFKNGKSGVQKILHKSFKNKETSIVVRVRRGRDEFAELHACVVPESGYKNKYVLRSIEDPNYAVGFTDRSEAECFELQASRSSRIVSALQRAKLQDGYVAYPWEKKMQEYLLIPTSSSFLSLLLLPKASDRVASRYNDLEDTLARANAWLYASQASGVPIVFMNIQTESLLTKISGETASSTVNAGSFSDLSRLAHVSLYGFEDYHGVDLGVVRAVRLWYAPLCGEFAIEVKIKEDDTKLGFAISRTEEGFIYVSSVMDDDDNVPSTRSGLSNLYKEATSSSRLLVVSRLSNQKVLPWMVSSTGAVKCFDTVSLSQKLSLHRHAKVPILIHVLLWDGTLPSPPSAGSTGRFRSVSPPVMALPPEIQLARQPSQNQIQPLPAADIPNDAVVGSEADIRLDRDTAGETSFRFDYFSLPNNWV >Potri.014G026301.1.v4.1 pep chromosome:Pop_tri_v4:14:1628729:1630653:-1 gene:Potri.014G026301.v4.1 transcript:Potri.014G026301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G026301.v4.1 MSVCKPKNTGATANTSTMKRAQLVFVPCPGIGHLVSTVGFAKLILERTENFLITMLVINHPYDPSVNSYVESLVATHTQIKSITIPAIAAPPVEALTEKVFTQFIRDHSPLVRDAIVNQVIANSPAPVASVIVDLFCACFIDVAKELGVPSYVFFCSDAAFYGLTVYLSEREDGGQPKFRESDLDYTIPSYANPVPYRVLPLVHRDQAYETFGALGRKYKESNGVIINTFSELESHAVNTLVARDDLPSIFTVGPLIDHKGKGLSGSEAAKRDEIMKWLDDQHENSVVFLCFGSQGAFREEQLKEIAIGLERSGQLFLWAVRKPPSPGEIMPGYYTRYDEIFPAEFLEKTKNIGRLCGWAPQVEVLAHRAVGAFVSHCGWNSTLESLWYGVPIITWPYYGEQQINAFQLVKDLGLAVELTLDFRRDCSTDFVKAEDITKAVKYMMEQGSEYRDKAKATGEIAKQVLLEGGSSYVAFGRLIEQWLGNKL >Potri.005G072000.3.v4.1 pep chromosome:Pop_tri_v4:5:4753653:4754320:1 gene:Potri.005G072000.v4.1 transcript:Potri.005G072000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072000.v4.1 MARKINMSCHLKANSKLGSIITEKIQTMGVSEPGKVCKNHPYHDQKQGVCASCLRDRLTQLIYVNTLQSAVLAPPSSSSSPDHPYSFVASTNHVSRRQHHRNISDNYNMGSVSFRVSVGNRLKKSRSIAFVTRNHTGEVKNGKKSNGFWTKLLHLKGKKDRVLMRSAVSMNERLY >Potri.005G072000.4.v4.1 pep chromosome:Pop_tri_v4:5:4753683:4754353:1 gene:Potri.005G072000.v4.1 transcript:Potri.005G072000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072000.v4.1 MGVSEPGKVCKNHPYHDQKQGVCASCLRDRLTQLIYVNTLQSAVLAPPSSSSSPDHPYSFVASTNHVSRRQHHRNISDNYNMGSVSFRVSVGNRLKKSRSIAFVTRNHTGEVKNGKKSNGFWTKLLHLKGKKDRVLMRSAVSMNERLY >Potri.005G072000.1.v4.1 pep chromosome:Pop_tri_v4:5:4753672:4754348:1 gene:Potri.005G072000.v4.1 transcript:Potri.005G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072000.v4.1 MSCHLKANSKLGSIITEKIQTMGVSEPGKVCKNHPYHDQKQGVCASCLRDRLTQLIYVNTLQSAVLAPPSSSSSPDHPYSFVASTNHVSRRQHHRNISDNYNMGSVSFRVSVGNRLKKSRSIAFVTRNHTGEVKNGKKSNGFWTKLLHLKGKKDRVLMRSAVSMNERLY >Potri.010G177700.1.v4.1 pep chromosome:Pop_tri_v4:10:17739276:17743837:1 gene:Potri.010G177700.v4.1 transcript:Potri.010G177700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177700.v4.1 MKMEAETVDNKQVIFKGFIDRIPRETDMELKIGKIELKAPKGSGAFLVKNLYLSCDPYMRGRMREYYDSYIPPFVPGQAIQGFGVSKVVDSDDPDFKPGDLVSGLTGWEEFSLMRKHEQLRRIQQDDIPLSYHVGLLGMAGFTAYAGFYEVCSPEIGENVFVSAASGAVGQLVGQLAKLHGCYVVGSAGTSQKVDILKNKLGFDQAFNYKEEPDLNAALKRYFPEGIDIYFDNVGGDMLEAALLNMRIHGRIAVCGMVSSNSLSVSKGIHNLFSLIAKRIRMQGFLQSDYLHLYPRFFENVVSNYKQGKIVYIEDMSEGLESAPAALVGLFSGKNVGKQVICVARE >Potri.001G175900.2.v4.1 pep chromosome:Pop_tri_v4:1:15173086:15176331:1 gene:Potri.001G175900.v4.1 transcript:Potri.001G175900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175900.v4.1 MSQETPFQLPSIDFCKSDLKPGTSEWDLVKSQVWKAISEHGCFKALFEKIPLNVENSFLGEVKELFDLPLQTKRQHVSEIPFYSYFGKSTPPLQYESFGIEDPSIFENCNNFTNVLWPHGNPDFRENINYFSTKVSEFEKLIRRMILESLSLGNYLDEHMSSTTCVLRVMKYQVPQITEPTYTSKPHTDKNLITILYQNQVDGLEVQTKHGEWIGVELSHDHSFVILIGESFRAWTNGRLHPPYHRVRMSGREARYSAGLFSFFKAGYKTKTPEDLIDEDHPLLYKPFDYFEFLKFFSDWAPKAQPNQCALKAYCGV >Potri.011G073900.2.v4.1 pep chromosome:Pop_tri_v4:11:7845977:7847729:-1 gene:Potri.011G073900.v4.1 transcript:Potri.011G073900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073900.v4.1 MILKSFILGNLVSLCMKVINSVVVVGLYYGFLTTFSMGPFYLFLLRARVIEEGEEGTEKKVSATTGFITGQLMMFISIYYAPLHLALGRPHTITILALPYLLFHFFWNNHKHFFDYGSTTRNSMCNLSIQCVFLNNLIFQLFNHFILPSSMLVRLVNIYMFRCNNKMLFVTSSFVGWLIGHILFMKWVGLILVWIQQNNSLRSNKYIRSNKYLVSELRNSMARIFSILLFITCVYSLGRIPSPIFTKKLKETSGTKQEQEGSTEEDPSSSLFSEEKENPDKIDETEEIRVNGKEKTKDEFHFHPNFKNGNNFSTTDHPISHYLFTSYSFNSSNR >Potri.005G087100.1.v4.1 pep chromosome:Pop_tri_v4:5:6065498:6071247:1 gene:Potri.005G087100.v4.1 transcript:Potri.005G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087100.v4.1 MEGKANLEAAIEQLQNVEKQMRLAGDVAGTKKAVTEILQLCFEAKDWKSLNDQIVLLSKKRGQLKQAVTAMVQQAMQYIDETPDLDTRVELIKTLNSVSAGKIYVEIERARLIRKLGKIKEEQGLTAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISTRVFDADTSKLKKKPKEGDNVVEEAPADIPSLLELKRIYYELMIRYYSHDNDYLEICRCYKAIYEIPSVKENPAQWIPVLRKICWYLVLSPHDPMQSSLLNSTLEDKNLSEIPKFKLLLKQLVTMEVIQWTSLWNEFMDEFESEKNLLGGSLGDKAAEDLRQRIIEHNIIVVSKYYSKITVKRVAELLCLSIQEAEKHLSDMVVSKALVAKIDRPLGIVCFQVAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAALKV >Potri.015G012600.2.v4.1 pep chromosome:Pop_tri_v4:15:799648:805743:1 gene:Potri.015G012600.v4.1 transcript:Potri.015G012600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012600.v4.1 MFQPSNHSQDASSSALMQVDPKETTIVVRDEMQNGRFPQAQTILYNSKKLQEDLHVLGMKIKHHEDNIKFLKSHKNKLDDSILDLQVTLGKYHSSTMPNNENDAHYSNQSEDETMEQILQHEKSAAGILCRLKMSHGTQISHPSFTNDVLGVVATLGKVDDDNLGRLFSEYLGVETMLAIVCKTYEGVKALETYDKEGQINKDSGLHGLGASIGKELDGRFLVFCLENLRPYCGEFVVDDPQRRLDLLKPKLPNGECPPGFIGFAVNMINVEFTNLFYLTGSGYGLRETLFYNLFSRLQVYRTREDMVLALPCISDGAISLDGGMMKGTGIFSLGNRNNVDVRFPKLSVTSTLPDNYIDTEKQLKETKWKREKMLEDIKREQALLDAAKQSFERKKEEFVKFLAQSSAYASQMQTGLTPR >Potri.015G056900.2.v4.1 pep chromosome:Pop_tri_v4:15:7925361:7935860:-1 gene:Potri.015G056900.v4.1 transcript:Potri.015G056900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056900.v4.1 MDPGKFTHKTNEALATAHELAVGAGHAQITPLHLAVALISDPSGILRQAVANAGDGENTAQAAERVFNQVLKKLPSQSPPPDEVPPSTSLIKVIRRSQALQKSRGDSYLAVDQMILGLLEDSQIRDLLKEVGVSASTVKSEVEKLRGKEGKKVENASGDTNFQALKTYGRDLVEGAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVAEGLAQRIVRGDVPSNLADVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDSLERKRMQLEVELHALEKEKDKASKARLAEVVKELDDLRDKLQPLLMKYKKEKERIDEIRRLKQKREEIHFSIQEAERRYDLARVADLRYGALEEVEAAIARLEGSTTDENLMLTETVGPEHIAEVVSRWTGIPVTRLGQNEKERLIGLADRLHHRVVGQDQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKTLAEQLFDNENQLVRIDMSEYMEPHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHISVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLLGKCSMQVARDRVMQEVRKQFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVASRLAERGIALAVTDAALDYILAESYDPVYGARPIRRWLERKVVTELSRMLVREEIDENSTVYIDAGPDGQDLVYRVEKNGGLVNATTGQKTDVLIQIPKAPRDDAAQKVKKMKIQEIVDNDDDDEMIE >Potri.015G056900.3.v4.1 pep chromosome:Pop_tri_v4:15:7925445:7929564:-1 gene:Potri.015G056900.v4.1 transcript:Potri.015G056900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056900.v4.1 MDPGKFTHKTNEALATAHELAVGAGHAQITPLHLAVALISDPSGILRQAVANAGDGENTAQAAERVFNQVLKKLPSQSPPPDEVPPSTSLIKVIRRSQALQKSRGDSYLAVDQMILGLLEDSQIRDLLKEVGVSASTVKSEVEKLRGKEGKKVENASGDTNFQALKTYGRDLVEGAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVAEGLAQRIVRGDVPSNLADVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDSLERKRMQLEVELHALEKEKDKASKARLAEVVKELDDLRDKLQPLLMKYKKEKERIDEIRRLKQKREEIHFSIQEAERRYDLARVADLRYGALEEVEAAIARLEGSTTDENLMLTETVGPEHIAEVVSRWTGIPVTRLGQNEKERLIGLADRLHHRVVGQDQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKTLAEQLFDNENQLVRIDMSEYMEPHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHISVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLLGKCSMQVARDRVMQEVRKQFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVASRLAERGIALAVTDAALDYILAESYDPVYGARPIRRWLERKVVTELSRMLVREEIDENSTVYIDAGPDGQDLVYRVEKNGGLVNATTGQKTDVLIQIPKAPRDDAAQKVKKMKIQEIVDNDDDDEMIE >Potri.008G069300.4.v4.1 pep chromosome:Pop_tri_v4:8:4219551:4223685:-1 gene:Potri.008G069300.v4.1 transcript:Potri.008G069300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069300.v4.1 MNKLLDWPEPIVRVQSLSDSGTPLIPERYVKPPLERPSINSTASMDVNIPVIDLVGLYGDDHALRAAILDQISIACREWGFFQVINHGVSPQLMDRAREAWRQFFHSPMEVKQAYANTPKTYEGYGSRLGVEKGAILDWSDYYFLHYLPSTLKDCSKWPTIPADCREVLDEYGKQLVKLCGRLMKILSINLGLGEERLQNAFGGENIGACLRVNFYPKCPQPDLALGLSSHSDPGGITLLLPDNHVPGLQVLSNATYKSVEHRVIVNSSKERVSLAFFYNPKSDIPIEPLKELLAPDRPPLYPAMTFDEYRLFIRMRGPSGKSQVESLKSPR >Potri.008G069300.1.v4.1 pep chromosome:Pop_tri_v4:8:4219551:4223685:-1 gene:Potri.008G069300.v4.1 transcript:Potri.008G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069300.v4.1 MNKLLDWPEPIVRVQSLSDSGTPLIPERYVKPPLERPSINSTASMDVNIPVIDLVGLYGDDHALRAAILDQISIACREWGFFQVINHGVSPQLMDRAREAWRQFFHSPMEVKQAYANTPKTYEGYGSRLGVEKGAILDWSDYYFLHYLPSTLKDCSKWPTIPADCREVLDEYGKQLVKLCGRLMKILSINLGLGEERLQNAFGGENIGACLRVNFYPKCPQPDLALGLSSHSDPGGITLLLPDNHVPGLQVRKDENWITVKPAPHAFIVNIGDQIQVLSNATYKSVEHRVIVNSSKERVSLAFFYNPKSDIPIEPLKELLAPDRPPLYPAMTFDEYRLFIRMRGPSGKSQVESLKSPR >Potri.008G100300.3.v4.1 pep chromosome:Pop_tri_v4:8:6255789:6260379:1 gene:Potri.008G100300.v4.1 transcript:Potri.008G100300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100300.v4.1 MEESFVPFRGIKNDLQGRLKCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLDRDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFKFAKNIPDLGSKLFLAWSGWVCVWTAILLFLLSILGACSIISRFTRVAGELFGLLIAMLFMQEAVKGLVNEFGIPRRENPKSVEFQPSWRFANGMFALVLSFGLLLAGLRSRKARSWRYGSGWLRGFIADYGVPLMVLVWTAVSYIPSGSVPKGIPRRLFSPNPWSPGAFENWTVMKDMLKVPVLYIIGAFIPATMIAVLYYFDHSVASQLAQQREFNLRKPPSFHYDLLLLGFMVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNRLVATARKCMGKDASLGQVYDSMQEAYQHMQTPLIYQEPSARGLKELKESTIQMAASMGNIDAPVDETVFDIEKEIDDLLPVEVKEQRLSNLLQAIMVGGCVAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKLLEEYHTSFVESVPFKTIAIFTIFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKFFKAVHLQDLDAAEYEEIPALSFSLATEAEMSRAASFADDGEILDGIITRSRGEIKRMCSPRVPSSDATASKEFRSIQSPRFSDKVYSPRVSEVRGDYSPRLGGTGRGPFSPRIGESRLSNLGKSG >Potri.008G100300.1.v4.1 pep chromosome:Pop_tri_v4:8:6255253:6260787:1 gene:Potri.008G100300.v4.1 transcript:Potri.008G100300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100300.v4.1 MEESFVPFRGIKNDLQGRLKCYKQDWTGGFKAGFRILAPTTYIFFASAIPVISFGEQLDRDTDGVLTAVQTLASTALCGIIHSIIGGQPLLILGVAEPTVIMYTFMFKFAKNIPDLGSKLFLAWSGWVCVWTAILLFLLSILGACSIISRFTRVAGELFGLLIAMLFMQEAVKGLVNEFGIPRRENPKSVEFQPSWRFANGMFALVLSFGLLLAGLRSRKARSWRYGSGWLRGFIADYGVPLMVLVWTAVSYIPSGSVPKGIPRRLFSPNPWSPGAFENWTVMKDMLKVPVLYIIGAFIPATMIAVLYYFDHSVASQLAQQREFNLRKPPSFHYDLLLLGFMVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQILRNRLVATARKCMGKDASLGQVYDSMQEAYQHMQTPLIYQEPSARGLKELKESTIQMAASMGNIDAPVDETVFDIEKEIDDLLPVEVKEQRLSNLLQAIMVGGCVAAMPFIKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKLLEEYHTSFVESVPFKTIAIFTIFQTAYLLVCFGITWIPIAGVLFPLMIMLLVPVRQYILPKFFKAVHLQDLDAAEYEEIPALSFSLATEAEMSRAASFADDGEILDGIITRSRGEIKRMCSPRVPSSDATASKEFRSIQSPRFSDKVYSPRVSEVRGDYSPRLGGTGRGPFSPRIGESRLSNLGKSG >Potri.008G023700.9.v4.1 pep chromosome:Pop_tri_v4:8:1195012:1199164:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MTTNNLQQQHSPSHEEQFVEAESSQSSQGSGAVSNEMNGPLLTLYKYAHNGDWDAIKTYLIRYPNARKAMIKPYGGTALHVAAFSGHLRVVEELVKLMSVEELEIQDNQGNTGLSSAAFVGKRKMAECLVRKNKHLVTFVNAQKKIPLVQACISNCKDMALYLYSVTPFEFLCQGNGHHGSYFLQCAIGAQMLDIVFDFLHRFPHMATKTDDVLKSNALIRLSTSPQIFPSASQPAFWQQFIFSCIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.11.v4.1 pep chromosome:Pop_tri_v4:8:1194850:1199180:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MATKTDDVLKSNALIRLSTSPQIFPSASQPAFWQQFIFSCIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.2.v4.1 pep chromosome:Pop_tri_v4:8:1194882:1199184:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MTTNNLQQQHSPSHEEQFVEAESSQSSQGSGAVSNEMNGPLLTLYKYAHNGDWDAIKTYLIRYPNARKAMIKPYGGTALHVAAFSGHLRVVEELVKLMSVEELEIQDNQGNTGLSSAAFVGKRKMAECLVRKNKHLVTFVNAQKKIPLVQACISNCKDMALYLYSVTPFEFLCQGNGHHGSYFLQCAIGAQMLDIVFDFLHRFPHMATKTDDVLKSNALIRLSTSPQIFPSASQPAFWQQFIFSCIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.15.v4.1 pep chromosome:Pop_tri_v4:8:1198522:1199136:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MQEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.7.v4.1 pep chromosome:Pop_tri_v4:8:1194833:1199180:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MATMDLISFNVLLVLKCSSNALIRLSTSPQIFPSASQPAFWQQFIFSCIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.13.v4.1 pep chromosome:Pop_tri_v4:8:1196230:1199246:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MTGIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.5.v4.1 pep chromosome:Pop_tri_v4:8:1194922:1199161:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MTTNNLQQQHSPSHEEQFVEAESSQSSQGAVSNEMNGPLLTLYKYAHNGDWDAIKTYLIRYPNARKAMIKPYGGTALHVAAFSGHLRVVEELVKLMSVEELEIQDNQGNTGLSSAAFVGKRKMAECLVRKNKHLVTFVNAQKKIPLVQACISNCKDMALYLYSVTPFEFLCQGNGHHGSYFLQCAIGAQMLDIVFDFLHRFPHMATKTDDVLKSNALIRLSTSPQIFPSASQPAFWQQFIFSCIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.12.v4.1 pep chromosome:Pop_tri_v4:8:1194899:1199179:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MATKTDDVLKSNALIRLSTSPQIFPSASQPAFWQQFIFSCIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.6.v4.1 pep chromosome:Pop_tri_v4:8:1194930:1199162:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MLTRERIKTLAGAVSNEMNGPLLTLYKYAHNGDWDAIKTYLIRYPNARKAMIKPYGGTALHVAAFSGHLRVVEELVKLMSVEELEIQDNQGNTGLSSAAFVGKRKMAECLVRKNKHLVTFVNAQKKIPLVQACISNCKDMALYLYSVTPFEFLCQGNGHHGSYFLQCAIGAQMLDIVFDFLHRFPHMATKTDDVLKSNALIRLSTSPQIFPSASQPAFWQQFIFSCIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.14.v4.1 pep chromosome:Pop_tri_v4:8:1194943:1199181:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MVLFDNYLNIVDLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.008G023700.10.v4.1 pep chromosome:Pop_tri_v4:8:1194894:1199179:1 gene:Potri.008G023700.v4.1 transcript:Potri.008G023700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023700.v4.1 MATMDLISFNVLLVLKCSSNALIRLSTSPQIFPSASQPAFWQQFIFSCIWSKPIVATNDTVRIYVPAQRLRESKNIVLQVLSQLRVFAKNLFTFLGIKQMYDLKKIHIYSDKILRCMCEHISSLDYEEQLKASVHPAFHSAVKNGTLEFIMEMIKACPHVMICTDDNSRTLFMSSIANRQEKVVSLFYGLEATRSGFVSLIDSSGNTMLHLAAKLSPPSQLSRISGAALQMQRELQWYKEVESIINPTDKDFANVKGQIARELFTSDHADLLLKGEEWMKATATSCTVVGALIITIMFTAAFTVPGGYVQESGYPIFKDKESFTVFIVSDAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSTLFFSIATMMVTFCAALMIIVDGKLQIIIPIVLVACIPVTFFMMLQFPLLVEIFVSTYGPGIFNKKLKRWY >Potri.005G051500.2.v4.1 pep chromosome:Pop_tri_v4:5:3255794:3257636:-1 gene:Potri.005G051500.v4.1 transcript:Potri.005G051500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051500.v4.1 MGKASRWMINFFLGKKEEKCKKKDIYFYAEKDTTTAIVPSNPTIKRRWSFGKSAKKERVCKGRRSLDSVITTPYLAHRSSFALPATEAIKIIVPQTRAANRMRKAVEDAAATRIQAVFRSYLARKALCALRGLVKLQALVRGHQVRKQTAATLQRMHTLMTIQARTRCQRAQMARESQISVKSRSSRHREAVDVNFHEVRGISSRSGYINHPLIDRIEREVPRFYSGELQISRQEHQYKEFCFTSQNSPSICSPPSRTPGRASFTYETPDYANTLSNQFSILPNYMADTESSKAKFRSQSEPKQRPKQSIRVQNKQTTSMDGLLVHQDAQSQCSSSHSKHMAHENQDPWFIKLYQPTRSKDNNYDASITTSTRHSNYSKVLVTYEPPLNIY >Potri.009G159600.2.v4.1 pep chromosome:Pop_tri_v4:9:12366290:12368614:-1 gene:Potri.009G159600.v4.1 transcript:Potri.009G159600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159600.v4.1 MSYDYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMVTIDARPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANPNMSIMLIGNKCDLAHRRAVSKEEGEQFAKENGLLFLEASARTAQNVEEAFIGTAGKILQNIQEGVFDVSNESSGIKVGYGRPQGASGARDGTVAQRGGCCS >Potri.001G079300.1.v4.1 pep chromosome:Pop_tri_v4:1:6303905:6314650:1 gene:Potri.001G079300.v4.1 transcript:Potri.001G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079300.v4.1 MTRRVRRKLAHSKEKNKEIVGSNSENQDDGCLRSSTICDLDWMALPDDTAIQLFSYLNYRDRASLSATCRTFRLLGSSPCLWDSLDLRYHKFDIAAAQSLSSRSKNLRKLRFLGAESADAIISLEARELREISGDFCRDITDATLSMIAARHEMLECLQLGPDVCERITSFAIRVIALCCPKLKRLQISGVKEVTGEAINALAKHCRQLVEVAFMESNSVDELALGNLTSVQFLSLAGTKNLKWNSASCVWSKLPKLVGLDVSRTDITFSSVMRLFLSSQYLKVLVALNCPVFEAEVDNHMTYNHKGKILLTVFNDIFKAVGSLFVDITENESNNLSYWRKVKSIDRSMDVIATWIEWIFSHFLLRIAENNPKELDAFWIKQGAALLLDLLQSSQEDVQERAANSIATFVVIDDENATVDSQRAEVVMQNGGIQLLLDLARSCREGLQSEAAKAIANLSVDSKVAKAVADIGGINILVGLARSVNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKVLIDLIYKWHAGNDGVLERAAGALANLAADDSCSMEVAVAGGVHALVMLARSCKFEGVQEQAARALANLAAHGDNNNDNAAVRREAGALEALVQLTSSQHEGVRQEAAGALWNLSFDDKNREAIAAAGGITALVSLAQSCSNSSQSLQERAAGALWGLSVSEANSIAIGQEGGVAPLIVLACSDIADVHETAAGALWNLAFYPTNALRIVEGGGVPALIHLCSSSVSKMARLMAALALAYVFDQRTEEFAPGGPLSEGTSKTMNFDEIKRVALKKIEAFVLSFSVPQNFAAAMVSSAPKALGQVAEAVRIPEAGHLRCSAAEIGRFVAMLRNPSSILKACSAFALLQFTIPGGRHTLHHTSLLQNAGAPRVLRAAAAATTAPIEAKVFAKIILRNLEHHHLEALN >Potri.001G079300.2.v4.1 pep chromosome:Pop_tri_v4:1:6304223:6314435:1 gene:Potri.001G079300.v4.1 transcript:Potri.001G079300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079300.v4.1 MTRRVRRKLAHSKEKNKEIVGSNSENQDDGCLRSSTICDLDWMALPDDTAIQLFSYLNYRDRASLSATCRTFRLLGSSPCLWDSLDLRYHKFDIAAAQSLSSRSKNLRKLRFLGAESADAIISLEARELREISGDFCRDITDATLSMIAARHEMLECLQLGPDVCERITSFAIRVIALCCPKLKRLQISGVKEVTGEAINALAKHCRQLVEVAFMESNSVDELALGNLTSVQFLSLAGTKNLKWNSASCVWSKLPKLVGLDVSRTDITFSSVMRLFLSSQYLKVLVALNCPVFEAEVDNHMTYNHKGKILLTVFNDIFKAVGSLFVDITENESNNLSYWRKVKSIDRSMDVIATWIEWIFSHFLLRIAENNPKELDAFWIKQGAALLLDLLQSSQEDVQERAANSIATFVVIDDENATVDSQRAEVVMQNGGIQLLLDLARSCREGLQSEAAKAIANLSVDSKVAKAVADIGGINILVGLARSVNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGIKVLIDLIYKWHAGNDGVLERAAGALANLAADDSCSMEVAVAGGVHALVMLARSCKFEGVQEQAARALANLAAHGDNNNDNAAVRREAGALEALVQLTSSQHEGVRQEAAGALWNLSFDDKNREAIAAAGGITALVSLAQSCSNSSQSLQERAAGALWGLSVSEANSIAIGQEGGVAPLIVLACSDIADVHETAAGALWNLAFYPTNALRIVEGGGVPALIHLCSSSVSKMARLMAALALAYVFDQRTEEFAPGGPLSEGTSKTMNFDEIKRVALKKIEAFVLSFSVPQNFAAAMVSSAPKALGQVAEAVRIPEAGHLRCSAAEIGRFVAMLRNPSSILKACSAFALLQFTIPGGRHTLHHTSLLQNAGAPRVLRAAAAATTAPIEAKVFAKIILRNLEHHHLEALN >Potri.006G172600.2.v4.1 pep chromosome:Pop_tri_v4:6:17760298:17767003:1 gene:Potri.006G172600.v4.1 transcript:Potri.006G172600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G172600.v4.1 MNPYEHKHLRFTCQASIVDFDFPNGWWYPSCPKCNKNLSGGENNYTCMDHDAITSLPIPWFRLECIVTDGEDVTNFLLFGKTAENFFGSSAHHYVYDKKFIDPSVLPPAMAAKLNKSMIFQLRFGAFRSITNRCEVIITNIFDNSTNKSIHPLETATPEAKSSPTSKTSTPLSYMKQVLKAPSTPQNTVTQLKIAPDSLETPPQNISPNNETSINSEARRALDFEDATQQLIHEDEHDKAIEVQGNLGNIATSETFDHSLPPLKKQRATSSSSSKKN >Potri.017G095900.1.v4.1 pep chromosome:Pop_tri_v4:17:10839509:10842692:1 gene:Potri.017G095900.v4.1 transcript:Potri.017G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095900.v4.1 MHRFSYSNLFHKRNSIMSIFIALYLLPPLHLFLYVTATTDYLLPYSPTDLILLNCGASSNLLSPDGRGWDGDSQSKFAASNPPEASSVFEASNQDPSVNQVPYMTARIFHSKFTYTFPVLPGPKFVRLYFYPASYSNLDISTSYFSLSANNYELLNNFSASLTVSAIRPPVDYFTKEFIITVWDSQKLELNFIPSPASFAFINGIEIVSMPDSFYSRGNDNPLTYVGTDLFFYLDNTTVLETVYRLNVGGKDISSTGDTGMYRTWHQDLEYLLGGQTGNTPYLPGVKIKYTTKTPAYSAPVMVYSTMRSMGPEPLLNLNYNLTWNFPVDAGFHYLLRLHFCETRMEIKNENQQVFLIFINNQTAEHDADVIHMSGGNGIPVYKDYIVQVPQGSQSKQDLWLELHPNMELKPTYADAILNGLEIFKLNRTDGNLAGFNPEPTVAPPPAEQHPSLKERRTGKRSSILTVIGIVGGSIGAVFAFSLILYFFAFKQKRVKDPSKSEEKSSWTIISQTSRSTTTISSSLPTDLCRRFTFVEIKEATRNFDDQNIIGSGGFGTVYKGYIEYGFTAVAIKRLDSSSKQGTREFQTEIEMLSNLRHLHLVSLIGYCDDHGEMILVYDYMSRGTLREHLYKTKSSPLPWKQRLEICIGAAKGLHYLHSGAKHTIIHRDVKSTNILLDENWVAKVSDFGLSRLGPTSTSQTHVSTVVRGSFGYVDPEYYRRQHLTEKSDVYSFGVVLFEVLCARPPVIPSSPKEQASLAEWARKCYLRGTLDEIVDPHLKGEVAPVSLNKFAEIANSCLHGQGIERPKMGDVVWGLEFALQLQQTAEKNANSVEGINMENKSSLSPHRDVMTTDDDDMFSGAESHSRSTVSTHASVTQSDPDQRAMGVFSEIIDPKAR >Potri.001G307000.1.v4.1 pep chromosome:Pop_tri_v4:1:31659913:31660947:-1 gene:Potri.001G307000.v4.1 transcript:Potri.001G307000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307000.v4.1 MASFSHLALPITISFLPLICQSIGWPIDPTHGFTQLPFNTSFYHIQKPYNLPETDRYSFFSGIHKLWVYSTDEPLSKNSPTKPRTEIIISGYNYSSGVWQFEGYGYVPCGTSGVCIMQVFGAGGNHATSLMLRVYNGTLYYYREAAIVPNIYDRWFRVNVIHDVDASKIKVFIDGVFMYEALGRGGEFHYFKCGVYAQNDDSSYMESRWKGIKILKKSD >Potri.003G010565.1.v4.1 pep chromosome:Pop_tri_v4:3:1123318:1124082:-1 gene:Potri.003G010565.v4.1 transcript:Potri.003G010565.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010565.v4.1 MEMFTKQLTHIDLGRGLELPQYNSNLKPLQHIQGTLELSTIVESAAGTRLPDPVTIHCSAIRGSLVFKTGWYAIARDIGLKSGDTVTFYQEVNGGAQFKLKVRNFR >Potri.009G113900.1.v4.1 pep chromosome:Pop_tri_v4:9:9680130:9681508:-1 gene:Potri.009G113900.v4.1 transcript:Potri.009G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113900.v4.1 MKSQEDLETNEQEISTKRKHSSNNNNNNSISQQDLEGEDDEDFDLTLSLSFGRPPRSKKKTTLNTTRPQLSLSLPPPATSLSVTHQPLPQHQDIPQIEIPPDAQMTPRPLGPLQLSPKHAPFTDIPVTEPLLYTTAPINHESSMAGPSRAPRTRRNPSQGPREGKGETVPVLYPWAMDRRAMVHSLDYLLSRRIETITGLVQCKRCEKQFELGFDLRAKFVEIGAFISQNKSFMHDRAPSDWMNPVLPRCQFCDQENSVKPVIANKKQKINWLFLLLGQMLGCCTLDQLKYFCKHTKNHRTGAKDRVLYLAYLGMCKQLDPNGPFDR >Potri.019G015900.1.v4.1 pep chromosome:Pop_tri_v4:19:2519003:2519543:-1 gene:Potri.019G015900.v4.1 transcript:Potri.019G015900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G015900.v4.1 MKVSCFMLLLMMFFAMTLQDHQPSIGYKAMALVLDRSPEMGKVKIASTDHFSTEVKVGQLGRRSRRPIPSPPPPKPNRLVHWRWVVTPPPPMSSSPPPPSPLSLSKGA >Potri.012G092000.1.v4.1 pep chromosome:Pop_tri_v4:12:11687922:11692702:1 gene:Potri.012G092000.v4.1 transcript:Potri.012G092000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092000.v4.1 MSQPQPWARALQQSIKPELVTVDANSTETTSKIPQPPHKLVILADLNANPPESDTTDSLHLSPSDLTKLKDEAQDNKPNLTSKEADNNAAVEVTEGKKSSSKLGKSRSRNSKLDNPLDYGPDNDNDQPNQGPSSYREERVSSLKTGLLHVAKKMPKNAHAHFILGLMYQRLSQPQKAILAYEKAEEILLRCEAEVARPDLLSLVQIHHAQCILLETSGDNSLEKELEGQELEDVLFKLKESMQSDIRQVAVWNTLGLILLKSGRVQSAVSVLSALMAVDPNNYDCLANLGIAFLQSGNLELSAKCFQDLILKDQNHPSSLVNYAAVLLSKYGSVVAGAGANAGVGASVDQAEAINVAKECLLAALKLEPKAAHIWANLANAYFMIGDHRSASKCLEKAAKLEPNCMSTRYAVAVHRIKDAERSQDPSEQLSLAGNEMASILREGDSVPIDLPIAWAGLGMVHKAQHEIAAAFDTESNELMEVEERALFSLKQAIAEDPDDGVQWHQLGLHYLCSRQFKAAEKYLKVAASRSRECCYMWSNLGISLQLSEEPSQAEAVYKEALARATPEQAHTIFSNLGNLYRQQKQYDRAKAMFTKALELQPGYAPAFNNLGLVFVAEGRWEEAKYCFNKALEADSLLDAAKSNMIKAESVSRLSAGLSSCRCQD >Potri.016G112000.1.v4.1 pep chromosome:Pop_tri_v4:16:11476311:11479309:1 gene:Potri.016G112000.v4.1 transcript:Potri.016G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112000.v4.1 MEYSCFRFMLLAVCLFPAVVECRIRHYKFNVVMKNTTRLCSSKPIVTVNGLFPGPTLYAREDDTVLVKVVNRVKYNLSIHWHGIRQLRTGWADGPAYITQCPIQPGQSYVYNFTITGQRGTLLWHAHILWLRATVHGAIVVLPKLGVPYPFPAPHKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGAVSTCSSQGGFTLPVQSGKTYMLRLINAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTVLIAPGQTTNVLVTTNKNTGKYLVAASPFMDAPIAVDNMTATATLHYSGALSNSPTTLTIPPPKNATALANQFTNSLRSLNSKTFPAKVPLTVDHSLFFTVGLGINPCPTCKAGNGSRVVASINNVTFVMPTTALLQAHFFNISGVFTTDFPAKPPHVFNYTGTPPTNLQTTSGTKAYRLPYNSTVQLVMQDTGIISPENHPIHLHGFNFFAVGRGVGNYNPKTDPKKFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESLLPPPSDLPKC >Potri.006G066100.6.v4.1 pep chromosome:Pop_tri_v4:6:4781578:4785249:1 gene:Potri.006G066100.v4.1 transcript:Potri.006G066100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066100.v4.1 MDSKCGCWAVLKRGVRGSCKSSASRDSANAIPRTSLVYDAATETRYLNASNRELCAHNEAQLSSDNPDPQDKKSPCQLLQFTFQELKSSTGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALGAAKGLAFLHGGPEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVAWARPYLADKRKMYQLVDPRLELNYSLKAVQKVSQLAYSCLSRDSKSRPTMDEVVKVLTPLQDLNDLAILSYHSRLSQQGKRKKKSEGAQQHANVSSKSIRDSPLNTGKQRYR >Potri.006G066100.5.v4.1 pep chromosome:Pop_tri_v4:6:4781581:4785898:1 gene:Potri.006G066100.v4.1 transcript:Potri.006G066100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066100.v4.1 MDSKCGCWAVLKRGVRGSCKSSASRDSANAIPRTSLVYDAATETRYLNASNRELCAHNEAQLSSDNPDPQDKKSPCQLLQFTFQELKSSTGNFRPDSILGEGGFGYVFKGWIEENGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALGAAKGLAFLHGGPEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVAWARPYLADKRKMYQLVDPRLELNYSLKAVQKVSQLAYSCLSRDSKSRPTMDEVVKVLTPLQDLNDLAILSYHSRLSQQGKRKKKSEGAQQHANVSSKSIRDSPLNTGKQRYR >Potri.010G159650.1.v4.1 pep chromosome:Pop_tri_v4:10:16569439:16570355:1 gene:Potri.010G159650.v4.1 transcript:Potri.010G159650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159650.v4.1 MNRLSLCERTIKAQHNHDSFSFLSPKYLLFVLVLKLEENSMHGSKILKREEKIGSRCTQLRTIRCGIWTVKINCDFWGSQGMRILVDTTLTTSNSLTAEPQKHPNSQYALPCLCLYK >Potri.018G051200.1.v4.1 pep chromosome:Pop_tri_v4:18:4975263:4977915:-1 gene:Potri.018G051200.v4.1 transcript:Potri.018G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G051200.v4.1 MVFQDFDLLSERRRLERQQKLRKKIAIAAVSGIAFFVIVGAGVLALVSNHKSSPGNNGGSAVPQPVESAKPISRVSRVIKTVCNATTYQETCQKTLEKEVEKDPSLAQPKNLLKIAIKAADEEMKKVLKKASSFKFDDPREKAAFEDCLELVENAKEELKDSVAHVGDDLGKLAKNAPDLNNWLSAVMSYQETCIDGFPEGKLKSDMEKTFKASKELTSNSLAMVSSLTSFMKSFPFPAALNRRLLAKEDNSPALNKDDLPGWMSNEDRRILKGASKDKPQPNVTVAKDGSGDFKTISEALAAMPAKYEGRYVIFVKQGIYDETVTVTKKMSNITIYGDGSQKTIVTGNKNFADGVQTFRTATFAVLGDGFLCKAMGFRNTAGPEKHQAVAIRVQADRAIFLNCRFEGYQDTLYAQTHRQFYRSCVITGTVDFIFGDATAIFQNCLITVRKPLENQQNLITAQGRIDGHETTGIVLQNCRIEPDKDLVPVKTKIRSYLGRPWKEFSRTIIMESTIGDFIHPDGWLPWQGEFGLKTLYYAEYNNKGAGAKTTARIKWPGYHIINNEEAMKFTAEPFYQGDWISATGSPIHLGLF >Potri.002G254000.1.v4.1 pep chromosome:Pop_tri_v4:2:24277413:24278774:1 gene:Potri.002G254000.v4.1 transcript:Potri.002G254000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254000.v4.1 MEEVKLLGAWPSTFSYRVLWALKLKGVSYEFVEENLSNKSELLLQYNPVHKKIPVLIHGGKPIAESTIILEYIEETWPQNPLLSEDPYERAMARFWTKFGEDKSPTFFAFFQTVGEEQEKATKEFKELLGIIEELGLGDKKFFGGDKVGMTDIAFGWIAGWLQPMEEAVGVKLLEPGSFPRLHLWTQNFKEVAVIKENLPDYDEMLAYFKSLRQMFMALAKSETIICIFPPR >Potri.014G029400.1.v4.1 pep chromosome:Pop_tri_v4:14:1857253:1859391:1 gene:Potri.014G029400.v4.1 transcript:Potri.014G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029400.v4.1 MSFLTFCFFSLFLSVFPLLTSSYLLFQGFNWESCNKAGGWYNSLKNSVPDLANAGITHVWLPPSSQSVAPQGYMPGRLYDLSASRYGSQDELKSLIGDFNQKGIKCLADIVINHRTAEKQDERGIWSVFEGGTSDGRLDWGPSFICGDDTAYSDGKGNPDSGDDFEPAPDIDHLNPRVQRELSDWMNWLKSEIGFDGWRFDFVKGYAPSVTKIYMENTSPDFAVGEKWDSLAYGQDGKLDYNQDAHRGSIKDWIQAAGGAVTAFDFTSKGILQAAVQGELWRLKDSNGKPPGLIGLLPQNAVTFIDNHDTGSTQQIWPFPSDKVMQGYAYILTHPGTPSIFYDHFFDWGLKEEIGKLATIRKKYGIGSASTVNILASDADLYVAAINDNIIMKIGPKTDLGNLIPSNFQVATSGTDYCVWVK >Potri.003G152600.1.v4.1 pep chromosome:Pop_tri_v4:3:16542964:16550456:-1 gene:Potri.003G152600.v4.1 transcript:Potri.003G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152600.v4.1 MPVARPESSDSRTRIIAHVDLDCFYVQVEQRKQPELRGLPTAVVQYNEWKGGALIAVSYEARKLGVNRHMRGDDAKEVCPQIHLVQVPVARGKADLNTYRNAGSEVVSILARKGRCERASIDEVYLDLTDSAEAMLRETPPESLESINEESRKSHILGLKSEDANDAQENVSKWLRRSDANHQDKLLACGALIVSELRMEVLKETQFTCSAGIAHNKMLAKLVSGMNKPAQQTVVPSSSVKGLLESLPIKKMKQLGGKLGTSLQTDLGVSTVGDLLQFSEEKLQERFGINTGTWLWSIARGINGEEVQGRLLPKSHGAGKSFPGPRALKTIASVQHWLNQLCDELNERICCDLDQNKRIAHTFTVYASAYKSCDSESYKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGSHGVKTLGHHHNGWGITSLSVSASKIVAIPSGTGSITKYFHGQYTSPSSKETKDSFVKEAMPLSPSGSESYSELEPTDQLQPENNVPCLGLQEQKRIPWKDQDSSCSSTVQTKDGSGKGASPSSPSGSGCCSLVNQTGSQGASRVDSTMPTLERHKRKIKPSDKGTILKFLKSYNLSGSTPMQENVEMVKGSQSPSCSSLEVNQAELPRRSLAECSTNDGSNNLDQNAQRAWNYKIDEIDHSIVNELPSEIQDEIRVWLQARQQPSMVKRPSIIKRGSIAHYFSPDRNT >Potri.003G152600.11.v4.1 pep chromosome:Pop_tri_v4:3:16542754:16550457:-1 gene:Potri.003G152600.v4.1 transcript:Potri.003G152600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152600.v4.1 MEVLKETQFTCSAGIAHNKMLAKLVSGMNKPAQQTVVPSSSVKGLLESLPIKKMKQLGGKLGTSLQTDLGVSTVGDLLQFSEEKLQERFGINTGTWLWSIARGINGEEVQGRLLPKSHGAGKSFPGPRALKTIASVQHWLNQLCDELNERICCDLDQNKRIAHTFTVYASAYKSCDSESYKKFPSKSCPLRYGTAKIQEDAFNLFQAGLREYIGSHGVKTLGHHHNGWGITSLSVSASKIVAIPSGTGSITKYFHGQYTSPSSKETKDSFVKEAMPLSPSGSESYSELEPTDQLQPENNVPCLGLQEQKRIPWKDQDSSCSSTVQTKDGSGKGASPSSPSGSGCCSLVNQTGSQGASRVDSTMPTLERHKRKIKPSDKGTILKFLKSYNLSGSTPMQENVEMVKGSQSPSCSSLEVNQAELPRRSLAECSTNDGSNNLDQNAQRAWNYKIDEIDHSIVNELPSEIQDEIRVWLQARQQPSMVKRPSIIKRGSIAHYFSPDRNT >Potri.003G116900.1.v4.1 pep chromosome:Pop_tri_v4:3:13909956:13913439:1 gene:Potri.003G116900.v4.1 transcript:Potri.003G116900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116900.v4.1 MDPVTAHGHSLPPPFHTRDFQLHHHQQQQQHQFHHQQQQNSEDEQSGSSSGLNKSLKRERDENNNSMGNSEGKELITSGSGEGEITRRPRGRPSGSKNKPKPPIIITRDSANALRTHLMEVADGCDIVESVATFARRRQRGVCIMSGTGTVTNVTLRQPASPGAIVTLHGRFEILSLAGSFLPPPAPPAATGLTIYLAGGQGQVVGGSVVGTLTASGPVVIMAASFSNAAYERLPLEEEDPQMPMQGGEMGSPGAVGQQQQQPQQQQVMAEQNAQLFHGLPPNLLNSIQLPAEAYWATGRPPY >Potri.019G119300.1.v4.1 pep chromosome:Pop_tri_v4:19:14528874:14532791:1 gene:Potri.019G119300.v4.1 transcript:Potri.019G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119300.v4.1 MVRFSCFNAHIPSHKPKKTAELSVKAMHKSLEDFSQIQSPKTLTKATSLILLKAQTDTGTINSVRDATSSVSAEQSWEFDKIEDKMDFKNDIGVHQTRLIKKSQSLGSGLCHEGMVHRDNDTEEEIDQGFSGDSIDQNGLPRPDGSKDTGRSPTSVHEKDLQLESVQMSFDFDKECIFSIGNPQHSGKEEPEISDYLLSGEGGDASGNQSPGSPPVIEKSCSFPDMGTFSPTSHGHLASHSRSLEDLHSLSMRWKTISINGDETHKMRKQERDDCIPKTEENNIESCLDEGFDSYNYSAMAKDWIMPVVNEANLPNKFQGESSTHQWEELPSKDFKMKRIADWVNNLQHCSSFEEPNELPDTDDTVHGDSDDFATAKVDSKVTPVTEAAKRYISSLTVSATTAHLSNLGLAEIPFLSAFGSMRMLNLSGNSIVRITAGALPRGLHVLNLSKNSISSIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTTKCLGQLAANYNSLQAISLEGNPAQKNVGDEQLKKYLQGLLPHLVYFNRQPTKASTLKDAADRSVRLGISSHQFDRGLRSENKAARKASHSLAGARPSSSSTHARKSHAVTSPKRSRGRHLRLPPNGAKTTSSHRYHHNHADLDKFNYGLEFSFHRSRSEGTLGAC >Potri.019G119300.2.v4.1 pep chromosome:Pop_tri_v4:19:14528817:14532858:1 gene:Potri.019G119300.v4.1 transcript:Potri.019G119300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119300.v4.1 MVRFSCFNAHIPSHKPKKTAELSVKAMHKSLEDFSQIQSPKTLTKATSLILLKAQTDTGTINSVRDATSSVSAEQSWEFDKIEDKMDFKNDIGVHQTRLIKKSQSLGSGLCHEGMVHRDNDTEEEIDQGFSGDSIDQNGLPRPDGSKDTGRSPTSVHEKDLQLESVQMSFDFDKECIFSIGNPQHSGKEEPEISDYLLSGEGGDASGNQSPGSPPVIEKSCSFPDMGTFSPTSHGHLASHSRSLEDLHSLSMRWKTISINGDETHKMRKQERDDCIPKTEENNIESCLDEGFDSYNYSAMAKDWIMPVVNEANLPNKFQGESSTHQWEELPSKDFKMKRIADWVNNLQHCSSFEEPNELPDTDDTVHGDSDDFATAKVDSKVTPVTEAAKRYISSLTVSATTAHLSNLGLAEIPFLSAFGSMRMLNLSGNSIVRITAGALPRGLHVLNLSKNSISSIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTTKCLGQLAANYNSLQAISLEGNPAQKNVGDEQLKKYLQGLLPHLVYFNRQPTKASTLKDAADRSVRLGISSHQFDRGLRSENKAARKASHSLAGARPSSSSTHARKSHAVTSPKRSRGRHLRLPPNGAKTTSSHRYHHNHADLDKFNYGLEFSFHRSRSEGTLGAC >Potri.019G119300.3.v4.1 pep chromosome:Pop_tri_v4:19:14529026:14532792:1 gene:Potri.019G119300.v4.1 transcript:Potri.019G119300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119300.v4.1 MVRFSCFNAHIPSHKPKKTAELSVKAMHKSLEDFSQIQSPKTLTKATSLILLKAQTDTGTINSVRDATSSVSAEQSWEFDKIEDKMDFKNDIGVHQTRLIKKSQSLGSGLCHEGMVHRDNDTEEEIDQGFSGDSIDQNGLPRPDGSKDTGRSPTSVHEKDLQLESVQMSFDFDKECIFSIGNPQHSGKEEPEISDYLLSGEGGDASGNQSPGSPPVIEKSCSFPDMGTFSPTSHGHLASHSRSLEDLHSLSMRWKTISINGDETHKMRKQERDDCIPKTEENNIESCLDEGFDSYNYSAMAKDWIMPVVNEANLPNKFQGESSTHQWEELPSKDFKMKRIADWVNNLQHCSSFEEPNELPDTDDTVHGDSDDFATAKVDSKVTPVTEAAKRYISSLTVSATTAHLSNLGLAEIPFLSAFGSMRMLNLSGNSIVRITAGALPRGLHVLNLSKNSISSIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTVLDLRFNKISTTKCLGQLAANYNSLQAISLEGNPAQKNVGDEQLKKYLQGLLPHLVYFNRQPTKASTLKDAADRSVRLGISSHQFDRGLRSENKAARKASHSLAGARPSSSSTHARKSHAVTSPKRSRGRHLRLPPNGAKTTSSHRYHHNHADLDKFNYGLEFSFHRSRSEGTLGAC >Potri.003G004401.1.v4.1 pep chromosome:Pop_tri_v4:3:552426:562544:-1 gene:Potri.003G004401.v4.1 transcript:Potri.003G004401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004401.v4.1 MALPIKQIKKYNFYPSLRARACRVWIAKFNGQPSNFNCVFVDNQGGAIQALAKTRDLLTFAATIIEGNHYEIKGFYTYENRVVNTVTVHDDVIDLKSNTKITGIEAITPHVPRYYFNFIDYAHILTKSKGSRILTDVLGRLKALQPLEQVMVCGQTLENKREFMLENIRGEELHITLWGDSARDFDELALHNLPSPVIIAFAGFRVTEFKGKPNLNSTTTSLWYFNPDIPECLAYKHFFAQLPVEIQQLPSSSNVVYR >Potri.012G135500.2.v4.1 pep chromosome:Pop_tri_v4:12:14999019:14999903:-1 gene:Potri.012G135500.v4.1 transcript:Potri.012G135500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G135500.v4.1 MSSLFSDDNIFDLLRDERGDIDVAKLVSKAEALAKKKKKEQQRLEKLIARNDSKVSDGDLATKKNLVVVYSHETDEQEEKRKEDYFYDNKEIDGKIVAQEKAEPRKEKEKNGAFVKDLEDKRRDLNNKKKVKNHKLDLKEKEEAEISEETKRSDAGNKRPVEKKKLKKKLKKGNKKEKEEVEGPTGVVKGLFLVLHEAVTVVVFMALGAMMYSTWKEL >Potri.013G111500.2.v4.1 pep chromosome:Pop_tri_v4:13:12001973:12006665:-1 gene:Potri.013G111500.v4.1 transcript:Potri.013G111500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111500.v4.1 MKGIVVLLLSLLSCCLVASANVVLIGNNVTMAFNDIEANFAPAIKGSGECGVLSLAEPIDACTDLTNKAEKGLNSSSPYVLIIRGGCSFEHKVRRAQKAGFKAAIVFDNEEGVLVAMAGNSVGVKIHAVFVSKKSGETLTKYAGLTGLELWLIPSFENSAWSIMAISFISLLAMSAVLATCFFIRRHRIRREHSHSSRVREFHGMSSRLVKAMPSLTFTSVLEDNCTSTTCAICLEDYTVGEKLRILPCRHKFHAFCVDSWLTTWRTFCPVCKRDARTSTGDPPASESTPLLSSNPSSFASSSMLSSFRSGTSTAIQIASSRTPSVSHISSLSSTPYVQQPLRSYRHSTSISISHSSADLRHMSSQRSLTSHLVSPHSLGYPSISPLNTRYMSAYIPSPSNASPSLVSSSHQPRPLYCSESVSSSHQPRPLHCSESAASFSSFASAQSLPGC >Potri.019G016108.1.v4.1 pep chromosome:Pop_tri_v4:19:2559367:2559868:-1 gene:Potri.019G016108.v4.1 transcript:Potri.019G016108.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016108.v4.1 MKVSCFLLLLVICLAMMMLDHQPSTGYKAMALVLDCSPEMGKVKIASTDHFSTEVKVGQLGRRSRRAIPSPPPPKPNRSVHWWVVTPPPPMPSLPPPPSPLSSSKGA >Potri.006G057400.3.v4.1 pep chromosome:Pop_tri_v4:6:4058464:4062473:1 gene:Potri.006G057400.v4.1 transcript:Potri.006G057400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057400.v4.1 MIVCSAINTCVKNVVHLSGRVQQMGSTILNVVSRGQSTSRCFSLYPSRSRSNYSRLSVSKTFSCPSISFHTLHRNCFGSDSIKQRYNLVSLTVKGVVNSGGPLKRQFNISLPSQNMALRFSVSKRGLLAKIKATRKNKEEDICNSSDIKFSHGKEVYTDYSIIGVPGDGRCLFRSVAHGACLRFGKRAPSESLQRELADDLRSKVADEFIKRREDTEWFIEGNFDSYVSQMRKPHVWGGEPELLMASHVLKMPITVYMHDKNARGLISIAEYGQEYGVENPIRVIYNGFGHYDALQFSWNQRR >Potri.006G057400.9.v4.1 pep chromosome:Pop_tri_v4:6:4058784:4062416:1 gene:Potri.006G057400.v4.1 transcript:Potri.006G057400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057400.v4.1 MIVCSAINTCVKNVVHLSGRVQQMGSTILNVVSRGQSTSRCFSLYPSRSRSNYSRLSVSKTFSCPSISFHTLHRNCFGSDSIKQRYNLVSLTVKGVVNSGGPLKRQFNISLPSQNMALRFSVSKRGLLAKIKGNVGSVSCSQRHTTTGIFFGLLVCYSSSEPTHAESATRKNKEEDICNSSDIKFSHGKEVYTDYSIIGVPGDGRCLFRSVAHGACLRFGKRAPSESLQRELADDLRSKVADEFIKRREDTEWFIEGNFDSYVSQMRKPHVWGGEPELLMASHVLKMPITVYMHDKNARGLISIAEYGQEYGVENPIRVIYNGFGHYDALQFSWNQRR >Potri.006G057400.10.v4.1 pep chromosome:Pop_tri_v4:6:4058751:4062402:1 gene:Potri.006G057400.v4.1 transcript:Potri.006G057400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057400.v4.1 MIVCSAINTCVKNVVHLSGRVQQMGSTILNVVSRGQSTSRCFSLYPSRSRSNYSRLSVSKTFSCPSISFHTLHRNCFGSDSIKQRYNLVSLTVKGVVNSGGPLKRQFNISLPSQNMALRFSVSKRGLLAKIKGNVGSVSCSQRHTTTGIFFGLLVCYSSSEPTHAESATRKNKEEDICNSSDIKFSHGKEVYTDYSIIGVPGDGRCLFRSVAHGACLRFGKRAPSESLQRELADDLRSKVADEFIKRREDTEWFIEGNFDSYVSQMRKPHVWGGEPELLMASHVLKMPITVYMHDKNARGLISIAEYGQEYGVENPIRVIYNGFGHYDALQFSWNQRR >Potri.006G057400.11.v4.1 pep chromosome:Pop_tri_v4:6:4058819:4062402:1 gene:Potri.006G057400.v4.1 transcript:Potri.006G057400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057400.v4.1 MIVCSAINTCVKNVVHLSGRVQQMGSTILNVVSRGQSTSRCFSLYPSRSRSNYSRLSVSKTFSCPSISFHTLHRNCFGSDSIKQRYNLVSLTVKGVVNSGGPLKRQFNISLPSQNMALRFSVSKRGLLAKIKATRKNKEEDICNSSDIKFSHGKEVYTDYSIIGVPGDGRCLFRSVAHGACLRFGKRAPSESLQRELADDLRSKVADEFIKRREDTEWFIEGNFDSYVSQMRKPHVWGGEPELLMASHVLKMPITVYMHDKNARGLISIAEYGQEYGVENPIRVIYNGFGHYDALQFSWNQRR >Potri.001G403000.1.v4.1 pep chromosome:Pop_tri_v4:1:42893723:42895455:1 gene:Potri.001G403000.v4.1 transcript:Potri.001G403000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403000.v4.1 MLAIFHKAFAHPPEELNSPASQNVTKKPKLPEETLNDFLSHRPQKTFSMNFGQAAVLAYAPQDNPFSPQQKLFCGFDGIYCLFSGSLNNLCTLNRQYGLTKGTNEAMFVIEAYKTLRDRGPYPADQVVKDLDGSFAFVIYDSTAGSVFAALGSDGGVKLYWGIAADGSVVISDDLEVIKESCVKSFAPFPTGFMFHSEGGLMSFEHPMNKVRAMPRTDSEGFLCGANFKVDVYTRINSLPRRGSEANWTEWQSHS >Potri.008G071800.1.v4.1 pep chromosome:Pop_tri_v4:8:4416850:4423011:-1 gene:Potri.008G071800.v4.1 transcript:Potri.008G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071800.v4.1 MAEPESDISDPVVQNPNNEDEEDLYEQSDDVEDEDEDEDEDLESQKAKLRNRFQNFFRRIQHESVPIRVHDVVIKGNTKTKDTLIEAETASLKDASTFKELFAASSDVNFRLQALEIFDSVKITIDSGPPELPGTANVIVDVVETKSPLLGEIGVFNKGEASSSTLEGTLKYKNIFGYGDLWDGSLAYDCDHKAEVSAGVFLPRFKGLVNPVTARLFLQSQDCLKFSSFKERSLGLSLGLFSTRNHDVVYNLAWRTLTDPSRTASSSVRGQLGHGLLSSLKYTFKIDRRNSPLRPTRGFAFVSTTQIGGLAPDSRSLRFLRQELDLRCAIPLGFYHSALNLGISAGVVFPWGSGFSSMPSPLPERFFLGGNLSPVCTLGGPTSLWGFRTKGLGPTEPRRQLQNNPADENADSGRDYLGGDLAVAAFADFSFDFPSKWLSAKGIHGHLFASAGNVDKLTENSYRNFSLQKFTQSFRSSVGVGVVIPTNLFRMELNYCNILKKFGEDRGKSGFRVSFSSPS >Potri.014G089700.1.v4.1 pep chromosome:Pop_tri_v4:14:5824799:5827467:1 gene:Potri.014G089700.v4.1 transcript:Potri.014G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089700.v4.1 MKKFVLKVDLHDDKAKQKAMKTVSTLTGIDSMAIDMKEKKLTVIGTVDPVNAVSKLRKYWPADIITVGPAKEPEKKEEAKKEEPKKEEAKKEEPKKEEAKPEEAKKEEPKKEEEKKGEEKKEEKKGEEKGEEKKEEEKKKEQAPPPDPVMELVKAYRAYNPQMTTYYYVQSMEENPNACVIC >Potri.010G014800.4.v4.1 pep chromosome:Pop_tri_v4:10:2468767:2474284:-1 gene:Potri.010G014800.v4.1 transcript:Potri.010G014800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014800.v4.1 MQATQAVLNNGVAEGSPVEADSKIVEPSNEVSNPEPSGRRSDLSLQIPPRHVGFGTSHSGKGLLHSQNSYKGRSPGGFLRTLSLKKKAAAPDGERSSLLTADYKTAPDSPIMASFKSAFSWNRCTSLPVTPASKLSPSVSMPASAIMPGESHKIKKGAAHPVVSRSLSVPWRNVVIVRSASFSTRDEHVLTDPSNDQITPIPTEVDDEEIPEEEAVCRICFDVCEEGNTLKMECSCKGALRLVHEDCAIKWFSTKGNKNCDVCGLEVKNLPVTLLRVTSAAHRNNRQEQSHQMSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAIIVAAPFAFTLGLLSSIFAVILAIREYIWTYAALEFAFVAITVHLFYNMLHVKAIYAILLSSVLGFGIAMSINSLYIQYFAWRVQVGQNHNSNPNSNPV >Potri.010G014800.1.v4.1 pep chromosome:Pop_tri_v4:10:2468785:2474327:-1 gene:Potri.010G014800.v4.1 transcript:Potri.010G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014800.v4.1 MQATQAVLNNGVAEGSPVEADSKIVEPSNEVSNPEPSGRRSDLSLQIPPRHVGFGTSHSGKGLLHSQNSYKGRSPGGFLRTLSLKKKAAAPDGERSSLLTADYKTAPDSPIMASFKSAFSWNRCTSLPVTPASKLSPSVSMPASAIMPGESHKIKKGAAHPVVSRSLSVPWRNVVIVRSASFSTRDEHVLTDPSNADQITPIPTEVDDEEIPEEEAVCRICFDVCEEGNTLKMECSCKGALRLVHEDCAIKWFSTKGNKNCDVCGLEVKNLPVTLLRVTSAAHRNNRQEQSHQMSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAIIVAAPFAFTLGLLSSIFAVILAIREYIWTYAALEFAFVAITVHLFYNMLHVKAIYAILLSSVLGFGIAMSINSLYIQYFAWRVQVGQNHNSNPNSNPV >Potri.001G061800.5.v4.1 pep chromosome:Pop_tri_v4:1:4753638:4756885:-1 gene:Potri.001G061800.v4.1 transcript:Potri.001G061800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061800.v4.1 MVTHKSKTKETVPCDFCSEQTAVLYCRADSAKLCLFCDQHVHSANLLSRKHVRSQICDNCSTEPVSFRCSTDNLVLCQECDWDAHGSCSVSASHDRTTIEGFSGCPSALDLASIWGFDLEEKKPEPLIENWSNNSCGVIHDLVNEPWVYDKSSGNLTFQDLMVPNENNNNGNRNIDNVMIFGNVTKSPSCGKYKHVIYKQLFELFKRDLIGGGVEGEGCGFGDGEGCGFGGGDGGGETLVTQSRSGWRSGVKGVQFGNGNDGGFGDDNVVVCGGNGSGGNVRGEQLLQEQRPFTSLLMLPTEVDVKSNGRVVGGDITWDSNAKAHGTQVWDFHLGQLRNHDESGQLEIEYVANDAGFVIKDFGELMKETSSTSPKMLGDMYQMNCSTAHDDMTSFNNNLNNPTSSQGPATSEIYSHKR >Potri.001G061800.1.v4.1 pep chromosome:Pop_tri_v4:1:4753528:4756881:-1 gene:Potri.001G061800.v4.1 transcript:Potri.001G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061800.v4.1 MVTHKSKTKETVPCDFCSEQTAVLYCRADSAKLCLFCDQHVHSANLLSRKHVRSQICDNCSTEPVSFRCSTDNLVLCQECDWDAHGSCSVSASHDRTTIEGFSGCPSALDLASIWGFDLEEKKPEPLIENWSNNSCGVIHDLVNEPWVYDKSSGNLTFQDLMVPNENNNNGNRNIDNVMIFGNVTKSPSCGKYKHVIYKQLFELFKRDLIGGGVEGEGCGFGDGEGCGFGGGDGGGETLVTQSRSGWRSGVKGVQFGNGNDGGFGDDNVVVCGGNGSGGNVRGEQLLQEQRPFTSLLMLPTEVDVKSNGRVVGGDITWDSNAKAHGTQVWDFHLGQLRNHDESGQLEIEYVANDAGFVIKDFGELMKETSSTSPKMLGDMYQMNCSTAHDDMTSFNNNLNNPTSSQGPATSESNNLPIVRSGFSKPRCSSSSNDVQFMEQSILIRGEGLKMEAATKVDMELLARNRGDAMQRYKEKKKNRRYDKHIRYESRKARADTRKRVKGRFVKTTEAPDS >Potri.001G061800.4.v4.1 pep chromosome:Pop_tri_v4:1:4753528:4756873:-1 gene:Potri.001G061800.v4.1 transcript:Potri.001G061800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061800.v4.1 MVTHKSKTKETVPCDFCSEQTAVLYCRADSAKLCLFCDQHVHSANLLSRKHVRSQICDNCSTEPVSFRCSTDNLVLCQECDWDAHGSCSVSASHDRTTIEGFSGCPSALDLASIWGFDLEEKKPEPLIENWSNNSCGVIHDLVNEPWVYDKSSGNLTFQDLMVPNENNNNGNRNIDNVMIFGNVTKSPSCGKYKHVIYKQLFELFKRDLIGGGVEGEGCGFGDGEGCGFGGGDGGGETLVTQSRSGWRSGVKGVQFGNGNDGGFGDDNVVVCGGNGSGGNVRGEQLLQEQRPFTSLLMLPTEVDVKSNGRVVGGDITWDSNAKAHGTQDL >Potri.001G061800.3.v4.1 pep chromosome:Pop_tri_v4:1:4753528:4756881:-1 gene:Potri.001G061800.v4.1 transcript:Potri.001G061800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061800.v4.1 MVTHKSKTKETVPCDFCSEQTAVLYCRADSAKLCLFCDQHVHSANLLSRKHVRSQICDNCSTEPVSFRCSTDNLVLCQECDWDAHGSCSVSASHDRTTIEGFSGCPSALDLASIWGFDLEEKKPEPLIENWSNNSCGVIHDLVNEPWVYDKSSGNLTFQDLMVPNENNNNGNRNIDNVMIFGNVTKSPSCGKYKHVIYKQLFELFKRDLIGGGVEGEGCGFGDGEGCGFGGGDGGGETLVTQSRSGWRSGVKGVQFGNGNDGGFGDDNVVVCGGNGSGGNVRGEQLLQEQRPFTSLLMLPTEVDVKSNGRVVGGDITWDSNAKAHGTQVWDFHLGQLRNHDESGQLEIEYVANDAGFVIKDFGELMKETSSTSPKMLGDMYQMNCSTAHDDMTSFNSILIRGEGLKMEAATKVDMELLARNRGDAMQRYKEKKKNRRYDKHIRYESRKARADTRKRVKGRFVKTTEAPDS >Potri.003G077400.2.v4.1 pep chromosome:Pop_tri_v4:3:10481280:10483225:-1 gene:Potri.003G077400.v4.1 transcript:Potri.003G077400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077400.v4.1 MIQFFSYYSFLISFSFLCLSRPSFPARIKPDPSSTVTTVDSYNTTTWHDFTRFLDVGKGSQVSGMSELKKYFNRFGYLPIPDTNNFTDTFDTQFESVVLAYQTNLGLPETGKLDFDTISMIVLPRCGVSDKKTHDTRFQAKKRFAYFYGKPRWMRQAPVILTYAFSQNNMIDYISIKDTRTVFKRAFSRWAQVIPVSFMEIEEYPSADIRIGFYHRDHGDGKPFDGVLGVLAHAFSPENGRFHLDASETWALDLEKIKSRVAVDLESVATHEIGHVLGLAHSSVKEAVMYPSLSPRTKKVDLKIDDVDGVQALYGSNPNFKFSSLLVSDNSFNKGIIGLRNSRSSKWTISLVMFLRDTYSCEPDSISSLVTIFFSRVLWLTMIHVRPRKLTCPVRRKILFFLCREACFGPAMGNFVWGFMCEDREGRQPSKGNSSCNGKPEIQFRKDTRLLSNSLPKNIPQLKTGE >Potri.002G121100.1.v4.1 pep chromosome:Pop_tri_v4:2:9185189:9188454:-1 gene:Potri.002G121100.v4.1 transcript:Potri.002G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G121100.v4.1 MATLFLYFPVFLALYMITRHLLDKIQNLPPSPFLSLPIIGHLYLFKKPIYRTLSNISNRYGQLVVLLRLGSRRVLVVSSPSIAEECFTKNDVVFANRPRLLIGKHLGYNCTNLFWASYGDHWRNLRKIVSIEVLSAYRLQMHSATHLEEVKWMIGWLFRNQNQVVDMKKAFLELTLNIIMRMIAGKRYYGDDVSDVEQAQRFRAIHAEMYTLIGQTIIGDYVPWIKSKKMEKRLIECRVKRDSFMQCLIEEQRRVLLESDCCGERKRTMIQVLLSLQETEPEYYTDDIIKGLMLVLLFAGTDTSSSIMEWALSLLLNHSEVLLKAQKEIDEYIGPDRLIDEADLAQLPYLRSIINETLRMYPPAPLLVPHESSEECLVGGFRIPHGTMLFVNMWAIHNDPKIWLDPRKFRPDRFNGLEGARDGFRLMPFGYGRRSCPGEGLALRMVGLALGSLIQCFEWQRIDDKSVDMTERPGFTMAKAQPLKAICRPRLSMLKLFSQ >Potri.017G136133.1.v4.1 pep chromosome:Pop_tri_v4:17:13745025:13751899:1 gene:Potri.017G136133.v4.1 transcript:Potri.017G136133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136133.v4.1 MGLGLLASRLIRPQTAARTLLLRSIVTKPELQSPEPATAPQPDPTPGLPQRTPLGGARVHFPNPEDAIEVFVDGYPVKIPKGMTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEVKRSVVDKNLGPLVKTVMTRCIQCTRCVRFATEVAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDVSDAVGSNIRIDSRGPEVLRITPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGTDGRFKVVSWHDALAVVAEIAHQVKPEEIVGIAGKLSDAESMMALKDFLNKMGSNNVWCEGNGPSPNADLRSGYIMNCGISGLENADVFLLVGTQPRVEAAMVNARIRKTVRGSNAKVAYVGPPTEFNYDCEHLGTGPETLTEIAEGRHPFCSTLSNAKNPAIVVGAGLFERSDKDAIFSAVETIVKNGNVVRPDWNGFNVLLLNAAQAAALDLGLVPESIQSIESAKFVYLMGADDVDLEKLPSDAFVVYQGHHGDRGVYRANVILPASAFSEKEGTYENTEGCAQQTLPAVPTVGDSRDDWKIIRALSEVAGVQLPYDTIGAVRSRIRTVAPNLLSMDEREPATFWASLKPEVNQKMNSTPFQAAIENFYMTDSITRASKIMAQCSSLLLKK >Potri.017G148432.1.v4.1 pep chromosome:Pop_tri_v4:17:14746104:14746622:1 gene:Potri.017G148432.v4.1 transcript:Potri.017G148432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148432.v4.1 MPCLCRLLMFVSQWVSMQGFILFGEHHACTLMSSSSKLKALRAGTQWLCWM >Potri.016G035700.1.v4.1 pep chromosome:Pop_tri_v4:16:2098916:2102829:1 gene:Potri.016G035700.v4.1 transcript:Potri.016G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035700.v4.1 MPYLVRENLFIGNISDAAEVLQNGSSEITHILSVLSSVSISFFTEWRSGVVIPAKEIKKVCVGDGEDEWRSCLAANKVLYGLEYAGKDLKLVRMAVPIRDMESEDLLDYLDVCLDFIEKSRKEGAVLVHCFAGVSRSAAIITAYLMKTEQLSLEDALESLRRSCESVCPNDGFLEQLKMFEEMGFKVDHASPIYKRFRLKVLGEFYNRGEKIDSSKFGADPGVPTQISSEEEASPNEGKKAIPAYRCKKCRRVVALQENVVDHVPGEGETSFAWGKQKSGNPFNKSDESECSSLFVEPLKWMTGVEEGALEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDVSTV >Potri.016G035700.3.v4.1 pep chromosome:Pop_tri_v4:16:2098916:2102850:1 gene:Potri.016G035700.v4.1 transcript:Potri.016G035700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035700.v4.1 MPYLVRENLFIGNISDAAEVLQNGSSEITHILSVLSSVSISFFTEWRSGVVIPAKEIKKVCVGDGEDEWRSCLAANKVLYGLEYAGKDLKLVRMAVPIRDMESEDLLDYLDVCLDFIEKSRKEGAVLVHCFAGVSRSAAIITAYLMKTEQLSLEDALESLRRSCESVCPNDGFLEQLKMFEEMGFKVDHASPIYKRFRLKVLGEFYNRGEKIDSSKFGADPGVPTQISSEEEASPNEGKKAIPAYRCKKCRRVVALQENVVDHVPGEGETSFAWGKQKSGNPFNKSDESECSSLFVEPLKWMTGVEEGALEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDVSTV >Potri.016G035700.2.v4.1 pep chromosome:Pop_tri_v4:16:2098919:2102902:1 gene:Potri.016G035700.v4.1 transcript:Potri.016G035700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035700.v4.1 MPYLVRENLFIGNISDAAEVLQNGSSEITHILSVLSSVSISFFTEWRSGVVIPAKEIKKVCVGDGEDEWRSCLAANKVLYGLEYAGKDLKLVRMAVPIRDMESEDLLDYLDVCLDFIEKSRKEGAVLVHCFAGVSRSAAIITAYLMKTEQLSLEDALESLRRSCESVCPNDGFLEQLKMFEEMGFKVDHASPIYKRFRLKVLGEFYNRGEKIDSSKFGADPGVPTQISSEEEASPNEGKKAIPAYRCKKCRRVVALQENVVDHVPGEGETSFAWGKQKSGNPFNKSDESECSSLFVEPLKWMTGVEEGALEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHKSRVDVSTV >Potri.004G184200.2.v4.1 pep chromosome:Pop_tri_v4:4:19784331:19786772:-1 gene:Potri.004G184200.v4.1 transcript:Potri.004G184200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184200.v4.1 MKRSVRPLFSILLLVVFALTLSCRILIPRGDGVGFIEFEKPKLILQKKVPVFNSTLLKYSAIDIGEEQAKHEIEELLEGNFDSRGRYRSFATWRRFNHHDVRARSSRGIPLMLRSPQFYRYWLDFRRALHDWARKKRYQPEIMDELIGLLKGPIDRHNGLVGSERRYGSCAVVGNSGILMQKEYGELIDRHEVVIRLNNARTERYERNVGAKTNISFVNSNILHLCGRRQGCFCHPYGANVPMVMYICQPAHFLDYTVCNSSHDAPLIVTDPRFDLLCARIVKYYSLKRFVEETGKSLDEWGSAHDGSMFHYSSGMQAVMLAVGICDKVSIFGFGKSALARHHYHTNQKAELKLHDYEAEYDLYHDLVNNPQAVPFITDKFKFPAAVIYQ >Potri.001G394600.1.v4.1 pep chromosome:Pop_tri_v4:1:41838080:41844134:1 gene:Potri.001G394600.v4.1 transcript:Potri.001G394600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394600.v4.1 MGGFQLQKLQMSWQPNLLSQQRKNGPLGLKNLGNSCYLNSVLQCLTYTPPLANFCLRLQHSSLCNSLASGDRKRDCPFCILEKQIVRSLSSDLAHDVPSKIRSCLKIFAEHFRCGRQEDAHEFLRYVIDACHNTCLRLKKLSWKGIENGGDGSVVKKIFGGALQSQVKCLCCNCESNKVDEIMDISLDVLNSNSVRDAMQKFFQPEVLDGNNKYKCENCKKLVAARKQMSVLQAPNVLVIQLKRFEGIFGGKIDKAIAFEEVLVLSSFMSKTSQDPQPKYNLFGIIVHSGYSLEAGHYYAYIKDAIGQWYCCNDSYVTLSTLQEVMSEKAYILFFSRTNQRPVSADSAFTSNGVKSCELNGSEASKSSKAAVPLKALPTKPQVEKSSRKDISAMSKIDRVPSSPPVKFSFVGNSGSKSVSSSVNGKVDPHKCQNKEMNGNVKETVHVEICDKDVSTVTSSNGFEKHKNVDAVEGETHHTFAAASENGHSQNGAFNSVKPHICDSNDTTSKLTPGRGHDQLELQNGGMKYHADISGLKRKLKEESCILLSQDAQSLAKVEEFKEVLNQEASSILGSCGLSDKVYNFMRARKRSCIQEAGNKPSGTDLKKLLIADAKRTYIPQIPESLKEDLVKRLQLFSQEK >Potri.001G394600.3.v4.1 pep chromosome:Pop_tri_v4:1:41838100:41844131:1 gene:Potri.001G394600.v4.1 transcript:Potri.001G394600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394600.v4.1 MGGFQLQKLQMSWQPNLLSQQRKNGPLGLKNLGNSCYLNSVLQCLTYTPPLANFCLRLQHSSLCNSLASGDRKRDCPFCILEKQIVRSLSSDLAHDVPSKIRSCLKIFAEHFRCGRQEDAHEFLRYVIDACHNTCLRLKKLSWKGIENGGDGSVVKKIFGGALQSQVKCLCCNCESNKVDEIMDISLDVLNSNSVRDAMQKFFQPEVLDGNNKYKCENCKKLVAARKQMSVLQAPNVLVIQLKRFEGIFGGKIDKAIAFEEVLVLSSFMSKTSQDPQPKYNLFGIIVHSGYSLEAGHYYAYIKDAIGQWYCCNDSYVTLSTLQEVMSEKAYILFFSRTNQRPVSADSAFTSNGVKSCELNGSEASKSSKAAVPLKALPTKPQVEKSSRKDISAMSKIDRVPSSPPVKFSFVGNSGSKSVSSSVNGKVDPHKCQNKEMNGNVKETVHVEICDKDVSTVTSSNGFEKHKNVDAVEGETHHTFAAASENGHSQNGAFNSVKPHICDSNDTTSKLTPGRGHDQLELQNGGMKYHADISGLKRKLKEESCILLSQDAQSLAKVEEFKEVLNQEASSILGSCGLSDKVYNFMRARKRSCIQEAGNKPSGSC >Potri.016G096300.1.v4.1 pep chromosome:Pop_tri_v4:16:9566739:9569006:-1 gene:Potri.016G096300.v4.1 transcript:Potri.016G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096300.v4.1 MEHNGLGGGIFPGMGSGMLGLELPLHQPENPQNPNHMNHPQVLAYTDHESDHRQHSQQSVKHAYPYASSTKPKQLLSPLSDEDEPSFTGDDNTTDGKRKMSPWQRMKWTDHMVRLLIMAVFYIGDDVGSEGSDPIGKKKPGGLAQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQNLLDTMDLSPKMKEEVRKLLNSKHLFFREMCAYHNSCGHAPSWVAFGSNHSPEMATGPSPAHAQQHQRCSHSTENAQVVTNSRTETEGSKMAKRAINEEDEVEDDDDDDSDNDEDDCEDDGDEAIEGNSRHQNGHGQGDEDNRDEKSSRKRPRKDVFSATSPLMQQLNGEIMNVLQDVAKSSWEKKHWMRLRLMQLEEQQVSYQCQAYELEKQRLKWVKFSSKKEREMEGVKLENERRRLENERMVLIVRKKELELLDTQHQQQQQQQQQLPSNKRSDTSSIAG >Potri.011G073116.1.v4.1 pep chromosome:Pop_tri_v4:11:7270787:7271236:1 gene:Potri.011G073116.v4.1 transcript:Potri.011G073116.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073116.v4.1 MLMMFQLCQVVVMISFWSSITLLASDQLHPGEVRS >Potri.002G034800.9.v4.1 pep chromosome:Pop_tri_v4:2:2299950:2302487:1 gene:Potri.002G034800.v4.1 transcript:Potri.002G034800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034800.v4.1 MQGLVQGVRSCQETLRVAILRHPHVRDIIERRKILSHSGCVTSSPPVGEKGLENLTVADVLVTKGEEKLGSWLWCRTTDTVYDAVKNMAQNNIGSLVVLGERELIAGIITERDLSEEDNSTREII >Potri.002G034800.8.v4.1 pep chromosome:Pop_tri_v4:2:2299950:2302485:1 gene:Potri.002G034800.v4.1 transcript:Potri.002G034800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034800.v4.1 MQGLVQGVRSCQETLRVAILRHPHVRDIIERRKILSHSGCVTSSPPVGEKGLENLTVADVLVTKGEEKLGSWLWCRTTDTVYDAVKNMAQNNIGSLVVLGERELIAGIITERADLSEEDNSTREII >Potri.002G034800.12.v4.1 pep chromosome:Pop_tri_v4:2:2299950:2302485:1 gene:Potri.002G034800.v4.1 transcript:Potri.002G034800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034800.v4.1 MQGLVQGVRSCQETLRVAILRHPHVRDIIERRKILSHSGCVTSSPPVGEKGLENLTVADVLVTKGEEKLGSWLWCRTTDTVYDAVKNMAQNNIGSLVVLGERELIAGIITERDLSEEDNSTREII >Potri.002G034800.7.v4.1 pep chromosome:Pop_tri_v4:2:2299950:2302485:1 gene:Potri.002G034800.v4.1 transcript:Potri.002G034800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034800.v4.1 MQGLVQGVRSCQETLRVAILRHPHVRDIIERRKILSHSGCVTSSPPVGEKGLENLTVADVLVTKGEEKLGSWLWCRTTDTVYDAVKNMAQNNIGSLVVLGERELIAGIITERADLSEEDNSTREII >Potri.002G034800.11.v4.1 pep chromosome:Pop_tri_v4:2:2299950:2302485:1 gene:Potri.002G034800.v4.1 transcript:Potri.002G034800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034800.v4.1 MQGLVQGVRSCQETLRVAILRHPHVRDIIERRKILSHSGCVTSSPPVGEKGLENLTVADVLVTKGEEKLGSWLWCRTTDTVYDAVKNMAQNNIGSLVVLGERELIAGIITERDLSEEDNSTREII >Potri.002G034800.13.v4.1 pep chromosome:Pop_tri_v4:2:2300437:2301641:1 gene:Potri.002G034800.v4.1 transcript:Potri.002G034800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034800.v4.1 MQGLVQGVRSCQETLRVAILRHPHVRDIIERRKILSHSGCVTSSPPVGEKGLENLTVADVLVTKGEEKLGSWLWCRTTDTVYDAVKNMAQNNIGSLVVLGERELIAGIITERDLSEEDNSTREII >Potri.002G034800.10.v4.1 pep chromosome:Pop_tri_v4:2:2299950:2302487:1 gene:Potri.002G034800.v4.1 transcript:Potri.002G034800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034800.v4.1 MQGLVQGVRSCQETLRVAILRHPHVRDIIERRKILSHSGCVTSSPPVGEKGLENLTVADVLVTKGEEKLGSWLWCRTTDTVYDAVKNMAQNNIGSLVVLGERELIAGIITERDLSEEDNSTREII >Potri.001G202300.2.v4.1 pep chromosome:Pop_tri_v4:1:20241040:20244234:-1 gene:Potri.001G202300.v4.1 transcript:Potri.001G202300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G202300.v4.1 MILQEEGQGMQSKGIEREGDNAETGHLSERVQCMTLHKRGRSTKEVSRGKYGLWRQEQNTRAARLEKQLKTSWKIEELIEEQLNRFPSNYHSAVFPARLKDVPRLLMPKWLLPHELTTLAWLGDWRPSCILDLVHALVQSSSFSLSSSSSNSNGVERLLAQLTHEIRIEEAIINEEMAEIQATCIIHLPFAPVRNHRSGATALSCIQAEFKKIERVITKAQQLRFKALELAVKKVLRQSDAAEFLVAFAGIQDVIHQLAAKQKLPKGPAILPALAFGPA >Potri.018G042650.1.v4.1 pep chromosome:Pop_tri_v4:18:3388184:3403715:-1 gene:Potri.018G042650.v4.1 transcript:Potri.018G042650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G042650.v4.1 MAAFSCVSLQVNGSVLKPFASESFDRLKTSLSSTFKPFFNELHQLQMKIDVSQSMKNTSRKMLDAFVDSAFQFVDQPLLPSQSNLAPVEEIGEAVNVNSIEGEIPVDFPEGVYIRNGSNPLFGGLKSTVSSFGKTSQIWVEGEGMLHALYFRKNASGNWIVSYKNKFVESESFKIEKERNMPVFLPNLEGDSLAILASNLINALRLGTIAKHYQNVNIFLHSGKLYATGDNYLPQEVGISTLESLDYWDVNGAWDRPFTSHPKKAPGSGELVMLGFDGMKPYCVVGVISADGEKLLHKADLKFNRSVLNHDIGVTQNYNVIIDHPLIVDITRVIKGGQLMKYEEKETARIGVMPRYGSAESVKWFEVEPNCTFHIVNCFEDSNEVVVRGCKAVTSIIPGPDWGQDKFEWFSKGFKSDDADGLTENGYLLHRVHEWRLNVVTGEVKEKYLTGADCSMDFPFINEDVTGLKHKYGYTQVIDSLASSISGICKYGSVAKLHFNEEMSAFSKEGSNEQPVKAEYHKFPENTFCTGSTFVPKQGGVEEDDGLIITFVHNEERNVSQVYIIDAKKFESDPIAILTLPQRVPYGHHGVFVSMPNQA >Potri.015G137500.2.v4.1 pep chromosome:Pop_tri_v4:15:14588857:14589619:1 gene:Potri.015G137500.v4.1 transcript:Potri.015G137500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137500.v4.1 MYMDFSSSKKTMKISVVIADVASWNCAIVLLCLILLGSIREYSVPKDQPVKGSQLFDRPCDEIYVVGEGETLHTISDKCGDPFIVEQNPHIHDPDDVFPGLVIKITPSRPRKLLR >Potri.013G108700.1.v4.1 pep chromosome:Pop_tri_v4:13:11725187:11727263:1 gene:Potri.013G108700.v4.1 transcript:Potri.013G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108700.v4.1 MACIISSSAALSTRSLLSTDSIPTQSSRSIKPNSISWVSSFPSINISINNNPSSLNKNSFIQAAWTRRSRGELEKKPNKKSWKQRTEMYMKPFLLNVFFSRKFIQAKVMHRGTSKVISVATTNAKDLRHSLPSLTDHNACRIVGKLIAERSKEADVYAMSYEPRKDERIEGKLGIVIDTIKENGIIFV >Potri.007G104000.5.v4.1 pep chromosome:Pop_tri_v4:7:12736789:12741827:-1 gene:Potri.007G104000.v4.1 transcript:Potri.007G104000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104000.v4.1 MALGKYSRVDNRRQNSSYCSTVTITVFVGLCLVGVWMMTSSSVVPGQSVDAPAQENKNEVKQQVPESNEINPKQPEDSPGDLPEDATQGDSSTPEEKPEEKPNEIPEEKPDEIPEEKPDEKPEEKPEEKPEEKPEDKQEEQPEEKPEEKPEEKSNEDTKSDDGSTTETQNGGTNAEDGDTKINNGETNTKDGGTKPDDGESNAAGQGDSEENSTEKKPGTDETETKLVENTGEGEDGETGNDKIDEKVDQKDSKEADKSSDGQANNQSSGELLPSGAQSELLNETTTQSGSWSTQAAESKNEKETQKSSNQQGGYNWKLCNVTAGPDYIPCLDNWQKIRSLHSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWSTSREKIWYHNVPHTKLAQIKGHQNWVKVTGEFLTFPGGGTQFKHGALHYIDFINESVPDIAWGKQTRVILDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGLFVWSATPVYQKLAEDVEIWQAMTELTKAMCWELVSINKDTINGVGVATYRKPTSNDCYEKRSKQEPPLCEASDDPNAAWNVPLQACMHKVPVDSLERGSQWPEQWPARLGKTPYWMLSSQVGVYGKPAPEDFTADYEHWKRVVSNSYLNGIGINWSSVRNAMDMRSVYGGFAAALKELNVWVMNVITVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKVKKRCSMVAVFAEVDRILRPEGKLIVRDNVETMNELENMARSMQWEVRMTYSKDKEGLLCVQKSKWRPRESETLTYAIA >Potri.007G104000.1.v4.1 pep chromosome:Pop_tri_v4:7:12736698:12742976:-1 gene:Potri.007G104000.v4.1 transcript:Potri.007G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104000.v4.1 MALGKYSRVDNRRQNSSYCSTVTITVFVGLCLVGVWMMTSSSVVPGQSVDAPAQENKNEVKQQVPESNEINPKQPEDSPGDLPEDATQGDSSTPEEKPEEKPNEIPEEKPDEIPEEKPDEKPEEKPEEKPEEKPEDKQEEQPEEKPEEKPEEKSNEDTKSDDGSTTETQNGGTNAEDGDTKINNGETNTKDGGTKPDDGESNAAGQGDSEENSTEKKPGTDETETKLVENTGEGEDGETGNDKIDEKVDQKDSKEADKSSDGQANNQSSGELLPSGAQSELLNETTTQSGSWSTQAAESKNEKETQKSSNQQGGYNWKLCNVTAGPDYIPCLDNWQKIRSLHSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWSTSREKIWYHNVPHTKLAQIKGHQNWVKVTGEFLTFPGGGTQFKHGALHYIDFINESVPDIAWGKQTRVILDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGLFVWSATPVYQKLAEDVEIWQAMTELTKAMCWELVSINKDTINGVGVATYRKPTSNDCYEKRSKQEPPLCEASDDPNAAWNVPLQACMHKVPVDSLERGSQWPEQWPARLGKTPYWMLSSQVGVYGKPAPEDFTADYEHWKRVVSNSYLNGIGINWSSVRNAMDMRSVYGGFAAALKELNVWVMNVITVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKVKKRCSMVAVFAEVDRILRPEGKLIVRDNVETMNELENMARSMQWEVRMTYSKDKEGLLCVQKSKWRPRESETLTYAIA >Potri.007G104000.4.v4.1 pep chromosome:Pop_tri_v4:7:12736935:12742895:-1 gene:Potri.007G104000.v4.1 transcript:Potri.007G104000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104000.v4.1 MALGKYSRVDNRRQNSSYCSTVTITVFVGLCLVGVWMMTSSSVVPGQSVDAPAQENKNEVKQQVPESNEINPKQPEDSPGDLPEDATQGDSSTPEEKPEEKPNEIPEEKPDEIPEEKPDEKPEEKPEEKPEEKPEDKQEEQPEEKPEEKPEEKSNEDTKSDDGSTTETQNGGTNAEDGDTKINNGETNTKDGGTKPDDGESNAAGQGDSEENSTEKKPGTDETETKLVENTGEGEDGETGNDKIDEKVDQKDSKEADKSSDGQANNQSSGELLPSGAQSELLNETTTQSGSWSTQAAESKNEKETQKSSNQQGGYNWKLCNVTAGPDYIPCLDNWQKIRSLHSTKHYEHRERHCPEEPPTCLVPLPEGYKRPIEWSTSREKIWYHNVPHTKLAQIKGHQNWVKVTGEFLTFPGGGTQFKHGALHYIDFINESVPDIAWGKQTRVILDVGCGVASFGGYLFDRDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGTKRLPYPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGLFVWSATPVYQKLAEDVEIWQAMTELTKAMCWELVSINKDTINGVGVATYRKPTSNDCYEKRSKQEPPLCEASDDPNAAWNVPLQACMHKVPVDSLERGSQWPEQWPARLGKTPYWMLSSQVGVYGKPAPEDFTADYEHWKRVVSNSYLNGIGINWSSVRNAMDMRSVYGGFAAALKELNVWVMNVITVDSPDTLPIIYERGLFGIYHDWCESFSTYPRSYDLLHADHLFSKVKKRCSMVAVFAEVDRILRPEGKLIVRDNVETMNELENMARSMQWEVRMTYSKDKEGLLCVQKSKWRPRESETLTYAIA >Potri.005G129800.2.v4.1 pep chromosome:Pop_tri_v4:5:9960133:9972777:-1 gene:Potri.005G129800.v4.1 transcript:Potri.005G129800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129800.v4.1 MDDGRVNDLLLNVEESRIERQCEGLGTVDKLHISEGGTSYSDCKVESQRLSCDSQDFGEDDINVQNYYTEPNAASENSNLIVDTIESEPNSCRYGEPSLLEPNWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLRAKPTHDRKQYFVIFFPHTRNYSWADMLLVQPINGFPEPIAYKTHKIGLKMVKDMSVARRFIMKKLAVAMVNIVDQFHSEALVDPARDVMVWKEFAMEASRCSAYSDLGRMLLKLQNMILQQYISSDWLQNSFQSWVQQCQVACSAESIELLREELYNSILWNEVDSLHDAPVQSTLGSEWKTWKHEAMKWFSTSQPVTSGGDMEQQNCDNLSPSTISLQATRKRPKLEVRRAETHASQVESSSPLQTTNVEIDSEFFSNRDTVNAHTLESELSKEDGFGEVAAPLESPCSMADRWDGIVVEAGNPELVQNKGVEMTPVNEVLAKESIEPGSKNRQCTAFIESKGRQCVRWANDGDVYCCVHLASRFAGSSTRGEASPVHSPMCEGTTVLGTRCKHRSLPGTTFCKKHRPWPDAEKTSNLPENPLKRKHEEIFPSSDTTYCKEMVLSGQVENPLRVQPVSAMDGDAFHGRKSLPEKLEHPGHDCNSSKMLHCIGSSSLDSSILCPESPKRYSLYCDKHIPSWLKRARNGRSRIISKEVFIDLLKDCRSPQQKLHLHQACELFYKLFKSIFSLRNPVPMEVQLQWALSEASKDFNVGELLLKLVFTEKERLKKLWGFAVEEDLQVSSVMEEPAIFPLAVNCSHDDENSIRCKICSKEFLDDKELGNHWMDNHKKEAQWHFRGHACAICLDSFTDRKSLETHVQERHHVEFVEQCMLFQCIPCASHFGNTDQLWLHVLSVHPADFRLPKGAQQLNPSMGEEKEDSLQKLELQNAASMENHTENLGGVRKYICKFCGLKFDLLPDLGRHHQAAHMGPNLFSSRPPKRGVRYYAYRLKSGRLSRPKFKKGLGAATYSSIRNRMTSGLKKRIQASKSLSSQGLSIQSNLTEAGALGRLAESQCSAVAKILFSEVQKTKPRPNNLDILAIARSACCKVSLKASLEGKYGVLPERFYLKAAKLCSEHNIQVQWHQEEFSCSRGCKSFKDPGLFSPLMALPNGFKGKQMIHSSDHTNSECEVDECHYIIDVHDVTEGPKQKATVLCTDISFGKETIPVACVVDEDLLDSLHVLADGYDGQISKFPKPWDTFTYVTGPVHDQCDSLDIEGLQLRCSCQYSMCCPETCDHVYLFDNDYEDAKDIYGKSMLGRFPYDYKGRLVLEEGYLVYECNSMCNCNKTCPNRVLQNGIRVKLEVFNTDNKGWAVRAGEPILRGTFICEYTGEILNEQEASNRRDRYGKEVCSYMYKIDAHTNDMSRMVEGQAHYFIDATKYGNVSRFINHSCMPNLVNHQVLVDSMDSQRAHIGLYASQDIAFGEELTYNYRYELLPGEGYPCHCGASKCRGRLY >Potri.005G129800.6.v4.1 pep chromosome:Pop_tri_v4:5:9964264:9972783:-1 gene:Potri.005G129800.v4.1 transcript:Potri.005G129800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129800.v4.1 MDDGRVNDLLLNVEESRIERQCEGLGTVDKLHISEGGTSYSDCKVESQRLSCDSQDFGEDDINVQNYYTEPNAASENSNLIVDTIESEPNSCRYGEPSLLEPNWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLRAKPTHDRKQYFVIFFPHTRNYSWADMLLVQPINGFPEPIAYKTHKIGLKMVKDMSVARRFIMKKLAVAMVNIVDQFHSEALVDPARDVMVWKEFAMEASRCSAYSDLGRMLLKLQNMILQQYISSDWLQNSFQSWVQQCQVACSAESIELLREELYNSILWNEVDSLHDAPVQSTLGSEWKTWKHEAMKWFSTSQPVTSGGDMEQQNCDNLSPSTISLQATRKRPKLEVRRAETHASQVESSSPLQTTNVEIDSEFFSNRDTVNAHTLESELSKEDGFGEVAAPLESPCSMADRWDGIVVEAGNPELVQNKGVEMTPVNEVLAKESIEPGSKNRQCTAFIESKGRQCVRWANDGDVYCCVHLASRFAGSSTRGEASPVHSPMCEGTTVLGTRCKHRSLPGTTFCKKHRPWPDAEKTSNLPENPLKRKHEEIFPSSDTTYCKEMVLSGQVENPLRVQPVSAMDGDAFHGRKSLPEKLEHPGHDCNSSKMLHCIGSSSLDSSILCPESPKRYSLYCDKHIPSWLKRARNGRSRIISKEVFIDLLKDCRSPQQKLHLHQACELFYKLFKSIFSLRNPVPMEVQLQWALSEASKDFNVGELLLKLVFTEKERLKKLWGFAVEEDLQVSSVMEEPAIFPLAVNCSHDDENSIRCKICSKEFLDDKELGNHWMDNHKKEAQWHFRGHACAICLDSFTDRKSLETHVQERHHVEFVEQCMLFQCIPCASHFGNTDQLWLHVLSVHPADFRLPKGAQQLNPSMGEEKEDSLQKLELQNAASMENHTENLGGVRKYICKFCGLKFDLLPDLGRHHQAAHMGPNLFSSRPPKRGVRYYAYRLKSGRLSRPKFKKGLGAATYSSIRNRMTSGLKKRIQASKSLSSQGLSIQSNLTEAGALGRLAESQCSAVAKILFSEVQKTKPRPNNLDILAIARSACCKVSLKASLEGKYGVLPERFYLKAAKLCSEHNIQVQWHQEEFSCSRGCKSFKDPGLFSPLMALPNGFKGKQMIHSSDHTNSECEVDECHYIIDVHDVTEGPKQKATVLCTDISFGKETIPVACVVDEDLLDSLHVLADGYDGQISKFPKPWDTFTYVTGPVHDQCDSLDIEVSFGWVSNFVF >Potri.005G129800.5.v4.1 pep chromosome:Pop_tri_v4:5:9960152:9972779:-1 gene:Potri.005G129800.v4.1 transcript:Potri.005G129800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129800.v4.1 MDDGRVNDLLLNVEESRIERQCEGLGTVDKLHISEGGTSYSDCKVESQRLSCDSQDFGEDDINVQNYYTEPNAASENSNLIVDTIESEPNSCRYGEPSLLEPNWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLRAKPTHDRKQYFVIFFPHTRNYSWADMLLVQPINGFPEPIAYKTHKIGLKMVKDMSVARRFIMKKLAVAMVNIVDQFHSEALVDPARDVMVWKEFAMEASRCSAYSDLGRMLLKLQNMILQQYISSDWLQNSFQSWVQQCQVACSAESIELLREELYNSILWNEVDSLHDAPVQSTLGSEWKTWKHEAMKWFSTSQPVTSGGDMEQQNCDNLSPSTISLQATRKRPKLEVRRAETHASQVESSSPLQTTNVEIDSEFFSNRDTVNAHTLESELSKEDGFGEVAAPLESPCSMADRWDGIVVEAGNPELVQNKGVEMTPVNEVLAKESIEPGSKNRQCTAFIESKGRQCVRWANDGDVYCCVHLASRFAGSSTRGEASPVHSPMCEGTTVLGTRCKHRSLPGTTFCKKHRPWPDAEKTSNLPENPLKRKHEEIFPSSDTTYCKEMVLSGQVENPLRVQPVSAMDGDAFHGRKSLPEKLEHPGHDCNSSKMLHCIGSSSLDSSILCPESPKRYSLYCDKHIPSWLKRARNGRSRIISKEVFIDLLKDCRSPQQKLHLHQACELFYKLFKSIFSLRNPVPMEVQLQWALSEASKDFNVGELLLKLVFTEKERLKKLWGFAVEEDLQVSSVMEEPAIFPLAVNCSHDDENSIRCKICSKEFLDDKELGNHWMDNHKKEAQWHFRGHACAICLDSFTDRKSLETHVQERHHVEFVEQCMLFQCIPCASHFGNTDQLWLHVLSVHPADFRLPKGAQQLNPSMGEEKEDSLQKLELQNAASMENHTENLGGVRKYICKFCGLKFDLLPDLGRHHQAAHMGPNLFSSRPPKRGVRYYAYRLKSGRLSRPKFKKGLGAATYSSIRNRMTSGLKKRIQASKSLSSQGLSIQSNLTEAGALGRLAESQCSAVAKILFSEVQKTKPRPNNLDILAIARSACCKVSLKASLEGKYGVLPERFYLKAAKLCSEHNIQVQWHQEEFSCSRGCKSFKDPGLFSPLMALPNGFKGKQMIHSSDHTNSECEVDECHYIIDVHDVTEGPKQKATVLCTDISFGKETIPVACVVDEDLLDSLHVLADGYDGQISKFPKPWDTFTYVTGPVHDQCDSLDIEGLQLRCSCQYSMCCPETCDHVYLFDNDYEDAKDIYGKSMLGRFPYDYKGRLVLEEGYLVYECNSMCNCNKTCPNRVLQNGIRVKLEVFNTDNKGWAVRAGEPILRGTFICEYTGEILNEQEASNRRDRYGKEVCSYMYKIDAHTNDMSRMVEGQAHYFIDATKYGNVSRFINHSCMPNLVNHQVLVDSMDSQRAHIGLYASQDIAFGEELTYNYRYELLPGEGYPCHCGASKCRGRLY >Potri.005G129800.4.v4.1 pep chromosome:Pop_tri_v4:5:9960128:9969799:-1 gene:Potri.005G129800.v4.1 transcript:Potri.005G129800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129800.v4.1 MDDGRVNDLLLNVEESRIERQCEGLGTVDKLHISEGGTSYSDCKVESQRLSCDSQDFGEDDINVQNYYTEPNAASENSNLIVDTIESEPNSCRYGEPSLLEPNWLEHDESVALWVKWRGKWQAGIRCARADWPLSTLRAKPTHDRKQYFVIFFPHTRNYSWADMLLVQPINGFPEPIAYKTHKIGLKMVKDMSVARRFIMKKLAVAMVNIVDQFHSEALVDPARDVMVWKEFAMEASRCSAYSDLGRMLLKLQNMILQQYISSDWLQNSFQSWVQQCQVACSAESIELLREELYNSILWNEVDSLHDAPVQSTLGSEWKTWKHEAMKWFSTSQPVTSGGDMEQQNCDNLSPSTISLQATRKRPKLEVRRAETHASQVESSSPLQTTNVEIDSEFFSNRDTVNAHTLESELSKEDGFGEVAAPLESPCSMADRWDGIVVEAGNPELVQNKGVEMTPVNEVLAKESIEPGSKNRQCTAFIESKGRQCVRWANDGDVYCCVHLASRFAGSSTRGEASPVHSPMCEGTTVLGTRCKHRSLPGTTFCKKHRPWPDAEKTSNLPENPLKRKHEEIFPSSDTTYCKEMVLSGQVENPLRVQPVSAMDGDAFHGRKSLPEKLEHPGHDCNSSKMLHCIGSSSLDSSILCPESPKRYSLYCDKHIPSWLKRARNGRSRIISKEVFIDLLKDCRSPQQKLHLHQACELFYKLFKSIFSLRNPVPMEVQLQWALSEASKDFNVGELLLKLVFTEKERLKKLWGFAVEEDLQVSSVMEEPAIFPLAVNCSHDDENSIRCKICSKEFLDDKELGNHWMDNHKKEAQWHFRGHACAICLDSFTDRKSLETHVQERHHVEFVEQCMLFQCIPCASHFGNTDQLWLHVLSVHPADFRLPKGAQQLNPSMGEEKEDSLQKLELQNAASMENHTENLGGVRKYICKFCGLKFDLLPDLGRHHQAAHMGPNLFSSRPPKRGVRYYAYRLKSGRLSRPKFKKGLGAATYSSIRNRMTSGLKKRIQASKSLSSQGLSIQSNLTEAGALGRLAESQCSAVAKILFSEVQKTKPRPNNLDILAIARSACCKVSLKASLEGKYGVLPERFYLKAAKLCSEHNIQVQWHQEEFSCSRGCKSFKDPGLFSPLMALPNGFKGKQMIHSSDHTNSECEVDECHYIIDVHDVTEGPKQKATVLCTDISFGKETIPVACVVDEDLLDSLHVLADGYDGQISKFPKPWDTFTYVTGPVHDQCDSLDIEGLQLRCSCQYSMCCPETCDHVYLFDNDYEDAKDIYGKSMLGRFPYDYKGRLVLEEGYLVYECNSMCNCNKTCPNRVLQNGIRVKLEVFNTDNKGWAVRAGEPILRGTFICEYTGEILNEQEASNRRDRYGKEVCSYMYKIDAHTNDMSRMVEGQAHYFIDATKYGNVSRFINHSCMPNLVNHQVLVDSMDSQRAHIGLYASQDIAFGEELTYNYRYELLPGEGYPCHCGASKCRGRLY >Potri.005G023201.1.v4.1 pep chromosome:Pop_tri_v4:5:1480118:1481104:-1 gene:Potri.005G023201.v4.1 transcript:Potri.005G023201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023201.v4.1 MGSLHGLIPFCLVLVLVLSSNHLIAKAHKNSTNQIKVEADFKIIAELAGKNAIPNADFQGLAKLALTKAISNGNAIYHRVNSLLLKTSDMYTTRSLTGCSTNYKDAVGLINKSLAALDAKNYNDAKTCITDALANSTKCEDRFEELLQRNSPFTFMKAKFGLLCLSGLKHINLLVQNERLITEGCSQTLDKELCKSTVVFFLENKGLGLHGLAKLAVQKALQDGTRIHNHISVLLKTTSDQCVLKKLKSCSAFYLTAIEKIKESLPALDCNRYGDASTGVGAAIDSAETCEGVFAGKSNTISPLTPMKIEFSKQVSISLVVIKKLAGN >Potri.014G059200.1.v4.1 pep chromosome:Pop_tri_v4:14:3807531:3809493:1 gene:Potri.014G059200.v4.1 transcript:Potri.014G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059200.v4.1 MKPESKKNRNKSTNKSEGSTASPSCYDLTALSQALDDQERVNKNKNKDKSSFDLSNLSEALNNVAKEGNRKEIKRLGVLRSIAQSQEEVKTRQMRARRQRYICETWSTREMAGGRVHGGRSFFPIPIFSVGGTRRGRVAHWSLRGFYRTENTVEEALDDIS >Potri.015G125201.1.v4.1 pep chromosome:Pop_tri_v4:15:13787474:13788212:-1 gene:Potri.015G125201.v4.1 transcript:Potri.015G125201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125201.v4.1 MLHSLQLFFACSNSVNVDVKQQGWLIWGGCELKLLHKWAFEDEKLIHGKPSVTDNTVSTYSR >Potri.004G062500.3.v4.1 pep chromosome:Pop_tri_v4:4:5284273:5287939:-1 gene:Potri.004G062500.v4.1 transcript:Potri.004G062500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062500.v4.1 MIRPEESDTGLFEEGNDEKREETKITHQKLGNYFYYDSPHYEETGVWIPVSVPPMIESNREEWTARGGFHSNGGYFPEGDMGWSQYIGEDKELTMWDVIVEMLLAARGKVNAIASGDLQGCGISWLSSHLLEQAWQEMAQTLTEANFGNVSEILEQDPPKWLPDSTAAACMLCGVRFHPIMCSRHHCRFCGGIFCGDCSRGRSLLPVKFRVTDPQRVCDVCCVRLESVQTYLMDQVSNAAQLPTHDLTDLSTLRSWVNFPWGQTMEYEIYKAANTIQGYHKVGYLKPEKSIPDAILRRAKGLAIITIVKVGVMVTYNIGTGLVIARREDGSWSPPSAISTLGLGWGAQAGGELTDFIIVLRTTEAVKTFCGNAHLSFGAGVSAAVGIAGRAFEADLRAGDGGYAACYTYSCSKGAFVGCSLEGSVVTTRSKENSRFYGSQSLSASDILLGSLPRPPAAAILYRALADLYQKFER >Potri.004G062500.1.v4.1 pep chromosome:Pop_tri_v4:4:5284275:5287968:-1 gene:Potri.004G062500.v4.1 transcript:Potri.004G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062500.v4.1 MEGSPVNSENTHNLDSRVSDFHRPTNSPPSDNGKIGSQKMIRPEESDTGLFEEGNDEKREETKITHQKLGNYFYYDSPHYEETGVWIPVSVPPMIESNREEWTARGGFHSNGGYFPEGDMGWSQYIGEDKELTMWDVIVEMLLAARGKVNAIASGDLQGCGISWLSSHLLEQAWQEMAQTLTEANFGNVSEILEQDPPKWLPDSTAAACMLCGVRFHPIMCSRHHCRFCGGIFCGDCSRGRSLLPVKFRVTDPQRVCDVCCVRLESVQTYLMDQVSNAAQLPTHDLTDLSTLRSWVNFPWGQTMEYEIYKAANTIQGYHKVGYLKPEKSIPDAILRRAKGLAIITIVKVGVMVTYNIGTGLVIARREDGSWSPPSAISTLGLGWGAQAGGELTDFIIVLRTTEAVKTFCGNAHLSFGAGVSAAVGIAGRAFEADLRAGDGGYAACYTYSCSKGAFVGCSLEGSVVTTRSKENSRFYGSQSLSASDILLGSLPRPPAAAILYRALADLYQKFER >Potri.010G093700.3.v4.1 pep chromosome:Pop_tri_v4:10:11796383:11801092:-1 gene:Potri.010G093700.v4.1 transcript:Potri.010G093700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093700.v4.1 MGNCLDSSSAKVDSTQSSHNPGASRISSRTSHSSVPSSLTIPSYSGRSSECLPTPRSEGEILSSPNLKAFSFNELKNATRNFRPDSLLGEGGFGYVFKGWIDEHTLTAAKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAIRIKVAIGAARGLSFLHDAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLEFLSGRRAVDKSKVGVEQNLVDWVKPYLGDKRKLFRIMDTKLGGQYPQKGAFMAANLALQCLSTEAKVRPRMSEVLATLEQIESPKGAVKNIQLDHQTVQTPVRQSPMRHHRSPVIPTASASPLPSHHQSPRLR >Potri.010G093700.2.v4.1 pep chromosome:Pop_tri_v4:10:11796383:11801532:-1 gene:Potri.010G093700.v4.1 transcript:Potri.010G093700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093700.v4.1 MGNCLDSSSAKVDSTQSSHNPGASRISSRTSHSSVPSSLTIPSYSGRSSECLPTPRSEGEILSSPNLKAFSFNELKNATRNFRPDSLLGEGGFGYVFKGWIDEHTLTAAKPGSGMVVAVKKLKPEGFQGHKEWLTEVNYLGQLHHPNLVKLIGYCLEGENRLLVYEFMPKGSLENHLFRRGPQPLSWAIRIKVAIGAARGLSFLHDAKSQVIYRDFKASNILLDAEFNAKLSDFGLAKAGPTGDRTHVSTQVMGTHGYAAPEYVATGRLTAKSDVYSFGVVLLEFLSGRRAVDKSKVGVEQNLVDWVKPYLGDKRKLFRIMDTKLGGQYPQKGAFMAANLALQCLSTEAKVRPRMSEVLATLEQIESPKGAVKNIQLDHQTVQTPVRQSPMRHHRSPVIPTASASPLPSHHQSPRLR >Potri.018G021400.1.v4.1 pep chromosome:Pop_tri_v4:18:1553201:1554443:1 gene:Potri.018G021400.v4.1 transcript:Potri.018G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021400.v4.1 MESLVRRESSITAPQAPPLQSSEENEQELLDEVNDQKEEARQTLQSDLELTTSDSTQWFNRELNLIDNFRSMDSSETSPETPQGTDGEQRVFSCNYCQRKFYSSQALGGHQNAHKRERTLAKRGQRLIRSQLEASMAAYGHPYFHHHHHSSMASLPLHGRSLGIQVHSMIHKPSHLSSSTGFGNVYGHGSWSRPHIDQQPGIGKLSMENFYMNATTPSRASVGRFNLERTSTVGSPADPGIGRWIGNGHLKTNQDDRIHKLDLSLKL >Potri.005G209000.3.v4.1 pep chromosome:Pop_tri_v4:5:21319687:21326645:1 gene:Potri.005G209000.v4.1 transcript:Potri.005G209000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209000.v4.1 MPGGNSAAAGGKTGVRIVVVGDRGTGKSSLIAAAATESFPENLSPVLPPTRLPADFFPDRVPITIIDTSASLESRGKLNEELKRADVIILTYACDFPLTLTRLSSFWLQEFRRLEVKVPVIVVGCKVDLRDENQPISLEPVMGPIMQQYREIETCIECSAVTLMQVPDVFYYAQKAVLHPTAPLFDQDTQALQPRCIRALRRIFILCDSDMDGALNDAELNDFQVKCFDAPLQPAEIVGVRRVVQEKKKEGVNDLGLTLEGFLFLHSLFIDKGRLETTWAVLRKFGYGNDLKLRDDFLPAPSKDAPDQSVELTIEAVEFVRRVFRLFDTDNYGALRPTELDELFSTAPENPWGEAPYKDAAERTTQGNLTLKGFLSEWALMTMLDPRGSLANLLYIGYGGNPASALHVTRRRSVDRKKQQTERNVFHCLVFGPKNAGKSTLLNSFLGRPFSESHELIAGERYAVNVVDQHGLSLQGNKKTLILREIPEDGVKKFLSNKESLSSSDVAVFVYDSSDEYSWKRSNELLVEVARHGEESGYGVPSLIIAAKDDLDPHPMSVQKSVRVCQELGIGASIPISSKLGDMNNVFCRILSAAEHPHLNIPETVAGRKRKQFHQLVNHSLLFMSVGAAFAVAGMAAFRAHSGRRNSPS >Potri.005G209000.1.v4.1 pep chromosome:Pop_tri_v4:5:21319726:21326589:1 gene:Potri.005G209000.v4.1 transcript:Potri.005G209000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209000.v4.1 MPGGNSAAAGGKTGVRIVVVGDRGTGKSSLIAAAATESFPENLSPVLPPTRLPADFFPDRVPITIIDTSASLESRGKLNEELKRADVIILTYACDFPLTLTRLSSFWLQEFRRLEVKVPVIVVGCKVDLRDENQPISLEPVMGPIMQQYREIETCIECSAVTLMQVPDVFYYAQKAVLHPTAPLFDQDTQALQPRCIRALRRIFILCDSDMDGALNDAELNDFQVKCFDAPLQPAEIVGVRRVVQEKKKEGVNDLGLTLEGFLFLHSLFIDKGRLETTWAVLRKFGYGNDLKLRDDFLPAPSKDAPDQSVELTIEAVEFVRRVFRLFDTDNYGALRPTELDELFSTAPENPWGEAPYKDAAERTTQGNLTLKGFLSEWALMTMLDPRGSLANLLYIGYGGNPASALHVTRRRSVDRKKQQTERNVFHCLVFGPKNAGKSTLLNSFLGRPFSESHELIAGERYAVNVVDQHGLSLQGNKKTLILREIPEDGVKKFLSNKESLSSSDVAVFVYDSSDEYSWKRSNELLVEVARHGEESGYGVPSLIIAAKDDLDPHPMSVQKSVCQELGIGASIPISSKLGDMNNVFCRILSAAEHPHLNIPETVAGRKRKQFHQLVNHSLLFMSVGAAFAVAGMAAFRAHSGRRNSPS >Potri.004G120600.1.v4.1 pep chromosome:Pop_tri_v4:4:11469862:11472832:-1 gene:Potri.004G120600.v4.1 transcript:Potri.004G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120600.v4.1 MAQTEKLQNIETQQQHNNISMFNNFPKDLLPRFISGNHCNHKLNGEEDVELSLGLSLNGRFGVDPKAKKITRSRPSSIATDFVNPLRENGNGSSFVVPNMESNNLSRTCSLPTETEEEWRKRKEMQTLRRMEAKRKRSEKQNFKAVKDKNRGEEDDQRENGTTGNHHQKEFLKSFNGLFGVAIEGLLKQAEVAPPPLLLTSQGTASSGITEFESQPVKGMNKCVESRSPVSVQSLSECERKSIIAPRLALAEKLGFPAGVAMEKNRSNKLIVAENGTKEAVRNVLEDTPCVSTKGDGPNGKRIEGFLYQYRKGEELRIVCVCHGSFLSAAEFVKHAGDGDGDVEQPLKHIVVNPPPLL >Potri.009G031300.1.v4.1 pep chromosome:Pop_tri_v4:9:4223873:4227360:1 gene:Potri.009G031300.v4.1 transcript:Potri.009G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031300.v4.1 MGICLSAQIKAESSCSTGLSSKNASTYGTDLSSTSSKVSSLSVPPTPRSEGEILQSSNLKSFSFSDLKMATRNFRPDSVLGEGGFGSVFKGWIDEQTFSAAKPGTGMVIAVKRLNQDGFQGHKEWLAEVNYLGQLYNPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVSLGAAKGLAFLHSAETKVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKRKIFRILDSRLEGQYSMDVAYKVSTLALRCLSIETKFRPTMDEVVTALEQLQDSKETGTANGHVGNKPRIRRRSANDATGGGSIAAYPRPSASPLYA >Potri.009G031300.5.v4.1 pep chromosome:Pop_tri_v4:9:4224091:4227284:1 gene:Potri.009G031300.v4.1 transcript:Potri.009G031300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031300.v4.1 MGICLSAQIKAESSCSTGLSSKNASTYGTDLSSTSSKVSSLSVPPTPRSEGEILQSSNLKSFSFSDLKMATRNFRPDSVLGEGGFGSVFKGWIDEQTFSAAKPGTGMVIAVKRLNQDGFQGHKEWLAEVNYLGQLYNPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVSLGAAKGLAFLHSAETKVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKRKIFRILDSRLEGQYSMDVAYKVSTLALRCLSIETKFRPTMDEVVTALEQLQDSKETGTANGHVGNKPRIRRRSANDATGGGSIAAYPRPSASPLYA >Potri.009G031300.4.v4.1 pep chromosome:Pop_tri_v4:9:4223880:4227319:1 gene:Potri.009G031300.v4.1 transcript:Potri.009G031300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031300.v4.1 MGICLSAQIKAESSCSTGLSSKNASTYGTDLSSTSSKVSSLSVPPTPRSEGEILQSSNLKSFSFSDLKMATRNFRPDSVLGEGGFGSVFKGWIDEQTFSAAKPGTGMVIAVKRLNQDGFQGHKEWLAEVNYLGQLYNPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRMKVSLGAAKGLAFLHSAETKVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVMGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAVDKNRPSGEHNLVEWAKPYLANKRKIFRILDSRLEGQYSMDVAYKVSTLALRCLSIETKFRPTMDEVVTALEQLQDSKETGTANGHVGNKPRIRRRSANDATGGGSIAAYPRPSASPLYA >Potri.013G027800.1.v4.1 pep chromosome:Pop_tri_v4:13:1804611:1812556:-1 gene:Potri.013G027800.v4.1 transcript:Potri.013G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027800.v4.1 MEKECMEEGLANTKRPLLLGERNFINSDKPKGDSSFTPVLFLSAIVALCGNFCFGFAAGYTSTAEFEMMEDLGMSIAAYSFFGSIMTIGAAIGAILSGKMADFVGRKRTMWLSQIFCIMGWLGIAFAKNVWGVNIGRASIGFAVGLIAYVVPVYIAEITPKNIRGRFVVTLQLMNCSGLLVVFFLGNFFSWRTVSLLAIIPCLMQVVGLVFIPESPRWLASIGKEIEFEDALRRLRGVDAGFSQEAIEIKDATENFQRSEAGFQGLFQKKYAYPVMIGVGLMLLQQLGGNSVFAAYLSTVFAKANVSTTIGPTAIAFLQMPAAVLGVFLMDAFGRRALLMVSSVASCLCLSIMGLSFYLQEHQYAKEFTPLMVFLGVLGFSYAFAIGMSGIPWVIMSEIFPINIKASAGSLVTLVNWSCSWLVTFAFNFMLEWSSAGTFFFFASMSAMAFLFTWIMVPETKGRSLEEIQATLLTYVY >Potri.T013522.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:302620:303220:-1 gene:Potri.T013522.v4.1 transcript:Potri.T013522.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013522.v4.1 MEAMEMLNVALLRTDASPTLRPTGSQVTSMFGGRTPVPDLPSDPGISAIGYKKPFLAESKPNL >Potri.001G095600.1.v4.1 pep chromosome:Pop_tri_v4:1:7571578:7578924:1 gene:Potri.001G095600.v4.1 transcript:Potri.001G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095600.v4.1 MKEGPWTVFCDDSESSCNAAKKCISSLISPYSCSHHILIISVDILLLLIVLSIFICKSVSRKIAAQSQSQPPSPVINVSAIFNGILGLVYLGWGIWMISEKLGRDQTILPLHGWLVILFQGFTWLLVNLLVSLKKVPSPQIAAVKFCLIITFLFSGFLCFSSIWGAISDKTLSVPMLLDILSFPGAFLFLFCGFKRQSYESTDLDISDGASYEPLPGEEDNANGEISSNHNITPFANAGFFSQMSFWWLNPLMKKGKEKILEDGDIPQLREADRAKTCYLMYMGQLGTRKQNGLSDSISMLSVIISWHWKEILISGFFALIKVLSLATGPLFLKAFIDVAEGKAAFEYEGYVLTAGLFLAKVLESLSERHWRFRTRLIGIQVRSMLSAAIYQKQLRLSNAAKMIHSSGEIVSYVTVDAYRIGEFPFWFHQIWATSIQLCLALAIVYYSIGLATLAALVTVILLVLSSYPLIKLQHKYLTKLMVAQDRRLKAITEALANMKILKLYAWETHFKNVVDGLRKEEFQWISGVLWQKGYHMVLFWSSPVMVPAITFWACYLLGIPVSASSVFTFLACLRIVQEPIRLIPDVAGVFIEAKVSLDRIVKFLEAPELRNSITRQKLNGKELDQSILIRTTEISWGIDSSSKATLRNINVVVKPGEKVAICGEVGSGKSTLLAAVLGEVPKITGIVHVFGKIAYVSQTAWIQTGTIQENILFGAAMEPIRYQEVLERCSLVKDIEILPFGDLTEIGERGVNLSGGQKQRVQLARALYQDADVYLLDDPFSAVDAHTATILFNDYVIGALSGKTVLLVTHQIDFLPAFNSILLMSGGEIIRSDTYSQLMASSQEFQDLVNAHKNTAGSDTQVEYDSSKRAETSKTEEIQKVHSKEKLRAPSGDQLIKREERESGDTGFKPYIQYLSQRKGFLYFSLAIITHIIFIVGQVIQSYWLAANIQNSHVSRVTMFTVYSVIGCSLAVFLLLRSFFIVQLGCGASESIFSTLLTSLFRAPMSFYDSTPLGRILSRVSSDLSVTDLEVAFRLTIAIGSTMNTYFNFAVLAFLTWPVLFVIIPMIYLNIVLQRYYFASAKELMRINGTSKSSVASHLAESIAGAMTIRAFGEEARFFSKNLDLIDRNASPYFHTFTADEWLIQRLELLCAIVLSSSTLTMILLHLTASASGFIGMELSYGLSLNVFLVFSAQYQCSVSNSIISVERLEQYMHIPSEAPEVIETNRPSTNWPAVGKVEIFNLKVRYRPNAPLVLQGITCTIEGRHKIGIVGRTGSGKTTFISALFRLVEPTEGKIVIDGLDISTIGLHDLRSHFAVIPQDPTLFVGSVRYNLDPLSKHTDQEIWEVLEKCHLREAIQEKEEGLNSLVAQDGSNWSMGQRQLFCLGRALLKRSRILVLDEATASIDNATDSLLQKTIRAEFADCTVITVAHRIPTVMDCTMVLAISDGKLVEYDEPLKLMNKEGSLFGQLVKEYWSRTANSRD >Potri.012G061333.1.v4.1 pep chromosome:Pop_tri_v4:12:6294142:6294974:-1 gene:Potri.012G061333.v4.1 transcript:Potri.012G061333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061333.v4.1 MLTSEFEEMRMLEDESFDEFYAKINGIVNSKFNLGDKVGDVTAIEESNVLNTIHVEELVGSLQTYESTFLYQKKGKSITLKSSREKHDYSSNNDINNEDIALIAKKFRKFKLKKKKTNKGKKVNDFVKRNESENESKIKIESKEKVKCFECSGYDHLRSECLNFKRNKGKALNVTLSDESDSKNSNSSSDNKFAFVAFSDTEDYENLREEVVKVQK >Potri.011G080100.1.v4.1 pep chromosome:Pop_tri_v4:11:8603988:8604926:-1 gene:Potri.011G080100.v4.1 transcript:Potri.011G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080100.v4.1 MYIAVTIKRYRAVKEVGEIKISVGITAYYQVMQICQAEYFRQLLKPVT >Potri.001G383000.6.v4.1 pep chromosome:Pop_tri_v4:1:40256049:40262284:-1 gene:Potri.001G383000.v4.1 transcript:Potri.001G383000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383000.v4.1 MSDSVHNPVWTSCKDAAGIAGNIFAFGLFVSPIPTYRRIIRNRSTEQFSGLPYIYALMNCLICMWYGMPLISADNLLVVTVNSFGTVFQLAYIILFIIYAERKIKVSMLASLLVVLVLFAIIVAGSLQIHDRMIRWISVGSLTVVSLISMFASPLFIINLVIQTKSVEFMPFYLSLSTFLMSTSFLLYGVLNFDAFIYVPNGIGTILGIIQLMLYLHYKKKSVQESKEPLIVSHA >Potri.013G048300.1.v4.1 pep chromosome:Pop_tri_v4:13:3457178:3460738:1 gene:Potri.013G048300.v4.1 transcript:Potri.013G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048300.v4.1 MFGGYCECFCWSNQDFYDDDFYLPDPQPFSLPAPLPIWPQGQGFAAGRINLGEIEVAKITKFESVWSCGLLRGKSKGVSFYRPVGIPEGFHCLGYYCQSNDQPLRGYVLVGCDSNAHKPEVGHIHDSEVDSPLRKPLNYSLIWGSNSEKNGGGGYFWLPNPPEGYKAMGIVVTKNPEEPKVEEVRCVRADLTERCETSDQIISSDSKSSNPFEVWNTRPHRRGMFAKGVSVGTFYCNAYLSSDEVLIDIACLKNLDHSQPAMPNLDQVHALIKHYGPTVFFHPDEDCLPSSVQWFFKNGALLYQDCGQKCEPIDLRGSNLPSGGENDGEFWIDLPVDDAARNDVIAGDLESAELYVHAKPAFGGTFTDIAMWIFCPFNGPATLKIGLMTIPMTKIGQHVGDWEHYTLRVSNFTGELWQVFFSEHSGGRWVDASDLEFIEGNRPVVYSSKHGHASFPHPGTYLQGSTKLGIGVRNDVARSKYYVDSSLKYQLVAAEYLGDGVVTEPCWLQYMREWGPTIVYDSRSEIDKILDHLPFFVRFSVENLVDLFPTELYGEEGPSGPKEKYNWVGDEIC >Potri.013G048300.3.v4.1 pep chromosome:Pop_tri_v4:13:3457360:3461525:1 gene:Potri.013G048300.v4.1 transcript:Potri.013G048300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048300.v4.1 MFGGYCECFCWSNQDFYDDDFYLPDPQPFSLPAPLPIWPQGQGFAAGRINLGEIEVAKITKFESVWSCGLLRGKSKGVSFYRPVGIPEGFHCLGYYCQSNDQPLRGYVLVGCDSNAHKPEVGHIHDSEVDSPLRKPLNYSLIWGSNSEKNGGGGYFWLPNPPEGYKAMGIVVTKNPEEPKVEEVRCVRADLTERCETSDQIISSDSKSSNPFEVWNTRPHRRGMFAKGVSVGTFYCNAYLSSDEVLIDIACLKNLDHSQPAMPNLDQVHALIKHYGPTVFFHPDEDCLPSSVQWFFKNGALLYQDCGQKCEPIDLRGSNLPSGGENDGEFWIDLPVDDAARNDVIAGDLESAELYVHAKPAFGGTFTDIAMWIFCPFNGPATLKIGLMTIPMTKIGQHVGDWEHYTLRVSNFTGELWQVFFSEHSGGRWVDASDLEFIEGNRPVVYSSKHGHASFPHPGTYLQGSTKLGIGVRNDVARSKYYVDSSLKYQLVAAEYLGDGVVTEPCWLQYMREWGPTIVYDSRSEIDKILDHLPFFVRFSVENLVDLFPTELYGEEGPSGPKEKYNWVGDEIC >Potri.012G042200.8.v4.1 pep chromosome:Pop_tri_v4:12:3778258:3780232:1 gene:Potri.012G042200.v4.1 transcript:Potri.012G042200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042200.v4.1 MAAGLNNEYMALCLVLMISSFGLCLVSTHAELRRFEQPAKTDGTLSFLVLGDWGRKGAFNQSEVALQMGRIGEKLDIDFVVSTGDNFYDDGLIGEQDKAFEESFTQIYTAKSLQKQWYSVLGNHDYRGNAEAQLSLHLRKIDSRWLCLRSFIVDAELAEIFFVDTTPFVQSYFTDAEGHNYDWRGIGSPRAYIANLIKDLKLALSESSAKWKIVVGHHSIRSIGHHGDTKELVSKLLPILKANKVDFYMNGHDHCLEHIGDAESPIQFLTSGAGSKAWRGDIKKQKTGGLKFFYDGQGFMSVQLTQDAAEIAFYDVSGNVLHRWTTTKLLYSSI >Potri.012G042200.1.v4.1 pep chromosome:Pop_tri_v4:12:3778260:3781101:1 gene:Potri.012G042200.v4.1 transcript:Potri.012G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042200.v4.1 MAAGLNNEYMALCLVLMISSFGLCLVSTHAELRRFEQPAKTDGTLSFLVLGDWGRKGAFNQSEVALQMGRIGEKLDIDFVVSTGDNFYDDGLIGEQDKAFEESFTQIYTAKSLQKQWYSVLGNHDYRGNAEAQLSLHLRKIDSRWLCLRSFIVDAELAEIFFVDTTPFVQSYFTDAEGHNYDWRGIGSPRAYIANLIKDLKLALSESSAKWKIVVGHHSIRSIGHHGDTKELVSKLLPILKANKVDFYMNGHDHCLEHIGDAESPIQFLTSGAGSKAWRGDIKKQKTGGLKFFYDGQGFMSVQLTQDAAEIAFYDVSGNVLHRWTTTKLLYSSI >Potri.003G170300.1.v4.1 pep chromosome:Pop_tri_v4:3:17893821:17896665:1 gene:Potri.003G170300.v4.1 transcript:Potri.003G170300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170300.v4.1 MMRRQNQDQQSRVLDELSALVFNLLRSPSTPISFSDQFPVPSTSVRRRLPGITPGGFASLLLGISLALMLCGSVTFFIGFLLMPWVLGLVMVFYVAGVVSTVSMLGRSILCYATTPSPRKEIPAWKLL >Potri.006G150300.3.v4.1 pep chromosome:Pop_tri_v4:6:13080858:13082493:1 gene:Potri.006G150300.v4.1 transcript:Potri.006G150300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150300.v4.1 MGRKCSHCWNIGHNSRTCTTYRAAVAGGVRLFGVQLDISSSSAAMKKSFSVDCLPSSSSPSSSLCSSRVSIDDNSDKASVDYLSDVLLGPVQARKKGVPWTEEEHRTFLMGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQAIVNKKKRRPSLFDMAGSSSSSITTSSHHVDGSRNTKQSDHPVPTYFKTSGSQYATMHHDTSTLPLLGISNSDHEQGVKSDVQETKYSDELAPHNHSMPFLLHRVNDPLPKPSSSSKEMPPNVAVPDLELSLGASKSLENNNPSPSLLLIRPIRVT >Potri.006G150300.2.v4.1 pep chromosome:Pop_tri_v4:6:13080670:13082460:1 gene:Potri.006G150300.v4.1 transcript:Potri.006G150300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150300.v4.1 MGRKCSHCWNIGHNSRTCTTYRAAVAGGVRLFGVQLDISSSSAAMKKSFSVDCLPSSSSPSSSLCSSRVSIDDNSDKASVDYLSDVLLGPVQARKKGVPWTEEEHRTFLMGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQAIVNKKKRRPSLFDMAGSSSSSITTSSHHVDGSRNTKQSDHPVPTYFKTSGSQYATMHHDTSTLPLLGISNSDHEQGVKSDVQETKYSDELAPHNHSMPFLLHRVNDPLPKPSSSSKEMPPNVAVPDLELSLGASKSLENNNPSPSLLLIRPIRVT >Potri.004G090766.1.v4.1 pep chromosome:Pop_tri_v4:4:7739488:7741509:1 gene:Potri.004G090766.v4.1 transcript:Potri.004G090766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090766.v4.1 MIQVCRESSRGCCPWGLRKGSGSRGEDKPHQESVGFPEILCSGVAIGCLGRLHFFSLSRLNPQNWIHLIARPSAPYNNLTSTSSTFWWDKDDYG >Potri.005G070600.1.v4.1 pep chromosome:Pop_tri_v4:5:4598528:4600173:1 gene:Potri.005G070600.v4.1 transcript:Potri.005G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070600.v4.1 MGSEDLDNKIQDFQCPSSPLSLSLPHVNYSCGSCGYQLNLNSCNRNTPDIGVNYKKSIKKGSISFFSIDETRFTQIEELRCTPYFNSMRSWGLFQRRTKLLCYKCGNHLGIAYKENNTSSSPLRLGKCRSDLISWDGISDARIYVIKIRSLQPASTEDYSLS >Potri.004G079800.1.v4.1 pep chromosome:Pop_tri_v4:4:6593428:6596695:1 gene:Potri.004G079800.v4.1 transcript:Potri.004G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079800.v4.1 MTEVILHVYDVTNSGSEKTNNTILNINKIFKDAIGLGGIFHSAVQIHGDDEWSFGFCEQGTGVFSCPSSKNPMYTYREKIVLGKTSCSIFKVNQILRELSREWPGDAYDLLAKNCNHFCDEFCERLGVPKLPGWVNRFANAGDAAMEVAGNTAFRFRQAKTEIVSASKVAYRFLVGVTSNNGSGLESPENSNRGVPRFQGTWFKNLIANGAKPSSSTEVDNQDENMLLQQQRIKQGADQLSRQNSQQESDPLHQNSRHDEALPASSPY >Potri.018G081700.9.v4.1 pep chromosome:Pop_tri_v4:18:9960954:9964990:1 gene:Potri.018G081700.v4.1 transcript:Potri.018G081700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081700.v4.1 MNVLIGKGKPREAHSIFNSLMDEGHKPTLITYTTLVAALTRQKLFKSILRLISKVEENGMKPDSILFNSIINAFSESGNMKEAMKLFRKMKESGCKPTTSTFNTLIKGYGNAGKTEEALKLLEFLQDGGVKPNQRTYNILVRAWCNKENMEEAWNMVYKMVASGMQPDAVTYNTLARAYAEKGETIRAEEMILEMLNRRVTPNERTCSIIVNGYCKEGNMVDASRFVFRMKELGVLPNLFVFNSLIKGFLDTMDTEGVDEVLTLMEENGVRPDVVTFSTIMNAWSSAGRMDKCKEIFNDMVKAEIEPDIHAFSILAKGYVRAGEPEKAESILTSMRKYGLHPNVVICTTVISGWCSAGKMEHAMKVYEKMCEIGVSPNLKTYETLIWGYGEAKQPLKAEELLQVMEEKGVFPKKGTMQLIADAWRAIGLLSESERIIENDEDPEVNVDRKKDDVPVDRLESIYKDQNRGASYSKLLQMPGEATTNQNGSSSVKIRTQMILKNYRSSSESSWTGTNSVLLTRLFVFGAQPQIKSRRQHQSLVGICEKVASRILCIN >Potri.018G081700.8.v4.1 pep chromosome:Pop_tri_v4:18:9960756:9965015:1 gene:Potri.018G081700.v4.1 transcript:Potri.018G081700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081700.v4.1 MEDGTITSGKRLEAPAVMESKLLENDQFQPVSSFVDSQVKSCCPICLGNNRCRIVRSRTKLMNVLIGKGKPREAHSIFNSLMDEGHKPTLITYTTLVAALTRQKLFKSILRLISKVEENGMKPDSILFNSIINAFSESGNMKEAMKLFRKMKESGCKPTTSTFNTLIKGYGNAGKTEEALKLLEFLQDGGVKPNQRTYNILVRAWCNKENMEEAWNMVYKMVASGMQPDAVTYNTLARAYAEKGETIRAEEMILEMLNRRVTPNERTCSIIVNGYCKEGNMVDASRFVFRMKELGVLPNLFVFNSLIKGFLDTMDTEGVDEVLTLMEENGVRPDVVTFSTIMNAWSSAGRMDKCKEIFNDMVKAEIEPDIHAFSILAKGYVRAGEPEKAESILTSMRKYGLHPNVVICTTVISGWCSAGKMEHAMKVYEKMCEIGVSPNLKTYETLIWGYGEAKQPLKAEELLQVMEEKGVFPKKGTMQLIADAWRAIGLLSESERIIENDEDPEVNVDRKKDDVPVDRLESIYKDQNRGASYSKLLQMPGEATTNQNGSSSVKIRTQMILKNYRSSSESSWTGTNSVLLTRLFVFGAQPQIKSRRQHQSLVGICEKVASRILCIN >Potri.018G081700.3.v4.1 pep chromosome:Pop_tri_v4:18:9961859:9965015:1 gene:Potri.018G081700.v4.1 transcript:Potri.018G081700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081700.v4.1 MNVLIGKGKPREAHSIFNSLMDEGHKPTLITYTTLVAALTRQKLFKSILRLISKVEENGMKPDSILFNSIINAFSESGNMKEAMKLFRKMKESGCKPTTSTFNTLIKGYGNAGKTEEALKLLEFLQDGGVKPNQRTYNILVRAWCNKENMEEAWNMVYKMVASGMQPDAVTYNTLARAYAEKGETIRAEEMILEMLNRRVTPNERTCSIIVNGYCKEGNMVDASRFVFRMKELGVLPNLFVFNSLIKGFLDTMDTEGVDEVLTLMEENGVRPDVVTFSTIMNAWSSAGRMDKCKEIFNDMVKAEIEPDIHAFSILAKGYVRAGEPEKAESILTSMRKYGLHPNVVICTTVISGWCSAGKMEHAMKVYEKMCEIGVSPNLKTYETLIWGYGEAKQPLKAEELLQVMEEKGVFPKKGTMQLIADAWRAIGLLSESERIIENDEDPEVNVDRKKDDVPVDRLESIYKDQNRGASYSKLLQMPGEATTNQNGSSSVKIRTQMILKNYRSSSESSWTGTNSVLLTRLFVFGAQPQIKSRRQHQSLVGICEKVASRILCIN >Potri.018G081700.7.v4.1 pep chromosome:Pop_tri_v4:18:9960762:9965093:1 gene:Potri.018G081700.v4.1 transcript:Potri.018G081700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081700.v4.1 MEDGTITSGKRLEAPAVMESKLLENDQFQPVSSFVDSQVKSCCPICLGNNRCRIVRSRTKLMNVLIGKGKPREAHSIFNSLMDEGHKPTLITYTTLVAALTRQKLFKSILRLISKVEENGMKPDSILFNSIINAFSESGNMKEAMKLFRKMKESGCKPTTSTFNTLIKGYGNAGKTEEALKLLEFLQDGGVKPNQRTYNILVRAWCNKENMEEAWNMVYKMVASGMQPDAVTYNTLARAYAEKGETIRAEEMILEMLNRRVTPNERTCSIIVNGYCKEGNMVDASRFVFRMKELGVLPNLFVFNSLIKGFLDTMDTEGVDEVLTLMEENGVRPDVVTFSTIMNAWSSAGRMDKCKEIFNDMVKAEIEPDIHAFSILAKGYVRAGEPEKAESILTSMRKYGLHPNVVICTTVISGWCSAGKMEHAMKVYEKMCEIGVSPNLKTYETLIWGYGEAKQPLKAEELLQVMEEKGVFPKKGTMQLIADAWRAIGLLSESERIIENDEDPEVNVDRKKDDVPVDRLESIYKDQNRGASYSKLLQMPGEATTNQNGSSSVKIRTQMILKNYRSSSESSWTGTNSVLLTRLFVFGAQPQIKSRRQHQSLVGICEKVASRILCIN >Potri.010G013500.4.v4.1 pep chromosome:Pop_tri_v4:10:2318118:2326050:1 gene:Potri.010G013500.v4.1 transcript:Potri.010G013500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013500.v4.1 MGGKMQQTNASAASTALYDHAAAGGSLGPSADAGDAVTARWLQSAGLQHLASPLASTGIDHRLLPHILMQGYGAQSAEEKQRLFKLMRNLNFNGEAVSEPYIPSAQTSTGVSASDGFYSPDFRGDFGAGLLDLHAMDDTELLSEHAISEPFDPSPLMPGVSKGFENDFNLTSSRQQREQTDADLSVPFPTNEKENSTKENNVAKIKVVVRKRPLNKKELARKEDDIVTVYDNALAVHEPRLKVDLTAYVEKHEFCFDAVLDERVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHQPVYRNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLVVKKHSEVKDSRRNNDVNDYRSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMVSCISPNAGSCEHTLNTLRYADRVKSLSKSGNARKDQAVSSLPPTNKDASSTSSLPVSVDVDDVYEQQEVRVPDMGRRVVEKETPSYNPTVDYDKQPSSFPSGFSLNEREENGLSSGIADRERFESNSSYGGLASQKVNSSYTQHSADTEEKVPKVSPPRRKISREEKSEKFGNWLKKDGSGSDLPTAIPKLQNTGNYSASNTGSRQYKPDPPVGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLNFVLSRKAAGLVSLQARLARFQHRLREQEILNRKRVPR >Potri.010G013500.5.v4.1 pep chromosome:Pop_tri_v4:10:2318117:2325907:1 gene:Potri.010G013500.v4.1 transcript:Potri.010G013500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013500.v4.1 MGGKMQQTNASAASTALYDHAAAGGSLGPSADAGDAVTARWLQSAGLQHLASPLASTGIDHRLLPHILMQGYGAQSAEEKQRLFKLMRNLNFNGEAVSEPYIPSAQTSTGVSASDGFYSPDFRGDFGAGLLDLHAMDDTELLSEHAISEPFDPSPLMPGVSKGFENDFNLTSSRQQREQTDADLSVPFPTNEKENSTKENNVAKIKVVVRKRPLNKKELARKEDDIVTVYDNALAVHEPRLKVDLTAYVEKHEFCFDAVLDERVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHQPVYRNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLVVKKHSEVKDSRRNNDVNDYRSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMVSCISPNAGSCEHTLNTLRYADRVKSLSKSGNARKDQAVSSLPPTNKDASSTSSLPVSVDVDDVYEQQEVRVPDMGRRVVEKETPSYNPTVDYDKQPSSFPSGFSLNEREENGLSSGIADRERFESNSSYGGLASQKVNSSYTQHSADTEEKVPKVSPPRRKISREEKSEKFGNWLKKDGSGSDLPTAIPKLQNTGNYSASNTGSRQYKPDPPVGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLNFVLSRKAAGLVSLQARLARFQHRLREQEILNRKRVPR >Potri.010G013500.1.v4.1 pep chromosome:Pop_tri_v4:10:2318123:2326047:1 gene:Potri.010G013500.v4.1 transcript:Potri.010G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013500.v4.1 MGGKMQQTNASAASTALYDHAAAGGSLGPSADAGDAVTARWLQSAGLQHLASPLASTGIDHRLLPHILMQGYGAQSAEEKQRLFKLMRNLNFNGEAVSEPYIPSAQTSTGVSASDGFYSPDFRGDFGAGLLDLHAMDDTELLSEHAISEPFDPSPLMPGVSKGFENDFNLTSSRQQREQTDADLSVPFPTNEKENSTKENNVAKIKVVVRKRPLNKKELARKEDDIVTVYDNALAVHEPRLKVDLTAYVEKHEFCFDAVLDERVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLHQPVYRNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEFIEKGNAARSTGSTGANEESSRSHAILQLVVKKHSEVKDSRRNNDVNDYRSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMVSCISPNAGSCEHTLNTLRYADRVKSLSKSGNARKDQAVSSLPPTNKDASSTSSLPVSVDVDDVYEQQEVRVPDMGRRVVEKETPSYNPTVDYDKQPSSFPSGFSLNEREENGLSSGIADRERFESNSSYGGLASQKVNSSYTQHSADTEEKVPKVSPPRRKISREEKSEKFGNWLKKDGSGSDLPTAIPKLQNTGNYSASNTGSRQYKPDPPVGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLNFVLSRKAAGLVSLQARLARFQHRLREQEILNRKRVPR >Potri.014G045600.2.v4.1 pep chromosome:Pop_tri_v4:14:2932193:2935434:1 gene:Potri.014G045600.v4.1 transcript:Potri.014G045600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045600.v4.1 MLTATSSFYPLLSKPSSPHINLIPCPALGDLFSLKKRMVRCCHNLNDDGMQNGHVVLCHEEKLRRRFLLFFSFSSGLLSTFPSYGKTKSKNPYDERRLLEQNKRIQKENNVPEDFPSFIREGFEVKVVAPENYIKRDSGLIYRDFEVGEGDCPKDGQQVTFHYVGYNESGRRIDSTYLQGSPAKIRMGTNALIPGFEEGIRDMRPGGKRRIIVPPELGPPVGPSTFFSSKQFEVFDVELLNVKDCQRRTIGFYSDVVCN >Potri.006G027200.1.v4.1 pep chromosome:Pop_tri_v4:6:1770126:1772306:1 gene:Potri.006G027200.v4.1 transcript:Potri.006G027200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027200.v4.1 MVSKTRLIVSDFIVSIIWVWNGALIKMFVFKVLQMGHDSRGEFMRQSLTVVSLFFFAFLAKVTKGASFNPLAVLSSAISGDFSHFLFTIGTRIPAQVIGSITAVRLLIDTFPEIGRGPRLNVDIHKGALTEGLLAFGVVTISLGLARKIPGSFFMKTWISSISKLSLHILGSDLTGGCMNPASVMGWAYARGDHITKEHILVYWLAPIQGALLAAYTFKLLFRPQKQDEKEKLKGKTD >Potri.016G048000.12.v4.1 pep chromosome:Pop_tri_v4:16:3093112:3095535:1 gene:Potri.016G048000.v4.1 transcript:Potri.016G048000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048000.v4.1 MSSNQSSRGNIFDESSWQTVLRPYLFSNQHHKYCEKTQKHLSSINLGGSTSLSLERVQLLGDNATLIGRPLQPTSDRQNDPELESSTRHLGDGSSTSSGSGSSGPIFSSKKRIRWTQGLHEKFIKCVNSLGGAAKAKPKAILKMMETKGLTIVQVKSHLQKYRSDKYMSECNQAKPTINDMPQLVFSSRISMRIKEAQQLQLDIEKHLHEQLEIQRNLQLQNEENGRQLKLMLEQQQKTNKSLP >Potri.016G048000.6.v4.1 pep chromosome:Pop_tri_v4:16:3093112:3095507:1 gene:Potri.016G048000.v4.1 transcript:Potri.016G048000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048000.v4.1 MGEVSQSQDVEPATSTNIIPSQFDQLAPAFCISECLFDLQQDDDYEFPTFPSCSQVPGPNDAKMSSNQSSRGNIFDESSWQTVLRPYLFSNQHHKYCEKTQKHLSSINLGGSTSLSLERVQLLGDNATLIGRPLQPTSDRQNDPEQLESSTRHLGDGSSTSSGSGSSGPIFSSKKRIRWTQGLHEKFIKCVNSLGGAAKAKPKAILKMMETKGLTIVQVKSHLQKYRSDKYMSECNQAKPTINDMPQLVFSSRISMRIKEAQQLQLDIEKHLHEQLEIQRNLQLQNEENGRQLKLMLEQQQKTNKSLP >Potri.016G048000.8.v4.1 pep chromosome:Pop_tri_v4:16:3093212:3095385:1 gene:Potri.016G048000.v4.1 transcript:Potri.016G048000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048000.v4.1 MGEVSQSQDVEPATSTNIIPSQFDQLAPAFCISECLFDLQQDDDYEFPTFPSCSQVPGPNDAKMSSNQSSRGNIFDESSWQTVLRPYLFSNQHHKYCEKTQKHLSSINLGGSTSLSLERVQLLGDNATLIGRPLQPTSDRQNDPELLKSQQLESSTRHLGDGSSTSSGSGSSGPIFSSKKRIRWTQGLHEKFIKCVNSLGGAAKAKPKAILKMMETKGLTIVQVKSHLQKYRSDKYMSECNQAKPTINDMPQLVFSSRISMRIKEAQQLQLDIEKHLHEQLEVCLMP >Potri.016G048000.9.v4.1 pep chromosome:Pop_tri_v4:16:3093287:3095264:1 gene:Potri.016G048000.v4.1 transcript:Potri.016G048000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048000.v4.1 MGEVSQSQDVEPATSTNIIPSQFDQLAPAFCISECLFDLQQDDDYEFPTFPSCSQVPGPNDAKMSSNQSSRGNIFDESSWQTVLRPYLFSNQHHKYCEKTQKHLSSINLGGSTSLSLERVQLLGDNATLIGRPLQPTSDRQNDPEVSSLLNFILMFLCAYIMSGFLLYQMCLFQLPIQIWYKLLKSQQLESSTRHLGDGSSTSSGSGSSGPIFSSKKRIRWTQGLHEKFIKCVNSLGGAAKAKPKAILKMMETKGLTIVQVKSHLQVLKISCVLVPPKLISIYHPFS >Potri.016G048000.10.v4.1 pep chromosome:Pop_tri_v4:16:3093112:3095535:1 gene:Potri.016G048000.v4.1 transcript:Potri.016G048000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048000.v4.1 MSSNQSSRGNIFDESSWQTVLRPYLFSNQHHKYCEKTQKHLSSINLGGSTSLSLERVQLLGDNATLIGRPLQPTSDRQNDPELLKSQQLESSTRHLGDGSSTSSGSGSSGPIFSSKKRIRWTQGLHEKFIKCVNSLGGAAKAKPKAILKMMETKGLTIVQVKSHLQKYRSDKYMSECNQAKPTINDMPQLVFSSRISMRIKEAQQLQLDIEKHLHEQLEIQRNLQLQNEENGRQLKLMLEQQQKTNKSLP >Potri.016G048000.11.v4.1 pep chromosome:Pop_tri_v4:16:3093112:3095507:1 gene:Potri.016G048000.v4.1 transcript:Potri.016G048000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048000.v4.1 MSSNQSSRGNIFDESSWQTVLRPYLFSNQHHKYCEKTQKHLSSINLGGSTSLSLERVQLLGDNATLIGRPLQPTSDRQNDPEQLESSTRHLGDGSSTSSGSGSSGPIFSSKKRIRWTQGLHEKFIKCVNSLGGAAKAKPKAILKMMETKGLTIVQVKSHLQKYRSDKYMSECNQAKPTINDMPQLVFSSRISMRIKEAQQLQLDIEKHLHEQLEIQRNLQLQNEENGRQLKLMLEQQQKTNKSLP >Potri.016G048000.5.v4.1 pep chromosome:Pop_tri_v4:16:3093112:3095536:1 gene:Potri.016G048000.v4.1 transcript:Potri.016G048000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048000.v4.1 MGEVSQSQDVEPATSTNIIPSQFDQLAPAFCISECLFDLQQDDDYEFPTFPSCSQVPGPNDAKMSSNQSSRGNIFDESSWQTVLRPYLFSNQHHKYCEKTQKHLSSINLGGSTSLSLERVQLLGDNATLIGRPLQPTSDRQNDPELLKSQQLESSTRHLGDGSSTSSGSGSSGPIFSSKKRIRWTQGLHEKFIKCVNSLGGAAKAKPKAILKMMETKGLTIVQVKSHLQKYRSDKYMSECNQAKPTINDMPQLVFSSRISMRIKEAQQLQLDIEKHLHEQLEIQRNLQLQNEENGRQLKLMLEQQQKTNKSLP >Potri.016G048000.7.v4.1 pep chromosome:Pop_tri_v4:16:3093112:3095535:1 gene:Potri.016G048000.v4.1 transcript:Potri.016G048000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048000.v4.1 MGEVSQSQDVEPATSTNIIPSQFDQLAPAFCISECLFDLQQDDDYEFPTFPSCSQVPGPNDAKMSSNQSSRGNIFDESSWQTVLRPYLFSNQHHKYCEKTQKHLSSINLGGSTSLSLERVQLLGDNATLIGRPLQPTSDRQNDPELESSTRHLGDGSSTSSGSGSSGPIFSSKKRIRWTQGLHEKFIKCVNSLGGAAKAKPKAILKMMETKGLTIVQVKSHLQKYRSDKYMSECNQAKPTINDMPQLVFSSRISMRIKEAQQLQLDIEKHLHEQLEIQRNLQLQNEENGRQLKLMLEQQQKTNKSLP >Potri.007G116400.1.v4.1 pep chromosome:Pop_tri_v4:7:13594834:13596499:-1 gene:Potri.007G116400.v4.1 transcript:Potri.007G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116400.v4.1 MNVEEEVGRLKEEIQRLGKVQPDGSYKVTFGVLFNDDRCANIFEALVGTLRAAKKRKFLTYDGELLLQGVHDNVEIILKAAPLPASEAATDSVVKN >Potri.012G090600.3.v4.1 pep chromosome:Pop_tri_v4:12:11570276:11571500:-1 gene:Potri.012G090600.v4.1 transcript:Potri.012G090600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090600.v4.1 MEEVTFDPGLIQAIFKHIWTRRALEREKNEGNDGTDCEQVGTGTLKKTRTTSANSNALKLSCELLRIFITEAVQRSAMIAEAEGAGKIEGTHLERILPQLLLDF >Potri.012G090600.4.v4.1 pep chromosome:Pop_tri_v4:12:11570515:11571308:-1 gene:Potri.012G090600.v4.1 transcript:Potri.012G090600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090600.v4.1 MEEVTFDPGLIQAIFKHIWTRRALEREKNEGNDGTDCEVGTGTLKKTRTTSANSNALKLSCELLRIFITEAVQRSAMIAEAEGAGKIEGTHLERILPQLLLDF >Potri.016G110600.1.v4.1 pep chromosome:Pop_tri_v4:16:11310469:11311492:-1 gene:Potri.016G110600.v4.1 transcript:Potri.016G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110600.v4.1 MALLDQSLFHYSLLSIYLIGPPTFISLKFLQAPYGKHHRPGWGPTISPPLAWILMESPTLWLTLLLFPYGQHFTNPKALILMSPFLLHYFHRTCIYPLRIYRNSKTTGGFPVSVAVMAFGFNLLNAYLQARWVSHYKSDYDGGLFWWKFFGGLVVFVWGMRINTWADGVLLGLKREGGGYKVPRGGWFELVSCPNYFGEIVEWLGWAVMTWSWAGFGFLLYTCANLVPRACANHKWYLEKFKEDYPRSRKAVIPFLC >Potri.008G156250.1.v4.1 pep chromosome:Pop_tri_v4:8:10692210:10694301:-1 gene:Potri.008G156250.v4.1 transcript:Potri.008G156250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156250.v4.1 MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKVIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRQAHRTMAEAFPNQVPYVLVDDSPSGSSGPEGEPHSLEMPHPIRAFLDPDDLRMDSLGLSINKTGLKQLNELFGSRDAVSQVSKVADGKLKKCLKIHEAAEVDTGKQAETEVQIIKKALSEIQTEKEAVLLQYQQSLQKLSSLERELNDFRGIDERAGKAEIEIKILKETLVKLEAERDAGLLQYNKCLERISALENVISKMEEDAKALNERAIKAEIEAQNLKQELSGLEAEKEASLLQYNQCLELISNLQKKILIAEENARMLNALTETAETEAKALKEALAKLSEEKEAAELQYELCLEKIAMMESEVSHAQEDVNRLNSEILSGTAKLKTVEEQCFLLQRSNQSLQSEADTLVQKIETKDQELSEKVNELEKLQASLQDEQSQFLQVEATLHSLQKLHSQSQEEQRALAIELQNHFQMLKDLEISNHDLQENLQQVKEENQNLHELNSNSVISITDLKNEILA >Potri.007G032700.1.v4.1 pep chromosome:Pop_tri_v4:7:2541579:2548474:1 gene:Potri.007G032700.v4.1 transcript:Potri.007G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032700.v4.1 MGIATTPPFPPILPHSKTHQLSSPILQNTKSNPSNHNSMSQDYHQGIFSFSNGGFERSSVSHQEHNQQQQHQQQQHHIAQQIRRDKLRVQSGYEQPPPALLGIEEEESSGLPVYETAGMLSEMFNFPPAGGPAAAVELLDQPLRSNYRTQPRQQQQPVTTNDWYNSNNTQGMAVGGLGIGNSKNHSNNDSRESLAQHQHQISGINADSATAMQLFLMNPSQPRSPQSPSLSHHQPPPSTSSTLHMLLPNPSSSLQGFSTVSGGGFGATSVISPPQFTWVPDSSHVGGNTGAPLSNPTEISGVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQGAGGSSSSQYYKNLGGHQHHQALHLQGGVGQNHHQVHAGFGSSLGVVNVLRNSKYVKAAQELLEEFCSVGRGQFKKSKFGRQNTNPSSNNNPGGGGGGGSSSSTKDLPPLAAADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGSAVPYTALAQKAMSRHFRCLKDAIAAQLKLSCELLGEKDGAGTSGITKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQESKEDEPGAEDRERKQANNNSNNSGLAQTPTPTTTTTGSSAPAATTTTIPSGKRSEINANENDPSLLAINRQCFSENQTKLSTSSSTTTTTIITPINITSATEAAPPPHAGQPFHDFADDTCRHGSIVTADYGTTSSNANAGGSTLIRFGTTTAGDVSLTLGLRHAGNMPEKSPTFSMRDFGGC >Potri.007G032700.5.v4.1 pep chromosome:Pop_tri_v4:7:2541579:2548498:1 gene:Potri.007G032700.v4.1 transcript:Potri.007G032700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032700.v4.1 MGIATTPPFPPILPHSKTHQLSSPILQNTKSNPSNHNSMSQDYHQGIFSFSNGGFERSSVSHQEHNQQQQHQQQQHHIAQQIRRDKLRVQSGYEQPPPALLGIEEEESSGLPVYETAGMLSEMFNFPPAGGPAAAVELLDQPLRSNYRTQPRQQQQPVTTNDWYNSNNTQGMAVGGLGIGNSKNHSNNDSRESLAQHQHQISGINADSATAMQLFLMNPSQPRSPQSPSLSHHQPPPSTSSTLHMLLPNPSSSLQGFSTVSGGGFGATSVISPPQFTWVPDSSHVGGNTGAPLSNPTEISGVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQGAGGSSSSQYYKNLGGHQHHQALHLQGGVGQNHHQVHAGFGSSLGVVNVLRNSKYVKAAQELLEEFCSVGRGQFKKSKFGRQNTNPSSNNNPGGGGGGGSSSSTKDLPPLAAADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGSAVPYTALAQKAMSRHFRCLKDAIAAQLKLSCELLGEKDGAGTSGITKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQESKEDEPGAEDRERKQANNNSNNSGLAQTPTPTTTTTGSSAPAATTTTIPSGKRSEINANENDPSLLAINRQCFSENQTKLSTSSSTTTTTIITPINITSATEAAPPPHAGQPFHDFADDTCRHGSIVTADYGTTSSNANAGGSTLIRFGTTTAGDVSLTLGLRHAGNMPEKSPTFSMRDFGGC >Potri.007G032700.4.v4.1 pep chromosome:Pop_tri_v4:7:2541272:2548568:1 gene:Potri.007G032700.v4.1 transcript:Potri.007G032700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032700.v4.1 MGIATTPPFPPILPHSKTHQLSSPILQNTKSNPSNHNSMSQDYHQGIFSFSNGGFERSSVSHQEHNQQQQHQQQQHHIAQQIRRDKLRVQSGYEQPPPALLGIEEEESSGLPVYETAGMLSEMFNFPPAGGPAAAVELLDQPLRSNYRTQPRQQQQPVTTNDWYNSNNTQGMAVGGLGIGNSKNHSNNDSRESLAQHQHQISGINADSATAMQLFLMNPSQPRSPQSPSLSHHQPPPSTSSTLHMLLPNPSSSLQGFSTVSGGGFGATSVISPPQFTWVPDSSHVGGNTGAPLSNPTEISGVVEGQGLSLSLSSSLQHLEAAKAEELRMGDGGLLYYNQGAGGSSSSQYYKNLGGHQHHQALHLQGGVGQNHHQVHAGFGSSLGVVNVLRNSKYVKAAQELLEEFCSVGRGQFKKSKFGRQNTNPSSNNNPGGGGGGGSSSSTKDLPPLAAADRIEHQRRKVKLLSMLDEVDRRYNHYCEQMQMVVNSFDLVMGFGSAVPYTALAQKAMSRHFRCLKDAIAAQLKLSCELLGEKDGAGTSGITKGETPRLKLLEQSLRQQRAFHQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEDMYQQESKEDEPGAEDRERKQANNNSNNSGLAQTPTPTTTTTGSSAPAATTTTIPSGKRSEINANENDPSLLAINRQCFSENQTKLSTSSSTTTTTIITPINITSATEAAPPPHAGQPFHDFADDTCRHGSIVTADYGTTSSNANAGGSTLIRFGTTTAGDVSLTLGLRHAGNMPEKSPTFSMRDFGGC >Potri.001G449500.16.v4.1 pep chromosome:Pop_tri_v4:1:47562752:47567019:-1 gene:Potri.001G449500.v4.1 transcript:Potri.001G449500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449500.v4.1 MASLTASHFAPRNSSVSSHGSETTENFGQIGLRSQSMTHSGLRSLNMVDKLQFKTQAFARKSVKKAYATGNDTSGKIVCLQGGMTVVFVATEVAPWSKTGGLGDVLGGLPPAMAARGHRVMTISPRFDQYKDAWDTCEVAQILVGDTVETVRYFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPAAGEDFQDNQLRFSLFCLAALEAPRVLSLNSSKHFSGPYGEDVVFVANDWHTGLLPCYLKSMYQSRGIYMTAKVAFCIHNIAYQGRFSFSDFPLLNLPDEYKSSFDFMDGYNKPVKGRKINWLKAGILEAHRVLTVSPYYAQELVSGVDKGVELDNYLRTTGITGIVNGMDVQEWNPATDKYLSTKYEITTVLSAKPLLKEELQAEVGLPVDRDIPVIGFIGRLEEQKGSDILAAAIPMLVKNNVQIIVLGTGKKYMEKQIAELEKKYPDKVRGVAKFNVPLAHMIIAGADFMMIPSRFEPCGLIQLHAMRYGTVCIVSSTGGLVDTVKEGYTGFHMGAFNVECDVVDQADVAAIVKTAGRALAVYGTTAFKEMIKNCMSQDLSWKGPARLWEKILLNLNVTGSKAGTEGEEIAPLAKENVATP >Potri.001G449500.17.v4.1 pep chromosome:Pop_tri_v4:1:47562773:47566933:-1 gene:Potri.001G449500.v4.1 transcript:Potri.001G449500.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449500.v4.1 MASLTASHFAPRNSSVSSHGSETTENFGQIGLRSQSMTHSGLRSLNMVDKLQFKTQAFARKSVKKAYATGNDTSGKIVCLQGGMTVVFVATEVAPWSKTGGLGDVLGGLPPAMAARGHRVMTISPRFDQYKDAWDTCEVAQILVGDTVETVRYFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPAAGEDFQDNQLRFSLFCLAALEAPRVLSLNSSKHFSGPYGEDVVFVANDWHTGLLPCYLKSMYQSRGIYMTAKVAFCIHNIAYQGRFSFSDFPLLNLPDEYKSSFDFMDGYNKPVKGRKINWLKAGILEAHRVLTVSPYYAQELVSGVDKGVELDNYLRTTGITGIVNGMDVQEWNPATDKYLSTKYEITTVLSAKPLLKEELQAEVGLPVDRDIPVIGFIGRLEEQKGSDILAAAIPMLVKNNVQIIVLGTGKKYMEKQIAELEKKYPDKVRGVAKFNVPLAHMIIAGADFMMIPSRFEPCGLIQLHAMRYGTVCIVSSTGGLVDTVKEGYTGFHMGAFNVECDVVDQADVAAIVKTAGRALAVYGTTAFKEMIKNCMSQDLSWKGPARLWEKILLNLNVTGSKAGTEGEEIAPLAKENVATP >Potri.001G449500.15.v4.1 pep chromosome:Pop_tri_v4:1:47562590:47566929:-1 gene:Potri.001G449500.v4.1 transcript:Potri.001G449500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449500.v4.1 MVSKQNQSLEIFLLVCYCLIHKEQERTMASLTASHFAPRNSSVSSHGSETTENFGQIGLRSQSMTHSGLRSLNMVDKLQFKTQAFARKSVKKAYATGNDTSGKIVCLQGGMTVVFVATEVAPWSKTGGLGDVLGGLPPAMAARGHRVMTISPRFDQYKDAWDTCEVAQILVGDTVETVRYFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPAAGEDFQDNQLRFSLFCLAALEAPRVLSLNSSKHFSGPYGEDVVFVANDWHTGLLPCYLKSMYQSRGIYMTAKVAFCIHNIAYQGRFSFSDFPLLNLPDEYKSSFDFMDGYNKPVKGRKINWLKAGILEAHRVLTVSPYYAQELVSGVDKGVELDNYLRTTGITGIVNGMDVQEWNPATDKYLSTKYEITTVLSAKPLLKEELQAEVGLPVDRDIPVIGFIGRLEEQKGSDILAAAIPMLVKNNVQIIVLGTGKKYMEKQIAELEKKYPDKVRGVAKFNVPLAHMIIAGADFMMIPSRFEPCGLIQLHAMRYGTVCIVSSTGGLVDTVKEGYTGFHMGAFNVECDVVDQADVAAIVKTAGRALAVYGTTAFKEMIKNCMSQDLSWKGPARLWEKILLNLNVTGSKAGTEGEEIAPLAKENVATP >Potri.001G449500.18.v4.1 pep chromosome:Pop_tri_v4:1:47562720:47566923:-1 gene:Potri.001G449500.v4.1 transcript:Potri.001G449500.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449500.v4.1 MASLTASHFAPRNSSVSSHGSETTENFGQIGLRSQSMTHSGLRSLNMVDKLQFKTQAFARKSVKKAYATGNDTSGKIVCLQGGMTVVFVATEVAPWSKTGGLGDVLGGLPPAMAARGHRVMTISPRFDQYKDAWDTCEVAQILVGDTVETVRYFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPAAGEDFQDNQLRFSLFCLAALEAPRVLSLNSSKHFSGPYGEDVVFVANDWHTGLLPCYLKSMYQSRGIYMTAKVAFCIHNIAYQGRFSFSDFPLLNLPDEYKSSFDFMDGYNKPVKGRKINWLKAGILEAHRVLTVSPYYAQELVSGVDKGVELDNYLRTTGITGIVNGMDVQEWNPATDKYLSTKYEITTVLSAKPLLKEELQAEVGLPVDRDIPVIGFIGRLEEQKGSDILAAAIPMLVKNNVQIIVLGTGKKYMEKQIAELEKKYPDKVRGVAKFNVPLAHMIIAGADFMMIPSRFEPCGLIQLHAMRYGTVCIVSSTGGLVDTVKEGYTGFHMGAFNVECDVVDQADVAAIVKTAGRALAVYGTTAFKEMIKNCMSQDLSWKGPARLWEKILLNLNVTGSKAGTEGEEIAPLAKENVATP >Potri.001G449500.1.v4.1 pep chromosome:Pop_tri_v4:1:47562349:47567119:-1 gene:Potri.001G449500.v4.1 transcript:Potri.001G449500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449500.v4.1 MASLTASHFAPRNSSVSSHGSETTENFGQIGLRSQSMTHSGLRSLNMVDKLQFKTQAFARKSVKKAYATGNDTSGKIVCLQGGMTVVFVATEVAPWSKTGGLGDVLGGLPPAMAARGHRVMTISPRFDQYKDAWDTCEVAQILVGDTVETVRYFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPAAGEDFQDNQLRFSLFCLAALEAPRVLSLNSSKHFSGPYGEDVVFVANDWHTGLLPCYLKSMYQSRGIYMTAKVAFCIHNIAYQGRFSFSDFPLLNLPDEYKSSFDFMDGYNKPVKGRKINWLKAGILEAHRVLTVSPYYAQELVSGVDKGVELDNYLRTTGITGIVNGMDVQEWNPATDKYLSTKYEITTVLSAKPLLKEELQAEVGLPVDRDIPVIGFIGRLEEQKGSDILAAAIPMLVKNNVQIIVLGTGKKYMEKQIAELEKKYPDKVRGVAKFNVPLAHMIIAGADFMMIPSRFEPCGLIQLHAMRYGTVCIVSSTGGLVDTVKEGYTGFHMGAFNVECDVVDQADVAAIVKTAGRALAVYGTTAFKEMIKNCMSQDLSWKGPARLWEKILLNLNVTGSKAGTEGEEIAPLAKENVATP >Potri.003G150000.3.v4.1 pep chromosome:Pop_tri_v4:3:16320442:16335984:1 gene:Potri.003G150000.v4.1 transcript:Potri.003G150000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150000.v4.1 MKGTCFLNFIPTFLFLVSMAYSAMEVTNVTADQTALLALKAHLTDPHNILPNNWSTTASVCSWIGVTCGAQRDRVSGLNLSHMSLSGYIPSEIGNLSFLSFLSIRNNNFQGSLPNELARLLHLEYLDFGFNSFTGDIPPSLGSLPKLKSLLLEANFFLGNLPLSLWNISSLQTINISYNQLHGFMPSSIFSRSSLYTIDLSFNHLSGEIPADIFNHLPELRGIYFSRNRLSGSIPRTIGNCTLIEEINFSENNLTGVLPPELGGLSNLKTLRMDDNALIGNVPSALFNISAIEVIGMYTNLLSGSLPPTMGLFMPNLRELRLGGNELEGTIPSSISNASTLAVVDLSNNSFTGLIPGTIGNLRQLQVLNLANNHLTSESSTPQLSILSALGNCKNLRRIYFSVNPLNTTLPISFGNLSSSLEQFWADDCNLKGNIPNTIGNLSSLIALSLANNELASVVPTTTERLTNLQLLDLQGNQLEGNITDNLCHSDSLFDLSLGGNKLSGSIPECLGNLTTLRHLNLSSNNFTSTIPLSLGNLAGILVLNLSSNFLSGSLPLVFRQLMVAEEIDLSRNQLSGQIPNSTWFHKNLAYLSLATNRLQGPIPGSLSFAVSLEFLDLSHNSLSGLIPKSLETLLHLKYFNVSFNVLQGEIPSEGPFRNFSAQSYMMNNELCGAPRLKVPPCKTYALRGSTVTLVFLLELILPLIAATMAALFIFIWLRCPNKNVRPNLVSTRFTYRDLEQATDGFGEGNLLGRGSFGSVYKGTLSDGKIVAIKVFDAENEVCCRSFEVEREVMCNACHPNIITIFCSSNAVNFKALVIEYMVNGSLDKWLYTHNYSLDILQRLDIMINTASALEYLHSGCSRIIIHGDLKPSNILLDEDMISRLSDFSISQFLKPDGQQNSSGPSLFLGTIGYVAPEYGIHGIVSKETDVYSFGILLMETFTGKKPTDEMFGGEMSLRSWIIETLPREIERVVDPCLLQNEEEYFHAKTTCLSDIMRLALMCTSESPVERLNMKVVVDTLDEIKRLFLRNISGDNPGESNLEELDPNRIRNNMKKFVKWRRETLLPNLEWRLPDYQYETNS >Potri.008G188800.2.v4.1 pep chromosome:Pop_tri_v4:8:13222431:13228463:-1 gene:Potri.008G188800.v4.1 transcript:Potri.008G188800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188800.v4.1 MESRRSFGFCTVVFLVLALMEISSATLSPTGINFEVVALVAIKTALLDPYNVLENWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPSIGNLTNLQSVLLQNNAISGPIPVAIGKLEKLQTLDLSNNTFSGDMPTSLGDLKNLNYLRLNNNSLTGPCPESLSNLKGLTLVDLSFNNLSGSLPKISARTFKVTGNPLICGPKASNSCSAVFPEPLSLPPDGLNGQSSSGTNGHRVAIAFGASFGAAFSTIIVIGLLVWWRYRHNQQIFFDVNEQYDPEVCLGHVRRYTFKELRTATDHFSSKNILGTGGFGIVYKGWLNDGTVVAVKRLKDFNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASQLRDHIHDRPALDWARRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHISPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQDRKLNLMVDKDLRGKFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKVETPRFRSCENPPQKYSDFIEESSLVVEAMELSGPR >Potri.008G188800.3.v4.1 pep chromosome:Pop_tri_v4:8:13222416:13228466:-1 gene:Potri.008G188800.v4.1 transcript:Potri.008G188800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188800.v4.1 MESRRSFGFCTVVFLVLALMEISSATLSPTGINFEVVALVAIKTALLDPYNVLENWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPSIGNLTNLQSVLLQNNAISGPIPVAIGKLEKLQTLDLSNNTFSGDMPTSLGDLKNLNYLRLNNNSLTGPCPESLSNLKGLTLVDLSFNNLSGSLPKISARTFKVTGNPLICGPKASNSCSAVFPEPLSLPPDGQSSSGTNGHRVAIAFGASFGAAFSTIIVIGLLVWWRYRHNQQIFFDVNEQYDPEVCLGHVRRYTFKELRTATDHFSSKNILGTGGFGIVYKGWLNDGTVVAVKRLKDFNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASQLRDHIHDRPALDWARRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHISPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQDRKLNLMVDKDLRGKFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKVETPRFRSCENPPQKYSDFIEESSLVVEAMELSGPR >Potri.008G188800.10.v4.1 pep chromosome:Pop_tri_v4:8:13222415:13228256:-1 gene:Potri.008G188800.v4.1 transcript:Potri.008G188800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188800.v4.1 MESRRSFGFCTVVFLVLALMEISSATLSPTGINFEVVALVAIKTALLDPYNVLENWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPSIGNLTNLQSVLLQNNAISGPIPVAIGKLEKLQTLDLSNNTFSGDMPTSLGDLKNLNYLRLNNNSLTGPCPESLSNLKGLTLVDLSFNNLSGSLPKISARTFKVTGNPLICGPKASNSCSAVFPEPLSLPPDGLNGQSSSGTNGHRVAIAFGASFGAAFSTIIVIGLLVWWRYRHNQQIFFDVNEQYDPEVCLGHVRRYTFKELRTATDHFSSKNILGTGGFGIVYKGWLNDGTVVAVKRLKDFNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASQLRDHIHDRPALDWARRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHISPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQDRKLNLMVDKDLRGKFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKVETPRFRSCENPPQKYSDFIEESSLVVEAMELSGPR >Potri.008G188800.4.v4.1 pep chromosome:Pop_tri_v4:8:13222443:13228446:-1 gene:Potri.008G188800.v4.1 transcript:Potri.008G188800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188800.v4.1 MESRRSFGFCTVVFLVLALMEISSATLSPTGINFEVVALVAIKTALLDPYNVLENWDINSVDPCSWRMVTCSPDGYVSALGLPSQSLSGTLSPSIGNLTNLQSVLLQNNAISGPIPVAIGKLEKLQTLDLSNNTFSGDMPTSLGDLKNLNYLRLNNNSLTGPCPESLSNLKGLTLVDLSFNNLSGSLPKISARTFKVTGNPLICGPKASNSCSAVFPEPLSLPPDGLNGQSSSGTNGHRVAIAFGASFGAAFSTIIVIGLLVWWRYRHNQQIFFDVNEQYDPEVCLGHVRRYTFKELRTATDHFSSKNILGTGGFGIVYKGWLNDGTVVAVKRLKDFNVAGGEIQFQTEVETISLAVHRNLLRLSGFCTTENERLLVYPYMPNGSVASQLRDHIHDRPALDWARRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHISPEYLSTGQSSEKTDVFGFGILLLELITGQKALDFGRAANQKGVMLDWVKKLHQDRKLNLMVDKDLRGKFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQKVETPRFRSCENPPQKYSDFIEESSLVVEAMELSGPR >Potri.015G105100.12.v4.1 pep chromosome:Pop_tri_v4:15:12378872:12385359:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.015G105100.6.v4.1 pep chromosome:Pop_tri_v4:15:12378684:12385758:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.015G105100.8.v4.1 pep chromosome:Pop_tri_v4:15:12378801:12385735:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.015G105100.10.v4.1 pep chromosome:Pop_tri_v4:15:12378774:12385698:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.015G105100.7.v4.1 pep chromosome:Pop_tri_v4:15:12378800:12385745:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.015G105100.3.v4.1 pep chromosome:Pop_tri_v4:15:12378749:12385753:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.015G105100.9.v4.1 pep chromosome:Pop_tri_v4:15:12378802:12385735:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.015G105100.11.v4.1 pep chromosome:Pop_tri_v4:15:12378800:12385691:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.015G105100.5.v4.1 pep chromosome:Pop_tri_v4:15:12378870:12385581:-1 gene:Potri.015G105100.v4.1 transcript:Potri.015G105100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105100.v4.1 MARLLVHSANIPAVAPCLRHCQFEESKKRRDSSARMMSSSPSQGLVMSGYSGLRSANCLDTLLRHGQSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVIQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIMLFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDESLVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVVGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKIGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLTKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPAYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVIVLNGQSGGAPDALPDVLNVA >Potri.004G011101.1.v4.1 pep chromosome:Pop_tri_v4:4:653019:654971:1 gene:Potri.004G011101.v4.1 transcript:Potri.004G011101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011101.v4.1 MMLSRRGGSSDPLVSASYCAQTKRRILRPPRLSKYVAQPKRRILRPPRLSKYVAQTKRRILRPPRLRKLLCSDEEEDPQTPPSEQICCSAEEEDPQTPPSPRRRGGSSDPPSEQICCSAEEEDPQTPPSELI >Potri.013G095400.6.v4.1 pep chromosome:Pop_tri_v4:13:9807531:9817490:1 gene:Potri.013G095400.v4.1 transcript:Potri.013G095400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095400.v4.1 MEEYLHHMKTLRSQMNEVEDQAAQISVEEQTHITTIQSFEKDLASAKSETKRLKEDTEQMMKAKGEICSQILEKQRKIASLDSDSYTLAQTLELIQRERVSLSARLVEKSAYYSKVADDMNSKLQQQQDWVHTHRISGEMGEHGSVKEEFDKQTAKTKGKCAIDNHLIMDNLGNDAEKNLIAKLGSAKSKLVEIAQMKSKLVTENNKTEFLEMDIKTLEEEYKALLSDRAGEIEYLQSLQKQIKQLKDISHMVKCACGVEYKVAMELCA >Potri.013G095400.1.v4.1 pep chromosome:Pop_tri_v4:13:9807529:9817589:1 gene:Potri.013G095400.v4.1 transcript:Potri.013G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095400.v4.1 MEEYLHHMKTLRSQMNEVEDQAAQISVEEQTHITTIQSFEKDLASAKSETKRLKEDTEQMMKAKGEICSQILEKQRKIASLDSDSYTLAQTLELIQRERVSLSARLVEKSAYYSKVADDMNSKLQQQQDWVHTHRISGEMGEHGSVKEEFDKQTAKTKGKCAIDNHLIMDNLGNDAEKNLIAKLGSAKSKLVEIAQMKSKLVTENNKMKQSIEQLKCSAKDFKTEFLEMDIKTLEEEYKALLSDRAGEIEYLQSLQKQIKQLKDISHMVKCACGVEYKVAMELCA >Potri.013G095400.5.v4.1 pep chromosome:Pop_tri_v4:13:9807531:9818599:1 gene:Potri.013G095400.v4.1 transcript:Potri.013G095400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095400.v4.1 MEEYLHHMKTLRSQMNEVEDQAAQISVEEQTHITTIQSFEKDLASAKSETKRLKEDTEQMMKAKGEICSQILEKQRKIASLDSDSYTLAQTLELIQRERVSLSARLVEKSAYYSKVADDMNSKLQQQQDWVHTHRISGEMGEHGSVKEEFDKQTAKTKGKCAIDNHLIMDNLGNDAEKNLIAKLGSAKSKLVEIAQMKSKLVTENNKMKQSIEQLKCSAKDFKTEFLEMDIKTLEEEYKALLSDRAGEIEYLQSLQKQIKQLKDISHMVKCACGVEYKVAMELCA >Potri.003G193400.1.v4.1 pep chromosome:Pop_tri_v4:3:19636306:19637647:-1 gene:Potri.003G193400.v4.1 transcript:Potri.003G193400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193400.v4.1 MEDIQITQRNSTVSETGESDQCRDIISSLPKEEGWVSGYMYLYQGFWCPPKEIHAVVSFQNNFQACNTDTILVSMPKSGTTWLKALVFSIINREKYQTAESPLNSFNPHDLVPFFEYRLYANNQVPDLSAFPSPRIFSTHVPYPSLPESIRNSTCRVVYICRNPLDNFISFWHFLSKARPERRGPLLLEEAFDSFCNGVVGFGPFFDHVLGYWKESLERPEKVLFLKFEDLKEDINSQMKSLAVFLGCPFSLEEERDGVIEDISKLCSLDSLKDIEANKRGKSIPYFENNTLFRRGEVGDWINYLTPEMVDRLNKITEQKLAGSGLEFKITSL >Potri.013G011950.1.v4.1 pep chromosome:Pop_tri_v4:13:773675:774974:1 gene:Potri.013G011950.v4.1 transcript:Potri.013G011950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011950.v4.1 MASNAFQRGKMKEENPNTCRDIIASNDPPNSQLCNVSSFTMPEPKIRIRIPQLLAKTMQRRSNDCITDHLDDHTRAKKERVVKPKSLLPEKFGLFFPSVFGKKKSPKASSKVHKNGDGYKSDLAGADDGLYDGDQPFGVAPKFSLPLLEIEEEEEGEEHEKPTMERRDNKPSRAADMYLKVSFPLLSFFSKYTSLNFFRGKPNTDHTVLRRQGTSHDLHSGVGMSDTPAGRQESSSNLLRNPSRVLSTDQKLRMASFLGNTTRSQNGGKPVQIGDESREECGEELCKKRILMGEKCKPLSFSGSLHYDEDGTLLPEVLLW >Potri.003G054100.1.v4.1 pep chromosome:Pop_tri_v4:3:7929693:7930259:1 gene:Potri.003G054100.v4.1 transcript:Potri.003G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054100.v4.1 MEGRSRDAHADQISTPRYRGIRQRKWGTWVSEIREPGQKTRIWLGSYEKPEMAAVAYDVAALHLRGRGAQLNFPEMEDSLPRPASSRAEDVQAAAREAALLFSGPVKCSDIVSCGSITSDGGFGPVRVGLSPSQIQAINEAPLDSPKMWTEYLAESLLTGEPMAMENEIGVGYSEWEEIQQESIWGYY >Potri.019G084600.2.v4.1 pep chromosome:Pop_tri_v4:19:12404803:12410622:-1 gene:Potri.019G084600.v4.1 transcript:Potri.019G084600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G084600.v4.1 MAETEIGMSTDNIKGLVLALSSSLFIGASFIVKKKGLKKAGASGIRAGAGGYTYLFEPLWWIGMITMIAGEIANFAAYAFAPAILVTPLGALSIIISAALAHAILQEKLHTFGILGCALCVVGSTTIVLHAPQEREIESVKEVWDLATEPAFLLYAAIVITAAVVIIIRVIPHYGQTHVMVYISICSLMGSLSVMSVKALGIALKLTFSGMNQLLHPQTWAFTLIVLACVITQINYLNKALDTFNAAVVSPIYYVMFTSLTILASVIMFKDWDGKNASQIVTEICGFVTILSGTFLLHETKDMVEGSSQSSSLRLPKHEEEDEGLDPEGIPLRQVDTLRPK >Potri.016G001200.1.v4.1 pep chromosome:Pop_tri_v4:16:54862:58064:-1 gene:Potri.016G001200.v4.1 transcript:Potri.016G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001200.v4.1 MLESRGVGIGGMIMEEMHQNQSGIGIGLGMQGGAQVVLTSDPKPRLRWTADLHQRFVDAVSQLGGPNKATPKAILRTMNVKGLTLFHLKSHLQKYRLGKQSGKDMSDTFKDGLSGSYLLENPCTGNSSLNMTASDVNEGYEVKEALRAQMEVQSKLHLQVEAEKHLHIRLDAERRYLAMLERACKMLADQFIGAAVIDTDSQKGLGTRTTRIASLDPLGFYSLQTSEVAEVHGPEDVLPGLHHQGADCSTESCLTSNESPGGLNLEGSPAGGKKGMLSLESATSLIWGETRMGNAEVNATQVNSYGASLYGIWN >Potri.017G128600.1.v4.1 pep chromosome:Pop_tri_v4:17:13197206:13197939:1 gene:Potri.017G128600.v4.1 transcript:Potri.017G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128600.v4.1 MTRGGGFPMCVQCGTTSNPCRCKVLGPTLGFLAFVVAGVVEWPVGAVVFLFKRMKGRRIMAHPATVVYPRVTHAIPI >Potri.016G131400.2.v4.1 pep chromosome:Pop_tri_v4:16:13542250:13545214:1 gene:Potri.016G131400.v4.1 transcript:Potri.016G131400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131400.v4.1 MATGQAPRNPIPAMRTYPPVEHPVVVIGPQYLAQYPVELAVNRDFNVSGINGTLIFQVKSKLLSPRRRFLKDAAGNTLVNLRHKIRTMHGKWEAFRGESEEQSDLIFTAKKSKMFQFKTELDVFLGNNKGEVPDFKVKEGNSESSCSILLGDSNTMLAQVHGRHTLAIMPNVDYAFIVALVVVILNGDNADGHGDAATTSLNVLNGVVEGLGSAA >Potri.011G122200.1.v4.1 pep chromosome:Pop_tri_v4:11:15270301:15275055:-1 gene:Potri.011G122200.v4.1 transcript:Potri.011G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122200.v4.1 MGKKRKHSETQVIEPAKKDESETERPKRTLLGWKDKPEEKETESLPPHQGGFRNKEKVLVTCSRRINYRYRHLMLNLVSLLPHCKKDSKVESTSSKGATLNELVELKNCSSSLFFECRKHKDLYLWMVKCPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSANFDKDAHWKLLKEMIIQIFGIPKDHRKSKPFYDHVFVFSIVDDHIWFRNYQISVPHNETDKMARGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFFISPNQIRALEKKQKAGKFAKKVKAKTRRKMHELSNPLEPDEFADMWKE >Potri.002G222700.1.v4.1 pep chromosome:Pop_tri_v4:2:20669366:20671811:1 gene:Potri.002G222700.v4.1 transcript:Potri.002G222700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G222700.v4.1 MDKSLTTTLLFSCFLLISLPVSSLAQSCGNYSFSNSQEYSACNDLSQLNCSLHWTYHPSNMTADIAFRKTGASTTNWIAWALNPTRQGMAGSQALVAYQQSNSTMRFYTTQVDASGSMQPASLSFGVRNISAEYTGRDMIIFATLQLSASLVSTNQVWQEGPLSGGSPSPHSTTGPNMQSVGTVNFVSGTATSTGGGTSSKARKRNVHGVLNAVSWGILMPVGIIIARYLKVFKSAGPAWFYLHAICQTSGYAVGVAGWATGIKLGSDSPGITYNTHRKLGITIFALGTLQVLALLLRPKPDHKYRLYWNIYHHTIGYTTVILSIVNIFEGFEALDREKNWKKAYIGVLIFLGSVAVVLEAVTWLIVIKRKKTASSDKHVNGVNGYGSRVQQTA >Potri.013G096000.1.v4.1 pep chromosome:Pop_tri_v4:13:9938745:9940850:1 gene:Potri.013G096000.v4.1 transcript:Potri.013G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096000.v4.1 MANRDQLVNGRKSELSLRKSGNVIITDAGRLTVWSTDTVSESSVFLYLHENGNLILQKSEGGVLWQSFDSPTDTLLPQQLLTKDMQLVSSRSQGNYSSGFYKLYFDDDNVLRLLYGGPEITVYWPDPWRMSWEARRSTFNSSRIAFLDSLGYFSSSDNFNFISAGYGERVQRILKLDFDGNIRLYSRKNRMDKWTVSWQAMSRPCRIHGTCGANSICSYVPHFCRKCSCLPGFKIRDRTDWSLGCFLKLSNVEFYGYDYGFLSNYTFGMCENLCLQICDCKGFQLKFIKHKYRSNIPYCYPKTQLLNGQHSPNFQGDMYLKVPKTLPIQEIGLDCSSTVVKQLNRTYTKHQENASLKFVVRFAMVVGSVELGIIFLVWCVFIRTHRNSCAGTQNYHRITTGFRKFTLSELKKATQGFSKEIGRGAGGVVYKGMLSDHRIAAVKRLNDAYQGEAEFQAEVSTIGKLNHMNLTEMWGYCAEGKHRLLVYKYMEHGSLAEQLSSNSLGWEKRFDIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVSDFGLSWPLKRGSQANKSFSKIRGTRGYMAPEWVFNLPITSKVDVYSYGMVLLEMISGKCPAEEIENRRVVTWVREKMKQATEMSSWIEMIIDPKLEGIYDKGRMEILFEVALKCVAEERDAIARGQQ >Potri.001G432540.2.v4.1 pep chromosome:Pop_tri_v4:1:45805475:45806759:-1 gene:Potri.001G432540.v4.1 transcript:Potri.001G432540.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432540.v4.1 MAAHGQQNDFLQRLEYVEVDDCGDVRTPFPAKLLRAVKNLKSVEIEDCKSLEEVFELGEPDEGSSEEKELPLLSSLTELQLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLEVLFINNCGELKHIIREEDGEREIIPESPGQDGQALPINVEKEIVLPNLKVLSLEQLSSIIRFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWTRNMGWKEDGDSCL >Potri.001G432540.1.v4.1 pep chromosome:Pop_tri_v4:1:45805475:45816427:-1 gene:Potri.001G432540.v4.1 transcript:Potri.001G432540.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432540.v4.1 MPETRCILKGLVLSKLTTLEQNDFLQRLEYVEVDDCGDVRTPFPAKLLRAVKNLKSVEIEDCKSLEEVFELGEPDEGSSEEKELPLLSSLTELQLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLEVLFINNCGELKHIIREEDGEREIIPESPGQDGQALPINVEKEIVLPNLKVLSLEQLSSIIRFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWTRNMGWKEDGDSCL >Potri.014G017200.7.v4.1 pep chromosome:Pop_tri_v4:14:1056210:1059762:-1 gene:Potri.014G017200.v4.1 transcript:Potri.014G017200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017200.v4.1 MATADEQQDPRIARISSAIRVIPDFPKPGIMFQDITTLLLDTKAFKDTIDLFVERYRDKNISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGTDKMEMHVGAVEAGEHALVIDDLIATGGTLCAAVKLLERVGVHVVECACVIELLGLKGRERLGDRPLFVLVNST >Potri.014G017200.2.v4.1 pep chromosome:Pop_tri_v4:14:1056208:1060243:-1 gene:Potri.014G017200.v4.1 transcript:Potri.014G017200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017200.v4.1 MQRSLLLRCSNSIFRPPPNSHRLRPPSLKRQSAPIAASSGAQLPLTFSQNYRTAPNRLHCSVSESQWKQDREMATADEQQDPRIARISSAIRVIPDFPKPGIMFQDITTLLLDTKAFKDTIDLFVERYRDKNISVVAGVEARGFIFGPPIALAIGAKFVPMRKPNKLPGEVISEEYSLEYGTDKMEMHVGAVEAGEHALVIDDLIATGGTLCAAVKLLERVGVHVVECACVIELLGLKGRERLGDRPLFVLVNST >Potri.009G032500.2.v4.1 pep chromosome:Pop_tri_v4:9:4317853:4320204:-1 gene:Potri.009G032500.v4.1 transcript:Potri.009G032500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032500.v4.1 MHDQEGLMKKVPILKKGCSKFWYFTVVFLLWFLLLYFFFNSGSKLDKKDDFLLKHHYDNFVDTDESSFGGVNRNSNEETGHVASDSIMDMDTKATGNPVDNFFTGGDWDKYLREVSNAGKESKPENQIHLTENEARSINKVFGEGNREESGNARSTARENQVKKPFDHSLPREARRRRVKKPAREKRVKKQVDHSLPREEKVIEEVIEQPNKEDQIRRQTNSDSHPESCVGRYIYIHNLPSRFNGDLVRHCQSLNEWSNMCPYLSNFGFGPRLKNSERTLSNTGWYDTNQFMLEIIFHHKMKQYKCLTNDSSLASAIFVPYYSGLDVARYLWNADKKMKDYYSRHLVRWLRESPEWKRLWGSDHFMVAGRITWDFRRLTNNNNDWGNQLMILPESRNMTVLTIESSPWNNNDFAVPYPTYFHPSSDNEVFQWQNRMRRLKRQFLFSFAGGPRPDLPDSIRSDIIEQCQAAREKCLLLECITGSSNCYEPVNLMKMFQSSTFCLQPPGDSYTRRSTFDSILAGCIPVFFHPGSSYAQYLWHFPRDYTKYSVFIPANKIKDEKVSIERTLSRIPIQRVWAMREEVIKLIPGMVYADPSYGLETLKDAFDLTIDGVLERVEKIKMDIKAGKNFSEDIEEYTWKKNLFGTERKHEWDHFFDRSKMIMY >Potri.004G061300.1.v4.1 pep chromosome:Pop_tri_v4:4:5115958:5117117:1 gene:Potri.004G061300.v4.1 transcript:Potri.004G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061300.v4.1 MARFGAVVLIFLVSFATIVSNIEGRKLLMSTGTPQENSSSSNKEGTSSVSSFASLVLSALPKGTVPSSSPSKKGHATLDNEQLFARHLASIDRILRSVPSPGVGH >Potri.005G244800.3.v4.1 pep chromosome:Pop_tri_v4:5:24009962:24011693:-1 gene:Potri.005G244800.v4.1 transcript:Potri.005G244800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244800.v4.1 MSGGLVTSLIARHKLMKIVYNCPSSCSASSLAFWVSAKVYAIACKLFCAILTFVFAVAGTTLGAYAGAVVGLKSKSSLLHGAAVGAIMGCILSFEIFRKSFVLWDSDDWAIDTFIHFIQTGSNILNERIERLSSTTICSNGLSMLKIQNKRLANKNFVDTLWNGPSCPICLQDFQLGEMVCSLPGCRHTFHPRCIGQWFIGHSSCPFCREIPFLVENVDCAS >Potri.005G244800.2.v4.1 pep chromosome:Pop_tri_v4:5:24009980:24011841:-1 gene:Potri.005G244800.v4.1 transcript:Potri.005G244800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244800.v4.1 MSGGLVTSLIARHKLMKIVYNCPSSCSASSLAFWVSAKVYAIACKLFCAILTFVFAVAGTTLGAYAGAVVGLKSKSSLLHGAAVGAIMGCILSFEIFRKSFVLWDSDDWAIDTFIHFIQTGSNILNERIERLSSTTICSNGLSMLKIQNKRLANKNFVDTLWNGPSCPICLQDFQLGEMVCSLPGCRHTFHPRCIGQWFIGHSSCPFCREIPFLVENVDCAS >Potri.002G156600.1.v4.1 pep chromosome:Pop_tri_v4:2:11935659:11938137:1 gene:Potri.002G156600.v4.1 transcript:Potri.002G156600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156600.v4.1 MAQESTSLATKRYAVVTGANKGIGYEICRQLASNGILVVLTARDEKRGLEAVQNLKDSGISDDLVIYHQLDVVDPDSIVSLAEFVKNNFGKLDILVNNAGIGGVALEADAFQRAFEQAGEFPSGEQVWAEIGTQNYEMAEQCVKTNYYGARGMAEALAPLLQLSDSPRIVNVSSMLGLLKNIPNEWAKELLNDVENLNEDRLDEVVNGFLKDFKEDLLGSKGWPTYLSAYIVAKAAMSAYTRILAKKYPSFCVNCLCPGHCKTDITTNIGPFTAAEGAENAVRLALLPDGGPSGFFFYQKEMLPYF >Potri.002G156600.3.v4.1 pep chromosome:Pop_tri_v4:2:11936194:11938044:1 gene:Potri.002G156600.v4.1 transcript:Potri.002G156600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156600.v4.1 MAQESTSLATKRYAVVTGANKGIGYEICRQLASNGILVVLTARDEKRGLEAVQNLKDSGISDDLVIYHQLDVVDPDSIVSLAEFVKNNFGKLDILVNNAGIGGVALEADAFQRAFEQAGEFPSGEQVWAEIGTQNYEMAEQCVKTNYYGARGMAEALAPLLQLSDSPRIVNVSSMLGLLKVHDCLSRTEAWYFGSSCLILKFGRVISPLQGNPNLTPRLVDVQ >Potri.007G115000.8.v4.1 pep chromosome:Pop_tri_v4:7:13488966:13489241:1 gene:Potri.007G115000.v4.1 transcript:Potri.007G115000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115000.v4.1 MTRRKIQIKKIDDTIARQVTFSKRRGGLFKKAYELSTLCDAEIALMVFSASGKLYEYSNSRFFSFSLSYYFHLHFLISIEKKKRMAEIHVQ >Potri.007G115000.4.v4.1 pep chromosome:Pop_tri_v4:7:13488384:13494423:1 gene:Potri.007G115000.v4.1 transcript:Potri.007G115000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115000.v4.1 MTRRKIQIKKIDDTIARQVTFSKRRGGLFKKAYELSTLCDAEIALMVFSASGKLYEYSNSSMGQVIEKRNLHPKNIDMFGQPSLELQPDGAVYATLNKEIAEKTRELSQVRGEDLQGLNLEELHKLEKLIETSLCRVVEEKGGKIINEINTLKNEGEQLVEENRRLRQQVMNLSAGQRHLLEPDKSSDSLVTNTRSMSSVDPFLTLGLACYTHFHANIFCFHSLVLTNIIYGTKQ >Potri.007G115000.7.v4.1 pep chromosome:Pop_tri_v4:7:13488342:13494877:1 gene:Potri.007G115000.v4.1 transcript:Potri.007G115000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115000.v4.1 MTRRKIQIKKIDDTIARQVTFSKRRGGLFKKAYELSTLCDAEIALMVFSASGKLYEYSNSSMGQVIEKRNLHPKNIDMFGQPSLELQPDGAVYATLNKEIAEKTRELSQVRGEDLQGLNLEELHKLEKLIETSLCRVVEEKGGKIINEINTLKNEGEQLVEENRRLRQQVMNLSAGQRHLLEPDKSSDSLVTNTRSMSSVDPFLTLGLPFRD >Potri.017G013000.2.v4.1 pep chromosome:Pop_tri_v4:17:906671:913091:1 gene:Potri.017G013000.v4.1 transcript:Potri.017G013000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013000.v4.1 MPADNTPPSSPHNVNPHNEEQQHQQQQQRDLSELSPPRQNGHPTDQEPHHLQNGQHQEEGEEGEEEVDIKEQEVQKEEEGVEGGGGGDGEEGKEESDGTQSFSSSSSSSSCEDEEKPEFVFVELPDIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEEMTRNKQIQASIAQIIQRQSEALVKRRTMGKESTFMTRTRRTITRRRRNSRSSDFQGSEDIEDENDDNIGKDSSSADERSTEVRHRRPKRRWPSQPSSSAVNSEGGGAENDLDSNRENRGLSPGLAWNTEMLAWGRGGTRSHTRYGNPSGCNNKNSRNTRLSKLVEYLRSLDEKNDELDIHLKLISLGNQSTPSLQQPYLCCRPSLSVKHLCEYVAHQTTLQAEEVELLLIKGKAENLSAKHSQIPMDELQILKGQETVAGLKASCSSSRDHLILAYRQKEIIKS >Potri.017G013000.3.v4.1 pep chromosome:Pop_tri_v4:17:906740:913107:1 gene:Potri.017G013000.v4.1 transcript:Potri.017G013000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013000.v4.1 MSVFRGPLSSYFVCRFVFVELPDIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEEMTRNKQIQASIAQIIQRQSEALVKRRTMGKESTFMTRTRRTITRRRRNSRSSDFQGSEDIEDENDDNIGKDSSSADERSTEVRHRRPKRRWPSQPSSSAVNSEGGGAENDLDSNRENRGLSPGLAWNTEMLAWGRGGTRSHTRYGNPSGCNNKNSRNTRLSKLVEYLRSLDEKNDELDIHLKLISLGNQSTPSLQQPYLCCRPSLSVKHLCEYVAHQTTLQAEEVELLLIKGKAENLSAKHSQIPMDELQILKGQETVAGLKASCSSSRDHLILAYRQKEIIKS >Potri.018G099100.2.v4.1 pep chromosome:Pop_tri_v4:18:11953531:11954867:-1 gene:Potri.018G099100.v4.1 transcript:Potri.018G099100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099100.v4.1 MRDPSSLKQQFLKKWILGLQVCGSGKQNMSILERKKAIELSADIALASTRDGRTCWSRALIANASKHDDNKVLVQHLLAPESERLKNASIGLVMDNKRVRCKKILKRSCCIKRVRKSEPQVVLAKSIAKRMVNRRTQVLKSLVPGGEFMDDISLIEETLDYIESLRAQVDVMRTLAKATELVNGK >Potri.008G137200.1.v4.1 pep chromosome:Pop_tri_v4:8:9209149:9211911:1 gene:Potri.008G137200.v4.1 transcript:Potri.008G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137200.v4.1 MAITTTIFEACSKKRKGSPLISTFNNSCSPPRPPPPPMPLHSGPFRDNIREFLKHCAEIEDYTVCHNPVWCALLLSDSTGVVFPLYVLEENIHSSHPRPLCDRCRCIGWSHHFVSKRRYHLIIPKDDQWNKPLNKESLEKNNHLLHGLIHCNGFGHLLCINGIEANSKFLHGKELMNLWDHLCSILQTREISVEDLSKKAGSMDLRLLHGVAYGRTWFGKWGYNFSRGSFGVTQQKYERAIQILSSLDVSKIIHDFINKRQGELVKRIINIYRDASETRLVTISDLFQFMLALNSTPLIRRKIALTLAAIPSKSSTHSAQQPETCLFKDPNHHSSFSFIAKFDESRWPARRLDDVVRVVLTTLEANGSKMDRQTLRDAVRQHIGDTGLIDFVIKNIDKVAVENRFIHRVVNPVTRKLVISLQDVVHEGKSEKKMESHADIPALEPGLDVNKDLHFLYNYVLLGYPDSHSVTLAIRAILDSKHFAKQWQFKGNNDHEDSFLGLVLRLRPSYNELVKELTRPLPPGELLVVPQYATVDELKLMVQCALRDTYYVMDKFAVKDVQIGKIEEKEYQDGVMCEVEQGVQVWVRGCGLDLDTKLRYQGGADDWTVDCGCGAKDDDGERMVACDVCHVWQHRRCNSIKDNEAAPRMFVCCRCKNGRKKY >Potri.012G026150.1.v4.1 pep chromosome:Pop_tri_v4:12:2518991:2520122:1 gene:Potri.012G026150.v4.1 transcript:Potri.012G026150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G026150.v4.1 MVSIFPSNRCHPFFLLSHRRSLSVSLPHTLPSWPLQLKQPTSTSYRAAVPTQLLFPLSCRSKGRTEWRPSSLLTSLQQQRLLITAPPSLAPSPRTATPNRDPAPPYQRILFSFGLLVASFFG >Potri.002G112900.2.v4.1 pep chromosome:Pop_tri_v4:2:8520589:8522660:-1 gene:Potri.002G112900.v4.1 transcript:Potri.002G112900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112900.v4.1 MKVLLSTTPFLSISLHLSEEMGSAKIGFSCHRNRQLIISLLFILVSSSTLVRFTAEGRVTTKLLEAAPEKGVEEEKIAVRARTIGSRPPRCDKRCNSCEHCEAVQVPITTQAHSRKRSRFSAAISNIAYSRGDGISNYKPMSWKCKCGNLIFNP >Potri.006G092900.1.v4.1 pep chromosome:Pop_tri_v4:6:7058953:7065437:-1 gene:Potri.006G092900.v4.1 transcript:Potri.006G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092900.v4.1 MAQASGRSGRVVGDYLVGRQIGSGSFSVVWHARHRVHGTEVAIKEIVTNRLNKKLQESLMSEIFILKRINHPNIIRLHDIIKVPGRILIVLEYCEGGDLSMYIQRHGKVPEAIAKHFMQQLAAGLQILRDNNLIHRDLKPQNLLLSTSDNNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPYTGNNQIQLLQNIVKSAELQFPFDNKDLSAGCKDLCRKLLCCNPVERLTFEEFFNHPFLSQRKKDELLRSSRSVDGFPFSGSNPARNADDNSQEDCLPFLLDDDSSCPEGSPSVSKRMSPMKSTYGFSLDSRIGGRDATSNVFNNVDLTSRYSSARQNLENASFRPGINKASDENLNEPPKSIDQRSVNIRSRVVDSLDLIDQDYVLVSGPPLNVSSSTASTYKPGNAPYKSEGPSQAFTYTNTRLSIPVPIIDTANNNPCRFGSLEIPASAPGTSEGSLDMGDALEQPSTHCMTRIKSLQHCASAIMELVVEKIKAGRQLEAFSIQLVILAIWKQVLHICHTQAASAIEGSPSQESSRLRRSSSKKHGNPDTEDCPDVGPENMSTQIEAEFLQEVERAEELAKAIETGSTEMPDAMEMIFQSALSLGRHGGVDELMGNMENAALLYSRAGCLLVFLLVEAPSLILNPPFSLTNSDRYRLRSYIDILNNRQDHSRSQRMALLKCEDQRWSP >Potri.019G093000.1.v4.1 pep chromosome:Pop_tri_v4:19:13031594:13033413:1 gene:Potri.019G093000.v4.1 transcript:Potri.019G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093000.v4.1 MINLADGKHDPELLKAQAHVWNHIFNFINSMSLKCAIDLGIPDIINKHSKPVTLPELIAALPVHPSKARCVPRLMRLLIHSGFFEKQKVSEDDQEEGYVLTNASQLLLKDNPFSVTPFLQAMLDPILTKPWHFVSTWLKNDDPTPFDTAHGRTFWDYGGHEPMLNHFFNDAMASDARLVTRVLVNECKEVFEGLGSLVDVGGGTGTVAKSLAKAFPHLDCTVFDLPHVVADLQGSENLKYVGGDMFEAIPPADGIVLKWILHDWNDEECVRILKKCKEAIKDREGGKVMIIDMMMENQKGEDESIETQIFFDMLMMILVTGQERNEKEWAKLFSDAGFSNFKITPILGLRSIIEVFP >Potri.007G073600.2.v4.1 pep chromosome:Pop_tri_v4:7:9587823:9591119:-1 gene:Potri.007G073600.v4.1 transcript:Potri.007G073600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073600.v4.1 MASDLKLVQYNYNPKLSALLNSIFLHSTQIKKWRPSDHLRFMVMLMTWVTVWVLRVLMDHFPLPMNLSPHYLLNSFSSIGSSFPLAFPSSSTTWSTSSPSMELISFLKKNNFWLKIPTSDFSKTAFKHVLSVPCTGKYQFAMAMAVKIMDGNFRDGHMELAEVNRMALSSAFARTLGLLYQYVQNPQGSDDSSSTWTSRVIRSLPLGSYIASYFKGTIGAGFEEADDVVAEKLAQELLWITNKLRDYGAVDEALLQYWSYASGLASLALSTANPRVQGYIAKISEICFHS >Potri.002G148550.1.v4.1 pep chromosome:Pop_tri_v4:2:11171309:11172016:-1 gene:Potri.002G148550.v4.1 transcript:Potri.002G148550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148550.v4.1 MRIREPTVICIIFSLLLSISKHNNLHFSSYDFIIVAKPSLVTLFFMFHFIMITIFIGSSKPPSVENLEYSFSSLTPPRYEMDQTIQNVEVCGSTSTDDEDDDQSYNSDGYHDDSYHGSDEYDEENDDDVIDTEGKDSEDEEYDNKLEKKIEGFIAKVYQKRREEFLGDRLLCITAG >Potri.007G077900.5.v4.1 pep chromosome:Pop_tri_v4:7:10206636:10211649:1 gene:Potri.007G077900.v4.1 transcript:Potri.007G077900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077900.v4.1 MSSTKADRKAAGDAASWLFNVVTSVGIILVNKALMATYGYSFATTLTGLHFATTTLLTVVLRWLGYIQPSHLPLPDLLKFVLFANCSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDSVRYSRDTKLSILVVLLGVAVCTVTDVSVNAKGFVAAVIAVWSTALQQYYVHYLQRRYSLGSFNLLGHTAPAQAASLLVVGPFLDYWLTNNRVDAYAYTFISTLFIVVSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLHVVVGMIIAVAGMIWYGNASSKPGGKERRSLSMNGNKSQKHDGLPESTEVDDKV >Potri.007G077900.1.v4.1 pep chromosome:Pop_tri_v4:7:10206753:10211651:1 gene:Potri.007G077900.v4.1 transcript:Potri.007G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077900.v4.1 MSSTKADRKAAGDAASWLFNVVTSVGIILVNKALMATYGYSFATTLTGLHFATTTLLTVVLRWLGYIQPSHLPLPDLLKFVLFANCSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDSVRYSRDTKLSILVVLLGVAVCTVTDVSVNAKGFVAAVIAVWSTALQQYYVHYLQRRYSLGSFNLLGHTAPAQAASLLVVGPFLDYWLTNNRVDAYAYTFISTLFIVVSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLHVVVGMIIAVAGMIWYGNASSKPGGKERRSLSMNGNKSQKHDGLPESTEVDDKV >Potri.007G077900.4.v4.1 pep chromosome:Pop_tri_v4:7:10206753:10211650:1 gene:Potri.007G077900.v4.1 transcript:Potri.007G077900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077900.v4.1 MSSTKADRKAAGDAASWLFNVVTSVGIILVNKALMATYGYSFATTLTGLHFATTTLLTVVLRWLGYIQPSHLPLPDLLKFVLFANCSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDSVRYSRDTKLSILVVLLGVAVCTVTDVSVNAKGFVAAVIAVWSTALQQYYVHYLQRRYSLGSFNLLGHTAPAQAASLLVVGPFLDYWLTNNRVDAYAYTFISTLFIVVSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLHVVVGMIIAVAGMIWYGNASSKPGGKERRSLSMNGNKSQKHDGLPESTEVDDKV >Potri.007G077900.2.v4.1 pep chromosome:Pop_tri_v4:7:10206753:10211651:1 gene:Potri.007G077900.v4.1 transcript:Potri.007G077900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077900.v4.1 MSSTKADRKAAGDAASWLFNVVTSVGIILVNKALMATYGYSFATTLTGLHFATTTLLTVVLRWLGYIQPSHLPLPDLLKFVLFANCSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDSVRYSRDTKLSILVVLLGVAVCTVTDVSVNAKGFVAAVIAVWSTALQQYYVHYLQRRYSLGSFNLLGHTAPAQAASLLVVGPFLDYWLTNNRVDAYAYTFISTLFIVVSCSIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLILGFIFFGKEGLNLHVVVGMIIAVAGMIWYGNASSKPGGKERRSLSMNGNKSQKHDGLPESTEVDDKV >Potri.002G085100.2.v4.1 pep chromosome:Pop_tri_v4:2:6069222:6072349:-1 gene:Potri.002G085100.v4.1 transcript:Potri.002G085100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085100.v4.1 MGNQTPCEKLYLGLKKVKPYLAMVSLQFGYAGMYIITMVSLKHGMSHYILAVYRHVVATIVIAPFALVLERKIRPKLTLPIFLRIMALGFLEPVLDQNLYYLGMKYTSATFASATVNALPAITFIMALIFRLETVNFKKLHSAAKVVGTVITVTGAMVMTLYKGPIIDFIRSQGAAHRGTTSNASGNQHWLTGTLMLLASCCGWASFFILQSFTLKKYPAELSLTALICMTGMVEGAAVSLVMERDMSAWKIGFDSRLLAAAYSGVVCSGIAYYVQGVVIRKKGPVFVTSFSPLCMIITAALGSVVLSEQIRLGSIIGAVLIVFGLYTVVWGKSKDSISSSTMELTNEKGGPQDQLPIQDSSRLSSSTSFANSTQGPDDGMSK >Potri.001G201500.3.v4.1 pep chromosome:Pop_tri_v4:1:20150473:20156885:-1 gene:Potri.001G201500.v4.1 transcript:Potri.001G201500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201500.v4.1 MATWSLLSPPPPPPPASMPTSNINTGTKTSMISSRRRRRGTLIIANATNSSQDNEKDKDAPAFNPFGFVTDNPSSRSSIQLPESPAEDGNVGQMLYRIEDKGKENGSYVKSGELIWFVRETGSPDSRRGTIIFLHGAPAQSYSYRVVMSQMSDAGFHCFAPDWIGFGFSDKPQPGYGFDYTEKEFHEALDKLLDVLGVKSPFFLVVQGFLVGSYGLTWALKNKSKISKLAILNSPVTVSSPVPGLFQQLRIPLYGEFTCQNAVMAERFIEAGSPYVLKLEKADVYRLPYLASSGPGFALLEAARKINFRDIASQIADGFASEGWDKPILLAWGIADKYLPQAVAEEFQKGNPNAVKLKLIEGAGHMPQEDWPEKVVYALRVFF >Potri.019G035700.3.v4.1 pep chromosome:Pop_tri_v4:19:4861483:4867489:-1 gene:Potri.019G035700.v4.1 transcript:Potri.019G035700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G035700.v4.1 MSLLSALFLLYIGVLTASALSDCPNESALSLHHIQSQCPVSIPPNPPLQVDGKFLDRALTSKQRNAYTSVLFYASWCPFSRSMLLKFDMLSSMFPQIKHLALEQSSAHPSIFSRYGIHSLPSILIVNQTSKVQYRGPKNLQSLAQFYRKTTGLEPVQHFAKDDDDSTSTEGREQSIMQPWNRPSLEDIIKSEPYLVLATLFLCFRVLLLYVYPKALSHIKAFYVCYVPHFNLEIFGETSQLFGRILHMVDVRRIWTKLRLFKTRNFHERAKNFRVWASSLASVSLGESSASARSQS >Potri.010G180800.7.v4.1 pep chromosome:Pop_tri_v4:10:17970529:17974608:-1 gene:Potri.010G180800.v4.1 transcript:Potri.010G180800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180800.v4.1 MEKRQSPQFREMGLVVLMLLCLTTTVPTSVSIQQGGGGAEELVSSQPRKWQDRVIEVKGGPESVVWIVQLSDLHFSVHHPERALDFKKIVGPALKMINPSLVLITGDLTDGKSKDLLTMKQNEDEWIEYQNVMEDVARSSGLDKSIFYDLRGNHDNFGVPVIGGSFDFFSNYSINGQFGRKGNVNSVTLETGDRKHVFVGLDSTMSTGLRGPTNLFGHPTDQLLSQIDSQLSQWDSQKGKSVTKISFGHFPLSFSAFSESQKSLRDVFLKHSVSAYLCGHLHTRFGKNLKRHHQSNEKFLSSHRFFQLNMHQEPSENPKNCLFQAPPLKEFWEWEMGDWRKSRAMRIVAVDRGHVSYLDIDFKSGTKKTIVLPTFPLDSRFMLTSSLHQMYGCQHMVPFSFETIRCLVFSVSPITSVVARIYDTRPGNPLMIMETTMTKFVRDISRGDIYAAAWNYKAFEDPSPVRFWLQIEVIDVMGRSTLSELRPFSVNGLSAKISWTWKEFFVMGCQWAALYYPIFWSAVFLMLSILLIPKFVLMFSKKQYSYKNFISEKGLINCIAWVLLDLCRVHVVWFGFLGYLIYLVSCPWLIGQVFTDGGNRGYMTCMGWLVKIFNSREKHDYIGSPDIMVVVLPHFFFVVIPSILVAGALAAERGIYKEHFLSLSGKKEDDDSSQKNKRSGTYDNHRHRRSKFDFVERWFRKVLLAACLVICWKHFTNCKALTKAYEMNPLLHFPVYSLAIPLLLACTVYKTRSIQ >Potri.010G180800.2.v4.1 pep chromosome:Pop_tri_v4:10:17970586:17974860:-1 gene:Potri.010G180800.v4.1 transcript:Potri.010G180800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180800.v4.1 MEKRQSPQFREMGLVVLMLLCLTTTVPTSVSIQQGGGGAEELVSSQPRKWQDRVIEVKGGPESVVWIVQLSDLHFSVHHPERALDFKKIVGPALKMINPSLVLITGDLTDGKSKDLLTMKQNEDEWIEYQNVMEDVARSSGLDKSIFYDLRGNHDNFGVPVIGGSFDFFSNYSINGQFGRKGNVNSVTLETGDRKHVFVGLDSTMSTGLRGPTNLFGHPTDQLLSQIDSQLSQWDSQKGKSVTKISFGHFPLSFSAFSESQKSLRDVFLKHSVSAYLCGHLHTRFGKNLKRHHQSNEKFLSSHRFFQLNMHQEPSENPKNCLFQAPPLKEFWEWEMGDWRKSRAMRIVAVDRGHVSYLDIDFKSGTKKTIVLPTFPLDSRFMLTSSLHQMYGCQHMVPFSFETIRCLVFSVSPITSVVARIYDTRPGNPLMIMETTMTKFVRDISRGDIYAAAWNYKAFEDPSPVRFWLQIEVIDVMGRSTLSELRPFSVNGLSAKISWTWKEFFVMGCQWAALYYPIFWSAVFLMLSILLIPKFVLMFSKKQYSYKNFISEKGLINCIAWVLLDLCRVHVVWFGFLGYLIYLVSCPWLIGQVFTDGGNRGYMTCMGWLVKIFNSREKHDYIGSPDIMVVVLPHFFFVVIPSILVAGALAAERGIYKEHFLSLSGKKEDDDSSQKNKRSGTYDNHRHRRSKFDFVERWFRKVLLAACLVICWKHFTNCKALTKAYEMNPLLHFPVYSLAIPLLLACTVYKTRSIQ >Potri.010G180800.6.v4.1 pep chromosome:Pop_tri_v4:10:17970585:17975134:-1 gene:Potri.010G180800.v4.1 transcript:Potri.010G180800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180800.v4.1 MEKRQSPQFREMGLVVLMLLCLTTTVPTSVSIQQGGGGAEELVSSQPRKWQDRVIEVKGGPESVVWIVQLSDLHFSVHHPERALDFKKIVGPALKMINPSLVLITGDLTDGKSKDLLTMKQNEDEWIEYQNVMEDVARSSGLDKSIFYDLRGNHDNFGVPVIGGSFDFFSNYSINGQFGRKGNVNSVTLETGDRKHVFVGLDSTMSTGLRGPTNLFGHPTDQLLSQIDSQLSQWDSQKGKSVTKISFGHFPLSFSAFSESQKSLRDVFLKHSVSAYLCGHLHTRFGKNLKRHHQSNEKFLSSHRFFQLNMHQEPSENPKNCLFQAPPLKEFWEWEMGDWRKSRAMRIVAVDRGHVSYLDIDFKSGTKKTIVLPTFPLDSRFMLTSSLHQMYGCQHMVPFSFETIRCLVFSVSPITSVVARIYDTRPGNPLMIMETTMTKFVRDISRGDIYAAAWNYKAFEDPSPVRFWLQIEVIDVMGRSTLSELRPFSVNGLSAKISWTWKEFFVMGCQWAALYYPIFWSAVFLMLSILLIPKFVLMFSKKQYSYKNFISEKGLINCIAWVLLDLCRVHVVWFGFLGYLIYLVSCPWLIGQVFTDGGNRGYMTCMGWLVKIFNSREKHDYIGSPDIMVVVLPHFFFVVIPSILVAGALAAERGIYKEHFLSLSGKKEDDDSSQKNKRSGTYDNHRHRRSKFDFVERWFRKVLLAACLVICWKHFTNCKALTKAYEMNPLLHFPVYSLAIPLLLACTVYKTRSIQ >Potri.004G101600.3.v4.1 pep chromosome:Pop_tri_v4:4:8884919:8887336:1 gene:Potri.004G101600.v4.1 transcript:Potri.004G101600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101600.v4.1 MASLVSRRTPLGDLSNSMKAVASRIPHDASKMKSISKAPGKWQTAGRKPLSDISNSRKPETKKQSFNAKKLTVLTEEPDQTSAIAEEKFLHNHQECIKAQTRAMDINEFLQSIGLKDDFSKQLATPCSPLASITMKSPPRPLQLEATVEQLLKDQSWEFNLDSPSPFRTPISPRNYRDWKDQDYCISFKLIETP >Potri.018G130200.1.v4.1 pep chromosome:Pop_tri_v4:18:14078975:14079682:1 gene:Potri.018G130200.v4.1 transcript:Potri.018G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130200.v4.1 MEQRYKLAPDDSLFPKPKFRAVARKIRWPAFGVLMIYIVTLSIFPGFIEDLSSKLLKDWYRVLLITIYNVADFTGKSLTAIYVLQSIKKATWGCILRLVFYPLFAACLNGPKWLKTEVPVAILTFMLGVTNGYLTSVLMILAPMAVSVSEAELSAIAMVVFLGIGLVGGSVIGWFWII >Potri.001G388200.2.v4.1 pep chromosome:Pop_tri_v4:1:40815802:40817463:1 gene:Potri.001G388200.v4.1 transcript:Potri.001G388200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388200.v4.1 MRIRPAAHLVDQAYVAKYAKAIALMKSLPDDDPRSFKSQADVHCAYCDGAYHQAGFPDLELQIHFSWLFFPWHRLYLYYFERILGKLIDDPTFALLFWNWDAPAGMQMPAIFTDPESPLYDPLRDANHQPPTLLDLNYAKGDANPDPAKAEELYASNLNVMYRQMVSGATKPTLFFGKPYRAGDDPSPGMGTIETTPHTQIHYWTGDPNQTNGENMGNFYSAGRDPIFYCHHSNVDRMWDLWKKIPGGKREDIEDSDWLNSEFLFWDENKELVRVKVKDTLDTKKLGYGFQDVPIPWLTTRATPKLTRQEKSRRAAEKSVVLTPISAFPVVLDKVISVEVSRPKKSRSATEKEDEDEVLVIEGIEYEENQLIKFDVLVNDEPDSPGGPDNSEFAGSFVNVPHKHAKKSKTTMVLGITGLLEDLEAEGDDTLVVTLVPRSGGDSVTVANVKIEFVAD >Potri.001G388200.1.v4.1 pep chromosome:Pop_tri_v4:1:40815539:40817400:1 gene:Potri.001G388200.v4.1 transcript:Potri.001G388200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388200.v4.1 MASCICLSSSIPLAASSFLPSFPKTHRVSRIKKPNRPNIPIVSCKSGKNDHEQNPATRRDLLIGLGGLYGATSLSDPFAYANPIAPPDITQCELVPLPSESDPSNCCPPTSTEIKNFEFPSASSPMRIRPAAHLVDQAYVAKYAKAIALMKSLPDDDPRSFKSQADVHCAYCDGAYHQAGFPDLELQIHFSWLFFPWHRLYLYYFERILGKLIDDPTFALLFWNWDAPAGMQMPAIFTDPESPLYDPLRDANHQPPTLLDLNYAKGDANPDPAKAEELYASNLNVMYRQMVSGATKPTLFFGKPYRAGDDPSPGMGTIETTPHTQIHYWTGDPNQTNGENMGNFYSAGRDPIFYCHHSNVDRMWDLWKKIPGGKREDIEDSDWLNSEFLFWDENKELVRVKVKDTLDTKKLGYGFQDVPIPWLTTRATPKLTRQEKSRRAAEKSVVLTPISAFPVVLDKVISVEVSRPKKSRSATEKEDEDEVLVIEGIEYEENQLIKFDVLVNDEPDSPGGPDNSEFAGSFVNVPHKHAKKSKTTMVLGITGLLEDLEAEGDDTLVVTLVPRSGGDSVTVANVKIEFVAD >Potri.017G070900.2.v4.1 pep chromosome:Pop_tri_v4:17:7683417:7686728:1 gene:Potri.017G070900.v4.1 transcript:Potri.017G070900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070900.v4.1 MAPPAAMEETAPTINLLSNINDGNNAASQISRVTVVGSGNWGSVAAKLIASNTLKLASFHDEVRMWVFEETLPTGQKLTEVINQTNENVKYLPGIKLGKNVVADPDLDNAVRDAKMLVFVTPHQFMDGICKRLVGKLKEDVVAISLIKGMEVKMEGPHMISTLISEQLRVNCCVLMGANIANEIAVEKFSEATVGYRENREVAEKWVRLFSTPYFVVTPVQDVEGVELCGTLKNVVALAAGFVDGLEMGNNTKAAIMRIGLREMRAFSKLLFSSVKDSTFFESCGVADLITTCLGGRNRRVAEAFAKNGGKRSFDELEAEMLQGQKLQGVSTAREVYEVLRHRGWLELFPLFATVHEISAGRLPPSAIVEYSEHKPNCSLV >Potri.010G191300.1.v4.1 pep chromosome:Pop_tri_v4:10:18683945:18686266:1 gene:Potri.010G191300.v4.1 transcript:Potri.010G191300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191300.v4.1 MVDLDWKAKMVSSDLPNKSPKLSNKLQISIPAIPFRGVSNITPTPASDSSCSAYEHCFRLAELHQIWNRKEFPNWKTESILKPALQALEITFRFISTVLSDARPYANRRELTRRIESLTTSQIELIAIIIEDEAEGSTTRGTAPIVDLSSSNSVLARDGSYAEVWKVPGETTVVSKTSEASLLPRLATWQTSEDVAQKILYSIECEMRRCPYTLGLGEPNLTGKPNLEYDAVCRPNEIHALKKSPYDHTNNQENQSLYTTHQILESWIHVAKQIIQRVTERIESKEFSRAANDCYLVERIWKLLAEIEDLHLLMDPDDFLRLKNQLQMRSLDETAPFCFRSRELVEITKSCKELKHKVPEILGVEVDPKGGPRIQEAAMRLYSEKREFEKVYLLQALQAIEGALKRFFYAYKQVLVVVMGSLEAKGNGVLVSSESCDSLTQLFLEPTYFPSLDAAKTFLGESWSHRQHTAMERRSRRKQ >Potri.001G433800.3.v4.1 pep chromosome:Pop_tri_v4:1:45921430:45922744:1 gene:Potri.001G433800.v4.1 transcript:Potri.001G433800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G433800.v4.1 MHQRLNTLAMVAFHKGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEVCQVLVGVPFGLYSSKCSIIHTTISQRKYGFFILKLYLYFPWYRMSI >Potri.006G223700.1.v4.1 pep chromosome:Pop_tri_v4:6:22867829:22869877:1 gene:Potri.006G223700.v4.1 transcript:Potri.006G223700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223700.v4.1 MTRWKFCVHSLRKAQGLFDKSRPLRQMVRVKIDWTMERMEEVQRKLLDAAVEGNVTSLLILLQEDKLFLDRCAVTCPAETPLHIAAMLGHLEFTREILWRKPDLVNELDLHRSSPLHLATANGHLEVVRVLLLVDADLCLVKDRNRWNPLHVAVIKGRIDVLKELVQAKPDAIRTRGQRGETILHLCVKHYQLEALKFLVGITIADTEFVNSEDDDGFTILHLAVADREIEVINYLISESPIQVNALNANGFTALDIVLAQGRRNIKDIDIQNTLREGGAISSKDMPSTMHGLDAIRPNNSTTLNERNCWRKKNWLEERRNALMVVASLIATMAFQAGISPPNGNWQEDRQQPPSQSHEAGRSIMADKMPDDFAFFVGYNTTSFLASISVIILLISGLPFKWRIFTWILMIIMWIAVIATIWTYYISISCLSSRRGESTTAKAGAAVVFYGVMGIVLIGHSIRLIRKIVTFARRSRARRFSRSTTITHANI >Potri.003G136700.1.v4.1 pep chromosome:Pop_tri_v4:3:15409430:15409860:-1 gene:Potri.003G136700.v4.1 transcript:Potri.003G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136700.v4.1 MSGVSCPSSVSSAMPKDLRPNGYNQHQASKRGTCSCFQMPLHYPRYKKSDYETMPEWRLDCLLKEYGLPAIGDANQKRKFAMGAFLWPSENE >Potri.004G010200.1.v4.1 pep chromosome:Pop_tri_v4:4:592413:592925:-1 gene:Potri.004G010200.v4.1 transcript:Potri.004G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010200.v4.1 MQETELVNTTRRWGTGRKKVEMKKIESKSSLIVTFCKRRNGLFKKASEFCNLYDDASLAIVVLSPNQRPYSFGHPDVNTVVDQCLGDQESSERNNISCSEDTHGKNNMDCDNINEEGEGCWWERSVEDMNLEELEKFRASLETLKNNVAMRVREERRTRVSTRDFLGGFS >Potri.003G215100.1.v4.1 pep chromosome:Pop_tri_v4:3:21130415:21136497:-1 gene:Potri.003G215100.v4.1 transcript:Potri.003G215100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215100.v4.1 MSASKTVRKVEVLSPVPADIEIANSVEPFHISEIAKDLNLSPKHYDLYGKYKAKVLLSVLDELEGSGDGYYVVVGGITPTPLGEGKSTTTVGLCQALGAFLDKKVVTCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRVFHESTQSDKALLNRLCPPNKEGKRSFSDIMFRRLKKLGISKTKPEELSPQEVKKFARLDIDPASITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLAGPGGFVVTEAGFGSDIGTEKFMNIKCRYSSLTPQCAVIVATIRALKMHGGGPEVVAGKPLDRAYTTENVSLVEAGCVNLARHISNTKAYGVNVVVAVNMFATDSEAELNAVRNAALAAGAYDAVVCTHHAHGGKGAVDLGIAVQKACENVTQPLKFLYPLDISIKEKIEAIARSYGASGVEYSEQAEKQIEMYSKQGFSGLPICMAKTQYSFSHNAAEKGAPTGFSLPIRDVRASIGAGFICPLVGTMSTMPGLPTRPCFYDIDLDTATGKVIGLS >Potri.003G215100.3.v4.1 pep chromosome:Pop_tri_v4:3:21131467:21136470:-1 gene:Potri.003G215100.v4.1 transcript:Potri.003G215100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215100.v4.1 MDEFNLHLTGDIHAITAANNLLAAAIDTRVFHESTQSDKALLNRLCPPNKEGKRSFSDIMFRRLKKLGISKTKPEELSPQEVKKFARLDIDPASITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLAGPGGFVVTEAGFGSDIGTEKFMNIKCRYSSLTPQCAVIVATIRALKMHGGGPEVVAGKPLDRAYTTENVSLVEAGCVNLARHISNTKAYGVNVVVAVNMFATDSEAELNAVRNAALAAGAYDAVVCTHHAHGGKGAVDLGIAVQKACENVTQPLKFLYPLDISIKEKIEAIARSYGASGVEYSEQAEKQIEMYSKQGFSGLPICMAKTQYSFSHNAAEKGAPTGFSLPIRDVRASIGAGFICPLVGTMSTMPGLPTRPCFYDIDLDTATGKVIGLS >Potri.002G231100.2.v4.1 pep chromosome:Pop_tri_v4:2:22256402:22263588:-1 gene:Potri.002G231100.v4.1 transcript:Potri.002G231100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231100.v4.1 MKKAFDQTVRDIKREVNKKVLKVPSIEQKVLDATSNEPWGPHGTLLADIAQASRNYHEYQMIMAVLWKRLNDTGRNWRHVYKALTVLEYLVAHGSERVIDEIREHSYQISTLSNFQYIDSSGKDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRTSPGGMYRPGSYSSTGGYDDDGRYKDEDRNGYGYGKEREYNYRDDDRYGKYGDSYGRDADRYGEERYGRDGYRDDDYQGRSRSIDGYGSRSRSSDRDRDRAFDDDGQSSSRGSGARADDRAQDGRRLERKPSEQNIGGPPSYEETISESRSPAHSERNGETLAAPAPGASPPPSNPGQANTFDVRSPSPADREIEVADEFDPRGPVSASPTATSVPTASAFPTTSNNAEMDLLGSLSDVFAPNPLAIVPVTSATSSSEADAQANFSGSTFASTQSASNIMNQAFEDPFGDNPFKATPTDNFSAQQPTASAAPFQPTMNQNAEMPHAAAPPNGDAFSDMTYSAPNVQPPSTNSHFFPQEMSSSHPETDILADILSSSGPYAAASQAGFSFPSGQPPQLGASMYGNFNPPAGNMVPPAVPHMAPQTQQLSSANFLPQGGFPATNPSNMALQPPAGPVAHFNNGNFPQQGSAAPVVPQFSHHTLTGSTPQFNSGNFHPQRGSTVPVSSQFTYQTPTASSPQQKDVLGNLFSQGSNSSMSSQTALPSSTGSLAIVPLPSKDKFETKSTVWADTMSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKQPMTPVTSTVTMGKAMGSGTGMGRPGAGVLSPPPNPMIGSGMGMGVGAGVNMGIGMGGRPGSGIGMGGYGGVHQPMGVGVGVGMGMMNMGMMPGGQMPPGSAMPGGYNPMMGSGGYTPQQHYGGYR >Potri.002G231100.3.v4.1 pep chromosome:Pop_tri_v4:2:22256401:22263535:-1 gene:Potri.002G231100.v4.1 transcript:Potri.002G231100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231100.v4.1 MCFLRHLLTLYSVFFLYIILFFYSKREVNKKVLKVPSIEQKVLDATSNEPWGPHGTLLADIAQASRNYHEYQMIMAVLWKRLNDTGRNWRHVYKALTVLEYLVAHGSERVIDEIREHSYQISTLSNFQYIDSSGKDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRTSPGGMYRPGSYSSTGGYDDDGRYKDEDRNGYGYGKEREYNYRDDDRYGKYGDSYGRDADRYGEERYGRDGYRDDDYQGRSRSIDGYGSRSRSSDRDRDRAFDDDGQSSSRGSGARADDRAQDGRRLERKPSEQNIGGPPSYEETISESRSPAHSERNGETLAAPAPGASPPPSNPGQANTFDVRSPSPADREIEVADEFDPRGPVSASPTATSVPTASAFPTTSNNAEMDLLGSLSDVFAPNPLAIVPVTSATSSSEADAQANFSGSTFASTQSASNIMNQAFEDPFGDNPFKATPTDNFSAQQPTASAAPFQPTMNQNAEMPHAAAPPNGDAFSDMTYSAPNVQPPSTNSHFFPQEMSSSHPETDILADILSSSGPYAAASQAGFSFPSGQPPQLGASMYGNFNPPAGNMVPPAVPHMAPQTQQLSSANFLPQGGFPATNPSNMALQPPAGPVAHFNNGNFPQQGSAAPVVPQFSHHTLTGSTPQFNSGNFHPQRGSTVPVSSQFTYQTPTASSPQQKDVLGNLFSQGSNSSMSSQTALPSSTGSLAIVPLPSKDKFETKSTVWADTMSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKQPMTPVTSTVTMGKAMGSGTGMGRPGAGVLSPPPNPMIGSGMGMGVGAGVNMGIGMGGRPGSGIGMGGYGGVHQPMGVGVGVGMGMMNMGMMPGGQMPPGSAMPGGYNPMMGSGGYTPQQHYGGYR >Potri.002G231100.4.v4.1 pep chromosome:Pop_tri_v4:2:22256398:22263073:-1 gene:Potri.002G231100.v4.1 transcript:Potri.002G231100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231100.v4.1 MKKAFDQTVRDIKREVNKKVLKVPSIEQKVLDATSNEPWGPHGTLLADIAQASRNYHEYQMIMAVLWKRLNDTGRNWRHVYKALTVLEYLVAHGSERVIDEIREHSYQISTLSNFQYIDSSGKDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRTSPGGMYRPGSYSSTGGYDDDGRYKDEDRNGYGYGKEREYNYRDDDRYGKYGDSYGRDADRYGEERYGRDGYRDDDYQGRSRSIDGYGSRSRSSDRDRDRAFDDDGQSSSRGSGARADDRAQDGRRLERKPSEQNIGGPPSYEETISESRSPAHSERNGETLAAPAPGASPPPSNPGQANTFDVRSPSPADREIEVADEFDPRGPVSASPTATSVPTASAFPTTSNNAEMDLLGSLSDVFAPNPLAIVPVTSATSSSEADAQANFSGSTFASTQSASNIMNQAFEDPFGDNPFKATPTDNFSAQQPTASAAPFQPTMNQNAEMPHAAAPPNGDAFSDMTYSAPNVQPPSTNSHFFPQEMSSSHPETDILADILSSSGPYAAASQAGFSFPSGQPPQLGASMYGNFNPPAGNMVPPAVPHMAPQTQQLSSANFLPQGGFPATNPSNMALQPPAGPVAHFNNGNFPQQGSAAPVVPQFSHHTLTGSTPQFNSGNFHPQRGSTVPVSSQFTYQTPTASSPQQKDVLGNLFSQGSNSSMSSQTALPSSTGSLAIVPLPSKDKFETKSTVWADTMSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKQPMTPVTSTVTMGKAMGSGTGMGRPGAGVLSPPPNPMIGSGMGMGVGAGVNMGIGMGGRPGSGIGMGGYGGVHQPMGVGVGVGMGMMNMGMMPGGQMPPGSAMPGGYNPMMGSGGYTPQQHYGGYR >Potri.002G231100.5.v4.1 pep chromosome:Pop_tri_v4:2:22255939:22261302:-1 gene:Potri.002G231100.v4.1 transcript:Potri.002G231100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231100.v4.1 MYRPGSYSSTGGYDDDGRYKDEDRNGYGYGKEREYNYRDDDRYGKYGDSYGRDADRYGEERYGRDGYRDDDYQGRSRSIDGYGSRSRSSDRDRDRAFDDDGQSSSRGSGARADDRAQDGRRLERKPSEQNIGGPPSYEETISESRSPAHSERNGETLAAPAPGASPPPSNPGQANTFDVRSPSPADREIEVADEFDPRGPVSASPTATSVPTASAFPTTSNNAEMDLLGSLSDVFAPNPLAIVPVTSATSSSEADAQANFSGSTFASTQSASNIMNQAFEDPFGDNPFKATPTDNFSAQQPTASAAPFQPTMNQNAEMPHAAAPPNGDAFSDMTYSAPNVQPPSTNSHFFPQEMSSSHPETDILADILSSSGPYAAASQAGFSFPSGQPPQLGASMYGNFNPPAGNMVPPAVPHMAPQTQQLSSANFLPQGGFPATNPSNMALQPPAGPVAHFNNGNFPQQGSAAPVVPQFSHHTLTGSTPQFNSGNFHPQRGSTVPVSSQFTYQTPTASSPQQKDVLGNLFSQGSNSSMSSQTALPSSTGSLAIVPLPSKDKFETKSTVWADTMSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKQPMTPVTSTVTMGKAMGSGTGMGRPGAGVLSPPPNPMIGSGMGMGVGAGVNMGIGMGGRPGSGIGMGGYGGVHQPMGVGVGVGMGMMNMGMMPGGQMPPGSAMPGGYNPMMGSGGYTPQQHYGGYR >Potri.002G231100.1.v4.1 pep chromosome:Pop_tri_v4:2:22255871:22263544:-1 gene:Potri.002G231100.v4.1 transcript:Potri.002G231100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231100.v4.1 MKKAFDQTVRDIKREVNKKVLKVPSIEQKVLDATSNEPWGPHGTLLADIAQASRNYHEYQMIMAVLWKRLNDTGRNWRHVYKALTVLEYLVAHGSERVIDEIREHSYQISTLSNFQYIDSSGKDQGNNVRKKSQSLVALVNDKERIIEVRQKAAANRDKFRTSPGGMYRPGSYSSTGGYDDDGRYKDEDRNGYGYGKEREYNYRDDDRYGKYGDSYGRDADRYGEERYGRDGYRDDDYQGRSRSIDGYGSRSRSSDRDRDRAFDDDGQSSSRGSGARADDRAQDGRRLERKPSEQNIGGPPSYEETISESRSPAHSERNGETLAAPAPGASPPPSNPGQANTFDVRSPSPADREIEVADEFDPRGPVSASPTATSVPTASAFPTTSNNAEMDLLGSLSDVFAPNPLAIVPVTSATSSSEADAQANFSGSTFASTQSASNIMNQAFEDPFGDNPFKATPTDNFSAQQPTASAAPFQPTMNQNAEMPHAAAPPNGDAFSDMTYSAPNVQPPSTNSHFFPQEMSSSHPETDILADILSSSGPYAAASQAGFSFPSGQPPQLGASMYGNFNPPAGNMVPPAVPHMAPQTQQLSSANFLPQGGFPATNPSNMALQPPAGPVAHFNNGNFPQQGSAAPVVPQFSHHTLTGSTPQFNSGNFHPQRGSTVPVSSQFTYQTPTASSPQQKDVLGNLFSQGSNSSMSSQTALPSSTGSLAIVPLPSKDKFETKSTVWADTMSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKQPMTPVTSTVTMGKAMGSGTGMGRPGAGVLSPPPNPMIGSGMGMGVGAGVNMGIGMGGRPGSGIGMGGYGGVHQPMGVGVGVGMGMMNMGMMPGGQMPPGSAMPGGYNPMMGSGGYTPQQHYGGYR >Potri.003G012400.7.v4.1 pep chromosome:Pop_tri_v4:3:3230928:3240667:1 gene:Potri.003G012400.v4.1 transcript:Potri.003G012400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012400.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKTLDPGASHSMPPQVHNQGQSLPNSLSSNQSQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSGLTQTIPNTVGQNANMQSISGVSQNSVGNSMGQGIPPNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQMQHQLLKQKLQQGNHPHSLVQSHIHQQQQQNLLQPNQLQSSQQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMHQQHPQSVLRQQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSSTTNMSQNQLIGQQNIVGDLQQQQQQRLLGQQNNLQNLQQQQQLMTQQNNLSSMHQQQLAPQSNVTGLQQQQLHGAQPGNSSMQSNQHSLHMLQQPKVTLQQQAQQSGSGLLPNQGQQSHSQLPQQQLMAQIQSQPGQLQQQSNPLQRDLQQRLQASGSLLQQPNVIDQQKQLYQPQRALPETSSTSLDSTAETGHANGADWQEEIYQKIKVMKETYLPEINEMYQRIATKLQQHDPLPQQPKSEQLEKLKLFKVMLERLIGFLQVPKNNITPNFKEKLGSYEKQILGFLNPSRYRKPIPNLQQGQLPQPHIQPMQQPQSQVPQLQSHENQLNSQLQSINMQGSVPKMQQNNMSSLLHNSLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTNVNTMSTQSGVSMMQPNMPLQSNSNMIQHQHLKQQQQHEQHMLQTQQLKRMQHRQNLMQNQQMLQQQQQLHQQAKQQLPAQMQTHQIPQPQQMNDVNEMRQGIGIKPAVFQQHLPTAQRTAFPRQHMKPAPSFPISSPQLPQHASPQLQHSSPQIDQQNLPSSVTKTGTPLQSANSPFVVPSPSTPLAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQAPAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVTEQPLERLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAPGNGSRAAAGEDLVAMTKCRLQARNYITQDGMTGSRKMRRHTSAMPLNVVSSAGSVSDSFKQFTGPETSDLESTATSSVKRPRIEANHALLEEIREINQRLIDTVVDISDEDVDSTAVAATAEGGEGTIVKCSFSAVALSQNLKSQYASAQMSPIQPLRLLVPTNYPSCSPILLDRFPVEVSKEYEDLSIKAKSRFSISLRSLSQPMSLGEIARTWDVCARVVISEHAQQSGGGTFSSKYGSWENCLSAA >Potri.003G012400.10.v4.1 pep chromosome:Pop_tri_v4:3:3229510:3240591:1 gene:Potri.003G012400.v4.1 transcript:Potri.003G012400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012400.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKTLDPGASHSMPPQVHNQGQSLPNSLSSNQSQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSGLTQTIPNTVGQNANMQSISGVSQNSVGNSMGQGIPPNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQMQHQLLKQKLQQGNHPHSLVQSHIHQQQQQNLLQPNQLQSSQQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMHQQHPQSVLRQQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSSTTNMSQNQLIGQQNIVGDLQQQQQQRLLGQQNNLQNLQQQQQLMTQQNNLSSMHQQQLAPQSNVTGLQQQQLHGAQPGNSSMQSNQHSLHMLQQPKVTLQQQAQQSGSGLLPNQGQQSHSQLPQQQLMAQIQSQPGQLQQQSNPLQRDLQQRLQASGSLLQQPNVIDQQKQLYQPQRALPETSSTSLDSTAETGHANGADWQEEIYQKIKVMKETYLPEINEMYQRIATKLQQHDPLPQQPKSEQLEKLKLFKVMLERLIGFLQVPKNNITPNFKEKLGSYEKQILGFLNPSRYRKPIPNLQQGQLPQPHIQPMQQPQSQVPQLQSHENQLNSQLQSINMQGSVPKMQQNNMSSLLHNSLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTNVNTMSTQSGVSMMQPNMPLQSNSNMIQHQHLKQQQQHEQHMLQTQQLKRMQHRQNLMQNQQMLQQQQQLHQQAKQQLPAQMQTHQIPQPQQMNDVNEMRQGIGIKPAVFQQHLPTAQRTAFPRQHMKPAPSFPISSPQLPQHASPQLQHSSPQIDQQNLPSSVTKTGTPLQSANSPFVVPSPSTPLAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQAPAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVTEQPLERLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAPGNGSRAAAGEDLVAMTKCRLQARNYITQDGMTGSRKMRRHTSAMPLNVVSSAGSVSDSFKQFTGPETSDLESTATSSVKRPRIEANHALLEEIREINQRLIDTVVDISDEDVDSTAVAATAEGGEGTIVKCSFSAVALSQNLKSQYASAQMSPIQPLRLLVPTNYPSCSPILLDRFPVEVSKEYEDLSIKAKSRFSISLRSLSQPMSLGEIARTWDVCARVVISEHAQQSGGGTFSSKYGSWENCLSAA >Potri.003G012400.8.v4.1 pep chromosome:Pop_tri_v4:3:3229453:3240670:1 gene:Potri.003G012400.v4.1 transcript:Potri.003G012400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012400.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKTLDPGASHSMPPQVHNQGQSLPNSLSSNQSQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSGLTQTIPNTVGQNANMQSISGVSQNSVGNSMGQGIPPNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQMQHQLLKQKLQQGNHPHSLVQSHIHQQQQQNLLQPNQLQSSQQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMHQQHPQSVLRQQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSSTTNMSQNQLIGQQNIVGDLQQQQQQRLLGQQNNLQNLQQQQQLMTQQNNLSSMHQQQLAPQSNVTGLQQQQLHGAQPGNSSMQSNQHSLHMLQQPKVTLQQQAQQSGSGLLPNQGQQSHSQLPQQQLMAQIQSQPGQLQQQSNPLQRDLQQRLQASGSLLQQPNVIDQQKQLYQPQRALPETSSTSLDSTAETGHANGADWQEEIYQKIKVMKETYLPEINEMYQRIATKLQQHDPLPQQPKSEQLEKLKLFKVMLERLIGFLQVPKNNITPNFKEKLGSYEKQILGFLNPSRYRKPIPNLQQGQLPQPHIQPMQQPQSQVPQLQSHENQLNSQLQSINMQGSVPKMQQNNMSSLLHNSLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTNVNTMSTQSGVSMMQPNMPLQSNSNMIQHQHLKQQQQHEQHMLQTQQLKRMQHRQNLMQNQQMLQQQQQLHQQAKQQLPAQMQTHQIPQPQQMNDVNEMRQGIGIKPAVFQQHLPTAQRTAFPRQHMKPAPSFPISSPQLPQHASPQLQHSSPQIDQQNLPSSVTKTGTPLQSANSPFVVPSPSTPLAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQAPAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVTEQPLERLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAPGNGSRAAAGEDLVAMTKCRLQARNYITQDGMTGSRKMRRHTSAMPLNVVSSAGSVSDSFKQFTGPETSDLESTATSSVKRPRIEANHALLEEIREINQRLIDTVVDISDEDVDSTAVAATAEGGEGTIVKCSFSAVALSQNLKSQYASAQMSPIQPLRLLVPTNYPSCSPILLDRFPVEVSKEYEDLSIKAKSRFSISLRSLSQPMSLGEIARTWDVCARVVISEHAQQSGGGTFSSKYGSWENCLSAA >Potri.003G012400.9.v4.1 pep chromosome:Pop_tri_v4:3:3229453:3240642:1 gene:Potri.003G012400.v4.1 transcript:Potri.003G012400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012400.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATNQSDYLRKISLKMLTMETKSQNTIPTGNGNKTLDPGASHSMPPQVHNQGQSLPNSLSSNQSQARQQLSQNMQNSMSSNGVQSSAGLQSAMPSVSGLTQTIPNTVGQNANMQSISGVSQNSVGNSMGQGIPPNMFVNSQRQMPGRQQVVPPQQQQQSQNPQQYLYQQQMQHQLLKQKLQQGNHPHSLVQSHIHQQQQQNLLQPNQLQSSQQSGLQTSTVMQPSMMQTVSGLQQNQPSSVQQSTQPMHQQHPQSVLRQQQQQPQQSAGIHQQQTPMMQQPLLPPQQQLMGQQSSTTNMSQNQLIGQQNIVGDLQQQQQQRLLGQQNNLQNLQQQQQLMTQQNNLSSMHQQQLAPQSNVTGLQQQQLHGAQPGNSSMQSNQHSLHMLQQPKVTLQQQAQQSGSGLLPNQGQQSHSQLPQQQLMAQIQSQPGQLQQQSNPLQRDLQQRLQASGSLLQQPNVIDQQKQLYQPQRALPETSSTSLDSTAETGHANGADWQEEIYQKIKVMKETYLPEINEMYQRIATKLQQHDPLPQQPKSEQLEKLKLFKVMLERLIGFLQVPKNNITPNFKEKLGSYEKQILGFLNPSRYRKPIPNLQQGQLPQPHIQPMQQPQSQVPQLQSHENQLNSQLQSINMQGSVPKMQQNNMSSLLHNSLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTNVNTMSTQSGVSMMQPNMPLQSNSNMIQHQHLKQQQQHEQHMLQTQQLKRMQHRQNLMQNQQMLQQQQQLHQQAKQQLPAQMQTHQIPQPQQMNDVNEMRQGIGIKPAVFQQHLPTAQRTAFPRQHMKPAPSFPISSPQLPQHASPQLQHSSPQIDQQNLPSSVTKTGTPLQSANSPFVVPSPSTPLAPSPMPGDSDKPVSGISSLLNTGNIVHQPSVAQAPAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVTEQPLERLIKAVKSLSPKALSASVGDIGSVVSMIDRIAGSAPGNGSRAAAGEDLVAMTKCRLQARNYITQDGMTGSRKMRRHTSAMPLNVVSSAGSVSDSFKQFTGPETSDLESTATSSVKRPRIEANHALLEEIREINQRLIDTVVDISDEDVDSTAVAATAEGGEGTIVKCSFSAVALSQNLKSQYASAQMSPIQPLRLLVPTNYPSCSPILLDRFPVEVSKEYEDLSIKAKSRFSISLRSLSQPMSLGEIARTWDVCARVVISEHAQQSGGGTFSSKYGSWENCLSAA >Potri.016G039866.1.v4.1 pep chromosome:Pop_tri_v4:16:2466738:2468570:1 gene:Potri.016G039866.v4.1 transcript:Potri.016G039866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039866.v4.1 MEETHILVPENNNWLGSILSVERTETMSQQQGPRIPRVPQIFSGIESNKKCYEPMVVSIGPYYHGKHDGLKEMEKSKQSMVRGFVQQSGKHIEEVYKTVVDVAEEARRCYVEDESFKLVGMTEFTMMMFLDGCFVIQFMYCLLCDHENLKMSSHVSAMVTRDMLLLENQLPFLVLRSLMKLRFEDEGGMKLIKGFIKHIRAMPRQRDSCRKRISKFFRKIIMRGALNLTTPKGLAMEEYYVASHLLELFHMHFVDKDAPVDSSKTTLYRYHPATELRRVGIHFNPSKTSQLTDVQFKPTWLAGRLQIPPLTIDDSTKSILLNLVAYEACLGDNKKLWVTSYICFMDSLIDQPEDVRVLRSQGVLIVTLGSEEEVAKLFNEVANYLVPNPRVFNKVKKDIESHCRDTFKRWILHYKGPIYTVIFKYSFIFGLIVSALKYVKVFPAEPVYGVCRLRRS >Potri.009G036450.1.v4.1 pep chromosome:Pop_tri_v4:9:4591882:4596301:1 gene:Potri.009G036450.v4.1 transcript:Potri.009G036450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036450.v4.1 MQVAIKKVSHDSRQGSKEFVAEIVSMGRLRHRNLVQLFDYCRRKGELLLVYDYMPNGSLDKLLFRNDTPSLNWAQRYQVIRGVASALLYLHEEWEQVVLHRDVKASNVLLDADLNGRLGDFGLAKFHDHGSTPQTTNMVGTVRYLAPEIARTGKSTTCSDVFSFGTFMLEVACGRKPVESERPLEEVVLVDWLEC >Potri.008G085300.1.v4.1 pep chromosome:Pop_tri_v4:8:5329340:5335010:-1 gene:Potri.008G085300.v4.1 transcript:Potri.008G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085300.v4.1 MNPPDKPLPKPLSSQDWESLIEDFQQGGPRHHKWTAPHLLQSLLDQAFTSLLKKDFPLKLPLLLLLEEFSETFFTHETHLNRLLESLRSVIQSPLDGVTISYYLKEQFMVSTTSIFVTVNALEKFHARFIEGLVELLVLVINRPNHSMDRQSRAIACECLRELEKCWPCLLSNIGGHLWSLCQNERSHACQSYLLLFTSVVFNIVNTKLNVSILNTSVPLVPFNVPQWVLSGGDENGIGSKEVVVGLNYKELRRAMAFLLESPQVLTPSGMMEFLGMVMPMAVALELQASMLKVQFFWMIYSFDPLSCHVVLTMYSRFLDVFDGQEGEIFSRLLLISKETHHYLVFRLLALHWLLGLLSKLMFSGEVGKYKSIFELGLRFYPAVFDPLALKALKLDLLAFYSICLDRLKLESFSGEEVGIGKSAAKLFEDGLVSVSAFKWLPPWSTETAVAFRAFHKFLIGASSHSDSDPSTTRTLMDSTIFHTLQGMLVDMTLQFQRLVPVIVSYTDRLLGCQKHRWLGERLLQTVDELLLPKVKINYKLSSYLPIFDRIAENSTIPPRGLLDLLDKFMVFLVEKHGPDTGLKTWSRGSKVLGICRTMLMHHHSSRLFLGLSRLLAFTCLYFPDLEVRDNARIYLRMLICIPGVKLRDILNLGEQLGNSPSSHSSSFFNVHSPRQHYQNLKKSRNISAYIHIERTKPLLVKQTWSLSLLPLGDGSAKAGYLESIRDSEPLVDVRDLNGNENLLTAPENERIYQSQEPLRVMDSKISEILEILRRHFSCIPDFRHMPGFKVRISCHLRFESEPFNHIWGDNSPTSQLDGVDGLPAIYATVLKFSSSAPYGSIPSYRIPCLLGEPPRNDDISGQSVSLDIVPIENGAREEESFRAPVTIDLEPQEPTPGLVDVSIEANAENGQVIRGQLQSITVGIEDMFLKAIIPSDIAEDEIPAYYSQLFNALWEACGAPSNIGRETFQLKGQKGVAAISGTRSVKLLEVPADSLIRATEQYLAPFVVSVIGEPLVNMVKDGGIICNIIWKDSASDSFLESTTSVTGLERGPLHLTYGEDDESGSSINTSKRNMGCFLVLIFLPPRFHLLLQMEVSDLSTLVRIRTDCWPCLAYVDDYLEGLFLA >Potri.017G035500.1.v4.1 pep chromosome:Pop_tri_v4:17:2361442:2363677:1 gene:Potri.017G035500.v4.1 transcript:Potri.017G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G035500.v4.1 MMNYSSEMVTCLFYFFIVFLAGHGASLNNTGSCGNRGPDIRFPFRNMDKQPDHCGCPGFDLSCSDDNSTVLKLPTGLSFLIERIDYRHQLIYARDPQGCFPGQRLNFSLGASHFQIKNDWLYDWTLFNCSLSSEKRSGFMYKIPCLSTFNHEVYAFDSSTTISDSDLLSCTKMYNIYGISYSMIPEENDVLTMSWSNPTCESSETECYLQHTKDVRPKLLITGVILGFFLFAIVIIALYRAYSNDKTQREYQARVEMFLDDYRSLNPTRYSYADLKRITNQFGDELGQGAYGTVFKGKLTTEIAVAVKLLNNSIGKGEEFINEVGTMARIHHVNVVRLIGFCADGFRRALVYEYLPNDSLQKFISSADSRNHFLGWERLNRVALGIAKGIEYLHQGCDQRILHFDIKPQNILLDNEFNPKIADFGMAKLCSKDKSAISMTTARGTVGYIAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKNVDDTAENGDQIYFPEWIYNLLEKEEDLRFHIDGEEDAKIAKKLAIVGLWCIQWNPAERPSMKTVVQMLEGEGENLTKPPDPFSSSVPKRTSAGHMPARRLHQELAAISEIE >Potri.016G044732.1.v4.1 pep chromosome:Pop_tri_v4:16:2856940:2859571:1 gene:Potri.016G044732.v4.1 transcript:Potri.016G044732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044732.v4.1 MEVEHVSHPDHPLILINQVLEYSCELVICSGCEGPIWGPCYSCTSCYFFLHKKCAELPREIMRRIHRRHPLHLLPKPPYKTRCFCDRCYKTCNSFVYHCSFCKFDLDIKCAFQPGFLEVDSQAHQFTHKDHPLILNEEQEYHGEGVVCCVCKEPMSGPSYSCTSCNVFLHKKCAELPPEIKRHSHPEHPLCLLPNHHMICSFCKETCYESFAYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLLNPRSFKSISFTCNACGTDGYGSPFICTMCQLVVHEECISLPGTLKIALHHHPRIIHTYHPQQCIESINKYCGICCREVDTEYGVYYCPDCDFVAHVNCSREYGDSATETGGENEEEQSVTVDDQFMEPSFRVVREIKHGEERIIEEIEHFSHQHNLILNDKVDDDLKCDGCMLPISTPFYSCARCNFFLDKTCIELPKKKKWQYHENQLILSWSRREHFLYNCVVCNQYFRGLRYTCDVCRLCIDVRCFKSLKDSFKHGGHEHPLYLPADRKKILRCTIGGRGVPPWVADDGEIIPHCSGCCVSEESKVFFKCAVCDFKLGMKCATLPYKARHEYDDHPLFLTYINENDYQPSCIICEEDRDPKLWFYRCEECDFDAHPECALGKYPFFKPGGVLTYPKHPHPLALVIKTEDYRPQACDTCGEPCDDLALECTDPNCSFIVHRKRRQCSNSLW >Potri.007G133500.6.v4.1 pep chromosome:Pop_tri_v4:7:14632232:14635056:1 gene:Potri.007G133500.v4.1 transcript:Potri.007G133500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133500.v4.1 MAASKLTFNFLLLSFLASLLIPEISLCDHSRQPKRHVAMFLFGDSIFDAGNNNYINNISVFYRANYWPYGETFFHFPTGRFTNGRLIVDFIATKIGLPFVPPYLQPGINFTNGVNFASAGAGVFPLANPEVISLRMQLSNFKNVAISMEEQIGDKEAKKLRSQAVYATCVGANDYTYFVDNYPNATQLEQDEFVNNMVGNLTDFVKELYNLGARKFAILNVGPRGCQPAARQSEDLRGDECDEVSLEMIKKHNSAASKAIKELESKLSGFKYSIADFYTILLDMIKHPKDYGKYI >Potri.007G133500.2.v4.1 pep chromosome:Pop_tri_v4:7:14632192:14635064:1 gene:Potri.007G133500.v4.1 transcript:Potri.007G133500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133500.v4.1 MAASKLTFNFLLLSFLASLLIPEISLCDHSRQPKRHVAMFLFGDSIFDAGNNNYINNISVFYRANYWPYGETFFHFPTGRFTNGRLIVDFIATKIGLPFVPPYLQPGINFTNGVNFASAGAGVFPLANPEVISLRMQLSNFKNVAISMEEQIGDKEAKKLRSQAVYATCVGANDYTYFVDNYPNATQLEQDEFVNNMVGNLTDFVKELYNLGARKFAILNVGPRGCQPAARQSEDLRGDECDEVSLEMIKKHNSAASKAIKELESKLSGFKYSIADFYTILLDMIKHPKDYGFKESRYSCCGHGMYNAAHCGIEPYTLCKNPREYLFFDGWHPTEPGYRILADLFWNGKPSIAAPYNFRQLFDLESTPIILSEEYEVPHYE >Potri.017G150301.1.v4.1 pep chromosome:Pop_tri_v4:17:14868001:14871264:-1 gene:Potri.017G150301.v4.1 transcript:Potri.017G150301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G150301.v4.1 MICPYIINISVFLGGILSWGLMWPLIDTKRGDWYPADLKPGSLHGLQGYKVFIATALILGDGLYNFKVLSRTLAALFFQLRGRDATGALPIADRSSPKTSRISYDEQRRTQLFLIDQIPTWFAVAGYVAIAAISTATVPRIFPELKWYYILVIYIFAPALAFSNAYGCGLTDWSLAYSYGTLAIFAIGAWAGASHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLSSPRSMFVSQLIGTAMGCIISPCVFWLFFKAFKDLGTPGCQYPAPYATVFRNMAILGVEGFSSLP >Potri.005G059000.1.v4.1 pep chromosome:Pop_tri_v4:5:3727632:3740624:-1 gene:Potri.005G059000.v4.1 transcript:Potri.005G059000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059000.v4.1 MVRLLGLTRGESDDSPREITSRTTPLTSESGESGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGVESYLFQICYMMIHKPSPSLDKFVIDMCSKSLLIALKVHWFLLAELEDSDDNDGISRIQEKCQIAATLMGEWPPLLRPRNESSSPGSKNQVLSRLLSSKQKLLSLTSSPPPQKSISFSPSSGNGLQEDGTGSQLSPDENKIFKKFIPGSKVRDALLFRKSFDKDDQKARDALLFKKSADKDAEEGEKDGFFKRLMRDSSKREDEELTQSSDGFFKRFRGSIKSEDEEMTSGSDGFFKRLLKDSSRGEDEEVTSSSDGFFKKLFRDSKGDADDKLVSKSSEDDEKEGFVKKFFKDKFEDKKDGNDQNEDEERSKLEEKGSKSAEDDEKEGFFRKLFKDKSEDKKDGTEKSDEGATNFEEEEPSDFSLFRRLFRVHPEEVKNTGANENNGSSSLFESSPGTENFFRKLFRDRERSVEDSELFSFKKNKEKHPGSLNQQNEKLNTKPPLPNNTASQFRKGAYHESLDFVMSLCETSYGLVDVFPIEDRKSALCESLAEINVHLAEARNSGGVCFPMGKGLYRVVHIPEDEAVLLNSREKAPYLICVEVLKSEMPSNSKDTSGAQNLSRGGIPLANGDAFLPKPPPWAYPLWTTQDMYRNSSDRMSQSTAEAIDQAMSHASETKMKFVNVNLSVEKKLPSQSTVIEAPKLNSGINFMHQNAAHCSDLEWVRVVLTADPGVRMEDVGDEGAPRRKEHRRVPSTIAIEEVKAAAAKGEAPPGLPLKGAGQVSSDAQPNVNGGNPKASDALSGELWEVKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGVPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPDITSLRDFFVAKYGENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRCHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Potri.005G059000.3.v4.1 pep chromosome:Pop_tri_v4:5:3727512:3740732:-1 gene:Potri.005G059000.v4.1 transcript:Potri.005G059000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059000.v4.1 MVRLLGLTRGESDDSPREITSRTTPLTSESGESGWLIRFFDSAFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGVESYLFQICYMMIHKPSPSLDKFVIDMCSKSLLIALKVHWFLLAELEDSDDNDGISRIQEKCQIAATLMGEWPPLLRPRNESSSPGSKNQVLSRLLSSKQKLLSLTSSPPPQKSISFSPSSGNGLQEDGTGSQLSPDENKIFKKFIPGSKVRDALLFRKSFDKDDQKARDALLFKKSADKDAEEGEKDGFFKRLMRDSSKREDEELTQSSDGFFKRFRGSIKSEDEEMTSGSDGFFKRLLKDSSRGEDEEVTSSSDGFFKKLFRDSKGDADDKLVSKSSEDDEKEGFVKKFFKDKFEDKKDGNDQNEDEERSKLEEKGSKSAEDDEKEGFFRKLFKDKSEDKKDGTEKSDEGATNFEEEEPSDFSLFRRLFRVHPEEVKNTGANENNGSSSLFESSPGTENFFRKLFRDRERSVEDSELFSFKKNKEKHPGSLNQQNEKLNTKPPLPNNTASQFRKGAYHESLDFVMSLCETSYGLVDVFPIEDRKSALCESLAEINVHLAEARNSGGVCFPMGKGLYRVVHIPEDEAVLLNSREKAPYLICVEVLKSEMPSNSKDTSGAQNLSRGGIPLANGDAFLPKPPPWAYPLWTTQDMYRNSSDRMSQSTAEAIDQAMSHASETKMKFVNVNLSVEKKLPSQSTVIEAPKLNSGINFMHQNAAHCSDLEWVRVVLTADPGVRMEDVGDEGAPRRKEHRRVPSTIAIEEVKAAAAKGEAPPGLPLKGAGQVSSDAQPNVNGGNPKASDALSGELWEVKKERIRKASVYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGVPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPDITSLRDFFVAKYGENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRCHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Potri.016G119300.6.v4.1 pep chromosome:Pop_tri_v4:16:12410610:12420151:-1 gene:Potri.016G119300.v4.1 transcript:Potri.016G119300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119300.v4.1 MEAYSLHLAMAALVGASFVAVSAYYMHRKTLNQLLEFAKTVERERERDDNSDGGGGGGGGSSPQHLKKRRSHGRRKGSSGYYNKRGSASLPDVTAIYGGGIDGEEKRNGQVVYVEGIPAGLPRLHTLPEGKSSGHIKRPGSFIRPTSPKSPGASASAFDSVEGSDDEDNMTDNSKLDTTYLHVNGNADIKDVLPQHINANGDQVPIPASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFARLKISPMAEVPSPDEVDSYIVLQECLEMRKRYVFKEAIAPWEKEIISDPSTPKPNPDPFSFTPEGKSDHYFEMQDGVIHVYPNKDSKEELFPVADATTFFTDLHHILRVIAIGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTIDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPTQWTNVFNPAFSYYVYYCYANLHTLNKLRESKGMTTIKFRPHSGEAGDTDHLAATFLTSHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPDGNDIHRTNVPHIRVEFRDAIWRDEMQQVYLGKAVIPKEVDK >Potri.016G119300.19.v4.1 pep chromosome:Pop_tri_v4:16:12410628:12420125:-1 gene:Potri.016G119300.v4.1 transcript:Potri.016G119300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119300.v4.1 MEAYSLHLAMAALVGASFVAVSAYYMHRKTLNQLLEFAKTVERERERDDNSDGGGGGGGGSSPQHLKKRRSHGRRKGSSGYYNKRGSASLPDVTAIYGGGIDGEEKRNGQVVYVEGIPAGLPRLHTLPEGKSSGHIKRPGSFIRPTSPKSPGASASAFDSVEGSDDEDNMTDNSKLDTTYLHVNGNADIKDVLPQHINANGDQVPIPASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFARLKISPMEVPSPDEVDSYIVLQECLEMRKRYVFKEAIAPWEKEIISDPSTPKPNPDPFSFTPEGKSDHYFEMQDGVIHVYPNKDSKEELFPVADATTFFTDLHHILRVIAIGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTIDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPTQWTNVFNPAFSYYVYYCYANLHTLNKLRESKGMTTIKFRPHSGEAGDTDHLAATFLTSHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPDGNDIHRTNVPHIRVEFRDAIWRDEMQQVYLGKAVIPKEVDK >Potri.016G119300.17.v4.1 pep chromosome:Pop_tri_v4:16:12410581:12420227:-1 gene:Potri.016G119300.v4.1 transcript:Potri.016G119300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119300.v4.1 MEAYSLHLAMAALVGASFVAVSAYYMHRKTLNQLLEFAKTVERERERDDNSDGGGGGGGGSSPQHLKKRRSHGRRKGSSGYYNKRGSASLPDVTAIYGGGIDGEEKRNGQVVYVEGIPAGLPRLHTLPEGKSSGHIKRPGSFIRPTSPKSPGASASAFDSVEGSDDEDNMTDNSKLDTTYLHVNGNADIKDVLPQHINANGDQVPIPASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFARLKISPMEVPSPDEVDSYIVLQECLEMRKRYVFKEAIAPWEKEIISDPSTPKPNPDPFSFTPEGKSDHYFEMQDGVIHVYPNKDSKEELFPVADATTFFTDLHHILRVIAIGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTIDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPTQWTNVFNPAFSYYVYYCYANLHTLNKLRESKGMTTIKFRPHSGEAGDTDHLAATFLTSHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPDGNDIHRTNVPHIRVEFRDAIWRDEMQQVYLGKAVIPKEVDK >Potri.016G119300.18.v4.1 pep chromosome:Pop_tri_v4:16:12410610:12420126:-1 gene:Potri.016G119300.v4.1 transcript:Potri.016G119300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119300.v4.1 MEAYSLHLAMAALVGASFVAVSAYYMHRKTLNQLLEFAKTVERERERDDNSDGGGGGGGGSSPQHLKKRRSHGRRKGSSGYYNKRGSASLPDVTAIYGGGIDGEEKRNGQVVYVEGIPAGLPRLHTLPEGKSSGHIKRPGSFIRPTSPKSPGASASAFDSVEGSDDEDNMTDNSKLDTTYLHVNGNADIKDVLPQHINANGDQVPIPASSMIRSHSVSGDLHGVQPDPIAADILRKEPEQETFARLKISPMEVPSPDEVDSYIVLQECLEMRKRYVFKEAIAPWEKEIISDPSTPKPNPDPFSFTPEGKSDHYFEMQDGVIHVYPNKDSKEELFPVADATTFFTDLHHILRVIAIGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLSASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNILDNIFIPLFEVTIDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPTQWTNVFNPAFSYYVYYCYANLHTLNKLRESKGMTTIKFRPHSGEAGDTDHLAATFLTSHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYKRGPDGNDIHRTNVPHIRVEFRDAIWRDEMQQVYLGKAVIPKEVDK >Potri.003G063100.1.v4.1 pep chromosome:Pop_tri_v4:3:8984144:8990155:-1 gene:Potri.003G063100.v4.1 transcript:Potri.003G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063100.v4.1 MALIRRRKPVEPSKTSVQREEGEEEGEEEDNSKNKHPKKSYTKSKPKWTCLDNCCWFVGCICVTWWILLFLYNVMPASFPQYVTEAITGPLPDPPGVKLRKEGLKAKHPVVFVPGIVTGGLELWEGHQCADGLFRKRLWGGAFGEVYRRPLCWVEHMSLDNETGLDPPGIRVRPVCGLVAADYFAPGYFVWAVLIANLARIGYEEKTMYMASYDWRLSFQNTEVRDQTLSRIKSNIELMVATNGGNKAVIIPHSMGALYFLHFMKWVEAPAPMGGGGGPDWCAKHIKAVMNIGGPFLGVPKAVSGLFSAEAKDIAVARAIAPGVLDKDLFGFQTLQHIMRMSRTWDSTMSMIPKGGATIWGDLDWSPEEGYIPIKRKQKNTDTQKASQDGPERKISEIKRANYGRIISFGKDVAEALSSDIERIDFRDAVKGQSIANTSCRDVWTEYHDMGFGGIKAVAEYKVYTAGSILDLLHFVAPKMMERGSAHFSYGIADDLDDPKYQHYKYWSNPLETKLPNAPEMEVFSLYGVGIPTERSYVYKLSPSAECAIPFQIDSSADEQFEDSCLKGGVYTVDGDETVPVLSSGFMCAKGWRGKTRFNPSGSRMYIREYDHSPPTNLLEGRGTQSGAHVDIMGNFALIEDIMRVAAGATGEELGGDQVHSDIFKWSEKIHLQL >Potri.012G099300.1.v4.1 pep chromosome:Pop_tri_v4:12:12255821:12258391:-1 gene:Potri.012G099300.v4.1 transcript:Potri.012G099300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099300.v4.1 MGWLRTKPPSFSSIFLLFLTLSCVSTAQQPNPIKTIVVLVMENRSFDHMIGWMKKSINPAINGVSGTECNPVSTKNPGPQSICFSDDAEFVDPDPGHSFEAVKQQVFGNSSIPSMTGFVEQALTISQNLSETVMEGFRPEAVPVYATLVKEFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLATGYPQKTIFDSLHENGKSFGIYFQNIPTTLFYRNMRKLKYIFKFHQFNLKFKKDARNGKLPSLTVIEPSYFDLKRMPANDDHPSHDVANGQKFVKEVYEALRASPQWNETLLVITYDEHGGFYDHVKTPYVNIPSPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISGPKGPAPNSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEGVVGGVTSPRTDCPVTLPDVAPLRRTEAKEDGSLSEFQSEVVQLAAVLNGDHFLSSFPDEMSKKMNVKEAHEYVEGSVTRFIRASKEAINLGADESAIVDMRSSLTTRSSVHN >Potri.006G117600.1.v4.1 pep chromosome:Pop_tri_v4:6:9222058:9224870:1 gene:Potri.006G117600.v4.1 transcript:Potri.006G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117600.v4.1 MGASLSNLTEGAGMGGPGLGDIPESCVACVFMYLTPPEICNLARLNRAFRGAASSDSVWENKLPSNYQDLLHILPPDRYLNFSKKDIFALLSRPLPFDDGNKEVWLDRVTGRVCMSISAKGMSITGIEDRRYWTWVPTEESRFHVVAYLQQIWWFEVDGVVKFPFPADIYTLYFRLHLGRFSKRLGRRVCNFDHTHGWDIKPVRFELSTSDGQQASCEFCLDETEQDEANGNQKRGCWIDYKVGEFIVTGSEPATEVKFSMKQIDCTHSKGGLCVDSVFIIPSDLKDRKRRGILK >Potri.001G337800.1.v4.1 pep chromosome:Pop_tri_v4:1:34646333:34647967:1 gene:Potri.001G337800.v4.1 transcript:Potri.001G337800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337800.v4.1 MSPLQSNLSCCSTFRLFDELQWVTNTRRTIEADHLEDDSETSISIFNVPKALMSTDPDSYAPQQLSLGPYHFSRLELHDMDTYKFSAAKRSQDLLQSLKFQDLVEQLMKLESKIRACYNKYLNLNSETLAWMMAIDASFLLEFLQVYALRDPKMLSEVSSGMPHFLEYSYRKSSCNAILRDIVMLENQIPLFTLRKVLESRFLSLESADVMLYSMLMGSCKELSPFKTMVRLPVARVSEHAHLLDFLYHIIVPKVEESVKIPEEVKDHTKATQENEEPSVGSTHMKQLLIEIWNLFSNLNIDPARFLNKLPPVAVILKLPWSSFSNVLGFGSAKQPDAFSESQSVCSSIDQPPLVEEITIPSVTQLSKCGVRFVPTKGSISTINFDKKTCTFYLPTVSLDVNSDVVLRNLVAYEASNASGPMVFTRYTELMNGIIDTEEDAKILRERGIILNHLKNDEEVANVWNGMSRSIRLTKVPFMDKVIEDVNKYHDGLFKVKVEKFMKQYVFSSWKLLILLASILFLLLAFLQALCSVYDCVRLFHIHY >Potri.008G189900.4.v4.1 pep chromosome:Pop_tri_v4:8:13326609:13328450:1 gene:Potri.008G189900.v4.1 transcript:Potri.008G189900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189900.v4.1 MAEESKPGLRKPVFTKVEQLRPGTFGHTLTVKVVSVKMVLQKGRADGPQVRQMRIAECLVGDETGLIIFTARNDQVDLMKEDSTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPADFTVKEDNNLSLIEYELVNVVEE >Potri.008G189900.5.v4.1 pep chromosome:Pop_tri_v4:8:13326926:13328576:1 gene:Potri.008G189900.v4.1 transcript:Potri.008G189900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189900.v4.1 MVLQKGRADGPQVRQMRIAECLVGDETGLIIFTARNDQVDLMKEDSTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPADFTVKEDNNLSLIEYELVNVVEE >Potri.003G033450.1.v4.1 pep chromosome:Pop_tri_v4:3:3787621:3790350:1 gene:Potri.003G033450.v4.1 transcript:Potri.003G033450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033450.v4.1 MMGTWLLAISITKAPPDVIHVGSHLIRYATSTEATGLGLFLISLRMSLSCLQHHLLRHCFFVKELWYAQLCLYVSCFLKGFLIVLPLITWIILFGELSKALQAKLVASLPPRNVNSCFSSSFCFHWCHCWSWMLCYCSYPGCFKELFLCRFCCSETLLSLVTSIHMFCNLKSCQSIQLRTINPYREKAKLEHLQERGMQLLIVSRGMQLLIVSRGCTWDQSSVML >Potri.006G113900.1.v4.1 pep chromosome:Pop_tri_v4:6:8823630:8830988:-1 gene:Potri.006G113900.v4.1 transcript:Potri.006G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113900.v4.1 MGGGGGDSDIAPHPEKIKIVATCLVVSVGISLLGFYLKSGAEWRSNWSLSLNKKKKKPIRVYMDGCFDMMHYGHCNALRQARALGDQLVVGVVSDAEIIANKGPPVTPLHERMIMVNAVKWVDEVISDAPYAITEEFMKKLFDEYNIDYIIHGDDPCVLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVGRMLLCTRERSISDSHNHSSLQRQFSHGNSQKFEAGGSGTGTRVSHFLPTSRRIVQFSNGKGPGDDARIVYIDGAFDLFHAGHVEILRLARTLGDFLLVGIHNDQIVSAKRGAHRPIMNLHERSLSVLACRYVDEVIIGAPWEISKDMITTFNISSVVHGTVAENDDFQKEQDNPYAVPISMGIFKVLDSPLDITTTTIIRRIVSNHEAYQKRNQKKAESEKRYYEDKTYVAGD >Potri.001G052300.1.v4.1 pep chromosome:Pop_tri_v4:1:3962323:3968154:1 gene:Potri.001G052300.v4.1 transcript:Potri.001G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G052300.v4.1 MAVSRKWACMFSAVLLLLFVGVMPTTSGTNGGISALTRSVETEKVQSSSNTTMAARSQEEADALNEKAVADNPEEVVSMVEMSIRNSTERRRLGYFSCGTGNPIDDCWRCDPNWQKNRKRLADCGIGFGRNAIGGRDGRFYVVTDPSDNDPVNPRPGTLRHAVIQDAPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGGCITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAVSIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITVSNNHFTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYNAPVNPFAKEVTKRVDTAAGYWKNWNWRSEGDLLLNGAYFTPSGAGASSSYARASSLGAKSSSMVGAMTANAGALGCRRARQC >Potri.013G157000.1.v4.1 pep chromosome:Pop_tri_v4:13:15189655:15190709:1 gene:Potri.013G157000.v4.1 transcript:Potri.013G157000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157000.v4.1 MEISVVYHTHRLFLDTDSGMPPSNQGDGNSSDYILGTGTNFDGKVVMVLVALLFALVCAFGINSIARCATRNGYRIGFETPQQAASRLAAATNTELKKSALGQIPVVPYKSGLHIQVSTDCPICLGEFSEGEKVRVLPQCSHGFHVKCIDRWLLLHSSCPLCRQALVLP >Potri.002G093900.1.v4.1 pep chromosome:Pop_tri_v4:2:6822958:6827553:-1 gene:Potri.002G093900.v4.1 transcript:Potri.002G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093900.v4.1 MRLQNLCLRILILIAIHTTSLHLYVESTQPPYSCDSSDPSTKLYPFCQTKLPISQRVEDLVSRLTLDEKVSQLVDTAPAIPRLGIPAYEWWSEALHGVALQTTVRQGIRFNGTIRFATSFPQVILTAASFDAHLWYRIGQVIGKEARGIYNAGQATGMTFWAPNINIFRDPRWGRGQETPGEDPLVAGKYAVSYVRGVQGDSFGGGTLGEQLQASACCKHFTAYDLDKWKGMNRFVFDAQVTLQDLADTYQPPFQSCIQEGKASGIMCAYNRVNGVPNCADYNLLSKKARGQWGFYGYITSDCDAVAIIHDDQGYAKSPEDAVADVLKAGMDVNCGDYLKNYTKSAVKKKKLPESEIDRALHNLFSIRMRLGLFNGNPTKQPYGNIAPDQVCSQEHQALALKAAQDGIVLLKNPDKLLPLSKLETKSLAVIGPNANNSTKLLGNYFGPPCKTVTPLQGLQNYIKNTRYHPGCSRVACSSASINQAVKIAKGADQVILVMGLDQTQEKEEQDRVDLVLPGKQRELITAVAKAAKKPVVLVLFCGGPVDVSFAKYDQNIGSIIWAGYPGEAGGTALAQIIFGDHNPGGRLPMTWYPQDFTKVPMTDMRMRPQLSSGYPGRTYRFYNGKKVFEFGYGLSYSNYSYELASDTQNKLYLRASSNQITKNSNTIRHKLISNIGKELCEKTKFTVTVRVKNHGEMAGKHPVLLFVRQANPGNERPIKKLVGFQTVNLNAGENAEIQYELSPCEHLSSPDDRGMMVMEEGSQFLLIGDKEYPITIIF >Potri.006G283400.1.v4.1 pep chromosome:Pop_tri_v4:6:27332458:27334796:-1 gene:Potri.006G283400.v4.1 transcript:Potri.006G283400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283400.v4.1 MASWGCFYSMEGTTDAEKLRGLTIDMHPLMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDRGKLQTGQTSLFPILSTDAFRKMPNVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLLGLEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPKKMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRFTLFSLPRFLESLDLSGTPIRFLPESIKDLGLLRHLYLRNCKMLQALPRFPSLLDSLDVSFCYSLPRYKNLSSWTERDGCAHLVEFQDGIKQELIQKFDSHVFRIMETISAQIQTSSFQITFMDGIFNVAVSVFDEDEMLRGFYEEGEEDKWLIQNEFVDNFSFRMSFTSSCAPDIWL >Potri.019G112000.1.v4.1 pep chromosome:Pop_tri_v4:19:13349964:13354973:1 gene:Potri.019G112000.v4.1 transcript:Potri.019G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G112000.v4.1 MMENKRSPCSVDHGTLTSLATKRHKADFSISTKERKEKLGERIVALQQLVSPYGKTDTASVLMEAMEYIRFLHEQVQVLSAPYLQGTSTAQMQGLGQHSLESKGLCLVPISYTAGIARSNGADIWAPIKSPSPKCNKSISQFH >Potri.009G066100.1.v4.1 pep chromosome:Pop_tri_v4:9:6655355:6659328:1 gene:Potri.009G066100.v4.1 transcript:Potri.009G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066100.v4.1 MANYAQGNGDFPAIPTHGGQFIQYNIFGNLFEITSKYRPPITPIGRGAYGIVCSVLNSETNEMVAVKKIANAFDNQMDAKRTLREIKLLRHLDHENVIGIRDVIPPPLRREFTDVYIAMELMDTDLHQIIRSNQGLSEEHCQYFLYQILRGLKYIHSANIIHRDLKPSNLLLNANCDLKICDFGLARPTSENEFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCIFMELMNRKPLFPGKDHVHQMRLLTELLGKPTESDLGFVRNEDARRYIQQLDSHPRRSLAELFPLVHPLAIDLIDKMLTFDPTKRITVEEALAHPYLARLHDIADEPVCLEPFSFEFEQQPLAEEQMKDMIYEEALALNPEYAC >Potri.001G243500.1.v4.1 pep chromosome:Pop_tri_v4:1:26117407:26118437:-1 gene:Potri.001G243500.v4.1 transcript:Potri.001G243500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243500.v4.1 MAITSYPMISLPPPHLLSVTKTKPPHSCIHNHNKALTLTSPLSLSNPLPSKRTVLYKQEIHRRSTQIWKIKATPEEVLPSDTTPLESTQQMLSTTNDDGVGTIISALLFVAFAALSILTIGIIYLGVTDFLQKRETDKLQKEEESKKKKRVKKRKVRARSGPRGFGQKINEDDEFDD >Potri.006G271800.2.v4.1 pep chromosome:Pop_tri_v4:6:26465165:26471446:-1 gene:Potri.006G271800.v4.1 transcript:Potri.006G271800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G271800.v4.1 MAEGVLFTIAEEIIKTLGSLTAQEVALWWGLKDQLRKLNDTVTRIKAVIQDAEEQAQKQNYQIEDWLMKLQEAVYDAEDLLDDFSTQVLRKQLMPGKRVSREVRLFFSRSNQFVYGLRMGHRVKALRERLDDIGTDSKKFKFDVRGEERASSTTVREQTTSSEPEITVGRVRDKEAVKSFLMNSNYEHNVSVISVVGMGGLGKTTLAQHVFNDEQVKAHFGVRLWVSVSGSLDVRKIITGAVGTGDSDDQLESLKKKLEGKIEKKKYLLVLDDVWDGEVGKDDGENWDRLKELLPRDAVGSKIVVTTRSHVIANFTRPIEPHVLKGLSEDESWELFRRKAFPQGQESGHVDERNIKEEIVGRCGGVPLVIKAIARLMSLKDRAQWLSFILDELPDSIRDDNIIQTLKLSYDALPSFLKHCFAYCSLFPKGHKIDIKYLIRLWIAQGFVSSSNSGRRCIEIVGLKCFESLLWRSFFHEVEKDRFGNIKSCKMHDFMHDLATHVAGFQSIKVERLGNRISELTRHVSFDTELDLSLPCAQRLRTLVLLQGGKWDEGSWESICREFRCLRVLVLSDFGMKEASPLIEKIKHLKYLDLSNNEMEALSNSVTSLVNLQVLKLNGCRKLKELPRDIGKLINLRHLDVGCYRDGDLCQNLEYMPRGIGKLTSLQTLSCFVVAKKRSPKYEMIGGLDELSRLNELRGRLEIRAKGYEGGSCISEFEGAKLIDKKYLQSLTVRWDPDLDSDSDIDLYDKMLQSLRPNSSLQELIVEGYGGMRFPSWVSNLSNLVRIHLERCRRLTHIPPLHGIPSLEELNIVGLDDLEYIDSEGVGGIGGSTFFPSLKTLVIKHCRRLKGWWKRWSRDEMNDDRDESTIEEGLIMLFFPCLSSLSIVVCPNLTSMPLFPTLDEDLNLINTSSMPLQQTMKMTSPVSSSSFTRPLSKLKILFMYSIYDMESLPEVGLQNLSSLQSLSICECSRLKSLPLPDQGMHSLQKLLIFDCRELKSLSESESQGMIPYLPSLQRLRIEDCSEELSRRTRGWGKEREEEWPPNIKHIPDIGIDGYYIQKEGRYVKGEGLRWHY >Potri.016G004900.3.v4.1 pep chromosome:Pop_tri_v4:16:236061:239756:1 gene:Potri.016G004900.v4.1 transcript:Potri.016G004900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004900.v4.1 MASKTGSKASSKQHQKTAGFQRADVTDLRHLSLQGSKPSKPQLINHEQVQSSVENMPKQVLLEASENKKLPNSNQEGASDSLSNTLESNSSLPCLEQSSIEVDSIVNETRGSQDVSVDQEKKISEYGSVKNSSVSAKVSDGTSSLAKTSGSAKMSDRVDYAESGKRSLCRGSTSSDVSDESTCSSFSSSINKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPSLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFLEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKTASLEADPFRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLASKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPAPASTSEKATTVAAAPGQKGSDNYLEFDFF >Potri.016G004900.2.v4.1 pep chromosome:Pop_tri_v4:16:235448:240345:1 gene:Potri.016G004900.v4.1 transcript:Potri.016G004900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004900.v4.1 MASKTGSKASSKQHQKTAGFQRADVTDLRHLSLQGSKPSKPQLINHEQVQSSVENMPKQVLLEASENKKLPNSNQEGASDSLSNTLESNSSLPCLEQSSIEVDSIVNETRGSQDVSVDQEKKISEYGSVKNSSVSAKVSDGTSSLAKTSGSAKMSDRVDYAESGKRSLCRGSTSSDVSDESTCSSFSSSINKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPSLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFLEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKTASLEADPFRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLASKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPAPASTSEKATTVAAAPGQKGSDNYLEFDFF >Potri.016G004900.1.v4.1 pep chromosome:Pop_tri_v4:16:235397:239759:1 gene:Potri.016G004900.v4.1 transcript:Potri.016G004900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004900.v4.1 MASKTGSKASSKQHQKTAGFQRADVTDLRHLSLQGSKPSKPQLINHEQVQSSVENMPKQVLLEASENKKLPNSNQEGASDSLSNTLESNSSLPCLEQSSIEVDSIVNETRGSQDVSVDQEKKISEYGSVKNSSVSAKVSDGTSSLAKTSGSAKMSDRVDYAESGKRSLCRGSTSSDVSDESTCSSFSSSINKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPSLYTHFETDKFSCLVMEFCPGGDLHTLRQRQPGKHFLEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLVKTASLEADPFRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELIAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLASKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPAPASTSEKATTVAAAPGQKGSDNYLEFDFF >Potri.005G079300.6.v4.1 pep chromosome:Pop_tri_v4:5:5383132:5387805:-1 gene:Potri.005G079300.v4.1 transcript:Potri.005G079300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079300.v4.1 MDSDSPQPEPTPVPPSTDPLTNQFTSLNDLAHELTSLQDLANRGSWLSILDKVNRARSLSLLNTPHDHLTYLAYIVLSFTKLRRFQEAQTELDSLDDFNSHHYRYETYPKIYPNRSGSMVPFSLRWLHALLPIKLGNRQEGLDRFYLLLDFVREKLNRNGNDESVKVWRKREVFVVNHIINQHLSNKELSVCLDLINALISRGNLDPALLSKLGYVQMQIGDLDGANVSFGKVEKMSSESEENESGLRNLVSRNKALVYLVGKDYLSAVREYDECIERDGMDVVAINNKAICLMYLRDLSDSIKVLENSLERVPTVALNETLVVNLCSMYELAYVNHSDTKRTLSNWIARVAPDDFDSSCTRV >Potri.005G079300.5.v4.1 pep chromosome:Pop_tri_v4:5:5383839:5387789:-1 gene:Potri.005G079300.v4.1 transcript:Potri.005G079300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079300.v4.1 MDSDSPQPEPTPVPPSTDPLTNQFTSLNDLAHELTSLQDLANRGSWLSILDKVNRARSLSLLNTPHDHLTYLAYIVLSFTKLRRFQEAQTELDSLDDFNSHHYRYETYPKIYPNRSGSMVPFSLRWLHALLPIKLGNRQEGLDRFYLLLDFVREKLNRNGNDESVKVWRKREVFVVNHIINQHLSNKELSVCLDLINALISRGNLDPALLSKLGYVQMQIGDLDGANVSFGKVEKMSSESEENESGLRNLVSRNKALVYLVGKDYLSAVREYDECIERDGMDVVAINNKAICLMYLRDLSDSIKVLENSLERVPTVALNETLVVNLCSMYELAYVNHSDTKRTLSNWIARVAPDDFDSSCTRV >Potri.001G051500.1.v4.1 pep chromosome:Pop_tri_v4:1:3880279:3882541:-1 gene:Potri.001G051500.v4.1 transcript:Potri.001G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051500.v4.1 MVTVDEIRKAQRAEGPATILAIGTSTPPNCVDQSTYPDYYFRITNSEHKVELKEKFKRMCEKSMIKKRYMHLTEEILKENPSVCEYMAPSLDARQDMVVVEIPKLGKEAAAKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRSSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAIIIGSDPVLGVEKPLFELVSAAQTILPDSEGAIDGHLREVGLTFHLLKDVPGLISKNVEKSLTEAFKPLGISDWNSLFWIAHPGGPAILDQVEAKLELKPEKLRATRQVLADYGNMSSACVLFILDEMRKKSAKDGLKSTGEGLEWGVLFGFGPGLTVETVVLHSLPATI >Potri.014G140900.9.v4.1 pep chromosome:Pop_tri_v4:14:9561925:9569300:1 gene:Potri.014G140900.v4.1 transcript:Potri.014G140900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140900.v4.1 MQTPVSNSKFVGSRVPFLFLNNKQSPDRRITSISTSCVCSIWNNYHYKRRTRMATDNKLRHVTSMLELPSGAGKFSALHAVILGEALASEENDLIFPSDDFARQAHVSSPQQYLEMYKRSVEDPAGFWSDIASQFYWKKKWDQPACSENFDFRKGNISIQWFKGGLTNICYNCLDRNIESGNADKIAIYWEGNDPGLEDSLTYSQLLDRVCQLSNYLKDVGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGAKAIHLKDIVDAALAESAKNGISVDVCLTYENENAMKREGTKWQEGRDVWWQDFVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDVYWCTADCGWITGHSYVTYGPMLNGASVVVFEGTPTYPDAGRCWDIVDKFKVTIFYTAPTLVRSLMRESDKFVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCLKSSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQGIYAFVTLVEGEPYSEELRKSLILTVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLAEPHVVEQLIELADC >Potri.014G140900.6.v4.1 pep chromosome:Pop_tri_v4:14:9562058:9569302:1 gene:Potri.014G140900.v4.1 transcript:Potri.014G140900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140900.v4.1 MQTPVSNSKFVGSRVPFLFLNNKQSPDRRITSISTSCVCSIWNNYHYKRRTRMATDNKLRHVTSMLELPSGAGKFSALHAVILGEALASEENDLIFPSDDFARQAHVSSPQQYLEMYKRSVEDPAGFWSDIASQFYWKKKWDQPACSENFDFRKGNISIQWFKGGLTNICYNCLDRNIESGNADKIAIYWEGNDPGLEDSLTYSQLLDRVCQLSNYLKDVGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGAKAIHLKDIVDAALAESAKNGISVDVCLTYENENAMKREGTKWQEGRDVWWQDFVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDVYWCTADCGWITGHSYVTYGPMLNGASVVVFEGTPTYPDAGRCWDIVDKFKVTIFYTAPTLVRSLMRESDKFVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCLKSSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQGIYAFVTLVEGEPYSEELRKSLILTVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLAEPHVVEQLIELADC >Potri.014G140900.10.v4.1 pep chromosome:Pop_tri_v4:14:9561997:9569225:1 gene:Potri.014G140900.v4.1 transcript:Potri.014G140900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140900.v4.1 MQTPVSNSKFVGSRVPFLFLNNKQSPDRRITSISTSCVCSIWNNYHYKRRTRMATDNKLRHVTSMLELPSGAGKFSALHAVILGEALASEENDLIFPSDDFARQAHVSSPQQYLEMYKRSVEDPAGFWSDIASQFYWKKKWDQPACSENFDFRKGNISIQWFKGGLTNICYNCLDRNIESGNADKIAIYWEGNDPGLEDSLTYSQLLDRVCQLSNYLKDVGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGAKAIHLKDIVDAALAESAKNGISVDVCLTYENENAMKREGTKWQEGRDVWWQDFVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDVYWCTADCGWITGHSYVTYGPMLNGASVVVFEGTPTYPDAGRCWDIVDKFKVTIFYTAPTLVRSLMRESDKFVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCLKSSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQGIYAFVTLVEGEPYSEELRKSLILTVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLAEPHVVEQLIELADC >Potri.014G140900.11.v4.1 pep chromosome:Pop_tri_v4:14:9562036:9569011:1 gene:Potri.014G140900.v4.1 transcript:Potri.014G140900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140900.v4.1 MQTPVSNSKFVGSRVPFLFLNNKQSPDRRITSISTSCVCSIWNNYHYKRRTRMATDNKLRHVTSMLELPSGAGKFSALHAVILGEALASEENDLIFPSDDFARQAHVSSPQQYLEMYKRSVEDPAGFWSDIASQFYWKKKWDQPACSENFDFRKGNISIQWFKGGLTNICYNCLDRNIESGNADKIAIYWEGNDPGLEDSLTYSQLLDRVCQLSNYLKDVGVKKGDAVVIYLPMLMELPIAMLACARIGAVHSVVFAGFSSESLAQRIVDCKPKVVITCNAVKRGAKAIHLKDIVDAALAESAKNGISVDVCLTYENENAMKREGTKWQEGRDVWWQDFVPKYPTTCEVEWVDAEDPLFLLYTSGSTGKPKGVLHTTGGYMVYSATTFKYAFDYKPSDVYWCTADCGWITGHSYVTYGPMLNGASVVVFEGTPTYPDAGRCWDIVDKFKVTIFYTAPTLVRSLMRESDKFVTRYSRKSLRVLGSVGEPINPSAWRWFFNVVGDSRCPISDTWWQTETGGFMITPLPGAWPQKPGSATFPFFGVQPVIVDEKGVEIEGECSGYLCLKSSWPGAFRTLYGDHERYETTYFKPFAGYYFTGDGCSRDKDGYHWLTGRVDDVINVSGHRIGTAEVESALVSHPKCAEAAVVGVEHEVKGQGIYAFVTLVEGEPYSEELRKSLILTVRKQIGAFAAPDKIHWAPGLPKTRSGKIMRRILRKIASKQLDELGDTSTLAEPHVVEQLIELADC >Potri.004G036100.2.v4.1 pep chromosome:Pop_tri_v4:4:2826744:2829915:-1 gene:Potri.004G036100.v4.1 transcript:Potri.004G036100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036100.v4.1 MKAVVITTPGGPEVLQLQEVEDPQINEDEVLIKVEATALNRADTLQRQGKYPPPKGASPYPGLECSGTIEAVGKNVSRWKIGDQVCALLSGGGYAEKVAVPAGQVLPVPQGVSLKDAAALPEVACTVWSTVFMMSRLSAGETFLVHGGSSGIGTFAIQIAKHQGVKVFVTAGSEEKLAVCKDLGADVCINYKTEDFVARVKEETGGKGVDVILDSVGAPYFQKNVDSLNIDGRLFLLGFMGGAVTQVNLASLFAKRITVQAAGLRTRTLENKAEIVSEVEKNVWPAIVAGKVKPVVYKYLPLSEAAEGHRLMESSQHIGKILLVA >Potri.003G179600.3.v4.1 pep chromosome:Pop_tri_v4:3:18568715:18569603:1 gene:Potri.003G179600.v4.1 transcript:Potri.003G179600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179600.v4.1 MVIPSCICTNSTKNMLVKIVHPGGHVELHDRPVLAEEVMLRNPRCIVAYPHVFRQPWAIVAPDTMLLLGQKFYVVPINTIRKLQRRSITRSQSPINDVRASKTPNNDERGSDISSSCWYFINKNMKSPCPCLHRDDDERANTTGTNIDSKANTLDTSEETKSSSSIGCSETKDCARKRRKEMTTGSPNRFTSLDQWQPNLDSIVEEHQNK >Potri.013G145800.1.v4.1 pep chromosome:Pop_tri_v4:13:14348459:14349130:-1 gene:Potri.013G145800.v4.1 transcript:Potri.013G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145800.v4.1 MNSQSQLSSIEDIFDSSLNLEETHFKEGYNEGYSQGLMSGKEEAEQTGLKMGFEIGEELGFYRGCVDVWNSAILVDPTRFSTRLKESIKKMEELIEKYPVLDPEDERVNEFMDSLRLKFRVIRAGLGVKLEYDGYPKPKEIEF >Potri.011G056900.1.v4.1 pep chromosome:Pop_tri_v4:11:4608674:4609572:1 gene:Potri.011G056900.v4.1 transcript:Potri.011G056900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056900.v4.1 MASSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPSPPSTSGLSFDLNLPSDPHHHHLHWSSCPHLGSHRFGGFGEFLQTGVVFNEMNLHATEAAAASGSVAKNEGPGAVAGTPAPENVAPVSFLGMVRRGLPIDLNEPPPLWL >Potri.001G087100.1.v4.1 pep chromosome:Pop_tri_v4:1:6938743:6943020:-1 gene:Potri.001G087100.v4.1 transcript:Potri.001G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087100.v4.1 MAQQILNPVFFSLLFLTTMTLTRGLSIKCRVTVVPTTPLVTFLERVQETALDTFGEKNFDAKLYVDLSLKLNLSKTEKAFDGLPRSGENGTVSVKALKEFIATYFDDAGDDLLYYDPVDFVPEPEGFLPKVKNPEVRAWALEVHALWKNLSRKVSDGVREQPELHTLLPLPEAVVVPGSRFIEVYYWDSYWVIRGLLSSKMYETAKAIVTNLIFLVDTYGYVLNGSRAYYTNRSQPPLLSAMVYEIYNRTCDVELVRKALPALLKEHAFWNSEIHKVTIQDAQGCNHNLSRYYAMWNKPRPESSTKDKESASKFLSNSEKQQFYHDIASAAESGWDFSTRWMRNTSEFTTLSTTSILPVDLNVYILKMELDIAFLANVLGNKATMESFLEAAEARKNAINSVFWNGEKGQWFDYRLTNGTICKESETWQACNQNQNVYASNFIPLWIDLFHSDAALVKNVMGSFQSSGLIHVAGIATSLINSGQQWDFPNGWAPLQHMIVEGLLSSGLKEARSLAEDIAVRWIKTNYVGYKKTGAIHEKYDVQKCGEFGGGGEYIPQTGFGWSNGVTLAFLEEFGWPEDRSIGC >Potri.010G036400.2.v4.1 pep chromosome:Pop_tri_v4:10:6558905:6560532:-1 gene:Potri.010G036400.v4.1 transcript:Potri.010G036400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036400.v4.1 MEKEGSNMFSSILDGDQNGHSAQSCSVEKKLRLFGFELNPCKNNESCVKGCVEGDHESVNSSNTVLSSEREKPVKEKSSSSGHHGPADDKKFECQYCFKEFANSQALGGHQNAHKKERLKKKRLQLQARKASLSCYLQPYQNNLSYNYQYGSSTTPWFFDPSCSTTPDQFTLYEESQISFNPCEDSHLNDSQISNWHAVPAQVIPFQQDTRYKFTFTHADQRSRDYKPSPLLASKKTCKSADLQLDLSLQSNIQSSSRTGI >Potri.010G036400.1.v4.1 pep chromosome:Pop_tri_v4:10:6558853:6560587:-1 gene:Potri.010G036400.v4.1 transcript:Potri.010G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036400.v4.1 MEKEGSNMFSSILDGDQNGHSAQSCSVEKKLRLFGFELNPCKNNESCVKGCVEGDHESVNSSNTVLSSEREKPVKEKSSSSGHHGPADDKKFECQYCFKEFANSQALGGHQNAHKKERLKKKRLQLQARKASLSCYLQPYQNNLSYNYQYGSSTTPWFFDPSCSTTPDQFTLYEESQISFNPCEDSHLNDSQISNWHAVPAQVIPFQQDTRYKFTFTHADQRSRDYKPSPLLASKKTCKSADLQLDLSLQSNIQSSSRTGI >Potri.018G058450.1.v4.1 pep chromosome:Pop_tri_v4:18:5847134:5851543:1 gene:Potri.018G058450.v4.1 transcript:Potri.018G058450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G058450.v4.1 MLEICSFLAAPLSPLLLLVLSADVSYPHLLYIDLICSVHASEISCLQTKLIEHKYIYHLFVKFMIRLE >Potri.001G072000.3.v4.1 pep chromosome:Pop_tri_v4:1:5415951:5418777:1 gene:Potri.001G072000.v4.1 transcript:Potri.001G072000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072000.v4.1 MSDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWESLDINRYQLKNSATGEVTIKHWNKEQESNQGNFRDSVTNAELEVQDKTPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDELSDEEVYDDSE >Potri.001G072000.4.v4.1 pep chromosome:Pop_tri_v4:1:5416792:5418814:1 gene:Potri.001G072000.v4.1 transcript:Potri.001G072000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072000.v4.1 MSDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQVSRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALNELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWESLDINRYQLKNSATGEVTIKHWNKEQESNQGNFRDSVTNAELEVQDKTPLLEWFANEYKRFGCSLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRSFDELSDEEVYDDSE >Potri.005G175900.1.v4.1 pep chromosome:Pop_tri_v4:5:18159186:18164186:-1 gene:Potri.005G175900.v4.1 transcript:Potri.005G175900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175900.v4.1 MGFTKQQLLARLKELQINFSQYEHPTVLTVEAQAKYVGDKGGGLSKNLFLKDKKSRFYIVSALADTKVDMKVLSQRLGLGKGGIRMAPEEALGEILQVPLGCVTPFALVNESARHVSLLLDKGFQSQEHCFFHPLSNDMSIALNACDLDKFLKSIGRDPSYIDLEANPTVGKDQPPDLASFVPSGSTIQPDQPDKAAPLQDPTENSLPVNKKSVAATGKAAKPHTSMQNSSTQNSKDKPVNPVHQPSVFSDSGLFVEEILNKTSALLLSEITEDAAKENGVNLGTVVAENIRKRLNSDLQSIATMFKNTAYTQGFHAGAHRNL >Potri.015G073900.2.v4.1 pep chromosome:Pop_tri_v4:15:9961838:9962788:-1 gene:Potri.015G073900.v4.1 transcript:Potri.015G073900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073900.v4.1 MACSRFTVFTLAVFSIFSLSQVGAANKLKSSPFESALDTLYAFKNIGYLRRAMTHSSFSEENNKALSILGSNVIDTSVSMYYLGKDAEISSKDLNRRIADNSKVDTSCAVDGMRLGLHKVVRVSPKTNSTTPLVVCSAFRALFGAIAIDTKKADDAGIVFWKVHGREVGKPMVM >Potri.010G106200.1.v4.1 pep chromosome:Pop_tri_v4:10:12755484:12767040:1 gene:Potri.010G106200.v4.1 transcript:Potri.010G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106200.v4.1 MAIECLVLGAGQEVGKSCVVVTINGKRIMFDCGMHMGYDDHRRYPDFSLISKSRDFDHSLDCVIITHFHLDHVGALPYFTEVCGYNGPIYMTYPTKALAPLMLEDFRKVLVDRRGEEEQFTSLHISQCMEKVIAVDLKQTVQVDDDLQIRAYYAGHVLGAAMFYAKVGDSAMVYTGDYNMTPDRHLGAAQIDRLELDLLITESTYATTIRDSKYAREREFLKAVHECVAGGGKVLIPTFALGRAQELCILLDDYWERMNLKVPIYFSAGLTIQANLYYKILISWTSQKVKETYATRNAFDFKHVHNFDRSLINAPGPCVLFATPGMISGGFSLEVFKQWAPCEMNLITLPGYCVAGTVGHKLMSGKPTKINLDKDTQIDVRCQIHQLSFSPHTDSKGIMDLTKFLSPRNVILVHGEKPKMVSLKERIQTELRIPCYLPANCDAVHIPSTIYVKAHASNTFIRSCLNPNFRFLKRSKEDNSDQVLRNTNPTAPLQVNDERVAEGILIMEKGKKARVVHQDDLLLMLRQKKHDVQFAYCCAAQLDNLEETRNRDDALGLSDKCSSLQLLFKELSNYFSGVNIEDLGEHLQVESFHVSVCLKDNCPYRIIDNSQKEAVTVYFCCSWSAADEKLAWEIISAMERFNLIDNLPCRNSISSTN >Potri.010G171166.1.v4.1 pep chromosome:Pop_tri_v4:10:17272634:17272792:1 gene:Potri.010G171166.v4.1 transcript:Potri.010G171166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171166.v4.1 MISSTIFSLAFSVLALRDCLEWKSPMKMLSDVGCKFVFIAFFHTNSPHSLTA >Potri.017G018200.2.v4.1 pep chromosome:Pop_tri_v4:17:1338632:1338877:1 gene:Potri.017G018200.v4.1 transcript:Potri.017G018200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018200.v4.1 MDSKKVTLLLMVALVFVATIAPSSLAMRQFPLLMTSNILLQDESNLGACLPSGGFCFFQPKNCCGNCGCLYPIGICFGSDC >Potri.008G062000.1.v4.1 pep chromosome:Pop_tri_v4:8:3706891:3710508:-1 gene:Potri.008G062000.v4.1 transcript:Potri.008G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062000.v4.1 METMFKGSCGGGGFERRRKDESELLTESGTESRESSSSSSESSSTEGVKPGCASPSPLGWPIRKAGECKSFVSSGNGSKEKKAHLEDSKFKKLGSKLSEIDMIKERFAKLLLGEDMSGSGKGVCTALAISNAITNLCGTIYGQLWRLEPLPEEKKSMWRREMELLLCVGDHIVELIPSWQTFPDGSKLEVMTCRPRSDLFINLPALRKLDNMLLEVLDSFVDTEFWYVDQGIVAPDGDGSASFRKTMQRQEEKWWLPVPRVPAGGLSDDTRKQLNHTRECTNQILKAAMAINSVALAEMDVPDSYLEALPKNGRACLGDLVYRYITSDQFSAECLLDCLDLSSEHVALEIANRVESSIYVWRRRAHSRPPPNPNRSMTKSSWEMVKDLMVDGDKRELLAERAESLLLSLKHRFPNLTQTALDTSKIQFNKDVGKSILESYSRVLESLAFNIVARIDDLVYVDDLTKRSDNLSSVSTVAVISHRKVSNPFSVPVSGTPYKTTFSTPSFSPVPLISPARGERTPFLHSTTSSKSNNNKPPRRGFGVKRALTNYLAVDSKPKICGNTNVDSKPKICGNTNVDSKPKICGNTNEGSCPNPKTNGREDLGPEKNSPTQKNGTKLRQSAPKYIVT >Potri.005G218700.5.v4.1 pep chromosome:Pop_tri_v4:5:22146563:22150414:1 gene:Potri.005G218700.v4.1 transcript:Potri.005G218700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218700.v4.1 MEVSFSLSTTSATYLRPSTEALLFRRIKLHLELPISLNRRDSLSLLTRPCCLVHKVNSIKVLCSQKREIPVVEAGSMDEIYDALAIRLLPTAPAASNPNFKYIVALAGPPGAGKSTLASEIVHRVNRLWPQKASSFDSQVKPPDVAAVLPMDGFHLYRSQLDAMENPEEAHARRGAPWTFSPTLLLRCLEKLRNEGSVYAPSFDHGVGDPVEDDIFVSLQHKVVIVEGNYLLLEDGAWKDVSSMFDEKWFIDVDIDTAMQRVLKRHISTGKPPDVAKWRIEYNDQPNAELIIKSKKNADLVIRSIDF >Potri.009G046800.4.v4.1 pep chromosome:Pop_tri_v4:9:5276747:5280644:1 gene:Potri.009G046800.v4.1 transcript:Potri.009G046800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046800.v4.1 MCSGSKRKPTHTGFNMENEFQKQDGVCCDFSLLLELSASNDLTGFKRAIEVEGHDIDEPGLWYGRRIGSKKMGFEERTPLIIAALYGSKDVLNYILETGHVDVNRGYGSDGATALHCAAAGGSSSAHEVVRLLLDASADPNSVDANGNHPGDLIAPVVESGSNSTRKTLEIMLKGGSSGEESCVLAYQIVNEMDGLEQQEISTPRVSKDGHEKKEYPIDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETGCARRVCFFAHKPEELRPLYASTGSAVPSPRSYSANCSNLDMSSISPLSLGSPSVLIPSTSSPPTPSGSSSPIGGWTNHSNVVPPALQLPGSRLKSALCARDMDLDMELLGLESHRRRQQFMDEISGLSSPSSWNNGLSTASAFAASGDRTGELNRLGGVRPTNLEDIFGSLDPSILPQMQGLSLDAAVAQLQPPTGMQMRQNINQQLRSSYPTSFSSSPVRRSPSFGVDHSGGAAAAALSSRSAAFAKRSQSFVERSAVNRHTGFSSPSSSANVMPSNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSNGSSFATAGASVPATVDEPDVSWVQSLVKDTPAKSGPLGFEEQQQQCHLNIGGSETLPAWVEQLYMEQKPLVA >Potri.009G046800.5.v4.1 pep chromosome:Pop_tri_v4:9:5276608:5279964:1 gene:Potri.009G046800.v4.1 transcript:Potri.009G046800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046800.v4.1 MCSGSKRKPTHTGFNMENEFQKQDGVCCDFSLLLELSASNDLTGFKRAIEVEGHDIDEPGLWYGRRIGSKKMGFEERTPLIIAALYGSKDVLNYILETGHVDVNRGYGSDGATALHCAAAGGSSSAHEVVRLLLDASADPNSVDANGNHPGDLIAPVVESGSNSTRKTLEIMLKGGSSGEESCVLAYQIVNEMDGLEQQEISTPRVSKDGHEKKEYPIDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETGCARRVCFFAHKPEELRPLYASTGSAVPSPRSYSANCSNLDMSSISPLSLGSPSVLIPSTSSPPTPSGSSSPIGGWTNHSNVVPPALQLPGSRLKSALCARDMDLDMELLGLESHRRRQQFMDEISGLSSPSSWNNGLSTASAFAASGDRTGELNRLGGVRPTNLEDIFGSLDPSILPQMQGLSLDAAVAQLQPPTGMQMRQNINQQLRSSYPTSFSSSPVRRSPSFGVDHSGGAAAAALSSRSAAFAKRSQSFVERSAVNRHTGFSSPSSSANVMPSNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSNGSSFATAGASVPATVDEPDVSWVQSLVKDTPAKSGPLGFEEQQQQCHLNIGGSETLPAWVEQLYMEQKPLVA >Potri.009G046800.1.v4.1 pep chromosome:Pop_tri_v4:9:5276636:5279961:1 gene:Potri.009G046800.v4.1 transcript:Potri.009G046800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046800.v4.1 MCSGSKRKPTHTGFNMENEFQKQDGVCCDFSLLLELSASNDLTGFKRAIEVEGHDIDEPGLWYGRRIGSKKMGFEERTPLIIAALYGSKDVLNYILETGHVDVNRGYGSDGATALHCAAAGGSSSAHEVVRLLLDASADPNSVDANGNHPGDLIAPVVESGSNSTRKTLEIMLKGGSSGEESCVLAYQIVNEMDGLEQQEISTPRVSKDGHEKKEYPIDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETGCARRVCFFAHKPEELRPLYASTGSAVPSPRSYSANCSNLDMSSISPLSLGSPSVLIPSTSSPPTPSGSSSPIGGWTNHSNVVPPALQLPGSRLKSALCARDMDLDMELLGLESHRRRQQFMDEISGLSSPSSWNNGLSTASAFAASGDRTGELNRLGGVRPTNLEDIFGSLDPSILPQMQGLSLDAAVAQLQPPTGMQMRQNINQQLRSSYPTSFSSSPVRRSPSFGVDHSGGAAAAALSSRSAAFAKRSQSFVERSAVNRHTGFSSPSSSANVMPSNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSNGSSFATAGASVPATVDEPDVSWVQSLVKDTPAKSGPLGFEEQQQQCHLNIGGSETLPAWVEQLYMEQKPLVA >Potri.009G046800.2.v4.1 pep chromosome:Pop_tri_v4:9:5276599:5279980:1 gene:Potri.009G046800.v4.1 transcript:Potri.009G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046800.v4.1 MENEFQKQDGVCCDFSLLLELSASNDLTGFKRAIEVEGHDIDEPGLWYGRRIGSKKMGFEERTPLIIAALYGSKDVLNYILETGHVDVNRGYGSDGATALHCAAAGGSSSAHEVVRLLLDASADPNSVDANGNHPGDLIAPVVESGSNSTRKTLEIMLKGGSSGEESCVLAYQIVNEMDGLEQQEISTPRVSKDGHEKKEYPIDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETGCARRVCFFAHKPEELRPLYASTGSAVPSPRSYSANCSNLDMSSISPLSLGSPSVLIPSTSSPPTPSGSSSPIGGWTNHSNVVPPALQLPGSRLKSALCARDMDLDMELLGLESHRRRQQFMDEISGLSSPSSWNNGLSTASAFAASGDRTGELNRLGGVRPTNLEDIFGSLDPSILPQMQGLSLDAAVAQLQPPTGMQMRQNINQQLRSSYPTSFSSSPVRRSPSFGVDHSGGAAAAALSSRSAAFAKRSQSFVERSAVNRHTGFSSPSSSANVMPSNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSNGSSFATAGASVPATVDEPDVSWVQSLVKDTPAKSGPLGFEEQQQQCHLNIGGSETLPAWVEQLYMEQKPLVA >Potri.009G046800.3.v4.1 pep chromosome:Pop_tri_v4:9:5276489:5279951:1 gene:Potri.009G046800.v4.1 transcript:Potri.009G046800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046800.v4.1 MCSGSKRKPTHTGFNMENEFQKQDGVCCDFSLLLELSASNDLTGFKRAIEVEGHDIDEPGLWYGRRIGSKKMGFEERTPLIIAALYGSKDVLNYILETGHVDVNRGYGSDGATALHCAAAGGSSSAHEVVRLLLDASADPNSVDANGNHPGDLIAPVVESGSNSTRKTLEIMLKGGSSGEESCVLAYQIVNEMDGLEQQEISTPRVSKDGHEKKEYPIDLTLPDIKNGIYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETGCARRVCFFAHKPEELRPLYASTGSAVPSPRSYSANCSNLDMSSISPLSLGSPSVLIPSTSSPPTPSGSSSPIGGWTNHSNVVPPALQLPGSRLKSALCARDMDLDMELLGLESHRRRQQFMDEISGLSSPSSWNNGLSTASAFAASGDRTGELNRLGGVRPTNLEDIFGSLDPSILPQMQGLSLDAAVAQLQPPTGMQMRQNINQQLRSSYPTSFSSSPVRRSPSFGVDHSGGAAAAALSSRSAAFAKRSQSFVERSAVNRHTGFSSPSSSANVMPSNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSNGSSFATAGASVPATVDEPDVSWVQSLVKDTPAKSGPLGFEEQQQQCHLNIGGSETLPAWVEQLYMEQKPLVA >Potri.015G077600.4.v4.1 pep chromosome:Pop_tri_v4:15:10408008:10413868:1 gene:Potri.015G077600.v4.1 transcript:Potri.015G077600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077600.v4.1 MEETFVPLRGIKNDLRGRLLCYKQDWKGGLRAGIRILAPTTYIFFASAIPVISFGEQLERDTDGTLTAVQTLVSTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRKDLGPNLFLAWTGWVCVWTALLLFLLAVLGACSIINRFTRVAGELFGLLIAMLFMQQAIKGVVEEFRIPQRENLKQTALQPSWRFGNGMFALVLSFGLLLTALRSRKARAWRYGTGWLRGFIADYGVPLMVLVWTAISYIPVNNVPRGIPRRLFSPNPWSAGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQAVDSHHLCFFAFTQLLRNKLVSTARKSMGKNSNLGQLYQSMQEAYNEIQTPLVYQLPSALGLKELKESTIQLSSSTGYIDAPVDETVFDVDKDIDDLLPVEVKEQRLSNLLQALMVGGCVAAMPVLKKIPSSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFIETVPFKTIATFTLFQTSYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPAVSYNMTFEDQDPQARTTNIDDGEILDEIITRSRGEIRRTQSPKVTSSTPGSVENMKSSYSPRLSQRAYSPRVSEPRVDRNPRFTGKGAELKQTPSPGFSNLGQSNPGSSSC >Potri.015G077600.7.v4.1 pep chromosome:Pop_tri_v4:15:10407945:10416409:1 gene:Potri.015G077600.v4.1 transcript:Potri.015G077600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077600.v4.1 MEETFVPLRGIKNDLRGRLLCYKQDWKGGLRAGIRILAPTTYIFFASAIPVISFGEQLERDTDGTLTAVQTLVSTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRKDLGPNLFLAWTGWVCVWTALLLFLLAVLGACSIINRFTRVAGELFGLLIAMLFMQQAIKGVVEEFRIPQRENLKQTALQPSWRFGNGMFALVLSFGLLLTALRSRKARAWRYGTGWLRGFIADYGVPLMVLVWTAISYIPVNNVPRGIPRRLFSPNPWSAGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMGKNSNLGQLYQSMQEAYNEIQTPLVYQLPSALGLKELKESTIQLSSSTGYIDAPVDETVFDVDKDIDDLLPVEVKEQRLSNLLQALMVGGCVAAMPVLKKIPSSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFIETVPFKTIATFTLFQTSYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPAVSYNMTFEDQDPQARTTNIDDGEILDEIITRSRGEIRRTQSPKVTSSTPGSVENMKSSYSPRLSQRAYSPRVSEPRVDRNPRFTGCDDGD >Potri.015G077600.5.v4.1 pep chromosome:Pop_tri_v4:15:10408008:10413981:1 gene:Potri.015G077600.v4.1 transcript:Potri.015G077600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077600.v4.1 MEETFVPLRGIKNDLRGRLLCYKQDWKGGLRAGIRILAPTTYIFFASAIPVISFGEQLERDTDGTLTAVQTLVSTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRKDLGPNLFLAWTGWVCVWTALLLFLLAVLGACSIINRFTRVAGELFGLLIAMLFMQQAIKGVVEEFRIPQRENLKQTALQPSWRFGNGMFALVLSFGLLLTALRSRKARAWRYGTGWLRGFIADYGVPLMVLVWTAISYIPVNNVPRGIPRRLFSPNPWSAGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMGKNSNLGQLYQSMQEAYNEIQTPLVYQLPSALGLKELKESTIQLSSSTGYIDAPVDETVFDVDKDIDDLLPVEVKEQRLSNLLQALMVGGCVAAMPVLKKIPSSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFIETVPFKTIATFTLFQTSYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPAVSYNMTFEDQDPQARTTNIDDGEILDEIITRSRGEIRRTQSPKVTSSTPGSVENMKSSYSPRLSQRAYSPRVSEPRVDRNPRFTGKGAELKQTPSPGFSNLGQSNPGSSSC >Potri.015G077600.1.v4.1 pep chromosome:Pop_tri_v4:15:10408080:10416513:1 gene:Potri.015G077600.v4.1 transcript:Potri.015G077600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077600.v4.1 MEETFVPLRGIKNDLRGRLLCYKQDWKGGLRAGIRILAPTTYIFFASAIPVISFGEQLERDTDGTLTAVQTLVSTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRKDLGPNLFLAWTGWVCVWTALLLFLLAVLGACSIINRFTRVAGELFGLLIAMLFMQQAIKGVVEEFRIPQRENLKQTALQPSWRFGNGMFALVLSFGLLLTALRSRKARAWRYGTGWLRGFIADYGVPLMVLVWTAISYIPVNNVPRGIPRRLFSPNPWSAGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMGKNSNLGQLYQSMQEAYNEIQTPLVYQLPSALGLKELKESTIQLSSSTGYIDAPVDETVFDVDKDIDDLLPVEVKEQRLSNLLQALMVGGCVAAMPVLKKIPSSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFIETVPFKTIATFTLFQTSYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPAVSYNMTFEDQDPQARTTNIDDGEILDEIITRSRGEIRRTQSPKVTSSTPGSVENMKSSYSPRLSQRAYSPRVSEPRVDRNPRFTGKGAELKQTPSPGFSNLGQSNPGSSSC >Potri.015G077600.6.v4.1 pep chromosome:Pop_tri_v4:15:10408004:10413867:1 gene:Potri.015G077600.v4.1 transcript:Potri.015G077600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077600.v4.1 MEETFVPLRGIKNDLRGRLLCYKQDWKGGLRAGIRILAPTTYIFFASAIPVISFGEQLERDTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRKDLGPNLFLAWTGWVCVWTALLLFLLAVLGACSIINRFTRVAGELFGLLIAMLFMQQAIKGVVEEFRIPQRENLKQTALQPSWRFGNGMFALVLSFGLLLTALRSRKARAWRYGTGWLRGFIADYGVPLMVLVWTAISYIPVNNVPRGIPRRLFSPNPWSAGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMGKNSNLGQLYQSMQEAYNEIQTPLVYQLPSALGLKELKESTIQLSSSTGYIDAPVDETVFDVDKDIDDLLPVEVKEQRLSNLLQALMVGGCVAAMPVLKKIPSSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFIETVPFKTIATFTLFQTSYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPAVSYNMTFEDQDPQARTTNIDDGEILDEIITRSRGEIRRTQSPKVTSSTPGSVENMKSSYSPRLSQRAYSPRVSEPRVDRNPRFTGKGAELKQTPSPGFSNLGQSNPGSSSC >Potri.015G077600.9.v4.1 pep chromosome:Pop_tri_v4:15:10407946:10413865:1 gene:Potri.015G077600.v4.1 transcript:Potri.015G077600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077600.v4.1 MEETFVPLRGIKNDLRGRLLCYKQDWKGGLRAGIRILAPTTYIFFASAIPVISFGEQLERDTDGTLTAVQTLVSTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRKDLGPNLFLAWTGWVCVWTALLLFLLAVLGACSIINRFTRVAGELFGLLIAMLFMQQAIKGVVEEFRIPQRENLKQTALQPSWRFGNGMFALVLSFGLLLTALRSRKARAWRYGTGWLRGFIADYGVPLMVLVWTAISYIPVNNVPRGIPRRLFSPNPWSAGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMGKNSNLGQLYQSMQEAYNEIQTPLVYQLPSALGTKRAERIYNTAVLKYWLH >Potri.015G077600.8.v4.1 pep chromosome:Pop_tri_v4:15:10410793:10413866:1 gene:Potri.015G077600.v4.1 transcript:Potri.015G077600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077600.v4.1 MVLVWTAISYIPVNNVPRGIPRRLFSPNPWSAGAYSNWTVIKEMVNVPPLYIVGAFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMGKNSNLGQLYQSMQEAYNEIQTPLVYQLPSALGLKELKESTIQLSSSTGYIDAPVDETVFDVDKDIDDLLPVEVKEQRLSNLLQALMVGGCVAAMPVLKKIPSSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFIETVPFKTIATFTLFQTSYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYVLPKFFKGAHLQDLDAAEYEEAPAVSYNMTFEDQDPQARTTNIDDGEILDEIITRSRGEIRRTQSPKVTSSTPGSVENMKSSYSPRLSQRAYSPRVSEPRVDRNPRFTGKGAELKQTPSPGFSNLGQSNPGSSSC >Potri.004G025900.7.v4.1 pep chromosome:Pop_tri_v4:4:1970035:1974430:1 gene:Potri.004G025900.v4.1 transcript:Potri.004G025900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025900.v4.1 MKSLNFFIALLSLLSLATITKSQDPSYLYRSCSNETTYTRNSTYQANLNLLLSSLVSNATRNNLNGFYNSSLGLDPDDVYGLFLCRGDVNKNACQNCVALAAKEAIQRCPVEKVVVLWYDLCFLRYSNRAFFATMDQDPGVTLYNTQNIAYEPERFNRLVATSMNDTATQATSATSGAKKFAAKEVYFNEFLNLYSLVQCTPDLSSSDCNRCLRIAISSLTSCCGQRAGARVLYPSCNIRYETYEFYNTTAVAAESPPPPPPVIRAPPPSPVSGSKGKVRVSTVVIIAIVVPVGVSIALFCLGFGFLRRRGRKNRDSVKEKDVGDEISTEESLQFDLSTIEAATNNFSPDNKLGEGGFGEVYKGTFPNGQHIAVKRLSKYSGHGAAEFKNEIVLVAKLQHRNLVRLLGYCLEGEEKLLIYEFVPNKSLDYFLFDPAKQGLLDWLSRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDGEMNPRIADFGVAKIFGVDQSQGITSRIAGTFGYMSPEYAMHGQYSVKSDVYSFGVLILEIISGKKNSSFYQSDNGMGLLRYAWQQWKNGAALELVDPSLGDSYSRNEITRCLHIALLCVQEDPNDRPTLTSVVLMLTSFSISLPLPREPSSFEQSMTISSLPLTELESDQSNIKSKPLSVNDVSITELYPR >Potri.004G025900.1.v4.1 pep chromosome:Pop_tri_v4:4:1963210:1974430:1 gene:Potri.004G025900.v4.1 transcript:Potri.004G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025900.v4.1 MKSLNFFIALLSLLSLATITKSQDPSYLYRSCSNETTYTRNSTYQANLNLLLSSLVSNATRNNLNGFYNSSLGLDPDDVYGLFLCRGDVNKNACQNCVALAAKEAIQRCPVEKVVVLWYDLCFLRYSNRAFFATMDQDPGVTLYNTQNIAYEPERFNRLVATSMNDTATQATSATSGAKKFAAKEVYFNEFLNLYSLVQCTPDLSSSDCNRCLRIAISSLTSCCGQRAGARVLYPSCNIRYETYEFYNTTAVAAESPPPPPPVIRAPPPSPVSGSKGKVRVSTVVIIAIVVPVGVSIALFCLGFGFLRRRGRKNRDSVKEKDVGDEISTEESLQFDLSTIEAATNNFSPDNKLGEGGFGEVYKGTFPNGQHIAVKRLSKYSGHGAAEFKNEIVLVAKLQHRNLVRLLGYCLEGEEKLLIYEFVPNKSLDYFLFDPAKQGLLDWLSRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDGEMNPRIADFGVAKIFGVDQSQGITSRIAGTFGYMSPEYAMHGQYSVKSDVYSFGVLILEIISGKKNSSFYQSDNGMGLLRYAWQQWKNGAALELVDPSLGDSYSRNEITRCLHIALLCVQEDPNDRPTLTSVVLMLTSFSISLPLPREPSSFEQSMTISSLPLTELESDQSNIKSKPLSVNDVSITELYPR >Potri.010G035000.1.v4.1 pep chromosome:Pop_tri_v4:10:6372915:6375887:-1 gene:Potri.010G035000.v4.1 transcript:Potri.010G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G035000.v4.1 MGVDYYNILKLNRNATEEDMKKAYKRLAMKWHPDKNPVNKKEAEAKFKLISEAYDVLSDPNKRQIYDLYGEEGLKSFDQIPPPTTNVGASFRFNPRDAEDIFAEFFGGGGGSGGVGKGYFRNNNGNNYGAELNRKAAPVESKLLCTLEELYKGTRRKMRISRSVPDDFGKPKTVEEILKIDIKPGWKKGTKITFPEKGNQEPGITPADLIFVVDEKPHSVFKRDGNDLVINQKISLLEALTGKTIELTTLDGRYLPVPVTDIVKPGQELLVSNEGMPISKEPTKRGNLRIKFDVTFPTRLTVEQKSDLKKALGAPDN >Potri.001G238900.1.v4.1 pep chromosome:Pop_tri_v4:1:25659974:25662470:-1 gene:Potri.001G238900.v4.1 transcript:Potri.001G238900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238900.v4.1 MARQEEGWPLGLQPLNARVGIARNGDFSGSRSFNTLITGSPTSSTDSSSDLDTESTGSFFHDKSITLGSLIGVSNILELSRKSTRTRKVEVVEEKKSCKSKTWIFSLCSRDTTDARIVNNTPSLGHFLAVERRAADEFRRNHGPFIHGTHDELELAQPVTEPNSLFVNGHVAPPTLNQSCGAGAERSGNEELEHCSGYGVPVLFSCMCGQPSL >Potri.001G220500.3.v4.1 pep chromosome:Pop_tri_v4:1:22998409:23000362:1 gene:Potri.001G220500.v4.1 transcript:Potri.001G220500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220500.v4.1 MQDSNDPARRYGLVQRQMPTGCRFAPSDEVLVIHYLSKKGKNEPLPCPYAVRECDLYGREPEEIWKEFGGDRLKRKIDQDLGLFFFTRLKKKSGTDHGSSRIDRSVGNNIGTWHEECALRSITCSETKKNIGSKKRFVYKSNKSHNRGRVSWHMLEISLPDNTSDHVVCQLKRKERNSIKSGRGDAGVLENGNGEGAQKRQRTVSWTNQEAGPSFFNSNKTLESYDEAKRNSSGSGKEMLASNSIESGRGDAGVENGNDQGAQKRQRTVSWTNQEAGPSFFNSNKTPESYEAKRNSTVCGKEMGASIDGGHSFDLNIEWTNPDEDDTYTSHKERQPHFSSGKKMTEIASSSLASLETGKSTNHVKNAKKTLRFDVNIITFFHPTTTP >Potri.002G194850.1.v4.1 pep chromosome:Pop_tri_v4:2:15779458:15782324:1 gene:Potri.002G194850.v4.1 transcript:Potri.002G194850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194850.v4.1 MARPILRDKDQLEELADPRLGGKYPKEDFVRVCTIAAACVASEASQRPTMGEVVQPLKMVHRVMEYQDSMSTSNARANLRQSSNTFESDGTSSMFSSGPYSSLSALDNDNISRTAVFSEDLHEGR >Potri.019G056601.1.v4.1 pep chromosome:Pop_tri_v4:19:9549720:9549950:-1 gene:Potri.019G056601.v4.1 transcript:Potri.019G056601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056601.v4.1 MARREFMSTTQVLMVVFLVFFMFQSKVASRIGMSCTLACHVDEGTRTQEHVDMEDDSGDYDYYRRYGDVPSPGIGH >Potri.009G019400.3.v4.1 pep chromosome:Pop_tri_v4:9:3176777:3179324:1 gene:Potri.009G019400.v4.1 transcript:Potri.009G019400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G019400.v4.1 MSRRKTREPKEENVTLGPTVREGEHVFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMKIGRIEDVTPIPTDSTRRKGGRRGRRL >Potri.016G043200.1.v4.1 pep chromosome:Pop_tri_v4:16:2723137:2726441:-1 gene:Potri.016G043200.v4.1 transcript:Potri.016G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043200.v4.1 MPSSKKEALFIASLIALWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAILSYLSIVFLKIVPLQVVKSRPQLLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTFKREAWVTYAALVPVVVGVIIASGGEPGFHLFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLLPAALIIEPNVLDVTLELGRKHQYMWLLLLLNSTMAYSANLTNFLVTKHTSALTLQVLGNAKGAVAVVISIFIFRNPVTFVGIAGYSMTVLGVVAYGEAKRRFR >Potri.016G043200.3.v4.1 pep chromosome:Pop_tri_v4:16:2725568:2726161:-1 gene:Potri.016G043200.v4.1 transcript:Potri.016G043200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043200.v4.1 MPSSKKEALFIASLIALWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAILSYLSIVFLKIVPLQVVKSRPQLLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTFKREAWVTYAALVPVVVGVIIASGVSSFLFYGSSIGYRIVDICFCLQVDLRLFGVFDFEFFEIDSCIASHAN >Potri.016G043200.2.v4.1 pep chromosome:Pop_tri_v4:16:2723137:2727254:-1 gene:Potri.016G043200.v4.1 transcript:Potri.016G043200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043200.v4.1 MPSSKKEALFIASLIALWYSSNIGVLLLNKFLLSNYGFRFPIFLTMCHMSACAILSYLSIVFLKIVPLQVVKSRPQLLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTFKREAWVTYAALVPVVVGVIIASGGEPGFHLFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLLPAALIIEPNVLDVTLELGRKHQYMWLLLLLNSTMAYSANLTNFLVTKHTSALTLQVWNWLAS >Potri.006G145200.2.v4.1 pep chromosome:Pop_tri_v4:6:12310355:12313914:1 gene:Potri.006G145200.v4.1 transcript:Potri.006G145200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145200.v4.1 MVSYVGVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPVTPARLRLLYEDVWLRSSDGVRLHAWFIKVLPESRGPTVLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLTKNNPDKVAALILENTFTSILDMAGVILPFLKWFIGGTSSKGPKLLNFLVRSPWSTIDVVGQIKQPILFLSGLQDEMVPPFHMQMLYGKAAAHNRECVFVDFPNGMHMDTWLAGGDHYWRTTQQFLEKHVPEIKEHDSSHDDKDIEGR >Potri.006G145200.3.v4.1 pep chromosome:Pop_tri_v4:6:12310355:12313914:1 gene:Potri.006G145200.v4.1 transcript:Potri.006G145200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145200.v4.1 MVSYVGVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPVTPARLRLLYEDVWLRSSDGVRLHAWFIKVLPESRGPTVLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLTKNNPDKVAALILENTFTSILDMAGVILPFLKWFIGGTSSKGPKLLNFLVRSPWSTIDVVGQIKQPILFLSGLQDEMVPPFHMQMLYGKAAAHNRECVFVDFPNGMHMDTWLAGGDHYWRTTQQFLEKHVPEIKEHDSSHDDKGDSFSS >Potri.006G145200.4.v4.1 pep chromosome:Pop_tri_v4:6:12310278:12313914:1 gene:Potri.006G145200.v4.1 transcript:Potri.006G145200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145200.v4.1 MVSYVGVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPVTPARLRLLYEDVWLRSSDGVRLHAWFIKVLPESRGPTVLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLTKNNPDKVAALILENTFTSILDMAGVILPFLKWFIGGTSSKGPKLLNFLVRSPWSTIDVVGQVRLNVSGISSFSLLTICECKALVHMKTSGRPCQSFVATQRTSSISSIIREYIFGVIGWMCPLLLFGLWKN >Potri.006G145200.1.v4.1 pep chromosome:Pop_tri_v4:6:12310253:12313964:1 gene:Potri.006G145200.v4.1 transcript:Potri.006G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145200.v4.1 MVSYVGVLLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYPVTPARLRLLYEDVWLRSSDGVRLHAWFIKVLPESRGPTVLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGITKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLTKNNPDKVAALILENTFTSILDMAGVILPFLKWFIGGTSSKGPKLLNFLVRSPWSTIDVVGQIKQPILFLSGLQDEMVPPFHMQMLYGKAAAHNRECVFVDFPNGMHMDTWLAGGDHYWRTTQQFLEKHVPEIKEHDSSHDDKGTFIVYEDTVYLRKTT >Potri.014G127600.2.v4.1 pep chromosome:Pop_tri_v4:14:8566104:8568925:1 gene:Potri.014G127600.v4.1 transcript:Potri.014G127600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127600.v4.1 MVTKSALTNYFSKSHYVSYRVPTTSQAEINKIYLNPVNKNKKRILFTPSRATPMSFTAIFTLFLILSPLVTSSSLTITDNLPTVYEIIAGYNFPIGILPKGATGYKLDKTTGEFGAFLNGSCSFSVEGSYQLNYKSTISGYISDNRLKSLSGVSVKVLFFWLNIVEVIRNGDELDFSVGIASASFPIDNFYECPQCGCGLNCNNVELATKLRSNPFVSSF >Potri.001G406300.3.v4.1 pep chromosome:Pop_tri_v4:1:43368550:43377276:1 gene:Potri.001G406300.v4.1 transcript:Potri.001G406300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406300.v4.1 MNEKANVSKELNARHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERANSYWEADLPPNYDRVGIENFIRAKYEEKRWVSKDGKPQSPSSGRDERSSLHWQRPAERSGHGHTSSSENLFEERKNFQVSNSKNSAPATRISLPAPPRAFEQVTAPTKPQQVVEKAEPMAEATEAAKKVADAAPVVSPPKVDFATDLFDLLSMDGPTENGSEAAANDDNSWAGFQSAAVAEEVSTTGNTGPTQAVENDTQSVSGIEDLFKDSPSLATPSVLEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQLLMAAAAKSAGGDPKAINQQQLAILAQQQQLLMAAAAKSAGGDPQAMNQQQLAILAQQQQLLMATAAKSAGGDQKLSGSIQQQGPNGISIPAQNWPNIGYQIPGLMMPVAGQGDLQKLKQTADMGLTHPGGSSVPYPTSR >Potri.001G406300.1.v4.1 pep chromosome:Pop_tri_v4:1:43368592:43377598:1 gene:Potri.001G406300.v4.1 transcript:Potri.001G406300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406300.v4.1 MNEKANVSKELNARHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERANSYWEADLPPNYDRVGIENFIRAKYEEKRWVSKDGKPQSPSSGRDERSSLHWQRPAERSGHGHTSSSENLFEERKNFQVSNSKNSAPATRISLPAPPRAFEQVTAPTKPQQVVEKAEPMAEATEAAKKVADAAPVVSPPKVDFATDLFDLLSMDGPTENGSEAAANDDNSWAGFQSAAVAEEVSTTGNTGPTQAVENDTQSVSGIEDLFKDSPSLATPSVLEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQLLMAAAAKSAGGDPKAINQQQLAILAQQQQLLMAAAAKSAGGDPQAMNQQQLAILAQQQQLLMATAAKSAGGDQKLSGSIQQQGPNGISIPAQNWPNIGYQIPGLMMPVAGQGDLQKLKQTADMGLTHPGGSSVPYPTSSLYNIEQVTPANGGTNNGVGKTQSSSSVSSGTSTPAGKDYDFSSLMQGMFSKH >Potri.001G406300.4.v4.1 pep chromosome:Pop_tri_v4:1:43368604:43377590:1 gene:Potri.001G406300.v4.1 transcript:Potri.001G406300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406300.v4.1 MNEKANVSKELNARHRKILEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERANSYWEADLPPNYDRVGIENFIRAKYEEKRWVSKDGKPQSPSSGRDERSSLHWQRPAERSGHGHTSSSENLFEERKNFQVSNSKNSAPATRISLPAPPRAFEQVTAPTKPQQVVEKAEPMAEATEAAKKVADAAPVVSPPKVDFATDLFDLLSMDGPTENGSEAAANDDNSWAGFQSAAVAEEVSTTGNTGPTQAVENDTQSVSGIEDLFKDSPSLATPSVLEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQLLMAAAAKSAGGDPKAINQQQLAILAQQQQLLMAAAAKSAGGDPQAMNQQQLAILAQQQQLLMATAAKSAGGDQKLSGSIQQQGPNGISIPAQNWPNIGYQIPGLMMPVAGQGDLQKLKQTADMGLTHPGGSSVPYPTSR >Potri.001G276100.1.v4.1 pep chromosome:Pop_tri_v4:1:28970084:28972634:1 gene:Potri.001G276100.v4.1 transcript:Potri.001G276100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276100.v4.1 MGEGANQAEQQTQIETTPETVDALLEAARYDDIEDIARLESSGVSLDSKDSLGRTALHMAAANGHLDIVEYLISQGVDLNAANKEKNTALHWACLNGHIEVVKKLILAGSSLGFLNSHERTPMDEAVTREKMDVIDAINAAVAQLELAGVAVS >Potri.007G099400.2.v4.1 pep chromosome:Pop_tri_v4:7:12409946:12412394:-1 gene:Potri.007G099400.v4.1 transcript:Potri.007G099400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099400.v4.1 MKGNRSADQLELPAGFRFHPTDDELVNHYLLKKCGGQSISAPIIAEIDLYKYDPWQLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGRPKPLGIKKALVFYAGKAPKGIKTNWIMHEYRLANVDRTAGKKNNLRLDDWVLCRIYNKKGSVEKHFPAERKSIAKYPEMEEQKPNISEMSGYHNGMSQIAAPTMMMSNSNDFLYMDTSDSIPRLNTDSSSSEHVLSPEVTCEKEVQSQPKWNDQLDNAFDFNFNYIDDGFQDYPFASQDQFQLDQLSPLQDMFMYLQKPF >Potri.003G204100.1.v4.1 pep chromosome:Pop_tri_v4:3:20308295:20310440:-1 gene:Potri.003G204100.v4.1 transcript:Potri.003G204100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204100.v4.1 MILSNPTKTHHFWRHTFFQQYRTLPFNKPKAKPRHRRKGHKTSSRNRNDAPFVNHVKEARDPVEALTLFHEYLQRGFKPDYPSYAALLYKLARCQDFGAVEEVLRYVEDKNVHCQETIFIALFQHYGKAQLVHKAVELFNRMTRFNCVRTSQSLNSLLNVLVDNGWFLEANELFDKGYEMGFRLNSVAFNVMIKGWLKKGEWEQASKVFDEMLERKVEPSVVTYNSLIGYLCRNGELDKAKGLLEDMIKKGKRPNAITFALLMEGSCLIGEHNEAKKMMFDMEYRGCKPTVVNFGVLMSDLGKRGKIDEAKSVLHEMKKRHMKPDVVTYNILINYLCKEGRAADAYEVLFEMQVGGCEANAATYRMLVDGFCRVGDFEGGLKVLNAMLTSGHFPRVETFRSLVVGLVKSGNLDGACFVLEEMEKRQMMFCPDDWEALVMESCRGDENGSVGEHVNELVLAMEA >Potri.003G020800.1.v4.1 pep chromosome:Pop_tri_v4:3:2171861:2178139:1 gene:Potri.003G020800.v4.1 transcript:Potri.003G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020800.v4.1 MGWFFSSKPRRRPPKYSSMAPPPPSLSSLLPHSHSFLPPQNPHLTHRYMPSPRPSHSLSFTTRFSPDNKLNDDKITYPPPQQEKKSFAVATGELFLGIASRVLRSRNKNSYNNNKVSGLSLLEKSDDGNVDYEERIRAVMEDEVEPVVIWEQRVKDIEAEKERPVVTSPGFCFSAAGLLFPYHLGVAHLLIEKGYIKETTPLAGSSAGAIVCAVIASGAGMQEALTATKVLAEDCRLRGTAFRLGAVLRDILEKFLPDDVHVRCNGRVRIAVTQILWRPRGLLVDQFDSKEDLINAVVTSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSAAQTVRVCAFSASGLGLQGIGISPDCNPENRATPRELFNWALEPAEDHILDRLFELGYLDAAVWAEENPVQNVVQDDIPLVENGSSSSQ >Potri.010G222900.1.v4.1 pep chromosome:Pop_tri_v4:10:20729448:20732059:1 gene:Potri.010G222900.v4.1 transcript:Potri.010G222900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222900.v4.1 MRSIQLGFSVSPSSINNNLLNFNFKKQSFFTPTRVSHHQYSLPTTGLCSRASQVVELFPTVSPGIVVREARLEDCWEVAETHCSSFFPDYSFPLHFVLRVDRLVAMLSGFTIPNGCRRTCLVAVIGSTGDQTFYIGSEDFKIGGSDGNFSLNRGYIAGILTVDTVANFLPRKGPLRQRRTGIAYISNVAVRERFRQKGIGKRLVAKAEAQARNWGCRSIALHCDSNNPGATKLYKGQGFKSIKVPEGANWPHPKTSPDIKFNFMMKLVNTPITT >Potri.014G065700.1.v4.1 pep chromosome:Pop_tri_v4:14:4063719:4064902:1 gene:Potri.014G065700.v4.1 transcript:Potri.014G065700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065700.v4.1 MAANHRPDLGFERDSIVVHHGVFAMLVDTLNKQIQVKYQSMPISPYDTHKRVMSAFLAALFIYATASVAEAIPRSQESVYQRLFGKIRLFASALATVFLLVLLTPPWGYSIISILWICLLVSLAYESCQQFYQLLSQAYTDMLEKLFDGERSREEDPS >Potri.004G163700.3.v4.1 pep chromosome:Pop_tri_v4:4:18327451:18329926:1 gene:Potri.004G163700.v4.1 transcript:Potri.004G163700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MEDGGQHQNGRYKIDYYKTAHPHPPWNMMPRNQVKEQTNALVMNKKIMTILIERDDAIRERNLAFAEKKEALAARDEAIQQREKALAERDKALMERDNALAAIQYRENAMNYPLSGGSQRGSKRIPHPVYHSSDMSEALDSGEMHVTNALPISSVPAENAKSRQTKRSKENKAVGLKAAKSPWKGNRVSEDLNKQGASDGKKIKVEWDSQDVGLNLINFDETTMTAPVCSCTGVPRQCYKWGSGGWQSACCTTTMSSYPLPQLPNKRRARVGGRKMSGNVFTRLLSRLAAEGHDLSIPLDLKDYWARHGTNRYITIK >Potri.004G163700.1.v4.1 pep chromosome:Pop_tri_v4:4:18327440:18329977:1 gene:Potri.004G163700.v4.1 transcript:Potri.004G163700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MEDGGQHQNGRYKIDYYKTAHPHPPWNMMPRNQVKEQTNALVMNKKIMTILIERDDAIRERNLAFAEKKEALAARDEAIQQREKALAERDKALMERDNALAAIQYRENAMNYPLSGGSQRGSKRIPHPVYHSSDMSEALDSGEMHVTNALPISSVPAENAKSRQTKRSKENKAVGLKAAKSPWKGNRVSEDLNKQGASDGKKIKVEWDSQDVGLNLINFDETTMTAPVCSCTGVPRQCYKWGSGGWQSACCTTTMSSYPLPQLPNKRRARVGGRKMSGNVFTRLLSRLAAEGHDLSIPLDLKDYWARHGTNRYITIK >Potri.005G128900.8.v4.1 pep chromosome:Pop_tri_v4:5:9722287:9725332:-1 gene:Potri.005G128900.v4.1 transcript:Potri.005G128900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128900.v4.1 MVTLKSFFPFPFVQIPTNSAGLIEYRAHPFWLQKYCPSHERDMTPRCCSCERMEPTDTRYISLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERPALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDIRTEPYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGGEGASSSSSSSSPSSSSSTSSKKGPRSDFEKKLGEFFKHQIESDTSPAYGEGFRIGNQAVLKYGLRRTLDHIQMTGTFPV >Potri.005G128900.1.v4.1 pep chromosome:Pop_tri_v4:5:9721519:9728566:-1 gene:Potri.005G128900.v4.1 transcript:Potri.005G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128900.v4.1 MDWWTNVLEGSSSRGHYPGRHGEDRYWDEPRQSVDDLLNFDNEEIEYAIALSLSEEDQKGKKVIEEDNESEQSEEYHKPHQPEEDVTAQLEEDEQLAKAIQESLSLESPPRAQYDGGNLVPPYPFSSGYRICAGCNTEIGHGQFLSCMGGVWHPDCFCCNACNLPITDYEFSMSGNRPYHKSCYRKQHHPRCDVCNKFIPTNSAGLIEYRAHPFWLQKYCPSHERDMTPRCCSCERMEPTDTRYISLDDGRKLCLECLDSAIMDTHECQPLYFEIREFYEGLNMKVEQEIPLLLVERPALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVLRRPRIGAGYRFIDIRTEPYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGGEGASSSSSSSSPSSSSSTSSKKGPRSDFEKKLGEFFKHQIESDTSPAYGEGFRIGNQAVLKYGLRRTLDHIQMTGTFPV >Potri.005G130500.1.v4.1 pep chromosome:Pop_tri_v4:5:10012550:10012845:1 gene:Potri.005G130500.v4.1 transcript:Potri.005G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130500.v4.1 MLYHLIVIDFISKKPLLSRLLQQKTRKTESASKHFLRLEKNQFHQLKGEAVTRRRKASSKVLEMQS >Potri.002G090000.1.v4.1 pep chromosome:Pop_tri_v4:2:6516124:6518383:1 gene:Potri.002G090000.v4.1 transcript:Potri.002G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090000.v4.1 MAISLFNYTLLAPPRLSASFSSKPSITLETKTPSPLHQMPLRQCKPINASLLSSSSPIPINNIKRRSLKTYLAPEDSAPTTNGKEENNEIQEGQKRGPSLKNLMKIYRQAIFYGDEKTILDIEAKAATIEKENHEFLQKVSSLSAEITSGKEKYIRLQADFDNFRKRSDKERVNIRSDAQGEVIESLLPMVDSFERAKQQIQPETEKEKKIDSSYQGIYKQLVDIMRNLQVAAVPTVGKPFDPSLHEAIAREESQEYKEGIIIQEFRRGFLIGNRLIRPAMVKVSSGPGNKKSSVGTETRAEQPATAAGMD >Potri.002G090000.7.v4.1 pep chromosome:Pop_tri_v4:2:6516073:6518370:1 gene:Potri.002G090000.v4.1 transcript:Potri.002G090000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090000.v4.1 MAISLFNYTLLAPPRLSASFSSKPSITLETKTPSPLHQMPLRQCKPINASLLSSSSPIPINNIKRRSLKTYLAPEDSAPTTNGKEENNEIQEGQKRGPSLKNLMKIYRQAIFYGDEKTILDIEAKAATIEKENHEFLQKVSSLSAEITSGKEKYIRLQADFDNFRKRSDKERVNIRSDAQGEVIESLLPMVDSFERAKQQIQPETEKEKKIDSSYQGIYKQLVDIMRNLQVAAVPTVGKPFDPSVRENSWSLLRYVHCVMHGAFSCCFWSWFYE >Potri.006G110000.1.v4.1 pep chromosome:Pop_tri_v4:6:8539576:8543519:1 gene:Potri.006G110000.v4.1 transcript:Potri.006G110000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110000.v4.1 MDKCFYVSVYVSLCLLSSIQVIFAANYVPTEKILLDCGANSDQSDSDGRDWTSDRGSSFLSSSTNSSTATASTQDPSVPQVPYLTARIFRSSFTYSFPVVSGRKFIRLYFYPSSYSGLNASNALFSVTAGSYTLLSNFSVAQTAEALNYVSIMKEYLVNVDGDTLKITFSPSSNPSSAYAFVNGIEIVSMPDIYSNVDGVMIVGQDAPFTIYNTTALENVYRLNVGGNSITPSADTGLFRSWSDDQIYLYGAAYGLTQSADPNMTIRYPAGMSSYVAPSDVYATARSMGTDPRINMNYNLTWVFSVDSNFNYLVRLHFCEVSNITKVNQQVFDIFLNNQTAEDGADVIAWAGGNGNNGVPVYKDYVVLVPGGPPQQDMWLGLHPNPKSKPQYYDAILNGVEIFKLSNPNDGNLAGPNPIPAPKQEEIDPIKARPGSGSGQSKSQTAIIAGGVSGGVVLAIVIGFCVLAASRRRHRHRKEPSSSDGPSGWLPLSLYGNSHSASSAKTNTTGSYVSSLPSNLCRHFSFAEIKAATKNFDEALILGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDHMAYGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQILDPYLKGKIAPECFKKFAETAMKCVSDESIDRPSMGDVLWNLEFALQLQESAEDGAKGIVGVDEEVPFNVAYKGKKDPDASPGYDGNVTDSRSSGISMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >Potri.015G050300.1.v4.1 pep chromosome:Pop_tri_v4:15:5284024:5292489:-1 gene:Potri.015G050300.v4.1 transcript:Potri.015G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050300.v4.1 MNWRRVLKSVQALAAHCLLFSFTLFLVLKLDHVVSYSWWLIFFPLWTFHVVVARGRFSLPAPSVPRNRHWAPCHAVVATPLLIAFELLLCIYLESVYVYHIAAVNLKIVFIPLLAFEVIILIDNFRMCKALMPGEEESISDEAIWETLPHFWVAISMVFFVAATVFTLLKLGGDVGALGWWDLFINFSIAECFAFLVCTKWSNPVIHRNSQTREASSSMTIRYLDWNGGLMVSPEENQHQDRMCGLQDIGGHLMKIPLIGFQVLLCMHLEGTPAGARNIPLLVLFSPLFLLQGAGVLFAASKLAEKLVLLLRSEAGTGRYFTFSSRAHDCLGFLHHGSRLLGWWSIDEGSQEEQARLYHMGDASYNTFCGYPPEIVKKMPKKDLAEEVWRLQAALGEQTEITKYSQQEFERLQNEKVLCRVCFEGEISVVLLPCRHRILCSTCCERCKKCPICRVSVEERLSVYDV >Potri.006G048600.6.v4.1 pep chromosome:Pop_tri_v4:6:3343929:3351724:-1 gene:Potri.006G048600.v4.1 transcript:Potri.006G048600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048600.v4.1 MHSVNSTADVLNLMKLGEANRVVSSTALNNRSSRSHSILTVHVHGKDVSGSTLHSCLHLVDLAGSERVDKSEVMGDRLKEAQYINKSLSCLGDVITALAQKNSHIPYRNSKLTLLLQDSLGGHAKTLMFAHLSPEGDSFGETISTLKFAQRVSTIELGAVRANKESGEIMQLKDQVENLKKALASKEAKNVQFNKLKDPRSPCEIPKVMPERTPPRARRLSIENGSSRKSEKITNTEDRKASKTPSVPTRSKRLSLEGPKYDKKEHFQANGADDVSRPLRFDSVTLQKHGFIQDAEAVSKPFGHSASGSSSVEVYRLNNSRSPTSLYQKRMIKTDNSRTQIPTLQLPITPEPQVISRNAEAVSKSLGHSASGSSTVEVYRLNTRSPTSLYQKRMVKTDNSRTQIPTLQLPITPEPQVISRNEVKILVQSELGVSTDSQAANLVRSTHGKGSQIRKSLRTIGKLINGSDKRNQQISKEEFSPIIGICNDTDLKTPLTANARTLRRQSLTGVQTSTSRRSSLGGKPIEPDDPRRDADDPRRNAKTPPPVHPSTKLTKRWL >Potri.008G106900.1.v4.1 pep chromosome:Pop_tri_v4:8:6762101:6764505:-1 gene:Potri.008G106900.v4.1 transcript:Potri.008G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106900.v4.1 MSTMKFCRECNNILYPREDRDQKILLYACRNCDHQEIADDNCVYRNEVHHSVAERTQVLQDVAADPTLPRTKAVTCTVCKHPEAVFFQATSRGEEGMTLFFVCCNPNCGHRWRD >Potri.008G106900.3.v4.1 pep chromosome:Pop_tri_v4:8:6762345:6764310:-1 gene:Potri.008G106900.v4.1 transcript:Potri.008G106900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106900.v4.1 MFCSNNILYPREDRDQKILLYACRNCDHQEIADDNCVYRNEVHHSVAERTQVLQDVAADPTLPRTKAVTCTVCKHPEAVFFQATSRGEEGMTLFFVCCNPNCGHRWRD >Potri.002G137700.3.v4.1 pep chromosome:Pop_tri_v4:2:10325917:10330961:-1 gene:Potri.002G137700.v4.1 transcript:Potri.002G137700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137700.v4.1 MERIAESKALPAKLPVASQVSSRAYVVSGREVSQAPKLQTGLVRDVAGRFNAHQSQITDFPVPVRPWKGKDSAAIEDDLMLDVVVTSKGSGDSFDEGEPSSFSGASHPPEPIDTDLIMKTVYVPISQKKAEPGCLVKSMSVKGPFLEDLSIRVSPKKPSPVILSPAESLIEEPNDLLVLPSPFTVPRRSQNTENSLLPPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCASTYRSDVITSDGMLTIDRNCESTKGSVSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWNAILAIRARDGILGMSHFRLFKRLGCGDIGSVYLSELSGTRCFFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRAAGGAFCVQPACIEPSSVCIQPACFLPRIFAQKNKKKTRKSRHDLGLPACALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATAYASQDLIRGLLVKEPQHRLGVKRGATEIKQHPFFESVNWALIRCSTPPEVPRPVETEPSPGKFGAVDKIGVGIVGSSSKRMAGTDMKSGGKYLDFEFF >Potri.002G137700.4.v4.1 pep chromosome:Pop_tri_v4:2:10325967:10331131:-1 gene:Potri.002G137700.v4.1 transcript:Potri.002G137700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137700.v4.1 MERIAESKALPAKLPVASQVSSRAYVVSGREVSQAPKLQTGLVRDVAGRFNAHQSQITDFPVPVRPWKGKDSAAIEDDLMLDVVVTSKGSGDSFDEGEPSSFSGASHPPEPIDTDLIMKTVYVPISQKKAEPGCLVKSMSVKGPFLEDLSIRVSPKKPSPVILSPAESLIEEPNDLLVLPSPFTVPRRSQNTENSLLPPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCASTYRSDVITSDGMLTIDRNCESTKGSVSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWNAILAIRARDGILGMSHFRLFKRLGCGDIGSVYLSELSGTRCFFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRAAGGAFCVQPACIEPSSVCIQPACFLPRIFAQKNKKKTRKSRHDLGLPACALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATAYASQDLIRGLLVKEPQHRLGVKRGATEIKQHPFFESVNWALIRCSTPPEVPRPVETEPSPGKFGAVDKIGVGIVGSSSKRMAGTDMKSGGKYLDFEFF >Potri.002G137700.5.v4.1 pep chromosome:Pop_tri_v4:2:10325980:10330891:-1 gene:Potri.002G137700.v4.1 transcript:Potri.002G137700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137700.v4.1 MERIAESKALPAKLPVASQVSSRAYVVSGREVSQAPKLQTGLVRDVAGRFNAHQSQITDFPVPVRPWKGKDSAAIEDDLMLDVVVTSKGSGDSFDEGEPSSFSGASHPPEPIDTDLIMKTVYVPISQKKAEPGCLVKSMSVKGPFLEDLSIRVSPKKPSPVILSPAESLIEEPNDLLVLPSPFTVPRRSQNTENSLLPPDSEEKECVWDASLPPSGNVSPHSSIDSTGVVTAMSIVNSCASTYRSDVITSDGMLTIDRNCESTKGSVSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWNAILAIRARDGILGMSHFRLFKRLGCGDIGSVYLSELSGTRCFFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEHAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRAAGGAFCVQPACIEPSSVCIQPACFLPRIFAQKNKKKTRKSRHDLGLPACALPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATAYASQDLIRGLLVKEPQHRLGVKRGATEIKQHPFFESVNWALIRCSTPPEVPRPVETEPSPGKFGAVDKIGVGIVGSSSKRMAGTDMKSGGKYLDFEFF >Potri.010G234300.1.v4.1 pep chromosome:Pop_tri_v4:10:21519455:21522830:-1 gene:Potri.010G234300.v4.1 transcript:Potri.010G234300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234300.v4.1 MLGVLCARPKPNWILNSLFTHFHLNHHHHHNSNNRLSLHLSGSSTAARRHHSNLCSADSGCGGAAAIWHVIQPADWRRRTERRSVRGEGSWNAAWDGRPARWLHRPDSAWLLFGVCACLAPAIEFLSDVNNIDDVDHQEKERIDGGDLNASSDDAKQDSSDATVGSDYKVTGVLADGRCLFRAIAHMACLRNGEEAPDENRQRELADELRAQVVDELLKRREETEWFIEGDFDAYVKRIQQPYVWGGEPELLMASHVLKTMISVFMRDRTTGNLVNIVNYGEEYQKDEVNPINVLFHGYGHYDILETTPGQSYQKADI >Potri.003G164900.1.v4.1 pep chromosome:Pop_tri_v4:3:17415676:17419897:-1 gene:Potri.003G164900.v4.1 transcript:Potri.003G164900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164900.v4.1 MIRLLRSKLLQHPNHCKSNTALCIITQRSFLAQRTSHSSPEFNTYIYGSLLQSCIRNGDCATGKYLHCEIIKKGNCLDLFANNILLNFYVKYDSLPDAAKLFDEMPDRNTVSFVTLIQGYSQCLRFSEAIGLFSRLQGEGHELNPFVFSTVLKLLVSAEWAKLGFSVHACVYKLGFDSDAFVGTALIDCYSVCGYAECARQVFDAIEYKDMVSWTGMVACYVENECFEESLKLFSRMRIVGFKPNNFTFASVLKACVGLEVFNVGKAVHGCAFKTSYLEELFVGVELIDLYIKSGDVDDALQVFEEMPKDDVIPWSFMIARYAQSEQSEEAIEMFCRMRRGLVLPNQFTLASLLQACASLVDLQLGNQIHCHVVKVGLDMNVFVSNALMDMYAKCGRMENSLQLFSESPNCTDVSWNTVIVGYVQAGNGEKALILFKDMLECQVQGTEVTYSSVLRACAGIAALEPGSQIHSLSVKTIYDKNTVVGNALIDMYAKCGNIKDARLVFDMLREHDQVSWNAMISGYSVHGLYGEALKTFESMLETECKPDKVTFVGILSACSNAGLLDRGQAYFKSMVEEYDIEPCAEHYTCMVWLLGRSGHLDKAAKLVHEIPFEPSVMVWRALLSACVIHNDVELGRISAQRVLEIEPEDEATHVLLSNIYANARRWGNVASIRTSMKRKGIRKEPGLSWIENQGRVHYFSVGDTSHPDTKLINGMLEWLNMKARNEGYVPDFSSVLLDVEDVDKEQRLWVHSERLALAYGLIRTPSISPLRIIKNLRICADCHAAIKLISKIVQRDIIIRDMNRFHHFHEGICSCGDYW >Potri.018G101500.1.v4.1 pep chromosome:Pop_tri_v4:18:12139444:12141617:1 gene:Potri.018G101500.v4.1 transcript:Potri.018G101500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101500.v4.1 MLIDSPPPPPSPIGFEGFEKRLEITFSEPSFFNDPNGLGLRALTRSQIDSILEPACCTIVSQLSNTEFDSYVLSESSLFIYPLKIILKTCGTTKLLLSVHPILKLAESLSLDVCHVTYSRGSFIFPDYQPAPHRSFAEEVTALNGYFGNLNSNAYVIGHPTSANRNWHIYVASKDSKSLPLSKDHTSAVTLEMCMTGLDRMKAAVFYKKSADYSAAEMTKMSGINEIMPSHMICDFDFDPCGYSMNGIDGTALSTVHVTPEDGFSYASYEATGFDCGEVRLRGLVQRVLKCFGPRDFSVAVTCHGGGGIGVQWWAIECADVEGYLCDSVVRQELPGGGCLVYMTYHEVKESKGCAPARMMNMPCWKDVAEEEEVVLGLCGGGAGGGMMAWPYISSL >Potri.004G057800.3.v4.1 pep chromosome:Pop_tri_v4:4:4826119:4830940:1 gene:Potri.004G057800.v4.1 transcript:Potri.004G057800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057800.v4.1 MAERKLNLNAPLLSVRRISNIATTSDGAKTKKLENSRLNRRHALPPYKPDTSLDQVTEPVAVPFHWEQIPGRAKDNSMEPPKVAEDASVTPKVPPRRSLDIVRHHKGKREPKVPKEASVKPLISSRRVLDVVKHQKEKPEPKVPKQASVTQRNPPRKVLDLAKHQKEKKSNDQSLSRPKAEANSFNKNVRKLDYSREGPNEKSGLNSDDDDVYSDALDALSPTDSISMNCSASGVSGFDVPVVKPSGTFSKDQQTRDFMMNRFLPAAKAMALEPAHYASRKQPVVVEQLRPITKVVHGNRTPPPSKSQSIIISNYGQDIEEKESEDEYDGYEGSGNISTKACGWFPRLCFKNSLGLLNPIPGLKLRTQASMSSTNDVEKLSRASPNRSVSQIVKKHLKDAANKLKQDSGGQSPRLPEVENKLSCASNRFIYASDRQTISRTSPFRRSAGTSPFRRSGCVSPYRNEAPQSPFRGRGFLGIPKEAEDLRASRLNLYKGISKSQELSSYYGAKRGSRPASPVVEKTLYVDTVHKAGILFPDSRSSNIKKYVDSEKRDFKTPLKSREMKKAAGEESSFQDAEFLNFLKAESELENKVSLSADADSASLSDKPDLMEDYAKALVCISATTEENVNIDGDQISKEDGTGNVKNSLVQSPLAPILPKSPSESWLWRTLPSFSSQNSLSHLHRGTSFQSKWQDTNTPSTNTKWETIVKSSYLHHDHVRYSEELIPHASKHSKS >Potri.004G057800.2.v4.1 pep chromosome:Pop_tri_v4:4:4826175:4830815:1 gene:Potri.004G057800.v4.1 transcript:Potri.004G057800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057800.v4.1 MAERKLNLNAPLLSVRRISNIATTSDGAKTKKLENSRLNRRHALPPYKPDTSLDQVTEPVAVPFHWEQIPGRAKDNSMEPPKVAEDASVTPKVPPRRSLDIVRHHKGKREPKVPKEASVKPLISSRRVLDVVKHQKEKPEPKVPKQASVTQRNPPRKVLDLAKHQKEKKSNDQSLSRPKAEANSFNKNVRKLDYSREGPNEKSGLNSDDDDVYSDALDALSPTDSISMNCSASGVSGFDVPVVKPSGTFSKDQQTRDFMMNRFLPAAKAMALEPAHYASRKQPVVVEQLRPITKVVHGNRTPPPSKSQSIIISNYGQDIEEKESEDEYDGYEGSGNISTKACGWFPRLCFKNSLGLLNPIPGLKLRTQASMSSTNDVEKLSRASPNRSVSQIVKKHLKDAANKLKQDSGGQSPRLPEVENKLSCASNRFIYASDRQTISRTSPFRRSAGTSPFRRSGCVSPYRNEAPQSPFRGRGFLGIPKEAEDLRASRLNLYKGISKSQELSSYYGAKRGSRPASPVVEKTLYVDTVHKAGILFPDSRSSNIKKYVDSEKRDFKTPLKSREMKKAAGEESSFQDAEFLNFLKAESELENKVSLSADADSASLSDKPDLMEDYAKALVCISATTEENVNIDGDQISKEDGTGNVKNSLVQSPLAPILPKSPSESWLWRTLPSFSSQNSLSHLHRGTSFQSKWQDTNTPSTNTKWETIVKSSYLHHDHVRYSEELIPHASKHSKS >Potri.004G057800.4.v4.1 pep chromosome:Pop_tri_v4:4:4826956:4830220:1 gene:Potri.004G057800.v4.1 transcript:Potri.004G057800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057800.v4.1 MAERKLNLNAPLLSVRRISNIATTSDGAKTKKLENSRLNRRHALPPYKPDTSLDQVTEPVAVPFHWEQIPGRAKDNSMEPPKVAEDASVTPKVPPRRSLDIVRHHKGKREPKVPKEASVKPLISSRRVLDVVKHQKEKPEPKVPKQASVTQRNPPRKVLDLAKHQKEKKSNDQSLSRPKAEANSFNKNVRKLDYSREGPNEKSGLNSDDDDVYSDALDALSPTDSISMNCSASGVSGFDVPVVKPSGTFSKDQQTRDFMMNRFLPAAKAMALEPAHYASRKQPVVVEQLRPITKVVHGNRTPPPSKSQSIIISNYGQDIEEKESEDEYDGYEGSGNISTKACGWFPRLCFKNSLGLLNPIPGLKLRTQASMSSTNDVEKLSRASPNRSVSQIVKKHLKDAANKLKQDSGGQSPRLPEVENKLSCASNRFIYASDRQTISRTSPFRRSAGTSPFRRSGCVSPYRNEAPQSPFRGRGFLGIPKEAEDLRASRLNLYKGISKSQELSSYYGAKRGSRPASPVVEKTLYVDTVHKAGILFPDSRSSNIKKYVDSEKRDFKTPLKSREMKKAAGEESSFQDAEFLNFLKAESELENKVSLSADADSASLSDKPDLMEDYAKALVCISATTEENVNIDGDQISKEDGTGNVKNSLVQSPLAPILPKSPSESWLWRTLPSFSSQNSLSHLHRGTSFQSKWQDTNTPSTNTKWETIVKSSYLHHDHVRYSEELIPHASKHSKS >Potri.015G127200.1.v4.1 pep chromosome:Pop_tri_v4:15:13885536:13890456:1 gene:Potri.015G127200.v4.1 transcript:Potri.015G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127200.v4.1 MALFQSSSTSLFLLIHIALLSTLSLAADPTVSFDFKLSYITVSPLGVPQKVIAVNGQFPGPLVNATTNNNVVINVRNDLDENLLMTWPGIQMRRNSWQDGVLGTNCPIPPNWDWTYSFQLKDQIGSFFYYPSLNLQRASGGFGPFVINNRAIIQIPFAQPDGDFVLLIGDWYTRNHSALRADLDSGKDLGMPDGVLINGKGPYRYNTTLVPDGLPYETIKVDPGKTYRFRVHNVGTSTSLNFRIQGHNLLLVETEGHYTVQQNFSSFDIHVGQSCSFLVTMDQNATSDYYIVASARFVNESLWQKVTGVGILQYSNSKGSATGPLPEAPSDIYNQWSAMNQPKAIRQNTTASGARPNPQGSFHYGSINVTDTYILRSLPPSTIDGKLRATLNGISFVNPDTPIRLADLNQVKGSYKLDFPSEPLNRPLRRDTSVINATYKGFIEVILQNNDTKMQSFHMNGYSFFVVGMDWGIWSENSRGSYNKWDSISRSTIEVYPGGWTAVLASLDNVGIWNLRVENLDRWYLGQETYMKITNPEENGETEMAPPDNVLFCGALASKQQDRSHKDSAGSILQGNPNLFFTVLMAVCAAVLVFS >Potri.007G128000.11.v4.1 pep chromosome:Pop_tri_v4:7:14306002:14315652:-1 gene:Potri.007G128000.v4.1 transcript:Potri.007G128000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128000.v4.1 MGVMSRRVVPACGSLCFFCPSLRARSRQPVKRYKKLLADILPRNQEAEPNDRKIGKLCEYASKNPLRIPKITDTLEQRFYKELRHENFGSVKVVVCIYRKLLSSCKEQMPLFASSLLSIVRTLLEQTGKDDLRLLACDVLVDFISCQMDGTYMFNLEGLIPKLCQLAQEAGNNERTLRLRSAGLQVLGSMVCFMGEQAHISMDFDSIISVTLENYIDFQMNPDTMEDQWVQGVLKTEDNGSSFPDISKKVSLSDLTTKPELDLAMDTSKSPSYWSRVCLCNMARLAKEATTIRRVLEPLFQNFDANNHWSLEKGVAYPVLTFLQSLLVESGENSHLLLSILVKHLDHKSVAKQPLLLVDIVNVTARLGQSAKQQATVAIIGAISDLMKHLRKCLQNSSESSSPKDGSDEMNADLQVALENCIAQLSNKVGDVGPILDTIAVFLENISATTVVARTTISAVHQTARIISSIPNISYHKKAFPDALFHQLLVAMAHPDHETRVGAHSVFSILLMPSLLSPWSDQNKKTSEAVSGFFGPSASQKRSKSFSFQDESNDNVDSMDGKSWEEGNPISDNSGKHDSHDRSNSFKHAVLDGKTLTSLRLSSHQVSLLLSSIWVQATSAENMPANFEAMGHTYNIALLFTRSKTSSHVALVRCFQLAFSLRSISLDQEAGLQPSRRRSLFTLASFMLIFAARAGNLPELIPFVKVSLTEKTADPYLELVEDIKLQAIYVESDEGKIAYGSEDDGVAALKSLSCVEVDDSHLKETLISRFMTKFVKLSEDELSGIKQQLLQDFSPDDVYPLGGPLFMDTPRPCSPLARMEFQAFEEIMPAAALTDDETFTELNGSQSGRKTSISVHTLDILSVNELLESVLETARQVASSQVSSTPVPYDQMKSQCEALVTGKQQKMSILHSFKHQPEAKVFPSTDEKKDTSVHDVKVELLQCDLTLATRDQIRAPDQLALCSLEYGQNSFRLPPSSPYDKFLKAAGC >Potri.007G128000.9.v4.1 pep chromosome:Pop_tri_v4:7:14305975:14315652:-1 gene:Potri.007G128000.v4.1 transcript:Potri.007G128000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128000.v4.1 MGVMSRRVVPACGSLCFFCPSLRARSRQPVKRYKKLLADILPRNQEAEPNDRKIGKLCEYASKNPLRIPKITDTLEQRFYKELRHENFGSVKVVVCIYRKLLSSCKEQMPLFASSLLSIVRTLLEQTGKDDLRLLACDVLVDFISCQMDGTYMFNLEGLIPKLCQLAQEAGNNERTLRLRSAGLQVLGSMVCFMGEQAHISMDFDSIISVTLENYIDFQMNPDTMEDQWVQGVLKTEDNGSSFPDISKKVSLSDLTTKPELDLAMDTSKSPSYWSRVCLCNMARLAKEATTIRRVLEPLFQNFDANNHWSLEKGVAYPVLTFLQSLLVESGENSHLLLSILVKHLDHKSVAKQPLLLVDIVNVTARLGQSAKQQATVAIIGAISDLMKHLRKCLQNSSESSSPKDGSDEMNADLQVALENCIAQLSNKVGDVGPILDTIAVFLENISATTVVARTTISAVHQTARIISSIPNISYHKKAFPDALFHQLLVAMAHPDHETRVGAHSVFSILLMPSLLSPWSDQNKKTSEAVSGFFGPSASQKRSKSFSFQDESNDNVDSMDGKSWEEGNPISDNSGKHDSHDRSNSFKHAVLDGKTLTSLRLSSHQVSLLLSSIWVQATSAENMPANFEAMGHTYNIALLFTRSKTSSHVALVRCFQLAFSLRSISLDQEAGLQPSRRRSLFTLASFMLIFAARAGNLPELIPFVKVSLTEKTADPYLELVEDIKLQAIYVESDEGKIAYGSEDDGVAALKSLSCVEVDDSHLKETLISRFMTKFVKLSEDELSGIKQQLLQDFSPDDVYPLGGPLFMDTPRPCSPLARMEFQAFEEIMPAAALTDDETFTELNGSQSGRKTSISVHTLDILSVNELLESVLETARQVASSQVSSTPVPYDQMKSQCEALVTGKQQKMSILHSFKHQPEAKVFPSTDEKKDTSVHDVKVELLQCDLTLATRDQIRAPDQLALCSLEYGQNSFRLPPSSPYDKFLKAAGC >Potri.007G128000.16.v4.1 pep chromosome:Pop_tri_v4:7:14305971:14315460:-1 gene:Potri.007G128000.v4.1 transcript:Potri.007G128000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128000.v4.1 MGVMSRRVVPACGSLCFFCPSLRARSRQPVKRYKKLLADILPRNQEAEPNDRKIGKLCEYASKNPLRIPKITDTLEQRFYKELRHENFGSVKVVVCIYRKLLSSCKEQMPLFASSLLSIVRTLLEQTGKDDLRLLACDVLVDFISCQMDGTYMFNLEGLIPKLCQLAQEAGNNERTLRLRSAGLQVLGSMVCFMGEQAHISMDFDSIISVTLENYIDFQMNPDTMEDQWVQGVLKTEDNGSSFPDISKKVSLSDLTTKPELDLAMDTSKSPSYWSRVCLCNMARLAKEATTIRRVLEPLFQNFDANNHWSLEKGVAYPVLTFLQSLLVESGENSHLLLSILVKHLDHKSVAKQPLLLVDIVNVTARLGQSAKQQATVAIIGAISDLMKHLRKCLQNSSESSSPKDGSDEMNADLQVALENCIAQLSNKVGDVGPILDTIAVFLENISATTVVARTTISAVHQTARIISSIPNISYHKKAFPDALFHQLLVAMAHPDHETRVGAHSVFSILLMPSLLSPWSDQNKKTSEAVSGFFGPSASQKRSKSFSFQDESNDNVDSMDGKSWEEGNPISDNSGKHDSHDRSNSFKHAVLDGKTLTSLRLSSHQVSLLLSSIWVQATSAENMPANFEAMGHTYNIALLFTRSKTSSHVALVRCFQLAFSLRSISLDQEGLQPSRRRSLFTLASFMLIFAARAGNLPELIPFVKVSLTEKTADPYLELVEDIKLQAIYVESDEGKIAYGSEDDGVAALKSLSCVEVDDSHLKETLISRFMTKFVKLSEDELSGIKQQLLQDFSPDDVYPLGGPLFMDTPRPCSPLARMEFQAFEEIMPAAALTDDETFTELNGSQSGRKTSISVHTLDILSVNELLESVLETARQVASSQVSSTPVPYDQMKSQCEALVTGKQQKMSILHSFKHQPEAKVFPSTDEKKDTSVHDVKVELLQCDLTLATRDQIRAPDQLALCSLEYGQNSFRLPPSSPYDKFLKAAGC >Potri.007G128000.10.v4.1 pep chromosome:Pop_tri_v4:7:14306002:14315673:-1 gene:Potri.007G128000.v4.1 transcript:Potri.007G128000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128000.v4.1 MGVMSRRVVPACGSLCFFCPSLRARSRQPVKRYKKLLADILPRNQEAEPNDRKIGKLCEYASKNPLRIPKITDTLEQRFYKELRHENFGSVKVVVCIYRKLLSSCKEQMPLFASSLLSIVRTLLEQTGKDDLRLLACDVLVDFISCQMDGTYMFNLEGLIPKLCQLAQEAGNNERTLRLRSAGLQVLGSMVCFMGEQAHISMDFDSIISVTLENYIDFQMNPDTMEDQWVQGVLKTEDNGSSFPDISKKVSLSDLTTKPELDLAMDTSKSPSYWSRVCLCNMARLAKEATTIRRVLEPLFQNFDANNHWSLEKGVAYPVLTFLQSLLVESGENSHLLLSILVKHLDHKSVAKQPLLLVDIVNVTARLGQSAKQQATVAIIGAISDLMKHLRKCLQNSSESSSPKDGSDEMNADLQVALENCIAQLSNKVGDVGPILDTIAVFLENISATTVVARTTISAVHQTARIISSIPNISYHKKAFPDALFHQLLVAMAHPDHETRVGAHSVFSILLMPSLLSPWSDQNKKTSEAVSGFFGPSASQKRSKSFSFQDESNDNVDSMDGKSWEEGNPISDNSGKHDSHDRSNSFKHAVLDGKTLTSLRLSSHQVSLLLSSIWVQATSAENMPANFEAMGHTYNIALLFTRSKTSSHVALVRCFQLAFSLRSISLDQEAGLQPSRRRSLFTLASFMLIFAARAGNLPELIPFVKVSLTEKTADPYLELVEDIKLQAIYVESDEGKIAYGSEDDGVAALKSLSCVEVDDSHLKETLISRFMTKFVKLSEDELSGIKQQLLQDFSPDDVYPLGGPLFMDTPRPCSPLARMEFQAFEEIMPAAALTDDETFTELNGSQSGRKTSISVHTLDILSVNELLESVLETARQVASSQVSSTPVPYDQMKSQCEALVTGKQQKMSILHSFKHQPEAKVFPSTDEKKDTSVHDVKVELLQCDLTLATRDQIRAPDQLALCSLEYGQNSFRLPPSSPYDKFLKAAGC >Potri.007G128000.14.v4.1 pep chromosome:Pop_tri_v4:7:14305953:14315708:-1 gene:Potri.007G128000.v4.1 transcript:Potri.007G128000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128000.v4.1 MGVMSRRVVPACGSLCFFCPSLRARSRQPVKRYKKLLADILPRNQEAEPNDRKIGKLCEYASKNPLRIPKITDTLEQRFYKELRHENFGSVKVVVCIYRKLLSSCKEQMPLFASSLLSIVRTLLEQTGKDDLRLLACDVLVDFISCQMDGTYMFNLEGLIPKLCQLAQEAGNNERTLRLRSAGLQVLGSMVCFMGEQAHISMDFDSIISVTLENYIDFQMNPDTMEDQWVQGVLKTEDNGSSFPDISKKVSLSDLTTKPELDLAMDTSKSPSYWSRVCLCNMARLAKEATTIRRVLEPLFQNFDANNHWSLEKGVAYPVLTFLQSLLVESGENSHLLLSILVKHLDHKSVAKQPLLLVDIVNVTARLGQSAKQQATVAIIGAISDLMKHLRKCLQNSSESSSPKDGSDEMNADLQVALENCIAQLSNKVGDVGPILDTIAVFLENISATTVVARTTISAVHQTARIISSIPNISYHKKAFPDALFHQLLVAMAHPDHETRVGAHSVFSILLMPSLLSPWSDQNKKTSEAVSGFFGPSASQKRSKSFSFQDESNDNVDSMDGKSWEEGNPISDNSGKHDSHDRSNSFKHAVLDGKTLTSLRLSSHQVSLLLSSIWVQATSAENMPANFEAMGHTYNIALLFTRSKTSSHVALVRCFQLAFSLRSISLDQEGLQPSRRRSLFTLASFMLIFAARAGNLPELIPFVKVSLTEKTADPYLELVEDIKLQAIYVESDEGKIAYGSEDDGVAALKSLSCVEVDDSHLKETLISRFMTKFVKLSEDELSGIKQQLLQDFSPDDVYPLGGPLFMDTPRPCSPLARMEFQAFEEIMPAAALTDDETFTELNGSQSGRKTSISVHTLDILSVNELLESVLETARQVASSQVSSTPVPYDQMKSQCEALVTGKQQKMSILHSFKHQPEAKVFPSTDEKKDTSVHDVKVELLQCDLTLATRDQIRAPDQLALCSLEYGQNSFRLPPSSPYDKFLKAAGC >Potri.007G128000.13.v4.1 pep chromosome:Pop_tri_v4:7:14305973:14315709:-1 gene:Potri.007G128000.v4.1 transcript:Potri.007G128000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128000.v4.1 MGVMSRRVVPACGSLCFFCPSLRARSRQPVKRYKKLLADILPRNQEAEPNDRKIGKLCEYASKNPLRIPKITDTLEQRFYKELRHENFGSVKVVVCIYRKLLSSCKEQMPLFASSLLSIVRTLLEQTGKDDLRLLACDVLVDFISCQMDGTYMFNLEGLIPKLCQLAQEAGNNERTLRLRSAGLQVLGSMVCFMGEQAHISMDFDSIISVTLENYIDFQMNPDTMEDQWVQGVLKTEDNGSSFPDISKKVSLSDLTTKPELDLAMDTSKSPSYWSRVCLCNMARLAKEATTIRRVLEPLFQNFDANNHWSLEKGVAYPVLTFLQSLLVESGENSHLLLSILVKHLDHKSVAKQPLLLVDIVNVTARLGQSAKQQATVAIIGAISDLMKHLRKCLQNSSESSSPKDGSDEMNADLQVALENCIAQLSNKVGDVGPILDTIAVFLENISATTVVARTTISAVHQTARIISSIPNISYHKKAFPDALFHQLLVAMAHPDHETRVGAHSVFSILLMPSLLSPWSDQNKKTSEAVSGFFGPSASQKRSKSFSFQDESNDNVDSMDGKSWEEGNPISDNSGKHDSHDRSNSFKHAVLDGKTLTSLRLSSHQVSLLLSSIWVQATSAENMPANFEAMGHTYNIALLFTRSKTSSHVALVRCFQLAFSLRSISLDQEGLQPSRRRSLFTLASFMLIFAARAGNLPELIPFVKVSLTEKTADPYLELVEDIKLQAIYVESDEGKIAYGSEDDGVAALKSLSCVEVDDSHLKETLISRFMTKFVKLSEDELSGIKQQLLQDFSPDDVYPLGGPLFMDTPRPCSPLARMEFQAFEEIMPAAALTDDETFTELNGSQSGRKTSISVHTLDILSVNELLESVLETARQVASSQVSSTPVPYDQMKSQCEALVTGKQQKMSILHSFKHQPEAKVFPSTDEKKDTSVHDVKVELLQCDLTLATRDQIRAPDQLALCSLEYGQNSFRLPPSSPYDKFLKAAGC >Potri.007G128000.15.v4.1 pep chromosome:Pop_tri_v4:7:14305972:14315658:-1 gene:Potri.007G128000.v4.1 transcript:Potri.007G128000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128000.v4.1 MGVMSRRVVPACGSLCFFCPSLRARSRQPVKRYKKLLADILPRNQEAEPNDRKIGKLCEYASKNPLRIPKITDTLEQRFYKELRHENFGSVKVVVCIYRKLLSSCKEQMPLFASSLLSIVRTLLEQTGKDDLRLLACDVLVDFISCQMDGTYMFNLEGLIPKLCQLAQEAGNNERTLRLRSAGLQVLGSMVCFMGEQAHISMDFDSIISVTLENYIDFQMNPDTMEDQWVQGVLKTEDNGSSFPDISKKVSLSDLTTKPELDLAMDTSKSPSYWSRVCLCNMARLAKEATTIRRVLEPLFQNFDANNHWSLEKGVAYPVLTFLQSLLVESGENSHLLLSILVKHLDHKSVAKQPLLLVDIVNVTARLGQSAKQQATVAIIGAISDLMKHLRKCLQNSSESSSPKDGSDEMNADLQVALENCIAQLSNKVGDVGPILDTIAVFLENISATTVVARTTISAVHQTARIISSIPNISYHKKAFPDALFHQLLVAMAHPDHETRVGAHSVFSILLMPSLLSPWSDQNKKTSEAVSGFFGPSASQKRSKSFSFQDESNDNVDSMDGKSWEEGNPISDNSGKHDSHDRSNSFKHAVLDGKTLTSLRLSSHQVSLLLSSIWVQATSAENMPANFEAMGHTYNIALLFTRSKTSSHVALVRCFQLAFSLRSISLDQEGLQPSRRRSLFTLASFMLIFAARAGNLPELIPFVKVSLTEKTADPYLELVEDIKLQAIYVESDEGKIAYGSEDDGVAALKSLSCVEVDDSHLKETLISRFMTKFVKLSEDELSGIKQQLLQDFSPDDVYPLGGPLFMDTPRPCSPLARMEFQAFEEIMPAAALTDDETFTELNGSQSGRKTSISVHTLDILSVNELLESVLETARQVASSQVSSTPVPYDQMKSQCEALVTGKQQKMSILHSFKHQPEAKVFPSTDEKKDTSVHDVKVELLQCDLTLATRDQIRAPDQLALCSLEYGQNSFRLPPSSPYDKFLKAAGC >Potri.007G128000.12.v4.1 pep chromosome:Pop_tri_v4:7:14305950:14315709:-1 gene:Potri.007G128000.v4.1 transcript:Potri.007G128000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128000.v4.1 MGVMSRRVVPACGSLCFFCPSLRARSRQPVKRYKKLLADILPRNQEAEPNDRKIGKLCEYASKNPLRIPKITDTLEQRFYKELRHENFGSVKVVVCIYRKLLSSCKEQMPLFASSLLSIVRTLLEQTGKDDLRLLACDVLVDFISCQMDGTYMFNLEGLIPKLCQLAQEAGNNERTLRLRSAGLQVLGSMVCFMGEQAHISMDFDSIISVTLENYIDFQMNPDTMEDQWVQGVLKTEDNGSSFPDISKKVSLSDLTTKPELDLAMDTSKSPSYWSRVCLCNMARLAKEATTIRRVLEPLFQNFDANNHWSLEKGVAYPVLTFLQSLLVESGENSHLLLSILVKHLDHKSVAKQPLLLVDIVNVTARLGQSAKQQATVAIIGAISDLMKHLRKCLQNSSESSSPKDGSDEMNADLQVALENCIAQLSNKVGDVGPILDTIAVFLENISATTVVARTTISAVHQTARIISSIPNISYHKKAFPDALFHQLLVAMAHPDHETRVGAHSVFSILLMPSLLSPWSDQNKKTSEAVSGFFGPSASQKRSKSFSFQDESNDNVDSMDGKSWEEGNPISDNSGKHDSHDRSNSFKHAVLDGKTLTSLRLSSHQVSLLLSSIWVQATSAENMPANFEAMGHTYNIALLFTRSKTSSHVALVRCFQLAFSLRSISLDQEGLQPSRRRSLFTLASFMLIFAARAGNLPELIPFVKVSLTEKTADPYLELVEDIKLQAIYVESDEGKIAYGSEDDGVAALKSLSCVEVDDSHLKETLISRFMTKFVKLSEDELSGIKQQLLQDFSPDDVYPLGGPLFMDTPRPCSPLARMEFQAFEEIMPAAALTDDETFTELNGSQSGRKTSISVHTLDILSVNELLESVLETARQVASSQVSSTPVPYDQMKSQCEALVTGKQQKMSILHSFKHQPEAKVFPSTDEKKDTSVHDVKVELLQCDLTLATRDQIRAPDQLALCSLEYGQNSFRLPPSSPYDKFLKAAGC >Potri.009G084000.1.v4.1 pep chromosome:Pop_tri_v4:9:7895595:7898075:-1 gene:Potri.009G084000.v4.1 transcript:Potri.009G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084000.v4.1 MDPCSFVRILVGSLALKFPVSSKPSLLSLQCFCKIKLKNFPTQKATIPLVNNQNQQGNHNPESNSLSNSLAACYSLDKTQIDNFLSRKKPKSLEIEVYSRDNGATCGLKDGKLLGKVTVPLDLRKTESRPCVMHNGWIDIGENKKGESTQFYLCVRVEPDSRYVFQFGGDPECSPQVFQVQGSVRQAVFTCKFSLRNPGDRNLVSMPSMTEPTPSRNWLPSLGADKDPPAKERKGWSITIHDLSGSPVAMASMVTPFVPSPGSDHVSRSNPGAWLILLPSHGTWKPWGRLEAWRERNANALGYRFELLHDSVSASPTTTTLVNSVINAKNGGKFTIDMTNSVSTPASSPHSSCDFGSGPGSGSWSGSEFGLGLLSPFVYKGFVMQSSVNNGNGRCNKTEVEIGVQHVNCTEDAAAFVALAAAVDLSVDACKSFTHKLRKELRQGQSFVV >Potri.002G053400.1.v4.1 pep chromosome:Pop_tri_v4:2:3612878:3614217:1 gene:Potri.002G053400.v4.1 transcript:Potri.002G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053400.v4.1 MSSSSYCPGLQSCLEPVYLVEPRVLRLKLAPSKSNISRSSTICKPFVTNSDRDLENNKNADTGGWSFLQSIANNTSQTTTEKNDKVYVPPNFKSSSSMLSEKSLEMCTEGLGSETGSEGSESRDGMAFLSLENVDHETRAAPKLRETRTTSRSVSFPPPLSSISGSNNVRVRPHREGGRLVLEAVTVSSCHVHLHAERTDGRLRLHLMKDSSPNNFDNEVQEAEAEYGEEVVVQDDDGDDQSGDDEAGGGGDICGEELEGINGSVGGEMGMGKLARPGRCKQSGSSNEGLLNWEPFWVAT >Potri.007G032650.4.v4.1 pep chromosome:Pop_tri_v4:7:2517342:2518271:-1 gene:Potri.007G032650.v4.1 transcript:Potri.007G032650.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032650.v4.1 MQRNGRVPNELSYKTGREEEWLTWSAGAPLFLLILLLGLSVFFFFVILPCVTVLSLEKPPSLFLFVVFFVPPVQCARPPCVFHVLCPPFPHGFSLVICFSLFFLVFSLPLCYLFVFLCFCFSWVPLLFCSSLCIVLCLCVISSLRFLVSLPLVSFSLHRFFVFSTSLVSGLSLAFIRPENAMRSCLGNGTHRGGEG >Potri.001G108450.1.v4.1 pep chromosome:Pop_tri_v4:1:8737887:8739735:-1 gene:Potri.001G108450.v4.1 transcript:Potri.001G108450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108450.v4.1 MAFFPLFLFLLLLCFPVGCCFVAPLEEKELLRRKWLLLLWGSVEAGLKRSCWIVGENLVVLGGSWRCLTVVRKVAVYFQTVEGESRERAASKGSGAAACAWGAGDTKPGQPALLPTPEGLGQRHAHGVNGVYTILGFFFLFFIFMGIQKWVTTDAPSL >Potri.002G194100.3.v4.1 pep chromosome:Pop_tri_v4:2:15714492:15719869:1 gene:Potri.002G194100.v4.1 transcript:Potri.002G194100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194100.v4.1 MAVDGSKKSKVSTAPPRKINVQKFAETRASELESLHTIVSNRLNNNFRSQRNKRRRTTAYENQAAKKRHRKRRNLGLLGKANDDLSSASENKEPRKVPRRVRRRIELKKNPESGFATSGDGTRRLRTHVWHAKRFTMTKLWGFHLPLGLHGRGRGSRALLKWYRDGAVVHDASYHTAVQLKGPEESLISILNMVLVPSPSAQSRDIAKSILTGVIYGTAMLHHAGAPVSQPIAPVNYMWRPSCLRNRENGNNEHSSGGFNETQISDACSSHRQLWVWIHASAFSEGYDALKFACQKQMNESGILINCLSLEGQLAKLEVMGSQASKLLQKTLHPFSCNSDNSWQLRNCSVLEVDGDSELKKSIFQSKNISPCSVLSLAVKDPRSLPVNIADIPKPTPTSVLNNVPEDEAKEHDALTVNFGNGEKHPHSSLSEPERSSSVPDNRSLWDASSRVTPPVEENVLCWERHHLRLDFICLDGCKSRTPNTSTKVQGSTSCPILLLTNSNGMDSLMRWSVILPLSWVRVFWIPFISKGAHAIGLREKRWIACEVGLPQFPSDFPDCNAHLSFMVNERAALDHKVERLPPSVRPLKVPMPFPWNSVRLALDKGSAIVQDPQISGRKDNIDDNSLLSSEDGDCAKTVATGHCNSFDGFVGRTSSILIDFLSEIHASHLLLFPHIPNKKTRLSEFIKDESILSKGQCSAHQITSNRRLCFIRVLLHAYKEGFFEEGAVVCAPGPNDLSMWISSSENNEGGLQISQSSVGSYFKELPSSKWELWIPQGPVVRESHRWPIGFVTAGFVRGSKKLVAEAFCEAVLLAQLREEQWIGMPVKQRKKEIYVLVRNLRSSAYRLALATVVLEQEEEDVVFL >Potri.003G096600.1.v4.1 pep chromosome:Pop_tri_v4:3:12254820:12259133:1 gene:Potri.003G096600.v4.1 transcript:Potri.003G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096600.v4.1 MATITTTATLSFHSQNPIKTLPSFSLSNIPFSISKTNFTSVANFSLSIPASKSNNASSPLNRYSRFSCNCISTATPNTNYEFSDSSSEVELRLSLVGLDIQSSRDIFVDADGTSLVVQVQHSGSHITLIETNKMFEKIKPAETIWFIDDDQLVINMKKQDPDLKWPDIVESWESLTAGSMQLLKGASIFLVGDSTEINQKVARELAVGLGYTLLDTQELLETFAKQTIDSWVLAEGSDSVAEAECAILESLSSHVRAVVSTLGGKQGAAGKAYKWQHLYAGFTVWLSQTEALDEDSAKEEARKNVKDRSVAYSNADVVVKLQGWDSDHAKSVAQASLSALKQLILSDKKLPGKKSLYIRLGCRGDWPNIKPPGWDPSNEAESIS >Potri.011G008484.1.v4.1 pep chromosome:Pop_tri_v4:11:701741:702311:-1 gene:Potri.011G008484.v4.1 transcript:Potri.011G008484.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008484.v4.1 MERKRTTNSIVLPVFYDVDPSQVRNQTGSFAAAFVEHEKRFKEEMERVNGWRIALKEVADLGGMVLGDGYEVLY >Potri.014G077200.3.v4.1 pep chromosome:Pop_tri_v4:14:4983666:4987326:-1 gene:Potri.014G077200.v4.1 transcript:Potri.014G077200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077200.v4.1 MYRHLKINAQASLSEYLCVCLSNYLSLSLGLDYWLYIGTSIKAHILYLQPKHLFLSLSVCVCLIISFSLRSFVPNMTSERVLSVEVTENNAGSFPIGVRILVVESDPTCLRIVSKMLQAFGYEVTTATRATDALHILREKEDEINLILIETRLPDMNQYEILETLGELSSLPIVVFSADNNESAMLGCLYKGAALYLMKPIIKNDVKNLWQLTYRTKIKTAVSGKGSNSFHGGSSEEKASSVTAGNPSSTMGMTDQKGKRKELEETNNDDEDNNNLTVPKKRKLVWTNELHNRFLQAIRILGIDGAHPKKILQHMNVPGLKKENVSSHLQKYRLYLKREQDAMLKTMIRDCHPSSTFNLQGGFSQYTNSQFFMTASQSEYGNNFQNNLCSPMSVHSLGSVHSPTHVKSNYNGILIPNYGQVASQSKQLYRSYPNSNHTEIRTTTDGNFSSFGQKGNHSVEERLNQGTTLSNIGTHGPTFLGNSQQQVQFPLPQPQPLPEKEDESDILDIATVEELELLVMQKEFLEDPNNDLWL >Potri.014G077200.2.v4.1 pep chromosome:Pop_tri_v4:14:4983670:4992699:-1 gene:Potri.014G077200.v4.1 transcript:Potri.014G077200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077200.v4.1 MLQAFGYEVTTATRATDALHILREKEDEINLILIETRLPDMNQYEILETLGELSSLPIVVFSADNNESAMLGCLYKGAALYLMKPIIKNDVKNLWQLTYRTKIKTAVSGKGSNSFHGGSSEEKASSVTAGNPSSTMGMTDQKGKRKELEETNNDDEDNNNLTVPKKRKLVWTNELHNRFLQAIRILGIDGAHPKKILQHMNVPGLKKENVSSHLQKYRLYLKREQDAMLKTMIRDCHPSSTFNLQGGFSQYTNSQFFMTASQSEYGNNFQNNLCSPMSVHSLGSVHSPTHVKSNYNGILIPNYGQVASQSKQLYRSYPNSNHTEIRTTTDGNFSSFGQKGNHSVEERLNQGTTLSNIGTHGPTFLGNSQQQVQFPLPQPQPLPEKEDESDILDIATVEELELLVMQKEFLEDPNNDLWL >Potri.014G077200.4.v4.1 pep chromosome:Pop_tri_v4:14:4983666:4987326:-1 gene:Potri.014G077200.v4.1 transcript:Potri.014G077200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077200.v4.1 MTSERVLSVEVTENNAGSFPIGVRILVVESDPTCLRIVSKMLQAFGYEVTTATRATDALHILREKEDEINLILIETRLPDMNQYEILETLGELSSLPIVVFSADNNESAMLGCLYKGAALYLMKPIIKNDVKNLWQLTYRTKIKTAVSGKGSNSFHGGSSEEKASSVTAGNPSSTMGMTDQKGKRKELEETNNDDEDNNNLTVPKKRKLVWTNELHNRFLQAIRILGIDGAHPKKILQHMNVPGLKKENVSSHLQKYRLYLKREQDAMLKTMIRDCHPSSTFNLQGGFSQYTNSQFFMTASQSEYGNNFQNNLCSPMSVHSLGSVHSPTHVKSNYNGILIPNYGQVASQSKQLYRSYPNSNHTEIRTTTDGNFSSFGQKGNHSVEERLNQGTTLSNIGTHGPTFLGNSQQQVQFPLPQPQPLPEKEDESDILDIATVEELELLVMQKEFLEDPNNDLWL >Potri.016G029800.1.v4.1 pep chromosome:Pop_tri_v4:16:1667926:1668911:-1 gene:Potri.016G029800.v4.1 transcript:Potri.016G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029800.v4.1 MNFMRVICWALEVMALCINVLLDEDMVAHGCDFGIAKLLGESESIAQTKTLATIGYMAPEYGLDGLVSTKIDVYSFGIMLMEIFTRKKPADEMFEGEMSLKRLVKESLPDSVIDIVDSNMQNRRDGYSVNKEHCVTSIMEVGFAMYL >Potri.007G061741.1.v4.1 pep chromosome:Pop_tri_v4:7:6908587:6912081:-1 gene:Potri.007G061741.v4.1 transcript:Potri.007G061741.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061741.v4.1 MIRRKDEKADQLVKIYLSYFSVCRSIRLAKRLDRSILESIVSPSQVTDQWRDRIIDIVHYSRYLLVRYCPKIESMPLCQGVTFCPTWKAIPSRSKDKKGGIFTKLRDEIQYCLMIAHSVPKTLAGITPGSVPYEELVRNIGYPLWSHLVRYPYDQTNDLLSEILNRESIEFVHSFNKFSNPRDYELSRGSPGRLAYSTDAGAGKRRSHPIGNYLSQRLLKPLHNFHNVGSSPITRVSLVKGRVSIQSKSQLSRCSTAYNTETNLVSLIPTGAMLGTCTPDNKSWILSLPSVKRIYLLVDWLVVLL >Potri.005G196300.2.v4.1 pep chromosome:Pop_tri_v4:5:20301401:20305058:-1 gene:Potri.005G196300.v4.1 transcript:Potri.005G196300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196300.v4.1 MLLAVEGGGFFSSSASGYSKGLTLLLLGQKHEDKPMRVTPWNQYQLVDQEPDFDLQLASLKNRLSRGCASFVCFGRASAGLESPSPLKVGPAQQKDVLPDPLVADKGKDLTTELEGDNNAIKVTLRSSLKKTSKSIPVPVEDANQSEPLNDKGSDIPGHTERRKVQWTDVCGSELAEIREFEPSETGGSDDEFENGNERSCSCVIM >Potri.005G196300.6.v4.1 pep chromosome:Pop_tri_v4:5:20301403:20304825:-1 gene:Potri.005G196300.v4.1 transcript:Potri.005G196300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196300.v4.1 MLLAVEGGGFFSSSASGYSKGLTLLLLGQKHEDKPMRVTPWNQYQLVDQEPDFDLQLASLKNRLSRGCASFVCFGRASAGLESPSPLKVGPAQQKDVLPDPLVADKGKDLTTELEGDNNAIKVTLRSSLKKTSKSIPVPVEDANQSEPLNDKGSDIPGHTERRKVQWTDVCGSELAEIREFEPSETGGSDDEFENGNERSCSCVIM >Potri.005G196300.5.v4.1 pep chromosome:Pop_tri_v4:5:20303592:20304164:-1 gene:Potri.005G196300.v4.1 transcript:Potri.005G196300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196300.v4.1 MLLAVEGGGFFSSSASGYSKGLTLLLLGQKHEDKPMRVTPWNQYQLVDQEPDFDLQLASLKNRLSRGCASFVCFGRASAGLESPSPLKVGPAQQKDVLPDPLVADKGKDLTTELEGDNNAIKVTLRSSLKKTSKSIPVPVEDANQSEPLNDKGSDIPGHTERRKVQWTDVCGSELAEIREFEPRYGGTLS >Potri.011G131300.1.v4.1 pep chromosome:Pop_tri_v4:11:16518635:16520642:-1 gene:Potri.011G131300.v4.1 transcript:Potri.011G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131300.v4.1 MPTVWFTLKRSLHCKSEPSEVHDPKSTKHLSTILTRKAGRSGCSRSIANLKDVIHGSKRHMEKPPSCSPRSIGSSEFLNPITHEVILSNSRCELKITGFGGFQEGVGTGVSHGNNHNGVSGGSNGGVGSTFVGTLRPGTPGPGGHPTMHYFNPSFRNAATPPRKSPFLSSDREGSGFGGSGIFGSGAHSSSRVSLETDFNGSSTITCHKCGEQFTKWEAAEDHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHNMQKTLARFEEYRETVKIKASKLPKKHSRCIADGNELLRFYGTTVACSLGINGSSSLCISEKCRVCRIIRNGFSAKKELKGGVGVFTTSTSGRAFESIEIFEDDPSTRKALIVCRVIAGRVHRPLENIQEISGQTGFDSLAGKVGLYSNIEELYLLNPRALLPCFVVICKS >Potri.002G172900.2.v4.1 pep chromosome:Pop_tri_v4:2:13320272:13322359:1 gene:Potri.002G172900.v4.1 transcript:Potri.002G172900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172900.v4.1 MASEAEDEPIFTPPRLLLMPKPHAHLQSPERSGTLTPPLQTSASVPFRWEEEPGKPWESTALVPRAINFPQKSLELPPRLLIDTNMTKLPSPTTVLEGPYMGKSSRFQSSSFRIIRSRECYGSFRSCSPEKGQLGTVVPSKRRVKEKGRFLGSWSWVRRGLKGKRESGGGSYVFPSSVDREPADHCVNEEEEMISSSDNVKMTRSGSLSAVSNARSQFWANVYEGLKQVVPWRSKELKKDHGLLI >Potri.007G013600.3.v4.1 pep chromosome:Pop_tri_v4:7:1029234:1032980:-1 gene:Potri.007G013600.v4.1 transcript:Potri.007G013600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013600.v4.1 MRVLEAVYPRPSSVPPSPAVSLDVEEENYDDSLTPIIPLIPVEEEEAAEMPPALTEPLKNPTTSLSPALPPVLLSSGILNSSKCNTPALNPPASQKPELGRLPDPGTHPITAASAAVTAIMKSKEQGGLIDTDLLVKIFRDPKMVDKLISGNQTPPVTSRPVSSSRSPLYAKPAMVSAPSPANGNLQHLPSEELPWSKPTGQIPIPCPKPAVHFPSMPYDGSLHHQLSQVQTTVTRTSMHQDAVPATGLGVPVPIQEPGKVSAPRPPVGHLFSASNSMQTTPSLVPTESKTPQISYFKDIHPNPMFDLVKSTLVKKPKQPISVPQAGKKTNLVKDVNYIKNLIKEHGTAKKEMKDRNLFHNGSHYYNHTQNPESIQNSKNRELKPKFQKPCMYFRTPKGCRNGSDCHFQHDMSFQFQTGSGDSKLQVAKRMKFSGEITAGRT >Potri.007G013600.2.v4.1 pep chromosome:Pop_tri_v4:7:1029535:1032985:-1 gene:Potri.007G013600.v4.1 transcript:Potri.007G013600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013600.v4.1 MRVLEAVYPRPSSVPPSPAVSLDVEEENYDDSLTPIIPLIPVEEEEAAEMPPALTEPLKNPTTSLSPALPPVLLSSGILNSSKCNTPALNPPASQKPELGRLPDPGTHPITAASAAVTAIMKSKEQGGLIDTDLLVKIFRDPKMVDKLISGNQTPPVTSRPVSSSRSPLYAKPAMVSAPSPANGNLQHLPSEELPWSKPTGQIPIPCPKPAVHFPSMPYDGSLHHQLSQVQTTVTRTSMHQDAVPATGLGVPVPIQEPGKVSAPRPPVGHLFSASNSMQTTPSLVPTESKTPQISYFKDIHPNPMFDLVKSTLVKKPKQPISVPQAGKKTNLVKDVNYIKNLIKEHGTAKKEMKDRNLFHNGSHYYNHTQNPESIQNSKNRELKPKFQKPCMYFRTPKGCRNGSDCHFQHDMSFQFQTGSGDSKLQVAKRMKFSGEITAGRT >Potri.007G013600.1.v4.1 pep chromosome:Pop_tri_v4:7:1029447:1032987:-1 gene:Potri.007G013600.v4.1 transcript:Potri.007G013600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013600.v4.1 MKRSRKSNRVSWAPGLYLCQVRLFLSEDCPSEVGGQVRDLLQKNASRLLPISSSKDSNDLPPGFEGTHFLNPCIKELSCIPRVQWKCPPNFVVNYNWHVTDGEESQESEAQKLREMRVLEAVYPRPSSVPPSPAVSLDVEEENYDDSLTPIIPLIPVEEEEAAEMPPALTEPLKNPTTSLSPALPPVLLSSGILNSSKCNTPALNPPASQKPELGRLPDPGTHPITAASAAVTAIMKSKEQGGLIDTDLLVKIFRDPKMVDKLISGNQTPPVTSRPVSSSRSPLYAKPAMVSAPSPANGNLQHLPSEELPWSKPTGQIPIPCPKPAVHFPSMPYDGSLHHQLSQVQTTVTRTSMHQDAVPATGLGVPVPIQEPGKVSAPRPPVGHLFSASNSMQTTPSLVPTESKTPQISYFKDIHPNPMFDLVKSTLVKKPKQPISVPQAGKKTNLVKDVNYIKNLIKEHGTAKKEMKDRNLFHNGSHYYNHTQNPESIQNSKNRELKPKFQKPCMYFRTPKGCRNGSDCHFQHDMSFQFQTGSGDSKLQVAKRMKFSGEITAGRT >Potri.017G073000.1.v4.1 pep chromosome:Pop_tri_v4:17:8007761:8010025:1 gene:Potri.017G073000.v4.1 transcript:Potri.017G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G073000.v4.1 MVIVTTSGSSSSSSCLHVQILPWGKPIPQFLQHFNQIYPNCKRPSRQKVQNSNGVLSVTAYMENPNSISSFANKVLGSLPVVGLVARILSDEGGVGGDIIDFAEFRRRVGKKCTITDSRAFYEFQDRRGRAGDPLYVLLCCWLAAVGAGFLKSEEILEGVARLRLSNDIEFEEETFMALINDTKEKRAKTKTAATAIPMEVRVEKALEAIYICCYGKDIIEEEDKRLLNVMLSSVFQSVEQREIQRIVAEKARKVADGSDEVNIQEPKPLPKEAVKLQMKDLQFLKQNSEI >Potri.009G093600.2.v4.1 pep chromosome:Pop_tri_v4:9:8506893:8508038:-1 gene:Potri.009G093600.v4.1 transcript:Potri.009G093600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093600.v4.1 MKFTAVLGLQTGTGALVARLMDGKKLKVATGSFIFEGPFLNRSDSKKHPTSESLQAMNSIKHISYSPRFIAA >Potri.007G017900.3.v4.1 pep chromosome:Pop_tri_v4:7:1390037:1391390:1 gene:Potri.007G017900.v4.1 transcript:Potri.007G017900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G017900.v4.1 MGENVGKGNEMKMIFDDEDFGESKQQLQKIDPRKARFPHCIVWTPLPVISWLIPFIGHVGICREDGVILDFAGPNFVCVDNFTFGAVARYIQINKDKDCSMSLLPTVFNNGDQYEDEPGTDALAWDDAIRKGTQEFQHHSYSLFTCNCHSFVANNLNRLGFHSGGWNVVNLATLIFLKGRWVSTGAMVRSFLPFVVVCGFGLIFGGMTFLTFLAFFTFLLVGWFLLGTYCFKDLIQL >Potri.007G017900.4.v4.1 pep chromosome:Pop_tri_v4:7:1390119:1391396:1 gene:Potri.007G017900.v4.1 transcript:Potri.007G017900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G017900.v4.1 MGENVGKGNEMKMIFDDEDFGESKQQLQKIDPRKARFPHCIVWTPLPVISWLIPFIGHVGICREDGVILDFAGPNFVCVDNFTFGAVARYIQINKDKDCSMSLLPTVFNNGDQYEDEPGTDALAWDDAIRKGTQEFQHHSYSLFTCNCHSFVANNLNRLGFHSGGWNVVNLATLIFLKGRWVSTGAMVRSFLPFVVVCGFGLIFGGMTFLTFLAFFTFLLVGWFLLGTYCFKDLIQL >Potri.002G156750.1.v4.1 pep chromosome:Pop_tri_v4:2:11939842:11940902:1 gene:Potri.002G156750.v4.1 transcript:Potri.002G156750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156750.v4.1 MQVNNAAIRGTTIDSDASAASKITGTENIPNEWAKGVFSDVDTFTEERIDELLSVFLKDFKEDSLETKGWPALLSAYVLSKAALNAHTRILAKKYPNFCINCICPGFVKTDMSNNTGTLSVDEAAEYPVKLALLPDGGPSGLFFILDKLSCF >Potri.009G097900.2.v4.1 pep chromosome:Pop_tri_v4:9:8775804:8778818:-1 gene:Potri.009G097900.v4.1 transcript:Potri.009G097900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097900.v4.1 MSVSTIQGRLLEVTVVECTKLKDTEWISRQDPYVCVEYGSHNCRTRTCTDGGKNPTFQEKFMFTLIEGLREISVGVWNSNTLSLDDFIGKGKIQLHKVLSQGFDDTTWPLQTKTGRYAGEVKLIMHYANANKAATGYAPSAPPCVYPDPGVSQRYLPPPAHGTPHAQPPTTYPAPSPYPSYPPSSAFPQSAYPPPQPTPYPPAPYPACSAYPPPQPTPYPPAPYPACSAYPPPPYPPLPPYPPPPQASPYYPRGPFFGTYPPPPY >Potri.009G097900.4.v4.1 pep chromosome:Pop_tri_v4:9:8775119:8778669:-1 gene:Potri.009G097900.v4.1 transcript:Potri.009G097900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097900.v4.1 MSVSTIQGRLLEVTVVECTKLKDTEWISRQDPYVCVEYGSHNCRTRTCTDGGKNPTFQEKFMFTLIEGLREISVGVWNSNTLSLDDFIGKGKIQLHKVLSQGFDDTTWPLQTKTGRYAGEVKLIMHYANANKAATGYAPSAPPCVYPDPGVSQRYLPPPAHGTPHAQPPTTYPAPSPYPSYPPSSAFPQSAYPPPQPTPYPPAPYPACSAYPPPQPTPYPPAPYPACSAYPPPPYPPLPPYPPPPQASPYYPRGN >Potri.001G412100.1.v4.1 pep chromosome:Pop_tri_v4:1:43977954:43982155:-1 gene:Potri.001G412100.v4.1 transcript:Potri.001G412100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412100.v4.1 MDYFSVLLFCSSLLLIIIPSTAVDSINTTQSFRDGDSIVSASGSFKLGFFSFGSSINRYLCISYNQISTTTIVWVANRGTPLNDSSGVLRITSQGILILVDQSGSTIWSSNSSRSARNPIAQLLDSGNLVVKEEGDGNLENPLWQSFDYPGDTFLPEMKLGRNKVTSLDRYISSWKSADDPSRGNYTFRLDPAAYSELIMIEDSNEKFRSGPWNGMRFSGTPQLKPNPIYTYRFFYDGDEEYYTYKLVNSSFLSRMVINQNGAIQRFTWIDRTQSWELYLSVQTDNCDRYALCGAYATCSINNSPVCSCLVGFSPNVSKDWDTMDWTSGCVRKTPLNCSEDGFRKFSGVKLPETRKSWFNRTMSLDECRSTCLKNCSCTAYTNLDISINGGSGCLLWLGDLVDMRQINENGQDIYIRMAASELEKAGSAEAKSKEKKRTWSIIISVLSIAVVFSLALILLVRRKKMLKNRKKKDILEPSQNNQGEEEDLKLPLFDLSTMSRATNDFSLANILGEGGFGTVYQGKLNDGQEIAVKRLSKTSKQGLDEFKNEVLHIVKLQHRNLVKLLGCCIEGDETMLIYEMMPNKSLDFFIFDKTRDKVLDWPQRFHIINGIARGLLYLHQDSRLRIIHRDLKASNILLDHEMNPKISDFGLARSVGGNETEANTNKVVGTYGYIAPEYAIDGLYSVKSDVFSFGVMVLEIVSGKRNKGFCHPDHKQNLLGHAWRLFIEGRSSELIVESIVESCNFYEVLRSIHIGLLCVQRSPRDRPSMSTVVMMLGSESELPQPKEPGFFTTRDVGKATSSSTQSKVSVNEITMTQLEAR >Potri.001G150400.1.v4.1 pep chromosome:Pop_tri_v4:1:12565601:12570706:1 gene:Potri.001G150400.v4.1 transcript:Potri.001G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150400.v4.1 MVQFHEHIITDLLEDPAGGLVILSSGLSLPKLVSSLLSLHSPSQGTLLIFSPPPTLKSLILHHHNTNPNPIEITADLPSHHRLSLYSSGQICFITPRILIVDLLSNKIPTSSLAGLIILNAHSISETSTEAFIVRILKSSTQNRNQNNSNVFYIRAFSDRPQAMVSGFCKTERLMKCLFIRRLHLWPRFQVYVSQELEKDPPEVVDVRVPMSKYMVGIQKAILEVMDACLKEVRKSNKVDVEDLTVENGLFKSFDEIVRRQLDPIWHTLGKKTKQLVSDLKTLRKLLDYLVRYDAVSYLKYLDTLRVSQSFQSVWIFAEPSYKIFDYAKKRVFRLTRSSDVKLNEQSKNKVGKKRKLKGDDSNEGEADGTSSSTTSSGVVLEEVLEEAPKWKVLREILEEIGEERQRVESGEEDQVESEGVDNGIVLVACKDECSCMQLEDCIMHSPRKVLQEEWKKYLLSKVELGGLPAPEKKKAKLKPKEPKGFGILDGVVPVTTVQSAEASSTNKQEHDVLLVAASKIRNQYKRGLVVEDELQPLADSSKKGAKEKGKEHKKRGQATGQDSGSKDNDSNIDTVIKDLPEISGSKNKSQTVENDQAAIDGYYEANLQRASVNKGALQRHAEELGLTGSRNAKPIPPVHFHALESDQPILDILKPSVVIVYHPDMMFVREIEVYKAENPSKKLKVYFIFYEDSTEVQKFEASIRRENGAFESLIRQKSLMMIPVDQNGHCLGLNVSIEPLGSSYQNSTRRAGGRKEIEKEMQVIVDMREFMSSLPNVLHQKGMSIIPVTLEVGDYILSPSICVERKSIQDLYMSFTSGRLYNQVETMVRYYRLPVLLIEFSQDKSFSFQSASDIGDDVTPNSIISKLSLLALHFPRLRIIWSRSLHATAEIFASLKANQDEPDEMKAVRVGVPSEDGIVENDVRAENYNTSAVEFLRRLPGVTDSNYRAIMDGCKSLAELTLLPVEKLAELMGGQKAARTLRDFLYAKYPTLL >Potri.014G024100.1.v4.1 pep chromosome:Pop_tri_v4:14:1443130:1446651:-1 gene:Potri.014G024100.v4.1 transcript:Potri.014G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024100.v4.1 MGLFRSSTLMLMLLFLVFALSSAFDMSIISYHQTHATKSSWRTDDEVMAMYEEWLVKHGKNYNALGEKEKRFEIFKDNLMFIDQHNSENRTYTVGLNRFADLTNEEFRSMYLGTRTGHKKRLPKTSDRYAPRVGDSLPDSVDWRKEGAVAEVKDQGGCGSCWAFSTIAAVEGINKIVTGDLIALSEQELVDCDTSYNEGCNGGLMDYAFEFIINNGGIDTEDDYPYLGRDGRCDTYRKNAKVVSIDSYEDVPENDETALKKAVANQPVSVAIEGGGRNFQLYNSGVFTGECGTSLDHGVAAVGYGTEKGKDYWIVRNSWGKSWGESGYIRMERNIASPTGKCGIAIEPSYPIKKGQNPPNPGPSPPSPVKPPSVCDNYFSCPDSSTCCCIFEYGKYCFAWGCCPLEGATCCDDHYSCCPHEYPVCNVNEGTCLISKGNPFGVKALRRTPAKPHWAHGTEGKNSVA >Potri.005G207300.1.v4.1 pep chromosome:Pop_tri_v4:5:21200550:21204827:1 gene:Potri.005G207300.v4.1 transcript:Potri.005G207300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207300.v4.1 MVSELKSNRPQLRRGLCYSNEGRGQATRSPSVIVIGGGIAGVAAARALHDASIQVVLLESRDRLGGRVHTDFSFGFPVDLGASWLHGVCKENPLAPLIGRLGLPLYRTSGDNSVLYDHDLESYALYDMDGNQVPQELVTKVGEAFENILKETDKVRLENNEDMSILRAFSIVFERRPDLRLEGLAHKVLQWYLCRMEGWFAADSETISLKGWDQEELLPGGHGLMVRGYLPVINTLAKGLDIRLGHRVTKIVRHYNGVKVTVEDGRTFMADAAVVAIPLGVLKSKTIMFEPKLPDWKEEAIKDLGVGIENKIVLNFEQVFWPKVEFLGVVAETSYGCSYFLNLHKATGHPVLVYMPAGKLARDIEKMSDEAAANFAFMQLKKILPDAFAPIQYLVSRWGSDINSLGSYSYDTVGKPHELYERLRIPVDNLFFAGEATSVSYPGSVHGAFSTGLMAAEDCRMRVLERYGELDLFQPVMGTEEAPVSVPLLISRI >Potri.011G132500.1.v4.1 pep chromosome:Pop_tri_v4:11:16598753:16599838:1 gene:Potri.011G132500.v4.1 transcript:Potri.011G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132500.v4.1 MASNLLKFHIQSFKTTNRSTQFLQHETLSPHIAFPENHHHHHLVHCRVSFPSPPLYQSRSPALSIHSLSSSSSPPTSKEEAIVQAKTCLSTTLEKPLNNPKLAGKLKKLKQPRFQVEIPVIDDSPTSLSQLASDVFKDLPIKRRGTPVKILILWPSPTLKNRGIEAFQSYNSSKNVEHVDVSSVRNTDNRILSSAEVAVFLGPETSQLPDIKTVTDILYPKPVVIFNPKWGFGEENEFGDLSGFVGSFEVVYSFMGLEVRGILSKRKGVIFKCVRDGVVSGEKWTVLVEEEGGELKVVSRFKARPSIGEVENVLYNLMAINSPITKSARFFKDLVSNVTGRK >Potri.007G059900.2.v4.1 pep chromosome:Pop_tri_v4:7:6398047:6398804:-1 gene:Potri.007G059900.v4.1 transcript:Potri.007G059900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G059900.v4.1 MQCEPKHVDNHLQTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKRHIHHDKYLNKKLDMYEAMIIVVGKDMATRNYTKSYADVNLKENIEDQSISIENEGEYEETSKGKETSSSSTQKRQHRKRNRIYEDDDVEKLSKQIGDVAFAIQSLSKNQLNVNALYTEVMKIEGFDEITLEDAFDHLVQNEMLAKAFMTKNANLRKIWVQNFVNQH >Potri.001G367400.7.v4.1 pep chromosome:Pop_tri_v4:1:38354249:38363078:1 gene:Potri.001G367400.v4.1 transcript:Potri.001G367400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367400.v4.1 MGKEENETKVPEVAANGTSAPEKEKSNEVMTEKREENNGVKEMEEEQNDSENAETDKMDEGPKVTEEKESKEKEDKEEGRTEAMEEETEPIVDEEMKEKEKETKEDVEEKVDGSNEEEEVEEEKTEEEVEEMVERSKEEEKKLEEGKRSKQRGKGKSDGRKAEKKKKVVEEKKEPEPRTPAFDRPQRERKSVERLVATIDKDAVKEFQIEKGQGIPLKDIPNVAFKLSRRKIDDTLKLLHTILFGRRGKALQIKSNISRFSGFVWHQNEEKQKSKVKEKFDKCNKEKLLEFCDFLDIPITKATTKKEDIVMKLIDFLVAPCATTTELFAEKEKEKTSKKRKRVAKSSSFGSTPSKRSAKSRGKADDDSKRRDKKSTADTEDESEEEKDEEDEEEEEEEEQEQENVEEENENGALDKSDAEISEHSESEEKKESEEESEEDTGKRKKRSAASSRKKASSGKAKTRISVSGKSSPPVKRTPKKSSSKHPHSDEDSDASPKVSSSKKKTEKVSKEKPLTPKKSASKEKMGKKGGKEREKARVKEDKLTPSDDELRDAICKILKEVDFNTVRSVIIAAFGGNRLINC >Potri.001G367400.2.v4.1 pep chromosome:Pop_tri_v4:1:38354247:38363109:1 gene:Potri.001G367400.v4.1 transcript:Potri.001G367400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367400.v4.1 MGKEENETKVPEVAANGTSAPEKEKSNEVMTEKREENNGVKEMEEEQNDSENAETDKMDEGPKVTEEKESKEKEDKEEGRTEAMEEETEPIVDEEMKEKEKETKEDVEEKVDGSNEEEEVEEEKTEEEVEEMVERSKEEEKKLEEGKRSKQRGKGKSDGRKAEKKKKVVEEKKEPEPRTPAFDRPQRERKSVERLVATIDKDAVKEFQIEKGQGIPLKDIPNVAFKLSRRKIDDTLKLLHTILFGRRGKALQIKSNISRFSGFVWHQNEEKQKSKVKEKFDKCNKEKLLEFCDFLDIPITKATTKKEDIVMKLIDFLVAPCATTTELFAEKEKEKTSKKRKRVAKSSSFGSTPSKRSAKSRGKADDDSKRRDKKSTADTEDESEEEKDEEDEEEEEEEEQEQENVEEENENGALDKSDAEISEHSESEEKKESEEESEEDTGKRKKRSAASSRKKASSGKAKTRISVSGKSSPPVKRTPKKSSSKHPHSDEDSDASPKVSSSKKKTEKVSKEKPLTPKKSASKEKMGKKGGKEREKARVKEDKLTPSDDELRDAICKILKEVDFNTATFTDILKLLARRFATDLTPRKSSIKLMIQDELTKLADDADEEDGEGDAEKDETQSTGQEVEA >Potri.010G046100.2.v4.1 pep chromosome:Pop_tri_v4:10:7786970:7790298:1 gene:Potri.010G046100.v4.1 transcript:Potri.010G046100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046100.v4.1 MEGDVWVTPNFTSSHAIPRLGGAASNGHGGFVPLPFRGAASNGHGGLIALSLYATRRLPPSSFKQTRPRGLSHPIITIFSAPTAPGSNQSLAIRSWLALSPQITVVLFTQHPSFASAFGSRVLVDSTTDFTLLGTPFFHSMLEKSRLYTTGITVFVDPRTVLLLVASLRNVAYFPFHLDDAGEHWLREDGQRVRRQELQGMLGHHWQWNHCEDRMLMAWNNRNLPLHNGVLPPFLYGKGFHIHWIINEAVFSEFRLVFDASRTISCFSLNYPEHWSEQSGRGSSALEIENRSWEDSGNSHLGAIYASMFFHEINYTGLVKLLNCEGKYIFADITEDIVYPSVCQTGSQKNMDSAENVKSQNRILNCFLRDQLKSLGSLDFPFSLESLLSITADKNKTIVLAVAGYSYKDMLMSWVCRLRLLQVTNFIICALDHETYQFSVLQGLPVFHDPSAPRNISFDDCHFGTACFQRVTKVKSRMVWKILKLGGPVNLPRRLNSAAMEKVVKHAATSNLSEQPSFYDTLCGEGGSYRISDNSCVEPETNLTVHFLDRNLFPNGAYLNLLQKKNVKKTCMMRGCLALHNNWISGRMKKLDRQIVSGLWEYDTSRMCLQR >Potri.014G120000.3.v4.1 pep chromosome:Pop_tri_v4:14:8064678:8067150:1 gene:Potri.014G120000.v4.1 transcript:Potri.014G120000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120000.v4.1 MANASFSFDPFDFNGIQSGYSHNEDYEKEELVIKGKQDRMFSMEDLGQVYPICSEYGAYQDNTENKELNFFRDQHQQQPQQQQSPPNTNYLALDDLLGYCFPAPAQPTQEIRKIENIVEHSKEMQYTPALSSSLQLLHNYGSGIKKLNANQPGSASNETCFGSRKKLSTEEIIRVAGSMFIQFSDQRYDDFSMLMHPFGYALSGLSEEEKRDVELTHLLLAAAEKVGYRQFDRASRLLSRGERVASERSNPLQRVVYHFAEALRWRIDKATGRFPPTEMKGKPKCVTPHGLSTHLVHLSVHQKVPINQVMQLTAIQAINENVGSARKIHLIDLEIRSGVQWTALMQALADRQRRLDHLKITAVGLRGIQKIEETGKRLETFAKSMNLPFTFKPILVSSMSEIKEELFETAADETVVVVSNMILRTMLSRPACLENLMEVIKNLNPSLMIVGEVEANHNSPKFVNRFIEALFFYGAYFDCIETCLEQNTEHRTITEAIFSNGIENMVAMEGTDRIARNVKIDVWRAFFSRFRMVEVGFSESSPYTKLA >Potri.010G129867.1.v4.1 pep chromosome:Pop_tri_v4:10:14641688:14642046:1 gene:Potri.010G129867.v4.1 transcript:Potri.010G129867.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129867.v4.1 MSWIQLELHIIYRQLHLIGDEADWGTFTLLAACAEHKFKKILTKGINTYKQRSVLFEVSTNIGKRKVDPHT >Potri.001G193000.3.v4.1 pep chromosome:Pop_tri_v4:1:17821228:17826750:-1 gene:Potri.001G193000.v4.1 transcript:Potri.001G193000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193000.v4.1 MAQILLHGNLHVTIYEVDKIGEGGGHGFFHKLVGKVGEKVGIGNGISRLYATIDLEKARVGRTRILENEATNPRWYESFHIYCAHMASNVIFTVKDVNPIGATLIGRAYIPVEEILDGEEIDRWVEILDGDKNPIRAGSKIHVKLQYFDITNDHNWGRGIRGSKYPGVPYTFYSQRQGCRVSLYQDAHIPDKFIPKIPLASGEYYEPHRCWEDVFDAITNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLMLIWDDRTSVGLLKRDGLMATHDEETEHYFQNTDVHCILCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSAMPNGDSQRRRIVSYIGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDLLVQLRELEDVIIPPSPVTYPDDHETWNVQLFRSIDGGAAFGFPETPEDAAKAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFCWSADGIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGLEEDPRNYLTFFCLGNREVKKSGEYEPSEKPEPDSDYIRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRLGLWYEHLGMLDDTFLKPENEDCIRKVNQIADKYWDLYSSETLEGDLPGHLLRYPIGISSEGNVTELPGTEYFPDTKARVLGAKSDYMPPILTT >Potri.001G193000.4.v4.1 pep chromosome:Pop_tri_v4:1:17821229:17826619:-1 gene:Potri.001G193000.v4.1 transcript:Potri.001G193000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193000.v4.1 MAQILLHGNLHVTIYEVDKIGEGGGHGFFHKLVGKVGEKVGIGNGISRLYATIDLEKARVGRTRILENEATNPRWYESFHIYCAHMASNVIFTVKDVNPIGATLIGRAYIPVEEILDGEEIDRWVEILDGDKNPIRAGSKIHVKLQYFDITNDHNWGRGIRGSKYPGVPYTFYSQRQGCRVSLYQDAHIPDKFIPKIPLASGEYYEPHRCWEDVFDAITNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLMLIWDDRTSVGLLKRDGLMATHDEETEHYFQNTDVHCILCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSAMPNGDSQRRRIVSYIGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDLLVQLRELEDVIIPPSPVTYPDDHETWNVQLFRSIDGGAAFGFPETPEDAAKAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFCWSADGIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGLEEDPRNYLTFFCLGNREVKKSGEYEPSEKPEPDSDYIRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRLGLWYEHLGMLDDTFLKPENEDCIRKVNQIADKYWDLYSSETLEGDLPGHLLRYPIGISSEGNVTELPGTEYFPDTKARVLGAKSDYMPPILTT >Potri.001G193000.5.v4.1 pep chromosome:Pop_tri_v4:1:17821191:17826351:-1 gene:Potri.001G193000.v4.1 transcript:Potri.001G193000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193000.v4.1 MASNVIFTVKDVNPIGATLIGRAYIPVEEILDGEEIDRWVEILDGDKNPIRAGSKIHVKLQYFDITNDHNWGRGIRGSKYPGVPYTFYSQRQGCRVSLYQDAHIPDKFIPKIPLASGEYYEPHRCWEDVFDAITNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLMLIWDDRTSVGLLKRDGLMATHDEETEHYFQNTDVHCILCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSAMPNGDSQRRRIVSYIGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDLLVQLRELEDVIIPPSPVTYPDDHETWNVQLFRSIDGGAAFGFPETPEDAAKAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFCWSADGIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGLEEDPRNYLTFFCLGNREVKKSGEYEPSEKPEPDSDYIRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRLGLWYEHLGMLDDTFLKPENEDCIRKVNQIADKYWDLYSSETLEGDLPGHLLRYPIGISSEGNVTELPGTEYFPDTKARVLGAKSDYMPPILTT >Potri.001G193000.1.v4.1 pep chromosome:Pop_tri_v4:1:17821099:17826817:-1 gene:Potri.001G193000.v4.1 transcript:Potri.001G193000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193000.v4.1 MAQILLHGNLHVTIYEVDKIGEGGGHGFFHKLVGKVGEKVGIGNGISRLYATIDLEKARVGRTRILENEATNPRWYESFHIYCAHMASNVIFTVKDVNPIGATLIGRAYIPVEEILDGEEIDRWVEILDGDKNPIRAGSKIHVKLQYFDITNDHNWGRGIRGSKYPGVPYTFYSQRQGCRVSLYQDAHIPDKFIPKIPLASGEYYEPHRCWEDVFDAITNAKHLIYITGWSVYTEISLVRDSRRPKPGGDITLGELLKKKASEGVRVLMLIWDDRTSVGLLKRDGLMATHDEETEHYFQNTDVHCILCPRNPDDGGSIVQDLQISTMFTHHQKIVVVDSAMPNGDSQRRRIVSYIGGIDLCDGRYDTPFHSLFRTLDTAHHDDFHQPNFTGASIQKGGPREPWHDIHSRLEGPIAWDVLFNFEQRWKKQGGKDLLVQLRELEDVIIPPSPVTYPDDHETWNVQLFRSIDGGAAFGFPETPEDAAKAGLVSGKDNIIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFCWSADGIKPEDINALHLIPKELSLKIVSKIEAGERFTVYVVVPMWPEGIPESASVQAILDWQRRTMDMMYKDVIQALRAKGLEEDPRNYLTFFCLGNREVKKSGEYEPSEKPEPDSDYIRAQEARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGARDSEIAMGGYQPYHLATRQPARGQIHGFRLGLWYEHLGMLDDTFLKPENEDCIRKVNQIADKYWDLYSSETLEGDLPGHLLRYPIGISSEGNVTELPGTEYFPDTKARVLGAKSDYMPPILTT >Potri.011G095000.1.v4.1 pep chromosome:Pop_tri_v4:11:12251876:12252742:1 gene:Potri.011G095000.v4.1 transcript:Potri.011G095000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095000.v4.1 MAFSKEITKVTAIYMQLSIIMTITIIQHSKARELRPSDHGLDHQSLPPTGAKFPPDMKEFFGASNPATRNTMSPSTGVALPKVKNSSDTSWWRTVGGGGGGGKGGGDDHVRHLLLVASLVCGVTGLGLLVSSAVICYCFIRHKNKHSSSAATDNTNNRSIPV >Potri.003G110300.10.v4.1 pep chromosome:Pop_tri_v4:3:13274918:13279311:1 gene:Potri.003G110300.v4.1 transcript:Potri.003G110300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110300.v4.1 MGIDLEQPSGEYHKEDRRPNVNVNTVDGGDGGHERDQIIVNSPDIGGNGCEKTGTVINGRVLDGRKKPNAGDGINLNSVKDAEPHDGMEFESKDEAFSFYKEYAKSVGFSTITKASRRSRISGKFIDAKFVCTRYGTKRDTSTIELPQPVSNADAATSLPVKRKRGRINQSWSKTDCKACMHVKRRQQDGRWVVRSFIKEHNHEIFPDQAYYFRGHRNLNLGNDNVDALHAIRARTKKLYVAMSRQSSGHRKHENLKGGVTNPSGNTKHLALDEGDAQAMLDHFMHMQDENPNFFYAIDLNEEQQLRNVFWVDAKGRLDYGNFGDVIFFDTTYLKNEYKLPFAPFIGVNHHFQFLLLGCALVADETKTTYVWLMRAWLRAMGGHAPRVILTDQDNALKEAIQEVFPNSRHCFCLWHVFSKIPEKLSYVTRQHENFMLKFKKCIFKSWTSEQFEKRWWKMVEIFNLRNDVWFQSLYEDRQRWIPVFMIDNFLAGMSTTQRSESINTLFDRYMQRKTTLKEFLELQKAMLQEKFEEEAKADFETWHKQPGLKSPSPFGKQMASIYTHAIFKKFQVEVLGVVACHPRKETEDGETQTFKVQDFEDNQYFIVVWNEMTSYLSCSCRLFEFNGFLCRHVLIVMQMSGLHSIPSQYILKRWTKDAKSRQIMREESDVVESRVQRYNDLCRRAFKLGDEGSLSQESYNIAFNALEEALRKCESVNNSIQNIIEPTSPPSNGPLDYDEVNQAHGATKTNKKKDTSRKKQVHPDPEVIPIRMHDSWQQMEQLNSRVPTLDGYFGSQQTGQGMGQLNAIASSRDDCYSNPHSMQGLGQLNATAPNDDAHYMMQQRMQGMGQIQFRPQTIPSFFDVQDGLQEMDSSNLGSSQLHGLATKHLHQKHLSR >Potri.003G110300.6.v4.1 pep chromosome:Pop_tri_v4:3:13274081:13279098:1 gene:Potri.003G110300.v4.1 transcript:Potri.003G110300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110300.v4.1 MGIDLEQPSGEYHKEDRRPNVNVNTVDGGDGGHERDQIIVNSPDIGGNGCEKTGTVINGRVLDGRKKPNAGDGINLNSVKDAEPHDGMEFESKDEAFSFYKEYAKSVGFSTITKASRRSRISGKFIDAKFVCTRYGTKRDTSTIELPQPVSNADAATSLPVKRKRGRINQSWSKTDCKACMHVKRRQQDGRWVVRSFIKEHNHEIFPDQAYYFRGHRNLNLGNDNVDALHAIRARTKKLYVAMSRQSSGHRKHENLKGGVTNPSGNTKHLALDEGDAQAMLDHFMHMQDENPNFFYAIDLNEEQQLRNVFWVDAKGRLDYGNFGDVIFFDTTYLKNEYKLPFAPFIGVNHHFQFLLLGCALVADETKTTYVWLMRAWLRAMGGHAPRVILTDQDNALKEAIQEVFPNSRHCFCLWHVFSKIPEKLSYVTRQHENFMLKFKKCIFKSWTSEQFEKRWWKMVEIFNLRNDVWFQSLYEDRQRWIPVFMIDNFLAGMSTTQRSESINTLFDRYMQRKTTLKEFLELQKAMLQEKFEEEAKADFETWHKQPGLKSPSPFGKQMASIYTHAIFKKFQVEVLGVVACHPRKETEDGETQTFKVQDFEDNQYFIVVWNEMTSYLSCSCRLFEFNGFLCRHVLIVMQMSGLHSIPSQYILKRWTKDAKSRQIMREESDVVESRVQRYNDLCRRAFKLGDEGSLSQESYNIAFNALEEALRKCESVNNSIQNIIEPTSPPSNGPLDYDEVNQAHGATKTNKKKDTSRKKQVHPDPEVIPIRMHDSWQQMEQLNSRVPTLDGYFGSQQTGQGMGQLNAIASSRDDCYSNPHSMQGLGQLNATAPNDDAHYMMQQRMQGMGQIQFRPQTIPSFFDVQDGLQEMDSSNLGSSQLHGLATKHLHQKHLSR >Potri.008G127500.1.v4.1 pep chromosome:Pop_tri_v4:8:8297347:8298290:1 gene:Potri.008G127500.v4.1 transcript:Potri.008G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127500.v4.1 MAATKILLSLFFLFSFLSFSSSIPTETLREAAVILSNSGYLSMSLTLPLVSNSLIPHTPSLTIFSPSDTAFTQSGQPPLSILRLHFSPLSFPLNSLESLSLGAKIPSLFPNYSLTITSTGDDVSLNGVKIKDSPVYDDGSLVILGVDRFFDTGFGSSDQNLGCYSVMASFLDLQLMVGFTDKTALTIFAPVDEVIKAFLWDLREYSSMFLKHAVPCKIMWGDLVNFDDGVVLETYLEGFGITVSTSGDNLMLNDQASVNFPDMYHNDWLVIHGLQSILKEPESEYSFLDDGDEF >Potri.006G005100.2.v4.1 pep chromosome:Pop_tri_v4:6:370679:374734:1 gene:Potri.006G005100.v4.1 transcript:Potri.006G005100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005100.v4.1 MASFRLPPTSLDSFYSNKSNFSTNPLHFPGNSTLFSISSCIHLITSLSRFSAVKVSRIETELSEKDPFLSTSEGLVSENEQKDLDSEHERKIKYKGAKKGTKREVGFKFSCKRNGSRLEREGFFVYDGELDVNYSVVGSNMSLEQCNDVLKRLEKGTSDDKTLRFFEWMRSNGKLEKNVSAFNVVFRVLGRREDWDTAERMIREMRESFGSELDCRVFNTLIYSCSKRGSVELSGKWFRMMLELGVQPNVATFGMVMGLYQKGWNVEEAEFSFAQMRSFGIICQSAYSAMITIYTRLSLYDKAEEVIGLMRDDKVVLNLENWLVLLNAYSQQGKLEKAEQLLVSMQEAKFSPTIVAYNILITGYGKASNMVAAQRLFSGIQNAGLEPDDTTYRSMIEGWGRVGNYKEAEWYYKELKRLGFKPNSPNLYTLINLQAEHGDEEGACRTLDDMLKIGCQYSSILGTLLKAYEKVGRIDKIPFLLKGSFYQHVTVNQNSCSILVIAYVKNLLVDEAIKLLGDKKWNDPVFEDNLYHLLICSCKELSHLDSAVKIYSLMPKSDDRPNLHISCTMIDIYTTMGQFNEGEKLYMKLKSSGIGLDVIAFSIVIRMYVKAGSLKDACSVLETMEKEKDMVPDIYLFRDMLRIYQQCGMMDKLNDLYFKILKSGVVWDQELYNCLINCCARALPVGELSRLFNEMLQRGFDPNTITFNVMLDVYAKAKLFNKARELFMMARKRGLVDVISYNTIIAAYGRKRDFKNMASTIHTMQFDGFSVSLEAYNCVLDAYGKEGQMESFRSVLQRMKNSSCTADHYTYNIMMNIYGELGWIDEVAGVLTELRECGLGPDLCSYNTLIKAYGIAGMVEDAVGLVKEMRQNGVEPDKITYTNLITTLQKNDKYLEAVKWSLWMKQRGL >Potri.006G005100.3.v4.1 pep chromosome:Pop_tri_v4:6:370735:373842:1 gene:Potri.006G005100.v4.1 transcript:Potri.006G005100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005100.v4.1 MASFRLPPTSLDSFYSNKSNFSTNPLHFPGNSTLFSISSCIHLITSLSRFSAVKVSRIETELSEKDPFLSTSEGLVSENEQKDLDSEHERKIKYKGAKKGTKREVGFKFSCKRNGSRLEREGFFVYDGELDVNYSVVGSNMSLEQCNDVLKRLEKGTSDDKTLRFFEWMRSNGKLEKNVSAFNVVFRVLGRREDWDTAERMIREMRESFGSELDCRVFNTLIYSCSKRGSVELSGKWFRMMLELGVQPNVATFGMVMGLYQKGWNVEEAEFSFAQMRSFGIICQSAYSAMITIYTRLSLYDKAEEVIGLMRDDKVVLNLENWLVLLNAYSQQGKLEKAEQLLVSMQEAKFSPTIVAYNILITGYGKASNMVAAQRLFSGIQNAGLEPDDTTYRSMIEGWGRVGNYKEAEWYYKELKRLGFKPNSPNLYTLINLQAEHGDEEGACRTLDDMLKIGCQYSSILGTLLKAYEKVGRIDKIPFLLKGSFYQHVTVNQNSCSILVIAYVKNLLVDEAIKLLGDKKWNDPVFEDNLYHLLICSCKELSHLDSAVKIYSLMPKSDDRPNLHISCTMIDIYTTMGQFNEGEKLYMKLKSSGIGLDVIAFSIVIRMYVKAGSLKDACSVLETMEKEKDMVPDIYLFRDMLRIYQQCGMMDKLNDLYFKILKSGVVWDQELYNCLINCCARALPVGELSRLFNEMLQRGFDPNTITFNVMLDVYAKAKLFNKARELFMMARKRGLVDVISYNTIIAAYGRKRDFKNMASTIHTMQFDGFSVSLEAYNCVLDAYGKEGQMESFRSVLQRMKNSSCTADHYTYNIMMNIYGELGWIDEVAGVLTELRECGLGPDLCSYNTLIKAYGIAGMVEDAVGLVKEMRQNGVEPDKITYTNLITTLQKNDKYLEAVKWSLWMKQRGL >Potri.016G103100.1.v4.1 pep chromosome:Pop_tri_v4:16:10525707:10530008:1 gene:Potri.016G103100.v4.1 transcript:Potri.016G103100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103100.v4.1 MAAFPSSFSCSDLSRAVSLQDDAFVRGVTINKYLSVKEMVPRHSWRRRHVASSGSKQRLLVLVMSQSHSYSQGESPQSDAVTTTVVKNEGGNHSVVAGGDGEIRVLEIGSELKTDFGGTGGNGGNGKFPSNGGGGGGGGGGGGGGEGEDKGEEEFGPIMKFEEVMKETEARGASLPPDMLEAAKTIGIRKVLLLRYLDLQGAGGLLGFAIKSCAMLRNRMLADPSFLFKIGTEIVIDSCCATFAEVQKRGKDFWAEFELYVADLLVGVVVNIALVGMLAPYVRIGQPSLSKGFLGRLQHAYGALPSSVFEAERPGCRFSVQQRIGTYFYKGVLYGSVGFACGIIGQGIANLIMTAKRSIKKSEEDIPVPPLLKSAALWGVFLAVSSNTRYQVINGLERLVEGSPMAKQVPPVAMAFTVGVRFANNIYGGMQFVDWARWSGVQ >Potri.018G139800.12.v4.1 pep chromosome:Pop_tri_v4:18:14471467:14484285:-1 gene:Potri.018G139800.v4.1 transcript:Potri.018G139800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139800.v4.1 MTSLFKGSPCIRQQDDLEAGENRSTDVGRDANSSSGPFDIVSTKNAPIDSLRRWRKAALVLNASRRFRYTLDLKKEEEKRRILSKIRAHAQVIWAAHLFKEAGNNRVNGDTEPHPPPTGDFGISVGQISVITRDHDHNALEALGGVKGVADALKTDIEKGIHEDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGMKTEGVKEGWYEGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVTRGGRRVEVSIYDIVAGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVQKNSREPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLTVALLVLVVLLVRYFTGHTKNFDGSPEFVAGKTKVSKAVDGAVKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAFSGGKKMDLPESKSQLPPILSSLLIEGIAQNTTGSVFVPEGGGDLEISGSPTEKAIMGWAIKLGMNFDAVRSESNVIHVFPFNSEKKKGGVALQLPNSQVHIHWKGAAEIVLASCTKYVDASGNTVPLDQDKVSFFKKAIEDMACSSLRCVSIAYRTYDMDKVPADEQQLAQWVIPQDDLVLLAIIGIKDPCRPGVRDAVRLCQNAGVKVRMVTGDNPQTAKAIALECGILSSEEDAVEPNVIEGRVFREYSDSEREDIAEKISVMGRSSPNDKLLLVQALKRRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVSAMSSGEVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMNRSPVGRREPLITNIMWRNLLVQAAYQVTVLLVLNFRGESILGLEHETPQRAIEVKNTLIFNAFVLCQIFNEFNARKPDEINIFKGISKNHLFIAIIGITLVLQVIIVEFVGKFTSTVKLNWKQWLISIIIGFIGWPLAALAKLIPVPQTPLHKFFTNMCNRRAKSSKSSKSSSVEVTNNSQH >Potri.018G139800.8.v4.1 pep chromosome:Pop_tri_v4:18:14471438:14487277:-1 gene:Potri.018G139800.v4.1 transcript:Potri.018G139800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139800.v4.1 MTSLFKGSPCIRQQDDLEAGENRSTDVGRDANSSSGPFDIVSTKNAPIDSLRRWRKAALVLNASRRFRYTLDLKKEEEKRRILSKIRAHAQVIWAAHLFKEAGNNRVNGDTEPHPPPTGDFGISVGQISVITRDHDHNALEALGGVKGVADALKTDIEKGIHEDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGMKTEGVKEGWYEGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVTRGGRRVEVSIYDIVAGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVQKNSREPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLTVALLVLVVLLVRYFTGHTKNFDGSPEFVAGKTKVSKAVDGAVKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAFSGGKKMDLPESKSQLPPILSSLLIEGIAQNTTGSVFVPEGGGDLEISGSPTEKAIMGWAIKLGMNFDAVRSESNVIHVFPFNSEKKKGGVALQLPNSQVHIHWKGAAEIVLASCTKYVDASGNTVPLDQDKVSFFKKAIEDMACSSLRCVSIAYRTYDMDKVPADEQQLAQWVIPQDDLVLLAIIGIKDPCRPGVRDAVRLCQNAGVKVRMVTGDNPQTAKAIALECGILSSEEDAVEPNVIEGRVFREYSDSEREDIAEKISVMGRSSPNDKLLLVQALKRRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVSAMSSGEVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMNRSPVGRREPLITNIMWRNLLVQAAYQVTVLLVLNFRGESILGLEHETPQRAIEVKNTLIFNAFVLCQIFNEFNARKPDEINIFKGISKNHLFIAIIGITLVLQVIIVEFVGKFTSTVKLNWKQWLISIIIGFIGWPLAALAKLIPVPQTPLHKFFTNMCNRRAKSSKSSKSSKSSSVEVTNNSQH >Potri.018G139800.9.v4.1 pep chromosome:Pop_tri_v4:18:14471537:14495978:-1 gene:Potri.018G139800.v4.1 transcript:Potri.018G139800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139800.v4.1 MTSLFKGSPCIRQQDDLEAGENRSTDVGRDANSSSGPFDIVSTKNAPIDSLRRWRKAALVLNASRRFRYTLDLKKEEEKRRILSKIRAHAQVIWAAHLFKEAGNNRVNGDTEPHPPPTGDFGISVGQISVITRDHDHNALEALGGVKGVADALKTDIEKGIHEDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGMKTEGVKEGWYEGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVTRGGRRVEVSIYDIVAGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVQKNSREPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLTVALLVLVVLLVRYFTGHTKNFDGSPEFVAGKTKVSKAVDGAVKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAFSGGKKMDLPESKSQLPPILSSLLIEGIAQNTTGSVFVPEGGGDLEISGSPTEKAIMGWAIKLGMNFDAVRSESNVIHVFPFNSEKKKGGVALQLPNSQVHIHWKGAAEIVLASCTKYVDASGNTVPLDQDKVSFFKKAIEDMACSSLRCVSIAYRTYDMDKVPADEQQLAQWVIPQDDLVLLAIIGIKDPCRPGVRDAVRLCQNAGVKVRMVTGDNPQTAKAIALECGILSSEEDAVEPNVIEGRVFREYSDSEREDIAEKISVMGRSSPNDKLLLVQALKRRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVSAMSSGEVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMNRSPVGRREPLITNIMWRNLLVQAAYQVTVLLVLNFRGESILGLEHETPQRAIEVKNTLIFNAFVLCQIFNEFNARKPDEINIFKGISKNHLFIAIIGITLVLQVIIVEFVGKFTSTVKLNWKQWLISIIIGFIGWPLAALAKLIPVPQTPLHKFFTNMCNRRAKSSKSSKSSKSSSVEVTNNSQH >Potri.018G139800.10.v4.1 pep chromosome:Pop_tri_v4:18:14471547:14487144:-1 gene:Potri.018G139800.v4.1 transcript:Potri.018G139800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139800.v4.1 MTSLFKGSPCIRQQDDLEAGENRSTDVGRDANSSSGPFDIVSTKNAPIDSLRRWRKAALVLNASRRFRYTLDLKKEEEKRRILSKIRAHAQVIWAAHLFKEAGNNRVNGDTEPHPPPTGDFGISVGQISVITRDHDHNALEALGGVKGVADALKTDIEKGIHEDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGMKTEGVKEGWYEGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVTRGGRRVEVSIYDIVAGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVQKNSREPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLTVALLVLVVLLVRYFTGHTKNFDGSPEFVAGKTKVSKAVDGAVKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAFSGGKKMDLPESKSQLPPILSSLLIEGIAQNTTGSVFVPEGGGDLEISGSPTEKAIMGWAIKLGMNFDAVRSESNVIHVFPFNSEKKKGGVALQLPNSQVHIHWKGAAEIVLASCTKYVDASGNTVPLDQDKVSFFKKAIEDMACSSLRCVSIAYRTYDMDKVPADEQQLAQWVIPQDDLVLLAIIGIKDPCRPGVRDAVRLCQNAGVKVRMVTGDNPQTAKAIALECGILSSEEDAVEPNVIEGRVFREYSDSEREDIAEKISVMGRSSPNDKLLLVQALKRRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVSAMSSGEVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMNRSPVGRREPLITNIMWRNLLVQAAYQVTVLLVLNFRGESILGLEHETPQRAIEVKNTLIFNAFVLCQIFNEFNARKPDEINIFKGISKNHLFIAIIGITLVLQVIIVEFVGKFTSTVKLNWKQWLISIIIGFIGWPLAALAKLIPVPQTPLHKFFTNMCNRRAKSSKSSKSSKSSSVEVTNNSQH >Potri.018G139800.11.v4.1 pep chromosome:Pop_tri_v4:18:14471538:14484285:-1 gene:Potri.018G139800.v4.1 transcript:Potri.018G139800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139800.v4.1 MTSLFKGSPCIRQQDDLEAGENRSTDVGRDANSSSGPFDIVSTKNAPIDSLRRWRKAALVLNASRRFRYTLDLKKEEEKRRILSKIRAHAQVIWAAHLFKEAGNNRVNGDTEPHPPPTGDFGISVGQISVITRDHDHNALEALGGVKGVADALKTDIEKGIHEDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMVAAVASLVLGMKTEGVKEGWYEGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVTRGGRRVEVSIYDIVAGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVQKNSREPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDNGEETPLQVRLNGVATFIGIVGLTVALLVLVVLLVRYFTGHTKNFDGSPEFVAGKTKVSKAVDGAVKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTVVEAFSGGKKMDLPESKSQLPPILSSLLIEGIAQNTTGSVFVPEGGGDLEISGSPTEKAIMGWAIKLGMNFDAVRSESNVIHVFPFNSEKKKGGVALQLPNSQVHIHWKGAAEIVLASCTKYVDASGNTVPLDQDKVSFFKKAIEDMACSSLRCVSIAYRTYDMDKVPADEQQLAQWVIPQDDLVLLAIIGIKDPCRPGVRDAVRLCQNAGVKVRMVTGDNPQTAKAIALECGILSSEEDAVEPNVIEGRVFREYSDSEREDIAEKISVMGRSSPNDKLLLVQALKRRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVSAMSSGEVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMNRSPVGRREPLITNIMWRNLLVQAAYQVTVLLVLNFRGESILGLEHETPQRAIEVKNTLIFNAFVLCQIFNEFNARKPDEINIFKGISKNHLFIAIIGITLVLQVIIVEFVGKFTSTVKLNWKQWLISIIIGFIGWPLAALAKLIPVPQTPLHKFFTNMCNRRAKSSKSSKSSKSSSVEVTNNSQH >Potri.005G010600.1.v4.1 pep chromosome:Pop_tri_v4:5:903290:907205:1 gene:Potri.005G010600.v4.1 transcript:Potri.005G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010600.v4.1 MLQCIFLLSDSGEVMLEKQLTGHRVDRSICAWFWDQIVSQGDSFKQQPVIASPTHYLFQIVREGITFLACTQVEMPPLMGIEFLCRVADVLSDYLEGLNEDVIKDNFVIVYELLDEMIDNGFPLTTEPNILREMIAPPNIVSKMLSVVTGNSSNMSDTLPGATASCVPWRTTDIKYANNEVYVDLVEEMDAIINRDGVLVKCEVYGEVQVNSHITGVPDLTLSFTNPSIMDDVRFHPCVRFRPWESHHILSFVPPDGLFKLMSYRVKKLKSTPIYVKPQITSDDGTCRVNVMVGIRNDPGKMIDSITVQFQLPSCVLSADLTANHGAVTIFSNKMCTWSIDRIPKDRAPALSGTLMLETGLKRLNVFPTFQVGFRIQGVALSGLQLDKLDLRVVPSRLYKGFRAFTRSGLYEVRS >Potri.005G148000.1.v4.1 pep chromosome:Pop_tri_v4:5:12380885:12392871:-1 gene:Potri.005G148000.v4.1 transcript:Potri.005G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148000.v4.1 MAEEKESTSIPLSHPDDNGGEDPPKSPPHSKSSSNSSTREACCFVLQSWVSKKFITGCVVLFPIAVTFFITWWLMQFVDGFFSPLYARLGVDIFGLGFVTSLVFVFFVGVFVSSWIGSTIFWLGEWFIKRMPFVKHLYSASKQISAAISPDQNTTAFKEVAIIHHPRVGEYAFGFITSTVILQRDNEDEELCSVFVPTNHLYIGDIFLVNSKDIIRPNLSIREGIEIIVSGGMTMPQMISPVERVVHQNEGIPLNRMV >Potri.017G147200.1.v4.1 pep chromosome:Pop_tri_v4:17:14688811:14689893:1 gene:Potri.017G147200.v4.1 transcript:Potri.017G147200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147200.v4.1 MMKAVLKLDLHDDKDKKKAMRRVSGLPGVDSISMDMKDKKLTVIGDIDAVCIVGKLRKICHTDIISVGPAKEPEKKKEEPKKVEPKKPEDDKKDKDAVAELVKAYQAYNPYVTTHYHVRSVEEDPNACVIS >Potri.011G096400.3.v4.1 pep chromosome:Pop_tri_v4:11:12462085:12464467:-1 gene:Potri.011G096400.v4.1 transcript:Potri.011G096400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096400.v4.1 MSVLPGYVRFDDDSRVKINLNSRPKSEIKHLKRKLVNELHQVRSLRKKLDSIEMSQSSFNDNLNNRITGNSGGHRIETLDRVNSEVSYVGHTNSRPLDGNEKKKTPVNSNKKLKQCGGGGGQGVAVEFSKYLVKECGELLGKLMKHQYGWVFNVPVDVKMLKLHDYFKIIKHPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFNNAMKYNEKGQDVHTMADTLLKIFEENWANFKAETNFDKRGEMGYDASLQTPASKRASGPHASSPACGSASACAPSPAPFQQTMPLETRTLGGTDSLTELGHPNMKAADQGRASVSKKPKKDTDKSKMTYEEKQKLSISLQSLPSEKLESVVQIIRKRNPGLFQQEDEIEVDIDSFDNETLWELHSNVTNYRKSISKNDREAKVALQDREEGGHDMLGTKLTSATAEAPKELGSVQMTVPASSPIKEHKQGHCMSRSRCSSSFGSGSRSSSSGSDIDSSSGSGSDAGR >Potri.015G070000.2.v4.1 pep chromosome:Pop_tri_v4:15:9548093:9550301:-1 gene:Potri.015G070000.v4.1 transcript:Potri.015G070000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070000.v4.1 MATAGEILRRSLTEEVGFSKGSVSGSEELHVLAVDDSFVDRKVIERLLKISSCKVTVVESGSRALQYLGLDGEKSSVGFNDLKINLIMTDYSMPGMTGYELLKKIKESSAFREIPVVIMSSENILARIDRCLEEGAEEYILKPVKLSDVKRIKDVIMGGDGEQKKRRSVRKRGREDCFYSLSQPQLVQSSSSPAFDFLPSELQSSSPFSTLSLSKRPKLLTRD >Potri.010G189200.3.v4.1 pep chromosome:Pop_tri_v4:10:18536682:18540236:-1 gene:Potri.010G189200.v4.1 transcript:Potri.010G189200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189200.v4.1 MANYNEIDDILAEEEFVPVVFQKAINGVKIDESTEKGHVEQGSKTELPFWLARELHMRQAVSISVPACFNQKTRLEIQADAACVDLRSRCPYFYEFGCKLAPLCDKTIGLLLPYAFRIRYKEILHKAHTTAFATASKFLTHLTREETSLYEAAQSSMAAFKKWRMGGPRLQRASILGRKRKPAE >Potri.010G189200.2.v4.1 pep chromosome:Pop_tri_v4:10:18536682:18540236:-1 gene:Potri.010G189200.v4.1 transcript:Potri.010G189200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189200.v4.1 MANYNEIDDILAEEEFVPVVFQKAINGVKIDESTEKGHVEQGSKTELPFWLARELHMRQAVSISVPACFNQKTRLEIQADAACVDLRSRCPYFYEFGCKLAPLCDKTIGLLLPYAFRIRYKEILHKAHTTAFATASKFLTHLTREETSLYEAAQSSMAAFKKWRMGGPRLQRASILGRKRKPAE >Potri.006G202400.3.v4.1 pep chromosome:Pop_tri_v4:6:20972957:20973721:1 gene:Potri.006G202400.v4.1 transcript:Potri.006G202400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202400.v4.1 MFFRLGLGAKEVLHQSKSRQSNNPVERRLLAKLEAGKSLAAKTIKDSILPARDDNEDDDSSEEIESKTKAFAKKRPGPLVPTLQ >Potri.006G202400.2.v4.1 pep chromosome:Pop_tri_v4:6:20972177:20973247:1 gene:Potri.006G202400.v4.1 transcript:Potri.006G202400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202400.v4.1 MFFRLGLGAKEVLHQSKSRQSNNPVERRLLAKLEAGKSLAAKTIKDSILPARDDNEDDDSSEEIESKTKAFAKKRPGPLVPTLQVKKKQE >Potri.001G463400.1.v4.1 pep chromosome:Pop_tri_v4:1:48944223:48946158:1 gene:Potri.001G463400.v4.1 transcript:Potri.001G463400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463400.v4.1 MVLSSSSILSILVVLLLSPFVVSFTIQDRFLQCLSTTSHSSYPFSTAMYTPIDNSSFATVLLSTAQNLRYTLPSVPKPDFIFTPFNESDIQAAVVCCKQLGIHFRVRSGGHDYEAVSYVSEIESPFIIIDLAKLRSIDVDIEDSSAWVQAGATNGELYYRIAEKSKTHGFAAGLCTSLGIGGHITGGAYGPMMRKYGLGADNVIDARIIDAQGRILDRQAMGEELFWAIRGGGGGSFGIITAWKVKLVPVPENVTVFTVRKTLEQGATKLLYRWQQVADKLDEDLFIRVIIQTAGNKGNRTISTSYNALFLGDANRLLKVMEEGFPELGLTPKDCIETTWLGSVLYIGGYPSTTPPEVLLQAKNILKSYFKAKSDFVQEPIPETGLEGIWMRFLKEDSPLMIWNPFGGMMSKISESETPFPHRKGDLFMIHYVTNWQNASGNVGRHIKWMRGLYKYMKPYVSKNPREAYVNYRDLDLGMNRNTRANFKKARVWGAKYYKDNFYRLALVKSMVDPENIFRHEQSIPPLPLHMR >Potri.006G165250.1.v4.1 pep chromosome:Pop_tri_v4:6:16154888:16155444:1 gene:Potri.006G165250.v4.1 transcript:Potri.006G165250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165250.v4.1 MVKIIPSCCFPQLFLLALVFGTVMVSTVEGRCSENVEGCASPQQSNKTCKETFGFYLSGCRDEDCNVACYNRHPGIQEGHGSCISVPPYLSCSCAYYC >Potri.001G356100.1.v4.1 pep chromosome:Pop_tri_v4:1:37050467:37051126:1 gene:Potri.001G356100.v4.1 transcript:Potri.001G356100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356100.v4.1 MGRTRTTTKQAVDPNGSATQNMLVIAKEPRYRGVRKRPWGRFAAEIRDPWKKTRVWLGTFDSAEDAARAYDAAARTLRGAKAKTNFPISTTNQLFNHQNQNQSPTDPFLDHHSINPQRPTSSSLSSTVESFSGPRPPQPTTTTKSGNGPRRSHPRIPPVVPEDCHSDCDSSSSVVDDRDVASAASSLCRKPLPFDLNFPPLDQVDLGSGDDLHCTALCL >Potri.009G022200.1.v4.1 pep chromosome:Pop_tri_v4:9:3423236:3434001:-1 gene:Potri.009G022200.v4.1 transcript:Potri.009G022200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022200.v4.1 MDLEEHRRTNEEEDETEANKQKGFILSVYFHYLRNRLAALFPLSFHDSSDFLSRISNLCVQTGRRVFFPRRRRKACLPLPLPSNTLDSSVVRIESSRVYDVLEDIMGHIFIHLHNIQDNLQFWQPLIEASNARKLYFMIFERGPNAFLGGTAKVLRQSIVDGWSMQHLSQFASAYISERIVILSNLRCAIATFLAEVYMEVDRRGEQLVEDPEKSFPPLLAVINHLFSNLEASIGHLHAIRQTDSSVEGSYSFPLVFEKSPKVNQEGSQWTDCEISDAINAVYKNLEKLDSYISVMVSKHQKPSKLSQHWIRHTCGVVGLSFCSSWLLRHSRLMGSPDIDNWVREAKDSTISFFNDHVEQPLLSIRDELFDTFRKRHKGVMEVEEVQLTASSLHRMLLAFSEQTKVQKFPEHASDQEMLEIVMDRYEQELTHPIQNLLKGELAHALLIQVQKLKLDIETAMLELDQILKANEINFAILAALPAFFLSLLLLMLLRAWFKRDTRAEGRGRIARRQRRLLLVEVEKGIAQYQTYVNQGLEKESQCMFGLVLHCLDRLFHAVEGHAKATGEWQWLRQDIIDLGKPRLQTDYKLMVLSRMERVYECLLPSLRRQ >Potri.010G073500.1.v4.1 pep chromosome:Pop_tri_v4:10:10142619:10144577:1 gene:Potri.010G073500.v4.1 transcript:Potri.010G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073500.v4.1 MGFFSFLGRVLFASLFILSAWQMFNEFGEDGGPAVPELISKLAIVKKHISSQLGVVVSDIDPRHLVAGMIVLKGLGGFLFVFGSPFGAYLLLTYLALSSPILYNFYDYDRDESKYFVLLNEFLQSVALFGALLFFIGMKNLIPNRQLKKKTPKAKVG >Potri.004G019800.2.v4.1 pep chromosome:Pop_tri_v4:4:1408902:1412825:-1 gene:Potri.004G019800.v4.1 transcript:Potri.004G019800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019800.v4.1 MEYIGVNSYRVSISWARILPKGRFGTANRAGINHYNKFINELLRRGIQPFVTLTHYDIPQELEDRYGAWLSPEIQEDFKYYADICFKSFGDRVKYWTTFNEPNVAAIRGYRSGIFPPSRCSGTFGYCSSGDSEREPFIAAHNMILSHAAAVNVYRTKYQKKQGGSIGIVMNAIWHEPISDSLEDKLAVERANAFYMNWFLDPIILGKYPTEMRETLGSDLPVFSKYELEKLKSGVDFIGINQYTSFYVKDCMFSTCEQGPGVSKTEGLYLRTAQKDGFFIGQPTALDWLHVYPQGMEKLVTYFKDRYNNIPMYITENGYCDEENVNVTTKAVLKDVQRVEYMSSYLDALETAVRKGADVRGYFAWSLLDNFEWTSGYTIRFGLYHVDFSTLKRTRKLSATWYKDYIANYKAVRTST >Potri.004G019800.1.v4.1 pep chromosome:Pop_tri_v4:4:1408900:1412825:-1 gene:Potri.004G019800.v4.1 transcript:Potri.004G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019800.v4.1 MEFLLGCYAFIVLDLWFLVLMVSCNPIFLKGSSDNSAFPSNFLFGTASSSYQFEGAYLSHGKGLSNWDVFTHKPGTIMDGTNGDIAVDHYHRYPEDLDLMEYIGVNSYRVSISWARILPKGRFGTANRAGINHYNKFINELLRRGIQPFVTLTHYDIPQELEDRYGAWLSPEIQEDFKYYADICFKSFGDRVKYWTTFNEPNVAAIRGYRSGIFPPSRCSGTFGYCSSGDSEREPFIAAHNMILSHAAAVNVYRTKYQKKQGGSIGIVMNAIWHEPISDSLEDKLAVERANAFYMNWFLDPIILGKYPTEMRETLGSDLPVFSKYELEKLKSGVDFIGINQYTSFYVKDCMFSTCEQGPGVSKTEGLYLRTAQKDGFFIGQPTALDWLHVYPQGMEKLVTYFKDRYNNIPMYITENGYCDEENVNVTTKAVLKDVQRVEYMSSYLDALETAVRKGADVRGYFAWSLLDNFEWTSGYTIRFGLYHVDFSTLKRTRKLSATWYKDYIANYKAVRTST >Potri.014G143000.9.v4.1 pep chromosome:Pop_tri_v4:14:9752624:9758739:1 gene:Potri.014G143000.v4.1 transcript:Potri.014G143000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143000.v4.1 MAAAMKILLSQQGSRRCLWLPSSYFRRYFCSEPKRHPDPLIIEPVSYPVRSEHESQENSQEQEQQQQQPPRRRSQQEQGWSREDFRYMKDAPPSISITPVSYAPRVAPLPEDRAQGRDAHNVDMERERMRIEARRNLGRRAFKVVEEEGKVVLPFPRLIKPVKKEKNPLFDLNEAIKQVKANARNTFDETVEAHVKLSIDKSRSDLIVRGTLALPHGGKKTLRVAVFAEGADADEARAAGADIVGGVELIDQIAKAGKIDFDHCFTTPQFFPRIAKLGKILNRQGLMPDLKQGTVVSDVSKAVKNAKKNQIKFKMDKTAIVHVGLGKVSFTEESLRENVGAFMNALLQAKPAGLKKTSKYAGYVNSFHICSTMGQGFPVSIQSLSKAVDYYSKVHLK >Potri.014G143000.10.v4.1 pep chromosome:Pop_tri_v4:14:9752600:9758613:1 gene:Potri.014G143000.v4.1 transcript:Potri.014G143000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143000.v4.1 MAAAMKILLSQQGSRRCLWLPSSYFRRYFCSEPKRHPDPLIIEPVSYPVRSEHESQENSQEQEQQQQQPPRRRSQQEQGWSREDFRYMKDAPPSISITPVSYAPRVAPLPEDRAQGRDAHNVDMERERMRIEARRNLGRRAFKVVEEEGKVVLPFPRLIKPVKKEKNPLFDLNEAIKQVKANARNTFDETVEAHVKLSIDKSRSDLIVRGTLALPHGGKKTLRVAVFAEGADADEARAAGADIVGGVELIDQIAKAGKIDFDHCFTTPQFFPRIAKLGKILNRQGLMPDLKQGTVVSDVSKAVKNAKKNQIKFKMDKTAIVHVGLGKVSFTEESLRENVGAFMNALLQAKPAGLKKTSKYAGYVNSFHICSTMGQGFPVSIQSLSKAVDYYSKVHLK >Potri.005G101200.1.v4.1 pep chromosome:Pop_tri_v4:5:7317697:7319798:-1 gene:Potri.005G101200.v4.1 transcript:Potri.005G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101200.v4.1 MYGSRGAMLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGASGFQPYGYGGGFQPPPFPVVRLRGLPFNCSDVEILKFFAGLDIVDVLLVNKSGRFTGEAFVVFAGPMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAVAAEVNYEGIYDNDYHGSPPPSRAKRFSDKDQMEYTEILKMRGLPFSAKKAEIIEFFKDFKLIDERIHIACRPDGKATGEAYVEFISAEEAKRAMSKDKMTIGSRYVELFPSTQDEARRAESRSRQ >Potri.005G101200.2.v4.1 pep chromosome:Pop_tri_v4:5:7317758:7319786:-1 gene:Potri.005G101200.v4.1 transcript:Potri.005G101200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101200.v4.1 MLGSGGVSDGYEVGSKRQRMMESNPYFAVSSGASGFQPYGYGGGFQPPPFPVVRLRGLPFNCSDVEILKFFAGLDIVDVLLVNKSGRFTGEAFVVFAGPMQVEFALQRDRQNMGRRYVEVFRCKRQDYYNAVAAEVNYEGIYDNDYHGSPPPSRAKRFSDKDQMEYTEILKMRGLPFSAKKAEIIEFFKDFKLIDERIHIACRPDGKATGEAYVEFISAEEAKRAMSKDKMTIGSRYVELFPSTQDEARRAESRSRQ >Potri.004G045000.1.v4.1 pep chromosome:Pop_tri_v4:4:3567451:3568405:-1 gene:Potri.004G045000.v4.1 transcript:Potri.004G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045000.v4.1 MPIMGSKFWLVFLMLATALVVESTTLMDDADLWGLSTLGHDNNGFEFSTEMMMDSEINHRLLAQKTRYISYGALRANSVPCNRRGSSYYNCNKRQRANPYRRGCSTITRCRRYTH >Potri.011G012750.2.v4.1 pep chromosome:Pop_tri_v4:11:1171898:1174384:1 gene:Potri.011G012750.v4.1 transcript:Potri.011G012750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G012750.v4.1 MDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTVLKGTTDAQKLRGLTIDMHALMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWFCWHGLSSRSIPNHICLEKLVVLDLSRCCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRFTLFSLPRFLESLDLSGTPIRFLPESIKDLGLLRALYLRNCKMLQALPEFPSHLNSLDVSFCYSLPRYKNLSSWTERDGCAHLVEFQDGIKLELIQKFDSHMFRIMETVSAQIQPSRFQMTVIDGIFNVVAYGFDEDEELRGFYEEEEEDKWVIQNEFTDNFSFKISSPPPTHRICGFNLFTRFCMTSGYSSHEKLGIEIRNNTSGQSLRRQAHVLDMRFEDEVRGIQSVCHWKLGGDDPTFDNGDDVTISVVVTSAIQIRTVGVQWLHEEEGKDDDDIQSKDEVINAHNSSDDDDDAHVAKVEIASRIFRNYYCAFRVEFNGGDFAWWLFAKKGLELVLI >Potri.006G211800.2.v4.1 pep chromosome:Pop_tri_v4:6:21864300:21867101:-1 gene:Potri.006G211800.v4.1 transcript:Potri.006G211800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211800.v4.1 MAFGSQLAVDFEDGENFNTRIIREKVSSLKSTFMSRHFTEVEEFLVSMVENLELEIKSLKKEKEMIEERAQIQRLEKLKVEGELRECTRECLQLKKERDGFNETVKSISEVERIMRELKEENRDLKGEKLKAETETDFYKRKFEDLEMMSLLLKKDVILKPEEPISNVGVPGEVVGAKGVVDENVKRDENAVNLKTKMFATDCNDNVVIEANGVPSCYTPRGIVDLGSRGLRCDGGSGEGLGKNGLIENEKGGEGSGNLKAKMGFVDSHGNMVFGANGGSSSNLPENGDGIVGGASGIARSFSFFRYHMH >Potri.006G211800.1.v4.1 pep chromosome:Pop_tri_v4:6:21864953:21867098:-1 gene:Potri.006G211800.v4.1 transcript:Potri.006G211800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211800.v4.1 MAFGSQLAVDFEDGENFNTRIIREKVSSLKSTFMSRHFTEVEEFLVSMVENLELEIKSLKKEKEMIEERAQIQRLEKLKVEGELRECTRECLQLKKERDGFNETVKSISEVERIMRELKEENRDLKGEKLKAETETDFYKRKFEDLEMMSLLLKKDVILKPEEPISNVGVPGEVVGAKGVVDENVKRDENAVNLKTKMFATDCNDNVVIEANGVPSCYTPRGIVDLGSRGLRCDGGSGEGLGKNGLIENEKGGEGSGNLKAKMGFVDSHGNMVFGANGGSSSNLPENGDGIVGGASGGGTPPLKEIIELVDSDDDTSSCAISSRNELATEGCQYEADLDQGVVENETKLLKRKRASSSTSKDSHGSSNEQKATKRKGLIEGPDSLPVNHRLATTMFSESNEGRNVSTPSRQGQTILTQLEEKITAECYSRNQSNELVLDRLLLDSDSEDSSSSSDSEDLDFSFDFSAMTKLFASKEKQELNGSRHVES >Potri.004G018900.1.v4.1 pep chromosome:Pop_tri_v4:4:1355309:1355719:-1 gene:Potri.004G018900.v4.1 transcript:Potri.004G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018900.v4.1 MGTIFLDRTEIIDVPQEGLMAASLWRPQPSHLGSPGRKERHLICQLLSYRLHVLGWQLAISFITDQPPPVYNHRYCPVTRNFVKSHYYTIRKEIVDLYLDGLGS >Potri.004G018900.2.v4.1 pep chromosome:Pop_tri_v4:4:1354461:1356412:-1 gene:Potri.004G018900.v4.1 transcript:Potri.004G018900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018900.v4.1 MGTIFLDRTEIIDVPQEGLMAASLWRPQPSHLGSPGRKERHLICQLLSYRLHVLGWQLAISFITDQPPPVYNHRYCPVTRNFVKSHYYTIDDGLGLFQLDELLFQG >Potri.018G148066.1.v4.1 pep chromosome:Pop_tri_v4:18:15929302:15934233:1 gene:Potri.018G148066.v4.1 transcript:Potri.018G148066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148066.v4.1 MGIFEPFRAIGYITSGVPFSVQRLGTEAFVTVSVGKAFQVYNCAKLTLVLASPQLPHKIRALASYRDFTFVAFGTHIAVFKRAHQVARWSGHSGKVNSLMVFGDHVISVDVEGHLFIWGFKGVAGDIVPVGHIMLGEKCTPTCVMHSDTYLNKVLIGSQEGGLQLWNVSTKKMLHEFKGWGSSVSSCVSSPALDVVAVGCADGKIHVHNIRYDEEVVTFSHSTRGAVTALSFSTDGQSLLASGGSSGVISIWNLEKRKLQSVVREAHDSSIISLHFLANEPVLMSSSADNSIKMWVFDTTDGDPRLLRFRSGHSAPPLCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQHHVSKRAKKLRVKEEELKLKPVIAFDCAEIRERDWCNVVTCHIDTAQAYVWTLQNFVIGEHILRPCPEKPTPVKACTISACGNYPRNGRWLDRAIQPSIRN >Potri.001G454400.5.v4.1 pep chromosome:Pop_tri_v4:1:48074756:48081005:1 gene:Potri.001G454400.v4.1 transcript:Potri.001G454400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454400.v4.1 MAAAAEDTVEEEVGRVVEQAKELQETAASLIAKSTHDEQSVRQKALSLESSIRRCSSLLDRSNHLAPKLAAKLEEDLQKARCIIADGDASSFLPSKPQGRFLKMFLGPINVRASRKDVQFKVKEEYNSYRDRTALLFLFFPSVLLCLRSWVWNGCLPTFPVQLYQAWLLFLYTGLTLRENILRANGSDIRSWWINHHYCAMIMAVVSLTWEIKGQPNCAQKQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGLQLLRTAYKGVTSEWQVIFCGVLLVFMAVGNFLNTVEILMVKSRFKAKMKSKSKQEMD >Potri.001G454400.4.v4.1 pep chromosome:Pop_tri_v4:1:48074772:48082374:1 gene:Potri.001G454400.v4.1 transcript:Potri.001G454400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454400.v4.1 MAAAAEDTVEEEVGRVVEQAKELQETAASLIAKSTHDEQSVRQKALSLESSIRRCSSLLDRSNHLAPKLAAKLEEDLQKARCIIADGDASSFLPSKPQGRFLKMFLGPINVRASRKDVQFKVKEEYNSYRDRTALLFLFFPSVLLCLRSWVWNGCLPTFPVQLYQAWLLFLYTGLTLRENILRANGSDIRSWWINHHYCAMIMAVVSLTWEIKGQPNCAQKQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGLQLLRTAYKGVTSEWQVIFCGVLLVFMAVGNFLNTVEILMVKSRFKAKMKSKSKQEMD >Potri.001G454400.6.v4.1 pep chromosome:Pop_tri_v4:1:48074772:48080907:1 gene:Potri.001G454400.v4.1 transcript:Potri.001G454400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454400.v4.1 MAAAAEDTVEEEVGRVVEQAKELQETAASLIAKSTHDEQSVRQKALSLESSIRRCSSLLDRSNHLAPKLAAKLEEDLQKARCIIADGDASSFLPSKPQGRFLKMFLGPINVRASRKDVQFKVKEEYNSYRDRTALLFLFFPSVLLCLRSWVWNGCLPTFPVQLYQAWLLFLYTGLTLRENILRANGSDIRSWWINHHYCAMIMAVVSLTWEIKGQPNCAQKQRGVQLFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLCPILFILQGFEAYVGLQLLRTAYKGVTSEWQVIFCGVLLVFMAVGNFLNTVEILMVKSRFKAKMKSKSKQEMD >Potri.005G218650.1.v4.1 pep chromosome:Pop_tri_v4:5:22133675:22143823:-1 gene:Potri.005G218650.v4.1 transcript:Potri.005G218650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218650.v4.1 MVDLWPGMEIGGEAVVAGCCGEERLLLWCSLEAGEERLLLWCSLEAGVDGERAGYVGCGQKLPLLEVGCRAICEGGAGEGRLCWVLPDEEGAAAAGERNS >Potri.003G119600.2.v4.1 pep chromosome:Pop_tri_v4:3:14089436:14091383:-1 gene:Potri.003G119600.v4.1 transcript:Potri.003G119600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119600.v4.1 MGSLAVAPFLPLKLRPSIFDQNSSLFPSKKKLKRKNQSISPVARLFGPSIFEASKLKVLFLGVDEKKHPGNLPRTYTLTHSDITAKLTLAISQTINNSQLQGWSNKLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLCCRLRYFIFRKELPVVLKAFFHGDGNLFSSYPELQEALVWVYFHSNIPEFNKVECWGPLKDAAAPCTAESGGPTENKEQASNWNLPEPCQENCKCCFPPMSLIPWSEMVPQENKNNPSTQQSFQQAQQP >Potri.014G187450.1.v4.1 pep chromosome:Pop_tri_v4:14:15859843:15860577:-1 gene:Potri.014G187450.v4.1 transcript:Potri.014G187450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G187450.v4.1 MAPGSSASSSKEEETNKFPLSAESISEQEDGRKTEKEATAKKLKRNSKGSNEVIAKELKASDSDEGITKKLEVLESYFGTDGKETEMKKYFILGLNSLEGSKKKEMIKEYWQWFLCMMDLVGKQNDIIRKHHDLVMPVYKTY >Potri.013G117300.1.v4.1 pep chromosome:Pop_tri_v4:13:12570141:12571031:1 gene:Potri.013G117300.v4.1 transcript:Potri.013G117300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117300.v4.1 MGSYLSSFFIEAHNALLQFLGLVIVDHQSKTQEGSSTSSSEKQEDEKASEESSQDPPPTTTDPKADPPTDNSEDPPAVTVSALARRTPPVSSGSGGQIN >Potri.011G166100.1.v4.1 pep chromosome:Pop_tri_v4:11:19030196:19035817:-1 gene:Potri.011G166100.v4.1 transcript:Potri.011G166100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166100.v4.1 MRITVMTADEQIISLEVDPHESVENVKALLEVETQVPLQQQQLLYNGREMRNNEKLSALGVKDEDLVMMVSNAAALSAPSNNLGLNPDGSAVNPGAFQQQLRNDSNTMAQLFQADPELAQVILGNDLNKLQDLLRQRHRQRSELRRQQEEEFALLEADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEAFARVIMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYKGIAHGVGQSEILGRIHVAPIKIGNIFYPCSFMVLDSPNMEFLFGLDMLRKHQCIIDLKENVLRVGGGEVSVPFLQEKDIPPRFLDEERYSKEASSSGNPVTSGKAEKKNDPPAMGQSSGVARSSVTQGPDFEAKVAKLVELGFGREAVIQALKLFDGNEEQAAGFLFGG >Potri.015G013900.1.v4.1 pep chromosome:Pop_tri_v4:15:909984:913122:1 gene:Potri.015G013900.v4.1 transcript:Potri.015G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013900.v4.1 MAIISDYEEDQTDTKPLPSSSRTPPKTSTFTATFDPQNPMRIVEGVLDFLGKESDFLEKETAEKEIFAVVKKAVEEAKRKKAAEEAKVKAAEEAEVKAQSKRLKVEKEKEKKEKMDENENKEESAKVPNNGNGLDLEKYSWTQTLQEVNVQIPVPSGTKSRFVICDIKKNHLKVGLKGQPPIVEGELYKPIKVDDCYWSIEDQNTISILLTKHDQMDWWKSLVKGDPEIDTQKVEPENSKLSDLDSETRQTVEKMMFDQRQKSMGLPTSDEMQKQEILKKFMSEHPEMDFSRAKIA >Potri.015G013900.3.v4.1 pep chromosome:Pop_tri_v4:15:909986:913215:1 gene:Potri.015G013900.v4.1 transcript:Potri.015G013900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013900.v4.1 MAIISDYEEDQTDTKPLPSSSRTPPKTSTFTATFDPQNPMRIVEGVLDFLGKESDFLEKETAEKEIFAVVKKAVEEAKRKKAAEEAKVKAAEEAEVKAAEEAEVKAQSKRLKVEKEKEKKEKMDENENKEESAKVPNNGNGLDLEKYSWTQTLQEVNVQIPVPSGTKSRFVICDIKKNHLKVGLKGQPPIVEGELYKPIKVDDCYWSIEDQNTISILLTKHDQMDWWKSLVKGDPEIDTQKVEPENSKLSDLDSETRQTVEKMMFDQRQKSMGLPTSDEMQKQEILKKFMSEHPEMDFSRAKIA >Potri.018G120500.2.v4.1 pep chromosome:Pop_tri_v4:18:13245926:13247491:1 gene:Potri.018G120500.v4.1 transcript:Potri.018G120500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120500.v4.1 MVWLVRFSYGSGYFFLMSFFFLIFFWQGCLIGAIEKLKMLCSDGEKKLSFCRSIKDGDLVIVYEKRDVMKAVKVCETSVLQNRFGVFKHSDWIGKPFGSKVLSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFLITYLEIVPGSLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHQQRAASAREDFQSTGVGSLVTVGARDIQGEGFPDEYSGLADSVFLDLPQPWLAILSAGKMLKQDGTLCSFSPCIEQVQRTCEALKSNFRDIRTFEVLLRTFEVHEGKMDSCQGDEGVSVGSPPYKRRQRSSEGSIVQDSSSSPTIKARPCADARGHTGYLTFSRLKCLS >Potri.018G120500.1.v4.1 pep chromosome:Pop_tri_v4:18:13243676:13247825:1 gene:Potri.018G120500.v4.1 transcript:Potri.018G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120500.v4.1 MLCSDGEKKLSFCRSIKDGDLVIVYEKRDVMKAVKVCETSVLQNRFGVFKHSDWIGKPFGSKVLSNKGGFVYLLAPTPELWTLVLSHRTQILYIADISFLITYLEIVPGSLVLESGTGSGSLTTSLARAVAPTGHVYTFDFHQQRAASAREDFQSTGVGSLVTVGARDIQGEGFPDEYSGLADSVFLDLPQPWLAILSAGKMLKQDGTLCSFSPCIEQVQRTCEALKSNFRDIRTFEVLLRTFEVHEGKMDSCQGDEGVSVGSPPYKRRQRSSEGSIVQDSSSSPTIKARPCADARGHTGYLTFSRLKCLS >Potri.018G138900.1.v4.1 pep chromosome:Pop_tri_v4:18:14413537:14417434:-1 gene:Potri.018G138900.v4.1 transcript:Potri.018G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138900.v4.1 MGICCSCSAHKPATTQDQDPTPSTADHVSAGSDDFGNGWFSIASTSITTWMSHPSSSFTTIWGKNVPESSQFSDATGSGDGTLANGQNATNSSVTVFTLAQLRSATYNFRSDMVLGKGGFGDVYKGWLKEKLPPRGIKKTAVAIKKLDSFSMQGLKEWKAEVYFLGTHSHPNLVKLLGYCSESRERILVYEFMKKGSLNYHLFGKRSVPPLSWDIRLKIAIDTARGLAYLHTLEKPIIYRDFKSSNILLDEFYNAKLSDFGLSFWGPLIDSHVSTRIAGTMGYIDPEYLATGHLHVKSDVYGFGVVVVEMLTGLRAIDMKRPSGKQILVDWVKPYLTNRRKLKKIMDSRLEGKYPPKEASQIAHLAIKCLQQESRFRPSMTEIAETLEQIDAIHMRLG >Potri.005G082100.3.v4.1 pep chromosome:Pop_tri_v4:5:5596000:5599699:-1 gene:Potri.005G082100.v4.1 transcript:Potri.005G082100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082100.v4.1 MQYLFDENGRRYLNAFSGIVSVSCGHCHPQILNAITEQSKLLQHATTIYLNHTIADFAEALAAKMPGNLKGEIHHVINPNPHNNYGTSGKVAGFISETIQGVGGAVELAPGYLTMVYDIVRKAGGVCIADEVQSGFGRTGSCYWGFETQGVIPDIVTMAKGIDNGLPLGAVVTTPEIAQVMAQKIQFNTFGGNPVFSASGHEVLRVIDQERRQE >Potri.005G082100.4.v4.1 pep chromosome:Pop_tri_v4:5:5596000:5599815:-1 gene:Potri.005G082100.v4.1 transcript:Potri.005G082100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082100.v4.1 MQYLFDENGRRYLNAFSGIVSVSCGHCHPQILNAITEQSKLLQHATTIYLNHTIADFAEALAAKMPGNLKGEIHHVINPNPHNNYGTSGKVAGFISETIQGVGGAVELAPGYLTMVYDIVRKAGGVCIADEVQSGFGRTGSCYWGFETQGVIPDIVTMAKSLEM >Potri.005G082100.5.v4.1 pep chromosome:Pop_tri_v4:5:5596000:5599699:-1 gene:Potri.005G082100.v4.1 transcript:Potri.005G082100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082100.v4.1 MQYLFDENGRRYLNAFSGIVSVSCGHCHPQILNAITEQSKLLQHATTIYLNHTIADFAEALAAKMPGNLKGEIHHVINPNPHNNYGTSGKVAGFISETIQGVGGAVELAPGYLTMVYDIVRKAGGVCIADEVQSGFGRTGSCYWGFETQGVIPDIVTMAKSLEM >Potri.007G140200.2.v4.1 pep chromosome:Pop_tri_v4:7:15051358:15057542:1 gene:Potri.007G140200.v4.1 transcript:Potri.007G140200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140200.v4.1 MANTSSSLTVNTLLFLLVLLFTTTTSTTHQRHLLHQPFFPSTTAIPPTQPPSLSPQTQPKYPFTSTPNKNNNLQKPFFPALPSPPPPPPTSTLATFPANISSLLLPHRSSSPHHNLIISISISLSLLFAALLALLSAFFIYSRRRTQPFSPQKGSRSESLRLYPQNTIPSDGSPKIPKLPHRPGVVSTSSEFLYLGTLVNSQAGIDDQDKPTSTSNAVLKTGVSSSSSSHYQKLGSPELRPLPPLPRHNYTPTYRSGEVLVSSSKEDEVDSDTEEEEFFSPRGSSGRKEANHESLVRVDSSSRRVIQGIQGEIFGSRSFNSRTASYPLSNSFCPSKSVSSSVSPVSNSSHRSGKSQSTDTIISFPAPVQSIKQSSPSISPSSSGRNSGETLNSQERNSGFSGQNEQVPVSVGKQFVPPKLPPPPPPPPPSRFWEMPVGFRMAQEVNLGIPGPPVLVMPAKPVLVQDHAMPVMANEQMQSNGSVERNEESMKPKLKPLHWDKVRASSDRAMVWDQIKSSSFQLNEEMIETLFVVNNPNFNVKDHNGRRQSLPLLNQENRVLDPKKSQNIAILLRALNVTIEEVCDALLEGNLDTLGTELLESLLRMAPTKEEEYKLKDFKDESPFKLGPAEKFLKEVLDVPFAFKRVDAMLYITNFDSEVEYLKRSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRLEGSRLSGTNQNQTTEKTQQSAFQDEVEFRKLGLQVVSGLGGELTNVKKAAAMDSDVLSSEVAKLATGITKITEVLKLNEEIALKESSWRFSESMNGFMKKAEEEIVMLQAQEKAALSLVKEITEYFHGNSAKEEARPFRIFMVVRDFLSILDHVCKEVGKINERTICSSARPMPSNPTLPPVFPGLIGRHHYGSSDDESSSSSSLEHSM >Potri.007G140200.1.v4.1 pep chromosome:Pop_tri_v4:7:15051730:15057434:1 gene:Potri.007G140200.v4.1 transcript:Potri.007G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140200.v4.1 MANTSSSLTVNTLLFLLVLLFTTTTSTTHQRHLLHQPFFPSTTAIPPTQPPSLSPQTQPKYPFTSTPNKNNNLQKPFFPALPSPPPPPPTSTLATFPANISSLLLPHRSSSPHHNLIISISISLSLLFAALLALLSAFFIYSRRRTQPFSPQKGSRSESLRLYPQNTIPSDGSPKIPKLPHRPGVVSTSSEFLYLGTLVNSQAGIDDQDKPTSTSNAVLKTGVSSSSSSHYQKLGSPELRPLPPLPRHNYTPTYRSGEVLVSSSKEDEVDSDTEEEEFFSPRGSSGRKEANHESLVRVDSSSRRVIQGIQGEIFGSRSFNSRTASYPLSNSFCPSKSVSSSVSPVSNSSHRSGKSQSTDTIISFPAPVQSIKQSSPSISPSSSGRNSGETLNSQERNSGFSGQNEQVPVSVGKQFVPPKLPPPPPPPPPSRFWEMPVGFRMAQEVNLGIPGPPVLVMPAKPVLVQDHAMPVMANEQMQSNGSVERNEESMKPKLKPLHWDKVRASSDRAMVWDQIKSSSFQLNEEMIETLFVVNNPNFNVKDHNGRRQSLPLLNQENRVLDPKKSQNIAILLRALNVTIEEVCDALLEGNLDTLGTELLESLLRMAPTKEEEYKLKDFKDESPFKLGPAEKFLKEVLDVPFAFKRVDAMLYITNFDSEVEYLKRSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRLEGSRLSGTNQNQTTEKTQQSAFQDEVEFRKLGLQVVSGLGGELTNVKKAAAMDSDVLSSEVAKLATGITKITEVLKLNEEIALKESSWRFSESMNGFMKKAEEEIVMLQAQEKAALSLVKEITEYFHGNSAKEEARPFRIFMVVRDFLSILDHVCKEVGKINERTICSSARPMPSNPTLPPVFPGLIGRHHYGSSDDESSSSSSLEHSM >Potri.018G062600.3.v4.1 pep chromosome:Pop_tri_v4:18:7305256:7307578:-1 gene:Potri.018G062600.v4.1 transcript:Potri.018G062600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062600.v4.1 MLGGERSVIELLENESRGQLGITLLERRNGNQAVQSRSFTSFFFRPYAIGRDLLTIERFGLVQYMILKTFCAFLAFLLELFGVFGDGEFKWYYGYPYIAVVLNFSQMWALYCLVQFYNVTHERLKPIKPLAKFVSFKAIVFATWWQGLGIALLWALGVLPNVKKLRTGLQDFLICIEMAIAAVAHIYVFSAEPYRFIPVSGYGRVTTETTKAEMKFGGGDQEKSAVFEKRETQVAAPGTSVSESVQDIVLEGGQSVVKDVVLTINQAIGPVEKGVTKIQEKIHQRFVGSKDKEASELQVEECVEENLTGEQNI >Potri.018G062600.2.v4.1 pep chromosome:Pop_tri_v4:18:7305256:7307578:-1 gene:Potri.018G062600.v4.1 transcript:Potri.018G062600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062600.v4.1 MLGGERSVIELLENESRGQLGITLLERRNGNQAVQSRSFTSFFFRPYAIGRDLLTIERFGLVQYMILKTFCAFLAFLLELFGVFGDGEFKWYYGYPYIAVVLNFSQMWALYCLVQFYNVTHERLKPIKPLAKFVSFKAIVFATWWQGLGIALLWALGVLPNVKKLRTGLQDFLICIEMAIAAVAHIYVFSAEPYRFIPVSGYGRVTTETTKAEMKFGGGDQEKSAVFEKRETQVAAPGTSVSESVQDIVLEGGQSQVVKDVVLTINQAIGPVEKGVTKIQEKIHQRFVGSKDKEASELQVEECVEENLTGEQNI >Potri.018G062600.1.v4.1 pep chromosome:Pop_tri_v4:18:7305256:7308354:-1 gene:Potri.018G062600.v4.1 transcript:Potri.018G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062600.v4.1 MATGYEDTYRDLHQPAVVIGGCFAIVAVLLSIYLIFQHLKSYTNPAEQKWIVAVIFMVPVYATQSILSLWNPRMSVASDILRNCYEAFALYSFGSYLVACLGGERSVIELLENESRGQLGITLLERRNGNQAVQSRSFTSFFFRPYAIGRDLLTIERFGLVQYMILKTFCAFLAFLLELFGVFGDGEFKWYYGYPYIAVVLNFSQMWALYCLVQFYNVTHERLKPIKPLAKFVSFKAIVFATWWQGLGIALLWALGVLPNVKKLRTGLQDFLICIEMAIAAVAHIYVFSAEPYRFIPVSGYGRVTTETTKAEMKFGGGDQEKSAVFEKRETQVAAPGTSVSESVQDIVLEGGQSVVKDVVLTINQAIGPVEKGVTKIQEKIHQRFVGSKDKEASELQVEECVEENLTGEQNI >Potri.001G380900.1.v4.1 pep chromosome:Pop_tri_v4:1:40103650:40112958:-1 gene:Potri.001G380900.v4.1 transcript:Potri.001G380900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380900.v4.1 MGSDNNNVINRKDYMLLKDFRKEIEVENEKDFSISFWVYLINSSTAAFPATIIKQVYSDISSDAPFLVLNEKKIITLFPFLHAHKETTNFSNSTSMEIEFPLENWIHVGCEVVTDMLRFHINGKIVREQPQSFSLDKSSNSNGLRKITLAGAGGDDALQGYVHHVEVLPLSMSIKEHYVKDPPVRLSIDLSSTSEIDEDSDRIWNIVGGKASCRRIFSLDVVLLNAICQAVNKELEIVASLVYADSGLPVEKTSDDEDPLLASCDGIEFASYDRPGKLLHGHASLKLKISQLSSKCDNRLFRIKLEIPKFSGYHFLEAFSHPIRCISRSRNPRTSLTWKRPTSAADPLNKHQSFGLCNGSLELQQNSIHKIKPSPSSKRIKLGQERTSATGQPDVACYSDTWTTNQVANAVGTQLARGAENIEEADNSPSVSDSIEERLSDFNIMSSGGYSISDVIIFKYCLGGLTDRALLLKEVATSASEEELFRLANEVSLYSGCSHHRRQIVISKRLIEEGTKFWNSISQNNRHIQWENVIFEIEERFMRITCSNTRSLTEQDFELLRRISGCGEYMAQENFEKIWRWLYPVAFTLTSDSINTIWNSTSPKWIEGFITKEEAELSLQGPRGLQEPGTFVLRFPTSRSWPHPDAGCLIVTYVGSDYTVHHRLLSLDYIYSCEESEMNGKSLEDMLFAEPELSRLGRIVRQRQLT >Potri.005G077000.1.v4.1 pep chromosome:Pop_tri_v4:5:5201645:5202741:-1 gene:Potri.005G077000.v4.1 transcript:Potri.005G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077000.v4.1 MSLNPLLLSLLLLSASTIAFSDEDCVYTLYIRTGFIIKGGTDSIISVRLYDMYGDYVGVSNIEAWGGLMEPGHDYFERGNLDIFSGRAPCLSSPACALNLTSDGSGSGHGWYVNYVEVTTTGVHATCSQMKFTIEQWLALDTSPYELTAVRNYCDYYRAKKSAALSSSM >Potri.005G077000.2.v4.1 pep chromosome:Pop_tri_v4:5:5200182:5202737:-1 gene:Potri.005G077000.v4.1 transcript:Potri.005G077000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077000.v4.1 MSLNPLLLSLLLLSASTIAFSDEDCVYTLYIRTGFIIKGGTDSIISVRLYDMYGDYVGVSNIEAWGGLMEPGHDYFERGNLDIFSGRAPCLSSPACALNLTSDGSGSGHGWYVNYVEVTTTGVHATCSQMKFTIEQWLALDTSPYELTAVRNYCDYYRAKKSAALSSSM >Potri.017G078200.1.v4.1 pep chromosome:Pop_tri_v4:17:8670568:8673846:-1 gene:Potri.017G078200.v4.1 transcript:Potri.017G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078200.v4.1 MVNGPGLYSEIGKKARDLLYKDYQTDHKFTLNTSSPTGVTITSSGTKKGDLLAADVNTQLKNKNITTDIKVDTSSNLFTTITVDEPAPGLKTIFSFKVPDQRSGKVEIQYLHDYAAVSSSVGLTVNPIVNFSGVIGTNVASLGTDLSFDTKTGDFIKCNAGVSLSKVDLIASLTLNDKGDSLNASYYHIVNPLTAVGAEVSHSFSSNENTITVGAQHALDPLTTLKARVNNAGKASALVQHQWRPKSFFTVSGEVDTKAIEKTAKVGLALALKP >Potri.010G172700.1.v4.1 pep chromosome:Pop_tri_v4:10:17370764:17373039:1 gene:Potri.010G172700.v4.1 transcript:Potri.010G172700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172700.v4.1 MVTSPRIDSFDYRTELLSPPPPGENASVTSVPSWRLNMDKFQLPERRVDSDVGLGSFFKTMRRQRKIAEYYRKQEELLEGFNEVDSFTELGILPEGLTENEMKQLAKSEKIAIYASNVANLVLFVAKVYASVQSRSMAVIASTLDSLLDLLSGFILWFTDHAMKKQNQYQYPIGKQRMQPVGIVVFASVMATLGLQILFESGRELITKAQPDRDPTKLKWMIGIMVSATIVKFVLMVYCRRFENEIVRAYAQDHLFDVITNCTGLASAVLAIKFFWWLDPIGAILIALYTISNWASTVMENVWSLIGRTAPPEYLAKLTYLIWNHHEEIKQIDTVRAYTFGSHYFVEADIVLPEDMSLGHAHNIGETLQEKLEQLSEVERAFVHIDFEYTHQPEHKPKRAS >Potri.003G161200.1.v4.1 pep chromosome:Pop_tri_v4:3:17099855:17100858:-1 gene:Potri.003G161200.v4.1 transcript:Potri.003G161200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161200.v4.1 MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRAKLTEGCSFRKKSE >Potri.010G133600.1.v4.1 pep chromosome:Pop_tri_v4:10:14956058:14957687:-1 gene:Potri.010G133600.v4.1 transcript:Potri.010G133600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133600.v4.1 MKERGKAVEMYSNDLFDQDYSTSSDLPCKKHPSSSSVGICAHCLKDRLVNLVCSDCGEQRLSSCSCSEISSNRNSCTVEVGSVGRISFLIENEKQKNDQVSQSSNITSKPKSSSKDQKGDELNFMLKRSSSSCVEIKRKSGFWRIGRLFSKKRGKGCDRSSVGGFEEKSDVWVVDYMGVSRCRSLCSFRGGGFFGSEDGTFSGARSSISAARSSISAARNSGVNGGLLFDPDRKSGFSEAEPRKSGFDGEKRDATGTALEPERLDPGHSGPNTRRVFSLKEGNFTTVDDSGFIDLKFDFPSESKADLSSVKMVSLSDSHSALGSLRGGDAVAQDQYGGHFGSLVGDGLFSHGGSCRITVSDRGIKRSRKSFKSWRWIFRQHPSAKKKDEDLVVKPLG >Potri.006G074850.1.v4.1 pep chromosome:Pop_tri_v4:6:5503631:5504036:-1 gene:Potri.006G074850.v4.1 transcript:Potri.006G074850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074850.v4.1 MWLGFSFYFSSSFLSSLLHGEALPLQLSFPFFFYSPFGLFWPSSFSSSHYPVRPPLLIFNLYYYII >Potri.002G203400.2.v4.1 pep chromosome:Pop_tri_v4:2:16761260:16762165:1 gene:Potri.002G203400.v4.1 transcript:Potri.002G203400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203400.v4.1 MSITANLTFFFVLLSSLAISSFTDNLPTTYDVLSGYNFPIGILPKGATGYDLDKATGRFRAYLNGSCSFSLEGSYQLKYKSTVSGYISENRLTDLSGVSVKILFFWLDIVEVVRKGDELEFSVGITSASFAIDNFYECPQCGCGFNCNNVEQATKLRSGSGPFVSSF >Potri.008G166632.1.v4.1 pep chromosome:Pop_tri_v4:8:11501113:11503542:-1 gene:Potri.008G166632.v4.1 transcript:Potri.008G166632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166632.v4.1 MVPRLGQCCKALAEFVEQHLVGHAKSRLRVGSFSSLLSPYLHFGELSVRKVFWCVQMKQLLWSKEENSVGKESVTQFLRSIGLRECSRYLCFNFPFTHERLLLSNLKYFPWNANQVHFKAWRQGRTGYPLVDDGMRELWAIGWIHNRIRAIVSSFAVKVLLLPWRWGLKYFWDTFGCRFGKRYPWLAVYFGQLTRCS >Potri.001G216100.1.v4.1 pep chromosome:Pop_tri_v4:1:22333452:22335287:1 gene:Potri.001G216100.v4.1 transcript:Potri.001G216100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216100.v4.1 MDQIDVPYHFLCPISLQLMRDPVTVSTGITYDRENIEKWLFSCKNKTCPVTKQELFTKDLTPNHTLRRLIQAWCTLNASFGIERIPTPKPLADRAQISKLLNDAKKFPHLLLKSLRRLRSITLESERNRSCLEEAGVVDFLASILKTDNSTSVQIDSDDNESEFTRASDEALNILYHLKISQRQLKNLIINDSDRFLESLLQILKHSSYQSRAYATMLLKSVFEVADPTHLISIRPEMFVEIVRVLDDQISQQASKAALKLLVEICPWGRNRIKAVEGGAVSVLIELLLDTSDKRACELILAVLELLCGCADGRAELLKHGAGLAVVSKKILRVSHVASDKAVRILCSICRFSATSRVLQEMLQVGAVAKLCLILQVDSSLKSKERAREILKVHSKVWRSSACIPAYLMSSYPSS >Potri.010G137900.1.v4.1 pep chromosome:Pop_tri_v4:10:15223978:15226913:-1 gene:Potri.010G137900.v4.1 transcript:Potri.010G137900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137900.v4.1 MEDLWNRAKVFAEEAAKKSQTLTTSSNKIADLVAETAKKSKELALEASKKADEFKVAALKQADQIQIKSISDIIPPQLSSLSIVNTNAFSSSSSSSGSVVSESELRKFGVTDDLRDFVKGLTSVTFQNFPVEDEGEPSDVETTESNVRKDLSEWQERHATFVLTTVKQISKLRYELCPRVMKEGRFWRIYFILVSTHVGPYEKQYTEEVKRKAEEQIQHEKAKESYVVGENSSKSESTPKNLKTETSSVEQDLDSFLLGDLEDSDGGPDDGDASFDDDFDKIDNSDVEDEKHLKKATGTTD >Potri.001G135700.1.v4.1 pep chromosome:Pop_tri_v4:1:11042511:11046272:1 gene:Potri.001G135700.v4.1 transcript:Potri.001G135700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135700.v4.1 MQKLGDFKLPQFFNYPPYFTLQPVRDTREKQVQLWKELILDYCRTQKIFVIGLEEEFPLFSNHLIERSLSNEAREAFLSALVSEGRAEWLDRGHRKCLILWHRIQDWADILLHFVRDNGFEDSVMTVEEIRTGVESRGTELHGIDRTILMRALKLLEHKGKLAIFKGTSTDDEGVKFSV >Potri.012G102100.1.v4.1 pep chromosome:Pop_tri_v4:12:12480046:12480547:1 gene:Potri.012G102100.v4.1 transcript:Potri.012G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102100.v4.1 MEGRVKLASVFVVLVVLGFLVLGPKSVESAFSIQLNPCTLSQCIADCKKALQEKFLSATCTTGSQGKFCICLG >Potri.018G143500.1.v4.1 pep chromosome:Pop_tri_v4:18:14853379:14856789:1 gene:Potri.018G143500.v4.1 transcript:Potri.018G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143500.v4.1 MEEKSFLDRMLGHLRETCKYYTGYPKYLGPSRVIHFTSEREFVQLLHQGYPVVVAFTIRGNYTKHLDRVLEEAAAEFYPHVKVLRVECPKYPGFCITRQRKEYPFIEIFHSPEQAANQGRVADPNITKYSVKVLPFNYDQSAYGFREFFKRHGIRSSPDPK >Potri.008G188150.2.v4.1 pep chromosome:Pop_tri_v4:8:13157834:13175446:-1 gene:Potri.008G188150.v4.1 transcript:Potri.008G188150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188150.v4.1 MSNSNIWHHKLFHYQSLLRPASLEHRSKLDSSGIVAKYLFKAARRSSSFSGNDLKLRRSESGIGGSRRSVIFTPRAVLAMEPSSELAGKFNLDGNIEMQVFVSNSSAASIAQINIQITYSSDSLLLHWGAILDRKEKWVLPSRQPNGTTNYKNRALRSPFIKSGSNSYISIAIDDPATQAIEFLVVDEAKNKWFKDNGQNFHIKLPMREKLIIPNVSVPEELVQIQAYLRWERKGKQMYTPEQEKEEYESARFELLEEVARGTSIEDLRAKLTNKNDRCEIKEPSVSKIKNRIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEKAREELQTELYKGVSIDEIQKKITKGEIKTEESKQLQNKRYFSNERIQRKKWDIMQLVNKHAAKSVEDKVSKSAEGKASVESKVLKAVELFAKKKEEHDGGAVLNKKIFKLADKELLVLVTKPGGKVKVHLATDLEQPVTLHWALSKKAGEWLEPPPNVLPPGSISLQVAAETQLKNESSTEFSYQVQSFEMEIEKDTFIGMPFVLLSNGRWIKNNGSDFYIEFGRGSKHVQKDAGDGIGTARALLDKIAKMESEAQKSFMHRFNIAADLMDQAKDAGELGLAGIFVWMRFMATRQLIWNKNYNVKPREISKAQDRLTNLVQDIYANNPQHRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDCIKSDFDISVYWKTLNDNGITKERLLSYDRAIHSEPNFRRDQRDGLLRDLGNYMRTLKAVHSGADLDSAIGNCMGYRSEGQGFMVGVQTNPVPGLPSGFPELLKFLLEHVEDKNVEALLEGLLEARQELRTLLFKSKDRLKDLLFLDIALDSTVRTVIERGYEELNNARPEKIMYFITLVLENLALSSDDNEDLIYCLKGWKLALSMSNSKSDHWALFSKSVLDRTRLALACKAEWYQNVLQPSAEYLGSLLGVDQWALNIFTEEIIRAGSAAALSTLLNRLDPILRQTAHLGSWQVISPVEAVGYVVAVDELLTVQNKTYKFPTILVAKRVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPDILADLKAYEGKLLHLKPTSADIAYSELKEGKLADSSSTNLKEVSPSPIKLVRKQFRGRYAISSEEFSSEMVGAKSRNISYLKGKVPSWIGIPTSVALPFGVFEKVLNEDLNWEVANKLQLLKKNLAEESSALGQIRHTVLQLAAPPQLVQELKTKMQSSGMPWPGDEGERRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNDLNTPLVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLITDDNFRRTILSSIARAGSAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >Potri.008G188150.5.v4.1 pep chromosome:Pop_tri_v4:8:13157884:13175378:-1 gene:Potri.008G188150.v4.1 transcript:Potri.008G188150.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188150.v4.1 MSNSNIWHHKLFHYQSLLRPASLEHRSKLDSSGIVAKYLFKAARRSSSFSGNDLKLRRSESGIGGSRRSVIFTPRAVLAMEPSSEQLAGKFNLDGNIEMQVFVSNSSAASIAQINIQITYSSDSLLLHWGAILDRKEKWVLPSRQPNGTTNYKNRALRSPFIKSGSNSYISIAIDDPATQAIEFLVVDEAKNKWFKDNGQNFHIKLPMREKLIIPNVSVPEELVQIQAYLRWERKGKQMYTPEQEKEEYESARFELLEEVARGTSIEDLRAKLTNKNDRCEIKEPSVSKIKNRIPDDLVQIQAYIRWEKAGKPNYSPEQQLREFEKAREELQTELYKGVSIDEIQKKITKGEIKTEESKQLQNKRYFSNERIQRKKWDIMQLVNKHAAKSVEDKVSKSAEGKASVESKVLKAVELFAKKKEEHDGGAVLNKKIFKLADKELLVLVTKPGGKVKVHLATDLEQPVTLHWALSKKAGEWLEPPPNVLPPGSISLQVAAETQLKNESSTEFSYQVQSFEMEIEKDTFIGMPFVLLSNGRWIKNNGSDFYIEFGRGSKHVQKDAGDGIGTARALLDKIAKMESEAQKSFMHRFNIAADLMDQAKDAGELGLAGIFVWMRFMATRQLIWNKNYNVKPREISKAQDRLTNLVQDIYANNPQHRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRNNDCKGGMMEEWHQKLHNNTSPDDVIICQALIDCIKSDFDISVYWKTLNDNGITKERLLSYDRAIHSEPNFRRDQRDGLLRDLGNYMRTLKAVHSGADLDSAIGNCMGYRSEGQGFMVGVQTNPVPGLPSGFPELLKFLLEHVEDKNVEALLEGLLEARQELRTLLFKSKDRLKDLLFLDIALDSTVRTVIERGYEELNNARPEKIMYFITLVLENLALSSDDNEDLIYCLKGWKLALSMSNSKSDHWALFSKSVLDRTRLALACKAEWYQNVLQPSAEYLGSLLGVDQWALNIFTEEIIRAGSAAALSTLLNRLDPILRQTAHLGSWQVISPVEAVGYVVAVDELLTVQNKTYKFPTILVAKRVKGEEEIPDGTVAVLTPDMPDVLSHVSVRARNSKVCFATCFDPDILADLKAYEGKLLHLKPTSADIAYSELKEGKLADSSSTNLKEVSPSPIKLVRKQFRGRYAISSEEFSSEMVGAKSRNISYLKGKVPSWIGIPTSVALPFGVFEKVLNEDLNWEVANKLQLLKKNLAEESSALGQIRHTVLQLAAPPQLVQELKTKMQSSGMPWPGDEGERRWEQAWMAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRALSFICKKNDLNTPLVLGYPSKPIGLFIKRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEEKVVLDYSSDPLITDDNFRRTILSSIARAGSAIEELYGSPQDIEGVIRDGKVYVVQTRPQM >Potri.007G086250.1.v4.1 pep chromosome:Pop_tri_v4:7:11119435:11120543:1 gene:Potri.007G086250.v4.1 transcript:Potri.007G086250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086250.v4.1 MPIKEKSSATLYSLHHSQPFFARFQLKKQPCLHLSSIHISLPSLPIIIALSTTTKHIFLLTTLPQQSTTQTSYHRLLPISSTKTHPKMASLSTNNDDSSSSRKST >Potri.005G225700.1.v4.1 pep chromosome:Pop_tri_v4:5:22650598:22652327:1 gene:Potri.005G225700.v4.1 transcript:Potri.005G225700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225700.v4.1 MGSLPPFLDLPSPDAKFQQIDQTTLLNLFKSQQNHLNYFFQNLNLSQALTFTQTLLNCNGTIFFSGVGKSGFVANKISQTLISLGIRAGFLSPVDALHGDIGALSSSDILVLFSKSGNTEELLRLVPCAKAKGAYLVSVTSVEGNALTAVCDMNVHLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLSKEEYAANHPAGRIGKSLIFKVKDVMKKQNELPICKEGDLIMDQLVELTSKGCGCLLVIDEDSHLIGTFTDGDLRRTLKASGEGIFKLTVGEMCNRNPRTIGPDAMAVEAMKKMESPPSPVQFLPVIKDDNILIGIVTLHGLVSAGL >Potri.007G123200.1.v4.1 pep chromosome:Pop_tri_v4:7:14019589:14022735:1 gene:Potri.007G123200.v4.1 transcript:Potri.007G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123200.v4.1 MDAEWVALLLIQKFQALLLDGEETITNPSLRNQVQEATNKLILLHQSIRVSTNEEQTERLLHAFYSAEDAADTFLARTLLLQRQKLRGYNETICQPFRGFKDFWIQFLFTVQIKKFMSLIEHAGCNNNISGSSLQDGTILNPRHQGTRRASSYLEVGKDVVGLGDQAKELEERLICTNENIQAATHELISVAGERGSGKTTLVRMVYEKVRIKKHFKYCIWVNVSNYVVKGRDVVLDMLKQVDEVLAEAEESLFEQELVSRLGEVLRKDRYLVVVDDVGAPGVWERLQNVIPNSENGSRIVVTTRNMDVAAFASTTLQMGRLSNEESWELFLKKVCSAEDTLSKNLDLSLITFKEKILKLCDGLPLPISLMGGILSKVELSNSEWSRVIEDADNLHGDILALSYKELPYRMKPCFLYMGMFPKGFEVPVRRLFQLWIAERLVTPSRSGELGPGEVTEVFLEELIYRNMIEVARWRSDGIPKTCRMPGAIYDIFSPKAAAEIGLFYIHCKSHYSSGDQPSFPVRRLASYLSIKNYPSLDWYTRSLRSYISFSTHKGGIPAREIGKFLNKIILRRDVGWLTVLDLEGVYKPRLPKSFQKLLNLKHLGLRSTALESLPESVCDLPCLETLDVKHTMITSLPGSIWTAKNLQHLYMDWIHFDDISIQVSSDSEPLSKLQTLWGLSISQHSPILNSLFKITCLRKLALRFSSTSQEPINNWISQLTTLQSLRLRSIKNTGERGSIKLSALSDHQKLLDLYLLGVLPRPINIKLLPPNLKILTLSMSQLRKDPMRTLGQLPYLNILRLLADSYMGEELACYQGGFPQLHVLKLWKLVELRELIVKEGAMPCLKQVEIRACKRLEKLEGLSKLTTLKELILTNMPSQFAKEVKENMGTDVFVKENEWKSYPLLA >Potri.011G119400.1.v4.1 pep chromosome:Pop_tri_v4:11:15030245:15040648:1 gene:Potri.011G119400.v4.1 transcript:Potri.011G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119400.v4.1 MGCLCCKPSAIEDSKESPRERLSSKASSDLRVSRATSSRREEAYRAKDRCDGNDGRTMLIDKQVNGSLRVHGGEHVERKRDKSEYAVVHHPGMGSIPKATEGEQVAAGWPSWLAAVAGEAIKGWLPRRADSFEKLDKIGQGTYSNVYRARDLDQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLAAHPGLKFTEAQVKCYMQQLLRGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPAHVQPLTSRVVTLWYRPPELLLGATYYGTAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVADTFKEFPPPALALMETLLSIDPADRGSAASALRSEFFITKPLPCDPSSFPKYPPSKEFDAKMRDEEARRQGAAGSKGQKSDMERRGRRESRAVPAPDANAELVLSMQKRHGQSNSKSRSEKFNPHPEEVASGFPIDPPRPSQAAESNMDPQGHQHKRASHSGPLSHRAAWAKASRNPDDAPKISTGADLSTISSLVAARRSLLSEDRNERSGLAQPEVPKLMARFPGSFKETSESFTQQDPRHPSQGVAGFHQKEDGRNSSKDPVLLGYGSKGHKIHYSGPLIVPSGNVDQMLKDHDRQIQEAVRRARLDKEKVRKVQAESNQISTNSLFVSGR >Potri.005G143200.1.v4.1 pep chromosome:Pop_tri_v4:5:11514488:11517579:-1 gene:Potri.005G143200.v4.1 transcript:Potri.005G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G143200.v4.1 MEMIQSHKTRYPLPSVLFLLHMLSLHLPSLAYTVPDKYFISCGSDTNSTASGRTFIGDLTSGNSGSFTFTRQSSPVKDSNKSTATPPLYQTARIFRERSSYEFVISSAGTYLVRLHFFSFSYSANLSTALFNVLASEISLVGNFSVPLRSNSPLIKEFFINITVGKFPIYFIPQGSSFAFVNAIELFLAPENFIPSSALLVSPAGSEGKNEDILSMVLLTIHRINVGGPTLSPENDTLWRYWVPDDSFLYSPDTAKNISSLSSKPNSQGGVSKYIAPDLVYQTAKEMNINNSRSSNNFNITWSFNVSKNAMHFVRVHFCDFLSASPGALLRFNLYIYSNFSLPISPYETTGQLAAPFHVDYVVDSDDSGIMRISIGPRQDSGNHTAFLNGLEIMEIMGELGKVARTSDPKNKNTSVFVVGGSVLGGLVLICILAVVLCLGRRCRKPKVMETLDWSPVPVHRGGSTDSRLRVPEGAMFGSLTPNLNLGLRISFAEIQFATNNFDIKKKIGKGGFGTVFRGTLSNGTEVAVKRSEPGSHQGLPEFQTEIIVLSKIRHRHLVSLIGYCDENSEMILVYEFMEKGTLRDHLYDSALPSLPWKQRLEICIGAANGLHYLHRGSSGGFIHRDVKSTNVLLDENYVAKVADFGLSRLSGPPDQTHVSTVVKGTFGYLDPDYFKTQQLTEKSDVYSFGVVLLEVLCARPAINTLLPLEQVNLAEWAMFCKKKGMLEQIVDASIRSEINLNCLRKFVDTAERCLEEYGVDRPNMGDVVWDLEYALQLQQTAMPRELHEDSTTDASAMLALPNIQHLPSLSMSMERDDMPMLREDLSNSPAIEVFSQLRIDDAR >Potri.015G045200.1.v4.1 pep chromosome:Pop_tri_v4:15:4367261:4371364:-1 gene:Potri.015G045200.v4.1 transcript:Potri.015G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045200.v4.1 MDPNKSFAFRKLLSIYNCIFLFTYLATQTVSQHPKFKSCELKNCGAGPNISYPFWLSQEQEPFCGHPNFMLTCSDKRPALTISNDVYIIKDISYATNSMRVANAAVYEETCPPLLHNISLDRTPLTISPGYTNFSFFYNCTLKPEDYYTLYAISCATNSTHYSIAGFHLEEIEMRSNYSLNSCHDFANAPIHTGEDIGSLLGENYIEVLKMGFLMNWTAHNCSTCESSGGRCGFENNEFICFCRDRPRLKSCDAGSSLNVRRKIIIIIGVVSGSAGILFICVILYSRRKASSTTTPIFLKKKHNQHLEVFIRNHGPLALKRYNLSDVKKMTNFFKDKLGQGGYGIVYKGKLQDGHLVAVKVMQASKGDGEEFINEVASISRTSHVNIVTLLGFCLEGDKRVLIYDFMVNGSLEKFINHENALQANQQLGWNKLYQILVGVARGLEYLHRGCNTRIVHFDIKPHNILLDADFSPKISDFGLAKPCTRKESNVSLLEARGTIGYIAPEVFSRNFGQVSHKSDVYSYGMMALEIVGGRKNHEAEMSSSSEKYFPDWIYRHLELDDEFELNGVTNAEQSDIMRQIAIVGLWCILTNPSDRPSMRKVIEMLEGPLGALKIPPKPRLYSPPRLLSYSSTTSLT >Potri.014G101000.1.v4.1 pep chromosome:Pop_tri_v4:14:6676714:6680084:-1 gene:Potri.014G101000.v4.1 transcript:Potri.014G101000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101000.v4.1 MANLYVTAVPPADLNRNTEWFMYPGVWTTYILILFFSWLIVLSIFACSPGMAWTIVNLSHFAITYHFFHWKKGTPFAEDQGIYNRLTWWEQMDSGKQLTRNRKFLTVVPLVLYLIASHTTDYRHPMLFFNTVAVIVLVVAKFPNMHKVRIFGINADK >Potri.008G194300.1.v4.1 pep chromosome:Pop_tri_v4:8:13712014:13716640:-1 gene:Potri.008G194300.v4.1 transcript:Potri.008G194300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194300.v4.1 MLHSITHAPNCSVDLSPRIFLPSMQLEATSNSHNLLIKGKVSNQELRFLAVKETSGFLELKRSWWGSGGGFVRRCNRRDWESCGSDIALETEILEFMKNSENPLMFPSKKQLIDAGRMDLVDAILKEGGWLALGWDFDDNADVVDCYSSLTDNKECSTAEIQDKALECNKGQSSQVSCSSSSTSLETATEDDAGIGGILYRLEKERNMNLGFALKEIESTTRVQSSDINHDWLPKTTKNGTVAGLNVDNIPGLLNPNSGVINDLEGELDHSRSFSNTDGSGNSLNPDTWRIWSIKRAGFSDMQFEAEELSSNRTRTGSEENVLGDEILETKEGSSETVSRSQENCSDGGINQNQVRSLRDLELELSSVLQALKSNTGESLSQKVDNGSSSGDFLKLSDSWEFQENEIMNAQDKLRSIRAKLAVLEGKMALAIIDAQKFVEEKQKRIDDASRALQLLRAACIVWPSSALEVFLSGSFDGWATQRRMEKSSVGIFSLYLKLYPGRYEIKFVVDGEWKIDPLRPIVNNNGYENNLLIIK >Potri.017G010900.2.v4.1 pep chromosome:Pop_tri_v4:17:836847:839786:-1 gene:Potri.017G010900.v4.1 transcript:Potri.017G010900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010900.v4.1 MASLERIISLGPWGGLGGDHWSYRASGGITEIVLRVEGNIKSISFKDASGLVSGTFGGRGNDPNDRGEQKKIEIQWPSEYLKSISGTYGSYKGLLVITSLSFITNLTTYGPFGTAPGETFSIPIADSAVVGFHGRCGYYLDALGIFVTPANSHGSISVGQWGGPGGDPFSFRVGSWIKEIIVHEGTNIKSLSFKDGNDQEYGKFGGNNANDTGEERRIEIDGLSEHLTSITGTYGNYAGIVVITSLSFITNLTTHGPFGTATGTSFSVPIEGSVVIGFHGRGGHYLDAIGIHVKPRDIEGTISIGPWGGQGGNPWSYMTNQGINQIVINVGSNIKSISFRDTTDLDSATFGGNNPNDIGERKTVLINWPSEHLISISGTYGNFSTLLTITSLSFTTNRATYGPFGTGSGTPFSIPINNNTVVGFHGRAGHYLDAIGIFVKPETTI >Potri.006G267000.1.v4.1 pep chromosome:Pop_tri_v4:6:26185738:26187301:1 gene:Potri.006G267000.v4.1 transcript:Potri.006G267000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267000.v4.1 MPPQKHATQTARKNLGEEGMSLNVLPEGCIANVLAFTGPRDACRLSIVSSLFKSAGESDAVWERFLPRDYQSIIFTSDSYVLLSSLSSKKELYLRLCEKPIIIDDGKKSFSLVKKSGKKCYMLSARDLMIVWGDTPTYWRWNSDSSSRFGEVAELIGVCWLEICGKINATMLSPATLYAAYLVFKPKEGAYGLDYQPVEVGVGLVGSENGKRNVYLDSQRGRAHRYHLVRRRIGLHNRSRIVGMQEPVPASENNGQHPKERGDGWLEIELGEFFCKEGEDGELEMRVQEVKSGDWKGGLTVEGIEIRPKEG >Potri.019G090900.1.v4.1 pep chromosome:Pop_tri_v4:19:12892698:12893370:-1 gene:Potri.019G090900.v4.1 transcript:Potri.019G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090900.v4.1 MANIPTATRVLVVLLVVFMMLMRFEAAPIHTLKELDKRLLLSKVLNAKSRMEFHGRRMSISESATDRLSPEGPNHEHHSHPPGNP >Potri.006G068700.1.v4.1 pep chromosome:Pop_tri_v4:6:5045407:5049499:1 gene:Potri.006G068700.v4.1 transcript:Potri.006G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068700.v4.1 MSFKKKKFTLPPIIKALDKLPSPPPQPPLPPLPKPPPTTHLAPLPTLTLTPTSNHTNLLHFLNSHLTKIQPLTPQNLLHFFKTKLHHHPHFSHYDFHIFNWVSTIDSFSHDHQTFEWMARTLAITNRLEELALLLQFMSSNPCPCSEGIFSCPRIEPIFQFCINAYCKARKLDDAFLAFECMRKLIDGRPSVVVYNILINGCVKCGEHDRAIGVYDRMLKDRVKPDVFTFNILISSYCRNYMFELALELFREMKEKGCSPNVVSFNTLIKGFFRERKFEEGVKMVYEMIDLGCEISSVTFEILVDGLCKEGQASEACGLLIDFTRKGVLPRKFDSFGLVDMLCKKRMADRALEVLDELWRNGNIPSMISCTTLIEGLRKSGRREEAFGLMERMLKENIVPDIMTFNCLLHDLCNEGRTVDGNKLRLLASRKGLDVDEMTYDILVSGCIREGKRKEGEALVDEMLDKEFIPDLATYNRFIDGLSKTRSSAQ >Potri.014G148200.2.v4.1 pep chromosome:Pop_tri_v4:14:10172311:10174217:-1 gene:Potri.014G148200.v4.1 transcript:Potri.014G148200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148200.v4.1 MAGAGVTLEELKKRLAEFAKERDWDQFHSPRNLLLAMVGEVGELSEIFQWKGEVPRGLPNWKDEEKEHLGEEISDVLLYLVRLSDICGVDLGKAAMRKLELNAIKYPIKPCKGSSEKHTQINAASHNNASGDGVAGSIYSDNRTSNSGV >Potri.004G196800.5.v4.1 pep chromosome:Pop_tri_v4:4:20894760:20900213:-1 gene:Potri.004G196800.v4.1 transcript:Potri.004G196800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196800.v4.1 MTRILVQRGSGSSSNQSRAGSSSSAARPDTQGTSILQAVSTVKDEDVDEEVQEQVVVDEFLENGGSHDNKVVKSDELLMGSTNGDRSDSLNDEIVDNDKVGNEEGVGSGDSVKGLGGLILERSHVESEGSSSDPPENGSGSPQPPPPPVPPPKPDLTNSNSRRFISGTSNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGEIEGYNSADEQNPCYVSSYDDFERERQFEIDIRRSKGLEVKRMLEDGNCLFRAVTDQVYGDSEMYDLARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIGSYNTDTPPIQLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQVKAAIKAQQDQQIDNALLAEGQFYSDLEVTEKEIERMVMEASRAEYLADNKFKPQLGRKGSSTSGAEPSSSGARSSSASETTKVEGAREHGSQDTVLSSSMQIVLSMGFSYLQAIEAYSIFGDDVDSMVCYLVETSSSSSSRRKGKATE >Potri.004G196800.1.v4.1 pep chromosome:Pop_tri_v4:4:20894720:20900213:-1 gene:Potri.004G196800.v4.1 transcript:Potri.004G196800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196800.v4.1 MTRILVQRGSGSSSNQSRAGSSSSAARPDTQGTSILQAVSTVKDEDVDEEVQEQVVVDEFLENGGSHDNKVVKSDELLMGSTNGDRSDSLNDEIVDNDKVGNEEGVGSGDSVKGLGGLILERSHVESEGSSSDPPENGSGSPQPPPPPVPPPKPDLTNSNSRRFISGTSNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGEIEGYNSADEQNPCYVSSYDDFERERQFEIDIRRSKGLEVKRMLEDGNCLFRAVTDQVYGDSEMYDLARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIGSYNTDTPPIQLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQVKAAIKAQQDQQIDNALLAEGQFYSDLEVTEKEIERMVMEASRAEYLADNKFKPQLGRKGSSTSGAEPSSSGARSSSASETTKVEGAREHGSQDTVLSSSMQIVLSMGFSYLQAIEAYSIFGDDVDSMVCYLVETSSSSSSRRKGKATE >Potri.004G196800.4.v4.1 pep chromosome:Pop_tri_v4:4:20894562:20900154:-1 gene:Potri.004G196800.v4.1 transcript:Potri.004G196800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196800.v4.1 MTRILVQRGSGSSSNQSRAGSSSSAARPDTQGTSILQAVSTVKDEDVDEEVQEQVVVDEFLENGGSHDNKVVKSDELLMGSTNGDRSDSLNDEIVDNDKVGNEEGVGSGDSVKGLGGLILERSHVESEGSSSDPPENGSGSPQPPPPPVPPPKPDLTNSNSRRFISGTSNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGEIEGYNSADEQNPCYVSSYDDFERERQFEIDIRRSKGLEVKRMLEDGNCLFRAVTDQVYGDSEMYDLARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIGSYNTDTPPIQLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQVKAAIKAQQDQQIDNALLAEGQFYSDLEVTEKEIERMVMEASRAEYLADNKFKPQLGRKGSSTSGAEPSSSGARSSSASETTKVEGAREHGSQDTVLSSSMQIVLSMGFSYLQAIEAYSIFGDDVDSMVCYLVETSSSSSSRRKGKATE >Potri.004G196800.3.v4.1 pep chromosome:Pop_tri_v4:4:20894735:20900157:-1 gene:Potri.004G196800.v4.1 transcript:Potri.004G196800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196800.v4.1 MTRILVQRGSGSSSNQSRAGSSSSAARPDTQGTSILQAVSTVKDEDVDEEVQEQVVVDEFLENGGSHDNKVVKSDELLMGSTNGDRSDSLNDEIVDNDKVGNEEGVGSGDSVKGLGGLILERSHVESEGSSSDPPENGSGSPQPPPPPVPPPKPDLTNSNSRRFISGTSNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGEIEGYNSADEQNPCYVSSYDDFERERQFEIDIRRSKGLEVKRMLEDGNCLFRAVTDQVYGDSEMYDLARQMCVDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIGSYNTDTPPIQLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQVKAAIKAQQDQQIDNASIFNVVQLMFCVDIWLARIVTKLSFMMLNADRHFWLRGSFTLILRLLRRRSNAW >Potri.006G052400.1.v4.1 pep chromosome:Pop_tri_v4:6:3624925:3627209:-1 gene:Potri.006G052400.v4.1 transcript:Potri.006G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052400.v4.1 MAERPPAERGSFGRGFGGRGGRGDRGGRGRRRGGRKEEEEKWVPVTKLGRLVKDGKISSVEQIYLHSLPIKEYQIIDTLIGPALKDEVMKITPVQKQTRAGQRTRFKAFVVVGDCNGHVGLGVKCAKEVATAIRGAIILAKLSIIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWKETRFIRSPFQEYTDLLAKPTSKVLIAEVQQE >Potri.012G084900.1.v4.1 pep chromosome:Pop_tri_v4:12:11063832:11065927:-1 gene:Potri.012G084900.v4.1 transcript:Potri.012G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084900.v4.1 MLRSPLLLFLFFYPSFGLDFLFNSFNATNPGVTLIPDAGVDTSVIRLLNDTNQNSIGRAFYPTKIKMKQTQNSTTTLFSFSTSFVFSILPNIASSPGFGLAFVLSNWTNPPGAFPGQYFGLFTNTTVSSAAPLLAVEFDTGQNPEFNDPDRNHIGIDLNNPVSSLTKPGGYNSSAGFVPVSMGNGQNVRAWIDFDGPNFQINVTVAPVGVSRPSVPILSFKDPVIANYTSEEMYVGFSASKTTWVEAQRILAWSFSDTGVARDINVTNLPVFSLPSSSNSLSAGSIAGITIGCVVLFVICVFVVSWFWYKQKLRDSEEDEIEDWELEYWPHRFSYGELSQATNGFSIDQLLGSGGFGKVYRGTLSNNSDIAVKCVNHDSKQGLKEFMAEISSMGRLQHKNLVQMRGWCRKASELMLVYDYMPNGSLDRYIFHKPKKLLNWQQRRQVLADVAEGLNYLHHGWEKVVVHRDVKSSNILLDSDMRGRLGDFGLAKLYSHNEVPNTTRVVGTLGYLAPEMATMAIATSASDVYSFGVVILEVVCGRKPVEMGSNEDEDSVLIDVVRDLYATGKVVEAVDERMKGEFVAEEMELVLKLGLVCCHPDSQRRPSMREVVAILVGEDVAAAPAELLNVLASGGRVGDGSNHGGEEVALTLDEPQV >Potri.012G084900.3.v4.1 pep chromosome:Pop_tri_v4:12:11063643:11065490:-1 gene:Potri.012G084900.v4.1 transcript:Potri.012G084900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084900.v4.1 MGNGQNVRAWIDFDGPNFQINVTVAPVGVSRPSVPILSFKDPVIANYTSEEMYVGFSASKTTWVEAQRILAWSFSDTGVARDINVTNLPVFSLPSSSNSLSAGSIAGITIGCVVLFVICVFVVSWFWYKQKLRDSEEDEIEDWELEYWPHRFSYGELSQATNGFSIDQLLGSGGFGKVYRGTLSNNSDIAVKCVNHDSKQGLKEFMAEISSMGRLQHKNLVQMRGWCRKASELMLVYDYMPNGSLDRYIFHKPKKLLNWQQRRQVLADVAEGLNYLHHGWEKVVVHRDVKSSNILLDSDMRGRLGDFGLAKLYSHNEVPNTTRVVGTLGYLAPEMATMAIATSASDVYSFGVVILEVVCGRKPVEMGSNEDEDSVLIDVVRDLYATGKVVEAVDERMKGEFVAEEMELVLKLGLVCCHPDSQRRPSMREVVAILVGEDVAAAPAELLNVLASGGRVGDGSNHGGEEVALTLDEPQV >Potri.012G084900.2.v4.1 pep chromosome:Pop_tri_v4:12:11063793:11065713:-1 gene:Potri.012G084900.v4.1 transcript:Potri.012G084900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084900.v4.1 MKQTQNSTTTLFSFSTSFVFSILPNIASSPGFGLAFVLSNWTNPPGAFPGQYFGLFTNTTVSSAAPLLAVEFDTGQNPEFNDPDRNHIGIDLNNPVSSLTKPGGYNSSAGFVPVSMGNGQNVRAWIDFDGPNFQINVTVAPVGVSRPSVPILSFKDPVIANYTSEEMYVGFSASKTTWVEAQRILAWSFSDTGVARDINVTNLPVFSLPSSSNSLSAGSIAGITIGCVVLFVICVFVVSWFWYKQKLRDSEEDEIEDWELEYWPHRFSYGELSQATNGFSIDQLLGSGGFGKVYRGTLSNNSDIAVKCVNHDSKQGLKEFMAEISSMGRLQHKNLVQMRGWCRKASELMLVYDYMPNGSLDRYIFHKPKKLLNWQQRRQVLADVAEGLNYLHHGWEKVVVHRDVKSSNILLDSDMRGRLGDFGLAKLYSHNEVPNTTRVVGTLGYLAPEMATMAIATSASDVYSFGVVILEVVCGRKPVEMGSNEDEDSVLIDVVRDLYATGKVVEAVDERMKGEFVAEEMELVLKLGLVCCHPDSQRRPSMREVVAILVGEDVAAAPAELLNVLASGGRVGDGSNHGGEEVALTLDEPQV >Potri.018G073125.1.v4.1 pep chromosome:Pop_tri_v4:18:9197442:9201426:1 gene:Potri.018G073125.v4.1 transcript:Potri.018G073125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G073125.v4.1 MTLKFGDLPRVCLVRELPDRSLPLALETQTIRRGPSSNLHSSISTIYLASQASQQHPQASAAASSPSKHSFSVHCSKPDPLVCACLSSIGVYNSIKFEF >Potri.016G141100.1.v4.1 pep chromosome:Pop_tri_v4:16:14395748:14397171:-1 gene:Potri.016G141100.v4.1 transcript:Potri.016G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141100.v4.1 MGLLRSLAMLSLLTLIVWLPGIIAQSINSARPLDALLQDYAYRAFVRPRTGIAYDGTVPSNLTGIKIAAMRLRSGSLRRKGVKMYKEFGIPEGVVVVQYVERLVLVYQNLGNWSLTYYPLPGYAYLAPVLGLIAYSASNLSATNLRELDIRVSGDPLNITFPDVQSPPVGSIAKCVGFDLQGRPTFSNVSSGNVCLTTQQGHFSIVAESPAPSPTPVSPSPSPPNVGPVPSGRGKKNSKKVGIIVGSVLGGLLLLVFLSFFVLWAQKLKQRKKMQQMERAAEVGESLQMTSVGETKAPAAMVTRTQPTLENEYVP >Potri.018G118089.1.v4.1 pep chromosome:Pop_tri_v4:18:13362524:13364217:1 gene:Potri.018G118089.v4.1 transcript:Potri.018G118089.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118089.v4.1 MLSWEFLRKSSELARRVLANQRTCTRALLTQSEGPDPLPVAASLSRSSFGGSNNGEHKSGDALLKFLLRSVASGVVIVGTSLSFSNWYPSLVDKCSFVSFADSADDAAWVSSDDLLPHKKKKRFLFGDSYRRRVFFNYEKRIRLQSPPEKVFEYFASFKTPDGEVLMTPADLMRAVVPVFPPSESNQVREGFLRGETVPGELHCVLT >Potri.003G072400.3.v4.1 pep chromosome:Pop_tri_v4:3:10023344:10024356:-1 gene:Potri.003G072400.v4.1 transcript:Potri.003G072400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072400.v4.1 MLKQNSHVLLKKAPTLLVRYSLKDKVFENQAEGIVCYRDDSGEIICEGFDEGPRFHQPLPSSSYHSRDAEIINLLKQGLHQIVNGGEFNNTANGVIAVQEDCNQNGFNRSC >Potri.003G072400.1.v4.1 pep chromosome:Pop_tri_v4:3:10023344:10024328:-1 gene:Potri.003G072400.v4.1 transcript:Potri.003G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072400.v4.1 MAETCFSSPHIPFQKSGPVLKTASKSRMLKQNSHVLLKKAPTLLVRYSLKDKVFENQAEGIVCYRDDSGEIICEGFDEGPRFHQPLPSSSYHSRDAEIINLLKQGLHQIVNGGEFNNTANGVIAVQEDCNQNGFNRSC >Potri.016G028900.3.v4.1 pep chromosome:Pop_tri_v4:16:1585150:1592985:-1 gene:Potri.016G028900.v4.1 transcript:Potri.016G028900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028900.v4.1 MANLGLFSGTKTAKSVNVSFRLPYYTQWGQSLLVCGSERVLGSWDVKKGLLLSPVQQGEELIWGGSISVPSEFSGEYSYYVVDDKKSVLRWEMGKKRKLVLPEGINGGEHVELHDLWQAGGDAIPFRSAFKDVIFRRSWGLNIERPLGIQNKLDKEVDAVVVHFKICCPDVEEETSVYVIGSTAKLGQWKVQDGLKLNYAGDSVWQAGALMQKGDFPIKYKYCKYGKAGNFSLETGAHRDLSIDSSKVPPRYIFLSDGMMREMPWRGAGVALPMFSVRSEADLGVGEFLDLKLLVDWAVVSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVEALSENLPETIKKEIQEAREQLDGKDVDYEATLATKLSIAKKVFVQEKDLILNSRSFQKYFSENEQWLKPYAAFCFLRDFFETSDHSQWGRFSCFTEKKVEKLVSKDSLHHDIIRFHYYIQFHLHTQLTEAAEYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSKEELEREGIWDFDRLSLPYIRQEFVQERFGASWTFIVSNFLNDYQKGRYVFKEDCDTEKKIASKLKMLAEKSMLLESEDKIRRDLFDLLKNIVLIRDPEDESKFYPRFNLEDTSSFQDLDDHSKNVLKRLYYDYYFHRQENLWRQNALKTLPALLDSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMSSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRCRYFKNVVGSDGIPPSRCVPEIAHFVLRQHVEAPSMWAIFPLQDLLALKEEYTTRPAAEETINDPTNPKHYWRYRVHVTLESLMNDKELISSIKGLVRGSGRSHPSVEETDEQGNQETIVMVTGKHQAAKGLEKISFEKQLTGVPRPETYVL >Potri.016G028900.2.v4.1 pep chromosome:Pop_tri_v4:16:1585140:1593016:-1 gene:Potri.016G028900.v4.1 transcript:Potri.016G028900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028900.v4.1 MANLGLFSGTKTAKSVNVSFRLPYYTQWGQSLLVCGSERVLGSWDVKKGLLLSPVQQGEELIWGGSISVPSEFSGEYSYYVVDDKKSVLRWEMGKKRKLVLPEGINGGEHVELHDLWQAGGDAIPFRSAFKDVIFRRSWGLNIERPLGIQNKLDKEVDAVVVHFKICCPDVEEETSVYVIGSTAKLGQWKVQDGLKLNYAGDSVWQAGALMQKGDFPIKYKYCKYGKAGNFSLETGAHRDLSIDSSKVPPRYIFLSDGMMREMPWRGAGVALPMFSVRSEADLGVGEFLDLKLLVDWAVVSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVEALSENLPETIKKEIQEAREQLDGKDVDYEATLATKLSIAKKVFVQEKDLILNSRSFQKYFSENEQWLKPYAAFCFLRDFFETSDHSQWGRFSCFTEKKVEKLVSKDSLHHDIIRFHYYIQFHLHTQLTEAAEYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSKEELEREGIWDFDRLSLPYIRQEFVQERFGASWTFIVSNFLNDYQKGRYVFKEDCDTEKKIASKLKMLAEKSMLLESEDKIRRDLFDLLKNIVLIRDPEDESKFYPRFNLEDTSSFQDLDDHSKNVLKRLYYDYYFHRQENLWRQNALKTLPALLDSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMSSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRCRYFKNVVGSDGIPPSRCVPEIAHFVLRQHVEAPSMWAIFPLQDLLALKEEYTTRPAAEETINDPTNPKHYWRYRVHVTLESLMNDKELISSIKGLVRGSGRSHPSVEETDEQGNQETIVMVTGKHQAAKGLEKISFEKQLTGVPRPETYVL >Potri.016G028900.4.v4.1 pep chromosome:Pop_tri_v4:16:1585215:1592761:-1 gene:Potri.016G028900.v4.1 transcript:Potri.016G028900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028900.v4.1 MANLGLFSGTKTAKSVNVSFRLPYYTQWGQSLLVCGSERVLGSWDVKKGLLLSPVQQGEELIWGGSISVPSEFSGEYSYYVVDDKKSVLRWEMGKKRKLVLPEGINGGEHVELHDLWQAGGDAIPFRSAFKDVIFRRSWGLNIERPLGIQNKLDKEVDAVVVHFKICCPDVEEETSVYVIGSTAKLGQWKVQDGLKLNYAGDSVWQAGALMQKGDFPIKYKYCKYGKAGNFSLETGAHRDLSIDSSKVPPRYIFLSDGMMREMPWRGAGVALPMFSVRSEADLGVGEFLDLKLLVDWAVVSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVEALSENLPETIKKEIQEAREQLDGKDVDYEATLATKLSIAKKVFVQEKDLILNSRSFQKYFSENEQWLKPYAAFCFLRDFFETSDHSQWGRFSCFTEKKVEKLVSKDSLHHDIIRFHYYIQFHLHTQLTEAAEYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSKEELEREGIWDFDRLSLPYIRQEFVQERFGASWTFIVSNFLNDYQKGRYVFKEDCDTEKKIASKLKMLAEKSMLLESEDKIRRDLFDLLKNIVLIRDPEDESKFYPRFNLEDTSSFQDLDDHSKNVLKRLYYDYYFHRQENLWRQNALKTLPALLDSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMSSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRCRYFKNVVGSDGIPPSRCVPEIAHFVLRQHVEAPSMWAIFPLQDLLALKEEYTTRPAAEETINDPTNPKHYWRYRVHVTLESLMNDKELISSIKGLVRGSGRSHPSVEETDEQGNQETIVMVTGKHQAAKGLEKISFEKQLTGVPRPETYVL >Potri.016G028900.5.v4.1 pep chromosome:Pop_tri_v4:16:1585184:1592763:-1 gene:Potri.016G028900.v4.1 transcript:Potri.016G028900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028900.v4.1 MANLGLFSGTKTAKSVNVSFRLPYYTQWGQSLLVCGSERVLGSWDVKKGLLLSPVQQGEELIWGGSISVPSEFSGEYSYYVVDDKKSVLRWEMGKKRKLVLPEGINGGEHVELHDLWQAGGDAIPFRSAFKDVIFRRSWGLNIERPLGIQNKLDKEDAVVVHFKICCPDVEEETSVYVIGSTAKLGQWKVQDGLKLNYAGDSVWQAGALMQKGDFPIKYKYCKYGKAGNFSLETGAHRDLSIDSSKVPPRYIFLSDGMMREMPWRGAGVALPMFSVRSEADLGVGEFLDLKLLVDWAVVSGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVEALSENLPETIKKEIQEAREQLDGKDVDYEATLATKLSIAKKVFVQEKDLILNSRSFQKYFSENEQWLKPYAAFCFLRDFFETSDHSQWGRFSCFTEKKVEKLVSKDSLHHDIIRFHYYIQFHLHTQLTEAAEYARKKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSKEELEREGIWDFDRLSLPYIRQEFVQERFGASWTFIVSNFLNDYQKGRYVFKEDCDTEKKIASKLKMLAEKSMLLESEDKIRRDLFDLLKNIVLIRDPEDESKFYPRFNLEDTSSFQDLDDHSKNVLKRLYYDYYFHRQENLWRQNALKTLPALLDSSDMLACGEDLGLIPACVHPVMQELGLIGLRIQRMSSEPDLEFGIPSQYSYMTVCAPSCHDCSTLRAWWEEDEERRCRYFKNVVGSDGIPPSRCVPEIAHFVLRQHVEAPSMWAIFPLQDLLALKEEYTTRPAAEETINDPTNPKHYWRYRVHVTLESLMNDKELISSIKGLVRGSGRSHPSVEETDEQGNQETIVMVTGKHQAAKGLEKISFEKQLTGVPRPETYVL >Potri.006G255100.1.v4.1 pep chromosome:Pop_tri_v4:6:25278336:25283403:-1 gene:Potri.006G255100.v4.1 transcript:Potri.006G255100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255100.v4.1 MGVLSFFFFVFLVSQVGFGQQTTDPKEVDALNKLIDYWNLRDRLNITDDPCIQNATWANEIANPRVACDCGGNTCHITHLKIYALDISGEIPSELFVLKDLMDLNLGQNVLNGSIPAEIEQLSNMQYLSLGINNFTGPVPQELGNLTKLIILSFSSNNFVGSLPTSLGKLTSLQELYIDSSGVSGPIPQELANLKSLQILWASDNQFTGKLPDFFGTLTELRTLRLQGTLLEGPIPNSFSALNKLEDLRIGDLSGEDSSLEFLQNQKTLSILILRNCLLSGKIPDQLGEFSKLQELDLSFNKLTGQIPESFQDLVSLQYLYLGSNNLNGQLPANILGPNIIAIDVSFNPLSGSLPQNFAKAGLSMNVVGTSIDANNLQDKKASGMLQCLQADFKCSNKVPSKSFSVKCGGTGQTSASGVEYEGDFESMGSASLYTSSENLWAVSNTGNFISNPNGPQYIAQTGSQITGTLDSELYKTARISPSSLRYYGLGLKNGIYSVELHFAEIGMVDSHSWKGLGRRIFDVYIQGERVLKDFNIKEAAGGSKKALIKTLEANVTNTIMDIHFFWAGKGTCCIPYQSTYGPLVSAIHVSRASDAAGSSKGDKKRIGKLIGISVACVAGLVIISSVFYLWWIKEDSPKHKRIYTDSPRK >Potri.002G064400.3.v4.1 pep chromosome:Pop_tri_v4:2:4410936:4422779:1 gene:Potri.002G064400.v4.1 transcript:Potri.002G064400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064400.v4.1 MASSSSERWIDGLQFSSLFCPPPQDAQQRKAQITAYVEYFGQCTSEQFPDDIAELIRNRYPSKDKHLFDDVLAMFVLHHPEHGHAVVLPIISCIIDGTLVYDGSSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKLEKQNSETDRSSSDGNSTSIESEGKSSTIPLVQQERKPFRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTTTSSRGSGKHPQLVPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYACLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDHASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLVGYLSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSRAQTRKTRYLSSLWPASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCASHEARSNGSKRPRGEENNPPDDGTEDSQSTSETPRNIKSRRTKKQGPVAAFDSYVLAAVCALACELQMFPFVSRGSNHSTSKHAQTVAKPAKLNGSVSEFQTSLDSASHHTHRILAILEALFSLKPSSIGTSWSYSSTEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLGAHLHTPVWKDSLMCFDGNKQNRSASTVCFNSGQSSVLQYEELVHSETKLKCERASHSEEGSGSTSGKGIAGFPFDASDLANFLTMDRHIGFNCSAQVLLRSVLPEKQELCFSVVSLLWHKLIASPETQPCAESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQAERELQPWIAKDDDDLGQKMWRVNQRIVKLIVELMRNHDTSESLVILASSSDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNILKCRLPATIRCLSHPSAHVRALSTSVLRDILQTGSIKPSSKQGDRNGIHGPSYQYFSLDKIDWQADIEKCLTWEARSRLATGMPIHHLDTAAKELGCTISI >Potri.002G064400.2.v4.1 pep chromosome:Pop_tri_v4:2:4410977:4422763:1 gene:Potri.002G064400.v4.1 transcript:Potri.002G064400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064400.v4.1 MASSSSERWIDGLQFSSLFCPPPQDAQQRKAQITAYVEYFGQCTSEQFPDDIAEVYLLFESVFLVCMLIRNRYPSKDKHLFDDVLAMFVLHHPEHGHAVVLPIISCIIDGTLVYDGSSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKLEKQNSETDRSSSDGNSTSIESEGKSSTIPLVQQERKPFRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTTTSSRGSGKHPQLVPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYACLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDHASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLVGYLSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSRAQTRKTRYLSSLWPASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCASHEARSNGSKRPRGEENNPPDDGTEDSQSTSETPRNIKSRRTKKQGPVAAFDSYVLAAVCALACELQMFPFVSRGSNHSTSKHAQTVAKPAKLNGSVSEFQTSLDSASHHTHRILAILEALFSLKPSSIGTSWSYSSTEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLGAHLHTPVWKDSLMCFDGNKQNRSASTVCFNSGQSSVLQYEELVHSETKLKCERASHSEEGSGSTSGKGIAGFPFDASDLANFLTMDRHIGFNCSAQVLLRSVLPEKQELCFSVVSLLWHKLIASPETQPCAESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQAERELQPWIAKDDDDLGQKMWRVNQRIVKLIVELMRNHDTSESLVILASSSDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNILKCRLPATIRCLSHPSAHVRALSTSVLRDILQTGSIKPSSKQGDRNGIHGPSYQYFSLDKIDWQADIEKCLTWEARSRLATGMPIHHLDTAAKELGCTISI >Potri.002G064400.4.v4.1 pep chromosome:Pop_tri_v4:2:4411310:4422764:1 gene:Potri.002G064400.v4.1 transcript:Potri.002G064400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064400.v4.1 MASSSSERWIDGLQFSSLFCPPPQDAQQRKAQITAYVEYFGQCTSEQFPDDIAELIRNRYPSKDKHLFDDVLAMFVLHHPEHGHAVVLPIISCIIDGTLVYDGSSPPFASFISLVCPSSENEYSEQWALACGEILRILTHYNRPIYKLEKQNSETDRSSSDGNSTSIESEGKSSTIPLVQQERKPFRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTTTSSRGSGKHPQLVPSTPRWAVANGAGVILSVCDEEVARYETATLTAVAVPALLLPPPTTALDEHLVAGLPALEPYACLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDHASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLVGYLSSYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPESSRAQTRKTRYLSSLWPASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCASHEARSNGSKRPRGEENNPPDDGTEDSQSTSETPRNIKSRRTKKQGPVAAFDSYVLAAVCALACELQMFPFVSRGSNHSTSKHAQTVAKPAKLNGSVSEFQTSLDSASHHTHRILAILEALFSLKPSSIGTSWSYSSTEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDIHSKAVASIVNKAEPLGAHLHTPVWKDSLMCFDGNKQNRSASTVCFNSGQSSVLQYEELVHSETKLKCERASHSEEGSGSTSGKGIAGFPFDASDLANFLTMDRHIGFNCSAQVLLRSVLPEKQELCFSVVSLLWHKLIASPETQPCAESTSAQQGWRQVVDALCNVVSASPAKAATAVVLQAERELQPWIAKDDDDLGQKMWRVNQRIVKLIVELMRNHDTSESLVILASSSDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLEWGESGLAVADGLSNILKCRLPATIRCLSHPSAHVRALSTSVLRDILQTGSIKPSSKQGDRNGIHGPSYQYFSLDKIDWQADIEKCLTWEARSRLATGMPIHHLDTAAKELGCTISI >Potri.002G168500.1.v4.1 pep chromosome:Pop_tri_v4:2:12925625:12929107:-1 gene:Potri.002G168500.v4.1 transcript:Potri.002G168500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168500.v4.1 MAKRGAKLKALVGLQNCRVPVVVTCLVVILVLLILLFCNNGKSPDSSFGYPIKKWNSFESVQFNPTIELRNGTDVIWQIPSLPKAVLFVAHGCDGRAANFWDRSSSCPNCIGLPEERLLVLHALARKFAVITISSAGRCWTFGEERLIVKNIIQWWAQTHNLESLPLVALGASSGGYFVSAVATNLRFSSITLMIAEGKFDQMDITVNYPPTLFVHMPKDSYRQQKISEFIEVLKNKGIDAAEVECMEFPLSPSFLADRIPGMNQTVSAKLFELFQVKSFVDGNGYMKKDGRATRWKEALRECKNLVLDKSMVQHVQEELNLAFAYHEMTSLQSEQIFKWFESHLN >Potri.009G156900.1.v4.1 pep chromosome:Pop_tri_v4:9:12226910:12229275:1 gene:Potri.009G156900.v4.1 transcript:Potri.009G156900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156900.v4.1 MDITTQSLSHAKLPGCSTSLATPIFHSKASLLAHNSITFQSPKNFFTQLQGVRIKAKKQRSLGAVHASGADSTLTDVEERWLLVPVGDGDSGHIGFKVKMPDAFEIASSEVTVGRLPDKADMVIPVATVSALHARIQNKGGNLVVTDLDSTNGTFIDKKRLPPGASVSVSPGSRIIFGDTHLAMFLVSKLAKVESAPSKSEESQDEVEIDSPTESNKTTD >Potri.009G156900.2.v4.1 pep chromosome:Pop_tri_v4:9:12226910:12229275:1 gene:Potri.009G156900.v4.1 transcript:Potri.009G156900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156900.v4.1 MDITTQSLSHAKLPGCSTSLATPIFHSKASLLAHNSITFQSPKNFFTQLQGVRIKAKKQRSLGAVHQVTRPFNFLPLIQSEVTVGRLPDKADMVIPVATVSALHARIQNKGGNLVVTDLDSTNGTFIDKKRLPPGASVSVSPGSRIIFGDTHLAMFLVSKLAKVESAPSKSEESQDEVEIDSPTESNKTTD >Potri.005G120200.1.v4.1 pep chromosome:Pop_tri_v4:5:8847835:8849091:-1 gene:Potri.005G120200.v4.1 transcript:Potri.005G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120200.v4.1 MAQRTVLKVDISCEKCKKKLLKAVSTLEGVDKIEADQAKGTLTVTGNADPYEIIMRTRKTGKHADVVSIGPPPAPPKQDGQKKPQEKKPEKKPEEKAQIHDPHTCHQCRQIYLMPMPMSMAPCYEPNPSCSVM >Potri.005G104900.1.v4.1 pep chromosome:Pop_tri_v4:5:7567292:7569911:1 gene:Potri.005G104900.v4.1 transcript:Potri.005G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G104900.v4.1 MKNANTSYHQNFCIGKHILVKILKCKLGDGETSKSCSKHQDIHFVTSKDGIKHRISTIFVFGDSTVDVGTNNFIPECRGKANFRYYGIDYPGSVPTGRFSNGYNSADSIAKLFGFKKSPQSFFYLLNQTSSFKHNIRCGVNFASGGSGIIDTTGFQLFTKVVPMREQIQQFSTVCGNLTEILGTEAAADMLSKSLFLISVGGNDLFEYQLNMSKNDPNLPEAQELLRILSSTYQIHLRSLYDLGARKFGIVSIAPIGCCPLERALGTGECNKEMNDLAQAFFNATEILLLNLTSQVQDMKYSLGNLYEIAYEVLHNPRSVGFKEAQTACCGNGSYNAESPCNRDAKLCPNRREYVFWDAIHPTERAAKLAARALFGGGAKYATPVNFSQLIGD >Potri.T121000.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_3435:11554:12305:-1 gene:Potri.T121000.v4.1 transcript:Potri.T121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T121000.v4.1 MDDLHKIAKAYYTTANEESKSQGKSFFKRMDHDGSGGITIQEYLAYMKRERYTKMANRPFFDYLNKSRTGELDFMEVMTLFYIIKSGRKICDGCGGLLKGTFFSCTDCFANDDESFNLCSKCFTERKYVHPHKHFLDNYIILENMKVKLEEKEKKIKQMKAAKVKMEAALAKHQVPDASNAIVLYNQRRPVS >Potri.015G059600.1.v4.1 pep chromosome:Pop_tri_v4:15:8289799:8294979:-1 gene:Potri.015G059600.v4.1 transcript:Potri.015G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059600.v4.1 MDRFGGVNGGGGGERTPLLGQGGGRKGNNNNASENNQFPDLEHGDAVPAANVGFGRVFSLAKPDAGKLIIATLALLIASTSNILIPKFGGKIIDIVSGDIETPEQKAEGLRAVNSTILQIFLVVVVGSVCTALGAWLMSSTSERVVARLRKNLFNHLINQEIAFFDVTRTGELLSRFSEDTQIIKNAATSNLSEALRNVSTALIGLGFMLATSWKLTLLALVVVPAISVAVNQFGRFLREISHKTQAAAAAAASIAEESFGAIRTVRSFAQEGYESSRYSEKVDETLKLGLKQAKLVGFFFGGLNAASTLSVIIVVIYGANLTITGSMTTGALTSFILYSLTVGGSISGLSGLYTVAMKAAGASRRVFQLLDRVSSMPKSGNKCPLSEQVGDVELDDVWFAYPSRPNDMVLRGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPIKGKVLLNGVPLVEISHEHLHRKISIVSQEPVLFNCSIEQNIAYGCEGKVSSMDIENAAKMANAHDFISKFPDKYQTFVGERGLRLSGGQKQRVAIARAILMNPRILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQSADIVAVVSDGQIVERGTHEELLSKDGVYTALVKRQLQGPKTEG >Potri.005G057300.7.v4.1 pep chromosome:Pop_tri_v4:5:3574581:3578612:1 gene:Potri.005G057300.v4.1 transcript:Potri.005G057300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057300.v4.1 MHLLKTLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYCKKHRKIEMKAVKGWARQILNGLNYLHNHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPDLKQFIEKCLLPASERLPAKELLMDPFLDVNGFARNSSIPRSDILMSKMTAIGDRCLMSEGPATARNKPPSMDLSHDPEMPTITSFNNSVDEDTHSLCLEVRRAKRGNVFLLRGEGNDENSVSLILRMADQNGARVRNIHFLFYLDGDTALSVSSEMVEQLELEVENVKFIAELIDLLLLKLIPNWKPGVHIEHLVPPSREQTPRVQSKDFHSLGNGKMAVAPFQNAHDAANHSRCSSRHNSLGGLIPTVGESPVTVKLDDLMSNLDDFDSQNPPAAEDRHSEMSYVSANSSELNDRKLSFTSYMSTDSGPVNFDGNGLRGILQDFLTEREILTSIDDRGKFFDAGMNGFISSGYASDVSSSSCKDDNEELRRELGKIELQYQEAMKEISKRRHEAIQETTKSLSQKSVQSFH >Potri.005G057300.6.v4.1 pep chromosome:Pop_tri_v4:5:3574487:3578624:1 gene:Potri.005G057300.v4.1 transcript:Potri.005G057300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057300.v4.1 MHLLKTLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYCKKHRKIEMKAVKGWARQILNGLNYLHNHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPDLKQFIEKCLLPASERLPAKELLMDPFLDVNGFARNSSIPRSDILMSKMTAIGDRCLMSEGPATARNKPPSMDLSHDPEMPTITSFNNSVDEDTHSLCLEVRRAKRGNVFLLRGEGNDENSVSLILRMADQNGARVRNIHFLFYLDGDTALSVSSEMVEQLELEVENVKFIAELIDLLLLKLIPNWKPGVHIEHLVPPSREQTPRVQSKDFHSLGNGKMAVAPFQNAHDAANHSRCSSRHNSLGGLIPTVGESPVTVKLDDLMSNLDDFDSQNPPAAEDRHSEMSYVSANSSELNDRKLSFTSYMSTDSGPVNFDGNGLRGILQDFLTEREILTSIDDRGKFFDAGMNGFISSGYASDVSSSSCKDDNEELRRELGKIELQYQEAMKEISKRRHEAIQETTKSLSQKSVQSFH >Potri.005G057300.8.v4.1 pep chromosome:Pop_tri_v4:5:3574586:3578548:1 gene:Potri.005G057300.v4.1 transcript:Potri.005G057300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057300.v4.1 MHLLKTLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYCKKHRKIEMKAVKGWARQILNGLNYLHNHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPDLKQFIEKCLLPASERLPAKELLMDPFLDVNGFARNSSIPRSDILMSKMTAIGDRCLMSEGPATARNKPPSMDLSHDPEMPTITSFNNSVDEDTHSLCLEVRRAKRGNVFLLRGEGNDENSVSLILRMADQNGARVRNIHFLFYLDGDTALSVSSEMVEQLELEVENVKFIAELIDLLLLKLIPNWKPGVHIEHLVPPSREQTPRVQSKDFHSLGNGKMAVAPFQNAHDAANHSRCSSRHNSLGGLIPTVGESPVTVKLDDLMSNLDDFDSQNPPAAEDRHSEMSYVSANSSELNDRKLSFTSYMSTDSGPVNFDGNGLRGILQDFLTEREILTSIDDRGKFFDAGMNGFISSGYASDVSSSSCKDDNEELRRELGKIELQYQEAMKEISKRRHEAIQETTKSLSQKSVQSFH >Potri.005G057300.4.v4.1 pep chromosome:Pop_tri_v4:5:3574567:3578610:1 gene:Potri.005G057300.v4.1 transcript:Potri.005G057300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057300.v4.1 MESSEDGGSHSEPPDPDVLEIDPTCRYIRYKEVLGKGAFKTVYKAFDEVNGIEVAWNQVRIDEVLQSPDDLERLYSEMHLLKTLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYCKKHRKIEMKAVKGWARQILNGLNYLHNHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPDLKQFIEKCLLPASERLPAKELLMDPFLDVNGFARNSSIPRSDILMSKMTAIGDRCLMSEGPATARNKPPSMDLSHDPEMPTITSFNNSVDEDTHSLCLEVRRAKRGNVFLLRGEGNDENSVSLILRMADQNGARVRNIHFLFYLDGDTALSVSSEMVEQLELEVENVKFIAELIDLLLLKLIPNWKPGVHIEHLVPPSREQTPRVQSKDFHSLGNGKMAVAPFQNAHDAANHSRCSSRHNSLGGLIPTVGESPVTVKLDDLMSNLDDFDSQNPPAAEDRHSEMSYVSANSSELNDRKLSFTSYMSTDSGPVNFDGNGLRGILQDFLTEREILTSIDDRGKFFDAGMNGFISSGYASDVSSSSCKDDNEELRRELGKIELQYQEAMKEISKRRHEAIQETTKSLSQKSVQSFH >Potri.005G057300.5.v4.1 pep chromosome:Pop_tri_v4:5:3574581:3578907:1 gene:Potri.005G057300.v4.1 transcript:Potri.005G057300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057300.v4.1 MESSEDGGSHSEPPDPDVLEIDPTCRYIRYKEVLGKGAFKTVYKAFDEVNGIEVAWNQVRIDEVLQSPDDLERLYSEMHLLKTLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYCKKHRKIEMKAVKGWARQILNGLNYLHNHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPDLKQFIEKCLLPASERLPAKELLMDPFLDVNGFARNSSIPRSDILMSKMTAIGDRCLMSEGPATARNKPPSMDLSHDPEMPTITSFNNSVDEDTHSLCLEVRRAKRGNVFLLRGEGNDENSVSLILRMADQNGARVRNIHFLFYLDGDTALSVSSEMVEQLELEVENVKFIAELIDLLLLKLIPNWKPGVHIEHLVPPSREQTPRVQSKDFHSLGNGKMAVAPFQNAHDAANHSRCSSRHNSLGGLIPTVGESPVTVKLDDLMSNLDDFDSQNPPAAEDRHSEMSYVSANSSELNDRKLSFTSYMSTDSGPVNFDGNGLRGILQDFLTEREILTSIDDRGKFFDAGMNGFISSGYASDVSSSSCKDDNEELRRELGKIELQYQEAMKEISKRRHEAIQETTKSLSQKSVQSFH >Potri.005G057300.3.v4.1 pep chromosome:Pop_tri_v4:5:3574469:3578664:1 gene:Potri.005G057300.v4.1 transcript:Potri.005G057300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057300.v4.1 MESSEDGGSHSEPPDPDVLEIDPTCRYIRYKEVLGKGAFKTVYKAFDEVNGIEVAWNQVRIDEVLQSPDDLERLYSEMHLLKTLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYCKKHRKIEMKAVKGWARQILNGLNYLHNHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPDLKQFIEKCLLPASERLPAKELLMDPFLDVNGFARNSSIPRSDILMSKMTAIGDRCLMSEGPATARNKPPSMDLSHDPEMPTITSFNNSVDEDTHSLCLEVRRAKRGNVFLLRGEGNDENSVSLILRMADQNGARVRNIHFLFYLDGDTALSVSSEMVEQLELEVENVKFIAELIDLLLLKLIPNWKPGVHIEHLVPPSREQTPRVQSKDFHSLGNGKMAVAPFQNAHDAANHSRCSSRHNSLGGLIPTVGESPVTVKLDDLMSNLDDFDSQNPPAAEDRHSEMSYVSANSSELNDRKLSFTSYMSTDSGPVNFDGNGLRGILQDFLTEREILTSIDDRGKFFDAGMNGFISSGYASDVSSSSCKDDNEELRRELGKIELQYQEAMKEISKRRHEAIQETTKSLSQKSVQSFH >Potri.005G057300.9.v4.1 pep chromosome:Pop_tri_v4:5:3574481:3578546:1 gene:Potri.005G057300.v4.1 transcript:Potri.005G057300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057300.v4.1 MHLLKTLKHSNIVRFYNSWIDDKKKTVNIITELFTSGSLRQYCKKHRKIEMKAVKGWARQILNGLNYLHNHDPPIIHRDLKCDNIFINGNQGEVKIGDLGLATVMEQANAKSVIGTPEFMAPELYDENYNELADIYSFGMCMLEMVTFEYPYSECRNSAQIYKKVSSGIKPASLSKVKDPDLKQFIEKCLLPASERLPAKELLMDPFLDVNGFARNSSIPRSDILMSKMTAIGDRCLMSEGPATARNKPPSMDLSHDPEMPTITSFNNSVDEDTHSLCLEVRRAKRGNVFLLRGEGNDENSVSLILRMADQNGARVRNIHFLFYLDGDTALSVSSEMVEQLELEVENVKFIAELIDLLLLKLIPNWKPGVHIEHLVPPSREQTPRVQSKDFHSLGNGKMAVAPFQNAHDAANHSRCSSRHNSLGGLIPTVGESPVTVKLDDLMSNLDDFDSQNPPAAEDRHSEMSYVSANSSELNDRKLSFTSYMSTDSGPVNFDGNGLRGILQDFLTEREILTSIDDRGKFFDAGMNGFISSGYASDVSSSSCKDDNEELRRELGKIELQYQEAMKEISKRRHEAIQETTKSLSQKSVQSFH >Potri.012G097000.1.v4.1 pep chromosome:Pop_tri_v4:12:12090324:12093597:1 gene:Potri.012G097000.v4.1 transcript:Potri.012G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097000.v4.1 MASMQLMRRESAFVHEVTQDSPKSPEARLGMKVEDLWDVQEPQLTPTEKLNACFEGIPVSAFPPAPSSQVIEIKSDTSLAEAVRILAEHKILSAPVVDVDAPEDASWIDRYIGVVEFAGIIVWILHQSEPPSPRSPTSGSALEAAVNRVTNAASLGTLGPEDAAATSGNFFEALTSSEFYKNTKVRDIAGSFRWAPFLALQKSNSFLTMLLLLSSYKMKSIPVVDLGEAKIDNIITQSSVIHMLAECAGLQWFESWGTRKLSEIGLPLMAPDRIVKVYEEEPVLQAFKLMRKKKIGGIPIVDSSGGKVVGNISIRDVHFLLTAPEIYHDYRSITAKNFSTAVNGYLETHQEISPFVRGMVICTKNYSIKELIMKLDSEKIHRVYVVDDAGNLEGVITLRDILSRLVHEPRGYFGDFFDGVLPLPSNSRV >Potri.016G117000.1.v4.1 pep chromosome:Pop_tri_v4:16:12149752:12152009:1 gene:Potri.016G117000.v4.1 transcript:Potri.016G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117000.v4.1 MGRSRSDRSNKDRLRWTQELHDRFEEAVNQLGGPDRATPKGILRAMGIPGLTIYHVKSHLQKYRISKFIPETNRGKFERRNISEMLPNFSATSGAQLNEALLMQMEVHRRLSDQLVVQKSLKLKIEAQGRFLERIVEENQNGNPKHTKSFSPVSMPSLCDSESNAKEFETDTEGEKVEIQSEEDFQALKRLRTEHHVLPSRYQLEPLNPDPYNQNMVLQRDAKFSHPSHDVNFPWDILATCSSPLEPSFF >Potri.006G177600.1.v4.1 pep chromosome:Pop_tri_v4:6:18368682:18370914:1 gene:Potri.006G177600.v4.1 transcript:Potri.006G177600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177600.v4.1 MGAFGDSKPTLAMFGLQFSYAIVSLITRAALIHGMSPRVFVVYRQAIATLVIVPVSYFSRRKSAGTSLGLRSFCLVFSASFIGVTINQNVFAEGLYLASSSMASAMGNLVPAITFVMAVALGLEKIKIGSFRSIAKIVGTVICVSGAISMALLRGPKLLNKTIFGSGGEDWLLGCLFIFVSTCCWSIWLILQVPLTASYPDHLSLSAWMCFLATLQSGILTLFLEKDLDAWKLHSYLELVGCLFTGIIGSGLSFFVQAWVICQRGPLFSAMFNPLCTVIVTVLAAIFLHEEIYTGGLIGGVAVIIGLYIVLWGKAKDFIKEEDEIDPKLEIDQRQAVKITIQDSREGKLVLEEPFLSDKSNVVEEDDNFHQ >Potri.011G146800.5.v4.1 pep chromosome:Pop_tri_v4:11:17501331:17502114:-1 gene:Potri.011G146800.v4.1 transcript:Potri.011G146800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146800.v4.1 MRKQFFSHCPGAFLAFNEQLHTFPEGKVSQEDAPIRRLKWGTASLIVRSPVTPIVLPIVHHGFEEVMPENFWFGRRPPFPLCNKSINIVIGEPMEFNLPKMTQMAISTSHNLHNPTRGWPILSPSGLDEAAQRCLYTSISDQIQTSMESLRSSSKKLSEAKSLNSGQVEE >Potri.011G146800.2.v4.1 pep chromosome:Pop_tri_v4:11:17500538:17503821:-1 gene:Potri.011G146800.v4.1 transcript:Potri.011G146800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146800.v4.1 MTRTMEWAARGSHMRGIPRKMVIMAVGAFAKAVANLLNTTTVHNADTLINLVRSRPLGVPLITVSNHMSTLDDPVMWGFKGFPIFDSNLARWALAAEDICFKNSLLSYFFRIGKCIPITRGGGIYQKHMNEALERLSDGEWLHTFPEGKVSQEDAPIRRLKWGTASLIVRSPVTPIVLPIVHHGFEEVMPENFWFGRRPPFPLCNKSINIVIGEPMEFNLPKMTQMAISTSHNLHNPTRGWPILSPSGLDEAAQRCLYTSISDQIQTSMESLRSSSKKLSEAKSLNSGQVEE >Potri.001G230200.3.v4.1 pep chromosome:Pop_tri_v4:1:24958368:24958664:-1 gene:Potri.001G230200.v4.1 transcript:Potri.001G230200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230200.v4.1 MPLHFISSILISFGSRFRNAARLQLRTRLYTVFASNSSPSDGDSSEEKSGGTISSDDAQGPPFLTILAGFLVFSLLCWVFGSIIMWLFGLIVKVPLSK >Potri.001G230200.1.v4.1 pep chromosome:Pop_tri_v4:1:24957988:24961785:-1 gene:Potri.001G230200.v4.1 transcript:Potri.001G230200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230200.v4.1 MASSFSSPKLIHGFHGPNSCSCIPHFHSIKLGSNRSQTPLSFRFRNAARLQLRTRLYTVFASNSSPSDGDSSEEKSGGTISSDDAQGPPFLTILAGFLVFSLLCWVFGSIIMWLFGLIVKVPLSK >Potri.012G130700.2.v4.1 pep chromosome:Pop_tri_v4:12:14572263:14574189:1 gene:Potri.012G130700.v4.1 transcript:Potri.012G130700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130700.v4.1 MFPLSNPSSSPESQIKKPKTPLDVRRKDSFRSPKAFLAAHYPSINQPRLCLFLSIFFIQILLLLALRSIPRSFHHRLHHFPAPVTAHHHLTPILTTTTASFSASTVKEENSCEFGEIFVYDLPSALNHEVVNNCDELNPWSSSCDALSNNGFGPVAAAISSVVPENLAAAWYWTDQFVTEVLFHNRILNHKCRTKDPNNATAFYIPFYVGLAVGKFLWLKNSSAKERDFHCEMMLKWVQDQPYFTRNDGWDHFLTMGRISWDFRRSKDEEWGSSCIHKPGMRNVTRLLIERNPWDYFDVGVPYPTGFHPRSDNDVVEWQEFVRNRNRKSLFCFAGAKRSKIKDDFRGLLLNHCRNESDSCRVVDCAGSKCSNGTSIILETFLDSVFCLQPRGDSFTRRSIFDCMIAGSIPVLFWKRTAYDQYEWFLPAEPESYSVFIDRNEVKNGTASIRKVLERYSEDEIRRMRERVIEYIPKFLYARPDEGLETIKDAFDVAIDAVLRRFKEQEQPGYRW >Potri.001G302000.4.v4.1 pep chromosome:Pop_tri_v4:1:31248047:31253551:-1 gene:Potri.001G302000.v4.1 transcript:Potri.001G302000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302000.v4.1 MDSESADTPPPSVKAPDTQPPPPAAAAADPVPENPASDAPSKLSAAGITSWAKSLKIPQPLTSSPDDSPTGNAGKSTFARFTSGFGLRLSPKSPAADDSPEGTSATSQSGLFGTITKGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCCERLYDASLFEGKVASFPFDDHNCPPIQLITSFCQSAYLWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDAKGLVLPSQIRYVKYFERILTYFNGENQPGRRCMLRGFRLHRCPYWIRPSITISDHNGVLFSSKKHPRTKDLSPEEYWFSAPKKGVMVFALPGEPGLTEVAGDFKVHFHDRQGDFYFWLNTQFMENRKILNTSDIDGFDKRKLPSPGFQVEVVLVDYDGTVSTRSNIETAVKKSDEGSSTAPASVDAGTTAPNQNKDSASNDKDDVFSDSEAEESVSSKQKQAQASSAGGETAATPAPSSGTDNKTEKVASLTQATEQFSLGNTGSQQSHAPAQPKIEAVGGTVSSLEANNAQSEFKAMAADASVFTFGDDEDYESE >Potri.001G302000.2.v4.1 pep chromosome:Pop_tri_v4:1:31247993:31253555:-1 gene:Potri.001G302000.v4.1 transcript:Potri.001G302000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302000.v4.1 MDSESADTPPPSVKAPDTQPPPPAAAAADPVPENPASDAPSKLSAAGITSWAKSLKIPQPLTSSPDDSPTGNAGKSTFARFTSGFGLRLSPKSPAADDSPEGTSATSQSGLFGTITKGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGDMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCCERLYDASLFEGKVASFPFDDHNCPPIQLITSFCQSAYLWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDAKGLVLPSQIRYVKYFERILTYFNGENQPGRRCMLRGFRLHRCPYWIRPSITISDHNGVLFSSKKHPRTKDLSPEEYWFSAPKKGVMVFALPGEPGLTEVAGDFKVHFHDRQGDFYFWLNTQFMENRKILNTSDIDGFDKRKLPSPGFQVEVVLVDYDGTVSTRSNIETAVKKSDEGSSTAPASVDAGTTAPNQNKDSASNDKDDVFSDSEAEESVSSKQKQAQASSAGGETAATPAPSSGTDNKTEKVASLTQATEQFSLGNTGSQQSHAPAQPKIEAVGGTVSSLEANNAQSEFKAMAADASVFTFGDDEDYESE >Potri.001G269400.1.v4.1 pep chromosome:Pop_tri_v4:1:28407400:28408612:1 gene:Potri.001G269400.v4.1 transcript:Potri.001G269400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269400.v4.1 MAQRTEKEETECKVPENLTLCINNCGVTGNPATNNMCQKCFNASTSTSNPSSSTTTTTTTITFAATTNGVSTNEILKFTSEKSLRSSISRSPAKDHQRQPKTASDKERSDSSSVAKKEVNRCSGCRRRVGLTGFRCRCGELFCWEHRYSDRHDCSYDYKTVGREAIARENPVVKAAKIVRV >Potri.014G050800.2.v4.1 pep chromosome:Pop_tri_v4:14:3281023:3281956:-1 gene:Potri.014G050800.v4.1 transcript:Potri.014G050800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050800.v4.1 MAQVHPQNTERRINWLKRFQYDKERDSPNDVRNVLLVIATLIAAVTFQAGVNPPGGVWQDDNGIKPAAGANPPSPGGERQEYKFEEHHAAGRAIYASQKHPYYVFLMSNTLAFSASLLVIPSLTYKFPFHFEIWVATASMMVTYASAIFAVTPRESVHFRYLLITAAVPFITRFLIQKLKKSSKKSQKDEEIGGETGQSV >Potri.013G087200.1.v4.1 pep chromosome:Pop_tri_v4:13:8227903:8230609:1 gene:Potri.013G087200.v4.1 transcript:Potri.013G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087200.v4.1 MSNFVHPCLLQLLPLLFFVLVLLFCASCQSASVDLNPEDKASLLLFRSWIQDPVHGLSTWFGSNCTDWTGLACQNHTGQVISINLRNVNLSGYIHPNLCNLLFLETLVLSENGFTGQIPLCFGRLQNLKTLDLSHNRFGGVVPDSLVTLRQLKELSLNGNHDLGGVVPWWVGNFSSNLEILDLGFNSFHGTIPESLFYCKSLKYLDLGNNYLSGDLHDFFQPLVFLNLSSNSLSGTLPCFSASIRSLGVLNLARNSIVGGIPTCIASLEELTHLNLSFNHLNYAISPRLVFSEKLLALDLSFNDLSGPLPTKIAETTEKSGLVLLDLSHNCFSGGIPLKITELKSLQALFLSHNLLTGEIPARIGNLTYLQVIDLSRNSLSGSIPLNIVGCFQLLALVLNNNNLSGQIQPELDALDSLKVLDISNNGISGEIPLTLAGCKSLEIVDFSSNNLSGNLNDAITKWSNLRYLSLARNKFSGSLPSWLFTFEEIQMMDFSGNKFSGFVPDGNFNISLEFNNGDVRRLPAEPFLAIRNIEIKISVLVVDNSELSFNYHLSSTAGIDLSDNLLHGEIPHGLFGLQGLEYLNLSYNFLDGQVPSLEKMERLRALDLSHNSLSGQIPGNISRLKELVLLNFSYNSLSGFVPQKEGYGRFPGAFAGNPDLCVESPRLKCDSGSLPTVPGKSFEETEGPISVWIFCISAFVSFYFCVVTLFCSTRARSYLLQTKV >Potri.001G249300.1.v4.1 pep chromosome:Pop_tri_v4:1:26534073:26535455:1 gene:Potri.001G249300.v4.1 transcript:Potri.001G249300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249300.v4.1 MASPKPLHLEITIISAKHLKNVNWRNGDLKPYATFYLDNSDHRLATHADDSLSTRPVWNERFTIPMIRHDSVLTLDVFHSKPSETPKPLVGTGKFPLSQLLDSDETTSYSLRTLELSRPSGRPQGKVLVKLEVKDRPLPPPVQDYHTAPNYSHYYNPAPVQPPARDYREYSPSPYGYTDQYGYYPACYPSQPPVPSRPLYNRASNNSFPGGPSAPVDLSCQPSPSPYDHKPPQPVLLQKTSNYGVPSGPSAPVDYSYGKGSEPEISGAIGGLNLEEGSNYEKEKVAADKESHSSYRREY >Potri.009G041800.1.v4.1 pep chromosome:Pop_tri_v4:9:4900798:4909101:-1 gene:Potri.009G041800.v4.1 transcript:Potri.009G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041800.v4.1 MAPASVKPNSAGAATSTATVSAVSSSKVPPIARPLAGEPEEVASNINYHAQFSPHFSPFKFEPEQAYFATAESVRDRLIQQWNETYVHYHKEDPKQTYYLSMEYLQGRALTNAIGNLDIQDAYGEALNQLGHQLEDIVEQEKDAALGNGGLGRLASCFLDSMATLNLPAWGYGLRYRYGLFKQRITKEGQEEIAEDWLEKFSPWEIVRHDVVFPVRFFGHVEVNPDGSRKWVGGDIVQALAYDVPIPGYKTKNTISLRLWEARASSDDFNLFLFNDGQYESASQLHSRAQQICAVLYPGDATENGKLLRLKQQFFLCSASLQDIILRFKERKNENGSWNWSEFSSKVAVQLNDTHPTLAIPELMRLLLDNEGLGWDEAWDVTTRTVAYTNHTVLPEALEKWSQSVMWKLLPRHMEIIEEIDKRFITMIRTTRPDLESKLPSMCILDNNPQKPVVRMANLCVVSSHKVNGVAQLHSDILKAELFADYVSIWPKKFQNKTNGITPRRWLRFCSPELSNIITKWLKTDQWVTNLDLLVGLREFAENADLQAEWSSAKMANKQRLAQYILRETGVSIDPNSLFDIQVKRIHEYKRQLMNILGAIYRYKKLKEMSTEERKKTTPRTIMFGGKAFATYTNAKRIVKLVNDVGTVVNTDPEVNSYLKVVFVPNYNVSVAEMLIPGSELSQHISTAGMEASGTSNMKFALNGCLIIGTLDGANVEIREEIGEENFFLFGATADEVPRLRKERENGLFKPDPRFEEAKMYIRSGAFGSYDYNPLLESLEGNSGYGRGDYFLVGHDFPSYMDAQERVDEAYKDRKRWLRMSILSTAGSGKFSSDRTISQYAKEIWNIEECRVP >Potri.005G073500.1.v4.1 pep chromosome:Pop_tri_v4:5:4894030:4897252:-1 gene:Potri.005G073500.v4.1 transcript:Potri.005G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073500.v4.1 MSLATYHHHLFTNSPPRITLLFSSSSLSLRNLSLSRHVTTSLHSSNFHFKPQTPRNSFSFTLKAYQSDPTIRTQVSNQFNLDQFLSIAELLCIISSSIITISYALNCTFSKTGALGVIGSNTGFAWGMVVMVSGVVIGAWIRRRQWWRICRETGREGSLNLVGRIEKLEQDMRSSATIIRVLSRQLEKLGIRFRVTRKALKEPIVETAALAQKNSEATRALALQENILEKELGETQKILLAMQEQQQKQLELILAIGKSGKSWDNRRERVEEQELIKTSDLTEGVNQLESHEAQPSVTSKRSNNNRP >Potri.018G111100.1.v4.1 pep chromosome:Pop_tri_v4:18:12863826:12866767:1 gene:Potri.018G111100.v4.1 transcript:Potri.018G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111100.v4.1 MSRRSGACLRCCLVIFAVISALGVCGPALYWRFRKTFGFPDSKASSCPPCICDCPPPMTLLKIAPGLANLSVTDCGGNDPDLKLEMEKQFVDLLTEELKLQEAVAQEHAHHMNITLAEAKRVASQYQREAEKCNAATETCEEARERSEALLIRERKITSLWEQRARQLGYEGK >Potri.010G070750.1.v4.1 pep chromosome:Pop_tri_v4:10:9892508:9898878:-1 gene:Potri.010G070750.v4.1 transcript:Potri.010G070750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070750.v4.1 MGQQQSKDELLYQQVNCSNIEGIKNLCREGARLEWIDKEGKTPLIVACLNPQLFNVAKTLIELGANVNAYRPGRNGGAPLHHAAKRGLENTVKLLLSHGANALMMNDDCQTPLEVARAKGYGNVVRAIESHICLFSGWLREFYGPGFLEVLAPRLVSRNIWVVVLPTGSRSPRMPYKLELAIYSRLQDAQPHTIIALWKANLEQPKFHHADPTVMIVDNSTKTRLKLAPANERDKQQLQWFCDACKGIPQVMHPPEFLSKSQNLAVQATAPPSDEDPEIAMAINASIQSAMVEQPISDTHSSTGASSSTSCNCPVNAGGQGAMDVPAAPPPKTTSSGWAPNEGVSSGSSTQQTKILNSSVADVQTATDAQDSVPSAPPIVDELIEDGPIHYPSIDSSPLDISSLPIENLPENTGEKKEDGGSSSCVICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRATINQVVRLYAV >Potri.010G070750.2.v4.1 pep chromosome:Pop_tri_v4:10:9892508:9898882:-1 gene:Potri.010G070750.v4.1 transcript:Potri.010G070750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070750.v4.1 MGQQQSKDELLYQQVNCSNIEGIKNLCREGARLEWIDKEGKTPLIVACLNPQLFNVAKTLIELGANVNAYRPGRNGGAPLHHAAKRGLENTVKLLLSHGANALMMNDDCQTPLEVARAKGYGNVVRAIESHICLFSGWLREFYGPGFLEVLAPRLVSRNIWVVVLPTGSRSPRMPYKLELAIYSRLQDAQPHTIIALWKANLEQPKFHHADPTVMIVDNSTKTRLKLAPANERDKQQLQWFCDACKGIPQVMHPPEFLSKSQNLAVQATAPPSDEDPEIAMAINASIQSAMVEQPISDTHSSTGASSSTSCNCPVNAGGQGAMDVPAAPPPKTTSSGWAPNEGVSSGSSTQQTKILNSSVADVQTATDAQDSVPSAPPIVDELIEDGPIHYPSIDSSPLDISSLPIENLPENTGEKKEDGGSSSCVICLDAPVEGACIPCGHMVGCMSCLKEIKAKKWGCPVCRATINQVVRLYAV >Potri.010G101200.1.v4.1 pep chromosome:Pop_tri_v4:10:12400216:12402937:-1 gene:Potri.010G101200.v4.1 transcript:Potri.010G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101200.v4.1 MLDSITTSTAPSPSSDPFTSSLDNGLTNKRKRKPAGTPDPDAEVVSLSPRTLLESDRYVCEICSQGFQRDQNLQMHRRRHKVPWKLLKRETQEVKKRVYVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRRAQPELQALQPAACSSRTASSTSPSSDANFSIVPLPGIPMSKATEPVYFYSDRNDASTSQQEHNLELQLLPSSSTHFPQNPDEGYATNLKLSIGSSDSLKKNEQFKAIMDPSGPKRTYEPTLEAAKLEEFANEQLRLAITEKAYAEEARQHAKRQIEMAELEFANAKRIRQQAQAELEKAQVLREQATKKISSTIMQVTCQACKLQFKLSTAAASADETSLAMSYMSSATTEGDGE >Potri.010G101200.3.v4.1 pep chromosome:Pop_tri_v4:10:12400328:12402805:-1 gene:Potri.010G101200.v4.1 transcript:Potri.010G101200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101200.v4.1 MHRRRHKVPWKLLKRETQEVKKRVYVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRRAQPELQALQPAACSSRTASSTSPSSDANFSIVPLPGIPMSKATEPVYFYSDRNDASTSQQEHNLELQLLPSSSTHFPQNPDEGYATNLKLSIGSSDSLKKNEQFKAIMDPSGPKRTYEPTLEAAKLEEFANEQLRLAITEKAYAEEARQHAKRQIEMAELEFANAKRIRQQAQAELEKAQVLREQATKKISSTIMQVTCQACKLQFKLSTAAASADETSLAMSYMSSATTEGDGE >Potri.019G023002.1.v4.1 pep chromosome:Pop_tri_v4:19:537013:537321:1 gene:Potri.019G023002.v4.1 transcript:Potri.019G023002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023002.v4.1 MEEIQKMVVNGWKDINEDCVRPPNASMLLLRHIVNLARVTDVMYGDDADAYTIPLSLKDYVTLLYDSSYTLL >Potri.015G084700.1.v4.1 pep chromosome:Pop_tri_v4:15:10936984:10937361:-1 gene:Potri.015G084700.v4.1 transcript:Potri.015G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084700.v4.1 MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Potri.006G108400.1.v4.1 pep chromosome:Pop_tri_v4:6:8428213:8434367:1 gene:Potri.006G108400.v4.1 transcript:Potri.006G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108400.v4.1 MDRNGILRLLWLLFLLFSDASNTSFLSKIRQLVALKDDSNSVQIQVPPSPSPDPANALNTTNTEENSVLKADSSTSINNSSSSNKSDNNNTGIVGPISIPGNQTDSEAEKNNDEKKKVSEEKDHHKTDSESEVGGENCTKVGIKRCTDRKSMSACILGFDNESQRWIVLIQNSGERDLSVDVHAPNSVDNSLVELGKHQTKKIILTVGENTEVILNAKNGECVLHLDPLESHGNFFLHFPSYDQLITPINGAYFLIVTAVVFGGTFTCCMFRKRRREAGTAYQELEMAMPESGVANIVETAEGWDNDWDDNWDEENAIKSPAACHSASVSANGLTSRSLNKDGWENDWDD >Potri.014G174400.1.v4.1 pep chromosome:Pop_tri_v4:14:12975396:12981386:-1 gene:Potri.014G174400.v4.1 transcript:Potri.014G174400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G174400.v4.1 MASLSVQTLLVLLVFVTASYCMIEEASAIEGQREFDYFALSLQWPGTYCRHTRHCCSQNACCRGANAPTEFTIHGLWPDYNDGTWPACCTRSDFNEKEISTLHDALEKYWPSLSCGSPSSCHGTKGSFWAHEWEKHGTCSSPVVHDEYSYFSTTLNVYFKYNVTKVLNEAGYVPSNSEKYPLGGIVSAIENAFHATPQLVCSKGDLEELRLCFYKDFKPRDCVIQNDMYTSKSSCPKYVSLPAYVSLGLDGAGTEVPWVPDNEGDEAL >Potri.010G148000.1.v4.1 pep chromosome:Pop_tri_v4:10:15884783:15888782:1 gene:Potri.010G148000.v4.1 transcript:Potri.010G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148000.v4.1 MDIDSKLDKFDVKSGDEGSSSMQVDSKGTAEEKDELTDSMNKLNVEASSSGQAGHSFRRKPVIIIVVGMAGSGKTTFLHRLVCHTQASRIRGYVLNLDPAVMTLPYGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVIQVIENRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSSSPVTFMSNMLYACSILYKTRLPLVLAFNKTDVAQHQFALEWMEDFEAFQAAMRSDDSYMSTFSQSLSLVLDEFYKNLRSVGVSAVSGAGMDAFFKAIEASAEEYMETYKSDLDKRRAEKQQMEEEQRKHNMEKLRRDMEQSGGQSVVLSTDLKDKGQNDNMVEEDEDIEDDDFETFTDKEDVIDEDEDEEVGSFSF >Potri.010G010600.1.v4.1 pep chromosome:Pop_tri_v4:10:1938904:1940071:1 gene:Potri.010G010600.v4.1 transcript:Potri.010G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010600.v4.1 MSNKSPIFPMPEPQHFSDYGFDPQIDYFQLSEEARNRKRKTITSRFSVDSLHFKLRKPISNEESASRKVHKANKKKKKWWRNAMLFFKWKCIHSNHKDYYLDHEEDVHEARARAFSASISGSVYLIDSLSGSSTPSRSTSRPSSGPLAGTLTPARKGDMEIPYLSLRELNMEQQQQRSSTSAKPIYLVT >Potri.011G051900.2.v4.1 pep chromosome:Pop_tri_v4:11:4145937:4149399:1 gene:Potri.011G051900.v4.1 transcript:Potri.011G051900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051900.v4.1 MSTAKTSSKKRVAESLLTKPASKFRVEDEFDPDLSSDIKGIMSALHQIREKAQKDGLKKNEQTISSVGSEIKSMIDELKSKIEKDRQTFAKALSKSSKECENWLKSETAKFQEIYDKFCKEKEAHLQALKDTVSKFEEDKERLCMRYEQMRKKEKSMISEHEKTCADKIAKLEESLKKKKQDGKTFSILRKSLGSFLEDASDEDFPPDD >Potri.004G140500.1.v4.1 pep chromosome:Pop_tri_v4:4:16290983:16293055:-1 gene:Potri.004G140500.v4.1 transcript:Potri.004G140500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140500.v4.1 MASTATSFCSPPLTSSRASVLRQCQQLNPNRLSFPINLNTAKRAPNLTVQHAPLPLKVLCARGKRGTTAKKPATSDPVYRNRLVNMFVNRILKKGKKSLAYNIIYQALKNIQQKTQSNPLAVLREAVNGVTPDVAVKARRVGGSTQQVPIEVGTFQGKALAIRWLLEASRKRSGRSMVLKLSSEVMDAAKGTGEAIKKRETTHKMAEANRAFVHFR >Potri.005G242000.1.v4.1 pep chromosome:Pop_tri_v4:5:23861695:23864896:1 gene:Potri.005G242000.v4.1 transcript:Potri.005G242000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242000.v4.1 MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLREDKQFFVDHPGAVPITTAQGEELKKLIGAPFYIECSSKTQQNVKGVFDAAIKVVLQPPKQKKKKKKGQKACSIL >Potri.005G242000.2.v4.1 pep chromosome:Pop_tri_v4:5:23861805:23864856:1 gene:Potri.005G242000.v4.1 transcript:Potri.005G242000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242000.v4.1 MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLREDKQFFVDHPGAVPITTAQGEELKKLIGAPFYIECSSKTQQNVKGVFDAAIKVVLQPPKQKKKKKKGQKACSIL >Potri.004G031100.3.v4.1 pep chromosome:Pop_tri_v4:4:2411729:2413998:-1 gene:Potri.004G031100.v4.1 transcript:Potri.004G031100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031100.v4.1 MSSSSVYYRWKSFEEDGDRPEKPRRFGVTEMRGPQYTLLSQNMLQDLFETMGQFVDGLKFSGGSHSLMPKSSIKEVIDMAHKHDVYVSTGDWAEHLLRKDPSAPRSTEMVEDANLLIRMAQRCLEAGADYND >Potri.004G031100.2.v4.1 pep chromosome:Pop_tri_v4:4:2411518:2413998:-1 gene:Potri.004G031100.v4.1 transcript:Potri.004G031100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031100.v4.1 MSSSSVYYRWKSFEEDGDRPEKPRRFGVTEMRGPQYTLLSQNMLQDLFETMGQFVDGLKFSGGSHSLMPKSSIKEVIDMAHKHDVYVSTGDWAEHLLRKDPSAPRSTEMVEDANLLIRMAQRCLEAGADYND >Potri.014G078500.2.v4.1 pep chromosome:Pop_tri_v4:14:5077472:5078964:1 gene:Potri.014G078500.v4.1 transcript:Potri.014G078500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078500.v4.1 MTTADLRINSGELRNIRGGPTNKKNYNDMSAAPKEEPCTEDRSPTPPLAVVPFAAIPMPLTTVTPPKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTTSSSAEPDEPNAKKKRKRPANSEYVDVNDSVSVSASLAPITTRPRQPPQPQAQQPVAAVPQGLVPMWAIPSNTVVPGAFFMVPSMPGQSNQPQIFTFPAAASPLINISPRPISSFVSSMQSNIAVALPVSGSKPGKGISRTAPSSSSAHTIRTPSTTTNSTPHMLRDFSLEIYDKQELQFMSRSSKQ >Potri.014G078500.3.v4.1 pep chromosome:Pop_tri_v4:14:5077715:5079109:1 gene:Potri.014G078500.v4.1 transcript:Potri.014G078500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078500.v4.1 MPLTTVTPPKRASTKDRHTKVEGRGRRIRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAMSVNGTLKIPTTTSSSAEPDEPNAKKKRKRPANSEYVDVNDSVSVSASLAPITTRPRQPPQPQAQQPVAAVPQGLVPMWAIPSNTVVPGAFFMVPSMPGQSNQPQIFTFPAAASPLINISPRPISSFVSSMQSNIAVALPVSGSKPGKGISRTAPSSSSAHTIRTPSTTTNSTPHMLRDFSLEIYDKQELQFMSRSSKQ >Potri.006G004500.1.v4.1 pep chromosome:Pop_tri_v4:6:339011:341543:-1 gene:Potri.006G004500.v4.1 transcript:Potri.006G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004500.v4.1 MYFNKFKKPENEEVKEESGRGKQSIDLGNGSEVIYIQRFIGFEKSWEFFDYLNKHIPWIRPTIRVFGRQCLQPRDTCYVASPGLPELVYSGYKPHANSWDDFPPIKDLLDMVLEALPGSKFNSLLLNKYKGGNDNVGWHADDEKLYGPTPEIASVSFGCEREFLLKKRPMKSSQERRLDDEPTSKRLKKSSRFDQHSFILKHGSLLVMKGYTQRDWLHSVPKRAKAEATRINLTFRHVVV >Potri.002G044300.1.v4.1 pep chromosome:Pop_tri_v4:2:2892530:2893203:1 gene:Potri.002G044300.v4.1 transcript:Potri.002G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G044300.v4.1 MATITASTATSSIVRAALAHRPSVGVSSSHVLGLPAIAKKGKVSCSMEGKPTVEEEKSKGMSASLMAAVCAATISSPALALVDERMSTEGTGLPFGLSNNLLVWILLGVFAFIWSLYFVYTSSLDEDEDSGMSL >Potri.011G110700.10.v4.1 pep chromosome:Pop_tri_v4:11:14094027:14100495:1 gene:Potri.011G110700.v4.1 transcript:Potri.011G110700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110700.v4.1 MANFRNLEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLRRLVVDLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARLRNCLAQLYAKDITPNEKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADVLHRSSKRDSKHYIEFWKQIPPNEPYRVILGELRDRLYQTRERSRQLLSHGISETPEEATFTNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEEQRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPSDSFGAYIISMATAASDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALSRLFSIEWYRDQINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFAAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSKELWPFGEKLRANYKETKSLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYCVTPRPHLSKEIMESNKPADELVKLNPTSDYAPGMEDTLILTMKGIAAGMQNTG >Potri.011G110700.8.v4.1 pep chromosome:Pop_tri_v4:11:14093791:14100564:1 gene:Potri.011G110700.v4.1 transcript:Potri.011G110700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110700.v4.1 MANFRNLEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLRRLVVDLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARLRNCLAQLYAKDITPNEKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADVLHRSSKRDSKHYIEFWKQIPPNEPYRVILGELRDRLYQTRERSRQLLSHGISETPEEATFTNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEEQRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPSDSFGAYIISMATAASDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALSRLFSIEWYRDQINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFAAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSKELWPFGEKLRANYKETKSLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYCVTPRPHLSKEIMESNKPADELVKLNPTSDYAPGMEDTLILTMKGIAAGMQNTG >Potri.011G110700.9.v4.1 pep chromosome:Pop_tri_v4:11:14093777:14100566:1 gene:Potri.011G110700.v4.1 transcript:Potri.011G110700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110700.v4.1 MANFRNLEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLRRLVVDLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARLRNCLAQLYAKDITPNEKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADVLHRSSKRDSKHYIEFWKQIPPNEPYRVILGELRDRLYQTRERSRQLLSHGISETPEEATFTNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEEQRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPSDSFGAYIISMATAASDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALSRLFSIEWYRDQINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFAAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSKELWPFGEKLRANYKETKSLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYCVTPRPHLSKEIMESNKPADELVKLNPTSDYAPGMEDTLILTMKGIAAGMQNTG >Potri.011G110700.2.v4.1 pep chromosome:Pop_tri_v4:11:14093943:14100493:1 gene:Potri.011G110700.v4.1 transcript:Potri.011G110700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110700.v4.1 MANFRNLEKLASIDAQLRLLVPGKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENSATTESDIEETLRRLVVDLKKSPEEVFDALKNQTVDLVLTAHPTQSVRRSLLQKHARLRNCLAQLYAKDITPNEKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRVRADVLHRSSKRDSKHYIEFWKQIPPNEPYRVILGELRDRLYQTRERSRQLLSHGISETPEEATFTNVEQFLEPLELCYRSLCSCGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVMDAITKHLEIGSYREWSEEQRQEWLLSELSGKRPLFGPDLPKTEEIADVLDTFHVIAELPSDSFGAYIISMATAASDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALSRLFSIEWYRDQINGKQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEQSFGEEHLCFRTLQRFAAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDIRNLHMLQEMYNEWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSKELWPFGEKLRANYKETKSLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYCVTPRPHLSKEIMESNKPADELVKLNPTSDYAPGMEDTLILTMKGIAAGMQNTG >Potri.001G056500.1.v4.1 pep chromosome:Pop_tri_v4:1:4247947:4252008:-1 gene:Potri.001G056500.v4.1 transcript:Potri.001G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056500.v4.1 MACTSVLRSVLTTDPWMIPLHQNRAIIYYSLSSRKTVGVSTSKTPSIRAPEFHHFGRPRPTHVNCFSSYNNNNNNEDDQDQDPPQEAVLKAISEVSRTEGRVGQTTNVVIGGTVADDSTNEWLALDKKVNSYPTVRGFTAIGTGGDDFVQAMVIAVESVIQQPIPEGRVRQKVSSRGKYVSVNIGPVQVVSSEQVQAVYNAMRRDDRMKYFL >Potri.012G120676.1.v4.1 pep chromosome:Pop_tri_v4:12:13838709:13839127:-1 gene:Potri.012G120676.v4.1 transcript:Potri.012G120676.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120676.v4.1 MFLYILQDGRRVHVIEKDLTEPDRIVGELLQPGGYLKLIELGLEDCVSEIDAQRVLGYAIFKDGKSTKLSYPLENFLSDVAGRSFHNGRFIQKMRDKA >Potri.001G260700.1.v4.1 pep chromosome:Pop_tri_v4:1:27686650:27688072:-1 gene:Potri.001G260700.v4.1 transcript:Potri.001G260700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G260700.v4.1 MANEITNFIKVSFSVFASTCYCYAVGRIVPKGTSRLLCLLPIVGLFLYLPLHLHTIYLSGLTAFFIAWLANFKILLFAFGEGPLSSDLSISLASFVFVDCFPIRIQQKPSPRSRDSHLQDKEPKDGRKHMKYAIKVLLFAILANVPGYREYIHPQIVSFLYFLLLYVELETLFGVAAVAARVLIGLELEPPFNEPYLSTSLQDFWGRRWNLIVSSILRPAVYKPTSNLASLVVGRKWALIPAFMGTFLVSGLMHELILFYYVECDQRSPWELTCFFLLHGVCLLTEIALKKRFGGRWQLPRLVSGPLTIGFVLFTGFQLFLPSLDRCKAFEKAYVELAALMAFLGKESQRLADYLVRIPHYN >Potri.015G128500.1.v4.1 pep chromosome:Pop_tri_v4:15:13965010:13965817:1 gene:Potri.015G128500.v4.1 transcript:Potri.015G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128500.v4.1 MEAKIIPSSTSFNVLSLLFTFLLCISSSNVQTSSAAITTTTTPSITYTNYLKTACNSTTYPQLCLKSLSSYTSTIKTNELKLCSTALTVALKASSNTSKLVKSLSKIRGLSKTEAAIIRDCIEEIGNSIDEIKQSVKVLRSLTGSDRELQIDNLKTWVSGAITDQTTCTDGFDGNNVNYAVKRAITKSIVNVARLTSNALTFINNLSY >Potri.015G130900.2.v4.1 pep chromosome:Pop_tri_v4:15:14125817:14130670:1 gene:Potri.015G130900.v4.1 transcript:Potri.015G130900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130900.v4.1 MSFWGIEVKPGKPYPYHSDDVQGNLRVTQATLGLGSSEERCILQCSVGHKSPIFLCSLLPGKAESCSLNLEFSDELVAFSVIGPRSIHLCGYFDSEKGDHLRDEYEYDSGETIADTESDESSEYDYDDEYDDDFIDDDNDLQIYPPSPVPNGGVVIEEITEDDKPKKENGKSKRIKKKKNNQSSDQEDQNNSQRQIVLKRDAGISVLESEDEDGFPISSSAKRKVTVQEPQAEINGQKDKETTQETKKKIDREDNDDTTGKKRKVKSIDEDCQPESKTKKKKKKKQREQGAEEKIDEMDDKEENNNASRDEIKPEEVKRQDSTDGNKRNQRGLDTDAGSMPGEESSDKKKKKKKKKKKAQDSGTTTKEQAVSAVGGEAKSLLDSDDKQSTTKSSQARTFSNGLVIEELSMGKPDGKRASPGSQVSVHYIGKLKKNDKIFDSNVGRAPFKFRLGVGQVIKGWDVGVNGMRVGDKRRLTIPPSMGYGEQGAGGKIPPHSWLVFDVELVNVR >Potri.006G087800.1.v4.1 pep chromosome:Pop_tri_v4:6:6621430:6624829:-1 gene:Potri.006G087800.v4.1 transcript:Potri.006G087800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087800.v4.1 MASSIESSFWCYRCNRSIRLPTQDSILCPDCGGGFIEEIGITRPHHRLTAAHRTRLRTGGDHSPFNPVIILRGATTDLASGNFELYYDDGAASGLRPLPASISELLMDSGFERLLDQLTQMEINGVGRFDHPQASKAAIESMPVIKILNSHVSMESQCAVCKEAFEINTEAREMPCNHIYHSDCILPWLSIRNSCPVCRHELPTETGSGSGRNSPESDEETVGLMIWRLPGRGFAVGRFSGGRRAAERELPLVFTEMDGGFNNAGLPRSISWAPDGRRSRESGGVGRAFRNFFSFFRRIGRRSSNHSGQRA >Potri.015G024400.1.v4.1 pep chromosome:Pop_tri_v4:15:1821754:1826615:1 gene:Potri.015G024400.v4.1 transcript:Potri.015G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024400.v4.1 MATAAENDAGLKKLEYLSLVSKVCSELETHLGFGDKILAEFITELGRSCETVDEFDAKLKENGAEMPDYFVRTLLTIIHAILPPKAEKEGKKDKENDGSGKDSKFKALSIKDSRDRVKEIDRELEIEAEEKSRRENEDRHRERDNDDRHIERDRRGRDRDRERDRDRDTDRERERDMYDRDDRRRDRGRRRDGHDIEDGEGERERRNGKHGYGGGNSNEPELYGVYKGRVSRVMDTGCFVELSDFKGKEGLVHVSQIATRRVGNAKDVVKRDQEVYVKVISVSGNKLSLSMRDVDQNSGKDLLPLKKRDDEEDGFRSNALGLSKEGPVTRTGLSGIRIVEEEDTGPSRRPLKRMSSPEKWEAKQLIASGVLSVQEYPMYDEEIDGLLYQEEGVEEELEIEMNEDEPAFLQGQTRYSVDMSPVKIFKNPEGSLSRAAALQSALIKERREVREQQQRTMLDSIPKDLNRPWEDPMPETGERHLAQELRGVGLSAYDMPEWKKDAFGKALTYGQRSKLSIQEQRQSLPIYKLKKELIQAVHDNQVLVVIGETGSGKTTQVTQYLAEAGYTTRGKIGCTQPRRVAAMSVAKRVAEEFGCRLGEEVGYAIRFEDCTGPDTVIKYMTDGMLLREILIDENLSQYSVIMLDEAHERTIHTDVLFGLLKKLVKRRPDLRLIVTSATLDAEKFSGYFFNCNIFTIPGRTFPVEILYTKQPESDYLDASLITVLQIHLTEPEGDILLFLTGQEEIDFACQSLYERMKGLGKNVPELIILPVYSALPSEMQSRIFDPAPPGKRKVVVATNIAEASLTIDGIFYVIDPGFAKQNVYNPKQGLDSLVITPISQASAKQRAGRAGRTGPGKCYRLYTESAYRNEMSPTSIPEIQRINLGFTTLTMKAMGINDLLSFDFMDPPSPQALISAMEQLYSLGALDEEGLLTKLGRKMAEFPLDPPLSKMLLASVDLGCSDEILTMIAMIQTGNIFYRPREKQAQADQKRAKFFQPEGDHLTLLAVYEAWKAKNFSGPWCFENFVQSRSLRRAQDVRKQLLSIMDKYKLDVVSAGKNFTKIRKAITAGFFFHAARKDPQEGYRTLVENQPVYIHPSSALFQRQPDWVIYHELVMTTKEYMREVTVIDPKWLVELAPRFFKVSDPTKMSKRKRQERIEPLYDRYHEPNSWRLSKRRA >Potri.012G009200.2.v4.1 pep chromosome:Pop_tri_v4:12:514710:518252:1 gene:Potri.012G009200.v4.1 transcript:Potri.012G009200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G009200.v4.1 MGFLPLSLSQSLSFILFLFHFHSTISSPLSSNYQSLSLLQFKQSFSISRSASSEDYCQYPFPKTESWKEGTGCCLWDGVTCDLKTGHVTGLDLSCSMLYGTLLPNNSLFSLHHLQNLDLSFNDFNSSHISSRFGQFSSLTHLNLSGSVLAGQVPSEVSHLSKLVSLDLSLNYGLSLEPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLTACGLQGKLISSMGKFKHLQYLGLGGNNLTGPIPHDFDQLTELVSLDLSDNVYLSLEPISFDKLVRNLTKLRELDLSWVDMSLLVPDSLRNLSSSLSSLKLIYCRLQGIHSLSNLIQLTYLDLSGNNLNGQIISSLGNLTQLTYLVILGNNLNSQITSSLGNLTQLTYLDLSGNNLIGQIPSSLGNLTQLTFLDLSNNNLSGQIPSSLGNLVQLRYLCLSSNKFMGQVPDSLGSLVNLSGQIISSLSIVTQLTFLDLSRNNLSGQIPSSLGNLVHLRSLFLGSNKFMGQVPDSLGSLVNLSDLDLSNNQLVGSIHSQLNTLSNLQSLYLSNNLFNGTIPSSFFALPSLQNLDLHNNNLIGNISEFQHNSLRFLDLSNNHLHGPIPSSISNQENLTALILASNSKLTGEISSSICKLRCLLVLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNKLQGIIPSIFSKDNSLEYLNLNGNELEGKIPLSIINCTMLEVIDLGNNKIEDTFPYFLETLPELQVLVLKSNKLQGFVKGPIAYNSFSILRILDISDNNFSGPLPTGYFNSLEAMMASDQNMVYMGTTNYTGYDYSIEMTWKGVEIEFTKIRSTIKVLDLSNNNFTGEIPKAIGKLKALHQLNLSYNFLTGHIQSSLENLNNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNRLEGRIPSGKQFNTFNASSFEGNWGLCGFQVLKQCYGDEAPSLPPSSFDEGDDSTLFGDGFGWKAVTIGYGCGFVFGVATGYVVFRTKKPSWFFRMVEDIWNLKRKKTKKKVGRRGARRN >Potri.017G065444.1.v4.1 pep chromosome:Pop_tri_v4:17:5519452:5520302:1 gene:Potri.017G065444.v4.1 transcript:Potri.017G065444.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065444.v4.1 MGDWGPVVVALVLFVLLTPGLLFQLPGNSRVIEFCNRKTSGLSIFVHTVIFFGLITIFLIAVGVHIYTG >Potri.005G046100.2.v4.1 pep chromosome:Pop_tri_v4:5:2923579:2925728:-1 gene:Potri.005G046100.v4.1 transcript:Potri.005G046100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046100.v4.1 MRNWTVKFATISSFTGGKGTASERERDQQLFNQTTMMFMRNSAFRAIASSAIRVPQHHMEMGIFPLFFNHHHITTSTCTNNPFLPQKNGGFVSNTTNNISIDDALASFYSMVRMNPRPSVVEFCKFLGSFAKSKQYSTVVSLCDQIDLFGVAHNDYTLNILINSLCRLNHAHFAVSVLSKMFKLGIQPDVITFNTLINGLCNEEKIKDAAELFNEMVRRGHEPDVISYNTIINGLCKTGNTNMAVHVFKKMEQNGCKPDVVTYSTIIDSLCKDKLFNDAMDFLSKMVDRGISPNVFTYNSILHGFCNLGQLNEATRLFKEMVGKDVMPDTVTFNILVDGLCKEGMVLEARCVFEMMTEKGVEPNVYTYSALMDGYCLQNQMNEAHKVLDIMVGKGCAPDVHSYSILINGYCKSRRMDKAKALLTQMSEKELTPNTVTYNTLMHGMCQVGRPREAQKLFKEMCSSGLLPNLMTYSILLDGLCKHDGHLDEALQLLKAMQERKIEPDIVLHTIIIEGMFIAGKLEVAKELFSKLFTDGIQPTVQTYNVMIKGLLKEGLSNEAYELFRKMEVDGFLPNSCSYNVIIQGFLQNQDSTAAVQLIDEMVGKRFSADSSTFQMLLDLESHDEIISRFMRGSSQHRKMK >Potri.005G046100.3.v4.1 pep chromosome:Pop_tri_v4:5:2923488:2925714:-1 gene:Potri.005G046100.v4.1 transcript:Potri.005G046100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046100.v4.1 MMFMRNSAFRAIASSAIRVPQHHMEMGIFPLFFNHHHITTSTCTNNPFLPQKNGGFVSNTTNNISIDDALASFYSMVRMNPRPSVVEFCKFLGSFAKSKQYSTVVSLCDQIDLFGVAHNDYTLNILINSLCRLNHAHFAVSVLSKMFKLGIQPDVITFNTLINGLCNEEKIKDAAELFNEMVRRGHEPDVISYNTIINGLCKTGNTNMAVHVFKKMEQNGCKPDVVTYSTIIDSLCKDKLFNDAMDFLSKMVDRGISPNVFTYNSILHGFCNLGQLNEATRLFKEMVGKDVMPDTVTFNILVDGLCKEGMVLEARCVFEMMTEKGVEPNVYTYSALMDGYCLQNQMNEAHKVLDIMVGKGCAPDVHSYSILINGYCKSRRMDKAKALLTQMSEKELTPNTVTYNTLMHGMCQVGRPREAQKLFKEMCSSGLLPNLMTYSILLDGLCKHDGHLDEALQLLKAMQERKIEPDIVLHTIIIEGMFIAGKLEVAKELFSKLFTDGIQPTVQTYNVMIKGLLKEGLSNEAYELFRKMEVDGFLPNSCSYNVIIQGFLQNQDSTAAVQLIDEMVGKRFSADSSTFQMLLDLESHDEIISRFMRGSSQHRKMK >Potri.012G139400.2.v4.1 pep chromosome:Pop_tri_v4:12:15211116:15212587:1 gene:Potri.012G139400.v4.1 transcript:Potri.012G139400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139400.v4.1 MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAETIKKRRRATKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKRAKKAEVTAKVQKSSKGSVPKGAAPKGPKLGGGGGKR >Potri.005G162201.2.v4.1 pep chromosome:Pop_tri_v4:5:15920751:15921689:-1 gene:Potri.005G162201.v4.1 transcript:Potri.005G162201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G162201.v4.1 METDRLQIVCALNDGSETAGKAIIRRAAICRNPGDPLVIEEIEVEPPKAWEIRIRILHLLPGPNHEIFTDDPWAPLLLLHLLPEPNHWQLVGAKVNLLLQNWALDVLIRDVIQG >Potri.019G027860.1.v4.1 pep chromosome:Pop_tri_v4:19:4046217:4046932:1 gene:Potri.019G027860.v4.1 transcript:Potri.019G027860.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G027860.v4.1 MEVWALEGFGVSHILQEMLTYKSDYIRARQEVLVIGDEKEEPKFCEQCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKRLPSYIANLLDKPLKQLEGLVYCDV >Potri.010G207300.1.v4.1 pep chromosome:Pop_tri_v4:10:19747311:19753874:-1 gene:Potri.010G207300.v4.1 transcript:Potri.010G207300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207300.v4.1 MAARINYSVQNTLCLLNPLNYSINAKTTHFKTGINFSKNLIFPRKLRRPTFCTCAISNNHMQSSEAAETFVLTTPLYYVNAPPHMGSAYTTIAADAIARFQRLLGKKVIFVTGTDEHGEKIAASAAAAGSTPSEHCDVISQAYKTLWKDLEITYDKFIRTTDPKHEGIVKEFYSRVLAKGDIYRADYEGLYCVNCEEYKDEKELLDNKCCPTHLKPCIERKEDNYFFALSKYQKQLEENLTQNPKFVQPPFRLNEVQSWIKSGLKDFSISRASVDWGIPVPNDNKQTIYVWFDALLGYISALSAENEQPDLQTAVSSGWPASLHLIGKDILRFHAVYWPAMLMSAGVDLPKKVFGHGFLTKDGMKMGKSLGNTLEPNELVHNFGSDAVRYFFLREVEFGSDGDYSEERFINIVNAHLANTIGNLLNRTLGLLKKNCQSTLAVDSAVAAEGNALKDTIEKLVEKARIHYENLSLSSACEAVLEIGNAGNAYMDENAPWTRFKQGGAASEAAAKDLVIILEAMRIVAIALSPVAPSFCWRIYEQLGYSKDHFNTVTWSGTKWGGLKGGQVMAQPKPVFARIENKTELEDEAATKKPVKSKQKKPQAQVAAEA >Potri.001G271100.1.v4.1 pep chromosome:Pop_tri_v4:1:28577869:28582066:-1 gene:Potri.001G271100.v4.1 transcript:Potri.001G271100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271100.v4.1 MEIPVIDLSRYLEIADKLSTDPVILSGQVEEVGSWLSELCKEVSQILRETGALVVKDPRCTAEDNDKFIDMMEKYFERPREFKLLQERSHLHYQVGVTPEGVEVPRSLVDEEMQKKLKAMPKEFQPSTPSGPDPKWRYMWRVGPRPSNTRFKELNSEPVIPEGFPEWKDTMDSWGCKMISAIEAVAEMAAIGFGLSKDAFTSLMKQGPHLLAPTGSDLSCYGQEGTVFAGYHYDLNFLTIHGRSRFPGLNIWLRNGQKIEVKVPSGCLLIQTGKQIEWLTAGECMAGMHEVVVTNRTIDAIKLASQQNRSLWRVSSTLFAHIASDAMLKPLGHFVESPLASKYPLMCAGEFVEQELAVINLKGNKGES >Potri.001G401300.1.v4.1 pep chromosome:Pop_tri_v4:1:42734535:42737424:-1 gene:Potri.001G401300.v4.1 transcript:Potri.001G401300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401300.v4.1 MGASFLPSPAFLAVFLISFVTLSIHPEPALAITRHYKFDVMLQNVTRLCHTKSIVTVNGKFPGPRIVAREGDRLLIKVVNHVQNNISIHWHGIRQLRSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRSTLYGPLIILPKLGTTYPFAKPHKEVPIIFGEWFNADPEAIINQAMQTGGGPNVSDAYTINGFPGPLYNCSAKDTFKLKVKPGKTYLLRMINAALNDELFFSIANHTLTVVDVDAIYVKPFDTETLLIAPGQTTNVLLKTKPHHPNASFFMSARPYVTGQGTFDNSTVAGILEYEESNKTIKSSHSPKKLPFYKPNLPPLNDTSFATNFTSKLRSLASAEFPANVPQKVDRQFFFSVSLGTNPCSKNKTCQGPNGTMFAASVNNVSFVMPTKALLQAHHFGQSKGVYSPNFPINPLIPFNYTGTPPNNTMVSNGTKLVVLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGEGFGNFDPKKDPANFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVLDGKLPNQKLLPPPADLPKC >Potri.004G123500.1.v4.1 pep chromosome:Pop_tri_v4:4:11899323:11901055:-1 gene:Potri.004G123500.v4.1 transcript:Potri.004G123500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123500.v4.1 MGKPHIVVIPYPAQGHVIPFMELSQCLVKQGFKITFVSTEYNHKRVLKALRGNINLGGEISLVSLPDGLEACGDRNELGKLSKAIFQVMPGKLEELIDRINMTEEEKITCIITDWSMGWALEVAEKMKIRRAIYWPAAAAILCSLISIPKLLSDGIIDGDGTPLNNQMIQLAPTMPAMDTANFVWACLGDFTTQKIIFDLMVKTNEAAKMADRIISNSAYDLEPGAFSLAPNILPIGPLLASNRLGDQLGYFWPEDSTCLKWLDQQPPKSVVYVAFGSFTVFDKTQFQELAQGLELSSRSFLWVVRPDITTETNDAYPEGFQERVATRGRMVGWAPQQKVLSHPSISCFLSHCGWNSTMEGVSNGVPFLCWPYFADQFLNETYICDVWKVGLKFDKNKCGIITREEIKNKVETVISDEKIKARAAELKRLAMQNVGEAGYSSENFKNFIEWIKS >Potri.014G025500.1.v4.1 pep chromosome:Pop_tri_v4:14:1576804:1578374:-1 gene:Potri.014G025500.v4.1 transcript:Potri.014G025500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025500.v4.1 MNPLTVTPISECFIKPKHIVEEARQPYYLAPWDLVMLSVQYIQKGLLFAKPPKTENQQGFKIMDFLEDLKQSLSLTLVHFYPLAGRLATSKSENPPSYVVFVDCNNSPGARFTYATVDLTASDVLSPTYVPLVVQSFFDHDRALNHDGHTKSLLTIQVTELIDGIFIGCSVNHSIVDGSSFWHFFNMWSEIFQGKGDDVSISRPPVLNRWFPDGHGPALSLPFTHHDQFLSPFEAPLLKERMFHFSSESIARLKAKANAESNTNKISSFQSLSALVWRCITRARNLPHDQVTCCRMAINNRSRLNPPLSPDYFGNSIQALKAGVSTVGELLEQNLGWAAWQLHQAVVSHSDEKAREFLNLWLKSRFIYQIGKLFDPHSVMMGSSPRFNKYGNEFGLGKALALRSGYAHKFSGKASAYPGHEGGGSIELEICLSPDEMSALESDKEFMDVVSPSDKELMSG >Potri.008G210650.1.v4.1 pep chromosome:Pop_tri_v4:8:17892484:17893020:-1 gene:Potri.008G210650.v4.1 transcript:Potri.008G210650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210650.v4.1 MARQADRLIKIGLEGFAAIDESFGRAKRPPVLKIPNPHPPSQIPVTKVIDSDEAAQLYGGRGYINYRKGKPVPF >Potri.002G104700.3.v4.1 pep chromosome:Pop_tri_v4:2:7673464:7678678:-1 gene:Potri.002G104700.v4.1 transcript:Potri.002G104700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104700.v4.1 MSANLGCVIVAVDGSEESMNALRYALDNLKLRSPAPDSTETPGSFVILHVQPPPSIAAGLNPGAIPFGGPSGLEVPAFTAAIEAHQRRITEAILEHALEICREKKVNVKTQVVIGDPKEKICEVAENLPADLLVMGCRSFGPIKRMFLGSVSNYCTNQAQCPVIIVKGKDPSS >Potri.002G104700.5.v4.1 pep chromosome:Pop_tri_v4:2:7676919:7678720:-1 gene:Potri.002G104700.v4.1 transcript:Potri.002G104700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104700.v4.1 MSANLGCVIVAVDGSEESMNALRYALDNLKLRSPAPDSTETPGSFVILHVQPPPSIAAGLNPGAIPFGGPSGLEVPAFTAAIEAHQRRITEAILEHALEICREKKVNVKTQVVIGDPKEKICEVAENLPADLLVMGCRSFGPIKRMFLGSVSNYCTNQAQCPVIIVKGKDPSS >Potri.012G013700.1.v4.1 pep chromosome:Pop_tri_v4:12:1627890:1628815:-1 gene:Potri.012G013700.v4.1 transcript:Potri.012G013700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013700.v4.1 MASFSKILRPTDIYKRLSVPTKYLKSLPSFEGGHAVDFQAVDDKGHVWTFKCSVRKKGRYPKPVLAKGWLPFVASKNLKAGDKVKFYREKNKSAAKRVYKVQVAKEVRIFGVIFGYAPIF >Potri.006G095700.1.v4.1 pep chromosome:Pop_tri_v4:6:7267259:7270720:-1 gene:Potri.006G095700.v4.1 transcript:Potri.006G095700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095700.v4.1 MEMEGVSNGGMLYHEVQEAKLCAVHCVNTVLQGPFFSEFDLAALASDLDSKERQMMQEGNFFTSSVGDYLSAESHNVSLGGDFSIQVLQKALEVWDLQVIAFDSPVAEHAQIDPDLGNAFICHLHDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLAACMDSFKDSGWSIFLVIGNFPKEFPIESSEAPNGYGQWLSPEDAERITKSCNTTESPQTIDSTQQAYQETLLKK >Potri.001G402500.1.v4.1 pep chromosome:Pop_tri_v4:1:42827390:42833884:-1 gene:Potri.001G402500.v4.1 transcript:Potri.001G402500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402500.v4.1 MAKQKERKSNISGKPKHSLDTNRSNGTIKNTNSRSAATVRRLQMYNVRPKRDSKGKILKHDFQSNELPNTRIQPDRRWFGNTRVVNQKELEFFREELQSRMSSSYNVILKERKLPLSLLNDHQKQARVHLLDTQPFEDAFGPKTKRKRPRLLAADYESLVKKADGSQDDFEQKCGDEATREGTEADGFRDLVRHNMFEKGQSKRIWGELYKVIDSSDVVVQVIDARDPQGTRCYHLERHLKEHCKHKHMILLLNKCDLIPAWATKGWLRVLSKEYPTLAFHASINKSFGKGSLLSVLRQFARLKSDKQAISVGFVGYPNVGKSSVINTLRTKNVCKVAPIPGETKVWQYITLTKRIFLIDCPGVVYQNQDSETDIVLKGVVRVTNLHDATEHIGEVLKRVKKEHLRRAYKIKEWDDENDFLLQLCKSTGKLLKGGEPDQMTAAKMVLHDWQRGKIPFFVPPPRLEDESKEEPNAVNGIDTEDAGDSNQASAALKAIANVMSSQQQKTVPVQRDLFSENELKGNDDEQAVATEDEIPEEPSGTEGDMADELPTTE >Potri.003G131700.1.v4.1 pep chromosome:Pop_tri_v4:3:14998788:15002210:1 gene:Potri.003G131700.v4.1 transcript:Potri.003G131700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131700.v4.1 MELWTSARRSKVIGVRVVLVYLVLLCTIGVESRKSSKWDCFEYAAVSCRVHSASVSDFGGVGDGTTVNTEAFQAAIDHLSQFSSEGGSLLYVPPGRWLTGSFNLTSYFTLYLDKDAVLLGSQDESEYPLIEPLPSYGRGRDADGARFSSLIFGNNLTDVVITGANGTIDGQGELWWTKFRAGELNHTRPYLIEIMFSTNIQISNLTLINSPSWNVHPVYCSNVVVQGLTILAPVRSPNTDGINPDSCTNTKIQDCYIVSGDDCVAVKSGWDEYGIAFGMPTKQVVIRRLTCISPTSAVIALGSEMSGGIEDVRAEDITAIDSESGVRIKTAVGRGGYVKDIYVRGMTLKTMKWVFWMTGNYGSHPDNNYDPNAIPVIQNINYRDVVAENVTMAARLEGIAGDPFTGICISNVTIGLARNRKKLQWNCSDVAGITSEVTPKPCDLLSDQGPGKIGACNYPEDNLPIENMEVQTCSSMLVT >Potri.002G145251.1.v4.1 pep chromosome:Pop_tri_v4:2:10971974:10972078:1 gene:Potri.002G145251.v4.1 transcript:Potri.002G145251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145251.v4.1 MSNVPTSLSEECLTLFGLTMSVADPWPFSIPITH >Potri.010G196300.1.v4.1 pep chromosome:Pop_tri_v4:10:19015311:19015803:1 gene:Potri.010G196300.v4.1 transcript:Potri.010G196300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G196300.v4.1 MATPMKNICFVMFLATLSIALFNQVDGAGECGKTTTPDKEAFKLAPCASAAQDENASVSSQCCAKVKKIEQNPACLCAVMLSNTAKSSGIDPEIAMTIPKRCNIADRPVGYKCGAYTLP >Potri.008G128200.1.v4.1 pep chromosome:Pop_tri_v4:8:8336451:8337846:-1 gene:Potri.008G128200.v4.1 transcript:Potri.008G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128200.v4.1 MATQFLMSIILLSLLISFSSSLPIYSILDATQILSNSGYVAMALILEFGSQTDLIPPSQSLTIFSPSDTAFSLSGQPSLDLLHFHFTPRSFSLNSLKSLPPGYQIPTLFSNHSLVISSNADSQTSVNGVKINGSALYDDGFLVIFGVDNFLDPDFTVSGSINGSTGGIRGCYVTSGDDDCSFEEASGVLKSRGYSVMASFLDLQLAKFKDHTRLTILAPVDEVVKGFMGDFSDYRSIFLRHVVPCKISWRDLVSLDDGVVLPTYLRGFKINVTVSSTFLMFNGVQVIVPEIYSNSWLTVHGLGGSLVMQEPTATASNAEKIVVDFKAIKVLIAFSFLLLCTSQLNSIL >Potri.019G008300.2.v4.1 pep chromosome:Pop_tri_v4:19:1112269:1115038:-1 gene:Potri.019G008300.v4.1 transcript:Potri.019G008300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008300.v4.1 MAYKIKVWCFLLFLLKLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNHLVTTAKANYRPYGIDFLNGTTGRFTNGRTTVDIIGELLGFDQFIPPFATARGRDILVGVNYASGAAGIRDESGRELGDRISLNEQLQNHAATFNRSIQLLGTKQAATNYLNKCLYYVSLGTNDYINNYFVPGNYETSRLYTPDQYAKVLIDQYSQQIKRLYLFGARKIALPGLIPLGSIPYASSTLCLKNLSCVANINNAVLPFNAGLFSLVHQLNQELNDTRFIYLNISGMSSSDPSVPGSRVANVGCCPVLGSACILDSTPCVNRTEYVFWDAIHPTESSNQFTARRSYSAFLPSDAYPYDISHLVNMRI >Potri.006G263500.1.v4.1 pep chromosome:Pop_tri_v4:6:25951190:25953034:1 gene:Potri.006G263500.v4.1 transcript:Potri.006G263500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263500.v4.1 MEERHVIFGKYEMGRLLGKGTFAKVYYGKHLVTGESVAIKVISKDQVKKEGMMEQIQREISVMRLVRHPNIVELKEVMATKTKIFFIMEYVRGGELFAKVAKGRLKEEAARKYFQQLISAIDYCHSRGVYHRDLKPENLLLDEDENLKISDFGLSALPEQFRQDGLLHTQCGTPAYVAPEVLRKKGYDGSKADIWSCGVILYVLLAGFLPFQDENVMKMYRKVFKAEYQFPPWFSTDSKRLISRLLVADPEKRITIPAIMRNHWFLKGFSRPVAFSIQESSMDQTGQEQDLDSCSVVNTKVSSPEFFNAFEFISSMSSGFDLSSLFETKRKSGSMFTSKFSASAIMEKIEGVAKGLSYKVAKVKDFKVTLQGPCEGRKGKLAVTAEVFEVAPEVAVVEFSKSSGDTLEYTKFCEEDVRPALKDIVWTWQGDNVCNKDNNNSHVEDREIQML >Potri.008G053800.1.v4.1 pep chromosome:Pop_tri_v4:8:3152832:3156267:-1 gene:Potri.008G053800.v4.1 transcript:Potri.008G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053800.v4.1 MAASQGSLLLQKQLRNLCKNPVDGFSAGLIDENNVFEWNVTIIGPPDTLYEGGFFNATMSFPQNYPVSPPTVRFTSEVWHPNVYANGKVCISILHPPGDDPNGYELATERWSPVHTVESIVLSIISMLSSPNDESPANVDAAKQWRENRDEFKKKVSRCVRKSQEMM >Potri.004G106600.1.v4.1 pep chromosome:Pop_tri_v4:4:9365180:9367131:1 gene:Potri.004G106600.v4.1 transcript:Potri.004G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106600.v4.1 MILEALILVFLYGFWKILARNSEGKKSTRAPEPSGAWPLFGHLPSLVGKDPACKTLGAIADKYGPIYSLKFGIHRTLVVSSWETVKDCLNTNDRVLATRAGIAAGKHMFYNNAAFALAPYGQYWRDVRKLATLQLLSNQRLEMLKHVRVSEVDTFIKGLHSFYAGNVDSPAKVNISKLLESLTFNINLRTIVGKRYCSSTYDKENSEPWRYKKAIKKALYLSGIFVMSDAIPFLEWLDYQGHVSAMKKTAKELDAVIRNWLEEHLKKKIDGELGSDRESDFMDVMISNLAEGPDRISGYSRDVVIKATALILTLTGAGSTATTLVWTLSLLLNNPTVLKAAQEELDKQVGRERWVEESDIQNLKYLQAIVKETLRLYPPGPLTGIREAMEDCSIGGYDVPKGTRLVVNIWKLHRDPRVWKNPNEFKPDRFLTTHADLDFRGQNMEFIPFSSGRRSCPAINLGLIVVHLTLARILQGFDLTTVAGLPVDMIEGPGIALPKETPLEVVIKPRLGLELY >Potri.002G008176.1.v4.1 pep chromosome:Pop_tri_v4:2:493580:493906:-1 gene:Potri.002G008176.v4.1 transcript:Potri.002G008176.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008176.v4.1 GRKEKRVRSNKYLVLELRNSMARIFSILLFITCVYSLGRIPSPIFTKKLKETSETEEREEETDVEIETTPETKGTKQEQEGSTEEDPSSSLFSEEKENPDKIDEEWLT >Potri.001G124300.2.v4.1 pep chromosome:Pop_tri_v4:1:10247257:10250105:1 gene:Potri.001G124300.v4.1 transcript:Potri.001G124300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124300.v4.1 MDLQRAMLDELMGADRNLTEEERKDYKEITWDSKEVCAYYMARFCPHDLFVNTKSDIGPCDRVHDPKLKESFEKSPRHDAYLAKFEAELAQRCEKLVMELDRRVRRGRERLAQGDEPMPPPPLSADKSERLSVVEEKIKNLLVQVEALGEVGKVDEAQALMKKVDELNAEKALIQCQNDKVLVVPQEKKMALCEICGSFLVANDVAERTQSHITGKQHIGYGMVRDYITEYKEAKEKAREEERLAREKEAEERRKLREKENESRRSGSGDRDRYHDRDRERERDRHRDRERSREWNGRGSRDDWRAKNGRDGGRDRHRDRSRSCSPVRHGRRRSPRSPVRPN >Potri.009G061100.2.v4.1 pep chromosome:Pop_tri_v4:9:6314128:6323682:-1 gene:Potri.009G061100.v4.1 transcript:Potri.009G061100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G061100.v4.1 MSEESALQPVGLRKPKIPLSVFFRDARRVFKKDELGSEIIRIALPAAMALAADPVASLIDTAFIGRLGPVEIAAVGVAIAIFNQASKVTIFPLVSITTSFVAEEETLQRNREVEAEKAGDLNKDAESGKAKESVPDEEMLENLEKGSDTNNEKNIEKKDSVPGDDCKATTSKSTTLTDTKSVEPKRNKERLHIPSASTALIVGGILGLVQTIFLVFGAKPLLNIMGVKSDSAMLTPARKYLTLRALGSPAVLLSLAMQGVFRGFKDTRTPLYATVIGDLTNIVLDPIFIFVFKWGVSGAAIAHVLSQYLISVILLWKLMRKVNLLPPSVKDLQFSRFLKNGFLLLARVVAATICVTLAASRATRLGSTTMAAFQICLQVWLTSSLLADGLAVAGQAIIACAFAEKNYQKATTAATRVLQMSFILGLGLAVFVGLGLHFGGVIFSKDPDVLHIIAIGIPFVAATQPINSIAFVFDGVNFGASDFAYSSYSMVLVAIASIPAIFVLSKTGGFVGIWVALTIFMGLRTFAGVWRMGTGTGPWRFLRGRLLT >Potri.001G329900.1.v4.1 pep chromosome:Pop_tri_v4:1:33861326:33864459:1 gene:Potri.001G329900.v4.1 transcript:Potri.001G329900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329900.v4.1 MAQTLSEKELYSVRRRMASVANHLMVPSPPTASSSKCDSVELVPNAASMNDNYHRVHGNVSNKEVVWRNVAASDGSTKDFTDIIYQKAVGEGIAKIVINRPERRNAFRPRSVKELIAAFNDARDDSSVGVIILTGKGTKAFCSGGDQALRTKDGYADPNDMGRLNVLDLQVQIRRLPKPVIAMVAGYAVGGGHILHMVCDLTIAADNAIFGQTGPKVGSFDAGYGSSIMSRLVGPKKAREMWFLTRFYTASEAEKMGLVNTVVPLDNLEQETVKWCREILRNSPTAIRVLKSALNAVDDGHAGLQELAGNTTLIYYGTEEGSEGKSAFMERRPPDFSKFPRRP >Potri.T084100.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:33194:34041:1 gene:Potri.T084100.v4.1 transcript:Potri.T084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084100.v4.1 MGNIHRAFLILFSLIVIHVLMSSLLCLHHERKVQLLDRNQGRRLLVPFASLSANVNKSTGANRDPRKAAETSLRKAPPSFSNPTQNK >Potri.019G013797.1.v4.1 pep chromosome:Pop_tri_v4:19:223257:226294:1 gene:Potri.019G013797.v4.1 transcript:Potri.019G013797.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013797.v4.1 MGSSFLFLFFSSTLLPYLCISGPSTVQTIKQPFTASHFFFIDQSGVFLISSNGNFTASISNSEENPPYYFCITHVKSNAIIWIANRNHPISDSDKLYLTTNGLAINSTYNSSTTSVVWSTEGLSPSSQVSAMELRDSGNLVLLNRNNVSLWESFDQPTDTIVMGQSLAVGTSVDCYNAENDMSVGDYRLVVTGGDAVLQWNGMSYWKLSMEPKGSQDSKVPVSFLALNDTGLFLLGSDRSTVVIKLTLGPADFRVAKLGFDGKLSVRKFVDQNWVQEFVSPADECQIPLSCNKMGLCSSGRCSCPPNFHGDPLSKSGCTPTDASLALPSGCSNGKELNSSVFYVNLGSELDYFANGFMAPAKRDINLLACQDLCTRNCSCLGIFYGNSSGSCYLLENPLGSIMEASSSNSKRLGYVKTIVVSSRANKVNESAKFPIVGLVLLPSSGILLIIIVVLGFICWRRNRLYRTAKLKLGRGDSSSSELEIISIPGLPVRFNYEDLVAATESFSTQIGSGGFGTVYKGTLPDKSVVAVKKITNVGVQGKKEFCTEIAIIGSTRHVNLVKLKGFCAQGRQRFLVYEYMNRGSLDRTLFGNGPVLKWQERFEIALGTARGLAYLHSYCERKIIHCDVKPENILLHDNLQVKISDFGLSKLLTPEQSSLFTTMRGTRGYLAPEWLAGVTISDKADVYSYGMVLLEIVRGRKNSAAQPQSRSIENDSSEGNGTSSSSSGWEPRSAYFPLHALEMHEKKRYSELADSRLERRVANEEVEKLVKVALCCLHEDPTLRPTMVNVVGMLEGITPLAEPRQESLNFLRFYGRRFSEASRIEGSNERNEFGLFPQANLTSGTSSSYTSMSYLSAQQLSGPR >Potri.007G139300.1.v4.1 pep chromosome:Pop_tri_v4:7:14980575:14987232:-1 gene:Potri.007G139300.v4.1 transcript:Potri.007G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139300.v4.1 MVFGYFDMVNLEGFLWRRGLGTVGWIFWLWFVLLGLLQAVVGLRPLRERAQSWSDEWLFIRKDENDLGPFSMWNITGTYRGSWKFLDSANSSSMFPDFRKSNGDSVIELVSTPTKINGVHYVQGVIIFHDVFDNKHNAGGAQIRVEGVYIWPFRQLRMVANSGKEGEFSQEEDYMLSNPYHLLGVFSSQVFLDSPQNKIWRRKNWPIYEMEKHCNIEIAAQITRLSSVQSDGDCDRFHIEGLMESPAADDEGDCFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHSNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLSIWKAGRPMNSGEGWETMRRELSVLYSRFYGILLGGILVMYEFHNYLRSILFLMYSFWIPQIFTNVIRDSRKPLHPHYILGMTVTRLAIPLYIFGCPNNFMRTEPDKTWCLYLGVFVGLQASILLLQHYLGSRWFIPRQILPEKYFYYRRFDQESNHTTDCVICMTAIDLTRHSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >Potri.016G113500.1.v4.1 pep chromosome:Pop_tri_v4:16:11710286:11713045:-1 gene:Potri.016G113500.v4.1 transcript:Potri.016G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113500.v4.1 MDVVSQLQRQLADFLAPLYREGFVDDQFTQLQKLQDESSPDFVMEVVSLFFEDCEKLVNNMAKALEQQVVDFKQVDSHVHQLKGSSSSIGAARIKNVCIAFKTFCEAQNREGCLRCLQQVNHEYTQLKANLQTLFTLERQIVAAGGSVPAMQ >Potri.006G015500.1.v4.1 pep chromosome:Pop_tri_v4:6:987214:988587:1 gene:Potri.006G015500.v4.1 transcript:Potri.006G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015500.v4.1 MGRLYIETVQNSGGEGGGEGRVRKIFKCKWCKVEFASYYDILSKDFQGRFGRAYLFRNVVNISLGPSEERLLVSGWHTVCDIYCTSCQQILGWKYEKAYEESQKYKEGMYILEKERMLKEGW >Potri.012G093500.3.v4.1 pep chromosome:Pop_tri_v4:12:11800318:11806495:1 gene:Potri.012G093500.v4.1 transcript:Potri.012G093500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G093500.v4.1 MENQEGTQQPHLALAHKLFLLTHHDVQDIEKVRLKEEVLTAIKSDDMVPLYETLVAESLLEKDQSLLDSVRAKNEDELNKLDEKIADAEENLGESEVREAHLAKSLFYIRIGDKDKALEQLKVTEGKTVAVGQRMDLVFYTLQLGFFCMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCLSTRNFKKAADLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPHLSEFLNSLYDCQYKSFFSAFAGLTEQIKLDRYLHPHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVEFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Potri.012G058100.1.v4.1 pep chromosome:Pop_tri_v4:12:5725882:5734219:-1 gene:Potri.012G058100.v4.1 transcript:Potri.012G058100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058100.v4.1 MDIDEDDFVFYGTPIEREEELNSRKKKAVAEASGHLRTLPSWKQEVRDEEGRRRFHGAFTGGFSAGYYNTAGSKEGWTPQSFTSSRKNRAEFKQQSMLNFLDEDEKEELEGRSLGTASQFDTFGFTAAEIARKQAEKEQQQRPSAVPGPAPDEIVLPATESIGVKLLLKMGWRHGHSIKDSHANSLYKARREARKAFLAFSSDDAKSQPEDSEPGEEDHKSILDHQPIDDGFPSSQSTPVYILNPKEDTHGLGYDPYKHAPEFREKKRTRVSGKRGSGNKQALSIKDSLFGLKSGRAAPGFGIGALEDYDAEDEDVYATAYDIEDTYIQEDEEPLRSNTENKPKLVWKEQGVLPGFKVASNSDYQLERFDPPVIPKDFLPHHKFPGPLEFDKKPATLSPPPPEVPPPEDDNSKVLIEGVATLVARCGKLFEDLSREKNQSNPLFSFLTGGNGHDYYSRKLWEEQQKRNGQKKIALDGKLSSSVDKMTVESRGKILGEMPLERSSRDLSSSIASVNVNLPFNLSDTFTKPESSSEFPEVAKPFQDDPGKQERFEQFLKEKYQGGIRSTASAGASNMSEAARARERLDFEAAAEAIEKGKLNKENKLSQQLMAFPASGGMQFTLGGLQQGKDTPDEDLATKKIYPRREEFQWRPSSVLCKRFDLIDPSMGKPPPPPRMRSKMDSLIVTSDLKAMKMEEAFSADRNQPLALQFSPQEVSKDVVDRETEPEVQVENVERPVDLYKAIFSDDSDDEMEASNFNAKEDPEKKIEVAHSTLNRLMAGDFLESLGRELGLEVPPNPPYSTNIARSSHQKESAIANAGNDNIPSVEEKSFSIPIAHGVSQEERVANDEKTAKKGESRKDEQPRPSEDKSDKVYSGKIAQEDKKKAKLPRSVHRKRSSTSSEDERSRKRSRRHRDSTSDSYSDSSNDHRDRYRSKSKGRKKRSSREKKSSKKYSKQHKHGSRDSQ >Potri.008G175200.2.v4.1 pep chromosome:Pop_tri_v4:8:12140025:12142428:1 gene:Potri.008G175200.v4.1 transcript:Potri.008G175200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175200.v4.1 MIRIPPPFASSTSMAQTNNQELQENQSKDMDEGLALAMETMGKERERKRKKMREEGGLPLCQDPLDLLGRDLMLRVLNNLDARSVARCLVVSRSWNCVASSDLLWTSKCEELWHGKAHLPRLSLVRGVSKLDAYSLSVMDGKRTRIAKDDLCDHVWDFHFTKVAPEYWRNLDPYWKGNGPPMHRYFHQDGSQTADPDDKVWGGHECCYSIVTSMVGGGKIREHYVRINRWLPLAVSRKQDWGWEMSNNFYCYSSVPDAYKEGGTGPLFLVM >Potri.017G137600.1.v4.1 pep chromosome:Pop_tri_v4:17:13885991:13891467:1 gene:Potri.017G137600.v4.1 transcript:Potri.017G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137600.v4.1 MPLEGVFIEPSSNPVPDLSLHISPPNISSPSSICNNNSNIRDKIDASFNLLGRQEAIRKSNTAISMRSDSQACIELSLAHPTTGLNEESIRSRRNLSSARVEELPHNNPYRQQSHHHQHLHRSNGNTHHLNHINHGVSLLEVSDGLRPIKGIPVYHNRSFPFMPLEHSSRENKDPKMCFYQMPYPSSSLCSPSVNPHSSSSTSSPYSIGGGGLDPMSMLNSSGPNQALPGPYNRLAPTTRFNGLSMDAFKSHQLHHHHNQYGVGSTDGSPHGLIRSRFLPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPAASSDGSGEEDMAPIASFRTANEQGGLQRVVQADGPTAQQDMDYPSTTTSAATTLWSNSSSGREAWPQTNSNDIDGHRQGTFQSQQRSGQQMEECNSTQLKSYLGSSNMDCKNPSLEFTLGRPDWQGKEHC >Potri.005G234300.3.v4.1 pep chromosome:Pop_tri_v4:5:23281386:23289847:1 gene:Potri.005G234300.v4.1 transcript:Potri.005G234300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234300.v4.1 MGALGPVSPWMPGDDVLLRNSIEAGASLESLAKGAVQFSRKFTVREIQDRWYSLLYDPVVSAEAAFHMTEFERSTSTLPSKYSRAGNSKENKYFSGKRKTESVHSCYYALRKRICSEPFNSMDLSFLVGPNNSNYAGNEYEQLSGQCTLGDPVTNYFVHQESNLDIMHHAFPEIMDGDPAHAFDTQFQNTFQEDYPMEQDNIHEHIPRILGEDLSNTESRTVVREFSQQLPVNDDLVHGCSNFDGKEVLQSPVPDRGSSFQNLEYPSPLHEMPMWRMDEVISAPYIPNNLRLCDKDLHPGDTFSLLDDGDIKNRCLTEYDDLHEDSKLQMEMLTDVPQNSSHSTEDFLAELTNYLSNDEEGSGVDVDGKDFSTDPYIACLNTILLSSPNSENEKHMPSVTEPESSISADCLKNHSGVCPGNLWEDRGSHYSVDVVCNSEMQFVSSTSVLDPHPEVKDGVICCVLNSEDTEIPCNGDILFPTEWHPSSAASLASRSSQDAGKPNSLFVKELISNKKSGVPVVVHRDLDNPRQPRASSQMTRLQVMPERGLLHPAGDHVLKFELPSSEATHRGGAGFSSGGSTQFNSADTEMETLVTAKVKEETTDTPLVKHMSHDPADSLTEKLDFVSDCFTYPQTNVSAVKQAEDAPAGVQNHQASHMKVGSSDIAASELVENHSISDPAEPPIQSDDDVPYFSDIEAMILDMDLDPQDQDLYCSEEVSRYQHEDMKRAIIRLEQGARSYMQRSIASHGAFAVMYGRHSKHYIKKPEVLLGRATEDVTVDIDLGREGRANKISRRQATIYLDKSGSFYLKNLGKCSLSVNDKEIAPGRSLSLSSGCLIEGNAFHI >Potri.005G234300.1.v4.1 pep chromosome:Pop_tri_v4:5:23281371:23289856:1 gene:Potri.005G234300.v4.1 transcript:Potri.005G234300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234300.v4.1 MGALGPVSPWMPGDDVLLRNSIEAGASLESLAKGAVQFSRKFTVREIQDRWYSLLYDPVVSAEAAFHMTEFERSTSTLPSKYSRAGNSKENKYFSGKRKTESVHSCYYALRKRICSEPFNSMDLSFLVGPNNSNYAGNEYEQLSGQCTLGDPVTNYFVHQESNLDIMHHAFPEIMDGDPAHAFDTQFQNTFQEDYPMEQDNIHEHIPRILGEDLSNTESRTVVREFSQQLPVNDDLVHGCSNFDGKEVLQSPVPDRGSSFQNLEYPSPLHEMPMWRMDEVISAPYIPNNLRLCDKDLHPGDTFSLLDDGDIKNRCLTEYDDLHEDSKLQMEMLTDVPQNSSHSTEDFLAELTNYLSNDEEGSGVDVDGKDFSTDPYIACLNTILLSSPNSENEKHMPSVTEPESSISADCLKNHSGVCPGNLWEDRGSHYSVDVVCNSEMQFVSSTSVLDPHPEVKDGVICCVLNSEDTEIPCNGDILFPTEWHPSSAASLASRSSQDAGKPNSLFVKELISNKKSGVPVVVHRDLDNPRQPRASSQMTRLQVMPERGLLHPAGDHVLKFELPSSEATHRGGAGFSSGGSTQFNSADTEMETLVTAKVKEETTDTPLVKHMSHDPADSLTEKLDFVSDCFTYPQTNVSAVKQAEDAPAGVQNHQASHMKVGSSDIAASELVENHSISDPAEPPIQSDDDVPYFSDIEAMILDMDLDPQDQDLYCSEEVSRYQHEDMKRAIIRLEQGARSYMQRSIASHGAFAVMYGRHSKHYIKKPEVLLGRATEDVTVDIDLGREGRANKISRRQATIYLDKSGSFYLKNLGKCSLSVNDKEIAPGRSLSLSSGCLIEIRGMPFIFEINQTCVKQYLAQKNQTQEHLV >Potri.005G234300.5.v4.1 pep chromosome:Pop_tri_v4:5:23281382:23289807:1 gene:Potri.005G234300.v4.1 transcript:Potri.005G234300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234300.v4.1 MGALGPVSPWMPGDDVLLRNSIEAGASLESLAKGAVQFSRKFTVREIQDRWYSLLYDPVVSAEAAFHMTEFERSTSTLPSKYSRAGNSKENKYFSGKRKTESVHSCYYALRKRICSEPFNSMDLSFLVGPNNSNYAGNEYEQLSGQCTLGDPVTNYFVHQESNLDIMHHAFPEIMDGDPAHAFDTQFQNTFQEDYPMEQDNIHEHIPRILGEDLSNTESRTVVREFSQQLPVNDDLVHGCSNFDGKEVLQSPVPDRGSSFQNLEYPSPLHEMPMWRMDEVISAPYIPNNLRLCDKDLHPGDTFSLLDDGDIKNRCLTEYDDLHEDSKLQMEMLTDVPQNSSHSTEDFLAELTNYLSNDEEGSGVDVDGKDFSTDPYIACLNTILLSSPNSENEKHMPSVTEPESSISADCLKNHSGVCPGNLWEDRGSHYSVDVVCNSEMQFVSSTSVLDPHPEVKDGVICCVLNSEDTEIPCNGDILFPTEWHPSSAASLASRSSQDAGKPNSLFVKELISNKKSGVPVVVHRDLDNPRQPRASSQMTRLQVMPERGLLHPAGDHVLKFELPSSEATHRGGAGFSSGGSTQFNSADTEMETLVTAKVKEETTDTPLVKHMSHDPADSLTEKLDFVSDCFTYPQTNVSAVKQAEDAPAGVQNHQASHMKVGSSDIAASELVENHSISDPAEPPIQSDDDVPYFSDIEAMILDMDLDPQDQDLYCSEEVSRYQHEDMKRAIIRLEQGARSYMQRSIASHGAFAVMYGRHSKHYIKKPEVLLGRATEDVTVDIDLGREGRANKISRRQATIYLDKSGSFYLKNLGKCSLSVNDKEIAPGRSLSLSSGCLIEVWECLSYLR >Potri.005G234300.4.v4.1 pep chromosome:Pop_tri_v4:5:23281348:23289852:1 gene:Potri.005G234300.v4.1 transcript:Potri.005G234300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234300.v4.1 MGALGPVSPWMPGDDVLLRNSIEAGASLESLAKGAVQFSRKFTVREIQDRWYSLLYDPVVSAEAAFHMTEFERSTSTLPSKYSRAGNSKENKYFSGKRKTESVHSCYYALRKRICSEPFNSMDLSFLVGPNNSNYAGNEYEQLSGQCTLGDPVTNYFVHQESNLDIMHHAFPEIMDGDPAHAFDTQFQNTFQEDYPMEQDNIHEHIPRILGEDLSNTESRTVVREFSQQLPVNDDLVHGCSNFDGKEVLQSPVPDRGSSFQNLEYPSPLHEMPMWRMDEVISAPYIPNNLRLCDKDLHPGDTFSLLDDGDIKNRCLTEYDDLHEDSKLQMEMLTDVPQNSSHSTEDFLAELTNYLSNDEEGSGVDVDGKDFSTDPYIACLNTILLSSPNSENEKHMPSVTEPESSISADCLKNHSGVCPGNLWEDRGSHYSVDVVCNSEMQFVSSTSVLDPHPEVKDGVICCVLNSEDTEIPCNGDILFPTEWHPSSAASLASRSSQDAGKPNSLFVKELISNKKSGVPVVVHRDLDNPRQPRASSQMTRLQVMPERGLLHPAGDHVLKFELPSSEATHRGGAGFSSGGSTQFNSADTEMETLVTAKVKEETTDTPLVKHMSHDPADSLTEKLDFVSDCFTYPQTNVSAVKQAEDAPAGVQNHQASHMKVGSSDIAASELVENHSISDPAEPPIQSDDDVPYFSDIEAMILDMDLDPQDQDLYCSEEVSRYQHEDMKRAIIRLEQGARSYMQRSIASHGAFAVMYGRHSKHYIKKPEVLLGRATEDVTVDIDLGREGRANKISRRQATIYLDKSGSFYLKNLGKCSLSVNDKEIAPGRSLSLSSGCLIEVCCNRSFFSIMHG >Potri.005G234300.6.v4.1 pep chromosome:Pop_tri_v4:5:23281386:23289847:1 gene:Potri.005G234300.v4.1 transcript:Potri.005G234300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234300.v4.1 MGALGPVSPWMPGDDVLLRNSIEAGASLESLAKGAVQFSRKFTVREIQDRWYSLLYDPVVSAEAAFHMTEFERSTSTLPSKYSRAGNSKENKYFSGKRKTESVHSCYYALRKRICSEPFNSMDLSFLVGPNNSNYAGNEYEQLSGQCTLGDPVTNYFVHQESNLDIMHHAFPEIMDGDPAHAFDTQFQNTFQEDYPMEQDNIHEHIPRILGEDLSNTESRTVVREFSQQLPVNDDLVHGCSNFDGKEVLQSPVPDRGSSFQNLEYPSPLHEMPMWRMDEVISAPYIPNNLRLCDKDLHPGDTFSLLDDGDIKNRCLTEYDDLHEDSKLQMEMLTDVPQNSSHSTEDFLAELTNYLSNDEEGSGVDVDGKDFSTDPYIACLNTILLSSPNSENEKHMPSVTEPESSISADCLKNHSGVCPGNLWEDRGSHYSVDVVCNSEMQFVSSTSVLDPHPEVKDGVICCVLNSEDTEIPCNGDILFPTEWHPSSAASLASRSSQDAGKPNSLFVKELISNKKSGVPVVVHRDLDNPRQPRASSQMTRLQVMPERGLLHPAGDHVLKFELPSSEATHRGGAGFSSGGSTQFNSADTEMETLVTAKVKEETTDTPLVKHMSHDPADSLTEKLDFVSDCFTYPQTNVSAVKQAEDAPAGVQNHQASHMKVGSSDIAASELVENHSISDPAEPPIQSDDDVPYFSDIEAMILDMDLDPQDQDLYCSEEVSRYQHEDMKRAIIRLEQGARSYMQRSIASHGAFAVMYGRHSKHYIKKPEVLLGRATEDVTVDIDLGREGRANKISRRQVRSVQVY >Potri.005G234300.7.v4.1 pep chromosome:Pop_tri_v4:5:23281371:23289769:1 gene:Potri.005G234300.v4.1 transcript:Potri.005G234300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234300.v4.1 MGALGPVSPWMPGDDVLLRNSIEAGASLESLAKGAVQFSRKFTVREIQDRWYSLLYDPVVSAEAAFHMTEFERSTSTLPSKYSRAGNSKENKYFSGKRKTESVHSCYYALRKRICSEPFNSMDLSFLVGPNNSNYAGNEYEQLSGQCTLGDPVTNYFVHQESNLDIMHHAFPEIMDGDPAHAFDTQFQNTFQEDYPMEQDNIHEHIPRILGEDLSNTESRTVVREFSQQLPVNDDLVHGCSNFDGKEVLQSPVPDRGSSFQNLEYPSPLHEMPMWRMDEVISAPYIPNNLRLCDKDLHPGDTFSLLDDGDIKNRCLTEYDDLHEDSKLQMEMLTDVPQNSSHSTEDFLAELTNYLSNDEEGSGVDVDGKDFSTDPYIACLNTILLSSPNSENEKHMPSVTEPESSISADCLKNHSGVCPGNLWEDRGSHYSVDVVCNSEMQFVSSTSVLDPHPEVKDGVICCVLNSEDTEIPCNGDILFPTEWHPSSAASLASRSSQDAGKPNSLFVKELISNKKSGVPVVVHRDLDNPRQPRASSQMTRLQVMPERGLLHPAGDHVLKFELPSSEATHRGGAGFSSGGSTQFNSADTEMETLVTAKVKEETTDTPLVKHMSHDPADSLTEKLDFVSDCFTYPQTNVSAVKQAEDAPAGVQNHQASHMKVGSSDIAASELVENHSISDPAEPPIQSDDDVPYFSDIEAMILDMDLDPQDQDLYCSEEVSRYQHEDMKRAIIRLEQGARSYMQRSIASHGAFAVMYGRHSKHYIKKPEVLLGRATEDVTVDIDLGREGRANKISRRQVRSVQVY >Potri.007G102800.8.v4.1 pep chromosome:Pop_tri_v4:7:12642623:12652607:-1 gene:Potri.007G102800.v4.1 transcript:Potri.007G102800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102800.v4.1 MAALSQSTHRKPISLNDRHYRLLQDVSAPPKQPPPPPPVTSFEEEEESVFNVKFDGRRRICKAEPEDDNIPKFCGITDFDSSPEEEKPTKVRIEGRRRLCKVSSGDDGDDASREEVKDDSSFDDIADFDSPIPSKSVGDCGNNKGVNEIKDILSDLTSRLDLLSIEKKRVPENNNVVKKVHVVEYASAESLFSLSSSPSDSSLDVIKNGGGDDESAVDEYEEGDLLSESFDDEVSRGLKKNEYGRVDEKLVPVGKPFVSNVVEDESDVQIESNHDEYVTRVEKTKNVTQRVKENEPDGFNERLRSVGRSSVLSLRDESEDDEDDCVVLTGKKVVKKVGRPGAIAKYNVLSGESETAVLENHAESEDDGSIILPGLKSTYKLPGKIAKMLYPHQCEGLRWLWSLHCKGKGGILGDDMGLGKTMQICSFLAGLFHSKLIKRVLVVAPKTLLTHWIKELSVVGLSGKTREYFGTSLKARDYELQYILQDKGILLTTYDIVRNNSKSLRGDHYFLDEESEDSYIWDYMILDEGHLIKNPSTQRAKSLIEIPSAHCIVISGTPIQNNLKELWALFNFCCPDLLGDNKWFKQTYEHPILRGNEKNASDREKRIGSTVAMELRERIQPYFLRRMKNEVFKEDDATTAKLSRKNEIIVWLRLTTCQRQLYEAFLRSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDLLEGMESMLNPEDVAVAEKLAMHVADVAERTDFQEKHDSISCKISFVLSLLDNLIPEGHNVLIFSQTRKMLNLIEESLVSNGYEFLRIDGTTKVTDRAKIVDDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQKKDVVVYRLMTCGTVEEKIYRKQIFKGGLFRTATENKEQIRYFSQQDLRELFSLPKQGFNISLTQQQLHEEHDSQHKMDEYLESHIKFLESQGIAGVSHHSLLFSKTETVQLAQEEEDEIRKKVSTMVGNSSSSYSLERNVDGAARAFNPKDVNLNKKTSSPDSVGKLTESEILERINRLSQLLGNKVTVLRLPDQGAKLQKQISELNSVLIELRMEKATEREGVISLDDLTGEFERGLNV >Potri.009G070700.4.v4.1 pep chromosome:Pop_tri_v4:9:6963194:6966506:1 gene:Potri.009G070700.v4.1 transcript:Potri.009G070700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070700.v4.1 MGTATNQAERQTQVETTPEIVDALLEAARYDDFEDITSLASSGVSLDSKDSQGRTALHMAAANGHLDIVEYLINQGVDLNASNEEKNTPLHWACLNGHIEVVKKLILAGASLGILNSHERTPMDEAVTRGKLDVIDAINAAVAQQELSGVTVS >Potri.009G070700.1.v4.1 pep chromosome:Pop_tri_v4:9:6963194:6966742:1 gene:Potri.009G070700.v4.1 transcript:Potri.009G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070700.v4.1 MGTATNQAERQTQVETTPEIVDALLEAARYDDFEDITSLASSGVSLDSKDSQGRTALHMAAANGHLDIVEYLINQGVDLNASNEEKNTPLHWACLNGHIEVVKKLILAGASLGILNSHERTPMDEAVTRGKLDVIDAINAAVAQQELSGVTVS >Potri.007G035900.1.v4.1 pep chromosome:Pop_tri_v4:7:2808728:2814753:1 gene:Potri.007G035900.v4.1 transcript:Potri.007G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035900.v4.1 MRHLLTTTFHRHNHRLPTILHHLLIRHYRPPKPPAPPAPPKHPKPPQKPQSFTFHDATWEDPYSWMSHLNDKVAMRHMDIYMEQEEKYTEAVMSDTEKLQSKLQSEMASRLHFDLSTSPIRWGPWLYYKRVEAGKQYPVLCRRLASLNEQFISNKDPSGGFDFVSGRKIEQKMVDYNLEAERFGGYAYEELSEVSPDHKFLAYTMYDKDNDSFRLSVRNLNSGALCSKPQADRVSNLAWAKDGQALLYVVTDQHKRPSRIYCSMIGSTEEDVLLLDEPAENVYVNIRHTKDFRFVTVNTFSTTFSKVFLINAADPLSGITLVWECEAQAHCIVEHHQGYLYLFTDAARRGQLVDHHYLLRCPVNASSGPRIWERVFDDDRDMVIEDVDFCNTHLTLIIREKCSFRLCSVPLPMPARKDAVRLKELNPTFLPLPNHVSQIWPGPNYDYYSSTMRFTISSPVMPDAVVDYELSSGNWNIVQQQNLLYERTRILYGTTSSGGIANGSSNNLNSDFLNEIKSEDDNLWNCLSEFYACEQYDVSSYDGVMVPLTILYSRKNKNNNQNPGLLHGHGAYGELLDKRWRNDLKSLLDRGWVIAYADVRGGGGHGKAWHHNGRQQKKLNSIKDFVSCAKFLVENEFVQENKLAGWGYSAGGLLVAAAINSCPDLFRAAILKVPFLDATSTLLHPILPLTAADYEEFGCPGDIDDFHAIMKYCPYANIQKDVLYPAVLITSSFNTRFGVWEAAKWAARVREHTIYDPKRPILLNLTTDIVEENRYLQCKESALETAFLIKMMES >Potri.005G022250.1.v4.1 pep chromosome:Pop_tri_v4:5:1431578:1432129:1 gene:Potri.005G022250.v4.1 transcript:Potri.005G022250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022250.v4.1 MGSRNTTVLFFAAITLFLFLHFPSQTKATIPTELVSKVCAQSEIHSYEICVKFLMANPKSLMVTSAKAVAENALDMARRETTATSCFFAALLKRKDINPASKAALESCSSFFEQSVTFFNLDGLSGGTASLDLHSALDKATECKSELSAAHVSIKSVTDKLEEWRNFYSIASAAVNAVENPEH >Potri.002G032000.1.v4.1 pep chromosome:Pop_tri_v4:2:2094771:2094896:-1 gene:Potri.002G032000.v4.1 transcript:Potri.002G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032000.v4.1 MSPVVSEILRSGFMINSSLRRRTHLVQSFSVVFLYWFYVFS >Potri.011G063200.3.v4.1 pep chromosome:Pop_tri_v4:11:5362079:5365255:1 gene:Potri.011G063200.v4.1 transcript:Potri.011G063200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063200.v4.1 MGSGDWFKTIISIKKVKDDSSKQAKGSSTSEKSNGFKWKHKLQKESASFANGSNRANPRFPDMPVEDLAATRIQTAFRAYRARKTLRCLKGKVRLQIITQNYSFKKQAATTLNYLHSWSQIQAQIRARRLFMVTEGRLRQKKLENQLKLEAKLHDLEVEWSGGCETMEKILARIHQREEAAVKRERAMAYAFSHQWRASYGHDLGVVNYELGKANWGWSWKERWIATRPWESRVAAKSVSPKKMKGRQANKVDETTKLPTRKTPVSSKPSLFNGKGTPTAQRLSYPPAEKRVSLEGSIKSDAANNKREQLVS >Potri.011G063200.6.v4.1 pep chromosome:Pop_tri_v4:11:5362079:5365312:1 gene:Potri.011G063200.v4.1 transcript:Potri.011G063200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063200.v4.1 MLPHLFLQSEQGSSTSEKSNGFKWKHKLQKESASFANGSNRANPRFPDMPVEDLAATRIQTAFRAYRARKTLRCLKGKVRLQIITQNYSFKKQAATTLNYLHSWSQIQAQIRARRLFMVTEGRLRQKKLENQLKLEAKLHDLEVEWSGGCETMEKILARIHQREEAAVKRERAMAYAFSHQWRASYGHDLGVVNYELGKANWGWSWKERWIATRPWESRVAAKSVSPKKMKGRQANKVDETTKLPTRKTPVSSKPSLFNGKGTPTAQRLSYPPAEKRVSLEGSIKSDAANNKREQLVS >Potri.011G063200.1.v4.1 pep chromosome:Pop_tri_v4:11:5362414:5365255:1 gene:Potri.011G063200.v4.1 transcript:Potri.011G063200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063200.v4.1 MGSGDWFKTIISIKKVKDDSSKQAKGSSTSEKSNGFKWKHKLQKESASFANGSNRANPRFPDMPVEDLAATRIQTAFRAYRARKTLRCLKGKVRLQIITQNYSFKKQAATTLNYLHSWSQIQAQIRARRLFMVTEGRLRQKKLENQLKLEAKLHDLEVEWSGGCETMEKILARIHQREEAAVKRERAMAYAFSHQWRASYGHDLGVVNYELGKANWGWSWKERWIATRPWESRVAAKSVSPKKMKGRQANKVDETTKLPTRKTPVSSKPSLFNGKGTPTAQRLSYPPAEKRVSLEGSIKSDAANNKREQLVS >Potri.011G063200.5.v4.1 pep chromosome:Pop_tri_v4:11:5362079:5365312:1 gene:Potri.011G063200.v4.1 transcript:Potri.011G063200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063200.v4.1 MLPHLFLQSEQGSSTSEKSNGFKWKHKLQKESASFANGSNRANPRFPDMPVEDLAATRIQTAFRAYRARKTLRCLKGKVRLQIITQNYSFKKQAATTLNYLHSWSQIQAQIRARRLFMVTEGRLRQKKLENQLKLEAKLHDLEVEWSGGCETMEKILARIHQREEAAVKRERAMAYAFSHQWRASYGHDLGVVNYELGKANWGWSWKERWIATRPWESRVAAKSVSPKKMKGRQANKVDETTKLPTRKTPVSSKPSLFNGKGTPTAQRLSYPPAEKRVSLEGSIKSDAANNKREQLVS >Potri.011G063200.4.v4.1 pep chromosome:Pop_tri_v4:11:5362079:5365255:1 gene:Potri.011G063200.v4.1 transcript:Potri.011G063200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063200.v4.1 MGSGDWFKTIISIKKVKDDSSKQAKGSSTSEKSNGFKWKHKLQKESASFANGSNRANPRFPDMPVEDLAATRIQTAFRAYRARKTLRCLKGKVRLQIITQNYSFKKQAATTLNYLHSWSQIQAQIRARRLFMVTEGRLRQKKLENQLKLEAKLHDLEVEWSGGCETMEKILARIHQREEAAVKRERAMAYAFSHQWRASYGHDLGVVNYELGKANWGWSWKERWIATRPWESRVAAKSVSPKKMKGRQANKVDETTKLPTRKTPVSSKPSLFNGKGTPTAQRLSYPPAEKRVSLEGSIKSDAANNKREQLVS >Potri.007G130600.1.v4.1 pep chromosome:Pop_tri_v4:7:14451843:14453642:-1 gene:Potri.007G130600.v4.1 transcript:Potri.007G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130600.v4.1 MSCYISNLPNHILETIFSKATISTLKSCRDVCKSWKHILTNPSFALLHQHGSANNNLILCLGTKFTGSNFERDIYWLEFGEFSHFNLARTWALEPDFTVDFVNSCNGLVCLCLTRFNFDRILCVSNPITGEFVHLPQLEYDKYCKTNMCGLGFSSSTDQFKAIRIFSTREDSILHAETYTFRAETIIFNDKATPRGFGTDTWRSIGIVPQYNDWRKYCWRSFNAFVNGSFHWIIDINDDYDRTNIIYYFNFESEQFRTFLLPVPPIDHVYGYCYQYADLGVLGDSLYCSYFSYLPCDDCINLWVMKDYGVEDSWAEILVIEHRMPFWEPRDFKVIKFFENRNILFLVDYYLWFYDAERKCYERVEDAEVISFLYAISHTPTFASIKDIMAGGIGNYRMRDEDNRSTEKLACDTSSRITR >Potri.016G136400.1.v4.1 pep chromosome:Pop_tri_v4:16:14036075:14040568:1 gene:Potri.016G136400.v4.1 transcript:Potri.016G136400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136400.v4.1 MSWCLKVSRIECNKMGCFTVLKCKKKRPEPSVSIKRVSPKEQIPTTLPEPQVPTRSLQSAPPSFRTRVKPVQPENKVPSNRARALSAPESLDAAEQDALASAEYDEQEESKNRIGISKEQRLPGPQPLPLPSPQGGAILKPMSSFKSVNSSGSLYASGPLPLPRSGTYSGTLRNFPYEEIQSACCNFSSDRCVSEGLSSIMFRASFGDDTASSKKFEASVIRLNPSPQGLKEFINEVNTLASLQHPNLCKLLGYNARDGSDQRMLVYERLYHGSLDRLLYGRSDGPPIDWNTRMKIALCAAQGLAFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPETEISNSTAAAANLSVETVERGLLTPKSNVWSFGIFLLELLTGRKNLDSRHPREERNLVKWTRPFLADDCRLSLIMDPQLKCRFPAKAARTLADIALRCLQKDPLERPTMRTIVEHLKVIQDMKYSSRFPLQEPAAVAGKQMSRSPSLNGIITPAPRLSFSPSPPSRARPSISPTRPRALPPSLPPRACSSTLSLEELERQESRKSSSSAVRRPGVEGF >Potri.016G136400.3.v4.1 pep chromosome:Pop_tri_v4:16:14036723:14040571:1 gene:Potri.016G136400.v4.1 transcript:Potri.016G136400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136400.v4.1 MGCFTVLKCKKKRPEPSVSIKRVSPKEQIPTTLPEPQVPTRSLQSAPPSFRTRVKPVQPENKVPSNRARALSAPESLDAAEQDALASAEYDEQEESKNRIGISKEQRLPGPQPLPLPSPQGGAILKPMSSFKSVNSSGSLYASGPLPLPRSGTYSGTLRNFPYEEIQSACCNFSSDRCVSEGLSSIMFRASFGDDTASSKKFEASVIRLNPSPQGLKEFINEVNTLASLQHPNLCKLLGYNARDGSDQRMLVYERLYHGSLDRLLYGRSDGPPIDWNTRMKIALCAAQGLAFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPETEISNSTAAAANLSVETVERGLLTPKSNVWSFGIFLLELLTGRKNLDSRHPREERNLVKWTRPFLADDCRLSLIMDPQLKCRFPAKAARTLADIALRCLQKDPLERPTMRTIVEHLKVIQDMKYSSRFPLQEPAAVAGKQMSRSPSLNGIITPAPRLSFSPSPPSRARPSISPTRPRALPPSLPPRACSSTLSLEELERQESRKSSSSAVRRPGVEGF >Potri.016G136400.2.v4.1 pep chromosome:Pop_tri_v4:16:14036165:14041894:1 gene:Potri.016G136400.v4.1 transcript:Potri.016G136400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136400.v4.1 MSWCLKVSRIECNKMGCFTVLKCKKKRPEPSVSIKRVSPKEQIPTTLPEPQVPTRSLQSAPPSFRTRVKPVQPENKVPSNRARALSAPESLDAAEQDALASAEYDEQEESKNRIGISKEQRLPGPQPLPLPSPQGGAILKPMSSFKSVNSSGSLYASGPLPLPRSGTYSGTLRNFPYEEIQSACCNFSSDRCVSEGLSSIMFRASFGDDTASSKKFEASVIRLNPSPQGLKEFINEVNTLASLQHPNLCKLLGYNARDGSDQRMLVYERLYHGSLDRLLYGRSDGPPIDWNTRMKIALCAAQGLAFLHEEGPFQAMYNEFSTANIQIDKDFSAKLSGYGCVGHIPETEISNSTAAAANLSVETVERGLLTPKSNVWSFGIFLLELLTGRKNLDSRHPREERNLVKWTRPFLADDCRLSLIMDPQLKCRFPAKAARTLADIALRCLQKDPLERPTMRTIVEHLKVIQDMKYSSRFPLQEPAAVAGKQMSRSPSLNGIITPAPRLSFSPSPPSRARPSISPTRPRALPPSLPPRACSSTLSLEELERQESRKSSSSAVRRPGVEGF >Potri.019G077700.2.v4.1 pep chromosome:Pop_tri_v4:19:11846064:11855929:-1 gene:Potri.019G077700.v4.1 transcript:Potri.019G077700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077700.v4.1 MFETVQEIAVYIHRFHNLDLFQQGWYQMKISMRWEDSEYTSLATPARVVQYEAPDLGGENIYGIWRIDDTDNSFLTQPFRIKYARQDIRLSIMISFTLPLGENEGPSTSAVILKFELMQAPMTDTMVELLAYPDASSVAVHEFRIPPKALLGLHSYCPVHFDAFHSVLVDVSVHISLLKAGSFLKVLSGSYGQDATAKISDGSHQALGLVASLDIKKITLVKALLAARNTLLEELQKISKGIERTIDVSDFASNVDDVSMFDSIVQANLVTADVAVSGHGKPQNGLEKANSTIDFQSDNLPHISSKAAVVNIFHSLGAQLSYLWSIFLQFHRANKTKILEFLRDVWTKDRRAEWSIWMVYSKVEMPHHYMSSGSDDSSHHGHRRVSSLLNPAQSAATRADLHRRSIAQMRINNRSIQDMYIFGDLLRIPIIIVERVTNAPLRTLSENSFFKNLDLVDAHGSYSGPSTESEAGKKQPSAALSKNGRELKAVIFVHGFQGHHLDLRLVRNQWLLIDPKMEFLMSEVNEDKTSGDFREMGQRLAEEVISFLKKKMDKVSRSGLLRDIKLSFVGHSIGNIIIRTALAESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGMWLLKKLKGTQCIHQLTFTDDPNLQNTFLYKLCEQKTLENFRHIVLLSSPQDGYVPYHSARIELCQAASLDHSKKGRVFLQMLNNCLDQIRAPTPEHRLFMRCDVNFDTSSYGRSLNTIIGRAAHIEFLESDVFAKFIMWSFQELFR >Potri.019G077700.1.v4.1 pep chromosome:Pop_tri_v4:19:11846402:11855929:-1 gene:Potri.019G077700.v4.1 transcript:Potri.019G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077700.v4.1 MFETVQEIAVYIHRFHNLDLFQQGWYQMKISMRWEDSEYTSLATPARVVQYEAPDLGGENIYGIWRIDDTDNSFLTQPFRIKYARQDIRLSIMISFTLPLGENEGPSTSAVILKFELMQAPMTDTMVELLAYPDASSVAVHEFRIPPKALLGLHSYCPVHFDAFHSVLVDVSVHISLLKAGSFLKVLSGSYGQDATAKISDGSHQALGLVASLDIKKITLVKALLAARNTLLEELQKISKGIERTIDVSDFASNVDDVSMFDSIVQANLVTADVAVSGHGKPQNGLEKANSTIDFQSDNLPHISSKAAVVNIFHSLGAQLSYLWSIFLQFHRANKTKILEFLRDVWTKDRRAEWSIWMVYSKVEMPHHYMSSGSDDSSHHGHRRVSSLLNPAQSAATRADLHRRSIAQMRINNRSIQDMYIFGDLLRIPIIIVERVTNAPLRTLSENSFFKNLDLVDAHGSYSGPSTESEAGKKQPSAALSKNGRELKAVIFVHGFQGHHLDLRLVRNQWLLIDPKMEFLMSEVNEDKTSGDFREMGQRLAEEVISFLKKKMDKVSRSGLLRDIKLSFVGHSIGNIIIRTALAESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGMWLLKKLKGTQCIHQLTFTDDPNLQNTFLYKLCEKTLENFRHIVLLSSPQDGYVPYHSARIELCQAASLDHSKKGRVFLQMLNNCLDQIRAPTPEHRLFMRCDVNFDTSSYGRSLNTIIGRAAHIEFLESDVFAKFIMWSFQELFR >Potri.011G054200.1.v4.1 pep chromosome:Pop_tri_v4:11:4402108:4403226:1 gene:Potri.011G054200.v4.1 transcript:Potri.011G054200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G054200.v4.1 MAAIIIAKNAMLNLSKALIPQPPSLFPNSTPKVSRVCFTTYASKYNEGRYAAEEDEDRASGFSERAKETANETIDRTKERAEKAKERSKEMKEKVKGNAEEMKEKAKGYAHETKESAKGTAQSAAEKVKEGTYKAAETVERTKEGAKDYAYVAKEKAKDGTESVADTARDVKEKAKDYAGAAGEKAKDGTHKIAETVESVGEKAKQTVKGALDAAKETTQKIKETVVGKDDHHDDDDYRREKIDEDVVKYKR >Potri.016G012700.1.v4.1 pep chromosome:Pop_tri_v4:16:673222:675496:1 gene:Potri.016G012700.v4.1 transcript:Potri.016G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012700.v4.1 MQENENPPWFITRQGSPSKPRGNQCSCIVKLPEDVIAHILSYLPVKSLLLFRCVSRLWCSLIESEYFIKLHLRNFVHDSSGAKLSLILQDTCFSTPKIFSVTHVGSQNECVELRAPFGYRTRILGSCNGLLCVCQSDMEDSVEYKRSGKYYVSPKIALWNPLTKKLHILPFAPIQVTTWSPLYGVLDSLEFQYAFGHDSFNDDYRVLRIVQQNPGMPDPDKFILKAMVYSLKANSWREIVAPGYLHYIVSKESVLVGDAFHWLLIQGHGLDIVAFDIQREEYCTVPLPNLETKSSLYYRNLGVLRQCLSLASSSVHNVEIWVMKEYGMKDSWVKLFLLEKSSSLCYSTVPYDLAPLAYVKDNNDDHKVLLKGLPDQSLIWYDLKLKTYEHVQIHGAPWLYQPYIFVGSLVSPLPPMQKQIDGKIHQECEN >Potri.016G006200.1.v4.1 pep chromosome:Pop_tri_v4:16:303048:305568:1 gene:Potri.016G006200.v4.1 transcript:Potri.016G006200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006200.v4.1 MSYFSGCNNLTMITNFISTVFIIFIITFQASMAKAENPLQEFSNREELVQLAGYGEEKLSTVLVTGTILCEACLNGGTQLLHAWPVSGALVYVECHTGVKWSKTTSSQAMTDEYGDFLIDLPSHLHGIPNLERTCSVKVLRLPQNSVCRPAHARKQKALELSSVGNGIRNYSAGEIKFLQVTSKPLQACTQRGSSDKQIAY >Potri.010G057300.5.v4.1 pep chromosome:Pop_tri_v4:10:8805304:8810835:-1 gene:Potri.010G057300.v4.1 transcript:Potri.010G057300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057300.v4.1 MKVRLGAWSWDMDTGEEFRVGAGLAEAYSITWCAVEYFEASGAILRMLLEHLSPNIPHFGRTLIHHAILCSNARAAEVLLNCGADKELPVKTTLKNDLRPVHLAARLGTLKVLEQLVFASCDLNSRTDSGETAIMICARYRQEECLKVLVSAGADLGLVNSAGLSASSIARSARWALGFQQAVVDAIRDGKSAKSSNAAVFSPLKCVVQANAVEALKKLIEQSYIDLDEQDDDGFSAAMTAAANGYIEAFRLLVHAGANIKLQNRFGDTAISLSELNQHGEAIEKVMIEYALKEGYNYSASIHALHRAARRGDLDLVCMLARKGYDVNASDGDGYTPLMLAAREGHGKVCELLISRGAQCDLENERCETALSLAMKNGYKNEAEHVILDELSRQLVLEGNRVKKHIKCGKGAPHYKLLRMVDASGTLRWGKSSKRNVVCKGAEVGPSTKFRWNRRKKLDVEDPGMFHVITTKNREVHFVCEGGVEMAELWVRGIKLITREAIFGKKKE >Potri.010G057300.1.v4.1 pep chromosome:Pop_tri_v4:10:8805185:8810860:-1 gene:Potri.010G057300.v4.1 transcript:Potri.010G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057300.v4.1 MTVFGNSGAVFLAGKQVFPVDYQAEVSQKLVDASHNNDLKLALQCLEDPFVDVNFIGTVSLKSKKTEVLLHDEAAHEVHVEYEEFKTDVTALFLAAHAGNLTLVRKLLSLGANVNQKLFRGYATTAAIREGHLDILDILVKSGASQEACEEALLEASYLGQARPAELLMGSDLIRPQVAVHTLVSACCRGFANVVDTLVKCGVDASAIDRVLLRSSKPPLHANVDCNALAAAIVSRQISVVRLLLQVGVGTDMKVRLGAWSWDMDTGEEFRVGAGLAEAYSITWCAVEYFEASGAILRMLLEHLSPNIPHFGRTLIHHAILCSNARAAEVLLNCGADKELPVKTTLKNDLRPVHLAARLGTLKVLEQLVFASCDLNSRTDSGETAIMICARYRQEECLKVLVSAGADLGLVNSAGLSASSIARSARWALGFQQAVVDAIRDGKSAKSSNAAVFSPLKCVVQANAVEALKKLIEQSYIDLDEQDDDGFSAAMTAAANGYIEAFRLLVHAGANIKLQNRFGDTAISLSELNQHGEAIEKVMIEYALKEGYNYSASIHALHRAARRGDLDLVCMLARKGYDVNASDGDGYTPLMLAAREGHGKVCELLISRGAQCDLENERCETALSLAMKNGYKNEAEHVILDELSRQLVLEGNRVKKHIKCGKGAPHYKLLRMVDASGTLRWGKSSKRNVVCKGAEVGPSTKFRWNRRKKLDVEDPGMFHVITTKNREVHFVCEGGVEMAELWVRGIKLITREAIFGKKKE >Potri.010G057300.4.v4.1 pep chromosome:Pop_tri_v4:10:8805304:8809358:-1 gene:Potri.010G057300.v4.1 transcript:Potri.010G057300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057300.v4.1 MGSDLIRPQVAVHTLVSACCRGFANVVDTLVKCGVDASAIDRVLLRSSKPPLHANVDCNALAAAIVSRQISVVRLLLQVGVGTDMKVRLGAWSWDMDTGEEFRVGAGLAEAYSITWCAVEYFEASGAILRMLLEHLSPNIPHFGRTLIHHAILCSNARAAEVLLNCGADKELPVKTTLKNDLRPVHLAARLGTLKVLEQLVFASCDLNSRTDSGETAIMICARYRQEECLKVLVSAGADLGLVNSAGLSASSIARSARWALGFQQAVVDAIRDGKSAKSSNAAVFSPLKCVVQANAVEALKKLIEQSYIDLDEQDDDGFSAAMTAAANGYIEAFRLLVHAGANIKLQNRFGDTAISLSELNQHGEAIEKVMIEYALKEGYNYSASIHALHRAARRGDLDLVCMLARKGYDVNASDGDGYTPLMLAAREGHGKVCELLISRGAQCDLENERCETALSLAMKNGYKNEAEHVILDELSRQLVLEGNRVKKHIKCGKGAPHYKLLRMVDASGTLRWGKSSKRNVVCKGAEVGPSTKFRWNRRKKLDVEDPGMFHVITTKNREVHFVCEGGVEMAELWVRGIKLITREAIFGKKKE >Potri.010G057300.2.v4.1 pep chromosome:Pop_tri_v4:10:8805185:8809958:-1 gene:Potri.010G057300.v4.1 transcript:Potri.010G057300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057300.v4.1 MGSDLIRPQVAVHTLVSACCRGFANVVDTLVKCGVDASAIDRVLLRSSKPPLHANVDCNALAAAIVSRQISVVRLLLQVGVGTDMKVRLGAWSWDMDTGEEFRVGAGLAEAYSITWCAVEYFEASGAILRMLLEHLSPNIPHFGRTLIHHAILCSNARAAEVLLNCGADKELPVKTTLKNDLRPVHLAARLGTLKVLEQLVFASCDLNSRTDSGETAIMICARYRQEECLKVLVSAGADLGLVNSAGLSASSIARSARWALGFQQAVVDAIRDGKSAKSSNAAVFSPLKCVVQANAVEALKKLIEQSYIDLDEQDDDGFSAAMTAAANGYIEAFRLLVHAGANIKLQNRFGDTAISLSELNQHGEAIEKVMIEYALKEGYNYSASIHALHRAARRGDLDLVCMLARKGYDVNASDGDGYTPLMLAAREGHGKVCELLISRGAQCDLENERCETALSLAMKNGYKNEAEHVILDELSRQLVLEGNRVKKHIKCGKGAPHYKLLRMVDASGTLRWGKSSKRNVVCKGAEVGPSTKFRWNRRKKLDVEDPGMFHVITTKNREVHFVCEGGVEMAELWVRGIKLITREAIFGKKKE >Potri.010G057300.3.v4.1 pep chromosome:Pop_tri_v4:10:8805277:8809360:-1 gene:Potri.010G057300.v4.1 transcript:Potri.010G057300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057300.v4.1 MGSDLIRPQVAVHTLVSACCRGFANVVDTLVKVGVGTDMKVRLGAWSWDMDTGEEFRVGAGLAEAYSITWCAVEYFEASGAILRMLLEHLSPNIPHFGRTLIHHAILCSNARAAEVLLNCGADKELPVKTTLKNDLRPVHLAARLGTLKVLEQLVFASCDLNSRTDSGETAIMICARYRQEECLKVLVSAGADLGLVNSAGLSASSIARSARWALGFQQAVVDAIRDGKSAKSSNAAVFSPLKCVVQANAVEALKKLIEQSYIDLDEQDDDGFSAAMTAAANGYIEAFRLLVHAGANIKLQNRFGDTAISLSELNQHGEAIEKVMIEYALKEGYNYSASIHALHRAARRGDLDLVCMLARKGYDVNASDGDGYTPLMLAAREGHGKVCELLISRGAQCDLENERCETALSLAMKNGYKNEAEHVILDELSRQLVLEGNRVKKHIKCGKGAPHYKLLRMVDASGTLRWGKSSKRNVVCKGAEVGPSTKFRWNRRKKLDVEDPGMFHVITTKNREVHFVCEGGVEMAELWVRGIKLITREAIFGKKKE >Potri.004G234800.2.v4.1 pep chromosome:Pop_tri_v4:4:23923661:23924386:-1 gene:Potri.004G234800.v4.1 transcript:Potri.004G234800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234800.v4.1 MADKTRSFMLTFFTVVLLLLHQHFDLTAASRPLDIHSPAIPRSGSEPPPTDVHDRWYRINRYKNLESDAFRPTTPGHSPGVGHENPPAAP >Potri.003G122100.1.v4.1 pep chromosome:Pop_tri_v4:3:14302536:14306481:-1 gene:Potri.003G122100.v4.1 transcript:Potri.003G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122100.v4.1 MSKLLARIAGYLSNRTLVGVDKVGNRYFTRTEEIDGIMKEKRWVVFKGEEDPTSLPVEWICWLNGQRKRAPTPEELIEMEARRELVKQNVALLKQEEEERRAREGSSCKSTSAGKTGGPDLKSFIRQFPTASEGNKLEEESDAVDRAREGEAGGKKAKEPLSEHSEPTGSGSTFKPGTWQPPT >Potri.010G104900.1.v4.1 pep chromosome:Pop_tri_v4:10:12668164:12674506:-1 gene:Potri.010G104900.v4.1 transcript:Potri.010G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104900.v4.1 MAKTISLYGFPTVEPPDDIKEFLEEYSGEGTVVAVHVAQPKSAGSRTNAIVEFTTSEAAQNIKLKSLDDEGLWYGNSYLKARDVYRDTVPMRKAFQTQYSMDNITLHFGCQVSKEKFSVLWTQKDVSVKFGVELRKFHFFLTHLSKNYKLELSYENIFQIELHRPRGKTKKYLLVQMLGGPKIFKKDTNKLSNFKEATDDQWVRDVDFSPSYCIGQSSALCLELPPNSQLPNFQENFVCYKEDEGHFILEKGSTFCCKSDLVPILSAAQVSELPYDIVFKVNSLVQHGCLPGPALDARFFRLINPSKIRIAHAYIQHALEKLSHLKECCYDPARWLREQYQKYLTTGRLPTPPAIAVDDGLVFLRRVQITPTKLYFCGPDVNLSNRVLRKYPGDIDNFLRVSFVDEDLDKLFSANISPRTFSAIEGRQTNIYQRILSVQRNGITIGSKKFEFLGFSQSQVRESSLWMFASRPGLTAADIREWMGDFREIKNVAKYAARLGQSFSSSRESFNIDRHEIEIIPDIEVKSGGVNYVFSDGIGKISAELADSIARKLGFRSFTPSAFQIRYGGYKGVVAVDPTSSMKLSLRRSMSKYKSTNTSLDILDWSKYRACFLNREVIILLSTLGVKDQVFERKQKEAIAQLDAILTDPIKAQEALELMAGGENARVLNGMLACGYKPGAEPFLAMMLETLRALKLLDLRTKSRIFVPNGRAMTGCLDETRTLEYGQAFVQYSRARFRKLHDHFKGGKTDQHTLIFRGKIVVAKNPCLHPGDVQILEAVDVPALHHMVDCIVFPQKGKRPHTNECSGSDLDGDVYFVCWDSDLIPPQKFPPMDYAAPQTTILDHDVTIEEVQEYFTDYLLNDNLGIICSAHVVFADSEPDMARSEKCIELAQLSSIAVDFPKTGVPAKIPKELRVKEYPDFMEKAAYKHTTYVSQRVLGKLFRAVRDIAPDTSPVRPFTKEAAKRSYDPDMEVDGFKDYINEAFYYKSEYDNKLGNMMDYYGIKTEAEIIGGCIMRMGRSFDKKKDLEGINFSVSSLRKQARAWFNESGSKESPDDVYPKASAWYHVTYHPRFWGLYNEGMDRVHFLSFPWCVHDKLFEIKKGTTSLVHQLKRMASFHVEIGD >Potri.001G328800.3.v4.1 pep chromosome:Pop_tri_v4:1:33797483:33797692:-1 gene:Potri.001G328800.v4.1 transcript:Potri.001G328800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G328800.v4.1 MADWGPVVIGVVLFVLLQPGLLFQLPGNNKHVDFGSLKTNGKAIAVHTLIFFTAYAILILAVHVHIYTG >Potri.005G238701.1.v4.1 pep chromosome:Pop_tri_v4:5:23620725:23622522:1 gene:Potri.005G238701.v4.1 transcript:Potri.005G238701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238701.v4.1 MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDPKFTNFVEFRTHKVIYRRYAGLFFALCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >Potri.002G192050.1.v4.1 pep chromosome:Pop_tri_v4:2:15465851:15467089:-1 gene:Potri.002G192050.v4.1 transcript:Potri.002G192050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192050.v4.1 MEKEKLVNSWWKFSSRLQVNSLKMHVEVQRVLLTKNTMVDTLIQSQLRPKEMSVDGRFATSGYNDTSPFETESCWP >Potri.009G098966.2.v4.1 pep chromosome:Pop_tri_v4:9:8823673:8825681:-1 gene:Potri.009G098966.v4.1 transcript:Potri.009G098966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098966.v4.1 MIPTVDMAKLFASRGVKTTIITTPLNAPLFSKTIQKTKDLGFDIDIQTIKFPAAEAGLPEGCENTDAFITTNENAGEMTKKFFIATTFLQEPFEKVLQERHPDCVVADMFFPWATDAAAKFGIPRLVFHGTSNFALSAGESVRLYEPHKKVSSDYEPFVVPNLPGDIKLTRKQLPDFIRENVQNDFTKLVKASKESELRSFGVIFNSFYELEPAYADYYRKVLGRRAWNVGPVSLCNRDIEDKSGRGKEASIDQHECLKWLDSKKPNSVVYICFGSMASFPASQLKEIATGLEASGQQFIWVVRRNKNSEEDKEDWLPEGFEERMEDKGLIIRGWAPQVLILDHEAIGAFVTHCGWNSTLEGITAGKPMITWPVSAEQFYNEKLVTDVLKTGVGVGVKEWVRVRGDHVKSEAVEKAITQIMVGEEGEEKRSRAIKLGEMARKAVEEGGSSCSDFNALIEELRSYRP >Potri.009G098966.1.v4.1 pep chromosome:Pop_tri_v4:9:8824147:8825844:-1 gene:Potri.009G098966.v4.1 transcript:Potri.009G098966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098966.v4.1 MGSLGHQLHIFFFPFLAHGHMIPTVDMAKLFASRGVKTTIITTPLNAPLFSKTIQKTKDLGFDIDIQTIKFPAAEAGLPEGCENTDAFITTNENAGEMTKKFFIATTFLQEPFEKVLQERHPDCVVADMFFPWATDAAAKFGIPRLVFHGTSNFALSAGESVRLYEPHKKVSSDYEPFVVPNLPGDIKLTRKQLPDFIRENVQNDFTKLVKASKESELRSFGVIFNSFYELEPAYADYYRKVLGRRAWNVGPVSLCNRDIEDKSGRGKEASIDQHECLKWLDSKKPNSVVYICFGSMASFPASQLKEIATGLEASGQQFIWVVRRNKNSEEDKEDWLPEGFEERMEDKGLIIRGWAPQVLILDHEAIGAFVTHCGWNSTLEGITAGKPMITWPVSAEQFYNEKLVTDVLKTGVGVGVKEWVRVRGDHVKSEAVEKAITQIMVGEEGEEKRSRAIKLGEMARKAVEEGGSSCSDFNALIEELRSYRP >Potri.002G262500.1.v4.1 pep chromosome:Pop_tri_v4:2:25000435:25001490:1 gene:Potri.002G262500.v4.1 transcript:Potri.002G262500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262500.v4.1 MVMNFHKMPNRLKHKLSRVITPFQLCRSKDPSCPEAPIPAINRLSPFNPKALDINYPCNLQAPPPPSTPYYKCRVSRKTISVGCKCQSRSCPRCCMSDWSIESPDFAGKKEARWQAKPHLNVPFSFSDGSGDMSPFMVTGKNKNREINIKKNKVKTGVLSVDTSGCFSSTDVAGEENETLLCSSRSFSYDSSCEFSHSLDTIARQSEYHEAFNKPIGNKKVSNLKKIKKLGHQISLNKWKRSKTVTSPEIPSPVRSSVLKRVISRKVDGRVKESVAVVKKSQNPHRDFKRSMLEMILEKQIFEAEDLQELLQCFLSLNSRQYHGVIVQAFSEVWEIVFCDSPVNKRASIRN >Potri.010G120200.1.v4.1 pep chromosome:Pop_tri_v4:10:13842737:13847235:1 gene:Potri.010G120200.v4.1 transcript:Potri.010G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120200.v4.1 MSVEKTTMTDAWIRGVVEAIHSAPNQAVLYLAGGASQALGWLLSVPGASNTVLEAVVPYSRMSFIQLLGKIPSQHCSQQTAEEMALLAYNRGLKLSSPGYPVVGVGFTGSLASSRPKFGDHRFYLSTRTSDRLSVSTVTLSKGLRTREQEDTVSSHLLLKAIANACKVQAASVSHLTESDMSDEHETHFSEDQELEQLIDGKICFKVYPFSSETYTPAERKIILSGSFNPLHDGHVKLLEVATSFCGNGYPCFEISAVNADKPPLSVSQIKDRIKQFEKAGKTVIISNQPYFYKKAELFPGSAFVIGADTVARLINPKYYDGDHGKMLEILDGCKRIGCTFLVGGRNVDGVFKVLEDFDIPETLKDMFVSIPADRFRIDISSTEIRNSGGL >Potri.007G111400.1.v4.1 pep chromosome:Pop_tri_v4:7:13304452:13309270:-1 gene:Potri.007G111400.v4.1 transcript:Potri.007G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111400.v4.1 MAESGYLVNRTKDGSEACYYVNQTGGNYFQSTSALTTSLPLFVLQLSVVLFTTRLLLLILRPLRQPSIVALITAGVLLGPSALGTTPLFFDHVLPFKAVKVLETFANLALVYYMFLFGLEMDLKPIMNSGSEAIRIAISGILIPLGFGFGLFYLLQLLDSDAKEISSFKGSIFWAITLTATNFPDVTQVLTDLKLLRTDMGRLAMSSAVSSDFFTWILLVVAMSLLNAHPYYVLPFILAFVLLCCFVIRPVISKIANHAVKGDDFTEQHIWFVLGWIVFFGFITDAFGLHSMVGSFMLGVIMPRRDVIRMKLMERLDDFVSGIMMPLFFLTSGTRTDAGFLLKETPWYAIFIIIFLSFGAKILSTLLVFLLHNKPLEDGFALGVIMNTKGVMSIIIINAGRNIKVLNNQTFTLMVFSALAMTCLVEPIVAATYKPRKKLLRYKHRTIESVLVNGVEFKILACVLSNRDAPCMISLLEASNAGPDFPICVIAVHLVELTGRNTAMLIVHDHSMTSMSNPIRAKSESDQIIFAFKSYEKRNGAVSVQTITAISPYENMHEDICSLALDKRVSLIIIPFQTVLTADGRVEDAKSTFPAMNQYVLENATCSVGLLVDRGLGSIMQTGPARNSSSSKGHRIAMIFIGGPDDREALAYAWRMAGHPGISLTVLRFLPGRIAAQSTPEHGSNSHDELFSSMTIEEREKRLDDDYTYEFMFKTLDDESITYTEKVVNNGDETLAEIRRNDADFDLYIVGRGEKTRSVLTSGLSDWNSCQELGTMGDTLASSNFASHASVLVVQQYVPKNYIANPHGFSASLGSTTWRPLMVGDQVSFGNTTSISHQYVYDQEEDDDDDYDYDYDYDRK >Potri.001G159700.1.v4.1 pep chromosome:Pop_tri_v4:1:13493248:13500676:-1 gene:Potri.001G159700.v4.1 transcript:Potri.001G159700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159700.v4.1 MREPIETNNGSPPPPQALLERLKDYGQEDAFALWDELSTEERELLFKDIESLDLPRLDRIIRCSLRSQGLPVVAIEPVPENTVSTVEERTIEERERWWKMGLKAISDGKLAVLLLSGGQGTRLGSSDPKGCFNIGLPSGKSLFQLQAERILCVQRLAAQASSEGSGSSVSIHWYIMTSPFTDEATQKFFENHKYFGLEANQVTFFQQGTIPCVSKDGRFIMETPFKVAKAPDGNGGVYSALKYSKLLEDMASRGIKYLDCYGVDNALVRVADPAFLGYFIDKGVAAAAKVVRKAYPQEKVGVFVRRGKGGPLTVVEYSELDQSLASAINQQTGRLRFCWSNVCLHMFTVDFLNQVANGLEKDSIYHLAEKKIPSIHGGTMGLKLEQFIFDAFPYAPSTALFEVPREEEFAPVKNANGSNFDTPESARLLVLRLHTRWVVAAGGFVTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEITF >Potri.008G064800.6.v4.1 pep chromosome:Pop_tri_v4:8:3907180:3912251:-1 gene:Potri.008G064800.v4.1 transcript:Potri.008G064800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064800.v4.1 MWVIKNEGWGRLYSGLAPSVVGTACSQGVYYYFYQVFRDRAEAIAREQKMNGIGDGSVGMLSSLVVAALAGGTNVLLTNPIWVVVTRMQTHRKNSKKSQLSHSSIAPAEKVLDPIEPHPYGTGHATQELYDEAGIWGFWKGVFPTLIMVSNPSIQFMLYETMLKKLKTKCVLVKQGDTGVSALEIFLLGALAKLGATVVTYPLLVVKSRLLAKQITTGDKRHHYEGTLDAILKMIRYEGFHGFYKGMSMKIVQSVLAAAVLFMIKEELVRVLHFC >Potri.008G064800.5.v4.1 pep chromosome:Pop_tri_v4:8:3907146:3912305:-1 gene:Potri.008G064800.v4.1 transcript:Potri.008G064800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064800.v4.1 MSDALINGLAGAGGGIIAQLITYPLLTVNTRQQTERDVKRQKRKHGTIEQMCQNEGWGRLYSGLAPSVVGTACSQGVYYYFYQVFRDRAEAIAREQKMNGIGDGSVGMLSSLVVAALAGGTNVLLTNPIWVVVTRMQTHRKNSKKSQLSHSSIAPAEKVLDPIEPHPYGTGHATQELYDEAGIWGFWKGVFPTLIMVSNPSIQFMLYETMLKKLKTKCVLVKQGDTGVSALEIFLLGALAKLGATVVTYPLLVVKSRLLAKQITTGDKRHHYEGTLDAILKMIRYEGFHGFYKGMSMKIVQSVLAAAVLFMIKEELVRVLHFC >Potri.008G064800.4.v4.1 pep chromosome:Pop_tri_v4:8:3907146:3912305:-1 gene:Potri.008G064800.v4.1 transcript:Potri.008G064800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064800.v4.1 MSDALINGLAGAGGGIIAQLITYPLLTVNTRQQTERDVKRQKRKHGTIEQMCQNEGWGRLYSGLAPSVVGTACSQGVYYYFYQVFRDRAEAIAREQKMNGIGDGSVGMLSSLVVAALAGVVPAATMHHVHPSQSGTNVLLTNPIWVVVTRMQTHRKNSKKSQLSHSSIAPAEKVLDPIEPHPYGTGHATQELYDEAGIWGFWKGVFPTLIMVSNPSIQFMLYETMLKKLKTKCVLVKQGDTGVSALEIFLLGALAKLGATVVTYPLLVVKSRLLAKQITTGDKRHHYEGTLDAILKMIRYEGFHGFYKGMSMKIVQSVLAAAVLFMIKEELVRVLHFC >Potri.001G074800.1.v4.1 pep chromosome:Pop_tri_v4:1:5637989:5642862:-1 gene:Potri.001G074800.v4.1 transcript:Potri.001G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074800.v4.1 MASSQIEIASSSPFVCVLRDHNRHERCNRESNARAGAAAAAFQKNLQGLVRENLHTCISVSSDCASNDNPRNHINTSIDDHHQDLRRLPGNQDSIAKNVNDSSTRRSKQARILDQWAAMQARQMVSTIERQSEEAGLLITSLKKSSPMQQNSLDSESFNRGASSLVQIWEARLHRSDACLNRSRSLNNSRTSSASSQTETALFSAEERIRQSDIADSTTKENNSRTSPASSHIETASSRAEERSRQSDIVDSSTKEDTFVDCGTVKSAPSSIHFRDTDAGEPDKVKIVDIIRRLTSDGNDHDQKLNSAGDCLSRERRNSSGSDRTEQKVLPQVVNPPKIRGRQAFNDLLLQMEQERHRELGWLGERQAVSKFSQRGRIQSLLRLRFLHRSMAFEDQQRPRSSQSTTSCNGDRSQQGSTIMHLREKFSAGVEQATTLSDSTTPRSTTEMVNSIVQRYASVHNELTSDSYQQETSTSDEQESESQVKNLASATREVIEKVHEETYAVSDVSWQGTSLQDSCQQETSTSTEQESEPQVENSASATSEVNEKVLEETCAVSGITWQGTRLLIQIFDPPETSETTPPLNDWDENEIGEEGVEYFEQINYDWFSDIARPRSYWEDKRKARYEEKLGTSSDNDEIRQLLERGTVSNFLAGDLRDRIDQLMMSHAQRQASQEDEELEEDSQERMGQLMLSYFQRHLHPAGNQEEEQEHEQEQEQELDGRSEVEETIEEECISEEGSPSSHQYMEATDYFDQSSPSQHSPYPFRSWNYSDDNEVADFCEQAQTTPLHLPLPPQASNQDRRYSSSKSHSSLEMEFVYDLKGHMEQLQREMSELRKAIQSCMEMQMNLQNSWKAREVYPVQGNGKNSPDRRPNKRSCCICYGKQVDSFLYRCGHMCTCLKCAHGLRQGSGKCPICRAPILDVVRAYLDS >Potri.017G077500.1.v4.1 pep chromosome:Pop_tri_v4:17:8610147:8612023:-1 gene:Potri.017G077500.v4.1 transcript:Potri.017G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077500.v4.1 MASKSIEQIVMLPFMAHGHLIPFLALARQIHRATGFKISIASTPLNIQYLSSTFNSSSDEPENDHIHLLELPFCSTDYGLPPNTENSENLSLDSIGKLLSASLSLRKPFHSLVSDIAAKQGHPPLCIISDVFLGWATEVANSLGTVNVTFCTGGAYGTLASSSLWLNLPHRGRSDSDEFHLPGFPDSCRFHVNQLHHFLRNADGTDSWSEFFQSQISLSMQSFGWLCNTAEEFEPAGLEWLRNFVKLPVWAIGPLLPPIVLKNDCSSLSVAASGISTRRAGKRPEISVEKCMEWLESHSPASVLYISFGSQNSISPSQMMELAIGLEESAKPFIWVIRPPVGFERKSEFRAEYLPEGFEERMEKRKQGLLVRNWAPQLEILSHKSTGAFLSHCGWNSVLESLSQAVPIIGWPLAAEQAYNSKMLVEEMGVSVELTRGVQSSIEWKEVKKVIELVMDKKGKGGDMRSKAMVIKEQLRASVRDEGEDKGSSVKALDDLIKTLQSKWQMISSIS >Potri.001G347300.2.v4.1 pep chromosome:Pop_tri_v4:1:35898276:35901717:-1 gene:Potri.001G347300.v4.1 transcript:Potri.001G347300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347300.v4.1 MLRTALALSLSTPVLRPQKPLYVHSSPRFSPCINLPSLKPLDTVCCHGFSRRKTSLSGCCLSCNTRISAFICRNHGYGSIKVRAASVPDSTGEFEKSSDAARTMQLGAMFGIWYLLNIYFNIFNKQVLKVYPFPATITAFQVGCGTVMIIIMWALNLCNRPKLTRPQILAILPLAVAHTFGNLLTNVSLGKVAVSFTHTIKALEPFFTVLFAALFLGETPAFWVLSSLVPLVGGVGLASLTEVSFNWIGFCSAMASNVTNQSRNVFSKKLMVNKEETLDNVNLFSVITIISFILLVPAAIFMEGFKFTPSYLQSAANQGLNVKELCIRSLLAGFCFHSYQQVSYMILQMVDPVTHAVGNCVKRVVVIVSSVIFFQTPVSPINSIGTAMALAGVFLYSRAKRVKSKTKPKAA >Potri.003G006800.1.v4.1 pep chromosome:Pop_tri_v4:3:717492:720294:-1 gene:Potri.003G006800.v4.1 transcript:Potri.003G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006800.v4.1 MQLRTRLSLEYCTVLLESCIQSKSLFRGKLIHQHLLKCLHRTHETNLTNFDVPFEKLVDLYIACSELKIARHVFDKMPHRPKNVVLWNLLIRAYAWNGPYEEAIDLYYKMLGYGITPNRFTFPFVLKACSALKEASEGREIHCDIKRLRLESNVYVSTALVDFYAKCGCLDDAKEVFDKMHKRDVVAWNSMISGFSLHEGSYDEVARLLVQMQNDVSPNSSTIVGVLPAVAQVNSLRHGKEIHGFCVRRGFVGDVVVGTGILDVYGKCQCIDYARRIFDMMGIVKNEVTWSAMVGAYVVCDFMREALELFCQLLMLKDDVIVLSAVTLATVIRVCANLTDLSTGTCLHCYAIKSGFVLDLMVGNTLLSMYAKCGIINGAMRFFNEMDLRDAVSFTAIISGYVQNGNSEEGLRMFLEMQLSGINPEKATLASVLPACAHLAGLHYGSCSHCYAIICGFTADTMICNALIDMYAKCGKIDTARKVFDRMHKRGIVSWNTMIIAYGIHGIGLEALLLFDNMQSEGLKPDDVTFICLISACSHSGLVAEGKYWFNAMTQDFGIIPRMEHYACMVDLLSRAGLFKEVHSFIEKMPLEPDVRVWGALLSACRVYKNVELGEGVSKKIQKLGPESTGNFVLLSNMYSAVGRWDDAAQVRFTQKEQGFEKSPGCSWIEISGVVHTFLGGGYRSHPQLTQISNKLDELLVEMKRLGYQAESSYVFQDVEEEEKERVLLYHSEKLAIAFGILSLSPDKHIIVTKNLRVCGDCHTAIKFISLVTKRDITVRDASRFHHFKDGICNCGDFW >Potri.006G254500.1.v4.1 pep chromosome:Pop_tri_v4:6:25229059:25233037:-1 gene:Potri.006G254500.v4.1 transcript:Potri.006G254500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254500.v4.1 MASLTILGTTKPSSLLSSTLSSTSPQEFKFPAKSQRPFSSTVKFRAKPFKACAFPSNNNDNGEKDDCFSTRRSLLVCISTLPFLFGLHEFLEDLSAKALQSDTNTYMLIKEEVRKVVSKGKAAGVLRLVFHDAGTFEMDGNSGGMNGSIVYELERPENAGLKKSLKILDKAKGEVDAIQQVSWADMIAVAGAEAVSVCGGPTIPVQLGRLDSLEPDAEGKLPRESLDAPGLKQNFKRKGLSTQELVALSGAHTLGSKGFGSPFVFDNSYYKILLEKPWKSSGGMSSMIGLPSDHALVEDDECLRWIKKYADNQNMFFDDFKNAYIKLVNSGARWKSL >Potri.001G420300.1.v4.1 pep chromosome:Pop_tri_v4:1:44886212:44888730:-1 gene:Potri.001G420300.v4.1 transcript:Potri.001G420300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420300.v4.1 MAATTTTAASITTAAAASTAATITKSQSSSFLKPYSSSQLPFKFSKFTASRAPSRLAISCTLAREAVVKMEDVVDSDPAQWQRPDSFGRFGKFGGKYVPETLIHALTELESAFHSLKDDPEFKKELDGILKDYVGRENPLYFAERLTEHYKRPNGEGPHIYLKREDLNHTGAHKINNAIGQVLLAKRLGKQRIIAETGAGQHGVATATVCARFGFPCVVYMGAQDMERQSLNVFRMRLLGAEVRGVHSGTATLKDATSEAIRDWVTNVETTHYILGSVAGPHPFPMMVREFHRVIGIETRKQALEKWGGKPDVLVACVGGGSNAMGLFDDFIKDKDVRLIGVEAAGLGVDSGKHAATLTKGEVGVLHGAMSYLLQDEDGQIIEPHSISAGLDYPGVGPEHSFLKDKGRAEYYSVTDEEALDAFKRLSRLEGIIPALETSHALAYLENLCPTLPDGTKVVLNCSGRGDKDVQTAIKYLQV >Potri.019G032900.2.v4.1 pep chromosome:Pop_tri_v4:19:4590302:4594540:1 gene:Potri.019G032900.v4.1 transcript:Potri.019G032900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032900.v4.1 MGTCWFLKLVLVLGLLRNCVDGLGVNWGTMAIRKLPPETVVQMLKDNGILKVKLFDADQNTMTSLAGTGIEVMVAIPNDQLAVMGDYNRAKDWVKRNVTRYDFDGGVTIKYVAVGNEPFLKSYNGSFLNTTFPALRNIQNALNDAGVGDSIKATVPFNADVYNSPDDQAYPSSGRFRSDINDIMTEMVQFLGQNNAPFTVNIYPFLSLYGNDDFPFDYAFFDGAPQPVVDRGTGIQYTNVFDANFDTLVSALKAAGHGDMPIIVGEVGWPTDGDKNANIGNAIRFYNGLLPRLAGNRGTPLRPGYIEVYLFGLLDEDAKSIAPGNFERHWGIFRYDGQPKFPMDLSGQVANKWLVGAQNVQYLPSKWCMFNPNAKDLSKLADNINYACSRSDCTALGYGSSCNGLDTNGNASYAFNMYYQVQNQDEFACNFEGLAMVTEQNISQGNCNFTIQIVASSSSSLTLSLMGSVFVTALLTSLFL >Potri.018G058300.3.v4.1 pep chromosome:Pop_tri_v4:18:5814868:5826596:-1 gene:Potri.018G058300.v4.1 transcript:Potri.018G058300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G058300.v4.1 MSTDTDTLLLEFPYQPLWTNVYVRNHGRATVPLFWSRVQVQGQISLLCSGVLSFGLAHYASSEFELLAEELLMSDSVIKVYGALRMSVKMFLMWNSQMLIDGGEDATVGTSLLEASNLVVLKESSVIHSNANLGVHGQGLLNLSGPGNWIEAQRLVLSLFYSIHVAPGSVLRGPVENATSDAITPRLHCQLEECPSELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIEGSVVHFHRARTIYVPSSGTISASGMGCTGGVGRGNVLSNGVGSGGGHGGKGGSACYNDRCIEGGVSYGNAELPCELGSGSGEEMSAGSTAGGGIIVMGSLEHPLSSLSVDGSVRADGESFKGITRDQLVVMNGTGGGPGGGSGGTILLFLHTLDLGGYAVLSSVGGYGSPKGGGGGGGGRVHFHWSDIPTGDVYQPIARVNGSIHTWGGLGRDEGHAGENGTVSGKACPKGLYGIFCEECPAGTYKNVTGSDRALCRPCPADDIPHRAAYVTVRGGIAETPCPYKCVSDRFHMPHCYTALEELIYTFGGPWLFGLLLLGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGRNTFSEPCHLPHTPPEQIKEIVYEGAFNTFVDEINGIAAYQWWEGAIYSILSVLAYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLVYLDFFLGGDEKRTDIPAHLHQRFPMSILFGGDGSYMAPFSIQSDNILTSLMSQMVPPTTWYRMAAGLNAQLRLVRRGRLRVTFRPVLRWLETHANPALRIHGIHVNLAWFQATTSGHCQYGLLVYAVEEESEHIFIEGVDGVKQVEEESRLLVSGVNNTHSENPSGHWREEMLVSQAHRSSYGGIIVTNSLRMLKEKRDLFYLISFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFLVLFILPLGILMPFPAGINALFSHGPRRSAGLARIYALWNVTSLINVVVAFICGYIHYNSQSPSSKKFPFQPWNINMDESEWWIFPAGLVACKILQSQLVNWHIANLEIQDRSLYSNDFELFWQS >Potri.018G058300.1.v4.1 pep chromosome:Pop_tri_v4:18:5815300:5829681:-1 gene:Potri.018G058300.v4.1 transcript:Potri.018G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G058300.v4.1 MARFQFNFAFIAFLITTITLTSNPRVLSSDSESDSFSIIDFDSNLLFHQDYSPPSPPPPPPHPPSASCTDDLGGIGSIDTACQIVTDVNLTRDVYIEGKGDFYIHPGVRFQCPNFGCSITINISGNFNLSVNSSILTGTFELVANNASFFNGSVVNTTGLAGDPPPQTSGTPQGLEGAGGGHGGRGACCLMDKEKLPEDVWGGDAYSWSSLQEPCSYGSKGGSTSKEVDYGGGGGGRVKMTVKEYLVLDGAVLADGGNGGVKGGGGSGGSIHLKAYKMTGGGSISACGGNGFAGGGGGRVSVDIFSRHDDPQIFVHGGNSLGCPKNAGGAGTLYDAVARSLTVSNHNMSTDTDTLLLEFPYQPLWTNVYVRNHGRATVPLFWSRVQVQGQISLLCSGVLSFGLAHYASSEFELLAEELLMSDSVIKVYGALRMSVKMFLMWNSQMLIDGGEDATVGTSLLEASNLVVLKESSVIHSNANLGVHGQGLLNLSGPGNWIEAQRLVLSLFYSIHVAPGSVLRGPVENATSDAITPRLHCQLEECPSELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIEGSVVHFHRARTIYVPSSGTISASGMGCTGGVGRGNVLSNGVGSGGGHGGKGGSACYNDRCIEGGVSYGNAELPCELGSGSGEEMSAGSTAGGGIIVMGSLEHPLSSLSVDGSVRADGESFKGITRDQLVVMNGTGGGPGGGSGGTILLFLHTLDLGGYAVLSSVGGYGSPKGGGGGGGGRVHFHWSDIPTGDVYQPIARVNGSIHTWGGLGRDEGHAGENGTVSGKACPKGLYGIFCEECPAGTYKNVTGSDRALCRPCPADDIPHRAAYVTVRGGIAETPCPYKCVSDRFHMPHCYTALEELIYTFGGPWLFGLLLLGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGRNTFSEPCHLPHTPPEQIKEIVYEGAFNTFVDEINGIAAYQWWEGAIYSILSVLAYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLVYLDFFLGGDEKRTDIPAHLHQRFPMSILFGGDGSYMAPFSIQSDNILTSLMSQMVPPTTWYRMAAGLNAQLRLVRRGRLRVTFRPVLRWLETHANPALRIHGIHVNLAWFQATTSGHCQYGLLVYAVEEESEHIFIEGVDGVKQVEEESRLLVSGVNNTHSENPSGHWREEMLVSQAHRSSYGGIIVTNSLRMLKEKRDLFYLISFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFLVLFILPLGILMPFPAGINALFSHGPRRSAGLARIYALWNVTSLINVVVAFICGYIHYNSQSPSSKKFPFQPWNINMDESEWWIFPAGLVACKILQSQLVNWHIANLEIQDRSLYSNDFELFWQS >Potri.018G058300.4.v4.1 pep chromosome:Pop_tri_v4:18:5815302:5826026:-1 gene:Potri.018G058300.v4.1 transcript:Potri.018G058300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G058300.v4.1 MSDSVIKVYGALRMSVKMFLMWNSQMLIDGGEDATVGTSLLEASNLVVLKESSVIHSNANLGVHGQGLLNLSGPGNWIEAQRLVLSLFYSIHVAPGSVLRGPVENATSDAITPRLHCQLEECPSELLHPPEDCNVNSSLSFTLQICRVEDITVEGLIEGSVVHFHRARTIYVPSSGTISASGMGCTGGVGRGNVLSNGVGSGGGHGGKGGSACYNDRCIEGGVSYGNAELPCELGSGSGEEMSAGSTAGGGIIVMGSLEHPLSSLSVDGSVRADGESFKGITRDQLVVMNGTGGGPGGGSGGTILLFLHTLDLGGYAVLSSVGGYGSPKGGGGGGGGRVHFHWSDIPTGDVYQPIARVNGSIHTWGGLGRDEGHAGENGTVSGKACPKGLYGIFCEECPAGTYKNVTGSDRALCRPCPADDIPHRAAYVTVRGGIAETPCPYKCVSDRFHMPHCYTALEELIYTFGGPWLFGLLLLGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGRNTFSEPCHLPHTPPEQIKEIVYEGAFNTFVDEINGIAAYQWWEGAIYSILSVLAYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLVYLDFFLGGDEKRTDIPAHLHQRFPMSILFGGDGSYMAPFSIQSDNILTSLMSQMVPPTTWYRMAAGLNAQLRLVRRGRLRVTFRPVLRWLETHANPALRIHGIHVNLAWFQATTSGHCQYGLLVYAVEEESEHIFIEGVDGVKQVEEESRLLVSGVNNTHSENPSGHWREEMLVSQAHRSSYGGIIVTNSLRMLKEKRDLFYLISFIVHNTKPVGHQDLVGLVISMLLLGDFSLVLLTLLQLYSISLVDVFLVLFILPLGILMPFPAGINALFSHGPRRSAGLARIYALWNVTSLINVVVAFICGYIHYNSQSPSSKKFPFQPWNINMDESEWWIFPAGLVACKILQSQLVNWHIANLEIQDRSLYSNDFELFWQS >Potri.004G066300.4.v4.1 pep chromosome:Pop_tri_v4:4:5702453:5708037:-1 gene:Potri.004G066300.v4.1 transcript:Potri.004G066300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066300.v4.1 MAQNCCKSCFLPLFFLLFSKEFFPEEREALMQIRDLVSSTLDLHGNWTGPPCHKNSSQWSGITCSNWHVVGLVLEGVQLTGSLPPAFSQNITFLANLSFRNNSIYGPLPNLSNLVHLESVFFSYNRLTGSIPSEYIELPNLKQLELQQNYLDGEIPPFNQPTLTLFNVSYNHLQGSIPDTDVLRRFSESSYDHNSNLCGIPLEPCPVLPPAPLVPPPSPPVSPPQNKKRKLPIWIIALIVVVVALVPLMVMFVFLCCYKKAQEVETPKERQAGGDSSPEWTDKKMPHSQSTEDPERRIELQFFDKNIPVFDLDDLLRASAEVLGKGKLGTTYSANLESGAVVAVKRVMYMNSLSKKEFIQQMLLLGRMRHENLVHIISFYYSKQEKLIVYEFVPDGSLFELLHENREAGRVPLNWAARLSIIKDIAKGMAFLHQSLPSHKAPHANLKSSNVLIHRDRQSYHSKLTNYSFLPLLPSRKSSERLAIGRSPEFCQGKKLTHKADVYCFGIILLEVITGKIPEETSPAGNEEKVDDLSEWVRMVVNNDWSTDILDVEILASSAGHNEMLKLTEIALQCTDMEPEKRPKMSEVLRRIEEIDRTNQEND >Potri.006G235132.1.v4.1 pep chromosome:Pop_tri_v4:6:23744447:23749366:-1 gene:Potri.006G235132.v4.1 transcript:Potri.006G235132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235132.v4.1 MAGVSQMKIVNGVAGYVLEDVPHLTDYIPHLPTYPNQLQDNPAYAGVKQYFVNVDDTVAQRIVVHNSSPRGTHFRRAGPRQKVYFKSDEVRACIVTCGGLCPGLNTVIREIVCGLYHMYGVTKVLGIDGGYRGFYAKNTINLTPKVVDDIHKRGGTILGTSRGGHDTSKIVDSIQDRGINQVYIIGGDGTQKGAAVIYEEIRRRGLNVAVAGIPKTIDNDIPVIDRSFGFDTAVE >Potri.001G375800.1.v4.1 pep chromosome:Pop_tri_v4:1:39469869:39472483:-1 gene:Potri.001G375800.v4.1 transcript:Potri.001G375800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375800.v4.1 MGESHHQAATSSRLGIRNTVGEIVEVQGGHIVRSTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKASIDELAELPAWDPTTAGFTRATSKTTTRSTQQQNISDEREYQLSMENVAASKAAAAAAIEVQNMQQQMAENPDCSSGFLPPSLDSDAIADTIKTFFPMGASTETSSPTIQFQNYPPDLLSRTSSQSQDLRLSLQSFQEPILLQHQAHHHGHQAQNEHQVFSGTTAHYLGLDGSSSGWSEQHHTPEINRFQRNLVAWNAAGGGGGGGGGGFFFNTPLPPLQTMSPSPLIQPFFGQNQFFSQRGPLQSSNMPSVRAWIDPAITPDHHEQQQIPQIYHQQTAISGIGFTASGGEFYGFRVPARIQGEDEEHDGIHNKPSSASSDSRH >Potri.010G143200.1.v4.1 pep chromosome:Pop_tri_v4:10:15604889:15606229:-1 gene:Potri.010G143200.v4.1 transcript:Potri.010G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143200.v4.1 MVPDSRLSTEEVMRVARARFIQISCQQHIDLSLLNHFFDGHVAQFGSSGMEIKDMELALLLLASADKIENQQFDNASKSLNLCGFLSSKRGNSVQRVVHYFAKALGERIERKIGVVTLTGMESKGQLLHLEETAVTLNPALIACSLRQPYSQVSQFAGIQAVVERLTSAKKVHFIDLAIRSGGHCIVLMQALANRHERPVELLKITAVGTTSEQKMEEAGVKLSCFAETLSLPFSFKAITIENIKDLKEDMFELSDGEVVAIFSRIMLRTIKPHPGCMESLLGVLRNLNPRVMVITEFEANHCLPIFIDRFLEALFFYSAFYDCLEFNMDPCDPYRMTLEACLGQEIRDIVAAEDDKRMFQHLKIDGWRANFAKLGMVEEELSTSSFYQAELVLQNFASGNLCTLDRNGKCLITGWRGTPILSVSAWRFHQQEQKRKGCTKKYKSC >Potri.013G091000.1.v4.1 pep chromosome:Pop_tri_v4:13:9285359:9290263:1 gene:Potri.013G091000.v4.1 transcript:Potri.013G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091000.v4.1 MSRTKKLLSLLAFLVLSFRTQCVRSLNPSLNDDVFGLIVFKADLQDPKRKLSSWNQDDDTPCNWFGVKCNPRSNRVTELSLDGLSLSGQIGRGLMQLQFLHKLSLSRNCLTGSINPNLTRLENLRIIDLSENSLSGTIPEDFFKDCGALRDISLAKNKFSGKIPSTLSSCASLASINLSSNQFSGSLPAGIWGLNGLSSLDLSGNLLDSEIPRGIEVLNNLRNINLSKNRFNGGVPNGIGSCLLLRSVDFSENMLSGTVPDTMQNLGLCDYLSLSNNMFTGEVPKWIGELNRLETLDLSGNRFSGQVPTSIGNLQSLKVFNLSANSLSGNLPESMTNCGNLLVLDFSQNLLSGDLPVWIFGSGLEKVLQLENKLSGKFSSAQKLQVLDLSHNDFSGKIASSIGVSSSLQFLNLSRNSLMGPIPGTFGDLKELDVLDLSDNKLNGSIPMEIGGAFALKELRLERNSLSGQIPSSIGTCSSLTTLILSQNNLSGTIPVAIAKLGNLQDVDVSFNSLTGTLPKQLANLPNLSSFNISHNNLQGELPAGGFFNTISPSCVAGNPSLCGAAVNKSCPAVLPKPIVLNPNSSSDSTPGSLPQNLGHKRIILSISALIAIGAAAVIVVGVIAITVLNLRVRSSTSRSAAALTLSAGDGFSDSSTTDANSGKLVMFSGDTDFSTEAHALLNKDCELGRGGFGAVYQTVLRDGRPVAIKKLTVSSLVKSQEDFEREVKKLGKIRHQNLVALEGYYWTPSLQLLIYEFVSGGSLYKHLHERPGGHFLSWNERFNIILGTAKSLAHLHQSNVIHYNIKSRNILIDISGEPKVGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTAKITEKCDVYGFGVLILEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECVDGRLLGNFPADEAVPVMKLGLICTSQVPSNRPDMGEVVNILDLIRCPSEGQEESG >Potri.009G003800.2.v4.1 pep chromosome:Pop_tri_v4:9:1017602:1018501:-1 gene:Potri.009G003800.v4.1 transcript:Potri.009G003800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003800.v4.1 MANSAPPPPAAGPSPASKLVRIIVVVILALIVLLGLAVLITWLIIKPKQLVYRIDSASVHNFNLKNNHLNATFDLLIKAHNPNSRISVYYDPIEVSVAYDGQTIAFNTLEPFHQPRRNVTRLDATLVARDAALSGALSRDLRVQKRSGNIGLDVRIKARIRFKVGIFKLKHHTLRISCSPVKVQMSSLKNFETASCDLDW >Potri.001G197500.2.v4.1 pep chromosome:Pop_tri_v4:1:19404216:19409641:1 gene:Potri.001G197500.v4.1 transcript:Potri.001G197500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197500.v4.1 MTRGRIRARLRRSHLHPFSCLRPNANNNEGPHPLSGPGFSRIVHCNRPDRHLKKPLKYCSNYISTTKYNIVTFLPKALFEQFHRVANFYFLVAAGLSLTAVAPFSPVSMIAPLAFVVGISMLKEALEDWHRFAQDMKVNSRKASVHKGDGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSASYDDGVSYVETMNLDGETNLKVKRSLEVTLPLEDDEAFKNFTGIIKCEDPNPSLYTFIGNFEYERQVYPLDPSQILLRDSKLRNTAYVYGVVIFTGFDSKVMQNSTKSPSKRSKIEKKMDKIIYILLSLLLLISSISSIGFAVKIKLQMPDWWYMQPKNPDNDSLYNPDQPSKSGLAHLVTALILYGYLIPISLYVSIEIVKVFQARFINQDIQMYDEESGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAKQMAMDLEEQDTQITNGSRYRKSAHNSWEDSRGGPEIELESVITSKGENDQKPAIKGFSFEDNKLMNGNWLKEPNTEVILLFFRILAICQTAVPELNEETGMFTYEAESPDEAAFLAAAREFGFEFCKRTQSSVFIREKYAHPGQLIEREFKILNLLEFTSQRKRMSVIVRDEDGQILLLCKGADSIIFDRLSKNGRMYETTTAKHLNDYGEVGLRTLALAYKKLDESEYSAWNNEFVKAKTSISADRDAMLERVADMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMKQIFITVMNSDAVAQESKQAVKENILMQITNASQMVKLEKDPHAAFALIIDGKTLSYALEDDMKHQFLALAVVCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSVYNDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYTSLVIFILNIMIFYNQAFRAEGQTADMAAMGATMFSCIICAVNCQIALTMSHFTWIQHLFVWGSVATWYLFLLLFGMLPPYYSEDAHKILVEALGPAPIYWCTTLLVTVACILPYLAHISFQRCFNPMDHHIIQEIKYYKKDVKDQHMWRRERSKARQETKIGFTARVDAKIRQLKGKLQKKSSTVILPNRMPSPC >Potri.001G197500.3.v4.1 pep chromosome:Pop_tri_v4:1:19403298:19409635:1 gene:Potri.001G197500.v4.1 transcript:Potri.001G197500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197500.v4.1 MIAPLAFVVGISMLKEALEDWHRFAQDMKVNSRKASVHKGDGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSASYDDGVSYVETMNLDGETNLKVKRSLEVTLPLEDDEAFKNFTGIIKCEDPNPSLYTFIGNFEYERQVYPLDPSQILLRDSKLRNTAYVYGVVIFTGFDSKVMQNSTKSPSKRSKIEKKMDKIIYILLSLLLLISSISSIGFAVKIKLQMPDWWYMQPKNPDNDSLYNPDQPSKSGLAHLVTALILYGYLIPISLYVSIEIVKVFQARFINQDIQMYDEESGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAKQMAMDLEEQDTQITNGSRYRKSAHNSWEDSRGGPEIELESVITSKGENDQKPAIKGFSFEDNKLMNGNWLKEPNTEVILLFFRILAICQTAVPELNEETGMFTYEAESPDEAAFLAAAREFGFEFCKRTQSSVFIREKYAHPGQLIEREFKILNLLEFTSQRKRMSVIVRDEDGQILLLCKGADSIIFDRLSKNGRMYETTTAKHLNDYGEVGLRTLALAYKKLDESEYSAWNNEFVKAKTSISADRDAMLERVADMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMKQIFITVMNSDAVAQESKQAVKENILMQITNASQMVKLEKDPHAAFALIIDGKTLSYALEDDMKHQFLALAVVCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSVYNDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYTSLVIFILNIMIFYNQAFRAEGQTADMAAMGATMFSCIICAVNCQIALTMSHFTWIQHLFVWGSVATWYLFLLLFGMLPPYYSEDAHKILVEALGPAPIYWCTTLLVTVACILPYLAHISFQRCFNPMDHHIIQEIKYYKKDVKDQHMWRRERSKARQETKIGFTARVDAKIRQLKGKLQKKSSTVILPNRMPSPC >Potri.001G197500.1.v4.1 pep chromosome:Pop_tri_v4:1:19403250:19409637:1 gene:Potri.001G197500.v4.1 transcript:Potri.001G197500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197500.v4.1 MTRGRIRARLRRSHLHPFSCLRPNANNNEGPHPLSGPGFSRIVHCNRPDRHLKKPLKYCSNYISTTKYNIVTFLPKALFEQFHRVANFYFLVAAGLSLTAVAPFSPVSMIAPLAFVVGISMLKEALEDWHRFAQDMKVNSRKASVHKGDGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSASYDDGVSYVETMNLDGETNLKVKRSLEVTLPLEDDEAFKNFTGIIKCEDPNPSLYTFIGNFEYERQVYPLDPSQILLRDSKLRNTAYVYGVVIFTGFDSKVMQNSTKSPSKRSKIEKKMDKIIYILLSLLLLISSISSIGFAVKIKLQMPDWWYMQPKNPDNDSLYNPDQPSKSGLAHLVTALILYGYLIPISLYVSIEIVKVFQARFINQDIQMYDEESGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVRSSEVELAAAKQMAMDLEEQDTQITNGSRYRKSAHNSWEDSRGGPEIELESVITSKGENDQKPAIKGFSFEDNKLMNGNWLKEPNTEVILLFFRILAICQTAVPELNEETGMFTYEAESPDEAAFLAAAREFGFEFCKRTQSSVFIREKYAHPGQLIEREFKILNLLEFTSQRKRMSVIVRDEDGQILLLCKGADSIIFDRLSKNGRMYETTTAKHLNDYGEVGLRTLALAYKKLDESEYSAWNNEFVKAKTSISADRDAMLERVADMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMKQIFITVMNSDAVAQESKQAVKENILMQITNASQMVKLEKDPHAAFALIIDGKTLSYALEDDMKHQFLALAVVCASVICCRVSPKQKALVTRLVKEGTGKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSVYNDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGPKNLFFDWYRILGWMGNGLYTSLVIFILNIMIFYNQAFRAEGQTADMAAMGATMFSCIICAVNCQIALTMSHFTWIQHLFVWGSVATWYLFLLLFGMLPPYYSEDAHKILVEALGPAPIYWCTTLLVTVACILPYLAHISFQRCFNPMDHHIIQEIKYYKKDVKDQHMWRRERSKARQETKIGFTARVDAKIRQLKGKLQKKSSTVILPNRMPSPC >Potri.014G022050.1.v4.1 pep chromosome:Pop_tri_v4:14:1326333:1328620:-1 gene:Potri.014G022050.v4.1 transcript:Potri.014G022050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022050.v4.1 MMDLMGPGLGCYRRGQEVCAWIHGASKHTQSLHWLLGKTNKSFQVFETGNKERKTRIKEQSKFSGREKSFSYFFPPFAF >Potri.002G007150.1.v4.1 pep chromosome:Pop_tri_v4:2:394059:394481:1 gene:Potri.002G007150.v4.1 transcript:Potri.002G007150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007150.v4.1 MTPHISEDGEITTAAFLVNAKMGRVIRTYKFDNAASKLGIQAFEGITVMLSKDAGELVESGGVDVGTFKHLVYITRTDYVLQHHAPNSTEILWNVAFADSEAEFRCQGIQSSFGGVSLNANEDTDVIEWQLPCQMKRVAF >Potri.008G044100.1.v4.1 pep chromosome:Pop_tri_v4:8:2498082:2503524:-1 gene:Potri.008G044100.v4.1 transcript:Potri.008G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044100.v4.1 MSRQRPGKAPLPPAEVNIEKLENVINEGNYYGAQQMYKSISARYVSAQRHSEALDILHSGACLQLKNSQVTCGSELAVIFVETLVKAKVPYDDDVLDRIRKIYKTFPQIPLPQDLGEDDDMQQLNEALGAAKTRVECCLSFLKAAIKWSAEFGAHRNGSPEIHFMLAEYVYSESPELDMTRVSYHFVRGNNPKKFASTIVNFMGKCYPGEEDLAIARAILMYLSMGNLRDANFLMDELKKHAQYKEHDLPQSDLIQFINYLLPTLQRDALPLFNMLRTNYKSSIDREPAFNELLDEIAELFYGVQRRNPLQGMFGDIFQMMG >Potri.010G100101.1.v4.1 pep chromosome:Pop_tri_v4:10:12310776:12314904:-1 gene:Potri.010G100101.v4.1 transcript:Potri.010G100101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100101.v4.1 MRPILMKGHERPLTFLKYNREGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMLLITASADQSVKLWNVQTGAQLFTFNFNSPARSVDFSVGDKLAVITTDPFMGVTSAINVKSIAEDPSQQSGESVLTITGPTGRINRAVWGSLNKTIISAGEDSVVRIWDSETGKLLKESDPEVGHKKPITSLTKSADGSHFLTGSLDKSAKLWDTRTLTLIKTYATERPVNAVTMSPLLDHVVLGGGQDASSVTTTDHRAGKFEAKFYDKVLQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >Potri.009G036300.1.v4.1 pep chromosome:Pop_tri_v4:9:4583903:4587299:1 gene:Potri.009G036300.v4.1 transcript:Potri.009G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036300.v4.1 MMIASFKSLHFLLGLFVSLKLLALAQEENHFIYHGFTGANLLLSEIAKIHPNGLLELTNTSTQQIGRAFFPFPFQFNTSLFNNSRSLSFSTQFAFSMVPELPTLGGHGMAFTISPSVNFTGAWATQYLGILNSTSNGLSSNHLLAVELDAIRSLDFKDINDNHVGIDVNDLTSIESAPVTYFSGEENENKSLALISGLVMHVWIDYDEVEKLLNVTVAPITRTKPTLPLLSTPLDLSSVMLDSMYVGFSSSTGAVASSHYILGWSFNRGGQAQSLDVSKLPSLPHQRKSRKKSHLRILVPAITAVILLVAISGAAYIIRSKKYEELREDWEQEYGPQRFSYKDLYKATTGFTDRKLVGSGGFGKVYRGVLPSSKMQVAIKKVSHDSIQGTKQFVAEIVSMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKLLFRNDTPSLNWVQRYQVLRGVASALIYLHEEWEQVVLHRDVKASNILLDDDFNGRLGDFGLAKFYDRGANPQTTCVVGTVGYIAPEVTRTGRATTSSDVFAFGTFMLEMACGRKPVEPEQSAEKMILVDWVLDSWKIGDILRTGDPRLEGNYVVEEMELVLRLGLLCSFSTPQARPSMRQISQYLDGNASLPEMPLDGASIGLMPVSHEEPGDFTLSFHRSNDYSAHSFSSTDSILSCGR >Potri.018G026400.12.v4.1 pep chromosome:Pop_tri_v4:18:1949941:1953939:-1 gene:Potri.018G026400.v4.1 transcript:Potri.018G026400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026400.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVMASSNQSTHRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAVKMLQPLKEEHMRLVLDKFDGLFSKCQGVENVCFLHGISVMNGKICIVMKFYEGSIGDKMALLKGGKLTLPDVLRYGIELAQGIAELHAKEILVLNLKPCSFLLNENDQAVLGDIGIPYLLFGIPLTSSDMPWRLGTPNYMAPEQWQPEIRGPISSETDSWGFGCSIVEMLTGVLPWCGKSIEEIYDSVVRKQEKPHIPEGLPPPVENILLGCFEYDLRSRPLMTDILRVFKSSQNAVFVDGGWTGFGSRTILEKSSGAGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERNPDRDGFVLVSVHGIHDPLRLPVSTLEKVSFGLAAGDWVHLKEENNKHSPVGILHSINRDGSVAVGFIGVETLWKGKYSELQMAESYFVGQFVRLKTNVLSPRFEWPRKTGGAWATGKIWWILPNGCLIVKFPGRFTIGQENSSFLADPAEVEVVSFNTCPGVVKKYQHLEDFHWAVRPLVIALGIFTAMKVGFFTGKKIRRSRVNRPRGNAVQSNGQYTDGQTSGDGSNQAWFPPSVKNILGVSPAAAR >Potri.018G026400.10.v4.1 pep chromosome:Pop_tri_v4:18:1949915:1953939:-1 gene:Potri.018G026400.v4.1 transcript:Potri.018G026400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026400.v4.1 MSVVGLIICFSLQTAILFLWPLFNQFLKRDRMASKVVPAQPVTPFEFELYEDPDHLRTVMASSNQSTHRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAVKMLQPLKEEHMRLVLDKFDGLFSKCQGVENVCFLHGISVMNGKICIVMKFYEGSIGDKMALLKGGKLTLPDVLRYGIELAQGIAELHAKEILVLNLKPCSFLLNENDQAVLGDIGIPYLLFGIPLTSSDMPWRLGTPNYMAPEQWQPEIRGPISSETDSWGFGCSIVEMLTGVLPWCGKSIEEIYDSVVRKQEKPHIPEGLPPPVENILLGCFEYDLRSRPLMTDILRVFKSSQNAVFVDGGWTGFGSRTILEKSSGAGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERNPDRDGFVLVSVHGIHDPLRLPVSTLEKVSFGLAAGDWVHLKEENNKHSPVGILHSINRDGSVAVGFIGVETLWKGKYSELQMAESYFVGQFVRLKTNVLSPRFEWPRKTGGAWATGKIWWILPNGCLIVKFPGRFTIGQENSSFLADPAEVEVVSFNTCPGVVKKYQHLEDFHWAVRPLVIALGIFTAMKVGFFTGKKIRRSRVNRPRGNAVQSNGQYTDGQTSGDGSNQAWFPPSVKNILGVSPAAAR >Potri.018G026400.14.v4.1 pep chromosome:Pop_tri_v4:18:1949902:1953977:-1 gene:Potri.018G026400.v4.1 transcript:Potri.018G026400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026400.v4.1 MPWRLGTPNYMAPEQWQPEIRGPISSETDSWGFGCSIVEMLTGVLPWCGKSIEEIYDSVVRKQEKPHIPEGLPPPVENILLGCFEYDLRSRPLMTDILRVFKSSQNAVFVDGGWTGFGSRTILEKSSGAGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERNPDRDGFVLVSVHGIHDPLRLPVSTLEKVSFGLAAGDWVHLKEENNKHSPVGILHSINRDGSVAVGFIGVETLWKGKYSELQMAESYFVGQFVRLKTNVLSPRFEWPRKTGGAWATGKIWWILPNGCLIVKFPGRFTIGQENSSFLADPAEVEVVSFNTCPGVVKKYQHLEDFHWAVRPLVIALGIFTAMKVGFFTGKKIRRSRVNRPRGNAVQSNGQYTDGQTSGDGSNQAWFPPSVKNILGVSPAAAR >Potri.018G026400.13.v4.1 pep chromosome:Pop_tri_v4:18:1949877:1953935:-1 gene:Potri.018G026400.v4.1 transcript:Potri.018G026400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026400.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVMASSNQSTHRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAVKMLQPLKEEHMRLVLDKFDGLFSKCQGVENVCFLHGISVMNGKICIVMKFYEGSIGDKMALLKGGKLTLPDVLRYGIELAQGIAELHAKEILVLNLKPCSFLLNENDQAVLGDIGIPYLLFGIPLTSSDMPWRLGTPNYMAPEQWQPEIRGPISSETDSWGFGCSIVEMLTGVLPWCGKSIEEIYDSVVRKQEKPHIPEGLPPPVENILLGCFEYDLRSRPLMTDILRVFKSSQNAVFVDGGWTGFGSRTILEKSSGAGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERNPDRDGFVLVSVHGIHDPLRLPVSTLEKVSFGLAAGDWVHLKEENNKHSPVGILHSINRDGSVAVGFIGVETLWKGKYSELQMAESYFVGQFVRLKTNVLSPRFEWPRKTGGAWATGKIWWILPNGCLIVKFPGRFTIGQENSSFLADPAEVEVVSFNTCPGVVKKYQHLEDFHWAVRPLVIALGIFTAMKVGFFTGKKIRRSRVNRPRGNAVQSNGQYTDGQTSGDGSNQAWFPPSVKNILGVSPAAAR >Potri.018G026400.3.v4.1 pep chromosome:Pop_tri_v4:18:1949832:1954005:-1 gene:Potri.018G026400.v4.1 transcript:Potri.018G026400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026400.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVMASSNQSTHRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAVKMLQPLKEEHMRLVLDKFDGLFSKCQGVENVCFLHGISVMNGKICIVMKFYEGSIGDKMALLKGGKLTLPDVLRYGIELAQGIAELHAKEILVLNLKPCSFLLNENDQAVLGDIGIPYLLFGIPLTSSDMPWRLGTPNYMAPEQWQPEIRGPISSETDSWGFGCSIVEMLTGVLPWCGKSIEEIYDSVVRKQEKPHIPEGLPPPVENILLGCFEYDLRSRPLMTDILRVFKSSQNAVFVDGGWTGFGSRTILEKSSGAGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERNPDRDGFVLVSVHGIHDPLRLPVSTLEKVSFGLAAGDWVHLKEENNKHSPVGILHSINRDGSVAVGFIGVETLWKGKYSELQMAESYFVGQFVRLKTNVLSPRFEWPRKTGGAWATGKIWWILPNGCLIVKFPGRFTIGQENSSFLADPAEVEVVSFNTCPGVVKKYQHLEDFHWAVRPLVIALGIFTAMKVGFFTGKKIRRSRVNRPRGNAVQSNGQYTDGQTSGDGSNQAWFPPSVKNILGVSPAAAR >Potri.018G026400.9.v4.1 pep chromosome:Pop_tri_v4:18:1949832:1953941:-1 gene:Potri.018G026400.v4.1 transcript:Potri.018G026400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026400.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVMASSNQSTHRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAVKMLQPLKEEHMRLVLDKFDGLFSKCQGVENVCFLHGISVMNGKICIVMKFYEGSIGDKMALLKGGKLTLPDVLRYGIELAQGIAELHAKEILVLNLKPCSFLLNENDQAVLGDIGIPYLLFGIPLTSSDMPWRLGTPNYMAPEQWQPEIRGPISSETDSWGFGCSIVEMLTGVLPWCGKSIEEIYDSVVRKQEKPHIPEGLPPPVENILLGCFEYDLRSRPLMTDILRVFKSSQNAVFVDGGWTGFGSRTILEKSSGAGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERNPDRDGFVLVSVHGIHDPLRLPVSTLEKVSFGLAAGDWVHLKEENNKHSPVGILHSINRDGSVAVGFIGVETLWKGKYSELQMAESYFVGQFVRLKTNVLSPRFEWPRKTGGAWATGKIWWILPNGCLIVKFPGRFTIGQENSSFLADPAEVEVVSFNTCPGVVKKYQHLEDFHWAVRPLVIALGIFTAMKVGFFTGKKIRRSRVNRPRGNAVQSNGQYTDGQTSGDGSNQAWFPPSVKNILGVSPAAAR >Potri.018G026400.5.v4.1 pep chromosome:Pop_tri_v4:18:1949852:1953993:-1 gene:Potri.018G026400.v4.1 transcript:Potri.018G026400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026400.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVMASSNQSTHRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAVKMLQPLKEEHMRLVLDKFDGLFSKCQGVENVCFLHGISVMNGKICIVMKFYEGSIGDKMALLKGGKLTLPDVLRYGIELAQGIAELHAKEILVLNLKPCSFLLNENDQAVLGDIGIPYLLFGIPLTSSDMPWRLGTPNYMAPEQWQPEIRGPISSETDSWGFGCSIVEMLTGVLPWCGKSIEEIYDSVVRKQEKPHIPEGLPPPVENILLGCFEYDLRSRPLMTDILRVFKSSQNAVFVDGGWTGFGSRTILEKSSGAGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERNPDRDGFVLVSVHGIHDPLRLPVSTLEKVSFGLAAGDWVHLKEENNKHSPVGILHSINRDGSVAVGFIGVETLWKGKYSELQMAESYFVGQFVRLKTNVLSPRFEWPRKTGGAWATGKIWWILPNGCLIVKFPGRFTIGQENSSFLADPAEVEVVSFNTCPGVVKKYQHLEDFHWAVRPLVIALGIFTAMKVGFFTGKKIRRSRVNRPRGNAVQSNGQYTDGQTSGDGSNQAWFPPSVKNILGVSPAAAR >Potri.018G026400.11.v4.1 pep chromosome:Pop_tri_v4:18:1949831:1954022:-1 gene:Potri.018G026400.v4.1 transcript:Potri.018G026400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026400.v4.1 MFFSVGDRMASKVVPAQPVTPFEFELYEDPDHLRTVMASSNQSTHRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDEYHEVAVKMLQPLKEEHMRLVLDKFDGLFSKCQGVENVCFLHGISVMNGKICIVMKFYEGSIGDKMALLKGGKLTLPDVLRYGIELAQGIAELHAKEILVLNLKPCSFLLNENDQAVLGDIGIPYLLFGIPLTSSDMPWRLGTPNYMAPEQWQPEIRGPISSETDSWGFGCSIVEMLTGVLPWCGKSIEEIYDSVVRKQEKPHIPEGLPPPVENILLGCFEYDLRSRPLMTDILRVFKSSQNAVFVDGGWTGFGSRTILEKSSGAGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERNPDRDGFVLVSVHGIHDPLRLPVSTLEKVSFGLAAGDWVHLKEENNKHSPVGILHSINRDGSVAVGFIGVETLWKGKYSELQMAESYFVGQFVRLKTNVLSPRFEWPRKTGGAWATGKIWWILPNGCLIVKFPGRFTIGQENSSFLADPAEVEVVSFNTCPGVVKKYQHLEDFHWAVRPLVIALGIFTAMKVGFFTGKKIRRSRVNRPRGNAVQSNGQYTDGQTSGDGSNQAWFPPSVKNILGVSPAAAR >Potri.017G148266.1.v4.1 pep chromosome:Pop_tri_v4:17:14731624:14734965:-1 gene:Potri.017G148266.v4.1 transcript:Potri.017G148266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148266.v4.1 MGGLMDHEYFVISYQRKKRDHHVFCLSIHMTGPPDLNLVLTEMSSPLVNKLMACAKAVAVGNLKLADVLYEEMEGLTAEETSEVTKKVVSYFAEALARRVHGVYPRNPFPLLPSSIVNTQRSLRYFEFIPATIDYLAAAYFSGKQPVHFIDFSIMLGSREYDSLLRKFLPNRVFRLTNIGPNPCKDNNHIQERQRKLTELARQLNIDFQLKQLEASIPADIEECVLKLESTSEDEIVIVRWEFELHKLLAIEGAIERVLSKLKELKSKFMLINEQEADHNSPDFFDRFALSFQYYSRVFNHFNCVSGETEREEILERHWRRQISNVVACKGIDRVERHQTFDQWKERLRGAGFRPFQNASRLFKTICKFPMFYPFHGHPLFFASLWEPIDPTEFSRGVGISYPITIEDASVSSVIEPEEVCSSDSEDDSAYDNSKTLGIFWNQKKWSAEEVREYLVSSLTERESRRINRWMSRRLTGNSAGRDKKLSVCDTSLASLLRIPPSNTPIWEAKQYYVDDSVINAYFELLRKRWMEIPNLYMKNYSLPTWIMSFLLSGKWTESKVLSYLNIEEIAGTSKLFIPVCLENHWILICVDIESRALLWLDSLNSHHDKKGVISRWIIEHLMPKLGYDNAQEWQFLEPVDLPRQTNGVDCGIFVMKYADCLALGDHFPFTQQDIPLFRHHIFLDIYRGKLRPQIYSKNFRA >Potri.013G135300.4.v4.1 pep chromosome:Pop_tri_v4:13:14050079:14055116:1 gene:Potri.013G135300.v4.1 transcript:Potri.013G135300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135300.v4.1 MVFIRTPTSTNKTLYIHTNPTSTTLHALKHQIQLQTQIPISQQNLLLPKICQNPNTLLLSQLDIANNTTLTLHIPLLGGMQAPVAPKSRLDFLNSKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPDRNAGAAAAGPGGGAGRGKGGKGGEDEDEDESEEKGYDENQKFDEFEGNDVGLFASAEYDEDDREADAVWEEIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLVTLSAEEWETIPDIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGAETPWGQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARLLIQKGCEECPTNEDVWLEACRLSNPDEAKGVIAKGVKRIPNSVKLWMQAAKLENDDFTKRKVLLKGLEHIPDSVRLWKAAVELCNEEDARTLLGRAVECCPLHVELWLAFARLETYENARKVLNRAREKLPKEPAIWITAAKLEEANGNTPMVGKLIERGIRALQREGVVIDREEWMKEAEAAERAGSVATCQAIIKNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPSARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNIEEERKLLDEGLQRFPSFFKLWLMLGQLEERLGNLDKAKEIYEAGLKSCPSHVPLWLSLANLEEKTNGLSKARAVLTMARKKNPKNPELWLAAIRAESRHGNNKEADNLMAKALQECPTSGILWAASIEMAPRAQHKSKSADAIKKCSPHDPHVTTAVAKLFWRERKVDKARSWLNRAVTLAPDIGDFWAYYYKFELQHGNEEDQKDVLKRCIAAEPKHGEKWQTISKAVENSHQPTEAILKKVVVVLGKEESASENNDH >Potri.013G135300.3.v4.1 pep chromosome:Pop_tri_v4:13:14050079:14055112:1 gene:Potri.013G135300.v4.1 transcript:Potri.013G135300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135300.v4.1 MVFIRTPTSTNKTLYIHTNPTSTTLHALKHQIQLQTQIPISQQNLLLPKICQNPNTLLLSQLDIANNTTLTLHIPLLGGMQAPVAPKSRLDFLNSKPPANYVAGLGRGATGFTTRSDIGPARAAPDLPDRNAGAAAAGPGGGAGRGKGGKGGEDEDEDESEEKGYDENQKFDEFEGNDVGLFASAEYDEDDREADAVWEEIDKRMDSRRKDRREARLKEEIEKYRASNPKITEQFADLKRKLVTLSAEEWETIPDIGDYSLRNKKKRFESFVPVPDTLLEKARQEQEHVTALDPKSRAAGGAETPWGQTPVTDLTAVGEGRGTVLSLKLDRLSDSVSGLTVVDPKGYLTDLKSMKITSDAEISDIKKARLLLKSVTQTNPKHPPGWIAAARLEEVAGKIQAARLLIQKGCEECPTNEDVWLEACRLSNPDEAKGVIAKGVKRIPNSVKLWMQAAKLENDDFTKRKVLLKGLEHIPDSVRLWKAAVELCNEEDARTLLGRAVECCPLHVELWLAFARLETYENARKVLNRAREKLPKEPAIWITAAKLEEANGNTPMVGKLIERGIRALQREGVVIDREEWMKEAEAAERAGSVATCQAIIKNTIGIGVEEEDRKRTWVADAEECKKRGSIETARAIYAHALTVFLTKKSIWLKAAQLEKSHGTRESLDALLRKAVTYRPQAEVLWLMGAKEKWLAGDVPSARAILQEAYAAIPNSEEIWLAAFKLEFENHEPERARMLLAKARERGGTERVWMKSAIVERELGNIEEERKLLDEGLQRFPSFFKLWLMLGQLEERLGNLDKAKEIYEAGLKSCPSHVPLWLSLANLEEKTNGLSKARAVLTMARKKNPKNPELWLAAIRAESRHGNNKEADNLMAKALQECPTSGILWAASIEMAPRAQHKSKSADAIKKCSPHDPHVTTAVAKLFWRERKVDKARSWLNRAVTLAPDIGDFWAYYYKFELQHGNEEDQKDVLKRCIAAEPKHGEKWQTISKAVENSHQPTEAILKKVVVVLGKEESASENNDH >Potri.016G080800.1.v4.1 pep chromosome:Pop_tri_v4:16:6235216:6236744:-1 gene:Potri.016G080800.v4.1 transcript:Potri.016G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080800.v4.1 MLHEMATNQSLCIHADDDCIEMELSSTSNFICYSISSPPPQNREFEFQMSSVSHGREATTSPADELFYKGKLLPLHLPPRLLMVQKLLQNPTTTTLNSKKESAFEENYVIPFTTSSTAPSTDINTPLESCNISPSESCRVSSELNPDEYFFEWPTEANCFLGDHQKKSWTKKLKQSSLGLKLKASRAYLKSLFKKSGCTDESCAKAACNAEDETVSNGQDCLNKYMKVPKKSPFGNIDNDRCRISNTLKRSFEKEMAEDGFRCQRRSFSGAIQWHSATKSSSFSSSSTSSSGLSSSSSSFSFSSNGFCDLQLLKRSGSSNSEFESSIAEAIAHCKRSHQLFSSRKSSSEVGVCSLSASVIAARGDQETPRLRRI >Potri.002G167200.1.v4.1 pep chromosome:Pop_tri_v4:2:12796771:12801670:1 gene:Potri.002G167200.v4.1 transcript:Potri.002G167200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167200.v4.1 MRHSAAVWDYRAAIEHTKDWNGMDQVVLRNPQGASARVSLHGGQVFSWRNEQGEELLFTSSKGIFKPPKQVRGGIPICFPQFGNCGSPEQHGFARSKIWTVDDNPPPLHPNDSHGKSFIDLLLKPSEEDLKCWPYSFELRLRVSLAANGDLALTSRVRNIDGKPFSFSFTYHTYLSVSDISEVRIEGLETLDYLDNLQQRERFTEQGDALTFESEVDRVYLSSPNAIAILDHGRKRTYVIRKDGLPDVAVWNPWEKKSKAMADFGDEEYKKMLCVDGAVVEKPVTLKPGEEWTGLLVLSAVPSSFCSEYFDLERRGL >Potri.004G022700.1.v4.1 pep chromosome:Pop_tri_v4:4:1572579:1591164:-1 gene:Potri.004G022700.v4.1 transcript:Potri.004G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022700.v4.1 MPKMERLIKTTTLTFLATFLLLLLPNTYAHHLLLPHCMNQFSLASYACAMLPYTPFPHPSPPSPAPSPPSPSPAPPSPSLDVEHEHREMIHKENHHGSIEENCCKWLGALDKECVCGLLHRLPVFLSKPAHQYTLYVSNSCNITYACDG >Potri.003G033300.2.v4.1 pep chromosome:Pop_tri_v4:3:3769688:3775199:1 gene:Potri.003G033300.v4.1 transcript:Potri.003G033300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033300.v4.1 MDACSLLNEKRDVSVASNAQEWDCVEQMPLAGKTISEWVSELDNITKEVEAELVSRDIGCHLVELLDSVNLVLFELRGFKRSPVVVDSKYSYLHTVLSTRCGSAILLSIIYIEVCRRLDLTIVGSRVGEDFLIWPQMGNPEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTGNSLLGLEIATKRDIIGIALANLIRLHWKRASRSNPGLMLASPLRHAHNTDEKLIKVHSSSIPLLRPQDLRLAVMASERLLILQPHNWALRRDHGMMLYYNRKYGQAVQELSICMAFAPEEEAKVLEAFVEKLHLLRLESSWKSLGHTGQLTVP >Potri.003G033300.1.v4.1 pep chromosome:Pop_tri_v4:3:3769694:3775239:1 gene:Potri.003G033300.v4.1 transcript:Potri.003G033300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033300.v4.1 MLRDSLITVATATTMPPPPPAPSSHVLPIRYDHDQHRRWRRRNGNVIVSVSASATPLSINQTTMDSSSRRLKTYQEVVKSAREKFTQEFSFQSKDKDISLAKALLYIASEDEAFIALNQEMDACSLLNEKRDVSVASNAQEWDCVEQMPLAGKTISEWVSELDNITKEVEAELVSRDIGCHLVELLDSVNLVLFELRGFKRSPVVVDSKYSYLHTVLSTRCGSAILLSIIYIEVCRRLDLTIVGSRVGEDFLIWPQMGNPEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTGNSLLGLEIATKRDIIGIALANLIRLHWKRASRSNPGLMLASPLRHAHNTDEKLIKVHSSSIPLLRPQDLRLAVMASERLLILQPHNWALRRDHGMMLYYNRKYGQAVQELSICMAFAPEEEAKVLEAFVEKLHLLRLESSWKSLGHTGQLTVP >Potri.005G137900.1.v4.1 pep chromosome:Pop_tri_v4:5:10792177:10795650:-1 gene:Potri.005G137900.v4.1 transcript:Potri.005G137900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137900.v4.1 MVSLKNKQESASIMYSSLNSRSSCNFSNICKNTNHLKSLKALLIVNGLIQHKLLLRQFLESCFNLGSVDLALSTFNTIKKPSLLLQNLMIRSLSNNGLHENVLSVYKTCQVWNCLSDDFTFPFVIKACSVLGAFEIGKEIHCAVLRNGYERNVVIETALVDFYGKIGHLGTARSLIDRSPQPDLVSLNALISCYSFHGIDQPVFEVFKLIFAVGLKPNLSTLASVIPVCTRLGCLDTGKSLHGFAVKSGFLANEFLVPALISMYARDVCVSSAINMFEDVKRKNVAVWNAMISACTQKDMAFEAYEMFRQMLHADVLPNSITFVSVIPSCEVAGGILYGESFHAWVIKHGLENQVSVLTALVSMYAKLGEMHKAENLFDRISNRNLLLWNVMVSGYVRNCLWDTSLAAFCEMQLGGFSPDAVSIVSVLSACSYLEAVLFGKCAHAFSIRKGIDSSPNVSNALLAFYSDCRQLTSSFKLFHKMHTRNTVSWNTLISGCVHSGEMEKAVDLGHSMQKEGVALDLVTLISVLPVYCDRDYLGHGMTLHGHAIKKGFASDVSLVNALISTYCKCGDLDSGRFLFEVMSERCVVSWNALITGLRHLNLQNEALVLFSQMTEYQRPNSVTLLNVLPLCYSHLQGKSVHAFAIRTRVLPETPLVTSLIYMYARFENMNSCLSLFEIGTKDIPVWNAIISVHIQTKYPEKAVCFFYDLLRMGLQPDNITVLSLVSACAQLNFLSLAHSVMAYVICKGFEKDSAVSNALIDMYARCGDIVTAKKLFEGLIEKDAVSWSVMINGYCLHGDGKAALEILSQMQLSGVIPNVIVFSTILSACSHAGLVEQAWMVLNSMVENGISARIEHYACLVDLLGRKGHLKEAYNVVKKLPGKPSVTLLESLLGACSVHGNVEIGEEISGLLFEMDPDNPVPYVILSNIYAAAGRWADANKLRSNIDRRRLRKAAGCSLLISEKSDNIVVMKET >Potri.005G133600.1.v4.1 pep chromosome:Pop_tri_v4:5:10211475:10213326:-1 gene:Potri.005G133600.v4.1 transcript:Potri.005G133600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G133600.v4.1 MGEVTNQKQHFVLIHGSVAGAWIWYKIKPRLEEAGHRVTALDMAASGVNTKTIEEVRTFDLYNEPLMEFMAKLPENEKVVLVGHSLGGLNLAFAMEKFPEKVSLAVFLTAILPDTVHQPSYMLEKFAEIGPKGEEWQDTLFSFHGTPEEPHTCVHMGCEFMKCKPFHLSSAEDLALQMLLNRPGSMFVESLSKAKKFTDERYGSVPRVYIVCTEDLMMPASFQRWMIEQNGVKEVMEIPADHMPVFSTPTELCHSILELARKHA >Potri.015G035600.9.v4.1 pep chromosome:Pop_tri_v4:15:2977617:2986126:-1 gene:Potri.015G035600.v4.1 transcript:Potri.015G035600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035600.v4.1 MTSPGEFWLQCEGIVQDLDDRRQELPPGILKQLHTRMLFILTRCTRLLQFHKESGLAEDENIFQLHQLRLLQSADKHIPPGVGRDGKISSAPKKAASAKKSYSQEQKAASVRKSYSQEQCAWGREQDVLPGKFLSPADNTPKSDESPTGRNRISSWKPLPSPPVKITKEVVPPRGQNDDKNEPLKTSNDRKGASDVLLAAAKASELPLVKDLHEHSTKHQHKISWGNWGDQQNIADESSIICRICEEEVPTLYVEDHSRICAITDRCDQMCLSVNERLIRISETLEKMIESFAQKDIQHAVGSPDIAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDFFPEADNSIFMDDMKGLPSMSCKTRFGPKSDQGMATSSAGSMTPRSPLLTPRNSQIDLLLAGKSAFSEHDDLPQLNELADIARCVATMPLEDDRAISYLLTCLEDLRVVIDRRKFDALMVETFGTRIEKLIREKYLQLCELVGDEKVDITNTVIDEDAPLEDDVVRSLRTSPTHPSKDRTSIDDFVIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMLVDDEPQLSTSEHQRERRKKRSAVGTPDYLAPEILLGTGHGTTADWWSVGVILFELIIGIPPFNAEHPQTIFDNILNRNIPWPRVPEEMSPEAQDLIDRLLTEVPDQRLGAGGASEVKQHIFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNTSDDPNYPASDFEDSSDSDSLSGSSSCLSHRHDEVGDECGGLAEFESGSCVNYSFSNFSFKNLSQLASINYDLLSKGWKDDPSTTNS >Potri.015G035600.8.v4.1 pep chromosome:Pop_tri_v4:15:2977647:2989755:-1 gene:Potri.015G035600.v4.1 transcript:Potri.015G035600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035600.v4.1 MVFKNKLFFSSSKKSETSSPDGSNNSPRSIGSNSPIRSDKKKASKSKNSTPTTPTSTGSSSNFTCKQTQVKDGVKKKDSFFKGKETVNQPQTPTKPGTSNSGTGLKSKKGDVLVENKEKEAEKSSVSPILASSLGLNRIKTRSGPLPQESFFGFRGDKGSGVLGSSNLSRRGGDGGSGSNSSSLGSGKKKEGIEGQSKLTGFQESGNGGDNWDSMSTGSGGGQSREVSPNLQARTRLQNGESSSEAGQHNSSWGHSESLQSSDVFTPETYDCNNPKESESPRFQAILRVTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLEEILVVIRAKFDKAKEEVNSDLAVFAADLVGILEKNADSHPEWQETIEDLLVLARSCAMTSPGEFWLQCEGIVQDLDDRRQELPPGILKQLHTRMLFILTRCTRLLQFHKESGLAEDENIFQLHQLRLLQSADKHIPPGVGRDGKISSAPKKAASAKKSYSQEQKAASVRKSYSQEQCAWGREQDVLPGKFLSPADNTPKSDESPTGRNRISSWKPLPSPPVKITKEVVPPRGQNDDKNEPLKTSNDRKGASDVLLAAAKASELPLVKDLHEHSTKHQHKISWGNWGDQQNIADESSIICRICEEEVPTLYVEDHSRICAITDRCDQMCLSVNERLIRISETLEKMIESFAQKDIQHAVGSPDIAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDFFPEADNSIFMDDMKGLPSMSCKTRFGPKSDQGMATSSAGSMTPRSPLLTPRNSQIDLLLAGKSAFSEHDDLPQLNELADIARCVATMPLEDDRAISYLLTCLEDLRVVIDRRKFDALMVETFGTRIEKLIREKYLQLCELVGDEKVDITNTVIDEDAPLEDDVVRSLRTSPTHPSKDRTSIDDFVIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMLVDDEPQLSTSEHQRERRKKRSAVGTPDYLAPEILLGTGHGTTADWWSVGVILFELIIGIPPFNAEHPQTIFDNILNRNIPWPRVPEEMSPEAQDLIDRLLTEVPDQRLGAGGASEVKQHIFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNTSDDPNYPASDFEDSSDSDSLSGSSSCLSHRHDEVGDECGGLAEFESGSCVNYSFSNFSFKNLSQLASINYDLLSKGWKDDPSTTNS >Potri.015G035600.4.v4.1 pep chromosome:Pop_tri_v4:15:2977642:2989735:-1 gene:Potri.015G035600.v4.1 transcript:Potri.015G035600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035600.v4.1 MVFKNKLFFSSSKKSETSSPDGSNNSPRSIGSNSPIRSDKKKASKSKNSTPTTPTSTGSSSNFTCKQTQVKDGVKKKDSFFKGKETVNQPQTPTKPGTSNSGTGLKSKKGDVLVENKEKEAEKSSVSPILASSLGLNRIKTRSGPLPQESFFGFRGDKGSGVLGSSNLSRRGGDGGSGSNSSSLGSGKKKEGIEGQSKLTGFQESGNGGDNWDSMSTGSGGGQSREVSPNLQARTRLQNGESSSEAGQHNSSWGHSESLQSSDVFTPETYDCNNPKESESPRFQAILRVTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLEEILVVIRAKFDKAKEEVNSDLAVFAADLVGILEKNADSHPEWQETIEDLLVLARSCAMTSPGEFWLQCEGIVQDLDDRRQELPPGILKQLHTRMLFILTRCTRLLQFHKESGLAEDENIFQLHQLRLLQSADKHIPPGVGRDGKISSAPKKAASAKKSYSQEQKAASVRKSYSQEQCAWGREQDVLPGKFLSPADNTPKSDESPTGRNRISSWKPLPSPPVKITKEVVPPRGQNDDKNEPLKTSNDRKGASDVLLAAAKASELPLVKDLHEHSTKHQHKISWGNWGDQQNIADESSIICRICEEEVPTLYVEDHSRICAITDRCDQMCLSVNERLIRISETLEKMIESFAQKDIQHAVGSPDIAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDFFPEADNSIFMDDMKGLPSMSCKTRFGPKSDQGMATSSAGSMTPRSPLLTPRNSQIDLLLAGKSAFSEHDDLPQLNELADIARCVATMPLEDDRAISYLLTCLEDLRVVIDRRKFDALMVETFGTRIEKLIREKYLQLCELVGDEKVDITNTVIDEDAPLEDDVVRSLRTSPTHPSKDRTSIDDFVIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMLVDDEPQLSTSEHQRERRKKRSAVGTPDYLAPEILLGTGHGTTADWWSVGVILFELIIGIPPFNAEHPQTIFDNILNRNIPWPRVPEEMSPEAQDLIDRLLTEVPDQRLGAGGASEVKQHIFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNTSDDPNYPASDFEDSSDSDSLSGSSSCLSHRHDEVGDECGGLAEFESGSCVNYSFSNFSFKNLSQLASINYDLLSKGWKDDPSTTNS >Potri.015G035600.7.v4.1 pep chromosome:Pop_tri_v4:15:2977345:2989787:-1 gene:Potri.015G035600.v4.1 transcript:Potri.015G035600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035600.v4.1 MVFKNKLFFSSSKKSETSSPDGSNNSPRSIGSNSPIRSDKKKASKSKNSTPTTPTSTGSSSNFTCKQTQVKDGVKKKDSFFKGKETVNQPQTPTKPGTSNSGTGLKSKKGDVLVENKEKEAEKSSVSPILASSLGLNRIKTRSGPLPQESFFGFRGDKGSGVLGSSNLSRRGGDGGSGSNSSSLGSGKKKEGIEGQSKLTGFQESGNGGDNWDSMSTGSGGGQSREVSPNLQARTRLQNGESSSEAGQHNSSWGHSESLQSSDVFTPETYDCNNPKESESPRFQAILRVTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLEEILVVIRAKFDKAKEEVNSDLAVFAADLVGILEKNADSHPEWQETIEDLLVLARSCAMTSPGEFWLQCEGIVQDLDDRRQELPPGILKQLHTRMLFILTRCTRLLQFHKESGLAEDENIFQLHQLRLLQSADKHIPPGVGRDGKISSAPKKAASAKKSYSQEQKAASVRKSYSQEQCAWGREQDVLPGKFLSPADNTPKSDESPTGRNRISSWKPLPSPPVKITKEVVPPRGQNDDKNEPLKTSNDRKGASDVLLAAAKASELPLVKDLHEHSTKHQHKISWGNWGDQQNIADESSIICRICEEEVPTLYVEDHSRICAITDRCDQMCLSVNERLIRISETLEKMIESFAQKDIQHAVGSPDIAKVSNSSVTEESDVLSPKLSDWSRRGSEDMLDFFPEADNSIFMDDMKGLPSMSCKTRFGPKSDQGMATSSAGSMTPRSPLLTPRNSQIDLLLAGKSAFSEHDDLPQLNELADIARCVATMPLEDDRAISYLLTCLEDLRVVIDRRKFDALMVETFGTRIEKLIREKYLQLCELVGDEKVDITNTVIDEDAPLEDDVVRSLRTSPTHPSKDRTSIDDFVIIKPISRGAFGRVFLAKKRTTGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLHVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMLVDDEPQLSTSEHQRERRKKRSAVGTPDYLAPEILLGTGHGTTADWWSVGVILFELIIGIPPFNAEHPQTIFDNILNRNIPWPRVPEEMSPEAQDLIDRLLTEVPDQRLGAGGASEVKQHIFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNTSDDPNYPASDFEDSSDSDSLSGSSSCLSHRHDEVGDECGGLAEFESGSCVNYSFSNFSFKNLSQLASINYDLLSKGWKDDPSTTNS >Potri.008G140000.4.v4.1 pep chromosome:Pop_tri_v4:8:9425226:9426215:-1 gene:Potri.008G140000.v4.1 transcript:Potri.008G140000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140000.v4.1 MDLQHRHHAVEYEVEFWPVEHPMEPQDEDRPVKCPMPTSSVIKNGRAHEERLEKRADDLLLPAVMNKQGIVVVAAEPQVRAVRKRHHTLTRQDHRVIAPDLTRMASIPALPTQNVTIFQMLQELDKFDQY >Potri.008G140000.1.v4.1 pep chromosome:Pop_tri_v4:8:9425252:9426287:-1 gene:Potri.008G140000.v4.1 transcript:Potri.008G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140000.v4.1 MGLSCGEDDFEKNGSHEVPLIVDSRETRVSGGYMDLQHRHHAVEYEVEFWPVEHPMEPQDEDRPVKCPMPTSSVIKNGRAHEERLEKRADDLLLPAVMNKQGIVVVAAEPQVRAVRKRHHTLTRQDHRVIAPDLTRMASIPALPTQNVTIFQMLQELDKFDQY >Potri.016G091300.2.v4.1 pep chromosome:Pop_tri_v4:16:7474120:7475207:1 gene:Potri.016G091300.v4.1 transcript:Potri.016G091300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091300.v4.1 MAWDVFLSLQNAIPHHHQYDFFHPNCREQHRSPLPRAMNQHRIGSLIVNQKMLYKEDLSCYIAMLLKKKQSELLHGIEINLGFLIDFSLPAMFAVEEETICLLRC >Potri.008G018600.1.v4.1 pep chromosome:Pop_tri_v4:8:929807:932595:-1 gene:Potri.008G018600.v4.1 transcript:Potri.008G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018600.v4.1 MLSSPIIYSFSLLLSFSLIYLFSPQILPLQNPQNLPLDELEDLTLFKKALKPCTTTSHLSTRNPTPKIAFLFLTNSDLSFAPLWERFFRGYSNLYNIYVHADPFSKVSNPDGIFKDQFIPGKKTERGSPSLISAEKRLLARAILDDPFNLYFALVSQHCVPLHSFQYMYNTLFGHNILEAFAAQSHHQSFIEILSQDPNLPDRYNARGENIMLPEIPFEKFRVGSQFFVLAKRHAFLVLKDRKLWRKFKLPCLNIESCYPEEHYFPTLLSMKDPRGCSQYTLTNVNWTDCFDAHPHLYQAEEVSPNLVHRLRLSNSSDSYFFARKFAPDCLKPLMEIADDVIFKD >Potri.018G086700.1.v4.1 pep chromosome:Pop_tri_v4:18:10473526:10478359:1 gene:Potri.018G086700.v4.1 transcript:Potri.018G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086700.v4.1 MESTLKEMRDGASVLDMDPKSTVGGGVEDVYGEDRATEDQLVTPWTISVASGFTLLRDPQHNKGLAFTEKERDAHYLRGLLPPATISQQLQEKKLMNTIRQYQLPLQKYTAMMELEERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFKRPQGLYISLKEKGKVLDVLKNWPQKSIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGVRPSACLPVTIDVGTNNEQLLKDEFYIGLRQKRATGQEYSELLHEFMTAVKQNYGEKVLIQFEDFANHNAFDLLAKYGTTHLVFNDDIQGTAAVVLAGLISALKLLGGSLADHTFLFLGAGEAGTGIAELIALEMSRRSKTPLEETRKKIWLTDSKGLIVSSRKESLQHFKKPWAHEHEPVKGLLEVVKAIKPTVLIGTSGVGKTFTKEVIEAMASFNEKPLILALSNPTSQSECTAEEAYTWTKGKAIFASGSPFDPVEYEGKVFVPGQSNNAYIFPGLGLGLVISGAIRVHDDMLLAAAEALAGQIKEEYLAKGLIYPPLSNIRKISVQIAANVAAKAYELGLATRLPRPENLVKHAESCMYSPAYRYYR >Potri.014G147500.3.v4.1 pep chromosome:Pop_tri_v4:14:10125255:10125879:-1 gene:Potri.014G147500.v4.1 transcript:Potri.014G147500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147500.v4.1 MSYYQRLGDMNYMAEEAEMVDFVDEMDGGAAGGVEDVEANEYNLLTKATDTSSGQARNGQDIQGIPWERLNITREKYRLTRLEQYKNYETIPLSGEAVNKECKQMEKGGYYYEFFHNTRSVKPTILHFQVCGFCNFCM >Potri.008G203800.2.v4.1 pep chromosome:Pop_tri_v4:8:14804160:14804354:1 gene:Potri.008G203800.v4.1 transcript:Potri.008G203800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203800.v4.1 MKAEDKLNAIRSGIVVIGALAFGYLTLQIGFKPFLLKAQQHEEQQQQSLHSQETSINDQQQQQQ >Potri.010G077000.4.v4.1 pep chromosome:Pop_tri_v4:10:10418015:10420084:-1 gene:Potri.010G077000.v4.1 transcript:Potri.010G077000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077000.v4.1 MDGFFQDEWQGDGFWNEIGPVNQGAFVPYIRRAGAGSESSSMGAHPTNMNKRMVEFMRRSFPVNIGAQEPGSERCNRHMMSERLRRERERHGYLALHSLLPLGTKKDKNSIMQMAAKRIQELETYKRILERRNGEIEEKLAGSGIVNVESTKIRIEVANPTSGVDPMVDVLKCLKSLGAKTRSIQSQISDQQLVAVMDIETEIEAAEIENAVKRTLARY >Potri.010G115900.1.v4.1 pep chromosome:Pop_tri_v4:10:13517007:13517594:1 gene:Potri.010G115900.v4.1 transcript:Potri.010G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115900.v4.1 MASSHPPTSCSSPPFRRHKELITSKHPRVIRCQSFREDVLKPWLAGTSANIVDANLGILRGRIAEVKMKEKLDAWCRLKNDWNYQSGYDHKYKRDAMLSESLEIMGFASGALGFVFLSGSLCIWLVSLLVHLTR >Potri.010G115900.2.v4.1 pep chromosome:Pop_tri_v4:10:13517007:13517594:1 gene:Potri.010G115900.v4.1 transcript:Potri.010G115900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115900.v4.1 MASSHPPTSCSSPPFRRHKELITSKHPRVIRCQSFREDGTSANIVDANLGILRGRIAEVKMKEKLDAWCRLKNDWNYQSGYDHKYKRDAMLSESLEIMGFASGALGFVFLSGSLCIWLVSLLVHLTR >Potri.006G239100.2.v4.1 pep chromosome:Pop_tri_v4:6:24110762:24117983:1 gene:Potri.006G239100.v4.1 transcript:Potri.006G239100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239100.v4.1 MILKRTLQSICILTLNPNTTTSIIVQFVNDDGALLVCAINAACAALVDARIPMKHLAVAICCCLADVGYVILDPTKLEEQKMKGFAYLVFPNSVLSVILEGSSLVEGESTEHGIITSVTHGVMPVEEYLKYVERGRAASAKLSDFLRKSLQSQLSSDSSKAA >Potri.006G239100.6.v4.1 pep chromosome:Pop_tri_v4:6:24112666:24117983:1 gene:Potri.006G239100.v4.1 transcript:Potri.006G239100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239100.v4.1 MKHLAVAICCCLADVGYVILDPTKLEEQKMKGFAYLVFPNSVLSVILEGSSLVEGESTEHGIITSVTHGVMPVEEYLKYVERGRAASAKLSDFLRKSLQSQLSSDSSKAA >Potri.006G239100.5.v4.1 pep chromosome:Pop_tri_v4:6:24110780:24117983:1 gene:Potri.006G239100.v4.1 transcript:Potri.006G239100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239100.v4.1 MEIDRDDGRSPSQLRPLSCSHNVLHRAHGSASWSQVAICCCLADVGYVILDPTKLEEQKMKGFAYLVFPNSVLSVILEGSSLVEGESTEHGIITSVTHGVMPVEEYLKYVERGRAASAKLSDFLRKSLQSQLSSDSSKAA >Potri.006G239100.1.v4.1 pep chromosome:Pop_tri_v4:6:24110780:24117983:1 gene:Potri.006G239100.v4.1 transcript:Potri.006G239100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239100.v4.1 MEIDRDDGRSPSQLRPLSCSHNVLHRAHGSASWSQGKLEREYEMILKRTLQSICILTLNPNTTTSIIVQFVNDDGALLVCAINAACAALVDARIPMKHLAVAICCCLADVGYVILDPTKLEEQKMKGFAYLVFPNSVLSVILEGSSLVEGESTEHGIITSVTHGVMPVEEYLKYVERGRAASAKLSDFLRKSLQSQLSSDSSKAA >Potri.006G239100.4.v4.1 pep chromosome:Pop_tri_v4:6:24110780:24117983:1 gene:Potri.006G239100.v4.1 transcript:Potri.006G239100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239100.v4.1 MYFTVPMALLVGLKFVNDDGALLVCAINAACAALVDARIPMKHLAVAICCCLADVGYVILDPTKLEEQKMKGFAYLVFPNSVLSVILEGSSLVEGESTEHGIITSVTHGVMPVEEYLKYVERGRAASAKLSDFLRKSLQSQLSSDSSKAA >Potri.001G117700.1.v4.1 pep chromosome:Pop_tri_v4:1:9560236:9562693:1 gene:Potri.001G117700.v4.1 transcript:Potri.001G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117700.v4.1 MHLFKTIFPKNYHTLNNTSTGPLVSLLKSRSVIRFSGPDTVKFLQGLLTNDVKKFSELPSGTTSYVPTPNLPSVYVPPMYAAFLTPQGRFLYDLFLYRKPLGEEKLDGSGSGPGSDSGGDLELFADVDSSVLDELLLTFKRYRLRSKVEIDNVAEDFSCWQRFGGNLAEKSKGEEEPEAASVGSGPGVDHSAMSSSHGNDVGWQWFKDPRVDCLGLRGVFPSKETPPLVESDKETNELNYLLWRIENGIAEGSTEIPIGEAIPLEYNLEGLNAISFDKGCYVGQEFIARTHHRGVIRKRLLSLAFLDDSGKEVEQKVGPGSEVINTASGKKIGYVTTALGCRGLGVLRLKEAFKGSGSLTIQGQEDIKVEAIRPKWWPAEWFSEHQQHSAVA >Potri.001G240600.1.v4.1 pep chromosome:Pop_tri_v4:1:25810875:25812474:-1 gene:Potri.001G240600.v4.1 transcript:Potri.001G240600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240600.v4.1 MLPTKLAVPLLILLFYSSFISSQAALPLQTPIQKDHSTSQYVITAYLQTPLKPTKLLLDLGATYTWVNCDGYTSSTYQHVPCNSSIANLLGAYACLDLCDGPPGPNCGNNSFLLFPDNPIKPVDYKKVKGINIALIDSFALSTTQGSLTLINNFIFSCARTGFLKGLAKGVAGLAALGRSNVSIPVQFNRFFSSSPNCFAICLSGSKSQPGVALFGSKGPYDFLPGIDLSKSLLYTPLISNPFGKDSDPDKPRSSPEYYIGLNSIKVNGKMVALNKSLLAIDGETGPGGTTISTVVPYTKLQRSIYKTFILAFLKEAASPAFNLTATKPVKPFGVCYPASAVKNTQMGPAVPIIDLVLDRQDVVWKIFGSNSMVRITKKSVDLWCLGFVDAGVNPMVASWIGGPSIVIGGHQLEDNMLQFDLQSKRLGFSSSLLSKGTNCANFKFSTKNM >Potri.003G080200.3.v4.1 pep chromosome:Pop_tri_v4:3:10749468:10750886:-1 gene:Potri.003G080200.v4.1 transcript:Potri.003G080200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080200.v4.1 MRKIFLCDLYRGEIRTSQTVVSSLLQTMDAFMFFTLFSSMFLTINAFESKCPMVKCSHGGPDIRFPFRALGQQPQHCGNPGFELVCRDNTTMIHFPTYGPLVVKSISYDIRKLSLLDPKSCVHEVFLNLNLSGTPFQYYYLLKNFIYLNCSTRLSPSFDEVSCLSGSRHHVYTVESSLPIPVSCRPLKAIPIPFSYSPYLADNSFGLELTWSMPGRKDFEEQVGSCVFQSKAGPKSGCPNITLDKGKVIIF >Potri.003G080200.1.v4.1 pep chromosome:Pop_tri_v4:3:10748574:10751300:-1 gene:Potri.003G080200.v4.1 transcript:Potri.003G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080200.v4.1 MRKIFLCDLYRGEIRTSQTVVSSLLQTMDAFMFFTLFSSMFLTINAFESKCPMVKCSHGGPDIRFPFRALGQQPQHCGNPGFELVCRDNTTMIHFPTYGPLVVKSISYDIRKLSLLDPKSCVHEVFLNLNLSGTPFQYYYLLKNFIYLNCSTRLSPSFDEVSCLSGSRHHVYTVESSLPIPVSCRPLKAIPIPFSYSPYLADNSFGLELTWSMPGRKDFEEQVGSCVFQSKAGPKSGCPNITLDKGFSIVHVLSGEAVSMILNILLCIFVVTTMMISIKICNSKKVDEQTENENLL >Potri.003G080200.4.v4.1 pep chromosome:Pop_tri_v4:3:10748816:10751301:-1 gene:Potri.003G080200.v4.1 transcript:Potri.003G080200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080200.v4.1 MIHFPTYGPLVVKSISYDIRKLSLLDPKSCVHEVFLNLNLSGTPFQYYYLLKNFIYLNCSTRLSPSFDEVSCLSGSRHHVYTVESSLPIPVSCRPLKAIPIPFSYSPYLADNSFGLELTWSMPGRKDFEEQVGSCVFQSKAGPKSGCPNITLDKGFSIVHVLSGEAVSMILNILLCIFVVTTMMISIKICNSKKVDEQTENENLL >Potri.018G025000.3.v4.1 pep chromosome:Pop_tri_v4:18:1836423:1841767:-1 gene:Potri.018G025000.v4.1 transcript:Potri.018G025000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025000.v4.1 MSFFIGMIIGISVGIGLIVAFAKYENIRSMRRSQLAKTVAAFARMTVQDSRKILPDEFYPPWVVFSQRQKLTWLNAQLDKIWPYVDEAASDLIRSNVEPILEQYTPAIFSSMKFSKLTLGTVAPQFTGVCIIEEESGDKGITMELEMQWDGNPNIVLDINTRVGVALPIQVKNIGFTGVFRLIFKPLAEDFPGFGAVSYSLREKKKLDFTLKVVGGQISAIPGLSDAIEETIKDAIEDSITWPVRKIVSILPGDYSDLELKPVGTLDVKLVQGKDLTNKDIVGKSDPFAVLFIRPLRSRMKTSKTISNQLNPIWNEHFEFVVEDASTQHLTVRVFDDEGVQAAELIGCALVALKDLEPGKVKDVWLKLVKDLEIQRDNKNRGQVHLELLYCPYGTESSFKNPFNPDFQMTTLEKAIRSGTDGTGDPNSGRTSPKKNVIVRGVLSVTVIAAENLPATDLNGKADPYVVLIMKKSEKKAKTRVLTKNLNPVWNQTFEFVVEDAIHDMLIAEVWDHDTFGKDKMGRCIMTLTRVLLEGEFQDSFPLDGAKSGKLLLHLQWTPQLKFRDS >Potri.004G082400.1.v4.1 pep chromosome:Pop_tri_v4:4:6782882:6788780:-1 gene:Potri.004G082400.v4.1 transcript:Potri.004G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082400.v4.1 MPLEGVFIEPSSNPVPDLSLHISPPNISSPSSLCNKKNNNISNKVDASFNLLGRQEATHKPNTVSSMRSDSQAYIELSLAHPTTGLDEESRRSRRNLSSSRAVELPHLNPYHQQSHNHHQQHHLHHSNSTTHHLNHINHGVSLLEVSDGLRPIKGIPVYHNRSFPFTPLEHSSRENKDPKMCFYQMPYPSSSFFRPVNPHSSSSTSLPYSIGGGGLDPMSMLNSSGPNQALPGPYNRLAPTTRFNGLSMDAFKSHQLHHHHHHHHNQYGVGSTEGSPHGLIRSRFFPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDKPASSSDGSGEEDMSPIGSYSTANERGGLQRGVQSDGSPVQQDMDYPSSTTTTTLWSNSSSAREAWPQTNSNDMDGHRQGTFQSQQRSGQLMEESGSTRPKSYLGSSLDCKNPSLEFTLGRPDWPGKEHC >Potri.004G082400.3.v4.1 pep chromosome:Pop_tri_v4:4:6783110:6788413:-1 gene:Potri.004G082400.v4.1 transcript:Potri.004G082400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082400.v4.1 MPLEGVFIEPSSNPVPDLSLHISPPNISSPSSLCNKKNNNISNKVDASFNLLGRQEATHKPNTVSSMRSDSQAYIELSLAHPTTGLDEESRRSRRNLSSSRAVELPHLNPYHQQSHNHHQQHHLHHSNSTTHHLNHINHGVSLLEVSDGLRPIKGIPVYHNRSFPFTPLEHSSRENKDPKMCFYQMPYPSSSFFRPVNPHSSSSTSLPYSIGGGGLDPMSMLNSSGPNQALPGPYNRLAPTTRFNGLSMDAFKSHQLHHHHHHHHNQYGVGSTEGSPHGLIRSRFFPKLPTKRSMRAPRMRWTSTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQVISLPLPLPLSRM >Potri.010G046225.1.v4.1 pep chromosome:Pop_tri_v4:10:7790477:7791522:1 gene:Potri.010G046225.v4.1 transcript:Potri.010G046225.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046225.v4.1 MLNACLNLLGPSRSTQTESRRKIELEGGPISVDSCIQSRDICVRIVHPGGREELYQHAVPASQLMEKYPGICVAHPGVFKNPQESLIWPDEKLLPGHKYLIIPSTTAQKLTHKHTGSVKVKGFAQGKDEIIDVNITWDPRLDISEESVGSAREFYTSKDRWPRYNVKRTVKAKKPFVTPLPKARSFRGSGWEPSLNSVQEVSP >Potri.012G073700.1.v4.1 pep chromosome:Pop_tri_v4:12:9727113:9732639:1 gene:Potri.012G073700.v4.1 transcript:Potri.012G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073700.v4.1 MERRKLVSTSQKKTLLSLLSINLKKLTITPPNDLEFSDVFGPSSTPHDPNHSHSPSTSSSVLDPPVIHNRSHSFVGPSPRYPLPSSPLPFHHIQEDDEAVIENESCDNEKGKSEDDEGQVGSCGNNNKIGPADFEILRVVGKGAFGKVFQVRKKTGDGKEKGGGGGSGDGIYAMKVMRKDTIIKKKHVDYMKAERDILTKVVHPFIVQLRYSFQTKSKLYLILDFMNGGHLFFHLYRQGIFSEDQARFYTAEIVSAVAHLHKCGIVHRDLKPENILLDADGHVLLTDFGLAKEIDESSRSNSMCGTTEYMAPEILLSKGHNKDADWWSVGILLYEMLTGQPPFTHSNRKKLQERIIKERVKLPPYLSSEAHSLLKGLLQKEPSRRLGSGLDGGDEIKGHKWLRPINWKKLEARELQPKYIPDVSGKDCTANFDQCWTTMPLDDSPAPTPTAGEHFQGYTYVAPNPWLSSG >Potri.002G175700.1.v4.1 pep chromosome:Pop_tri_v4:2:13631916:13633558:-1 gene:Potri.002G175700.v4.1 transcript:Potri.002G175700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175700.v4.1 MNQEMDTESDQNHQETAERIDYVFKVVVIGDSAVGKTQILSRFTKDEFCFDSKSTIGVEFQTRTVTIKGKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLLYDITKRPTFDHVVRWVDELRAHADNSIVIMLIGNKVDLVDLRAVPAEDAVEFAEDQGLFFSETSAFSGDNVDSAFFRLLEEIYDTVCKKALECGNGNSNVADAITLKGSKIDGISGTDLEISEVKKSSACSC >Potri.013G085201.1.v4.1 pep chromosome:Pop_tri_v4:13:7787259:7787342:1 gene:Potri.013G085201.v4.1 transcript:Potri.013G085201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085201.v4.1 MLSVIIFSQNYASSKWCLDELLKILES >Potri.002G188400.1.v4.1 pep chromosome:Pop_tri_v4:2:15037094:15042167:1 gene:Potri.002G188400.v4.1 transcript:Potri.002G188400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188400.v4.1 MFEEMAPLRSSGYIDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARMSGEVTHCGKVPEEVCFNMRKNLEGCRSGRKRRQAEFEQASLAFHSSEYNDMEEASCSYKQKGKKVVGDKNLVIRFASLRSLGYVDPGWEHCIAQDEKKKRVKCNYCERIISGGINRFKQHLARIPGEVAYCDKAPEEVYLRIKENMKWHRTGRRNRKPESKEISTFYTNSDNEDEEEEQEGGLLQYSSKDLLAIDDKISDNDIRNNIKGRSPGSSSNGAEPPMKRSRLDSVFLKSLKNQTSSHYRQTKARMGFEKKALKEVISSICKFFYHAGIPSNAANSPYFLKMLELVGQYGPGLQGPSSQLLSGRFLQDEIITIKEYLEEFKASWTITGCSIVADSWNDLQGRTSINLLACCPRGAYFVSSIDATDMIEDAASLFKLLDKVVEEIGEENVVQVITKNTASFKTAGKMLEEKRRNLFWTPCAIHCIDQMVEDFLNIKWVGECVDKAKKVTRFIYNNTWLLNYMKKEFTKGQELLRPAVTKFGTAFFTLQSLLDQRVGLKRMFQSNKWISSRFPKSDDGREVEKIVLNATFWKKVQHVKKSLEPVALVLQKIDGDETRSIAYIYNDMCRAKHAIKIIHGDDARKYGPFWTVIENQWSSLFHHPLYVAAYFLNPSYRYRPDFLLNPEVVRGLNECIVRLEVDNGKRISASMQIPDFVSAKADFGTDLAISTRMELDPAAWWQQHGISCLELQRIAIRILSQTCSSLICEHTWSIYDQVHSKRHSTASRKRWNELTFVHYNLRLRERQLGRKPGDVVSFDNLITENILDDWLVESEKQTMQEDEEILYNEMEQFDGDEMDENDHQEKRPADMVTLAGVLEPLDVIPAAGGVTTDDDGLDFLDDDLTD >Potri.006G028500.1.v4.1 pep chromosome:Pop_tri_v4:6:1855701:1858422:-1 gene:Potri.006G028500.v4.1 transcript:Potri.006G028500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G028500.v4.1 MALLVSVPISSSSSLYGVSSTRVTLGLPSCRLPIGVLSKSFDGICLSTPVGKGLMKVKALSDSKGTSPDSGQPVSESDDEEEDVVLDKIPLDSKLQLKLEHKMKMKLGKKIRLRSKKLDRKRGMRKRGQWPPSKVNKLKNV >Potri.006G124400.2.v4.1 pep chromosome:Pop_tri_v4:6:9931031:9936937:-1 gene:Potri.006G124400.v4.1 transcript:Potri.006G124400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G124400.v4.1 MPPFPSSLHIFHPSLNHYLKPNFFSLSYFSISAPKFPLSSQLIPFNPRTLSSVATALSPSENGSGGGGERSAALAGPPVLENSVQKIDVNPPKGTRDFPPEEMRLRSWLFHNFREVSRLFGFEEVDYPVLESEALFIRKAGEEIRDQLYCFEDRGNRRVALRPELTPSLARLVIQKGKSLSLPLKWFAIGQCWRYERMTRGRRREHYQWNMDIIGVPEVTAEAELISSIVTFFKQIGISASDVGFKVNSRKVLQEILRSYSLSENLFAKVCVIIDKIEKIPIDEIKKELNSVGMPQEGVNKLLEVLSIKSLSELEEILGGAGEAIADLKQLFSLAEKFGYSEWIQFDASVVRGLAYYTGIVFEGFDRAGKLRAICGGGRYDRLLSTFGGDDLPACGFGFGDAVIIELLKEKGILPELIPQVENIVCALDHDLQGAAATVATMLREKGQSVDLVLESKPLKWVFKRAARINAQRLILVGNTEWKKGMVSVKILSSGEQSEIKIDELE >Potri.004G106750.1.v4.1 pep chromosome:Pop_tri_v4:4:9385906:9386154:1 gene:Potri.004G106750.v4.1 transcript:Potri.004G106750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106750.v4.1 MESKGGKKSSSSSSSKSLLYEAPLGYSIEDIRPAGGIKKFRSAAYSNCVRKPS >Potri.002G084500.1.v4.1 pep chromosome:Pop_tri_v4:2:6013472:6017728:1 gene:Potri.002G084500.v4.1 transcript:Potri.002G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084500.v4.1 MEESVIFQWSVFRSVLAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCIHFICSSIGAYVVIKVLKIKPLIMVEPEDRWRRIFPMSFVFCINIVLGNVSLRFIPVSFMQTIKSFTPATTVVLQWLVWRKHFDWRIWASLIPIVGGILLTSVTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPFATMILGLPAMLVEGNGVINWFHTHESVWPAVIIIFSSGVMAFCLNFSIFYVIHSTTAVTFNVAGNLKVAVAVLVSWLIFHNPISAMNAVGCAITLVGCTFYGYVRHMLSQQSPPPGTPRTPRTPRNRMELLPLVNDKLDDKV >Potri.010G244800.2.v4.1 pep chromosome:Pop_tri_v4:10:22047726:22052660:-1 gene:Potri.010G244800.v4.1 transcript:Potri.010G244800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244800.v4.1 MGNTCVGPSISRNGFFQSVSAAMWRNRSPDDSMSQTNGESVHEHEAASRELESPLPVQSKPPEQMTIPKPEEPEKPVKSEEPAKPKKPLQVKRVSSAGLRTEYVLTTQAGNLKEFYSLGKKLGQGQFGTTFLCVEKATKKEFACKSIAKRKLLTDEDVEDVRREIQIMHHLAGHPNVISIKGAYEDAMAVHVVMELCAGGELFDRIIQRGHYTERKAAELTRTIVGVVEACHSLGVMHRDLKPENFLFVNEKEDSLLKTIDFGLSIFFKPGERFSDVVGSPYYVAPEVLKKRYGPEADVWSAGVIVYILLSGVPPFWAENEEGIFEQVLHGDLDFSSDPWPSISESAKDLVRRMLIRDPRRRLTAHEVLCHPWVQEDGVAPDKPLDSAVLSRLKQFSAMNKFKKMALRVIAETLSEEEIAGLKEMFKMIDTDGSGHITFEELKAGLKRFGANLKESEIYDLMQAADVDNSGTIDYGEFIAATLHLNKIERDDHLFAAFSYFDKDGSGYITPDELQKACEEFGWEDVRLEEMIREVDQDNDGRIDYNEFVAMMQKGNVASPARKGLEHSFSINFREALKL >Potri.001G289500.1.v4.1 pep chromosome:Pop_tri_v4:1:30189593:30192222:-1 gene:Potri.001G289500.v4.1 transcript:Potri.001G289500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289500.v4.1 MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICKRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEDEDGEEYM >Potri.009G090500.1.v4.1 pep chromosome:Pop_tri_v4:9:8328396:8330437:1 gene:Potri.009G090500.v4.1 transcript:Potri.009G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090500.v4.1 MASNEMYSRIDTLELKSLILKKIGHQRADKYFDELTQLFSLKITKCEFDKLCIRIIGRENIPLHNRLIRSILKNACLGKVPPPKGVRRAGSNLTVKTTNGHQRNYLQSLYRDAFPSSPRKGRSPVNRDRKFRDRPSPLGPLGKPQSMACEELNSRAQEQQSATELHSLGSRPPIEVASVEEGEEVEQMAVSPGVQSRSPVTAPFGISLNPGGSRKALSNISIGSNYIPETCLNSGELPDTRSLRSRLERKLEMEGIGVSLDCVNVLNIGLDAYLKRLIEPCMALAGARCDSEQLKGANGQFIPGLKETLPGRYVKRQTESVNASMLDFRVAMESNPQILGEDWPVQLEKISLSGFEE >Potri.003G089800.4.v4.1 pep chromosome:Pop_tri_v4:3:11624566:11627502:1 gene:Potri.003G089800.v4.1 transcript:Potri.003G089800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089800.v4.1 MGGASLPPGFRFHPTDEELVGYYLHRKVEGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATQAGYWKATGKDRKVVCQSAVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDLSQGSPNFKGAFALCRVVKKNEQGLKMSDPQGEPKAKKVGSSSSNVDFTSNVVSNEPSNISFGMSSQASYLYNNGNQYSTPIASPYQVTTVSELEPAASLESNPPSHWISPELILDSSKDYPHVHEAASQYFPQYEYPSSMNTWQPDTHGEFSPGSSYSNFTGEIEHADDLSHIGFMSPYSEHGNYMGFGGNDDMHYQGY >Potri.003G089800.1.v4.1 pep chromosome:Pop_tri_v4:3:11624570:11627565:1 gene:Potri.003G089800.v4.1 transcript:Potri.003G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089800.v4.1 MGGASLPPGFRFHPTDEELVGYYLHRKVEGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATQAGYWKATGKDRKVVCQSAVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDLSQGSPNFKGAFALCRVVKKNEQGLKMSDPQGEPKAKKVGSSSSNVDFTSNVVSNEPSNISFGMSSQASYLYNNGNQYSTPIASPYQVTTVSELEPAASLESNPPSHWISPELILDSSKDYPHVHEAASQYFPQYEYPSSMNTWQPDTHGEFSPGSSYSNFTGEIEHADDLSHIGFMSPYSEHGNYMGFGGNDDMHYQGSERWNKAPICRQASGDGSLGEYGGLWSQEDNMVIVI >Potri.003G089800.7.v4.1 pep chromosome:Pop_tri_v4:3:11624501:11627505:1 gene:Potri.003G089800.v4.1 transcript:Potri.003G089800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089800.v4.1 MGGASLPPGFRFHPTDEELVGYYLHRKVEGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATQAGYWKATGKDRKVVCQSAVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDLSQGSPNFKGAFALCRVVKKNEQGLKMSDPQGEPKAKKVGSSSSNVDFTSNVVSNEPSNISFGMSSQASYLYNNGNQYSTPIASPYQVTTVSELEPAASLESNPPSHWISPELILDSSKDYPHVHEAASQYFPQYEYPSSMNTWQPDTHGEFSPGSSYSNFTGEIEHADDLSHIGFMSPYSEHGNYMGFGGNDDMHYQGY >Potri.003G089800.6.v4.1 pep chromosome:Pop_tri_v4:3:11624498:11627573:1 gene:Potri.003G089800.v4.1 transcript:Potri.003G089800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089800.v4.1 MGGASLPPGFRFHPTDEELVGYYLHRKVEGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATQAGYWKATGKDRKVVCQSAVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDLSQGSPNFKGAFALCRVVKKNEQGLKMSDPQGEPKAKKVGSSSSNVDFTSNVVSNEPSNISFGMSSQASYLYNNGNQYSTPIASPYQVTTVSELEPAASLESNPPSHWISPELILDSSKDYPHVHEAASQYFPQYEYPSSMNTWQPDTHGEFSPGSSYSNFTGEIEHADDLSHIGFMSPYSEHGNYMGFGGNDDMHYQGSERWNKAPICRQASGDGSLGEYGGLWSQEDNMVIVI >Potri.003G089800.3.v4.1 pep chromosome:Pop_tri_v4:3:11624498:11627573:1 gene:Potri.003G089800.v4.1 transcript:Potri.003G089800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089800.v4.1 MGGASLPPGFRFHPTDEELVGYYLHRKVEGLEIELEVIPVIDLYKFDPWELPEKSFLPKRDMEWFFFCPRDRKYPNGSRTNRATQAGYWKATGKDRKVVCQSAVTGYRKTLVFYRGRAPLGDRTDWVMHEYRLCDDLSQGSPNFKGAFALCRVVKKNEQGLKMSDPQGEPKAKKVGSSSSNVDFTSNVVSNEPSNISFGMSSQASYLYNNGNQYSTPIASPYQVTTVSELEPAASLESNPPSHWISPELILDSSKDYPHVHEAASQYFPQYEYPSSMNTWQPDTHGEFSPGSSYSNFTGEIEHADDLSHIGFMSPYSEHGNYMGFGGNDDMHYQGSERWNKAPICRQASGDGSLGEYGGLWSQEDNMVIVI >Potri.001G151601.1.v4.1 pep chromosome:Pop_tri_v4:1:12683134:12685178:1 gene:Potri.001G151601.v4.1 transcript:Potri.001G151601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151601.v4.1 MEENDPFAALTKLCQVSDSQEQHLNQCSCALEPQTNDESLSLDGEITVTSTAITMVSPPQTPEDNLKDDPNENSILHKDDVFSTPPEDPSLSSSQNQHEQQQQEVAVVRAKEDQMVEGAGIDEIMAVDGGDCAGDSIEKDLGLTAEAEFTETIEVEGHLGGGEDNSQDKEVIHCKCTKGMVLKRGLPSSSSNNVFSEFSTKRSKLYDNNLGFVTPKPSLRTEIGKSFERLVKSLDRFKWTPRASVGAESVKCDERLLKTLDEITAKSKRAKRLNKNLGLGSPRSSLVRETERRRENLGYSVEKLGSEGGGGPNSCLKSPQNEQVGEMVIEVNGRGSECSGESSAKRKLEFSTEVIEYEFEGNDATLRFVDDGVDEREANGFCNTREEHSARKSTDDLEKFRYVGPNVGTNACGKEDDVREKRVLPACVPGRKENAGAGEAEHDTVLFEMTCMDLQITLLDVLKILAEEERYDPSLEKLSILEAAKIGGMTFP >Potri.012G017950.1.v4.1 pep chromosome:Pop_tri_v4:12:1959419:1961905:-1 gene:Potri.012G017950.v4.1 transcript:Potri.012G017950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017950.v4.1 MTDSHESFSLWGLTGRLQFCYDTFVTMRSHACFTSSSIFLPGFSIKSLDVLLHGSVRLILRPLAGPLFCLYMYVPLSAIAWITVHALKFVLCAVSMVLCDFFPCSATLLIIQVCYFMVSACGLTEVIGALYGLHAYFRLLFWATTDMLMCLLLAAIKAVCFHFYNCVIARCLLGSPNILPMACVTYLD >Potri.012G017950.2.v4.1 pep chromosome:Pop_tri_v4:12:1959416:1961184:-1 gene:Potri.012G017950.v4.1 transcript:Potri.012G017950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017950.v4.1 MRSHACFTSSSIFLPGFSIKSLDVLLHGSVRLILRPLAGPLFCLYMYVPLSAIAWITVHALKFVLCAVSMVLCDFFPCSATLLIIQVCYFMVSACGLTEVIGALYGLHAYFRLLFWATTDMLMCLLLAAIKAVCFHFYNCVIARCLLGSPNILPMACVTYLD >Potri.012G017950.3.v4.1 pep chromosome:Pop_tri_v4:12:1959354:1960983:-1 gene:Potri.012G017950.v4.1 transcript:Potri.012G017950.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017950.v4.1 MYVPLSAIAWITVHALKFVLCAVSMVLCDFFPCSATLLIIQVCYFMVSACGLTEVIGALYGLHAYFRLLFWATTDMLMCLLLAAIKAVCFHFYNCVIARCLLGSPNILPMACVTYLD >Potri.014G106000.19.v4.1 pep chromosome:Pop_tri_v4:14:7107754:7112746:1 gene:Potri.014G106000.v4.1 transcript:Potri.014G106000.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106000.v4.1 MESDGEPCSGAYNPTSLRLLEEHACAKSAIQDENSRPENDRGLANSSFGCDDVPFESSSGAIDLIGTLNNGPKTTYVHSSLHYGTNKFEFAPQLELSLKRLYPSSSKNQGVDERHALNHSHASAFSWYNSKTLQPPFPASASNGSDSKEEASKSPELSSNQHAQNINSISQRHGATLSGNQDMTIPIIGQSGKAELAYPSPRHGLIPVRRGMLDNISTEYGHDFSPLYYTQSSAAWSPKLAGWQQSPYPLSTSIHSNPDIHDSEKNHRCSDETTYNSVDQNDHQQNNKGPADEVRHDSPAAGQSTGGLCNGVINHNKSSAYESFGSRDDGNAKEKAMAQDNLNDGDNFNRDGFRGIDSLRSSQREAALTKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQVQNDSPIANG >Potri.014G106000.16.v4.1 pep chromosome:Pop_tri_v4:14:7107696:7112819:1 gene:Potri.014G106000.v4.1 transcript:Potri.014G106000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106000.v4.1 MGEVVVSSSSEEVEGMAVELETEKKDIGSSEVVRWEKFLPRMVLSVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKGGPHNIDLILTEVELPLISGYALLTLVTEHAVCKNIPVIMMSSQDSISMVLKCMLKGAADFLIKPVRKNELRNLWQHVWRRQTLSAGQIPQNLHKVEASSEINAASNGSSDSVMSSRKNKDCSEKGCDAQGLSQMKYRSASNLSNTDREEFEECAKLDKSPVTPENKTGVFVPERPNRMESDGEPCSGAYNPTSLRLLEEHACAKSAIQDENSRPENDRGLANSSFGCDDVPFESSSGAIDLIGTLNNGPKTTYVHSSLHYGTNKFEFAPQLELSLKRLYPSSSKNQGVDERHALNHSHASAFSWYNSKTLQPPFPASASNGSDSKEEASKSPELSSNQHAQNINSISQRHGATLSGNQDMTIPIIGQSGKAELAYPSPRHGLIPVRRGMLDNISTEYGHDFSPLYYTQSSAAWSPKLAGWQQSPYPLSTSIHSNPDIHDSEKNHRCSDETTYNSVDQNDHQQNNKGPADEVRHDSPAAGQSTGGLCNGVINHNKSSAYESFGSRDDGNAKEKAMAQDNLNDGDNFNRDGFRGIDSLRSSQREAALTKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQVQNDSPIANG >Potri.014G106000.15.v4.1 pep chromosome:Pop_tri_v4:14:7107701:7112847:1 gene:Potri.014G106000.v4.1 transcript:Potri.014G106000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106000.v4.1 MGEVVVSSSSEEVEGMAVELETEKKDIGSSEVVRWEKFLPRMVLSVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKGGPHNIDLILTEVELPLISGYALLTLVTEHAVCKNIPVIMMSSQDSISMVLKCMLKGAADFLIKPVRKNELRNLWQHVWRRQTLSAGQIPQNLHKVEASSEINAASNGSSDSVMSSRKNKDCSEKGCDAQSSCTTPCLEAESAHMQNMQGLSQMKYRSASNLSNTDREEFEECAKLDKSPVTPENKTGERPNRMESDGEPCSGAYNPTSLRLLEEHACAKSAIQDENSRPENDRGLANSSFGCDDVPFESSSGAIDLIGTLNNGPKTTYVHSSLHYGTNKFEFAPQLELSLKRLYPSSSKNQGVDERHALNHSHASAFSWYNSKTLQPPFPASASNGSDSKEEASKSPELSSNQHAQNINSISQRHGATLSGNQDMTIPIIGQSGKAELAYPSPRHGLIPVRRGMLDNISTEYGHDFSPLYYTQSSAAWSPKLAGWQQSPYPLSTSIHSNPDIHDSEKNHRCSDETTYNSVDQNDHQQNNKGPADEVRHDSPAAGQSTGGLCNGVINHNKSSAYESFGSRDDGNAKEKAMAQDNLNDGDNFNRDGFRGIDSLRSSQREAALTKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQVQNDSPIANG >Potri.014G106000.17.v4.1 pep chromosome:Pop_tri_v4:14:7107691:7112802:1 gene:Potri.014G106000.v4.1 transcript:Potri.014G106000.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106000.v4.1 MGEVVVSSSSEEVEGMAVELETEKKDIGSSEVVRWEKFLPRMVLSVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKGGPHNIDLILTEVELPLISGYALLTLVTEHAVCKNIPVIMMSSQDSISMVLKCMLKGAADFLIKPVRKNELRNLWQHVWRRQTLSAGQIPQNLHKVEASSEINAASNGSSDSVMSSRKNKDCSEKGCDAQGLSQMKYRSASNLSNTDREEFEECAKLDKSPVTPENKTGERPNRMESDGEPCSGAYNPTSLRLLEEHACAKSAIQDENSRPENDRGLANSSFGCDDVPFESSSGAIDLIGTLNNGPKTTYVHSSLHYGTNKFEFAPQLELSLKRLYPSSSKNQGVDERHALNHSHASAFSWYNSKTLQPPFPASASNGSDSKEEASKSPELSSNQHAQNINSISQRHGATLSGNQDMTIPIIGQSGKAELAYPSPRHGLIPVRRGMLDNISTEYGHDFSPLYYTQSSAAWSPKLAGWQQSPYPLSTSIHSNPDIHDSEKNHRCSDETTYNSVDQNDHQQNNKGPADEVRHDSPAAGQSTGGLCNGVINHNKSSAYESFGSRDDGNAKEKAMAQDNLNDGDNFNRDGFRGIDSLRSSQREAALTKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQVQNDSPIANG >Potri.014G106000.14.v4.1 pep chromosome:Pop_tri_v4:14:7107691:7112749:1 gene:Potri.014G106000.v4.1 transcript:Potri.014G106000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106000.v4.1 MGEVVVSSSSEEVEGMAVELETEKKDIGSSEVVRWEKFLPRMVLSVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKGGPHNIDLILTEVELPLISGYALLTLVTEHAVCKNIPVIMMSSQDSISMVLKCMLKGAADFLIKPVRKNELRNLWQHVWRRQTLSAGQIPQNLHKVEASSEINAASNGSSDSVMSSRKNKDCSEKGCDAQSSCTTPCLEAESAHMQNMQGLSQMKYRSASNLSNTDREEFEECAKLDKSPVTPENKTGVFVPERPNRMESDGEPCSGAYNPTSLRLLEEHACAKSAIQDENSRPENDRGLANSSFGCDDVPFESSSGAIDLIGTLNNGPKTTYVHSSLHYGTNKFEFAPQLELSLKRLYPSSSKNQGVDERHALNHSHASAFSWYNSKTLQPPFPASASNGSDSKEEASKSPELSSNQHAQNINSISQRHGATLSGNQDMTIPIIGQSGKAELAYPSPRHGLIPVRRGMLDNISTEYGHDFSPLYYTQSSAAWSPKLAGWQQSPYPLSTSIHSNPDIHDSEKNHRCSDETTYNSVDQNDHQQNNKGPADEVRHDSPAAGQSTGGLCNGVINHNKSSAYESFGSRDDGNAKEKAMAQDNLNDGDNFNRDGFRGIDSLRSSQREAALTKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQVQNDSPIANG >Potri.014G106000.13.v4.1 pep chromosome:Pop_tri_v4:14:7107684:7112749:1 gene:Potri.014G106000.v4.1 transcript:Potri.014G106000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106000.v4.1 MGEVVVSSSSEEVEGMAVELETEKKDIGSSEVVRWEKFLPRMVLSVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKGGPHNIDLILTEVELPLISGYALLTLVTEHAVCKNIPVIMMSSQDSISMVLKCMLKGAADFLIKPVRKNELRNLWQHVWRRQTLSAGQIPQNLHKVEASSEINAASNGSSDSVMSSRKNKDCSEKGCDAQSSCTTPCLEAESAHMQNMQGLSQMKYRSASNLSNTDREEFEECAKLDKSPVTPENKTGVFVPERPNRMESDGEPCSGAYNPTSLRLLEEHACAKSAIQDENSRPENDRGLANSSFGCDDVPFESSSGAIDLIGTLNNGPKTTYVHSSLHYGTNKFEFAPQLELSLKRLYPSSSKNQGVDERHALNHSHASAFSWYNSKTLQPPFPASASNGSDSKEEASKSPELSSNQHAQNINSISQRHGATLSGNQDMTIPIIGQSGKAELAYPSPRHGLIPVRRGMLDNISTEYGHDFSPLYYTQSSAAWSPKLAGWQQSPYPLSTSIHSNPDIHDSEKNHRCSDETTYNSVDQNDHQQNNKGPADEVRHDSPAAGQSTGGLCNGVINHNKSSAYESFGSRDDGNAKEKAMAQDNLNDGDNFNRDGFRGIDSLRSSQREAALTKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQVQNDSPIANG >Potri.014G106000.18.v4.1 pep chromosome:Pop_tri_v4:14:7107691:7112732:1 gene:Potri.014G106000.v4.1 transcript:Potri.014G106000.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106000.v4.1 MGEVVVSSSSEEVEGMAVELETEKKDIGSSEVVRWEKFLPRMVLSVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLMAWETLKGGPHNIDLILTEVELPLISGYALLTLVTEHAVCKNIPVIMMSSQDSISMVLKCMLKGAADFLIKPVRKNELRNLWQHVWRRQTLSAGQIPQNLHKVEASSEINAASNGSSDSVMSSRKNKDCSEKGCDAQMKYRSASNLSNTDREEFEECAKLDKSPVTPENKTGERPNRMESDGEPCSGAYNPTSLRLLEEHACAKSAIQDENSRPENDRGLANSSFGCDDVPFESSSGAIDLIGTLNNGPKTTYVHSSLHYGTNKFEFAPQLELSLKRLYPSSSKNQGVDERHALNHSHASAFSWYNSKTLQPPFPASASNGSDSKEEASKSPELSSNQHAQNINSISQRHGATLSGNQDMTIPIIGQSGKAELAYPSPRHGLIPVRRGMLDNISTEYGHDFSPLYYTQSSAAWSPKLAGWQQSPYPLSTSIHSNPDIHDSEKNHRCSDETTYNSVDQNDHQQNNKGPADEVRHDSPAAGQSTGGLCNGVINHNKSSAYESFGSRDDGNAKEKAMAQDNLNDGDNFNRDGFRGIDSLRSSQREAALTKFRLKRKDRCYEKKVRYQSRKRLAEQRPRVKGQFVRQVQNDSPIANG >Potri.006G088664.1.v4.1 pep chromosome:Pop_tri_v4:6:6736724:6737796:1 gene:Potri.006G088664.v4.1 transcript:Potri.006G088664.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088664.v4.1 MTEENQQIKSPQEPPADQAVPPLPRMYGLGSNPAAKTTWPELVGLTAEEAERKIKEEKPGAQIQVVQLDCFVTMDFRQNRVRLHVDSLGKIERAPRIG >Potri.006G088664.2.v4.1 pep chromosome:Pop_tri_v4:6:6736716:6737804:1 gene:Potri.006G088664.v4.1 transcript:Potri.006G088664.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088664.v4.1 MYGLGSNPAAKTTWPELVGLTAEEAERKIKEEKPGAQIQVVQLDCFVTMDFRQNRVRLHVDSLGKIERAPRIG >Potri.007G010500.1.v4.1 pep chromosome:Pop_tri_v4:7:803295:804183:-1 gene:Potri.007G010500.v4.1 transcript:Potri.007G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010500.v4.1 MALQQMHDVQPLSSHSNSAGPCSNQDDFRQALLNSINETTYNNSMAKQLISEEESKLVAAKKHSMAESNRRSRINTQFTTLRTILPNLIKVNKASVLEETIRCVKELTNTVSELKEIYGGGRLECVFPGGADKLRIGSCEGKGQEVVKVVFSCDDKRKLLSDVARAVRSVKGKVVRAEMVTMGGRTKCVLWVQGINGNEELEMLRRVLNALTEKPNMARTCNRPKLTLL >Potri.002G128700.11.v4.1 pep chromosome:Pop_tri_v4:2:9732889:9740847:-1 gene:Potri.002G128700.v4.1 transcript:Potri.002G128700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128700.v4.1 MGLTYASQWFSQLSSLTLTRLSSSSSHSFSLFNRNYTQRKVITNFSPKRQLQRPTFKTMASSTQVADATAKQDKITAPYGSWKSPITADVVSGASKRLGGIAVDDHGHLFWVESRPSESGRAVLVREADKPGEEPTDITPKEFAVRTTAQEYGGGAFTISADTVIYSNYKDQRLYKQSIKSKDSSPVPLTPDYGGPVVSYADGVFDLRFNRFVTVMEDRRVSSTNSTTTIVAVGLSDKSIQEPKVLVSGNDFYAFPRIDPKGERIAWIEWGHPNMPWDKTELWVGYISENGDVHNRICVAGCDPTLVESPTEPKWSSKGELFFITDRKSGFWNLYKWIESVNEVQAIYSLDAEFSTPLWIFGINSYELIQNNEGKNLIACSYRQNGRSFLGILDDVQSSLSLLDIPFTDINHMTSWNRCLYVEGASAIHPSSVAKVNLDDYGSKVVDFKIIWSSSPDSLKYKSYFSLPELIEFPTEVPGQNAYAYFYPPSNPIYQASQEEKPPLLLKSHGGPTSETRGILNLSIQYWTSRGWAFVDVNYGGSTGYGREYRERLLNKWGIVDVNDCCSCGKFLVDNGKVDSERLCITGGSAGGYTTLAALAFKETFKAGASLYGVADLSMLRAETHKFESHYIDNLVGTEEDYFERSPINFVDRFSCPIILFQGLEDKVVPPDQARKIYLALKKKGLPVALVEYEGEQHGFRKAENIKFTLEQQMLFFARLVGRFTVADEIDPIRIDNLD >Potri.002G128700.6.v4.1 pep chromosome:Pop_tri_v4:2:9732878:9740756:-1 gene:Potri.002G128700.v4.1 transcript:Potri.002G128700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128700.v4.1 MGLTYASQWFSQLSSLTLTRLSSSSSHSFSLFNRNYTQRKVITNFSPKRQLQRPTFKTMASSTQVADATAKQDKITAPYGSWKSPITADVVSGASKRLGGIAVDDHGHLFWVESRPSESGRAVLVREADKPGEEPTDITPKEFAVRTTAQEYGGGAFTISADTVIYSNYKDQRLYKQSIKSKVDSSPVPLTPDYGGPVVSYADGVFDLRFNRFVTVMEDRRVSSTNSTTTIVAVGLSDKSIQEPKVLVSGNDFYAFPRIDPKGERIAWIEWGHPNMPWDKTELWVGYISENGDVHNRICVAGCDPTLVESPTEPKWSSKGELFFITDRKSGFWNLYKWIESVNEVQAIYSLDAEFSTPLWIFGINSYELIQNNEGKNLIACSYRQNGRSFLGILDDVQSSLSLLDIPFTDINHMTSWNRCLYVEGASAIHPSSVAKVNLDDYGSKVVDFKIIWSSSPDSLKYKSYFSLPELIEFPTEVPGQNAYAYFYPPSNPIYQASQEEKPPLLLKSHGGPTSETRGILNLSIQYWTSRGWAFVDVNYGGSTGYGREYRERLLNKWGIVDVNDCCSCGKFLVDNGKVDSERLCITGGSAGGYTTLAALAFKETFKAGASLYGVADLSMLRAETHKFESHYIDNLVGTEEDYFERSPINFVDRFSCPIILFQGLEDKVVPPDQARKIYLALKKKGLPVALVEYEGEQHGFRKAENIKFTLEQQMLFFARLVGRFTVADEIDPIRIDNLD >Potri.003G130301.1.v4.1 pep chromosome:Pop_tri_v4:3:14863697:14867707:-1 gene:Potri.003G130301.v4.1 transcript:Potri.003G130301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130301.v4.1 MAYSREGRSVSPRNSGSPGRGRRSRSLSRSRRSRSRSNDSGDASNPGNNLYVTGLSTRVTSSDLEKYFSSEGKVLECHLVTDPRTRESRGFAFVTMETVEDANRCVKYLNRSVLEGRVITVEKAKRKRGRTPTPGRYQGLRDKRGHGHGHRRSRSYSPRRWDDRDRDPYSRDRRGRSRSPYSRRGDGYYDSYKRRRDRSLSADRSHRR >Potri.003G130301.2.v4.1 pep chromosome:Pop_tri_v4:3:14863982:14867439:-1 gene:Potri.003G130301.v4.1 transcript:Potri.003G130301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130301.v4.1 MAYSREGRSVSPRNSGSPGRGRRSRSLSRSRRSRSRSNDSGDASNPGNNLYVTGLSTRVTSSDLEKYFSSEGKVLECHLVTDPRTRESRGFAFVTMETVEDANRCVKYLNRSVLEGRVITVEKVLFPSMDFEGKHVGRLNCLPVNFIFLSYFFRKCYLISFASRSGICNFIDFTV >Potri.006G184700.2.v4.1 pep chromosome:Pop_tri_v4:6:19079363:19083863:1 gene:Potri.006G184700.v4.1 transcript:Potri.006G184700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184700.v4.1 MSGAPRVRSMNVADSEARPVLGPTGNTKAGPLTSARKPASKQLRKDGKSPEEAKLGEEKKVLTVPTVGNLSPKSLSGNFSSVLRRHEQLLHSNLSLNASCSSDASTDSFHSRASTGRLIRSNNVGTRRKQYVSKPRSVVSDGGLESLPSSDGSQSKKSCAWVTPNTDPCYTAFHDEEWGLPVHDDRKLFELLVLSGALAELTWPAILSKRHMFREVFADFDPIAVSKFNEKKIIAPGSTAASLLSELKLRAIIENARQISKVIDEFGSFDKYIWSFVNYKPIVSRFRYPRQVPAKTPKADAISKDLVRRGFRSVGPTVIYSFMQVAGVTNDHLISCFRFQECIDAAEGKEENGIKSEDVKTDDIMESKISISIDELSFSSE >Potri.006G075500.3.v4.1 pep chromosome:Pop_tri_v4:6:5569538:5576375:-1 gene:Potri.006G075500.v4.1 transcript:Potri.006G075500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075500.v4.1 MGDSGKTSKLQDSHKCSPSDTVTGSLLIKSLKIKKDSKISPRKGQKTKTKSKPKPIPHLKTIISSAVSKRKVSPKGIGNGSTSRKLIHRKILHKALDKKASRKGASSGLQLSTIDSKGNGKNGDEGAIKKLKKRKPKKRQRDKVKLDEPSRLQRRARYLMIKMKLDQNLIDAYSGEGWKGQSREKIRPEKELLRARKQILKCKLGLRDIIRQVDSLSTVGCIEETVMAPDGSVSHEHIFCAKCKLNEVSPDNDIVLCDGTCNCAFHQKCLEPPLDTESIPPGDQGWFCKFCECRMDIIEAMNAHLGTHFSEDSSWQDIFTEEAAIPDAGNVLLNPEEEWPSDDSEDDNYDPERRDNIMSEAGTDDDASDDISSSTSLGWSSDGEVFLGSRRWEMHGLDFRNNSIYSSLDSDETSDGEIVCGRRQRRAIDYKKLYDEVFGKDAPAHEQASEDEDWGPGKRKRREKESNAASTLMTLCESKKKSKSDETIEGMMNLPPQTRRPIFRLPPDAVEKLRQVFVENELPSRTVKENLSKELGLEPGKVSKWFKNSRYLALKSRKVEKGEQLHNSSSKVSAEPTLNVMEGKTADLSQDSWEETEVCIPKNLKRILQRKKLKSISRSLRKNEQKRGSYESPTKSNEMNAECSDDVSLKKLLKAKPKGVKKKVNPISVAAEYDMERLCRAKAILEKMKQKVVKLQNGKARKSSKTRPLEEFIVYIPIAELRGKN >Potri.006G075500.12.v4.1 pep chromosome:Pop_tri_v4:6:5569593:5576575:-1 gene:Potri.006G075500.v4.1 transcript:Potri.006G075500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075500.v4.1 MGDSGKTSKLQDSHKCSPSDTVTGSLLIKSLKIKKDSKISPRKGQKTKTKSKPKPIPHLKTIISSAVSKRKVSPKGIGNGSTSRKLIHRKILHKALDKKASRKGASSGLQLSTIDSKGNGKNGDEGAIKKLKKRKPKKRQRDKVKLDEPSRLQRRARYLMIKMKLDQNLIDAYSGEGWKGQSREKIRPEKELLRARKQILKCKLGLRDIIRQVDSLSTVGCIEETVMAPDGSVSHEHIFCAKCKLNEVSPDNDIVLCDGTCNCAFHQKCLEPPLDTESIPPGDQGWFCKFCECRMDIIEAMNAHLGTHFSEDSSWQDIFTEEAAIPDAGNVLLNPEEEWPSDDSEDDNYDPERRDNIMSEAGTDDDASDDISSSTSLGWSSDGEVFLGSRRWEMHGLDFRNNSIYSSLDSDETSDGEIVCGRRQRRAIDYKKLYDEVFGKDAPAHEQASEDEDWGPGKRKRREKESNAASTLMTLCESKKKSKSDETIEGMMNLPPQTRRPIFRLPPDAVEKLRQVFVENELPSRTVKENLSKELGLEPGKVSKWFKNSRYLALKSRKVEKGEQLHNSSSKVSAEPTLNVMEGKTADLSQDSWEETEVCIPKNLKRILQRKKLKSISRSLRKNEQKRGSYESPTKSNEMNAECSDDVSLKKLLKAKPKGVKKKVNPISVAAEYDMERLCRAKAILEKMKQKVVKLQNGKARKSSKTRPLEEFIVYIPIAELRGKN >Potri.006G075500.1.v4.1 pep chromosome:Pop_tri_v4:6:5569456:5576327:-1 gene:Potri.006G075500.v4.1 transcript:Potri.006G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075500.v4.1 MGDSGKTSKLQDSHKCSPSDTVTGSLLIKSLKIKKDSKISPRKGQKTKTKSKPKPIPHLKTIISSAVSKRKVSPKGIGNGSTSRKLIHRKILHKALDKKASRKGASSGLQLSTIDSKGNGKNGDEGAIKKLKKRKPKKRQRDKVKLDEPSRLQRRARYLMIKMKLDQNLIDAYSGEGWKGQSREKIRPEKELLRARKQILKCKLGLRDIIRQVDSLSTVGCIEETVMAPDGSVSHEHIFCAKCKLNEVSPDNDIVLCDGTCNCAFHQKCLEPPLDTESIPPGDQGWFCKFCECRMDIIEAMNAHLGTHFSEDSSWQDIFTEEAAIPDAGNVLLNPEEEWPSDDSEDDNYDPERRDNIMSEAGTDDDASDDISSSTSLGWSSDGEVFLGSRRWEMHGLDFRNNSIYSSLDSDETSDGEIVCGRRQRRAIDYKKLYDEVFGKDAPAHEQASEDEDWGPGKRKRREKESNAASTLMTLCESKKKSKSDETIEGMMNLPPQTRRPIFRLPPDAVEKLRQVFVENELPSRTVKENLSKELGLEPGKVSKWFKNSRYLALKSRKVEKGEQLHNSSSKVSAEPTLNVMEGKTADLSQDSWEETEVCIPKNLKRILQRKKLKSISRSLRKNEQKRGSYESPTKSNEMNAECSDDVSLKKLLKAKPKGVKKKVNPISVAAEYDMERLCRAKAILEKMKQKVVKLQNGKARKSSKTRPLEEFIVYIPIAELRGKN >Potri.006G075500.7.v4.1 pep chromosome:Pop_tri_v4:6:5569606:5576378:-1 gene:Potri.006G075500.v4.1 transcript:Potri.006G075500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075500.v4.1 MGDSGKTSKLQDSHKCSPSDTVTGSLLIKSLKIKKDSKISPRKGQKTKTKSKPKPIPHLKTIISSAVSKRKVSPKGIGNGSTSRKLIHRKILHKALDKKASRKGASSGLQLSTIDSKGNGKNGDEGAIKKLKKRKPKKRQRDKVKLDEPSRLQRRARYLMIKMKLDQNLIDAYSGEGWKGQSREKIRPEKELLRARKQILKCKLGLRDIIRQVDSLSTVGCIEETVMAPDGSVSHEHIFCAKCKLNEVSPDNDIVLCDGTCNCAFHQKCLEPPLDTEIPPGDQGWFCKFCECRMDIIEAMNAHLGTHFSEDSSWQDIFTEEAAIPDAGNVLLNPEEEWPSDDSEDDNYDPERRDNIMSEAGTDDDASDDISSSTSLGWSSDGEVFLGSRRWEMHGLDFRNNSIYSSLDSDETSDGEIVCGRRQRRAIDYKKLYDEVFGKDAPAHEQASEDEDWGPGKRKRREKESNAASTLMTLCESKKKSKSDETIEGMMNLPPQTRRPIFRLPPDAVEKLRQVFVENELPSRTVKENLSKELGLEPGKVSKWFKNSRYLALKSRKVEKGEQLHNSSSKVSAEPTLNVMEGKTADLSQDSWEETEVCIPKNLKRILQRKKLKSISRSLRKNEQKRGSYESPTKSNEMNAECSDDVSLKKLLKAKPKGVKKKVNPISVAAEYDMERLCRAKAILEKMKQKVVKLQNGKARKSSKTRPLEEFIVYIPIAELRGKN >Potri.014G105400.2.v4.1 pep chromosome:Pop_tri_v4:14:7077543:7078540:1 gene:Potri.014G105400.v4.1 transcript:Potri.014G105400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105400.v4.1 MSGSELACTYAALILFDENISITAEKIATLVKAANVQIESYWPGLFAKLAEKRNIEDLIMNVGSGGGAAVAVAAPAGGATAPADAPAAEEKKEPVKEESEDEDMGFSLFD >Potri.014G105400.4.v4.1 pep chromosome:Pop_tri_v4:14:7077479:7078681:1 gene:Potri.014G105400.v4.1 transcript:Potri.014G105400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105400.v4.1 MSGSELACTYAALILFDENISITAEKIATLVKAANVQIESYWPGLFAKLAEKRNIEDLIMNVGSGGGAAVAVAAPAGGATAPADAPAAEEKKEPVKEESEDEDMGFSLFD >Potri.001G255800.1.v4.1 pep chromosome:Pop_tri_v4:1:27183263:27189801:1 gene:Potri.001G255800.v4.1 transcript:Potri.001G255800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255800.v4.1 MEIEVASSSYSAKSSSVSISTKGGDGGSSSMYQEKVASEGGAERGVYLVWEDLTVVLPNFGNGPTRRLLQGLRGFAEPGRIMAIMGPSGSGKSTLLDSLAGRLSRNVIMTGSVLFNGKKRRLDAGVAYVTQEDVLLGTLTVRETITYTANLRLPNTMRKEEIDCVVEGTIMEMGLQDCADRMVGNWHLRGISGGEKKRLSIAIEILTRPHLLFLDEPTSGLDSASAFFVIQTLRNIARDGRTIISSIHQPSSEVFALFDDLFLLSGGETVYFGDAKMAIEFFAEAGFPCPRRRNPSDHFLRCINSDFDAITATLKGSQKIRDVPISADPLMSFATEEIKSRLVEKYRRSNYAQKAKARVKEISAIEGLEVETQSGSEASWRKQLSTLTRRSFVNMSRDVGYYWARIVIYIVVSICVGTIYHDVGHDYTAILARVACGGFITGFMTFMSIGGFPSFIEEMKVFYREKLNGYYGVTVFILSNYFSSFPFLVTIALLTGTICFYLVKFRSGFNHYVFFCLNIFGAISVIESLMMVVASLVPNFLTGLITGAGIIGIMMMTSGFFRLLPDLPKPFWRYPVSYINFGAWGIQGAYKNDFLGLEFEPLVPGEPKISGEFVVTRMFGVPLDHSKWWDLSAIYLILVCYRILFFVVLKLKERALPFVKDLYSKRTLRIIEKRPSFRKAPSFSSSRHQPLHSLSSQEGLNSPLN >Potri.015G138200.2.v4.1 pep chromosome:Pop_tri_v4:15:14618550:14620126:1 gene:Potri.015G138200.v4.1 transcript:Potri.015G138200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138200.v4.1 MDSQNHHHHTIEEIDQEHSITVANVSNQPDPTTLQLKEEALTDPEESRELEEHDNNIRRINNGSSNSCLVVHQVQRQQEVVMAPKRNTKDRHTKVEGRGRRVRMPATCAARIFQLTRELGHKSDGETIRWLLEHAEPAIIAATGTGTVPAIAVSVNGTLKIPTESPATAAADSDADGLVHKKKRKRPCNSDFVDLTEAAHQNSVSSGLAPIASTSPQGLVPLWPMGTFMFPQGSSVGVGGSNQAQFWAFPATSTTPFFNMAARPISSFVSAMQPGVQLAGNVSVGFGDAGGESLGSGGSPPNTIGSMSSSSSGSSTGGGGGGGGGGGAQMLRDFSLEIYDKKELQFLSHPVNHDHQQAPCSES >Potri.009G010700.6.v4.1 pep chromosome:Pop_tri_v4:9:2038640:2043576:1 gene:Potri.009G010700.v4.1 transcript:Potri.009G010700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010700.v4.1 MGFGNSKSVRFRDDLELSLPPAVNGDGAIKRKYNIDGTQMSDSSRKMMSQMEVSGKTGKSFKAKILSRVFSEDYDRVKKKILDPRGASIRRWTKIFLVACLVSLFVDPLFFYLPEVWKELCIDIGIPLEVGLTIVRSISDVFYMIQILIRFRTAYVAPSSRVFGRGELVIDSKKIALRYLQKNFWIDLIAALPLPQVLIWIVIPNLSGSTMRNTKNVLRFIIIFQYLPRLFLIFPLSSHIVKTTGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCNLEKLSCEYGFFDCRRVHDSPHRESWFKSSNITNFCNPDNNYYQFGIYGDALKFDVTTASFFNKYFYCFWWGLRNLSSLGQNLSTSTYVGEIAYSIIIATLGLVLFGLLIGNMQTYLQSTTVHLEEWRIKRTDTEQWMHHRQLPHELKQSVRKYDQYKWVATRGVDEEAVLKGLPMELRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLQPALCTEGTFLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCRLGPGDFCGEELLTWALDPRPSIILPSSTRTVKAILEVEAFALSAEDLKFVASQFRRLHSKQLRQKFRFYSHHWRTWAACFIQAAWRRYKKRKEATDLRARENPTAADPALTSPGSGLSMYAARLKASARRGVLKRSGSDSSVVSSLQKPDEPDFSVDEE >Potri.009G010700.9.v4.1 pep chromosome:Pop_tri_v4:9:2038604:2043542:1 gene:Potri.009G010700.v4.1 transcript:Potri.009G010700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010700.v4.1 MGFGNSKSVRFRDDLELSLPPAVNGDGAIKRKYNIDGTQMSDSSRKMMSQMEVSGKTGKSFKAKILSRVFSEDYDRVKKKILDPRGASIRRWTKIFLVACLVSLFVDPLFFYLPEVWKELCIDIGIPLEVGLTIVRSISDVFYMIQILIRFRTAYVAPSSRVFGRGELVIDSKKIALRYLQKNFWIDLIAALPLPQVLIWIVIPNLSGSTMRNTKNVLRFIIIFQYLPRLFLIFPLSSHIVKTTGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCNLEKLSCEYGFFDCRRVHDSPHRESWFKSSNITNFCNPDNNYYQFGIYGDALKFDVTTASFFNKYFYCFWWGLRNLSSLGQNLSTSTYVGEIAYSIIIATLGLVLFGLLIGNMQTYLQSTTVHLEEWRIKRTDTEQWMHHRQLPHELKQSVRKYDQYKWVATRGVDEEAVLKGLPMELRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLQPALCTEGTFLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCRLGPGDFCGEELLTWALDPRPSIILPSSTRTVKAILEVEAFALSAEDLKFVASQFRRLHSKQLRQKFRFYSHHWRTWAACFIQAAWRRYKKRKEATDLRARENPTAADPALTSPGSGLSMYAARLKASARRGVLKRSGSDSSVVSSLQKPDEPDFSVDEE >Potri.009G010700.8.v4.1 pep chromosome:Pop_tri_v4:9:2038651:2043568:1 gene:Potri.009G010700.v4.1 transcript:Potri.009G010700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010700.v4.1 MGFGNSKSVRFRDDLELSLPPAVNGDGAIKRKYNIDGTQMSDSSRKMMSQMEVSGKTGKSFKAKILSRVFSEDYDRVKKKILDPRGASIRRWTKIFLVACLVSLFVDPLFFYLPEVWKELCIDIGIPLEVGLTIVRSISDVFYMIQILIRFRTAYVAPSSRVFGRGELVIDSKKIALRYLQKNFWIDLIAALPLPQVLIWIVIPNLSGSTMRNTKNVLRFIIIFQYLPRLFLIFPLSSHIVKTTGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCNLEKLSCEYGFFDCRRVHDSPHRESWFKSSNITNFCNPDNNYYQFGIYGDALKFDVTTASFFNKYFYCFWWGLRNLSSLGQNLSTSTYVGEIAYSIIIATLGLVLFGLLIGNMQTYLQSTTVHLEEWRIKRTDTEQWMHHRQLPHELKQSVRKYDQYKWVATRGVDEEAVLKGLPMELRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLQPALCTEGTFLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCRLGPGDFCGEELLTWALDPRPSIILPSSTRTVKAILEVEAFALSAEDLKFVASQFRRLHSKQLRQKFRFYSHHWRTWAACFIQAAWRRYKKRKEATDLRARENPTAADPALTSPGSGLSMYAARLKASARRGVLKRSGSDSSVVSSLQKPDEPDFSVDEE >Potri.009G010700.7.v4.1 pep chromosome:Pop_tri_v4:9:2038521:2043607:1 gene:Potri.009G010700.v4.1 transcript:Potri.009G010700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010700.v4.1 MGFGNSKSVRFRDDLELSLPPAVNGDGAIKRKYNIDGTQMSDSSRKMMSQMEVSGKTGKSFKAKILSRVFSEDYDRVKKKILDPRGASIRRWTKIFLVACLVSLFVDPLFFYLPEVWKELCIDIGIPLEVGLTIVRSISDVFYMIQILIRFRTAYVAPSSRVFGRGELVIDSKKIALRYLQKNFWIDLIAALPLPQVLIWIVIPNLSGSTMRNTKNVLRFIIIFQYLPRLFLIFPLSSHIVKTTGVVTETAWAGAAYNLMLYMLASHVLGACWYLLSIERQEACWRSVCNLEKLSCEYGFFDCRRVHDSPHRESWFKSSNITNFCNPDNNYYQFGIYGDALKFDVTTASFFNKYFYCFWWGLRNLSSLGQNLSTSTYVGEIAYSIIIATLGLVLFGLLIGNMQTYLQSTTVHLEEWRIKRTDTEQWMHHRQLPHELKQSVRKYDQYKWVATRGVDEEAVLKGLPMELRRDIKRHLCLDLVRRVPLFDQMDERMLDAICERLQPALCTEGTFLVREGDPVNEMLFIIRGNLDSYTTNGGRTGFFNSCRLGPGDFCGEELLTWALDPRPSIILPSSTRTVKAILEVEAFALSAEDLKFVASQFRRLHSKQLRQKFRFYSHHWRTWAACFIQAAWRRYKKRKEATDLRARENPTAADPALTSPGSGLSMYAARLKASARRGVLKRSGSDSSVVSSLQKPDEPDFSVDEE >Potri.008G115200.2.v4.1 pep chromosome:Pop_tri_v4:8:7346261:7348648:1 gene:Potri.008G115200.v4.1 transcript:Potri.008G115200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115200.v4.1 MATPVSPSLAPLLLFARISGLLAAALVISWALVFKSSFLPQSTSQEDLIYAVLHPLLMVNGFILISGEAILVHKWLPGSRGFKKSVHLCLQGLALACGIFGIWTKFHGNDGIVANFFSLHSWMGLICISLFGAQWLMGFMSFWHRGEMRAVRITVLPWHIFLGLYTYGLAVATAETGLLEKITFLQTKKDVSKHSPESMVVNSLGLSLALLSGIIILAAVSPGYQSFRSELTYSESKKLMT >Potri.018G013200.1.v4.1 pep chromosome:Pop_tri_v4:18:875110:879818:-1 gene:Potri.018G013200.v4.1 transcript:Potri.018G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013200.v4.1 MRKNLSNPVPSFFFFLSLKFFFVAMGVAQDTTSIPVNVGVVLDLDDVLYGNIGLSCINMALSDFYASHSDYKTRLVLTTIDSKRDVVGAAAAALDLIKNVEVQAIIGPTSSMQAKFVIELGEKAQVPIISFSASSPSLTSIRSPYFFRATQNDSTQVNAITALVQAFGWRAAVPIYIDNEYGEGIIPYLTEALQAVDARVPYQSVISPSATDDQIVKELYKLMTMQTRVFIVHMYQSLGTRLFAKAKEIGMMSEGYVWIMTDGLTADLLSTPNYSVTDTMQGVLGIKPHVPRTKELKDFRVRWKRKFQQDNPDIIDAELNIYGLWAYDAATALAFAVEKMENFGFQKVNVSSNSSTDLATIGVSLNGPNLLQALSNTSFKGLSGDYLFVDGKLQASAFRIVNVNGNGGRTVGFWTPTKRLVQTLNSTTTKSMNSSSVSDISTVIWPGDNTAAPKGWEIPSNGKKLKIGVPVKDGFSQFVSVTRDPISNTTTVKGYSIDVFEAVVGSLPYALPYEYIPFANPDGGTAGNYDSLVYQVYLQKYDAVVGDTTIVFNRSLYVDFTLPYTESGVSMIVPIIENNNKNAWVFLRPLTWDLWVTSFCFFIFIGFVVWVLEHRINEDFRGPPSHHIGTSFWFSFSTMIFAQRERVVNNLSRVVLIIWCFVVLILTQSYTASLTSLLTVQRLQPKVTDVNELIKKGEYVGYQEGSFVPGILLELGFDKSKLVMYNSAEKCDELFSKGSGNGGIAAAFDEAPYMKLFLSKYCSKYTMIDPTFKMAGFAFVFPKGSPLVPDVSRAILNVTEEDKMKQIADAWFGKQSSCPDSSTLISSNSLSLKSFGGLFLIAGIASLSALLIFIVKFVYQERRVLSPDDPRASMWRRIQNLFIIFNERDLTAHAFRKNEVNDRSGINLPYVGEPSPLANSVHTEFPGDPPSSEYDSTPNRQESQEFVIDIDQLNNPFEERRAAFDIACRLQN >Potri.018G013200.2.v4.1 pep chromosome:Pop_tri_v4:18:875017:878304:-1 gene:Potri.018G013200.v4.1 transcript:Potri.018G013200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013200.v4.1 MQAKFVIELGEKAQVPIISFSASSPSLTSIRSPYFFRATQNDSTQVNAITALVQAFGWRAAVPIYIDNEYGEGIIPYLTEALQAVDARVPYQSVISPSATDDQIVKELYKLMTMQTRVFIVHMYQSLGTRLFAKAKEIGMMSEGYVWIMTDGLTADLLSTPNYSVTDTMQGVLGIKPHVPRTKELKDFRVRWKRKFQQDNPDIIDAELNIYGLWAYDAATALAFAVEKMENFGFQKVNVSSNSSTDLATIGVSLNGPNLLQALSNTSFKGLSGDYLFVDGKLQASAFRIVNVNGNGGRTVGFWTPTKRLVQTLNSTTTKSMNSSSVSDISTVIWPGDNTAAPKGWEIPSNGKKLKIGVPVKDGFSQFVSVTRDPISNTTTVKGYSIDVFEAVVGSLPYALPYEYIPFANPDGGTAGNYDSLVYQVYLQKYDAVVGDTTIVFNRSLYVDFTLPYTESGVSMIVPIIENNNKNAWVFLRPLTWDLWVTSFCFFIFIGFVVWVLEHRINEDFRGPPSHHIGTSFWFSFSTMIFAQRERVVNNLSRVVLIIWCFVVLILTQSYTASLTSLLTVQRLQPKVTDVNELIKKGEYVGYQEGSFVPGILLELGFDKSKLVMYNSAEKCDELFSKGSGNGGIAAAFDEAPYMKLFLSKYCSKYTMIDPTFKMAGFAFVFPKGSPLVPDVSRAILNVTEEDKMKQIADAWFGKQSSCPDSSTLISSNSLSLKSFGGLFLIAGIASLSALLIFIVKFVYQERRVLSPDDPRASMWRRIQNLFIIFNERDLTAHAFRKNEVNDRSGINLPYVGEPSPLANSVHTEFPGDPPSSEYDSTPNRQESQEFVIDIDQLNNPFEERRAAFDIACRLQN >Potri.010G003100.4.v4.1 pep chromosome:Pop_tri_v4:10:303268:306744:1 gene:Potri.010G003100.v4.1 transcript:Potri.010G003100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003100.v4.1 MSQHSTTPSRHRVNFKTPKPAEVANNGSPVPSPANKTRAKSVPPDVKKDTKVRKSLVGNNKPKSGELVVGSQDVTVVGRSVNRPGSEQFARPRRQRPVLDPINASRRNEEESYKKGLHEKLELSETLINDLQSEVLALKVELDKANGLNQELELQNKKLTEDLAAAEAKVSALNTRHQSKESVGEHQRPRFKDIQKLIAIKLENSPVKKEAINGPSKVKTPQSPPPPPVPRFISKADVAERKAPTCPSLMPPPPPPPLPPMRPLARATTAPKTPAIVEFYNSIRKQEGKRDSPGLRSQYKPEKTSAHSSIVGEIQNRSTHLLAIKADIETKGDFINGLIQKVLAAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPEKKADAIREAAIEYRGLKLLESEISSFKDESNNPCGTALKKMAVLHDKSERSIQKLIKLRNSVMNSYQAWKIPTDWMLDSGIVSKIKQASMRLAKMYMKRVITELELARNSERECNQEALLLQGLHFAYRAHQFAGCLDSETMCAFEEIRQRVPGHLGGSRELLAAIPSS >Potri.010G003100.5.v4.1 pep chromosome:Pop_tri_v4:10:299068:306725:1 gene:Potri.010G003100.v4.1 transcript:Potri.010G003100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003100.v4.1 MSQHSTTPSRHRVNFKTPKPAEVANNGSPVPSPANKTRAKSVPPDVKKDTKVRKSLVGNNKPKSGELVVGSQDVTVVGRSVNRPGSEQFARPRRQRPVLDPINASRRNEEESYKKGLHEKLELSETLINDLQSEVLALKVELDKANGLNQELELQNKKLTEDLAAAEAKVSALNTRHQSKESVGEHQRPRFKDIQKLIAIKLENSPVKKEAINGPSKVKTPQSPPPPPVPRFISKADVAERKAPTCPSLMPPPPPPPLPPMRPLARATTAPKTPAIVEFYNSIRKQEGKRDSPGLRSQYKPEKTSAHSSIVGEIQNRSTHLLAIKADIETKGDFINGLIQKVLAAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPEKKADAIREAAIEYRGLKLLESEISSFKDESNNPCGTALKKMAVLHDKSERSIQKLIKLRNSVMNSYQAWKIPTDWMLDSGIVSKIKQASMRLAKMYMKRVITELELARNSERECNQEALLLQGLHFAYRAHQFAGCLDSETMCAFEEIRQRVPGHLGGSRELLAAIPSS >Potri.010G003100.6.v4.1 pep chromosome:Pop_tri_v4:10:303389:306811:1 gene:Potri.010G003100.v4.1 transcript:Potri.010G003100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003100.v4.1 MSQHSTTPSRHRVNFKTPKPAEVANNGSPVPSPANKTRAKSVPPDVKKDTKVRKSLVGNNKPKSGELVVGSQDVTVVGRSVNRPGSEQFARPRRQRPVLDPINASRRNEEESYKKGLHEKLELSETLINDLQSEVLALKVELDKANGLNQELELQNKKLTEDLAAAEAKVSALNTRHQESVGEHQRPRFKDIQKLIAIKLENSPVKKEAINGPSKVKTPQSPPPPPVPRFISKADVAERKAPTCPSLMPPPPPPPLPPMRPLARATTAPKTPAIVEFYNSIRKQEGKRDSPGLRSQYKPEKTSAHSSIVGEIQNRSTHLLAIKADIETKGDFINGLIQKVLAAAYTDIEDVLKFVDWLDGELSSLADERAVLKHFKWPEKKADAIREAAIEYRGLKLLESEISSFKDESNNPCGTALKKMAVLHDKSERSIQKLIKLRNSVMNSYQAWKIPTDWMLDSGIVSKIKQASMRLAKMYMKRVITELELARNSERECNQEALLLQGLHFAYRAHQFAGCLDSETMCAFEEIRQRVPGHLGGSRELLAAIPSS >Potri.009G151900.1.v4.1 pep chromosome:Pop_tri_v4:9:11962852:11964835:-1 gene:Potri.009G151900.v4.1 transcript:Potri.009G151900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151900.v4.1 MYSTMNSFSNSMHTHPKPIQKTSYTTFRGIPHLGARLPSRRHETWVPVLISSQEKTTIQEDHADSTGSSLGKIALSNSLFLSSHISRFQNCLSTTISKFIDHRPPLHPSVDPYQVFTGNFAPVDELEPTNCTVVEGELPSCLNGVYIRNGSNPQHMPNGPLHFFEGDGMLHSLKLSGGQATHCSRYVKTYKYMLEKEAGFPIFPNILSGFYSLPDVLAYVMVAGRVLCGQINLMRGFGMANTSLAFFSNKLLALCESDLPYVIGMTQEGDIETLGRWDFDRKLFASMTAHPKVDKDTKETFAFQCNPSFFPYVTYFYFNEDGVKQRDVPLLSINQPTPIHDFAITKRFAVFPETQLVVEPRNVMLGRGMPVVCEQKKVPRIGILPRYAESDSNTRWFPVPGFNAMHVTNAWENGDDEVVLVAPNVLSIANVFHKIEKVHFSLEKLTINTRTGKVSRKILSKRSLELGSINPYYIGKKNRYAYLGIAEKVPKMSGLAKIDLEKECEVSRRLYGPGCFGGEPLFVPRNANAVKSDEDEDDGFVVSYVHDENSGQSNFTVMDAKSPNLDIVAKVKLPRRVPYGFHSLFVSQDSLSNNW >Potri.002G007800.4.v4.1 pep chromosome:Pop_tri_v4:2:422930:428029:-1 gene:Potri.002G007800.v4.1 transcript:Potri.002G007800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007800.v4.1 MAESWDGSYDPGSQSDDSHYFERLRVEPIYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECKESGRKLVCPLTQKELKSTDLNPSIALRNTIEEWTARNEAVQLDMACRSLNLGSPESDVMHSLKYIQYMCHKSRSNKHVVRNADLIPMIVEMLKSTSRRVRCIALETLQTVVEDDADNKAILAEGDTVRTIVKFLSHEQSIEREEAVSLLLELSKSEALCEKIGSINGAILILVGMTSSKSENLSTVEKADKTLENLEKCENNVRQMAENGRLKPLLNQILEGPPETKLSMASYLGELVLNNDVKVHVARAVGSSLINIMRSGNVQSREAALKALNQISSYEASAKVLIEAGILPPLVKDLFTVGSNQLPMRLKEVSATILSNVVNSGNDFDLIPVGPDHQTLVSEDIVQNLLHLISNTGPAIECKLLQVLVGLTSSPSTVLNVVAAIKSSGAIISLVQFIEAPQRDLRVASIKLLQNVSPHMGEELADALRGTVGQLGSLFKVVAENVGITEEQAAAVGLLAELPERDLGLTRQMLDESAFPLIISIVVKIRQGEIRGARFMTPFLEGLVRVLARVTFVLAEEPDAINLSREHNLAALFIELLQSNGLDNVQMVSAMALENLSQESKNLTRLPELPPPAFCASIFSCLSKQPVITGLCRLHRGTCSLKDSFCLLEGQAVEKLVALLDHTNEKVVEAALAAISTLLDDGVAIEQGVAVLCAAEGIRPILDVLLEKRTENLRRRAVWAVERLLRTEDIAYEVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGIFPNMG >Potri.002G007800.1.v4.1 pep chromosome:Pop_tri_v4:2:423070:427858:-1 gene:Potri.002G007800.v4.1 transcript:Potri.002G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007800.v4.1 MRDPVTLENGQTFEREAIEKWFKECKESGRKLVCPLTQKELKSTDLNPSIALRNTIEEWTARNEAVQLDMACRSLNLGSPESDVMHSLKYIQYMCHKSRSNKHVVRNADLIPMIVEMLKSTSRRVRCIALETLQTVVEDDADNKAILAEGDTVRTIVKFLSHEQSIEREEAVSLLLELSKSEALCEKIGSINGAILILVGMTSSKSENLSTVEKADKTLENLEKCENNVRQMAENGRLKPLLNQILEGPPETKLSMASYLGELVLNNDVKVHVARAVGSSLINIMRSGNVQSREAALKALNQISSYEASAKVLIEAGILPPLVKDLFTVGSNQLPMRLKEVSATILSNVVNSGNDFDLIPVGPDHQTLVSEDIVQNLLHLISNTGPAIECKLLQVLVGLTSSPSTVLNVVAAIKSSGAIISLVQFIEAPQRDLRVASIKLLQNVSPHMGEELADALRGTVGQLGSLFKVVAENVGITEEQAAAVGLLAELPERDLGLTRQMLDESAFPLIISIVVKIRQGEIRGARFMTPFLEGLVRVLARVTFVLAEEPDAINLSREHNLAALFIELLQSNGLDNVQMVSAMALENLSQESKNLTRLPELPPPAFCASIFSCLSKQPVITGLCRLHRGTCSLKDSFCLLEGQAVEKLVALLDHTNEKVVEAALAAISTLLDDGVAIEQGVAVLCAAEGIRPILDVLLEKRTENLRRRAVWAVERLLRTEDIAYEVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGIFPNMG >Potri.002G007800.5.v4.1 pep chromosome:Pop_tri_v4:2:423067:427221:-1 gene:Potri.002G007800.v4.1 transcript:Potri.002G007800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007800.v4.1 MAESWDGSYDPGSQSDDSHYFERLRVEPIYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECKESGRKLVCPLTQKELKSTDLNPSIALRNTIEEWTARNEAVQLDMACRSLNLGSPESDVMHSLKYIQYMCHKSRSNKHVVRNADLIPMIVEMLKSTSRRVRCIALETLQTVVEDDADNKAILAEGDTVRTIVKFLSHEQSIEREEAVSLLLELSKSEALCEKIGSINGAILILVGMTSSKSENLSTVEKADKTLENLEKCENNVRQMAENGRLKPLLNQILEGPPETKLSMASYLGELVLNNDVKVHVARAVGSSLINIMRSGNVQSREAALKALNQISSYEASAKVLIEAGILPPLVKDLFTVGSNQLPMRLKEVSATILSNVVNSGNDFDLIPVGPDHQTLVSEDIVQNLLHLISNTGPAIECKLLQVLVGLTSSPSTVLNVVAAIKSSGAIISLVQFIEAPQRDLRVASIKLLQNVSPHMGEELADALRGTVGQLGSLFKVVAENVGITEEQAAAVGLLAELPERDLGLTRQMLDESAFPLIISIVVKIRQGEIRGARFMTPFLEGLVRVLARVTFVLAEEPDAINLSREHNLAALFIELLQSNGLDNVQMVSAMALENLSQESKNLTRLPELPPPAFCASIFSCLSKQPVITGLCRLHRGTCSLKDSFCLLEGQAVEKLVALLDHTNEKVVEAALAAISTLLDDGVAIEQGVAVLCAAEGIRPILDVLLEKRTENLRRRAVWAVERLLRTEDIAYEVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGIFPNMG >Potri.002G007800.3.v4.1 pep chromosome:Pop_tri_v4:2:422947:428094:-1 gene:Potri.002G007800.v4.1 transcript:Potri.002G007800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007800.v4.1 MAESWDGSYDPGSQSDDSHYFERLRVEPIYDAFVCPLTKQVMRDPVTLENGQTFEREAIEKWFKECKESGRKLVCPLTQKELKSTDLNPSIALRNTIEEWTARNEAVQLDMACRSLNLGSPESDVMHSLKYIQYMCHKSRSNKHVVRNADLIPMIVEMLKSTSRRVRCIALETLQTVVEDDADNKAILAEGDTVRTIVKFLSHEQSIEREEAVSLLLELSKSEALCEKIGSINGAILILVGMTSSKSENLSTVEKADKTLENLEKCENNVRQMAENGRLKPLLNQILEGPPETKLSMASYLGELVLNNDVKVHVARAVGSSLINIMRSGNVQSREAALKALNQISSYEASAKVLIEAGILPPLVKDLFTVGSNQLPMRLKEVSATILSNVVNSGNDFDLIPVGPDHQTLVSEDIVQNLLHLISNTGPAIECKLLQVLVGLTSSPSTVLNVVAAIKSSGAIISLVQFIEAPQRDLRVASIKLLQNVSPHMGEELADALRGTVGQLGSLFKVVAENVGITEEQAAAVGLLAELPERDLGLTRQMLDESAFPLIISIVVKIRQGEIRGARFMTPFLEGLVRVLARVTFVLAEEPDAINLSREHNLAALFIELLQSNGLDNVQMVSAMALENLSQESKNLTRLPELPPPAFCASIFSCLSKQPVITGLCRLHRGTCSLKDSFCLLEGQAVEKLVALLDHTNEKVVEAALAAISTLLDDGVAIEQGVAVLCAAEGIRPILDVLLEKRTENLRRRAVWAVERLLRTEDIAYEVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGIFPNMG >Potri.015G104500.1.v4.1 pep chromosome:Pop_tri_v4:15:12336582:12338077:1 gene:Potri.015G104500.v4.1 transcript:Potri.015G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104500.v4.1 MASVSATCPRFQPLFSQSNKTSRAAGLKLDSVGWAKCTGFPPLKASRFRVSCSAKPETVEKVIEIVKKQLALKPETVLTNETEFVELGADSLDTVEIVMTLEEEFDINVEEESSQNITTVQEVADMIEKLVQKKAEGES >Potri.016G089200.1.v4.1 pep chromosome:Pop_tri_v4:16:7160476:7163175:-1 gene:Potri.016G089200.v4.1 transcript:Potri.016G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089200.v4.1 MQLQSCFIGLFLLLSCISASGFGSMGPISAAFGDHAFFCAIDASGKQDVICWIKNNTLPPSSSSASTSAYFSNIGPIAALSGGEGFLCGILANNSQVFCWSSVYSGPDLVPSVYRNTAYSHIAAGKNHVCAIRGSYYSDHDSGTIDCWNIINGANNSLTSVQGTGVFYDQSISNLVFDKVVSGEEFSCGGVRGRGVVCWGPNKDRFGVSNFSENYMVLASGRDSVCGILEGSNDVKCWGNSTNSYSTPPVGTRFVSLTAGTNHFCRIREDNHAVECWGSFNSSLIPKGGSGFMAIASSDFTTCGIREDDLVIDCWFANGTLPPDYSPPLELCSPGLCSPRSCGEGEFVFNASILNEPDLTSLCVRKDLKICSPCGSNCSEGFFLSSPCTENADRVCTACSLCQNSSCWDVCGLKSSNEKQWHNLRRLAVIIGSSALGFLLILVSWCVLPCLFSSRNEERAKNQFHSCIGKPELEADVASDSHLPQSITPCPGKAQVFRLSELKDVTNGFREFNELGRGSYGFVYKAVLADGRQVAVKRANAATIIHSNSRNFDMELEVLCNVRHCNIVNLLGYCSEMGERLLVYEYMPHGTLHDHLHGGLSPLNWSLRLKISMQAAKGLEYLHKEAEPPIVHHNVQTSNILLDSDWGARIADFGLLSANEKDLCGDMKSDVYNFGIVLLEILSGRKTYDRDYTPPNIVEWAVPLIKQGKAAAIIDRYVTFPRNVESLLKVADIAELAVRENPNERPTMSDVATFLEQIVKDGLLL >Potri.003G034132.1.v4.1 pep chromosome:Pop_tri_v4:3:3872050:3882877:-1 gene:Potri.003G034132.v4.1 transcript:Potri.003G034132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034132.v4.1 MQEKSTHALASPSPARTSVDTEGASGPYRDVRACNRGKSLDMEDTQTPVQATPSLAPFGLNVVGPDAIKFGHPFGAHLSGSGSFGLNSVTAKSCSDADVGSSFPSGSGCGHSSSPKSSTSAPRPSWVPFSSGVDSSCISLPVVDVNAPSGDHGRDSTGFPQGKSSVDDWSESPSLVHYPNYFFNQM >Potri.008G120900.1.v4.1 pep chromosome:Pop_tri_v4:8:7827327:7830630:-1 gene:Potri.008G120900.v4.1 transcript:Potri.008G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120900.v4.1 MEEGKGSTLVHLLVVVLSLVAFGFAIAAERRRSIGHIEKDATNATYCVYNSDVATGYGVGAFLFLLSSESLLMGITKCMCFGRSLAPGGDRAWAIIYFVSSWATFLVAEGCLIAGAKKNAYHTKYRGMIYAQNFTCETLRKGVFIAGAVFVVATMILNVYYYMYFSKATASKAAHKTNRTSSVGMTGNP >Potri.003G166200.1.v4.1 pep chromosome:Pop_tri_v4:3:17549423:17551522:1 gene:Potri.003G166200.v4.1 transcript:Potri.003G166200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166200.v4.1 MASCTVLHLLVLVLPSIILALTNANLEGDALYALRRAVKDPGHVLQSWDPNLVDPCTWFHVTCDGDNRVTRLDLGNAKLSGSLVPELGKLVRLQYLELYMNELAGPIPRELGNLKSLVSLDLYHNNLTGTIPASLSKLSNLKFLRLNSNRLTGRIPRELTKLESLKIIDVSNNDLCGTIPASGSFSKFTEESFVNNSRLEGPELMGFVRYGAAGSCK >Potri.002G240601.1.v4.1 pep chromosome:Pop_tri_v4:2:23272080:23275808:1 gene:Potri.002G240601.v4.1 transcript:Potri.002G240601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240601.v4.1 MDRYTRVEKPKPESPINENEIRITSGGPLRNYISYGTSLLQEKHVKEIVLKAMGQAISKTVSVAEGIKRRNPRLHQDTTISSVSITDVWEPLEEGLVPVEQTRQVSMITITLSFRELNKMSPGYQVPHSMKQPKQQDQQQHHQQQQQQQQQPRQARGSYNAVPEDSYGRGDSYGRGDSYGRGDSYGRGDSYGRGRGRGRGRNWGRGGYGYGNNQGNYYQGSYRGNYHGNYQGNYQDNGGYSNQGRGGGRGRNWGYRGTGYERGRGGGGRGYGQGRGRMANHSRGGGGGNGNSNGNGNQA >Potri.002G240601.2.v4.1 pep chromosome:Pop_tri_v4:2:23272090:23275811:1 gene:Potri.002G240601.v4.1 transcript:Potri.002G240601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240601.v4.1 MGQAISKTVSVAEGIKRRNPRLHQDTTISSVSITDVWEPLEEGLVPVEQTRQVSMITITLSFRELNKMSPGYQVPHSMKQPKQQDQQQHHQQQQQQQQQPRQARGSYNAVPEDSYGRGDSYGRGDSYGRGDSYGRGDSYGRGRGRGRGRNWGRGGYGYGNNQGNYYQGSYRGNYHGNYQGNYQDNGGYSNQGRGGGRGRNWGYRGTGYERGRGGGGRGYGQGRGRMANHSRGGGGGNGNSNGNGNQA >Potri.011G161100.1.v4.1 pep chromosome:Pop_tri_v4:11:18595959:18597826:-1 gene:Potri.011G161100.v4.1 transcript:Potri.011G161100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161100.v4.1 MVPSSSSILSILLVLLLSPFIVSHALQDSFLKCLSRTSESSFPFSTVLYTPKNSSFTSVLQSSAQNLRFTLPAVPKPEFIFTPLQESHIQAVVICSKQLGIHLRVRSGGHDFEALSYVSEIESPFILVDLAKFRSISVDIEHNSAWVQTGSTNGELYYRISEKSNTHGFPAGTCSSLGMGGHISGGAYGAMLRKYGLAVDNVVDAHIIDVHGRLLDRKAMGEDLFWAIRGGAGGSFGIVTAWKVKLVPVPSAVTVFTVTKTLEQGATELLYRWQQIADQLDEDLFIRVQIQTANVSSQGKRTITTSYNAMFLGDANRLLQVMKHSFPELGLTRQDCIETNWINSTVYMSGFANNTPPEVLLQRINMDRAYFKGKSDYARKPIPEKALEGLWEKLFEAESPLVVFTPYGGMMNQISESQTPFPHRNGTKFMILYWSSWQDATENVAKHINWTRKVYSYMTPYVSKNPREAYANYRDLDLGMNRNSKTSFVEASAFGTNYFKDNFYRLVHVKTKVDPDNFFRHEQSIPPLPQQMGLRNEVEFISSKA >Potri.014G018500.1.v4.1 pep chromosome:Pop_tri_v4:14:1140359:1146341:-1 gene:Potri.014G018500.v4.1 transcript:Potri.014G018500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018500.v4.1 MVAVSPLLQRRLLSTSVTKTHHKPHQQWSIKQVTKSNFADTLKDIKSHVSNSDFVAVSLQNTGSFSAPWQRVSPFDTADTAYLKAKYAAERFQVLHFAVCPITVRASKVTAYPYNFHLFPRAELKMEMPSYSFYCQTSSLISMARQGFDFNSCIKDGISYLSREQESTAKIQMGNPILAKNVTESTSTLSVADSVFVERIKSHIKNWKKACKETSTRKEGNQIQDALVRSLRKLVLGNEEYDSRPCMNIDVCSERQAQLVVEMLQEFADDVVPLIIPAKGGAMQAVRVVLTSSKEDKDLLQGKLQNDEQELKKKVRGFREVIDLISASQKPVVSHGSLNDLTVIHSKFIAPLPPTVDEFMCSLRLAFPLVIDVNHLMKEISALRKVTSIPVAISQLKNRFFTPIDMEIPCQAMENEDTIHGQNVVKICELFARLCSILKIDPAAVKSDEEKGASALEAYANIFSPFCTASEEPIDGEIKIWTNKWTNNTRTVSCEDLVFLWGFGDRVTAGVLKSLLQESHEAFSKEFDVRLVDNSCAIVIFWQHGLTETFLNTMNKCSDMRGPLREMVSEGLRAAGYETYNRACRLGLWESSLADSLDRALADS >Potri.014G018500.2.v4.1 pep chromosome:Pop_tri_v4:14:1140819:1144244:-1 gene:Potri.014G018500.v4.1 transcript:Potri.014G018500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018500.v4.1 MEMPSYSFYCQTSSLISMARQGFDFNSCIKDGISYLSREQESTAKIQMGNPILAKNVTESTSTLSVADSVFVERIKSHIKNWKKACKETSTRKEGNQIQDALVRSLRKLVLGNEEYDSRPCMNIDVCSERQAQLVVEMLQEFADDVVPLIIPAKGGAMQAVRVVLTSSKEDKDLLQGKLQNDEQELKKKVRGFREVIDLISASQKPVVSHGSLNDLTVIHSKFIAPLPPTVDEFMCSLRLAFPLVIDVNHLMKEISALRKVTSIPVAISQLKNRFFTPIDMEIPCQAMENEDTIHGQNVVKICELFARLCSILKIDPAAVKSDEEKGASALEAYANIFSPFCTASEEPIDGEIKIWTNKWTNNTRTVSCEDLVFLWGFGDRVTAGVLKSLLQESHEAFSKEFDVRLVDNSCAIVIFWQHGLTETFLNTMNKCSDMRGPLREMVSEGLRAAGYETYNRACRLGLWESSLADSLDRALADS >Potri.012G021800.4.v4.1 pep chromosome:Pop_tri_v4:12:2224205:2228935:-1 gene:Potri.012G021800.v4.1 transcript:Potri.012G021800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021800.v4.1 MSGRFSRTIYVGNLPADIRESKVEDLFYKYGRILDVELKIPPRPPCYCFVEFENARDAEDAIRGRDGYNFDGSRLRVELAHGGRGPSSNDRRGGYGGGGGGGGGGGGGGGGGGGRLGISRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVTRDGDGTLGLVDYTNHEDMKYAIRKLDDTEFKNPWARAYIRVKQYEGSPSRSRSRGRSRSRSRSRSRSPRRNRSKSLERSVSRSRSRSRSRSKTRSASPLKSSRPKSRSRSRSESPTKARSGSA >Potri.012G021800.6.v4.1 pep chromosome:Pop_tri_v4:12:2224287:2228930:-1 gene:Potri.012G021800.v4.1 transcript:Potri.012G021800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021800.v4.1 MQCICIVQRRLCYILPIQKMSGRFSRTIYVGNLPADIRESKVEDLFYKYGRILDVELKIPPRPPCYCFVEFENARDAEDAIRGRDGYNFDGSRLRVELAHGGRGPSSNDRRGGYGGGGGGGGGGGGGGGGGGGRLGISRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVTRDGDGTLGLVDYTNHEDMKYAIRKLDDTEFKNPWARAYIRVKQYEGSPSRSRSRGRSRSRSRSRSRSPRRNRSKSLERSVSRSRSRSRSRSKTRSASPLKSSRPKSRSRSRSESPTKARSGSA >Potri.019G065200.1.v4.1 pep chromosome:Pop_tri_v4:19:10534525:10536232:1 gene:Potri.019G065200.v4.1 transcript:Potri.019G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065200.v4.1 MAKLAVPLLIFFFLLSCIPSQAAPPLQTPLQTPIQKDHSTSQYIITAYLKTPLMPTKLLLDLGATYSWVNCDDYISSTYQHVPCNSSIANSLGSYGCVDICDGPPGPNCANNSFLFLPDNPIKPVDYKKVNGLNDALVDYLALLNTLGSLSSIDNFIFSCARTGFLKGLAKGVTGLASLGNSNLSIPVQINKAFSSSPNCFAMCLSGSISQPGVALFGSKGPYNFLHGIDLSKSLLYTPLIFNPLGRDAVPNTHTLSPEYYVGLTAIKVNGKMVTFNKTLLAIDAQSGSGGTRISTVVPYTKLQSSIYKAFTLAFLREAASSAFNLTTTKPVKPFSVCYPASAVKTTQMGPAVPIIELVLDRQDVVWKMFGSNSMMRVTKKSVDLWCLGVVDGGAIDGPSIMIGGLQLEDNLLQFDLQSKKLGFSSSILSKGTNCADYKFPTRKV >Potri.009G163200.2.v4.1 pep chromosome:Pop_tri_v4:9:12535403:12537739:-1 gene:Potri.009G163200.v4.1 transcript:Potri.009G163200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163200.v4.1 MASHATLTASQPTLHSLLSPLPSNHSSFHGVSVSLPLQSFSFSLAAKTATKKKAAAAVLNGTSNGSTAVNVHVTGLTTGDYYGDTTNGCTSTGVVEAEIVDDQIPLIGFDTLIGSGFEIDELEDDLGRLTAVPKKRTSRTKKRIRKNVWKRKASSAALKALSLAKSIYTGKSKSFLSNKLKNE >Potri.014G114400.1.v4.1 pep chromosome:Pop_tri_v4:14:7703862:7705184:-1 gene:Potri.014G114400.v4.1 transcript:Potri.014G114400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114400.v4.1 MAAFRSPLSFALAIALLCVSGFGCIYARKVGFTVDLIHRDSPLSPFYNSEETDLQRINNALRRSISRVHHFDPIAAASVSPKAAESDVTSNRGEYLMSLSLGTPPFKIMGIADTGSDLIWTQCKPCERCYKQVDPLFDPKSSKTYRDFSCDARQCSLLDQSTCSGNICQYQYSYGDRSYTMGNVASDTITLDSTTGSPVSFPKTVIGCGHENDGTFSDKGSGIVGLGAGPLSLISQMGSSVGGKFSYCLVPLSSRAGNSSKLNFGSNAVVSGPGVQSTPLLSSETMSSFYFLTLEAMSVGNERIKFGDSSLGTGEGNIIIDSGTTLTIVPDDFFSNLSTAVGNQVEGRRAEDPSGFLSVCYSATSDLKVPAITAHFTGADVKLKPINTFVQVSDDVVCLAFASTTSGISIYGNVAQMNFLVEYNIQGKSLSFKPTDCTKK >Potri.010G070600.3.v4.1 pep chromosome:Pop_tri_v4:10:9861211:9868805:-1 gene:Potri.010G070600.v4.1 transcript:Potri.010G070600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070600.v4.1 MESTGCWFNRLKSKDKLKSAKKKDTAGNEKEGSKAPNSEEAPSNVTRQKVAAAKQYIENHYKKQMKDLQERKERRNVLEKKLADAEVSEEEQDNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSVANNLSGALQSNGCPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSIGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRNHLKFPEEAKLSPEAKYLISKLLCNVDQRLGTKGVDEIKAHPWFEGTEWEKLYQMKAAFIPEVNDELDTQNFEKFEEADDQIQTSAKSGPWRKMLSSKDINFVGYTYKNFEIVNDHQLPGIAELKKKSTKSKRPSVKSLFDTVLTRGKARKRVRSYWPFYPIWRTIFERMSSYGG >Potri.010G070600.4.v4.1 pep chromosome:Pop_tri_v4:10:9861212:9868804:-1 gene:Potri.010G070600.v4.1 transcript:Potri.010G070600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070600.v4.1 MESTGCWFNRLKSKDKLKSAKKKDTAGNEKEGSKAPNSEEAPSNVTRQKVAAAKQYIENHYKKQMKDLQERKERRNVLEKKLADAEVSEEEQDNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSVANNLSGALQSNGCPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSIGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRNHLKFPEEAKLSPEAKYLISKLLCNVDQRLGTKGVDEIKAHPWFEGTEWEKLYQMKAAFIPEVNDELDTQNFEKFEEADDQIQTSAKSGPWRKMLSSKDINFVGYTYKNFEIVNDHQLPGIAELKKKSTKSKRPSVKSLFDTVLTRGKARKRVRSYWPFYPIWRTIFERMSSYGG >Potri.010G070600.5.v4.1 pep chromosome:Pop_tri_v4:10:9858453:9868824:-1 gene:Potri.010G070600.v4.1 transcript:Potri.010G070600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070600.v4.1 MESTGCWFNRLKSKDKLKSAKKKDTAGNEKEGSKAPNSEEAPSNVTRQKVAAAKQYIENHYKKQMKDLQERKERRNVLEKKLADAEVSEEEQDNLLKYLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRICREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSNLQEKDFSVANNLSGALQSNGCPAAPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSIGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRNHLKFPEEAKLSPEAKYLISKLLCNVDQRLGTKGVDEIKAHPWFEGTEWEKLYQMKAAFIPEVNDELDTQNFEKFEEADDQIQTSAKSGPWRKMLSSKDINFVGYTYKNFEIVNDHQLPGIAELKKKSTKSKRPSVKSLFEDESVEAPNQPVKGSFLSLLPPKLDASEQ >Potri.001G048700.4.v4.1 pep chromosome:Pop_tri_v4:1:3581563:3589190:1 gene:Potri.001G048700.v4.1 transcript:Potri.001G048700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048700.v4.1 MESADIYRASSSLRGSFRGGSSAWRNTTVEAFSRSSREEDDEEALTWAAIEKLPTYDRLRKGILTSASKGVANEVDIEKLGVQERKQLLERLVKVAEEDNEKFLWKLKDRVERVGIDVPTIEVRYDNLNIEAEAYVGSSALPSFAKFTFNIIEGLLISLNILRNRKKPLTILKDVSGIVKPSRLTLLLGPPSSGKTTLLLALAGKLDPNLKFSGRVTYNGHEMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGAGYLHDMLAELSRREKEANIKPDPDVDVFMKAVASQGEEANVITDYVLKILGLEVCADTMVGDEMIRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTYQIVNSLRHTVHILNCTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRERVLEFFKHMGFECPERKGVADFLQEVTSRKDQEQYWARKDQPCRFITANEFAEAFQSFSVGRRTAEELSIPFDKSKNHPAALVTKTHGAGKKDLLKANFSREYLLMKRNSFVYIFKICQLTIMALISMTLFFRTEMHRDTVADGGIYTGALFFTAIMIMFNGMSELSMTIAKLPVFYKQRDLRFFPSWAYAIPQWILKIPVAFVEVGVWVFLTYYVIGFDPNVGRLFKQYLLLLLINQMASALFRFIAAAGRNMIVANTFGSFALLTLFALGGFILSREKIKKWWIWGYWISPLMYGQTAIVVNEFLGNSWSHVPENSTEPLGIQVLKSRGFFTEAYWYWIGAGATIGFILLLNLFFVLALTFLNAFDKPQAVISDEPESDESGRKTERAIQLSNHGSSHGTNTEGGVGISRASSEAIGRVSNNRKKGMVLPFEPLSITFDDVIYSVDMPQEMKIQGVVEDRLVLLNGVNGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGEIKISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLYSAWLRLPPEVDSETRRMFIEEVMDLVELNPLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSTHLIKYFEAIEGVSKIKDGYNPATWMLEISSSAQEMALEVDFSNIYKNSDLFRRNKALIVELSTPAPGSTDLYFPTKYSTSFLTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKVDSTQDLFNAMGSMYAAVIFLGVQNASSVQPVVAVERTVFYRERAAGMYSALPYAFAQVLIELPYIFVQAAVYGIIVYAMIGFEWTVVKFFWYLFFMYFTLLYFTFYGMMAVAMTPNHHIAAIVSSAFYGIWNLFSGFIIPRPSMPIWWRWYSWACPIAWTLYGLVVSQFGDIQKDLTETQTVKEYVKDYFGFDHDFLGVVAAAIVGWTVLFAFIFAFAIKAFNFQRR >Potri.001G048700.1.v4.1 pep chromosome:Pop_tri_v4:1:3581563:3589193:1 gene:Potri.001G048700.v4.1 transcript:Potri.001G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048700.v4.1 MESADIYRASSSLRGSFRGGSSAWRNTTVEAFSRSSREEDDEEALTWAAIEKLPTYDRLRKGILTSASKGVANEVDIEKLGVQERKQLLERLVKVAEEDNEKFLWKLKDRVERVGIDVPTIEVRYDNLNIEAEAYVGSSALPSFAKFTFNIIEGLLISLNILRNRKKPLTILKDVSGIVKPSRLTLLLGPPSSGKTTLLLALAGKLDPNLKFSGRVTYNGHEMNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGAGYLHDMLAELSRREKEANIKPDPDVDVFMKAVASQGEEANVITDYVLKILGLEVCADTMVGDEMIRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTYQIVNSLRHTVHILNCTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRERVLEFFKHMGFECPERKGVADFLQEVTSRKDQEQYWARKDQPCRFITANEFAEAFQSFSVGRRTAEELSIPFDKSKNHPAALVTKTHGAGKKDLLKANFSREYLLMKRNSFVYIFKICQLTIMALISMTLFFRTEMHRDTVADGGIYTGALFFTAIMIMFNGMSELSMTIAKLPVFYKQRDLRFFPSWAYAIPQWILKIPVAFVEVGVWVFLTYYVIGFDPNVGRLFKQYLLLLLINQMASALFRFIAAAGRNMIVANTFGSFALLTLFALGGFILSREKIKKWWIWGYWISPLMYGQTAIVVNEFLGNSWSHVPENSTEPLGIQVLKSRGFFTEAYWYWIGAGATIGFILLLNLFFVLALTFLNAFDKPQAVISDEPESDESGRKTERAIQLSNHGSSHGTNTEGGVGISRASSEAIGRVSNNRKKGMVLPFEPLSITFDDVIYSVDMPQEMKIQGVVEDRLVLLNGVNGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGEIKISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLYSAWLRLPPEVDSETRRMFIEEVMDLVELNPLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSTHLIKYFEAIEGVSKIKDGYNPATWMLEISSSAQEMALEVDFSNIYKNSDLFRRNKALIVELSTPAPGSTDLYFPTKYSTSFLTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKVDSTQDLFNAMGSMYAAVIFLGVQNASSVQPVVAVERTVFYRERAAGMYSALPYAFAQVLIELPYIFVQAAVYGIIVYAMIGFEWTVVKFFWYLFFMYFTLLYFTFYGMMAVAMTPNHHIAAIVSSAFYGIWNLFSGFIIPRPSMPIWWRWYSWACPIAWTLYGLVVSQFGDIQKDLTETQTVKEYVKDYFGFDHDFLGVVAAAIVGWTVLFAFIFAFAIKAFNFQRR >Potri.006G216800.1.v4.1 pep chromosome:Pop_tri_v4:6:22225845:22231145:1 gene:Potri.006G216800.v4.1 transcript:Potri.006G216800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216800.v4.1 MLCLKTEVLHDDLDNNHPSNVDAETGSAATSGGFVLPMNLGGSIHKYLWRIGKATTTTITSTRSVSSGDGSPTFSDLEDARTRNKRGGKMKDEDTSFYKHQLEQEVKKLQQQLQEEIAVRLALASAVEHSDSSLSNSPCQLPDKAQELLDSIAILEITVSKLEQESVALQYQLSQERNERRLAEYHLRHLPYPASSTFDCSQYNFTEMSMRTCSMEKAEGRAEDNTLLPDVIREPDKDHFVEKLCHHPNWLSEEMVLCMRDIFLSLADPSKLSSPECLASPSSPQGHLSYSSLASFSDSPIKNSLMKSPPDDMEHGLEVSARYCKLDPYRVPGKVDWMENIGTYCNAVEVSWLSVGQKELEYASGALKRFRLLVEQLAEVDPSCLSCNEKLAFWINVYNALIMHAFLAYGVPKSEIKLFSLMQKAAYIIGGHSISAADIEYNILKMKPPAHRPQIALVLALQKFKITEEQKKFSIDQPEPLLAFALSCGMHSSPAVRIFRPENVNELLQNSLKDYVQASVGISNKSKLLVPKLLYCFAKGNVEDLLLPDWICQFLTPEQAVVVRDRLSNHKWRLLGARSFSILPFDSRFRFLFLL >Potri.001G247000.1.v4.1 pep chromosome:Pop_tri_v4:1:26365911:26366294:-1 gene:Potri.001G247000.v4.1 transcript:Potri.001G247000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247000.v4.1 MGIPSCAWGYRLLHVTFLFSHFSIIYRGILILIYRANLASSVLVSMQGTGDGLFSGKEASRRGTCGFLIYTPFYGKMLLIFQLFCVESEPKHVKSCGNLGLINICSFWWVFTFLCSDRSIILWIHLV >Potri.010G023201.2.v4.1 pep chromosome:Pop_tri_v4:10:3403825:3422338:-1 gene:Potri.010G023201.v4.1 transcript:Potri.010G023201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023201.v4.1 MAPSRKRSVNKRYSNIDEVSPNKNAAEIANKSRPRKRKLSKMLGPQWGKEELEQFYKAYRKHGKDWEKVAAVVRNRSVGMVEALYTMNKAYLSLPKGFASGAGLIAMMTDHYSNLGESDSELESNGGTGPSQKPQKRARVTKGSEAPPVLDLLQSQPAASNYGCLSLLKKRRSGSKPWAVGKRTPRVPVTYSHDKDNEKYVSPIWQGMKVKADAVDDDVAHEIALALTEASQRGGSPQVSQTPKRKTKTPSPAQHDELMHPESEMMSAKLRGSEMDEVGCELSLGSTDAYGGDYARDRIFWKGRRYHGRRSLEVEENLDNHLDDVREACSGTEEGQKLEAVEEKFEMEVAYSKLVRSSKGSRKRSKKVLFGEVEDTDFDALEALADLSLRLPETSVDTGLSALVEEEKTGIVAKSKLKGNRSSPGVKPISFKNTKHGKVFTHNVTSIPEVKEAPYQISPVMRKRRQKPSPSKISENEKHADSHLGESQKVEITKDDDNFVSKGKCSQDAPCFKEGKLMKPAERTSSSNNHGRELNDFAPTTIRVLSANQFNMPTKVRSSRKLNTPKLLVEKDSKSSENIVNSQSNTVIPSFQDRVPSLKGKLSNCLSRYLVRRWCVFEWFNSAIDYPWFAKREFVEYLEHVGLAHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLYQYRESVREHYAELRAGTMDGLPTDLARPLSVGQRILALHPRTSEIHDGSILTVDHSRCCVQFDRPELGVEFVMDVHCMPLNPLENMPASMIGHNIALNRYIKNLNELKINVRPAEKMEEFKFSPCENLEGASALPHTSPLTYPSSDLLQQPKGGLLGSNTQVNIGGETVGAQPSFCAQIQAKEADIHALSELTQALDKKEAVVSELKHMNDEVLESQKHGDYSLKDSEVFKKHYAAVLLQLNEVNELVSSALFCLRERNTYQGNIPHVLLKPGANIDEPACHSSSFDSSTDDTQESGSHVVEIVESSRTKAQTMVDAAMQAMLSLKKEGSSIDSIEDAIDFVNNKLSADDLSVPAIRSSIPAISAQGTLASQDHLSSCAANPEAINDAPDAKFNNLSTQNEVQIPTELISHCVATLLMIQRCTERQFPPSDVAVVLDSAVTSLKPCCSTNLPIYAEIQKCMGIIRNQILALIPT >Potri.010G023201.1.v4.1 pep chromosome:Pop_tri_v4:10:3403826:3422940:-1 gene:Potri.010G023201.v4.1 transcript:Potri.010G023201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023201.v4.1 MAPSRKRSVNKRYSNIDEVSPNKNAAEIANKSRPRKRKLSKMLGPQWGKEELEQFYKAYRKHGKDWEKVAAVVRNRSVGMVEALYTMNKAYLSLPKGFASGAGLIAMMTDHYSNLGESDSELESNGGTGPSQKPQKRARVTKGSEAPPVLDLLQSQPAASNYGCLSLLKKRRSGSKPWAVGKRTPRVPVTYSHDKDNEKYVSPIWQGMKVKADAVDDDVAHEIALALTEASQRGGSPQVSQTPKRKTKTPSPAQHDELMHPESEMMSAKLRGSEMDEVGCELSLGSTDAYGGDYARDRIFWKGRRYHGRRSLEVEENLDNHLDDVREACSGTEEGQKLEAVEEKFEMEVAYSKLVRSSKGSRKRSKKVLFGEVEDTDFDALEALADLSLRLPETSVDTGLSALVEEEKTGIVAKSKLKGNRSSPGVKPISFKNTKHGKVFTHNVTSIPEVKEAPYQISPVMRKRRQKPSPSKISENEKHADSHLGESQKVEITKDDDNFVSKGKCSQDAPCFKEGKLMKPAERTSSSNNHGRELNDFAPTTIRVLSANQFNMPTKVRSSRKLNTPKLLVEKDSKSSENIVNSQSNTVIPSFQDRVPSLKGKLSNCLSRYLVRRWCVFEWFNSAIDYPWFAKREFVEYLEHVGLAHIPRLTRVEWGVIRSSLGKPRRFSEQFLKEEKEKLYQYRESVREHYAELRAGTMDGLPTDLARPLSVGQRILALHPRTSEIHDGSILTVDHSRCCVQFDRPELGVEFVMDVHCMPLNPLENMPASMIGHNIALNRYIKNLNELKINVRPAEKMEEFKFSPCENLEGASALPHTSPLTYPSSDLLQQPKGGLLGSNTQVNIGGETVGAQPSFCAQIQAKEADIHALSELTQALDKKEAVVSELKHMNDEVLESQKHGDYSLKDSEVFKKHYAAVLLQLNEVNELVSSALFCLRERNTYQGNIPHVLLKPGANIDEPACHSSSFDSSTDDTQESGSHVVEIVESSRTKAQTMVDAAMQAMLSLKKEGSSIDSIEDAIDFVNNKLSADDLSVPAIRSSIPAISAQGTLASQDHLSSCAANPEAINDAPDAKFNNLSTQNEVQIPTELISHCVATLLMIQRCTERQFPPSDVAVVLDSAVTSLKPCCSTNLPIYAEIQKCMGIIRNQILALIPT >Potri.009G082800.1.v4.1 pep chromosome:Pop_tri_v4:9:7837891:7839002:1 gene:Potri.009G082800.v4.1 transcript:Potri.009G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082800.v4.1 MWFSKFLLAAYLMASVSVNITLAQNSPQDYVDTHNAVRAEVGVGPITWNNTVAAYAQKYANSRVENCELEHSGGPYGENIAEGYGNLNGVDAVKMWASEKPFYSHDTNSCVGDECLHYTQVVWRKSVHLGCGRAKCKNGWWFVTCNYDPVGNIEGQSPY >Potri.003G026712.1.v4.1 pep chromosome:Pop_tri_v4:3:2865141:2865426:1 gene:Potri.003G026712.v4.1 transcript:Potri.003G026712.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026712.v4.1 MITVEYILQCEIRDSPGLQLIHLYILEHSHK >Potri.008G147600.6.v4.1 pep chromosome:Pop_tri_v4:8:10052111:10056163:1 gene:Potri.008G147600.v4.1 transcript:Potri.008G147600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147600.v4.1 MVFCSSTTIVPSSLSCLAQSSLYCLSDFPILVKRTGSSLLSCRQKVVLLRPKRRQLASSIYAGKESKAKVEEQDKGLEWPIIKRWDVPWPWQTISLTSLACGISFILTGLVEATAIPYLGIKIEELSLDEKAEILLLDQSIATAVVLGVLYGILNTFQPLPEDVFRYDLKEPFNLEKGWLLWAGIGLASALLAVALTGVAVSTFSGETPQRETDALVRLLPLIGSSSISTACLIGITGVLAPVLEENVFRGFFMVSLTKWVPTPVSVLISAAVFALAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHAFWNSGVVLILTLLQLQGYDIKELLQAT >Potri.008G147600.3.v4.1 pep chromosome:Pop_tri_v4:8:10052383:10056085:1 gene:Potri.008G147600.v4.1 transcript:Potri.008G147600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147600.v4.1 MVFCSSTTIVPSSLSCLAQSSLYCLSDFPILVKRTGSSLLSCRQKVVLLRPKRRQLASSIYAGKESKAKVEEQDKGLEWPIIKRWDVPWPWQTISLTSLACGISFILTGLVEATAIPYLGIKIEELSLDEKAEILLLDQSIATAVVLGVLYGILNTFQPLPEDVFRYDLKEPFNLEKGWLLWAGIGLASALLAVALTGVAVSTFSGETPQRETDALVRLLPLIGSSSISTACLIGITGVLAPVLEENVFRGFFMVSLTKWVPTPVSVLISAAVFALAHLTPGEFPQLFVLGTALGFSYAQTRNLLTPITIHAFWNSGVVLILTLLQLQGYDIKELLQAT >Potri.006G249400.1.v4.1 pep chromosome:Pop_tri_v4:6:24885395:24889285:-1 gene:Potri.006G249400.v4.1 transcript:Potri.006G249400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249400.v4.1 MSGKGAKGLLTSKTPAQSKDKDKKKPTSRSSRAGLQFPVGRIHRLLKTRATAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Potri.010G209000.1.v4.1 pep chromosome:Pop_tri_v4:10:19851226:19856470:1 gene:Potri.010G209000.v4.1 transcript:Potri.010G209000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209000.v4.1 MAWFRAGSGVARLAIRRTLSQGGSYATRSRVIPPQNRYFHSTVFKSKAQAAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWETDPNSVDESWDNFFKNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTDADLDREFFLGVWRMAGFLSENRPVQTLRAILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTSMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDMEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYKKKLLESGQVTEEDISRIQEKVLSILNEEFLASKDYVPKRRDWLSSHWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTFPENFKPHRAVKKVYEQRLQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHVVMNQDEEMFTVSNSSLSEFGVLGFELGYSMESPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVLLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLVVIAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDRNDHSDLEEGIRRLVLCSGKIYYELDEVRGKVEAKDTAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLSTAMKALERGTVDDIKYVGRGPSAASATGFYQVHVKEQTELVQMAMQPEPIKFPN >Potri.010G209000.2.v4.1 pep chromosome:Pop_tri_v4:10:19851158:19856652:1 gene:Potri.010G209000.v4.1 transcript:Potri.010G209000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209000.v4.1 MAWFRAGSGVARLAIRRTLSQGGSYATRSRVIPPQNRYFHSTVFKSKAQAAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWETDPNSVDESWDNFFKNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTDADLDREFFLGVWRMAGFLSENRPVQTLRAILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTSMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDMEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYKKKLLESGQVTEEDISRIQEKVLSILNEEFLASKDYVPKRRDWLSSHWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTFPENFKPHRAVKKVYEQRLQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHVVMNQDEEMFTVSNSSLSEFGVLGFELGYSMESPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVLLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLVVIAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDRNDHSDLEEGIRRLVLCSGKIYYELDEVRGKVEAKDTAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLSTAMKALERGTVDDIKYVGRGPSAASATGFYQVHVKEQTELVQMAMQPEPIKFPN >Potri.010G209000.3.v4.1 pep chromosome:Pop_tri_v4:10:19851182:19856488:1 gene:Potri.010G209000.v4.1 transcript:Potri.010G209000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209000.v4.1 MAWFRAGSGVARLAIRRTLSQGGSYATRSRVIPPQNRYFHSTVFKSKAQAAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWETDPNSVDESWDNFFKNFVGQAATSPGISGQTIQESMRLLLLVRAYQVNGHMKAKLDPLGLEEREIPDDLDPALYGFTDADLDREFFLGVWRMAGFLSENRPVQTLRAILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTSMQYNRQRREVILDRLIWSTQFENFLATKWTTAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDMEAVVHVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYKKKLLESGQVTEEDISRIQEKVLSILNEEFLASKDYVPKRRDWLSSHWTGFKSPEQLSRVRNTGVKPEILKNVGKAITTFPENFKPHRAVKKVYEQRLQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVVHDQETGEKYCPLDHVVMNQDEEMFTVSNSSLSEFGVLGFELGYSMESPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVLLLPHGYDGQGPEHSSARLERFLQMSDDNPYVIPEMEPTLRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLVVIAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDRNDHSDLEEGIRRLVLCSGKIYYELDEVRGKVEAKDTAICRVEQLCPFPYDLIQRELKRYPNAEIVWCQEEPMNMGAYNYIAPRLSTAMKALERGTVDDIKYVGRGPSAASATGFYQVHVKEQTELVQMAMQPEPIKFPN >Potri.005G093700.4.v4.1 pep chromosome:Pop_tri_v4:5:6569216:6573311:1 gene:Potri.005G093700.v4.1 transcript:Potri.005G093700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093700.v4.1 MVPQSDVDPRRVRSLTRSNFAPYKLTISKLKLSPLNMASFLLRRASCSATRILSSSLALPTATIIPKSNPSPNFIYHHYLLHHFTQFSRQNKIPSPYLGFIKEISNIPSTKEEKEHKRNNGELPKRGEKSWIDVYLPRQVRPYAHLARLDKPIGTWLLAWPCMWSISLAAEPGSVPNFKMMALFGCGALLLRGAGCTINDLLDRDIDTKVERTKLRPVASGLLTPFQGLCFLGFQLFLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWSAVKGSLDPAIVIPLYASGVFWTLVYDTIYAHQV >Potri.005G093700.2.v4.1 pep chromosome:Pop_tri_v4:5:6569807:6573284:1 gene:Potri.005G093700.v4.1 transcript:Potri.005G093700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093700.v4.1 MASFLLRRASCSATRILSSSLALPTATIIPKSNPSPNFIYHHYLLHHFTQFSRQNKIPSPYLGFIKEISNIPSTKEEKEHKRNNGELPKRGEKSWIDVYLPRQVRPYAHLARLDKPIGTWLLAWPCMWSISLAAEPGSVPNFKMMALFGCGALLLRGAGCTINDLLDRDIDTKVERTKLRPVASGLLTPFQGLCFLGFQLFLGLGILLQLNNYSRILGASSLLLVFSYPLMKRFTFWPQAYLGLTFNWGALLGWSAVKGSLDPAIVIPLYASGVFWTLVYDTIYAHQDKEDDLKVGVKSTALRFGDSTKEWITGFGTACISSLALCGFDADIGWSFYAFLAAASGQLAWQIWTVDLSCRADCNRKFVSNKWFGAVVFSGILFGRLWS >Potri.010G129800.6.v4.1 pep chromosome:Pop_tri_v4:10:14638423:14641180:1 gene:Potri.010G129800.v4.1 transcript:Potri.010G129800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129800.v4.1 MTVSAASPPIKSRVLIAGATGFIGQFVAEASLDSDRPTYVLVRPGVATCPSKAEVLKSLHDKGAIILQGLISDRKYMEKILKVHEIDVVISAVGGGNVLDQLALVEAIKAVGTIKRFLPSEFGHDVVRADPVEPGLQMYKEKREIRRLVEEYGIPYTYICCNSIASWPYYDNKHPAHALPPLEHFKIYGDGTVKAYFVAGTDIGKFTMKTVDDVRTINKSVHFRPSNNFYNMNELASLWEKKIGRTLPRVTVTEHDLLAIAAENIIPESVVASFTHDIFIKGCQFNFSINGLDDVEVGTLYPDEPFRTLDECFNDFALKLKDSNKDVGSNGNATPNHVIESLAISEPRVCLCDEKISLFAGI >Potri.018G149300.1.v4.1 pep chromosome:Pop_tri_v4:18:16045044:16047202:1 gene:Potri.018G149300.v4.1 transcript:Potri.018G149300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149300.v4.1 MELLFLSLLLALFVSSVTIPLFLIFYNHRSQNSHPNLPPGKLGLPLVGESFEFLATGWKGHPEKFIFDRIAKYSSHIFKTNILGQPAVVFCGVACNKFLFSNENKLVVSWWPDSVNKIFPSSLQTSSKEEAKKMRKLLPQFLKPEALQGYIGIMDTIAQRHFASEWEHKEQVLVFPLSKNYTFRLACRLFLSIEDPSHVAKFSDPFNLLASGIISIPIDLPGTPFNRAIKASNFIRTELLAFIRQRKKDLAEGKASPTQDILSHMLLTCDENGKCMNELDIADKIIGLLIGGHDTASAACTFIVKYLAELPHIYEEVYKEQMEIAKSKTPGEFLNWDDIQKMKYSWKVACEVMRISPPLQGAFREALNDFIFNGFTIPKGWKLYWSTNSTHRDPVYFPEPEKFDPRRFEGSGPAPYTFVPFGGGPRMCPGKEYARLEILVFMHNLVRRFKFDKLIQDEKIVVNPLPIPDKGLPVRLHPHKA >Potri.004G234150.1.v4.1 pep chromosome:Pop_tri_v4:4:23890038:23890425:1 gene:Potri.004G234150.v4.1 transcript:Potri.004G234150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234150.v4.1 MPFAFTFPNGPSTIPSFSSYFSLFSHLPLESREYTIFISALMGLR >Potri.014G098700.1.v4.1 pep chromosome:Pop_tri_v4:14:6475318:6477604:-1 gene:Potri.014G098700.v4.1 transcript:Potri.014G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098700.v4.1 MANSRFQKSRLVFVLLCFLFFVSRLRIFVAADDKSNESNKDDGYDFAVTEEDQIGDNSTISGGAYAAPNGVAMDQERSELCNTDMSSFLPPPYNNISNMVCKPVWNTFLLRYHKKEDNVVTFILSAVYTIGWVAMGFSKDGRMVGSSAMVGWFNRKGQARIKEYYLQGTRPSQVIEDAGELDLTKVPPAVVINGAMIYLAFQAKFEKPLASQPIILAFGTRYPNHYRLSSHDDKTAILFDFTAGSASRARINPGQMKKNHGVLGTLAWGLFLPSGAIVARYLKHKEPLWYYLHAGIQFLGFLLGLANVVLGQQLYSKIDANVPSHRGIGIFALTLSILQILAFFLRPKKDAKIRKYWNWYHHWFGRIALFFGVFNIVWGIHLGAAGTSWKIGFGFLITMILVTVIILETLTWLRRSEKTTPPETFQMNPI >Potri.001G108300.1.v4.1 pep chromosome:Pop_tri_v4:1:8703730:8705031:1 gene:Potri.001G108300.v4.1 transcript:Potri.001G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108300.v4.1 MEITVISAQGLKKTSSGPFSHRLRPFITITAYPPNPHNSNEKCQMYRTRVDDQGGENPTWGDKFHVPIDTAFFQNRYSCIYVHLYTKRPITGQVQLGWCQVPVTDIGFLSEGSLKYLSYRILARDGTRTPGVVNLAIRLTSFQQVAVLPDSRASAECKTVIGIPVTMFSHTSICQ >Potri.014G167550.1.v4.1 pep chromosome:Pop_tri_v4:14:12169267:12170034:-1 gene:Potri.014G167550.v4.1 transcript:Potri.014G167550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167550.v4.1 MRKDVTNDFEDMSHSDDAREMMEKYVIGEVDVTTVPTRRLYVAPGLGGTNPKDEKPGFLIKILQLLVPLLILGLALVV >Potri.002G257800.13.v4.1 pep chromosome:Pop_tri_v4:2:24613058:24620124:1 gene:Potri.002G257800.v4.1 transcript:Potri.002G257800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257800.v4.1 MEGRPAFSIQRAGAKQLGNLGVSGTLSSSLPVVPTPLEETYSKLPGCQQVSMERELMTRPLVHASHLPSNNGVVGHLFSSSASFSTDLQYSSVTPREKHSRNTPFISQSSANAGALLMSQSSPSALLQSTTTSHYVNENSASWCPESPPGFLDFPTNTTVQNNQIESNSCAGVMASEEFGKRNDWQEWADQLITDDDALTTNWNELLADTSIVDMEPKMAYQVSKPSSNTPVQHSQGHLQLPSLSAEIRPVLTPTSSANSAPTKPRMRWTPELHEAFVEAVNNLGGSERATPKGVLKLMKVDSLTIYHVKSHLQKYRTARYRPESSEGSSEKRLTSIDEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRHLQMMFEKQCKSGIDVDKLKAASSALENPSTLSSDAIQDSPAKNDLETAQVDCGKTPTDTIYANPALEGGSQDLNRKHKVSPTETPENSEPYNTDSSLQPAKRPRTDA >Potri.002G257800.11.v4.1 pep chromosome:Pop_tri_v4:2:24613058:24620099:1 gene:Potri.002G257800.v4.1 transcript:Potri.002G257800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257800.v4.1 MTFPVSHYCEPIRRVLSEAMEGRPAFSIQRAGAKQLGNLGVSGTLSSSLPVVPTPLEETYSKLPGCQQVSMERELMTRPLVHASHLPSNNGVVGHLFSSSASFSTDLQYSSVTPREKHSRNTPFISQSSANAGALLMSQSSPSALLQSTTTSHYVNENSASWCPESPPGFLDFPTNTTVQNNQIESNSCAGVMASEEFGKRNDWQEWADQLITDDDALTTNWNELLADTSIVDMEPKMAYQVSKPSSNTPVQHSQGHLQLPSLSAEIRPVLTPTSSANSAPTKPRMRWTPELHEAFVEAVNNLGGATPKGVLKLMKVDSLTIYHVKSHLQKYRTARYRPESSEGSSEKRLTSIDEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRHLQMMFEKQCKSGIDVDKLKAASSALENPSTLSSDAIQDSPAKNDLETAQVDCGKTPTDTIYANPALEGGSQDLNRKHKVSPTETPENSEPYNTDSSLQPAKRPRTDA >Potri.002G257800.12.v4.1 pep chromosome:Pop_tri_v4:2:24613083:24620174:1 gene:Potri.002G257800.v4.1 transcript:Potri.002G257800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257800.v4.1 MEGRPAFSIQRAGAKQLGNLGVSGTLSSSLPVVPTPLEETYSKLPGCQQVSMERELMTRPLVHASHLPSNNGVVGHLFSSSASFSTDLQYSSVTPREKHSRNTPFISQSSANAGALLMSQSSPSALLQSTTTSHYVNENSASWCPESPPGFLDFPTNTTVQNNQIESNSCAGVMASEEFGKRNDWQEWADQLITDDDALTTNWNELLADTSIVDMEPKMAYQVSKPSSNTPVQHSQGHLQLPSLSAEIRPVLTPTSSANSAPTKPRMRWTPELHEAFVEAVNNLGGSERATPKGVLKLMKVDSLTIYHVKSHLQKYRTARYRPESSEGSSEKRLTSIDEISSLDLKTGIEITEALRLQMEVQKRLHEQLEIQRNLQLRIEEQGRHLQMMFEKQCKSGIDVDKLKAASSALENPSTLSSDAIQDSPAKNDLETAQVDCGKTPTDTIYANPALEGGSQDLNRKHKVSPTETPENSEPYNTDSSLQPAKRPRTDA >Potri.005G222900.6.v4.1 pep chromosome:Pop_tri_v4:5:22432710:22436573:1 gene:Potri.005G222900.v4.1 transcript:Potri.005G222900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222900.v4.1 MKRASILLLSHLTRQKPKTLTPLLSRNYLSSNTTNTPSYYSLHSPTNNLKSCTKTNGLIIKPHQSQSNPSRNSGTLVETTPQISSRQKKIKERSQIEEAFESAATVEGMLEAFKDMEACFDERELGLALLKVGLKLDQQGEDPEKALTFATRALKVLDIGDDKPSFLVAMTLQLMGSVSYSLKRFNDSLGYLNRAKRILGRLEEEGTTNVEDIRPVLHAVLLELSNVKTAMGRREEAIDNLKKCLEIKELTMDKGSKELGVANRELAEAYVAVLNFNEALPFGLKALDIHKSGLGDYSVQVAYDRKLLGVIYSGLEEHDKALEQNVLSQKVLKSWGLRSELLHAEIDAANMQIALGKYDEAINTLKGVVQQTEKDGGTRALVFISMAKALCHLEKIADAKRCLEIACGILDKKETASPVEVAEAYSEIAMLYENMNEFETAISLLKRTQSMLEKLPQEQHSEGSVSARIGWLLLLTGKVTQAIPYLESAAEMLKESFGSKHFGIGYVYNNLGAAYLELDRPQSAAQMFAVAKDIMDAALGPHHADSIEAYQNLSKAYSAMGR >Potri.005G222900.4.v4.1 pep chromosome:Pop_tri_v4:5:22432654:22436575:1 gene:Potri.005G222900.v4.1 transcript:Potri.005G222900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222900.v4.1 MKRASILLLSHLTRQKPKTLTPLLSRNYLSSNTTNTPSYYSLHSPTNNLKSCTKTNGLIIKPHQSQSNPSRNSGTLVETTPQISSRQKKIKERSQIEEAFESAATVEGMLEAFKDMEACFDERELGLALLKVGLKLDQQGEDPEKALTFATRALKVLDIGDDKPSFLVAMTLQLMGSVSYSLKRFNDSLGYLNRAKRILGRLEEEGTTNVEDIRPVLHAVLLELSNVKTAMGRREEAIDNLKKCLEIKELTMDKGSKELGVANRELAEAYVAVLNFNEALPFGLKALDIHKSGLGDYSVQVAYDRKLLGVIYSGLEEHDKALEQNVLSQKVLKSWGLRSELLHAEIDAANMQIALGKYDEAINTLKGVVQQTEKDGGTRALVFISMAKALCHLEKIADAKRCLEIACGILDKKETASPVEVAEAYSEIAMLYENMNEFETAISLLKRTQSMLEKLPQEQHSEGSVSARIGWLLLLTGKVTQAIPYLESAAEMLKESFGSKHFGIGYVYNNLGAAYLELDRPQSAAQMFAVAKDIMDAALGPHHADSIEAYQNLSKAYSAMGSYTLAIEFQQRAIDAWESHGPSAHDMLMEARRIREQLKTKARDASTNQLPTKALPLPHSGPSGRNEETYIMTSLSARIKQA >Potri.005G222900.5.v4.1 pep chromosome:Pop_tri_v4:5:22432662:22436429:1 gene:Potri.005G222900.v4.1 transcript:Potri.005G222900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222900.v4.1 MKRASILLLSHLTRQKPKTLTPLLSRNYLSSNTTNTPSYYSLHSPTNNLKSCTKTNGLIIKPHQSQSNPSRNSGTLVETTPQISSRQKKIKERSQIEEAFESAATVEGMLEAFKDMEACFDERELGLALLKVGLKLDQQGEDPEKALTFATRALKVLDIGDDKPSFLVAMTLQLMGSVSYSLKRFNDSLGYLNRAKRILGRLEEEGTTNVEDIRPVLHAVLLELSNVKTAMGRREEAIDNLKKCLEIKELTMDKGSKELGVANRELAEAYVAVLNFNEALPFGLKALDIHKSGLGDYSVQVAYDRKLLGVIYSGLEEHDKALEQNVLSQKVLKSWGLRSELLHAEIDAANMQIALGKYDEAINTLKGVVQQTEKDGGTRALVFISMAKALCHLEKIADAKRCLEIACGILDKKETASPVEVAEAYSEIAMLYENMNEFETAISLLKRTQSMLEKLPQEQHSEGSVSARIGWLLLLTGKVTQAIPYLESAAEMLKESFGSKHFGIGYVYNNLGAAYLELDRPQSAAQMFAVAKDIMDAALGPHHADSIEAYQNLSKAYSAMGSYTLAIEFQQRAIDAWESHGPSAHDMLMEARRIREQLKTKARDASTNQLPTKALPLPHSGPSGRNEETYIMTSLSARIKQA >Potri.005G222900.1.v4.1 pep chromosome:Pop_tri_v4:5:22432658:22436432:1 gene:Potri.005G222900.v4.1 transcript:Potri.005G222900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222900.v4.1 MKRASILLLSHLTRQKPKTLTPLLSRNYLSSNTTNTPSYYSLHSPTNNLKSCTKTNGLIIKPHQSQSNPSRNSGTLVETTPQISSRQKKIKERSQIEEAFESAATVEGMLEAFKDMEACFDERELGLALLKVGLKLDQQGEDPEKALTFATRALKVLDIGDDKPSFLVAMTLQLMGSVSYSLKRFNDSLGYLNRAKRILGRLEEEGTTNVEDIRPVLHAVLLELSNVKTAMGRREEAIDNLKKCLEIKELTMDKGSKELGVANRELAEAYVAVLNFNEALPFGLKALDIHKSGLGDYSVQVAYDRKLLGVIYSGLEEHDKALEQNVLSQKVLKSWGLRSELLHAEIDAANMQIALGKYDEAINTLKGVVQQTEKDGGTRALVFISMAKALCHLEKIADAKRCLEIACGILDKKETASPVEVAEAYSEIAMLYENMNEFETAISLLKRTQSMLEKLPQEQHSEGSVSARIGWLLLLTGKVTQAIPYLESAAEMLKESFGSKHFGIGYVYNNLGAAYLELDRPQSAAQMFAVAKDIMDAALGPHHADSIEAYQNLSKAYSAMGSYTLAIEFQQRAIDAWESHGPSAHDMLMEARRIREQLKTKARDASTNQLPTKALPLPHSGPSGRNEETYIMTSLSARIKQA >Potri.010G041100.1.v4.1 pep chromosome:Pop_tri_v4:10:7201542:7206133:-1 gene:Potri.010G041100.v4.1 transcript:Potri.010G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041100.v4.1 MANESYEDAIAGLSKLLSEKADLGSVAAAKIKQITAELEAASSKGFDPVERIKTGFVQFRTEKYEKNPDLYGALAKGQSPKFMVFACSDSRVCPSHILNFQPGEAFMIRNIANMVPPYDKTKYSGVGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGSTASDFIENWVKICSAAKSTVAKKAGSLTFEEQCHNCEKEAVNVSLGNLLTYPFVRDAVVNDAVSLKGAHYDFVKGTFELWDLDFTISPSISV >Potri.010G041100.5.v4.1 pep chromosome:Pop_tri_v4:10:7201450:7209924:-1 gene:Potri.010G041100.v4.1 transcript:Potri.010G041100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041100.v4.1 MVGKFGKCILCCRSQVSKEEDMANESYEDAIAGLSKLLSEKADLGSVAAAKIKQITAELEAASSKGFDPVERIKTGFVQFRTEKYEKNPDLYGALAKGQSPKFMVFACSDSRVCPSHILNFQPGEAFMIRNIANMVPPYDKTKYSGVGAAIEYAVLHLKVENIVVIGHSCCGGIKGLMSIPDDGSTASDFIENWVKICSAAKSTVAKKAGSLTFEEQCHNCEKEAVNVSLGNLLTYPFVRDAVVNDAVSLKGAHYDFVKGTFELWDLDFTISPSISV >Potri.001G304800.1.v4.1 pep chromosome:Pop_tri_v4:1:31436804:31439060:-1 gene:Potri.001G304800.v4.1 transcript:Potri.001G304800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCOAOMT2 MAANGEEQQTQAGRHQEVGHKSLLQSDALYQYILETSVYPREPECMKELRELTAKHPWNIMTTSADEGQFLNMLLKLINAKNTMEIGVFTGYSLLATALAIPEDGKILAMDINRENYELGLPVIQKAGLEHKIEFKEGPALPVLDQMIEDGKYHGTYDFIFVDADKDNYINYHKRLIELVKVGGLIGYDNTLWNGSVVAPADAPMRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIK >Potri.019G088401.1.v4.1 pep chromosome:Pop_tri_v4:19:12725385:12728218:1 gene:Potri.019G088401.v4.1 transcript:Potri.019G088401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088401.v4.1 MGISRLGFIAGLIWFMAMDWQGLCMAQSNVHHYNFVLQNAQFTRLCETKTMLTVNGSFPGPTIHARRGDTIYVNVHNEGDYGVTIHWHGVKQPRNPWSDGPENITQCPIQPGKNFTYEVILSDEEGTLWWHAHSDWTRATVYGAIVISPASGTTYPFPAPYAEQTIIIGSWFKGDVKAVIDEALATGLVPNISNSLTINGQPGDLYPCSDKNTYRLKVNSGRTYLLRVINAVMNEEQFFGIAGHSLTVVGQDAAYIKPITTNYIMITPGQTMDILVTANQPPSYYYIASHSFVDGAGIAFDNTTTTAIFQYNGNYSRPSPIPLPVLPVFNDTAAAENYTSRVRGLASRDHPVNVPQTINRHLYITIALNFLPCTEATCNSSTRLAASMNNISFAAKPIDILKAYYESIGGVFDADFPSEPQKYFNFTGNVTSINVATARGTKVAMLNYGEAVEIVFQGTNLLAEMNHPIHLHGFSFYLVGHGKGNFNNETDPKSYNLIDPPEINTVALRRSGWAAIRFVANNPGVWFIHCHLEKHSSWGMDTVLIVRNGSTTAQSMRPPPATLPSCS >Potri.010G253100.7.v4.1 pep chromosome:Pop_tri_v4:10:22476751:22482699:-1 gene:Potri.010G253100.v4.1 transcript:Potri.010G253100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253100.v4.1 MAAMEGVMQDQSQLQNQQQQQMVVPERLNHAVQQQLNLESVKTRAISLFKALTRILEDFDAYARTNTTPKWQDILGQYSMVNLELFSIVDEIKKVSKAFVVHPKNVNAENATILPVMLSSKLLPEMEMDDNSKREHLLQGMQSLPISSQIEKLKARIDMIAAACEGAEKVLADTRKAYQFGTRQGPTTLPTLDKAQAAKILEQENLLRAAVNGGEGLRVTGDQRQMTSALPVHLVDVLAVSDGMHSFSDSSGMYMKNTPPISNSINNQGSLLQQPTGTPLHGRSAASPSAATSATSFDHTTPSPLPYANSPRSGTNMMNTPSPQQQVQQQQQQQQQQQQRQKILLAQQQLRQSSLQALGQNQMSQMHDLQSQGQQKFQPLHGQHQMQYSQPVGHQQFQSRQLSSGHVQHGMGQSQLNQGNQLNRHLSQFSSAANTSLFNTGQGAPNTQMVRYVDYF >Potri.010G253100.1.v4.1 pep chromosome:Pop_tri_v4:10:22476739:22482699:-1 gene:Potri.010G253100.v4.1 transcript:Potri.010G253100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253100.v4.1 MAAMEGVMQDQSQLQNQQQQQMVVPERLNHAVQQQLNLESVKTRAISLFKALTRILEDFDAYARTNTTPKWQDILGQYSMVNLELFSIVDEIKKVSKAFVVHPKNVNAENATILPVMLSSKLLPEMEMDDNSKREHLLQGMQSLPISSQIEKLKARIDMIAAACEGAEKVLADTRKAYQFGTRQGPTTLPTLDKAQAAKILEQENLLRAAVNGGEGLRVTGDQRQMTSALPVHLVDVLAVSDGMHSFSDSSGMYMKNTPPISNSINNQGSLLQQPTGTPLHGRSAASPSAATSATSFDHTTPSPLPYANSPRSGTNMMNTPSPQQQVQQQQQQQQQQQQRQKILLAQQQLRQSSLQALGQNQMSQMHDLQSQGQQKFQPLHGQHQMQYSQPVGHQQFQSRQLSSGHVQHGMGQSQLNQGNQLNRHLSQFSSAANTSLFNTGQGAPNTQMIPNMSSTMSSQPPVTRMQFGLAGNNPQRSLASTVLNDQMYNMGVSNPGGMMPMQQQQQQLGSQGAFGNMQPNAQNLQSSMAALQNASQNHPNFAQQRQQNQQ >Potri.010G253100.3.v4.1 pep chromosome:Pop_tri_v4:10:22476721:22482702:-1 gene:Potri.010G253100.v4.1 transcript:Potri.010G253100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253100.v4.1 MAAMEGVMQDQSQLQNQQQQQMVVPERLNHAVQQQLNLESVKTRAISLFKALTRILEDFDAYARTNTTPKWQDILGQYSMVNLELFSIVDEIKKVSKAFVVHPKNVNAENATILPVMLSSKLLPEMEMDDNSKREHLLQGMQSLPISSQIEKLKARIDMIAAACEGAEKVLADTRKAYQFGTRQGPTTLPTLDKAQAAKILEQENLLRAAVNGGEGLRVTGDQRQMTSALPVHLVDVLAVSDGMHSFSDSSGMYMKNTPPISNSINNQGSLLQPTGTPLHGRSAASPSAATSATSFDHTTPSPLPYANSPRSGTNMMNTPSPQQQVQQQQQQQQQQQQRQKILLAQQQLRQSSLQALGQNQMSQMHDLQSQGQQKFQPLHGQHQMQYSQPVGHQQFQSRQLSSGHVQHGMGQSQLNQGNQLNRHLSQFSSAANTSLFNTGQGAPNTQMIPNMSSTMSSQPPVTRMQFGLAGNNPQRSLASTVLNDQMYNMGVSNPGGMMPMQQQQQQLGSQGAFGNMQPNAQNLQSSMAALQNASQNHPNFAQQRQQNQQ >Potri.010G253100.8.v4.1 pep chromosome:Pop_tri_v4:10:22476691:22480567:-1 gene:Potri.010G253100.v4.1 transcript:Potri.010G253100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253100.v4.1 MMNTPSPQQQVQQQQQQQQQQQQRQKILLAQQQLRQSSLQALGQNQMSQMHDLQSQGQQKFQPLHGQHQMQYSQPVGHQQFQSRQLSSGHVQHGMGQSQLNQGNQLNRHLSQFSSAANTSLFNTGQGAPNTQMIPNMSSTMSSQPPVTRMQFGLAGNNPQRSLASTVLNDQMYNMGVSNPGGMMPMQQQQQQLGSQGAFGNMQPNAQNLQSSMAALQNASQNHPNFAQQRQQNQQ >Potri.010G128900.1.v4.1 pep chromosome:Pop_tri_v4:10:14543665:14546219:-1 gene:Potri.010G128900.v4.1 transcript:Potri.010G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128900.v4.1 MDFAEKMQRCHEYVEALEEERRKIQVFERELPLCLELVTQAIEACKRELSGTTEDHNMHGQSECSEQTSSEGPVLEEFIPIKRTHSSDDEENDNNHDDDDHQEQQSQNDNKRNKSNSSISNNDHKKKSDWLRSVQLWNQSPDPPQKQDLPRKAAVTEVKRNGAGGAFQPFHREKSVGKSSNQAISKAPPSVPASATSSIAGAVTGGTGGGGNKKEDKEKGNQRKQRRCWSPELHRRFLHSLQQLGGSHAATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPTIHTNSSQQAPQFVVVGGIWVPPTEYAAVAATTTAGETSTISAANGIYAPIAAPPPAVPQNRQHKQSEHSQSEGRGSHGERGGAHSNNSPATSSSTHTTTTSPVF >Potri.004G138000.1.v4.1 pep chromosome:Pop_tri_v4:4:15955411:15956886:-1 gene:Potri.004G138000.v4.1 transcript:Potri.004G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138000.v4.1 MGRSPCCEKEHTNKGAWTKEEDERLVNYIKAQGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSDEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLFTRGIDPQTHRPLKSTTTTTSSSTTTTTTTNSTNNKNSKLDTKRSTDFQLEEQNYSFLQVQPEFTMSNMTKTENNSSIIKVGGSSDSAEDSNSSSGVTTELEVYPDHKLVNLELSIGLPCQSLVSSMNNLKQAKQQEQEEVVTHQLFETSTTPTSSAPVSVHKAACLCYKLGFKNSQACSSCNAMEKTVTADNLHRFYRPLDA >Potri.012G086200.1.v4.1 pep chromosome:Pop_tri_v4:12:11166008:11166250:1 gene:Potri.012G086200.v4.1 transcript:Potri.012G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086200.v4.1 MSRGSAYQTWQRQGSNTTSGDYHDYISTLSKTPSIIHGAPQYPSVHKAFNNKVTQDEEVNEVRQDNVNKKNPPGLPGRFG >Potri.001G068600.6.v4.1 pep chromosome:Pop_tri_v4:1:5157948:5161709:1 gene:Potri.001G068600.v4.1 transcript:Potri.001G068600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068600.v4.1 MGSGEIKSPEGLPETPATYDGVSNPIHSKKLGIYFLESDNRRMAFGRGYTGGTTPVDIHGKPIPDLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYIMHRPFTSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATMNIFMPDQGQCDQLSLLLGNCEPAKSWQMLYLNTVLYVTGFGAAGIRPCVSSFGADQFDERGENYKSHLDRFFNFFYLSVTIGAIVAFTLVVYIQMKHGWGSAFGSLAIAMGMSNMLFFIGTPLYRHRLPGGSPLTRVAQVLVAAFQKRKASFSSSELIGLYEVPGKRSAIKGSGKIAHTDDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILIKLVPIPACTIMLNLILTEYLTLSVQQAYTLNTHLGHLKLPVTSMPVFPCLSIFLILSLYYSVFVPIFRRITGHPRGASQLQRVGIGLAFSILSVAWAAIFERYRRKYAIEHGYEFSFLTPMPNLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGIGCFAASILNSIVKSVTGNPDKRQQSWLSQNINTGRFEYFYWLLTVLSAINFCAFLYSARRYKYRAEQKFGIQEVVTNKQNTPARG >Potri.001G068600.5.v4.1 pep chromosome:Pop_tri_v4:1:5158180:5161798:1 gene:Potri.001G068600.v4.1 transcript:Potri.001G068600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068600.v4.1 MGSGEIKSPEGLPETPATYDGVSNPIHSKKLGIYFLESDNRRMAFGRGYTGGTTPVDIHGKPIPDLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYIMHRPFTSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATMNIFMPDQGQCDQLSLLLGNCEPAKSWQMLYLNTVLYVTGFGAAGIRPCVSSFGADQFDERGENYKSHLDRFFNFFYLSVTIGAIVAFTLVVYIQMKHGWGSAFGSLAIAMGMSNMLFFIGTPLYRHRLPGGSPLTRVAQVLVAAFQKRKASFSSSELIGLYEVPGKRSAIKGSGKIAHTDDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILIKLVPIPACTIMLNLILTEYLTLSVQQAYTLNTHLGHLKLPVTSMPVFPCLSIFLILSLYYSVFVPIFRRITGHPRGASQLQRVGIGLAFSILSVAWAAIFERYRRKYAIEHGYEFSFLTPMPNLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGIGCFAASILNSIVKSVTGNPDKRQQSWLSQNINTGRFEYFYWLLTVLSAINFCAFLYSARRYKYRAEQKFGIQEVVTNKQNTPARG >Potri.001G068600.7.v4.1 pep chromosome:Pop_tri_v4:1:5158224:5161563:1 gene:Potri.001G068600.v4.1 transcript:Potri.001G068600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068600.v4.1 MGSGEIKSPEGLPETPATYDGVSNPIHSKKLGIYFLESDNRRMAFGRGYTGGTTPVDIHGKPIPDLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYIMHRPFTSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATMNIFMPDQGQCDQLSLLLGNCEPAKSWQMLYLNTVLYVTGFGAAGIRPCVSSFGADQFDERGENYKSHLDRFFNFFYLSVTIGAIVAFTLVVYIQMKHGWGSAFGSLAIAMGMSNMLFFIGTPLYRHRLPGGSPLTRVAQVLVAAFQKRKASFSSSELIGLYEVPGKRSAIKGSGKIAHTDDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILIKLVPIPACTIMLNLILTEYLTLSVQQAYTLNTHLGHLKLPVTSMPVFPCLSIFLILSLYYSVFVPIFRRITGHPRGASQLQRVGIGLAFSILSVAWAAIFERYRRKYAIEHGYEFSFLTPMPNLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGIGCFAASILNSIVKSVTGNPDKRQQSWLSQNINTGRFEYFYWLLTVLSAINFCAFLYSARRYKYRAEQKFGIQEVVTNKQNTPARG >Potri.001G068600.1.v4.1 pep chromosome:Pop_tri_v4:1:5157772:5161798:1 gene:Potri.001G068600.v4.1 transcript:Potri.001G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068600.v4.1 MGLHRGTIEVACETFTHLSSGTAARELARDMGSGEIKSPEGLPETPATYDGVSNPIHSKKLGIYFLESDNRRMAFGRGYTGGTTPVDIHGKPIPDLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYIMHRPFTSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATMNIFMPDQGQCDQLSLLLGNCEPAKSWQMLYLNTVLYVTGFGAAGIRPCVSSFGADQFDERGENYKSHLDRFFNFFYLSVTIGAIVAFTLVVYIQMKHGWGSAFGSLAIAMGMSNMLFFIGTPLYRHRLPGGSPLTRVAQVLVAAFQKRKASFSSSELIGLYEVPGKRSAIKGSGKIAHTDDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILIKLVPIPACTIMLNLILTEYLTLSVQQAYTLNTHLGHLKLPVTSMPVFPCLSIFLILSLYYSVFVPIFRRITGHPRGASQLQRVGIGLAFSILSVAWAAIFERYRRKYAIEHGYEFSFLTPMPNLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGIGCFAASILNSIVKSVTGNPDKRQQSWLSQNINTGRFEYFYWLLTVLSAINFCAFLYSARRYKYRAEQKFGIQEVVTNKQNTPARG >Potri.001G068600.4.v4.1 pep chromosome:Pop_tri_v4:1:5157767:5161698:1 gene:Potri.001G068600.v4.1 transcript:Potri.001G068600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068600.v4.1 MGSGEIKSPEGLPETPATYDGVSNPIHSKKLGIYFLESDNRRMAFGRGYTGGTTPVDIHGKPIPDLSKTGGWIAALFIFGNEMAERMAYFGLSVNMVAFMFYIMHRPFTSSSNAVNNFLGISQVSSVLGGFLADAYLGRYWTIAIFTTIYLAGLTGITLCATMNIFMPDQGQCDQLSLLLGNCEPAKSWQMLYLNTVLYVTGFGAAGIRPCVSSFGADQFDERGENYKSHLDRFFNFFYLSVTIGAIVAFTLVVYIQMKHGWGSAFGSLAIAMGMSNMLFFIGTPLYRHRLPGGSPLTRVAQVLVAAFQKRKASFSSSELIGLYEVPGKRSAIKGSGKIAHTDDFRCLDKAALQLKEDGVDPSPWRLCTVTQVEEVKILIKLVPIPACTIMLNLILTEYLTLSVQQAYTLNTHLGHLKLPVTSMPVFPCLSIFLILSLYYSVFVPIFRRITGHPRGASQLQRVGIGLAFSILSVAWAAIFERYRRKYAIEHGYEFSFLTPMPNLSAYWLLIQYCLIGIAEVFCIVGLLEFLYEEAPDAMKSIGSAYAALAGGIGCFAASILNSIVKSVTGNPDKRQQSWLSQNINTGRFEYFYWLLTVLSAINFCAFLYSARRYKYRAEQKFGIQEVVTNKQNTPARG >Potri.017G059500.1.v4.1 pep chromosome:Pop_tri_v4:17:4830653:4831045:-1 gene:Potri.017G059500.v4.1 transcript:Potri.017G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059500.v4.1 MSKLKFLPNTPPFHLSLLFFLTLFSVCNADSVLGLSSMKTSEVDATTVSRGCSNKIGECFEETEMESEISRRVLLMQKRYISYGTLKRDMVPCNKPGASYYDCNARQAHPYSRGCEVITRCARSINDINN >Potri.017G059500.2.v4.1 pep chromosome:Pop_tri_v4:17:4830154:4831045:-1 gene:Potri.017G059500.v4.1 transcript:Potri.017G059500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059500.v4.1 MSKLKFLPNTPPFHLSLLFFLTLFSVCNADSVLGLSSMKTSEVDATTVSRGCSNKIGECFEETEMESEISRRVLLMQKRYISYGTLKRDMVPCNKPGASYYDCNARQAHPYSRGCEVITRYAYGGKANAISIFQSQYPSTLFDRL >Potri.008G078600.6.v4.1 pep chromosome:Pop_tri_v4:8:4864874:4871967:-1 gene:Potri.008G078600.v4.1 transcript:Potri.008G078600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078600.v4.1 MASRAILRRKGSLFDSLSEPNYLIRVFSSFKYGLGLPQLNDSQELRWVTSHPFANTDSRIEGGLSSAAGFLRRNSFGLGHGIRNGDFVSSLGIGCYSQSLRYASIATAGKPEYGHGNNRNEQQATKQVKEASPEECDEAVEDLTEVKAKAKAKQVRESQKSAKTVMQKIWAKLLGIGPALRAIASMSREDWAKKLHHWKDEFKSTLQHYWLGTKLLWADVRIGSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDRMKEQEALKRKLNARIEYAKFLQDTVKEMAKEVQTSRSGEAKQTAEDLDEFMNKVRTGSRVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRRRLQEIKSDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLHDWLDLSLNRSVPSSLLILSRAFSISGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEELIKEEEEEEEEEQAKMKESVSSQKDVALEEMSIPTARDAREQAKAKTLEKHEQLCELSRALAVLASASSVSREREEFLRLVKKEIDLYNNMVDKEGTEGEEEAKKAYKAAREESDQAAETAISDKISSALINRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQELISNLSKDREGKILVEDIVRLGSEMKDADAAAEEGKS >Potri.008G078600.5.v4.1 pep chromosome:Pop_tri_v4:8:4864940:4872464:-1 gene:Potri.008G078600.v4.1 transcript:Potri.008G078600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078600.v4.1 MASRAILRRKGSLFDSLSEPNYLIRVFSSFKYGLGLPQLNDSQELRWVTSHPFANTDSRIEGGLSSAAGFLRRNSFGLGHGIRNGDFVSSLGIGCYSQSLRYASIATAGKPEYGHGNNRNEQQATKQVKEASPEECDEAVEDLTEVKAKAKAKQVRESQKSAKTVMQKIWAKLLGIGPALRAIASMSREDWAKKLHHWKDEFKSTLQHYWLGTKLLWADVRIGSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDRMKEQEALKRKLNARIEYAKFLQDTVKEMAKEVQTSRSGEAKQTAEDLDEFMNKVRTGSRVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRRRLQEIKSDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLHDWLDLSLNRSVPSSLLILSRAFSISGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEELIKEEEEEEEEEQAKMKESVSSQKDVALEEMSIPTARDAREQAKAKTLEKHEQLCELSRALAVLASASSVSREREEFLRLVKKEIDLYNNMVDKEGTEGEEEAKKAYKAAREESDQAAETAISDKISSALINRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQELISNLSKDREGKILVEDIVRLGSEMKDADAAAEEGKS >Potri.008G078600.7.v4.1 pep chromosome:Pop_tri_v4:8:4864946:4872468:-1 gene:Potri.008G078600.v4.1 transcript:Potri.008G078600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078600.v4.1 MASRAILRRKGSLFDSLSEPNYLIRVFSSFKYGLGLPQLNDSQELRWVTSHPFANTDSRIEGGLSSAAGFLRRNSFGLGHGIRNGDFVSSLGIGCYSQSLRYASIATAGKPEYGHGNNRNEQQATKQVKEASPEECDEAVEDLTEVKAKAKAKQVRESQKSAKTVMQKIWAKLLGIGPALRAIASMSREDWAKKLHHWKDEFKSTLQHYWLGTKLLWADVRIGSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDRMKEQEALKRKLNARIEYAKFLQDTVKEMAKEVQTSRSGEAKQTAEDLDEFMNKVRTGSRVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRRRLQEIKSDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLHDWLDLSLNRSVPSSLLILSRAFSISGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEELIKEEEEEEEEQAKMKESVSSQKDVALEEMSIPTARDAREQAKAKTLEKHEQLCELSRALAVLASASSVSREREEFLRLVKKEIDLYNNMVDKEGTEGEEEAKKAYKAAREESDQAAETAISDKISSALINRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQELISNLSKDREGKILVEDIVRLGSEMKDADAAAEEGKS >Potri.008G078600.1.v4.1 pep chromosome:Pop_tri_v4:8:4864838:4872546:-1 gene:Potri.008G078600.v4.1 transcript:Potri.008G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078600.v4.1 MASRAILRRKGSLFDSLSEPNYLIRVFSSFKYGLGLPQLNDSQELRWVTSHPFANTDSRIEGGLSSAAGFLRRNSFGLGHGIRNGDFVSSLGIGCYSQSLRYASIATAGKPEYGHGNNRNEQQATKQVKEASPEECDEAVEDLTEVKAKAKAKQVRESQKSAKTVMQKIWAKLLGIGPALRAIASMSREDWAKKLHHWKDEFKSTLQHYWLGTKLLWADVRIGSRLLVKLANGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDRMKEQEALKRKLNARIEYAKFLQDTVKEMAKEVQTSRSGEAKQTAEDLDEFMNKVRTGSRVSNEEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPYGTDAYLRYMLRRRLQEIKSDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVEEMRQQLHDWLDLSLNRSVPSSLLILSRAFSISGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEELIKEEEEEEEEEQAKMKESVSSQKDVALEEMSIPTARDAREQAKAKTLEKHEQLCELSRALAVLASASSVSREREEFLRLVKKEIDLYNNMVDKEGTEGEEEAKKAYKAAREESDQAAETAISDKISSALINRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQELISNLSKDREGKILVEDIVRLGSEMKDADAAAEEGKS >Potri.001G132900.1.v4.1 pep chromosome:Pop_tri_v4:1:10813450:10822764:1 gene:Potri.001G132900.v4.1 transcript:Potri.001G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132900.v4.1 MSKVIFEGWMVRYGRRKIGRSFIHMRYFVLEPTLLAYYKKKPEDNQVPIKTLLIDGNCRVEDRGLKTQHGHMVYVLSVYNKKDKYNRITMAAFNIQEQLMWKGKIEFVIDQHQESQVPNGNKYASFEYKSGMDNGRTASSSDCEIQFIAQEDEDESHTNLLRRTTIGNGPPASVFDWTQEFDSDLTNQNANNQAFSRKHWRLLQCQNGLRIFEELLEVEYLPRSCSRAMKAVGVVEASCEEIFELIMSMDAKRFEWDCSFQHGSLVEEVDGHTAILYHRLQLDWFPIFVWPRDLCYVRYWRRNDDGSYVVLFRSRVHEKCDPQPGYVRANIESGGFIISPLKPCNEKPRTQVQHLMQIDLKGWGVGYVSSFQQHCLLQMLNSVAGLRELFSQTDERGAPPRIAVMANMASASAPSKKNVKVPESSVHPTPPSLDQINAASRHSVMDEDTDDDEEFPIAEEEQEAFRAKHENDAKRTALEEESVDQIDLSCFSGNLRRDDRDNARDCWRISDGNNFRVRSKRFCFDKSKVPAGKHLMDLVAVDWFKDTKRMDHVARRQGCAAQVASEKGHFSVVFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRNSRFKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTEELPERLIGAVRVSHIELSSAIVPKLDPDPS >Potri.003G205500.5.v4.1 pep chromosome:Pop_tri_v4:3:20450961:20454272:1 gene:Potri.003G205500.v4.1 transcript:Potri.003G205500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205500.v4.1 MDRDETGCQAPPERPILCINNCGFFGSAATMNMCSKCHKDMLLKQEQTKLAASSIGSIVNGSASSNVNEPVIADTINVQINAVEPKTITVQPSCASVSGERVEAKPKEGPSRCTSCKKRVGLTGFKCRCGDLFCASHRYSDKHDCPFDYRTAAREAIAKANPVVKAEKLDKI >Potri.003G205500.7.v4.1 pep chromosome:Pop_tri_v4:3:20453291:20454360:1 gene:Potri.003G205500.v4.1 transcript:Potri.003G205500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205500.v4.1 MQEKQKMDRDETGCQAPPERPILCINNCGFFGSAATMNMCSKCHKDMLLKQEQTKLAASSIGSIVNGSASSNVNEPVIADTINVQINAVEPKTITVQPSCASVSGERVEAKPKEGPSRCTSCKKRVGLTGFKCRCGDLFCASHRYSDKHDCPFDYRTAAREAIAKANPVVKAEKLDKI >Potri.018G140600.1.v4.1 pep chromosome:Pop_tri_v4:18:14572855:14573558:1 gene:Potri.018G140600.v4.1 transcript:Potri.018G140600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140600.v4.1 MSIVPIGNQGGAITNPASLDTWDPEDFFTSLDLWDPFQNFPFPSLFSTHFPAFPTQTQVNWKETSRAHVFRAVFPGFGREDVLVYIDDDDMLQISTEDGKFMSKFKLPDNARRDQIKADMVNGVLAVTIPKQEVASYRPDVRVVEIEGSD >Potri.014G152100.1.v4.1 pep chromosome:Pop_tri_v4:14:10642834:10645144:-1 gene:Potri.014G152100.v4.1 transcript:Potri.014G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152100.v4.1 MNQFCQIMFRFNPRRKIQRHISIIRRCILRIWDHVLICSLGKPVRYRRMLSHRSLATDETMPSATPPPICHNHHDNDSDLVALKISLLGDCQIGKTSFLAKYVGNEKDEGVKHNNGLKLMKKTLMVKDARISYSLWELDGDEGLEQQIPVACKGSVAILIMFDLTSRVTLNSVIRWYQEARRWNQTAVPVIIGTKFDDFIQLPIDLQWTIASQARAYAKVLNATLFFSSATYNINVNKIFKFITAKLFDLPWTLERNLTIGEPIIDF >Potri.008G167700.1.v4.1 pep chromosome:Pop_tri_v4:8:11590117:11591204:1 gene:Potri.008G167700.v4.1 transcript:Potri.008G167700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167700.v4.1 MDSVQDFNSAATNPIITIPLATTNTASPSSSSSITTTTSPTTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPENNPFGARAVRLYLREVRDSQSKARGISYEKKKRKRPPQQQNPASLMPPQAPPESTTSASENYQ >Potri.005G106200.8.v4.1 pep chromosome:Pop_tri_v4:5:7666903:7673806:1 gene:Potri.005G106200.v4.1 transcript:Potri.005G106200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106200.v4.1 MSIEEDDDVESCGSRAVESYSATANPSARHHHHHQRQKLEVYNEVLKRIQDSNFEEANVPGFDDHLWLHFNRLPARYVMDVNVERAEDVLMHKRLLQLAENPANRPAFEVHLVQVYPSWNGNFNDPVHSDPTMKEDAQSSYFTNKQGMLPPPTFGSSPHLEAFQAFRYNVEDGDGAINSTPCRSRPMHEITFSTVDRPKLLSQLTSLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWLCEETEELKNALEKEILKAKDQCFPNQLSVSLVGEQNKTGVKSLLDNVQIPSDGTLLDNVQIPSDGTDVWEIHTSQLKVENKVASGSYGDLYRGIYCSQEVAIKVLKPERVSAEMLREFSQEVYIMRKVRHKNVVQLIGACTRSPNLCIVTEFMAKGSLYNFLHKQKGVFKLPSLIKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDYKADVFSFGIVMWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKHTYPKLAELLERCWQRDPTQRPNFSQIIDILQQIAKEVGDEREDGRRINHPMAFSQN >Potri.005G106200.3.v4.1 pep chromosome:Pop_tri_v4:5:7666867:7673960:1 gene:Potri.005G106200.v4.1 transcript:Potri.005G106200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106200.v4.1 MSIEEDDDVESCGSRAVESYSATANPSARHHHHHQRQKLEVYNEVLKRIQDSNFEEANVPGFDDHLWLHFNRLPARYVMDVNVERAEDVLMHKRLLQLAENPANRPAFEVHLVQVYPSWNGNFNDPVHSDPTMKEDAQSSYFTNKQGMLPPPTFGSSPHLEAFQAFRYNVEDGDGAINSTPCRSRPMHEITFSTVDRPKLLSQLTSLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWLCEETEELKNALEKEILKAKDQCFPNQLSVSLVGEQNKTGVKSLLDNVQIPSDGTLLDNVQIPSDGTDVWEIHTSQLKVENKVASGSYGDLYRGIYCSQEVAIKVLKPERVSAEMLREFSQEVYIMRKVRHKNVVQLIGACTRSPNLCIVTEFMAKGSLYNFLHKQKGVFKLPSLIKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDYKADVFSFGIVMWELLTGELPYSYLTPLQAAVGVVQKGLRPTIPKHTYPKLAELLERCWQRDPTQRPNFSQIIDILQQIAKEVGDEREDGRRINHPMAFSQN >Potri.005G112700.1.v4.1 pep chromosome:Pop_tri_v4:5:8165476:8167781:-1 gene:Potri.005G112700.v4.1 transcript:Potri.005G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112700.v4.1 MARPQPYISLFFFSFLLIVSGGYSSTFTIINKCNYQVWPGMLSNAGTPQLPTTGFVLQPGESNSISFPESWSGRLWGRTLCTQDSTAGKFSCLTGDCGSSTLECSGGGAAPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLITPQSGTGGNCTATGCVVDLNNACPNELKVIDSGNGENLACRSACDAFGDPEYCCSGAYATPDTCKPSSYSQFFKNACPRAYSYAYDDGTSTFTCAGADYVITFCPAPTTSQKSANGQQNPLAAHVSAGSHNTSPYFIGGFITIAVAIWQLF >Potri.008G053150.1.v4.1 pep chromosome:Pop_tri_v4:8:3133683:3133992:1 gene:Potri.008G053150.v4.1 transcript:Potri.008G053150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053150.v4.1 MIKMNSPSIICHTHHTSSLRKYHLPVCTQERNHYRPISTHSPSRNGNILIVVLWEIFLFVRRIKFNILVIFHDRC >Potri.010G218700.1.v4.1 pep chromosome:Pop_tri_v4:10:20489881:20492572:1 gene:Potri.010G218700.v4.1 transcript:Potri.010G218700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218700.v4.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGAK >Potri.010G218700.3.v4.1 pep chromosome:Pop_tri_v4:10:20489881:20492668:1 gene:Potri.010G218700.v4.1 transcript:Potri.010G218700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218700.v4.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGAK >Potri.005G036400.2.v4.1 pep chromosome:Pop_tri_v4:5:2333847:2340034:-1 gene:Potri.005G036400.v4.1 transcript:Potri.005G036400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036400.v4.1 MGSASSRHRRNHRQNNHLHHRQNPQHPSLPSSSSTTATTPIHQPPSFSDTNNTNNLYPQNSSIPCSNNSLTSTIPPPSSSPLPPPPPPRPPTPPLQQPQSYYFAANAPYSTPMIPTSSAYGSYSYHHPPPPPPPFNNNGWGSYHYHQAGFMGPPLQPSTQVRHHNSGLVQPPRYVEHNPAKTVKNFVNVNKSSIKVVADENNLDSHLVSFTFDAVVDGSITIFYFAKEGDNCTFVPVYPEIYTPRKIPFEKGVGQIFSQPSGTGIDLGFFELDQLSKPSPEEDIFPLVIFAEASSPSLSTSTSQEPDKPLPTMSTHAQITEAVLVKNNEGHFQVKVAKQILWINGIRYELREIFGIANSDGAGVDGETDSGKECIICMTEPKDTAVLPCRHMCLCSGCAKELRSRSDRCPICRQPIQELMEIKVNNCTSS >Potri.005G036400.1.v4.1 pep chromosome:Pop_tri_v4:5:2332853:2340064:-1 gene:Potri.005G036400.v4.1 transcript:Potri.005G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036400.v4.1 MGSASSRHRRNHRQNNHLHHRQNPQHPSLPSSSSTTATTPIHQPPSFSDTNNTNNLYPQNSSIPCSNNSLTSTIPPPSSSPLPPPPPPRPPTPPLQQPQSYYFAANAPYSTPMIPTSSAYGSYSYHHPPPPPPPFNNNGWGSYHYHQAGFMGPPLQPSTQVRHHNSGLVQPPRYVEHNPAKTVKNFVNVNKSSIKVVADENNLDSHLVSFTFDAVVDGSITIFYFAKEGDNCTFVPVYPEIYTPRKIPFEKGVGQIFSQPSGTGIDLGFFELDQLSKPSPEEDIFPLVIFAEASSPSLSTSTSQEPDKPLPTMSTHAQITEAVLVKNNEGHFQVKVAKQILWINGIRYELREIFGIANSDGAGVDGETDSGKECIICMTEPKDTAVLPCRHMCLCSGCAKELRSRSDRCPICRQPIQELMEIKVNNSHPE >Potri.010G175900.2.v4.1 pep chromosome:Pop_tri_v4:10:17617219:17620976:1 gene:Potri.010G175900.v4.1 transcript:Potri.010G175900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175900.v4.1 MSSKPDKGPDSSEMSCMPRKVVESETKKMEGFSKSIDANIICNNPLYQEPKKTDLIGKYSASSGSGSPRETRFTKITENVTFNRHLDPKKMDPLSRPVGNASANQSAIQSPRTPSKSQISTTSAAAGATATAALPANMKTNEAKTFSSITNHANLSGRSSTRSNSLESSGGANKPHTGGDVRWEAIQFATARGTIGLSNFRLLKRLGYGDIGSVYLVELRGTNAHFAMKVMDKASLASRNKLLRAQTEKEILGLLDHPFLPTLYTYFETDKFYCIVMEFCSGGNLHSLRQKQPNKHFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENLLVRDEGHIMLSDFDLSLRCSVSPTLVKSSSVHVNSGSGGGGGGILDDESVVQGCMQPSTFFPRILPGKKNRKSKSDFGLFVGGSMPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGIFLYELLHGTTPFKGQGNRATLFNVVGQPLRFPENPQVSMVARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGVNWALVRSALPPNIPEPVDFSQYASKEAPPADKKGLDVGGDKNDVSPPENKNESYIEFEYF >Potri.001G177700.3.v4.1 pep chromosome:Pop_tri_v4:1:15450444:15467384:-1 gene:Potri.001G177700.v4.1 transcript:Potri.001G177700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177700.v4.1 MDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLFDSTSKSTAEEDDEMSKLPPSQRKKMRQKQKKAEARAKKEAEVRNEESSASGVSKLGKRHVKPVDQDPNGEKLLQVEDPLLEATKYLKLLQKHSPDSLETHLLSFNVNMRKKKILLALQAVKQLLRLDAESADSHRCLVRFFHTVGTMTAPVTDTEKLVWSVLEAERPLISQLHEKSLTEANMIFFEKHEDSLMHRAAVAEMLSVLEPNKKLEAVKLIEDSTNDPAPTNGALGPVNEWKLKDCIAVHKLLVEVLNDPDAALRWKLRCAQYFPCSTYFEGKCSSAASNSVYGQIAKSPENGGSNHSDGGEIADFVESNGKLETFKDLTIRT >Potri.001G177700.2.v4.1 pep chromosome:Pop_tri_v4:1:15450444:15467384:-1 gene:Potri.001G177700.v4.1 transcript:Potri.001G177700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177700.v4.1 MDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLFDSTSKSTAEEDDEMSKLPPSQRKKMRQKQKKAEARAKKEAEVRNEESSASGVSKLGKRHVKPVDQDPNGEKLLQVEDPLLEATKYLKLLQKHSPDSLETHLLSFNVNMRKKKILLALQAVKQLLRLDAESADSHRCLVRFFHTVGTMTAPVTDTEKLVWSVLEAERPLISQLHEKSLTEANMIFFEKHEDSLMHRAAVAEMLSVLEPNKKLEAVKLIEDSTNDPAPTNGALGPVNEWKLKDCIAVHKLLVEVLNDPDAALRWKLRCAQYFPCSTYFEGKCSSAASNSVYGQIAKSPENGGSNHSDGGEIADFVESNGKLETFKDLTIRT >Potri.018G127900.2.v4.1 pep chromosome:Pop_tri_v4:18:13888683:13892019:1 gene:Potri.018G127900.v4.1 transcript:Potri.018G127900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127900.v4.1 MAPRLLRLAYRRVTFKVVFFILLILVPICVIGILTHAQHVSYFLRPLWDNPPPPFKHLPHYYAENVSMEHLCHLHGWSLRSEPRRVFDAIIFSNELDVLEIRWHELYPYITKFVILESNTTFTGIPKPLFFDSNRSRFAFAKEKIVHGVFSGRIAARGKNEDPFVLEFEQRKAMSGLLRSSGISYGDLIIMSDADEIPSPHTLKLLQWCDEIPHAMHLELKHYMYSFEFPVDYSSWRATIQIFGPRTGYRHSRQTDLILSDSGWHCSFCFRRLQEFVFKMTAYSHADRVRRNEFLNYSRIQKIICSGDDLFDMLPEEHTFQELIKKMGPIPHSASAVHLPSYLIENADKFRFLLPGNCLRTLE >Potri.010G079300.1.v4.1 pep chromosome:Pop_tri_v4:10:10575218:10578063:1 gene:Potri.010G079300.v4.1 transcript:Potri.010G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079300.v4.1 MAEDQSKQRLGPESVTVHDSEPAETKRNTLPNFLLSVKLKYVKLGYHYLISNAMFLLLIPLLFIASAHLSTLTIQDFVQLWNHLKFNFVSVTVCSGLLVFLATLYFTSRPRKIYLLDFACYKPEPARMCTRETFMETSTLAGSCSEENLAFQKKIIERSGLGQNTYFPEAVMRITPRPGMEDARKEAEMVMFGAIDELLAKTGVKAKDIGILIVNCSLFNPTPSLSAMVVNHYRLRGNILSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVISMENITLNRYFGNDRSMLVSNCLFRMGGAAILLSNRSSDRRRSKYQLIHTVRTHKGADDKCYNCVFQKEDNTRRVGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVGRKIFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWHALRTINPAKEKNPWVDEIDEFPVHVPKVVPIAS >Potri.008G025600.1.v4.1 pep chromosome:Pop_tri_v4:8:1296294:1299279:-1 gene:Potri.008G025600.v4.1 transcript:Potri.008G025600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025600.v4.1 MSEHHHQYGMPDLRQLVAGRSHFQGTQQGGEPFFVQSRNLAAPQTHHFESIMVGHEAMLSSGLVKLGGHHDRYCTNATNINTTNSATIGTSSSAGVGTLYGVEMESATTAGWIGNDGGNNSRWPRQETLTLLEIRSRLDSRFKEANQKGPLWDEVSRIMAEEHGYHRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGEPSNQASASETHFVNNTLLYQAPMSNTINQESQETFQENKHSESLSFSNTSEFETSSSENNDDDLSAIAYNMMNRSTEKQKGINESQSLARPKKSWKLKVKDFVDSQMRKLMEKQDAWMEKMLKTIEDREHERMCREEEWTKQELARFDQEHEFWAKERAWIEARDAALMEALKKHTEKGLELSSSVEQIAVATQRHNKNPDSAVAKKIQKDKFNNITWTEPEILSFIQLRTSMDSRFQENGYSNEGLWEEIAAEMASLGYDRSVDECKEKWESMNIYFNMTTESNKKRKEDLRTSNYFQQLESYNGMNSSPSNSYVGSQVNDNSCFQVQINEGDQHLWNTNKFDLKLNKEKNQQQLWHNK >Potri.001G387500.4.v4.1 pep chromosome:Pop_tri_v4:1:40644195:40649415:-1 gene:Potri.001G387500.v4.1 transcript:Potri.001G387500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387500.v4.1 MRRSHFYSTFILALLLSVACTFQFQAHAAPPAPLIKHLSSLLKWTTTVASSKTPHSDGNVLQFEDGYLVETVVEGNAMGVVPYKIRVSEDGELYAVDEVNSNVVKITPPLSQYSRARLVAGSFQGYTGHIDGKPNEARFNHPRGLTMDDKGNIYVADTLNLAIRKIGDAGVTTIAGGKSNVAGFRDGPSEDAKFSNDFDVVYLHSTCSLLVVDRGNAALRQISLNQEDCDYQSSSFTMTDVIMVVGAVLIGYATCMLQQGFGSSFFSRMKQSSESEFKKKSSKEKPIPIMENMKEEPKWPSFGQLLIDLSKLALEALVGILLCFVPSWNRPGEARTGLTPLKDSLTLPEDKVEPPSVQSQSTPAPVSESRQVHTPTTSDKYLEGKPPKIKSASFKDPSLLSKHWSSKRQEYAGFYGSGEVPSHGEARTGLTPLKDSLTLPEDKVEPPSVPRQSTPAPVSESRQGHTPTTSDRYSEVKPPKIKSASFKDPSLLSKHRSSKRQEYAEFYGSGEVPSHGRSKNHKEKSRHRQRDKSGEVVFGAVGAEPKPAEMKHVDYESPKFEHYNIRSKYGPDSSYRF >Potri.001G421701.1.v4.1 pep chromosome:Pop_tri_v4:1:44992499:44993494:-1 gene:Potri.001G421701.v4.1 transcript:Potri.001G421701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G421701.v4.1 MESQVYGRVYLEILHAKYRCQPATIHEFLHSRQFTGLLSRDLCHFYCCSLENWNLLKYDISPSRPMMLVLLANLIVVLHLPFHLLFLFLTLDFTMFSLKKVNYRREAPEMGRYAS >Potri.017G130000.1.v4.1 pep chromosome:Pop_tri_v4:17:13295066:13299389:-1 gene:Potri.017G130000.v4.1 transcript:Potri.017G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130000.v4.1 MSLCCGVECVVILGFARWVWKRCTYISGNDSANWTLASPEEFEPIPRMCRLVLAVYEPDLHNPQFIPQHGFRINPDWVIKRVTYEQTQGRAPPYVIYTDHENKEIVLAVRGLNLYKESDYKTLLDNRLGMQMFDGGFVHHGLMKSAVWLLNEEGETLKRLWEESGKEYDMVFAGHSLGSGVVALLTVIAVNHRDKLGGIPREKIRCYAMAPARCMSLNLAVKYADVIHSVILQDDFLPRTATPLEDIFKSIFCLPCLLLLVCLRDTFIPEGRKLRDSRRFYAPGRMYHIVERRFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHGIIWIERESEKALQIMKEIDSGTITTPPKVQKLERMQTVEQEHRDALERAVSLNIPHAVTTPDVELLKDNRTEPSLSEGVQASQFKSTSTGRKTNWDEVVKNLFKKGESGHLVLNKEVSAPTHNISVGQPS >Potri.002G246400.1.v4.1 pep chromosome:Pop_tri_v4:2:23732974:23734721:1 gene:Potri.002G246400.v4.1 transcript:Potri.002G246400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246400.v4.1 MSEFPSPSTTTKKTDRLLSLLLSDLFLFCSFILSHPLYFSYLVFFSPYLFKLLSFLSPLFITTSLLLLALLTISPSLVNDNSHTELYGSKVSFFYLQTYQAVVERLRSKVVDGTEEFHHFEELEAYKIVFETSTLGIEENHAVEVTEVEQAKDQISACSSTGQLVQVHEGSIFHQVFGAGGVSDQVVNVNLDENSVLITRSESNGHELIAEGKTLGGFLHQKEEFEDIWFQKEEKEALKPLNVNSNKAEDRKEEQSMIISGSKEIGQKISEAKVSDDGGGEHYYSPKLSSQELEANPWSPGNGGGYNSKVKDNSQTLGHSNLGSFGSMRKEKEWRRTLACKLFEERHNVDGGEGMDMLWETYETDSTKVQAKGRAKKGKKGSIEYYDDEEDLEEEKSDGQLCCLQALKFSAGKMNLGMGRPNLVKISKALKGIGWLHHVSKHSKKGHH >Potri.013G052700.2.v4.1 pep chromosome:Pop_tri_v4:13:3794811:3799296:1 gene:Potri.013G052700.v4.1 transcript:Potri.013G052700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052700.v4.1 MKPNLLNADSFLIFNSLSSLTRNSIPFPRNSIPLPNHFLSVISPHPNSSRPSRLIPICSSSNPTRKQSTSTNEQVSLNSNVEVLGADELERNLNVQVGNPIVPNYIQSWTKLSLSDQAFFLLSFIACTTSIAFTSLVVAAVPTLYAMGKAATSFSKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSQEITDGVNKSAQAVQAAEAGIRQIGALAHQHTMSMIQERASLPIISLQPVVAGAAKKTSRAVGQATKTIMNIISRGEFNSENEDASAIDRVEI >Potri.004G093250.2.v4.1 pep chromosome:Pop_tri_v4:4:7925422:7927936:1 gene:Potri.004G093250.v4.1 transcript:Potri.004G093250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093250.v4.1 MKMTCQKSMTKPFLTKKPWLGAVKAFEEFRLSIYGDNDDEESAIGNGKANDAAKKKKNQLLKMQLRSLQTTTGQILLIMDMILVFHLCRYRAVKGLKLLGTKSASIVP >Potri.012G000800.1.v4.1 pep chromosome:Pop_tri_v4:12:1062979:1066057:-1 gene:Potri.012G000800.v4.1 transcript:Potri.012G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G000800.v4.1 MNENSNGRGGIGSVESEYIRRHHKHGDLADHQCSSALVKHIKAPVHLVWSLVRRFDQPQKYKPFISRCVVLGNLEIGSLREVDVRSGLPATTSTERLELLDDDEHIFSIRIVGGDHRLKNYSSVISLHPEIIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSESHAVQDRTEPIECM >Potri.016G072700.4.v4.1 pep chromosome:Pop_tri_v4:16:5397848:5403633:1 gene:Potri.016G072700.v4.1 transcript:Potri.016G072700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072700.v4.1 MSCPNLLLSNPRKHATLRLSKVSGHTTELLEIQSDKPTFHVLFIPGNPGVVSFYKDFLESLYELLGGSASVTAIGHISHTEKNWEHGKLFSLQDQIDHKVDFIKQELQNNELPIVLVGHSIGSYISHEILRFLEKVTYLIGLYPFLMLNPLSKQQSNIQNVAESSILSALLSFSVASLGLLPQCTLRFILSKSLGSSWSDTAIDAACSHLLQYHTIRNMLYMALMEFRKLSEMPDWAFMRENHEKIAFLFGVDDHWGPLQMFEEISKQVPGISLSIEREGHTHSFCCTEAGSEWVAHHVASLIKKKISS >Potri.016G072700.5.v4.1 pep chromosome:Pop_tri_v4:16:5397867:5403471:1 gene:Potri.016G072700.v4.1 transcript:Potri.016G072700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072700.v4.1 MSCPNLLLSNPRKHATLRLSKVSGHTTELLEIQSDKPTFHVLFIPGNPGVVSFYKDFLESLYELLGGSASVTAIGHISHTEKNWEHGKLFSLQDQIDHKVDFIKQELQNNELPIVLVGHSIGSYISHEILRFLEKVTYLIGLYPFLMLNPLSKQQSNIQNVAESSILSALLSFSVASLGLLPQCTLRFILSKSLGSSWSDTAIDAACSHLLQYHTIRNMLYMALMEFRKLSEMPDWAFMRENHEKIAFLFGVDDHWGPLQMFEEISKQVPGISLSIEREGHTHSFCCTEAGSEWVAHHVASLIKKKISS >Potri.011G029100.1.v4.1 pep chromosome:Pop_tri_v4:11:2203090:2206212:1 gene:Potri.011G029100.v4.1 transcript:Potri.011G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029100.v4.1 MGSKTIVSLLFHVIIVSITLTGAEVCYNTGNFTANSTYAKNRDLVLRSLASNVTNNGGFYNTTIGLGNDTVYGLVLCMASPSAENCSRCVSSAIQTLTAGCPNQKEAISWGGNPLPCIVHYANRYFLGSLEQSPNSILYNVGILDATFRQFEQFWSGLGETVKNASTGSSRLMPAVETADLPSNQKAYVFMQCTPDVSPSNCSVCLQQSVNDYKSCCYGHQGGIVQKPNCVFRWDLYPIYDLFPQVTSPPPSPSPSPSPPSPSPSPPFVISSPPPTNTTIRKGKENTASRTVIVTIVPTAIFLALVILILTIFCFRKPKQEVKNFDEISITKCWEFKFATIKLATNDFSDDNKLGQGGFGAVYKGILADGQAIAVKRLSSNSGQGEVEFKNEVRLLAKLDHRNLVRLLGFCLEGTEKLLIYEFVPNSSLDQFIHDPNKRFILDWEKRYKIIEGIARGILYLHQDSQLRIIHRDLKPSNILLDGKMNAKISDFGMAKLMKTDQTHDAASRIAGTFGYIAPEYARQRQFSVKSDVFSFGVLVLEIVSGQKPSFRDGDDMEHLTSHAWRRWREGTALDLIDPILRNDSTAAMMRCIHIGLLCVQENVADRPTMASVLLMLSNSSFTLQIPSKPAFFISRRTYQPASSLISYTSRMTQSQLKTVPPSKNEISITELDEGR >Potri.018G106500.2.v4.1 pep chromosome:Pop_tri_v4:18:12531280:12532631:1 gene:Potri.018G106500.v4.1 transcript:Potri.018G106500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106500.v4.1 MAAIDIAILFVAFTSHFTLTMSTTMVALAPAIPKPKRLVTKLIHRDSIFSPRYNANGTIADRARRAMETSIARFVQVSGLVSDSHGIRTTLLLSQPSELFYINFSIGHPPLPQLAIMDSGSSFLWIKCLPCSPCSSKSPISIFDPRKSLTYSSMSCRRYRCNHSKCNSYNECTYNTTYVRGPGSTGIYVFEQLSFETIDDTKIVVPRVLLGCGRNLEVDKGQYNGVFGLGVGRETSLITQLGSQFSYCVAMVDNGVILDSGTAYTWLAQDAYNALSEEVQSLFREMLQRYKGMPNQLCYIGSVREDLSGFPAVTFHFANGAQLVLDTQSSSAVNGDNSKNLSVIGMMAQQNYNVGYDIGQNKLYFQRIDCELLKD >Potri.012G063201.1.v4.1 pep chromosome:Pop_tri_v4:12:7084930:7086463:1 gene:Potri.012G063201.v4.1 transcript:Potri.012G063201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063201.v4.1 MKFLKRLRKAKLLPFQIYEGAVYMHQGKTYVVKELDISEKIALCYEANLHYYTKTRDYTDIDVLGGDIAYPPRAFKNQSSRTAAQALSCKVTTTWFGFYCIQRGSNKVLDTFDLSLPKYSYESQAVWIPVPQSIKKLVEEKQFSFRGGLHAASHALLNVVPLYLR >Potri.010G059000.1.v4.1 pep chromosome:Pop_tri_v4:10:8917240:8926376:1 gene:Potri.010G059000.v4.1 transcript:Potri.010G059000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059000.v4.1 MTPGSSRRNARSRTSSSTEPNDGGDSSFGNTKPRLRDRNKNQNSIRILNVDIKIMLGISVLAFFVIFLLINNIIIKPAGKALRPRVITPFPSPKLMDLPQFQGEHRESLYWGTYRPHVYFGIRARTPRSLIAGLMWIGVKDGMYHMRHVCQDSDGLNTYGWTQHNGRDFGHQVLVDQGLKLATSFLKSKSEGSGYGGDWAVQIDVQTDKSEWDNEMLRHGHLFFYLADESGHVLNLAGDTLDIDKNSLLASGSRSDIGDWQLHLESKDVLELHYSGFRTPHIHNLSDLVQHNLGAQAREFGQLLLSDSSEDSPNILVFQISASIPFKADIAFVSGTEVKNSKVEERVSRLTGASLTSLLQDRKTEFDIKFQRCFNVADKLEPESTIVGKAAIANMLGGIGYFYGQSKISFPENSNLRDNFISYWPAELYTAVPSRPFFPRGFLWDEGFHQLLIWRWDIHICLDIIGHWLDLMNIDGWIPREQILGSEALSKVPEEFVVQYPSNGNPPTLFLVIRDLLDGMEKNKFTATERNGITSFLERAFVRLEAWFQWYNTTQKGKEMGSYYWHGRDNKVTRELNPKTLSSGLDDYPRASHPSDEERHLDLRCWMLLAANCMQSITQLFKKDNKPEKEYGSTAKLLSDFDMLNQMHLDPLVGAYFDFGNHTEKVRLSWKETGVGTRELVRDVIGRPVSRLVPHIGYVSLFPFMGKIIPSDSWILEKQLDLIANSTVFWTDYGLRSLSKTSSMYMKRNTEHDPPYWRGPIWMNMNYMILSALYHYSKESGPYSDRARVIYDDLRGNLIRNVVRNYHQTGFLWEQYDQKKGKGKGARLFTGWTSLVLLIMAEAYT >Potri.001G414077.3.v4.1 pep chromosome:Pop_tri_v4:1:43808834:43812515:1 gene:Potri.001G414077.v4.1 transcript:Potri.001G414077.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414077.v4.1 MGVVEVIFVYSLFFSILKISSALDAMNTTQSLRDGETLVSTGGSFELGFFTPAGSTSRYLGLWYKKSPQTVVWVANRGIPISNKFGTLNVTSQGILVLLNGTNNIVWSSNTSTTVQNPVAQLLDSGNLVVRDGNDNKADNFLWQSFDYPCDTLLPGMKLGSNLVTGLNRFLSSWKGKENPAPGQFTLGIDVQGYPQLILRKETRIMYRVGSWNGQYFTGFPELKPDPIYTFEFVFNRNEVYFKFEFQNSSVFSRLTVTPSGLVQLFTWSHQTNDWYVFATAVVDRCENYALCGANARCDSNSSPVCDCLDGFIPKSPTEWNSQNWTGGCIRRTPLDCTDKDGFQSYTGVKLPDTSSSWYDDSFSLVECEGLCIQNCSCFAYANLDFRGRGSGCLRWFGDLIDTRRLAEGGQDIYIRLAASQSGVTGEKKRKKKTHAGVIGGAVILGSSILILGIVFCIRRRKHRKNGNFEDRKEEEMELPMLDLTTIEHATDNFSSSKKLGEGGFGAVYKGELIEGQEIAVKRLSKSSGQGLNEFKNEVLLIAKLQHRNLVKLLGCCIHEDEKMLIYEYMPNRSLDSFIFDPTRRKFLDWSKRTHIIDGIARGLLYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARMFGGDQTEANTKRVVGTYGYMSPEYALDGHFSVKSDVFSFGVLVLEIVSGKKNRGFCHPDYNQNLLGHAWMLWFNGIPLELIDECFADSCTPSEALRCIHVALLCVQQRPEDRPNMSSVVLMLGSENPLPQPKQPGFFMGSNPPEKDTSSNKHQSHSANEVTVTLLQAR >Potri.001G414077.2.v4.1 pep chromosome:Pop_tri_v4:1:43808833:43812515:1 gene:Potri.001G414077.v4.1 transcript:Potri.001G414077.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414077.v4.1 MGVVEVIFVYSLFFSILKISSALDAMNTTQSLRDGETLVSTGGSFELGFFTPAGSTSRYLGLWYKKSPQTVVWVANRGIPISNKFGTLNVTSQGILVLLNGTNNIVWSSNTSTTVQNPVAQLLDSGNLVVRDGNDNKADNFLWQSFDYPCDTLLPGMKLGSNLVTGLNRFLSSWKGKENPAPGQFTLGIDVQGYPQLILRKETRIMYRVGSWNGQYFTGFPELKPDPIYTFEFVFNRNEVYFKFEFQNSSVFSRLTVTPSGLVQLFTWSHQTNDWYVFATAVVDRCENYALCGANARCDSNSSPVCDCLDGFIPKSPTEWNSQNWTGGCIRRTPLDCTDKDGFQSYTGVKLPDTSSSWYDDSFSLVECEGLCIQNCSCFAYANLDFRGRGSGCLRWFGDLIDTRRLAEGGQDIYIRLAASQSGVTGEKKRKKKTHAGVIGGAVILGSSILILGIVFCIRRRKHRKNGNFEDRKEEEMELPMLDLTTIEHATDNFSSSKKLGEGGFGAVYKGELIEGQEIAVKRLSKSSGQGLNEFKNEVLLIAKLQHRNLVKLLGCCIHEDEKMLIYEYMPNRSLDSFIFDPTRRKFLDWSKRTHIIDGIARGLLYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARMFGGDQTEANTKRVVGTYGYMSPEYALDGHFSVKSDVFSFGVLVLEIVSGKKNRGFCHPDYNQNLLGHAWMLWFNGIPLELIDECFADSCTPSEALRCIHVALLCVQQRPEDRPNMSSVVLMLGSENPLPQPKQPGFFMGSNPPEKDTSSNKHQSHSANEVTVTLLQAR >Potri.001G414077.1.v4.1 pep chromosome:Pop_tri_v4:1:43808510:43812811:1 gene:Potri.001G414077.v4.1 transcript:Potri.001G414077.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414077.v4.1 MGVVEVIFVYSLFFSILKISSALDAMNTTQSLRDGETLVSTGGSFELGFFTPAGSTSRYLGLWYKKSPQTVVWVANRGIPISNKFGTLNVTSQGILVLLNGTNNIVWSSNTSTTVQNPVAQLLDSGNLVVRDGNDNKADNFLWQSFDYPCDTLLPGMKLGSNLVTGLNRFLSSWKGKENPAPGQFTLGIDVQGYPQLILRKETRIMYRVGSWNGQYFTGFPELKPDPIYTFEFVFNRNEVYFKFEFQNSSVFSRLTVTPSGLVQLFTWSHQTNDWYVFATAVVDRCENYALCGANARCDSNSSPVCDCLDGFIPKSPTEWNSQNWTGGCIRRTPLDCTDKDGFQSYTGVKLPDTSSSWYDDSFSLVECEGLCIQNCSCFAYANLDFRGRGSGCLRWFGDLIDTRRLAEGGQDIYIRLAASQSGVTGEKKRKKKTHAGVIGGAVILGSSILILGIVFCIRRRKHRKNGNFEDRKEEEMELPMLDLTTIEHATDNFSSSKKLGEGGFGAVYKGELIEGQEIAVKRLSKSSGQGLNEFKNEVLLIAKLQHRNLVKLLGCCIHEDEKMLIYEYMPNRSLDSFIFDPTRRKFLDWSKRTHIIDGIARGLLYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARMFGGDQTEANTKRVVGTYGYMSPEYALDGHFSVKSDVFSFGVLVLEIVSGKKNRGFCHPDYNQNLLGHAWMLWFNGIPLELIDECFADSCTPSEALRCIHVALLCVQQRPEDRPNMSSVVLMLGSENPLPQPKQPGFFMGSNPPEKDTSSNKHQSHSANEVTVTLLQAR >Potri.001G414077.5.v4.1 pep chromosome:Pop_tri_v4:1:43808510:43812811:1 gene:Potri.001G414077.v4.1 transcript:Potri.001G414077.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414077.v4.1 MKLGSNLVTGLNRFLSSWKGKENPAPGQFTLGIDVQGYPQLILRKETRIMYRVGSWNGQYFTGFPELKPDPIYTFEFVFNRNEVYFKFEFQNSSVFSRLTVTPSGLVQLFTWSHQTNDWYVFATAVVDRCENYALCGANARCDSNSSPVCDCLDGFIPKSPTEWNSQNWTGGCIRRTPLDCTDKDGFQSYTGVKLPDTSSSWYDDSFSLVECEGLCIQNCSCFAYANLDFRGRGSGCLRWFGDLIDTRRLAEGGQDIYIRLAASQSGVTGEKKRKKKTHAGVIGGAVILGSSILILGIVFCIRRRKHRKNGNFEDRKEEEMELPMLDLTTIEHATDNFSSSKKLGEGGFGAVYKGELIEGQEIAVKRLSKSSGQGLNEFKNEVLLIAKLQHRNLVKLLGCCIHEDEKMLIYEYMPNRSLDSFIFDPTRRKFLDWSKRTHIIDGIARGLLYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARMFGGDQTEANTKRVVGTYGYMSPEYALDGHFSVKSDVFSFGVLVLEIVSGKKNRGFCHPDYNQNLLGHAWMLWFNGIPLELIDECFADSCTPSEALRCIHVALLCVQQRPEDRPNMSSVVLMLGSENPLPQPKQPGFFMGSNPPEKDTSSNKHQSHSANEVTVTLLQAR >Potri.001G414077.4.v4.1 pep chromosome:Pop_tri_v4:1:43808510:43812811:1 gene:Potri.001G414077.v4.1 transcript:Potri.001G414077.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414077.v4.1 MKLGSNLVTGLNRFLSSWKGKENPAPGQFTLGIDVQGYPQLILRKETRIMYRVGSWNGQYFTGFPELKPDPIYTFEFVFNRNEVYFKFEFQNSSVFSRLTVTPSGLVQLFTWSHQTNDWYVFATAVVDRCENYALCGANARCDSNSSPVCDCLDGFIPKSPTEWNSQNWTGGCIRRTPLDCTDKDGFQSYTGVKLPDTSSSWYDDSFSLVECEGLCIQNCSCFAYANLDFRGRGSGCLRWFGDLIDTRRLAEGGQDIYIRLAASQSGVTGEKKRKKKTHAGVIGGAVILGSSILILGIVFCIRRRKHRKNGNFEDRKEEEMELPMLDLTTIEHATDNFSSSKKLGEGGFGAVYKGELIEGQEIAVKRLSKSSGQGLNEFKNEVLLIAKLQHRNLVKLLGCCIHEDEKMLIYEYMPNRSLDSFIFDPTRRKFLDWSKRTHIIDGIARGLLYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARMFGGDQTEANTKRVVGTYGYMSPEYALDGHFSVKSDVFSFGVLVLEIVSGKKNRGFCHPDYNQNLLGHAWMLWFNGIPLELIDECFADSCTPSEALRCIHVALLCVQQRPEDRPNMSSVVLMLGSENPLPQPKQPGFFMGSNPPEKDTSSNKHQSHSANEVTVTLLQAR >Potri.013G068400.13.v4.1 pep chromosome:Pop_tri_v4:13:5385806:5410802:-1 gene:Potri.013G068400.v4.1 transcript:Potri.013G068400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068400.v4.1 MAQQQSSRLNRLLTLLDTGSTQATRLTAAKQIGDIAKSHPQDLHSLLKKVSQNLHSKNWDTRVAAAHAIGAIAQNVKHTSLTELFASVETKMSEIGVSGHVEDLVACPNFHSQIISNGLFRSFDMNKVLEFGALLASGGQEYDIANDNSKNPRERLARQKQNLRRRLGLDVCEQFMDVNDVIKDEDLVVHRPESQRNGLDHRFYKHPSVHNIQQLVASMVPSVISKRPSARELNLLKRKAKINSKDQVKSWSEDGDTEVACPQSTTPKGSNTESFSFKKADADEEDNLEHDGDGRWPFHGFVEQLIVDMFDPVWEVRHGSVMALREIVTHHGGSAGLVVPDLSLDGALDELREREYSNTIKREREIDLNLQVLTDEFEPNPKRHKSEDVSSQTMDMMVSTSNLGSSDICVKLEHSGWNLPVGQVNSQVDIVSCVKMEPESYPNVASYSAERAVGMVESKGYPEHQGSFMKSNLQNSSPENCELMNLVKLARHSSIKNNEFLQDCAIRFLCILSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHHSLVYETLNILLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLPDLLGCILPACKAGLEDPDDDVRAVAADALIPTSAAIVSMKGRTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEEMIPKKTSKDKQELDLNEVVHVDDVGEGRDLQENPYMLSTLAPRLWPFMRHSITSVRHSAIRTLERLLEAGYKRNISEPSSASFWPSFILGDTLRIVFQNLLLESNDEILRCSERVWRLLVQCPAEDLEAAASSYMASWIELTTTPYGSPLDSTKMFWPVAPPRKSHFKAAAKMRAVRLENESCSSIGLDFEKETIPQQRNGDASASTVKIIVGADAEISVTYTRVITASALGMFASKLRGDSMQHVIDPLWNALTSLSGVQRQVASMVLISLFKEIKRKESSEIHGVMPAFPNHVEKLLFDLLSCSDPALPTKDSVLPYSELSRTYTKMRNEASQLLHVTESSGMFKNSLSTIKIDVEKLSPDEAINFASKLPLSCNDSAGDESTGHNIVDDIDSSKQRLLTTSGYLKCVQSNLHVTVSALVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELISRCIARKPGPNDKLIKNICSLTCMDPCETPQAGVIGSTEVVDDQDLLSFGISTGKQKSKVHMLAGGEDRSRVEGFISRRGSEHALKHLCEKFGAYLFDKLPKLWDCLVEVLKPGSPADEQQFEKTIASIKDPQILINNIQVVRSIAPLLDEALKPKLLTLLPCIFKCVRHSHVAVRLAASRCITSMAKSMTTNVMAAVIEDAIPMLGDVTSVHARQGAGMLISSLVQGLGVELVPYARLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLAPPSGLNEGLARNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDVAEFRALNNCEDVQPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYSGSAQERICLREQFLKHNVIITSYDVVRKDIDYLGQSLWNYCILDEGHIIKNAKSKITAAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQATYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSLVRQEISSMVKLDDSAQPEGNSASPKASTHVFQALQYLLKLCSHPLLVAGEKMPESLVCQLHELLPPNCDILSELHKLHHSPKLVALQEILEECGIGVDASSSDNAVSVGQHRVLIFAQHKALLDIIERDLFHSQMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFASAETRAKGATASKRTDGSFDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLSKLNG >Potri.013G068400.14.v4.1 pep chromosome:Pop_tri_v4:13:5385806:5395924:-1 gene:Potri.013G068400.v4.1 transcript:Potri.013G068400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068400.v4.1 MASWIELTTTPYGSPLDSTKMFWPVAPPRKSHFKAAAKMRAVRLENESCSSIGLDFEKETIPQQRNGDASASTVKIIVGADAEISVTYTRVITASALGMFASKLRGDSMQHVIDPLWNALTSLSGVQRQVASMVLISLFKEIKRKESSEIHGVMPAFPNHVEKLLFDLLSCSDPALPTKDSVLPYSELSRTYTKMRNEASQLLHVTESSGMFKNSLSTIKIDVEKLSPDEAINFASKLPLSCNDSAGDESTGHNIVDDIDSSKQRLLTTSGYLKCVQSNLHVTVSALVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELISRCIARKPGPNDKLIKNICSLTCMDPCETPQAGVIGSTEVVDDQDLLSFGISTGKQKSKVHMLAGGEDRSRVEGFISRRGSEHALKHLCEKFGAYLFDKLPKLWDCLVEVLKPGSPADEQQFEKTIASIKDPQILINNIQVVRSIAPLLDEALKPKLLTLLPCIFKCVRHSHVAVRLAASRCITSMAKSMTTNVMAAVIEDAIPMLGDVTSVHARQGAGMLISSLVQGLGVELVPYARLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLAPPSGLNEGLARNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDVAEFRALNNCEDVQPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYSGSAQERICLREQFLKHNVIITSYDVVRKDIDYLGQSLWNYCILDEGHIIKNAKSKITAAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQATYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSLVRQEISSMVKLDDSAQPEGNSASPKASTHVFQALQYLLKLCSHPLLVAGEKMPESLVCQLHELLPPNCDILSELHKLHHSPKLVALQEILEECGIGVDASSSDNAVSVGQHRVLIFAQHKALLDIIERDLFHSQMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFASAETRAKGATASKRTDGSFDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLSKLNG >Potri.013G068400.10.v4.1 pep chromosome:Pop_tri_v4:13:5385799:5410798:-1 gene:Potri.013G068400.v4.1 transcript:Potri.013G068400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068400.v4.1 MAQQQSSRLNRLLTLLDTGSTQATRLTAAKQIGDIAKSHPQDLHSLLKKVSQNLHSKNWDTRVAAAHAIGAIAQNVKHTSLTELFASVETKMSEIGVSGHVEDLVACPNFHSQIISNGLFRSFDMNKVLEFGALLASGGQEYDIANDNSKNPRERLARQKQNLRRRLGLDVCEQFMDVNDVIKDEDLVVHRPESQRNGLDHRFYKHPSVHNIQQLVASMVPSVISKRPSARELNLLKRKAKINSKDQVKSWSEDGDTEVACPQSTTPKGSNTESFSFKKADADEEDNLEHDGDGRWPFHGFVEQLIVDMFDPVWEVRHGSVMALREIVTHHGGSAGLVVPDLSLDGALDELREREYSNTIKREREIDLNLQVLTDEFEPNPKRHKSEDVSSQTMDMMVSTSNLGSSDICVKLEHSGWNLPVGQVNSQVDIVSCVKMEPESYPNVASYSAERAVGMVESKGYPEHQGSFMKSNLQNSSPENCELMNLVKLARHSSIKNNEFLQDCAIRFLCILSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHHSLVYETLNILLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLPDLLGCILPACKAGLEDPDDDVRAVAADALIPTSAAIVSMKGRTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEEMIPKKTSKDKQELDLNEVVHVDDVGEGRDLQENPYMLSTLAPRLWPFMRHSITSVRHSAIRTLERLLEAGYKRNISEPSSASFWPSFILGDTLRIVFQNLLLESNDEILRCSERVWRLLVQCPAEDLEAAASSYMASWIELTTTPYGSPLDSTKMFWPVAPPRKSHFKAAAKMRAVRLENESCSSIGLDFEKETIPQQRNGDASASTVKIIVGADAEISVTYTRVITASALGMFASKLRGDSMQHVIDPLWNALTSLSGVQRQVASMVLISLFKEIKRKESSEIHGVMPAFPNHVEKLLFDLLSCSDPALPTKDSVLPYSELSRTYTKMRNEASQLLHVTESSGMFKNSLSTIKIDVEKLSPDEAINFASKLPLSCNDSAGDESTGHNIVDDIDSSKQRLLTTSGYLKCVQSNLHVTVSALVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELISRCIARKPGPNDKLIKNICSLTCMDPCETPQAGVIGSTEVVDDQDLLSFGISTGKQKSKVHMLAGGEDRSRVEGFISRRGSEHALKHLCEKFGAYLFDKLPKLWDCLVEVLKPGSPADEQQFEKTIASIKDPQILINNIQVVRSIAPLLDEALKPKLLTLLPCIFKCVRHSHVAVRLAASRCITSMAKSMTTNVMAAVIEDAIPMLGDVTSVHARQGAGMLISSLVQGLGVELVPYARLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLAPPSGLNEGLARNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDVAEFRALNNCEDVQPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYSGSAQERICLREQFLKHNVIITSYDVVRKDIDYLGQSLWNYCILDEGHIIKNAKSKITAAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQATYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSLVRQEISSMVKLDDSAQPEGNSASPKASTHVFQALQYLLKLCSHPLLVAGEKMPESLVCQLHELLPPNCDILSELHKLHHSPKLVALQEILEECGIGVDASSSDNAVSVGQHRVLIFAQHKALLDIIERDLFHSQMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFASAETRAKGATASKRTDGSFDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLSKLNG >Potri.013G068400.16.v4.1 pep chromosome:Pop_tri_v4:13:5385804:5394339:-1 gene:Potri.013G068400.v4.1 transcript:Potri.013G068400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068400.v4.1 MSELPARLNPIILPLMASIKREQEEILQQKAAEALAELISRCIARKPGPNDKLIKNICSLTCMDPCETPQAGVIGSTEVVDDQDLLSFGISTGKQKSKVHMLAGGEDRSRVEGFISRRGSEHALKHLCEKFGAYLFDKLPKLWDCLVEVLKPGSPADEQQFEKTIASIKDPQILINNIQVVRSIAPLLDEALKPKLLTLLPCIFKCVRHSHVAVRLAASRCITSMAKSMTTNVMAAVIEDAIPMLGDVTSVHARQGAGMLISSLVQGLGVELVPYARLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLAPPSGLNEGLARNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDVAEFRALNNCEDVQPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYSGSAQERICLREQFLKHNVIITSYDVVRKDIDYLGQSLWNYCILDEGHIIKNAKSKITAAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQATYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSLVRQEISSMVKLDDSAQPEGNSASPKASTHVFQALQYLLKLCSHPLLVAGEKMPESLVCQLHELLPPNCDILSELHKLHHSPKLVALQEILEECGIGVDASSSDNAVSVGQHRVLIFAQHKALLDIIERDLFHSQMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFASAETRAKGATASKRTDGSFDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLSKLNG >Potri.013G068400.9.v4.1 pep chromosome:Pop_tri_v4:13:5385510:5410656:-1 gene:Potri.013G068400.v4.1 transcript:Potri.013G068400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068400.v4.1 MAQQQSSRLNRLLTLLDTGSTQATRLTAAKQIGDIAKSHPQDLHSLLKKVSQNLHSKNWDTRVAAAHAIGAIAQNVKHTSLTELFASVETKMSEIGVSGHVEDLVACPNFHSQIISNGLFRSFDMNKVLEFGALLASGGQEYDIANDNSKNPRERLARQKQNLRRRLGLDVCEQFMDVNDVIKDEDLVVHRPESQRNGLDHRFYKHPSVHNIQQLVASMVPSVISKRPSARELNLLKRKAKINSKDQVKSWSEDGDTEVACPQSTTPKGSNTESFSFKKADADEEDNLEHDGDGRWPFHGFVEQLIVDMFDPVWEVRHGSVMALREIVTHHGGSAGLVVPDLSLDGALDELREREYSNTIKREREIDLNLQVLTDEFEPNPKRHKSEDVSSQTMDMMVSTSNLGSSDICVKLEHSGWNLPVGQVNSQVDIVSCVKMEPESYPNVASYSAERAVGMVESKGYPEHQGSFMKSNLQNSSPENCELMNLVKLARHSSIKNNEFLQDCAIRFLCILSLDRFGDYVSDQVVAPVRETCAQALGAAFKYMHHSLVYETLNILLQMQRRPEWEIRHGSLLGIKYLVAVRQEMLPDLLGCILPACKAGLEDPDDDVRAVAADALIPTSAAIVSMKGRTLHSIVMLLWDILLDLDDLSPSTSSVMNLLAEIYSQEEMIPKKTSKDKQELDLNEVVHVDDVGEGRDLQENPYMLSTLAPRLWPFMRHSITSVRHSAIRTLERLLEAGYKRNISEPSSASFWPSFILGDTLRIVFQNLLLESNDEILRCSERVWRLLVQCPAEDLEAAASSYMASWIELTTTPYGSPLDSTKMFWPVAPPRKSHFKAAAKMRAVRLENESCSSIGLDFEKETIPQQRNGDASASTVKIIVGADAEISVTYTRVITASALGMFASKLRGDSMQHVIDPLWNALTSLSGVQRQVASMVLISLFKEIKRKESSEIHGVMPAFPNHVEKLLFDLLSCSDPALPTKDSVLPYSELSRTYTKMRNEASQLLHVTESSGMFKNSLSTIKIDVEKLSPDEAINFASKLPLSCNDSAGDESTGHNIVDDIDSSKQRLLTTSGYLKCVQSNLHVTVSALVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELISRCIARKPGPNDKLIKNICSLTCMDPCETPQAGVIGSTEVVDDQDLLSFGISTGKQKSKVHMLAGGEDRSRVEGFISRRGSEHALKHLCEKFGAYLFDKLPKLWDCLVEVLKPGSPADEQQFEKTIASIKDPQILINNIQVVRSIAPLLDEALKPKLLTLLPCIFKCVRHSHVAVRLAASRCITSMAKSMTTNVMAAVIEDAIPMLGDVTSVHARQGAGMLISSLVQGLGVELVPYARLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLAPPSGLNEGLARNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDVAEFRALNNCEDVQPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYSGSAQERICLREQFLKHNVIITSYDVVRKDIDYLGQSLWNYCILDEGHIIKNAKSKITAAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQATYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSLVRQEISSMVKLDDSAQPEGNSASPKASTHVFQALQYLLKLCSHPLLVAGEKMPESLVCQLHELLPPNCDILSELHKLHHSPKLVALQEILEECGIGVDASSSDNAVSVGQHRVLIFAQHKALLDIIERDLFHSQMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFASAETRAKGATASKRTDGSFDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLSKLNG >Potri.013G068400.15.v4.1 pep chromosome:Pop_tri_v4:13:5385510:5394979:-1 gene:Potri.013G068400.v4.1 transcript:Potri.013G068400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068400.v4.1 MPAFPNHVEKLLFDLLSCSDPALPTKDSVLPYSELSRTYTKMRNEASQLLHVTESSGMFKNSLSTIKIDVEKLSPDEAINFASKLPLSCNDSAGDESTGHNIVDDIDSSKQRLLTTSGYLKCVQSNLHVTVSALVAAAVVWMSELPARLNPIILPLMASIKREQEEILQQKAAEALAELISRCIARKPGPNDKLIKNICSLTCMDPCETPQAGVIGSTEVVDDQDLLSFGISTGKQKSKVHMLAGGEDRSRVEGFISRRGSEHALKHLCEKFGAYLFDKLPKLWDCLVEVLKPGSPADEQQFEKTIASIKDPQILINNIQVVRSIAPLLDEALKPKLLTLLPCIFKCVRHSHVAVRLAASRCITSMAKSMTTNVMAAVIEDAIPMLGDVTSVHARQGAGMLISSLVQGLGVELVPYARLLVVPLLRCMSDCDHSVRQSVTRSFAALVPLLPLARGLAPPSGLNEGLARNAEDAQFLEQLLDNSHIDDYKLCTELKVTLRRYQQEGINWLAFLKRFKLHGILCDDMGLGKTLQASAIVASDVAEFRALNNCEDVQPSLIVCPSTLVGHWAFEIEKYIDASLISTLQYSGSAQERICLREQFLKHNVIITSYDVVRKDIDYLGQSLWNYCILDEGHIIKNAKSKITAAVKQLKAQHRLILSGTPIQNNIMDLWSLFDFLMPGFLGTDRQFQATYGKPLLAARDPKCSAKDAEAGVLAMEALHKQVMPFLLRRTKDEVLSDLPEKIIQDRYCDLSPVQLKLYEQFSGSLVRQEISSMVKLDDSAQPEGNSASPKASTHVFQALQYLLKLCSHPLLVAGEKMPESLVCQLHELLPPNCDILSELHKLHHSPKLVALQEILEECGIGVDASSSDNAVSVGQHRVLIFAQHKALLDIIERDLFHSQMKNVTYLRLDGSVEPEKRFDIVKAFNSDPTIDALLLTTHVGGLGLNLTSADTLVFMEHDWNPMRDLQAMDRAHRLGQKKVVNVHRLIMRGTLEEKVMSLQKFKVSVANAVINAENASLKTMNTDQLLDLFASAETRAKGATASKRTDGSFDGDPKLMGTGKGLKAILGGLEELWDQSQYTEEYNLSQFLSKLNG >Potri.008G101900.1.v4.1 pep chromosome:Pop_tri_v4:8:6450257:6453089:1 gene:Potri.008G101900.v4.1 transcript:Potri.008G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101900.v4.1 METLLHRTATFPTPKHRVFSHSIQNKSLFIASGCHSFSARPLFLSLGLRKSVIVEAKKSNKNNNNKKNKQDTHSFVAKPDEAIGPFPEAILLKEKKAQEDGRVLPEFADAEEEKLFEFLNLELQSELKVERLRHYEVVYLIHEKHDEEVGSLNEKVQDFVREKKGRIWRFNDWGMRRLAYKIQKAKNAHYILMNFELEARWINDFKNMLDKDERVIRHLVIKRDEAITEDCPPPPEFHTLQGGMDNNDEEDGLDYDDNDEAYDEDLEVEAEAVYGDGDEAEGSVSVISLHGYKEDDDGNNEVRHSKFASASNTRKKADT >Potri.010G154250.1.v4.1 pep chromosome:Pop_tri_v4:10:16369342:16369692:-1 gene:Potri.010G154250.v4.1 transcript:Potri.010G154250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154250.v4.1 MTPRKTKNNDQSMPHEMYKCIKAHFSSDGSCGALRSPLVTFLDIAGLVSSRFFQQCWWCCHRNFDVFSIFFFSPLSSQITGRREERENKQIIKKILEAHQSFDNDTVGTVEKISTR >Potri.003G088900.1.v4.1 pep chromosome:Pop_tri_v4:3:11569811:11576932:1 gene:Potri.003G088900.v4.1 transcript:Potri.003G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088900.v4.1 MGCFYSRTIHLPSPDDLSKLHSENGDEGGQVQQVPAFKEYSLSELRKATNGFCPDFIVSESGEKAPNVVYRGILDNNHLVAVKRFSKLAWPDAQQFVVEAAGVGKLRHRRLVNLIGCCNEGDERLLVAEYMPNDTLSKHLFHWDKQPLAWEMRVRVAHYIAQALDYCNTENRKIYHDLNAYRILFDEDGDPCLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVIYSYGTVLLDLLSGKHIPPSRALDIIRGKNVLMLMDSSLEGQYASDDATNLVELASKCLQSEARDRPDPKFLLAAVAPLQKQKEVASYILMGLSKDTVTLPTILSPIGKACARMDLTAVHDILLKTGYKDEEGAENELSFQEWTQQVQDILNTKKFGDIAFRDSDFNNAIEYYSKLVNLMSIPSATVFARRAFSCLMNGQAELALRDAMQAQVCIPEWPTAFYLQALALSKLGMETDAKDMLNDGAVLEVKWQSRYKF >Potri.T124506.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:19683:27536:1 gene:Potri.T124506.v4.1 transcript:Potri.T124506.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124506.v4.1 MEMFFESTEQGVAAIVSSSSSIMHAPGSNIDFLLESIFISGFCGSLHLVLLLALCVLFLCKKLSRWGDGEGSSEMLMMKRRFLWYKQTLVCCLGVSVFNFILCLLSYFYLYGNVLSDGEIMTLLDLGLRTLSWGALVVYLHTQFFNSGENMFPLLLRVWWGFYLAISCYCFFVDVFLHHKHLSLEIECSLVSDVVSVFTGLFLCYVGFLRSDIQDVLEEPLLNDDSSSINNLENRGADTVTPFGNAGLFSILTFSWMNSLIAAGNKKTLDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRVTRFKFAKALFLLVWKEILWTALLALIDTLGSYVGPYLIDVFVQCLDGRGEFKNQGYILASAFVAAKLAECLADRHSSFRLQQIGTRLRAVTATMIYNKSLTISCQSKQGHSSGEMINIMTIDADRLGTFSQYIHDPWVVILQVCLALLILYRNLGLGSVAGFVATVIVMSLNYPFGRLEEKFQGKLMESKDKRMKATTEILRNMRILKLQGWEMKFLSKILELREVETRWLKKYFYTSVVITVVFWATPTVVAVATFGTCMLMGIPLESGKVLSALATFEILQYPIYNLPDTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPGGSSDTAIEIVDGNFSWDLSSSRATLKDINFKVLNGMKVAVCGIVGSGKSSLLSSILGELPKISGTLKLCGTKAYAAQSPWIQSGTIEENILFGKVMDRERYDKVLEACSLKKDLEILSFGDQTGIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSETVIYVTHQVEFLSAADLILVMKDGRIAQAGKYDEILNSGSDFKVLVGAHKAALSVLDSRQAGAVSENESVRDNNGGENSTNGIVHNEGNKDSQIGKADEVAEPQAQLIQEEEREKGSVGFQIYWKYFTTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPVSKDVKPVVSGSRLLIVYVSLVIGSSFCMLAQAMLLVTAGYKTATLLFNKLHLCIFRASMSFFEATPSGRIINRASTDQSALDMEIPHTVEGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPSARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFEEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSITFAFCLFLLVSFPERTNPAIAGLAVTYALGLHTAQSVLIWCFCNCENKLISVERILQYISIPSEPPLVIEANKPDHSWPSHGEVDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFSDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDSPTRLLENKSSSFSQLVAEYTVRSNTRFEKSTGLNL >Potri.003G214500.1.v4.1 pep chromosome:Pop_tri_v4:3:21093081:21095068:-1 gene:Potri.003G214500.v4.1 transcript:Potri.003G214500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214500.v4.1 MKRSSCYNGYSLFLTILMLCVVARSQLTTDFYSTTCPNLLQIVRREVQKAIKFETRMAASLIRLHFHDCFVNGCDASVLLDGNDGEKFALPNINSARGFEVVDAIKTAVESQCSGVVSCADILTIAARDSVLLSGGKSWRVLLGRRDGLVANQTGANAKLPSPFEDVDTIINKFAAVGLNIIDVVALSGAHTIGQARCATFNNRLFNFSGTGAPDSTMESSMVSDLQNLCPLTDDGNKTTVLDRNSTDLFDIHYFQNLLNNKGLLSSDQELFSSTNLTTKALVQTYSTNQNLFLNDFANSMIKMGNISPLTGSSGEIRKKCSVVNS >Potri.004G189600.1.v4.1 pep chromosome:Pop_tri_v4:4:20202286:20209842:1 gene:Potri.004G189600.v4.1 transcript:Potri.004G189600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189600.v4.1 MESMEVKKRGRGRPRKRKPNEEESEEMKSIANALKKQALDIRWKPLVGRYVLKEFDSGIFLGKIVNYDTGLYRVDYEDGDCEDLESGELRQILLGDDDFDDELFFRRVKLDEFVLQKSEKRKKEAEKDVVDLKTEVIKVEPSVSVALMVENGGVQVEDDADSSSDSCECVRDGDLGMEVETPVIPPPQLPSSSASIGVPDEYVSHLFSVYTFLRSFNIRLFLSPFTLDDLVGAVNCPAQNTLLDAIHVALMRALRRHLEALSSDGSELASKCLRSVDWRFLDSLTWTVYLVHYFTIMGYVKGSEWKGFYDNLWKREYYSLPVGRKLMILQILCDDVLDSADVRAEVDVREESEFGIDPDTVTTNLPDNGHGPRRVHPRYSKTSACKDREAMNIIAESQGSKSFSNSMYLASKGAERDGNVSDADVDGNGDECRLCGLDGTLLCCDGCPSSYHSRCIGVVKMYIPEGPWYCPECTINKLGPTITMGTSLRGAEVFGIDLYEQVFLGTCDHLLVLKASTSGEPCFRYYNQMDIQKVLQALSESMQHRSLYLEICKAIAQHWNMPQSAFSLLETTGRGFDIASVEEDAKLSALSLPREESRKVVDNVVAENAVSVNGSNTDIVAIPSLETSLDAVIQAGPQYIVSDGDVSRTGYFHLMRMKPHEQIKLESTESVNQLADPSDITQQSLVHRSSAMELATCTSANSVGSRIENGNGTCLPASVFSQNKEGNHQGIQRVRNSTNNCSYVGTFFKPHAYINHYMHGDFAASAAVNLNVLSSEESRTETHKSGNGRKVVTDILLQAKAFSTAASRFFWPSSERKLVEVPRERCGWCYSCKLPSSNRRGCMLNSAALTATKGALKVISGLRPILNGEGSLSSISTYILYMGEVLCGLTTGPFLSASHRKLWRRQVEDASTYSAIKQPLLELEENTRLVALSGDWVKAMDDWLVESPMTQSSAISIGTAHRRRVNGKRHKKHSGVTDTTADGCHDKSFVWWRGGKLLKLVFNKAILPQSMVRRAARQGGSRKISGIHYTDDLEIPNRSRQLVWRAAVERSNNASQLALQVRYLDFHVRWSDLVRPEQNLQDGKGSETESSVFRNAVICDKKIEEKKTRYGIAFGNQKHLPSRIMKNIIEIEQSENGKDKYWFSEMHVPLYLIKEFEESLDEVVPPSAKKPSNELSVLQRRQLKDSRRDIFSYLASKRDKLDSCSCASCQYDVLIRDTVTCSSCQGYCHQACTVSSRIYTNEEAQFSIICKRCYSARAVIYDEKRNESLTSPLPLQWQEHHNAVTVMKSTRIKLHNQPFMSVRTQESCSEVKQATSTSSKATKTKSRTQVSGSEVKQAISSSRKATKTESRSRNWGIIWRKKNNEDTGIDFRYKNILSRGSPNGKRLMPECNLCRKEYNCDLMYIHCETCANWFHAEAVELEESKLSDVIGFKCCKCRRIKSPNCPYRDGYGDEKPEVLTPRKRAWEQGIGADSGTIVESRDCEPTTPMFPVENVYVQDDDPLLFSLSRVEQITEQNSRVDFERNIAGQGPQKLPVRRQGKRQGDAEDISVSNLYPTDSSMFLETNNNVNKEMSCAEWDVSGNGLDSDMVFDYEDVNYEDMAFEPQTYFSFTELLATDDGSQLDGFDATGNVLGNNENQFHAASEDEFQKQHTLGTSCDMSLESAPNTKPCKMCLDSVPSPDLSCDVCGLMLHRYCSPWVESSPVEGSSSWRCGNCRKWR >Potri.004G189600.3.v4.1 pep chromosome:Pop_tri_v4:4:20202289:20209790:1 gene:Potri.004G189600.v4.1 transcript:Potri.004G189600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189600.v4.1 MESMEVKKRGRGRPRKRKPNEEESEEMKSIANALKKQALDIRWKPLVGRYVLKEFDSGIFLGKIVNYDTGLYRVDYEDGDCEDLESGELRQILLGDDDFDDELFFRRVKLDEFVLQKSEKRKKEAEKDVVDLKTEVIKVEPSVSVALMVENGGVQVEDDADSSSDSCECVRDGDLGMEVETPVIPPPQLPSSSASIGVPDEYVSHLFSVYTFLRSFNIRLFLSPFTLDDLVGAVNCPAQNTLLDAIHVALMRALRRHLEALSSDGSELASKCLRSVDWRFLDSLTWTVYLVHYFTIMGYVKGSEWKGFYDNLWKREYYSLPVGRKLMILQILCDDVLDSADVRAEVDVREESEFGIDPDTVTTNLPDNGHGPRRVHPRYSKTSACKDREAMNIIAESQGSKSFSNSMYLASKGAERDGNVSDADVDGNGDECRLCGLDGTLLCCDGCPSSYHSRCIGVVKMYIPEGPWYCPECTINKLGPTITMGTSLRGAEVFGIDLYEQVFLGTCDHLLVLKASTSGEPCFRYYNQMDIQKVLQALSESMQHRSLYLEICKAIAQHWNMPQSAFSLLETTGRGFDIASVEEDAKLSALSLPREESRKVVDNVVAENAVSVNGSNTDIVAIPSLETSLDAVIQAGPQYIVSDGDVSRTGYFHLMRMKPHEQIKLESTESVNQLADPSDITQQSLVHRSSAMELATCTSANSVGSRIENGNGTCLPASVFSQNKEGNHQGIQRVRNSTNNCSYVGTFFKPHAYINHYMHGDFAASAAVNLNVLSSEESRTETHKSGNGRKVVTDILLQAKAFSTAASRFFWPSSERKLVEVPRERCGWCYSCKLPSSNRRGCMLNSAALTATKGALKVISGLRPILNGEGSLSSISTYILYMGEVLCGLTTGPFLSASHRKLWRRQVEDASTYSAIKQPLLELEENTRLVALSGDWVKAMDDWLVESPMTQSSAISIGTAHRRRVNGKRHKKHSGVTDTTADGCHDKSFVWWRGGKLLKLVFNKAILPQSMVRRAARQGGSRKISGIHYTDDLEIPNRSRQLVWRAAVERSNNASQLALQVRYLDFHVRWSDLVRPEQNLQDGKGSETESSVFRNAVICDKKIEEKKTRYGIAFGNQKHLPSRIMKNIIEIEQSENGKDKYWFSEMHVPLYLIKEFEESLDEVVPPSAKKPSNELSVLQRRQLKDSRRDIFSYLASKRDKLDSCSCASCQYDVLIRDTVTCSSCQGYCHQACTVSSRIYTNEEAQFSIICKRCYSARAVIYDEKRNESLTSPLPLQWQEHHNAVTVMKSTRIKLHNQPFMSVRTQESCSEVKQATSTSSKATKTKSRTQVSGSEVKQAISSSRKATKTESRSRNWGIIWRKKNNEDTGIDFRYKNILSRGSPNGKRLMPECNLCRKEYNCDLMYIHCETCANWFHAEAVELEESKLSDVIGFKCCKCRRIKSPNCPYRDGYGDEKPEVLTPRKRAWEQGIGADSGTIVESRDCEPTTPMFPVENVYVQDDDPLLFSLSRVEQITEQNSRVDFERNIAGQGPQKLPVRRQGKRQGDAEDISVSNLYPTDSSMFLETNNNVNKEMSCAEWDVSGNGLDSDMVFDYEDVNYEDMAFEPQTYFSFTELLATDDGSQLDGFDATGNVLGNNENQFHAASEDEFQKQHTLGTSCDMSLESAPNTKPCKMCLDSVPSPDLSCDVCGLMLHRYCSPWVESSPVEGSSSWRCGNCRKWR >Potri.004G189600.2.v4.1 pep chromosome:Pop_tri_v4:4:20202289:20210017:1 gene:Potri.004G189600.v4.1 transcript:Potri.004G189600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189600.v4.1 MESMEVKKRGRGRPRKRKPNEEESEEMKSIANALKKQALDIRWKPLVGRYVLKEFDSGIFLGKIVNYDTGLYRVDYEDGDCEDLESGELRQILLGDDDFDDELFFRRVKLDEFVLQKSEKRKKEAEKDVVDLKTEVIKVEPSVSVALMVENGGVQVEDDADSSSDSCECVRDGDLGMEVETPVIPPPQLPSSSASIGVPDEYVSHLFSVYTFLRSFNIRLFLSPFTLDDLVGAVNCPAQNTLLDAIHVALMRALRRHLEALSSDGSELASKCLRSVDWRFLDSLTWTVYLVHYFTIMGYVKGSEWKGFYDNLWKREYYSLPVGRKLMILQILCDDVLDSADVRAEVDVREESEFGIDPDTVTTNLPDNGHGPRRVHPRYSKTSACKDREAMNIIAESQGSKSFSNSMYLASKGAERDGNVSDADVDGNGDECRLCGLDGTLLCCDGCPSSYHSRCIGVVKMYIPEGPWYCPECTINKLGPTITMGTSLRGAEVFGIDLYEQVFLGTCDHLLVLKASTSGEPCFRYYNQMDIQKVLQALSESMQHRSLYLEICKAIAQHWNMPQSAFSLLETTGRGFDIASVEEDAKLSALSLPREESRKVVDNVVAENAVSVNGSNTDIVAIPSLETSLDAVIQAGPQYIVSDGDVSRTGYFHLMRMKPHEQIKLESTESVNQLADPSDITQQSLVHRSSAMELATCTSANSVGSRIENGNGTCLPASVFSQNKEGNHQGIQRVRNSTNNCSYVGTFFKPHAYINHYMHGDFAASAAVNLNVLSSEESRTETHKSGNGRKVVTDILLQAKAFSTAASRFFWPSSERKLVEVPRERCGWCYSCKLPSSNRRGCMLNSAALTATKGALKVISGLRPILNGEGSLSSISTYILYMGEVLCGLTTGPFLSASHRKLWRRQVEDASTYSAIKQPLLELEENTRLVALSGDWVKAMDDWLVESPMTQSSAISIGTAHRRRVNGKRHKKHSGVTDTTADGCHDKSFVWWRGGKLLKLVFNKAILPQSMVRRAARQGGSRKISGIHYTDDLEIPNRSRQLVWRAAVERSNNASQLALQVRYLDFHVRWSDLVRPEQNLQDGKGSETESSVFRNAVICDKKIEEKKTRYGIAFGNQKHLPSRIMKNIIEIEQSENGKDKYWFSEMHVPLYLIKEFEESLDEVVPPSAKKPSNELSVLQRRQLKDSRRDIFSYLASKRDKLDSCSCASCQYDVLIRDTVTCSSCQGYCHQACTVSSRIYTNEEAQFSIICKRCYSARAVIYDEKRNESLTSPLPLQWQEHHNAVTVMKSTRIKLHNQPFMSVRTQESCSEVKQATSTSSKATKTKSRTQVSGSEVKQAISSSRKATKTESRSRNWGIIWRKKNNEDTGIDFRYKNILSRGSPNGKRLMPECNLCRKEYNCDLMYIHCETCANWFHAEAVELEESKLSDVIGFKCCKCRRIKSPNCPYRDGYGDEKPEVLTPRKRAWEQGIGADSGTIVESRDCEPTTPMFPVENVYVQDDDPLLFSLSRVEQITEQNSRVDFERNIAGQGPQKLPVRRQGKRQGDAEDISVSNLYPTDSSMFLETNNNVNKEMSCAEWDVSGNGLDSDMVFDYEDVNYEDMAFEPQTYFSFTELLATDDGSQLDGFDATGNVLGNNENQFHAASEDEFQKQHTLGTSCDMSLESAPNTKPCKMCLDSVPSPDLSCDVCGLMLHRYCSPWVESSPVEGSSSWRCGNCRKWR >Potri.010G061800.3.v4.1 pep chromosome:Pop_tri_v4:10:9126537:9128248:1 gene:Potri.010G061800.v4.1 transcript:Potri.010G061800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061800.v4.1 MAEVKLYGFWPSPFSHRIIWALKLKGVEYEYIEEDLSNKSESLLKYNPVYKKIPVLVHGGKPIAESLVILEYIEETWPENPLLPKDPYERAMARFWMQYGVIKGASFREFYHGSGEELEKAAKELAEWLKILEEQGLGDKKFFGGESINLVDISYGVLGYWFAALEEAKGVKVLEPATLPRLHAWAKNFVELPVVKENIPAYDKMLAYRTDSMKRLAKS >Potri.010G061800.2.v4.1 pep chromosome:Pop_tri_v4:10:9126940:9128173:1 gene:Potri.010G061800.v4.1 transcript:Potri.010G061800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061800.v4.1 MAEVKLYGFWPSPFSHRIIWALKLKGVEYEYIEEDLSNKSESLLKYNPVYKKIPVLVHGGKPIAESLVILEYIEETWPENPLLPKDPYERAMARFWMQYGVIKGASFREFYHGSGEELEKAAKELAEWLKILEEQGLGDKKFFGGESINLVDISYGVLGYWFAALEEAKGVKVLEPATLPRLHAWAKNFVELPVVKENIPAYDKMLAYRTDSMKRLAKS >Potri.017G017500.1.v4.1 pep chromosome:Pop_tri_v4:17:1282937:1286525:1 gene:Potri.017G017500.v4.1 transcript:Potri.017G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017500.v4.1 MASSSSSPSSLLLPLGSSSGKTTLLTKRHGIVNVFDKVQGLMNISVQCSNLEPRSRGSRAREKKVDTSLIKRRDCVIGLVFGVSTLCNIGSFDNVAKGAGLPPEDKPRLCDETCEKELENVPMVTTESGLQFKDIKVGQGPSPPVGFQVAANYVAMVPSGQIFDSSLEKAQIYIFRVGSGLVIKGLDEGILSMKVGGKRRLYIPGPLAFPKGLTSAPGRPRVAPNSPVVFDVSLEYIPGLEVEEE >Potri.011G136300.1.v4.1 pep chromosome:Pop_tri_v4:11:16865378:16868257:-1 gene:Potri.011G136300.v4.1 transcript:Potri.011G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136300.v4.1 MAEVVHEKMTQLCREVVHVKNIKWNILERVTVFKQFFQFIWDRILACSIGKPVRYRRLASRPYSPPPEAMEAGVESLDAASCSGFNPDSDLVRLKISLLGDCQIGKTSFMIKYVGDEQEKKCLGMTGLNLMDKTLFVQGARISFSIWDVAGDSRSIDHVPIACKDAVAILFMFDLTSRCTLNSVLEWYSQARKWNQTAIPILIGTKFDDFVQLPPNLQWTIVTQARAYAKAMKATLFFSSAKHNINVNKIFKFIMAKLLNLPWTVERNLTIGEPIIDF >Potri.016G033600.1.v4.1 pep chromosome:Pop_tri_v4:16:1945104:1948561:-1 gene:Potri.016G033600.v4.1 transcript:Potri.016G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033600.v4.1 MEEGQKEPVSFTSDDSQSTSQEENREGEQKNESLNMILDAWKDSFTFEDRRYSSSQQSLHDTIFVCSITTKIVGLGAIEFNDHELLELVRYPQSPYDKSAIKVFNSSSVEVGYLHIPVANVLSPLVDLQKINLEGEVTNSRNRYDSSMPCLVKIFSKSDDTQNVQNWILQNSLCFCDQPGPSFRAYEGMGVQEKNMIEKLGTLEPPKNVIKAKLLDHQKEGLWWLVSKEKSDELPPFWEVKDGLYLNLLTMHQTDRRPEPFHGGIFADDHGLGKTLTFLSLISFDKVGTLPEATGKRDMVMSVSSGKKRGGLVRGKGTGGQKTHTLLGNNTKESSLGMADESSSASVTKQTLIVCPSVVCSTWESQLQEHTHKGSLKLYKYYGNSRTKDVEELKKYDIVLTTYRTLTAECFRCMRCPLMKIEWWRVILDEAHVIKNANARQSRAVTKFTARRRWAVTGTHIQNGLFDLFSLMAFLQLDPLSIKRYWQGLLQRPLADGDENLLQVLMATISLRRIKDKLLIGLPSKTVETVSLKLSGEERELYDRMESSSKDFVDYFIFADRLRSRYSFVHFLVLRLRKLCDDSALCSLDLTSLLPSDNIRDASKHPELLGKMIDMLQDGEDFVCAICGCPPTDAVITKCLHIFCKRCIWYYLPRKEFEKGCPSCGDPISKSGLFSAPRESSNPENTKKTSRTTPSKVSALIELLKESSVVNSSSKSVVFSLFDKMLVLLEEPLKDAGFNTLQLDASTDERGQAEIIKEFGSARPGTVLLASLKTSVFGINLTAASKVYLLEPWWNSADEERAINCVHRYGQKENVRIVRLIAQNSIEERILEMQERKKLASEAFRRQGQKERREVSIDDLCSLLSFWT >Potri.006G135600.3.v4.1 pep chromosome:Pop_tri_v4:6:11173956:11179704:-1 gene:Potri.006G135600.v4.1 transcript:Potri.006G135600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135600.v4.1 MISSNQSVESLATQDTSSVVLGSESDYAVDKVLISEQARLQNDCQNCNGNPSPDGMARGNLKFGNTGLQCNGILPTLSSLNYPNQLPIVGDLTSYLSFSEASNAGCNGREQSEYLRSLKNLQNLSSIPQLWPSQSYEGVSSLPPLMGQDRIEGSGLRGGNLDDDMHIMGKGYMGMDEILRLDKLSASPTTEGKEDLQSCPFSSGIAEPNVNMSMNQLSSMPQTTSAAPVEGCNGTGKTRVRARRGHATDPHSIAERLRREKIAERMKNLQELVPNSNKVDKASMLDEIIEYVKFLQLQVKVLSMSRLGAAGAVIPLLTDGQPEGHNSLSLSPSAGLGIDISPSADQIAFEQEVLKLLESDVTMAMQYLQSKGLCLMPIALAAAISSVKASLSGTTSEERKNNGYTSGLVSSSSSITGIDTHPMSNDNNIATGTLSSKGMIVNGCNEVVKQEVLKNT >Potri.006G135600.4.v4.1 pep chromosome:Pop_tri_v4:6:11173928:11177903:-1 gene:Potri.006G135600.v4.1 transcript:Potri.006G135600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135600.v4.1 MISSNQSVESLATQDTSSVVLGSESDYAVDKVLISEQARLQNDCQNCNGNPSPDGMARGNLKFGNTGLQCNGILPTLSSLNYPNQLPIVGDLTSYLSFSEASNAGCNGREQSEYLRSLKNLQNLSSIPQLWPSQSYEGVSSLPPLMGQDRIEGSGLRGGNLDDDMHIMGKGYMGMDEILRLDKLSASPTTEGKEDLQSCPFSSGIAEPNVNMSMNQLSSMPQTTSAAPVEGCNGTGKTRVRARRGHATDPHSIAERLRREKIAERMKNLQELVPNSNKVDKASMLDEIIEYVKFLQLQVKVLSMSRLGAAGAVIPLLTDGQPEGHNSLSLSPSAGLGIDISPSADQIAFEQEVLKLLESDVTMAMQYLQSKGLCLMPIALAAAISSVKASLSGTTSEERKNNGYTSGLVSSSSSITGIDTHPMSNDNNIATGTLSSKGMIVNGCNEVVKQEVLKNT >Potri.002G245000.1.v4.1 pep chromosome:Pop_tri_v4:2:23631189:23636887:-1 gene:Potri.002G245000.v4.1 transcript:Potri.002G245000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245000.v4.1 MAEEITESPNDPPPETPPTPPSEPEFDSKTMRRTKPGLKRLFLTFTVLVSFLLGFPFLFKSVEIYRSPLPFHDIDSLSNDVVSNPFLFPCHFQAILVPSDPKSSSIDLNLDELELSIRSKITKLASKGIASQCGACTNNFTLSLTLDDGDCTQSSSKFYKCGAIRAVDLDFGDDESVDEALESAGLDSGGKVYSVVVVVNGDGVDGVKVVVGKYRHAWIVGRDWGVEEVAERLAEIFVRVFVNGGREEGLIHGEFMPVGADGRIVLSFNLLNADPSDWTYDWDFRKIDETLLAPMIDALGPIANISVESQVLYHTPKFSVSSWDEKLGGYIFSTKDLPFFVNSNEWHLDTSIAAGGRSKILQFVVYVPSAKECPLLLQLPNGEISKTNAFISPMWGGVMVWNPQSCSRDSDSELLVRHIMSPEDLQKVFEVFVGQFRQLFGLKSGSLHVGAMGTYSLLASENGFTEWELDVLSRQHTCFNIHSSATTLGSLSKLVQSLPRMIIMDEIGKQVKFSLEAAKFARVNASLGFYDASAVSSRQARSLAEDAFFHPSIMSVSYYSFEHCFAVYSPFFLPVSMLVLPAALREWRRYKQEKAKYLLWKAKEKVAS >Potri.001G315800.1.v4.1 pep chromosome:Pop_tri_v4:1:32637927:32641340:1 gene:Potri.001G315800.v4.1 transcript:Potri.001G315800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315800.v4.1 MNIFRLVGDMTHLASVLVLLLKIHTIKSCAGISLKTQELYALVFATRYLDIFTHYVSFYNTVMKLIFLGSSFSIVWYIRRHKLVRRSYDKDHDTFRHLFLVLPCLILALLIHEKFTFREVTWTFSLYLEAVAILPQLVLLQRTRNIDNLTGQYVFLLGAYRALYILNWVYRYFTEPHYVHWITWIAGTVQTLLYADFFYYYFQSWKNNVRLELPA >Potri.001G349000.3.v4.1 pep chromosome:Pop_tri_v4:1:36089804:36095095:-1 gene:Potri.001G349000.v4.1 transcript:Potri.001G349000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349000.v4.1 MITPNLIPRGEMSSFSLQTQLSSLFWGRDLCMLHRHGCGNYRKGNCSSKVYAMSSRSSSGNSVYKMNLNEYMVTLDKPLGIRFALSLDGKIFVHALKKGGNADRSRIIMVGDTLKKASDSSSSSSTTDSLIPINDFGDTMKILLEKTTGGGGGGGAFSLVLERPFSPFPIHQLSSDFDIIFFNRGRVPTATWSKTILSSAFQGNGNSGFVTFSSKFLTPHGWNKLFNHQIVPSQQLNINLSPPITQIVSIFTEKEPGDGEWSHGSFPLEEYIKALDRSKGELYYNHSLGMGYSKVTEQIYVGSCIQTQADVENLSKLGVTAVLNFQSGIEAENWGINSNLINESCQKFNILMINYPIRDADSFDMRKKLPFCVGLLLRLLKKNHRVFVTCTTGFDRSPACVIAYLHWMTDTSLHAAYNFITGLHPCRPDRFFSFIFLLCI >Potri.001G349000.1.v4.1 pep chromosome:Pop_tri_v4:1:36089393:36095138:-1 gene:Potri.001G349000.v4.1 transcript:Potri.001G349000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349000.v4.1 MITPNLIPRGEMSSFSLQTQLSSLFWGRDLCMLHRHGCGNYRKGNCSSKVYAMSSRSSSGNSVYKMNLNEYMVTLDKPLGIRFALSLDGKIFVHALKKGGNADRSRIIMVGDTLKKASDSSSSSSTTDSLIPINDFGDTMKILLEKTTGGGGGGGAFSLVLERPFSPFPIHQLSSDFDIIFFNRGRVPTATWSKTILSSAFQGNGNSGFVTFSSKFLTPHGWNKLFNHQIVPSQQLNINLSPPITQIVSIFTEKEPGDGEWSHGSFPLEEYIKALDRSKGELYYNHSLGMGYSKVTEQIYVGSCIQTQADVENLSKLGVTAVLNFQSGIEAENWGINSNLINESCQKFNILMINYPIRDADSFDMRKKLPFCVGLLLRLLKKNHRVFVTCTTGFDRSPACVIAYLHWMTDTSLHAAYNFITGLHPCRPDRPAIAWATWDLIAMVESDRHEGPATHALTFVWTGQEGEDVSLVGDFTGNWKEPMKASHKGGPRYEVEVRLPQGKYYYKYIINGQWRHSTASPTERDERGNLNNVAVVGDIASVRPSIKQQKKDINIVKVIERPLTENERFMLAKAARCVAFSVCPIRLAPK >Potri.005G191300.1.v4.1 pep chromosome:Pop_tri_v4:5:19854072:19861541:-1 gene:Potri.005G191300.v4.1 transcript:Potri.005G191300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191300.v4.1 MADQEEDDLRTALRMSMQNSPPEPKRSKPRDAGAPVASPEDLRRMKRELMAAAAEKRLLETRVDSPSPSQSLSPSKATVDRSPGKSTDFVRKEVDFGLKEGSSGKELSSEEANELFSMVFGSGVSNDILAQWSNQGIRFSPDPETSMGLVQHEGGPCGVLATIQAFVLKHLLFFPNEIGKVTSNVPQNLGSGGLSKSQYVASDNFSSLTEDAKARALVKSMGEILFMCGDNKRAVIATLNAVGLDTEGFAKNEIIAKALEGLTIESASDLQKILRIDTYTSQTTALQKLHTALPVFQSRMGALLFLISALLSRGLDSIQADRDDPNLPLVTAPFGHASQEIVNLLLCGQAVPNVFDGRMDFGGGMFLKGISMSVEVGFLTLLESLNFCKVGQHLKCPKWPIWVVGSESHYTVLFALDTSVQDENELEERESQIRRAFDAQDQSGGGGFISVEGFHQVLREVGIRLPSEKLDHLCSTGFIVWSEFWQVILDLDKSLGGLKDSSGLMGKKVFDLCHFNGIAKSDINGSHATSGGETMVQRPRLTKLRVSVPPRWTPEEFMADVVVTSGPGGKESSGKDTEVTKPEPSQHAPLVDCIRTRWSRAVCNWVGDPPSIV >Potri.014G187300.1.v4.1 pep chromosome:Pop_tri_v4:14:15734735:15736229:-1 gene:Potri.014G187300.v4.1 transcript:Potri.014G187300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G187300.v4.1 MKRFALEKQNQIKSNLMEGGAEKKRRRESKKKKKDFECDGKAGGGHGRPVEVSASVMGLFSEFAFTGCSGGTGQASSSASSTRPSIKKVEREEEAQKKKRKRSPRLTAAQMRDVAYLRRRPNNRWIPPKSPHELLQENHYHDPWRVLVICMLLNCTSGGQVRPILNDFFTLCPDAKTTTNVDQNEIAQLTRSLGFKNTRAEKIKRLSEIYLQEDWTHVTFLPGVGKYAADAYAIFCTGRWDRVVPEDHMLTRYWEFLRKGRWIIE >Potri.004G099466.1.v4.1 pep chromosome:Pop_tri_v4:4:8596930:8597289:1 gene:Potri.004G099466.v4.1 transcript:Potri.004G099466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099466.v4.1 MLMKYGSISRRERTQPLGERSAGSVFRNPSELGVVAAELIEKAGLKGFGEDGAMISNIHDNFFINAGGSTSQDMLDHIALAKEKVDQKFGVQLREEIIHVQPYSDGLITNRSKYQPCNL >Potri.016G037900.1.v4.1 pep chromosome:Pop_tri_v4:16:2324233:2327417:-1 gene:Potri.016G037900.v4.1 transcript:Potri.016G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037900.v4.1 MNKNLLLVVTTTTLVAIVSILLTSPTKLLGPPTIPTSNDHLHSAKILHVSGAVGPESLVFDPNGEGPYTGVADGRVLKWIAGDDGSGSWTDFATTSSNRNECVRPFAPEMEHVCGRPLGLRFDKKTGNLYIADAYLGLQVVGPTGGLATPVVTELEGQPMRFTNDLDIDEQEDVIYFTDTSMVFQRRQFILSLLTKDKTGRLLKYDKSSKEVTVLARGLAFANGVALSKDSTFLLVAETTTCRILRFWLHGPNAGKSDVFTELPGFPDNIRRNSKGEFWVALHSKKGLFAKVVLSNSWIGKTLLKFPLSFKQLHSLLVGGKAHATAIKLSEEGKVLDVLEDCDGKTLRFISEVEEKDGKLWIGSVLMPFLGTYNL >Potri.005G180800.4.v4.1 pep chromosome:Pop_tri_v4:5:18696290:18703003:1 gene:Potri.005G180800.v4.1 transcript:Potri.005G180800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180800.v4.1 MPASPSFPSSDGRGKWKRRKRGDSQITRKPPKHHHQEETEEPEEEDDAVDADENNNNVIDREDSDDPNPNQQPSGPDPNPQETEVLIDGGVRLCDFPPVTRLAVNRPHASVMAIVAAERACLAGENSNRGQLVVSLENVSYGQLQAVSAVIADCDGSDLERSDGGNTGYVVTPPQIMDGKGVVKRFWSRVHLVPMHSDWFSPALVNRLERQVVPHFFSGKSPDHTPEKYRECRNRIVAKYMENPEKRLTVPDCQGLVVGIDNEDFTRIFRFLDHWGIINYCAAPPSCEYWNGGSYLREDPNGEVHVPSAALKSFDSLIQFDKPKCRLKAADVYSSLSCHDDDLSDLDNRIRECLSENRCNHCSQLLPSVCYQSQKEQVDILLCPDCFHEGRFVTGHSSLDFIKVDSTKDYGDIDGESWSDQETLLLLEAMEIYNENWNEIAEHVGSKSKAQCILHFLRLPVEDGLLENIEVPSMPKSISPSNREDNRRPHSSSNGSCLQGADAENRLPFANSGNPVMALVAFLASAVGPRVAAACAHASLEALSADNRLGSERLHGREGGFHGEVANSIQLEEDSQHGSRGQNGAEVAPPSAEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERIRMLSTRITPAGVASQMNQAGVAPSMVNNNVGNSRQQVMPSSSSQPSISGYGSSNPAHPHNNQQVHSHMSYMQRGQPQPMFPLGPRLPVAAIQPSSPAPSSVMYNASGNSQPNLNQMLRSVSGPSSGLG >Potri.005G180800.1.v4.1 pep chromosome:Pop_tri_v4:5:18696263:18703013:1 gene:Potri.005G180800.v4.1 transcript:Potri.005G180800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180800.v4.1 MPASPSFPSSDGRGKWKRRKRGDSQITRKPPKHHHQEETEEPEEEDDAVDADENNNNVIDREDSDDPNPNQQPSGPDPNPQETEVLIDGGVRLCDFPPVTRLAVNRPHASVMAIVAAERACLAGENSNRGQLVVSLENVSYGQLQAVSAVIADCDGSDLERSDGGNTGYVVTPPQIMDGKGVVKRFWSRVHLVPMHSDWFSPALVNRLERQVVPHFFSGKSPDHTPEKYRECRNRIVAKYMENPEKRLTVPDCQGLVVGIDNEDFTRIFRFLDHWGIINYCAAPPSCEYWNGGSYLREDPNGEVHVPSAALKSFDSLIQFDKPKCRLKAADVYSSLSCHDDDLSDLDNRIRECLSENRCNHCSQLLPSVCYQSQKEVDILLCPDCFHEGRFVTGHSSLDFIKVDSTKDYGDIDGESWSDQETLLLLEAMEIYNENWNEIAEHVGSKSKAQCILHFLRLPVEDGLLENIEVPSMPKSISPSNREDNRRPHSSSNGSCLQGADAENRLPFANSGNPVMALVAFLASAVGPRVAAACAHASLEALSADNRLGSERLHGREGGFHGEVANSIQLEEDSQHGSRGQNGAEVAPPSAEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERIRMLSTRITPAGVASQMNQAGVAPSMVNNNVGNSRQQVMPSSSSQPSISGYGSSNPAHPHNNQQVHSHMSYMQRGQPQPMFPLGPRLPVAAIQPSSPAPSSVMYNASGNSQPNLNQMLRSVSGPSSGLG >Potri.005G180800.5.v4.1 pep chromosome:Pop_tri_v4:5:18696295:18702959:1 gene:Potri.005G180800.v4.1 transcript:Potri.005G180800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180800.v4.1 MPASPSFPSSDGRGKWKRRKRGDSQITRKPPKHHHQEETEEPEEEDDAVDADENNNNVIDREDSDDPNPNQQPSGPDPNPQETEVLIDGGVRLCDFPPVTRLAVNRPHASVMAIVAAERACLAGENSNRGQLVVSLENVSYGQLQAVSAVIADCDGSDLERSDGGNTGYVVTPPQIMDGKGVVKRFWSRVHLVPMHSDWFSPALVNRLERQVVPHFFSGKSPDHTPEKYRECRNRIVAKYMENPEKRLTVPDCQGLVVGIDNEDFTRIFRFLDHWGIINYCAAPPSCEYWNGGSYLREDPNGEVHVPSAALKSFDSLIQFDKPKCRLKAADVYSSLSCHDDDLSDLDNRIRECLSENRCNHCSQLLPSVCYQSQKEQVDILLCPDCFHEGRFVTGHSSLDFIKVDSTKDYGDIDGESWSDQETLLLLEAMEIYNENWNEIAEHVGSKSKAQCILHFLRLPVEDGLLENIEVPSMPKSISPSNREDNRRPHSSSNGSCLQGADAENRLPFANSGNPVMALVAFLASAVGPRVAAACAHASLEALSADNRLGSERLHGREGGFHGEVANSIQLEDSQHGSRGQNGAEVAPPSAEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERIRMLSTRITPAGVASQMNQAGVAPSMVNNNVGNSRQQVMPSSSSQPSISGYGSSNPAHPHNNQQVHSHMSYMQRGQPQPMFPLGPRLPVAAIQPSSPAPSSVMYNASGNSQPNLNQMLRSVSGPSSGLG >Potri.005G180800.6.v4.1 pep chromosome:Pop_tri_v4:5:18696310:18702977:1 gene:Potri.005G180800.v4.1 transcript:Potri.005G180800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180800.v4.1 MPASPSFPSSDGRGKWKRRKRGDSQITRKPPKHHHQEETEEPEEEDDAVDADENNNNVIDREDSDDPNPNQQPSGPDPNPQETEVLIDGGVRLCDFPPVTRLAVNRPHASVMAIVAAERACLAGENSNRGQLVVSLENVSYGQLQAVSAVIADCDGSDLERSDGGNTGYVVTPPQIMDGKGVVKRFWSRVHLVPMHSDWFSPALVNRLERQVVPHFFSGKSPDHTPEKYRECRNRIVAKYMENPEKRLTVPDCQGLVVGIDNEDFTRIFRFLDHWGIINYCAAPPSCEYWNGGSYLREDPNGEVHVPSAALKSFDSLIQFDKPKCRLKAADVYSSLSCHDDDLSDLDNRIRECLSENRCNHCSQLLPSVCYQSQKEVDILLCPDCFHEGRFVTGHSSLDFIKVDSTKDYGDIDGESWSDQETLLLLEAMEIYNENWNEIAEHVGSKSKAQCILHFLRLPVEDGLLENIEVPSMPKSISPSNREDNRRPHSSSNGSCLQGADAENRLPFANSGNPVMALVAFLASAVGPRVAAACAHASLEALSADNRLGSERLHGREGGFHGEVANSIQLEDSQHGSRGQNGAEVAPPSAEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERIRMLSTRITPAGVASQMNQAGVAPSMVNNNVGNSRQQVMPSSSSQPSISGYGSSNPAHPHNNQQVHSHMSYMQRGQPQPMFPLGPRLPVAAIQPSSPAPSSVMYNASGNSQPNLNQMLRSVSGPSSGLG >Potri.009G168000.2.v4.1 pep chromosome:Pop_tri_v4:9:12805049:12810310:1 gene:Potri.009G168000.v4.1 transcript:Potri.009G168000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168000.v4.1 MRRVGCDYRCLLIPAALAFFYIQMRLFVTQSKYEDRLAAAIESENHCTSQSRLLIDQISIQQGTIVSLQEQNKRQSEECRQLKALLEDLERKGLKKLVDKVPVAAVVIMACNRADYLERTIESVLKYQSSVASKYPLFVSQDGTDPNVRSKAMSYDQLMYIQHLDSEPVHTERPGELIAYYKIARHYKWAMDQLFYKHNFSRVIILEDDMEIAPDFFDYFEAAAALLDKDKSIMAVSSWNDNGQKQFVHDPYELYRSDFFPGLGWMLTKSIWDELSPKWPKAYWDDWLRLKENHKGRQFIRPEVCRTYNFGEHGSSMGQFFQQYLQPIKLNDVKVDWKSRDLSYLMKDKYTKHFADIVRKAKPIQGTDAVLKASNIEGDVRIQYKDQPDFERIARQLGIFQEWKDGIPRTSFKGVVVFRYRTTRRVFLVGPDSLRQLGIKDARNI >Potri.003G091600.1.v4.1 pep chromosome:Pop_tri_v4:3:11795192:11796847:1 gene:Potri.003G091600.v4.1 transcript:Potri.003G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091600.v4.1 MEAARHSHSSMSLVPRLDHLDFVMKYLEGKRHLPKWRGNCSVGGAGRQSMPMDLAVKEACLKGSLMDRVACLEHRLFQLCLELDSSSTSATSSRASGCASSGQGLPTFTLATFNNPNQGHKEESLDHANRVELQAREKSQMQEQERKHSKPTKQELGKNRPNRDDKKCKSGKKKVPPKWPRLKLLGC >Potri.018G027001.10.v4.1 pep chromosome:Pop_tri_v4:18:1993652:2002547:1 gene:Potri.018G027001.v4.1 transcript:Potri.018G027001.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027001.v4.1 MKYDPECEVPSCYLVSDDDATFAQEKSLHEIQFIHLMASWWAGLPSLQLFLLEELVLPMIQFIAPMMNLSRMQGCAFMGVHYVIMQWQNSVLLLGESTVLKLQVKKLRMHVELKISVMELTTLVHHGSAANEKHGNQPSADVKLGHLASGIDSSSAVQITETHLADLLDCTPWKSETCPII >Potri.004G109900.1.v4.1 pep chromosome:Pop_tri_v4:4:9819631:9820020:-1 gene:Potri.004G109900.v4.1 transcript:Potri.004G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109900.v4.1 MGSFGKNISSTLFLFIGILMIITPGFAIRTNEENPELSQHLEECHTKVTKRCAIEISNSIYTNNTPSEYCCQKHVTTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPAASTLPILP >Potri.005G108300.3.v4.1 pep chromosome:Pop_tri_v4:5:7818770:7821330:1 gene:Potri.005G108300.v4.1 transcript:Potri.005G108300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108300.v4.1 MAAKSYYYLATQPTSFPNSPAPLIHETRIANNNRRHDKHIWGALIIGIVTISVVTSLCLFFRRRLFPIFWQRYWLHKGSLKAGTLSLRRFQLEELERATKNFSDDSLLGSGAFANVYKGTFELEGTALAIKRDHAESYQSTEEFRNGAKRTQMLVYEYVPNGSLLDYITGKGGRSLTWRQRVNIAIGAAKGIAHLHDGIKPSIIHRDIKPSNILVGDGFEAKVSDFGLVKMGPIGDQSYVSSQIKGTPGYLDPAYCSSCHLSPFSDVYSFGVILLQLVTARPAVDLTRNPSNYNIIEWARPSLESGRVEEILDANLLTDSCDMEMMLKMGELGLRCVVKNPKDRPTMTRVWQELEEALYLADNFVDKEPSKDYWRSSSSSRRSMDRGPRRSYENSQSFVSIDGVGFQRFHVEMDSIFFHSTSMKCLEAASVNIDIDKNNLRGISEETSKEEIS >Potri.005G108300.2.v4.1 pep chromosome:Pop_tri_v4:5:7818770:7821330:1 gene:Potri.005G108300.v4.1 transcript:Potri.005G108300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108300.v4.1 MAAKSYYYLATQPTSFPNSPAPLIHETRIANNNRRHDKHIWGALIIGIVTISVVTSLCLFFRRRLFPIFWQRYWLHKGSLKAGTLSLRRFQLEELERATKNFSDDSLLGSGAFANVYKGTFELEGTALAIKRDHAESYQSTEEFRNEVNLLSKVKHRNLVGLVGFCEQTGAKRTQMLVYEYVPNGSLLDYITGKGGRSLTWRQRVNIAIGAAKGIAHLHDGIKPSIIHRDIKPSNILVGDGFEAKVSDFGLVKMGPIGDQSYVSSQIKGTPGYLDPAYCSSCHLSPFSDVYSFGVILLQLVTARPAVDLTRNPSNYNIIEWARPSLESGRVEEILDANLLTDSCDMEMMLKMGELGLRCVVKNPKDRPTMTRVWQELEEALYLADNFVDKEPSKDYWRSSSSSRRSMDRGPRRSYENSQSFVSIDGVGFQRFHVEMDSIFFHSTSMKCLEAASVNIDIDKNNLRGISEETSKEEIS >Potri.005G092950.1.v4.1 pep chromosome:Pop_tri_v4:5:6499077:6499698:-1 gene:Potri.005G092950.v4.1 transcript:Potri.005G092950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092950.v4.1 MVSLRRKRLGQQGAAGFCCELPMVARHGPVTKQLTVCCQSLPSLLMTRKDLCWEIMGFCFGILDKDIAFSWFLNDAAILYP >Potri.005G092950.2.v4.1 pep chromosome:Pop_tri_v4:5:6499076:6499698:-1 gene:Potri.005G092950.v4.1 transcript:Potri.005G092950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092950.v4.1 MVSLRRKRLGQQGAAGFCCELPMVARHGPVTKQLTVCCQSLPSLLMTRKDLCWEIMGFCFGILDKDIAFSW >Potri.001G281000.1.v4.1 pep chromosome:Pop_tri_v4:1:29475836:29476854:1 gene:Potri.001G281000.v4.1 transcript:Potri.001G281000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281000.v4.1 MDSTSGGATAPGPNSGEPCPSAATAGSSSASQPQQQPEGSSPPAPPSRYESQKRRDWNTFLQYIKNHKPPLTLARCSGAHVIEFLKYLDPFGKTKVHITGCPYFGHPNPPAPCSCPLKQAWGSLDALIGRLRAAYEENGGLPESNPFGARAVRIYLREVREGQAKARGIPYEKKKKRKRPNVAVSVASVSVKAAAGGSNSGGGEESGGGGDSSAAATSAAAAAATTTV >Potri.010G202300.2.v4.1 pep chromosome:Pop_tri_v4:10:19437398:19439549:-1 gene:Potri.010G202300.v4.1 transcript:Potri.010G202300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202300.v4.1 NESQIVLLDQNLVNVFKSENNCVNQNYVNIPHLPPDSTFNQPVVSPSMSQEVDSHEDFDFSDVVLKYISQMLMEEEMEDKTCMFQESSAALLAAEQSLYELIGPDKNHDLNCSNYTSSTSRSSGSNLVDLGEYKFSRRASQFASRSSYSSGNSTVIVDGYVDSPVGPNMVAEIFRESESVMQFKKGFEEARKFIPNGDLFTDLEINGLFLRDPKEDAKDVLGMTEEKNENENHTDGLRRKKSPHLEELALEGFRSNKQSAVYSESTASPADFDMVLLNCGRDESSIRAALHNGESKIVQQNGQAKGGKARGKRQGGKRNVVDLRTLLTLCAEAVAADNRRSAIDLLKQIRQNAPPTGDAMQRLANVFADGLEARLAGSGTQIYKALISRPTSAADVLEAYHMVLTACPFRKLSNFFSNITIKKIAENATRVHIVDFGIMYGFQWPSLIQRLSSRPGGPPKLRITGIDLPNPGFRPAERVEETGRRLENYANTFKVPFEFNAIAQMWDTVQIEDLKIDRNESPRDTVLNLIRKMNPDVFIHGVVNGAYSAPFFITRFREALFHFSTLFDMLEANVPREVPERVLFERDIFGWEAMNVIACEGAERIERPETYKQWQMRIQRAGFRQLPVNREIFTTAKERVQALHHKDFVIDVDSQWLLQGWKGRIVYALSSWKPDS >Potri.014G054600.2.v4.1 pep chromosome:Pop_tri_v4:14:3481852:3487624:1 gene:Potri.014G054600.v4.1 transcript:Potri.014G054600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054600.v4.1 MARWDEILSLPVQNPPTLEFSASDIVWSKVEGWRDNLDRLALIPFARVDDFVRGESANKDCPTRFHVEARRRRPPQTSYKQKVDGILEYILYWCSFGPDDHRKGGIVRPSRTTYVPKKKNAGRPNTKRGCTCHFIVKRLIAEPSVALIIYNQDKHVDKKGLPCHGPRDKKAEGTRAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVERQGGPCNRDDLLTHRYVRRQERSIRRSTYELDSDDAVSINMWVESHQNQVFFFEDFSDSEPFTLGIQTEWQLQQMIRFGNRGLVASDSRFGTNKLKYPVHSLVVFNSDNKAIPVAWIITPRFASADAHRWMRALYNRVCMKDPSWKLAGFIVDDPLTDILTIREVFQCSVLISFWRVRHAWHKNLIKRCMETEMRVQISRRLGQTVDDICRGQGTVHLFEVLMEDFVDGSSFMDYFKATWYPRIGSWTTALKNLPLASQETCAAMEFYHRQLKVRLLNEKNPGVYQRADWLVDKLGTKVHSYFWLDEYSERDDFARYWKDEWISGLTSWRKALKIPDSDVVVEYRCAKVTDQLDRDRVHVVWNPGSEFAICDCKWAEMGNLCEHVFKVIKLYRDKGSRKSSISLFQYNQALINMLRCPPYDCLIRDHAASLAVAVQKQLDGIVNLDGSQTNADTTEKKSANSLEQQVVCGTDSSNQDKEVVNANHHIDKDLPSYTENDCQERDAHEISRGVTGDFVDGVREESACARMDVDPSSNCISPPGIIILTDSSLRSVDDVTRNQVDLDSNQSAVDATQKQALDSLEQQMSGTNLSNQARELVNENDRMDKDVSSLYRNDHQVRHEASGSHKGDFVDGVGEQVACPQMDIDQPTTCISLPGLLSVNEIASNESFENGDRIINNAVSDTSKSPPSHDVSTDQVRHEHNSVMDVRPLSIDIPSSMESMEQCEVTTQNVSRNKDPKPLVSTNTADASIDKASDAQMIDTVQSLMGNTDNEMMKPESDGGSRTESHGCDDNAFSLKGVCDNKASNSNLHHDAKAVDSLNSESLASSLPISRCIEEQPSGKVETEGAGSFKKPSLSDKLVFTRRTRQKRVANDKSEN >Potri.004G060900.1.v4.1 pep chromosome:Pop_tri_v4:4:5075733:5077301:1 gene:Potri.004G060900.v4.1 transcript:Potri.004G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G060900.v4.1 MDSRFIINPLICEQEENDNSTQESGPESSPSNDMKMASTSSPKRSKKAMQKRIVSVPIKDLEGPRLKGENASPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSKLDPTMLVVTYSCEHNHPWPPPSRSTHHHNSSPKHNTTKPEVSATQPENPESEPEEKFTDLGNGEPLISNTTTSDEFSWFGVMETTSSTILETPIFAEAEADADMASMFFPMREEDESLFADLGELPECSSVFRHHRRGVGPQVQTC >Potri.007G120002.1.v4.1 pep chromosome:Pop_tri_v4:7:13803434:13804735:-1 gene:Potri.007G120002.v4.1 transcript:Potri.007G120002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120002.v4.1 MGRNKLPMKKIDNPSRRKITYSKRRDGIIKKATELSVLCDTDVGLLMFSPNGRLTTFASNGRIEDVFLRYFDQSNVLEAGRFRSNSMKSARNYKRDKKR >Potri.005G211100.4.v4.1 pep chromosome:Pop_tri_v4:5:21530083:21539206:1 gene:Potri.005G211100.v4.1 transcript:Potri.005G211100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211100.v4.1 MREGLRSSVNLLAKMEKNEVSPSKEMVTEKVGGLKQGDCEFTDEENPKLNQEEALKEEMEVDQRDRLKIEECEGGNDLRECNAVEGSTNRRKRSMVDGEGEIEDGGVEKKKLKEGADGENVVVVRVLRSRSVTKTKSRKEIDRGQQTGGSDGSERKRVEVKIEERDHSDGEDSGKLDNEARDKSKQNHGSSPKDQKSDRTEKRLAEVKKKDSDHSDGEDPDQSDNDAGRMLKHKRGRPSKAQKSDGPEKKRIEVVNEESHQSAGTEIEQADNEAREKLKPKCGTPPKVQKNDVSEKKRVEVKREESDQSAGEESDRAHSGARKILKHKHGMCHKGQKSDGSEKKRVKVGKEGSHQSAGEESELSGNEMSEKLKPKRGRPPKAKESDESGKKSIEVVDGDSAESSGQESDESYGKVGQKRKPKRGRPSKLNKGVKVGGLRKRQWGEMTRHNKNHNVGARSAVSGKKLGKKSNATKLATARKNKCSNDEKEEGRSIQKAVVREKIIELLLGAGWTIERRPRNGREYCDAVYVNPEGRTHWSVTLAYRVLKQHYEGGGGDSNTCKTGFKFTPLPDEELSILAKVIGKERSDKNKKKKKWKQVKDGKTGEGVAKEKNKKGKLHKRKQDALAIPGRKKLKDSTKRKSSLCEQDDCAGMSDDGTTVRDFKQLKTHNRKRCALMIRNSKEGAGSDGGGYVLYNGKRTVLAWMIDMGTVPLAGKVQYLKRRKTRTVLKGKITTDGIQCDCCGETFAISDFEAHAGSKSCQPLKNIFLENGPSLLHCQLESWHRQDESDRKGFHFVDIDGQDPNDDTCGICGDGGNLICCDSCPSTFHQSCLEIKKLPSGVWNCTYCSCKFCGMAGGDACQMDENDAAARPALLTCCLCEEKYHHSCIPAEDTINDYHSSLSFCGKKCQELHDKLQALLGVKHEMEEGFAWTVVRRFDVGSDITLSGMHRKVECNSKVAVALHIMDECFLPMPDHRSGVNLIRNIVYNFGSNFNRLNYCGFLTAILERGDEVISAASIRIHGNQLAEMPFIGTRHMYRRQGMCRRLLGAIETALCSLNVEKLVIPAISELRETWTSVFGFKQLEGLSKQKMRYMKMVAFPGVDMLQKPLLKDHQFAEANTVPTEGLTSLELKEQYTIDEISCNSDEKCSSVRFDLKGSSEISIPHTGNINDQAAAVESGSLPDCLNDISDVTSENTNLPACPKDKAVDQLSAVSISLCDANEQTREVTEHQSAVSGSIATSDCERKLKGDTHKDQNDVSKIESKLFDVSFIGSEAADSQGKCQCASREVTETVPCEVKGEDSSDRQNLDSLDDDSMPTSKIIASQLQYFAFGHELKVSDINAVHYESTTCNISSDIVQSTTTTVPQKVQDAVYGHCGALPVDQNISTSCQGKGPNAKDMVVLATADSNSVDSDVTAKADLQSCRSNGSCIATELIVSPCGVDADGVHDIEEVSDTVQSDALSPDGGLISDGPRINTKSSEHPNSVSEVEPANLTQCASEPLRNSSSAPGVGLHCASGDGNSCGAPEVIILSNQAS >Potri.005G211100.5.v4.1 pep chromosome:Pop_tri_v4:5:21530083:21539248:1 gene:Potri.005G211100.v4.1 transcript:Potri.005G211100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211100.v4.1 MREGLRSSVNLLAKMEKNEVSPSKEMVTEKVGGLKQGDCEFTDEENPKLNQEEALKEEMEVDQRDRLKIEECEGGNDLRECNAVEGSTNRRKRSMVDGEGEIEDGGVEKKKLKEGADGENVVVVRVLRSRSVTKTKSRKEIDRGQQTGGSDGSERKRVEVKIEERDHSDGEDSGKLDNEARDKSKQNHGSSPKDQKSDRTEKRLAEVKKKDSDHSDGEDPDQSDNDAGRMLKHKRGRPSKAQKSDGPEKKRIEVVNEESHQSAGTEIEQADNEAREKLKPKCGTPPKVQKNDVSEKKRVEVKREESDQSAGEESDRAHSGARKILKHKHGMCHKGQKSDGSEKKRVKVGKEGSHQSAGEESELSGNEMSEKLKPKRGRPPKAKESDESGKKSIEVVDGDSAESSGQESDESYGKVGQKRKPKRGRPSKLNKGVKVGGLRKRQWGEMTRHNKNHNVGARSAVSGKKLGKKSNATKLATARKNKCSNDEKEEGRSIQKAVVREKIIELLLGAGWTIERRPRNGREYCDAVYVNPEGRTHWSVTLAYRVLKQHYEGGGGDSNTCKTGFKFTPLPDEELSILAKVIGKERSDKNKKKKKWKQVKDGKTGEGVAKEKNKKGKLHKRKQDALAIPGRKKLKDSTKRKSSLCEQDDCAGMSDDGTTVRDFKQLKTHNRKRCALMIRNSKEGAGSDGGGYVLYNGKRTVLAWMIDMGTVPLAGKVQYLKRRKTRTVLKGKITTDGIQCDCCGETFAISDFEAHAGSKSCQPLKNIFLENGPSLLHCQLESWHRQDESDRKGFHFVDIDGQDPNDDTCGICGDGGNLICCDSCPSTFHQSCLEIKLPSGVWNCTYCSCKFCGMAGGDACQMDENDAAARPALLTCCLCEEKYHHSCIPAEDTINDYHSSLSFCGKKCQELHDKLQALLGVKHEMEEGFAWTVVRRFDVGSDITLSGMHRKVECNSKVAVALHIMDECFLPMPDHRSGVNLIRNIVYNFGSNFNRLNYCGFLTAILERGDEVISAASIRIHGNQLAEMPFIGTRHMYRRQGMCRRLLGAIETALCSLNVEKLVIPAISELRETWTSVFGFKQLEGLSKQKMRYMKMVAFPGVDMLQKPLLKDHQFAEANTVPTEGLTSLELKEQYTIDEISCNSDEKCSSVRFDLKGSSEISIPHTGNINDQAAAVESGSLPDCLNDISDVTSENTNLPACPKDKAVDQLSAVSISLCDANEQTREVTEHQSAVSGSIATSDCERKLKGDTHKDQNDVSKIESKLFDVSFIGSEAADSQGKCQCASREVTETVPCEVKGEDSSDRQNLDSLDDDSMPTSKIIASQLQYFAFGHELKVSDINAVHYESTTCNISSDIVQSTTTTVPQKVQDAVYGHCGALPVDQNISTSCQGKGPNAKDMVVLATADSNSVDSDVTAKADLQSCRSNGSCIATELIVSPCGVDADGVHDIEEVSDTVQSDALSPDGGLISDGPRINTKSSEHPNSVSEVEPANLTQCASEPLRNSSSAPGVGLHCASGDGNSCGAPEVIILSNQAS >Potri.005G211100.6.v4.1 pep chromosome:Pop_tri_v4:5:21530039:21539233:1 gene:Potri.005G211100.v4.1 transcript:Potri.005G211100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211100.v4.1 MREGLRSSVNLLAKMEKNEVSPSKEMVTEKVGGLKQGDCEFTDEENPKLNQEEALKEEMEVDQRDRLKIEECEGGNDLRECNAVEGSTNRRKRSMVDGEGEIEDGGVEKKKLKEGADGENVVVVRVLRSRSVTKTKSRKEIDRGQQTGGSDGSERKRVEVKIEERDHSDGEDSGKLDNEARDKSKQNHGSSPKDQKSDRTEKRLAEVKKKDSDHSDGEDPDQSDNDAGRMLKHKRGRPSKAQKSDGPEKKRIEVVNEESHQSAGTEIEQADNEAREKLKPKCGTPPKVQKNDVSEKKRVEVKREESDQSAGEESDRAHSGARKILKHKHGMCHKGQKSDGSEKKRVKVGKEGSHQSAGEESELSGNEMSEKLKPKRGRPPKAKESDESGKKSIEVVDGDSAESSGQESDESYGKVGQKRKPKRGRPSKLNKGVKVGGLRKRQWGEMTRHNKNHNVGARSAVSGKKLGKKSNATKLATARKNKCSNDEKEEGRSIQKAVVREKIIELLLGAGWTIERRPRNGREYCDAVYVNPEGRTHWSVTLAYRVLKQHYEGGGGDSNTCKTGFKFTPLPDEELSILAKVIGKERSDKNKKKKKWKQVKDGKTGEGVAKEKNKKGKLHKRKQDALAIPGRKKLKDSTKRKSSLCEQDDCAGMSDDGTTVRDFKQLKTHNRKRCALMIRNSKEGAGSDGGGYVLYNGKRTVLAWMIDMGTVPLAGKVQYLKRRKTRTVLKGKITTDGIQCDCCGETFAISDFEAHAGSKSCQPLKNIFLENGPSLLHCQLESWHRQDESDRKGFHFVDIDGQDPNDDTCGICGDGGNLICCDSCPSTFHQSCLEIKLPSGVWNCTYCSCKFCGMAGGDACQMDENDAAARPALLTCCLCEEKYHHSCIPAEDTINDYHSSLSFCGKKCQELHDKLQALLGVKHEMEEGFAWTVVRRFDVGSDITLSGMHRKVECNSKVAVALHIMDECFLPMPDHRSGVNLIRNIVYNFGSNFNRLNYCGFLTAILERGDEVISAASIRIHGNQLAEMPFIGTRHMYRRQGMCRRLLGAIETALCSLNVEKLVIPAISELRETWTSVFGFKQLEGLSKQKMRYMKMVAFPGVDMLQKPLLKDHQFAEANTVPTEGLTSLELKEQYTIDEISCNSDEKCSSVRFDLKGSSEISIPHTGNINDQAAAVESGSLPDCLNDISDVTSENTNLPACPKDKAVDQLSAVSISLCDANEQTREVTEHQSAVSGSIATSDCERKLKGDTHKDQNDVSKIESKLFDVSFIGSEAADSQGKCQCASREVTETVPCEVKGEDSSDRQNLDSLDDDSMPTSKIIASQLQYFAFGHELKVSDINAVHYESTTCNISSDIVQSTTTTVPQKVQDAVYGHCGALPVDQNISTSCQGKGPNAKDMVVLATADSNSVDSDVTAKADLQSCRSNGSCIATELIVSPCGVDADGVHDIEEVSDTVQSDALSPDGGLISDGPRINTKSSEHPNSVSEVEPANLTQCASEPLRNSSSAPGVGLHCASGDGNSCGAPEVIILSNQAS >Potri.005G211100.2.v4.1 pep chromosome:Pop_tri_v4:5:21530031:21539255:1 gene:Potri.005G211100.v4.1 transcript:Potri.005G211100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211100.v4.1 MREGLRSSVNLLAKMEKNEVSPSKEMVTEKVGGLKQGDCEFTDEENPKLNQEEALKEEMEVDQRDRLKIEECEGGNDLRECNAVEGSTNRRKRSMVDGEGEIEDGGVEKKKLKEGADGENVVVVRVLRSRSVTKTKSRKEIDRGQQTGGSDGSERKRVEVKIEERDHSDGEDSGKLDNEARDKSKQNHGSSPKDQKSDRTEKRLAEVKKKDSDHSDGEDPDQSDNDAGRMLKHKRGRPSKAQKSDGPEKKRIEVVNEESHQSAGTEIEQADNEAREKLKPKCGTPPKVQKNDVSEKKRVEVKREESDQSAGEESDRAHSGARKILKHKHGMCHKGQKSDGSEKKRVKVGKEGSHQSAGEESELSGNEMSEKLKPKRGRPPKAKESDESGKKSIEVVDGDSAESSGQESDESYGKVGQKRKPKRGRPSKLNKGVKVGGLRKRQWGEMTRHNKNHNVGARSAVSGKKLGKKSNATKLATARKNKCSNDEKEEGRSIQKAVVREKIIELLLGAGWTIERRPRNGREYCDAVYVNPEGRTHWSVTLAYRVLKQHYEGGGGDSNTCKTGFKFTPLPDEELSILAKVIGKERSDKNKKKKKWKQVKDGKTGEGVAKEKNKKGKLHKRKQDALAIPGRKKLKDSTKRKSSLCEQDDCAGMSDDGTTVRDFKQLKTHNRKRCALMIRNSKEGAGSDGGGYVLYNGKRTVLAWMIDMGTVPLAGKVQYLKRRKTRTVLKGKITTDGIQCDCCGETFAISDFEAHAGSKSCQPLKNIFLENGPSLLHCQLESWHRQDESDRKGFHFVDIDGQDPNDDTCGICGDGGNLICCDSCPSTFHQSCLEIKKLPSGVWNCTYCSCKFCGMAGGDACQMDENDAAARPALLTCCLCEEKYHHSCIPAEDTINDYHSSLSFCGKKCQELHDKLQALLGVKHEMEEGFAWTVVRRFDVGSDITLSGMHRKVECNSKVAVALHIMDECFLPMPDHRSGVNLIRNIVYNFGSNFNRLNYCGFLTAILERGDEVISAASIRIHGNQLAEMPFIGTRHMYRRQGMCRRLLGAIETALCSLNVEKLVIPAISELRETWTSVFGFKQLEGLSKQKMRYMKMVAFPGVDMLQKPLLKDHQFAEANTVPTEGLTSLELKEQYTIDEISCNSDEKCSSVRFDLKGSSEISIPHTGNINDQAAAVESGSLPDCLNDISDVTSENTNLPACPKDKAVDQLSAVSISLCDANEQTREVTEHQSAVSGSIATSDCERKLKGDTHKDQNDVSKIESKLFDVSFIGSEAADSQGKCQCASREVTETVPCEVKGEDSSDRQNLDSLDDDSMPTSKIIASQLQYFAFGHELKVSDINAVHYESTTCNISSDIVQSTTTTVPQKVQDAVYGHCGALPVDQNISTSCQGKGPNAKDMVVLATADSNSVDSDVTAKADLQSCRSNGSCIATELIVSPCGVDADGVHDIEEVSDTVQSDALSPDGGLISDGPRINTKSSEHPNSVSEVEPANLTQCASEPLRNSSSAPGVGLHCASGDGNSCGAPEVIILSNQAS >Potri.003G008600.1.v4.1 pep chromosome:Pop_tri_v4:3:811397:813293:1 gene:Potri.003G008600.v4.1 transcript:Potri.003G008600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008600.v4.1 MSLRPRTTPSSKKSSIPAEISTGSSSSATSNDNYINKNEPETPKSPSRPPTFSQRAISQTLTSTANLANLLPTGTLLAFQILTPIFTNNGACDSATAPMTSVLLALLAVSCFLGSFTDSVKSPTDGQVYYGFATLKGMFLFDCPDPVGSGLSDLSKLKLRFIDVVHAVLSVLVFVAVALRDKNVLSCFYPMPKHETQEVLDVIPVGIGLICSLLFMAFPTRRHGIGYPVTPGK >Potri.001G093700.1.v4.1 pep chromosome:Pop_tri_v4:1:7415918:7418539:-1 gene:Potri.001G093700.v4.1 transcript:Potri.001G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093700.v4.1 MADTAVAPTVPKKSRNNRKALKQKNPSTNEANIMAQKLPEPSPASVLPPSDTDSSKENLESLSQPRSSPKKGKSKAAKAKQNKEAAASSFEKDFQEMQEMLQQLKLEKEKTEVLLKEKDEMLKAKDEEIEMKGKEQEKMKTELKKLQKLKEFKPIMTLPFVQVLNEKEQDKKKKKGGNEIKRPCPPYSLWCKDQWNEVKKENPDAEFKDISHILGAKWKTITAEEKKPYEEKYQVEKEAYLKLMTKEKRESEAMKLLEEEQKQKTAMELLEQYLQFKQEAGQEENKKNKTKKEKDPLKPKHPLSAFFLFSNERRAALLAENKNVLEVAKIAGEEWKNMTEKQKRPYEEIAKKNKEKYTQEMEAYKQNKDEEAMNLKKEGEELMKLQKQEALQLLKKKEKTENIIKKTKEQRQKKQQQNADPNKPKKPASSFLLFSKETRKSLVHEHPGINSSTLTAMISVKWKELIQEEKQIWNCKAAEAMEAYKKELEEYHKSVAAT >Potri.006G082800.4.v4.1 pep chromosome:Pop_tri_v4:6:6140560:6144467:-1 gene:Potri.006G082800.v4.1 transcript:Potri.006G082800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082800.v4.1 MQMSFQNQGLWMVKGAECINDGEINYDNSSSRIESKRSHQWLMDGEAELFPNKKQAIGVPTNNLFTGMLSTNATPWGSASSFQSVSGHFTERFLDSETNRAVDFDDRSIASVSSGKINSIGRKLDEHLFGNDSPFGLSMPHMLEDPRSGLNYGGIRKVKVSQVKESENAMLASLEHAFSRVDRNTMSVAQSYDKDESIISMGLAYNKQDENGMSTGTYDRENNIFISMRKPCNKGDEHISMSQTYKENGNAIPMGHTFSNGENNTISMGQTYSKVDENIISMGHMGHIYNKGNSGMVSVDQTYDKDGNNSLSIGQSRNKGESTIISFGGYDDDDTNCSGKLTSSYELLMAQPSFQRSEVRNDNEVVKSNVDTRVSALHVATSRTDNVSKKKDDIKTAKKLPSNNFPSNVRSLLSTGMLDGVPVKYVAWSQEELRGVIKGSGYLCGCQTCNFSKVVNAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQNMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDEGKPS >Potri.006G082800.1.v4.1 pep chromosome:Pop_tri_v4:6:6140556:6144547:-1 gene:Potri.006G082800.v4.1 transcript:Potri.006G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082800.v4.1 MQMSFQNQGLWMVKGAECINDGEINYDNSSSRIESKRSHQWLMDGEAELFPNKKQAIGVPTNNLFTGMLSTNATPWGSASSFQSVSGHFTERFLDSETNRAVDFDDRSIASVSSGKINSIGRKLDEHLFGNDSPFGLSMPHMLEDPRSGLNYGGIRKVKVSQVKESENAMLASLEHAFSRVDRNTMSVAQSYDKDESIISMGLAYNKQDENGMSTGTYDRENNIFISMRKPCNKGDEHISMSQTYKENGNAIPMGHTFSNGENNTISMGQTYSKVDENIISMGHMGHIYNKGNSGMVSVDQTYDKDGNNSLSIGQSRNKGESTIISFGGYDDDDTNCSGKLTSSYELLMAQPSFQRSEVRNDNEVVKSNVDTRVSALHVATSRTDNVSKKKDDIKTAKKLPSNNFPSNVRSLLSTGMLDGVPVKYVAWSQEKELRGVIKGSGYLCGCQTCNFSKVVNAYEFERHANCKTKHPNNHIYFENGKTIYGIVQELRSTPQNMLFEVIQTITGSPINQKSFRLWKESFLAATRELQRIYGKDEGKPS >Potri.014G103300.1.v4.1 pep chromosome:Pop_tri_v4:14:6903376:6904169:1 gene:Potri.014G103300.v4.1 transcript:Potri.014G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103300.v4.1 MGSGEKSLRNFHLHLPHLHHHKKQARDVPKGCLAIKVGQGEEQQRFVVPVIYFNHPLFIQLLKEAEEEYGFDQKGTISIPCHVEEFRNVQGMIDREKSIHHHHLVGCFRA >Potri.001G399200.1.v4.1 pep chromosome:Pop_tri_v4:1:42528340:42529432:1 gene:Potri.001G399200.v4.1 transcript:Potri.001G399200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399200.v4.1 MASSLLKLAAILSLVFSILLALNTQITLSSDIEDDDEEYVLDTPLEGFRSRSRFLASVIKKGARCNAERNNICNGVSANKGTGLLYCCKKHCRNVLGDKNNCGMCGNKCKFAESCCNGRCTDIISNVNHCGKCNKKCAPGVRCHYGTCGYA >Potri.014G020700.2.v4.1 pep chromosome:Pop_tri_v4:14:1265553:1267918:-1 gene:Potri.014G020700.v4.1 transcript:Potri.014G020700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G020700.v4.1 MATLFLYFPVFLALYIISTHFLNKIRNFPPSPFPSLPIIGHLYLLKKPLYRTLSKISDKHGPVILLQLGSRRQLVVSSPSIAEECFTKNDVVFANRPRLLFVKHLAYNSTSLGWAPYGDHWRNLRKIVSIEVLSAYRLQMLSSIRLEEVKSMICGLFRNQNQSVDMRTVFFELTLNIMMRMIAEKRYYGGNVSDVEEAKRFRAIHAESFLLGGKTIIGDYIPWIKSKEMEKRLIECNLKRDSFLQCLIEEQRRKILEGDCCGEKKKNLIQVLLSLQETEPEYYTDDIIKGLVVVILLAGTHTSSSTMEWALSLLLNHPQVLEKAKREIDEHIGHDRLMDEADLAQTSLPPQHPQRNVTDVPGSSVASTS >Potri.001G252104.1.v4.1 pep chromosome:Pop_tri_v4:1:26838863:26839359:1 gene:Potri.001G252104.v4.1 transcript:Potri.001G252104.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252104.v4.1 MFRANGGCGCVKKPGFSLSEPDSDPSVQLTVEKILKVKIYFGAGWHLDFRCTHFDLYSPPDFFARDVQWAVRLVVVRASWPGHPRK >Potri.005G050240.1.v4.1 pep chromosome:Pop_tri_v4:5:3183013:3185893:-1 gene:Potri.005G050240.v4.1 transcript:Potri.005G050240.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050240.v4.1 MMMFTRKSAYRATASDFRILQQHMEMGFFPSSFLFFNHHHISTSACTRKPSLPQNNGGFVSNNSTNISIDDALASFYRMVRMNPRPSVVEFVKVVGSFAKKKQYSTVVSLCNQMDLFGVTHNVYSLNILINCLCRLNHVDFSVSVLGKMFKLGIHPTASTFNALINGLCNEGKIKEAVGLFNEMVRRGHEPNVISYNTIIKGLFKSGNRNMAVHVFKKMEQNGCKPDVVTYNTIIDNLCKDRLVNDAMEFLSEMLDRGIPPNVFTYNCMVHGFCNLGQLNEATRLFKEMVGRDVMPDTVTFTILVDGLCKEGMVSEARHVFETMTEKGVEPNISTYNALMDGYCLQRQMNEAKEVFEIMIRKGCARGAHSYNILINGYCKSRRMDEAKSLLAEMYHKALNPDTVTYSTLMQGLCQLGRPKEALNLFKEMCSYGPPPNLVTYVILLDGFCKHGHLDEALKLLKSMQEKNLEPNIVHYTILIEGMFIAGKLEVAKELFSKLFGDGIRPDIRTYTVMIKGLLKEGLSDEAYDLFRKMEDDGFLPNSCSYNVIIQGFLQNQDSSSAIRLIDEMVGKRFSADLSTFQMLLDLESQDEIISQFMRGSSQGFSSLESAPNM >Potri.005G050240.2.v4.1 pep chromosome:Pop_tri_v4:5:3182906:3185865:-1 gene:Potri.005G050240.v4.1 transcript:Potri.005G050240.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050240.v4.1 MMMFTRKSAYRATASDFRILQQHMEMGFFPSSFLFFNHHHISTSACTRKPSLPQNNGGFVSNNSTNISIDDALASFYRMVRMNPRPSVVEFVKVVGSFAKKKQYSTVVSLCNQMDLFGVTHNVYSLNILINCLCRLNHVDFSVSVLGKMFKLGIHPTASTFNALINGLCNEGKIKEAVGLFNEMVRRGHEPNVISYNTIIKGLFKSGNRNMAVHVFKKMEQNGCKPDVVTYNTIIDNLCKDRLVNDAMEFLSEMLDRGIPPNVFTYNCMVHGFCNLGQLNEATRLFKEMVGRDVMPDTVTFTILVDGLCKEGMVSEARHVFETMTEKGVEPNISTYNALMDGYCLQRQMNEAKEVFEIMIRKGCARGAHSYNILINGYCKSRRMDEAKSLLAEMYHKALNPDTVTYSTLMQGLCQLGRPKEALNLFKEMCSYGPPPNLVTYVILLDGFCKHGHLDEALKLLKSMQEKNLEPNIVHYTILIEGMFIAGKLEVAKELFSKLFGDGIRPDIRTYTVMIKGLLKEGLSDEAYDLFRKMEDDGFLPNSCSYNVIIQGFLQNQDSSSAIRLIDEMVGKRFSADLSTFQMLLDLESQDEIISQFMRGSSQGKKMK >Potri.010G239800.1.v4.1 pep chromosome:Pop_tri_v4:10:21837636:21838696:1 gene:Potri.010G239800.v4.1 transcript:Potri.010G239800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239800.v4.1 MEGNGFSSLKDLSKSPSHPRPTLVRSQSIPATRGYVIFSNTNETTNVDDGFSDLSLEESSLSSINSLDATLPYSSSPPPSCLTSLSDVMSEATFDQKEEIMNVDARKYVAFGNSTKIKGLFPPPISCLRLFNKGMPCRYLNYNEENDSFELEEIKIPQGDILRANRSGGRLRLTLVVSDDESSDIEEEEEIMEEEETSGTVE >Potri.005G247000.1.v4.1 pep chromosome:Pop_tri_v4:5:24138596:24140653:-1 gene:Potri.005G247000.v4.1 transcript:Potri.005G247000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247000.v4.1 METPDSKTTTSPPQQQEPDLKRPKMSTTTSEDEDAATTTPGDDTTIKKQRYKRRKIAIFLTYCGVGYQGMQKNPGAKTIEGDLEEALFHAGAVPEHDRGIPKRYDWARSARTDKGVSAVGQVVSGRFYIDPPGLVERLHSNLSPQIRIFGYKRVTGSFNAKKFCDRRRYVYLIPVFALNPCSHRDRESVLASLGSGSELVKCLQCSERGRKVVGAVGKRSFESKSDVSPTEIWSNDKDTTLKSEIKEEVSGLMDNGDGDIRNSESVNETKVFQNEDSGKKSETTESGISSNSEDANANPEIKDEIMVSIENGDDKNTKSEEEAIKGSGFCYGEKEKERFNRILNYYLGSHNFHNFTTRTKAEDPSAQRYIISFDAKTTVAVEGIEFVKCEVVGQSFMLHQIRKMIGVAVAIMRNCASESLIQTALQKNVNINVPTAPEVGLYLDECFFTSYNQKWKDSHEELSMKDYEEEAEEFKMKHIYSHIATTEHKEGSVALWLHSLNHRNYPDLRACDNGDNITSEDNNGRESTMVENMT >Potri.005G048700.1.v4.1 pep chromosome:Pop_tri_v4:5:3087026:3091074:-1 gene:Potri.005G048700.v4.1 transcript:Potri.005G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048700.v4.1 MAEEKGSIAKDVTELIGKTPLVYLNNVVDGCVARVAAKLEMMEPCSSVKDRIGYSMITDAEEKGLIKPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPASMSLERRMVLLAFGAELILTDPARGMKGAVQKAEEILAKTPNAYLLQQFENPANPKIHYETTGPEIWKGSGGKVDAFVSGIGTGGTITGAGKYLKEQNPDIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVGLLDETVQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVTIFPSFGERYLSSVLFESVKKEAENMVFVP >Potri.005G048700.5.v4.1 pep chromosome:Pop_tri_v4:5:3087042:3091249:-1 gene:Potri.005G048700.v4.1 transcript:Potri.005G048700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048700.v4.1 MAEEKGSIAKDVTELIGKTPLVYLNNVVDGCVARVAAKLEMMEPCSSVKDRIGYSMITDAEEKGLIKPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPASMSLERRMVLLAFGAELILTDPARGMKGAVQKAEEILAKTPNAYLLQQFENPANPKIHYETTGPEIWKGSGGKVDAFVSGIGTGGTITGAGKYLKEQNPDIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFIPGVLDVGLLDETVQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIVTIFPSFGERYLSSVLFESVKKEAENMVFVP >Potri.016G065200.3.v4.1 pep chromosome:Pop_tri_v4:16:4559280:4562643:1 gene:Potri.016G065200.v4.1 transcript:Potri.016G065200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065200.v4.1 MDLEVFSTQASPSRDLVKCCNCDCSCSLITGSSSGSWIRSVKRKHDEFEEGNRFYIPGFDSFSNPRVQIENECAALREMVSSQQQTVQDLYTELEEERNAASSAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMGHDQQEILALEDLLYKREQAIQSLTCEIQAYKYRMMSYGLTEAEAEGDKGERGGFSRNTSMNESLDAAQLEFPAYEYPPLKCNLNENPNPMEGEDDIVDVEKYAFSETPHGREQLKNLEYRIYQMEKSPRNIQQDGDFSGTKNILEKVVVGHSPRRSRHSRRFSADSSSSLMGMSREVGPDFVTESPRCKLSNSFKKTDYALQVEDYKDSRKMDNSSEFGDDTSDRVYTIDSIHNGVSQNGVTEPKAGIGIYEEYLSTPRETLDGPDVSDPDIKKLYVRLQALEADRESMRQAIISMRTDKAQMVLLKEIAQHLCKEMSPERKLPVKKPSLLGSFSFMSIFKVVRYALCK >Potri.016G065200.2.v4.1 pep chromosome:Pop_tri_v4:16:4558802:4562653:1 gene:Potri.016G065200.v4.1 transcript:Potri.016G065200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065200.v4.1 MDLEVFSTQASPSRDLVKCCNCDCSCSLITGSSSGSWIRSVKRKHDEFEEGNRFYIPGFDSFSNPRVQIENECAALREMVSSQQQTVQDLYTELEEERNAASSAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMGHDQQEILALEDLLYKREQAIQSLTCEIQAYKYRMMSYGLTEAEAEGDKGERGGFSRNTSMNESLDAAQLEFPAYEYPPLKCNLNENPNPMEGEDDIVDVEKYAFSETPHGREQLKNLEYRIYQMEKSPRNIQQDGDFSGTKNILEKVVVGHSPRRSRHSRRFSADSSSSLMGMSREVGPDFVTESPRCKLSNSFKKTDYALQVEDYKDSRKMDNSSEFGDDTSDRVYTIDSIHNGVSQNGVTEPKAGIGIYEEYLSTPRETLDGPDVSDPDIKKLYVRLQALEADRESMRQAIISMRTDKAQMVLLKEIAQHLCKEMSPERKLPVKKPSLLGSFSFMSIFKWVVSFVFWRKKAQRSKYMFGLSATNVGLLMLLDKGPSTRQWRCLMNTSVKPSVKIP >Potri.016G065200.1.v4.1 pep chromosome:Pop_tri_v4:16:4558779:4562672:1 gene:Potri.016G065200.v4.1 transcript:Potri.016G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065200.v4.1 MDLEVFSTQASPSRDLVKCCNCDCSCSLITGSSSGSWIRSVKRKHDEFEEGNRFYIPGFDSFSNPRVQIENECAALREMVSSQQQTVQDLYTELEEERNAASSAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMGHDQQEILALEDLLYKREQAIQSLTCEIQAYKYRMMSYGLTEAEAEGDKGERGGFSRNTSMNESLDAAQLEFPAYEYPPLKCNLNENPNPMEGEDDIVDVEKYAFSETPHGREQLKNLEYRIYQMEKSPRNIQQDGDFSGTKNILEKVVVGHSPRRSRHSRRFSADSSSSLMGMSREVGPDFVTESPRCKLSNSFKKTDYALQVEDYKDSRKMDNSSEFGDDTSDRVYTIDSIHNGVSQNGVTEPKAGIGIYEEYLSTPRETLDGPDVSDPDIKKLYVRLQALEADRESMRQAIISMRTDKAQMVLLKEIAQHLCKEMSPERKLPVKKPSLLGSFSFMSIFKWVVSFVFWRKKAQRSKYMFGLSATNVGLLMLLDKGPSTRQWRCLMNTSVKPSVKIP >Potri.017G121800.1.v4.1 pep chromosome:Pop_tri_v4:17:12709961:12712329:1 gene:Potri.017G121800.v4.1 transcript:Potri.017G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121800.v4.1 MATEEIKELPKSWAMVGGDGPQSYTQNSSYQKGVVDASKEKVTEGIKDKLDFKSLGFDSSNDTFRIADFGCSVGPNTFFAVENIIEAVEQKYQCPFQVFFNDVTTNDFNTLFKTLHSNRKYFAAGLPGTFYGRLLPKSTLHLAYSSYCLQWLSKVPNEVVDSKSPAWNKGSIQCDGLKKEVTKAYSAQFQSDMNTFLNARAQEIVGGGLMVIIMAGLPDGIFMSQAGVGMYYDLLGSCLVDMAKLGEISEEKLDSFNLPLYYSSSTEIEEIIKVNGNFSIEIMDLLSHQIWQTSKKSNIDISVSGGRAVFQGLVEEHFGSEVVEKTFEHFAKKLVDNFSIFDGAAHEHIDHFILLKRHFN >Potri.019G012483.1.v4.1 pep chromosome:Pop_tri_v4:19:198474:200853:1 gene:Potri.019G012483.v4.1 transcript:Potri.019G012483.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012483.v4.1 MLIASSNAIDGPDVRAEFEKAGINTHFIPFIRKGQEPKDVQDAALAAIDGPSEKKKKLKTVAGSSNDEATNAISASAQEQNSEVMAQQEDVLSFGASGHWLSSITDEEIELLVGSFHERPSINQAEEPRGDSSQPTDPLCLGHGRYYDELCMALAISARGWGGGGKCVLMYGFM >Potri.019G012483.2.v4.1 pep chromosome:Pop_tri_v4:19:198550:200679:1 gene:Potri.019G012483.v4.1 transcript:Potri.019G012483.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012483.v4.1 MLIASSNAIDGPDVRAEFEKAGINTHFIPFIRKGQEPKDVQDAALAAIDGPSEKKKKLKTVAGSSNDEATNAISASAQEQNSEVMAQQEDVLSFGASGHWLSSITDEEIELLVGSFHERPSINQAEEPRGDSSQPTDPLCLGHGRYYDELCMALAISARGWGGGGKCVLMYGFM >Potri.008G198900.1.v4.1 pep chromosome:Pop_tri_v4:8:14106017:14113453:1 gene:Potri.008G198900.v4.1 transcript:Potri.008G198900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198900.v4.1 MSFSFFKASRPKTPQEVVKTIKDSLMALDTKTVVEVKALEKALEEVEKNFVTLRCMLCGDGEVEPNMDQVSQLALEVCKEDVPALMIQKLPNLGWEARKDLVHCWSILLKQKVDSRYCSVEYIENHFEFLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHCTVVAEYLTAHYDEFFDLYEKLVTSSNYVTRRQSLKLLSEFLLEPPSSYIMKRYILEVRYLKVMMTLLKDSSKNIQIAAFHIFKVFVANPSKPREVKMILAKNREKLLELLLNLSAGKGVEDEQFEEEKELIIKEIDRQSRLSNRDP >Potri.008G181600.1.v4.1 pep chromosome:Pop_tri_v4:8:12521535:12524387:1 gene:Potri.008G181600.v4.1 transcript:Potri.008G181600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181600.v4.1 MVSACINHLLTQPMWLILVSSLGFLSFLKTSTSLLKWVYATFLRPKKNLKDYGSWALITGATDGIGKAFAHQLAQKDLNLILVGRNPTKLETVSSEIQAEHPGTKIKTVVFDFSSKASAGVRSIIEKATEGLDVGVLINNLGITYPAASFFHEVDEKVWMDIVRVNLVGTSRVTKAVLPGMIKRKRGAIINIGSGAASAMPSHPLFTIYAATKGYIDQLSRCLYVEYKRCGIHVQCQVPLYVATKMTSRVASIQKSSLFIPSPEAYAKAAIGRVGYEARCAPYWAHSIQWWLACLLPERVLDAWRLSVGIHRRGKLTAQVRANL >Potri.014G043700.1.v4.1 pep chromosome:Pop_tri_v4:14:2815441:2824644:-1 gene:Potri.014G043700.v4.1 transcript:Potri.014G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043700.v4.1 MSNFSNQIRASSSLIKRLKQRMTNPAALMQATRHFTTLEGHRPTIVHKRSLDILHDPWFNKGTAFSMTERDRLDLRGLLPPNVMTSEQQIQRFAADLKRLEVQARDGPSDPYALAKWRILNRLHDRNETMYYQVLIANIEEYAPIVYTPTVGLVCQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQENRLDGDEYIAVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNTYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNESAFESAGRQFWVVDAKGLITEERENIDPEALPFARKVKEASRQGLREGASLAEVVREVKPDVLLGLSAVGGLFSNEVLEALKGSTSTRPAIFAMSNPTKNAECTPEEAFSIVGDNILFASGSPFQDVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAECLAEYMAEEEVLNGIIYPSTSRIRDITKEVAAAVVKEAIKEDLAEGYREMDARELQKLSQEEIVEYVKNNMWSPDYPTLVYKRD >Potri.012G088300.6.v4.1 pep chromosome:Pop_tri_v4:12:11372849:11377034:-1 gene:Potri.012G088300.v4.1 transcript:Potri.012G088300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088300.v4.1 MAASTSVDTHSMIPIFVAFLLVFASSIYPVLSVPAAESDSGNRLLANQTFRPGKEILRLKRVNAFLNKINKSAVKTIQSPDGDVIDCVLSHLQPAFDHPELRGKKPLDPPERPKGNETRETETVAESYQLWTDSGESCPEGTVPIRRTTVKDVLRVGSVKRFVRKLRRHVRRDSEGSGHEHAVVFANGDQYFGAKASINVWSPRVTSEYEFSLSQIWVISGSFGNDLNTIEAGWQARIFFIR >Potri.012G088300.3.v4.1 pep chromosome:Pop_tri_v4:12:11372808:11377037:-1 gene:Potri.012G088300.v4.1 transcript:Potri.012G088300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088300.v4.1 MAASTSVDTHSMIPIFVAFLLVFASSIYPVLSVPAAESDSGNRLLANQTFRPGKEILRLKRVNAFLNKINKSAVKTIQSPDGDVIDCVLSHLQPAFDHPELRGKKPLDPPERPKGNETRETETVAESYQLWTDSGESCPEGTVPIRRTTVKDVLRVGSVKRFVRKLRRHVRRDSEGSGHEHAVVFANGDQYFGAKASINVWSPRVTSEYEFSLSQIWVISGSFGNDLNTIEAGWQVSPDLYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYNGRQFDIGLMIWKDPKHGNWWLEFGSGLLVGYWPAFLFSHLRSHASMVQFGGEIVNFRSAGFHTSTQMGSGHFAEEGFGKASYFRNLQVVDWDNNLLPLANLHLLADHSNCYNIKQGRNSVWGTYFYYGGPGRNVRCP >Potri.012G088300.5.v4.1 pep chromosome:Pop_tri_v4:12:11372807:11377034:-1 gene:Potri.012G088300.v4.1 transcript:Potri.012G088300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088300.v4.1 MAASTSVDTHSMIPIFVAFLLVFASSIYPVLSVPAAESDSGNRLLANQTFRPGKEILRLKRVNAFLNKINKSAVKTIQSPDGDVIDCVLSHLQPAFDHPELRGKKPLDPPERPKGNETRETETVAESYQLWTDSGESCPEGTVPIRRTTVKDVLRVGSVKRFVRKLRRHVRRDSEGSGHEHAVVFANGDQYFGAKASINVWSPRVTSEYEFSLSQIWVISGSFGNDLNTIEAGWQVSPDLYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYNGRQFDIGLMIWKVSFSGQ >Potri.004G145700.6.v4.1 pep chromosome:Pop_tri_v4:4:16828041:16831904:-1 gene:Potri.004G145700.v4.1 transcript:Potri.004G145700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145700.v4.1 MTADRNAGKSMDSVFPHLITQKDLPVLVSTSDADFSDVDAVFCCLPHGTTQEIIKGLPKGLKIVDLSADFRLRNVSEYEEWYGQPHRAPDLQEEAVYGLTEILREEIKNAHLVANPGCYPTSIQLPLVPLIKANLIEHKNIIVDAKSGVSGAGRGAKVANLYTELTEGIMSYGVTRHRHVPEIEQGLSDAAHSKVTISFTPHLMPMTRGMQSTIYVEMASGVTTDNLYQQLKVSYQDEEFVRLLEKGAVPRTHDVRGSNYCYINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGFPENTGLGYLPLFP >Potri.004G145700.5.v4.1 pep chromosome:Pop_tri_v4:4:16828037:16832339:-1 gene:Potri.004G145700.v4.1 transcript:Potri.004G145700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145700.v4.1 MSSATFSSTCFLKGSEVKVSSLKSKNGNCKLHVGGSTSLSTKRLQISNAKQEKEVRVALLGASGYTGAEIIRLLANHPYFGITVMTADRNAGKSMDSVFPHLITQKDLPVLVSTSDADFSDVDAVFCCLPHGTTQEIIKGLPKGLKIVDLSADFRLRNVSEYEEWYGQPHRAPDLQEEAVYGLTEILREEIKNAHLVANPGCYPTSIQLPLVPLIKANLIEHKNIIVDAKSGVSGAGRGAKVANLYTELTEGIMSYGVTRHRHVPEIEQGLSDAAHSKVTISFTPHLMPMTRGMQSTIYVEMASGVTTDNLYQQLKVSYQDEEFVRLLEKGAVPRTHDVRGSNYCYINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGFPENTGLGYLPLFP >Potri.004G145700.4.v4.1 pep chromosome:Pop_tri_v4:4:16828036:16832116:-1 gene:Potri.004G145700.v4.1 transcript:Potri.004G145700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145700.v4.1 MSSATFSSTCFLKGSEVKVSSLKSKNGNCKLHVGGSTSLSTKRLQISNAKQEKEVRVALLGASGYTGAEIIRLLANHPYFGITVMTADRNAGKSMDSVFPHLITQKDLPVLVSTSDADFSDVDAVFCCLPHGTTQEIIKGLPKGLKIVDLSADFRLRNVSEYEEWYGQPHRAPDLQEEAVYGLTEILREEIKNAHLVANPGCYPTSIQLPLVPLIKANLIEHKNIIVDAKSGVSGAGRGAKVANLYTELTEGIMSYGVTRHRHVPEIEQGLSDAAHSKVTISFTPHLMPMTRGMQSTIYVEMASGVTTDNLYQQLKVSYQDEEFVRLLEKGAVPRTHDVRGSNYCYINVFPDRIPGRAIIISVIDNLVKGASGQALQNLNLMMGFPENTGLGYLPLFP >Potri.001G435100.2.v4.1 pep chromosome:Pop_tri_v4:1:46102733:46119867:-1 gene:Potri.001G435100.v4.1 transcript:Potri.001G435100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435100.v4.1 MAIESVGGSIICKIAELMVEPVGRQFRYVFCFNNFVEEFKERKENLALALDGLQKDVEAAERNAEEIRKGVKKWLEDANNEIEGAKPLENEIGKNGKCFAWCPNCTRQFKLSKALAKKSETFRKLGESSEKFTKVADKRPPQRIEFLTSKEFTPSQSSEEALEQIIEALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAKESQLFDEVLMATVSQNPNVTDIQDQMADKLGLDIKEKSKEGRADRLWQRLKKVEKMLIILDDVWEYIDLKEIGIPFGVDHGGCEILLTTRRRGICSSMECQKRVLLSPLPEKEAWDLFRTNAGLRDGDSTLNTVAREVARECQGLPIALVTMGRALRDESAVKWKRVSKQLKNSKFPDMEHIDGQRTAYACLKLSYDYLKSKETKLCFLLCCLFSEDYNIPVEDLPRYAVGYGLHQDGEPIEDAREQIHVAIKDLKACCLLLGTETEEHVRMHDLVRDVAIQIASSKEVANEY >Potri.013G082200.3.v4.1 pep chromosome:Pop_tri_v4:13:7231766:7237637:1 gene:Potri.013G082200.v4.1 transcript:Potri.013G082200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082200.v4.1 MASKSFKATRSNLSTSSDAAESHKPPLPPSVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCYIDAVKSGGGICPGCKEPYKNTELDEVAVDSGRPLPLPPPGTMSKMERRLSLMKSTKSVLMRSQTGDFDHNRWLFETRGTYGYGNAIWPNDGGFGNGNDEEVGEPKELMSKPWRPLTRKLKIPAAVISPYRLLILIRIVILALFLEWRVRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSLSNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHGVEPRNPESYFNLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDEPVESVKIAKATWMADGTHWPGTWLNSAPEHSRGDHAGIIQVMLKPPSDEPLLGTADDTKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKENHPGCCSCCFSRRKKHSSIANTPEENRALRMGDSDDEEMNLSLLPKKFGNSTFLIDSIPVAEYQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >Potri.013G082200.2.v4.1 pep chromosome:Pop_tri_v4:13:7230806:7237645:1 gene:Potri.013G082200.v4.1 transcript:Potri.013G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082200.v4.1 MASKSFKATRSNLSTSSDAAESHKPPLPPSVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCYIDAVKSGGGICPGCKEPYKNTELDEVAVDSGRPLPLPPPGTMSKMERRLSLMKSTKSVLMRSQTGDFDHNRWLFETRGTYGYGNAIWPNDGGFGNGNDEEVGEPKELMSKPWRPLTRKLKIPAAVISPYRLLILIRIVILALFLEWRVRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSLSNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHGVEPRNPESYFNLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDEPVESVKIAKATWMADGTHWPGTWLNSAPEHSRGDHAGIIQVMLKPPSDEPLLGTADDTKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKENHPGCCSCCFSRRKKHSSIANTPEENRALRMGDSDDEEMNLSLLPKKFGNSTFLIDSIPVAEYQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >Potri.013G082200.1.v4.1 pep chromosome:Pop_tri_v4:13:7230880:7237636:1 gene:Potri.013G082200.v4.1 transcript:Potri.013G082200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082200.v4.1 MASKSFKATRSNLSTSSDAAESHKPPLPPSVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHIPPTPDNQPMDPSISQKVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCYIDAVKSGGGICPGCKEPYKNTELDEVAVDSGRPLPLPPPGTMSKMERRLSLMKSTKSVLMRSQTGDFDHNRWLFETRGTYGYGNAIWPNDGGFGNGNDEEVGEPKELMSKPWRPLTRKLKIPAAVISPYRLLILIRIVILALFLEWRVRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSLSNPTGKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHGVEPRNPESYFNLKRDPYKNKVKPDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDEPVESVKIAKATWMADGTHWPGTWLNSAPEHSRGDHAGIIQVMLKPPSDEPLLGTADDTKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKENHPGCCSCCFSRRKKHSSIANTPEENRALRMGDSDDEEMNLSLLPKKFGNSTFLIDSIPVAEYQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASPRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGIEISFTLTSKSAGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVFVWSGLIAITISLLWVAINPPSGTNQIGGSFQFP >Potri.001G326600.4.v4.1 pep chromosome:Pop_tri_v4:1:33565569:33571114:-1 gene:Potri.001G326600.v4.1 transcript:Potri.001G326600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326600.v4.1 MDSRNVVVCDNGTGYVKCGFAGENFPTSVFPCVVGRPMLRYEESLMEHQLKDIVVGEACAGLRHQLDISYPVNNGIVQNWDDMGHVWDHAFYNQLKIDPMECKILLTDPPLNPSKNREKMVETMFEKYNFAGVFIQIQAVLTLYAQGLLTGLVIDSGDGVTHVVPVVDGYSFPHLTKRMNVAGRHITSYLVDLLSRRGYAMNRTADFETVREIKEKLCYISYDYKREYQLGLETTILVKNYTLPDGRIIKVGTERFQAPEALFTPELIDVEGDGMADMVFRCIQEMDIDNRMMLYQHIVLSGGSTMYPGLPSRLEKEILDRYLDAVLKGNKDGLKKLRLRIEDPPRRKHMVYLGGAVLAGIMKDAPEFWISRDDYLEEGMACLSKCGQA >Potri.016G024300.4.v4.1 pep chromosome:Pop_tri_v4:16:1360827:1364124:1 gene:Potri.016G024300.v4.1 transcript:Potri.016G024300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024300.v4.1 MSSLRNAIPRKAHKERAQPQARKKFGLLEKHKDYVARAKAFHKKEETLRRLKEKAASRNPDEFYFGMIKSKTVDGVHRPQSEANKYTQEELLLMKTQDIGYILQKAQSEKKKIEKLTATLHSLDGRPSSKHIYFAEDREEAKEIRSRSSENKMATTSVDVPDNIKRKIASSYRELEARKNRANQLEKIYMDMALQKELQKKGRKRKLREDEIVCPTTKPVFKWRSERKR >Potri.001G013500.1.v4.1 pep chromosome:Pop_tri_v4:1:940959:945719:1 gene:Potri.001G013500.v4.1 transcript:Potri.001G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013500.v4.1 MAATAASGLQMATARPCISSSRRMVKAGAAILGANSKGASWAKLASGSHISYIQPFKRTLMSSSVKLNKVVTKAMSESNESKPLSGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAAAGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSLMEITKVYPLDAVFDNLEDVPEDVKANKRYAGSSKWTVQEVAESVKQDYGSIDILVHSLANGPEVTKPLLETSRKGYLAAISASSYSYVSLLKHFLPLMNPGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNRIRVNTISAGPLRSRAAKAIGFIDTMIEYSLANAPLQKELSADEVGNAAAFLASPLASAVTGTVMYVDNGLNVMGVGVDSPIFKDLNIPTDKHQG >Potri.011G115500.1.v4.1 pep chromosome:Pop_tri_v4:11:14608924:14610863:-1 gene:Potri.011G115500.v4.1 transcript:Potri.011G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G115500.v4.1 MTVPLVFRSLKIICRQNILRKFKFEFPIKPTKTLVYYTHNLQFQNTQNHFQNSIFQSKTSRSIHSLENSHSLAEKQQDPDSELAIRVQNTLKKYRDSPARKIELALDLCCSTMTQDLVLKVLKRHRSDWKPAYIFFNWVSKEGTISLSSCVYNEILDILGRMRRFEELTLVLDEMSKREGFVDEDTYRVLVNRYAGAHMVEEAIGAFNKRRELGLELDLVSFQKLLMYLCRYKHVDVAETLLHSKGHEFGVDIKTMNIVLNGWCVLGNVREAKRFWKDIIASKCKPDVFTYGTFIKALTNKGKLGTAMKLYEAMWKMQCKPDVVICNCVIDALCFKKRVPEALAVFEGMKERGCIPNVATYNSLIKHMCKIGRMEKVYELLDEMQEKKGSCMPDEITFNYLLKSLKKPEEVAGVLERMKINGCKMNNDTCNLLLKLHADWDSEEKVRYTWEEMEKNGLGLDRRSYTIMIHWLYDKGRVEDALRYFGEMENKGMVPEPRTKILCNSMNTRKQKEAEEGEKSAKNNDQSPRSSHERNTKKKVNSVQF >Potri.004G115251.1.v4.1 pep chromosome:Pop_tri_v4:4:10608229:10608594:-1 gene:Potri.004G115251.v4.1 transcript:Potri.004G115251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115251.v4.1 MGSLGKNISLGLFLFIGILVITPGFAIRTHEEDPELSRHLEECHAKVTKRCAIEISNSIYNNNTPSEYCCQKHITTGKACHDDFIKLFVSKVPKDKVAFVVAKGDQIWNQCAATVALAPVA >Potri.007G107800.4.v4.1 pep chromosome:Pop_tri_v4:7:13026828:13034424:1 gene:Potri.007G107800.v4.1 transcript:Potri.007G107800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107800.v4.1 MKEGGATSVRMSGSGRKRSSKWDLKEGPRMSFEDVEDNTWPGKAGISFRDKESRRDWLPPEAAGGTRSKWSAMEPLPGRRSSRRDDNIDEDRSRTLKATYEDESYGTRMSPGLDEWRQKSFRNSPKNEYKRTRRSQSRSRSRSRSPVHGFGHERTRSISSVSAQLCKDFVAGRCRRGSHCPFLHQDTQTCEDDWERRPRKASASKYPISHDSKQYPMGSGRSADCCNEFLKGSCRRGESCRYAHHGASDPSSRGSANDGIRERDNDRRHRYASPERRAERETRRAADIPCKFFAAGNCRNGKYCRFSHNDQTPSPDKRSRDGRWPPSQNSDDVEKSWNDPKWSESHTSDAAKLSENKNEKLDAPELRLSVRCMEDGWGHNLVENKTHNNPPTNEVVEIDKKEALPLKTENAGDNSNVSEQRAPENWLGDMEMSPDWNYRLQPSNHINKGEHASLSSCELQDGSGRARDTAAIMPPISNETSSIQQGYNLKEIGGSALPHDDGVTGKTAGSYIDISTNALATQSFNKNGLSSIASPIPNLNAVGHIQEAILTNPPRGGTMTAPQNQTLVQDRKMINIPDIGNVNAPLVNLGIPMAQNMASNEHLTQLTSLSVSLAQFLANGQQLPQLYAARNSHNDTFANSEGTVKPDSMVTIQPRKQYDPICDSVEPGKHHVNTNPPDQKLELLSKNLSPSSLSAGLIGGDFNKFHSEQEFIDKSSQLNQPGPGVSSEVNKENNGVGSEESNKVQEQDKGAQENGPLENSDGDGKADESKKNKDAKGSRAFKFALVEFVKDLLKPAWKEGQMSKDAYKNIVKKVVDKVTGTMQSASIPTTQEKIEQYLLVSKPKLTKLVQAYVEKFQKDK >Potri.007G107800.5.v4.1 pep chromosome:Pop_tri_v4:7:13030299:13034424:1 gene:Potri.007G107800.v4.1 transcript:Potri.007G107800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107800.v4.1 MKEGGATSVRMSGSGRKRSSKWDLKEGPRMSFEDVEDNTWPGKAGISFRDKESRRDWLPPEAAGGTRSKWSAMEPLPGRRSSRRDDNIDEDRSRTLKATYEDESYGTRMSPGLDEWRQKSFRNSPKNEYKRTRRSQSRSRSRSRSPVHGFGHERTRSISSVSAQLCKDFVAGRCRRGSHCPFLHQDTQTCEDDWERRPRKASASKYPISHDSKQYPMGSGRSADCCNEFLKGSCRRGESCRYAHHGASDPSSRGSANDGIRERDNDRRHRYASPERRAERETRRAADIPCKFFAAGNCRNGKYCRFSHNDQTPSPDKRSRDGRWPPSQNSDDVEKSWNDPKWSESHTSDAAKLSENKNEKLDAPELRLSVRCMEDGWGHNLVENKTHNNPPTNEVVEIDKKEALPLKTENAGDNSNVSEQRAPENWLGDMEMSPDWNYRLQPSNHINKGEHASLSSCELQDGSGRARDTAAIMPPISNETSSIQQGYNLKEIGGSALPHDDGVTGKTAGSYIDISTNALATQSFNKNGLSSIASPIPNLNAVGHIQEAILTNPPRGGTMTAPQNQTLVQDRKMINIPDIGNVNAPLVNLGIPMAQNMASNEHLTQLTSLSVSLAQFLANGQQLPQLYAARNSHNDTFANSEGTVKPDSMVTIQPRKQYDPICDSVEPGKHHVNTNPPDQKLELLSKNLSPSSLSAGLIGGDFNKFHSEQEFIDKSSQLNQPGPGVSSEVNKENNGVGSEESNKVQEQDKGAQENGPLENSDGDGKADESKKNKDAKGSRAFKFALVEFVKDLLKPAWKEGQMSKDAYKNIVKKVVDKVTGTMQSASIPTTQEKIEQYLLVSKPKLTKLVQAYVEKFQKDK >Potri.007G107800.2.v4.1 pep chromosome:Pop_tri_v4:7:13026860:13034436:1 gene:Potri.007G107800.v4.1 transcript:Potri.007G107800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107800.v4.1 MKEGGATSVRMSGSGRKRSSKWDLKEGPRMSFEDVEDNTWPGKAGISFRDKESRRDWLPPEAAGGTRSKWSAMEPLPGRRSSRRDDNIDEDRSRTLKATYEDESYGTRMSPGLDEWRQKSFRNSPKNEYKRTRRSQSRSRSRSRSPVHGFGHERTRSISSVSAQLCKDFVAGRCRRGSHCPFLHQDTQTCEDDWERRPRKASASKYPISHDSKQYPMGSGRSADCCNEFLKGSCRRGESCRYAHHGASDPSSRGSANDGIRERDNDRRHRYASPERRAERETRRAADIPCKFFAAGNCRNGKYCRFSHNDQTPSPDKRSRDGRWPPSQNSDDVEKSWNDPKWSESHTSDAAKLSENKNEKLDAPELRLSVRCMEDGWGHNLVENKTHNNPPTNEVVEIDKKEALPLKTENAGDNSNVSEQRAPENWLGDMEMSPDWNYRLQPSNHINKGEHASLSSCELQDGSGRARDTAAIMPPISNETSSIQQGYNLKEIGGSALPHDDGVTGKTAGSYIDISTNALATQSFNKNGLSSIASPIPNLNAVGHIQEAILTNPPRGGTMTAPQNQTLVQDRKMINIPDIGNVNAPLVNLGIPMAQNMASNEHLTQLTSLSVSLAQFLANGQQLPQLYAARNSHNDTFANSEGTVKPDSMVTIQPRKQYDPICDSVEPGKHHVNTNPPDQKLELLSKNLSPSSLSAGLIGGDFNKFHSEQEFIDKSSQLNQPGPGVSSEVNKENNGVGSEESNKVQEQDKGAQENGPLENSDGDGKADESKKNKDAKGSRAFKFALVEFVKDLLKPAWKEGQMSKDAYKNIVKKVVDKVTGTMQSASIPTTQEKIEQYLLVSKPKLTKLVQAYVEKFQKDK >Potri.011G104500.3.v4.1 pep chromosome:Pop_tri_v4:11:13275086:13278998:-1 gene:Potri.011G104500.v4.1 transcript:Potri.011G104500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104500.v4.1 MRCNSCWRELEGRAVSTTCGHLLCTEDANKILNNDAACPICDQVLSKSLMKPVEINPNDEWINMAMAGISPQILMKSAYRSVMFFTGQRELEMQYKMNRIVAQCRQKCESMQEKFTEKLEQLHAAYQKMAKRCQMMEQEIESLSKDKQELQEKFSEKARQKRKLDEMYDQLRSEYESNKRSAIQPANNFFSRNEPDLFSNPAATMMDNRDPIRKGPREDIWPARQNSSNSGPFEIRGGSPANQAAMPVDVGNRRIGSVPSFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.011G104500.1.v4.1 pep chromosome:Pop_tri_v4:11:13275086:13278998:-1 gene:Potri.011G104500.v4.1 transcript:Potri.011G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104500.v4.1 MRCNSCWRELEGRAVSTTCGHLLCTEDANKILNNDAACPICDQVLSKSLMKPVEINPNDEWINMAMAGISPQILMKSAYRSVMFFTGQRELEMQYKMNRIVAQCRQKCESMQEKFTEKLEQLHAAYQKMAKRCQMMEQEIESLSKDKQELQEKFSEKARQKRKLDEMYDQLRSEYESNKRSAIQPANNFFSRNEPDLFSNPAATMMDNRDPIRKDWTVFTPSTPGPREDIWPARQNSSNSGPFEIRGGSPANQAAMPVDVGNRRIGSVPSFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.019G089200.1.v4.1 pep chromosome:Pop_tri_v4:19:12795830:12798286:-1 gene:Potri.019G089200.v4.1 transcript:Potri.019G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089200.v4.1 MAALHFSSTLSCPEPLKSPAKSLIKPHLACLSLPRSKQINVVGRSSAFRPKMLSTPGPGFDIKPLRISLGFGASISKMEKFSPAGGQEKETQFEIHSDISTLLLAAPKQSNSLQSQTFCELFPSVLIHSAAIISFIKLLRNTINSNNSSGGDGGNIGGRGGEHSIKTESPTCAVSLDLRIRSVSSGKISSAGNEKKTEFSFRCVVSVFLFNHAILSNFLKLWASFPSRILSNLIPWIFSVPTVWSGISKIGGGSDGGVGGSSGGDGIEGSIGAVETVLAPSAKPLDPLDFETSGFKEKIIPGHDEALEAADNGAPTSHDLLGTSYRTQFKEPSKSVLK >Potri.004G223200.1.v4.1 pep chromosome:Pop_tri_v4:4:22784858:22786710:1 gene:Potri.004G223200.v4.1 transcript:Potri.004G223200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223200.v4.1 MMMSLYSTKLPHQLNQARTFIAARIKWACDPYLDTAVSKEKDLKQVISIKNQLISSPSKSLPLSSLSLLKPYFNISTTALNFFHKYSTVFSQFQPSPSLPFHIKLTPQAISLHKEEQLILKSQPLRDDTIKRLAKLLMLSGAKRLPLHIVDRFKYDLGLPHDYITALLSDYPEYFNVCEDKDCLTNKDTFFLELVSWKDELAVSEMEKRVSLEDLRNVKRGERIGFPLNFPNGFDLKKKVRDWVFEWQGLPYISPYENAFHLNPNGDQAEKWTVTVLHELLWLLVSKKTEKENVLQLGDYLGFGNRFNKALVHHPGIFYVSNKIRTQTVVLREAYRKGFLMHKHLLMGMRFRYIRLMSKAKKKRRKSVGGVSHSQLQRQVSSTKKGIERKAKYKSREEEEERSNDSLESEFEDVGSSDSNLEDANSDGTMKMKM >Potri.003G171900.1.v4.1 pep chromosome:Pop_tri_v4:3:18031917:18035752:-1 gene:Potri.003G171900.v4.1 transcript:Potri.003G171900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171900.v4.1 MYGSDKAVTDDTDRTEFRKTEKKYKLYYDQNSKRKKQPKQVDLSEVLDFKSFLDSYHQNGELPPEIVVVDCRFDRPVFGLESRPGFYFIPGALSVDEQCRWIRESLMSFPQPPNRTNHNAIYGPISDLFIAAKERKVLVEDENMPASSDSASNGCVGNGDTRRWSFCEEDSVLLRGKSCKPVSASVLLRKLRWSTLGLQFDWSKRNYNVSLPHNKIPDGLCQLAKKLAAPAMPVGEEFHPEAAIVNYFASGDTLGGHLDDMEADWSKPIVSMSLGCKAIFLLGGKSREDPPLAMFLRSGDVVLMAGEARECFHGVPRIFTDKENAEITALELHFCDENDILEYIRTSRININIRQVF >Potri.013G120100.1.v4.1 pep chromosome:Pop_tri_v4:13:12892111:12893792:-1 gene:Potri.013G120100.v4.1 transcript:Potri.013G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120100.v4.1 MGLFLAMLPLLLHYIIFNLYKLGYQKKDQCCYMLSYECHKAAEDQKLDPRSSARIISRNKNLGIEEYKFLLKTTVSSGIGEETCIPKNIMEGREESATLMDEISEMDGILFDAVDKLFAKTGVSPSEINSIVSSVSLFSPAPSLTARVINRYKMREDIKAFNLSGMGCSASAVAIDLVKQLFKTYKNSFAIVMSTESMSSHWYPGKDKSMMLSNILFRTGSCSILLTNNRDWKNKALMELTCSVRTHIGSNDEAYNSCFQAEDDLGINGFRLNKDLPKAGAKALTMNLRVLLPKVIPLSEVLRYRISYYRNKIMKRPTPKDAGPGLDLKSGIDHFCVHPGWRAIIDGAGQSLALNDYDLEPARMALYRFGNTSSGGLWYVLGYMEAKKRLKKGDKILMISLGAGFKCNNCVWKVMKDVEDTNVWKNCTDQYPTKTLANSS >Potri.011G138200.1.v4.1 pep chromosome:Pop_tri_v4:11:16967608:16971126:-1 gene:Potri.011G138200.v4.1 transcript:Potri.011G138200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138200.v4.1 MEPKLVLALFLLISATAASEYIRPSTRKNLDFSRPSKSSSHPQQVHISLAGDKHMRVSWVTDDKSAASMVEYGTSPGRYSNIALGESTWYSYLFYSSGKIHHTVIGPLEDNAVYYYRCGGGGPEYKLKTPPAQFPVTFAVAGDLGQTGWTQSTLDHIDQCKYDVHLLPGDLSYADYMQHLWDTFGELVEPLASARPWMVTQGNHERESIPFLKDGFEPYNSRWKMPFEESGSSSNLYYSFEVSGAHIIMLGSYTGYDEYSNQYNWLEADLAKVDRNKTPWLLVLFHVPWYNSNEAHQNEGDRMMEAMEPLLYAASVDIVLAGHVHAYERTERVNNGKLDPCGAVHITIGDGGNREGLAHKYKNPQPAWSVFREASFGHGELKLANSTHAFWSWHRNDDDEPVRSDQVWITSLVNSECVAEKKHGLRKILMGP >Potri.001G011401.1.v4.1 pep chromosome:Pop_tri_v4:1:741112:742867:1 gene:Potri.001G011401.v4.1 transcript:Potri.001G011401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G011401.v4.1 MDHVSQLLSLTPSMHERLAFSGNKSQRKGHAGGRTNNTGDHRHWKIGINREHQKNKAREETRKEKKRKQGDKRQNRRMKTERKTEEPTKRKHTVVFSFVSKHQGSRQTQRRNKNREYTESKEKKKENEEQRRNRREE >Potri.002G190700.2.v4.1 pep chromosome:Pop_tri_v4:2:15268859:15269817:1 gene:Potri.002G190700.v4.1 transcript:Potri.002G190700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190700.v4.1 MESEKSLYMLDPDLVYKTTSMGLPEASKKQPTKCISSACDCLLPGLPLHPTTNIIMDGASKKRKLFSDDLDEENEEEKIERFFALIKGIREARDRLMNVSDPALKPEIDGKNKKRKLEEEMKQVTVWKPLFQREDFMAETEKLKDLIPAAAVSTSLVDSSQRKEAVKNDENKASLDLNLSL >Potri.006G010700.2.v4.1 pep chromosome:Pop_tri_v4:6:694550:697475:1 gene:Potri.006G010700.v4.1 transcript:Potri.006G010700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010700.v4.1 MVTDQEIAKGVETVLRQADPSAVTSLNGVVQQLEAKLGLDLSHKAAFIRDQIDLLLRSHPITTTASATAPASVTTTGHPPPQHQAFQFQQGQALNLTPKDHFALQFQQQFHPSHFAIHPHHQHQHQHHPQQHHQHQVFSQDLNFRQPQAVVTPPAPPPQLQAQQQHRQTQHVQNAGVVTNEVAKESSAPVGSKRRGGPGGLNKVCGVSPELQAIVGEPALPRTEIVKQLWQYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIPLQPSKESSQAKRAKVDVETPTENTEPGASLVGISERLAEFLGTTEREMTQTEASRRVWEYIKLKQLEDPLNSMAIQCDTKLRDLLGCESISAVGVGEVLARHHLFKRS >Potri.002G238700.1.v4.1 pep chromosome:Pop_tri_v4:2:23129746:23130756:1 gene:Potri.002G238700.v4.1 transcript:Potri.002G238700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238700.v4.1 MEPGEKVSPIHAEEDTAARVFPCLFCSRKFYSSQALGGHQNAHKKERNAARKTRRVSEYAPSPPPTFPVIFSPSHHLGLLHPSMYTSTAHAANLHCHPTHDQFSDRLGSSGAARFDSGVLFYGGSCSSDRYDHHQYDQEDEQSLLNWQRSIRCPGFNGGGPNHHLSMAIDNHNMEIRNDKDQKLDLSLHL >Potri.013G024000.1.v4.1 pep chromosome:Pop_tri_v4:13:1526806:1530621:-1 gene:Potri.013G024000.v4.1 transcript:Potri.013G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024000.v4.1 MEVKARAPGKIILSGEHAVVHGSTAVAASIGLCTYVSLQVPPSNENDDRLTLQLKDMALEFSWPIGRIKEALSSLGGPFPSTPTSCSAESFKLILALIEEQNILEEKISLASGVSAFLWLYTSILGIKPATVVVTSDLPLGSGLGSSAALCVAFSAALLACSDTVNIDMKQEGWLVFGESELELLNKWAFEGEKIIHGKPSGIDNTVSTYGNMIKFRSGNLTRIKSSMPLKMLITNTKVGRNTKALVAGVSERTLRNPDAMSSVFNAVDSISKELANVIQTPASDDLSITAKEEKLEELMEMNHGLLQCMGVSHASIETVLRTTLKYKLASKLTGAGGGGCVLTLLPTLLSGTIVDKVIAELESCGFQCLIAGIGGNGAEICFSASS >Potri.009G075500.2.v4.1 pep chromosome:Pop_tri_v4:9:7334379:7336253:-1 gene:Potri.009G075500.v4.1 transcript:Potri.009G075500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075500.v4.1 MSHPEEQKYSLIPESNITFDDPLTVREIEDINDWLASENRGAMQNFPGDDAGSLLVSPPSREASIDTLTNQTSLVLPGIGMEFDNQLMILHLLKAYGEAAEMEMKELAEKIMSRLKEMACPIGSTLERLAYYLIQAREGEVDFLWQEASKNYEAAFKAFYQIFPYGRFAHFTANSVILEAIPEEADIVHIVDFDIGQGVQWPPMIETLARRGKRMVRLTAIKWEEEEDCSGVGSSRSFEETKMRLYEHAQTFGLRLKMEEMDMEVLVSEMKKTKKRGGRGEWLAFNCMVGLPHMGKGRSARSLGEFLRLAKDSITLNTDGGSGTRGTITIGDGIGWGMEVKEQKGYGSVFAGQLVQFMALIESMDCHFPDHLREARIAMECVFLIPYVSSSFGLQMWDDIAKESRALSEVGLVAWEMRKDNLLEARELIRETESFYCVNIEGVKENQMVLSYMEVPLVKVSSWT >Potri.015G141800.2.v4.1 pep chromosome:Pop_tri_v4:15:14796840:14802967:-1 gene:Potri.015G141800.v4.1 transcript:Potri.015G141800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141800.v4.1 MDSHGDMGLLGEHFDPSLVGRMREDGYESRSGSDNIEGASGEDQDAGDYQRPRKKYNRHTANQIQELESFFKECPHPDEKQRSELSRRLGLESKQIKFWFQNRRTQMKTQLERHENAILRQENDKLRAENELLKQNMSDPICNNCGGPVVPVPVSYEQQQLRIENARLKDELGRVCALANKFLGRPLTSSASPVPPFGSNTKFDLAVGRNGYGNLGHTDNTLPMGLDNNGGVMMPLMKPIGNAVGNEVPFDRSMFVDLALAAMDELIKIAQVESPIWIKSLDGGKEVLNHEEYMRTFPPCIGMKPSNFVIEATRESGVVLANSLDLVETLMDVNGWVEMFPSLIARAATIDIVSSGMGGTKSGALQMIHAEFQVISPFVPVRQVKFLRLCKQLAEGVWAVADVSVDGNQENLNAQTPVTCRRLPSGCIIQDMNNGCCKVTWVEHSEYDESAVHRLYRHILNSGMGFGAQRWIAALQRHYECMAMLLSPTILGEDQTVINLGGKKSMLKLARRMVDSFCSGVCASTLHNWGNLVVESVSEDVRILTRKIINEPGEPDGIVLSVSTSVWLPVSQQRLFDFLRDEQSRSQWDILSNGGILQEMVQIPKGQGHWNTVSVLRSTAVDANASDNMLILQETWNDVSGSLVVYAPVDVQSVSVVMNGGDSTYVALLPSGFVILPGNSFSNGEPNNCNGNPAKRDCDGNSGGGSFLTVGFQILASNLPSAKLTVESVKTVHNLISCTMQRIKTAFN >Potri.015G141800.3.v4.1 pep chromosome:Pop_tri_v4:15:14796901:14802274:-1 gene:Potri.015G141800.v4.1 transcript:Potri.015G141800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141800.v4.1 MDSHGDMGLLGEHFDPSLVGRMREDGYESRSGSDNIEGASGEDQDAGDYQRPRKKYNRHTANQIQELESFFKECPHPDEKQRSELSRRLGLESKQIKFWFQNRRTQMKTQLERHENAILRQENDKLRAENELLKQNMSDPICNNCGGPVVPVPVSYEQQQLRIENARLKDELGRVCALANKFLGRPLTSSASPVPPFGSNTKFDLAVGRNGYGNLGHTDNTLPMGLDNNGGVMMPLMKPIGNAVGNEVPFDRSMFVDLALAAMDELIKIAQVESPIWIKSLDGGKEVLNHEEYMRTFPPCIGMKPSNFVIEATRESGVVLANSLDLVETLMDVNGWVEMFPSLIARAATIDIVSSGMGGTKSGALQMIHAEFQVISPFVPVRQVKFLRLCKQLAEGVWAVADVSVDGNQENLNAQTPVTCRRLPSGCIIQDMNNGCCKVTWVEHSEYDESAVHRLYRHILNSGMGFGAQRWIAALQRHYECMAMLLSPTILGEDQTVINLGGKKSMLKLARRMVDSFCSGVCASTLHNWGNLVVESVSEDVRILTRKIINEPGEPDGIVLSVSTSVWLPVSQQRLFDFLRDEQSRSQWDILSNGGILQEMVQIPKGQGHWNTVSVLRSTAVDANASDNMLILQETWNDVSGSLVVYAPVDVQSVSVVMNGGDSTYVALLPSGFVILPGNSFSNGEPNNCNGNPAKRDCDGNSGGGSFLTVGFQILASNLPSAKLTVESVKTVHNLISCTMQRIKTAFN >Potri.015G141800.4.v4.1 pep chromosome:Pop_tri_v4:15:14796889:14801622:-1 gene:Potri.015G141800.v4.1 transcript:Potri.015G141800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141800.v4.1 MDSHGDMGLLGEHFDPSLVGRMREDGYESRSGSDNIEGASGEDQDAGDYQRPRKKYNRHTANQIQELESFFKECPHPDEKQRSELSRRLGLESKQIKFWFQNRRTQMKTQLERHENAILRQENDKLRAENELLKQNMSDPICNNCGGPVVPVPVSYEQQQLRIENARLKDELGRVCALANKFLGRPLTSSASPVPPFGSNTKFDLAVGRNGYGNLGHTDNTLPMGLDNNGGVMMPLMKPIGNAVGNEVPFDRSMFVDLALAAMDELIKIAQVESPIWIKSLDGGKEVLNHEEYMRTFPPCIGMKPSNFVIEATRESGVVLANSLDLVETLMDVNGWVEMFPSLIARAATIDIVSSGMGGTKSGALQMIHAEFQVISPFVPVRQVKFLRLCKQLAEGVWAVADVSVDGNQENLNAQTPVTCRRLPSGCIIQDMNNGCCKVTWVEHSEYDESAVHRLYRHILNSGMGFGAQRWIAALQRHYECMAMLLSPTILGEDQTVINLGGKKSMLKLARRMVDSFCSGVCASTLHNWGNLVVESVSEDVRILTRKIINEPGEPDGIVLSVSTSVWLPVSQQRLFDFLRDEQSRSQWDILSNGGILQEMVQIPKGQGHWNTVSVLRSTAVDANASDNMLILQETWNDVSGSLVVYAPVDVQSVSVVMNGGDSTYVALLPSGFVILPGNSFSNGEPNNCNGNPAKRDCDGNSGGGSFLTVGFQILASNLPSAKLTVESVKTVHNLISCTMQRIKTAFN >Potri.015G141800.1.v4.1 pep chromosome:Pop_tri_v4:15:14796704:14802362:-1 gene:Potri.015G141800.v4.1 transcript:Potri.015G141800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141800.v4.1 MPKNKMDSHGDMGLLGEHFDPSLVGRMREDGYESRSGSDNIEGASGEDQDAGDYQRPRKKYNRHTANQIQELESFFKECPHPDEKQRSELSRRLGLESKQIKFWFQNRRTQMKTQLERHENAILRQENDKLRAENELLKQNMSDPICNNCGGPVVPVPVSYEQQQLRIENARLKDELGRVCALANKFLGRPLTSSASPVPPFGSNTKFDLAVGRNGYGNLGHTDNTLPMGLDNNGGVMMPLMKPIGNAVGNEVPFDRSMFVDLALAAMDELIKIAQVESPIWIKSLDGGKEVLNHEEYMRTFPPCIGMKPSNFVIEATRESGVVLANSLDLVETLMDVNGWVEMFPSLIARAATIDIVSSGMGGTKSGALQMIHAEFQVISPFVPVRQVKFLRLCKQLAEGVWAVADVSVDGNQENLNAQTPVTCRRLPSGCIIQDMNNGCCKVTWVEHSEYDESAVHRLYRHILNSGMGFGAQRWIAALQRHYECMAMLLSPTILGEDQTVINLGGKKSMLKLARRMVDSFCSGVCASTLHNWGNLVVESVSEDVRILTRKIINEPGEPDGIVLSVSTSVWLPVSQQRLFDFLRDEQSRSQWDILSNGGILQEMVQIPKGQGHWNTVSVLRSTAVDANASDNMLILQETWNDVSGSLVVYAPVDVQSVSVVMNGGDSTYVALLPSGFVILPGNSFSNGEPNNCNGNPAKRDCDGNSGGGSFLTVGFQILASNLPSAKLTVESVKTVHNLISCTMQRIKTAFN >Potri.005G151601.1.v4.1 pep chromosome:Pop_tri_v4:5:12912907:12916271:1 gene:Potri.005G151601.v4.1 transcript:Potri.005G151601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151601.v4.1 MMRFGYVVLITLLYELTRGIGRNTICLIEIIIGNFLENKKEIHLQGSLVADRSLFSTVGSCSFLDIWCA >Potri.006G234400.1.v4.1 pep chromosome:Pop_tri_v4:6:23699418:23705932:1 gene:Potri.006G234400.v4.1 transcript:Potri.006G234400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234400.v4.1 MGVGFRLTPKWGSPMQSHSATNSTSMFSSFSARGMEASVNQARLSFLSVVSEEGDILNKHSFKSFACSSAAQNVENDCLQMERLKMNVDKTSHVSINNMMGNDKVSVEEEISTSHTRLRERMTSRISFLLDNLDTLEKLVADLDALKLERDILLQLGRLGALEFFNACLSRTLQTSNVLDLSAVPTENTGESKTDGMLGDLTGKTVVRTGKKEERKFRRERAASDNGKKTTSLSLPSKTVQNNLPKPTFVKRTSSSSSRRSLIARNEAKMTRGVKVASDLERIRTTLEEETGQVVSLSCWAEATGLDKKVLQQQLQFGWYCRDELIKNTHSLVLYIARNYRGMGIAMEDLIQAGNLGLLQGAERFDPTRGYRFSTYVQYWIRKSMLKIVERHARGIQIPYALSRSINKIQKARKALSNSHGRYPDDWEVAKFTGLSLAKIESAQKCLRVVASLDQKIGEGHYAKYSEFIPDMSIQNPEEAVMRQHMREEIYDLLRGLDSREKQVMVLRYGFKDNQPKSLAEIGRLFRVSKERVRKIEKKIMIKLRDEGIRRNLSRYMIL >Potri.002G110500.3.v4.1 pep chromosome:Pop_tri_v4:2:8254555:8267181:1 gene:Potri.002G110500.v4.1 transcript:Potri.002G110500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110500.v4.1 MANERTQEEGLCLFPSDEENELDKSQHRIGSTLYFELHGLTAQNTMENSSNTTSSLRVIHTADLHLQKEDDLQLMKQYIEQYNVPPDLRFSLLTRIRYARAFRSPRVCRLYSRICLLAFIVLVQSGDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYTASHERARILSGSSISFAAGNRMILLNVLQKAVLSLKNSNDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDSDPSHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQIEVHRIIGLAGEIDNSVTIGECSRFSDDHIYSQKRLIKVLLKALGSATYAPAGNARSLNSHDSSLPSTLSLIYKNADKFGGDIYYSAVTVMSEIIHKDPTCFPVLHEMGLPDAFLSSVLAGVLPASKALTCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSTGVDLIIEIIDKIASFADSNCSSSGKVVGSTAMEMDAENKDSEGHCCLVGGVDSGAEGISNDQFIQLGIFHMMVLLHRTMENAETCRLFVEKSGIEFLLRLLLQHNIVQSSEGMSIALHSTMVFKGFTQHHSAPLAHAFCGSLRDHLKKALTGFGMDSGSFLLDPRTMPDDGIFSSLFLVEFLLFLADSKENRWVTALLTEFGNGSKDVLEDIGRVQREVLWQIALLEDAKPEVEDDGTSSAAESQESELGTNETEEQRINSFRQFLDPLLRRTSGWSFESQFFDLINLYRDLGRATTGFQQRLGTDSSINRFGSTQHPRHTESSDTAGAISRKEYDKQRSYYSSCCDMVRSLSFHITHLFQELGKAMLLPSRRREDTVNVSPSSKVVASTLASISLDHMSFGGHVSSGSEASVSTKCRYFGKVVDFIDGILLDRPDSSNPILLNCLYGHGVVQSVLTTFEATSQLLFTVNRTPASPMETDDGNIKHDNKEEADHSWIYGPLASYGKLMDHLVTSSLILSPFTKNLLVHPLVNGVIPFPRDSETFVKVLQSMVLKAVLPVWTHPQFADCGNDFISAVISIIRHVYSGVEVKNANSSTSARITGPPLNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMDWLFSHPEEAPEDDELARALAMSLGNSESDAKEDAATANSQQLEEEMVQLPPVEELLSTCTKLLQVKEPLAFPVRDLLLLICSQNDGQYRSNVISFILDQVKQSSLVSDSRNNTMISALFHVLALILHEDAVSREIALKDGLVKIASDSLSQWDSGSIDKEKKQVPKWVTTAFLAMDRLLQVDQKLTSEIVEQLKRDDVSNQQISISIDEDKQNKLQSPLASPTKHIDVDEQKRLIKISCSCIRNQLPSETMHAVLQLCSTLTRTHSVAVCFLEAEGVSLLLSLPTSSLFSGFDNIAATIIRHVLEDPQTLQQAMEAEIRHKLVTAANRHSNGRVTPRNFLLNLSSVISRDPTIFMQAAQSVCQVEMVGDRPYIVLLKDREKDKSKEKEKEKEKALEREKPHAGDAKVTLGSMNTSSPGYVHGKLHDMNSKSSKAHRKSPQSFVHVIELLLDSISSFVPPLKDDVVTDVPLSVDMDIDAAATKGKGKAVATVSEENGTSCQEAYAVLAKVVFILKLLTEIVLMYPSSVHVLLRRDSEVSSCRGPNLQKGSAGLCTGGIFHHILHKFIPSSRNMKKERKIDGDWKNKLATRANQFLVASSVRSAEARRRVFAEISDIFCEFVDSCDGFRPPTNDMQTYIDLLNDLLAARTPTGSYISPEASATFIDVGLVRSLTRTLEVLDLDHTDSPKVVTGLIKALELVTKEHVNSADSNTGKGESSTKPPTESQSVRTENIVEISQSTEMGSQSNHDAMSADHAESFNAIQNLGRSEAVTDDMDHDQDLDGGFAPATEDDFMQETSEDMRSLENGMDTVGIRFDIQPRGQETPDEDEDEDEEMSGDEGDEVDDDDDEDDEEHNGLEEDEVHHLPHPDTDQDDHDIDDDEFDEEVLEEDDEDEEEDDGVILRLEEGINGINVFDHIEVFGRDHAFANDTLHVMPVEVFGSRRQGRTTSIYNLLGRGGDSAAPSRHPLLVGPSSSNLGLPRQAENARDMVFTDRNLESTSLQLDTIFRSLRNGRHGNRLNLWMDDNQQSGGSNVSVPTGLEELLVSHLRQPNTEKLSDPNTLTGEPKRNGENVQLQEPEADTHPDIQVENNANLEGSNAPTTTSITIDGPGNVEIGLAASESHTQSVEMQLEQNDAAARDVEAVSQESSESGATLGESLRSLDVEIGSADGHDDGGERQGSADRMPLDPQSTRIRRTSMSFGNSTLATGRDASLHSVTEVSENSSREAEQDGPAVEQQIGGDTGSGSIDPAFLDALPEELRAEVLSAQQGQVSQPSNAEPQNMGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYSNRNLFGMYPRSRRGESSRRGEGIGYSLERAGIASRRSMTAKLVEADGAPLVETESLQAMIRVLRIVQPLYKGPLQRLLLNLCSHGETRATLVKILMDMLMVDKRRPANYSNVAEPLYRLYACQSNVMYSRPQSFDGVPPLLSRRILEMLTYLARNHPYVAKILLQFRLPLPALRETENTEQARGKAVMIVREDDRKQHEEGYISIALLLSLLNQPLYLRSIAHLEQLLNLLEVIIDNAENKTSLSDKTEAATEQPSGPQNSSSDADMNTEVGATTLGVAGSSSAKPTSGANSESDAQIILLNLPQAELRLLCSLLAREGLSDNAYTLVAEVMKKLVAIAPTHCHLFITELANAVQTLTKSAMVELRMFGEAVKALLSTTSSDGAAILRVLQALSSLVTSLVEKEKDQHLPPEKKHTAALSLVCDINAALEPLWLELSTCISKIESYSDSAPDLLPRTSTSKTSGVMPPLPAGSQNILPYIESFFVMCEKLHPAQPGSSHDYSITVSEVEDASSSAAQQKTSVPGLKVDEKHAAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLRVPRFVDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTIDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYEKNEVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFTELISRELISIFNDKELELLISGLPDIDLDDMRTNTEYSGYSPASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >Potri.002G110500.1.v4.1 pep chromosome:Pop_tri_v4:2:8250867:8267005:1 gene:Potri.002G110500.v4.1 transcript:Potri.002G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110500.v4.1 MATIRSSLPSRLRQLLSGDSIIGPSIKLDSETPPKIKAFVDKVIQSPLQDIAIPLSGFRWEYSKGNFHHWRPLFLHFDTYFKTYLSSRNGLSLSDNISEDDSPFPKHAVLQILRVMQIILENCHDKSSFDGLEHFKLLLASTDPEVLIATLETLSALVKINPSKLHGSGKLIGCGSVNSYLLSLAQGWGSKEEGLGLYSCVMANERTQEEGLCLFPSDEENELDKSQHRIGSTLYFELHGLTAQNTMENSSNTTSSLRVIHTADLHLQKEDDLQLMKQYIEQYNVPPDLRFSLLTRIRYARAFRSPRVCRLYSRICLLAFIVLVQSGDANDELTSFFANEPEYTNELIRIVRSEETVPGTIRTLAMLALGAQLAAYTASHERARILSGSSISFAAGNRMILLNVLQKAVLSLKNSNDPSSLAFVEALLQFYLLHIVSSSASGSNVRGSGMVPTFLPLLEDSDPSHMHLVYLAVKALQKLMDYSSSAVSLLRELGGVELLAQRLQIEVHRIIGLAGEIDNSVTIGECSRFSDDHIYSQKRLIKVLLKALGSATYAPAGNARSLNSHDSSLPSTLSLIYKNADKFGGDIYYSAVTVMSEIIHKDPTCFPVLHEMGLPDAFLSSVLAGVLPASKALTCVPNGLGAICLNAKGLEAVKETSALRFLVDIFTSKKYVLAMNEAIVPLANAVEELLRHVSSLRSTGVDLIIEIIDKIASFADSNCSSSGKVVGSTAMEMDAENKDSEGHCCLVGGVDSGAEGISNDQFIQLGIFHMMVLLHRTMENAETCRLFVEKSGIEFLLRLLLQHNIVQSSEGMSIALHSTMVFKGFTQHHSAPLAHAFCGSLRDHLKKALTGFGMDSGSFLLDPRTMPDDGIFSSLFLVEFLLFLADSKENRWVTALLTEFGNGSKDVLEDIGRVQREVLWQIALLEDAKPEVEDDGTSSAAESQESELGTNETEEQRINSFRQFLDPLLRRTSGWSFESQFFDLINLYRDLGRATTGFQQRLGTDSSINRFGSTQHPRHTESSDTAGAISRKEYDKQRSYYSSCCDMVRSLSFHITHLFQELGKAMLLPSRRREDTVNVSPSSKVVASTLASISLDHMSFGGHVSSGSEASVSTKCRYFGKVVDFIDGILLDRPDSSNPILLNCLYGHGVVQSVLTTFEATSQLLFTVNRTPASPMETDDGNIKHDNKEEADHSWIYGPLASYGKLMDHLVTSSLILSPFTKNLLVHPLVNGVIPFPRDSETFVKVLQSMVLKAVLPVWTHPQFADCGNDFISAVISIIRHVYSGVEVKNANSSTSARITGPPLNETTISTIVEMGFSRSRAEEALRQVGSNSVELAMDWLFSHPEEAPEDDELARALAMSLGNSESDAKEDAATANSQQLEEEMVQLPPVEELLSTCTKLLQVKEPLAFPVRDLLLLICSQNDGQYRSNVISFILDQVKQSSLVSDSRNNTMISALFHVLALILHEDAVSREIALKDGLVKIASDSLSQWDSGSIDKEKKQVPKWVTTAFLAMDRLLQVDQKLTSEIVEQLKRDDVSNQQISISIDEDKQNKLQSPLASPTKHIDVDEQKRLIKISCSCIRNQLPSETMHAVLQLCSTLTRTHSVAVCFLEAEGVSLLLSLPTSSLFSGFDNIAATIIRHVLEDPQTLQQAMEAEIRHKLVTAANRHSNGRVTPRNFLLNLSSVISRDPTIFMQAAQSVCQVEMVGDRPYIVLLKDREKDKSKEKEKEKEKALEREKPHAGDAKVTLGSMNTSSPGYVHGKLHDMNSKSSKAHRKSPQSFVHVIELLLDSISSFVPPLKDDVVTDVPLSVDMDIDAAATKGKGKAVATVSEENGTSCQEAYAVLAKVVFILKLLTEIVLMYPSSVHVLLRRDSEVSSCRGPNLQKGSAGLCTGGIFHHILHKFIPSSRNMKKERKIDGDWKNKLATRANQFLVASSVRSAEARRRVFAEISDIFCEFVDSCDGFRPPTNDMQTYIDLLNDLLAARTPTGSYISPEASATFIDVGLVRSLTRTLEVLDLDHTDSPKVVTGLIKALELVTKEHVNSADSNTGKGESSTKPPTESQSVRTENIVEISQSTEMGSQSNHDAMSADHAESFNAIQNLGRSEAVTDDMDHDQDLDGGFAPATEDDFMQETSEDMRSLENGMDTVGIRFDIQPRGQETPDEDEDEDEEMSGDEGDEVDDDDDEDDEEHNGLEEDEVHHLPHPDTDQDDHDIDDDEFDEEVLEEDDEDEEEDDGVILRLEEGINGINVFDHIEVFGRDHAFANDTLHVMPVEVFGSRRQGRTTSIYNLLGRGGDSAAPSRHPLLVGPSSSNLGLPRQAENARDMVFTDRNLESTSLQLDTIFRSLRNGRHGNRLNLWMDDNQQSGGSNVSVPTGLEELLVSHLRQPNTEKLSDPNTLTGEPKRNGENVQLQEPEADTHPDIQVENNANLEGSNAPTTTSITIDGPGNVEIGLAASESHTQSVEMQLEQNDAAARDVEAVSQESSESGATLGESLRSLDVEIGSADGHDDGGERQGSADRMPLDPQSTRIRRTSMSFGNSTLATGRDASLHSVTEVSENSSREAEQDGPAVEQQIGGDTGSGSIDPAFLDALPEELRAEVLSAQQGQVSQPSNAEPQNMGDIDPEFLAALPPDIRAEVLAQQQAQRLHQSHELEGQPVEMDTVSIIATFPSDLREEVLLTSSDAILANLTPALVAEANMLRERFAHRYSNRNLFGMYPRSRRGESSRRGEGIGYSLERAGIASRRSMTAKLVEADGAPLVETESLQAMIRVLRIVQPLYKGPLQRLLLNLCSHGETRATLVKILMDMLMVDKRRPANYSNVAEPLYRLYACQSNVMYSRPQSFDGVPPLLSRRILEMLTYLARNHPYVAKILLQFRLPLPALRETENTEQARGKAVMIVREDDRKQHEEGYISIALLLSLLNQPLYLRSIAHLEQLLNLLEVIIDNAENKTSLSDKTEAATEQPSGPQNSSSDADMNTEVGATTLGVAGSSSAKPTSGANSESDAQIILLNLPQAELRLLCSLLAREGLSDNAYTLVAEVMKKLVAIAPTHCHLFITELANAVQTLTKSAMVELRMFGEAVKALLSTTSSDGAAILRVLQALSSLVTSLVEKEKDQHLPPEKKHTAALSLVCDINAALEPLWLELSTCISKIESYSDSAPDLLPRTSTSKTSGVMPPLPAGSQNILPYIESFFVMCEKLHPAQPGSSHDYSITVSEVEDASSSAAQQKTSVPGLKVDEKHAAFVKFSEKHRKLLNAFIRQNPGLLEKSFSLMLRVPRFVDFDNKRAHFRSKIKHQHDHHHSPLRISVRRAYILEDSYNQLRMRSTIDLKGRLTVHFQGEEGIDAGGLTREWYQLLSRVIFDKGALLFTTVGNESTFQPNPNSVYQTEHLSYFKFVGRVVGKALFDGQLLDVHFTRSFYKHILGVKVTYHDIEAIDPDYFKNLKWMLENDISDVLDLTFSIDADEEKLILYEKNEVTDYELIPGGRNIKVTEENKHQYVDLVAEHRLTTAIRPQINAFLEGFTELISRELISIFNDKELELLISGLPDIDLDDMRTNTEYSGYSPASPVIQWFWEVVQGFSKEDKARLLQFVTGTSKVPLEGFSALQGISGSQKFQIHKAYGSPDHLPSAHTCFNQLDLPEYPSKQHLEERLLLAIHEASEGFGFG >Potri.012G056700.3.v4.1 pep chromosome:Pop_tri_v4:12:5569018:5581553:-1 gene:Potri.012G056700.v4.1 transcript:Potri.012G056700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056700.v4.1 MNSASDHLIAQHRRVNSSRISGGSEVFDPTVIYNKDIEANQRAILVEWMNSTVPSLNFPVKASSEQLRTCLIDGTVLLQILNRLRPGFSYKEGSSRSENVKKFLACMDELGILKFELSDLETGSMKNVMDCLSTLRAQFAYLGGNLSPTSGITRFGSPRGDASSNGHFSPTFGEEKRKFSPESKSQHALEPSAASMHHVGHKFHEVFQLKQGRFSELSAAKISEMMKSNSLDNSPTQSLLSVVNGILDESIERKSYEIPHRVACLLRKVLQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLEALASGTGEERGAVKDQLQHLKIEKSKMEGEKRLEEEHVAKLIIEREQRDLDLSTLKQELELVKETHELRHLKMEAEAKGAKAGLEERLKELELHLEDSRNQVKVLSAYSESKSMTFNEKEDIFKGFVEFQFGALQGMRFSCKSIKQEILEVHKSYTEEFNGLEVKLKALIDATGDYHFVVAENRRMFNELQELKGNIRVYCRIRPFLPGQVAKQTAVEYIGENGEVAVVNPSKQGKDRRRNFKFNKVFGPDSTQAEVYSDTQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGASEEDWGVNYRALNDLFKISQSRGGSFNYEIQVQMVEIYNEQVHDLLLIDGSQKNLGIKSTVQTNGLAVPDASMHPVTSTSDVLELMDIGLRNRAVGATSMNERSSRSHSVVSIHVRGKDLHSGAALHGNLHLVDLAGSERVDRSEATGDRLREAQHINRSLSALGDVIFALAQKNSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVISYSETISTLKFAERVSGVELGAARSSKEGRDARELMDQVASLKDTIAKKDDEIEQLELRKDIKNEYPGSLRYGNSSASDDFSGVNPHRTQKPSIRKSMGNETVSYQESNPEHSDRHSEAGSQQSKLSERDTGQDMSFADAKIFRFGDGGCEDRLSDISDGALSVGEEPDGETDQGTKLSNKLRKSTSMASTSRLLQNQAQATSRTATVSTDSPKGSTSK >Potri.012G056700.2.v4.1 pep chromosome:Pop_tri_v4:12:5569018:5581553:-1 gene:Potri.012G056700.v4.1 transcript:Potri.012G056700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056700.v4.1 MNSASDHLIAQHRRVNSSRISGGSEVFDPTVIYNKDIEANQRAILVEWMNSTVPSLNFPVKASSEQLRTCLIDGTVLLQILNRLRPGFSYKEGSSRSENVKKFLACMDELGILKFELSDLETGSMKNVMDCLSTLRAQFAYLGGNLSPTSGITRFGSPRGDASSNGHFSPTFGEEKRKFSPESKSQHALEPSAASMHHVGHKFHEVFQLKQGRFSELSAAKISEMMKSNSLDNSPTQSLLSVVNGILDESIERKSYEIPHRVACLLRKVLQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLEALASGTGEERGAVKDQLQHLKIEKSKMEGEKRLEEEHVAKLIIEREQRDLDLSTLKQELELVKETHELRHLKMEAEAKGAKAGLEERLKELELHLEDSRNQVKVLSAYSESKSMTFNEKEDIFKGFVEFQFGALQGMRFSCKSIKQEILEVHKSYTEEFNGLEVKLKALIDATGDYHFVVAENRRMFNELQELKGNIRVYCRIRPFLPGQVAKQTAVEYIGENGEVAVVNPSKQGKDRRRNFKFNKVFGPDSTQAEVYSDTQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGASEEDWGVNYRALNDLFKISQSRGGSFNYEIQVQMVEIYNEQVHDLLLIDGSQKNLGIKSTVQTNGLAVPDASMHPVTSTSDVLELMDIGLRNRAVGATSMNERSSRSHSVVSIHVRGKDLHSGAALHGNLHLVDLAGSERVDRSEATGDRLREAQHINRSLSALGDVIFALAQKNSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVISYSETISTLKFAERVSGVELGAARSSKEGRDARELMDQVASLKDTIAKKDDEIEQLELRKDIKNEYPGSLRYGNSSASDDFSGVNPHRTQKPSIRKSMGNETVSYQESNPEHSDRHSEAGSQQSKLSERDTGQDMSFADAKIFRFGDGGCEDRLSDISDGALSVGEEPDGETDQGTKLSNKLRKSTSMASTSRLLQNQAQATSRTATVSTDSPKGSTSIKKTGNSNLVKSSKRWQ >Potri.012G056700.5.v4.1 pep chromosome:Pop_tri_v4:12:5569018:5581553:-1 gene:Potri.012G056700.v4.1 transcript:Potri.012G056700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056700.v4.1 MNSASDHLIAQHRRVNSSRISGGSEVFDPTVIYNKDIEANQRAILVEWMNSTVPSLNFPVKASSEQLRTCLIDGTVLLQILNRLRPGFSYKEGSSRSENVKKFLACMDELGILKFELSDLETGSMKNVMDCLSTLRAQFAYLGGNLSPTSGITRFGSPRGDASSNGHFSPTFGEEKRKFSPESKSQHALEPSAASMHHVGHKFHEVFQLKQGRFSELSAAKISEMMKSNSLDNSPTQSLLSVVNGILDESIERKSYEIPHRVACLLRKVLQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLEALASGTGEERGAVKDQLQHLKIEKSKMEGEKRLEEEHVAKLIIEREQRDLDLSTLKQELELVKETHELRHLKMEAEAKGAKAGLEERLKELELHLEDSRNQVKVLSAYSESKSMTFNEKEDIFKGFVEFQFGALQGMRFSCKSIKQEILEVHKSYTEEFNGLEVKLKALIDATGDYHFVVAENRRMFNELQELKGNIRVYCRIRPFLPGQVAKQTAVEYIGENGEVAVVNPSKQGKDRRRNFKFNKVFGPDSTQAEVYSDTQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGASEEDWGVNYRALNDLFKISQSRGGSFNYEIQVQMVEIYNEQVHDLLLIDGSQKNLGIKSTVQTNGLAVPDASMHPVTSTSDVLELMDIGLRNRAVGATSMNERSSRSHSVVSIHVRGKDLHSGAALHGNLHLVDLAGSERVDRSEATGDRLREAQHINRSLSALGDVIFALAQKNSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVISYSETISTLKFAERVSGVELGAARSSKEGRDARELMDQVASLKDTIAKKDDEIEQLELRKDIKNEYPGSLRYGNSSASDDFSGVNPHRTQKPSIRKSMGNETVSYQESNPEHSDRHSEAGSQQSKLSERDTVGEEPDGETDQGTKLSNKLRKSTSMASTSRLLQNQAQATSRTATVSTDSPKGSTSK >Potri.012G056700.4.v4.1 pep chromosome:Pop_tri_v4:12:5569018:5581553:-1 gene:Potri.012G056700.v4.1 transcript:Potri.012G056700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056700.v4.1 MNSASDHLIAQHRRVNSSRISGGSEVFDPTVIYNKDIEANQRAILVEWMNSTVPSLNFPVKASSEQLRTCLIDGTVLLQILNRLRPGFSYKEGSSRSENVKKFLACMDELGILKFELSDLETGSMKNVMDCLSTLRAQFAYLGGNLSPTSGITRFGSPRGDASSNGHFSPTFGEEKRKFSPESKSQHALEPSAASMHHVGHKFHEVFQLKQGRFSELSAAKISEMMKSNSLDNSPTQSLLSVVNGILDESIERKSYEIPHRVACLLRKVLQEIERRISTQAEHLRTQNNLFKAREEKYQSRIRVLEALASGTGEERGAVKDQLQHLKIEKSKMEGEKRLEEEHVAKLIIEREQRDLDLSTLKQELELVKETHELRHLKMEAEAKGAKAGLEERLKELELHLEDSRNQVKVLSAYSESKSMTFNEKEDIFKGFVEFQFGALQGMRFSCKSIKQEILEVHKSYTEEFNGLEVKLKALIDATGDYHFVVAENRRMFNELQELKGNIRVYCRIRPFLPGQVAKQTAVEYIGENGEVAVVNPSKQGKDRRRNFKFNKVFGPDSTQAEVYSDTQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGASEEDWGVNYRALNDLFKISQSRGGSFNYEIQVQMVEIYNEQVHDLLLIDGSQKNLGIKSTVQTNGLAVPDASMHPVTSTSDVLELMDIGLRNRAVGATSMNERSSRSHSVVSIHVRGKDLHSGAALHGNLHLVDLAGSERVDRSEATGDRLREAQHINRSLSALGDVIFALAQKNSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVISYSETISTLKFAERVSGVELGAARSSKEGRDARELMDQVASLKDTIAKKDDEIEQLELRKDIKNEYPGSLRYGNSSASDDFSGVNPHRTQKPSIRKSMGNETVSYQESNPEHSDRHSEAGSQQSKLSERDTVGEEPDGETDQGTKLSNKLRKSTSMASTSRLLQNQAQATSRTATVSTDSPKGSTSIKKTGNSNLVKSSKRWQ >Potri.014G136800.2.v4.1 pep chromosome:Pop_tri_v4:14:9289796:9292366:-1 gene:Potri.014G136800.v4.1 transcript:Potri.014G136800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136800.v4.1 MMTYDELDRNLEDLTKNAAHHQLQTLHSILQHQASVGYLQPYLSACHAPVDAATFRSQVPLSSYDDYFHLINQLANGDIDHHQPLLSADPLLCFFYSSGTSTMKPKLIPYFDSALSKAASYNAHQGSAAIFRNLVPPRPEVNKILWFLYADDAPTTRGGFKVMAASTYPLQGNKSRSNWSQTLSCISPREVVFGSNIKQQMYCHLLCALRSFDIIDGIRAAYAAGLIRAFSLLESKWEKLCDDLESGFPSLEIGDAAMKESVVEFLGGPQVDLSRRIREICAESNWGGILSKLWPNVRYVKSVTTGSMKQYYSKLKYYAGDVMILGGDYFASECCLGINLDIQQPPESTRFVMLPTTAYFEFLPFDLNESSVVGEETVDFSGVKVGKMYEVAVTTYRGLYRYRLGDIVRVVGFHNSSPLVEFVMRAPKTGYEIITEKDLMSAMESFQHSMAAEVVEFASFSDFELSPKRLKVFIEFREGCDFLQEEKLQGSVEALQRCCSSLENGLGEIYKVQKDRGEIGPLLVSVVRSGSFDGILQMAIKNGAPAGQYKPPKIIRNREIVGFMEESAVLTISLDSFHG >Potri.009G124400.2.v4.1 pep chromosome:Pop_tri_v4:9:10359551:10364063:-1 gene:Potri.009G124400.v4.1 transcript:Potri.009G124400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124400.v4.1 MRTICDVCESAAAILFCAADEAALCRSCDEKVHLCNKLASRHVRVGLADPSAVPQCDICENAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGRMEEQGQQPLDHNETRRDQNQPLKLTARENKQNHRASPVPMVENNTDSDGKMDNNLIDLNARPQRIHGQNSTNQENHESSSAVPVGSFKREPQK >Potri.009G028500.1.v4.1 pep chromosome:Pop_tri_v4:9:3958571:3963739:-1 gene:Potri.009G028500.v4.1 transcript:Potri.009G028500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G028500.v4.1 MEKTSSPTPGTGSSAYLNALTVEIEKKLQRALASPTQRRNLLQELFADNALEVDDRARGIIFSREEDAISPVEDDADGQLCFFNLLADYYVRVPESGKQILHLILQLWSQSFASHIFFLLFHKWLFEAQLDNTEVLLRFSSALVQGATNVFWIDIQTNTRRFQSLFQYLLDEVALAPMQLNKIPVQAQRELFLLLSRFTLFYNSVDKHESFLKQFPVFLNAFLVGGPADFFVIEVADQLQKLKVEPVLLHYLSHIKVLQGLELRMTTSTRLKACLYSFTSPGGPMYPTRAVRHAAWDSLDLLFPVGQYPRHLISFFFRLLYPWCWPSSCWSFIISCIKAVFYSLLGLLFSSWDKLREPKNY >Potri.009G030900.6.v4.1 pep chromosome:Pop_tri_v4:9:4189070:4193553:1 gene:Potri.009G030900.v4.1 transcript:Potri.009G030900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030900.v4.1 MQALALLRHRRFSQTINQTLFIPSLFVYQTPYTCFNFLNTHTIDKFPKKSLDPEGPKCLSSRIEKLPRGESVGYAFQSWMGEGFPIHRGDVFHTINRLRKLRLNKRALEVMEWVVRERPYRLKELDYSYLLEFTTKHHGISHGEKLFLHVPSEFQNELLYNNLVISCLEKGVIRLSLDYMKKMREQGHPISYLIFNRLIILHSSPGSRKMIPKILAQMRADKVVPHVSTYNILMKIEANEHNVDGLVKVFNDMKRFKVEPNEVSFCILATAHAAARLYTVAEAYVEAVEKSCSGDNWSTLDVLIILYGHLGKEKELERTWGIVLELPHVRSKSYMLAIEAYGKIGQLSRAEELWLEMKSIHGLRSTEQFNSMLSVYCKHGLIKKATGNFREMEINGCKANSITFRHLALGCLKANLVEEALKTLEMGKNLTTSNRVKNSTPWLETTLSIIELFAEKGDVVNVEKLFEELAKAKYVRHTFVFNILIKAYVKAKIYSPNLLRRMILGGARPDAETYSLIKLAEQFRP >Potri.009G030900.8.v4.1 pep chromosome:Pop_tri_v4:9:4189094:4193511:1 gene:Potri.009G030900.v4.1 transcript:Potri.009G030900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030900.v4.1 MQALALLRHRRFSQTINQTLFIPSLFVYQTPYTCFNFLNTHTIDKFPKKSLDPEGPKCLSSRIEKLPRGESVGYAFQSWMGEGFPIHRGDVFHTINRLRKLRLNKRALEVMEWVVRERPYRLKELDYSYLLEFTTKHHGISHGEKLFLHVPSEFQNELLYNNLVISCLEKGVIRLSLDYMKKMREQGHPISYLIFNRLIILHSSPGSRKMIPKILAQMRADKVVPHVSTYNILMKIEANEHNVDGLVKVFNDMKRFKVEPNEVSFCILATAHAAARLYTVAEAYVEAVEKSCSGDNWSTLDVLIILYGHLGKEKELERTWGIVLELPHVRSKSYMLAIEAYGKIGQLSRAEELWLEMKSIHGLRSTEQFNSMLSVYCKHGLIKKATGNFREMEINGCKANSITFRHLALGCLKANLVEEALKTLEMGKNLTTSNRVKNSTPWLETTLSIIELFAEKGDVVNVEKLFEELAKAKYVRHTFVFNILIKAYVKAKIYSPNLLRRMILGGARPDAETYSLIKLAEQFRP >Potri.009G030900.5.v4.1 pep chromosome:Pop_tri_v4:9:4189090:4193523:1 gene:Potri.009G030900.v4.1 transcript:Potri.009G030900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030900.v4.1 MQALALLRHRRFSQTINQTLFIPSLFVYQTPYTCFNFLNTHTIDKFPKKSLDPEGPKCLSSRIEKLPRGESVGYAFQSWMGEGFPIHRGDVFHTINRLRKLRLNKRALEVMEWVVRERPYRLKELDYSYLLEFTTKHHGISHGEKLFLHVPSEFQNELLYNNLVISCLEKGVIRLSLDYMKKMREQGHPISYLIFNRLIILHSSPGSRKMIPKILAQMRADKVVPHVSTYNILMKIEANEHNVDGLVKVFNDMKRFKVEPNEVSFCILATAHAAARLYTVAEAYVEAVEKSCSGDNWSTLDVLIILYGHLGKEKELERTWGIVLELPHVRSKSYMLAIEAYGKIGQLSRAEELWLEMKSIHGLRSTEQFNSMLSVYCKHGLIKKATGNFREMEINGCKANSITFRHLALGCLKANLVEEALKTLEMGKNLTTSNRVKNSTPWLETTLSIIELFAEKGDVVNVEKLFEELAKAKYVRHTFVFNILIKAYVKAKIYSPNLLRRMILGGARPDAETYSLIKLAEQFRP >Potri.009G030900.7.v4.1 pep chromosome:Pop_tri_v4:9:4190171:4193514:1 gene:Potri.009G030900.v4.1 transcript:Potri.009G030900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030900.v4.1 MQALALLRHRRFSQTINQTLFIPSLFVYQTPYTCFNFLNTHTIDKFPKKSLDPEGPKCLSSRIEKLPRGESVGYAFQSWMGEGFPIHRGDVFHTINRLRKLRLNKRALEVMEWVVRERPYRLKELDYSYLLEFTTKHHGISHGEKLFLHVPSEFQNELLYNNLVISCLEKGVIRLSLDYMKKMREQGHPISYLIFNRLIILHSSPGSRKMIPKILAQMRADKVVPHVSTYNILMKIEANEHNVDGLVKVFNDMKRFKVEPNEVSFCILATAHAAARLYTVAEAYVEAVEKSCSGDNWSTLDVLIILYGHLGKEKELERTWGIVLELPHVRSKSYMLAIEAYGKIGQLSRAEELWLEMKSIHGLRSTEQFNSMLSVYCKHGLIKKATGNFREMEINGCKANSITFRHLALGCLKANLVEEALKTLEMGKNLTTSNRVKNSTPWLETTLSIIELFAEKGDVVNVEKLFEELAKAKYVRHTFVFNILIKAYVKAKIYSPNLLRRMILGGARPDAETYSLIKLAEQFRP >Potri.010G201500.8.v4.1 pep chromosome:Pop_tri_v4:10:19363550:19367203:1 gene:Potri.010G201500.v4.1 transcript:Potri.010G201500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201500.v4.1 MSFVFRGARSDIENGFPSFVPERRTLRVHATRPVNSNSLVFLVTVLLLFMILNSHQMSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAVMASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDGDNVPNGTSMSEEEINALPVHKYKVTGPQNGGSSMQQASSSVSAEVQAFVSCQLLFFPFFFLGMSELQVH >Potri.010G201500.3.v4.1 pep chromosome:Pop_tri_v4:10:19363595:19367297:1 gene:Potri.010G201500.v4.1 transcript:Potri.010G201500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201500.v4.1 MSFVFRGARSDIENGFPSFVPERRTLRVHATRPVNSNSLVFLVTVLLLFMILNSHQMSPNFLLWLVLGVFFMATTLRMYATCQQLQAQAQAHAVMASGLLGHTELRLHMPPSIALATRGRLQGLRLQLALLDREFDDLDYETLRALDGDNVPNGTSMSEEEINALPVHKYKVTGPQNGGSSMQQASSSVSAEQKKQDTGNAIGSMKSSDDELTCSVCLEQVSMGEVIRTLPCLHQFHANCIDPWLRQQGTCPVCKFRAGSGWNENGQGGLDASYMV >Potri.007G075700.1.v4.1 pep chromosome:Pop_tri_v4:7:9976245:9977595:-1 gene:Potri.007G075700.v4.1 transcript:Potri.007G075700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075700.v4.1 MKTSQKQVIGIPIRTASYAVEKMPRLLLENAEQRYIPSPANKALACKQNKIDSMLKRMNKLGKKADKFAHGIREHMRLGTKITETLVGKLSLGARILQVGGVKKVFRQLFSVSEGERLLRVCQCYLSTTAGPIAGLLFISTEKLAFCSERSIKLSSPEGKLVRIHYKVVVPLRKIKTANQSENAKKPSEKYIEIVTVDDFDFWFMGFFSYQKAFKSLQQAVTQAQMNTSHSTLM >Potri.018G134300.1.v4.1 pep chromosome:Pop_tri_v4:18:14314654:14317096:-1 gene:Potri.018G134300.v4.1 transcript:Potri.018G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134300.v4.1 MEIFYLNLFFAVFFISIIVHKHRSKFKHPNLPPGSSGLPYIGETLELLLTGCKGHPQKFFLDRKAKYASEVFKTNLFCQPAAVLCGAAGNKFLFSNENKVLKAWYPDFVCKIFPSSVQRSLIEQVDRLRTLLPEFLRPDALKRYVGIFDTVAGRHFASEWENKEVVVVFPLAKSFTFGLACSLFLSIEDPDHIAKLASPFNLVVSGIFSIPIDLPGTPLNRAIKAANFIRTELFAIIKQRKKDLAEGKASPKQDILSHMLLACDEKGAFMSELSIADTILALLASAHESTSAACAFIVKYLAELPHIYEGVYKEQMEISETKAPGDELLNWNDIQKMRYSWNVIREVLRLCPTFPNVREAIHGFDFNGFSIPKGWKVYWNANSTHRNPEYFPEPERFDPSRFEGTGPAPYTFVPFGGGPMMCPGQGFARLEMLIFMHNLVKRFKFDKFVAEEKIMFSPMPIPEKGVPIRLFPHRP >Potri.001G407500.1.v4.1 pep chromosome:Pop_tri_v4:1:43477571:43478990:1 gene:Potri.001G407500.v4.1 transcript:Potri.001G407500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407500.v4.1 MADSNSPNLAFEKESIIIVQHGIFALLLKTLSNYIQVKYQSIQASPMDTHHLIMFIFLLALFIYATALVVEVMLRASESIYHTHVGNIRLFAGGFAAILLLAILYPIMGCIISLMWACLFVKIAYESFQELYSFLCQTVAGVLHMLSRLIGSVRCLEEEEPNQPPA >Potri.019G037900.13.v4.1 pep chromosome:Pop_tri_v4:19:5226342:5241027:-1 gene:Potri.019G037900.v4.1 transcript:Potri.019G037900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037900.v4.1 MPLKRSREQETPTMYKSKLQAVCQQRGWELPTYQVTKQGKDHNPLFSATVTVNATSFSSPSPSSSSKTAQSDAAKLAFNHFSLISSPSPSRSAFSGGSAGENTRLSQENPTPLSNTNPTPLSNEAGAVAKTDESFGGCSSGSAGGNARLSPRGKLQLNLQAANPIPLSNEAVAVGKNDESFEGCSSGSAGGNARLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGDARLSPRGKLKLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGNARLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGDARLSPRGKLKLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGNACLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGDSCLFPGGKLQLNLQDANPTPLSNEAVAVGKNDESFGGFSSGNAGGNTRLSPRGKLQLNLQVANPTPLSNEAMAVGKNDESFGGCSSGSAGENTRLSPGGKLQLNLQDANPTPLSNEAVANAKNDEIFGGMQHLFKNQLQTYAQKRNFTLPVYSCERVGPPHASRFKCKVTVNGQTYESEEYFPTLIKAELAAAKAALMSLLSNGVEEDESGYKNLLQDMARREGCGLPTYWTDKSGEAHVPTFVSKVEIEGEIFTGQGAKTKKQAEMSAAKIAYTALQQRYSSQSPGFLSTSSQFQEAPRSSPLSPARQSQEAVQSETPQFSVSNLRAGLTAYLQQNIQPKLPVSNEQAEEYRANSVVSNHNPSIASPGQDSCSAMASITPSPAAAISSSPKHDLTSSSLPSDSPTNLATSSSIEFMVRGIRVLMHPSGTKMTYPAGSTVLPISDDKWAAVELPPQRSR >Potri.019G037900.15.v4.1 pep chromosome:Pop_tri_v4:19:5226346:5241029:-1 gene:Potri.019G037900.v4.1 transcript:Potri.019G037900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037900.v4.1 MPLKRSREQETPTMYKSKLQAVCQQRGWELPTYQVTKQGKDHNPLFSATVTVNATSFSSPSPSSSSKTAQSDAAKLAFNHFSLISSPSPSRSVSAAFSGGSAGENTRLSQENPTPLSNTNPTPLSNEAGAVAKTDESFGGCSSGSAGGNARLSPRGKLQLNLQAANPIPLSNEAVAVGKNDESFEGCSSGSAGGNARLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGDARLSPRGKLKLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGNARLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGDARLSPRGKLKLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGNACLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGDSCLFPGGKLQLNLQDANPTPLSNEAVAVGKNDESFGGFSSGNAGGNTRLSPRGKLQLNLQVANPTPLSNEAMAVGKNDESFGGCSSGSAGENTRLSPGGKLQLNLQDANPTPLSNEAVANAKNDEIFGGMQHLFKNQLQTYAQKRNFTLPVYSCERVGPPHASRFKCKVTVNGQTYESEEYFPTLIKAELAAAKAALMSLLSNGVEEDESGYKNLLQDMARREGCGLPTYWTDKSGEAHVPTFVSKVEIEGEIFTGQGAKTKKQAEMSAAKIAYTALQQRYSSQSPGFLSTSSQFQEAPRSSPLSPARQSQEAVQSETPQFSVSNLRAGLTAYLQQNIQPKLPVSNEQAEEYRGQDSCSAMASITPSPAAAISSSPKHDLTSSSLPSDSPTNLATSSSIEFMVRGIRVLMHPSGTKMTYPAGSTVLPISDDKWAAVELPPQRSR >Potri.019G037900.30.v4.1 pep chromosome:Pop_tri_v4:19:5226403:5240975:-1 gene:Potri.019G037900.v4.1 transcript:Potri.019G037900.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037900.v4.1 MPLKRSREQETPTMYKSKLQAVCQQRGWELPTYQVTKQGKDHNPLFSATVTVNATSFSSPSPSSSSKTAQSDAAKLAFNHFSLISSPSPSRSAFSGGSAGENTRLSQENPTPLSNTNPTPLSNEAGAVAKTDESFGGCSSGSAGGNARLSPRGKLQLNLQAANPIPLSNEAVAVGKNDESFEGCSSGSAGGNARLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGDARLSPRGKLKLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGNARLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGDARLSPRGKLKLNLQAANPTPLSNEAVAVGKNDESFEGCSSGSAGGNACLSPRGKLQLNLQAANPTPLSNEAVAVGKNDESFEGWEFPTCEVTKLGQDRSPLFFSTVTINATLFSSPSPLLPLRKLKTTLLSSPITTSPSSPALYGCSSGSAGGDSCLFPGGKLQLNLQDANPTPLSNEAVAVGKNDESFGGFSSGNAGGNTRLSPRGKLQLNLQVANPTPLSNEAMAVGKNDESFGGCSSGSAGENTRLSPGGKLQLNLQDANPTPLSNEAVANAKNDEIFGGMQHLFKNQLQTYAQKRNFTLPVYSCERVGPPHASRFKCKVTVNGQTYESEEYFPTLIKAELAAAKAALMSLLSNGVEEDESGYKNLLQDMARREGCGLPTYWTDKSGEAHVPTFVSKVEIEGEIFTGQGAKTKKQAEMSAAKIAYTALQQRYSSQSPGFLSTSSQFQEAPRSSPLSPARQSQEAVQSETPQFSVSNLRAGLTAYLQQNIQPKLPVSNEQAEEYRAFELLAANSVVSNHNPSIASPGQDSCSAMASITPSPAAAISSSPKHDLTSSSLPSDSPTNLATSSSIEFMVRGIRVLMHPSGTKMTYPAGSTVLPISDDKWAAVELPPQRSR >Potri.019G037900.31.v4.1 pep chromosome:Pop_tri_v4:19:5226443:5230645:-1 gene:Potri.019G037900.v4.1 transcript:Potri.019G037900.31.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037900.v4.1 MQHLFKNQLQTYAQKRNFTLPVYSCERVGPPHASRFKCKVTVNGQTYESEEYFPTLIKAELAAAKAALMSLLSNGVEEDESGYKNLLQDMARREGCGLPTYWTDKSGEAHVPTFVSKVEIEGEIFTGQGAKTKKQAEMSAAKIAYTALQQRYSSQSPGFLSTSSQFQEAPRSSPLSPARQSQEAVQSETPQFSVSNLRAGLTAYLQQNIQPKLPVSNEQAEEYRDSPTNLATSSSIEFMVRGIRVLMHPSGTKMTYPAGSTVLPISDDKWAAVELPPQRSR >Potri.008G160501.1.v4.1 pep chromosome:Pop_tri_v4:8:11027765:11028907:1 gene:Potri.008G160501.v4.1 transcript:Potri.008G160501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160501.v4.1 MRPASRLVSSLFFFLSYSNILHHLQAQPSTQGFTCPANQRSFPCQTYAFYRASAPNFLDLASIGDLFSVSRLMISKPSNISSPTSPLIPNQPLFVPLSCSCNTINISTSISSANITYTIKEGNTFYIVSTKYFQNLTTYQSVELFNPTLIPELLDIGVEVIFPIFCKCPHQTQLQNKVNYLVSYVFQPSDNLSSVASTFGVETQSIVDVNGNNIQPYDTIFVPVYQLPQLAQPTDRALKVNRIGT >Potri.002G214450.1.v4.1 pep chromosome:Pop_tri_v4:2:19960270:19961079:-1 gene:Potri.002G214450.v4.1 transcript:Potri.002G214450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214450.v4.1 MLAGVAIASSNASICWSNGLQHNQARTEEIFNTLFSCVKTIARLARSFMG >Potri.001G191300.6.v4.1 pep chromosome:Pop_tri_v4:1:17521552:17525641:1 gene:Potri.001G191300.v4.1 transcript:Potri.001G191300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191300.v4.1 MWIHKLTCIMVKDKSDIRPVLIKFGVALALSSAGFLLARLKINMNKSSQLPCSPRSSDHGSEVDVGGERTWHGDDLQVKNRTSSSGSVASISAERYDDSCVLNVAVHNSKVLSPSSRHSGDKDGYLLTEFNDLVKELDFTANNSETSKKEETIISDVETPRSFESVEKVDYEQDIRHLKNMVRMLRERERNLEVQMLEFYGLKEQEAAVMELQNRLKINNMEAKLFALKIESLRADNRRLQAQVVDHAKVVAELDAARSKLELVKKKLRSEAEQNKEQILSLKKRVSRLQEQELMSAETDSDIKMKLQRLKDLEIEAEELRKSNSRLHLENSELFSQLESTQILANSILEDPETETLRKQGNRLRQENEDLAKEVEQLQADRCSDVEELVYLRWVNACLRYEMRNFQPPHGKTVARDLSKSLSPRSEMKAKQLILEFANTEGMAEKGINIMEFEPDHWSSSQASYITDAGELDDPLSPKTSHSGKTKMFHKLRKLLLGKETHNHIHGSSGDRTGVTGDFDSPNGSLSVSTPTDATSDLQSTGGQTPSFYSSRHSFRHSMDIQRISRSLENSQRFREVGSSNGHMRFSSGRTSDLSLDNLLDQDLHSIEKSEMAKFADVLKDSGGRAGNGNRMDKLHRKSVSIGSFEAFRSSSSK >Potri.001G191300.5.v4.1 pep chromosome:Pop_tri_v4:1:17521564:17525677:1 gene:Potri.001G191300.v4.1 transcript:Potri.001G191300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191300.v4.1 MWIHKLTCIMVKDKSDIRPVLIKFGVALALSSAGFLLARLKINMNKSSQLPCSPRSSDHGSEVDVGGERTWHGDDLQVKNRTSSSGSVASISAERYDDSCVLNVAVHNSKVLSPSSRHSGDKDGYLLTEFNDLVKELDFTANNSETSKKEETIISDVETPRSFESVEKVDYEQDIRHLKNMVRMLRERERNLEVQMLEFYGLKEQEAAVMELQNRLKINNMEAKLFALKIESLRADNRRLQAQVVDHAKVVAELDAARSKLELVKKKLRSEAEQNKEQILSLKKRVSRLQEQELMSAETDSDIKMKLQRLKDLEIEAEELRKSNSRLHLENSELFSQLESTQILANSILEDPETETLRKQGNRLRQENEDLAKEVEQLQADRCSDVEELVYLRWVNACLRYEMRNFQPPHGKTVARDLSKSLSPRSEMKAKQLILEFANTEGMAEKGINIMEFEPDHWSSSQASYITDAGELDDPLSPKTSHSGKTKMFHKLRKLLLGKETHNHIHGSSGDRTGVTGDFDSPNGSLSVSTPTDATSDLQSTGGQTPSFYSSRHSFRHSMDIQRISRSLENSQRFREVGSSNGHMRFSSGRTSDLSLDNLLDQDLHSIEKSEMAKFADVLKDSGGRAGNGNRMDKLHRKSVSIGSFEAFRSSSSK >Potri.001G191300.7.v4.1 pep chromosome:Pop_tri_v4:1:17521687:17525299:1 gene:Potri.001G191300.v4.1 transcript:Potri.001G191300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191300.v4.1 MWIHKLTCIMVKDKSDIRPVLIKFGVALALSSAGFLLARLKINMNKSSQLPCSPRSSDHGSEVDVGGERTWHGDDLQVKNRTSSSGSVASISAERYDDSCVLNVAVHNSKVLSPSSRHSGDKDGYLLTEFNDLVKELDFTANNSETSKKEETIISDVETPRSFESVEKVDYEQDIRHLKNMVRMLRERERNLEVQMLEFYGLKEQEAAVMELQNRLKINNMEAKLFALKIESLRADNRRLQAQVVDHAKVVAELDAARSKLELVKKKLRSEAEQNKEQILSLKKRVSRLQEQELMSAETDSDIKMKLQRLKDLEIEAEELRKSNSRLHLENSELFSQLESTQILANSILEDPETETLRKQGNRLRQENEDLAKEVEQLQADRCSDVEELVYLRWVNACLRYEMRNFQPPHGKTVARDLSKSLSPRSEMKAKQLILEFANTEGMAEKGINIMEFEPDHWSSSQASYITDAGELDDPLSPKTSHSGKTKMFHKLRKLLLGKETHNHIHGSSGDRTGVTGDFDSPNGSLSVSTPTDATSDLQSTGGQTPSFYSSRHSFRHSMDIQRISRSLENSQRFREVGSSNGHMRFSSGRTSDLSLDNLLDQDLHSIEKSEMAKFADVLKDSGGRAGNGNRMDKLHRKSVSIGSFEAFRSSSSK >Potri.019G033600.2.v4.1 pep chromosome:Pop_tri_v4:19:4637197:4638552:-1 gene:Potri.019G033600.v4.1 transcript:Potri.019G033600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033600.v4.1 MMAATTTTPAFLTLQDRVAIVTGSSCGIGKAIAINLASLGAKLVINYTSNKEQAELVAKEITSGCVDGIPRAVVVQADVSEPVHVKLLFDEAERVFGSQVHVFVNSASIADSKYHTIANTSVEDFDHIFSVNLPRNILMLQRGRKPGKTRRWGRIIWLSSSLEGLLKPKIATYTASKAAVETMTKILAKELEGTGITANCVAPGPTATHMFLTGTSEELIKRVIEECPHGRLGETKDVAPLVGFLASDASEWINGRLLVLMVALSRVWLVQYFEICILYINATIIPVI >Potri.017G051000.1.v4.1 pep chromosome:Pop_tri_v4:17:3620514:3626079:1 gene:Potri.017G051000.v4.1 transcript:Potri.017G051000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051000.v4.1 MHNPFSHSMIKLPADGKTCMASSSPSSNYETDHSALSPNDESMEAVDLENGPAFGSCRDVHRKDVSITWENLGVTVSDRKHGCRSILQGLTGYARPGEVLAIMGPSGCGKTTLLDALAGRLDSSTKHTGEVLINGRKQPLAYGTSAYVTQDDVITWTLTVREAVFYSAQLQLPNSMSLSKKKERAETTIKEMGLQDSMDTRIGGWSNKGLSSGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMKRMVDLAKQDRMTIIASIHQPSSQVFQLFHNLCLLSSGRTIYFGRSDRANKFFELNGFPCPSHQNPSDHYLHTINTDFDEDMDQGFHAKKTTEEAIDFLVRSYKSSHACQQIQRHVAEICSLDREELKKGSQANFISQCLILTRRSFVNMYRDLGYYWLRLAIYIALCSGLGSVFYDIGSGYSSIQARGSLLMFTASFLTIMAIGGFPSFVEDMKVFQRERLNGHYQSAAFVVSNTVSSTPFLLVISLIPGAITYYLVGLQQGCAQFIYFALTLLACMMLVESLMLIVASIMPNFLMGLITGAGIQGLMILSGGFFRLPHDLPLVFWRYPLYYIAFHRYAYQGLFKNEFEGLTFPNGQVLQGGGPAYIKGEEILRIIWQVEMRYSKWMDLAMLFAMVAFYRLMLLIVLGTVEKFKPIIREIKFVFLSKTKKYIG >Potri.017G051000.2.v4.1 pep chromosome:Pop_tri_v4:17:3620514:3626079:1 gene:Potri.017G051000.v4.1 transcript:Potri.017G051000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051000.v4.1 MSLSKKKERAETTIKEMGLQDSMDTRIGGWSNKGLSSGQKRRVSICIEILTRPKLLFLDEPTSGLDSAASYYVMKRMVDLAKQDRMTIIASIHQPSSQVFQLFHNLCLLSSGRTIYFGRSDRANKFFELNGFPCPSHQNPSDHYLHTINTDFDEDMDQGFHAKKTTEEAIDFLVRSYKSSHACQQIQRHVAEICSLDREELKKGSQANFISQCLILTRRSFVNMYRDLGYYWLRLAIYIALCSGLGSVFYDIGSGYSSIQARGSLLMFTASFLTIMAIGGFPSFVEDMKVFQRERLNGHYQSAAFVVSNTVSSTPFLLVISLIPGAITYYLVGLQQGCAQFIYFALTLLACMMLVESLMLIVASIMPNFLMGLITGAGIQGLMILSGGFFRLPHDLPLVFWRYPLYYIAFHRYAYQGLFKNEFEGLTFPNGQVLQGGGPAYIKGEEILRIIWQVEMRYSKWMDLAMLFAMVAFYRLMLLIVLGTVEKFKPIIREIKFVFLSKTKKYIG >Potri.014G001700.2.v4.1 pep chromosome:Pop_tri_v4:14:183353:188249:1 gene:Potri.014G001700.v4.1 transcript:Potri.014G001700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001700.v4.1 MWLSKGHGTKKGGEGNGLVAVAVDKDKGSQNALKWTVENLLTKGQNLILIHVFNKSSSSSSSFVTSHGSPGDYSSPGKQQLEKMAKDLFLTFRCYCTRKDVHCLDVALESTDIAKAITEYVSHAAIETLVLGTPSRSGFMSDQTHLTPLVLLKFKADVPSTVSRGAPDFCIVYVVSKGKVSTMRNASRPAPFASPLLDQIQNQQNQNSPRVDSSEALYKHVWSIKERTMPVKPRISVGETFRSPFGREGQGHSVKSFADLMSETDISFVSSSRPSTDRINPSVSTSSDTSFASIQSGPKFFSPNYHQGFSSISQDSGRTSFTGSTHSLDDMESEMRRRKLELKQTMDLYNAACREALTAKRKATELDRWRIEEERRLEESRFSEEAALSIIEQEKARCREAIDAAEEAEKRAAIEAQRRLNIEKTLKEAAKTKRAKDNLSYHGIRYRRYSIEEIEVATQYFSESKKIGGGGYGPVYNCYLDHTPVAVKVLRPDAAQGRSQFQREVEVLSLIRHPNMVLLLGACPEYGILVYEYMAKGSLEDCLFKRGNTPALSWQIRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDNNYTSKISDVGLARLVPTTSENVTQYHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGILLLQVITAKPPMGLTHIVEQAIENETLKEVLDSDVPDWPIEETLCFAKLALQCAELRRKDRPDLGTEVLPELNRLRDYAEEKMNYLFLAKAFGPSPNHSLASITPPISQISITPTNLSQTSATPTNLSQVSITQEAMSNTVVGNLGSPKSQSSS >Potri.009G135600.3.v4.1 pep chromosome:Pop_tri_v4:9:10956241:10957155:-1 gene:Potri.009G135600.v4.1 transcript:Potri.009G135600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135600.v4.1 MRTELLTSAWAGLFAGCLHTLSGPDHLAALAPLSIGRSRMESAAVGALWGCGHDAGQVIFGLLFLLLKDRLHIEIIRTWGTRVVGLTLLVIGAMGIKEASEVPTPCVALENGECDVSAYEALETPTVGKKKKIGFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLIMFLFGTVVAMGSYTVFIGSCSEALKDRVPRITEKLTWVSSLIAIALGLGIIVSQLFGFSLY >Potri.009G135600.1.v4.1 pep chromosome:Pop_tri_v4:9:10956253:10960188:-1 gene:Potri.009G135600.v4.1 transcript:Potri.009G135600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135600.v4.1 MERLLYSSSPTPLKTHFKKPTPLLPRLCKLELPKPGFPSLTRPEFRRVNSVSCKHENPSNPFIKLPSLSPLKVDSSSGSTPKSHLVKQIVDGVSVKSKAATVGIFMVVSALIMLIHPVFALPAFATFQTAAQTGGPAAAAGGAKFMRTELLTSAWAGLFAGCLHTLSGPDHLAALAPLSIGRSRMESAAVGALWGCGHDAGQVIFGLLFLLLKDRLHIEIIRTWGTRVVGLTLLVIGAMGIKEASEVPTPCVALENGECDVSAYEALETPTVGKKKKIGFATFATGIVHGLQPDALMMVLPALALPSRVAGAAFLIMFLFGTVVAMGSYTVFIGSCSEALKDRVPRITEKLTWVSSLIAIALGLGIIVSQLFGFSLY >Potri.013G121201.1.v4.1 pep chromosome:Pop_tri_v4:13:12976650:12981976:-1 gene:Potri.013G121201.v4.1 transcript:Potri.013G121201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121201.v4.1 MEKGLISVDRFTKSSQAYFLTHLHTDHTQGLTSKWGKGPLFCSKLTAKLLPFKFPDFNLSLLRVVDLHI >Potri.015G136300.1.v4.1 pep chromosome:Pop_tri_v4:15:14525903:14527307:1 gene:Potri.015G136300.v4.1 transcript:Potri.015G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G136300.v4.1 MANPKPQADQLPPLHSPILIPSPIRSSGQPFSSRHDEHPPRLIRSPIGFSSQPMSGRHGDQSPRRPIRPPGGSSAQPASGRHPTFKGVRLRSGKWVSEIREPRKTTRVWLGTYPTPEMAATAYDVAALALKGTNTPLNFPESILSYPTPASASPGDIRAAAASAASARSPKRESGPNPEMGQPETEGSSSIITADVQSGQEIFDEEELLNMPNLLVDMAGGMLVSPPRINTLSSDDSPGSSDAESLWSYH >Potri.012G117500.1.v4.1 pep chromosome:Pop_tri_v4:12:13618187:13620487:-1 gene:Potri.012G117500.v4.1 transcript:Potri.012G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117500.v4.1 MMADQGGKSLIREYKKGNWTVSETMVLIEAKRMDDERRMKRSDSAEGRSKPTELRWKWVEDYCWKQECLRSQNQCNDKWDNLMRDYKKVRDYERKIAETGERNGGSYWKLEKNERKERNLPSNMLPQIYEELVEVVERRGGQQRMLTAAGGSGSNPNTTYVVERPITDVQPSVLPPLLQHQVSAAPTPALPLPPPCPPPSQQPQAQPPPPIQFPEPFPTMCDSSDSDTSEYSNSPAKRRRRGGGGGEGTSGGTASASTSHEVGTAISKSASIIAEAIQACDEREERRHRDLLGLHERRLKIEESKTEINRQGISGLVDAINKLANSILALASHKNQSSGPK >Potri.012G117500.2.v4.1 pep chromosome:Pop_tri_v4:12:13618355:13620514:-1 gene:Potri.012G117500.v4.1 transcript:Potri.012G117500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117500.v4.1 MMADQGGKSLIREYKKGNWTVSETMVLIEAKRMDDERRMKRSDSAEGRSKPTELRWKWVEDYCWKQECLRSQNQCNDKWDNLMRDYKKVRDYERKIAETGERNGGSYWKLEKNERKERNLPSNMLPQIYEELVEVVERRGGQQRMLTAAGGSGSNPNTTYVVERPITDVQPSVLPPLLQHQVSAAPTPALPLPPPCPPPSQQPQAQPPPPIQFPEPFPTVDSDTSEYSNSPAKRRRRGGGGGEGTSGGTASASTSHEVGTAISKSASIIAEAIQACDEREERRHRDLLGLHERRLKIEESKTEINRQGISGLVDAINKLANSILALASHKNQSSGPK >Potri.016G097500.1.v4.1 pep chromosome:Pop_tri_v4:16:9765837:9767534:1 gene:Potri.016G097500.v4.1 transcript:Potri.016G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097500.v4.1 MASPLKSILATLLLLAIALPDCQAKSKPSLLGKQAIHHAIGRQANHIELPKRHKPKFRPGPWKKAHATFYEGGSGTFGGACNYKDVAGEGYGMNTVALSSVLFRNGQACGACFEIKCADSPQWCKLGQPSLFVTATDHCPPNPSLPNDNGGWCNVPREHFDIAKPVFSQLAGYKAGIIPIQYRRLPCKKQGGIRFTILGNPWFYQVIVWNVGGAGDVVSVQVKGDDKLKWTQMERDWGATWKTSAILHGESLSFRVRASDERYSTSWHVTPKNWQFGQTYEGKNFK >Potri.013G036600.1.v4.1 pep chromosome:Pop_tri_v4:13:2411210:2414379:1 gene:Potri.013G036600.v4.1 transcript:Potri.013G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036600.v4.1 MMSFLQKPFSKFLQVLFFLLLVCIPSFFAFHPNSSATSFGAATYVAAEGNKEAEALLKWKASLDDNHSQSVLSSWVGSSPCKWLGITCDNSGSVAGFSLPNFGLRGTLHSFNFSFFPNLLTLNLGNNSLYGTIPLEMGLLTSLNFLYLDKNNLTRRIPFSIGNLRNLSILNLKNNKLSGSIPSSIGNMTLLTRLDLNNNNLSGSVPREIGQLESLVELKLSSNNFTGHLPRDLCLGGLLVNFTAANNHFSGPIPKSLRNCTSLFRFRLDGNQLSGNISEDFGLYPNLNYVDLSHNDLSGELKWKWGGFHNLACLLLSNNNISGEIPSELGKATRLQIIDLSSNLLKGTIPKELVQLKALYKLTLHNNHLCGVIPFEIQMLSRLQSLNLASNNLGGSIPKQLGQCSNLLQLNLSHNKFTGSIPSEIGLLHLLGHLDLSGNLLAGEIPSQIGQLKRLETMNLSHNKLSGLIPTAFVDLVSLTAVDISYNELEGPIPEIKGFTEAFMNNSGLCGNVSGLKPCTLPTSRRKSNKIVILILFPLLGSLLLLLIMVGCLYFHHRTSRDRISCLGERQSPLSFAVWGYQEEILHDTIIQATNNFNSNNCIGKGGYGIVYRAMLPTGQVVAVKKLHSSREGELMNMRTFRNEIHMLIDIRHRNIAKLYGFCSLIEHSFLVYEFIERGSLKMNLSIEEQAMDLDWNRRLNVVKGVANALSYLHHDCSPPIIHRDISSSNVLLDLEFEAHVSDFGTARLLIPDSTNWTSFAGTFGYIAPELAYTMRVNEKCDVYSFGVVTMEVIMGMHPGDLISSLSASAFSSSSCSQINQHALLKDVIDQRIPLPENRVAEGVVYIIKIAFECLLANPQSRPTMRQVASKLIARWPPLSKSFSAITLEDLMPQTTMTG >Potri.014G179100.2.v4.1 pep chromosome:Pop_tri_v4:14:13566243:13573358:-1 gene:Potri.014G179100.v4.1 transcript:Potri.014G179100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179100.v4.1 MESGSIWVVLAVIFGGLGVGKWILKKVNWWLYEAQLGEKQYSLPPGDLGWPFIGNMWSFLRAFKSSDPDSFMRTFINKYGDNGIYKAFMFGNPSVFVTTPEACRRVLSNDDAFKPGWPISTLKLIGRKSFIDISYEEHKRLRRLTSAPVNGHEALSVYIPYIEENVIAMLEKWTTMGKIEFLTQVRKLTFKIIMYIFLSSESEVVMEALEKDYTTLNYGVRAMAINLPGFAYYKALKARKRLVAIFQSIVDERRNLRKNSARNAKKKDMMDSLLGVEDENGRKLTDEEIIDVILMYLNAGHESSGHITTWATIFLQEHPEFLQKAKEEQEQIVKRRPPAQNGLSLKEVREMDYLSKVIDETLRLITFSLTVFREAKTDFSINGYIIPKGWKVLVWFRTVHLDPEIYQNPKEFNPSRWDNYTPKAGTFLPFGAGSRLCPGNDLAKLEISIFLHYFLLDYMLERENPECRWMFLPHTRPTDNCVARIKKVSSTSV >Potri.001G342300.1.v4.1 pep chromosome:Pop_tri_v4:1:35312636:35317036:1 gene:Potri.001G342300.v4.1 transcript:Potri.001G342300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342300.v4.1 MKQKSEKLYTAKQITSALIAFIFLLGLLCLYYGSSFVPALSRSEGEHDGTDPVLGGNIRDFDDLFEDQEHNPEVPKSIPICDIKHSELIPCLDRNLIYQLKLKPNLTLMEHYERHCPPPERRFNCLIPPPIGYKIPIRWPESRDEVWKANIPHTHLAQEKSDQNWMVVNGEKINFPGGGTHFHDGANKYIVSLARMLKFPNDKLHNGGNIRNVLDVGCGVASFGAYLLSHSIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYALDPENRRIWNAMHDLLRRMCWRVAVKKDQTVIWQKPLGNGCYLKRDPGTQPPLCSTGDDPDATWNVHMKACIAPYSAKMHKERGSGLVPWPKRLTAAPPRLEDIGVSPEQFHEDTNIWQFRVNEYWKQMKSVVRKNYFRNVMDMNSNLGGFGAALKDTDVWVMNVAPVNMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWGVFSEIQEHGCGVEDLLIEMDRILRPDGFVIIRDKPVIINYIRKFVTALRWDRWLSEVEPRSDALSLSEERVLIARKKLWSEGDSAM >Potri.001G342300.5.v4.1 pep chromosome:Pop_tri_v4:1:35312625:35316925:1 gene:Potri.001G342300.v4.1 transcript:Potri.001G342300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342300.v4.1 MKQKSEKLYTAKQITSALIAFIFLLGLLCLYYGSSFVPALSRSEGEHDGTDPVLGGNIRDFDDLFEDQEHNPEVPKSIPICDIKHSELIPCLDRNLIYQLKLKPNLTLMEHYERHCPPPERRFNCLIPPPIGYKIPIRWPESRDEVWKANIPHTHLAQEKSDQNWMVVNGEKINFPGGGTHFHDGANKYIVSLARMLKFPNDKLHNGGNIRNVLDVGCGVASFGAYLLSHSIIAMSIAPNDVHENQIQFALERGIPSTLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYALDPENRRIWNAMHDLLRRMCWRVAVKKDQTVIWQKPLGNGCYLKRDPGTQPPLCSTGDDPDATWNVHMKACIAPYSAKMHKERGSGLVPWPKRLTAAPPRLEDIGVSPEQFHEDTNIWQFRVNEYWKQMKSVVRKNYFRNVMDMNSNLGGFGAALKDTDVWVMNVAPVNMSARLKIIYDRGLIGTVHDWCEAFSTYPRTYDLLHAWGVFSEIQEHGCGVEDLLIEMDRILRPDGFVIIRDKPVIINYIRKFVTALRWDRWLSEVEPRSDALSLSEERVLIARKKLWSEGDSAM >Potri.006G225600.1.v4.1 pep chromosome:Pop_tri_v4:6:23008284:23012912:1 gene:Potri.006G225600.v4.1 transcript:Potri.006G225600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225600.v4.1 MESNVEQQSPSQKFQIYSTANTGVTPFWREKYERDAKKYWDVFYKRHQDKFFKDRHYLDKEWGQYFAGEERRVVLEVGCGAGNTIFPLVATYPNIFVHACDFSPRAVNLVKTHKDYLERCVGAFVCDLTVDDLSKEISPSSVDIVTMIFVLSAVSPEKMPLVLQNIKKVMKPNGYVLLRDYAVGDLAQERLTSKDQQISENFYVRGDGTRAFYFSNEFLTSLFKDNGFDVEELGLCCKQVENRSREIVMNRRWIQAVFRFSDSSNYSVSKESAIKEALCQENVKSNVKESTSQCPSNNFEIDMSEGVASEMFGISPSNDNEVIHVDLRDQNFKINVLSKEYQHTCKSTGLMLWESARMMAMVLAVNPTIVEGRKVLELGCGCGGICSMVSAKSADLVVATDGDTKALELLSQNVASNLRQPSLAKLIMKRLVWGNTEHIEAIKDLNPGGFEVIIGTDVTYIPEAILPLFATAKELISCDRNGGDQEPALILCHIFRRVDEPSLLSAASQYGFKLVDKWPLGIPSNPSQSIVGSWFPENGREEYIPNAALNIMYFHLQ >Potri.006G225600.4.v4.1 pep chromosome:Pop_tri_v4:6:23008372:23012882:1 gene:Potri.006G225600.v4.1 transcript:Potri.006G225600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225600.v4.1 MESNVEQQSPSQKFQIYSTANTGVTPFWREKYERDAKKYWDVFYKRHQDKFFKDRHYLDKEWGQYFAGEERRVVLEVGCGAGNTIFPLVATYPNIFVHACDFSPRAVNLVKTHKDYLERCVGAFVCDLTVDDLSKEISPSSVDIVTMIFVLSAVSPEKMPLVLQNIKKVMKPNGYVLLRDYAVGDLAQERLTSKDQQISENFYVRGDGTRAFYFSNEFLTSLFKDNGFDVEELGLCCKQVENRSREIVMNRRWIQAVFRFSDSSNYSVSKESAIKEALCQENVKSNVKESTSQCPSNNFEIDMSEGVASEMFGISPSNDNEVIHVDLRDQNFKINVLSKEYQHTCKSTGLMLWESARMMAMVLAVNPTIVEGRKVLELGCGCGGICSMVSAKSADLVVATDGDTKALELLSQNVASNLRQPSLAKLIMKRLVWGNTEHIEAIKDLNPGGFEVIIGTDVTYIPEAILPLFATAKELISCDRNGGDQEPALILCHIFRRVDEPSLLSAASQYGFKLVDKWPLGIPSNPSQSIVGSWFPENGREEYIPNAALNIMYFHLQ >Potri.002G071400.3.v4.1 pep chromosome:Pop_tri_v4:2:5003428:5008019:-1 gene:Potri.002G071400.v4.1 transcript:Potri.002G071400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071400.v4.1 MEWRGACCSLFFLFKLVESTSGLGKVWALNLGAETVVNVSWPIFIASIFVLLALVLSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYSLESFLSLLDSSAAFNCEAIRDCYEAFALYCFERYLIACLGGEENTIEFMESQTLITPSSPLLEDSHAYGVVEHPFPLNCFLRDWNLGADFYNAVKIGIVQYMILKLICALLAMTLQAFGVYGEGKFEWRYGYPYLAVILNFSQTWALYCLVQFYSVIKDKLAPIKPLAKFLTFKSIVFLTWWQGVAIAFLFSMGAFKGALAQELKTRIQDYIICIEMGIAAIVHLYVFPSVPYKRGERCVRNVAVMTDYASLGAPPDPEEVRDCERSTRVRLGRHDEREKRLNFPQSVRDVVFGSSEIIADDMKYTVSHVVQPVERGIAKINKTFHEISENVKRHEERRRSSKDDNYLVPLNTWTGEFSEAHDNLLEGSVSDSGLSDGKRPHTNPKLQHLE >Potri.012G134100.1.v4.1 pep chromosome:Pop_tri_v4:12:14935851:14937020:1 gene:Potri.012G134100.v4.1 transcript:Potri.012G134100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134100.v4.1 MDLFSHYSDPSPFGATDFWSVFNENNGINQEQCSYSPVLSDSSISSNVTTRVQPAPNFSDEEVMLASRNPKKRAGRKKFRETRHPVYRGVRRRNSGKWVCEVREPNKKSRIWLGTFPTAEMAARAHDVAALALRGRSACLNFADSAWRLPVPASSEAKDIQKAAAEAAGGFRPEGCVGGELMRTGDEGEKAAETTAEAGEEVFYMDDEAVFGMPGLLANMAEGMLLPPPHCGGGGDGWDNMENIDADMPLWSFSI >Potri.006G121801.1.v4.1 pep chromosome:Pop_tri_v4:6:9667817:9671028:1 gene:Potri.006G121801.v4.1 transcript:Potri.006G121801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121801.v4.1 MSSFPGEHKSPVDLGYGNFGCTHYRRRCKIRAPCCDEIFDCRHCHNESKNSMEVNPIDRHDIPRHELKRVICSLCDTEQEVQQQCFHCGVCMGKYFCSKCKFFDDDISKQQYHCNECGICRTGGKENFFHCNRCGCCYSTLLKDSHNCVERAMHHNCPVCFEFLFETTKDIIVLPCGHTIHLECVKEMQQHFQYACPLCSKSYCDMSRVWKKLDQQVALTPMPQMLNKMVWILCNDCGETSEVNFHIVAHKCLKCNSYNTKQTRVGPPPCSSSIEEIKR >Potri.004G098501.1.v4.1 pep chromosome:Pop_tri_v4:4:8494576:8500933:-1 gene:Potri.004G098501.v4.1 transcript:Potri.004G098501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098501.v4.1 MANELCSDDSCTCPRISFSHEFSQTDVVPIEQRPPQSSSSNSYFCFRNDTINPESPAADELLLNGKIIPIEIKNIISLSKHVQEQPLSPPPQPFLDATITSNDSRYDQGSKVIEITTSKENSNYQEFSSLNEHFLDGEIIIPVKIKKKKNIPPPFSPLGAFLDADGDIDEDISKGSNQGMIESARNKPPDGHEKKNLKSSSRSKMSSSLSCALAYMEGAHALFHYSFVEAILRCLYQMSSECHCRKGFTRGRWHQRVVELDLHYCQLVGSLSPHIGSLSFLMVLDLANNSLSHNVPQELGRLLKLERLVLRNNTFDGGIPVNISRCANLRVLD >Potri.015G029100.1.v4.1 pep chromosome:Pop_tri_v4:15:2239594:2244245:1 gene:Potri.015G029100.v4.1 transcript:Potri.015G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029100.v4.1 MASAPVLKRSETIAESMPDALRQSRYHMRICFSRFVAPGRRLMKRQHIMDEVDKSIQDKNERQKVLEGLLGYILSSTQEAAVVPPFVAFAVRPNPGFWEYVKVNAEDLSVDGISVSEYLQFKEMIFDEKWASNENALEVDFGAMDFSTPRLTLSSSIGNGLNYMSKFMSSKLRGNSDAAKPLLDYLLALDHQGENLMINQALDSVSKLQAALIVAEVVVSAFPKDAPYQDFQQSLKRLGFEKGWGDTAERVKETMRMLSESLQAPEPVKLELLFSRIPNVFNIVIFSPHGYFGQSDVLGLPDTGGQIVYILDQVRALEEELLLKIRQQGLSVKPQILVITRLIPHAGGTKCNQEVEPIFGTKHSHIVRVPFKTEKGVLPQWVSRFDVYPYLERFAQDAADKVREHMDCKPDLLIGNYSDGNLVASLMAQKLGTTLGTIAHALEKTKYEDSDAKWKELDPKYHFSCQFTADMIAMNTADFIITSTYQEIAGSKNRPGQYESHVAFTMPGLCRVVSGINVFDPKFNIASPGADQTVYFPYTEKQKRLTSFHPAIEELLYNNEDNNEHIGYLADKKKPIIFSMARLDTVKNITGLTEWYGKNAKLRNLVNLVVVAGFFDPSKSNDREEIAEIKKMHSLIEKYQLKGQFRWIAAQSDRYRNGELYRCIADTKGAFIQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGISGFHIDPNNGDESSNKIADFFEKCKTDAEYWNKMSATGLQRIYECYTWKIYANKVLNMGSVYGFWRQMNKEQKLLKQRYIEAFYNLQFRNLARNVPIPGFAPPVQTPSTSKTKPQESAPTAVAESQQSLPTQRAKPQVQEGPVPAPETQLTQRKAQPQQPQSQRNGNESLGQMVIARRQSSSTQRSWSWWLSRIASLLIVYYILRKLYCYLT >Potri.019G072700.2.v4.1 pep chromosome:Pop_tri_v4:19:11392355:11399568:-1 gene:Potri.019G072700.v4.1 transcript:Potri.019G072700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072700.v4.1 MQSSSDSSTFKLDQRVHSTNDPRRIGTVKYIGPVEGHPGTWVGVDWDNGEAKHDGSLNGVRYFEARSQLSGSFVRAQNLTAGISFIEALYIRYRDQPTQEDEDEMYVLSASNKRVSVQLVGKEKIQDKLSRLEELTGASLSYLGVSNPGSPNEIRNIVPNLKQLDLTGNLLSEWKDVGIICEQLPSLAALNLSNNSMSHEIVGLPLLKSIHILVLNNTGINWTQIEVLKDLLPVIEELHLMGNGINAIKTASSSIVHGFDSLRLLNLEENCIAEWNEIVKLSQLRSLEELHLNKNNLNHIFYPDHDTIDKLVGGDESHDQSCIPFQNLRCLLLGGNNIDDLASVDSLNSFPKLIDIRLSENPIADPGRGGIPRFVLVARLAKVEILNGSEVSTRERKESEIRYVRLVMSKLHGNPDEIKQHPRFVELKNFHGIEDERSSVGTTGPQKMASGLLSVTLKSVAPSIGEKPPLTKKLPAATTIGKLKILCETFFKLGSIRPKLFLQEEGSPLPILLDDEMATLMDVGIGNESTVLVDEES >Potri.019G072700.3.v4.1 pep chromosome:Pop_tri_v4:19:11392169:11399604:-1 gene:Potri.019G072700.v4.1 transcript:Potri.019G072700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072700.v4.1 MQSSSDSSTFKLDQRVHSTNDPRRIGTVKYIGPVEGHPGTWVGVDWDNGEAKHDGSLNGVRYFEARSQLSGSFVRAQNLTAGISFIEALYIRYRDQPTQEDEDEMYVLSASNKRVSVQLVGKEKIQDKLSRLEELTGASLSYLGVSNPGSPNEIRNIVPNLKQLDLTGNLLSEWKDVGIICEQLPSLAALNLSNNSMSHEIVGLPLLKSIHILVLNNTGINWTQIEVLKDLLPVIEELHLMGNGINAIKTASSSIVHGFDSLRLLNLEENCIAEWNEIVKLSQLRSLEELHLNKNNLNHIFYPDHDTIDKLVGGDESHDQSCIPFQNLRCLLLGGNNIDDLASVDSLNSFPKLIDIRLSENPIADPGRGGIPRFVLVARLAKVEILNGSEVSTRERKESEIRYVRLVMSKLHGNPDEIKQHPRFVELKNFHGIEDERSSVGTTGPQKMASGLLSVTLKSVAPSIGEKPPLTKKLPAATTIGKLKILCETFFKLGSIRPKLFLQEEGSPLPILLDDEMATLMDVGIGNESTVLVDEES >Potri.004G111232.1.v4.1 pep chromosome:Pop_tri_v4:4:10176935:10177888:1 gene:Potri.004G111232.v4.1 transcript:Potri.004G111232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111232.v4.1 MAKHTENESCYSVENPSSLIVEMGELSLESPNFEMMNDDEAATNIVEAAATSSKKGIFERWANALVMVPFTILTNLFAAFCTVNSSNSFGLAPFSFHSLVFIVMLNFYTSIIGIVLWQVFPMVARGFHLMAFLCALLSLTILFGAVLPIQLNGAPWICMALVVLVVFVLLLHNLSPHP >Potri.017G100800.1.v4.1 pep chromosome:Pop_tri_v4:17:11219399:11222695:1 gene:Potri.017G100800.v4.1 transcript:Potri.017G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100800.v4.1 MAPKRGVKAPLAAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLLLKYRPEDKAAKKERLLKRAQAAEEGKTVESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTASVLCLTSVKNEDKLEFSKVLEAVKANFNDKFDEHRKKWGGGIMGSKSLAKTKAKERVIAKEAAQRMS >Potri.004G110976.2.v4.1 pep chromosome:Pop_tri_v4:4:10118673:10120067:1 gene:Potri.004G110976.v4.1 transcript:Potri.004G110976.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110976.v4.1 MTARNHLHLHLSQKPSLSFRRLYFSNDNKKSSPSFKLHRLILSVDC >Potri.004G110976.1.v4.1 pep chromosome:Pop_tri_v4:4:10118535:10118954:1 gene:Potri.004G110976.v4.1 transcript:Potri.004G110976.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110976.v4.1 MLTGPVRSHKAVSFLPTSNRNSQSSSIINSLSSFPGNLLHLRNDSKKPSPSSSFPETVSIFQASLF >Potri.017G069800.1.v4.1 pep chromosome:Pop_tri_v4:17:7507250:7509033:1 gene:Potri.017G069800.v4.1 transcript:Potri.017G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069800.v4.1 MDDMLCDELLQEIFTRIPKSLPPPPSSASAASVSLVSKRWLHLYRTSKTSLSLRLNPDDSTISSLTSVLSHYPFLSSLSLFLLSSDPTVPATITSPPAFYDRLLFIVSAFCSSLKHLRFLAGPVSQSSLFSLSNSCTFLNSLTISLYRPLYFNWVVSFSCLKELSVYVSGFYGVDDCNRESGFCINEELDAELGLESLFLSGIGGEDYGVGWLWRSSKRLKKLKLKSCEGIGDGGSFLSFAKCLKGLQEVEIRACRSIVNGVLLKLAENCDSLYSLLVYDGGNREGLHHFISSCRCDLQKLDFRLPLDLRNDHLSAIGLNFRGLSTLRLQSCCLVSGEGLKALGIALNSGLEELALINCDVVERESGLLATLGQHTRQLKKLDLSYNEFLLDKEFISMLVSCNCLIELNLRRCAGLTTVSMVSMFKNCRKLQSVDIMHCDGIGAEAVELFVLNSPQLRGLQVEENKVSDVARSWASHKLIQIVS >Potri.003G127100.1.v4.1 pep chromosome:Pop_tri_v4:3:14649144:14651259:-1 gene:Potri.003G127100.v4.1 transcript:Potri.003G127100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127100.v4.1 MADSVAAASLIGYRPLCGGLSVKDTSYRRKSLSSCRCPIKEAVGKRLVYSSPMLPRLKIDRLVNTSIKALATELTKKVYSYREEERIPRTWNYRVDTGVDRKPGLWPPENRADNALLHNPLIRQERMGCSWLGAIFEWEGVIIEDNPDLERQAWLALSREEGKPTPPAFILRRVDGMKNEQAISEVLCWSRDPPEMKRMATRKEEIYQSLQGGIYRLRTGSKEFVNILMHYKIPMALVSTRPRKTLESAIGTVGIEGYFTAIVAAEDVHRGKPDPEMFIYAAQLLNFIPQRCIVFGNSNQTVEAAHDAFMKCVAIASKHPVYELGAADLVVRKLDELSIVDLKNLADIESPEFGPVELETELEPEEDNDRSTSAGVDDIFW >Potri.012G068550.1.v4.1 pep chromosome:Pop_tri_v4:12:8958246:8958908:1 gene:Potri.012G068550.v4.1 transcript:Potri.012G068550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068550.v4.1 MTGIRLQQEESADLHLTPNRVAGAGGDLVSDDERSVAADSWSIKSDYGSILDDDQRHADAAEALSAAANCRAASDCSSDRMNWTLKVWLPC >Potri.006G163566.1.v4.1 pep chromosome:Pop_tri_v4:6:15876162:15883961:-1 gene:Potri.006G163566.v4.1 transcript:Potri.006G163566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163566.v4.1 MIMDSAFQNMLKNHVPSLWLHHGGTMVIKQLQWLTAPLLFDNNTWNNTHIANVGSAMSSPEKAAWEIFNSLDVKYVLVVFGGFVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYWIDSQATPTMLNCLMYKLSYYRFVETDGKGFDRELGGQKLGRSISNLPLLRRKQAQLLAQKEVEQERETLGTSEIIYRLIMRSSVCNSYNYNQGAFERDHYSCKMQTKPGKRLYGLHL >Potri.016G017700.1.v4.1 pep chromosome:Pop_tri_v4:16:924377:927028:-1 gene:Potri.016G017700.v4.1 transcript:Potri.016G017700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017700.v4.1 MSLRNISFTLLVALLAIMASAVFISPNLSLLHHLIELGLATFSSPFIATFIPYSIGGRRHHHHHNKHPSDGKIVNICEDFPPDFPPPDTNTTSTICVDRNGCCNFTTVQSAVDSVMNFSQKRTIIWINSGIYYEKVTVLKYKQNITFQGQGYTSTAIVWNDTAKSSNGTFYSGSVQVFSNNFIAKNISFMNVAPIPSPGDIGAQAVAIRISGDQAFFLGCGFFGAQDTLHDDRGRHYFKDCYIQGSIDFIFGNARSLYENCQLISMANPVAPGAKGINGAVTAHGRISKDENTGFAFVNCSLGGTGRIWLGRAWRPYSSVIFSYTSMTDIVVPEGWNDFNDPTRDQTIFYGEYNCLGAGANMTMRAPYVQKLNDTQASPFLNVSFIDGDQWLQSFIN >Potri.010G052300.3.v4.1 pep chromosome:Pop_tri_v4:10:8387052:8390260:-1 gene:Potri.010G052300.v4.1 transcript:Potri.010G052300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052300.v4.1 MSLCFVDDLKSQPSWLLVLFTLGSLSFLKFLFASLKWVYVSFLRPAKNLKKYGSWALVTGPTDGIGKGFAFQLARKGLNLILVGRNPDKLKDVSTSIQSKYSNVQIKNVVVDFSGDIDEGVQKIKETVEGLDVGILINNVGVSYPYARFFHEVDEELLKHLIRVNVEGTTKVTQAVLPGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVLCFFILHYSVTFNTYPDLYVIDLVYVDVLVKL >Potri.010G052300.1.v4.1 pep chromosome:Pop_tri_v4:10:8387062:8390262:-1 gene:Potri.010G052300.v4.1 transcript:Potri.010G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052300.v4.1 MSLCFVDDLKSQPSWLLVLFTLGSLSFLKFLFASLKWVYVSFLRPAKNLKKYGSWALVTGPTDGIGKGFAFQLARKGLNLILVGRNPDKLKDVSTSIQSKYSNVQIKNVVVDFSGDIDEGVQKIKETVEGLDVGILINNVGVSYPYARFFHEVDEELLKHLIRVNVEGTTKVTQAVLPGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIKRSSFWVPSSDSYARAGLRAIGYEPRCTPYWPHSLLWGLIQLLPESAVDSWRLGFCLRIRKRGQLKDSRKNE >Potri.010G052300.4.v4.1 pep chromosome:Pop_tri_v4:10:8387107:8390086:-1 gene:Potri.010G052300.v4.1 transcript:Potri.010G052300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052300.v4.1 MSLCFVDDLKSQPSWLLVLFTLGSLSFLKFLFASLKWVYVSFLRPAKNLKKYGSWALVTGPTDGIGKGFAFQLARKGLNLILVGRNPDKLKDVSTSIQSKYSNVQIKNVVVDFSGDIDEGVQKIKETVEGLDVGILINNVGVSYPYARFFHEVDEELLKHLIRVNVEGTTKVTQAVLPGMLKRKKGAIVNIGSGAAIVIPSDPLYAVYAATKA >Potri.001G297200.1.v4.1 pep chromosome:Pop_tri_v4:1:30779401:30782904:1 gene:Potri.001G297200.v4.1 transcript:Potri.001G297200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297200.v4.1 MMLRSCYRPLERCFGRVAGGGGDGLMWHADLKQHASGDYSIAVVQANSNLEDQSQVFTSPSATYVGVYDGHGGPEASRFVNKHMFPFMHKFAKEHGGLSADVIKKAFNATEEEFCHLVKRSLPLKPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGRRVDEDKKKTVVAERLSTDHNVAVEEVRKEVEALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFNRDPIYQQFGNPIPLKRPVMTAEPSIVFRKLRSEDLFLIFASDGLWEQLTDEAAVEIVFKNPRAGIAKRLVRAAIQEAAKKREMRYNDIKKIEKGIRRHFHDDITVIVIYLDHQKGSSNGRLKNNAIGCTSAPVDIFSRDADQAEADMLQTIS >Potri.001G297200.4.v4.1 pep chromosome:Pop_tri_v4:1:30779413:30782903:1 gene:Potri.001G297200.v4.1 transcript:Potri.001G297200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297200.v4.1 MLSLIAEFAKEHGGLSADVIKKAFNATEEEFCHLVKRSLPLKPQIASVGSCCLVGAISNDVLYVANLGDSRAVLGRRVDEDKKKTVVAERLSTDHNVAVEEVRKEVEALHPDDSHIVVYTRGVWRIKGIIQVSRSIGDVYLKKPEFNRDPIYQQFGNPIPLKRPVMTAEPSIVFRKLRSEDLFLIFASDGLWEQLTDEAAVEIVFKNPRAGIAKRLVRAAIQEAAKKREMRYNDIKKIEKGIRRHFHDDITVIVIYLDHQKGSSNGRLKNNAIGCTSAPVDIFSRDADQAEADMLQTIS >Potri.T125307.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:91448:101708:-1 gene:Potri.T125307.v4.1 transcript:Potri.T125307.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125307.v4.1 MLQPVEFEGSNDNNVTGLLRKRKLERGTGCIENNRPFQSNVQCAGVGSLAVGCQDNFGERNRVHGDGDVTIGKETGVVVGKSGHSLVEMSPKTGGSYVNVVVEGGRRNGSPVDEIKSHVGKLASIVAQKLWDGSLQLNSSVTVSLVAFFQSGEKMPDLKWSEFLEVKGKVRLEAFEKYVQDLPRSRNRGLMVISLRCKEGSSKSGLAGMKEVAKGYKKGKRVGFVQVSQGIDLYVCPRSDSIITILAKHGFFKGMAAVEDNQDSLIGCVVWRRNQASSSSVLEKSERKHGSLSEQPLKSPLDSSVERVDHKALSCCIQVGSRTDCTTLDGDENNNVEHKDIETKQVQTELRITSSTINNLLLTSTILSNSPSMLNGLQTSSTHDSASYLPAMGKLLQVGPLVMNNSEEKPKTSELCKHGSQLSTDIAKKPLHAPYDDDLPEFDFGTACGILQTPTSKPLHGVIADNKLPAEELKKILESLPQITPTVQSMLVSNQRELRDFNPPRLPLDTLLKMPVQRKICVDGMNVLPPNLEEKRMPVTTTSARPLKNLFDDDDEMPEWSPPDFELLRQHVSDTTRPSTTSTYSKVRNLIFERLPPGCPNNLLSSSPRSAYARFTPQAGISASHQLMSHNGRPAQPSLSSEYTLHGPNSSTVFNPNPLPRSGPPRDPFDAKLPVHHGGWNRRRC >Potri.010G051500.1.v4.1 pep chromosome:Pop_tri_v4:10:8322296:8329252:1 gene:Potri.010G051500.v4.1 transcript:Potri.010G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051500.v4.1 MDASSRPAVVIDNGSGYTKMGFAGNVEPCFILPSVVAVNESFLNQSRTSSSKANWLAQHSAGVMADLDFFIGDEALAKSRSSNTYNLSYPIKHGQVANWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDAGDGATYVVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGENVPPEDSFEVARKAKEMHCYTCSDIVKEFNKHDKEPAKYIKQWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFGRRLQRDLKKIVDTRVLASEARLGGGVKSQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >Potri.013G079700.1.v4.1 pep chromosome:Pop_tri_v4:13:6842952:6844679:-1 gene:Potri.013G079700.v4.1 transcript:Potri.013G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079700.v4.1 MTSKATCLASDVGDRFSPNNEEIINHFLRHKLLGNDHLVSKIPQLDIYQFDPWDLPWINSKDREGYFFSPLHAKNSYTNNGRINRSTNTGSWQAKGRDCKVTSELNGEVIGIKKIFVHSCIKDGIKYVMHEFSASFNLPNCRDLRALVLCKVMMKTLDKKTEGSYMKVKETEEEASSPPASDEDQQTDNLICDDGGTNCLAASASANHAVEFTIPEEVDLEIPPHLQLFPGYDQQDYNHGFIFYDQPLILTGQLHADNGPGTSSTEEDMFNGFGSLWD >Potri.010G243500.1.v4.1 pep chromosome:Pop_tri_v4:10:21994549:21995529:1 gene:Potri.010G243500.v4.1 transcript:Potri.010G243500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243500.v4.1 MNSSRPVEHSSATVFGGFALIFGISIGVVSAIAMVILVYYFCTRKPIPNDPSVDDGSSSDGDPVTIKIGLDEATLDTYPKLLYSEAKERLEKGDDSVAASNCSICLADYTDSDLLRLLPECNHLFHSQCIDPWFKLHTTCPVCRNSPSRPPQREFFGTWFLRFVH >Potri.016G059700.1.v4.1 pep chromosome:Pop_tri_v4:16:4080553:4085373:-1 gene:Potri.016G059700.v4.1 transcript:Potri.016G059700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059700.v4.1 MAPGGSAFKEVLELVAECDIATSKSENNIAPTKGTVVLSGKHGVYSNNGVHELLECPVCTNLMYPPIHQCPNGHTLCSACKLRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQSLGCLDVFPYYSKLKHEQHCRFRPYSCPYAGSECSVTGDIPALAAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLVWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRKELKLRVTGRVWKEE >Potri.016G059700.3.v4.1 pep chromosome:Pop_tri_v4:16:4080538:4085373:-1 gene:Potri.016G059700.v4.1 transcript:Potri.016G059700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059700.v4.1 MAPGGSAFKEVLELVAECDIATSKSENNIAPTKGTVVLSGKHGVYSNNGVHELLECPVCTNLMYPPIHQCPNGHTLCSACKLRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKYQSLGCLDVFPYYSKLKHEQHCRFRPYSCPYAGSECSVTGDIPALAAHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLVWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRKELKLRVTGRVWKEE >Potri.004G087400.6.v4.1 pep chromosome:Pop_tri_v4:4:7313440:7318728:1 gene:Potri.004G087400.v4.1 transcript:Potri.004G087400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087400.v4.1 MVTCFRFVSILDVASIKPDADKYESLSQGTSKMHRGIFNTSTLMVDRPKEVFIPPKSLSCNEKKNVAETSSKTSCKSKDNCSRSKKIQSNDSPPAVELKDKMVDTFPCEAQNNTSEECVTKKSQGSKRKGDLEFEMQLQMAMSATAVATQSNKELDVKESSNSSDVSSPFKRIRKIANEESSSQGISTALGSRKIGSPLYWAEVYCSGENLTGKWVHVDAVHDIVDGEQKVEAAADACKTSLRYVVAFAGLGAKDVTRRYCMKWYKIASQRVNSLWWDAVLAPLRELESGATGGMAHLEKPHADASNEHENVIASGLSDLPMPNELPSNVDLPKESGRKNDVESSGRNSFAATRNTIEDMELQTRALTEPLPTNQQAYKNHLLYAIEKWLTKCQILHPKGPILGFCSGHPVYPRACVQTLRTKERWLREGLQVKVKELPAKVVKQSGKLKKVQFSEDDDYGETDSGVVELYGMWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRVFYVAKRLEIDYAPAMVGFEFRNGRSVPVFDGIVVCNEFKDAILEAYAEEEERRDAEEKKRNEAQAISRWYQLLSSIITRQRLNNSYGNGLLPQMPSNVQNTNNQPDVHVGSTQPPGHQKDAKDRKLNAPSMTLTDDHEHVFLVEDQSFDEETSTRTKRCHCGFSVQVEEL >Potri.004G087400.2.v4.1 pep chromosome:Pop_tri_v4:4:7311239:7318782:1 gene:Potri.004G087400.v4.1 transcript:Potri.004G087400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087400.v4.1 MRTRSNNKQSSGKESTVSAIRDVDSESLADMSNEAVDKLVRRVKGRGSSGKKKQDNRLQCDSAATGENGLKSNGKQVVDARVTWNDLDARGLAQDPAANDTREVEGGGFQTTFQESDQEMDDIDWEDGSSSILGHVKNHPGDGIREVTIEFSESPDSAKRKPIRRATAEEKGLAELVHKVHLLCLLARGRIIDHACDDPLIQASLLSILPAHLSNTLGDPKLHAKALSPLAHWFHNNFHVASSVSEKRSFHSALSCALETREGTLEELAALSVALFRALKLTTRFVSILDVASIKPDADKYESLSQGTSKMHRGIFNTSTLMVDRPKEVFIPPKSLSCNEKKNVAETSSKTSCKSKDNCSRSKKIQSNDSPPAVELKDKMVDTFPCEAQNNTSEECVTKKSQGSKRKGDLEFEMQLQMAMSATAVATQSNKELDVKESSNSSDVSSPFKRIRKIANEESSSQGISTALGSRKIGSPLYWAEVYCSGENLTGKWVHVDAVHDIVDGEQKVEAAADACKTSLRYVVAFAGLGAKDVTRRYCMKWYKIASQRVNSLWWDAVLAPLRELESGATGGMAHLEKPHADASNEHENVIASGLSDLPMPNELPSNVDLPKESGRKNDVESSGRNSFAATRNTIEDMELQTRALTEPLPTNQQAYKNHLLYAIEKWLTKCQILHPKGPILGFCSGHPVYPRACVQTLRTKERWLREGLQVKVKELPAKVVKQSGKLKKVQFSEDDDYGETDSGVVELYGMWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRVFYVAKRLEIDYAPAMVGFEFRNGRSVPVFDGIVVCNEFKDAILEAYAEEEERRDAEEKKRNEAQAISRWYQLLSSIITRQRLNNSYGNGLLPQMPSNVQNTNNQPDVHVGSTQPPGHQKDAKDRKLNAPSMTLTDDHEHVFLVEDQSFDEETSTRTKRCHCGFSVQVEEL >Potri.004G087400.7.v4.1 pep chromosome:Pop_tri_v4:4:7312355:7319295:1 gene:Potri.004G087400.v4.1 transcript:Potri.004G087400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087400.v4.1 MDDIDWEDGSSSILGHVKNHPGDGIREVTIEFSESPDSAKRKPIRRATAEEKGLAELVHKVHLLCLLARGRIIDHACDDPLIQASLLSILPAHLSNTLGDPKLHAKALSPLAHWFHNNFHVASSVSEKRSFHSALSCALETREGTLEELAALSVALFRALKLTTRFVSILDVASIKPDADKYESLSQGTSKMHRGIFNTSTLMVDRPKEVFIPPKSLSCNEKKNVAETSSKTSCKSKDNCSRSKKIQSNDSPPAVELKDKMVDTFPCEAQNNTSEECVTKKSQGSKRKGDLEFEMQLQMAMSATAVATQSNKELDVKESSNSSDVSSPFKRIRKIANEESSSQGISTALGSRKIGSPLYWAEVYCSGENLTGKWVHVDAVHDIVDGEQKVEAAADACKTSLRYVVAFAGLGAKDVTRRYCMKWYKIASQRVNSLWWDAVLAPLRELESGATGGMAHLEKPHADASNEHENVIASGLSDLPMPNELPSNVDLPKESGRKNDVESSGRNSFAATRNTIEDMELQTRALTEPLPTNQQAYKNHLLYAIEKWLTKCQILHPKGPILGFCSGHPVYPRACVQTLRTKERWLREGLQVKVKELPAKVVKQSGKLKKVQFSEDDDYGETDSGVVELYGMWQLEPLQLPHAVNGIVPKNERGQVDVWSEKCLPPGTVHLRLPRVFYVAKRLEIDYAPAMVGFEFRNGRSVPVFDGIVVCNEFKDAILEAYAEEEERRDAEEKKRNEAQAISRWYQLLSSIITRQRLNNSYGNGLLPQMPSNVQNTNNQPDVHVGSTQPPGHQKDAKDRKLNAPSMTLTDDHEHVFLVEDQSFDEETSTRTKRCHCGFSVQVEEL >Potri.015G091400.2.v4.1 pep chromosome:Pop_tri_v4:15:11435662:11439095:1 gene:Potri.015G091400.v4.1 transcript:Potri.015G091400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091400.v4.1 MGKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTEYMTYMFKYDTVHGPWKHHELKVKDSKTLLFGERPVTVFGSRNPEEIPWGETGAEYIVESTGVFTDKEKAAAHLKGGAKKVIISAPSKDAPMFVMGVNEKSYTPDLNIISNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKSAKYDEIKAAIKEESEGKMKGILGYTDEDLVSSDFIGDCRSSIFDAKAGIALNDNYVKLVAWYDNEWGYSTRVVDLICYIASVSS >Potri.008G199800.1.v4.1 pep chromosome:Pop_tri_v4:8:14163841:14166554:-1 gene:Potri.008G199800.v4.1 transcript:Potri.008G199800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199800.v4.1 MESENRESEATHPLPTNSKNSRVTDPTLKDPPMSTPSSSSSSSTSPGPSQKRQNNDHNLSPPQTNKKRTKKTPPPLPNLPHELIIEILSRLPAKSLIKFRCVSKSFKSLISNPQFIKTHLEKVKNLSRNDPDFSPEIVISSSEPLFRLKSCSLYSVYNNPVTDAVVIDYYLLKDIYRYDWVVGSCDGLVCLGIKQDFVVLWNPSTRVFNRLPDLGFAKKLGSYTVFGFGYDSQIDDYKVLAMFCFLTKSVYGGSRYVTRIKVCALKGECWRRLEDFGLGLPYDVSGKHVDGKLCWPVMPEGSIGSAWSIVAFDLAQEMFEEVVQPDYGAVGYERVLGVLQGWLCVMCNYQGVRADVWVLKEFGVRDSWTKLFSIPYLDDPLWFHYSVPLCIDVGGEVLLEYKSVLVIYNPKHGTFRYPVMNGASSCIEADVYIQSLVSPVVDGQV >Potri.013G101100.1.v4.1 pep chromosome:Pop_tri_v4:13:10941351:10942728:1 gene:Potri.013G101100.v4.1 transcript:Potri.013G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101100.v4.1 MEERNTASHGGMSSSYRGVRKRKWGKWVSEIREPGKKSRIWLGSFETPEMAATAYDVAALHFRGYDAKLNFPDLVHSLPKPASSDAEDIRIAAHEAAMSLRPSTVESSQGGSSSSNVGPITVRLSPSQIQAINESPLDSPKMWMQMSGIAMPEESMIYSSIDVGEEEEWDNKQTDSLWDP >Potri.017G049100.7.v4.1 pep chromosome:Pop_tri_v4:17:3499633:3502168:1 gene:Potri.017G049100.v4.1 transcript:Potri.017G049100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G049100.v4.1 MAYTDTVREERHVAPAHYSMKIDSFSLLSDMVANSYLEQYESREFDASGYKWKLVLYPNGDKSRNGDGYISLYLVIADTTGFPPGWEINAIFKLFVYDQLQDKYLTIGDGRLRRFCAIMNKWGFPQMLPLSTFNNASNGYLIGDSCVFGAEVFVVKSEGKGEHFSMIKDPSDGTFTWEVQYFSGLTGEFYYSQVYLAGGHEWKLKLFPNGHIKQRGKYLSLFVELDDCTNYHTGWKLFVEFTLRIKDQVQSQHREKTCQKKEKSSFSIQLGINSATAGDFTCMMQGTFCYHFQ >Potri.017G049100.1.v4.1 pep chromosome:Pop_tri_v4:17:3499452:3502168:1 gene:Potri.017G049100.v4.1 transcript:Potri.017G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G049100.v4.1 MAYTDTVREERHVAPAHYSMKIDSFSLLSDMVANSYLEQYESREFDASGYKWKLVLYPNGDKSRNGDGYISLYLVIADTTGFPPGWEINAIFKLFVYDQLQDKYLTIGDGRLRRFCAIMNKWGFPQMLPLSTFNNASNGYLIGDSCVFGAEVFVVKSEGKGEHFSMIKDPSDGTFTWEVQYFSGLTGEFYYSQVYLAGGHEWKLKLFPNGHIKQRGKYLSLFVELDDCTNYHTGWKLFVEFTLRIKDQVQSQHREKTFHHWFNGSENDLGWVSFISLTDIKNPLKNFIVNDTLIVEGVLHRLSVLKDLA >Potri.017G049100.12.v4.1 pep chromosome:Pop_tri_v4:17:3499452:3501634:1 gene:Potri.017G049100.v4.1 transcript:Potri.017G049100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G049100.v4.1 MAYTDTVREERHVAPAHYSMKIDSFSLLSDMVANSYLEQYESREFDASGYKWKLVLYPNGDKSRNGDGYISLYLVIADTTGFPPGWEINAIFKLFVYDQLQDKYLTIGDGRLRRFCAIMNKWGFPQMLPLSTFNNASNGYLIGDSCVFGAEVFVVKSEGKGEHFSMIKDPSDGTFTWEVQYFSGLTGEFYYSQVYLAGGHEWKLKLFPNGHIKQRGKYLSLFVELDDCTNYHTGWKLFVEFTLRIKDQVQSQHREKTCQKKEKSSFSIQLGINSATAGDFTCMMQGTFCYHFQ >Potri.017G049100.2.v4.1 pep chromosome:Pop_tri_v4:17:3499452:3502168:1 gene:Potri.017G049100.v4.1 transcript:Potri.017G049100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G049100.v4.1 MKIDSFSLLSDMVANSYLEQYESREFDASGYKWKLVLYPNGDKSRNGDGYISLYLVIADTTGFPPGWEINAIFKLFVYDQLQDKYLTIGDGRLRRFCAIMNKWGFPQMLPLSTFNNASNGYLIGDSCVFGAEVFVVKSEGKGEHFSMIKDPSDGTFTWEVQYFSGLTGEFYYSQVYLAGGHEWKLKLFPNGHIKQRGKYLSLFVELDDCTNYHTGWKLFVEFTLRIKDQVQSQHREKTFHHWFNGSENDLGWVSFISLTDIKNPLKNFIVNDTLIVEGVLHRLSVLKDLA >Potri.006G154200.1.v4.1 pep chromosome:Pop_tri_v4:6:13743476:13751215:1 gene:Potri.006G154200.v4.1 transcript:Potri.006G154200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154200.v4.1 MPISLQSHAFAGNPLRSKTPQPTHPLSPALALETLKTQLVDNSHQSSSLNFKVLPFRKGRPLASSTSTDADLGPTWHLGWISLADCKGLFSASGVEFTGDSLFYLGSSSEQDVDVVYWAIDVSGENSLFTEFDSEQVCFIELRTLMVATDWADKQAMVDLAVAGHAKALLEWHNISRFCGYCGEKTVPMESGRRKQCSNELCRRKVYPRVDPVVIMLVIDRENDRALLGRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETAIEVGEVMYHSSQPWPVGPSSMPCQLMVGFFAYAKSLEIKVDKAELEDAQWHSREDVRKALMFAEYEKAQRTAAAKVDQMCRGVEKGQSLSSDFNVESGELAPMFFPGPFAIAHRLITSWVNQGSTFDALQLKQQPNSYQSNL >Potri.005G177700.1.v4.1 pep chromosome:Pop_tri_v4:5:18357779:18360812:-1 gene:Potri.005G177700.v4.1 transcript:Potri.005G177700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177700.v4.1 MTNISSFCLVFFTLLTILNPICALKSCEFPAIFNFGDSNSDTGGFVASFPPLNSPYGETYFQMPAGRFSDGRLIIDFVAKSLNLSFLSAYLDSLGTNFTVGANFATASSTITLPARIIPANNGFSPFFFLVQYNQFVQLKARSQLIRKQGGVFARLMPKEEYFQKALYTFDIGQNDLGAGFFGNMSVEEVNASVPNIVNTFLTNVKSIYNLGARSFWIHNTGPIGCLGYVLTNFPSAEKDTVGCAKSYNEVAQYFNYELKETVLQLRKVFPSAAFTYVDVYSVKYSLFSEPKKHGFELPLVACCGYGGLYNYGSAGCGATITVNGTQITVGSCDNPSVRVVWDGIHYTEAANKFVFEQISTGAFSDPPIPLKMACHRTVGQYIGINL >Potri.010G072000.1.v4.1 pep chromosome:Pop_tri_v4:10:10022072:10026071:-1 gene:Potri.010G072000.v4.1 transcript:Potri.010G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072000.v4.1 MAREQLVVLNALDVAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTKPGAPKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKMGRKKVYGITLVLMVVCSLASGLSFGSSANGVMTTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALIVSAAFDHAFKTPTYHENPAASLAPQADYIWRIILMFGAIPAGLTFYWRMKMPETARYTALVAKNAKQAASDMSKVLNVEIEVEEEKVQRMAQEPANSFGLFSKEFAKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAINWIPPAATMNAIHEVFQIAKAQTLIALCSTVPGYWFTVALIDHLGRFFIQMMGFFFMTVFMFAIAIPYHHWTLKPNRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQNTDPKKTDAGYPPGIGVKWSLIVLGVINFIGMLFTLLVPESRGKSLEELSGENADENDGEKQAASPRTAPV >Potri.001G036400.1.v4.1 pep chromosome:Pop_tri_v4:1:2656356:2660450:-1 gene:Potri.001G036400.v4.1 transcript:Potri.001G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036400.v4.1 MTTVCPLVKASRPDDGSSRKPGECPEKHAAEHEGGGKAKKESVGASATVSPKCPFGYDSQTFKLGPHSCMICQALLFDCSKCVPCSHVYCKVCISRFKDCPLCGADIERIEADTDLQSVVDRFVDGHARIKRSHVDMDKEGKVGENKKVIYEDVSLERGAFLVQQAMRAFRAQNVESARSRLSLCAEDIRGQIEIAGSTSELCSQLGAVLGMLGDCCRSMGDAGSAVSYFEESVEFLSKLPAADLEIMHTLSVSLNKIGDLKYYDRDLEAARSYYIRSLNVRRDAIKHHPSVSSQTLDVAVSLAKVADVDRTIGNEDAALDRFRDAIKLLESLTLKPEEAGLEQRRLSVLEFLNTQLAEKQSG >Potri.002G083800.1.v4.1 pep chromosome:Pop_tri_v4:2:5958924:5961661:1 gene:Potri.002G083800.v4.1 transcript:Potri.002G083800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083800.v4.1 MGFTSIKVSFITLGYFLMLFVTLTSILNPIFASRICEFPAIFNLGDSNSDTGTLSAAFTALNSPYGDTYFHMPAGRFSDGRLIIDFIAKSFNLPYLSAYLNSLGASYTNGANFASARATIRFPSPIIPASGGYSPFYLDVQYQQFMQFKDRSQIIRKQGGKFAKLMPKEDYFRKALYTFDIGHNDLGAGIFSNMSIEEVKATVPDIVNRFSIYVKNIYEVGGRSFWIHSTGPIGCLAYILTGFPSAEKDSAGCSKQHNEVARYFNYKLKEAVFKLRKDFPSAAITYVDVYSVKYSLFSDPKKYGFELPLITCCGYGGKYNYSDAAGCGETITVNNTKMVVGSCDNPSVRVNWDGAHYTEAANKFVFDRISTGAFSDPPIPLNMACHRNV >Potri.003G174200.2.v4.1 pep chromosome:Pop_tri_v4:3:18185391:18192486:1 gene:Potri.003G174200.v4.1 transcript:Potri.003G174200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174200.v4.1 MDQDARPAEAGAVDIRPSNSGVPQGEVNSRLEQKRIDYSREKKKTLQARYIYGIIFLIINLKAWFFRDYGQRVLSHFYNIKACGIDGQDCCHTLGVLRVSLGCFIFFSVMFFTTIKTRKLYEARSSWHSGWWAVKLVLLIVSMAVPFFLHSKYIQIYGEFARVGAGIFLVLQLVSVIEFITWWNNYWMPDEQKKQSCSLGLFMSTIFYLASVCGIVVMYAFYGRKVECSLNIFFITWTAILLIVMMAMSLHSKVNRGLLSSGIMASYLVFLCWSAIRSEPASDYCNKQKANGNSDWTTILSFLFAIGAIVMATFSTGIDSQSFQFRNDNVQEDDGIPYDYGFFHLVFAFGAMYFGMLFISWNLNNSARKWSIDVGWASTWVKIVNEWFAATIYLWKLISPTVRQTKVMDHEDSVRQTVVNVALP >Potri.001G106200.1.v4.1 pep chromosome:Pop_tri_v4:1:8526499:8528921:1 gene:Potri.001G106200.v4.1 transcript:Potri.001G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106200.v4.1 MANSASGMAVDDECKLRFMELKAKRSHRFIVFKIEEKIQQVVVETLGEPQQSYDDFTASLPANECRYAVYDFDFTTDENVQKSKIFFVAWSPDTSKIRSKMLYASSRDRFRRELDGVQVELQATDPSEMSLDIVKERAF >Potri.001G112700.1.v4.1 pep chromosome:Pop_tri_v4:1:9126118:9135789:-1 gene:Potri.001G112700.v4.1 transcript:Potri.001G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112700.v4.1 MADPMISQSTQSKLNIAASTTPRHHHHLHLENPTPSPSSSKHVNRLINSNHYISPSRPIYSDRFIPCRSSSNFALFNISFPQPSATAGISPGCGGKEDNPSAYAALLRNALFGPQTPDKKDWGAGAAGQNIFRYKMETRQSLHSLSPFGFDDMSDLGVSNVAIKTPRKVSRSPYKVLDAPALQDDFYLNLVDWSSHNVLAVGLGNCVYLWNACSSKVTKLCDLGNDDGVCSVGWAHRGTHLAIGTSNGKVQIWDASRCKRIRTMEGHRLRVGALAWSSSMLSSGSRDKSILQRDIRAREDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSSQPVLKYCDHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCIDTGSQVCNLVWSKNVNELVSTHGYSQNQIILWRYPTMSKLATLTGHTFRVLYLAISPDGQTIVTGAGDETLRFWSVFPSPKSQNTDSEIGASSLGRTTIR >Potri.017G071300.3.v4.1 pep chromosome:Pop_tri_v4:17:7747335:7749659:1 gene:Potri.017G071300.v4.1 transcript:Potri.017G071300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071300.v4.1 MTMASWNVGAYQKTPALDVTCRKKERDRDRSYPYKVIEVTPPPKNLGIRCFPPNLQCGESVTIEGQAYTISSVTHRYQLRRGKYEPSEKRLDVLSTGRYILNLYLENLLEQS >Potri.010G190900.4.v4.1 pep chromosome:Pop_tri_v4:10:18650183:18651591:1 gene:Potri.010G190900.v4.1 transcript:Potri.010G190900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190900.v4.1 MARLAKARKAARPSSSALNSVDPITFKSTSESPFAFGQVSSFSAANPFTFIRHEVDKVSDHDAEKPIEAASKLQHEKTEPGIGEKENNSTKEMGVLAPSDIKQDKVARQANQDSMSNRTNTALVVISRILKGIPQSPHFYQLINLSGHTRKVLISSWDRIFEETVEQMHSLQVNDFWVRARELWKTMGELQSMGYNVIPLRRRLVELTDVMIELKLTKVGMKGLMIKAENHRMEKSRLEFVILRLQEMIMQEHYGMLGALAQMVEMEKEVPKFDGVFAKLAMEPL >Potri.010G190900.3.v4.1 pep chromosome:Pop_tri_v4:10:18649741:18651591:1 gene:Potri.010G190900.v4.1 transcript:Potri.010G190900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190900.v4.1 MASSFQFGHVSESETQFNSVQPLSFTFSSINNGNTMARLAKARKAARPSSSALNSVDPITFKSTSESPFAFGQVSSFSAANPFTFIRHEVDKVSDHEKPIEAASKLQHEKTEPGIGEKENNSTKEMGVLAPSDIKQDKVARQANQDSMSNRTNTALVVISRILKGIPQSPHFYQLINLSGHTRKVLISSWDRIFEETVEQMHSLQVNDFWVRARELWKTMGELQSMGYNVIPLRRRLVELTDVMIELKLTKVGMKGLMIKAENHRMEKSRLEFVILRLQEMIMQEHYGMLGALAQMVEMEKEVPKFDGVFAKLAMEPL >Potri.010G190900.2.v4.1 pep chromosome:Pop_tri_v4:10:18649710:18651697:1 gene:Potri.010G190900.v4.1 transcript:Potri.010G190900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190900.v4.1 MASSFQFGHVSESETQFNSVQPLSFTFSSINNGNTMARLAKARKAARPSSSALNSVDPITFKSTSESPFAFGQVSSFSAANPFTFIRHEVDKVSDHDAEKPIEAASKLQHEKTEPGIGEKENNSTKEMGVLAPSDIKQDKVARQANQDSMSNRTNTALVVISRILKGIPQSPHFYQLINLSGHTRKVLISSWDRIFEETVEQMHSLQVNDFWVRARELWKTMGELQSMGYNVIPLRRRLVELTDVMIELKLTKVGMKGLMIKAENHRMEKSRLEFVILRLQEMIMQEHYGMLGALAQMVEMEKEVPKFDGVFAKLAMEPL >Potri.010G220500.1.v4.1 pep chromosome:Pop_tri_v4:10:20590565:20594814:1 gene:Potri.010G220500.v4.1 transcript:Potri.010G220500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220500.v4.1 MASKGKAQSLEQTPTWAVAVVCFVLVAISIVIEHLIHVVEKWLKKKHKPALVEALEKVKAELMLMGFISLLLTILQGPISDICIPGNIAATWHPCSKNQEKKKVSEYDDSDNTRRRLLQFLGSGESHRRFLASKYDKCAEKGKVALVSSYGIHQLHIFIFVLAVVHVLYCIITYFIGRTKMRKWKAWEDETKTLEYQYHNDPERFRFARETSFGRRHLQLWSKSSILLWIVCFFRQFFGSVTKVDYMTLRHGFIMAHLAPGSETRFNFQKYISRSLDEDFKVVVGISPIIWFTAVLFLLTNTHGWNSQFWLPFIPLIIILLIGAKLQVIITQMGLRIQERGDVVKGALVVIPGDDLFWFGRPRFLLFLIHLVLFQNAFQIAFFIWSVYEFTIKSCYHAHTEGIVIRITLGVVIQIVCSYVTLPLYALVTQMGSSMRPTVFNDRVAAALKNWHHTAKKQSKHGKNSESQTPMSSRPQTPAYGMSPVHLLHNFRGSTAPDSFQNSPRHSYYDNNDQWDPEANSVHDHELNEPVHHGSPDVRDQVQVIETQDPNPVQLPPGPGPIHSEQHEINVGSARDFTFSK >Potri.003G168300.2.v4.1 pep chromosome:Pop_tri_v4:3:17716303:17720246:-1 gene:Potri.003G168300.v4.1 transcript:Potri.003G168300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168300.v4.1 MGKLLCDSTAAVAETTFQTPTSPAVHWRDDPKATVDLSEQTLTIAAQPTWEDVIGLEDQQRRHLQRLQTKGVLWKHPKNDDSYPAVVFRLSHGGDVSADGNCLFTASQRAMAVREMDARKLRSRTVRRFVEDFGSVSGEEREVINGAIKHMYSPDLKNGWGIHVVQEVKLLANKEDRGSLDSAIEELVLLGMQREMAAESIYKERCIAVNDGPSWAKYMLISGSPDDEDDIITLQYTEEGLLSVDENREGHAAAFGDDIAIECLATEFKREIYVVRTRFWKFNLLFSLCFSFLNQHIHLIVYILVYIIEQCICKYARNEL >Potri.003G168300.1.v4.1 pep chromosome:Pop_tri_v4:3:17716460:17720426:-1 gene:Potri.003G168300.v4.1 transcript:Potri.003G168300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168300.v4.1 MGKLLCDSTAAVAETTFQTPTSPAVHWRDDPKATVDLSEQTLTIAAQPTWEDVIGLEDQQRRHLQRLQTKGVLWKHPKNDDSYPAVVFRLSHGGDVSADGNCLFTASQRAMAVREMDARKLRSRTVRRFVEDFGSVSGEEREVINGAIKHMYSPDLKNGWGIHVVQEVKLLANKEDRGSLDSAIEELVLLGMQREMAAESIYKERCIAVNDGPSWAKYMLISGSPDDEDDIITLQYTEEGLLSVDENREGHAAAFGDDIAIECLATEFKREIYVVQAHGSDAMVDEENCVFFLPHRPRTEICEHPFFLFMKGTGWCGAGADHYEPLIAQPSSLVSQEKVAFVL >Potri.010G238900.1.v4.1 pep chromosome:Pop_tri_v4:10:21810162:21811053:1 gene:Potri.010G238900.v4.1 transcript:Potri.010G238900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238900.v4.1 MTTLRENKAPPIKNKSYEERKQNLKKKASELATLCDVPVCLVCVNPDGSTETWPEEEERVVDVLMAYKAQTTLKNESKEGEKSTEKVVDKAPHVFETWDTRFEYLPEESLVDVLKILDRQLQVVNRVVEKEQIGKKRKILQDGRSSKIVHDVNDDGSQKAGLITKNFLDSVGLNLTNSYTGNYSDINSFSGRNSEGNSDFEKPVDLELRL >Potri.001G035000.3.v4.1 pep chromosome:Pop_tri_v4:1:2578806:2580209:-1 gene:Potri.001G035000.v4.1 transcript:Potri.001G035000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035000.v4.1 MAANKPSRSLLSLLQKRFLLTFSSALSPPTAQLPTCSSSSSSSSFTVHFLVNSCGLTSKSALSVSKKFQIRENNLQNPQSVLQFLKAHDFSETHISKLIEKRPKILLRRIEDNLKAKFDFFIENGFAGQLLPQLILSNPVILERALDSHIKPSLLYFKSILGTSEKVIAASKRSVFLLTCDWNSIVLPNVDFLIKEGVPVDRVAKLFLFHPQVVQRKHDRMVYAVNTVKDLGLEPEVSIFIYALTTMMQSSESTLKKKVEVLKSLGWTEEEIFRAFKQDPAILRFSEEKIRGVMDFLVNTVGLRPQTIIANPLFLHYSINKRLRPRYNVLKALESKKLFDEGMSIGSALKMSEKKFMKNYVSKYVHSVPGILDTYKGIIKPIKMDT >Potri.018G088100.1.v4.1 pep chromosome:Pop_tri_v4:18:10657842:10661270:1 gene:Potri.018G088100.v4.1 transcript:Potri.018G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088100.v4.1 MSEITPIVLLIHFLTLIHFLHANSQFHDQEQAVLLRLKQHWQNPLSLEQWTPSNSSHCTWPGVVCTDNYITQLILDNKNISGTIPPFLSDLKNLTFLNFSNNNIIGKFPVAVPNLSKLEILDLSQNYIVGTIPDDIDCLARLSYLNLYANNFSGNIPAAIGLLPELRTLRLYDNQFNGTFPPEIGNLSKLEELSMAHNGFSPSRLHSSFTQLKKLKMLWISGANLIGEIPQMIGEMVALEHLDLSSNKLTGNIPGSLFMLLNLRVLYLHKNKLSEEIPRVVEALNLTSVDLSVNNLTGTIPFDFGKLDKLSGLSLFSNQLSGEIPEGIGRLPALKDFKLFSNNLSGSIPPDLGRYSALERFEVCSNRLTGNLPEYLCHGGSLRGVVAFDNKLGGELPKSLENCSSLLVVRMSNNAFFGNIPVGLWTALNLQQLMISDNLFTGELPNEVSTSLSRLEISNNKFSGSVSIEGSSWRNLVVFNASNNQFTGTIPLELTALPNLTVLLLDKNQLTGALPPNIISWKSLNILNLSQNHLSGQIPEKFGFLTDLVKLDLSDNQFSGKIPPQLGSLRLVFLNLSSNNLMGKIPTEYEDVAYATSFLNNPGLCTRRSSLYLKVCNSRPQKSSKTSTQFLALILSTLFAAFLLAMLFAFIMIRVHRKRNHRLDSEWKFINFHKLNFTESNIVSGLKESNLIGSGGSGKVYRVAANGFGDVAVKRISNNRNSDQKLEKEFLAEIEILGTIRHLNIVKLLCCISNDNSKLLVYEYMEKRSLDQWLHSERKAKSASASVNHVALDWSKRLQIAVGAAQGLCYMHHDCSPPIVHRDVKSSNILLDSEFNAKIADFGLARMLVKQGELATVSAVAGSLGYIAPEYAQTVRVNEKIDVYSFGVVLLELTTGKAANYGDEDTCLAKWAWRHMQEGKPIVDVLDEEVKEPCYVDEMRDVFKLGVFCTSMLPSERPNMKEVVQILLGRNRRWVCGRKNMRHA >Potri.005G005800.5.v4.1 pep chromosome:Pop_tri_v4:5:427103:429812:-1 gene:Potri.005G005800.v4.1 transcript:Potri.005G005800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005800.v4.1 MGSEGPPAVTIHVTGFKKFHGVAENPTETIVGNLKEYMKKKGMPKGVILGSCSVLESAGQGAVAPLYQIFQSSINSKDSESSSPGRIIWLHFGVNSGATRFAIEHQAVNEATFRCPDELGWKPQKVPIIPSDGGISRVRETTLPVQELTKILTKKGYEVMTSDDAGRFVCNYVYYHSLRFAEQNGTKSLFVHVPLFLTIDEETQMQFAASLLEVLASLY >Potri.005G005800.1.v4.1 pep chromosome:Pop_tri_v4:5:426992:429803:-1 gene:Potri.005G005800.v4.1 transcript:Potri.005G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005800.v4.1 MGSEGPPAVTIHVTGFKKFHGVAENPTETIVGNLKEYMKKKGMPKGVILGSCSVLESAGQGAVAPLYQIFQSSINSKDSESSSPGRIIWLHFGVNSGATRFAIEHQAVNEATFRCPDELGWKPQKVPIIPSDGGISRVRETTLPVQELTKILTKKGYEVMTSDDAGRFVCNYVYYHSLRFAEQNGTKSLFVHVPLFLTIDEETQMQFAASLLEVLASLY >Potri.005G005800.2.v4.1 pep chromosome:Pop_tri_v4:5:426996:430053:-1 gene:Potri.005G005800.v4.1 transcript:Potri.005G005800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005800.v4.1 MGSEGPPAVTIHVTGFKKFHGVAENPTETIVGNLKEYMKKKGMPKGVILGSCSVLESAGQGAVAPLYQIFQSSINSKDSESSSPGRIIWLHFGVNSGATRFAIEHQAVNEATFRCPDELGWKPQKVPIIPSDGGISRVRETTLPVQELTKILTKKGYEVMTSDDAGRFVCNYVYYHSLRFAEQNGTKSLFVHVPLFLTIDEETQMQFAASLLEVLASLY >Potri.015G103800.1.v4.1 pep chromosome:Pop_tri_v4:15:12273343:12277869:1 gene:Potri.015G103800.v4.1 transcript:Potri.015G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103800.v4.1 MIKIPYLTALSTYFSYGLLFVFGHFRDFFRKILDCFHPSNLQGYAPICLGPEDFYIRRLFLRIQDCFGRPISSAPDVWFDVVQRFSNDNNKTLRRTSKVTRCLNLGSYNYLGFAAADEYCTPRVIETLKRFSPSTCSPRVDGGTTTLHNELEEIAANFVRKPAAIVFGMGHATNCTTLPVLIGKGGLIVSDSLNHNSIINGARGSGATIRVFQHNTPSHLEEVLRQHIAEGQPRTHRPWKKIFVLVEGIYSMEGELCKLPEIVSVCKKYKAYIYLDEAHSIGAVGKTGRGVCELLGVDTADVDVMMGTFTKSFGSCGGYIAGSKELIQYLKYTCPAHLYATSISPPAAQQIISSIKVILGEDGSSRGAQKLERIRENSNFFRSELQKMGFDVIGDNDSPVIAIMLYNPGKIPAFSRECLKQNVAVVMSAFPATPLLLARARICISACHAMEDLLKALEVINQVGDLIGIKYSPAGSDKQHQEQGAMKLE >Potri.018G145550.1.v4.1 pep chromosome:Pop_tri_v4:18:15350962:15364911:1 gene:Potri.018G145550.v4.1 transcript:Potri.018G145550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145550.v4.1 MALMETLYLSHGAPTLAIDETAPTRQFFKSWQQSVYKEKPSSILVISAHWETEPTVNVVDRNDTRLLWLPQALVPGYTSMLRNNVRSRALGSELVVVTVLSVAAS >Potri.013G154800.2.v4.1 pep chromosome:Pop_tri_v4:13:15012442:15016457:1 gene:Potri.013G154800.v4.1 transcript:Potri.013G154800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154800.v4.1 MSEGQKFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKQFSRNIQLSLSILLMGVGIATVTDLQLNVLGSVLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGLLTNKNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVVGMVLYSYCCTIESQQKQNEVPVKLPEVKESETDPLISVENGTGILADVAVPNVPVWTSNKDLDA >Potri.013G154800.1.v4.1 pep chromosome:Pop_tri_v4:13:15012448:15016063:1 gene:Potri.013G154800.v4.1 transcript:Potri.013G154800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154800.v4.1 MSEGQKFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHVALCMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKQFSRNIQLSLSILLMGVGIATVTDLQLNVLGSVLSLLAVLTTCVAQIMTNTIQKKFKVSSTQLLYQSCPYQALTLFIVGPFLDGLLTNKNVFAFKYTPQVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVVGMVLYSYCCTIESQQKQNEVPVKLPEVKESETDPLISVENGTGILADVAVPNVPVWTSNKDLDA >Potri.001G222900.1.v4.1 pep chromosome:Pop_tri_v4:1:23791320:23794547:-1 gene:Potri.001G222900.v4.1 transcript:Potri.001G222900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222900.v4.1 MGSIDDFSRYSFPDDFVFGTSSSAYQYEGETNKHGRGPAIWDTFTEEHTERINDHSNGNVAVDFYHRYKEDVQRMKEMGMDAFRFSISWSRVFPHGRLSAGVNEEGIKFYNDLIDDLLKNGLHPYVTLFHWDTPQALEDKYGGFLSPNIVNDFRDFVDLCFQKFGDRVKKWITLNEPWMFSVQGYDMGTMAPGRISVVVNDPHRSLNTGATEVYTVSHHLLLAHAAAVKLYKEKYQSCQGGQIGITLVSHWFEPYSNSEDDQNATKRSLDFMLGWFMDPLTNGDYPRNMHDFVGGRLPKFTAEESKMLKGSYDFIGINYYTTYYAQNIDANYQSVGFMSDARANWTGERNGIPIGPQAGVKWLYIYPEGISRLLNYTKDLYGNPTIYITENGVDDENNNASSLKEALNDPIREKSYKDHLKNVLRSINEHGVDVKGFFAWSLMDNFEWGSGYAVRFGLYYVDYKNDLKRYPKKSVKWFKQFLRRDSHSPIPHTYPLITSNETSKIEDSLVRDAKRPRNA >Potri.001G192500.1.v4.1 pep chromosome:Pop_tri_v4:1:17747143:17752455:-1 gene:Potri.001G192500.v4.1 transcript:Potri.001G192500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G192500.v4.1 MAIFSPSSAYPKPLPFPTQNPSPLPFSIHPLRLSLPPSPPCTSPTTRLRRCNAALSPLPPPQSDPPPGKDPQGIAATLSRLQDRVQIFLAVLFWMSLFFWASVWDGKNNGRGRLNKGSRFKR >Potri.014G067900.1.v4.1 pep chromosome:Pop_tri_v4:14:4281039:4281699:1 gene:Potri.014G067900.v4.1 transcript:Potri.014G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067900.v4.1 MAIFSKLLTKTDIGTRLSVPTKSLKSLPCFGRGHAIDFHVQDAQSGEVWIFRCVIRKKSHPKPALCKNWRKFVSSKRLSVGDKIIFSTLAEDQASTGGAQYKIEVKRQTKIFGVSLGYFP >Potri.001G334000.1.v4.1 pep chromosome:Pop_tri_v4:1:34242817:34246317:1 gene:Potri.001G334000.v4.1 transcript:Potri.001G334000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334000.v4.1 MASQAAASAFNGSMKKAHAGLKRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPYRDDGDMCVVLNAKDVCVTGRKMTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFPDSEHPFGDQPVEAYVMPPRKVREMRPRAQRAMIRAQKKAEQLEQAGNDKRKGKKREVEADLTE >Potri.004G165600.1.v4.1 pep chromosome:Pop_tri_v4:4:18487001:18487279:-1 gene:Potri.004G165600.v4.1 transcript:Potri.004G165600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G165600.v4.1 MGIRLPGIVNAKQILKRILLSEDTSNVPKGHLAVYVGEAQKKRFTVPISYLKHPSFQNLLSQAEEEFGFDHSMGGLTIPCSEEVFTGLILSM >Potri.004G108800.2.v4.1 pep chromosome:Pop_tri_v4:4:9653052:9653669:1 gene:Potri.004G108800.v4.1 transcript:Potri.004G108800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108800.v4.1 MRASNDPTSTINLWRSPIPYLFGSLGILLSIIVVALITLACSYYRKHSRNSSSDEQEKPAAIPIRMPVLDAEPKIVVIMAGENKPAYLATPINSSAMNCNQLG >Potri.002G135900.2.v4.1 pep chromosome:Pop_tri_v4:2:10225105:10227191:-1 gene:Potri.002G135900.v4.1 transcript:Potri.002G135900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135900.v4.1 MMILIDIDDDDDDDENKNREHMQSMDRTSEKIAYGAGVAAGTVGGVAGVVCGSKMAFDWLIDRYDEFETRSIERIMRKIDANRRDRNTGVSVASRSILTEPKSDGM >Potri.005G165200.1.v4.1 pep chromosome:Pop_tri_v4:5:16422867:16427123:-1 gene:Potri.005G165200.v4.1 transcript:Potri.005G165200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G165200.v4.1 MPGFEIWPRNSQSQTPSSIDLTPSSSSSSTLGGIFLEPTVYSSTVNFISHKCSSITISNPISFYKHANFGGLKRGRRSRGINEVVVSGVFLSVSLRNDGLLQESKVCLVQNEDSKSLEEEDKVAEGGNIVLESKENRKVKARGGFAMNTTKHLWAGAIAAMVSRTCVAPLERLKLEYIVRGEQKNIVELIKTIATTQGLKGFWKGNLVNILRTAPFKAVNFCAYDTYRKQLLRFSGNEETTNFERFIAGAGAGITATILCLPLDTIRTKIVAPGGEALGGVIGAFRHMIQTEGFFSLYKGLVPSILSVAPSGAVFYGVYDILKSAYLHSPEGQKRLQYMSHHGQELNALDQLELGPIRTLVYGAIAGACAEFSTYPFEVVRRRLQLQVRATKMSALVTCVKIVEQGGIPALYAGLFPSLLQVLPSAAISYFVYEFMKIVLKVE >Potri.015G063200.13.v4.1 pep chromosome:Pop_tri_v4:15:8821521:8825915:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.15.v4.1 pep chromosome:Pop_tri_v4:15:8821521:8825915:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.8.v4.1 pep chromosome:Pop_tri_v4:15:8822618:8825901:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.11.v4.1 pep chromosome:Pop_tri_v4:15:8821521:8825915:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.3.v4.1 pep chromosome:Pop_tri_v4:15:8821586:8825901:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.16.v4.1 pep chromosome:Pop_tri_v4:15:8822562:8825915:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.19.v4.1 pep chromosome:Pop_tri_v4:15:8823079:8825827:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.18.v4.1 pep chromosome:Pop_tri_v4:15:8822913:8825915:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.14.v4.1 pep chromosome:Pop_tri_v4:15:8821521:8825915:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.12.v4.1 pep chromosome:Pop_tri_v4:15:8821521:8825915:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.17.v4.1 pep chromosome:Pop_tri_v4:15:8822913:8825915:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.015G063200.5.v4.1 pep chromosome:Pop_tri_v4:15:8821587:8825815:-1 gene:Potri.015G063200.v4.1 transcript:Potri.015G063200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063200.v4.1 MLEASSYPVPRELPASCEEENTWIYNACCAVELSNKRPLEDGEDVASRKAAKVLEGHERQEKMEALHVHSIVHTDQPDNQYQADCLHALSIAQTNQLENHHQVDNQSDSSSLINQLGRDLSISCLLHCSRSDYGAIALLNKSFHSLVQSGQLYKLRREAGIVERWVYFSCNLLEWEAYDPIRRRWLHLPRIKSNECFMCSDKESLAVGTDLLVFGKGIESHVIYRYSILTNTWTSGMKMNTPRCLFGSSSLGEIAILAGGCDPRGNVLNSAELYNSETGMWVAIPNMNKARKMCSGLFMDGKFYVIGGIGAGNSKMLTCGEAYDLKTRTWHEIPDMLPAQNGGAVVTETPAAAGAPPLVAVVNNELYAADYAQKEVRKYDKKNNVWITLGRLPEQAVSMNGWGLAFRACGDRLIVIGGPRALGGGMIELHSWAPGDGPPKWDLLASKPSGSFVYNCAVMGC >Potri.007G132000.1.v4.1 pep chromosome:Pop_tri_v4:7:14537087:14538755:-1 gene:Potri.007G132000.v4.1 transcript:Potri.007G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132000.v4.1 MQSNSSNNNNNQPQTSHTSTSRSSDSGEACGAGNKWASRLLSECARAISEKDSSKIHNLLWMLNELASPYGDCEQKLASHFLQALFCKATDSGQRCFKTLTTVAEKSHSFDSARKLILKFQEVSPWTTFGHVASNGAILEALDGASKLHIIDISHTLCTQWPTLLEALATRNDETPHLKLTVVVTASIVRSVMKEIGQRMEKFARLMGVPFELNVISGLNHLGELTKDRLGVQEDEAVAINCNGALRRVGVEERNSVIQMFQSLNPRVVTIVEEEADFTSSRYDFVKCFEECLRYYTLYFEMLEESFVPTSNERLMLERECSRNIVRVLACDEGNDGGECERRERGSQWFERLREAFSPVGFSDDVVDDVKALLKRYRAGWALVLPQGDHDSGIYLTWKEEPVVWASAWKP >Potri.003G050700.2.v4.1 pep chromosome:Pop_tri_v4:3:7395849:7396933:-1 gene:Potri.003G050700.v4.1 transcript:Potri.003G050700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G050700.v4.1 MNEESTSSSNSTCNSPPPSLATTITNKLNKQNPIQQSSRSKVRDCSKHPVYRGVRRRAWGKWVSEIRQPRKKSRIWLGTFPTPEMAARAHDVAALCIKGDSAILNFPELADSLPRPVSVMPRDIQSAAAKAAAMVEFNSPSPSSSLSSSSVTVSEDVAESEEEYLSEIVELPNIEGSFDSPDQSRIEFMLFDSVDGHGWVYPPLDLCGEFSDQLLGLESLISSNLAGSVLN >Potri.013G116500.2.v4.1 pep chromosome:Pop_tri_v4:13:12512404:12513035:1 gene:Potri.013G116500.v4.1 transcript:Potri.013G116500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116500.v4.1 MASASSTLVFFSLLVIPFAVVQIAMAGDPDIVSDFLIPPNVTTFDGSFFTFTGMRALVGAQPPSALKVSKVSAAEFPSLIGQSVSYAVLQFPAGTTNPPLTFTLAQLSSFSLLMTLQAGDMFIFPKGLVHFQYNADAQNTALAISAFGSASAGTVSLPTTLFATSIDDNILALAFKTDVATIQALKAGLAPKI >Potri.001G267501.1.v4.1 pep chromosome:Pop_tri_v4:1:28259882:28260088:1 gene:Potri.001G267501.v4.1 transcript:Potri.001G267501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267501.v4.1 MLQLFFTVAFSAVPLTLYIPPMRSLNLFVETMEGLLRESSVYTTRLYPRARHAWSRFLDILLCNFRLG >Potri.006G070700.2.v4.1 pep chromosome:Pop_tri_v4:6:5160204:5165155:-1 gene:Potri.006G070700.v4.1 transcript:Potri.006G070700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070700.v4.1 MPLGLITGIGRAMRRKRTSSLDILSSKRAPRTYYKGKNCKPTGFHTRKGGYVVVPEKLPNYVVPDLTDFKLKPYVSQCPTEVKTTEASELAK >Potri.001G019795.1.v4.1 pep chromosome:Pop_tri_v4:1:1473517:1475125:1 gene:Potri.001G019795.v4.1 transcript:Potri.001G019795.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019795.v4.1 MQPQKARVLKMENRGRMGHLSPMIHAIAICLVATSVVAYEPYYYKSPPPPSQSPPPPYHYSSPPPPKNLPLHHTTTHPHLHQRSLHHLHTTTHPLLHLRSLPLHHTTTHPHLLQRSLHHLHTTIHLLLHQRSLLLHLITTHPHLLQRSLHHLHTTTHPLLHQRSLPHLHTTTHHLLLQRSLPLHHTTTHPHLLQRSLHHLHTTTHPLLHQKSLPLHHTTTHPHLLQRSLQHLHTTTHPLLHLRSLPLHHTTTHPHLLQRSLHHLHTTTHPLLLQRSLPLHHTTTHPHLLLKSLHHLHTTTHPHLLQRSLHHLHTTTHPHLLQRSLHHLHTTTHPHLLQRSLPLHHTTTRPHLLLRSLPLLHTTTHLLLHQRSLPLHHTITHPHLPQRSPPLHHTIIHLLPLQKNLPLLHLHHHLHTTISHHHHHRHHLHHLTIISLHHL >Potri.001G019795.2.v4.1 pep chromosome:Pop_tri_v4:1:1473559:1475153:1 gene:Potri.001G019795.v4.1 transcript:Potri.001G019795.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019795.v4.1 MGHLSPMIHAIAICLVATSVVAYEPYYYKSPPPPSQSPPPPYHYSSPPPPKNLPLHHTTTHPHLHQRSLHHLHTTTHPLLHLRSLPLHHTTTHPHLLQRSLHHLHTTIHLLLHQRSLLLHLITTHPHLLQRSLHHLHTTTHPLLHQRSLPHLHTTTHHLLLQRSLPLHHTTTHPHLLQRSLHHLHTTTHPLLHQKSLPLHHTTTHPHLLQRSLQHLHTTTHPLLHLRSLPLHHTTTHPHLLQRSLHHLHTTTHPLLLQRSLPLHHTTTHPHLLLKSLHHLHTTTHPHLLQRSLHHLHTTTHPHLLQRSLHHLHTTTHPHLLQRSLPLHHTTTRPHLLLRSLPLLHTTTHLLLHQRSLPLHHTITHPHLPQRSPPLHHTIIHLLPLQKNLPLLHLHHHLHTTISHHHHHRHHLHHLTIISLHHL >Potri.013G093450.1.v4.1 pep chromosome:Pop_tri_v4:13:9665054:9665668:-1 gene:Potri.013G093450.v4.1 transcript:Potri.013G093450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G093450.v4.1 MSHLYYMHEGGGSSSSSEKFKDLEGNQSSKAKEKRRKKQIWVLLSKSSMVNAHIVTVTTGLEARTGTEEFGLGGWFLKQGL >Potri.003G111900.2.v4.1 pep chromosome:Pop_tri_v4:3:13431388:13434220:-1 gene:Potri.003G111900.v4.1 transcript:Potri.003G111900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111900.v4.1 MTPRWDFKIHEVAQSSFRHAHNLFSCISDKNQKRSIQEVSLIAQDAVNEFRNLVRILDDSEQSDCKRIRKGPLPHAHDINPVELMDSPNSVSKSSDHNFSQPNRQLFPLQSILSTTSLTHVNTLNLYREKQKKSKDNVDVKNNLIMGLNHSPLQTSAYFLNSDGSGRIFHHSSSEGLPSQDDSSIFSKSKSEETSAKCLASTGGCHCSKRRKSRIKKIIKVPALSTKLADIPPDDHSWRKYGQKPIKGSPYPRSYYKCSSKRGCPARKHVERSLEDPTMLVVAYEGEHNHSKIAFQSPNMMLHI >Potri.001G234300.4.v4.1 pep chromosome:Pop_tri_v4:1:25302312:25311948:-1 gene:Potri.001G234300.v4.1 transcript:Potri.001G234300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234300.v4.1 MDAELLELARQFESAQQAKSSIRLSERNVVELVQKLHELHIIDFNLLHTVSGKEYITPEQLRHEMVLEIKKLGRVSLIDLADITGVDLYHVENQAQRVVSDDPSGLMLIQGEIISQSYWDNVAEEINERLQECSQISLAEIAANLNVGSELVASMLEARLGTLVKGRLEGGQLYTPAYVTRVSAMVRGAARGVTVPTNLSFLWGTLQQLLQAMDGAGGVATESSFFQSLFNGLAKEGEILGSLRAGVHWTPTVFATAQRECVDSFFSQNSFISYDTLQNLGISQPVQFLQSRYAEGIPLVTAFAHPSMIEMLDAAVEDAIDRSSWIDSLSVLPTSFGSQDASKILSHCNSVQSALKGNKGMILGDSYVFSNGFIKDVYGRMEKELEVFRLSGSSGVILSDDFHLVMEAKIRTDSGRSGEVNEKKKKKGKSSGARTEILLDDEEIIPLKSKKNQRKGKEASLVLSDTKKGAKKDLARTQEDDLNIPSDDWIMQKILTLVPDFEEQGLEDPQTILGPLANYMRPMLISSLKEKRKTLFSENAGKMKHLLDNLQKKLDEAFLNMQLYEKALDLFEDDQSTSAVLHRHLLRTMAASIGDMLFHNLDMHNKLKNGINVEESPNSESITLGSAERTALAKSFPGSLSKKALAVVEALEGKRVEAFMTSLREVAEESGLLLKKLDKKLERTLLHSYRKDLTAQVSAETDPVLLLPKVVSLLYIQVRNKALQAPGRAISVAVSRLKDKLDDSAFKILTEYQTATVTLLSLLSASTGDEEDCTSDRILSKRELLGNLMPALKGLVLGTAQS >Potri.001G234300.6.v4.1 pep chromosome:Pop_tri_v4:1:25302536:25311940:-1 gene:Potri.001G234300.v4.1 transcript:Potri.001G234300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234300.v4.1 MDAELLELARQFESAQQAKSSIRLSERNVVELVQKLHELHIIDFNLLHTVSGKEYITPEQLRHEMVLEIKKLGRVSLIDLADITGVDLYHVENQAQRVVSDDPSGLMLIQGEIISQSYWDNVAEEINERLQECSQISLAEIAANLNVGSELVASMLEARLGTLVKGRLEGGQLYTPAYVTRVSAMVRGAARGVTVPTNLSFLWGTLQQLLQAMDGAGGVATESSFFQSLFNGLAKEGEILGSLRAGVHWTPTVFATAQRECVDSFFSQNSFISYDTLQNLGISQPVQFLQSRYAEGIPLVTAFAHPSMIEMLDAAVEDAIDRSSWIDSLSVLPTSFGSQDASKILSHCNSVQSALKGNKGMILGDSYVFSNGFIKDVYGRMEKELEVFRLSGSSGVILSDDFHLVMEAKIRTDSGRSGEVNEKKKKKGKSSGARTEILLDDEEIIPLKSKKNQRKGKEASLVLSDTKKGAKKDLARTQEDDLNIPSDDWIMQKILTLVPDFEEQADLAGLEDPQTILGPLANYMRPMLISSLKEKRKTLFSENAGKMKHLLDNLQKKLDEAFLNMQLYEKALDLFEDDQSTSAVLHRHLLRTMAASIGDMLFHNLDMHNKLKNGINVEESPNSESITLGSAERTALAKSFPGSLSKKALAVVEALEGKRVEAFMTSLREVAEESGLLLKKLDKKLERTLLHSYRKDLTAQVSAETDPVLLLPKVVSLLYIQVRNKALQAPGRAISVAVSRLKDKLDDSAFKILTEYQTATVTLLSLLSASTGDEEDCTSDRILSKRELLGNLMPALKGLVLGTAQS >Potri.003G195450.1.v4.1 pep chromosome:Pop_tri_v4:3:19777981:19778414:-1 gene:Potri.003G195450.v4.1 transcript:Potri.003G195450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195450.v4.1 MDGRRSCQATEEAEENGRKMEDLAIGGCGDITTLSNQAGFQHLSSLQKLQIYGCSVLLENLPHELHKPSSLTFLEFEGCPSLYGIANYAYTYHY >Potri.004G068200.1.v4.1 pep chromosome:Pop_tri_v4:4:5807895:5809793:-1 gene:Potri.004G068200.v4.1 transcript:Potri.004G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068200.v4.1 MHLYKFISRPCFEFSATPSSTDHHGDEVDTTGGSRTLANIIRDRVRSVGLSDSIKFEAKKIKEGGKKNIFKQKFEVRDGERLLKASHCCLSTEAGPVAGLLFISTEKVAFCSQRSVTFKSPDELLEETDRKIEIPIRNIRGFDLNESQQKKVIIITEDSSAFLFMDFLRYDKARQNLEAALIRGNDC >Potri.003G024600.3.v4.1 pep chromosome:Pop_tri_v4:3:2605653:2608131:-1 gene:Potri.003G024600.v4.1 transcript:Potri.003G024600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024600.v4.1 MSLVLNRCGSVSEEMSNSSENEVESLPQTIEEKHQELVVSHVPIVSSFNERIRPLLDAVDKLRHLQVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHTAPEPEFSLEFNGKTVPTSEAKIANAISLATDEIAGNAKGISNTPLTLVVKKNGVPDLTMVDLPGITRVPVHGQPENIYEQIADIIMEYIRPDESIILNVLSATVDFTTCESIRMSQKVDKNGERTLAVVTKADRAPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEEARKEEADLFENHPLLSKIDKSMVGIPALAQKLVHIQATIIARCLPEIVRKINEKLNASVSELNRMPKTLSSVGEALTTFMGIVGSAKESLNKIIVRGEYDEYLEDKNMHCTARLVEMLNQYSGELHKCSENDLTGNFLMDEIQVLEEAKGIELPNFLPRTAFLAILQKKVEKVSHIPVAFVEKVWTYIEGVVISVLMHHSENYHQLQLSTRRAGHNLIARMKEHSRNWVTEIVQMEKLTDYTSNPEYMNDWNKLMAQQHDFTSDVVENGYTSTFKIEGLGEVPIAGLRGYEQHVLLQAFDLKMRMTAYWKIVLRRLVDFMALHLQFCVRNLVNKEMEEEIVQELVGRHDGAIERMLEESPAVAAKRDKLNASIKLLRESNNVLGNIMDKIASNV >Potri.003G024600.2.v4.1 pep chromosome:Pop_tri_v4:3:2605653:2608131:-1 gene:Potri.003G024600.v4.1 transcript:Potri.003G024600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024600.v4.1 MSLVLNRCGSVSEEMSNSSENEVESLPQTIEEKHQELVVSHVPIVSSFNERIRPLLDAVDKLRHLQVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHTAPEPEFSLEFNGKTVPTSEAKIANAISLATDEIAGNAKGISNTPLTLVVKKNGVPDLTMVDLPGITRVPVHGQPENIYEQIADIIMEYIRPDESIILNVLSATVDFTTCESIRMSQKVDKNGERTLAVVTKADRAPEGLLEKVTADDVNIGLGYVCVRNRIGDESYEEARKEEADLFENHPLLSKIDKSMVGIPALAQKLVHIQATIIARCLPEIVRKINEKLNASVSELNRMPKTLSSVGEALTTFMGIVGSAKESLNKIIVRGEYDEYLEDKNMHCTARLVEMLNQYSGELHKCSENDLTGNFLMDEIQVLEEAKGIELPNFLPRTAFLAILQKKVEKVSHIPVAFVEKVWTYIEGVVISVLMHHSENYHQLQLSTRRAGHNLIARMKEHSRNWVTEIVQMEKLTDYTSNPEYMNDWNKLMAQQHDFTSDVVENGYTSTFKIEGLGEVPIAGLRGSVFEIL >Potri.018G056200.2.v4.1 pep chromosome:Pop_tri_v4:18:5548544:5553684:1 gene:Potri.018G056200.v4.1 transcript:Potri.018G056200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056200.v4.1 MASLPSPSATAALQHKVNCATAKPISVNSLCHFYQTNVGSGRSPRFCFKTKAKLSAETNIVEKESTCVNEKIDYGVVSVHHVGVLCDNLERSLEFYQGILGLEINEERPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIQDVSKLKVILDKAGIPYTLSRSGRPAIFTRDPDTNALEFSQVDG >Potri.018G056200.3.v4.1 pep chromosome:Pop_tri_v4:18:5548645:5553660:1 gene:Potri.018G056200.v4.1 transcript:Potri.018G056200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056200.v4.1 MASLPSPSATAALQHKVNCATAKPISVNSLCHFYQTNVGSGRSPRFCFKTKAKLSAETNIVEKESTCVNEKIDYGVVSVHHVGVLCDNLERSLEFYQGILGLEINEERPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIQDVSKLKVILDKAGIPYTLSRSGRPAIFTRDPDTNALEFSQVDG >Potri.018G056200.4.v4.1 pep chromosome:Pop_tri_v4:18:5548544:5553617:1 gene:Potri.018G056200.v4.1 transcript:Potri.018G056200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056200.v4.1 MASLPSPSATAALQHKVNCATAKPISVNSLCHFYQTNVGSGRSPRFCFKTKAKLSAETNIVEKESTCVNEKIDYGVVSVHHVGVLCDNLERSLEFYQGILGLEINEERPHDKLPYRGAWLWVGSEMIHLMELPNPDPLTGRPEHGGRDRHTCIAIQDVSKLKVILDKAGIPYTLSRSGRPAIFTRDPDTNALEFSQVDG >Potri.002G039300.2.v4.1 pep chromosome:Pop_tri_v4:2:2595489:2596430:-1 gene:Potri.002G039300.v4.1 transcript:Potri.002G039300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039300.v4.1 MDHPNIGKVNQKGEEIRYRGVRRRPWGKFAAEIRDSTRHGARLWLGTFNTAEEAARAYDGAAYAMRGPLAILNFPGEYPKTKNAERSGIGRGKEVFEIEYLDDKLLEDLLDFEEENSKISE >Potri.002G039300.1.v4.1 pep chromosome:Pop_tri_v4:2:2595531:2596422:-1 gene:Potri.002G039300.v4.1 transcript:Potri.002G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039300.v4.1 MDHPNIGKVNQKGEEIRYRGVRRRPWGKFAAEIRDSTRHGARLWLGTFNTAEEAARAYDGAAYAMRGPLAILNFPGEYPKTKVDSDITSSSISSSPLSSSSSSSASSSSMSQNAERSGIGRGKEVFEIEYLDDKLLEDLLDFEEENSKISE >Potri.005G124300.7.v4.1 pep chromosome:Pop_tri_v4:5:9253348:9258358:-1 gene:Potri.005G124300.v4.1 transcript:Potri.005G124300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124300.v4.1 MVHARSIIVSYVLCLVSFLFLSSFVLYFITLRVHFHGLQSQRKARIHMARLEKGAEKAAEELQKYDPNNDPKVSGDPYKTLFVARLNYETTESKIKRELESYGPIKQVCMVADKVSNKPRGYAFIEYMHTRDMKAAYKQADGRKLDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHPGREVQQSGGLSRSEEPRTREDRHTEREREVSRDRGRDRDKEREREKSHERSHDRPRDRDHREDRHNRDRERERDRGRDRDRTRDRGRDRGRDYERDRERDHGRDRARDRERDYEVGDHYHGRSHDRDESKHERDRHGERDNDHAEPEDDMGWYEQPEQGQKLPDAEDDQHYDHYEHRRSRGRYDHMDVQGDHGRSDLYHGTDRDGDHMEEDDYHYDRGTSESRENRRLERSPSQD >Potri.005G124300.5.v4.1 pep chromosome:Pop_tri_v4:5:9253215:9258358:-1 gene:Potri.005G124300.v4.1 transcript:Potri.005G124300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124300.v4.1 MGDDNDAFMRNQNAAVRGTTKGQNRANVLQLKLIGQSHPTGLTTNLLKLFEPRLPLEFKPPPEKRKCPPYSGMAQFVSNFAEPGDLEYAPPIKEAETRSQRKARIHMARLEKGAEKAAEELQKYDPNNDPKVSGDPYKTLFVARLNYETTESKIKRELESYGPIKQVCMVADKVSNKPRGYAFIEYMHTRDMKAAYKQADGRKLDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHPGREVQQSGGLSRSEEPRTREDRHTEREREVSRDRGRDRDKEREREKSHERSHDRPRDRDHREDRHNRDRERERDRGRDRDRTRDRGRDRGRDYERDRERDHGRDRARDRERDYEVGDHYHGRSHDRDESKHERDRHGERDNDHAEPEDDMGWYEQPEQGQKLPDAEDDQHYDHYEHRRSRGRYDHMDVQGDHGRSDLYHGTDRDGDHMEEDDYHYDRGTSESRENRRLERSPSQD >Potri.005G124300.6.v4.1 pep chromosome:Pop_tri_v4:5:9253297:9258358:-1 gene:Potri.005G124300.v4.1 transcript:Potri.005G124300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124300.v4.1 MVHARSIIVSYVLCLVSFLFLSSFVLYFITLRVHFHGLQSQRKARIHMARLEKGAEKAAEELQKYDPNNDPKVSGDPYKTLFVARLNYETTESKIKRELESYGPIKQVCMVADKVSNKPRGYAFIEYMHTRDMKAAYKQADGRKLDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQRHPGREVQQSGGLSRSEEPRTREDRHTEREREVSRDRGRDRDKEREREKSHERSHDRPRDRDHREDRHNRDRERERDRGRDRDRTRDRGRDRGRDYERDRERDHGRDRARDRERDYEVGDHYHGRSHDRDESKHERDRHGERDNDHAEPEDDMGWYEQPEQGQKLPDAEDDQHYDHYEHRRSRGRYDHMDVQGDHGRSDLYHGTDRDGDHMEEDDYHYDRGTSESRENRRLERSPSQD >Potri.017G016450.1.v4.1 pep chromosome:Pop_tri_v4:17:1206409:1208223:1 gene:Potri.017G016450.v4.1 transcript:Potri.017G016450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016450.v4.1 MLYYFFPAFEKQAISTHKNLVLGFYIPCSQGQSRVSCFRYLFFRVFSSIALSIRLDHCFGLGSLRSWLVLGQTEMIALASLQGHLGIYSACRFGIS >Potri.007G074433.1.v4.1 pep chromosome:Pop_tri_v4:7:9770818:9771493:1 gene:Potri.007G074433.v4.1 transcript:Potri.007G074433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074433.v4.1 MVELLVIFLVVAERGRGCGQWFQVGSGGGAGRTRRTNPGFMRSGGWISNSSYLIYASSCWIWRKRNLILLL >Potri.012G144400.2.v4.1 pep chromosome:Pop_tri_v4:12:15497818:15503383:-1 gene:Potri.012G144400.v4.1 transcript:Potri.012G144400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144400.v4.1 MESPERSSRSYGRKDVEDSSDVKSDRGGDDEEWDVSDKRKHRSIKSRMSTNGEDAEGFDGGGRRRTSGGDRNDSRKRSGGGGSSKVGSDEDDYETRKEMRSKQMKKKQEESSLEKLSSWYQDGELDNKQSGGDKSVGKGHGRPDESERRKMISKILEHESSRKASKSREERSYDGEIEKALGRDSRYSERKDSSRDKGHGSAETGKNSRRRWDESDSNRKAEENHHEKSDFISGKMSDSNHESKERSARIEPSESKSRGLDSNSEKGAKTSNRDDKRADADREKNKSKSRSEAAKEDNGASPITREDRSGREKIEKHREQRTPTRKDVSESRERSSNAEEDGNTWVGDKSAREVGRSNRSRTPERSIRHHQESQHSEIEYERDVDTRRKDQEKDGYRDDRSKGRDDSWNDRNRDRESSKENWKRRQPSGNDREPKDGDIAYDRGRDWEPRHGRERNDNERPHGRSRGEAVKTSSNFGISNDNYDVIEVPLDHGRPEARSNFARRIEVSQQSDVKSAPNTEEWAYMQGERARRNDSPFLGDSKDKYMDDDAPLRDPSSWRDDVEYQGGKGRGQKGAMPSRGVGGQSSSSGSQTPYRNQDPGSFGRGSPQGVKGSRVGRGGRGRPAGRDNQQVTLPLPLMGSPFGSLGMQPPGALQPLAPSMSPAPCPPISPGVFIPPFSSPVVWAGARGVEMNMLGVPPALSAVPPGPTTPRFPPNMGTNPSNPAMFFNQAGPGRGMPPSIPGPGFNASGPVGRGTPPDQNAGGWIPPRNNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAQSASPPMYMKCDLHEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHMEYWTFEEILNLKIEAIADTPSFIFLWVGDGVGLEQGRRCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTAGKELSSSNFNAEAYIRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQQSVSISLTAANSSNRRPAGNSPQNPSTFSLNQEASSANPSTPAPWASSPMEGCRGREGGNMPSEDKVFDMYGYSGQANGDYLDFESHRPMNLL >Potri.012G144400.3.v4.1 pep chromosome:Pop_tri_v4:12:15497818:15503347:-1 gene:Potri.012G144400.v4.1 transcript:Potri.012G144400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144400.v4.1 MSTNGEDAEGFDGGGRRRTSGGDRNDSRKRSGGGGSSKVGSDEDDYETRKEMRSKQMKKKQEESSLEKLSSWYQDGELDNKQSGGDKSVGKGHGRPDESERRKMISKILEHESSRKASKSREERSYDGEIEKALGRDSRYSERKDSSRDKGHGSAETGKNSRRRWDESDSNRKAEENHHEKSDFISGKMSDSNHESKERSARIEPSESKSRGLDSNSEKGAKTSNRDDKRADADREKNKSKSRSEAAKEDNGASPITREDRSGREKIEKHREQRTPTRKDVSESRERSSNAEEDGNTWVGDKSAREVGRSNRSRTPERSIRHHQESQHSEIEYERDVDTRRKDQEKDGYRDDRSKGRDDSWNDRNRDRESSKENWKRRQPSGNDREPKDGDIAYDRGRDWEPRHGRERNDNERPHGRSRGEAVKTSSNFGISNDNYDVIEVPLDHGRPEARSNFARRIEVSQQSDVKSAPNTEEWAYMQGERARRNDSPFLGDSKDKYMDDDAPLRDPSSWRDDVEYQGGKGRGQKGAMPSRGVGGQSSSSGSQTPYRNQDPGSFGRGSPQGVKGSRVGRGGRGRPAGRDNQQVTLPLPLMGSPFGSLGMQPPGALQPLAPSMSPAPCPPISPGVFIPPFSSPVVWAGARGVEMNMLGVPPALSAVPPGPTTPRFPPNMGTNPSNPAMFFNQAGPGRGMPPSIPGPGFNASGPVGRGTPPDQNAGGWIPPRNNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAQSASPPMYMKCDLHEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHMEYWTFEEILNLKIEAIADTPSFIFLWVGDGVGLEQGRRCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTAGKELSSSNFNAEAYIRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQQSVSISLTAANSSNRRPAGNSPQNPSTFSLNQEASSANPSTPAPWASSPMEGCRGREGGNMPSEDKVFDMYGYSGQANGDYLDFESHRPMNLL >Potri.012G144400.1.v4.1 pep chromosome:Pop_tri_v4:12:15497722:15503214:-1 gene:Potri.012G144400.v4.1 transcript:Potri.012G144400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144400.v4.1 MESPERSSRSYGRKDVEDSSDVKSDRGGDDEEWDVSDKRKHRSIKSRMSTNGEDAEGFDGGGRRRTSGGDRNDSRKRSGGGGSSKVGSDEDDYETRKEMRSKQMKKKQEESSLEKLSSWYQDGELDNKQSGGDKSVGKGHGRPDESERRKMISKILEHESSRKASKSREERSYDGEIEKALGRDSRYSERKDSSRDKGHGSAETGKNSRRRWDESDSNRKAEENHHEKSDFISGKMSDSNHESKERSARIEPSESKSRGLDSNSEKGAKTSNRDDKRADADREKNKSKSRSEAAKEDNGASPITREDRSGREKIEKHREQRTPTRKDVSESRERSSNAEEDGNTWVGDKSAREVGRSNRSRTPERSIRHHQESQHSEIEYERDVDTRRKDQEKDGYRDDRSKGRDDSWNDRNRDRESSKENWKRRQPSGNDREPKDGDIAYDRGRDWEPRHGRERNDNERPHGRSRGEAVKTSSNFGISNDNYDVIEVPLDHGRPEARSNFARRIEVSQQSDVKSAPNTEEWAYMQGERARRNDSPFLGDSKDKYMDDDAPLRDPSSWRDDVEYQGGKGRGQKGAMPSRGVGGQSSSSGSQTPYRNQDPGSFGRGSPQGVKGSRVGRGGRGRPAGRDNQQVTLPLPLMGSPFGSLGMQPPGALQPLAPSMSPAPCPPISPGVFIPPFSSPVVWAGARGVEMNMLGVPPALSAVPPGPTTPRFPPNMGTNPSNPAMFFNQAGPGRGMPPSIPGPGFNASGPVGRGTPPDQNAGGWIPPRNNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTNVVEDYPKLRELIQKKDEIVAQSASPPMYMKCDLHEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHMEYWTFEEILNLKIEAIADTPSFIFLWVGDGVGLEQGRRCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIKGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTAGKELSSSNFNAEAYIRNFADKDGKVWQGGGGRNPPPEAPHLVVTTPDIEALRPKSPMKNQQQQSVSISLTAANSSNRRPAGNSPQNPSTFSLNQEASSANPSTPAPWASSPMEGCRGREGGNMPSEDKVFDMYGYSGQANGDYLDFESHRPMNLL >Potri.012G048500.1.v4.1 pep chromosome:Pop_tri_v4:12:4506834:4511762:-1 gene:Potri.012G048500.v4.1 transcript:Potri.012G048500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048500.v4.1 MDPPPPSLLIPPPVPPSIPPPPSTTETPTTTETPHLAPPPNPTPTITHPSYAEMIYSAITALKEQDGSSRIAIAKYIERAYPGLSPSHSDLLTHHLKRLKNSGALVLNKKSYLLPRSDINTDISATITTTATVSTNPPQIQPQYVAPISSAPPEQKRGRGRPPKTKANGLPPTPASVLANGQPQTGLGSHVSVTAQTQSQLVVSSVGTPIDSTSTGRKGRGRPKKMVVTEAGPLVVKKGRGRPPNSGPLGSKKSPGRPRKPKSLVGAKKGPGRPPKNQLKPVTVPYAVASPTATATDAAAVFNVASPKPRGRPRKGATPTSAGAVVMVQAKRPGRPAKVPGVMKLKPKKNSGRPVGRPRKNANAPWAINRASQLQAQAELHGDLKRKLEFFQSRIKQAAGVLKPLLTSATISAVAAIQELEGLASMDINVPWREEPQPQTQPQPQPLPLPLPLPQPQPQLLPQPQPLQQLLKS >Potri.012G012950.1.v4.1 pep chromosome:Pop_tri_v4:12:1538598:1539208:-1 gene:Potri.012G012950.v4.1 transcript:Potri.012G012950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012950.v4.1 MARFSKILSKTDIKKRLTVPIKFLKSLPSFDGGHAVKFEARDEGGEAWAFQCSVRRRGHPKPVLTRGWKEFINSKKLKTGDKVSFIKCKNRATAKTSYRVRAEKEIKIFGAIIGYAPL >Potri.002G126100.1.v4.1 pep chromosome:Pop_tri_v4:2:9589545:9592940:1 gene:Potri.002G126100.v4.1 transcript:Potri.002G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126100.v4.1 MGGILAYVLIFLISVVSYIIKIRKKKKPNKGIKLPPGSMGWPCIGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPCIILASPEAARFVLVTQAHLFKPTYPKSKEHLIGPSALFFHQGDYHIRLRKLVQSSLSLDSIRNLVADISSTAASTLDSWDGGHVLNTFQEMKKFSFEVGILAIFGNLEAQYREEMKRNYRIVDKGYNSFATSLPGTPYRKAVLARKRLSKILGDIIRERKEKRLLVKDLFGCLLNSKNEKGELLTDDQIADNIIGVFFAAQDTTASAMTWIAKYLHDNQKVLEAVKAEQDAIRKLNDESNQPLSWSQTRNMPFTHKVVLESLRMASIISFTFREAVADVEYKGYLIPKGWKVMPLFRNTHHNPEYFRDPQKFDPTRFEVAPRPNTFMPFGSGQHACPGNELAKLEMFIMIHHLLTKFRWEVVGSQSGIQYGPFPVPLHGLPARFWKEHTS >Potri.004G074300.8.v4.1 pep chromosome:Pop_tri_v4:4:6181219:6184669:-1 gene:Potri.004G074300.v4.1 transcript:Potri.004G074300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074300.v4.1 MHPYPRKLAHPLEKELLIPEKSLRSSSPNFSISEQENQSPTSVLSAVGSDALGSTDSDTPNHSLSPVSFAGGVHHADSSPEEDGSPSPATASSVPDEQFPKVEKLDSSPEENVSSDEPVVEETSTRSLKLFGRTVLVTEWHKPSSPNMGTSKLSTPDAAEEKLVRPLTLNNVAAEFPFRNGESTWSPLPHGSHGALCYKKFQKENSSPAQNDSAPLPWWTLYGAMPFPCIPFHKKEPAIENLDSKGDEVQDKEIPKEVSWTGSNSGLVSEGENVDKNMDAETESQQFSYEEKELSPIFELKLTRKSASSGSKVINEKCPKGFVPYKKRIAERDSQSSTITGEEREEQRIRLCL >Potri.004G074300.7.v4.1 pep chromosome:Pop_tri_v4:4:6180844:6184671:-1 gene:Potri.004G074300.v4.1 transcript:Potri.004G074300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074300.v4.1 MQDQCGGTRLNLVLPAGNGISLSAPLNNASGQQLKEQFSCGSDYSPKARKPYTITKQRERWTEEEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVRESGGSNTSSVEPIEIPPPRPKRKPMHPYPRKLAHPLEKELLIPEKSLRSSSPNFSISEQENQSPTSVLSAVGSDALGSTDSDTPNHSLSPVSFAGGVHHADSSPEEDGSPSPATASSVPDEQFPKVEKLDSSPEENVSSDEPVVEETSTRSLKLFGRTVLVTEWHKPSSPNMGTSKLSTPDAAEEKLVRPLTLNNVAAEFPFRNGESTWSPLPHGSHGALCYKKFQKENSSPAQNDSAPLPWWTLYGAMPFPCIPFHKKEPAIENLDSKGDEVQDKEIPKEVSWTGSNSGLVSEGENVDKNMDAETESQQFSYEEKELSPIFELKLTRKSASSGSKVINEKCPKGFVPYKKRIAERDSQSSTITGEEREEQRIRLCL >Potri.004G074300.1.v4.1 pep chromosome:Pop_tri_v4:4:6180448:6184669:-1 gene:Potri.004G074300.v4.1 transcript:Potri.004G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074300.v4.1 MAIQDQCGGTRLNLVLPAGNGISLSAPLNNASGQQLKEQFSCGSDYSPKARKPYTITKQRERWTEEEHKKFLEALKLYGRAWRRIEEHVGTKTAVQIRSHAQKFFSKVVRESGGSNTSSVEPIEIPPPRPKRKPMHPYPRKLAHPLEKELLIPEKSLRSSSPNFSISEQENQSPTSVLSAVGSDALGSTDSDTPNHSLSPVSFAGGVHHADSSPEEDGSPSPATASSVPDEQFPKVEKLDSSPEENVSSDEPVVEETSTRSLKLFGRTVLVTEWHKPSSPNMGTSKLSTPDAAEEKLVRPLTLNNVAAEFPFRNGESTWSPLPHGSHGALCYKKFQKENSSPAQNDSAPLPWWTLYGAMPFPCIPFHKKEPAIENLDSKGDEVQDKEIPKEVSWTGSNSGLVSEGENVDKNMDAETESQQFSYEEKELSPIFELKLTRKSASSGSKVINEKCPKGFVPYKKRIAERDSQSSTITGEEREEQRIRLCL >Potri.001G066800.1.v4.1 pep chromosome:Pop_tri_v4:1:4999659:5010300:-1 gene:Potri.001G066800.v4.1 transcript:Potri.001G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066800.v4.1 MHFTKLDDSPMFRKQMQSLEEDAESLRERSLKFYKGCRKYTEGLGEAYDGDIGFASALETFGGGHNDPISLAFGGPVMTKFTIALREIGTYKEVLRSQVEHMLNDRLLQYVNIDLHEVKEARKRFDKASLLYDQAREKFLSLRKGTRSDIATLLEEELHNARAAFEQARFNLVTAISNVEAKKRFEFLEAVSGTMDAHLRYFKQGYELLHQMEPYIHQVLTYAQQSRERSNYEQAALNERMQEYKRQIDRESRWSSNGSNGSPNGDGIQAIGRSSHKMIEAVMQSAAKGKVQTIRQGYLSKRSSSLRGDWKRRFFVLDNRGMLYYYRKQCSKPSGSGGQLSGQRNSSELGSGLLGRWLSSHYHGGVHDEKSVAHHTVNLLTSTIKVDADQSDLRFCFRIISPTKNYTLQAESALDQMDWIEKITGVIASLLSSQAPERCLSASPLGSGHHRSASESSSFESTDFDPSAVDEYTSERSLAALHERALRSSQQQRASAEKPIDVLQRVCGNDKCADCGAPEPDWASLNLGVLVCIECSGVHRNLGVHISKVRSLTLDVKVWEPSVISLFQSLGNAFANSVWEELLQSRSALQAELIPSGSFKSDKPQLLFISKPNPADSISIKEKFIHAKYAEKAFVRKPRDHQNTRSVAQQIWEAVRANDKKAVYWLIVNHEADVSAVYEQASCSSSLTLAKTMLLQELANPDDCCSSYSTGNSVDRSSTISLNFPGTTEGQTLEDVDGCTLLHIACETADIGMLELLLQYGANINSTDSGGQTPLHRCILRGRAGLAKMLLTRGADPRAVNGKDKTPLELAVESKFDESEVLALLSDSNG >Potri.009G095700.1.v4.1 pep chromosome:Pop_tri_v4:9:8647809:8652157:1 gene:Potri.009G095700.v4.1 transcript:Potri.009G095700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095700.v4.1 MMMVYATLLGRVLLQPSRSISSLHATKPSISTVRVLQSRYTTNTKSQNHYENDKRGKWFTLPPYTSTINGSVLGIALSARIPAKSASETTALKWVLRCCPELPRNLVQKLFRLRQVRRESPVMESCNLGDQGQEHRLKRVAAKDSMDVGDRIFLPISVKVLPAEKQDCHCNEEEINFIRGLELYKDAAIIVVNKPPGMPVQGGIGIKRSLDELSASCFSSDYSEPPRLVHRLDRDCSGILVMGRTQTSATVLHAIFREKTLAASNDDVGNKRRILQRKYWALVIGSPRRPKGLISAPLGKVVVDNGKSDRITVVENSQNLSSQHAVTQYRVIESSHGFTWLELSPLTGRKHQLRVHCAEVLGTPIVGDYKYAWQAHRNWKQLQWSNIEDNSNDKSLSEKILPFALDLDSGSISEKHPRLHLHCKQMVLPDVSKALQDVQLSSDYDFSQLASLKFDAPLPPYMKKSWDILRP >Potri.008G076800.1.v4.1 pep chromosome:Pop_tri_v4:8:4749878:4752214:1 gene:Potri.008G076800.v4.1 transcript:Potri.008G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076800.v4.1 MDLEHSPDVPNEDLNNSTDGNPQHGSDGTIGGSSIEATSQPEQSDKMTPNSTGGFELTVVEPYVGQEFESEAAALEFYCSYATRTGFVTRMRDHNCSQRDGSIISRTLVCNREGFRKSADKPRMPWSRKPRAVTRVGCRARVSFRKQSNGAWVIRSLVKEHTHPLALPENQSPKARGNPKIYQVPADDKRVHELMRELMVEKKRNASLTEFIQLLFNHIEEHTQGLSEKIQCIVEKVNKMESEGKKSGSEIIMSL >Potri.008G190200.12.v4.1 pep chromosome:Pop_tri_v4:8:13364801:13372112:1 gene:Potri.008G190200.v4.1 transcript:Potri.008G190200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190200.v4.1 MGGCSKDDVSYLDRRFSKKVRDNVYGNIYLDPLSLKFVDTEQFQRLRDLKQLGLTYMVYPGAVHSRFEHSLGVFSLAGEAVHRIKAQQGSELGIDNLDIQTVKLAGLLHDVGHGPFSHMFEREFLRQIPHGIKWCHEDMSVRMIDHIVDFHHIDIDAECLKKAKEMVIASTEHVSHKSVNEKHFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCSFQIERLMDSMRVMGDEICYRDKDYLTIYKLFSSRADLHRTVYTHAKVKAIEMMFVESLIKADDYLQISSKIQDPAEFWKIDDSILKTIEISHDQELKEARDLILRIRRRDLYQFCNEFSVPKDKLEHFKDITPQDIVCSQGNGDITLKEEDVVVCNVKIDLTRGRSNPLENINFFQDFESNEKFPIHDYRISHLLPAFCEDRIVRVYSKNPDLVKTVSEAFENFQLKTYGMKAQVHATPDRKRNRRRLEQSY >Potri.008G190200.14.v4.1 pep chromosome:Pop_tri_v4:8:13365003:13371903:1 gene:Potri.008G190200.v4.1 transcript:Potri.008G190200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190200.v4.1 MGGCSKDDVSYLDRRFSKKVRDNVYGNIYLDPLSLKFVDTEQFQRLRDLKQLGLTYMVYPGAVHSRFEHSLGVFSLAGEAVHRIKAQQGSELGIDNLDIQTVKLAGLLHDVGHGPFSHMFEREFLRQIPHGIKWCHEDMSVRMIDHIVDFHHIDIDAECLKKAKEMVIASTEHVSHKSVNEKHFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCSFQIERLMDSMRVMGDEICYRDKDYLTIYKLFSSRADLHRTVYTHAKVKAIEMMFVESLIKADDYLQISSKIQDPAEFWKIDDSILKTIEISHDQELKEARDLILRIRRRDLYQFCNEFSVPKDKLEHFKDITPQDIVCSQGNGDITLKEEDVVVCNVKIDLTRGRSNPLENFPCYQDFESNEKFPIHDYRISHLLPAFCEDRIVRVYSKNPDLVKTVSEAFENFQLKTYGMKAQVHATPDRKRNRRRLEQSY >Potri.008G190200.13.v4.1 pep chromosome:Pop_tri_v4:8:13364801:13372112:1 gene:Potri.008G190200.v4.1 transcript:Potri.008G190200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190200.v4.1 MGGCSKDDVSYLDRRFSKKVRDNVYGNIYLDPLSLKFVDTEQFQRLRDLKQLGLTYMVYPGAVHSRFEHSLGVFSLAGEAVHRIKAQQGSELGIDNLDIQTVKLAGLLHDVGHGPFSHMFEREFLRQIPHGIKWCHEDMSVRMIDHIVDFHHIDIDAECLKKAKEMVIASTEHVSHKSVNEKHFLYDIVANGRNGIDVDKFDYIVRDSRACGLGCSFQIERLMDSMRVMGDEICYRDKDYLTIYKLFSSRADLHRTVYTHAKVKAIEMMFVESLIKADDYLQISSKIQDPAEFWKIDDSILKTIEISHDQELKEARDLILRIRRRDLYQFCNEFSVPKDKLEHFKDITPQDIVCSQGNGDITLKEEDVVVCNVKIDLTRGRSNPLENINFFQDFESNEKFPIHDYRISHLLPAFCEDRIVRVYSKNPDLVKTVSEAFENFQLKTYGMKAQVHATPDRKRNRRRLEQSY >Potri.007G015700.1.v4.1 pep chromosome:Pop_tri_v4:7:1155765:1158026:1 gene:Potri.007G015700.v4.1 transcript:Potri.007G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015700.v4.1 MVLKLLLLMVLFVNANSLSMPETLNGFLVPEEDYFKWLKHMGSFKHSLFQKAKNKFKPCLTIEVSKKPRSGAFPTVQKAINSLPVINNCRVVISISAGTYREKVEIPATMAYITLRGAGADRTIIEWDDTADRMENGRPLGTFGSATFAVNSPYFIAKDITFKNKAPLPPSGALGKQAVALRISADTAAFISCKFIGAQDTLYDHIGRHYFKKCYIEGSVDFIFGNGLSLYEDCHLHAVTTSFGALTAQKRQSFLEETGFSFVSCKVTGSGALFLGRAWGNFSRVVFAYTFMDKIITPRGWYDWGDKSRQMTVFFGQYKCSGPGADFGGRVAWSRELTDQQAKPFISIGFIDGHEWLLNS >Potri.017G113700.1.v4.1 pep chromosome:Pop_tri_v4:17:12102148:12103562:1 gene:Potri.017G113700.v4.1 transcript:Potri.017G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113700.v4.1 MIRKNMAAISFDPRVNLQMSKNSHGVISEEIEGLIRVYKDGRIERPPIVPNVPCNVAPVDDVTAKDVVIDKFTNLWARIYVTKRSGILPLLVYFHGGGFCVASAAWICYHEFLANLASKAGCIIVSVNYRLAPENRLPTAYEDGIKTLMWVKQQTLNCSPEHNWWLSRCNFSSLFLAGDSAGANIAYNMATRLGSSNNPDCMTIKPLCLKGIILIQPFFGGEARTLSEKNMTQPANSALTLSASDTYWLLSLPLGSTRDHPYCNPLANGASKLRDQRFPATMVCISEMDILKDRNLEFCAALVNAGKRVEKMIYKGVGHAFQVLLNSHLSQIRVQEMVSHLKAFIHQ >Potri.001G093650.1.v4.1 pep chromosome:Pop_tri_v4:1:7409525:7410526:1 gene:Potri.001G093650.v4.1 transcript:Potri.001G093650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093650.v4.1 MSRFKLFLVLVRDHCVRFSGPTNMRNSGLFLYYGSFFSSQDEKKKVGGHKPTITGDQDKRNEFDSYPAFHNGVRTVSLRFSWWWGGVHGENSESPMNVFFLLLSSMILTTHFYS >Potri.010G150400.1.v4.1 pep chromosome:Pop_tri_v4:10:16066597:16068378:1 gene:Potri.010G150400.v4.1 transcript:Potri.010G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GolS7 MSPNAIIEPTFNSHKRAYVTFLAGNGDYVKGVVGLAKGLRKAKSSYPLMVAILPDVPEEHRKILESQGCIVREIEPVYPPDNQTQFAMAYYVINYSKLRIWEFVDYEKMIYLDGDIQVFDNIDHLFDEPNGYFYAVMDCFCEKTWSSTPQYQIGYCQQCPEKVRWPKEMGSPPPLYFNAGMFVFEPKLLTYFDLLETLKVTPPTSFAEQDFLNMFFRDVYKPIPAVYNLVSAMLWRHPENFELDKVKVVHYCAAGAKPWRYTGKEENMDREDIQVLVKKWWEIYEDESLDYKNITVPVDQEKLGPLIAALTDDGVINHRNLPSAA >Potri.006G183333.1.v4.1 pep chromosome:Pop_tri_v4:6:18951444:18952368:1 gene:Potri.006G183333.v4.1 transcript:Potri.006G183333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183333.v4.1 MSGGLGWEIRVLASGHWIVEKDCFISLGMTSTITSQRLRRRTLTKIYNLALGQCQFSIRAVVLEELFISFFFFLICVQPTLFFVHFYAEIIGLNPPRRRCVFNQNFLEYIYIYIYIIFKHFHFKNSPIDILLNLNFKLNYVKLIMM >Potri.007G004700.3.v4.1 pep chromosome:Pop_tri_v4:7:342809:349111:-1 gene:Potri.007G004700.v4.1 transcript:Potri.007G004700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004700.v4.1 MMGRMHVWMLTASVGSFIVSLIHVIHLTCAEKGFVSIACCAESSTFTDNTTISWISDEGWFPIENTGCENITRQAENDANYDRVRIFYIEPGRRICYNFSTTKNQNYLIRATFLFDDSLGASFDVSIGFTPTSNVKLSKDLEVERVFTATHHDVDFCLMNHYGYPYISKLELRPLGDLKYLQGKASGVLKLVSRVDAGNTGNSIRYPDDSFDRIWRRPDPKTVSLSEPTNSTTYIHDVKKTVPAKVLQTALTHTDRLEFLHNELDTQDSNYTVFLYFFELNQSIKTGQRVFDIYINNEIKLGKFDIWAYGSAYREAALSVTASRSLNLTLVKVENASDLGPILNAYEILQWIQGTNQQDVEVIMKVRNELMLNNKENELLQSWSGDPCFPPWKGLKCQNISGSLPVITGLNISSSQFQGPIPASITELSYLKELNLSYNGFTGKIPEFPKSSVLTSVDLSFNDLSGSVPDSLASLTNLKTLYFGCNPLSSTELPSNSSRLITDFDFSSGKCSRQRSTKKTLGIVIGAITGGSFLFTLAVGMFCSCFCRNKSRTRRNFDRKSNPMTKNAVFSVASTVSKSINIQSFPLDYLENVTHKYKTLIGEGGFGSVYRGTLPDGQEVAVKVRSSTSTQGTREFDNELTLLSALRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKTLDWPTRLSIALGAARGLTYLHTFSGRCIIHRDVKSSNILLDHSMNAKVTDFGFSKYAPQEGDSGASLEVRGTAGYLDPEYYSTQHLSAKSDVFSFGVVLLEIVSGREPLNIHRPRNEWSLVEWAKPYIRESRIDEIVDPGIKGGYHAEAMWRVVEVALVCIEPFSAYRPCMTDIVRELEDALIIENNASEYMKSIDSLGGYSLGGSNRFGSNRFSISTDKKIALSPPVPTPPDPSPINTQALAPLEPR >Potri.007G004700.4.v4.1 pep chromosome:Pop_tri_v4:7:342938:348405:-1 gene:Potri.007G004700.v4.1 transcript:Potri.007G004700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004700.v4.1 MNHYGYPYISKLELRPLGDLKYLQGKASGVLKLVSRVDAGNTGNSIRYPDDSFDRIWRRPDPKTVSLSEPTNSTTYIHDVKKTVPAKVLQTALTHTDRLEFLHNELDTQDSNYTVFLYFFELNQSIKTGQRVFDIYINNEIKLGKFDIWAYGSAYREAALSVTASRSLNLTLVKVENASDLGPILNAYEILQWIQGTNQQDVEVIMKVRNELMLNNKENELLQSWSGDPCFPPWKGLKCQNISGSLPVITGLNISSSQFQGPIPASITELSYLKELNLSYNGFTGKIPEFPKSSVLTSVDLSFNDLSGSVPDSLASLTNLKTLYFGCNPLSSTELPSNSSRLITDSGKCSRQRSTKKTLGIVIGAITGGSFLFTLAVGMFCSCFCRNKSRTRRNFDRKSNPMTKNAVFSVASTVSKSINIQSFPLDYLENVTHKYKTLIGEGGFGSVYRGTLPDGQEVAVKVRSSTSTQGTREFDNELTLLSALRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKTLDWPTRLSIALGAARGLTYLHTFSGRCIIHRDVKSSNILLDHSMNAKVTDFGFSKYAPQEGDSGASLEVRGTAGYLDPEYYSTQHLSAKSDVFSFGVVLLEIVSGREPLNIHRPRNEWSLVEWAKPYIRESRIDEIVDPGIKGGYHAEAMWRVVEVALVCIEPFSAYRPCMTDIVRELEDALIIENNASEYMKSIDSLGGYSLGGSNRFGSNRFSISTDKKIALSPPVPTPPDPSPINTQALAPLEPR >Potri.007G004700.1.v4.1 pep chromosome:Pop_tri_v4:7:342924:349383:-1 gene:Potri.007G004700.v4.1 transcript:Potri.007G004700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004700.v4.1 MMGRMHVWMLTASVGSFIVSLIHVIHLTCAEKGFVSIACCAESSTFTDNTTISWISDEGWFPIENTGCENITRQAENDANYDRVRIFYIEPGRRICYNFSTTKNQNYLIRATFLFDDSLGASFDVSIGFTPTSNVKLSKDLEVERVFTATHHDVDFCLMNHYGYPYISKLELRPLGDLKYLQGKASGVLKLVSRVDAGNTGNSIRYPDDSFDRIWRRPDPKTVSLSEPTNSTTYIHDVKKTVPAKVLQTALTHTDRLEFLHNELDTQDSNYTVFLYFFELNQSIKTGQRVFDIYINNEIKLGKFDIWAYGSAYREAALSVTASRSLNLTLVKVENASDLGPILNAYEILQWIQGTNQQDVEVIMKVRNELMLNNKENELLQSWSGDPCFPPWKGLKCQNISGSLPVITGLNISSSQFQGPIPASITELSYLKELNLSYNGFTGKIPEFPKSSVLTSVDLSFNDLSGSVPDSLASLTNLKTLYFGCNPLSSTELPSNSSRLITDSGKCSRQRSTKKTLGIVIGAITGGSFLFTLAVGMFCSCFCRNKSRTRRNFDRKSNPMTKNAVFSVASTVSKSINIQSFPLDYLENVTHKYKTLIGEGGFGSVYRGTLPDGQEVAVKVRSSTSTQGTREFDNELTLLSALRHENLVPLLGYCCENDQQILVYPFMSNGSLQDRLYGEAAKRKTLDWPTRLSIALGAARGLTYLHTFSGRCIIHRDVKSSNILLDHSMNAKVTDFGFSKYAPQEGDSGASLEVRGTAGYLDPEYYSTQHLSAKSDVFSFGVVLLEIVSGREPLNIHRPRNEWSLVEWAKPYIRESRIDEIVDPGIKGGYHAEAMWRVVEVALVCIEPFSAYRPCMTDIVRELEDALIIENNASEYMKSIDSLGGYSLGGSNRFGSNRFSISTDKKIALSPPVPTPPDPSPINTQALAPLEPR >Potri.004G054000.2.v4.1 pep chromosome:Pop_tri_v4:4:4397807:4400476:1 gene:Potri.004G054000.v4.1 transcript:Potri.004G054000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054000.v4.1 MPMPLHFPQNDHISPKVLSALSNKTILHHRQVNKSNGNMKKVKLATIRPYIFSSTCNYTSLRPSNVFPPNQEDYITHQTPTTLSSALQHYINSDTPFHGQKIHTHILKTGFRPNINISIKLLILHLKCRCLKYAHQLFDELPQRTLSAYNYMIGGYLRQGLFEESISMVRRLDLDGERPDGFTFSMILKASTSGANVMLPRNTGGLVHAQILKLDVKADDVLYTALVDSYVKSGKVGYARKVFDLMLEKNVICSTSMISGYMNQGFVEDAEEIFWKTVEKDIVVFNAMIEGYSKSVETAMKALEVYVDMQRFGLRPNGSTFASVSGACSVLAGFEIGQQVQCQLMKSGFFNGVKMGSALIDMYSKCGRIEDARRVFNYMPVRNVFSWTSMIDGYGKNGEPWEALELFHRMQQCNIEPNYVTFLGALSACGHAGLVTKGREIFDSMERDYSTKPRMEHYACMVDLLGRAGNLQQAWELVKGMPEKPNSDVWAALLSSCNMHGNVEMARVAANKLFKINAIGRPGAYVALSNTLAAAERWDSVSELREMMKLRGISKDTACSWVGTEVDL >Potri.004G054000.3.v4.1 pep chromosome:Pop_tri_v4:4:4397807:4400553:1 gene:Potri.004G054000.v4.1 transcript:Potri.004G054000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054000.v4.1 MPMPLHFPQNDHISPKVLSALSNKTILHHRQVNKSNGNMKKVKLATIRPYIFSSTCNYTSLRPSNVFPPNQEDYITHQTPTTLSSALQHYINSDTPFHGQKIHTHILKTGFRPNINISIKLLILHLKCRCLKYAHQLFDELPQRTLSAYNYMIGGYLRQGLFEESISMVRRLDLDGERPDGFTFSMILKASTSGANVMLPRNTGGLVHAQILKLDVKADDVLYTALVDSYVKSGKVGYARKVFDLMLEKNVICSTSMISGYMNQGFVEDAEEIFWKTVEKDIVVFNAMIEGYSKSVETAMKALEVYVDMQRFGLRPNGSTFASVSGACSVLAGFEIGQQVQCQLMKSGFFNGVKMGSALIDMYSKCGRIEDARRVFNYMPVRNVFSWTSMIDGYGKNGEPWEALELFHRMQQCNIEPNYVTFLGALSACGHAGLVTKGREIFDSMERDYSTKPRMEHYACMVDLLGRAGNLQQAWELVKGMPEKPNSDVWAALLSSCNMHGNVEMARVAANKLFKINAIGRPGAYVALSNTLAAAERWDSVSELREMMKLRGISKDTACSWVGTEVDL >Potri.017G069150.1.v4.1 pep chromosome:Pop_tri_v4:17:5936947:5937581:1 gene:Potri.017G069150.v4.1 transcript:Potri.017G069150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069150.v4.1 MGSGALVLVQHEDFPGGHPSSYYSRPSTFHCGVLMVSRALVLV >Potri.006G260911.1.v4.1 pep chromosome:Pop_tri_v4:6:25703002:25703695:-1 gene:Potri.006G260911.v4.1 transcript:Potri.006G260911.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260911.v4.1 MVSSGCKRSLSLRAKAIFLLFLILVARAALVVAARPSNTMTKKPRFGASSTHFPQSNRPVQPSAPNPCSYIPGKGECKPPK >Potri.001G046100.1.v4.1 pep chromosome:Pop_tri_v4:1:3373335:3376081:-1 gene:Potri.001G046100.v4.1 transcript:Potri.001G046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046100.v4.1 MPVDTSSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADLLDYESLKEAIQGCDGVFHTASPVTDDPEQMLEPAVNGTKNVIMAAAEAKVRRVVFTSSIGTVYMDPNRSPDVVVDESCWSDLEFCKNTKNWYCYGKTVAEQDAWDVAKKNGVDLVVVNPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVKDVALAHILVFETPSASGRYICAERMLHRGEVVEILAKFFPEYPIPTKCSDEKNPRKQPYKFTNQKIKDLGIEFTPVKQCLYESVKSLQEKGHLPIPKQAEDSVKIQQGLIY >Potri.017G039400.1.v4.1 pep chromosome:Pop_tri_v4:17:2618664:2619038:-1 gene:Potri.017G039400.v4.1 transcript:Potri.017G039400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039400.v4.1 MCRGNQERSNQGSSCNKEAVSPNATSRFVCCELCGSRATLYCQADHAFLCQKCDGWVHGANFLALRHVRNMLCNTCQNLTQRCLIGASTEVMLSTIVSWRERRDRNSNLEKKCSGSLKKPFSFL >Potri.008G142600.2.v4.1 pep chromosome:Pop_tri_v4:8:9625372:9625885:-1 gene:Potri.008G142600.v4.1 transcript:Potri.008G142600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142600.v4.1 MDKQNMVDAFNNDTSKACLLSTRAGGQGLNLTGADTVFIPDLDFNPQIDRQVEDHCHRIGHTKPVTIYRMVTRGTVDETVDEMAKWKLVLDAAVLDSGVGVDNEGDASSRTTGEILSSLMMV >Potri.004G233500.1.v4.1 pep chromosome:Pop_tri_v4:4:23853142:23855389:-1 gene:Potri.004G233500.v4.1 transcript:Potri.004G233500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233500.v4.1 MAVGFAVASEDGQYNGRITSFVILSCMVASMGGLIFGYDIGISGGVTSMEPFLKKFFPEVYTRMKEDTKISNYCKFDSQLLTSFTSSLYVAGLVASFFASSITRYFGRKPSILAGGAAFLSGSALNGAATNLYMLIFGRVLLGVGVGFANQAVPLYLSEMAPPRYRGAINNGFQLCIAIGVLSANFINFGTEKIEGGWGWRISLAMGAIPATFLTIGSLFLPETPNSLIQRFNDEQKAKTMLQRIRGTTDVEAEFNDLIKASLVSKSIEHPIKKIIQKKYRPQLVMAIAIPFFQQVTGINVISFYAPILFRTIGLSESVSLIMSALIAGVVGTASTFLSMLVVDKLGRRVMLICGGVQMFVSQIMIGSIMAAQLGDHGSINKGYAYFVLTMISIYVSGFAWSWGPLGWLVPSEIFPLEIRSVGQSIVVAVNFVFTFIVAQTFLAMLCHFKSGIFFFFGGWVAVMTAFVYLLLPETKKVPIEVMDRVWREHWFWKRIVEEFDDKSKMEPA >Potri.005G000232.1.v4.1 pep chromosome:Pop_tri_v4:5:109705:109905:1 gene:Potri.005G000232.v4.1 transcript:Potri.005G000232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000232.v4.1 MVELLPIYFSVIAFFCTLGAMALAIFHIYRHFLNYTEPTYQRYIVRIIFMVPVCASLLSHLLLLYL >Potri.017G060200.1.v4.1 pep chromosome:Pop_tri_v4:17:4934980:4936232:1 gene:Potri.017G060200.v4.1 transcript:Potri.017G060200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060200.v4.1 MARNEEKAQSMLNRFITLKAEEKKKPKERRPYLASECRDLAEADRWRQQIMREIGRKVAEIQNEGLGEHRLRDLNDEINKLIREKSHWERRIVELGGPNYAKHSAKMTDLEGNIIDVPNPSGRGPGYRYFGAAKKLPGVRELFEKPPELRKRRTRYDIYKRIDASYYGYRDEEDGILEKVEGPAEEEMRMRAVEEWKRMEAIRKEARKGAKEVVSVGVGVGAEVLFEEEEDVVEEERREEREREDIERKEKEREFVVHVPLPDDKEIERMVLEKKKMELLSKYASDSLMEEQTEAKVMLNIQH >Potri.010G193000.1.v4.1 pep chromosome:Pop_tri_v4:10:18826525:18827939:-1 gene:Potri.010G193000.v4.1 transcript:Potri.010G193000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193000.v4.1 METLNPSWYMSNSNWFTQTTEWTREENKEFEIALAIFDEHEPDRWLKVAAMIPGKTVYDVIKQYKELEEDVSDIEAGRVPVPGYLSSSFTFQLVGNSNFDAYRKRSLTAKSADQQRKKGVPWTEDEHRRFLMGLLKHGKGDWRNISRNFVVSKTPTQVASHAQKYFIRQQLSGVKDKRRPSIHDITTVNLADATTPSDGDEPSSLDQSDLLLSQQKPAGMQKVLIDWDEAKDGSIMVFDSTHEDLFKSSPYEIPSNGLKFQGQNLCVGAHHGARINPHNMVFKLPPPRFQIQY >Potri.014G039333.1.v4.1 pep chromosome:Pop_tri_v4:14:2550726:2550941:-1 gene:Potri.014G039333.v4.1 transcript:Potri.014G039333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039333.v4.1 MPFHFRSKRVFVKLCLFILDPSNLTRMWTTIIWECLFHYLPFPFFASIFVFY >Potri.009G087800.5.v4.1 pep chromosome:Pop_tri_v4:9:8161879:8164753:-1 gene:Potri.009G087800.v4.1 transcript:Potri.009G087800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087800.v4.1 MMKILAGCCVLVLISIFLPSVCRIHAFSYDYSATTECLIEPQRAQYEGGIIANPDFTHGVQGWTVFGQGAIKEGISKNGNRYIVAHSRSQPLDSISQKVQLEKGKLYSFSAWVQINGGRGNVAVVFKMSRGELVRGGKVLARNGCWSLLKGGVFANTSSRVEILFESKNTEAEIWVDNVSLQPFTMEQWRSHQDESTDTERKGKVRFEVRNANGTAIEGARFSIKQTKSDFPFGCCMNYHIINSTDYQNWFTLRFKYTTFTNEMKWYTTEKIQGQENYTVADAMVRFAQQNGISIRGHNIFWDNPVYQPHWVKNLTLEELQNAADQRIKSVVSRYAGQLIAWDVMNENLHFSFFEDKLGKNASAEYYARAYQLDPDTTMFLNEYNTIEYSSDEKANPFNYKTKLDEILSYPGNQGISAGIGLQGHFGSGQPNLAYMRSCLDILGSTGLPIWLTEVDVGKDPNQAEYLEQVLREGYSHPAVKGIIMFVGPANAGFNSTVLADEDFKNTPAGDVVDKLIDEWRFQTTEIKADGKGSIEIPLFHGDYNITVKDPVSSSLTAFSYKMTRDVTRDTVHLRINA >Potri.009G087800.6.v4.1 pep chromosome:Pop_tri_v4:9:8161879:8164331:-1 gene:Potri.009G087800.v4.1 transcript:Potri.009G087800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087800.v4.1 MSRGELVRGGKVLARNGCWSLLKGGVFANTSSRVEILFESKNTEAEIWVDNVSLQPFTMEQWRSHQDESTDTERKGKVRFEVRNANGTAIEGARFSIKQTKSDFPFGCCMNYHIINSTDYQNWFTLRFKYTTFTNEMKWYTTEKIQGQENYTVADAMVRFAQQNGISIRGHNIFWDNPVYQPHWVKNLTLEELQNAADQRIKSVVSRYAGQLIAWDVMNENLHFSFFEDKLGKNASAEYYARAYQLDPDTTMFLNEYNTIEYSSDEKANPFNYKTKLDEILSYPGNQGISAGIGLQGHFGSGQPNLAYMRSCLDILGSTGLPIWLTEVDVGKDPNQAEYLEQVLREGYSHPAVKGIIMFVGPANAGFNSTVLADEDFKNTPAGDVVDKLIDEWRFQTTEIKADGKGSIEIPLFHGDYNITVKDPVSSSLTAFSYKMTRDVTRDTVHLRINA >Potri.015G110700.1.v4.1 pep chromosome:Pop_tri_v4:15:12772125:12775100:1 gene:Potri.015G110700.v4.1 transcript:Potri.015G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110700.v4.1 MSTGKVTGIAAFVILLLVSTTAGSKDTSPIPGEPSSLNSWFHANVKSYTQRNGTLDPALETAEAKPKTIRVRKDGSGDFKTLTGAVRSISSGNTQRVIVDIGSGVYNEKIQIEKEKPFVTFKGSASSMPTLTFAGTARVYGTVYSATLQVDSDYFVASNIIIKNSSPRPSGKLKEQAVALRIGGDKAAFYNCRLIGFQDTLCDDKGRHFFKDCYIEGTVDFIFGSGKSLYLGTAINVLADQGLAVITAQARNKEDDTGFSFVHCKVNGIGKWAFLGRAWTERPRVVFAFTTMSSVVNPGGWSDNQHPERDRIVSFGEYKCKGPGSNPSGRVKFSRQLTPQQVKPFLSLAYIEGSKWLLPPPN >Potri.009G038200.1.v4.1 pep chromosome:Pop_tri_v4:9:4696763:4698798:1 gene:Potri.009G038200.v4.1 transcript:Potri.009G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038200.v4.1 MLTRALSRSKIPNFSSIIVILQNLTTKQSIIDQTQLPLSKASNIQSFLVPAGLRQYHDGRPRGPLWRGKKLIGKEALFVILGLKRFKNDDDEKLDRFIKTHVFRLLKLDMIAVLSELERQEEVSLAVKIFRVIQKQDWYKPDVYLYKDLIMALLKTGKMEEAMKLWEDMRNEDLFPDSQMYTEAIRGYLRDGSPADAMNIYEDMKKSPDPPEELPFRILLKGLLPHPLLRNRVKQDYEELFPEKHVYDPPEEIFGIL >Potri.009G038200.2.v4.1 pep chromosome:Pop_tri_v4:9:4696727:4698076:1 gene:Potri.009G038200.v4.1 transcript:Potri.009G038200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038200.v4.1 MLTRALSRSKIPNFSSIIVILQNLTTKQSIIDQTQLPLSKASNIQSFLVPAGLRQYHDGRPRGPLWRGKKLIGKEALFVILGLKRFKNDDDEKLDRFIKTHVFRLLKLDMIAVLSELERQEEVSLAVKIFRVIQKQDWYKPDVYLYKDLIMALLKTGKMEEAMKLWEDMRNEDLFPDSQMYTEAIRGYLRDGSPADAMNIYEDMKKSPDPPEELPFRILLKGLLPHPLLRNRVKQDYEELFPEKHVYDPPEEIFGIL >Potri.005G056500.2.v4.1 pep chromosome:Pop_tri_v4:5:3545926:3547899:1 gene:Potri.005G056500.v4.1 transcript:Potri.005G056500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G056500.v4.1 MAPAKKGKAKANAAVSTQSKPTAPSPQNNFPSCIRAVPPSSVAITIHAKPGSKSASITDLSDEAVGVQIDAPAKDGEANAALLDYISSVLGVKRRQVSIGSGSKSRDKVVIVEEVTLQNVFDALEKASKCC >Potri.001G189200.1.v4.1 pep chromosome:Pop_tri_v4:1:17049521:17054015:-1 gene:Potri.001G189200.v4.1 transcript:Potri.001G189200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189200.v4.1 MDFEFTLSEMLEMENMFKELEEGPLAPQFCEKLASSFSLAPSRDGKQAITPRQVKSWFQDRLKKSQPRVASSNMALKLFADLSDASASFGATESSQKLKGNASDLSELIFEALSSKDNAWYDVASFLNYRVVCSGELEVRVRFAGFRNTDDEWVNVRRAVRERSIPLESSECQRVKVGDLVLCFQEREERAVYCDAHIVEINRKLHDINGCRCTFVVRYDHDDFEEEVRLDRLCGRPTP >Potri.003G047101.1.v4.1 pep chromosome:Pop_tri_v4:3:6532232:6533137:1 gene:Potri.003G047101.v4.1 transcript:Potri.003G047101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047101.v4.1 MSGDSMLYNVPLEYWTIKGLSCVASAIGVPLHTDHTTLLRERLSYARVCVEIDASKTLVKEYDLRCPNELFITISADYEWISSKCSNCNVFGHTTAICATNNIDNLKSGGRG >Potri.001G242000.1.v4.1 pep chromosome:Pop_tri_v4:1:25978996:25981618:1 gene:Potri.001G242000.v4.1 transcript:Potri.001G242000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242000.v4.1 MVIESMDPPSYPTNLQAPADNPSLRSTSDGESPFDSETTDCYHAVLKYIHDILMEDGLGDKTCMLQDSLALQAAEKSLYDVIGEEYPSSSDHCPPCLMNINERPDENFTPTRSVQSSVTQPFDSPESMPYLHVETQPFGQLNGVMGSANKSIPYSHSIKFSSMRNVSDPQELEREVMADRIQRNGRNYSSIQTRGRRNHQHDNNGYLEEGRSKKQSSASESLHLELLDDTYLYNIENGGHIPCPLYGNSPSARNKKFLQSEQSAASDMRTRALANKRETDLWTLLILCAQAAGSGDLKTASGKLKQIRQHSSPLGDANQRLAHYFANGLEARLAGTGMPLSGPITQSSTTAADILKAYELYVTICPFRKMTNMCANRTISRLVDKATSVHIIDFGISYGFQWPCFIYRQSLRPGRPTKIRVTGIELPQPGFRPAERVEETGRRLQRFADRMKVPFEYNAIAQKWETIQYEDLKIDRDRDEVIIVNCMYRLKNLPDDTMVVNSPRDAVLKLIKRINPDIFLHGVSNGSYNAPFFVTRFREALFHYSAFFDMLEATAPREDQERLLFEREMIGRDAINVIACEGTQRVERPEPYKQWHMRNLRIGFRQVPLHQSIIKRVKNIKHEYHKDFIVDEDGQWILLGWKGRIIHAVSAWKPVQE >Potri.009G004500.4.v4.1 pep chromosome:Pop_tri_v4:9:1063116:1066065:-1 gene:Potri.009G004500.v4.1 transcript:Potri.009G004500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004500.v4.1 MINLNRSVSIWIVLSLFLSLFLSKAEVITLTPETFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWEEVGKAMEGEDEIEVGEVDCGASKSVCSKADIHSYPTFKLFFDGEEVAKYQGPRDVESLKAFVLDEAEKAAAKAQLGYDKDL >Potri.009G004500.2.v4.1 pep chromosome:Pop_tri_v4:9:1063133:1066085:-1 gene:Potri.009G004500.v4.1 transcript:Potri.009G004500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004500.v4.1 MINLNRSVSIWIVLSLFLSLFLSKAEVITLTPETFSDKVKEKDTAWFVKFCVPWCKHCKNLGTLWEEVGKAMEGEDEIEVGEVDCGASKSVCSKADIHSYPTFKLFFDGEEVAKYQGPRDVESLKAFVLDEAEKAAAKAQLGYDKDL >Potri.009G108400.2.v4.1 pep chromosome:Pop_tri_v4:9:9384587:9387825:1 gene:Potri.009G108400.v4.1 transcript:Potri.009G108400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108400.v4.1 MVSTRRTRTKQNNTNTIASENGSHCVNSNNERIVQKGNGARSGAMSGYEQFRDQRIKENKERMQKLGLLDLSLKLKAQLGRPKKTPGIVSSEKKPHTPLPVSASPRRSSRLKIMDPINYMEIRPTRKKETSMDVEIQLREGSQPEIYTEEDENLLGDHKTTWTLNVDGCGKDGRRVYDPEMGETCHQCRQKTLGLHTHCSKCNLVQGQFCGDCLFMRYGENVIEVNQDPNWICPVCRGICNCSLCRHAKGWAPTGNLYRKVNTINLVSIEVILVV >Potri.009G108400.1.v4.1 pep chromosome:Pop_tri_v4:9:9384460:9388164:1 gene:Potri.009G108400.v4.1 transcript:Potri.009G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108400.v4.1 MVSTRRTRTKQNNTNTIASENGSHCVNSNNERIVQKGNGARSGAMSGYEQFRDQRIKENKERMQKLGLLDLSLKLKAQLGRPKKTPGIVSSEKKPHTPLPVSASPRRSSRLKIMDPINYMEIRPTRKKETSMDVEIQLREGSQPEIYTEEDENLLGDHKTTWTLNVDGCGKDGRRVYDPEMGETCHQCRQKTLGLHTHCSKCNLVQGQFCGDCLFMRYGENVIEVNQDPNWICPVCRGICNCSLCRHAKGWAPTGNLYRKVIRLGFKSVAHYLIQTRRAQTHSGDSGAESLVSEEGELSSADEGSRPVTCNESVNADEHRLSNIIEVPILNECPNPHPDGYEEEEKQVNSSDGSSDGYEDADGDNTVNNDNKLKEKKETLAVQEVGDSKI >Potri.004G212550.1.v4.1 pep chromosome:Pop_tri_v4:4:21901043:21901325:-1 gene:Potri.004G212550.v4.1 transcript:Potri.004G212550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212550.v4.1 MDPPPPPPTAPLLGPESHGGGCWDSCLWFLCCCGLFSCCCPPLFEPVPPPP >Potri.014G096100.1.v4.1 pep chromosome:Pop_tri_v4:14:6279340:6281163:1 gene:Potri.014G096100.v4.1 transcript:Potri.014G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096100.v4.1 MAETDSPPHVAILPSPGMGHLIPLVELAKRLVHQHNLSVTFIIPTDGSPSKAQRSVLGSLPSTIHSVFLPPVNLSDLPEDVKIETLISLTVARSLPSLRDVLSSLVASGTRVVALVVDLFGTDAFDVAREFKASPYIFYPAPAMALSLFFYLPKLDEMVSCEYSEMQEPVEIPGCLPIHGGELLDPTRDRKNDAYKWLLHHSKRYRLAEGVMVNSFIDLERGALKALQEVEPGKPPVYPVGPLVNMDSNTSGVEGSECLKWLDDQPLGSVLFVSFGSGGTLSFDQITELALGLEMSEQRFLWVARVPNDKVANATYFSVDNHKDPFDFLPKGFLDRTKGRGLVVPSWAPQAQVLSHGSTGGFLTHCGWNSTLESVVNAVPLIVWPLYAEQKMNAWMLTKDVEVALRPKASENGLIGREEIANIVRGLMEGEEGKRVRNRMKDLKDAAAEVLSEAGSSTKALSEVARKWKNHKCTQDCN >Potri.008G063500.1.v4.1 pep chromosome:Pop_tri_v4:8:3836302:3841690:-1 gene:Potri.008G063500.v4.1 transcript:Potri.008G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063500.v4.1 MKNKRQKARLERQKMWNSLSALHAHLIQLSETILNPLSQIPYTPPEGTPISPKSTLESLLSIKNSNPNPNNNAITETQLFNSIKDFTLACALLSSSQSSTHELLSWIPKNLAIEANSAFNELSNAYAESDLGARNERRISELLGVMSGGDGDGLVNEEKRLVIELMPEVLPLLKDGIKESSIDKSADGDEISAASARAPVGSAIVAAYQFRWFVTQVDYPHLGKLCNFVIPCALTALDHWSPQVKGQGMISFTHLAKNVNAAELSRYEDVILDACCQNIASDDEIWCHVVEMSVLLVTCIQRSDPRSPWFEKMLNEMLGHLERQPRNKDRRVAWLKFVEPLLHGIGLVLVAHFSRIFPLFFKWLHADDDETVLLVLKRVHTVMRLTWIRNTPYLERLVDELALLYKEAALRVAREQIRSSVLEILILLQQCKGLQFKAAWDKHSNDLNLTSLSLSLSGNTTNNVGAHVDQFHGDSLQRSSQPLKPQ >Potri.008G063500.4.v4.1 pep chromosome:Pop_tri_v4:8:3836302:3841690:-1 gene:Potri.008G063500.v4.1 transcript:Potri.008G063500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063500.v4.1 MKNKRQKARLERQKMWNSLSALHAHLIQLSETILNPLSQIPYTPPEGTPISPKSTLESLLSIKNSNPNPNNNAITETQLFNSIKDFTLACALLSSSQSSTHELLSWIPKNLAIEANSAFNELSNAYAESDLGARNERRISELLGVMSGGDGDGLVNEEKRLVIELMPEVLPLLKDGIKESSIDKSADGDEISAASARAPVGSAIVAAYQFRWFVTQVDYPHLGKLCNFVIPCALTALDHWSPQVKGQGMISFTHLAKNVNAAELSRYEDVILDACCQNIASDDEIWCHVVEMSVLLVTCIQRSDPRSPWFEKMLNEMLGHLERQPRNKDRRVAWLKFVEPLLHGIGLVLVAHFSRIFPLFFKWLHADDDETVLLVLKRVHTVMRLTWIRNTPYLERLVDELALLYKEAALRVAREQIRSSVLEILILLQQCKGLQFKAAWDKHSNDLNLTSLSLSLSGNTTNNVGAHVDQFHGDSLQRSSQPLKPQ >Potri.008G063500.2.v4.1 pep chromosome:Pop_tri_v4:8:3836360:3841691:-1 gene:Potri.008G063500.v4.1 transcript:Potri.008G063500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063500.v4.1 MKNKRQKARLERQKMWNSLSALHAHLIQLSETILNPLSQIPYTPPEGTPISPKSTLESLLSIKNSNPNPNNNAITETQLFNSIKDFTLACALLSSSQSSTHELLSWIPKNLAIEANSAFNELSNAYAESDLGARNERRISELLGVMSGGDGDGLVNEEKRLVIELMPEVLPLLKDGIKESSIDKSADGDEISAASARAPVGSAIVAAYQFRWFVTQGQGMISFTHLAKNVNAAELSRYEDVILDACCQNIASDDEIWCHVVEMSVLLVTCIQRSDPRSPWFEKMLNEMLGHLERQPRNKDRRVAWLKFVEPLLHGIGLVLVAHFSRIFPLFFKWLHADDDETVLLVLKRVHTVMRLTWIRNTPYLERLVDELALLYKEAALRVAREQIRSSVLEILILLQQCKGLQFKAAWDKHSNDLNLTSLSLSLSGNTTNNVGAHVDQFHGDSLQRSSQPLKPQ >Potri.008G063500.3.v4.1 pep chromosome:Pop_tri_v4:8:3836302:3841690:-1 gene:Potri.008G063500.v4.1 transcript:Potri.008G063500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063500.v4.1 MKNKRQKARLERQKMWNSLSALHAHLIQLSETILNPLSQIPYTPPEGTPISPKSTLESLLSIKNSNPNPNNNAITETQLFNSIKDFTLACALLSSSQSSTHELLSWIPKNLAIEANSAFNELSNAYAESDLGARNERRISELLGVMSGGDGDGLVNEEKRLVIELMPEVLPLLKDGIKESSIDKSADGDEISAASARAPVGSAIVAAYQFRWFVTQVDYPHLGKLCNFVIPCALTALDHWSPQVKGQGMISFTHLAKNVNAAELSRYEDVILDACCQNIASDDEIWCHVVEMSVLLVTCIQRSDPRSPWFEKMLNEMLGHLERQPRNKDRRVAWLKFVEPLLHGIGLVLVAHFSRIFPLFFKWLHADDDETVLLIGG >Potri.001G083801.1.v4.1 pep chromosome:Pop_tri_v4:1:6656641:6658967:-1 gene:Potri.001G083801.v4.1 transcript:Potri.001G083801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083801.v4.1 MDLSQNTALGTGGIGGNGILAAPVNDTAAATAVDDPKQNLNQVINSIQKTLGLLHQLYLTVSSFNTASQLPLLQRLNGLVTELDNMVKLSEKCNIQVPMEVLNLIDDGKNPDEFSRDVINSCIAKNQVTKGKTDAFKSLRKHLLEELEQTFPDEVESYREIRAMSAAEAKRLAQAQSSLPNGDVKVKAEL >Potri.010G184600.1.v4.1 pep chromosome:Pop_tri_v4:10:18209937:18214922:1 gene:Potri.010G184600.v4.1 transcript:Potri.010G184600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184600.v4.1 MFAILKLLLLSISSQLNADQGFDVRRHLCTVTRYDVAKDMANTSAVAANNIPNGCTPIHVNLVARHGTRSPNKKRMRELDKLASHLGELIREAEEQNLSLEKVPLWLRGWKSPWRGKLKGGELIRKGEEELYNLGIRIRERFSELFDEEYHPDVYPIKATQVPRASASAVAFGMGLLSEKGGLGPAQHRAFAVTSEIPASDIILRFHDCCGNYKDFKKRQEPAVDKLKEPVLDEITSALVSRYGLKFTRQDTATLWSLCKQEASVLDITDRACGLFSPSEVALLEWADDLELFVLKGYGNSINYRMGVPLLEDVVQSMEQAIKANEEKHPPGSYEKARLRFAHAETVVPFTCLLGLFLEGSEFQKIRREEPLELPPKPPQSRNWRGSIVAPFAGNNMLVLHSCPANSASKYFVQVLHNEQPIPMTGCNGSDFCPFEEFKEKIVAPHLKHDYDSVCTAKLEEPEQKPASSKLSQLFRCLLSLQNDDTRSTKDGL >Potri.010G184600.3.v4.1 pep chromosome:Pop_tri_v4:10:18209945:18214914:1 gene:Potri.010G184600.v4.1 transcript:Potri.010G184600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184600.v4.1 MFAILKLLLLSISSQLNADQGFDVRRHLCTVTRYDVAKDMANTSAVAANNIPNGCTPIHVNLVARHGTRSPNKKRMRELDKLASHLGELIREAEEQNLSLEKVPLWLRGWKSPWRGKLKGGELIRKGEEELYNLGIRIRERFSELFDEEYHPDVYPIKATQVPRASASAVAFGMGLLSEKGGLGPAQHRAFAVTSEIPASDIILRFHDCCGNYKDFKKRQEPAVDKLKEPVLDEITSALVSRYGLKFTRQDTATLWSLCKQEASVLDITDRACGLFSPSEVALLEWADDLELFVLKGYGNSINYRMGVPLLEDVVQSMEQAIKANEGKSSCQMLETVCLFYAFDELHPFL >Potri.006G253800.1.v4.1 pep chromosome:Pop_tri_v4:6:25165506:25167136:-1 gene:Potri.006G253800.v4.1 transcript:Potri.006G253800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253800.v4.1 MILICSSVFLSFLSQIMVQSKKFRGVRQRQWGSWVSEIRHPLLKRRVWLGTFETAEAAARAYDQAAILMNGQNAKTNFPASHLDQDTKLGKDNNSPLPAKALAELLYSKLRKCCGKDPSPSLTCLRLDNDNSHIGVWQKKAGSCSSSNWVMRVELGNSNRKSTQVMEELRPSLSSESSSRVEIEPEINGTDEEDKIAMQMIDELLNCN >Potri.013G070350.1.v4.1 pep chromosome:Pop_tri_v4:13:5745961:5746281:-1 gene:Potri.013G070350.v4.1 transcript:Potri.013G070350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070350.v4.1 MGPSPIVPSLMVGVLGLVIFGPMIMALADYILPLFQAGDEDVAFNFWTVFPLLLLLLIHTISSCFPSRGSRYFGTKQGSSSSSNDDGYGLGSLLLLVLFFVLYNVW >Potri.005G249300.1.v4.1 pep chromosome:Pop_tri_v4:5:24310502:24316286:-1 gene:Potri.005G249300.v4.1 transcript:Potri.005G249300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249300.v4.1 MGCCQSSFLKLPFSDDNKNNRREQEQRRENQQQQQHVRTKHSSQPTNGTEKSSGLGGGGGAGVPSFTEFSFADLKAATNNFSPEFIVSESGEKASNVVYKGRLQNQNNSNNRSWIAVKKFTKLAWPDPKQFADEAWGVGKLRHKRLANLIGYCCDGDERLLVAEYMPNDTLSKHLFHWENQTIEWAMRLRVALYITEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSMDGKSYSTNLAYTPPEYLRNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNILLLMDSHLEGNFSTEEATVVFDLASRCLQYEPGERPNTKDLVTTLAPLQNKPDVLSYVMLGIPKHEEAPPTPQHPLSPMGDACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDVAFRDKDFKTAVECYSQFIDVGTMVSPTVYARRSLCHLLCDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAADMLNEAATLEEKKQRSGR >Potri.006G041800.1.v4.1 pep chromosome:Pop_tri_v4:6:2813780:2815464:-1 gene:Potri.006G041800.v4.1 transcript:Potri.006G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX41 MGCSASRPNTLLTKNHHPEETLSYASPSKDNSMFSPQYSSPTVPRALSLQTPLVHHPPSRKGDSHHLVSLTSTTYGSLVLIDPNVNTQKSFDQPQPPRKSTKKTHKTQNNQDPCESLSPDSVINTWELMDGLDENDELEFEMNNSFKPRSSLSGHSIEFVSKVSSFHHPGSDKFVKKLHDSFDSLKFEEIVAEKPVSLSKPLWKHLSEESLLSKMDPNVASSYMRALSSRQLGCNKESKDATPVNSSSMSDTLSSKTGPFLNDKDGKIVLYFTSLRGIRKTYEDCCAVRMIFRGFRVAVDERDISMDSTYRKELQSLLKGKAMILPQVFVRGNHIGGVEEIRQLNEAGELAKLFEGFPVQDPRLVCEGCGDARFVPCPNCNGSRKVFDEEEEQLRRCADCNENGLIRCPGCCS >Potri.001G073500.1.v4.1 pep chromosome:Pop_tri_v4:1:5522217:5527626:-1 gene:Potri.001G073500.v4.1 transcript:Potri.001G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073500.v4.1 MKQSKDPFEAAYVEQEESPPESPVAQDDYDTQASNAAAAADDSQGAVVGQDDDDLGGGGRNDFAHSSDHPSASRPMLGSARSKAKNKDDDEEEEEDNMDVELSKLASTADPDKMAKMQTILAQFTEGQMSRYESFRRSALQKTNMKRLLVSITGSQKISLPMTIVVCGIAKMFVGELVETARIVMTERKESGPIRPCHIREAYRRLKLEGKVPKRSVPRLFR >Potri.006G264800.3.v4.1 pep chromosome:Pop_tri_v4:6:26064040:26068404:1 gene:Potri.006G264800.v4.1 transcript:Potri.006G264800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264800.v4.1 MAVLILSSSSMCCSCIDYSIAFSEQNRLSDFRHKNGSLGGEKFGTLRVFPFGSNVNWKKNNKKQVAFCGFALKSQNEELVVNGKPRKGSSSDEVLGVLHSISDPIHALFYFKSVGELPNVVHTTETCNHMLEILRVHRRVEDMAFVFDLMQRQIIRRNVDTYLIIFKSLFIRGGLRQAPSALEKMREAGFVLNAYSYNGLIHFLLQSGFCKEALEVYRRMVSEGLKPSLKTFSALMVASGKRRNIKTVMGLLEEMESMGLRPNIYTYTICIRVLGRDGKIDEAYRIMKRMDDDGCGPDVVTYTVLIDALCTARKLDDAMCLFTKMKSSSHKPDKVTYVTLLDKFSDCGHLDKVEKIWTEMEADGYAPDVVTFTILVNALCKAGRINEAFDLLDTMRKQGVLPNLHTYNTLISGLLRANRLDDALDLFSNMESLGVEPTAYTYILLIDYHGKSGHPGKALETFEKMKARGIAPNIVACNASLYSLAEMGRLGEAKAMFNELKSSGLAPDSVTYNMMMKCYSKVGQVDEAIKLLSEMSKVQCEPDVIVINSLIDTLYKAGRVEEAWQMFCRMEEMNLAPTVVTYNILLAGLGKEGQIQKAVQLFESMNGHGCSPNTITFNTLLDCLCKNDEVDLALKMFYKMTTMNCRPDVLTFNTIIHGFIKQNQIKNAIWLFHQMKKLLRPDHVTLCTLLPGVIKSGQIEDAFRITEDFFYQVGSNIDRSFWEDVMGGILTEAGTEKAILFGERLVCRAICKDDSVLIPIIKVLCKHKKTSVARNVFVKFTKELGVKPTLKVYNLLIDGFLEVHNVEVAWNLFEEMKSAGCAPDTFTYNSLIDAHGKSGKINELFDLYDEMLTRGCKPNTITYNMVISNLVKSNRLDKAMDLYYNLVSGDFSPTPCTFGPLIDGLLKSGRLDDAHEMFDGMVHYGCRPNSAIYNILVNGYGKLGHVDTACEFFKRMVKEGIRPDLKSYTILVDILCIAGRVDDALHYFEKLKQAGLDPDLVAYNLMINGLGRSQRTEEALSLFHEMQNRGIVPDLYTYNSLILNLGIVGMIEEAGKIYEELQFIGLKPNVFTYNALIRGYTLSGNSELAYGIYKKMMVGGCDPNTGTFAQLPNQS >Potri.006G264800.2.v4.1 pep chromosome:Pop_tri_v4:6:26063982:26068399:1 gene:Potri.006G264800.v4.1 transcript:Potri.006G264800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264800.v4.1 MAVLILSSSSMCCSCIDYSIAFSEQNRLSDFRHKNGSLGGEKFGTLRVFPFGSNVNWKKNNKKQVAFCGFALKSQNEELVVNGKPRKGSSSDEVLGVLHSISDPIHALFYFKSVGELPNVVHTTETCNHMLEILRVHRRVEDMAFVFDLMQRQIIRRNVDTYLIIFKSLFIRGGLRQAPSALEKMREAGFVLNAYSYNGLIHFLLQSGFCKEALEVYRRMVSEGLKPSLKTFSALMVASGKRRNIKTVMGLLEEMESMGLRPNIYTYTICIRVLGRDGKIDEAYRIMKRMDDDGCGPDVVTYTVLIDALCTARKLDDAMCLFTKMKSSSHKPDKVTYVTLLDKFSDCGHLDKVEKIWTEMEADGYAPDVVTFTILVNALCKAGRINEAFDLLDTMRKQGVLPNLHTYNTLISGLLRANRLDDALDLFSNMESLGVEPTAYTYILLIDYHGKSGHPGKALETFEKMKARGIAPNIVACNASLYSLAEMGRLGEAKAMFNELKSSGLAPDSVTYNMMMKCYSKVGQVDEAIKLLSEMSKVQCEPDVIVINSLIDTLYKAGRVEEAWQMFCRMEEMNLAPTVVTYNILLAGLGKEGQIQKAVQLFESMNGHGCSPNTITFNTLLDCLCKNDEVDLALKMFYKMTTMNCRPDVLTFNTIIHGFIKQNQIKNAIWLFHQMKKLLRPDHVTLCTLLPGVIKSGQIEDAFRITEDFFYQVGSNIDRSFWEDVMGGILTEAGTEKAILFGERLVCRAICKDDSVLIPIIKVLCKHKKTSVARNVFVKFTKELGVKPTLKVYNLLIDGFLEVHNVEVAWNLFEEMKSAGCAPDTFTYNSLIDAHGKSGKINELFDLYDEMLTRGCKPNTITYNMVISNLVKSNRLDKAMDLYYNLVSGDFSPTPCTFGPLIDGLLKSGRLDDAHEMFDGMVHYGCRPNSAIYNILVNGYGKLGHVDTACEFFKRMVKEGIRPDLKSYTILVDILCIAGRVDDALHYFEKLKQAGLDPDLVAYNLMINGLGRSQRTEEALSLFHEMQNRGIVPDLYTYNSLILNLGIVGMIEEAGKIYEELQFIGLKPNVFTYNALIRGYTLSGNSELAYGIYKKMMVGGCDPNTGTFAQLPNQS >Potri.006G264800.1.v4.1 pep chromosome:Pop_tri_v4:6:26064000:26068411:1 gene:Potri.006G264800.v4.1 transcript:Potri.006G264800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264800.v4.1 MAVLILSSSSMCCSCIDYSIAFSEQNRLSDFRHKNGSLGGEKFGTLRVFPFGSNVNWKKNNKKQVAFCGFALKSQNEELVVNGKPRKGSSSDEVLGVLHSISDPIHALFYFKSVGELPNVVHTTETCNHMLEILRVHRRVEDMAFVFDLMQRQIIRRNVDTYLIIFKSLFIRGGLRQAPSALEKMREAGFVLNAYSYNGLIHFLLQSGFCKEALEVYRRMVSEGLKPSLKTFSALMVASGKRRNIKTVMGLLEEMESMGLRPNIYTYTICIRVLGRDGKIDEAYRIMKRMDDDGCGPDVVTYTVLIDALCTARKLDDAMCLFTKMKSSSHKPDKVTYVTLLDKFSDCGHLDKVEKIWTEMEADGYAPDVVTFTILVNALCKAGRINEAFDLLDTMRKQGVLPNLHTYNTLISGLLRANRLDDALDLFSNMESLGVEPTAYTYILLIDYHGKSGHPGKALETFEKMKARGIAPNIVACNASLYSLAEMGRLGEAKAMFNELKSSGLAPDSVTYNMMMKCYSKVGQVDEAIKLLSEMSKVQCEPDVIVINSLIDTLYKAGRVEEAWQMFCRMEEMNLAPTVVTYNILLAGLGKEGQIQKAVQLFESMNGHGCSPNTITFNTLLDCLCKNDEVDLALKMFYKMTTMNCRPDVLTFNTIIHGFIKQNQIKNAIWLFHQMKKLLRPDHVTLCTLLPGVIKSGQIEDAFRITEDFFYQVGSNIDRSFWEDVMGGILTEAGTEKAILFGERLVCRAICKDDSVLIPIIKVLCKHKKTSVARNVFVKFTKELGVKPTLKVYNLLIDGFLEVHNVEVAWNLFEEMKSAGCAPDTFTYNSLIDAHGKSGKINELFDLYDEMLTRGCKPNTITYNMVISNLVKSNRLDKAMDLYYNLVSGDFSPTPCTFGPLIDGLLKSGRLDDAHEMFDGMVHYGCRPNSAIYNILVNGYGKLGHVDTACEFFKRMVKEGIRPDLKSYTILVDILCIAGRVDDALHYFEKLKQAGLDPDLVAYNLMINGLGRSQRTEEALSLFHEMQNRGIVPDLYTYNSLILNLGIVGMIEEAGKIYEELQFIGLKPNVFTYNALIRGYTLSGNSELAYGIYKKMMVGGCDPNTGTFAQLPNQS >Potri.006G264800.4.v4.1 pep chromosome:Pop_tri_v4:6:26064044:26068322:1 gene:Potri.006G264800.v4.1 transcript:Potri.006G264800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264800.v4.1 MAVLILSSSSMCCSCIDYSIAFSEQNRLSDFRHKNGSLGGEKFGTLRVFPFGSNVNWKKNNKKQVAFCGFALKSQNEELVVNGKPRKGSSSDEVLGVLHSISDPIHALFYFKSVGELPNVVHTTETCNHMLEILRVHRRVEDMAFVFDLMQRQIIRRNVDTYLIIFKSLFIRGGLRQAPSALEKMREAGFVLNAYSYNGLIHFLLQSGFCKEALEVYRRMVSEGLKPSLKTFSALMVASGKRRNIKTVMGLLEEMESMGLRPNIYTYTICIRVLGRDGKIDEAYRIMKRMDDDGCGPDVVTYTVLIDALCTARKLDDAMCLFTKMKSSSHKPDKVTYVTLLDKFSDCGHLDKVEKIWTEMEADGYAPDVVTFTILVNALCKAGRINEAFDLLDTMRKQGVLPNLHTYNTLISGLLRANRLDDALDLFSNMESLGVEPTAYTYILLIDYHGKSGHPGKALETFEKMKARGIAPNIVACNASLYSLAEMGRLGEAKAMFNELKSSGLAPDSVTYNMMMKCYSKVGQVDEAIKLLSEMSKVQCEPDVIVINSLIDTLYKAGRVEEAWQMFCRMEEMNLAPTVVTYNILLAGLGKEGQIQKAVQLFESMNGHGCSPNTITFNTLLDCLCKNDEVDLALKMFYKMTTMNCRPDVLTFNTIIHGFIKQNQIKNAIWLFHQMKKLLRPDHVTLCTLLPGVIKSGQIEDAFRITEDFFYQVGSNIDRSFWEDVMGGILTEAGTEKAILFGERLVCRAICKDDSVLIPIIKVLCKHKKTSVARNVFVKFTKELGVKPTLKVYNLLIDGFLEVHNVEVAWNLFEEMKSAGCAPDTFTYNSLIDAHGKSGKINELFDLYDEMLTRGCKPNTITYNMVISNLVKSNRLDKAMDLYYNLVSGDFSPTPCTFGPLIDGLLKSGRLDDAHEMFDGMVHYGCRPNSAIYNILVNGYGKLGHVDTACEFFKRMVKEGIRPDLKSYTILVDILCIAGRVDDALHYFEKLKQAGLDPDLVAYNLMINGLGRSQRTEEALSLFHEMQNRGIVPDLYTYNSLILNLGIVGMIEEAGKIYEELQFIGLKPNVFTYNALIRGYTLSGNSELAYGIYKKMMVGGCDPNTGTFAQLPNQS >Potri.008G016150.1.v4.1 pep chromosome:Pop_tri_v4:8:815283:815756:1 gene:Potri.008G016150.v4.1 transcript:Potri.008G016150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016150.v4.1 MLKSVCKCWNNLITDACVPKISDSSPLRGFIYHALRVRSGKTYIDYIPCAMTPAVAPEPHEFVKSYSSLLPFEPARGDFLDCCNCLLLFVEGSISQYYVCNPVTKQCVAIPRDFMLENICSAALAFDPFKSPHYKVVCFDYSEPKPPPKIARVLIRD >Potri.006G129100.6.v4.1 pep chromosome:Pop_tri_v4:6:10469707:10477769:-1 gene:Potri.006G129100.v4.1 transcript:Potri.006G129100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129100.v4.1 MINTSNGMISTSSTSANPQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYAHGKTATQVTLANLKDKPAPSTPTAPPSSFSASSGVRSAAARLLGGSNGSRALSFVGGNGGNKSISSSSSRIGSLGASSSSNSMINTNFDGKGTYLIFNVGDAIFISDLNSPDKDPIKSIHFSNSNPVCHAFDQDAKDGHDFLVGLSSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCMSIAWVPGGDGAFVVAHADGNLYVYEKSKDGAGDSSFPVIKDQTQFSVSHARYSKSNPIARWHVSQGSINGIAFSTDGTYLATVGRDGYLRVFDYLKEQLICGGKSYYGALLCCAWSMDVKYILTGGEDDLVQVWSMEDRKVVAWGEGHSSWVSGVAFDSYWSSPNSDGTGENVVYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTFSTGSQSSHWDSVIPVGTLQPAPSMRDVPKLSPVLAHRVHTEPLSGLVFRQESVLTVCREGHIKIWMRPGTSESQSGNSETILSTSLKEKPLLSSKTGSSAYKQ >Potri.006G129100.2.v4.1 pep chromosome:Pop_tri_v4:6:10469704:10477769:-1 gene:Potri.006G129100.v4.1 transcript:Potri.006G129100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129100.v4.1 MINTSNGMISTSSTSANPQSPGLKTYFKTPEGRYKLHYEKTHPSGLLHYAHGKTATQVTLANLKDKPAPSTPTAPPSSFSASSGVRSAAARLLGGSNGSRALSFVGGNGGNKSISSSSSRIGSLGASSSSNSMINTNFDGKGTYLIFNVGDAIFISDLNSPDKDPIKSIHFSNSNPVCHAFDQDAKDGHDFLVGLSSGDVYSVSLRQQLQDVGKKLVGAQHYNKDGSVNNSRCMSIAWVPGGDGAFVVAHADGNLYVYEKSKDGAGDSSFPVIKDQTQFSVSHARYSKSNPIARWHVSQGSINGIAFSTDGTYLATVGRDGYLRVFDYLKEQLICGGKSYYGALLCCAWSMDVKYILTGGEDDLVQVWSMEDRKVVAWGEGHSSWVSGVAFDSYWSSPNSDGTGENVVYRFGSVGQDTQLLLWDLEMDEIVVPLRRCPPGGSPTFSTGSQSSHWDSVIPVGTLQPAPSMRDVPKLSPVLAHRVHTEPLSGLVFRQESVLTVCREGHIKIWMRPGTSESQSGNSETILSTSLKEKPLLSSKTGSSAYKQ >Potri.018G145510.1.v4.1 pep chromosome:Pop_tri_v4:18:15129930:15132316:1 gene:Potri.018G145510.v4.1 transcript:Potri.018G145510.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145510.v4.1 MAFSHYLTYSAYPSAEPIANSVRELFATDIPAQRNVWGLVNGKIGHCLVFKAGLDGDEHVNHSLTTMDARCGEMGFARKVFDEMGDRDLVSWNSMISGYSKMGFAKEAIGLFMEMREEGFEPDEMTLVNVLGACGDLGLGRWMEVNSYVGSALIDMYGKCGDLISARMVFDSMPNKDVVTWNAIITCGINGASNEAIVLFNGMRETGPNPDKVTMIEVLSACSTIGALDLGKWVETHASERGLQHDVYVASELIDMYAKCGSLDDALRVFESMPHENEVSWNAMISALAFHGQALEALSLFRRMSKDNGTVHPNDITFIGVLSACVHAGLVDEGRQLFESMKLSFELVPKVKHYSCMVDLCARAGRLSEAWDLIKKMPGKRDEIVLGSLLGACQRRRNADVGERIYANMRRWDDSAKMRQCGVSKTPGCRWIDVGARAHEFHAGDSLHHHSENIYQLLNEEMKREGHIPNIGCI >Potri.008G054500.1.v4.1 pep chromosome:Pop_tri_v4:8:3197426:3201199:-1 gene:Potri.008G054500.v4.1 transcript:Potri.008G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054500.v4.1 MTTYTAAPPPTPDWDPSSSSDEESPPSSSLTFDKERHVAFLEMMYHMLPCHYQSQEINHLTLAYFVISGLDILGSLDRVDKDAVAAWVLSLQSNPGDKAELNSGQFYGFCGSRSSQFSSDNDGILIQNHSHLASTYCALSILKTVGYNLSNIDSKLISMSIRNLQQPDGSFLPIHIGAETDLRFIYCAAAICFMLEDWSGMDREKTKEYIFKCQSYDGGFGMIPGSESHGGGTYCAVASLCLMGFIEDDVLSKSAASSIIDIPLLLEWCLQRQAADGGFQGRANKPSDTCYAFWVGAVLRILGGSKLIDGTALRGFLLTCQSEYGGFSKFPNELPDLYHSYYGYTALSLLEEPGLNALSVELGITGFAALGI >Potri.001G382500.3.v4.1 pep chromosome:Pop_tri_v4:1:40227881:40229621:-1 gene:Potri.001G382500.v4.1 transcript:Potri.001G382500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382500.v4.1 MDTKFQALPPLKRHRLLQQHQQENKENVPIALQLPAKKRKESRNPLLADAAPQVTTTAAYCLPTKKRVWALHPDLVSGKPLSPFNLNVEYNPHSFNEEIKANEENKKTPLDIIIDKSIESEQEDLKKEEEVTVLDENNQEECKEEEEKEEEEEEEDDGILCAICRSTDGDPTDPIVFCDGCDLMVHTTCYGNPLIKGVPDGDWFCIQCLASKSYRSESKQSSLSCCFCPTKGGALKPTTTKGVDGSWAHVVCALLIPEVFFDDPDGREGINCSKVPKRRWEGKCYVCKSRTGCVIECSEPKCPLAFHVTCGLNEDLCIEFKEGKKRETIVAGFCKSHTELWDKVKKIAPLAF >Potri.001G382500.1.v4.1 pep chromosome:Pop_tri_v4:1:40227713:40230008:-1 gene:Potri.001G382500.v4.1 transcript:Potri.001G382500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382500.v4.1 MDTKFQALPPLKRHRLLQQHQQENKENVPIALQLPAKKRKESRNPLLADAAPQVTTTAAYCLPTKKRVWALHPDLVSGKPLSPFNLNVEYNPHSFNEEIKANEENKKTPLDIIIDKSIESEQEDLKKEEEVTVLDENNQEECKEEEEKEEEEEEEDDGILCAICRSTDGDPTDPIVFCDGCDLMVHTTCYGNPLIKGVPDGDWFCIQCLASKSYRSESKQSSLSCCFCPTKGGALKPTTTKGVDGSWAHVVCALLIPEVFFDDPDGREGINCSKVPKRRWEGKCYVCKSRTGCVIECSEPKCPLAFHVTCGLNEDLCIEFKEGKKRETIVAGFCKSHTELWDKQQQSGKFKIVAREEHRK >Potri.014G166400.6.v4.1 pep chromosome:Pop_tri_v4:14:12059865:12062467:-1 gene:Potri.014G166400.v4.1 transcript:Potri.014G166400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166400.v4.1 MHSRRLCIYLFMLYMVSTLFQVCSTWRAVSRSDPLWHRLTRGIWGRTNLFHDTWREEYIYRHQTAQNFRSGRAVHFALHFDPADVDDPNDPDALICRCLAFSDRYLACGFADGAVRLFDLTTRLHARTFRPEHHDRLGRFSRAVSGIVITATRLVFATLDGDIHVAAVNSNANPRRARLGEVLNDGALVDFTGRGRWWVGLYAGLPGRAYRVWDGNTEEPLFEGGALTDPEAVLGWHTLTEVTEFVGRVRVTSQESVVACTSSRLVIFDLGDLGAVLREEDYTNRRGILVGSFDVCNEAYVIVDGRGNASVRRADTSEEMCGFTVRPPRGVLGCMNGGYVLTCAGGVVRVWQIEQPGRQEYLYSFRERIGEVNALVADERHVAAASSDTNIHLWDFGAQ >Potri.014G166400.8.v4.1 pep chromosome:Pop_tri_v4:14:12057682:12062467:-1 gene:Potri.014G166400.v4.1 transcript:Potri.014G166400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166400.v4.1 MVCSTWRAVSRSDPLWHRLTRGIWGRTNLFHDTWREEYIYRHQTAQNFRSGRAVHFALHFDPADVDDPNDPDALICRCLAFSDRYLACGFADGAVRLFDLTTRLHARTFRPEHHDRLGRFSRAVSGIVITATRLVFATLDGDIHVAAVNSNANPRRARLGEVLNDGALVDFTGRGRWWVGLYAGLPGRAYRVWDGNTEEPLFEGGALTDPEAVLGWHTLTEVTEFVGRVRVTSQESVVACTSSRLVIFDLGDLGAVLREEDYTNRRGILVGSFDVCNEAYVIVDGRGNASVRRADTSEEMCGFTVRPPRGVLGCMNGGYVLTCAGGVVRVWQIEQPGRQEYLYSFRERIGEVNALVADERHVAAASSDTNIHLWDFGAQ >Potri.014G166400.3.v4.1 pep chromosome:Pop_tri_v4:14:12057682:12065521:-1 gene:Potri.014G166400.v4.1 transcript:Potri.014G166400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166400.v4.1 MSSSSSSSSSSSSGNGNGSGGGNYGARRAGEYEGPSRSRPRAINEVWPEPFLEALAAQVAIDASRLVGRLVAAQALANVFQVCSTWRAVSRSDPLWHRLTRGIWGRTNLFHDTWREEYIYRHQTAQNFRSGRAVHFALHFDPADVDDPNDPDALICRCLAFSDRYLACGFADGAVRLFDLTTRLHARTFRPEHHDRLGRFSRAVSGIVITATRLVFATLDGDIHVAAVNSNANPRRARLGEVLNDGALVDFTGRGRWWVGLYAGLPGRAYRVWDGNTEEPLFEGGALTDPEAVLGWHTLTEVTEFVGRVRVTSQESVVACTSSRLVIFDLGDLGAVLREEDYTNRRGILVGSFDVCNEAYVIVDGRGNASVRRADTSEEMCGFTVRPPRGVLGCMNGGYVLTCAGGVVRVWQIEQPGRQEYLYSFRERIGEVNALVADERHVAAASSDTNIHLWDFGAQ >Potri.014G166400.2.v4.1 pep chromosome:Pop_tri_v4:14:12057682:12065521:-1 gene:Potri.014G166400.v4.1 transcript:Potri.014G166400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166400.v4.1 MSSSSSSSSSSSSGNGNGSGGGNYGARRAGEYEGPSRSRPRAINEVWPEPFLEALAAQVAIDASRLVGRLVAAQALANVFQVCSTWRAVSRSDPLWHRLTRGIWGRTNLFHDTWREEYIYRHQTAQNFRSGRAVHFALHFDPADVDDPNDPDALICRCLAFSDRYLACGFADGAVRLFDLTTRLHARTFRPEHHDRLGRFSRAVSGIVITATRLVFATLDGDIHVAAVNSNANPRRARLGEVLNDGALVDFTGRGRWWVGLYAGLPGRAYRVWDGNTEEPLFEGGALTDPEAVLGWHTLTEVTEFVGRVRVTSQESVVACTSSRLVIFDLGDLGAVLREEDYTNRRGILVGSFDVCNEAYVIVDGRGNASVRRADTSEEMCGFTVRPPRGVLGCMNGGYVLTCAGGVVRVWQIEQPGRQEYLYSFRERIGEVNALVADERHVAAASSDTNIHLWDFGAQ >Potri.014G166400.7.v4.1 pep chromosome:Pop_tri_v4:14:12057682:12062467:-1 gene:Potri.014G166400.v4.1 transcript:Potri.014G166400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166400.v4.1 MVCSTWRAVSRSDPLWHRLTRGIWGRTNLFHDTWREEYIYRHQTAQNFRSGRAVHFALHFDPADVDDPNDPDALICRCLAFSDRYLACGFADGAVRLFDLTTRLHARTFRPEHHDRLGRFSRAVSGIVITATRLVFATLDGDIHVAAVNSNANPRRARLGEVLNDGALVDFTGRGRWWVGLYAGLPGRAYRVWDGNTEEPLFEGGALTDPEAVLGWHTLTEVTEFVGRVRVTSQESVVACTSSRLVIFDLGDLGAVLREEDYTNRRGILVGSFDVCNEAYVIVDGRGNASVRRADTSEEMCGFTVRPPRGVLGCMNGGYVLTCAGGVVRVWQIEQPGRQEYLYSFRERIGEVNALVADERHVAAASSDTNIHLWDFGAQ >Potri.008G158400.1.v4.1 pep chromosome:Pop_tri_v4:8:10851389:10853839:1 gene:Potri.008G158400.v4.1 transcript:Potri.008G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158400.v4.1 MLAVFDNTVAKCPDALQSPHSAPASSALKDGFLANHFASQHPGSVTVNLGTSGLISHSVEKQNPFLPRLFAVVDDIFCLFQGHIDNVAVLKQQYGLNKTANEVIVVIEAYRTLRDRGPYPADQVVKDIQGKFAFILYDSTSKATFFAADADGSVPFFWGTDSEGNLVLSDDVQIVQKGCGKSFAPFPKGCFFTTSGGLRSFEHPLNELKPVPRVDSSGQVCGATFKVDAETKKESVGMPRVDSSYNWSSNY >Potri.008G142980.1.v4.1 pep chromosome:Pop_tri_v4:8:9719530:9720629:-1 gene:Potri.008G142980.v4.1 transcript:Potri.008G142980.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142980.v4.1 MARLLSEMGRVQDARNVFEEILVYNPLSFEALFENALLMDRCGEGEAVIRRLQEALDIAGEENKVKEARNVRLIMAQMQFLQKNVEKALKSYQELSKEDPKDFGPYFCRGIIYSLLDRNEGVKEQFAKYRELSPKKFEVEGYLRTSLSRMKLFGSNEKN >Potri.018G138202.1.v4.1 pep chromosome:Pop_tri_v4:18:15836160:15837268:-1 gene:Potri.018G138202.v4.1 transcript:Potri.018G138202.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138202.v4.1 MDKDGIELLDNEASLDYLCNLPPHRYEGVYVDKLPETVTGEEFIKKYVNHEDSVTTIDPKHIYAVKAPTRHPVYENFRVEAFKALLTATTSDGQLSALGELMYQAGRIGTEMQHSKASKSENGTLYGAKITGGGSGGTVCVIGKNFVGSNEQILEIQRRYHVATGFKPYVLRVLQGQESSDT >Potri.010G012000.1.v4.1 pep chromosome:Pop_tri_v4:10:2224271:2226254:1 gene:Potri.010G012000.v4.1 transcript:Potri.010G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G012000.v4.1 MMDMKGGVGVGGGRVGVGEEDLGDGMQCSDHPYRNNPGGICAFCLQEKLGKLVSSSFPLPIRGSSSSSSSPSFRSVIGVGGSSNVGAGTSLSLAARPTTTKCRNDGGSNSHYQEYYTRRARIPFLLAKKKKKIMVASSTSDRDIVFKRSKSTTTPRRSHFLDAATDDGEDFSPRRRGFWSFLYLSSSKPGTSTKKIEKVSSLASSTRAITTTSTNGSTVRPKEKCLGSSLSRKGDSIVVVEDDDDSPNSQATASASTFERKVSRSRSVGCGSRSFSGDFFERISTGFGDCTLRRVESQREGKPKVTSGASHMKERVRCGGIFGGFNITSSSSSSSSSSYWVSSSAEDMNGKSSGAGPLAHGRSRSWGWAFASPMRAFGSKPSSKDGKRNIKHTTPNLSAIPSLLAVRG >Potri.005G117700.1.v4.1 pep chromosome:Pop_tri_v4:5:8629178:8631753:-1 gene:Potri.005G117700.v4.1 transcript:Potri.005G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117700.v4.1 MEISWHTKTFSLLLLFIFSTLAPSKACHPVDKEALLDFKHKITDDPSKLLLSWTVSSDCCTSWEGVACDASGRVVNVSRPGLVSDNNDFIEDTYMSGTLSPSLGNLSSLQLLDLSNLKDLKGPIPQELGKLSKLTHLFLDTNKLTGSIPITLRYFSQLKKIYLSDNFLSGIVPPSVMKSWTSVSELGLSGNALSGPIPPTIGKLVMVTKLDLHENNFTGSIPTSIGNLKNLKYLDLSENQIAGSIPQSIGGLAALELLYVNQNHITGRIPSSISGLSSMIFCRLSENKLSGSLPPSIGLLSKIQRLILENNKLTGKLPATVGRLTTLTDIFFSNNYFTGKIPSSFVNLLNLQTLDLSRNRLSGQLPPQLAKLKSLQALDLSYNPLGLVRIPDWFQELRVFQLMLAKTGIEGELPHWLSSSSISQLDLSSNALTGKLPRWIGNMTRLSFLNLSNNGFHSSIPVEFKNLSLLMDLDIHSNKFSGRLNVIFSKEAQDPLGHFNSIDLSSNMFTGPVDDDIGERPAMASIHSLILSDNTFRGPLPKSVGKLGELQVLKLVNTGLSGTIPVELGDAKELSTILLSKNKLTGAIPEIVLNLKELKQFDVSSNKLRGRIPPHKAIIPASAFKNNPGLCGPPLPPCKRI >Potri.003G114200.4.v4.1 pep chromosome:Pop_tri_v4:3:13650764:13652416:1 gene:Potri.003G114200.v4.1 transcript:Potri.003G114200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114200.v4.1 MGDFSVQITPELVNRFANDGEKLKKKAKKTIPKTRRETPLLKAKVNEKQLHDDSETHKRIASPGWPVQPPLYLPITQTVHPANAELDAIRSVIQESERVLEKFQKQEDNMVQQVTERAKNLCDKEFKLPNQKPMPCLVDYNACRACYKEHADDILKCAPLTKSYYECVRRAKQQQNSADK >Potri.003G114200.5.v4.1 pep chromosome:Pop_tri_v4:3:13651507:13652049:1 gene:Potri.003G114200.v4.1 transcript:Potri.003G114200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114200.v4.1 MGDFSVQITPELVNRFANDGEKLKKKAKKTIPKTRRETPLLKAKVNEKQLHDDSETHKRIASPGWPVQPPLYLPITQTVHPANAELDAIRSVIQESERVLEKFQKQEDNMVQQVTERAKNLCDKEFKLPNQKPMPCLVDYNACRACYKEHADDILKCAPLTKSYYECVRRAKQQQNSADK >Potri.011G151550.1.v4.1 pep chromosome:Pop_tri_v4:11:17859658:17859990:1 gene:Potri.011G151550.v4.1 transcript:Potri.011G151550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151550.v4.1 MAFLLVRDSLIRIDLSLGEKRVVVDAYYIAYRPFIVRSPFDSSKDSWVKSNRQEFCHSMVSFLIKEPVMSELEFGMSSIQKGLLHCHVVLLAPYDTIIFHSVGGELNLLN >Potri.001G172700.1.v4.1 pep chromosome:Pop_tri_v4:1:14838997:14840364:-1 gene:Potri.001G172700.v4.1 transcript:Potri.001G172700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172700.v4.1 MNRPGDWNCRSCQHLNFQRRDSCQRCGDPRSAGDFGGFGGRGGSSLGFTGSDVRPGDWYCTAGNCGAHNFASRSSCFKCGVYKEMDSAGGFDSDFARTRGFGGSTGGGNRSGWKSGDWICTRWGCNEHNFASRMECFKCNAPRDLSNRTSY >Potri.010G007402.1.v4.1 pep chromosome:Pop_tri_v4:10:753203:762667:-1 gene:Potri.010G007402.v4.1 transcript:Potri.010G007402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007402.v4.1 MEGDVSSDNGAILESMGRVLWQTSLATVMHAGLPKMLASSGYKSQRRSRTKKKEEKTRGGGGTKRERQRKVRKQRGKNRKKKRRDREEKGEQKEGEAEQQGERDNKEKQREERKGYSEEKNREVTATREESNKSRGRGEHKEEKTEGGRA >Potri.019G091300.1.v4.1 pep chromosome:Pop_tri_v4:19:12926808:12929904:1 gene:Potri.019G091300.v4.1 transcript:Potri.019G091300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091300.v4.1 MGMKSTAGEIIQVQGGHIVRSTGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKSSIDKLAELPPWQPTANNANANLEANQNAGSSEMTIAEEPESSGYTFQLHRQLTDHNPSNDSSFLAPTIDPDAMKSFFPTSSTNSSMNFQSYPTVISRTTNHTEDLGLSLHSFQDQGLLHGQSQADTTHTPSTDDQTLFEGSATVGFDVNFHRMLAWSNDTNAENRVAGGFTFNPPPLTPHQAMLAQASAFSQRGPLQSSFPHSIRSWNDLHMASTDHHRTQEFHQSSIFGSRFVSDGLSGFSIPARIHGGDEQNVVSDRPSSSSPNSQN >Potri.001G133600.1.v4.1 pep chromosome:Pop_tri_v4:1:10867381:10870081:-1 gene:Potri.001G133600.v4.1 transcript:Potri.001G133600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133600.v4.1 MQRLCTKLRSLASVSSSHRLLHSSPQFYHRPLHFAAASSKWSLNGSLLNTSSSLPIQLPSLPFLPSFRLSPLSDPLVQVRHVSSRERKKNRKPMTPLTSKVKKFKMKAYSSYKDRFRTMNDGTIRRWREGKNHNAHLKSKKSRRRLRQPSTVPAAYAKVMKKLSFCV >Potri.011G035600.1.v4.1 pep chromosome:Pop_tri_v4:11:2701345:2705709:-1 gene:Potri.011G035600.v4.1 transcript:Potri.011G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035600.v4.1 MSLSKILSLIIHASMDTKRTLFSNAIVLFMASILFASCCGIDIINQTHFISDSKNESLISSIGNFKLGFFSPGNSPSRYVGIWFNKVSKQTVVWVANREIPLKKSAGIFKIAADGNLAVVDSKGRTPLWSTNISMPNANSSAKLLPSGNLVLVVKNNSGNSESIVWQSFDYPTDTILPGMRFGLNRETGLNQFLTSWKSSDDPAPGDFSFGLNPNGSPQYFLYRNLTPFWRVGPWNGRSLSGTPDISTGVKSNRPDFSNEAGFLNYSFVSNKQGTYITFYLRNTSVFSSMVLEPTGIVKRVTWREDSQDWALFWLEPDGSCDVYANCGSYSICNFNNAIKCSCLPGFEPLSPHDWHTCVEKRKFQCGKGAGEGFLKIANVKIPDATRTRAYTNLSLKECEMECLRSCNCSGYASLDINNEGQGCLAWYGELNDMQQYTDEGQDFHLRVEAGELAAYAKNSSKSSTATNWIVRVIVLFAIALLLLFVSIYLHSRKKRARKGHLEKRRRCELLSLDPENRMSNSEDLTSAHECEENLNITFYDLGTIRAATDNFSSERKLGEGGFGPVYKGKLSNGKEVAIKRLSKSSEQGIDEFKNEVLLIAKLQHRNLVKLLGCCIEAEEKMLIYEYMPNKSLDYLIFDQSRKASLEWEKRFEIIMGIARGILYLHQDSRLRIIHRDLKTSNVLLDEEMNAKISDFGTARIFCGNQNQANTNRVVGTFGYMSPEYALDGLFSVKSDVFSFGVLLLEIISGRKNIGFFKEDLSSNLIRYTWNLWKDGNALEMMDLSIRQSCPSSEVLRCIHVGLLCVQDCAANRPTMSEIIFMLSTDTTLPSPTQPTFSITRSQNDPSFPAIDTSSSVNQVTISLVDAR >Potri.013G099500.1.v4.1 pep chromosome:Pop_tri_v4:13:10471347:10481171:1 gene:Potri.013G099500.v4.1 transcript:Potri.013G099500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099500.v4.1 MALSAASISHNNTPRILSSSSNTFSQLNNQKKISASPHFSLLSLTPRSSLSRSSFVSQWGRREPYYLHGHVSCSVKAVLLDESKEKLHLPKGDTWSVHKFGGTCVGSWERIKNVAQIIVQDSSEGKLVVVSAMSKVTDMMYDLIDKAQSRDGSYVSAVDAVFEKHKLTAMDLLDGDDLASFLSRLHHDINNLKAMLRAIYIAGHATESFSDFVVGHGELWSAQMLSYVVRKNGLDCEWMDTREVLIVNPSGSNQVDPDFAESEKRLEEWFSRHPSKTIVATGFIASTQQNIPTTLKRDGSDFSAAIMGALVRARQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEAWEMSYFGANVLHPRTIMPVMRYDIPILIRNVFNLSAPGTMICRPAENEDGQKLESLVKGFATIDNVALVNVEGTGMAGVPGTASAIFGAVKDVGANVIVISQASSEHSVCFAVPEKEVAAVAEALKSRFHEALNAGRLSQVAVIPNCSILAAVGQKMASTHGVSATLFNALAKANINVRAIAQGCSEYNITVVIKRGDCIRALRAVHSRFYLSKTTIAMGIIGPGLIGATLLDQLRDQAAVLKEDFNIDLRVMGITGSRTMLLNDVGIDLSRWRELVKDKGEVADLEKFRQHVHGNHFLPNTVLVDCTADSNVASCYHDWLRRGIHVITPNKKANSGPLDQYLKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFKGTRAFSNVVAEAKQAGYTEPDPRDDLSGTDVARKVIILARETGLKLELSDIPVQSLVPEPLRASASAEEFMQQLPQFDNEMARARQEAEDAGDVLRYVGVVDAESQQGLVELRRYKKDHPFAQLAGSDNIIAFTTTRYKKQPLIVRGPGAGAQVTAGGIFSDILLLASYLGAPS >Potri.019G026101.1.v4.1 pep chromosome:Pop_tri_v4:19:3770887:3771764:1 gene:Potri.019G026101.v4.1 transcript:Potri.019G026101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026101.v4.1 MKAGVRCFEDEDDEHRLIETVLFLGLEWLFPIWSLKFLNPCIQTPGLLIFKIYPP >Potri.007G067200.2.v4.1 pep chromosome:Pop_tri_v4:7:8614490:8617757:1 gene:Potri.007G067200.v4.1 transcript:Potri.007G067200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067200.v4.1 MAKLMKRKTKTLIVIFIISVILSLKFPSEIEEEERAKREKLEKEEKTFSVFLQRESATSTRERVVSLADELHGLEYDFYRQNCPQAESIVRSTMARIYMQQNDISFGLLRLLFHDCFIKGCDASVFLDDSNGNKNRSIERQAAPNKTLRGINEIDMIKEELDNACPGVVSCADSLALATRDAVVLAGGPFYPVFTGRRDSTQSYFDEAMDEIPKPNDNITRTLFLFSRRGFDERETVNLLGTYCAHNVGKISCDFIRNRLTNFSGTGQPDASVDHDFLNELRLACQDSNSTNHDGTVASMTSREMRNSSSAMIFQGSSASIPSGARFDNHYYQNLLGGRGLLFADQQLMADENTARFVAVYASDDGTTFRRDFSRSMVKMSNLGVLTGTLGQVRNKCSFPTSQS >Potri.007G067200.1.v4.1 pep chromosome:Pop_tri_v4:7:8614490:8617756:1 gene:Potri.007G067200.v4.1 transcript:Potri.007G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067200.v4.1 MAKLMKRKTKTLIVIFIISVILSLKFPSEIEEEERAKREKLEKEEKTFSVFLQRESATSTRERVVSLADELHGLEYDFYRQNCPQAESIVRSTMARIYMQQNDISFGLLRLLFHDCFIKGCDASVFLDDSNGNKNRSIERQAAPNKTLRGINEIDMIKEELDNACPGVVSCADSLALATRDAVVLAGGPFYPVFTGRRDSTQSYFDEAMDEIPKPNDNITRTLFLFSRRGFDERETVNLLGAHNVGKISCDFIRNRLTNFSGTGQPDASVDHDFLNELRLACQDSNSTNHDGTVASMTSREMRNSSSAMIFQGSSASIPSGARFDNHYYQNLLGGRGLLFADQQLMADENTARFVAVYASDDGTTFRRDFSRSMVKMSNLGVLTGTLGQVRNKCSFPTSQS >Potri.014G157100.1.v4.1 pep chromosome:Pop_tri_v4:14:11087934:11094700:-1 gene:Potri.014G157100.v4.1 transcript:Potri.014G157100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157100.v4.1 MAKTKPGKKDLDSYTIKGTNKVVRPGDCVLMRPSDTDKLPYVARIEKIEADHRNNVKVRVRWYYRPEESIGGRRQFHGAKELFLSDHYDVQSAHTIEGKCTVHSFKNYTKLENVGAEDYFCRFEYKASTGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWFHPSCMGMTIEEAKKSDHFLCSDCSSDDDAKRSLNVFPVSPSLEVKVETKRRKR >Potri.019G080800.6.v4.1 pep chromosome:Pop_tri_v4:19:12119292:12125749:-1 gene:Potri.019G080800.v4.1 transcript:Potri.019G080800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080800.v4.1 MLRFTSCFFQIFVWLSSWETNSCGYHGDDGNLYSGHGKGETFGPTFTTNDTVGAGINYASQEFFFTKNGAVVGGVYKEIKGPLFPTVAVHSQNEEIEVNFGQREFAFDLKEYERQEAMKQKSTVDKISLPPNISYGLVRSYLLHYGYEETLNAFDVASQSTIPPICIAQENGSGEQDIAYALTHRKTLRQLIRNGEIDAALSNLRDWYPQIMQDEKSAACFLLHSQKFIELVRVGALGDAVTYGRIELAKFFKLPPFDDLVRDCVALLAYEQPQKCSAGYLLEDSQREIVADAVNAMILLTDPNVKDSQSCLRSHLERLLRQLTVCCLERRSFNGDQGEVFHLHRVL >Potri.019G080800.2.v4.1 pep chromosome:Pop_tri_v4:19:12119294:12125746:-1 gene:Potri.019G080800.v4.1 transcript:Potri.019G080800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080800.v4.1 MSSTNSTAINSNSKNGINQDPGSYFIDVARQYSSPIGGETELEPKELNTLNSSGGFLVVSTDKLSVKYPSVNLHGHDVGVIQADKPVPDKRLVYYFEIFVKNAGAKGQIAIGFTSQGFKMRRQPGWETNSCGYHGDDGNLYSGHGKGETFGPTFTTNDTVGAGINYASQEFFFTKNGAVVGGVYKEIKGPLFPTVAVHSQNEEIEVNFGQREFAFDLKEYERQEAMKQKSTVDKISLPPNISYGLVRSYLLHYGYEETLNAFDVASQSTIPPICIAQENGSGEQDIAYALTHRKTLRQLIRNGEIDAALSNLRDWYPQIMQDEKSAACFLLHSQKFIELVRVGALGDAVTYGRIELAKFFKLPPFDDLVRDCVALLAYEQPQKCSAGYLLEDSQREIVADAVNAMILLTDPNVKDSQSCLRSHLERLLRQLTVCCLERRSFNGDQGEVFHLHRVL >Potri.010G216100.4.v4.1 pep chromosome:Pop_tri_v4:10:20325512:20332825:1 gene:Potri.010G216100.v4.1 transcript:Potri.010G216100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216100.v4.1 MKAVYDYLFNDVSIYFKELAPESDQGQSTQDMAEVTAESGTLQEGKSTEKGKDKEEKDKEKVKDPEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYFNSKSNRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSFMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVSVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLNLILVFGHDTPEQDVLDPPEDCFRIRMVIILLETCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDVEFDLQDLFVELRPNMIRYTSIEEVNAALIEHEENERIVSTDKANSEKHSDIDKRLSRTTSSIISTNGQRTTNGNEENGLHDIGGSDTDSGSGTIDQDGHDEEELDEENHDDRCDTEDEDDGGGGPASDEDDEVHVRQKFAEADPHEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGPPRDHHGRGVGGESGDEDEGAGGNKDVQVKVLVKRGNKQQTKQMYIPRDCSLVQSTKQKEAAEFEEKRDIKRLVLEYNDREEEENNGLGNQTLNWMPGGTSRVTSRSSTWEGSRGRGAGSRYGYYHHSGSGLYHGRRR >Potri.010G216100.2.v4.1 pep chromosome:Pop_tri_v4:10:20319845:20332888:1 gene:Potri.010G216100.v4.1 transcript:Potri.010G216100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216100.v4.1 MDHHEDESRGGSETPRKEDDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMEELRNVNLSKFVSEAVTSICDAKLRTSDIQAAVQICSLLHQRYKDFSPSLVQGLLKVFFPVKSGEDLDVDKNSKAMKKRSTLKLLLELFFVGVTEDSSVFINIIKDLTSAEHLKDRDTTQTNLTLLASFARQGRVFLGLPLSGQEIHEEFFKGLNITTDQKKIFRKAFHAYYDAVAELLQSDHASLRQMEHENAKILNAKGELSDENVSSYEKLRKSYDHLYRNVSSLAEALHMQPPVMPEDGHTTRLTSGEDISSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEVEPKANDHSVKTQDQQSELAPESDQGQSTQDMAEVTAESGTLQEGKSTEKGKDKEEKDKEKVKDPEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYFNSKSNRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSFMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVSVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLNLILVFGHDTPEQDVLDPPEDCFRIRMVIILLETCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDVEFDLQDLFVELRPNMIRYTSIEEVNAALIEHEENERIVSTDKANSEKHSDIDKRLSRTTSSIISTNGQRTTNGNEENGLHDIGGSDTDSGSGTIDQDGHDEEELDEENHDDRCDTEDEDDGGGGPASDEDDEVHVRQKFAEADPHEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGPPRDHHGRGVGGESGDEDEGAGGNKDVQVKVLVKRGNKQQTKQMYIPRDCSLVQSTKQKEAAEFEEKRDIKRLVLEYNDREEEENNGLGNQTLNWMPGGTSRVTSRSSTWEGSRGRGAGSRYGYYHHSGSGLYHGRRR >Potri.010G216100.3.v4.1 pep chromosome:Pop_tri_v4:10:20319880:20332888:1 gene:Potri.010G216100.v4.1 transcript:Potri.010G216100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216100.v4.1 MDHHEDESRGGSETPRKEDDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMEELRNVNLSKFVSEAVTSICDAKLRTSDIQAAVQICSLLHQRYKDFSPSLVQGLLKVFFPVKSGEDLDVDKNSKAMKKRSTLKLLLELFFVGVTEDSSVFINIIKDLTSAEHLKDRDTTQTNLTLLASFARQGRVFLGLPLSGQEIHEEFFKGLNITTDQKKIFRKAFHAYYDAVAELLQSDHASLRQMEHENAKILNAKGELSDENVSSYEKLRKSYDHLYRNVSSLAEALHMQPPVMPEDGHTTRLTSGEDISSPAAGKDSSVLEALWDDEDTRAFYECLPDLRAFVPAVLLGEVEPKANDHSVKTQDQQSELAPESDQGQSTQDMAEVTAESGTLQEGKSTEKGKDKEEKDKEKVKDPEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVEFCYFNSKSNRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSFMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRSPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVSVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLNLILVFGHDTPEQDVLDPPEDCFRIRMVIILLETCGHYFDRGSSKRKLDRFLIHFQRYILSKGALPLDVEFDLQDLFVELRPNMIRYTSIEEVNAALIEHEENERIVSTDKANSEKHSDIDKRLSRTTSSIISTNGQRTTNGNEENGLHDIGGSDTDSGSGTIDQDGHDEEELDEENHDDRCDTEDEDDGGGGPASDEDDEVHVRQKFAEADPHEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGPPRDHHGRGVGGESGDEDEGAGGNKDVQVKVLVKRGNKQQTKQMYIPRDCSLVQSTKQKEAAEFEEKRDIKRLVLEYNDREEEENNGLGNQTLNWMPGGTSRVTSRSSTWEGSRGRGAGSRYGYYHHSGSGLYHGRRR >Potri.001G137900.1.v4.1 pep chromosome:Pop_tri_v4:1:11275627:11278275:1 gene:Potri.001G137900.v4.1 transcript:Potri.001G137900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137900.v4.1 MALFFTSHSSLFLSPSSSINPNNPFPLSKSPQLPSLSFSCKSSKTLRFHPQITKQPRFPTHLTRTSSSVPSSDPDPEPNPTPVSITDEWGEKAEPEPEYPKDADSDPTRNDDEWGEQFVAAGNGTAAAPSTSSAVVVEKDGRIEDLKRCLVDTVYGTEFGFRATPEIRAEVLELVNQLEAVNPTSAPVDTTGVLDGKWVLVYTAFSELLPLLAAGATPLLKVKSISQTIDSSNLSIVNSTTLSSPFATFSFSASATFEVRTPSRIQVEFKEGTLQPPEINSNIDLPENVELFGQKINLSPVQQSLNPLQEAAANIGRTISGQPPLKVPIPGKQASSWLLITYLDEDLRISRGDGGLFVLAKEGSPLLEL >Potri.002G252800.14.v4.1 pep chromosome:Pop_tri_v4:2:24164753:24170476:-1 gene:Potri.002G252800.v4.1 transcript:Potri.002G252800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252800.v4.1 MNPYVPDFEMDDDYSLPPPPSTHTRPRKPAMQEEEIMELLWQNGQVVMHSQRSQKKSSPPPSELDDAVLPADQLPGTKEIRSSHDQQQEHHHLFMQEDEMASWLNHPLNDTNFDHDFCADLLYPPTASTASITREAAVTNAAASTVRGATQRMEARSYPAVSAPRPPIPPVRRAEVVQNFAYFSRHRAGGVSESGRSNSKSVVRESTVVDSCETPTARISETAFARSADNTCGTINGAAVAGTVSSAPSSNRETMTNPCEMTSTSSPGCSSASAELPALMSPVEDRKRKGREEEAECHSEDAEFESADAKKRIRGSMSSKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFPGFQQYMPPMGIGMGMGMEMGLSRPMMPFPNILAGAPSATPAAAAHLVPRFPVPPFHVPPIPAPDPSRVQPTNQVDPMLGSPGQQNPNQPRVPNFVDPYQHYLGLYQMHLPGVPRNQAMAQPSTSKPSTSRVAENPGNHQSGKMLYHISIFLRSPLDMI >Potri.002G252800.13.v4.1 pep chromosome:Pop_tri_v4:2:24164761:24170472:-1 gene:Potri.002G252800.v4.1 transcript:Potri.002G252800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252800.v4.1 MNPYVPDFEMDDDYSLPPPPSTHTRPRKPAMQEEEIMELLWQNGQVVMHSQRSQKKSSPPPSELDDAVLPADQLPGTKEIRSSHDQQQEHHHLFMQEDEMASWLNHPLNDTNFDHDFCADLLYPPTASTASITREAAVTNAAASTVRGATQRMEARSYPAVSAPRPPIPPVRRAEVVQNFAYFSRHRAGGVSESGRSNSKSVVRESTVVDSCETPTARISETAFARSADNTCGTINGAAVAGTVSSAPSSNRETMTNPCEMTSTSSPGCSSASAELPALMSPVEDRKRKGREEEAECHSEDAEFESADAKKRIRGSMSSKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFPGFQQYMPPMGIGMGMGMEMGLSRPMMPFPNILAGAPSATPAAAAHLVPRFPVPPFHVPPIPAPDPSRVQPTNQVDPMLGSPGQQNPNQPRVPNFVDPYQHYLGLYQMHLPGVPRNQAMAQPSTSKPSTSRVAENPGNHQSG >Potri.002G252800.15.v4.1 pep chromosome:Pop_tri_v4:2:24164752:24170271:-1 gene:Potri.002G252800.v4.1 transcript:Potri.002G252800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252800.v4.1 MKCRQEEEIMELLWQNGQVVMHSQRSQKKSSPPPSELDDAVLPADQLPGTKEIRSSHDQQQEHHHLFMQEDEMASWLNHPLNDTNFDHDFCADLLYPPTASTASITREAAVTNAAASTVRGATQRMEARSYPAVSAPRPPIPPVRRAEVVQNFAYFSRHRAGGVSESGRSNSKSVVRESTVVDSCETPTARISETAFARSADNTCGTINGAAVAGTVSSAPSSNRETMTNPCEMTSTSSPGCSSASAELPALMSPVEDRKRKGREEEAECHSEDAEFESADAKKRIRGSMSSKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFPGFQQYMPPMGIGMGMGMEMGLSRPMMPFPNILAGAPSATPAAAAHLVPRFPVPPFHVPPIPAPDPSRVQPTNQVDPMLGSPGQQNPNQPRVPNFVDPYQHYLGLYQMHLPGVPRNQAMAQPSTSKPSTSRVAENPGNHQSGCDGEKMAQAFPSSQAKKQEWKMGKGINGVMLRGCPPLSQ >Potri.002G252800.9.v4.1 pep chromosome:Pop_tri_v4:2:24164762:24170521:-1 gene:Potri.002G252800.v4.1 transcript:Potri.002G252800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252800.v4.1 MNPYVPDFEMDDDYSLPPPPSTHTRPRKPAMQEEEIMELLWQNGQVVMHSQRSQKKSSPPPSELDDAVLPADQLPGTKEIRSSHDQQQEHHHLFMQEDEMASWLNHPLNDTNFDHDFCADLLYPPTASTASITREAAVTNAAASTVRGATQRMEARSYPAVSAPRPPIPPVRRAEVVQNFAYFSRHRAGGVSESGRSNSKSVVRESTVVDSCETPTARISETAFARSADNTCGTINGAAVAGTVSSAPSSNRETMTNPCEMTSTSSPGCSSASAELPALMSPVEDRKRKGREEEAECHSEDAEFESADAKKRIRGSMSSKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQMMSMGCSMVPMMFPGFQQYMPPMGIGMGMGMEMGLSRPMMPFPNILAGAPSATPAAAAHLVPRFPVPPFHVPPIPAPDPSRVQPTNQVDPMLGSPGQQNPNQPRVPNFVDPYQHYLGLYQMHLPGVPRNQAMAQPSTSKPSTSRVAENPGNHQSGCDGEKMAQAFPSSQAKKQEWKMGKGINGVMLRGCPPLSQ >Potri.002G252800.16.v4.1 pep chromosome:Pop_tri_v4:2:24164751:24170517:-1 gene:Potri.002G252800.v4.1 transcript:Potri.002G252800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252800.v4.1 MNPYVPDFEMDDDYSLPPPPSTHTRPRKPAMQEEEIMELLWQNGQVVMHSQRSQKKSSPPPSELDDAVLPADQLPGTKEIRSSHDQQQEHHHLFMQEDEMASWLNHPLNDTNFDHDFCADLLYPPTASTASITREAAVTNAAASTVRGATQRMEARSYPAVSAPRPPIPPVRRAEVVQNFAYFSRHRAGGVSESGRSNSKSVVRESTVVDSCETPTARISETAFARSADNTCGTINGAAVAGTVSSAPSSNRETMTNPCEMTSTSSPGCSSASAELPALMSPVEDRKRKGREEEAECHSEDAEFESADAKKRIRGSMSSKRSRAAEVHNLSERRRRDRINEKMRALQELIPRCNKSDKASMLDEAIEYLKSLQLQVQVYISYLMFHWGFLR >Potri.005G223600.1.v4.1 pep chromosome:Pop_tri_v4:5:22504737:22506768:1 gene:Potri.005G223600.v4.1 transcript:Potri.005G223600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G223600.v4.1 MARELQVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAEKPGILPPNVSAAVNGVAFCGTLSGQLFFGWLGDKMGRKKVYGMTLMLMVICSIASGLSFSRDAKAVMSTLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILTGGIFAIIISSAFKARFDAPAYQVDALASTVPQADYVWRIIVMVGALPAALTYYWRMKMPETARYTALVAKNAKQAATDMSRVLQVDLEAEEQKVEQLTEDKSNQFGLFTKKFLHRHGLHLLGTTTTWFLLDIAFYSQNLFQKDIFSAIGWIPKAQTMNAIDEVYRIARAQTLIALCSTVPGYWFTVAFIDRIGRFAIQLMGFFFMTVFMFALAIPYNHWTHKDNRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQDQDKAKADAGYPAGIGVKNSLLVLGVVNFFGMVFTFLVPESKGKSLEEMSGENETDEGSVPNAEPRSHNRTVPAV >Potri.004G216400.1.v4.1 pep chromosome:Pop_tri_v4:4:22214114:22215989:-1 gene:Potri.004G216400.v4.1 transcript:Potri.004G216400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216400.v4.1 MDLPMDAKIFKSKVTLLVLAESANWSGIEETLRSSRDLTVKSINRETGFISIEGRIDPDKVVAMLQKVEKDVYFVNMEVEDNSDKTDVVTMENKIKEICGISDETLGSPTKKYTTVEKFKATLAVASSSPDWSKIEETLRSSREVTVKSINKEEGSISIESKVDPKGVVEMLEGVEKHVYIVNLDVESKIDPEIRRMLESLDSAQENPPS >Potri.004G186900.1.v4.1 pep chromosome:Pop_tri_v4:4:20004344:20005535:1 gene:Potri.004G186900.v4.1 transcript:Potri.004G186900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186900.v4.1 MTTQKPILEQQQSQMQIRMMKNSGIISNISESPLSRDDKDDEMSRSAVAMFRAKEEEIEKKKMEVRDKVHAHLGRAEEATKRLAEIREELEALTDPMRKEVSMVRKRIDTVNRELKPLGLSCQKKEREYKEALEAFNEKNKEKAQLVSKLVELASESEKLRMKKLEELSKNIETLS >Potri.006G036300.1.v4.1 pep chromosome:Pop_tri_v4:6:2324599:2326698:1 gene:Potri.006G036300.v4.1 transcript:Potri.006G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036300.v4.1 MDQLPKCDANYVPLTPINFLKRAAKVYGNRHSVVYEGIHFTWQQTYERCLCLADSLRSFDISKNDVVSVLAPNIPALYEMHFAVPMAGGVINAINTRLNPNHVATILRHSEAKVFFVDYQFVQLARQALQILMSSESISSKLVLPSVVLIDDIESPTGAIFGEWEYEQLVRKGNPGHIPFEVQDEWDPIALNYTSGTTSEPKGVVYSHRGVFLGSLGVIIGWEMASEPVYMWSLPMFHCNGWTFAWGIAARGGTNVCLRNTTAKDMYRNIAQHRVTHMCCAPIVFNILLEAKPEERREIASPVQILVGGAPPPASLLEKMKPLGFHVTHSYGLTEVGPALVCEWQAKWNNLPSQDQSKIMARQGINSVALAHMDVKDLNTMISVPRDGKTMGEIVLKGSTVMKGYFKDPKATAKAFKNGWFATGDIGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYSHPRVLEAAVVAMPHPVWGESPCAFLAIRKNSDGKSNDLKEADIIAYCRKKLPRYMVPKKVEFIPELPKTSTGKIQKFQLRDLARNFVVSETFPSKNTAQVNANTEMQGRQALPSRVASSRL >Potri.016G114800.4.v4.1 pep chromosome:Pop_tri_v4:16:11914828:11918817:-1 gene:Potri.016G114800.v4.1 transcript:Potri.016G114800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114800.v4.1 MSCSCFGRSNWFKGNNHNDTPGQTNAQVIATDNVNLFSYNSLRSATRNFHPSNRIGGGGFGVVYKGVLRDGTPVAIKCLSAESKQGTDEFVTEIRMISTIKHPTLVELVGCCVEENNRILVYEYMENNSISTALLGSKGKHVAMDWPTRAAICIGTASGLAFLHEEAKPHIVHRDIKASNVLLDGNLRPKIGDFGLAKLFPDNVTHLSTRVAGTMGYLAPEYALLGQLTKKADVYSFGVLILEIISGRSSSKAAFGEDLLVLVEWAWKLWKEERLLDIVDPEMTGYPENEAMRFMKVALFCTQAVANQRPNMKQVVKMLSKDVNLNEKALTEPGIYKAQTSKHFGGGSSYETSSSHKNKGKQSLNTSVNSAILGSADSMTQMLPR >Potri.012G094800.1.v4.1 pep chromosome:Pop_tri_v4:12:11911038:11916001:-1 gene:Potri.012G094800.v4.1 transcript:Potri.012G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094800.v4.1 MAMESINPRTGFCQQTKTFHSLRPPTPFPPPHQPLSITHFILSLLQSSTVPTTTTTYLTIPSTGESITYSQAIDQIHSLSSSLKNHYSLNNKDVAFILCPPSLHVPVLYLSLMYLGVIISPANPLSSDSELAHQFQLCKPKIAFATSQTAHKLPSLPLGTILIDSPEFTSLLTQPKPQAKQPRVEVSQSDIAAILYSSGTTGRVKGVALTHRNLIALISGFHHNMKEPEPNQPEQPPVSLFILPLFHVFGFFMSINAFSRGETLVLMERFDFVQMLKHVEKYRVTYMPVSPPLIVAFVKSDLTEKYDLSSLRSLGCGGAPLGKEVADKFKEKFPHVEIVQGYGLTETGGGASRTLGPEETSQHASVGRLSENMEAKIVDPETGESLGPGQRGELWLRGPTVMKGYVGDEKATAETLHPEGWLKTGDLCYFDSDGFLYIVDRLKELIKYKAFQVPPAELEKLLQSNPEIADAAVIPYPDEEAGQIPMAYVVRKPGSNITEAQIMDSIAKQVAPYKKIRRVDFISAIPKSPAGKILRRELVNHALSGASSKL >Potri.014G165000.1.v4.1 pep chromosome:Pop_tri_v4:14:11942983:11943651:-1 gene:Potri.014G165000.v4.1 transcript:Potri.014G165000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165000.v4.1 MGRQNGDAAMVNSSIALLQERFRELQRIREKRQEKEILKLFASSESDQRVASTMHFDEPTCKFTFQPDQTTLPNRPSTPQDSLLSLGLNSQSNNKHPDFRAMKSTPSSLWPNSTGNSSSSSSSSSRNLENSDVDTSLHL >Potri.005G197500.1.v4.1 pep chromosome:Pop_tri_v4:5:20397287:20401437:1 gene:Potri.005G197500.v4.1 transcript:Potri.005G197500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197500.v4.1 MIRLFNWSEPPCVTVSQNLTRNPIRFYSRRFFIISKEETLKLCHNKLFPTKMVMKTNGFVDGSLPDELKKVLRSVGSEWGDVVDDMESLQVIPLKGAMTNEVFQINWPTKCGNLDRKLVVRIYGEGVEAFFNRDDEIRTFECMSKHGQGPRLLGRFADGRVEEFIHARTLSAADLRDHEISALVAAKMREFHNLEMPGPRTVLLWNRMRDWLVEAKSMCSAKCVKEFRLDSLEDEISMLEKELSHDYLDIGFCHNDLQYGNIMLDEETRSITLIDYEYASFNPVAYDLANHFCEMVANYHSGTPHILDYNKYPGLEERRRFVDTYLSSEGKQPIEDEAVLLLHEVERYTLASHLFWGLWGIISGYVNKIDFDYMEYARQRFRQYWLRKKRLLGSADNYVNGHVAYGTGSG >Potri.005G197500.2.v4.1 pep chromosome:Pop_tri_v4:5:20397483:20401436:1 gene:Potri.005G197500.v4.1 transcript:Potri.005G197500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197500.v4.1 MVMKTNGFVDGSLPDELKKVLRSVGSEWGDVVDDMESLQVIPLKGAMTNEVFQINWPTKCGNLDRKLVVRIYGEGVEAFFNRDDEIRTFECMSKHGQGPRLLGRFADGRVEEFIHARTLSAADLRDHEISALVAAKMREFHNLEMPGPRTVLLWNRMRDWLVEAKSMCSAKCVKEFRLDSLEDEISMLEKELSHDYLDIGFCHNDLQYGNIMLDEETRSITLIDYEYASFNPVAYDLANHFCEMVANYHSGTPHILDYNKYPGLEERRRFVDTYLSSEGKQPIEDEAVLLLHEVERYTLASHLFWGLWGIISGYVNKIDFDYMEYARQRFRQYWLRKKRLLGSADNYVNGHVAYGTGSG >Potri.005G227900.1.v4.1 pep chromosome:Pop_tri_v4:5:22807521:22809449:1 gene:Potri.005G227900.v4.1 transcript:Potri.005G227900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227900.v4.1 MEIRGLENDIRTSVPSSYSHQSSGLEGRNGNHNGTTVLTYTQTLDHQRQPSRSPNPDRLAIISSGPNSKTSNTRYRECLRNHAANVGGSVYDGCGEFMPGGEEGSLEALKCAACECHRNFHRREIDGETQFSPGSRRSATMVHSLQLPPPLPSPAVLHHHHHHHQRYSMGLHTSPNTANMVQPMSVAFGGVSGGTESSSEDLNPFQSNADGVPPPPPYVMSKKRFRTKFTPEQKDKMMEFADKVGWRINKQDDEEVQKFCAEVGVRRQVFKVWMHNNKNLKKQPQPTSST >Potri.005G227900.2.v4.1 pep chromosome:Pop_tri_v4:5:22807600:22809167:1 gene:Potri.005G227900.v4.1 transcript:Potri.005G227900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227900.v4.1 MEIRGLENDIRTSVPSSYSHQSSGLEGRNGNHNGTTVLTYTQTLDHQRQPSRSPNPDRLAIISSGPNSKTSNTRYRECLRNHAANVGGSVYDGCGEFMPGGEEGSLEALKCAACECHRNFHRREIDGETQFSPGSRRSATMVHSLQLPPPLPSPAVLHHHHHHHQRYSMGLHTSPNTANMVQPMSVAFGGVSGGTESSSEDLNPFQSNADGVPPPPPYVMSKKRFRTKFTPEQKDKMMEFADKVGWRINKQDDEEVQKFCAEVGVRRQVFKVWMHNNKNLKKQPQPTSST >Potri.004G063000.1.v4.1 pep chromosome:Pop_tri_v4:4:5316660:5319214:1 gene:Potri.004G063000.v4.1 transcript:Potri.004G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063000.v4.1 MAEIDGTNGNGNHGGVVLDIKDNYPSSSSIKEVSVLNFYVPFMQKLVAEIAGTYFLIFAGCSSVAVNLNFDKVVTLPGISITWGLAVMVLVYSVGHISGAHFNPAVTLAFATCKRFPWKQVPAYVACQVIGATLAAGTIRLLFQGDQDHFTGTMPAGSNLQSFVVEFIITFYLMFIISGVATDNRAIGELAGLAVGSTVLLNVMFAGPISGASMNPARSLGPAIVSHQYKGLWIYIVSPILGAQAGAWVYNLIRYTDKPLREITKSASFLNGKESS >Potri.003G200700.1.v4.1 pep chromosome:Pop_tri_v4:3:20105012:20107102:1 gene:Potri.003G200700.v4.1 transcript:Potri.003G200700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200700.v4.1 MATLVVPENVSYADDAQALRKACQGWGTNEKAIISILGHRNAAQRKQIRLAYSELFQEDLVKRLESELNGDFEKAVYRWVLDPEDRDAVLANVAIRKSGDYHVIVEIACVLSSEELLAVRRAYHARYKHSLEEDLAAHTTGDIRKLLVGLVTAFRYEGDEINTRLTNSEADILHDAIKDKAFNHEDVIRILTTRSKAQLMATFNRYRDDHGSSITKDLLDEPADEFKTVLRTAIRCLNDHKKYYEKILRNAIKKVGTDEDALTRVIVTRAEKDLNDIKEIYYKRNSVPLDQAVANDTSGDYKAFLLALLGKEE >Potri.003G200700.2.v4.1 pep chromosome:Pop_tri_v4:3:20103496:20107117:1 gene:Potri.003G200700.v4.1 transcript:Potri.003G200700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200700.v4.1 MASLIAPVDSHVPSEDAEALYKACKGWGTNEKAIISILGHRNAAQRKQIRLAYSELFQEDLVKRLESELNGDFEKAVYRWVLDPEDRDAVLANVAIRKSGDYHVIVEIACVLSSEELLAVRRAYHARYKHSLEEDLAAHTTGDIRKLLVGLVTAFRYEGDEINTRLTNSEADILHDAIKDKAFNHEDVIRILTTRSKAQLMATFNRYRDDHGSSITKDLLDEPADEFKTVLRTAIRCLNDHKKYYEKILRNAIKKVGTDEDALTRVIVTRAEKDLNDIKEIYYKRNSVPLDQAVANDTSGDYKAFLLALLGKEE >Potri.014G181500.1.v4.1 pep chromosome:Pop_tri_v4:14:14174344:14177129:1 gene:Potri.014G181500.v4.1 transcript:Potri.014G181500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G181500.v4.1 MRKLCPNYDREDALDTVLEVPIPEEMFTKMGNNSASRWQNMRALMNAQAAADKSTHLQSKSNTEFIALLKLVGSPLIPFQAHPDQPLTRPLKDCSIGASTAKYIVQQYIAAIGGPLALNSVKSMYAVGQVKMAASEMHQGDDSVHAEGKSEVGGFVLWQKNPDLWYLELVVSGYKVSAGSDGKVAWNQSSSQASHANRGPPRPLRRFFQGLDPRCTANLFLEAVSITEKKVSNEDCFVIKLETDSNTLKAQSSSNTEIVHHIIWGYFSQRTGLLVKFEDTKLVKMKPIKGNDNVFWETSMESVVGDYRYIEGINVAHSGKTTATLHRYGASHNHKRKIEETWMIDEVDFNICGLSMDCFLPPADLKREQEGGEQ >Potri.016G105800.1.v4.1 pep chromosome:Pop_tri_v4:16:10914884:10919197:1 gene:Potri.016G105800.v4.1 transcript:Potri.016G105800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105800.v4.1 MGARCSKFSFCWFHSHLKPSVLESSDLENGGKSDRNAFPSFTEFSFEQLRAATSGFSSDNIVSEHGEKAPNVVYKGKLDNDRWIAVKRFNRLAWPDSRQFLEEARTVGSLRSERLANLIGCCCEAEERLLVAEFMPHETLAKHLFHRESQPMKWAMRLRVALYLAQALEYCGSKGRALYHDLNAYRILFDKDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNAKSLVTALMSLQKETEVPSYVLMGIREETASSTLPLSLTPFGEACLRMDLTAIHEILEKIGYKDDEGIANELSFQMWTNQMQETLNSKKHGDAAFRAKDFATAIDCYTQFIDGGTMVSPTVYARRCLSYLMSDMPQEALGDAMQAQVVSPDWSTASYLQAACLFSLGMETDAQETLKDGTHLEAKRNKN >Potri.016G105800.2.v4.1 pep chromosome:Pop_tri_v4:16:10914884:10919197:1 gene:Potri.016G105800.v4.1 transcript:Potri.016G105800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105800.v4.1 MGARCSKFSFCWFHSHLKPSVLESSDLENGGKSDRNAFPSFTEFSFEQLRAATSGFSSDNIVSEHGEKAPNVVYKGKLDNDRWIAVKRFNRLAWPDSRQFLEEARTVGSLRSERLANLIGCCCEAEERLLVAEFMPHETLAKHLFHRESQPMKWAMRLRVALYLAQALEYCGSKGRALYHDLNAYRILFDKDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNAKSLVTALMSLQKETEVPSYVLMGIREETASSTLPLSLTPFGEACLRMDLTAIHEILEKIGYKDDEGIANEDFVSESKN >Potri.016G105800.3.v4.1 pep chromosome:Pop_tri_v4:16:10914941:10919071:1 gene:Potri.016G105800.v4.1 transcript:Potri.016G105800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105800.v4.1 MGARCSKFSFCWFHSHLKPSVLESSDLENGGKSDRNAFPSFTEFSFEQLRAATSGFSSDNIVSEHGESQPMKWAMRLRVALYLAQALEYCGSKGRALYHDLNAYRILFDKDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNAKSLVTALMSLQKETEVPSYVLMGIREETASSTLPLSLTPFGEACLRMDLTAIHEILEKIGYKDDEGIANELSFQMWTNQMQETLNSKKHGDAAFRAKDFATAIDCYTQFIDGGTMVSPTVYARRCLSYLMSDMPQEALGDAMQAQVVSPDWSTASYLQAACLFSLGMETDAQETLKDGTHLEAKRNKN >Potri.006G003800.12.v4.1 pep chromosome:Pop_tri_v4:6:301706:306097:1 gene:Potri.006G003800.v4.1 transcript:Potri.006G003800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003800.v4.1 MASITSSKPSLKQHQKTAGVQKLEADLRHLSSQGSKPCKPQLINREQVQLLVENMPKQVLLEAFENIKLLNSNQEGASDSLSIKLESNSSFPCFEQTSTEVDSSVNETRGLQDVSVDQEKKTSEYGSVKNSSVSAKVSDGTSSLGKTSGSAKISDRVDYAEGGKRSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLLMEFCPGGDLHTLRQRQPGKHFQEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLVKTASLESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELMAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPGPASTSEKAATVAAAPGQKGSENYLEFDFF >Potri.006G003800.13.v4.1 pep chromosome:Pop_tri_v4:6:301706:306063:1 gene:Potri.006G003800.v4.1 transcript:Potri.006G003800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003800.v4.1 MASITSSKPSLKQHQKTAGVQKLEADLRHLSSQGSKPCKPQLINREQVQLLVENMPKQVLLEAFENIKLLNSNQEGASDSLSIKLESNSSFPCFEQTSTEVDSSVNETRGLQDVSVDQEKKTSEYGSVKNSSVSAKVSDGTSSLGKTSGSAKISDRVDYAEGGKRSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLLMEFCPGGDLHTLRQRQPGKHFQEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLVKTASLESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELMAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPGPASTSEKAATVAAAPGQKGSENYLEFDFF >Potri.006G003800.14.v4.1 pep chromosome:Pop_tri_v4:6:301856:306032:1 gene:Potri.006G003800.v4.1 transcript:Potri.006G003800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003800.v4.1 MASITSSKPSLKQHQKTAGVQKLEADLRHLSSQGSKPCKPQLINREQVQLLVENMPKQVLLEAFENIKLLNSNQEGASDSLSIKLESNSSFPCFEQTSTEVDSSVNETRGLQDVSVDQEKKTSEYGSVKNSSVSAKVSDGTSSLGKTSGSAKISDRVDYAEGGKRSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLLMEFCPGGDLHTLRQRQPGKHFQEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLVKTASLESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELMAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPGPASTSEKAATVAAAPGQKGSENYLEFDFF >Potri.006G003800.10.v4.1 pep chromosome:Pop_tri_v4:6:300858:306104:1 gene:Potri.006G003800.v4.1 transcript:Potri.006G003800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003800.v4.1 MASITSSKPSLKQHQKTAGVQKLEADLRHLSSQGSKPCKPQLINREQVQLLVENMPKQVLLEAFENIKLLNSNQEGASDSLSIKLESNSSFPCFEQTSTEVDSSVNETRGLQDVSVDQEKKTSEYGSVKNSSVSAKVSDGTSSLGKTSGSAKISDRVDYAEGGKRSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLLMEFCPGGDLHTLRQRQPGKHFQEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLVKTASLESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELMAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPGPASTSEKAATVAAAPGQKGSENYLEFDFF >Potri.006G003800.9.v4.1 pep chromosome:Pop_tri_v4:6:301581:306104:1 gene:Potri.006G003800.v4.1 transcript:Potri.006G003800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003800.v4.1 MASITSSKPSLKQHQKTAGVQKLEADLRHLSSQGSKPCKPQLINREQVQLLVENMPKQVLLEAFENIKLLNSNQEGASDSLSIKLESNSSFPCFEQTSTEVDSSVNETRGLQDVSVDQEKKTSEYGSVKNSSVSAKVSDGTSSLGKTSGSAKISDRVDYAEGGKRSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLLMEFCPGGDLHTLRQRQPGKHFQEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLVKTASLESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELMAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPGPASTSEKAATVAAAPGQKGSENYLEFDFF >Potri.006G003800.11.v4.1 pep chromosome:Pop_tri_v4:6:301706:306103:1 gene:Potri.006G003800.v4.1 transcript:Potri.006G003800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003800.v4.1 MASITSSKPSLKQHQKTAGVQKLEADLRHLSSQGSKPCKPQLINREQVQLLVENMPKQVLLEAFENIKLLNSNQEGASDSLSIKLESNSSFPCFEQTSTEVDSSVNETRGLQDVSVDQEKKTSEYGSVKNSSVSAKVSDGTSSLGKTSGSAKISDRVDYAEGGKRSMCRGSTSSDVSDESTCSSFSSSISKPHKANDLRWEAIQAVRAKDGVLGLSHFRLLKRLGCGDIGSVYLSELSGTKCYFAMKVMDKGSLASRKKLLRAQTEREILQSLDHPFLPTLYTHFETDKFSCLLMEFCPGGDLHTLRQRQPGKHFQEQAVKFYVAEVLLALEYLHMLGIIYRDLKPENVLVRDDGHIMLSDFDLSLRCTVSPTLVKTASLESEPLRKNPVYCVQPACIEPSCIQPSCVAPTTCFGPRLFSSKSKKDRKPKNELGNQVSPLPELMAEPTDARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGSGNRATLFNVVGQPLRFPESPVVSFAARDLIRGLLVKEPQHRLAYKRGATEIKQHPFFEGVNWALIRCATPPEIPKPVEIERIPGPASTSEKAATVAAAPGQKGSENYLEFDFF >Potri.013G044900.1.v4.1 pep chromosome:Pop_tri_v4:13:3115633:3117249:-1 gene:Potri.013G044900.v4.1 transcript:Potri.013G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044900.v4.1 MLSPTLNTHKPLFLSSSNNKPFSSPFLSQQPLFILHLSKTPFKPTKTLSVSATRIPSSPPPYQQLYQPFRPPPSPIPSQYKSLDAPSRLEILSNRLGLWYEYAPLIPSLFQEGFTPPSIEEATGISGVEQNRLVVGAQVRDSLVQSNTDPEIVASFDLGGAELLYEIRLLSATQRSAAARFIVVNKMDTKGAQDLARAMKDFPRRRGDKFWESFDYVLPGDCLSFMYYRQSREHKNPSESRTNALQMALEVAESEKAKSAILKELEGGGERKERAEGETADGVRVPVVRLKIGEVAEATSVVVLPVCRSEDGERKIVEAPWECKGQGEFGVVVAEKAWERWVVLPGWEPVLGLGRGGVAVAFPDARVLPWKANRWYKEESILVVADRGSKEVKADDGFYLVTLDGAGGDFKVERGSALKERNVVECLGTVLLVVRPPRYETDDQLSDEDWE >Potri.013G044900.2.v4.1 pep chromosome:Pop_tri_v4:13:3115627:3116851:-1 gene:Potri.013G044900.v4.1 transcript:Potri.013G044900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044900.v4.1 MDTKGAQDLARAMKDFPRRRGDKFWESFDYVLPGDCLSFMYYRQSREHKNPSESRTNALQMALEVAESEKAKSAILKELEGGGERKERAEGETADGVRVPVVRLKIGEVAEATSVVVLPVCRSEDGERKIVEAPWECKGQGEFGVVVAEKAWERWVVLPGWEPVLGLGRGGVAVAFPDARVLPWKANRWYKEESILVVADRGSKEVKADDGFYLVTLDGAGGDFKVERGSALKERNVVECLGTVLLVVRPPRYETDDQLSDEDWE >Potri.008G039100.3.v4.1 pep chromosome:Pop_tri_v4:8:2189637:2190088:-1 gene:Potri.008G039100.v4.1 transcript:Potri.008G039100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039100.v4.1 MLEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >Potri.008G039100.1.v4.1 pep chromosome:Pop_tri_v4:8:2188431:2190225:-1 gene:Potri.008G039100.v4.1 transcript:Potri.008G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039100.v4.1 MLEVVLNDRLGKKVRVKCNDDDTIGDLKKLVAAQTGTRAEKIRIQKWYNIYKDHITLKDYEIHDGMGLELYYN >Potri.016G032900.1.v4.1 pep chromosome:Pop_tri_v4:16:1854139:1858160:-1 gene:Potri.016G032900.v4.1 transcript:Potri.016G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032900.v4.1 MKFGKEFASQMVPEWEEAYMDYDDLKTLLKDIKRMKQRKRQQGDLNRALTLYRTFSGLIQRQKHSAGSEDIENQAIRENSLKRNAFESYETFFLMAAEGGGEPEIVFLKRLGDEFDKVDRFYKSKVQEVMDEAEMLSMQMDALIAFRVKAENLQGLFNKYGDSNRLGSDVAAAGSSRIISMDVIEAGQSNRRQLDDLKDGTNDKHTNSMRGEIHEKKLKNSSRWKAASSELLNHVKLKRSPETPRSTIKGFFDISNQTEPKFSMKNLKKAEKQLKLAFNEYYYKLQLLKNYSYLNIQAFSKIVKKYDKITSNRTLNSFRSMMDFSNLGSSNDVIKLMERVELTFTKHFSNSNRRKAMDTLRPKAKKERHRISFSIGLFVGCTLALILALVLIIQVRDLLNKEGKHQYMENMFPLYSLFTFVVLHMLMYAANIYFWRRYRINYTFIFGFKQGTELGYRDVLMLGFGLAVLALASVLANLDMEMDPRTKDYKALTELVPLGLVVLVLIICFFPFNFIYRTSRFFFITSLFHCICAPLYKVSFQDFFLADQLTSQVQALRSLEFYICYYGWGDYKRRQNTCKTSYIYSTFYFIVAVIPYWSRLLQCLRRLFEEKDMKQGYNGLKYFCTIIAVSTRTAYSFEKGLGRNIVACIFSVIAAVYGTYWDLVMDWGLLQTQSTNWLLRDKLLIPYRSVYFGAMVLNVFLRFAWLQTVLNFQVPFLHRQAIIAVVASLEIIRRGLWNFFRLEYEHLNNVGKYRAFKSVPLPFDYKHDLIIASE >Potri.007G051600.1.v4.1 pep chromosome:Pop_tri_v4:7:5004530:5006918:-1 gene:Potri.007G051600.v4.1 transcript:Potri.007G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051600.v4.1 MDRNGILGWTLICVLVAGVGGQAPAATPTSTPATPTTPSVPLAAPAKAPAKPTTPAPVSSPPAVTPVASSPKQTVPTPVATPLATPPPAVTPVSSPPAPVPVSSPPEKSPPSPVPVAPPTSSPVAAPTAEVPAPTPSKKKPKKAPAPGPALLSPPAPPTEAPGPSAESMSPGSIADDSGAGRTRCFQKIAGGLALGWGLLALIF >Potri.017G012500.2.v4.1 pep chromosome:Pop_tri_v4:17:864501:868869:-1 gene:Potri.017G012500.v4.1 transcript:Potri.017G012500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012500.v4.1 MEQAAAGGKGTVTPLASMFPVNEAQKAAKRVEEKIGEKQKELDCIKEYVTENTNLINLVSRLPDELNHDIMVPFGKAAFFPGRLIHTNEFMVLLGDGYYAERTAKQTVEILTRRGKALDSQVESLMANMKDLKAEASFFDVTASEAAEGLVEIREDYVEENSWEKHSKSDDKNNDKKVAFEDDEYARIMSRLDELEKEELEAEDEEVDAEDDDESAVEQPDAAENDDESDTDEQTDAAESNSENHDNTQPKAKFNQFPHQIHSEIRKPLQQTTAEALSNKYLPQQSITDTSNVLMQTPKSGFNSSKAFTGSIAESADTVSTNSRQQAVTSSQASEPAFDSSKASKPAFDSSKAFTGSVVERTYNLPTSGQDAVSSQSSSSQPSKPVSRFKIRRS >Potri.017G012500.1.v4.1 pep chromosome:Pop_tri_v4:17:864500:868749:-1 gene:Potri.017G012500.v4.1 transcript:Potri.017G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012500.v4.1 MEQAAAGGKGTVTPLASMFPVNEAQKAAKRVEEKIGEKQKELDCIKEYVTENTNLINLVSRLPDELNHDIMVPFGKAAFFPGRLIHTNEFMVLLGDGYYAERTAKQTVEILTRRGKALDSQVESLMANMKDLKAEASFFDVTASEAAEGLVEIREDYVEENSWEKHSKSDDKNNDKKVAFEDDEYARIMSRLDELEKEELEAEDEEVDAEDDDESAVEQPDAAENDDESDTDEQTDAAESNSENHDNTQPKAKFNQFPHQIHSEIRKPLQQTTAEALSNKYLPQQSITDTSNVLMQTPKSGFNSSKAFTGSIAESADTVSTNSRQQAVTSSQASEPAFDSSKAIIGSIVERADTIPKNSQQQAATSSQASKPAFDSSKAFTGSVVERTYNLPTSGQDAVSSQSSSSQPSKPVSRFKIRRS >Potri.001G079450.2.v4.1 pep chromosome:Pop_tri_v4:1:6324741:6324908:1 gene:Potri.001G079450.v4.1 transcript:Potri.001G079450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079450.v4.1 MPKVVNDIHKRGGTFLCTSRGGHDTNKIVDIIQDKRINQVHTHFSFINFQIWYTT >Potri.001G079450.1.v4.1 pep chromosome:Pop_tri_v4:1:6324602:6324908:1 gene:Potri.001G079450.v4.1 transcript:Potri.001G079450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079450.v4.1 MGGYRGSYPRNTINFMPKVVNDIHKRGGTFLCTSRGGHDTNKIVDIIQDKRINQVHTHFSFINFQIWYTT >Potri.005G206500.2.v4.1 pep chromosome:Pop_tri_v4:5:21134655:21144079:-1 gene:Potri.005G206500.v4.1 transcript:Potri.005G206500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206500.v4.1 MNNQETKAQKGKMGKKNQRKAAQQQNPRVAEATLIRISKILERFRAAPDQVYTFEANLSNYDRAVVHEVCKKMGMKSKSSGRGGQRRVSVYKNTKKLDDVKGKENLTHLTFSGESKMVLGELFSNYPPEEGGFGAELEGKHSGTAGKTREKKDDIFSKPSRKKAEIAKKVESFASRIEKDVKLKQIVEGRSKLPIASFMDVITSTIESHQVVLISGETGCGKTTQVPQFLLDHMWGKGEACKIVCTQPRRISAISVSERISYERGENVGDSVGYKIRLESKGGKHSSIVFCTNGVLLRILVSKGITGSQNEANTAAKENVSDLTHIIVDEIHERDRFSDFMLAIIRDILPSHSHLRLILMSATLDAERFSQYFGGCPIIRVPGFTYPVKAFHLEDVLSILNSRDDNHLDSAMPNVLDEGHELTEEDKAALDEAINLAWSNDEFDSLLDLVSSEGTPKVYDYQHSVSGLTPLMVFAGKGRVGDVCMLLSLGANCNLQSKCGLTALKWAERENQEEAAEVIRKHAQNALADSSEQQQLLDKYMATINPELIDVVLIEQLIKKICVDSKDGAILVFLPGWDDINRTRERLLANPFFKDGSKFIIISLHSMVPSVEQKKVFKRPPQGCRKIILSTNISESAITIDDVVYVIDSGRMKEKSYDPYNNVSTLQSSWVSKASAKQREGRAGRCQPGICYHLYSKLRESSLPDFQVPEIKRMPIEELCLQVKLLDPHCKIEAFLQKTLDPPVPETIRNAVAVLLDIGALSVDETLTELGEKIGCLPVHPLTSKMIFFAILMNCLDPALTLACASDYRDPFTLPMLPNEKKRAAAAKFELASLYGGHSDQLAVLAAFECWNNAKNRGQEASFCSQYFISSSTMNMLQAMRKQLQRELIRKGFIPENVSSCNTNAHVPGIVHAVLVAGLYPMVGRFLPPKNGKRVVETTSGAKVRLHPQSLNFKLSFWKSNDYPLVIYDEITRGDGGMHIRNCTVIGPLPLLLLATEIVVAPAENDDEDDEEDDDDYDSADGAESDEDGMEIHGKLGTQQGERIMSSPDNSVMVVVDRWLYFGATALDVAQIYCLREQLSAAILFKVTHPHKELPPALAAYTYTTACILSNDGLSGISLPGESVESLTSMVHATEIDESCSGRRGISQNPNSFLSSLKNNTQQTAPRYHNARSPNQRPTLQGSTSAGHSMQGPSGPRGDSYKRQRGNATRQHVRYL >Potri.014G119100.1.v4.1 pep chromosome:Pop_tri_v4:14:8019653:8026291:1 gene:Potri.014G119100.v4.1 transcript:Potri.014G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119100.v4.1 MSVLAVSNTTGLSRRVWYLFLQLFINSCYVISIINADLADHQFEGPILSPSRVPSDAPAIPDLPLPANLPLLHKPREKHFSPHGAPTFVVPPAHPPNYGPLITSGHPPTSSRLSKPSMKKNGLVPPSVGLVDVAPTQSGDGTNPTGLAQPPLSPSVSDCCKPDMVLKRGSHDCHCVYPIKLDLLLLNVSQNPNWNMFLEELASQLGMRVSQIELINFYVLSLSRLNISMDITPHTGISFSASDASAVNSSLTFHKVHFDSTLVGDYKLLNLTWFEPPTPSPAPIVASSPMKAPVYQSSTSSAVGSSKKGKHTNLILILGIGAGIVIIAIVSMLIICSCAFRKGKPKASSKETVKPSTIDPAPAPAAGSLPHPSSTRFLAYEELKVATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFQAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGKKPVDMAQPSGQENLVTWARPILRDKDRLEELVDPRLGGKYPKEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVMEYQDSMLASNARANLRQSSTTFESDGTSSMFSSGPYSGLSAFDNDNISRTAVFSEDLHEGR >Potri.014G119100.5.v4.1 pep chromosome:Pop_tri_v4:14:8019571:8026221:1 gene:Potri.014G119100.v4.1 transcript:Potri.014G119100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119100.v4.1 MSVLAVSNTTGLSRRVWYLFLQLFINSCYVISIINADLADHQFEGPILSPSRVPSDAPAIPDLPLPANLPLLHKPREKHFSPHGAPTFVVPPAHPPNYGPLITSGHPPTSSRLSKPSMKKNGLVPPSVGLVDVAPTQSGDGTNPTGLAQPPLSPSVSDCCKPDMVLKRGSHDCHCVYPIKLDLLLLNVSQNPNWNMFLEELASQLGMRVSQIELINFYVLSLSRLNISMDITPHTGISFSASDASAVNSSLTFHKVHFDSTLVGDYKLLNLTWFEPPTPSPAPIVASSPMKAPVYQSSTSSAVGSSKKGKHTNLILILGIGAGIVIIAIVSMLIICSCAFRKGKPKASSKETVKPSTIDPAPAPAAGSLPHPSSTRFLAYEELKVATNNFEPASILGEGGFGRVFKGVLSDGTAVAIKRLTNGGQQGDKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLEAWLHGPLGVNCPLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLENNFQAKVADFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGKKPVDMAQPSGQENLVTWARPILRDKDRLEELVDPRLGGKYPKEDFVRVCTIAAACVAPEASQRPTMGEVVQSLKMVQRVMEYQDSMLASNARANLRQSSTTFESDGTSSMFSSGPYSGLSAFDNDNISRTAVFSEDLHEGR >Potri.019G092500.1.v4.1 pep chromosome:Pop_tri_v4:19:13002841:13004878:1 gene:Potri.019G092500.v4.1 transcript:Potri.019G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G092500.v4.1 MGAYRADDDYDYLFKLVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDSNIVIMLVGNKADLRHLRAVTTEDATAFAERENTFFMETSALESLNVENAFTEVLTQIHRVVSRKALDVGDDPAALPKGQTITVGKDDVSAVKKVGCCSA >Potri.003G105200.1.v4.1 pep chromosome:Pop_tri_v4:3:12856957:12862330:-1 gene:Potri.003G105200.v4.1 transcript:Potri.003G105200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105200.v4.1 MEAFKKQATRLREQVAKQQQAILKHLGHLSNEGIIVDEAELQCYQHLQNLYNSTRTAKHFQKNIVRGVEGFVSVSSKQMEMLRRLADECCKYGAENQKENNYVARAVLQFGASHNLMENEKETLVGVLNDQVSKPLRALITGAPLEDARRLTHHHDKLRQAVEVQAAEVLRCRSKTRDSEISAESCTKLRAAEARLAELKSTVMSLGREATAAMSSVENQQQQITVQRLFSMIDAERCYHQHVLTILDKLHAEMILEEQLNESSPQSETTQREMIVPSVHENNTSNGSKNHMDNNNQEDGLFIAKVIHPFDAQAEGELSLSVDDFVVVRQVAPTGWSEGECKGKAGWFPSAYIEKHENSPASKTMEESLTS >Potri.013G003200.1.v4.1 pep chromosome:Pop_tri_v4:13:223161:226863:-1 gene:Potri.013G003200.v4.1 transcript:Potri.013G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003200.v4.1 MSAMDRGGGGGGADAQYVRAKTSVWWDIENCAVPRGCDPHAIAQNISSALVEMNYCGPVSISAYGDTHGINPAAQMALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQTASAALVAAAKSVWLWTSLLAGGQPLPEVELQQLRSKNYTSTPNTTQIPSSDTAQIKEPVDSYSEKPYVANQKSPSTSRHPRGRANATLRNPSQTNASKTTNTPFYPSAPPPIPARPNGTSSTSAPSTRVPAFDSLNNFGHPASSSPQPRNPELKHDSKNKPDSKKNKKSKGENSKGSGEGKGPELIQNKKNPEGENSKGSGEGKGPELKHDLQKEPGSSNKKKPGGENSKGSCEGKGPELEHDPRKKPEGENKKKQDGKNSKGSGAGKGPELSQNKKNPEGENSKGSGEGKGPQLKHDLQKEPGISNKKKPGGENSKGSCEGKGPELEHDPQKKPEGENKKKQDGKDSKGFCAGKGPELSLNKKNPEGENSKGSGEGKGPELEHDPQKKPRSRNRKKPRSRNKKKPEGEDSKGSGEGKGPELKHDPQKEPGSSNKMKPGGENSKGSCEGEGPELKHDPQKKPEGENKKQEGENSRGSCEGKGPELKHDPHKKPEGENKKKQEGENSGGSCEGKGPELKHDPDKKPEGENKKVARARKGVSLKKSVVTRSKRRVSLTKLDKGKKNPLCCESRRGMTAS >Potri.004G124800.1.v4.1 pep chromosome:Pop_tri_v4:4:12093516:12100019:1 gene:Potri.004G124800.v4.1 transcript:Potri.004G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124800.v4.1 MATTTATAASFSLCPFYSDSISNGLLPRKSLISFPVKRNSVKSLELNKRNGAFSTRAKPSSFVVRCAASSNGRVTQQEFTDMAWQGIVSSLDVAKENKHQIVETEHLMKALLEQKNGLARRIFSKVGVDNTRLLEATDKSIQRQPKVHSESTSSMLGRDLETLIQRAREYKKEYGDSFVSVEHLVLGFAQDQRFGKQLFKDFQISLQTLKSAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDEEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVEGDVPQALMNRKLISLDMGSLIAGAKYRGEFEDRLKAVLKEVTDSDGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEHRKYIEKDPALERRFQQVFVDQPTVEDTISILRGLRERYELHHGVRISDSALVEAAVLSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDEINRSVLKLEMERLSLMNDTDKASKDRLSRLDTELSLLKKKQAELTEQWEHEKSVMTCIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYRSLSSLQRQLESAEKELDEYIKSGKSMLREEVTGDDIAEIVSKWTGIPISKLKQSEREKLLHLEDELHKRVVGQDPAVKAVAEAIQRSRAGLSDPHRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHSVSRLVGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNIFLQVLDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILDTDDNLPKEVANETIKRRVMDAARSVFRPEFMNRVDEYIVFQPLDRDQINSIVRLQLGRVQQRLADRKIKLLVTDAAVEFLGTLGYDPNYGARPVKRVIQQHVENELAKGILRGELKDEDSVAIDTQVTAFANGHLPQQKLVFKRLETSEDKAAAESRAFSQTV >Potri.002G011400.1.v4.1 pep chromosome:Pop_tri_v4:2:708658:711649:1 gene:Potri.002G011400.v4.1 transcript:Potri.002G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011400.v4.1 MTKPPFMSRWFSDLYWKPLLFTIPPLTLLLFLTVSLTPINPFSSFAPFASHFLNKTASVNTLSPAATTTTTTTTSIYSSIAPPSLAPVNRSASTSLNDELGRSRMAVCLVGGARRFELTGPSIVKNILQVYPNSDLFLHSNFDDKAFKFSLLKSVPRLASVRIIHPKPLLETLPQLRVLTAANSPNGIQGLLQYFNLVEGCLTIIQEYQSLKGFKYDWIIRTRVDGYWNAPLGPENFIPGHYLVPPGSTYGGLNDRLGIGDLNTSTVALSRLSLVPQLDAAGFRQLNSETAFKAQLTTQGVPLVTKRLPFCIVTDRRYEFPPKRFGVPVAALSSPGPLSGAKCRPCNPVCEGTCVGKVMTSLHKGWSWTNWENGTLKLCDAHGEWERRWEKIFDRVAGKELASGRKRIMGLKEKQCLDDFNEMKKRAFKWDSPPVEEICRLGLGQN >Potri.002G011400.2.v4.1 pep chromosome:Pop_tri_v4:2:708706:711079:1 gene:Potri.002G011400.v4.1 transcript:Potri.002G011400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011400.v4.1 MTKPPFMSRWFSDLYWKPLLFTIPPLTLLLFLTVSLTPINPFSSFAPFASHFLNKTASVNTLSPAATTTTTTTTSIYSSIAPPSLAPVNRSASTSLNDELGRSRMAVCLVGGARRFELTGPSIVKNILQVYPNSDLFLHSNFDDKAFKFSLLKSVPRLASVRIIHPKPLLETLPQLRVLTAANSPNGIQVCFPFYLSLICFIFCFEFHSRSWFLF >Potri.006G191400.3.v4.1 pep chromosome:Pop_tri_v4:6:19856092:19860558:1 gene:Potri.006G191400.v4.1 transcript:Potri.006G191400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191400.v4.1 MEYEVSDSSGTDDDLPPSNQNRLVRRGRAPGSGQSAVISATYSRVQADMEAQIHHLEQEAYRAVLRAFKAQSDQLSWDKEGLITELRKELRVSDDEHREILTLVNRDDIIQRIREWREAGGQQVPRLIASQSVHDVLSSPTVSASRKKQKTSLVYPTGPPRNQHFNNHGSAYDDKEIGKEVWTRWPEDNNFYKAVITRYNPAEARHALVYDMNTENETWEWVDLNEMAPEDLRWEVEDPGISHGRPGHVMKKSMSHGGLISSSVRGRGSTKDQSKREFFRTQNGIARKLSDNIELLNTESLVKEVERVFGVSYPDPLELEKAKKMLKEHEQALVDAIARLADASDGESDGDPRFLHGQAMEEQE >Potri.006G244100.1.v4.1 pep chromosome:Pop_tri_v4:6:24509479:24515472:1 gene:Potri.006G244100.v4.1 transcript:Potri.006G244100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244100.v4.1 MGCAQSKVDNEESVSRCKERKILMKEAVAARNAFAAGHSGYAIYLKNTGAALSDYGHGEANHDDSQFQQPSSQPPPPPPPPPPPPPPHPPSMDNLPPPPPPLPNFSPSPIKRAMSMPDIVMNGKQMGDSDVIAEEEEEEEEEEVQELRNRSLSRKNKDYENSEKVSQRGPQNNRGVGPGEEDTRPRTSPRTVESHSSVMPPMPEAKNMAWDYFFMTDNMPGSSLDPEEDVSRNGGNFGNVENVGVGFAGVGDLRGGVEGGGGEIDEVEPKTPEKAGDKMDPVVEEEEEGGVEKNERKQMEHSKTAPPEFSAVNLTGRKGGSVPSVNLMQVLNKIDDHFLKASESAQDVCKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFKGEPRAEGGKDELDTEDYETHATVLDKLLAWEKKLYDEVKQGELMKLEYKKKVALLNKQKKRGASTESLEKTKAAVSHLHTRYIVDMQSMDSTVAEVNQIRDQQLYPKLVCLVDGMAKMWASMCMHHDSQLNIVTDLKSLDVNHAIKETSKHHHERTIQLWKVVQGWHSQFEKLVTHQKQYIHTLTSWLKLNLIPIESSLKEKTSSPLRAQNPPIQALLHSWHDNLEKLPDDLAKSAIFSFAAVIETIVRHQEEEMKLKEKCEETRKELLRKNQAFEEWHQKYMQRRTPDGTDADRGEDTNPNPVSERQLAVESLNIRLKEELEAHQKHCLQVREKSVGSLKLRLPELFRALSDYAHACSDSYEKLRSITHSQKNSNHSHA >Potri.013G133400.3.v4.1 pep chromosome:Pop_tri_v4:13:13937963:13941260:1 gene:Potri.013G133400.v4.1 transcript:Potri.013G133400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133400.v4.1 MSRVDDGVAIKIEENSIPRDDMENTKIDSKLYEYVKQDNIEEFKSRVQQRLAEKLVTPCGNTLLHVAVSYGSDNITSYLAGTFPSLITIQNSQKDTILHLAAREGKASHTIKSLVESNPSLMRKTNTKGNTPLHDAVITDNKEVAKLLVSRDPEVAYYNNNNGKSPLYLAVENGNKYGILDDLLNLGASFPIKSENGDALPEGKSPVHVAIKQRNRDILEKIQKEQPELLRLTEEGLGNSLHYASSIGFLEGVRLLLEKFHDGAYETNLEGNYPIHVACKSHSVDVVKEFLDIFPYPKEFLNKKGQNILHVAATYGNGSVVRYILKQDQKLVAPLLNAIDEDGNTPLHLAAFYGRCTATFLLVRDNRVEHFIVNNENWTPYELAEERSKISEEQYIKTDEMRAKERKQFDSKNSTPALEIKDKEVDSNKMDTKKASPRDEIRVVYLRLVTTVSILFFNACPKKSLKELFPVTRLPMSRAKEEMKSMVGSLLVVTVLVAGVTFAGAIQLPQLRDKNNSSEFNSTYENLLCGYLFLDVGALSTSLVAALILLWSNFNYPRYQIPAVQISTSMVCLAIIMMFGAFFFSVRIALLGSHGSLAQFLLQPFFIVYLQ >Potri.013G133400.2.v4.1 pep chromosome:Pop_tri_v4:13:13937963:13941256:1 gene:Potri.013G133400.v4.1 transcript:Potri.013G133400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133400.v4.1 MSRVDDGVAIKIEENSIPRDDMENTKIDSKLYEYVKQDNIEEFKSRVQQRLAEKLVTPCGNTLLHVAVSYGSDNITSYLAGTFPSLITIQNSQKDTILHLAAREGKASHTIKSLVESNPSLMRKTNTKGNTPLHDAVITDNKEVAKLLVSRDPEVAYYNNNNGKSPLYLAVENGNKYGILDDLLNLGASFPIKSENGDALPEGKSPVHVAIKQRNRDILEKIQKEQPELLRLTEEGLGNSLHYASSIGFLEGVRLLLEKFHDGAYETNLEGNYPIHVACKSHSVDVVKEFLDIFPYPKEFLNKKGQNILHVAATYGNGSVVRYILKQDQKLVAPLLNAIDEDGNTPLHLAAFYGRCTATFLLVRDNRVEHFIVNNENWTPYELAEERSKISEEQYIKTDEMRAKERKQFDSKNSTPALEIKDKEVDSNKMDTKKASPRDEIRVVYLRLVTTVSILFFNACPKKSLKELFPVTRLPMSRAKEEMKSMVGSLLVVTVLVAGVTFAGAIQLPQLRDKNNSSEFNSTYENLLCGYLFLDVGALSTSLVAALILLWSNFNYPRYQIPAVQISTSMVCLAIIMMFGAFFFSVRIALLGSHGGLLTIIVEVVAVMFFFAQACFVFPWIIPLNFNYVLLQVLLHNFYYSLFLLFIYSSWWLPNKLSDLKRKHHL >Potri.017G092700.1.v4.1 pep chromosome:Pop_tri_v4:17:10553506:10554438:1 gene:Potri.017G092700.v4.1 transcript:Potri.017G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092700.v4.1 MASFKNSFACLVLMALLGVARSDQISFGEKIDSSWYDAHATFYGDIKGGQTMMGACGYGDLFKQGYGLQTAALSTALFNNGQTCGACFELKCVNDPQWCKNHAGTIKITATNLCPPNYGASNAWCNPPQQHFDLSMPMFLTIAEYRAGIVPVKYRRILCSKEGGVKFDIHGNPYWMLVLVRNVAGAGEVINVRIKGSQTRWIQMSRNWGQNWQTGTDLVGQSLSFLVTTSDYKRLYFNDVAGADWSFGQAYDGKINF >Potri.008G126300.4.v4.1 pep chromosome:Pop_tri_v4:8:8223199:8227862:-1 gene:Potri.008G126300.v4.1 transcript:Potri.008G126300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126300.v4.1 MGIENLAEKAQILIRSRLCNPNFIFKPLSDSPDSNYSKLKFIISSSIMEACNNSILLLGPRGSGKVAVLELVLSDLLEEYPDTITVIRLNGLLHSEDNSAFKEIARQLCMEHHLLFSKMASFDDNSQFMIAMLRECGLAHKTVIFALDEFDLFAQGKQRLLYSLLDAMQSVTSQAVVVGVSCRLDADQLLEKRVRSRFSHRKLLFLPPSKEDVQRLLEHILSLPMDSSLAHDYAAEFNEKLQSILADERFKEIISNYLNSNSTTNHLLRFLFIAISNMELKSGFLSLENFKAALSSIQRQPKQECIKDCSILELYILVCMKRLEIREQNSYNFSSVMKEYKSIHDSFPTSDYYAQNVCLRAFEHLLQRELICFTDNRGHSQSIEFRQVKLVVSYAELQEGLKSYRSCPAILLKLIDR >Potri.008G126300.5.v4.1 pep chromosome:Pop_tri_v4:8:8223726:8227858:-1 gene:Potri.008G126300.v4.1 transcript:Potri.008G126300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126300.v4.1 MGIENLAEKAQILIRSRLCNPNFIFKPLSDSPDSNYSKLKFIISSSIMEACNNSILLLGPRGSGKVAVLELVLSDLLEEYPDTITVIRLNGLLHSEDNSAFKEIARQLCMEHHLLFSKMASFDDNSQFMIAMLRECGLAHKTVIFALDEFDLFAQGKQRLLYSLLDAMQSVTSQAVVVGVSCRLDADQLLEKRVRSRFSHRKLLFLPPSKEDVQRLLEHILSLPMDSSLAHDYAAEFNEKLQSILADERFKEIISNYLNSNSTTNHLLRFLFIAISNMELKSGFLSLENFKAALSSIQRQPKQECIKDCSILELYILVCMKRLEIREQNSYNFSSVMKEYKSIHDSFPTSDYYAQNVCLRAFEHLLQRELICFTDNRGHSQSIEFRQVKLVVSYAELQEGLKSYRSCPAILLKLIDR >Potri.008G126300.6.v4.1 pep chromosome:Pop_tri_v4:8:8223726:8227863:-1 gene:Potri.008G126300.v4.1 transcript:Potri.008G126300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126300.v4.1 MGIENLAEKAQILIRSRLCNPNFIFKPLSDSPDSNYSKLKFIISSSIMEACNNSILLLGPRGSGKVAVLELVLSDLLEEYPDTITVIRLNGLLHSEDNSAFKEIARQLCMEHHLLFSKMASFDDNSQFMIAMLRECGLAHKTVIFALDEFDLFAQGKQRLLYSLLDAMQSVTSQAVVVGVSCRLDADQLLEKRVRSRFSHRKLLFLPPSKEDVQRLLEHILSLPMDSSLAHDYAAEFNEKLQSILADERFKEIISNYLNSNSTTNHLLRFLFIAISNMELKSGFLSLENFKAALSSIQRQPKQECIKDCSILELYILVCMKRLEIREQNSYNFSSVMKEYKSIHDSFPTSDYYAQNVCLRTTEVTVNLLNSVK >Potri.008G126300.3.v4.1 pep chromosome:Pop_tri_v4:8:8223196:8227862:-1 gene:Potri.008G126300.v4.1 transcript:Potri.008G126300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126300.v4.1 MGIENLAEKAQILIRSRLCNPNFIFKPLSDSPDSNYSKLKFIISSSIMEACNNSILLLGPRGSGKVAVLELVLSDLLEEYPDTITVIRLNGLLHSEDNSAFKEIARQLCMEHHLLFSKMASFDDNSQFMIAMLRECGLAHKTVIFALDEFDLFAQGKQRLLYSLLDAMQSVTSQAVVVGVSCRLDADQLLEKRVRSRFSHRKLLFLPPSKEDVQRLLEHILSLPMDSSLAHDYAAEFNEKLQSILADERFKEIISNYLNSNSTTNHLLRFLFIAISNMELKSGFLSLENFKAALSSIQRQPKQECIKDCSILELYILVCMKRLEIREQNSYNFSSVMKEYKSIHDSFPTSDYYAQNVCLRAFEHLLQRELICFTDNRGHSQSIEFRQVKLVVSYAELQEGLKSYRSCPAILLKLIDR >Potri.010G230200.1.v4.1 pep chromosome:Pop_tri_v4:10:21260510:21262504:1 gene:Potri.010G230200.v4.1 transcript:Potri.010G230200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230200.v4.1 MPAEELWTSIITEKEADNAQSKSGFNPKTGIYHSLHQLGENLQIPTRHDLDTSSYVLSQFPHPDHAETKVALVDLATNQQITYAQLHRSIHALASGLYNGLGVRKGDVVFLLSPNSILYPTICLAVFSIGAILSPANPANTISEILKQIRDSGAKLVISAPEELHKLVENGVPTLVTTRESNDDSLSVKELIECTGPLELPQVRITQSDTAAILYSSGTTGTSKGVILTHSNFIAIMTLLKWSVYASSSQNDIFLCFVPIFHIYGLAFFRLGLFCAGITTVLMQRFDFQAMLDAVQAYKINNIPAVPPVILGLVKHANKVKCDLSSLRRVGSGAAPLSKELSDEFRQRFPWVELRQGYGLTESCGATTFFISDEQAKAHPASCGRLVPTFSAKIVDTETGSALPPGRKGELWLKSPTIMKGYLGNEAATAATFDPDGWLKTGDMGYFDEDGFLHIVDRIKELIKHNGYQVAPAELEAILLGHPQVLDAAVIPVEDEEAGQIPMAYVVRTAGSELTEEKVIQFVANQVAPYKKVRRVGFISAIPKSAAGKILRKELVSHSQQVISKL >Potri.010G198200.1.v4.1 pep chromosome:Pop_tri_v4:10:19122647:19124253:-1 gene:Potri.010G198200.v4.1 transcript:Potri.010G198200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198200.v4.1 MARMSMPSSPRPQMLRHPSHIHPLFEFHLPVGGEFICDGCRTCGYEKSYRCNYCNFDLHEYCATCPIKLRSGLHVHELTLVNLVGTRKVCDICEERVDGLFYTCSFCDFNVHPVCTQIPTKLQHGHLPNHSLTLRQPRMSSWCVVCWDACTSWRYSCDICQVDVHLDCVYEPFYELESPPRSRSMEAYMGSPQFEACLENPSSSRSTRANMGPRQLMGGFHGFPMMVPNQGQMGHLAAYQAHNGNQGRRRSKRRKIISAVAKLAANVLFGSVIGVMPFSPEDFWGS >Potri.019G012700.1.v4.1 pep chromosome:Pop_tri_v4:19:1745144:1746025:1 gene:Potri.019G012700.v4.1 transcript:Potri.019G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012700.v4.1 MGSQRKTQCLTMIIFVAIVFGPCSHQILAARPLEGEQWLKQNLGNIQSLQRAPVPPSRGSSCTHTPGLGSGHCPLGEMNFAGHIVAHAPPAFPDAIVNFSTASVTNNETQKQDSSS >Potri.001G381300.1.v4.1 pep chromosome:Pop_tri_v4:1:40142916:40149860:1 gene:Potri.001G381300.v4.1 transcript:Potri.001G381300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G381300.v4.1 MQQDHPKKDLKEIDFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGDKVAIKKINDVFEHISDAIRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKYMHTANVYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLDLITDLLGTPSSETISGVRNDKARKYLTEMRKKQPVPFAQKFLNVDPLALRLLQRLLAFDPKDRLTAEEALADPYFKGLAKVEREPSCQPISKLEFEFERRRVTKEDVRELLYREILEYHPQLLKDYMNGNENTNFLYPSAIGQFRKQFAYLEENSGRSGPVIPPERKHVSLPRSTVHSNTIPPNMQSTSTSFDNRLVTEDTSKNFRVPDASFGNPAKVARPPPRVPSAKQGRVVGSAVPYDNGRNVKDAYDSRIFYRNSVLPPQTVSPHCFFRTNTMVQEKSISEPEKDSQTKQQPPLCNMAAKPSSGMAMDVNAYPYCQPQARVEQLNERIAIDAKLLQAQSQFGPVGAAAVAVAAHRNVGTVQYGLT >Potri.013G057700.3.v4.1 pep chromosome:Pop_tri_v4:13:4221499:4226938:-1 gene:Potri.013G057700.v4.1 transcript:Potri.013G057700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057700.v4.1 MASVAQSSTQAVSTGDVNSDANVFQLIQTHQEKAARLPPVEEIRTVLDQSTHGMLSTFSQKHGGYPSGSVVDFACDADGSPIVAVSSWAVHAKDLIANPKCSLLVAKDPEDRTDLVITLHGDSIPVSEKDVTAVRTAYLAKHPGAFRVDFGDFQFMRIEPKAVQYVSGVATTLFGSGEFSKEEYQTAKVDPIAQFSKPVASHMNRDHAEDTRLIVQHSTSIPVDSAYMLDVDSLGFNVKAVYQGNTYKLRIPFPRRAEERKDVKTLVVEMLQAAKSQIK >Potri.013G057700.2.v4.1 pep chromosome:Pop_tri_v4:13:4217300:4226938:-1 gene:Potri.013G057700.v4.1 transcript:Potri.013G057700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057700.v4.1 MASVAQSSTQAVSTGDVNSDANVFQLIQTHQEKAARLPPVEEIRTVLDQSTHGMLSTFSQKHGGYPSGSVVDFACDADGSPIVAVSSWAVHAKDLIANPKCSLLVAKDPEDRTDLVITLHGDSIPVSEKDVTAVRTAYLAKHPGAFRVDFGDFQFMRIEPKAVQYVSGVATTLFGSGEFSKEEYQTAKVDPIAQFSKPVASHMNRDHAEDTRLIVQHSTSIPVDSAYMLDVDSLGFNVKAVYQGNTYKLRIPFPRRAEERKDVKTLVVEMLQAAKSQIK >Potri.016G077700.1.v4.1 pep chromosome:Pop_tri_v4:16:5858505:5860860:-1 gene:Potri.016G077700.v4.1 transcript:Potri.016G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077700.v4.1 MLRFFSASTAAAAISDAIPQPQQSTLDSNAQMKDMMDPDFFISTLFKCRNIFQIKQVHAQVTTTGIIHDLIVANKLLYMCAKHKDLVTAHLLFNKMEERDPVSWSVMIGGFVKNGDYERCFQTFRELIRAGSKPDNFSLPFVIKACRDTMGLIMGRLIHSTVLKNGLHLDNFVCSTLVDMYAKCGMIDNAKQLFDRMPKKDLVTRTVMIAGYAECGKPNESWVLFDQMRRDGFVPDKVAMVTIVNACAKLGAMNKARLVHDYVCARRYSLDVELGTAMIDMYAKCGSIDSSREIFDRMEQKNVISWSAMIGAYGYHGQGREALELFHMMLNSGIIPNRITFISLLYACSHAGLVDDGLQLFSLMSVSYGVRPDVKHYTCMVDLLGRAGRLDQALRLIENMEVEKDEGIWCAFLGACRIHRQVDLAEKAAKLLLSLQTQNPGHYILLSNIYANAGRWKDVAKIRNLMAKRRLKKIPGYTWIEVDNIIYRFGAGDNSHLRSKEIYEMLKSLSQKLESAGYVPDTNSVLHDVDEEVKLGILHAHSEKLAIAFGLIATPDGTPIRITKNLRVCGDCHSFCKLVSAITQRDIIVRDANRFHHFKEGICSCGDYW >Potri.001G390800.3.v4.1 pep chromosome:Pop_tri_v4:1:41320632:41323783:1 gene:Potri.001G390800.v4.1 transcript:Potri.001G390800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390800.v4.1 MMRQAGRYMAVYRKLAEKYPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIQSPIRSEEGLKALHPIELEKLQFLGDSLRILRNEVEGRAAVLGFVGAPWTIATYIVEGGTTRTYTNIKSMCHTAPQVLRALLSHLTKAISDYIVFQVESGAHCIQIFDSWGGQLPPDMWDRWSKPYIEEIVSTVRNKCPETPLVLYINGNGGLLERMKGTGVDVIGLDWTVDLADGRKRLGSGISVQGNVDPAYLFSPLPALTDEIQRVVRCAGPRGHILNLGHGVLVGTPEEAVAHFFEVARSLEFTTPQGHVVEEPKLVV >Potri.001G390800.4.v4.1 pep chromosome:Pop_tri_v4:1:41320650:41323779:1 gene:Potri.001G390800.v4.1 transcript:Potri.001G390800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390800.v4.1 MDDASSRKPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIQSPIRSEEGLKALHPIELEKLQFLGDSLRILRNEVEGRAAVLGFVGAPWTIATYIVEGGTTRTYTNIKSMCHTAPQVLRALLSHLTKAISDYIVFQVESGAHCIQIFDSWGGQLPPDMWDRWSKPYIEEIVSTVRNKCPETPLVLYINGNGGLLERMKGTGVDVIGLDWTVDLADGRKRLGSGISVQGNVDPAYLFSPLPALTDEIQRVVRCAGPRGHILNLGHGVLVGTPEEAVAHFFEVARSLEFTTPQGHVVEEPKLVV >Potri.001G390800.1.v4.1 pep chromosome:Pop_tri_v4:1:41320623:41323783:1 gene:Potri.001G390800.v4.1 transcript:Potri.001G390800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390800.v4.1 MNSASLTSACSCLTWRTSSIVPVQLGFNHSTKYKSSPRRFHIACSSASSSSDPLLVKAAKGEPVSRPPAWMMRQAGRYMAVYRKLAEKYPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIQSPIRSEEGLKALHPIELEKLQFLGDSLRILRNEVEGRAAVLGFVGAPWTIATYIVEGGTTRTYTNIKSMCHTAPQVLRALLSHLTKAISDYIVFQVESGAHCIQIFDSWGGQLPPDMWDRWSKPYIEEIVSTVRNKCPETPLVLYINGNGGLLERMKGTGVDVIGLDWTVDLADGRKRLGSGISVQGNVDPAYLFSPLPALTDEIQRVVRCAGPRGHILNLGHGVLVGTPEEAVAHFFEVARSLEFTTPQGHVVEEPKLVV >Potri.006G218600.1.v4.1 pep chromosome:Pop_tri_v4:6:22386071:22390654:-1 gene:Potri.006G218600.v4.1 transcript:Potri.006G218600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218600.v4.1 MASTFFRRLSKATPVSFGGQPKSTFGAIAAVTGGISYLYYYNSPNLAHLEEVKVEGSPKVALNPDKWIEFKLQDTARVSHNTHLFRFSFDPAAKLGLDIASCIITRAPIGQDAEGKTKYVVRPYTPISDPDSKGYFDLLIKVYPEGKMSQHFATLKSGDVVEVKGPIEKLRYSPNMKKHIGMIAGGTGITPMLQVIEAILKNPDDNTQVSLLYANVSPDDILLKQKLDFLAASHPNLKIFYTVDNPSKNWKGGSGFISKDMVVKGLPGPCDDTLILVCGPPGMMKHISGDKAKDRSQGELTGLLKEAGYTEEMVYKF >Potri.002G151100.2.v4.1 pep chromosome:Pop_tri_v4:2:11537356:11539725:1 gene:Potri.002G151100.v4.1 transcript:Potri.002G151100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151100.v4.1 MGVNDTDSDKTQEEEQQDLPKVVLFRPPPAFSLIGEESFASNKFQFLKTYDSQLPLDQFLSTHSHSIKAILSSGGAPVNADILQLLPEVGVVVTTSAGLNQIDIPECRRRGIKIANAGYVYSADVADMAVGLLIDVLRKVSASDRYVRQGLWAAKGNYPLGSKVLIRESSLYMGHC >Potri.002G151100.1.v4.1 pep chromosome:Pop_tri_v4:2:11537356:11539010:1 gene:Potri.002G151100.v4.1 transcript:Potri.002G151100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151100.v4.1 MGVNDTDSDKTQEEEQQDLPKVVLFRPPPAFSLIGEESFASNKFQFLKTYDSQLPLDQFLSTHSHSIKAILSSGGAPVNADILQLLPEVGVVVTTSAGLNQIDIPECRRRGIKIANAGYVYSADVADMAVGLLIDVLRKVSASDRYVRQGLWAAKGNYPLGSKLSGKRAGIVGLGNIGYEVAKRLEAFGCFVSYNSRKKKPNVSYPFYHDVCELAANCDALIICCGLSDETRHMINKQVLSALGKEGVIVNIGRGAIIDEKEMVRCLMQGEIAGAGLDVFETEPSVPKEFFAMDNVVLSPHRAVFTPESLKDLSQLVVGNLEAFLSNKPLLSEYVDSE >Potri.011G110800.1.v4.1 pep chromosome:Pop_tri_v4:11:14102178:14107335:1 gene:Potri.011G110800.v4.1 transcript:Potri.011G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110800.v4.1 MALALPTRQRRGAASDLPSPSSSPSKPYEKEDKRKRSEEGQEHKAIGWFLPLLALGMLRYLSATSNIIHDCDEVFNYWEPLHFLLYKSGFQTWEYSSQFALRSYLYINFHELVGLPASWLFPDDKVRVFYAVRFFLGFVSVIADATLVVALSRKYGKRLASYALAMLCLTSGCFFASTSFLPSSFSMYAMSLSSGLFLLGKPAFAVAVAAVGVILGWPFSILAFMPITIYSLARRFKQTFLAGAVTSLVLLVLSVLVDYYYYKRWTSSVFNLIVYNVLGGGESHLYGIESPLFYLRNGFNNFNFCFILGLLFLAFLPIARRKYAPDLLIVVSPLYIWLVLMSLQPHKEERFLYPIYPLVCVAASAVIESFPDLFRDKYNPHDNSWPVVIAKFVRPLVLGLILSASHARTFSLINGYGAPLEAYKILEHYDDVETGSTLCVGSEWHRFPSSFFVPEYISEVRWIDDGFRGLLPLPFNSTLGGTAAAPPYFNNKNKASDEQFIRDIEACTFLVELQLNRPYPTRGSDLSTWEPIAALPYLDREFSPSMYRSFFIPYLWQEKNVFGMYKLFKRVSK >Potri.011G110800.4.v4.1 pep chromosome:Pop_tri_v4:11:14102181:14107335:1 gene:Potri.011G110800.v4.1 transcript:Potri.011G110800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110800.v4.1 MLCLTSGCFFASTSFLPSSFSMYAMSLSSGLFLLGKPAFAVAVAAVGVILGWPFSILAFMPITIYSLARRFKQTFLAGAVTSLVLLVLSVLVDYYYYKRWTSSVFNLIVYNVLGGGESHLYGIESPLFYLRNGFNNFNFCFILGLLFLAFLPIARRKYAPDLLIVVSPLYIWLVLMSLQPHKEERFLYPIYPLVCVAASAVIESFPDLFRDKYNPHDNSWPVVIAKFVRPLVLGLILSASHARTFSLINGYGAPLEAYKILEHYDDVETGSTLCVGSEWHRFPSSFFVPEYISEVRWIDDGFRGLLPLPFNSTLGGTAAAPPYFNNKNKASDEQFIRDIEACTFLVELQLNRPYPTRGSDLSTWEPIAALPYLDREFSPSMYRSFFIPYLWQEKNVFGMYKLFKRVSK >Potri.004G172300.1.v4.1 pep chromosome:Pop_tri_v4:4:18749015:18749845:1 gene:Potri.004G172300.v4.1 transcript:Potri.004G172300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172300.v4.1 MLATPTTSFLQITTTKTPCSSPFSGESYPLKPRASFTTASQAKKSQGSVDSMATTSSFYEVLGLPMSASGHEIKAAYRRLARTCHPDVVSMNQKEMSSTEFIKIHAAYSTLSDPDKRASYDRDLFRNSRPFGSSSMRSATMAAASGYTSRNWETDQCW >Potri.012G042400.1.v4.1 pep chromosome:Pop_tri_v4:12:3790213:3792409:-1 gene:Potri.012G042400.v4.1 transcript:Potri.012G042400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042400.v4.1 MEAATATFIGSSIGICRPAKSVARSGFLATIGQDFVRFPHKHCSSVSYSKPGHRRVAYGSRRCMGVRASLSSSSDSSGSIASIAPLQLESPVGQFLSQILISHPHLVPAAVEQQLEQLQTDRDAEKQKEEPSATSTDLVLYRKIAEVKANERRKALEEILYALVVQKFMDANVSLISTMASSSTDPSGGVDTRPSQDEKLERLHSPEAYDMIQNHLALILGNRMGDSTAVAQINKLRAGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNAVDEGETDIRKVVSSHPEAFSDGVSPGGLGHGLKASRLRNYVMSFDGETLQRYATIRSKEAVSLIEKHTEALFGRPEIVIALQGTIDSSKDELVKISFGGLKRLVLEAVTFGSFLWDVESYVDSRYHFVLN >Potri.012G042400.2.v4.1 pep chromosome:Pop_tri_v4:12:3790226:3792384:-1 gene:Potri.012G042400.v4.1 transcript:Potri.012G042400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042400.v4.1 MEAATATFIGSSIGICRPAKSVARSGFLATIGQDFVRFPHKHCSSVSYSKPGHRRVAYGSRRCMGVRASLSSSSDSSGSIASIAPLQLESPVGQFLSQILISHPHLVPAAVEQQLEQLQTDRDAEKQKEEPSATSTDLVLYRKIAEVKANERRKALEEILYALVVQKFMDANVSLISTMASSSTDPSGGVDTRPSQDEKLERLHSPEAYDMIQNHLALILGNRMGDSTAVAQINKLRAGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNAVDEGETDIRKVVSSHPEAFSDGVSPGGLGHGLKASRLRNYVMSFDGETLQRYATIRSKEAVSLIEKHTEALFGRPEIVIALQGTIDSSKDELVKISFGGLKRLVLEAVTFGSFLWDVESYVDSRYHFVLN >Potri.015G084800.1.v4.1 pep chromosome:Pop_tri_v4:15:10961325:10963439:1 gene:Potri.015G084800.v4.1 transcript:Potri.015G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084800.v4.1 MNRARTILKCLRLYSSVCSNQLSTTRSLSTQVTHFSFSPFSLRALSNHSHNTTYRFNTHQKLYFSSKPNSIVELLSTNEWSAELENDLESLNPSWTHETVIYVLKKLDKDPQKAWDFFNWVSERNGFRPSSLLYSLVLRIVVSKDSMKKFWITIKKMKEEGFYIDEETYLTIMGICKKEKMANDVAALKHFYDRMIDENAKDNVVKDVVRIILEREWNKEVEKKLVGMRIVLTDNFVIRVLKELRSYPVKALRFFQWVDRREGYQHNTVTYNALVRVLGRDDSIGEFWSVVDEMKNAGYEMDIDTYIKISRQFQKIKLMEDAVRLYEFMMDGPFKPSVQDCYILLRSVAASDNPDLDLVSRVVDGYKATGNSLSKSVYDGMHRSFTSAGKFDEAEKIVKAMRDAGYEPDNITYSQLVFGLCKSKRLEEACKVLDEMEAGGCIPDIKTWTILIQGHFAANQVDKGLLCLVKMTEKNTDPDADLLDVLVKGFLSQRKMDGAYTFLVEMVNKLRLVPWQATYKLLIEKLLQVRKLEEATDLLRLMKKHNYPPFPEPFDQYISKFGTVEDAVNFFKVLSVKEYPSSVAYLRMLDSFLREGRDSEARDLLFKCPHHIRKDHKISKLFGSAKTGDKTA >Potri.002G187500.6.v4.1 pep chromosome:Pop_tri_v4:2:14972288:14981167:-1 gene:Potri.002G187500.v4.1 transcript:Potri.002G187500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187500.v4.1 MAVENGRSRDKSMDEASTSKSQEVEEKSSAGNGDQQKQEKSEGDEETKTVPFIKLFSFADSKDIFLMILGTVGAIGNGASMPIMSILFGDLINSFGKNQNNKDVVDLVSKVSLKFVYLGVGSAVGSFLQVACWMVTGERQAARIRGTYLKTILRQDVAFFDKETNSGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIISFIKGWLLTLVMLSSIPLLVIAGAGLSIMIARMASRGQTAYSKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVQEGLAAGVGLGIVMLVVFCSYALAVWFGGRMILEKGYTGGDVINVIVAVLTGSMSLGQASPCMSAFASGQAAAYKMFEAINRKPEIDASDTRGKILDDIRGDIELRDVYFNYPARPDEQIFSGFSLFIPSGSTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTSSIKDNIAYGKDMATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEQEADDQKKSDISTESLRHSSQKISLKRSISRGSSDFGNSSRRSFSVTFGLPTGFNAPDNYTEELEASPQKQQTPDVPISRLVYLNKPEVPVLIAGAIAAIINGVIFPIFGILISRVIKTFFEPPHELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKMVHMEVGWFDEPEHSSGAIGARLSADAATVRGLVGDSLSQLVQNIASAVAGLVIAFVACWQLAFVILVLLPLIGLNGFIQMKFLKGFSSDAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRKKCEGPMRTGIRQGLISGAGFGVSFFLLFSVYATSFYVGAQLVQHGKTTFADVFQVFFALTMAAIGISQSSSFAPDSSKAKAAAASIFSIIDRKSQIDSSDESGTTLDNVKGEIELRHIGFKYPARPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPHSGHITLDGIDIKSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGDATEAEILAASELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.002G187500.9.v4.1 pep chromosome:Pop_tri_v4:2:14972304:14980627:-1 gene:Potri.002G187500.v4.1 transcript:Potri.002G187500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187500.v4.1 MAVENGRSRDKSMDEASTSKSQEVEEKSSAGNGDQQKQEKSEGDEETKTVPFIKLFSFADSKDIFLMILGTVGAIGNGASMPIMSILFGDLINSFGKNQNNKDVVDLVSKVSLKFVYLGVGSAVGSFLQVACWMVTGERQAARIRGTYLKTILRQDVAFFDKETNSGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIISFIKGWLLTLVMLSSIPLLVIAGAGLSIMIARMASRGQTAYSKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVQEGLAAGVGLGIVMLVVFCSYALAVWFGGRMILEKGYTGGDVINVIVAVLTGSMSLGQASPCMSAFASGQAAAYKMFEAINRKPEIDASDTRGKILDDIRGDIELRDVYFNYPARPDEQIFSGFSLFIPSGSTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTSSIKDNIAYGKDMATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEQEADDQKKSDISTESLRHSSQKISLKRSISRGSSDFGNSSRRSFSVTFGLPTGFNAPDNYTEELEASPQKQQTPDVPISRLVYLNKPEVPVLIAGAIAAIINGVIFPIFGILISRVIKTFFEPPHELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKMVHMEVGWFDEPEHSSGAIGARLSADAATVRGLVGDSLSQLVQNIASAVAGLVIAFVACWQLAFVILVLLPLIGLNGFIQMKFLKGFSSDAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRKKCEGPMRTGIRQGLISGAGFGVSFFLLFSVYATSFYVGAQLVQHGKTTFADVFQVFFALTMAAIGISQSSSFAPDSSKAKAAAASIFSIIDRKSQIDSSDESGTTLDNVKGEIELRHIGFKYPARPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPHSGHITLDGIDIKSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGDATEAEILAASELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.002G187500.8.v4.1 pep chromosome:Pop_tri_v4:2:14971876:14981135:-1 gene:Potri.002G187500.v4.1 transcript:Potri.002G187500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187500.v4.1 MAVENGRSRDKSMDEASTSKSQEVEEKSSAGNGDQQKQEKSEGDEETKTVPFIKLFSFADSKDIFLMILGTVGAIGNGASMPIMSILFGDLINSFGKNQNNKDVVDLVSKVSLKFVYLGVGSAVGSFLQVACWMVTGERQAARIRGTYLKTILRQDVAFFDKETNSGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIISFIKGWLLTLVMLSSIPLLVIAGAGLSIMIARMASRGQTAYSKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVQEGLAAGVGLGIVMLVVFCSYALAVWFGGRMILEKGYTGGDVINVIVAVLTGSMSLGQASPCMSAFASGQAAAYKMFEAINRKPEIDASDTRGKILDDIRGDIELRDVYFNYPARPDEQIFSGFSLFIPSGSTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTSSIKDNIAYGKDMATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEQEADDQKKSDISTESLRHSSQKISLKRSISRGSSDFGNSSRRSFSVTFGLPTGFNAPDNYTEELEASPQKQQTPDVPISRLVYLNKPEVPVLIAGAIAAIINGVIFPIFGILISRVIKTFFEPPHELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKMVHMEVGWFDEPEHSSGAIGARLSADAATVRGLVGDSLSQLVQNIASAVAGLVIAFVACWQLAFVILVLLPLIGLNGFIQMKFLKGFSSDAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRKKCEGPMRTGIRQGLISGAGFGVSFFLLFSVYATSFYVGAQLVQHGKTTFADVFQVFFALTMAAIGISQSSSFAPDSSKAKAAAASIFSIIDRKSQIDSSDESGTTLDNVKGEIELRHIGFKYPARPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPHSGHITLDGIDIKSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGDATEAEILAASELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.002G187500.4.v4.1 pep chromosome:Pop_tri_v4:2:14972293:14981129:-1 gene:Potri.002G187500.v4.1 transcript:Potri.002G187500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187500.v4.1 MAVENGRSRDKSMDEASTSKSQEVEEKSSAGNGDQQKQEKSEGDEETKTVPFIKLFSFADSKDIFLMILGTVGAIGNGASMPIMSILFGDLINSFGKNQNNKDVVDLVSKVSLKFVYLGVGSAVGSFLQVACWMVTGERQAARIRGTYLKTILRQDVAFFDKETNSGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIISFIKGWLLTLVMLSSIPLLVIAGAGLSIMIARMASRGQTAYSKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVQEGLAAGVGLGIVMLVVFCSYALAVWFGGRMILEKGYTGGDVINVIVAVLTGSMSLGQASPCMSAFASGQAAAYKMFEAINRKPEIDASDTRGKILDDIRGDIELRDVYFNYPARPDEQIFSGFSLFIPSGSTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTSSIKDNIAYGKDMATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEQEADDQKKSDISTESLRHSSQKISLKRSISRGSSDFGNSSRRSFSVTFGLPTGFNAPDNYTEELEASPQKQQTPDVPISRLVYLNKPEVPVLIAGAIAAIINGVIFPIFGILISRVIKTFFEPPHELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKMVHMEVGWFDEPEHSSGAIGARLSADAATVRGLVGDSLSQLVQNIASAVAGLVIAFVACWQLAFVILVLLPLIGLNGFIQMKFLKGFSSDAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRKKCEGPMRTGIRQGLISGAGFGVSFFLLFSVYATSFYVGAQLVQHGKTTFADVFQVFFALTMAAIGISQSSSFAPDSSKAKAAAASIFSIIDRKSQIDSSDESGTTLDNVKGEIELRHIGFKYPARPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPHSGHITLDGIDIKSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGDATEAEILAASELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.002G187500.7.v4.1 pep chromosome:Pop_tri_v4:2:14971876:14981125:-1 gene:Potri.002G187500.v4.1 transcript:Potri.002G187500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187500.v4.1 MAVENGRSRDKSMDEASTSKSQEVEEKSSAGNGDQQKQEKSEGDEETKTVPFIKLFSFADSKDIFLMILGTVGAIGNGASMPIMSILFGDLINSFGKNQNNKDVVDLVSKVSLKFVYLGVGSAVGSFLQVACWMVTGERQAARIRGTYLKTILRQDVAFFDKETNSGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIISFIKGWLLTLVMLSSIPLLVIAGAGLSIMIARMASRGQTAYSKAASVVEQTIGSIRTVASFTGEKQAISNYKKFLVTAYNSGVQEGLAAGVGLGIVMLVVFCSYALAVWFGGRMILEKGYTGGDVINVIVAVLTGSMSLGQASPCMSAFASGQAAAYKMFEAINRKPEIDASDTRGKILDDIRGDIELRDVYFNYPARPDEQIFSGFSLFIPSGSTAALVGQSGSGKSTVISLIERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTSSIKDNIAYGKDMATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESEQEADDQKKSDISTESLRHSSQKISLKRSISRGSSDFGNSSRRSFSVTFGLPTGFNAPDNYTEELEASPQKQQTPDVPISRLVYLNKPEVPVLIAGAIAAIINGVIFPIFGILISRVIKTFFEPPHELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKMVHMEVGWFDEPEHSSGAIGARLSADAATVRGLVGDSLSQLVQNIASAVAGLVIAFVACWQLAFVILVLLPLIGLNGFIQMKFLKGFSSDAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRKKCEGPMRTGIRQGLISGAGFGVSFFLLFSVYATSFYVGAQLVQHGKTTFADVFQVFFALTMAAIGISQSSSFAPDSSKAKAAAASIFSIIDRKSQIDSSDESGTTLDNVKGEIELRHIGFKYPARPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPHSGHITLDGIDIKSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGDATEAEILAASELANAHKFISSLQQGYDTVVGERGIQLSGGQKQRVAIARAIVKSPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.006G027400.4.v4.1 pep chromosome:Pop_tri_v4:6:1776469:1780134:-1 gene:Potri.006G027400.v4.1 transcript:Potri.006G027400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027400.v4.1 MNPKSGSSSTAASPVREGGGGRMDKGHKPKRLYQVWKGSNRFFCGGRLILGPDVASIFLTTLLIAAPAIAFCIKVYYKIVDEGSVNARWYPVLVVGFILTVLTLVFLFLTSFRDPGIVCRNTRPTESDETGGANTPSMEWIRGRTPYLRLPRTKDAMVNGHTVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTATILCLYIFGFSWIFILNGKRNVWKTATHDILADFLMVYCFITIWFVGGLTAFHSYLICTNHTTYENFRYRYDKKENPYNRGVIRNIREIFFSKILPSMNKFRSFVDEDEHMAVGSLTPNLGDNLDRSKGKINIEMGAKVAGASNYSLPEILRTLDYDDDSDDNLKMKVEDGRPVMDMSFHGELDLKESVQISIVGNGSIESESAISSRESVQISIAEDGAGESAKSAIADNAVIESSQNSTSEDGVYINNSFVEDRTNPAEGSTDDHNSHQTTAPVLQV >Potri.009G041700.4.v4.1 pep chromosome:Pop_tri_v4:9:4896522:4899314:1 gene:Potri.009G041700.v4.1 transcript:Potri.009G041700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041700.v4.1 MSYTRRSRYSLSPSPYRRDSRPDSRSLSRSSSRSRSRSRSRSYSRDVENPGNNLYVTGLSPRITKKELEKHFSAEGTVIDVHLVVDPWTRESRGFGFVTMSTVEEADHCIKYLDRSVLEGRVITVEKLLWQQVSCT >Potri.009G041700.1.v4.1 pep chromosome:Pop_tri_v4:9:4896569:4899195:1 gene:Potri.009G041700.v4.1 transcript:Potri.009G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041700.v4.1 MSYTRRSRYSLSPSPYRRDSRPDSRSLSRSSSRSRSRSRSRSYSRDVENPGNNLYVTGLSPRITKKELEKHFSAEGTVIDVHLVVDPWTRESRGFGFVTMSTVEEADHCIKYLDRSVLEGRVITVEKAKRKRGRTPTPGRYLGLRTIRVHRWTPSNSPYRSPSHSPLRRSRSRSPRYSSERNRNRSYSPCYHRHRSYSPYYYNHHRYLSRSRSPYSTSPVSRHDRSYSPYYSRHYSPDDRYYRRHHYCSVSRSPTPCRARRSYSRSISPSPWRRTSRRSYSRSISPAPRRSYPRSISPSPRRSSRRSSYSRSEFPAPRRSSRRSYSRSVTPRLRNSLRRRGRSRDSSSASPTSRCASSSVTPSSELASPSH >Potri.013G069675.1.v4.1 pep chromosome:Pop_tri_v4:13:5601584:5602278:-1 gene:Potri.013G069675.v4.1 transcript:Potri.013G069675.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069675.v4.1 MVRLQVVDSAVRTPSSISEQATNHSSFLPFLFLFTNFVFLINEYLWKAQRETKHTALLATALLTSIRSPLSLSLSPCGHYSNFEASFNRFQPRRTPESYSLYSLVNKDAFPSRFPFLESTINQG >Potri.T001500.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:84558:89942:1 gene:Potri.T001500.v4.1 transcript:Potri.T001500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T001500.v4.1 MTEPESSRSRPEGTYDVFLSFRGKDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEIHDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVQPIFYNIDPSDVRKQNGSFAKAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGIDRLAHNIIDFLSTATDDVLIVGIHGMPGIGKTTIGRVVFNQLCYGFEESCFLSNINETSKQFNGLVPLQKQLLHDIFKQDAANINCVDRGKVLIKERLCRQRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPDESLQLFSWHALRDTEPAEDYIELSKDVVDYCGGLPLALEVMGACLSGKNRDGWKSVIDKLRRIPNHDIQGKLKISYDSLDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLETLRGRSLIKVNAIGKITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVKASEAKSLSTGSFAKMKRLNLLQINGVHLTGSFKLLSRELMLICWLQCPLVYFPSDFTIDNLAVLDMQYSNLKELWKGKKILNRLKIINLSHSQNLIKTPNLHSSSLKKLKLKGCSSLVEVHQSIGNLTSLIFLNLEGCWRLKILPESIVNVKSLKRLNISGCSQLEKLPERMGDMESLIELLADGIENKQFLSSIGQLKYVRRLSLRGYSFSQDSPSWLSPSSTSWPPSISSFISASVLCLKRLLPTTFIDWRSVKSLELSYVGLSDRVTNCVDFRGFSSLEELDLSGNKFSSLPSGIGFLAKLEMMDVQECKYLVSIRDLPSNLVYLFAGGCKSLERVRIPIQSKKELYINLHESHSLEEIQGIEGQSNIFWNILVDDCIPSPNKLQKSVVEAFCNGCYRYFIYCLPGKMPNWMSYSGEGCPLSFHIPPVFQGLVVWFVCSLEKVHRHSIYLDIDIIIIIRNKSNGIQLFEDERTKYTYPAPKTGGWIRYISGSEMAMEDYCADDELELYIYSKPIRIAVRNSYPFYLLHIKECGVHVIAGKSNSFEESEVERDTVMPYHLLPHPPCGSITASTPKQWSDYLFPKLQNHNLNLTLDGDI >Potri.T001500.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:84783:89977:1 gene:Potri.T001500.v4.1 transcript:Potri.T001500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T001500.v4.1 MTEPESSRSRPEGTYDVFLSFRGKDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEIHDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVQPIFYNIDPSDVRKQNGSFAKAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGIDRLAHNIIDFLSTATDDVLIVGIHGMPGIGKTTIGRVVFNQLCYGFEESCFLSNINETSKQFNGLVPLQKQLLHDIFKQDAANINCVDRGKVLIKERLCRQRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPDESLQLFSWHALRDTEPAEDYIELSKDVVDYCGGLPLALEVMGACLSGKNRDGWKSVIDKLRRIPNHDIQGKLKISYDSLDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLETLRGRSLIKVNAIGKITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVKASEAKSLSTGSFAKMKRLNLLQINGVHLTGSFKLLSRELMLICWLQCPLVYFPSDFTIDNLAVLDMQYSNLKELWKGKKILNRLKIINLSHSQNLIKTPNLHSSSLKKLKLKGCSSLVEVHQSIGNLTSLIFLNLEGCWRLKILPESIVNVKSLKRLNISGCSQLEKLPERMGDMESLIELLADGIENKQFLSSIGQLKYVRRLSLRGYSFSQDSPSWLSPSSTSWPPSISSFISASVLCLKRLLPTTFIDWRSVKSLELSYVGLSDRVTNCVDFRGFSSLEELDLSGNKFSSLPSGIGFLAKLEMMDVQECKYLVSIRDLPSNLVYLFAGGCKSLERVRIPIQSKKELYINLHESHSLEEIQGIEGQSNIFWNILVDDCIPSPNKLQKSVVEAFCNGCYRYFIYCLPGKMPNWMSYSGEGCPLSFHIPPVFQGLVVWFVCSLEKVHRHSIYLDIDIIIIIRNKSNGIQLFEDERTKYTYPAPKTGGWIRYISGSEMAMEDYCADDELELYIYSKPIRIAVRNSYPFYLLHIKECGVHVIAGKSNSFEESEVERDTVMPYHLLPHPPCGSITASTPKQWSDYLFPKLQNHNLNLTLDGDI >Potri.002G104800.1.v4.1 pep chromosome:Pop_tri_v4:2:7685488:7693057:1 gene:Potri.002G104800.v4.1 transcript:Potri.002G104800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104800.v4.1 MHVEGDLGFSSLVVLVFCVVVIPVGWFVIRCKWRGVVARKEEIKRLMVLAAEEAARAEFEATVSYSTVPVSYGTVPVSKNNYQCVVCFCPRTTRCSRCKAVRYCSGKCQIIHWRQGHKEECRRPPTTYHINDDGGNPGQRAAKQDQHDIYDGRYEKRPIETFSVEPVVSDSSYSPGVSLLKDDDIIVDSVLDTEGADSISESPGTSFSGFSTPTGSSFSGFSAHSNGESSDSVSVSESISSNETEGSDRQTPADIAPDTLESSVNEVATTKPSSPKFATLVDSIGSFNKLSKSNHGNDRESQCSSSSSSHSISAGNDETITKPAKVSSGFWWRTLDSVGPSSDAGDGSALSNFNGPGNSKSSNDKPSLLFKSNLSGSDALISHAKSSKVNNIISDDAPPSVPGVSRPADGAVSPEKNGFDALKVKRSPTISFERSNLVDNNSGGGSNVSIESKSVSSSSPYAHVSFSSGGVKLDPSASKVCRSQALRSERSNVVVDDIVDTSHLSKYRLSSSASQTHLNSSVGGHSVSSVKLGGKVENVEPGAAATSQISSYSPSSINGLKSSVWKVVDQFRGPKCGRYSNKGLFPYDLFVKLYTSNKVEMRPCGLINCGNSCYANAVLQCLAFTPPLTSYFVQGLHSKACVKKERCFSCEFEKVILKAKEGKSPLSPIGILSQLQNIGSQLGNGREEDAHEFLRYAIDAMQSVCLKEARVNAVDSFEEEATLIGLTFGGYLRSKIKCMKCHYKSEWQERMMDLTVEIEGDIGKLEDALRRFTGTEILDGDNKYQCGRCRSYEKAKKKLTILEAPNVLTIALKRFQSGKFGKLNKSIRFPEILDLAPYMSGTSDKSPIYRLYGVIVHLDVMNAAFSGHYVCYVKNIQNKWFKIDDSTVTAVELERVLSKGAYMLLYARCSPRAPRLIRSRIISSDPKNKCSPSKIKATNTALNSRSMSMQSSVQSHPDSIPSDNLASVESFYLKLHRLLRISEEDSSSDNFSFTSGNSDEASCSTDSTHDSTSTDDLSDYIFGSWNSWRNTSDSDTSSSSSPLYSRYSPHADKNQNDSHAYSRIGGPDLSDRIPSGGRKLVDLEGKRGNSFLHPDTTEQCRKLPSSNSCRDKVSTKLGSLNPLNDVKSGVSFRRSVSERTD >Potri.019G017150.2.v4.1 pep chromosome:Pop_tri_v4:19:2783889:2784524:-1 gene:Potri.019G017150.v4.1 transcript:Potri.019G017150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017150.v4.1 MGAISSLLCFFLIHVLLLSSHSSYICKAFEWSSSDAISSNDFPNYKVGVLRRSIPSPPPPRVNPPLHSIRPSPRAPPPPPY >Potri.013G038700.1.v4.1 pep chromosome:Pop_tri_v4:13:2617160:2621385:-1 gene:Potri.013G038700.v4.1 transcript:Potri.013G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038700.v4.1 MVTKTEETQLNRLESQVDNGGGGAWEYLCMVRKLKVRRSVKVLKHGLLILNDSKKRSSLGPEEWTLYEQVAVAAMDCQSLEVAKDCVNALQKKFPESKRVGRLEAMLFEAKGSWGEAEKAYSSLLEDNPFDQVVHKRRVALAKAQGNLTGAIDLLNKYLETFMADHDAWRELAEIYISLQMYKQAAFCYEELILSQPTVPLYHLAYADVLYTLGGIENLLTAKKYYSSTIDLTGGKNTRALFGICLCTSAIAQLSKGRNKEDKDSPDLQSLATAALEKEYKQRASDKLSVFTSGFKSLKVSS >Potri.001G029000.1.v4.1 pep chromosome:Pop_tri_v4:1:2178788:2181037:-1 gene:Potri.001G029000.v4.1 transcript:Potri.001G029000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029000.v4.1 MIHHSLVSPTYFGAIFQSSRVSLSFEANPCCKSKFFLSCLTTLKMKHHVWLSLNGNSGETKLTTCFRTLECS >Potri.007G034000.8.v4.1 pep chromosome:Pop_tri_v4:7:2659602:2660812:-1 gene:Potri.007G034000.v4.1 transcript:Potri.007G034000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034000.v4.1 MCYKVTCKQCNKYSWGGCGNHLTAVYAGIEKGKHCTCKPWPGVVILTEETAAEQQPYRALSANSATKAAADARHHRRWWRV >Potri.007G041500.1.v4.1 pep chromosome:Pop_tri_v4:7:3484836:3485535:-1 gene:Potri.007G041500.v4.1 transcript:Potri.007G041500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041500.v4.1 MANAACTCLFFLLMLLSYNILCVEGRSLELKKALKHAKRCNPGGKSIERNTLLNSASPSNHLHHTVKTSDGFVEAFRPTNPGHSPGVGHSVHN >Potri.007G114375.1.v4.1 pep chromosome:Pop_tri_v4:7:13460442:13461136:-1 gene:Potri.007G114375.v4.1 transcript:Potri.007G114375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114375.v4.1 MASLRFLTFLSPLLLITLSMVDNTCRTEARRILETTLPMVPELPKPELPEMPPLPKVELPTIPKPELPELPKPEVPKMPELPSFPHFPELPKTTLPTIPALPKDIKPPQSTTSP >Potri.001G058001.1.v4.1 pep chromosome:Pop_tri_v4:1:4382098:4382773:1 gene:Potri.001G058001.v4.1 transcript:Potri.001G058001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058001.v4.1 MRVTRIKIKGNWEVTSNGKNGRLGPVVIAVVLFVLLSPGSLFQFPGRRRVVEFGNMQTSGIAILVHTIIFFGLITIFLVVIGVNIYTG >Potri.005G022300.1.v4.1 pep chromosome:Pop_tri_v4:5:1433529:1434255:1 gene:Potri.005G022300.v4.1 transcript:Potri.005G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022300.v4.1 MGSRNTTVLFFAAIALFLFLHFPSQTEATIPTELVSKVCPQSEIRSYEICVKSLMANPKSLMVTSAKAVAENALDRARRETTATSCFFAALLKRKDINPASKAALESCSSLFKQSVTFISLDGLSGGTASLDLHSALDKATECKSELSAAHVSIKSVTDKLEEWGNFYSIASEAVYAVENPEH >Potri.003G109500.1.v4.1 pep chromosome:Pop_tri_v4:3:13188014:13189573:1 gene:Potri.003G109500.v4.1 transcript:Potri.003G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109500.v4.1 MPIKSFLLSIFLLSLSMHALCNARNIGFVKEGFHAEVYFSAKVGEKVEHSMDSVHGTNDREDISEKSTPGAAIITQESKDSKAITKSSGHDQNPIKPLVPVSWRVPHKNLHQIPGFQSDYAMPRTRTPSHN >Potri.007G130100.2.v4.1 pep chromosome:Pop_tri_v4:7:14431897:14434628:-1 gene:Potri.007G130100.v4.1 transcript:Potri.007G130100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130100.v4.1 MKIQCDVCEKAPATVICCADEAALCEKCDIEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCRDCDEPIHSAGSLSANHQRFLATGIRVALSSSCSKDTQKSSLEPPNQSEQQTSKLPWQHASSFGSSWAVDDFLQFSDIEESTDKKEQLGLGEFDWLADMGLFSEQLPQEALAAAEVPQLPISPPTNVNAYRPPKFSMSHKKPRIEIDDDEYFTVPDLG >Potri.003G060500.1.v4.1 pep chromosome:Pop_tri_v4:3:8717629:8726826:1 gene:Potri.003G060500.v4.1 transcript:Potri.003G060500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060500.v4.1 MNYRFQNLLGAPYRGGNVVITQNTQLISPVGNRVSITDLIKSQTITLPLQSSSNIRRIAASPDGTFLLTVDENHRCHFINTARRVILHRINFKNTVNAVKFSPDGKFIAVAAGKLVQLWRSPGFKKDFFAFELVRTIADCEDTVTAIDWSLDCKYLLVGSKDLSARLFCVEKLKDGILNKPFLFLGHRDNVVGCFFGYDKKNTNKVSKVYTITRDCYIFSWGYSGNNDGNFDENDGGISEPAFPGTPERDGEGNMDSGSVGTVKKRKDFDGKDEGYLHKEKWELLRKDGFMQSPAKLTACDYHRGLDMVVVGFSNGVFGLYQMPDFVCMHLLSISREKITAAVFNEIGNWLTFGCAKLGQLLVWEWRSESYVLKQQGHYFDVNCLTYSPDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTALHFMANNHCLLSASLDGTVRAWDLFRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDVLSGHAGPVHGLTFSPSNAVLASSSWDKTVRLWDVFEGKGAVETFPHTHDVLTVVYRPDGRQLACSTLDGQIHFWDTIDGLLMYTIEGRRDIAGGRLMTDRRSAANSTAGKCFTTLCYSADGSYILAGGSSKFICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKKMTDAGPLDLIDDDDSDAEEGVDKQTRGKLGYDLPGSMPNRGRPIIRTKCLRIAPTGRSFAAATTEGVLVYSIDESFIFDPTDLDMDVTPEAVEEALDEDQPNRALIISLRLNEDSLIKKCIFSVSPLDIPAIASSVPYRYLQRLIEAFSDLLESCPHLEFILRWCQELCKAHGNSIQQNSRNLLPALKSLQKAITRIHQDLADTCSSNEYMLRYLCSSNNK >Potri.009G101300.4.v4.1 pep chromosome:Pop_tri_v4:9:8954897:8955994:-1 gene:Potri.009G101300.v4.1 transcript:Potri.009G101300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101300.v4.1 MSIQPRPLPPPRNPRPTMLNKQLSWSPDMTREEVWLRRKGNSATRRRCSKSVTDDDLEELKACIELGFGFGPDSSDLDPKLSDTLPALGFYCALNKQYSSCLSRSASTSSLLSVSGDDPEMVKKRLRQWAQIVACSVKQFSGEPN >Potri.009G101300.3.v4.1 pep chromosome:Pop_tri_v4:9:8954896:8955995:-1 gene:Potri.009G101300.v4.1 transcript:Potri.009G101300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101300.v4.1 MSIQPRPLPPPRNPRPTMLNKQLSWSPDMTREEVWLRRKGNSATRRRCSKSVTDDDLEELKACIELGFGFGPDSSDLDPKLSDTLPALGFYCALNKQYSSCLSRSASTSSLLSVSGDDPEMVKKRLRQWAQIVACSVKQFSGEPN >Potri.001G260800.1.v4.1 pep chromosome:Pop_tri_v4:1:27702742:27704728:1 gene:Potri.001G260800.v4.1 transcript:Potri.001G260800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G260800.v4.1 MGYLSCKADSAIAIISTTPSSQASSSPSTSRTKQEKPIKIRQFNYSDIEAATDGFSDQKLLGKGSHGCVYKAVLRGRHVAVKKPSKDLEIGQEVDNEIEILSKIHSPRFVNLLGFANDTKDRLLVVEFMSNGTLYDTLHSNSRPPNWGRRIRMALQIAKAVDTLHSQNPPVIHRDIKSANVLIDRNFNARLGDFGLALRCGVDDDYRLKSTPPAGTIGYLDPCYVTPDNLSTKTDVFSFGIFLLEIISGRKAIDVGHSPSSIVDWAIPLIKKGKLGAIYDPRTVPLKDPMIRKQLALIASKCVRSCRERRPSMKEVVDWLAALSKLVPLHSWNGFNNPCMMVETVGRPAELRNTQFGSRPQDDGEEILEGIYGKMGRKSVMDTRRVYSDLGFRSNLMELMAWTDAETDGLNLV >Potri.002G224000.1.v4.1 pep chromosome:Pop_tri_v4:2:21203403:21206903:1 gene:Potri.002G224000.v4.1 transcript:Potri.002G224000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224000.v4.1 MKIVHTSAANGDDTIYKTIEVAVIDRRDLTPPPVFPFPVVGDELNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLGLRSIICLCPEPYTEATTEFLKDGGIRLYQFGIESYKEPFVNIPQDTIREALQVVLDVKNHPILIHCKRGKHRTGCLVGCLRKLQKWCLSSIFDEYQRFAVAKARVSDQRFMELFDVSTLKHLPMSFSCLKR >Potri.002G224000.3.v4.1 pep chromosome:Pop_tri_v4:2:21203484:21203921:1 gene:Potri.002G224000.v4.1 transcript:Potri.002G224000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224000.v4.1 MKIVHTSAANGDDTIYKTIEVAVIDRRDLTPPPVFPFPVVGDELNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLGLRSIICLCPEPYTEATTEFLKDGGIRLYQFGIESYKVV >Potri.002G224000.2.v4.1 pep chromosome:Pop_tri_v4:2:21203433:21206831:1 gene:Potri.002G224000.v4.1 transcript:Potri.002G224000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224000.v4.1 MKIVHTSAANGDDTIYKTIEVAVIDRRDLTPPPVFPFPVVGDELNLIPPLNFAMVDNGIFRSGFPDSANFSFLQTLGLRSIICLCPEPYTEATTEFLKDGGIRLYQFGIESYKEPFVNIPQDTIREALQVVLDVKNHPILIHCKRGKVCPLLVS >Potri.019G015111.1.v4.1 pep chromosome:Pop_tri_v4:19:237402:243034:1 gene:Potri.019G015111.v4.1 transcript:Potri.019G015111.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G015111.v4.1 MPPPPSSSSSSSSTATSFRSFSNRCHSHEESSSSSSSSSSLNPHRNNRHRSVRSSSAIESISRLPSIRETQFLLSLQNKEISGILQKWVNYGKGWRPRFFLLDDGVLSYYKTNGRHHHHHHHHHHRKKNTPPGEDISRWISRLGNPIGEIHLKVSSIKESRSDDKRFSIFTGTKTLHLRAGSREERVEWMEALQAVKDLYPRVPNSGELTGNGGLVVVSTKRLRQRLMDEGLSEEAIKESEQIMRDEFSLLHNHLVVLKQKQALLVDTLRQLESEKVDLEDTVVDESQRQHENMSGLRQQKSAEGSASGSDDDHDRHDAAEEETDDEDDDDEFLDTQDFLSSSSFKSAESEFQKTPYDSDEDEGPLDIEDGMDSCIKFVGSNYPCVMRRKKLPDPVEKEKGVSLWSMIKDNIGKDLTRVCLPVYFNEPISSLQKCFEDLEYSFLLDRAYEFGKMGNSLMRALNVAAFAVSGYASTDGRHCKPFNPLLGETYEADYPDKGIRFISEKVSHHPMVVACHCEGRGWKFWGESDLKSKFWGRSIQLDPVGTLTLEFDDGEIFQWSKVTTSIYNLILGKLYCDHYGTMKIQGNQKYSCKLKFKEQSLIDRNPHQVLGGVQDKNGKTVASLFGKWDDSMHYKINGDSRNANGSEPHLLWKRSKPSKHLTRYNLTRFAITLNELTPELKEKLPSTDSRLRPDQRCLENGEYTKANEEKLRLEQRQRQARKMQERGWKPRWFVKEKGGETYSYVGGYWEAKERGNWESCPDIFDQVSPDQNFD >Potri.009G102500.1.v4.1 pep chromosome:Pop_tri_v4:9:9034699:9035558:1 gene:Potri.009G102500.v4.1 transcript:Potri.009G102500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102500.v4.1 MANLSFLEFQYKLSRNKFLRKPSRLFSSRDRQSSGMLSTFQPNMKEMRQVFDKFDSNKDGKISQQEYKDTLRALGQGNMLGEVPKIFQVVDLDGDGFIDFKEFVEAQKKGGGIRTTDIQTAFQTFDSNGDGKISAEEVMEVLRRLGERCSLEDCRRMVNAVDIDGDGMVNMDEFMTMMTRSMTSG >Potri.019G050200.1.v4.1 pep chromosome:Pop_tri_v4:19:8306719:8308603:1 gene:Potri.019G050200.v4.1 transcript:Potri.019G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050200.v4.1 MALAGLPSKMLAVELAVMVLVLLAMAQQSMQLSLRRPLPEVAKKINGNTVSYLGIVVSSSASEDALLNSGFFVPSTHVPYIDLVGRRFNIGKIKDVHVVVVDVGGEIPNVVLGTQVLFDLLSIRGIIHFGSAGSVSDSLRLGDVAVPESVAFTGNWEWKSNASTRGKLKFGDFNLPQKGVNSLGSADFQKVKLYTSGNPSQNLLWLPVDSNWLAVASELQGLKLQECVNEITETNCLENTPEIVFGGRGSSADIYLKNAAYGEFLANRFNATFVDTSSAAVALASLTNEVPYILFRAISNSVIQGTSGPNSHYLATANSVKVAVKFIELIGKPNWVFKY >Potri.015G026900.1.v4.1 pep chromosome:Pop_tri_v4:15:2041111:2048342:1 gene:Potri.015G026900.v4.1 transcript:Potri.015G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026900.v4.1 MEQKHMLLSALSVGVGVGMGLGLASGQKVSRWAGGCGSIDGVTAEQIEQELMRQVVDGRDSKVTFEEFPYYLSEKTRMLLTSAAFVHLKHADFSKHTRNLSPASRAILLSGPAEFYHQMLAKALAHNFESKLLLLDVSDFSMKMQSKYGCSKKEYSFKRSISGVTLERMSSLFGSFSILSPKEETRGKEGSSNSPKLRRNTSTASDMSSMTSQSSSMNPAPLKHTSSWCFDENLFLQSLYQVLVSVSERSSIILYLRDAEKLLLQSQRMYNLLDKLLKKLSGNVLILGSRMLDQEDDCKEADERLAMLFPYNIEIKPPEDEIHLVSWKAQLEEDMKKIQFQDNKNHIAEVLAANDIECDGLSSICHGDTMVLSNYIEEIVVSAISYHLMNNKDPEYRNGKLLISSKSLSHGLSIFQEGKSDGKDTLKLETNAEAGKEAEGEEAVGAKNNSKTEKEKSVTGAKKDSENQPKAPEVPPDNEFEKRIRPEVIPANEIGVTFADIGALDETKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLLTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESRERILKTLMSKEKTEDLDFKELATMTEGYTGSDLKNLCVTAAYRPVRELLQQERVKDKEKKQKAEEGTSSEDAADTKEEGKEESVIILRPLNMDDMRQAKNQVASSFATEGTVMNELKQWNELYGEGGSRKKQQLTYFL >Potri.005G112150.1.v4.1 pep chromosome:Pop_tri_v4:5:8123854:8124666:1 gene:Potri.005G112150.v4.1 transcript:Potri.005G112150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112150.v4.1 MKMEEEYKQELPSFSTSKSLKYIHSPSSYPKPSNSSPFTCIAIIFSPTRTTEFVPGKLHHLIILIWSLSQPIDLVRCLLHCTTLLSPLPNSFRVNSNQAMVYVGGTIR >Potri.005G024966.1.v4.1 pep chromosome:Pop_tri_v4:5:1579157:1581030:-1 gene:Potri.005G024966.v4.1 transcript:Potri.005G024966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024966.v4.1 MATSNVVCALVLSSLFHFLLVPVSSTRGVNVLKKCGFKAIYQLGDSIADTGNLIRENPLSPYASFPYGLKLSKPTGRCSNGLLMIDYIARSAKLPCPGAYLNSARKFSGGRGGVNFAVAGSTALPAEVLSSKNIMNIVTNESLSTQLEWMFSYFNTTCSKDCAKEIKSSLFMVGEIGGNDYNYAFMFNKTTEEISALVPEVVRAIKDAVAKAIGRGARRVVVPGNFPIGCFPVYLSQFQPNDAAAYDEFHCLKGLNSLASYHNELLKQTVEGLKTNYPDVIIVYGDYYKAFMSIYQNAQSLGFDTKSMQKACCGTGGDHNFSLMRMCGAPDVPVCPKPDQYISWDGVHLTQKAYQHMAEWLINDIFPKLQCSA >Potri.007G042300.1.v4.1 pep chromosome:Pop_tri_v4:7:3606863:3608517:1 gene:Potri.007G042300.v4.1 transcript:Potri.007G042300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042300.v4.1 MVKVAAAAAAAAQVGVKTRTRATKKRSRHIKISIKVRSNVTSSRQERVIIRSDNSASYPLDVNSGHRMVTEERCSSPSLDDDFEDNHSLMSSTSCCSSNGSCDEIIKFTDLEEERVEVETSMYYSSSRREREKTPTSNALREESTSENMDSTATPAPLKKPNSHRRSTAAAVIRITDEEVEKFFCEIEKTVPQRFKDKYNFDFDKDEALEGRYEWVRLNP >Potri.009G129600.2.v4.1 pep chromosome:Pop_tri_v4:9:10601874:10604576:-1 gene:Potri.009G129600.v4.1 transcript:Potri.009G129600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129600.v4.1 MDPFTDFNPPPAVPRHVPSTHPDPISSEAELKDSVAARKIQKADREKLRRDRLNEHFVELGNTLDPDRPKNDKATILADTVQLLKDLNSKVDKLKAEHAALSEESRELTLEKNDLREEKASLKSDVENLNIQCQQQLRATYPWAAMDHSVMMAPPSYPFPMPVPMPPGPIPMHPSMQPYPFYGNQNPAVIHNPCSTFVPYIAPNTLFDQQSAQHVSSLAQSASRSHVSVKQDSKNKSSGESKVEKSKDSNDVTTDLELKTPGSTSDQDLTSVQRKSKKSMGKESSVTKESSSSRCSSSHSVQDSSSNSVVGNTKVDDLDKREN >Potri.005G021500.1.v4.1 pep chromosome:Pop_tri_v4:5:1382383:1383640:1 gene:Potri.005G021500.v4.1 transcript:Potri.005G021500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021500.v4.1 MQRRSNGCTTDHTKAKKERVIKPESLLSKKVGVFFSSVFGKKKPPKACSKVHKNGDGYLPFGVALRPASPEYYALKKLLEIAEEEEEEEEEPKVENRENTPSTAADNYLKVSFPFLSFFSRYTSLGFFRGKLNTGNGRNHNLLGGVGMSDTLTERKDSSSNLLTNSSSVLSTRLQKLKMAGSFAKTAMRQIGGTSEQVREERREECGEELCKKRILMGEKCKPLNFSGSLHYDEDGILLPEVLLL >Potri.014G017400.1.v4.1 pep chromosome:Pop_tri_v4:14:1081919:1083063:1 gene:Potri.014G017400.v4.1 transcript:Potri.014G017400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017400.v4.1 MSCNGCRVLRKGCSETCVLRSCLHWIPTPEAQGNATLFLAKFFGRSDLISLISAVPESQRPVLFQSLLFEACGRTVNPVNGAVGLLWSGNWHVCQAAVESVLAGETLRPLPGILTGVLAPNCDESSDSFSAAAYAVHSMTWNQSKPFDKENNNQVVSDHHVNLCLARGRGGRDKRGRDAVSFYTGGESETTSFESSGADKNKLLNLFV >Potri.019G127300.1.v4.1 pep chromosome:Pop_tri_v4:19:15043376:15045530:-1 gene:Potri.019G127300.v4.1 transcript:Potri.019G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G127300.v4.1 MSRDRERFEEIGKRIKRESDASSLMGRRQMLGPPGILNTVTPCAACKLLRRRCAEECPFSPYFSPLEPQKFAAVHKVFGASNVSKLLMEVPESQRADAANSLVYEANLRLRDPVYGSMGAISALQQQIQLLQAELSATRADILNYKYREAAASNIISSTHAALVSSATASISAPSHTLAPPPPPPPTPPPLSVVVSLSTSSPSLYTPPTSTSGYSTISSDNNVPYFD >Potri.005G066700.1.v4.1 pep chromosome:Pop_tri_v4:5:4323368:4326127:1 gene:Potri.005G066700.v4.1 transcript:Potri.005G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066700.v4.1 MAVRLLYHQPTLLTSSTSLLSLRLFSSIQNHNFSSDNDAFSPRNDTLLPTLQPSNDADLLSQILLHHHNPFHAMESSLQLPGISLTPSLLHQTLLRLRHSSKIALSLFHHSLSLPPTSTDTPSTSTGTSTTSADSSAATTVTYNLMIDILAKVRQFDVCWQLIVEMDQRNVKPTSETFFVLIRRLVAAGLTRQAIRAFDDMESFVSEPVNETHFCFLLDTLCKYGYVKVGVEIFNKRKHRFSPNVKMYTVLIYGWCKIGRIDMAERFLKETGERGIEATVVTYNVLLNGICRRASLHPESRFERTITLAENLFDEMRERGIEPDVTSFSIVLHVYSRAHKPELTLDKLKLMKEKGICPSVVTYTSVVKCLCSCGRIEDAEELLGEMVRNGVSRTAVTYNCFFKEYMGRKDAESALKLYKKMREDGLGSLGLHSYNILLRMFMMLNRLDIVNEIWEDLKSSGLGPDLDSYTMLIHGLCEKEKWREACKFFVEMIEKGLLPQKVTFETLYKGLIQSDMLRTWRRLKKKLDEESITFGSEFQNYQLKPYRR >Potri.010G082600.1.v4.1 pep chromosome:Pop_tri_v4:10:11017884:11020856:1 gene:Potri.010G082600.v4.1 transcript:Potri.010G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082600.v4.1 MGKPGKWLRSFLTGKKDKEKEKGTSNQNSTPSIENPVTPISIPPTTAKEKRRWSFRRSSATAAAPKDSNYTEPTATTQPAAVQDTFDSENEQKMHAMAIANKEAKAIKIQSVFRSYLARKALRALKGLVKLQALVRGHLVRKQATATLRCMQALVNVQTRARAQRIWMNEDVNPSQRQSIHRKSTQENRIRHTNYENERVMDENIKIVEMDVGESKGSIKSRNSYSHHPQTDRAEHRFSTHSAPNHAYPKQENYQVSPAPSALTDMSPRASSGHFEDYSFSTAQSSPQYYSTVSKPDPSTIPFAFPRPEYAESLTYDYSLFPNYMANTESSRAKVRSHSAPKQRPDSFERQPSRRKVSIEGRNVPRAVRMQRSSSHVGATAQNYQYPWSIKLDRSSVSLKESECESNSTMLTNTNYCRSLVGFEVHRNRY >Potri.008G007200.2.v4.1 pep chromosome:Pop_tri_v4:8:327920:330205:-1 gene:Potri.008G007200.v4.1 transcript:Potri.008G007200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G007200.v4.1 MPPKHDFSLREIKPNIDGGKTLTPNMLTLVEPLYFVYVKVVRASHLPLNQATYVEVKSGNYKATTKYIQGTLAPIWNQVFAFNKDRLQAKTIEISVRGKVSVTNEIIGSIEVGIGDIPTRLQGDSSLAPQWYGLEDKNGVSGRSGNLMLAIWVGNQVDDAFSLAWHLDAASVSVDKVSNARPQVYYSPRLWYLKIKVNGAQDLVVSDPNRKPEVYVKATLGNKVLKTKVSKNKGVNPSWNEELMFVVAEPFEDALILSVEDDKGDNMVDYLGKCVKPVHKITQRLLPPLPSEEIINLERYGVVEGPMEKFSSKLRVTIYLDGVYHVFDEPALFSTDLKASSPKLTPGKVGDLELGILKAEGLVPMKSKNGLKTTDAYCVAKYGPKWTRTSTVVSSLEPKWMKQYQWDVLDPCTVIAIGVFDNNNLQAGDGWATDRLIGKVIRIRLSTLEFGRIYKYAYPLVALMPDGVKKMGELHFTLRFIYTKGSGDKIYQYTQPMLPKPAYTDPMSVYQIDSLRNQAVRHIAMRLARAEPPLRREVVESMLSGRGPVWSIRRGKANFQRVMECLKFLKTALIWLDDLRQWKNSRTTIVMFAAFSVFVYYSEIIIPSFFAFLFLKALHNYFKRPRDILCLDTNLSQVESVNTLDWQEELDTFPSSAPFEDLRLRYDRLRAIGYRIEETVGDLATQLERFHAIFSWRDRRATLIFTLFCLVAWIMFYLVPFRLLFFLFGTYLMRSPRFRVTLPPIPQNVFRRLPSRDDCLL >Potri.003G131800.1.v4.1 pep chromosome:Pop_tri_v4:3:15002412:15007037:-1 gene:Potri.003G131800.v4.1 transcript:Potri.003G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131800.v4.1 MAAINTTKESSSSGSTSEGGGAGHHHGSKIKGVLTHGGRYVQYNVYGNLFEVSSKYVPPIRPIGRGAYGIVCAAVNSDTHEEVAIKKIGNAFDNRIDAKRTLREIMLLRHMDHENVIAIRDITRPPKKEAFNDVYIVYELMDTDLHQIIRSDQALNDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSNNARRYVRQLPQYKRQNFSARFPNMSPGAVDLLEKMLVFDPNNRITVDEALCHSYLSSLHDINDEPVCPRPFHFDFEHPSCTEEHIKELIWRESVKFNPDLPC >Potri.006G095800.4.v4.1 pep chromosome:Pop_tri_v4:6:7273753:7279224:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.006G095800.5.v4.1 pep chromosome:Pop_tri_v4:6:7273753:7279557:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.006G095800.9.v4.1 pep chromosome:Pop_tri_v4:6:7273789:7279200:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.006G095800.3.v4.1 pep chromosome:Pop_tri_v4:6:7273789:7279977:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.006G095800.10.v4.1 pep chromosome:Pop_tri_v4:6:7273789:7279198:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.006G095800.7.v4.1 pep chromosome:Pop_tri_v4:6:7274071:7279557:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.006G095800.6.v4.1 pep chromosome:Pop_tri_v4:6:7273765:7279557:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.006G095800.2.v4.1 pep chromosome:Pop_tri_v4:6:7273753:7279583:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.006G095800.8.v4.1 pep chromosome:Pop_tri_v4:6:7273784:7279534:1 gene:Potri.006G095800.v4.1 transcript:Potri.006G095800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095800.v4.1 MASISNSAVAFTGTTASDLLRSSKSGGANGIPLRTLGKARFSVKTRDLSVVAKVRKVKKHEYPWPEDPDPNVKGGVLTHLSHFKPLKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLENKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFSITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTLIDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQVADGIIPEPLGGAHADPSWTSQQIKNAINEAMDELNKMDTEALLKHRMLKFRKIGGFQEGVPVDPIKKVNMKKKEEPVAGKTPVIELEYEVEKLKQQISKAKESSSKPTELALNEMIEKLKKEIDLEYSAAVEAMGLKDRFLNLREECLKANSQDQLMHPVLMDRIEKLSDEFNKGLPTAPNYTSLKYKLDMLKEFSIAKSNLEKKGKGEELKLDINKKLKEVMDQPEMKEKMQALKAEVQKSGASSAADLDDGTKESISKMKKEIELELASVLKSMDLNVEIVRAKELIDQDLKAKVESLEEETNKKIENLMKSSDLKNTIKLLKLEMAKAGKTPDAALKNKIEALEQQIKRKLSAAISSSEIKEKHEELQAEIKALEGDGGLNGSLKNDDLKEASSKYDESRV >Potri.012G013800.1.v4.1 pep chromosome:Pop_tri_v4:12:1639823:1647998:1 gene:Potri.012G013800.v4.1 transcript:Potri.012G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013800.v4.1 MPRSSRHKSSKHSSREYSDSEKDSSLKEKKAAKEESVSNNSSVRVSTEKRKLDLKENKESLNGEYVEEYSSSSSKRRKERVEESNDRWNGGKEERGEKKGKEKGGEEKLKSKRRDESVEKKSEGRHRESSRKEERERERVREKEKKGMEGRSEVEEYSRGGKQITEKTANDQLRSPESENRSDRRIRRKKDDSADGDKHHDDIGDANGKRLSSREDVKEEKPKDEKHKDDRYRDKYHEVVDRENRHGDDKQRDERGARDYVNNRSEEKHIRDEKDASEVRKKSKPQDTDRDHDRERERDHDRNRDRDREREHDRDRDRDCDQDHDWDLDRNRDQDRERDRDRDHGRNLDYDGSHIDDCSARYKDSRGRKRSPEDRDHYNDAKSKGTKAPYPDVEKKSLSSGRVESDDRGRSQSRQAHLDNNVSSNRRRTSPDTSSHGAVEEHRHFKAEDLKYRDAVTKQRSKAISSREAADFSATSERASKHRSSDKPIKMDDGHVGELSIERSSSSRASPRGLVDRSPSSSLEHRYANRTGVRRSLDIEESARRRSGSISARNLPSAEDRLGRDLPLEKPLADDSTPADSSFYNRTNQNSSSLIPPSIFRGGGGSPSFMGSLEEDSRGNTRYKRGGDPSLGRGQGNAWRGTPNWSSPMPNGYMPFQHGPAHGGFQAMMPHFPSPPLFSARPSMEINHSGIPYHIPDADRFSGHLRPLGWHNMMDGSGPSPMHGWDGNNGVFRDETHAFGQEWDQNRHQLNGQGWETGTDMWKAQNGDLNMDLPATSVKEDFPVQAPLENVLAGQAGHLLQNENIHQMFQAENVETKLAVASAKEPSKFMSKTTHEKMPDPSRTQSSDDSFHFARAYLSKLDISTELASPELYSQCMSLLSMEQSANADEDIIMLVNLKDGARAVPKSSDSIFSLSLLPAVKDSVFQKAIEYYKKQRVGLSGLPIVNGGKIDAISTSKVKDEPINDVHKAEELVLNQNEEMPDVPVLSLDQNKAEEVAIADTREISEELVSAPSLKEHAHACTSSQELPDQALVQQDCVEKPVEIYSGNKIDEVPSEPVNSGVAGSLPNPDYAPEADTISPAKGLDDNSLQCAEEGQGSGDTMCGPLFFSDDSPKASGALMPGSNESESVILSRIHHSPESTR >Potri.012G013800.3.v4.1 pep chromosome:Pop_tri_v4:12:1640609:1647716:1 gene:Potri.012G013800.v4.1 transcript:Potri.012G013800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013800.v4.1 MDDGHVGELSIERSSSSRASPRGLVDRSPSSSLEHRYANRTGVRRSLDIEESARRRSGSISARNLPSAEDRLGRDLPLEKPLADDSTPADSSFYNRTNQNSSSLIPPSIFRGGGGSPSFMGSLEEDSRGNTRYKRGGDPSLGRGQGNAWRGTPNWSSPMPNGYMPFQHGPAHGGFQAMMPHFPSPPLFSARPSMEINHSGIPYHIPDADRFSGHLRPLGWHNMMDGSGPSPMHGWDGNNGVFRDETHAFGQEWDQNRHQLNGQGWETGTDMWKAQNGDLNMDLPATSVKEDFPVQAPLENVLAGQAGHLLQNENIHQMFQAENVETKLAVASAKEPSKFMSKTTHEKMPDPSRTQSSDDSFHFARAYLSKLDISTELASPELYSQCMSLLSMEQSANADEDIIMLVNLKDGARAVPKSSDSIFSLSLLPAVKDSVFQKAIEYYKKQRVGLSGLPIVNGGKIDAISTSKVKDEPINDVHKAEELVLNQNEEMPDVPVLSLDQNKAEEVAIADTREISEELVSAPSLKEHAHACTSSQELPDQALVQQDCVEKPVEIYSGNKIDEVPSEPVNSGVAGSLPNPDYAPEADTISPAKGLDDNSLQCAEEGQGSGDTMCGPLFFSDDSPKASGALMPGSNESESVILSRIHHSPESTR >Potri.001G240100.1.v4.1 pep chromosome:Pop_tri_v4:1:25768085:25771110:1 gene:Potri.001G240100.v4.1 transcript:Potri.001G240100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240100.v4.1 MSDTATSAQVPGMNPPGPKADDPLLQNGSSIDPNKHSTADETLNRTNDKGNQQPVTPVREKRRLSETAVDTSWLPPGWVVEDRVRTSGATAGTRDKYYIEPVSGRRFRSKKDVQYYLETGTLKKRGKVAENVDADTNSAENSKSSKNKSGANTNFAWNFDSFNVPDRTEWFLTEANEDTWTPFIDGKKVPLYDKEQWDFTFASLTTSSHGYRMH >Potri.001G240100.4.v4.1 pep chromosome:Pop_tri_v4:1:25768081:25771141:1 gene:Potri.001G240100.v4.1 transcript:Potri.001G240100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240100.v4.1 MSDTATSAQVPGMNPPGPKADDPLLQNGSSIDPNKHSTADETLNRTNDKGNQQPVTPVREKRRLSETAVDTSWLPPGWVVEDRVRTSGATAGTRDKYYIEPVSGRRFRSKKDVQYYLETGTLKKRGKVAENVDADTNVRKKSLFRQEDWPFHLSFNIFFPLN >Potri.004G188950.1.v4.1 pep chromosome:Pop_tri_v4:4:20146486:20146792:1 gene:Potri.004G188950.v4.1 transcript:Potri.004G188950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188950.v4.1 MLTLKHTVVLSLRNHVTSFGKLSIIERKETQVRWDLGSDPINCR >Potri.006G239600.1.v4.1 pep chromosome:Pop_tri_v4:6:24159573:24161541:1 gene:Potri.006G239600.v4.1 transcript:Potri.006G239600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239600.v4.1 MDFYGRKSLFSFITEERNAPQELNDQELQFSPPRPIKNAPIQFKGRVNAPIQTSASMAAYQEMNDQELQFSPPRPSTTHSSKLTLLPPSSPESPWTLSPHHTPSPSLLYHCIASLHRHEGNIYSIAVSKGIVFTGSESNRIRAWKQPDCMERGYLKAGSGEIRAILAYGNILFSAHKDLKIRIWNFAVSDNFRAKKVLTLPKRSSFLMFPRPNTQQHKDCVSCMAYYHAEGLLYTGSYDKTVKVWRVSDKKCVDSFVAHEDSVNALLVNQDDGCVFTCSVDGSVKIWRRVYRENSHTLTMTLKFQQSPVNALALSSYFNNCFLYSGSSDGTINFWEKEKFSGRFNHGGFLQGHRFAVLCLVAIEKLLFSGSEDTTIRVWRREEGSYFHECLAVLDGHRGPVRCLAACLEMEKVVMGFLVYSASLDQTFKVWRVKVLPEEKRYLDYREVSDSRSKVMEYEMSPVLSPSWVKKKLKGDYFQ >Potri.002G149000.1.v4.1 pep chromosome:Pop_tri_v4:2:11217751:11220918:1 gene:Potri.002G149000.v4.1 transcript:Potri.002G149000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149000.v4.1 MSTTTTNPINSGVGGGSSGGGGAGGSSGGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPAHKRPDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLAAMEVPSPPPPPPPALVTSPPFSIADLPSASSIPGAAYDLSSLFDPMVQPSWSMQPRQLDPHQFGGSSGTSETSGGDLQALARELLHRRGSLPPGSVPCSDALASSSISK >Potri.007G116200.1.v4.1 pep chromosome:Pop_tri_v4:7:13579179:13583624:-1 gene:Potri.007G116200.v4.1 transcript:Potri.007G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116200.v4.1 MSKFEYPMLSRTDMISILAESQIAAIIETDLKNPTPDFVADIYTRLLVYLDLLHEEDEGQVEFAALEQLENPHYHVGSARIMNLYIKVKEVITMLQCPADFTLRDLLKPQGDRTQFFLSAILNFCLHKDSKMNELRPIGEELTLLDEQRRGLEDKISQLNAEIAEYNDARERELPLAQEVDGKVKELRQEIADLNNHQMSLRASYRKLKERSSEMDGEISRAEFDLVQSVQENANLRSKIVQSPDKLQRALEEKKSVREEARNAERLAMQSFEAKTAVLEVYTKALKKMSKHFDQMQAIHEQVNSAKSIEKDYKALKAKLSDDGLMDKSLDAKLVELQMKAQQLNELKKLLEKERDMKCEEATKEYNTIKSEVESKRHDLEARQRRVEAVLSEVDAITSKTNMVNESGAAKVQKLVSKREEIAEQFKKYKNSIEPLLQCANS >Potri.010G236910.1.v4.1 pep chromosome:Pop_tri_v4:10:21700291:21701529:1 gene:Potri.010G236910.v4.1 transcript:Potri.010G236910.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236910.v4.1 MAVKVAAAFIFMLFFLTTACQAKLSSTFYHKSCPNAESAIRTAIRTAIARERRMAASLIRLHFHDCFVQGCDASILLDETSSIKSEKTAGANKNSARGYEVIDKAKAEVEKICPGVVSCADIIAVAARDASAYVGGPSWAVKLGRRDSTTASPTLAITELPAFSDDLGRLISRFQQKGLTARDMVALSGSHSLGQAQCFTFRDRIHSDNNIDAGFASTRKRRCPLVGSDSTLAPLDLVTPNSFDNNYFKNLMQKKGLLQSDQELFSGGSTDSIVSEYSRNPAKFSSDFASAMIKMGDISPLTGTAGQIRRICSAVN >Potri.004G152000.1.v4.1 pep chromosome:Pop_tri_v4:4:17325975:17329926:-1 gene:Potri.004G152000.v4.1 transcript:Potri.004G152000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152000.v4.1 MRSLKDGLMRHVRSIKSGFTLPILTSNQLVHLYSKHCLINEAQKLFDEMPQRNTYSWNTIISAHIKSQNLAQAKSIFDSASVRDLVTYNSMLSGYVSVDGYERNALELFVEMQSIRNEIEIDDLTITSMVNLFSKLCNSCYGRQLHSYMVKTGNDRSGFVVSSLIDMYSKCGCFKEACQVFKGCEREGGFDLVSKNAMVAAYCREGDMEMALRLFWRESELNDSVSWNTLISGYVQNGYPVEALKLFVCMGENGVKWNEHTFGSVLSACADLRNLKIGKEMHAWILKNGLGSSAFVESGIVDVYCKCGNMKYAESLLLTRGVRSSFSITSMIVGFSSLGNMVEACRLFDSLEEKNSIVWAALFSGYVKLKQCEAFFELLREYIAKEAAIPDALILISAFNVCAFQAALGPGKQIHGYVFRMGIEMDMKTTTAMIDMYSKCGSIPYAEKLFLKVIERDLVLYNVMLAGYAHHGHEIKAINLFQEMLERGVGPDAVTFVALLSACRHRGLVDLGEKTFYSMTEDYHILPETDHYACMIDLYGRASQLEKMVLFMQRIPMEHQDAAVVGAFFNACRLNNNTELAKEAEEKLLNIEGDSGARYVQLANVYAAEGNWAEMGRIRREMRGKEAKKFAGCSWVYLDNEVHSFTSGDRTHTKAESIYSMLEFLMAELYEIAGAFR >Potri.007G102700.1.v4.1 pep chromosome:Pop_tri_v4:7:12638142:12640864:1 gene:Potri.007G102700.v4.1 transcript:Potri.007G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102700.v4.1 MLDHSETDIVAYVAGFLLERTPSNGVNACYYETVNVDLSVWKISNPLLNNVPYFAVQLSITIFMIHLLFFIFNFTRQPRFFAELITACMLGPIFFRSSFFLRYVRPINSNVVLQTMANLGLVYYMFLVGLEIDLNMVRRVGKKAFTNAVAGILFSMGMGASLYCLFTRDKSIFPSASPVGGLFWGVALTVTSFPDLAQVLSDINLISTDLGQIALSSAFVSDLASWTMLIMTITWLHGRSKLSILPTVGFIILCWFVVRPVLSKIKSSSNKSSGRDFYVYVILAGVLICGFITDACGSTSMTGAFVLGLVTSNEFETRILEQVNNFVAGILLPSYVMVVGGKIDILFLMSKTSVVTLLVIVVLAFSVKVLSSFLVCKAFGISARDGIALGILMNTKGLLALVVINIGVDVQALDFATFPVMVIVFLVMTALVKPFPYWVSKSSKNLKQYKQRTMETSKDDSEFRIIMCVHESHHLSGMSTLLKLSNSTKLSPITIFALHLVELTGRSSAMLIVHDAYNATNISQPIFERVISDHVSSQSLRSYGRRGTGHPISIQPVTVVSPYATMHREICCIAEDKHVTLIIVPFHKEGCGIDVVQENSSIRTVNHNLLAKAPCSVGIFVDRGLQLSIHDMGFNLWKQQINVAMLFTGGPDDREALAYSWRMAGSREVRLTVVHFRPGEKAKNIMEERKESENYKQLDEKYVNELRFKAKFNDSVIYLEKVVNNGEEILRTTKEMFDYYDLYIVGRGQGVASPFTSGLSEWSDCKDLGVLGEALSTSEFARNASILVIQQYYVADTAE >Potri.008G018100.1.v4.1 pep chromosome:Pop_tri_v4:8:909709:911599:-1 gene:Potri.008G018100.v4.1 transcript:Potri.008G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018100.v4.1 MASCAPSLLLGLLSFLIVANIASSSSSSGGIRLSIIRKPFAAVPVFREAPAFRNGDSCGLQRIHIVMTLDANYLRGTMAAVLSILQHSTCPENMEFHFLWSRFEREVFSSIKSTFPYLNFKFYRFDSNRVRGKISKSIRQALDQPLNYARIYLADIIPSDVKRVIYLDSDLVVVDDIAKLWEVDLEEKVLAAPEYCHANFTNYFSNLFWLDPVLAKTFHGRRPCYFNTGVMVVDVEKWRQGGITQKVEEWMTVQKQKRIYHLGSLPPFLLVLAGNIKGVDHRWNQHGLGGDNMEGKCRSLHPGPISLLHWSGKGKPWLRLDSRKPCIVDHLWAPYDLYRSSMHALEE >Potri.009G070800.3.v4.1 pep chromosome:Pop_tri_v4:9:6973259:6976928:-1 gene:Potri.009G070800.v4.1 transcript:Potri.009G070800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070800.v4.1 MGMVGLRDVFVVAPAASFNHHHQHHEQINLSTADPINASNATALGVGVGVGVIPLLAAGPCLAPQNMDDQDLLNNGRNKISGIHQFWQNQGSQYIKKASNTTPSILDHHNNSSTANFLLQSGNSAGNGSGSLGGNSSSSATTTCQDCGNQAKKDCSHRRCRTCCKSRGFDCVTHVKSTWVPAARRRERQLMATAGGGGGAGSTGSTSGVKKPRLISSQTTTSHTSTSNTTPRSYDTSSSHQGFKERLPGQVTAPATFRCVRVTAVEDGEDEFAYQAVVKIGGHVFKGFLYDQGVETRDGFPNISELHLGGGSSSGGNGVGRNGASSSPILDPSDVYGASTGGLLGGSGYGNPIN >Potri.005G151275.1.v4.1 pep chromosome:Pop_tri_v4:5:13643202:13645113:-1 gene:Potri.005G151275.v4.1 transcript:Potri.005G151275.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151275.v4.1 MDAMGGDTDGEQTDKESTSTIVTTPQTLNSTERETVNQLAIKARDSLEKKKKGPKASSKKNKKSGSSPGGTSRGLNDPIKHSELRRLIHQERITLFGLVETRVKDKNKDHVSQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHSTPWILMGDFNVIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMRKLDRVLVNEKWNLNFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQEFKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNRNKLLSLTREDGEVVERHEAVKSEVIAYFHHVLGVDQMPRVLNEEVMESAINLKLSSTQQHVLAQDVTREEIKHAMFSLKNNKAPGPDGFNGGFFKRMWHIVGEDVINAVRSFFQTRRMLKEMNATSISLIPKVANPTRLTDFRPISCCNTVYKCIAKILAGRIKVVLPSLVGP >Potri.005G250500.2.v4.1 pep chromosome:Pop_tri_v4:5:24398047:24399422:-1 gene:Potri.005G250500.v4.1 transcript:Potri.005G250500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250500.v4.1 MEVQIISKQNVKPSSPTPSPLRNFKLSLLDQLVTVPYAPILLFYPVNKKVSTGDLDVPKRLELLKNSLSETLTHFYPLAGKIKDELSIDCNDEGAYYVETQVNCHLSEFLRQPDLLLANQFFPCELLPTESTAVTYVANFQVNVFECGGIVIGMCISHRVVDGAALSTFLKAWSATAKGSKEAIIYPEFIASSLFPANDLWLRDSAIVMFGSLLKKGQCMTKRFVFDASAISNLKAQAASLGVVSSFLWKCLMAASEEWRGSQRPSLLTHYVNLRRKMEPKLSDNSMGNFLWLAAAKYRNKSKPGLKDLVGEVRKAISKFDSDFVEHIKGDKGNALMDETLKGIGAFGSGDGVDYLGFSSWCKFGFYDIDFGWGKPVWVSSFGLSCSPTMNLVFLVDTRCDGIEAFVTLDEKDMTILEGNPELLKSASLNPSPLDIDKSVPCT >Potri.014G176900.1.v4.1 pep chromosome:Pop_tri_v4:14:13349972:13352531:-1 gene:Potri.014G176900.v4.1 transcript:Potri.014G176900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176900.v4.1 MAVKRFITLESLGLCISHQLKNFHPNHFTISSNSFHYRTSLVDVMCKVLGQRPVYNVKGHLVPLVGHLWIHSCPSLNNVDKVVEPTENFEKTAAVFSGSDVKVKRPKLKGKRAVVRWLKFFRWKKKKEYERMTAEEKILYKLRKAQRKEERFVQALKKIEPKESSEATHDPEILTPEEHFFFLKMGLKCKNYVPVGRRGIYQGVILNMHLHWKKHQTLKVVVKTFTPEEVKEIAAELARFTGGIVLDIHEENTIIMYRGKNYSQPPTEIMSPRVTLSRKKALDKSKCRDGLRALRRYIPRLQQDLELLHARAGGKVGKGTEPTEETPETDIDGAESRSISSKQLENSEKLKEIIDRQDEGSEDGPDTDLGIASDSEDLSDIFETDSDADTEETSKRPLYLEEFEKFSVEADGEPEDFEEHLRQISLGSKKGVTSGKDVDIPTGVDVDSPSFDEVDRMFLRAASLLKRKKR >Potri.011G122400.2.v4.1 pep chromosome:Pop_tri_v4:11:15277653:15279118:-1 gene:Potri.011G122400.v4.1 transcript:Potri.011G122400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122400.v4.1 MVAATPALDRASSESKKTLGQGTCIVTGASSGLGLATAKALAETGKWHIIMACRNFLKAERAAKSAGIAKENYTIMHLDLASLDSVRQFVDTFRRSGRPLDVLVCNAAVYLPTAKEPAFTAEGFELSVGTNHLGHFLLSRLLLEDMKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLSGGLNGLNRSAMIDGGVFDGAKAYKDSKVCNMLTMQEFHRRLHEETGIAFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEHEAGKRLAQVVSDPSLTKSGAYWSWNKHSASFQNQLSQEASDAEKARKVWEISEKLVGLA >Potri.011G122400.1.v4.1 pep chromosome:Pop_tri_v4:11:15277656:15279624:-1 gene:Potri.011G122400.v4.1 transcript:Potri.011G122400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122400.v4.1 MALQAASLVSSAFVFPKEGKSNAYFKDSSLFGVSLSEHVKADFSSCALKCKREFNQGVGAVRAQTMVAATPALDRASSESKKTLGQGTCIVTGASSGLGLATAKALAETGKWHIIMACRNFLKAERAAKSAGIAKENYTIMHLDLASLDSVRQFVDTFRRSGRPLDVLVCNAAVYLPTAKEPAFTAEGFELSVGTNHLGHFLLSRLLLEDMKKSDYPSKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLSGGLNGLNRSAMIDGGVFDGAKAYKDSKVCNMLTMQEFHRRLHEETGIAFASLYPGCIATTGLFREHIPLFRLLFPPFQKYITKGFVSEHEAGKRLAQVVSDPSLTKSGAYWSWNKHSASFQNQLSQEASDAEKARKVWEISEKLVGLA >Potri.008G044600.5.v4.1 pep chromosome:Pop_tri_v4:8:2523608:2530033:-1 gene:Potri.008G044600.v4.1 transcript:Potri.008G044600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044600.v4.1 MITPKHCFFFFSFSLQKLETPTIPWLPLLNIFTRSKEVLFSMDECKNESVSARSVAELSTVSVSEHVDSGDSEKTTIATTPVVVGSVASEEAQGKIECSSSEDVMAKEVGSCNGDEVMVERSSSEGVDGGCTRDLCDGGGGEARKETAGGCGCAEGDATHSDGGGVAGHLGTHENRDSGVDPSNSGFESSRSAESEEGKPVESGEKGREVSGNSSEASPEVQELRVESEVGQSSKVAESEGEGKAVEGGEEDMEVGGNGDKTSSEVGVADADAHVQSVENASGIGGETQVVVEEVTFVTTEESLKRELVEEGVEGEKIDASQKVTSQEIGLSENESQDQRAENGAGCPSVVVGASVGETQVVEKSELVEEAAGKAEDKDDNVNDALQDSETLEVGVLHDEVWNSGTETAVLTSPSTVEDTSVETEVTEEVAVLANNEGLDPKVEASRSDALERALAGNSEGLISASEGSSVLPEKDGLANPDSKLLDKQTPVADEGRVASTDDENITCPNTEGMDTDGFSESFYFSVEELQGTSETANGSTENGYNVCADLQPSYQPAQVVVRAGVVAKENIVVLNPVKSKKVITECLVNDAEEAGLHKEQVITVSQQQKTDIVSGSTETRTKTECGGMEIDVEVALTNNVEVLISHTDVPDPSLKDQQLKTEEGSGKSASCHPAHVDSIEEQLMEGQEQATYAEELEGEKKRVEEQSSQAETESGITELDTRLMDGEENVIASNEEALNPQTELKELAESDQQLKVAEGLDEGASHGLFEMDSHMGQEMTIEEHVLDAEQVDLLEGKEMEVEEQDTDNEQLNSIEEKSAKLAASKPGSSEKADQACYLLPPNNEGELSVSDLVWGKVRSHPWWPGQIFDPSDASEKAVKYNKKDCYLVAYFGDRTFAWNEASLLKPFRSHFSQVEKQSNSEVFQNAVDCALEEVSRRVELGLACSCVPEDAYDEIKFQVLESAGIRPEASTRDGVDKDTSADLFQPDKLVGYMKALAQTPAGGANRLELVIAKSQLLAFYRLKGYSELPEYQFYGGLLENSDTLRFEDEVIDHAPAVYEDHGQISSGEEILQTQRRSSRKCKHNLKDCISPRKKERNLSDLMGDSWDSLDDEIASDGKANNKLVSPSSGKKRKGADTFADDASMTEGRKTISFAKVSSTTTLPKPSFKIGECIQRVASQMTGSPSILKCNSQKVEGSSDGLIGDGSDTSSVHPEDAEIKKMIVPSEYSSLDELLSQLHLTAQDPSKGFGFLNIIISFFSDFRNSVVMDQHDKVGGKRKTSHSSVGFPETFEFEDMNDTYWTDRVIQNGSEEQPPRKSRKRDNLFVPVVLDKPSGRSNSRKRYSDSSYDVSTQKPVGYVDEKAPAELVMHFPVVDSVPSEISLNKMFRRFGPLKESETEVDRDTNRARVIFKRCSDAEAAYGSAPKFNIFGPILVNYQLNYTISVPFKTPPPILDEEDVTLFLQY >Potri.008G044600.7.v4.1 pep chromosome:Pop_tri_v4:8:2523510:2529304:-1 gene:Potri.008G044600.v4.1 transcript:Potri.008G044600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044600.v4.1 MEVGGNGDKTSSEVGVADADAHVQSVENASGIGGETQVVVEEVTFVTTEESLKRELVEEGVEGEKIDASQKVTSQEIGLSENESQDQRAENGAGCPSVVVGASVGETQVVEKSELVEEAAGKAEDKDDNVNDALQDSETLEVGVLHDEVWNSGTETAVLTSPSTVEDTSVETEVTEEVAVLANNEGLDPKVEASRSDALERALAGNSEGLISASEGSSVLPEKDGLANPDSKLLDKQTPVADEGRVASTDDENITCPNTEDLQPSYQPAQVVVRAGVVAKENIVVLNPVKSKKVITECLVNDAEEAGLHKEQVITVSQQQKTDIVSGSTETRTKTECGGMEIDVEVALTNNVEVLISHTDVPDPSLKDQQLKTEEGSGKSASCHPAHVDSIEEQLMEGQEQATYAEELEGEKKRVEEQSSQAETESGITELDTRLMDGEENVIASNEEALNPQTELKELAESDQQLKVAEGLDEGASHGLFEMDSHMGQEMTIEEHVLDAEQVDLLEGKEMEVEEQDTDNEQLNSIEEKSAKLAASKPGSSEKADQACYLLPPNNEGELSVSDLVWGKVRSHPWWPGQIFDPSDASEKAVKYNKKDCYLVAYFGDRTFAWNEASLLKPFRSHFSQVEKQSNSEVFQNAVDCALEEVSRRVELGLACSCVPEDAYDEIKFQVLESAGIRPEASTRDGVDKDTSADLFQPDKLVGYMKALAQTPAGGANRLELVIAKSQLLAFYRLKGYSELPEYQFYGGLLENSDTLRFEDEVIDHAPAVYEDHGQISSGEEILQTQRRSSRKCKHNLKDCISPRKKERNLSDLMGDSWDSLDDEIASDGKANNKLVSPSSGKKRKGADTFADDASMTEGRKTISFAKVSSTTTLPKPSFKIGECIQRVASQMTGSPSILKCNSQKVEGSSDGLIGDGSDTSSVHPEDAEIKKMIVPSEYSSLDELLSQLHLTAQDPSKGFGFLNIIISFFSDFRNSVVMDQHDKVGGKRKTSHSSVGFPETFEFEDMNDTYWTDRVIQNGSEEQPPRKSRKRDNLFVPVVLDKPSGRSNSRKRYSDSSYDVSTQKPVGYVDEKAPAELVMHFPVVDSVPSEISLNKMFRRFGPLKESETEVDRDTNRARVIFKRCSDAEAAYGSAPKFNIFGPILVNYQLNYTISVPFKTPPPILDEEDVTLFLQY >Potri.008G044600.2.v4.1 pep chromosome:Pop_tri_v4:8:2523608:2530045:-1 gene:Potri.008G044600.v4.1 transcript:Potri.008G044600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044600.v4.1 MITPKHCFFFFSFSLQKLETPTIPWLPLLNIFTRSKEVLFSMDECKNESVSARSVAELSTVSVSEHVDSGDSEKTTIATTPVVVGSVASEEAQGKIECSSSEDVMAKEVGSCNGDEVMVERSSSEGVDGGCTRDLCDGGGGEARKETAGGCGCAEGDATHSDGGGVAGHLGTHENRDSGVDPSNSGFESSRSAESEEGKPVESGEKGREVSGNSSEASPEVQELRVESEVGQSSKVAESEGEGKAVEGGEEDMEVGGNGDKTSSEVGVADADAHVQSVENASGIGGETQVVVEEVTFVTTEESLKRELVEEGVEGEKIDASQKVTSQEIGLSENESQDQRAENGAGCPSVVVGASVGETQVVEKSELVEEAAGKAEDKDDNVNDALQDSETLEVGVLHDEVWNSGTETAVLTSPSTVEDTSVETEVTEEVAVLANNEGLDPKVEASRSDALERALAGNSEGLISASEGSSVLPEKDGLANPDSKLLDKQTPVADEGRVASTDDENITCPNTEDLQPSYQPAQVVVRAGVVAKENIVVLNPVKSKKVITECLVNDAEEAGLHKEQVITVSQQQKTDIVSGSTETRTKTECGGMEIDVEVALTNNVEVLISHTDVPDPSLKDQQLKTEEGSGKSASCHPAHVDSIEEQLMEGQEQATYAEELEGEKKRVEEQSSQAETESGITELDTRLMDGEENVIASNEEALNPQTELKELAESDQQLKVAEGLDEGASHGLFEMDSHMGQEMTIEEHVLDAEQVDLLEGKEMEVEEQDTDNEQLNSIEEKSAKLAASKPGSSEKADQACYLLPPNNEGELSVSDLVWGKVRSHPWWPGQIFDPSDASEKAVKYNKKDCYLVAYFGDRTFAWNEASLLKPFRSHFSQVEKQSNSEVFQNAVDCALEEVSRRVELGLACSCVPEDAYDEIKFQVLESAGIRPEASTRDGVDKDTSADLFQPDKLVGYMKALAQTPAGGANRLELVIAKSQLLAFYRLKGYSELPEYQFYGGLLENSDTLRFEDEVIDHAPAVYEDHGQISSGEEILQTQRRSSRKCKHNLKDCISPRKKERNLSDLMGDSWDSLDDEIASDGKANNKLVSPSSGKKRKGADTFADDASMTEGRKTISFAKVSSTTTLPKPSFKIGECIQRVASQMTGSPSILKCNSQKVEGSSDGLIGDGSDTSSVHPEDAEIKKMIVPSEYSSLDELLSQLHLTAQDPSKGFGFLNIIISFFSDFRNSVVMDQHDKVGGKRKTSHSSVGFPETFEFEDMNDTYWTDRVIQNGSEEQPPRKSRKRDNLFVPVVLDKPSGRSNSRKRYSDSSYDVSTQKPVGYVDEKAPAELVMHFPVVDSVPSEISLNKMFRRFGPLKESETEVDRDTNRARVIFKRCSDAEAAYGSAPKFNIFGPILVNYQLNYTISVPFKTPPPILDEEDVTLFLQY >Potri.008G044600.6.v4.1 pep chromosome:Pop_tri_v4:8:2525319:2529879:-1 gene:Potri.008G044600.v4.1 transcript:Potri.008G044600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044600.v4.1 MDECKNESVSARSVAELSTVSVSEHVDSGDSEKTTIATTPVVVGSVASEEAQGKIECSSSEDVMAKEVGSCNGDEVMVERSSSEGVDGGCTRDLCDGGGGEARKETAGGCGCAEGDATHSDGGGVAGHLGTHENRDSGVDPSNSGFESSRSAESEEGKPVESGEKGREVSGNSSEASPEVQELRVESEVGQSSKVAESEGEGKAVEGGEEDMEVGGNGDKTSSEVGVADADAHVQSVENASGIGGETQVVVEEVTFVTTEESLKRELVEEGVEGEKIDASQKVTSQEIGLSENESQDQRAENGAGCPSVVVGASVGETQVVEKSELVEEAAGKAEDKDDNVNDALQDSETLEVGVLHDEVWNSGTETAVLTSPSTVEDTSVETEVTEEVAVLANNEGLDPKVEASRSDALERALAGNSEGLISASEGSSVLPEKDGLANPDSKLLDKQTPVADEGRVASTDDENITCPNTEDLQPSYQPAQVVVRAGVVAKENIVVLNPVKSKKVITECLVNDAEEAGLHKEQVITVSQQQKTDIVSGSTETRTKTECGGMEIDVEVALTNNVEVLISHTDVPDPSLKDQQLKTEEGSGKSASCHPAHVDSIEEQLMEGQEQATYAEELEGEKKRVEEQSSQAETESGITELDTRLMDGEENVIASNEEALNPQTELKELAESDQQLKVAEGLDEGASHGLFEMDSHMGQEMTIEEHVLDAEQVDLLEGKEMEVEEQDTDNEQLNSIEEKSAKLAASKPGSSEKADQACYLLPPNNEGELSVSDLVWGKVRSHPWWPGQIFDPSDASEKAVKYNKKDCYLVAYFGDRTFAWNEASLLKPFRSHFSQVEKQSNSEVFQNAVDCALEEVSRRVELGLACSCVPEDAYDEIKFQVLESAGIRPEASTRDGVDKDTSADLFQPDKLVGYMKALAQTPAGGANRLELVIAKSQLLAFYRLKGYSELPEYQFYGGLLENSDTLRFEDEVIDHAPAVYEDHGQISSGEEILQTQRRSSRKCKHNLKDCISPRKKERNLSDLMGDSWDSLDDEIASDGKANNKLVSPSSGKKRKGADTFADDASMTEGRKTISFAKVSSTTTLPKPSFKIGECIQRVASQMTGSPSILKCNSQKVEGSSDGLIGDGSDTSSVHPEDAEIKKMIVPSEYSSLDELLSQLHLTAQDPSKGFGFLNIIISFFSDFRNSVVMDQHDKVGGKRKTSHSSVGFPETFEFEDMNDTYWTDRVIQNGSEEQPPRKSRKRDNLFVPVVLDKPSGRSNSRKRYSDSSYDVSTQKPVGYVDEKAPAELVMHFPVVDSVPSEISLNKMFRRFGPLKESETEVDRDTNRARVIFKRCSDAEAAYGSAPKFNIFGPILVNYQLNYTISVPFKTPPPILDEEDVTLFLQY >Potri.004G171500.2.v4.1 pep chromosome:Pop_tri_v4:4:18707664:18708578:-1 gene:Potri.004G171500.v4.1 transcript:Potri.004G171500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G171500.v4.1 MGEIEKPRPRIVWNRLFTTSTDANDIDGLFAVKSTKLEDSFSLQKERRILGRFLGSNEVIGCYGDCVSVERGVFKYSLLLEYAPKGSLLNLMKDYGGRGPESHVRKYTQMLLKGLSCIYYNGHVHCDLKLANILVFPCRDHGVKGHHLKIADFGLAREPGEDDSDKLLHMYQYRGTPCYMSPESVQFVEITSALDIWSLGCIAVEMIKGRIAWVTLDSKELFNKLVRGNESPMIPENMPEKGKDFLRGCFERDHCERWSADSLLTHSFILDELLPPVETKTGELSSSHIDGVVLSVVNFVLCSN >Potri.014G138100.1.v4.1 pep chromosome:Pop_tri_v4:14:9397358:9402095:1 gene:Potri.014G138100.v4.1 transcript:Potri.014G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138100.v4.1 MASVVLRNPNSKRLLPFSSQIHCCCRGSASTHSSISESLSSSNDRTSSSPWWRSMATFTRNKPHVNVGTIGHVDHGKTTLTAAITKVLAEEGKAKAIAFDEIDKAPEEKKRGITIATAHVEYETTKRHYAHVDCPGHADYVKNMITGAAQMDGGILVVSAADGPMPQTKEHILLARQVGVPSLVCFLNKVDVVSDPELIELVEMEVRELLNFYKFPGDEIPIVQGSALSALQGTNEEIGKNAILKLMDAVDEYIPDPVRQLDKPFLMPIEDVFSIQGRGTVATGRVEQGTIKVGEEVEILGLSKDGPKKTTVTGVEMFKKLLDQGQAGDNVGLLLRGLKREDVQRGQVIAKPGTVKTSKRFEAEIYSLTKDEGGRHTAFFSNYRPQFYLRTADITGKVELPENVKMVMPGDNVTAVFELILPVPLETGQRFALREGGRTVGAGVVSKVLD >Potri.013G095200.1.v4.1 pep chromosome:Pop_tri_v4:13:9789757:9792998:-1 gene:Potri.013G095200.v4.1 transcript:Potri.013G095200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095200.v4.1 MGLMAWFNKSIVDPLYQILRRGLEPKQLALSTALGITLGIFPICGVTVLLCGVAIALLGSLCHAPTVMLANFIATPLELSLVVPFVRFGEVMSGGPHFPLTSDALKKVFTGQASREVILSVAHALLGWLVAAPFILAALYIIFLPCFKVLVHKFSTVPSGPKKSPNSLAEVKLKGRDV >Potri.003G193200.1.v4.1 pep chromosome:Pop_tri_v4:3:19615996:19617178:-1 gene:Potri.003G193200.v4.1 transcript:Potri.003G193200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193200.v4.1 MEDTQMITGNNNLAETDEIADLLSSLPKEKSWRSGYVYRYQGFWCPEKQIPAVIAFQKHFIAQKTDTILVTMPKSGTTWLKALAFSIMNRAKYTPSCSPLNSVNPHDLVPFFEFGLYANNQLPDLSTFPSPRMFATHVPYPSISDSIKNSGCRIVYLCRNPFDNFISSWHFLSKTRPEGLGPLLLEEAFDSFCNGHGGFGPFFDHVLGYWRESLERPEEVLFLTYEDMKEDINSQMKRLAEFLGCPFSLEEEADGVVEGISKLCSFSNLKDKEINKTGRSLGNLENKILFRRGEVGDWTNYLTPEMVDRLNKIMEQKLAGSGLKFKTGL >Potri.003G120551.1.v4.1 pep chromosome:Pop_tri_v4:3:14173137:14173316:1 gene:Potri.003G120551.v4.1 transcript:Potri.003G120551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120551.v4.1 MVFYLICHLAFACRSLPQSTDSPMYVPVGNQNPITLAHQIISMHGPFLVFTCPIIYFHS >Potri.001G158900.1.v4.1 pep chromosome:Pop_tri_v4:1:13427554:13434993:-1 gene:Potri.001G158900.v4.1 transcript:Potri.001G158900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158900.v4.1 MRDLQLTQAAGTRKSPPAMISAGEEDADDMKEDVRLLDSYESLGDNDNSHRIVIEEDGFKRIQVRVTGMTCAACSNSVESALKSVDGVFRASVALLQNKADVVFDPALVKDDDIKNAIEDAGFEAEILSEPIKLKTKPNGTLLGQFTIGGMTCAACVNSVEGILRDLPGVKRAVVALATSLGEVEYDPIVISKDDIVNAIEDAGFDASLVQSSQHDKIVLGVAGIFSEVDVQLLEGILSMLKGVRQFRYHWISSELEVLFDPEVLGSRSLVDGVEGGSNGKFKLHPINPYSRMTSKDVGETSVMFRLFLSSLFLSIPIFFMRVICPYVPLLSSLLLWRCGPFLMGDWLKWALVSVVQFVIGKRFYVAAGRALRNGSTNMDVLVALGTSASYFYSVCALLYGAVTGFWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDKGGRCIGEREIDSLLIQPSDTLKVLPGTKVPADGVVVWGSSYINESMVTGESVPVLKEVSSSVIGGTMNLHGALHIKATKVGSDAVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVVGLSLVTFFSWYISGILGAYPEEWLPENGTYFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGEALERAQKIKYVIFDKTGTLTQGKASVTDAKVFTGMGRGEFLRWVASAEASSEHPLAKAIVEYARHFHFFDEPSATSQTPSRESTISGWLLDVSDFLALPGRGVKCFVDGKQVLVGNRKLMIESGIAIPDQVEHFVVELEESAKTGVLVAFDDKIIGVLGIADPLKREAAVVIEGLLKMGVKPVMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKADVIHSFQKDGSIVSMVGDGINDSPALAAADIGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFTRIRLNYIFAMAYNVIAIPIAAGALFPSLGIMLPPWVAGACMALSSVSVVCSSLLLRRYRKPRLTTILEITAE >Potri.001G158900.3.v4.1 pep chromosome:Pop_tri_v4:1:13427498:13433369:-1 gene:Potri.001G158900.v4.1 transcript:Potri.001G158900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158900.v4.1 MTCAACVNSVEGILRDLPGVKRAVVALATSLGEVEYDPIVISKDDIVNAIEDAGFDASLVQSSQHDKIVLGVAGIFSEVDVQLLEGILSMLKGVRQFRYHWISSELEVLFDPEVLGSRSLVDGVEGGSNGKFKLHPINPYSRMTSKDVGETSVMFRLFLSSLFLSIPIFFMRVICPYVPLLSSLLLWRCGPFLMGDWLKWALVSVVQFVIGKRFYVAAGRALRNGSTNMDVLVALGTSASYFYSVCALLYGAVTGFWSPTYFETSSMLITFVLLGKYLECLAKGKTSDAIKKLVELAPATALLVVKDKGGRCIGEREIDSLLIQPSDTLKVLPGTKVPADGVVVWGSSYINESMVTGESVPVLKEVSSSVIGGTMNLHGALHIKATKVGSDAVLSQIISLVETAQMSKAPIQKFADYVASIFVPIVVGLSLVTFFSWYISGILGAYPEEWLPENGTYFVFSLMFSISVVVIACPCALGLATPTAVMVATGVGANNGVLIKGGEALERAQKIKYVIFDKTGTLTQGKASVTDAKVFTGMGRGEFLRWVASAEASSEHPLAKAIVEYARHFHFFDEPSATSQTPSRESTISGWLLDVSDFLALPGRGVKCFVDGKQVLVGNRKLMIESGIAIPDQVEHFVVELEESAKTGVLVAFDDKIIGVLGIADPLKREAAVVIEGLLKMGVKPVMVTGDNWRTARAVAKEVGIQDVRAEVMPAGKADVIHSFQKDGSIVSMVGDGINDSPALAAADIGMAIGAGTDIAIEAADYVLMRNNLEDVITAIDLSRKTFTRIRLNYIFAMAYNVIAIPIAAGALFPSLGIMLPPWVAGACMALSSVSVVCSSLLLRRYRKPRLTTILEITAE >Potri.016G001400.8.v4.1 pep chromosome:Pop_tri_v4:16:70250:72981:-1 gene:Potri.016G001400.v4.1 transcript:Potri.016G001400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001400.v4.1 MESILQGICPCVCAVVCKTPQISAPSSDNLRLKLQFGLERLKKRELTISETIFCASQRPRQNPSIRKEKPIPQNVDFPPILPKKKKKPFPIPFKKIQKAAREDKKLAQMGIEKPLEPPKNGLLVPDLIPLAYEVLDAWKVLIKGVAQLLHTIPVYGCSECSEVHVALEGHQIKDCLGPTSRDRHSLHSWVRGSIDDILVPIESYHLYDPFGRRIKHETRFEYDRIPAVVELCIQAGVDIPEYPSRRRMKPIRMIGKKVIDRGGFLEEPKPWRLGNPSSPVDFDTYRANERFPPPLSEDIPRIAQETMDAYDFVRSGVMKLMKKYTVKACGYCSEVHVGPWGHNAKFCGAFKHQWRDGKHGWQDAIVDEVFPPNCVWHVRDPRGPPLRSALKRFYGKAPAVVEVCMQAGAQVPDRYKPMMRLDIIVPESDEAKLVA >Potri.016G001400.12.v4.1 pep chromosome:Pop_tri_v4:16:70249:73011:-1 gene:Potri.016G001400.v4.1 transcript:Potri.016G001400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001400.v4.1 MESILQGICPCVCAVVCKTPQISAPSSDNLRLKFGLERLKKRELTISETIFCASQRPRQNPSIRKEKPIPQNVDFPPILPKKKKKPFPIPFKKIQKAAREDKKLAQMGIEKPLEPPKNGLLVPDLIPLAYEVLDAWKVLIKGVAQLLHTIPVYGCSECSEVHVALEGHQIKDCLGPTSRDRHSLHSWVRGSIDDILVPIESYHLYDPFGRRIKHETRFEYDRIPAVVELCIQAGVDIPEYPSRRRMKPIRMIGKKVIDRGGFLEEPKPWRLGNPSSPVDFDTYRANERFPPPLSEDIPRIAQETMDAYDFVRSGVMKLMKKYTVKACGYCSEVHVGPWGHNAKFCGAFKHQWRDGKHGWQDAIVDEVFPPNCVWHVRDPRGPPLRSALKRFYGKAPAVVEVCMQAGAQVPDRYKPMMRLDIIVPESDEAKLVA >Potri.009G143300.3.v4.1 pep chromosome:Pop_tri_v4:9:11414986:11419733:1 gene:Potri.009G143300.v4.1 transcript:Potri.009G143300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143300.v4.1 MGFHFVPLLLTLLLFSTLPTKSSSQTFKCSSPSTCRSLIDYISPNTTTLSHIKTLFSIKNVRSILGANNLPLSTLPNFTIPAKQPIKIPFTCLCINNTGLSNKQPIYTVQKDDGLYHIAAEVFSGLVTYQEIAAVNNVTDVNLIEVGQELWIPLPCSCDDVDGVKVVHYGHVVEAGSSLEFIAQEYGTSRNTLMKLNGIANGSSLLAGQVLDVPLQACNSSVRIDSLDSPFLVPNNTYFFTANNCVKCKCDAANNWTLQCEPSGKKPSSWSACPAMQCEGGLLTIGNTTTSGCNTTTCAYAGFSGDQNIFTALATQSTCPVTTAPGGSPGNFASRIGLSRNYLFICIHMILLLVYLL >Potri.019G045300.1.v4.1 pep chromosome:Pop_tri_v4:19:6323534:6326626:1 gene:Potri.019G045300.v4.1 transcript:Potri.019G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045300.v4.1 METQAHLTANSNRQNNSRPEANFPPSLWGCSFASFSFPQTEFERYTRQVDVLKENVKDMLMASKKDTVEHIEFINLLCRLGVSYHFDDEIENSLKEIFDDLPHLLEKHDFDLYTLSLLFRVLRQHGFKMPCVVFDKFKDTNGEFKKTIINDVKGILSLYEASFLSVHGEQILDDALVFTKANLASSAMQSSPRVPRIEARKYISFYEEDESRMDTLLKFAKIDFNRVQLLHRQELSILSRWWNDLNFSEEFPYARDRIVEIYFWANAIHFEPQYAFSRMVVTKYTKFVSLLDDTYDAYASFEEIQHFTNAIERCCMDAIDQLPAEYLKVLYRALLNLFSETESDMGKARTILCLILREGGKLARGYRVEAQWADEGHVPTFDEYVRNGLTTSAYGVITAVSFVEMDEVAGQEEYEWLKSNPKIMKAGKMICRLMNDIVGHEDEQKRGDCASGVECYMKQYDASEKKAIEEIQNMVANGWKDINEDCMRPTNAPMLLLQHIVNLVRVTDVMYGDDDDAYTIPL >Potri.007G003500.5.v4.1 pep chromosome:Pop_tri_v4:7:243306:247865:1 gene:Potri.007G003500.v4.1 transcript:Potri.007G003500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003500.v4.1 MRAASASPTTNASASLRNTNHFNFTSLPLSSVKNNNNKKITLVVVRAIQDRKEGVNEYNKESTAKQQQPLSLEDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTRADDKEALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADEEVLYKLPRSVEIMIGDVGDPSTLKEAVEGCNKIIYCATARSSITGDLFRVDHQGVSNLTKALQDYNNKLAQLRAGKSSKSKLLLAKFKSAHSLNGWEVRQGTYFQDAVASKYDAGMDAKFEFTEAGEAVFSGYVFTRGGYVEISKKLSLPLGYTLDRYEGLVLCVGGNGRSYVLILEAGPSADATQCNLYFARISTKVGFCRVRVPFSSFRPVKPDYPPLDPFLVHTMTLRFEPRRQRPVEGPAGVKQDLRSFKLILEYIKALPTGQETDFILVSCTGLGVEPTRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLKEEPGGQRALIFDQGNRISQGISCVDVADICVKALHDSTARNKSFDVCYEYVSEQGRELYELVAHLPDKANNYLTPALSVLEKNT >Potri.006G283200.1.v4.1 pep chromosome:Pop_tri_v4:6:27296831:27297322:1 gene:Potri.006G283200.v4.1 transcript:Potri.006G283200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283200.v4.1 MSSASLFINDERNRGEHGETDREQGNGRNREGEEQGKGNERNREGTGEWGNDRNREGKRTEREREHGGSAERDRDREQGNGGMIETERGNGPRGRGNMGDRPRGTGTGNRGVDREGQGQGTGGVDREGQGQGQGTGGVDREGQGQGTGEWEGNRPRGRGNKGK >Potri.003G146300.2.v4.1 pep chromosome:Pop_tri_v4:3:16090057:16093987:-1 gene:Potri.003G146300.v4.1 transcript:Potri.003G146300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146300.v4.1 MLMQQKPLMRALRRSHTPFSTTSSTSNSSSSPSSSSSSSWIYLRSVLLVVASSSLSSSSSSSPASTNRGSLKSPWSRRRRKHALLPKQWKSLFMPDGKLCDGGVKFLKKARSGGVDPSIRPEVWPFLLGIYDVNSSKEERDCIQDEKRKEYENLRKQCRRHLRCNDRSFKAKQAVGISSAEVSGDSSQVMDFPGLEDVNSTRMTPSAEQGNLSAEDSDFPDEAPQGSESILEGGDDRSVVTYEDGLAGDTESTDSDSSEEPEIAESFLATEFTGENDFDLPSQENSSPFETKSRLNLQKDEDFATWQRIIRVDAVRANGEWIMYSPSQAAVSEMKARRLAESVGLQDYDHLEPSRIFHAARLITILEAYALYDPEIGYCQGMSDLLSPIIAVMEEDFLAFWCFVGFMKKARHNFRLDEVGIRRQLGLVSKIIKCKDSHLYKHLEKLQAEDCFFVYRMVVVLFRRELNLDQTLCLWEVMWADQAAIRAGIAQSAWGRMRLRAPPSDDLLLYAIAACVLQRRKLIVEKYSSMDEIMRECNSMAGQLDVWKLLDDAHDLVVNLHDKI >Potri.003G146300.1.v4.1 pep chromosome:Pop_tri_v4:3:16090159:16093987:-1 gene:Potri.003G146300.v4.1 transcript:Potri.003G146300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146300.v4.1 MVLSNQKPGLLLPPSLKVISAISLLMLFSSSAGGGAFWKWIVFAEASTGNTGTGRNGWVVGGVGGGLWGAATSSSNVGIAIAVTAMAGIALAATVVYSRRGSLKSPWSRRRRKHALLPKQWKSLFMPDGKLCDGGVKFLKKARSGGVDPSIRPEVWPFLLGIYDVNSSKEERDCIQDEKRKEYENLRKQCRRHLRCNDRSFKAKQAVGISSAEVSGDSSQVMDFPGLEDVNSTRMTPSAEQGNLSAEDSDFPDEAPQGSESILEGGDDRSVVTYEDGLAGDTESTDSDSSEEPEIAESFLATEFTGENDFDLPSQENSSPFETKSRLNLQKDEDFATWQRIIRVDAVRANGEWIMYSPSQAAVSEMKARRLAESVGLQDYDHLEPSRIFHAARLITILEAYALYDPEIGYCQGMSDLLSPIIAVMEEDFLAFWCFVGFMKKARHNFRLDEVGIRRQLGLVSKIIKCKDSHLYKHLEKLQAEDCFFVYRMVVVLFRRELNLDQTLCLWEVMWADQAAIRAGIAQSAWGRMRLRAPPSDDLLLYAIAACVLQRRKLIVEKYSSMDEIMRECNSMAGQLDVWKLLDDAHDLVVNLHDKI >Potri.008G219200.1.v4.1 pep chromosome:Pop_tri_v4:8:18065824:18067257:-1 gene:Potri.008G219200.v4.1 transcript:Potri.008G219200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219200.v4.1 MDPGSRDPPPEYREGYALSGKIMLSAIVILFFVVILMVFLHLYARWYLTRARQRQVRRVRNRRTHLVFYVDSAQDPNNVTSHVTRGLEETVKNSLPVFVYSRKTHQDSIECAVCLSEFEENERGRVLPKCNHSFHTECIDMWFHSHSTCPLCRSPVEPVAENPVPEGSNFGISEAGSGLCTSCQHEEDHVGSASTSSFNDGRKPVGLIGVTIDVPRRNGNFEDESNTESPSASHSFRSPMSRMLSFKRMLSRERRGTVSPTVANSVSCGGGSGTTEFDIEHGRDESMPQQTRC >Potri.005G046600.8.v4.1 pep chromosome:Pop_tri_v4:5:2947304:2957194:1 gene:Potri.005G046600.v4.1 transcript:Potri.005G046600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046600.v4.1 MASVVTSRVLSYFLTNSELKPRSRVRVQSVSGSDSKGLPSDSVQMNGASLTGEEEKNGVLIDRGIREKEKTGGRADAWNASLKCGVEKKCVKDVISSHLDVLWDDGYGTKTVKDYFEEAKEMIRPDGGPPRWFCPVECGQPLKDSPVLLFCPGLDGVGSALALHHKALGKVFEVRCLHIPVRDRTPFEGLVTIVEKTVRLEHASSPSKPIYLLGDSFGGCLVLAIAARNPEIDLVVILANPATSFDRSQLQPLFPLSEAWPDGLYNAMPYLLSFIMGDPVKMARVNIDHRLPRRLQIEQLFQNLIALLPCLSDLADIVPKDTLIWKLKLLKSAASYTNSRIHAVKAEVLVLSSGNDYMLPSGDEAQRLKSSLKNCTVRYFKDNGHTILLEDGVNLLTVIKGTGKYRRSRTINFVTDFVPPSMSEFKYGNDELVGLLSFATGSAMFSTLDDGKIVKGLHGVPNEGPVLFVGNHMLMGLEVCSLVLEFLRERKIMVRGVAHPVVLGEREWVSSPEFSFTDWMKVMGAVPVTASNLFKLLSTKSHVLLYPGGARESLHHRGEEYRLFWPDQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMKIPVLNDYIRNATSSSIRIRDKSKGEVANQELHLPGLLPKLPGRFYFLFGKPIATKGRKEEILEDRENAKQLYLHIKSEVEGCIAYLLKKREEDPYRNIVDRTVYHALHSPLHEVPAFDP >Potri.005G046600.1.v4.1 pep chromosome:Pop_tri_v4:5:2947533:2957459:1 gene:Potri.005G046600.v4.1 transcript:Potri.005G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046600.v4.1 MASVVTSRVLSYFLTNSELKPRSRVRVQSVSGSDSKGLPSDSVQMNGASLTGEEEKNGVLIDRGIREKEKTGGRADAWNASLKCGVEKKCVKDVISSHLDVLWDDGYGTKTVKDYFEEAKEMIRPDGGPPRWFCPVECGQPLKDSPVLLFCPGLDGVGSALALHHKALGKVFEVRCLHIPVRDRTPFEGLVTIVEKTVRLEHASSPSKPIYLLGDSFGGCLVLAIAARNPEIDLVVILANPATSFDRSQLQPLFPLSEAWPDGLYNAMPYLLSFIMGDPVKMARVNIDHRLPRRLQIEQLFQNLIALLPCLSDLADIVPKDTLIWKLKLLKSAASYTNSRIHAVKAEVLVLSSGNDYMLPSGDEAQRLKSSLKNCTVRYFKDNGHTILLEDGVNLLTVIKGTGKYRRSRTINFVTDFVPPSMSEFKYGNDELVGLLSFATGSAMFSTLDDGKIVKGLHGVPNEGPVLFVGNHMLMGLEVCSLVLEFLRERKIMVRGVAHPVVLGEREWVSSPEFSFTDWMKVMGAVPVTASNLFKLLSTKSHVLLYPGGARESLHHRGEEYRLFWPDQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMKIPVLNDYIRNATSSSIRIRDKSKGEVANQELHLPGLLPKLPGRFYFLFGKPIATKGRKEEILEDRENAKQLYLHIKSEVEGCIAYLLKKREEDPYRNIVDRTVYHALHSPLHEVPAFDP >Potri.005G046600.7.v4.1 pep chromosome:Pop_tri_v4:5:2947346:2957525:1 gene:Potri.005G046600.v4.1 transcript:Potri.005G046600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046600.v4.1 MAGETTCWAQQGHQVICVEVHKKTTQISKRAAELKPRSRVRVQSVSGSDSKGLPSDSVQMNGASLTGEEEKNGVLIDRGIREKEKTGGRADAWNASLKCGVEKKCVKDVISSHLDVLWDDGYGTKTVKDYFEEAKEMIRPDGGPPRWFCPVECGQPLKDSPVLLFCPGLDGVGSALALHHKALGKVFEVRCLHIPVRDRTPFEGLVTIVEKTVRLEHASSPSKPIYLLGDSFGGCLVLAIAARNPEIDLVVILANPATSFDRSQLQPLFPLSEAWPDGLYNAMPYLLSFIMGDPVKMARVNIDHRLPRRLQIEQLFQNLIALLPCLSDLADIVPKDTLIWKLKLLKSAASYTNSRIHAVKAEVLVLSSGNDYMLPSGDEAQRLKSSLKNCTVRYFKDNGHTILLEDGVNLLTVIKGTGKYRRSRTINFVTDFVPPSMSEFKYGNDELVGLLSFATGSAMFSTLDDGKIVKGLHGVPNEGPVLFVGNHMLMGLEVCSLVLEFLRERKIMVRGVAHPVVLGEREWVSSPEFSFTDWMKVMGAVPVTASNLFKLLSTKSHVLLYPGGARESLHHRGEEYRLFWPDQQEFVRMAARFGATIVPFGTVGEDDIAELVLDYNDLMKIPVLNDYIRNATSSSIRIRDKSKGEVANQELHLPGLLPKLPGRFYFLFGKPIATKGRKEEILEDRENAKQLYLHIKSEVEGCIAYLLKKREEDPYRNIVDRTVYHALHSPLHEVPAFDP >Potri.004G031600.3.v4.1 pep chromosome:Pop_tri_v4:4:2431806:2437935:1 gene:Potri.004G031600.v4.1 transcript:Potri.004G031600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031600.v4.1 MTSRNQNRPPRSPSSKKEGVESIPLDKRRRIGMGRTGGATNAERKPFGSVNKKLDVAATSDVGSCVEGSDCGNVEFTKEEIDALVNERLKMKKFDHKGNMELVSELNARLKVCIKWFQKRDEAHVEGEGKLQKALDALEKKCAETEAEMKNKEERFSATISELRQDNTCVQERLVKEESEKLDAIACHRKENEARIALEALQASLSKDLEKAQQDILVANQRAASVDDMYKRLQEYNLSLQQYNSKLHSELEVARESLKRVEKEKSTIMENHSTLRGHYSSLQDQLNLARTAQDEALNQKDTLANEVKCLRGELQQVREDRDRQVAQVQVLTSDVVKYKESTSESCAKLEYLMEKTKSLEETCSSQREQIRLLEHQLTATNEKLKMSDLSSIQTRAEFEEQRRNVHDLQERLAETEYQLVEGEKLRKKLHNTILELKGNIRVFCRVRPVLPDDVAGSEQPVISYPTSTEALGRGIDVIQSGQKYPFNFDKVFNHDASQQEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPEASEQKGLIPRSLEQIFQTSQSLIAQGWKYKMQASMLEIYNETIRDLLSTNKSSGAENGAPGKQYTIKHDANGNTNVTDLTIVDVCRIEEISSLLRQAAQSRSVGKTQMNEQSSRSHFVFTLRISGVNEGTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINRSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPASVGESLCSLRFAARVNACEIGIPRRQMTAQMTS >Potri.004G031600.7.v4.1 pep chromosome:Pop_tri_v4:4:2431807:2438375:1 gene:Potri.004G031600.v4.1 transcript:Potri.004G031600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031600.v4.1 MTSRNQNRPPRSPSSKKEGVESIPLDKRRRIGMGRTGGATNAERKPFGSVNKKLDVAATSDVGSCVEGSDCGNVEFTKEEIDALVNERLKMKKFDHKGNMELVSELNARLKVCIKWFQKRDEAHVEGEGKLQKALDALEKKCAETEAEMKNKEERFSATISELRQDNTCVQERLVKEESEKLDAIACHRKENEARIALEALQASLSKDLEKAQQDILVANQRAASVDDMYKRLQEYNLSLQQYNSKLHSELEVARESLKRVEKEKSTIMENHSTLRGHYSSLQDQLNLARTAQDEALNQKDTLANEVKCLRGELQQVREDRDRQVAQVQVLTSDVVKYKESTSESCAKLEYLMEKTKSLEETCSSQREQIRLLEHQLTATNEKLKMSDLSSIQTRAEFEEQRRNVHDLQERLAETEYQLVEGEKLRKKLHNTILELKGNIRVFCRVRPVLPDDVAGSEQPVISYPTSTEALGRGIDVIQSAGQKYPFNFDKVFNHDASQQEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPEASEQKGLIPRSLEQIFQTSQSLIAQGWKYKMQASMLEIYNETIRDLLSTNKSSGAENGAPGKQYTIKHDANGNTNVTDLTIVDVCRIEEISSLLRQAAQSRSVGKTQMNEQSSRSHFVFTLRISGVNEGTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINRSLSSLSDVIFALAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPASVGESLCSLRFAARVNACEIGIPRRQMTAQMTS >Potri.009G120300.2.v4.1 pep chromosome:Pop_tri_v4:9:10085435:10086221:-1 gene:Potri.009G120300.v4.1 transcript:Potri.009G120300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120300.v4.1 MTCELLSPFTIYHMALVSPNCFSYKKPKLPLRNNASLAARALSLSLSLKMENQTEQVPFDMDALRANLPQKRGGLSRYYSGKARSFTCIADVRCLEDLKKPERPDPKKRQKYSDRNGLHVPPYPCRRVSSSTQCFSPCVGV >Potri.004G189850.1.v4.1 pep chromosome:Pop_tri_v4:4:20239945:20240217:1 gene:Potri.004G189850.v4.1 transcript:Potri.004G189850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189850.v4.1 MIRMEASLLVCSSSTTPHALSPVNKIFCADISMSRVVGSFHESIFFLSLSFIQQDICIENKHVIESFYRRKALTGQDFANKKVKKLTRLN >Potri.008G152500.2.v4.1 pep chromosome:Pop_tri_v4:8:10472564:10475446:1 gene:Potri.008G152500.v4.1 transcript:Potri.008G152500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152500.v4.1 MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKEKLASLYEVKDLNSIFVFKFRTHFGGGKSTGFGLIYDSVESAKKYEPKYRLIRNGLATKVEKSRKQLKERKNRAKKVRGVKKTKAGDAAKKK >Potri.019G014360.1.v4.1 pep chromosome:Pop_tri_v4:19:2261341:2264582:1 gene:Potri.019G014360.v4.1 transcript:Potri.019G014360.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014360.v4.1 MLNKLENVCLLESAKMDYDGSRCFKMHDLIRDMAIQILLENSQGMVKAGAQLKELPDAEEWMENLTRVSLMQNEIEEIPSSYSPRCPYLSTLFLRDNDRLRFVADSFFKQLHGLKVLDLSYKGIENLPDSVSDLVSLTALLLKECENLRHVPSLEKLRALKRLDLYWTPLKKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEELMGECCAYAPITVKGKEVGSLRNLESLECHFEGFSDFVEYLRSRDGIQSLSTYTIIVGMVDTDKWIGTCAFPSKTVGLGNLSINGDGDFQVKYLNGIQGLVCECIDARSLCDVLSLENATELELIRIEDCNNMESLVSSSWFCSAPPPLPSYNGMFSSLKMFYCYGCESMKKLFPLVLLPNFVNLERIVVEDCKKMEEIIGTTDEESSTSNSITEVILPKLRTLRLFELPELKSICSAKLICNSLEDIDVEDCQKLKRMPICLPLLENDQPSPPPSLKEITVYPEEWWETVVEWEHPNAKDVLRRCVRFW >Potri.010G010100.2.v4.1 pep chromosome:Pop_tri_v4:10:1032887:1036307:-1 gene:Potri.010G010100.v4.1 transcript:Potri.010G010100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010100.v4.1 MLYGTLLPNNSLFSLHHLQHLNLSFNDFNSSHISSRFGQFFNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNYDLSLEPLCFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLEVLECGLQGKLPSSMGKFKHLQYLDLGGNNLTSPIPYDFEQLTELVSLDLSGNGYLSLEPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLIDCRLQGKLPSLMGKFKHLQYLRLRGNNLTGSIPCDFEQLKELVSLDLSENFYLSPEPICFDKLVRNLTKLSELNLASVNMSLVAPNSLTNLSSSLSFLSLSNCGLQGKFPGNIFLLPNLEFLYLSQNKGLTGSFPSSNLSNVLFLLGLSNTRISVYLENDLISNLKSLEYMSLRNCNIIRSDLALLGNLTQLTYLDLSSNNFIGEIPSSIGNNTFSNLKYLLLFDNLFNGTIPSFLFALPSLQFLNLHNNNLIGNISEFQHDSLVYLDLSNNHLHGTIPSSIFKQENLEALILVSNSKLTGEISSSICKLRSLEVLDLSDNSLNGSIPLCLGNFSSKLSVLHLAMNNLQGTIPSTFSKGNSLEYLDLNGNELEGEISPSIINCTMLEVLDLGNNKIEDTFPYFLETLPELQILILKFNNLQGFVKGPTADNSFFKLWIFDISNNNFSGPLPTGYFNTLEAMMISDQNMIYLNTTNDIVCVHSIEMTWKGVEIKFPKIRSTIRVLDLSNNSFTGEIPKVIGKLKALQQLNLSHNFLTGHIQSSLENLTNLESLDLYSNLLTGRIPMQMAYLTFLATLNLSHNQLEGPIPSGEQFNTFDARSFEGNSGLCGFQVLKECYGDEAPSLPPSSFNEGDDSTLFGEGFGWKAVTIGYGCGFLFGVATGYVVFRTNKPSWLLRMVEDIWNLKSKNTKKNFRRYGARRN >Potri.018G024900.2.v4.1 pep chromosome:Pop_tri_v4:18:1834750:1835715:1 gene:Potri.018G024900.v4.1 transcript:Potri.018G024900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024900.v4.1 MRKHKEDVEHLTNMLKVASQERDEAKSQLQKVLNNLVLSELMAASLPIHPQEQSENPLVVSVIGNSSITESNSLSETHNHQSHGSSPVDPFFDTITSPDFSNNNLADSSHMGLVSNTFVQEYKGSISAGLVPPAVAKIHPADAVIENFVKGKVLPQKGKLLQALMEARPLLQTLLLAGLLPRWRNSPPLQPFNIVPVSMSNQNTFANASSLAQKPLASPSYIELRYSQKCSSMLNLASCASSSGLDKGYLLNSGAIHKFQLENGRDFSEIKFQWFKEYIRFIYL >Potri.001G375700.1.v4.1 pep chromosome:Pop_tri_v4:1:39464491:39467967:1 gene:Potri.001G375700.v4.1 transcript:Potri.001G375700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375700.v4.1 MGCLFSGNWLKSIIRTRKAKKDASKKVKVHSATEKANGSKESSPAHGESSNLANGDLESNIHVAPGLSAEYIAAVRIQDAFRAYKARKAMHRLKGAVRFNVLIHGHDTQKQASSTLSHIHSWSNIQAQIRARRHHMVTEGRIKQKKLENQLKLEARLQEIEVEWCGGSDTMEEILSRIQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSLGKENWGWSWKERWIAARPWEIRVHAELHNLKKAHPKQESKTTLPTKPALSNGKVTAKSKMLPSPAVDCQAAQVASSTAGSSHLLIPS >Potri.001G375700.5.v4.1 pep chromosome:Pop_tri_v4:1:39464562:39467971:1 gene:Potri.001G375700.v4.1 transcript:Potri.001G375700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375700.v4.1 MGSGNWLKSIIRTRKAKKDASKKVKVHSATEKANGSKESSPAHGESSNLANGDLESNIHVAPGLSAEYIAAVRIQDAFRAYKARKAMHRLKGAVRFNVLIHGHDTQKQASSTLSHIHSWSNIQAQIRARRHHMVTEGRIKQKKLENQLKLEARLQEIEVEWCGGSDTMEEILSRIQQREEAAVKRERAMAYAFSHQWRANPTQYLGQAYYSLGKENWGWSWKERWIAARPWEIRVHAELHNLKKAHPKQESKTTLPTKPALSNGKVTAKSKMLPSPAVDCQAAQVASSTAGSSHLLIPS >Potri.018G111600.3.v4.1 pep chromosome:Pop_tri_v4:18:12909632:12913662:1 gene:Potri.018G111600.v4.1 transcript:Potri.018G111600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111600.v4.1 MASRITIIILFHVFLSLKLHTAKAQAWIKAGYWFSGSDLPISDINSTLYTHLICAFAGLNSSSYHLSISSSDDQFFSAFTNTVKQKNPSITTLLSIGGGSANYSTLSAMVGNSTYRKSFIDDSIKIARHYGFQGLDFSWVSANTSDNMDSMGTLFEEWRAAIALERRKSSLSELILTAAVQYSPRLDSGSFPIDSIRKNLNWTHVLAFDYYMPTWAKFTAAFAALYDPDSDVNTDFGIAAWINGGLPASKLVLGLPFYGYAWKLANLNESAIGAPATGPAVTEDGDLSYKDINNYFKTNGRVNPIYNSTYVVNYGIVGPAWISFDGVDVVRTKVSYAKEKALLGYVVWEVSYDDNWVLSQAAGAVDSAGGGDSGGGVDLKHEGRPKSRILIIILTTTAAVIILLGLAFYFIRNRILKSKSKETKLKVNNAAAAGDFDSNNPDLIVYSLADIEKATDQFAFENKLGEGGFGPVYKGVLPGGQEIAVKKLSKSSTQGFDEFKNEVMLTAKLQHVNLVKVLGFCVEREEKVLIYEYMPKKSLDSYLFDPIRRYLLDWKRREEIIEGITQGLLYLQEYSRLTIIHRDLKASNILLDGDMKPKISDFGMARIFTKDEQEANTSRLVGTYGYVPPEYVRNGVYSIKSDVYSFGIVLLHIISGKKNGSLYGSDETLSLLEYAYELWKDGKGMEIMDPSLDDTLSSCKLIKCLQIALLCVQENPIDRPSMLEVSSMLKNETAIVTIPKRPAFSVKTDEDDKNRPEQLHLKICSVDDATISQVVGR >Potri.016G130101.1.v4.1 pep chromosome:Pop_tri_v4:16:13329207:13329654:-1 gene:Potri.016G130101.v4.1 transcript:Potri.016G130101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130101.v4.1 MIIRLRATLIDTHYLLMEGAEIKKKTDDQQEISRLLVARLLACTSAIT >Potri.006G198300.2.v4.1 pep chromosome:Pop_tri_v4:6:20597841:20609508:1 gene:Potri.006G198300.v4.1 transcript:Potri.006G198300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198300.v4.1 MTMMTPSPLDQEDEEMLVPHSDLVEGPQPIEVAQVEQTSTVENQPVEDPPSMKFTWTIENFTRLNTKKHYSDVFIVGSYKWRVLIFPKGNNVDHLSMYLDVADSTTLPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVVIEAEVAVRKLLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTQYHIPYFRKAVYHMPTTENDMPTGSIPLALQSLFYKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPDADRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDMKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGKDIYFDLVDHDKVHNFRIQKQMQFSLFKEEVAKEFGIPVQFQRFWIWAKRQNHTYRPNRSLTPQEEAQSVGQLREVSNKTHNAELKLFLEIELGLDLRPIAPPEKTKEDILLFFKLYDPEKQELRYVGRLFVKSSGKPIEILAKLNQMAGFPPDEEIELYEEIKFEPCVMCEHLDNRASFRISQIEDGDIICFQKSPPLEKEEECRYPDVPSYLEYVHNRQIVHFRSLEKPKEDDFCLELSKVHTYDDVVERVARQIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHAIKDEVVIHNIRLPKQSTVGDVINELKTKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFTKESGQNQMQVQNFGEPFFLVIHEGETLAQVKMRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVFTRFQRRDVYGAWEQYLGLEHPDNTPKRSYAVNQNRHTFEKPVKIYN >Potri.002G018300.1.v4.1 pep chromosome:Pop_tri_v4:2:1100794:1103554:-1 gene:Potri.002G018300.v4.1 transcript:Potri.002G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018300.v4.1 MAQTTPNHTQTVSGWAALDSSGKVVPYTFKRRENGVNDVTIEIMYCGICHTDLHFAKNDWGISMYPVVPGHEITGIITKVGSNVNKFKLGDRVGVGCLAASCLECDFCKSSHENYCDQIQLTYNGIFWDGSITYGGYSKFLVADHRYVVRIPENLPMDATAPLLCAGITVFSAFKDSNLVDTPGKRVGVVGLGGLGHVAVKFGKAFRHHVTVISTSPSKEKEARERLGADDFIVSTNAQELQAARRTLDFIVDTVSAKHSLGPTLELLKVNGTLAVVCAPDQPMELPAFPLIFGKRSVRGSMTGSTKETQEMLDVCGKHNITCDIELVKTDNINEAWDRLARNDVRYRFVIDIAGKSSNL >Potri.006G230300.9.v4.1 pep chromosome:Pop_tri_v4:6:23374366:23381990:1 gene:Potri.006G230300.v4.1 transcript:Potri.006G230300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230300.v4.1 MIIEVPPVALLPNCLVQQKVLYPFRKQVGGTVLAAKLAKERGWAINVGGGFHHCCSERGGGFCAYADISLCIHYAFNRLDISKVMIIDLDAHQGNGHERDFSNDRRVYILDMYNPEIYPFDHEARRYIDQKVEVVSGTTTDEYLVKLDEALAVAGKMFDPELVVFNAGTDILDGDPLGRLKISPDGITSRDEKVFRFAREKNASLVMLTSGGYMKSSARVIADSIANLSKQTLINF >Potri.006G230300.8.v4.1 pep chromosome:Pop_tri_v4:6:23374292:23382290:1 gene:Potri.006G230300.v4.1 transcript:Potri.006G230300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230300.v4.1 MTFRVTPVITESTRNLGKSTLYFFLTIAAIKASHSLYRCIRSLTMSSSSSSTSNSTADASTLRRNRILSSKLYFDVPLSKVPLIYSTAYDISFLGMEKLHPFDSSKWGRICRFLIVDGVLDKNSIIEPLEASKDDLLVVHTESYLSSLKSSANVAMIIEVPPVALLPNCLVQQKVLYPFRKQVGGTVLAAKLAKERGWAINVGGGFHHCCSERGGGFCAYADISLCIHYAFNRLDISKVMIIDLDAHQGNGHERDFSNDRRVYILDMYNPEIYPFDHEARRYIDQKVEVVSGTTTDEYLVKLDEALAVAGKMFDPELVVFNAGTDILDGDPLGRLKVVT >Potri.006G230300.5.v4.1 pep chromosome:Pop_tri_v4:6:23374292:23382290:1 gene:Potri.006G230300.v4.1 transcript:Potri.006G230300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230300.v4.1 MTFRVTPVITESTRNLGKSTLYFFLTIAAIKASHSLYRCIRSLTMSSSSSSTSNSTADASTLRRNRILSSKLYFDVPLSKVPLIYSTAYDISFLGMEKLHPFDSSKWGRICRFLIVDGVLDKNSIIEPLEASKDDLLVVHTESYLSSLKSSANVAMIIEVPPVALLPNCLVQQKVLYPFRKQVGGTVLAAKLAKERGWAINVGGGFHHCCSERGGGFCAYADISLCIHYAFNRLDISKVMIIDLDAHQGNGHERDFSNDRRVYILDMYNPEIYPFDHEARRYIDQKVEVVSGTTTDEYLVKLDEALAVAGKMFDPELVVFNAGTDILDGDPLGRLKISPDGITSRDEKVFRFAREKNASLVMLTSGGYMKSSARVIADSIANLSKQTLINF >Potri.006G230300.7.v4.1 pep chromosome:Pop_tri_v4:6:23374292:23382290:1 gene:Potri.006G230300.v4.1 transcript:Potri.006G230300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230300.v4.1 MTFRVTPVITESTRNLGKSTLYFFLTIAAIKASHSLYRCIRSLTMSSSSSSTSNSTADASTLRRNRILSSKLYFDVPLSKVPLIYSTAYDISFLGMEKLHPFDSSKWGRICRFLIVDGVLDKNSIIEPLEASKDDLLVVHTESYLSSLKSSANVAMIIEVPPVALLPNCLVQQKVLYPFRKQVGGTVLAAKLAKERGWAINVGGGFHHCCSERGGGFCAYADISLCIHYAFNRLDISKVMIIDLDAHQGNGHERDFSNDRRVYILDMYNPEIYPFDHEARRYIDQKVEVVSGTTTDEYLVKLDEALAVAGKMFDPELVVFNAGTDILDGDPLGRLKISPDGITSRDEKVFRWLHEVQCQSYSRFDSEPLKTDLNKFLD >Potri.011G149601.4.v4.1 pep chromosome:Pop_tri_v4:11:17665995:17669835:1 gene:Potri.011G149601.v4.1 transcript:Potri.011G149601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149601.v4.1 MSKPATQEVMEPLSMTVSELQITCPSHPIELASKSLGVKESDPIGNSSEIINENDSDLDSPCWKGKLSANQSTCEVSRPDDFQHLKSARGACSNLNPLAPHFVPSCGQQKVNYRGTECEGDDSLTFQKTESSAVSLFSREHTLQKPGTAGSSSSDRSSITETHCSIDNHVRNEEYEPLTNSSTSSMLSSSCVVQPSILEDYFTSNGQLLTRQKVGGSGKVIEDAVPNGSTSVSLLASKHVRPISTRQIDSQHVSFSAVMSRPISSKFSTLSDLHSSAIVQDLQSGAPAISWSSNGSDIASFERRFSQKLNVCAAKGNSSPSSDSNSLQALDSESSGAGSSYSPFNHALSQNLDSDGHGGVSKSGIFWYGLASLVDAAVDKRKEVFHDKVLTDKSKGKMSKPATQEVMEPLSMTVSELQITCPSHPIELASKSLGVKESDPIGNSSEIINENDSDLDSPCWKGKLSANQSTCEVSRPDDFQHLKSARGACSNLNPLAPHFVPSCGKQKVNYRGTECEGDDSLTFQKTESSAVSLFSREHTLQKPGTAGSSSSDRSSITETHCSIDNHVRNKEYEPLTNSSTSSMLSSSCLVQPSIPEDYFISNGQLLTGKKVGGSGKDIKDAVSNGSTSVSLLASEHVTSSSSCRVGVSSALSETYGLVTKPLCTPPKLDIQIVVKTINQVSELLMQNCSYDLDSLNEHEHDIMKRVVCNLNACIRNRVREHTLTSESSHPRASYCVVKSADLNKQCWNMELQAKRAKAVMVSHELGHQNKACKAEELFY >Potri.011G149601.1.v4.1 pep chromosome:Pop_tri_v4:11:17664087:17669824:1 gene:Potri.011G149601.v4.1 transcript:Potri.011G149601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149601.v4.1 MASDTKRGSVSRLSPLAKPFILKTPKNSSSALNSSLQDTSLSPSSSRLAQSFSSFSVEGDSFSYYSLNPSRVYQGSADFGLFNESKSDLDTLLVSKSAELGYKAHNSGDHQEILHWKDKHDGFSMSNDDPTKQGSSTEGLKLRAETSDHVCRKFSGISRKDDEVRPKSTRQIDSQHVSFSAVKSRPISSKFSTSSDLHSSALVQDPQSGVPAISWSSNDSKIPSFERRFSQQLDACTTKVNSSPSSDSNSLRALDSESSGTGSSYSPFNHALSQTLDSGGHGGVSKSGIFWYSLASLVDAAVDKRKEVFHDEVLTDKSKVKMSKPATQEVMEPLSMTVSELQITCPSHPIELASKSLGVKESDPIGNSSEIINENDSDLDSPCWKGKLSANQSTCEVSRPDDFQHLKSARGACSNLNPLAPHFVPSCGQQKVNYRGTECEGDDSLTFQKTESSAVSLFSREHTLQKPGTAGSSSSDRSSITETHCSIDNHVRNEEYEPLTNSSTSSMLSSSCVVQPSILEDYFTSNGQLLTRQKVGGSGKVIEDAVPNGSTSVSLLASKHVRPISTRQIDSQHVSFSAVMSRPISSKFSTLSDLHSSAIVQDLQSGAPAISWSSNGSDIASFERRFSQKLNVCAAKGNSSPSSDSNSLQALDSESSGAGSSYSPFNHALSQNLDSDGHGGVSKSGIFWYGLASLVDAAVDKRKEVFHDKVLTDKSKGKMSKPATQEVMEPLSMTVSELQITCPSHPIELASKSLGVKESDPIGNSSEIINENDSDLDSPCWKGKLSANQSTCEVSRPDDFQHLKSARGACSNLNPLAPHFVPSCGKQKVNYRGTECEGDDSLTFQKTESSAVSLFSREHTLQKPGTAGSSSSDRSSITETHCSIDNHVRNKEYEPLTNSSTSSMLSSSCLVQPSIPEDYFISNGQLLTGKKVGGSGKDIKDAVSNGSTSVSLLASEHVTSSSSCRVGVSSALSETYGLVTKPLCTPPKLDIQIVVKTINQVSELLMQNCSYDLDSLNEHEHDIMKRVVCNLNACIRNRVREHTLTSESSHPRASYCVVKSADLNKQCWNMELQAKRAKAVMVSHELGHQNKACKAEELFY >Potri.011G149601.3.v4.1 pep chromosome:Pop_tri_v4:11:17664105:17669801:1 gene:Potri.011G149601.v4.1 transcript:Potri.011G149601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149601.v4.1 MASDTKRGSVSRLSPLAKPFILKTPKNSSSALNSSLQDTSLSPSSSRLAQSFSSFSVEGDSFSYYSLNPSRVYQGSADFGLFNESKSDLDTLLVSKSAELGYKAHNSGDHQEILHWKDKHDGFSMSNDDPTKQGSSTEGLKLRAETSDHVCRKFSGISRKDDEVRPKSTRQIDSQHVSFSAVKSRPISSKFSTSSDLHSSALVQDPQSGVPAISWSSNDSKIPSFERRFSQQLDACTTKVNSSPSSDSNSLRALDSESSGTGSSYSPFNHALSQTLDSGGHGGVSKSGIFWYSLASLVDAAVDKRKEVFHDEVLTDKSKVKMSKPATQEVMEPLSMTVSELQITCPSHPIELASKSLGVKESDPIGNSSEIINENDSDLDSPCWKGKLSANQSTCEVSRPDDFQHLKSARGACSNLNPLAPHFVPSCGQQKVNYRGTECEGDDSLTFQKTESSAVSLFSREHTLQKPGTAGSSSSDRSSITETHCSIDNHVRNEEYEPLTNSSTSSMLSSSCVVQPSILEDYFTSNGQLLTRQKVGGSGKVIEDAVPNGSTSVSLLASKHVRPISTRQIDSQHVSFSAVMSRPISSKFSTLSDLHSSAIVQDLQSGAPAISWSSNGSDIASFERRFSQKLNVCAAKGNSSPSSDSNSLQALDSESSGAGSSYSPFNHALSQNLDSDGHGGVSKSGIFWYGLASLVDAAVDKRKEVFHDKVLTDKSKGKMSKPATQEVMEPLSMTVSELQITCPSHPIELASKSLGVKESDPIGNSSEIINENDSDLDSPCWKGKLSANQSTCEVSRPDDFQHLKSARGACSNLNPLAPHFVPSCGKQKVNYRGTECEGDDSLTFQKTESSAVSLFSREHTLQKPGTAGSSSSDRSSITETHCSIDNHVRNKEYEPLTNSSTSSMLSSSCLVQPSIPEDYFISNGQLLTGKKVGGSGKDIKDAVSNGSTSVSLLASEHVTSSSSCRVGVSSALSETYGLVTKPLCTPPKLDIQIVVKTINQVSELLMQNCSYDLDSLNEHEHDIMKRVVCNLNACIRNRVREHTLTSESSHPRASYCVVKSADLNKVWIFRFLKLVDLIRGDGKTSLSTVNSFSTSI >Potri.011G149601.2.v4.1 pep chromosome:Pop_tri_v4:11:17664049:17669786:1 gene:Potri.011G149601.v4.1 transcript:Potri.011G149601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149601.v4.1 MASDTKRGSVSRLSPLAKPFILKTPKNSSSALNSSLQDTSLSPSSSRLAQSFSSFSVEGDSFSYYSLNPSRVYQGSADFGLFNESKSDLDTLLVSKSAELGYKAHNSGDHQEILHWKDKHDGFSMSNDDPTKQGSSTEGLKLRAETSDHVCRKFSGISRKDDEVRPKSTRQIDSQHVSFSAVKSRPISSKFSTSSDLHSSALVQDPQSGVPAISWSSNDSKIPSFERRFSQQLDACTTKVNSSPSSDSNSLRALDSESSGTGSSYSPFNHALSQTLDSGGHGGVSKSGIFWYSLASLVDAAVDKRKEVFHDEVLTDKSKVKMSKPATQEVMEPLSMTVSELQITCPSHPIELASKSLGVKESDPIGNSSEIINENDSDLDSPCWKGKLSANQSTCEVSRPDDFQHLKSARGACSNLNPLAPHFVPSCGQQKVNYRGTECEGDDSLTFQKTESSAVSLFSREHTLQKPGTAGSSSSDRSSITETHCSIDNHVRNEEYEPLTNSSTSSMLSSSCVVQPSILEDYFTSNGQLLTRQKVGGSGKVIEDAVPNGSTSVSLLASKHVRPISTRQIDSQHVSFSAVMSRPISSKFSTLSDLHSSAIVQDLQSGAPAISWSSNGSDIASFERRFSQKLNVCAAKGNSSPSSDSNSLQALDSESSGAGSSYSPFNHALSQNLDSDGHGGVSKSGIFWYGLASLVDAAVDKRKEVFHDKVLTDKSKGKMSKPATQEVMEPLSMTVSELQITCPSHPIELASKSLGVKESDPIGNSSEIINENDSDLDSPCWKGKLSANQSTCEVSRPDDFQHLKSARGACSNLNPLAPHFVPSCGKQKVNYRGTECEGDDSLTFQKTESSAVSLFSREHTLQKPGTAGSSSSDRSSITETHCSIDNHVRNKEYEPLTNSSTSSMLSSSCLVQPSIPEDYFISNGQLLTGKKVGGSGKDIKDAVSNGSTSVSLLASEHVTSSSSCRVGVSSALSETYGLVTKPLCTPPKLDIQIVVKTINQVSELLMQNCSYDLDSLNEHEHDIMKRVVCNLNACIRNRVREHTLTSESSHPRASYCVVKSADLNKCWNMELQAKRAKAVMVSHELGHQNKACKAEELFY >Potri.009G057200.3.v4.1 pep chromosome:Pop_tri_v4:9:6020292:6024232:1 gene:Potri.009G057200.v4.1 transcript:Potri.009G057200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057200.v4.1 MAIITTICSSSTTIFSAIPSLKHPLSHQSPPPTSSPPSFKLGVSSISNEAALDIGNATEAVALSSWTGGEVLFDGESDNGGLKARRKRRRKRRKGLAQSLVVDDGERDVGHEYKRVILESVKRGYLSPKEEAEFSMCLKHEARIEAARRRIGEVQELDPTSKQLAKALRMEKNSVDNVLCKGRESREKIIRSYRRLVVSIATSYQDKGLSLQDLIQEGSIGLLRGAERFDPQRGYKLSTYVYWWIKEAILTAISNNTRMVRLPVRTNISKFLAPSPVIPHLYSRKSPSTPFSWR >Potri.009G057200.4.v4.1 pep chromosome:Pop_tri_v4:9:6020340:6024232:1 gene:Potri.009G057200.v4.1 transcript:Potri.009G057200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057200.v4.1 MAIITTICSSSTTIFSAIPSLKHPLSHQSPPPTSSPPSFKLGVSSISNEAALDIGNATEAVALSSWTGGEVLFDGESDNGGLKARRKRRRKRRKGLAQSLVVDDGERDVGHEYKRVILESVKRGYLSPKEEAEFSMCLKHEARIEAARRRIGEVQELDPTSKQLAKALRMEKNSVDNVLCKGRESREKIIRSYRRLVVSIATSYQDKGLSLQDLIQEGSIGLLRGAERFDPQRGYKLSTYVYWWIKEAILTAISNNTRMVRLPMVDAGGKNCRS >Potri.009G057200.1.v4.1 pep chromosome:Pop_tri_v4:9:6020367:6024232:1 gene:Potri.009G057200.v4.1 transcript:Potri.009G057200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057200.v4.1 MAIITTICSSSTTIFSAIPSLKHPLSHQSPPPTSSPPSFKLGVSSISNEAALDIGNATEAVALSSWTGGEVLFDGESDNGGLKARRKRRRKRRKGLAQSLVVDDGERDVGHEYKRVILESVKRGYLSPKEEAEFSMCLKHEARIEAARRRIGEVQELDPTSKQLAKALRMEKNSVDNVLCKGRESREKIIRSYRRLVVSIATSYQDKGLSLQDLIQEGSIGLLRGAERFDPQRGYKLSTYVYWWIKEAILTAISNNTRMVRLPRRWWMLVAKIAGANNDLSNKLRRSPSYDEIAKVLNMKVSTVRLGFERGRSPISLDQAVLGQNSLTLQEIIPGPDETIPENMVKRELLKQELEKLFQTVLTEREAHILRLHFGLNGQTPQSCEEIGRLVKLSRERVRQIIGTALSKLRHKEIVEPLKAYAV >Potri.009G057200.5.v4.1 pep chromosome:Pop_tri_v4:9:6020348:6024232:1 gene:Potri.009G057200.v4.1 transcript:Potri.009G057200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057200.v4.1 MAIITTICSSSTTIFSAIPSLKHPLSHQSPPPTSSPPSFKLGVSSISNEAALDIGNATEAVALSSWTGGEVLFDGESDNGGLKARRKRRRKRRKGLAQSLVVDDGERDVGHEYKRVILESVKRGYLSPKEEAEFSMCLKHEARIEAARRRIGEVQELDPTSKQLAKALRMEKNSVDNVLCKGRESREKIIRSYRRLVVSIATSYQDKGLSLQDLIQVLNTSRSTLISFQWCANKQDFYVAGREHWPSSRGREI >Potri.011G073801.1.v4.1 pep chromosome:Pop_tri_v4:11:7838303:7838723:1 gene:Potri.011G073801.v4.1 transcript:Potri.011G073801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073801.v4.1 MDDENFYDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVLIFTFYRNTSAKGF >Potri.001G074700.3.v4.1 pep chromosome:Pop_tri_v4:1:5623751:5626911:-1 gene:Potri.001G074700.v4.1 transcript:Potri.001G074700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074700.v4.1 MCSFKFRLHRSANNIATPIAKINGRPVLQPKSNQVPSLERRNSLKKNSPAKSPTQEPAAVPPIPLMQPAGNAAGTKTKQPSGLSPPISPKLKSPVPPAVKRGNDPDGLNTSAEKVWTPRSTTKVTASLVKKSRKSSTVGVPHSVDPFAMKHSSLLVESPGSIAAARREHVAVMQEQRKMRIAHYGRTKPAKYHGKVVPADSPATNTISREEKRCSFITPNSDPIYVAYHDEEWGVPVHDDKMLFELLVLTGAQVGSDWTSVLKKREAFREAFSGFDAEVVAKFTEKKIASISAEYGIDTSQVRGVVDNSNKIMEVKREFGSFDKYLWEYVNHKPIFTQYKSCQKIPVKTSKSETISKDMVKRGFRFVGPTVIHSFMQAGGLRNDHLITCPRHLQYTALASQHPSTLAPPS >Potri.001G074700.1.v4.1 pep chromosome:Pop_tri_v4:1:5623736:5626940:-1 gene:Potri.001G074700.v4.1 transcript:Potri.001G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074700.v4.1 MCSFKFRLHRSANNIATPIAKINGRPVLQPKSNQVPSLERRNSLKKNSPAKSPTQEPAAVPPIPLMQPAGNAAGTKTKQPSGLSPPISPKLKSPVPPAVKRGNDPDGLNTSAEKVWTPRSTTKVTASLVKKSRKSSTVGVPHSVDPFAMKHSSLLVESPGSIAAARREHVAVMQEQRKMRIAHYGRTKPAKYHGKVVPADSPATNTISREEKRCSFITPNSDPIYVAYHDEEWGVPVHDDKMLFELLVLTGAQVGSDWTSVLKKREAFREAFSGFDAEVVAKFTEKKIASISAEYGIDTSQVRGVVDNSNKIMEVKREFGSFDKYLWEYVNHKPIFTQYKSCQKIPVKTSKSETISKDMVKRGFRFVGPTVIHSFMQAGGLRNDHLITCPRHLQYTALASQHPSTLAPPS >Potri.003G006700.1.v4.1 pep chromosome:Pop_tri_v4:3:704095:707289:-1 gene:Potri.003G006700.v4.1 transcript:Potri.003G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006700.v4.1 MEGLESFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTISASDEWWKQKIQEIRGAKKFKHVGIEQSLKNKFDRMYSNIVATGAYAWAPSSGVPADSDVDPGTSNADIAHDGLEEGSGDSEEDVIPDFQTDMARMVGGINMSSSSNTKSGDKRKERDHYDVRGRKKKASGIQLLSRCNQLLESISTKSDSTSVNLDREGCSIREVMAELHSIPGVSIEDEFHDFATEYLSLRRKREMWASMGDKEQKLRWLQRMYARTKRA >Potri.008G171000.1.v4.1 pep chromosome:Pop_tri_v4:8:11824153:11825248:1 gene:Potri.008G171000.v4.1 transcript:Potri.008G171000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171000.v4.1 MATSISATGFQGGFGTSFKGSWGASIVGEDYAMLIKSVPNHVRVGKPVKLPPMMKNVNEGKGVFAPIVVITRQAIGKKRFNQLRGKAIALHSQVITEFCRSIGADPKQRQGLIRLAKKNGERLGFLA >Potri.001G161000.1.v4.1 pep chromosome:Pop_tri_v4:1:13640815:13645496:1 gene:Potri.001G161000.v4.1 transcript:Potri.001G161000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161000.v4.1 MMVIIMVRKDSFTSLSGLTYPLIIIQRPRTPSSSSSVMFLSLLLILILLLLPPCHATTVCNQDDHDSLLLFFSCLSSSSPLNWGHSTDCCLWEGVDCGGTADGRVTSLYLPFRDLNGTLAPSLANLTSLTHLNLSHNRLYGSLPVRFFSSLRSLQVLDLSYNRLDGEIPSLDTNNLIPIKIVDLSSNHFYGELSQSNSFLQTACNLTRLNVSNNSFAGQIPSNICNISSGSTTLLDFSNNDFSGNLTPGFGECSKLEIFRAGFNNLSGMIPDDLYKATSLVHFSLPVNQLSGQISDAVVNLTSLRVLELYSNQLGGRIPRDIGKLSKLEQLLLHINSLTGPLPPSLMNCTNLVKLNLRVNFLAGNLSDSDFSTLRNLSTLDLGNNKFTGTFPTSLYSCTSLVAVRLASNQIEGQILPDILALRSLSFLSISANNLTNITGAIRILMGCKSLSTLILSNNTMSEGILDDGNTLDSTGFQNLQVLALGRCKLSGQVPSWLANISSLQVIDLSYNQIRGSIPGWLDNLSSLFYLDLSNNLLSGEFPLKLTGLRTLTSQEVIKQLDRSYLELPVFVMPTNATNLQYNQLSNLPPAIYLGNNNLSGNIPVQIGQLNFLHVLDLSDNRFSGNIPDELSNLANLEKLDLSGNLLSGEIPTSLKGLHFLSSFSVANNDLQGPIPSGGQFDTFPSSSFTGNQWLCGQVLQRSCSSSPGTNHTSAPHKSTNIKLVIGLVIGICFGTGLFIAVLALWILSKRRIIPGGDTDNTELDTISINSGFPPEGDKDASLVVLFPSNTNEIKDLTISELLKATDNFNQANIVGCGGFGLVYKATLGDGSKLAVKKLSGDLGLMEREFRAEVEALSTAQHENLVSLQGYCVHEGCRLLIYSFMDNGSLDYWLHEKTDGASQLDWPTRLKIARGVGCGLAYMHQICEPHIVHRDIKSSNILLDEKFEAHVADFGLSRLILPYQTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVMLELLTGKRPMEVFKPKMSRELVGWVQQMRNEGKQEEIFDPLLRGKGFDDEMLQILDVACMCVSQNPFKRPTIKEVVDWLKNVGSHRNENKVQQIY >Potri.001G161000.2.v4.1 pep chromosome:Pop_tri_v4:1:13640731:13644431:1 gene:Potri.001G161000.v4.1 transcript:Potri.001G161000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161000.v4.1 MMVIIMVRKDSFTSLSGLTYPLIIIQRPRTPSSSSSVMFLSLLLILILLLLPPCHATTVCNQDDHDSLLLFFSCLSSSSPLNWGHSTDCCLWEGVDCGGTADGRVTSLYLPFRDLNGTLAPSLANLTSLTHLNLSHNRLYGSLPVRFFSSLRSLQVLDLSYNRLDGEIPSLDTNNLIPIKIVDLSSNHFYGELSQSNSFLQTACNLTRLNVSNNSFAGQIPSNICNISSGSTTLLDFSNNDFSGNLTPGFGECSKLEIFRAGFNNLSGMIPDDLYKATSLVHFSLPVNQLSGQISDAVVNLTSLRVLELYSNQLGGRIPRDIGKLSKLEQLLLHINSLTGPLPPSLMNCTNLVKLNLRVNFLAGNLSDSDFSTLRNLSTLDLGNNKFTGTFPTSLYSCTSLVAVRLASNQIEGQILPDILALRSLSFLSISANNLTNITGAIRILMGCKSLSTLILSNNTMSEGILDDGNTLDSTGFQNLQVLALGRCKLSGQVPSWLANISSLQVIDLSYNQIRGSIPGWLDNLSSLFYLDLSNNLLSGEFPLKLTGLRTLTSQEVIKQLDRSYLELPVFVMPTNATNLQYNQLSNLPPAIYLGNNNLSGNIPVQIGQLNFLHVLDLSDNRFSGNIPDELSNLANLEKLDLSGNLLSGEIPTSLKGLHFLSSFSVANNDLQGPIPSGGQFDTFPSSSFTGNQWLCGQVLQRSCSSSPGTNHTSAPHKSTNIKLVIGLVIGICFGTGLFIAVLALWILSKRRIIPGGDTDNTELDTISINSGFPPEGDKDASLVVLFPSNTNEIKDLTISELLKATDNFNQANIVGCGGFGLVYKATLGDGSKLAVKKLSGDLGLMEREFRAEVEALSTAQHENLVSLQGYCVHEGCRLLIYSFMDNGSLDYWLHEKTDGASQLDWPTRLKIARGVGCGLAYMHQICEPHIVHRDIKSSNILLDEKFEAHVADFGLSRLILPYQTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVMLELLTGKRPMEVFKPKMSRELVGWVQQMRNEGKQEEIFDPLLRGKGFDDEMLQILDVACMCVSQNPFKRPTIKEVVDWLKNVGSHRNENKG >Potri.010G114000.2.v4.1 pep chromosome:Pop_tri_v4:10:13370583:13372264:-1 gene:Potri.010G114000.v4.1 transcript:Potri.010G114000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114000.v4.1 MRKPCCDKQDTNKGAWSKEEDQKLIDYIRKHGEGCWRSLPQAAGLLRCGKSCRLRWINYLRPDLKRGNFGEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRRKLINMGIDPNNHRLNQNLLRSRNPPNPAIATSSGLKIQAKQQPTKPRVDSEQTSDAGSCLEDDQCTLPDLNLDLTMSIPSSSIAHVEGNQKDNESNFLRPQKIAPSSNLLLSQ >Potri.010G114000.1.v4.1 pep chromosome:Pop_tri_v4:10:13365717:13372169:-1 gene:Potri.010G114000.v4.1 transcript:Potri.010G114000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114000.v4.1 MRKPCCDKQDTNKGAWSKEEDQKLIDYIRKHGEGCWRSLPQAAGLLRCGKSCRLRWINYLRPDLKRGNFGEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRRKLINMGIDPNNHRLNQNLLRSRNPPNPAIATSSGLKIQAKQQPTKPRVDSEQTSDAGSCLEDDQCTLPDLNLDLTMSIPSSSIAHVEGNQKDNESNFLRPQKIAPSSNLLLSQ >Potri.001G185500.2.v4.1 pep chromosome:Pop_tri_v4:1:16448292:16462682:1 gene:Potri.001G185500.v4.1 transcript:Potri.001G185500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185500.v4.1 MEVVKKVYRQGTAAADSIAIRAEHKSYSYKQLISSAWEISDLLCNSGLETADGVGGCKHLGGARIGIVAKPSAEFVAGILATWFSGGVAVPLALSYPETELLHVMNDAEISMVLSTEDHQELMQNVADKCAAQFSLIPSVHRISSHESVHDHSQVEEIALEKGDDPALIIYTSGTTGKPKGAVHTHKSITAQVQTLAEAWEYSSTDQFLNCLPLHHVHGFFNSLFAPIYAGSTVEFMPKFSVRGIWQRWRESYPPNGSKADDAITVFTGVPTIYSRLIQGYGAMDSDQQAASASAARQLRLMMSGSSALPLPVMQEWEAITGHRLLERYGMTEFVMAISNPLRGVRKAGTVGKPFPGVQVKIAEDQNENDTTGVGELCIRSPSMFKEYWKLPQVTEDSFTDDGFFRTGDAGKIDEDGYYVILGRTSADIMKVGGYKLSALEIESALLEHPVVAECCVLGLPDKTYGDAVCAIIVPEDAVKRKQEESSKPAISLEELREWAKDKLAPYKLPTRLFLWESLPRNAMGKVNKKELKKVLAAE >Potri.001G185500.1.v4.1 pep chromosome:Pop_tri_v4:1:16448436:16461110:1 gene:Potri.001G185500.v4.1 transcript:Potri.001G185500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185500.v4.1 MILFSLFYWMTGVIIALMDINCARQLCSLKNPASSHPGTLMEVVKKVYRQGTAAADSIAIRAEHKSYSYKQLISSAWEISDLLCNSGLETADGVGGCKHLGGARIGIVAKPSAEFVAGILATWFSGGVAVPLALSYPETELLHVMNDAEISMVLSTEDHQELMQNVADKCAAQFSLIPSVHRISSHESVHDHSQVEEIALEKGDDPALIIYTSGTTGKPKGAVHTHKSITAQVQTLAEAWEYSSTDQFLNCLPLHHVHGFFNSLFAPIYAGSTVEFMPKFSVRGIWQRWRESYPPNGSKADDAITVFTGVPTIYSRLIQGYGAMDSDQQAASASAARQLRLMMSGSSALPLPVMQEWEAITGHRLLERYGMTEFVMAISNPLRGVRKAGTVGKPFPGVQVKIAEDQNENDTTGVGELCIRSPSMFKEYWKLPQVTEDSFTDDGFFRTGDAGKIDEDGYYVILGRTSADIMKVGGYKLSALEIESALLEHPVVAECCVLGLPDKTYGDAVCAIIVPEDAVKRKQEESSKPAISLEELREWAKDKLAPYKLPTRLFLWESLPRNAMGKVNKKELKKVLAAE >Potri.008G064700.1.v4.1 pep chromosome:Pop_tri_v4:8:3901739:3905880:-1 gene:Potri.008G064700.v4.1 transcript:Potri.008G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064700.v4.1 MANKNAKKANLLDHHSIKHILDESVSEIVISRGHVEDVRMSNIRLFLGTIIIVIALLAQFYNKKFPQNRDFLIGCIVLYIVFNGLLQLIVHLKEKNAILVTYPPKGSYTSTGLVVSSKLPRFSDEYTLSIASADPKSISAGKPVQFTKSITQWFTKDGVLVEGLFWKDVEALIDDYAAEPKKSK >Potri.004G010400.1.v4.1 pep chromosome:Pop_tri_v4:4:596999:600383:-1 gene:Potri.004G010400.v4.1 transcript:Potri.004G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010400.v4.1 MQSLLQKITFVPIVIISPLSKPSKSCNLSVHLSNLMSWSCKKCTFINSPSPKPTCQICLSPPSPPPLPSSSSNQETPKWSCKACTFLNPYKNSSCEVCGTRGSVFSLSSLEDLTDTSGLDGDVDSSVGSVFMPLRHCKRKVRDSVDDHQEGGFRGVMSLNNVEVGGDEDSAKLGAFQGVKASNKGVTVLKDGGDGHSVKLGGFQGVKSSNKGVTVLKDESDGASVKLGAFQGARASNKGVAVLTEDTNSAAVLGSFKILSYNVWFREDLEMHRRMKALGELIQLHSPDVICLQEVIPDIYDIFQRSSWWKAYQCSVSSEIASSRGYFCMQLSKLPVKSFSTKPFMNSIMGRELCIAELEVPGKKSLVVATSHLESPCPAPPKWDQMFSKERVDQAKEAINLLKKNSNVIFCGDMNWDDKLDGQFPFPDGWVDAWVELKPGDNGWTYDTKSNQMLSGNRALQKRLDRFICSLCDFKISKIDMIGKDAIPGLSYMKEKKVRKEVKMLELPVLPSDHYGLLLTISGL >Potri.004G010400.3.v4.1 pep chromosome:Pop_tri_v4:4:596965:600410:-1 gene:Potri.004G010400.v4.1 transcript:Potri.004G010400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010400.v4.1 MQSLLQKITFVPIVIISPLSKPSKSCNLSVHLSNLMSWSCKKCTFINSPSPKPTCQICLSPPSPPPLPSSSSNQETPKWSCKACTFLNPYKNSSCEVCGTRGSVFSLSSLEDLTDTSGLDGDVDSSVGSVFMPLRHCKRKVRDSVDDHQEVGGDEDSAKLGAFQGVKASNKGVTVLKDGGDGHSVKLGGFQGVKSSNKGVTVLKDESDGASVKLGAFQGARASNKGVAVLTEDTNSAAVLGSFKILSYNVWFREDLEMHRRMKALGELIQLHSPDVICLQEVIPDIYDIFQRSSWWKAYQCSVSSEIASSRGYFCMQLSKLPVKSFSTKPFMNSIMGRELCIAELEVPGKKSLVVATSHLESPCPAPPKWDQMFSKERVDQAKEAINLLKKNSNVIFCGDMNWDDKLDGQFPFPDGWVDAWVELKPGDNGWTYDTKSNQMLSGNRALQKRLDRFICSLCDFKISKIDMIGKDAIPGLSYMKEKKVRKEVKMLELPVLPSDHYGLLLTISGL >Potri.009G019200.2.v4.1 pep chromosome:Pop_tri_v4:9:3132674:3134062:-1 gene:Potri.009G019200.v4.1 transcript:Potri.009G019200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G019200.v4.1 MEELPLGYRFYPTEEELVSFYLHNKLEGTRQELQRVIPEISIYDIEPGDLPQLSGELCRGNTEQWFFFTPRQAREARGGRPNRTTATGYWKATGSPGYVYSSDNRVIGLKKTMVFYIGKAPTGRKTKWKMNEYRAIEVHESSRNATSKLRHEFSLCRVYVVSGSFRAFDRRPLEAVTRGTQHLLRGTHVGDAATTPAQDPITVEMTSSPETSYSGGDHVDYPGTAASANWGLILHGNDRNNWIGPAQIDL >Potri.016G043400.1.v4.1 pep chromosome:Pop_tri_v4:16:2737605:2741739:-1 gene:Potri.016G043400.v4.1 transcript:Potri.016G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043400.v4.1 MVGSIKTMNHHGNGWSAQNCNGLEEKLDELRSLLGKTDGDPLRIVGVGAGAWGSVFAALLQDSYGRFREKVQIRIWRRPGRAVDRVTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDVPLCPLKVVTNLQEAVWDADIVVNAVPSTETREVFEEISQYWKERITTPIIISLAKGIEAALEPVPHIITPTQMINRATGVPTQNILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEISRDIGDNISGKGMIQGVSAVGAFYELLSQSSLSVLHPDEKKSVAPVELCPILKTLYKILIIREQSSQAILQALRDETLNDPRERIEIAQSHAFYRPSLLGQPLATLHCQ >Potri.010G105000.1.v4.1 pep chromosome:Pop_tri_v4:10:12678548:12680888:-1 gene:Potri.010G105000.v4.1 transcript:Potri.010G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105000.v4.1 MALQENAPSLAVVGVTGAVGQEFLSVLSDRDFPYRSIKMLASKRSAGKQLTFQDRNYTIEELTEDSFDGVDIALFSAGGSISKHFGPVAVEKGSVVVDNSSAFRMEEGIPLVIPEVNPEAMEGIKVGTGKGALIANPNCSTIICLMAATPLHKHAKVIRMVVSTYQAASGAGAAAMEELELQTREVLEGKPPTCNIFKQQYAFNLFSHNAPILSNGYNEEEMKLVKETRKIWNDMNVKVTATCIRVPVMRAHAESVNLQFEKPIDEYTAKDILKSAPGVVVIDDPASNHFPTPLEVSNKDDVAVGRIRRDVSQDGYKGLDIFVCGDQIRKGAALNAIQIAEMLL >Potri.002G041950.1.v4.1 pep chromosome:Pop_tri_v4:2:2753323:2754352:-1 gene:Potri.002G041950.v4.1 transcript:Potri.002G041950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041950.v4.1 MGLCHRKNAFATLFMLSILSASLQLGAMRPLGIQWLKQEGLLFHFLPKGPVTPSRPNPCTYIPKRGSGTCKLNGMNIAGSVVARSPPAFSKHTVLDVPVASSSIAENTRDQDRSS >Potri.013G049925.1.v4.1 pep chromosome:Pop_tri_v4:13:3569234:3569927:-1 gene:Potri.013G049925.v4.1 transcript:Potri.013G049925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049925.v4.1 MIYTTLFALCIYVLIPNPPLFHNLWLISLSTSTMACSASDLAPLLSTTVNSTEAATYLCSQFGSIANQLSDTTYAVNNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGYAFSFGSPSNGFIGRHFFGLREFPSSQADYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWFSPSLREPNSLGQSG >Potri.013G067500.1.v4.1 pep chromosome:Pop_tri_v4:13:5296415:5298113:-1 gene:Potri.013G067500.v4.1 transcript:Potri.013G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067500.v4.1 MGRPPCCDKVGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQALLGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQSALDPMAQGSASSQFMSKSFNERKCLDDFTTDSSVLNRPNQVSTYASSTENISRLLEGWMRSSPKPNGTDLLKENWEKNNNSLENNGHSFGNSVATNSLQSYRPKPEEESGDLISHEEFESILSLENLNNVAWDKSSCDSATVVTTTKDFKSSTSDEKANITMTERKQKSDESTPPLSFLEKWLLDENGSVQVEEIMELSPIF >Potri.017G137100.1.v4.1 pep chromosome:Pop_tri_v4:17:13843653:13846714:1 gene:Potri.017G137100.v4.1 transcript:Potri.017G137100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137100.v4.1 MGSYSQILFLVILISLTLRCQSWGWFSSSTETLSTDNSQTNGLPRGSVVEFSMNGLSDGKGMKLVEKAERKMVGTNSCWQNAYQNLFAGCSQILAVEEKRWRLAWHLSDCFQKDSGRPAFPYCDTKSAMVNCLKRLNDNEHKVYLEFSLETNSICYQLQAHAFNHKMERLVNDLKNSAEYTEEQLEIIGGKTHVLLQRSNQIQDSLSSIDIQVENVAQTTKDAKDHMDVLSKHSEAVYKHSKEIAHSQSELQEGQAKMNENLKEGMSMLHDAYTNLGQEVDNVRNEAVEIEKQIGRVGDTMSSKMETLQNSANDIENMAGKSLDKQKQLLDGQSNALKGLQLLTQFQSEALEESRSTLQHFAEYGHKQQEELLQQQEQLQKVHDHLVENSNSILAAQEAFESKQASMFIALEKLFALQNAMLLESRIIKAFILYTASIFIVYMFTSTKQTYTIRARLYVGLAATFLVEVAMLRLTTNSIERQTWLINVFRLLYGILASIQFLHAIFTYRDYEVLNHHLILNLMDKINVMQKTRELSWETDSDVDWSSWIQTELAEGGDNLEDPDFMVPEEVAENSITASMTRTYDLRCRQRR >Potri.007G099200.1.v4.1 pep chromosome:Pop_tri_v4:7:12395378:12398604:1 gene:Potri.007G099200.v4.1 transcript:Potri.007G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099200.v4.1 MGNEKVGFWVVGVLVLVLILGSSAASDDRQQRWRKAMMSGETMGSSMLMNRVPSSIVLPLHGNVYPTGFYNVTLNIGQPSKPYFLDVDTGSDLTWLQCDAPCVHCTEAPHPYYKPSNNLVACKDPICQSLHTGGDQRCENPGQCDYEVEYADGGSSLGVLVKDAFNLNFTSEKRQSPLLALGCGYDQLPGGTYHPIDGVLGLGRGKPSIVSQLSGLGLVRNVIGHCLSGRGGGFLFFGDDLYDSSRVAWTPMSPNAKHYSPGFAELTFDGKTTGFKNLIVAFDSGASYTYLNSQVYQGLISLIKRELSTKPLREALDDQTLPICWKGRKPFKSVRDVKKYFKTFALSFANDGKSKTQLEFPPEAYLIVSSKGNACLGVLNGTEVGLNDLNVIGDISMQDRVVIYDNEKQLIGWAPGNCDRLPKSRSIII >Potri.004G022800.3.v4.1 pep chromosome:Pop_tri_v4:4:1600447:1606735:1 gene:Potri.004G022800.v4.1 transcript:Potri.004G022800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022800.v4.1 MVESSNFLQIINQAQRMDVDPPFQLTYKTLLEKTTEGATDHKDVVDIIEECELPLIDLGRLNLKNLEKEKCKSEIARASREWGFFQVVNHGISREILDKMRSEQVKVFKQPFNEKSKEEKFLNFSRGTYRWGTPTATCLKQLSWSEAFHIPMSEIHVSNGFSTALSSTMEQFATTVANLAQKLAEILAEKFGCKSDFIKENCLSSTCYLRMNRYPPCPIPSEVFGLMPHTDSDFLTILHQDEVGGLQLVKDGKWFAVKPNPEALIINIGDLFQAWSNDVYKSVEHRVVTNPRVERFSTAYFFCPSYDTVIQSCYEPSVYRKFSFKEYRQQVQEDVQKLGHKIGLPRFLV >Potri.004G022800.1.v4.1 pep chromosome:Pop_tri_v4:4:1600507:1606719:1 gene:Potri.004G022800.v4.1 transcript:Potri.004G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022800.v4.1 MVESSNFLQIINQAQRMDVDPPFQLTYKTLLEKTTEGATDHKDVVDIIEECELPLIDLGRLNLKNLEKEKCKSEIARASREWGFFQVVNHGISREILDKMRSEQVKVFKQPFNEKSKEEKFLNFSRGTYRWGTPTATCLKQLSWSEAFHIPMSEIHVSNGFSTALSSTMEQFATTVANLAQKLAEILAEKFGCKSDFIKENCLSSTCYLRMNRYPPCPIPSEVFGLMPHTDSDFLTILHQDEVGGLQLVKDGKWFAVKPNPEALIINIGDLFQAWSNDVYKSVEHRVVTNPRVERFSTAYFFCPSYDTVIQSCYEPSVYRKFSFKEYRQQVQEDVQKLGHKIGLPRFLV >Potri.004G022800.4.v4.1 pep chromosome:Pop_tri_v4:4:1601215:1606719:1 gene:Potri.004G022800.v4.1 transcript:Potri.004G022800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022800.v4.1 MVESSNFLQIINQAQRMDVDPPFQLTYKTLLEKTTEGATDHKDVVDIIEECELPLIDLGRLNLKNLEKEKCKSEIARASREWGFFQVVNHGISREILDKMRSEQVKVFKQPFNEKSKEEKFLNFSRGTYRWGTPTATCLKQLSWSEAFHIPMSEIHVSNGFSTALSSTMEQFATTVANLAQKLAEILAEKFGCKSDFIKENCLSSTCYLRMNRYPPCPIPSEVFGLMPHTDSDFLTILHQDEVGGLQLVKDGKWFAVKPNPEALIINIGDLFQAWSNDVYKSVEHRVVTNPRVERFSTAYFFCPSYDTVIQSCYEPSVYRKFSFKEYRQQVQEDVQKLGHKIGLPRFLV >Potri.016G080700.4.v4.1 pep chromosome:Pop_tri_v4:16:6229559:6232644:1 gene:Potri.016G080700.v4.1 transcript:Potri.016G080700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080700.v4.1 MEPPARQQIFRQKKMTKQLTGKRDDTPLHAVVRDGNLELVMEMIADNLGEAAELTLLLSKQNQSGETPLYVASECGHVYIVKELIKYYDTGLAGLKARNGYDAFHIAAKQGDLEIVEVLMEVNPDLSLTFDSSNTTALHSAASQGHVEVVNFLLEKCSGLALIAKSNGKTALHSVARNGHLEILKALLSKEPGLANKIDKKGQTALHMAVKGQNVELVEELIMSDPSLMNMVDNKGNSALHIASRKGRDQIVRKLLDQKGIDKTIVNRSRETAFDTAEKTGHSGIASVLQEHGVLSAKSMKPSTTNTANRELKQTVSDIKHEVHNQLETTRLTRKRVQGIAKRLNKVHTEGLNNAINSTTVVAVLIATVAFAAIFQLPGQFADDPGNLAPGQSAGEAKIATKPEFMIFIIFDSIALFISLAVVVVQTSIVVIERKAKKQLMSVINKLMWLACVLISVAFLALSYVVVGDHQRWLALSVTAIGTVIMVTTIGTMSYWVIAQRIETSRLRSIRRSSTSRSRSETLSFMEESEILENDYKKLYAI >Potri.016G080700.1.v4.1 pep chromosome:Pop_tri_v4:16:6229514:6233496:1 gene:Potri.016G080700.v4.1 transcript:Potri.016G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080700.v4.1 MEPPARQQIFRQKKMTKQLTGKRDDTPLHAVVRDGNLELVMEMIADNLGEAAELTLLLSKQNQSGETPLYVASECGHVYIVKELIKYYDTGLAGLKARNGYDAFHIAAKQGDLEIVEVLMEVNPDLSLTFDSSNTTALHSAASQGHVEVVNFLLEKCSGLALIAKSNGKTALHSVARNGHLEILKALLSKEPGLANKIDKKGQTALHMAVKGQNVELVEELIMSDPSLMNMVDNKGNSALHIASRKGRDQIVRKLLDQKGIDKTIVNRSRETAFDTAEKTGHSGIASVLQEHGVLSAKSMKPSTTNTANRELKQTVSDIKHEVHNQLETTRLTRKRVQGIAKRLNKVHTEGLNNAINSTTVVAVLIATVAFAAIFQLPGQFADDPGNLAPGQSAGEAKIATKPEFMIFIIFDSIALFISLAVVVVQTSIVVIERKAKKQLMSVINKLMWLACVLISVAFLALSYVVVGDHQRWLALSVTAIGTVIMVTTIGTMSYWVIAQRIETSRLRSIRRSSTSRSRSETLSFMEESEILENDYKKLYAI >Potri.016G080700.3.v4.1 pep chromosome:Pop_tri_v4:16:6229684:6232679:1 gene:Potri.016G080700.v4.1 transcript:Potri.016G080700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080700.v4.1 MEPPARQQIFRQKKMTKQLTGKRDDTPLHAVVRDGNLELVMEMIADNLGEAAELTLLLSKQNQSGETPLYVASECGHVYIVKELIKYYDTGLAGLKARNGYDAFHIAAKQGDLEIVEVLMEVNPDLSLTFDSSNTTALHSAASQGHVEVVNFLLEKCSGLALIAKSNGKTALHSVARNGHLEILKALLSKEPGLANKIDKKGQTALHMAVKGQNVELVEELIMSDPSLMNMVDNKGNSALHIASRKGRDQIVRKLLDQKGIDKTIVNRSRETAFDTAEKTGHSGIASVLQEHGVLSAKSMKPSTTNTANRELKQTVSDIKHEVHNQLETTRLTRKRVQGIAKRLNKVHTEGLNNAINSTTVVAVLIATVAFAAIFQLPGQFADDPGNLAPGQSAGEAKIATKPEFMIFIIFDSIALFISLAVVVVQTSIVVIERKAKKQLMSVINKLMWLACVLISVAFLALSYVVVGDHQRWLALSVTAIGTVIMVTTIGTMSYWVIAQRIETSRLRSIRRSSTSRSRSETLSFMEESEILENDYKKLYAI >Potri.007G090150.1.v4.1 pep chromosome:Pop_tri_v4:7:11515399:11515698:1 gene:Potri.007G090150.v4.1 transcript:Potri.007G090150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090150.v4.1 MAFLPCGSGTRSQVLFLCRASISSCIAVIHVDTFVLLIASWKVDGSPSSVRRQYAMLLSVTYSECQAGGLLSRVDRRTSGVSDSICSCSSCSCAASEEV >Potri.009G061600.1.v4.1 pep chromosome:Pop_tri_v4:9:6352757:6357683:-1 gene:Potri.009G061600.v4.1 transcript:Potri.009G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G061600.v4.1 MASSRIRSGLPLLNRILRSDSLSTQRSAIQRSVLTPAFTASQPSKNLSTSTAQKEEKIKLPITLYGGTGNYASALFIAAKRSKVLDQVESEILDLIAASKKSPKFSQFLKDLSVRADTRVKAINEICATAKFSEITKNFLVIVAENGRLSYLESIVNKFKQLTMADKGIIKAIVTTIMPLPPQEEKELKETLQDIIGHGKSVEVEQKIDPSILGGIMIEFEQKLFDMSIRTRAKQMERFLREPVDFDAL >Potri.003G198000.1.v4.1 pep chromosome:Pop_tri_v4:3:19994205:19996712:1 gene:Potri.003G198000.v4.1 transcript:Potri.003G198000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G198000.v4.1 MTASAKLLALDKPSQNENWTVVMPRRGKKRIHSLRHNTPEAEQQPWVPTELESDPEREAKLIQKMEFCIKKVESSRFYQNFLEQVENPEILDSFHRVLGFELKMPMVIYGIGSIESYETPRFQLSLAILMKRKFCWIGDIEVFDPILSATESRVLESLGCSVLSVNEQGRRRATKPMLFYMPHCEAGLYNNLLQANWELELLNHIVLFGNSFEMYEFFSEIKNSIVVESTMHVLAARKFANEYVIKTASDDYFAAFHDSSWHFFSPALDTELLLVKN >Potri.005G000500.1.v4.1 pep chromosome:Pop_tri_v4:5:118159:124532:-1 gene:Potri.005G000500.v4.1 transcript:Potri.005G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000500.v4.1 MASTCTVIGVSSLFPSTTTNSCRRATTTTHSSPAIPYPSSSSAPFLSERPPHFNSLIAKTQLSFKHSLIINNSSSSFSPPSAIASPNSILSEEAFKGLDGFSDFEADVDAGGADVDYASSETEPSSNTSEDELDVSKLGLPHRLVQTLQNRGITHLFPIQRAVLIPALEGRDLIARAKTGTGKTLAFGIPIIKRLTEDAELRGSQRRTGRLPKVLVLAPTRELAKQVEKEIKESAPYLSSVCVYGGVSYVTQQSALSRGVDVVVGTPGRIIDLLKGNSLKLGEVEYLVLDEADQMLSFGFEEDVEVILENLPSKRQSMLFSATMPTWVKKLARKYLDNPLQIDLVGDQEEKLAEGIKLYALSATAASKRTILSDLVTVYAKGGKTIIFTQTKRDADEVSMALTNTIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDAETFVHRSGRTGRAGKEGTAILMFTSSQRRTVRSLERDAGCKFEFVSPPAIEEVLESSAEQVVATLSGVHPESVEFFTPTAQKLIEEQGTNALAAALAHLSGFSQPPSSRSLISHAQGWATLQLTRDPTYSRGFLSARSVTGFLSDVYPAAADEVGKIHVIADERVQGAVFDLPEEIAKELLNKQIPPGNTIAKITKLPALQDDGPPGDFYGRFSSRDRPARGGPRGQRGGFRSSRGRGSGRDSDDGGTYRRGGRSNSNENSWSQMSRSSGDDWLIGGRRSSRPPSRDRSFGGSCFNCGRSGHRASECPNKKDF >Potri.008G151300.1.v4.1 pep chromosome:Pop_tri_v4:8:10374592:10380005:-1 gene:Potri.008G151300.v4.1 transcript:Potri.008G151300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151300.v4.1 MVMGSGKRARASSSQNMNMSISGIRERHQQELENLTLTAQPFKTLKFFALAMVQYCKRSVFYLLAKGGWLMLLSTVVAAVGVVLVTIDSPHEKHVEELSHYLRFGLWWIALGVASSIGLGSGLHTFVMYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDRDCHEYGDPVFPLSHGLRVPLSSILPQVQVEAILWGVGTALGELPPYFISRAARMSGSNLDAMEELDASSDGDSGIVAARLKAIKHWLLNHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGVPFWKFFLATLIGKAIVKTHIQTVFIISVCNNQLLNWIENELIWVLGLVPGFDSALPDLTAKLHAMKEKYMAPAPPASADIKVKKWDFSFTGIWNTVVWLMLLNFFFKIVNATAQMYLKKQQEKELAALTNRASASTHSD >Potri.008G151300.3.v4.1 pep chromosome:Pop_tri_v4:8:10374594:10379968:-1 gene:Potri.008G151300.v4.1 transcript:Potri.008G151300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151300.v4.1 MVQYCKRSVFYLLAKGGWLMLLSTVVAAVGVVLVTIDSPHEKHVEELSHYLRFGLWWIALGVASSIGLGSGLHTFVMYLGPHIALFTIKAMQCGRVDLKSAPYDTIQLKRGPSWLDRDCHEYGDPVFPLSHGLRVPLSSILPQVQVEAILWGVGTALGELPPYFISRAARMSGSNLDAMEELDASSDGDSGIVAARLKAIKHWLLNHSQHLNFFTILVLASVPNPLFDLAGIMCGQFGVPFWKFFLATLIGKAIVKTHIQTVFIISVCNNQLLNWIENELIWVLGLVPGFDSALPDLTAKLHAMKEKYMAPAPPASADIKVKKWDFSFTGIWNTVVWLMLLNFFFKIVNATAQMYLKKQQEKELAALTNRASASTHSD >Potri.001G458101.1.v4.1 pep chromosome:Pop_tri_v4:1:48365067:48365942:1 gene:Potri.001G458101.v4.1 transcript:Potri.001G458101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G458101.v4.1 METSSLRKAIIMEIILISAQELTSMSNSSSTPVQTYVVAYINPKEKVVSRVDRTGNTNPTWNDKFVFSVDEEFEHRRPNCCLLLEIYNVRRCRNISCLKTC >Potri.016G134900.1.v4.1 pep chromosome:Pop_tri_v4:16:13927397:13931548:1 gene:Potri.016G134900.v4.1 transcript:Potri.016G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G134900.v4.1 MSCERRRGGVEIYEQRTSVEENGSIDQNLLIDPKLLFIGNKIGEGAHGEVYKGRYGDLIVAIKVLHPGTTSEERAALEDRFAREVNMMSRVKHENLVKFIGACKDPFMVIVTELLPGMSLRKYLVSIRPKQLDLYVAINFALDVARAMDCLHANGIIHRDLKPDNLLLTANQKSVKLADFGLAREETVTEMMTAETGTYRWMAPELYSTVTLRQGEKKHYNNKVDVYSFGIVLWELLTNRMPFEGMSNLQAAYAAAFKQERPALPEDVSPDLAFIMQSCWVEDPNLRPSFNQIIRMLNAFLFTLSPPSPTPSLPESDTNEAASSSNGTITEFSSRTRGKFAFLRQLFTAKKTRNTH >Potri.016G020400.1.v4.1 pep chromosome:Pop_tri_v4:16:1086751:1088536:-1 gene:Potri.016G020400.v4.1 transcript:Potri.016G020400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020400.v4.1 MADKPHAVFIPLQLQSHIKSMLKLAKLLHHKGFHITFVNTEFNHRLLLNSRGPDSLNGFHDFRFETIPDSVPPSDTLASAEDFKKNQLASFNDLLAKLHDTTYSGVPPVTCIVSDGMMPFAISAAEMLGIPIALFFTISACVFMCSKQFRALKKKGLAPLDDESFQTNDFADRIIDGIPGMKDLRLRDIPRFSRSTDPNGWFFNNAMEAVESASKASAIILHTFDALEQEVLNALFSMHSRVFPIGPLQLLLNQVSGDDLKSIGCNLWKEESECLQWLDSKEPNSVIYVNFGSIAVISKQQFIEFGMGLAKSGHLFLWAIRPDMVIGDSPIFPPEFMKETKERGFIASWCPQEEVLSHPSVGGFITHCGWGSTIESISSGVPMLCWPSFGDQQTNCRYTCTEWGIGMEIDSNVKRDNVEKLVRELMEGEKGKKMKSRSMEWKKLAEEATAPNGSSSMNLDKLINEVLCHERSRRLTRD >Potri.004G106100.1.v4.1 pep chromosome:Pop_tri_v4:4:9313772:9315540:-1 gene:Potri.004G106100.v4.1 transcript:Potri.004G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106100.v4.1 MYVTRPLSLYRNFPSALSREPPEGPHTGYLVITDEEAEAQETYCWGIRKSRRVKKLPFPQDKILSVVHSSDHEETIVKKAWFIPVLDQPLSSNCYYVIKAKGSHKGQACTCSREMDMGLWCFKSVINDIKPKPFDYRNIYQQFKIHRHHGKSFFSKSLAPDGFPPRFLRKKGWEVRSSRSYKFQLSEALGLDVPLRSQLPSFDFPLSTKSSSRVTVGRWYCPFVLVREEPRIREQMKRTMLYSMTLEQYWKEIYSCENANNEAESTIMVSVNVQREMDLVFGMEATRDGGVSHGGVIWYRVVSRNSSGRGFKVGVSAATVEKMKWVQEAGGWIDGGDVNETVERAVEIRSENGWRKFGCYALVESFVLRRMDGSLVLRCDFRHTHKIKSKWE >Potri.010G139766.1.v4.1 pep chromosome:Pop_tri_v4:10:15359341:15360666:1 gene:Potri.010G139766.v4.1 transcript:Potri.010G139766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139766.v4.1 MGKRVSQTEQRDGHRQAAGKKPYLNILSWSLALGYKPYHSRSLVNRCHLEFSIMSS >Potri.010G139766.2.v4.1 pep chromosome:Pop_tri_v4:10:15359892:15360666:1 gene:Potri.010G139766.v4.1 transcript:Potri.010G139766.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139766.v4.1 MGKRVSQTEQRDGHRQAAGKKPYLNILSWSLALGYKPYHSRSLVNRCHLEFSIMSS >Potri.010G106400.6.v4.1 pep chromosome:Pop_tri_v4:10:12776641:12781285:-1 gene:Potri.010G106400.v4.1 transcript:Potri.010G106400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106400.v4.1 MAISGALNALKSSELVSWKPCGRVQQTLKRCVEASGTTLHSGKSSTVRLLPELAGKGRYFYFNSKSIPASIDYAQESSLCTTLSNDGVKIRTVEHLLSALEAMSVDNCRIEITNLDSDDSDLDSEVPILDGSAREWVERIEKDGLVAAKDECGNDCEKLAPYLNEPIHVSKNDSFVAAFPSPKVRVSYGIDFPQVAIGSQWFSLAPLEDSLYAREIAPSRTFCIYEEVEYMRNAGLIKGGSLDNAIVCSASKGWLNPPLRFSDEPCRHKILDLVGDLSLFARFGNQGLPVAHIVVYKS >Potri.010G106400.2.v4.1 pep chromosome:Pop_tri_v4:10:12776641:12781285:-1 gene:Potri.010G106400.v4.1 transcript:Potri.010G106400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106400.v4.1 MAISGALNALKSSELVSWKPCGRVQQTLKRCVEASGTTLHSGKSSTVRLLPELAGKGRYFYFNSKSIPASIDYAQESSLCTTLSNDGVKIRTVEHLLSALEAMSVDNCRIEITNLDSDDSDLDSEVPILDGSAREWVERIEKDGLVAAKDECGNDCEKLAPYLNEPIHVSKNDSFVAAFPSPKVRVSYGIDFPQVAIGSQWFSLAPLEDSLYAREIAPSRTFCIYEEVEYMRNAGLIKGGSLDNAIVCSASKGWLNPPLRFSDEPCRHKILDLVGDLSLFARFGNQGLPVAHIVVYKS >Potri.010G106400.8.v4.1 pep chromosome:Pop_tri_v4:10:12776815:12781067:-1 gene:Potri.010G106400.v4.1 transcript:Potri.010G106400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106400.v4.1 MAISGALNALKSSELVSWKPCGRVQQTLKRCVEASGTTLHSGKSSTVRLLPELAGKGRYFYFNSKSIPASIDYAQESSLCTTLSNDGVKIRTVEHLLSALEAMSVDNCRIEITNLDSDDSDLDSEVPILDGSAREWVERIEKDGLVAAKDECGNDCEKLAPYLNEPIHVSKNDSFVAAFPSPKVRVSYGIDFPQVEYMRNAGLIKGGSLDNAIVCSASKGWLNPPLRFSDEPCRHKILDLVGDLSLFARFGNQGLPVAHIVVYKGGHALHTNFGRHLNDSFKS >Potri.010G106400.4.v4.1 pep chromosome:Pop_tri_v4:10:12776641:12781285:-1 gene:Potri.010G106400.v4.1 transcript:Potri.010G106400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106400.v4.1 MAISGALNALKSSELVSWKPCGRVQQTLKRCVEASGTTLHSGKSSTVRLLPELAGKGRYFYFNSKSIPASIDYAQESSLCTTLSNDGVKIRTVEHLLSALEAMSVDNCRIEITNLDSDDSDLDSEVPILDGSAREWVERIEKDGLVAAKDECGNDCEKLAPYLNEPIHVSKNDSFVAAFPSPKVRVSYGIDFPQVAIGSQWFSLAPLEDSLYAREIAPSRTFCIYEEVEYMRNAGLIKGGSLDNAIVCSASKGWLNPPLRFSDEPCRHKILDLVGDLSLFARFGNQGLPVAHIVVYKGGHALHTNFGRHLNDSFKS >Potri.010G106400.7.v4.1 pep chromosome:Pop_tri_v4:10:12776641:12781285:-1 gene:Potri.010G106400.v4.1 transcript:Potri.010G106400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106400.v4.1 MAISGALNALKSSELVSWKPCGRVQQTLKRCVEASGTTLHSGKSSTVRLLPELAGKGRYFYFNSKSIPASIDYAQESSLCTTLSNDGVKIRTVEHLLSALEAMSVDNCRIEITNLDSDDSDLDSEVPILDGSAREWVERIEKDGLVAAKDECGNDCEKLAPYLNEPIHVSKNDSFVAAFPSPKVRVSYGIDFPQVAIGSQWFSLAPLEDSLYAREIAPSRTFCIYEEVEYMRNAGLIKGGSLDNAIVCSASKGWLNPPLRFSDEPCRHKILDLVGDLSLFARFGNQGLPVAHIVVYKS >Potri.017G018400.1.v4.1 pep chromosome:Pop_tri_v4:17:1345551:1348727:-1 gene:Potri.017G018400.v4.1 transcript:Potri.017G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018400.v4.1 MASNPQPSANNPPPKPWEQSGGSSGATPFKPPSAGSTSDVVEASGTARPGEIVQSSGNTTNNTNAVGRPLPARPWEQSYSTNNYGGYNSTLNYNSGYGSGTYGSSYGGVGGSYGGVGGSYGGVGGLYGGGMYGNSMYRGGYGGLYGSGMNGGGGMYNSGFGGAMGGYGMGMGGPYGVQDPNNPFGEPPSPPGFWISFLRVLQGVVNFFGRLSILIDQNTQAFHMFMTALLQLFDRSGMLYGELARFVLRLLGIRTKPRMVNPQGPNGLPLPGPEGTNANSRYIEGPKAAPSGSWDNVWENDAGK >Potri.002G236600.1.v4.1 pep chromosome:Pop_tri_v4:2:22948794:22952561:-1 gene:Potri.002G236600.v4.1 transcript:Potri.002G236600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236600.v4.1 MGSTQSTHATQDDDEEEEASEEEEEEKDEEDEEEELEQPNDRGIIENNNNLHNKVLQQEPEMLPCYASASPLSPQLSSLGTPKLGPSIKVWDPYNVLAPLPPPPPPPFSSDDEVGVLEVFLISHGECELDLRPDLVGGRCHVAELTPKGQRQARALAVFFNSQRVSFHSVYSSPLNRARSMAVSICQEMNFAEEQIRPADALMEMSMGLWEGCSRSEIYTPEVQSLLENFRPDFCAPSGESLRQVEFRMVQFLNGTVLGLPEKLRSDLLMHHQTESQGFSHDRDGPLLPLPSHWDMLHRHRQGLTRKKSGKSRLQHVTSTGNHEGEDEVSLREASHQHLLPDLNNRNSSSAVSSCVGVFTHSIPIKCLLTGLLGCSPVMMHKICIEDSSVTVLQHSWKTGWQIKGLNDTAHLRLL >Potri.001G117800.1.v4.1 pep chromosome:Pop_tri_v4:1:9564766:9569052:-1 gene:Potri.001G117800.v4.1 transcript:Potri.001G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117800.v4.1 MGSLCYFSSLILFLSLLTPQKISGNNTELEALVKLKFALDPNNKYLQSWTSDGDPCSGLFEGVACNEHGQVANISLQGKGLSGTISPAVAELKSLSGLYLHYNSLSGEIPKEIVNLVGLSDLYLNVNNLSGSIPPEIGSMASLQVLELCCNQLAGYIPTEMGSLKRLSVLALQYNRLVGQIPASLGTLGMLKRLDMSFNYLSGTIPQGIANIPRLEVLDVRNNSLSGTVPFALKRLNGGFQFENNQGLCGTGFHPLRACSAFDNMNINQVGSLGPIANNSAKKVTPQSAILQAHCNQTHCSNSSKLPQAAIVAGVIIVTITLMGAGFLIIFCYRRKKQKIGNTSDSSDGRLSTDQAKEFHRAGASPLASLEYSNGWDPLGDSRNGIEFSVEHLNNFRFNLEEIESATQCFSEVNVLGKSSFSTVYKGVLRDGSIVAIKSINVTSCKPEEAEFVKGLNLLTSLRHDNLTRLRGFCCSRGRGECFLIYDFAPKGDLSRYLDLEDGSNQVLDWSTRVSIINGIAKGIRYLHSIEEKKPAIIHRRISVEKVLLDQQVNPLIADSGLAKLLADDIVFSTIKISAAMGYLAPEYVTTGLFTEKSDIYSFGVIILQILSGKQLLSNSMRLAAACCRYNDFIDTSLRGNFSESEAAKLAKIALACTDDLPDQRPTMKEVIQELNLSNAGS >Potri.017G114500.1.v4.1 pep chromosome:Pop_tri_v4:17:12198056:12199937:-1 gene:Potri.017G114500.v4.1 transcript:Potri.017G114500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114500.v4.1 MRMSCNGCRVLRKGCSENCSIRPCLQWIKSSESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLMWSGSWQLCQAAVEAVLKGAPITPINSEAAVNGHGPPLKVYDIRHVSKEENSAASNDANRARTRCRVRRVVKPKASKRACFGNGLASIAVDTLSARDELTRCTSHESSVSHQSELAVLDGDSKESDESMVSVETAEASLLFPPNPESKNDCKAHDVASNGISGLELGLDLTLGLEPVSRAHHVVPVKKRRVEAYGSGDVDTCKMELRLE >Potri.010G036200.8.v4.1 pep chromosome:Pop_tri_v4:10:6516365:6525821:-1 gene:Potri.010G036200.v4.1 transcript:Potri.010G036200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036200.v4.1 MPAHRSKQDEAARQVRRDPYEVLGVSRNSSDQEIKSAYRKMALKYHPDKNANDPEAADIFKEVTFSYNILADPDKRRQYDSAGFEAVESESQELELDLSSLGAVNTMFAALFSKLGVPIKTTISATVLEEALNGVVDIRPLSLGEPISRKVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEESGGGLSLALQEDSAKTGKVTSAGMYFLCFPVYRLDHTVNSIAAAKDADAAFFKKLDGFQPCEISELKAGTHVFGVYGDNFFKSASYSIEALCAAPFMEEKANLRAVEAEILGKRVEISKFETEYREVLAQFTEMTSRYAQEMQAIDELLRQRNEIHASYTIAPPMKRSSSKSRNKGPFRETKEDAQVRDKKPTRDRSKKKKWFNIHLKVDKRKPC >Potri.010G036200.9.v4.1 pep chromosome:Pop_tri_v4:10:6519001:6525806:-1 gene:Potri.010G036200.v4.1 transcript:Potri.010G036200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036200.v4.1 MPAHRSKQDEAARQVRRDPYEVLGVSRNSSDQEIKSAYRKMALKYHPDKNANDPEAADIFKEVTFSYNILADPDKRRQYDSAGFEAVESESQELELDLSSLGAVNTMFAALFSKLGVPIKTTISATVLEEALNGVVDIRPLSLGEPISRKVEKQCAHFYSVTITEEEARAGFVCRVQSSDKSKFKLLYFDQEESGGGLSLALQEDSAKTGKVTSAGMYFLCFPVYRLDHTVNSIAAAKDADAAFFKKLDGFQPCEISELKAGTHVFGVYGDNFFKSASYSIEALCAAPFMEEKANLRAVEAEILGKRVEISKFETEYREVLAQFTEMTSRYAQEMQAIDELLRQRNEIHASYTIAPPMKRSSSKSRNKGPFRETKEDAQVRDKKPTRDRSKKKKWFNIHLKVDKRKPC >Potri.005G172800.1.v4.1 pep chromosome:Pop_tri_v4:5:17821855:17826916:-1 gene:Potri.005G172800.v4.1 transcript:Potri.005G172800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172800.v4.1 MREGLIVDEVTGVVSTTKKKKSGELDNTTTNNKQLDKVILLVADQDITKQAAIPPLPIVVSRARSQSATRRVTPATTVVTASSSSGTTVEKHLPNGDLYIGSFSGHAPHGSGKYIWTDGCMYEGEWRRGKASGKGKFSWPSGATFEGGFKSGRMEGPGTFIGSEGDTYRGSWSSDRKHGHGQKRYANGDFYEGTWKKNFQDGQGRYVWKNGNEYVGEWKNGVISGRGVLIWANGNRYDGQWENGVPKGNGVFTWPDGSCYIGNLNNNIKDIKGQQLNGTFYPGNGKECCLKGNKSDLVLTATTTRKRSSVDGGRGSGMNFPRICIWESDGEAGDITCDIVDNVEAEMIYRDGLGLDRDGIRQFRRGPCCFSGGEVKKPGLPISKGHKNYDLMLNLQLGIRYSVGKHAQILRDLKPSDFDPKEKFWTRFPPEGSKITPPHQSSDFRWKDYCPVVFRRLRELFQVDPADYMLAICGNDALRELSSPGKSGSFFYLTQDDRFMIKTVKKSEVKVLIRMLPSYYQHVCRYENSLVTKFFGVHCVKPIGGPKTRFIVMGNLFCSEYRIHRRFDLKGSSHGRTADKPEGEIDETTTLKDLDLNFVFRLQRNWFQELIKQIDRDCEFLEAEKIMDYSLLVGLHFRDDNNYDKMGLSPFLLRSGKKDSYRNEKFMRGCRFLEAELQDMDRVLSGRKSLIRLGANMPATAERMVRRSDFDQYTPGGFSHLTPSRSDEVYEVVLYFGIIDILQDYDISKKLEHAYKSLQADPTSISAVDPKLYSKRFRDFIGRIFIEDR >Potri.018G086800.1.v4.1 pep chromosome:Pop_tri_v4:18:10480091:10484156:-1 gene:Potri.018G086800.v4.1 transcript:Potri.018G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086800.v4.1 MEKKQSGTGNATGPATKEVSFLNRIDLSSPDIHQSVSLLKQACLDCGFFYVINHGMSEELMEEVFEQNKKFFELPLSEKMKVLRNEKHRGYTPLFDELLDPDNQVHGDYKEGYYIGVEVPEDDPKAEKPFYGPNVWPSDDILPGWRHTMEKYYPEALEVARAIVRIIALALDLEADFFDKPEILGQPLVLLRLLKYPGQISDPSNGLYGAGAHTDYGLITLLATDDVYGLQICKDKDAQPRVWEFIAPLKGAFIVNLGDMLERWSNCLFKSTLHRVLGNGQERYSIAYFVEPSYDCLVECLPTCKSEKNPPKFPPVIYGDYLGQRYKDTHIDLNVYNKLQS >Potri.018G086800.4.v4.1 pep chromosome:Pop_tri_v4:18:10480034:10484135:-1 gene:Potri.018G086800.v4.1 transcript:Potri.018G086800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086800.v4.1 MEKKQSGTGNATGPATKEVSFLNRIDLSSPDIHQSVSLLKQACLDCGFFYVINHGMSEELMEEVFEQNKKFFELPLSEKMKVLRNEKHRGYTPLFDELLDPDNQVHDYKEGYYIGVEVPEDDPKAEKPFYGPNVWPSDDILPGWRHTMEKYYPEALEVARAIVRIIALALDLEADFFDKPEILGQPLVLLRLLKYPGQISDPSNGLYGAGAHTDYGLITLLATDDVYGLQICKDKDAQPRVWEFIAPLKGAFIVNLGDMLERWSNCLFKSTLHRVLGNGQERYSIAYFVEPSYDCLVECLPTCKSEKNPPKFPPVIYGDYLGQRYKDTHIDLNVYNKLQS >Potri.003G089700.1.v4.1 pep chromosome:Pop_tri_v4:3:11612522:11618134:-1 gene:Potri.003G089700.v4.1 transcript:Potri.003G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089700.v4.1 MSVSAFTPQIHTPLTIKPKIKHRQKQKPKSFSLFTTPITQASFSDPFVLQLAETLEDSLSPSVSLQKLRDSSSESLLSTSWPSRKDEPFRFTDTSFIRHSQILPITKPPQLDYLPSINGDTLLPNIVIADGFVLNSMSKVSTLPDGVYVGGLLSNSSDRIAKRVLEFVGDFEWGDLFWSINGLGTPVMTVVYVPAGVKVDNPIHFKYVSVEGGEEGSKKLPVSNPRVFVVVEEGGEVGIIEEFVSKEGNDDKRYWANPVLEVVIGKGAKVRHSYVQSQSLNSAHIKWTAVRQEAASSYELVEVSTGGKLSRHNLHVQQLGPDTATELSTFHLSVGDQTQDLHSRLVLDHPRGYSQQLHKCIVAHSQGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNIKPNLQIIADDVKCSHGAAISDLEETQLFYFQARGIDLETARKALVFSFGAEVIEKLPYSFIRKQVENHVKGLLDSSFKGSSH >Potri.002G147300.2.v4.1 pep chromosome:Pop_tri_v4:2:11075671:11079998:-1 gene:Potri.002G147300.v4.1 transcript:Potri.002G147300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147300.v4.1 MHRSRAVMAWNVFKFCTALRGLGSIMILLVLGVVVVTYYAVVLNNYGPALYDGDIDSLVSLAVLITFHSLLVMLLWSYFSVVLTDPGSVPPNWRPAIDEERGEADPLNGSECSGVQSDQLNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLSLLPHFIAFFSDGEIPGTPGTLATTFLAFVLNLAFALSVLGFLIMHISLVSANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGADKRYWFIPAYSDEDTRRMPALQGLEYPSKPDFDSQEF >Potri.002G147300.3.v4.1 pep chromosome:Pop_tri_v4:2:11075670:11079877:-1 gene:Potri.002G147300.v4.1 transcript:Potri.002G147300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147300.v4.1 MHRSRAVMAWNVFKFCTALRGLGSIMILLVLGVVVVTYYAVVLNNYGPALYDGDIDSLVSLAVLITFHSLLVMLLWSYFSVVLTDPGSVPPNWRPAIDEERGEADPLNGSECSGVQSDQLNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLVSWLYCLTPQKLPISKFLFNLNNVICFFLCLLAKCRFF >Potri.014G077400.2.v4.1 pep chromosome:Pop_tri_v4:14:4999502:5002458:1 gene:Potri.014G077400.v4.1 transcript:Potri.014G077400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077400.v4.1 MGSFYNSCSSTNFKQRNHVSIEHRPQLLKDFLIDDDSNLCSSSGFRSFSRKPCDSTMKTLIEIDLRNPKRIANSSNNIASYKLLRSRSKAAASTTISAFQAVINAVKNIHFTAVKPPSILPRSLSRKLSKKKSQNKENEVKITVTIKDIIRWRSFRDIVEEKSLPSDLPSSPYHCITTTTGSTSTTPRSGSSWCDSDFTSDYLPPWNGNFDECGEKEIEVGKENSPCVGEDSLELITNTKVGPEEDEEERLHSPVSVTEFEFEEDEDSSSSFEQSLATVERTREKIMEKIRRFESLTKLDFVSLDNWMSIDENISSGEDDDNEEDDDDPEGIRETNMNFEGEEEEEEEEEIHEVEERAWKLLNHVKETGLECCSDNMDLLFDFFRDELATRTYENSKQSIDVELLKKAKAWINGEDSLRVEWELEHKREVYVRDMDREGRWSKFEEEQQELALGIENGVLDLLVDDLLLDLISY >Potri.006G107500.2.v4.1 pep chromosome:Pop_tri_v4:6:8320932:8326319:-1 gene:Potri.006G107500.v4.1 transcript:Potri.006G107500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107500.v4.1 MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEVLMPQLSAWRVRRNARLRERKRFEAIELQKLRKTATRKCRNCLSPYKDQNPGAGKFMCSYCGHISKRPVLDLPVPPGLGISNSGIIKDLVGKGGKLLNGKAWSDNGWMCSQEWLDNGGWAGGSVAGKSSYWRKNGSGIFGGDGHCLAETSYSGVVIFACKVLTSFFLSIRWLWRKIFRTSSSEDGSSDAEHRVMLANRRENGENFHESRGEKARRKAEEKRQARLEKELLEEEEKKQREEVARLVEERRKLRDEIMEAERDRSRSSPLTREKNSRKEAEKKRQERRKEKDKGSSKSNSDAEDLEKKVGKESDQKRDVEKKSEIERRQHQKTGTESVKGQNIELGHGIKNTPGSNFNRGNAGSRYFDRMKGTFLSSSRAFSGGGFFGKPANMPAMVTKENKPNSSIDPVHTSAYRREIYPPDRLAGKASLNGDERNIYRPVLSETQPSQPKKTWQQLFARSSPAPSSSNANVICRPNSKQAEVQAQQFPLQSSPMQSFDNPINFGLPSPFPASAFPNVSSSTSLGFSPPIEPIFPRSVEGSCDFIPEEPELFEDPCYIPDPISLLGPVSESLDNFQLDLGTGFAPDMGLGLERPYAIKNVSASPEVNKPSPIESPLSRLRTADEKNNGSNWFPTTPIAQDFNTLPTDDMHGNEKRTWQMWNSSPLGQDGLGLVGGPGSWLLPPERNRSTKEDIIPPPSQKTMPSLFTKDDQILSGTLSPQKVFLGNGQNGGVFSPVIGSSENEPWLQNAFFPPLSGSTSQFSLKSQEECAQNEVIYRSPTGAATDNALGSSPVHSCSKNEWGAQGSGEGFGKSSVTRPNFGGLFPTSDVQWSFD >Potri.006G107500.3.v4.1 pep chromosome:Pop_tri_v4:6:8320918:8326354:-1 gene:Potri.006G107500.v4.1 transcript:Potri.006G107500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107500.v4.1 MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVFVLLVTLFWYEVLMPQLSAWRVRRNARLRERKRFEAIELQKLRKTATRKCRNCLSPYKDQNPGAGKFMCSYCGHISKRPVLDLPVPPGLGISNSGIIKDLVGKGGKLLNGKAWSDNGWMCSQEWLDNGGWAGGSVAGKSSYWRKNGSGIFGGDGHCLAETSYSGVVIFACKVLTSFFLSIRWLWRKIFRTSSSEDGSSDAEHRVMLANRRENGENFHESRGEKARRKAEEKRQARLEKELLEEEEKKQREEVARLVEERRKLRDEIMEAERDRSRSSPLTREKNSRKEAEKKRQERRKEKDKGSSKSNSDAEDLEKKVGKESDQKRDVEKKSEIERRQHQKTGTESVKGQNIELGHGIKNTPGSNFNRGNAGSRYFDRMKGTFLSSSRAFSGGGFFGKPANMPAMVTKENKPNSSIDPVHTSAYRREIYPPDRLAGKASLNGDERNIYRPVLSETQPSQPKKTWQQLFARSSPAPSSSNANVICRPNSKQAEVQAQQFPLQSSPMQSFDNPINFGLPSPFPASAFPNVSSSTSLGFSPPIEPIFPRSVEGSCDFIPEEPELFEDPCYIPDPISLLGPVSESLDNFQLDLGTGFAPDMGLGLERPYAIKNVSASPEVNKPSPIESPLSRLRTADEKNNGSNWFPTTPIAQDFNTLPTDDMHGNEKRTWQMWNSSPLGQDGLGLVGGPGSWLLPPERNRSTKEDIIPPPSQKTMPSLFTKDDQILSGTLSPQKVFLGNGQNGGVFSPVIGSSENEPWLQNAFFPPLSGSTSQFSLKSQEECAQNEVIYRSPTGAATDNALGSSPVHSCSKNEWGAQGSGEGFGKSSVTRPNFGGLFPTSDVQWSFD >Potri.018G123100.1.v4.1 pep chromosome:Pop_tri_v4:18:13542060:13544529:1 gene:Potri.018G123100.v4.1 transcript:Potri.018G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123100.v4.1 MVHHSSFVDEEGVRKACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRNFDIQSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLEKVPVPGESGFPFPGLFADPQSQKEAELFRNYLKQIREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNVVAL >Potri.010G244700.7.v4.1 pep chromosome:Pop_tri_v4:10:22037686:22044525:-1 gene:Potri.010G244700.v4.1 transcript:Potri.010G244700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244700.v4.1 MAAVTRERNERPYEDGGGYGKFPKRPFRRSTQTTPYDRPATAIRNPSGSGNGWLSKLVDPAQRLIASGAQRLFASVFRKRLPAPPVVAPPSQPPETERGTEENRGVMDKQKGAFSTKDLFETHRATTNGCSGPSDGSDMDGVTELEVILKQKTFTRSEIDRLTALLQSKTVDFPTGNEEKKSEAIASKAMVSQGKKELLTTPVNNGFDGCFNSTPIVSSSVLEEDVGSPTELAKSYMRSRPLKVSPSMLESQSQALRENPTVLTNHTFTPKSPMISIAPRSSGHAEFPENGFATPRSRGRFAIYSMTRTPYSRVHATTGLQGTRTASDAFAGPSSSFQNAWENNGFSGSKQGASKRRSSVLDNDMGSVGPIRRIRQKSNLLPMSGTLSIRGNGMVSNAARRLTSTEKPVLAGEPLKDNANSNVHGTTFTPVPSKSSEMASKILQQLDVLVSSREKSPARLSPSMLRGQALRSLEDFDSSKLLEIVNDNNKLDAKPNTSLPDARESVFKMKDKIEENGPSKSILPYDKSASAVNGMGATSSMKNDVAGVKTTAFPVTSTIVQSPQQKKRAFQMSAHEDFLELDDDDDYLNRTVSGMLAEGREKIGSELVERKTIGAEAIVLEKSPALSEVNSPSTSTLNQKNAGIDGSVIAEKSISFTSLATPLPAMTDKQAVVNQKLASISDEGAQPNYSNASPQIFSSREKVALPKELNGTSQTFHFSNKTGDKVAPFAFSSPVLSDPSVPKLGLSSDAKPEGFSFTSVATGATELVTRDPGLDKTEDKSSLKDEGSFRAPENVPSTSTSSTGSLFSFGITTNGSSLNNGSLASTPSSYSSPSPPLLSSNFTGQNSSSVFANSVARGSINAPTTAFTMANFDGNSNFSISASAPSLTATPISKFGSVPSTSASTVPSTTDETTEAKTKEPGFGNPTSGAGSVFGGTCSGITNTGNNIFGKTPAATSKGNSFFGGTFPAVTSSGSSVLNATSSAFTSTGSGPFSLNAGSSTSAATNQSQGFNPFSASSAQVSAAGTGMGTATQTMPMQFSSPASTPFALTGSAAFSSGNPTFGSSSTSKLFSSGASFGLASSTTSSESISVSSIASPASTVFGSNWQAPKSMGFSTSSSSSTPFSFGATSNAVTSSSASVGFAPSVSSGPASPFSSPASTTPSQPVFGNPNPGFRSGSSPSGNNDQMSMEDSMAEDTVQATTPSVPAFFQQPAAAPGPPFGFSTPPGGNQFTLTGSSGANPFQFESQPNLAAPQNPAFQASASREFNAGGSFSFGAGGGDKPGRKFVRVKKTQRKR >Potri.010G244700.13.v4.1 pep chromosome:Pop_tri_v4:10:22037641:22043644:-1 gene:Potri.010G244700.v4.1 transcript:Potri.010G244700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244700.v4.1 MDGVTELEVILKQKTFTRSEIDRLTALLQSKTVDFPTGNEEKKSEAIASKAMVSQGKKELLTTPVNNGFDGCFNSTPIVSSSVLEEDVGSPTELAKSYMRSRPLKVSPSMLESQSQALRENPTVLTNHTFTPKSPMISIAPRSSGHAEFPENGFATPRSRGRFAIYSMTRTPYSRVHATTGLQGTRTASDAFAGPSSSFQNAWENNGFSGSKQGASKRRSSVLDNDMGSVGPIRRIRQKSNLLPMSGTLSIRGNGMVSNAARRLTSTEKPVLAGEPLKDNANSNVHGTTFTPVPSKSSEMASKILQQLDVLVSSREKSPARLSPSMLRGQALRSLEDFDSSKLLEIVNDNNKLDAKPNTSLPDARESVFKMKDKIEENGPSKSILPYDKSASAVNGMGATSSMKNDVAGVKTTAFPVTSTIVQSPQQKKRAFQMSAHEDFLELDDDDDYLNRTVSGMLAEGREKIGSELVERKTIGAEAIVLEKSPALSEVNSPSTSTLNQKNAGIDGSVIAEKSISFTSLATPLPAMTDKQAVVNQKLASISDEGAQPNYSNASPQIFSSREKVALPKELNGTSQTFHFSNKTGDKVAPFAFSSPVLSDPSVPKLGLSSDAKPEGFSFTSVATGATELVTRDPGLDKTEDKSSLKDEGSFRAPENVPSTSTSSTGSLFSFGITTNGSSLNNGSLASTPSSYSSPSPPLLSSNFTGQNSSSVFANSVARGSINAPTTAFTMANFDGNSNFSISASAPSLTATPISKFGSVPSTSASTVPSTTDETTEAKTKEPGFGNPTSGAGSVFGGTCSGITNTGNNIFGKTPAATSKGNSFFGGTFPAVTSSGSSVLNATSSAFTSTGSGPFSLNAGSSTSAATNQSQGFNPFSASSAQVSAAGTGMGTATQTMPMQFSSPASTPFALTGSAAFSSGNPTFGSSSTSKLFSSGASFGLASSTTSSESISVSSIASPASTVFGSNWQAPKSMGFSTSSSSSTPFSFGATSNAVTSSSASVGFAPSVSSGPASPFSSPASTTPSQPVFGNPNPGFRSGSSPSGNNDQMSMEDSMAEDTVQATTPSVPAFFQQPAAAPGPPFGFSTPPGGNQFTLTGSSGANPFQFESQPNLAAPQNPAFQASASREFNAGGSFSFGAGGGDKPGRKFVRVKKTQRKR >Potri.010G244700.14.v4.1 pep chromosome:Pop_tri_v4:10:22037684:22042301:-1 gene:Potri.010G244700.v4.1 transcript:Potri.010G244700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244700.v4.1 MRSRPLKVSPSMLESQSQALRENPTVLTNHTFTPKSPMISIAPRSSGHAEFPENGFATPRSRGRFAIYSMTRTPYSRVHATTGLQGTRTASDAFAGPSSSFQNAWENNGFSGSKQGASKRRSSVLDNDMGSVGPIRRIRQKSNLLPMSGTLSIRGNGMVSNAARRLTSTEKPVLAGEPLKDNANSNVHGTTFTPVPSKSSEMASKILQQLDVLVSSREKSPARLSPSMLRGQALRSLEDFDSSKLLEIVNDNNKLDAKPNTSLPDARESVFKMKDKIEENGPSKSILPYDKSASAVNGMGATSSMKNDVAGVKTTAFPVTSTIVQSPQQKKRAFQMSAHEDFLELDDDDDYLNRTVSGMLAEGREKIGSELVERKTIGAEAIVLEKSPALSEVNSPSTSTLNQKNAGIDGSVIAEKSISFTSLATPLPAMTDKQAVVNQKLASISDEGAQPNYSNASPQIFSSREKVALPKELNGTSQTFHFSNKTGDKVAPFAFSSPVLSDPSVPKLGLSSDAKPEGFSFTSVATGATELVTRDPGLDKTEDKSSLKDEGSFRAPENVPSTSTSSTGSLFSFGITTNGSSLNNGSLASTPSSYSSPSPPLLSSNFTGQNSSSVFANSVARGSINAPTTAFTMANFDGNSNFSISASAPSLTATPISKFGSVPSTSASTVPSTTDETTEAKTKEPGFGNPTSGAGSVFGGTCSGITNTGNNIFGKTPAATSKGNSFFGGTFPAVTSSGSSVLNATSSAFTSTGSGPFSLNAGSSTSAATNQSQGFNPFSASSAQVSAAGTGMGTATQTMPMQFSSPASTPFALTGSAAFSSGNPTFGSSSTSKLFSSGASFGLASSTTSSESISVSSIASPASTVFGSNWQAPKSMGFSTSSSSSTPFSFGATSNAVTSSSASVGFAPSVSSGPASPFSSPASTTPSQPVFGNPNPGFRSGSSPSGNNDQMSMEDSMAEDTVQATTPSVPAFFQQPAAAPGPPFGFSTPPGGNQFTLTGSSGANPFQFESQPNLAAPQNPAFQASASREFNAGGSFSFGAGGGDKPGRKFVRVKKTQRKR >Potri.010G244700.9.v4.1 pep chromosome:Pop_tri_v4:10:22037651:22044587:-1 gene:Potri.010G244700.v4.1 transcript:Potri.010G244700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244700.v4.1 MAAVTRERNERPYEDGGGYGKFPKRPFRRSTQTTPYDRPATAIRNPSGSGNGWLSKLVDPAQRLIASGAQRLFASVFRKRLPAPPVVAPPSQPPETERGTEENRGVMDKQKGAFSTDLFETHRATTNGCSGPSDGSDMDGVTELEVILKQKTFTRSEIDRLTALLQSKTVDFPTGNEEKKSEAIASKAMVSQGKKELLTTPVNNGFDGCFNSTPIVSSSVLEEDVGSPTELAKSYMRSRPLKVSPSMLESQSQALRENPTVLTNHTFTPKSPMISIAPRSSGHAEFPENGFATPRSRGRFAIYSMTRTPYSRVHATTGLQGTRTASDAFAGPSSSFQNAWENNGFSGSKQGASKRRSSVLDNDMGSVGPIRRIRQKSNLLPMSGTLSIRGNGMVSNAARRLTSTEKPVLAGEPLKDNANSNVHGTTFTPVPSKSSEMASKILQQLDVLVSSREKSPARLSPSMLRGQALRSLEDFDSSKLLEIVNDNNKLDAKPNTSLPDARESVFKMKDKIEENGPSKSILPYDKSASAVNGMGATSSMKNDVAGVKTTAFPVTSTIVQSPQQKKRAFQMSAHEDFLELDDDDDYLNRTVSGMLAEGREKIGSELVERKTIGAEAIVLEKSPALSEVNSPSTSTLNQKNAGIDGSVIAEKSISFTSLATPLPAMTDKQAVVNQKLASISDEGAQPNYSNASPQIFSSREKVALPKELNGTSQTFHFSNKTGDKVAPFAFSSPVLSDPSVPKLGLSSDAKPEGFSFTSVATGATELVTRDPGLDKTEDKSSLKDEGSFRAPENVPSTSTSSTGSLFSFGITTNGSSLNNGSLASTPSSYSSPSPPLLSSNFTGQNSSSVFANSVARGSINAPTTAFTMANFDGNSNFSISASAPSLTATPISKFGSVPSTSASTVPSTTDETTEAKTKEPGFGNPTSGAGSVFGGTCSGITNTGNNIFGKTPAATSKGNSFFGGTFPAVTSSGSSVLNATSSAFTSTGSGPFSLNAGSSTSAATNQSQGFNPFSASSAQVSAAGTGMGTATQTMPMQFSSPASTPFALTGSAAFSSGNPTFGSSSTSKLFSSGASFGLASSTTSSESISVSSIASPASTVFGSNWQAPKSMGFSTSSSSSTPFSFGATSNAVTSSSASVGFAPSVSSGPASPFSSPASTTPSQPVFGNPNPGFRSGSSPSGNNDQMSMEDSMAEDTVQATTPSVPAFFQQPAAAPGPPFGFSTPPGGNQFTLTGSSGANPFQFESQPNLAAPQNPAFQASASREFNAGGSFSFGAGGGDKPGRKFVRVKKTQRKR >Potri.010G244700.12.v4.1 pep chromosome:Pop_tri_v4:10:22037710:22043955:-1 gene:Potri.010G244700.v4.1 transcript:Potri.010G244700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244700.v4.1 MDKQKGAFSTKDLFETHRATTNGCSGPSDGSDMDGVTELEVILKQKTFTRSEIDRLTALLQSKTVDFPTGNEEKKSEAIASKAMVSQGKKELLTTPVNNGFDGCFNSTPIVSSSVLEEDVGSPTELAKSYMRSRPLKVSPSMLESQSQALRENPTVLTNHTFTPKSPMISIAPRSSGHAEFPENGFATPRSRGRFAIYSMTRTPYSRVHATTGLQGTRTASDAFAGPSSSFQNAWENNGFSGSKQGASKRRSSVLDNDMGSVGPIRRIRQKSNLLPMSGTLSIRGNGMVSNAARRLTSTEKPVLAGEPLKDNANSNVHGTTFTPVPSKSSEMASKILQQLDVLVSSREKSPARLSPSMLRGQALRSLEDFDSSKLLEIVNDNNKLDAKPNTSLPDARESVFKMKDKIEENGPSKSILPYDKSASAVNGMGATSSMKNDVAGVKTTAFPVTSTIVQSPQQKKRAFQMSAHEDFLELDDDDDYLNRTVSGMLAEGREKIGSELVERKTIGAEAIVLEKSPALSEVNSPSTSTLNQKNAGIDGSVIAEKSISFTSLATPLPAMTDKQAVVNQKLASISDEGAQPNYSNASPQIFSSREKVALPKELNGTSQTFHFSNKTGDKVAPFAFSSPVLSDPSVPKLGLSSDAKPEGFSFTSVATGATELVTRDPGLDKTEDKSSLKDEGSFRAPENVPSTSTSSTGSLFSFGITTNGSSLNNGSLASTPSSYSSPSPPLLSSNFTGQNSSSVFANSVARGSINAPTTAFTMANFDGNSNFSISASAPSLTATPISKFGSVPSTSASTVPSTTDETTEAKTKEPGFGNPTSGAGSVFGGTCSGITNTGNNIFGKTPAATSKGNSFFGGTFPAVTSSGSSVLNATSSAFTSTGSGPFSLNAGSSTSAATNQSQGFNPFSASSAQVSAAGTGMGTATQTMPMQFSSPASTPFALTGSAAFSSGNPTFGSSSTSKLFSSGASFGLASSTTSSESISVSSIASPASTVFGSNWQAPKSMGFSTSSSSSTPFSFGATSNAVTSSSASVGFAPSVSSGPASPFSSPASTTPSQPVFGNPNPGFRSGSSPSGNNDQMSMEDSMAEDTVQATTPSVPAFFQQPAAAPGPPFGFSTPPGGNQFTLTGSSGANPFQFESQPNLAAPQNPAFQASASREFNAGGSFSFGAGGGDKPGRKFVRVKKTQRKR >Potri.008G025100.2.v4.1 pep chromosome:Pop_tri_v4:8:1266765:1268154:1 gene:Potri.008G025100.v4.1 transcript:Potri.008G025100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025100.v4.1 MSEGNHRDAPLVCPELDIYQFGYPRCDTADSMASSSSDSPFLCTNDDLSMLESGLYQQKYGDMSDKCYRNQDKAWESIDSRFLMLLGFFRELFFRRREVFKKLFPELHDEFLGMFKKIGNINLYVEKPCEFKTRALCLQRSLSVGSPRTPSRNGGESPLRLERFKVRTVVPGGGGQGDRGASN >Potri.016G123401.1.v4.1 pep chromosome:Pop_tri_v4:16:12789853:12792240:1 gene:Potri.016G123401.v4.1 transcript:Potri.016G123401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123401.v4.1 MASTICLFVLFLFFSMIVEAQSVYFIFNGFNESEKNLSIDGASIIKPNGLLRLTKNTRDAVGHAFYSKPIQMPDTSSNSSPNASSFSTTFVFQIVSPKGKGGYGLAFALAPSNQLPGAAAGHFLGLFNSSNDGNSSNHIFAVEFDTVNGFNDNSDSEGNHVGVNINSMRSESSMGASYKEDDNPNRLEDLMLESGKRIQAWIEYDGAAKLVSVTIAPMEQSRPIRPLISFPVDLSTIVKDNMYAGFSSSTGKKTSSHYILGWSFSTEGAAPPLDLSRLPDAPIFEDSSSSFQPSVITIIASLCGVTTILFAILFLSCCVQKVASV >Potri.011G001300.1.v4.1 pep chromosome:Pop_tri_v4:11:82483:85890:-1 gene:Potri.011G001300.v4.1 transcript:Potri.011G001300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001300.v4.1 MNPEILFALLLFLLPLYFLLTRRSSKRLPPGSLGLPIIGQTLSFLNAMRKNTAEKWLQNRTRKYGPVSKMNLFGTPTVFLQGQAANKFIYTCDGDTLSSQQPLSVKRICGERNIFELSGLEHRRVRGALVSFLKPEVLKQYVGMMDERIRKHFEMHWHGKQKVMAMPLMKTLTFNLMSSLIMGIEQGSKRDVPVKLFQQLMEGLISVPINLPFTRFNRSLQASEKIREIVMDLIREKRVALDHQNASPQQDLITSLLSLRNDHNSVALSDEEIVDNAIIIMIGGHDTSSILLAFLIRLLAKDPSVYAGVVQEQEEIAKNKASNELLTWDDLGRMKYTWRVAMESLRMNPPVFFSFRKVLKDFNYEGYLIPKGWQVMWAACMTHMDGSIFPNPSDFDPKHFERQSSIPPYSFMGFGGGPRICPGYEFARLETLITVHYLVNMFTWKLCCPEISFSRDPMPTFKDGLEIEIEPKILGEII >Potri.004G191700.1.v4.1 pep chromosome:Pop_tri_v4:4:20369042:20369888:-1 gene:Potri.004G191700.v4.1 transcript:Potri.004G191700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191700.v4.1 MSTLLFPQAPLTLSQKPFLLSLRNPSFLPHARKWKGPRRLILAKSNGNDSVDTTDRIISAVCYFYPFFDGIQYGKYVITQFSPIQALIQPLFPAIKVFKSFPLNGFLVFLTLYFVVVRNSNFSRYVRFNTMQAIVLDVLLIFPDLLERSFNPRDGLGLDLLMSLDSTVFLYLLVCLIYGSTSCLFGQIPRLPIVAEAADRQVL >Potri.002G175800.1.v4.1 pep chromosome:Pop_tri_v4:2:13645965:13652312:1 gene:Potri.002G175800.v4.1 transcript:Potri.002G175800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175800.v4.1 MMALDVITSACSFPADECLSQIVEGMMEVVYAANNVLVKKESFKEFSVYLERVAPVLKELNKKDISHSRSLNSAIEILNQEIKAAKQLTADCTKRNKVYLLMNSRTIIKNLEDIAREISRALGLLPLASLDLSAGIIEEIEKLRDSMQRAEFKAAIAEEEILVKIESGIQERVVDRSYANKLLFHIAEAVGISTDRSALKKEFEEFKSEIENARLRKDQAEAIQMDQIIALLERADAASSPKEKEIKYFTKRKSLGSQPLEPLQSFYCPITRDVMEDPVETSSGQTFERSAIEKWLADGHEMCPLTMTPLDTSILRPNKTLRQSIEEWKDRNTMIKIASMKSKLVSEEEEEEEVLQCLEQLEDLCEQRDQHREWVILENYIPQFIQLLGAKNPDIRNRALVILCILAKDSDYAKERVANVDNAIESIVRSLGRRIGERKLAVALLLELSKCNLVRDGIGKVQGCILLLVTMASSDDNQAAADAQELLENLSFSDPNIIQMAKANYFKHLLQRLSTGPEDVKTIMASTLAELELTDHNKASLFEGGALGPLLHLVSCGDIPMKKVAVKALQNLSSLPENGLQMIKEGAVQPLLGLLFQHISSFSSLREQVATTIMHLAVSTVSQESSPTLVSLLESDDDIFKLFSLINLAGPDVQQNILLAFHALCQSPSASNIKAKLTECSAMQVLVQLCEHDDPNVRANAVKLLYCLIEDDNEAIILEHVGQKCIETLLRIIQFSNVEEVITYAMGIISNLPEKHQITQWLLDAGALPVISKFLPDSKHSDPRKNHLVENATGAMRHFTASTNPEWQKRAAEAGIIPVLVQLLDFGTTMMKKCAAISLARFSESSLALSRPIPKHKGFWCFSVPPETGCPIHEGICAVESSFCLVEADAVGPLVRVLQDPDPGTCEASLDALLTLIDGLKLQNGSKVLAEANAIPPIIGFLGSSSLRLQEKALNTLERIFRLPELKQKYGSSAQMPLVDLTQRGNSRMKSLSARILAHLNVLHEQSSYF >Potri.002G175800.2.v4.1 pep chromosome:Pop_tri_v4:2:13645956:13652319:1 gene:Potri.002G175800.v4.1 transcript:Potri.002G175800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175800.v4.1 MMALDVITSACSFPADECLSQIVEGMMEVVYAANNVLVKKESFKEFSVYLERVAPVLKELNKKDISHSRSLNSAIEILNQEIKAAKQLTADCTKRNKVYLLMNSRTIIKNLEDIAREISRALGLLPLASLDLSAGIIEEIEKLRDSMQRAEFKAAIAEEEILVKIESGIQERVVDRSYANKLLFHIAEAVGISTDRSALKKEFEEFKSEIENARLRKDQAEAIQMDQIIALLERADAASSPKEKEIKYFTKRKSLGSQPLEPLQSFYCPITRDVMEDPVETSSGQTFERSAIEKWLADGHEMCPLTMTPLDTSILRPNKTLRQSIEEWKDRNTMIKIASMKSKLVSEEEEEEEVLQCLEQLEDLCEQRDQHREWVILENYIPQFIQLLGAKNPDIRNRALVILCILAKDSDYAKERVANVDNAIESIVRSLGRRIGERKLAVALLLELSKCNLVRDGIGKVQGCILLLVTMASSDDNQAAADAQELLENLSFSDPNIIQMAKANYFKHLLQRLSTGPEDVKTIMASTLAELELTDHNKASLFEGGALGPLLHLVSCGDIPMKKVAVKALQNLSSLPENGLQMIKEGAVQPLLGLLFQHISSFSSLREQVATTIMHLAVSTVSQESSPTLVSLLESDDDIFKLFSLINLAGPDVQQNILLAFHALCQSPSASNIKAKLTECSAMQVLVQLCEHDDPNVRANAVKLLYCLIEDDNEAIILEHVGQKCIETLLRIIQFSNVEEVITYAMGIISNLPEKHQITQWLLDAGALPVISKFLPDSKHSDPRKNHLVENATGAMRHFTASTNPEWQKRAAEAGIIPVLVQLLDFGTTMMKKCAAISLARFSESSLALSRPIPKHKGFWCFSVPPETGCPIHEGICAVESSFCLVEADAVGPLVRVLQDPDPGTCEASLDALLTLIDGLKLQNGSKVLAEANAIPPIIGFLGSSSLRLQEKALNTLERIFRLPELKQKYGSSAQMPLVDLTQRGNSRMKSLSARILAHLNVLHEQSSYF >Potri.002G175800.3.v4.1 pep chromosome:Pop_tri_v4:2:13645965:13652312:1 gene:Potri.002G175800.v4.1 transcript:Potri.002G175800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175800.v4.1 MMALDVITSACSFPADECLSQIVEGMMEVVYAANNVLVKKESFKEFSVYLERVAPVLKELNKKDISHSRSLNSAIEILNQEIKAAKQLTADCTKRNKVYLLMNSRTIIKNLEDIAREISRALGLLPLASLDLSAGIIEEIEKLRDSMQRAEFKAAIAEEEILVKIESGIQERVVDRSYANKLLFHIAEAVGISTDRSALKKEFEEFKSEIENARLRKDQAEAIQMDQIIALLERADAASSPKEKEIKYFTKRKSLGSQPLEPLQSFYCPITRDVMEDPVETSSGQTFERSAIEKWLADGHEMCPLTMTPLDTSILRPNKTLRQSIEEWKDRNTMIKIASMKSKLVSEEEEEEEVLQCLEQLEDLCEQRDQHREWVILENYIPQFIQLLGAKNPDIRNRALVILCILAKDSDYAKERVANVDNAIESIVRSLGRRIGERKLAVALLLELSKCNLVRDGIGKVQGCILLLVTMASSDDNQAAADAQELLENLSFSDPNIIQMAKANYFKHLLQRLSTGPEDVKTIMASTLAELELTDHNKASLFEGGALGPLLHLVSCGDIPMKKVAVKALQNLSSLPENGLQMIKEGAVQPLLGLLFQHISSFSSLREQVATTIMHLAVSTVSQESSPTLVSLLESDDDIFKLFSLINLAGPDVQQNILLAFHALCQSPSASNIKAKLTECSAMQVLVQLCEHDDPNVRANAVKLLYCLIEDDNEAIILEHVGQKCIETLLRIIQFSNVEEVITYAMGIISNLPEKHQITQWLLDAGALPVISKFLPDSKHSDPRKNHLVENATGAMRHFTASTNPEWQKRAAEAGIIPVLVQLLDFGTTMMKKCAAISLARFSESSLALSRPIPKHKGFWCFSVPPETGCPIHEGICAVESSFCLVEADAVGPLVRVLQDPDPGTCEASLDALLTLIDGLKLQNGSKVLAEANAIPPIIGFLGSSSLRLQEKALNTLERIFRLPELKQKYGSSAQMPLVDLTQRGNSRMKSLSARILAHLNVLHEQSSYF >Potri.012G073800.2.v4.1 pep chromosome:Pop_tri_v4:12:9731555:9732478:1 gene:Potri.012G073800.v4.1 transcript:Potri.012G073800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073800.v4.1 MSSKNRSNNSLCENSMKVAVNIIKLSSFSIAKMSLGTHSPPVVTKSYIPMTGSVMVANEPLLSQIPGSRRSQESQGSSKPISFVMQPDEGNGSTHAIHKENSVIDGRASDYIRKVHEKNHKDAREMSELSSYILPPPP >Potri.001G351600.2.v4.1 pep chromosome:Pop_tri_v4:1:36489293:36490495:1 gene:Potri.001G351600.v4.1 transcript:Potri.001G351600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351600.v4.1 MAGTTFSLPILPFVLMLLLCNAGGMIKTANAQDKTWCVAKPSATDAELSANLEFACVHVDCTTIQPNGPCFNPNTFINHASVAMNLYYSFHGRNLWNCDYQKSGLITKTDPSYGTCQYA >Potri.001G068100.4.v4.1 pep chromosome:Pop_tri_v4:1:5126416:5130355:1 gene:Potri.001G068100.v4.1 transcript:Potri.001G068100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068100.v4.1 MVLITKCVLGFNNAGSAGDVLDDDPVGRLKVYVYELPSKYNKKLLQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPDEADWFYSPIYPTCDLTPMGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRSTLVQTFGQRNHVCLNEGSITIPPFAPPQKMQAHQIPPDIPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPNLDTILTSIPPEVILRKQRLLANPSMKRAMLFPQPAQPGDAFHQILNGLARKLPHDRSVYLKSGQNILNWTAGPVGDLKPW >Potri.001G068100.2.v4.1 pep chromosome:Pop_tri_v4:1:5126090:5130382:1 gene:Potri.001G068100.v4.1 transcript:Potri.001G068100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068100.v4.1 MRTCLWVFAVVLVFGFVDGKKIERLRTERISGSAGDVLDDDPVGRLKVYVYELPSKYNKKLLQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPDEADWFYSPIYPTCDLTPMGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRSTLVQTFGQRNHVCLNEGSITIPPFAPPQKMQAHQIPPDIPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPNLDTILTSIPPEVILRKQRLLANPSMKRAMLFPQPAQPGDAFHQILNGLARKLPHDRSVYLKSGQNILNWTAGPVGDLKPW >Potri.008G036900.1.v4.1 pep chromosome:Pop_tri_v4:8:2038912:2042829:-1 gene:Potri.008G036900.v4.1 transcript:Potri.008G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036900.v4.1 MIVENDAAIARALQEELSRIAAAEGSGHVPKTNGEIPSEDEQMSDHQRLLERLKLYNLVEKEVQGDGNCQFRSLSDQLYDSPEHHKFVREQVIEQLKSQPQMYSSYVPMAYDDYLEKMSRSGQWGDHVTLQAAADLYGIKIFMITSFKDTCCIEILPKVLKSNNGVIYLSFWAEVHYNPVRRMR >Potri.007G081300.1.v4.1 pep chromosome:Pop_tri_v4:7:10567294:10578352:-1 gene:Potri.007G081300.v4.1 transcript:Potri.007G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081300.v4.1 MAEINNDKIVPLPCVVEELIAKICREQNRRPLETNTRLTLASLGEEVALNILWRISGQEIRKSFDGFVLHLAKQFSPNINASSSSPNIHSSLSPSPQQPQNRSPITTTRLLMNSQSDSGAQSPISLKLEGSSTMDSQRQRGNESTTSQHLVALGELEFRKAFLILSYLGGKNLEEVVSVDQIRGYKDLPMRTFESKIWEAFGCRRDYIKEEDRVKYLDWDSGKTHIYHCHVDPDGSYRFKGPYLSKLRNVLQRTLGDDNILMVKFGEVKDDRDSGSRSLDDYFSKYNKVLGEGIHVGLRCYRFFVFKDGGKEEKKKDPTTSPVKCFFVRMESVASIDNQDNILSGKTIRQARSVFMHVDNLSSLSNYMARFSLILSKTMNLEVDLSCVDIKTIADEPCRDKDGNVVYGTDGKPLIHTDGTGFISHDLALKCPKNQFKGTCLRASNIERLNVHNEVMEQYPECRNGDPPLLIQFRLFNNGRAVKGTFLVNKKLTHQTLHIRPSMIKVETDPKLSSTFSKNSLEIVGTSSRPKKTFLSKNLIALLSYGGVPEEFFMGIVNNALEDAHGILSNKNAALRVALNYGDMDDNIVATMIGCGIPLEEPYLQCRLSILMKEEKKSLKGGKIPVPESYYLMGTADPTGLLESDEVCIILDCGQISGEVLIYRNPGLHFGDIHILKATYVRELEDFVGNAKYAIFFPCKGPRSLADEMSGGDFDGDMFFVSRNPQLLETFKQTEPWTPSTSTPNVPNRKPSEFSDEELEVELFKLFLRNRFQPSSTVGVAADSWLAMMDRLLTLGNDCAEEIVCMKENINLLIDIYYDALDAPKKGGRRIEVPEGLKAELFPHFMGKNEKKTYRSTSILGKIYDKVKAYEDMDLSSNDVWKLPCFDDEVHELYLVKWKELYGQYRKEMRNALKAGEESNDKANEVIRKYKEFLYEAAEFNLSKRRDEEIFEEAMALYQVSYNHAKSQGVVGNCSFAWRVAGLALCTLYVLKNQGERPMICSPSALKGIL >Potri.002G007700.1.v4.1 pep chromosome:Pop_tri_v4:2:419536:423020:1 gene:Potri.002G007700.v4.1 transcript:Potri.002G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007700.v4.1 MFSERLTGEASLRRELEALSVSQRLVRSVSQKLRKKNNLRGEGEEEDDSRGVSLKCLTLYGMGGGCKVGADTGEEFGDPSGRRRSCSASEEGKGYKPICGNEETGFDCFSYGVREKFWKKNSRKDLELEDSIQNSRLHMFLPDDVLELCLVRLPLTSLMNARLVCKKWRYLTTTPRFLQMRREGAYLKPWLFLFGAVKDGYCSGDIYALDVSQDQWHRIDSDILKGRFMFSVASIQDDIYVVGGCSSLTHFGRVDRSSFRTHKGVWVFSPLTKSWRKIAHMRCARSMPVLGISEVHSDFTVVHSHQHRQERRFPRSRVGGVSDVYEDPHRLSLRRQYRKAFDEYEPSLLPNRKSHRFIRQKNDQSNTKYCKRFVLISVGGLGSWDEPLDSGEIYDPVSNKWTEIQRLPMDFGVVCSGVICNGIFYVYSEADKLMGYDIQRGFWMAIQTSPFPPRVHEYYPKLVSCNDQLFMLSVSWCEGDGQIGQRNKAVRKLWELDLMYLTWTEVSLHPDAPMDWNAAFVADKNLIFGVEMFKIFGQVLNFLTVCDVSGRGTNWSHLARNHMTHELDASSCMTKSLAVLHL >Potri.014G152600.1.v4.1 pep chromosome:Pop_tri_v4:14:10690502:10694612:-1 gene:Potri.014G152600.v4.1 transcript:Potri.014G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152600.v4.1 MASRPGILTDWPWKPLGSFKHVILAPCVIHNIYAFMVKDEKDLPSFLIFPILLWRVLHNQLWISLSRYRTAKGNNRIIDKGIEFDQVDRESNWDDQILFNGILFYVGSKIIPGASHLPMWRLDGVILTALIHMGPVEFLYYWLHRLLHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHISYSMLFAIPLITTIVTGTASLTSFAGYITYIDLMNNMGHCNFELIPRWLFIIFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPIYDYIYGTMDTSSVTLYEDSLKRPEEAPDVVHLTHLTTPDSIYHLRLGLACLASNPQESKWYLWLMWPVTLWTMMLTWTYGRTFVVERNRFHKLRLQTWTLPKYNIQYNLRWQKVSINTLIEEAVLEAEEKGVKVLSLGLLNQGEELNRYGELYVQRHPRLKTKVVDGSSLAVAAVLNSIPKGTTQVLHRGNLSKVAYAVALNLCQRGIQVVVPCEDDYQKLKKSFGITSDQNNLILSKSYSIKTWLVGDGLKEEDQKQAAEGTLFIPFSQFPPKKLRKDCFYHSIPVMAAPASLENVDSCENWLPRRVMSAWRVAGIVHALEGWDEHECGSTMADIDKVWQASIQHGFKPLVIKTPLKF >Potri.018G140100.1.v4.1 pep chromosome:Pop_tri_v4:18:14535624:14541585:1 gene:Potri.018G140100.v4.1 transcript:Potri.018G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140100.v4.1 MAYMRSLSSRSTILKRQYYPRFAYILHDDHQQKEKNQEQLNPSEKPAITPTNYFPQRSFYTAPTASFGSLLREPNHTHFAGACLVRYMSTTTATSAAENIDVVMDMAAPAVNEVAIAAADSFLPVAVLQHAIDAVHNFTGFNWWASIVVTTLLIRSFTLPLLINQLKATSKLSIVRPHLEEVKQRVERQGMDPTAVSEGQKEMKKLFKEHGVSPFTPLKGLFIQAPVFISFFLAITNMAEKVPSFKSGGAFWFVDLTTADDLYIFPVLTALTFLITVECNTQEGMEGNPAAGTMKNVSRALAAASVPLTMSFPKAIFCYWITSNLFSLTYGLVLKAPGVKEFLGVPKVPVAPPTTAAKSSSFDLFSAIKQLSTARKEPTPSLPIEPPKLFEHKKSSSSVITKRIRSLEKEVKGRKKNKKR >Potri.004G081433.1.v4.1 pep chromosome:Pop_tri_v4:4:6720691:6721722:1 gene:Potri.004G081433.v4.1 transcript:Potri.004G081433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081433.v4.1 MAVALFWVGSATPSTTVSNGFGLLDSIRVSDTTKFGNSRDRSLFSKVGGKQGREQKWKSCSINTYLRYLGGNSNRSKLPVTSSIVASHAGEMAVLSSDEMVYNAVMKQAALVKKELKSSLSRGDLDVNPDVVLPGTSSLLSEAYDRCGEVCAEYAKTFYLGTLLMTLERRRANWAIYGE >Potri.009G010800.1.v4.1 pep chromosome:Pop_tri_v4:9:2092894:2096210:1 gene:Potri.009G010800.v4.1 transcript:Potri.009G010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010800.v4.1 MDMEMKQSRFKRICVFCGSSPGKKSSYKDAAIELGKELVSRNIDLVYGGGSIGLMGLISQAVFDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINPSARHIIVSAPTPRELVKKMEEYFPRHEIVASKLSWEIEQLGYPPQCDIAR >Potri.002G053200.3.v4.1 pep chromosome:Pop_tri_v4:2:3591398:3594899:-1 gene:Potri.002G053200.v4.1 transcript:Potri.002G053200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053200.v4.1 MGRKPNALVKLKERVNVAESTVPTVTEVHLEHNNSNSFLQPESSKQPANSSLEGVELNLQMQADFAKSSSKKKARQTPAKVRRSERLQTSAVDTQNQDIERVIEEITLSGSDEEEDPVDAELPEPTLTGKNLEGKVDYILKMLEVQRKTTDVKFKATKNSFSGNCSGGGDITYKSLYIDSEKKVEALMEENYQLTLKLQNALGKIEAKCFTDGNGGNNAVVFVTITHFDMTLFSVV >Potri.002G053200.2.v4.1 pep chromosome:Pop_tri_v4:2:3591398:3594899:-1 gene:Potri.002G053200.v4.1 transcript:Potri.002G053200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053200.v4.1 MGRKPNALVKLKERVNVAESTVPTVTEVHLEHNNSNSFLQPESSKQPANSSLEGVELNLQMQADFAKSSSKKKARQTPAKVRRSERLQTSAVDTQNQDIERVIEEITLSGSDEEEDPVDAELPEPTLTGKNLEGKVDYILKMLEVQRKTTDVKFKATKNSFSGNCSGGGDITYKSLYIDSEKKVEALMEENYQLTLKLQNALGKIEAYEKGNPMVCEMLEKFNEILLSQLSSEAIDGNFTAPETGTAAKRKRLDKQTRGNGHSDNLM >Potri.001G392050.1.v4.1 pep chromosome:Pop_tri_v4:1:41523073:41525555:1 gene:Potri.001G392050.v4.1 transcript:Potri.001G392050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392050.v4.1 MSLQPHKEERFLYPINPFVCVAASAVIGSFPDLFQDKYNPQDSSWLVLVLLFVSEVNGIGFLHDFLFLNTSVQFGGLMMDSEVFFHYLSILP >Potri.001G255700.4.v4.1 pep chromosome:Pop_tri_v4:1:27161110:27167868:-1 gene:Potri.001G255700.v4.1 transcript:Potri.001G255700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255700.v4.1 MMGCECIISVSIHTTVRNSIITLHYCGKCTNELLASTALHSRKIYFCTKNGSLLELSEAEPPRWENHGRPPGADVAAIVDAATIRPDVVYTISSTGDLYEYDRSSKPSWKKHIWAEGTVADASLMPSRGCTLHGLSGEYSISLFLLTKGGKLVERRLNQRKWKWIVHGSPKDHKLTSITPVVQDETNEKFLSLFFTTSSGSVFEYRILKQSGTDQENQIPEAWLSHMHPPHAKVASGIAGIPLQAGRIVFPLHDGRLAELHLPGLGGENTGPNHQVNLRKRASVKYVWSMIDAPETEGWNAEYCREERGPMNCLEGIKDEPNEQGITRSMARRRKGSKAQEDYLFAGANGPNKVLEGYSFPDNWINNNFRLRMIHGGKSFFLVTDDGLTYEHLYAENLWLWLRHDHSTPMKGALGNYNGSLFLVDIYGSLLMRERSDEGLTWVNCTAMRNLGRVIGGPPWDGIPGKDPKVTPEDAIFFVSKNGRLLQFTVALRKFKWKDCRNPPDTKVASIVDQELFRDNVVFVTGRNGRLYQYNKVTELWHEHYQSQHLVLSRSPGTAMRPSSLSLTGSLFMLSEDGGLVEYHWNTGDGWNWIEHGTPNKGVTLITSPSPCFEGNQLFLIGSDGKVYVRYMDQMTWRWKNCGFPHVGQLMNEDQTQERGNDNNEEVCIDEDFAASLENVARKYSDFNRNCDPKVAPTRPIPFSDDSVIFELRDGRLAEMRRVEGTHWVWSRTIATPTTSCMANYWTAVAS >Potri.001G255700.2.v4.1 pep chromosome:Pop_tri_v4:1:27160893:27167880:-1 gene:Potri.001G255700.v4.1 transcript:Potri.001G255700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255700.v4.1 MYRNLFITRFCHATMPCFHLIFFILVLLSLSLGSASWCPHNYAQQKNREFEQKTDRFWEFQEQSNTWVEVELPYELVSCVNDNCTKVGKIHPVKRDVEENSERENDDSKKNENLKRKVEDGGTEANSEIVLPLRKRISLTKMSESSIWVTGESGSIYERFWNGIQWVIAPHDLPVLTGHAICVFIVNQTILTLSEAGTLYQMMLGESSQPIWVEFTPTLDESTNREAEESSLMLINSGVISHDGLKIYFCTKNGSLLELSEAEPPRWENHGRPPGADVAAIVDAATIRPDVVYTISSTGDLYEYDRSSKPSWKKHIWAEGTVADASLMPSRGCTLHGLSGEYSISLFLLTKGGKLVERRLNQRKWKWIVHGSPKDHKLTSITPVVQDETNEKFLSLFFTTSSGSVFEYRILKQSGTDQENQIPEAWLSHMHPPHAKVASGIAGIPLQAGRIVFPLHDGRLAELHLPGLGGENTGPNHQVNLRKRASVKYVWSMIDAPETEGWNAEYCREERGPMNCLEGIKDEPNEQGITRSMARRRKGSKAQEDYLFAGANGPNKVLEGYSFPDNWINNNFRLRMIHGGKSFFLVTDDGLTYEHLYAENLWLWLRHDHSTPMKGALGNYNGSLFLVDIYGSLLMRERSDEGLTWVNCTAMRNLGRVIGGPPWDGIPGKDPKVTPEDAIFFVSKNGRLLQFTVALRKFKWKDCRNPPDTKVASIVDQELFRDNVVFVTGRNGRLYQYNKVTELWHEHYQSQHLVLSRSPGTAMRPSSLSLTGSLFMLSEDGGLVEYHWNTGDGWNWIEHGTPNKGVTLITSPSPCFEGNQLFLIGSDGKVYVRYMDQMTWRWKNCGFPHVGQLMNEDQTQERGNDNNEEVCIDEDFAASLENVARKYSDFNRNCDPKVAPTRPIPFSDDSVIFELRDGRLAEMRRVEGTHWVWSRTIATPTTSCMANYWTAVAS >Potri.001G451900.1.v4.1 pep chromosome:Pop_tri_v4:1:47841372:47844436:-1 gene:Potri.001G451900.v4.1 transcript:Potri.001G451900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G451900.v4.1 MSPPANLLLADLASTGVKQIPTSYIRPISDRPNLSDVQISDVPIPLIDLHGLNGPNHSLIIEQISQACENDGFFQVKNHGIPEEMIGNVMNIARQFFHLPASERLKNYSDDPTKNTRLSTSFNVKTEQISSWRDFLRLHCYPLEDYIHEWPCNPPSFRRDVAEYCTSIRGLVLKLLEAISESLGLERDHIDKNLGKHGQHMAMNYYPPCPQPELTYGLPGHTDPNLITILLQDDVPGLQVLRNGKWIAVNPIPNTFIINIGDQMQVLSNDRYKSVLHRAVVNCNKERISIPTFYCPSKDAVIGPPKELIDDDHPAVYRDFTYGEYYERFWNRGLVKECCLDLFKASTDS >Potri.002G241200.6.v4.1 pep chromosome:Pop_tri_v4:2:23319979:23327936:1 gene:Potri.002G241200.v4.1 transcript:Potri.002G241200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241200.v4.1 MAEYVVSSSPSFSNLHMWRRSRVKETTPSFTPNNLHSQRKYSHRNCKVVQVSTLKTCFDGLLSFKASPVTRKDSVKCCCLGSLVDPNGAMVSGWVSVSDQLLLMASIFLTYMAGVIPQHNSNNTHQKNFMEDNVVVEGSTSSGSYRKKDDHVNLKHAWDAVKEKLLDSLDAIERRSNLGNKFLQFEQQHAKRPLSLFAISDSPKLRLLWTSFQWLEEEVKNVFADSEAVSMDDWLAIFPEIIQKSCHPVCMAWLVEELQLENKKSDKELVSLMIEKLKGDDIVLQNIRKSGKEDLYAELLYFLRFGSLRKSCCYDCRLFILHGDSILEDLVITLADGIASVYLEIISVDGSLSNEMNSLDMFMCNMSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCTLQLKFIEEPCENQIEKHPWWKKLTLRKSIMMQSSCFSAISHFSMPVKRTKELRALTGWRYYFSLYLELSDIMMPLVRAVIDKVSNAISFFLVTLIGRSLGLIYTGIRQSLRWK >Potri.002G241200.2.v4.1 pep chromosome:Pop_tri_v4:2:23319952:23327928:1 gene:Potri.002G241200.v4.1 transcript:Potri.002G241200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241200.v4.1 MAEYVVSSSPSFSNLHMWRRSRVKETTPSFTPNNLHSQRKYSHRNCKVVQVSTLKTCFDGLLSFKASPVTRKDSVKCCCLGSLVDPNGAMVSGWVSVSDQLLLMASIFLTYMAGVIPQHNSNNTHQKNFMEDNVVVEGSTSSGSYRKKDDHVNLKHAWDAVKEKLLDSLDAIERRSNLGNKFLQFEQQHAKRPLSLFAISDSPKLRLLWTSFQWLEEEVKNVFADSEAVSMDDWLAIFPEIIQKSCHPVCMAWLVEELQLENKKSDKELVSLMIEKLKGDDIVLQNIRKSGKEDLYAELLYFLRFGSLRKSCCYDCRLFILHGDSILEDLVITLADGIASVYLEIISVDGSLSNEMNSLDMFMCNMSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCTLQLKFIEEPCENQIEKHPWWKKLTLRKSIMMQSSCFSAISHFSMPVKRTKELRALTGWRYYFSLYLELSDIMMPLVRAVIDKVSNAISFFLVTLIGRSLGLIYTGIRQSLRWK >Potri.002G241200.7.v4.1 pep chromosome:Pop_tri_v4:2:23319938:23327914:1 gene:Potri.002G241200.v4.1 transcript:Potri.002G241200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241200.v4.1 MAEYVVSSSPSFSNLHMWRRSRVKETTPSFTPNNLHSQRKYSHRNCKVVQVSTLKTCFDGLLSFKASPVTRKDSVKCCCLGSLVDPNGAMVSGWVSVSDQLLLMASIFLTYMAGVIPQHNSNNTHQKNFMEDNVVVEGSTSSGSYRKKDDHVNLKHAWDAVKEKLLDSLDAIERRSNLGNKFLQFEQQHAKRPLSLFAISDSPKLRLLWTSFQWLEEEVKNVFADSEAVSMDDWLAIFPEIIQKSCHPVCMAWLVEELQLENKKSDKELVSLMIEKLKGDDIVLQNIRKSGKEDLYAELLYFLRFGSLRKSCCYDCRLFILHGDSILEDLVITLADGIASVYLEIISVDGSLSNEMNSLDMFMCNMSTRALQRLRNEVALNQWLYQNVEAVVSMYEDRFDLCTLQLKFIEEPCENQIEKHPWWKKLTLRKSIMMQSSCFSAISHFSMPVKRTKELRALTGWRYYFSLYLELSDIMMPLVRAVIDKVSNAISFFLVTLIGRSLGLIYTGIRQSLRWK >Potri.008G115467.1.v4.1 pep chromosome:Pop_tri_v4:8:7356394:7357377:-1 gene:Potri.008G115467.v4.1 transcript:Potri.008G115467.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115467.v4.1 MVSFSSSPSLLANPDSGFSLCKRSGKIKMLRRTTATPLSIAAASLNDDDNQNSSTKLLTFLGKGGSGKTTSAVFAAQRCAIFRASDMLRGTDPRSLC >Potri.014G116900.4.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTENAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.27.v4.1 pep chromosome:Pop_tri_v4:14:7853406:7856089:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MDLIMRETYANPKTCFFQVLFQPYFFDSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKDAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.22.v4.1 pep chromosome:Pop_tri_v4:14:7853406:7855566:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.19.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.24.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MTFLYTVANNGSDYERNLCESKDMFLSSPLPVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.20.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.3.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MTFLYTVANNGSDYERNLCESKDMFLSSPLPVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.9.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKDAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.13.v4.1 pep chromosome:Pop_tri_v4:14:7853406:7856094:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MTFLYTVANNGSDYERNLCESKDMFLSSPLPVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTENAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.7.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MTFLYTVANNGSDYERNLCESKDMFLSSPLPVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTENAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.8.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKDAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.2.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856386:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.25.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7855566:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MTFLYTVANNGSDYERNLCESKDMFLSSPLPVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.5.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTENAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.23.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MTFLYTVANNGSDYERNLCESKDMFLSSPLPVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.26.v4.1 pep chromosome:Pop_tri_v4:14:7853406:7856089:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MDLIMRETYANPKTCFFQVLFQPYFFDSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKDAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.10.v4.1 pep chromosome:Pop_tri_v4:14:7853406:7856094:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTENAKKQIQGFASQALASHFTSSIRSALGAV >Potri.014G116900.18.v4.1 pep chromosome:Pop_tri_v4:14:7853406:7855363:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MDLIMRETYANPKTCFFQVLFQPYFFDSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.21.v4.1 pep chromosome:Pop_tri_v4:14:7853406:7856094:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MRIQRHVSFKSSSSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.17.v4.1 pep chromosome:Pop_tri_v4:14:7853406:7856089:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MDLIMRETYANPKTCFFQVLFQPYFFDSFVIIFVVMVFLAALDFWILVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTESKYHSVLTSRVVLKFMLIGKIVTYYCDHQNRERHFS >Potri.014G116900.6.v4.1 pep chromosome:Pop_tri_v4:14:7853207:7856389:1 gene:Potri.014G116900.v4.1 transcript:Potri.014G116900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116900.v4.1 MTFLYTVANNGSDYERNLCESKDMFLSSPLPVALRWWNEQGESVSQSLARLNKKDSWLFWWTLFLNVHAAAWVIIGIFSVKRFEADYVLVVAVCASLGIANIVGFTKCRKASAGDQISTENAKKQIQGFASQALASHFTSSIRSALGAV >Potri.011G141700.2.v4.1 pep chromosome:Pop_tri_v4:11:17180294:17181770:-1 gene:Potri.011G141700.v4.1 transcript:Potri.011G141700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141700.v4.1 MDELESQVKMLSINNKEVKWMKHYSSCHKILLVGEGDFSFAACLGKAFGSAVNMVATSLYSKETMMLKYSKSATNLTELEDLGCTIIHEVDVHNMRKHPLLKQKLFDRIVFNFPATALKWSESNVRQIEKHQRLVKGFLRSCHDMLEENGEVHVTHKIKEPYCKWEIEKLAEDVGLYLVEKVWFRRSEYPGYGNKRGSGARADETFPAGNSYTFKFSRAT >Potri.006G184800.2.v4.1 pep chromosome:Pop_tri_v4:6:19085423:19090648:-1 gene:Potri.006G184800.v4.1 transcript:Potri.006G184800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184800.v4.1 MAGSESFEALEVQNNEQKRQGNEQNNGNFVEEEELEGDYIEEEEESQLSELQQLVELPSSQVEEEMRDGQVETLTVVPSSSTAILSKENDQHVGLKGNSALKTHVEAEFKEQVGLSHQEVLGSVTAQSAKAQTQNQLPPSACPTSSSELSLTSVTQPISSAPSPTPPEKRLSPPEVKNACIPEAGHQSSAKLKALHVPVARTSIPDGYNWRKYGQKQVKSPKGSRSYYKCTYSDCCAKKIECSDHSGRVIEIVNKGMHSHPPRKNNSTRESRSGLSVGPILQTTVTERTVRMLKDSEPVTLSIEPAQETPTVSERKRQSSSSSDENKETQIKEEDVGEPEPKRRLKKGNLECSKAALKPGKKTKFVVHAAGDVGISGDGYRWRKYGQKMVKGNPHPRNYYRCTSAGCPVRKHIETAVDNTNAVIITYKGVHDHDMPVPKKRHGPPSAPPAAAAAAPASLSSLPIKKTDTLQNQVASTQWSVGKEGELTGETLDLGGEKEAAIESARTLLSIGFEIKPC >Potri.010G253600.2.v4.1 pep chromosome:Pop_tri_v4:10:22506264:22508733:1 gene:Potri.010G253600.v4.1 transcript:Potri.010G253600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253600.v4.1 MDFETESQKKKSGVFGDVYGGITMVLPKEIDDPISLPSPPSLAPPFELRFHLPDLKLAVRDFTKTREVGEFLSGALAGAMTKAVLAPLETIRTRMVAGNAINMLRIIPTQAIELGTFECVKRAMTSAQEKWSQSECPRVQLGPVSLNFSLSWISPVAAAGAAAGIVSTLACHPLEVLKDRLTVSRDIYPNLSIAISKIYKDGGIGAFYAGISPTLMGMLPYSTCYYFMYETMKTSYCEAKNRHVLTGWCWYLVFSISRLVINLRFGCLGFTASTLSFPLEVARKRLMEGAQQGKCPPHMAAALSEVIREEGLRGLYRGWGASCLKVMPSSGITWMFYEAWKDVLLVEKQLL >Potri.010G041600.1.v4.1 pep chromosome:Pop_tri_v4:10:7264465:7265104:-1 gene:Potri.010G041600.v4.1 transcript:Potri.010G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041600.v4.1 MSTQQPQQLQQQPVVLYPNTVARQAPSSHSNGSFGTVFIVLAVIVVISAIACCLGRICNKRLNKQKVNNNKQSLQHSQHTPTFRPKERESDLEFGFDKGFPTARNSNMKGSDPRGHKPFGNGHFSGRGETNTSAGDHGEPNAAP >Potri.002G128800.1.v4.1 pep chromosome:Pop_tri_v4:2:9745942:9747567:1 gene:Potri.002G128800.v4.1 transcript:Potri.002G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128800.v4.1 MPPVHSSPYFQMDNQAILSLHRHTAGEKRSKSSSSGLLKMFKLFPMLTSGCKMVALLGRPRKALLKDNATTGTIFGYRKGRVSLAIQEDPHCVPRFVIELPMHSSLFHKEMASDIVRIALESETKTHKKKLLEEFVWAVYCNGRKVGYSIRRKQMSGDELHVMQLLRGVSMGAGVLPCPNNVKESADGELTYIRARFERVVGSKDSEALYMINPDGAAGPELSIFFVRAR >Potri.015G127400.1.v4.1 pep chromosome:Pop_tri_v4:15:13894216:13899329:-1 gene:Potri.015G127400.v4.1 transcript:Potri.015G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PtVNS05 MNSFTHVPPGFRFHPTDEELVHYYLRKKVNSRRIDLDVIKDVELYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATVAGFWKATGRDKAIYSKHDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRIPTMPKVSEHESVCWYDDQVAFMHDLDSPKQNFQPDLGYQFPYSCKKELDFQYQIPHDHFLQLPLLQSPKLLQSAPTLSCNSINAAYGLDINQTSTFQSSTLTQEDHVQQTHEQRFPTIYGNNNSNHEQAVDQVTDWRVLDKFVASQLSHEDVIKETNHPNASNNIFNTSSVHAANILVRHLNKQEASVQENASTSTSSCQIDLWK >Potri.004G111500.1.v4.1 pep chromosome:Pop_tri_v4:4:10239995:10247724:1 gene:Potri.004G111500.v4.1 transcript:Potri.004G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111500.v4.1 MTSTTMGPPPPRNPNPTTSTEAASITEPESEPESKTSVVDEPQKISSTTTAAKPSMAPPPPTNPIPTPPETSTEQEKIKSKDPSVPYTIPEWSGPPCHKFSLEILKDGSIIDQFEVCEKGAYMFGRVELCDFILEHPTISRFHAVLQFKRNGDAYLYDLGSTHGTFVNKSQVEKGVYVALHVGDVIRFGHSSRLYIFQGPPDLMPPEADRKIRRNAKIRQEMQDQEASLQRARLEASLADGISWGMGEDAIQEVEDDCDEVTWQTYKGQLTEKQEKTRDKVIKRTEKIAHMKKEIDAIRAKDIAQGGLTQGQQTQIARNEQRMTQIMEELENLEETLNESIRESIGARSGRISRGKGKGTAEDGEDFSSDDDEFYDRTKKPSVQKAGENLSVETADTLLDKRDAIMKQMEDKKEVLLIEKNKMAPETAVENGAGDALDTYMSGLSSQLVLDKTMQLEKELSSLQSELDRTLFLLKIADPSGDAARKRDSKVQVMKPDKAEVPVSATKSQPPTEPKKSSALGKPTNVSMQKQKAEDAVVAEMVSNDAAETDKNVIDAPDGKPTVYTAVKPQWLGAIDKRKMKETQQEEVLVMDESDQFVDYKDRQKILSSVDGAEVNVDSEIESAAPGLIIRKRKGAEGPGANDNEAPEQLTSSSVGAELLAEDAVALLLKHKRGYHAEDEEGNHQSQEISGTNQRGKEKKRPKRVLGPEKPSFLNSNPDYETWVPPEGQSGDGRTSLNDRFGY >Potri.004G112300.1.v4.1 pep chromosome:Pop_tri_v4:4:10309473:10313742:-1 gene:Potri.004G112300.v4.1 transcript:Potri.004G112300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G112300.v4.1 MGAVTSTMAAKFAFFPPSPPSYELEEEDEEAEGGAKKLRMAAAAGVHNSNREYVDVLKLETKRGNHVVAVYFKNPAASLTVLYSHGNAADLGQMYDLFCELSLHLRVNLMGYDYSGYGQSTGKPTEQNTYVDIEAAYRCLEEKYGVKEEDVILYGQSVGSGPTLDLATRLPKLRAVVLHSPIASGLRVIYPVKRTYWFDIYKNIDKIPFINCPVLVIHGTDDDVVSWSHGKQLWERCKEKYEPLWVKGGNHCDLELYPQYIKHLKKFISAIEKSSRLRNVSGSIVDQTEDPRKSTDFREVARSSIDQRETSRLSADKKEKPRLSTDRREKSRSSTDRRERSRKSVDHPERESNGSDQHEKARNSIDRFGDMIRSVGLCNIDCFKPTATAI >Potri.017G147400.1.v4.1 pep chromosome:Pop_tri_v4:17:14697926:14698779:1 gene:Potri.017G147400.v4.1 transcript:Potri.017G147400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147400.v4.1 MEIKKAVLKLELHDKKAKKKAMTIVSGLSGVDSVSIDMKDKKMTVIGDIDPVCIVAKLRKLCGTEIVTVGPAKEPEKKKDEPPKKPEGDQKKDPEPVAYLAYHPHMPPYCYVSCVEDNQNACVIS >Potri.006G135100.1.v4.1 pep chromosome:Pop_tri_v4:6:11128187:11132395:1 gene:Potri.006G135100.v4.1 transcript:Potri.006G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135100.v4.1 MGLLVLVVLWLLGYTLGGASMSWAATHSFQMQVQTQCSYTRYPGLCLQSLKEFQDHPLDIMTALVNKTISETRLPNSYFETLSSHLEAQEAERVLSVTDYCKNLMSMSLKRLDQSLLALKDSPRKNKHDIQTWLSAALTFQQACKDSTDSLSLSGDLMPRISEKMDYLSQLASNSLALVSRITHDYGTKLKNSTKRRRAEEKSQGFPKWVSAKDRKLLQTSTIKANAVVAKDGTGNYKTISEAINAAPGKRFVIYVKAGVYKEKIRSNKDGITLIGDGKYSTIIVGDDSVAGGSSMPGSATFTITGDDFIARDIGFQNTAGPGGEQALALHVASDHSVFYRCSIAGYQDTLYAVALRQFYRECDIFGTIDFIFGNAAAVFQSCNLVLRKPHGDSYNVILANGRDDPGQNTGFSVQSCRITASSDFSPVMHNYNSYLGRPWKQYSRTVVMESSIGDAISSRGWIEWPGEGSYAKSLYFAEYSNTGPGAGTSNRVKWPGFHVIGPSEATKFTVGNLIAGTSWLPSTGVTFISGLQ >Potri.006G135100.4.v4.1 pep chromosome:Pop_tri_v4:6:11128846:11132394:1 gene:Potri.006G135100.v4.1 transcript:Potri.006G135100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135100.v4.1 MSMSLKRLDQSLLALKDSPRKNKHDIQTWLSAALTFQQACKDSTDSLSLSGDLMPRISEKMDYLSQLASNSLALVSRITHDYGTKLKNSTKRRRAEEKSQGFPKWVSAKDRKLLQTSTIKANAVVAKDGTGNYKTISEAINAAPGKRFVIYVKAGVYKEKIRSNKDGITLIGDGKYSTIIVGDDSVAGGSSMPGSATFTITGDDFIARDIGFQNTAGPGGEQALALHVASDHSVFYRCSIAGYQDTLYAVALRQFYRECDIFGTIDFIFGNAAAVFQSCNLVLRKPHGDSYNVILANGRDDPGQNTGFSVQSCRITASSDFSPVMHNYNSYLGRPWKQYSRTVVMESSIGDAISSRGWIEWPGEGSYAKSLYFAEYSNTGPGAGTSNRVKWPGFHVIGPSEATKFTVGNLIAGTSWLPSTGVTFISGLQ >Potri.010G219900.1.v4.1 pep chromosome:Pop_tri_v4:10:20540546:20543314:1 gene:Potri.010G219900.v4.1 transcript:Potri.010G219900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219900.v4.1 MDFFFRGLSEDPAPSQLDIHRCPFLRNINEPTSFSLSSSMPFPMPVRMGKGPIFEDGPNFDMAFRLFHGHDGVVPLSERSLSNAEKVEPQLAVPKFNPLAAKAATISLSSFGAGGPFSFDAFSKKWNNQKKNSNSSKKGSSSQGGQSNHEALSNEWLQTGNCPIAKSYRAVSSVLPLVAKVLKPPPGMNIKCPPAVIAARAAISRTSFAKNLRPQPLPAKILVIGILGMAANVPLGIWREHTKKFSPSWFAAVHAAVPFIAMLRKSILMPKSAMALTIGASILGQVIGSRAERYRLKAVAAKRMPLAETPASSSSQLQVVAVKSGHCGALVEYPVSLQMAGNSSSAADVFC >Potri.016G033100.4.v4.1 pep chromosome:Pop_tri_v4:16:1866822:1871996:-1 gene:Potri.016G033100.v4.1 transcript:Potri.016G033100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033100.v4.1 MTKISPEIEENMKGEVVFPVSADVSFASDGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETTQLSDQHKRLSVRDLACKFDKNLTAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRIGEALDEEERDAQNSKNQAADVEELVEEVQEARRIKLLHQPSKVIDMEHELRALRNQIREKSLVSVKLQKELAKSKRAEQNKSAPYVLDGSETLGSSLQLKPRSDSSPPLSKCSVQWYRVSSEDSQNEVISGANKTFYAPEPFDVGRVLQVDIVSNGQKVIVTTSGPIEPAAGLATRVENLLRKSSCEFSVVISQMNGQDHPSHSVHVFNVGKMRLKLCRGWITKAREIYSASMQLCGVRTNANTAARSLFWQPRKGLSFVLTFESERDRNAAIMLARKYAYDCNGVILAGPEDQV >Potri.016G033100.2.v4.1 pep chromosome:Pop_tri_v4:16:1866757:1872639:-1 gene:Potri.016G033100.v4.1 transcript:Potri.016G033100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033100.v4.1 MTKISPEIEENMKGEVVFPVSADVSFASDGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETTQLSDQHKRLSVRDLACKFDKNLTAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQKEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRIGEALDEEERDAQNSKNQAADVEELVEEVQEARRIKLLHQPSKVIDMEHELRALRNQIREKSLVSVKLQKELAKSKRAEQNKSAPYVLDGSETLGSSLQLKPRSDSSPPLSKCSVQWYRVSSEDSQNEVISGANKTFYAPEPFDVGRVLQVDIVSNGQKVIVTTSGPIEPAAGLATRVENLLRKSSCEFSVVISQMNGQDHPSHSVHVFNVGKMRLKLCRGWITKAREIYSASMQLCGVRTNANTAARSLFWQPRKGLSFVLTFESERDRNAAIMLARKYAYDCNGVILAGPEDQV >Potri.001G048800.1.v4.1 pep chromosome:Pop_tri_v4:1:3627677:3635491:1 gene:Potri.001G048800.v4.1 transcript:Potri.001G048800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048800.v4.1 MESADIYRASSSLRDSFRAGSSAWRNTTVEAFSRSSREEDDEEALKWAAIEKLPTYDRLRKGILTSASKGVANEVDIEKLGLQERKQLLERLVKVAEEDNEKFLWKLKDRVERVGIDVPTIEVRYDNLNIEAEAYVGSSALPSFAKFTFNIIEGLLISLNILRNRKKPLTILKDVSGIVKPSRLTLLLGPPSSGKTTLLLALAGKLDPNLKFSGRVTYNGHEMNEFVPQRTAAYISQHDVHIGEMTVRETLAFSARCQGAGYLHDMLAELSRREKEANIKPDPDVDVFMKAVASQGDEANVITDYVLKILGLEICADTMVGDEMIRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTYQIVNSLRHTVHILNCTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRERVLEFFEHMGFKCPERKGVADFLQEVTSRKDQEQYWARKDQPYRFITANEFAEAFQSFTVGRRTAEELSIPFDKSKNHPAALVTKTHGAGKKDLLKANFSREYLLMKRNSFVYIFKICQLTIMALISMTLFFRTKMHRDTVRHGGIYTGALFFTAIMIMFNGMSELSMTIAKLPVFYKQRDLRFFPSWAYAFPQWILKIPVSFVEVAAWVFLTYYVIGFDPNVERLFKQYLVLLLINQTASALFRFIAAAGRNMIIANTFGSFALLTLFTLGGFILSREKIKKWWIWGYWSSPLMYGQTAILVNEFLGNSWSHVPENSTEPLGIQVLKSRGFFTEAYWYWIGAGATIGFILLLNLFFVLALTFLNAFDKPQAFISEEPESDESGRKTERAIQLSNHGSSHGTNTEGGVGISRASSEVIGGVSNNRKKGMVLPFEPHSITFDDIIYSVDMPQEMKVQGVVQDRLVLLNGVNGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIDGEIKISGYPKKQETFARVSGYCEQNDIHSPQVTVYESLLYSAWLRLPPEVDSETRRMFIEEVMDLVELNPLRHALIGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSTHLIKYFEAIEGVSKIKDGYNPATWMLEISSSAQEMALEVDFSNIYKNSDLFRRNKALIVELSTPAPGSTDLYFPTKYSTSFLTQCMACLWKQHWSYWRNPPYTAVRFIFTTFIALMFGTMFWDLGSKVSSTQDLSNAMGSMYAAVLFLGVQNASSVQPVVAVERTVFYRERAAGMYSALPYAFAQVLIELPYIFAQAAVYGIIVYAMIGFDWTVAKFFWYLFFMYFTLLYFTYYGMMAVAVTPNHHIASIVSSAFYGIWNLFSGFIVPRPSIPIWWRWYSWACPVAWTLYGLVVSQFGDIQKKLTETQTVKEYVKDYFGFHHDFLGVVAAAIVGWTVLFAFIFAFAIKAFNFQRR >Potri.006G216700.1.v4.1 pep chromosome:Pop_tri_v4:6:22220040:22222383:-1 gene:Potri.006G216700.v4.1 transcript:Potri.006G216700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216700.v4.1 MGRRQYLLCFFFLCLISSDQGLVKGVVGLACNWGTQSTHPLQANIAVKLLKENGFKKVKLFEADPAALKALGKSGIQVMVGIPNEFLAPLASSVQVAINWVQQNVSSYISRYGTDIRYVAVGNEPFLQTFKDTFLNTTFPALQNIQAALIKAGLGRQVKVTVPLNADVYQTDSGLPSGGNFRSDIHGLMISIIKFLSDNNAPLTINIYPFLSLYADPHFPVDYAFFNGTSAPVVDGSISYTNVFEANFDTLISALEKNGFSSMPVIVGEVGWPTDGDRNANMDYARRFNQALVDRINQGQGTPKRKTPPDIYLFALTDEDAKSVRPGNFERHWGIFYYDGAIKYQMDMGNGKPLVPAKGVRYLARQWCVMSPEASISDPNLPNSIAYACNYADCTSLGYGSSCGTLDAKSNASYAFNMYYQTVDQRNGACSFSNLSTLTKVDPSQNPCRFEIMMDLGKHETPPRRSFAGGKENPAAMMAFISALILIICGAY >Potri.006G202200.1.v4.1 pep chromosome:Pop_tri_v4:6:20953046:20955289:-1 gene:Potri.006G202200.v4.1 transcript:Potri.006G202200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202200.v4.1 MNDLFSGSFSRFHSEEASPDHHVIQMSEAQSTGGGVNLDKFFEDVESIKDELKELERLNENMRSSHEQSKTLHNARAVKDMRSKMDADVALALKRAKLIKVRLEALDRSNAANRSLPGCGPGSSSDRTRTSVVSGLRKKLKDLMESFNGLRQKITTEYRETVERRYFTVTGENPDEKTLDLLISTGESETFLQKAIQQQGRGRILDTINEIQERHDAVKDLENNLKELHQVFMDMAVLVEHQGEQLDDIESHVQRANSYVRGGTQQLQTARKLQRNSRKWTCYAIIILLIIILVVLLSVRPWEKKK >Potri.001G094266.1.v4.1 pep chromosome:Pop_tri_v4:1:7465245:7466308:-1 gene:Potri.001G094266.v4.1 transcript:Potri.001G094266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094266.v4.1 MHFQEQKQCSRNPTRTMKISRTPLAESQTSFSLRRTVTKDDIKKLKHNVDGLKLQLKGKEEKELLLGEEVGKLKAKLCKEGGDKLNSVSQLEIKVVYLEQQVKDKEEVLLGLSEERREAIRQLCILIDYHRGRYDHLREAISKKTVHIKRMA >Potri.003G091100.1.v4.1 pep chromosome:Pop_tri_v4:3:11708727:11715295:-1 gene:Potri.003G091100.v4.1 transcript:Potri.003G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091100.v4.1 MTDIEQILGDLNKDSFVSLLKNIIGESKYVQNNPPELIPEEDRVVTHVLNSLLPYSTTTRGGPLIVNHVSCFPKRGNLIVEYPGTEPGKILSFVGMHMDVVTANPNDWEFDPFSLSIDGEKLRGRGTTDCLGHVALVTELMKKLGETKLKLKSTVVAVFIANEENSSITGVGVDALVKDGLLNKLKGGPLFWIDTADKQPCIGTGGMIPWKLHFTGKLFHSGLPHKAINPLELGMEALKEIQSRFYEDFPPHKEEQVYGFATPSTMKPTQWSYPGGGINQIPAECTISGDVRLTPFYSVKDVMDKLQEYVDEINENIEKLDTRGPVSKYVLPEENLRGSLTVTFNEASSGVACDLKSRGFEVLCKATEKVVGHVKPYSITGTLPLIRELKDEGFDVQTTGYGLMATYHAKNEYCLLSDMCQGYQIFSSIISQLEA >Potri.012G021700.1.v4.1 pep chromosome:Pop_tri_v4:12:2222510:2223338:-1 gene:Potri.012G021700.v4.1 transcript:Potri.012G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021700.v4.1 MAGSELACIYATLILHDEDIAISSDKIATLVKSANVNVESYWPSLFAKLAEKRNVGDLIMNIGAGGGAAAPIAVSSSAPAAASAAAPAVEEKKEEAPESDDDMGFSLFD >Potri.001G038975.1.v4.1 pep chromosome:Pop_tri_v4:1:2799906:2800712:1 gene:Potri.001G038975.v4.1 transcript:Potri.001G038975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038975.v4.1 MCLETLRERSLIQVPKMTPSASSTPFTKNHPPMPVIASSTLNLHKTPYQHLQILPQPPTTTSPYSNSTDYPVTFTSHQAASPVLPSKPSTKTFSPASYANAPTSPDHLKLFFERFPSWVEYQDLKKTFGKFGRVIKLFLSKRKTVLGRRFGFVEILSPLPVSDLCDQASNVWFDSYKLRVNPAKRQSFKPPLPTSKPLPKPASPHKPQLMFRDNRSFAEVLISKPQQMVTKERRMIQYNSTEEDKEWLHRSLVGNILPNVDVVTRSKS >Potri.018G032300.13.v4.1 pep chromosome:Pop_tri_v4:18:2375852:2383862:-1 gene:Potri.018G032300.v4.1 transcript:Potri.018G032300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032300.v4.1 MKRSAPPVQFLAAAFAVDSASMSSRRPPYRGSNNRNQWGRTFSNGPYTEDSSLGFLQAEANHNFTPRPSPRPPPRYYQNQQFRQPPPPQPSDQNRIFWYSPPFNQNHNRQFFNQNHRLQRPAKPLDYRNWEYAKTAPPRDSERFIVLSYNLLADYLAINHRSKLYYHIPRHMLDWEWRKRSIIFELGLWSADIMCFQEVDRFGDLEEVLKVRGYSGIWKMRTGNAIDGCAVFWRTSRFRLVHEESIEFNKHGLRDNVAQICVLELIRQDNTADTSTLPTSSTISNKVVICNIHVLYNPKRGDIKLGQVRMLLDRAHAVSKTWNDAPVILCGDFNCTPKSPLYNFISEQKLDFSGIDRGKVSGQASAEICNSRPYNFGRNPNASPGTQSSDNSVQAPLMVDSKQSDTLPNTQKQNNQHERLGDVPSVNDYSQPKHTRTVLNALDVSAGSELCVEERELYIAVEQGSTIFHGEGKLPVDQMSDEMNKSIPPLTSHPEDAYSDKREQREGGNSTSLLNQVSSCEHSSHTNTENIREKFDDHEVSTSAHPSSQPIVDDEKTDLSPSYQINISDASVSYVDDKLLEDVSLQDLNDLFPESRTIGEDQSTFLSALHGVEGSLSHDPNYSPKGLASDLNDSQLDSPDDEVLVDFSLGLDSEGAGVGKTADDPSLWTPMEIVTATGNVDCMFVEHPLKLKSTYTQVEDCYGTRDPNGEPLVTSYNRCFFGTVDYIWYSEGLQTVRVLAPMAKHAMQWTAGFPTKKWGSDHIALASEFAFTKDASNNNIEVQ >Potri.018G032300.11.v4.1 pep chromosome:Pop_tri_v4:18:2375916:2383862:-1 gene:Potri.018G032300.v4.1 transcript:Potri.018G032300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032300.v4.1 MKRSAPPVQFLAAAFAVDSASMSSRRPPYRGSNNRNQWGRTFSNGPYTEDSSLGFLQAEANHNFTPRPSPRPPPRYYQNQQFRQPPPPQPSDQNRIFWYSPPFNQNHNRQFFNQNHRLQRPAKPLDYRNWEYAKTAPPRDSERFIVLSYNLLADYLAINHRSKLYYHIPRHMLDWEWRKRSIIFELGLWSADIMCFQEVDRFGDLEEVLKVRGYSGIWKMRTGNAIDGCAVFWRTSRFRLVHEESIEFNKHGLRDNVAQICVLELIRQDNTADTSTLPTSSTISNKVVICNIHVLYNPKRGDIKLGQVRMLLDRAHAVSKTWNDAPVILCGDFNCTPKSPLYNFISEQKLDFSGIDRGKVSGQASAEICNSRPYNFGRNPNASPGTQSSDNSVQAPLMVDSKQSDTLPNTQKQNNQHERLGDVPSVNDYSQPKHTRTVLNALDVSAGSELCVEERELYIAVEQGSTIFHGEGKLPVDQMSDEMNKSIPPLTSHPEDAYSDKREQREGGNSTSLLNQVSSCEHSSHTNTENIREKFDDHEVSTSAHPSSQPIVDDEKTDLSPSYQINISDASVSYVDDKLLEDVSLQDLNDLFPESRTIGEDQSTFLSALHGVEGSLSHDPNYSPKGLASDLNDSQLDSPDDEVLVDFSLGLDSEGAGVGKTADDPSLWTPMEIVTATGNVDCMFVEHPLKLKSTYTQVEDCYGTRDPNGEPLVTSYNRCFFGTVDYIWYSEGLQTVRVLAPMAKHAMQWTAGFPTKKWGSDHIALASEFAFTKDASNNNIEVQ >Potri.018G032300.10.v4.1 pep chromosome:Pop_tri_v4:18:2375852:2383890:-1 gene:Potri.018G032300.v4.1 transcript:Potri.018G032300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032300.v4.1 MKRSAPPVQFLAAAFAVDSASMSSRRPPYRGSNNRNQWGRTFSNGPYTEDSSLGFLQAEANHNFTPRPSPRPPPRYYQNQQFRQPPPPQPSDQNRIFWYSPPFNQNHNRQFFNQNHRLQRPAKPLDYRNWEYAKTAPPRDSERFIVLSYNLLADYLAINHRSKLYYHIPRHMLDWEWRKRSIIFELGLWSADIMCFQEVDRFGDLEEVLKVRGYSGIWKMRTGNAIDGCAVFWRTSRFRLVHEESIEFNKHGLRDNVAQICVLEQLIRQDNTADTSTLPTSSTISNKVVICNIHVLYNPKRGDIKLGQVRMLLDRAHAVSKTWNDAPVILCGDFNCTPKSPLYNFISEQKLDFSGIDRGKVSGQASAEICNSRPYNFGRNPNASPGTQSSDNSVQAPLMVDSKQSDTLPNTQKQNNQHERLGDVPSVNDYSQPKHTRTVLNALDVSAGSELCVEERELYIAVEQGSTIFHGEGKLPVDQMSDEMNKSIPPLTSHPEDAYSDKREQREGGNSTSLLNQVSSCEHSSHTNTENIREKFDDHEVSTSAHPSSQPIVDDEKTDLSPSYQINISDASVSYVDDKLLEDVSLQDLNDLFPESRTIGEDQSTFLSALHGVEGSLSHDPNYSPKGLASDLNDSQLDSPDDEVLVDFSLGLDSEGAGVGKTADDPSLWTPMEIVTATGNVDCMFVEHPLKLKSTYTQVEDCYGTRDPNGEPLVTSYNRCFFGTVDYIWYSEGLQTVRVLAPMAKHAMQWTAGFPTKKWGSDHIALASEFAFTKDASNNNIEVQ >Potri.018G032300.8.v4.1 pep chromosome:Pop_tri_v4:18:2375968:2383901:-1 gene:Potri.018G032300.v4.1 transcript:Potri.018G032300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032300.v4.1 MKRSAPPVQFLAAAFAVDSASMSSRRPPQYRGSNNRNQWGRTFSNGPYTEDSSLGFLQAEANHNFTPRPSPRPPPRYYQNQQFRQPPPPQPSDQNRIFWYSPPFNQNHNRQFFNQNHRLQRPAKPLDYRNWEYAKTAPPRDSERFIVLSYNLLADYLAINHRSKLYYHIPRHMLDWEWRKRSIIFELGLWSADIMCFQEVDRFGDLEEVLKVRGYSGIWKMRTGNAIDGCAVFWRTSRFRLVHEESIEFNKHGLRDNVAQICVLEQLIRQDNTADTSTLPTSSTISNKVVICNIHVLYNPKRGDIKLGQVRMLLDRAHAVSKTWNDAPVILCGDFNCTPKSPLYNFISEQKLDFSGIDRGKVSGQASAEICNSRPYNFGRNPNASPGTQSSDNSVQAPLMVDSKQSDTLPNTQKQNNQHERLGDVPSVNDYSQPKHTRTVLNALDVSAGSELCVEERELYIAVEQGSTIFHGEGKLPVDQMSDEMNKSIPPLTSHPEDAYSDKREQREGGNSTSLLNQVSSCEHSSHTNTENIREKFDDHEVSTSAHPSSQPIVDDEKTDLSPSYQINISDASVSYVDDKLLEDVSLQDLNDLFPESRTIGEDQSTFLSALHGVEGSLSHDPNYSPKGLASDLNDSQLDSPDDEVLVDFSLGLDSEGAGVGKTADDPSLWTPMEIVTATGNVDCMFVEHPLKLKSTYTQVEDCYGTRDPNGEPLVTSYNRCFFGTVDYIWYSEGLQTVRVLAPMAKHAMQWTAGFPTKKWGSDHIALASEFAFTKDASNNNIEVQ >Potri.018G032300.12.v4.1 pep chromosome:Pop_tri_v4:18:2375734:2383862:-1 gene:Potri.018G032300.v4.1 transcript:Potri.018G032300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032300.v4.1 MKRSAPPVQFLAAAFAVDSASMSSRRPPYRGSNNRNQWGRTFSNGPYTEDSSLGFLQAEANHNFTPRPSPRPPPRYYQNQQFRQPPPPQPSDQNRIFWYSPPFNQNHNRQFFNQNHRLQRPAKPLDYRNWEYAKTAPPRDSERFIVLSYNLLADYLAINHRSKLYYHIPRHMLDWEWRKRSIIFELGLWSADIMCFQEVDRFGDLEEVLKVRGYSGIWKMRTGNAIDGCAVFWRTSRFRLVHEESIEFNKHGLRDNVAQICVLEQLIRQDNTADTSTLPTSSTISNKVVICNIHVLYNPKRGDIKLGQVRMLLDRAHAVSKTWNDAPVILCGDFNCTPKSPLYNFISEQKLDFSGIDRGKVSGQASAEICNSRPYNFGRNPNASPGTQSSDNSVQAPLMVDSKQSDTLPNTQKQNNQHERLGDVPSVNDYSQPKHTRTVLNALDVSAGSELCVEERELYIAVEQGSTIFHGEGKLPVDQMSDEMNKSIPPLTSHPEDAYSDKREQREGGNSTSLLNQVSSCEHSSHTNTENIREKFDDHEVSTSAHPSSQPIVDDEKTDLSPSYQINISDASVSYVDDKLLEDVSLQDLNDLFPESRTIGEDQSTFLSALHGVEGSLSHDPNYSPKGLASDLNDSQLDSPDDEVLVDFSLGLDSEGAGVGKTADDPSLWTPMEIVTATGNVDCMFVEHPLKLKSTYTQVEDCYGTRDPNGEPLVTSYNRCFFGTVDYIWYSEGLQTVRVLAPMAKHAMQWTAGFPTKKWGSDHIALASEFAFTKDASNNNIEVQ >Potri.005G101400.2.v4.1 pep chromosome:Pop_tri_v4:5:7338100:7339853:1 gene:Potri.005G101400.v4.1 transcript:Potri.005G101400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101400.v4.1 MKGGRSKSDSRNNDAKLKRKGAGAGTKASKKAAKDPNKPKRPASAFFVFMEDFRKQYKESHPNNKSVAAVGKAGGDKWKSLSEAEKAPYAAKAEKRKFEYNKDMAAYNKRLAGGNDDESDKSKSEVNDEDEEDESDGEEEDDDE >Potri.019G058900.1.v4.1 pep chromosome:Pop_tri_v4:19:9809036:9810980:1 gene:Potri.019G058900.v4.1 transcript:Potri.019G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058900.v4.1 MDGGGGSRENVMVGGAYTEEPHVLAVDDSLVDRKLVERLLKNSSCKVTTAENGLRALEYLGLGDEKRTSLEDNVSKVNLIITDYCMPGMTGYELLKKIKESSMLKEIPVVIMSSENIPTRINKCLEEGAQMFMLKPLKQSDVVKLRCNLMNCRS >Potri.001G463200.2.v4.1 pep chromosome:Pop_tri_v4:1:48911917:48913884:1 gene:Potri.001G463200.v4.1 transcript:Potri.001G463200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463200.v4.1 MAAAKTLSPHPFPSIFLPSKSKLSSNTTELFNHRHHRHHNRQPWLGQAGVISTRPKRVMIRVSSQLQEHLLSSSVVMEQLQNQESMFVVAESAASYSLASYYTSLGLFVISVPGLWSLIKRSVKSKIVQKTFTGEGEGKKEPAQVAGEILSFFTRNNFAVTGRGETITFEGMMVPNRGQAALLTFCTCISLASVALVLTITFPDFGNNWFWITILSPLAGAYYWKRASRKEEIKVKMMVADDGTLSEIVVQGDDQQVEQMRKDLQFSEKGMVYVKGIFER >Potri.007G082500.7.v4.1 pep chromosome:Pop_tri_v4:7:10723867:10735770:1 gene:Potri.007G082500.v4.1 transcript:Potri.007G082500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082500.v4.1 MASSLPLPELIFLSPKTRTPKHHHHLLSLSRHSFSKLNVSGKKNKFNLPLRTPVTRAVKEDTAVIEEREREILKELNGNGNGRVNGSVERYVNGGLVSVEEGESSSNESLVKYVNGNGAAAVETAEILVEEKKEGSKEEGRQKRIEEIGKEDAWFKRAGQQQIEVSVAPGGRWSRFKTYSTIQRTLEIWGSVLTFIFKAWLNNQKFSYRGGMTEEKKMVRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVGDIFDRFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECANVLYQEIDYTMEASNAELFASNFKEMEYVKVPAIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGIYEKDPDKVLEAMIQMGVLVPTGDMTAVRRTALFFLNSFEERLAAQRREGEIETAQLGFKKPLSKDEKIEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVLLKDFRKRWDRQSRAIYNLFRQADRVQKLADTIQRLEQGDLKLRVRTLEAERAFQRVAAVQKIVGSAVAVGSLVNLATILYLNSIRVPAIAASIFCAFFSFQVLFGLIKVKKLDQQERLITGTA >Potri.007G082500.14.v4.1 pep chromosome:Pop_tri_v4:7:10723871:10735698:1 gene:Potri.007G082500.v4.1 transcript:Potri.007G082500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082500.v4.1 MASSLPLPELIFLSPKTRTPKHHHHLLSLSRHSFSKLNVSGKKNKFNLPLRTPVTRAVKEDTAVIEEREREILKELNGNGNGRVNGSVERYVNGGLVSVEEGESSSNESLVKYVNGNGAAAVETAEILVEEKKEGSKEEGRQKRIEEIGKEDAWFKRAGQQQIEVSVAPGGRWSRFKTYSTIQRTLEIWGSVLTFIFKAWLNNQKFSYRGGMTEEKKMVRRKALAKWLKESILRLGPTFIKIGQQFSTRVDILAQEYVDQLSELQDQVPPFPSETAVSIVEEELGAPVGDIFDRFDYEPIAAASLGQVHRARLKGQEVVIKVQRPGLKDLFDIDLKNLRVIAEYLQKVDPKSDGAKRDWVAIYDECANVLYQEIDYTMEASNAELFASNFKEMEYVKVPAIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGIYEKDPDKVLEAMIQMGVLVPTGDMTAVRRTALFFLNSFEERLAAQRREGEIETAQLGFKKPLSKDEKIEKKKQRLAAIGEDLLSIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLKFREAGVEVLLKDFRKRWDRQSRAIYNLFRQADRVQKLADTIQRLEQGDLKLRVRTLEAERAFQRVAAVQKIVGSAVAVGSLVNLATILYLNSIRVPAIAASIFCAFFSFQVLFGLIKVKKLDQQERLITGTA >Potri.016G063450.1.v4.1 pep chromosome:Pop_tri_v4:16:4433594:4434684:-1 gene:Potri.016G063450.v4.1 transcript:Potri.016G063450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063450.v4.1 MSCDMYSFHDCGLYGGIIILTYNDNYSGDVWLLHQSSYLVVDCHAAWETTDNSCNVTAPIFGNVQSQMQLSWMRTEALFETQDELVLVVSSRMGMING >Potri.002G061900.6.v4.1 pep chromosome:Pop_tri_v4:2:4269223:4275454:1 gene:Potri.002G061900.v4.1 transcript:Potri.002G061900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061900.v4.1 MDSSTVLFNQLKAAEPFFLLAGPNVIESEEHILRMANHLKTISTRVGLPLVFKSSFDKANRTSSKSFRGPGMSEGLKILEKVKIAYDIPIVTDVHETIQCEPVGQVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMSNSAEKVRLAGNPNVMVCERGTMFGYNDLIVDPRNLEWIREAKCPVVADITHSLQQPAGKKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLEELLEELVAIAKVSKGKKQMAIDLTPFRD >Potri.002G021050.1.v4.1 pep chromosome:Pop_tri_v4:2:1306932:1307986:-1 gene:Potri.002G021050.v4.1 transcript:Potri.002G021050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021050.v4.1 MSAEIYTSIILFKDRDGSSCDRKRRHLGLLSLYQTEEESYHLWEILRCVNWDFSQTFQNRVKTSPSFENRGWLCGSQGRRRF >Potri.009G022400.1.v4.1 pep chromosome:Pop_tri_v4:9:3465288:3469577:1 gene:Potri.009G022400.v4.1 transcript:Potri.009G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022400.v4.1 MISSQIQKLNSTKPLSSLLPKPSILSHGNPSLPIYKQQYLPKRQANFRIRAALGNAKVLSKPSTTETAVKVKATVTVKVTIGGIFSNIGLTVPLDELTEVFGKSFLLELVSSQLDPNTGLEKETIKAYAHKASQKDDEVKYETKFTVPAGFGAVGAVLVENQHHKEIFLKDIVLEGFPNGPVNVECDSWAHSKYDDSKKRIFFANKSYITTETPDGLKRLREQELEDIRGNGEGERKSHERIYDYDTYNDLGFPDISSKLARPVLGGKERPYPRRCRTGRPRTRKDPSSESKSLINYVPRDEVFSEVKQITFSAKTLKSVLNALLPSIESVFEDPKLGFPYFNAIDSLFDEGVTLPKPKNTGFLRTVLPRLVKTFREGGDELLLFDTPDMIDRDKFSWFKDEEFSRQTLAGLNPFSIQLVTEWPLTSKLDPEIYGPPESMITTELLEKEIGGIMTVEEAKKQKRIFMLDYHDLYLPYVNKVRELEGTTLYGSRTLFFLMENGTLRPLAIELTRPPSGDKPQWKQVFTPSCSDATGCWLWRLAKAHVCAHDSGYHQLVIHWLRTHCCAEPYIIAANRQLSAMHPINRLLRPHFRYTMEINALARESLINAAGIIETTFSPGKYCMELSSVAYDKLWRFDTEALPADLIRRGMAVEDPTARHGLKLTIEDYPFANDGLVLWDAIKEWVGDYVKHYYPEASMVESDKELQAWWTEVRAKGHEDKKDEPWWPVLKTQENLVHVLTTIIWVTSGHHAAVNFGQYMYGGYFPNRPTIARTNMPTESPSDEEWKLFLKKPELSLLKCFPTQLQATKVMAVLNVLSSHSPDEEYIGEKTEPSWEENPVIKAAFEKFTGRLKELEGIIDERNTDLNLKNRTGAGVVPYELLKPFSAHGVTGKGVPNSISI >Potri.001G241254.1.v4.1 pep chromosome:Pop_tri_v4:1:25873939:25874079:-1 gene:Potri.001G241254.v4.1 transcript:Potri.001G241254.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241254.v4.1 MSLSLSLPLSLHLCSSSWVDFEVLQKKPGESSLFNIEHFNLSDIEQ >Potri.016G121500.1.v4.1 pep chromosome:Pop_tri_v4:16:12615593:12620055:-1 gene:Potri.016G121500.v4.1 transcript:Potri.016G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121500.v4.1 MINRACITVPSTCMENAGFKKGMFCGSQLTLQKIAEQLQFLTPPRVNTMEVEHFDDNIGSKLEIMESVAVENDEFCLENWRKKRAAVLICLFEGNERELRVILTKRSMKLSSHPGDVALPGGKMEEGDVDDSATALREAMEEIGLDPHLVQVVANLEPFISQHQLKVVPVVGLLARVEDFKPVLNTDEVDTLFDVPLEMFLKEENHRWEEKEWMGWNYCLHLFDFESEKGVFLIWGLTASILIETASVIYQRSPSFEHHLRDFQQLQKALNNNSIA >Potri.016G121500.2.v4.1 pep chromosome:Pop_tri_v4:16:12615593:12620055:-1 gene:Potri.016G121500.v4.1 transcript:Potri.016G121500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121500.v4.1 MINRACITVPSTCMENAGFKKGMFCGSQLTLQKIAEQLQFLTPPRVNTMEVEHFDDNIGSKLEIMESVAVENDEFCLENWRKKRAAVLICLFEGNERELRVILTKRSMKLSSHPAGDVALPGGKMEEGDVDDSATALREAMEEIGLDPHLVQVVANLEPFISQHQLKVVPVVGLLARVEDFKPVLNTDEVDTLFDVPLEMFLKEENHRWEEKEWMGWNYCLHLFDFESEKGVFLIWGLTASILIETASVIYQRSPSFEHHLRDFQQLQKALNNNSIA >Potri.016G121500.3.v4.1 pep chromosome:Pop_tri_v4:16:12615593:12620055:-1 gene:Potri.016G121500.v4.1 transcript:Potri.016G121500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121500.v4.1 MINRACITVPSTCMENAGFKKGMFCGSQLTLQKIAEQLQFLTPPRVNTMEVEHFDDNIGSKLEIMESVAVENDEFCLENWRKKRAAVLICLFEGNERELRVILTKRSMKLSSHPGDVALPGGKMEEGDVDDSATALREAMEEIGLDPHLVQVVANLEPFISQLKVVPVVGLLARVEDFKPVLNTDEVDTLFDVPLEMFLKEENHRWEEKEWMGWNYCLHLFDFESEKGVFLIWGLTASILIETASVIYQRSPSFEHHLRDFQQLQKALNNNSIA >Potri.014G098800.1.v4.1 pep chromosome:Pop_tri_v4:14:6478594:6481267:-1 gene:Potri.014G098800.v4.1 transcript:Potri.014G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098800.v4.1 MEWSATSATEAYLDTLKLCGNHKRRYDSWMTKEPGSNEFISALAAGMKAKLIVEVAYGVSPSTVALAAAARQTGGRLVCILPEPVPAESKKVIKDSGLKDIVDFKTGDPSKLLPNYEKIDFSLVDCKNDEYTGLLKLIDVNPRRSVVVANNLVGEKKGLGGHVRCLNDEVVVRSMKHPIGKGMEVTMIGKSNDIEKRDWGSRESSSPPKTRENAMKKTISSNWIVKVDEKSGEEHIYRVPNFI >Potri.010G163300.3.v4.1 pep chromosome:Pop_tri_v4:10:16813259:16815696:-1 gene:Potri.010G163300.v4.1 transcript:Potri.010G163300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163300.v4.1 MGSTAAADLCSVLSETQRIINAHSRHFLALSVLFILPLSFFLSVYPTIQNIISQSSTLHSKILYSHATFYQDDLSNLFTTNTIILSLLLVLLSVTFSLFATGSITYSVIHGFYGRPVKLCSSIKSSLTSFLPLLITNSFAEIIFLGVVLLFALFFFLVMNGIQLLGFEVNVSSPSFQVFCLILGVFLVLVLFCLQLNWVLAQVIVVAESIWGLEPLKRSNFLIKGTKGVALSLFLFLAFFPGLFVIAISFPRGDLDIGNIDSAWKIWPFVVRIVVPSALQTMLFLYNIAAFTVLYMDCTAAHGELVWEIAEEFAGDYVSLPFDDGKIPHFVSVTYT >Potri.010G163300.4.v4.1 pep chromosome:Pop_tri_v4:10:16812913:16815884:-1 gene:Potri.010G163300.v4.1 transcript:Potri.010G163300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163300.v4.1 MGSTAAADLCSVLSETQRIINAHSRHFLALSVLFILPLSFFLSVYPTIQNIISQSSTLHSKILYSHATFYQDDLSNLFTTNTIILSLLLVLLSVTFSLFATGSITYSVIHGFYGRPVKLCSSIKSSLTSFLPLLITNSFAEIIFLGVVLLFALFFFLVMNGIQLLGFEVNVSSPSFQVFCLILGVFLVLVLFCLQLNWVLAQVIVVAESIWGLEPLKRSNFLIKGTKGVALSLFLFLAFFPGLFVIAISFPRGDLDIGNIDSAWKIWPFVVRIVVPSALQTMLFLYNIAAFTVLYMDCTAAHGELVWEIAEEFAGDYVSLPFDDGKIPHFVSVTYT >Potri.010G163300.1.v4.1 pep chromosome:Pop_tri_v4:10:16812914:16815884:-1 gene:Potri.010G163300.v4.1 transcript:Potri.010G163300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163300.v4.1 MGSTAAADLCSVLSETQRIINAHSRHFLALSVLFILPLSFFLSVYPTIQNIISQSSTLHSKILYSHATFYQDDLSNLFTTNTIILSLLLVLLSVTFSLFATGSITYSVIHGFYGRPVKLCSSIKSSLTSFLPLLITNSFAEIIFLGVVLLFALFFFLVMNGIQLLGFEVNVSSPSFQVFCLILGVFLVLVLFCLQLNWVLAQVIVVAESIWGLEPLKRSNFLIKGTKGVALSLFLFLAFFPGLFVIAISFPRGDLDIGNIDSAWKIWPFVVRIVVPSALQTMLFLYNIAAFTVLYMDCTAAHGELVWEIAEEFAGDYVSLPFDDGKIPHFVSVTYT >Potri.001G150200.1.v4.1 pep chromosome:Pop_tri_v4:1:12540136:12545031:-1 gene:Potri.001G150200.v4.1 transcript:Potri.001G150200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL9 MGCFSSKVPRQFPGHEDPVALASQTAFSVSEVEALFELYKSISSSVVDDGLISKEEFQLALFKNRKKENLFADRIFDMFDVKHKGVIDFSDFVRSLNVFHPNASQEVKIDFSFKLYDLDNTGFIERQEVKQMLIALLCESEMKLADETVEIILDKTFLDADVDKDGKIDKSEWETFVCRNPSLLKIMTLPYLRDISTTFPSFVFNSEVDEIAS >Potri.016G012150.3.v4.1 pep chromosome:Pop_tri_v4:16:625218:637040:-1 gene:Potri.016G012150.v4.1 transcript:Potri.016G012150.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012150.v4.1 MAKLYLKLFLKLVSSLAVLLLLCQFGYVACQPTGFSNSSRLPDGEARALEDLLKKLEWGFHPNIARSACSSNFEYIKCDCTYENRTVCHVTRLTLPDQDLTGQINAEALASLVHLKAIDLSKNQLHGSIPLTLGNLRSLTRLALSGNFLNGSIPPSLGNLLSLLVMDLGFNELIGQLPPELGRLSSLRYLGLSSNNLSGELPGNYANFTSKLQWFSVAGNRLTGQIPRFIANWTELYYLSLSGNDFEGELPLELLFNMSNLQYLFVSDVRSSGFPFPKYANMTEIEYLVIRNCSISGEIPPYIGNWSWLTYLDLSFNSLTGGIPDSMKKLNLTKMFLTGNMLSGTVPPWLPHKIEDKADLSYNDFEISRDGPKKGEGKLNIQPNRNSIRDLKNKCRGKPKYNSLYINCGGGETVVDGKVFEADSTTSNYYKAPTENWAYSCSGDFGSETYDSSDYIKNVECGDCDSAGTQLYNSSRLCPLSLTYYGFCLFKGNYTVKLYFAETVYQSDKDYSNLGKRVFDVYIQGKRELKDFNIKEKASGTNKTWTENFTAFVGDDHLLNIHFFWASKGSSSFSIAPAALSLNGPLVAGISVTANFKVGGKKLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQIIDATRNFNLKMEIGRGRFGIVYKADLPNEIKLAVKKISPQSKQQGKDELQREIFNLKSLHHENLVQLLDGYSIKDLHLLVYDYMHKGSLHHALFEPNSSTKLDWKARFDICLGIAKGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLYDKGRILDLVDKKLASSYDRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLVGEKRIDEISEADTSSANIGGLCGACSMVLEI >Potri.016G012150.2.v4.1 pep chromosome:Pop_tri_v4:16:625218:637040:-1 gene:Potri.016G012150.v4.1 transcript:Potri.016G012150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012150.v4.1 MAKLYLKLFLKLVSSLAVLLLLCQFGYVACQPTGFSNSSRLPDGEARALEDLLKKLEWGFHPNIARSACSSNFEYIKCDCTYENRTVCHVTRLTLPDQDLTGQINAEALASLVHLKAIDLSKNQLHGSIPLTLGNLRSLTRLDLSENFLNGSIPLSLGNLLSLKYLDLSHNFLNGSIPSSLKNLLSLHYFALSGNFLNGSIPPSLGNLLSLLVMDLGFNELIGQLPPELGRLSSLRYLGLSSNNLSGELPGNYANFTSKLQWFSVAGNRLTGQIPRFIANWTELYYLSLSGNDFEGELPLELLFNMSNLQYLFVSDVRSSGFPFPKYANMTEIEYLVIRNCSISGEIPPYIGNWSWLTYLDLSFNSLTGGIPDSMKKLNLTKMFLTGNMLSGTVPPWLPHKIEDKADLSYNDFEISRDGPKKGEGKLNIQPNRNSIRDLKNKCRGKPKYNSLYINCGGGETVVDGKVFEADSTTSNYYKAPTENWAYSCSGDFGSETYDSSDYIKNVECGDCDSAGTQLYNSSRLCPLSLTYYGFCLFKGNYTVKLYFAETVYQSDKDYSNLGKRVFDVYIQGKRELKDFNIKEKASGTNKTWTENFTAFVGDDHLLNIHFFWASKGSSSFSIAPAALSLNGPLVAGISVTANFKVGGKKLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQIIDATRNFNLKMEIGRGRFGIVYKADLPNEIKLAVKKISPQSKQQGKDELQREIFNLKSLHHENLVQLLDGYSIKDLHLLVYDYMHKGSLHHALFEPNSSTKLDWKARFDICLGIAKGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLYDKGRILDLVDKKLASSYDRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLVGEKRIDEISEADTSSANIGGLCGACSMVLEI >Potri.016G012150.1.v4.1 pep chromosome:Pop_tri_v4:16:625218:637040:-1 gene:Potri.016G012150.v4.1 transcript:Potri.016G012150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012150.v4.1 MRTEQFVMSPAYQDLTGQINAEALASLVHLKAIDLSKNQLHGSIPLTLGNLRSLTRLALSGNFLNGSIPPSLGNLLSLLVMDLGFNELIGQLPPELGRLSSLRYLGLSSNNLSGELPGNYANFTSKLQWFSVAGNRLTGQIPRFIANWTELYYLSLSGNDFEGELPLELLFNMSNLQYLFVSDVRSSGFPFPKYANMTEIEYLVIRNCSISGEIPPYIGNWSWLTYLDLSFNSLTGGIPDSMKKLNLTKMFLTGNMLSGTVPPWLPHKIEDKADLSYNDFEISRDGPKKGEGKLNIQPNRNSIRDLKNKCRGKPKYNSLYINCGGGETVVDGKVFEADSTTSNYYKAPTENWAYSCSGDFGSETYDSSDYIKNVECGDCDSAGTQLYNSSRLCPLSLTYYGFCLFKGNYTVKLYFAETVYQSDKDYSNLGKRVFDVYIQGKRELKDFNIKEKASGTNKTWTENFTAFVGDDHLLNIHFFWASKGSSSFSIAPAALSLNGPLVAGISVTANFKVGGKKLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQIIDATRNFNLKMEIGRGRFGIVYKADLPNEIKLAVKKISPQSKQQGKDELQREIFNLKSLHHENLVQLLDGYSIKDLHLLVYDYMHKGSLHHALFEPNSSTKLDWKARFDICLGIAKGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLYDKGRILDLVDKKLASSYDRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLVGEKRIDEISEADTSSANIGGLCGACSMVLEI >Potri.010G129700.2.v4.1 pep chromosome:Pop_tri_v4:10:14622704:14627318:-1 gene:Potri.010G129700.v4.1 transcript:Potri.010G129700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129700.v4.1 MAGPWLVDGNRFATKIKSASGASNLERVEWKSDPCKTCPNCHHVIDNSDVVQEWPGLPRGVKFDPSDQEIIWHLLAKVSDGGIKPHPFIKEFIPTVDNDDGICYTHPQNLPGVKQDGSISHFFHRAIKAYNTGTRKRRKIQGDNFGDVRWHKTGRTKPVILDGIQKGCKKIMVLYMSTVRGGKAEKTNWVMHQYHLGTSEDERDGEYVVSKIFYQQQANKGEKPEEDLSEIVDDVVAKVDPVTPKSVTPDPPRAERRCQDFEMGQESTNICTDPCAQQPGIECLEEEVNLLQKNLSYVDQLETENNVNHMVGDNDNCAGEERKWWDSESQQLLDSQQLVEGLSLCAEFLQSQSPDRGGHGTEANGKTGLSDYALLGPEYLKKDLEECQNLELDQANIELDTPPEFRLSQLEFGSQDSFTAWG >Potri.011G002500.1.v4.1 pep chromosome:Pop_tri_v4:11:152426:156169:-1 gene:Potri.011G002500.v4.1 transcript:Potri.011G002500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002500.v4.1 MATSSDDNRIIYAEDESYQPILHAKRSFSAETVSSELEDILCNMELSRSHRILRATWVELKILFPLAAPAIVVYLLNFFVSISTQMFCGHLGNLELAGVSLGNNGIQGLVFGVMLGMGSAVETLCGQAYGANKFEMLGVYMQRSTILLTLAGLVLMFIYIFCKPILLGLHESPAISSAAAIFVYGLIPQIFAYACNFPIQKFLQAQSVIFPSTCISAAVLVLHLILCWVVIFKLGGGLLGAGLVTSFSWWLIVVAQFVYILLSKKFKHTWRGFSILAFSGLWDFFKLSLASGVMLCLEFWYYQVLTLIAGLLKNAEVSLDALSICMTINGWCFMVSVGFQAAASVRVSNELGAGHPRATSFSVIVVNLCSLLISVILAVIVLSLRHVISYAFTSGTVVSDAVSELSPFLAASIVLGGVQPVLSGVAVGCGWQAFVAYVNLGCYYIIGVPLGCVLGFACDMGAKGIWTGMLGGTAVQTLVLLWATFRTNWDKEVEKAQSRLDTWDDVKEPLLKE >Potri.005G093800.1.v4.1 pep chromosome:Pop_tri_v4:5:6577929:6581784:1 gene:Potri.005G093800.v4.1 transcript:Potri.005G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093800.v4.1 MERRRSGGDHRENYTNPFDGEDQVSSADEKEEVMVEEGFKDTEVPPWAKQITLRAMLTSLVLSIVFNFIVCKLNLTTGVIPSLNVAAGLLGFAILKFWTSLLGKAGILKQPFTRQENTVVQTCVVASSGIAFSSGTASYLLGMTPRIANQSEGGNTPANMKELHLGWMIGFLFAVSFVGLFSIVPLRKLMILKYKLTYPSGTATAYLINSFHTPKGAKLAKKQVSVLFKYFAGSFLWAGFQWFWTAADGCGFASFPTFGPQAFARRFYFDFSATYVGVGMICPYMVNISLLLGAILSWGILWPIIETKKGDWYSADEKPSSLHGIQGYRVFMAIATMLGDGLFHVIFMLSKTTISLIINIKKKGSEVPGYGDDENSKLVKYDEKRRTEFFLKDQIPNWVAGGGYILLAIVSIIAVPHIFPQLKWYQILAAYVIAPVLAFCNAYGCGLTDWSLASNYGKFAIIIFSAWVGLPNGGIIAGLASCGVMMSIVSTASDLMQDFKTGYLTLSSPRSMFFSQVIGTAMGCVLTPLVFWVFYQAYPVGEEGSAYPAPYGGVYRGIAMLGTEGVSSLPKNCFKLSIIFFFAAMIINLVTELLRKYETKYRIYRFIPSPMCLAIPFYLGGYFAIDMCVGSLILFLLELRNKQKASDFGPAVASGLICGESLWGIPAAILALSGVNPPMCIKFLTAAVNGKVDGFLSG >Potri.009G082700.2.v4.1 pep chromosome:Pop_tri_v4:9:7830262:7835094:-1 gene:Potri.009G082700.v4.1 transcript:Potri.009G082700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082700.v4.1 MASSTSGPKKVLSRFRQVVGLLLPHSKSTPPPVSSTDDDKVTTDDHQVSTDDHKVSTDEKVKSGGEDINWDNVGFGLTPTDFMFLMKCPVGDKYSEGHLVPYGNLEISPSSSVLNYGQGLFEGMKVYRREDDRIMIFRPEENARRMQMGAERLLMQAPTTEQFIDAVKKTALANERWVPPHGTGTLYLRPLLMGSGAVLGIGPAPECTFLIFASPIRNSYKSGIDAFNLSIETKLHRASPGGTGGIKSITNYAPVFESVKRAKAAGFDDVLFLDGETGKHIEEASSCNVFMLKGNVISTPTILGTILPGITRKSILEIAQDCGYEVEEGRIPVEDVLAADEVFCTGTAVVVTSVASITYQEQRVEYKTGENTVCHELRTALTGIQTGLVEDKKGWTVHLN >Potri.013G122000.2.v4.1 pep chromosome:Pop_tri_v4:13:13129402:13130321:-1 gene:Potri.013G122000.v4.1 transcript:Potri.013G122000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G122000.v4.1 MELINEESAGELLQAQTHVWNHIFNFINSMTLKCAVQLGIPDVIQKHGKPMTLSELVSALPIHPSKAQYVHRLMRILVHSGFFSQQNLNQEAYSLTQSTRLLLKDNPLSMRPLLLMLLDPVLTKPHDCLSTWFQNDEATAFSVAHERTLWEYAGQDPRLSNLFNEAMASDSILASKLVLNQCKGIFDGVDSLVDVGGGSGTMAKGIAEAFPHMDCTVFDLPRVVSDLQGSKNLKYVGGDMFEAVPAADVILLKVIFCSFHVLISSIVSLHMLTFH >Potri.013G122000.1.v4.1 pep chromosome:Pop_tri_v4:13:13126235:13130322:-1 gene:Potri.013G122000.v4.1 transcript:Potri.013G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G122000.v4.1 MELINEESAGELLQAQTHVWNHIFNFINSMTLKCAVQLGIPDVIQKHGKPMTLSELVSALPIHPSKAQYVHRLMRILVHSGFFSQQNLNQEAYSLTQSTRLLLKDNPLSMRPLLLMLLDPVLTKPHDCLSTWFQNDEATAFSVAHERTLWEYAGQDPRLSNLFNEAMASDSILASKLVLNQCKGIFDGVDSLVDVGGGSGTMAKGIAEAFPHMDCTVFDLPRVVSDLQGSKNLKYVGGDMFEAVPAADVILLKWTLHDWSDEDCVKILKQCKHAIMSKGQQKAGKVIIIDIVRESQNGEESNETQLLWDLEMMVTVTGLERNEMEWAKLFFDAGFVSYKIHNVLGTRALIELHP >Potri.001G367200.1.v4.1 pep chromosome:Pop_tri_v4:1:38341858:38343904:1 gene:Potri.001G367200.v4.1 transcript:Potri.001G367200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367200.v4.1 MDPSQHHTTLTIDDHQEDDEWDTDGFVIPSLGIEDTYQTKPDASEVETLKPPPPKAKIDQNIYLGPHGAPPSQSKQQELNSSGRKQRFKHKLKEADKKVSRTGGENKVENLRELVGVGKVTENIAKGSPSDWLDPHCNESQFEKCPQ >Potri.005G252701.1.v4.1 pep chromosome:Pop_tri_v4:5:24535886:24536590:1 gene:Potri.005G252701.v4.1 transcript:Potri.005G252701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252701.v4.1 MALLVRERCRKAQCRNTEAMDQFWNLPDPIIHHNIFSFLEMDDIARIGVVSKRFRQMFVSSPYLNADGLLSQRNGMAIQRLCLDWYCRKNNCDADVNLIDKWISVAVNCRVQEIDLESEEKPICELPQCMYSSETFRVLDLDLYYKCVKEPAGFFVSLEELSLTPVVGSDSEDVLKKLISTACPSLKRSSLSDLKDRQN >Potri.010G003600.5.v4.1 pep chromosome:Pop_tri_v4:10:331432:332495:-1 gene:Potri.010G003600.v4.1 transcript:Potri.010G003600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003600.v4.1 MDRSSSSSSSSSNKEVVDAALHSFGFEFGEMSPQRVTGRLLVNPMCVQPFKVLHGGISALISESMASLGAHLASGLQRVAGIQLSINHVKSAHVGDLIVAEATPSSIGKTIQVWDVRIWKLSDPSNTESSKSLVSSSRVTLICNLPVPDHAKEAVENLRSQAKL >Potri.003G186500.2.v4.1 pep chromosome:Pop_tri_v4:3:19165969:19169432:1 gene:Potri.003G186500.v4.1 transcript:Potri.003G186500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186500.v4.1 MWAASCLASCCATCACHACTTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFMFFTILATMMVGVKNQKDPRDSLHHGGWMAKVVCWCILVILMFFLPNEIVSFYESISKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEKFWYVALFVVSLVCYVGTFAFSGLLFHWFTPSGQDCGLNTFFIVMTLIFAFVFAIVALHPAVNGSVLPASVISLYCMYLCYSGLASEPREYECNGLHRHSKAVSTGTLSIGLLTTVLSVVYSAVRAGSSTALLSPPSSPRAGADKPLLPLDNKANEQEEKEKACKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSIGESGKLVDVGWPSVWVRILTGWATAGLYTWSLVAPILFPEREF >Potri.003G186500.1.v4.1 pep chromosome:Pop_tri_v4:3:19165906:19169576:1 gene:Potri.003G186500.v4.1 transcript:Potri.003G186500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186500.v4.1 MWAASCLASCCATCACHACTTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEKLPWINHFHKTPDREWFETDAVLRVSLGNFMFFTILATMMVGVKNQKDPRDSLHHGGWMAKVVCWCILVILMFFLPNEIVSFYESISKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEKFWYVALFVVSLVCYVGTFAFSGLLFHWFTPSGQDCGLNTFFIVMTLIFAFVFAIVALHPAVNGSVLPASVISLYCMYLCYSGLASEPREYECNGLHRHSKAVSTGTLSIGLLTTVLSVVYSAVRAGSSTALLSPPSSPRAGADKPLLPLDNKANEQEEKEKACKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSIGESGKLVDVGWPSVWVRILTGWATAGLYTWSLVAPILFPEREF >Potri.T125504.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:94730:98379:1 gene:Potri.T125504.v4.1 transcript:Potri.T125504.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125504.v4.1 MACGSHESHVSDPFSSTPPQASPSASPSPQRNPKEHDQCFVCKRLGHWSKDCPNKTPPKSLVLSPGSSSSPSVQVPDLPVVRCPCGGGTCRVSTSNTVKNPGRKFYACPVDHRTSGSCGFFKWSDDIAARFKPPMCPCGAGSCSLNIVSSGPDRGRWYFACRIKKNHGACKFFQWADSEGNIMPNKHGDESKGYPARRYLFAVNNELCTEDNRSSDIELESTMVESVDNYPISSMDPPIRKDEVLVRDLVMQDSESCDIVAGTALEVPPPIPKPEIPCQEPEFSLQISAARHTKSEGTSPFDPVIEDVGDIEGLALLAGSSSNDGERDIQQGPFLQSRGC >Potri.T125504.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:94834:98306:1 gene:Potri.T125504.v4.1 transcript:Potri.T125504.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125504.v4.1 MACGSHESHVSDPFSSTPPQASPSASPSPQRNPKEHDQCFVCKRLGHWSKDCPNKTPPKSLVLSPGSSSSPSVQVPDLPVVRCPCGGGTCRVSTSNTVKNPGRKFYACPVDHRTSGSCGFFKWSDDIAARFKPPMCPCGAGSCSLNIVSSGPDRGRWYFACRIKKNHGACKFFQWADSEGNIMPNKHGDESKGYPARRYLFAVNNELCTEDNRSSDIELESTMVESVDNYPISSMDPPIRKDEVLVRDLVMQDSESCDIVAGTALEVPPPIPKPEIPCQEPEFSLQISAARHTKSEGVYFLGTSPFDPVIEDVGDIEGLALLAGSSSNDGERDIQQGPFLQSRGC >Potri.011G103700.2.v4.1 pep chromosome:Pop_tri_v4:11:13164813:13168407:-1 gene:Potri.011G103700.v4.1 transcript:Potri.011G103700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103700.v4.1 MATYTPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKDLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNVGTKKERRVIDVAKDICNLFSMDPDKSIKFVENRPFNDQRYFLDDQKLKILGWSEHTTWEEGLRKTIEWYTQNPDWWGDVTGALLPHPRMLMMPGGRHFDGSEENKDASYVSNNSNQARMVIPVTKVGTGSPRKPSLKFLIYGRTGWIGGLLGKLCEKQGISFEYGKGRLEDRSSLLSDIQNIRPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCREHNLLMVNFATGCIFEYDAGHPEGSGIGFTEEDKPNFIGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILEELLPISIEMAKRNLRGIWNFTNPGVVSHNEILDMYKNYIDPNFTWVNFNLEEQAKVIVAPRSNNELDASKLKNEFPELLPIKESLIKYVFEPNKRT >Potri.011G103700.1.v4.1 pep chromosome:Pop_tri_v4:11:13164813:13168447:-1 gene:Potri.011G103700.v4.1 transcript:Potri.011G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103700.v4.1 MATYTPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDIGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKDLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNVGTKKERRVIDVAKDICNLFSMDPDKSIKFVENRPFNDQRYFLDDQKLKILGWSEHTTWEEGLRKTIEWYTQNPDWWGDVTGALLPHPRMLMMPGGRHFDGSEENKDASYVSNNSNQARMVIPVTKVGTGSPRKPSLKFLIYGRTGWIGGLLGKLCEKQGISFEYGKGRLEDRSSLLSDIQNIRPTHVFNAAGVTGRPNVDWCESHKTETIRTNVAGTLTLADVCREHNLLMVNFATGCIFEYDAGHPEGSGIGFTEEDKPNFIGSFYSKTKAMVEELLREYDNVCTLRVRMPISSDLSNPRNFITKISRYNKVVNIPNSMTILEELLPISIEMAKRNLRGIWNFTNPGVVSHNEILDMYKNYIDPNFTWVNFNLEEQAKVIVAPRSNNELDASKLKNEFPELLPIKESLIKYVFEPNKRT >Potri.001G005700.2.v4.1 pep chromosome:Pop_tri_v4:1:390244:393963:-1 gene:Potri.001G005700.v4.1 transcript:Potri.001G005700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005700.v4.1 MEGLRTSSVSSIHIPTSISKTQFTKPKFLNFQFNPVPTSTFSRKTTSTSKLFTTMSSSPSFVSTPEPELEADSQAEKFDWYAHWYPVMPVCDLDKRAPHAKKVMGLDIVVWWDKNESSWRVFNNACPHRMAPLSEGRVDQWGRLQCVYHGWCFNGSGNCNFIPQAPTDGPPVSTSKKACVAVYPSTVHHDIVWFWPNTDPQYKDIILKKQPPFIPELDDPSYIKITGSRDLPYGYEVLIENLMDPAHVPYAHYGIMRTRQPKVKADREGGSPVELSIKKLDIDGFIGNQVQFGINKFIAPCISYADSSPVVDQGDDAIADTEKKPSMQRREALIFFCVPVSPGNSRLIWAIPKNYGVWIAKVPRFIHHSVTNIVLDSDLYLLHLEERKIMEIGASNWQKACFVPAKSDAFVVGFRKWLNKYAGGQVDWRGNYSGTLPPTPPREQLLDRYWSHVVNCPSCNSAYKGLNAVEVILQFASLAFIGIAGATKHNVYTMVAMAVVCFVGSKWLDQFIYKNFHYHDYDHAFR >Potri.012G032100.1.v4.1 pep chromosome:Pop_tri_v4:12:874765:879495:1 gene:Potri.012G032100.v4.1 transcript:Potri.012G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G032100.v4.1 MMGSEITRNQWEVNNDSKGHDLSSMVVLHGSHDHGGLAERGFCDAQVQRQPNGAAAAATTTDWNLGIGERVLSAAGAAFLSAIVVNPLDVVKTRLQAQAAGVAYSHPLSNIISRMAYFGPTMMFADLRCSPSCTRAGVHGTVLICPPDCFQYKGTLDVFYKIIRQEGFARLWRGTNAALALAVPTVGIYLPCYDLFRNWLEDLTAQNIPGATPYVPLVAGSLARSLACATCYPIELARTRMQAFKATQTGKPPGVWKTLLEVLSHVRSTNNVQNTRGYRFLWTGMGAQLARDVPYSAICWSTLEPIRRRLQSLVGEESNGLSVFGANFSASFVAGSLAAAATCPLDVAKTRRQIEKDPVRALRMTTRQTLMEVWRDGGIRALFTGVGPRVGRAGPSVGIVVSFYEVAKYALHHRYATSE >Potri.010G007900.1.v4.1 pep chromosome:Pop_tri_v4:10:1104320:1105230:1 gene:Potri.010G007900.v4.1 transcript:Potri.010G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007900.v4.1 MEITKFLGFSFLLFAFAATSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTATSKIICNSDVILSTLNESLPITFSPAIKSNDGVIREGSYLNVNFDAPSCRMGGVTTMWMIESEGLIVTTGGVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVGVNSDKHLAPNVGPLLVMFEPDAY >Potri.002G203200.3.v4.1 pep chromosome:Pop_tri_v4:2:16744168:16747778:1 gene:Potri.002G203200.v4.1 transcript:Potri.002G203200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203200.v4.1 MLSAKVRRKIAPANGDTDNSADKQDQLLLSAAIYNGEDLGPSVRKAFASGKPETLLHNLRHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSALSDSNSKLQSVAGPLLTSLDSYLEAQTVSHNVNLALSLIFSCIKLLELCSRSNYHLSRGNFYMALKCVDSIETDFLDKTPSSTLKRMLEKKIPDIRSHIERKVSKEFGDWLVEIRVVSRNLGQLAIGQASAARQREEDLRIKQRQAEEQSRLSLRDCVYALQEEEEEDGLSGVMGDDGKDGYGNGGGNGLLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDQILRTGGDLISRMKVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDALLDVLSKHRDKYHELLLSDCRKQIAEALAADTFEQMLMKKEYEYSMNVLSFQLQTSDIVPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLEFFDVVKKYLDRFLSEVLDEALLKLISTSVHGVSQAMQVAANMAVLERACDFFFRHAAQLSGIPLRMAERGRRQFPLNNARDAAEEMLSGLLKQKVDGFMTLIENVNWMADEPTQSGNEYVNEVMIYLETLVSTAQQILPAPVLKRVLQDVLSHISEMIVGALLGDSVKRFNVNAIMGIDVDIRLLESFADNQAALFSEGDANQLKTALAEARQLINLLLSNHPENFLNPVIRGRSYNTLDYRKVMTISEKLRDPSDRLFGTFGSRAARQNPKKKSLDTLIKRLKDVS >Potri.002G203200.4.v4.1 pep chromosome:Pop_tri_v4:2:16744469:16747774:1 gene:Potri.002G203200.v4.1 transcript:Potri.002G203200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203200.v4.1 MLSAKVRRKIAPANGDTDNSADKQDQLLLSAAIYNGEDLGPSVRKAFASGKPETLLHNLRHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSALSDSNSKLQSVAGPLLTSLDSYLEAQTVSHNVNLALSLIFSCIKLLELCSRSNYHLSRGNFYMALKCVDSIETDFLDKTPSSTLKRMLEKKIPDIRSHIERKVSKEFGDWLVEIRVVSRNLGQLAIGQASAARQREEDLRIKQRQAEEQSRLSLRDCVYALQEEEEEDGLSGVMGDDGKDGYGNGGGNGLLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDQILRTGGDLISRMKVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDALLDVLSKHRDKYHELLLSDCRKQIAEALAADTFEQMLMKKEYEYSMNVLSFQLQTSDIVPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLEFFDVVKKYLDRFLSEVLDEALLKLISTSVHGVSQAMQVAANMAVLERACDFFFRHAAQLSGIPLRMAERGRRQFPLNNARDAAEEMLSGLLKQKVDGFMTLIENVNWMADEPTQSGNEYVNEVMIYLETLVSTAQQILPAPVLKRVLQDVLSHISEMIVGALLGDSVKRFNVNAIMGIDVDIRLLESFADNQAALFSEGDANQLKTALAEARQLINLLLSNHPENFLNPVIRGRSYNTLDYRKVMTISEKLRDPSDRLFGTFGSRAARQNPKKKSLDTLIKRLKDVS >Potri.002G203200.5.v4.1 pep chromosome:Pop_tri_v4:2:16744496:16747756:1 gene:Potri.002G203200.v4.1 transcript:Potri.002G203200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203200.v4.1 MLSAKVRRKIAPANGDTDNSADKQDQLLLSAAIYNGEDLGPSVRKAFASGKPETLLHNLRHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSALSDSNSKLQSVAGPLLTSLDSYLEAQTVSHNVNLALSLIFSCIKLLELCSRSNYHLSRGNFYMALKCVDSIETDFLDKTPSSTLKRMLEKKIPDIRSHIERKVSKEFGDWLVEIRVVSRNLGQLAIGQASAARQREEDLRIKQRQAEEQSRLSLRDCVYALQEEEEEDGLSGVMGDDGKDGYGNGGGNGLLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDQILRTGGDLISRMKVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDALLDVLSKHRDKYHELLLSDCRKQIAEALAADTFEQMLMKKEYEYSMNVLSFQLQTSDIVPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLEFFDVVKKYLDRFLSEVLDEALLKLISTSVHGVSQAMQVAANMAVLERACDFFFRHAAQLSGIPLRMAERGRRQFPLNNARDAAEEMLSGLLKQKVDGFMTLIENVNWMADEPTQSGNEYVNEVMIYLETLVSTAQQILPAPVLKRVLQDVLSHISEMIVGALLGDSVKRFNVNAIMGIDVDIRLLESFADNQAALFSEGDANQLKTALAEARQLINLLLSNHPENFLNPVIRGRSYNTLDYRKVMTISEKLRDPSDRLFGTFGSRAARQNPKKKSLDTLIKRLKDVS >Potri.010G031125.1.v4.1 pep chromosome:Pop_tri_v4:10:4670880:4671359:-1 gene:Potri.010G031125.v4.1 transcript:Potri.010G031125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031125.v4.1 MQLFNEFSILSWNIRGVVNSQGKRNYRDLVYKYQPSLFVILETHALFARVEKLWASLGYFPAVIVESQGFFTTNIMDTTSQCAIIKVSLGGHHWFVSVVYDYLPTFTDTVQGAWALIEDFNEICPSAESCGELFS >Potri.014G195300.2.v4.1 pep chromosome:Pop_tri_v4:14:17462466:17466390:1 gene:Potri.014G195300.v4.1 transcript:Potri.014G195300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195300.v4.1 MDSDEGKLFIGGIAWDTTEETLRDHFNLYGEVSQVVIMRDKTTGRPRGFGFVVFSDPSLLDPVLQDKHTIDGRSVEAKRALSREEQHASSRSENFNSGRGSGGVGNFRTNKIFVGGLPPTLMEDAFRQYFQSYGHVCDVVLMYDQQTQRPRGFGFITFDTEDAVDNVLQKTFHELNGKLVEVKRALPKDANPGGEGRDGGYRGYGSSGANVSAADGRMDGNRYMQAQTSAGGYPPYSGFAAHSYGYGAANSGVGYYGNYGVGGYGGGNTGYGTGVYGMSSAVKNSWNSQAPSNYGASGYGANAGYGAAAPWIASGGGGPASAPTGQYPAGASVYGNQGYGYGDYGGSDGPYSGGYGAAGGHAGNAPNGSAGSKAGGGEQQGSGGGYEDSNGNSGYANEAWRSDPSQASGGYGGAYSR >Potri.010G154300.2.v4.1 pep chromosome:Pop_tri_v4:10:16371950:16376258:1 gene:Potri.010G154300.v4.1 transcript:Potri.010G154300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154300.v4.1 MDFWSYASEGKGLLFSDEIDLSADAFTRSRKASIGCDTEAAESAESLDLGFSEIPRKPFHGSKTGAGMFGGSCVGIDSSKLVVGSPNCMIASNSSMESRSNHSNSLMESNSQDSSLFDLKLGRLADCIDAQNSRFSKERFLLSSASPTTQAKRAPMASLRPRISFCQVYDCNKDLSSSKDYHKRHKVCEVHTKTPQVIVNGNEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPQFGTKFLGTSLAKRTSFLFPNMLPGGTFCPQSYEEDNWRKHVKLEENSIYSSPSAIPIRNGQLLPRSFLHLHGNRIQKTCGISPLDTEDLTISNTATTINELAGVSHSSCALSLLSAAESQDLSHSAGNIMVRPLVSQAGGACQTLGIANKSLGVGSSEKYVPIGINSFGMNFIEVDNMGPFGVSGSGHAADLKVETDGFLHHSDFLNAKHCVSPANESTVDWLQLSSHLQRVEQQRNSMKVKHENEDFCSFGATYRV >Potri.010G154300.4.v4.1 pep chromosome:Pop_tri_v4:10:16372016:16376329:1 gene:Potri.010G154300.v4.1 transcript:Potri.010G154300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154300.v4.1 MDFWSYASEGKGLLFSDEIDLSADAFTRSRKASIGCDTEAAESAESLDLGFSEIPRKPFHGSKTGAGMFGGSCVGIDSSKLVVGSPNCMIASNSSMESRSNHSNSLMESNSQDSSLFDLKLGRLADCIDAQNSRFSKERFLLSSASPTTQAKRAPMASLRPRISFCQVYDCNKDLSSSKDYHKRHKVCEVHTKTPQVIVNGNEQRFCQQCSRFHLLVEFDDGKRSCRKRLAGHNERRRKPQFGTKFLGTSLAKRTSFLFPNMLPGGTFCPQSYEEDNWRKHVKLEENSIYSSPSAIPIRNGQLLPRSFLHLHGNRIQKTCGISPLDTEDLTISNTATTINELAGVSHSSCALSLLSAAESQDLSHSAGNIMVRPLVSQAGGACQTLGIANKSLGVGSSEKYVPIGINSFGMNFIEVDNMGPFGVSGSGHAADLKVETDGFLHHSDFLNAKHCVSPANESTVDWLQLSSHLQRVEQQRNSMKVKHENEDFCSFGATYRV >Potri.019G085500.2.v4.1 pep chromosome:Pop_tri_v4:19:12481829:12484104:-1 gene:Potri.019G085500.v4.1 transcript:Potri.019G085500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085500.v4.1 MEELKSAMEAHLDMMADLVQKLSSELRSGLRPAIDNFIGFFHAIDWTEPWLMGLIGFHLVLLVLTVVSRKHINFQMCLFLVALAGVYLAERLNRVMGDYWRSFASQNYFDPHGLFLSVLWSGPLLIIATIILINCLFSLCYMIVRWKRAELRHRARLARESNKQD >Potri.019G061000.2.v4.1 pep chromosome:Pop_tri_v4:19:10111258:10112321:-1 gene:Potri.019G061000.v4.1 transcript:Potri.019G061000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061000.v4.1 MEGLESSDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAARAKKFRHVGIEPSLKNKFDRMYSNIVATRAFAWAPSSGVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGEKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.019G021950.1.v4.1 pep chromosome:Pop_tri_v4:19:3365078:3365263:1 gene:Potri.019G021950.v4.1 transcript:Potri.019G021950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021950.v4.1 MMISSFDSTCNGNPNRKRKSDQEKEGRKEEKKMPTFSCSWLFIHAMSTEAKRFLGSWVLDG >Potri.007G123400.2.v4.1 pep chromosome:Pop_tri_v4:7:14027626:14032379:-1 gene:Potri.007G123400.v4.1 transcript:Potri.007G123400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123400.v4.1 MGSSLSKSSSSASGLVDGNSKSLISLEGSNFAANGHIFLSDVPDNITLSPSLCTEKSISSGAGSFVGFDSKESKDRHVVPIGKLRNIKFASIFRFKVWWTTHWVGSNGRDLEHETQMVMLDKSDDSGRPYVLLLPLLEGPFRASLQPGDDDNVDVCVESGSTKVCGAGFRSVVYMHAGDDPYNLVKEAMKVVRMHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGIWEGVKGLVEGGCPPGLVLIDDGWQSISHDEDPITKEGMNATVAGEQMPCRLLKFEENYKFRDYASPKSLANGATEKGMGAFIKDLKEEFNSVDYVYVWHAFCGYWGGLRPNVPGLPPAQVVQPKLSPGLEMTMKDLAVDKILSTGVGLVPPEIVDQMYEGLHSHLEKVGIDGVKVDVIHLMEMVCENYGGRVDLAKAYFKALTASVRKHFKGNGVIASMQHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDAVGKHNFPLLKRLVLPDGSILRCEYHALPTRDCLFEDPLHDGNTMLKIWNLNKFTGVVGAFNCQGGGWCRETRRNQCASQFSHLVTAKTNPRDIEWSSGKNPVSIEGVQMFAMYLSQSKKLVLSKPDENIEIALEPFNFELITVSPVTILAGKSVHFAPIGLVNMLNTGGAIQSLAYTDDAKSTVRIGIKGSGEMRVFASEKPRACKIDGREVAFEYEEHMITTQVPWSSLSGLSIVEYLF >Potri.007G123400.1.v4.1 pep chromosome:Pop_tri_v4:7:14027594:14031819:-1 gene:Potri.007G123400.v4.1 transcript:Potri.007G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123400.v4.1 MGSSLSKSSSSASGLVDGNSKSLISLEGSNFAANGHIFLSDVPDNITLSPSLCTEKSISSGAGSFVGFDSKESKDRHVVPIGKLRNIKFASIFRFKVWWTTHWVGSNGRDLEHETQMVMLDKSDDSGRPYVLLLPLLEGPFRASLQPGDDDNVDVCVESGSTKVCGAGFRSVVYMHAGDDPYNLVKEAMKVVRMHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGIWEGVKGLVEGGCPPGLVLIDDGWQSISHDEDPITKEGMNATVAGEQMPCRLLKFEENYKFRDYASPKSLANGATEKGMGAFIKDLKEEFNSVDYVYVWHAFCGYWGGLRPNVPGLPPAQVVQPKLSPGLEMTMKDLAVDKILSTGVGLVPPEIVDQMYEGLHSHLEKVGIDGVKVDVIHLMEMVCENYGGRVDLAKAYFKALTASVRKHFKGNGVIASMQHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDAVGKHNFPLLKRLVLPDGSILRCEYHALPTRDCLFEDPLHDGNTMLKIWNLNKFTGVVGAFNCQGGGWCRETRRNQCASQFSHLVTAKTNPRDIEWSSGKNPVSIEGVQMFAMYLSQSKKLVLSKPDENIEIALEPFNFELITVSPVTILAGKSVHFAPIGLVNMLNTGGAIQSLAYTDDAKSTVRIGIKGSGEMRVFASEKPRACKIDGREVAFEYEEHMITTQVPWSSLSGLSIVEYLF >Potri.001G304700.1.v4.1 pep chromosome:Pop_tri_v4:1:31434066:31434821:1 gene:Potri.001G304700.v4.1 transcript:Potri.001G304700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304700.v4.1 MATAAPTESVQCFGRKKTAVAVTHCKRGRGLIKINGSPIELVEPEILRFKAYEPILLLGRHRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCETKKFGGRGARARFQKSYR >Potri.002G058000.1.v4.1 pep chromosome:Pop_tri_v4:2:3982094:3983427:-1 gene:Potri.002G058000.v4.1 transcript:Potri.002G058000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058000.v4.1 MALNFSASSLSHVSSSTTGPQFLIPAHKHLLKLYPSSFSCTKTSAQSEGAASGVTEQDPSSFSGSLSSTRTQLDLLEQLTSATPSADGYESDGGSGKLTIREQLAQLVGEGDNDFSIPLGKKNLKKVSAKFLTVSQKRNIRRQAYLNQVSQRNDSVFFATIGAFIILPPIIILGIAILTGYVQLFP >Potri.011G005050.1.v4.1 pep chromosome:Pop_tri_v4:11:411761:412212:1 gene:Potri.011G005050.v4.1 transcript:Potri.011G005050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005050.v4.1 MSLISGANPSPRSCSSPSPILSGTRRLSPANSIRFSPPMQLHEHDNPRRLHFLQGAIFKFNSQSIPCFLE >Potri.015G098300.2.v4.1 pep chromosome:Pop_tri_v4:15:11863890:11867517:1 gene:Potri.015G098300.v4.1 transcript:Potri.015G098300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098300.v4.1 MLQAKSSSTGSVGNWGPVIVAVVLFVLLTPGLLFQIPGKSRVVEFGNMQTSGASIAVHAIVFSGLITIFLVAIGVHIYAAK >Potri.014G099900.1.v4.1 pep chromosome:Pop_tri_v4:14:6570616:6571473:1 gene:Potri.014G099900.v4.1 transcript:Potri.014G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL14 MEQPPYTEDDPTTNSPEAATAKTHQNSETPRGSGTRNPVYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAAYCLKGCKAQLNFPDEVDDLPRPSTCTARDIQAAAAKAAHSVLLPTKKSIETNSDNSVDGEVADDDFWGEIELPELLTSNSGCCWNSCGWSTTFASDSSTWQQDGEGLPQFMACLY >Potri.007G122301.1.v4.1 pep chromosome:Pop_tri_v4:7:13963633:13964938:-1 gene:Potri.007G122301.v4.1 transcript:Potri.007G122301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122301.v4.1 MAIQKLFAVCFLQLVFAFLLAGLTNAGGLQLGFYQRACPDAELIVHQTLYRYVSRDRTLAAPLLRMHFHDCFIRGCEGSVLLSSTKNNQAEKDAIPNKTLRGFNVIDAVKSALEKKCPGVVSCADILALVARDAVLMIGGPRWDVPTGRRDGRVSIANEALFNLPSPFANITVLKQQFAATGLSVKDLAVLSGGHTIGIGHCTIISNRLYNFTGKGDTDPSLDPRYAAQLKNKCKPGNSNTVVEMDPGSFKSFDEDYYNIVAKRRGLFRSDAALLDDAETRGYVKFQSMTQGSTFAQDFAESMVKMGYIGVLTGEQGEIRKHCAVVN >Potri.002G257700.1.v4.1 pep chromosome:Pop_tri_v4:2:24609397:24611589:1 gene:Potri.002G257700.v4.1 transcript:Potri.002G257700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257700.v4.1 MARNLVFILALTSLYIGLAAADWNILNKKSKNRDASSLKNYCESWRINVELNNIKDFEVVPQECVDHVKHYMTSSQYTADSERAIEEVRLYLSSCCTLEGDGKDAWIFDVDDTLLSTIPYYKKHGFGGEKLNATLFESWMKQGKAPALDHTLKFFHEIKGKGVKIFLISSRSETLRSATVENLINAGYHGWSSLILRGLEDDFMKVQQYKSEARRALTKEGYRIWGIIGDQWSSVEGLPGAKRTFKLPNSMYYLS >Potri.002G244900.1.v4.1 pep chromosome:Pop_tri_v4:2:23628480:23629611:1 gene:Potri.002G244900.v4.1 transcript:Potri.002G244900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244900.v4.1 MRTYIIKSLFKHPFTFSITIRSQTTSAQYVASRARDATFEKLMDKYKNFVKVIAIQDLILSNPNKTPPCISLDFLSKLSQKLHLNRGAPSILRKYPHIFHIFYDPAKSQAFCRLTDTALEISRKEEEAVNASLPLVVDRLVRLLFMSTSKSLPLRAVFKVWRELGLPDDFEDSVIVKNPNLFRLCDGNEPRTHVLKLVGEFPDNHFTAAVENWRVTECCREDCSVDRMDIRYSFKHVYPPGMRLSKTFRAKAGLKGLEKRAVAIVHEFLSLTVEKMVEVEKISHFRNCFGIDMNIRDLFLDHPGMFYLSTKGKRHTVFLREAYERGRLIDPNPVYDVRRKLLILFFWGAMIC >Potri.002G244900.2.v4.1 pep chromosome:Pop_tri_v4:2:23628203:23630391:1 gene:Potri.002G244900.v4.1 transcript:Potri.002G244900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244900.v4.1 MRTYIIKSLFKHPFTFSITIRSQTTSAQYVASRARDATFEKLMDKYKNFVKVIAIQDLILSNPNKTPPCISLDFLSKLSQKLHLNRGAPSILRKYPHIFHIFYDPAKSQAFCRLTDTALEISRKEEEAVNASLPLVVDRLVRLLFMSTSKSLPLRAVFKVWRELGLPDDFEDSVIVKNPNLFRLCDGNEPRTHVLKLVGEFPDNHFTAAVENWRVTECCREDCSVDRMDIRYSFKHVYPPGMRLSKTFRAKVKEWQSLPYLGPYEDLMEKKKKT >Potri.001G454200.4.v4.1 pep chromosome:Pop_tri_v4:1:48045780:48057506:1 gene:Potri.001G454200.v4.1 transcript:Potri.001G454200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454200.v4.1 MATPSSAPPPQPPIIRTWRTAFLTLRDETLTTRSPKSESKSLPQLLHDLVFSSPTLLPAASDLPSHEITSDLIFLLELVANSSQDFTSVYPHISHLVYDVCQRQRVSLQLNSNSWSVALDSYAKMLQFFFGKAGTANVSLAVECIETVRYFVSEYQQKCLLSDNVQLVKFLLRIVDCSHAQLVSSSYSSGNQRSAGATGKGVSKYSSLWEVYTVMFTMLGEVFEKVGSSLSADVWQSTIEVLRKVMDALAINNSLSEDIVMSRFYSSLLHCLHLVLVDPKGSLHDHVSGFVATLRLFLIYGINSRQQFTASPTVNKEKELSLASLKLNSKEPIRKDNTPYRPPHLRKKDSVYMKQPKAQDSLCLSDHESCATDFMSSDSDCSDSDVSGKDTDGIQSSKVRVAAIECIQDLCQADPKSFTAQWTMLLPTNDVLQQRKFEATLMTCLLFDPYLKVRIASASTVVVMLDGPSSVFLQVAEYKESTKWGSFMALSSSLGRILMQLHTGILHLIQRETHSRLLASVFKILMLLISSTPYSRMPKELLPRAIASLLEKAENGFPFKSNQTGLLASTISCLTAAFSTSPSSPQVKQMLLEEISTGAVEAEKRSGVIFTIFRLSEQLTNPTICFETLQTLRAVLHSYPNIASACWERVSIIVSKISRAASLEAPMRTWKGHAGDTVGFIGEKIVTAAIKVLDECLRAISGFKGTEDILDDKLLDTPFTSDFVRMKKVSSAPSYEPESAEDTKDEQKTYHSGSEHWSEAIEKHIPMTLRHTSPMVRTAAITCFAGITSSVFFSLAKEKQEFIVSSLINAVNDGVPSVRSAACRGVGVISCFLQVPLRDP >Potri.001G454200.1.v4.1 pep chromosome:Pop_tri_v4:1:48045809:48057525:1 gene:Potri.001G454200.v4.1 transcript:Potri.001G454200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454200.v4.1 MATPSSAPPPQPPIIRTWRTAFLTLRDETLTTRSPKSESKSLPQLLHDLVFSSPTLLPAASDLPSHEITSDLIFLLELVANSSQDFTSVYPHISHLVYDVCQRQRVSLQLNSNSWSVALDSYAKMLQFFFGKAGTANVSLAVECIETVRYFVSEYQQKCLLSDNVQLVKFLLRIVDCSHAQLVSSSYSSGNQRSAGATGKGVSKYSSLWEVYTVMFTMLGEVFEKVGSSLSADVWQSTIEVLRKVMDALAINNSLSEDIVMSRFYSSLLHCLHLVLVDPKGSLHDHVSGFVATLRLFLIYGINSRQQFTASPTVNKEKELSLASLKLNSKEPIRKDNTPYRPPHLRKKDSVYMKQPKAQDSLCLSDHESCATDFMSSDSDCSDSDVSGKDTDGIQSSKVRVAAIECIQDLCQADPKSFTAQWTMLLPTNDVLQQRKFEATLMTCLLFDPYLKVRIASASTVVVMLDGPSSVFLQVAEYKESTKWGSFMALSSSLGRILMQLHTGILHLIQRETHSRLLASVFKILMLLISSTPYSRMPKELLPRAIASLLEKAENGFPFKSNQTGLLASTISCLTAAFSTSPSSPQVKQMLLEEISTGAVEAEKRSGVIFTIFRLSEQLTNPTICFETLQTLRAVLHSYPNIASACWERVSIIVSKISRAASLEAPMRTWKGHAGDTVGFIGEKIVTAAIKVLDECLRAISGFKGTEDILDDKLLDTPFTSDFVRMKKVSSAPSYEPESAEDTKDEQKTYHSGSEHWSEAIEKHIPMTLRHTSPMVRTAAITCFAGITSSVFFSLAKEKQEFIVSSLINAVNDGVPSVRSAACRGVGVISCFLQVPLSAEILDKFIHAVEINTRDPLVSVRITASWALANICDSLRHCIDEFPLKKYTGSNTNPQLVAFLTECALRLTDDGDKIKSNAVRALGNLSRFVKCTNSSGVHDKPVGYLDSSSDKIEMLSERSSLQHASNYRYPTSLGDSHLLEKMVQAFLSCVTTGNVKVQWNVCHALSNLFLNETLRLQDMDWAPSVFSVLLLLLRDSSNFKIRIQAAAALAVPASAFDYGNSFSDVVQGLEHILENLGSDQISAPSNFKYRVALEKQVTATMLHVLGLASSTDHQPLKDFLVKKAPFLEEWFKGLCSSLWETGSQSEAGSSIGDQKKHMISKAIQSLIEVYESRNHQLVAQKFEELSNSIQL >Potri.017G026000.2.v4.1 pep chromosome:Pop_tri_v4:17:1713311:1714920:1 gene:Potri.017G026000.v4.1 transcript:Potri.017G026000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026000.v4.1 MPKQIHEIKDFLLTARRKDARSVKIKRSRDVVKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGLSVQDL >Potri.011G043300.1.v4.1 pep chromosome:Pop_tri_v4:11:3375512:3379679:-1 gene:Potri.011G043300.v4.1 transcript:Potri.011G043300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043300.v4.1 MQTRYVDRSNSMAAAPAREKRGLDSSTGGDEGQPERKRPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALAKIGPAKLTGRSSPKCIEGPGGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHIVLIDGNTGHVVTSGPESSVKLDVIVLEGDFNNEDDDNWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELIFTDNSSWIRSRKFRLGLKVASGCCEDIRIREAKTDAFTVKDHRGELYKKHYPPALNDDVWRLEKIGKDGSFHKRLTKAGIYSVEDFLRLVVRDPQRLRTILGSGMSNKMWDSLVEHAKTCVLSGKHYIYYPEDAKNVGIVFNNIYEFSGLIANGEYYSSGSLSDNQKVYVDSLVKKAYDNWMHVIEYDGKSLLDFKQNQGIVASQNDVPSSQQEFLNSYDHQVTLPIMSVPVPSEQPVMDSGPIVGGYNNDMAARFSIHSQNGNLNTPFQFDAASITLQNPMVNTSQQIQVPGTDNLLALAPPQSSMSGFQSFGTLNLNSYGGTEDYFSEEEIRTRSHEMLENEDMQNLLRGFHMGGQGPSSFNVTEDGYPYSSYMPCPSPSYCFEDDPSRSSGKAVVGWLKLKAALRWGIFVRKKAAERRAQLVELDDS >Potri.001G145300.1.v4.1 pep chromosome:Pop_tri_v4:1:11984447:11991779:-1 gene:Potri.001G145300.v4.1 transcript:Potri.001G145300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145300.v4.1 MATINNMSTIMCRDHRILSSSSKLSDVFMLESRLRKRCFFQNPNFTVRSMKVREQNQTANLVSSNGPLTASDKVNSPLELLTNNQTLVKEKTDPISRRKTKIVCTIGPSTSSREMIWKLAEAGMNVARLNMSHGDHASHKITIDLVKEYNAQSDDNVIAIMLDTKGPEVRSGDVPQPIILEEGREFNFTIKRGVSSEDTVSVNYDDFINDVEVGDMILVDGGMMSLAVKSKTNDLVKCVVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAEVVHELKDYLKSCNADIHVIVKIESADSIPNLHSIISASDGAMVARGDLGAELPIEEVPLLQEDIIRRCHSMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKYPLKAVKVMHTVALRTESSLPVNTTAPTHNVYQSHMGEMFAFHATIMANTLNTPIIVFTRTGSMAIHLSHFRPSSTIFAFTNEERIKQRLVLYQGVKPIYMQFSDDAEETFSRALKLLLNKGQLMEGQHVTLVQSGAQPIWRRKSTHHIQVCKVQS >Potri.007G140400.1.v4.1 pep chromosome:Pop_tri_v4:7:15072708:15077389:-1 gene:Potri.007G140400.v4.1 transcript:Potri.007G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140400.v4.1 MASPDVDSPWLCAASESLGGCFNTQIEEVKSTNVLNVLEAPTSSVYDTRNIPKPPPYPNTTSKTTSHCKRISASCSVPKETKDTWDRLFKEAYGSDVYIITESNSYIPAHCNVLSTASPVLGTILQRSKVKNGIRYISILGVLCEAVHVFIRFLYSSCYEEDEMKKFVLHLLVLSHSYSVPSLKRFCIDLLEQDCLTRENVIDVLQLARSCDAPRLSLICVRMVVKDLKSVSSTEGWKVMRRANPALEQELVESVVEADSRKQERLRKIEERKVYLQLYEAMEALLHICRDGCRTIGPSDKMLKGSQVACNFPACKALESLVRHFSKCKSRVPGGCIHCKRMWQLLELHSRMCDEPDSCKVPLCRHFKEKMQQQTKKEEAKWKLLVSKVIAAKNALGPFSARRIDLF >Potri.017G078400.1.v4.1 pep chromosome:Pop_tri_v4:17:8681412:8683636:1 gene:Potri.017G078400.v4.1 transcript:Potri.017G078400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078400.v4.1 MAVGKAKLIFAITLATLIPGLLADIAFFDEVWQKRAEEAKKVTLNSYIPNPEDATDDFNFEVNKYALARNSSLKPDIVDDFGSANSTLVDFDDDRNDAGFELHANSTRRNLKQGKKKYLGPCKVTNPIDKCWRCRRNWARNRKRLAKCALGFGRRATGGLKGRVYVVTENSDDDVMNPKPGTLRHAVIQKGPLWIIFSKNMNIRLSKELIMTSHKTIDGRGHHIHIAYGAGITIQFIQNVIIHGIRIHHIVATSGGNIRDSVEHYGIRTNSDGDGISIFGSSNIWIDHVSMSRCTDGLIDAIMGSTAITISNSHFTHHNDAILLGASDSFSGDELMQVTVAFNHFGQGLVQRMPRCRWGFFHVVNNDYTHWRMYAIGGSKHPTIISQGNRFIAPPELHLKQVTKRDYATESEWKTWTWRSENDLMMNGAFFIESGKPRTKRPHKKFMITAKPGSLATRMTLFAGALDCKSGRKC >Potri.018G008900.1.v4.1 pep chromosome:Pop_tri_v4:18:643520:645155:1 gene:Potri.018G008900.v4.1 transcript:Potri.018G008900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008900.v4.1 MPSPSSSHVVIFPFMAQGHTLPLLYLSKALSRQQIMVTIITTPSNATSIAKTIANHPKISLVEIPFPTIDGLPKDCENTSQLPSMEFHLPFLHATKQLQKPFEQVLQTMLESKTPPICVISDFFLGWTLASCQAFGVPRLVFHGLGILSMAIIKSSWFHAPQLESVSMFDPLDLPGMKLPFTLTRADLPGSTNLPEHDDKFYQFIQEVGEADVKSWGVIVNSFEELEKSHIQAFESFYINGAKAWCLGPLCLYEKMGSDKSTNQDHSCTLTQWLTEQVTPDSVIYVSFGTQADVSDSQLDEVAFALEESGSPFLWVVRSKTWSLPTGLEEKIKNRGLIVREWVNQRQILSHRAIGGFLSHCGWNSVLESVSAGVPILAWPMIAEQSLNAKFIVDGLGAGLSVEGVQNQVSKILVSRQAICEGVEELMGGSKGRIAKERAQALGRVAGRAVQKGGSSHDTLNKLIDQLRVSM >Potri.003G161400.2.v4.1 pep chromosome:Pop_tri_v4:3:17121460:17126365:1 gene:Potri.003G161400.v4.1 transcript:Potri.003G161400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161400.v4.1 MLPPEIQSRSFRPYIAASISSPSFASSSFPSASPYSPNQNPNRNSHFPSPSTSSSRSRFSASSFAHNSRIALALVPCAAFLLDLGGAPVVATLTLGLMIAYILDSLNFKSGAFFGVWASLIAAQVAFFFSSSSIFTFNSIPLGLLAALLCAQTNFLIGAWASLQFKWIQLENPSIVIALERLLFACVPFAASSIFTWAATAAVGMQHAAYYLMILNCVFYWMFAIPRTSSFKAKQEVKYHGGEVPDDNFILSPLEGCFHTLNLLFFPLVFHVASHYSVIFSSAASVCDLLLLFFIPFLFQLYASTRGALWWVTKNANQLHSIRVVNGAVALIVVVICLEFRVVFHSFGRYIQVPSPLNYLLVTVTMLGGAAGAGASALGMISDAFSSAAFTALAVIVSSAGALVVGFPVLFLPLPAVAGFYFACFVTKKSLPSYFAFFVLGSLMVTWFVLHNFWDLNIWLSGMPLRSFCKLIVANVILAMAVPGLALLPLKLHFLAEIGLISHALLLCHIENRFFNYPGLYFYGMEEDVMYPSYMVILTTFVGLALVRRLSADHRIGPKAVWILTCLYSSKLSMLFISSKPVVWVSAVLLLAVTPPLLLYKEKSQTGSKMKPWQGYVHAGVVALSVWFFREAIFEALQWWNGRAPSDGLLLGFCIALTGLACVPIVALHFSHVLSAKRCLVLVVATGLLFILMQPPISIAWTYRSDIIRAARQSSDDISIYGFMASKPTWPSWLLIVAILLTLAAVTSIIPIKYVVELRTFYSIAIGFALGVYISAEYFLQAAVLHALIVVTMVCTSVFVVFTHFPSASSTKLLPWFFALLVALFPVTYLLEGQVRIKSILGDEVGDLAEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEVKFEVASLTREKALERGGIRHSQASQSSSSNFAPRMRFMQQRRASTVPTFTIKRMAAEGAWMPAVGNVATIMCFAICLILNINLTGGSNQAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVAISAYLVLTSLYSIWEDTWHGNTGWGIEIGGPDWFFAVKNLAILILTFPSHILFNRFVWSYTKQTNSSPLITLPLNLPSIIISDIMKIRILGCLGIVYTIAQTLVSRQQYISGMKYI >Potri.001G049900.1.v4.1 pep chromosome:Pop_tri_v4:1:3768058:3771351:1 gene:Potri.001G049900.v4.1 transcript:Potri.001G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049900.v4.1 MMMRMSSSSFPLSLNPKPFHPHKQSHSTHSPLQFSKHTTVLPLSRSTLSKPHYICFSSNPDREESRWLREEQRWLREEERWLREEKRWSCDRESLLAQIQSLKLQIEALENRISVLQGGEDTVAKVGLLLQVLKDKNNNNLIAESGSSARPLVLEENVVEEQKEVIDRVLEEKKERKTLRKGSEGEQVKEMQDALQKLGFYSGEEDMEYSSFSSGTERAVRTWQASLGASEDGIMTTELLKRLYMEQHIDARMPSISETQKGSAQTVPAEEGADGAAVTSVTEISEIHQKVVKEEVTEVDVSHHRVFLLGENRWEEPSRLNGRKKQVSGSKTKDSTKQCLTCRGEGRLLCTECDGTGEPNVEPQFLEWVGEGANCPYCEGQGYTICDVCAGKTTI >Potri.010G218800.3.v4.1 pep chromosome:Pop_tri_v4:10:20495714:20498391:1 gene:Potri.010G218800.v4.1 transcript:Potri.010G218800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218800.v4.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGAK >Potri.010G218800.2.v4.1 pep chromosome:Pop_tri_v4:10:20495591:20498394:1 gene:Potri.010G218800.v4.1 transcript:Potri.010G218800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218800.v4.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGAK >Potri.010G218800.4.v4.1 pep chromosome:Pop_tri_v4:10:20497031:20498187:1 gene:Potri.010G218800.v4.1 transcript:Potri.010G218800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218800.v4.1 MDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGAK >Potri.008G167800.1.v4.1 pep chromosome:Pop_tri_v4:8:11607049:11617352:1 gene:Potri.008G167800.v4.1 transcript:Potri.008G167800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167800.v4.1 MESTGCWFNRLKSKDKLKSAKQKDTTCNGKEGTKAPNSEEAPSNVTKQKVAAAKQFIENHYKKQMKDLQERQERRNVLEKKLADAEVSEEEHNNLLKFLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRVCREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSTLQEKDFSAANKLSGALQSDGRPAVPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSDEPMSTCRKIVNWRDHLKFPEEAKLSSEAKDLISKLLCNVDQRLGTKGADEIKAHPWFKGIEWDKLYQIKAAFIPEVNDELDTQNFEKFEEADDQIQTSAKSGPWRKMLSSKDINFVGYTYKNFEIINDHQLPGIAELKKKSTKSKRPSIKSLFEDESAEPPNEPVKGSFLSLLPPKLDSSEQSGNPNKLF >Potri.008G167800.5.v4.1 pep chromosome:Pop_tri_v4:8:11607049:11617406:1 gene:Potri.008G167800.v4.1 transcript:Potri.008G167800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167800.v4.1 MESTGCWFNRLKSKDKLKSAKQKDTTCNGKEGTKAPNSEEAPSNVTKQKVAAAKQFIENHYKKQMKDLQERQERRNVLEKKLADAEVSEEEHNNLLKFLEKKETEYMRLQRHKMGADDFEPLTMIGKGAFGEVRVCREKSTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDEEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRNGHMKLSDFGLCKPLDCSTLQEKDFSAANKLSGALQSDGRPAVPKRTQQEQLQHWQRNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGFPPFYSDEPMSTCRKIVNWRDHLKFPEEAKLSSEAKDLISKLLCNVDQRLGTKGADEIKAHPWFKGIEWDKLYQIKAAFIPEVNDELDTQNFEKFEEADDQIQTSAKSGPWRKMLSSKDINFVGYTYKNFEIINDHQLPGIAELKKKSTKSKRPSIKSLFEDESAEPPNEPVKGSFLSLLPPKLDSSEQSGNPNKLF >Potri.005G112800.1.v4.1 pep chromosome:Pop_tri_v4:5:8172035:8173132:-1 gene:Potri.005G112800.v4.1 transcript:Potri.005G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112800.v4.1 MAEEQVAATKEERSTGRVVRFSDKKGFGFIKPDAGDKDLFVHHSAIKSDGGYRTLYEDDVVEFTILLNDDKYQAVDVTAPGGGPIKRRTTFSGGFNRRNSNTNGGGCFNCGNPGHIARECNNNSSKSYNYNNNNNRTGGGGGDFGCYKCGNSGHFARECTKGNNNNGCYSCGGFGHVARDCPGGSGACYNCGGHGHLARDCTSARGSGGGRFGSGNTGGCFNCGKDGHFARDCPE >Potri.002G129900.2.v4.1 pep chromosome:Pop_tri_v4:2:9852816:9855989:1 gene:Potri.002G129900.v4.1 transcript:Potri.002G129900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129900.v4.1 MGEETCEDCRSWEEELYWTHFQCTHFSQILDAGFGHHLPIPEKFSNHLKKKLPENVILKGPSGGTWQVELTTDDDTMFFKNGWEEFVKDHFLEKDLLIFKYNRESCFEVLIFDGQSLCEKAASYFVRKCGHRERDSFVHAKRKTVEDSAEVTIACPQDSLGGTAEKSANDYIYKTPVENTVISEAINKKTRREIKFSKPTQTRQSVRYEEPSSTDEETETKPDVEHIPINAPYVSSRRMVTEEDKLNALRLAQTAQSNEGFVVVMKPTHVYRKFYMVIPSGWANRYFRTLEKKDVILRMKENTRNTKFLYCKSKNSGGLSSGWRNFALDNKLQEFDVCLFEPSSAVNNSIVFDVSIFRVL >Potri.001G211600.2.v4.1 pep chromosome:Pop_tri_v4:1:21617315:21621039:-1 gene:Potri.001G211600.v4.1 transcript:Potri.001G211600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211600.v4.1 MNKRSVCGWVVAILCFIILMVVTPAIPQSQEYHNFADQRDLFFGIPNTLNVVSNFPFLVIGVIGLVLCHYRNYFQLRLPGEVWGWTCFFVGVAAVAFGSGYYHLKPDDDRLVWDRLPMTVAFTSIVAIFILERIDERKGTVSIIPLLLAGVISIAYWRFFDDLRPYALVQFVPCIAIPLMAILLPPMYTHSLYWLWAAGFYLLAKVEEAADKPIYKWTHHIVSGHTLKHLCAAMVPVFLTLMLAKRSIEIERISLFQTWKISWTRIRKNDSEVENYSSTYTSVPVVETP >Potri.019G089532.1.v4.1 pep chromosome:Pop_tri_v4:19:12810686:12812639:-1 gene:Potri.019G089532.v4.1 transcript:Potri.019G089532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089532.v4.1 MAATYCFYALHSPKPPPPKSLRNTFSRNGLSLERGKPKLSGSTVGCRMPLKPLSASLHFGTFYSKKKKVSLDGVQEEELQFETHFDASVPLPPLTIPPKPSKSVHYPQEFLSRVMAMAAAVLKISELLKTSNGSGGGGGGEKDVDGDGSADADSPPPRAASLVFSTRFASREKISLSGYVKDTQFELHCNVSAFLFGAKFSKFLKWVFFNPVVVQAIIATITKIRGGDGSVHGGRGSLGAAERVMHPRVKLRTSLDFQTFVFKKEKIMLAGHDKYIVRGGKDVFLLLPDAFQGIKQIGVVGWVSQGSVQAWNLRDALAEAKCDIKVKVGLEKDSCSFADARAAGFTEESDTLGVIWETISGSDLVLLLISNAAQVCLLINPSV >Potri.005G120400.1.v4.1 pep chromosome:Pop_tri_v4:5:8913983:8919533:1 gene:Potri.005G120400.v4.1 transcript:Potri.005G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120400.v4.1 MTPTTTTLPKPTSKSLLRGPSMLNQAKPISFLRFPASKSDFFGLKNKALSKTMTELVRISVFRASYGGNSEESTGSFQQGDVIHGPNKFQSVLLAGGLEATLNCLSKWLVVTFFGAVILGRHDAKAMWAALGLVVNSILSVILKRTFNQERPDSTLRSDPGMPSSHGQSIFFTLVFAILSVGEWLGVNEFTLILSAFMLAFGTYLTWLRVSQGLHTINQAVVGAAVGSIFSILWFWSWDAFVQKAFISSLWVRMVVVMGAAVFCLAFLVYVIGYWFNDD >Potri.016G072800.2.v4.1 pep chromosome:Pop_tri_v4:16:5408717:5409938:1 gene:Potri.016G072800.v4.1 transcript:Potri.016G072800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072800.v4.1 MSTNKKKHLFNTVSVNLGCSSCKKPKLSNIFQPKPKLQTPTYRKHKKDLYCSSSSTSSTKITTNHSRNDHEYHDTPSTFSPSMDTPPYFFSDTDNSGTCSRAVRGFGRVGGESVAVEKDSDDPYLDFRHSMLQMILEKQIYSKDDLRQLLDCFLQLNSPYYHGIIVRAFTEIWNGVFSVRSNTTTGSEKQLHYYYGC >Potri.016G072800.3.v4.1 pep chromosome:Pop_tri_v4:16:5408712:5409899:1 gene:Potri.016G072800.v4.1 transcript:Potri.016G072800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072800.v4.1 MSTNKKKHLFNTVSVNLGCSSCKKPKLSNIFQPKPKLQTPTYRKHKKDLYCSSSSTSSTKITTNHSRNDHEYHDTPSTFSPSMDTPPYFFSDTDNSGTCSRAVRGFGRVGGESVAVEKDSDDPYLDFRHSMLQMILEKQIYSKDDLRQLLDCFLQLNSPYYHGIIVRAFTEIWNGVFSVRSNTTTGSEKQLHYYYGC >Potri.001G269200.1.v4.1 pep chromosome:Pop_tri_v4:1:28386410:28393667:-1 gene:Potri.001G269200.v4.1 transcript:Potri.001G269200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269200.v4.1 MGSVDKKFRVNFSEDGAALLRDRVSEKLKEFMGDYTDDVLVEYVIVLLRNGRDKEEARNELNVFLGDDSDSFVSWLWDHLATNLDMYVQPPETHADEVARTNPTLIEQTGGNESHQLDSEHENVKPDNSSRGRHKREWKGVARDVNQPPPLRSSVVDNIHLEEKTHGKASRARRSPSPQPPQEQKRSRHDEQQHVKQRDAVSQATSGAPRRLLQFAVRDAVRTLRPSGSVKEPSRKRLRSVVSASTEDTSLVDRPRRLQSIARVPNPMATVLKAVREAAEDVVKVKSSGSVFDRLGRDMDASLITEQVAEFRDHAVEDDEYEDFNEIQEQTHSNYPRRSKYCGRAGTTNMTGHEAGLTTGLMSDYEVYDDSSPVGHRVMDVSQTGTYLGSKGKDSLMSNYNVAKDQDQSVSAANTSRKIVNISVNVNTWRPPHYQESRDTVMDNLKSVQDNEADAGSFGAQLMKEISNPVSVSNGNVKPAGDIQQEPQKPPSSASGSYTAGRPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGEVLKVVLVTDAATGQPTGSAYVEFMRKEAADNALSLDGTSFMSRIVKVMKRSSSNQEASPVMTWPRISRGSSYAAGRFARTPFPRGTPIFRPRLYVKPGARSLQWKRDAQGSPAESSAAFSGSSVVSPSARSLTYVRTEPKPDGNSGTT >Potri.001G269200.3.v4.1 pep chromosome:Pop_tri_v4:1:28386493:28393643:-1 gene:Potri.001G269200.v4.1 transcript:Potri.001G269200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269200.v4.1 MGSVDKKFRVNFSEDGAALLRDRVSEKLKEFMGDYTDDVLVEYVIVLLRNGRDKEEARNELNVFLGDDSDSFVSWLWDHLATNLDMYVQPPETHADEVARTNPTLIEQTGGNESHQLDSEHENVKPDNSSRGRHKREWKGVARDVNQPPPLRSSVVDNIHLEEKTHGKASRARRSPSPQPPQEQKRSRHDEQQHVKRDAVSQATSGAPRRLLQFAVRDAVRTLRPSGSVKEPSRKRLRSVVSASTEDTSLVDRPRRLQSIARVPNPMATVLKAVREAAEDVVKVKSSGSVFDRLGRDMDASLITEQVAEFRDHAVEDDEYEDFNEIQEQTHSNYPRRSKYCGRAGTTNMTGHEAGLTTGLMSDYEVYDDSSPVGHRVMDVSQTGTYLGSKGKDSLMSNYNVAKDQDQSVSAANTSRKIVNISVNVNTWRPPHYQESRDTVMDNLKSVQDNEADAGSFGAQLMKEISNPVSVSNGNVKPAGDIQQEPQKPPSSASGSYTAGRPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGEVLKVVLVTDAATGQPTGSAYVEFMRKEAADNALSLDGTSFMSRIVKVMKRSSSNQEASPVMTWPRISRGSSYAAGRFARTPFPRGTPIFRPRLYVKPGARSLQWKRDAQGSPAESSAAFSGSSVVSPSARSLTYVRTEPKPDGNSGTT >Potri.012G000700.3.v4.1 pep chromosome:Pop_tri_v4:12:1052249:1061299:1 gene:Potri.012G000700.v4.1 transcript:Potri.012G000700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G000700.v4.1 MTITLQASLLCRPSFSLYSPSKRHSFQHPINSPLSLSKTSFPPSLNLRLRPHSIPCTLQPDNADPLSETVPPISNPEKTQEVVDVVQSNESGRGEVEGHGGNLVEEKEGDGGGVYDRNGRIRMVVFFMGIWATMKNGFQKLFMLLGSYSSNWWPFWKQEKKLEKLIAEAEANPKDVEKQTALLVELNKHSPESVIKRFEQRDHAVDSKGVVEYLKALVVTNSIAEYLPDEQSGKPSSLPALLQELKQHASGDTDKPLMNPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTVAVGLVWFMGAAALQKYIGSLGGIGASGAGSSSSYTPKELNKEITPDKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVKGRQEILELYLQDKPMADDVDVKSIARGTPGFNGADLANLVNIAAIKAAVEGAEKLSATQLEFAKDRIIMGTERKTMFISEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELVFGQDYITTGASSDLHTATELAQYMVSNCGMSEAIGPVHIKERSSSEMQSRVDAEVVKLLREAYARVKALLKKHEKALHALANALLEYETLSAEEIKRILLPYQEGRQPEQQEVEQEEGEFVMA >Potri.012G000700.6.v4.1 pep chromosome:Pop_tri_v4:12:1052248:1060976:1 gene:Potri.012G000700.v4.1 transcript:Potri.012G000700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G000700.v4.1 MTITLQASLLCRPSFSLYSPSKRHSFQHPINSPLSLSKTSFPPSLNLRLRPHSIPCTLQPDNADPLSETVPPISNPEKTQEVVDVVQSNESGRGEVEGHGGNLVEEKEGDGGGVYDRNGRIRMVVFFMGIWATMKNGFQKLFMLLGSYSSNWWPFWKQEKKLEKLIAEAEANPKDVEKQTALLVELNKHSPESVIKRFEQRDHAVDSKGVVEYLKALVVTNSIAEYLPDEQSGKPSSLPALLQELKQHASGDTDKPLMNPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTVAVGLVWFMGAAALQKYIGSLGGIGASGAGSSSSYTPKELNKEITPDKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVKGRQEILELYLQDKPMADDVDVKSIARGTPGFNGADLANLVNIAAIKAAVEGAEKLSATQLEFAKDRIIMGTERKTMFISEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELVFGQDYITTGASSDLHTATELAQYMVSNCGMSEAIGPVHIKERSSSEMQSRVDAEVVKLLREAYARVKALLKKHEKALHALANALLEYETLSAEEIKRILLPYQEGRQPEQQEVEQEEGEFVMA >Potri.012G000700.5.v4.1 pep chromosome:Pop_tri_v4:12:1052322:1060992:1 gene:Potri.012G000700.v4.1 transcript:Potri.012G000700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G000700.v4.1 MTITLQASLLCRPSFSLYSPSKRHSFQHPINSPLSLSKTSFPPSLNLRLRPHSIPCTLQPDNADPLSETVPPISNPEKTQEVVDVVQSNESGRGEVEGHGGNLVEEKEGDGGGVYDRNGRIRMVVFFMGIWATMKNGFQKLFMLLGSYSSNWWPFWKQEKKLEKLIAEAEANPKDVEKQTALLVELNKHSPESVIKRFEQRDHAVDSKGVVEYLKALVVTNSIAEYLPDEQSGKPSSLPALLQELKQHASGDTDKPLMNPGISEKQPLHVVMVDPKVSNKSRFAQELISTILFTVAVGLVWFMGAAALQKYIGSLGGIGASGAGSSSSYTPKELNKEITPDKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVKGRQEILELYLQDKPMADDVDVKSIARGTPGFNGADLANLVNIAAIKAAVEGAEKLSATQLEFAKDRIIMGTERKTMFISEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELVFGQDYITTGASSDLHTATELAQYMVSNCGMSEAIGPVHIKERSSSEMQSRVDAEVVKLLREAYARVKALLKKHEKALHALANALLEYETLSAEEIKRILLPYQEGRQPEQQEVEQEEGEFVMA >Potri.002G025300.1.v4.1 pep chromosome:Pop_tri_v4:2:1657518:1659467:-1 gene:Potri.002G025300.v4.1 transcript:Potri.002G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025300.v4.1 MALLIFVILFLSIIFLFLLKKNKISKRARFPPGPNGLPLIGNLHQLDSSNLQTHLWKLSQKYGPLMSLKLGFKRTLVVSSAKMAEEVLKTHDLEFCSRPLLTGQQKFSYNGLDLAFSPYGAYWREMKKICVVHLLNSTRVQSFRTNREDEVSHMIEKISKAALASKPFNLTEAMLSLTSTAICRTAFGKRYEDGGIKGSRFHALLNETQALFTMFFLSDYFPYMGWVDRLTGLAHRLEKNFRELDVFYQEIIDEHLDPERPKPDHEDILDVLLQIYKDPTFKVQLTLDHIKAILMNIFVGGTDTAAATVIWAMSLLMKNPEAMRKAQEEVRKVIGDKGFVYEDDVQQLPYLKAVVKETMRLQPTAPLLIPRETTTECNIGGYEIPAKTLVYVNAWAIGRDTEVWENPYVFIPDRFLGSSIDLKGQDFELIPFGAGRRICPGIYMGIATVELSLSNLLYKFDWEMPGGMKREDIDVVHTQPGLAMRTRDALCLVPKAYAVMGNDA >Potri.008G147100.12.v4.1 pep chromosome:Pop_tri_v4:8:10018804:10024149:1 gene:Potri.008G147100.v4.1 transcript:Potri.008G147100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147100.v4.1 MLNGENHDFILYFIRRPALMCLRRCLFLFFAGILCYPSPYPAMVRPLFLPRPPGAFSVVPAVPRPLVPGIPGVRPVISQIIRPVVPSVTPAEKPQTTVYVGKIAPSVENDFLSSLLQLCGPVKSWRRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDRQELMLNVDQATKEYLERYVEKKTENSKIFKETQAAGADKEDRTGIDSEKGEPPISSINVLSNDSDKGNKENHDLANFGIVTDEDKVGDREALEKLTSMIEERLKTKPLPPPPPPSQAPADGSVNPNSELPSKSRDVNTDADIMRSHAADGKNDDETTSGNKAANDQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYGRETGRERVRKEREQRRKIEEAERVYEERLKDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWHRSFLEDRRRKRLREKEDDMAERLKEEEEIAETKRRDEEEKLQEKQRDPSKLLSGNVSNGSDMRGLTEGPGIESTEKAVEKDYEGVSGNENHTGDGILQNGAGDESNMALIAESDTRQSGSVPARKLGFGLVGSGKRAAVPSVFHEEEDGDAQKEKKMRPLVPIDYSNEELQAVQHAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDAERGRRSHDRSSQRDKDRNDDDINRSRYDNKEKVLEWDRDRNREHGLDKVKTPDKRKLLDVKQLIDMIPKTKEELFSYEINWAMYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQDHVKASQMLEMLQAILDDEAEIFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.008G147100.8.v4.1 pep chromosome:Pop_tri_v4:8:10018768:10024149:1 gene:Potri.008G147100.v4.1 transcript:Potri.008G147100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147100.v4.1 MAEDPSSSAAPSPAIPDPNSLKTEPLNNNNNDNPTSQPGAKITPTPSITSYSQPPSFRPVAAQFYQNHSFGVGVPPMMAPYQVQPGFQTPRPPYTPIPNGYQGTIAPPPGILCYPSPYPAMVRPLFLPRPPGAFSVVPAVPRPLVPGIPGVRPVISQIIRPVVPSVTPAEKPQTTVYVGKIAPSVENDFLSSLLQLCGPVKSWRRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDRQELMLNVDQATKEYLERYVEKKTENSKIFKETQAAGADKEDRTGIDSEKGEPPISSINVLSNDSDKGNKENHDLANFGIVTDEDKVGDREALEKLTSMIEERLKTKPLPPPPPPSQAPADGSVNPNSELPSKSRDVNTDADIMRSHAADGKNDDETTSGNKAANDQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYGRETGRERVRKEREQRRKIEEAERVYEERLKDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWHRSFLEDRRRKRLREKEDDMAERLKEEEEIAETKRRDEEEKLQEKQRDPSKLLSGNVSNGSDMRGLTEGPGIESTEKAVEKDYEGVSGNENHTGDGILQNGAGDESNMALIAESDTRQSGSVPARKLGFGLVGSGKRAAVPSVFHEEEDGDAQKEKKMRPLVPIDYSNEELQAVQHAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDAERGRRSHDRSSQRDKDRNDDDINRSRYDNKEKVLEWDRDRNREHGLDKVKTPDKRKLLDVKQLIDMIPKTKEELFSYEINWAMYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQDHVKASQMLEMLQAILDDEAEIFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.008G147100.9.v4.1 pep chromosome:Pop_tri_v4:8:10018688:10024149:1 gene:Potri.008G147100.v4.1 transcript:Potri.008G147100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147100.v4.1 MLNGENHDFILYFIRRPALMCLRRCLFLFFAGILCYPSPYPAMVRPLFLPRPPGAFSVVPAVPRPLVPGIPGVRPVISQIIRPVVPSVTPAEKPQTTVYVGKIAPSVENDFLSSLLQLCGPVKSWRRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDRQELMLNVDQATKEYLERYVEKKTENSKIFKETQAAGADKEDRTGIDSEKGEPPISSINVLSNDSDKGNKENHDLANFGIVTDEDKVGDREALEKLTSMIEERLKTKPLPPPPPPSQAPADGSVNPNSELPSKSRDVNTDADIMRSHAADGKNDDETTSGNKAANDQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYGRETGRERVRKEREQRRKIEEAERVYEERLKDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWHRSFLEDRRRKRLREKEDDMAERLKEEEEIAETKRRDEEEKLQEKQRDPSKLLSGNVSNGSDMRGLTEGPGIESTEKAVEKDYEGVSGNENHTGDGILQNGAGDESNMALIAESDTRQSGSVPARKLGFGLVGSGKRAAVPSVFHEEEDGDAQKEKKMRPLVPIDYSNEELQAVQHAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDAERGRRSHDRSSQRDKDRNDDDINRSRYDNKEKVLEWDRDRNREHGLDKVKTPDKRKLLDVKQLIDMIPKTKEELFSYEINWAMYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQDHVKASQMLEMLQAILDDEAEIFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.008G147100.10.v4.1 pep chromosome:Pop_tri_v4:8:10018740:10024149:1 gene:Potri.008G147100.v4.1 transcript:Potri.008G147100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147100.v4.1 MLNGENHDFILYFIRRPALMCLRRCLFLFFAGILCYPSPYPAMVRPLFLPRPPGAFSVVPAVPRPLVPGIPGVRPVISQIIRPVVPSVTPAEKPQTTVYVGKIAPSVENDFLSSLLQLCGPVKSWRRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDRQELMLNVDQATKEYLERYVEKKTENSKIFKETQAAGADKEDRTGIDSEKGEPPISSINVLSNDSDKGNKENHDLANFGIVTDEDKVGDREALEKLTSMIEERLKTKPLPPPPPPSQAPADGSVNPNSELPSKSRDVNTDADIMRSHAADGKNDDETTSGNKAANDQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYGRETGRERVRKEREQRRKIEEAERVYEERLKDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWHRSFLEDRRRKRLREKEDDMAERLKEEEEIAETKRRDEEEKLQEKQRDPSKLLSGNVSNGSDMRGLTEGPGIESTEKAVEKDYEGVSGNENHTGDGILQNGAGDESNMALIAESDTRQSGSVPARKLGFGLVGSGKRAAVPSVFHEEEDGDAQKEKKMRPLVPIDYSNEELQAVQHAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDAERGRRSHDRSSQRDKDRNDDDINRSRYDNKEKVLEWDRDRNREHGLDKVKTPDKRKLLDVKQLIDMIPKTKEELFSYEINWAMYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQDHVKASQMLEMLQAILDDEAEIFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.008G147100.11.v4.1 pep chromosome:Pop_tri_v4:8:10018768:10024149:1 gene:Potri.008G147100.v4.1 transcript:Potri.008G147100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147100.v4.1 MLNGENHDFILYFIRRPALMCLRRCLFLFFAGILCYPSPYPAMVRPLFLPRPPGAFSVVPAVPRPLVPGIPGVRPVISQIIRPVVPSVTPAEKPQTTVYVGKIAPSVENDFLSSLLQLCGPVKSWRRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDRQELMLNVDQATKEYLERYVEKKTENSKIFKETQAAGADKEDRTGIDSEKGEPPISSINVLSNDSDKGNKENHDLANFGIVTDEDKVGDREALEKLTSMIEERLKTKPLPPPPPPSQAPADGSVNPNSELPSKSRDVNTDADIMRSHAADGKNDDETTSGNKAANDQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYGRETGRERVRKEREQRRKIEEAERVYEERLKDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWHRSFLEDRRRKRLREKEDDMAERLKEEEEIAETKRRDEEEKLQEKQRDPSKLLSGNVSNGSDMRGLTEGPGIESTEKAVEKDYEGVSGNENHTGDGILQNGAGDESNMALIAESDTRQSGSVPARKLGFGLVGSGKRAAVPSVFHEEEDGDAQKEKKMRPLVPIDYSNEELQAVQHAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDAERGRRSHDRSSQRDKDRNDDDINRSRYDNKEKVLEWDRDRNREHGLDKVKTPDKRKLLDVKQLIDMIPKTKEELFSYEINWAMYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQDHVKASQMLEMLQAILDDEAEIFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.008G147100.13.v4.1 pep chromosome:Pop_tri_v4:8:10018817:10024149:1 gene:Potri.008G147100.v4.1 transcript:Potri.008G147100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147100.v4.1 MLNGENHDFILYFIRRPALMCLRRCLFLFFAGILCYPSPYPAMVRPLFLPRPPGAFSVVPAVPRPLVPGIPGVRPVISQIIRPVVPSVTPAEKPQTTVYVGKIAPSVENDFLSSLLQLCGPVKSWRRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDRQELMLNVDQATKEYLERYVEKKTENSKIFKETQAAGADKEDRTGIDSEKGEPPISSINVLSNDSDKGNKENHDLANFGIVTDEDKVGDREALEKLTSMIEERLKTKPLPPPPPPSQAPADGSVNPNSELPSKSRDVNTDADIMRSHAADGKNDDETTSGNKAANDQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYGRETGRERVRKEREQRRKIEEAERVYEERLKDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWHRSFLEDRRRKRLREKEDDMAERLKEEEEIAETKRRDEEEKLQEKQRDPSKLLSGNVSNGSDMRGLTEGPGIESTEKAVEKDYEGVSGNENHTGDGILQNGAGDESNMALIAESDTRQSGSVPARKLGFGLVGSGKRAAVPSVFHEEEDGDAQKEKKMRPLVPIDYSNEELQAVQHAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDAERGRRSHDRSSQRDKDRNDDDINRSRYDNKEKVLEWDRDRNREHGLDKVKTPDKRKLLDVKQLIDMIPKTKEELFSYEINWAMYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQDHVKASQMLEMLQAILDDEAEIFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.001G094500.7.v4.1 pep chromosome:Pop_tri_v4:1:7484857:7492608:1 gene:Potri.001G094500.v4.1 transcript:Potri.001G094500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094500.v4.1 MDEGLGDEVASMDVVEQAHLRGKESEHSVKPPESSNLLESREMDIAGVDDYRESSFHVLADMLEGKNENRSASPMDASEQPCSSPRSIDDAGNMNEELMVRNFDGSNLAIVGTLNNRERMQTRQNQWPHLYQIGGGSMTGISRSNILYKDSGQAMLDVRRSSSSDILAQKTSSNERNEVSEQLTHPDFNGLSGNMSSHANIRTKILSKSGFSEFFVKNTLKGKGIVYRGPPHDSFKLQPRYQNNERAVGGPLAASDTPLNLSAKTVMMPSSHGIAGPRPAGSDHDGVSLREWLNAGRHKVNKVESLHVFRRIVDLVDYSHSQGVALPDLRPSSFKLLQSNQVKYLGSAAQRDLVESVKGRNAPYSDNHVVRRRLLEQGMFSSVAASVKKQKFSESMNYTSRWPQFSAKYGLKLESTCDGDIDATVSQNSLNEATEHNCNAEYGIQAKSISHQPSKLGQRQLTSISDQLEEKWYTSPEELSEGICRTASNIYGLGILLFELLGRFDSDRAHATAMSDLCHRILPPQLLSENPKEAGFCLWLLHPEPSSRPTAREILQSELINGLQEVSAEELSSSVDQDDAESELLLHFLVSLKEQKQKHAFKLVEDVRCLDTDIEEVGRRSCSKKHLHHSCLENDFINERQPTSEHKEPSRLEALSQVSPDFQTNNMRLMSNISQLESAYFSMRSKVQLAETDAATRQDKDLLINRKNWDLAQEDEETQNTTDCLGSFFDGLCKYARYSKFEARGLLRTGDFNNSANVICSLSFDRDADYFAAAGVSKKIKIFEFDSLFNDSVDIHYPVIEMSNESKLSCICWNSYIKSYLASTGYDGVVKLWDVNTGQVVFQYKEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEKNSTSTIRNIANVCCVQFSSHSTHLLAFGSADYRTYCYDLRNVRAPWCVLSGHDKAVSYVKFLDSETLVTASTDNTLKIWDLNKTSSSGLSPSACSLTLGGHTNEKNFVGLSVANGYIACGSETNEVYAYHRSLPMPITSHKFGSIDPISGKETDCDNGQFVSSVCWRGKSDMVVAANSSGCIKALQML >Potri.001G094500.5.v4.1 pep chromosome:Pop_tri_v4:1:7484850:7492609:1 gene:Potri.001G094500.v4.1 transcript:Potri.001G094500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094500.v4.1 MDEGLGDEVASMDVVEQAHLRGKESEHSVKPPESSNLLESREMDIAGVDDYRESSFHVLADMLEGKNENRSASPMDASEQPCSSPRSIDDAGNMNEELMVRNFDGSNLAIVGTLNNRERMQTRQNQWPHLYQIGGGSMTGISRSNILYKDSGQAMLDVRRSSSSDILAQKTSSNERNEVSEQLTHPDFNGLSGNMSSHANIRTKILSKSGFSEFFVKNTLKGKGIVYRGPPHDSFKLQPRYQNNERAVGGPLAASDTPLNLSAKTVMMPSSHGIAGPRPAGSDHDGVSLREWLNAGRHKVNKVESLHVFRRIVDLVDYSHSQGVALPDLRPSSFKLLQSNQVKYLGSAAQRDLVESVKGRNAPYSDNHVVRRRLLEQGMFSSVAASVKKQKFSESMNYTSRWPQFSAKYGLKLESTCDGDIDATVSQNSLNEATEHNCNAEYGIQAKSISHQPSKLGQRQLTSISDQLEEKWYTSPEELSEGICRTASNIYGLGILLFELLGRFDSDRAHATAMSDLCHRILPPQLLSENPKEAGFCLWLLHPEPSSRPTAREILQSELINGLQEVSAEELSSSVDQDDAESELLLHFLVSLKEQKQKHAFKLVEDVRCLDTDIEEVGRRSCSKKHLHHSCLENDFINERQPTSEHKEPSRLEALSQVSPDFQTNNMRLMSNISQLESAYFSMRSKVQLAETDAATRQDKDLLINRKNWDLAQEDEETQNTTDCLGSFFDGLCKYARYSKFEARGLLRTGDFNNSANVICSLSFDRDADYFAAAGVSKKIKIFEFDSLFNDSVDIHYPVIEMSNESKLSCICWNSYIKSYLASTGYDGVVKLWDVNTGQVVFQYKEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEKNSTSTIRNIANVCCVQFSSHSTHLLAFGSADYRTYCYDLRNVRAPWCVLSGHDKAVSYVKFLDSETLVTASTDNTLKIWDLNKTSSSGLSPSACSLTLGGHTNEKNFVGLSVANGYIACGSETNEVYAYHRSLPMPITSHKFGSIDPISGKETDCDNGQFVSSVCWRGKSDMVVAANSSGCIKALQML >Potri.001G094500.1.v4.1 pep chromosome:Pop_tri_v4:1:7484607:7492629:1 gene:Potri.001G094500.v4.1 transcript:Potri.001G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094500.v4.1 MDEGLGDEVASMDVVEQAHLRGKESEHSVKPPESSNLLESREMDIAGVDDYRESSFHVLADMLEGKNENRSASPMDASEQPCSSPRSIDDAGNMNEELMVRNFDGSNLAIVGTLNNRERMQTRQNQWPHLYQIGGGSMTGISRSNILYKDSGQAMLDVRRSSSSDILAQKTSSNERNEVSEQLTHPDFNGLSGNMSSHANIRTKILSKSGFSEFFVKNTLKGKGIVYRGPPHDSFKLQPRYQNNERAVGGPLAASDTPLNLSAKTVMMPSSHGIAGPRPAGSDHDGVSLREWLNAGRHKVNKVESLHVFRRIVDLVDYSHSQGVALPDLRPSSFKLLQSNQVKYLGSAAQRDLVESVKGRNAPYSDNHVVRRRLLEQGMFSSVAASVKKQKFSESMNYTSRWPQFSAKYGLKLESTCDGDIDATVSQNSLNEATEHNCNAEYGIQAKSISHQPSKLGQRQLTSISDQLEEKWYTSPEELSEGICRTASNIYGLGILLFELLGRFDSDRAHATAMSDLCHRILPPQLLSENPKEAGFCLWLLHPEPSSRPTAREILQSELINGLQEVSAEELSSSVDQDDAESELLLHFLVSLKEQKQKHAFKLVEDVRCLDTDIEEVGRRSCSKKHLHHSCLENDFINERQPTSEHKEPSRLEALSQVSPDFQTNNMRLMSNISQLESAYFSMRSKVQLAETDAATRQDKDLLINRKNWDLAQEDEETQNTTDCLGSFFDGLCKYARYSKFEARGLLRTGDFNNSANVICSLSFDRDADYFAAAGVSKKIKIFEFDSLFNDSVDIHYPVIEMSNESKLSCICWNSYIKSYLASTGYDGVVKLWDVNTGQVVFQYKEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEKNSTSTIRNIANVCCVQFSSHSTHLLAFGSADYRTYCYDLRNVRAPWCVLSGHDKAVSYVKFLDSETLVTASTDNTLKIWDLNKTSSSGLSPSACSLTLGGHTNEKNFVGLSVANGYIACGSETNEVYAYHRSLPMPITSHKFGSIDPISGKETDCDNGQFVSSVCWRGKSDMVVAANSSGCIKALQML >Potri.001G094500.6.v4.1 pep chromosome:Pop_tri_v4:1:7485056:7492614:1 gene:Potri.001G094500.v4.1 transcript:Potri.001G094500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094500.v4.1 MDEGLGDEVASMDVVEQAHLRGKESEHSVKPPESSNLLESREMDIAGVDDYRESSFHVLADMLEGKNENRSASPMDASEQPCSSPRSIDDAGNMNEELMVRNFDGSNLAIVGTLNNRERMQTRQNQWPHLYQIGGGSMTGISRSNILYKDSGQAMLDVRRSSSSDILAQKTSSNERNEVSEQLTHPDFNGLSGNMSSHANIRTKILSKSGFSEFFVKNTLKGKGIVYRGPPHDSFKLQPRYQNNERAVGGPLAASDTPLNLSAKTVMMPSSHGIAGPRPAGSDHDGVSLREWLNAGRHKVNKVESLHVFRRIVDLVDYSHSQGVALPDLRPSSFKLLQSNQVKYLGSAAQRDLVESVKGRNAPYSDNHVVRRRLLEQGMFSSVAASVKKQKFSESMNYTSRWPQFSAKYGLKLESTCDGDIDATVSQNSLNEATEHNCNAEYGIQAKSISHQPSKLGQRQLTSISDQLEEKWYTSPEELSEGICRTASNIYGLGILLFELLGRFDSDRAHATAMSDLCHRILPPQLLSENPKEAGFCLWLLHPEPSSRPTAREILQSELINGLQEVSAEELSSSVDQDDAESELLLHFLVSLKEQKQKHAFKLVEDVRCLDTDIEEVGRRSCSKKHLHHSCLENDFINERQPTSEHKEPSRLEALSQVSPDFQTNNMRLMSNISQLESAYFSMRSKVQLAETDAATRQDKDLLINRKNWDLAQEDEETQNTTDCLGSFFDGLCKYARYSKFEARGLLRTGDFNNSANVICSLSFDRDADYFAAAGVSKKIKIFEFDSLFNDSVDIHYPVIEMSNESKLSCICWNSYIKSYLASTGYDGVVKLWDVNTGQVVFQYKEHEKRAWSVDFSQVYPTKLASGSDDCSVKLWSINEKNSTSTIRNIANVCCVQFSSHSTHLLAFGSADYRTYCYDLRNVRAPWCVLSGHDKAVSYVKFLDSETLVTASTDNTLKIWDLNKTSSSGLSPSACSLTLGGHTNEKNFVGLSVANGYIACGSETNEVYAYHRSLPMPITSHKFGSIDPISGKETDCDNGQFVSSVCWRGKSDMVVAANSSGCIKALQML >Potri.001G198400.2.v4.1 pep chromosome:Pop_tri_v4:1:19575108:19575365:-1 gene:Potri.001G198400.v4.1 transcript:Potri.001G198400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198400.v4.1 MFIPRARDDECLILASDGLWDVITNEEACEVARPRILLWHKKNGVASLLERGKGTDPAAQAAADYQRLITFQCLPSRREARIISL >Potri.013G052800.1.v4.1 pep chromosome:Pop_tri_v4:13:3834248:3836019:1 gene:Potri.013G052800.v4.1 transcript:Potri.013G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AADC1 MGSLSTNTFSPLDPNGFTNDSKMVIDFIADYYKNIENNPVQSQVKPGYLLTQLPDTAPYCEESLEDVLKDVTDSIIPGLTHWQSPNFFAYFQANASTAGFVGEMLCTGLNVVGFNWIASPAATELESIVMDWTGKMLKLPSTFLFSGNGGGVLHGSTCEAIVCTLVAARDATLRMIGAENITKLVVYASDQTHSTLLKGVKLVGIPSSNFRCLSTSFSSEFSLSPQALEDAIENDIKAGFVPLFLCATIGTTACGAVDPVMDLGKIARKYNLWFHVDAAYAGSACICPEFRHYLDGVELADSLSMNPHKWLLTNMDCCCLWVKQPRLLIESLSSDPEYLRNNASESSDVVDYKDWQIALSRRFRALKLWIVIRRHGLANLMCHIRSDVNLAKRFESLVAKDSRFEVVVRRRFSLVCFRLKHNDECQGLELNRKLLAAVNESGRAFMTHAVVGGLFIIRCAIGSTLTEERHVDDLWKLIQEKAADLVKETGDIG >Potri.006G231701.1.v4.1 pep chromosome:Pop_tri_v4:6:23477870:23480262:1 gene:Potri.006G231701.v4.1 transcript:Potri.006G231701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231701.v4.1 MAMITRNTATRLPHLLSIHRPATASLHTTLPSLSPEPTPAPYTRAPPPSSSSLTGLSKPAEFVISKVDSLMNWARTGSIWPMTFGLACCAVEMMHTGAARYDLDRFGIIFRPSPRQSDCMIVAGTLTNKMAPALRKVYDQMPEPRWVISMGSCANGGGYYHYSYSVVRGCDRIVPVDIYVPGCPPTAEALLYGLLQLQKKIHRRKDFLHWWTR >Potri.014G031000.5.v4.1 pep chromosome:Pop_tri_v4:14:1935304:1944496:-1 gene:Potri.014G031000.v4.1 transcript:Potri.014G031000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031000.v4.1 MNAVERLGRYITRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVNVSVNEVEADFHMYLDRRGEAFFLREVEGDEEESVLYPLSSSDETDEQSQKNRRPAKTKSCNYDAYQLNSGDQLDGTNGSIVARTNSRRSRILGLVFGRGSFKEDSCREGDDGAGKARTSLERAEIAADLLEVRWSTNLDPTKPRKDNDSRFSASDALEGNGDNMPAIDDKSQKESSLHDAIETNADRCMLAEETVSCNVETGNDLQSGFMSLECSAEEASVEMLTLGSMDQVAETSTMAESVLGEKCGVVSGLSRDIDELSSQNADPDVKAKGVISVVSAPESKISGVPEACSGENFGDKQPCDEKDASLPDHATSEEESESRAQSFIYCETSESSTMRLNCYTEQTHETLYLASGGPREAHFSAKTLHLTAEPLPEDMLNQQAEDIELKTEHIDASSSFSNQTNPSSCMHIHDKVNLEVPMIVSKSDAQKVGADPVLGSAEELESMSTVTILSFSNTGQKTQDVKNNGKEIIRNEPQSAVDSFGGSEHFYGSCGPTKTAIIPAPESSEEEQFIFSDLDELKPSRTQCESNFLGEKDEENDPSFCLERNEEMDGSFDTSDVSCSSPDQFVQESKLADLETSRENSKITSSPIGIPKVHSVTDAEVSRLVESLPNMRSRFDNMDANDLHFPLSHSLDSICKSLEETLCRTNESECVKLDTENEIQSAKEHSNIEGIHNSEDLENSVSSSTFGDSSKVIVASGGSWRIWPFSFKRSRSRKISQQALNDTRSSVSENMSDCNLHTDKDYGVINPKVTKKMVRANTPTSEQLASLNLKEGRNVVTFTFSTAMLGKQQVDARIYLWKWNTHIVISDVDGTITRSDVLGQFMPMVGVDWSQMGVAHLFCAIKENGYQLLFLSARAISQAYHTRQFLVNLKQDGKALPDGPIVISPDGVFPSLFREVIRRAPHEFKIACLEDIRALFPSDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPMTSFEQEDFNSWNYWRLPPPVIDI >Potri.014G031000.11.v4.1 pep chromosome:Pop_tri_v4:14:1935302:1944500:-1 gene:Potri.014G031000.v4.1 transcript:Potri.014G031000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031000.v4.1 MNAVERLGRYITRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVNVSVNEVEADFHMYLDRRGEAFFLREVEGDEEESVLYPLSSSDETDEQSQKNRRPAKTKSCNYDAYQLNSGDQLDGTNGSIVARTNSRRSRILGLVFGRGSFKEDSCREGDDGAGKARTSLERAEIAADLLEVRWSTNLDPTKPRKDNDSRFSASDALEGNGDNMPAIDDKSQKESSLHDAIETNADRCMLAEETVSCNVETGNDLQSGFMSLECSAEEASVEMLTLGSMDQVAETSTMAESVLGEKCGVVSGLSRDIDELSSQNADPDVKAKGVISVVSAPESKISGVPEACSGENFGDKQPCDEKDASLPDHATSEEESESRAQSFIYCETSESSTMRLNCYTEQTHETLYLASGGPREAHFSAKTLHLTAEPLPEDMLNQQAEDIELKTEHIDASSSFSNQTNPSSCMHIHDKVNLEVPMIVSKSDAQKVGADPVLGSAEELESMSTVTILSFSNTGQKTQDVKNNGKEIIRNEPQSAVDSFGGSEHFYGSCGPTKTAIIPAPESSEEEQFIFSDLDELKPSRTQCESNFLGEKDEENDPSFCLERNEEMDGSFDTSDVSCSSPDQFVQESKLADLETSRENSKITSSPIGIPKVHSVTDAEVSRLVESLPNMRSRFDNMDANDLHFPLSHSLDSICKSLEETLCRTNESECVKLDTENEIQSAKEHSNIEGDSSKVIVASGGSWRIWPFSFKRSRSRKISQQALNDTRSSVSENMSDCNLHTDKDYGVINPKVTKKMVRANTPTSEQLASLNLKEGRNVVTFTFSTAMLGKQQVDARIYLWKWNTHIVISDVDGTITRSDVLGQFMPMVGVDWSQMGVAHLFCAIKENGYQLLFLSARAISQAYHTRQFLVNLKQDGKALPDGPIVISPDGVFPSLFREVIRRAPHEFKIACLEDIRALFPSDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPMTSFEQEDFNSWNYWRLPPPVIDI >Potri.014G031000.10.v4.1 pep chromosome:Pop_tri_v4:14:1935304:1944553:-1 gene:Potri.014G031000.v4.1 transcript:Potri.014G031000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031000.v4.1 MNAVERLGRYITRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVNVSVNEVEADFHMYLDRRGEAFFLREVEGDEEESVLYPLSSSDETDEQSQKNRRPAKTKSCNYDAYQLNSGDQLDGTNGSIVARTNSRRSRILGLVFGRGSFKEDSCREGDDGAGKARTSLERAEIAADLLEVRWSTNLDPTKPRKDNDSRFSASDALEGNGDNMPAIDDKSQKESSLHDAIETNADRCMLAEETVSCNVETGNDLQSGFMSLECSAEEASVEMLTLGSMDQVAETSTMAESVLGEKCGVVSGLSRDIDELSSQNADPDVKAKGVISVVSAPESKISGVPEACSGENFGDKQPCDEKDASLPDHATSEEESESRAQSFIYCETSESSTMRLNCYTEQTHETLYLASGGPREAHFSAKTLHLTAEPLPEDMLNQQAEDIELKTEHIDASSSFSNQTNPSSCMHIHDKVNLEVPMIVSKSDAQKVGADPVLGSAEELESMSTVTILSFSNTGQKTQDVKNNGKEIIRNEPQSAVDSFGGSEHFYGSCGPTKTAIIPAPESSEEEQFIFSDLDELKPSRTQCESNFLGEKDEENDPSFCLERNEEMDGSFDTSDVSCSSPDQFVQESKLADLETSRENSKITSSPIGIPKVHSVTDAEVSRLVESLPNMRSRFDNMDANDLHFPLSHSLDSICKSLEETLCRTNESECVKLDTENEIQSAKEHSNIEGDSSKVIVASGGSWRIWPFSFKRSRSRKISQQALNDTRSSVSENMSDCNLHTDKDYGVINPKVTKKMVRANTPTSEQLASLNLKEGRNVVTFTFSTAMLGKQQVDARIYLWKWNTHIVISDVDGTITRSDVLGQFMPMVGVDWSQMGVAHLFCAIKENGYQLLFLSARAISQAYHTRQFLVNLKQDGKALPDGPIVISPDGVFPSLFREVIRRAPHEFKIACLEDIRALFPSDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPMTSFEQEDFNSWNYWRLPPPVIDI >Potri.014G031000.2.v4.1 pep chromosome:Pop_tri_v4:14:1935304:1944793:-1 gene:Potri.014G031000.v4.1 transcript:Potri.014G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031000.v4.1 MNAVERLGRYITRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVNVSVNEVEADFHMYLDRRGEAFFLREVEGDEEESVLYPLSSSDETDEQSQKNRRPAKTKSCNYDAYQLNSGDQLDGTNGSIVARTNSRRSRILGLVFGRGSFKEDSCREGDDGAGKARTSLERAEIAADLLEVRWSTNLDPTKPRKDNDSRFSASDALEGNGDNMPAIDDKSQKESSLHDAIETNADRCMLAEETVSCNVETGNDLQSGFMSLECSAEEASVEMLTLGSMDQVAETSTMAESVLGEKCGVVSGLSRDIDELSSQNADPDVKAKGVISVVSAPESKISGVPEACSGENFGDKQPCDEKDASLPDHATSEEESESRAQSFIYCETSESSTMRLNCYTEQTHETLYLASGGPREAHFSAKTLHLTAEPLPEDMLNQQAEDIELKTEHIDASSSFSNQTNPSSCMHIHDKVNLEVPMIVSKSDAQKVGADPVLGSAEELESMSTVTILSFSNTGQKTQDVKNNGKEIIRNEPQSAVDSFGGSEHFYGSCGPTKTAIIPAPESSEEEQFIFSDLDELKPSRTQCESNFLGEKDEENDPSFCLERNEEMDGSFDTSDVSCSSPDQFVQESKLADLETSRENSKITSSPIGIPKVHSVTDAEVSRLVESLPNMRSRFDNMDANDLHFPLSHSLDSICKSLEETLCRTNESECVKLDTENEIQSAKEHSNIEGIHNSEDLENSVSSSTFGDSSKVIVASGGSWRIWPFSFKRSRSRKISQQALNDTRSSVSENMSDCNLHTDKDYGVINPKVTKKMVRANTPTSEQLASLNLKEGRNVVTFTFSTAMLGKQQVDARIYLWKWNTHIVISDVDGTITRSDVLGQFMPMVGVDWSQMGVAHLFCAIKENGYQLLFLSARAISQAYHTRQFLVNLKQDGKALPDGPIVISPDGVFPSLFREVIRRAPHEFKIACLEDIRALFPSDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPMTSFEQEDFNSWNYWRLPPPVIDI >Potri.014G031000.9.v4.1 pep chromosome:Pop_tri_v4:14:1935307:1944569:-1 gene:Potri.014G031000.v4.1 transcript:Potri.014G031000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031000.v4.1 MNAVERLGRYITRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVNVSVNEVEADFHMYLDRRGEAFFLREVEGDEEESVLYPLSSSDETDEQSQKNRRPAKTKSCNYDAYQLNSGDQLDGTNGSIVARTNSRRSRILGLVFGRGSFKEDSCREGDDGAGKARTSLERAEIAADLLEVRWSTNLDPTKPRKDNDSRFSASDALEGNGDNMPAIDDKSQKESSLHDAIETNADRCMLAEETVSCNVETGNDLQSGFMSLECSAEEASVEMLTLGSMDQVAETSTMAESVLGEKCGVVSGLSRDIDELSSQNADPDVKAKGVISVVSAPESKISGVPEACSGENFGDKQPCDEKDASLPDHATSEEESESRAQSFIYCETSESSTMRLNCYTEQTHETLYLASGGPREAHFSAKTLHLTAEPLPEDMLNQQAEDIELKTEHIDASSSFSNQTNPSSCMHIHDKVNLEVPMIVSKSDAQKVGADPVLGSAEELESMSTVTILSFSNTGQKTQDVKNNGKEIIRNEPQSAVDSFGGSEHFYGSCGPTKTAIIPAPESSEEEQFIFSDLDELKPSRTQCESNFLGEKDEENDPSFCLERNEEMDGSFDTSDVSCSSPDQFVQESKLADLETSRENSKITSSPIGIPKVHSVTDAEVSRLVESLPNMRSRFDNMDANDLHFPLSHSLDSICKSLEETLCRTNESECVKLDTENEIQSAKEHSNIEGIHNSEDLENSVSSSTFGDSSKVIVASGGSWRIWPFSFKRSRSRKISQQALNDTRSSVSENMSDCNLHTDKDYGVINPKVTKKMVRANTPTSEQLASLNLKEGRNVVTFTFSTAMLGKQQVDARIYLWKWNTHIVISDVDGTITRSDVLGQFMPMVGVDWSQMGVAHLFCAIKENGYQLLFLSARAISQAYHTRQFLVNLKQDGKALPDGPIVISPDGVFPSLFREVIRRAPHEFKIACLEDIRALFPSDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPMTSFEQEDFNSWNYWRLPPPVIDI >Potri.014G031000.8.v4.1 pep chromosome:Pop_tri_v4:14:1936387:1944483:-1 gene:Potri.014G031000.v4.1 transcript:Potri.014G031000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031000.v4.1 MNAVERLGRYITRGVYTVSGPFHPFGGAVDIIVVEQPDGSFKSSPWYVRFGKFQGVLKAREKVVNVSVNEVEADFHMYLDRRGEAFFLREVEGDEEESVLYPLSSSDETDEQSQKNRRPAKTKSCNYDAYQLNSGDQLDGTNGSIVARTNSRRSRILGLVFGRGSFKEDSCREGDDGAGKARTSLERAEIAADLLEVRWSTNLDPTKPRKDNDSRFSASDALEGNGDNMPAIDDKSQKESSLHDAIETNADRCMLAEETVSCNVETGNDLQSGFMSLECSAEEASVEMLTLGSMDQVAETSTMAESVLGEKCGVVSGLSRDIDELSSQNADPDVKAKGVISVVSAPESKISGVPEACSGENFGDKQPCDEKDASLPDHATSEEESESRAQSFIYCETSESSTMRLNCYTEQTHETLYLASGGPREAHFSAKTLHLTAEPLPEDMLNQQAEDIELKTEHIDASSSFSNQTNPSSCMHIHDKVNLEVPMIVSKSDAQKVGADPVLGSAEELESMSTVTILSFSNTGQKTQDVKNNGKEIIRNEPQSAVDSFGGSEHFYGSCGPTKTAIIPAPESSEEEQFIFSDLDELKPSRTQCESNFLGEKDEENDPSFCLERNEEMDGSFDTSDVSCSSPDQFVQESKLADLETSRENSKITSSPIGIPKVHSVTDAEVSRLVESLPNMRSRFDNMDANDLHFPLSHSLDSICKSLEETLCRTNESECVKLDTENEIQSAKEHSNIEGIHNSEDLENSVSSSTFGDSSKVIVASGGSWRIWPFSFKRSRSRKISQQALNDTRSSVSENMSDCNLHTDKDYGVINPKVTKKMVRANTPTSEQLASLNLKEGRNVVTFTFSTAMLGKQQVDARIYLWKWNTHIVISDVDGTITRSDVLGQFMPMVGVDWSQMGVAHLFCAIKENGYQLLFLSARAISQAYHTRQFLVNLKQDGKALPDGPIVISPDGVFPSLFREVIRRAPHEFKIACLEDIRALFPSDRNPFYAGFGNRDTDEISYLKVGIPKGKIFIINPKGEVAVNRRVDTKSYTSLHALVHGMFPPMTSFEQEDFNSWNYWRLPPPVIDI >Potri.010G070100.1.v4.1 pep chromosome:Pop_tri_v4:10:9815997:9818732:1 gene:Potri.010G070100.v4.1 transcript:Potri.010G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070100.v4.1 MGLKDHLKLKAFRLKRFLLGNGQNKRTAHMAKKASWMMPISHGYHVVEDQSFKGGADEADSDCVVVQREQIEELELWFFGISDAQIGHGVIKYLQSHLLDRNPKEIRRKSKEMMRKAYLAARAKIRETQKSDETWKAGSASVIVFNREKLLTANMGDFRVVVCRDGVAHQMKSKHQRTAKRLWSHRLLSGRILSWKSSNAASTKQSKGSELLVGAERIDSDTEFVIIGSTGIWEAMNNQEAVNLIGHLEDPQEAAECLAKEALTRMSKSNISCIVIRFD >Potri.005G180700.2.v4.1 pep chromosome:Pop_tri_v4:5:18682977:18691075:-1 gene:Potri.005G180700.v4.1 transcript:Potri.005G180700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180700.v4.1 MKRARPTPPPATPTTSRSSPIPPLPTPPQPRHSSKKHQKIIFKPTNWAPVNLTQSELSLPLTFPTGQTFRWKQTGPLQYTGSLGRHLISLKHHQNGDVYYQIHHSPSQSAAKSALLSFLNTNISLTEMWHGFAAADSRFAELAQHFKGARVLRQDPLECLIQFLCSSNNNISRITKMVDFVSSLGDHLGNVEGFEFHAFPSLERLALVTEQQLREAGFGYRAKYVTGTVNALQSKPEGGVKWLESLRKLQLQMVIDSLCTLPGIGLKVASCIALFSLDQHHAIPVDTHVWRIATTHLVPELAGASLTPKLCGRVADAFVTKYGKYAGWAQTLLFIAELPSQKALLPSVTVNEKKSSKKRGSKAQRGPSSTEEGGQQAD >Potri.005G180700.1.v4.1 pep chromosome:Pop_tri_v4:5:18688538:18691062:-1 gene:Potri.005G180700.v4.1 transcript:Potri.005G180700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180700.v4.1 MKRARPTPPPATPTTSRSSPIPPLPTPPQPRHSSKKHQKIIFKPTNWAPVNLTQSELSLPLTFPTGQTFRWKQTGPLQYTGSLGRHLISLKHHQNGDVYYQIHHSPSQSAAKSALLSFLNTNISLTEMWHGFAAADSRFAELAQHFKGARVLRQDPLECLIQFLCSSNNNISRITKMVDFVSSLGDHLGNVEGFEFHAFPSLERLALVTEQQLREAGFGYRAKYVTGTVNALQSKPEGGVKWLESLRKLQLQMVIDSLCTLPGIGLKVASCIALFSLDQHHAIPVDTHVWRIATTHLVPELAGASLTPKLCGRVADAFVTKYGKYAGWAQTLLFIAELPSQKALLPSVTVNEKKSSKKRGSKAQRGN >Potri.002G219700.1.v4.1 pep chromosome:Pop_tri_v4:2:21033818:21037266:1 gene:Potri.002G219700.v4.1 transcript:Potri.002G219700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219700.v4.1 MPILFQDSFIRSPKTLKNQGEMGYMHVLCLFFTQIIYILVLVAETTANVPAIIVFGDSSVDAGNNNVISTVLKSNFKPYGRDFEGGRPTGRFCNGRIPPDFISEAFGLKPAIPAYLDSQYSISDFATGVCFASAGTGYDNATSNVLNVIPLWKELEYYKDYQKKLRAYVGERKANEIFSEALYLMSLGTNDFLENYYTFPTRRSQFTVRQYEDFLVGLARNFITKLYHLGGRKISLTGVPPMGCLPLERTTNIMGQHDCIQEYNKVAVEFNGKLEGLVSELKRELPELRMLFTRTVYDNVYQIIRNPAAYGFQETGKACCATGTFEMSYLCNEHSITCPDANKYVFWDAFHPTERTNQIISQQLIPTLLAEFQ >Potri.002G219700.4.v4.1 pep chromosome:Pop_tri_v4:2:21033873:21036530:1 gene:Potri.002G219700.v4.1 transcript:Potri.002G219700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219700.v4.1 MGYMHVLCLFFTQIIYILVLVAETTANVPAIIVFGDSSVDAGNNNVISTVLKSNFKPYGRDFEGGRPTGRFCNGRIPPDFISEAFGLKPAIPAYLDSQYSISDFATGVCFASAGTGYDNATSNVLNVIPLWKELEYYKDYQKKLRAYVGERKANEIFSEALYLMSLGTNDFLENYYTFPTRRSQFTVRQYEDFLVGLARNFITKLYHLGGRKISLTGVPPMGCLPLERTTNIMGQHDCIQEYNKVAVEFNGKLEGLVSELKRELPELRMLFTRTVYDNVYQIIRNPAAYGKKLHSAFTSEVWFVIK >Potri.011G119200.1.v4.1 pep chromosome:Pop_tri_v4:11:15008487:15010036:-1 gene:Potri.011G119200.v4.1 transcript:Potri.011G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119200.v4.1 MGSSGFFLVCMLHSVIALTCGALMMFFTNEVTVFGHGIEIATKLKGSTSHDQLLIQTSESFSGLLLFAIGFLLFMVAFVKDREFQIFFAKGCTSLHVSVAFWRVYFEQKLEDLAHDLPRLVVGDIALALSWVFFLVYSWREKYD >Potri.010G026500.1.v4.1 pep chromosome:Pop_tri_v4:10:3844537:3848681:1 gene:Potri.010G026500.v4.1 transcript:Potri.010G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026500.v4.1 MTIKLQGDVEQGEIIGLEDLEKPLIFEEKIVVINDNNETDQDQESTGSIGMVLLCTFVAVCGSFEFGSCVGYSAPTQSAIREDLNLSIAEYSMFGSILTIGAMLGAITSGRIADFIGRKGAMRMSACFCITGWLAVFFSRGPFSLDVGRILTGYGIGVFSYVVPIFIAEIAPKNLRGGLTTLNQLMIVTGSSTAFLIGSVITWRGLALTGLVPCIFLLVGLCFVPESPRWLAKVGLQKEFRVALQKLRGKDADVTREAAEIQVYLENLQALPKAKLLNLFESKYIRSVIIGVALMVFQQFGGINGIGFYASETFASAGLSSAKIGTIAYACIQIPITMLGAILMDKSGRRPLMMISSTGTFLGSFLAGTSFFLKGQGLLLEWVPILTIAGVLIYVSAFSIGMGAVPWVIMSEIFPINIKGIAGSLVVLVNWSGAWAVSFTFNFLMDWSSSGTFLVYSGFSVLTVLYVAKFVPETKGKTLEEIQKSINS >Potri.015G045400.1.v4.1 pep chromosome:Pop_tri_v4:15:4399827:4402288:-1 gene:Potri.015G045400.v4.1 transcript:Potri.015G045400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045400.v4.1 MKDTDDQQLRPQTSWKLQVHLQNFIFYLLVFGCGLAFGIARTSYIRDISFNFQLDQFSNNRTNTSLSNSSSSPPFITIDRNRTGRIGLEEFLRAPNVSHDMNEEELLWRASMVPRLPNYPFQLVPKVAFLFLTKGPLPLAPLWDLFFKGHQGLYSIFVHSNPSFNGNYTEEEDSVFRGRKIPSKEVQWGKFSMVEAERRLLANALLDFSNQRFVLLSESCIPLFNFSTIYSYLMGSTTTFIEVYDLPGPVGRGRYNHRMRPVIQLDKWRKGSQWVEMDRQLAVEVVSDRKYFPTFRKFCKVSCYSDEHYLPTFVNMKSRKKNSNRSLTWVDWSRGGPHPRKFGRLDITVDFLERLRKWRRCENNGRWTNICYLFARKFTPAALDRLMRFAPKVMQF >Potri.001G315700.3.v4.1 pep chromosome:Pop_tri_v4:1:32633080:32635484:1 gene:Potri.001G315700.v4.1 transcript:Potri.001G315700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315700.v4.1 MAKVLSNKLFFVFFAIHILGSAVFLPAVVVEGTWCVARSDASTQALQTALDYACASGADCTPIQSSGLCFLPNTIQAHASYAFNSYFQRKAMAPGSCDFSGTASASKSDPSYGSCMYPSSLRLIFFGEQTQCMSENTHCRRDWYHYKHYTSR >Potri.001G315700.1.v4.1 pep chromosome:Pop_tri_v4:1:32633080:32635484:1 gene:Potri.001G315700.v4.1 transcript:Potri.001G315700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315700.v4.1 MAKVLSNKLFFVFFAIHILGSAVFLPAVVVEGTWCVARSDASTQALQTALDYACASGADCTPIQSSGLCFLPNTIQAHASYAFNSYFQRKAMAPGSCDFSGTASASKSDPSYGSCMYPSSLSTAGGTGTTTSTTPAANPNFQTPPSSGGTTGLNPGMTPLPDNSKASLGFMVTVTLLLLCHLLVFSFTFRPM >Potri.001G315700.4.v4.1 pep chromosome:Pop_tri_v4:1:32633080:32635484:1 gene:Potri.001G315700.v4.1 transcript:Potri.001G315700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315700.v4.1 MAKVLSNKLFFVFFAIHILGSAVFLPAVVVEGTWCVARSDASTQALQTALDYACASGADCTPIQSSGLCFLPNTIQAHASYAFNSYFQRKAMAPGSCDFSGTASASKSDPSYGSCMYPSSLRLIFFGEQTQCMSENTVSLFGFSCTAGGTGTTTSTTPAANPNFQTPPSSGGTTGLNPGMTPLPDNSKASLGFMVTVTLLLLCHLLVFSFTFRPM >Potri.010G061700.1.v4.1 pep chromosome:Pop_tri_v4:10:9123860:9124928:1 gene:Potri.010G061700.v4.1 transcript:Potri.010G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061700.v4.1 MAERVKLLGALPSPFVYRVIWALKLKGIPYEFIEEDLTNKSPLLLKYNPVHKQIPVLLHGDKPVCESMIIVEYIDEMWPQNPLLPNDPYERALARFWVKFAEDKGTSVRRMFHAKGEELEKTRKETLEMLQNVEEHGLGEKKFFGGDSIGIVDIAFGSVIYWLELIEEVVGEGVIFEAHKFPRLHAWIKNFKQAPIIKENLPDRAWTVTFFKRRREAMVASA >Potri.001G014700.1.v4.1 pep chromosome:Pop_tri_v4:1:1043592:1046030:1 gene:Potri.001G014700.v4.1 transcript:Potri.001G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014700.v4.1 MKVVFHVMAFLVPYSSCLLFLVILPQPFPATAESYKKITLGLSLTASNNDSWQSPSGEFAFGFQQVAVDGFLLAIWFDKIPEKTILWSANRNNLVQRGDKVKLMKDGQLVLNDRKGKQIWRADTAGSRVAYAAMLDSGNFVLARHDSVNLWESFREPTDTLLPTQTFSQGSKLVAGYSSMNRSTGRYQFTLQSDGNLVLYTLAFPIGSVNSPYWSSKTEGNGFLLSFNQSGNIYLAAKNGRMLVMLSSDPPPTSDFYHRAILEYDGVFRHYVYPKSMNPGAAGWPLRWSPLTSSFIPPNICTSIRENNGCGACGFNSYCSLGNDQKPKCSCPPGYTFLDPNDVMKGCKQNFVSQNCEEASQETELFYLEQKENTDWPLSDSEHFSTVTEEWCRKACLSDCFCAVAIFRDGNCWKKKIPLSNGRFDPSVGGRALIKIRQDNSTLNPADDDVPKNKSRSTIIIIGSLLVISSVSLNFLFILRAFLDVLQFGYEKTKKRYLEPTDPGVTLRSFTFSELEKATGNFEEELGSGAFATVYKGTLDFDERTFVAVKNLDKMVRDCEKEFKAEVNAIGRTNHKNLVKLLGFCNEGEHRLLVYELIRNGNLANFLFGNPRLNWFKRMQIAFGVARGLFYLHEECSTQIIHCDIKPQNILLDESFRAIISDFGIAKLLKADQTRTSTAIRGTKGYLAPEWFKNLPVTVKVDVYSFGILLLELICCRKNFEPEVKNEDQMVLAYWAYDCYRDGKAGLLVANDDDAVLDMKRVVKFVMIAIWCIQEDPSLRPTMKKVTLMLEGTVEVSAPPDPSSFISSIESF >Potri.008G111000.1.v4.1 pep chromosome:Pop_tri_v4:8:7048487:7049578:-1 gene:Potri.008G111000.v4.1 transcript:Potri.008G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111000.v4.1 MALVLWALIVACLSFPLAITDAQTPAASPSTTSPATPAPTTTTPPPSTTPAQSPISAMTLPPATTPISPPSPKVAPATSPIVPPPQPLQSPPTAAPIQTPALPPPPATPPPSLPPATPPPSLPPPRVSPAPAPAPAKETPSPSPAKEVPAPAPAPATPEPTPAPAPATPAPAPAIPPPAPSPALVLSPAPAPGKHKKKRKHKHKHKRHHHAPAPAPNPPSPPAPPTVTTVSEDTAPAPSPNPNGGNSLYHHEGWAGMLARTVLAIAYLLVVTGYSF >Potri.009G003650.1.v4.1 pep chromosome:Pop_tri_v4:9:1016005:1016923:1 gene:Potri.009G003650.v4.1 transcript:Potri.009G003650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003650.v4.1 MLRSYWFRVVASSAMLWDSWVGSSGGWSVSLAEVGAVMGAGLEGVATVGICGWLGLLSWVSVEKETTVMGFIWVICWLGMCGQKGDLMVVGEREVDDGGRFNGKSKEGG >Potri.003G072200.1.v4.1 pep chromosome:Pop_tri_v4:3:9995090:9996470:-1 gene:Potri.003G072200.v4.1 transcript:Potri.003G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072200.v4.1 MGKVHPQALVSSDPCYLSSKQESFTVWMKSLVLSGKGCTVFDSDGHVVYRVDNYDSKSSNEVHLMDFEGKVLYTILRKKLKLLGFWEGYRCEGSEIDKTKPGFQVRKTLRLLRGDAPCNITVGLDKNQPCQYKIESWTSKSAYKIVDKCGGLIAEVQRKQSACGVVLGEDVMTMVVEPFIDHSLIMGLVVVYSLINSKM >Potri.002G078300.2.v4.1 pep chromosome:Pop_tri_v4:2:5466536:5468741:-1 gene:Potri.002G078300.v4.1 transcript:Potri.002G078300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078300.v4.1 MIIFLATGNNKGGGYFASRGVFLCMYIGFTITWAVLNSFALQVIAFLGIISIWWQVIGGLAVIVMLPLVAQQTQSASFVFTHFETSPEATGISSKPYAVILSVLLSNYCLYGYDTAAHLTEETKGADRTGPAAILSSIGIISVFGWAYYLALTFSIQDFNYLYDENNETVGALVPAQIIYDAFYGRYHNSTGAVVFLCIIWGSFFFCGLSVTASAARVVYALSRDNGIPFSPIWRKIHPKYKVPTNAVWLCAAISIILGLPILKLDVIFTAIVSISTIGWVGGYAVPIFARLVMAEKNFKPGPFYLGRARRPICLVAFLWICYTCSAFLLPTLYPIQWKTFNYAPVAVGMFLTLIMLWWAFDARKWFKGPVRNIDLQNVIFKA >Potri.010G079250.1.v4.1 pep chromosome:Pop_tri_v4:10:10568811:10570461:1 gene:Potri.010G079250.v4.1 transcript:Potri.010G079250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079250.v4.1 MLLSLSIGTAFFIFFCMPIDKNDPSFKLSPSQNMSSGGPLSPVIAKFLTCPAQFISVPPSISSKPHLRSQVPPLYLSGIYLSCLNQHKNSKPFSIKIGSITTQTNPDFAISNNRFQLIKTSLRTRGFLERKSHEYPLKFCQHIKSSITNCA >Potri.001G149001.1.v4.1 pep chromosome:Pop_tri_v4:1:12449591:12451183:-1 gene:Potri.001G149001.v4.1 transcript:Potri.001G149001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149001.v4.1 MFLITSARKVPGDLIEDLLCGNPNRYCYNVGIFTCFLIFCVIKTEKVVVKWYYFSEGASESLNHLLFHCCRCLEIGALQALGILS >Potri.011G161600.1.v4.1 pep chromosome:Pop_tri_v4:11:18664796:18666811:1 gene:Potri.011G161600.v4.1 transcript:Potri.011G161600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161600.v4.1 MVSSRSSILSMLLFLLLTPFVVSLHLQDRFLKCLSRNSESSFPFSTVLYAPNNSSFNSILLSTAQNLRFTLPSVPKPELIFTPLKESHIQAAVICSKKLEIHLRVRSGGHDYEGLSYVSEIERPYIIVDLAKLRSISVDIEDNSAWVQVGATNGELYYRISEKSKTHGFPAGTCSSLGMGGHISGGAYGAMLRKYGLGADNVVDAHIIDVHGRLLDRKSMGEDLFWAIRGGAGGSFGIVTAWKVKLVSVPSTVTVFTVTKTLEQGATKILYRWQEIADKLDEDLFIRVLIQTANATSQGKRTIATSYNALFLGDATRLLQIMHHSFPELGLARQDCIETDWINSTVYLAFFPNNTPPEVLLQRRNIVKLFFKAKSDYAKEPLPETALEGLWDIILEEELPTVAFTPYGGKMSEISESQIPFPHRKGIRFMILYSANWQDAKENVAKHVDWTRKVYKYMQPYVSKHPRETYVNYRDLDLGINKRTNTSFTEAGTSWGLKYFKNNFHRLAFVKAKVDPDDFFWHEQSIPPLPFHMR >Potri.011G161600.2.v4.1 pep chromosome:Pop_tri_v4:11:18664796:18666811:1 gene:Potri.011G161600.v4.1 transcript:Potri.011G161600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161600.v4.1 MVSSRSSILSMLLFLLLTPFVVSLHLQDRFLKCLSRNSESSFPFSTVLYAPNNSSFNSILLSTAQNLRFTLPSVPKPELIFTPLKESHIQAAVICSKKLEIHLRVRSGGHDYEGLSYVSEIERPYIIVDLAKLRSISVDIEDNSAWVQVGATNGELYYRISEKSKTHGFPAGTCSSLGMGGHISGGAYGAMLRKYGLGADNVVDAHIIDVHGRLLDRKSMGEDLFWAIRGGAGGSFGIVTAWKVKLVSVPSTVTVFTVTKTLEQGATKILYRWQEIADKLDEDLFIRVLIQTANATSQGLARQDCIETDWINSTVYLAFFPNNTPPEVLLQRRNIVKLFFKAKSDYAKEPLPETALEGLWDIILEEELPTVAFTPYGGKMSEISESQIPFPHRKGIRFMILYSANWQDAKENVAKHVDWTRKVYKYMQPYVSKHPRETYVNYRDLDLGINKRTNTSFTEAGTSWGLKYFKNNFHRLAFVKAKVDPDDFFWHEQSIPPLPFHMR >Potri.014G044500.1.v4.1 pep chromosome:Pop_tri_v4:14:2871984:2874028:1 gene:Potri.014G044500.v4.1 transcript:Potri.014G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044500.v4.1 MMVAKKHCCCCEWKWIVLCQLFLATVVCSSHHGNPANDLVDIINKNRTSQKLPQLNDNPGLGCMALQYVELCKGNCTSNGVVNCKPPEDDFTEVFGPNCGVELPTFGTVTGHIVGCQPKYLEPSPAFSHVLVKDSKALSIIRNKSHTEVGVGLVGAHKGSFFWCILFSDGKTNSTFILEDNGEGIKQKKGCFSGSTFPCSSGQRIPVFLNNVLALVLLRISLLQHWYPTW >Potri.016G069500.1.v4.1 pep chromosome:Pop_tri_v4:16:4992992:4994672:-1 gene:Potri.016G069500.v4.1 transcript:Potri.016G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069500.v4.1 MDDIEVPRFFICPIYLQIMKDPVTTITGITYDRESIERWLFTSENTTCPVTKQSLPKDSDLTPNHTLRRLIQAWCTENASHGVDRIPTPKPCLDKAYVLKLIKNLSHHKLQIEALTQMEVLAAENERNRKCMVDAGLPKALLVFIVSCFENGQVSGIQEALSILRLIKIPRSESRVFLCENGKIIESLTWLLGYKMDNYATVKSHAVSVLRILLEDASSSVLKRLKPEFFERIVGVLREKITQQGIKDALEVLLDACPWAGNRKMMVESGAVFELIELELGSPERRTTELNLGVLFHLCCCAEGRAQFLSHGGSIAVVAKRILRVSPEVNDRAILILSLICKFSGTSMVIQEMVDVKAVPKLFMLLQADCAPYLKDKAREILRSHFDEWKNFPCIFSSLSSLEYKVSR >Potri.006G052200.2.v4.1 pep chromosome:Pop_tri_v4:6:3614825:3617290:-1 gene:Potri.006G052200.v4.1 transcript:Potri.006G052200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052200.v4.1 MGTPQFPDLGKHCSVEDCKQIDFLPFTCDRCRQVFCLEHRSYIKHSCPKADSNGVIVVICPLCAKGVRLNPDEDPNISWEVHVNTECDPSNYDKVTKKRKCPVRGCRELLTFSNTIKCRDCTLDHCLKHRFGPDHTCPGPKKPDVSFPFMGLLNRSKKEESKPNRATAVSSSKWTTNFLSAASTVRASAEAGMSKLSSEISQAWQTATNSASPSSSNGSGGMGPEECPQCGTRFSSVTNLIDHVQKVHEKGGNQSRVLQLPMEVCPKCSKGFRDPVALVEHVERDHRGTSKA >Potri.014G148400.2.v4.1 pep chromosome:Pop_tri_v4:14:10180998:10181795:-1 gene:Potri.014G148400.v4.1 transcript:Potri.014G148400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148400.v4.1 TDGRIVAIKKSKEVDQCRLEEFINEIVILSQINHRNVVELFGCCLETEVPLLVYKFIPNGTLFQQLHHPNGEFPFTWEIRLRIAVEVANAVSYLHSAASVPVYHRDIKSTNILLDDEYKAKMSDFGTSRALAIDQTHLTTLVLGTIGYLDPEYLQSNQFTEKRDVYSFGVVLFELLTGQFATPAGDVQNLAAYFRQSMEENRLFEIVDAGVLKEGRKEEITAVGKLARRCLDLNGQTRPDMKTTAKDLEMIRASQGASSAIQEET >Potri.010G174000.4.v4.1 pep chromosome:Pop_tri_v4:10:17470795:17479626:1 gene:Potri.010G174000.v4.1 transcript:Potri.010G174000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174000.v4.1 MTLGRIALARIPATRCKIHSLFSAPHSSSSTNRTSPPLSRHMSMKSENLVSKLTSSGLLKTQGLIDGKWVDANDGDTIKVLNPATGEVVAIVPCMGQSETNNAISSAYDAFRSWSKLTASERSQRIRKWYDLLIAHKEELGQLITLEQGKPLKEAMGEVSYGASFIEFYAEEAKRVYGDIIPATLGDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVLKPSELTPLTALAAAELALQAGIPPGVLNVVMGKAPDIGDALLASHEVRKITFTGSTAVGKKLMAGAAGTVKRLSLELGGNAPCIVFDDADLDVAVKGSLAAKFRNSGQTCVCANRIIVQEGIYDKFADSFSKAVQSMQVGDGFSEGVTQGPLINEAAVQKVESFVQDAIFKGAKVLLGGKRHSLGMNFYEPTIISNVTEAMLLSREEVFGPVAPLLRFKTEEEAILMANNTKAGLAAYIFTNNVQRSWRVTEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDEYLEMKYVCLGDMNRK >Potri.010G174000.1.v4.1 pep chromosome:Pop_tri_v4:10:17470798:17479675:1 gene:Potri.010G174000.v4.1 transcript:Potri.010G174000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174000.v4.1 MTLGRIALARIPATRCKIHSLFSAPHSSSSTNRTSPPLSRHMSMKSENLVSKLTSSGLLKTQGLIDGKWVDANDGDTIKVLNPATGEVVAIVPCMGQSETNNAISSAYDAFRSWSKLTASERSQRIRKWYDLLIAHKEELGQLITLEQGKPLKEAMGEVSYGASFIEFYAEEAKRVYGDIIPATLGDRRLFVLKQPVGVVGAITPWNFPLAMITRKVGPALACGCTVVLKPSELTPLTALAAAELALQAGIPPGVLNVVMGKAPDIGDALLASHEVRKITFTGSTAVGKKLMAGAAGTVKRLSLELGGNAPCIVFDDADLDVAVKGSLAAKFRNSGQTCVCANRIIVQEGIYDKFADSFSKAVQSMQVGDGFSEGVTQGPLINEAAVQKVESFVQDAIFKGAKVLLGGKRHSLGMNFYEPTIISNVTEAMLLSREEVFGPVAPLLRFKTEEEAILMANNTKAGLAAYIFTNNVQRSWRVTEALEYGLVGVNEGLISTEVAPFGGVKQSGLGREGSKYGMDEYLEMKYVCLGDMNRK >Potri.016G100500.3.v4.1 pep chromosome:Pop_tri_v4:16:10145446:10149047:1 gene:Potri.016G100500.v4.1 transcript:Potri.016G100500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100500.v4.1 MAKEGPNWDGLLKWSLAHSDGTAPNRNLSEEDRRWFTEAMQSQSVDVIKRMKEITLVMQTPEQVLESQGITPADIEELLDELQEHVESIDMANDLHSIGGLVPLLGFLKNTHASVRAKAAEVVTTIVQNNPRSQQMVMEANGFEPLLSNFTSDPDVTVRTKALGAISSLVRHNKPGIAAFHLGNGFAALRDALGSENVRFQRKALNLIHYLLHENSSDCSIVSQLGFPRIMSHLASSEDAEVREAALRGLLELARNKIDGNTGRLGEDDEKLKQLLEERINGISLMSPDELGAAMEEKQLVDTLWDTCYNEPSSLHDKGLLVLPGEDSPPPDVASKHFEPPLRARAARPDANKNSSTENKQTPLLLGLGPAPEAANVQGTSSGEVNADEPQNTST >Potri.016G100500.2.v4.1 pep chromosome:Pop_tri_v4:16:10145346:10149704:1 gene:Potri.016G100500.v4.1 transcript:Potri.016G100500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100500.v4.1 MAKEGPNWDGLLKWSLAHSDGTAPNRNLSEEDRRWFTEAMQSQSVDVIKRMKEITLVMQTPEQVLESQGITPADIEELLDELQEHVESIDMANDLHSIGGLVPLLGFLKNTHASVRAKAAEVVTTIVQNNPRSQQMVMEANGFEPLLSNFTSDPDVTVRTKALGAISSLVRHNKPGIAAFHLGNGFAALRDALGSENVRFQRKALNLIHYLLHENSSDCSIVSQLGFPRIMSHLASSEDAEVREAALRGLLELARNKIDGNTGRLGEDDEKLKQLLEERINGISLMSPDELGAAMEEKQLVDTLWDTCYNEPSSLHDKGLLVLPGEDSPPPDVASKHFEPPLRARAARPDANKNSSTENKQTPLLLGLGPAPEAANVQGTSSGEVNADEPQNTST >Potri.010G239700.1.v4.1 pep chromosome:Pop_tri_v4:10:21832958:21835585:-1 gene:Potri.010G239700.v4.1 transcript:Potri.010G239700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239700.v4.1 MGNCFTSSKQSMAELAPCDFIKSTPAVQLYGDPTSSFTLYLHLALLYKTRALQFTPTNDPIPVVQFGPETVSGPREMMVRFIDVKLPQPPLMVVVEEGGETAALVVKMVAMQHRSVVWHLERMVWWSEDLVTRGGKKNGDPTMGSARMEVRKFGKSYSQLLEVMIEHAQMEERVLFPLLETAERGLCKAANEEHGRDLPIMNGIREDMKSIGVLDTGSNDYREALRNLSTRLKSLLDHSKEHFQEEERDVLPLMEALELGKDQQLRVLEQCIDVMQGTHSHLFSFFIEGLLPREAMQYLDLITRCKEEKLVASMLRRIIE >Potri.013G025900.1.v4.1 pep chromosome:Pop_tri_v4:13:1681559:1683414:1 gene:Potri.013G025900.v4.1 transcript:Potri.013G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025900.v4.1 MALEAAVFQQDWFGHSSKELYNFPGGNWSYDFGLDQNEEDQDKSCSSYFLENQTETFLHGDWNPLPPPDSMVPPFSDLQLTCSNIPSLSEASINAANGLMSTTPTSDHHHHLGESSAMPATRVKRRRSRCKKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRSLMPESYVQRGDQASIIGGAINFVKELEQKLQVLGACKEMKEKPNGDDQQHVSSLPFSKFFIFPQYTTSSIHCESSAGKDEKLMKSQSAIADIEVTMVESHANLKIRSKRRPKQLLKVVSALHSMRLTVLHLNVSTVDQIVLYSLSVKVEDDCKLSSVDEIATAVYQMLGRIQEESMLNC >Potri.005G027300.2.v4.1 pep chromosome:Pop_tri_v4:5:1731043:1736994:-1 gene:Potri.005G027300.v4.1 transcript:Potri.005G027300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027300.v4.1 MEEESIQLDHDCNSTEESSTMQLVPPTSPEISGVCGHPIENPRVGDEYQAEIPSMISQAEHLQLVTIPFDSDGISSASHSFLIGLPVPIMWLDDHKVTSGEDEGCRSLSHPGDAVLKNESSKSKKCKKHCTVKKEGSELNAELLDDVKELKPATFQSKVAGKENLDQLCKSKNYYPLPGLLHNPWRNADVDGFILGLYIFGKNFVQMQRFIDKEVGEILSFYYGKFYMSDAYRRWSDTRKTKKKKCVYGHRIFTGWRQQELFSRLDPHVPVHFRNTFQEVSMEFTKGKISLEDYVFKLKATVGIQVLVEAVGIGKGKDDLTGLAMEPVKSNHLFPDCPAGKDYSSLTASEIIKLLTGGFRLSKARSNDIFWEAVWPRLLARGWHSEQPQNQGYVDTNHCLVFLVPGIKKFSRRKLVKGNHYFDSVSDVLSKVASEPTLIELEAEETRGSICYEEDGWDPGVPSNLDDQSICQPRQFLKPQVSKRNLNHVKFTVVDSSLDGGKKSKVKEMRYSPDDLKVMPLFTTLSGRTPRIFLESTLDKNDLDALGMPLDGEEKMNDVDCNEGGTFHACSSNSTKFTIVDTSLLLGGISVRPRELRCLPVEYDCASEMTNATENETDSSDNSLVQHAPDAANRPNYRRGIFEKSYQDESSELKEHQPTRTLKHQSSRRAKSSQSNYLVPPVKRQRLTVCSDTEMSDVIENFSGGMRSKQGRVCLALKAPSAGSKAFKVHGYRKKSSSTKPSAKCDPEEENGGGMLSANYFGLGNSQRENVEHQSPLLIDLNLPQVALDSNNGEVVPMEVENIQRINANNKCYPSQSDNTNTDMLSTSVDVTPAAEEPDMNPRRQSTRNRPMTIKALAALEYGFLEVKKRPKNDGVRTDKKSCFRALPRVQQHSSRSNIKACSVGAGIVDLKEERDASGAFIVE >Potri.006G278100.1.v4.1 pep chromosome:Pop_tri_v4:6:26877973:26879613:1 gene:Potri.006G278100.v4.1 transcript:Potri.006G278100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278100.v4.1 MDSKKSNKIRDIVRLQQILKKWRKLASSSRTTAASTTTSSKSMKFLKRTLSISENSAKETSSNAVPKGYLAVGVGEEQKRFIIPTEYLSHPAFLILLREAEEEFGFQQAGVLRIPCEVAVFESILKLVEEKKDLFFMQECRLDVDNIAVYCSSKSQQTPSHHPQSPMCR >Potri.006G082700.2.v4.1 pep chromosome:Pop_tri_v4:6:6134260:6136695:1 gene:Potri.006G082700.v4.1 transcript:Potri.006G082700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082700.v4.1 MNSFDRAKPFVAVIFLQVGFAGMDILSKAALNQGMSNYVLVVYRHAVATVVIAPFAAILDKKLRPKMTLSIFIKLVALSLLEPVIDQNLYFLGMKYTTATFAAAIVNVLPAITFIIAWIVRLEKVKIGSLHSQAKLAGTIATVAGAMLMTLMKGPLIEFFRIKGNAYHENGTGGIDLHSSIKGALMITVGCFSWACFMILQAITLKSYPAELSLTAWICLLGTIEGAVVALVAENGKPSVWAINWDMKLLAAVYSGIFCSGLAYYIQGIVMKDRGPVFVTAFSPLCMVIVAVMSCIIWAEQMYLGRILGAIIIVAGLYLVVWGKSKDYKTSSPPADEQTIPVKQTTVA >Potri.004G104301.1.v4.1 pep chromosome:Pop_tri_v4:4:9159558:9161092:1 gene:Potri.004G104301.v4.1 transcript:Potri.004G104301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104301.v4.1 MMFGSVVDERDCDKTSLCLCISCFYGFHTWLKDAINLVFGYVVSLIEIYIVSVFACHVHGPFLCSCFIMEQLQKNSVLHMTLDKATLFLGIFYVSCMEEFTHLIT >Potri.003G127600.7.v4.1 pep chromosome:Pop_tri_v4:3:14672908:14677624:-1 gene:Potri.003G127600.v4.1 transcript:Potri.003G127600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127600.v4.1 MYGGKRPGRGNHPPSITYKRNRNGGMPFDLADFVHDGHSPSISENNKPNDIKSGTSSPEIFSTTELVSAVGQVWNLLNPCAVPETKEQKNVILNDLNGAGAGGAAILDDNTKYFCVDIRNGSHVTQMVQPQFEFLKVTKKMLVFEPSSENYSRSLFWRFLKRGTSSRNESREGKGLATVGTGYELDKIYGWMKELIPAGSKYPANNSTEGCIARDRPTNPANNLASKTADCYSDSVESKEVSLCDNARVVTIGKSSSVSSDYFLGALHDFNANSSASRALNYVLCADYHINCLAPCKSTYEHFENDIGDFDVPKNSREQPQNLVTQGRTGIQIQSSACERPQYALAKQEHAFAGAFAGIFVSLSLHPIDTVKTIIQSCPAEQKSVSFIGRSIVSERGMTGLYRGIGSNIASSAPISAIYTFTYESVKGSLLPHFPKEHHSFAHCIAGGCASIATSFVFTPSERIKQQMQIGSHYNNCWSALVGIIGKGGFHSLYAGWGAVLCRNIPQSVIKFYTYESLKPLVLSSQNSSAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQTQIPGSMSPYGNVFHALQEIGKNEGLKGLYRGLTPRLVMYMSQGALFFASYEFLKRLFSLEMPQFSA >Potri.003G127600.1.v4.1 pep chromosome:Pop_tri_v4:3:14672859:14677883:-1 gene:Potri.003G127600.v4.1 transcript:Potri.003G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127600.v4.1 MYGGKRPGRGNHPPSITYKRNRNGGMPFDLADFVHDGHSPSISENNKPNDIKSGTSSPEIFSTTELVSAVGQVWNLLNPCAVPETKEQKNVILNDLNGAGAGGAAILDDNTKYFCVDIRNGSHVTQMVQPQFEFLKVTKKMLVFEPSSENYSRSLFWRFLKRGTSSRNESREGKGLATVGTGYELDKIYGWMKELIPAGSKYPANNSTEGCIARDRPTNPANNLASKTADCYSDSVESKEVSLCDNARVVTIGKSSSVSSDYFLGALHDFNANSSASRALNYVLCADYHINCLAPCKSTYEHFENDIGDFDVPKNSREQPQNLVTQGRTGIQIQSSACERPQYALAKQEHAFAGAFAGIFVSLSLHPIDTVKTIIQSCPAEQKSVSFIGRSIVSERGMTGLYRGIGSNIASSAPISAIYTFTYESVKGSLLPHFPKEHHSFAHCIAGGCASIATSFVFTPSERIKQQMQIGSHYNNCWSALVGIIGKGGFHSLYAGWGAVLCRNIPQSVIKFYTYESLKPLVLSSQNSSAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQTQIPGSMSPYGNVFHALQEIGKNEGLKGLYRGLTPRLVMYMSQGALFFASYEFLKRLFSLEMPQFSA >Potri.003G127600.4.v4.1 pep chromosome:Pop_tri_v4:3:14672911:14677827:-1 gene:Potri.003G127600.v4.1 transcript:Potri.003G127600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127600.v4.1 MYGGKRPGRGNHPPSITYKRNRNGGMPFDLADFVHDGHSPSISENNKPNDIKSGTSSPEIFSTTELVSAVGQVWNLLNPCAVPETKEQKNVILNDLNGAGAGGAAILDDNTKYFCVDIRNGSHVTQMVQPQFEFLKVTKKMLVFEPSSENYSRSLFWRFLKRGTSSRNESREGKGLATVGTGYELDKIYGWMKELIPAGSKYPANNSTEGCIARDRPTNPANNLASKTADCYSDSVESKEVSLCDNARVVTIGKSSSVSSDYFLGALHDFNANSSASRALNYVLCADYHINCLAPCKSTYEHFENDIGDFDVPKNSREQPQNLVTQGRTGIQIQSSACERPQYALAKQEHAFAGAFAGIFVSLSLHPIDTVKTIIQSCPAEQKSVSFIGRSIVSERGMTGLYRGIGSNIASSAPISAIYTFTYESVKGSLLPHFPKEHHSFAHCIAGGCASIATSFVFTPSERIKQQMQIGSHYNNCWSALVGIIGKGGFHSLYAGWGAVLCRNIPQSVIKFYTYESLKPLVLSSQNSSAQPNTLQTLVCGGLAGSTAALFTTPFDVVKTRLQTQIPGSMSPYGNVFHALQEIGKNEGLKGLYRGLTPRLVMYMSQGALFFASYEFLKRLFSLEMPQFSA >Potri.001G093800.1.v4.1 pep chromosome:Pop_tri_v4:1:7425353:7429201:-1 gene:Potri.001G093800.v4.1 transcript:Potri.001G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093800.v4.1 MKLIWRLNSLNKYNNWIFKLAIAVLLLGFAFRFFFYQSSSFEPNIETPFVDSTELSKEPVPSVEIPKPPPPVTVDIPKPPASVNTSETSLSSGVQEHEDETPQEELNDGKCDLFTGDWIPNSSGPIYTNATCSLIEGHQNCMRNGRPDSGYLFWRWNPRDCELPPFDAQMFLEVMRNKRWALIGDSISRNHVQSLLCILSTVEQAVEVYHDEEYKSKRWHFPSHNFTMSNIWSPFLVKAAIFEDNNGVSSSEVQLQLDKLDTNWTNLYQSFDYMIISTGKWFLKAAIYHENDTEVGCHICPGKNLTEKGFVFAYEKALRYAMNFIATSKHKGLIFFRTSTPDHFENGEWHNGGNCTKTTPAKEGEIELKDLNKILRAVELAEFEKASVKAAENGVNLKLLDFTNLLLSRPDGHPGPYRQFHPFAQDKNAKVQNDCLHWCLPGPIDYWNDVIMEMAVNG >Potri.001G093800.4.v4.1 pep chromosome:Pop_tri_v4:1:7425353:7429091:-1 gene:Potri.001G093800.v4.1 transcript:Potri.001G093800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093800.v4.1 MVLQVEQAVEVYHDEEYKSKRWHFPSHNFTMSNIWSPFLVKAAIFEDNNGVSSSEVQLQLDKLDTNWTNLYQSFDYMIISTGKWFLKAAIYHENDTEVGCHICPGKNLTEKGFVFAYEKALRYAMNFIATSKHKGLIFFRTSTPDHFENGEWHNGGNCTKTTPAKEGEIELKDLNKILRAVELAEFEKASVKAAENGVNLKLLDFTNLLLSRPDGHPGPYRQFHPFAQDKNAKVQNDCLHWCLPGPIDYWNDVIMEMAVNG >Potri.001G093800.3.v4.1 pep chromosome:Pop_tri_v4:1:7425400:7428490:-1 gene:Potri.001G093800.v4.1 transcript:Potri.001G093800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093800.v4.1 MRNGRPDSGYLFWRWNPRDCELPPFDAQMFLEVMRNKRWALIGDSISRNHVQSLLCILSTVEQAVEVYHDEEYKSKRWHFPSHNFTMSNIWSPFLVKAAIFEDNNGVSSSEVQLQLDKLDTNWTNLYQSFDYMIISTGKWFLKAAIYHENDTEVGCHICPGKNLTEKGFVFAYEKALRYAMNFIATSKHKGLIFFRTSTPDHFENGEWHNGGNCTKTTPAKEGEIELKDLNKILRAVELAEFEKASVKAAENGVNLKLLDFTNLLLSRPDGHPGPYRQFHPFAQDKNAKVQNDCLHWCLPGPIDYWNDVIMEMAVNG >Potri.005G150200.1.v4.1 pep chromosome:Pop_tri_v4:5:12808894:12809295:1 gene:Potri.005G150200.v4.1 transcript:Potri.005G150200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150200.v4.1 MSNQFLPLILVCAFGGACKQEGSLCLMQMAKIYSALYDCQLNKKLVYVSILTSPTTGGVTTSFGMLGDIIIVEPNAYIAFAGKRVIEQTLNKTVPEGSQAAEFLFHKGLFNPIVPRNLLKDVECEPFTYNEYL >Potri.003G017566.1.v4.1 pep chromosome:Pop_tri_v4:3:1885244:1885603:1 gene:Potri.003G017566.v4.1 transcript:Potri.003G017566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G017566.v4.1 MQIFSKVLRDTDVHVRFSFPTHCLEHLDFAGNNSVDLYVKDSCGELRVIRCLKRNGVYDKPVLSMGWLKFVDDYGLRVGDKVVLHREDDQNLGSQFMIEAKRRIKLFGEEDWGEVTRDN >Potri.009G126900.1.v4.1 pep chromosome:Pop_tri_v4:9:10488039:10489007:-1 gene:Potri.009G126900.v4.1 transcript:Potri.009G126900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126900.v4.1 MMAIRLPRILQVKQNILRGSSAAKDVRKGYIAVYVGEEEKKRFVIPVSYLNQPSFQDLLSKAEEEFGFEHPMGGLTIPCREDIFIDLTSSLKD >Potri.012G134600.3.v4.1 pep chromosome:Pop_tri_v4:12:14950766:14954896:-1 gene:Potri.012G134600.v4.1 transcript:Potri.012G134600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134600.v4.1 MAVGKSNSSHEPLKQPCNCYRVSSLIESILDTDQTSNLKDRYVLGEQLGWGQFGVIRVCTDKLTGEVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHDNVVDLKAVYEDENYVHLVMELCAGGELFQQLEKHGRFSEAEARDLFKHLMQVVQYCHENGVVHRDLKPENILLASKSSSSPIKLADFGLATYVKPGQSLRGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSNPWDHITQSAKELVRGMLCTDPSQRFTAQQVLDNSWMKYDLPYPEEPSQREKQSCQEWGLGGGSFSTLMARDQDVSFGTGSPIFHDVQSSTFKCRSSFSSFLAEPSTPIFAPGGFSFHNGDDSNALEFMSPVSSMPSFAFSSAGPVIELGSSTLELSSNISRIDSVCGGNQLSEISLGKMLLLPEPSLCCGCEAREMENSPVEVKRAGAEIGSRALGIHGKRNRTIGLGECEQLDLMVTESVIRWASCTHLPTAPSLRSSLVC >Potri.012G134600.2.v4.1 pep chromosome:Pop_tri_v4:12:14951255:14954896:-1 gene:Potri.012G134600.v4.1 transcript:Potri.012G134600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134600.v4.1 MAVGKSNSSHEPLKQPCNCYRVSSLIESILDTDQTSNLKDRYVLGEQLGWGQFGVIRVCTDKLTGEVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHDNVVDLKAVYEDENYVHLVMELCAGGELFQQLEKHGRFSEAEARDLFKHLMQVVQYCHENGVVHRDLKPENILLASKSSSSPIKLADFGLATYVKPGQSLRGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSNPWDHITQSAKELVRGMLCTDPSQRFTAQQVLDNSWMKYDLPYPEEPSQREKQSCQEWGLGGGSFSTLMARDQDVSFGTGSPIFHDVQSSTFKCRSSFSSFLAEPSTPIFAPGGFSFHNGDDSNALEFMSPVSSMPSFAFSSAGPVIELGSSTLELSSNISRIDSVCGGNQLSEISLGKMLLLPEPSLCCGCEAREMENSPVEVKRAGAEIGSRALGIHGKRNRTIGLGECEQLDLMVTESVIRWASCTHLPTAPSLRSSLVC >Potri.012G134600.4.v4.1 pep chromosome:Pop_tri_v4:12:14951400:14955216:-1 gene:Potri.012G134600.v4.1 transcript:Potri.012G134600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134600.v4.1 MAVGKSNSSHEPLKQPCNCYRVSSLIESILDTDQTSNLKDRYVLGEQLGWGQFGVIRVCTDKLTGEVLACKSISKDRLVTSDDARSVKLEIEIMTRLSGHDNVVDLKAVYEDENYVHLVMELCAGGELFQQLEKHGRFSEAEARDLFKHLMQVVQYCHENGVVHRDLKPENILLASKSSSSPIKLADFGLATYVKPGQSLRGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSNPWDHITQSAKELVRGMLCTDPSQRFTAQQVLDNSWMKYDLPYPEEPSQREKQSCQEWGLGGGSFSTLMARDQDVSFGTGSPIFHDVQSSTFKCRSSFSSFLAEPSTPIFAPGGFSFHNGDDSNALEFMSPVSSMPSFAFSSAGPVIELGSSTLELSSNISRIDSVCGGNQLSEISLGKMLLLPEPSLCCGCEAREMENSPVEVKRAGAEIGSRALGIHGKRNRTIGLGECEQLDLMVTESVIRWASCTHLPTAPSLRSSLVC >Potri.015G002500.1.v4.1 pep chromosome:Pop_tri_v4:15:124328:125200:-1 gene:Potri.015G002500.v4.1 transcript:Potri.015G002500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002500.v4.1 MSAPPPPPPPHQIHITPKPTTTFPDLFLTALSILFLFSSSSSTTTLTSFPKPHFPKLPFLSFPSSPRRFLKIPSMSVSRKTKNSHHFSTPQSLSDWLKPRLPSDSFASWGIKPGTKNIHNLWLEISQGETFLADSTPPIRTVNVVTVKIINKNQTLIESHQELSDGSVRNRCRPLSEKMKPNESFKDAIFRAINEELGSILKDGNEVSINIVNGSYKEKVEERNSMSYPGLPARYVLYSADVEVNGLPDGEFCTEEAEEYPDSEEKRVAEKAVSVKKHFWKWVSSDSVHS >Potri.015G029600.2.v4.1 pep chromosome:Pop_tri_v4:15:2280196:2285192:-1 gene:Potri.015G029600.v4.1 transcript:Potri.015G029600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029600.v4.1 MRGSSAACCAAPISVYTAKIACRNESPLFQFKILCPFASLTTTSIFTTARPFLSLSRSQPDKAKQKQKLWKFNCATIPSSSTVANPSNDFIVVNFYRFVFINDPHEEVAKHLSFLKGLDIHGRIYVNEQGINAQYSGPSKDALAYVEWLREDFRFSDILVQISPALNGHAFPKLKLRYKPSLVQLEGGISHLPLLDPTMRATPLAPSEWRKRLKEVHDSNCVVLDVRNGYEWDIGHFHGAQRPDVDCFRSTSFGESDSDEAIASDPLANVDKEKTNILMYCTGGIRCDVYSTILRQRGFQNLYTLKGGVSHYLQNEGPVEWVGNLFVFDDRLSLPPSAYNPEDTTVPSSNPQGCKNILFANCYICGSQVSELRHRNCANIDCNLLFLCCMECIKDLKGCCCPQCTTAPRLRPVLSGNQRYKKWYLYRELEVQNELAV >Potri.004G014250.1.v4.1 pep chromosome:Pop_tri_v4:4:909096:911690:1 gene:Potri.004G014250.v4.1 transcript:Potri.004G014250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014250.v4.1 MSLLKLLMHLSPFLVAHLFVSGVVSATLRILNNCDYTIWPVIINSTYDPRPLFYVTSTIPTGFSLGQNDWKDITTSDDWAGRLWGRTHCTEDDTGRFSCITGDCGSGKIECATVPAGSSPATMAEFKINKDGRYFFNVSLLDGYNLPLLVLPSNKNCKNAGCVVDLNGVCPSELTVNSSDGKIAGCRSACEAFGSQQYCCPGVYETTSTCKPTSYSQNFKKKCPSTYTYPLDDETGTFSCASSDYQIVFCAGNTTVYSGPAEPPPSPTVIIAPSLSPQVKKPPSPSPQGITPPSPSPKEITPPSPSPQDIPPSFVPFIAGVLLIVSFVVIFILKARWHGKSEQDQQDVEDHHIKHVPGMPVRFSYQELYVATDSFNERLGRGGFGSVFKGKLGDGTQIAVKRLEKRGQGMSAFLAEAEAIGSLHHFNLVRLIGFCAEKSSRLLVFEYLSNGSLDNWIFMNVQGSFLDWQTRKKIIVDIAKGLAYLHEDCRHTIIHLDVKPQNILLDSSFQAKIADFGLSKLINRDMSQVQISMRGTPGYLAPEWRQPLGRITVKVDIYSFGIVLLEIVCARRNADQSQPESAFHLLTLLQKKGGQDRVIDIVENLDEYTRSDREEITRMIKVAAWCLQDDPERRPLMSTVLKVLEGVMEVDSKINYRFSHAMISSPAGNNHISSAPPPASVLSNPR >Potri.003G140900.1.v4.1 pep chromosome:Pop_tri_v4:3:15701232:15705291:1 gene:Potri.003G140900.v4.1 transcript:Potri.003G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140900.v4.1 MAKSILVTGGAGYIGSHTVLQLLLGGYSIVVVDNLDNSSDIALKRVKELAGDFGKNLVFHQVDLRDKPALEKIFARTKFDAVIHFAGLKAVGESVQKPLLYFNNNLIGTITLLEVMTSHGCKQLVFSSSATVYGCPKEVPCTEEFPLSAASPYGRTKLFIEGICCDIHRSDSEWKIILLRYFNPVGAHPSGHIGEDPLGIPNNLMPYVQQVAVGRRPHLTVYGTDYSTKDGTGVRDYIHVVDLADGHIAALRKLSDANIGCEVYNLGTGKGTSVLEMVAAFEKASRKKIPLVMAARRPGDAEIVYAATEKAERELNWKAKYGIDEMCRDQWNWAGKNPYGYGSSDSTN >Potri.002G182500.1.v4.1 pep chromosome:Pop_tri_v4:2:14485457:14489688:-1 gene:Potri.002G182500.v4.1 transcript:Potri.002G182500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182500.v4.1 MVAVVESNANSNIVGQLNSGFNESNILNGNGTISRTNSGCGYVSSTSSAMTDEPCVSCTTFNILAPIYKRLDQKNQSVRESNFRAVWLSRNQKILNWLLHERSSIICLQEFWVGNEELVHMYQQSLGDAGYVTFQLARTNNRGDGLLTAVRKDCFTVLNCREVLFHDCGDRVAQLLHVQSALPFSQNRKGTAQQEFLIVNTHLLFPHDSCLSVVRLDQVYKILQYVEQYQRENKLNLMPILLCGDWNGSKSGHVYKFLRSQGFVSSYDIAHQYTDSYADAHRWVSHRNHRGNICGVDFIWLCNSIKSRKPLKKSWSEAVFGIIKCQLQKASLVEKDAFAFLKADNHGNFITYSAFCEALRQVNLIGLPYGLSSQETEDLWMQADINGNGVVGYEEFKRRIWNSECSEPREENCSERTGDCEQGLEEEAIGFNVKKAVLFPREAEKGRWPENYSLSDHAPLTVVFSPVRIQGSQRVTRL >Potri.001G031200.1.v4.1 pep chromosome:Pop_tri_v4:1:2334276:2335290:-1 gene:Potri.001G031200.v4.1 transcript:Potri.001G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031200.v4.1 MKNSQTVPTMRAEKRKRFQDLVEEEEEYVGLAFPPFDEEDLMAAEASYFDLSEELFFSSVHDDRKSAERVEGSSGNLSAVQIKQKRHGKGKDMKVLEEVYKHKDNACKDANTETKAKIIGSKKTSTKAAIGDGVSQEEKINLAKRKFHERYEQIAKEKKRKQIQVLSISELPKVPREKCRPVKYRSGFTPKFKSFAGCH >Potri.001G031200.2.v4.1 pep chromosome:Pop_tri_v4:1:2334673:2335291:-1 gene:Potri.001G031200.v4.1 transcript:Potri.001G031200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031200.v4.1 MKNSQTVPTMRAEKRKRFQDLVEEEEEYVGLAFPPFDEEDLMAAEASYFDLSEELFFSSVHDDRKSAERVEGSSGNLSAVQIKQKRHGKGKDMKVLEEVYKHKDNACKDANTETKAKIIGSKKTSTKAAIGDGVSQEEKINLAKRKFHERYEQIAKGW >Potri.011G014501.1.v4.1 pep chromosome:Pop_tri_v4:11:1336800:1337243:1 gene:Potri.011G014501.v4.1 transcript:Potri.011G014501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G014501.v4.1 MELPEEMSRLNSLQELVLDGCSNLDSLNMELEHHQGRKLLQSDEIVASTSFITSLPLKLFFPSRFSTRKMLRFTSFALPGSLTRLDLSGITMRSFPESIKDLGLLDFLYLRNCKMLQAVPELPSHLRLLDVSFCYSLQRLANLTVWT >Potri.004G119000.2.v4.1 pep chromosome:Pop_tri_v4:4:11267756:11270297:1 gene:Potri.004G119000.v4.1 transcript:Potri.004G119000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G119000.v4.1 MASISVPCPKTILVAAGVGSNAQNSQPKPMISFPRAPISNQNPPLSLGSTFSGFEWPNRKQQAVMKAQAQLNEVMVEKSSNSVLVGDSESKAASSEGKDEPTESKIPDVSSISAFMTQVSELVKLVDSRDITELQLKQSGCELIIRKKEALQQSEPAAPVLPMQSPYPHAMFPAPPVAAPAPAPASPSSAPALPPPAKASSSSHPPLKCPMAGTFYRSPAPGEPPFVKVGDKVQKGQVVCIIEAMKLMNEIEADQSGTITEIVAADGKPVSVDTPLFVIAP >Potri.001G101400.1.v4.1 pep chromosome:Pop_tri_v4:1:8174289:8181286:1 gene:Potri.001G101400.v4.1 transcript:Potri.001G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101400.v4.1 MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESVKDLISDNNPMVVANAVAALTEIQDNSVRPVFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAPDAREAENIVERVTPRLQHANCAVVLSAVKMILQQMELITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATVETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNLLDPSLLDELLANIATLSSVYHKPPETFVTRVKTTAQKTEDDEYAEGSEAGYPESSAHPADGATSPPTSSSNVAYAGATQPAPAPSSSPPAAPVPDLMGDLLGMNNSSIVPVDQPSTPPGPPLPVLVPASTGQGLQISAQLIGRDGQIFYSLLFENNSQIPLDGFMIQFNKNSFGLAAAGPLQVPQLQPGTSAAILLPMVLFQNMSAGPPSSLLQVAVKNNQQPVWYFNDKISLHVFFTEDGRMERGSFLESWRSLPDSNEVSRDLPDITVNGVESTLDRLAASNMFFIAKRKHSNQDVFYFSTKIPRGVAFLIELTTVVGTPGVKCAIKTPNPEMAPLFFEAIETLLKS >Potri.006G023301.1.v4.1 pep chromosome:Pop_tri_v4:6:1575963:1577941:1 gene:Potri.006G023301.v4.1 transcript:Potri.006G023301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023301.v4.1 MRRDSNLDLCLSPMAPSSSLPSASSHQNLMDEPAMRQQHLTIFYNGRISVCDVTEFQARAIIWLASREKEERSNTTRSNQAPKPLHSQLHSPPGLSLKRSLQRFLQKRKKRAEAISPYRQ >Potri.006G225100.4.v4.1 pep chromosome:Pop_tri_v4:6:22967059:22972816:-1 gene:Potri.006G225100.v4.1 transcript:Potri.006G225100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225100.v4.1 MHGRPRKAPKPEDLAASTAKAEKLRILQSQFLLNHHQKIYTKEALELSSKLLEINPECYTAWNYRKHAVQHSLFESNLDPDSVNSILDQELRVVENALRQNFKSYGAWYHRKWVLNKGHSSTENELRLLDKLQNVDPRNFHAWNYRRFVAALLNRSDEDELNHTQDFIDKNFSNYSAWHNRSVLVSNLMKKKVQAFSRKDEVLIREYELVREAVFTDEDDQSGWFYHLWLLDQTVKAESPLLASSWPAHGSEITLSGDRYLDLGSSSPFNTNQFDSGSLPLILYFNQAVEGVNASTVTVSSGLNVNMDVIWKPILSNNSRTTQVWVGQLKFPEVELDSLGAYTMEVTLGHSQGIISSSGFHYSHPSHFSFTVHVLPAKTEPVEGLGSEKISWRDENFHIYESDSLESNSVLPLDHLSIKNEREPTHSSWQAKIIDEEISNFRELLDCKIGKLTLARLLTARDALMSSDKPVHSEEVLRLYSELMKLDPPHSRFYKDEHSLVLLEKVISGRESLLSYCFRYRNLTSSSSSNPICLRLNGLSLSRLGSFEKLLWVQMLDLSHNELQSIEGLEAMQLLSHLNLSKNKFGSFTSLEPLRHLKSMKVLDLSYNEIGSHSIDTTRYLCSSPLCHSVGSEWDGSETVTDGVSLVSYWEAFFILRGLKLTQIDIAGNAIADEKFTAFLAKVLPALKWLDGVQLN >Potri.009G112184.1.v4.1 pep chromosome:Pop_tri_v4:9:9562738:9573479:-1 gene:Potri.009G112184.v4.1 transcript:Potri.009G112184.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112184.v4.1 MDMEIDFKNYQLSQELRGHEDDVRGICVCGNAGIATSSRDKTVRYWVPDPTDKRKYESSKILLGHSSFVGPLAWIPPNQDFVEGAIVSGGMDTMVLVWNLSNGEKVQSLKGHHLQVTGVVLDGEDIVSCSVDCTLRRWRKGQLVENWEAHKSAIQAIIKLPSGELVTGSTDTTLKLWKGKTCLHTFAGHSDTVRGLAEMHGLGILSASHDGSIRLWALTGEVLMEMVGHASIVYSVDSHVSGLIVSGSEDCSAKIWKDGACVQSIEHPGCVWDVKFLENGDIVTACSDGAVRIWTSYQERIAEPADLDSYVSQLSQYKISRKRVGGLKLEDLPGLEALQIPGTTDGQTKVIREGDNGVAYAWNLREQKWDKIGEVVDGPEDGMKRPVLDGFEYDYVFDVDIGDGEPIRKLPYNRSDNPYDTADKWLLKENLPLAYRQQIVEFILQNSGQGGVALDSSFRDPFTGANAYIPGGSSSMSVVSAKPTFKHIPKKGMLVFDVAQFDGILKKITEFHNSLLSDPVKKDLSLSELEISRLGAVIKILKDTSHYHTSRFADADIALLLKLLKSWPLAMTFPVIDILRMLVLHPDGATVLLKHVEDENDILMEMIKRVTTNPPLPPNLLTGIRAVTNLFKNLPYHTWLQKHQSEILDVFSSCYSSPNKNLQLSYATMILNYAVLLIEKKDLEGQSQVLTAALAIAEGENIEVDSKFRALVAVGSLMLDGLVKRIALDFDVENVAKTAKASKETKIAEVGADIELLTKQK >Potri.009G112184.2.v4.1 pep chromosome:Pop_tri_v4:9:9563346:9573350:-1 gene:Potri.009G112184.v4.1 transcript:Potri.009G112184.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112184.v4.1 MDMEIDFKNYQLSQELRGHEDDVRGICVCGNAGIATSSRDKTVRYWVPDPTDKRKYESSKILLGHSSFVGPLAWIPPNQDFVEGAIVSGGMDTMVLVWNLSNGEKVQSLKGHHLQVTGVVLDGEDIVSCSVDCTLRRWRKGQLVENWEAHKSAIQAIIKLPSGELVTGSTDTTLKLWKGKTCLHTFAGHSDTVRGLAEMHGLGILSASHDGSIRLWALTGEVLMEMVGHASIVYSVDSHVSGLIVSGSEDCSAKIWKDGACVQSIEHPGCVWDVKFLENGDIVTACSDGAVRIWTSYQERIAEPADLDSYVSQLSQYKISRKRVGGLKLEDLPGLEALQIPGTTDGQTKVIREGDNGVAYAWNLREQKWDKIGEVVDGPEDGMKRPVLDGFEYDYVFDVDIGDGEPIRKLPYNRSDNPYDTADKWLLKENLPLAYRQQIVEFILQNSGQGGVALDSSFRDPFTGANAYIPGGSSSMSVVSAKPTFKHIPKKGMLVFDVAQFDGILKKITEFHNSLLSDPVKKDLSLSELEISRLGAVIKILKDTSHYHTSRFADADIALLLKLLKSWPLAMTFPVIDILRMLVLHPDGATVLLKHVEDENDILMEMIKRVTTNPPLPPNLLTGIRAVTNLFKNLPYHTWLQKHQSEILDVFSSCYSSPNKNLQLSYATMILNYAVLLIEKKDLEGQSQVLTAALAIAEGENIEVDSKFRALVAVGSLMLDGLVKRIALDFDVENVAKTAKASKETKIAEVGADIELLTKQK >Potri.013G036100.1.v4.1 pep chromosome:Pop_tri_v4:13:2364962:2367479:-1 gene:Potri.013G036100.v4.1 transcript:Potri.013G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036100.v4.1 MADKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKEKLANLYEVKDPNTIFVFKFRTHFGGGKSTGFGLIYDTVDNAKKYEPKYRLIRNGLATKIEKSRKQLKERKNRAKKVRGVKKTKAGDAAKKK >Potri.004G145800.1.v4.1 pep chromosome:Pop_tri_v4:4:16832542:16847243:-1 gene:Potri.004G145800.v4.1 transcript:Potri.004G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145800.v4.1 MLRDFKFLRRNAKNNEEIENVPVNPRDSLASQSSTDSTRPPLNTIQDPAPNPNPRHDKTPNKPKVRNFEPLRTPDKVSKYRFGWAQRNESGGSSVISNESRDEVRTDFRDLSKGGGGFGAVGPNVTPRGNKRANSESNSTQSTPSKSVVSKPPVNSGFRGKGGSFSALYRGLPVSAGLGGTTVVNSVEVPHFDLKEDPSFWMEHNVQVLIRVRPLNSMERSMHGYNRCLKQEGAQSITWIGQPETRFTFDHVACETVDQEMLFRMAGLPMVENCLSGYNSCMFAYGQTGSGKTYTMLGEIDGLEVKPSPNRGMTPRIFEFLFARIQAEEESRKDERLKYNCKCSFLEIYNEQITDLLDPSSTNLLLREDVKKGVYVENLSEFEVQTVSDILKLLTQGSLNRKVAATNMNRESSRSHSVFTCVIESRWEKDSTTNLRFARLNLVDLAGSERQKSSGAEGERLKEAANINKSLSTLGHVIMILLDVVHGRARHVPYRDSRLTFLLQDSLGGNSKTMIIANVSPSICCAAETLNTLKFAQRAKLIQNNAVVNEDSSGDVIALQHQIRLLKEELSFLKRQNVSRSLSFGSTGKVTMQEQDTASTEIMHDMDQQHADDLRGVGGKGIVRMSTKQLKSLETTLAGALRREQMEETSIKKLEAEIEQLNRLVRQREEDTRSTKMMLRFREDKIQRMESLVGGLLPPDTYLLEENQALSEEIQLIQAKVDKNPEVTRFALENIRLLDQLRRFQEFYEEGEREILLEEVSKLREQLLQFLDGKFMMQNLPNANSQPQQAMRTNKENDSLHLELKNTLNELDECRRNLNSCLEENQKLSREINDLQYMLDNLKSVTHDRDGDIKTLKNFSGAPTSETVMLDGVQCKLESMEAAPEMMKHAEDVLDLQLELDILKIILKEERSSHEEIKERSMCSTRDLELAKVQLNFVTKQFEDATCELKEVKLVVEALESQQILAINEMEDLRKSKIHYAKLLGEKELQMMVLKEQISEKELRDLPSKHSGGEDSILQKKLKRMQDSLEKAKRLNVLYQNDHAFQASNEEEMDEVRQQAEAETAEVIVCMQEELSILQNQVHDCHLKEMETKNMVMLLETELKELREKLYVLNEENRGLNEMLEGKDGELKNLSEEWEFLACEVEAILADGQEAIMDAADQVDLISSSFPEKRIWISEQVGRLIRTISEKELLIEELGKCLEDANDKQNDVECMLNSLRGAALVMNEANQQECNEKEEEILFLNSQLAAKTSTIAELENKVKVAELHARKASDCATVAFVVVNRLSEVNLNNLHELAYKNVQLSESAAISQRKEALLNDQATAIKEAEEQIQFLKMEVAELKETCAQLQQRLSEEEKHARAMEEKLEEIEESDILNTREKLVELKTGVSSIRSCMATHGKYDRSPEMNERQRDGTINNGGSGWTDAGEGLRIDVSESSSTIGKRSLGTSCGRKDEGLRTPKDVTIILLKGEIEFALESLKEVKREMAKLHAEKEEIWMSEKQSQESMKCFTTQILALQEVFNNFETQFETKIQTVNDKLQAFEQIIQEAGICWCQTKEFLEMEVGDAKIVAVQKMAEASCIYAKFEEAQDTMKEADIMINELMIANEAMKLDMERMKQIEVKLTSERDMLDNEVQSLQSLNGLKDQQFEDLEMQFGSDLMETRDLVVQLEGVISQVQISFENFLSMLCEFHSLKALVLDSGKLVRSWLEDVWSEIIVKDSAVSVLHLCHMGILLETVTGLNAENGLLQHGLSESDSLITDLRERNSKTSRELQTCRTLKGKLLVDIKNSFVRILRKEEETERFGLKLTSFEKKISDIQLQEELMLQRSNYMGSQLAVLMKELDSTNTNAVESLFNQEKMLEDEKELRNSQTELFMMDLCSKDIESFILASQLEEMCLREVAAEREHLNCCSILENLKNEVIFSKIDTELKEHLLVAKEADVALLQRKVKEANREVQDLLSSLKDVACSNDKLRSELGEVMTTRMRLLSQIQELEAECDKLQKNLKSKESDLEKSSSHIDVISQQKQDLQKSICQLETASSKLQTELELKDSELRRLNWLEEENKSLKDEVSNLKTEKSLVLQDLEKKKYEVESSLSQVDMENDRLQDKILSLESVIASLQTDLEMQSAEVSELQNFQSVAKADMCLKNQDLQTFVCKLNALKDENILLRSEIRSHKKVLHEVLTKSALNTAKYVASVESVHSISHKLFNGMEKECYMLAEKMFHEICENIEGMSEFMKEIECLESCTADLVSDNMSLQAELLRKDDILKGLSFDMSLLQESASNTKDQKDKLKEVMASMEALEDELVVKSSELEQTVAHSQLLEAQLMEKIDAVSNLESDIAKGHLSLESLSCENLDLRAQIQEALAAKCSLEEELTEKRSLTESLETELSQMGDALGEMSDTIESLRSHLSELTSERDQLQLKMHSLEDKLQRTEAWAEEIEAIAEEAQQTAESRKINAEEKEAEVKLLERSVEELECTINVLENKVDILKGEAERQRLQREELEDELHSVKYQMQNVENVDSGIKRHLEEKERGLEEALKHIQILESSVSDKDAEISQFKAHVTELNLHAEAQASEYKQKFKALEAMVEQVKPEGHISHSMSSSSNKSEKNAAKSRGSSSPFKCIGLGLAQQIKSEKDEDLASARLRIEELESLAVNRQKEIFALNARLAAAESMTHDVIRDLLGVKLDMTNYVSLLDDKQVQKIAEKAQLGTFEPHVKDQEIIKLKQQLNGFIEERRGWLEEIDCKHAELVAAQVALEKLHQRDQLLKTENEMLKMENINHKKKVMELEGEVKKLSGQQNIQQRIHHHAKIKEENNSLKIHNEDLSAKLRRAEINLSRIKEELAHHRASVGKSPYIDFEGEQRLMNKLKETEDDKVQLAQKLLGLCTSILKAAGITKPVSSITPTIAEDALEQLKNRITSLERELQDLTVKAKITNERIRLSELRPQTSPINSRTDDNRQTPRRGQVPFFSALDR >Potri.003G213700.1.v4.1 pep chromosome:Pop_tri_v4:3:21033861:21036878:-1 gene:Potri.003G213700.v4.1 transcript:Potri.003G213700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G213700.v4.1 MENQPKLLWWIFFTIPLLIISNLQNCAYGEPQVPCFFVFGDSLFDNGNNNNLSTLAKANYTPYGIDFSKGPTGRFSNGNNTADVIAKLLGFDDYIPTFNEAKATKNILRGVNYASGSAGIRNESGRLAVGDVISLDEQLQNHRIIISLITEALGNKDSAMKHLNKCIYTIDMGNNDYTMNYFLPQLYNTSRQFSAHQYATVLIQQYSQQLESLYDLGARKVAVAGLIQNGCSPNALATYGTNGSSCVEVINNAVQIFNSKLIPLVTNLNANLPGAKFTYINFYQIDAESTRAFRFTRVACCNLTSTGLCDPSTIPCPDRTEYAFYDSAHPTEARALILGRRAYRAQSVTDAFPVDISLLAQL >Potri.014G179600.1.v4.1 pep chromosome:Pop_tri_v4:14:13708118:13712513:1 gene:Potri.014G179600.v4.1 transcript:Potri.014G179600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179600.v4.1 MASLLQCPTSPFPSSFSSNNPRSPFLRFHFPLRHAVRCNLVEPIKFANGKPYIPLLNTSAHHLSSTATSHLDNTLPPFNKHDTRLRIFSGTANPALSQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTITKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSGGIEPYSSLGID >Potri.014G179600.3.v4.1 pep chromosome:Pop_tri_v4:14:13708200:13713153:1 gene:Potri.014G179600.v4.1 transcript:Potri.014G179600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179600.v4.1 MASLLQCPTSPFPSSFSSNNPRSPFLRFHFPLRHAVRCNLVEPIKFANGKPYIPLLNTSAHHLSSTATSHLDNTLPPFNKHDTRLRIFSGTANPALSQEIACYMGLELGKIKIKRFADGEIYVQLQESVRGCDVFLVQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANLITEAGANRVLACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTICSDDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTITKGAALLHQEGAREVYACTTHAVFSPPAIERLSSGLFQEVIITNTIPVSEQNYFPQLTVLSVANLLGETIWRVHDDCSVSSIFQ >Potri.014G043800.2.v4.1 pep chromosome:Pop_tri_v4:14:2836087:2837570:1 gene:Potri.014G043800.v4.1 transcript:Potri.014G043800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043800.v4.1 MRCNKHPCDLSCSVGVCASCLRERLSALIAAQVQIQQQQQIAQLAKAHHNPRAATVIDESRKSDSNSQHHQQQQQQPPPLIFPLSVSPYVSRRKSDDHSSWYAHNQHHNLRFYSTPQVGPTYTTTTTAAYKKRNKKFSLFSSLFRSRSDKFSTHSTSYRDSIEASSSSSPSWLSTIFSGRRRKQSTQLSMGYSGSVSGKPRQRLDRGMSPARGSDSEEDCENCDRSPSGSGFSPESSPGWKKTPVSQASMRRGKAGHTRNVSGLTFCLSPLVRASPNRNWSQKGGLPPELGLSGETRAPVKPHLSTAASFCANRSRKLADFGRVNHNR >Potri.004G177300.2.v4.1 pep chromosome:Pop_tri_v4:4:19228532:19231218:-1 gene:Potri.004G177300.v4.1 transcript:Potri.004G177300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177300.v4.1 MAATLSVRSNHLSPPGSRLSRLPVHNPLNVNQIKSSLKKDPVFWRGVIVQPRRILVRAGSRADDSSAPFEMSVESALKLLGVSDGASFDEILRAKNSIVAICKDDQEAIAQVEAAYDMLLMRSLIQRRAGKVVSSNIRYADVKPVSGPGMGPMPQWVQTTIKKTPVSVETPSTGELGLQAGVYGALMVLTYVNGTSMPSVAPYAGADVPGLILATSFGASLYFMTKKNVKLGKATIITIGGLVAGAVVGSAVENWLQVDIVPFLGLHSPAAVVSEFILFSQFLVSLYLR >Potri.002G012433.1.v4.1 pep chromosome:Pop_tri_v4:2:759518:760546:-1 gene:Potri.002G012433.v4.1 transcript:Potri.002G012433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012433.v4.1 MEKKGISATLDKDTTLVALTKEAEEMSVAVFASLLSSISLTTKSKGSGSWSIVSKLKKSKRVVDAKTLLLHKSKMYRKVWRHYIGVFKKQKRSWNVSTDY >Potri.008G225401.1.v4.1 pep chromosome:Pop_tri_v4:8:19181823:19182071:1 gene:Potri.008G225401.v4.1 transcript:Potri.008G225401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G225401.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.017G121700.2.v4.1 pep chromosome:Pop_tri_v4:17:12706865:12707944:1 gene:Potri.017G121700.v4.1 transcript:Potri.017G121700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121700.v4.1 MRSLRSLSNQLNNLSSLKHLVIMNCDKLESFPEGVQNLNSLELLSIHGMPKITALSVLPSSLATLRILNCEELTSLSEGLQYLTALKDLELSRCVKLDSLPQRIRHLTSLQSLTISCCTEVSCLPNQIRHLTSLSRLHIHGCSNLMSLPEGIRYLEMLRELEIARCPNVERRCKKEKGKDWPKIAHIPTIIINNQVVQSSET >Potri.017G121700.1.v4.1 pep chromosome:Pop_tri_v4:17:12704085:12707937:1 gene:Potri.017G121700.v4.1 transcript:Potri.017G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121700.v4.1 MAEATISALVSTVLGNLNTLVLEELGLVFGIQTEFEKLKRTFMTVQAVLKDAEEKQWKDEAIRIWLTDLKDAAYDADDVLDEFAIEAQRRRQRGGLKNRVRSLFSLHQNPLVFRLKMAHKVKNVREKLDSIANEKNKFRLTEGVGENEADSFDWRITCSLVNESEIYGRDKEKEELISLLLANSDDLSVCAVCGMGGLGKTALAQFVYNDASVKGHFDLSIWVCVSVDFDIRRLSRAIIESIEGNPCTIQEMDTLQRRLQEKLIGRRFLLVLDDVWDHYHEKWNALKDALRVGARGCAILITTRLKQVADKMATIPVHLMGRLSEDDSWLLFERLAFGMRRREDYVHLESIGKAIVNKCSGVPLALKALGSLMRFKRNEREWLSVKESEIWNLPDEGGTILPALKLSYNNLPPHLKQCFGFCCMFPKDYVMKKDELVKLWMANGFIDPAGQMDLHETGYEIFDDLVGRSFFQEFKEDGFGNITCKMHDLIHDLAKSVMIEECYLIEKNRRPRIPKTVRHMTFLGRSLCYYDKDLVKVQSLRSLISIQVDYYRRGALLFKVSSQKKLRTLSLSNFWFVKFPEPIGNLQHLRYLDVSCSLIQKLPESISSLQNLQTLNLSYCPLLYMLPKRMKDMKSLMYLDLTRCDALQCMPSGMGQLACLRKLGMFIVGKEAGHHIGELQRLNYIGGDLSIKDLGNVQGFTDAQNANLMRKTNLQSLSLSWREDKNSIISEANSEDVLCALEPHSHMKKLEISGYRGSKFPDWMMELRLPNLVEISLESCMNCEHLPPFGKLRFLKHLQLKRMDTVKCIGSEMYGEGENPFPSLERLTLGPMMNLEEWETNTMGGREIFTCLDELQIRKCPKLVELPIIPSVKHLTIEDCTVTLLRSVVNFTSITYLRIEGFDELAVLPDGLLQNHTCLQKLSITKMRSLRSLSNQLNNLSSLKHLVIMNCDKLESFPEGVQNLNSLELLSIHGMPKITALSVLPSSLATLRILNCEELTSLSEGLQYLTALKDLELSRCVKLDSLPQRIRHLTSLQSLTISCCTEVSCLPNQIRHLTSLSRLHIHGCSNLMSLPEGIRYLEMLRELEIARCPNVERRCKKEKGKDWPKIAHIPTIIINNQVVQSSET >Potri.009G135100.1.v4.1 pep chromosome:Pop_tri_v4:9:10927929:10931637:-1 gene:Potri.009G135100.v4.1 transcript:Potri.009G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135100.v4.1 MAPVEDDQDVGQDQLDEEFSVWKKNTPFLYDFVISHPLEWPSLTVQWVPLATPLPHSTDPSSFSVHKLVLGTHTSDDFPNYLLIADAVLPTSVAEAKIDASGSSTNSVIPKVEITQKIRVDGEVNRARSMPQNPAIVGAKTSGCEVYVFDSTKQAERKQRDGCDPDLRLTGHDKEGYGLSWSPFKQGYLVSGSHDNRICLWDVSAVAQDKVLGALQVYEAHESVVEDVSWHLKNENLFGSVGDDCQLIIWDLRTNQIQHSVKAHEKEINYLSFNPYNEWILATASSDATVGLFDMRKLTVPLHALRSNTEEVFQVEWDPNHETVLASSADDRRLNVWDLNRIGEEQLELDADDGPPELLFSHGGHKAKISDFSWNKNEPWVISSVADDNTLQVWQMAESIYRDEDDIASAEEPPLAEK >Potri.012G047400.2.v4.1 pep chromosome:Pop_tri_v4:12:4400319:4406544:1 gene:Potri.012G047400.v4.1 transcript:Potri.012G047400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047400.v4.1 MAAHVEEREIQKKYWMDNISDLSVNAMMLDSKASELDKEERPEILSLLPPYEGKTVLELGAGIGRFTGELAQKASQVVALDFIESAIKKNENINGHYKNVKFMCADVTSPDLNFSEGSVDLIFSNWLLMYLSDKEVENLVERMVKWLKVGGFIFFRESCFHQSGDSKRKYNPTHYREPRFYTKVFKECHTFDGSGNSFELSLIGCKCISAYVKNKKNQNQICWIWQKVSSNDDKGFQRFLDNVQYKSNGILRYERVFGQGFVSTGGMETTKEFVEKLDLKPGQKVLDVGCGIGGGDFYMAENFEVEVVGIDLSVNMISFALERAIGLKCSVEFEVADCTTKTYPDNTFDVIYSRDTILHIQDKPALFRSFFKWLKPGGKVLISDYCKCAGTPSPEFAEYIKQRGYDLHDVKAYGQMLRDAGFDEVIAEDRTDQFNQVLLRELKAIEKEKDEFIHDFSEEDYNDIVGGWKAKLIRSSSGEQRWGLFIAKKK >Potri.015G096200.1.v4.1 pep chromosome:Pop_tri_v4:15:11763669:11765217:-1 gene:Potri.015G096200.v4.1 transcript:Potri.015G096200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096200.v4.1 MVTAQRKRLRKISEKPDLFDQLPDDLVLYILFKLSSSASCPSDFINILITCKRLKQLALDPLVLSKVGVKTFAVKAERWSDSAHQFLKQCVNAGNREALYTLGMIRFYCLQNRGSGASLMAKAAIKSHASALYSLAVIQFNGSGGSKNDKNLRAGVALCARAAVLGHIDALRELGHCLQDGYGVPQDIVQGRRFLVQANAKELALSLRSMLTWKPQQQHVDEQQNLHYACSVMGTAITGCPLLSDFGCNVPAREVHPVNEFLKEWFESRPGMLDHGLRLCSHSGCGRPETRPREFRRCSVCGTVNYCSRGCQALDWKLRHKVECVPMEQWQGVLEDGGDNGIGGMVEIEEGEDVALVE >Potri.018G039000.1.v4.1 pep chromosome:Pop_tri_v4:18:3088304:3091720:1 gene:Potri.018G039000.v4.1 transcript:Potri.018G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039000.v4.1 MASLSTSLPKPSFQHPNTSINLPDHLHNSCLVPRNLSFQRLGLISQQGLFGKVKIARCSHQAEALVDSNTQISDVPILTCSEAFERLKKNRENQKGKQQFLAMYSSIFGGITTDTSAMVIPLDDHMVHRGHGVFDTAAIVDGHLYEFDQHLDRILRSASLAKINLPFDRENIRRILIQTVSASKCKTGSLRYWLSAGPGDFQLSPSDCHQPALYAIVIQDKSPHDSRGIKVVTSSVPIKPPQFATVKSVNYLPNALSKMEAEENDAYASIWLDNDGFVAEGPSMNVAFVTKEKDLLMPAFDKILSGCTAKRVLTLAEGLVKEGKLHGIKIDDVTVEEGKKADEMMLIGSGVLVRPAVQWDNQVIGDGKEGPITRALLALILEDMKSGPPAVRVPVP >Potri.018G091900.1.v4.1 pep chromosome:Pop_tri_v4:18:11265366:11266613:-1 gene:Potri.018G091900.v4.1 transcript:Potri.018G091900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091900.v4.1 MDFVHGGTDSTDHEKKTSVLQGDNFFIDRVISRNSSVGCSSRIFYYRSAEGVPFKWEMQPGTPRDPPKEEIIPALSPPPAMLSLGLPKPCIDIEEPKTSMRSRFRFWKHIKKNKRNKKSQQGSEGNNVVNVANDESCTFERFDFYGSDNGDFIASSPRNSSSSSSLSSSNGHSRQLPRIESPSRGSTQEPHGCIPWNFNAVLVSVARRNI >Potri.011G127400.1.v4.1 pep chromosome:Pop_tri_v4:11:15581223:15585329:1 gene:Potri.011G127400.v4.1 transcript:Potri.011G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127400.v4.1 MKMWTLSCATPVAYPANSVRVSMPSVKVPSSSQISFQHNESPFVPEVVKAVDSLHSEFRAVDNLVACNTSRVLKAFQNARLGSHHFSGSTGYGHDEAGGREALDQAFAEIVGAESALVRSQFFSGTHAITCALFAFLRPGDELLAVAGAPYDTLEEVIGKRDSNGLGSLKDFGVEYREVPLAEDGGLDWDCLMRALKPHTKCALIQRSCGYSWRQSLSVNEIGRAIKMIKMQNPNCLVMVDNCYGEFVESIEPPAVGADLIAGSLIKNPGGTIAPCGGYIAGKKKWVEAAAARLSAPGLGIDCGSTPGDVMRAYFQGLFLSPQMVGEAIKGAFLIAEVMASKGYKVQPLPRVTRHDTVQAVQLGSRELLLAFCEAVQRSSPVASYTKPVAGSTPGYASEVIFADGTFIDGSTSELSCDGPLREPFAVYCQGGTHWTQWGLVLGEVLKHI >Potri.007G028800.4.v4.1 pep chromosome:Pop_tri_v4:7:2200118:2203765:1 gene:Potri.007G028800.v4.1 transcript:Potri.007G028800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028800.v4.1 MPVDLDNSSTASGEASVSSSGNQPPPPQQPPSKSAATAKKKRNLPGMPDPEAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKDVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESARAQTQTPNPNPKPNQESDPKVQVDSSPPPAPVAPPVAPDFGSDQGQAPPGLAQSTGMISSPVLAIQSPELSDDPSQIIEEAPAPAPAPAPAPAPAPATVTAGFNGSFSSSTSSSSNGSSTSSVFASLFASSTASGSLQAPQTTAFTDLIHAMAHPDRPTDLTPSSTEPISLCLSTNHGSSIFGTAGQERRQYAPPPQPAMSATALLQKAAQMGAAATNASLLRGLGIVSSSSSSAQPDNMQWGHRQMEPENASVTAGLGLGLPCDGGSGLKELMMGTPSVFGPKHATLDFLGLGMAAGGSPSGGLSALITSIGGGLDVAAAAASFGGGEFSGKDMRRS >Potri.007G028800.2.v4.1 pep chromosome:Pop_tri_v4:7:2200093:2203294:1 gene:Potri.007G028800.v4.1 transcript:Potri.007G028800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028800.v4.1 MPVDLDNSSTASGEASVSSSGNQPPPPQQPPSKSAATAKKKRNLPGMPDPEAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSKDVKKRVYVCPEPTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALAEESARAQTQTPNPNPKPNQESDPKVQVDSSPPPAPVAPPVAPDFGSDQGQAPPGLAQSTGMISSPVLAIQSPDDPSQIIEEAPAPAPAPAPAPAPAPATVTAGFNGSFSSSTSSSSNGSSTSSVFASLFASSTASGSLQAPQTTAFTDLIHAMAHPDRPTDLTPSSTEPISLCLSTNHGSSIFGTAGQERRQYAPPPQPAMSATALLQKAAQMGAAATNASLLRGLGIVSSSSSSAQPDNMQWGHRQMEPENASVTAGLGLGLPCDGGSGLKELMMGTPSVFGPKHATLDFLGLGMAAGGSPSGGLSALITSIGGGLDVAAAAASFGGGEFSGKDMRRS >Potri.010G135150.1.v4.1 pep chromosome:Pop_tri_v4:10:15060042:15060908:1 gene:Potri.010G135150.v4.1 transcript:Potri.010G135150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135150.v4.1 MFDFWWHDFNAHLWRSLPLTLHPKSVSLLDTPPQLYKVANLFITFHLSPQALPVLITKMPRKLTFLMMVLFLLSSGAKSRSISASTRAESTPPETSSHIPAGSSPGESSINDEGYSCSGSESEEECLIRRSMADHTDYIYTQDISGP >Potri.008G096500.1.v4.1 pep chromosome:Pop_tri_v4:8:6005939:6010786:-1 gene:Potri.008G096500.v4.1 transcript:Potri.008G096500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096500.v4.1 MGETNENRNRSSSSSRSSRVMESLSLDINRYPRDLLQRFMSSDAQQYQTATSDGEETEEIELNLGLSLGGRFGVDKSSKKLTRSSSIAGSIPLLRDYDALTTPPAPYPLLMRTSSLPTETEEEWRKRKEMQSLRRMEAKRRRSEKQRNLRGELSLEEVKLNKGNWVPTWASKQSGVVNRGNNLAGQQQQQQQASQGSVESQGGSSSGLSEMVSKPVQGSSSGGEARSPTSNQSLQERGSQEDLDSSGTKKNENACRASSTEMENFSKKLDSAENIGREIGTNAMEDMPCVFTKGDGPNGRRVDGILYKYGKGEEVRIMCVCHGSFLSPAEFVKHAGGSDVNHPLRHIVINSSGPSFV >Potri.008G096500.3.v4.1 pep chromosome:Pop_tri_v4:8:6006014:6010787:-1 gene:Potri.008G096500.v4.1 transcript:Potri.008G096500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096500.v4.1 MGETNENRNRSSSSSRSSRVMESLSLDINRYPRDLLQRFMSSDAQQYQTATSDGEETEEIELNLGLSLGGRFGVDKSSKKLTRSSSIAGSIPLLRDYDALTTPPAPYPLLMRTSSLPTETEEEWRKRKEMQSLRRMEAKRRRSEKQRNLRGELSLEEVKLNKGNWVPTWASKQSGVVNRGNNLAGQQQQQQQASQGSVESQGGSSSGLSEMDQAVVVKHEVPQVTSLCRNEAVKKI >Potri.017G055200.1.v4.1 pep chromosome:Pop_tri_v4:17:4258142:4263171:1 gene:Potri.017G055200.v4.1 transcript:Potri.017G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055200.v4.1 MELAMASTSCAKQSPTLMKEPVMNYVQYPAPGATYEDVIVSPKLFMETLEKFHAAMGTKFMIPIIGGKELNLHRLFVEVTSRGGIEKIIRERRWKEVTGVFNFPSTATNASFVLRKYYGSLLQHYEQLYYFKARSWSPASPVPLQSSSISQCPAQVTVQPSPEYQAAAVKQQTANIPELCRAMPGSSASTSVIGVIDGKFESGYLVTVTVGSEKLKGVLYQAPQNQSWQVPQPCSVPANNSVNTQAVPGTRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFAEQHARLKPLYPGKDREISRMIGELWNKINDSQKAVYQDKALKDKERYKIEMEGYRERLRTGKVISDAVPLQQWLPGKDTEMVEVNVSTGETGGGSPQNDSSSGESDSEDKTAEKDLDMEASPLEGLGADSGDMDVETSAEVTPFKLLSKREENVKDEGVEKPGNPATENSETTVQTLEENIHGGKH >Potri.015G141700.4.v4.1 pep chromosome:Pop_tri_v4:15:14793118:14794509:-1 gene:Potri.015G141700.v4.1 transcript:Potri.015G141700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141700.v4.1 MLVFISLGLLNPRLKPLKTLQSTLYNLKHTKPMSQSTSIPKKQLRVRDHGFDNYMEIEKKTRKVLKFQSLILSQYNQTLPISRLDNLAGRLGFKQFEAGAFILKFPHVFEVYEHPVQRVLYCRLTRKAVNQIMQEKEALLAQIPDAVTRLRKLIMMSNEGRLRLEHVRIARYEFGLPDDFEYSVVLKYPQFFRLVDASETRNKYIEVVDRDRRLTVCAIEKVREREYREKGMDAEDVRFKFMVNFPPGFKIGKYYRIAVWKWQRVPYWSPYEDISGYDLRSIEAQKRMEKRAVATIHELLSLTVEKKITMERIAHFRMAMNLPNKLKGFLLQHQEIFYVSTRGNHGKLHTVFLREAYRKGELVEPNGLYLARRKLCELVLTSSRKANVDRGLVHYRGDREDDEMERFRRDCSEDGFEGGKEGKDGEREDDLNLDLSCDVGSDCTDEDDDVDGIEKAEKAQLNG >Potri.008G074033.1.v4.1 pep chromosome:Pop_tri_v4:8:4598435:4599070:1 gene:Potri.008G074033.v4.1 transcript:Potri.008G074033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074033.v4.1 MSSPTIPISNPQTLSQPPIATPAFRTFLSRLSISIRQGFSQRRPWYELIDRSSMARPDSISEAATRIRKNLSYFKVNYITLLALILAFSLLSHPLSLLALLSLLASWIFLYLFRPSDQPLVILGRTFSERETLGILVVLTIVVIFLTSVGSLLISALMVGFALVCAHGAFRVPDDLFLDDQEPASAGFLSFLGGGASSAAVAAAPAVLARV >Potri.010G165400.1.v4.1 pep chromosome:Pop_tri_v4:10:16919875:16921082:-1 gene:Potri.010G165400.v4.1 transcript:Potri.010G165400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165400.v4.1 MMFGKQFKQQKWTGAYMDYNDSSGLNLQSTNLSSKGDIEDQVIDVNSLPQDGCRKFYTTQFLRESEEGGELEVKFFKKLDEQLNKFNTFYKDKLDEMKHEASLLNKQMDAFIALRIKVESPGFDDSCAKKSCDTGVVTTNPLKSCSPSRDTPSGLEDMDVGRGVEMSNDFQPEKSTYEQSGREHMESTIEMDRRNDYDQEESTHCPEVNEINTTNYGNAHQEKDNLIDYNSTHEIT >Potri.002G238800.1.v4.1 pep chromosome:Pop_tri_v4:2:23145966:23151412:1 gene:Potri.002G238800.v4.1 transcript:Potri.002G238800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238800.v4.1 MEVSLRSFCVRTMLVLVVLISVNAAEEKDEQKLRLKETQELLSSKNSSMADWYDDAWNEHTMEDPEEVAAMVDESIRNSTERRKLGFFSCVTGNPIDDCWRCDPHWQLHRKRLANCGIGFGRNAVGGRDGRFYVVSNPGDDDPVNPKPGTLRHAVIQDEPLWIVFKRDMVITLKQELIMNSFKTIDARGTNVHIANGACITIQFITNVIIHGLHVHDCKPTGNAMVRSSPSHYGWRTIADGDGISIFGSSHIWIDHNSLSNCADGLIDAIIGSTAITISNNYFTHHNEVMLLGHSDSYQRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPANAFAKEVTKRVETNAGVWKHWNWRSEGDLLLNGAYFTASGAGAAASYARASSLGAKSSSMVGDITSNAGALSCRRGRRC >Potri.002G238800.2.v4.1 pep chromosome:Pop_tri_v4:2:23146149:23151677:1 gene:Potri.002G238800.v4.1 transcript:Potri.002G238800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238800.v4.1 MEVSLRSFCVRTMLVLVVLISVNAAEEKDEQKLRLKETQELLSSKNSSMADWYDDAWNEHTMEDPEEVAAMVDESIRNSTERRKLGFFSCVTGNPIDDCWRCDPHWQLHRKRLANCGIGFGRNAVGGRDGRFYVVSNPGDDDPVNPKPGTLRHAVIQDEPLWIVFKRDMVITLKQELIMNSFKTIDARGTNVHIANGACITIQFITNVIIHGLHVHDCKPTGNAMVRSSPSHYGWRTIADGDGISIFGSSHIWIDHNSLSNCADGLIDAIIGSTAITISNNYFTHHNEVMLLGHSDSYQRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPANAFAKEVTKRVETNAGVWKHWNWRSEGDLLLNGAYFTASGAGAAASYARASSLGAKSSSMVGDITSNAGALSCRRGRRC >Potri.002G238800.3.v4.1 pep chromosome:Pop_tri_v4:2:23147267:23151423:1 gene:Potri.002G238800.v4.1 transcript:Potri.002G238800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238800.v4.1 MVDESIRNSTERRKLGFFSCVTGNPIDDCWRCDPHWQLHRKRLANCGIGFGRNAVGGRDGRFYVVSNPGDDDPVNPKPGTLRHAVIQDEPLWIVFKRDMVITLKQELIMNSFKTIDARGTNVHIANGACITIQFITNVIIHGLHVHDCKPTGNAMVRSSPSHYGWRTIADGDGISIFGSSHIWIDHNSLSNCADGLIDAIIGSTAITISNNYFTHHNEVMLLGHSDSYQRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSADPTINSQGNRYLAPANAFAKEVTKRVETNAGVWKHWNWRSEGDLLLNGAYFTASGAGAAASYARASSLGAKSSSMVGDITSNAGALSCRRGRRC >Potri.006G010800.3.v4.1 pep chromosome:Pop_tri_v4:6:697123:700639:-1 gene:Potri.006G010800.v4.1 transcript:Potri.006G010800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010800.v4.1 MSSHIPFDIITRILISILDIKTLLCFRSVSKEWRSLIDSSGFINTHMSLSIKTNTNNTLLILGEGGLNPINFDDLSPGDLLNLQDQPFISIGWQDVRLMGSCNGLVCLSNDDGDVVILNQSTREHKGILSLVRHRFELSVSSAPDEHWVWGSGYGFGYDAVSNDYKVVSIAKFLGIGFTSFKESEMTIYSAKKDLCWVIKIPYAMLFTNDKMGVYFHGSLHWIAKGFGSWRDRIVGFDLGLDEFREVPQPDYGGNIVIDIDLGVLGSFLCVFAKVRDWSADVWIMKEYGVKESWSKVFSISRNVLSYDSVRPLGYSRRGREVLLELDEKRLVWYGMETRRVVDVVIQGRKKERLEAILCLNSLVPPDGNDRVVNSQQPTKEHKKNEEEEG >Potri.002G190800.1.v4.1 pep chromosome:Pop_tri_v4:2:15279356:15280090:1 gene:Potri.002G190800.v4.1 transcript:Potri.002G190800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190800.v4.1 MENEKGEVSASNTGELDIEQEDQKMEQFFALIRSFQEARNRRKDELEEKQKKKKVRRLNDKEPQSSWVPSFEWEDFTEDIKFRRPPLIFPSPCNDKKILDDKKPKEEDGLDLRLTL >Potri.002G076200.1.v4.1 pep chromosome:Pop_tri_v4:2:5331627:5332835:-1 gene:Potri.002G076200.v4.1 transcript:Potri.002G076200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076200.v4.1 MGCCISKCRPKKRSFEECHGNNVEDKLVISQAPKTPKIPVPVSNKISPSPPSPTTSTSSSGSAFTCCTNSNTTTISSCSSLSSGSSILNSKDRSFSNEFLWSCVKENPHIIRINSIKERSQLLASPNVYSRKLGSPAKQVVAPMQQSIPQKVNTSTPHKRVRSNSPTALTRQKSFRREPDRFNPSYSLPISRTLRSPSPSRRFNGDSGRGILTITPKESCSARTVGARVNSSNSFSSTSRKENLRLPSQYINSSQLRSCLRNRETCIHRISSKIDEDAVKEALAQQDSDSIPMEDIDNPLISLDCFIFL >Potri.004G157000.5.v4.1 pep chromosome:Pop_tri_v4:4:17795059:17799346:1 gene:Potri.004G157000.v4.1 transcript:Potri.004G157000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157000.v4.1 MSDRVLQSYQDRDDIPVKSTKQCQSIWMSHWTRASCRKANEAPQQLGHDPPEVGNGNKYHSLLSGPEMETGSSKFVTGLGEVNKGKRINVMNDNLTMSPKRLRNEMFEGQSSFAMFKPSQDRESVLYLENVVSSGNREGVLKSRIGTNSEYDVSHGRNEDHLPSIPAQALPEVEIQERKSQFQAEDLNLVPEQRVKSNSFLEWSSRVVSAHVQDDFVRSTPDIVPYEFEVGRAPIQPFFSRLDHINEPGSTSLVHEKKMNKNAGLLFRDPSTSNNQPRDFFGKSFHMMPNPSDFELLPRQISTRGDSQLGKLYNGSYALPTLPSVHDGETMRIRTTIDSMEEFSRGPPMYTQTTHSFFIKKKTDVNLPDGAQMFRESAMSTEIKGKMVTELLAISPDFGFHVKQGVKLLPLDSSTGSEGKESTGNVKTSAVVKENDSLAEGKENTKNANTSAVNEESDSSAETDTMDMDAYCENHLSGVASLQSDKDINEGQKLPASQAGMPSVRQEIKGRQMNTELPDINQELIVLPGLAMSPDNMETSTSRTQSLDAECFLPNAEHSTNSKSGDCPEAPVRLDPCSRWFKRLKASASKVEEASSHQKFSKLFNKMLRHSKTSSEPKTSESDGKESMPDQTAELLRNAESYSTDPARKSQEITLSHVWVQRWFHNPSASPKKKPGAVVVSLPESSEAALDFQKKQFPSTAAMALMGKAMNGFCPCEFRKSGSSVVWNTK >Potri.004G157000.7.v4.1 pep chromosome:Pop_tri_v4:4:17795638:17799318:1 gene:Potri.004G157000.v4.1 transcript:Potri.004G157000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157000.v4.1 MSDRVLQSYQDRDDIPVKSTKQCQSIWMSHWTRASCRKANEAPQQLGHDPPEVGNGNKYHSLLSGPEMETGSSKFVTGLGEVNKGKRINVMNDNLTMSPKRLRNEMFEGQSSFAMFKPSQDRESVLYLENVVSSGNREGVLKSRIGTNSEYDVSHGRNEDHLPSIPAQALPEVEIQERKSQFQAEDLNLVPEQRVKSNSFLEWSSRVVSAHVQDDFVRSTPDIVPYEFEVGRAPIQPFFSRLDHINEPGSTSLVHEKKMNKNAGLLFRDPSTSNNQPRDFFGKSFHMMPNPSDFELLPRQISTRGDSQLGKLYNGSYALPTLPSVHDGETMRIRTTIDSMEEFSRGPPMYTQTTHSFFIKKKTDVNLPDGAQMFRESAMSTEIKGKMVTELLAISPDFGFHVKQGVKLLPLDSSTGSEGKESTGNVKTSAVVKENDSLAEGKENTKNANTSAVNEESDSSAETDTMDMDAYCENHLSGVASLQSDKDINEGQKLPASQAGMPSVRQEIKGRQMNTELPDINQELIVLPGLAMSPDNMETSTSRTQSLDAECFLPNAEHSTNSKSGDCPEAPVRLDPCSRWFKRLKASASKVEEASSHQKFSKLFNKMLRHSKTSSEPKTSESDGKESMPDQTAELLRNAESYSTDPARKSQEITLSHVWVQRWFHNPSASPKKKPGAVVVSLPESSEAALDFQKKQFPSTAAMALMGKAMNGFCPCEFRKSGSSVVWNTK >Potri.004G157000.6.v4.1 pep chromosome:Pop_tri_v4:4:17795112:17799305:1 gene:Potri.004G157000.v4.1 transcript:Potri.004G157000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157000.v4.1 MSDRVLQSYQDRDDIPVKSTKQCQSIWMSHWTRASCRKANEAPQQLGHDPPEVGNGNKYHSLLSGPEMETGSSKFVTGLGEVNKGKRINVMNDNLTMSPKRLRNEMFEGQSSFAMFKPSQDRESVLYLENVVSSGNREGVLKSRIGTNSEYDVSHGRNEDHLPSIPAQALPEVEIQERKSQFQAEDLNLVPEQRVKSNSFLEWSSRVVSAHVQDDFVRSTPDIVPYEFEVGRAPIQPFFSRLDHINEPGSTSLVHEKKMNKNAGLLFRDPSTSNNQPRDFFGKSFHMMPNPSDFELLPRQISTRGDSQLGKLYNGSYALPTLPSVHDGETMRIRTTIDSMEEFSRGPPMYTQTTHSFFIKKKTDVNLPDGAQMFRESAMSTEIKGKMVTELLAISPDFGFHVKQGVKLLPLDSSTGSEGKESTGNVKTSAVVKENDSLAEGKENTKNANTSAVNEESDSSAETDTMDMDAYCENHLSGVASLQSDKDINEGQKLPASQAGMPSVRQEIKGRQMNTELPDINQELIVLPGLAMSPDNMETSTSRTQSLDAECFLPNAEHSTNSKSGDCPEAPVRLDPCSRWFKRLKASASKVEEASSHQKFSKLFNKMLRHSKTSSEPKTSESDGKESMPDQTAELLRNAESYSTDPARKSQEITLSHVWVQRWFHNPSASPKKKPGAVVVSLPESSEAALDFQKKQFPSTAAMALMGKAMNGFCPCEFRKSGSSVVWNTK >Potri.005G068100.1.v4.1 pep chromosome:Pop_tri_v4:5:4421214:4422933:-1 gene:Potri.005G068100.v4.1 transcript:Potri.005G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068100.v4.1 MSFQYPLRNSSVGASFSSAPPEKISEDPSTNKTPQSTVTCAYHAYVAGYWRTITVFWCKNLMNHTLNLIINNLEGEVCYSCKIDLKPWLFWSKKGGKSFELEGCQVDIHWDFRSAKFSGSPEPASDYYVAIVSDEEVVLLLGDYKKKAYKRTKARPALVEAILYLKKENVFHRKTFSTRAKFDERKNEHDIIVESSTGGSRDPEMWISIDGIVVIHVMNLQWKFRGNQTVMLSRQPVQVFWDVHDWLFSTPGTGHGLFIFKPGVPEPEDDKDGSSYGGLSDTSDGSMYFSTRSISATAEFCLFLYAWKIE >Potri.002G181300.1.v4.1 pep chromosome:Pop_tri_v4:2:14359913:14363005:1 gene:Potri.002G181300.v4.1 transcript:Potri.002G181300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181300.v4.1 MSDVTKTQENEVETKHQAPRLNERILSSLSRRTVAAHPWHDLEIGPGAPHIFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDIKELAPHRLSEIRRFFEDYKKNENKEVAVNDFLPSNTAVEAIQYSMDLYAEYVLHTLRR >Potri.018G088600.1.v4.1 pep chromosome:Pop_tri_v4:18:10757162:10759004:-1 gene:Potri.018G088600.v4.1 transcript:Potri.018G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088600.v4.1 MESGLKALWVLSVVLLVSNWQHWTYGKAVPQVPCYFIFGDSLFDNGNNNYLSTPAKVNYLPYGIDFDTGASGRCSNGLNIADTIAEQLGFDSYISDFGVGSCTNFLDGVNYGSNGAGILDLTGYLTGELFTMNIQLYNHNITVSRIAKILGSEEVARKYLSQCIYVSDMGHNDYLNNYFLDDYNSSKLYTPEEYAQLLIETYETQLEKLYCSGARKIAVFGLIRVGCMPSNIQKNPNDLDASSCAYKLNDDVQIFNHKLQKLLRKLNNRHSDAVFTYINSYEIDSDDQTNTGFTQTRKSCCDVESGSVPCKSLSFPCSNRSDYVYWDGAHFTEAKAWAFGKRAYKRQSPKDAYPYDISELVKLKLDDSDAYDINHAQL >Potri.010G087600.2.v4.1 pep chromosome:Pop_tri_v4:10:11299331:11304180:-1 gene:Potri.010G087600.v4.1 transcript:Potri.010G087600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087600.v4.1 MEVSGVLSRTSTFSSLTKPRRLPTTTISHTNLVPTRIHYPNPIFSSTPLLMDFHTTTTTKPLATPLQANGSSLTTSSPIESGSARIGEVKRVTKETNVFVKINLDGTGIADSSTGIPFLDHMLDQLSSHGLFDLHVRATGDIHIDDHHTNEDVALAVGTALLQALGDRKGINRFGDFSAPLDEALIHVSLDLSGRPYLGYDLQIPTQRVGKYDTQLVEHFFQSLVNTSGMTLHIRQLAGRNSHHIIEATFKAFARALRQATEFDPRRLGTVPSSKGVLSRS >Potri.008G016700.2.v4.1 pep chromosome:Pop_tri_v4:8:835793:836575:1 gene:Potri.008G016700.v4.1 transcript:Potri.008G016700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016700.v4.1 MKSNIEISALSSKQETALASDPDILSDFIAPTNTSVDGKFFTFTGMRGVITKFPQNFTLTKATMNEFPALNGQSVSYAVLQYPADGLNPPHTHPRAAELLFLVYGSLEVGFVDTKNVLYTQSLQVGDMFIFPKGLVHHQYNPTQKSAIAISSFGSANAGTVSVPLSVFATGIDDGILAKAFKTDVSTIQKIKSGFSKP >Potri.008G002200.1.v4.1 pep chromosome:Pop_tri_v4:8:45478:46217:1 gene:Potri.008G002200.v4.1 transcript:Potri.008G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002200.v4.1 MSTSLALSSAATAAVSSAFLATDTNKFKFNALISPNPRLNCRYSIITPTATSIATSSSSRRRRKTAVSCSVALGSNNSDDDDEEEEEEEAKKKIGARVRVKAPVKVYHVPRVAEEVDLCGLEGEVKQYVSQWKGRRVSANLPYKTQFVHSGGVKFFAHLREDELQFID >Potri.008G132400.1.v4.1 pep chromosome:Pop_tri_v4:8:8748936:8750163:1 gene:Potri.008G132400.v4.1 transcript:Potri.008G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132400.v4.1 MATITEDSTNPQPPPPPPAAAPAPPQQQSQQQSSAPPQYPEMIMAAIEALNEKEGSNKTSISKQIESTHPDLPPAHSTLLSHHLNKMKQSGQLVLVKNNYMKPDPNAPPKRGRGRPPKPKLPTPPGTVSGPPRPRGRPPKPRDPFAPVASPKKKTTSTGTGRPRGRPPKNASTAVPAASSGGAPPSGARRGRGRPPKAKPAVAPVAG >Potri.010G083900.1.v4.1 pep chromosome:Pop_tri_v4:10:11119529:11121928:1 gene:Potri.010G083900.v4.1 transcript:Potri.010G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083900.v4.1 MLLTSFSSKSVRHVLTFCIQISEGKKMQPKLTILKLFKNSLAPVPHQINRLLAKHLSTITQFPLTHDSPFSPESPSRLTRDPKLSDPENGNFFISPLANWVDNPTLENFKSIAAESGTGGDIDKVKGILKRHFPSEDAVVKALDESGINATNDLVSQLLERFSNQWITALGVFIWAKNQTGYVHTPRLYDLVVDILGKCKKFGIMWKVVNEMNELNGHVSFSTMSIVVRRLASSGMYKDAIDVLRGLEKYRVKKDTVALNMVMHALAKQGGAKDAYSVFLEFKDSITLDSHSFNILIHGYCEARMLDDARKTMEEMEKHGFRPDASSYTCFIKAYCKQKDFRNVEVILNEMGEKGCEPDVIAYSIYIRALGKARKINEALEVYEKMNKNSCKPDAKFFSTLIYVLGRSGRLNDAWYVFEDMENHGVSRDLWTYNAMIYHACANRQGNSALKLLERMEVNSCKPDLETYQPLLKMCCKMKDMKVLKFLLSHMFDNNVRIDLSTYALLIRELAESGKLEHACFFFQDAVLNGIVPKDRTYEILLKELGQNNMVEMKGKIEKLMLQAKEQI >Potri.015G001300.1.v4.1 pep chromosome:Pop_tri_v4:15:50735:51920:1 gene:Potri.015G001300.v4.1 transcript:Potri.015G001300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001300.v4.1 MGRAILDYTLVPLGLVTMVAYHIWLLYRIMKHPTKTVIGINAINRRFWVRAMMEDVSKNGVLAVQTLRNNIMASTVLASTAIMLSSLIAVLMTSGSGDKSARNFVFGDRSELGLSIKFFSILVCFLVAFLLNVQSIRYYSHASILINVPFKKMCPNHRHQHLSTEYVARSVNRGSYFWSLGLRAFYFSFPLFLWIFGPIPMFLSCVFLVSMLYFLDVTLGTGWADGDEDDDVGHKNVGSQSQV >Potri.016G129000.3.v4.1 pep chromosome:Pop_tri_v4:16:13213520:13221214:-1 gene:Potri.016G129000.v4.1 transcript:Potri.016G129000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129000.v4.1 MTTAARGHGLMARVQQLEAEFPSIEKAFLSQTNHSPFFSSSGVDCHPNLQMEQNLIARGDLPRFVMDSYEECRGPPQLFLLDKFDVAGAGACLMRYTDPSFFKVETASSGIATVEVQREKKIRKKKKGSRYRNGETPEVVQTSHTKLHELLLQEHFENGHSDPARLVKLKRRQINGSPFDLKPGKSYMEKFVLTPSPERKQVCEDSVTRSPLKFTLDNSSESGYEIHEVSVVSPAKKSLNGVESTSSSPSEQEAMLKPVKDELDGEAVDRGIIKVLDPIVDRGMDELPPTVYKMAIEEELLVDADIKREGTVDGDHSDDMASEVDNYMDALTTMDSEMETDNEYKAKNAPDFINLRIQGADSDANEEQLGFQANSSDSQSIGNSSLSEGGNSLFKKGTSSSSYSETLYNLVENTASDGEGSGKWFPSATSTENHATNVTDLPSDHPPVYAETGITESHHLVTFNDTREDKIPDPVEASCSSCPTDSNPVFLHSVPVARSMVSPLSGPELVEASSGSTELGSKSPHCERNGLYPTDSFIALTDIPSQMGHDASLPDSSKSHSVDVLDHEDPDMLTDAVVHVSNMSDLASEKKVSDDSVNEVLLTDCAAEHSTLTPAEEQFPHSALPVVELDAGVPSLPDNSNVVKPDGLVSKADDEILTREGSTEISTPVVDTSESECINEHQFSDVTVDASQEELDSTKLRLPCSEENVKLEEISEGPDAEEKNASTKKVDITRGDATYFEHESCSSDKPTPEDHVNLADDVTETVKAEDMAVSTAATSGVDAEEKNAFTKKVDITRGDATYFEHESCSSDKSTPEDHVNLADDVTETVQAEEMAVSTAATSGVDAEEKNAFTKKVDITRGDATSFEHESCSSDKPTPEDHVNLADDVTETVKAEDMAVSTAATSGVDAEEKNAFTKKVDITRGDATSFEDESCSDKPTPEDHVNLADAVTETVKAEDMAVSTAATSGVDAEEKNAFTKKVDITRGDATSFEDESCSDKPTPEDHVNLADAVTETVKAEDMAVSTAATSGVDAEEKNAFTKKVDSTRGDAASFEDESCSDKPAPEDHVNLADDVTETVKAEDMAVSIAATSGVNNEDVSNVICPSSELVCSPPRNSTEMVESLSISEDPNQTTLNLDEVTSAKCLSESQVKMEVTSTDWDSNSYKPVSEDYRNQEVIEVHNPSSEVSNQESESKDNHQSHCGEVGDNTVCSPVCYPPESGNGLEQSIEVQADQISSESMHADDASSLLSSQTSSAGYLLGPGIPLDHTSELQSDQLDRRCLKSGEASSRSADVKSEQIQNLHNITEERCPDPSSLKDLSSQEFLLQSACQGHNVTDQATNPFDSAFPSFGVLPVPETSQVNPEAMPPLPPLPPMQWRLGKIQPGPLDADRDMMDHSQRTSQPIETFILDQKVQFDFPALDREIVHPSNPFLSLPVEDSQRSQHLTTELMGNSLLPTRLLSEMPTIDNDAQYQQDDLLSDRTQSVNSSLALSEMPDERHEHGFLQLGGESTQFSSNPFSLELGINDTAALNDPMLTQGLPIRLFNQSAPETGLEVKFPGQSSQNAEGEQGNSSGKSAVPLNTEEEQHHHDFVTSHGLPIWPPTTLGMTPPTYEVGKTNGKKIPRPRNPLIDAVAALDKSKLRKVAERVRPQLGPKVEERDSLLEQIRTKSFNLKPATATRPSMQGVQGPKTNLKVAAILEKANAIRQALTGSDEDDDSDSWSDS >Potri.016G129000.2.v4.1 pep chromosome:Pop_tri_v4:16:13213521:13222611:-1 gene:Potri.016G129000.v4.1 transcript:Potri.016G129000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129000.v4.1 MPLTRYQIRNEYSLADPELYKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHGLHEEVMTTAARGHGLMARVQQLEAEFPSIEKAFLSQTNHSPFFSSSGVDCHPNLQMEQNLIARGDLPRFVMDSYEECRGPPQLFLLDKFDVAGAGACLMRYTDPSFFKVETASSGIATVEVQREKKIRKKKKGSRYRNGETPEVVQTSHTKLHELLLQEHFENGHSDPARLVKLKRRQINGSPFDLKPGKSYMEKFVLTPSPERKQVCEDSVTRSPLKFTLDNSSESGYEIHEVSVVSPAKKSLNGVESTSSSPSEQEAMLKPVKDELDGEAVDRGIIKVLDPIVDRGMDELPPTVYKMAIEEELLVDADIKREGTVDGDHSDDMASEVDNYMDALTTMDSEMETDNEYKAKNAPDFINLRIQGADSDANEEQLGFQANSSDSQSIGNSSLSEGGNSLFKKGTSSSSYSETLYNLVENTASDGEGSGKWFPSATSTENHATNVTDLPSDHPPVYAETGITESHHLVTFNDTREDKIPDPVEASCSSCPTDSNPVFLHSVPVARSMVSPLSGPELVEASSGSTELGSKSPHCERNGLYPTDSFIALTDIPSQMGHDASLPDSSKSHSVDVLDHEDPDMLTDAVVHVSNMSDLASEKKVSDDSVNEVLLTDCAAEHSTLTPAEEQFPHSALPVVELDAGVPSLPDNSNVVKPDGLVSKADDEILTREGSTEISTPVVDTSESECINEHQFSDVTVDASQEELDSTKLRLPCSEENVKLEEISEGPDAEEKNASTKKVDITRGDATYFEHESCSSDKPTPEDHVNLADDVTETVKAEDMAVSTAATSGVDAEEKNAFTKKVDITRGDATYFEHESCSSDKSTPEDHVNLADDVTETVQAEEMAVSTAATSGVDAEEKNAFTKKVDITRGDATSFEHESCSSDKPTPEDHVNLADDVTETVKAEDMAVSTAATSGVDAEEKNAFTKKVDITRGDATSFEDESCSDKPTPEDHVNLADAVTETVKAEDMAVSTAATSGVDAEEKNAFTKKVDITRGDATSFEDESCSDKPTPEDHVNLADAVTETVKAEDMAVSTAATSGVDAEEKNAFTKKVDSTRGDAASFEDESCSDKPAPEDHVNLADDVTETVKAEDMAVSIAATSGVNNEDVSNVICPSSELVCSPPRNSTEMVESLSISEDPNQTTLNLDEVTSAKCLSESQVKMEVTSTDWDSNSYKPVSEDYRNQEVIEVHNPSSEVSNQESESKDNHQSHCGEVGDNTVCSPVCYPPESGNGLEQSIEVQADQISSESMHADDASSLLSSQTSSAGYLLGPGIPLDHTSELQSDQLDRRCLKSGEASSRSADVKSEQIQNLHNITEERCPDPSSLKDLSSQEFLLQSACQGHNVTDQATNPFDSAFPSFGVLPVPETSQVNPEAMPPLPPLPPMQWRLGKIQPGPLDADRDMMDHSQRTSQPIETFILDQKVQFDFPALDREIVHPSNPFLSLPVEDSQRSQHLTTELMGNSLLPTRLLSEMPTIDNDAQYQQDDLLSDRTQSVNSSLALSEMPDERHEHGFLQLGGESTQFSSNPFSLELGINDTAALNDPMLTQGLPIRLFNQSAPETGLEVKFPGQSSQNAEGEQGNSSGKSAVPLNTEEEQHHHDFVTSHGLPIWPPTTLGMTPPTYEVGKTNGKKIPRPRNPLIDAVAALDKSKLRKVAERVRPQLGPKVEERDSLLEQIRTKSFNLKPATATRPSMQGVQGPKTNLKVAAILEKANAIRQALTGSDEDDDSDSWSDS >Potri.014G136700.3.v4.1 pep chromosome:Pop_tri_v4:14:9287991:9289795:-1 gene:Potri.014G136700.v4.1 transcript:Potri.014G136700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136700.v4.1 MIRQFWKKHTRTVRSCAWSPSGKLLATPSFDDTTATWEINGGDFERVAALEGHENEVKSVPWNASGSLLQTCSRDKTVWIWEVMPGNESECVSVFPRTLKMVKWHPAMDVLFSYDKVKLLISALISTEISFFKNSISAFE >Potri.008G162150.2.v4.1 pep chromosome:Pop_tri_v4:8:11144487:11153817:-1 gene:Potri.008G162150.v4.1 transcript:Potri.008G162150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162150.v4.1 MEKERDNYMETGPYICPLEKLESTAENDFKTPHSENVCDVATEIVGSQNAKEVRSSSQQDDEILPKDNDCAIKQSPTYSRTRRYQMKGKAKALSDGNLNERMLDMDDDSHESVESCNSVGLFSTGKRQRNLDPHSYVGRKSITPTNWISNMMKGFLKSNEDEAPSLALTLANHKHGHEDRDKNLISCNRNQDKGCKTIGFHSLFQSLYCPKTKAQETVALNANTQTEVSKELGLDNKICDSNATPIPCRMVTDNVYRRFLQPNEKLNESTSGNGAASPALTKLLSTNIASSQEISGSNSAEKKNSCNMATDKEKNGTSSNSSPGKRKMNDAEQPSEGKATNTSGYRSDPLTSLWITRLSPKTSGPLSNRDLCHRRTGEALDGFTDFIRLKAQWQNHPSSYQDKNIVGAREEEHFTEDPVCMHNCANSTEVSFSINKVNGHHDEKSMCKMNSTLPFSRFRNSEAMASVFARRLDALMHIMPSYGTDDSSHGNLTCFFCGIKCHHVRDCPEIIDSELADILRNANSFNGANEFPCVCIRCFQSNHWAVACPSASSRTRHQAEYGASLVHESSPCKILLNPRNEDDAKQSDGKDSQLQAADAPTVRNGKLHEASASGKINMNMKPFERDTASSSGEKKLKENQVMPLSNFINSQIADVPKGIFDAVKRLRLSRTIILKWMNSHTPPSHLDGFSCACGLGSGNRDWGELGIMLPALLEFKVRVQNKSSKILLL >Potri.008G162150.1.v4.1 pep chromosome:Pop_tri_v4:8:11143704:11153817:-1 gene:Potri.008G162150.v4.1 transcript:Potri.008G162150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162150.v4.1 MEKERDNYMETGPYICPLEKLESTAENDFKTPHSENVCDVATEIVGSQNAKEVRSSSQQDDEILPKDNDCAIKQSPTYSRTRRYQMKGKAKALSDGNLNERMLDMDDDSHESVESCNSVGLFSTGKRQRNLDPHSYVGRKSITPTNWISNMMKGFLKSNEDEAPSLALTLANHKHGHEDRDKNLISCNRNQDKGCKTIGFHSLFQSLYCPKTKAQETVALNANTQTEVSKELGLDNKICDSNATPIPCRMVTDNVYRRFLQPNEKLNESTSGNGAASPALTKLLSTNIASSQEISGSNSAEKKNSCNMATDKEKNGTSSNSSPGKRKMNDAEQPSEGKATNTSGYRSDPLTSLWITRLSPKTSGPLSNRDLCHRRTGEALDGFTDFIRLKAQWQNHPSSYQDKNIVGAREEEHFTEDPVCMHNCANSTEVSFSINKVNGHHDEKSMCKMNSTLPFSRFRNSEAMASVFARRLDALMHIMPSYGTDDSSHGNLTCFFCGIKCHHVRDCPEIIDSELADILRNANSFNGANEFPCVCIRCFQSNHWAVACPSASSRTRHQAEYGASLVHESSPCKILLNPRNEDDAKQSDGKDSQLQAADAPTVRNGKLHEASASGKINMNMKPFERDTASSSGEKKLKENQVMPLSNFINSQIADVPKGIFDAVKRLRLSRTIILKKSNKLLVRALKPHFPKYEPSMTDLNQKIRELVILKCIGLNIWLNIGLSMLILSNHLLLLYPHITLAHDFGIFLPLEVSSFQFCFCISYNLCFCNMWEQGLGGTGYYVACITGVQSQSSKQKFKNSIAVIVGGVKCLVESQYISNHDFTELMAWWCATLKDGGKTPSEEDLRLKIEEMKMLRF >Potri.009G107500.1.v4.1 pep chromosome:Pop_tri_v4:9:9338490:9340128:-1 gene:Potri.009G107500.v4.1 transcript:Potri.009G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G107500.v4.1 MEQAKKHLVLISIFIILLNIATNKALSQPLHNPSKHFVLVHGAGHGAWCWYKLVPLLRSSGHNVTTIDLAASGIDPRQISDLQSISDYIRPLRDLLASLPPNEKVILVGHSLGGLALSQTMERLPSKISVAVFLTAVMPGPSLNISTLSQELARRLTDMLDTRYTFGNGPNNPPTSLTFGPKYLLLRLYQLSPIEDWTLATTLMRETRLFTDQELSRDLVLTREKYGSVKRVFIIAEKDLTLEKDFQQWMIQKNPPNEVKEILGSDHMSMMSKPKELWACLQRISKKYN >Potri.004G115301.1.v4.1 pep chromosome:Pop_tri_v4:4:10610707:10611072:-1 gene:Potri.004G115301.v4.1 transcript:Potri.004G115301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115301.v4.1 MGSLGKNISLGLFLFIGILVITPGFAIRTHEEDPELSRHLEECHAKVTKRCAIEISNSIYNNNTPSEYCCQKHITTGKACHDDFIKLFVSKVPKDKVAFVVAKGDQIWNQCAATVALAPVA >Potri.007G047300.1.v4.1 pep chromosome:Pop_tri_v4:7:4323860:4326958:-1 gene:Potri.007G047300.v4.1 transcript:Potri.007G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047300.v4.1 MKSKSIFSPQNPCLKSLFSTPLESLMATSLDLNDDSPCSNPQQQQTETHENSSETALLGEPRGYLSGEARVERAWGHWSKLGRPKLIVAPMVDNSELPFRMLCRKYGAEAAYTPMLHSRIFSENEKYRREEFTTCKEDRPLFVQFCANDPDILLQAARRVEPYCDYVDINLGCPQRIARRGYYGAFLMDNLLLVKSLVEKLAINLNVPVSCKIRVFPKLEDTINYARMLEEAGCSLLAVHGRTRDEKDGKKFRADWKAIKAVKSALRIPVLANGNIRHMDDVKTCLEETGTDGVLSAETLLENPALFAGFRTAEWVDDGEEGNKDGLLDQADLLVEYLKFCERHPVPWRMIRSHVHKMLGEWFRIHPQVREDLNAQSRLTFEFLYDMVNRLRELGVRIPLYLKEKDSHVQGVPEDGLAN >Potri.012G056800.1.v4.1 pep chromosome:Pop_tri_v4:12:5588233:5589497:1 gene:Potri.012G056800.v4.1 transcript:Potri.012G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056800.v4.1 MPFSALSFSSLPHRIILLLIGFLNSSPSLSRHFSISFRTEYTMRMSCNGCRILRKGCGDNCSIKPCLQWIETPDSQANATLFLAKFYGRAGLMNLINACPQHLRPDTFKSLLYEACGRIVNPVSGSVGLLSTGSWQQCQAAVEAVLKGEPITQIASTDQLTLGGSDTRHVSREEDWSASDQPRKIKSKRQFNRSTSKRKSSRTEAEHTCFEFMIGFDGLRSVSPDSVLSWRPTLGSDNEETDSIGSVETVEASKLDEPAEGSDLDLDLTLGHY >Potri.014G012400.1.v4.1 pep chromosome:Pop_tri_v4:14:681802:686162:-1 gene:Potri.014G012400.v4.1 transcript:Potri.014G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012400.v4.1 MGFEYRCCGAGFWSRVAVVAFLVLFAGMMSGLTLGLMSMSLVDLEVLAKSGTPNDRKHAIKILPVVKRQHLLLCTLLICNAAAMEALPVFLDSLVSAWGAILISVTLILFFGEIIPQAICSRYGLAIGAALAPVVQVLVMICFPIAYPISKLLDYFLGKGDMSLFRRSELETLVDFHGNEAGKGGELTRDETTIIAGALQLTGKTARDAMTPISETFSVDINAKFDRALMRLILEQGHSRVPVYNEQPRNIIGLVLVKNLLTVHPEDEVPVKNVTIRKIPRVSESMPLYDILNEFQKGHSHMAVVIREGSDAKQLAGENATHVRDVRVDIDGERHPPKICLKNKGIKKSKSSLSSEEKFEREAYKSKRWSNGVHSEVLHIDDNPLPVLTQREAVGIITLEDVIEEILQEEIFDETDYRYECHH >Potri.014G012400.4.v4.1 pep chromosome:Pop_tri_v4:14:681802:686162:-1 gene:Potri.014G012400.v4.1 transcript:Potri.014G012400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012400.v4.1 MEALPVFLDSLVSAWGAILISVTLILFFGEIIPQAICSRYGLAIGAALAPVVQVLVMICFPIAYPISKLLDYFLGKGDMSLFRRSELETLVDFHGNEAGKGGELTRDETTIIAGALQLTGKTARDAMTPISETFSVDINAKFDRALMRLILEQGHSRVPVYNEQPRNIIGLVLVKNLLTVHPEDEVPVKNVTIRKIPRVSESMPLYDILNEFQKGHSHMAVVIREGSDAKQLAVRDVRVDIDGERHPPKICLKNKGIKKSKSSLSSEEKFEREAYKSKRWSNGVHSEVLHIDDNPLPVLTQREAVGIITLEDVIEEILQEEIFDETDYRYECHH >Potri.014G012400.2.v4.1 pep chromosome:Pop_tri_v4:14:681802:686162:-1 gene:Potri.014G012400.v4.1 transcript:Potri.014G012400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012400.v4.1 MGFEYRCCGAGFWSRVAVVAFLVLFAGMMSGLTLGLMSMSLVDLEVLAKSGTPNDRKHAIKILPVVKRQHLLLCTLLICNAAAMEALPVFLDSLVSAWGAILISVTLILFFGEIIPQAICSRYGLAIGAALAPVVQVLVMICFPIAYPISKLLDYFLGKGDMSLFRRSELETLVDFHGNEAGKGGELTRDETTIIAGALQLTGKTARDAMTPISETFSVDINAKFDRALMRLILEQGHSRVPVYNEQPRNIIGLVLVKNLLTVHPEDEVPVKNVTIRKIPRVSESMPLYDILNEFQKGHSHMAVVIREGSDAKQLAVRDVRVDIDGERHPPKICLKNKGIKKSKSSLSSEEKFEREAYKSKRWSNGVHSEVLHIDDNPLPVLTQREAVGIITLEDVIEEILQEEIFDETDYRYECHH >Potri.014G012400.3.v4.1 pep chromosome:Pop_tri_v4:14:681802:686162:-1 gene:Potri.014G012400.v4.1 transcript:Potri.014G012400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012400.v4.1 MEALPVFLDSLVSAWGAILISVTLILFFGEIIPQAICSRYGLAIGAALAPVVQVLVMICFPIAYPISKLLDYFLGKGDMSLFRRSELETLVDFHGNEAGKGGELTRDETTIIAGALQLTGKTARDAMTPISETFSVDINAKFDRALMRLILEQGHSRVPVYNEQPRNIIGLVLVKNLLTVHPEDEVPVKNVTIRKIPRVSESMPLYDILNEFQKGHSHMAVVIREGSDAKQLAGENATHVRDVRVDIDGERHPPKICLKNKGIKKSKSSLSSEEKFEREAYKSKRWSNGVHSEVLHIDDNPLPVLTQREAVGIITLEDVIEEILQEEIFDETDYRYECHH >Potri.009G052200.1.v4.1 pep chromosome:Pop_tri_v4:9:5704707:5706830:1 gene:Potri.009G052200.v4.1 transcript:Potri.009G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052200.v4.1 MSSLEENSSESPTMEFTEESMPNVEHSLTTPITPNITSNSSVSPPQILINSTSLPPPPAPVPVPQPPSATASPSLVLQSPSTFTLAAAAPPRPRFANDRDDDHYFNSFPPGYRFCPHDHELVIHYLTNKVKGLPLPRNKIVDVILYQYDPEYLADRYQKFGEKEWYFFTPRDRKYKNGSRPNRAANGGYWKATGADKNITHDEAVVGYRKALVYYTGKAPKGDKTNWIMHEFRVNEPIPQVRNHRDDMRLDEWVLCRIYKKQEKRNNVRNEQRNEERTNVINEQRNEEHSSGSMDDIEEDYLHDHNSNDLAAMGADYAGEIYDMGTGSLENAFPVFNELSQQPTALRGYSDGINFFPAPAHHSRTLQDTQVPNLAETFGNPAPVFPVDNWPYRQRGYQDEFLNHTNWSQVNIPGQSLDRYSVKPTYLPGNPAPLVNLRPTNTARPPHGLPYMNTAPPAGSLPPRNTARPTGCLPPTNTPFLTRRHPPTNPANSQPTKLTDVDAKLPRRPPQHL >Potri.019G014394.1.v4.1 pep chromosome:Pop_tri_v4:19:2400724:2401149:1 gene:Potri.019G014394.v4.1 transcript:Potri.019G014394.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014394.v4.1 MPEAGGSALLSPSLPFPAGCEEHHYLQNTKNASNSLLVLFSLDPVPSGLSRLDLLHCRSVSSGHLLLQVAGRWTMELLVCGRWLKETARWLAGRSCYRCGFLRVWPAAVDGDELPPRGEGSVSWAQGATAGLWAQPCVGRS >Potri.001G326100.1.v4.1 pep chromosome:Pop_tri_v4:1:33506216:33507358:-1 gene:Potri.001G326100.v4.1 transcript:Potri.001G326100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326100.v4.1 MEKKNAFAPKIHHGHAPRFYLKVNIQCCSACPRRAKEKLEKFSGVLAITIDTEQGLVAVTGTIDPQIVIQKFARWGKKAVLCSPEKDPVKGDSSDCSCCDDDSDSECDCDRNYDEKVSKSQNIGTTGAQPPIVSSTKKRKKLCWLLGLFSKLQDAAKPKLPHGAPPVFRPNNTQAPGNVFSLGMSAMPYGGSRPQFPPCWERPQYGSQIHGPTVYGSPAMLPYPHYIQPTYPPPPVLQPPGFFQSRPPPQYRPIIHYSRYEDNYV >Potri.019G100500.2.v4.1 pep chromosome:Pop_tri_v4:19:13684868:13689928:1 gene:Potri.019G100500.v4.1 transcript:Potri.019G100500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100500.v4.1 MALSFSRTSLSITQKYFPSKNPTTKSTILNLLSYKPHNLNKFSTISKPNSFSLTITKLSKSPKPKSHLQPVRSLFTGIVEELGTVQSLGDTKDGGFDLKIEAKTVLEGVHLGDSIAVNGTCLTVTDFTNEDFTVGLSPETLRKTSLIELKTGSLVNLERAVQPDSRMGGHFVQGHVDGTGMIVEKEPEGDSLWIKVKADKGLLKYIVPKGFIAVDGTSLTVVDVMEEEECFNFMLVAYTQQKVVVPLKEVGQKVNLEVDILGRYVERLLSSGFVDSFKGSS >Potri.019G100500.1.v4.1 pep chromosome:Pop_tri_v4:19:13685066:13686051:1 gene:Potri.019G100500.v4.1 transcript:Potri.019G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100500.v4.1 MALSFSRTSLSITQKYFPSKNPTTKSTILNLLSYKPHNLNKFSTISKPNSFSLTITKLSKSPKPKSHLQPVRSLFTGIVEELGTVQSLGDTKDGGFDLKIEAKTVLEGVHLGDSIAVNGTCLTVTDFTNEDFTVGLSPETLRKTSLIELKTGSLVNLERAVQPDSRMGGHFVQGHVDGTGMIVEKEPEGDSLWIKVKADKGLLKYIVPKGFIAVDGTSLTVVDVMEEEECFNFMLVAYTQQKVVVPLKEVGQKVNLEVDILGRYVERLLSSGFVDSFKGSS >Potri.019G069500.15.v4.1 pep chromosome:Pop_tri_v4:19:11012153:11018543:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQVCFSNLGYTSFFFLYLPPFILKRCYLNCVSS >Potri.019G069500.8.v4.1 pep chromosome:Pop_tri_v4:19:11012153:11018444:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLEEPDVSKRVSSFRYHGDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFSLCAIIAFHSFKHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGNLLPLDVCQVQECGVHLLDAEDKDAVTMAGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.019G069500.6.v4.1 pep chromosome:Pop_tri_v4:19:11012153:11018613:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLEEPDVSKRVSSFRYHGDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFSLCAIIAFHSFKHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGNLLPLDVCQVQECGVHLLDAEDKDAVTMAGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.019G069500.12.v4.1 pep chromosome:Pop_tri_v4:19:11012153:11018613:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MAIGIRHTSSNLKKRLCFFGVLFDISVRLHVGRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLEEPDVSKRVSSFRYHGDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFSLCAIIAFHSFKHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGNLLPLDVCQVQECGVHLLDAEDKDAVTMAGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.019G069500.7.v4.1 pep chromosome:Pop_tri_v4:19:11012153:11018613:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLEEPDVSKRVSSFRYHGDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFSLCAIIAFHSFKHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGNLLPLDVCQVQECGVHLLDAEDKDAVTMAGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.019G069500.13.v4.1 pep chromosome:Pop_tri_v4:19:11012237:11018349:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLVRFLLDFQLYSGELYRMFLFFSICHVSF >Potri.019G069500.14.v4.1 pep chromosome:Pop_tri_v4:19:11012194:11018347:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGNLLPLDVCQVQECGVHLLDAEDKDAVTMAGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.019G069500.10.v4.1 pep chromosome:Pop_tri_v4:19:11012102:11018417:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLEEPDVSKRVSSFRYHGDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFSLCAIIAFHSFKHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGNLLPLDVCQVQECGVHLLDAEDKDAVTMAGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.019G069500.9.v4.1 pep chromosome:Pop_tri_v4:19:11012102:11018433:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLEEPDVSKRVSSFRYHGDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFSLCAIIAFHSFKHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGNLLPLDVCQVQECGVHLLDAEDKDAVTMAGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.019G069500.16.v4.1 pep chromosome:Pop_tri_v4:19:11012237:11018416:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQVCFSNLGYTSFFFLYLPPFILKRCYLNCVSS >Potri.019G069500.5.v4.1 pep chromosome:Pop_tri_v4:19:11012077:11018417:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLEEPDVSKRVSSFRYHGDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFSLCAIIAFHSFKHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGNLLPLDVCQVQECGVHLLDAEDKDAVTMAGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.019G069500.11.v4.1 pep chromosome:Pop_tri_v4:19:11012237:11018416:1 gene:Potri.019G069500.v4.1 transcript:Potri.019G069500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069500.v4.1 MVSSSAVAQKWKYDVFLSFRGKDTRDNFVSHLRDALCRKQIKTFIDDKLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDQQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVTRPESSLVEQIVHHILKKLNYASSSDLKGLVGMDSRMEQIEASLCTKLPEFCFVGIWGMGGTGKTTIAGEIFNKIAREYEGHYFLANVRESEKNGGLFRIRDELFSKITEEENLHIRTPRIGHPFIKDRICRKKILIVFDDVNDVDQIEMLLGGCESFGPGSRIILTSRDKQVLKKYADKIFEVEGLNHREALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSSLFGRTTKEWESALNKVEKLTRQKVHSVLRISYEALDSEEKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHDVVRKESLDELGGQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKIREIELSSTALGRMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGDQNLVNLKDVNLSNCEHITFLPDLSKARNLERLNLQFCTSLVKVPSSIQHLDRLVDLDLRGCERLVNLPSRINSSCLETLNLSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELSGLVALNLKNCKLLVNLPENMYLLTSLLLVDISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRKLIYLNLSGCSSITEFPKVSNNIKELYLDGTAIREIPSSIDCLFELVELHLRNCKQFEILPSSICTLRKLERLNLSGCLQFRDFPEVLEPMVCLRYLYLEETRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLSERWVDLDYLRKLNLDGCHISVVPDSLGCLSSLEVLDLSGNNFSTIPLSINKLSELQYLGLRNCKRLESLPELPPRLSKLDADNCESLNYLGSSSSTVVKGNIFEFIFTNCLSLCRINQILPYALKKFRLYTKRLHQLTDVLEGACSFFLPGGVSPQWLSHQSWGSTVTCQLSSHWANSKFLGFFLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDINGNLLPLDLCQVHKCGVRLLYEDEIHCIDYYHDPLEAMFQCKRASLQGKRARFQGMSWEDYSVMCRTYEFLEEPDVSKRVSSFRYHGDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFSLCAIIAFHSFKHSLQVKCTYHFRNEHGDSHDLYCYLHEEIDERRIDSDHVLVGFDPCLVAKEKDMFSEYSEIAVEFQLEDMNGVLLIDMNWKQCSKPRDQGSKHEMG >Potri.004G096000.1.v4.1 pep chromosome:Pop_tri_v4:4:8251656:8251937:1 gene:Potri.004G096000.v4.1 transcript:Potri.004G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096000.v4.1 MKKASLLVTMVVVVMLLAEAKVSQAVTCNPAQLSPCLPAISSSSPPSTTCCSKLKEQKPCLCGYLKDPALKQFVSSPGARKVASACGVPYPSC >Potri.017G040300.1.v4.1 pep chromosome:Pop_tri_v4:17:2686108:2687012:1 gene:Potri.017G040300.v4.1 transcript:Potri.017G040300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040300.v4.1 MVGHCLSPLTNTRLTSSLYLLTFALLLQNVLGTDPHFSRCSSNANSTANSFYKTSLNVLMGSLYHLAPVEGFALGSLGQNNQDRPYGLVLCRGDVSSSDCRTCAANATREIRKRCPNGKRAIIAYDNCLLKYSDSDFFGQIDDQNKFYMWNVNNVSNPVLFNEKTTELLSQLADKACYNSSKLYAAGEMDLLEGSMKLYGMAQCTRDLSSVDCKKCLDGAIGELPGVAYGKQGARVVGGSCMVIYEIYPFVKA >Potri.005G149001.1.v4.1 pep chromosome:Pop_tri_v4:5:12626540:12627084:-1 gene:Potri.005G149001.v4.1 transcript:Potri.005G149001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G149001.v4.1 MIVYSYEYIGDASLVSIELHIAHGSLMVMVNRRSECQAPQLMSKRRQHHSISSWGSA >Potri.001G370500.1.v4.1 pep chromosome:Pop_tri_v4:1:38841961:38846040:-1 gene:Potri.001G370500.v4.1 transcript:Potri.001G370500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370500.v4.1 MELVPYTDPNSKQDSLPWQDMFRSASYRKPSTTPPPPSPPQPNAPPHAPNDHHHHNNKTSATTFSASGDPQVRLALYIAMAHAGLAFAIFILYFVCKLLQAYLRPIQWAILCSIPLRGIQQTLVAFWTEPLQLGLTETVLAVPVSIFTVFVGTLVDIKEVCLRVFLKKSKGDSSRRHRSGFSKLLRWLLSFGVFVISYERIGGLGSLLILASGFLCSTKTVNSTFSTVSSLRNYSFRRSSITPFFTRGVLKRLKTIVAIGLIVGMIVGSLAGLIFFSYKIAVEGKDAVISLKSHVEESNYAEKLGIKQWMDENDVPGMVDKYTTTFYETVSDQIDSLAMQYNMTEFVTGIRHFVISPPANTSQQSVALMSPSPYTEKMLSLRKKVLNQEWGEIYTELDAIFRELIFTREDLVEKAKGYAVQGMEVSQRVFASSASVLGGGAKLMLSIGNSIISGAAEVFNFVSQSVIFFWVLYYLITSESGGVTNQVMGMLPIPKPARIRCVEVLDKAISGVLLATAEIAFFQGCLTWLLFRLYDIHFLYVSTILAIFSPLFPIFPPMFATIPAAVQLVMEGRYILAVSLSIIHIVLMDYGATEIQEDIPGYNEYLTGLSIIGGMTLFPSAIEGAIMGPLITTVVIGLKDLYVEFVLEEPKKTS >Potri.005G014700.1.v4.1 pep chromosome:Pop_tri_v4:5:1173959:1178523:1 gene:Potri.005G014700.v4.1 transcript:Potri.005G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014700.v4.1 MCVKTKPCFWLFVLLLLFVYHRTCFSIGDDTLLVGQSLSANQTLISQNGIFELGFFKPAASFSIYLGIWYKNFANKTIVWVANRESPSNNPASSKLELLSDGNLVLLKNFTETVWSTALASSVPNTSKAEAVILDDGNFVVRDGSNPSAIYWQSFDYPTDTWLPGGKLGINKHTGQVQRLISWKNPEDPAPGMFSIGIDPNGSSQFFIEWNRSHRYWSSGDWNGERFTLVPEMRLNYIFNYSYVSNENESYFTYSLYNTSILSRTVIDVSGQIKQFSSLGDFRDWFLFWSQPKYQADVYGLCGAFGVFHVNSSTPCGCLRGFRPFVANDWSSGCLRMSPLHCQHRKNIAVSNDGFLKMSNLTLPGNSKAYQKVSYERCRLDCIENCSCMAHAYNDNNGECLLWDGALINLQRAEVAGGRTEAEIYIRFAASEVDLETGSGFSLIGNIRRKIWVTLAVAVPVTLITLGLFIYFSCLRKGKLIHKGKEYTGHDLLLFDFDTDPSSTNNESSSVDNGKKRWSKNMELPLFSYESVSVATEQFSDKLGEGGFGPVYKGKLPKGLEIAVKRLSERSGQGLEEFRNETILIAKLQHRNLVRLLGSCIERDEKMLIYEYMPNKSLDFFLFDANRGQILDWGTRIRIIEGIAQGLLYLHRYSRLRIIHRDLKPSNILLDSEMNPKISDFGMARIFGGNETQANTNRIVGTYGYMSPEYAMEGLFSIKSDVFSFGVLVLEIVSGKKNTSFYHSGSLNLLGHAWKLWNSNKALDLMDPSLGGPPSTSMLLRYINIGLLCVQESPADRPTMSDVIPMIVNEHVALPEPKQPAFVAGRNVAEPRSLMSFAGVPSVNNVTITTIDAR >Potri.013G061300.1.v4.1 pep chromosome:Pop_tri_v4:13:4531251:4532191:-1 gene:Potri.013G061300.v4.1 transcript:Potri.013G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061300.v4.1 MASRCVLAIFVLIAAIVPMTTLATEYIVGDESGWTLGFEYHAWAAGKNFLVGDELVFKYPVGAHNVFKVNGTEFQNCIIPPADRALTSGDDTIVLASPGKKWYICGVGKHCEFGQKLAITVQSLAPTPSPAPSPLYAKPDEAVKGKRPFFTLRWW >Potri.017G082701.1.v4.1 pep chromosome:Pop_tri_v4:17:9357288:9357578:1 gene:Potri.017G082701.v4.1 transcript:Potri.017G082701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082701.v4.1 MRKRQVVVRRSEEPSRSSTTSSFTIRNVKYGECQKNHAAGVGGYAVDGCREFMASGEEGTAAALTCAACGCHRNFHRREVETEVACDCSSPSSNGN >Potri.008G157500.1.v4.1 pep chromosome:Pop_tri_v4:8:10798489:10802977:1 gene:Potri.008G157500.v4.1 transcript:Potri.008G157500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157500.v4.1 MVAPAKDPREEVIQAWYMDDTDEDQRLPHHREPKEFVSLDQLAELGVLSWKLDADNHETDAELKKIREERGYSYVDLIEVCPEKLPNYEEKIKSFFEEHLHTDEEIRYCLGGSGYFDVRDHNDHWIRVWVKKGGMIVLPAGIYHRFTLDTDNYIKAMRLFVGDPVWTPFNRPHDHLPARKEYVQAFVSKDDSARAVDAAA >Potri.017G039883.1.v4.1 pep chromosome:Pop_tri_v4:17:2637047:2637544:1 gene:Potri.017G039883.v4.1 transcript:Potri.017G039883.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039883.v4.1 MHVMSEPAPFNKKTKELLSQLANKAQATPKLFATGERELGKSTKLYGLVQCTGDLSSAVCKKCLDGIIGELPSCCDGKQGGRVVSGSCNFIYEIYPFVNA >Potri.001G143400.1.v4.1 pep chromosome:Pop_tri_v4:1:11801405:11805452:-1 gene:Potri.001G143400.v4.1 transcript:Potri.001G143400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G143400.v4.1 MELPLLFRTPSHSSTATATATTSFRVGAWFSKTSDLPASRRRLNLRENGVNRIQIRCSKASTERTGAGSEGIEERERRRFTGSAMEVTTFDQSFGDAASDFPLWEKIGAVVRLCYGIGIYGGMALAGRFICSITGIDSLGGFNPSLDAFLEGLGYAAPPVMALLFILDDEVVKLSPHARAIRDVEDEELRNFFYGMSPWQFILIVAASSVGEELFYRAAVQGALADIFLRGTNLMEDARGMASLTGVLPPFVPFAQAFAAVLTAVLTGSLYYVATSPKDPTYVVAPVLPSYSGREDLKKLFAAWYERRQMKKIYSPLLEGLLALYLGFEWIQTNNILAPIITHGIYSAVVLGHGLWKIHEHRRRLRRRIQLLKSEEMNSNKR >Potri.011G084150.1.v4.1 pep chromosome:Pop_tri_v4:11:9350735:9351469:-1 gene:Potri.011G084150.v4.1 transcript:Potri.011G084150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G084150.v4.1 MEHTYQYLWIISFVTLPVPMLIGMGLLLFPVSTKKLHRIWAFPSVLLLSIVMVFSIDLFIQQINSSSIYQYVWSWTINNDFSLEFEHLIDPLTSILLILITMVGILVLAYSDSYMSHDQGYLRFFVYMSFFNTSMLGLVTNSNLIQIYIFWELVGMCSYLLIGFWFTRPIVSNACQKAFITSSFKFQDLFEIFNNLIYNNDNEVHFLFVTLCAFLLFSGAIAKSA >Potri.005G198400.5.v4.1 pep chromosome:Pop_tri_v4:5:20463186:20464423:-1 gene:Potri.005G198400.v4.1 transcript:Potri.005G198400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198400.v4.1 MSLDSHIEKVLWTKFQISKRVTELASQITSDFSSVSPPPLVVGVATGAFLFLADLVRQIQLPISVDFIRAESYGSGTESSGAPRISLDLKLDVKEKHVILVEDIVDTGNTLSCLIKHLESKGASAVSVCTFLDKPTRRKVHFELLGDGKYYQGFEVLSPVCYID >Potri.005G198400.1.v4.1 pep chromosome:Pop_tri_v4:5:20459853:20464598:-1 gene:Potri.005G198400.v4.1 transcript:Potri.005G198400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198400.v4.1 MSLDSHIEKVLWTKFQISKRVTELASQITSDFSSVSPPPLVVGVATGAFLFLADLVRQIQLPISVDFIRAESYGSGTESSGAPRISLDLKLDVKEKHVILVEDIVDTGNTLSCLIKHLESKGASAVSVCTFLDKPTRRKVHFELLGDGKYYQGFECPDYFVVGYGMDFAELYRNLPYVGVLKPEYYN >Potri.005G230400.4.v4.1 pep chromosome:Pop_tri_v4:5:22969656:22972819:-1 gene:Potri.005G230400.v4.1 transcript:Potri.005G230400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230400.v4.1 MKPAISSVLLNSSKTSFCNSASLAATSPLFKFQILTSNYRRFTAIAGEIPMRSYQVVVAATRDMGIGKDGKLPWRLPCDLKFFKEITLATSDPGKQNAILMGRKTWESIPIKYRPLPGRLNVVLTRSFEIEDEENVITCGSISSALELLAEAPYCFSIDKVFVIGGGQILRETLNGPGCDAIHVTEIETSVECDTFIPSIDFSKFQPWYSSPPLVENGIRYSFVTYVHVRNSENETIAGKTGGKCNDGKSNSNRFEVKDFSFLPKMIFEKRDEYMHHTSSTK >Potri.005G230400.11.v4.1 pep chromosome:Pop_tri_v4:5:22969716:22972701:-1 gene:Potri.005G230400.v4.1 transcript:Potri.005G230400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230400.v4.1 MRSYQVVVAATRDMGIGKDGKLPWRLPCDLKFFKEITLATSDPGKQNAILMGRKTWESIPIKYRPLPGRLNVVLTRSFEIEDEENVITCGSISSALELLAEAPYCFSIDKVFVIGGGQILRETLNGPGCDAIHVTEIETSVECDTFIPSIDFSKFQPWYSSPPLVENGIRYSFVTYVHVRNSENETIAGKTGGKCNDGKSNSNRFEVKDFSFLPKMIFEKRDEYMHHTSSTK >Potri.005G230400.3.v4.1 pep chromosome:Pop_tri_v4:5:22968869:22972915:-1 gene:Potri.005G230400.v4.1 transcript:Potri.005G230400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230400.v4.1 MVQVLLNSSKTSFCNSASLAATSPLFKFQILTSNYRRFTAIAGEIPMRSYQVVVAATRDMGIGKDGKLPWRLPCDLKFFKEITLATSDPGKQNAILMGRKTWESIPIKYRPLPGRLNVVLTRSFEIEDEENVITCGSISSALELLAEAPYCFSIDKVFVIGGGQILRETLNGPGCDAIHVTEIETSVECDTFIPSIDFSKFQPWYSSPPLVENGIRYSFVTYVHVRNSENETIAGKTGGKCNDGKSNSNRFEVKDFSFLPKMIFEKRDEYMHHTSSTK >Potri.005G094500.1.v4.1 pep chromosome:Pop_tri_v4:5:6635424:6637181:-1 gene:Potri.005G094500.v4.1 transcript:Potri.005G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094500.v4.1 MAILTLIILFVATIFSIFFLPFIKKNSSPWILVRSLVNFYRMHDNQAEMLEQNNGTILVKRSWFGGKDILLTSDPANVRHIMSTNFSNYPKGPEWKKQFEFFGDSVFTLDFEEWKHHRKVIRSYISHRSFQQFAGKIVRDCIEIELSSVLDRVSNQQIVVDFEGLLRRYIYYFACCISTGYKPKFIDLAFSEDKFLKATDDACDAITVRYLVPESIWKLQRWFGLGKEKRLSEARKTIDKIIDDYISMKQEEMSKGEIRNDEEDFSALKSYTTGNEIFEQADHKVIKDGTMSLIFAIEDTTSSSLSRFFWIFTKNPKVETKIRQELEKIRPVTEARKSSSFFSEEEVSKMVYLQAALLETLRLFPPASMVSKTAVEADTLPSGHHISQNTMVVISAYAMGRMRTIWGQDCLEFKPERWIMEDGRIRHEPPHKFSAFGSGPRICPGKDLGLTLLKTFAATIIYNYHIQVVEDRVGAPKNNFMLHLDHGLMVRLNKRWT >Potri.T011750.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:144801:154999:1 gene:Potri.T011750.v4.1 transcript:Potri.T011750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011750.v4.1 MQKVKRKQSKDEENDSSSRKRRKADLTAMTEPESSRSRPNGAYDVFLSFRGEDNRKNFTDHLYTALVQAGIYTFRDHNEIPRGEEISKHLLKAIQESKISIVVFSKGYASSRWCLNELVEILECKNRKTGQIVLPVFYDIDPSDVRKQTGSFVKAFDKHEDCFKEKVKEWRKALEETGNLSGWNLSDMENGHESKFIQDIIKDVLNKLDPKYINVSTHVVGIDPLVHTISDFISTATDDVRLVGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSEQSNGLVLLQEQLLHDILKQNPANINNVDRGMVLIKERLCHKRVLVVVDDVTHQYQLNALVGDGSWFGPGSRVIITSEDERLLLKVDRKCHVKELKRDASLQLFSWHAFRDTKPAKDYVEVSNDVVDYCGGLPLALEVLGSCLSGKNKSRWKCIIDKLRKIPNHDIQEKLRISFDKLDDHKLQNTFLDLASFFIGRNKEYVANVLEARCGYNPEDDLGTLSERSLINVNASGNISMHNLLRDMGREIIHKESPNNPGKRSRVWQCEDAWNVLSKQMGTEVVEGLALDATRASENNLLSTGSFTKMRCLKLLQINGARLSGPFELLSEELIWICWLECPLKSIPSDLMLDNLVVLDMQYSNIKELWKEKKILNKLKILNLSYSKHLVKTPNLHSSSLEKLLLEGCSGLFEVHQSVGHLKSLIFLNLKGCWRLKILPQSICEAKSLEILNISECSQLEKLPEHMGNMESFTELIADRINNEHFFASIEHLKYIRKLSLSGYNFNADAPLYTSWSSPISSSVLDWKALLPTCFTGWRLLRKLRLAYYGLSERATNSVDFGCLSSLEELNLSGNNFSSLPSGIDVLPKLGLLRVSYCSNLVSISELPSNLKFLDAIGCTSMEKVRLPVQSKNNENLSLYRSPNLTEIEGIGMEGLSNHGWIVSSDTASDLSNNYKKSFVEALWNGGYGYHIHGYDAKLLMGIYHHKLQKGFTFHGEGCSLSFHIPPVFQGLIFWAFTTRIFFSRSHTINAIIKKKSNGMQLFEATLVIGLYCPISWTTFISLSEMAMEEHCGHEELELYVNLGSEDINVKQCGIKVIVDLDSFEGLEWDHDIDNQESEVGRDEVVPIHLLPHPLYGSLTYSTIEQFKDYLYRKSFYPLRAQLLS >Potri.017G069700.2.v4.1 pep chromosome:Pop_tri_v4:17:5734863:5737295:1 gene:Potri.017G069700.v4.1 transcript:Potri.017G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069700.v4.1 MAPQSMSRLASIYSFCVLGLMVMPHQIYGSSRGSLALTSGISDHPPADGICATLVTIHGYKCQEHEDGVTWLLNQPEQNLPTILADQGFDVWISNTRGTRFSNRHLSLQVNQQGYWNWSWDELAKFDLPAVFDYVYNETGQKIHYVGHSQGTLIAMAALSEGLLVEKIKSAALLSPVAYLNTVTSILGVVCREAIVANLFGDSAFDPKGQLLPFFNIARTLCDAPGIDCYGLLAPLTGPNCCLNVSTFHPFIRNEPQPTSMMNIRHCGQSIREKVVAKYDYGSSEANTARYGEAKAPAYNLSNIPKNLPLFLSYGALDTLSDVRDVNLLLGILKPNHDVDKLTIQYINNYAHMDFIMGVNAKDVVYSQVLSFFKNHTGF >Potri.001G335900.1.v4.1 pep chromosome:Pop_tri_v4:1:34416887:34420375:-1 gene:Potri.001G335900.v4.1 transcript:Potri.001G335900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335900.v4.1 MPTQAIKPSSSYISSYTKMACEKNHIQCSSKVGIIGAGISGIAAAKQLRHYSPLVFEASNSIGGVWKHCSFNSTKLQTPRCDFEFSDYPWPERDNASFPSHVQVLEYLHNYATHFDVLKYVKFNSKVVEIRYVGDRTSQLDMKPKEYGSLLNGNPAWEVAVETNQSTKVQWYAFEFLVVCIGKYGDLPRMPVFPPHQGEEAFLGKVLHALDYAKLDKDAAHKLLKGKKVAVVGYKKSALDLAVECAAANQGPEGQPCTMVIRTLHWTVPSYWIWGLPFFLFFSTRSSQFLHERPYQTLFKTLLCLLLSPMRRGISKFIESYLAWKLPLAKYGLKPDHPFVEGYASCQMAILPENFFEEADKGNILFKRASKWWFWSRGIEFEDKTRLEADVVLLATGYEGKKKLQDLLPEPFSSLVVDSSGIMPLYRGTIHPLIPNMAFVGYIESVSNLHTAELRCIWLARLADEKFKLPGIEKMLEQTNEEIQVMKRTTRFYKRHCISTFSINHSDDICEEMGWNSWRKKNWFSEAFSPYNSQDYDENKEN >Potri.019G072800.1.v4.1 pep chromosome:Pop_tri_v4:19:11407926:11417176:-1 gene:Potri.019G072800.v4.1 transcript:Potri.019G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072800.v4.1 MENGLAAAEGEEKLFPDTHAQKVDPGKPASLTWKRQLNSNGKIPVQFGLSFREISHMLPMGLRLWRHIKQEAAKERATIFDFSKKHILTSDHGIPLGGIGAGSIGRSYRGEFQHFRLFPGICEEGPVLANQFSAFVSRPSGETFSSVLCSRTPDIPKESTGSGIESWDWNLNGQKCTYHALFPRAWTIYDGAPDPELTIVSRQISPFIPHNYKESSFPVSVFTFTLSNHGKTSADVTLMFTWANSVGGVSGLSGHHFNSKMMTKDGVHAVTLHHKMTNRQPPVTFAIAAQETADVHVSECPCFLISGDSQDVSVTAKDMWDGIKKNGTFDQIGCNKTSPSEPGSSIGAAIAASVTVPSGSIRTVTFSLSWDIPEIRFSERSYHRRYTKFYGTLGDAAANIARDAILEHANWESQIEAWQRPILEDKRVPEWYPITLFNELYYLNAGGTIWTDESPPMQNLTAVRERRFSLERSSSGYKNVNGIAHKNDTAIEILERMASTYEQLHNPVSSNSVFGANLLQNGEENIGQLLYLEGTEYLMWNTYDVHFYASFALVMLFPKLELNLQRDFAAAVLMHDPSRMQIMSDGKWVPRKVLGAVPHDVGLNDPWFEINAYNLFSTARWKDLNCKFVLQVYRDVVATGDKDFARAVWPSVYVAMAYMDQFDKDGDGMIENEGIPDQTYDTWSVTGVSAYCGGLWVAALQATSAMAHEVGDDGSANYFWIKYQKAKTVYGKLWNGSYFNYDSSGNKSIQADQLAGQWYARACGLSPVVDGDKARKALEKIYEFNVLKVKGGTRGAVNGMLPDGRVDLTAMQTKEIWPGVTYALSASMIQEGLEEMAFQTAVGIYNAAWSEEGLGYSFQIPESWDMNDQYRSLCYMRPLAIWAMQWALSKPKDFKEEMHHEGIEDESYLKQHAGFSKVAHLLRLPEEEAPKSFFQAVYEFTCKRMLF >Potri.001G252604.1.v4.1 pep chromosome:Pop_tri_v4:1:26897937:26898128:-1 gene:Potri.001G252604.v4.1 transcript:Potri.001G252604.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252604.v4.1 MSGDSNSRRTLSPQLDNQNHKHLSTRTDGNLIFDDRNPRKLKNLGRKFVMILTTQTCGVKFAS >Potri.002G239900.1.v4.1 pep chromosome:Pop_tri_v4:2:23210171:23214922:-1 gene:Potri.002G239900.v4.1 transcript:Potri.002G239900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239900.v4.1 MEDTEPVATLMDSTTSRIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKQYETKTRRAREILEKREAAVMAKEQASLEKLQEKQDAAVFAIANALQKHRKVSSAEPAAVPYDGQCESPTIDDQPPDAMTAESNLGEIIDPSENGNLEYPQLVKLCEQMDSEGLHKFISDNRKNLAVLKEEIPLALKAAVNPAQLVLDSLEDFYPKEVANVDGKKDSTLLGLRRTCIMLMECLSILLMYTDLVSVSALISEDVKDQAKAIAEEWKPKLDSLDVDANNGNSLEAHAFLQLLATFGIASDFDVEEISRLIPMVSRRRQAAELCRFLGLSERMPGVIEVLVNSGRQIDAVNLAFAFDLMELFSPVPLLKSYLKEARKVASSGKPGSASPTTVQNDVNERELTALKAVIKCIEEHKLEEQYPVDPLQKRLHQLEKAKADKKRANEVAKPQPKRPRASGVGCGPRVTNNAPEKIFYPRVPDRYPQYVYDRPYIYSGPADNHVPPLMSSATYNFSPSHGNYFGNGYQYQTPYLH >Potri.002G239900.2.v4.1 pep chromosome:Pop_tri_v4:2:23210082:23215027:-1 gene:Potri.002G239900.v4.1 transcript:Potri.002G239900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239900.v4.1 MEDTEPVATLMDSTTSRIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKQYETKTRRAREILEKREAAVMAKEQASLEKLQEKQDAAVFAIANALQKHRKVSSAEPAAVPYDGQCESPTIDDQPPDAMTAESNLGEIIDPSENGNLEYPQLVKLCEQMDSEGLHKFISDNRKNLAVLKEEIPLALKAAVNPAQLVLDSLEDFYPKEVANVDGKKDSTLLGLRRTCIMLMECLSILLMYTDLVSVSALISEDVKDQAKAIAEEWKPKLDSLDVDANNGNSLEAHAFLQLLATFGIASDFDVEEISRLIPMVSRRRQAAELCRFLGLSERMPGVIEVLVNSGRQIDAVNLAFAFDLMELFSPVPLLKSYLKEARKVASSGKPGSASPTTVQVRVLSQLH >Potri.002G239900.3.v4.1 pep chromosome:Pop_tri_v4:2:23210173:23214980:-1 gene:Potri.002G239900.v4.1 transcript:Potri.002G239900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239900.v4.1 MVKAFFLQVSRRLVSLILHHLLLVGRFQCAAESALSAMEDTEPVATLMDSTTSRIQQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKQYETKTRRAREILEKREAAVMAKEQASLEKLQEKQDAAVFAIANALQKHRKVSSAEPAAVPYDGQCESPTIDDQPPDAMTAESNLGEIIDPSENGNLEYPQLVKLCEQMDSEGLHKFISDNRKNLAVLKEEIPLALKAAVNPAQLVLDSLEDFYPKEVANVDGKKDSTLLGLRRTCIMLMECLSILLMYTDLVSVSALISEDVKDQAKAIAEEWKPKLDSLDVDANNGNSLEAHAFLQLLATFGIASDFDVEEISRLIPMVSRRRQAAELCRFLGLSERMPGVIEVLVNSGRQIDAVNLAFAFDLMELFSPVPLLKSYLKEARKVASSGKPGSASPTTVQNDVNERELTALKAVIKCIEEHKLEEQYPVDPLQKRLHQLEKAKADKKRANEVAKPQPKRPRASGVGCGPRVTNNAPEKIFYPRVPDRYPQYVYDRPYIYSGPADNHVPPLMSSATYNFSPSHGNYFGNGYQYQTPYLH >Potri.014G150000.2.v4.1 pep chromosome:Pop_tri_v4:14:10345617:10354617:-1 gene:Potri.014G150000.v4.1 transcript:Potri.014G150000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150000.v4.1 MSSWFVWLLIVLFCIGGIEGMHNCITTVILRICKNCGRKKLNLPLLGETSLLSLIVLFCCVAFAIFWAINRQASYSWAGQDILGICLMITVLQVARLPNIKVATVLLCCAFVYDIFWVFLSPIIFHQSVMIVVARGDNSGGETIPMLLRIPRFADPWGGYDMIGFGDILFPGLLVSFAFRYDKTNKKGIANGYFIWLTVGYGVGLFLTYLGLYLMNGHGQPALLYLVPCTLGTCVLLALVRGELKNLWNYSSEEASSRVSSGDA >Potri.014G150000.1.v4.1 pep chromosome:Pop_tri_v4:14:10345617:10354617:-1 gene:Potri.014G150000.v4.1 transcript:Potri.014G150000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150000.v4.1 MTFPSRRSCSLHTIFFCIFFLIGLSFAEEASHDGDSPKFPACDHPYNLVKVKNWVNGAGGETLTGITARFGALLPKEERNGVRLTAIFSNPLNSCSPSSSKLSGSVAMAVRGDCDFTTKAKVAQSGGAAALLVINDKEELAEMGCEKDSSAQDVSIPVVLIPKSGGESLNRSVVDGQKVELLFYAPVRPPMDLSVIFLWMMAVGTVVCASLWSEIAASEEAEERYNELSPKETSNVSAFKDNAEKDFLDIDVKSAVVFVITASAFLLLLYFFMSSWFVWLLIVLFCIGGIEGMHNCITTVILRICKNCGRKKLNLPLLGETSLLSLIVLFCCVAFAIFWAINRQASYSWAGQDILGICLMITVLQVARLPNIKVATVLLCCAFVYDIFWVFLSPIIFHQSVMIVVARGDNSGGETIPMLLRIPRFADPWGGYDMIGFGDILFPGLLVSFAFRYDKTNKKGIANGYFIWLTVGYGVGLFLTYLGLYLMNGHGQPALLYLVPCTLGTCVLLALVRGELKNLWNYSSEEASSRVSSGDA >Potri.017G023300.1.v4.1 pep chromosome:Pop_tri_v4:17:1495518:1502169:1 gene:Potri.017G023300.v4.1 transcript:Potri.017G023300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G023300.v4.1 MANPSGTHNQEGNQGPSSFNGSNPNNGNLGQDPSSGSSLKHNPGISNDWTGEEQAILEEGLAKYAMETNVVRYAKIALQLPNKTVRDVALRCRWMTKKENSKRRKEDNLVRKSKDKKERHNDPSAKTSNFMATRPNVTPFATPMMPLDSDEGISYDVIGGVTGELLNQNAQTLHQISANLASYQIQENLSLLRQTRDNICKVMNEMDDVPELMKQMPPLPVKLNDDLADTILLPPNLPRQ >Potri.014G054100.2.v4.1 pep chromosome:Pop_tri_v4:14:3465682:3468350:1 gene:Potri.014G054100.v4.1 transcript:Potri.014G054100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054100.v4.1 MGEIRSPYFSIDQEHLKDNAEIRSPYFSSGEDYLGVNAEIRSPYFSFDTQDYHGDNAGIHSSYFSAADYHGEDAEIPSGTFFHQDNIVENPYNAENFVEQDIVQNIYNTEKLIQRAEIEKLYSDLDLEAGAEYRIVKLVLNDENCIYRVPDALRKSNEAIYTPQEVSIGPIHRDKKNLQPMTTQKVRYLKEFCNRVVGETRVQQVKFLEKIWNTIKEDEERIRRCYGDGAHEVAENDQFAKMVLLDAVFILEYLLRNKDFKKYGDDSLLRRNGLRFRIRQDFLLLENQLPFFILEKLYDHLLEDGEGNYTSFRDLAYEYLNRYNASAYKPSDKEILHFTDLVRSSLSVNHPDPRSDEPIGKFYSATKLHEAAINFKEFRDECLLDVKFSSTKGELRIPRLRIDHHTELLFGNLIALERCHYKGEEYICHYVKLLDTLVAKTKDVDLLIKNKIIDTDRDGASVKNLIDKLSAETSEEYSIYYNLYKQLDQHYQNSWLKNSAYFREVYFGNLWRSTATVSAAVLLLFTFVQTICAVVALR >Potri.001G120400.1.v4.1 pep chromosome:Pop_tri_v4:1:9818305:9822882:-1 gene:Potri.001G120400.v4.1 transcript:Potri.001G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120400.v4.1 MSLSLQSPLSSALSSKSSFLQKGGGGGRLRLLSGSPSSNAIFFKLHNPSFPQIRASSLDAGASTELDAVSSLSEIVPDTVVFDDFQKFPPTAATVSSSLLLGICSLPDTIFRSAVDTALADSGCSSLENDVLRLSCFANKALVNVGGDLSKLVPGRVSTEVDARLAYDTHGIIRKVHDLLKSYGEIDVPPERLLFKIPATWQGIEASRLLESEGIQTHLTFVYSFVQAAAAAQAGASVIQIFVGRLRDWSRNHSGDPEIEAALKRGEDPGLALVTKAYNYIHKYGYKSKLMAAAVRNKQDLFSLLGVDYIIAPLKVMQSLKESLTTPDEKYSFVRRLSPHSAAAYSFSEEELIKWDQLSLASAMGPASVELLAAGLDGYVNQAKRVEELFAKIWPPPNV >Potri.001G034900.3.v4.1 pep chromosome:Pop_tri_v4:1:2560675:2562010:-1 gene:Potri.001G034900.v4.1 transcript:Potri.001G034900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034900.v4.1 MAMKLFLIQKRFLATQSTSSSLSSSSSSSSSFTVDFLVNSCGLPLKSALLASRKLKLDKKNLRNPPFVLQFLKSHNFEETHISKLIERRPQVLQSRVEGNLAPRFKFLIANGFVGKLLHDLIIHHTEILTSALDSRIKPAFYLLKSFLYCNENIVAALKRSSRLLTADLNVNAQPNIDFLRKEGVPVNMVAKLIILNPGTILSKRGRMVYAMNAIKNLGLEPDKTMFVRALSVRLQMTETTWNKKIEVMKSLQWSEEEILRAFKRYPQILAFSEEKIRSAIDFYINTMELERQIIIANPNFIGFSIDKRIRPRYNVINVLESKELIKGDMKISTLLATSEKKFFINYVSRFADEVPGLLELYKGTAMRTEKDA >Potri.006G218850.2.v4.1 pep chromosome:Pop_tri_v4:6:22408635:22410851:-1 gene:Potri.006G218850.v4.1 transcript:Potri.006G218850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218850.v4.1 MKKGGPRTALYDLCKKVQWPMPTFDTTETKSRTAIEFGEGPQKRKGFNSYVSKTILNMPSFGVVECEG >Potri.006G218850.1.v4.1 pep chromosome:Pop_tri_v4:6:22408635:22410851:-1 gene:Potri.006G218850.v4.1 transcript:Potri.006G218850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218850.v4.1 MKKGGPRTALYDLCKKVQWPMPTFDTTETKSRTAIEFGEGPQKRKGFNSYVSKTILNMPSFGVVECEG >Potri.014G193400.1.v4.1 pep chromosome:Pop_tri_v4:14:17134634:17143722:-1 gene:Potri.014G193400.v4.1 transcript:Potri.014G193400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193400.v4.1 MGIAFLLNIITSQSTHLVLKAIQGLPTLELASICVNLTLFIVFLFISSARQIFVCVGRIRMSSIDGDIRDVIIGTGFKLCLFGCFYVLLLQFLVLGFDGVALIKEAVNGKDVDWSVICLPAAQGLAWFVLSFSVLHCKFKPSEKFPVLLRVWWFFSFFICLCTLYVDGSSFFTGGSKHLSSHVAANFTATPTLAFLCFVAIRGVTGIQVCRNSELQEPLLLEEEAGCLKVTPYFEAGLFSLATLSWLNPLLSIGSKRPLELKDIPLLASRDRAKTNYKILNSNLERRKAENPSRRPSLAWAILKSFWKEAACNAIFALLNTLVSYVGPYMVSYFVDYLGGKETFPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMHVRSALTAMVYQKGLKLSSLAKQSHTSGEVVNYMAVDVQRIGDYSWYLHDIWMLPLQIILALAVLYKNVGIASVATLIATIISIVITIPVAKIQEDYQDRLMAAKDERMRKTSECLRNMRILKLQAWEDRYRVKLEDMRCVEFRWLRKALYSQAFITFVFWSSPIFVSAVTFGTSILLGGQLTAGGVLSSLATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATVVLPRGMTNLAIEIKDAAFCWDPSSLRFTLSGIQMKVERGMRVAVCGMVGSGKSSFLSCILGEIPKISGEVRISGTAAYVSQSAWIQSGNIEENILFGSPMDKAKYTNVINACSLKKDLELFSYGDQTVIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALASKTLVFVTHQIEFLPAADLILVLKEGRIIQAGKYDDLLQAGTDFNTLVSAHHEAIGAMDIPNHSSDESLSLDGSAILNKKCDASECSIESLAKEVQDSASASDQKAITEKKKAKRSRKKQLVQEEERVRGRVSMKVYLSYMAAAYKGLLIPLIILAQSLFQFLQIASSWWMAWANPQMEGGQPRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLSSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLVGIVGVMTKVTWQVLLLVVPMAVACLWMQKYYMASSRELVRIVSIQKSPIIHLFGESIAGAATIRGFGQEKRFMKRNLYLLDCFARPFFCSLSAIEWLCLRMELLSTFVFAFCMILLVSFPHGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQLPGEAPVIIEDSRPVSSWPENGTIDLIDLKVRYGENLPMVLHGVSCTFPGGKKIGIVGRTGSGKSTLIQALFRLIEPASGRIIIDNIDISSIGLHDLRSCLSIIPQDPTLFEGTIRGNLDPLEEHSDQEIWQALDKSQLRQIVQQKEQKLDSPVLENGDNWSVGQRQLVALGRALLKQARILVLDEATASVDAATDNLIQKIIRTEFKDCTVCTIAHRIPTVIDSDLVLVLRDGRVAEFDTPSRLLEDKSSMFLKLVMEYSSRSSSVLDF >Potri.010G137801.2.v4.1 pep chromosome:Pop_tri_v4:10:15215752:15222309:-1 gene:Potri.010G137801.v4.1 transcript:Potri.010G137801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137801.v4.1 MALLSLLVSKPSLKTSFLPLTSHLIPIIPSSPLSSHCTTPTFPLPSQSPPVPKKRPFNISAHTRTWQDPYHWMRNAKDPDFVDYLNQENSYAQAFMADTQNLQRTLLEEMKNRLPTQISTPPERWGHWLYYQYIPEGKEYPVLCRRLETEESGLLKTLLNYAKGHFGMEQVLLDWNQIAEQYGYVHVGTCRVSPDHKFLAYTLDITGNEQFLLQVKDLSNGYIVSRSQVDGVVSLAWAQDSTTLFYTVSDENQRPYRVLFTKLGSDEIDDVPVFTESNSSFCVDITSTKDGKFITVNSNSRTSSEVYVIDATNPLDGLQRVRERVSGVRYFLEHHYGVFYILTNAPLSESEDRLDGNYYLAQCQVGDIQSSDWQNFILPSEDMSFQDMDIFNGHLVLFVNKKSFPALCSVNLPIKFNSVNQLEIENLDPWFFPLPSSQCNIVPGSNHDFMNPVYRVVLSSPVMPGVVVDYNMSEQIFSIVQQEKVRDIPGDCGSCSLAYELDTSEHLDPLNYKDKNNLNIELQRWKDFSGAYCCKTKEVISHDGVRVPLTILYSRKAWQRGQSPGLLEGYGAYGEVLDKSWCSDRLSLLDRGWVLAFADVRGGGGHSLWHKYGSGLNKCNSIYDFISCGNYLVSEGYVHRDQLGAIGFSAGGLLVGAAINMNPNLFRAAILKVPFLDACNTLLDPSLPLTLLDYEEFGNPQIQSQFESILSYSPYDNIPRDACLPSMLVTASFHDSRVGVWEAAKWVARTRDIACSHCSRSVILKTNMTGGHFGEGGCYSQCEETAYDYAFLMKTIGNVNGNK >Potri.004G095400.6.v4.1 pep chromosome:Pop_tri_v4:4:8191338:8197306:-1 gene:Potri.004G095400.v4.1 transcript:Potri.004G095400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095400.v4.1 MTTMPLWDYGSLSCFKNFKSIFQDATVNRLEINANTCQSFSPIDLPLLENTSEECKVKEVQMREVRLGSGTHTVRSHGATVAKTHMHDWLMVVLLVVIEVILYLTPPFYRYVGKDMMTDLRYPLLDNTVPAWAVPMYAVLLPVVIFLVVYYRRRDVYDLHHAILGLLFSILVTAVITDSIKNAVGRPRPDFFWRCFPDGKDVYDHLGNVICHGEKSIIKEGHKSFPSGHTSCSFAGLGFLSLYLSGKLKAFDCKGHVAKLCIVFLPILAACLVAISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHPQGWGPYAYFQERESRASAQADATVNPLNAWPMDSHVENQGDDKNGFLGLNLAGDLTTTVEDVESGRR >Potri.005G211600.2.v4.1 pep chromosome:Pop_tri_v4:5:21579339:21582649:-1 gene:Potri.005G211600.v4.1 transcript:Potri.005G211600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211600.v4.1 MEDTQPEGGENNRDVEVAPALISVHPTQDSVAVAVGSDLRFFDLRGNCGVTLVDETGEAFHKDSIRAIRYGAKGKLFVSAGDDKLVKVWSTDSWRCIASVCSEKRVSAVAISNDGLYVCFADKFGVVWVVDLRGLDGNETLVNKKAAPLLAHYCSIITSLEFSPDGWFIVTADRDFKIRVTVFPKKPLDGAHEIQSFCLGHTEFVSCLAFLVTVDYPQGFLVSGSGDSTVRLWDINSGTLLDTCEVGSKAGIVDYDGSEVSCSTVTDLCTIPGSNFVAVAIQSLQGILLMSCDLSSQTFGAVKVVSNMGDSFIPTSLGCSSSAELLWTITGVSKLHGSDHNSLACVRVLSGFKKTIPDAAGPELTLLDDNEVPGAEKLLEKLQGSVTVEEEVFLAAAEAVKTSMCNLLIKKQYTTEKREFRKRGRNDKKTKQ >Potri.014G170300.8.v4.1 pep chromosome:Pop_tri_v4:14:12437140:12446753:-1 gene:Potri.014G170300.v4.1 transcript:Potri.014G170300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170300.v4.1 MDISSEARPPLHLHLHLHRPRTPSCHLFHFSPHGLSRRNVVFKIAQNPPPPPPPAHAISAKKGNYSNNTVCQEGLCELVWTVEADLAPGQLLYVTGDPVVLGCWDPEMAILMHPISHPNLWEAQVTVPCGVNFKYNYFVRDKTWPSCNVTWRPGPEFSLSVPATVKQDRKIMVRDSWTKFNTERSPDYLWGSWIEERYLPLEPSNCAPTRDEHVIAKHLQIDFKEPKAFLNDLKVNNKSRTNDEDYLTATYDCPNSVFHERDQPLEEPWLLQSPVISVVFKDKLTQDVSKNSDTVEDGLKKFKVNDQGMKVKDKLSANGSNLNLKDDSVSTVILISSSICTMQRIAVLEDEKLVELLLEPVKNTVLCDSVYIGVVTKLVPHMGGAFVNIGSSRPSLMDIKQNREPFIFPPFCQRTKKGEVNGSVLKAFEEHPAAHENEHTSHDVEVIDDVSEFVFHSDLAPFLHDDHEEHEVDDDFDVSEVKENVNGSIVDYGEVDADFEQFLDGREHHLEGDTASRSTVETEEPNYPPVSHQDIKDAKHTLTSENKWSQVRKGTKVIVQVVKEGLGTKGPTVTAYPKLRSRFWILITRCDRIGVSKKVSGVERTRLKVIAKTLQPPGFGLTVRTVAAGHSFEELQKDLEGLLSTWKSIMEHAKSAALAEDEGVEGAIPVVLHRAMGQTLSVVQDYFSEKVRKMMVDSPRTYHEVTNYLQEIAPDLCGRVELYDKRTPLFDEFKIEEEINNILSKRVPLSSGGSLVIEQTEALVSIDVNGGHVMLRQRTSQEKAILDVNLAAAKRIARELRLRDIGGIIVVDFIDMADESNKRLVYEAVKRAVERDRSTVKVSELSNHGLMEITRKRVRPSVTFMISEPCTCCHATGRVEALETSFSKIEQEICRSLATMDQKADHENPKTWPRFILRVDHHMCNYLTSGKRTRLAVLSSSLKVWILLKVARGFTRGAFEVKQFTDDKTNKDQQQVAISVLRQAEARAKKSGGKVTLVPVKKGKAGRK >Potri.014G170300.7.v4.1 pep chromosome:Pop_tri_v4:14:12437141:12446653:-1 gene:Potri.014G170300.v4.1 transcript:Potri.014G170300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170300.v4.1 MDISSEARPPLHLHLHLHRPRTPSCHLFHFSPRHGLSRRNVVFKIAQNPPPPPPPAHAISAKKGNYSNNTVCQEGLCELVWTVEADLAPGQLLYVTGDPVVLGCWDPEMAILMHPISHPNLWEAQVTVPCGVNFKYNYFVRDKTWPSCNVTWRPGPEFSLSVPATVKQDRKIMVRDSWTKFNTERSPDYLWGSWIEERYLPLEPSNCAPTRDEHVIAKHLQIDFKEPKAFLNDLKVNNKSRTNDEDYLTATYDCPNSVFHERDQPLEEPWLLQSPVISVVFKDKLTQDVSKNSDTVEDGLKKFKVNDQGMKVKDKLSANGSNLNLKDDSVSTVILISSSICTMQRIAVLEDEKLVELLLEPVKNTVLCDSVYIGVVTKLVPHMGGAFVNIGSSRPSLMDIKQNREPFIFPPFCQRTKKGEVNGSVLKAFEEHPAAHENEHTSHDVEVIDDVSEFVFHSDLAPFLHDDHEEHEVDDDFDVSEVKENVNGSIVDYGEVDADFEQFLDGREHHLEGDTASRSTVETEEPNYPPVSHQDIKDAKHTLTSENKWSQVRKGTKVIVQVVKEGLGTKGPTVTAYPKLRSRFWILITRCDRIGVSKKVSGVERTRLKVIAKTLQPPGFGLTVRTVAAGHSFEELQKDLEGLLSTWKSIMEHAKSAALAEDEGVEGAIPVVLHRAMGQTLSVVQDYFSEKVRKMMVDSPRTYHEVTNYLQEIAPDLCGRVELYDKRTPLFDEFKIEEEINNILSKRVPLSSGGSLVIEQTEALVSIDVNGGHVMLRQRTSQEKAILDVNLAAAKRIARELRLRDIGGIIVVDFIDMADESNKRLVYEAVKRAVERDRSTVKVSELSNHGLMEITRKRVRPSVTFMISEPCTCCHATGRVEALETSFSKIEQEICRSLATMDQKADHENPKTWPRFILRVDHHMCNYLTSGKRTRLAVLSSSLKVWILLKVARGFTRGAFEVKQFTDDKTNKDQQQVAISVLRQAEARAKKSGGKVTLVPVKKGKAGRK >Potri.001G112800.1.v4.1 pep chromosome:Pop_tri_v4:1:9139040:9141095:-1 gene:Potri.001G112800.v4.1 transcript:Potri.001G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112800.v4.1 MGSLFFLTLIILLSLALVRTEAQGIKSARLLDLLIRDYTLKSSGVHFKTGMVHTVDLPANFSGIKVDTVRFRCGSLRRYGAQVKEFHLGIGVTVQPCVERLLVIRQNLDLNWSSIYYANYDLSGYQIVSPILGLLAYNFGSDVNVSNSFEIGIHAGGKPITIDFINVSTTANVSGIRPLCASFENDGKVTLRNPTSPFVCVATRHGHYGLVIKSPPAPPPSMQMMKKKISLWKVVVGSSVGTAIGVILLGLLLVAMFVKVKKKARMEEMERRAYEEEALQVSMVGHVRAPTATVTRTVPIIEHEYIPYPS >Potri.005G049900.2.v4.1 pep chromosome:Pop_tri_v4:5:3167815:3168443:1 gene:Potri.005G049900.v4.1 transcript:Potri.005G049900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049900.v4.1 MSLAVVNETTVTTFVEDMKAFENCVKECFEMLDVDGDGVLSRSELREGFCKLMSLGHESSKKEKIDHLFDTIFDRFDEDQNGSIDPREFKCLIRELMFAMGRGFGNSPVLVALEIDSLLMKAVEHEFGQI >Potri.002G083700.1.v4.1 pep chromosome:Pop_tri_v4:2:5951814:5955409:1 gene:Potri.002G083700.v4.1 transcript:Potri.002G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083700.v4.1 MGFASINVSVITLGYFLMLFVTLTSILNPIFASRICEFPAIFNLGDSNSDTGTHSAAFTALNSPNGDTYFHMPAGRFSDGRLIIDFIAKSFNLPYLSAYLNSLGASYTNGANFASAGATIRLPSPIIPASGGYSPFYLDVQYQQFMQFKDRSQIIRKQGGKFAKLMPKEDYFRKALYTFDIGHNDLGAGFFSNMSIEEVKATVPDIVNRFSIYVKNIYEVGGRSFWIHSTGPIGCLAYILTGFPSAEKDSAGCAKQHNEVARYFNYKLKEAVFQLRKDFPSAAFTYVDVYSVKYSLFSEPKKYGFELPLIACCGYGGKYNYSDAPRCGETITVNNTKMVVGSCDNPSVRVDWDGVHYTEAANKFVFDRISTGAFSDPPIPLNMACHRNV >Potri.012G074500.1.v4.1 pep chromosome:Pop_tri_v4:12:9797078:9801523:1 gene:Potri.012G074500.v4.1 transcript:Potri.012G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074500.v4.1 MVSDSINASIPSVPKDFGKKKRANRSAKLKQCKLDARREQWLSQATVKNRGCKEELMGPRGSPQHIHEEGRKNPLENLQMRQQGRGVGEDEDENGSIHRDFDMDSPSNSPTGSSVLGGNDSSTNFTASSSGSSTSGSSGGCCSGSITDEDDEGDDSCLDDWEALADALAANDYDNKQENHNDDNNPCLELQSSPEHEPVVQLDCNSCNLGSNHENLTRERRIPSRVTPGNGRAWRPDDALRPQSLPNLSKQRSFPNTDRHYGRGMHAWVCASGVNVPSACPICTEDLDFTDASFLPCSCGFQVCLFCYNKMLELDGRCPNCRELYKNDSVEVEAIVPGSNLTLRLDRSCSMRS >Potri.012G074500.2.v4.1 pep chromosome:Pop_tri_v4:12:9797446:9798866:1 gene:Potri.012G074500.v4.1 transcript:Potri.012G074500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074500.v4.1 MGPRGSPQHIHEEGRKNPLENLQMRQQGRGVGEDEDENGSIHRDFDMDSPSNSPTGSSVLGGNDSSTNFTASSSGSSTSGSSGGCCSGSITDEDDEGDDSCLDDWEALADALAANDYDNKQENHNDDNNPCLELQSSPEHEPVVQLDCNSCNLGSNHENLTRERRIPSRVTPGNGRAWRPDDALRPQSLPNLSKQRSFPNTDRHYGRGMHAWVCASGVNVPSACPICTEDLDFTDASFLPCSCGFQVCLFCYNKMLELDGRCPNCRELYKNDSVEVEAIVPGSNLTLRLDRSCSMRS >Potri.002G040400.1.v4.1 pep chromosome:Pop_tri_v4:2:2639103:2640240:1 gene:Potri.002G040400.v4.1 transcript:Potri.002G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040400.v4.1 MKIRLINHGISEKIMHDVSEVVNEFFQLPANEKERFCSNDPKQSCRLSTSIDYFQEKIQYWRDNLRHQCHPLEEHFQEVAGTYSVEVRKLSLLLLDLICEGLGLESRYFNGNGLSQVQLMSINHYPPSSDPSLTLGLPKHTGEVPGLQVLEDGKWLAVDPLPTAFVITIGYVFQVISNGKLKSVDQRVVTNSKVARTTVGICIFPSSDSRIEPAKDLVDKCHPPLYKSFICKDFSDTYISEIINGITTERYKLHLSE >Potri.006G055201.1.v4.1 pep chromosome:Pop_tri_v4:6:3900160:3900695:1 gene:Potri.006G055201.v4.1 transcript:Potri.006G055201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055201.v4.1 TKSNIIFLSENLVDANRIDSLRVDIKYDWCFMVDRMGRGRGLAVFWKNLELVSLLGYSNNHMDMSVVGVDKFKWRFTCFYGFPERHKRRESWNLLRALSCRSTLPWVCIGDYNDLLWGFILGFREAIMDCKSRDLPLIGYPYTWGKGCGTNIWVYERLDRAMCMDN >Potri.005G145300.6.v4.1 pep chromosome:Pop_tri_v4:5:11866432:11869801:-1 gene:Potri.005G145300.v4.1 transcript:Potri.005G145300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145300.v4.1 MKPLKMQSFTISLFSILLLGVCHVSRATLASNKEKKATYIVHMSKPEMPASFEHHTHWYESSLKSVSDSAQMLYTYENAIHGFSTRLTLAEAKLLESQPGILSVMLELRYELHTTRTPEFLGLDKSADLLPQSDSVSEVIIGVLDTGVWPESKSFLDTGFGPVPSSWKGECESGTNFTTKNCNRKLIGARFFARGYEATLGPVDESKESKSPRDDDGHGTHTSSTAGGSSVADASLFGYAAGTARGMAARARVAVYKVCWVGGCFSSDILAAMDKAIDDGVNVLSMSLGGSMSYYYRDSVAIGAFAAMEKGIFVSCSAGNAGPSSYSLSNVAPWITTVGAGTLDRDFPAFVSLGNGKNYSGVSLYKGDAILPGKLLPFVYAGNASNATNGNLCMMGTLIPEQVAGKIVLCDRGVNPRVQKGAVVKAAGGIGMVLSNTDANGEELVADAHLLPATAVGKKGGDEIKNYLFSDPKPTATILFEGTKVGIQPSPVVAAFSSRGPNSITPDILKPDMIAPGVNILAGWVGSAGPTGLATDGRRVEFNIISGTSMSCPHVSGLAALIKAAHPDWSPAAIKSALMTTAYVTYKNGNKLQDVATGKDSTPFDHGAGHVDPVSALNPGLVYDLTADDYLNFLCALNYSATEITSLARRKFTCDASKKYSVTDLNYPSFAVNFGSGGADAGN >Potri.005G145300.5.v4.1 pep chromosome:Pop_tri_v4:5:11866459:11870014:-1 gene:Potri.005G145300.v4.1 transcript:Potri.005G145300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145300.v4.1 MKPLKMQSFTISLFSILLLGVCHVSRATLASNKEKKATYIVHMSKPEMPASFEHHTHWYESSLKSVSDSAQMLYTYENAIHGFSTRLTLAEAKLLESQPGILSVMLELRYELHTTRTPEFLGLDKSADLLPQSDSVSEVIIGVLDTGVWPESKSFLDTGFGPVPSSWKGECESGTNFTTKNCNRKLIGARFFARGYEATLGPVDESKESKSPRDDDGHGTHTSSTAGGSSVADASLFGYAAGTARGMAARARVAVYKVCWVGGCFSSDILAAMDKAIDDGVNVLSMSLGGSMSYYYRDSVAIGAFAAMEKGIFVSCSAGNAGPSSYSLSNVAPWITTVGAGTLDRDFPAFVSLGNGKNYSGVSLYKGDAILPGKLLPFVYAGNASNATNGNLCMMGTLIPEQVAGKIVLCDRGVNPRVQKGAVVKAAGGIGMVLSNTDANGEELVADAHLLPATAVGKKGGDEIKNYLFSDPKPTATILFEGTKVGIQPSPVVAAFSSRGPNSITPDILKPDMIAPGVNILAGWVGSAGPTGLATDGRRVEFNIISGTSMSCPHVSGLAALIKAAHPDWSPAAIKSALMTTAYVTYKNGNKLQDVATGKDSTPFDHGAGHVDPVSALNPGLVYDLTADDYLNFLCALNYSATEITSLARRKFTCDASKKYSVTDLNYPSFAVNFGSGGADAGN >Potri.013G147900.1.v4.1 pep chromosome:Pop_tri_v4:13:14471056:14471370:-1 gene:Potri.013G147900.v4.1 transcript:Potri.013G147900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147900.v4.1 MSWQSKVWTVVGSVAAVEELKDKNWCRLNSTMKSLYHQHVINNMASYSSSSKPTQVGREAAAAAPPPATTTSNFAPKRECKEKRVNQSEESLRTVMYLSCWGPN >Potri.016G059800.2.v4.1 pep chromosome:Pop_tri_v4:16:4091655:4096179:1 gene:Potri.016G059800.v4.1 transcript:Potri.016G059800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059800.v4.1 MRKDDTESSTGNNQEQQQPPSISSTNQLSTRVSDASTSSSSTNFPSSAMRTTTPSPLRLSQSRLQFFIRIISEATHVVINANSSDSVKSLHERIRVMTGIPVIEQRLIYLGKQLQYENKLSDYSIENDSILHLVGRMRSTRHPRTCQLINDMVSYICRICKSILPCGAHPYTSKHIKELMNEFFSLTPKDNNEDASGHLDVFLSNSAPAVLVALYVSSIKGNKECAEGAIRHFLNSCRISLPKNLHLQCVPIVMEFCNLLRKVGSDDPLYVVCRSCLGSLLENGRGTCGWRYHGEEEGKGAVVQEIFPFVSELGSKLFKDLMGSVVESMGPSEADVKDFSAFLIPLHSVISEQRGFRSPISMPLNKLAFHCPLYAKEIEQLHVIFIDLLNIMEKCLGKMQDSSNLKTNGESELNHTGWSQYLFILKELNNIAKLYKGAEEKFWTVLRLRKASLCALIVRYAKQTDDHQWLLQHKDVTDFESRRHLAMMMFPEVKEDYEELHEMLIDRSQLLAESFEYIMHAESDTLHGGLFMEFKNEEATGPGVLREWFFLVTQAIFDPQNALFVACPSDRRRFYPNSASKVDPMHLDYFTFSGRVIALALMHKVQVGIVFDRVFFLQLAGMHISLEDIRDADPCLYNSCKQILQRDPEFIDSNALGLTFVREVEELGSIKVVELCPGGKGIVVNSKNREKYVNLLIQHRFVTSISDPVSRFARGFADILSNPGEQKLFFRSLELEDLDWMLYGSESAICVEDWKAHTEYSSLIETDPQISWFWKVCCCFAN >Potri.016G059800.3.v4.1 pep chromosome:Pop_tri_v4:16:4091651:4096306:1 gene:Potri.016G059800.v4.1 transcript:Potri.016G059800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059800.v4.1 MRKDDTESSTGNNQEQQQPPSISSTNQLSTRVSDASTSSSSTNFPSSAMRTTTPSPLRLSQSRLQFFIRIISEATHVVINANSSDSVKSLHERIRVMTGIPVIEQRLIYLGKQLQYENKLSDYSIENDSILHLVGRMRSTRHPRTCQLINDMVSYICRICKSILPCGAHPYTSKHIKELMNEFFSLTPKDNNEDASGHLDVFLSNSAPAVLVALYVSSIKGNKECAEGAIRHFLNSCRISLPKNLHLQCVPIVMEFCNLLRKVGSDDPLYVVCRSCLGSLLENGRGTCGWRYHGEEEGKGAVVQEIFPFVSELGSKLFKDLMGSVVESMGPSEADVKDFSAFLIPLHSVISEQRGFRSPISMPLNKLAFHCPLYAKEIEQLHVIFIDLLNIMEKCLGKMQDSSNLKTNGESELNHTGWSQYLFILKELNNIAKLYKGAEEKFWTVLRLRKASLCALIVRYAKQTDDHQWLLQHKDVTDFESRRHLAMMMFPEVKEDYEELHEMLIDRSQLLAESFEYIMHAESDTLHGGLFMEFKNEEATGPGVLREWFFLVTQAIFDPQNALFVACPSDRRRFYPNSASKVDPMHLDYFTFSGRVIALALMHKVQVGIVFDRVFFLQLAGMHISLEDIRDADPCLYNSCKQILQRDPEFIDSNALGLTFVREVEELGSIKVVELCPGGKGIVVNSKNREKYVNLLIQHRFVTSISDPVSRFARGFADILSNPGEQKLFFRSLELEDLDWMLYGSESAICVEDWKAHTEYSSLIETDPQISWFWKVCSCTLAFMLYLKSKLLFFFWRYRFFFISYF >Potri.018G094200.3.v4.1 pep chromosome:Pop_tri_v4:18:11441965:11447376:1 gene:Potri.018G094200.v4.1 transcript:Potri.018G094200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094200.v4.1 MEANKDQVQEFIFRSKLPDIYIPNHLPLHTYCFEKLSQFKDYPCLINGPTGDIYTYADVELTSRKVASGLYKLGVQQGDVILLLLQNSPEFVFALLGASFIGAISSTANPFYTSAEIAKQATASKAKLIITQAAYAEKVQQFAQENDHVKIMTIDSLTENCLHFSELTSSDENEIPAVKIKPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHERDVILCVLPLFHIYSLNSVLLCGLRAGSAILLMQKFETVSLMDLVQKYKVTIAPLVPPIFLAIAKSPVVDQYDLSSIRTVLSGAAPMGKELEDTVRAKLPNAKLGQVVISYITIYHGLSFIYV >Potri.018G094200.4.v4.1 pep chromosome:Pop_tri_v4:18:11442845:11443261:1 gene:Potri.018G094200.v4.1 transcript:Potri.018G094200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094200.v4.1 MDYHLYMYNFFSSSTPQYYKKKLINNSWITMFFYIIFSLFRYLRFGARFENFIWCSSCNYRILTT >Potri.018G094200.1.v4.1 pep chromosome:Pop_tri_v4:18:11441843:11447345:1 gene:Potri.018G094200.v4.1 transcript:Potri.018G094200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094200.v4.1 MEANKDQVQEFIFRSKLPDIYIPNHLPLHTYCFEKLSQFKDYPCLINGPTGDIYTYADVELTSRKVASGLYKLGVQQGDVILLLLQNSPEFVFALLGASFIGAISSTANPFYTSAEIAKQATASKAKLIITQAAYAEKVQQFAQENDHVKIMTIDSLTENCLHFSELTSSDENEIPAVKIKPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHERDVILCVLPLFHIYSLNSVLLCGLRAGSAILLMQKFETVSLMDLVQKYKVTIAPLVPPIFLAIAKSPVVDQYDLSSIRTVLSGAAPMGKELEDTVRAKLPNAKLGQGYGMTEAGPVIAMCLAFAKEPFEIKSGACGTVVRNAEMKIVDPETGDSQPRNKAGEICIRGCQIMKGYLNDTEATERTIDKDGWLHTGDVGYIDEDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPNISDAAVVPMKDEAAGEVPVAFVVRSNGSKITEDEIKQYISKQVIFYKRIGRVFFTEAIPKAPSGKILRKDLRARVAAGDIPHQIPSTTYMQNQH >Potri.017G039125.1.v4.1 pep chromosome:Pop_tri_v4:17:2581110:2581544:1 gene:Potri.017G039125.v4.1 transcript:Potri.017G039125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039125.v4.1 MARSNNFLFMVLLFLGIVLIVSPVLAIENDDESTAAEYIDDENLAVSPESSTEILPGFLKNCANTISKAAGDKVFNYIFGNENNLDYATCSEVTGSGKECNGALVKYVAEGPMFKANYDFYWKRGEDLYNFCSSVFMGWAHISF >Potri.003G045600.1.v4.1 pep chromosome:Pop_tri_v4:3:5214403:5220531:-1 gene:Potri.003G045600.v4.1 transcript:Potri.003G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045600.v4.1 MGSSSADPNCNINNINTKAIDASVGALVWVRRRNGSWWPGRIVGLDEISEGSLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKTSAANGNKRAVKYARREDAILHALEIENARLGRDQLDFFSRSDNLGEEHGSSAKESSMSFSGKEDGDMTDGDSYSEDNSDMDADSDSGLNTDSGLGSDLAPELSESGTSSEEPNYNGACKMQSLPGKRRRTPNDSEDDGTEGIKRMRGLEDLGIGVGDSNTGNCMHNICPVNGSKGYNLLLKRKRSQVANVNELLKRKNRHRPLTKVLESTAMVCVPVICDHLSSPSSLPLPGLSDGKISGIESNGSRKDCSFATNNNSDSYGVSCENGSSSKSSDHAYDAALINHKLKKEKDISSISRPAENISVDRLFDVPFVGEEKHSTGFSPILVSCSPGKHQIGGLGKQFSQSSQAEAVLLKNEACNESGSTSLAAACIYNNFSQRIEKGASKWQLKGKRNSRHTSKNRKQDSRKDDMDDEPNAYLAGMEHLDGFRQGPDQKVDCGGGKSEPFSEYRVDAVRDRSKSSSHGEGMRAATVELSVPQRSLPYRQSRFMVNSRYQTSDFPGRNLSSCSKLFNVEIKVQRNYRQQHVPLVSLMSKLNGKAIVGHPLTIENLDDGYSDLMLGSNERDTTHVTEGETPKPGYVAMRNIEAGRTPARRMTMKPRSSPRKSHKLRKCGLLSKKIRKLSSLTGKRVEDRKPVVEKPEGPVIACIPLKLVFSRINEAVNGSARQTHRALPSSNS >Potri.013G079800.4.v4.1 pep chromosome:Pop_tri_v4:13:6853012:6855612:-1 gene:Potri.013G079800.v4.1 transcript:Potri.013G079800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079800.v4.1 MIEQGFRKVDPDRFEFANEGFLRGQKHLLRSISRKKPVHGNLPPQVQSSSVTTCVEVGKSGLEEEVERLKRDKNVLMQELVRLRQQQQATDHQLHTVGQRVQGMEQRQQQMMSFLAKAMQNPGFLSQLVQQQNESNRRIAGASKKRRLPRQEEENLAGVQCKTSPNGQIIKFHSSMNEAAKAMLHQILKMNSSPRLEPSMNNSGPLLIGNHPSSNGLDSGSSSTRMSGVMLSEVPTASGPSYLPMETGFPASHSTTAISEVQSPASVATDYVKTDYTAEMGMHNSGQNTILPNFTAMTGIVPGGSAGGPNMNIAGSEKGNAEFFDTMSSVLDAPVPIETEALSPSQDEEVFLDGNHKLPGINDVFWEQFLTASPLNGETDEINSSSPESSMSKEQELQSWQDNGWDNIQHMNRLAEQMGLLTPESLKG >Potri.013G079800.1.v4.1 pep chromosome:Pop_tri_v4:13:6852462:6858272:-1 gene:Potri.013G079800.v4.1 transcript:Potri.013G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079800.v4.1 MIRMDSGNNNTNTVPPFLSKTYDMVDDPSTDSVVSWSSSNNSFVVWNVPEFQTDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRFEFANEGFLRGQKHLLRSISRKKPVHGNLPPQVQSSSVTTCVEVGKSGLEEEVERLKRDKNVLMQELVRLRQQQQATDHQLHTVGQRVQGMEQRQQQMMSFLAKAMQNPGFLSQLVQQQNESNRRIAGASKKRRLPRQEEENLAGVQCKTSPNGQIIKFHSSMNEAAKAMLHQILKMNSSPRLEPSMNNSGPLLIGNHPSSNGLDSGSSSTRMSGVMLSEVPTASGPSYLPMETGFPASHSTTAISEVQSPASVATDYVKTDYTAEMGMHNSGQNTILPNFTAMTGIVPGGSAGGPNMNIAGSEKGNAEFFDTMSSVLDAPVPIETEALSPSQDEEVFLDGNHKLPGINDVFWEQFLTASPLNGETDEINSSSPESSMSKEQELQSWQDNGWDNIQHMNRLAEQMGLLTPESLKG >Potri.013G079800.3.v4.1 pep chromosome:Pop_tri_v4:13:6853012:6858284:-1 gene:Potri.013G079800.v4.1 transcript:Potri.013G079800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079800.v4.1 MIRMDSGNNNTNTVPPFLSKTYDMVDDPSTDSVVSWSSSNNSFVVWNVPEFQTDLLPKYFKHSNFSSFVRQLNTYGFRKVDPDRFEFANEGFLRGQKHLLRSISRKKPVHGNLPPQVQSSSVTTCVEVGKSGLEEEVERLKRDKNVLMQELVRLRQQQQATDHQLHTVGQRVQGMEQRQQQMMSFLAKAMQNPGFLSQLVQQQNESNRRIAGASKKRRLPRQEEENLAGVQCKTSPNGQIIKFHSSMNEAAKAMLHQILKMNSSPRLEPSMNNSGPLLIGNHPSSNGLDSGSSSTRMSGVMLSEVPTASGPSYLPMETGFPASHSTTAISEVQSPASVATDYVKTDYTAEMGMHNSGQNTILPNFTAMTGIVPGGSAGGPNMNIAGSEKGNAEFFDTMSSVLDAPVPIETEALSPSQDEEVFLDGNHKLPGINDVFWEQFLTASPLNGETDEINSSSPESSMSKEQELQSWQDNGWDNIQHMNRLAEQMGLLTPESLKG >Potri.013G143600.1.v4.1 pep chromosome:Pop_tri_v4:13:14119360:14123691:-1 gene:Potri.013G143600.v4.1 transcript:Potri.013G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G143600.v4.1 MFLLIPSLIFQDHNQSLPEVVLERGILFNKVHGMHVFYYPQGDSRFSNPWEDCSRNCMFCARQSTILWTR >Potri.012G126000.2.v4.1 pep chromosome:Pop_tri_v4:12:14246902:14251242:1 gene:Potri.012G126000.v4.1 transcript:Potri.012G126000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G126000.v4.1 MSRFRRIEIFEPHYAPVLLRETSIFTPKSLVFPSFEEPEELGFAAFDLLCSKPTSFEVFDTVTDLVKTRSFYTSKSIHDRFETDLRLHTLHDRVSELESKFDRLVNPKINGGSGAERKYTWTAEIKGPVTERKYKLTAEIKGGEEKKKKEEKTKNYKWTAEIKGKGEEEIPISRKYTFETSSGFAGDGSKSEKKEKEKEKEKKIEAKEKKGQCATRLVEIEDYPDHGAVVLRQAFAKRAGVVEKRKGKKKELSPQDAALLIQITFRAYLIRRSQALRALRELAIAKAKLKELRALFNNFSYRRHVARDAEERQRFSEKIIVLLLTVDAIEGADLMVRAAKRSMVDELEAMLDVVDPQPAGKSLSMRRRTFDMPDGIIRKEIAEGVAQVVQMLDKEEDGTATFEACL >Potri.011G098300.1.v4.1 pep chromosome:Pop_tri_v4:11:12694379:12701964:-1 gene:Potri.011G098300.v4.1 transcript:Potri.011G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098300.v4.1 MALSMHSKDKQMDSSKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILCNIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSHLVYENGYMRQQIQTASATATDNSCESVVMSGQHQQQQNPTPQQPQRDANNPAGLLTIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPIKVAEILKDRPSWFRDCRCLDIMSVIPTGSGGTIELIYMQTYAPTTLAAARDFWTLRYTTTLEDGSLVICERSLTSSTGGPTGPPSSSFVRADMLPSGYLIRPCEGGGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTMAALRYIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFTDSGWSLLDGDGGDDVTIVINSSPTKFLGSQYNASISPTFGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDVYSAACLKASPYAVPCTRPGGFPSSQVILPLAHTVEHEEFLEVVRLEGHAFSPEDVALAQDMYLLQLCSGVDENALGACAQLVFAPIDESFADDAPLLPSGFRVIPLDPKTDAPAATRTLDLASTLEVGPGGARPASEADTNSYNLRSVLTIAFQFTFENHFRDNVAAMARQYVRGVVASVQRVAMAISPSRLSSNMGPKSLPVSPEALTLARWIYRSYRIHTGGELFRVDSQAGDALLKRLWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKILCSEFSKIMQQGYAYLPAGICVSSMGRPVSYEQAIAWKVLNDDNSNHCLAFMFINWSFV >Potri.008G119500.1.v4.1 pep chromosome:Pop_tri_v4:8:7673796:7677335:1 gene:Potri.008G119500.v4.1 transcript:Potri.008G119500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119500.v4.1 MASTPFLSKTPINNFSSSLSPSLPLLPSTLSHRKTNPNSFRVNAKIREIFMPALSSTMTEGKIVSWIKSEGDLLSKGESVVVVESDKADMDVETFYDGILAAIVVPEGETAPVGAPIGLLAETEEEIAEAKAKAASKASGSTPPVAETVTPTPPPPATSTPAPAISQTPAAPEGPRKTTVTPFAKKLAKQHKVDISKVVGTGPYGRVTPADVEAAAGIAVSKPSESLAATVKAAASSSVPPPLPGSNIVPFTTMQAAVSKNMVESLSVPTFRVGYPVITDALDAFHDKVKPKGVTMTALLAKAAAMALVQHPVVNASCKDGKSFTYNSSINIAVAVAINGGLITPVLQDADKLDLYLLSKKWKELVEKARAKQLQPHEYNSGTFTVSNLGMFGVDRFDAILPPGHGAIMAVGASKPTVLADKDGFFSVKNKMLVNVTADHRIVYGADLAAFLQTFARIVENPESLTL >Potri.011G035850.2.v4.1 pep chromosome:Pop_tri_v4:11:2750089:2756619:-1 gene:Potri.011G035850.v4.1 transcript:Potri.011G035850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035850.v4.1 MLAILVPSIASNVNCLFVAQEEGKTRFKKRAKKGSELQVNSTSTELKYFKLSTTTAATNNFSPANKLGQGGFGSVYKGLLANGLEVAIKRLSRSSRQGTEEFKNEVMVIAMLQHRNLVKLLGYCTQDGEQILIYEYLPNKSLDSILFQIIVSTDETRRLLLDWRKRFDIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDAEMNPKISDFGMAKIFEGNQTEDRTRRVVGTYGYMSPEYAVFGNFSVKSDVFSFGVMLLEIVSGKKNNRFYQRNPPLTLIGYVWELWREDKALEIVDPSLKELYHPREALKCIQIGLLCVQEDATDRPSMLAVVFMLSNETEIPSPEQPAFLFRKSDNNPDIALDVEDGQCSLNEVTITEIACR >Potri.011G035850.1.v4.1 pep chromosome:Pop_tri_v4:11:2749879:2751797:-1 gene:Potri.011G035850.v4.1 transcript:Potri.011G035850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035850.v4.1 MQAILAPSIALLWFLISLFAYLWFKKRAKKGSELQVNSTSTELKYFKLSTTTAATNNFSPANKLGQGGFGSVYKGLLANGLEVAIKRLSRSSRQGTEEFKNEVMVIAMLQHRNLVKLLGYCTQDGEQILIYEYLPNKSLDSILFHETRRLLLDWRKRFDIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDAEMNPKISDFGMAKIFEGNQTEDRTRRVVGTYGYMSPEYAVFGNFSVKSDVFSFGVMLLEIVSGKKNNRFYQRNPPLTLIGYVWELWREDKALEIVDPSLKELYHPREALKCIQIGLLCVQEDATDRPSMLAVVFMLSNETEIPSPEQPAFLFRKSDNNPDIALDVEDGQCSLNEVTITEIACR >Potri.016G085500.9.v4.1 pep chromosome:Pop_tri_v4:16:6699277:6701594:1 gene:Potri.016G085500.v4.1 transcript:Potri.016G085500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085500.v4.1 MPANLDSIDLNSDVVSGEREDGVNGFSNVSVVKSMVGLSSDAETLMDSVTNSQGQNQEPVVDEVKDFKGANDLKEVAVGGLGWEGKMDSVYKSVDFEGENGSGSGVGDASVREAAEITETHSSKVVVEENSSCEIEGEVKAGGCGGVSSSSVGEDAIQVENANVEVKVDSANDLLPRKKPGNVSPKVSSEGVENQGMEINDEQAKNSEGQNEDAAAFDERVLQEIENLESNDLNLVADSEPYVGADGNAKMASKEAGLGVGDLVWGKVRSHPWWPGQLFGCADASKKAKKHFKKDSYLIAYLGDQTFAWNEVSKIKPFRCNFSLLEKQSNLEDFHDAVHCALDEVSRRVEFGLACPCMPGYSKIKTQIIVNPGIREESCRRDGGDSFSSAASFEPAKLNDYVKELGQLPLSGIDTLEFVTARSQLLAFNRWKGYCHLPEFQFLGELLESDAEIPLSPEVKHGSEKVDNAATTVGKEKPKGEDHSLHRRKCKRIYGDDEHPSEKEKCLADLIAERRASAAKGKGGLDGEATGEMTKSSSGRKRKAVDSISDDSVMKQSKSPSSPGIDNGSSQPKKTYRVGDSILRVASQLNTSTPILKSVNGTYQKTAVKAKSQEKSASGKCKGKMLYSKKNDSDGE >Potri.016G085500.10.v4.1 pep chromosome:Pop_tri_v4:16:6699277:6702702:1 gene:Potri.016G085500.v4.1 transcript:Potri.016G085500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085500.v4.1 MPANLDSIDLNSDVVSGEREDGVNGFSNVSVVKSMVGLSSDAETLMDSVTNSQGQNQEPVVDEVKDFKGANDLKEVAVGGLGWEGKMDSVYKSVDFEGENGSGSGVGDASVREAAEITETHSSKVVVEENSSCEIEGEVKAGGCGGVSSSSVGEDAIQVENANVEVKVDSANDLLPRKKPGNVSPKVSSEGVENQGMEINDEQAKNSEGQNEDAAAFDERVLQEIENLESNDLNLVADSEPYVGADGNAKMASKEAGLGVGDLVWGKVRSHPWWPGQLFGCADASKKAKKHFKKDSYLIAYLGDQTFAWNEVSKIKPFRCNFSLLEKQSNLEDFHDAVHCALDEVSRRVEFGLACPCMPGYSKIKTQIIVNPGIREESCRRDGGDSFSSAASFEPAKLNDYVKELGQLPLSGIDTLEFVTARSQLLAFNRWKGYCHLPEFQFLGELLESDAEIPLSPEVKHGSEKVDNAATTVGKEKPKGEDHSLHRRKCKRIYGDDEHPSEKEKCLADLIAERRASAAKGKGGLDGEATGEMTKSSSGRKRKAVDSISDDSVMKQSKSPSSPGIDNGSSQPKKTYRVGDSILRVASQLNTSTPILKSVNGTYQKTAVKAKSQEKSASGKCKASPDVLVSRTCLVGRDL >Potri.016G085500.11.v4.1 pep chromosome:Pop_tri_v4:16:6699277:6702689:1 gene:Potri.016G085500.v4.1 transcript:Potri.016G085500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085500.v4.1 MVGLSSDAETLMDSVTNSQGQNQEPVVDEVKDFKGANDLKEVAVGGLGWEGKMDSVYKSVDFEGENGSGSGVGDASVREAAEITETHSSKVVVEENSSCEIEGEVKAGGCGGVSSSSVGEDAIQVENANVEVKVDSANDLLPRKKPGNVSPKVSSEGVENQGMEINDEQAKNSEGQNEDAAAFDERVLQEIENLESNDLNLVADSEPYVGADGNAKMASKEAGLGVGDLVWGKVRSHPWWPGQLFGCADASKKAKKHFKKDSYLIAYLGDQTFAWNEVSKIKPFRCNFSLLEKQSNLEDFHDAVHCALDEVSRRVEFGLACPCMPGYSKIKTQIIVNPGIREESCRRDGGDSFSSAASFEPAKLNDYVKELGQLPLSGIDTLEFVTARSQLLAFNRWKGYCHLPEFQFLGELLESDAEIPLSPEVKHGSEKVDNAATTVGKEKPKGEDHSLHRRKCKRIYGDDEHPSEKEKCLADLIAERRASAAKGKGGLDGEATGEMTKSSSGRKRKAVDSISDDSVMKQSKSPSSPGIDNGSSQPKKTYRVGDSILRVASQLNTSTPILKSVNGTYQKTAVKAKSQEKSASGKCKASPDVLVSRTCLVGRDL >Potri.016G085500.1.v4.1 pep chromosome:Pop_tri_v4:16:6699274:6703085:1 gene:Potri.016G085500.v4.1 transcript:Potri.016G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085500.v4.1 MPANLDSIDLNSDVVSGEREDGVNGFSNVSVVKSMVGLSSDAETLMDSVTNSQGQNQEPVVDEVKDFKGANDLKEVAVGGLGWEGKMDSVYKSVDFEGENGSGSGVGDASVREAAEITETHSSKVVVEENSSCEIEGEVKAGGCGGVSSSSVGEDAIQVENANVEVKVDSANDLLPRKKPGNVSPKVSSEGVENQGMEINDEQAKNSEGQNEDAAAFDERVLQEIENLESNDLNLVADSEPYVGADGNAKMASKEAGLGVGDLVWGKVRSHPWWPGQLFGCADASKKAKKHFKKDSYLIAYLGDQTFAWNEVSKIKPFRCNFSLLEKQSNLEDFHDAVHCALDEVSRRVEFGLACPCMPGYSKIKTQIIVNPGIREESCRRDGGDSFSSAASFEPAKLNDYVKELGQLPLSGIDTLEFVTARSQLLAFNRWKGYCHLPEFQFLGELLESDAEIPLSPEVKHGSEKVDNAATTVGKEKPKGEDHSLHRRKCKRIYGDDEHPSEKEKCLADLIAERRASAAKGKGGLDGEATGEMTKSSSGRKRKAVDSISDDSVMKQSKSPSSPGIDNGSSQPKKTYRVGDSILRVASQLNTSTPILKSVNGTYQKTAVKAKSQEKSASGKCKASPDVLVSRTCLVGRDL >Potri.013G154700.1.v4.1 pep chromosome:Pop_tri_v4:13:15006118:15007978:1 gene:Potri.013G154700.v4.1 transcript:Potri.013G154700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154700.v4.1 MAAQTFASCLLLFICLHLQSILADYGGWQGGHATFYGGGDATGTMGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACYEMRCDDDPKWCLPGTITVTATNFCPPNPSLPNNNGGWCNPPLQHFDMAEPAFLQIAQYRAGIVPVAFRRVPCVKKGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSKTGWQAMSRNWGQNWQSNNYLNGQSLSFQVTTSDGRTVTSYNAVPAGWQFGQTFEGGQF >Potri.012G125400.1.v4.1 pep chromosome:Pop_tri_v4:12:14217159:14219308:1 gene:Potri.012G125400.v4.1 transcript:Potri.012G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125400.v4.1 MKKMIRWIMKKPGKIKVVTNNYTDSSFQIVEDHNEVSAERNSLKDQKPVGEREINGFEYQIRSKDEDIRRLEHEHELAMKDIRRLEHELVMKDEEMRRLKSQLDLREKEFASLRIAIRSACTDLSNAVTTADDALKFKNKSPSKKGIEHFYAEEDLYGAAKSDPY >Potri.016G061000.1.v4.1 pep chromosome:Pop_tri_v4:16:4239910:4240785:-1 gene:Potri.016G061000.v4.1 transcript:Potri.016G061000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061000.v4.1 MAKILQNLASSFLVLSIVLSFLIIANAKSQRFTKYLSPATLGLKKEKLSHLHFYFHDIVSGKNPTAVRIARADMTNTSSTGFGMVAMIDDPLTMTPELSSKLVGRAQGFYASASQNDVGLLMTMNFVFMEGKFNGSTLSVLGRNSVFSTVREMPIVGGSGLFRFARGYAQASTHMFDRTTGDAVVEYNVYVFHY >Potri.002G023400.5.v4.1 pep chromosome:Pop_tri_v4:2:1456894:1460996:1 gene:Potri.002G023400.v4.1 transcript:Potri.002G023400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023400.v4.1 MANASEDPNRTINPSQFHFHLLHSHSHFQNQNLFSSSNNSTPSNRLFPSSFRKPHHLPPPPPDNNKTPIGFQFHSSNTHSAFRDVALGIKSESDDHEVWTNRDAAFPAQSDIVTDITLAPRSSSGGKHKNKSRVPKHAKSVTQRMNAESLNGLNLASGCRYDSSLGLLTKKFVKLIKEAQDGTLDLNKTAEVLEVQKRRIYDITNVLEGIGLIEKTSKNHIRWKKEFDDCEQRMLDNHARIKAEVESLYTEEFRLEEAIRDRQELLRGLKEDVVCRKHLFLTEEDITSLSCFQNRTLFAIKTPEASYLEVPDPDEDIGSPLYKMTVRSTNGPIDVYLLSKCKQGEDITAEHVEPMDTSAWNSSQCRDQDAGLPSECQGNQNSCCEPFSSLTLEASGICKLIPADCNVSIGSFKLVS >Potri.002G023400.4.v4.1 pep chromosome:Pop_tri_v4:2:1456891:1461016:1 gene:Potri.002G023400.v4.1 transcript:Potri.002G023400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023400.v4.1 MANASEDPNRTINPSQFHFHLLHSHSHFQNQNLFSSSNNSTPSNRLFPSSFRKPHHLPPPPPDNNKTPIGFQFHSSNTHSAFRDVALGIKSESDDHEVWTNRDAAFPAQSDIVTDITLAPRSSSGGKHKNKSRVPKHAKSVTQRMNAESLNGLNLASGCRYDSSLGLLTKKFVKLIKEAQDGTLDLNKTAEVLEVQKRRIYDITNVLEGIGLIEKTSKNHIRWKKEFDDCEQRMLDNHARIKAEVESLYTEEFRLEEAIRDRQELLRGLKEDVVCRKHLFLTEEDITSLSCFQNRTLFAIKTPEASYLEVPDPDEDIGSPLYKMTVRSTNGPIDVYLLSKCKQGEDITAEHVEPMDTSAWNSSQCRDQDAGLPSECQGNQNSCCEPFSSLTLEASGICKLIPADCNIIDDYWFTTDDSVSISKLWVDRIS >Potri.002G023400.2.v4.1 pep chromosome:Pop_tri_v4:2:1456891:1461626:1 gene:Potri.002G023400.v4.1 transcript:Potri.002G023400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023400.v4.1 MANASEDPNRTINPSQFHFHLLHSHSHFQNQNLFSSSNNSTPSNRLFPSSFRKPHHLPPPPPDNNKTPIGFQFHSSNTHSAFRDVALGIKSESDDHEVWTNRDAAFPAQSDIVTDITLAPRSSSGGKHKNKSRVPKHAKSVTQRMNAESLNGLNLASGCRYDSSLGLLTKKFVKLIKEAQDGTLDLNKTAEVLEVQKRRIYDITNVLEGIGLIEKTSKNHIRWKKEFDDCEQRMLDNHARIKAEVESLYTEEFRLEEAIRDRQELLRGLKEDVVCRKHLFLTEEDITSLSCFQNRTLFAIKTPEASYLEVPDPDEDIGSPLYKMTVRSTNGPIDVYLLSKCKQGEDITAEHVEPMDTSAWNSSQCRDQDAGLPSECQGNQNSCCEPFSSLTLEASGICKLIPADCNIIDDYWFTTDDSVSISKLWVDRIS >Potri.002G023400.3.v4.1 pep chromosome:Pop_tri_v4:2:1456891:1461626:1 gene:Potri.002G023400.v4.1 transcript:Potri.002G023400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023400.v4.1 MANASEDPNRTINPSQFHFHLLHSHSHFQNQNLFSSSNNSTPSNRLFPSSFRKPHHLPPPPPDNNKTPIGFQFHSSNTHSAFRDVALGIKSESDDHEVWTNRDAAFPAQSDIVTDITLAPRSSSGGKHKNKSRVPKHAKSVTQRMNAESLNGLNLASGCRYDSSLGLLTKKFVKLIKEAQDGTLDLNKTAEVLEVQKRRIYDITNVLEGIGLIEKTSKNHIRWKKEFDDCEQRMLDNHARIKAEVESLYTEEFRLEEAIRDRQELLRGLKEDVVCRKHLFLTEEDITSLSCFQNRTLFAIKTPEASYLEVPDPDEDIGSPLYKMTVRSTNGPIDVYLLSKCKQGEDITAEHVEPMDTSAWNSSQCRDQDAGLPSECQGNQNSCCEPFSSLTLEASGICKLIPADCNIIDDYWFTTDDSVSISKLWVDRIS >Potri.013G062500.5.v4.1 pep chromosome:Pop_tri_v4:13:4629876:4636248:-1 gene:Potri.013G062500.v4.1 transcript:Potri.013G062500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062500.v4.1 MQIMKDHTFSSLIRLNIGGKKFCTTIDTLTRGEPDSMLAAMFRGRLMVSEDPDKGYVFIDRDGKHFRHILNWLRDGVVPTLTDAGYSELMREAEYYQLLGLLEGINSVLNKRKEGNELDAELTRADIIKCIQYKKVKFRGINFSGLDLSKLDLSYVDFSYASLQNVLFSHANLLCAKFRDVDAEGSIFHNATLRECEFTGANLRGASLAGANLQSATLQDACLVDCNFCGANLRSAHLQTADLTNANFEGANLEGANLKGAKLNNANLKGANLQRAYLRRVNLQNAHLEDAKLDGANLLGAIR >Potri.015G103700.2.v4.1 pep chromosome:Pop_tri_v4:15:12256594:12258079:-1 gene:Potri.015G103700.v4.1 transcript:Potri.015G103700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103700.v4.1 MDTVLSLIQGDDKNVMIAVAQGIVPVLARLLDCNSCFDIKEKSVAAISRISMVDSSKHVLIAEGLLLLNQLIRILESGSWFAKEKACIALQALSFSRDNARAIGSRGGICSLLEICQAGTPSSQGLASGVLRNLAVFEEIRENFIEENAVFVLIGLAASGTALAQENAIGCLCNLVKEDENLKLLIVKEGVVECLRNFWDSCPPARSLEVAVELLRELASNQAIAEGLVSDGFVVRLVAVLNCGVLGVRVAAARAVFELGFIMKTRKLIGELGCISPLIKMLDGKAVEEKEAAAKALSLLVLHAGNRRIFRKTEGGIVSTVQLLDPLIQNLDKKYPVSILASLSNSKKCKKQMIAAGASVHLKKLMEMDVEGSKKLLDGLGRGKIWGVFARP >Potri.015G103700.1.v4.1 pep chromosome:Pop_tri_v4:15:12256637:12258732:-1 gene:Potri.015G103700.v4.1 transcript:Potri.015G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103700.v4.1 MKIPENDPITLSNNLLHSLLDQQIPLIQSFKGKWSFIKSKLADLQAQLTDFSEFQTSITNPLSLDLLHSVSQTLNDAHLLAEKCLDTNLTEGKLKTQSDIDSILAKLNQNVRDCEILIKSGVLQDGILSGSGPKRELVRAEFRNLITRLQIGSTESKNAAMDTVLSLIQGDDKNVMIAVAQGIVPVLARLLDCNSCFDIKEKSVAAISRISMVDSSKHVLIAEGLLLLNQLIRILESGSWFAKEKACIALQALSFSRDNARAIGSRGGICSLLEICQAGTPSSQGLASGVLRNLAVFEEIRENFIEENAVFVLIGLAASGTALAQENAIGCLCNLVKEDENLKLLIVKEGVVECLRNFWDSCPPARSLEVAVELLRELASNQAIAEGLVSDGFVVRLVAVLNCGVLGVRVAAARAVFELGFIMKTRKLIGELGCISPLIKMLDGKAVEEKEAAAKALSLLVLHAGNRRIFRKTEGGIVSTVQLLDPLIQNLDKKYPVSILASLSNSKKCKKQMIAAGASVHLKKLMEMDVEGSKKLLDGLGRGKIWGVFARP >Potri.005G005700.1.v4.1 pep chromosome:Pop_tri_v4:5:424170:428387:1 gene:Potri.005G005700.v4.1 transcript:Potri.005G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005700.v4.1 MKTISSLSRQFSTAKQTKPFSLTTQKPQLSPKFTALTEDLCNKILDVNPDAKTLKKLHSKILIDQNLHPNPSLGIKLMRAYAACGEPCYTRHIFDEITDKNVVFFNVMIRSYVNNGLYQDALLVFKTMANQGFYPDNYTYPCVLKACSVSGNLWVGLQIHGAVVKLGLDMNLYIGNGLVSMYGKCKWLDAARRVLDEMPGRDMVSWNSMVAGYAQNGRFNDALKLCREMEDLKLKPDAGTMGSLLPAVTNTSCDNVLYVKDMFVKLKEKSLISWNVMIAVYVNNAMPNEAVDLYLQMQVHGVEPDAVSISSVLPACGDLSAAVLGRRIHEYVERKKLRPNLLLENALIDMYAKCGCLKEARAVFDQMMFRDVVSWTSMISAYGMSGQGKDAVALFKKMRDSGFTPDWIAFVSVLAACSHAGLVDEGRYCFNLMAEYGITPGIEHYNCMVDLLGRAGKIDEAYHLTRQMPMEPNERVWGSLLSACRVYSNMNIALLAADHLYQLAPEQSGYYVLLSNIYAKAGRWQDVETVRSIMNSKGIKKIPGNSNVEINDHVYTFLAGDQSHTQSKEIYKALGVLVGRMKELGYMPETDSALHDVEEEDKECHLAVHSEKLAIVFAILNTKPGSTIRITKNIRVCGDCHVATKLISKIAEREIIIRDTHRFHHFRDGVCSCGDYW >Potri.015G020300.4.v4.1 pep chromosome:Pop_tri_v4:15:1417554:1425572:1 gene:Potri.015G020300.v4.1 transcript:Potri.015G020300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020300.v4.1 MFKRNLAKFNQAMGFQRSSYWQKVQPGSDLSTKETAPNSSHPVTNEAVSDDADYSSWMVDHPSALTSFDQMMKDAKGKKIVVFLDYDGTLSPIVNDPDRAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKGFVQLNNIYYAGSHGMDIMAPPRPARSSDGKYHTVSLDRKGNDAVFQPAQKFLPAIQKILKELEEAIMKIPGARVENNRFCASVHFRQVREEDYGTLEEKVKSILKHYPDFRLGWGKKVMEIRPSIEWDKGNALEYLLDTLGLGNCTDVLPLYIGDDITDEDAFKVIQKRGQGCPIVVTSSPKDTKASYSLYEPSEVLTFLLRLARWRKSSSSSRSVLSQVWGVSG >Potri.015G020300.3.v4.1 pep chromosome:Pop_tri_v4:15:1417554:1425572:1 gene:Potri.015G020300.v4.1 transcript:Potri.015G020300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020300.v4.1 MFKRNLAKFNQAMGFQRSSYWQKVQPGSDLSTKETAPNSSHPVTNEAVSDDADYSSWMVDHPSALTSFDQMMKDAKGKKIVVFLDYDGTLSPIVNDPDRAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKGFVQLNNIYYAGSHGMDIMAPPRPARSSDGKYHTVSLDRKGNDAVFQPAQKFLPAIQKILKELEEAIMKIPGARVENNRFCASVHFRQVREEDYGTLEEKVKSILKHYPDFRLGWGKKVMEIRPSIEWDKGNALEYLLDTLGLGNCTDVLPLYIGDDITDEDAFKVIQKRGQGCPIVVTSSPKDTKASYSLYEPSEVLTFLLRLARWRKSSSSSRSVLSQVWGVSG >Potri.015G020300.2.v4.1 pep chromosome:Pop_tri_v4:15:1422430:1425572:1 gene:Potri.015G020300.v4.1 transcript:Potri.015G020300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020300.v4.1 MFKRNLAKFNQAMGFQRSSYWQKVQPGSDLSTKETAPNSSHPVTNEAVSDDADYSSWMVDHPSALTSFDQMMKDAKGKKIVVFLDYDGTLSPIVNDPDRAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKGFVQLNNIYYAGSHGMDIMAPPRPARSSDGKYHTVSLDRKGNDAVFQPAQKFLPAIQKILKELEEAIMKIPGARVENNRFCASVHFRQVREEDYGTLEEKVKSILKHYPDFRLGWGKKVMEIRPSIEWDKGNALEYLLDTLGLGNCTDVLPLYIGDDITDEDAFKVIQKRGQGCPIVVTSSPKDTKASYSLYEPSEVLTFLLRLARWRKSSSSSRSVLSQVWGVSG >Potri.003G059200.1.v4.1 pep chromosome:Pop_tri_v4:3:8590854:8593871:-1 gene:Potri.003G059200.v4.1 transcript:Potri.003G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059200.v4.1 MPSEAQQPEAPPNFWGDMPEEEYYASQGVTNTQSHFETPNGKVFTQGFLPLDKKVKATVYMTHGYGSDTGWLFQKICINFATWGYAVFAADLLGHGRSDGLRCYMGDMEKIAAASVSFFKHVRYSEPYKNLPAFLFGESMGGLATMLMYFQSEPDTWTGVIFSAPLFVIPEPMKPSKAHLFMYGLLFGFADTWAAMPDNKMVGKAIKDPEKLKIIASNPRRYTGKPRVGTMREIARVCQYIQDNFSKVTVPFLTVHGTADGVTCPTSSQLLYEKASSEDKSLKMYEGMYHSLIQGEPDENASLVLKDMREWIDERVERYGSTKSDD >Potri.004G027800.1.v4.1 pep chromosome:Pop_tri_v4:4:2115879:2120284:1 gene:Potri.004G027800.v4.1 transcript:Potri.004G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027800.v4.1 MISKSINPVLVFLLSVSYSLLFLAPFCHAANNTLTIGQSLKDGESLISVDENFELGFFSPGNSSLRYCGIRYYKIRDQAAIWVANREKPISGSNGVLRIGEDGNLLVTDGNGSPVWSSNASVVSNNTAAMLDTTGNLILSSNDSIGETDKAYWQSFNNPTDTYLPHMKVLISSAEIHAFTSWKSANDPSPGNFTMGVDPRGAPQIVIWERSRRRWRSGHWNGLIFSGVPYMTALTTYRYGFKVTRESDGNFYLTYNPSDSSELMRFQITWNGFEEQKRWNESAKTWQVMQSQPSEECENYNYCGNFGVCTSSGSPKCRCMEGFEPRHPDQWRLGNWSGGCGRRSPLQCQRNTSSGGEDGFKTLRGSKLPDFADVESISLDACREMCLNNCSCKAYAHVSQIQCMIWNGDLIDVQHFVEGGNTLYVRLADSELGRNRMPTYVIILIVLAGLAFLAISIWLLWMLKKRLKVLPAATSACTSSKCELPVYDLSKSKEYSTDASGSADLLKEGSQVNGSDLPMFNFNCLAAATDNFSEENKLGQGGFGLVYKGTLPGGEEIAVKRLSKISGQGLQEFKNEIILIAKLQHRNLVRLLGCSIQGDEKMLIYEYMPNKSLDYFLFDPEKQALLDWSKRFAIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNQSEINTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRQTERMILIAYAWDLWNEGKAMDIVDLSIRDSCDEKEVLRCIQIGMLCVQDSALHRPNMASVVVMLESSTTSIPLPRQPTFTSVRASIDPEISLEVQEVASSSDLTVKVVAGR >Potri.T034182.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:338507:339737:-1 gene:Potri.T034182.v4.1 transcript:Potri.T034182.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T034182.v4.1 MALMETFYLSHGAPTLAIEETAPTRQFFKSWQPSVYKEKPSSILVISAHWETEPTVNVVDRNDTIHDFYGFPKPLYQATPLC >Potri.006G079200.1.v4.1 pep chromosome:Pop_tri_v4:6:5888669:5895652:-1 gene:Potri.006G079200.v4.1 transcript:Potri.006G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079200.v4.1 MWKLKLSEGNDPWLKSVNNHVGRQFWEFDPHLGTPEERAQVENYRNEFTKSRFLTKHSSDLLMRFQFARENPCEMKLPMAKVRSEEEITKEVVDTTLRRSLRFYSTLQAEDGFWPGDYGGPMFLLPGLVICLYVTGALNTILHNQHRQEMCRYLYNHQNVDGGWGLHIEGSSTMFCTVLSYVTLRLLGEEMDGGDGSMGKARKWVLDHGGATHIPSWGKMWLSVLGVYEWSGNNPLLPELWLLPYLFPAHPGRLWCHCRMVYLPMSYLYGKKFVCSTTSLVLSIRREIYTIPYHQIDWDQTRNLCAKEDLYYPHPLLQDIVWACIHKAVEPLFTRWPLSKLRQRALDSVMQRVHYEDETTQYVCLGPVNKVLNMLCCWVEDPNSEAYKCHLARINDYLWVAEDGMKMQGYNGSQLWDVSFAVQAILATNFADEFAPMLKKAHNFMKNTQMRTNSSDDFNDWNRHISKGAWPFSTPDNGWPVSDCTAEGLKAGILLSRLPSDMVGEAIPADWFYDAVNVILSLQNKNGGFASYELTRSYAWLEMLNPAETFGNIMIDYQYVECTSAVIQGLKSFKKSYPGHRKKEIEACMVKAIDFIERTQQPDGSWYGSWGVCFTYGTWFGIKGLVAGGRTYQNSSSIRIACEFLLSKQLVSGGWGESYLSSQDKVYTNLGGGKSHLVNTGWAMLALIEAGQAERDPSPLHRAAKLLINSAMETGEFPQQEIMGVFNKNCMISYSAYRNIFPIWALGEYRNRVLLQ >Potri.006G079200.3.v4.1 pep chromosome:Pop_tri_v4:6:5888723:5897327:-1 gene:Potri.006G079200.v4.1 transcript:Potri.006G079200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079200.v4.1 MWKLKLSEGNDPWLKSVNNHVGRQFWEFDPHLGTPEERAQVENYRNEFTKSRFLTKHSSDLLMRFQFARENPCEMKLPMAKVRSEEEITKEVVDTTLRRSLRFYSTLQAEDGFWPGDYGGPMFLLPGLVICLYVTGALNTILHNQHRQEMCRYLYNHQNVDGGWGLHIEGSSTMFCTVLSYVTLRLLGEEMDGGDGSMGKARKWVLDHGGATHIPSWGKMWLSVLGVYEWSGNNPLLPELWLLPYLFPAHPGRLWCHCRMVYLPMSYLYGKKFVCSTTSLVLSIRREIYTIPYHQIDWDQTRNLCAKEDLYYPHPLLQDIVWACIHKAVEPLFTRWPLSKLRQRALDSVMQRVHYEDETTQYVCLGPVNKVLNMLCCWVEDPNSEAYKCHLARINDYLWVAEDGMKMQGYNGSQLWDVSFAVQAILATNFADEFAPMLKKAHNFMKNTQMRTNSSDDFNDWNRHISKGAWPFSTPDNGWPVSDCTAEGLKAGILLSRLPSDMVGEAIPADWFYDAVNVILSLQNKNGGFASYELTRSYAWLEMLNPAETFGNIMIDYQYVECTSAVIQGLKSFKKSYPGHRKKEIEACMVKAIDFIERTQQPDGSWYGSWGVCFTYGTWFGIKGLVAGGRTYQNSSSIRIACEFLLSKQLVSGGWGESYLSSQDKVYTNLGGGKSHLVNTGWAMLALIEAGQAERDPSPLHRAAKLLINSAMETGEFPQQEIMGVFNKNCMISYSAYRNIFPIWALGEYRNRVLLQ >Potri.003G211932.1.v4.1 pep chromosome:Pop_tri_v4:3:20940375:20945089:-1 gene:Potri.003G211932.v4.1 transcript:Potri.003G211932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211932.v4.1 MGADLGSGSCGYNSICSLSVDRRPDCTCPQEFSLLDQNDKHGSCIPNFEISCEDNGKNSSEDLYDFVELRYVDYPSGDAEHLQPQNEEQCRKACLNDCLCGAVIFLGYNCWKKKLPLSNGRVDSGFNGKTLIKFKKGHIPPGNPGLQIPETKTERDIKVITGTVLLVSSVFVNFILISTLCFCSSFIYRNKVANVREENNVESNLRSFTYKELTEATEGFKDELGRGAFGGVYKGAIKTGFTNFIAVKKLDGVVEHGEKEFKTEVNVIGQTHHKNLVRLLGFCDEGQHRLLVYEFLSNGTLADFLFGSLRPSWKQRTQIAFGIARGLLYLHEECSTQIIHCDIKPQNILIDDYYNARISDFGLAKLLAINQSQTKTAIRGTKGYVAPEWFRNTPVTVKVDVYSFGVLLLEIICCRRSVDLEISGTGAILIDWAYDCYRHGTLDALIEDDMEAMNDVSTLEGAMKVAIWCIQEVPSLRPTMRKVTQMLEGVVEVPAPPNPFPFSEISCS >Potri.013G120600.1.v4.1 pep chromosome:Pop_tri_v4:13:12931338:12932205:-1 gene:Potri.013G120600.v4.1 transcript:Potri.013G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120600.v4.1 MATTPLSFFLLSLLSLSLNAQAQTPTAPAPTPSGPVNFTAVLVKGGQFATLIRLLNNTQTLNQIENQLNSSSEGMTIFAPTDNAFNNLKAGALNGLNQQEQVQLLQYHTLPKFYTMSNLLLVSNPVPTQASGQDGVWGLNFTGQSNQVNVSTGLVEVQINNALRQDSPLAVYPVDKVLLPEALFGVKPPTASPPAPSSKSNSTVAAAEPSTGKNSAGGRNVALGLVVGLGLVCMGILS >Potri.005G223100.1.v4.1 pep chromosome:Pop_tri_v4:5:22444750:22445600:-1 gene:Potri.005G223100.v4.1 transcript:Potri.005G223100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G223100.v4.1 METHQGEKNTKKQEKGRGENAYRGIRRRPWGKFAAEIRDPTKNGARRWLGTYDTAEEAARAYDRAAFAFRGHLAILNFPNEYQHQDPSSAMSFASSSSFSTANPVNYGHEVSSTGGQEVIEFEYLDNKLLEELLGTNDPSRQH >Potri.015G000701.1.v4.1 pep chromosome:Pop_tri_v4:15:10325:14652:1 gene:Potri.015G000701.v4.1 transcript:Potri.015G000701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G000701.v4.1 MLQRKERRGRMKAIMCKVKVQGEEGTCGNHGDVEITEEVTSEKLINAHVSISRLPLVLSEKVQRSKALVECEGESIDLSGEMGAVGRPVIPDTPSGDSEMHLDLKGTIYRTTTVPSRTFYVVCQGSLLEFFINNCPLFTFPSPSWSPMISMGSVLHFTFERDDCVI >Potri.004G159300.5.v4.1 pep chromosome:Pop_tri_v4:4:17964441:17969508:-1 gene:Potri.004G159300.v4.1 transcript:Potri.004G159300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159300.v4.1 MATYYTSSNNQRDGAAMVYLPGSYPEAPVLPGNMMMYMNSGSYSDNLAGNSHQQNNCIEFQSVENSDSTPQQQEILSNLGGSRIGEHGFGAWRDGRNEMLVMHSVSGASSVLHGAQSLQGQGLSLSLGTQIQSGIQMPSISYRNTNPGLTSFLSPNSSITGDGGGRNGSSRDDQSRNIDYLTPGFSGGNHDMNKGDLSPYGMNSIARTIPNSKYLKAAQQLLDEVVNVRKAIKQPDKEKNQTTSEHGLNSAKDGDSGSKNGASNLQESTNSPSELSHAERQELQNKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDVISGCGAAKPYTALALQTISRHFRCLRDAITGQIHATRKSLGEQETSENSKGVGITRLRYVDQHLRQQRALHQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEELGDAEMDSNSSSENAAKATKGDMGTSEDRGEEFQQSASSTATERCSAGQLMDPKSDHVPDMEMAGSTTRSNFQNGTRGEAFTEYGLLKLREDQRPSMDDCGLFSDAMAHSEGGGDRFMAAAAAAYQMSEVGRFGNGSGVSLTLGLQHCEGGNLPMPGTTHHGFVSMRGDDDIYNAASSVGAGATDFECLNPGNRQHRFSSSHVFHDFVA >Potri.004G159300.1.v4.1 pep chromosome:Pop_tri_v4:4:17964350:17970508:-1 gene:Potri.004G159300.v4.1 transcript:Potri.004G159300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159300.v4.1 MATYYTSSNNQRDGAAMVYLPGSYPEAPVLPGNMMMYMNSGSYSDNLAGNSHQQNNCIEFQSVENSDSTPQQQEILSNLGGSRIGEHGFGAWRDGRNEMLVMHSVSGASSVLHGAQSLQGQGLSLSLGTQIQSGIQMPSISYRNTNPGLTSFLSPNSSITGDGGGRNGSSRDDQSRNIDYLTPGFSGGNHDMNKGDLSPYGMNSIARTIPNSKYLKAAQQLLDEVVNVRKAIKQPDKEKNQTTSEHGLNSAKDGDSGSKNGASNLQESTNSPSELSHAERQELQNKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDVISGCGAAKPYTALALQTISRHFRCLRDAITGQIHATRKSLGEQETSENSKGVGITRLRYVDQHLRQQRALHQLGMMQQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEELGDAEMDSNSSSENAAKATKGDMGTSEDRGEEFQQSASSTATERCSAGQLMDPKSDHVPDMEMAGSTTRSNFQNGTRGEAFTEYGLLKLREDQRPSMDDCGLFSDAMAHSEGGGDRFMAAAAAAYQMSEVGRFGNGSGVSLTLGLQHCEGGNLPMPGTTHHGFVSMRGDDDIYNAASSVGAGATDFECLNPGNRQHRFSSSHVFHDFVA >Potri.019G001400.1.v4.1 pep chromosome:Pop_tri_v4:19:745733:747416:-1 gene:Potri.019G001400.v4.1 transcript:Potri.019G001400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001400.v4.1 MEVQITSRKLITPSSPTPPHLQNTRVTCFDQLAPFFYVPTIFYYPVEGEEYAVKNAEKSLLLQKSLSEILTLYHPFAGRYARDNLSIECNDKGVEYLEAKVYGSLSQFLQRTSPNHLVQQNFHPHYSSPLAVQFNEFECGGVAIGISMTHKIGDGFTMFTLINNWATACRIGVNKVHPPSFELGIIFPPREASRVQNVVLGRAPTNKIVTKKFVFDGEAISNLKVAASASSSQFNRHQLTRVKVVTALIWSAFIRVDQARDGRRRPSMLKVPVNLRGKTNIKIPENSCGNFISWAVTQYLPNDEIKMQLHELVSRIHDAIEKTVSNYEKASNGEDLFFMVNEDFQKVSQALKESEADVYMFSCWSRFPLYDADFGWGKPALASRSVQVEREMILLLDTKDGKGIEARVSLEENKMLLFLQDPYILAFSHYKKSRL >Potri.010G252900.1.v4.1 pep chromosome:Pop_tri_v4:10:22469337:22472937:-1 gene:Potri.010G252900.v4.1 transcript:Potri.010G252900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252900.v4.1 MQLVSNDSRKEDISESEPILSHSNISQHSEEESPSSLEIKSLGRDCEIHVDDSESIQIDETCHLVNPDHPQCRICLDNEGEDLIAPCHCKGTQKYVHRSCLDNWRSTKEGFAFAHCTECRAMFVLRANVPADRWWLRLKFQFLVARDHAFIFVVVQLIVAFLGVLVYKFYGEELREMFGYEEHPYGFYTMAVLAIVLVGLLYGFFIAIICGQRINERHYHVLAKQELTKEYVVEDREASKDVPELGASHVSELRMLGLY >Potri.015G122400.3.v4.1 pep chromosome:Pop_tri_v4:15:13573302:13578158:1 gene:Potri.015G122400.v4.1 transcript:Potri.015G122400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122400.v4.1 MGDSQYSFSLTTFSPTGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDESSVQKIQNLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKQFRVLTPAEIDDYLAEVE >Potri.019G131200.1.v4.1 pep chromosome:Pop_tri_v4:19:15358093:15360803:-1 gene:Potri.019G131200.v4.1 transcript:Potri.019G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131200.v4.1 MADEIIDVKDEVAELAPFDPTKKKKKKKVVIQENADEAVDSLADKTESLSVSDGLESSFTGLKKKKKKPVETSMLDEESGDAGEDLDDHAGEDENGEGADLQQQQQRNPWDGTDRDYEYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCRTMHRQADHVMAFLLAELGTSGSLDGQQRLVVKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNTGT >Potri.013G041350.1.v4.1 pep chromosome:Pop_tri_v4:13:2844548:2845468:1 gene:Potri.013G041350.v4.1 transcript:Potri.013G041350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041350.v4.1 MFKRAIVISRDTWTSGKKDGRRNGLKAILAFEFGPYGESEENILPEQVGEPQQIEGYFSKRTVRTPKKGTRSCKDKEFVTRPCLFCKLQCTCLGRCGKIAGGKLS >Potri.001G250500.1.v4.1 pep chromosome:Pop_tri_v4:1:26634616:26637573:1 gene:Potri.001G250500.v4.1 transcript:Potri.001G250500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250500.v4.1 MTSRRLSLTSLLFLYLVVSSQSLDFDDLHKRHKPKIKGPIKTLVVLVMENRSFDHVLGWLKSTRPDIDGLSGSESNRISASDRNSDEVFVSDDAVFIDSDPGHSFQAIREQIFGSNDSFADPAPMNGFVQQAKSMGETMSKTVMSGFKPSLLPVYTELANEFAVFDRWFASVPASTQPNRFYVHSATSHGAMSNVRKDLIHGFPQRTIFDSLDDNGLSFGIYYQNIPATLFFKSLRKLKHLLKFHSYELKFKLHAKLGKLPNYVVVEQRYFDVELFPANDDHPSHDVARGQRFVKEVYEILRSSPQWKEMALLITYDEHGGFYDHVPTPVRGVPNPDGIIGPDPYYFQFDRLGIRVPTFLISPWIDKGTVIHEPDGPRPDSQFEHSSIPATVKKLFNLNSNFLTRRDTWAGSFENYFYLRDTPRDDCPETLPDVTTSLRPWGPKEDASLSEFQVEMIQLASQLNGDHVLNAYPDIGKSMTVGEANRYAEDAVRRFLEAGRAALRAGANESAIVTMKPSLTSRVPAGDNGRYQKAY >Potri.002G016200.2.v4.1 pep chromosome:Pop_tri_v4:2:979284:987328:-1 gene:Potri.002G016200.v4.1 transcript:Potri.002G016200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016200.v4.1 MEKLSGLSHLFMTIFLHNFSTFMVIPAITDVTMSALCPGRDECSLAIYLTGFQQAIIGLGTLVTMPLIGNMSDKYGRKALLTVPMSLIIVPSAILAYSRTRNFFYAYYVVRTLMAMVCEGSVQCLALAYVADNVPESRRASTFGILSGIASSAFVCGNLSTRFLSTSSTFQVSALVAIAALVYMRFFLQESIIDEQLSTPILTYKGNGKGKGKANAACFAHEIPSKNVQVFKSAPSLEDMLCLLKSSVTLSQAAVVAFFYSLAEVGLHASLMYYLKAQFHFSKDQFAVLMVISGIAGTVSQLVIMPLLTPALGEARLLAVGLFFTCVHVFLYSIAWTFWVPYVASMFSLLFVFSQPCMRSIVSKQVGSCEQGKAQGCISGISSFANVISPLVFSPLTALFLSERAPFPFPGFSIMCVGFASMIAFIQSLMMRTAPPIANQKVVNSNYVDA >Potri.002G016200.3.v4.1 pep chromosome:Pop_tri_v4:2:979289:987301:-1 gene:Potri.002G016200.v4.1 transcript:Potri.002G016200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016200.v4.1 MRFFLQESIIDEQLSTPILTYKGNGKGKGKANAACFAHEIPSKNVQVFKSAPSLEDMLCLLKSSVTLSQAAVVAFFYSLAEVGLHASLMYYLKAQFHFSKDQFAVLMVISGIAGTVSQLVIMPLLTPALGEARLLAVGLFFTCVHVFLYSIAWTFWVPYVASMFSLLFVFSQPCMRSIVSKQVGSCEQGKAQGCISGISSFANVISPLVFSPLTALFLSERAPFPFPGFSIMCVGFASMIAFIQSLMMRTAPPIANQKVVNSNYVDA >Potri.002G002700.1.v4.1 pep chromosome:Pop_tri_v4:2:180539:181410:-1 gene:Potri.002G002700.v4.1 transcript:Potri.002G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002700.v4.1 MASLSMILSSSNFSSRSDVFGNKLFNGRSLAVKGTAREWDGSKRVMKEARVKAKMGGGGGGGGGGVAKFKGTQMREKQLAEMIEKKVVEAKEVCEGDETSDECKVAWDEVEEVSQAKADFRRRLEKQDPLEYYCQDNPETDECRVYED >Potri.002G002700.2.v4.1 pep chromosome:Pop_tri_v4:2:180535:181040:-1 gene:Potri.002G002700.v4.1 transcript:Potri.002G002700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002700.v4.1 MIEKKVVEAKEVCEGDETSDECKVAWDEVEEVSQAKADFRRRLEKQDPLEYYCQDNPETDECRVYED >Potri.006G196200.1.v4.1 pep chromosome:Pop_tri_v4:6:20435667:20440776:-1 gene:Potri.006G196200.v4.1 transcript:Potri.006G196200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196200.v4.1 MESISLWQGLALCGIVSWIVLSSSLDVTRKLRTLVQPWVSHHVITGTPIILQIQKYQHGFLDALFSGLSCVVSVPFYTAFLPLLFWSGHGKLARQMTLLMSLCDYSGNCIKDVVSAPRPSCPPVRRITATKDEQENALEYGLPSSHTLNTVCLSGYLLHYVLSYTQNEDASLKFAGFAVVCLIVCLTGLGRIYLGMHSGIDIIAGLAVGFVILSFWLSVHDYVDSFVVSGQNVTTFWAALSLLLLFAYPTPELPTPSFEFHTAFDGVAFGIVAGVQQTYHQFHHESVPRIFTPQLPLSAFLGRMLVGIPTILIVKYCSKALAKWILPIVSNTLGIPIKSTSYIPMLKGSVTGKKMEELKQSGYIKKLPVFSSQGSFDVDTGIRFLQYSGLAWSVVDLVPSLFSYLRL >Potri.006G196200.3.v4.1 pep chromosome:Pop_tri_v4:6:20435923:20440776:-1 gene:Potri.006G196200.v4.1 transcript:Potri.006G196200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196200.v4.1 MESISLWQGLALCGIVSWIVLSSSLDVTRKLRTLVQPWVSHHVITGTPIILQIQKYQHGFLDALFSGLSCVVSVPFYTAFLPLLFWSGHGKLARQMTLLMSLCDYSGNCIKDVVSAPRPSCPPVRRITATKDEQENALEYGLPSSHTLNTVCLSGYLLHYVLSYTQNEDASLKFAGFAVVCLIVCLTGLVGFVILSFWLSVHDYVDSFVVSGQNVTTFWAALSLLLLFAYPTPELPTPSFEFHTAFDGVAFGIVAGVQQTYHQFHHESVPRIFTPQLPLSAFLGRMLVGIPTILIVKYCSKALAKWILPIVSNTLGIPIKSTSYIPMLKGSVTGKKMEELKQSGYIKKLPVFSSQGSFDVDTGIRFLQYSGLAWSVVDLVPSLFSYLRL >Potri.001G133100.2.v4.1 pep chromosome:Pop_tri_v4:1:10830933:10832322:-1 gene:Potri.001G133100.v4.1 transcript:Potri.001G133100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133100.v4.1 MGMGRDIYVVTGPGQGHLRPCMHLCNLLNKRNYHTTLVLSSTLSSVIPPTFAQNPLTTIAQIAPSSRPLPGCDLLSQQAAEDLRAHLSNRCGVPDLPLPICAIIDFQLGWTGQVFRKFSVPVIGLFTFGASAAAMEWGAWKVQANDVKPGETRLVPGLPEEMAITYWDLKRKELGPPGGMGRIPGGGSPPGPGDKPPWVSEIEWGQTGMPTWGVGQLLPEKYWQSSYSLIGDPQEVIKWLDTKPRGSVLYVAFGSEVRPSVDEYKQLADALEDWSRPFTWVVQHDKDHRPDPGLQKRVGNRGLIIYGWAPQLMILSHESTGGFLSHCGWNSTMEAVGRGVPVLAWPIRGDQYYNAKLVVNYLKVGYRVADDLSEMVKRDDIVKGLERLMGDEEMRDRMVGMKSIFDNATPEAAFDSFSAFVNQKLKPA >Potri.011G162732.1.v4.1 pep chromosome:Pop_tri_v4:11:18718697:18720570:1 gene:Potri.011G162732.v4.1 transcript:Potri.011G162732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162732.v4.1 MIEMISLSSSMLPLLFVLLFSFSWVTSAHTHEDFIECFSLYVEDSTDISKVIYTQNDSSYPSVLHFAIRNLRFNSTTLKPLVIVTPTNVSHIQAAIRCSQKNNLQIRIRSGGHDFEGLSYMSVLPFVILDLINFRSVTIDVTNKTAWVQAGATVGELYYHIAKKGRTLAFPAGICPTMGVGGHLSGGGYGALLRKYGLAADNVIDAELIDANGRVLDRISMGEDLFWALRGGGGNSFGVVIAWKIKLVEVPPTLTVFNVLRTLEQNATQLIHRWQYIANKLHEDLMITTYIRRVNSSQGNPTIQATFAGFFLGGVDKLLQLMNESFPELGLAKDDCLETSWIEAIILNRFPGNTSLELLLDRTPRFVTNYKAKSDYVKEPMPEIALEGIFERFLEEDIETPRLLLVPYGGKMDQISESSSPFPHRAGNIYKIEHQVSWSEEGKEASKRHVDWIRRLYSYMTPYVSKNPREAYINYRDLDIGMNSLAGNTSYEQASIWGRKYFKNNFDKLVRVKTAVDPANFFRNEQSIPPLPSW >Potri.004G055900.1.v4.1 pep chromosome:Pop_tri_v4:4:4592137:4595267:-1 gene:Potri.004G055900.v4.1 transcript:Potri.004G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055900.v4.1 MAAKKCSIHIFLPFLLILATKIVCRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMHHGYKRQSSKLMSSSFRHENLSAIPTSMDWRKAGAVTPVKDQGTCGCCWAFSAVAAIEGIIKLKTGKLISLSEQQLVDCDVKGVDQGCGGGLMDNAFQFILRNGGLTSEATYPYQGVDGTCKSKKTASIEAKITGYEDVPVNNENALLQAVAKQPVSVAVEGGGYDFQFYKSGVFKGDCGTYLDHAVTAIGYGTNSDGTNYWLVKNSWGTSWGESGYMRMQRGIGAREGLCGVAMDASYPTA >Potri.014G153900.1.v4.1 pep chromosome:Pop_tri_v4:14:10827227:10828544:-1 gene:Potri.014G153900.v4.1 transcript:Potri.014G153900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153900.v4.1 MGSKAPGWADQWGSGSFSKEDDEKFMAKIGHNNGNASKKMAGVKAAASTGLDKAKTAAQKVKSGTSVGIKWVKNKYQKKTSK >Potri.006G070800.2.v4.1 pep chromosome:Pop_tri_v4:6:5170515:5179568:1 gene:Potri.006G070800.v4.1 transcript:Potri.006G070800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070800.v4.1 MFEIRYMTFCVPIKQTHTAYHHQNVPLLATHDHVPVISSNSSLFPLKLQNMNLVHSPSHSLQLPKFISHFQNTPKFYPPKRVTTSNLETIGSNIFSNSTALIPIKAASEGVDTAVVVVEEEEPKLKKIQVFEGHPAPFGATVRDGGVNFAIFSADAVSATLCLISLSDLPENRVTEQIFLDPLTNKTGDVWHVLLKGDFKDMLYGYKFDGNFSPEVGLYYDPSKIVLDPYAKSVISRGEFGVLGHDDNRWPQMACMIPTAENKFDWEGDSPLKHPQRDLIIYEMHVRGFTQHESSRTEFPGTYLGVVEKLDHLKELGVNCIELMPCHEFNELEYYSYNSVLGDYKVNFWGYSTVNYFSPMTRYSSAGTRNCGRDAINEFKLLVREAHKRGIEVFMDVVFNHTAEGNEKGPILSFRGVDNSIYYMLAPKGEFYNYSGCGNTFNCNHPIVRQFILDCLRYWVTEMHVDGFRFDLASIMTRSSSLWDAVNVFGSPIEGDLLTTGTPLSSPPLIDMMSNDPILRDVKLIAEAWDAGGLYQVGMFPHWRIWSEWNGKYRDIVRQFVKGTDGFSGAFAECLCGSPNLYQEGGRKPWNSINFVCAHDGFTLADLVTYNKKHNLANGEDNNDGENHNNSWNCGQEGEFASISVKKLRKRQMRNFFLCLMVSQGVPMIYMGDEYGHTKGGNNNTYCHDNHINYFRWDKKEESSSDFFRFCRLMTKFRHECESLGLNDFPKAERLQWHGHDPGTPDWSETSRFVAFTLIDSVKGEIYIAFNASHLAVTITLPERPGYRWEPLVDSGKPAPFDFLSSDIPERDLAIKQYSHFLEANLYPMLSYTSIILVLSPNDNA >Potri.010G216600.3.v4.1 pep chromosome:Pop_tri_v4:10:20366656:20371274:1 gene:Potri.010G216600.v4.1 transcript:Potri.010G216600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216600.v4.1 MADNPTSPAAGSHESGGEQSPRSGVREQDRYLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIDPLKVYLARYRELEGDAKGSARGGDGSSKREAVGGLPAQNAQFALQGSMNYISPQGQGQHMILPSMQGNE >Potri.014G157600.1.v4.1 pep chromosome:Pop_tri_v4:14:11126305:11130210:-1 gene:Potri.014G157600.v4.1 transcript:Potri.014G157600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157600.v4.1 MAMEKKQQHQCYGAAPKPTFRFAKHWAVLLISIFPILNTGLAVDYGDALKKSLLYFESQRSGRLPYNQRVTWRHHSGLTDGLEQGVDLVGGYYDAGDHVKFGLPMAFTVTMLSWTVIEFQHQIAVAGELEHALEAIKWGTDYFIKAHTSPNVLWAEVGDGDTDHYCWQRPEDMTTSRQAYRIDENNPGSDLAGETAAAMAAASIVFKKTNPHYSHLLLHHAQQLFEFGDKYRGKYDENMGVVKSYYASVSGYKDELLWGALWLYKATDNEKYLEYVINNAHCFGGTGWAMEEFSWDVKYAGLQIMAAKLLVEEKHREHGDTLEQYRSKAEHYLCSCLNKNNGTNVNRTPGGLLHIRQWNNMQYVSTAAFLLTVYSDFLLNSNQKLKCHGGSVDHEEILGFAKSQVDYILGSNPMNMSYLVGYGPKYPARVHHRGASIVSYRENKGFIGCTQGYDNWYGREEPNPNVLVGALVGGPDCQDNFTDQRSNYMQTEACTYNTAPLVGVFAKLLQMEGQKSHDNHNQPLVASY >Potri.008G088000.1.v4.1 pep chromosome:Pop_tri_v4:8:5483237:5485974:-1 gene:Potri.008G088000.v4.1 transcript:Potri.008G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088000.v4.1 MARTLLHMQRQIITAEINGLACYYSTSPSSSTSSPCSSMGMIDRDIGSLCPKSGMTPPTSSFHEMENGRFTWGFPFMGNYHANSDTAVEVRVSDSSDGFGENNETVNHEANSSNEENPDENMMSGKETESGQSKLCTRGHWRPAEDTQLKKLVALYGPQNWNLIAEKLEGRSGKSCRLRWFNQLDPRINRKAFTEEEEERLMQAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKYREQSSAYRRRRLSQSVNRRTEETPSFVGRDAGMKTVQPPYCPSISSAGGLNKLSPCQIGNFNGAGRGGVDYGLNGSPHMTSGGEAVSSIKVPQSGFCAQQTPFDFFSGPKSNDMMGMFSQTISWDRPNNEPRISGFYPQQHPSYILTMQQSNHQYPYCFSDSTASTLPQAVSASEPSCSSPSVADQSRVSGHSETVPPPFIDFLGVGAT >Potri.015G140032.1.v4.1 pep chromosome:Pop_tri_v4:15:14724167:14724535:1 gene:Potri.015G140032.v4.1 transcript:Potri.015G140032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140032.v4.1 MLTAIIPGLLPTVHVHKLLTCMCILTSSSFLFIFFTLELATQGPLCIGKIINYAHPQTSKNSILSSTLESKLPQVPTASNIMNLAQCFDPWRRGLLFLLENMMKRKLWSPYNKSRRCTGTIK >Potri.007G015800.2.v4.1 pep chromosome:Pop_tri_v4:7:1157966:1161875:-1 gene:Potri.007G015800.v4.1 transcript:Potri.007G015800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015800.v4.1 MLHHHQQQQLQRPRRRCEGTAMGVIVLDLRPGNGIGPFSLGMPICEAFAQIEQQPNIYDVVHVKHFDEEPLKLDIVLSFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGSSNLATFVAVYALFGPTFPGICDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDREAELPLEFPDGTTPVTCRVSIYDGSADKKVGVGSLMHKASAPPLLPGNLYMEEVHVKLGEELYFSIGGQHIPFGASPQDVWTELGHPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHRIKKFVLHTNYPGHADFNSYIKCNFVIQGSDYYSKQSITPSTKWDQVKEILGDCGRAAIQTQGSTDNPFGSTFVYGYQNVAFEVMKNDYIATVTLFQS >Potri.007G015800.5.v4.1 pep chromosome:Pop_tri_v4:7:1158025:1161792:-1 gene:Potri.007G015800.v4.1 transcript:Potri.007G015800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015800.v4.1 MLHHHQQQQLQRPRRRCEGTAMGVIVLDLRPGMPICEAFAQIEQQPNIYDVVHVKHFDEEPLKLDIVLSFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGSSNLATFVAVYALFGPTFPGICDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDREAELPLEFPDGTTPVTCRVSIYDGSADKKVGVGSLMHKASAPPLLPGNLYMEEVHVKLGEELYFSIGGQHIPFGASPQDVWTELGHPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHRIKKFVLHTNYPGHADFNSYIKCNFVIQGSDLDYSKQSITPSTKWDQVKEILGDCGRAAIQTQGSTDNPFGSTFVYGYQNVAFEVMKNDYIATVTLFQS >Potri.007G015800.1.v4.1 pep chromosome:Pop_tri_v4:7:1157966:1161875:-1 gene:Potri.007G015800.v4.1 transcript:Potri.007G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015800.v4.1 MLHHHQQQQLQRPRRRCEGTAMGVIVLDLRPGNGIGPFSLGMPICEAFAQIEQQPNIYDVVHVKHFDEEPLKLDIVLSFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGSSNLATFVAVYALFGPTFPGICDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDREAELPLEFPDGTTPVTCRVSIYDGSADKKVGVGSLMHKASAPPLLPGNLYMEEVHVKLGEELYFSIGGQHIPFGASPQDVWTELGHPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHRIKKFVLHTNYPGHADFNSYIKCNFVIQGSDLDYSKQSITPSTKWDQVKEILGDCGRAAIQTQGSTDNPFGSTFVYGYQNVAFEVMKNDYIATVTLFQS >Potri.007G015800.4.v4.1 pep chromosome:Pop_tri_v4:7:1158149:1161795:-1 gene:Potri.007G015800.v4.1 transcript:Potri.007G015800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015800.v4.1 MLHHHQQQQLQRPRRRCEGTAMGVIVLDLRPGNGIGPFSLGMPICEAFAQIEQQPNIYDVVHVKHFDEEPLKLDIVLSFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGSSNLATFVAVYALFGPTFPGICDKDRGVYTLFYPGLSFAFPIPSQYTDCCHDREAELPLEFPDGTTPVTCRVSIYDGSADKKVGVGSLMHKASAPPLLPGNLYMEEVHVKLGEELYFSIGGQHIPFGASPQDVWTELGHPCGIHQKQVDQMVIHSASDPRPRTTLCGDYFYNYFTRGLDILFDGQTHRIKKFVLHTNYPGHADFNSYIKCNFVIQDYSKQSITPSTKWDQVKEILGDCGRAAIQTQGSTDNPFGSTFVYGYQNVAFEVMKNDYIATVTLFQS >Potri.009G095800.1.v4.1 pep chromosome:Pop_tri_v4:9:8659801:8662760:1 gene:Potri.009G095800.v4.1 transcript:Potri.009G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:cad MGSLETERKIVGWAATDSTGHLAPYTYSLRDTGPEDVFIKVISCGVCHTDIHQIKNDLGMSHYPMVPGHEVVGEVVEVGSDVTKFKVGDVVGVGVIVGSCKNCHPCKSEIEQYCNKKIWSYNDVYTDGKPTQGGFAESMVVDQKFVVRIPDGMSPEQAAPLLCAGLTVYSPLKHFGLKQSGLRGGILGLGGVGHMGVKIAKAMGHHVTVISSSDKKREEAMEHLGADEYLVSSDVESMQKAADQLDYIIDTVPVVHPLEPYLSLLKLDGKLILMGVINAPLQFVTPMVMLGRKSITGSFIGSMKETEEMLEFCKEKGLASMIEVIKMDYINTAFERLEKNDVRYRFVVDVAGSKLIP >Potri.018G028450.1.v4.1 pep chromosome:Pop_tri_v4:18:2109003:2109344:-1 gene:Potri.018G028450.v4.1 transcript:Potri.018G028450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028450.v4.1 MLMAPRELAPLLLERRSCLRFRIEHMKGIHCHPASTNFTSFTPFLAKNCACITPLSFKKSKPVTETTTAGNSLHSPADTSCRISNYSQSSHGLIILVYFRPQNISFINTSSLS >Potri.005G240100.6.v4.1 pep chromosome:Pop_tri_v4:5:23689392:23694548:-1 gene:Potri.005G240100.v4.1 transcript:Potri.005G240100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240100.v4.1 MLRLLSCRATDSVSATSLPDSPRLRKDYSSSSPDSDGEVIGLLRSARKNRSRTRRMSYDNVDNHILENSSLIESDEGSQSYISLPTSEMLTPRSRPPKDFVCPITGQLFNDPVTLETGQTYERKAIQEWVKRGNTTCPITRQPLSANSLPKTNYVLKRLITSWKEQHPELAQEFSYSETPRNSFSPSSLRENLLVSTASRTFYSPSHTHTRNSTDSHMHQRSKRFARAEVSTSPTSVISQATIETIINGLKPYISSLCTSENLEECEAAVSAVAKLWKDSKGDPAVLSYLSEPTIVNGIVEILSASVSRDALKTSVYVLSDLTFSDESVGEILTSVDSDFDCLAALFKNGLAEAVVLIYQLRPAFAQLSAHNFIPSLVQSIQSKTEDLDDFQFAIEPKDAAIAVLEHLLTGGDENSRSVNAFDVICANGIPALVKCLDRVEGRKSIISILLCCMRADKSSRNSIASTIELSPVLELFHSGDDSVRGLCIDFLSELVQLNRRTFCNQILQIIKDEGAFSTMHTFLVYLQMAPMRQQPSLATLLLQLDLLAEPRKMSIYREEAVEALIEALHRKDFSNSQMMALDALVSISARRTSSGGTYMEAWLLKIAGYDLPYNALMKAEKLKKNENDLAENFLAETVEDEEKAVSSWEKRVAFVLCNHEKGSIFKALEECFKSNSLETAKSCLVISTWLIYMLSVLPDTGVKSAARKSLLDEFINVLQSTRNMEEKILATLALRTFVSDPAALEELGKYAKCIYSTLRKLKKSSPVITDVLKSLMNLSSVNATELWNCTEVVEVESCANGEVLSLLHFKGRVISSHSDGTIKVWDAGKSVLRLIQEVREHTKAVTCLYISSSGDKLYSGSLDKTVRVWAIKPEEIHCIQVHDVKEAVYELTANGKVACFVSQGAGVKVYSWSGVPKHINFNKTVKCLAMTGDTLYCGVSGYSIQEVDLIKFTSTTFYSGTRKLLGKQSIYSLQIQDGLLFAGGSAVDGTAGKVFSHSSKAVTGSFSTGFDIQRIAVNSDFIFTATKSGIIEVWLKERVTRVASIKVGSGWHARITCLTSDMDGAMLYAGTSDGKIQAWSLD >Potri.005G240100.2.v4.1 pep chromosome:Pop_tri_v4:5:23689497:23696471:-1 gene:Potri.005G240100.v4.1 transcript:Potri.005G240100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240100.v4.1 MEGSYRFAMDQKDIVRFLITTVGSFTQDRLINKEQRTQHKEQCAERLAAEDGSCYKDTEVRYSDQAVLANLDWGIEALEEAIDTSNMETKLARLDYAEKMLQVCALLNSDQRTAGVPNFYLSAWARLYLSYLWKMRNNDENSVLHVLEMFIVDPFFSRIDFAPELWKDLFLPQMSSIVGWYSEARHRLMVEVIPDSSDLSFTADLDQFFNESLIYSMRPDQVEKLQNLEELYRESLDENTRLFAKYYKDCMNSDSTRSKKMIPMLPIADPPVTPLHEVSRSIPDYVKFGPILPKSAGFSPVLRSKHGVRAESRLRIISNLSPNLEESDICDHQEAMPEDRENDSDCERSDTYIDSEDKNQKLVTLRGIESTEIKEIGLSKRLAKAKSQKHSPKIFSPINSPRTSPKISSPDPVVQSKKQPTTMLRLLSCRATDSVSATSLPDSPRLRKDYSSSSPDSDGEVIGLLRSARKNRSRTRRMSYDNVDNHILENSSLIESDEGSQSYISLPTSEMLTPRSRPPKDFVCPITGQLFNDPVTLETGQTYERKAIQEWVKRGNTTCPITRQPLSANSLPKTNYVLKRLITSWKEQHPELAQEFSYSETPRNSFSPSSLRENLLVSTASRTFYSPSHTHTRNSTDSHMHQRSKRFARAEVSTSPTSVISQATIETIINGLKPYISSLCTSENLEECEAAVSAVAKLWKDSKGDPAVLSYLSEPTIVNGIVEILSASVSRDALKTSVYVLSDLTFSDESVGEILTSVDSDFDCLAALFKNGLAEAVVLIYQLRPAFAQLSAHNFIPSLVQSIQSKTEDLDDFQFAIEPKDAAIAVLEHLLTGGDENSRSVNAFDVICANGIPALVKCLDRVEGRKSIISILLCCMRADKSSRNSIASTIELSPVLELFHSGDDSVRGLCIDFLSELVQLNRRTFCNQILQIIKDEGAFSTMHTFLVYLQMAPMRQQPSLATLLLQLDLLAEPRKMSIYREEAVEALIEALHRKDFSNSQMMALDALVSISARRTSSGGTYMEAWLLKIAGYDLPYNALMKAEKLKKNENDLAENFLAETVEDEEKAVSSWEKRVAFVLCNHEKGSIFKALEECFKSNSLETAKSCLVISTWLIYMLSVLPDTGVKSAARKSLLDEFINVLQSTRNMEEKILATLALRTFVSDPAALEELGKYAKCIYSTLRKLKKSSPVITDVLKSLMNLSSVNATELWNCTEVVEVESCANGEVLSLLHFKGRVISSHSDGTIKVWDAGKSVLRLIQEVREHTKAVTCLYISSSGDKLYSGSLDKTVRVWAIKPEEIHCIQVHDVKEAVYELTANGKVACFVSQGAGVKVYSWSGVPKHINFNKTVKCLAMTGDTLYCGVSGYSIQEVDLIKFTSTTFYSGTRKLLGKQSIYSLQIQDGLLFAGGSAVDGTAGKVFSHSSKAVTGSFSTGFDIQRIAVNSDFIFTATKSGIIEVWLKERVTRVASIKVGSGWHARITCLTSDMDGAMLYAGTSDGKIQAWSLD >Potri.005G240100.4.v4.1 pep chromosome:Pop_tri_v4:5:23689426:23696578:-1 gene:Potri.005G240100.v4.1 transcript:Potri.005G240100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240100.v4.1 MEGSYRFAMDQKDIVRFLITTVGSFTQDRLINKEQRTQHKEQCAERLAAEDGSCYKDTEVRYSDQAVLANLDWGIEALEEAIDTSNMETKLARLDYAEKMLQVCALLNSDQRTAGVPNFYLSAWARLYLSYLWKMRNNDENSVLHVLEMFIVDPFFSRIDFAPELWKDLFLPQMSSIVGWYSEARHRLMVEVIPDSSDLSFTADLDQFFNESLIYSMRPDQVEKLQNLEELYRESLDENTRLFAKYYKDCMNSDSTRSKKMIPMLPIADPPVTPLHEVSRSIPDYVKFGPILPKSAGFSPVLRSKHGVRAESRLRIISNLSPNLEESDICDHQEAMPEDRENDSDCERSDTYIDSEDKNQKLVTLRGIESTEIKEIGLSKRLAKAKSQKHSPKIFSPINSPRTSPKISSPDPVVQSKKQPTTMLRLLSCRATDSVSATSLPDSPRLRKDYSSSSPDSDGEVIGLLRSARKNRSRTRRMSYDNVDNHILENSSLIESDEGSQSYISLPTSEMLTPRSRPPKDFVCPITGQLFNDPVTLETGQTYERKAIQEWVKRGNTTCPITRQPLSANSLPKTNYVLKRLITSWKEQHPELAQEFSYSETPRNSFSPSSLRENLLVSTASRTFYSPSHTHTRNSTDSHMHQRSKRFARAEVSTSPTSVISQATIETIINGLKPYISSLCTSENLEECEAAVSAVAKLWKDSKGDPAVLSYLSEPTIVNGIVEILSASVSRDALKTSVYVLSDLTFSDESVGEILTSVDSDFDCLAALFKNGLAEAVVLIYQLRPAFAQLSAHNFIPSLVQSIQSKTEDLDDFQFAIEPKDAAIAVLEHLLTGGDENSRSVNAFDVICANGIPALVKCLDRVEGRKSIISILLCCMRADKSSRNSIASTIELSPVLELFHSGDDSVRGLCIDFLSELVQLNRRTFCNQILQIIKDEGAFSTMHTFLVYLQMAPMRQQPSLATLLLQLDLLAEPRKMSIYREEAVEALIEALHRKDFSNSQMMALDALVSISARRTSSGGTYMEAWLLKIAGYDLPYNALMKAEKLKKNENDLAENFLAETVEDEEKAVSSWEKRVAFVLCNHEKGSIFKALEECFKSNSLETAKSCLVISTWLIYMLSVLPDTGVKSAARKSLLDEFINVLQSTRNMEEKILATLALRTFVSDPAALEELGKYAKCIYSTLRKLKKSSPVITDVLKSLMNLSSVNATELWNCTEVVEVESCANGEVLSLLHFKGRVISSHSDGTIKVWDAGKSVLRLIQEVREHTKAVTCLYISSSGDKLYSGSLDKTVRVWAIKPEEIHCIQVHDVKEAVYELTANGKVACFVSQGAGVKVYSWSGVPKHINFNKTVKCLAMTGDTLYCGVSGYSIQEVDLIKFTSTTFYSGTRKLLGKQSIYSLQIQDGLLFAGGSAVDGTAGKVFSHSSKAVTGSFSTGFDIQRIAVNSDFIFTATKSGIIEVWLKERVTRVASIKVGSGWHARITCLTSDMDGAMLYAGTSDGKIQAWSLD >Potri.005G240100.7.v4.1 pep chromosome:Pop_tri_v4:5:23689387:23693857:-1 gene:Potri.005G240100.v4.1 transcript:Potri.005G240100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240100.v4.1 MLTPRSRPPKDFVCPITGQLFNDPVTLETGQTYERKAIQEWVKRGNTTCPITRQPLSANSLPKTNYVLKRLITSWKEQHPELAQEFSYSETPRNSFSPSSLRENLLVSTASRTFYSPSHTHTRNSTDSHMHQRSKRFARAEVSTSPTSVISQATIETIINGLKPYISSLCTSENLEECEAAVSAVAKLWKDSKGDPAVLSYLSEPTIVNGIVEILSASVSRDALKTSVYVLSDLTFSDESVGEILTSVDSDFDCLAALFKNGLAEAVVLIYQLRPAFAQLSAHNFIPSLVQSIQSKTEDLDDFQFAIEPKDAAIAVLEHLLTGGDENSRSVNAFDVICANGIPALVKCLDRVEGRKSIISILLCCMRADKSSRNSIASTIELSPVLELFHSGDDSVRGLCIDFLSELVQLNRRTFCNQILQIIKDEGAFSTMHTFLVYLQMAPMRQQPSLATLLLQLDLLAEPRKMSIYREEAVEALIEALHRKDFSNSQMMALDALVSISARRTSSGGTYMEAWLLKIAGYDLPYNALMKAEKLKKNENDLAENFLAETVEDEEKAVSSWEKRVAFVLCNHEKGSIFKALEECFKSNSLETAKSCLVISTWLIYMLSVLPDTGVKSAARKSLLDEFINVLQSTRNMEEKILATLALRTFVSDPAALEELGKYAKCIYSTLRKLKKSSPVITDVLKSLMNLSSVNATELWNCTEVVEVESCANGEVLSLLHFKGRVISSHSDGTIKVWDAGKSVLRLIQEVREHTKAVTCLYISSSGDKLYSGSLDKTVRVWAIKPEEIHCIQVHDVKEAVYELTANGKVACFVSQGAGVKVYSWSGVPKHINFNKTVKCLAMTGDTLYCGVSGYSIQEVDLIKFTSTTFYSGTRKLLGKQSIYSLQIQDGLLFAGGSAVDGTAGKVFSHSSKAVTGSFSTGFDIQRIAVNSDFIFTATKSGIIEVWLKERVTRVASIKVGSGWHARITCLTSDMDGAMLYAGTSDGKIQAWSLD >Potri.005G240100.5.v4.1 pep chromosome:Pop_tri_v4:5:23689266:23696471:-1 gene:Potri.005G240100.v4.1 transcript:Potri.005G240100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240100.v4.1 MEGSYRFAMDQKDIVRFLITTVGSFTQDRLINKEQRTQHKEQCAERLAAEDGSCYKDTEVRYSDQAVLANLDWGIEALEEAIDTSNMETKLARLDYAEKMLQVCALLNSDQRTAGVPNFYLSAWARLYLSYLWKMRNNDENSVLHVLEMFIVDPFFSRIDFAPELWKDLFLPQMSSIVGWYSEARHRLMVEVIPDSSDLSFTADLDQFFNESLIYSMRPDQVEKLQNLEELYRESLDENTRLFAKYYKDCMNSDSTRSKKMIPMLPIADPPVTPLHEVSRSIPDYVKFGPILPKSAGFSPVLRSKHGVRAESRLRIISNLSPNLEESDICDHQEAMPEDRENDSDCERSDTYIDSEDKNQKLVTLRGIESTEIKEIGLSKRLAKAKSQKHSPKIFSPINSPRTSPKISSPDPVVQSKKQPTTMLRLLSCRATDSVSATSLPDSPRLRKDYSSSSPDSDGEVIGLLRSARKNRSRTRRMSYDNVDNHILENSSLIESDEGSQSYISLPTSEMLTPRSRPPKDFVCPITGQLFNDPVTLETGQTYERKAIQEWVKRGNTTCPITRQPLSANSLPKTNYVLKRLITSWKEQHPELAQEFSYSETPRNSFSPSSLRENLLVSTASRTFYSPSHTHTRNSTDSHMHQRSKRFARAEVSTSPTSVISQATIETIINGLKPYISSLCTSENLEECEAAVSAVAKLWKDSKGDPAVLSYLSEPTIVNGIVEILSASVSRDALKTSVYVLSDLTFSDESVGEILTSVDSDFDCLAALFKNGLAEAVVLIYQLRPAFAQLSAHNFIPSLVQSIQSKTEDLDDFQFAIEPKDAAIAVLEHLLTGGDENSRSVNAFDVICANGIPALVKCLDRVEGRKSIISILLCCMRADKSSRNSIASTIELSPVLELFHSGDDSVRGLCIDFLSELVQLNRRTFCNQILQIIKDEGAFSTMHTFLVYLQMAPMRQQPSLATLLLQLDLLAEPRKMSIYREEAVEALIEALHRKDFSNSQMMALDALVSISARRTSSGGTYMEAWLLKIAGYDLPYNALMKAEKLKKNENDLAENFLAETVVCGFCLSLIWNMEPCSIKFCDDSEPWMLLCRKMKRKQ >Potri.007G049000.7.v4.1 pep chromosome:Pop_tri_v4:7:4631563:4640389:-1 gene:Potri.007G049000.v4.1 transcript:Potri.007G049000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049000.v4.1 METTTLRHAIGVTDFPSPSLSLSAAANNNYYYHSSKLLVRKRVIYHQSSLSSKFPFNSLSHPPRSTNFNHRFILRATGHDHHHDHHDHDHDHCCHHHHHEGGHDSQLTGPQRALLKFAKTLGWMDLANLLREHLQLCCCSAALFITAAACPYIIPKPAVKPLQNALMLVAFPLVGVSASLDALTDIVGGKVNIHVLMALAGFASIFMGNALEGGLLLAMFNLAHIAEEFFTSRSVIDVKELKENYPDSTLVLDVNDDKPPDVSDLSYKSVPVHDIEVGSYILVGTGEAVPVDCEVFQGNATITIEHLTGEVKPLEAKVGDRIPGGARNVDGRMIVKATKTWKESTLSRIVQLTEEAQSSKPKLQRWLDEFGEQYSKVVVGLSIAIALLGPFLFKWPFMSTSVCRGSVYRALGLMVAASPCALAVAPLAYATAISSCARKGILLKGGQVLDALASCHTIAFDKTGTLTTGGLMFKAIEPIYGHLIRNNRTNFTSCCIPSCEKEALAVAAAMEKGTTHPIGRAVVDHSIGKDLPSVSVDSFEYFPGKGLVATLNNIESGTGGGKLLKASLGSVEFIASLCKSEDESRKIKEAVNASSYGRDFVHAALSVEEKVTLIHLEDRPRPGVSDVISELQDQARFRVMMLTGDHESSAWRVAKAVGISEVYCSLKPEDKLNQVKGISRDMGGGLVMVGEGINDAPALAAATVGIVLAQRASATAIAVADVLLLRDTISGVPFCIAKSRQTTSLIKQNVALALTSIFLASLPSVLGFLPLWLTVLLHEGGTLLVCLNSIRALNDPKWSWRYDLQQVVEKLKSRVMLKVTGDTSSSTVEAAPL >Potri.003G101000.3.v4.1 pep chromosome:Pop_tri_v4:3:12588049:12594470:-1 gene:Potri.003G101000.v4.1 transcript:Potri.003G101000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101000.v4.1 MDSETEKKIVNWLKEDDAPRLILVGIAGVGKTWMAKRIRECAITEGYAVLWVSMTVKHDNMSLYKIIAHQLSLLSTIEKLEEDDIDEEKEESPDNLKKKVSEKLEEIREENKPLLLILDDEEPKRYGSKDLLELEPLCSVNSQHKLKILISRRNIDDGQTAETSQREIKVEPLSEEDSLSLLKKRVTRVSQSSAFEKFSNATAEKSKGLPAAIILMAEALNQVGEHDLDGALKEAEKDVSSFLHCAYGMLPSGVVTDCLWHCREFFHNYGGLHYNKLITYWIMEGYFDPVTDVEKAYEKGHSVLMQLLNRRMLKIQEDNIVILEGATQSIVDNRLGGFSGTANLGLASLVPGGELKGLDKITPMDGMIKTLCSGKKWKEVHALLIHGSRLLREVPEKLFQRMDGLEVLAVFDLKLKQLPSSLSQLKYLHVLVLRGCDLLDNIDHISKLKKLTVLEISGASSLTKISDDFFAQLTQLQSLNLSGSQLQELPSTISKLIELRWLILRRCKRLESLPKIHELSKLEVFDLSDATLFNNVQEKSFTIFKKLKIIDLSNTQIVRLPFISDLKDLTRILLRGCTSLSRLPKLENLPLLQILDLSDAVQLKEINALKFLDQSGITSNHSASCIGNLSELYLMGCHKLKELPCTENLTGLRVLDLSDASSLERFIDKSFNHLSLLHSINLSKTKVRSLPSLSDLHNLCFLLLRGCLCLEQLDVGGLTRLKELDLSGCENLYGLQGLNALQKLEVLDLSGCVALPEIQVQSFLNMSCLQKLNLSATKVESLSSLNSSCLCQLVLRDCTNLKILPSSKSLSKLEVLDLCGAKALGEILSDLFVHMIHLQNLNLSHIILQEFSFVSKFTKLRQLSLECCRGLGTVPFLTELTGLEILDLSETDVCSLSSLEKLSHLSRLLLRKCSRLHNLPSLKSLIQLEVLDISESGVTEFPYEISELAHLKHLYMTNLKVKVDWERIKRLPGQFDFSNLDEIDDVGKNPSILVNGTEFFQNLKKYDASLLKKYLKQIFFCVCPPIEKANGGGMYLQREDIISNDAYFDIREFPRGNVPSIELCGFEKYPTGVEYVLEQTECISLVENGFMKGLSSLGSDTLKLKHCWLERCTEMENIFSDHKDVKLGENLEVLWVSNLTKLKSLCSWKVGSINLNNLQHLHVDCCPMLEEVFPLKSGLENLKIMKIKFCERLKMVFKCDGSVNSELPKLQELHLFELPELTHFGARYPREVKPNVFACPKLKLEVSGDFSNGQNASAQNAGTGA >Potri.003G101000.4.v4.1 pep chromosome:Pop_tri_v4:3:12587942:12594564:-1 gene:Potri.003G101000.v4.1 transcript:Potri.003G101000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101000.v4.1 MDSETEKKIVNWLKEDDAPRLILVGIAGVGKTWMAKRIRECAITEGYAVLWVSMTVKHDNMSLYKIIAHQLSLLSTIEKLEEDDIDEEKEESPDNLKKKVSEKLEEIREENKPLLLILDDEEPKRYGSKDLLELEPLCSVNSQHKLKILISRRNIDDGQTAETSQREIKVEPLSEEDSLSLLKKRVTRVSQSSAFEKFSNATAEKSKGLPAAIILMAEALNQVGEHDLDGALKEAEKDVSSFLHCAYGMLPSGVVTDCLWHCREFFHNYGGLHYNKLITYWIMEGYFDPVTDVEKAYEKGHSVLMQLLNRRMLKIQEDNIVILEGATQSIVDNRLGGFSGTANLGLASLVPGGELKGLDKITPMDGMIKTLCSGKKWKEVHALLIHGSRLLREVPEKLFQRMDGLEVLAVFDLKLKQLPSSLSQLKYLHVLVLRGCDLLDNIDHISKLKKLTVLEISGASSLTKISDDFFAQLTQLQSLNLSGSQLQELPSTISKLIELRWLILRRCKRLESLPKIHELSKLEVFDLSDATLFNNVQEKSFTIFKKLKIIDLSNTQIVRLPFISDLKDLTRILLRGCTSLSRLPKLENLPLLQILDLSDAVQLKEINALKFLDQSGITSNHSASCIGNLSELYLMGCHKLKELPCTENLTGLRVLDLSDASSLERFIDKSFNHLSLLHSINLSKTKVRSLPSLSDLHNLCFLLLRGCLCLEQLDVGGLTRLKELDLSGCENLYGLQGLNALQKLEVLDLSGCVALPEIQVQSFLNMSCLQKLNLSATKVESLSSLNSSCLCQLVLRDCTNLKILPSSKSLSKLEVLDLCGAKALGEILSDLFVHMIHLQNLNLSHIILQEFSFVSKFTKLRQLSLECCRGLGTVPFLTELTGLEILDLSETDVCSLSSLEKLSHLSRLLLRKCSRLHNLPSLKSLIQLEVLDISESGVTEFPYEISELAHLKHLYMTNLKVKVDWERIKRLPGQFDFSNLDEIDDVGKNPSILVNGTEFFQNLKKYDASLLKKYLKQIFFCVCPPIEKANGGGMYLQREDIISNDAYFDIREFPRGNVPSIELCGFEKYPTGVEYVLEQTECISLVENGFMKGLSSLGSDTLKLKHCWLERCTEMENIFSDHKDVKLGENLEVLWVSNLTKLKSLCSWKVGSINLNNLQHLHVDCCPMLEEVFPLKSGLENLKIMKIKFCERLKMVFKCDGSVNSELPKLQELHLFELPELTHFGARYPREVKPNVFACPKLKLEVSGDFSNGQNASAQNAGTGA >Potri.003G101000.5.v4.1 pep chromosome:Pop_tri_v4:3:12587768:12594519:-1 gene:Potri.003G101000.v4.1 transcript:Potri.003G101000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101000.v4.1 MDSETEKKIVNWLKEDDAPRLILVGIAGVGKTWMAKRIRECAITEGYAVLWVSMTVKHDNMSLYKIIAHQLSLLSTIEKLEEDDIDEEKEESPDNLKKKVSEKLEEIREENKPLLLILDDEEPKRYGSKDLLELEPLCSVNSQHKLKILISRRNIDDGQTAETSQREIKVEPLSEEDSLSLLKKRVTRVSQSSAFEKFSNATAEKSKGLPAAIILMAEALNQVGEHDLDGALKEAEKDVSSFLHCAYGMLPSGVVTDCLWHCREFFHNYGGLHYNKLITYWIMEGYFDPVTDVEKAYEKGHSVLMQLLNRRMLKIQEDNIVILEGATQSIVDNRLGGFSGTANLGLASLVPGGELKGLDKITPMDGMIKTLCSGKKWKEVHALLIHGSRLLREVPEKLFQRMDGLEVLAVFDLKLKQLPSSLSQLKYLHVLVLRGCDLLDNIDHISKLKKLTVLEISGASSLTKISDDFFAQLTQLQSLNLSGSQLQELPSTISKLIELRWLILRRCKRLESLPKIHELSKLEVFDLSDATLFNNVQEKSFTIFKKLKIIDLSNTQIVRLPFISDLKDLTRILLRGCTSLSRLPKLENLPLLQILDLSDAVQLKEINALKFLDQSGITSNHSASCIGNLSELYLMGCHKLKELPCTENLTGLRVLDLSDASSLERFIDKSFNHLSLLHSINLSKTKVRSLPSLSDLHNLCFLLLRGCLCLEQLDVGGLTRLKELDLSGCENLYGLQGLNALQKLEVLDLSGCVALPEIQVQSFLNMSCLQKLNLSATKVESLSSLNSSCLCQLVLRDCTNLKILPSSKSLSKLEVLDLCGAKALGEILSDLFVHMIHLQNLNLSHIILQEFSFVSKFTKLRQLSLECCRGLGTVPFLTELTGLEILDLSETDVCSLSSLEKLSHLSRLLLRKCSRLHNLPSLKSLIQLEVLDISESGVTEFPYEISELAHLKHLYMTNLKVKVDWERIKRLPGQFDFSNLDEIDDVGKNPSILVNGTEFFQNLKKYDASLLKKYLKQIFFCVCPPIEKANGGGMYLQREDIISNDAYFDIREFPRGNVPSIELCGFEKYPTGVEYVLEQTECISLVENGFMKGLSSLGSDTLKLKHCWLERCTEMENIFSDHKDVKLGENLEVLWVSNLTKLKSLCSWKVGSINLNNLQHLHVDCCPMLEEVFPLKSGLENLKIMKIKFCERLKMVFKCDGSVNSELPKLQELHLFELPELTHFGARYPREVKPNVFACPKLKLEVSGDFSNGQNASAQNAGTGA >Potri.008G076700.2.v4.1 pep chromosome:Pop_tri_v4:8:4745007:4746613:-1 gene:Potri.008G076700.v4.1 transcript:Potri.008G076700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076700.v4.1 MARIKIYAIAACVCAIIFNTCKAAGQGPLPKFPAILIFGDSTVDTGNNNYINTLLKANFFPYGQNYPGQKATGRFSDGELIPDMLASALKIKEAVPPFLDPNLSDAEVITGVSFASAGAGYDYQTNTLLNVIPVPKQIDMFRDYIARLKGIVGEERAKQIIGGAFVLISAGSNDIFTRPFNLHYSFQDTMLDIVQNFTKELHDLGCRSMAVAGLPPVGYAPIEKTIQLATELLLPVDLKWVDNLNSYAQSYNKELVKLLAQAQTTFSGSKIVYADVYEPLDDMVKNPKRYGFLETKRGCCGTGLFELGPLCRPTTPTCGKLLASKFLFWDAVHPSTSTYRVIAKHIEKEVFPKFL >Potri.001G066700.4.v4.1 pep chromosome:Pop_tri_v4:1:4990137:4992805:-1 gene:Potri.001G066700.v4.1 transcript:Potri.001G066700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066700.v4.1 MGKRRYSTQISNNKDTSSLLFLFILCLYYTTVQCQESSKVTPPFPSTPTHSKNGLKRILVSIFLGVLTGLTGAVVFAFVVRFLVRYMKRTPILKGPVIFSPKITPKSLQSALENENQLLGSSSNGKYYRTVLDNGLTIAVKRFEPFEIGSPERQSKSVKRRIQQELEMLASLRHRNLMSLRAYVREPDRFSLVYDCVPTGSLEDAMNRVRENELQLGWEVRLRIAVGVIKGLRYLHFDCVPQILHYNLKPRNVILDAEFEPRLADFGLAKLTPNLDRATSGYSAPECFQNCRYTKFFFFAAFLFCGNYDTIQ >Potri.001G066700.1.v4.1 pep chromosome:Pop_tri_v4:1:4989677:4992987:-1 gene:Potri.001G066700.v4.1 transcript:Potri.001G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066700.v4.1 MGKRRYSTQISNNKDTSSLLFLFILCLYYTTVQCQESSKVTPPFPSTPTHSKNGLKRILVSIFLGVLTGLTGAVVFAFVVRFLVRYMKRTPILKGPVIFSPKITPKSLQSALENENQLLGSSSNGKYYRTVLDNGLTIAVKRFEPFEIGSPERQSKSVKRRIQQELEMLASLRHRNLMSLRAYVREPDRFSLVYDCVPTGSLEDAMNRVRENELQLGWEVRLRIAVGVIKGLRYLHFDCVPQILHYNLKPRNVILDAEFEPRLADFGLAKLTPNLDRATSGYSAPECFQNCRYTDKSDVFSFGMILGVLLTGRDPTDPFFRETASGGSLGPWLRHLQQAGEAREALDKSILGEEVEEDEMLMAVRIAVVCLSDMPADRPSSDELVPMLSQLHSF >Potri.016G127300.1.v4.1 pep chromosome:Pop_tri_v4:16:13068496:13071034:-1 gene:Potri.016G127300.v4.1 transcript:Potri.016G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127300.v4.1 MPCLYISTNVNLDDVDKDPIFSEATKAVASIIGRPEHLVMVILKGTLGISFNGNKEPAAYAEIVSMGGITKQVKRNLIATLGTILEAKLSIPRARFFLKVYDTTAAGNCSKL >Potri.016G059150.1.v4.1 pep chromosome:Pop_tri_v4:16:4028928:4032735:1 gene:Potri.016G059150.v4.1 transcript:Potri.016G059150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059150.v4.1 MAETLDLNSGPDLSTSPTDPSQPSDANFWYQILTSNQEDLQHTLRPSTSRLDSEWGHVFDKSSKKKRQRMDTNSGAGSGVNSASDLSEALSAVSAALSLSSSASSGVKDQWEKEGAEFIRKGVEELPDEMVDNSNENPISFDIQSLPSESSEDDVPLSDSNENNSEIPSTWASEANGETWKSNSQVPELGMVFSSEEEAYEFYKSYADEIGFNVRKGKVQRLTNKAIRKRYLFCSREGFRLQKKL >Potri.003G148200.1.v4.1 pep chromosome:Pop_tri_v4:3:16215289:16220681:-1 gene:Potri.003G148200.v4.1 transcript:Potri.003G148200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148200.v4.1 MELESGSTNRQSRLKLYKSTLILAYQSFGVVYGDLSTSPIYVYTSTFSGRLRLHEDDDEILGVLSLVFWTLTLIPLCKYIVFVLGADDNGEGGTFALYSLLCRRAKLGLLHPSHSTDDDISSQDSCQLIKETRASSLLKEFFDKHHSSRVVLLLIVILGTSMVIADGILTPSMSVLSAVYGIKTKAGGLHDSYAVLITCLILVGLFALQHIGTHRVGFLFAPIILLWLLSISGVGIYNIIQWNPRVVSALSPYYVYKLFKLTGKDGWTSLGGIVLCITGAEAMFADLGHFSQLSIRIAFTAIIYPSLILAYMGEAAYLSKHKEDLQRSFYRAIPEVVFWPVFIIATLATVVASQAVISATFSIISQCWALKCFPRVKIIHTSNQMHGQIYIPEVNWMLMVFCLLVVIGFRDTDMIANAYGLTVVIVMFVTTCLMFLVIVMVWKRNILAAFIFVTVFGFVELLYLSSCLAKVAKGGWIPLIFSLIALSVMYIWHYGTLQKQSFESHNRTSLDMLLSLGPNVGINRVRGIGLIYTNVLSGIPPMFSHFVTSFPAFHQVLIFVTFQFLTTPRVSANQRFIVSRIGPAEFRLYRCIVRFGYKDARKDSYAFETDLIETVRVFLQHESDDGDARDSVSEMPVNQHESDCLRDDVSMRAENGSGAGLTRCKRVRFCGVDNSKELEDLEDAREAGLAYMMGNTCVLARETSSVVKKFAINIVYGFLRRNCRSPSTALGVPHTSLIEVGMAYRV >Potri.006G129600.1.v4.1 pep chromosome:Pop_tri_v4:6:10527165:10528485:1 gene:Potri.006G129600.v4.1 transcript:Potri.006G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129600.v4.1 MQIFVKTLTGKTITLEVENSDTIDNVKVKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGNTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGDF >Potri.001G021500.3.v4.1 pep chromosome:Pop_tri_v4:1:1672487:1677734:1 gene:Potri.001G021500.v4.1 transcript:Potri.001G021500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G021500.v4.1 MKMQISSDSSNLGTMEKPLNPIFWKEMSLSKLTKKDCISDVSDRFFQLPDELIVTILTRTKDAKTLIRCLSVCKRLQCLVTKVDTVFLGFSYPGEAGQYLPCWKSHYHIPQSTIPALMKVFVNLKALEIKLCLCPSLLPCYYGVSRSCSFKFLLKSVDMNDKMHTHMCTAFDIGSLLSADGEISFPESNMMYIGNVKSSLMLSFFLVILCHRPKTLRSMVILSSESYGSEGKAQYRSEDKEGYRSEGNVFMESEQVARFRALSLTTGVDESWLKDPQNLVCWLENHEENKHQLAEKLWLIHKWEGERCNMNESIVKKSDVEELLRAFDEDIDENNENEDFFRM >Potri.013G070200.1.v4.1 pep chromosome:Pop_tri_v4:13:5710375:5724761:1 gene:Potri.013G070200.v4.1 transcript:Potri.013G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070200.v4.1 MGIPAFYRWLTEKYPLITADVMEETPLLINGVSVPLDTSCPNPNGIEFDNLYLDMNGIIHPCFHPEGLPPPTTYEEVFAAVFKYIDRIFSIVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAARDAADQALSIETDGGIVPESEEGNLEQVKKLDSNVITPGTEFMDLLSSALHYYIRLRMKEDLGWRGIKVILSDANVAGEGEHKIMSYIRLQRNLPGFDPNTRHCLYGLDADLIMLALASHEIHFSILREDLGNASTGGKSSKEKHRLMKRRKLNGDSDQVGKFAENIENHISGMKFQFLNVWILREYLAYDLRIPDTTLKVDLERVIDDFVFMCLFVGNDFLPHIPSLEISEGAIDLLMTVYKKEFAQMGGYLTNSFEINLIRVEHFVQALGSHESAIFRRRNQMQKEREIRFQRISKRQQAFHSSKSLFDDGVSESSKSSVKLETAPLGQNRQNPRSVAIKESNHFSSNGTSAVVDKIKLGDEGWKERYYAEKFEAKSEDERDTIRRHAVFKYVEGICWVMRYYYEGVCSWQWFYPYHYAPFASDFYGCDQLEIHFTLGEPFKPFDQLMAVLPAASAHALPLFYRKLMIDASSPILDFYPTDFELDNNGKRFSWQAICKLPFIEESRLVSEITKVEHTLTDEERRRNRLGYDVLCVHVSHPLAVKVISLFECKDQSAQPTANGKLKIDPKISGGMNGYIYISEKPEWPLGIFSPIDGMLMIASEQVISVFYEYPPFHSHIPRLPEGVILPNKSVTKCNILHAHHLWHEPAIPSISSKRQIPKSISGPQLAELAHRLVSEYSSSKHLDFNRCAERGLPLDAARMGEMRTQVQPKKRKRGKRNKNCLDGRSKESQVGKGDFVPINNVKNMESSTPRQQGESCGGYGSAGVDEIKIEKSKKRKRSSKNRKRKEGAEGAGIPDGVQKLEISIQEQRNSDHTSGGADGENDYKEEKSRKRKSRKRNKKGNQNDGDAVQNKNAEKLGCCNHHISELQSCILQEQSGGDVHAGGDVALELKTEVESKKRRKIEADGFLINNVEKLESCFLMGQRGRDSNHTNADGLDATSNPVPNNNHQELEDGALELKKELKSKKKKRRSKKRRKIEADGVLINNVEKLGSSPMEQRGGDSKLTNADGLDPTRCPVSNKINQELEGSGLQEQIEQFDHSGANEVDIKPEVKSKRKKRRSKKKRQIELIVPPNDPPDSQSGLPGKQGTFDSNHIGVGGLEMKTEV >Potri.003G221800.1.v4.1 pep chromosome:Pop_tri_v4:3:21555476:21557366:1 gene:Potri.003G221800.v4.1 transcript:Potri.003G221800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221800.v4.1 MRVLFSKIHCPSFICFCKPSPSIYTPGPLKLENSPHVPSTAVISVADASSNDNHVLSDSIEVKEGSVGVDGKQPESQNSLKSSLKRAAFDSKEVDKKKVQWIDFLGKELVEIREFESSETEDSDSEDQSNRGCVCTIL >Potri.003G221800.3.v4.1 pep chromosome:Pop_tri_v4:3:21555476:21555943:1 gene:Potri.003G221800.v4.1 transcript:Potri.003G221800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221800.v4.1 MRVLFSKIHCPSFICFCKPSPSIYTPGPLKLENSPHVPSTAVISVADASSNDNHVLSDSIEVKEGSVGVDGKQPESQNSLKSSLKRAAFDSKEVDKKKVQWIDFLGKELVEIREFESRFVFFCGLCYLYLHFSCTMQCLVLYKVHLLKLMKFGMG >Potri.006G102500.1.v4.1 pep chromosome:Pop_tri_v4:6:7900196:7902955:1 gene:Potri.006G102500.v4.1 transcript:Potri.006G102500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102500.v4.1 MDTKIGSPDTCKPTSNDMINPTNATVSTVQNSVSSTIINPSQSTLGRHLARRLVQIGVTDVFSVAGDFNLILLDHLIAEPGLNLIGCCNELNAGYAADGYARSRGVGACVVTFTVGGLSVLNAIAGANSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCYQAVVNNLEDAHEQIDTAISTALKENKPVYINVSCNLSAIPHPAFCREPVPFSLSPKISNELGLEAAVEAAAEFLNKAVKPVLVGGPKLRPAHASEAFVELADACGYALAVMPSAKGLVPEHHPHFVGTYWGAVSSAFCAEIVESADAYLFAGPIFNDYSSVGYSLLLKKEKAIIVQPDRVMIANGPAFGCVLMKDFFVALAKKIKPNTTAYDNYQRIFVPEGEPLRNAPEEPLRVNVLFQHVQKMLSSETAVIAETGDSWFNCQKLKLPRGCGYEFQMQYGSIGWSVGATLGYAQAVPEKRVIACIGDGSFQVTAQDVSTMLRCRQNTIIFLINNGGYTIEVEIHDGPYNVIKNWNYTSLVDAIHNGEGKCWTAKVFCEDELVEAIETATGPEKDSLCFIEVIVHKDDTSKELLEWGSRVSAANSRQPNPQ >Potri.016G050200.1.v4.1 pep chromosome:Pop_tri_v4:16:3240191:3242820:-1 gene:Potri.016G050200.v4.1 transcript:Potri.016G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G050200.v4.1 MAPSDHSFAYYCCLCITWSTIIIIVVHLFIKTCTSFCNKTRHPPSPLGLPIIGHLHLLSSDLPNSLKTLASRYGPLMKIRFGSTPIYVVSDAKTAKEILKIHDVDFASKYTLGFGLSKFDIYDGYTFFNAPYGTYWRFMKKLCMTKLFRGPQLDRFVHIREQETLKLLKSLVDKSREGKPCDLGEELSVFSSNIICRMVIGNICVEDPNLPIEIRKLVGDIMENAAKFSFNEVFGPLNRFDLLGKGKRLVSATRKYDKLLEQLMKKYEDNFDKLINSGDEEQKDVMIILMEAYKDTNAELKLTRTHIKKFFLEIFFAGVETTATAMQSAITELINNPKAFMKLREEIHSVFGSNYRLLKESDVPKLPFLQAVVKETLRLNPIATLRARQCDVDTRINGYDIKAGTRILINAYAIMRDSDSWEKPDDFFPERFLADSMDTNFDHHPTMDFKGDHDFHFLPFGSGRRACIAASHGLIVTHATIGALVQCFDWEVKDDAKIDNEMATGYSGSRVLPLACYPITRFDPTNA >Potri.011G026900.2.v4.1 pep chromosome:Pop_tri_v4:11:1989972:1993232:-1 gene:Potri.011G026900.v4.1 transcript:Potri.011G026900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026900.v4.1 MDSSSEAGGGGGFALEEHMEAQKISVLDHINGFQCTKEKSDSFVIDMERFSHGINKDSNTNSRITLQRSHSRKGSPRGGGGGEKKINSNLSHLSVSDRDAIVASASPRGPSTPEKAAAVTVGTADHSSSPQLHHQITITTGNMNATPESRCIRRNSFKRSSPSWVLDPKRVLFFFATLSSMGTMLLIYLTLSIGKLNAIDNSLD >Potri.012G045401.1.v4.1 pep chromosome:Pop_tri_v4:12:4127512:4129355:-1 gene:Potri.012G045401.v4.1 transcript:Potri.012G045401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045401.v4.1 MDFYAFYVWLPLPHPVTKRHETQLAPMVLAETLARSKRNIIPCVPDGWTASTLADHLKVGDVRVFEMMKVVRAHLEFKFSEWSPLTRLQIPWMKDQIGVGVSA >Potri.014G072400.3.v4.1 pep chromosome:Pop_tri_v4:14:4604769:4607598:-1 gene:Potri.014G072400.v4.1 transcript:Potri.014G072400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072400.v4.1 MGGKGRRRREKNYRAAHGGSHSLLPPPPKSSQVDALPSKLRKLISLTSQLHDDSAKNSMSGQENTKQEVGDAKKKRAHEEGNEATMVKDEGVEEIVEGSGDEKRKKKKKKKQVNDLRFEMGLHKSKTVEKRRERKKKYLEAKKKKNKKSEEWQDFPGCEQIQFGDVVQAPPKFTAPSKLLKNVHDASRERLRLQAIEAYRGRKGWTSRPGLHLPPPVTTTSLQ >Potri.009G087700.2.v4.1 pep chromosome:Pop_tri_v4:9:8156209:8159526:-1 gene:Potri.009G087700.v4.1 transcript:Potri.009G087700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087700.v4.1 MKILAEALILVFFSIFLGSEHRICQAFSSNYNARTKCLIEPKTAQDGGGIIANPDFTHGMEGWAVYGQGAMKEQMSRNGNRFIVAYNRTQSLDSISQKVQLGGGLIYSFSAWIQINKGSERVAVVFKIPHTERVIGGRVLARNGCWSLLKGGIFANFTSHADILFESNDTSTEIWVDSVSLQQFTLEQWRAQQDKKIDKERKSKVRFKVTYGNGTAVDSATVSIKQTRSEFPFGCGMNFHIIDSTDYQNWFASRFKYTTFTNQMKWYSNEPKQGQENYTVADTMVKFAQQNGISIRGHNILWDDPKYQPEWVKNLTSDELRKAAAKRVDSVVSRYAGQLIAWDVMNENLHFSFFEDKLGKNASSRYFKRAYELDPKTTMFLNEFNTIEYSNDEDVDPISYMKKLSVILSNPGNQGILAGIGLEGHFGVGQPNLAYMRSVLDILSSTGLPIWLTEVDVVKEPNQAEYLEQILREGYCHHAVEGIIMFAGPATAGFNATTLVDKDFKNTPSGDVVDKLIDEWRTKPTETKADGEGYFEMSLFHGDYNITIKNPVTNCSTTLSYRVTKGTTCIHIIA >Potri.009G087700.3.v4.1 pep chromosome:Pop_tri_v4:9:8156362:8159629:-1 gene:Potri.009G087700.v4.1 transcript:Potri.009G087700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087700.v4.1 MKILAEALILVFFSIFLGSEHRICQAFSSNYNARTKCLIEPKTAQDGGGIIANPDFTHGMEGWAVYGQGAMKEQMSRNGNRFIVAYNRTQSLDSISQKVQLGGGLIYSFSAWIQINKGSERVAVVFKIPHTERVIGGRVLARNGCWSLLKGGIFANFTSHADILFESNDTSTEIWVDSVSLQQFTLEQWRAQQDKKIDKERKSKVRFKVTYGNGTAVDSATVSIKQTRSEFPFGCGMNFHIIDSTDYQNWFASRFKYTTFTNQMKWYSNEPKQGQENYTVADTMVKFAQQNGISIRGHNILWDDPKYQPEWVKNLTSDELRKAAAKRVDSVVSRYAGQLIAWDVMNENLHFSFFEDKLGKNASSRYFKRAYELDPKTTMFLNEFNTIEYSNDEDVDPISYMKKLSVILSNPGNQGILAGIGLEGHFGVGQPNLAYMRSVLDILSSTGLPIWLTEVDVVKEPNQAEYLEQILREGYCHHAVEGIIMFAGPATAGFNATTLVDKDFKNTPSGDVVDKLIDEWRTKPTETKADGEGYFEMSLFHGDYNITIKNPVTNCSTTLSYRVTKGTTCIHIIA >Potri.009G087700.1.v4.1 pep chromosome:Pop_tri_v4:9:8156364:8159628:-1 gene:Potri.009G087700.v4.1 transcript:Potri.009G087700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087700.v4.1 MKILAEALILVFFSIFLGSEHRICQAFSSNYNARTKCLIEPKTAQDGGGIIANPDFTHGMEGWAVYGQGAMKEQMSRNGNRFIVAYNRTQSLDSISQKVQLGGGLIYSFSAWIQINKGSERVAVVFKIPHTERVIGGRVLARNGCWSLLKGGIFANFTSHADILFESNDTSTEIWVDSVSLQQFTLEQWRAQQDKKIDKERKSKVRFKVTYGNGTAVDSATVSIKQTRSEFPFGCGMNFHIIDSTDYQNWFASRFKYTTFTNQMKWYSNEPKQGQENYTVADTMVKFAQQNGISIRGHNILWDDPKYQPEWVKNLTSDELRKAAAKRVDSVVSRYAGQLIAWDVMNENLHFSFFEDKLGKNASSRYFKRAYELDPKTTMFLNEFNTIEYSNDEDVDPISYMKKLSVILSNPGNQGILAGIGLEGHFGVGQPNLAYMRSVLDILSSTGLPIWLTEVDVVKEPNQAEYLEQILREGYCHHAVEGIIMFAGPATAGFNATTLVDKDFKNTPSGDVVDKLIDEWRTKPTETKADGEGYFEMSLFHGDYNITIKNPVTNCSTTLSYRVTKGTTCIHIIA >Potri.009G087700.4.v4.1 pep chromosome:Pop_tri_v4:9:8156469:8159071:-1 gene:Potri.009G087700.v4.1 transcript:Potri.009G087700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087700.v4.1 MEGWAVYGQGAMKEQMSRNGNRFIVAYNRTQSLDSISQKVQLGGGLIYSFSAWIQINKGSERVAVVFKIPHTERVIGGRVLARNGCWSLLKGGIFANFTSHADILFESNDTSTEIWVDSVSLQQFTLEQWRAQQDKKIDKERKSKVRFKVTYGNGTAVDSATVSIKQTRSEFPFGCGMNFHIIDSTDYQNWFASRFKYTTFTNQMKWYSNEPKQGQENYTVADTMVKFAQQNGISIRGHNILWDDPKYQPEWVKNLTSDELRKAAAKRVDSVVSRYAGQLIAWDVMNENLHFSFFEDKLGKNASSRYFKRAYELDPKTTMFLNEFNTIEYSNDEDVDPISYMKKLSVILSNPGNQGILAGIGLEGHFGVGQPNLAYMRSVLDILSSTGLPIWLTEVDVVKEPNQAEYLEQILREGYCHHAVEGIIMFAGPATAGFNATTLVDKDFKNTPSGDVVDKLIDEWRTKPTETKADGEGYFEMSLFHGDYNITIKNPVTNCSTTLSYRVTKGTTCIHIIA >Potri.004G211200.6.v4.1 pep chromosome:Pop_tri_v4:4:21737676:21748679:1 gene:Potri.004G211200.v4.1 transcript:Potri.004G211200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211200.v4.1 MSSSDSSSSYADDPSPNPFDNQFKSLKLEQGSDSTIIQKDDAIAQEEGEHESLSENSNNHQETSHDQDDRIDGGDVTSVVWRRTNSEVEVDGPSSPSSSGYAGERGSSGASDDDDIHEVANDGGIDGVFDSQAAWLPGKRHVNEDDASISWRKRKKHFFMLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRIKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLKGQLELIYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSLSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVVSLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPMAFLYAYVHYLEVDTYLMLLTTSSGAFYHLKDCRIRIEMVLLKSNVLSEVQRSMLDGGIHVEDLPGDPFPRSGSVSPRLGQHQQPTDSPERFREPSVGIGGPAGLWHFIYRSIYLDQYISSEFSAPINSPGQQKRLYRAYQKLYVSMHDNGNEPHKTQFRRDENYVLLCWVTPDFELYAAFDPLADKV >Potri.004G211200.2.v4.1 pep chromosome:Pop_tri_v4:4:21737676:21749546:1 gene:Potri.004G211200.v4.1 transcript:Potri.004G211200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211200.v4.1 MSSSDSSSSYADDPSPNPFDNQFKSLKLEQGSDSTIIQKDDAIAQEEGEHESLSENSNNHQETSHDQDDRIDGGDVTSVVWRRTNSEVEVDGPSSPSSSGYAGERGSSGASDDDDIHEVANDGGIDGVFDSQAAWLPGKRHVNEDDASISWRKRKKHFFMLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRIKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLKGQLELIYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSLSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVVSLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPMAFLYAYVHYLEVDTYLMLLTTSSGAFYHLKDCRIRIEMVLLKSNVLSEVQRSMLDGGIHVEDLPGDPFPRSGSVSPRLGQHQQPTDSPERFREPSVGIGGPAGLWHFIYRSIYLDQYISSEFSAPINSPGQQKRLYRAYQKLYVSMHDNGNEPHKTQFRRDENYVLLCWVTPDFELYAAFDPLADKGLAIKTCNRVCQWVKDVENEIFLLGASPFSW >Potri.004G211200.7.v4.1 pep chromosome:Pop_tri_v4:4:21737676:21749222:1 gene:Potri.004G211200.v4.1 transcript:Potri.004G211200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211200.v4.1 MSSSDSSSSYADDPSPNPFDNQFKSLKLEQGSDSTIIQKDDAIAQEEGEHESLSENSNNHQETSHDQDDRIDGGDVTSVVWRRTNSEVEVDGPSSPSSSGYAGERGSSGASDDDDIHEVANDGGIDGVFDSQAAWLPGKRHVNEDDASISWRKRKKHFFMLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRIKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLKGQLELIYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSLSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVVSLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPMAFLYAYVHYLEVDTYLMLLTTSSGAFYHLKDCRIRIEMVLLKSNVLSEVQRSMLDGGIHVEDLPGDPFPRSGSVSPRLGQHQQPTDSPERFREPSVGIGGPAGLWHFIYRSIYLDQYISSEFSAPINSPGQQKRLYRAYQKLYVSMHDNGNEPHKTQFRRDENYGKLFF >Potri.004G211200.5.v4.1 pep chromosome:Pop_tri_v4:4:21737727:21749696:1 gene:Potri.004G211200.v4.1 transcript:Potri.004G211200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211200.v4.1 MSSSDSSSSYADDPSPNPFDNQFKSLKLEQGSDSTIIQKDDAIAQEEGEHESLSENSNNHQETSHDQDDRIDGGDVTSVVWRRTNSEVEVDGPSSPSSSGYAGERGSSGASDDDDIHEVANDGGIDGVFDSQAAWLPGKRHVNEDDASISWRKRKKHFFMLSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRIKLVRAGKHQVVFLVKGPIYLVCISCTEEPYESLKGQLELIYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSLSWNPATFLHAYTCLPLAYATRQAAGAILQDVADSGVLFAILMCKHKVVSLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPMAFLYAYVHYLEVDTYLMLLTTSSGAFYHLKDCRIRIEMVLLKSNVLSEVQRSMLDGGIHVEDLPGDPFPRSGSVSPRLGQHQQPTDSPERFREPSVGIGGPAGLWHFIYRSIYLDQYISSEFSAPINSPGQQKRLYRAYQKLYVSMHDNGNEPHKTQFRRDENYVLLCWVTPDFELYAAFDPLADKGLAIKTCNRVCQWVKDVENEIFLLGASPFSW >Potri.006G101300.3.v4.1 pep chromosome:Pop_tri_v4:6:7764119:7770815:-1 gene:Potri.006G101300.v4.1 transcript:Potri.006G101300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101300.v4.1 MGNCCRSPAAVAREDVKSSFSGHDHGKKDNTAKKTPQPITVLTGFPKENIEERYLVDRELGRGEFGVTYLCIERDSRELLACKSISKRKLRTAVDIEDVRREVAIMKHLPKSSSIVSLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFASKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKRDPWPNISENAKSLVRQMLEPDPKLRLTAKQVLEHPWLLNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSIEEVEDIKEMFKKMDTDNDGIVSIEELKTGLRSFGSQLGESEVQMLIEAVDANGKGKLDYGEFVAVSLPLQRMDNDEHLRKAFSYFDKDGNGYILPDELRDALMEDGADDCTDVANDIFQEVDTDKDGRISYDEFFAMMKTGTDWRKVSRHYSRGRFNSLSMKLMKDGSLNLGSE >Potri.011G125401.1.v4.1 pep chromosome:Pop_tri_v4:11:15926071:15929752:1 gene:Potri.011G125401.v4.1 transcript:Potri.011G125401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125401.v4.1 MGAFTVLFACSLFSMLINSATSNIIYPSQSIRDGATLLSTGGKFELGFFSPGNSTKRFLGIWYKKSPRTVIWVANREVPLSNTLGALNISSKGILVLYSSTNDIVWSSNSSRTAEDSVAELLETGNLVVREGNDSNPDNFLWQSFDHPGDTMILGMKLGSNFVTKIDKFLSSWKSAEDPARGEYSFVIDTHGYPQLLLKRGNITLFRAGPWNGIKFIANPRPIPISNEFVFNSKEIYFQFGAQTSVLSRLTLSPLGLPQSFTWNDRTNDWVITDVGQFDQCENYAFCGPNTRCEMSRSPICACLDGFIPKSLADWNFSDWSDGCIRRTPLECSDKVGFLKYTGMKLPDTSSSWYDKSISLKECQGLCLKNCSCTAYANLDIRQGGSGCLIWFGDLIDTRRSTGDGQDLFVRMNASELGVPTKKRTFSKKLAGIVSSAIVAGIGMLMLGFIISMRKWNLRKKNHCEEREEDMQLPIFDMSTIAHATDAFSNSNKLGEGGFGPVYKGILIGGQQIAVKRLSKSSGQGLDEFKNEVMLIAKLQHRSLVKLLGCCIHEDERMLIYEYMPNKSLDSFIFDQTGRKLLDWSQRINIIGGIARGLLYLHQDSRLRIIHRDIKASNILLDDAVNPKISDFGLARMFGGDQTEAKTSRIVGTYGYMSPEYASNGYFSVKSDAFSFGVLVLEIVSGKKNRGFRHLDPNLNLLGHAWMLWIKGTPFELIDEFLIESCNLSEVLRCIHVALLCVQQRPEDRPNMSAVVLILGSEIPLPQPKQPGFFMGENPHEHSTSSNSHVTYSGDEVSLISLDPR >Potri.011G125401.2.v4.1 pep chromosome:Pop_tri_v4:11:15926190:15929749:1 gene:Potri.011G125401.v4.1 transcript:Potri.011G125401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125401.v4.1 MGAFTVLFACSLFSMLINSATSNIIYPSQSIRDGATLLSTGGKFELGFFSPGNSTKRFLGIWYKKSPRTVIWVANREVPLSNTLGALNISSKGILVLYSSTNDIVWSSNSSRTAEDSVAELLETGNLVVREGNDSNPDNFLWQSFDHPGDTMILGMKLGSNFVTKIDKFLSSWKSAEDPARGEYSFVIDTHGYPQLLLKRGNITLFRAGPWNGIKFIANPRPIPISNEFVFNSKEIYFQFGAQTSVLSRLTLSPLGLPQSFTWNDRTNDWVITDVGQFDQCENYAFCGPNTRCEMSRSPICACLDGFIPKSLADWNFSDWSDGCIRRTPLECSDKVGFLKYTGMKLPDTSSSWYDKSISLKECQGLCLKNCSCTAYANLDIRQGGSGCLIWFGDLIDTRRSTGDGQDLFVRMNASELGVPTKKRTFSKKLAGIVSSAIVAGIGMLMLGFIISMRKWNLRKKNHCEEREEDMQLPIFDMSTIAHATDAFSNSNKLGEGGFGPVYKGILIGGQQIAVKRLSKSSGQGLDEFKNEVMLIAKLQHRSLVKLLGCCIHEDERMLIYEYMPNKSLDSFIFGANPSELIRLKFYKFY >Potri.016G040632.1.v4.1 pep chromosome:Pop_tri_v4:16:2550561:2551487:-1 gene:Potri.016G040632.v4.1 transcript:Potri.016G040632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040632.v4.1 MTGDLRSAGVVQVVYVGCGVASFSAYLLPLDIQTMSFAPKDGRENQIQFSLERGIGAMTAAITTKQLPYPSSSFEMVHCSRCCVDWHENDGILLKEVNRLLRDNGYLSIQLHVFIERIKIIH >Potri.002G141500.1.v4.1 pep chromosome:Pop_tri_v4:2:10627524:10629646:1 gene:Potri.002G141500.v4.1 transcript:Potri.002G141500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141500.v4.1 MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWINEDSTYKYFEVILVDAAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRATWKRNQTLSLRRYR >Potri.001G362200.1.v4.1 pep chromosome:Pop_tri_v4:1:38077749:38083566:-1 gene:Potri.001G362200.v4.1 transcript:Potri.001G362200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G362200.v4.1 MHLFDSTKLGLFSSLSNSSSLMYSGASFLLNPIFLRGFSGSLHLVLLLALVVSYAWKKLMRGDGGEGSGERFRNNKRFLCSKQTLLCCLGVSVFNLFLCLLSYFYWYKNGWSDDKLVNLFDSVLRTFSWGALSVYLYTLNSGEKRFSFLLRVWWVFYFSISCYCLVVDFLFYHKHESSEVRYLVSDIVSVFTALFLCYVGFLRHETKDSLLEQPFMNVDISSDTSNTPTLESNKSRGGDAVTTYANAGPFSILTFYWMNSLIAFGNKKTLDLEDVPQLDSLDSVFRGFPAFRNKLESHNGASSRVTPFKLAKTLFLSAWKEILWTALLAIIYTSASYVGPYLIDAFVQCLDGRGEYKNQGYILASTFFVAKLVECISQRHWFFRLQQIGFRHRAVTTTMIYNKGLTLSNQSKQGQTSGEIINIMTVDADRIGEFSWYMHDPWLILLQVGLALLILYQNLGLASVAAFVATIVVMLINYPLGRLQETFQDKLMDSKDKRMRATTEILRNMRILKLQGWEMKFLSKILELRDVETGWLKKYVYNSAMVMSVFWLAPTLVAVATFGACMLIGIPLESGKILSALATFRVLQMPIYSLPDTLSMTVQTKVSLDRIASFLCLDDLQTDVLEMQPIGTSDTAIEIADGNFSWDLSSPSATLKDVNVRVLHGMRVAVCGTVGSGKSSLLSCVLGELHKISGTLKLCGTKAYVAQSAWIQSGKIEENILFGKEMDRERYERILEACSLKKDLEIMSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLPAADLILVMKDGKIAQAGKYDDILCSGSDFMELVGAHEAALSVLDSKQKGPASDTDGIVQKQGKNDYENGKSDKVAEPKAQIIQEEERETGSVGFPIYWNYITTAYGGGLVPFILLAQILFQVLQISSNYWMAWAAPVSKDVKPVVSGSTLIIVYVLFAIGSSICILARATLHATAGYKTATLLFNKMHLCIFRAPMSFFDATPSGRIINRVSTDQSAVENRIPYLIGALAFSVIQLLGIIAVMSQVAWQVFIVFIPVIAACIWYQRYYISSARELSRLVGVCKAPVIQHFAETISGATTIRSFDQQSRFQETNMKVTDAYSRPKFHIAGAREWLCFRLDMFSSVTFAFSLAFLVSFRSNIDPAIAGLAVTYGLNLNMLQASVIWNLCDCENKIISVERIIQYMSIPSEPPLLVEANRPGRSWPSHGEVDINNLQVRYAPQLPLVLRGITCTFPGGKKTGIVGRTGSGKSTLIQTLFRIVKPAAGQIMIDGLDISSIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEEHTDELIWEALDKCQLGDEVRKKERKLDSTVNENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTSTDNLIQQTLRQHFSDCTVITIAHRITSVLDSDMVLLLSHGAY >Potri.001G362200.3.v4.1 pep chromosome:Pop_tri_v4:1:38077705:38083427:-1 gene:Potri.001G362200.v4.1 transcript:Potri.001G362200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G362200.v4.1 MYSGASFLLNPIFLRGFSGSLHLVLLLALVVSYAWKKLMRGDGGEGSGERFRNNKRFLCSKQTLLCCLGVSVFNLFLCLLSYFYWYKNGWSDDKLVNLFDSVLRTFSWGALSVYLYTLNSGEKRFSFLLRVWWVFYFSISCYCLVVDFLFYHKHESSEVRYLVSDIVSVFTALFLCYVGFLRHETKDSLLEQPFMNVDISSDTSNTPTLESNKSRGGDAVTTYANAGPFSILTFYWMNSLIAFGNKKTLDLEDVPQLDSLDSVFRGFPAFRNKLESHNGASSRVTPFKLAKTLFLSAWKEILWTALLAIIYTSASYVGPYLIDAFVQCLDGRGEYKNQGYILASTFFVAKLVECISQRHWFFRLQQIGFRHRAVTTTMIYNKGLTLSNQSKQGQTSGEIINIMTVDADRIGEFSWYMHDPWLILLQVGLALLILYQNLGLASVAAFVATIVVMLINYPLGRLQETFQDKLMDSKDKRMRATTEILRNMRILKLQGWEMKFLSKILELRDVETGWLKKYVYNSAMVMSVFWLAPTLVAVATFGACMLIGIPLESGKILSALATFRVLQMPIYSLPDTLSMTVQTKVSLDRIASFLCLDDLQTDVLEMQPIGTSDTAIEIADGNFSWDLSSPSATLKDVNVRVLHGMRVAVCGTVGSGKSSLLSCVLGELHKISGTLKLCGTKAYVAQSAWIQSGKIEENILFGKEMDRERYERILEACSLKKDLEIMSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLPAADLILVMKDGKIAQAGKYDDILCSGSDFMELVGAHEAALSVLDSKQKGPASDTDGIVQKQGKNDYENGKSDKVAEPKAQIIQEEERETGSVGFPIYWNYITTAYGGGLVPFILLAQILFQVLQISSNYWMAWAAPVSKDVKPVVSGSTLIIVYVLFAIGSSICILARATLHATAGYKTATLLFNKMHLCIFRAPMSFFDATPSGRIINRVSTDQSAVENRIPYLIGALAFSVIQLLGIIAVMSQVAWQVFIVFIPVIAACIWYQRYYISSARELSRLVGVCKAPVIQHFAETISGATTIRSFDQQSRFQETNMKVTDAYSRPKFHIAGAREWLCFRLDMFSSVTFAFSLAFLVSFRSNIDPAIAGLAVTYGLNLNMLQASVIWNLCDCENKIISVERIIQYMSIPSEPPLLVEANRPGRSWPSHGEVDINNLQVRYAPQLPLVLRGITCTFPGGKKTGIVGRTGSGKSTLIQTLFRIVKPAAGQIMIDGLDISSIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEEHTDELIWEALDKCQLGDEVRKKERKLDSTGFSFLPATTIQFSCIAS >Potri.001G362200.2.v4.1 pep chromosome:Pop_tri_v4:1:38077528:38083555:-1 gene:Potri.001G362200.v4.1 transcript:Potri.001G362200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G362200.v4.1 MHLFDSTKLGLFSSLSNSSSLMYSGASFLLNPIFLRGFSGSLHLVLLLALVVSYAWKKLMRGDGGEGSGERFRNNKRFLCSKQTLLCCLGVSVFNLFLCLLSYFYWYKNGWSDDKLVNLFDSVLRTFSWGALSVYLYTLNSGEKRFSFLLRVWWVFYFSISCYCLVVDFLFYHKHESSEVRYLVSDIVSVFTALFLCYVGFLRHETKDSLLEQPFMNVDISSDTSNTPTLESNKSRGGDAVTTYANAGPFSILTFYWMNSLIAFGNKKTLDLEDVPQLDSLDSVFRGFPAFRNKLESHNGASSRVTPFKLAKTLFLSAWKEILWTALLAIIYTSASYVGPYLIDAFVQCLDGRGEYKNQGYILASTFFVAKLVECISQRHWFFRLQQIGFRHRAVTTTMIYNKGLTLSNQSKQGQTSGEIINIMTVDADRIGEFSWYMHDPWLILLQVGLALLILYQNLGLASVAAFVATIVVMLINYPLGRLQETFQDKLMDSKDKRMRATTEILRNMRILKLQGWEMKFLSKILELRDVETGWLKKYVYNSAMVMSVFWLAPTLVAVATFGACMLIGIPLESGKILSALATFRVLQMPIYSLPDTLSMTVQTKVSLDRIASFLCLDDLQTDVLEMQPIGTSDTAIEIADGNFSWDLSSPSATLKDVNVRVLHGMRVAVCGTVGSGKSSLLSCVLGELHKISGTLKLCGTKAYVAQSAWIQSGKIEENILFGKEMDRERYERILEACSLKKDLEIMSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLPAADLILVMKDGKIAQAGKYDDILCSGSDFMELVGAHEAALSVLDSKQKGPASDTDGIVQKQGKNDYENGKSDKVAEPKAQIIQEEERETGSVGFPIYWNYITTAYGGGLVPFILLAQILFQVLQISSNYWMAWAAPVSKDVKPVVSGSTLIIVYVLFAIGSSICILARATLHATAGYKTATLLFNKMHLCIFRAPMSFFDATPSGRIINRVSTDQSAVENRIPYLIGALAFSVIQLLGIIAVMSQVAWQVFIVFIPVIAACIWYQRYYISSARELSRLVGVCKAPVIQHFAETISGATTIRSFDQQSRFQETNMKVTDAYSRPKFHIAGAREWLCFRLDMFSSVTFAFSLAFLVSFRSNIDPAIAGLAVTYGLNLNMLQASVIWNLCDCENKIISVERIIQYMSIPSEPPLLVEANRPGRSWPSHGEVDINNLQVRYAPQLPLVLRGITCTFPGGKKTGIVGRTGSGKSTLIQTLFRIVKPAAGQIMIDGLDISSIGLHDLRSRLSIIPQDPTMFEGTIRSNLDPLEEHTDELIWEALDKCQLGDEVRKKERKLDSTVNENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTSTDNLIQQTLRQHFSDCTVITIAHRITSVLDSDMVLLLSHGLVMEYDSPTRLLENKSSSFAQLVAEYTIRSNAN >Potri.013G127000.1.v4.1 pep chromosome:Pop_tri_v4:13:13434434:13446729:-1 gene:Potri.013G127000.v4.1 transcript:Potri.013G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127000.v4.1 MIETAALDFLVPSWWEIKVTVAAAMFVILSFCFFSWSGGGGGDGGGGDRAVVLENSGDGIDEKDKMGQLKGDSQANSAYIIKLELLAAKNLIGANLNGTSDPYAIITCGSEKRFSSMVPGSRNPMWGEEFNFSVDELPVQINVTIYDWDIIWKSAVLGSVTVPVESEGQTGAEWYTLDSPSGQVCLHIKTIKVPANSARAVNGYAGANPRRRISSDKQGPTVVHQKPGPLQTIFSLLPDEVVEHSYSCALERSFLYHGRMYVSAWNICFHSNVFSKQMKVVIPFGDIDEIRRSQHAFINPAVTIILRMGAGGHGVPPLGSPDGRVRYKFASFWNRNHALRGLQRAAKNYRTMLEAEKKERAESALRAHSSSIRSGTRQANIPEDVVQKAGKLQAFIKEEVLVGIYNDLFPCTAEQFFNICLKDGSTFINEYRSVRKDSNLVMGQWHAADEYDGQVREITFRSLCNSPMCPPDTAVTEWQHFVLSPDKKKLVFETVQQPHDVPFGSYFEIHCRWSLETNGENSCAMDIKAGAHFKKWCVMQSKIRSGAINEYKKEVDLMLEMARASAKSHMSSGETTDKSSSSPIITQDSS >Potri.006G218100.2.v4.1 pep chromosome:Pop_tri_v4:6:22331390:22334149:-1 gene:Potri.006G218100.v4.1 transcript:Potri.006G218100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218100.v4.1 MVTQIVRTSILSYKSNGPQVFAYLPVRRKWQRETLLGWLQNRLMTTSKRVQDRSTTKRVQDLEIVTEKWKIVSKVKAVMEILNEEPETIIPVRNLERHRIKINLPKPHKISDFLRKSPKLFELYKDQRGVLWCGMTKEAEDLVEEEGRLIEEHSEKVAEYVTRCLMMSVDRRLRLDKIANFRRDFGLPVDFRDKWVHRYPELFKVVKLVDEVSYLELVSWNPDWAITQLEKRVLGVNESSNHQPGMLSLSFPLRFPSNYKKVYRHREKIDHFQKRSYLSPYADAKGLTAGSLEFDKRAVAIMHELLSFTLEKRLVTDHLTHFRTEFVMPQKLMRLLLKHMGIFYVSERGKRFSVFLTEAYEGQELIEKCPLVVWKEKLLSLVGYREKKKKILTFGDLSDLEDSGLIESSSEHENISMHFGHEDTMVGVEDALLADNDEMNIGEIGLAGWNSEKS >Potri.006G218100.3.v4.1 pep chromosome:Pop_tri_v4:6:22331352:22334266:-1 gene:Potri.006G218100.v4.1 transcript:Potri.006G218100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218100.v4.1 MVTQIVRTSILSYKSNGPQVFAYLPVRRKWQRETLLGWLQNRLMTTSKRVQDRSTTKRVQDLEIVTEKWKIVSKVKAVMEILNEEPETIIPVRNLERHRIKINLPKPHKISDFLRKSPKLFELYKDQRGVLWCGMTKEAEDLVEEEGRLIEEHSEKVAEYVTRCLMMSVDRRLRLDKIANFRRDFGLPVDFRDKWVHRYPELFKVVKLVDEVSYLELVSWNPDWAITQLEKRVLGVNESSNHQPGMLSLSFPLRFPSNYKKVYRHREKIDHFQKRSYLSPYADAKGLTAGSLEFDKRAVAIMHELLSFTLEKRLVTDHLTHFRTEFVMPQKLMRLLLKHMGIFYVSERGKRFSVFLTEAYEGQELIEKCPLVVWKEKLLSLVGYREKKKKILTFGDLSDLEDSGLIESSSEHENISMHFGHEDTMVGVEDALLADNDEMNIGEIGLAGWNSEKS >Potri.006G218100.1.v4.1 pep chromosome:Pop_tri_v4:6:22331353:22334265:-1 gene:Potri.006G218100.v4.1 transcript:Potri.006G218100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218100.v4.1 MVTQIVRTSILSYKSNGPQVFAYLPVRRKWQRETLLGWLQNRLMTTSKRVQDRSTTKRVQDLEIVTEKWKIVSKVKAVMEILNEEPETIIPVRNLERHRIKINLPKPHKISDFLRKSPKLFELYKDQRGVLWCGMTKEAEDLVEEEGRLIEEHSEKVAEYVTRCLMMSVDRRLRLDKIANFRRDFGLPVDFRDKWVHRYPELFKVVKLVDEVSYLELVSWNPDWAITQLEKRVLGVNESSNHQPGMLSLSFPLRFPSNYKKVYRHREKIDHFQKRSYLSPYADAKGLTAGSLEFDKRAVAIMHELLSFTLEKRLVTDHLTHFRTEFVMPQKLMRLLLKHMGIFYVSERGKRFSVFLTEAYEGQELIEKCPLVVWKEKLLSLVGYREKKKKILTFGDLSDLEDSGLIESSSEHENISMHFGHEDTMVGVEDALLADNDEMNIGEIGLAGWNSEKS >Potri.002G134000.2.v4.1 pep chromosome:Pop_tri_v4:2:10102575:10103533:-1 gene:Potri.002G134000.v4.1 transcript:Potri.002G134000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134000.v4.1 MDPRPSPTPQNSNQTPNKPRQRDFLNHLEVYLAKRDGVDKLLKISRYATKIILASSLLPETLILTKRLKSFESSVGLSRKAFRLGKFVQDVNALRDSPFDTKQETILSIIAYGGEGLYYFVEQFVWLAKSGLIDSKHSKSLGKVSAWAEFVGYIGSISLKFRDLKKLSEDEVCLESSIGVTVTRGVGCQEGERRLWKLREKKLMKKLSIVQDFADGLMALADIRDGRGRFSGPLFMSCAGLLSALISTRKNWVSC >Potri.006G244600.2.v4.1 pep chromosome:Pop_tri_v4:6:24532103:24533198:-1 gene:Potri.006G244600.v4.1 transcript:Potri.006G244600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244600.v4.1 MASTAGESRRRKNVDRGSDRLALITCQIHALPPGYPSQPLNSQDPPLHLSNKITESSLAKPSRSTSGTEQNLKQQRWISSSVTPNQITSAIAASEKSRLRCSVVVALSAVLSHLGFPLLGSNLISSIINFRPLYLVLLTNVTLVLFNNQRAFKGAVEAENKIPSTGGTDWTEQASEALKVAW >Potri.010G038300.1.v4.1 pep chromosome:Pop_tri_v4:10:6789160:6790864:-1 gene:Potri.010G038300.v4.1 transcript:Potri.010G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038300.v4.1 MVHFRLHSGVLLYTLVVLFPQLCLGIRSIPTRETNTGAVEVPNGFRFSEAPDYRNGRDCPVSTTNGRSVSSCDPSLVHIAMTLDSEYLRGSIAAVHSVLKHASCPESIFFHFVAAEFDPASPRVLTQLVRSTFPSLNFKVYIFREDTVINLISSSIRQALENPLNYARNYLGDMLDLCVDRVIYLDSDIVVVDDIHKLWNTALSGSRVIGAPEYCHANFTQYFTSVFWSDPVMSGTFSSARRKPCYFNTGVMVMDLVRWREGDYKRRIEKWMEIQKKTRIYELGSLPPFLLVFAGDVEAIDHRWNQHGLGGDNVRGSCRSLHPGPVSLLHWSGKGKPWVRLDAKKPCKLDHLWEPYDLYISNSKGYYYAHNDHQSMGFFTGSSYL >Potri.017G120301.1.v4.1 pep chromosome:Pop_tri_v4:17:12643552:12644275:1 gene:Potri.017G120301.v4.1 transcript:Potri.017G120301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120301.v4.1 MTFRRHPPPPPPDPFAPPPPPDPFAPPPPPPHRPFDSPPRHRFAPPPPPRPFDTPPPDPFAPPPPPPRPFGHQPPHHHHHPPPPPGPPGPPGPPPPPFFDPYRPPPPPY >Potri.005G029000.1.v4.1 pep chromosome:Pop_tri_v4:5:1841299:1853744:-1 gene:Potri.005G029000.v4.1 transcript:Potri.005G029000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029000.v4.1 MAPARKKSVNKRFLNEVSPEKEVKSSGKSKQQVNGKKKLSDKLGPQWKKAELERFYKAYRDNGKNWKKVAAEVRNRSVEMVEALYNMNRAYLSLPEGTASVVGLIAMMIDHYSVLEASDSERESNEMPGVLRKLQKHKRPKVLLSASKEDPQHFRMVGSTDGCLSLLKRGCGRPLHAVGKRTPRFPVSYLRKKDDGENYVSPKKKRRKLEINADDNDDEHAAVLALTEALQRVDSPQMSQTPCRRTENMKSSPVQSWDRMSESSPANLCDASINENWSESGIGRGGPDLACVRDASSLAEMEGIGTVEVHRKGKKFYGNKIKVEKIGNSQSDDGGEACSGTEKEQKASTLKGKVEIEMSNAKIDETFHRSQRKRSKKLFSDDEPADLIGLQTLALVSAMEFESSCLLDDERTTQNGDHKSSIPESASTSHHRDKTKFSRQKEKATSDVEGATSRKSKLGRYPQSSAKSVSEANKRPQSISNDMLKRKREALVAKVLDEEENTSVVKGKHSAQISSPSKQLKSLKLPDGSFSGDQKTISNDLATSTEQVPVASQVILPTRKTSRRKMDLKRAMIPKVNVLKNQISKYSISLQDEATHLKDKLSCVVSSPMVRRWCTYEWFYSAVDYPWFSRREFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLQQYRESVRKHYMELRTGLREGLPTDLARPLSVGQRVIAIHPKTRDLHDGSVLTVDHDRCRVQFDRAELGVEFVKDIDCMPSNPLDNMPEALRRQKTSVLPKELPVNGKSSIGEFTAIEKLRNAQSPMNALMKQAQVEANHANLLAKATSTDIVNAQGACGQPSRVSQIQLKKYDIRALSELNCALDKKASSALLNVPAWLKPPANSCFSGMLRPQSSCVSQDSGSAVLEIVRGLRLKAHIMVDAAVQAISSMKEGEDAFARIGEALDSMDRSHLGSESRAQMIRSQEEANTGLGLQNQLIPSTPEPQVNCNVSGPQSNDSEKIETAIPSELISSCVAALLMIQTCTERQYPPSDVAQIIDSAVTSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Potri.005G029000.2.v4.1 pep chromosome:Pop_tri_v4:5:1841299:1853744:-1 gene:Potri.005G029000.v4.1 transcript:Potri.005G029000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029000.v4.1 MAPARKKSVNKRFLNEVSPEKEVKSSGKSKQQKKKLSDKLGPQWKKAELERFYKAYRDNGKNWKKVAAEVRNRSVEMVEALYNMNRAYLSLPEGTASVVGLIAMMIDHYSVLEASDSERESNEMPGVLRKLQKHKRPKVLLSASKEDPQHFRMVGSTDGCLSLLKRGCGRPLHAVGKRTPRFPVSYLRKKDDGENYVSPKKKRRKLEINADDNDDEHAAVLALTEALQRVDSPQMSQTPCRRTENMKSSPVQSWDRMSESSPANLCDASINENWSESGIGRGGPDLACVRDASSLAEMEGIGTVEVHRKGKKFYGNKIKVEKIGNSQSDDGGEACSGTEKEQKASTLKGKVEIEMSNAKIDETFHRSQRKRSKKLFSDDEPADLIGLQTLALVSAMEFESSCLLDDERTTQNGDHKSSIPESASTSHHRDKTKFSRQKEKATSDVEGATSRKSKLGRYPQSSAKSVSEANKRPQSISNDMLKRKREALVAKVLDEEENTSVVKGKHSAQISSPSKQLKSLKLPDGSFSGDQKTISNDLATSTEQVPVASQVILPTRKTSRRKMDLKRAMIPKVNVLKNQISKYSISLQDEATHLKDKLSCVVSSPMVRRWCTYEWFYSAVDYPWFSRREFVEYLNHVGLGHIPRLTRVEWGVIRSSLGKPRRFSERFLHEEREKLQQYRESVRKHYMELRTGLREGLPTDLARPLSVGQRVIAIHPKTRDLHDGSVLTVDHDRCRVQFDRAELGVEFVKDIDCMPSNPLDNMPEALRRQKTSVLPKELPVNGKSSIGEFTAIEKLRNAQSPMNALMKQAQVEANHANLLAKATSTDIVNAQGACGQPSRVSQIQLKKYDIRALSELNCALDKKASSALLNVPAWLKPPANSCFSGMLRPQSSCVSQDSGSAVLEIVRGLRLKAHIMVDAAVQAISSMKEGEDAFARIGEALDSMDRSHLGSESRAQMIRSQEEANTGLGLQNQLIPSTPEPQVNCNVSGPQSNDSEKIETAIPSELISSCVAALLMIQTCTERQYPPSDVAQIIDSAVTSLHPCCPQNLPIYREIQMCMGRIKTQILALIPT >Potri.007G004800.1.v4.1 pep chromosome:Pop_tri_v4:7:352646:356086:-1 gene:Potri.007G004800.v4.1 transcript:Potri.007G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004800.v4.1 MAHKNIGNRQEAVIDIVKPISFTGGLEFSSLTYTVTKSQKLEGKWIKQKVDLLNRITGYAPKGCITAVMGPSGAGKSTLLDGLAGRISSGSLKGRVSLDGMEMSPSLIKRTSAYIMQDDRLFPTLTVYETLMFAADFRLGQISRADKKQRVEKLIEQLGLSSSRNTYIGDEGTRGVSGGERRRVSIGVDIIHGPSLLFLDEPTSGLDSTSAHSVIEKVHHIARSGSTVILTIHQPSSRIQLLLDHLIILARGQLMYQGSPKDVTLHLSRMGRKVPKGENSIEYLIDAIQEYDQSELGVEALAEFARTGMKPPLLSNGDVSISTIAPTPPLRHSSHHQKGGRPGDRPNDGKRLHLQTSTHEPNEFDHSVRSPFNNTSRSWSASHSGVVQTLRFTPSRQRNDKTIQNPMSSSPGYYTFSSEILPGTPTPHSSDYTVNENDYLTPNVPQKTHLLGPKFTNSFFSETWILMRRNFKNIRRTPELFLSRLMVLTVMGFMMATMFMHPKQDLQGITNRLSFFIFTVCLFFFSSNDAVPAFIQERFIFVRETSHNSYRASSYTIAGLITYLPFLALQSGVYACIVWKALGLRGPFFYFLLVLYMSLLSTNSFVVFVSSVVPNYILGYAAVIAFTALFFLFCGYFLNSHDIPIYWRWMNKISTMTYSYEGLLMNQYQTSDPFGINPAGQIVNGTTILKSLGISTDESKKWENVLVMLGWAVLYRIFFYIVLRFFSKNQRS >Potri.001G408400.1.v4.1 pep chromosome:Pop_tri_v4:1:43575799:43576089:-1 gene:Potri.001G408400.v4.1 transcript:Potri.001G408400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408400.v4.1 MSAAGGAWIVAAAIATVEALKDQLGICRWNYTIRSLEEQAKKSLRSSSQVKTHSSSNSSFTSTMIDQVGNVEMKKSELYADKIMHLGCWGPNTVRF >Potri.011G074501.1.v4.1 pep chromosome:Pop_tri_v4:11:7856001:7856486:1 gene:Potri.011G074501.v4.1 transcript:Potri.011G074501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074501.v4.1 MANPITKVSSESNSNDSKHFFLHYFGNPRTQSYGYGKYRISNPRRKRRETDTQFKVSKQNSILNLIDTYRILWKAVFDESRMYGLEGGLSYLSRSTLQYGVKKPK >Potri.006G253700.2.v4.1 pep chromosome:Pop_tri_v4:6:25161106:25162471:-1 gene:Potri.006G253700.v4.1 transcript:Potri.006G253700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253700.v4.1 MLLAVLISNSEGNILIELRKDHIGEFFLVKLGADNLKGARNEELFVASHKLVYVIYTVIGDVCLYVVGKDEYNELALAEVIFIITASIRDVCQKPPSERLFPDKYGRICLCLEEIVWKGVLENTEKERINRLIRLKPPTNI >Potri.018G037300.2.v4.1 pep chromosome:Pop_tri_v4:18:2957564:2959805:-1 gene:Potri.018G037300.v4.1 transcript:Potri.018G037300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037300.v4.1 MGQFQFPFQQKISTLKPCCYSFASSPIASHYPFPSSLTVPPRRFSHPKLTVPTNSSYVLRSLRAAPSRFVCKSNGSDMTRQLELGKPEERRKPEKRVNGIFWIILINLGMFVADHIFQVRSIKSLYLYHNWPAWYQFVTATFCHASWEHLSSNLFFLYIFGKLVEEEEGNFALWLSYILTGVGANLVSWLVLPRNAVSVGASGAVFGLFAISVLVKITWDWRKILEVLILGQFVIEKVMEAAQASAAMTGPFRGGYPVQTVNHIAHLSGALVGVVLVWLVSRIPSPPDHEGQTSQGKSGKIR >Potri.014G119600.1.v4.1 pep chromosome:Pop_tri_v4:14:8036259:8040723:1 gene:Potri.014G119600.v4.1 transcript:Potri.014G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119600.v4.1 MEELGLVQFDAMGSTVRKKRSQISRRPKDSQTFTDNYDRSSLSISPPSDDMSKASSDENADNCRRKEFGLNQSVSRVSSATTRAERKRAFSDSHPRRSVVNNKTSREGALAPANWRSKSKLKECMDVESRTANMYCGRNGESWSSEQSGVSLDVLGNESKFKKVKFKVGDVTHTINANSTTNGVPSTKNPRFSDTSNTRQKLSLQGNLEEERFVSGKRPGLQGVPWKEFSRGGFSLGKEDFLMGKTSGKNTAGKQGNKYERKNKRAPKRHVLDGEFGEDDDDDEIRYLEKLKLKVPSGHKEDDGNDDDESSKKQRKLSTLGSIGASRLVKDGKKISRSDQAPEDEDYEEEEPLSDGEFAGSKKKQKKEYVESLTDGKRELTLTKRQRALQSSKDGSSVPDANLIEFPNGLPPAPSKKQKEKLTEVEQQSKKAEAAQRRRLQVEKAARESEAEAIRKILGQDSSRKKREEKVKKRLEELAQEKATNAEMHASSTIRWVMGPTGTVVTFPKEMGLPSIFDSKPCSYPPPREKCAGPSCTNPYKYRDSKSKLPLCSLQCYKAIQQQSQHETN >Potri.001G427440.1.v4.1 pep chromosome:Pop_tri_v4:1:45558731:45564440:-1 gene:Potri.001G427440.v4.1 transcript:Potri.001G427440.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G427440.v4.1 MLIILDDVWKHIDLEEIGIPFGDDHRGCKILLTTRVQGICFSMECQQKVLLRVLPEDEAWDLFRINAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSRVQWEVASKQLKESHFVRMEQIDEQNNAYTCLKLSYDYLKYEETKSCFVLCCLFPEDYDIPIEDLTRYAVGYGLHQDAEPIEDARKGVSVAIENLKDCCMLLGTETEEHVRMHDLVRDFAIQIASSEEYGFMVKAGIGLEKWAMRNKSFEGCTTISLMGNKLAELPEGLVCPQLKVLLLELEDGMNVPERFFEGMKEIEVLSLEEGVCRCNHLNSQRNFNRWC >Potri.009G027400.1.v4.1 pep chromosome:Pop_tri_v4:9:3886042:3889532:1 gene:Potri.009G027400.v4.1 transcript:Potri.009G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027400.v4.1 MKSIRLTSKLKTFFQFSKKVYKFNNIQLKNLHQLYSPISTKSSCSGFFIGKDSVALSKALSFCENSKSFILGTQIHGYIIKLGFSSDVFVSNNLIKFYAKGAVLRYGFNVFDGMLERNVVSWTLMVCGAIQCEEVELGLEVFLEMIRDGFVPNEFGLGSVMKACGNSVEGRVFGLCVHCFALKIGMERNPFVSCSVLSFYAKLGDIGAAERVFESLEEVDVGCWNAMIGGYAQCGYGFEAIVTASLMRRKGIFMDKYTFINVIQGCSLLGDLNFGRQIHGLIIRSELELSAPVMNALMDMYFKNGGMKSGLVVFKKMHDRDVVTWNTVFGSFSQHEDPKDIASLFHSFLLTSMRPNHITFSILFRECGKLLNLDLGLQFCCLALHFGLFDEANITSALINMFSRCGKMEMAHLVFKSKVSENIIIWNELISGYKLNCCDAEALKTFYDLLQLGVEANEYTFSNVLETCSRSENQLMNRQIHGVAFKSGFASHGYVCSSLIKGYIKCGLLDDSLKVFNMLDRPDMAAWGTMISAFVHQGWDCEAIRSLNLLIEAGEKPDEFILGSILSSCASTVAYCQTKSVHSLIIKLGFEGHVFVASAVLDAYAKCGDIQSAKMAFNQSCKSSDVVIYNAMIIAYAHHGRVVEALDTYDKMKLANLQPSQATFVSVIAACGHIGHVEKGCRLFKSMDLYGMEPSPDIYGCLVDMFSRNGYLEDAKQIIESLPYPAWPAILRSLLSGCRMYGNRELGEWAAKKLLQLVPHNDAAHALLFKVYSELGNWEDAAKMRREMAERGLRKDPGHSWIEAW >Potri.004G179000.4.v4.1 pep chromosome:Pop_tri_v4:4:19320567:19333551:1 gene:Potri.004G179000.v4.1 transcript:Potri.004G179000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179000.v4.1 MPTPNLSSLVHELRERIAATSSTPPNTNTNTAAENDSALEIRFRAVLPNLLHAYVVPSSSASEREVIAVLKLISHIARNFPGVFYHGKGSAILPVIGRVLPFFAEPAFRARHGVIFDTVGSLLSLLRTGARDAYCQFFIDAMLSVEDILYVASFSVENRNVPESGRIMLKCFCKSFSGIFDDPACISGLPASSKPDDGAGVLINVTGTERWMTFATWMVKLLSKCVTEGTLYVEGLISLANVSAACSLLCFGNADLHMACFDFACVVTSVIDHDIVPHENMIRSIAAILSEDNEGPFLFRNMVYDSSLGSCLNILHSSCSDAIVEITAAGLINVFPQSMQRTKSQELKVALCHSYSRIARTCPPHIWRPESLIQMLRCPEPFSSLIDCFHVALSILGPERVGGRMENNDDASLSVSGDITVQNSSVGQKRHSQNVDTIQTKRRKVDDDVMASDPSVLAECKLHSIVNSKREEEYADCMHKSLVSFLKLLKPPAKPDSLRPDVALAALSMLCIAFCRFPTTYLSICIFQQMHAWIPWICEQAERGGSVALDISNYLEGIHTMLLVQSPFLMEDKPFKFKGDSTDLMHIVLKLPWTHPHMVIGPHPPWKTKCFSIQVVSKLGSILKTEHALELLDLGLNDEAEEVRIETITSMPVIVLWSGLGLQAEMFKRLDLLGKEENIKVKRSIPFTLGFLSCFYGSCSIVDGPPLGECKLFIDINNEKHGKTTDYLQGFWCSKCDRSIVHNHKVHLKIMQPPDFQSARVGLNSNFPQLQSMFFKLLYDESSEEVQVACVRIIRRILVHGSEDILIKTKSEWIKCVEFLLLNKKKALREAFCTQISSFLESPVLSCLFLNGDSYNKTNEQKFLGLMKHALSAAEDPQIFETLLECVSQIMIAVDIHSQLFLSCLILLVDQLDHPHVTVRMSASRLIHKSCYFHLKGGFELILSKVVHIRNELFDYLTMRFTSHPKMVREFAEAVFGVETEELVEKMIPIVLPKLVVSQQDNNRAVQTLFELAKCLNTDMVPLIVNWLPKVLAFALHRADKQELLSTLQFYHDQIGSDNQEIFAAALPALLDELVCFLDGGDSVEINQRLSRVPDMIKEIARVLTGGEDLPGFLRNHFVGLLNGIDRKMLHAEDLLLQKQALRRIKMLIEMMGSQLGTYVPKLMVLLMHAIDKESLQNEGLSVLHFFIEQLANKSPSSTKHVISQVFAALIPFLERYKENPSTHLNKVVNILEELVLKNRTILKQHIHEFPLLPSIPELMEVNKAIQEARGSMTLKDQLRDVVDGLNHENLNVRYMVVCELSKLLNLRRGDITSLITGEVAADMDILSSLITALLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVKGISSQRFKIECSDDDLIFELIHKHLARAFRAAPDTIVQDSAALAIQELLKIAGCEASLDGTTSLSQTLKDKSTKSSSGMNTRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASVGPIYRPSMSFRRWIFYWIKKLTAHATGSRASIFNACRALVRHDMQLAIYLLPYLVLNAVCHGTEEVRHSIAEEILCVLDAAASDNSGAAVGGQSEVCIQAVFTLLDNLGQWMDDFEQELALSQSFQSPASKKQASKSKGQGSISSTDQDQLLVQCKYVSELLTAIPKLTLARASFRCQAYARSLMYFESHVRGKSGAFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKRAGNWAEVLTSCEQALQMEPCSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRVPQYKKTWCMQGVQAAWRLGRWDLMDEYISGADHDGLLCSGSESNASFDMDVAKILQSMMKKDQFSVAEKIALSKQALIAPLAAAGMDSYVRAYPFIVKLHLLRELEAFHTLLVDDSFLVKKFHLGHLEFTKLMENWEHRLRFTQPSLWAREPLLAFRRLVFGASSLGAHVGICWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQTLLHMPEKVVGAAARSSITSLSLVPLNPQPAFCDTQASSENLDIAKTLLLYSRWIHYTGQKQKEDVITLYTRVRDLQPKWEKGFFYLARYCDEVLVDARKRQEDNYELGPRLVPLTSTSISPSNTERRWWTSAPDVLLFYAKGLHRGHKNLFQALPRLLTLWFEFGSIYQRCGSSSNQELKKVHDKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEDIVKLVKRIITSVIQQYPQQGLWIMAAVSKSAVPSRREAAAAIIQEAKKGFSQGNNGSNLFVQFASLIDHLIRLCFHPGQSKARTINISTEFSALKRMMPLEIIMPIQQSLTVSLPTYDVNLTDPLTSVIFSASDLPTISGIADEAEILSSLQRPKKIVLLGSDGIEHPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYIKCGKFDRQKTNPQIKRIYDQCHGKMPEDEMLKNKILPLFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Potri.004G179000.1.v4.1 pep chromosome:Pop_tri_v4:4:19320567:19333829:1 gene:Potri.004G179000.v4.1 transcript:Potri.004G179000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179000.v4.1 MPTPNLSSLVHELRERIAATSSTPPNTNTNTAAENDSALEIRFRAVLPNLLHAYVVPSSSASEREVIAVLKLISHIARNFPGVFYHGKGSAILPVIGRVLPFFAEPAFRARHGVIFDTVGSLLSLLRTGARDAYCQFFIDAMLSVEDILYVASFSVENRNVPESGRIMLKCFCKSFSGIFDDPACISGLPASSKPDDGAGVLINVTGTERWMTFATWMVKLLSKCVTEGTLYVEGLISLANVSAACSLLCFGNADLHMACFDFACVVTSVIDHDIVPHENMIRSIAAILSEDNEGPFLFRNMVYDSSLGSCLNILHSSCSDAIVEITAAGLINVFPQSMQRTKSQELKVALCHSYSRIARTCPPHIWRPESLIQMLRCPEPFSSLIDCFHVALSILGPERVGGRMENNDDASLSVSGDITVQNSSVGQKRHSQNVDTIQTKRRKVDDDVMASDPSVLAECKLHSIVNSKREEEYADCMHKSLVSFLKLLKPPAKPDSLRPDVALAALSMLCIAFCRFPTTYLSICIFQQMHAWIPWICEQAERGGSVALDISNYLEGIHTMLLVQSPFLMEDKPFKFKGDSTDLMHIVLKLPWTHPHMVIGPHPPWKTKCFSIQVVSKLGSILKTEHALELLDLGLNDEAEEVRIETITSMPVIVLWSGLGLQAEMFKRLDLLGKEENIKVKRSIPFTLGFLSCFYGSCSIVDGPPLGECKLFIDINNEKHGKTTDYLQGFWCSKCDRSIVHNHKVHLKIMQPPDFQSARVGLNSNFPQLQSMFFKLLYDESSEEVQVACVRIIRRILVHGSEDILIKTKSEWIKCVEFLLLNKKKALREAFCTQISSFLESPVLSCLFLNGDSYNKTNEQKFLGLMKHALSAAEDPQIFETLLECVSQIMIAVDIHSQLFLSCLILLVDQLDHPHVTVRMSASRLIHKSCYFHLKGGFELILSKVVHIRNELFDYLTMRFTSHPKMVREFAEAVFGVETEELVEKMIPIVLPKLVVSQQDNNRAVQTLFELAKCLNTDMVPLIVNWLPKVLAFALHRADKQELLSTLQFYHDQIGSDNQEIFAAALPALLDELVCFLDGGDSVEINQRLSRVPDMIKEIARVLTGGEDLPGFLRNHFVGLLNGIDRKMLHAEDLLLQKQALRRIKMLIEMMGSQLGTYVPKLMVLLMHAIDKESLQNEGLSVLHFFIEQLANKSPSSTKHVISQVFAALIPFLERYKENPSTHLNKVVNILEELVLKNRTILKQHIHEFPLLPSIPELMEVNKAIQEARGSMTLKDQLRDVVDGLNHENLNVRYMVVCELSKLLNLRRGDITSLITGEVAADMDILSSLITALLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVKGISSQRFKIECSDDDLIFELIHKHLARAFRAAPDTIVQDSAALAIQELLKIAGCEASLDGTTSLSQTLKDKSTKSSSGMNTRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASVGPIYRPSMSFRRWIFYWIKKLTAHATGSRASIFNACRALVRHDMQLAIYLLPYLVLNAVCHGTEEVRHSIAEEILCVLDAAASDNSGAAVGGQSEVCIQAVFTLLDNLGQWMDDFEQELALSQSFQSPASKKQASKSKGQGSISSTDQDQLLVQCKYVSELLTAIPKLTLARASFRCQAYARSLMYFESHVRGKSGAFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKRAGNWAEVLTSCEQALQMEPCSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRVPQYKKTWCMQGVQAAWRLGRWDLMDEYISGADHDGLLCSGSESNASFDMDVAKILQSMMKKDQFSVAEKIALSKQALIAPLAAAGMDSYVRAYPFIVKLHLLRELEAFHTLLVDDSFLVKKFHLGHLEFTKLMENWEHRLRFTQPSLWAREPLLAFRRLVFGASSLGAHVGICWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQTLLHMPEKVVGAAARSSITSLSLVPLNPQPAFCDTQASSENLDIAKTLLLYSRWIHYTGQKQKEDVITLYTRVRDLQPKWEKGFFYLARYCDEVLVDARKRQEDNYELGPRLVPLTSTSISPSNTERRWWTSAPDVLLFYAKGLHRGHKNLFQALPRLLTLWFEFGSIYQRCGSSSNQELKKVHDKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEDIVKLVKRIITSVIQQYPQQGLWIMAAVSKSAVPSRREAAAAIIQEAKKGFSQGNNGSNLFVQFASLIDHLIRLCFHPGQSKARTINISTEFSALKRMMPLEIIMPIQQSLTVSLPTYDVNLTDPLTSVIFSASDLPTISGIADEAEILSSLQRPKKIVLLGSDGIEHPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYIKCGKFDRQKTNPQIKRIYDQCHGKMPEDEMLKNKILPLFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Potri.004G179000.5.v4.1 pep chromosome:Pop_tri_v4:4:19322594:19333551:1 gene:Potri.004G179000.v4.1 transcript:Potri.004G179000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179000.v4.1 MIFPPALSILGPERVGGRMENNDDASLSVSGDITVQNSSVGQKRHSQNVDTIQTKRRKVDDDVMASDPSVLAECKLHSIVNSKREEEYADCMHKSLVSFLKLLKPPAKPDSLRPDVALAALSMLCIAFCRFPTTYLSICIFQQMHAWIPWICEQAERGGSVALDISNYLEGIHTMLLVQSPFLMEDKPFKFKGDSTDLMHIVLKLPWTHPHMVIGPHPPWKTKCFSIQVVSKLGSILKTEHALELLDLGLNDEAEEVRIETITSMPVIVLWSGLGLQAEMFKRLDLLGKEENIKVKRSIPFTLGFLSCFYGSCSIVDGPPLGECKLFIDINNEKHGKTTDYLQGFWCSKCDRSIVHNHKVHLKIMQPPDFQSARVGLNSNFPQLQSMFFKLLYDESSEEVQVACVRIIRRILVHGSEDILIKTKSEWIKCVEFLLLNKKKALREAFCTQISSFLESPVLSCLFLNGDSYNKTNEQKFLGLMKHALSAAEDPQIFETLLECVSQIMIAVDIHSQLFLSCLILLVDQLDHPHVTVRMSASRLIHKSCYFHLKGGFELILSKVVHIRNELFDYLTMRFTSHPKMVREFAEAVFGVETEELVEKMIPIVLPKLVVSQQDNNRAVQTLFELAKCLNTDMVPLIVNWLPKVLAFALHRADKQELLSTLQFYHDQIGSDNQEIFAAALPALLDELVCFLDGGDSVEINQRLSRVPDMIKEIARVLTGGEDLPGFLRNHFVGLLNGIDRKMLHAEDLLLQKQALRRIKMLIEMMGSQLGTYVPKLMVLLMHAIDKESLQNEGLSVLHFFIEQLANKSPSSTKHVISQVFAALIPFLERYKENPSTHLNKVVNILEELVLKNRTILKQHIHEFPLLPSIPELMEVNKAIQEARGSMTLKDQLRDVVDGLNHENLNVRYMVVCELSKLLNLRRGDITSLITGEVAADMDILSSLITALLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVKGISSQRFKIECSDDDLIFELIHKHLARAFRAAPDTIVQDSAALAIQELLKIAGCEASLDGTTSLSQTLKDKSTKSSSGMNTRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASVGPIYRPSMSFRRWIFYWIKKLTAHATGSRASIFNACRALVRHDMQLAIYLLPYLVLNAVCHGTEEVRHSIAEEILCVLDAAASDNSGAAVGGQSEVCIQAVFTLLDNLGQWMDDFEQELALSQSFQSPASKKQASKSKGQGSISSTDQDQLLVQCKYVSELLTAIPKLTLARASFRCQAYARSLMYFESHVRGKSGAFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKRAGNWAEVLTSCEQALQMEPCSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRVPQYKKTWCMQGVQAAWRLGRWDLMDEYISGADHDGLLCSGSESNASFDMDVAKILQSMMKKDQFSVAEKIALSKQALIAPLAAAGMDSYVRAYPFIVKLHLLRELEAFHTLLVDDSFLVKKFHLGHLEFTKLMENWEHRLRFTQPSLWAREPLLAFRRLVFGASSLGAHVGICWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQTLLHMPEKVVGAAARSSITSLSLVPLNPQPAFCDTQASSENLDIAKTLLLYSRWIHYTGQKQKEDVITLYTRVRDLQPKWEKGFFYLARYCDEVLVDARKRQEDNYELGPRLVPLTSTSISPSNTERRWWTSAPDVLLFYAKGLHRGHKNLFQALPRLLTLWFEFGSIYQRCGSSSNQELKKVHDKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEDIVKLVKRIITSVIQQYPQQGLWIMAAVSKSAVPSRREAAAAIIQEAKKGFSQGNNGSNLFVQFASLIDHLIRLCFHPGQSKARTINISTEFSALKRMMPLEIIMPIQQSLTVSLPTYDVNLTDPLTSVIFSASDLPTISGIADEAEILSSLQRPKKIVLLGSDGIEHPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYIKCGKFDRQKTNPQIKRIYDQCHGKMPEDEMLKNKILPLFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Potri.004G179000.6.v4.1 pep chromosome:Pop_tri_v4:4:19322594:19333551:1 gene:Potri.004G179000.v4.1 transcript:Potri.004G179000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179000.v4.1 MIFPPALSILGPERVGGRMENNDDASLSVSGDITVQNSSVGQKRHSQNVDTIQTKRRKVDDDVMASDPSVLAECKLHSIVNSKREEEYADCMHKSLVSFLKLLKPPAKPDSLRPDVALAALSMLCIAFCRFPTTYLSICIFQQMHAWIPWICEQAERGGSVALDISNYLEGIHTMLLVQSPFLMEDKPFKFKGDSTDLMHIVLKLPWTHPHMVIGPHPPWKTKCFSIQVVSKLGSILKTEHALELLDLGLNDEAEEVRIETITSMPVIVLWSGLGLQAEMFKRLDLLGKEENIKVKRSIPFTLGFLSCFYGSCSIVDGPPLGECKLFIDINNEKHGKTTDYLQGFWCSKCDRSIVHNHKVHLKIMQPPDFQSARVGLNSNFPQLQSMFFKLLYDESSEEVQVACVRIIRRILVHGSEDILIKTKSEWIKCVEFLLLNKKKALREAFCTQISSFLESPVLSCLFLNGDSYNKTNEQKFLGLMKHALSAAEDPQIFETLLECVSQIMIAVDIHSQLFLSCLILLVDQLDHPHVTVRMSASRLIHKSCYFHLKGGFELILSKVVHIRNELFDYLTMRFTSHPKMVREFAEAVFGVETEELVEKMIPIVLPKLVVSQQDNNRAVQTLFELAKCLNTDMVPLIVNWLPKVLAFALHRADKQELLSTLQFYHDQIGSDNQEIFAAALPALLDELVCFLDGGDSVEINQRLSRVPDMIKEIARVLTGGEDLPGFLRNHFVGLLNGIDRKMLHAEDLLLQKQALRRIKMLIEMMGSQLGTYVPKLMVLLMHAIDKESLQNEGLSVLHFFIEQLANKSPSSTKHVISQVFAALIPFLERYKENPSTHLNKVVNILEELVLKNRTILKQHIHEFPLLPSIPELMEVNKAIQEARGSMTLKDQLRDVVDGLNHENLNVRYMVVCELSKLLNLRRGDITSLITGEVAADMDILSSLITALLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVKGISSQRFKIECSDDDLIFELIHKHLARAFRAAPDTIVQDSAALAIQELLKIAGCEASLDGTTSLSQTLKDKSTKSSSGMNTRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASVGPIYRPSMSFRRWIFYWIKKLTAHATGSRASIFNACRALVRHDMQLAIYLLPYLVLNAVCHGTEEVRHSIAEEILCVLDAAASDNSGAAVGGQSEVCIQAVFTLLDNLGQWMDDFEQELALSQSFQSPASKKQASKSKGQGSISSTDQDQLLVQCKYVSELLTAIPKLTLARASFRCQAYARSLMYFESHVRGKSGAFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKRAGNWAEVLTSCEQALQMEPCSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRVPQYKKTWCMQGVQAAWRLGRWDLMDEYISGADHDGLLCSGSESNASFDMDVAKILQSMMKKDQFSVAEKIALSKQALIAPLAAAGMDSYVRAYPFIVKLHLLRELEAFHTLLVDDSFLVKKFHLGHLEFTKLMENWEHRLRFTQPSLWAREPLLAFRRLVFGASSLGAHVGICWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQTLLHMPEKVVGAAARSSITSLSLVPLNPQPAFCDTQASSENLDIAKTLLLYSRWIHYTGQKQKEDVITLYTRVRDLQPKWEKGFFYLARYCDEVLVDARKRQEDNYELGPRLVPLTSTSISPSNTERRWWTSAPDVLLFYAKGLHRGHKNLFQALPRLLTLWFEFGSIYQRCGSSSNQELKKVHDKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEDIVKLVKRIITSVIQQYPQQGLWIMAAVSKSAVPSRREAAAAIIQEAKKGFSQGNNGSNLFVQFASLIDHLIRLCFHPGQSKARTINISTEFSALKRMMPLEIIMPIQQSLTVSLPTYDVNLTDPLTSVIFSASDLPTISGIADEAEILSSLQRPKKIVLLGSDGIEHPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYIKCGKFDRQKTNPQIKRIYDQCHGKMPEDEMLKNKILPLFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Potri.004G179000.3.v4.1 pep chromosome:Pop_tri_v4:4:19320567:19333540:1 gene:Potri.004G179000.v4.1 transcript:Potri.004G179000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179000.v4.1 MPTPNLSSLVHELRERIAATSSTPPNTNTNTAAENDSALEIRFRAVLPNLLHAYVVPSSSASEREVIAVLKLISHIARNFPGVFYHGKGSAILPVIGRVLPFFAEPAFRARHGVIFDTVGSLLSLLRTGARDAYCQFFIDAMLSVEDILYVASFSVENRNVPESGRIMLKCFCKSFSGIFDDPACISGLPASSKPDDGAGVLINVTGTERWMTFATWMVKLLSKCVTEGTLYVEGLISLANVSAACSLLCFGNADLHMACFDFACVVTSVIDHDIVPHENMIRSIAAILSEDNEGPFLFRNMVYDSSLGSCLNILHSSCSDAIVEITAAGLINVFPQSMQRTKSQELKVALCHSYSRIARTCPPHIWRPESLIQMLRCPEPFSSLIDCFHVALSILGPERVGGRMENNDDASLSVSGDITVQNSSVGQKRHSQNVDTIQTKRRKVDDDVMASDPSVLAECKLHSIVNSKREEEYADCMHKSLVSFLKLLKPPAKPDSLRPDVALAALSMLCIAFCRFPTTYLSICIFQQMHAWIPWICEQAERGGSVALDISNYLEGIHTMLLVQSPFLMEDKPFKFKGDSTDLMHIVLKLPWTHPHMVIGPHPPWKTKCFSIQVVSKLGSILKTEHALELLDLGLNDEAEEVRIETITSMPVIVLWSGLGLQAEMFKRLDLLGKEENIKVKRSIPFTLGFLSCFYGSCSIVDGPPLGECKLFIDINNEKHGKTTDYLQGFWCSKCDRSIVHNHKVHLKIMQPPDFQSARVGLNSNFPQLQSMFFKLLYDESSEEVQVACVRIIRRILVHGSEDILIKTKSEWIKCVEFLLLNKKKALREAFCTQISSFLESPVLSCLFLNGDSYNKTNEQKFLGLMKHALSAAEDPQIFETLLECVSQIMIAVDIHSQLFLSCLILLVDQLDHPHVTVRMSASRLIHKSCYFHLKGGFELILSKVVHIRNELFDYLTMRFTSHPKMVREFAEAVFGVETEELVEKMIPIVLPKLVVSQQDNNRAVQTLFELAKCLNTDMVPLIVNWLPKVLAFALHRADKQELLSTLQFYHDQIGSDNQEIFAAALPALLDELVCFLDGGDSVEINQRLSRVPDMIKEIARVLTGGEDLPGFLRNHFVGLLNGIDRKMLHAEDLLLQKQALRRIKMLIEMMGSQLGTYVPKLMVLLMHAIDKESLQNEGLSVLHFFIEQLANKSPSSTKHVISQVFAALIPFLERYKENPSTHLNKVVNILEELVLKNRTILKQHIHEFPLLPSIPELMEVNKAIQEARGSMTLKDQLRDVVDGLNHENLNVRYMVVCELSKLLNLRRGDITSLITGEVAADMDILSSLITALLRGCAEESRTAVGQRLKLVCADCLGALGAVDPAKVKGISSQRFKIECSDDDLIFELIHKHLARAFRAAPDTIVQDSAALAIQELLKIAGCEASLDGTTSLSQTLKDKSTKSSSGMNTRGQRLWDRFSNYVKEIIAPCLTSRFQLPNVADSASVGPIYRPSMSFRRWIFYWIKKLTAHATGSRASIFNACRALVRHDMQLAIYLLPYLVLNAVCHGTEEVRHSIAEEILCVLDAAASDNSGAAVGGQSEVCIQAVFTLLDNLGQWMDDFEQELALSQSFQSPASKKQASKSKGQGSISSTDQDQLLVQCKYVSELLTAIPKLTLARASFRCQAYARSLMYFESHVRGKSGAFNPAAERSGIFEDEDVSYLMEIYSCLDEPDGLSGLACLRKSLSLQDQLLINKRAGNWAEVLTSCEQALQMEPCSVQRHSDVLNCLLNMCHLQAMVTHVDGLISRVPQYKKTWCMQGVQAAWRLGRWDLMDEYISGADHDGLLCSGSESNASFDMDVAKILQSMMKKDQFSVAEKIALSKQALIAPLAAAGMDSYVRAYPFIVKLHLLRELEAFHTLLVDDSFLVKKFHLGHLEFTKLMENWEHRLRFTQPSLWAREPLLAFRRLVFGASSLGAHVGICWLQYAKLCRLAGHYETANRAILEAQASGAPNVHMEKAKLLWSTRRSDGAIAELQQTLLHMPEKVVGAAARSSITSLSLVPLNPQPAFCDTQASSENLDIAKTLLLYSRWIHYTGQKQKEDVITLYTRVRDLQPKWEKGFFYLARYCDEVLVDARKRQEDNYELGPRLVPLTSTSISPSNTERRWWTSAPDVLLFYAKGLHRGHKNLFQALPRLLTLWFEFGSIYQRCGSSSNQELKKVHDKVMSIMRGCLKDLPTYQWLTVLPQLVSRICHQNEDIVKLVKRIITSVIQQYPQQGLWIMAAVSKSAVPSRREAAAAIIQEAKKGFSQGNNGSNLFVQFASLIDHLIRLCFHPGQSKARTINISTEFSALKRMMPLEIIMPIQQSLTVSLPTYDVNLTDPLTSVIFSASDLPTISGIADEAEILSSLQRPKKIVLLGSDGIEHPFLCKPKDDLRKDARMMEFTAMINRLLSKYPESRRRKLYIRTFAVIPLTEDCGMVEWVPHTRGLRHILQDIYIKCGKFDRQKTNPQIKRIYDQCHGKMPEDEMLKNKILPLFPPVFHKWFLTTFSEPAAWFRARVAYAHTTAVWSMVGHIVGLGDRHGENILFDSTTGDCVHVDFSCLFDKGLQLEKPELVPFRLTQNMIDGLGITGYEGIFLRVCEITLSVLRTHRETLMSVLETFIHDPLVEWTKSHKSSGVEVQNPHAQRAINNIEARLQGVVVGVGAAPSLPLAVEGQARRLIAEAVSHKNLGKMYIWWMPWF >Potri.007G087500.2.v4.1 pep chromosome:Pop_tri_v4:7:11264084:11266823:1 gene:Potri.007G087500.v4.1 transcript:Potri.007G087500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087500.v4.1 MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELAILCDIDIALIMFSPSGRLSHFSGKRRLEDVIARYINMSEHDRDFSCGIQNREYLLNILRKLKTENDMALQAANPDHAMNLNMEEIQLEARNLQHQLYMAEEQLRLYEPDPLKITSMMELESCEKTLLDTMARLEERKKCILSNPTVSTYDPSSMQMFLDTNGGMPDFENDIVSWLPENGNNPSSVRVGSDQSSCVPVSNQSSMTLFDALSHATNNVNANHCNNMEGSNINNSNSEVFPSWYQAFSTLSSTELLSAFMPPTSFSAQENIAGPSFTSMLQQPQAETTSNCLQMPSSTADQCSDYENQIKQSKVE >Potri.007G087500.3.v4.1 pep chromosome:Pop_tri_v4:7:11264084:11266823:1 gene:Potri.007G087500.v4.1 transcript:Potri.007G087500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087500.v4.1 MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELAILCDIDIALIMFSPSGRLSHFSGKRRLEDVIARYINMSEHDRDFSCGIQNREYLLNILRKLKTENDMALQAANPDHAMNLNMELEARNLQHQLYMAEEQLRLYEPDPLKITSMMELESCEKTLLDTMARLEERKKCILSNPTVSTYDPSSMQMFLDTNGGMPDFENDIVSWLPENGNNPSSVRVGSDQSSCVPVSNQSSMTLFDALSHATNNVNANHCNNMEGSNINNSNSEVFPSWYQAFSTLSSTELLSAFMPPTSFSAVKQENIAGPSFTSMLQQPQAETTSNCLQMPSSTADQCSDYENQIKQSKVE >Potri.007G087500.1.v4.1 pep chromosome:Pop_tri_v4:7:11264084:11266823:1 gene:Potri.007G087500.v4.1 transcript:Potri.007G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087500.v4.1 MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELAILCDIDIALIMFSPSGRLSHFSGKRRLEDVIARYINMSEHDRDFSCGIQNREYLLNILRKLKTENDMALQAANPDHAMNLNMEEIQLEARNLQHQLYMAEEQLRLYEPDPLKITSMMELESCEKTLLDTMARLEERKKCILSNPTVSTYDPSSMQMFLDTNGGMPDFENDIVSWLPENGNNPSSVRVGSDQSSCVPVSNQSSMTLFDALSHATNNVNANHCNNMEGSNINNSNSEVFPSWYQAFSTLSSTELLSAFMPPTSFSAVKQENIAGPSFTSMLQQPQAETTSNCLQMPSSTADQCSDYENQIKQSKVE >Potri.007G087500.4.v4.1 pep chromosome:Pop_tri_v4:7:11264084:11266823:1 gene:Potri.007G087500.v4.1 transcript:Potri.007G087500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087500.v4.1 MGRVKLQIKRIENNTNRQVTFSKRRNGLIKKAYELAILCDIDIALIMFSPSGRLSHFSGKRRLEDVIARYINMSEHDRDFSCGIQNREYLLNILRKLKTENDMALQAANPDHAMNLNMELEARNLQHQLYMAEEQLRLYEPDPLKITSMMELESCEKTLLDTMARLEERKKCILSNPTVSTYDPSSMQMFLDTNGGMPDFENDIVSWLPENGNNPSSVRVGSDQSSCVPVSNQSSMTLFDALSHATNNVNANHCNNMEGSNINNSNSEVFPSWYQAFSTLSSTELLSAFMPPTSFSAQENIAGPSFTSMLQQPQAETTSNCLQMPSSTADQCSDYENQIKQSKVE >Potri.019G014324.2.v4.1 pep chromosome:Pop_tri_v4:19:1976254:1980693:1 gene:Potri.019G014324.v4.1 transcript:Potri.019G014324.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014324.v4.1 MSNQVPAMSPGPQPPMNQWHQNSFIHQPQSGHGYLTQNATTSQHGPSSPAPWSQMDNLQVRGLQNQTATPNASNPGPDTSLQSQNRGLNSQQVEMVGSNDPFWNYVEYRADGRMKCKFCPHTYAKDTSISRIKWHLSRERGHNVAICPGVTTEVQEKAFLAICGGNKRQKSTASSVNVNECGISTCPQEQNIEIENTAGGIGRVQREVQVVEPGVGEERITSHAIAGNDVVSMTGMRAQEDRVSEGALESRQRTEPVEEDVENSRRSVQVGAGARSSESLEYNKTIGIPLPPSSTKLVGQAFKENTKVIWSLLMDEDVLSMGIYGMGGVGKSTILRHIHNELLQKPDICDHVWWVTVSQDFSINKLQNLIAERLHLDLSREDDELHRAAKLSEELTKKQKWILILDDLWNNFELHEVGIPEKFEGCKLIMTTRSEMICHRMACQHKIKVKPLSNGEAWFLFMEKLGRYVELSPEVEGIAEAVARECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLRESEFRDMDEKVFKLLRFSYDRLGDLALQQCLLYCALFPEDHGIPREMLIGYLIDEGIIKVKRSRKDAIDEGHTMLNRLENVCLLESAKMYYDDSRIVKMHDLIRDMAIQILQDNSQVMVKAGAQLKELPDAEEWTENLTIVSLMQNEIEEIPSSHSPMCPNLSTLFLCDNKGLRYVADSFFKQLHGLMVLDLSRTGIENLPDSVSDLLSLTAFLLNDCRELRLVPSLKKLRALKRLDLSWTMLGKMPQGMECLTNLRYLRMNGCGQKEFPSGILPKLSHLQVFELERFPVLPITVKGKEVGSLRVFELERFTALPITVKGKEVGSLRNLESLECHFEGFSDFVDYLRSRDGILSLSTYKILVGEVFSYSEQCIEDFPSKTVGLGNLSINGDRDFQVKFLNGIHGLVCQCIDARSLCDVLSLENATELERISIRECHNMESLVSSSWFCSAPPRLPPYNGMFSGLKVFFCYSCKSMKKLFPLVLLPNLVNLERI >Potri.019G014324.3.v4.1 pep chromosome:Pop_tri_v4:19:1977961:1980709:1 gene:Potri.019G014324.v4.1 transcript:Potri.019G014324.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014324.v4.1 MTTRSEMICHRMACQHKIKVKPLSNGEAWFLFMEKLGRYVELSPEVEGIAEAVARECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLRESEFRDMDEKVFKLLRFSYDRLGDLALQQCLLYCALFPEDHGIPREMLIGYLIDEGIIKVKRSRKDAIDEGHTMLNRLENVCLLESAKMYYDDSRIVKMHDLIRDMAIQILQDNSQVMVKAGAQLKELPDAEEWTENLTIVSLMQNEIEEIPSSHSPMCPNLSTLFLCDNKGLRYVADSFFKQLHGLMVLDLSRTGIENLPDSVSDLLSLTAFLLNDCRELRLVPSLKKLRALKRLDLSWTMLGKMPQGMECLTNLRYLRMNGCGQKEFPSGILPKLSHLQVFELERFPVLPITVKGKEVGSLRVFELERFTALPITVKGKEVGSLRNLESLECHFEGFSDFVDYLRSRDGILSLSTYKILVGEVFSYSEQCIEDFPSKTVGLGNLSINGDRDFQVKFLNGIHGLVCQCIDARSLCDVLSLENATELERISIRECHNMESLVSSSWFCSAPPRLPPYNGMFSGLKVFFCYSCKSMKKLFPLVLLPNLVNLERI >Potri.019G014324.1.v4.1 pep chromosome:Pop_tri_v4:19:1975534:1980568:1 gene:Potri.019G014324.v4.1 transcript:Potri.019G014324.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014324.v4.1 MDDLAEFDTESLLLSTAPEVPVNQGSISCNDQGGQNNVINDSIQQTTQFPPSFPDQTMTGHGNMTPNATTSQHGEVNQPGPSSPAPWYQPYPNLFDPQCANPMLPAPSMPLRDQQWTDYQLPMSNQVPAMSPGPQPPMNQWHQNSFIHQPQSGHGYLTQNATTSQHGPSSPAPWSQMDNLQVRGLQNQTATPNASNPGPDTSLQSQNRGLNSQQVEMVGSNDPFWNYVEYRADGRMKCKFCPHTYAKDTSISRIKWHLSRERGHNVAICPGVTTEVQEKAFLAICGGNKRQKSTASSVNVNECGISTCPQEQNIEIENTAGGIGRVQREVQVVEPGVGEERITSHAIAGNDVVSMTGMRAQEDRVSEGALESRQRTEPVEEDVENSRRSVQVGAGARSSESLEYNKTIGIPLPPSSTKLVGQAFKENTKVIWSLLMDEDVLSMGIYGMGGVGKSTILRHIHNELLQKPDICDHVWWVTVSQDFSINKLQNLIAERLHLDLSREDDELHRAAKLSEELTKKQKWILILDDLWNNFELHEVGIPEKFEGCKLIMTTRSEMICHRMACQHKIKVKPLSNGEAWFLFMEKLGRYVELSPEVEGIAEAVARECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLRESEFRDMDEKVFKLLRFSYDRLGDLALQQCLLYCALFPEDHGIPREMLIGYLIDEGIIKVKRSRKDAIDEGHTMLNRLENVCLLESAKMYYDDSRIVKMHDLIRDMAIQILQDNSQVMVKAGAQLKELPDAEEWTENLTIVSLMQNEIEEIPSSHSPMCPNLSTLFLCDNKGLRYVADSFFKQLHGLMVLDLSRTGIENLPDSVSDLLSLTAFLLNDCRELRLVPSLKKLRALKRLDLSWTMLGKMPQGMECLTNLRYLRMNGCGQKEFPSGILPKLSHLQVFELERFPVLPITVKGKEVGSLRVFELERFTALPITVKGKEVGSLRNLESLECHFEGFSDFVDYLRSRDGILSLSTYKILVGEVFSYSEQCIEDFPSKTVGLGNLSINGDRDFQVKFLNGIHGLVCQCIDARSLCDVLSLENATELERISIRECHNMESLVSSSWFCSAPPRLPPYNEAVPTCAAAKPRKPGKDLSEALVDYSCWENIDDFPSKTVGLGNLSINGDGDFQVKFLNGIQGLVCEFIDARSLCDVLSLENATELEFIDIRRCHNMESLVSSSWFCYAPPRLPSYNGAFSGLKVFCCDGCNNMKKLFPLVLLPNFVNLERIVVTECEKMEEIIGTTDEESSTSNSITEVILPKLRSLRLYELPELKSICSAKLTCNSLKDIIVMYCEKLKRIPICLPLLENGQPSPPPSLKEIHARPKEWWETVVEWEHPNAKDVLRPFVK >Potri.001G280832.7.v4.1 pep chromosome:Pop_tri_v4:1:29460297:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.10.v4.1 pep chromosome:Pop_tri_v4:1:29460297:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.2.v4.1 pep chromosome:Pop_tri_v4:1:29460334:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MGIIFIPLTQDGLHGTGTTTSRPSMKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.8.v4.1 pep chromosome:Pop_tri_v4:1:29460297:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.6.v4.1 pep chromosome:Pop_tri_v4:1:29460297:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.5.v4.1 pep chromosome:Pop_tri_v4:1:29460297:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.11.v4.1 pep chromosome:Pop_tri_v4:1:29460337:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.4.v4.1 pep chromosome:Pop_tri_v4:1:29460297:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.9.v4.1 pep chromosome:Pop_tri_v4:1:29460297:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.001G280832.3.v4.1 pep chromosome:Pop_tri_v4:1:29460297:29463993:1 gene:Potri.001G280832.v4.1 transcript:Potri.001G280832.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280832.v4.1 MKHVDTVSDLLKSSDHNRRGSAGVVGSMKLLKSYQSMHAPFTQEAPLITEDMHEERLQAVEASGNSFHSKQQIQMLFLKILFGGIHRGDWENDDSKESRPSKSPGKKGLKDDLPPRGRLSQQMSEQGNLWQKIWNDAPALPAYEQKPLLDPFRKG >Potri.004G117300.2.v4.1 pep chromosome:Pop_tri_v4:4:11016044:11016940:1 gene:Potri.004G117300.v4.1 transcript:Potri.004G117300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117300.v4.1 MGRWMKPEVYPLLAAMTCVTSLCIFQLTRNVFLNPDVRINKAKRSMGVLGNNEEGERYAEHGLRRFLRTRPPEIMPTINHFFTENK >Potri.017G142400.2.v4.1 pep chromosome:Pop_tri_v4:17:14279313:14282104:1 gene:Potri.017G142400.v4.1 transcript:Potri.017G142400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142400.v4.1 MASGFSSGGGGGGGGGGGGGFQDFYTNRSSLPTMNLSNNPSSLPPYRTNQIFLDQNLSSHNYQQIAQHRAILPTNMNAATTTTPTLIGKRSLADFQSHPQQNLLNQAALNNLLLRSVKPRINNNLFQQNTSPISTLSPIDFSVNNLSPQLPNLMPQRYSLPLLQQLRSHQQQQQQQQQRPMNLMSSNGVINNNNNNMMPYVNMLQNQNGGGNGVVMGQDREKKMLNQLQELEKQLLDDDDDNQEGDDVSVITNTNSEWSETIQNLMSSCNNPISPSPTSSSSSSSSTVTTPVSKQTIIEAASAIYEGKTDVYPEILSRICQVANPQGNSGQRLMEYMLMALKSRVNSAEKTPSVRELYNKEHVDATQSLYDLSPCFKLGFMAANLAIIEATREQGREMNSCSNGFHVVDFDIGQGGQYMNLLHALSGLQNLKPAIVKITAVAADSNGGEEKERLRLVGETLSQLAQRLRLSLCFNVVSCRLSELSRESLGCEPEEALAVNFAFKLYRMPDESVSTENPRDELLRRVKGLGPRVVTIVEQEMNTNTAPFMARVNESCSYYGALFDSINSTMERDSSERARVEEGLGRTIVNSVACEGRDRIERCEVFGKWRARMGMAGFELKPMSQIVAESMKARLSLTNRVNPGFTVKEENGGVCFGWKGKTLTVASAWR >Potri.003G217950.1.v4.1 pep chromosome:Pop_tri_v4:3:21300659:21302901:-1 gene:Potri.003G217950.v4.1 transcript:Potri.003G217950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217950.v4.1 MCSTFSLSAKPCAGAHNGKFLHSKSLVTRARAGVFTVPKRLSRQAFPQLLKQGIPHTDLEVHEIVERQSQANVLGKQDTCRKSEFHPMFLEGAYGRCRNICAEYAKTFYLGTLLMTEERQKAIWAIYVWCRRTDELVDGPNAVHMSTAVLDRWEERLQDIFDGFPYDMLDAALTDTISKFSLDIKPFRDMIEGMRMDTRKFRYDNFQELYMYCYYVAGTVGLMSVPVMGIAAESSVSALSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDKDVFARKVTDEWRELMKEQITRARFYFNLAEEGASQLEKASRWPVWSSLLIYRKILDAIEDNDYDNFTKRAFVGRTKKLFTLPLAYSKAQPMSSMIFQ >Potri.011G126001.1.v4.1 pep chromosome:Pop_tri_v4:11:16095331:16099733:-1 gene:Potri.011G126001.v4.1 transcript:Potri.011G126001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126001.v4.1 MRVPAEHCILVLLFRFSLLLIVDTATAIDTINTTHSIRDGDTIVSAEGTYVLGFFSPGKSKNRYIGIWYGKIAVKTIVWVANRETPLNDSSGVLRLTDLGILVILNQNGTIIWSSNSSRSASNPAAQLLDSGNLVVKEEGDSLENSLWQSFEHPTDTILPGMKLGRNRITGMEWYMTSWKSPDDPSRGNFTCILIPYGYPELVLKQGSKMKYRSGPWDGLRFSGIPNLKPNPIYKFEFVISEEEIFYRESLVDKSMLWRFMTDQNGDIPSLAWIEQTQSWLLYETANTDNCDRYALCGANGLCNIQSSPVCECFDGFVPKVPTDWAVTVWSSGCVRRTPLNCSGDGFRKLSGVKMPETKASWFDKSLDLEECKNTCLKNCSCTAYSNMDIRGGGSGCLLWFGDLIDNRRFSENEQNIYIRMAASELDNGDGAEINGDDNVKKKIIISTLSTGIFLLGLVLVLYVWRRKHQKKGKSTGALERRSNNKHKKEDLKLPLFDLDTLACATDNFSVDNKLGEGGFGSVYKGTLTDGREIAVKRLSKNSRQGIGEFKTEVEYIVKFQHRNLVQLLGCCFEGDEKMLIYEFLPNKSLDFYIFNETEDTLLDWPTRYNIINGIARGLLYLHQDSRLRVIHRDLKASNILLDYELNPKISDFGLARSFGGNEIEANTIKVAGTYGYISPEYAIEGLYSVKSDVFSFGVLVLEIVSGYKNRGFSHPEHNLNLLGHAWRLFREGRSMELVRQSIIEVCNLSQVLRSIHVALLCVQDNREDRPDMSYVVLMLSNDNTLPQPKHPGFFIERDPAEASSTSEGTADSANKCSITVLQAR >Potri.015G110800.3.v4.1 pep chromosome:Pop_tri_v4:15:12778143:12781878:1 gene:Potri.015G110800.v4.1 transcript:Potri.015G110800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110800.v4.1 MDQLHDHEEDEHEHEVYGGEIPDEGEMDADADMSSRAEEDEYQDPNSKDLEDMKRRLKEIEEEAGALREMQAKVEKEMGAVQDSPGASATQAEKEEVDSRSIYVGNVDYSCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFIEVDAVQNAVLLNESELHGRQLKVSAKRTNVPGMKQYRGRRPSPYGFRSQRAFMPAPFYPAYGYGVPRFRRPMRYRPY >Potri.015G110800.1.v4.1 pep chromosome:Pop_tri_v4:15:12778143:12781878:1 gene:Potri.015G110800.v4.1 transcript:Potri.015G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110800.v4.1 MDQLHDHEEDEHEHEVYGGEIPDEGEMDADADMSSRAEEDEYQDPNSKDLEDMKRRLKEIEEEAGALREMQAKVEKEMGAVQDSPGASATQAEKEEVDSRSIYVGNVDYSCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFIEVDAVQNAVLLNESELHGRQLKVSAKRTNVPGMKQYRGRRPSPYGFRSQRAFMPAPFYPAYGYGRVPRFRRPMRYRPY >Potri.008G039600.1.v4.1 pep chromosome:Pop_tri_v4:8:2220951:2225028:1 gene:Potri.008G039600.v4.1 transcript:Potri.008G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039600.v4.1 MAKDTEVAEAGSFSAKDYQDPPPAPLIDAEELTKWSFYRALIAEFIATMLFLYITVLTVIGYKSQIDGNADPCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVMYMVAQCAGAICGVGLVKAFQKSYYTKYNGGANVLADGYSTGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNNKKAWHDQWIFWAGPFIGAAIAAFYHQFILRAGAIKALGSFRSNPNV >Potri.014G161200.2.v4.1 pep chromosome:Pop_tri_v4:14:11561761:11562432:-1 gene:Potri.014G161200.v4.1 transcript:Potri.014G161200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161200.v4.1 MSVEILDGATIVNFLEDEEAFNASICDRFAHLDSNNDGKLSYEEMLKELQGLRVMETHFGVDVETDPDELVQVYDSLFVQFDHDLNGTVDLEEFKAETKQMMLAMASGMGFLPVQMVLEEDSFLKKAVEWESAKLVASYSSYPN >Potri.007G051100.2.v4.1 pep chromosome:Pop_tri_v4:7:4974869:4977684:1 gene:Potri.007G051100.v4.1 transcript:Potri.007G051100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051100.v4.1 MFVCCLLDLAFKYCFPCNLCGYFQFSRRKRLTDHWRRFIRPLMWRCKWVELQIKEFQSQALKYDREIAEHERRKLFDHETFMEEGFPVKSLPFSTCMERKKAMKRKKRKRFEETADVASYMLQHNLFSYYENRKSAIDGASIDDGCLNLGGDFSAKTINGNDEFGFQDGLASLQSSDNISEHILRQIEVLKSQVHKLKARVDKVASENPVKFSSVNALSLLAPSDALTSSDCNPASVAKRGDSTPSRLPHAVSNMGNVMPETAVSSHREATSRSDMIGSTGLLHVGASCGNAEKGILIHNAAVKEEIGNSKKSNSGVTEKPWGVMEKQTTQASEPELPKEMLVTRARCGGKSLPKSRLNASNNKRKRGRRK >Potri.007G051100.1.v4.1 pep chromosome:Pop_tri_v4:7:4973507:4977687:1 gene:Potri.007G051100.v4.1 transcript:Potri.007G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051100.v4.1 MGPDLEIKSKQIVAVEVSDSKENISVRQDPEDNKVLQCASNCQDKGFHDDQDKAAVGSADVEVNIIDCTNASDNEQIVARYEDSTESMSSFGDLESETKSVSSDTEVESQLFVGGGSISIFDGYGGAFQMRRKRLTDHWRRFIRPLMWRCKWVELQIKEFQSQALKYDREIAEHERRKLFDHETFMEEGFPVKSLPFSTCMERKKAMKRKKRKRFEETADVASYMLQHNLFSYYENRKSAIDGASIDDGCLNLGGDFSAKTINGNDEFGFQDGLASLQSSDNISEHILRQIEVLKSQVHKLKARVDKVASENPVKFSSVNALSLLAPSDALTSSDCNPASVAKRGDSTPSRLPHAVSNMGNVMPETAVSSHREATSRSDMIGSTGLLHVGASCGNAEKGILIHNAAVKEEIGNSKKSNSGVTEKPWGVMEKQTTQASEPELPKEMLVTRARCGGKSLPKSRLNASNNKRKRGRRK >Potri.019G047480.1.v4.1 pep chromosome:Pop_tri_v4:19:7215353:7215836:-1 gene:Potri.019G047480.v4.1 transcript:Potri.019G047480.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047480.v4.1 MSALGVVGLALNLRAYDFVSQEIRAAFMLGWWLKMSLMKTLYCLRRFYPVETLFNGTLTLAAGNARLINFSSKLLVVDVAHAGLIVFWAVAMNLFEVAYFVPEKPMHEQGLILLPHLATLGWGWVLAGKS >Potri.006G196566.1.v4.1 pep chromosome:Pop_tri_v4:6:20448868:20454184:-1 gene:Potri.006G196566.v4.1 transcript:Potri.006G196566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196566.v4.1 MVISGAASAESLDILQPSNLSHEMVLEMEEGYGLLKSGFAMANISDEQMAFLTKEWYNSVLARIRINAFRIELAVGSYEDLLSSAAACIEAEAAVGNAVYMLPSLYNHDCDPNAHIVWIDNADAQLKALCDVEEDEELRICYIDASMDHDARQSFLLQGFGFKCNCLRCLSGD >Potri.001G120625.1.v4.1 pep chromosome:Pop_tri_v4:1:9842520:9848660:-1 gene:Potri.001G120625.v4.1 transcript:Potri.001G120625.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120625.v4.1 MSEYSTVDSSSVFRYHCLILACLFTQMREFPAMLLGPVRLLVVFPAAFFGFLKLSRLILFFLAGLGSDGLSLPLHRMGVCPHCELKVVPLSTRKMGVGKIGFHSWLLANKLRDLRSAFSLLPLC >Potri.001G172800.4.v4.1 pep chromosome:Pop_tri_v4:1:14855906:14862310:-1 gene:Potri.001G172800.v4.1 transcript:Potri.001G172800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172800.v4.1 MSLTSVKMTEEVWLTCLTHALSTETEEILGLLLGDIEYAKDGNVTALIWGASPQSRSDRRKDRVETKPEQLAAASAQAERMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDPGFIGLIFSCFNEDVNKVGRIQVIAFQSSDGKQNNMLRPVSALPVNKSSIIELDSSSSDIASLEGPELDTADSRAAGSSKGGARSLGLGDFFANADANYVGRERIGGNYYSSNSGDKITDIDPMDMSESMQEAMHRSNLDMSGAEYSRREIPLHVLPTCSLLKLDSPLMSFGDLQRVLYEEERGAYNQAILQNMRNGKVHPLTFIHHTATYQASMCKLIEYCLSPAINALQGRLRENDIRVYN >Potri.001G172800.1.v4.1 pep chromosome:Pop_tri_v4:1:14855802:14862313:-1 gene:Potri.001G172800.v4.1 transcript:Potri.001G172800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172800.v4.1 MSLTSVKMTEEVWLTCLTHALSTETEEILGLLLGDIEYAKDGNVTALIWGASPQSRSDRRKDRVETKPEQLAAASAQAERMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDPGFIGLIFSCFNEDVNKVGRIQVIAFQSSDGKQNNMLRPVSALPVNKSSIIELDSSSSDIASLEGPELDTADSRAAGSSKGGARSLGLGDFFANADANYVGRERIGGNYYSSNSGDKITDIDPMDMSESMQEAMHRSNLDMSGAEYSRREIPLHVLPTCSLLKLDSPLMSFGDLQRVLYEEERGAYNQAILQNMRNGKVHPLTFIHHTATYQASMCKLIEYCLSPAINALQGRLRENDIRLATLADEAKMLEMETAKGSELQISPSSSRSPRQVPSPGLRGSALSGQRDFHGSSESLSSRTVASPGSRSRTSSS >Potri.001G172800.3.v4.1 pep chromosome:Pop_tri_v4:1:14855799:14862237:-1 gene:Potri.001G172800.v4.1 transcript:Potri.001G172800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172800.v4.1 MSLTSVKMTEEVWLTCLTHALSTETEEILGLLLGDIEVFCECVTWKTELWHFRYAKDGNVTALIWGASPQSRSDRRKDRVETKPEQLAAASAQAERMTASTGRTTRVIGWYHSHPHITVLPSHVDVRTQAMYQLLDPGFIGLIFSCFNEDVNKVGRIQVIAFQSSDGKQNNMLRPVSALPVNKSSIIELDSSSSDIASLEGPELDTADSRAAGSSKGGARSLGLGDFFANADANYVGRERIGGNYYSSNSGDKITDIDPMDMSESMQEAMHRSNLDMSGAEYSRREIPLHVLPTCSLLKLDSPLMSFGDLQRVLYEEERGAYNQAILQNMRNGKVHPLTFIHHTATYQASMCKLIEYCLSPAINALQGRLRENDIRLATLADEAKMLEMETAKGSELQISPSSSRSPRQVPSPGLRGSALSGQRDFHGSSESLSSRTVASPGSRSRTSSS >Potri.014G110300.4.v4.1 pep chromosome:Pop_tri_v4:14:7383588:7385395:-1 gene:Potri.014G110300.v4.1 transcript:Potri.014G110300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110300.v4.1 MEGESRKRMKHGIDENGQEVIDGAALVIVEKEETETNIVGSEEMELNIAHIFEKIEHFTQMVSELLESGKAMFKELSNEFEERLISIHKEQMEKWQEEIKELRLLDASNEEASGILHNARYVLQNPHIDS >Potri.014G110300.1.v4.1 pep chromosome:Pop_tri_v4:14:7383084:7386208:-1 gene:Potri.014G110300.v4.1 transcript:Potri.014G110300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110300.v4.1 MEGESRKRMKHGIDENGQEVIDGAALVIVEKEETETNIVGSEEMELNIAHIFEKIEHFTQMVSELLESGKAMFKELSNEFEERLISIHKEQMEKWQEEIKELRLLDASNEEASGILHNARYVLQNPHIDS >Potri.014G110300.2.v4.1 pep chromosome:Pop_tri_v4:14:7383084:7386208:-1 gene:Potri.014G110300.v4.1 transcript:Potri.014G110300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110300.v4.1 MEGESRKRMKHGIDENGQEVIDGAALVIVEKEETETNIVGSEEMELNIAHIFEKIEHFTQMVSELLESGKAMFKELSNEFEERLISIHKEQMEKWQEEIKELRLLDASNEEASGILHNARYVLQNPHIDS >Potri.014G110300.3.v4.1 pep chromosome:Pop_tri_v4:14:7383539:7385438:-1 gene:Potri.014G110300.v4.1 transcript:Potri.014G110300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110300.v4.1 MEGESRKRMKHGIDENGQEVIDGAALVIVEKEETETNIVGSEEMELNIAHIFEKIEHFTQMVSELLESGKAMFKELSNEFEERLISIHKEQMEKWQEEIKELRLLDASNEEASGILHNARYVLQNPHIDS >Potri.008G162800.1.v4.1 pep chromosome:Pop_tri_v4:8:11197680:11201316:-1 gene:Potri.008G162800.v4.1 transcript:Potri.008G162800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162800.v4.1 MGIEVTDICMDKESDSVIVYSNGVSHDQTHETVPHDHGVLESYEPINGVPELHSSEESTEAKEYEVKECTTEVSVEVTELSHAEKSKEGQHVVCSNFEDGLKVKKVKAVNRKSKDIGQQKSSIKRVSKPASAAIARTKHTVPQPFALATEKRASSGIRPSGPEPDITNGVNKSFKANNVLRQNPMKQNQPLSVSRKPLQPNNKKHPDEEDNCSVTSSTTASARPIMSKATAVAAPVFRCTERAEKRKEFYSKLEEKYQALEAEKTQSEARTKEEKEAAIKQLRKSLTFKANPMPSFYHEGPPPKVELKKLPPTRAKSPKLGRRKSCNNRVNSSQPDKVKRDFNDEKNQSQDSSREDTSNPVSQHSVLKGHAISKFEDETQQAEEINE >Potri.008G162800.7.v4.1 pep chromosome:Pop_tri_v4:8:11197689:11201316:-1 gene:Potri.008G162800.v4.1 transcript:Potri.008G162800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162800.v4.1 MGIEVTDICMDKESDSVIVYSNGVSHDQTHETVPHDHGVLESYEPINGVPELHSSEESTEAKEYEVKECTTEVSVEVTELSHAEKSKEGQHVVCSNFEDGLKVKKVKAVNRKSKDIGQQKSSIKRVSKPASAAIARTKHTVPQPFALATEKRASSGIRPSGPEPDITNGVNKSFKANNVLRQNPMKQNQPLSVSRKPLQPNNKKHPDEEDNCSVTSSTTASARPIMSKATAVAAPVFRCTERAEKRKEFYSKLEEKYQALEAEKTQSEARTKEEKEAAIKQLRKSLTFKANPMPSFYHEGPPPKVELKKLPPTRAKSPKLGRRKSCNNRVNSSQPDKVKRDFNDEKNQSQDSSREDTSNPVSQHSVLKGHAISKFEDETQQAEEINE >Potri.008G162800.6.v4.1 pep chromosome:Pop_tri_v4:8:11197658:11201316:-1 gene:Potri.008G162800.v4.1 transcript:Potri.008G162800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162800.v4.1 MGIEVTDICMDKESDSVIVYSNGVSHDQTHETVPHDHGVLESYEPINGVPELHSSEESTEAKEYEVKECTTEVSVEVTELSHAEKSKEGQHVVCSNFEDGLKVKKVKAVNRKSKDIGQQKSSIKRVSKPASAAIARTKHTVPQPFALATEKRASSGIRPSGPEPDITNGVNKSFKANNVLRQNPMKQNQPLSVSRKPLQPNNKKHPDEEDNCSVTSSTTASARPIMSKATAVAAPVFRCTERAEKRKEFYSKLEEKYQALEAEKTQSEARTKEEKEAAIKQLRKSLTFKANPMPSFYHEGPPPKVELKKLPPTRAKSPKLGRRKSCNNRVNSSQPDKVKRDFNDEKNQSQDSSREDTSNPVSQHSVLKGHAISKFEDETQQAEEINE >Potri.005G117800.1.v4.1 pep chromosome:Pop_tri_v4:5:8634393:8635977:-1 gene:Potri.005G117800.v4.1 transcript:Potri.005G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117800.v4.1 MGTLSFSLFSLVLLISVTRLLVEVESKTYWGDLEVLKEVKTAVNPNSVSPGSCLSAWDFTVDPCDHLFSEKFTCGFRCDIVVSGSSRVTELSLDQAGYSGTLSSITWNLPYLQTLDLSNNYFYGQIPESLSNLTQLRRLGLSRNLLYGEIPTSIGSLSSLEELYLDNNNLQGNIPASFNGLASLKRLEIQSNKLIGEFPELGPLKNLKYFDASDNAISGDVPLALPASLVQLSMRNNGLRGKLDPQSFRSLAFLQVLDLSHNNLSDSVPLPLFTHPSLQQLTLSFNFFTSVQSPPFPLTTTLQSALVAIDLSNNELRGVLPSFMASMPKLSALSLENNKFSGMIPTQFAIKTVLPGSELSPFDRLFLGGNYLYGPIPGPLMELQPGFADVRLNDNCLYRCPVSFFFCRGGDQKSQIECKSFSPLIP >Potri.016G098400.4.v4.1 pep chromosome:Pop_tri_v4:16:9918430:9921353:-1 gene:Potri.016G098400.v4.1 transcript:Potri.016G098400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098400.v4.1 MLRKIMKGGHRKPSKSDGNDFGFGAPRNSGSGPANNVVVNHSSRAAPGPPSPHTGSAIAAPPPMNTVEPLPLFRDVPVSERQNLFLRKLQVCCFQFDFTDTLKSVREKEIKRQTLLELVDFIQSGSGKITENCQEEMIKMVSANIFRCLPPASHENTGQVSADPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPISVYHQQLSYCITQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATHSAEFQRSMVPLFRQIARCLTSSHFQVCDCFNLLSS >Potri.016G098400.3.v4.1 pep chromosome:Pop_tri_v4:16:9917087:9921483:-1 gene:Potri.016G098400.v4.1 transcript:Potri.016G098400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098400.v4.1 MLRKIMKGGHRKPSKSDGNDFGFGAPRNSGSGPANNVVVNHSSRAAPGPPSPHTGSAIAAPPPMNTVEPLPLFRDVPVSERQNLFLRKLQVCCFQFDFTDTLKSVREKEIKRQTLLELVDFIQSGSGKITENCQEEMIKMVSANIFRCLPPASHENTGQVSADPEEEEPYLEPSWPHLQLVYELLLRYVVSSDTDTKVAKRYIDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFVYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKPISVYHQQLSYCITQFVEKDYKLADTVIRGLLKYWPVTNCQKEVLFLGELEEVLEATHSAEFQRSMVPLFRQIARCLTSSHFQVAERALFLWNNEHIVSLIAQNRSVVLPIIFEALEKNIHGHWNQAVHGLTVNVRKMFLEMDTELFEECQRQHAEKKSRARDVEEQRELTWKRLADVAAQRGGGEDMITV >Potri.012G014500.1.v4.1 pep chromosome:Pop_tri_v4:12:1723997:1728115:1 gene:Potri.012G014500.v4.1 transcript:Potri.012G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014500.v4.1 MGYGRLGRKESDPGEGSSNSFTINQPQHATSQVSPTRKKKIIFLTIFSVVLIAASAASAAILLGVRDKASGQSDPNSLTHRKPTQAISKACSKTRFPALCVSSLLDFPGSMTASESDLVHISFNMTLLHLDKALYLSSGISYVNMETHERSAFDDCLELLEDSIDALTRSLSTVSPSSGGGGSPEDVVTWLSAALTNQDTCSEGFEGVNGTVKDQMTEKLKDLTELVSNCLAIFSATNGGDFSGVPIQNKRRLMTEDGDISEEDNFPSWLGRRERRLLGLPVSAIQADIIVSGDGSGTFKTISEAIKKAPEHSNRRTIIYVRAGRYVEDNLKVGRKKWNLMFIGDGMGKTIITGSRSVFNHITTFHTASFAATGAGFIARDMTFENWAGPAKHQAVALRVGADHAVVYRCSIIGYQDTLYVHSNRQFYRECDIYGTVDFIFGNAAVVLQNCSIYARKPMASQKNTITAQNRKDPNQNTGISIHACKILAASDLAPSKGTFPTYLGRPWKLYSRTVYMLSFMGDHIHPRGWLEWDASFALDTLYYGEYMNYGPGAAVGQRVKWPGYRVITSTVEANKFTVAQFIYGSSWLPSTGVAFLAGLSV >Potri.009G146000.1.v4.1 pep chromosome:Pop_tri_v4:9:11582304:11586038:1 gene:Potri.009G146000.v4.1 transcript:Potri.009G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146000.v4.1 MAASLHHAVPKLTITTAARPESRWLSFNPVRCCAPTTTTTTTDAGAHRITVKNGNDSLDICRVLNGMWQTSGGWGRIDRDDAVEAMLRYADAGLSTFDMADHYGPAEDLYGIFINRLRRERPPEVLDRVRGLTKWVPPPVKMTGSYVRQNIDISRKRMDVSSLDMLQFHWWDYSNPGYLDALKHLNDLKEEGKIKTVALTNFDTERLQIILENGIPVVSNQVQHSLVDMRPQQKMAELCQLTGVKLITYGTVMGGLLSEKFLDTNLSIPFSAPPLNTPSLQKYKRMVDAWGGWSLFQSLLRTLNKIASKHGVSIPTVAVKYILDQPAVAGSMIGVRLGLAEHINDANAVFSLVLDEEDMNSIQEVSKKGKDLLKVIGDCGDEYRRI >Potri.012G124666.1.v4.1 pep chromosome:Pop_tri_v4:12:14163791:14165842:1 gene:Potri.012G124666.v4.1 transcript:Potri.012G124666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124666.v4.1 MIRWIKKKLRNIKVVARQNRSDGSYEERSKVSIERNPIEDLLVGGESEMSSLVDESEMSSLVDGETSKNDGIRSYQKVMKALRDEEIQRHLKAMKTSEKDEEIKLLKHQLYEKDEEIRRHQKAMKTICSDLKFALEAAEELRQQENPWLNENITGFMFYNLENAHATAQFGERNQPYSWRGNWDLVGAVMS >Potri.008G030300.1.v4.1 pep chromosome:Pop_tri_v4:8:1640008:1643410:-1 gene:Potri.008G030300.v4.1 transcript:Potri.008G030300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030300.v4.1 MALNSPSLGSTISLSSISFRSKYPRNSLTCKHLFLNFSSPVTESLRVSADSTPKAKFVARRKESVSVRQLGRPLMEYMSLPASQYSVLDAERIERVDDNTFRCYVYSFKFFAFEICPVLLVRVEEQPDGCCIKLLSCKLEGSPIVVAQNEKFDAYMVNQISCDSNQSNSTIQQLTSDAVIEVSIDIPFAFRAIPAEAIESTGAQILKQILGQILPRFMAQLVKDYHAWASGDASRQPLGTGEI >Potri.013G045000.1.v4.1 pep chromosome:Pop_tri_v4:13:3120824:3123036:1 gene:Potri.013G045000.v4.1 transcript:Potri.013G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045000.v4.1 MESSETQLDLVQNGSGYDIEKEIKAFDESKAGVKGLVDSGIVKIPPFFVVPEKVVSSQPTPAHLQIPVIDLEDIRDDPVRHEKVIKEIRSALEIWGFFQVVNHGVSKDITEGMIEGVKGFHEEKNEVKREYYTRDVKKKVTYTSNTLIHKTKAADWKDTLYFRMAPDSPRPEELPVVCRETTIKYSAYIRGLGDTLLKLVSEALGLNPNYLIEFGCAKGLKIMCHYYPPCPEPNRTLGSKPHTDPDFLTILMQDHIGGLQVFHQNQWINVPPIPGAFVINAGDLLQLISNGKFKSVEHRVLANHNGPRISVASFFAIYDRICGPIKELLSDENPPLYKEVPLMEYITQYMWKEQDGGMTTLDRFRL >Potri.003G143000.1.v4.1 pep chromosome:Pop_tri_v4:3:15843553:15848669:1 gene:Potri.003G143000.v4.1 transcript:Potri.003G143000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143000.v4.1 MQKPIEGRGVGGGGGEPEGMKSMELDLDLDNSWPLDQISFMSSNPMSPFLISTSTEQPCSPLWAFSDAVDDRLAATASGQASPAFAAAAAPRLSDYPILLTCNPNLITESQGENDDNSKLPSPFLGLMPIDNPDGYCMIKERMTQALRYFKESTEQHVLAQVWAPVKNGGQHVLTTSGQPFVLDPHSNGLHQYRMVSLMYMFSVDGESDRELGLPGRVFRQKSPEWTPNVQYYSSKEYSRLDHALRYNVRGTLALPVFEPSGQSCVGVLELIMNSQKINYAPEVDKVCKALEAVNLKSSEILDPPSIQICNEGRQNALSEILEILTMVCETHKLPLAQTWVPCIHRSVLTYGGGLKKSCTSFDGNCNGQVCMSTTDVAFYVVDARMWGFREACLEHHLQKGQGVAGRAFLSQNSCFCPDITQFCKTEYPLVHYARMFGLTSCFAIFLRSSYTGDDDYILEFFLPPSITDSHEQKTFLGSILATMKQDFQSLKVASGMDLEEEGFVEMIEATTNGRLECIQIPQPTKSPPGDNMLPNEGHIEQIDSEKNKLMFDLDVIKNGGSAVQADRRQTPPSHPEKKGTKKPTERKRGKAEKTISLEVLQQYFAGSLKDAAKRLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKWVIESVQGTEGTFDLTPLTTSPLHVADGTISWPSNLNGSNQQTSPNSKPPEYHGNRNGSPTCRKPGSDGQAGFEDQLLGYRILSQEKLTVQNRFSPELGRGSNRSKKRSGSRDGSAGTPTSHDSCQGSPENESAPVKDPSVSPVHERCIKAGGSPGLALQQTKEQNLSSAYSIPDALVATEAHEPFGGMLIEDAGSSKDLRNLCPAVAEAIVDERVPESSWTDPPCFNMLPTQMFAAPLHAIPQATPRQEMKSVTIKATYREDVIRFRISLSSGIVELKEEVAKRLKLEVGTFDIKYLDDDQEWVLIACDADLLECMDVSRSSSSNIIRLSVHDANANLGSSCESTGEL >Potri.003G143000.3.v4.1 pep chromosome:Pop_tri_v4:3:15843556:15848634:1 gene:Potri.003G143000.v4.1 transcript:Potri.003G143000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143000.v4.1 MVCETHKLPLAQTWVPCIHRSVLTYGGGLKKSCTSFDGNCNGQVCMSTTDVAFYVVDARMWGFREACLEHHLQKGQGVAGRAFLSQNSCFCPDITQFCKTEYPLVHYARMFGLTSCFAIFLRSSYTGDDDYILEFFLPPSITDSHEQKTFLGSILATMKQDFQSLKVASGMDLEEEGFVEMIEATTNGRLECIQIPQPTKSPPGDNMLPNEGHIEQIDSEKNKLMFDLDVIKNGGSAVQADRRQTPPSHPEKKGTKKPTERKRGKAEKTISLEVLQQYFAGSLKDAAKRLGVCPTTMKRICRQHGISRWPSRKINKVNRSLSKLKWVIESVQGTEGTFDLTPLTTSPLHVADGTISWPSNLNGSNQQTSPNSKPPEYHGNRNGSPTCRKPGSDGQAGFEDQLLGYRILSQEKLTVQNRFSPELGRGSNRSKKRSGSRDGSAGTPTSHDSCQGSPENESAPVKDPSVSPVHERCIKAGGSPGLALQQTKEQNLSSAYSIPDALVATEAHEPFGGMLIEDAGSSKDLRNLCPAVAEAIVDERVPESSWTDPPCFNMLPTQMFAAPLHAIPQATPRQEMKSVTIKATYREDVIRFRISLSSGIVELKEEVAKRLKLEVGTFDIKYLDDDQEWVLIACDADLLECMDVSRSSSSNIIRLSVHDANANLGSSCESTGEL >Potri.006G004232.1.v4.1 pep chromosome:Pop_tri_v4:6:327350:328628:1 gene:Potri.006G004232.v4.1 transcript:Potri.006G004232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004232.v4.1 MTGFSIRCRGWRSVYFNPERKGFLGLAPTTLLQTLVQQERWSEGELQILLSRHGPFFDGYKNIPLKLLLSYCIYFLWAANCFPTLYYVVVPSLCLLRGISLFPKASSPWIQAFAYAFFADRAYGLVEFLWCDGTFQGWWNDQRIWMFKRTTSYLVGFCDTILKMLGFTNHAFVVTAKVASEDASKRYEQEIMEFGVPSPMFNILATLALLNIFSFIGGIKMVISDVENKVLDLFTSQIVLSGLIVWINLPVYEGLFFRKDSGRIPNSVTYKSLIVSLLACSVALH >Potri.002G126600.2.v4.1 pep chromosome:Pop_tri_v4:2:9609080:9610022:1 gene:Potri.002G126600.v4.1 transcript:Potri.002G126600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126600.v4.1 MAVATAHVFCLPNQEALLAHQLWFMPNSDLHGFLGLILHHILELWQEILGLTHLALERIRKVVCEGRVGPCSLALPWLELREFFSQM >Potri.013G019800.7.v4.1 pep chromosome:Pop_tri_v4:13:1251822:1258532:1 gene:Potri.013G019800.v4.1 transcript:Potri.013G019800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019800.v4.1 MEVSAGLVAGSHNRNELVVIRRDGESAPRSLERVSRQICQICGDDVGLTVDGELFVACNECAFPICRTCYEYERREGNQVCPQCKTRFKRLKGCARVHGDEEEDGIDDLENEFNFDGRNSNRHDMQHHGGLGGPESMRHYDPDLPQVPLLTNGQMVDDIPPEQHALVPSYMAPIGGSGKRIHPLPFSDSAVPVQPRSMDPSKDLAAYGYGSIAWKERMESWKQKQDNLQMMKSENGDYDGDDPDLPLMDEARQPLSRKTPLPSSQINPYRMIIIVRLVVVGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQASQLCPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVQASFVKERRAMKREYEEFKVRINALVSKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKALREAMCFMVDPLLGKRVCYVQFPQRFDGIDRSDRYANRNTVFFDINMRGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSKTFEPVGALEGIEEGIEGIESESVDVTSEQKLEKKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPSRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.013G019800.8.v4.1 pep chromosome:Pop_tri_v4:13:1251515:1258154:1 gene:Potri.013G019800.v4.1 transcript:Potri.013G019800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019800.v4.1 MEVSAGLVAGSHNRNELVVIRRDGESAPRSLERVSRQICQICGDDVGLTVDGELFVACNECAFPICRTCYEYERREGNQVCPQCKTRFKRLKGCARVHGDEEEDGIDDLENEFNFDGRNSNRHDMQHHGGLGGPESMRHYDPDLPQVPLLTNGQMVDDIPPEQHALVPSYMAPIGGSGKRIHPLPFSDSAVPVQPRSMDPSKDLAAYGYGSIAWKERMESWKQKQDNLQMMKSENGDYDGDDPDLPLMDEARQPLSRKTPLPSSQINPYRMIIIVRLVVVGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQASQLCPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVQASFVKERRAMKREYEEFKVRINALVSKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKALREAMCFMVDPLLGKRVCYVQFPQRFDGIDRSDRYANRNTVFFDINMRGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSKTFEPVGALEGIEEGIEGIESESVDVTSEQKLEKKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPSRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.013G019800.9.v4.1 pep chromosome:Pop_tri_v4:13:1252379:1258232:1 gene:Potri.013G019800.v4.1 transcript:Potri.013G019800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019800.v4.1 MQHHGGLGGPESMRHYDPDLPQVPLLTNGQMVDDIPPEQHALVPSYMAPIGGSGKRIHPLPFSDSAVPVQPRSMDPSKDLAAYGYGSIAWKERMESWKQKQDNLQMMKSENGDYDGDDPDLPLMDEARQPLSRKTPLPSSQINPYRMIIIVRLVVVGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQASQLCPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVQASFVKERRAMKREYEEFKVRINALVSKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKALREAMCFMVDPLLGKRVCYVQFPQRFDGIDRSDRYANRNTVFFDINMRGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSKTFEPVGALEGIEEGIEGIESESVDVTSEQKLEKKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPSRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.013G019800.6.v4.1 pep chromosome:Pop_tri_v4:13:1251551:1258184:1 gene:Potri.013G019800.v4.1 transcript:Potri.013G019800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019800.v4.1 MEVSAGLVAGSHNRNELVVIRRDGESAPRSLERVSRQICQICGDDVGLTVDGELFVACNECAFPICRTCYEYERREGNQVCPQCKTRFKRLKGCARVHGDEEEDGIDDLENEFNFDGRNSNRHDMQHHGGLGGPESMRHYDPDLPQVPLLTNGQMVDDIPPEQHALVPSYMAPIGGSGKRIHPLPFSDSAVPVQPRSMDPSKDLAAYGYGSIAWKERMESWKQKQDNLQMMKSENGDYDGDDPDLPLMDEARQPLSRKTPLPSSQINPYRMIIIVRLVVVGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQASQLCPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVQASFVKERRAMKREYEEFKVRINALVSKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLTNAPYLLNLDCDHYINNSKALREAMCFMVDPLLGKRVCYVQFPQRFDGIDRSDRYANRNTVFFDINMRGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSKTFEPVGALEGIEEGIEGIESESVDVTSEQKLEKKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPSRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.006G264700.2.v4.1 pep chromosome:Pop_tri_v4:6:26061009:26063794:-1 gene:Potri.006G264700.v4.1 transcript:Potri.006G264700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264700.v4.1 MESSSSNSDVVPESAMEAVERTLANVKQVETHLLEFLSLANPDVLDEMPPLQRAQSLFMLAKATSTLFALRLRTTGIHPDEHPIKTELERLSLYQDKLEQFVDISKEPLHRSTTLNYQAATRFIEHSLPDLTPEQRKSMRNISKGEGPKIKYTERSTRKKRKCDTSETQSVQAAAQEFLEKAARELFGGSTDGFKGPLIKTDSSSEDNLPVD >Potri.006G264700.1.v4.1 pep chromosome:Pop_tri_v4:6:26061919:26063728:-1 gene:Potri.006G264700.v4.1 transcript:Potri.006G264700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264700.v4.1 MESSSSNSDVVPESAMEAVERTLANVKQVETHLLEFLSLANPDVLDEMPPLQRAQSLFMLAKATSTLFALRLRTTGIHPDEHPIKTELERLSLYQDKLEQFVDISKEPLHRSTTLNYQAATRFIEHSLPDLTPEQRKSMRNISKGEGPKIKYTERSTRKKRKCDTSETQSVQAAAQEFLEKAARELFGGSTDGFKGPLIKTDSSSEDNLPVD >Potri.008G179500.6.v4.1 pep chromosome:Pop_tri_v4:8:12385500:12390169:-1 gene:Potri.008G179500.v4.1 transcript:Potri.008G179500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179500.v4.1 MAAHRRSLNQVNPPQEDNPLAGGSSQLNQNEVTEEKFEGPTGTDRFSRKTQEEVSETGRVKWSVYSTFITSAYKGALVPIILLCQVLFQGLQMGSNYWIAWATEKSHNVTREKLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFFGMISSIFQATISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLCIVILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESITGAATIRCFNQEERFLMRSLSLIDDYSRIVFHNSGTMEWLCIRINFLFNLGFFLVLIILVNLPKSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCRPKPEWPVDGRVELIGLDVQYSPSLPKVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLRDLRSKLGIIPQDPTLFRGTVRTNLDPLEKHSDQEIWEVLNKCRLADIVKRDKRLLDAPVSEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDIETDNIIQGTIREETSRCTVITVAHRIPTVIDNDLILVLEDGKVVEYDSPVKLLKDNSSSFSKLVIEFLRRSIQE >Potri.008G179500.2.v4.1 pep chromosome:Pop_tri_v4:8:12385450:12392637:-1 gene:Potri.008G179500.v4.1 transcript:Potri.008G179500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179500.v4.1 MVLWYSAYWHTKRIGDFCWNIHGVWLLPFQVFLALVILYINLGAAPSIAALSSTILVMVSNTPLASKQERLHSRIMEAKDSRIKATSETLKSMRVLKLYSWEPTFLKKLLQLRETERNWLRKYLYTSSAIAFLFWASPTLVSVVTFGVCILLKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQDFLSEDDQKKQIPYQASQASDITIEMKCGEYAWETIDQNSTKPTIKITKNMKIMKGYKVAVCGSVGSGKSSLLCSILGEIPMISGAGVKVHGTKAYVPQSAWIQTGTVRDNVLFGKDMSKEIYEDVLEGCALNQDIEIWADGDLTVVGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTVIYATHQLEFLDAADLVLVTKDGVIVQSGKYEDLIADPTGELVRQMAAHRRSLNQVNPPQEDNPLAGGSSQLNQNEVTEEKFEGPTGTDRFSRKTQEEVSETGRVKWSVYSTFITSAYKGALVPIILLCQVLFQGLQMGSNYWIAWATEKSHNVTREKLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFFGMISSIFQATISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLCIVILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESITGAATIRCFNQEERFLMRSLSLIDDYSRIVFHNSGTMEWLCIRINFLFNLGFFLVLIILVNLPKSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCRPKPEWPVDGRVELIGLDVQYSPSLPKVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLRDLRSKLGIIPQDPTLFRGTVRTNLDPLEKHSDQEIWEVLNKCRLADIVKRDKRLLDAPVSEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDIETDNIIQGTIREETSRCTVITVAHRIPTVIDNDLILVLEDGKVVEYDSPVKLLKDNSSSFSKLVIEFLRRSIQE >Potri.008G179500.3.v4.1 pep chromosome:Pop_tri_v4:8:12385451:12392989:-1 gene:Potri.008G179500.v4.1 transcript:Potri.008G179500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179500.v4.1 MGIFELGTRMEISVEIVYAAFSMLLVAWLLIDILKRRRGGGDLRCRELEAVKQFTVLFTTFTVLPNVIISILYLGFGFYEYWELRIITSKSVFLSMTWILATLVACYSKNRTLREDNKLPLVIVLWWVFYCIFDSLSVSIHLITRFSSIELPYPWPEANIADFASLPLLVLLCFNAVTFSCSTKTHDDLEIPLLQEKRESLFKDSTCYRSAGIWSKLTFKWLNPLFSSGRIEKLELSHVPPVPASETAKYASSLLEDSFGKNKKETLNLPKAIAYAVWKSLTINGVFAGVNTIASYTGPLLITNFVNFLSENHDDSGHIHGLVLAFVFFFSKTVESVTQRQWYFGTQRIGIRVRAALSVLVYKKSLSVKFAGSSNGKIINMINVDVERIGDFCWNIHGVWLLPFQVFLALVILYINLGAAPSIAALSSTILVMVSNTPLASKQERLHSRIMEAKDSRIKATSETLKSMRVLKLYSWEPTFLKKLLQLRETERNWLRKYLYTSSAIAFLFWASPTLVSVVTFGVCILLKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQDFLSEDDQKKQIPYQASQASDITIEMKCGEYAWETIDQNSTKPTIKITKNMKIMKGYKVAVCGSVGSGKSSLLCSILGEIPMISGAGVKVHGTKAYVPQSAWIQTGTVRDNVLFGKDMSKEIYEDVLEGCALNQDIEIWADGDLTVVGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTVIYATHQLEFLDAADLVLSGKYEDLIADPTGELVRQMAAHRRSLNQVNPPQEDNPLAGGSSQLNQNEVTEEKFEGPTGTDRFSRKTQEEVSETGRVKWSVYSTFITSAYKGALVPIILLCQVLFQGLQMGSNYWIAWATEKSHNVTREKLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFFGMISSIFQATISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLCIVILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESITGAATIRCFNQEERFLMRSLSLIDDYSRIVFHNSGTMEWLCIRINFLFNLGFFLVLIILVNLPKSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCRPKPEWPVDGRVELIGLDVQYSPSLPKVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLRDLRSKLGIIPQDPTLFRGTVRTNLDPLEKHSDQEIWEVLNKCRLADIVKRDKRLLDAPVSEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDIETDNIIQGTIREETSRCTVITVAHRIPTVIDNDLILVLEDGKVVEYDSPVKLLKDNSSSFSKLVIEFLRRSIQE >Potri.008G179500.4.v4.1 pep chromosome:Pop_tri_v4:8:12386699:12391239:-1 gene:Potri.008G179500.v4.1 transcript:Potri.008G179500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179500.v4.1 MRVLKLYSWEPTFLKKLLQLRETERNWLRKYLYTSSAIAFLFWASPTLVSVVTFGVCILLKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQDFLSEDDQKKQIPYQASQASDITIEMKCGEYAWETIDQNSTKPTIKITKNMKIMKGYKVAVCGSVGSGKSSLLCSILGEIPMISGAGVKVHGTKAYVPQSAWIQTGTVRDNVLFGKDMSKEIYEDVLEGCALNQDIEIWADGDLTVVGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTVIYATHQLEFLDAADLVLVTKDGVIVQSGKYEDLIADPTGELVRQMAAHRRSLNQVNPPQEDNPLAGGSSQLNQNEVTEEKFEGPTGTDRFSRKTQEEVSETGRVKWSVYSTFITSAYKGALVPIILLCQVLFQGLQMGSNYWIAWATEKSHNVTREKLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFFGMISSIFQATISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLCIVILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESITGAATIRCFNQEERFLMRSLSLIDDYSRIVFHNSGTMEWLCIRINFLFNLGFFLVLIILVNLPKSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCRPKPEWPVDGRVELIGLDVQYSPSLPKVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLRDLRSKLGIIPQDPTLFRGTVRTNLDPLEKHSDQEIWEVLNKCRLADIVKRDKRLLDAPVSEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDIETDNIIQGTIREETSRCTVITVAHRIPTVIDNDLILVLEDGKANFSNIINSCASSNRLNSLPRI >Potri.008G179500.5.v4.1 pep chromosome:Pop_tri_v4:8:12385442:12391212:-1 gene:Potri.008G179500.v4.1 transcript:Potri.008G179500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179500.v4.1 MIAQTKVSIDRIQDFLSEDDQKKQIPYQASQASDITIEMKCGEYAWETIDQNSTKPTIKITKNMKIMKGYKVAVCGSVGSGKSSLLCSILGEIPMISGAGVKVHGTKAYVPQSAWIQTGTVRDNVLFGKDMSKEIYEDVLEGCALNQDIEIWADGDLTVVGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTVIIAVETAQRLFFGMISSIFQATISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLCIVILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESITGAATIRCFNQEERFLMRSLSLIDDYSRIVFHNSGTMEWLCIRINFLFNLGFFLVLIILVNLPKSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCRPKPEWPVDGRVELIGLDVQYSPSLPKVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLRDLRSKLGIIPQDPTLFRGTVRTNLDPLEKHSDQEIWEVLNKCRLADIVKRDKRLLDAPVSEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDIETDNIIQGTIREETSRCTVITVAHRIPTVIDNDLILVLEDGKVVEYDSPVKLLKDNSSSFSKLVIEFLRRSIQE >Potri.008G179500.1.v4.1 pep chromosome:Pop_tri_v4:8:12385439:12393041:-1 gene:Potri.008G179500.v4.1 transcript:Potri.008G179500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179500.v4.1 MGIFELGTRMEISVEIVYAAFSMLLVAWLLIDILKRRRGGGDLRCRELEAVKQFTVLFTTFTVLPNVIISILYLGFGFYEYWELRIITSKSVFLSMTWILATLVACYSKNRTLREDNKLPLVIVLWWVFYCIFDSLSVSIHLITRFSSIELPYPWPEANIADFASLPLLVLLCFNAVTFSCSTKTHDDLEIPLLQEKRESLFKDSTCYRSAGIWSKLTFKWLNPLFSSGRIEKLELSHVPPVPASETAKYASSLLEDSFGKNKKETLNLPKAIAYAVWKSLTINGVFAGVNTIASYTGPLLITNFVNFLSENHDDSGHIHGLVLAFVFFFSKTVESVTQRQWYFGTQRIGIRVRAALSVLVYKKSLSVKFAGSSNGKIINMINVDVERIGDFCWNIHGVWLLPFQVFLALVILYINLGAAPSIAALSSTILVMVSNTPLASKQERLHSRIMEAKDSRIKATSETLKSMRVLKLYSWEPTFLKKLLQLRETERNWLRKYLYTSSAIAFLFWASPTLVSVVTFGVCILLKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQDFLSEDDQKKQIPYQASQASDITIEMKCGEYAWETIDQNSTKPTIKITKNMKIMKGYKVAVCGSVGSGKSSLLCSILGEIPMISGAGVKVHGTKAYVPQSAWIQTGTVRDNVLFGKDMSKEIYEDVLEGCALNQDIEIWADGDLTVVGERGMNLSGGQKQRIQLARAVYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTVIYATHQLEFLDAADLVLVTKDGVIVQSGKYEDLIADPTGELVRQMAAHRRSLNQVNPPQEDNPLAGGSSQLNQNEVTEEKFEGPTGTDRFSRKTQEEVSETGRVKWSVYSTFITSAYKGALVPIILLCQVLFQGLQMGSNYWIAWATEKSHNVTREKLIGIFILLSGGSSIFILGRAVLLATIAVETAQRLFFGMISSIFQATISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLCIVILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESITGAATIRCFNQEERFLMRSLSLIDDYSRIVFHNSGTMEWLCIRINFLFNLGFFLVLIILVNLPKSAIDPSLAGLAATYGLNLNVLQAWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCRPKPEWPVDGRVELIGLDVQYSPSLPKVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLRDLRSKLGIIPQDPTLFRGTVRTNLDPLEKHSDQEIWEVLNKCRLADIVKRDKRLLDAPVSEDGENWSVGQRQLVCLARVLLKKRRILVLDEATASIDIETDNIIQGTIREETSRCTVITVAHRIPTVIDNDLILVLEDGKVVEYDSPVKLLKDNSSSFSKLVIEFLRRSIQE >Potri.003G024100.1.v4.1 pep chromosome:Pop_tri_v4:3:2547793:2549603:-1 gene:Potri.003G024100.v4.1 transcript:Potri.003G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024100.v4.1 MSSHQPTMPCSTRSNSDFDEYQWVINIGRALEKELEEDCDESPVCIFTVPKTLMSSDPHSYTPQQLSLGPYHYRRADLHEMERYKLSAAKKLQNQLQSHRFENLVEQLIKLEPQIRACYHKYLDFNAETLAWMMALDVSFLLEFLQIYSVRETKMLSRAPSGMSHLLDYSKRKSAHHVILRDMVMLENQVPQFILRKVLEFQYMSAELADEMLLSMVIGLAKELSPFKMIELPKTTEVLEHSHLLDFLYDIIVPKVEGPIEMVLEDVADQIESDNQQEEERSGVDSSYVKQLLTVTWNMVSQLKITPVRLVKNISSSMAIKLKLSWTILSKLKNPDDHENEDSSRSTKNKPPLVEEITIPSVTQLSKYGVSFIPTKGNISTIAFDKEKAAFHLPTISLDSNSEVMFRNLVAYEISSASGPLLFTRYIELMNGIVDTEEDARLLRESGIILNRLKSDEEVANMLNGMSNCKCIRLTKAPFLDKVIEDVNKYYDSLWMVRMKRFMKRSVFCSWQYLTMLAAILLFLLMAMEVFCSFYQCARIFHVDIFNTTSS >Potri.003G200800.1.v4.1 pep chromosome:Pop_tri_v4:3:20108015:20113017:-1 gene:Potri.003G200800.v4.1 transcript:Potri.003G200800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200800.v4.1 MAAALVGGAFLSASLQVLFDRMASRQVVDFFKSQKLNDRLLKKLKILMITVNKVLNDAEKKQISDSFVKEWLDELKDAVYEAEDFLDEVAYEGLRLEVEAGSQTSTYQVRGFLSSRNTVQEEKEEMGAKLEEILELLEYLVQQKDALGLKEGIGEQPLSYKIPTTSLVDGSGVFGRHDDKEAIMKLMLSEDAKLDVIPIVGMGGVGKTTLAQLIYNDSRVQERFDLKVWVSVSEEFDVFKLIKDMLQEVGSLNCDTMTADQLHNEVEKRTAGKTVLIVLDDVWCENQDQWDSLLTPLKSVRQGSKIVVTTRNDSVASVKSTVPTHHLQKLTEDDCWLVFAKQAFDDGSSGTCPDLEEIGRGIVRKCNGLPLAAKALGGLLRSKREAKDWKKVLKSDMWTLPKDPILPALRLSYYYLPAPLKQCFAYCALFPKDYRFNKDDLVRLWMAEGFLVPLKGDEEIEDVGGECFDDLVSRSFFQRYSSDNLSLFIMHDLINDLANSVAGEFCFLLEDDDSNKIAAKARHFSYVPKSFDSLKKFVGIHGAEHLRTFLPLPKQWEDNRFEDGLTRYLLPRLGRLRVLSLSRYSSVAELANSMGKLKHLRYLNLWGTSIEEFPEVVSAAYNLQTLILEDCKGVAELPNSIGNLKQLRYVNLKKTAIKLLPASLSCLYNLQTLILEDCEELVELPDSIGNLKCLRHVNLTKTAIERLPASMSGLYNLRTLILKQCKKLTELPADMARLINLQNLDILGTKLSKMPSQMDRLTKLQTLSDFFLGRQSGSSIIELGKLQHLQGGVTIWGLQNVVDAQDALEANLKGMKQVKVLELRWDGDADDSQHQRDVLDKLQPHTGVTSLYVGGYGGTRFPDWIADISFSNIVVLDLFKCAYCTSLPPLGQLGSLKELCIQEFEGVVVAGHEFYGSCTSLKEPFGSLEILTFVSMPQWNEWISDEDMEAFPLLRELHISGCHSLTKALPNHHLPSLTELNILDCQQLGGPFPWYPIINRFWLNDASRDLRLEKLPSELYELEIRKLDSVDSLVKELELMGCLSSMFENIEIDNFDLLKCFPLELFSNLQTLKIKNSPNLNSLSAYEKPYNRSLRFLEIQGCPNLVCFPKGGLSAPNLTKIRLLDCINLKALPEQMSFLFSLVDLELKGLPELESFPEGGLPLDLETLCIQSCNKLIASRAQWDLLLQCSLSKLIIAYNEDVESFPDGLLLPLELRSLEIRSLENLKSLDYNGLLHLTCLRELKIDTCPNLQSIPEKGLPFSLYSFEISGCPQLEKRCEKEKGEDWPKISHFLNIKIDGRWIEPEDW >Potri.012G094700.1.v4.1 pep chromosome:Pop_tri_v4:12:11901225:11905045:-1 gene:Potri.012G094700.v4.1 transcript:Potri.012G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094700.v4.1 MAVPCSQTDDLVSTTQVPLIYEDQEDEAHLSKSLNHLETILRVFGFCQQSFISLTLSWLSFLLLGIALPVVMIHYLSYCTTDCKKYQIRTFEIQVLVFQCLVAAISLVCISHNLRKYGFRKFLFVDRYHGHMAQFRDEYVKKINGFFRLLAVWVIPFLLLKIVREAVRIIYVPHHSWRQSVAILIASIVSWTYSITIYLSGCALFNLVCNFQVIHFENYGKLLERDMDVSQYIEEHIRLTHYLSKISHRFRIFFILELLVVTASQVVALFQTTWNSEIINFINGGDFVISSIVELVGLIICLHAAAKITHRAQGTGSVAAKWHSIVTCASDDTSQVEISSNCGSSEAANTGHLLRINYSESDLEAVDYVPVPTTTQLASYMSTYHKRQAFVTYLQSNPGGFSVFGWTIDRTLIITIFFLEMSLVLFVLGKTITIYHS >Potri.016G114700.2.v4.1 pep chromosome:Pop_tri_v4:16:11904851:11907457:1 gene:Potri.016G114700.v4.1 transcript:Potri.016G114700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114700.v4.1 MSSFTDESPREYSGSFRNFDANSLTGNSSPVFASQSYSTTGDDLFSSQPVSDDGGFSPEQNGISDGPILPPPSEMESEEGFALREWRRENAIRLEEKEKKEKEMLKQIVEEAEEYKKEFYRKRQLTLENNKAANREKEKLFLANREKFHAEAGKNYWKATAELIPREVPTMEKRGKKDEKKQPTIVVIQGPKPGKPTDLSRMRHILLNLKHNPPPHMKPKPPSPAEPKKDAKSGPAVAAPSTASTKTAAATPEAVAAA >Potri.013G046400.6.v4.1 pep chromosome:Pop_tri_v4:13:3273967:3278326:1 gene:Potri.013G046400.v4.1 transcript:Potri.013G046400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046400.v4.1 MVTRTVDLRSDTVSKPTEAMRAAMANAEVDDDVLGHDPSALRLETEMAKIMGKEAALFVPSGTMSNLISVLVHCNIRGSEVILGSKSHIHIYENGGISTIGGVHPRTVKNNEDGTMDIDLIEAAIRDPRGEIVYPTTRLICLENSQGSCGGRCLSVEYTDRVGELAKKHGLKLHIDGARIFNASVALGVPVSRLVQDADSVSVCLSKGLGAPVGSVIVGSKSFVAKARILRKTLGGGMRQVGVLCAAALVGVQENVSKLEDDHKKAKMLAEGLNQIKGLRVNVAAVETNIIYIDVVEGAAEKLCKNLEQHGILIMQESPISIRVAFHHQISASDVQYTLSCFKQALTGVVQEDNGI >Potri.001G000500.1.v4.1 pep chromosome:Pop_tri_v4:1:40811:43197:-1 gene:Potri.001G000500.v4.1 transcript:Potri.001G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000500.v4.1 MAGVMIMVLLCLSAGTMLGVQGEDPYLFFTWNVTYGTRSPLGVPQQVILINDQFPGPNINSTSNNNIVLNVFNNLDEPFLLTWSGVQQRKNSWQDGVLGTNCPILPGTNFTYHFQVKDQIGSYIYYPTTGMHRAAGGFGGLRINSRLLIPVPYADPEDDYTVILNDWYTSSHATLRKYLDDGRSLARPTGVLINGKNAKGDGKDEPLFTMKPGKTYKYRICNAGIKNTLNFRIQGHTMKLVEMEGSHVVQNVYEALDVHVGQCFSVLVTANQAPKDYYMVTTTRFNKQILTAKGIIRYTNGKGPASPELPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGSINITRTIKLVNSASREGGKLRYALNGVSHVDPETPLKIAEYYGIADKVFKYNTIKDMPSAKIGKVVTQPNVLNQTFRNFVEIIFENHEKSMQSYHLDGYSFFAVAIEPGTWTPEKRKNYNLLDAVSRTTIQVFPKSWAAIFLTFDNAGMWNIRSEMWEKTYLGQQLYVSVLSPELSLRDEYNIPDNALLCGKVKGLPKPKPYTI >Potri.002G252700.2.v4.1 pep chromosome:Pop_tri_v4:2:24160088:24163263:1 gene:Potri.002G252700.v4.1 transcript:Potri.002G252700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252700.v4.1 MGTKHKCQVCHEAESKYKCPSCRVPYCSLVCFTKHKETPCAIPVSVEEKPVADVKVVEKRPVKVDEPGEVLLKLQLESIASSSEIRNDLMDESLQKLIHKIDCSTDPESELDVAMGVDVFRIFTDKILSAIGS >Potri.011G152200.3.v4.1 pep chromosome:Pop_tri_v4:11:17935145:17939416:1 gene:Potri.011G152200.v4.1 transcript:Potri.011G152200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152200.v4.1 MINLESLKKLEGIFFFNFLGFEFAEQGTRTMATVTASHVVSNSSNVSSHGSETKASLGQIGLRSQSMTHSGLRSLNMTDKLQMRTQAFARKSVKKAYATWNHTSGKIVCLQGGMTVVFVGIEVAPWSKTGGLGDVIGGLPPEMAARGHRVMTISPRFDQYRDAWDTCLSAQIKVGDRIETVRYFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPTAGEDYQDNQLRFSLFCLAALEAPRVLSLNSSKHFSGPYGEDVVFVANDWHTALLPCYLKSMYQSRGLFMNAKVAFCIHNIAYQGRFAFSDFSLLNLPDRFKSSFDFMDLYDKPVKGRKLNWLKAGILEAQMVFTVSPYYAQELVSGIEKGMELDHCIRKTGITGIINGMDVQEWNPATDKYTSIKYDITTVSYAKPLLKEALQAEVGLPVDGDIPVIGFIGRLEEQKGSDILASAIPMLVKYNVQIIVLGTGKKYMEKQIAELEKKYPDKVRGVALFNVPLAHMIIAGADFMIIPSRFEPCGLIQLHAMRYGTVCIVASTGGLVDTVKEGYTGFHMGAFSVECDAVDPADVAAVVKTVVRALAVYGTAAFREMIKNCMSQDLSWKGPARLWEKMLLSLNVAGSEAGSEGDEIAPLAKENVATP >Potri.011G152200.1.v4.1 pep chromosome:Pop_tri_v4:11:17934922:17939422:1 gene:Potri.011G152200.v4.1 transcript:Potri.011G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152200.v4.1 MATVTASHVVSNSSNVSSHGSETKASLGQIGLRSQSMTHSGLRSLNMTDKLQMRTQAFARKSVKKAYATWNHTSGKIVCLQGGMTVVFVGIEVAPWSKTGGLGDVIGGLPPEMAARGHRVMTISPRFDQYRDAWDTCLSAQIKVGDRIETVRYFHCYKRGVDRVFVDHPMFLEKVWGKTGSKIYGPTAGEDYQDNQLRFSLFCLAALEAPRVLSLNSSKHFSGPYGEDVVFVANDWHTALLPCYLKSMYQSRGLFMNAKVAFCIHNIAYQGRFAFSDFSLLNLPDRFKSSFDFMDLYDKPVKGRKLNWLKAGILEAQMVFTVSPYYAQELVSGIEKGMELDHCIRKTGITGIINGMDVQEWNPATDKYTSIKYDITTVSYAKPLLKEALQAEVGLPVDGDIPVIGFIGRLEEQKGSDILASAIPMLVKYNVQIIVLGTGKKYMEKQIAELEKKYPDKVRGVALFNVPLAHMIIAGADFMIIPSRFEPCGLIQLHAMRYGTVCIVASTGGLVDTVKEGYTGFHMGAFSVECDAVDPADVAAVVKTVVRALAVYGTAAFREMIKNCMSQDLSWKGPARLWEKMLLSLNVAGSEAGSEGDEIAPLAKENVATP >Potri.015G107950.1.v4.1 pep chromosome:Pop_tri_v4:15:12611941:12612456:1 gene:Potri.015G107950.v4.1 transcript:Potri.015G107950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107950.v4.1 MLKNFPEEVINLWNNGEIRGMVLLSLLLQTILIVFGSRRKTIARSWIRILVWSAYLSADMVATVALGNLARSQGYSSGDGSKKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHALGLVVQVGVAFYVFSRSLGSGILTFIAIPVFIVGIIKVRREDLGAQFFKL >Potri.006G061600.1.v4.1 pep chromosome:Pop_tri_v4:6:4385216:4389510:-1 gene:Potri.006G061600.v4.1 transcript:Potri.006G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061600.v4.1 MRFLWLTVVLYTVCIHVLADQIYPTHAGGTFSRSSREPKYQIEFHSEESPFHPDDDQESMVMPNKNGENYICFLPKVVKAKSEMPLTQLNVSSLIVETEKRVKLKTPDELLEELKDSCLVRQEGWWSYELCYQKKLRQFHVEDEKAKVIQEFILGVYDEEATAAFNQNLSDISTLKDPRSKDASQRYHAHQYTNGTICDLTNEPRETEVRFVCSEPRAMISSITELSTCKYALTVHSPMLCKHPLFQEERPVWHTINCNLLPKDYKEAKPDKVEADDKQIFMVPDIDSSNHDSDE >Potri.004G205900.1.v4.1 pep chromosome:Pop_tri_v4:4:21367366:21369734:-1 gene:Potri.004G205900.v4.1 transcript:Potri.004G205900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205900.v4.1 MRFSAIVSGSKLPNWILRIKESSANGKWQEVVSHYHEIKKAGIQTVDVSVFPPILKAWSFLSHRHGKSLHACLIKQGFDSFTSIGNSIMGFYIRCGDFDIAVDVFNSMRRSRDSVSWNILIHGHLDNGALVAGLWWFTNARVAGFEPNISTMVLVIQACRILGTKHDGLILHGYLIKSGFWAISSVQNSLLSMYVDADMECARELFDEMHEKDVIAWSVMIGGYLQWEEPQVGLQMFRKMVLVPGIEPDGVVMVSVLKACASSRDVCTGRLVHGLVIHRGFDCDLFVENSLIDMYSKCKDAGSAFKVFNEISQRNNVSWNSMLSGFVLNENYSEAQSLISSMRKERVETDEVTLVNILQICKYFVHPFHCKSIHCVMIRRGSEANELVLSALIDAYAKCYLIEIAWEVFARMRRRDVVSWSTMISGFAHCGKPDEAIAVYQEMDRDLVKPNVITIINLLEACSVTAELKRSKWAHGVAIRQGFASEVTVGTAVVDMYSKCGEILASRRAFDQLALKNIVTWSAMIAAYGMNGLAHEALALFAEMKRHGLKPNPVTTLSVLAACSHGGLVEEGLSLFKSMVQELGLEPGFEHYSCMVDMLGRAGKLDTAIEVIKAMPHNLKNGASIWGSLLSACRSYGLTELGKEAISRVLELEPSNSAGYLVASSMYAADGLWDDAARIRVLAKEKGVKVVAGYSLVHIDNKACRFVAGDGSHPRSDEIFSMAQQLHDCIKIDEKKEGNTWLAVIECLT >Potri.004G205900.2.v4.1 pep chromosome:Pop_tri_v4:4:21367326:21369606:-1 gene:Potri.004G205900.v4.1 transcript:Potri.004G205900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205900.v4.1 MGFYIRCGDFDIAVDVFNSMRRSRDSVSWNILIHGHLDNGALVAGLWWFTNARVAGFEPNISTMVLVIQACRILGTKHDGLILHGYLIKSGFWAISSVQNSLLSMYVDADMECARELFDEMHEKDVIAWSVMIGGYLQWEEPQVGLQMFRKMVLVPGIEPDGVVMVSVLKACASSRDVCTGRLVHGLVIHRGFDCDLFVENSLIDMYSKCKDAGSAFKVFNEISQRNNVSWNSMLSGFVLNENYSEAQSLISSMRKERVETDEVTLVNILQICKYFVHPFHCKSIHCVMIRRGSEANELVLSALIDAYAKCYLIEIAWEVFARMRRRDVVSWSTMISGFAHCGKPDEAIAVYQEMDRDLVKPNVITIINLLEACSVTAELKRSKWAHGVAIRQGFASEVTVGTAVVDMYSKCGEILASRRAFDQLALKNIVTWSAMIAAYGMNGLAHEALALFAEMKRHGLKPNPVTTLSVLAACSHGGLVEEGLSLFKSMVQELGLEPGFEHYSCMVDMLGRAGKLDTAIEVIKAMPHNLKNGASIWGSLLSACRSYGLTELGKEAISRVLELEPSNSAGYLVASSMYAADGLWDDAARIRVLAKEKGVKVVAGYSLVHIDNKACRFVAGDGSHPRSDEIFSMAQQLHDCIKIDEKKEGNTWLAVIECLT >Potri.001G169500.1.v4.1 pep chromosome:Pop_tri_v4:1:14501420:14505250:-1 gene:Potri.001G169500.v4.1 transcript:Potri.001G169500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169500.v4.1 MSNWLGFSLSPHLRVDEDFGREDQAASFSVMPLLSDGSLCVADPFRRPSNGTPDWRYENTMDEGRISEDGPKLEDFLGCYSNSPSDETEVHCQQEDHHINQNHANRINPNLAPSFNTNKDIETGKNSLTSHSSFIQSYHFNDNAQTLIPSDSLQHCDPNPSHSRSHSNSHNHNQETGMYHVPFESASSFSGFKSWLRQTSTPFSSSGESPNEANNCNFQSLSLTVSPSSQNGLVAISPLQVVDNSIRPVAKSLAKKPVSRKSIETFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPVGTYEKELEEMEHMTRQEFVANLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGASAVTNFGISRYDVKRICSSSTLIASDLAKRSSKDSTPTTLEDYNSCASSSSISPQPLLAIAGCEASDELPDMAWSANRWESQQQQSVNNNSNDSTLMASSSRNSSNAASPKCSVGLTSDFGHGGGSYS >Potri.019G080700.4.v4.1 pep chromosome:Pop_tri_v4:19:12116550:12118290:1 gene:Potri.019G080700.v4.1 transcript:Potri.019G080700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080700.v4.1 MDSMRGHEGIQMLLTAEQEAQQIVTAARNLKTTRLRQAKEEAEKDAGHYRSNLESEYQKRVGETSGNSGFTAERLEEETDVKIRNLKKSASKVQSDIVDMLIKYTTAAKY >Potri.019G080700.3.v4.1 pep chromosome:Pop_tri_v4:19:12116280:12118600:1 gene:Potri.019G080700.v4.1 transcript:Potri.019G080700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080700.v4.1 MDSMRGHEGIQMLLTAEQEAQQIVTAARNLKTTRLRQAKEEAEKDAGHYRSNLESEYQKRVGETSGNSGFTAERLEEETDVKIRNLKKSASKVQSDIVDMLIKYTTAAKY >Potri.019G080700.2.v4.1 pep chromosome:Pop_tri_v4:19:12116280:12118600:1 gene:Potri.019G080700.v4.1 transcript:Potri.019G080700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080700.v4.1 MDSMRGHEGIQMLLTAEQEAQQIVTAARNLKTTRLRQAKEEAEKDAGHYRSNLESEYQKRVGETSGNSGFTAERLEEETDVKIRNLKKSASKVQSDIVDMLIKYTTAAKY >Potri.008G083200.6.v4.1 pep chromosome:Pop_tri_v4:8:5206991:5217186:1 gene:Potri.008G083200.v4.1 transcript:Potri.008G083200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083200.v4.1 MVAAKGKVSVGMNRVAEDAHTCRTGFRTFKVYSENEKNKDAASIKSARDSFLPARRIPNKGYPPANASDSKGSLKIAGKNNCKHDNSGLTVNQNVRRKALADVSNVQSGNARDVAYDVSKPMIPEGPGSRTMNLSSRKSAKGKMRDNLSQKAGDLHASRKGAKDLRVSLEDQKTNAKNHGHESTVNKSRRNARNFVAVMRKSLPALKRVSHADASNAKENDGISKETNNISEFPVKVKVSKKVVPQAGMGRRHLWRNRVSDGFILMAPRDQNNAEVLVSRKSVRPIIKTEINASGHHKTSRSKCISSLNKSKSVAPISSKKKKHVTSFPENMPLVIANEVTQGEPSSDNNKMSSANHKSDVITIGKSSRRRSYTSLLMTRSKLLEEHGEVMEQENLPSIDDTSNQLEVAEYVDAIYKYYWILEVQNSSLENYMAIQTDITPQMRGIVINWLIEVHFKFELMPETLYLMVTLLDRYLSQAQIKKNELQLVGLTALFLASKYEDFWHPRIKDLISISAESYSRDQMLLMEKLLLKKLKFRLNEPTPYVFMLRFLKAAQSEMKLEHLAFYLIELCLVEYKALKFKPSMLCASAIYVARSTLQMVPAWTPLLARHAHYQVSQMRDCAEMILRFQKAARTSQLRVTYEKYMRPDLSGVAAIKPLSELPL >Potri.015G054350.1.v4.1 pep chromosome:Pop_tri_v4:15:7352388:7353187:-1 gene:Potri.015G054350.v4.1 transcript:Potri.015G054350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054350.v4.1 MLQGLHKSSKVPEGEMDRGKEKRKRGDKESEQGRKKKVRGREGKEEVVTVATEEEVVTVATEEEVEEFFAILRRMQEAAKYFGKGSGEGWRAAVEAKVVEVIDGGGNNEEEIMIQSSGAEEVAAVVEEEEENGVLDLNATPVVESDGA >Potri.019G043400.7.v4.1 pep chromosome:Pop_tri_v4:19:6010558:6027381:-1 gene:Potri.019G043400.v4.1 transcript:Potri.019G043400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043400.v4.1 MDGVSPNEAQELDGPSVPWRPRQLAFGHYRQESEPAKKGQTLRVVVRSPLVARLTKDIVETYQTCNPQFKYSEELNPKRYLTSPSAGVLNDGHDNVNSDLILTVNFALINLDTHRRYIVKDVLGHGTFGQVAKCWVAETNSFVALKIIKNQPAYYQQALVEVSILTTLNKKYDPDDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINQFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYSTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDYVLKEAKNARKFFKCIGSVHNLENGEVSLGGRSAYQALKVEEYESIELKKPSIGKEYFHHMNLEAIVTNYPYKKNLPEEDIKKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFMCPFKPPPETPCMPVAQNIKVDHHPGGGHWFAAGLSLNDPGRTRVSLHNSPHFQAVPYGHGASYGSVGSHGSYNDGIGLGSSYGSYGDGSNMFAYYSPVAPSGMNMHPQAGLSMLGNSPDARWRFIQYSHGNGLGMSPSAGNFAPLPLGTSPSQFTPPSSYCQASAGSPGHYGPTSPARSCSHGSPLGKMAAVTQFNRRKRWGYSGSSQSQESTSLSNWQGQSTDGACSNQAEGNPPVLGSLPAHWQSSWMQQQGGSGSTACPSAIQSIPGSFKPVANMKCPQSAGPIHDMPETNLSLPDPGDWDPNYSDELLLQEDGSDMSFLSSEFSNSMHLGSGDPMVGVGRSNRASNASSSSLNQRQNGPLHAFSHVDVGSPPSAHDFHAGYGRSISKPSYFTPHVSQNSPSRLGQRFSHGRLAVRGSEWNPIKVQPPSSSFSSGGQRSPGSSSLSNSMPWGRRANFNSIPSPSRGRKDLGRIA >Potri.019G043400.6.v4.1 pep chromosome:Pop_tri_v4:19:6010509:6027380:-1 gene:Potri.019G043400.v4.1 transcript:Potri.019G043400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043400.v4.1 MDGVSPNEAQELDGPSVPWRPRQLAFGHYRQESEPAKKGQTLRVVVRSPLVARLTKDIVETYQTCNPQFKYSEELNPKRYLTSPSAGVLNDGHDNVNSDLILTVNFALINLDTHRRYIVKDVLGHGTFGQVAKCWVAETNSFVALKIIKNQPAYYQQALVEVSILTTLNKKYDPDDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINQFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSVKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGYQYSTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDYVLKEAKNARKFFKCIGSVHNLENGEVSLGGRSAYQALKVEEYESIELKKPSIGKEYFHHMNLEAIVTNYPYKKNLPEEDIKKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFMCPFKPPPETPCMPVAQNIKVDHHPGGGHWFAAGLSLNDPGRTRVSLHNSPHFQAVPYGHGASYGSVGSHGSYNDGIGLGSSYGSYGDGSNMFAYYSPVAPSGMNMHPQAGLSMLGNSPDARWRFIQYSHGNGLGMSPSAGNFAPLPLGTSPSQFTPPSSYCQASAGSPGHYGPTSPARSCSHGSPLGKMAAVTQFNRRKRWGYSGSSQSQESTSLSNWQGQSTDGACSNQAEGNPPVLGSLPAHWQSSWMQQQGGSGSTACPSAIQSIPGSFKPVANMKCPQSAGPIHDMPETNLSLPDPGDWDPNYSDELLLQEDGSDMSFLSSEFSNSMHLGSGDPMVGVGRSNRASNASSSSLNQRQNGPLHAFSHVDVGSPPSAHDFHAGYGRSISKPSYFTPHVSQNSPSRLGQRFSHGRLAVRGSEWNPIKVQPPSSSFSSGGQRSPGSSSLSNSMPWGRRANFNSIPSPSRGRKDLGRIA >Potri.003G120000.1.v4.1 pep chromosome:Pop_tri_v4:3:14127842:14129675:1 gene:Potri.003G120000.v4.1 transcript:Potri.003G120000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120000.v4.1 MGFETSNGTASTKPLKFLIYGRTGWIGGLLGKLCQSQGIDFTYGSGRLENRPSLEADVAAVNPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCREKGLVLINYATGCIFEYDSSHPLGSGIGFKEEDTPNFIGSFYSKTKAMVEDLLRNYENVCTLRVRMPISCDLANPRNFITKITRYEKVVNIPNSMTILDELLPISIEMAKRNLTGIYNFTNPGVVSHNEILEMYRDYIDPKFTWKNFTLEEQAKVIVAPRSNNELDTVKLKQEFPELLPIKESLIKYVFKPNQKTTAA >Potri.013G154650.1.v4.1 pep chromosome:Pop_tri_v4:13:15001302:15002527:-1 gene:Potri.013G154650.v4.1 transcript:Potri.013G154650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154650.v4.1 MWSFHTYSLFFLCLCLCRFLPSIVKKYRFSPPKASIRYRLVEKTEIVRIESTCFSVFFILKLQKFILRLSKK >Potri.006G019200.1.v4.1 pep chromosome:Pop_tri_v4:6:1218364:1219759:-1 gene:Potri.006G019200.v4.1 transcript:Potri.006G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019200.v4.1 MAEKKVTTMVMKVDLECEKCHKKIKKVLCRIPQIQNQIYDKKAGTVTITVVCCSPEKIKEKIVCKGGEAVKSIEIKVPEKPKEPPAKPKEPEKPKEPEKPKAPSKQPDKPPPTVDSDSKLKGPDKPKALIVEPVHPMTCCAECYRGISGGPCYHDYGRPAPPSYEIYGRPVHDSWGGSGGCGCQRSGYYACRCEYVCEDNPSSCTIM >Potri.002G199200.1.v4.1 pep chromosome:Pop_tri_v4:2:16181947:16182575:-1 gene:Potri.002G199200.v4.1 transcript:Potri.002G199200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G199200.v4.1 MKFQYSRHMAECSTPFQQSDQKTTSKLKLFGFPLTEQDDEILSKTENSLENRKFECHFCHRAFANSQALGGHQNAHKRERERARRNHYLCDRRFMAAAPVVCSHAVNITAAAAKFRPQLASDYPSQPLLFLPSSPDHHFPSRIYIAQPLHAAALAPSFIQALPGKLCSKDDDVGVDLCLKLTPSG >Potri.012G037100.1.v4.1 pep chromosome:Pop_tri_v4:12:3332346:3340647:1 gene:Potri.012G037100.v4.1 transcript:Potri.012G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037100.v4.1 MVRKRRTEIPQSGGESSESQETDTGRGAQPPAERSGPPQQGGGGGGYQGGRGWGPQSQQGGRGGGYGGRGRGGMQQQQYGGAPEYQGRGRGQPQQGGRGYGGGRPGGGRGGPSSGGFRPPAPELHQATPAPYPAVVTTQPTPSEASSSMRPPEPSLATVSQQLQQLSVEQEGSSSQAIQPLPASSKSVRFPLRPGKGSTGIRCIVKANHFFAELPDKDLHQYDVTITPEVTSRGVNRAVMEQLVKLYRESHLGKRLPAYDGRKSLYTAGALPFQAKDFKITLIDDDDGSGGPRREREFKVTIKLAARADLHHLGLFLRGQQADAPQEALQVLDIVLRELPTARYCPVGRSFYSPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDSDRVKIKKALRGVKVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTLKSVVEYFYETYGFVIQHPQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQEREKDIMQTVYHNAYHNDPYAKEFGIKISDKLASVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGRVNNWICVNFSRNVQDSVARGFCYELAQMCQISGMDFALEPLLAPVSGRPEHVERVLKNRYHEAMTKLRPHSKELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDAISRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANDHRDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSESIASGMAGGRGGAGGGPRPTRGPGANAAVRPLPALKENVKRVMFYC >Potri.009G145400.1.v4.1 pep chromosome:Pop_tri_v4:9:11550324:11552392:-1 gene:Potri.009G145400.v4.1 transcript:Potri.009G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G145400.v4.1 MDLVAALSFLFFGFTILFSLFSFLIYVLRLKPWCNCDVCKTYLSSSWTKDFANLCDWYTHLLRKSKTGTIHVHVLGNIITANPENVEHMLKTKFENYPKGKPFSALLGDLLGRGIFNVDGDHWKFQRKMASLELGSVSIRMHAFDLIMSEIRSRLLPLLSSVADEQRVLDLQDVFRRFSFDNICKFSFGLDPGCLELSLPACKFAAAFDTASKLSAERALAPSPIVWKIKRLLSIGSEKELKEAIKKVNELAEGVINERRKAGFSKNNDLLSRFMTSITDEKYLRDIVVSFLLAGRDTVASGLTSFFWLLSQRPEVESAIRAETEKVMGLNQDLPSFQEMREMHCLNAAVHESLRLYPPVQFDSKFSQEDDILPDGTFVPKGTRVTYHQYAMGRMEQIWGPDCLEFKPERWLKNAVFVPANPFKYTVFHAGVRICLGKEMALVEMKAVALAIIRGFNTRVVDPNQVPRFSPGLTATVRGGLPVVIQEREA >Potri.010G002900.6.v4.1 pep chromosome:Pop_tri_v4:10:277233:283633:-1 gene:Potri.010G002900.v4.1 transcript:Potri.010G002900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002900.v4.1 MYGRELVDLRKENRWVFLNTLFSVSEAVAYMQMVVRYDEGAISAEICPPDYRGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMKHSFNRFLPNDMGWRDLFDIVIISARKPEFFQMSHPLYEVVTGEGLMRPCFKACKGGLYSGGSAQMVENSLDIHGDEILYVGDHIYTDVSQSKVHQLWRTALICQELEEEHSALIHSRGHRATLIELINQKEVVGDLFNQLRLALQRRNTGRPAQTLAATNMDDQELTESMKKLLIVMQRLDEKIAPMLEADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSHSYYQTQINGSAVDNQC >Potri.010G002900.2.v4.1 pep chromosome:Pop_tri_v4:10:277158:283561:-1 gene:Potri.010G002900.v4.1 transcript:Potri.010G002900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002900.v4.1 MSVTAEVLAKFWLAQQRDTRCGAPLSNSPLFVRPMFANTTKRARMMVVCRCSVGDQIFSVTPSTNYEFDYLGQSTKGDLNLNFDHLDAFGMDGPATLQGPIEEVAMKEAEEAEDLLNDLGISSPSSARNSSRGIFCSRPLNLRSISAIGYDMDYTLIHYNVKAWEGRAYDYCLGNLRSMGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTRMLSTQDVSEMYGRELVDLRKENRWVFLNTLFSVSEAVAYMQMVVRYDEGAISAEICPPDYRGLYKAVGKALFRAHVEGQLKSEIMSKPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMKHSFNRFLPNDMGWRDLFDIVIISARKPEFFQMSHPLYEVVTGEGLMRPCFKACKGGLYSGGSAQMVENSLDIHGDEILYVGDHIYTDVSQSKVHQLWRTALICQELEEEHSALIHSRGHRATLIELINQKEVVGDLFNQLRLALQRRNTGRPAQTLAATNMDDQELTESMKKLLIVMQRLDEKIAPMLEADGELFNKRWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSHSYYQTQINGSAVDNQC >Potri.008G182766.1.v4.1 pep chromosome:Pop_tri_v4:8:12608117:12612325:1 gene:Potri.008G182766.v4.1 transcript:Potri.008G182766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182766.v4.1 MRIIFKKHPSTPIFSNDSWLEALQAAKDLFPRELTSSDYSPSEDVFISTEKLRSRLVQEGVGETVIKECESIMLSEVSELQNKLKALQHKHIMVLDTLRQLETEKIELEATVVDETKERDSYCGQANRTFSDFYSVMSEASASDSDADNESQDGADVESDENDGLFFDTNDFLSTDALRSSSYRSRAATGNTDIYDKDPFLSDHLRGFEKEIRTVQYPYVKRRDILPEPKEKEKHVGLWSIIKDNIGKDLSGVCLPVYFNEPLSLLQKCFEDLEYSYLVGQALEWGKQGNDLMRILNDAAFAVSGYASTEGRQCKPFNPLLGETYEAAYPDKGLRFF >Potri.005G161000.1.v4.1 pep chromosome:Pop_tri_v4:5:15718667:15721565:1 gene:Potri.005G161000.v4.1 transcript:Potri.005G161000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161000.v4.1 MASLRLPCCLSSKTPSFSINPITPKLSSLHNHPNNFTFNTNTNNISLSHSLCFPIRNNNKFRHFLLHFSSTTQDHPVVDSSSLDDVVTEYQSKAEEKEEEFSKTRLFASNVPWNCTAEDIRALFQKFGTVVDVELSMYSKIRNRGLAFVTMGSPEEAVAALNNLESYEFEGRTLKMNYAKAKKKKPSPPPPPKPGPTFNLFVANLPFEAKSKDLKEFFIAEGANVVSAEIIFHDNPRRPSGYGFVAFKTKKEADYAISTFSDKEFMGRQLRVARSRQFVKQRKEDAAQSDGTSGELESEKVQADTANDN >Potri.005G161000.4.v4.1 pep chromosome:Pop_tri_v4:5:15718640:15721679:1 gene:Potri.005G161000.v4.1 transcript:Potri.005G161000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161000.v4.1 MASLRLPCCLSSKTPSFSINPITPKLSSLHNHPNNFTFNTNTNNISLSHSLCFPIRNNNKFRHFLLHFSSTTQDHPVVDSSSLDDVVTEYQSKAEEKEEEFSKTRLFASNVPWNCTAEDIRALFQKFGTVVDVELSMYSKIRNRGLAFVTMGSPEEAVAALNNLESYEFEGRTLKMNYAKAKKKKPSPPPPPKPGPTFNLFVANLPFEAKSKDLKEFFIAEGANVVSAEIIFHDNPRRPSGYGFVAFKTKKEADYAISTFSDKEFMGRQLRVARSRQFVKQRKEDAAQSDGTSGELESEKVQADTANDN >Potri.015G083500.2.v4.1 pep chromosome:Pop_tri_v4:15:10866161:10872141:1 gene:Potri.015G083500.v4.1 transcript:Potri.015G083500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083500.v4.1 MRLYVYVLQGKGLAVKDTYFILQVGKHKSKTRVFRNNSNPVMNEEFVFRVNGNNDQQELVVSVFNHDDDDDDDFGSFFNGSGDLVGRVQIPVWSVAAEQNQTLPPTWFSLEKPMTDKFINMDCGKILLSLSLSRKCDKSSTNHFVYANSNVNEDYKESEGPCISSHGMHGCKAPRVKIAEGKKLMKTIVSRLERVFNKHEENSRTDDSSELTSASSDCEDCDHSSSCSFVEGLEIMSSRDNEQEMPENLQGGILLDKIYVVPSWDLNMFLFAPNSLFMKDLEELQGTTDAEEGPWKRKSANMSHLTRTVSYTKAATKLVKSVKATEEQTYIKADGKEFAVLTNVSTPEVPYGNTFNIELLYKILPGPEISSGEASSHLLISWGINFCKSTMMKGMIEGGARQGLKESFDQFANLLAQNFKTMDSMDSSNKDHMLAKLEAAHQSEWQLASDFFWNFTAVSTIFMILYVVVHIFFCEPSIVQGLEFNGLDLPDSFGQLITCAILVIQLERVCNMMKHFIQARLQRGSDHGVRAQGEGWVLTVALIEGTNLPSLDSTGLSDPYVVLTCNGKTRTSSIQLHTSDPQWNEILEFDAMDEPPSVLDVEVFDFDGPFDQATSLGHAEIMFLKHTSTELADMWIPLEGKLSQSSQSKLHLRIFIDNDKGVETVKEYLTKMEKEVGKKLNLPSPHRNSTFQKLFELPPEEFLINDFTCQLKRKMPLQGRLFLSARILGFYSNLFGHKTKFFFLWEDIEDIQVHPPSLSSVGSPFLVIILRRGRGLHARRWAKSQDEEGRLRYHFQSFISFNIASRTIMALWKTKTMIPEHKTQLAEEQPQDEEKRSIMLEDYGCSVSPEEVKMPKIFSAELPFSVESLMEMFDGGKMEHEIMEKSGRLSYATTAWESVKPGVFERQITYRFKHHISIFGGEVTCTQHKSPLENDKGWTVNELTVMHDVPFADYFHVNLRYQIEKSSLAHCACKCGVYVGITWLKSTKFQQRITRNITDKFTQIMKEVFELIKREKLFANHDQHPLRHEDATMRI >Potri.003G077200.2.v4.1 pep chromosome:Pop_tri_v4:3:10465457:10466720:-1 gene:Potri.003G077200.v4.1 transcript:Potri.003G077200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077200.v4.1 MERHKCKLCVRTFPNGRALGGHMKAHLAATRQQLGGLDRNESFSSSYSSSSGEEEVKEEQEIVKNREMVEEKSLAYGLRENPKKSFRLADPEFSFTVDAGSVVVQDRESETESRNPTRRRSKRIRKSCGFGDNQEQDIDVNKVVDLKNPSWVESSSPAEPEPVSSVSDTSPEEDVARCLMMLSRDVWMRNIEEEYEEQGGKDGERSVEMLEEAEEIKVSKIRGKFRCEKCMKLFRSSRALSGHKRICSLNATEVRRFAGSADANDRIFECPYCFKVFGSGQALGGHKRSHLIGSSTSISGVVEASTKLENNLIDLNLPAPVEDDEFSVVSDA >Potri.005G185500.5.v4.1 pep chromosome:Pop_tri_v4:5:19297283:19313703:1 gene:Potri.005G185500.v4.1 transcript:Potri.005G185500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185500.v4.1 MESPLSPSHVVIAYDATKDRGVHELKLTIDAVRMRGDILRGGDTLVVHGVLHRVLHPLGYQLKVCPDSFAGASKRHIEEEITKKLDAYVNMLLQSAEVCEDEGVSIEVKISAGTPTKQVVVQEAVACNATWVVLDRHLRKELRFYLKQIPCKVALIQDNLSVVIEKPHTTAETDALEQKLFYSMSKPVPVLNSPTNESYKQSVISSGSFSSFFNSLESSDMPASLLPSSSYRSHEHKNSLDFGSSAKPEKSAPSASNITIQRHLKNVSRYKASEAPILCSGCGARTELYIKDSMRFNFSEIQLATDDFSKDNLLGEGGYGHVYKGKLQDGQQIAAKVRKEASTQGFTEFQSEVYVLNFARHKNIVMLLGFCCKENLNILVYEYICNKSLYWHLFDKTENMLEWHQRLAIAIGTAKGLRFLHEECRGGPIIHRDMRPCNILLTHDFVPMLGDFGLARWKTGDDPEQTRVLGTLGYLAPEYAENGIVSVRTDVYAFGVVLLQLISGRKVVDEKREEGKQSIRQWAKPLIERLALHELIDPRIGDTYDTYELYLMAKTAYLCVQRSPEMRPSMGEVVRFLEAESDYAQHLGERFARHYAKR >Potri.005G074700.1.v4.1 pep chromosome:Pop_tri_v4:5:5012640:5017848:-1 gene:Potri.005G074700.v4.1 transcript:Potri.005G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074700.v4.1 MEEELPPPQSDLPSPNPASSIDATLQKKHQAMLDRLSNRHQSRQKTATTSTTIDSTASFLSTFTESKRSIESQLNNSPNTPDPTLLKSHLANISSSISSLEKLVAESSYFLPSYELRSSLKCIENLKQSLENLNSQLLPKKKFSFKNKSTSKPNPGNSNTNPIPPNPSHIPQSIRDSPGIRNKENQILSKNFKNFKEIGEFTLSDLDSCEVRLIGCINALFVNRLRNCRVYTGPVIGSILLEEVENCVFVLASHQIRIHNAKSCDFYLRVRSRPIIEDCGGVRFAPYCLRYVGIEEDLTKAGLEEEKENWGNVDDFKWLRAVKSPNWSVLEESERIGCLEIEDSGSTEAEMEIEGV >Potri.006G057200.3.v4.1 pep chromosome:Pop_tri_v4:6:4034283:4035115:-1 gene:Potri.006G057200.v4.1 transcript:Potri.006G057200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057200.v4.1 MDLTTASGARSGPELDETGLDSFQLGEDIRHLITAPSENASSFIALLELPANQAVELLHSDSGQKQYPNLTFPSNTSAIFSVFNGGSNSTDSSPVPSNSSSKDVEKASAVKCEPLETGSYLDSSHPLVSDPTVDNSAPNARPSSKRKEREKKVKAASKKSKTESSQQEEDMLPYVHVRARRGQATDSHSLAERARREKINQRMKLLQELVPGCNKVS >Potri.006G057200.2.v4.1 pep chromosome:Pop_tri_v4:6:4030084:4035364:-1 gene:Potri.006G057200.v4.1 transcript:Potri.006G057200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057200.v4.1 MDLTTASGARSGPELDETGLDSFQLGEDIRHLITAPSENASSFIALLELPANQAVELLHSDSGQKQYPNLTFPSNTSAIFSVFNGGSNSTDSSPVPSNSSSKDVEKASAVKCEPLETGSYLDSSHPLVSDPTVDNSAPNARPSSKRKEREKKVKAASKKSKTESSQQEEDMLPYVHVRARRGQATDSHSLAERARREKINQRMKLLQELVPGCNKISGTALVLDEIINHVQSLQCQVEFLSMRLAAVNPRIDFNLDSMLAAESGSLIDSNFPGMVMPLMWPEAEVNGNRHQFQQHWQFDALHQPIWGREEDSHNFITPENSLLSYDSSANSASLHSNQLKMEGRMKLHQ >Potri.007G118300.2.v4.1 pep chromosome:Pop_tri_v4:7:13678931:13690256:-1 gene:Potri.007G118300.v4.1 transcript:Potri.007G118300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G118300.v4.1 MAEEPASPSLSSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNEICTRRPLVLQLLQTKRKGDGSGEDEWGEFLHLPGKRFYDFSEIRSEIQAETAKEAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLILAVTAANSDLANSDALQIAGNADPDGYRTIGIITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIILNRSIKDALAAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKSILPGLKSRISSALVSVAKEHASYGEITESKAGQGTLILNILSKYSEAFSSMIEGKNEEMSTSELAGGARIHYIFQSIFVKSLEEVDPCEDLTDGDIQTIIQNATGPRTPLFVPEVPFEVLIRKQIARLLDPSLQCARFIYNELIKISHHCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVEIAQQQIKSSKGSLAMPRQKCCQEALRRSHRHSRRGEDGIELEKAPASERSMKTRGILARQVNGIVPDQGVRPVAEVEKVPPAGNTNVSSWGISSIFGGGDHSRMYAKENSTSKSYNEPAQSIEPLEQSLSLIHLREPPTVLRPSENHSEHESIEIAVTKLLLRSYYDIVRKNIEDSIPKAIMHFLVNHTKRELHNVFIRKLYRENLFEEMLQEPDEIAMKRKQTREQLRVLQQAFRTLDELPLEAETVERGYSLSSDSTGLPKIHGLPTSTMYSSGSSDSYTASPKNPKSRKSSHSGELQPHLYADSNGSGHAYMPGLYPTVDF >Potri.007G118300.4.v4.1 pep chromosome:Pop_tri_v4:7:13678666:13688166:-1 gene:Potri.007G118300.v4.1 transcript:Potri.007G118300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G118300.v4.1 MIMSYIKKPSCLILAVTAANSDLANSDALQIAGNADPDGYRTIGIITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIILNRSIKDALAAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKSILPGLKSRISSALVSVAKEHASYGEITESKAGQGTLILNILSKYSEAFSSMIEGKNEEMSTSELAGGARIHYIFQSIFVKSLEEVDPCEDLTDGDIQTIIQNATGPRTPLFVPEVPFEVLIRKQIARLLDPSLQCARFIYNELIKISHHCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPNFVGGSKAVEIAQQQIKSSKGSLAMPRQKDGIELEKAPASERSMKTRGILARQVNGIVPDQGVRPVAEVEKVPPAGNTNVSSWGISSIFGGGDHSRMYAKENSTSKSYNEPAQSIEPLEQSLSLIHLREPPTVLRPSENHSEHESIEIAVTKLLLRSYYDIVRKNIEDSIPKAIMHFLVNHTKRELHNVFIRKLYRENLFEEMLQEPDEIAMKRKQTREQLRVLQQAFRTLDELPLEAETVERGYSLSSDSTGLPKIHGLPTSTMYSSGSSDSYTASPKNPKSRKSSHSGELQPHLYADSNGSGHAYMPGLYPTVDF >Potri.001G310500.4.v4.1 pep chromosome:Pop_tri_v4:1:32087307:32091717:-1 gene:Potri.001G310500.v4.1 transcript:Potri.001G310500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310500.v4.1 MCRSCRNLKLFNGLHGVNSFCASGNALNLTLIRLDGCKSSFGIKGAGKTRATLISGDGLLSYSNGNGVAAKSTLLDNGSVGTLSADTASITNDFVVGNDDHELDRPTEGFSSIPDAIEDIRQGKIVVVVDDEDRENEGDLIMAAELATPEAMAFIVKHGTGIVCVSMQGEDLDRLQLPLMVRQNENDEKLRTAFTVTVDAKHGTTTGVSANDRATTMLALASKDSKPDDFNRPGHIFPLRYREGGVLKRAGHTEASVDLVVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKTDKLVDRSSAAQIPTMWGPFTAYCYRSILDGIEHIAMVKGDIGDGQDVLVRVHSECLTGDIFGSARCDCGDQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQMLRDLGVRTMKLMTNNPAKYIGLKGYGLAVSGRVPLLTPITKDNKRYLETKRAKMGHIYGAEFNGHLSNLINSNGNGKSGVETPI >Potri.001G310500.1.v4.1 pep chromosome:Pop_tri_v4:1:32087306:32092032:-1 gene:Potri.001G310500.v4.1 transcript:Potri.001G310500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310500.v4.1 MASFNVSSYPSTTTRAALSGSQSCRNLKLFNGLHGVNSFCASGNALNLTLIRLDGCKSSFGIKGAGKTRATLISGDGLLSYSNGNGVAAKSTLLDNGSVGTLSADTASITNDFVVGNDDHELDRPTEGFSSIPDAIEDIRQGKIVVVVDDEDRENEGDLIMAAELATPEAMAFIVKHGTGIVCVSMQGEDLDRLQLPLMVRQNENDEKLRTAFTVTVDAKHGTTTGVSANDRATTMLALASKDSKPDDFNRPGHIFPLRYREGGVLKRAGHTEASVDLVVLAGLDPVAVLCEVVDDDGSMARLPKLRQFAERENLKIISIADLIRYRRKTDKLVDRSSAAQIPTMWGPFTAYCYRSILDGIEHIAMVKGDIGDGQDVLVRVHSECLTGDIFGSARCDCGDQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQMLRDLGVRTMKLMTNNPAKYIGLKGYGLAVSGRVPLLTPITKDNKRYLETKRAKMGHIYGAEFNGHLSNLINSNGNGKSGVETPI >Potri.004G088500.2.v4.1 pep chromosome:Pop_tri_v4:4:7450861:7458842:1 gene:Potri.004G088500.v4.1 transcript:Potri.004G088500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088500.v4.1 MASTPTITPSNWKYDVFLSFRGADTRNSFTSHLYKALCQNQIHAYIDYKLHGGEKIEPALLERIEESYISVVIFSENYADSTFCLRELSKILECMETKGQKVLPVFHQLDPSHVQDLTGSYGDAICKHESDCSSQEVESWRHASKEIANLKGWDSKVIRDETKLIEEIVSDIQKKLQHMPAPSIDSKRIIGMKSRVEDIESLLSFGSTGVLIVGIWGLGGIGKSTTAEAVYHRNSHKFEGHCFFRNVMAESHKHGLVHVLQEILREVLENKDLNIGTKVLPPYIKRMLQRKKVLIVLDDVNSSLDLRDLLGEDGLFGQGSRIIVTSRDWQVLINACEEDNIYEVKNLNEDDALELFSLHAFRQNNPIQGYTELSKSVVSCVEGIPLFLEALGASLYKKTSVEYWESKVAQLRTKIGEDIKKSLEMCFDELNQTEKKIFLDIACFFGWCRRDVLHQTLDLEERSGIDRLTDMCLIKIIDNRIWMHDMLQKLGRQIVHQENVDPRGRSRLWEAEDVYHVLTNHQGTGKVEAISLDMSATKEMNLSPTAFEGIYNLRLFDFHNPNSPDELTRIRLPRGLQFLSNGLRILYWYNYPLKSLPSNFCPEKLVELKMPCSQLEELWNECQPLENLKLMNLSYSSKLSLVNSDLSKVPNLEVLNLAWCCSLVKLPSSIKYCTRLTELDLRKCESLCTLPSSIGCLTQLVKLNLTHCKGLASLPYSICELKCLATLDLELCSELTSLPSSIGELESLVELNLGHCSKLSSLPNSIGELKCLARLYLGYCSKLTSLPDKIGELKYLKELKLHHCLALASLPNSIGELKSLDNLDFYYCLKLASFPDSIGELNCLATLDLKFCSKLASVPDSFGQLKCLSRLDLGYCSELASLPDSFGDLKCLSRLDLCYCLELASLPDSIGELKSLVELNLGYCSKLASLPDSIGKLKCLEMLDLNYCSKLASLPDSIGKLKSLVKLHLSSCSKLASLPDSIGKLKSLAELHLSSCLKLASLPDSIGELKCLPRLDLGYCLKLVSLPDSIGELKSLAKLNLYYCSELASLPDSIGKLKSLVELHLGYCYKLAWLLESIGELKCLVMLNLHHCSELAWLPNSIGKLKSLVELHFGYCYKLAWLPESIGELKCLVMLSLHHCSELTWLPDSIGKLKSLVELHLGYCYKLAWLPESIGELKCLVTLNLHHCSELAWLPDSIGELKCLVMLDLNSCSKLASLPNRIGKLKSLAELYLSSCSKLASLPNSIGELKCLGTLDLNCCSELACLPDSIGKLKSLLELHLSSCSKLACLPNNIGELKCLLRLNLSYCSKLASLPNNIGELKCLVMLNLNSCSELVSLPNSIGELKSLVELHLSSCSKLASLPNNIGKLKSLEKLDLSP >Potri.004G088500.7.v4.1 pep chromosome:Pop_tri_v4:4:7455055:7459381:1 gene:Potri.004G088500.v4.1 transcript:Potri.004G088500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088500.v4.1 MNLSYSSKLSLVNSDLSKVPNLEVLNLAWCCSLVKLPSSIKYCTRLTELDLRKCESLCTLPSSIGCLTQLVKLNLTHCKGLASLPYSICELKCLATLDLELCSELTSLPSSIGELESLVELNLGHCSKLSSLPNSIGELKCLARLYLGYCSKLTSLPDKIGELKYLKELKLHHCLALASLPNSIGELKSLDNLDFYYCLKLASFPDSIGELNCLATLDLKFCSKLASVPDSFGQLKCLSRLDLGYCSELASLPDSFGDLKCLSRLDLCYCLELASLPDSIGELKSLVELNLGYCSKLASLPDSIGKLKCLEMLDLNYCSKLASLPDSIGKLKSLVKLHLSSCSKLASLPDSIGKLKSLAELHLSSCLKLASLPDSIGELKCLPRLDLGYCLKLVSLPDSIGELKSLAKLNLYYCSELASLPDSIGKLKSLVELHLGYCYKLAWLLESIGELKCLVMLNLHHCSELAWLPNSIGKLKSLVELHFGYCYKLAWLPESIGELKCLVMLSLHHCSELTWLPDSIGKLKSLVELHLGYCYKLAWLPESIGELKCLVTLNLHHCSELAWLPDSIGELKCLVMLDLNSCSKLASLPNRIGKLKSLAELYLSSCSKLASLPNSIGELKCLGTLDLNCCSELACLPDSIGKLKSLLELHLSSCSKLACLPNNIGELKCLLRLNLSYCSKLASLPNNIGELKCLVMLNLNSCSELVSLPNSIGELKSLVELHLSSCSKLASLPNNIGKLKSLEKLDLSP >Potri.010G080000.2.v4.1 pep chromosome:Pop_tri_v4:10:10683619:10685322:1 gene:Potri.010G080000.v4.1 transcript:Potri.010G080000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080000.v4.1 MTYAPKGLMRVPPDQSMAESWRESEMVMFGAIDDLLAKTRVKPRDIGILVVNSSLFNPTPSLSARVVNHYKLRGNILSYNLGGMGCSAGFISIDLAKDLLQVHPNSYALVVSTENITRNWYFGNDRSMLVTNCLFRMGAAAVLLSNRTFDRRRSKYQLIRTVRTHKGADDKSFNCVLQREDLDTQRVGVSLSKDLMAIAGEALETNITTLGPLVLPVSEQLLFFVTLVAKKIFKMKLKPYIPDFKLAFEHFCIHAGGRGVLDELEKNLELTEWHMEPSRMTLYRFGNTSSSSLWYELASSEAKGRIKKGDRIWQIGFGSGFKCNSAVWRAIRAIDPAKEKNPWMDEIDDFPVRVPRVAPLVY >Potri.004G184400.1.v4.1 pep chromosome:Pop_tri_v4:4:19800189:19803937:-1 gene:Potri.004G184400.v4.1 transcript:Potri.004G184400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184400.v4.1 MGKKEKKQKQQQKTSRRGASYYRNEEDEEDYDFNNSYSQSLASSSVSDQEPEHDDSNEEEEKEEVEEEEEDADDKTASKKDMPSKFLLYQQSVQSPKGDISYLQKFFLMYVGGRLPLHLQEDFCGTALLSTEWLRNDSRRTAVGVDLDLEALDWCIENNMDKVGADGYSRISLFHGNVLQPLEAKLVNFESQEIINNIRSKDCKDDSESNELKSAVQVGSSTSTDDMYMKRNVQLVPRDIVCAFNYSCCCLHKRAELVLYFKHVLGVLSKKGGIFVMDLYGGTSSESKLRLQRRFPNFTYIWEQAEFDIIGRKTRISLHFHLQKQQRKLRHAFSYSWRLWSLPEIKDCLEEAGFQSVHFWIRQMPDTEANRRTEGFGAGRDIKYEEVKTFEQEDAWNAYIVAVAN >Potri.006G271901.1.v4.1 pep chromosome:Pop_tri_v4:6:26479541:26480623:1 gene:Potri.006G271901.v4.1 transcript:Potri.006G271901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G271901.v4.1 MVLFLCLLLSVLNHNLNPGNCLIELPQLILSPRHSATSWTVLKNQSLQETSFVLLEFRATPHFMDCSEIIKL >Potri.006G003700.2.v4.1 pep chromosome:Pop_tri_v4:6:288143:291662:-1 gene:Potri.006G003700.v4.1 transcript:Potri.006G003700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003700.v4.1 MIHRSLVVALPKDCRVADTKSLPELIAAVKEVNDSPIEQVKLTVPITDDPTLPCLTFRTWVLGIACCALLAFVNQFFGYRQNILYVTSVSAQIALLPIGRLMESVLPNKFIRFPRTKWALSLNPGPFNIKEHVLITIFANAGSNNVFAVGIITIVKAFYHGRIDAIPAMLLTQTTQLLGYGWAGLFRKFLVDSPYMWWPSILVQVSLFRALHEVEIRRKGGLTRLQFFLVVLVSSFAYYIVPGYLFQSVTALSFVCWIWKDSVTAQQVGSGLHGLGVDSFAIDWSTVTNSYGAKRFPIFSSHVFDAYGQPYDVSRVLNETTFEFNQPGYDGYSKVNLSIFFVYTYGLNFAILAAALTHVALFYEREIWHQTKAAYQDKYADVHTRIMKKNYEVVPQWWFYSLLIIVIALTLLTCEGFGKQLQLPYWGVLLGVGLALMFTLPVGVLTATTNQQPELNVITELIIGYMYPGRLLANVTFKNYGYTSMSQAISFLSDFKLGHYMKIPPKSMFVVQIVGTLISSSVYFGTGWWLLTSVENICDPSKLPEGSQWTCPGVDVFYNASVIWGVVGPMRMFGRLGLYSKMNYFFLVGLLAPFPEKTWIKYINVPIILSGTGGMPAVKAVNYMCWLSVGIVFNLVVYNRYRGWWVRHNYILSAGLDAGVAFSVVLLFFTLQMKNINGPTWWGLEVSDHCPLATCPTAPGIQVEGCPVFQ >Potri.016G105700.1.v4.1 pep chromosome:Pop_tri_v4:16:10904877:10909883:1 gene:Potri.016G105700.v4.1 transcript:Potri.016G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105700.v4.1 MTVYIGREASKLWKRICAETTTEINLLADSWKYILTGLIFQYIHGLAARGVHYLHRPGPTLQDVGFFLLPEFGQDKSYISETVFTFVFLSFIVWTFHPFIFKSKKIYTVLIWCRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLARLPHPESVFEVLLINFPRGMTHGCGDLIFSSHMIFTLVFVLTYQKYGTKRCIKQLGWLIAVVQSFLIIASRKHYTVDVTVAWYTVNLVVFFLDKKLPELPDRTGGASFLLPLSTKDKDSKPKEENHKLLNGNSVDPADWRQRTQVNGKILDDANLVHADATAMNGA >Potri.013G081233.1.v4.1 pep chromosome:Pop_tri_v4:13:6975404:6977178:-1 gene:Potri.013G081233.v4.1 transcript:Potri.013G081233.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081233.v4.1 MSSVNVVVMVVGLLVLAQQSFQMSLRNPVAETNNCKIDFTRLGLVLTSDTNEKALQDSGLFTPDAETPYVDIAGRRFHIGTLNARFIVYVKIGGNSVNAAIAVQILLNRFRIHGIIHFGSAGSLDKESIVPGDVSVPLAVAFTGAWNWKKFGSDEGTLNFGEFNYPVNGENLLASVDYDTIKLFSKGQSPQDVFWFPSTTSWYSAATQVLQDLELRQCYDGVCLPSKPKIVFGTNGSSSDSYIKNKAYGDFLHKVFNVSTADQESAAVAWTSLSNEKPFIVIRGASNVAGEANPGFSPAGYLASYNAFLAAAKFIESIPTPRLACE >Potri.018G051400.1.v4.1 pep chromosome:Pop_tri_v4:18:5010915:5020201:1 gene:Potri.018G051400.v4.1 transcript:Potri.018G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G051400.v4.1 MSSSDNHNTLKNKKRLFLSLFSSILLVTAIVSIVAGVTSSKNSTESNNDHQVAHTILKSSCSSTLYPHLCFSALSAVPDATSKIKSKKDVIDLSLNRTMSATRHSYFKIQKLTSTRRSFTERENTALHDCLVMLNETLDQLSKAYQELQDYPSLKKSLSVHADDLKILLSAAMTNQETCLDGFSHDKADKKVRELFIDEEMHVYHMSSIALAIIKNVTDTDMAKEQSLSSGRKLEEENGTEWPEWLSAGDRRLLQATTVTPNVVVAADGSGNYRTVSEAVAAAPERSSSRYIIRIKAGVYRENVDVPRSKTNIMFMGDGRTTTIITASRNVVDGSTTFNSATVAAVGDGFLARDITFQNSAGPSKHQAVAIRVGSDLSAFYRCDMIAYQDTLYVHSLRQFYVSCIIIGSVDFIFGNAAVVFQDCDIHARRPNPGQKNMVTAQGRSDPNENTGIVIQKCRIGATQDLLAAKSSFRSYLGRPWKLYSRTIVMQTEISDIIDPAGWFEWDGDFALDTLVYREYQNTGPGANTANRVNWKGFKVVTSAIEVQPFIARNFIRGASWLPSTGFPYSLDL >Potri.014G029200.10.v4.1 pep chromosome:Pop_tri_v4:14:1846918:1849038:-1 gene:Potri.014G029200.v4.1 transcript:Potri.014G029200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029200.v4.1 MQKQNILYSKASFGFGRNPDRQLDCRIPCVAPSMLPCRGNEKSCLMKAKFPSITKYAGLNFPKNNVASRPGRIKAVVDENPGELSDEDDDLCPVDCVREFKTDEEFLRILEKAKETDSLVVVDFYRTSCGSCKYIEQGFAKLCKGSGDQEAAVTFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKKGVLVESFPTRDKERILGAILKYTSPAAQDT >Potri.014G029200.3.v4.1 pep chromosome:Pop_tri_v4:14:1846792:1849226:-1 gene:Potri.014G029200.v4.1 transcript:Potri.014G029200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029200.v4.1 MEKARTFLLQLQQHIDCGGSLNVVMQKQNILYSKASFGFGRNPDRQLDCRIPCVAPSMLPCRGNEKSCLMKAKFPSITKYAGLNFPKNNVASRPGRIKAVVDENPGELSDEDDDLCPVDCVREFKTDEEFLRILEKAKETDSLVVVDFYRTSCGSCKYIEQGFAKLCKGSGDQEAAVTFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKKGVLVESFPTRDKERILGAILKYTSPAAQDT >Potri.014G029200.2.v4.1 pep chromosome:Pop_tri_v4:14:1846667:1849200:-1 gene:Potri.014G029200.v4.1 transcript:Potri.014G029200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029200.v4.1 MQKQNILYSKASFGFGRNPDRQLDCRIPCVAPSMLPCRGNEKSCLMKAKFPSITKYAGLNFPKNNVASRPGRIKAVVDENPGELSDEDDDLCPVDCVREFKTDEEFLRILEKAKETDSLVVVDFYRTSCGSCKYIEQGFAKLCKGSGDQEAAVTFLKHNVIDEYDEQSEVAERLRIKTVPLFHFYKKGVLVESFPTRDKERILGAILKYTSPAAQDT >Potri.016G077800.1.v4.1 pep chromosome:Pop_tri_v4:16:5860660:5868695:-1 gene:Potri.016G077800.v4.1 transcript:Potri.016G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077800.v4.1 MSGRHDKEKGVNVQVLLRCRPFSEDELRSNAPQVVTCNDYQREVAVSQNIAGKHIDRVFTFDKVFGPSAQQRDLYEQAVVPIVHEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPPEAGVIPRAVKQIFDTLESQNAEYSVKVTFLELYNEEITDLLAPEEISRVALEEKQKKQLPLMEDGKGGVLVRGLEEEIVTSASEIFTLLERGSAKRRTAETFLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIKNKPEVNQKMMKSTLIKDLYGEIERLKAEVYAAREKNGVYIPKERYYQEESERKAMADQIEQMGVLIETHQKQSEDWRDKYDAMVHQCSDLSSKLCATEKNFNQTIKLLTSTEEELKKCRYSLKERDFIISEQRKAENALANQACVLRSDLEKALQDNASLFQKIGREDKLSSDNRSVVNNFRTELSQQIVSLCNMVATSISRQNEHLQCVQDLGNSFLDRHRKSIEELKKKLSSSRAVYISHIEAVQNVVRLHKASSIAGLEEISLFASSSAESIKDYLASEAGQVSFIFDEFQNTLSTHQGEVALFAREMRQRFHVSSEQRKEVSEYMNGFLDKILEQCKNLENHAVQADAVQMKNIDDFQKAYEEQSKSDAEKLVADINHLVSSHLQHQKELVDARLADLRETATGNKAVLDGHVSSMEYVSTDAKRKWQEFSMQTENDAKDIADYSATKHCRMESLLQQCVSTAGSAFKRWEKTLDSVNEMGNNHVSKLVSLTRNASDSIEQHDAEVGSARVTAEQDVAKNSEDVLKHIDRVSEEEQGSVSKILEAVKAHSNTLETFREDHSGEAAAIDDRAKETFEQQYMDYEPTGATPSRSEPDVPSKGTIESLRAMPVENLLDEFRENNTYESFEVKELKPSLIPRSPLVQLNQQ >Potri.001G046600.2.v4.1 pep chromosome:Pop_tri_v4:1:3450011:3451686:-1 gene:Potri.001G046600.v4.1 transcript:Potri.001G046600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046600.v4.1 MSSEETPAPVPAPAETPAQPLEAMDLMTALQLVLKKSLAHGGLARGLHEGAKVIEKHAAQLCVLAEDCNQPDYIKLVKALCADHGVGLLTVPSAKTLGEWAGLCKIDSEGNARKVVGCSCVVVKDYGETSEGYNVVQEHVKSH >Potri.001G390700.3.v4.1 pep chromosome:Pop_tri_v4:1:41314623:41318622:1 gene:Potri.001G390700.v4.1 transcript:Potri.001G390700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390700.v4.1 MTSKRSHTTELGCIAGEELSDLGAGKEGWLVENPNLLCALDTHSLALANRSLILITGWDDGPRSKIRPELSPIESEIISALEWLVFDEIKVIAVGTSRGYFMVYSLDGLLIHRQLVYPGRILKLRVRGTKKDMMSSHEGSSEEVSVVIPGVIARFDGADIRNILQEWFQETNSHFWDEKSKRRDYEELGNGFKRLPHQLWSVDKFGLCADAAITGIMPPPLMEIQSSQRYYRAVTIGEDAVISAYRLSEDRSRSLVGAILSKVMPAAFSTISSVSKMIWRSERTPTKKPEVKPQSFAKASPLTCLKDHPRKGEKLTLSPSGTLAAITDSLGRILLLDTQALVVVRLWKGYRDANCLFMEMLVSMNSAGASSSHHEPSKSDYCLCLAIHAPRKGIIEVWQMRTGPRLLTIQCAKGSKLLQPTYRFGSSLDSPYVPLEVFLLNGDSGQLSVLNRSLN >Potri.013G109701.2.v4.1 pep chromosome:Pop_tri_v4:13:11834652:11836338:1 gene:Potri.013G109701.v4.1 transcript:Potri.013G109701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109701.v4.1 MDLWIRMLVCCPSCFLLIIILIVVMGCSCLLEESNERLFRIIILFATPLPDNALQFLIPVHELLHSPLQLPMILPNLLTTKLFALFILKKKTSCPVKVDIFSSDTGKWVRRRVMLSTELPHAAADADEYGCIRRSIYLDGMIYKLSFVVNYLIRFDLNAPSDVAIELPHKNAADRHGFIGMSRGSLYYSYHDESGLMISIWLLEDRCKRDPFWKLTHRISVDSLTSKYPDVRNSGFHFHTYAIHPASDIIFLGNPTMVISYDLKSNKSEEVFTLSSGLKISSGQHFVHLYSPCYAVLSNFDNNCG >Potri.014G164900.1.v4.1 pep chromosome:Pop_tri_v4:14:11917374:11923672:-1 gene:Potri.014G164900.v4.1 transcript:Potri.014G164900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164900.v4.1 MHRLSRRSVSTILRSTGSASRYGSAATAVPFSDYVVDGEAKFRWYSVLTTGREIPNKTKQLNLKHTFFLGTRYESTAAESDSSSSPPSVGEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTDSELLKDATDLDIRIQTDKDNGIITIIDSGIGMTRQELIDCLGTIAQSGTAKFLKALKDSKDAGADNNLIGQFGVGFYSAFLVADRVVVSTKSPRSDKQYVWEGEANASSYTIREDTDPENHIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEDPAEAKKDEQDDTTEKKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTEDYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSILYVPAIASTGKDDIVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSENREDYEKFWDNFGKHLKLGCIEDRENHKRIAPLLRFFSSQSEQEMISLDEYVENMKPEQKDIYYIASDSVTSARNTPFLERLLEKDLEVLFLVDPIDEIAIQNLKSYKEKNFVDITKEDLDLGDKNEEKEKNMKQEFGQTCDWIKKRLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKSQTVGDMSSLEFMRGRRVFEINPEHEIIKNLTAACRSNQDDEDALRAVDLLYDAALVSSGYTPEDPAQLGGKIYEMMGMALSGKWSSPPEIQHPVSSQPGIPETLEAEVVEPVEVDGQK >Potri.014G164900.3.v4.1 pep chromosome:Pop_tri_v4:14:11917596:11923547:-1 gene:Potri.014G164900.v4.1 transcript:Potri.014G164900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164900.v4.1 MHRLSRRSVSTILRSTGSASRYGSAATAVPFSDYVVDGEAKFRWYSVLTTGREIPNKTKQLNLKHTFFLGTRYESTAAESDSSSSPPSVGEKYEYQAEVSRLMDLIVNSLYSNKEVFLRELISNASDALDKLRFLSVTDSELLKDATDLDIRIQTDKDNGIITIIDSGIGMTRQELIDCLGTIAQSGTAKFLKALKDSKDAGADNNLIGQFGVGFYSAFLVADRVVVSTKSPRSDKQYVWEGEANASSYTIREDTDPENHIPRGTRLTLYLKRDDKGFAHPERIQKLVKNYSQFVSFPIYTWQEKGYTKEVEVDEDPAEAKKDEQDDTTEKKKKTKTVVEKYWDWELTNETQPIWLRNPKEVSTEDYNEFYKKTFNEYLEPLASSHFTTEGEVEFRSILYVPAIASTGKDDIVNPKTKNIRLYVKRVFISDDFDGELFPRYLSFVKGVVDSNDLPLNVSREILQESRIVRIMRKRLVRKAFDMILGISMSENREDYEKFWDNFGKHLKLGCIEDRENHKRIAPLLRFFSSQSEQEMISLDEYVENMKPEQKDIYYIASDSVTSARNTPFLERLLEKDLEVLFLVDPIDEIAIQNLKSYKEKNFVDITKEDLDLGDKNEEKEKNMKQEFGQTCDWIKKRLGDKVASVQISNRLSSSPCVLVSGKFGWSANMERLMKSQTVGDMSSLEFMRGRRVFEINPEHEIIKNLTAACRSNQDDEDALRAVDLLYDAALVSSGYTPEDPAQLGGKIYEMMGMALSGKWSSPPEIQHPVSSQPGIPETLEAEVVEPVEVDGQK >Potri.004G150600.1.v4.1 pep chromosome:Pop_tri_v4:4:17225463:17227579:1 gene:Potri.004G150600.v4.1 transcript:Potri.004G150600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150600.v4.1 MLYSLSLPSSSPSYPPAKPLKPRHLSPSPHPSHYIKFRTAHHENLRYLKAIGVIGPNSKSRQFPTPDAISHILATLKFFESKGFLETDFARLTFLCPELLSLNFDITDIEPVFQFLTDDLHASVQESRGLVIKCPRLLFSDVEYFLRPTLNYLRQLGVNKLNVPSNLNAHLLNIRVEKMQVRFEFLRSIGFSHDEAANICGRLPAIFGYSIENNLRPKVEYLVDEMKRSLDELKEFPQYFAFSLEKKIMPRHLHLKRRNVKIKLNRMLLWSDGRFYGKWKR >Potri.017G092800.1.v4.1 pep chromosome:Pop_tri_v4:17:10562151:10564085:-1 gene:Potri.017G092800.v4.1 transcript:Potri.017G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092800.v4.1 MSYVEILKAVFPLLEGADLASCVAVCKQWRDIAQDDYLWKCLCAKRWPSICKRPNPPTVTYYKLYQTFYKHQRQQTLLPPRLSFDNLEFFIDIWTRDKLIFSEVVSGPVLQTGIKCPPPRICDRLGFHLEGPDYKMILPVEPRFKAPLGETVSVSVLVGRKDNNKVACIINKSVFDYIDRTAYRAMAFDYLGFSPAHPFVPGIRAWISLLFVDDKNDSVLDVFGIEIDFCDAAKSRDEVLWLLDILDWK >Potri.T077590.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:44730:46101:1 gene:Potri.T077590.v4.1 transcript:Potri.T077590.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T077590.v4.1 MYVTRPLSMYKRNPSALSLPPPEGPNSGILIIQDEEAESTCCFGLFKSDQVEDLPFPQNKNLKVRYTTNNTHTVTHTNRVIFIPALNQPLSSNQYYVIERRGRHKGEAHTNATEEDVAACCFGCCIPDLEPGPFNPKDARQQFEIRKRGWGGYVAKSVAPDGFPPGFLRRKGWRVVTSTASDFVLNEAPGLDRNLRDRLPDFHFPLSQRSCASVVVGKWYCPFMFIKEGKLKDQLIASRYYEMTLEQRWEQIFACENSVSEGNSVIVDAVVQREVIAVAGREVEPDERNLVDGIMWFRSSSNGGGEASVGLSLEIVERMKWEQERAGWLGGNESYATVKRVEEFGGIGGWKKLGCYVLVERFVLRRMDGSLVLTYDFKHTHQIRSKWE >Potri.012G005500.3.v4.1 pep chromosome:Pop_tri_v4:12:84481:89268:-1 gene:Potri.012G005500.v4.1 transcript:Potri.012G005500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005500.v4.1 MWRRRRSHLLLNNAVRTSLHLSSLSASTASRGRTLLTPSSNSPLFKPPSSHLAQNNRLSSPLSSTISVRLLRTGRDPFTSFEITPPVNWGIRIVPEKKAFVVERFGKYLKTLPSGIHFLIPLVDRIAYVHSLKEEAIQIPDQSAITKDNVSILIGGVLYVKIVDPKLASYGVENPIYAVVQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAATDWGLRCLRYEIRDISPPRGVKQAMEMQAEAERRKRAQILESEGERQANINIADGHKSAQILASQGEKQALINKAQGEAEAIIAKAQATAKGIAIVSENIKKSGGIEAASLKIAEQYVGAFGNIAKEGTTILLPSATGNPANIMAQAFTMYKNLLGNVSSGGPNESSSLVEGASVEPVDPATIIEDNATSLETKAKDESARDNGETGFSLQSSKKGKAE >Potri.002G103500.2.v4.1 pep chromosome:Pop_tri_v4:2:7577778:7580837:-1 gene:Potri.002G103500.v4.1 transcript:Potri.002G103500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103500.v4.1 MAPSFDCAVSNLLCAEDNNSIFDDNDHYDATVEEFEATWHHGNHQICNQNGGGDGGCLPMQSDECLVLMVEKECQHLPNGDYLKRLRNGDLDMGARKEAVDWIAKVHAHFGFGPLCAYLSINYLDRFLSAYELPNGKPWMMQLLAVACLSLAAKMEETEVPLSLDLQVGESRFVFEARTIQRMELLVLSTLSWRMQAITPFSFIDYFLSKINNDQTPPPKSLILQSIHLILSTIRGIYFLEFRPSEIAAAVAIAVVGETKTVDAEQAISVLAQPVQKERVLKCLQLIHDLSLFGGSVKGTSASLLSVPQSPIGVLDAACLSYSSNHTTVEPCANSSHNTPDAKRRKLDKPCEA >Potri.001G276904.1.v4.1 pep chromosome:Pop_tri_v4:1:29095216:29096071:1 gene:Potri.001G276904.v4.1 transcript:Potri.001G276904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276904.v4.1 MLLSNPNPPSLLHTHLLVLFPLYPMTPIPLILVHPPYYHPHPIPYQLQFPLHLCLLFPLIHHFPSHLPQIHHLPNLCPLSTHSHAALNLSPYLCHLPTQLIIKTHPHSPSLLLLPYHLISLPYTPTFPYPISLPNPPLTLLCFVAAADTPTHQPSFRSRKTGKKNTIDLIASKIQKL >Potri.006G143300.1.v4.1 pep chromosome:Pop_tri_v4:6:12075401:12085214:-1 gene:Potri.006G143300.v4.1 transcript:Potri.006G143300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143300.v4.1 MAFVYIPVQNSEEEVRVALDQLPRDASDILDILKAEQAPLDLWLIIAREYFKQGKLDQFRQILEEGSSHEIDEYYADVRYERIAILNALGAYYSYLGKVETKQREKEEYFIQATKHYNKASRIDMHEPSTWVGKGQLLLAKGEVEQASAAFRIVLEGDRDNVSALLGQACVEYSRGHYGESLTLFKRALQVYPDCPGAVRLGIGHCHYKLGHVGKACLAFQRVLQLDPENVEALVSLAILDLQTNEAAAIRKGMEKMQRAFEIYPYCAMALNYLANHFFFTGQHFLVEQLTETALAVTNHGPTKSHSYYNLARSYHSKGDYETASRYYWASVKEINKPSEFVFPYYGLGQVQLKLGEIKNALSNFEKVLEVYPDNCETLKVLGHIYVQLGQTEKAQEFLRKAAKIDPRDAQAFLDLGELLISTDTGAALDAFKTARSLLKKGGEEVPIEVLNNIAVIHFEREELELALQNFKEALGDGIWLTFLEGKANTYEVDATSSLLQYKDMQIFRRLEEEGHSVELSWNKVTTLFNLARLLEQLHNTETASTLYRLILFKYPDYVDAYLRLAAIAKARNNLPLSIELVNEALTVNDKCPNALSMLGDLELKNDDWVKAKETFRAASEATDGKDSYATLSLGNWNYFAAIRNEKRNPKLEATHLEKAKELYTRVLVQHTANLYAANGAGVVLAEKGHFDVSKDLFTQVQEAASGSIFVQMPDVWINLAHVYFAQGNFALAVKMYQNCLQKFFYNTDSQILLYLARTHYEAEQWQDCKRTLLRAIHLTPSNYTLRFDAGVAMQKFSASTLQKTKRTVDEVRSTVDELENAVRLFSQLSAASNLYFNGFDEKKINTHVEYCKHLLEAAIVHREAAEREEQQNRQRLDLARQMALAEEARRKAEEQRKFQLERRKQEDELKRVRQQEEHFERVKEQWKSSTSASKRRDRADIDDGEGGHGEKRRRKGGKRRKKEKSSRSRYEMEEADMMDDHDEPEDDDANVNFREPGYQMNDQDDNAEENAQDVLAAAGLEDSDADDDAAAPSSAGRRKRAWSESDEDEISERKPQSSLLRENSADLQDSDGEFRDKRQENAAVDDED >Potri.016G045300.1.v4.1 pep chromosome:Pop_tri_v4:16:2892242:2896106:-1 gene:Potri.016G045300.v4.1 transcript:Potri.016G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045300.v4.1 MLKAIQNLGSVAYYYRLKPPHAAKTNAFVSTSAKPLSCSSPITRPTNPFMFLTRKTRSLGPVRAHSTPSESKMDGTSSSSVAVQSSGSARKINFCQWCGGQTKHDIPDGEEKMRAICTLCEKISYQNPKMVVGCLIEHDNKVLLCKRNIQPSHGLWTLPAGYLEIGESAAEGAIRETWEEARAEVEVVSPFAQLDIPRIGQTYIIFLAKLKKPHFSPGPESLECRLFSIDDLPLDSLAFSSMVVTLKLYIEDVKAGSRKFHYGTINKRPGSSPSDTHAYTLDHHLQS >Potri.006G068500.19.v4.1 pep chromosome:Pop_tri_v4:6:5019115:5021766:-1 gene:Potri.006G068500.v4.1 transcript:Potri.006G068500.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068500.v4.1 MGFSGDDEIYSGGSFYTNPSDLGRLYSIVSNVDVYSPACKRARISAPFLFESSGFEQNMRPSIEVLPDECLFEIFRRVPEGKERSSCASVSKKWLMLLSSIRRSEFCNSNPVAEEENETAAPVCNDVEMVSCEDNGEVESDGYLTRSLEGKKATDMRLAAIAVGTSSRGGLGKLLIRGSNSVRGVTNRGLSAIARGCPSLRALSLWNVPFVGDEGLFEIAKECHLLEKLDLSNCPSISNKGLIAIAENCPNLSSLNIESCSKIGNEGLQAIGKLCPRLHSISIKDCPLLGDHGVSSLLSSASSVLTRVKLQGLNITDFSLAVIGHYGKAVTNLSLSVLQHVSERGFWVMGNAQGLQKLMSLTITSCRGITDVSLEAIAKGSLNLKQMCLRKCCFVSDNGLVAFAKAAGSLESLQLEECNRITQSGIVGALSNCGTKLKALSLVKCMGIKDMALGMPVPSPCSYLRFLSIRNCPGFGSASLAVVGKLCPQLQHVDLSGLCGITDSGILPLLESCEAGLVKVNLSGCMSLTDEVVSALARLHGGTLELLNLDGCRKITDASLVAIAENCLFLSDLDLSKCAVTDSGIAVMSSAEQLNLQVLSLSGCSEVSNKSLPCLKKMGRTLVGLNLQKCSSISSSTVELLVESLWRCDILS >Potri.006G068500.17.v4.1 pep chromosome:Pop_tri_v4:6:5018391:5022286:-1 gene:Potri.006G068500.v4.1 transcript:Potri.006G068500.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068500.v4.1 MHLSFLASFLISFLVLAVSLCAFCAVNQRGFFFVFFFSFLLFQSCLLLLTTVVNDEIYSGGSFYTNPSDLGRLYSIVSNVDVYSPACKRARISAPFLFESSGFEQNMRPSIEVLPDECLFEIFRRVPEGKERSSCASVSKKWLMLLSSIRRSEFCNSNPVAEEENETAAPVCNDVEMVSCEDNGEVESDGYLTRSLEGKKATDMRLAAIAVGTSSRGGLGKLLIRGSNSVRGVTNRGLSAIARGCPSLRALSLWNVPFVGDEGLFEIAKECHLLEKLDLSNCPSISNKGLIAIAENCPNLSSLNIESCSKIGNEGLQAIGKLCPRLHSISIKDCPLLGDHGVSSLLSSASSVLTRVKLQGLNITDFSLAVIGHYGKAVTNLSLSVLQHVSERGFWVMGNAQGLQKLMSLTITSCRGITDVSLEAIAKGSLNLKQMCLRKCCFVSDNGLVAFAKAAGSLESLQLEECNRITQSGIVGALSNCGTKLKALSLVKCMGIKDMALGMPVPSPCSYLRFLSIRNCPGFGSASLAVVGKLCPQLQHVDLSGLCGITDSGILPLLESCEAGLVKVNLSGCMSLTDEVVSALARLHGGTLELLNLDGCRKITDASLVAIAENCLFLSDLDLSKCAVTDSGIAVMSSAEQLNLQVLSLSGCSEVSNKSLPCLKKMGRTLVGLNLQKCSSISSSTVELLVESLWRCDILS >Potri.006G068500.18.v4.1 pep chromosome:Pop_tri_v4:6:5017718:5022470:-1 gene:Potri.006G068500.v4.1 transcript:Potri.006G068500.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068500.v4.1 MPTLVNYSGDDEIYSGGSFYTNPSDLGRLYSIVSNVDVYSPACKRARISAPFLFESSGFEQNMRPSIEVLPDECLFEIFRRVPEGKERSSCASVSKKWLMLLSSIRRSEFCNSNPVAEEENETAAPVCNDVEMVSCEDNGEVESDGYLTRSLEGKKATDMRLAAIAVGTSSRGGLGKLLIRGSNSVRGVTNRGLSAIARGCPSLRALSLWNVPFVGDEGLFEIAKECHLLEKLDLSNCPSISNKGLIAIAENCPNLSSLNIESCSKIGNEGLQAIGKLCPRLHSISIKDCPLLGDHGVSSLLSSASSVLTRVKLQGLNITDFSLAVIGHYGKAVTNLSLSVLQHVSERGFWVMGNAQGLQKLMSLTITSCRGITDVSLEAIAKGSLNLKQMCLRKCCFVSDNGLVAFAKAAGSLESLQLEECNRITQSGIVGALSNCGTKLKALSLVKCMGIKDMALGMPVPSPCSYLRFLSIRNCPGFGSASLAVVGKLCPQLQHVDLSGLCGITDSGILPLLESCEAGLVKVNLSGCMSLTDEVVSALARLHGGTLELLNLDGCRKITDASLVAIAENCLFLSDLDLSKCAVTDSGIAVMSSAEQLNLQVLSLSGCSEVSNKSLPCLKKMGRTLVGLNLQKCSSISSSTVELLVESLWRCDILS >Potri.006G164400.1.v4.1 pep chromosome:Pop_tri_v4:6:15984414:15995168:1 gene:Potri.006G164400.v4.1 transcript:Potri.006G164400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164400.v4.1 MAEINNDKIVPLPCAVEELITKICREKDRRPLETNTRSTLASLGEEVALNILRRISGLEIRKSFDGFVLHLAKQCSPNINASSSSPHMHSSLSPSPQQPQNRTNTRLLMNSQSDSGAQSPTPLKLEGSSTMDSQRQRGSESTTSQHLVALGELEFRKAFLILNYLGGKNLEEVVSADQIRGYKDLPMETFESKIWDAFGCRRDYIKEEDRVKYLDWDSGKAHIYHCHVDPDGSYRFKGPYLSKQRNVLQRTLGDDNILMVKFEEVKDERYSVSSSLDNYFAKYNKVLREGIHVGLRCYRFFVFKDGGKEEKKKDPTTSPVKCFFVCMESVASVDNQDNILCGKTIRQARSVFMHVDNLSSLSNYMARFSLILSKTMNLEVDLSFVDIKPIADEPCRDKDGNAVYGTDGKPLIHTDGTGFISHDLALKCPKNQVKGTCLQASNIERLRVHNEVMEQNPECLHGDPPLLIQFRLFNNGTAVKGTFLVNKKLNHRTLHVRPSMIKVETDPKLSNTFSKNSLEIVGTSCRPKKTFLSKNLIALLSYGGVPEEFFMGILNNALEDAHGILSNKKAALRVALNYGDMDDNIVATMIGCGIPLEEPYLQHHLSILKKEEKKSLKGGKIPVPESYYLMGTADPTGLLESDEVCIILDCGQVSGEVLVYRNPGLHFGDIHILKATYVRELEDFVGNAKYAIFFPCKGPRSLADEMSGGDFDGDMFFVSRNPRLLENFKQTEPWTPSTSTPNEPNRKPSEFSDEELEVELFKLFLRNRFQPSFTVGVAADSWLAMMDRLLTLGNDCTEEIACVKKNINLLIDIYYDALDAPKKGGRKIEVPEALKAELFPHFMEKHEKKTYRSTSILGKIYDKVKAYEDMDLSSNDVWKHPCFDGEVHESCLVKWKGLYGQYRTEMRNALQAGKEKNNEANEVIKKYKEILYEAAEFNLSRRRDEEIFEEARALYQVTYNHAKRQGAVGKCGFAWRVAGLALCTLYVLKNQEERPLICSPSALKGIL >Potri.004G149400.1.v4.1 pep chromosome:Pop_tri_v4:4:17152515:17154912:-1 gene:Potri.004G149400.v4.1 transcript:Potri.004G149400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149400.v4.1 MPPLLKRFLHHPPLPPPPPTYSLPFSTTTSPPPNHHSPLTTAIISLLTHHRSKSRWSHLRSLLTTTTSTPLAPGHFSLITLKLKSNPHLALSFFHFTLHNSSLCSHNLRSYATIIHILSRARLKAHAQEIIRAGLRSQILFNNDEDAFAEQTGLSRVEQDCEGHRGPRYHLLKEVRFFEVLVKSYRECDSAPFVFDLLIKSCLELKKIDGSIEIVKMLRSKGISPSISTCNALISEVSRCKGSFVGYGVFKEVFGLESCELGEKMRRGFRVRPNVHSFNELMVGFYRNGEVEMVEEIWSEMERFGCVANGFSYGVLMAVFCEGGRLSEAERLWDEMRVKGIMPDVVAYNTIIGGFCKAGEVEKAEGLFREMGLSGIESSCVTFEHLIEGYCRIGDVNSAILVYKDMRRRDFRLEALTMEVLIGGLCEQKRVFEALKIMRSAMRDVSFHPNGKSYELLINGLCEDGKMEEALKLQSEMVGKGFDPNSAIYGAFIEGYVKLGNEEMAAMLRKEMSVAQKQQQEDNVTD >Potri.010G129466.1.v4.1 pep chromosome:Pop_tri_v4:10:14602470:14603072:1 gene:Potri.010G129466.v4.1 transcript:Potri.010G129466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129466.v4.1 MYSHGHCGIRKYSVPIKLHSTHKPKPPSPFLGKKLNLKPYSRTTITSKPKTLAVVASSGVEFLDAVHNLFLGVDVGLPCTVMECGDIIYRSTLPKPSGLTLTVPGAALALGTLSYLWATPGVAPGFFDMFFLAFVERLFRPTFKKDDFVLGKKLGERAFGVVIGLR >Potri.007G112600.1.v4.1 pep chromosome:Pop_tri_v4:7:13357196:13360950:1 gene:Potri.007G112600.v4.1 transcript:Potri.007G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112600.v4.1 MWDSESESVTGRDYGNGILSSSKHGVETDGFEQRDHSWYVATDIPSDFLVQVGDVNFHLHKYPLLSRSGKMNRLIYESRDLDLNKVALDDLPGGPEAFELAAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSSEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPKVSSPKWNEMKDSSPSRNSQVPPDWWFEDVSILRIDHFVRVVTAIKVKGMRFELTGAAIVHYAGKWLPGLIQNGGGFIDEASNSSNSNSSSSSGGISWKGGLHMIVAGTKDDTPTVQAKDQRMIIESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLVPSYNKNETLFDVDLVQRLLEHFLVQEQTESSSPSRQTFSDKNMHDGTQRSANTSSKMRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPSLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNSLANNTLKESSEAQYQPMISNRKTLLEGTPQSFQEGWATAKKDINTLKFELETVKAKYLELQNDMDNLQRKFDKMTNKKQTSAWTTGWKKLGKFTKMTNLENNEIGSQVAAPEEQTRKTPGRWRNSIS >Potri.010G149100.2.v4.1 pep chromosome:Pop_tri_v4:10:15955948:15956939:1 gene:Potri.010G149100.v4.1 transcript:Potri.010G149100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149100.v4.1 MSAPEPTANLLTSRHRRRKDMSMVTQDIRAKAEVCYGDETCREKIISLLTEKGLPSGLITVLEEIEEYRYIKDTGFVSLKHNSKRKDHKFDKVAVCYDNEVTAYFEPNRIRNLTGVKAKEFLIWITLSEIYVSGDIPVALITFKTPAGFSKSFPLSSFTFKDEEEANEVHEAKIGKIKGVKL >Potri.001G471200.9.v4.1 pep chromosome:Pop_tri_v4:1:49434544:49437585:1 gene:Potri.001G471200.v4.1 transcript:Potri.001G471200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471200.v4.1 MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRFKYETTARSWTQKYAMG >Potri.001G471200.6.v4.1 pep chromosome:Pop_tri_v4:1:49434602:49437378:1 gene:Potri.001G471200.v4.1 transcript:Potri.001G471200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471200.v4.1 MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFAGGVFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRFKYETTARSWTQKYAMG >Potri.001G118200.6.v4.1 pep chromosome:Pop_tri_v4:1:9612426:9617024:1 gene:Potri.001G118200.v4.1 transcript:Potri.001G118200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118200.v4.1 MKTPPLLRLTFILFLIILLLTCSWYSLNLPVFSDPPKSTSWLCNSKSRVCAHLGSVLETGIHKPRDHMEDVPHHPLDPLTMQEIYKVRTILSTYPPLLPSFPAIHSLSLEEPHKDLVLKWKEGDPLPPRKALVIALLNGQSHVLSVDLDSGLVTSNEINHGSGYPMLTMNDISVAIQVALSHKELNKSTIKRGISLSDLTCITPSAGWFGPNEEGRRIIKVQCFSNQGTPNFYMRPLEGLTMTVDIDKKEVVKFTDTGGGIPVPKGTDTDYRFKAQKEPPKMKPINPISIEQPLGPSFTVEDGHIVKWANWEFHLKADQRAGIVISRAMVSDSETGVPRSVMYKGFSSELFVPYMDPDENWYFKSYMDAGEFGMGATALSLVPLNDCPRHSYYMDGIFVSWDGKPYVQPSIICVFERYAGDISWRHSEIPVNGFNIREARPKVSLVARMAASVGNYDYIFDWEFQTDGLIHVAVSLSGMLMVKGTPHQTADQIPNQEAASGPLISENLIGVVHDHFITFHLDMDIDDTNNTFVKVNLVKEETLPGQSPRKSYLKAKRNTAKTEDDARIKLKLYEPSEFHVINPSRRSRLGNPAGYKIVPGGNAASLLDHLDPPQLRSAFTNNQIWVTPYNRNEQWAGGLFTYQSKGDDTLAAWSKRNRAIENKDIVLWYTLGFHHIPCQEDFPVMPTVSSSFELKPVNFFESNPILKAAPMFEKDLPVCRPATSS >Potri.002G077600.1.v4.1 pep chromosome:Pop_tri_v4:2:5421453:5423585:-1 gene:Potri.002G077600.v4.1 transcript:Potri.002G077600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077600.v4.1 MLQRIRFLAMAATSSYLAGAKFSMLGWLGGRRELRRRHIISVSAQQQAEVQGSQEVDAQEEKELEQEKVKQPTQPRPVEPQVNVKSKNMSREYGGQWLSSVTRHVRIYAAYIDPETCEFDQTRMDKLTLILDPTDEFVWTEESCHKVYSYFQELVDHYEGALLTEYTLRLIGSDIEHYIKEAAV >Potri.012G017000.1.v4.1 pep chromosome:Pop_tri_v4:12:1895932:1898548:-1 gene:Potri.012G017000.v4.1 transcript:Potri.012G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017000.v4.1 MAAAAAAAAAMDAQWDFSCDFEVDFGSEENASIVYAALAVDKELQPDKVKRLMSVSNGKLSVHFEAVEARFLRASFSAFVDVLTLTTKTIEEFGKGMAS >Potri.012G121851.1.v4.1 pep chromosome:Pop_tri_v4:12:13970115:13974180:1 gene:Potri.012G121851.v4.1 transcript:Potri.012G121851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121851.v4.1 MYGFELYRATDELQRITSTSLVDESIVSGRDNDREALVSKLLGESSQEAWDVDAISLVGLGGIGKTTLAQLAFNDADVTAHFEKKIWVCVSDPFDEVRIAKAILEQLEGRAPDLVELQSLLQRVSESIKGKRFLLVLDDVWTENHRQWEQLKPSLTGGAPGSRILVTTRKHSVATMMGTDHRINIEKLSDEICRSIFNHVAFQERSKDERERLTDIDGKIASKCKGLPLAAKVLGGLIQSKRTREEWERVLSSELWGLDEVGRDQVERGIFLPLLLSYYDLPSMVRRCFLYCAMFPKDYEMRKYELVKMWIAQGYLKETSGGDMEAVGEQYFQVLAARSFFQDFKTYDREDIRFKMHGIVNDFAQYMTKNECLTVDVNNLGVATVETSIERVRHLSMMLSNETSFPVSIHKAKGLRSLLIHSRDPSLGAALPDLFKQLTCIRLLNLSESSIKEIPNEVGKLIPLRHLNLASCYQLESLPETMCDLCNLQSLDVTWCDSLKELPNAIGKLIKLRHLRIYGSGVAFVPKGIERITCLRTLDEFTVCGGCENESKAANLRELKNLNHIGGSLKIWNLRGGIKDASDAAEAQLKNKKRLRCLLLAFDYNRQNSILIEALRPPSDLENLTISRYGGLDLPNWMMTLTRLQELKLCYCANLEVLPPLGRLPNLEGLVLRSLKVRRLDAGFLGLEKDENASINEGEIARVTAFPKLKELEIWYLEEVEEWDGIERRVGEEDANTTSISIMPQLRDLIIENCPLLRALPDYVLAAPLQELDISRCPILTNRYGEEEMGEDWQKISHIPNICFDDYGRKTELFN >Potri.002G168700.1.v4.1 pep chromosome:Pop_tri_v4:2:12948885:12951875:-1 gene:Potri.002G168700.v4.1 transcript:Potri.002G168700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168700.v4.1 MEKSMEWEQKTLVSELAQGKELAKQLRNHLNPSSSLEARQSLVEKILSSYEKALSVLNRGALVADQPKPTIGIMESPHSFSNSSPWSEVSDQDCKEEWNKDVNKKRKTQPRRTEQVKVCPGTGLEGPLDDGHSWRKYGQKDILGANFPRGYYRCTHRHSQGCLATKQVQRSDENHSIFEVTYRGRHTCKQASTPPVASPSLENDFSKQSKYHSQQQHEEKPKPSKEISRHFGFDCNQVKNEDLGSKDGIFPFFSFPCTSSGNENEENNIFTESMIENSFLGNFSPTFISSTTSESNYFSVSPCHMNSFGIGSQNVQTQGCELTTEKISAPTSVTNSPIRDLDISIDYVDFDTTFPFDNPEFFA >Potri.010G171300.1.v4.1 pep chromosome:Pop_tri_v4:10:17277790:17289199:-1 gene:Potri.010G171300.v4.1 transcript:Potri.010G171300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171300.v4.1 MNLTLPHKQNPLLHSPILLTQTAQNPPILFQLPTNQRPRISRKKPIFRIYSSANGNGSDGFSWPILTRSVRLGTERFLLKLGESVKKETGFDVEGGNVKVGEFVERIKGDIKKGDAALTRFRTELLTDFVDWNRWERWKDLKNWEPKRVGALLLYIFAVMFSCQRIYVAIRAPFLDQERRELTEAYMEALIPEPSPINIRKFKKGMWRNTTPKGLKMKKFIEGPDGTLIQDTSYVGEDAWEDDQEPPQENMKQIIDKDVRLNAELKKNLKEDLGILGEVQESKGTWRERLHIWKEVLKKEKFAEQLDSSNAKYVVEFDMKEVENSLRKDVVEKVTDTQGARALWISKRWWRYRPKLPYTYFLQKLDSSEVAAVVFTEDLKRLYVTMKEGFPLEYVVDIPLDPYLFEVISGSGVEVDLLQKRQIHYFLKVLIALVPGLLILWLIREAAMLLHITSKRFLYKKYNQLFDMAYAENFILPVGDVGETKTMYKEVVLGGDVWDLLDEIMIYMGNPMQYYERGVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPCFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSIGKQLAEDVDFGKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKVYQQDLVDVLDKQLLEGMGVLLTEEEQQKCEQNVSFEKKSLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMIDQGYTTFGYMKMQMVVAHGGRCAEHLVYGEDITDGGSDDLEKITKIAREMAISPQNAKLGLTALTRRVGLMDRPDNPDGELIKYRWDDPHVIPANMTLEVSELFTREMTRYVEETEELAMEGLRNNRHILDVITKELLEKSRITGLEVEDLMKELSPTMFEDFVKPFQINLDEEGPLPHNDKLRYQPLDIYPAPLHR >Potri.010G171300.5.v4.1 pep chromosome:Pop_tri_v4:10:17278200:17286376:-1 gene:Potri.010G171300.v4.1 transcript:Potri.010G171300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171300.v4.1 MKEVENSLRKDVVEKVTDTQGARALWISKRWWRYRPKLPYTYFLQKLDSSEVAAVVFTEDLKRLYVTMKEGFPLEYVVDIPLDPYLFEVISGSGVEVDLLQKRQIHYFLKVLIALVPGLLILWLIREAAMLLHITSKRFLYKKYNQLFDMAYAENFILPVGDVGETKTMYKEVVLGGDVWDLLDEIMIYMGNPMQYYERGVKFVRGVLLSGPPGTGKTLFARTLAKESGLPFVFASGAEFTDSEKSGAARINEMFSIARRNAPCFVFVDEIDAIAGRHARKDPRRRATFEALIAQLDGEKEKTGVDRFSLRQAVIFICATNRPDELDLEFVRPGRIDRRLYIGLPDAKQRVQIFGVHSIGKQLAEDVDFGKLVFRTVGFSGADIRNLVNEAAIMSVRKGHSKVYQQDLVDVLDKQLLEGMGVLLTEEEQQKCEQNVSFEKKSLLAVHEAGHIVLAHLFPRFDWHAFSQLLPGGKETAISVFYPREDMIDQGYTTFGYMKMQMVVAHGGRCAEHLVYGEDITDGGSDDLEKITKIAREMAISPQNAKLGLTALTRRVGLMDRPDNPDGELIKYRWDDPHVIPANMTLEVSELFTREMTRYVEETEELAMEGLRNNRHILDVITKELLEKSRITGLEVEDLMKELSPTMFEDFVKPFQINLDEEGPLPHNDKLRYQPLDIYPAPLHR >Potri.001G107100.4.v4.1 pep chromosome:Pop_tri_v4:1:8602513:8604601:1 gene:Potri.001G107100.v4.1 transcript:Potri.001G107100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107100.v4.1 MGFTGTLEKCKACDKTVYFIELVSADGVPYHKKCFKCSHCNGLLVMSSYSSIDGVLYCKPHYDQLFKETGNFTKKFQPYEEKKNCLTKAPSKLSSMFSGTQDKCAFCKKTAYPLEKVTVEGEFYHKSCFRCSHGGCCITPSSYAALDGILYCKAHFAQLFKQKGSYSYLTKTATMKKNAVNLPEEKSEAAENNETVPEANADANSDLAIDKRHHNS >Potri.001G107100.3.v4.1 pep chromosome:Pop_tri_v4:1:8602608:8604601:1 gene:Potri.001G107100.v4.1 transcript:Potri.001G107100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107100.v4.1 MGFTGTLEKCKACDKTVYFIELVSADGVPYHKKCFKCSHCNGLLVMSSYSSIDGVLYCKPHYDQLFKETGNFTKKFQPYEEKKNCLTKAPSKLSSMFSGTQDKCAFCKKTAYPLEKVTVEGEFYHKSCFRCSHGGCCITPSSYAALDGILYCKAHFAQLFKQKGSYSYLTKTATMKKNAVNLPEEKSEAAENNETVPEANADANSDLAIDKRHHNS >Potri.010G058850.1.v4.1 pep chromosome:Pop_tri_v4:10:8914051:8916231:-1 gene:Potri.010G058850.v4.1 transcript:Potri.010G058850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058850.v4.1 MAKERTCFQLSFLIFLSLFSKFVSLEATKPSPNSSISNAAGCVELERKALLRFKQGLKDRTCCSEQTGHVIKLHLQNPYNSTFIDPGDSTELAGFKFSCLSGKISLSLLELKYLNHLDLSWNDFQGKTIPEYIGSLSELSYLDLSGASFSGLVPPHLGNLSNLRYLNLYSDSYQSSVIDNLRRNFPDSLPTTNLTALRIFRLGYNNFSNFLPRWLSNISTLEVLDLSISRMKGPIDHISWRKLCKLQPLYLSNNDISGEIVDLVAGLSGCGNKLSGQIPDSIGHFKHLRSLYLQSNSFSGSIPSSIGSLNDLETLDLSSNAISGTIPDSIGQLSKDLKNLGTLVLSNNLLSGNINIPWEEMSLSHLDLSRNNLQQLFWRTLPVLTKLHRLSGSITEWVGDNLSILSALALRGSMFSGNIPGQLCRLPHLHILDLAQNHLSSFIPTCLGNLSGLQAPSFYEQDPPDFTVSYRQSMDLIVKGRQLEYNLTLGIVNVLDLSSNNLKGEIPEEIINLTYLGTLNLSWNQLTGKIPDKIGSLQRLETLDLSNNHLSGPIPPSMSSMTFLNHLNLSYTNL >Potri.018G036800.1.v4.1 pep chromosome:Pop_tri_v4:18:2873167:2877145:1 gene:Potri.018G036800.v4.1 transcript:Potri.018G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036800.v4.1 MDCLRELEGKHAHDPLFEKIMNKPSRVFVPGPVIVGAGPSGLAVAACLKEKGVLSMVLERSSCIASLWQLKTYDRLRLHLPKQFCELPLMGFPSEFPTYPTKQQFLHYLESYAQKFEIGPRFNETVSHAEYDKVNGFWRVKTVGKKLEETEYVCRWLVVATGENAEAVVPEIDGMGEFGGDIKHTSYYKSGEEFTGKKVLVVGCGNSGMEVCLDLCDHSAKPSLVVRDTVHVLPREMLGQSTFGLSMWLLKWLPVRLVDRFLLIVSRLMLGDTARFGLERPELGPLELKNLSGKTPVLDVGTLAKIKSGDIKICPEIKKLKRHTVEFIEGKTENFDAIILATGYKSNVPSWLKEGDMFSEKDGFPRRPFPNGWKGECGLYAVGFTKRGILGASVDAIRIAEDIERCRNEEAAPCDRSVLMKSPPPPPPSFSCLEFDL >Potri.018G096028.2.v4.1 pep chromosome:Pop_tri_v4:18:11620290:11621088:-1 gene:Potri.018G096028.v4.1 transcript:Potri.018G096028.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096028.v4.1 MRAQLFFVFIHFTILTTNIILVTSQSSSLAETPLKKVDNETIYKVSKQLCWGCLGESLQFLFAHNLVRAAKWELPLMWDFQLEKYAGWWAGLRKADCKLQHSFPEYDFKLGENIYWGSGSTWTPTDAVGTWAGEEKYYNYAQNTCQEGQMCGHYTQIVWKTTRRIGCARVVCDDGDVFMTCNYDPPGNYVGERPY >Potri.018G096028.3.v4.1 pep chromosome:Pop_tri_v4:18:11620243:11620938:-1 gene:Potri.018G096028.v4.1 transcript:Potri.018G096028.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096028.v4.1 MWDFQLEKYAGWWAGLRKADCKLQHSFPEYDFKLGENIYWGSGSTWTPTDAVGTWAGEEKYYNYAQNTCQEGQMCGHYTQIVWKTTRRIGCARVVCDDGDVFMTCNYDPPGNYVGERPY >Potri.018G096028.1.v4.1 pep chromosome:Pop_tri_v4:18:11619679:11621091:-1 gene:Potri.018G096028.v4.1 transcript:Potri.018G096028.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096028.v4.1 MRAQLFFVFIHFTILTTNIILVTSQSSSLAETPLKKVDNETIYKVSKQLCWGCLGESLQFLFAHNLVRAAKWELPLMWDFQLEKYAGWWAGLRKADCKLQHSFPEYDFKLGENIYWGSGSTWTPTDAVGTWAGEEKYYNYAQNTCQEGQMCGHYTQIVWKTTRRIGCARVVCDDGDVFMTCNYDPPGEKPASSTHALIIGEYEKRLCRKSGTRFVARHKKSARHRLAILSFVMRLLGSLESPPSI >Potri.008G114300.1.v4.1 pep chromosome:Pop_tri_v4:8:7281214:7282274:1 gene:Potri.008G114300.v4.1 transcript:Potri.008G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114300.v4.1 MEQNAAKPPAPRVAVVLFLLKDESVLLGRRCSSVGDSTFALPGGHLEFGESFEECAARELKEETGLEINKTELLTVTNNVFLEEPKPCHYVTVFLRANLADPEQVPQNLEPEKCYGWDWYAWDNLPNPLFLPLEKMVKSGFNPFPDH >Potri.010G086200.1.v4.1 pep chromosome:Pop_tri_v4:10:11240271:11243880:-1 gene:Potri.010G086200.v4.1 transcript:Potri.010G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086200.v4.1 MSTVTTLPTIPVPLTSIALHAALSSTSTPTSLPHLKQIHAQVLRSNLPPSLLLELLLSSSSLDYALSVFTHLPKCHTPLSNKLFRSLSRSAKPETALLAYEKIRLKEGLLGIDRFSFPPLLKAASRASGLNEGKEIHGVATKLGFDKDPFVQTGLVGMYASCDRISEARLVFDKMSYRDVVAWSIMIDGYHQSGLYDDVLQLFEEMRSSNLKPDEMVLTTIISACGRARNLSYGEAIHDFIIENNFVLDTYLQSALLTMYASCGCMEMAQKLFTKISSRNLVVLTAMISGYSRVGRVEDARLIFDQMEEKDLVCWSAMISGYAESDKPQEALNLFSEMQVFGIKPDQVTILSVISACARLGVLDRAKWIHMYVDKNGLGGALPVNNALIDMYAKCGNLGAARGVFEKMQSRNVISWTSMINAFAIHGDASNALKFFYQMKDENIKPNGVTFVGVLYACSHAGLVEEGRRTFASMTNEHNITPKHEHYGCMVDLFGRANLLRDALELVETMPLAPNVVIWGSLMAACQIHGENELGEFAAKQVLELEPDHDGALVQLSNIYAKDRRWQDVGELRNLMKQRGISKERGCSRIELNNQVYEFVMADKKHKQADKIYEKLDEVVKELKLVGYTPNTSSVLVDVEEEGKKEVVLWHSEKLALCYGLMGEGKGSCIRIVKNLRVCEDCHTFIKLVSKVYGMEIIVRDRTRFHHYKAGVCSCNDYW >Potri.007G137950.1.v4.1 pep chromosome:Pop_tri_v4:7:14910864:14913171:-1 gene:Potri.007G137950.v4.1 transcript:Potri.007G137950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137950.v4.1 MESKLRKLGFEGIGNLKTPPPSYSIKSVVKFLKENINEDDHRLAISLGVGDPSGFKCFRTTNIAEDAIVGAVRSAKFNSYAPTGGILSARRAIAEYLSNDLPYQLSPEDVYVTLGCKHAMEMIVKVLARPEANILLPRPGFRIYETYANSHHLELRHFDLLPQKGWEVDLDAVEAIADENTIAMVIINPGNPCGSVYSYEHLSKIAETARKLGILVVADEVYGHIVFGSKPFVPMGVFGSTVPVITLGSISKRWMVPGWRLGWLVTSDPTGLLQICGIADSIKSALNPAPFSPTFIQAAVPEILEKTTEEFFSRTINILRAASAFCYDKLKEIPCITCPQRAEGAMFVLVKLNLSLLEDIEDDMEFCLKLAKEESLVILPGVTVGLKNWLRITFSVEQSSLEDGLGRLRSFCGRHAKKP >Potri.012G130500.1.v4.1 pep chromosome:Pop_tri_v4:12:14552051:14560574:-1 gene:Potri.012G130500.v4.1 transcript:Potri.012G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130500.v4.1 MKRGGFACGFGFSRCCCLLLILVCVNGRSDKEVRERFYGNLVNSSAPDNGEGSIAKMFDRVLEKEFSDNDQPEGSDGSSFNNSVADQQAVLETVAKITHEKGKKNDTQVANGSRPFQLQDVFSLENEESEDMATLIDKKDNVFVMSNKKSKYPILQVDLRLISDLVVAIVSAAIGGIIFSCLGQPVIVGYLLAGSIIGPGGLNFISEMVQVETVAQFGVVFLLFALGLEFSLTKLKVVGPVAVLGGMLQIVIFMCLCGIVAAMCGAKLSEGVFVGSFLSMSSTAVVVKFLIERNSSNSLHGQVTIGTLIFQDCAIGLLFALLPVLGGNSGALQGMISMGKLLLVLSVYLTAASFMSWSFVPRFLKLMIQLSSQTNELYQLAAVAFCLLSAWCSDKLGLSLELGSFVAGIMISTTDFAQHTLEQVEPIRNLFAALFLSSIGMLIHVHFLWNHVDILLASVILVIVVKTTIAAAVTKAFGYSIRTSFHVGVLLAQIGEFAFVLLSRASNLHLIEGKMYLLLLGTTALSLVTTPLLFKLIPNVMNLGILLQWFPSESSTPNKWFPSENGTPNEERVSMIEVRNRLL >Potri.012G128900.1.v4.1 pep chromosome:Pop_tri_v4:12:14442133:14443377:-1 gene:Potri.012G128900.v4.1 transcript:Potri.012G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128900.v4.1 MGYWSAENATNAYLKTLRMGQRANEPDVAEFISALAAGNNAQLMVVACANAAATTSLTLVAAAHQTGGRVVCILPGHQELQLSKKILGYDACHVEFVIGEAQSLLLTHYSEADFVLIDCNLENHEAILGSVQARKKRNGAVVVGYNAFSKGSWRSGGSKTQLLPIGGGLLVTRISASAKIADGCGHGKRSHWVVKVDECTGEEHVFRVRFPQGKQIEA >Potri.012G041100.1.v4.1 pep chromosome:Pop_tri_v4:12:3657874:3669413:1 gene:Potri.012G041100.v4.1 transcript:Potri.012G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041100.v4.1 MASQGKKLINDPNDVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADHASAMLDKVAIISGGGSGHEPAHAGFVGEGMLSAAICGEVFTSPQVDAILAGIRAVTGPMGCLLIVKNYTGDRLNFGLAAEQAKSEGYKVETVIVGDDCALPPPRGIAGRRGLAGTILVHKVAGAAAAAGLSLDEVAAEAKRASEMVGTMGVALSVGTLPGQVTSDRLGPGKMELGLGIHGEPGAALADLQPVEVVVSHVLQQILSPETNYVPITRGNRVVLLVNGLGATPGMELMIAAGKAVPQLQLEHGLAVDRVYTGSFMTSLDMAGFSISIMKADEPFLQRLDAATKAPYWPVGVDGNRPPAKIPVPLPLSHSAKSDESLSRPQQLSEQGHLLEVAIEAAVNAIIDLRDNLNEWDGRVGDGDCGSTMYRGATAILEDMKKHYPLNDAAETVNEIGSSIRRVMGGTSGIIYTIFCKAACVQLKANTQSVVASKQWAEALEASIAAVSKYGGASAGFRTLLDALIPASAVLQERLNAGDDPCTAFLLSSEAAVAGAESTKHMQAQAGRSTYISSDILATVPDPGAMAAAAWYRAAAIAIKNNYQLHE >Potri.014G034300.1.v4.1 pep chromosome:Pop_tri_v4:14:2136393:2138898:1 gene:Potri.014G034300.v4.1 transcript:Potri.014G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034300.v4.1 MTEDLCFFNKDALVIKGPKKSPLLLRLVVVAFAMVCGVYICSICIKQISPHTTAKFLNIRIFDQPCNSSNVEEWEKPYVHYPKPETFSREECACNPVRFFAIFSMQRSGSGWFETLLNSHINVSSNGEIFGKRARRASVSAITQTLDRVYNLDWFSSASKNECNAAVGFKWMLNQGVMEHHEGIAEYFKQNGVHAIFLFRRNLLRRMISVLANSYDKSNKPLNGTHKSHVHSSMEAEVLAKYRPTINATTLIAELKHVDDRATRAIDYFKSTRHTVVYYEDVVGNRTKLKEVQDFLRLPYRELTSRQVKIHSGHLSKQVQNWDEIHKVLKGTQYESFLHLDYQR >Potri.004G116800.1.v4.1 pep chromosome:Pop_tri_v4:4:10971394:10974602:1 gene:Potri.004G116800.v4.1 transcript:Potri.004G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116800.v4.1 MSNKQGPPKHQNNYAWKPNAGRKINETEVGGRLKPLSEITGVCQRCKEQIDWKRRYGKYKPLTEPAKCQKCSKRTVRQAYHNLCTACAKEQKVCAKCRCHVDRIIGRDLADVEAEQKTLEEAIKNARERDRRALIRAMNKGKPMGLTKNPTNEGNRVGDLFPSSSLEEYAAKGRDRNRSVNGNKNLGDGEDVDDEEEGEEDNESENGENNEDLDGEDNPEHQNENGDKVCH >Potri.004G116800.2.v4.1 pep chromosome:Pop_tri_v4:4:10971445:10972483:1 gene:Potri.004G116800.v4.1 transcript:Potri.004G116800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116800.v4.1 MSNKQGPPKHQNNYAWKPNAGRKINETEVGGRLKPLSEITGVCQRCKEQIDWKRRYGKYKPLTEPAKCQKCSKRTVRQAYHNLCTACAKEQKVCAKCRCHVDRIIGRDLADVEAEQKTLEEAIKNARERDRRALIRAVSSLG >Potri.010G008042.1.v4.1 pep chromosome:Pop_tri_v4:10:1684634:1685572:-1 gene:Potri.010G008042.v4.1 transcript:Potri.010G008042.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G008042.v4.1 MARQADRLVKIGQEGFAAIDEHFGRAKRRPLVMKVPYAHPTYYYATEVIDSNEAAQRYKGRVYVDYPKGKPVPF >Potri.007G062142.1.v4.1 pep chromosome:Pop_tri_v4:7:7041342:7041743:1 gene:Potri.007G062142.v4.1 transcript:Potri.007G062142.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpE MILSLCVLTPNRIVWDSEVKEIILSTNSGQIGVLPNHAPIATAVDIGILRIRLNDQWLTMALMGGFARIGNNEVTVLVNDAEKGSDIDPQEAQQTLEIAEANLRKAEGKRQIIEANLALRRARARVEAINVIS >Potri.003G126200.1.v4.1 pep chromosome:Pop_tri_v4:3:14568826:14569932:-1 gene:Potri.003G126200.v4.1 transcript:Potri.003G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126200.v4.1 MKQVYPTFKYLLVLFVLLFTAGALVEAQKCKPSGKVKGKKPPKNQCNQDNGAECCEQGKFYTTYKCSPPVSAHTKGILTINSFEEGGDGGGQSECDGRYHDDDTPVVALSTGWFSNKKRCHHHITINGNGRSVKALVVDHCDSTRGCDGEHGYQPPCSNNIVDASKAVWKALGVNEKNKEWGWMDITWSDA >Potri.008G120050.1.v4.1 pep chromosome:Pop_tri_v4:8:7749132:7749698:1 gene:Potri.008G120050.v4.1 transcript:Potri.008G120050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120050.v4.1 MLWSEHCVHRFVILFNLFFLYYPRGLVYHLVLILLVYICSNISFICSFLLAKLRERGRTLERGFSVLRSGFMSAEFDLALVGVQLKLWILSLIAKTFVVASCCCLLCYMLLCCLQQRLNLVYSAISMPLRPKRTCSFGAFFYLFLFLRGALT >Potri.008G160900.2.v4.1 pep chromosome:Pop_tri_v4:8:11059073:11068284:1 gene:Potri.008G160900.v4.1 transcript:Potri.008G160900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160900.v4.1 MEKTWKQRCLPLLLLLSILSADTNHNNIIFLRQTAADGVTPEEATLLRDEVREMFYHAFNGYMEHAFPLDELKPLSCEGEDSLGGYALTLIDSLDMLALLGDRERFTSSVDWIGKNLRFDINKTVSVFETTIRVLGGLLSAHLIASDYATGMRIPSYDNQLLDLAEDLARRMLPAFDTPTGIPFGSVNLLYGVDEQESRITSTAGGGTLTLEFGMLSRLTNDPIFEQVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYAAAMHYLYNDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDIDPAIRTHAAFFSVWKRYGFTPEGFNLATLTVQHGQKSYPLRPELIESTYWLYKATRDPRYLDVGRDMVVSLQYGARCPCGYCHIVDVEFHKKEDHMESFFLAETVKYLWLLFDLASGPDNLVENGPYKYIFSTEGHLLPATPQISLIHEHCSYLGAYCKGGGIEQESRKSDISIHPQENNASVLSGSWVHSSYPSDSSFLESTSTSGLMKGLCPGLTHGQKYGISYLDATRTAQEDHSTHQRENVADSHSLLVVSQQSADNSLSKNKNEKQEH >Potri.008G160900.3.v4.1 pep chromosome:Pop_tri_v4:8:11059046:11068327:1 gene:Potri.008G160900.v4.1 transcript:Potri.008G160900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160900.v4.1 MEKTWKQRCLPLLLLLSILSADTNHNNIIFLRQTAADGVTPEEATLLRDEVREMFYHAFNGYMEHAFPLDELKPLSCEGEDSLGGYALTLIDSLDMLALLGDRERFTSSVDWIGKNLRFDINKTVSVFETTIRVLGGLLSAHLIASDYATGMRIPSYDNQLLDLAEDLARRMLPAFDTPTGIPFGSVNLLYGVDEQESRITSTAGGGTLTLEFGMLSRLTNDPIFEQVTKNAVRGLWARRSKLNLVGAHINVFTGEWTQKDAGIGTSIDSFYEYLLKAYLLFGDEEYLFIFQEAYAAAMHYLYNDPWYVEVNMDSAAIVWPLFNSLQAFWPGLQVLAGDIDPAIRTHAAFFSVWKRYGFTPEGFNLATLTVQHGQKSYPLRPELIESTYWLYKATRDPRYLDVGRDMVVSLQYGARCPCGYCHIVDVEFHKKEDHMESFFLAETVKYLWLLFDLASGPDNLVENGPYKYIFSTEGHLLPATPQISLIHEHCSYLGAYCKGGGIEQESRKSDISIHPQENNASVLSGSWVHSSYPSDSSFLESTSTSGLMKGLCPGLTHGQKYGISYLDATRTAQEDHSTHQRENVADSHSLLVVSQQSADNSLSKNKNEKQEH >Potri.007G079400.2.v4.1 pep chromosome:Pop_tri_v4:7:10386992:10389551:-1 gene:Potri.007G079400.v4.1 transcript:Potri.007G079400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079400.v4.1 MMMMMMIKKNLKSLSFELQKSRYVSSSKIPFLSLHTNPQNHNNKDIQVDAIYNIISNSTSSQNLKQSLKSTGVFLSNDLIDKVLKRVRFSHGNPLQALDFFNFTADRRGFYHSSYSLDTMLYILGRSRKFDHIWDVLIDIKRKDRNLITPRTLQVVLGRVAKVCSVRMTVESFWKFKRLVPVFDTSCFNALLRTLCQEKSMSDARNVYHHLKKGFRPNLQTFNILLSGWKSSEEAELFYEEMKELGVKPDIVTYNSLIDVFCKGRELEKAYGVVARMREEDILPDVITYTSIIGGLGLVGQPDKARDMLKEMKEHGCYPDVAAYNAVIRNYCIAKRLDAAYSLMAEMESKGMSPNATSYNLFFRVFSWSNDLRNSWDFYGRMMDAGCLPNTQSCMFLIKLFKRHEKVEMALQLWNDMVEKGFGSYILVSDVLLGMLCDMGKLVEAEKCFLQMVEKGHKPSNVSFRRIKVLMELANKHDAIRNLSEKMAIFGSSIRAPEGMDEKECSDPVPSL >Potri.007G079400.3.v4.1 pep chromosome:Pop_tri_v4:7:10386911:10389505:-1 gene:Potri.007G079400.v4.1 transcript:Potri.007G079400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079400.v4.1 MMIKKNLKSLSFELQKSRYVSSSKIPFLSLHTNPQNHNNKDIQVDAIYNIISNSTSSQNLKQSLKSTGVFLSNDLIDKVLKRVRFSHGNPLQALDFFNFTADRRGFYHSSYSLDTMLYILGRSRKFDHIWDVLIDIKRKDRNLITPRTLQVVLGRVAKVCSVRMTVESFWKFKRLVPVFDTSCFNALLRTLCQEKSMSDARNVYHHLKKGFRPNLQTFNILLSGWKSSEEAELFYEEMKELGVKPDIVTYNSLIDVFCKGRELEKAYGVVARMREEDILPDVITYTSIIGGLGLVGQPDKARDMLKEMKEHGCYPDVAAYNAVIRNYCIAKRLDAAYSLMAEMESKGMSPNATSYNLFFRVFSWSNDLRNSWDFYGRMMDAGCLPNTQSCMFLIKLFKRHEKVEMALQLWNDMVEKGFGSYILVSDVLLGMLCDMGKLVEAEKCFLQMVEKGHKPSNVSFRRIKVLMELANKHDAIRNLSEKMAIFGSSIRAPEGMDEKECSDPVPSL >Potri.007G079400.1.v4.1 pep chromosome:Pop_tri_v4:7:10386994:10389647:-1 gene:Potri.007G079400.v4.1 transcript:Potri.007G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079400.v4.1 MLSFNKNFPSVKFYFSPPSSASASAAKASVFALVKSEKKTTGMMMMMMIKKNLKSLSFELQKSRYVSSSKIPFLSLHTNPQNHNNKDIQVDAIYNIISNSTSSQNLKQSLKSTGVFLSNDLIDKVLKRVRFSHGNPLQALDFFNFTADRRGFYHSSYSLDTMLYILGRSRKFDHIWDVLIDIKRKDRNLITPRTLQVVLGRVAKVCSVRMTVESFWKFKRLVPVFDTSCFNALLRTLCQEKSMSDARNVYHHLKKGFRPNLQTFNILLSGWKSSEEAELFYEEMKELGVKPDIVTYNSLIDVFCKGRELEKAYGVVARMREEDILPDVITYTSIIGGLGLVGQPDKARDMLKEMKEHGCYPDVAAYNAVIRNYCIAKRLDAAYSLMAEMESKGMSPNATSYNLFFRVFSWSNDLRNSWDFYGRMMDAGCLPNTQSCMFLIKLFKRHEKVEMALQLWNDMVEKGFGSYILVSDVLLGMLCDMGKLVEAEKCFLQMVEKGHKPSNVSFRRIKVLMELANKHDAIRNLSEKMAIFGSSIRAPEGMDEKECSDPVPSL >Potri.016G002100.1.v4.1 pep chromosome:Pop_tri_v4:16:102090:111586:-1 gene:Potri.016G002100.v4.1 transcript:Potri.016G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002100.v4.1 MASPGNPNQQQQGGGGIIGGGGGFDLNKLFKPSSSNPMNMMQPHIQQQQQQQQGPPPSPNSNTTTSGNLTTSPSFPLPSSSPPYLTPSSSYPPPTGPYHPFHHPHYLSPYPPPPPPQQLHNQFLTNTNIHLQNRPQPISSFAPSPPPLSPNNSGGAVLMDILTNQNQQQPPQSTNLSGPFPSSTPSTAFITTSPPVPSAPPVSLASPTQQCCAPPARMLSTKLPKGRHLNGNHVVYDIDVRLQGEVQPQLEVTPITKYLSDPGLVLGRQIAVNRNYICYGLKPGAIRILNINTALRSLLRGHNQKVTDMAFFAEDVHLLASACVDGRVFIRKINEGSDEEEKPQIFERILLALHIIADGESFHPRVCWHPHKQEILIVAIGNLILKIDTIKIGKGGAFSVEQPLTCPIDKLIDGVQLVGKHDGEVTELSMCQWMTTRLASASTDGVVKIWEDRKAVPLAVFRPHDGNPVNSVAFLTAPDRPDHIVLITGGPLNQEVKIWASASEEGWLLPSDAESWQCTQTLTLKSSAESSAEDAFFNQVVALPRASLFLLANAKKNAIYAVHLEYGPYPAATQMDYIAEFTVTMPILSLTGTSDCLPNGENIVQVYCVQTQAIQQYALNLSQCLPPPLENMVLEKTESNVSRAFDTANSDGSAIMESSHGSKPIEISTGNMTSIPPMTPSSSESAPVARESLGSSDVGSSLDIASSGGQTKAITISSRNNTDNTNTVSPHLLLIPKLSRSLSGLQSPANITDPNVQLSGHAGDQPVSDHSVDRRIETVKENVTDTSTGDNLNKGEKNIEQTGIAMVSEPPVMFKHPTHLITPSEILSRGAASENSQTTQGLNVGEAKIQDVLVNNDTENVEVEVKVVEETPGSGTVPVSSSKEKPDRLTISKSTSSRLKKKREEFLLKADLAGTTYLHGAYKGPEEKKENVISSEVTESTSPILKQTPADALQVDSVASEKNKGEPDDWEDAADMSILKLDGDGELSRGESGANQNNDFDLPIESHTPVAEKKEKPFYSQASDLGIQMARDCHVEAYSVGAIRQANEGSITEVLDRNPSGVDEEQHITEDVRAKSGEAETSVAVLQSPAPAPATKGKKQKGKSSQVSVPSSPSPSPFNSTGSSNEPGCTSGAQSSDAALPQILALQDTLDQLLNMQKEMQKQMNTMISVPVSKEGKRLEASLGRSIEKIIRANTDALWARFQEENTKHEKLEKDRIQQLTNLITNCINKDLPTALEKTLKKEIAAIGPAVARAITPILEKSISSAITESFQKGVGEKAVNQLEKTVSSKLEATVARQIQSQFQTSGKQALQDALRSTLEASIIPAFEMSCKAMFDQVDATFQNGLNKHINDIQQQFNSMHSPVAIALRDAINSASSLTQTLSGELADGQRQLLAMAAAGANSKVGDPSTKLGNGPLPGMHEMPEVPLDPTKELSRLIAEQKYEEAFTLALHRSDVSIVSWLCSQVDLQGILSISPLPLSQGVLLALLQQLACDFSNETSRKLAWMTDVAAAINPTDPMIAMHVGPIFDQVYQIVVHQRSLPSTSASEASGIRVLLVVINSVLRSCK >Potri.016G002100.5.v4.1 pep chromosome:Pop_tri_v4:16:102002:111556:-1 gene:Potri.016G002100.v4.1 transcript:Potri.016G002100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002100.v4.1 MASPGNPNQQQQGGGGIIGGGGGFDLNKLFKPSSSNPMNMMQPHIQQQQQQQQGPPPSPNSNTTTSGNLTTSPSFPLPSSSPPYLTPSSSYPPPTGPYHPFHHPHYLSPYPPPPPPQQLHNQFLTNTNIHLQNRPQPISSFAPSPPPLSPNNSGGAVLMDILTNQNQQQPPQSTNLSGPFPSSTPSTAFITTSPPVPSAPPVSLASPTQQCCAPPARMLSTKLPKGRHLNGNHVVYDIDVRLQGEVQPQLEVTPITKYLSDPGLVLGRQIAVNRNYICYGLKPGAIRILNINTALRSLLRGHNQKVTDMAFFAEDVHLLASACVDGRVFIRKINEGSDEEEKPQIFERILLALHIIADGESFHPRVCWHPHKQEILIVAIGNLILKIDTIKIGKGGAFSVEQPLTCPIDKLIDGVQLVGKHDGEVTELSMCQWMTTRLASASTDGVVKIWEDRKAVPLAVFRPHDGNPVNSVAFLTAPDRPDHIVLITGGPLNQEVKIWASASEEGWLLPSDAESWQCTQTLTLKSSAESSAEDAFFNQVVALPRASLFLLANAKKNAIYAVHLEYGPYPAATQMDYIAEFTVTMPILSLTGTSDCLPNGENIVQVYCVQTQAIQQYALNLSQCLPPPLENMVLEKTESNVSRAFDTANSDGSAIMESSHGSKPIEISTGNMTSIPPMTPSSSESAPVARESLGSSDVGSSLDIASSGGQTKAITISSRNNTDNTNTVSPHLLLIPKLSRSLSGLQSPANITDPNVQLSGHAGDQPVSDHSVDRRIETVKENVTDTSTGDNLNKGEKNIEQTGIAMVSEPPVMFKHPTHLITPSEILSRGAASENSQTTQGLNVGEAKIQDVLVNNDTENVEVEVKVVEETPGSGTVPVSSSKEKPDRLTISKSTSSRLKKKREEFLLKADLAGTTYLHGAYKGPEEKKENVISSEVTESTSPILKQTPADALQVDSVASEKNKGEPDDWEDAADMSILKLDGDGELSRGESGANQNNDFDLPIESHTPVAEKKEKPFYSQASDLGIQMARDCHVEAYSVGAIRQANEGSITEVLDRNPSGVDEEQHITEDVRAKSGEAETSVAVLQSPAPAPATKGKKQKGKSSQVSVPSSPSPSPFNSTGSSNEPGCTSGAQSSDAALPQILALQDTLDQKEMQKQMNTMISVPVSKEGKRLEASLGRSIEKIIRANTDALWARFQEENTKHEKLEKDRIQQLTNLITNCINKDLPTALEKTLKKEIAAIGPAVARAITPILEKSISSAITESFQKGVGEKAVNQLEKTVSSKLEATVARQIQSQFQTSGKQALQDALRSTLEASIIPAFEMSCKAMFDQVDATFQNGLNKHINDIQQQFNSMHSPVAIALRDAINSASSLTQTLSGELADGQRQLLAMAAAGANSKVGDPSTKLGNGPLPGMHEMPEVPLDPTKELSRLIAEQKYEEAFTLALHRSDVSIVSWLCSQVDLQGILSISPLPLSQGVLLALLQQLACDFSNETSRKLAWMTDVAAAINPTDPMIAMHVGPIFDQVYQIVVHQRSLPSTSASEASGIRVLLVVINSVLRSCK >Potri.016G002100.4.v4.1 pep chromosome:Pop_tri_v4:16:102002:111556:-1 gene:Potri.016G002100.v4.1 transcript:Potri.016G002100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002100.v4.1 MASPGNPNQQQQGGGGIIGGGGGFDLNKLFKPSSSNPMNMMQPHIQQQQQQQQGPPPSPNSNTTTSGNLTTSPSFPLPSSSPPYLTPSSSYPPPTGPYHPFHHPHYLSPYPPPPPPQQLHNQFLTNTNIHLQNRPQPISSFAPSPPPLSPNNSGGAVLMDILTNQNQQQPPQSTNLSGPFPSSTPSTAFITTSPPVPSAPPVSLASPTQQCCAPPARMLSTKLPKGRHLNGNHVVYDIDVRLQGEVQPQLEVTPITKYLSDPGLVLGRQIAVNRNYICYGLKPGAIRILNINTALRSLLRGHNQKVTDMAFFAEDVHLLASACVDGRVFIRKINEGSDEEEKPQIFERILLALHIIADGESFHPRVCWHPHKQEILIVAIGNLILKIDTIKIGKGGAFSVEQPLTCPIDKLIDGVQLVGKHDGEVTELSMCQWMTTRLASASTDGVVKIWEDRKAVPLAVFRPHDGNPVNSVAFLTAPDRPDHIVLITGGPLNQEVKIWASASEEGWLLPSDAESWQCTQTLTLKSSAESSAEDAFFNQVVALPRASLFLLANAKKNAIYAVHLEYGPYPAATQMDYIAEFTVTMPILSLTGTSDCLPNGENIVQVYCVQTQAIQQYALNLSQCLPPPLENMVLEKTESNVSRAFDTANSDGSAIMESSHGSKPIEISTGNMTSIPPMTPSSSESAPVARESLGSSDVGSSLDIASSGGQTKAITISSRNNTDNTNTVSPHLLLIPKLSRSLSGLQSPANITDPNVQLSGHAGDQPVSDHSVDRRIETVKENVTDTSTGDNLNKGEKNIEQTGIAMVSEPPVMFKHPTHLITPSEILSRGAASENSQTTQGLNVGEAKIQDVLVNNDTENVEVEVKVVEETPGSGTVPVSSSKEKPDRLTISKSTSSRLKKKREEFLLKADLAGTTYLHGAYKGPEEKKENVISSEVTESTSPILKQTPADALQVDSVASEKNKGEPDDWEDAADMSILKLDGDGELSRGESGANQNNDFDLPIESHTPVAEKKEKPFYSQASDLGIQMARDCHVEAYSVGAIRQANEGSITEVLDRNPSGVDEEQHITEDVRAKSGEAETSVAVLQSPAPAPATKGKKQKGKSSQVSVPSSPSPSPFNSTGSSNEPGCTSGAQSSDAALPQILALQDTLDQLLNMQKEMQKQMNTMISVPVSKEGKRLEASLGRSIEKIIRANTDALWARFQEENTKHEKLEKDRIQQLTNLITNCINKDLPTALEKTLKKEIAAIGPAVARAITPILEKSISSAITESFQQKGVGEKAVNQLEKTVSSKLEATVARQIQSQFQTSGKQALQDALRSTLEASIIPAFEMSCKAMFDQVDATFQNGLNKHINDIQQQFNSMHSPVAIALRDAINSASSLTQTLSGELADGQRQLLAMAAAGANSKVGDPSTKLGNGPLPGMHEMPEVPLDPTKELSRLIAEQKYEEAFTLALHRSDVSIVSWLCSQVDLQGILSISPLPLSQGVLLALLQQLACDFSNETSRKLAWMTDVAAAINPTDPMIAMHVGPIFDQVYQIVVHQRSLPSTSASEASGIRVLLVVINSVLRSCK >Potri.001G296100.4.v4.1 pep chromosome:Pop_tri_v4:1:30719843:30722309:1 gene:Potri.001G296100.v4.1 transcript:Potri.001G296100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296100.v4.1 MGEEGMAIDAENKARTSPKLTLLPLIALIFYDVSGGPFGVEDSVRAGGGPLLSLLGFLLFPLIWSIPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVVDNALYPVLFLDYLKRSFPIFNHLIARIPALLGITVSLTYLNYRGLHIVGFSAVSLAVFSLCPFVVMSFLSIPRISPKQWLAVDFKKVEWRGYFNCMFWNLNYWDKASTLAGEVENPSKTFPKALFGALILVVSSYLIPLLAGTGALKSPSSEWSDGYFAEVGMLIGGVWLKWWIQAAAAMSNLGLFEAEMSGDAFQLLGMSEMGMLPSIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLQTLGATLLCLPPAMLLVLVMCLASVQTFLVSSVVILLGFLLYPTLVHAKDRKWAKFDTDEPGLPSSTSLEENSVLLQPHQEVADEASVSLLSDLSPTKLGQERGEVLVEGVVKEE >Potri.001G296100.1.v4.1 pep chromosome:Pop_tri_v4:1:30719843:30722311:1 gene:Potri.001G296100.v4.1 transcript:Potri.001G296100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296100.v4.1 MGEEGMAIDAENKARTSPKLTLLPLIALIFYDVSGGPFGVEDSVRAGGGPLLSLLGFLLFPLIWSIPEALITAELATSFPENGGYVIWISSAFGPFWGFQEGFWKWFSGVVDNALYPVLFLDYLKRSFPIFNHLIARIPALLGITVSLTYLNYRGLHIVGFSAVSLAVFSLCPFVVMSFLSIPRISPKQWLAVDFKKVEWRGYFNCMFWNLNYWDKASTLAGEVENPSKTFPKALFGALILVVSSYLIPLLAGTGALKSPSSEWSDGYFAEVGMLIGGVWLKWWIQAAAAMSNLGLFEAEMSGDAFQLLGMSEMGMLPSIFASRSKYGTPTISILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLQTLGATLLCLPPAMLLVLVMCLASVQTFLVSSVVILLGFLLYPTLVHAKDRKWAKFDTDEPGLPSSTSLEENSVLLQPHQEVADEASVSLLSDLSPTKLGQERGEVLVEGVVKEE >Potri.006G233200.1.v4.1 pep chromosome:Pop_tri_v4:6:23588286:23590668:-1 gene:Potri.006G233200.v4.1 transcript:Potri.006G233200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233200.v4.1 MASQHQNGGRSPELRHDPVTNRWVIFSPARAKRPTDFKSKNPQNPNPNNNSLCPFCIGNEHECAPEIFRVPPDLNDPNWKLRVIENLYPALSRNLECPCEEKQGMEFPGRVIGGFGFHDVVIENPVHSVQLSDMEPREIGEVFLAHKKRIQQIMSVQSIKYVQVFKNHGASAGASMSHSHSQILALPVIPSSVSARLEGMKEYFEKTGKCSLCEVRAKELVIDESSHFISIVPFAATFPFEIWIIPRVHSSHFHELDFEKMVDLGGLLKLMLRKISLQLNNPPFNFMIQTSPVQIKESESCYTHWFLQIVPQLSGVGGFEIGTGCYINPVLPEDAAKVMREVNLPMQD >Potri.010G151000.1.v4.1 pep chromosome:Pop_tri_v4:10:16111064:16112021:1 gene:Potri.010G151000.v4.1 transcript:Potri.010G151000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151000.v4.1 MEGGKGVVKHIVLAKFKEGTTEEEIEKHIKNYADLLNHIEHMKSFEWGTDVSIENLHQGFTHIFEATFETLEGRSAYVAHPAHVKFGTALFPTLEKVIVFDYVPKA >Potri.011G121100.3.v4.1 pep chromosome:Pop_tri_v4:11:15169685:15176151:1 gene:Potri.011G121100.v4.1 transcript:Potri.011G121100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121100.v4.1 MRGPLGAVIGRYPSSDGSTQVGGIIRHNRKCRDVAFLVIFIAFWVAMVVNSSFGFNKGNPLRLTYGLDYKGNVCGDRHAHPGLRELELTYWLNPNQVYLSGLKNSQFKLANARTICLLDCPIPSEDALNWVCDYPEGEIRLSVDDWIDRNYDYFEFLTPEMRNTSLQLQGPCYPVIFPSVNVYWRCQYVARASNVSMRHWQQMGGVNINEDIIIDKSIHRSINARSSVLKRYVADIEKSWPVLIVCGGLLPLFVSVIWLLLIRHFVAAMPWITVALFNILIIAVTMFYYLKAGWIGNDAISPIIGAHDPYYHVFGRELNHLRAAAVLMTFIMVVSILTSIAIVHRILMATSVLKVAAKVIGEVQALIIFPVMPYAILGIFYMFWFAAAFYLFSSGQIVQNNCNSNCCAYDLVSKRVNCDRCCGYSIHYTPHISIAIFFHLFGCYWATQFFIACSSTVIAGSVASYYWARGETSPEIPFLPVFSSMKQLIRYSLGSVALGSLVLSFVESVRFILESIRRKLKVANTTPESCMGRTAYHTSRFCFQCIEWIIRSVNRNAYIMIAITGKSFCRASAIATELIISNILRIGRVNVIGDVILYLGKLCVSLSSALFAFLMLDTHKYRSAHNKISSPLLPVLVCWALGYVVATLFFAVVEMSIDAIILSFCQDSEEHQGTAQYAPPLLMETLGDQNEMQRLTQ >Potri.018G120700.2.v4.1 pep chromosome:Pop_tri_v4:18:13248533:13256324:1 gene:Potri.018G120700.v4.1 transcript:Potri.018G120700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120700.v4.1 MGLNRFSLAVVVMMMINAMLPLEGCLEEERIALLQIKTSMVDPNHMGFGSPLLSWGEDALCCNWAGVTCDSITGRVIVIFLYNARGWFIDPSKGVWDRNASMGDWYLNATMFLPFQELNILGLSNNDIAGCVPNEGFERLSRLTKLESLYLGLNNFNNSILSSFKGLSSLKHLYLESNQLKGSIDIKEFDSLSKLQELDLSRNEIQNLVTSTGSGGPSRLNKLETLDLSSNKINDSTLSFLEGLSSLKHLYLNNNQLKGSINMKEFDSLSMLVELRLGGNEIQNFATSTGFERSLRLNKLEILELSFNKINDSTLSFLEGLSSLKHLNLDNNQLKGSIDMKGLCELKQLQELDISYNDLNGLPSCLTNLNNLQVLDISFNNFSGNISLSRIGSLTSIRDLKLSDNHFQIPISLGPFFNLSNLKNLNGDHNEIYESTELVHNLIPRFQLQRLSLACHGFGGTFPKFLYYQHDLQFVDLSHIKIIGEFPSWLLQNNTKLEALYLVNSSLSGSLQLPNDSHVNLSRLDISRNHIQNQIPTKIGAYFPWLEFLNLSRNYFSGSIPSSISNMSSLGVLDLSNNGLSGNIPEQLVEGCLSLRGLVLSNNHLKGQFFWRSFNLAYLTDLILSGNQLTGILPNSLSNGSRLEALDVSLNNLSGKIPRWIGYMSSLQYLDLSENNLYGSLPSSFCSSRTMTEVYLSKNKLEGSLIGALDGCLSLNRLDLSHNYFGGGIPESIGSLLELSFLLLGYNNLEGKIPSQLCKLEKLSLIDLSHNHLFGHILPCLQPTSKWQRERETSLNPSGNSLGRENRGPQIVFPVPAVEDPSMNKSVEFTTKSISYSFKGIILKYISGIDLSCNNLTGEIPVELGNLSNIQVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNNLNGEIPRQLLDLYFLSAFSVAHNNLSGKTPEMVAQFSTFNKSCYEGNPLLCGPPLARNCTRALPPSPLPRSQTHKKEENGVIDMEAFIVTFSVAYIMVLLTIGSVLYINPRWRRAWFYFIGESINNCYYFLVDNLPVPARFRRFQPCV >Potri.008G105300.11.v4.1 pep chromosome:Pop_tri_v4:8:6653261:6659039:-1 gene:Potri.008G105300.v4.1 transcript:Potri.008G105300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105300.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPEADAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFEKLVEEGKISSVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYSK >Potri.008G105300.4.v4.1 pep chromosome:Pop_tri_v4:8:6653347:6659234:-1 gene:Potri.008G105300.v4.1 transcript:Potri.008G105300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105300.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPEADAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFEKLVEEGKISSVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYSK >Potri.008G105300.9.v4.1 pep chromosome:Pop_tri_v4:8:6653280:6659347:-1 gene:Potri.008G105300.v4.1 transcript:Potri.008G105300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105300.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPEADAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFEKLVEEGKISSVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYSK >Potri.008G105300.3.v4.1 pep chromosome:Pop_tri_v4:8:6652644:6659369:-1 gene:Potri.008G105300.v4.1 transcript:Potri.008G105300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105300.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPEADAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFEKLVEEGKISSVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYSK >Potri.008G105300.13.v4.1 pep chromosome:Pop_tri_v4:8:6653287:6659039:-1 gene:Potri.008G105300.v4.1 transcript:Potri.008G105300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105300.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPEADAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFEKLVEEGKISSVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYSK >Potri.008G105300.10.v4.1 pep chromosome:Pop_tri_v4:8:6653269:6659223:-1 gene:Potri.008G105300.v4.1 transcript:Potri.008G105300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105300.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPEADAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFEKLVEEGKISSVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYSK >Potri.008G105300.14.v4.1 pep chromosome:Pop_tri_v4:8:6653260:6658993:-1 gene:Potri.008G105300.v4.1 transcript:Potri.008G105300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105300.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPEADAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFEKLVEEGKISSVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYSK >Potri.008G105300.12.v4.1 pep chromosome:Pop_tri_v4:8:6653286:6659039:-1 gene:Potri.008G105300.v4.1 transcript:Potri.008G105300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105300.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTIEAACAAHPTADVFINFASFRSAAASSMSALKQPTVRVVAIIAEGVPEADAKQLIAYARANNKVVIGPATVGGIQAGAFKIGDTAGTIDNIIACKLYRPGSVGFVSKSGGMSNELYNSIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKMIVVLGELGGRDEYSLVEALKQGKVSKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQALKDAGAVVPTSYEAFETAIKETFEKLVEEGKISSVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDDRGEEPCYAGVPMSSIVEQGYGVGDVISLLWFKRSLPRYCTHFIEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDAARYFKDAYDRGLTPYEFVESMKKKGIRVPGIGHRIKRGDNRDKRVELLQLFARTHFPSVKYMEYAVQVETYTLSKANNLVLNVDGAIGSLFLDLLAGSGMFTKQEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYSK >Potri.018G003300.1.v4.1 pep chromosome:Pop_tri_v4:18:233689:235113:-1 gene:Potri.018G003300.v4.1 transcript:Potri.018G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003300.v4.1 MPPHPPELLLQLLQHFIKHQNQVKQIHSLLTTKGLLFYNPNTSPNDNSKWKTTLLFNTLNRAYLNFGQHQQTLHLFALMLAHQTPPNSHTFPSVIKAATHSCLFIGTSLHTQAINRGVLYDPFIQTSLLGMYSQFGYLLNACKVFDEISHPCIVEYNATLDAYAKNGDMGSACCLFKSMPKRDVVSWTSVINGFAKNGLFGEAIRLFREMMLHDDVKCCFVKPNEATYVSVLSSCANLDERGVLCIGKQIHGYIVRNEVFVTVFIGTTLIDFYGKVGCLSNAIRVYNQMMVKKVCTWNAIISSLANNGREEQALDMFKKMKGEGLCPNEVTFIAVLTACARAKLVEIGLELFQSMAGEFGLVPIMEHYGCVVDLLGMAGLLREASEFIRRMPFEPDASALGALLGACKIHGAIDLGNEVGSRLLELQPQHCGQYVALSSIHVGVNRWGVAADIRKTMVEARIRIVPACSLIDCK >Potri.009G123200.1.v4.1 pep chromosome:Pop_tri_v4:9:10279077:10282801:-1 gene:Potri.009G123200.v4.1 transcript:Potri.009G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123200.v4.1 MEAIRKQATKLREQVAKQQQAVLKQFGGGGYGGSDTLVTDEAELHQHQKLERLYISTRAGKHFQRDIVRGVEGYIVTGSKQVEIGTKFSEDSRKYGAENTCTSGNTLSKAAVNYGRARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVSKRQAKVREMPGSPELAMKLESAETKLQDLKSNMSILGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERAYHQRVLQILDQLEGEMTSERQRIEAPPTPSAENSMPPPPSYEEVNGMYASQAHNGTTDSISYFLGEVMHSYQGQSDVELTLSIGDYVVVRKVTNNGWAEGECKGKAGWFPYGYIERRDRVLASKIAEVF >Potri.019G025200.2.v4.1 pep chromosome:Pop_tri_v4:19:3661121:3684618:1 gene:Potri.019G025200.v4.1 transcript:Potri.019G025200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025200.v4.1 MAVPVEEAIAALSTFSLEDEQAEVQGAGVLVSSERGATNSPIEYGDVSAYRLSLSEDTKALNQLNALIQEGKEMASVLYTYRSCVKALPQLPESMKHSQADLYLETYQVLDLEMSRLREIQRWQASAASKLAADMQRFSRPERHINGPTITHLWSMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSVQWQDIDSMREELDDLQIFLSTRWAILLNLHVEMFRVNNVEDILQVLIVFAVESLELDFALLFPERHILLRVLPVLVVLATSSEKDSESLYKRVKINRLINIFKNDPVIPAFPDLHLSPAAILKELSIYFQRFSAQTRLLTLPAPHELPPRDAQDYQRHYLIINHIGTIRAEHDDFTIRFASSLNQLLLLKSIDGADVDWCKEVKGNMYDMVVEGFQLLSRWTARIWEQCAWKFSRPCKEAIPSESNGSSESFFDYEKVVRYNYSAEERKALVELVSYIKSVGSLMHRHDTLVVDALWETIHAEVQDFVQNTLATMLRTTFRKKKDLSRILSDMRTLSADWMANTSKPESDLQSHGGDESKGSFFYPRPVAPTATQVHCLQFLIYEVVSGGNHRKPGGLFGNSGSEIPVNDLKQLESFFYKLSFFLHILDYSATVATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVLESQNAGLLESVLMPFDIYNDSAQQALAALRQRFLYDEIEAEVDHCFDLFVSKLSEIIFTCYKSWAASEMLDPSFLFALDNGEKYSVQPMRFTALFKMTRVKLLGRTIDLRSLVSERMNKVFRDNIEFLFDRFESQDLCAVVELEKLLEILKHAHGLLSKDISIDSFSLMLNEMQENLSLVSFSSRLATQIWSEMQSDFLPNFVLCNTTQRFVRSSRVPLVPVQKPSVPHAKDNFYCGTQELNSAHQSFARLHSGFFGIPHMFSVVRLLGSRSLPWLIRALLDHITNKVTTLEPMITGLQAALPKSIGLLPFDGGVTGCMRVVKENLNWGTKSELKAKVLRGIKEIGSVLYWMGLLDIVLREVDTMHFMQTAPWLGLFPGADGQILHSQDGGDSPVVNLFKSATAAIVSNPGCPNPTSFYTMSKQAEAADLLYKASMNTGSVLEYALAFTSAALDKYCSKWSAAPKTGFIDITTSKDFYRIYSGLQIGHLEDSVQVSSNNFEVLGDSVAWGGCTIIYLLGQQMHFELFDFSYQVLNIAEVEAGLLSQAHKNPHAAQGWETLLEAMKKARRLNNHVFSMLKARCPLEDKIACAIKQSGAPLHRIKFENTVSAFETLPQKGA >Potri.009G058800.1.v4.1 pep chromosome:Pop_tri_v4:9:6139857:6142566:-1 gene:Potri.009G058800.v4.1 transcript:Potri.009G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058800.v4.1 MAVGFSLMLALFLLFIGSASAATYMEGFLKNGNFEEKPKPGAIRKTVLKGKNALPSWEINGFVEYISAGPQPGGMYFNVAHGVHAVRLGNEASISQTITIKAGSLYALTFGASRTCAQDEVLRVSVGPVFGDLPLQTLYSSNGGDTYAWGFRLNATVVQVTFHNTGVQEDPACGPLIDAVAIKELFPPMPTRDNLVRNHGFEEGPHRLVNTSNGILLPPRQEDLTSPLPGWIIESLKAVKFIDKRHFNVPFGLAAVELVAGRESAIAQILRTTPNKVYSLAFTIGDARNGCHGSMMVEAFAAGDTFKVPFESKGKGESKSASFKFKAISARTRITFYSSYYHTRIDDYGSLCGPILDEVRVSPIA >Potri.010G172500.1.v4.1 pep chromosome:Pop_tri_v4:10:17354035:17363894:-1 gene:Potri.010G172500.v4.1 transcript:Potri.010G172500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172500.v4.1 MDIDKSVVTMEAEQSNGSAGGLFVPKKEKLEFRPPERKSLLGLDVLAIAKRGGSDANGGGFKAPKERATTSFMASIDEEEEATESSGLDEVENDGGSESGVRRNVNRRYRETSSSEKSAVTREGSHSNTHGTSRSRENLSSDDCATYTGSSRSVKSRSPGSERDDRGRDRKGLKDDARDESRRGRDRHSSDREERYRGREARGRYEQEYDGDYGRKRSRYEGSRRTPGRSDWDDGRWEWEETPRQDSYNTSRRHHPSPSPMFVGASPDARLVSPWMGGQTPRSSGSAASPWDHISPSPVPIRASGSSFRSSTSKYGGRSHQLTFSTTSAPSLEDGEGDKTYSSEEHNHEITESMRQEMEYNSDRAWYDREEGNTMFDADSSSFFLGDNASFQKKEAELAKRLVRRDGTKMSLAQSKKLSQLSADNAQWEDRQLMRSGTVRGTEVQTEFDDEEEHKVILLVHDTKPPFLDGRVVFTKQAEPIMPLKDPTSDMAIISRKGSALVRETHEKQSMNKSRQRFWELAGSKLGDILGVEKTAEQIDADTAAVGEEGEIDFKEDAKFAQHMKKGEAVSDFAKSKTLSEQRQYLPIYSVRDELLQVIRENQVIVVVGETGSGKTTQLTQYLHEDGYTTNGIVGCTQPRRVAAMSVAKRVSEEMDTELGDKIGYAIRFEDVTGPNTIIKYMTDGVLLRETLKDSDLDKYRVIVMDEAHERSLSTDVLFGILKKVVAQRRDFKLIVTSATLNAQKFSNFFGSVPIFHIPGRTFPVNILYSKSPCEDYVEGAVKQAMTIHITSPPGDILIFMTGQDEIEAACHALAERMEQLTSSSKKAVPKLLILPIYSQLPADLQAKIFQNAEDGARKCIVATNIAETSLTVDGIYYVIDTGYGKMKVYNPKMGMDALQVFPVSRAAADQRAGRAGRTGPGTCYRLYTESAYLNEMLPSPVPEIQRTNLGNVVLLLKSLKIENLLDFDFMDPPPQDNILNSMYQLWVLGALNNVGALTDLGWKMVEFPLDPPLAKMLLIGEQLGCINEVLTIVSMLSVPSVFFRPKDRVEESDAAREKFFVPESDHLTLLNVYLQWKEHQYRGDWCNDHFLHVKGLRKAREVRSQLLDILKTLKIPLTSCGYDWDVVRKAICSAYFHNSARLKGVGEYVNCRNGMPCHLHPSSALYGLGYTPDYVVYHELILTTKEYMQCATAVEPQWLAELGPMFFSVKDSDTSMLEHKRKQKEEKTAMEEEMENLRKVQAETDRESKEKEREKRAKRQQQVSMPGLKKGSSTYLRPKKFGL >Potri.014G042000.1.v4.1 pep chromosome:Pop_tri_v4:14:2741818:2742765:-1 gene:Potri.014G042000.v4.1 transcript:Potri.014G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G042000.v4.1 MDPKPSPTPQNANEIPNKQGQRDFLNHLEVYLAKRDGVDKLLKISRYATKIILASSVLPETLIVTKRLKSFESSVGLSRKAFRLGKFVQDVNALRGSHFDSKQEIILSFIAYGGEGLYYFVEQFVWLAKSGLIDSKHSKSLGKISAWAEFVGYIGSISLKFRDLKKLSEDEVCLESSVEIAVTRGVGRQEEERRLWKLREKKLMKKLSIVQDFADGLMALADIRDGRGQFSGPLLVSCAGLLSALISTHKNWVSC >Potri.016G126800.1.v4.1 pep chromosome:Pop_tri_v4:16:12993768:12994954:-1 gene:Potri.016G126800.v4.1 transcript:Potri.016G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126800.v4.1 MPALIISTNVSLDGVDTSSILSEATSEVAKVIGKPEKYVMIVLKGSIPISLGGTEEPAAYGELVSIGGLSPDVNKTLSSVVASILEKKLSVPKSRLFLKFYDSQGTHFGWNGSTF >Potri.018G019850.1.v4.1 pep chromosome:Pop_tri_v4:18:1363364:1363588:-1 gene:Potri.018G019850.v4.1 transcript:Potri.018G019850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019850.v4.1 MPSYNINDFLILLTTLQIFIYYIIFVSHDALMTKGLSKINHFYFMDYLFLFVCLLCHLLFSKFFYQKHLTCPLL >Potri.012G059000.4.v4.1 pep chromosome:Pop_tri_v4:12:5816652:5821086:1 gene:Potri.012G059000.v4.1 transcript:Potri.012G059000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059000.v4.1 MLRTKRKVPSLVDLCVSLAVENVKYLGDVGETDLHLLDRILPHCTLDQLMHIEKSTVGRDLSSVTDKLWKTFYEKQFGERSTDLVIQRMRQKKVSFRWLQLYEAKLKDIAEAENKLAARIRQLYKKEDDRKQSRQVRLCSKVPPSSNKRSFCGGSGPGYNLSNGKSNLMKKAKMDFLKSREVQNIAAMKKNALQRNSSSSSMMKPPGSFPGKSSASSNPMKPRERRF >Potri.003G202900.1.v4.1 pep chromosome:Pop_tri_v4:3:20243139:20249085:1 gene:Potri.003G202900.v4.1 transcript:Potri.003G202900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202900.v4.1 MPSHHNNHLTASLRSSTTISTQSFTSRLILLLTLLPLSLAALAFILQWKGNSDFMMDPITANSRWAPQGSHIQNHEIFPGMESSVLSPKAHKSSDCSSLGRSGAPSFPYFRDWKIDFQDDLRPKICITTSTSADLDQILSWMFYHKVMGVMTFFLFVEGKAASPKVSNVLESIPGVKLIYRTKELEEQQAKSRIWNETWLSNFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGAREYSLRQLLLDVPGNVDMVVFPNYESSVERDDIEDPFGEVSMFKRNYDHLPKDTYFGMYKESTRGNQNYFLTYGNGKSAARVQDHLRPNGAHRWHNYMKTPNEVKFEEAAVLHYTYSKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMLNWYREHVVWGDKDLKLKLLRKGILTRIYSPMVIIQGLRESGVFSSVIESAPTTLSRDRFLASVDSSNSSRAVVSESMLSRKTNKSRENQATARKVLGLETAAASHEAAVPPLSPPGLLVEA >Potri.005G099300.15.v4.1 pep chromosome:Pop_tri_v4:5:7162927:7165425:1 gene:Potri.005G099300.v4.1 transcript:Potri.005G099300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099300.v4.1 MGFWTLFEVASLPIIQVLLISFFGALMATEYLNLLPKDARKSLNKLVFMVFTPSLMFASLAKTVTLEDIISWWFMPVNIGFTFLIGGILGWILVKILRPKPYLEGLVIATCSSGNLGNLLLIIVPAICTEDGSPFGDSSICTSVGLSYASFSMALGGFFIWTYTFHLIRTSAAKLKALQAVVEVSKAPNNDLDASQETHLLIGQDQENVAIVVASSKSAEDTESRAIVSQESEHGKGNVSSWTKLIGFLHQILEELLAPPTLAAILGFIFGATTFLRNLIIGSEAPLRVIQDSIKLLGDGTIPCITLILGGNLTEGLRASKIKSWIVVGVICVRYIILPAIGMWVVKAAGHLGFLPSDPLFHYVLMIQYTLPPAMNIGTMTQLFNVGQEECSVLFLWTYLVAALALTAWSTIFMWILS >Potri.005G099300.17.v4.1 pep chromosome:Pop_tri_v4:5:7160215:7166506:1 gene:Potri.005G099300.v4.1 transcript:Potri.005G099300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099300.v4.1 MGFWTLFEVASLPIIQVLLISFFGALMATEYLNLLPKDARKSLNKLVFMVFTPSLMFASLAKTVTLEDIISWWFMPVNIGFTFLIGGILGWILVKILRPKPYLEGLVIATCSSGNLGNLLLIIVPAICTEDGSPFGDSSICTSVGLSYASFSMALGGFFIWTYTFHLIRTSAAKLKALQAVVEVSKAPNNDLDASQETHLLIGQDQENVAIVVASSKSAEDTESRAIVSQESEHGKGNVSSWTKLIGFLHQILEELLAPPTLAAILGFIFGATTFLRNLIIGSEAPLRVIQDSIKLLGDGTIPCITLILGGNLTEGLRASKIKSWIVVGVICVRYIILPAIGMWVVKAAGHLGFLPSDPLFHYVLMIQYTLPPAMNIGTMTQLFNVGQEECSVLFLWTYLVAALALTAWSTIFMWILS >Potri.004G224400.1.v4.1 pep chromosome:Pop_tri_v4:4:22906503:22911052:1 gene:Potri.004G224400.v4.1 transcript:Potri.004G224400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224400.v4.1 MASSAAQIHGLGVGGGIGSTSSRKPTFSFAPRSVFFGQNLRKTTVTFLKHTNNTSRRRYSTGPVRIVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYKVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKSNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGSFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVENSLRDAKLSFKDLDEVILVGGSTRIPAVQELVKKLTGKDPNVTVNPDEVVALGAAVQAGVLAGDVSDIVLLDVSPLSLGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVAAIDKGTGKKQDITITGASTLPSDEVGRMVSEAEKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKVPAPVKEKVEAKLQELKDAVAGGSTQGMKDAMAALNQEVMQLGQSLYNQPGAAPGAGPAPGSEAGPSDSSNKGPDGDVIDADFTDSK >Potri.017G037701.1.v4.1 pep chromosome:Pop_tri_v4:17:2478891:2480332:1 gene:Potri.017G037701.v4.1 transcript:Potri.017G037701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G037701.v4.1 MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKE >Potri.017G037701.2.v4.1 pep chromosome:Pop_tri_v4:17:2478343:2480643:1 gene:Potri.017G037701.v4.1 transcript:Potri.017G037701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G037701.v4.1 MAFDGCCPDCKLPGDDCPLIWGACNHAFHLHCILKWVNSQTSQAHCPMCRREWQFKE >Potri.001G135500.2.v4.1 pep chromosome:Pop_tri_v4:1:11032484:11039475:-1 gene:Potri.001G135500.v4.1 transcript:Potri.001G135500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135500.v4.1 MAVVASAPGKVLMTGGYLILERPNAGVVLSTNARFYAIVKPLYDEIKPDSWASAWTDVKLTSSQLSRESIYKLSLKNLMLQCVSSSESRNPFVEQAVQYAVAAAHVTFDKDKKDALNKILLQGLHILILGCNDFYSYRNQIEARGLPLTPEALAALPPFTSISFNAEENGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVDLSSLSKDERPVDVDMVHIIAQTAHCIAQGKVGSGFDVSSAVYGSHRYVRFSPDILSSAQDAVKEIPLQEVMASILKGKWDHERTKFSLPPLMNLLLGEPGTGGSSTPSMVGAVKRWQKSDPTKAEETWRKLSEANSALEIELNILSKLAEENWNAYKCVIDICSKQRPEKWIEQATVPSQEVVFKALLGARSTMFEIRNHMRQMGEAAGVPIEPESQTQLLDATMGMEGVLLAGVPGAGGFDAVFAITLGDSGSNVTKAWSSLNVLALLVREDPHGVSLETGDPRTKEITSAVSAVQIE >Potri.009G140200.1.v4.1 pep chromosome:Pop_tri_v4:9:11228866:11231186:1 gene:Potri.009G140200.v4.1 transcript:Potri.009G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140200.v4.1 MPKKMGVNSKAEEARARKNATEADKKSREAREKEEQYWREAEGSKSRAAKKREEESEKRAEAAARKAEARRLAEQEEKELEKAMKKPDKKANRVSIPVKVTEAELMKRREEEQAEMARKADEAKKRKDRTAEEEEYERMVLVSNTNRDDSIIEASSVEEAIARISVADNLPADRHPERRLKASFKAFEEAELPKLKEEKPGLTHTQYKDMIWKLWKKSPDNPLNQASE >Potri.010G169800.1.v4.1 pep chromosome:Pop_tri_v4:10:17169635:17177413:1 gene:Potri.010G169800.v4.1 transcript:Potri.010G169800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169800.v4.1 MAQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALAQHLRTAKTPNVRQLAAVLLRKKVTGHWAKLPPQLKLLVKQSLIESITMEHSPPVRKASANVVSIIAKYAVPAGEWPDLLPFLFQCSQSAQEDHREVALILFSSLTETIGNAFQPHLAGLQALLLKCLQDDTSNRVRVAALKAVGSFIEFTNDGDEAIKFRQFIPSILNVARQCLSSGDEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSSQNLESNTRHQAIQIISWLAKYKHGSLKKYNLVIPILQVMCPLLAESADADEDDDLAPDRAAAEVIDTMALNLSKHVFPTVFEFASLSSQSANPKFREASVTALGVVSEGCLELMKDKLESVLHIVLGALRDPEQMVRGAASFALGQFAEHLQPEIVSHYGSVLPCILNALEDASDEVKEKSYYALAAFCEDMGEEILPFLDPLMGKLLAALQNSPRNLQDTCMSAIGSVATAAEQAFIPYAERVLELMKSFMVLTNDEDLRSRARATELVGIVAMSAGRARMEPILLPFMEAAISGFGLEFSELREYTHGFFSNVAEIMDDSFTQYLPHVVPLAFASCNLDDGSAVDIIESDDENINGFGGVSSDDEAHDEPRVRNISVRTGVLDEKAAATQALGLFALHTKSSYAPYLEQTLKILVRHSGYFHEDVRLQAIIALKSILTAAHALFQSQNAQQEKAREMLDTVMDIYIKTMTGDDDKEVVAQACTSVADIIKDYGYAAIEPYMSRLVDATLVLLKEESACQQLEDDSDMDDDDTEHDEVLMDAVSDLLPAFAKSMGSHFAPIFANLFEPLMKFAKASRPLQDRTMVVACLAEVAQDMGAPIAGYVDRVMPLAIKELASSDATNRRNAAFCVGELCKNGGESTLKYYGDILRGLFPLFGEPEPDDAVRDNAAGAVARMIMAHPQAVPLNQVLPVFLKVLPLKEDHEESMAVYSCVSTLVLSSNQQILALVPELVNLFAQVVVSPVETAEVKAQVGRAFAHLISLYGHQMQPLLSNLSPAHASALGAFAPKS >Potri.019G078200.8.v4.1 pep chromosome:Pop_tri_v4:19:11882331:11888171:1 gene:Potri.019G078200.v4.1 transcript:Potri.019G078200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078200.v4.1 MISGAPASGKGTQCELITKKYGLVHIAAGDLLRAEIASGSENGKRAKEYMEKGQLVPNEIVVMMVKERLLLPDSQENGWLLDGYPRSLLQATALKEFGFQPDLFILLEVNEEILVERVVGRRLDPVTGKIYHLKYSPPETEEIAARLTQRFDDTEEKVKLRLQTHHQNVEAVLLMYEDITLKVNGNVPKEDVFAQIDGALTKLHEDRKLI >Potri.019G078200.5.v4.1 pep chromosome:Pop_tri_v4:19:11882329:11888198:1 gene:Potri.019G078200.v4.1 transcript:Potri.019G078200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078200.v4.1 MAATSSCNFNWGVNGKGTPEQPCSSSKSSQILFSSNLSFCYSNIRYCLSLRSHQTLLSTRFNETKNSAFVVASAKADPLKIMISGAPASGKGTQCELITKKYGLVHIAAGDLLRAEIASGSENGKRAKEYMEKGQLVPNEIVVMMVKERLLLPDSQENGWLLDGYPRSLLQATALKEFGFQPDLFILLEVNEEILVERVVGRRLDPVTGKIYHLKYSPPETEEIAARLTQRFDDTEEKVKLRLQTHHQNVEAVLLMYEDITLKVNGNVPKEDVFAQIDGALTKLHEDRKLI >Potri.001G338400.2.v4.1 pep chromosome:Pop_tri_v4:1:34730344:34733167:-1 gene:Potri.001G338400.v4.1 transcript:Potri.001G338400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338400.v4.1 MATGLLKRGAISTAKISSLTSRFIPSRLQATAAAAVAEAKPVEQPTNPTQPQHLKTFQIYRWNPDNPTKPELQNYEVDLNKCGPMVLDALIKIKNEIDPTLTFRRSCREGICGSCAMNMDGCNGLACLTKIDKSGPPSMINPLPHMFVIKDLVVDMTNFYNQYKSIEPWLKRKNPPPVKGKEILQTKKDRAKLDGMYECILCACCSTSCPSYWWNPESYLGPAALLHANRWIMDSRDEYTKERLDAVNDEFKLYRCHTILNCARACPKGLNPGKQIQNIKKLERS >Potri.014G020432.2.v4.1 pep chromosome:Pop_tri_v4:14:1242251:1244752:-1 gene:Potri.014G020432.v4.1 transcript:Potri.014G020432.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G020432.v4.1 MATFFLHFSVFLALYIITRHFLNKIRNFPPSPFPSLPIIGHLYLLKKPIYRTVLSKISSKHGPVILLQLGSRRQLVVSSPSIAEECFTKNDVVFANRPGYLIAKHLAYNTTGLLWAPYGDHWRNLRRIVSIEVLSAYRLQMLSSIRLEEVRSMICVLFRNQNQIVDMKTVFFELTLNIMMRMIAGKRYYGEDVSDVEEAKRFRAIHAETLLLGGKTIIGDYVPWIKSKKMLKRVIECHLKSDSFMQYLIEEQRRKILETDCCGEKKRNLIQVLLSLQENEPGYYTDDIIKGIMLVLLLAGTDTSSATMEWALSLLLNHPRVLEKAQREIDEHIGHDRLMDEGDLAQLPYLRSILNETLRMYPPAPLLIPHESSEECLVGGFRIPRGTMLSVNMWAIQNDPKIWPDPTKFRPERFDNPEGARDGFKLMPFGHGRRSCPGEGLALKVVGLALGSLLQCFKWQKISDKMVDMTEGPGFTSTKAQPLEAI >Potri.008G045700.6.v4.1 pep chromosome:Pop_tri_v4:8:2639261:2644996:1 gene:Potri.008G045700.v4.1 transcript:Potri.008G045700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045700.v4.1 MEKKKTQAFLSFLLNSTNDHRKRLSSRTCGARALHKTTTRGSSSLQKRTSFCTRRKRGREKEMRFQRSLAVVFPRIRNKFQSQASRYFSSQLESEQRSSFGIAFDIDGVILRGRDPIGGSPQAMRRLYGDSGNLNVPFLFLTNGGGVPESKRANELSEQLGVKILPSQVLQGHSPFKSLSERYENQLIIAAGKGEPAVVMSEYGFKKVVSLDEYASLFENIDPLSEYKKWTANQVLDRSVHPMNTVPRYDVSSEAVKAVFVVSDPVDWGRDIQVLCDVLRCGGLPGQENGHQPPLYFASDDLEYKAAFPSNRLGMGAFRIALESVFNRVHHNPLEHVSFGKPNPVVFKNAEAMLRQLQPSYHSDSSKESGDSGLQSFKTLYMIGDNPSVDIKGARQAGHPWFSILTRTGVFQGKHNHAEFPADLVVDTVEEAVDYILGRECVS >Potri.008G045700.7.v4.1 pep chromosome:Pop_tri_v4:8:2639261:2644996:1 gene:Potri.008G045700.v4.1 transcript:Potri.008G045700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045700.v4.1 MEKKKTQAFLSFLLNSTNDHRKRLSSRTCGARALHKTTTRGSSSLQKRTSFCTRRKRGREKEMRFQRSLAVVFPRIRNKFQSQASRYFSSQLESEQRSSFGIAFDIDGVILRGRDPIGGSPQAMRRLYGDSGNLNVPFLFLTNGGGVPESKRANELSEQLGVKILPSQVLQGHSPFKSLSERYENQLIIAAGKGEPAVVMSEYGFKKVVSLDEYASLFENIDPLSEYKKWTANQVLDRSVHPMNTVPRYDVSSEAVKAVFVVSDPVDWGRDIQVLCDVLRCGGLPGQENGHQPPLYFASDDLEYKAAFPSNRLGMGAFRIALESVFNRVHHNPLEHVSFGKPNPVVFKNAEAMLRQLQPSYHSDSSKESGDSGLQSFKTLYMIGDNPSVDIKGARQVVDTVEEAVDYILGRECVS >Potri.010G000800.1.v4.1 pep chromosome:Pop_tri_v4:10:99043:101947:-1 gene:Potri.010G000800.v4.1 transcript:Potri.010G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G000800.v4.1 MASDSWVSRFSTSSRRYQTRSDLHEETEAEEDLKAEYLCPFCAEDFDVVGLFCHIDEDHPVEAKNGVCPVCAKRVGMDIVSHITGQHGNFFKVQRKRRLRKGGANSAFSILRKELREGSLQSLLGGSSCFVSSSNTEPDPLLSPFIFNPPGFDEALNAKPLSSVEASSVKGSTTEEFLERKVQQPHLSDKDQEEKSRKSEFVQGLLLSTILDDEL >Potri.018G143700.1.v4.1 pep chromosome:Pop_tri_v4:18:14869070:14869943:-1 gene:Potri.018G143700.v4.1 transcript:Potri.018G143700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143700.v4.1 MGGCFSCKSSTKFNNIRVVHFNGYVQEFENPVSVSQVTTGQLPSRNLVFTAAQLLSAESKPLKHDVQLETGQLYFLLPYSILQPDVSPVDFLALVKKLSSIAKSSRCGQAKSSGTSSSLGQSNPICRAQVRTSWKPVLDTIREKSFNRRSESELQDMDFETSKRSLRYDVFL >Potri.010G224100.2.v4.1 pep chromosome:Pop_tri_v4:10:20833599:20836031:1 gene:Potri.010G224100.v4.1 transcript:Potri.010G224100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224100.v4.1 MWFMGFYFTRFLNAGIFGNGTESSHTLPRSATRAAMLVRINTLLQGYSGIRFEMLEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEPLSPAEAFTQAGIDGGFFELQPKEGLALVNGTAVGSGLASMVLFETNVLAILSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKEAQKLHEIDPLQKPKQDRYALRTSPQWLGPLIEVIRTSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSTTFLVGLCQAVDLRHIEENLKSTVKNTVSQVAKRVLTMGFNGELHPSRFCEKDLLKVVDREHVFSYIDDPCSATYPLMQKLRQVLVEHALVNGERERNSTTSIFQKIGSFEEELKTLLPKEVESARLEVENGNPAIPNRIKECRSYPLYKFVREELGTSLLTGEKVKSPGEEFDKVFTAICAGKLIDPLLECLKEWDGAPLPIC >Potri.010G224100.3.v4.1 pep chromosome:Pop_tri_v4:10:20834799:20836032:1 gene:Potri.010G224100.v4.1 transcript:Potri.010G224100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224100.v4.1 MIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSTTFLVGLCQAVDLRHIEENLKSTVKNTVSQVAKRVLTMGFNGELHPSRFCEKDLLKVVDREHVFSYIDDPCSATYPLMQKLRQVLVEHALVNGERERNSTTSIFQKIGSFEEELKTLLPKEVESARLEVENGNPAIPNRIKECRSYPLYKFVREELGTSLLTGEKVKSPGEEFDKVFTAICAGKLIDPLLECLKEWDGAPLPIC >Potri.010G224100.1.v4.1 pep chromosome:Pop_tri_v4:10:20832248:20835988:1 gene:Potri.010G224100.v4.1 transcript:Potri.010G224100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224100.v4.1 MEFCQDSRNGNGSLGFNTNDPLNWGMAAESLKGSHLDEVKRMIEEYRKPVVKLGGETLTIGQVTAIASRDVGVMVELSEEARAGVKASSDWVMDSMSKGTDSYGVTTGFGATSHRRTKQGGELQKELIRFLNAGIFGNGTESSHTLPRSATRAAMLVRINTLLQGYSGIRFEMLEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEPLSPAEAFTQAGIDGGFFELQPKEGLALVNGTAVGSGLASMVLFETNVLAILSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYVKEAQKLHEIDPLQKPKQDRYALRTSPQWLGPLIEVIRTSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSTTFLVGLCQAVDLRHIEENLKSTVKNTVSQVAKRVLTMGFNGELHPSRFCEKDLLKVVDREHVFSYIDDPCSATYPLMQKLRQVLVEHALVNGERERNSTTSIFQKIGSFEEELKTLLPKEVESARLEVENGNPAIPNRIKECRSYPLYKFVREELGTSLLTGEKVKSPGEEFDKVFTAICAGKLIDPLLECLKEWDGAPLPIC >Potri.001G092000.3.v4.1 pep chromosome:Pop_tri_v4:1:7270931:7274295:-1 gene:Potri.001G092000.v4.1 transcript:Potri.001G092000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092000.v4.1 MEIETESRLVRLCIEAACESRESVEKWRKQRRTLNSMPSPLADALLRRLFLRRLLFPSLLEVFKRSVEVVDLKGENNVDAEWMAYLGAFRYLRSLNLADCHRINNSALWSLVGMTSLKEVDISRCAKVTDAGIRHLVSISTLQILRISETGVTADGIKLLSSLTTLFVLDLGDLPVTDTALSSLQALTKLEYLDLWGSNISNKGATVLQKFPKLKFLGLAWTNVTILPNLSSLECLNLSNCTINSVLQGNGNKAHLTKLICSGATFTNEAEAFLYFNTCFLSFLDVSNSSLNGFYFLHHLKAMEYLDLSSSMIGDDSIEAVASIGAILRNLNLGKTRVTSAGVAILVGHVPKLENLSLSHTLVDDLAMSYIGMMPSLKLVDLNNTIINGFIHQDGAGPNLISSLTALHSLKGLESLNLECANIKDAAVDPLSNFQELRLLSLKSPSLTDISLYHLSSLPKIRNLGIRDAVLTDSGLFSFRPPATLEMLDLRGCWLLTEDAILSFRKRHPLIELRHEHVVSTSDQTARHRLTPPRTFLRPPQVNQKQEKLIVSQYFIDQRLKYTREELLALQFQSSSLGSPFDKSHAKPQMQSD >Potri.001G092000.1.v4.1 pep chromosome:Pop_tri_v4:1:7270893:7274351:-1 gene:Potri.001G092000.v4.1 transcript:Potri.001G092000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092000.v4.1 MEIETESRLVRLCIEAACESRESVEKWRKQRRTLNSMPSPLADALLRRLFLRRLLFPSLLEVFKRSVEVVDLKGENNVDAEWMAYLGAFRYLRSLNLADCHRINNSALWSLVGMTSLKEVDISRCAKVTDAGIRHLVSISTLQILRISETGVTADGIKLLSSLTTLFVLDLGDLPVTDTALSSLQALTKLEYLDLWGSNISNKGATVLQKFPKLKFLGLAWTNVTILPNLSSLECLNLSNCTINSVLQGNGNKAHLTKLICSGATFTNEAEAFLYFNTCFLSFLDVSNSSLNGFYFLHHLKAMEYLDLSSSMIGDDSIEAVASIGAILRNLNLGKTRVTSAGVAILVGHVPKLENLSLSHTLVDDLAMSYIGMMPSLKLVDLNNTIINGFIHQDGAGPNLISSLTALHSLKGLESLNLECANIKDAAVDPLSNFQELRLLSLKSPSLTDISLYHLSSLPKIRNLGIRDAVLTDSGLFSFRPPATLEMLDLRGCWLLTEDAILSFRKRHPLIELRHEHVVSTSDQTARHRLTPPRTFLRPPQVNQKQEKLIVSQYFIDQRLKYTREELLALQFQSSSLGSPFDKSHAKPQMQSD >Potri.001G092000.4.v4.1 pep chromosome:Pop_tri_v4:1:7270886:7274326:-1 gene:Potri.001G092000.v4.1 transcript:Potri.001G092000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092000.v4.1 MEIETESRLVRLCIEAACESRESVEKWRKQRRTLNSMPSPLADALLRRLFLRRLLFPSLLEVFKRSVEVVDLKGENNVDAEWMAYLGAFRYLRSLNLADCHRINNSALWSLVGMTSLKEVDISRCAKVTDAGIRHLVSISTLQILRISETGVTADGIKLLSSLTTLFVLDLGDLPVTDTALSSLQALTKLEYLDLWGSNISNKGATVLQKFPKLKFLGLAWTNVTILPNLSSLECLNLSNCTINSVLQGNGNKAHLTKLICSGATFTNEAEAFLYFNTCFLSFLDVSNSSLNGFYFLHHLKAMEYLDLSSSMIGDDSIEAVASIGAILRNLNLGKTRVTSAGVAILVGHVPKLENLSLSHTLVDDLAMSYIGMMPSLKLVDLNNTIINGFIHQDGAGPNLISSLTALHSLKGLESLNLECANIKDAAVDPLSNFQELRLLSLKSPSLTDISLYHLSSLPKIRNLGIRDAVLTDSGLFSFRPPATLEMLDLRGCWLLTEDAILSFRKRHPLIELRHEHVVSTSDQTARHRLTPPRTFLRPPQVNQKQEKLIVSQYFIG >Potri.008G213600.1.v4.1 pep chromosome:Pop_tri_v4:8:17214254:17215388:-1 gene:Potri.008G213600.v4.1 transcript:Potri.008G213600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213600.v4.1 METRHKFKLSLLALLMLLSSTKSSTVLPNSRMLYQIACTMCSTCCGSSPVTSPPPPPPPPPSLATTSNCPPPPSPPASPGVGFYYSPPPPPPPSTYTYSSPPPPQDGVIGGTYYPPPNYKNYPTPPPPNPIVPYFPFYYYIPPPPSTSASFKLIASYTVLAGVALNTLLVLM >Potri.011G016400.1.v4.1 pep chromosome:Pop_tri_v4:11:1496479:1501660:-1 gene:Potri.011G016400.v4.1 transcript:Potri.011G016400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G016400.v4.1 MATTNSLQSISMPLPIFNGSNYDLWGKKMKTLFRSQNLWDIVKNGYEEPENISTLEEAQRKELEVKKQKDASALYLIQQSLADTIFPRITEASTAKQAWDILQNEFLGDSKIAPEIELEAPYGAAMNGYWQSMIDFYREHFEKIGCPVTPSKDTGLHLAVHSKKEQPLKALLEIMKERELPVTEEEFLEKRNEFGNTALHEATIYGNYEAVKLLVERCPELIRKANQFGETPLFTAAGFATTAIVEFLIGSKREQCVDNNGSLLSIHKKRSKDVLSILSAAIIGQNFETALLLLDLDKSLASMKDKNQISTLQLLAEMPNAFESGCPMGIFEGLIYCCLPVPRPCEVKSKVKSTVESFRRARKEVGDLESGRGRNSGDLGSVSKRNQRGGLLNYLKIPEGCWLERIWNLKRKHVFAYTFAASLIEKDESLKSVTITEEDQNKEEEGEEEQEMCGKKIKKGEKTSEITSNAKETERAETSEITSNANGTERSVLSTLSSLTKKKEIPLFTATRRGIQEIVKLKIKLHPHAIDQRDEMNRSILDVAVMYRQKKIFDIVKEKEIPMARMRRVVDKSGNTLLHHVADMKKNSGVTKPGPALQLQEELKWFERVKEEIPPHYVPLLNKDGMTARECFENSHEMQLKQAQKWIKETAQSCSTVAALVATVVFAAAYTVPGGSDEKGKPNFINSPYFLIFTVSDVVSLASSLTSLVVFLSLLTSPFELQEFHISLPRKLVVGFSFLFFSVLTTMLSFGATILILIQTERRLTTLLLSIASFLPVLIFGILQFRLYVSFMGSTFNILKKNWIAHLSFLGPCLQWREKLGPKKKEKSSN >Potri.003G181800.1.v4.1 pep chromosome:Pop_tri_v4:3:18733608:18738846:1 gene:Potri.003G181800.v4.1 transcript:Potri.003G181800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181800.v4.1 MSRRDPLYVQINEKLGDLPSSHSTCSIFKVPSRLRHVNERAFEPEILSIGPYHRGKDKLKMMEEHKKRYLQKLLQRRGESSVERYVTAMTGLEGIARQFYDHSVVSLGQDEFVEMLLLDGCFIVELIRKYNNIPGVRDDNDPVFEVSWILSSIETDMFLLENQLPFSVLWELFTMTSEVQNEDFFGMALSFCQRIFPGSGNHSIPENYTCKHLLDILYHIGYQTPDSTESPKDNDWYFIRNAKELQESGIKFKKREGSRRLFDVVFLENGTIEIPCLKIYDTTESLFRNLVAYEQCSRCKHLYVTDYITLMDCLINNQEDVQILRHSGIIENGLGDDGMVCSLFNNLGINVILSHRRYFYYHKVFDGVKEHCNRKRNVWLAKLKHDYFNSPWALLSFLAAVALLLFTLVQTVYTVMSYSKRISVTQIHYKVLSCFK >Potri.005G090600.1.v4.1 pep chromosome:Pop_tri_v4:5:6300019:6303005:-1 gene:Potri.005G090600.v4.1 transcript:Potri.005G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090600.v4.1 MALSKLTMKPFQNLSKSIKANNPFSIFTKNLCGFPLDSRNITNDLISIFTKQPFSPNNPELESLAPLLNTKVVETVLNGLKNWKIALHFFTWASNQGPYKHNVYAYNAMASILSRARQKAPLRALSMDVVNSRCLMSPGALGFLIRCLGNAGLVVEANLLFDQVQKMGLCVPNSYSYTCLLEVLSKSICIDLLEMRLKEMHDHGWGFDKYTLTPVLQVYCNMAEFDKALDVFNEIHDRGWVDEYVFSILVLAFSKWGKVDKACELIETMEEKNVRLNKKTFCSLIYGFVKESRVDKALHLFDKMKKSGFTPDISLYDVIIGGLCVNKDVKKALCLYSEMKGFKIQPDVKIVTKLISSFSKEEELTCFFEEMHEDMDPKASTLLYNSVLNSLVDNGSVHKAYHLLQAITIGNCIGDGEIGKLFRGKAMVPPNSTTFSIVINGLIKTGDLDLAVGLFRDMARIGCKPDLLLYNNLIDGLCTSNRLQESCGLLQEMEESGIEPTSFTNNCIFGCLCRRHDISGALHLLKKMRIHGHVPWIKHSTSLVKELCKHGKEVEACKFLVDMAEEGFQPDIVAYSACLDGLIKIQEVDQALKLFQDICAQGYCPDVIAYNILIKGLCKTQRIAEAQNLLHEMEEKGLVPSAVTYNTLIDGLCKTDHLEEAMLFLSMMIEKEREPNVITYSTLINGLCNAGRPDDALVLWNEMGRKGCTPSSIAYMAFIHGLSNCGRPNEALVYLREMEEREMKPDTYVYVGLLNAFLVDSNQPLAFEILQEMVDKGKFPDLHDKNHISVRNAILKFSEDARTSSSIKNLLAKGSIPSASLSVTGITDGVEPAI >Potri.008G187400.3.v4.1 pep chromosome:Pop_tri_v4:8:13092006:13097075:-1 gene:Potri.008G187400.v4.1 transcript:Potri.008G187400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187400.v4.1 MAPRALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLFFPADAIAKAKHYLAMTIGGLGAYTDSRGMPGVRKEVADFIERRDGYPSDPELIFLTDGASKGVMQILNTIIRGESDGILVPVPQYPLYSAAISLFGGSLVPYFLEETANWGLDVNDLRQSVAQARSKGITVRAMVIINPGNPTGQCLSEANLREILHFCYQENLVLLGDEVYQQNIYQDERPFISARKVLMGMGPPVSKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPKAVDEIYKVASVSLSPNVPAQIFMGLMVNPPKPGDISYEQFIRESKGILESLRRRARMMTDGFNSCRNVICNFTEGAMYSFPQIRLPPKAIDAAKMAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMDSFKKFNDEFMEQYEGHGGYSRL >Potri.008G187400.1.v4.1 pep chromosome:Pop_tri_v4:8:13091726:13097521:-1 gene:Potri.008G187400.v4.1 transcript:Potri.008G187400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187400.v4.1 MAPRALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLFFPADAIAKAKHYLAMTIGGLGAYTDSRGMPGVRKEVADFIERRDGYPSDPELIFLTDGASKGVMQILNTIIRGESDGILVPVPQYPLYSAAISLFGGSLVPYFLEETANWGLDVNDLRQSVAQARSKGITVRAMVIINPGNPTGQCLSEANLREILHFCYQENLVLLGDEVYQQNIYQDERPFISARKVLMGMGPPVSKEVQLISFHTVSKGYWGECGQRGGYFEMTNIPPKAVDEIYKVASVSLSPNVPAQIFMGLMVNPPKPGDISYEQFIRESKGILESLRRRARMMTDGFNSCRNVICNFTEGAMYSFPQIRLPPKAIDAAKMAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEDMPEIMDSFKKFNDEFMEQYEGHGGYSRL >Potri.011G132700.9.v4.1 pep chromosome:Pop_tri_v4:11:16608556:16615063:-1 gene:Potri.011G132700.v4.1 transcript:Potri.011G132700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132700.v4.1 MRNSSINSDVQQQQQKNLQGRVNGNNINNNGFLPSSFRAISSYLRIVSSGASTVARSAASVAQSIVDRDADANHDQVCWAGFDKLEGDDDVIRQVLLLGYQSGFQVWDVEEANNVRDLVSRHDGPVSFLQMLPKPITSKRSEDKFAYNRPLLVVCADGVQDGNVSNNHDPVNGSTVSTVVRFYSLRSQSYVHVLKFRSAVYSVRCSSRIVAISQSSQVHCFNATTLQREYTILTNPMVMGSPGSGGIGYGPLAVGPRWLAYSGSPVVVSNSGRVSPQHLTPSVSFSGFTSNGSLVAHYAKESSKQLAAGIVTLGDMGYKRLSRYCSELLPDSHGSLQSGSPSWKNNGTVNGYFPDADNIGMVVVRDIVSKLAIAQFRAHKSPISALCFDSSGTLLVTASVQGHNINVFKIMPGLQGSSSAGASHIHLYRLQRGFTNAVIQDISFSDDSYWIMISSSRGTSHLFAINPLGGSMNFQSSESGHVMKNSGLGVMTKPTVRCLPSLGLQMHSQQTLCASGPPLTLSAVSRIRNGNNGWRGTVTGAAAAATGRQGYLSGAIASSFHKCKGSNDMYVDGASFKSKYHLLVFSPSGSMIQYALRISAGVDSMAISSGLNATYESAAENDGRLVVEAMQKWNICQKQNRRDREDNADIYGENGNSDSNKIHPEGIKKGNSIYPEDSAVTNAKISSEEKHYLYISEAELHMHQPRFPLWAKPEIYFQSMMTEGIKVDDADALQGEIEIERIPTRMIEARSKDLVPLFDHLQTPKFLHTR >Potri.011G132700.8.v4.1 pep chromosome:Pop_tri_v4:11:16608951:16615074:-1 gene:Potri.011G132700.v4.1 transcript:Potri.011G132700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132700.v4.1 MRNSSINSDVQQQQQKNLQGRVNGNNINNNGFLPSSFRAISSYLRIVSSGASTVARSAASVAQSIVDRDADANHDQVCWAGFDKLEGDDDVIRQVLLLGYQSGFQVWDVEEANNVRDLVSRHDGPVSFLQMLPKPITSKRSEDKFAYNRPLLVVCADGVQDGNVSNNHDPVNGSTVSTVVRFYSLRSQSYVHVLKFRSAVYSVRCSSRIVAISQSSQVHCFNATTLQREYTILTNPMVMGSPGSGGIGYGPLAVGPRWLAYSGSPVVVSNSGRVSPQHLTPSVSFSGFTSNGSLVAHYAKESSKQLAAGIVTLGDMGYKRLSRYCSELLPDSHGSLQSGSPSWKNNGTVNGYFPDADNIGMVVVRDIVSKLAIAQFRAHKSPISALCFDSSGTLLVTASVQGHNINVFKIMPGLQGSSSAGASHIHLYRLQRGFTNAVIQDISFSDDSYWIMISSSRGTSHLFAINPLGGSMNFQSSESGHVMKNSGLGVMTKPTVRCLPSLGLQMHSQQTLCASGPPLTLSAVSRIRNGNNGWRGTVTGAAAAATGRQGYLSGAIASSFHKCKGSNDMYVDGASFKSKYHLLVFSPSGSMIQYALRISAGVDSMAISSGLNATYESAAENDGRLVVEAMQKWNICQKQNRRDREDNADIYGENGNSDSNKIHPEGIKKGNSIYPEDSAVTNAKISSEEKHYLYISEAELHMHQPRFPLWAKPEIYFQSMMTEGIKVDDADALQGEIEIERIPTRMIEARSKDLVPLFDHLQTPKFLHTRVPSVDSNSNGCLQHQSYGPSENGRLSCRSSSGSLDSMTENGLQHQSYGPSENGRLSCRSSSGSLDSMTENGAVVAELHNGVEETGWNGSRMPVETRGIVNSNGSPKTNSRLEVVNNRESSRTEAQLKFVNNNNEGLKMENQFENEDDEFD >Potri.011G132700.1.v4.1 pep chromosome:Pop_tri_v4:11:16608329:16615084:-1 gene:Potri.011G132700.v4.1 transcript:Potri.011G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132700.v4.1 MRNSSINSDVQQQQQKNLQGRVNGNNINNNGFLPSSFRAISSYLRIVSSGASTVARSAASVAQSIVDRDADANHDQVCWAGFDKLEGDDDVIRQVLLLGYQSGFQVWDVEEANNVRDLVSRHDGPVSFLQMLPKPITSKRSEDKFAYNRPLLVVCADGVQDGNVSNNHDPVNGSTVSTVVRFYSLRSQSYVHVLKFRSAVYSVRCSSRIVAISQSSQVHCFNATTLQREYTILTNPMVMGSPGSGGIGYGPLAVGPRWLAYSGSPVVVSNSGRVSPQHLTPSVSFSGFTSNGSLVAHYAKESSKQLAAGIVTLGDMGYKRLSRYCSELLPDSHGSLQSGSPSWKNNGTVNGYFPDADNIGMVVVRDIVSKLAIAQFRAHKSPISALCFDSSGTLLVTASVQGHNINVFKIMPGLQGSSSAGASHIHLYRLQRGFTNAVIQDISFSDDSYWIMISSSRGTSHLFAINPLGGSMNFQSSESGHVMKNSGLGVMTKPTVRCLPSLGLQMHSQQTLCASGPPLTLSAVSRIRNGNNGWRGTVTGAAAAATGRQGYLSGAIASSFHKCKGSNDMYVDGASFKSKYHLLVFSPSGSMIQYALRISAGVDSMAISSGLNATYESAAENDGRLVVEAMQKWNICQKQNRRDREDNADIYGENGNSDSNKIHPEGIKKGNSIYPEDSAVTNAKISSEEKHYLYISEAELHMHQPRFPLWAKPEIYFQSMMTEGIKVDDADALQGEIEIERIPTRMIEARSKDLVPLFDHLQTPKFLHTRVPSVDSNSNGCLQHQSYGPSENGRLSCRSSSGSLDSMTENGLQHQSYGPSENGRLSCRSSSGSLDSMTENGAVVAELHNGVEETGWNGSRMPVETRGIVNSNGSPKTNSRLEVVNNRESSRTEAQLKFVNNNNEGLKMENQFENEDDEFD >Potri.002G105100.1.v4.1 pep chromosome:Pop_tri_v4:2:7738217:7743515:-1 gene:Potri.002G105100.v4.1 transcript:Potri.002G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105100.v4.1 MISQFFVLSQRGDNIVFRDYRGEVPKGSAEIFFRKVKFWKEDGEEEAPPVFNVDGVNYFHVKVVGLLFVATTRVNVSPSLVLELLQRIARVIKDYLGVLNEDSLRKNFVLVYELLDEVIDFGYVQTTSTELLKSYVFNEPLVVDAARLQPLSPAAIFMQGTKRMPGTAVTKSVVANEPGGRKREEIFVDIIEKISVTFSSSGYILTSEIDGTIQMKSYLSGNPEIRLALNEDLSIGRGDYRSSFGSGSVILDDCNFHESVRLDNFDLDRTLTLVPPDGEFPVMNYRMTQEFKPPFRINTLIEEAGALKAEVILKVSAEFPSSITANTIIVQMPLPKYTTRVNFELEPGALGQTTDFKEANRRLEWGLKKIVGGSEHTLRAKLTFSQELHGNITKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSSTYNPYRWVRYVTQANSYVARI >Potri.002G232200.1.v4.1 pep chromosome:Pop_tri_v4:2:22440363:22450739:1 gene:Potri.002G232200.v4.1 transcript:Potri.002G232200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G232200.v4.1 MAFPSRRRRRSCSLHAVLLFSFLFLIGLSFAEEASHDGDSPKFPGCDHPYNLVKVKNWAHGVEGETFAGITARFGVFLPKEEKNSYRLTAVFSNPLNGCSPSSSKLSGSIAMAVRGGCDFTTKAEVAQSGGAAALLVINDEEELAEMGCEKGTSAQDISIPVVLIPKSGGQSLNKSIVNGQKVELLFYAPVRPPVDLSVIFLWIMAVGTVVCASVWSEIAASEETNERYNELSPKETSNASAFKDDTEKEVIDINVKSAIVFVITASAFLLLLYFFMSSWFVWLLIVLFCIGGIEGMHNCITTVILRICRNCGRKKLNLPLFGETSLFSLLVLICCVVFATVWAINRQASYSWAGQDILGICLMITVLQVARLPNIKVATVLLCCAFVYDIFWVFLSPIIFHQSVMIAVARGDNSGGETIPMLLRIPRFADEWGGYDMIGFGDILFPGLLVSFAFRYDKANKKGIANGYFLWLTIGYGVGLFLTYLGLYLMDGHGQPALLYLVPCTLGLCILLGLVRGELKDLWNYSSEDASSRASSGLA >Potri.003G190300.3.v4.1 pep chromosome:Pop_tri_v4:3:19411555:19414943:1 gene:Potri.003G190300.v4.1 transcript:Potri.003G190300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190300.v4.1 MGTNINGNLPVRNLLLSLIQKRFVKTSSTTRPPNASSSSSSSSFTVQYLINSCGLPLQSALSVSKKFQIDENNLQKPQSVIQFLKSYDFQDSHIAKLIEKWPAVLRSRTEDNLKPKFDFFIKNGFVGQLLPQLAVLDPVIFRTSLDASIKPCFELLKRFLESNENILAALSRAPFLMSFSFNATVRPNLDLLKKEGVTADRVAKLLLSQPRSLQHSNDRMVYAVTYLKQLGIEPDKTMYIHALTVIARMSESAWRKKIDMFKSVGWTEEEVLWAFKRFPYILLTSEEKIRSMMDFFLNKMKLERQTIVANPALLKYSFGNRILPRCNVLEVLKSKKLIKGDPNIATLLKLSEKDFMERCVTKYEDKVPGLLEMYGGIDKGKG >Potri.010G168900.7.v4.1 pep chromosome:Pop_tri_v4:10:17113667:17116499:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEGLHISPMAQHSLENLSDATVTDQKYPGKKIGVMPVIDHVRNKENGFRCEGKTLRLSQIRRLARSKSNILAQQSIGDSTLGQLQGRTRLTQIRHQARSKNHSQVQEGTEKKSSVDLHKRIVRLTHIRSLARSKSNLVVQKALQDSRHGHSDCQTSEGKIYLKAI >Potri.010G168900.10.v4.1 pep chromosome:Pop_tri_v4:10:17113667:17116456:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEDQKYPGKKIGVMPVIDHVRNKENGFRCEAKYWGFYTWAIARKNTADSNSTSSAVQKSFTGARRDRKEIKCGFT >Potri.010G168900.2.v4.1 pep chromosome:Pop_tri_v4:10:17113922:17116480:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEGLHISPMAQHSLENLSDATVTDQKYPGKKIGVMPVIDHVRNKENGFRCEGKTLRLSQIRRLARSKSNILAQQSIGDSTLGQLQGRTRLTQIRHQARSKNHSQVQEGTEKKSSVDLHKRIVRLTHIRSLARSKSNLVVQKALQDSRHGHSDCQTSEEDRRFRTIAAIFGEEDSFQVGHQLGKNECNNNTYGEVV >Potri.010G168900.8.v4.1 pep chromosome:Pop_tri_v4:10:17113667:17116456:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEDQKYPGKKIGVMPVIDHVRNKENGFRCEGKTLRLSQIRRLARSKSNILAQQSIGDSTLGQLQGRTRLTQIRHQARSKNHSQVQEGTEKKSSVDLHKRIVRLTHIRSLARSKSNLVVQKALQDSRHGHSDCQTSEGKIYLKAI >Potri.010G168900.6.v4.1 pep chromosome:Pop_tri_v4:10:17113800:17116456:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEDQKYPGKKIGVMPVIDHVRNKENGFRCEGKTLRLSQIRRLARSKSNILAQQSIGDSTLGQLQGRTRLTQIRHQARSKNHSQVQEGTEKKSSVDLHKRIVRLTHIRSLARSKSNLVVQKALQDSRHGHSDCQTSEDRRFRTIAAIFGEEDSFQVGHQLGKNECNNNTYGEVV >Potri.010G168900.5.v4.1 pep chromosome:Pop_tri_v4:10:17113686:17116499:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEGLHISPMAQHSLENLSDATVTDQKYPGKKIGVMPVIDHVRNKENGFRCEGKTLRLSQIRRLARSKSNILAQQSIGDSTLGQLQGRTRLTQIRHQARSKNHSQVQEGTEKKSSVDLHKRIVRLTHIRSLARSKSNLVVQKALQDSRHGHSDCQTSEEDRRFRTIAAIFGEEDSFQVGHQLGKNECNNNTYGEVV >Potri.010G168900.4.v4.1 pep chromosome:Pop_tri_v4:10:17113685:17116500:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEDQKYPGKKIGVMPVIDHVRNKENGFRCEGKTLRLSQIRRLARSKSNILAQQSIGDSTLGQLQGRTRLTQIRHQARSKNHSQVQEGTEKKSSVDLHKRIVRLTHIRSLARSKSNLVVQKALQDSRHGHSDCQTSEEDRRFRTIAAIFGEEDSFQVGHQLGKNECNNNTYGEVV >Potri.010G168900.9.v4.1 pep chromosome:Pop_tri_v4:10:17113667:17116456:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEGLHISPMAQHSLENLSDATVTDQKYPGKKIGVMPVIDHVRNKENGFRCEAKYWGFYTWAIARKNTADSNSTSSAVQKSFTGARRDRKEIKCGFT >Potri.010G168900.3.v4.1 pep chromosome:Pop_tri_v4:10:17113714:17116455:1 gene:Potri.010G168900.v4.1 transcript:Potri.010G168900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168900.v4.1 MTIKQRQHIQNISKQKKALVFNEKNENLGEAAEAKSLQMSNVQQNLWKTLRHAAAHQQYVDKHSQFSEQSRQVRKMEYKLACLGEDGQIDNEQLNEESAVDHLLILAQSAELMLESEESFDGLRMNERSHEGLHISPMAQHSLENLSDATVTDQKYPGKKIGVMPVIDHVRNKENGFRCEGKTLRLSQIRRLARSKSNILAQQSIGDSTLGQLQGRTRLTQIRHQARSKNHSQVQEGTEKKSSVDLHKRIVRLTHIRSLARSKSNLVVQKALQDSRHGHSDCQTSEDRRFRTIAAIFGEEDSFQVGHQLGKNECNNNTYGEVV >Potri.017G134151.1.v4.1 pep chromosome:Pop_tri_v4:17:13619275:13623025:-1 gene:Potri.017G134151.v4.1 transcript:Potri.017G134151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134151.v4.1 MANMQTPSSPPQPPTHVNQITILSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSPFASAANLVKTLRGPKYDGKFLHSIVKEKLGNTQLHQTLTNIVIPTFDIKRLQPTIFSTYQLKNNPSTDALLSDICIGTSAAPTYLPAYYFETKDPSGKVREFNLIDGGVAANNPTLVAISEVSKAINQEGPDSFRMNPMEYGRFLVLSLGTGTAKSEEKYDAEEAAKWGLLGWLTSDHSTPLVDVFTQASADMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKPVSRVNLATGVFEPINKMTNEEALRKLAKLLSREKHLREAKSAVGN >Potri.017G134151.2.v4.1 pep chromosome:Pop_tri_v4:17:13619887:13623025:-1 gene:Potri.017G134151.v4.1 transcript:Potri.017G134151.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134151.v4.1 MANMQTPSSPPQPPTHVNQITILSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSPFASAANLVKTLRGPKYDGKFLHSIVKEKLGNTQLHQTLTNIVIPTFDIKRLQPTIFSTYQLKNNPSTDALLSDICIGTSAAPTYLPAYYFETKDPSGKVREFNLIDGGVAANNPTLVAISEVSKAINQEGPDSFRMNPMEYGRFLVLSLGTGTAKSEEKYDAEEAAKWGLLGWLTSDHSTPLVDVFTQASADMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKPVSRVNLATGVFEPINKMTNEEALRKLAKLLSREKHLREAKSAVGN >Potri.004G235600.1.v4.1 pep chromosome:Pop_tri_v4:4:24019617:24021333:1 gene:Potri.004G235600.v4.1 transcript:Potri.004G235600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235600.v4.1 MAEVIPTKRIAVVTGANKGIGLEICRQLASKGVLVVLTARDEERGLEAVKSLKVSGFSDVVFHQLDVVDDLSIASFANFIRNQFGRLDILVNNAGITGTEIKEDDWKKLRFGVEDIIGVNAASQRKLMKQTYEMSISCLRTNYYGIKHLTEALIPILERSNSARIVNVSSSFGKLKFFPNEKTKKMLGDVDGLTEEKVEELVEEFLEDFKNDLVETKRWPTLFSAYTVSKAAQNAYTRILAKKYPKIAINAVCPGFTCTDLNCNNGSVTTEEGARGPVMLALMPDHQRPSGCFFFQTEMSTFE >Potri.004G235600.2.v4.1 pep chromosome:Pop_tri_v4:4:24019617:24021333:1 gene:Potri.004G235600.v4.1 transcript:Potri.004G235600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235600.v4.1 MAEVIPTKRIAVVTGANKGIGLEICRQLASKGVLVVLTARDEERGLEAVKSLKVSGFSDVVFHQLDVVDDLSIASFANFIRNQFGRLDILVNNAGITGTEIKEDDWKKLRFGVEDIIGVNAASQRKLMKQTYEMSISCLRTNYYGIKHLTEALIPILERSNSARIVNVSSSFGKLKMLGDVDGLTEEKVEELVEEFLEDFKNDLVETKRWPTLFSAYTVSKAAQNAYTRILAKKYPKIAINAVCPGFTCTDLNCNNGSVTTEEGARGPVMLALMPDHQRPSGCFFFQTEMSTFE >Potri.014G185660.1.v4.1 pep chromosome:Pop_tri_v4:14:15373724:15373972:-1 gene:Potri.014G185660.v4.1 transcript:Potri.014G185660.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185660.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.001G028600.1.v4.1 pep chromosome:Pop_tri_v4:1:2165396:2167489:1 gene:Potri.001G028600.v4.1 transcript:Potri.001G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028600.v4.1 MASILAIGTANPPNCFDQADYPDFYFRVTKSEHMTQLKDKFKRICEKSKIRKRYMYITEDTIKKNPSLSTYDAASLDARQEILVTEVPKLGKEAALKAIEEWGQPKSKITHLIFCTSSGTHMPGADHELTKLLGLERSVKRFMMYQQGCFTAALALRLSKDLAENNPGARVLIVCSENMTVCFRAPSETHLDILVGYAIFSDGAAAIIVGADPDTATERPLFQLVSAEQCIVPDSDDGIVGHIREMGISYYLHKMVPKIVAEGAAQCLVETFNARYGIKDWNSLFYVVHPGGTGVLNKFEEHIGLTKDKLRASRHVLSEYGNMWGPSMFFVLDEMRRRSAKEGKATTGEGLDLGVLFGFGPGVTIETIVLRSFATD >Potri.012G092601.1.v4.1 pep chromosome:Pop_tri_v4:12:11748871:11749212:-1 gene:Potri.012G092601.v4.1 transcript:Potri.012G092601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092601.v4.1 MIFLFQQGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTKNSPAKVVSPRRTEPVFNKNAKNENYEHPPKVHVLNEPFSSPLSLSLVFVFANSRFLIAQLSSHAEEVALLC >Potri.004G099800.2.v4.1 pep chromosome:Pop_tri_v4:4:8621373:8622305:1 gene:Potri.004G099800.v4.1 transcript:Potri.004G099800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099800.v4.1 MMNQISLQQNAISCCDERRGLVSISDSKGLVVCPKPRRVGILANNPIRPLRWPVSHQAEVGDWKAGAELLDIILMKEGHEPDHSATQVVSSPPPFFCGSPPSRVGNPLIQDARFGDDKLTPMSPLSIPSPSGLSSPSSSARKGGCARMKFGLKPAMVRVEGFDCLNRDRQNSSIPAVA >Potri.004G099800.4.v4.1 pep chromosome:Pop_tri_v4:4:8620744:8621924:1 gene:Potri.004G099800.v4.1 transcript:Potri.004G099800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099800.v4.1 MMNQISLQQNAISCCDERRGLVSISDSKGLVVCPKPRRVGILANNPIRPLRWPVSHQAEVGDWKAGAELLDIILMKVNWCCPFCVEVRKTFV >Potri.007G022200.1.v4.1 pep chromosome:Pop_tri_v4:7:1709522:1710229:-1 gene:Potri.007G022200.v4.1 transcript:Potri.007G022200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022200.v4.1 MFSIYISLKSCRSDYFHHHPQAMLRALNKQRNPNRYGRLDKEPDTTSLLAGELETSESLPSQEVFGSPKLSTLGPELAPQDVSSVKPSRRKDNKSHPLFSLSDGAGRKKKPTARPEFARYLQYVKEGGVWV >Potri.008G127700.3.v4.1 pep chromosome:Pop_tri_v4:8:8306792:8308840:-1 gene:Potri.008G127700.v4.1 transcript:Potri.008G127700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127700.v4.1 MPGYMPALYENKMTSSGENLLTAIVPLMKLLSLTVIGLVLAHPKAQMIPRATFRLLSKLVFALFLPCLIFTELGQSITLQNIALWWFIPVNVLFSTVIGCFLGVAVVLICRPSPQFNRFTVIMTAFGNTGNLPLAIVGSVCHTKHSPFGPHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPMQYYEIVEEGTEIEEHPISDVSIPLLVEAEWPGIEEKETEHSKTPFVARIFNSISSISQTTFPDLDLAEGNSSSPRSIRCLAEPRVVRRIRIVAEQTPVQHVLQPPTLASLLAIIIGMVPQLKAFFFGYDAPLSFITDSLEILAGAMVPSVMLILGGMLSEGPKESTLGLRTTIGITVARLLVLPLCGIGVVALADKLHLLVQGDAMYRFVLLLQYTTPSAILLGAIASLRGYAVKEASALLFWQHVFALFSLSLYIVIYFKLLAYI >Potri.008G127700.2.v4.1 pep chromosome:Pop_tri_v4:8:8306793:8309068:-1 gene:Potri.008G127700.v4.1 transcript:Potri.008G127700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127700.v4.1 MPGYMPALYENKMTSSGENLLTAIVPLMKLLSLTVIGLVLAHPKAQMIPRATFRLLSKLVFALFLPCLIFTELGQSITLQNIALWWFIPVNVLFSTVIGCFLGVAVVLICRPSPQFNRFTVIMTAFGNTGNLPLAIVGSVCHTKHSPFGPHCHSRGVAYVSFAQWVAVILVYTLVYHMMEPPMQYYEIVEEGTEIEEHPISDVSIPLLVEAEWPGIEEKETEHSKTPFVARIFNSISSISQTTFPDLDLAEGNSSSPRSIRCLAEPRVVRRIRIVAEQTPVQHVLQPPTLASLLAIIIGMVPQLKAFFFGYDAPLSFITDSLEILAGAMVPSVMLILGGMLSEGPKESTLGLRTTIGITVARLLVLPLCGIGVVALADKLHLLVQGDAMYRFVLLLQYTTPSAILLGAIASLRGYAVKEASALLFWQHVFALFSLSLYIVIYFKLLAYI >Potri.019G104600.3.v4.1 pep chromosome:Pop_tri_v4:19:14005358:14010448:1 gene:Potri.019G104600.v4.1 transcript:Potri.019G104600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104600.v4.1 MSIKKAFVSMRKNGNSHPGRLFGDRRWLIPFFTSLLVFLILFSSATFGVFTSSYGVEKVPFDTVSYKRPENSNGYFVESDLKKWFNRSRYSELEPPRLAYLISGTKGDSQRMMRTLQAVYHPRNQYILHLDLEAPPRERLMLGVYVKSDLTFQEVGNVRVMAQSNLVTYKGPTMIACTLQAIAIMLRESLEWDWFINLSASDYPLVTQDDLLHVFSNLSRNLNFIEHTRLTGWKMNSRAKPIAIDPGLYLSKKSDLSLTTQRRSLPTSFKLFTGSAWIMLTRSFLEYCIMGWENLPRTILMYYTNFVSSPEGYFHTVICNTEEFQDTAIGHDLHYIAWDNPPRQHPLSLTMKDFDKMVKSNAPFARKFARDDPVLDKIDKEILNRTSRFAPGAWCIGSSGNGSDPCSVRGNYSQFRPGPGAERLQELLQSLLSEDFRKKQCS >Potri.009G059900.3.v4.1 pep chromosome:Pop_tri_v4:9:6212464:6218568:1 gene:Potri.009G059900.v4.1 transcript:Potri.009G059900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059900.v4.1 MGAERYRPPLFSVAPMMEWTDKHYRTVARIISKHAWLYTEMLAAETIVYQQGNLDRFLAFPSEQHPIVLQIGGNNLDNIAKATQLANAYGFDEINLNCGCPSAKVAGHGCFGARLMLDPKFVSEAMSVIAANTDVPVSVKCRIGVDDHDSYNELCDFIYKVSSLSPTKHFIIHSRKALLNGISPADNRRIPPLKYEYYYALLRDFPDLRFTINGGIDCVDEVNAALREGAHGVMVGRAAYNNLWNTLAHVDSAVYGEPSSGLTRRQVLEQYQVYGDAVLGTYGNNRPNMRELIRPLSGFFYSEPGNSLWKRKADAAFLNKNIKTVKSFFEETLAAIPDAVLDSPVAELPSGRIDLFANVRGLLPPPYETRTEEVVVNAQS >Potri.001G374600.1.v4.1 pep chromosome:Pop_tri_v4:1:39272127:39275749:-1 gene:Potri.001G374600.v4.1 transcript:Potri.001G374600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374600.v4.1 MGTLPHAFSLFALILLLTSGTGADQSTKTQAIFKGSTGIGAIVDTSSRIGKEEIVAMEVAKEDFYGFGNQTVFPIKDSQKDTIHAALEAKDLIDTRQVQAIIGPQTWEEVPLVAEIARETQVPILSFADTAPEWATERWPSLLQASPDKRAQMKAVAAIVQSWNWHQVTVIYEDTDSSARGVIPHLHDALREVNSEVSQFVAFSPFASSDSMSKELENIKSKQYCRVFVVHLSFKLAVRLFEMANKMEMMKKDFVWITTDPITSLVHSINASVISSMQGILGVRSYFPKMGRHFETFNQRFSTRFSRKYPREEKKEPGIYAVQVYDAMRTIALGLIKTGSKRGGKELLENILDADFHGLSGKVKFKNQNVAAAEIFEIVNVIGTGYNELGYWSNGLGFSENIHENSSYNTSMIGLGQVYWPGGPRYTPRGWTALTSAKRLRIGVPSISGYEEYVKVESDDRLGTNFSGFSIEVFKATAASMPSFPLYEFQYFNGSYDKLVEQIHLKNFDAVVGDVEIVSSRYQYAEFTNPYTETGLVLIVPARSSSKAWSFVKPFTTTMWVLISVITVYNGFVVWWIEREHCDELQGSIPNQIGIMIWLSFNTLFSLNGPKLHSNLSRMSGVVWLFVALIITQTYTANLTSMLTVQRLEPIIPSVEELLNSNAMVGYCTGSYMERYLAEVLKFKSQNLKHFRSAENYFEGFKDKNISAAFLGTPYAKIFLAKYCNSFIQIGPTYKIGGFGFAFPRGSPLLASVNEALLKISENGTLQELEKTWISPQKCPEMPSDSSSLGPSGFRVLFFITGGTTTIAFVIYVCRPNLLRLKNMWGIISAVLKRWLSSRRQVTSRRVANVEIPPKAFPEAPVSLA >Potri.016G076300.2.v4.1 pep chromosome:Pop_tri_v4:16:5750753:5751598:-1 gene:Potri.016G076300.v4.1 transcript:Potri.016G076300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076300.v4.1 MDPASRYRFLGIPSSTSSSSSLADGNGDSNGNGDELNEDDILWTNDYTDQSLSNSSSSSPTTTSANNLQSKSNHLTAFPKNSGILAALPETNHNTVLYRKPSLPSSSSSSSSSSSRAIPLIPRSPHVAEYASQSVPIRKLNQSAPMNVPVLSIAMAKQRNSRFKEDDDGEFDGDEEMLPPHEIVARGSRRSPKTTFSVLEGVGRTLKGRDLRQVRNAVWRQTGFLD >Potri.013G096849.1.v4.1 pep chromosome:Pop_tri_v4:13:10059831:10061427:1 gene:Potri.013G096849.v4.1 transcript:Potri.013G096849.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096849.v4.1 MASSSMQKAASSSYSPPQWKYDVFLSFRGKDTRNNFTSHLYSNLEQRGIDVYMDDSGLERGKTIEPALWQAIEDSRFSIVVFSRDYASSSWCLDELVKIVQCMKEMGHTVLPVFYDVDPSEVADQTGDYKKAFIEHKEKHSGNLDKVKCWSDCLSTVANLSGWDVRNRICYTTRFHSFTDGKIPSVCD >Potri.009G155300.1.v4.1 pep chromosome:Pop_tri_v4:9:12136788:12137590:-1 gene:Potri.009G155300.v4.1 transcript:Potri.009G155300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155300.v4.1 MAFSFSSTSTIPRTNPTLQSNHSINDARNRKLNVITRCESRESSSSETNLPAKSTPKLGIGSPVVVIEAPKMIKTAATMPCLRVNTGFVKPGDVGRIVSRKPKDVWAVRLAIGTYLIDGKYFKPLELSE >Potri.019G053300.1.v4.1 pep chromosome:Pop_tri_v4:19:8956686:8958219:-1 gene:Potri.019G053300.v4.1 transcript:Potri.019G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053300.v4.1 MRDRMERLVLLPFSIGCVSESSVAIGVHQTKRAKTDTNLSASRTQEEDEESSSSTESTKNSLKLLALSKPNVSTGFNKLVKGLKTFPQLFAYKEEMEELEVEMEIGLPTNVKHVTHIGWDDSPNTNPVQGWDNLISTDLLSLQSATSRQFELAIAGQANSPLVRASSA >Potri.015G112900.2.v4.1 pep chromosome:Pop_tri_v4:15:12903974:12906707:-1 gene:Potri.015G112900.v4.1 transcript:Potri.015G112900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112900.v4.1 MATNTTAGATPAPPRELSQKEADIQMMLAAEVHLGTKNCNFQMERYVFKRRNDGIYIINLGKTWEKLLLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQYTGAHPIAGRHTPGTFTNQLQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGHKWDVMVDLFFYREPEEVKPPEEEDVVAPVDYALTGPDYMGGAADSWSTPVADSGWTNEALPIPAAPVTTSWTPDQAAGGLDPDWGAAAAPPPPPPPQQTASAVVDEGGTW >Potri.001G113000.6.v4.1 pep chromosome:Pop_tri_v4:1:9144906:9148023:-1 gene:Potri.001G113000.v4.1 transcript:Potri.001G113000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113000.v4.1 MAGKLAFTLTSPRVLIAPIQKPFISSSSSLPPPSCSSSTRVHFNVKQFSLRRRMLLPPTKATADQQADQAQEDEMVDGKILQYCSIDKRGKKSIGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSENPIMSDKEYDELKMKLKSEGSEIVVEGPRCSLRSRKVYSDLYVDYLKMFLLNVPATVTALGLFFFLDDLTGFEITYLLELPEPFSFLFTWFAAVPLIVWLALTLTNAIVKDFLILKGPCPNCGTENGSFFGTILSISSGGTTNTLKCSNCSTELVYNSKTRLITLPEGSEA >Potri.001G113000.3.v4.1 pep chromosome:Pop_tri_v4:1:9144777:9148076:-1 gene:Potri.001G113000.v4.1 transcript:Potri.001G113000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113000.v4.1 MAGKLAFTLTSPRVLIAPIQKPFISSSSSLPPPSCSSSTRVHFNVKQFSLRRRMLLPPTKATADQQADQAQEDEMVDGKILQYCSIDKRGKKSIGEMEQEFLQALQAFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQKFLEASMAYVSENPIMSDKEYDELKMKLKSEGSEIVVEGPRCSLRSRKVYSDLYVDYLKMFLLNVPATVTALGLFFFLDDLTGFEITYLLELPEPFSFLFTWFAAVPLIVWLALTLTNAIVKDFLILKGPCPNCGTENGSFFGTILSISSGGTTNTLKCSNCSTELVYNSKTRLITLPEGSEA >Potri.001G075600.2.v4.1 pep chromosome:Pop_tri_v4:1:5711164:5717141:1 gene:Potri.001G075600.v4.1 transcript:Potri.001G075600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075600.v4.1 MVLMVTCMAASLKKLASLLLVLTFLGKCYVFGHFVVEKSSLRVLSPMSLRSKHDSAIGNFGIPDYGGYLAGSVMYPDKGANGCQPFDGDKPFKSKGSRPTILLLDRGECYFALKAWNAQQAGAAAVLVADSIDEPLITMDSPEQSNDADGYIEKIGIPSALIERSLGESLKQALKNKEYVVVKLDWRESVPHPDQRVEYELWTNSNDECGARCDEQMDFVKNFKGHAQILERGGYTLFTPHYITWFCPPPFILSTQCKSQCINHGRYCAPDPEHDLGVGYQGKDVVSENLRQLCVHRVANETGRSWVWWDFVTDFHIRCSMKNKRYSKECAEDVLKSLDLPVEKIQKCMGNPEADVENEVLKTEQELQVGRGSRGDVTILPTMVINNVQYRGKLERAAVLKALCSGFKETTDPPVCLSSELETNECLERNGGCWQDKQFNTTACKDTFRGRVCQCPVVKGVQYAGDGYMSCKPIGPGRCAVQNGGCWSETKHGLSFSACSESQLKGCHCPQGFQGDGHHCEDIDECKAHTSCQCDGCSCKNKWGGYECKCKGNRIYIKEQDACIERNGSKFGWFLTLVILAVVTGSGIAGYIFYKYRLRSYMDSEIMAIMSQYMPLDNNQNNEAPTQSQPLRRHDTTV >Potri.001G075600.5.v4.1 pep chromosome:Pop_tri_v4:1:5711428:5716933:1 gene:Potri.001G075600.v4.1 transcript:Potri.001G075600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075600.v4.1 MVLMVTCMAASLKKLASLLLVLTFLGKCYVFGHFVVEKSSLRVLSPMSLRSKHDSAIGNFGIPDYGGYLAGSVMYPDKGANGCQPFDGDKPFKSKGSRPTILLLDRGECYFALKAWNAQQAGAAAVLVADSIDEPLITMDSPEQSNDADGYIEKIGIPSALIERSLGESLKQALKNKEYVVVKLDWRESVPHPDQRVEYELWTNSNDECGARCDEQMDFVKNFKGHAQILERGGYTLFTPHYITWFCPPPFILSTQCKSQCINHGRYCAPDPEHDLGVGYQGKDVVSENLRQLCVHRVANETGRSWVWWDFVTDFHIRCSMKNKRYSKECAEDVLKSLDLPVEKIQKCMGNPEADVENEVLKTEQELQVGRGSRGDVTILPTMVINNVQYRGKLERAAVLKALCSGFKETTDPPVCLSSELETNECLERNGGCWQDKQFNTTACKDTFRGRVCQCPVVKGVQYAGDGYMSCKPIGPGRCAVQNGGCWSETKHGLSFSACSESQLKGCHCPQGFQGDGHHCEDIDECKAHTSCQCDGCSCKNKWGGYECKCKGNRIYIKEQDACIERNGSKFGWFLTLVILAVVTGSGIAGYIFYKYRLRSYMDSEIMAIMSQYMPLDNNQNNEAPTQSQPLRRHDTTVRKN >Potri.015G085100.3.v4.1 pep chromosome:Pop_tri_v4:15:10976728:10978053:1 gene:Potri.015G085100.v4.1 transcript:Potri.015G085100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085100.v4.1 MAARALLLASLVLSCFLLPCYGIVLFSSLHRTLVVTASPTSGQVLKGGVDKITVTWGLNQTVAAGTDSTYKTIKVKLCYAPISQVDRGWRKTVDNLKKDRTCQHKIVARPYNPANSTAQSHEWTVERDVPTATYFVRAYAYDADEKVVAYGQTTDAHKTTNLFQVQAISGRHVTMDTCSICFSVFSVVSLFGFFYNEKRKAKRSQ >Potri.008G065600.7.v4.1 pep chromosome:Pop_tri_v4:8:3962528:3964513:-1 gene:Potri.008G065600.v4.1 transcript:Potri.008G065600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065600.v4.1 MEGKEEDVRLGANRFNERQPIGTAAQSLDDKDYKEPPPAPLFEPGELTSWSFYRAGIAEFMATFLFLYITVLTVMGVVKDQTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFYGKTNYELHNGGANMVAHGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDSAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKK >Potri.008G065600.8.v4.1 pep chromosome:Pop_tri_v4:8:3962649:3964333:-1 gene:Potri.008G065600.v4.1 transcript:Potri.008G065600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065600.v4.1 MEGKEEDVRLGANRFNERQPIGTAAQSLDDKDYKEPPPAPLFEPGELTSWSFYRAGIAEFMATFLFLYITVLTVMGVVKDQTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMVMQCLGAICGAGVVKGFYGKTNYELHNGGANMVAHGYTKGDGLGAEIVGTFILVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDSAWDDHVSL >Potri.010G073700.1.v4.1 pep chromosome:Pop_tri_v4:10:10159825:10160882:-1 gene:Potri.010G073700.v4.1 transcript:Potri.010G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073700.v4.1 MCTLEKTGTNIFILTLTGADEHRLNPTLIDSILSALRQAKSQATKGSVLITTSRGKFFSNGFDLAWAQAASSKPKATERLHHMVELLKPVVAELISLPMPTIAAVNGHAAAAGLILALSHDYIHMKRDRGVLYMSEVDIGLTFPDYFTALFRAKVGSPSVLRDVLLRGAKVKGDEAVRRGIVEAAHDNEERLSEATMRLGEQLASRKWLGEIYKEIRKSLYPELCGVLGLDHAIIAKL >Potri.005G116300.1.v4.1 pep chromosome:Pop_tri_v4:5:8477290:8480351:-1 gene:Potri.005G116300.v4.1 transcript:Potri.005G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116300.v4.1 MGASESSLSSSQKLTDEITTVTERSEAVDPILERLESLKITRPILTSPRKEEGSLTDILVRKASASSAPAAVNPNVLLELFSIYRDWLEAKVQQISKKQEEIGNKIEVADVLAIKLLQRFNYSVSAMKTASQNLSEVHSLQVEIGELKGRLTEVISNCDALCNRITSEGPESLRSSVKPFSTATADSEISSSSSSVQRV >Potri.005G116300.2.v4.1 pep chromosome:Pop_tri_v4:5:8477354:8480346:-1 gene:Potri.005G116300.v4.1 transcript:Potri.005G116300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116300.v4.1 MGASESSLSSSQKLTDEITTVTERSEAVDPILERLESLKITRPILTSPRKEEGSLTDILVRKASASSAPAVNPNVLLELFSIYRDWLEAKVQQISKKQEEIGNKIEVADVLAIKLLQRFNYSVSAMKTASQNLSEVHSLQVEIGELKGRLTEVISNCDALCNRITSEGPESLRSSVKPFSTATADSEISSSSSSVQRV >Potri.016G056000.1.v4.1 pep chromosome:Pop_tri_v4:16:3723272:3726827:-1 gene:Potri.016G056000.v4.1 transcript:Potri.016G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056000.v4.1 MSNCGAFYLASNVGGKIEKTQVKSAVKKYEKYHVGGDDEEREANYTDMVNKYYDLVTSFYEYGWGESFHFAPRWKWESLRESIKRHGQLGLQKGQKVLDVGCGIGGPLREIARFSGTLITGVNNNEYQISRGEELNRMAGVDKTCNYVKVGFMTMQADFMKMPFSDNTFDAIFAIEATCHAPDVRDCYKEIYRVLKPGQCFAAYEWCITESFDPLNQEHQRIKINYAFGNREKLSLAMGECLEALQLAGFEVVWEKDVAVASPLPWYFPLDKNQFTLSSFRVTAVGRFITRNMVKTLEFLHLAPEGSQRVQAFLEKAADALVEGGRMGIFTPMYFFLARKPLL >Potri.015G034800.1.v4.1 pep chromosome:Pop_tri_v4:15:2879542:2880045:-1 gene:Potri.015G034800.v4.1 transcript:Potri.015G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034800.v4.1 MEHRRGDSDNKEDGIEEEQMGFPVHNQVKKIKQESCYKILDWPPGGLPEMKPVLKEIATRSSHQLSRSPLGLAGRPISVGDS >Potri.018G101700.1.v4.1 pep chromosome:Pop_tri_v4:18:12150472:12151558:1 gene:Potri.018G101700.v4.1 transcript:Potri.018G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101700.v4.1 MGGPSHDSSDFLTDKSAKIFVAGHRGLVGSAIVRKLQSHGLTNLVLRSHSELDLTRQSDVDSFFAAEKPQYVILAAAKVGGIHANNTYPADFIAINLQIQTNVIDSSFRHGVKKLLFLGSSCIYPKLAPQPIPENALLTGPLEPTNEWYAIAKISGIKMCQAYRIQYNWDAISGMPTNLYGPNDNFHPENSHVLPALMRRFHEAKVNKAKEVVVWGTGSPLREFLHVDDLADAVVFLMDKYSGLEHLNVGSGKEVTIKELAELVKEAVGFEGELVWDTSKPDGTPRKLMDSSKLLGLGWMPKISLKDGLVDTYKWYVENVVKQ >Potri.013G076000.1.v4.1 pep chromosome:Pop_tri_v4:13:6390582:6393901:-1 gene:Potri.013G076000.v4.1 transcript:Potri.013G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076000.v4.1 MDDYQEEMERFGMENDFEDGRYINGEFYFKKQKEKRKQSKDDVLYGIFADYDSDDDYVSSSRKRRKDSRKADLTKPVNFVSTGTVMPNQEIDKNLRDKNSDVMFAAADDNRPGIGSGFNTGLGFNSGLGDFNGVKKSEDFEDDGRDEAEDNFLPTEFGRRIKEGAERREQERMEKKAKGVGKNKEVKDGDVGVFEKHTVKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAKMRPKNMGMGFNDFKETSAKLPQFEEKEAVSQSQGQMVGRMKEKLWLKGKKKQKQEKYITADELLAKKEEQGFEVFQKVIDMRGPQVRVLTNLENLNAEEKAKENDVAMPELQHNVRLIVDLAELDIQKIDRDLRNERETAMSLQQEKEKLETEAARQKKQLDNVEEIMGVLSHIEEQKSSGTLTLDSLAKYFTDIKRKFAEDYKLCNLSCVACSYALPLFIRVFQGWDPLRNPLHGLEVVELWKNVLQGEESSDIWDEVAPYAQLVTEVVLPAVRISGINTWEPRDPEPMLRFLESWENLLPAAVVQSILDNIVMPKLSSAVDSWDPRRETVPIHVWVHPWLLQLGLKLEGLYQMIRMKLSMVLDAWHPSDASAYTILSPWKTVFDAASWENLMRRFIVPKLQVALQEFQINPANQKLDQFYWVMSWASAIPIHLMVDLMERFFFSKWLQVLYHWLCSNPNLQEVHKWYIGWKGLLPQELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLRENLSYIRAQEQRQFEAQQRAAMHSQYQTAAGMGSTTQMGGFGGGAVEMTLKEVVEAHAQHHSLLFKPKPGRMHDGHQIYGYGNMSIYVDPIHERLYVQKEEDWLLTNLDNLLEMHNNSLKKRR >Potri.013G076000.4.v4.1 pep chromosome:Pop_tri_v4:13:6390579:6393823:-1 gene:Potri.013G076000.v4.1 transcript:Potri.013G076000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076000.v4.1 MDDYQEEMERFGMENDFEDGRYINGEFYFKKQKEKRKQSKDDVLYGIFADYDSDDDYVSSSRKRRKDSRKADLTKPVNFVSTGTVMPNQEIDKNLRDKNSDVMFAAADDNRPGIGSGFNTGLGFNSGLGDFNGVKKSEDFEDDGRDEAEDNFLPTEFGRRIKEGAERREQERMEKKAKGVGKNKEVKDGDVGVFEKHTVKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAKMRPKNMGMGFNDFKETSAKLPQFEEKEAVSQSQGQMVGRMKEKLWLKGKKKQKQEKYITADELLAKKEEQGFEVFQKVIDMRGPQVRVLTNLENLNAEEKAKENDVAMPELQHNVRLIVDLAELDIQKIDRDLRNERETAMSLQQEKEKLETEAARQKKQLDNVEEIMGVLSHIEEQKSSGTLTLDSLAKYFTDIKRKFAEDYKLCNLSCVACSYALPLFIRVFQGWDPLRNPLHGLEVVELWKNVLQGEESSDIWDEVAPYAQLVTEVVLPAVRISGINTWEPRDPEPMLRFLESWENLLPAAVVQSILDNIVMPKLSSAVDSWDPRRETVPIHVWVHPWLLQLGLKLEGLYQMIRMKLSMVLDAWHPSDASAYTILSPWKTVFDAASWENLMRRFIVPKLQVALQEFQINPANQKLDQFYWVMSWASAIPIHLMVDLMERFFFSKWLQVLYHWLCSNPNLQEVHKWYIGWKGLLPQELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLRENLSYIRAQEQRQFEAQQRAAMHSQYQTAAGMGSTTQMGGFGGGAVEMTLKEVVEAHAQHHSLLFKPKPGRMHDGHQIYGYGNMSIYVDPIHERLYVQKEEDWLLTNLDNLLEMHNNSLKKRR >Potri.013G076000.3.v4.1 pep chromosome:Pop_tri_v4:13:6390586:6393762:-1 gene:Potri.013G076000.v4.1 transcript:Potri.013G076000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076000.v4.1 MDDYQEEMERFGMENDFEDGRYINGEFYFKKQKEKRKQSKDDVLYGIFADYDSDDDYVSSSRKRRKDSRKADLTKPVNFVSTGTVMPNQEIDKNLRDKNSDVMFAAADDNRPGIGSGFNTGLGFNSGLGDFNGVKKSEDFEDDGRDEAEDNFLPTEFGRRIKEGAERREQERMEKKAKGVGKNKEVKDGDVGVFEKHTVKGIGMKLLEKMGYKGGGLGKNQQGIVAPIEAKMRPKNMGMGFNDFKETSAKLPQFEEKEAVSQSQGQMVGRMKEKLWLKGKKKQKQEKYITADELLAKKEEQGFEVFQKVIDMRGPQVRVLTNLENLNAEEKAKENDVAMPELQHNVRLIVDLAELDIQKIDRDLRNERETAMSLQQEKEKLETEAARQKKQLDNVEEIMGVLSHIEEQKSSGTLTLDSLAKYFTDIKRKFAEDYKLCNLSCVACSYALPLFIRVFQGWDPLRNPLHGLEVVELWKNVLQGEESSDIWDEVAPYAQLVTEVVLPAVRISGINTWEPRDPEPMLRFLESWENLLPAAVVQSILDNIVMPKLSSAVDSWDPRRETVPIHVWVHPWLLQLGLKLEGLYQMIRMKLSMVLDAWHPSDASAYTILSPWKTVFDAASWENLMRRFIVPKLQVALQEFQINPANQKLDQFYWVMSWASAIPIHLMVDLMERFFFSKWLQVLYHWLCSNPNLQEVHKWYIGWKGLLPQELQAHENIRYQFTLGLNMIDRAIEGMEVVQPGLRENLSYIRAQEQRQFEAQQRAAMHSQYQTAAGMGSTTQMGGFGGGAVEMTLKEVVEAHAQHHSLLFKPKPGRMHDGHQIYGYGNMSIYVDPIHERLYVQKEEDWLLTNLDNLLEMHNNSLKKRR >Potri.011G004000.1.v4.1 pep chromosome:Pop_tri_v4:11:294858:299002:-1 gene:Potri.011G004000.v4.1 transcript:Potri.011G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004000.v4.1 MDLICFFIAHLFISGVVSTTFSVTNKCNYTIWPGFLANEDDPPASISSFSLQRGQSKTISAPVSWGYPFWGHTYCTEESTGNFSCITGDCGSGKLECSGNGTATSAILPEFKLVGSGCVADSNVVYSSELKVNSSGGEIDACKCVCKAFGSPHYCASVNYQIIFCAAKTTTTRRRSASESTTTSTTVSANATANGADSTSESIATSPTSSVKASAKGSNSSSSAKVTTTSVTVKNLSPMTFPPSPLPDEKTPSPMPFPPSPLPSPLPDEKTPSPLPDEKTPSPLPKTRPNTTSRRRLAPIIAGVIGGALLIIFLIVILILRARWWEESEKNEDLEADDIRQVPGTPVRFSYEDLRVATHDFSDTLGKGGSGSVFKGVLLDGTHVAVKKLDKLGQDMSSFLAEVEAIGSINHFNLVRLIGFCAEKSSGLLVFEYMNKGSLDKWIFKNDQGSCLDWQTRNKVVLGIAKGLAYLHEDCQKKIIHFDIKPLNILLDANFNAKICDFGLSELVDRDTSQVQTRTRGTCGYIAPECYKTPPGRITVKVDVYSFGIVLLEIVCARRNLDHTQPESENHLLRMLQKKAEQDRLIDIVENLDDQYMQSDREEIIRMIKIAAWCLQDDPERRPLMSAVVKVLEGVMEVESNLVYKFHHALITPAVNHHTSPQPQASVLSYPR >Potri.012G129800.3.v4.1 pep chromosome:Pop_tri_v4:12:14504889:14507265:1 gene:Potri.012G129800.v4.1 transcript:Potri.012G129800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129800.v4.1 MASNTISFLPIPISTSQFCNEKPHNSSLLPLPLSSFYGNKLLISHSKLRTLSLKGNKPSTNVTVLSTLPTKKYTSQKIPKWSARAIRSFGLGELEARKLKYPNTGTDALLMGILIEGTSPAAKFLRANGITFFKVREETVNLLGKSEMYFFSPEHPPLTEQAQRALDWAVEEKLKSGDSGEITTTHILLGTWSEKESAGCKILETLGFNDDKAKEVAESMNGDVALSFK >Potri.010G250800.1.v4.1 pep chromosome:Pop_tri_v4:10:22359880:22374219:-1 gene:Potri.010G250800.v4.1 transcript:Potri.010G250800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250800.v4.1 MSSSCSSNGLLPSSASPREQDDLEARPTKEFEHDDHDLIDESDPFDIAQTKNAPIEILRQWRQAAFVLNACRRFRYTLDLKREDEKEKRRRMIRSHAQVVRAALLFRLAGEQQIVLGPSATPPTATGDYAIELEQLASMTRDHNFSSLQQYGGAKGLSNMLKTNLVTGITGDENDLIKRRNAFGTNRYPQKKGRSFLRFLWEAWQDLTLIILIVAAIASLGLGIKTEGLSHGWYDGASISFAVILVIIVTAVSDYRQSLQFQNLNQEKQNIQLEVMRGGRTMKMSIFDIVVGDVVPLKIGDQVPADGLLITGHSLAIDESSMTGESKIVHKNQKAPFLMSGCKVADGFGTMLVTGVGINTEWGLLMASVSEDTGEETPLQVRLNGLATFIGIVGLAVALSVLAVLLGRYFTGNTKNPDGSVQFIKGETKVSKAIDGVIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSSTTICSDKTGTLTLNQMTVVEAYIGKQKINPPDNPLKLHSEVSSLLCEGIAQNTTGNVFVPKDGGDVEISGSPTEKAILSWAVKLGMKFDALRSESKILHVFPFNSEKKQGGVAVQTTDSKVHIHWKGAAEMVLASCTRYLDSNGSLQSIDKDMDFFKASIDDMAACSLRCVAIAYRPYDLDKVPTDVESLDKWVLPEDELVLLAIVGIKDPCRPGVKDAVRVCTAAGVKVRMVTGDNIQTAKAIALECGILSSGADATEPNIIEGKVFRAYSEKEREIIAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVGALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLVQALYQVAVLLVLNFRGLSILNLNQDDRKHATIVKNTMIFNAFVLCQVFNEFNARKPDQINVFKGVTKNRLFMGIVGFTVILQIILIEFTGDFTTTVRLNWKQWLICVAIGIVSWPLAAVGKLLPVPKTPLSKHFRKPFRRSRTARNA >Potri.010G250800.2.v4.1 pep chromosome:Pop_tri_v4:10:22359875:22374216:-1 gene:Potri.010G250800.v4.1 transcript:Potri.010G250800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250800.v4.1 MSSSCSSNGLLPSSASPREQDDLEARPTKEFEHDDHDLIDESDPFDIAQTKNAPIEILRQWRQAAFVLNACRRFRYTLDLKREDEKEKRRRMIRSHAQVVRAALLFRLAGEQQIVLGPSATPPTATGDYAIELEQLASMTRDHNFSSLQQYGGAKGLSNMLKTNLVTGITGDENDLIKRRNAFGTNRYPQKKGRSFLRFLWEAWQDLTLIILIVAAIASLGLGIKTEGLSHGWYDGASISFAVILVIIVTAVSDYRQSLQFQNLNQEKQNIQLEVMRGGRTMKMSIFDIVVGDVVPLKIGDQVPADGLLITGHSLAIDESSMTGESKIVHKNQKAPFLMSGCKVADGFGTMLVTGVGINTEWGLLMASVSEDTGEETPLQVRLNGLATFIGIVGLAVALSVLAVLLGRYFTGNTKNPDGSVQFIKGETKVSKAIDGVIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSSTTICSDKTGTLTLNQMTVVEAYIGKQKINPPDNPLKLHSEVSSLLCEGIAQNTTGNVFVPKDGGDVEISGSPTEKAILSWAVKLGMKFDALRSESKILHVFPFNSEKKQGGVAVQTTDSKVHIHWKGAAEMVLASCTRYLDSNGSLQSIDKDMDFFKASIDDMAACSLRCVAIAYRPYDLDKVPTDVESLDKWVLPEDELVLLAIVGIKDPCRPGVKDAVRVCTAAGVKVRMVTGDNIQTAKAIALECGILSSGADATEPNIIEGKVFRAYSEKEREIIAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVGALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLVQALYQVAVLLVLNFRGLSILNLNQDDRKHATIVKNTMIFNAFVLCQVFNEFNARKPDQINVFKGVTKNRLFMGIVGFTVILQIILIEFTGDFTTTVRLNWKQWLICVAIGIVSWPLAAVGKLLPVPKTPLSKHFRKPFRRSRTARNA >Potri.007G046150.1.v4.1 pep chromosome:Pop_tri_v4:7:4097885:4097971:1 gene:Potri.007G046150.v4.1 transcript:Potri.007G046150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046150.v4.1 MHVNNFFYFLKIIFDISTSKRSKTYKPY >Potri.003G052900.1.v4.1 pep chromosome:Pop_tri_v4:3:7774107:7776903:1 gene:Potri.003G052900.v4.1 transcript:Potri.003G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052900.v4.1 MLSAKSESDIASLAPSSPSRSPKRPVYYVQSPSRDSHDGDKLSSMQPSPMESPSHPSSGRHSRNSSASRFSGIFRSSSGKKSSSRKGNDDKEWNDKGWPECNVIMEEGNYDDEDKAFTRRSQALIALLSFVFLFTVFCLIIWGASRPYKAEIMVKSMAVNNFYVGEGSDSSGVPTKMLTLNGSLRMSVYNPATLFGIHVSSTPINLVYSEIPIATGQLKKYYQPRKSRRTVSMFVEGNKVPLYGAGSSLTVAQTGIVIPLTLKFEILSRGNVVGKLVRTKHRRQISCPLVIDSSSPKPIKFKKNTCTYD >Potri.009G017900.1.v4.1 pep chromosome:Pop_tri_v4:9:3016549:3018912:1 gene:Potri.009G017900.v4.1 transcript:Potri.009G017900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017900.v4.1 MERSSGMQNNGGCFSRGCGWIKSLPERSKAKIVECARKIKKLGQDDPRRVNHSVKVGLAITLVSLFYYFEPLYDGFGDSAMWAVMTVVVVFEFSVGATLGRGLNRGLATFLAGALGFGAHRLATLSGEKGEPMLLGLFVFLLATTVTFVRFFPRMKARYDYGLLIFILTFCLISVSGYRDDEVLDMAHKRVSTILIGSLTAVFVCICICPVWAGDDLHNLAATNIEKLGIFLEHFGVEFFRKPGEGESINKASLQGYKSVLNSKNMEESLVNFARWEPGHGQFKFRHPWKHYLKFGSLTRQCAYRVEALNGYLNSDIKTPPEIQGMIQDSCTKMSSELGKALKELALAIKRMTPPSSASSHLVKSKNAAKNLKFLLYSDLCSGINLLEVVPAVTVTSLLFEVISCTEKIAEAIHELASLAQFENVEQEKPKLPEQGEMQQGANMDVHHHVVTIDQPPPVKPQNGSLSSSTTS >Potri.007G097900.6.v4.1 pep chromosome:Pop_tri_v4:7:12291731:12298032:-1 gene:Potri.007G097900.v4.1 transcript:Potri.007G097900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097900.v4.1 MIGLGISLVRVLTSSNDDYSGSFIAWLITAALGFVTIVYGLIKWHKRASQKWVKAAAKAKKQVWKKLNVPRSSHLWIEDLAYGQKQPSTCCVCLTSLVLPYNGDNALLCSDFVHCCVVCGAAAHFHCSEFAVKDCKCIAQAGSCHVRHHWSERWFNMDEIPEMNAFCSYCDEPCGVPFIDASPTWHCLWCQRLIHVKCHAKLSKESGDVCDLGPLRRSILSPLCVKEVDGGNSLSLIKGEIIASNVRRQMRSKQQSRGKQGNIRSVNGKLQDATTANRSLDFVLNGLAGLRRCRSETNIHCLKKNGRIKGTLNGLMHNKGGTAICQQVKKYALVDLAQDARPLLVFINSKSGGQLGPYLRRTLNMLLNPVQVFELSGSQGPDIGLELFSKVRYFRVLVCGGDGTVSWVLDAIERHNFESPPPVAILPLGTGNDLSRVLQWGRGFSMFDGLGGLSTLLQDIDHAAVTMLDRWKVNIREENSEGYMEKEQSKFMMNYLGIGCDAKLAYEFHVTRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKDCEGLIVLNIGSYMGGVDLWQNDYEHDDDFSLQSMQDKMLEVVSVRGAWHLGKLQVGLSQAMRLAQGKVIRIHASSSFPVQIDGEPFIHQPGCLEIKHDGQVFMLRRASEEPRGHAAAIMTEVLADAECKGIINASQKKLLLQQLALNLSS >Potri.007G097900.2.v4.1 pep chromosome:Pop_tri_v4:7:12291730:12298077:-1 gene:Potri.007G097900.v4.1 transcript:Potri.007G097900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097900.v4.1 MIGLGISLVRVLTSSNDDYSGSFIAWLITAALGFVTIVYGLIKWHKRASQKWVKAAAKAKKQVWKKLNVPRSSHLWIEDLAYGQKQPSTCCVCLTSLVLPYNGDNALLCSDFVHCCVVCGAAAHFHCSEFAVKDCKCIAQAGSCHVRHHWSERWFNMDEIPEMNAFCSYCDEPCGVPFIDASPTWHCLWCQRLIHVKCHAKLSKESGDVCDLGPLRRSILSPLCVKEVDGGNSLSLIKGEIIASNVRRQMRSKQQSRGKQGNIRSVNGKLQDATTANRSLDFVLNGLAGLRRCRSETNIHCLKKNGRIKGTLNGLMHNKGGTAICQQVKKYALVDLAQDARPLLVFINSKSGGQLGPYLRRTLNMLLNPVQVFELSGSQGPDIGLELFSKVRYFRVLVCGGDGTVSWVLDAIERHNFESPPPVAILPLGTGNDLSRVLQWGRGFSMFDGLGGLSTLLQDIDHAAVTMLDRWKVNIREENSEGYMEKEQSKFMMNYLGIGCDAKLAYEFHVTRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKLYGGCRSLAK >Potri.007G097900.7.v4.1 pep chromosome:Pop_tri_v4:7:12291737:12298077:-1 gene:Potri.007G097900.v4.1 transcript:Potri.007G097900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097900.v4.1 MIGLGISLVRVLTSSNDDYSGSFIAWLITAALGFVTIVYGLIKWHKRASQKWVKAAAKAKKQVWKKLNVPRSSHLWIEDLAYGQKQPSTCCVCLTSLVLPYNGDNALLCSDFVHCCVVCGAAAHFHCSEFAVKDCKCIAQAGSCHVRHHWSERWFNMDEIPEMNAFCSYCDEPCGVPFIDASPTWHCLWCQRLIHVKCHAKLSKESGDVCDLGPLRRSILSPLCVKEVDGGNSLSLIKGEIIASNVRRQMRSKQQSRGKQGNIRSVNGKLQDATTANRSLDFVLNGLAGLRRCRSETNIHCLKKNGRIKGTLNGLMHNKGGTAICQQVKKYALVDLAQDARPLLVFINSKSGGQLGPYLRRTLNMLLNPVQVFELSGSQGPDIGLELFSKVRYFRVLVCGGDGTVSWVLDAIERHNFESPPPVAILPLGTGNDLSRVLQWGRGFSMFDGLGGLSTLLQDIDHAAVTMLDRWKVNIREENSEGYMEKEQSKFMMNYLGIGCDAKLAYEFHVTRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKLYGGCRSLAK >Potri.007G097900.4.v4.1 pep chromosome:Pop_tri_v4:7:12291733:12298076:-1 gene:Potri.007G097900.v4.1 transcript:Potri.007G097900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097900.v4.1 MIGLGISLVRVLTSSNDDYSGSFIAWLITAALGFVTIVYGLIKWHKRASQKWVKAAAKAKKQVWKKLNVPRSSHLWIEDLAYGQKQPSTCCVCLTSLVLPYNGDNALLCSDFVHCCVVCGAAAHFHCSEFAVKDCKCIAQAGSCHVRHHWSERWFNMDEIPEMNAFCSYCDEPCGVPFIDASPTWHCLWCQRLIHVKCHAKLSKESGDVCDLGPLRRSILSPLCVKEVDGGNSLSLIKGEIIASNVRRQMRSKQQSRGKQGNIRSVNGKLQDATTANRSLDFVLNGLAGLRRCRSETNIHCLKKNGRIKGTLNGLMHNKGGTAICQQVKKYALVDLAQDARPLLVFINSKSGGQLGPYLRRTLNMLLNPVQVFELSGSQGPDIGLELFSKVRYFRVLVCGGDGTVSWVLDAIERHNFESPPPVAILPLGTGNDLSRVLQWGRGFSMFDGLGGLSTLLQDIDHAAVTMLDRWKVNIREENSEGYMEKEQSKFMMNYLGIGCDAKLAYEFHVTRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKDCEGLIVLNIGSYMGGVDLWQNDYEHDDDFSLQSMQDKMLEVVSVRGAWHLGKLQVGLSQAMRLAQGKVIRIHASSSFPVQIDGEPFIHQPGCLEIKHDGQVFMLRRASEEPRGHAAAIMTEVLADAECKGIINASQKKLLLQQLALNLSS >Potri.007G097900.5.v4.1 pep chromosome:Pop_tri_v4:7:12291732:12298038:-1 gene:Potri.007G097900.v4.1 transcript:Potri.007G097900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097900.v4.1 MIGLGISLVRVLTSSNDDYSGSFIAWLITAALGFVTIVYGLIKWHKRASQKWVKAAAKAKKQVWKKLNVPRSSHLWIEDLAYGQKQPSTCCVCLTSLVLPYNGDNALLCSDFVHCCVVCGAAAHFHCSEFAVKDCKCIAQAGSCHVRHHWSERWFNMDEIPEMNAFCSYCDEPCGVPFIDASPTWHCLWCQRLIHVKCHAKLSKESGDVCDLGPLRRSILSPLCVKEVDGGNSLSLIKGEIIASNVRRQMRSKQQSRGKQGNIRSVNGKLQDATTANRSLDFVLNGLAGLRRCRSETNIHCLKKNGRIKGTLNGLMHNKGGTAICQQVKKYALVDLAQDARPLLVFINSKSGGQLGPYLRRTLNMLLNPVQVFELSGSQGPDIGLELFSKVRYFRVLVCGGDGTVSWVLDAIERHNFESPPPVAILPLGTGNDLSRVLQWGRGFSMFDGLGGLSTLLQDIDHAAVTMLDRWKVNIREENSEGYMEKEQSKFMMNYLGIGCDAKLAYEFHVTRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKDCEGLIVLNIGSYMGGVDLWQNDYEHDDDFSLQSMQDKMLEVVSVRGAWHLGKLQVGLSQAMRLAQGKVIRIHASSSFPVQIDGEPFIHQPGCLEIKHDGQVFMLRRASEEPRGHAAAIMTEVLADAECKGIINASQKKLLLQQLALNLSS >Potri.007G097900.1.v4.1 pep chromosome:Pop_tri_v4:7:12291353:12298118:-1 gene:Potri.007G097900.v4.1 transcript:Potri.007G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097900.v4.1 MIGLGISLVRVLTSSNDDYSGSFIAWLITAALGFVTIVYGLIKWHKRASQKWVKAAAKAKKQVWKKLNVPRSSHLWIEDLAYGQKQPSTCCVCLTSLVLPYNGDNALLCSDFVHCCVVCGAAAHFHCSEFAVKDCKCIAQAGSCHVRHHWSERWFNMDEIPEMNAFCSYCDEPCGVPFIDASPTWHCLWCQRLIHVKCHAKLSKESGDVCDLGPLRRSILSPLCVKEVDGGNSLSLIKGEIIASNVRRQMRSKQQSRGKQGNIRSVNGKLQDATTANRSLDFVLNGLAGLRRCRSETNIHCLKKNGRIKGTLNGLMHNKGGTAICQQVKKYALVDLAQDARPLLVFINSKSGGQLGPYLRRTLNMLLNPVQVFELSGSQGPDIGLELFSKVRYFRVLVCGGDGTVSWVLDAIERHNFESPPPVAILPLGTGNDLSRVLQWGRGFSMFDGLGGLSTLLQDIDHAAVTMLDRWKVNIREENSEGYMEKEQSKFMMNYLGIGCDAKLAYEFHVTRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKDCEGLIVLNIGSYMGGVDLWQNDYEHDDDFSLQSMQDKMLEVVSVRGAWHLGKLQVGLSQAMRLAQGKVIRIHASSSFPVQIDGEPFIHQPGCLEIKHDGQVFMLRRASEEPRGHAAAIMTEVLADAECKGIINASQKKLLLQQLALNLSS >Potri.019G014302.1.v4.1 pep chromosome:Pop_tri_v4:19:1857802:1859005:-1 gene:Potri.019G014302.v4.1 transcript:Potri.019G014302.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014302.v4.1 MAETPRTLRLSLKTRLLLAAHSFGIRAASRSDHTVNRSVLNIFDPKAAASAKPINGVSSFDNTIDPTRNLWFRLYAPTSTATTDALSVIIFFHGGGFAFMAANSKSFDVLCRRLAREINAVVISVNYRLAPEYKYPCQYEDGFDALKYIDGMTFENFPANLDLGRCFIAGDSAGGNLAHHVVLKACEHTFSNIKIKGLIAMQPFFGGEERTESEIKLVGVPLISVERTDWMWKAFLPEGSDRNHSVVNVFGPNAVDISGVKFPATLLFVGGFDPLQDWQKRYHEGLKKSGKEVHLVEYPNVFHGFYCLPESPEFSLLIGEVKSFVHKQSSLT >Potri.009G013600.2.v4.1 pep chromosome:Pop_tri_v4:9:2358773:2363427:-1 gene:Potri.009G013600.v4.1 transcript:Potri.009G013600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013600.v4.1 MSTRFIFLLSLTALLLFSHLSPSLSKLQNAAAEDDDEDLSFLEEETDAVPHGQGHGHDHDHDHYPDPDQFDEEFDNEDDLDNYSDLDDSELDSYKEPEIDDKDVVVLKEGNFSDFVTKNKFVMVEFYAPWCGHCQSLAPEYAAAATELKAEEVMLAKVDATEENELAQEYDIQGFPTVYFFVDGVHRPYPGPRNKDGIVTWIKKKIGPGIYNITTVDDAERLLTSETKLVLGFLNSLVGPESEELAAASRLEDEVSFYQTVNPDVAKLFHLDPQAKRPALVMLKKEAEKLSVFDGNFSKSEIAEFVFANKLPLVTIFTRESAPLIFESTIKKQLLLFAISNDSEKVVPIFQEAARLFKGKLIFVYVEMDNEDVGKPVSEYFGISGTAPKVLAYTGNDDAKKFVFDGDVTLDKIKAFGEDFIEDKLKPFFKSDPVPESNDGDVKIVVGNNFDEIVLDESKDVLLEIYAPWCGHCQSLEPTYNKLATHLRGIESIVIAKMDGTTNEHPRAKSDGFPTLLFFPAGNKSFDPITVDTDRTVVAFYKFIKKHASIPFKLQKPASASKAESSDAKDGIESSTRDVKDEL >Potri.007G093600.1.v4.1 pep chromosome:Pop_tri_v4:7:11902814:11907399:-1 gene:Potri.007G093600.v4.1 transcript:Potri.007G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093600.v4.1 MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCAPFREQLLEYYANSKNIGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAVKSETETSSPPEKTANGPKHAQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESIGASNNKS >Potri.019G073000.2.v4.1 pep chromosome:Pop_tri_v4:19:11434860:11435549:1 gene:Potri.019G073000.v4.1 transcript:Potri.019G073000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073000.v4.1 MFSQAGKAESDAHNQTGTPQESKPLGLKWAHPIPVPETGCVLVATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGVVINRPLNKKVRHMKPTNMELATTFADFSLHFGGPLEASMFLLKTGEKTKLEEFEEVIPGLCFGARNSLDEAAALVKKGVLKPQDFRFFVGYAGWQLDQLREEIESNYWYVAACSSNLICGGSSGSSSESLWEEILQLMGGHYSELSRKPKRDV >Potri.019G073000.1.v4.1 pep chromosome:Pop_tri_v4:19:11430624:11435883:1 gene:Potri.019G073000.v4.1 transcript:Potri.019G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073000.v4.1 MMDLWAVHVKNTTGSPLCLRNHSIRDKSFSFGKFRVLDVRVLKRNVSSFGYHSLSVKAMAKKNGNDNSNSSSPSPSGNGDGSKGSNSPDGNKANDFASQKSHRVNFDWREFRANLFAQEKAGKAESDAHNQTGTPQESKPLGLKWAHPIPVPETGCVLVATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGVVINRPLNKKVRHMKPTNMELATTFADFSLHFGGPLEASMFLLKTGEKTKLEEFEEVIPGLCFGARNSLDEAAALVKKGVLKPQDFRFFVGYAGWQLDQLREEIESNYWYVAACSSNLICGGSSGSSSESLWEEILQLMGGHYSELSRKPKRDV >Potri.006G072900.5.v4.1 pep chromosome:Pop_tri_v4:6:5300118:5314491:-1 gene:Potri.006G072900.v4.1 transcript:Potri.006G072900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072900.v4.1 MTSLFKSSPYRRRRDDLEAGESRSTGFDVDDGDSSDPFDIPSTKNASIGRLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQAIRAAYLFKEAGKRVNGTAELHILPPPVGDFGISQDQLSTITRDHNHNALEEIGGVKGVADALKTNTEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMIAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVIRGGRRIEVSIYDIVVGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKNSREPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLIVLLVRYFTGHTKNFDGSPQFKAGKTKASTAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTIVEAYSGGQKIDPPDSKSQLPPILSSLLMEGIAQNTTGSVFVPEGGGDPEISGSPTEKAILGWAVKLGMNFDAVRSESSIIHVFPFNSEKKKGGVALQLPDSQVHIHWKGAAEIVLASCTEYINASGKIVPLDQDKVLFFKKSIEDMAASSLRCVAIAYRTYDMDKVPADEQQKTQWELPQDDLVLLAIVGIKDPCRPGVRDAVQLCKNAGVKVRMVTGDNPQTAKAIALECGILSSAEDAVEPNVIEGRVFRNYSDAERVEIAEKISVMGRSSPNDKLLFVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLEHETPQRANKVKNTLIFNAFVLCQIFNEFNARKPDELNIFKGITKNHLFVVIVGITLVLQVIIIEFVGKFTSTVKLNWKQWLISAVIAIISWPLAAIGKLIPVPRTPLHKFFTKMFHRSGDR >Potri.006G072900.7.v4.1 pep chromosome:Pop_tri_v4:6:5300118:5321013:-1 gene:Potri.006G072900.v4.1 transcript:Potri.006G072900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072900.v4.1 MTSLFKSSPYRRRRDDLEAGESRSTGFDVDDGDSSDPFDIPSTKNASIGRLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQAIRAAYLFKEAGKRVNGTAELHILPPPVGDFGISQDQLSTITRDHNHNALEEIGGVKGVADALKTNTEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMIAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVIRGGRRIEVSIYDIVVGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKNSREPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLIVLLVRYFTGHTKNFDGSPQFKAGKTKASTAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTIVEAYSGGQKIDPPDSKSQLPPILSSLLMEGIAQNTTGSVFVPEGGGDPEISGSPTEKAILGWAVKLGMNFDAVRSESSIIHVFPFNSEKKKGGVALQLPDSQVHIHWKGAAEIVLASCTEYINASGKIVPLDQDKVLFFKKSIEDMAASSLRCVAIAYRTYDMDKVPADEQQKTQWELPQDDLVLLAIVGIKDPCRPGVRDAVQLCKNAGVKVRMVTGDNPQTAKAIALECGILSSAEDAVEPNVIEGRVFRNYSDAERVEIAEKISVMGRSSPNDKLLFVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLEHETPQRANKVKNTLIFNAFVLCQIFNEFNARKPDELNIFKGITKNHLFVVIVGITLVLQVIIIEFVGKFTSTVKLNWKQWLISAVIAIISWPLAAIGKLIPVPRTPLHKFFTKMFHRSGNSPSDR >Potri.006G072900.4.v4.1 pep chromosome:Pop_tri_v4:6:5300120:5314600:-1 gene:Potri.006G072900.v4.1 transcript:Potri.006G072900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072900.v4.1 MTSLFKSSPYRRRRDDLEAGESRSTGFDVDDGDSSDPFDIPSTKNASIGRLRRWRQAALVLNASRRFRYTLDLKKEEEKQQILRKIRAHAQAIRAAYLFKEAGKRVNGTAELHILPPPVGDFGISQDQLSTITRDHNHNALEEIGGVKGVADALKTNTEKGIYGDDADLLKRKNAFGSNTYPQKKGRSFWMFLWEAWQDLTLIILMIAAVASLVLGIKTEGIKEGWYDGASIAFAVILVIVVTAISDYKQSLQFQNLNEEKRNIHLEVIRGGRRIEVSIYDIVVGDVIPLNIGDQVPADGILITGHSLAIDESSMTGESKIVHKNSREPFLMSGCKVADGSGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGVATFIGIVGLTVALLVLIVLLVRYFTGHTKNFDGSPQFKAGKTKASTAVDGAIKILTVAVTIVVVAVPEGLPLAVTLTLAYSMRKMMRDKALVRRLSACETMGSATTICSDKTGTLTLNQMTIVEAYSGGQKIDPPDSKSQLPPILSSLLMEGIAQNTTGSVFVPEGGGDPEISGSPTEKAILGWAVKLGMNFDAVRSESSIIHVFPFNSEKKKGGVALQLPDSQVHIHWKGAAEIVLASCTEYINASGKIVPLDQDKVLFFKKSIEDMAASSLRCVAIAYRTYDMDKVPADEQQKTQWELPQDDLVLLAIVGIKDPCRPGVRDAVQLCKNAGVKVRMVTGDNPQTAKAIALECGILSSAEDAVEPNVIEGRVFRNYSDAERVEIAEKISVMGRSSPNDKLLFVQALKKRGHVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIIILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVAALIINVVAAISSGDVPLNAVQLLWVNLIMDTLGALALATEPPTDHLMHRPPVGRREPLITNIMWRNLLIQAAYQVSVLLVLNFRGKSLLGLEHETPQRANKVKNTLIFNAFVLCQIFNEFNARKPDELNIFKGITKNHLFVVIVGITLVLQVIIIEFVGKFTSTVKLNWKQWLISAVIAIISWPLAAIGKLIPVPRTPLHKFFTKMFHRSGNSPSDR >Potri.012G070600.1.v4.1 pep chromosome:Pop_tri_v4:12:9275472:9276553:1 gene:Potri.012G070600.v4.1 transcript:Potri.012G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070600.v4.1 MAVTAPPLSSAAYFRGLNRPHFHTSKSRFGLQSPAKQLALTIVAMAPKKKVNKFDESWKKQWYGAGIFFEGSEEIEFDVFKKLEKRKVLSNVEKAGLLSKAEELGFTLSSIEKLGVFSKAEELGLLSLLEKTASFSPSTLASAALPIMVAAVVAIVVIPDDSAGLVAVQAVLAGALGVGAAGLLVGSVVLDSLQEAD >Potri.006G037700.2.v4.1 pep chromosome:Pop_tri_v4:6:2451394:2452258:1 gene:Potri.006G037700.v4.1 transcript:Potri.006G037700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G037700.v4.1 MFKYFRLVSIMVMVVVTVFLLVLPLVLPPLPPPPLLLLLVPVLIMAALVFLAFSPQKYQVI >Potri.002G148600.1.v4.1 pep chromosome:Pop_tri_v4:2:11177391:11182984:-1 gene:Potri.002G148600.v4.1 transcript:Potri.002G148600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148600.v4.1 MEGREGLSAGVTVIGAEAPSNYHVAPRTENPGQFVVSPPAVEVPPVGAGLTGGTTEKKKRGRPRKYGPDGAVARALSPMPISASAPSPGGDYSAGKPGKVWPGSYEKKKYKKLGMENLGEWAANSVGTNFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTESQGTRSRSGGMSVSLASPDGRVVGGSVAGLLVAASPVQVVVGSFLAGNHQDQKPKKPKIDSIPATFAPAPVIPVSIAEREESVGTPHGQQQNSSSFQRENWATMHSMQDVRNSVTDINISLPEG >Potri.010G021800.1.v4.1 pep chromosome:Pop_tri_v4:10:3224296:3224985:1 gene:Potri.010G021800.v4.1 transcript:Potri.010G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX10 MERVTKLASERPVVIFSKTTCCMCHTIKTLFCDFGVNPAVHELDEMPRGREIEQALTRAGCPTLPAVFIGGEIVGGANEVMSLHLSRSLIPMLKHAGALWV >Potri.006G238000.1.v4.1 pep chromosome:Pop_tri_v4:6:24003453:24004448:1 gene:Potri.006G238000.v4.1 transcript:Potri.006G238000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238000.v4.1 MESVGSDSSSTSSPDSNYRHRNRLHLRLKPSQPVADRIVRAIRHRLRLLHRSEANFFILGATGNVYTVTLSATPTCSCPDRTTPCKHILFVLIRVLGVSLDDACLRRRNLRTCQLNHLLGTPTLPEALAGFSVRERFHQLFFQTRHGVLRPRVEVEDGTKCPICLEEMEKGEKLAACGTCRNVIHAECLMKWKRSKGRRAASCVICRARWRDRNDEERYLNLAAYVGEDDMAEDGVGICVG >Potri.002G010000.3.v4.1 pep chromosome:Pop_tri_v4:2:626564:629770:1 gene:Potri.002G010000.v4.1 transcript:Potri.002G010000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010000.v4.1 MAGSDENYPGVIGPGNVQGVLRGGVGKFPVATGTNRRALSNINRNIIGGPPYPCAVNKRGLSEEGICIKNPPIPVHRPITRKFAAQLANKQQQQYKPEEIKKPVLSEPISSEPEDCNIIDVEDYKSSNDFAVPMYVQHTEAMLEEIDRMDEVEMEDVEEEPIMDIDGCDKKNPLAVVEYVDDLYNFYKKAEISGFVASNYMEQQFDINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQPVARKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMVNTLQFNLSVPTPYVFMRRFLKASQCDTKLELLSFFIVELCLVEYDMLKFPPSLLAAAAIYTAQCTLSGTKQWSKTNEYYTSYSEEQLRECSRLMVNFHRNSGTGKLTGVHRKYSTSKFGYAVKNEPANFLLEAQF >Potri.002G010000.2.v4.1 pep chromosome:Pop_tri_v4:2:626563:629797:1 gene:Potri.002G010000.v4.1 transcript:Potri.002G010000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010000.v4.1 MAGSDENYPGVIGPGNVQGVLRGGVGKFPVATGTNRRALSNINRNIIGGPPYPCAVNKRGLSEKFAAQLANKQQQQYKPEEIKKPVLSEPISSEPEDCNIIDVEDYKSSNDFAVPMYVQHTEAMLEEIDRMDEVEMEDVEEEPIMDIDGCDKKNPLAVVEYVDDLYNFYKKAEISGFVASNYMEQQFDINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQPVARKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMVNTLQFNLSVPTPYVFMRRFLKASQCDTKLELLSFFIVELCLVEYDMLKFPPSLLAAAAIYTAQCTLSGTKQWSKTNEYYTSYSEEQLRECSRLMVNFHRNSGTGKLTGVHRKYSTSKFGYAVKNEPANFLLEAQF >Potri.002G010000.1.v4.1 pep chromosome:Pop_tri_v4:2:626394:630151:1 gene:Potri.002G010000.v4.1 transcript:Potri.002G010000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010000.v4.1 MAGSDENYPGVIGPGNVQGVLRGGVGKFPVATGTNRRALSNINRNIIGGPPYPCAVNKRGLSEREGICIKNPPIPVHRPITRKFAAQLANKQQQQYKPEEIKKPVLSEPISSEPEDCNIIDVEDYKSSNDFAVPMYVQHTEAMLEEIDRMDEVEMEDVEEEPIMDIDGCDKKNPLAVVEYVDDLYNFYKKAEISGFVASNYMEQQFDINERMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVQPVARKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRKEVLDMEKLMVNTLQFNLSVPTPYVFMRRFLKASQCDTKLELLSFFIVELCLVEYDMLKFPPSLLAAAAIYTAQCTLSGTKQWSKTNEYYTSYSEEQLRECSRLMVNFHRNSGTGKLTGVHRKYSTSKFGYAVKNEPANFLLEAQF >Potri.006G190000.1.v4.1 pep chromosome:Pop_tri_v4:6:19709217:19714646:1 gene:Potri.006G190000.v4.1 transcript:Potri.006G190000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190000.v4.1 MAFQDHHHTPQEMTFQLPQHHHLSASPSTGPTWLSNAVLRRHDDVLTQTRIEKPENNTNNGSEEELIDSVSDNWERAKCKAEILGHPLYEQLLAAHVACLRIATPVDQLARIDTQLAQSQDVVAKYSGVGRSHVVDEKELDQFMTHYVILLCSFKDQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSDANLYDGNLDGLDTMGFGPLVPTETERSLMERVRQELKHELKQDYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQTHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSSPSGSTSKSKRKK >Potri.006G190000.5.v4.1 pep chromosome:Pop_tri_v4:6:19709216:19714673:1 gene:Potri.006G190000.v4.1 transcript:Potri.006G190000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190000.v4.1 MAFQDHHHTPQEMTFQLPQHHHLSASPSTGPTWLSNAVLRRHDDVLTQTRIEKPENNTNNGSEEELIDSVSDNWERAKCKAEILGHPLYEQLLAAHVACLRIATPVDQLARIDTQLAQSQDVVAKYSGVGRSHVVDEKELDQFMTHYVILLCSFKDQLQQHVRVHAMEAVMACWELEQSLQSLTGVSPGEGTGATMSDDDDDQADSDANLYDGNLDGLDTMGFGPLVPTETERSLMERVRQELKHELKQDYKEKIVDIREEILRKRRAGKLPGDTTSLLKAWWQTHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSSPSGSTSKSKRKK >Potri.006G094100.1.v4.1 pep chromosome:Pop_tri_v4:6:7158972:7162694:1 gene:Potri.006G094100.v4.1 transcript:Potri.006G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094100.v4.1 MLRLLFWLTCALVLLASSVASAAIVEHSFYVKNLTVRRLCTEQVVTAVNGSLPGPTLRVQEGDTLKVHVFNKSPYNMTLHWHGVFQLLSAWADGPNMVTQCPIPPGGKYTYQFKLLKQEGTLWWHAHVSWLRATVYGALIIRPRSGHPYPFPKPDKEVPILFGEWWNANVVDVENQALASGAAPNTSDAFTINGLPGDLYPCSQNRIFKLKVQKGKTYLLRIINAALNNELFFKIANHNMKVVAVDAGYTVPYVTGVVVIGPGQTVDVLLAADQEVGSYYMAANAYSSAAGAPFDNTTTRGIVVYEGAPTSATPIMPLMPAFNDTPTAHKFFTNITGLAGGPHWVPVPRQIDEHMFVTMGLGLSICPTCSNGTRLSASMNNFSFVSPTTLSMLQAFFFNVSGIYTPDFPDTPPIKFDYTNASINALNPSLLITPKSTSVKVLKYNSTVEMVLQNTAILAVENHPMHLHGFNFHVLAQGFGNYDPVKDPKKFNLVNPQSRNTIGVPVGGWAVIRFTANNPGVWFMHCHLDVHLPWGLATAFVVKNGPTEDSTLPPPPADLPQC >Potri.011G077001.3.v4.1 pep chromosome:Pop_tri_v4:11:8226455:8233558:1 gene:Potri.011G077001.v4.1 transcript:Potri.011G077001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077001.v4.1 MGIQGLLPLLKSIMVPIHIKELEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIEYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARTRKENLVRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQVLRQENVSYVVAPYEADAQMTFLSVCKQVDAVITEDSDLIPFGCHRIIFKMDKYGQGVEFQNSRLQQNKDISFVGFTNEMLLEMCIFSGCDYQQSLPGMGLKRAHALITKFKSYDKVIKHLRYSTVSVPPLYEESFKKAILTFRHQRVYDPTMKDIVHLSDLPDNIGNDLEFLGPLIPQQIATGIARGDLDPFTQMPFQLQGESSSSVPVPNVTPKCKNYKLESGKKKLDLPVQKNLLTKYFCFSSLEAKRNFRAPQLSPTSPSLVIHTSSSPSNNGSEEAASCNTSCSSASLVVSKSDRNNLHSNNVESCFPDGVHEFMESPSPGMVDEKGPPESILLQQIGHSMRGPCQALLKECNNENAPISVEGKTRTENKRVIVRSRFFKHKSMNKNGQDNKQEMIRVKDDVASDTFEDSILEGSENRTGNREITIRSSFFQHKSVNENDQGNKQEKLLERDDSANNIYKDFILESPSDNKCSNGIMMKRKTSPDDNVQRNMEVKHMRTDASLPDDGTKLEERKFGSDISHISHYSNIAEKSMESFISVISSFRYNSSGSRASGLRAPLKDIRNTCTNRSNNAVDFSQFAYIPKNQKTTVASLRR >Potri.011G077001.2.v4.1 pep chromosome:Pop_tri_v4:11:8226455:8233531:1 gene:Potri.011G077001.v4.1 transcript:Potri.011G077001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077001.v4.1 MGIQGLLPLLKSIMVPIHIKELEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIEYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARTRKENLVRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQVLRQENVSYVVAPYEADAQMTFLSVCKQVDAVITEDSDLIPFGCHRIIFKMDKYGQGVEFQNSRLQQNKDISFVGFTNEMLLEMCIFSGCDYQQSLPGMGLKRAHALITKFKSYDKVIKHLRYSTVSVPPLYEESFKKAILTFRHQRVYDPTMKDIVHLSDLPDNIGNDLEFLGPLIPQQIATGIARGDLDPFTQMPFQLQGESSSSVPVPNVTPKCKNYKLESGKKKLDLPVQKNLLTKYFCFSSLEAKRNFRAPQLSPTSPSLVIHTSSSPSNNGSEEAASCNTSCSSASLVVSKSDRNNLHSNNVESCFPDGVHEFMESPSPGMVDEKGPPESILLQQIGHSMRGPCQALLKECNNENAPISVEGKTRTENKRVIVRSRFFKHKSMNKNGQDNKQEMIRVKDDVASDTFEDSILEGSENRTGNREITIRSSFFQHKSVNENDQGNKQEKLLERDDSANNIYKDFILESPSDNKCSNGIMMKRKTSPDDNVQRNMEVKHMRTDASLPDDAGTKLEERKFGSDISHISHYSNIAEKSMESFISVISSFRYNSSGSRASGLRAPLKDIRNTCTNRSNNAVDFSQFAYIPKNQKTTVASLRR >Potri.011G077001.1.v4.1 pep chromosome:Pop_tri_v4:11:8226478:8233556:1 gene:Potri.011G077001.v4.1 transcript:Potri.011G077001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077001.v4.1 MGIQGLLPLLKSIMVPIHIKELEGCSVAVDTYSWLHKGALSCSTQLCKGLPTSRHIEYCMHRVNLLRHYGVKPILVFDGGLLPMKIEQENKRARTRKENLVRAIEHESNGNSAAAYECYQKAVDISPSIAHELIQVLRQENVSYVVAPYEADAQMTFLSVCKQVDAVITEDSDLIPFGCHRIIFKMDKYGQGVEFQNSRLQQNKDISFVGFTNEMLLEMCIFSGCDYQQSLPGMGLKRAHALITKFKSYDKVIKHLRYSTVSVPPLYEESFKKAILTFRHQRVYDPTMKDIVHLSDLPDNIGNDLEFLGPLIPQQIATGIARGDLDPFTQMPFQLQGESSSSVPVPNVTPKCKNYKLESGKKKLDLPVQKNLLTKYFCFSSLEAKRNFRAPQLSPTSPSLVIHTSSSPSNNGSEEAASCNTSCSSASLVVSKSDRNNLHSNNVESCFPDGVHEFMESPSPGMVDEKGPPESILLQQIGHSMRGPCQALLKECNNENAPISVEGKTRTENKRVIVRSRFFKHKSMNKNGQDNKQEMIRVKDDVASDTFEDSILEGSENRTGNREITIRSSFFQHKSVNENDQGNKQEKLLERDDSANNIYKDFILESPSDNKCSNGIMMKRKTSPDDNVQRNMEVKHMRTDASLPDDGCDPHLKETLAGTKLEERKFGSDISHISHYSNIAEKSMESFISVISSFRYNSSGSRASGLRAPLKDIRNTCTNRSNNAVDFSQFAYIPKNQKTTVASLRR >Potri.016G055400.1.v4.1 pep chromosome:Pop_tri_v4:16:3681845:3686330:-1 gene:Potri.016G055400.v4.1 transcript:Potri.016G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055400.v4.1 MTFFCLVCLFLVGFLSKSQLVTAQLDEQAILLAIKGELGVPGWGANNTNYCNWAGINCGLNHSMVEGLDLSRLGLRGNVTLVSELKALKQLDLSSNSFHGEIPSAFGNLSQLEFLDLSLNKFGGVIPMELGSLRNLKSLNLSNNMLGGWIPDEFQGLEKLEDFQISSNKLNGSIPSWVGNLTNLRVFTAYENELGGEIPDNLGSVSELRVLNLHSNMLEGPIPKSIFAMGKLEVLILTMNRFNGELPESVGNCRGLSNIRIGNNDLVGVIPKAIGNVSSLTYFEVANNHISGEIVSEFARCSNLTLLNLASNGFTGVIPPELGQLVNLQELILSGNSLYGDIPKSILGWKSLNKLDLSNNRFNGTVPNDICNMSRLQFLLLGQNSIKGEIPHEIGNCMKLLELQMGSNYLTGSIPPEIGHIRNLQIALNLSFNHLHGALPPELGKLDKLVSLDVSNNQLSGTIPPSFKGMLSLIEVNFSNNLFSGPVPTFVPFQKSLNSSFFGNKGLCGEPLSLSCGNSYPSGRKNYHHKVSYRIILAVIGSGLAVFVSVTIVVLLFMLRESQEKAAKTAGIDDDKINDQPAIIAGNVFVENLRQAIDLDAVVKATLKDSNKISSGTFSAVYKAVMPSGMVLMARRLKSMDRTIIHHQNKMIRELERLSKLCHDNLVRPVGFVIYEDIVLLLHNYLPNGTLAQLLHESSKKSEYEPDWPTRLSIAIGVAEGLAFLHHVAIIHLDISSCNVLLDADFRPLVGEVEISKLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRIPVDEDFGEGVDLVKWVHGAPARGETPEQILDARLSTVSFGWRREMLAALKVALLCTDSTPAKRPKMKKVVEMLQEIKQG >Potri.003G173400.2.v4.1 pep chromosome:Pop_tri_v4:3:18130059:18134427:1 gene:Potri.003G173400.v4.1 transcript:Potri.003G173400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173400.v4.1 MEFSQDDFDRLLRFEHTRKSAEATYAKDPLDADNLTKWGEALLELSQFQTVAEAKKMINEAISKLEEAMMLNPTANAMWSIGNANTSYAFLTPDLSEAKNYFDKAADYFQQAVDEDSTNELYHKSLEVCAKAPELHMEIHKHSSSQQTMGGESSPSSNAKGSKKKANSDLKYDIFGWIILAVGIVAWMGIAKSHVPPPPI >Potri.013G075400.1.v4.1 pep chromosome:Pop_tri_v4:13:6329933:6334679:1 gene:Potri.013G075400.v4.1 transcript:Potri.013G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075400.v4.1 MMATASFIGLTTGKRLLSSSFYFSDLTEKLSNVNDHGVAHYQITTTKSVIVAKKSSKYGPSFPSSYRNPHSNKALKELIDTASASSTVATWFKTLDDFEEESSVLDYSVEALILLQKSMLEKQWNLSFERINSSDSKIKKSNKKIPVTCSGVSARQRRLNNKRKNQNQSKFMSRSSDFKLLRSDISPDLLLNRLKGYVKGVVSDEVLPHTEVVRLSRIIRAGLSLEDHKSSLKERLGCEPSDEQLADSLRISRADLQSQLIQCSLAREKLAMSNVRLVMSIAQKNDNMGAEMADLVQAGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVENPRTLRLPNHLHERLGLIRNAKIRLEEKGVTPSIDRIAESLNMSQRKVRNATEAISKVFSLDREAFPSLNGLPGETHHSYIADNRLENNPWHGVDEWALKEEVNKLIDSALQEREREIIRLYHGLDKECLTWEDISKRMGLSRERVRQVGLVALEKLKHAARKGKLEAMLVKH >Potri.009G128000.3.v4.1 pep chromosome:Pop_tri_v4:9:10532697:10534100:-1 gene:Potri.009G128000.v4.1 transcript:Potri.009G128000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G128000.v4.1 MAGFAGPIPDEESLNSGNRIQPFATTPMAEALKNEGGKTKQITWREILGLEDLLSLTVWRASVAELLGTAVLVFALDTIVISTIQTGTNMPNLILSTLVAIIITILLLATFPISGGHINPIITFAAFLTGLISLSKTFIYILAQCVGAIFGALALKAVVNSEIEKTYSLGGCTLTIVAPGPHGPTVIGLETSQALWLEIICGFVFLFASVWMAFDHRQAKGIGRVSVLIIVGIVLGLLVFVSTTVTATKGYAGAGLNPARCLGPAIVRGGHLWNGHWVFWVGPAIACVAFAVYTKIIPRQLAHTIE >Potri.001G051700.1.v4.1 pep chromosome:Pop_tri_v4:1:3894347:3899888:-1 gene:Potri.001G051700.v4.1 transcript:Potri.001G051700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051700.v4.1 MQSTFISPRATPPKPQMSFPTQPHFPTRLPPYSLRVKAQSVAVEPSQATTTVGQKLNKYSSRITEPKSQGGSQAILHGVGLSDADMSKPQIGISSVWYEGNTCNMHLLKLSEAVKRGVEEAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFNGHTYDIVSAFQVYGEYVSGSINDDERKNVIRNSCPGAGACGGMYTANTMASAIEALGMSLPYSSSIPAENQLKLDECRLAGKYLLELLKMDLKPRDIITRKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDVHKIGGTPAVLRYLLEHGFLDGDCLTVTGKTLAENVRNCPPLSEGQDIIKSLDNPIKKTGHLQILRGNLAPEGSVAKITGKEGLYFSGPALVFEGEESMIAAISEDPMSFKGKVIVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDCALLTDGRFSGGSHGFVVGHVSPEAQEGGPIGLVRNGDIINVDVREKRLDVQLTDMELEERRKNWTPPPYKATRGVLYKYIKNVQSSSKGCVTDE >Potri.007G019400.2.v4.1 pep chromosome:Pop_tri_v4:7:1475329:1481530:-1 gene:Potri.007G019400.v4.1 transcript:Potri.007G019400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019400.v4.1 MMQSQRHPFVESLPCSCIIIIVTAVVTQVLVGVASVAVPSSNCYALDNSSRIVDFSSWIGHFFEYEGKETDVVIRFCKDVEARSQTGYVDFGRFNNFNHFVAGSGRVDFVQGYYNGDLLNCEQSYDKLGRTAQVNIICGSCLNGQCKGELGCICNVTQESSCRIFVELAIPCEKPGPRVFEGFTVGFHPRSWEIVYNGLTQLGYEKSHHDFSFTTEQTQVTLYMTAIASLSTLVRKPMIKILPEKGLEVRLSGSGATGSPPTTLTPTLLNVEWTCQEARDTPYEVNVTIPIEGYEPIQFFLAKSCEHRQDQREDSTRGWAIFGIISCIFIVASTLFCVGGFIYKTRVERLHGIDALPGMTYLSACLETASGVGYGYSRPEENGSGYASEASWERPSASAQGTKKQSTRNYGSI >Potri.002G045200.8.v4.1 pep chromosome:Pop_tri_v4:2:2938118:2943478:1 gene:Potri.002G045200.v4.1 transcript:Potri.002G045200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045200.v4.1 MLARLGARRLLEIRQALRLTPQASRSFSTALNYHLNTPENNPDLPWEFTAANKEKVKEIISHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSPVGKYHLLVCGTTPCMIRGSREIEDALVKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRKGEKPPHGTQNPQRTKCGPEGGNTTLHGEPKPPPCRDLDAC >Potri.002G045200.7.v4.1 pep chromosome:Pop_tri_v4:2:2938134:2945358:1 gene:Potri.002G045200.v4.1 transcript:Potri.002G045200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045200.v4.1 MLARLGARRLLEIRQALRLTPQASRSFSTALNYHLNTPENNPDLPWEFTAANKEKVKEIISHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSPVGKYHLLVCGTTPCMIRGSREIEDALVKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRKGEKPPHGTQNPQRTKCGPEGGNTTLHGEPKPPPCRDLDAC >Potri.002G045200.4.v4.1 pep chromosome:Pop_tri_v4:2:2938134:2943829:1 gene:Potri.002G045200.v4.1 transcript:Potri.002G045200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045200.v4.1 MLARLGARRLLEIRQALRLTPQASRSFSTALNYHLNTPENNPDLPWEFTAANKEKVKEIISHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSPVGKYHLLVCGTTPCMIRGSREIEDALVKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRKGEKPPHGTQNPQRTKCGPEGGNTTLHGEPKPPPCRDLDAC >Potri.009G099400.4.v4.1 pep chromosome:Pop_tri_v4:9:8849517:8856465:-1 gene:Potri.009G099400.v4.1 transcript:Potri.009G099400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099400.v4.1 MEIDSAVEQPPPQQPITSATATDGVNQTQSPSGSMGKEESDGVKTVSLLSAARFDDDEEEEDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPARLPFQEFVVGMTMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSLGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDAEREDEGDRNGARAARRPPGQANRNVAGEVNAEDAGGAQGIAGAGQIIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYISWLFSSASVPVLSTVMPLTDTALSLANITLKNALTAVENLTSEGEDGGGVLGQVADMLNVNASGLNEVSNNISSSLSADILKGASVGTSRLSDVTTLAIGYMFIFSLVFFYLGGVALIRYTKGEPLTMGRFYGIASIAETIPSLFRQFLAATRHLMTMIKVAFLLVIELGVFPLMCGWWLDICTIRMFGKSMAQRVQFFSISPLASSLVHWVVGIVYMLQISIFVSLLRGVLRHGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLAGAEDNGGQDNGNVEQGRQDRLQAAQQGGQDRALVALAAADDQNSSTLAAGTSAEEDEIDEQSDSDRYSFVLRIVLLLVVAWMTLLMFNSTLIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYVIWTALAGARYSIEQIRTKRATVLFRQIWKWCSIVLKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDQMMPLVDESWRIKFERVREDGFSRLQGLWVLQEIVFPIIMKLLTALCVPYVLSRGVFPVLGYPLAVNSAVYRFAWLGCLCFSLLCFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNYGEYKEKQQNEAGTSSEAQISNSQGTGLIGEVDVGGIRLRRAIRDEA >Potri.009G099400.5.v4.1 pep chromosome:Pop_tri_v4:9:8850188:8856456:-1 gene:Potri.009G099400.v4.1 transcript:Potri.009G099400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099400.v4.1 MEIDSAVEQPPPQQPITSATATDGVNQTQSPSGSMGKEESDGVKTVSLLSAARFDDDEEEEDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYAENAPARLPFQEFVVGMTMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSLGEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDAEREDEGDRNGARAARRPPGQANRNVAGEVNAEDAGGAQGIAGAGQIIRRNAENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGVVIFVPFSLGRIILYYISWLFSSASVPVLSTVMPLTDTALSLANITLKNALTAVENLTSEGEDGGGVLGQVADMLNVNASGLNEVSNNISSSLSADILKGASVGTSRLSDVTTLAIGYMFIFSLVFFYLGGVALIRYTKGEPLTMGRFYGIASIAETIPSLFRQFLAATRHLMTMIKVAFLLVIELGVFPLMCGWWLDICTIRMFGKSMAQRVQFFSISPLASSLVHWVVGIVYMLQISIFVSLLRGVLRHGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDISVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLRYWFTAVGWALGLTDFLLAGAEDNGGQDNGNVEQGRQDRLQAAQQGGQDRALVALAAADDQNSSTLAAGTSAEEDEIDEQSDSEYSFVLRIVLLLVVAWMTLLMFNSTLIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYVIWTALAGARYSIEQIRTKRATVLFRQIWKWCSIVLKSSALLSIWIFVIPVLIGLLFELLVIVPMRVPVDESPVFLLYQDWALGLIFLKIWTRLVMLDQMMPLVDESWRIKFERVREDGFSRLQGLWVLQEIVFPIIMKLLTALCVPYVLSRGVFPVLGYPLAVNSAVYRFAWLGCLCFSLLCFCGKRFHVWFTNLHNSIRDDRYLIGRRLHNYGEYKEKQQNEAGTSSEAQISNSQGTGLIGEVDVGGIRLRRAIRDEA >Potri.012G143200.4.v4.1 pep chromosome:Pop_tri_v4:12:15436984:15442044:1 gene:Potri.012G143200.v4.1 transcript:Potri.012G143200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143200.v4.1 MPVVKPVSSIIDVMRPAEGNESSLDTFIRDAIGKQPLLSISRPNDNPVQWIQLLHALDQPDYPGWPLLTPLKAQMQKCSKCSREFCSSINYRRHLRVHHRLKRLDKDSAKNRDLLGAFWDKLSEDEAKEILSFKDVTFEEVPGSSIIRSLMTVIRKPGISSLTQSCWRAGSDLLDLVQGRPSRFPLSSGQLFSTLDDASENTFLCGTAVLIQKYIFDGGAGKIGLETKNIVACTSFVVEQKLINAWLADQDAEALRCQKLLVEEEEAAQRRQVELLERKRQKKLRLKEQKEKEQRLDDKVDDKECIEDTLEAVPQAEQSCPLAISDSDTLGSEILPDDVPSSLEPLQLPRTDEDFDLENQMGCGGGRSMLQGKSHMHIVVARWHGPLKSQRNHLSYGFHANQNSHAPKPGTIQKHGNLRDFKPGPVMNGNRKWSRKPKPEYNGESLKARVQKEVVTVLDHDKKGEVLIGSISVTLGDCSHDEGNNLDGARADYLVEHEILKKKNVQEKHNRPDSVQCGTNRSTVKLWRPVSRNGSKDLMLVENGSRECQHDNIDGKGEDQNFNDSSPRSCAMDNTFGGMENGSLPGGLLPGVLQFTSHEARAFLAERWKEAIAAEHVKLALSPDYQIATNHSSDTSKHNDISSAENQLFNVEAQESSTSGAGRAKYKTKPDNGVKLKYIPKQRTII >Potri.001G280400.1.v4.1 pep chromosome:Pop_tri_v4:1:29408122:29409140:1 gene:Potri.001G280400.v4.1 transcript:Potri.001G280400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX30 MWRPWAKSTVKIHDTSSSPFSFPTFKDIQNLCTEDHPSSHIKKSSSIFHRVRVANTFLRSLALSLPELKPGPEPENNASPTPNKTTTPPLQQQPSITIPGTDKRIVVYYTSLRVVRSTFEDCKIVLSILRGFRVLIDERDLSMDSSFLNELNQIFSNGGGCGRKLTLPRVFIGGRYMGGAEETRQLNESGELKKIIEGLPVADSGVCEVCCGYRFILCGQCNGSHKLYIEKAGFKSCTACNENGLIRCPSCAV >Potri.001G110900.1.v4.1 pep chromosome:Pop_tri_v4:1:8925688:8929815:1 gene:Potri.001G110900.v4.1 transcript:Potri.001G110900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110900.v4.1 MASSSSSSKQKSFSIERSKPLMLKDYLLDDQSSCSSNGFKSFPRRRCCTTVRLLLEIDLKTKQQQQPRQLFKRSKSKAASTTISALQKASVAVMKAVKLLPFPSPNSTVRSPSPSRTRKGLLPRSLSRKLFKKNFWRKAADQHGQCKERNEIRGWRLFGEFLEEQDKLSDQITSGISTSSSSNSNSNCNIWTTESEYTVDSGNSTCNSCRNDSVCNRKDLMIKEVSDRVSVSGGQDSITNRKEWPNEEEKEQSSPVSILDCPFQDEEEDIGSPFQRSPIRVEGTKQKLMQKIRRFESLAQLDPLDLEKRIAMAELEDESLESPVQHCSVSIHSDNDNDFKETKENGTEKHAQELLKHVKSTTSLASKVDSLLLDFFKEKIVENYAGGSMVGSYKEFEQELRVAQEWIDGQPQEMFLGWEMVERRHVYVKHMEKSGKWENVDQGKEEVALELEAEVFNSLVDEVLLDYILLN >Potri.019G007100.2.v4.1 pep chromosome:Pop_tri_v4:19:1559499:1560956:1 gene:Potri.019G007100.v4.1 transcript:Potri.019G007100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007100.v4.1 MEFEQLHHSIKPGSTQSIHEFSLESVFSLQTEDSIVLSAIQDDALYDDCMERLLEIETELMDFSSMTQDNVICLESSDDVLFELGHDMIQETTGDVSLLKEENSLLKGIHEELLDDSSLTDLLLMGAEAVEAQNWTLSSNIIAKLRNLLLDGENGGSSFNRLALFFTQGLHYKSITAPEMLLPRPGYRQQYNMSSFQVLQELSPCVKFAHFTANQAILESTQGDQEIHIIDFDIMEGIQWPPLMVDLTMRKDVSFKVTAIIGDQQDVAAVQQTGRRLKEYADSINLPFVFKQMMMLNEEDFESIEMGQALVVNCMIHQLHMPNRSFSSIKTFLGGVSRLSPKLVVLVEEELFSFYKFPYMSYEYLGVKIVDSVSQFPCKKKERLLWEEGFASLKGFKPVPLSSCNVSQANFLVSLFSGRFWVQHEKCRLSLCWKSRPLTTASIWVPKSEK >Potri.010G245600.1.v4.1 pep chromosome:Pop_tri_v4:10:22091748:22093105:1 gene:Potri.010G245600.v4.1 transcript:Potri.010G245600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245600.v4.1 MAVRQPPRSRSLFVPKTERIEEDEALILRVHLAGFSEKDIDCRIIAPSHYIRVCSYPALKNWHFNTLFESIPEKFNLYEAKTKFDDGIFTIKVPKVVSAEMSGARALEATARQEAPSTQESDASKSGSQKSEDVAANQQARTTNVDKEITEAKDVERVIFKGQDQILSEANFPLLFTRKKEIDDQSTVGQVGDRNAIEKDKEKSAESVDQEKEGEGKDMERRAESVDQEKEGEAKDTENATSSSSKSANDNVTTVVTERRYIVEKYTIISLIMAIGAYVFYNIHGQSRKK >Potri.005G218500.1.v4.1 pep chromosome:Pop_tri_v4:5:22124825:22128425:1 gene:Potri.005G218500.v4.1 transcript:Potri.005G218500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218500.v4.1 MANLSLSSTLQFPFKQDIFQSRKCSSVQLPSQNSQLGRSRSIDITGKTSTSAIPCVLSKTSESLTSIITELEKEQDHETNTNTKEPERKLADVWREIQGQDDWVGLLDPMDPLLRSELIRYGEMAQACYDAFDFDPFSKYCGSCRFIRRRFLESLGMAHHGYEVTRYLYATSNIDLSNFFKKSRWPKVWSNKANWIGYVAVSDDETTKCLGRRDISIAWRGTVTHLEWISDLMDFLKPINGNKIPCPDPTVKVEYGFLDLYTDKDENCRFCKYSAREQILSEVKRLTEMYADEEMSITITGHSLGSALAILSAYDIAETGLHVMQDGRALPVSVFSFSGPRVGNVRFKERIESLGVKVLRVVNVQDMVPKSPGLFFNEQVPPPLMKLAEGLPWAYSHVGVELALDHRNSPFLKQTSDPACAHNLEAHLHLLDGYHGKGHRFVLASGRDPALVNKACDFLKDHHLVPPNWRQDENKGMIRNNDGRWVQPERPKLDEHPLDTHDHLRKLGLASDH >Potri.005G206901.4.v4.1 pep chromosome:Pop_tri_v4:5:21164570:21164716:1 gene:Potri.005G206901.v4.1 transcript:Potri.005G206901.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206901.v4.1 MVIVDSKKPGVFKLVTPLNQFVISPYACEQREGDGDDDDDGVDVAPAA >Potri.010G112500.1.v4.1 pep chromosome:Pop_tri_v4:10:13221611:13237979:1 gene:Potri.010G112500.v4.1 transcript:Potri.010G112500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112500.v4.1 MAPTLSAMGSFRICCSGKWPTTIVFFKAERGYRSALSRNMRFNNFVFRISKFPSCSKHTFVERLFKQAGKHGEQSISNRSKLLNRVQALMDYDGFHDLIENETAAKQFRGNAKDDTDDFDVSLACKRFPSIVLGSSPPVELYDESEINSLLAAKILEGFLPNAMGVKCVDPDTLHEQLTSPHTENVNSSMPKELRGESSYILSEFREENTYTSKEIKEENLHSLPLYSPDMTLETEEKIVPKIGMEEYTTKVELESQVNLAYLDKPISCLPGLSTRQRRQLENCGFYTLRKLLQHFPRTYADLQNAHFGIDDGQYLISVGKVTSSRAVKASYSLAFAEVIVACEIINNESKHLIDDNNSGGKKTIYLHLKKYFRGTRFTCLPFLKKVEAKHKLGDVVCVSGKVRTMSTKGDHYEIREYNIDVLEDREDSSSIVEGRPYPIYPSKGGLNPDFLRDTISRAVRALLADVDPIPKEIIQDFGLLRLHEAYIGIHQPKNADEADLARKRLIFDEFFYLQLGRLFQMLEGLGSRMEKDGLLDKYSKPELNAVYVEEWSNLTKKFLKALPYSLTSSQLSASSQIIWDLKRPVPMNRLLQGDVGCGKTIVAFLACMEVIGSGYQAAFMVPTELLAIQHYEQLLNLLETMGEVQSKPSVALLTGSTPSKQSRMIRRDLQSGDISMVIGTHSLISENVEFSALRIAVVDEQQRFGVIQRGRFNSKLYHSPLSSRMSASNTDTSSEGDFHMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRVPVETYIFEGNYDGFEDVYKMMRDELEAGGRVYLVYPVIEQSEQLPQLRAAAADLEVISHRFQDYNCGLLHGKMKSDDKDEALKRFRSGVTHILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGARKSKCLLVASTTSSLDRLKVLEKSSDGFYLANMDLLLRGPGDLLGKKQSGHLPEFPIARLEIDGNILQEAHAAALKVLGESHDLERFPALKAELSMRQPLCLLGD >Potri.010G112500.5.v4.1 pep chromosome:Pop_tri_v4:10:13223228:13237994:1 gene:Potri.010G112500.v4.1 transcript:Potri.010G112500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112500.v4.1 MDYDGFHDLIENETAAKQFRGNAKDDTDDFDVSLACKRFPSIVLGSSPPVELYDESEINSLLAAKILEGFLPNAMGVKCVDPDTLHEQLTSPHTENVNSSMPKELRGESSYILSEFREENTYTSKEIKEENLHSLPLYSPDMTLETEEKIVPKIGMEEYTTKVELESQVNLAYLDKPISCLPGLSTRQRRQLENCGFYTLRKLLQHFPRTYADLQNAHFGIDDGQYLISVGKVTSSRAVKASYSLAFAEVIVACEIINNESKHLIDDNNSGGKKTIYLHLKKYFRGTRFTCLPFLKKVEAKHKLGDVVCVSGKVRTMSTKGDHYEIREYNIDVLEDREDSSSIVEGRPYPIYPSKGGLNPDFLRDTISRAVRALLADVDPIPKEIIQDFGLLRLHEAYIGIHQPKNADEADLARKRLIFDEFFYLQLGRLFQMLEGLGSRMEKDGLLDKYSKPELNAVYVEEWSNLTKKFLKALPYSLTSSQLSASSQIIWDLKRPVPMNRLLQGDVGCGKTIVAFLACMEVIGSGYQAAFMVPTELLAIQHYEQLLNLLETMGEVQSKPSVALLTGSTPSKQSRMIRRDLQSGDISMVIGTHSLISENVEFSALRIAVVDEQQRFGVIQRGRFNSKLYHSPLSSRMSASNTDTSSEGDFHMAPHVLAMSATPIPRTLALALYGDMSLTQITDLPPGRVPVETYIFEGNYDGFEDVYKMMRDELEAGGRVYLVYPVIEQSEQLPQLRAAAADLEVISHRFQDYNCGLLHGKMKSDDKDEALKRFRSGVTHILLSTQVIEIGVDVPDASMMVVMNAERFGIAQLHQLRGRVGRGARKSKCLLVASTTSSLDRLKVLEKSSDGFYLANMDLLLRGPGDLLGKKQSGHLPEFPIARLEIDGNILQEAHAAALKVLGESHDLERFPALKAELSMRQPLCLLGD >Potri.008G050100.2.v4.1 pep chromosome:Pop_tri_v4:8:2946305:2949881:1 gene:Potri.008G050100.v4.1 transcript:Potri.008G050100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050100.v4.1 MTGCIANLYESLESLNESYLQPNPNKDSLLCPIIVTKVTNSSFLLPYSNKKPENQKLYYCSQHPGYVSDVFNSFCSHCASPGYPRYRNQEVKFIGTSDSTTSSAKPNGEGGYVKALVTYMVTNDLSVSPMSMVSGVGLLNKFNIKDFGVLEEKVVDFGIDEGIELLKASLLSKDALTAVFLKQKSSPPGKNPSSQPQKQQQQQQLRFSGA >Potri.005G084900.9.v4.1 pep chromosome:Pop_tri_v4:5:5859344:5861289:-1 gene:Potri.005G084900.v4.1 transcript:Potri.005G084900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084900.v4.1 MSGEEEENAAELKIGDDFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Potri.005G084900.8.v4.1 pep chromosome:Pop_tri_v4:5:5859061:5861646:-1 gene:Potri.005G084900.v4.1 transcript:Potri.005G084900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084900.v4.1 MSGEEEENAAELKIGDDFLKAKCLMNCEVALILEHKYEQLQQMSDDPMNQVSQVFEKSLQYVKRFSRYKNPDAVRQVREILSRYQLAEFELCVLGNLCPETVEEAIAMVPSIKTKGRAHDDEAIEKMLNDLSLIKKFE >Potri.004G042600.1.v4.1 pep chromosome:Pop_tri_v4:4:3371566:3373969:-1 gene:Potri.004G042600.v4.1 transcript:Potri.004G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G042600.v4.1 MAANLLQLDDPGTTSKISSSSNIKSPKTKCPAPFLSKTYDLIEEGGADGVVDHPHGKRIVSWNADGDGFIVWSPAEFSELTLPRFFKHNNFSSFIRQLNTYGFKKTSSKQWEFKHEKFLRGRRHLLVEITRKKCEPSTFPAYLEASNRESATLAMEESNRLILMEENRNLRREKMELEIQIAQFKALEMKLLDCLTQDMGSHQNKTRRLC >Potri.001G220700.4.v4.1 pep chromosome:Pop_tri_v4:1:23024426:23028114:1 gene:Potri.001G220700.v4.1 transcript:Potri.001G220700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220700.v4.1 MGVQTMASQSNGQQSHMQPCQLMRQTSWYNLTLNEVENQMGNLGKPLCSMNLDELLKNVWSTEANQSMGMDSESTATSSLQRQASFTLARALSGKTVAQVWKEIQEGQKKRFGQEMKVQEREQTLGETTLEDFLVQAGLFAEATISPSLDLVTVAAVTPQCFPQNMVLSSSPSTGTLSDSTTSGWNRDAPEKSTERRLKRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKEFEKKFPIEPSPEQKYQLRRTSSAAF >Potri.001G220700.2.v4.1 pep chromosome:Pop_tri_v4:1:23024426:23027895:1 gene:Potri.001G220700.v4.1 transcript:Potri.001G220700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220700.v4.1 MGVQTMASQSNGQQSHMQPCQLMRQTSWYNLTLNEVENQMGNLGKPLCSMNLDELLKNVWSTEANQSMGMDSESTATSSLQRQASFTLARALSGKTVAQVWKEIQEGQKKRFGQEMKVQEREQTLGETTLEDFLVQAGLFAEATISPSLDLVTVAAVTPQCFPQNMVLSSSPSTGTLSDSTTSGWNRDAPEKSTERRLKRKIKNRESAARSRARKQAYHNELVSKVSRLEEENIKLKKEKEFEKKFPIEPSPEQKYQLRRTSSAAF >Potri.015G064400.1.v4.1 pep chromosome:Pop_tri_v4:15:9005787:9009559:-1 gene:Potri.015G064400.v4.1 transcript:Potri.015G064400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064400.v4.1 MERRKERGEENESDDSVREKRKEEETKRIIEGYPVEGLSIGGHETCIIFSSLNMAFDIGRCPQRAISQDFLFISHAHMDHIGGLPMYVATRGLYRMKPPTVVVPTCIKETVEQLFEVHRRLDGSELKHHLIALDVGQEFYVRKDLKVRAFKTYHAIQSQGYVVYSVKQKLKQEYLGLSGNEIKSLKSSGVEITNTVTSPEIAFTGDTMSDFIIDETNIDVLRAKVLVMESTFVDGTVTVEHARDYGHTHLFEIVNYADKFQNKAILLIHFSARYTVKEIQEAVQRLPQPLAGRVFALTEGF >Potri.002G059600.1.v4.1 pep chromosome:Pop_tri_v4:2:4106984:4110448:1 gene:Potri.002G059600.v4.1 transcript:Potri.002G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059600.v4.1 MGGRVIYSLMFFLLGLFLSSGSSVAEMLADENSRQADHGTKQVVVDASSISSTRKDITTPITTVPTIIPTTPTASTPVINPNSEPDSTSPATMTPMVTPTSTASPVSPGASWCIASQSASPTALQVALDYACGYGGADCSAIQPSGSCYNPNTLRDHASYAFNSYYQKNPVPSSCNFGGTAVTTSTNPSTGTCQFPSTSTSSSILNTTNSNGATVYGAVPSNPTPSVAARINETPHFMSVTFLIVFLAQLTSSLC >Potri.012G104900.1.v4.1 pep chromosome:Pop_tri_v4:12:12687547:12689821:-1 gene:Potri.012G104900.v4.1 transcript:Potri.012G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104900.v4.1 MAAFSYQHPPLFLDSVILPNITTPIMNMNNSMYWFYDEAGGINSNSFYQVYPPETFHEAPLDVRFHEFSHHDHSSKVSLSDNETSLTKKQSTGSSTVVDKLETGEQVTQEVTPVDRKRKTTNGSLNSAQSKDVKEVKSKRQKKCRGDMKQEEKRPKAVKKVPEEPPTGYVHVRARRGQATDSHSLAERVRREKISERMKMLQRLVPGCDKVTGKALMLDEIINYVQSLQNQVEFLSMKLASVNPMFYDFGMELDAFMVRPERLSSMSPPLPSLQQCSPIQPTAFADAAAAATTTATPTTSFATANNYPLIDNSTSLLLQGMRPSAFTTEDSCNLMWDVDERRQKFLSPSGLTSNLCSFH >Potri.003G163402.1.v4.1 pep chromosome:Pop_tri_v4:3:17290425:17290977:1 gene:Potri.003G163402.v4.1 transcript:Potri.003G163402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163402.v4.1 HLTKAWLRHRGPSRGYRLPIAPPIKASSPAANDTSIVVNSSFSSVFPAEACETIGGEACDVEMYPEVKLKPDARNTPRSTSEQIDREYLEYNSPKKVFQEEACDDLGGEFCDPGYQG >Potri.019G018200.2.v4.1 pep chromosome:Pop_tri_v4:19:3019463:3021443:1 gene:Potri.019G018200.v4.1 transcript:Potri.019G018200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018200.v4.1 MGRAPCCEMMGLKKGPWTPEEDQILVSYIRSYGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSNEEEETIIKLHQILGNRWSAIAARLPAGRTDNEIKNYWHSHLKKRFQQNMGRPTEHCRKTPERAMVNNTSSSQPASFKVHQSKCQRQSPSDNAPIFQEASSQEMFSSMATMEAMNGFSGKNDIEEFWYELLVKAGNSGEAFESWGQFG >Potri.001G254600.1.v4.1 pep chromosome:Pop_tri_v4:1:27074063:27080031:-1 gene:Potri.001G254600.v4.1 transcript:Potri.001G254600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254600.v4.1 MATENKPKSSASVTTNSKKRKQRYLPHNKPVKKKGSYPLHPGVQGFFITCDGGRERQASREAINVIDSFYEELVFGKDTSVKLAELPNKPINKKIKFSYSDVEGDDDEEKEEDEEDGLDENKSGAPEANDAKNENPADEKLGSPCLENESSECQRREKTNQEEGCKNDKKQESEAEELPAKKKCTETCAPKSVGQEKVEEKSIDKLIEDELKELGDKNKRHFLSLDSGCNGVVFVQMRKIDGDPCPKDIVQHMMTSAASTRKHMSRFILRVLPIEVACYASEDEISRAIAPVVEKYFPVDTQDPQKFAVMYEARANSGIDRMKIINSVAKCVPGPHKVDLSNPDKTIVVEIVKTLCLIGVIEKYKELSKYNLRQLTSSK >Potri.005G130700.6.v4.1 pep chromosome:Pop_tri_v4:5:10041126:10044594:-1 gene:Potri.005G130700.v4.1 transcript:Potri.005G130700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130700.v4.1 MAAEKPSSKGQAWFCTTGLPSDIVIEVEDMTFHLHKFPLTSRSRKLHQLITEQETNPTTSTTQRDDHQEETEEERDEIEEILCQISLLDFPGGSETFEMAAKFCYGVKVDLNSSIIAPLRCAGEFLEMTEEYSEDNLISKTERFFSQSVLKSLKESIKALKSCERVMPLAESLGITERCIDSIASRASSVDPALFGWPVSEAANENVRAGSSQALWNGIGSTVRRKGSGAKSNNADSWFDDLTLLSLQLFKRLILAMKVGDLNPEIVESCLMYYAKKHIPGISRSNRRPSSSSSSSIASEREQREVLETIVSHLPLHKSSRSSTTTRFLFGLLRTANILNAAEECQSTLEKKIGLQLEQATLDDLLIPSYSYLNETLYDVDCLERILGHFLDGLEEERNAGEIEAGDDGGGGNVRPPTLMLVGKLIDGYLAEIGSDANLKSDRFYNLAISLPEQARLFDDGLYRAVDVYLKSHPWTSEAEREKICGIMDCQKLTIEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLIAVEADSARPSLLRRREEEIEVEVEAEAEAEAEAEAGAMQEGNSNAWRGAVRENQGLRLDMDSMRTRVHQLERECSNMKRVIEKIDKEGPREHNGGWRGSLTRRFGCKFKTQVCDSHEQAVVNARKGRQHHHHHQQ >Potri.005G130700.5.v4.1 pep chromosome:Pop_tri_v4:5:10040757:10044329:-1 gene:Potri.005G130700.v4.1 transcript:Potri.005G130700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130700.v4.1 MAAEKPSSKGQAWFCTTGLPSDIVIEVEDMTFHLHKFPLTSRSRKLHQLITEQETNPTTSTTQRDDHQEETEEERDEIEEILCQISLLDFPGGSETFEMAAKFCYGVKVDLNSSIIAPLRCAGEFLEMTEEYSEDNLISKTERFFSQSVLKSLKESIKALKSCERVMPLAESLGITERCIDSIASRASSVDPALFGWPVSEAANENVRAGSSQALWNGIGSTVRRKGSGAKSNNADSWFDDLTLLSLQLFKRLILAMKVGDLNPEIVESCLMYYAKKHIPGISRSNRRPSSSSSSSIASEREQREVLETIVSHLPLHKSSRSSTTTRFLFGLLRTANILNAAEECQSTLEKKIGLQLEQATLDDLLIPSYSYLNETLYDVDCLERILGHFLDGLEEERNAGEIEAGDDGGGGNVRPPTLMLVGKLIDGYLAEIGSDANLKSDRFYNLAISLPEQARLFDDGLYRAVDVYLKSHPWTSEAEREKICGIMDCQKLTIEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLIAVEADSARPSLLRRREEEIEVEVEAEAEAEAEAEAGAMQEGNSNAWRGAVRENQGLRLDMDSMRTRVHQLERECSNMKRVIEKIDKEGPREHNGGWRGSLTRRFGCKFKTQVCDSHEQAVVNARKGRQHHHHHQQ >Potri.005G130700.7.v4.1 pep chromosome:Pop_tri_v4:5:10040753:10044094:-1 gene:Potri.005G130700.v4.1 transcript:Potri.005G130700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130700.v4.1 MAAEKPSSKGQAWFCTTGLPSDIVIEVEDMTFHLHKFPLTSRSRKLHQLITEQETNPTTSTTQRDDHQEETEEERDEIEEILCQISLLDFPGGSETFEMAAKFCYGVKVDLNSSIIAPLRCAGEFLEMTEEYSEDNLISKTERFFSQSVLKSLKESIKALKSCERVMPLAESLGITERCIDSIASRASSVDPALFGWPVSEAANENVRAGSSQALWNGIGSTVRRKGSGAKSNNADSWFDDLTLLSLQLFKRLILAMKVGDLNPEIVESCLMYYAKKHIPGISRSNRRPSSSSSSSIASEREQREVLETIVSHLPLHKSSRSSTTTRFLFGLLRTANILNAAEECQSTLEKKIGLQLEQATLDDLLIPSYSYLNETLYDVDCLERILGHFLDGLEEERNAGEIEAGDDGGGGNVRPPTLMLVGKLIDGYLAEIGSDANLKSDRFYNLAISLPEQARLFDDGLYRAVDVYLKSHPWTSEAEREKICGIMDCQKLTIEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLIAVEADSARPSLLRRREEEIEVEVEAEAEAEAEAEAGAMQEGNSNAWRGAVRENQGLRLDMDSMRTRVHQLERECSNMKRVIEKIDKEGPREHNGGWRGSLTRRFGCKFKTQVCDSHEQAVVNARKGRQHHHHHQQ >Potri.012G057300.1.v4.1 pep chromosome:Pop_tri_v4:12:5651403:5655717:1 gene:Potri.012G057300.v4.1 transcript:Potri.012G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G057300.v4.1 MGCSFSGLNALYDAVNGGGDVWINENRFRIVRQLGEGGFAYVYLVKEVVNVSSPASSGGRAAVGGGLSKKVKDKSHLSVDGTYAMKKVLIQNNEQLEFVREEIRVSSLFNHSNLLPLLDHAIISVKATQEGSWNHEAYLLFPVHLDGTLLDNSAAMKSKKEFFSTTDVLQIFRQLCAGLKNMHNLDPPYAHNDVKPGNVLLTHRKGHSPLAILMDFGSARPARKQIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPAGPKPPYPEALHQFVTWMLQPQAAVRPHIDDIIIHVDKLISKFSN >Potri.014G081000.1.v4.1 pep chromosome:Pop_tri_v4:14:5222410:5227400:1 gene:Potri.014G081000.v4.1 transcript:Potri.014G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081000.v4.1 MATTLSISAGNCGKERPCIELGSNASIVKDVFGENLIRSCKFRRGNGYRCKGVRVIARTKKWKKHEYPWPDNIDPNITSGHLSYLSHFKPLTEKPKPVTLPFEKPLIDLEKRIIEVRRMADETGLDFSDQILALENKYQQALKDLYTHLTPIQRLSIARHPNRPTVLDNIFNITEKWVELHGDRAGYDDPAIVTGIGTIDGKSYMFIGHQKGRNTKENIARNFAMPTPHGYRKALRMMKYADHHGFPIITFVDTPGAFADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVTGEGGSGGALAIACCNKMLMLENSAFYVASPEACAAILWKSSQAAPKAAEKLKITAQEHYRLKIADGIIPEPLGGAHVDPAWTSQQIKLAIIQTMEELTKMDKEELLHHRKLKYRYIGGFQEGVPVEPERKRNMKLSEVNKPMTDDIELELENLKKKILESNSATDPISSQAIEKLKQDLDQEITNAFISMGLQEKLESVKLELSKASDDQPNKPPNRHLKEKVDQIMQEFQHNLARPGAYLGLKQKLEKLNMVGKLIELKEKSEKLKAEINQKVPDELKAKMKLLKDAQEKLSKGEAIDKDLVEQTERAKQELIEFLKSVGLEIVGVTKRNVGPPPPSLQEKITKVNKEIAEEIERVINVAGLGDKVEELKSEIARGSSSEKVEKMQAEIRKEILAALDAMTCKEKLENLRVELASTSGKAEDKVVAENGRL >Potri.014G065500.3.v4.1 pep chromosome:Pop_tri_v4:14:4047275:4048061:1 gene:Potri.014G065500.v4.1 transcript:Potri.014G065500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065500.v4.1 MRVSPFDTHQRVMSTFFAALFIYATTSVAEVILRTQKSVHQRLVGNIRLFASALATILLLVTLSLIVSCIISVLWACFFVKLAYESCQDLCQLLSQTTDEVLRVKIIEIKYKKEATILNNLFIMNA >Potri.010G192700.4.v4.1 pep chromosome:Pop_tri_v4:10:18782323:18785618:1 gene:Potri.010G192700.v4.1 transcript:Potri.010G192700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192700.v4.1 MQEKKTEMANKKPKKANLLDHHSIKHILDESVSEIVTSRGYEEDVRMSNIRLFFGTIIIVIALFAQFYNKKFPQNREFLIGCIVLYIVFNGLLQLIIHLKEKNAILVTYPPKGSFTSTGLVVSSKLPRFSDEYTLTIASADPKSISAGKPVQFTKSVTQWYLSVTLTLTPQNFNEVHAQNFNEVN >Potri.010G192700.3.v4.1 pep chromosome:Pop_tri_v4:10:18782304:18785945:1 gene:Potri.010G192700.v4.1 transcript:Potri.010G192700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192700.v4.1 MQEKKTEMANKKPKKANLLDHHSIKHILDESVSEIVTSRGYEEDVRMSNIRLFFGTIIIVIALFAQFYNKKFPQNREFLIGCIVLYIVFNGLLQLIIHLKEKNAILVTYPPKGSFTSTGLVVSSKLPRFSDEYTLTIASADPKSISAGKPVQFTKSVTQCFTKDGVLVEGLFWKDVEALINDYAAEPKKSK >Potri.005G097000.3.v4.1 pep chromosome:Pop_tri_v4:5:6910265:6912881:-1 gene:Potri.005G097000.v4.1 transcript:Potri.005G097000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097000.v4.1 MGINIPTSTLRSTDRTIPLLGYGTAEYPFGASIETMKESILHAIELGYRHFDSASLYQSEVPLGEAISDALTLGLIKSRDELFITSKLWCSDGHKDLVLPALQKTLENLQLEYLDLYLIHWPVSSKPGEYVFPVKEKDLLPMDFQSVWEAMEECQKLGLAKSIGVSNFSCKKLENLLATAKIPPAVNQVEISPLWQQKRIREFCEEKGIHVTAYSPLGAKGMLWGTNNVMECQVLKEIAAARGKSIAQICLRWVHEQGVSVLVKSFNKERIKQNLDIFDWKLSQEDLKRMSQIPQQRACVAAAFVSEKGPYKSVDEFWDGEI >Potri.005G097000.4.v4.1 pep chromosome:Pop_tri_v4:5:6910265:6912881:-1 gene:Potri.005G097000.v4.1 transcript:Potri.005G097000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097000.v4.1 MGINIPTSTLRSTDRTIPLLGYGTAEYPFGASIETMKESILHAIELGYRHFDSASLYQSEVPLGEAISDALTLGLIKSRDELFITSKLWCSDGHKDLVLPALQKTLENLQLEYLDLYLIHWPVSSKPGEYVFPVKEKDLLPMDFQSVWEAMEECQKLGLAKSIGVSNFSCKKLENLLATAKIPPAVNQVEISPLWQQKRIREFCEEKGIHVTAYSPLGAKGMLWGTNNVMECQVLKEIAAARGKSIAQMGT >Potri.011G092600.1.v4.1 pep chromosome:Pop_tri_v4:11:11933194:11936134:-1 gene:Potri.011G092600.v4.1 transcript:Potri.011G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092600.v4.1 MGFLVTTLIFVVVGIIASLCTRICCNRGPSTNLLHLTLVLTATVCCWMMWAIVYLAQMKPLIVPILSEGE >Potri.015G034150.1.v4.1 pep chromosome:Pop_tri_v4:15:2799902:2800508:1 gene:Potri.015G034150.v4.1 transcript:Potri.015G034150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034150.v4.1 MKLLWFFLRFFCLALLFSTSSQRMIVNRRSALAPDPREGRDVDQPRPGPPAPGGSSSTRP >Potri.012G019300.2.v4.1 pep chromosome:Pop_tri_v4:12:2055686:2059186:1 gene:Potri.012G019300.v4.1 transcript:Potri.012G019300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019300.v4.1 MADSYWRYAGDSRQQPPQSMSSLTGKRPRIDYDIPSGRDLSSYYSRDDDRGALHVIRDSDSIGASYDRYLRSGTVSSYGGGQSARAMSGVPSHPVDDTRMVSMGPMDPGASVKDRSLRMGSGRSEVSLPPDASSTLFVEGLPSDCTRREVSHIFRPFVGYKEVRLVSKESRHPGGDPLVLCFVDFLSPAHAATAMDALQGYRFDEHDRDSFHLRLQFARYPGARSGGGHRGKR >Potri.012G019300.4.v4.1 pep chromosome:Pop_tri_v4:12:2055637:2059127:1 gene:Potri.012G019300.v4.1 transcript:Potri.012G019300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019300.v4.1 MADSYWRYAGDSRQQPPQSMSSLTGKRPRIDYDIPSGRDLSSYYSRDDDRGALHVIRDSDSIGASYDRYLRSGTVSSYGGGQSARAMSGVPSHPVDDTRMVSMGPMDPGASVKDRSLRMGSGRSEVSLPPDASSTLFVEGLPSDCTRREVSHIFRPFVGYKEVRLVSKESRHPGGDPLVLCFVDFLSPAHAATAMDALQGYRFDEHDRDSFHLRLQFARYPGARSGGGHRGKR >Potri.001G469600.1.v4.1 pep chromosome:Pop_tri_v4:1:49342543:49343387:-1 gene:Potri.001G469600.v4.1 transcript:Potri.001G469600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469600.v4.1 MYAISSTPALTTAATAAATANIVQKTFLPSSITTSSTRSLRGFRIKASVSTFPDTIRVDSRNSSLSLYEILRVNPTASQVEIKTAYRSLAKVYHPDAMLDRDDEPSEGVDFIEIHNAYETLSDPAARAVYDMSLSAAARDFYRRAVGYSGGYYTTRRWETDQCW >Potri.009G133500.1.v4.1 pep chromosome:Pop_tri_v4:9:10829527:10833057:1 gene:Potri.009G133500.v4.1 transcript:Potri.009G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133500.v4.1 MSTSTSSSLYPNIDMKDVAHNNKNEAVSPLGSNSEAHEEILIKIPGSIVHLIEKDRSVELACGDFFIVSLKQGETTVAVFARVDDDIRWPLARDEAAVKLDESHYFFTLRVPENESDGGELNKGEVELLNYGVSFASKGQKGLLKKFDKILESYSLFSVQEVKKSGGKSKVIDWNVAKEISPDDLEKNKELMEKSSAAYWTVLAPNVEDYSSCIARTIAAGSGQLIRGILWCGDVTVDRLKWGDGFFKKRIRKSKDSDISPGTLRRIKRAKNLTKMSEKVAVGILSGVVKVSGSVTSPIVNSKAGKKFFSLLPGEVILASLDGFIKVCDAIEVAGKNVLSTSSAVTTGLVSHRYGEDAAEVTHEGLDAAGHAIGTAWVVFKIRRALNPKSFFKATTLVKDTAKANAAKMKASKNKK >Potri.019G035500.1.v4.1 pep chromosome:Pop_tri_v4:19:4850523:4850702:1 gene:Potri.019G035500.v4.1 transcript:Potri.019G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G035500.v4.1 MEALKMRVFLAIMVVLMALSAVQDVAAADAPAPSPTSDATTFVPAAFASLVALAFGLLF >Potri.005G026800.1.v4.1 pep chromosome:Pop_tri_v4:5:1693020:1698514:-1 gene:Potri.005G026800.v4.1 transcript:Potri.005G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026800.v4.1 MISFESDAKNSNEEEEEKPRVSEQEVNNVRVSKVEEEEEEEGSRVSELRSESSFDFEEREQNNRLAVGDYKSLWSEFDDFVANEKNEAMEGTSRALSYGFEVGDMVWGKVKSHPWWPGHIFNEAFASSSVRRTRREGHVLVAFFGDSSYGWFDPAELIPFDANFAEKSQQTNSRTFIRAVEEATDEASRRSALGLACKCRNKYNIRPANVAGYFAVDVPDYEPGGVYSVNQIMKVRDGFKPGEALAFVKQLAAGPHGCDQDGLEFIKNKARVSAFRKAVFEEFDETYAQAFGVHNSRPLNDTAKVSNQLAKEPARAPLSGPLVIAEALGGEKSSKKPIKVKEHSKRDKYLLQRRDEPNDPGTFEIGQRQASSSSPAIHVEGSSAAEAGDYVLQKRAPAPHISEKHEQSPFITKEGVDSSEDGAGKAALLSNQAPGYGGASLNAKPSLDNQDAVKEIKGEPGSDVADNLKSVGWSDFSGKEQLKGVSGCTSPTFQEQEGIVDLKYEESAKASRSNEVSQQTELNFSARAEGDSGLSKVQDGGPGSHLSPLNASQSGGTSTGTGVKKVKVVKRPTGPLSSETSIMGEKKKKRKKELGAETNPDHPKKRLATGKGGVAGISSGKSTQISMSPGEDFQLNSQKKDVGASNTLPNSIELELPQLLSDLHALALDPFHGAERNSPSVTMSFFLRFRSLVYQKSLALSPPSETELVEARGAKSSSNIGASDYSASENSRGLTSSKPAKSLARLDDPTKAGQKRLPSDRQEEIAAKRLKKITHLKSLASGKKAGQRSLDTQRAEGKEPVATQRAEGKPPAATQRAEGKQPVAQAPRKLVKPDSYKKMEPPVRDTEPTMLVMKFPPETSLPSAAQLKAKFARFGSIDQSAIRVFWKSSQCRVVFRRKLDAQAALRYAVGNKSLFGNVNVRYNLREVGAPASEAPESEKSRGDDTSVDATQAKDPLVERQAAAFAHQPPSQSAGQLKSILKKPNGEEAVPVPGGNGGRGTRVKFILGGEETNRGEQMMVGNRNNFNNNASFADGGAPTTTVAMDFSSKNFQKVIPPSPLPILPLPTQFANDPLNNSHHHTEVPPRNLHNFIIPPPSSGPSTPSMDISQQMLSLLTTCNDLVTSVSGLLGYMPYHPL >Potri.003G136500.1.v4.1 pep chromosome:Pop_tri_v4:3:15398620:15400101:1 gene:Potri.003G136500.v4.1 transcript:Potri.003G136500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136500.v4.1 MEEARAKPVCAEEALNLLNCVAQSPYDQDKCVRLLQTLRECVLNKKVKKFSLADQDQQEANSVFKKS >Potri.008G168200.1.v4.1 pep chromosome:Pop_tri_v4:8:11631462:11632061:1 gene:Potri.008G168200.v4.1 transcript:Potri.008G168200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168200.v4.1 MAGFGWSLRHGNTLGSKRPLLPSQIACRICDHVFTSVQALIDHIESHMVEDVETARWKNGLSFSSSRADPLANPFSFGPSTPSRSPQTGFLGHSRYNFLSSTERNPAFSPSTPQNIASAQPIIRAPQSQRSSLARNKYTVGRSQHVPFALPTQPKLVMEEPHSIDRTRPFLRQLERPFSSGGNHGKRSYAETLDLTLKL >Potri.005G184001.1.v4.1 pep chromosome:Pop_tri_v4:5:19018682:19021223:-1 gene:Potri.005G184001.v4.1 transcript:Potri.005G184001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184001.v4.1 MALKGQLSWNLHGLRYDDAILLWCFCQAWVTLDRAQLNFGEPDRATENFLRAIAIKFAWVENNLNVKCWSLSESLFFLSSPLLSSTILLNCMANSSSQSSKKEKHNVAPTSKVSVAIFYFLHLGCRESDSF >Potri.014G156200.2.v4.1 pep chromosome:Pop_tri_v4:14:11023537:11026744:1 gene:Potri.014G156200.v4.1 transcript:Potri.014G156200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156200.v4.1 MSFPIQFFSVFNMQQTSLSLFTWHWRPVSQTVFPENLLEDDKRPTIDVFICTVDPNKESTVAVMSTIYCRPWLWTILPGSFMYICQMVVVLL >Potri.017G112000.4.v4.1 pep chromosome:Pop_tri_v4:17:11973162:11974962:-1 gene:Potri.017G112000.v4.1 transcript:Potri.017G112000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112000.v4.1 MFSSTSSTIHLFQSLSSSPFNPPPYITDHETTDIFHQHHHHIDPLTIPSVSTNPLPVTETVMNMAASNNSMMSERDVTALDGELYNRSHFLAGKKSVKKDRHSKIYTAQGLRDRRVRLSIEIARKFFDLQEMLGFDKASKTLDWLLTKSKYAIEELAKNGGEKCLSSTSTNCEVVSESGDLEDRVPSESQKQKMKKMQNMAVDLLVKESRAKARERARERTRVKMCTRRLHETKKCPDFSCLKQPSPLNQHQSWEKSSSYNGTSSMKVVARGQVEDPSSHHSLANHSPKGNIIEESIVMRRKLRPSATMGYQQNLLMLKEASCNNNSNSNSSYLPNLAQNWDISSAIAHSSFRPFTTMNPSTEIQLNGKLWGTGNN >Potri.017G112000.2.v4.1 pep chromosome:Pop_tri_v4:17:11968752:11974962:-1 gene:Potri.017G112000.v4.1 transcript:Potri.017G112000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112000.v4.1 MFSSTSSTIHLFQSLSSSPFNPPPYITDHETTDIFHQHHHHIDPLTIPSVSTNPLPVTETVMNMAASNNSMMSERDVTALDGELYNRSHFLAGKKSVKKDRHSKIYTAQGLRDRRVRLSIEIARKFFDLQEMLGFDKASKTLDWLLTKSKYAIEELAKNGGEKCLSSTSTNCEVVSESGDLEDRVPSESQKQKMKKMQNMAVDLLVKESRAKARERARERTRVKMCTRRLHETKKCPDFSCLKQPSPLNQHQSWEKSSSYNGTSSMKVVARGQVEDPSSHHSLANHSPKGNIIEESIVMRRKLRPSATMGYQQNLLMLKEASCNNNSNSNSSYLPNLAQNWDISSAIAHSSFRPFTTMNPSTEIQLNGKLWGTGNN >Potri.017G112000.3.v4.1 pep chromosome:Pop_tri_v4:17:11968751:11974963:-1 gene:Potri.017G112000.v4.1 transcript:Potri.017G112000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112000.v4.1 MFSSTSSTIHLFQSLSSSPFNPPPYITDHETTDIFHQHHHHIDPLTIPSVSTNPLPVTETVMNMAASNNSMMSERDVTALDGELYNRSHFLAGKKSVKKDRHSKIYTAQGLRDRRVRLSIEIARKFFDLQEMLGFDKASKTLDWLLTKSKYAIEELAKNGGEKCLSSTSTNCEVVSESGDLEDRVPSESQKQKMKKMQNMAVDLLVKESRAKARERARERTRVKMCTRRLHETKKCPDFSCLKQPSPLNQHQSWEKSSSYNGTSSMKVVARGQVEDPSSHHSLANHSPKGNIIEESIVMRRKLRPSATMGYQQNLLMLKEASCNNNSNSNSSYLPNLAQNWDISSAIAHSSFRPFTTMNPSTEIQLNGKLWGTGNN >Potri.007G066100.1.v4.1 pep chromosome:Pop_tri_v4:7:8339452:8342241:-1 gene:Potri.007G066100.v4.1 transcript:Potri.007G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066100.v4.1 MHTHIPPFVFTNHHHPRALSSFHLLDFLQKNKIMAKSPSTPIHLLVMLLVTYFLCTMGKSNALTCPLPPELATKLHVDPVAIDSASTDYGNIVHSTPAAVLYPSSIEDIQILVNSSYNCPIPFGISVRGNGHSVNGQDMARDGVVVDMKSLREDKNGIKIRVSKNHLFADVGGEQLWIDVLHTTAAQGLSPVSWTDFLYLSVGGTLSNAGVSGQTFLHGPQISNVYELDVITGKGELVTCSKRNNSDLFDSVLGGLGQFGIITRARIALRSAPTKVRWSRAFYSNFSDFIRDQERIVRGGQRDVANYLEGSLMLDNGTPTEWITSFFHPTQLPQIMSLVKTYGIIYCLELTKYYFIEDIESEIDQDLQQVFKDFSHVPGLINAKFVSYQEFLTRVPNAENESQTHPWQNLFIPQSRISDFNVGVLRDIVLKRNITTGPVLFYPLNRHKWDAELSAVIPDEDIFYTTSFLHTSGIDNWQVYEDQNQAVIKFCEEAGIKIVKYLADYTTIEEWIKHFGSKWTTFRERKAQYDPKNILSPGQKIFNAV >Potri.007G066100.2.v4.1 pep chromosome:Pop_tri_v4:7:8339452:8342241:-1 gene:Potri.007G066100.v4.1 transcript:Potri.007G066100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066100.v4.1 MHTHIPPFVFTNHHHPRALSSFHLLDFLQKNKIMAKSPSTPIHLLVMLLVTYFLCTMGKSNALTCPLPPELATKLHVDPVAIDSASTDYGNIVHSTPAAVLYPSSIEDIQILVNSSYNCPIPFGISVRGNGHSVNGQDMARDGVVVDMKSLREDKNGIKIRVSKNHLFADVGGEQLWIDVLHTTAAQGLSPVSWTDFLYLSVGGTLSNAGVSGQTFLHGPQISNVYELDVITGKGELVTCSKRNNSDLFDSVLGGLGQFGIITRARIALRSAPTKVRWSRAFYSNFSDFIRDQERIVRGGQRDVANYLEGSLMLDNGTPTEWITSFFHPTQLPQIMSLVKTYGIIYCLELTKYYFIEDIESEIDQDLQQVFKDFSHVPGLINAKFVSYQEFLTRVPNAENESQTHPWQNLFIPQSRISDFNVGVLRDIVLKRNITTGPVLFYPLNRHK >Potri.006G223500.2.v4.1 pep chromosome:Pop_tri_v4:6:22848618:22853025:1 gene:Potri.006G223500.v4.1 transcript:Potri.006G223500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223500.v4.1 MDGGASYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVSFYFGSRFGFDKADRKRLFNMINDLPTVFEVVTGTAKKQVKEKSSVSNHSSNKTKSNSKRGSESQGKFSKVMQAKDEDGEGLDEEDEEEHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Potri.012G115900.1.v4.1 pep chromosome:Pop_tri_v4:12:13374727:13380557:-1 gene:Potri.012G115900.v4.1 transcript:Potri.012G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115900.v4.1 MHTCAPPHHFSSLKFPELHGSSKLNNLQVLRSFGLSKRSFKVFAVAASSSSSMSEASNYIPAAPIFLPEGPWQQIPGGVTAAKGFKAAGIYGGLRAKGEKPDLALVTCDVDATAAGAFTTNMVAAAPVLYCKNALDISKTARAVLINAGQANAATGDAGYQDVLESAGALAMLLKLKPEEVLIESTGIIGQRIKKGALLNSLPKLVNSLSPSIEGAGSAAVAITTTDLVSKSVAIESQVGGTNIKVGGMAKGSGMIHPNMATMLGVITTDALVNSDVWRKMVQISVNRSFNQITVDGDTSTNDTVIALASGLSGSISISNINCHEAMQLQACLDAVMQGLAKSIAWDGEGATCLIEVTVTGAESEAKAAKIARAVASSSLVKAAVYGRDPNWGRIAAAAGYAGIPFHQNNLRIMLGDILLMDNGQPLSFDRSAASNYLRKAGEIHGTVGIYISVGDGPGSGQAWGCDLSYDYVKINAEYTT >Potri.010G217200.1.v4.1 pep chromosome:Pop_tri_v4:10:20403228:20403501:-1 gene:Potri.010G217200.v4.1 transcript:Potri.010G217200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217200.v4.1 MGSATFLEVILAIILPPVGVFLRYGCGVEFWICLLLTILGYLPGIIYAIYVLVG >Potri.006G193900.4.v4.1 pep chromosome:Pop_tri_v4:6:20122717:20126042:-1 gene:Potri.006G193900.v4.1 transcript:Potri.006G193900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193900.v4.1 MADTTASKHLSSISGGNIITDMKNLSSLLKTRRTVTFAYGFMFAFVAFTIFLAFSPSPNSSSPWFTNIFSTSTSTASSDSHRSQFSSIFSYFLPNNTSAATSQEQGRGFSSMPSQNTTRSNDTLSPNSRTEVKDPISVKNLTQSTVLQPNRTSNSSVVIKEPAFANNQTQTAVNSDKGQVLKPNQTTVANPTTIQVAANQSENTPTKSGSLVKEGSGNQDKGDAGKTVSSNFTGDAGKTVSSNFTASLVKKQSNATKQSNETNSGKEVKQGFDNRVQNLANCDFFDGEWVKDDSYPLYKPGSCSLIDEQFNCIINGRPDKDYQKYKWKPKGCTLPRLNPRHMLDMLRGKRLVFVGDSLNRNMWESLVCILKGSVKDQSKVFEVNGRHHFRGEASYSFLFKDYNCTIDFFVSPFLVQEWEMHEKDGSMKETLRLDLVGRSSSQYKSADIIVFNTGHWWTHDKTSKGKDYYQEGNHVYNELNVLEAFRKALTTWARWVDANVDPMKSLVFFRGYSASHFR >Potri.006G193900.1.v4.1 pep chromosome:Pop_tri_v4:6:20122649:20126171:-1 gene:Potri.006G193900.v4.1 transcript:Potri.006G193900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193900.v4.1 MADTTASKHLSSISGGNIITDMKNLSSLLKTRRTVTFAYGFMFAFVAFTIFLAFSPSPNSSSPWFTNIFSTSTSTASSDSHRSQFSSIFSYFLPNNTSAATSQEQGRGFSSMPSQNTTRSNDTLSPNSRTEVKDPISVKNLTQSTVLQPNRTSNSSVVIKEPAFANNQTQTAVNSDKGQVLKPNQTTVANPTTIQVAANQSENTPTKSGSLVKEGSGNQDKGDAGKTVSSNFTGDAGKTVSSNFTASLVKKQSNATKQSNETNSGKEVKQGFDNRVQNLANCDFFDGEWVKDDSYPLYKPGSCSLIDEQFNCIINGRPDKDYQKYKWKPKGCTLPRLNPRHMLDMLRGKRLVFVGDSLNRNMWESLVCILKGSVKDQSKVFEVNGRHHFRGEASYSFLFKDYNCTIDFFVSPFLVQEWEMHEKDGSMKETLRLDLVGRSSSQYKSADIIVFNTGHWWTHDKTSKGKDYYQEGNHVYNELNVLEAFRKALTTWARWVDANVDPMKSLVFFRGYSASHFSGGQWNSGGQCDSEAEPIKNVTYLRQYPPKMLVLEKVLRNMKAHVTYLNVTQMTDYRKDGHPSVYRKQNLSPEERKSPLHFQDCSHWCLPGVPDAWNEILYANLLVNEKQKQQAQKRHR >Potri.002G013900.1.v4.1 pep chromosome:Pop_tri_v4:2:857078:861962:-1 gene:Potri.002G013900.v4.1 transcript:Potri.002G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013900.v4.1 MATISALDKPLQYPIVRRDDTVIDDYHGVKIADPYRWLEDPDAEEVKEFVQEQVKLTESVLKACDTREKLRETITKLFDHPRYDAPSKRGNKYFYFHNTGLQAQDVLYVQDSLEGEAEVLLDPNELSEDGTVSLNSYTISASEDAKYLAYGISKSGSDWVTIKVMRIEDKIVEADTLNWVKFTSIKWTHDSKGFFYGRYPTPKEGENLDAGTETNSNLYNELYYHFMGKNQSEDILCWRDPENPKYAFGADVTDDGKYLLLYIGEGCDPVNKVYHCDMSAFSDGLEGFKGGKSLLPFTKLIDNFDARYHEIANDGTSFTFLTNKDAPKYKIVRVDLKEPSSWIDVIPESEKDVLESAYAVDGDKMIVSYLSDVKHVLQIRDLKTGSLLHQLPIDIGSVTGISAQRKDSTVFIEFTSFLTPRIIYQCNLDTGVPDLKIFREISVPGFDRTEFHVDQLFVTSKDDTKIPTFIVAKKNIKLDGSHPCLLYGYGGFNISLTPSFSVSRTVLTRHLGAVFCIANIRGGGEYGEEWHKAGSLARKQNCFDDFISVSEYLVSAGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALARVGVMDMLRFHKFTIGHAWTSDYGCSDKKEEFGWLIKYSPLHNVRRPWEQHPEQPSQYPPTMILTADHDDRVVPLHSLKLLATMQYILCTSLEKSPQTNPIIGRIECKAGHGAGRPTQKLIDEAADRYSFMARMLGASWNE >Potri.016G095900.7.v4.1 pep chromosome:Pop_tri_v4:16:9486283:9494283:1 gene:Potri.016G095900.v4.1 transcript:Potri.016G095900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G095900.v4.1 MGVVARKGMLVYKIYRALSYVVSPLLQLHLRWRKIRGLEHPTRLPERLGRPSLTRPPGPLLWFHAVSLGEGMAAIPVIKECVKWRPDLNILLTTTTMSAFEVIINQLPTGVLHQFSPIDTPAAMDAFLDYWNPNAIMLLESELWPNLIMASSRKGILLALLNARVSMKSFKLWSSPVLFPLISLLLSKFSLIIPLSSMQAIHFQLLQAPPFIINFAGDLKYVVEYDASKEEFRSIDDLKVQLGHRKVWMASSIHRGEEEVMLGVHKVLKQVYPDLVTIIVPRYPQHGKDIAQKLQKEGQHVALRSQHQRIVPGRNIYVVDTLGELRHLYRLTPIAVIGGSFFPGLAGHNISEAAAAGCAVLTGYHVGHFSHMLREMQRLNPLSVLQVAGKLELEEAILKFFSDGKVLEARQTASKQAFHALSNGIIANAWNVLYFHVLKQALLKETDNR >Potri.016G095900.1.v4.1 pep chromosome:Pop_tri_v4:16:9486283:9494181:1 gene:Potri.016G095900.v4.1 transcript:Potri.016G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G095900.v4.1 MGVVARKGMLVYKIYRALSYVVSPLLQLHLRWRKIRGLEHPTRLPERLGRPSLTRPPGPLLWFHAVSLGEGMAAIPVIKECVKWRPDLNILLTTTTMSAFEVIINQLPTGVLHQFSPIDTPAAMDAFLDYWNPNAIMLLESELWPNLIMASSRKGILLALLNARVSMKSFKLWSSPVLFPLISLLLSKFSLIIPLSSMQAIHFQLLQAPPFIINFAGDLKYVVEYDASKEEFRSIDDLKVQLGHRKVWMASSIHRGEEEVMLGVHKVLKQVYPDLVTIIVPRYPQHGKDIAQKLQKEGQHVALRSQHQRIVPGRNIYVVDTLGELRHLYRLTPIAVIGGSFFPGLAGHNISEAAAAGCAVLTGYHVGHFSHMLREMQRLNPLSVLQVAGKLELEEAILKFFSDGKVLEARQTASKQAFHALSNGIIANAWNVLYFHVLKQALLKDNR >Potri.013G131900.1.v4.1 pep chromosome:Pop_tri_v4:13:13795602:13796187:1 gene:Potri.013G131900.v4.1 transcript:Potri.013G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131900.v4.1 MPMKKSSVFAASVAAASAAALSVSSSSPSFNSNVKEAGSNKDQQGPASMEKFTPRFDGLRFIETLITAHR >Potri.012G077000.1.v4.1 pep chromosome:Pop_tri_v4:12:10056766:10062197:1 gene:Potri.012G077000.v4.1 transcript:Potri.012G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077000.v4.1 MAQKRQPEEGKPRSDGNNSPDEKRRRFDLKSVVEDVIKMQSVQHLLEPVLEPLIRSVVKEEVELALRKHLANMKRNIGKEIGSSESKSLKLLFANNLSLPVFTGARIEGEEGPVLKVVLMDTLTGKIVNSGPESSSRVEIVVLEGDFDGDEGENWTPEEFKTNIVREREGKKPLLTGDVLLNLKEGICLVGEISFTDNSSWTRSRKFRLGVRAVDNFDGTSIRESKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINSVKDFLTLLFIDPSRLRYILGTGMSAKMWEVTVEHARTCVIDKRIFLYCPPVSQQKTGVVFNVVGQVIGLLSECQYVPLDKLSETEKADAQNLVITAFEHWEQVISFDDEASLVGGSSQLSDVRYTSSSPRTENSCGSKFLASQKIEGFDYAQPSASSPDIMSSIYTVGGGSGLDDYALQGIESLGLRYDQTLNFPSQVSNSLICDTDSLVHAFCDEEHLRFFDTDLQTQNLGLETQADLQSAVDSFLLARSTAVAVDKAKRRWAKISSVLKWFSIRKLVATRKNGVRGIYRY >Potri.011G040200.1.v4.1 pep chromosome:Pop_tri_v4:11:3117719:3119100:1 gene:Potri.011G040200.v4.1 transcript:Potri.011G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040200.v4.1 MMSISQKYQNLCLKRGAWDPDEDQILRAYIMRYGTRNWNEMPKAAGLLRSGKSCRFRWMNYLRPDIKRGNFSKEEVQTIIKLHEMLGNRWSAIAEKLPGRTDNDIKNFWNTHWRKSFNNNISNTAVQAPKLEGTQTSEEESKQRKSSNIIDVSFPTAPKILNLEDQYSSKGTLHMLPIRSTDNISSSSSSHVNGINENKRVEDNVGSLESIGELSSLDQPSSMEAQMGKVEDYGEAYTDQMWVQELLDYPNASHNFDAGQEFWMNCLMQTQLHGD >Potri.012G082100.1.v4.1 pep chromosome:Pop_tri_v4:12:10735435:10739678:-1 gene:Potri.012G082100.v4.1 transcript:Potri.012G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082100.v4.1 MQSTAFTPSSSSSLSPLKPRRLVANPIYSLPSRFDPIRAFSSSSSKRHDPDSNNVVFPRRSWSLSSASNSSLSRPWNPLVSERKMERFEVKATAVPESAGEGKEKSSLTKTLELGLLFGLWYLFNIYFNIYNKQVLRVFPNPVTITAAQFTVGTVLVACMWTFNLYKKPKVSGAQLAAILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGEMPTLWVVGSIIPIVGGVALASVTEASFNWAGFWSAMASNLTNQSRNVLSKKVMLKKEESMDNITLFSIITIMSFILLAPVTIFMEGVKFTPAYLQSVGLNVKEVYTRAFLAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVLFFKTPVSPINSLGTGIALAGVFLYSRVKSIKPKPKTA >Potri.002G017600.9.v4.1 pep chromosome:Pop_tri_v4:2:1073196:1076059:1 gene:Potri.002G017600.v4.1 transcript:Potri.002G017600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017600.v4.1 MDRYQRVEKPRADKTIDENEIRITSQGRMRSYISYAMTLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQITSIGSTDITDTWEPLEEGLLPLETTRHVSMITINLSKKELNTSSAGYQPPLPAEQVKAFTEFEYEGDGSPRGRGRGRGGRGRARGRGNGFVSAEHEDGGWDRNRGYPRGRGRGRGRGFRGRGRGGFNGPHADTQQDGGHNYEGPPQGRGGYNYEAPPQGRGGYNYEAPPQGRDGYNYEAPPHGRGRGRGRGNRGRGRGFRSNGPIPAAA >Potri.002G017600.12.v4.1 pep chromosome:Pop_tri_v4:2:1073196:1076112:1 gene:Potri.002G017600.v4.1 transcript:Potri.002G017600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017600.v4.1 MDRYQRVEKPRADKTIDENEIRITSQGRMRSYISYAMTLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQITSIGSTDITDTWEPLEEGLLPLETTRHVSMITINLSKKELNTSSAGYQPPLPAEQVKAFTEFEYEGDGSPRGRGRGRGGRGRARGRGNGFVSAEHEDGGWDRNRGYPRGRGRGRGRGFRGRGRGGFNGPHADTQQDGGHNYEGPPQGRGGYNYEAPPQGRGGYNYEAPPQGRDGYNYEAPPHGRGRGRGRGNRGRGRGFRSNGPIPAAA >Potri.001G178200.1.v4.1 pep chromosome:Pop_tri_v4:1:15557463:15563801:1 gene:Potri.001G178200.v4.1 transcript:Potri.001G178200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178200.v4.1 MNLEDPLQRYSGLSLLHRSLGSLSKPPCDPDPHHDDDLLSAHNFLKSLPVKNSDKLVEQAKSILDATSGLVNADLPNDAMPEDKIEVVVQKAAESPRARRPGLGRKRARFSLIHNSSQPSVILEPTLDMDNLKDPEQFFLAFERLEDAKKEMAKQTGRVSIGSNQSSMAMAPRPRRPGMPGRSRTVKYQHLYPTMSFQETSIEDILSPSNPGSQQETVSQAVALQLMESTNVALEESELAASMAKAEKRVDKLLDELLACDCEELDGDGAVTLLQDRLQVKSLDIEKLNLPELLYVQRTNLNALGGNLPKPRNVLSHIHNLPRRTLTPMKQQIAGNSTSSFGSPAPPKSQLASLALLRKHILQSNPPTNPVLKSLIIEEDDTTAGNSSPTEVAVKALNDNLTSLGSGSDVRPSKSSAEVENSNVGVDNGITYEYLSQLGGDADVQTNGPNELEDMVEDIQQKAVDKSLNGNLSSLGSGSIVCPSKTSAEVENSNIGVDDGVIDENSSLRGGDVDIQTNRRNELEDMPEDTAMEYLNPRDQFEQLSAAFVEDHAMDSCPETQDRDLEQTKANTPKHNNERVEKPPVVSTNKQTKEKSCTAKGRKYRSLSRRQSLAASGTSWETGVRRSTRIRSRPLEYWKGERFLYGRIHGSLATVIGIKYESPQNDKGKPALKVKSFVSDEYKNLVELAALH >Potri.006G197600.1.v4.1 pep chromosome:Pop_tri_v4:6:20533606:20540082:-1 gene:Potri.006G197600.v4.1 transcript:Potri.006G197600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G197600.v4.1 MELSFSPPSSLGISALNTHRNTSSHQPKIPIKPTSKSFDLFFKSSKPFPSSTEIYSLKRSLKLAPNRHYRRNPIWACSQAGAAGSDPMLNKISDFKDACWRFLRPHTIRGTALGSTALVARALIENTNMIKWSLVLKAFSGLFALICGNGYIVGINQIYDIGIDKVNKPYLPIAAGDLSVQSAWLLVIFFAVAGVLIVGLNFGPFITSLYCLGLFLGTIYSVPPLRFKRFPVIAFLIIATVRGFLLNFGVYHATRAALGLPFEWSSPVAFITTFVTLFALVIAITKDLPDVEGDRKYNISTLATKLGVRNIAFLGSGLLLVNYVGAVLAAIYMPQDFSRSLMIPAHTILALSLVFQMWVLEQANYTKEAISGFYRFIWNLFYAEYIIFPFI >Potri.009G148900.4.v4.1 pep chromosome:Pop_tri_v4:9:11764562:11765635:1 gene:Potri.009G148900.v4.1 transcript:Potri.009G148900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148900.v4.1 MKLSLNYKALLLILLVLVYSSKLSARFLLSKQGQEEVNVDGITSEGTEDSELMNQLTGLELCDGGDEECLTRRIIAEAHLDYIYTQNHKP >Potri.010G111300.1.v4.1 pep chromosome:Pop_tri_v4:10:13132072:13137074:1 gene:Potri.010G111300.v4.1 transcript:Potri.010G111300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111300.v4.1 MSHQSTFNPSKTLEGVHGIHVVPHSPFALKEINQQGDFPQSTCESSGNGLNQLLLMQRVWQQRPGCLRPIQGCIHGDQHLAETVANVITSLPFIALGIQAPRKNLNTKLYANSLIGVGVASSLYHSSRGKLRKYLRWFDYTMIATATVCLSRALRNENPKFLMAASAALLPIQPLMVSAIHTGMMEVAFAKRALKDPDLRMAHNLHKMSSLLGGVLFIADDCFPSTPFLHAGWHLAAAIGVGTCNKLLK >Potri.001G164300.1.v4.1 pep chromosome:Pop_tri_v4:1:13948411:13948818:1 gene:Potri.001G164300.v4.1 transcript:Potri.001G164300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164300.v4.1 MEKFSKLTKLKSAIKRLPSFTKIGRTNSSIAAFNNDHDQYDQSDDHVDGKISNGLHAVYVGKSRRRYLVRSDVICHPLFQGLMDRSGAGSGDEEDNQVVVVACEVVLFEHLLWMLESGGSQLGSMEELAEFYYTC >Potri.019G070900.3.v4.1 pep chromosome:Pop_tri_v4:19:11209041:11212443:-1 gene:Potri.019G070900.v4.1 transcript:Potri.019G070900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070900.v4.1 MRNRAIKPIQTAKNVENVLSITDEEQQPLSPMARMFHEPDSNVYIIIIIGFQTKINPEVMRANLGNTLLKHPRFSSLQVPDEKNGGQLKWVRTEVDLDNHVKFPTIDPNMDFPDMYVEDYVSNLSKTKIRMSIPMWDLHLLNIKTSNAESVGILRVHHSIGDGTSLMSLFMSFTRKASDPEALPTFPISKKQKPCSSSGGLLQHFIKLFSVLLIYWNTLVDIVMFLITIFFLDDTKTPLKGPLGVGSTPRRIVHRTVSLEDVKLVKNAMNATINDVMVGVTQGALSRYLNRKYGKNKKDGGVAEANSNLPKNIRLRATSFVNLRPHLVNESAAEKTKSSSNVRLGKLIGYVLFPFTIALREDALDYVRSAKATGKRKKASLEAVYTYFMAKTFLKLFGTKLASFPTQTTLWFSNVAGPSEEITLYGHQVAYIAPTCFGQPNALMIHVVSYANKMNIILSVDEGIVPDPHQLCDDLEESLKLIKDAVICKGLVDCRVY >Potri.014G007450.1.v4.1 pep chromosome:Pop_tri_v4:14:546530:546891:-1 gene:Potri.014G007450.v4.1 transcript:Potri.014G007450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007450.v4.1 MILPGSNIVVGPYAGHAHIKEVEFVKSRGAAKYCPRDELSEFAILGRSNVGKSSLINAFVRKKEVALTSKKPEKIQLINHFW >Potri.017G035700.2.v4.1 pep chromosome:Pop_tri_v4:17:2370811:2373292:-1 gene:Potri.017G035700.v4.1 transcript:Potri.017G035700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G035700.v4.1 MALAFIYSLQNVWPFSILKVDDLKASNEIVRKLSIPENTKRFVFAVRDPKSQSVIYILCAQNLSERSAVDVECLVREVRPDAVVAQVGHSALVDIQTEESELGNIVDELVPTSSFGVIKRCFLEKINKEKYEDVAGNLVLREMFGTSFHGHILAARRVAKEVGSSFLVLETSSIDTVIGDINSSEADTGSKFHAFVSSLVPQNVGSIALQSSKRFSLDDNVQSRMVKLLSSYMDVSLWKLSPSSSVSESGLKEIQPGNTFQVPPFAQSVYPLLLDLHNIFIDLPFIGRALAFAQKMLDDVNRGEAVDTQIISEVHTFRVAVEGLRIALNSAGRLPIKEAGKPNKTKVEFSELQVQDKSYALIAQALQSQTRNFKTIVAVVDASGLAGIRKHWNTPVPPEVKDLVGKLVTNCESDGEVPNHDEKRRLLSNKPMVAVGAGATAIFGASSLSKVVHASTFMKVVTFKFPTALKLLLIQTQKIMAISMGKTLGPTKLLAPGLANSGANATSALKAAVSAEKIRTVVHSVIASAEKTSFSTMRTAFYEIMRKRQVQPIGVLPWTAFGCSVATCSALLMYGDGIECAVESLPAAPSIASLGRGIQSLHQASQVVVQTDGTRIQTSIESLMNRLRKVKMQ >Potri.007G133700.3.v4.1 pep chromosome:Pop_tri_v4:7:14647362:14649396:1 gene:Potri.007G133700.v4.1 transcript:Potri.007G133700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133700.v4.1 MFIFGDSIFDSGNNNYINVNVSYRANYWPYGETFFHYFPTGRFTDGRLIVDFIATKIGQPFVPPYLQPGINFTNGVNFASAGAGVFPEANPEVISLGMQLSNFKNVAISMEEQIGDKEAKKLLSQAVYASCVGANDYSYFVDNFPNATQLEQDEYVNNTVASNYLHIELLRLLFLFHCRHLQELYNLGARKFAILNIGPRGCQPAARQSEELRGDECDEVSLEMIKKHNSAASKAIKELESKLSGFKYSIADFYTILLDMIKHPKDYGFKESRYSCCGHGMYNAAHCGIEPYTLCKNPSEYLFFDGWHPTEHGYRILADRFWNGKPSIAAPYNFRQLFDLESTPIILSEEHEVPHYE >Potri.001G369900.1.v4.1 pep chromosome:Pop_tri_v4:1:38760571:38762668:-1 gene:Potri.001G369900.v4.1 transcript:Potri.001G369900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369900.v4.1 MMSSSSLSSSLHCFPPPSPTFQNLKNNDRLIHNFNTPLELKQLHARLIKTNTPLSILPLTKVAFVCALSPSFSYAHQIFSHLLEIPQTSIWNSCLIRFAEGDCPSNALLLFYQMRLFDVLPDTFTCSFVLKACLKLSDVVNGKTIHGLFQKLGFGSNLFLQNMILNLYGLCGEMGDAMLLFEKMPQRDAVTWNIVIAQLAKRGDIDGAYGFFLRMPNKNVRSWTSMISGFVQCGKPNEAIDLFMKLEDEAVRPNEVTVVSVLAACADLGDLDLGRIVHEYSTKSGFKRNVHVCNTLIDMYVKCGCLENARRVFYEMEERTVVSWSAMIAGLAMHGQAEEALCLFSEMIKLGVKPNGVTFIGLLHACSHMGLIDEGRRFFASMTADYGVIPQIEHYGCVVDLFSRAGLLEEAHEFILSMPIKPNGVVWGALLGGCKVHKNIDLAEEAIKHLSELDPLNDGYYVVISNIYAEAERWEDAARVRKLMKDRGVKKTSGWSSITVNGVVHEFVAGDQTHPQAEDICKIWDKLLVKMKRRGYAPKTSVVLLDMEEKEKEKFLYRHSEKLAVVFGLMTTPEGTPIRIMKNLRVCEDCHAALKIISGIVSREIIVRDRNRFHCFRDGQCSCRDFW >Potri.010G208100.1.v4.1 pep chromosome:Pop_tri_v4:10:19797940:19799899:-1 gene:Potri.010G208100.v4.1 transcript:Potri.010G208100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208100.v4.1 MAPPNSVKILELCQVAPACSSTNPSNTEFTLPLTFIDIIWLKFPPAESIFFYELTESSPTVFKSIILPKLKKSLSHALVNFLPLAGNLIWPQDSPKPIILCTPNDAVSLTIAESSAEFGRLSSNEIREAIESRPYIPELCISDTKASVLALQITLFPNKGFSISFVTHHAVLDGKTTAMFIKAWVYICKHQELEKNDQPPLLPDELIPSFDRSVIKDVARVETAHLNYWSVMNELVLKSDRRSLKVLPNMGESGPSDLVRATFGLSREDIKFLRKKVQSQFDSILKEEQNHPKQMHLSTFVLTCAYTLASMMKAIGDGSKKVYFLFTVDLRSRSLDPPIPNNYFGNCIAGQHVAAEARFFMEENGVAMIAERFSEIIKGMEIRGFFEGAKERLVDLASLEPGTHCLGVAGSTRFDFYRSDFGWGEPKKVEIASIDRTSSISLLESRDGITGGVEIGLVLKRHEMETFASLFVNGLKDY >Potri.005G235200.1.v4.1 pep chromosome:Pop_tri_v4:5:23340375:23344054:-1 gene:Potri.005G235200.v4.1 transcript:Potri.005G235200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235200.v4.1 MNLFRSRVSSSIVSTMGEEQGSSASASTATSSSRAKTRSTWPSILRWIPTSTDHVIGAEKRLFSLVKTPYVVEQVNIGSGPPGSKTRWFRSKSDEPRFINTVTFQSKEDSPTLVMVHGYGASQGFFFRNFDALASRFKIIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSNFILLGHSFGGYVAAKYALKHPEHVKQLILVGSAGFSSESDSKSEWLAQFRATWKGAILNHLWESNFTPQKVVRGLGPWGPGLVRRYTTARFGAYSTGVVLAEEESKLLTDYVYHTLAAKASGELCLKFIFSFGAYARKPLLQSASEWKVPTTFIYGFEDWMSYEGAQQARQHMKVPCEIIRVPQGGHFVFIDNPTAFHSAVFYACRMYISPNLETEHLPEGLTLA >Potri.005G102100.1.v4.1 pep chromosome:Pop_tri_v4:5:7399595:7402961:-1 gene:Potri.005G102100.v4.1 transcript:Potri.005G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102100.v4.1 MSCSSSSASEEDEEGIDSYRKGGYHAVRVGDQFSGGRYIAQRKLGWGQFSTVWLAYDTRSSKYVALKIQKSAAQFAQAALHEIELLSAIANSDPSNSKCVVQLIDHFKHAGPNGQHQCMVLEFLGDSLLRLIRHNRYKGLELDKVREICKCIVTGLDYLHRELGIIHSDLKPENILLFSTIDPAKDPIRSGLTPILERPEGNHNGTSTMTLIEKKLKRRAKRAVAKISGRRVSMGGAVQKPERSLDGIDVRCKVVDFGNACWADKQFAEEIQTRQYRAPEVILRSGYSFSVDMWSFACTAFELATGDMLFAPKDGQDYSEDEDHLALMMELLGKMPRKIAIGGALSKDYFDRHGDLKRIRRLKFWPLDRLLVEKYKFSENDAREFAEFLCPLFDFTPEKRPTAQQCLQHPWLNLKSSTQNETKSESKVAKLGVGVSNLKVGK >Potri.008G174300.2.v4.1 pep chromosome:Pop_tri_v4:8:12035987:12039444:1 gene:Potri.008G174300.v4.1 transcript:Potri.008G174300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174300.v4.1 MAFHSILHAVLTSPLEKELIKMKKEPTWDKDFTINVKLPLTKNLQVAAWDANLVTPHKRMGNTGIGLEYLCDGNLHEVLINLEGMGGGGKLQLEMKGEKELIEKILQTEIYLFSSMRDGKGILHHREDFYYITLLENVRSNYQTIGRVESSERDSFSTS >Potri.004G208400.1.v4.1 pep chromosome:Pop_tri_v4:4:21539348:21548842:1 gene:Potri.004G208400.v4.1 transcript:Potri.004G208400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208400.v4.1 MSASQTLGGPSRCGRSLGPSLDKIVKNAAWRKHSHLVSSCKSVLDKLESLTDSNSHSPLFGLSPSDAEFVLHPILLALDSAYVKVVDPALECLFKLFSSGLIRGEIDNGSKNVIIFKIIESVCKVCGIGDEAVELSVLRVLLSAVRSPCVLIRGECLVHVVRTCYNVYLGGLTGTNQICAKSVLAQVMLIVFTRVEEDSMDVNVKPVSVSEMLLFTDKNLNEGSSIHFCQNFVNEVMTASEGVPDDKLLLLHSPPSDKLQNGSGGAGDDNDKVGEGDHKSELRDKEANGEAETDGGVGGSGGVEAEGSKIREDGFLLFRNLCKLSMKFSSQETPDDQILLRGKILSLELLKVIIDNGGPIWRTDERFLNIIKQFLCLSLIKNSTLSVMAIFQLQCSIFMMLLVKFRSGLKEEIGIFFPMLVLRVLENVNQPSFLQKMTVLNLLDKISQDSQIIIDIFVNYDCDVDAPNIYERIVNGLLKTALGPPPGSTTTLSSVQDITFRHESVKCLVSIIRSMGAWMDQQLRIGDSYLPKISQSSTSTENHSTLNGEDASAPEYDLHPEVNSETSDAATLEQRRAYKIELQKGISIFNRKPSKGIEFLINAKKVGGSPEEVAAFLKNTTGLNETVIGDYLGERDEFCLRVMHAYVDSFNFKVMGFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMSKADFIRNNRGIDDGKDLPEEYLGALYDHIVKNEIKMSANSSVPQSKQGNSLNKLLGLDGILNLVTGKQTEEKALGANGLLIRHIQEQFKAKSGKSESIYHVVTDAAILRFMVEVCWGPMLAAFSVTLDQSDDRLAASQCLQGFRYAVHVTAVMGMQTQRDAFVTSVAKFTYLHCAADMKQRNVDAVKAIISIAIEDGNNLQDAWEHILTCLSRIEHLQLLGEGAPPDASYLTPSNGETEEKALKSMGYPSLKKKGTLQNPAVMAIVRGGSYDSTTVGVNSPGLVTPEQINNFISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSISELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSEFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSTEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTVAAADERKNVVLLAFETMEKIVREYFPYITETETTTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCALKLADGGLICNVKSSVDDPSIPIVDEVASDVNPSDKDVHVSFWIPLLTGLSKLTSDPRSAIRKSALEVLFNILNDHGHLFSHSFWTTVFNSAIFPIFNSFSDMKDVKDQDSPTSAPPHSVGSAWDSETSTIAVQCLVYLFVNFFNVVRSQLQSVVSILMGFIRSPVKGPASAGVAALLRLIGELGSRISEDEYREIFLSLKEAAASLLPGFMKVLRIMDGIEMPESSHPFADADVSSDHGFTNDDLEDDNLQTAAYVVSRVKSHIAVQLLIVQVVSDLYKANQHLLSAANVRILIDIFSSIASHAHQLNSETDLLKKLLKACYIAEISDPPMVHFENESYEKYLDFLRDLLDDNPSMSEALNVEAQLAAVCEKILLIYLNCTGLQTVQQDPANKPVIHWILPSGSAKKEELAARTSLLLSALRVLSGLESDSFRGYARQFFPLLVDLVRCEHSSGEVQRILSDIFRSCIGPIIMG >Potri.004G208400.4.v4.1 pep chromosome:Pop_tri_v4:4:21542543:21548724:1 gene:Potri.004G208400.v4.1 transcript:Potri.004G208400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208400.v4.1 MSKADFIRNNRGIDDGKDLPEEYLGALYDHIVKNEIKMSANSSVPQSKQGNSLNKLLGLDGILNLVTGKQTEEKALGANGLLIRHIQEQFKAKSGKSESIYHVVTDAAILRFMVEVCWGPMLAAFSVTLDQSDDRLAASQCLQGFRYAVHVTAVMGMQTQRDAFVTSVAKFTYLHCAADMKQRNVDAVKAIISIAIEDGNNLQDAWEHILTCLSRIEHLQLLGEGAPPDASYLTPSNGETEEKALKSMGYPSLKKKGTLQNPAVMAIVRGGSYDSTTVGVNSPGLVTPEQINNFISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSISELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSEFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSTEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTVAAADERKNVVLLAFETMEKIVREYFPYITETETTTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCALKLADGGLICNVKSSVDDPSIPIVDEVASDVNPSDKDVHVSFWIPLLTGLSKLTSDPRSAIRKSALEVLFNILNDHGHLFSHSFWTTVFNSAIFPIFNSFSDMKDVKDQDSPTSAPPHSVGSAWDSETSTIAVQCLVYLFVNFFNVVRSQLQSVVSILMGFIRSPVKGPASAGVAALLRLIGELGSRISEDEYREIFLSLKEAAASLLPGFMKVLRIMDGIEMPESSHPFADADVSSDHGFTNDDLEDDNLQTAAYVVSRVKSHIAVQLLIVQVVSDLYKANQHLLSAANVRILIDIFSSIASHAHQLNSETDLLKKLLKACYIAEISDPPMVHFENESYEKYLDFLRDLLDDNPSMSEALNVEAQLAAVCEKILLIYLNCTGLQTVQQDPANKPVIHWILPSGSAKKEELAARTSLLLSALRVLSGLESDSFRGYARQFFPLLVDLVRCEHSSGEVQRILSDIFRSCIGPIIMG >Potri.004G208400.3.v4.1 pep chromosome:Pop_tri_v4:4:21539371:21548787:1 gene:Potri.004G208400.v4.1 transcript:Potri.004G208400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208400.v4.1 MTAMWMLQTYMKDICRIVNGLLKTALGPPPGSTTTLSSVQDITFRHESVKCLVSIIRSMGAWMDQQLRIGDSYLPKISQSSTSTENHSTLNGEDASAPEYDLHPEVNSETSDAATLEQRRAYKIELQKGISIFNRKPSKGIEFLINAKKVGGSPEEVAAFLKNTTGLNETVIGDYLGERDEFCLRVMHAYVDSFNFKVMGFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMSKADFIRNNRGIDDGKDLPEEYLGALYDHIVKNEIKMSANSSVPQSKQGNSLNKLLGLDGILNLVTGKQTEEKALGANGLLIRHIQEQFKAKSGKSESIYHVVTDAAILRFMVEVCWGPMLAAFSVTLDQSDDRLAASQCLQGFRYAVHVTAVMGMQTQRDAFVTSVAKFTYLHCAADMKQRNVDAVKAIISIAIEDGNNLQDAWEHILTCLSRIEHLQLLGEGAPPDASYLTPSNGETEEKALKSMGYPSLKKKGTLQNPAVMAIVRGGSYDSTTVGVNSPGLVTPEQINNFISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSISELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSEFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSTEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTVAAADERKNVVLLAFETMEKIVREYFPYITETETTTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCALKLADGGLICNVKSSVDDPSIPIVDEVASDVNPSDKDVHVSFWIPLLTGLSKLTSDPRSAIRKSALEVLFNILNDHGHLFSHSFWTTVFNSAIFPIFNSFSDMKDVKDQDSPTSAPPHSVGSAWDSETSTIAVQCLVYLFVNFFNVVRSQLQSVVSILMGFIRSPVKGPASAGVAALLRLIGELGSRISEDEYREIFLSLKEAAASLLPGFMKVLRIMDGIEMPESSHPFADADVSSDHGFTNDDLEDDNLQTAAYVVSRVKSHIAVQLLIVQVVSDLYKANQHLLSAANVRILIDIFSSIASHAHQLNSETDLLKKLLKACYIAEISDPPMVHFENESYEKYLDFLRDLLDDNPSMSEALNVEAQLAAVCEKILLIYLNCTGLQTVQQDPANKPVIHWILPSGSAKKEELAARTSLLLSALRVLSGLESDSFRGYARQFFPLLVDLVRCEHSSGEVQRILSDIFRSCIGPIIMG >Potri.003G092400.1.v4.1 pep chromosome:Pop_tri_v4:3:11870266:11874928:1 gene:Potri.003G092400.v4.1 transcript:Potri.003G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092400.v4.1 MEVSTEAGVDVPSKTKTLDSKSFIQAYGFSTQSQIEALNNELLGDPVISPNSELSCGGQYLVPLSSNSNGFSLTSTSMPRSEETLIGTLLPHILTSGSQISVSSQSNQDWSGSLVANGNEDGFLLDSDQRLVARCDTDNGIFDDNREPDSPMRVELELENSWLVHGDVEHEEEVFGDDGEKEDPRQLFRCEPNYHPMYHHRRGHFDPEFHENHPDLSPSSIKRFRGSQVPQWRNRWDDREKTRNQEYGPPGFMQETGPTGVGAGLFNKGNTCYINAVLQCFTHTVPLVQALRSCNHAMPCRTEGFCALCVIRDHIELSLASSGKILEPLKLVNNLDSISSFFRRYQQEDAHEFLQCLLERLERSCLDSSLTDDISSSQDKNIVERVFGGRLVSKLRCCNCGHFSDKYEPLIDLSLEIEDADTLQIALESFTNVEKIEDSETKFTCENCKEEVSREKQLMLDKAPSIAALHLKRFKIDGTSVEKIGKHVEFPLELDLKPYINDNDSNDVGFKYQLYAVVVHKGYSLTSGHYVCYIRSSPDTWHKLDDPEVSKEREEFVLSQAAYILFYAREGTPWCSSLIKPQEFCLDRSNSNTSPKSVLDNANSECISVADKDSLETSVIKDAVEATSTHIPCEREFEEIVSRGETEGISFQISCANMVDVSLPHGVSDGHDGVLHDEMLCFPPVEEDYSNQCAEKIGRKGDLRPSAPPRSPTSDVYSGELPEARRCIPREHLKVENHVNCKRSSKKGTKDSQTAEALRCIKRMPTARGMKLMAALLPRNDKTRPRSSPCKRASPPGSRRKPIRVAVIR >Potri.011G158800.2.v4.1 pep chromosome:Pop_tri_v4:11:18445274:18446951:1 gene:Potri.011G158800.v4.1 transcript:Potri.011G158800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158800.v4.1 MACSGSSILLFFVFLFLHPSLLLSLPVQDSFLQCLSKNSELSFPFSTIVYTPKNSSYTTILQSSAQNPRFTRPSLPKPEFIVTPLQESHIQAAVICSKQLGIHLRVLSGGHDYEGLSYVSEIEKPFIVVNLAKLRSISVDIDDNSAWVQAGATNGELYYRIAEKSKTRGFPAGLATTLGIGGHITGGAYGSMLRKYGLAVDNVIDARIVDVHGRVLDRKAMGKDLFWAIRGGGGGSFGINSAWKVKLVPVPSTVTVFQITKTLEQGAIKILNRWQQVADKLDEDLFIRVYLQLAGAGNGGKKTVSTTYISLFLGDARRLLQVMQDSFPELGLTRQDCIETSWINSVLHLAGYSNDTAP >Potri.006G240500.8.v4.1 pep chromosome:Pop_tri_v4:6:24249961:24257426:-1 gene:Potri.006G240500.v4.1 transcript:Potri.006G240500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240500.v4.1 MERTMLVEKNHPGSHSKLVSKVESSIRIDSITIDLDNVDEKVEAEKCSHFSMRGYVSEIRKRDWKICWPFVSDGDSNNYEEQACLLPPLHVPKFRFWRCQNCVWEVDATANCYGSTALKSCSTGFKSTKVCSHAPILGDDAMLPSDVQGAANQEIPEGTQADAFASLTNTSKCHHSQSIDKNERKTKDENVSNIGKSVGSEDNLKQENHRLACVATEVVSSPIQKTDLTDKIAAFKSKCINLCEPGCGHHEVVAAEFARNLNCMVNNATEICEAGKETSIDDQYKEIITRGASGEAGNIDDGALTADKDPVSRPSLELDEYDDPSSESTDIMVGNNSQDVHHENSSGLHRRKTRKVRLLTELLCENGDGDTDNQTQYSLPHAFPDASAGVDKVPVLQGEVAIQGKARRGLGQNRKRKLPQDEDSRSPEMRSTSKVCKEVRNSKRDGETAELSGGSESEEDAFGRMGLQTGMKSQWAKNKVDRSLVVSKKKNKKALSFDECLFSELSPEKAPIEIGEKISPEKATAVDDVLTKSVHNAFTGREMDFFPLHSSQMEKNVNDYKKKGKMPLFEDYQVSPSPWNHGILREGPVIRKDVGTIHAGLVPVPFHSAEDTYLEKGLDLSLNSYKTAQSYDGKHIPLVENRQSSLFTWQEGSSKNQAMRKATEIEHVGNFNFTSKIAQDAPFEKGIRSDPSTKRPSFKIPFLSEKQKYNFQVEIGGCSLMQKKDFCNTKSNEKTIGMQEHSAFPRKDINQRADKLSEQGALDDIPMEIVELMAKNQYERCLPDGEYEKRQLETTSSSRRSQMMNFSQVYGLGGLSLFHQETTQKQNPPARRNGIIKMGEMEESTKQKAVDFFSQADRNSFNMRRLEKTGSPVGFGPFLQHQEKPSSRVQHSACISNVQNISQNCKQIGDVVGNRSCYANFHTPGPCNTCQSIPQQSKEANHLWSSMMSNHMPFVYTIPPKCVTQSTNVNVFPHSSGSNLKENMNGDRELKFLNKNAANLGKQNRNFGSETLIRARSEYPFAGKHNGIELNQKPIGSLDLYSNETIPAMHLLSLMDAGVQSSAPINMDVNSKFLKRPSITHNPEPKEFSRLDTGAFKAVNTVKHPPPNHHGKNQLAENFRDHIPVIQTTAGASSSSILHDKGIRKATDFPIQVVQDKDKRKGSDSRTQNKVNRSQKSAYGGFGTNCGSIPAHNMQTMFYGASDSSMFPLPFRALEKPNKHKLESPANNRTVHAHKSSSETEVCSVNRNPADFTVPEAGNMYMIVGEDLKFEKEVPFVNGSRSLKLDGPKRQRKLPAVKGRGRPPMSRLS >Potri.006G240500.6.v4.1 pep chromosome:Pop_tri_v4:6:24250053:24257421:-1 gene:Potri.006G240500.v4.1 transcript:Potri.006G240500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240500.v4.1 MERTMLVEKNHPGSHSKLVSKVESSIRIDSITIDLDNVDEKVEAEKCSHFSMRGYVSEIRKRDWKICWPFVSDGDSNNYEEQACLLPPLHVPKFRFWRCQNCVWEVDATANCYGSTALKSCSTGFKSTKVCSHAPILGDDAMLPSDVQGAANQEIPEGTQADAFASLTNTSKCHHSQSIDKNERKTKDENVSNIGKSVGSEDNLKQENHRLACVATEVVSSPIQKTDLTDKIAFKSKCINLCEPGCGHHEVVAAEFARNLNCMVNNATEICEAGKETSIDDQYKEIITRGASGEAGNIDDGALTADKDPVSRPSLELDEYDDPSSESTDIMVGNNSQDVHHENSSGLHRRKTRKVRLLTELLCENGDGDTDNQTQYSLPHAFPDASAGVDKVPVLQGEVAIQGKARRGLGQNRKRKLPQDEDSRSPEMRSTSKVCKEVRNSKRDGETAELSGGSESEEDAFGRMGLQTGMKSQWAKNKVDRSLVVSKKKNKKALSFDECLFSELSPEKAPIEIGEKISPEKATAVDDVLTKSVHNAFTGREMDFFPLHSSQMEKNVNDYKKKGKMPLFEDYQVSPSPWNHGILREGPVIRKDVGTIHAGLVPVPFHSAEDTYLEKGLDLSLNSYKTAQSYDGKHIPLVENRQSSLFTWQEGSSKNQAMRKATEIEHVGNFNFTSKIAQDAPFEKGIRSDPSTKRPSFKIPFLSEKQKYNFQVEIGGCSLMQKKDFCNTKSNEKTIGMQEHSAFPRKDINQRADKLSEQGALDDIPMEIVELMAKNQYERCLPDGEYEKRQLETTSSSRRSQMMNFSQVYGLGGLSLFHQETTQKQNPPARRNGIIKMGEMEESTKQKAVDFFSQADRNSFNMRRLEKTGSPVGFGPFLQHQEKPSSRVQHSACISNVQNISQNCKQIGDVVGNRSCYANFHTPGPCNTCQSIPQQSKEANHLWSSMMSNHMPFVYTIPPKCVTQSTNVNVFPHSSGSNLKENMNGDRELKFLNKNAANLGKQNRNFGSETLIRARSEYPFAGKHNGIELNQKPIGSLDLYSNETIPAMHLLSLMDAGVQSSAPINMDVNSKFLKRPSITHNPEPKEFSRLDTGAFKAVNTVKHPPPNHHGKNQLAENFRDHIPVIQTTAGASSSSILHDKGIRKATDFPIQVVQDKDKRKGSDSRTQNKVNRSQKSAYGGFGTNCGSIPAHNMQTMFYGASDSSMFPLPFRALEKPNKHKLESPANNRTVHAHKSSSETEVCSVNRNPADFTVPEAGNMYMIVGEDLKFEKEVPFVNGSRSLKLDGPKRQRKLPAVKGRGRPPMSRLS >Potri.007G057400.2.v4.1 pep chromosome:Pop_tri_v4:7:6027427:6031626:-1 gene:Potri.007G057400.v4.1 transcript:Potri.007G057400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057400.v4.1 MGNPIKIVSYNVNGLRQRVSQFGSLSNLLNTFDADIICFQETKLRRQELTSDLVIADGYESFFSCTRTKDKGRTGYSGVATFCRVKSAFSSTEVALPVAAEEGFTGFIDRCKRNEGLEEFEKDELVKVDSEGRCVVTDHNHFVLFNLYGPRAAHDDTERIEFKMKFFKILQKRWENLLHEGRRVFVVGDLNIAPTAMDRCDADSDFEKNEFRRWFRSILMMSGGLFVDVFRAKHPDRREAYTCWSSSTGAELFNFGSRIDHILCAGPCLHQEHDLQGHNFLSCHVKECDILTQYKRWKPGDSTRWKGGRGIKLEGSDHAPVYMSLEEICDIPRHSTPPLSARYLPMIHGVQQTLVTLLMKRQAATQIQSSRISSSFSDGDATIKACSESIKRSFNECSVSRPSTSPSCSLTEEFDSAISKRDENSKDLTDENQGCPDTTMILQSQHTKFVPAEGTKKKPRKSRCSQLSLRSFFQKSPNLSTGAENSSTNASPSQAEPNTSSYSNGSHAPGDKSSSPRHCQLNPSAGSQYQDKGNDGSLEREKNNVALLEWQRIQQLMRNSIPVCKGHKEPCVARIVKKPGRTFGHRFFVCSRAEGPVSNPEANCGYFKWASSKSQRK >Potri.007G057400.1.v4.1 pep chromosome:Pop_tri_v4:7:6027373:6031647:-1 gene:Potri.007G057400.v4.1 transcript:Potri.007G057400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057400.v4.1 MGNPIKIVSYNVNGLRQRVSQFGSLSNLLNTFDADIICFQETKLRRQELTSDLVIADGYESFFSCTRTKDKGRTGYSGVATFCRVKSAFSSTEVALPVAAEEGFTGFIDRCKRNEGLEEFEKDELVKVDSEGRCVVTDHNHFVLFNLYGPRAAHDDTERIEFKMKFFKILQKRWENLLHEGRRVFVVGDLNIAPTAMDRCDADSDFEKNEFRRWFRSILMMSGGLFVDVFRAKHPDRREAYTCWSSSTGAELFNFGSRIDHILCAGPCLHQEHDLQGHNFLSCHVKECDILTQYKRWKPGDSTRWKGGRGIKLEGSDHAPVYMSLEEICDIPRHSTPPLSARYLPMIHGVQQTLVTLLMKRQAATQIQSSRISSSFSDGDATIKACSESIKRSFNECSVSRPSTSPSCSLTEEFDSAISKRDENSKDLTDENQGCPDTTMILQSQHTKFVPAEGTKKKPRKSRCSQLSLRSFFQKSPNLSTGAENSSTNASPSQAEPNTSSYSNGSHAPGDKSSSPRHCQLNPSAGSQYQDKGNDGSLEREKNNVALLEWQRIQQLMRNSIPVCKGHKEPCVARIVKKPGRTFGHRFFVCSRAEGPVSNPEANCGYFKWASSKSQRK >Potri.004G090200.11.v4.1 pep chromosome:Pop_tri_v4:4:7687970:7693143:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MASSFHEWESDPLFSAAEVVQDSSDRMESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.4.v4.1 pep chromosome:Pop_tri_v4:4:7687970:7693143:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MASSFHEWESDPLFSAAEVVQDSSDRMESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.5.v4.1 pep chromosome:Pop_tri_v4:4:7687970:7693143:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MASSFHEWESDPLFSAAEVVQDSSDRMESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.9.v4.1 pep chromosome:Pop_tri_v4:4:7687970:7693143:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MLDKMASSFHEWESDPLFSAAEVVQDSSDRMESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.3.v4.1 pep chromosome:Pop_tri_v4:4:7687970:7693143:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MASSFHEWESDPLFSAAEVVQDSSDRMESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.13.v4.1 pep chromosome:Pop_tri_v4:4:7689102:7693019:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.10.v4.1 pep chromosome:Pop_tri_v4:4:7687970:7693143:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MLDKMASSFHEWESDPLFSAAEVVQDSSDRMESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.6.v4.1 pep chromosome:Pop_tri_v4:4:7688013:7693004:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.12.v4.1 pep chromosome:Pop_tri_v4:4:7687970:7693143:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MASSFHEWESDPLFSAAEVVQDSSDRMESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.2.v4.1 pep chromosome:Pop_tri_v4:4:7687970:7693143:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MASSFHEWESDPLFSAAEVVQDSSDRMESLFRLVLHQQSLVQSEHPDPRFLSSIEYHKRDLATILGTAKWQLEDFERAANSSIIANKSQTREDVISRHRQFIMAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.004G090200.8.v4.1 pep chromosome:Pop_tri_v4:4:7687984:7693002:1 gene:Potri.004G090200.v4.1 transcript:Potri.004G090200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090200.v4.1 MAIREHINEVEKGVKGPSMGDSMRNSEWVNLNDHERDLLASFLTGGNPKEHLNHYEMEESGYLRRFLDPNLGSSLTDNEIVEHDSREFERVRINGVGHAEHYLDSANEDKRNIGSHYTTRLGSDMMNSLPENSHERHGGGDHWDLKANEAKSRSFFHEIKSRGTYSIMFFGFLINLWSTHRNRIARNYTKRLKDGEENRHSPTYTEPSHSAQVQRLGLMLGSGYRSFQGICFRLQSEVMLLGSYLGARYQRFPFNFEFNGHSMKIILTTILTLIFLGILVSRIA >Potri.002G200300.1.v4.1 pep chromosome:Pop_tri_v4:2:16319811:16324095:-1 gene:Potri.002G200300.v4.1 transcript:Potri.002G200300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200300.v4.1 MVKRAGSPCSSPVTITVSSGCKGGGSRSMGLTSPVPRTSISNNPNSPLSNNRNRTSSGGRYCSTSRDDATEENNSEFVSYTVHIPPTPDHQIFSASQSSLAEDIKNASKPDRSFISGTIFTGGFNSVTRGHVIDCSVENNESLKSGLVCGMKGCDEKAIKGKCECGFKICRDCYLDCVGSNGGGHCSGCKEPYKDVDDEGEDDDDDDYAYDEAKSEADDQALPLPKLDKRLSLVKSFKAQNHPPDFDHTRWLFETKGTYGYGNAVWPKDGYGVGSGGNGFEQPPEFGERSRRPLTRKVKVSAAILSPYRLLIVIRLVALGLFLAWRIRHPNREAMWLWGMSITCEVWFALSWILDQLPKLCPVHRVTDLSVLKERFESPNLRNPKGRSDLPGTDVFVSTADPEKEPPLVTANTILSILAVDYPVEKVACYLSDDGGSLLTFEALAETANFARIWVPFCRKHNLEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLPESIRRRSDAYNAHEELRARKKQMEMGGNPSETVKVPKATWMSDGSHWPGTWASGEADHSRGDHAGIIQAMLAPPNAEPVFGVEADGESLIDTTEIDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYISNSLALREGMCFMLDRGGDRICYVQFPQRFDGIDPSDRYANHNTIFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRTTEHHGWFGRRKIKLFLRKPKAAKKQEDEIALPINGDHGDIDDVDIESLLLLPIRFGNSTSLAASIPVAEYQGRLLQDLQGKGNHGRPAGSLAVPREPLDAATVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFATRRMKFLQRVAYFNCGMYPFTSMFLIVYCVLPAISLFSGQFIVQSLSVTFLVLLLVITITLCLLAILEIKWSGITLNDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPEDGDDGFADLYVVKWSFLMVPPITIMILNLIAIAVGVARTMYSPFPQWSTLLGGVFFSFWVLSHLYPFAKGLMGRRGRVPTIVYVWSGLLSIIISLLWVYISPPNVVSLLAT >Potri.011G023200.1.v4.1 pep chromosome:Pop_tri_v4:11:1723794:1728216:-1 gene:Potri.011G023200.v4.1 transcript:Potri.011G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023200.v4.1 MEGVGARLGRSSTRYGPATVFSGPVRKWKKRWVHVTPPSSSSNHNNTHSHHHNAISNGTAAANNSSSSNGNNGSHLLLYKWTPLSQSNGSSSPSPATNNNGNSNNDDNKNNANLSNGDAPDEPPRRKFKYIPVDLLEKQKKEAEEQEALEKVDDESKLNDTDPKAELVSKSDSAEEKPDINDIPMEENEDDNQVVRQDLNESTLDLSLGLVS >Potri.001G125200.1.v4.1 pep chromosome:Pop_tri_v4:1:10289733:10293333:-1 gene:Potri.001G125200.v4.1 transcript:Potri.001G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125200.v4.1 MPLKQLFDDANNDDDVSKIEIDKEFAKRYEHNKKREALQRYEQLQKEGLVDESESGSESSSSDETDIKKTDIKFFDNLLKVKNRDPSLYSNAKLFESDSSDTEEEDIKVGNEKHTKKKPMYLKDVMARHLIEKGPELDDEEGSSIKTKRADKSYNDEQEELRKAFLDAVEDEEEDGEQEFLKLKERKNEEVSGDGNAADADGVDFEKKLGDYFGGEGELDEGSMFLRDFFKNKMWLGKDERDDVGEDEVDELLRDEEEVERQEKYEESYNFRYEESVGDRVLGHSRKVEGSVRKKDNSRKEQRKNKEERMKIAEMERKEELKHLKNLKKKEMKEKMKKVMEVAGFKDDNEFPLDLEDEFDPDEYDKMMKKAFDVQYYEAEDVEPGFGSDDDNDEMEKPDFDKEDELLGLPKDWDMIDSSDGFLAARERSLKLKQQKGNDCYEKEEGSGEERSEESKRKRKRKMSLVQKVKEEMMEEYYKLDYEGTIGDLKTRFKYAKVDPNKFGLKTEEILEMDDKELNQYVSIKKLAPYMDKEWKVPSTKKHQQKMMIRERLQEKFDKKNKTKHKKDKPSSVLGSKQDGTEKLDESNVDTGNLSRKAKRRRRQAELKLSRPRLIAYGKVQS >Potri.005G176300.5.v4.1 pep chromosome:Pop_tri_v4:5:18230521:18232920:1 gene:Potri.005G176300.v4.1 transcript:Potri.005G176300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176300.v4.1 MAMWVFGYGSLIWKAGFNYDDRVVGLIKGYRRVFYQGSTDHRGTPEYPGRTVTLEPADGEVCWGVAYKISKKEDQEVALTYLEVREKQYDEKAYLDFFTDPAATTPAVSGVMVYIGSPDKRHNQNYLGPAPLEEIAKQIFYAEGPSGPNRDYLFHLESALLQIGCKDKHVIDLANEVRRIRPETGLADS >Potri.006G056600.1.v4.1 pep chromosome:Pop_tri_v4:6:3991896:3995014:1 gene:Potri.006G056600.v4.1 transcript:Potri.006G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056600.v4.1 MATTCTCTFVLCLSLTFFMFSSASSTEADVLLSFKGSIQDPKNTLSSWSSNSTVHYCNWTGITCTTSPPLTLTSLNLQSLNLSGEISSSICELTNLALLNLADNFFNQPIPLHLSQCSSLESLNLSNNLIWGPIPDQISQFHSLRVFDLSKNHIEGRIPESFGLLEKLQVLNLGSNLLSGSVPSVFVNLTELVVLDLSQNVYLMSDVPSEIGKLGKLEQLLLQSSGFYGQIPDSFVGLQSLTILDLSQNNLSGMIPQTLVSSLKNLVSFDVSQNKLSGSFPNDICSAPGLKNLGLHTNFFNGSIPNSIGECSNLERFQVQNNEFSGDFPAGLLSLSKIKLVRAENNRFSGAIPDSMSMATQLEQVQIDNNSFTGKIPHALGLVKSLYRFSASLNGLYGELPPNFCDSPVMSIINLSHNSLSGQIPKMKKCRKLVSLSLADNSLSGEIPPSLADLPVLTYLDLSNNNLTGSIPQGLQNLKLALFNVSFNQLSGEVPPDLVSGLPASFLEGNPGLCGPGLPNSCSVDLPRHHNPVGLSALACALLSIAFGLGILLVAAGFFVFHRSTKWKSEMGGWHSVFFYPLRVTEHDLVVGMDEKSAVGSGGAFGRVYIISLPSGELVAVKKLVNIGNQSSKALKAEVKTLAKIRHKNIIKVLGFCHSEESIFLIYEYLQKGSLGDLISRADFLLQWSDRLKIAIGVAQGLAYLHKHYVPHLLHRNVKSTNILLDADFEPKLTDFALDRIVGEAAFQTTIASESAYSCYNAPECGYTKKATEQMDVYSFGVVLLELIAGRQADQAESVDIVKWVRRKINIANGAVQVLDSKISNSSQQEMLAALDIAIYCTSVLPEKRPSMLEVTRALQSLGSKTHLSDSYLSTPEENSVPV >Potri.018G082300.2.v4.1 pep chromosome:Pop_tri_v4:18:9997859:10002867:-1 gene:Potri.018G082300.v4.1 transcript:Potri.018G082300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082300.v4.1 MYRSFVTCDDPKGVVECGTIRKSKSGSHKMEHEIISHKAQKNSKADLTVIAEKKELVSKGIVEEYRTPSSFQLLEVSRGAQKLNQTINSWSKGLSSDGQSKDIAKDLLKGALDMQESLLMLGKLQEASHYMAQLKRQKEKLKRQKEKLKINEVGAEMMNSHQFGDLHCQTGFQKPLLSADGSSNDYIDELKKAITDSLGRHNLLPNRTTREKTSKERRKRDSAPDVPSTSSSQSSVAQSSSSHSTRSISTAAPPRKENSPNLIAKLMGLEDMPSKPLQKHPQKQLDVETDLSRRRPRPVFDIEMPKVRKPQPLMHKVRPEQRALKDILETMQFKGLLKCHSVKELKSWSHHSRETNTNRRSSNYISPIVLIKPGVSCFESKEVPAPMVWEMGALKAELMPRKVKLKKGPEPDTRSVDYKEGTYSTSKMLRKTEVDEPTNRRLGQEEGTTDRREVVVKPEEKEIKTVVQEEVAVRENKGNAEPEPEETLIKMLGKERVEDRKYVVPRAEEQRIKTKLKGSSKLKASCPVTNQQQKKETAVKKVNKTQRVDADSRKRIEAEVVKPKNVSRSQEQAKVISTNTRIEHGSMTTKTQITQQSSTNQKSILKHTTKTTVHGPKDQKRKIVAEPTEEKPTNKELGCKEDKKNGHKCDADPVSKVTNTPLAGQPSTEEEANVLKFHNEEHCSDSQSSPCNHTLVTSEHEEVAKSPEEANNDMGLIGGDGESSKNGIQLNALLLSSPLFLTHAEELFDLNMNSPETFPTSGICDYRIASMELSLDYANEYIERRSCVDSQTRHPLLQTCTGDSRLNLSLEKLVEEIVNGAKTLTSYCKLGFYNLPADSLYGILENDIRCGSVASGTWDLGWRNGFSVDEAEQTVNDVEKLLISELIEEMFT >Potri.017G050400.2.v4.1 pep chromosome:Pop_tri_v4:17:3559831:3564215:-1 gene:Potri.017G050400.v4.1 transcript:Potri.017G050400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050400.v4.1 MASFNVSSCPSTTTRAARSGSQACRNFRLFNGLHGVNSFSANGNALNLALIRLDGSKSSFGIKGVSKTRATLISGDELLSYSNDNGVVAKSALLDNGSVGVDLQPEAVAFGALSADNAFITNDFSIGNDDRQLDCPSEGFSSIPDAIEDIRRGKIVVVVDDEDRENEGDLIMAAELATPEAMAFIVKHGTGIVCASMKGEDLDRLQLPLMVRQNENDEKLRTAFTVTVDAKHGTTTGVSANDRATTVLALASKDSKPDDFNRPGHIFPLRYREGGVLKRAGHTEASVDLAVLAGLDPVAVLCEVVDDDGSMARLPKLLQFAERENLKIISIADLIRYRRKTDKLVEYASAARIPTMWGPFTAHCYKSILDGIEHVAMVKGDIGDGEDILVRVHSECLTGDIFGSARCECGNQLALAMQQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQMLRDLGVRTMKLMTNNPAKYIGLKGYGLAVAGRVPLLTPITKDSKRQQW >Potri.001G004600.2.v4.1 pep chromosome:Pop_tri_v4:1:303416:306316:1 gene:Potri.001G004600.v4.1 transcript:Potri.001G004600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004600.v4.1 MREIISIHIGQAGIQVGNACWELYCLEHDIQPDGMMPSDTSPGAAHDAFNTFFSETSSGKHVPRAIFVDLEPTVIDEVRTGTYRQLFHPEQLMSGKEDAANNFARGHYTVGKEIVDLCVDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQTISSLTTSLRFDGAINVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSIPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKSKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDEEEGDDYQ >Potri.014G097200.2.v4.1 pep chromosome:Pop_tri_v4:14:6368492:6373276:1 gene:Potri.014G097200.v4.1 transcript:Potri.014G097200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097200.v4.1 MVCNSMAIPSSQATVAQKDRFKEHNGLYRSNSGKDFCNRATMRRSYSDNHLCYSVNYVRAASSQPKLKSSRSVGIFPFQISSSIIPASLRSFLFDPETSKDMNIAKDGVDGSLKKDEIIVDSSDEGSASTELGEVKRTNWVERIYEIRNHWRNRQQKEDIYGEEELSDASKNGDSNCEDGCMVDYNSDEEGGEIKYDRETFLRFLAPVGWSDTKLLSKLAFLCNMAYVIQEIKAMDLRRYYGLHFVTSSLEKKAEAAAVKEKLDHDATHAPAATLVVAKSNSGNTEEPEQKHPIRSSLAYGIAASAASYVQSRAQGLLSHGIQPQQEGDCTDSSSTGNQPVEDVDQPVEDGERPQRVYKSEVAAYVAASTMTAMVAAGEKEKQEAARDLQSLHSAPCEWFVCDDVSTYTRCFVIQGSDSLASWQANLLFEPTKFEGTDVLVHRGIYEAAKGIYEQFMPEIMEHLNKHGERAKLQFTGHSLGGSLSLLVHLMLLTRKIVKTSALRPVVTFGSPFVFCGGQKILNYLGLDDNHVHCVVMHRDIVPRAFSCNYPNHVTLVLKRLNGSFQSHPCLTKNKFLYSPLGKLFILQPDEKSSPPHPLLPPGSALYAFDKTQHRFAASAIKAFLNCPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNKVLRQNSKMVGWKVHEWGNQLWPLLASPSPHLWNHENTLERSMFGTKKAMTGV >Potri.014G097200.4.v4.1 pep chromosome:Pop_tri_v4:14:6369914:6373310:1 gene:Potri.014G097200.v4.1 transcript:Potri.014G097200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097200.v4.1 MVCNSMAIPSSQATVAQKDRFKEHNGLYRSNSGKDFCNRATMRRSYSDNHLCYSVNYVRAASSQPKLKSSRSVGIFPFQISSSIIPASLRSFLFDPETSKDMNIAKDGVDGSLKKDEIIVDSSDEGSASTELGEVKRTNWVERIYEIRNHWRNRQQKEDIYGEEELSDASKNGDSNCEDGCMVDYNSDEEGGEIKYDRETFLRFLAPVGWSDTKLLSKLAFLCNMAYVIQEIKAMDLRRYYGLHFVTSSLEKKAEAAAVKEKLDHDATHAPAATLVVAKSNSGNTEEPEQKHPIRSSLAYGIAASAASYVQSRAQGLLSHGIQPQQEGDCTDSSSTGNQPVEDVDQPVEDGERPQRVYKSEVAAYVAASTMTAMVAAGEKEKQEAARDLQSLHSAPCEWFVCDDVSTYTRCFVIQGSDSLASWQANLLFEPTKFEGTDVLVHRGIYEAAKGIYEQFMPEIMEHLNKHGERAKLQFTGHSLGGSLSLLVHLMLLTRKIVKTSALRPVVTFGSPFVFCGGQKILNYLGLDDNHVHCVVMHRDIVPRAFSCNYPNHVTLVLKRLNGSFQSHPCLTKNKFLYSPLGKLFILQPDEKSSPPHPLLPPGSALYAFDKTQHRFAASAIKAFLNCPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNKVLRQNSKMVGWKVHEWGNQLWPLLASPSPHLWNHENTLERSMFGTKKAMTGV >Potri.014G097200.5.v4.1 pep chromosome:Pop_tri_v4:14:6367533:6373276:1 gene:Potri.014G097200.v4.1 transcript:Potri.014G097200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097200.v4.1 MVCNSMAIPSSQATVAQKDRFKEHNGLYRSNSGKDFCNRATMRRSYSDNHLCYSVNYVRAASSQPKLKSSRSVGIFPFQISSSIIPASLRSFLFDPETSKDMNIAKDGVDGSLKKDEIIVDSSDEGSASTELGEVKRTNWVERIYEIRNHWRNRQQKEDIYGEEELSDASKNGDSNCEDGCMVDYNSDEEGGEIKYDRETFLRFLAPVGWSDTKLLSKLAFLCNMAYVIQEIKAMDLRRYYGLHFVTSSLEKKAEAAAVKEKLDHDATHAPAATLVVAKSNSGNTEEPEQKHPIRSSLAYGIAASAASYVQSRAQGLLSHGIQPQQEGDCTDSSSTGNQPVEDVDQPVEDGERPQRVYKSEVAAYVAASTMTAMVAAGEKEKQEAARDLQSLHSAPCEWFVCDDVSTYTRCFVIQGSDSLASWQANLLFEPTKFEGTDVLVHRGIYEAAKGIYEQFMPEIMEHLNKHGERAKLQFTGHSLGGSLSLLVHLMLLTRKIVKTSALRPVVTFGSPFVFCGGQKILNYLGLDDNHVHCVVMHRDIVPRAFSCNYPNHVTLVLKRLNGSFQSHPCLTKNKFLYSPLGKLFILQPDEKSSPPHPLLPPGSALYAFDKTQHRFAASAIKAFLNCPHPLETLSDPTAYGSEGTILRDHDSSNYLKAVNKVLRQNSKMVGWKVHEWGNQLWPLLASPSPHLWNHENTLERSMFGTKKAMTGV >Potri.005G081200.1.v4.1 pep chromosome:Pop_tri_v4:5:5523475:5524150:1 gene:Potri.005G081200.v4.1 transcript:Potri.005G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081200.v4.1 MASLSEFFSHLYTMAIVFLSLLLLEIVILIRSVIGSTLKSDKPIISTTQYLRHIEEKNPTISYSKQLMRQQDSIECAVCLSEFSEGESVRKLKCKHTFHKDCLDEWLQQCLATCPLCRAKVLPDEILAKYDRMQSQIEYDGSDEEMIFMLSALHGNSLQRIF >Potri.005G081200.3.v4.1 pep chromosome:Pop_tri_v4:5:5523683:5524257:1 gene:Potri.005G081200.v4.1 transcript:Potri.005G081200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081200.v4.1 MRQQDSIECAVCLSEFSEGESVRKLKCKHTFHKDCLDEWLQQCLATCPLCRAKVLPDEILAKYDRMQSQIEYDGSDEEMIFMLSALHGNSLQRIF >Potri.003G076600.6.v4.1 pep chromosome:Pop_tri_v4:3:10394604:10402951:-1 gene:Potri.003G076600.v4.1 transcript:Potri.003G076600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076600.v4.1 MEEEEAGPPKRELYALLQVSPEATDEEIKKAYRHWAQVYHPDKYQDFHMKQIATVNFQRICEAYEILSDEYKRQIYDIYGMEGITSGLELGPKLNKVEELKEELQRLRKKKEEEKMFAHFRPSGTILAHLSMPQFLDGDGIMRGMAMASEVQSQLSKRTAIAMGGNLEVNGNSGGGAASTVLRHQLSPVSSIEFIASAGLRALIGVQTTRNLSSHSTATIAIAKSLRDGSINLSNTWTRQLSETANGNIQLLLGPESSIAVGWQKKEEKTSASGELKIGTSSFAASAHYTCRFSSKSHGRIAGRFGSTMLEVEVGGGRKLSNFSTVRTLYTIGIQGIFWKFELHRGGQKLIIPMLLSRHLNPVFAIGAFVIPTSLYFLLKKFVVKSYYLRREKQKTSEIKERSSAQVREARIAAEKAQQLLQTVANRKRSRQSETNGLVITKAVYGNSKALKKADKSREVNNESASEVIDVTIPLNFLINDSGQLQLHEGVKKSGIMGFCDPCPGEPKLLHVEYTYGGQIFEVEVDDYAALLIPENPRA >Potri.003G162100.1.v4.1 pep chromosome:Pop_tri_v4:3:17161307:17163900:1 gene:Potri.003G162100.v4.1 transcript:Potri.003G162100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162100.v4.1 MEFSNIEESNGVITEEKENGNEINEIEQSKVRLMRAFVEREDPSVKEVDDLMIRRFLRARELDIEKASTLFQKYLSWRRSFIPNGFIAPSEIPNELAQNKFFMQGADKQNRPVVVVFGARHKPYKGSFEEFKRFVVYTLERICAIMPAGEEKFVSIADLKGWGYSNSDIRGYLAALSILQDCYPERLGKLFIVHVPYIFMTAWKVVSPFIDRKTKNKIIFVENKKLKSTLLEDIDESQLPDVYGGKLSLVPIQDD >Potri.008G215901.1.v4.1 pep chromosome:Pop_tri_v4:8:16572189:16574438:1 gene:Potri.008G215901.v4.1 transcript:Potri.008G215901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G215901.v4.1 MDDNPRKSASSSQIPIVIASSECLMNKLPSCLIMDILSRLPITTILNCRCVCKTWLHYISDSFFAKLHLERSPTSLLVKTISNNPESRSVQLVQITGKPVGLRFRVVEEMKFVQEINLPYNNDFLIQNSCNGLLCISQTFQDGSHDDIYLCNPILGEYISIPLAAGQGTRHKRSFSLGYSAITKEYKVLHTFYWKKGPDSQPEAEIYTIGTGKWRSIHKALHKLDIFMFDSFVCGSIHWELRGEDNCVNSIGSFNFENEQFSQLSLPPRYDEGDVTLTVFEGCLGVSFFNTCCDTQFEIWVMKEYGNKQSWTKQFTVTNLGFENLYEPLIFLNSGLILMMDNHESFVIYDTRRKFIKVIRICQTQGSRYAIAYKPSFVSLNDIAKGEQLKMSRKQAGREDDESSSEGAYNCTSHDQLSESIPPQHSAEFLPVIASS >Potri.007G032500.13.v4.1 pep chromosome:Pop_tri_v4:7:2492869:2499207:-1 gene:Potri.007G032500.v4.1 transcript:Potri.007G032500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032500.v4.1 MRWWTKFLKGSSHKGHYHRRYEDRYRDEPRQSVDDSSGFDKEEIECAIALSLSVEDQKGKKVIEEDTESEQSEEDYQTHQSEEDYQTHQSEEDYQTHQSQEDYQRHQPEEDEKDVNAQLEGDEQLAKAMQENLSVEPPPQARYDSGNVVPPYAFFFPSSYRICAGCNTEIGQGRFLSCLDSVWHPDCFRCDACNLPISDYEFSMSGNRHYHKSCYRNQHHPKCDVCNNFIPTNSSGLIEYKVHPFWKQKYCPSHERDGTPRCCCCERMEPRDTRYLSLDDGRKLCLECLDSAVVDTLECQPLYFEIREFYEGLNMKVEQEIPLLLVERTALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVSRRPRIGAEQRSIDIITESYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPDVEEGICQVLAHMWLDSEIYSSSGNEGASSSSSSPPPPPSKKGQQSDFEKKLGEFFKHQIESDESPAYGEGFRVGNQAVLKYGLRTTLDHIRMTGNFPV >Potri.007G032500.11.v4.1 pep chromosome:Pop_tri_v4:7:2492874:2499225:-1 gene:Potri.007G032500.v4.1 transcript:Potri.007G032500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032500.v4.1 MRWWTKFLKGSSHKGHYHRRYEDRYRDEPRQSVDDSSGFDKEEIECAIALSLSVEDQKGKKVIEEDTESEQSEEDYQTHQSEEDYQTHQSEEDYQTHQSQEDYQRHQPEEDEKDVNAQLEGDEQLAKAMQENLSVEPPPQARYDSGNVVPPYAFFFPSSYRICAGCNTEIGQGRFLSCLDSVWHPDCFRCDACNLPISDYEFSMSGNRHYHKSCYRNQHHPKCDVCNNFIPTNSSGLIEYKVHPFWKQKYCPSHERDGTPRCCCCERMEPRDTRYLSLDDGRKLCLECLDSAVVDTLECQPLYFEIREFYEGLNMKVEQEIPLLLVERTALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVSRRPRIGAEQRSIDIITESYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPDVEEGICQVLAHMWLDSEIYSSSGNEGASSSSSSPPPPPSKKGQQSDFEKKLGEFFKHQIESDESPAYGEGFRVGNQAVLKYGLRTTLDHIRMTGNFPV >Potri.007G032500.14.v4.1 pep chromosome:Pop_tri_v4:7:2492869:2499207:-1 gene:Potri.007G032500.v4.1 transcript:Potri.007G032500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032500.v4.1 MRWWTKFLKGSSHKGHYHRRYEDRYRDEPRQSVDDSSGFDKEEIECAIALSLSVEDQKGKKVIEEDTESEQSEEDYQTHQSEEDYQTHQSEEDYQTHQSQEDYQRHQPEEDEKDVNAQLEGDEQLAKAMQENLSVEPPPQARYDSGNVVPPYAFFFPSSYRICAGCNTEIGQGRFLSCLDSVWHPDCFRCDACNLPISDYEFSMSGNRHYHKSCYRNQHHPKCDVCNNFIPTNSSGLIEYKVHPFWKQKYCPSHERDGTPRCCCCERMEPRDTRYLSLDDGRKLCLECLDSAVVDTLECQPLYFEIREFYEGLNMKVEQEIPLLLVERTALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVSRRPRIGAEQRSIDIITESYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPDVEEGICQVLAHMWLDSEIYSSSGNEGASSSSSSPPPPPSKKGQQSDFEKKLGEFFKHQIESDESPAYGEGFRVGNQAVLKYGLRTTLDHIRMTGNFPV >Potri.007G032500.7.v4.1 pep chromosome:Pop_tri_v4:7:2492804:2499207:-1 gene:Potri.007G032500.v4.1 transcript:Potri.007G032500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032500.v4.1 MRWWTKFLKGSSHKGHYHRRYEDRYRDEPRQSVDDSSGFDKEEIECAIALSLSVEDQKGKKVIEEDTESEQSEEDYQTHQSEEDYQTHQSEEDYQTHQSQEDYQRHQPEEDEKDVNAQLEGDEQLAKAMQENLSVEPPPQARYDSGNVVPPYAFFFPSSYRICAGCNTEIGQGRFLSCLDSVWHPDCFRCDACNLPISDYEFSMSGNRHYHKSCYRNQHHPKCDVCNNFIPTNSSGLIEYKVHPFWKQKYCPSHERDGTPRCCCCERMEPRDTRYLSLDDGRKLCLECLDSAVVDTLECQPLYFEIREFYEGLNMKVEQEIPLLLVERTALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVSRRPRIGAEQRSIDIITESYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPDVEEGICQVLAHMWLDSEIYSSSGNEGASSSSSSPPPPPSKKGQQSDFEKKLGEFFKHQIESDESPAYGEGFRVGNQAVLKYGLRTTLDHIRMTGNFPV >Potri.007G032500.12.v4.1 pep chromosome:Pop_tri_v4:7:2492785:2499239:-1 gene:Potri.007G032500.v4.1 transcript:Potri.007G032500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032500.v4.1 MRWWTKFLKGSSHKGHYHRRYEDRYRDEPRQSVDDSSGFDKEEIECAIALSLSVEDQKGKKVIEEDTESEQSEEDYQTHQSEEDYQTHQSEEDYQTHQSQEDYQRHQPEEDEKDVNAQLEGDEQLAKAMQENLSVEPPPQARYDSGNVVPPYAFFFPSSYRICAGCNTEIGQGRFLSCLDSVWHPDCFRCDACNLPISDYEFSMSGNRHYHKSCYRNQHHPKCDVCNNFIPTNSSGLIEYKVHPFWKQKYCPSHERDGTPRCCCCERMEPRDTRYLSLDDGRKLCLECLDSAVVDTLECQPLYFEIREFYEGLNMKVEQEIPLLLVERTALNEAMEGEKNGHHHLPETRGLCLSEEQTVTTVSRRPRIGAEQRSIDIITESYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPDVEEGICQVLAHMWLDSEIYSSSGNEGASSSSSSPPPPPSKKGQQSDFEKKLGEFFKHQIESDESPAYGEGFRVGNQAVLKYGLRTTLDHIRMTGNFPV >Potri.006G185100.1.v4.1 pep chromosome:Pop_tri_v4:6:19133021:19136391:-1 gene:Potri.006G185100.v4.1 transcript:Potri.006G185100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185100.v4.1 MGFSFLRSILILSLFFKPLACQQPNTDGFFISEFLKNTGLTSSPLYNSSASVCSWQGVFCDAKKENVVEFLASGLGLSGSIPDTTIGKLSKLQTLDLSNNKITSLPSDLWSLGTLKVLNLSSNQISGPLPNNIGNFGALEIIDLSSNNFSGEIPAAISSLGGLRVLKLDRNGFEGSIPSGILSCQSLYFIDLSMNKLDGSLPDGFGTAFPKLKTLNLAGNGIQGRDSDISSMKSITSLNISGNSFQGSVMGVFQELVEVMDLSKNQFQGHISQVHFNSTYNWSRLVYLDLSENQLSGEIFQDFSQAPNLKYLNLAFNRFTKEDFPRIDMLSELEYLNLSKTSVTGHIPSEIAQLSSLHTLDLSQNHLSGQIPRLTIKNLQVLDVSHNNLSGEIPVSLLQKLPRMESYNFSYNNLTLCGTEFSRETFQTHFHGSLDSCPIAANPGLFKRKVTNHKGLKLALGLALSLVFLLAGLLFLAFGCRRKPKTWEVKQTSYKEEQNISGPFSFQTDSTTWVADVKQANSVPVVIFEKPLLNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIHVAVKVLVHGSTLTDQEAARELEYLGGIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLHDLPLGIQITEDWSRETWEEDDNNGIQNVGSEGLLTTWRFRHKIALGTARALSFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLARVLGNGLDEEIARGSPGYVPPEFTDPDNDSSTPKSDVYCFGVVLFELITRKKPIGDDYPGEKNSTLVSWVRGLVRKSQGSRAIDPKIRNTGPEREMEEALKIGYLCTADLPSKRPSMQQIVGLLKDIEPTTHQ >Potri.016G083100.6.v4.1 pep chromosome:Pop_tri_v4:16:6414261:6434978:-1 gene:Potri.016G083100.v4.1 transcript:Potri.016G083100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083100.v4.1 MKQRILCSRIFTLPFYSTNFIPVRASISIARLFTLPSLSLSHPNIIMPQRGQQKCKAEADTDKAASSSSMPSAVAEAVTNRMGGLSIIAENEVHKTISKPKSYQTIANADVENVSETTPKSSASLSKIFKGNLLENFTLDDSTYSLAQIRATFYPKFENEKSDQEIRARMIEVVSKGLGTLEVTLKHSGSLFMYAGHEGGAYAKNSFGNVYTAVGVFVLGRMFQEAWGTAAGKKQVEFNDFLEINRMCISMELVTAVLGDHGQRPREDYVVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDALCEEGLATTVCRALDEVADISVPGSIDHIKVQGEILEGLVARIVGHESSKHMEEVLREYPPPPVEGAGLDLGPSLREICAANRSDEKQQIKALLQSVGSSFCPNFSDWFGVESGDSHSKNADRSVVSKFLQAHPSDFSTTKLQEMIRLMRERRLPAAFKCYHNFHKIGSVSVDNLFYKLVIHVHSDSAFRRYQKEMRYKPGLWPLYRGFFVDINLFKANKERAAEIAKNNNIDGNVNDRAKDGLADDDANLMIKLKFLTYKLRTFLIRNGLSTLFKDGPSAYKAYYLRQMKIWGTSAGKQQELSKMLDEWAVHIRRKCGKKQLSSSIYLTEAESFLEQYASRSPENQVLIGSAGSFVRAEDFMAIIEGGRDEEGDLEMDKEVVSPSPISSFKETVQKDKGLIVFFPGIPGCAKSVLCKELLNAPGGLGDDRPVHSLMGDLIKGKYWQKIADERRKKPYSVILADKNAPNEEVWRQIEGMCRSTQASAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKSSPNAGFVLLMFYHLYDGKNRTEFESELIERFGSLVKMPLLRPDRSSLPDPVRLILEEGINLYRLHTNAHGRLESTKGSYGKEWVKWEKQLREVLIGSAEHLNSIQVPFESAVKQVSEQLQNIIKGEYTPPSTEMRKLGTIIFAAVSLPATEISSLLDKLVENNPKVKSFLKDKDMEHNLKKAHLTLAHKRSHGVTAVARYGHLLHQKVPVELTALLFTDEMAALEAEVGSVDGEKVIPKNEWPHVTLWTGEKIAAKEANRLPQLLLEGKAIRIEINPPIIISGELEFY >Potri.016G083100.8.v4.1 pep chromosome:Pop_tri_v4:16:6414153:6434972:-1 gene:Potri.016G083100.v4.1 transcript:Potri.016G083100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083100.v4.1 MFQEAWGTAAGKKQVEFNDFLEINRMCISMELVTAVLGDHGQRPREDYVVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDALCEEGLATTVCRALDEVADISVPGSIDHIKVQGEILEGLVARIVGHESSKHMEEVLREYPPPPVEGAGLDLGPSLREICAANRSDEKQQIKALLQSVGSSFCPNFSDWFGVESGDSHSKNADRSVVSKFLQAHPSDFSTTKLQEMIRLMRERRLPAAFKCYHNFHKIGSVSVDNLFYKLVIHVHSDSAFRRYQKEMRYKPGLWPLYRGFFVDINLFKANKERAAEIAKNNNIDGNVNDRAKDGLADDDANLMIKLKFLTYKLRTFLIRNGLSTLFKDGPSAYKAYYLRQMKIWGTSAGKQQELSKMLDEWAVHIRRKCGKKQLSSSIYLTEAESFLEQYASRSPENQVLIGSAGSFVRAEDFMAIIEGGRDEEGDLEMDKEVVSPSPISSFKETVQKDKGLIVFFPGIPGCAKSVLCKELLNAPGGLGDDRPVHSLMGDLIKGKYWQKIADERRKKPYSVILADKNAPNEEVWRQIEGMCRSTQASAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKSSPNAGFVLLMFYHLYDGKNRTEFESELIERFGSLVKMPLLRPDRSSLPDPVRLILEEGINLYRLHTNAHGRLESTKGSYGKEWVKWEKQLREVLIGSAEHLNSIQVPFESAVKQVSEQLQNIIKGEYTPPSTEMRKLGTIIFAAVSLPATEISSLLDKLVENNPKVKSFLKDKDMEHNLKKAHLTLAHKRSHGVTAVARYGHLLHQKVPVELTALLFTDEMAALEAEVGSVDGEKVIPKNEWPHVTLWTGEKIAAKEANRLPQLLLEGKAIRIEINPPIIISGELEFY >Potri.016G083100.9.v4.1 pep chromosome:Pop_tri_v4:16:6414239:6434972:-1 gene:Potri.016G083100.v4.1 transcript:Potri.016G083100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083100.v4.1 MFQEAWGTAAGKKQVEFNDFLEINRMCISMELVTAVLGDHGQRPREDYVVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDALCEEGLATTVCRALDEVADISVPGSIDHIKVQGEILEGLVARIVGHESSKHMEEVLREYPPPPVEGAGLDLGPSLREICAANRSDEKQQIKALLQSVGSSFCPNFSDWFGVESGDSHSKNADRSVVSKFLQAHPSDFSTTKLQEMIRLMRERRLPAAFKCYHNFHKIGSVSVDNLFYKLVIHVHSDSAFRRYQKEMRYKPGLWPLYRGFFVDINLFKANKERAAEIAKNNNIDGNVNDRAKDGLADDDANLMIKLKFLTYKLRTFLIRNGLSTLFKDGPSAYKAYYLRQMKIWGTSAGKQQELSKMLDEWAVHIRRKCGKKQLSSSIYLTEAESFLEQYASRSPENQVLIGSAGSFVRAEDFMAIIEGGRDEEGDLEMDKEVVSPSPISSFKETVQKDKGLIVFFPGIPGCAKSVLCKELLNAPGGLGDDRPVHSLMGDLIKGKYWQKIADERRKKPYSVILADKNAPNEEVWRQIEGMCRSTQASAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKSSPNAGFVLLMFYHLYDGKNRTEFESELIERFGSLVKMPLLRPDRSSLPDPVRLILEEGINLYRLHTNAHGRLESTKGSYGKEWVKWEKQLREVLIGSAEHLNSIQVPFESAVKQVSEQLQNIIKGEYTPPSTEMRKLGTIIFAAVSLPATEISSLLDKLVENNPKVKSFLKDKDMEHNLKKAHLTLAHKRSHGVTAVARYGHLLHQKVPVELTALLFTDEMAALEAEVGSVDGEKVIPKNEWPHVTLWTGEKIAAKEANRLPQLLLEGKAIRIEINPPIIISGELEFY >Potri.016G083100.7.v4.1 pep chromosome:Pop_tri_v4:16:6414285:6434972:-1 gene:Potri.016G083100.v4.1 transcript:Potri.016G083100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083100.v4.1 MPSAVAEAVTNRMGGLSIIAENEVHKTISKPKSYQTIANADVENVSETTPKSSASLSKIFKGNLLENFTLDDSTYSLAQIRATFYPKFENEKSDQEIRARMIEVVSKGLGTLEVTLKHSGSLFMYAGHEGGAYAKNSFGNVYTAVGVFVLGRMFQEAWGTAAGKKQVEFNDFLEINRMCISMELVTAVLGDHGQRPREDYVVVTAVTELGNGKPKFYSTPEVIAFCRKWRLPTNHVWLFSTRKSVTSFFAAYDALCEEGLATTVCRALDEVADISVPGSIDHIKVQGEILEGLVARIVGHESSKHMEEVLREYPPPPVEGAGLDLGPSLREICAANRSDEKQQIKALLQSVGSSFCPNFSDWFGVESGDSHSKNADRSVVSKFLQAHPSDFSTTKLQEMIRLMRERRLPAAFKCYHNFHKIGSVSVDNLFYKLVIHVHSDSAFRRYQKEMRYKPGLWPLYRGFFVDINLFKANKERAAEIAKNNNIDGNVNDRAKDGLADDDANLMIKLKFLTYKLRTFLIRNGLSTLFKDGPSAYKAYYLRQMKIWGTSAGKQQELSKMLDEWAVHIRRKCGKKQLSSSIYLTEAESFLEQYASRSPENQVLIGSAGSFVRAEDFMAIIEGGRDEEGDLEMDKEVVSPSPISSFKETVQKDKGLIVFFPGIPGCAKSVLCKELLNAPGGLGDDRPVHSLMGDLIKGKYWQKIADERRKKPYSVILADKNAPNEEVWRQIEGMCRSTQASAVPVIPDSEGTDSNPFSLDALAVFMFRVLQRVNHPGNLDKSSPNAGFVLLMFYHLYDGKNRTEFESELIERFGSLVKMPLLRPDRSSLPDPVRLILEEGINLYRLHTNAHGRLESTKGSYGKEWVKWEKQLREVLIGSAEHLNSIQVPFESAVKQVSEQLQNIIKGEYTPPSTEMRKLGTIIFAAVSLPATEISSLLDKLVENNPKVKSFLKDKDMEHNLKKAHLTLAHKRSHGVTAVARYGHLLHQKVPVELTALLFTDEMAALEAEVGSVDGEKVIPKNEWPHVTLWTGEKIAAKEANRLPQLLLEGKAIRIEINPPIIISGELEFY >Potri.010G104050.1.v4.1 pep chromosome:Pop_tri_v4:10:12614889:12615488:1 gene:Potri.010G104050.v4.1 transcript:Potri.010G104050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104050.v4.1 MSILFSFTLHYFIFRASVRDGCCLSEVRNVKQKRITRCESILEFSNIELVE >Potri.010G139400.1.v4.1 pep chromosome:Pop_tri_v4:10:15342687:15348812:-1 gene:Potri.010G139400.v4.1 transcript:Potri.010G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139400.v4.1 MGNLAFYISCCMCLAFLSILVKFLKEVWWKPIRIQSMMRSQGIRGPSYKFIHGNTKEIINMRKSVQSTPMELSHHELLPIVQPHIHAWIKLYGMTFMYWHGSQAQLVVTEPDLIKEIFNNKNGAFPKRNLPVYMKKLLGDGIVAANGEKWFKLRKLSNHAFHAECLKSMIPAMIASVEVMLKRWRQHDGKEIDVFQEFKLLTSEIISRTAFGSSYLEGQHVFDMLTRMGDIIVRNHYKITIPGIRKFVKMRDDIESDKLEQEIRNCFINMIKNREKAAMEGKWGDFGSDFLGILLLAHHETDKAKRISVEDIIDECKTFYFAGHETTRTSLTWIVLLLAFHTDWQDKARREVLELFGMQNPNPEGITKLKTVSMIINETLRLYSPAIHIPRMVRKEVRLGKLIIPANTEIYIPLVVVHHNPEIWGEDAHLFKPERFADGVAKATNNNMNAFLPFGLGPRSCVGLNFSFTETKIALAMILQHYRFTLSPTYIHSPAHLLTMSPQHGVQIMLETL >Potri.001G439825.1.v4.1 pep chromosome:Pop_tri_v4:1:46575407:46580671:1 gene:Potri.001G439825.v4.1 transcript:Potri.001G439825.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G439825.v4.1 MHDSCCSSFTQGMIHVLWLYKIQRKEKKWGAEQHRSWKNKEKLDEDMEEERNREGRTTNEERRKNRTSNKGRNPETDTGEPRTSKKKSSTIHEEREDENTDTNGRAWKLRKVVRKQKENPKAPTDEEENHHILQTPASPLISPGRGEVRDILDQKDRLEEQDNVKPYNIPSFSLNSTYFFFNMAFFFSFNLATLSSTCSRILN >Potri.009G077900.1.v4.1 pep chromosome:Pop_tri_v4:9:7490628:7494709:-1 gene:Potri.009G077900.v4.1 transcript:Potri.009G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077900.v4.1 MASSCLNSLILPPCSLPRRVTETCASLSSSYPALNPTSSSTGGALKPIVINGDPPTFVSAPGRRIVAVGDVHGDLDQARCALEIAGVLSSDGQDLWTGGETVLIQLGDVLDRGEEEIAILSLLRSLDIQAKAQGGAVFQVNGNHETMNVEGDFRYVDSGAFDECSDFLAYLEDHQYNWENAFLGWIGESKRRREDRKLSQNHWGPWNLVKRQKGVIARSILLRPGGPLACELARHAVVLKINDWVFCHGGLLPQHVAYGVERMNYEVSHWMRGLSEDDTSPNFPFIATKGFDSVVWNRLYSRDMLGLEGYQINRIQSVLEETLQLLGAKAMVVGHTPQTTGVNCKYNCSIWCIDVGMSSGVLNSRPEVLEIVENKARVIRSKRDRFSELQAVDYT >Potri.001G305600.1.v4.1 pep chromosome:Pop_tri_v4:1:31550616:31556054:1 gene:Potri.001G305600.v4.1 transcript:Potri.001G305600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305600.v4.1 MSSAKKAAEAAIEAIGLGYDLGFDLRLKYCKKNSPRLIVINDDDKHVRNMVIPGGFSLPNVPKSIKCDKGERLRFSSDVLSFQQMSEQFNQELSLSGKIPSGHFNAAFEFAGVWQKDAANTKALAFDGVNITLYSIALEKSQVVLCDHVKEAVPSSWEPAALARFIEKYGTHAIVGIKMGGKDMIYMKQQHSSPLQPVDVQKKLKDMADKMFIDGGRSTMNSDKFYDREKLVKHQELAFMDQFPSSSSSHTEDIKFISKRKGGMVKNLPHNEWCQTVQSEPDVISMSFVPITSLLSGINGSGFLTHAINLYIRYKPPIEELHQFLEFQLPRQWAPVFGELALGPDRKQQSNASLQFSLMGPKLYVNTSPVNVGKKPVTGLRLYLEGKRSNCLAIHLQHLSSLPKTFQLADERNGNISDPSSDQRYYEKLKWKSFSHICTAPVESDDDLSIVTGAEFEVGESGLKKVLFLRLQFSKVMGATSFTTSEWDGSPALNQKSGIISTLISTRFSSAQKQPPPQPVVNINSAVYPGGPPVAAQTPKLLKLVDTTEITRGPQDSPGYWVVSGAKLNVDNGKISLRVKYSLLPSVSPDDDVSIEM >Potri.019G013000.1.v4.1 pep chromosome:Pop_tri_v4:19:1749447:1749947:1 gene:Potri.019G013000.v4.1 transcript:Potri.019G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013000.v4.1 MGSQRKNQCLTMTIFVAIVFGPCSHQILAARPLEGEQWLKQNLGNIQSLRRGPVPPSGGSSCTHIPGRGSGHCPLGEMNFAGHIVAHAPPAFPDAIVKFAAASVTNNETQKQDSSS >Potri.009G115000.1.v4.1 pep chromosome:Pop_tri_v4:9:9726420:9729345:-1 gene:Potri.009G115000.v4.1 transcript:Potri.009G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115000.v4.1 MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGINVEEAFQCIAKNALKSGEEEEIYLPDTIDVGTSSQPRSTGCEC >Potri.002G192900.5.v4.1 pep chromosome:Pop_tri_v4:2:15564405:15573271:1 gene:Potri.002G192900.v4.1 transcript:Potri.002G192900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192900.v4.1 MERHRGDHQRHHELQHPNFNQHHPDQNQFNNHNHSHYNYDSNQQMSGEANEPFIGGLFRPNGRKRGRFHSSDYGDGGVNAKLYIAPIPRTTTEENIRSLFEEHGSVVEVVLPRDKRTGQQQAYCFVKYATFEEADRAIRALHNQHTIPGEVAPFKVRYADGERERPVARCSMVGGFVDKLYVGSINKLASKQEIEEIFSPYGHVEDVYIARDELKQSRGCAFVKFAHRDMALAAIKGLNGTLTMRGCDQPLIVRFADPKKPKTGELRGSFAFGGPNFGPCSQQPMIRPAPGCFLPNASFSMQQTSTTGVPQAVAHAAKQEFASPHITEQPLSSIKHSPSQLSQMPLQHMQAPEKCFQSPQQAIFDTHKQTQILEQQQNQQLALQEHVFLQPAWTGNIQPASRNSVTSAVPPSPQIVDPGECDWSEHSCPDGYKYYYNCITCESRWEKPVEITLFLQQFQEEKRLHGSNQQSSLSPVCSAEEVDQTQKELDRVQIQSETSPVIDPSCV >Potri.018G019100.1.v4.1 pep chromosome:Pop_tri_v4:18:1294047:1302363:-1 gene:Potri.018G019100.v4.1 transcript:Potri.018G019100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019100.v4.1 MGASSDYMEDVGPSSKGEVDDMDMDMDEDLMDTTNLNELGKETLQSFCKKAASLFFDEYGLISHQINSYNAFINSGLQRVFDSFGEVAVEPGYDSSKQKDGEWRRASVRFGKVTLDRPSFWGGTSSDAEHNMFPRHARLQNMTYSARMKIHVNVQVYTQTVGRSDKFKTGIDKVVQKNVVHTENREIIIGRIPVMVKSDLCWLTTVEKGDCDFDHGGYFLIKGAEKVFIAQEQICMKRLWISNSQGWTVSYKSEVKRNRLIVRLVELSKLEYIKGEKKGLCVYFLSTEIPLWILFFALGVRSDKEVIDLIDYASNDASIVNIFFASIHDADEKCEHFRREDRALDYVDKLLKKTRFPPKESIEDAISAYLFPRLNSRRHKARFLGYMVKCLLEAYTGHRKCDNRDSFRNKRFELASELLERELKVHVSHALRRMTKALQRDLYGDRDVHPIEHYLDASIVTNGLTRAFSTGAWCHPFKWMERVSGVVGNLGRANPLQTMIDLRKTRQQVLYTGKVGDARYPHPSHWGRVCFLSTPDGENCGLVKNLAVTGVVSTNISESLVDKLFDSGMEKLVDDTYTKLDGKHKVFLNGEWVGVCEDSCLFVGELRSMRRRRELPYQVEIKRDEQQREVRIFSDAGRILRPLLVVENLDKIKAFKGGNYIFTSLLDKGIIEFIGTEEEEDCCTAWGIKFLLADIEGKQPMKYTHCELDMSFLLGLSCGIIPFANHDHARRVLYQAQKHSQQAIGFSTTNPNIRVDTLSHQLHYPQRPLFRTMISDCLGKPGYPLGHNAVLPKPELFNGQNAIVAVNVHLGYNQEDSLVMNRASLERGMFRSEHIRSYKAEVDNKELTDKRRKSEDSITFGKIQSKIGRVDSLDDDGFPFIGANMQSGDIVIGKCAESGADHSVKLKHTERGMVQKVVLSSNDEGKNFAVVSLRQVRSPCLGDKFSSMHGQKGVLGFLESQENFPFTIQGVVPDIVINPHAFPSRQTPGQLLEAALGKGIACGGSKRYATPFSTLSVDDIIDQLHRAKFSRWGNERVYNGRTGEMVRSLIFMGPTFYQRLVHMAEDKVKFRNTGPVHPLTRQPVADRKRFGGIKFGEMERDCLIAHGASANLHERLFTLSDSSEMHICQKCKNVANVIQRGVPGGRKIRGPYCRVCESVDDLVKVSVPYGAKLLCQELFSMGISLKFDTRVS >Potri.006G012900.1.v4.1 pep chromosome:Pop_tri_v4:6:798463:799602:-1 gene:Potri.006G012900.v4.1 transcript:Potri.006G012900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G012900.v4.1 MLIPAEIITDILSRLPVKTLKRFRCVSKSWCKETESPYFINMHLQKLTQARTNLGLILGDRSSTKLYTVDLDKPNPTNSMELFKTIALYNPCTREYKILPSTLFELRIPSGKEYDKFCWERTLYGFGYDPINEDYKVVKIVDYYGNTIDRCFFSEVKVYSLMKSNSWKRIKGYPNYYAIFQPDAVHWTASTEIIRRDLSPTMIVAFGFGVEGFRIIAKPADYLANEHDYFDLGVLGGCLCLLCAKMCFRVQIWAMKDSWSKLCTVVSELQVDRFNFYVRTLAYSKSEDKVLLELDKTFFVLCDSRMRKSELVKIHGAPEMVTTEIFVGSLVSTKK >Potri.001G153300.3.v4.1 pep chromosome:Pop_tri_v4:1:12847788:12851245:1 gene:Potri.001G153300.v4.1 transcript:Potri.001G153300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153300.v4.1 MGTFPALTRSLGHYCIKKSRSFNFPNPHVYSNGHQYQTHRTLLILDSLSSESVRLRRLSDSDSGIVEVNLDRPAAKNSIGKEMLRGLRNAFETIESDVSAQVVLICSSVPKVFCAGADLKERKTMTPSEVQDFVNSLRSTFSLIEALYVPTVAVIEGAALGGGLEMALSCDLRICGEDAVLGLPETGLAIIPGAGGTQRLPRLVGKSLAKELIFTGRKIDGREAMSMGLVNYSVPAGEAHSKALEIAREIIQKGPIAIRMAKKAINEGLEIDLPSALELEEECYEQILNTKDRLEGLAAFAEKRKPRYRGE >Potri.010G160200.10.v4.1 pep chromosome:Pop_tri_v4:10:16628301:16634174:-1 gene:Potri.010G160200.v4.1 transcript:Potri.010G160200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160200.v4.1 MATLPFSAAQSSSVSGFNYRASHSNLFFVRTPRFFNRLKRRNLSVKNITSDQRQELKDPSVNGEASLETLEPDSASIAASIQYHAEFTPLFSPEHFDLPKAFVATAESVRDSLIINWNATYKYYEKMNVKQAYYLSMEYLQGRALLNAIGNLELSGAYADALRKLGHELEDVAGQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAENWLEMGNPWEIVRNDVSYSVKFYGEVISKPDGSKEWIGGENITAVAYDVPIPGYKTKTTINLRLWSTKVAPNEFDLRAYNAGDHAKACAALKNAEKICYILYPGDESTEGKILRLKQQYTLCSASLQDIIAHFERRSGKPVNWENFPDKVAVQMNDTHPTLCIPELIRILIDLKGLSWKESWYITQRTVAYTNHTVLPEALEKWSLDLLQKLLPRHVEIIRMIDEELIHTIIAEYGTGDLDLLQHKLKQMRILDNIELPDSVLELLVKQEESSSVDSIKEVKVSDAETESTDEEQSEEQDTDAKDVVTFDPDPNLPKMVRMANLCVVGGYAVNGVAEIHSEIVKNEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWTGTDDWVLNTEKLSTLAEFSDNEDLQSEWREAKKRNKIKVADFLKEKTGYIVNPDAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKEMSPEERKARYVPRVCIFGGKAFATYVQAKRIVKFITDVGTTVNHDADIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAEAHEIAGLRKERAEGKFIPDPRFEEVKAFVRNGVFGHYNYEELMGSLEGNEGYGRADYFLVGKDFPSYVECQEKVDEAYKDQKVSSIC >Potri.010G160200.9.v4.1 pep chromosome:Pop_tri_v4:10:16627841:16634220:-1 gene:Potri.010G160200.v4.1 transcript:Potri.010G160200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160200.v4.1 MATLPFSAAQSSSVSGFNYRASHSNLFFVRTPRFFNRLKRRNLSVKNITSDQRQELKDPSVNGEASLETLEPDSASIAASIQYHAEFTPLFSPEHFDLPKAFVATAESVRDSLIINWNATYKYYEKMNVKQAYYLSMEYLQGRALLNAIGNLELSGAYADALRKLGHELEDVAGQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQLITKDGQEEVAENWLEMGNPWEIVRNDVSYSVKFYGEVISKPDGSKEWIGGENITAVAYDVPIPGYKTKTTINLRLWSTKVAPNEFDLRAYNAGDHAKACAALKNAEKICYILYPGDESTEGKILRLKQQYTLCSASLQDIIAHFERRSGKPVNWENFPDKVAVQMNDTHPTLCIPELIRILIDLKGLSWKESWYITQRTVAYTNHTVLPEALEKWSLDLLQKLLPRHVEIIRMIDEELIHTIIAEYGTGDLDLLQHKLKQMRILDNIELPDSVLELLVKQEESSSVDSIKEVKVSDAETESTDEEQSEEQDTDAKDVVTFDPDPNLPKMVRMANLCVVGGYAVNGVAEIHSEIVKNEVFNEFYKLWPEKFQNKTNGVTPRRWIRFCNPDLSKIITKWTGTDDWVLNTEKLSTLAEFSDNEDLQSEWREAKKRNKIKVADFLKEKTGYIVNPDAMFDVQVKRIHEYKRQLLNIMGIVYRYKKMKEMSPEERKARYVPRVCIFGGKAFATYVQAKRIVKFITDVGTTVNHDADIGDLLKVVFVPDYNVSVAEVLIPGSELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIRQEVGEDNFFLFGAEAHEIAGLRKERAEGKFIPDPRFEEVKAFVRNGVFGHYNYEELMGSLEGNEGYGRADYFLVGKDFPSYVECQEKVDEAYKDQKRWTKMSILNTAGSYKFSSDRTIHEYARDIWRIQPVLLP >Potri.009G073600.2.v4.1 pep chromosome:Pop_tri_v4:9:7209437:7212507:1 gene:Potri.009G073600.v4.1 transcript:Potri.009G073600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073600.v4.1 MRLQSSSVIILMKAERESKMRKEEDESLIIYLKKEVEAALLRTDSLEKENQELQQEVVRLKAQISSLKAHDNERKSMLWKKLQNPIDSSKTDVFLQKQSDFVKVSEEHSSPRPSIQELPSRKEKLAKVPNPPPRPTSVAPSSPKEVNSNKLSPAPAPAPAPPPPPPPPKMSVGSKTVRRVPEVAEFYRLVTRRDVHMENRINSAAIPVVAFTPSMIGEIENRSTYLSAIKSDVEKQKEFINFLIKEVESAAFKEISDVKAFVKWLDDELSSLVDERAVLKHFPQWPERKADALREAAFNYRDLINLESEVSSFQDNKKEPLIRALGRMQALQDRLERSVNNTERTRESMIKRYRDLQIPWEWLLNTGLIGQMKLSSLRLAKDYLKRITKELQLNECSGEENLLLQGARFAYRVHQFAGGFDAETTHAFQELKKIGMGSLKQ >Potri.009G073600.3.v4.1 pep chromosome:Pop_tri_v4:9:7209437:7212507:1 gene:Potri.009G073600.v4.1 transcript:Potri.009G073600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073600.v4.1 MRLQSSSVIILMKAERESKMRKEEDESLIIYLKKEVEAALLRTDSLEKENQELQQEVVRLKAQISSLKAHDNERKSMLWKKLQNPIDSSKTDVFLQKQSDFVKVSEEHSSPRPSIQELPSRKEKLAKVPNPPPRPTSVAPSSPKEVNSNKLSPAPAPAPAPPPPPPPPKMSVGSKTVRRVPEVAEFYRLVTRRDVHMENRINSAAIPVVAFTPSMIGEIENRSTYLSAIKSDVEKQKEFINFLIKEVESAAFKEISDVKAFVKWLDDELSSLVDERAVLKHFPQWPERKADALREAAFNYRDLINLESEVSSFQDNKKEPLIRALGRMQALQDRRACWSEV >Potri.017G093000.2.v4.1 pep chromosome:Pop_tri_v4:17:10566338:10567006:-1 gene:Potri.017G093000.v4.1 transcript:Potri.017G093000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093000.v4.1 MSSMPAKSKISLKKTARRRRRLPHAGACKAQRGIYNGGLTSSCSKVSDKLEALKNLIPATCHNGEIVKPDQLFKETADYILLLRTQVVLLQGLVEFYGSSTPERENNSVL >Potri.009G020201.2.v4.1 pep chromosome:Pop_tri_v4:9:3243341:3244213:-1 gene:Potri.009G020201.v4.1 transcript:Potri.009G020201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020201.v4.1 MFAELKKLLHDLKEEGTLLHDLTVCRVCRAFDIEIRLLDTIAELRYAQKRVLFFPWRFSELWFMGFCTPTCQFNHNICIVAEF >Potri.009G020201.1.v4.1 pep chromosome:Pop_tri_v4:9:3243342:3244212:-1 gene:Potri.009G020201.v4.1 transcript:Potri.009G020201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020201.v4.1 MFAELKKLLHDLKEEGTLLHDLTVCRVCRAFDIEISEDNTTKGLLDTIAELRYAQKRVLFFPWRFSELWFMGFCTPTCQFNHNICIVAEF >Potri.009G032700.4.v4.1 pep chromosome:Pop_tri_v4:9:4329354:4332471:1 gene:Potri.009G032700.v4.1 transcript:Potri.009G032700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032700.v4.1 MGSDSRYTEFPGSNKFEDEIVFPVSNQYQNVTNGFKIEDLDLDHLENPLVLPDPDPGNSALSSITSMDGDSPSDDNDSENLLKYISQMLMEENMEEKPCMFHDPLALQAAERSLYDILGEKTLPSSPHESPSYGDQFLVDSPDDNFWSSRSDYSSNSSSTSNTASLVDPQWNGESGESKPSFMQMPLSTNFVFQSAANPSSQSSFKLHNGLASNSDSAIKPSVGNIVVQNIFSDSDLALQFKRGVEEASKFLPKGNPLVIDLENSSLAPEMNRNAPNVVVKAEKEDKEDKEYLPEWLTGKKNHEREDGDFEEERSNKQSAVYVDESELSEMFDMLLGFGDGCQPPQCILHEAEQRESGKTLQQNGQTRGTNGSKTRAKRQGNNKEVVDLRTFLILCAQAVSVNDCRTANELLKQIRQHSSPLGDGSQRLAHCFANALEARLAGTGTQIYTALSAEKTSAVDMLKAYQAYISACPFKKIAFIFANHSILNVAEKASTLHIIDFGILYGFQWPSLIYRLSCRPGGPPKLRITGIELPQSGFRPTERVQETGRRLAKYCERYNVPFEYNAIAQKWDTIQIDDLKIDRNEVLAVNCGFRFKNLLDETVVVNSPRNAVLNLIRKTKPDIFVHAIVNGSYNAPFFVTRFREALFHFSALFDMLDTNMPREDKMRLKFEKEFYGREVMNVIACEGSERVERPETYKQWQVRNMRAGLKQLPMDPLLIKKLKCKVKAGYHEDFVVDEDGNWMLQGWKGRIVYASSAWIPA >Potri.009G032700.2.v4.1 pep chromosome:Pop_tri_v4:9:4329145:4332570:1 gene:Potri.009G032700.v4.1 transcript:Potri.009G032700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032700.v4.1 MGSDSRYTEFPGSNKFEDEIVFPVSNQYQNVTNGFKIEDLDLDHLENPLVLPDPDPGNSALSSITSMDGDSPSDDNDSENLLKYISQMLMEENMEEKPCMFHDPLALQAAERSLYDILGEKTLPSSPHESPSYGDQFLVDSPDDNFWSSRSDYSSNSSSTSNTASLVDPQWNGESGESKPSFMQMPLSTNFVFQSAANPSSQSSFKLHNGLASNSDSAIKPSVGNIVVQNIFSDSDLALQFKRGVEEASKFLPKGNPLVIDLENSSLAPEMNRNAPNVVVKAEKEDKEDKEYLPEWLTGKKNHEREDGDFEEERSNKQSAVYVDESELSEMFDMLLGFGDGCQPPQCILHEAEQRESGKTLQQNGQTRGTNGSKTRAKRQGNNKEVVDLRTFLILCAQAVSVNDCRTANELLKQIRQHSSPLGDGSQRLAHCFANALEARLAGTGTQIYTALSAEKTSAVDMLKAYQAYISACPFKKIAFIFANHSILNVAEKASTLHIIDFGILYGFQWPSLIYRLSCRPGGPPKLRITGIELPQSGFRPTERVQETGRRLAKYCERYNVPFEYNAIAQKWDTIQIDDLKIDRNEVLAVNCGFRFKNLLDETVVVNSPRNAVLNLIRKTKPDIFVHAIVNGSYNAPFFVTRFREALFHFSALFDMLDTNMPREDKMRLKFEKEFYGREVMNVIACEGSERVERPETYKQWQVRNMRAGLKQLPMDPLLIKKLKCKVKAGYHEDFVVDEDGNWMLQGWKGRIVYASSAWIPA >Potri.008G200100.3.v4.1 pep chromosome:Pop_tri_v4:8:14181417:14185664:-1 gene:Potri.008G200100.v4.1 transcript:Potri.008G200100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200100.v4.1 MAQILAPSSQWQMRIAKNSAPASPMTAKMWSSLVLKQNKKGTAKSSAKFRVFAVKSENGTINRMEDLLNLDLTPYTNNFIAEYIWIGGSGIDLRSKSRTISKPIEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPQGEPIPTNKRHRAAEIFSNKKVVDEVPWFGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINVSGTNGEVMPGQWEYQVGPSVGIDAGDHIWISRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKTMREEGGYEAIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRETEKQGKGYLEDRRPASNMDPYVVTALLAETTILYEPTLEAEALAAQKLSMNV >Potri.008G200100.15.v4.1 pep chromosome:Pop_tri_v4:8:14181500:14186234:-1 gene:Potri.008G200100.v4.1 transcript:Potri.008G200100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200100.v4.1 MAQILAPSSQWQMRIAKNSAPASPMTAKMWSSLVLKQNKKGTAKSSAKFRVFAVKSENGTINRMEDLLNLDLTPYTNNFIAEYIWIGGSGIDLRSKSRTISKPIEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPQGEPIPTNKRHRAAEIFSNKKVVDEVPWFGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINVSGTNGEVMPGQWEYQVGPSVGIDAGDHIWISRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKTMREEGGYEAIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRETEKQGKGYLEDRRPASNMDPYVVTALLAETTILYEPTLEAEALAAQKLSMNV >Potri.008G200100.14.v4.1 pep chromosome:Pop_tri_v4:8:14181249:14186429:-1 gene:Potri.008G200100.v4.1 transcript:Potri.008G200100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200100.v4.1 MAQILAPSSQWQMRIAKNSAPASPMTAKMWSSLVLKQNKKGTAKSSAKFRVFAVKSENGTINRMEDLLNLDLTPYTNNFIAEYIWIGGSGIDLRSKSRTISKPIEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPQGEPIPTNKRHRAAEIFSNKKVVDEVPWFGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINVSGTNGEVMPGQWEYQVGPSVGIDAGDHIWISRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKTMREEGGYEAIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRETEKQGKGYLEDRRPASNMDPYVVTALLAETTILYEPTLEAEALAAQKLSMNV >Potri.008G200100.13.v4.1 pep chromosome:Pop_tri_v4:8:14181499:14186231:-1 gene:Potri.008G200100.v4.1 transcript:Potri.008G200100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200100.v4.1 MAQILAPSSQWQMRIAKNSAPASPMTAKMWSSLVLKQNKKGTAKSSAKFRVFAVKSENGTINRMEDLLNLDLTPYTNNFIAEYIWIGGSGIDLRSKSRTISKPIEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPQGEPIPTNKRHRAAEIFSNKKVVDEVPWFGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACLYAGINVSGTNGEVMPGQWEYQVGPSVGIDAGDHIWISRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKTMREEGGYEAIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRETEKQGKGYLEDRRPASNMDPYVVTALLAETTILYEPTLEAEALAAQKLSMNV >Potri.009G116400.1.v4.1 pep chromosome:Pop_tri_v4:9:9831560:9832749:1 gene:Potri.009G116400.v4.1 transcript:Potri.009G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116400.v4.1 MSAEVEYRCFVGGLAWATTDQVLQEAFSQYGEIIDSKIINDRETGRSRGFGFVTFGNEKAMRDAIDGMNGQDLDGRNITVNEAQSRGSGGGGGGGGGYNRNSGGGGYGGGGRREGGGGYSRGGGGYGGGGSGYGSGGGGGGYGGGRDRGYGDGGSRYSSRGESEGGSWRS >Potri.009G116400.4.v4.1 pep chromosome:Pop_tri_v4:9:9831560:9832526:1 gene:Potri.009G116400.v4.1 transcript:Potri.009G116400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116400.v4.1 MRDAIDGMNGQDLDGRNITVNEAQSRGSGGGGGGGGGYNRNSGGGGYGGGGRREGGGGYSRGGGGYGGGGSGYGSGGGGGGYGGGRDRGYGDGGSRYSSRGESEGGSWRS >Potri.001G047200.4.v4.1 pep chromosome:Pop_tri_v4:1:3475816:3484829:-1 gene:Potri.001G047200.v4.1 transcript:Potri.001G047200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047200.v4.1 MLFIMTNAVLPDLLLKNCASNMIDFIGESHGIYPTLPVYNTFLGACSDMSRADYADQCLQLMERRMMGKDEVTYIMLLKLAVSQQNLSAVYEIWEDYIKHFSPSILTLQKFIWSFTRLRDLKSAYEKLQHMVVLAIRGNTFVQTSSRGQLYPSRVNVPIHPNCELGLQKFDLKDNEQSVPLSANASACNIQECDNEQSVPSTANASACNVQECDNEQSVPLTANAPACNIQECVTSDMGNKEVESAGQVGLDKRKIMPFSRILRWSFNDVIHACAQAKKPGLAKQLMLQMENIGLLPSSHTYNGFARAVSKRHFRKGMEVLKTMQQKNLKPCDPTLATISVACSKALELDLAEVLLDQITNCPYPYPYNSFLEACDAMDQPERAVRMLAKMKKLKIQPDIRTYQQLFSLVGNTNAPYEDGDMLSRVDSAKRIKAIEKDMAKNGVQHSRESMKNLLKALGKEGMMRELMQYLGVAEDLFYHSNTHLGIPIYNTVLHSLVEAEECRMAIALFKHMKASGFEPNAATYCIMIDCCRTIRCYKSACALVSMMLRSGFYLQTVGYTALIKILLQDENFDEALNLLDQGHSEEIKLDVLLYNPVLHVAKDKGRIDIIELIVEQMHREKIQPDTTTCNNVFTAYVYCGFHNMAMEALQVLSMRMISQEDCVLEEKKAELEDLILSEDKEAESRILEHFKDFEENIAVALLNLRNCAILGFPISWSPNKSAWARRLSANYDSRKKVH >Potri.001G047200.1.v4.1 pep chromosome:Pop_tri_v4:1:3475755:3484838:-1 gene:Potri.001G047200.v4.1 transcript:Potri.001G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047200.v4.1 MLVCQRMHRPLGRVSFCSIAEKFKSHKQGQRNVTDEFWFSQNFTALIGNNVSKHCPESTTDSILKQIVSALHMGERSRASALLLELGQEKKSLKPRNFVPILQYCARSPDPLLVLETWQIMEEKEVGLDSKCYLLMIRALCKGGYLEEASNMIDFIGESHGIYPTLPVYNTFLGACSDMSRADYADQCLQLMERRMMGKDEVTYIMLLKLAVSQQNLSAVYEIWEDYIKHFSPSILTLQKFIWSFTRLRDLKSAYEKLQHMVVLAIRGNTFVQTSSRGQLYPSRVNVPIHPNCELGLQKFDLKDNEQSVPLSANASACNIQECDNEQSVPSTANASACNVQECDNEQSVPLTANAPACNIQECVTSDMGNKEVESAGQVGLDKRKIMPFSRILRWSFNDVIHACAQAKKPGLAKQLMLQMENIGLLPSSHTYNGFARAVSKRHFRKGMEVLKTMQQKNLKPCDPTLATISVACSKALELDLAEVLLDQITNCPYPYPYNSFLEACDAMDQPERAVRMLAKMKKLKIQPDIRTYQQLFSLVGNTNAPYEDGDMLSRVDSAKRIKAIEKDMAKNGVQHSRESMKNLLKALGKEGMMRELMQYLGVAEDLFYHSNTHLGIPIYNTVLHSLVEAEECRMAIALFKHMKASGFEPNAATYCIMIDCCRTIRCYKSACALVSMMLRSGFYLQTVGYTALIKILLQDENFDEALNLLDQGHSEEIKLDVLLYNPVLHVAKDKGRIDIIELIVEQMHREKIQPDTTTCNNVFTAYVYCGFHNMAMEALQVLSMRMISQEDCVLEEKKAELEDLILSEDKEAESRILEHFKDFEENIAVALLNLRNCAILGFPISWSPNKSAWARRLSANYDSRKKVH >Potri.001G047200.3.v4.1 pep chromosome:Pop_tri_v4:1:3475163:3484721:-1 gene:Potri.001G047200.v4.1 transcript:Potri.001G047200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047200.v4.1 MLVCQRMHRPLGRVSFCSIAEKFKSHKQGQRNVTDEFWFSQNFTALIGNNVSKHCPESTTDSILKQIVSALHMGERSRASALLLELGQEKKSLKPRNFVPILQYCARSPDPLLVLETWQIMEEKEVGLDSKCYLLMIRALCKGGYLEEASNMIDFIGESHGIYPTLPVYNTFLGACSDMSRADYADQCLQLMERRMMGKDEVTYIMLLKLAVSQQNLSAVYEIWEDYIKHFSPSILTLQKFIWSFTRLRDLKSAYEKLQHMVVLAIRGNTFVQTSSRGQLYPSRVNVPIHPNCELGLQKFDLKDNEQSVPLSANASACNIQECDNEQSVPSTANASACNVQECDNEQSVPLTANAPACNIQECVTSDMGNKEVESAGQVGLDKRKIMPFSRILRWSFNDVIHACAQAKKPGLAKQLMLQMENIGLLPSSHTYNGFARAVSKRHFRKGMEVLKTMQQKNLKPCDPTLATISVACSKALELDLAEVLLDQITNCPYPYPYNSFLEACDAMDQPERAVRMLAKMKKLKIQPDIRTYQQLFSLVGNTNAPYEDGDMLSRVDSAKRIKAIEKDMAKNGVQHSRESMKNLLKALGKEGMMRELMQYLGVAEDLFYHSNTHLGIPIYNTVLHSLVEAEECRMAIALFKHMKASGFEPNAATYCIMIDCCRTIRCYKSACALVSMMLRSGFYLQTVGYTALIKILLQDENFDEALNLLDQGHSEEIKLDVLLYNPVLHVAKDKGRIDIIELIVEQMHREKIQPDTTTCNNVFTAYVYCGFHNMAMEALQVLSMRMISQEDCVLEEKKAELEDLILSEDKEAESRILEHFKDFEENIAVALLNLRNCAILGFPISWSPNKSAWARRLSANYDSRKKVH >Potri.002G070000.2.v4.1 pep chromosome:Pop_tri_v4:2:4875656:4887754:1 gene:Potri.002G070000.v4.1 transcript:Potri.002G070000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070000.v4.1 MDLTEGVGESSSPPRSFGSFSNHDVRNDVYNRFIESGHEEAVSNPELFREHIDSHFNRLPASYGLDVNMDKVEDVLLHQKLLAMAKDPERRPVYHIRFLEKLRTKTEVNGEQQFITMTPIPRPACDTDDEGVVPSQKRIKDCTIEFEPCSKLEDLNLDVRKSSKDMEERYHSGDVSRRQEVSHVPIHEFIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPFEDTDGLYKAMEEAIARSEGSWSGSSHPRSSIDKALAAGAKPGDWEIDRRLLKIGESIASGSSGDLYRGVYFGQDVAIKIFRSEQLNDTQEEEFAQEVAILREVQHRNVVRFIGACTKSPRLCIVTEFMPGGSLYDYLHKKHNILELPQLLKFVIDVCKGMEYLHQNNIIHRDLKTANLLMDTQNVVKVADFGVARFQNQGGVMTAETGTYRWMAPEVINHLPYDQKADVFSFAIVLWELVTAKVPYDSMTPLQAALGVRQGLRPDLPQNAHPKLLDLMQRCWETVPDKRPSFSEITVELETLLQESQESAEADNRN >Potri.002G070000.5.v4.1 pep chromosome:Pop_tri_v4:2:4875701:4887628:1 gene:Potri.002G070000.v4.1 transcript:Potri.002G070000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070000.v4.1 MDLTEGVGESSSPPRSFGSFSNHDVRNDVYNRFIESGHEEAVSNPELFREHIDSHFNRLPASYGLDVNMDKVEDVLLHQKLLAMAKDPERRPVYHIRFLEKLRTKTEVNGEQQFITMTPIPRPACDTDDEGVVPSQKRIKDCTIEFEPCSKLEDLNLDVRKSSKDMEERYHSGDVSRRQEVSHVPIHEFIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPFEDTDGLYKAMEEAIARSEGSWSGSSHPRSSIDKALAAGAKPGDWEIDRRLLKIGESIASGSSGDLYRGVYFGQDVAIKIFRSEQLNDTQEEEFAQEVAILREVQHRNVVRFIGACTKSPRLCIVTEFMPGGSLYDYLHKKHNILELPQLLKFVIDVCKGMEYLHQNNIIHRDLKTANLLMDTQNVVKVADFGVARFQNQGGVMTAETGTYRWMAPEVINHLPYDQKADVFSFAIVLWELVTAKVPYDSMTPLQAALGVRQA >Potri.002G070000.1.v4.1 pep chromosome:Pop_tri_v4:2:4875656:4887754:1 gene:Potri.002G070000.v4.1 transcript:Potri.002G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070000.v4.1 MDLTEGVGESSSPPRSFGSFSNHDVRNDVYNRFIESGHEEAVSNPELFREHIDSHFNRLPASYGLDVNMDKVEDVLLHQKLLAMAKDPERRPVYHIRFLEKLRTKTEVNGEQQFITMTPIPRPACDTDDEGVVPSQKRIKDCTIEFEPCSKLEDLNLDVRKSSKDMEERYHSGDVSRRQEVSHVPIHEFIFSTVDKPKLLSQLSALLSDIGLNIREAHVFSTTDGYSLDVFVVDGWPFEDTDGLYKAMEEAIARSEGSWSGSSHPRSSIDKALAAGAKPGDWEIDRRLLKIGESIASGSSGDLYRGVYFGQDVAIKIFRSEQLNDTQEEEFAQEVAILREVQHRNVVRFIGACTKSPRLCIVTEFMPGGSLYDYLHKKHNILELPQLLKFVIDVCKGMEYLHQNNIIHRDLKTANLLMDTQNVVKVADFGVARFQNQGGVMTAETGTYRWMAPEVINHLPYDQKADVFSFAIVLWELVTAKVPYDSMTPLQAALGVRQGLRPDLPQNAHPKLLDLMQRCWETVPDKRPSFSEITVELETLLQESQTCQESAEADNRN >Potri.001G460300.1.v4.1 pep chromosome:Pop_tri_v4:1:48572855:48578675:1 gene:Potri.001G460300.v4.1 transcript:Potri.001G460300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G460300.v4.1 MREENPVETKTKATRFADQNQAPKSQNTKGNSNNNASKIKSSWGSHIVKGFTADKKTKTQTITVTTKKLPLASSDITNQKNLLVNSHSRVKRSLIGDLSCSVTGSQIHPHAYQGNHRRQSSGSRDLFVELDQLRSLLQESKEREFKLQAELSELKRNGRVVDIERELEARKNEVDELCKRIGVLESEKSGLCEQVNELCLISQKRNEEVLKREGHESSLGNLEMEVVELRRLNKELQMDKRNLACKLSSMESQKPSLAKASESDIVAKIKAEASLLRHTNEDLCKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNSDKSSSPKSVERSDESAGLMSCQSSDCLEYNSKRRLNLIKKLKKWPITDEDVPNLECQDKNWVQSEDGRSPRRRHSISGSKFCIEELVPNRRRQSDGFMCIKEMENEVELVSSEKYEFDIIQRPQILAYCQETKKILGPLDVEKRALRVPNPPPRPSCSVSGPKEEGSAQVSLPPLPPPPPPPPPPPKFSVKNTTAGVVQRAPQVVEFYHSLMKRDSRKESSNGGICDASGVANVRSNMIGEIENRSSHLIAIKADVETQGEFVNSLIREVNGAVYRDIEDVVAFVKWLDDELGFLVDERAVLKHFDWPEKKADTLREAAFGYSDLKKLESEVSYYKNDPRVPCDIALKKMVALSEKMERTVYNLLRTRESLMRNCKEFQIPSDWMLDNGIISKIKFGSVKLAKKYMKRVATEIQSKAAALEKDPALDYMLLQGVRFAFRIHQFAGGFDAETMHAFEELRNLAHLLNKK >Potri.012G031400.3.v4.1 pep chromosome:Pop_tri_v4:12:935039:937127:-1 gene:Potri.012G031400.v4.1 transcript:Potri.012G031400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031400.v4.1 MGDTLRLAVGVMGNAASMLLFSAPILTFYRIIRKKSTEEFSCVPYIIALLNCLLYTWYGLPVVSYRWENFPVVTINGLGILLEFSFIFIYFWFTSARGKIKVAITVIPVILVFCITAAISAFALHDHHHRKIFVGSVALVASVAMYGSPLVVVKKVIMTQSVEYMPFYLSFFSFLASSFWMAYGLLSHDLFLAAPNLVGSPLGFLQLILYCKYRKTGIMEEPEKWDLERNEEKSKQLQLVINDSTNDKS >Potri.002G174100.2.v4.1 pep chromosome:Pop_tri_v4:2:13407770:13413052:-1 gene:Potri.002G174100.v4.1 transcript:Potri.002G174100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174100.v4.1 MEILSEEEDQFFDTCEDCPSVSDPGSDSPDNLDSDFGVIGSLPGSIGYEVWIKNPGCIRERRNKFLKWMGLDVNQAGKGDPGNTSSNEVEVETDRIMEHSDAVLRSYSLDDGLSSSQSSMSSWSNDAQELLDGAMEENFLCRIRNLDNGTEFILDELRQDGMSGRIREVGSNRLLTAAEFERSLGFSHLVQQVMRREVEDVPNLGLPRKQVKMGWLRRLGAVSCIVDRQVEAGGNGPYPVAGARNQIVRVKSYKKRSKEFSALYMRQDIPAHEGSILTMKFSPDGQYLASAGDDGVVRVWQVMEKERSDELGILDIHSSHAHFTVNDLSVAPLKVDREKKGKFKSMLTSDSACVIFPQKVFQISDKPIHEFFGHRGEVLDLSWSKDKYLLSSSVDKTVRLWKVGSNKCLQVFFHNDYVTCVQFNPVDENYFISGSIDGKVRIWAIPGCQVVDWTDITEIVTAVCYCPDGKGGIVGSMNGNCRFYDAADNRLQQYAQICLQGKKKSPFKRITGFQFSPSDPTRLMVTSADSQVRILHGVDVICKYRGLRNAGSQISASFTSDGMHIVSASEDSYVYIWNNISQDGPVAQEKKSKWSCERFFSNNVSVAIPWCGMTSMNCSSSTVTRKSPSSINSGPCSEKSVLLQSELVESSQWKLPFSSPENLSLSHGFFSHSLPKGSATWPEENLPASSLVVSSAMCKSQYKFLKMSCQSMHGSPHAWGLVIVTAGWDGRIRSFQNYGLPVHL >Potri.002G174100.3.v4.1 pep chromosome:Pop_tri_v4:2:13408494:13413148:-1 gene:Potri.002G174100.v4.1 transcript:Potri.002G174100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174100.v4.1 MEILSEEEDQFFDTCEDCPSVSDPGSDSPDNLDSDFGVIGSLPGSIGYEVWIKNPGCIRERRNKFLKWMGLDVNQAGKGDPGNTSSNEVEVETDRIMEHSDAVLRSYSLDDGLSSSQSSMSSWSNDAQELLDGAMEENFLCRIRNLDNGTEFILDELRQDGMSGRIREVGSNRLLTAAEFERSLGFSHLVQQVMRREVEDVPNLGLPRKQVKMGWLRRLGAVSCIVDRQVEAGGNGPYPVAGARNQIVRVKSYKKRSKEFSALYMRQDIPAHEGSILTMKFSPDGQYLASAGDDGVVRVWQVMEKERSDELGILDIHSSHAHFTVNDLSVAPLKVDREKKGKFKSMLTSDSACVIFPQKVFQISDKPIHEFFGHRGEVLDLSWSKDKYLLSSSVDKTVRLWKVGSNKCLQVFFHNDYVTCVQFNPVDENYFISGSIDGKVRIWAIPGCQVVDWTDITEIVTAVCYCPDGKGGIVGSMNGNCRFYDAADNRLQQYAQICLQGKKKSPFKRITGFQFSPSDPTRLMVTSADSQVRILHGVDVICKYRGLRNAGSQISASFTSDGMHIVSASEDSYVYIWNNISQDGPVAQEKKSKWSCERFFSNNVSVAIPWCGMTSMNCSSSTVTRKSPSSINSGPCSEKSVLLQSELVESSQWKLPFSSPENLSLSHGFFSHSLPKGSATWPEENLPASSLVVSSAMCKSQYKFLKMSCQSMHGSPHAWGLVIVTAGWDGRIRSFQNYGLPVHL >Potri.012G101200.2.v4.1 pep chromosome:Pop_tri_v4:12:12410344:12411386:-1 gene:Potri.012G101200.v4.1 transcript:Potri.012G101200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101200.v4.1 MKVKKKGKVHPPPPPSSKSPDKDPDTVLKLLPVTILALALSLPNQDREVLAYLIARSIFITTTTNPSSLVTQHPKNKCQTKTAPTTANKNGKYCGQEVPLFQCGCFDCYTRFWYRWDSSPNRDFIHQVIEAFDEHLVQNIECPKKHKRRKKKGKVLMMGHFESDNTPEKSVPEAECEVMVVQENLESGEIEVVSGEETVGCGELTGNLEMEVMTVHSSGNNNNHKGLARKVLPAIVGLLNSRLWSNLWSRGM >Potri.001G201700.2.v4.1 pep chromosome:Pop_tri_v4:1:20162902:20165269:1 gene:Potri.001G201700.v4.1 transcript:Potri.001G201700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201700.v4.1 MKFKAFVTDNGVSLLDRRFLPALDKMGKICHLFLTREHAFFLHNLLTTPDGIQSIAQFRKQALFDDYRISSQNEDRIAFAFDISLLLRAVRSSVSIVSSESGGANRLQVKLVKKLPPNSTQPMPFLTFETKGYKSAVIQDVPISKPLSRDQLLQLQAALDAAQDLPRTLVQVPDLNRLQNFVDRMKHVGDLLNVSISKYGDLHLQISTTLITLGAEFRKLLVVGDKAQAPDEDRDLSAQTRSERAILMGDAQSVQVSVRHFSKSLQCHLAKPDCAFYGIAPQGACLTVIFQFFIPGTRQTDKSISLHCRLPVLDPGSS >Potri.017G084600.1.v4.1 pep chromosome:Pop_tri_v4:17:9739914:9743427:1 gene:Potri.017G084600.v4.1 transcript:Potri.017G084600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084600.v4.1 MSEPKDQAFKLFGKTIQVPEISVTTATTTDDDDDDDSQDQDRPSCANSSLDETNITDDYNNNDKRDHGEEDTETDDKDSVGKTTIENQEDGASPVAAKESSNLDATSGTSENPKTPSVEKESTALKTSNTEEEQSDTSNSQEKTLKKPDKIIPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKNSASHYRHITIPEALQNGRADVPNGVHHPSLKTNGTVLTFGSDAPLHESMASVLNLADKTMRNCTMNGFHKPEALRVPVSYGGGENGDDHSNGSSVTVSNSSDEAGKSVSKESAMQNYQGYPPQIPCFPGVPWPYPWNSAQWSSPVPPPAFCPSSFPMPFYPAAAYWGCTVPGAWNVPWLPQPSSPKQTSSSSDPNSPTLGKHSRDENLLKPSNSKEELVNTERCLWIPKTLRIDDPGEAAKSSIWTTLGIKNDKPGSFGGRGLFKAFDSKVEKNRAAETSPVLHANPAALSRSLKFQESS >Potri.013G015400.2.v4.1 pep chromosome:Pop_tri_v4:13:979908:981693:-1 gene:Potri.013G015400.v4.1 transcript:Potri.013G015400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015400.v4.1 MADAKTKVESFREWVVDHKLRTVGCLWISGIAGSFAYNWSKPNMKPSVKIIHARLHAQALTLAALAGAALVEYSDHKSGAKAEQYAKFVPPKD >Potri.002G148750.1.v4.1 pep chromosome:Pop_tri_v4:2:11192353:11192502:-1 gene:Potri.002G148750.v4.1 transcript:Potri.002G148750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148750.v4.1 MPIMFFYFLKIIFDISTSKRSKTRVPRRSK >Potri.001G405900.6.v4.1 pep chromosome:Pop_tri_v4:1:43327434:43328818:-1 gene:Potri.001G405900.v4.1 transcript:Potri.001G405900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405900.v4.1 MVVLSGVNSVDVQEATYLATYGANKKIKAMLSSCYDEANNTALTSLQGQNSEVEMLEGDLERVPMEVQGMEQEAGEHRGLFPEETGYDREHEIAETMQPMERSISAERLELDHDAGETRREETQAFHELRWLYHFSC >Potri.001G405900.2.v4.1 pep chromosome:Pop_tri_v4:1:43327495:43328829:-1 gene:Potri.001G405900.v4.1 transcript:Potri.001G405900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405900.v4.1 MVKPSDQFWICVEQMNGGSFRCKFCGYVFAVDTSISTIISHLSGVKGCGVDICEKVPADVQEATYLATYGANKKIKAMLSSCYDEANNTALTSLQGQNSEVEMLEGDLERVPMEVQGMEQEAGEHRGLFPEETGYDREHEIAETMQPMERSISAERLELDHDAGETRREETQAFHELRWLYHFSC >Potri.001G405900.3.v4.1 pep chromosome:Pop_tri_v4:1:43327506:43328818:-1 gene:Potri.001G405900.v4.1 transcript:Potri.001G405900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405900.v4.1 MVKPSDQFWICVEQMNGGSFRCKFCGYVFAVDTSISTIISHLSGVKGCGVDICEKVPADVQEATYLATYGANKKIKAMLSSCYDEANNTALTSLQGQNSEVEMLEGDLERVPMEVQGMEQEAGEHRGLFPEETGYDREHEIAETMQPMERSISAERLELDHDAGETRREETQAFHELRWLYHFSC >Potri.001G405900.4.v4.1 pep chromosome:Pop_tri_v4:1:43327506:43328826:-1 gene:Potri.001G405900.v4.1 transcript:Potri.001G405900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405900.v4.1 MVVLSGVNSVVPADVQEATYLATYGANKKIKAMLSSCYDEANNTALTSLQGQNSEVEMLEGDLERVPMEVQGMEQEAGEHRGLFPEETGYDREHEIAETMQPMERSISAERLELDHDAGETRREETQAFHELRWLYHFSC >Potri.001G405900.5.v4.1 pep chromosome:Pop_tri_v4:1:43327494:43328819:-1 gene:Potri.001G405900.v4.1 transcript:Potri.001G405900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405900.v4.1 MVVLSGVNSVVPADVQEATYLATYGANKKIKAMLSSCYDEANNTALTSLQGQNSEVEMLEGDLERVPMEVQGMEQEAGEHRGLFPEETGYDREHEIAETMQPMERSISAERLELDHDAGETRREETQAFHELRWLYHFSC >Potri.003G113400.1.v4.1 pep chromosome:Pop_tri_v4:3:13588778:13592817:1 gene:Potri.003G113400.v4.1 transcript:Potri.003G113400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G113400.v4.1 MAEEAKSRGNAAFSARDYATAVEHFTDAIALSPTNHVLYSNRSAAHASLHHYADALKDAKKTVELKPDWSKGYSRLGAAHLGLHQIQDAISAYKKGLEIDPNNEGLKSGLADAQAAASQSRAAPAPSPFGNVFSGPEMWAKLTADPSTRMYLQQPDFVKMMQEIQKNPSNLNLYLKDQRVMQAIGVLLNVKFGGPNSGDDMEIPEETSTPQSSASERKEDKKMEEEKVAEPEPMEVTGEEKEEKEAKERKAQAAKEKELGNAAYKKKEFEKAIEHYTKATELDDEDISYLTNRAAVYLETGKYDECIKDCDKAVERGRELRSDFKMVARALTRKGTALVKMAKCSRDYEPAIETFQKALTEHRNPDTLKKLNEAEKAKKDLEQQEYFDPKLAEEEREKGNESFKQQKYPEAVKHYSESLRRNPKDPKTYSNRAACYTKLGALPEGLKDAEMCIELDPTFSKGYTRKGAVQFFMKEYDKALETYQEGLKHDPRNQELLDGVRRCVEQLNKASRGDLSPEELKERQAKGMQDPEIQNILSDPVMRQVLVDFQENPKSAQEHTKNPMVMSKIQKLVQAGIVQMR >Potri.019G128600.2.v4.1 pep chromosome:Pop_tri_v4:19:15129631:15134871:-1 gene:Potri.019G128600.v4.1 transcript:Potri.019G128600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128600.v4.1 MYRKQVEVRPFDETEADHGYAETDPTGRYGRLEEVLGRGAMKTVYKAIDEFLGIEVAWNQAKLSRVLCSPEDLQRLYSEVHLLRILNHDSIIKFYASWIDVRGKTFNFITEMFTSGTLRQYRQKYTRVNIRAIKKWARQILEGIEYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQSAHSVIGTPEFMAPELYEENYNELVDVYSFGMCVLEMLTAEYPYSECTNPAQIYKKVTSGKLPAVFYRIQDLEAQRFIGKCLETASKRLPAKELLLDPFLASDEAELSRVPRIRNQKSFLNDREMEKLQLNDHPPRTDMIITGKLNRDDTIFLKVQIANEDGTPRNIFFPFDILHDTPIDVAMEMVKELEIGDWEPFEIADMIDGAISDLVPNWKKWDLPHTEPRHIFDYQEDDGHNHPFHSSSYSSSHSSLSGSTPHLLQDDLFDDTSSQSSSHSGSYSCLNYISGDEHKLDLSTTRREKHLDTRTQNSTRFCPRENSNSNIGQVLATNAYNNCKVLLESKSRVSSSKSKRMMDSRRLTRNRSLVDIRSQLLHRSLVEEVHKRRLSKTVGDVEDVGFQAPAEVCKKASQRTSSRRH >Potri.001G267900.2.v4.1 pep chromosome:Pop_tri_v4:1:28303906:28306769:1 gene:Potri.001G267900.v4.1 transcript:Potri.001G267900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267900.v4.1 MADPCSNLFSTGSFNFVLLNPHYSTAFTSYSNPSSLHAFSNNYSLDNKSSIPPSSPPPKEALPLIEYLSPARQQEEHRDSSCSSMEEDKNMKKDDDNLFFSTADGNVEPVTVALHIGLPNPSSDLEIRALRVFPSPNAPDKGEMSAVSGYPLEKLNKGQYWIPTPSQILIGPSQFSCPLCSKTFNRYNNLQMHMWGHGSQYRKGPDSLRGTQPTAMLRLPCYCCATGCKHNIDHPSARPLKDFRTLQTHYKRKHGIKPFMCRKCGKPFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKAFGHGHVGIDCFEEEDELASESTEHDGESCM >Potri.013G108500.1.v4.1 pep chromosome:Pop_tri_v4:13:11712685:11713650:-1 gene:Potri.013G108500.v4.1 transcript:Potri.013G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108500.v4.1 MDATEYEETYVEGIEEIEDDVDRRFKRFKSFRVLQYPPHDHQFRHKQRLCSWMLSATRFGEHANPRSSFSEKIKKDWETLAAVFSDHSSIFVTTYESRIDLMRVCIAGLEGTPYCYGLFFFDIFFPPEYPAKPPEIFYHAPDFDLSPCLHQDGRVSLNLLSLNQWYRFRLGGKQQSWNPERSDISRVLLSIQHLILNDKPYLNESISFVYSSKERSSKYNNEVFMSSCEAMLAMLRFSPGDCGDFVLGHFRKRAHRILLIYKDQMLKHKGDNGMKQLFFKLVKAFESNGAYCQHHCSKTEVEQLKKERLNTGGFSMEECSE >Potri.014G022900.1.v4.1 pep chromosome:Pop_tri_v4:14:1382701:1384676:-1 gene:Potri.014G022900.v4.1 transcript:Potri.014G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022900.v4.1 MGGWAIAVHGGAGVDPNLPRERQEEAKKLLTRCLQIGISALRSNLPAIDVVELVVRELETDPLFNSGRGSALTENGTVEMEASIMDGPKRRCGAVSGLTTVKNPVSLARLVMEKSPHSYLAFSGAEEFARQQGVELVDNDYFITEENVGMLKLAKEANSILFDYRIPAAGLESCSVGAAANSPLVMNGLPISVYAPETVGCVAVDREGRCAAATSTGGLMNKKTGRIGDSPLIGSGTYAGDLCGISCTGEGEAIIRGTLARDVAAVMEYKGLGLQEAVDFVVKERLDEGRAGLIAVSRDGEVACGFNTNGMFRGFATEDGFMEVGIWE >Potri.013G004400.1.v4.1 pep chromosome:Pop_tri_v4:13:301930:306062:-1 gene:Potri.013G004400.v4.1 transcript:Potri.013G004400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004400.v4.1 MARKKIREYDSKRLLKEHLKRLSGIDLLIRSAQVTEGTDFTELTNQEPWISSTKLVVKPDMLFGKRGKSGLVALNLDLAQVADFVKARLGVEVEMGGCKAPITTFIVEPFVPHDQEFYFSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKPMTLEACAPLIATLPLEIRGKIVDFIICVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTESFIHSLDEKTSASLKFTVLNQKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMHLYVRRGGPNYQTGLAKMRTLGEEVGVPLEVYGPEATMTSICKQAIDCIMSTA >Potri.013G004400.3.v4.1 pep chromosome:Pop_tri_v4:13:301995:305899:-1 gene:Potri.013G004400.v4.1 transcript:Potri.013G004400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004400.v4.1 MARKKIREYDSKRLLKEHLKRLSGIDLLIRSAQVTEGTDFTELTNQEPWISSTKLVVKPDMLFGKRGKSGLVALNLDLAQVADFVKARLGVEVEMGGCKAPITTFIVEPFVPHDQEFYFSIVSERLGCTISFSECGGIEIEENWDKVKTIFLPTEKPMTLEACAPLIATLPLEIRGKIVDFIICVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNIEFPLPFGRVLSSTESFIHSLDEKTSASLKFTVLNQKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEEEVLQYARVVIDCATADPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMHLYVRRGGPNYQTGLAKMRTLGEEVGVPLEVYGPEATMTSICKQAIDCIMSTA >Potri.001G028750.2.v4.1 pep chromosome:Pop_tri_v4:1:2169518:2174891:1 gene:Potri.001G028750.v4.1 transcript:Potri.001G028750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028750.v4.1 MAAMIDWKACSSIRPHAYDVFLSFRGADTRKNFTGHLYMALQGAGIRTFRDEDEIEGGEHIGFKITKAIQESKMSLVVFSRDYASSKWCLEELLMIMKRRETIGHIVLPVFYEVDPDDVSMQTGFFAEAFASHEKNFMDNRDMEECREALRKVADLKGPVLRDRYEAQFIQDIVKEVGKKLNRMILHVPPYLVGIESRVKEIRSWLQDGSDKVGIAILHGFGGVGKTTIAKTVFNQNFHEFDSWSFLRDVRETSNQPNGLVKLQRRLLSDILKGEPQKINNVDEGNIKIQNALAYKRVLVVLDDVDHLDQLDKVIGDRNQLYQGSKIIVTARHGSLLKSHEACEKFGVDALCYDESLQLFSWHAFGQELPNEGYEEFSQKIVHHCAGIPLVLEVLGSSLSGQSTDFWKRASQEPEAIDGDGKIQKILKISYDSLRDERDKNLFLDIACFFIGNDKDYVRRILECCDFYRTLGIQKLIDRCLITIDKDNKLMMHQLLRDMGREIVRQESPEDLGKRTRLWHHDDALDILEKNMGTQKVKSLVLDQQLLNTENEVHLEAEAFTKMRNLKLLHLNNVKLSGGYVNLPKSLVWLCWHGFSLNCLPNDLFLKDLVVLDLCNSSLKQVWNGIRECRRLKILDLSHSLCLVTTPDFSGLQSLEILLLEGCISLVEVHYSIGNLKRLVFINLKDCKNLRKLPSEMSELKSLQELNLSGCFNLEEIPEQVGKLSSEMALHADGMSIKTLLALVRSLWAWESSRKSLLSAPFTLSFLPDSLIKLTVSDCNLEDLDILDLSRLSSLEYLDLSGNPICNLPDSMNCLTALKSLLLHRCPRLQSLPELPESLMRLIASNYGSSERITNLQNLLGPLEVDTFNHHKLIEGQGVFKLELVRNFVVEMIKMVHLFNLASVGNIEVTRSNVVTSTQRKLSVQVFHDSGTSSIFLPGSDVPDWFYVRTESCQTTFYLPPSFGCEICGLNICVAYACINPEVVFNKPYYAKIRIETKELLWEYTPEFFGFPEANEDMLWLCHWKFKDWFKDGDAVQFSVAMAPYFQMKRCGIRPLYEQQDDDDDDDDVVESNSGEIVQGTSRRRRTLTDRDLIAYKRDATYDLHHYDTLINSLKNQSEL >Potri.001G028750.1.v4.1 pep chromosome:Pop_tri_v4:1:2169523:2174891:1 gene:Potri.001G028750.v4.1 transcript:Potri.001G028750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028750.v4.1 MAAMIDWKACSSIRPHAYDVFLSFRGADTRKNFTGHLYMALQGAGIRTFRDEDEIEGGEHIGFKITKAIQESKMSLVVFSRDYASSKWCLEELLMIMKRRETIGHIVLPVFYEVDPDDVSMQTGFFAEAFASHEKNFMDNRDMEECREALRKVADLKGPVLRDRYEAQFIQDIVKEVGKKLNRMILHVPPYLVGIESRVKEIRSWLQDGSDKVGIAILHGFGGVGKTTIAKTVFNQNFHEFDSWSFLRDVRETSNQPNGLVKLQRRLLSDILKGEPQKINNVDEGNIKIQNALAYKRVLVVLDDVDHLDQLDKVIGDRNQLYQGSKIIVTARHGSLLKSHEACEKFGVDALCYDESLQLFSWHAFGQELPNEGYEEFSQKIVHHCAGIPLVLEVLGSSLSGQSTDFWKRASQEPEAIDGDGKIQKILKISYDSLRDERDKNLFLDIACFFIGNDKDYVRRILECCDFYRTLGIQKLIDRCLITIDKDNKLMMHQLLRDMGREIVRQESPEDLGKRTRLWHHDDALDILEKNMVRKLLFMFPRNNICVCILLLRKQFFLKQGTQKVKSLVLDQQLLNTENEVHLEAEAFTKMRNLKLLHLNNVKLSGGYVNLPKSLVWLCWHGFSLNCLPNDLFLKDLVVLDLCNSSLKQVWNGIRECRRLKILDLSHSLCLVTTPDFSGLQSLEILLLEGCISLVEVHYSIGNLKRLVFINLKDCKNLRKLPSEMSELKSLQELNLSGCFNLEEIPEQVGKLSSEMALHADGMSIKTLLALVRSLWAWESSRKSLLSAPFTLSFLPDSLIKLTVSDCNLEDLDILDLSRLSSLEYLDLSGNPICNLPDSMNCLTALKSLLLHRCPRLQSLPELPESLMRLIASNYGSSERITNLQNLLGPLEVDTFNHHKLIEGQGVFKLELVRNFVVEMIKMVHLFNLASVGNIEVTRSNVVTSTQRKLSVQVFHDSGTSSIFLPGSDVPDWFYVRTESCQTTFYLPPSFGCEICGLNICVAYACINPEVVFNKPYYAKIRIETKELLWEYTPEFFGFPEANEDMLWLCHWKFKDWFKDGDAVQFSVAMAPYFQMKRCGIRPLYEQQDDDDDDDDVVESNSGEIVQGTSRRRRTLTDRDLIAYKRDATYDLHHYDTLINSLKNQSEL >Potri.015G090000.1.v4.1 pep chromosome:Pop_tri_v4:15:11322744:11324659:-1 gene:Potri.015G090000.v4.1 transcript:Potri.015G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090000.v4.1 MGEGLCPRLSCSWKNMEKGLNDAVSKTKVGKYFKLDARKSTFTKELRAGTATFLTMAYIITVNATILADSGGTCSVADCSAPVNQTAGPDCMLKPNEGYQSCLDKTKSDLIVATVLSAMIGSFAMGILANLPFGLAPGMGPNAFVAYNMVGFHGSGPISYKTAMAIVLVEGCAFFIVSALGLREKFARIIPKPIRLACAAGIGLFIAFVGLQIHQGVGLVGPDQSTLVTVTACSSTNPVTGECISGKMRSPTFWLGSVGLLITCYGLMKEIKGSMIYGILFVTLTSWIRGTAVTYFPQTLLGDNNYKYFKKVVDFHKIQSTAGAISFTSFNTSGAWLAFLTLFYVDMLGTTGILYTMAEIGGFVNEKGSFEGQYMAFLVDAGSTIVGSTLGVTTTATYVESSAGIREGGRTGLTAVTVGFYFLVSLFFTPLLTSVPPWAIGPSLVMVGVMMMKVVKDIDWENIKEAVPAFITMLLMPLTYSIANGIVGGIGMYIALSLYDYIVRLMAWLIKMRGMVLKERNQVSATAGADLAIEVI >Potri.011G076400.1.v4.1 pep chromosome:Pop_tri_v4:11:8058522:8060598:-1 gene:Potri.011G076400.v4.1 transcript:Potri.011G076400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076400.v4.1 MQMLAHEMKRLWMVLVLFMVFSKWQHCATGDPLVPCYFIFGDSLADNGNNNMLQTLAKVDYAPYGVDFPNGPSGRFCNGLTIVDVIAEILGFHSYIPPFAAAKEADILHGVNYASGAAGIRDETGQELGERISMNMQLQNHHKTVQNLIGMLGNESALRNLNKCLYSVGMGNNDYLNNYFLPQYFPTSHEYTLEKYTQLLIEQYSQQLRSLYELGARKLVVFGLGKIGCVPGAIDTYGTNGSACVELLNNASQIFNSKLLPVIDELNDDLPDAKIIYINNYKIGEDSTVLDFKVNNTACCPSSAIGQCIPDKVPCQNRTQYMFWDSFHPTEIFNIFYAERSYSALDPSYAYPYDIRHLISLDQGVAEAK >Potri.002G050300.1.v4.1 pep chromosome:Pop_tri_v4:2:3391286:3392509:1 gene:Potri.002G050300.v4.1 transcript:Potri.002G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050300.v4.1 MASSGTRIGLVLLLVAITCGGAMAQSSCTNTLMSLAPCLNYITGNSTSPSSSCCSQLGNVVQTSPQCLCLLLNNSGASLGINVNQTLALNLPGSCKVQTPPISQCNAATAPTASATPPVSSPASSPASSPADSSDQTPEPALTPSASNIPSASGTGTGSKTVPSSTGTSDGSIVKTPLHFVLFVLFVAWSGSTVTKF >Potri.018G066400.1.v4.1 pep chromosome:Pop_tri_v4:18:7986666:7989783:1 gene:Potri.018G066400.v4.1 transcript:Potri.018G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066400.v4.1 MDGPIFVIEASEAESMAKQSGLTVLQLLPTLVKSAQALARPPISKYHVGAVGLGSSGRIFLGGNIEFPGLPLHHSVHAEQFLITNLTLNAEPSLKYVAVSAAPCGHCRQFFQEIRHAPDIHILITGDSNSNHNYKNDLANEEQFEPMSCLLPHRFGPDDLLGKDVPLLLEPHHNNLSFLGDDKLPYGVSAALEDLKNEALEAAKKSHAPYTNCPSGLALMDCEGKVFRGSYMESAAYNPSMGPVQAALVAYVMGGRGGGFDRIVAAALVEKQGAQARQEQTARLILKEISPKCEFLVFHCVSNSGSSPSFNNLN >Potri.016G142200.1.v4.1 pep chromosome:Pop_tri_v4:16:14461896:14463036:-1 gene:Potri.016G142200.v4.1 transcript:Potri.016G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142200.v4.1 MTETNDQGRGPKARRCFIVGGVILLLQLLLFIIFLILVLTVFKPKAPQTQLLSATLEGISPRISLPVVNIQLNITLNLTLLVKNPNHVSFKHGPGKSYLLYRGDEVGDADLYPGLIPSKGTETLPSRLTIQVDQMAADMSALISDVLAGQIVLETRTRIPGRATFLKIIKKHAVATSECRFTIDIPSLKIQSQECKNKTKF >Potri.004G058400.1.v4.1 pep chromosome:Pop_tri_v4:4:4884446:4885966:1 gene:Potri.004G058400.v4.1 transcript:Potri.004G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058400.v4.1 MAELNMGILIDIVDEEWMRDTLPDDDLALPPVMVVRTDDTEDSNQETQHVDTDAWHDLALGNQ >Potri.004G126760.1.v4.1 pep chromosome:Pop_tri_v4:4:12817886:12821373:-1 gene:Potri.004G126760.v4.1 transcript:Potri.004G126760.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126760.v4.1 MDLSPFKLDIDELINEFVEGEFTTLADMKRVWLSRKFTYIFEASSPTKLAFIMQSLYAHTIGELKKLKTLVINAKEHGIKGVPALVKRMLEKNMFLFGFVDLHEGSVSETGNQLTELQDARVQVAYKKLFDDIRIEQFLHMDMVGM >Potri.013G134200.1.v4.1 pep chromosome:Pop_tri_v4:13:13978234:13982411:1 gene:Potri.013G134200.v4.1 transcript:Potri.013G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134200.v4.1 MVSVMRSCIQSILKLVNCLIGMVGIAMVLYSIWLIRVWEREMGGFPFFEDDDDFTPWFIYTFLGLGVALSVITCFGHIAAETANGYCLYLYMLFIFLLLMLEAGVTADVVLNRDWEEDFPKDPSGSFDQFKGFVRSNFELCKWIGLSIVFVQGLSFLVAMILKAIGPHPSYDSDDDYASDRAPLLKDVVHPPPYAVGNPVMGSRNNAWSIRITEKMNQASR >Potri.004G062700.1.v4.1 pep chromosome:Pop_tri_v4:4:5303032:5303418:1 gene:Potri.004G062700.v4.1 transcript:Potri.004G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062700.v4.1 MPQKKTNNKGERNSNRLSLSVSRPKTSKLIMANTKQLLILSFLAVAILVLSTLVQSSNASSSSLHDRVLLARQRNAARFQIPSCSEMVSRSQCSHNPNCKWCKSEVLDDMCFSKAEAWRLPQQVFLCN >Potri.010G058100.6.v4.1 pep chromosome:Pop_tri_v4:10:8877740:8881751:1 gene:Potri.010G058100.v4.1 transcript:Potri.010G058100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058100.v4.1 MKFDTSGLESTSSVFGTAGRELVDGFSAAAAPAFELPTTKDFDGFQKEAVQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILFSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGILDSGYRFDMSIEEAAELGRRAIYHATFRDGASGGVASVYYVGANGWTKLSGDDVSELHYKYYPVVSAETSEPDQMVEA >Potri.010G058100.12.v4.1 pep chromosome:Pop_tri_v4:10:8877690:8881880:1 gene:Potri.010G058100.v4.1 transcript:Potri.010G058100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058100.v4.1 MKFDTSGLESTSSVFGTAGRELVDGFSAAAAPAFELPTTKDFDGFQKEAVQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILFSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGILDSGYRFDMSIEEAAELGRRAIYHATFRDGASGGVASVYYVGANGWTKLSGDDVSELHYKYYPVVSAETSEPDQMVEA >Potri.010G058100.13.v4.1 pep chromosome:Pop_tri_v4:10:8877669:8881712:1 gene:Potri.010G058100.v4.1 transcript:Potri.010G058100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058100.v4.1 MKFDTSGLESTSSVFGTAGRELVDGFSAAAAPAFELPTTKDFDGFQKEAVQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILFSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGILDSGYRFDMSIEEAAELGRRAIYHATFRDGASGGVASVYYVGANGWTKLSGDDVSELHYKYYPVVSAETSEPDQMVEA >Potri.010G058100.11.v4.1 pep chromosome:Pop_tri_v4:10:8877690:8882549:1 gene:Potri.010G058100.v4.1 transcript:Potri.010G058100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058100.v4.1 MKFDTSGLESTSSVFGTAGRELVDGFSAAAAPAFELPTTKDFDGFQKEAVQMVKPAKGTTTLAFIFKEGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILFSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGILDSGYRFDMSIEEAAELGRRAIYHATFRDGASGGVASVYYVGANGWTKLSGDDVSELHYKYYPVVSAETSEPDQMVEA >Potri.003G039700.2.v4.1 pep chromosome:Pop_tri_v4:3:4482648:4483991:-1 gene:Potri.003G039700.v4.1 transcript:Potri.003G039700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039700.v4.1 MICLSLGCHISSSSYVFVSRLVFLSVLQERDPWFVGREKRKDLKAAAERKRREKPFLNKKTAPAPPATHPNSLVISKTTIANSKNMSNISRTGNSTTQACAACKYQRRKCAPDCILAPYFPHNRQRQFLNAHKLFGVSNISKIIKNLNPPEKDEAMRTIIFQSDVRANDPVGGCYRMIRELQRQIEYTRAELDFVLHHLAIYRAQAAAQQQTQLIQAQAAAAAAAAAPDHQTDHDCQSIIITDTFDMYDPVMQYHDQYPQEHQDQQEFVIHNPDNNQLHLQDQDPANAWATAANVQDISNLSISSSPNYLDDQLKQTYNGDDHCSTQDDNLKPILDVNDDVNFEPDDQDQVVDMRFVPSTQLLMSS >Potri.003G039700.3.v4.1 pep chromosome:Pop_tri_v4:3:4482648:4486072:-1 gene:Potri.003G039700.v4.1 transcript:Potri.003G039700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039700.v4.1 MSPIAPWKSRREKRKDLKAAAERKRREKPFLNKKTAPAPPATHPNSLVISKTTIANSKNMSNISRTGNSTTQACAACKYQRRKCAPDCILAPYFPHNRQRQFLNAHKLFGVSNISKIIKNLNPPEKDEAMRTIIFQSDVRANDPVGGCYRMIRELQRQIEYTRAELDFVLHHLAIYRAQAAAQQQTQLIQAQAAAAAAAAAPDHQTDHDCQSIIITDTFDMYDPVMQYHDQYPQEHQDQQEFVIHNPDNNQLHLQDQDPANAWATAANVQDISNLSISSSPNYLDDQLKQTYNGDDHCSTQDDNLKPILDVNDDVNFEPDDQDQVVDMRFVPSTQLLMSS >Potri.015G053400.4.v4.1 pep chromosome:Pop_tri_v4:15:7093322:7098303:-1 gene:Potri.015G053400.v4.1 transcript:Potri.015G053400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053400.v4.1 MAELSHLAGAWEEAVIILSIFSVGFCATYAKLYPSMKPYEYGFRVFLLTYCFIMVSGYRTGEFNHTAISRFLLIALGAGVGLAVNILIYPIWAGEDLHALVAKNFTRVANSLEGCVNEYLNCTEYERIPSKILTYQASDDPLYSGYRAAVESTSQEDALMGFAIWEPPHGPYKSFNYPWKNYVKVSGALRHCAFTVMALHGCILSEIQAPAERRQVFHHELKRVGAEGAKVLLELGNKVKRMEKLGPVDILYEVHEAAEELQNKVDRKSYLLVNAESWEIGNREKELGEPQDLLTFDDDENKVLEYKSRSEAVLDLRSMTIPKSWDRHALSMDVKPTIHPTISSDDVFKKQISWPARNSFTADTLPQVEESKTYESASALSLATFTSLLIEFVARLQNLADSFEELSEKANFKEPIELPIATEANGFWIRLHRSLKFWK >Potri.015G053400.2.v4.1 pep chromosome:Pop_tri_v4:15:7093303:7098304:-1 gene:Potri.015G053400.v4.1 transcript:Potri.015G053400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053400.v4.1 MAAKMGSFRHSLAEKRERLLSTTKCYSEIVFPNIQEDLDEPTRNCCSYRFLSDKIVGLCKQVQDVAYRGYQMGKSDPRKIVFSAKMGLALMLISLLIFLKEPIKELSQHFVWAILTVVVVFEFSIGATLSKGLNRGIGTLSAGGLALAMAELSHLAGAWEEAVIILSIFSVGFCATYAKLYPSMKPYEYGFRVFLLTYCFIMVSGYRTGEFNHTAISRFLLIALGAGVGLAVNILIYPIWAGEDLHALVAKNFTRVANSLEGCVNEYLNCTEYERIPSKILTYQASDDPLYSGYRAAVESTSQEDALMGFAIWEPPHGPYKSFNYPWKNYVKVSGALRHCAFTVMALHGCILSEIQAPAERRQVFHHELKRVGAEGAKVLLELGNKVKRMEKLGPVDILYEVHEAAEELQNKVDRKSYLLVNAESWEIGNREKELGEPQDLLTFDDDENKVLEYKSRSEAVLDLRSMTIPKSWDRHALSMDVKPTIHPTISSDDVFKKQISWPARNSFTADTLPQVEESKTYESASALSLATFTSLLIEFVARLQNLADSFEELSEKANFKEPIELPIATEANGFWIRLHRSLKFWK >Potri.009G104000.1.v4.1 pep chromosome:Pop_tri_v4:9:9144648:9145951:-1 gene:Potri.009G104000.v4.1 transcript:Potri.009G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104000.v4.1 MFSFNMDAAKADVAKDLSPFIILYKDGRIERLFGNEIVPPSQDPKSNVLSKDVIYSKEARLSCRLYLPKGVDPNKKLPLLIYVHGGGFYVENAFSPTYHNYVNLLVAEAKVIAISVDYRRVPEHPIPIPYDDSWAALKWAASHVNGDGPEEWLNKHADLSKVFLAGDSAGGNIAHHVAMRFGQEKIIGVNVAGIVLINPYFWGEERIGNEVNELERELKGMSATWHLACPKTSGCDDPLINPTYDPNLSSLGCSKVFVSVAEKDLLRDRGLLYCETLKKSGWVGVIETMEVKGEGHVFHLFKPASDNAVAMLKKIVSFIHG >Potri.002G221300.5.v4.1 pep chromosome:Pop_tri_v4:2:20560908:20568087:1 gene:Potri.002G221300.v4.1 transcript:Potri.002G221300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221300.v4.1 MFSPGIKRSSNRKAAAAPDNNSIPNRPATGTPAPWAPRLSVLARTQLTKKSEEKASDADPIKPVYVGEFPEVVRDEQANFLRSHIPGDASISGGMDKETCLSWIICRNRLFIWSHLSSSPSKDCVVLQLPLDCLDDGAHSNSGYHGTNWLLCFLNWDPTSRGRNKAVQSCKSAGVVLCNQKTRAVTYWPDIYNEGGSVPVTCMLSSDESEVTSFSVDGKSTPNRRSAINTMGSNSFNSLIACARPASQHVSVALACSSNGALWRFYCTPTEIQCSKVYQDTLYLSSHGSDGSQFVRSKGYPRSLIWRFSPHSMDDSERQFFLLTDHEIQCFSIKLNPDSNVSKMWSHEIVGTDSDLGIKKDLAGQKRIWPLDVQVDDHGKVITVLVATFCKDRVSSSSYTQYSLLTMQYKSGVNISSDVHERVLEKKAPIQVIIPKARVEDEDFLFSMRLRIGGKPSGSTLIISGDGTATVSHYFRNSTRLYQFDLPYDAGNVLDASALPSTNDGEDGAWIVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSTLEERRNLTFASNVAPRRVSSEAGDSGDRKKAVMNRISRRTSHDEESEALLGQLFHDFLLTGQVDASYEKLQSSGAFERDGETNVFTRTSKSIIDTLAKHWTTTRGAEILAMTMVSNQLMDKQEKHQRFLQFLALSKCHEELCTKQRQSLLTIMEHGEKLSGMIQLRELQNTISQNRSNMSGSPHSSSEAQLSGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDLEEVFYCLHSYLTYLINEEQPHEAQIKRACELSNAVVSIVRSAMLYRNEHHMWYPLSQGLTSWYCQPVVRNGLWRIASFMLQLLDGTSELELSAKSDLCAHLEVLAEVLLEAYAGAVTAKVERGGEHKGLLDEYWNRRDSLLNSLYKQVKYFVEGGHQVLNVRTDEPDEEILRKLTSNLLSISKRHEGYNTMWSICCDINDSALLRNLMHDSMGPKGGFSYFVFKQLYEKRQISKLLRLGEEFQEELSIFLKHHRNLLWLHELFLHQFSSASETLHVLALSQDETSISEAEETTDHVQNRFITTLADRKRLLNLSKIAIMAGKTTDSETKMKRIEADLKILKLQEEILKVLPANEANQYDGQRLFRPEELIELCFKVQNPELALRGFDVFAWTSSSFRRSHRNLLEECWKNAADQDDWGQLHQASKDEGWSDEEILQQLRDTVLFQASSSCYGPNAEIIDEGFDAVLPLRKENSGVSALEDLDFSVEAILMQHKDYPDAGKLMLTAIMLGSVHDNSKVEENPSSME >Potri.002G221300.4.v4.1 pep chromosome:Pop_tri_v4:2:20560926:20568041:1 gene:Potri.002G221300.v4.1 transcript:Potri.002G221300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221300.v4.1 MFSPGIKRSSNRKAAAAPDNNSIPNRPATGTPAPWAPRLSVLARTQLTKKSEEKASDADPIKPVYVGEFPEVVRDEQANFLRSHIPGDASISGGMDKETCLSWIICRNRLFIWSHLSSSPSKDCVVLQLPLDCLDDGAHSNSGYHGTNWLLCFLNWDPTSRGRNKAVQSCKSAGVVLCNQKTRAVTYWPDIYNEGGSVPVTCMLSSDESEVTSFSVDGKSTPNRRSAINTMGSNSFNSLIACARPASQHVSVALACSSNGALWRFYCTPTEIQCSKVYQDTLYLSSHGSDGSQFVRSKGYPRSLIWRFSPHSMDDSERQFFLLTDHEIQCFSIKLNPDSNVSKMWSHEIVGTDSDLGIKKDLAGQKRIWPLDVQVDDHGKVITVLVATFCKDRVSSSSYTQYSLLTMQYKSGVNISSDVHERVLEKKAPIQVIIPKARVEDEDFLFSMRLRIGGKPSGSTLIISGDGTATVSHYFRNSTRLYQFDLPYDAGNVLDASALPSTNDGEDGAWIVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSTLEERRNLTFASNVAPRRVSSEAGDSGDRKKAVMNRISRRTSHDEESEALLGQLFHDFLLTGQVDASYEKLQSSGAFERDGETNVFTRTSKSIIDTLAKHWTTTRGAEILAMTMVSNQLMDKQEKHQRFLQFLALSKCHEELCTKQTGQSLLTIMEHGEKLSGMIQLRELQNTISQNRSNMSGSPHSSSEAQLSGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDLEEVFYCLHSYLTYLINEEQPHEAQIKRACELSNAVVSIVRSAMLYRNEHHMWYPLSQGLTSWYCQPVVRNGLWRIASFMLQLLDGTSELELSAKSDLCAHLEVLAEVLLEAYAGAVTAKVERGGEHKGLLDEYWNRRDSLLNSLYKQVKYFVEGGHQVLNVRTDEPDEEILRKLTSNLLSISKRHEGYNTMWSICCDINDSALLRNLMHDSMGPKGGFSYFVFKQLYEKRQISKLLRLGEEFQEELSIFLKHHRNLLWLHELFLHQFSSASETLHVLALSQDETSISEAEETTDHVQNRFITTLADRKRLLNLSKIAIMAGKTTDSETKMKRIEADLKILKLQEEILKVLPANEANQYDGQRLFRPEELIELCFKVQNPELALRGFDVFAWTSSSFRRSHRNLLEECWKNAADQDDWGQLHQASKDEGWSDEEILQQLRDTVLFQASSSCYGPNAEIIDEGFDAVLPLRKENSGVSALEDLDFSVEAILMQHKDYPDAGKLMLTAIMLGSVHDNSKVEENPSSME >Potri.002G221300.3.v4.1 pep chromosome:Pop_tri_v4:2:20560908:20568073:1 gene:Potri.002G221300.v4.1 transcript:Potri.002G221300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221300.v4.1 MFSPGIKRSSNRKAAAAPDNNSIPNRPATGTPAPWAPRLSVLARTQLTKKSEEKASDADPIKPVYVGEFPEVVRDEQANFLRSHIPGDASISGGMDKETCLSWIICRNRLFIWSHLSSSPSKDCVVLQLPLDCLDDGAHSNSGYHGTNWLLCFLNWDPTSRGRNKAVQSCKSAGVVLCNQKTRAVTYWPDIYNEGGSVPVTCMLSSDESEVTSFSVDGKSTPNRRSAINTMGSNSFNSLIACARPASQHVSVALACSSNGALWRFYCTPTEIQCSKVYQDTLYLSSHGSDGSQFVRSKGYPRSLIWRFSPHSMDDSERQFFLLTDHEIQCFSIKLNPDSNVSKMWSHEIVGTDSDLGIKKDLAGQKRIWPLDVQVDDHGKVITVLVATFCKDRVSSSSYTQYSLLTMQYKSGVNISSDVHERVLEKKAPIQVIIPKARVEDEDFLFSMRLRIGGKPSGSTLIISGDGTATVSHYFRNSTRLYQFDLPYDAGNVLDASALPSTNDGEDGAWIVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSTLEERRNLTFASNVAPRRVSSEAGDSGDRKKAVMNRISRRTSHDEESEALLGQLFHDFLLTGQVDASYEKLQSSGAFERDGETNVFTRTSKSIIDTLAKHWTTTRGAEILAMTMVSNQLMDKQEKHQRFLQFLALSKCHEELCTKQRQSLLTIMEHGEKLSGMIQLRELQNTISQNRSNMSGSPHSSSEAQLSGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDLEEVFYCLHSYLTYLINEEQPHEAQIKRACELSNAVVSIVRSAMLYRNEHHMWYPLSQGLTSWYCQPVVRNGLWRIASFMLQLLDGTSELELSAKSDLCAHLEVLAEVLLEAYAGAVTAKVERGGEHKGLLDEYWNRRDSLLNSLYKQVKYFVEGGHQVLNVRTDEPDEEILRKLTSNLLSISKRHEGYNTMWSICCDINDSALLRNLMHDSMGPKGGFSYFVFKQLYEKRQISKLLRLGEEFQEELSIFLKHHRNLLWLHELFLHQFSSASETLHVLALSQDETSISEAEETTDHVQNRFITTLADRKRLLNLSKIAIMAAGKTTDSETKMKRIEADLKILKLQEEILKVLPANEANQYDGQRLFRPEELIELCFKVQNPELALRGFDVFAWTSSSFRRSHRNLLEECWKNAADQDDWGQLHQASKDEGWSDEEILQQLRDTVLFQASSSCYGPNAEIIDEGFDAVLPLRKENSGVSALEDLDFSVEAILMQHKDYPDAGKLMLTAIMLGSVHDNSKVEENPSSME >Potri.002G221300.6.v4.1 pep chromosome:Pop_tri_v4:2:20561692:20568090:1 gene:Potri.002G221300.v4.1 transcript:Potri.002G221300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221300.v4.1 MLSSDESEVTSFSVDGKSTPNRRSAINTMGSNSFNSLIACARPASQHVSVALACSSNGALWRFYCTPTEIQCSKVYQDTLYLSSHGSDGSQFVRSKGYPRSLIWRFSPHSMDDSERQFFLLTDHEIQCFSIKLNPDSNVSKMWSHEIVGTDSDLGIKKDLAGQKRIWPLDVQVDDHGKVITVLVATFCKDRVSSSSYTQYSLLTMQYKSGVNISSDVHERVLEKKAPIQVIIPKARVEDEDFLFSMRLRIGGKPSGSTLIISGDGTATVSHYFRNSTRLYQFDLPYDAGNVLDASALPSTNDGEDGAWIVLTEKAGIWAIPEKAVVLGGVEPPERSLSRKGSSNEGSTLEERRNLTFASNVAPRRVSSEAGDSGDRKKAVMNRISRRTSHDEESEALLGQLFHDFLLTGQVDASYEKLQSSGAFERDGETNVFTRTSKSIIDTLAKHWTTTRGAEILAMTMVSNQLMDKQEKHQRFLQFLALSKCHEELCTKQRQSLLTIMEHGEKLSGMIQLRELQNTISQNRSNMSGSPHSSSEAQLSGALWDLIQLVGERARRNTVLLMDRDNAEVFYSKVSDLEEVFYCLHSYLTYLINEEQPHEAQIKRACELSNAVVSIVRSAMLYRNEHHMWYPLSQGLTSWYCQPVVRNGLWRIASFMLQLLDGTSELELSAKSDLCAHLEVLAEVLLEAYAGAVTAKVERGGEHKGLLDEYWNRRDSLLNSLYKQVKYFVEGGHQVLNVRTDEPDEEILRKLTSNLLSISKRHEGYNTMWSICCDINDSALLRNLMHDSMGPKGGFSYFVFKQLYEKRQISKLLRLGEEFQEELSIFLKHHRNLLWLHELFLHQFSSASETLHVLALSQDETSISEAEETTDHVQNRFITTLADRKRLLNLSKIAIMAGKTTDSETKMKRIEADLKILKLQEEILKVLPANEANQYDGQRLFRPEELIELCFKVQNPELALRGFDVFAWTSSSFRRSHRNLLEECWKNAADQDDWGQLHQASKDEGWSDEEILQQLRDTVLFQASSSCYGPNAEIIDEGFDAVLPLRKENSGVSALEDLDFSVEAILMQHKDYPDAGKLMLTAIMLGSVHDNSKVEENPSSME >Potri.003G142800.2.v4.1 pep chromosome:Pop_tri_v4:3:15825473:15828823:1 gene:Potri.003G142800.v4.1 transcript:Potri.003G142800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142800.v4.1 MDNSKTSSSSVNGPQEPSNHDELFMKQSLLFSDTLKDLKNLRKQLYSAADYFELAYYKEDQKQIVVETLKDYAIKALVSTVDHLGSVAYKVNKFLDQEIGEVSEMELRFFCTEQRLEACQEYINQGGLSQQSLAIKTPKHQKRYIFPVDEENMDAHSHTKSKYHSRSFSTEHNLLDLKNAVQATIKGAPSSLRERHSKSQSPQFYSRQGAFTITRTSTNNKPERRSSSPQHFPLIRSGSLLKGPVSSNYTNARRRYPSEPRRSVSLSMYSERDKTKDSDQQYSGKSKRLFKALLRMRKSRKEGSLYKYLDEI >Potri.006G134800.1.v4.1 pep chromosome:Pop_tri_v4:6:11095745:11097896:1 gene:Potri.006G134800.v4.1 transcript:Potri.006G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134800.v4.1 MDPSHSFTSHSKVDEQAEQAFRRKARKRLIVIVVSAVVLVTIIICALVGTSVSADNKSNGKNPSSQTSEAQYIRAMCNATRYPDSCYSSMSSSLKASSNDTNPNPDPKTLFLLSLQVSLIELTKLSSLPQWIMSSNSFKNETSDSLVQSALHACEILFLDAIDQVNESMSSIQVGQGDKTVFLTSKINDIRTRLSTAITDQDTCIAGLQDTAKHLILTDGVRYAMTNSTEFTSNSLAIASNLVKILDDQLGIPIHRKLLTVDHDLDMGFPSWVNKSDRRLLQQENPEPNLTVAKDGSGAFKTIREAVDSIPKNSKSRFVIYVKEGIYVENVKIEKQQWNFMMYGDGMNKTIISGSLNNVDGVTTFLSGTLIAEGRGFIAKDMGFKNTAGPQKEQAVAVRSSSDQSIFHRCSFDAYQDTLYTHSNRQFYRECRIIGTIDFIFGNAAAIFQNCTIQPRQPMEKQNNTITAQSRTDPNQNTGISIQQCQMTPFDNLTVPTFLGRPWRDHATTVIMESYIGDFLDPLGWIPWEPETDPPNTTFYAEYQNFGPGSAIDKRAGWLGVLPNITSDEAAKFTVEPFIQGRQWLVQANVFFQDTL >Potri.018G057100.1.v4.1 pep chromosome:Pop_tri_v4:18:5691677:5695558:1 gene:Potri.018G057100.v4.1 transcript:Potri.018G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057100.v4.1 MSELPLLLLSILVLVSLPFKVISQDVNAEKTILLNLKQQLGNPSSIQSWNSSSSPCEWPDVYCVEGAVTGLDLGNKNITQTIPASVCDLKNLTYLNLNWNYIPGGFPKLLYNCKKLEELDLSQNYFVGPIPDDIDRLSSLRYLYLQGNNFTGNIPPQIGNLTELRTLFLHQNQFNGTFPKEIGKLSNLEEMALAYIDFVPSSIPVEFGQLKKLRLLWMKLANLIGEIPESLSNLTSLVHLDLAGNDLEGKIPGGLFLLKNLTNLYLFKNKLSGEIPQIVETLNLVEIDLAMNHLNGSITQDFGKLKKLQLLSLFENHLSGEVPASIGLLPELRAFKVFTNNLSGVLPPKMGLHSTLEEFDVSNNQFSGRLPENLCAGGVLQGAVAFENNLSGQVPQSLGNCNSLRTVQLYSNNFSGEIPAGIWTAFNMTYLMLSENSFSGGLPSKLAWNLSRLELNNNRFSGPIPPGVSSWVNLVVFEASNNLFSGEIPVEITSLPHLSNLLLDGNQFSGQLPSTIPSWKSLTSLNLSRNGLSGQIPREIGSLPDLRYLDLSQNHFSGEIPPEFGQLKLIFLNLSSNNLSGKIPDQFDNLAYDNSFLENYKLCAVNPILNLPDCHTKLRDSEKFSFKILSLILVLTVTIFLVTIIVTLFMVRDCPRGKQKRDLASWKLTSFQRLDFTEANILASLTENNLIGSGGSGKVYRIAINRAGDFVAVKRIWSNEEMDHKLEKEFLAEVQILGTIRHANIVKLMCCISSEKSKLLVYEYMENHSLDRWLHGKKRSSSMGASSVRHSVLDWPTRFQIAIGAARGLCYMHHDCSTPIVHRDVKSSNILLDSEFKARIADFGLAKMLAKQGEAHTMSAVAGSFGYIAPEYAYTTKVNEKIDVYSFGVVLLELATGREPNSGDDEDTSLAEWAWRQFGQGKPVSNCLDQEIKEPCFLQEMTAVFNLGLVCTHSLPSNRPSMKDVLEILRRCSPDNNGEKKTVSEFDIVPLLGNVTCLSSNRRSNRLSDDNDDSLVYSV >Potri.016G016300.1.v4.1 pep chromosome:Pop_tri_v4:16:849071:850789:1 gene:Potri.016G016300.v4.1 transcript:Potri.016G016300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016300.v4.1 MKKAEVVLIPLPAMGHIVAVVEMAKLLVQRDDRLSTTVFVMHPTLDPSTTKYTETLAVSTLPDRMRVINLPSLESITSATKGRHSWLTCLIEGQKSHVKEYVSKIRTRYELNPDSPRLAGFIFDIFATGMKDVPNEFGVPWYVFSASSAASIGSMMHLTALHDEQGVDLTGLKNSEDELEIPCLANPIPAKLVPSMVFEKDSLTTFLEHARILTEARGILINTFLEFESYAINSLSDGKTPPVYPVGPIVKHVGGGGDLRSDESNNYRDIMEWLDDQPPSSVMFLCFGSWGSFKEKQVKEIAIALEHSGHRFLWSLRKPSQNGKKQSPSDYEDFQGILPEGFLDRTAMIGKVIGWAPQVEILSHSAVGGFASHCGWNSTLESVRFGVPVATWPLYAEQQFNAFQMVIELGLAVEIKMEYWKDFYGDTEIIVSSDDILKAIKSVMEEDSEVRKKVKEMSRISEKTLVDGGSSFSSLGRLIEDMTENMS >Potri.008G086900.4.v4.1 pep chromosome:Pop_tri_v4:8:5432987:5438927:1 gene:Potri.008G086900.v4.1 transcript:Potri.008G086900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086900.v4.1 MKKRYSGVLFASLFMLLVLRYGLLKNPIGEIYSLSPSNASKPLEWVHPALQPAVQNPENSSQVFSTDTIVSSLFALRNISNEEQKSLQTWNLLKHLSDHAQVLSNGVEAIKEAGNAWSSLMASIEEERLGYTNESSNRRVKEKQCPHFLNIMNATEHDNSGYKLWLPCGLTQGSSITIIGIPDGLLGNFRIDLTGEELPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTVAHDWGEEERCPSPSPEKIKKVDELDQCNKMVGRNDTRVTGMHSDGSRRSSFQEGTKVRRYFPFKQGQLSVATLRVGTEGIQTIIDGKHITSFAYRETLEPWLVSEVRISGDVKLISVVAGGLPTSEDSEHAIDLEELKSAPLSRKRSLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGEVAVRFFVGLHKSQIVNEGLWNEAWTYGDIQLMPFVDYYNLITWKTLAICIFGTEVAAAKYVTKTDDDAFVRVDEMLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAEAVYKRYKEGRLKMFKLEDVAMGIWIAEMKREGLEVKYEMEARVYNEGCKDGYVVAHYQGPREMLCLWQKLQEGNGARCCG >Potri.008G086900.5.v4.1 pep chromosome:Pop_tri_v4:8:5433872:5438926:1 gene:Potri.008G086900.v4.1 transcript:Potri.008G086900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086900.v4.1 MKKRYSGVLFASLFMLLVLRYGLLKNPIGEIYSLSPSNASKPLEWVHPALQPAVQNPENSSQVFSTDTIVSSLFALRNISNEEQKSLQTWNLLKHLSDHAQVLSNGVEAIKEAGNAWSSLMASIEEERLGYTNESSNRRVKEKQCPHFLNIMNATEHDNSGYKLWLPCGLTQGSSITIIGIPDGLLGNFRIDLTGEELPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTVAHDWGEEERCPSPSPEKIKKVDELDQCNKMVGRNDTRVTGMHSDGSRRSSFQEGTKVRRYFPFKQGQLSVATLRVGTEGIQTIIDGKHITSFAYRETLEPWLVSEVRISGDVKLISVVAGGLPTSEDSEHAIDLEELKSAPLSRKRSLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGEVAVRFFVGLHKSQIVNEGLWNEAWTYGDIQLMPFVDYYNLITWKTLAICIFGTEVAAAKYVTKTDDDAFVRVDEMLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAEAVYKRYKEGRLKMFKLEDVAMGIWIAEMKREGLEVKYEMEARVYNEGCKDGYVVAHYQGPREMLCLWQKLQEGNGARCCG >Potri.008G086900.7.v4.1 pep chromosome:Pop_tri_v4:8:5433020:5438912:1 gene:Potri.008G086900.v4.1 transcript:Potri.008G086900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086900.v4.1 MKKRYSGVLFASLFMLLVLRYGLLKNPIGEIYSLSPSNASKPLEWVHPALQPAVQNPENSSQVFSTDTIVSSLFALRNISNEEQKSLQTWNLLKHLSDHAQVLSNGVEAIKEAGNAWSSLMASIEEERLGYTNESSNRRVKEKQCPHFLNIMNATEHDNSGYKLWLPCGLTQGSSITIIGIPDGLLGNFRIDLTGEELPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTVAHDWGEEERCPSPSPEKIKKVDELDQCNKMVGRNDTRVTGMHSDGSRRSSFQEGTKVRRYFPFKQGQLSVATLRVGTEGIQTIIDGKHITSFAYRETLEPWLVSEVRISGDVKLISVVAGGLPTSEDSEHAIDLEELKSAPLSRKRSLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGEVAVRFFVGLHKSQIVNEGLWNEAWTYGDIQLMPFVDYYNLITWKTLAICIFGTEVAAAKYVTKTDDDAFVRVDEMLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAEAVYKRYKEGRLKMFKLEDVAMGIWIAEMKREGLEVKYEMEARVYNEGCKDGYVVAHYQGPREMLCLWQKLQEGNGARCCG >Potri.008G086900.6.v4.1 pep chromosome:Pop_tri_v4:8:5433024:5438926:1 gene:Potri.008G086900.v4.1 transcript:Potri.008G086900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086900.v4.1 MKKRYSGVLFASLFMLLVLRYGLLKNPIGEIYSLSPSNASKPLEWVHPALQPAVQNPENSSQVFSTDTIVSSLFALRNISNEEQKSLQTWNLLKHLSDHAQVLSNGVEAIKEAGNAWSSLMASIEEERLGYTNESSNRRVKEKQCPHFLNIMNATEHDNSGYKLWLPCGLTQGSSITIIGIPDGLLGNFRIDLTGEELPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWTVAHDWGEEERCPSPSPEKIKKVDELDQCNKMVGRNDTRVTGMHSDGSRRSSFQEGTKVRRYFPFKQGQLSVATLRVGTEGIQTIIDGKHITSFAYRETLEPWLVSEVRISGDVKLISVVAGGLPTSEDSEHAIDLEELKSAPLSRKRSLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGEVAVRFFVGLHKSQIVNEGLWNEAWTYGDIQLMPFVDYYNLITWKTLAICIFGTEVAAAKYVTKTDDDAFVRVDEMLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAEAVYKRYKEGRLKMFKLEDVAMGIWIAEMKREGLEVKYEMEARVYNEGCKDGYVVAHYQGPREMLCLWQKLQEGNGARCCG >Potri.001G348100.1.v4.1 pep chromosome:Pop_tri_v4:1:35960468:35962168:-1 gene:Potri.001G348100.v4.1 transcript:Potri.001G348100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348100.v4.1 MDASSSDSKYHVVLFPFMSKGHTIPLLHLARLLLRRPNFIVTVFTTSGNHSFIANSLSDTTAFIIDLPFPQNVPQIPAGVESTDKLPSMSLFAPFALSTKLMQPDFEKAIETLPRVNFMVSDGFLWWTLDSAIKFGFPRLVSFGMSIYSSCLSKAVVEQRLLFGPESDDELITLPQFPWIKVTRNDFGSTFRDSEPSGPHFEFNIATITAAINSYGTIINSFYELEATFADYWNKENGNKTWFVGPLCLADAPRVEHEPRKKPTWIKWLDQKLEQGRSVLYVAFGSQADISAQQLKEIAIGLKKSKVNFLWVMRAKDPEYGDESELEEGIGDRGIILREWVDQREILIHQSVNGFLSHCGWNSVLESICAGVPILAWPMMAEQPLNARMVVEEIKVGLRVETCNGSVRGFVKWEGLKKMVKELMEGETGKQVRKNAEEYGEIAKKAMEEGSGSSWCNLDVLVDGLCNPRNT >Potri.008G163000.1.v4.1 pep chromosome:Pop_tri_v4:8:11223638:11223952:1 gene:Potri.008G163000.v4.1 transcript:Potri.008G163000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163000.v4.1 MAFNGNSRVTNVFTLFTILLTLSTLQCGAPMRPMHEEQLLKKHVPLIESLQRGPVPPSEPSPCTHIPGGSGTCKLNEKNFVGRANRQPPPAFPSSVTEQSKASN >Potri.018G065000.1.v4.1 pep chromosome:Pop_tri_v4:18:7781815:7783692:1 gene:Potri.018G065000.v4.1 transcript:Potri.018G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065000.v4.1 MYKKERSSRETTFPQRRRTPSFSSTLLDSIYRSIDDSNGEEHVLGRYSRETTMIKKQGCNSVSTTRRDASFLEGEKQASPTLRRAVMIESWMEKRSSRGSKHYNATSSSSDSSSAGGGGGGGGGGDVFSSSENESSVKENSSFAQQRTKPLSDTPQLKPRCEGGGFSKTKLRALKIYGELKKVKQPISPGGRIASFLNSIFNSASAAKKVKMCSIGAMEDVSFERKSKSACSSVTSFSRSCLSKTPPPRGKPSNGTKRSVRFYPVSVIVDEDSRPCGHKCIYEDDPGLMPVPQKAVKSTSVRELEVARGAAAGYWRRSCHQKKSVSEFDFRGFHSYVEGDGDSNSDDDESCSSSDLFELDHLIGIGRYREELPVYETTNFKTNQSIANGFIL >Potri.018G065000.2.v4.1 pep chromosome:Pop_tri_v4:18:7781815:7783692:1 gene:Potri.018G065000.v4.1 transcript:Potri.018G065000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065000.v4.1 MYKKERSSRETTFPQRRRTPSFSSTLLDSIYRSIDDSNGEEHVLGRYSRETTMIKKQGCNSVSTTRRDASFLEGEKQASPTLRRAVMIESWMEKRSSRGSKHYNATSSSSDSSSAGGGGGGGGGGDVFSSSENESSVKENSSFAQQRTKPLSDTPQLKPRCEGGGFSKTKLRALKIYGELKKVKQPISPGGRIASFLNSIFNSASAAKKVKMCSIGAMEDVSFERKSKSACSSVTSFSRSCLSKTPPPRGKPSNGTKRIDASAPKSCQEYFCQGIRGC >Potri.018G081500.2.v4.1 pep chromosome:Pop_tri_v4:18:9940719:9946222:1 gene:Potri.018G081500.v4.1 transcript:Potri.018G081500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081500.v4.1 MARGLILTTQARATTTSFTTRLSVSKPKCKNPLPYRLHLPSRVSSTRFFCCKCSVSQDPIVITEYKQSFSQRMAMAGLKPHHRIAIGVSGGPDSMALCFLTAGWKTDGANAVGKSDDGFINGILGVIVDHGLREESNEEAHIVSSRVTEMGIRCEIAKCSWLDGKPKQGHLLEEAREKRYEVFQNVCTKHQIEVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTSQMFSKSTHLYREGSKNKGILIVRPLLHFSKEILYKICQESGQDWVEDPTNQNTVYARNRIRMSLGNLSSYTFQSELQGVISACRRTRAYVDQICNNLINQAVTIIDQHGYAIIDLEILNPSKVTDICLSKFVALILQYVSQRNRPIRGSTSKLLLHYIRTVPCKTSFTAAGCYLCPAPRSRGTKILVCCSVDCPLNSKMELIYPFLNGEQKHYFRNELEQIIADGKSYSNHFVPDASDVHFLDASESVISEAKTLNIISESTYRDILLLKREEIKHFKHKVEDKVDYKSKNKVESIIASPSELLQPGKACYFMNRFWITWKLSNHVSVGEGTENCVADLGGESQECHSCSCRIGHDKVAEVRRMSESDWLYLAKLSKCPSLDNLQQQKVLSSSTMEQISEKRSLHLENLELSAQKALEVLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKHCPCLMVSCEFKPIVPLGGGHSSFM >Potri.018G081500.1.v4.1 pep chromosome:Pop_tri_v4:18:9940706:9946255:1 gene:Potri.018G081500.v4.1 transcript:Potri.018G081500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081500.v4.1 MARGLILTTQARATTTSFTTRLSVSKPKCKNPLPYRLHLPSRVSSTRFFCCKCSVSQDPIVITEYKQSFSQRMAMAGLKPHHRIAIGVSGGPDSMALCFLTAGWKTDGANAVGKSDDGFINGILGVIVDHGLREESNEEAHIVSSRVTEMGIRCEIAKCSWLDGKPKQGHLLEEAREKRYEVFQNVCTKHQIEVLLIAHHADDQAELFILRLSRNSGVLGLAGMAFTSQMFSKSTHLYREGSKNKGILIVRPLLHFSKEILYKICQESGQDWVEDPTNQNTVYARNRIRMSLGNLSSYTFQSELQGVISACRRTRAYVDQICNNLINQAVTIIDHGYAIIDLEILNPSKVTDICLSKFVALILQYVSQRNRPIRGSTSKLLLHYIRTVPCKTSFTAAGCYLCPAPRSRGTKILVCCSVDCPLNSKMELIYPFLNGEQKHYFRNELEQIIADGKSYSNHFVPDASDVHFLDASESVISEAKTLNIISESTYRDILLLKREEIKHFKHKVEDKVDYKSKNKVESIIASPSELLQPGKACYFMNRFWITWKLSNHVSVGEGTENCVADLGGESQECHSCSCRIGHDKVAEVRRMSESDWLYLAKLSKCPSLDNLQQQKVLSSSTMEQISEKRSLHLENLELSAQKALEVLKSIPVAARRSLPVLVNHQGLLLSIPSIGFKHCPCLMVSCEFKPIVPLGGGHSSFM >Potri.009G169100.3.v4.1 pep chromosome:Pop_tri_v4:9:12867461:12870641:-1 gene:Potri.009G169100.v4.1 transcript:Potri.009G169100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169100.v4.1 MKGYLKATAKLSRYGSGTGWVEFGWLERLTLTGGGTFDGQGAKAWPYNNCTNDSKCKLLPTNVKFVAMNQTVVQGITSLNSKFFHIALVECKNFKGTKIKISAPADSPNTDGIHVERSSSVYISQSLIGTGDDCISIGQGNSQVTITRIRCGPGHGISVGSLGRYEDEGDVSGLVVRDCAISGTMNGIRIKTWANSPGSSAATNMTFENIVMNNVTNPIIIDQSYCPFSSCISTEPSKVKLSDIYFKQIRGTSSSAVAVALECSKGIPCQNIYLENVHLELSSGEKQATSSCKNVRARYIGEQIPPPCA >Potri.009G169100.1.v4.1 pep chromosome:Pop_tri_v4:9:12867462:12870506:-1 gene:Potri.009G169100.v4.1 transcript:Potri.009G169100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169100.v4.1 MYSNNMTSLGSGTWMLLLLLSFFCFNAVVFTGADGQGLEVEARRPISHHKGNSSLLFDVKRFGARADGRTDDSKAFIAAWKEACRATGKVELLIPKGTYLIGPVKFAGPCKNVSSLTVYMKGYLKATAKLSRYGSGTGWVEFGWLERLTLTGGGTFDGQGAKAWPYNNCTNDSKCKLLPTNVKFVAMNQTVVQGITSLNSKFFHIALVECKNFKGTKIKISAPADSPNTDGIHVERSSSVYISQSLIGTGDDCISIGQGNSQVTITRIRCGPGHGISVGSLGRYEDEGDVSGLVVRDCAISGTMNGIRIKTWANSPGSSAATNMTFENIVMNNVTNPIIIDQSYCPFSSCISTEPSKVKLSDIYFKQIRGTSSSAVAVALECSKGIPCQNIYLENVHLELSSGEKQATSSCKNVRARYIGEQIPPPCA >Potri.010G013700.2.v4.1 pep chromosome:Pop_tri_v4:10:2326070:2332020:-1 gene:Potri.010G013700.v4.1 transcript:Potri.010G013700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013700.v4.1 MGALTSSSNHKRDHRCNNDNLFSNSPDFHISKKPRFYTLYQTPEYNNQTLGSSNSIASRISRYPESATRFRREVHAPCRPQKFGLSKIRSGDFSEKKRTFGGGGGGDLMWKFLSKKLGYAKQSAFGAIRYLVKEKEVVDVDNESEKIEQEIVSEDSSIEEVEVIEEDGIEGGSTVLDQRLGSGDVKILEERSVVTIDGDLGVEDAGKMLGSLALNNEVEVLGVEAYKKLLENTERRNRKLTSLEFEIEYNEKRWDSLKALRPVKKEPVEEIPREPFIPLTPEEEAEVKRAFLPNNRRRVLVSHDNSNIDITGQTLRCLAPGTWLNDEVINLYMELLKERERREPKKFLKCHFFNTFFYKKLTGGGKGGFDYRAVKRWTTEKKLGYFLIDCDKIFVPVHQEIHWCLAIINKKDHKFQYLDSLKGRDIRVLESLAKYYAEEVKDKSKKDIDVSNWEREFVEDLPEQQNGYDCGVFMIKYADFYSRGVGLCFGQEHMPYFRLRTAKEILRLKAD >Potri.010G013700.4.v4.1 pep chromosome:Pop_tri_v4:10:2326070:2332062:-1 gene:Potri.010G013700.v4.1 transcript:Potri.010G013700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013700.v4.1 MKTLPESQGPLLCRETKIKCNNHPHLKSQSQSQSPSNISYQPSQISKRSEPYFSFQFSKTPQFKSSLQNPNFVRNSYSVDGFKISQKMGALTSSSNHKRDHRCNNDNLFSNSPDFHISKKPRFYTLYQTPEYNNQTLGSSNSIASRISRYPESATRFRREVHAPCRPQKFGLSKIRSGDFSEKKRTFGGGGGGDLMWKFLSKKLGYAKQSAFGAIRYLVKEKEVVDVDNESEKIEQEIVSEDSSIEEVEVIEEDGIEGGSTVLDQRLGSGDVKILEERSVVTIDGDLGVEDAGKMLGSLALNNEVEVLGVEAYKKLLENTERRNRKLTSLEFEIEYNEKRWDSLKALRPVKKEPVEEIPREPFIPLTPEEEAEVKRAFLPNNRRRVLVSHDNSNIDITGQTLRCLAPGTWLNDEVINLYMELLKERERREPKKFLKCHFFNTFFYKKLTGGGKGGFDYRAVKRWTTEKKLGYFLIDCDKIFVPVHQEIHWCLAIINKKDHKFQYLDSLKGRDIRVLESLAKYYAEEVKDKSKKDIDVSNWEREFVEDLPEQQNGCVFFFLSILLLESSARYFLCYQL >Potri.001G374750.1.v4.1 pep chromosome:Pop_tri_v4:1:39306565:39307203:1 gene:Potri.001G374750.v4.1 transcript:Potri.001G374750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374750.v4.1 MNARTEAILWLYKSQRRPRTRGRTDSLKKNGQRIASKRRLKENAKKKNRRRECRESQENREEKKKKNIETERKPVTRTSEDKKKQKQRGTLVNQPSLLNIFVLKQRLLKRNTEKTKG >Potri.009G000100.5.v4.1 pep chromosome:Pop_tri_v4:9:177120:184714:1 gene:Potri.009G000100.v4.1 transcript:Potri.009G000100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G000100.v4.1 MALDVVSERVCYVHCNFCNTILAVSVPSSSLLNIVTVRCGHCGNLLSVNMGASLQTLPLQDAQKLHLINSEDLNKDSGSSSKPNKVTAFKSAEHEPPRMSPIRRRKFKGLRLVILTSAIGKLSARQQKIGHIFHTFTLD >Potri.009G000100.4.v4.1 pep chromosome:Pop_tri_v4:9:177120:184714:1 gene:Potri.009G000100.v4.1 transcript:Potri.009G000100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G000100.v4.1 MALDVVSERVCYVHCNFCNTILAVSVPSSSLLNIVTVRCGHCGNLLSVNMGASLQTLPLQDAQKLHLINSEDLNKDSGSSSKPNKVTAFKSAEHEPPRMSPIRPPEKRQRVPSAYNRFIKEEIQRIKACNPDISHREAFSTAAKNWAHFPHIHFGLKLDSDKHP >Potri.009G000100.3.v4.1 pep chromosome:Pop_tri_v4:9:177120:184714:1 gene:Potri.009G000100.v4.1 transcript:Potri.009G000100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G000100.v4.1 MALDVVSERVCYVHCNFCNTILAVSVPSSSLLNIVTVRCGHCGNLLSVNMGASLQTLPLQDAQKLHLINSEDLNKDSGSSSKPNKVTAFKSAEHEPPRMSPIRPAPEKRQRVPSAYNRFIKEEIQRIKACNPDISHREAFSTAAKNWAHFPHIHFGLKLDSDKHP >Potri.015G023200.1.v4.1 pep chromosome:Pop_tri_v4:15:1713790:1715853:-1 gene:Potri.015G023200.v4.1 transcript:Potri.015G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023200.v4.1 MIDQSILCPIKYTEHKKVTKQFTKPSLKPKKLPSDDRCRLLEPSNPQPRLVRVTVTDHDATDSSSDEEGGLFGRQRVKRYVNEIDIQASCKETNAITTAVANNRKRGVGDIPQRPAKKSAPQSTNNGRKFRGVRQRPWGKWAAEIRDPARRQRLWLGTYDTAEEAARVYDNAAIKLRGPDALTNFITPPSREEEQEQEKSTVEEREGEEKKKPEINVDTVSGSGYESADESHSLSSPKSVLTFRLPSAESHKPPAHPFHEVEKEPSYQDNQEAFGESNSTDYLPLDAPFLDDFFNFGTSGPTLFDDQVMATATTSVFEESFMSNQDFGDIFLDPLQDFSSLSSVCQEDDDFFHFLNSEPLAAL >Potri.005G125200.1.v4.1 pep chromosome:Pop_tri_v4:5:9316373:9317784:1 gene:Potri.005G125200.v4.1 transcript:Potri.005G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125200.v4.1 MAKRFKFRFSRLISFQSCRSKDPSPLPSNPVPSFLRLSPVNHNSIIINNLHLPPSQPPSSKPHQHSSIKRHVSSAFTSMGCGFRSKSSTHSLSETDHAKSSQQTENFHWAEEEKYHLVAKLFDDDSTPRRKLYNSSASEDSKNHDVFLPPTNIERKKRRVKKKKRASRIRISTSSADSGLFFTGDENVINVEETETLVSYSRSFSTDSPSEFNPHLETIRESPFTRKKRGRKAKGGVLKKGTTRRGRKARNSCDGSLSPARLSRLQWLIPCTVEGKVRESFAVVKKSEDPFEDFKRSMMEMILEKEMFEEKDLEQLLHCFLSLNLREHHGVIVQAFSEIWDTLFCRRRRSISSRVSAA >Potri.010G094800.1.v4.1 pep chromosome:Pop_tri_v4:10:11877136:11884033:1 gene:Potri.010G094800.v4.1 transcript:Potri.010G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094800.v4.1 MAPKRKKSPSIDPQGIFSGMVVFLVETGVQSRRLQIWKQKLVQMGATTEERLSKKVTHVFAINSQALLQQLDGQLLKRFKPRVLLYQWLEDSLRSGEKVSEDQYHLLVDMEGENTKDKSLVLKLVNENTSSADELSPHNEKIKSDVKKFKSDAENKGLSEVPNSPGSSDSSPLSQTLTNPIPSSTLHSDLSLPYSPPDLNRSITEIFGKLINIYRALGDDRRSFSYYKAIPVVEKLPFKIESADQVKDLPGIGKSMQDHIQEIVTTGKLSKLEHFETDEKVRTISLFGEVWGIGPATALKFYEKGHRTLEDLKNEDSLTHSQKLGLKYFDDIKTRIPRHEVQEMELLLQKTGEEILPGVDILCGGSYRRGKASCGDLDIVITHPDGKSHKGFLTRYVKRLKDMKFLREDLIFSTHSEEGTDSGVDTYFGLCTYPGRELRHRIDFKVYPRDIYAFGLVAWTGNDVLNRRLRLLAESKGYRLDDTGLFPATQASGGKRGARASASLRFDNEKEVFDFLGFPWLEPHERNL >Potri.002G157400.1.v4.1 pep chromosome:Pop_tri_v4:2:11997767:12003229:-1 gene:Potri.002G157400.v4.1 transcript:Potri.002G157400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157400.v4.1 MEEDQDGNEIHNCVIKLREKPKKRREKVYIGCGAGFGGDRPIAALKLLQRVKELNYIVLECLAERTLADRYQIMISGGDGYDSRITDWMRLLLPLAVERGTCIITNMGAMDPVGAQEKVVELASSLGLGVSVAVAHEVSSAKLGSGSSTKKSYIMEGGISTYLGAAPIVECLEKYQPDVVITSRVADAALFLAPMVYELGWNWNDLEELAQGSMAGHLLECGCQLTGGYFMHPGDKYRDISFPSLLDLSLPYAEISFDGSLCVAKAEGSGGVLNFSTCAQQLLYEVGDPGAYITPDVVIDFRNVSFHSLSAHKVLCAGAKPSVNSVPDELLRLIPKDCGWKGWGEISYGGYECVKRAKAAEYLVRSWMEEVFPGVSCNVASYIIGLDSLKTISIHDNNISCGACEDIRLRMDGLFELKEHAVQFETEFTALYTNGPAGGGGVSTGHKKEIILGKQLVERESVFWRTGVKSWKGMRPNKEEVDLGNLVKTTIWHDPLSPPHPKSSSPVIETSPAPSGQKIPLYSVAHSRVGDKGNDMNFSIIPHFPSDIERLKLIITPQWVKEVVSTLLNTSSFPDSVSTMKRDKWVSEHVNVEIYEVKGIKSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQKVVLLP >Potri.002G157400.3.v4.1 pep chromosome:Pop_tri_v4:2:11997766:12003114:-1 gene:Potri.002G157400.v4.1 transcript:Potri.002G157400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157400.v4.1 MQREKPKKRREKVYIGCGAGFGGDRPIAALKLLQRVKELNYIVLECLAERTLADRYQIMISGGDGYDSRITDWMRLLLPLAVERGTCIITNMGAMDPVGAQEKVVELASSLGLGVSVAVAHEVSSAKLGSGSSTKKSYIMEGGISTYLGAAPIVECLEKYQPDVVITSRVADAALFLAPMVYELGWNWNDLEELAQGSMAGHLLECGCQLTGGYFMHPGDKYRDISFPSLLDLSLPYAEISFDGSLCVAKAEGSGGVLNFSTCAQQLLYEVGDPGAYITPDVVIDFRNVSFHSLSAHKVLCAGAKPSVNSVPDELLRLIPKDCGWKGWGEISYGGYECVKRAKAAEYLVRSWMEEVFPGVSCNVASYIIGLDSLKTISIHDNNISCGACEDIRLRMDGLFELKEHAVQFETEFTALYTNGPAGGGGVSTGHKKEIILGKQLVERESVFWRTGVKSWKGMRPNKEEVDLGNLVKTTIWHDPLSPPHPKSSSPVIETSPAPSGQKIPLYSVAHSRVGDKGNDMNFSIIPHFPSDIERLKLIITPQWVKEVVSTLLNTSSFPDSVSTMKRDKWVSEHVNVEIYEVKGIKSLNIVVRNILDGGVNCSRRIDRHGKTISDLILCQKVVLLP >Potri.001G235200.4.v4.1 pep chromosome:Pop_tri_v4:1:25364596:25366812:-1 gene:Potri.001G235200.v4.1 transcript:Potri.001G235200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235200.v4.1 MLFSTSELLPILPQAQPESPLVIPAKANSSITESNSLSDTYNHQSHGSSPVESLIDAVTSPDFSSINMAESSHMGFVNKALVQEYDGSIPTGLVASAMAKIDPADIVIDNFVKGKVLPQRGKLLQAVMETGPLLQTLLLAGPLPRWRNPPPLQQFKIPVSFNGFETPNLTANSSCLAQQPFPSPSNIGLSRGSSQMCSASMLDFATCASGSGIGNGCLLSSGDMHQIPARKRQRFQ >Potri.001G235200.1.v4.1 pep chromosome:Pop_tri_v4:1:25364589:25366815:-1 gene:Potri.001G235200.v4.1 transcript:Potri.001G235200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235200.v4.1 MEELSSMWGFEESFELKQKLVYTTIELDSLKVEANEGLRKHKEDVKQLINLLKIAYQERDEAKGQLQKLLNKLMLFSTSELLPILPQAQPESPLVIPAKANSSITESNSLSDTYNHQSHGSSPVESLIDAVTSPDFSSINMAESSHMGFVNKALVQEYDGSIPTGLVASAMAKIDPADIVIDNFVKGKVLPQRGKLLQAVMETGPLLQTLLLAGPLPRWRNPPPLQQFKIPVSFNGFETPNLTANSSCLAQQPFPSPSNIGLSRGSSQMCSASMLDFATCASGSGIGNGCLLSSGDMHQIPARKRQRFQ >Potri.001G235200.7.v4.1 pep chromosome:Pop_tri_v4:1:25364601:25366577:-1 gene:Potri.001G235200.v4.1 transcript:Potri.001G235200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235200.v4.1 MLFSTSELLPILPQAQPESPLVIPAKANSSITESNSLSDTYNHQSHGSSPVESLIDAVTSPDFSSINMAESSHMGFVNKALVQEYDGSIPTGLVASAMAKIDPADIVIDNFVKGKVLPQRGKLLQAVMETGPLLQTLLLAGPLPRWRNPPPLQQFKIPVSFNGFETPNLTANSSCLAQQPFPSPSNIGLSRGSSQMCSASMLDFATCASGSGIGNGCLLSSGDMHQIPARKRQRFQ >Potri.001G235200.5.v4.1 pep chromosome:Pop_tri_v4:1:25364596:25366816:-1 gene:Potri.001G235200.v4.1 transcript:Potri.001G235200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235200.v4.1 MLFSTSELLPILPQAQPESPLVIPAKANSSITESNSLSDTYNHQSHGSSPVESLIDAVTSPDFSSINMAESSHMGFVNKALVQEYDGSIPTGLVASAMAKIDPADIVIDNFVKGKVLPQRGKLLQAVMETGPLLQTLLLAGPLPRWRNPPPLQQFKIPVSFNGFETPNLTANSSCLAQQPFPSPSNIGLSRGSSQMCSASMLDFATCASGSGIGNGCLLSSGDMHQIPARKRQRFQ >Potri.001G235200.6.v4.1 pep chromosome:Pop_tri_v4:1:25364596:25366812:-1 gene:Potri.001G235200.v4.1 transcript:Potri.001G235200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235200.v4.1 MLFSTSELLPILPQAQPESPLVIPAKANSSITESNSLSDTYNHQSHGSSPVESLIDAVTSPDFSSINMAESSHMGFVNKALVQEYDGSIPTGLVASAMAKIDPADIVIDNFVKGKVLPQRGKLLQAVMETGPLLQTLLLAGPLPRWRNPPPLQQFKIPVSFNGFETPNLTANSSCLAQQPFPSPSNIGLSRGSSQMCSASMLDFATCASGSGIGNGCLLSSGDMHQIPARKRQRFQ >Potri.001G235200.3.v4.1 pep chromosome:Pop_tri_v4:1:25364601:25366814:-1 gene:Potri.001G235200.v4.1 transcript:Potri.001G235200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235200.v4.1 MLFSTSELLPILPQAQPESPLVIPAKANSSITESNSLSDTYNHQSHGSSPVESLIDAVTSPDFSSINMAESSHMGFVNKALVQEYDGSIPTGLVASAMAKIDPADIVIDNFVKGKVLPQRGKLLQAVMETGPLLQTLLLAGPLPRWRNPPPLQQFKIPVSFNGFETPNLTANSSCLAQQPFPSPSNIGLSRGSSQMCSASMLDFATCASGSGIGNGCLLSSGDMHQIPARKRQRFQ >Potri.012G135700.2.v4.1 pep chromosome:Pop_tri_v4:12:15007874:15011885:1 gene:Potri.012G135700.v4.1 transcript:Potri.012G135700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G135700.v4.1 MFPFLLEFNYSPAPPSSFSRPGWGYDVFLSFRGEDTRKNFTDHLYTALLQAGIRTFRDDDELLRGEKISSQLPKAIQESKVSIVVFSKGYASSTWCLDELEEILDCRHTTGQIVLPVFYDIGPSDIRKQTGSFAEAFDRHEERFKEEMEKVQKWRKALVEAANLSGLDLHSVANGHESKFVQKIVQEVSSKLNPRYMNVATYPVGIDSQVKDIIAMLSVGTNEVRTVGIYGMPGIGKTAIAKAVFNQLCHKFEGSCFLLNIRKSSDQHNGLVQLQEQLLFDSLTGKIWFADVDAGINGIKSQFCRKRVLVILDDFDQSEQIHALVGERGWFGPGSRIVITTRDEHLLTQLEVVKKYPAKELNHEESLQLFSWHAFREPHPVTEYVELSKVLVDYVGGVPLALEVVGSYLFRRSIPQWTSAIEKLKKIPHHQIQRQLKTSFDDLDGDKLKDMFLDIACFFIGMDKDYVGKILDGRGFYPEIDINILRERSLLTVNSENKLQMHNLLRDMGREIIRQMDPNPGKRSRLWLHEDVMEVLGKCSGTEVVEGIMLDAQASKDAFLSTTSFAPTTSQASKDVVVSTTSFARMTSLQLLQFSGGQLRGHCEHVSEALIWLCWHKCSMRTLPHKFQLDSLVVLDMQHSEIRELWKETKCLNNLKVLDLSHSMFFVKTPNFSGLPSLETLILENCKRLADIHQSIGELKKLVFLNLKGCSSLKNLPESLPSTLETLNTTGCISLEKFPENLGNMQGLIEVQANETEVHHLPSSIGNLKKLKKLFVCGSGYVLESAMSSEKIVLKQQPFLPLSFSGLSSLTTLHVSNRHLSNSNTSINLGSLSSLQDLKLASNDFSELPAGIGHLPKLEKLDLSACRNLLFISEIPSSLRTLVALDCISLEKVSIQSKTAPDLLLSRCGKLAEIQGLESVENKPVIRMENCNNLSNNFKEILLQVLSKGKLPDIVLPGSDVPHWFIQYQRDRSSSTFRIPAISVGLIQGLIVWTVYADTGKDKLSSFSSLCSASIRKKNDNTELFYTRPYFGISSKDEDHSWVIYIPFSRIQGTIEGGDELEVSVKPGNGTTVRKCGAHLIF >Potri.003G064600.1.v4.1 pep chromosome:Pop_tri_v4:3:9200638:9202507:-1 gene:Potri.003G064600.v4.1 transcript:Potri.003G064600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064600.v4.1 MATMKKEGVKPMENMTNMPNKGAWTAEEDRKLAEVIAIHGARKWKTIAAKAALNRCGKSCRLRWLNYLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKISQKGKQTGVSTKEDHRVEKNIIKNNLDLKEDNKSSQRGEEESKLNFNVDDFFDFSNEDPLNLEWMSRFLEIDKA >Potri.017G104001.1.v4.1 pep chromosome:Pop_tri_v4:17:11427647:11428509:1 gene:Potri.017G104001.v4.1 transcript:Potri.017G104001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G104001.v4.1 MKAVGSSELGLLPAEGDDEENGFVLASSWGENGKGELRWLLVKEGLLLAGEGRRRCWNRLEREKENEGLCRKGTPAGRGKPKTWGPGSLQQGKGGFG >Potri.004G153800.4.v4.1 pep chromosome:Pop_tri_v4:4:17460221:17464263:-1 gene:Potri.004G153800.v4.1 transcript:Potri.004G153800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153800.v4.1 MAFSILIFLYLLQSFNLASSESFIGVNYGQVADNLPSPSATAKLLQSTAVQKVRLYGADPAIIRALANTGIEIVIGAANGEIPALASDPNSATQWINSNVLPYYPASKIILITVGNEVLLSNDQNLISQLLPAMQNMQKALSSASLGGKVKVSTVHSMAILSRSDPPSSGLFNPAYQDTMRRLLQFQKDNGSPLAVNPYPFFAYQSDPRPETLAFCLFQPNSGRVDSGNGIKYMNMFDAQVDAVRSALNAMGFIDVEIVVAETGWPYKGDSNEVGPGIENARAYNGNLVAHLRSMVGTPLMPGKSVDTYIFALYDEDLKSGPASERSFGLFKPDLSMTYDIGLSKSSLPPSTPKTPVTPSPKPTKADWCVPKAGVSDAQLQASLDYACGQGIDCGPIQPGGACFEPNTVASHASYAMNLYYQKSAKNPWNCDFSETATLTFKNPSYNGCTYPGGSA >Potri.016G070900.1.v4.1 pep chromosome:Pop_tri_v4:16:5175109:5177466:-1 gene:Potri.016G070900.v4.1 transcript:Potri.016G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070900.v4.1 MELSDSIVRNSYIYRYVSLNCCVNLNKFASVPLSGSSCAAVSLTRSKRRSRRRELPPSMETPPDGYRRNVGICLVNPSKKIFTASRINIPYTWQMPQGGAGEGEELRNAAMRELREETGVTSAEFVAEAPYWLTYDFPSQARERINRRWGTNYKGQAQKWFLFKFTGKEEEINLLGDGSETPEFKDWAWLLPERVLELAVGSKKPVYEQVMKVFGSYLQADADEGNCAGQNETEARVHVESAPV >Potri.006G021100.1.v4.1 pep chromosome:Pop_tri_v4:6:1417998:1418773:-1 gene:Potri.006G021100.v4.1 transcript:Potri.006G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021100.v4.1 MDAGMQVALPILGVVAAAAATFYVVSFSELREKSFRDLEESEDGGFESSLSSRKRRARRKAEKEAKK >Potri.006G021100.2.v4.1 pep chromosome:Pop_tri_v4:6:1418095:1418624:-1 gene:Potri.006G021100.v4.1 transcript:Potri.006G021100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021100.v4.1 MDAGMQVALPILGVVAAAAATFYVKSFRDLEESEDGGFESSLSSRKRRARRKAEKEAKK >Potri.014G055800.2.v4.1 pep chromosome:Pop_tri_v4:14:3557014:3568621:-1 gene:Potri.014G055800.v4.1 transcript:Potri.014G055800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055800.v4.1 MGSTGEPDRKRRHFSSISSPTAAMAKKQPLSHLSEDKKLDTAVLQYQNQKLQQKLEAQKVEHSALENKFSLQKEKQKPYNSTLKAVNKSWEVLVTDLETCSNRTREWINGQDVKHVPTARDGGSSSLKDAFLSRLMETGATESSSATNCPDQMEVDRETAFEKNKRIAHNLVATINGLWYLKDGLRAAVLKQLTEDDACRETISNELETELKNLRLGLSDLHLKHKSLARELQNHRDSDAKNKAELKHLKGELETTVAELNDSNCKLATLKAERNATKGAFFPVLNMGSKHAAGDQVRDKQKDLLEMESAVKELLDQASSRLLEVKDLHEERLIILQKLSNLQHSLKNVKVISSSRAYLLLRDQLEKSKSMVLHYRALFEKLQVEKDNLVWKERELNMKNDLVDVCRRSTAVVDSRVADLGKEIQKQINERNMIETNLEESSREPGRKDVIAEFKALVSSFPEEMGSMQSQLSNFKEASSDIHSLRADVQSLSTVLDRKQGKQCGSLSSRSTSQIAEIHKLQSVVQDLNENILELKLILDMYQRESTYSRDVLEARDLEYKAWAQVQSFKFSLDEQNLELRVKTANEAEAISQQKLAAAEAEIADLRQKLEASKMDMSRLSDVLESKNEENEAYLSEIETIGQAYDEMQTQNQHLLQQVTERDDYNIKLVLEGVRARQLRDSLLMDKQTMEKEIQQANISVDFFDVKAARIEDQLKNCSDQVHKLAEDKFQRSVMLENTQKKLLDLRRSSNQARESLEDSQSRVERSRAALLEVQIDLEKERFDKRRMEEELEVARREFSRLQEHTEGSSIVEKLQQELREYREIVKCSICLDRPKEAVITKCYHLFCNPCIQRIVESRNRKCPVCSMSFGHNDVKPVYI >Potri.014G055800.6.v4.1 pep chromosome:Pop_tri_v4:14:3556927:3568675:-1 gene:Potri.014G055800.v4.1 transcript:Potri.014G055800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055800.v4.1 MGSTGEPDRKRRHFSSISSPTAAMAKKQPLSHLSEDKKLDTAVLQYQNQKLQQKLEAQKVEHSALENKFSLQKEKQKPYNSTLKAVNKSWEVLVTDLETCSNRTREWINGQDVKHVPTARDGGSSSLKDAFLSRLMETGATESSSATNCPDQMEVDRETAFEKNKRIAHNLVATINGLWYLKDGLRAAVLKQLTEDDACRETISNELETELKNLRLGLSDLHLKHKSLARELQNHRDSDAKNKAELKHLKGELETTVAELNDSNCKLATLKAERNATKGAFFPVLNMGSKHAAGDQVRDKQKDLLEMESAVKELLDQASSRLLEVKDLHEERLIILQKLSNLQHSLKNVKVISSSRAYLLLRDQLEKSKSMVLHYRALFEKLQVEKDNLVWKERELNMKNDLVDVCRRSTAVVDSRVADLGKEIQKQINERNMIETNLEESSREPGRKDVIAEFKALVSSFPEEMGSMQSQLSNFKEASSDIHSLRADVQSLSTVLDRKGKQCGSLSSRSTSQIAEIHKLQSVVQDLNENILELKLILDMYQRESTYSRDVLEARDLEYKAWAQVQSFKFSLDEQNLELRVKTANEAEAISQQKLAAAEAEIADLRQKLEASKMDMSRLSDVLESKNEENEAYLSEIETIGQAYDEMQTQNQHLLQQVTERDDYNIKLVLEGVRARQLRDSLLMDKQTMEKEIQQANISVDFFDVKAARIEDQLKNCSDQVHKLAEDKFQRSVMLENTQKKLLDLRRSSNQARESLEDSQSRVERSRAALLEVQIDLEKERFDKRRMEEELEVARREFSRLQEHTEGSSIVEKLQQELREYREIVKCSICLDRPKEAVITKCYHLFCNPCIQRIVESRNRKCPVCSMSFGHNDVKPVYI >Potri.005G164300.1.v4.1 pep chromosome:Pop_tri_v4:5:16299521:16302227:-1 gene:Potri.005G164300.v4.1 transcript:Potri.005G164300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164300.v4.1 MANHSEDLSQLNISIEEKDKLVAEVIRYVLFKNHQNSGSPIKRDELTQIVTKNYRHRTLPAVVIDEAKHKLASVFGFEMRELQRARPSSTNQGRASSQQSVADAKSYVLISQLPADVYRKYVEDVNSAHVTGFTFVVISVVHLAGGKIPEENLWHHLKKMGLFENDESHPALGNIKQALETLVQQRYLQKDKISGPEGNTLVYELAERALDGPVNERVKEYISQVVKRDVASAVIN >Potri.005G164300.2.v4.1 pep chromosome:Pop_tri_v4:5:16299041:16302209:-1 gene:Potri.005G164300.v4.1 transcript:Potri.005G164300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164300.v4.1 MANHSEDLSQLNISIEEKDKLVAEVIRYVLFKNHQNSGSPIKRDELTQIVTKNYRHRTLPAVVIDEAKHKLASVFGFEMRELQRARPSSTNQGRASSQQSVADAKSYVLISQLPADVYRKYVEDVNSAHVTGFTFVVISVVHLAGGKIPEENLWHHLKKMGLFENDESHPALGNIKQALETLVQQRYLQKDKISGPEGNTLVYELAERALDGPVNERVKEYISQVVKRDVASAVIN >Potri.013G111700.2.v4.1 pep chromosome:Pop_tri_v4:13:12027611:12028537:-1 gene:Potri.013G111700.v4.1 transcript:Potri.013G111700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111700.v4.1 MGSSATLSDRAQNVPKECDTSFPATKTASSRRTASFSSSSSLSSCSSLRFLDDSPLSPATPLQFSGVPFSWEHLPGIPKKQSYKKKDSTSKALPLPPPAAPASISRRINSEEIGIRKKHNNESFRRDPFFAALVQCSKDDDDDYQGSDFWGGAKVSRSISDRFGFVSLYTSCKKTSDVSDSIVYLPRSSRTSYDLISRRSR >Potri.005G178200.1.v4.1 pep chromosome:Pop_tri_v4:5:18413190:18415322:-1 gene:Potri.005G178200.v4.1 transcript:Potri.005G178200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178200.v4.1 MARTTSQNQNEKNKVGAFFLATLILWFVSVLFEIFFNKRTELLWIVAGACLFQIANWAIRSFVSRDPLFVNTSVSLLHSTIISVSVVSILVNQCLKNGSDGMFEHSQLVGGTWEWAYAALCFSCGYFAYDQLDMLFYRLYSGLIPSILVHHMILLVCFTLALYRNVTINYLILTLVCELHSIFLHVRKVRRMAGIRNAKSTIVRIEWVLNWLTFIFARSLTHILITVKLVADAPKFEKGVELPLALFGMAGMNLINVGLGIDLFNAFKREKSTENSNHHGE >Potri.007G117600.1.v4.1 pep chromosome:Pop_tri_v4:7:13655932:13658698:-1 gene:Potri.007G117600.v4.1 transcript:Potri.007G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117600.v4.1 MKNLKRGGLACFFVIVSICFFIYPPGFPPFQGSFQAYAQEAGFFGEVFHVPEAFDPDYEEMERKFKIFVYPHNTSSCSNPRTLDGEYGNEGLFYLNLNLSRFLTKDPEKAHLFLIPISCHSLPAGRSEDERAIAVEDFVKSLISKYPYWNRTLGADHFFVTCVDINVTATARIANLMKNSIKVMCTPSYNDEYVPHKDVSLPQRVPPLALTPAGNNITNRITLAFWRGLNNSDIRQKLLEAWENDLELFIQKGRKPSLEQGDLVHHEAFNNSKYCICPGGPELDRTIALAIHYGCVPDILDWRKFSIILEESQVYYLREHLKEMLEHEYRAMQTNTVMVRKHFQWNLVPAKYDAFHMTMYDLWLRNHFTKYY >Potri.007G117600.2.v4.1 pep chromosome:Pop_tri_v4:7:13655899:13659071:-1 gene:Potri.007G117600.v4.1 transcript:Potri.007G117600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117600.v4.1 MERKFKIFVYPHNTSSCSNPRTLDGEYGNEGLFYLNLNLSRFLTKDPEKAHLFLIPISCHSLPAGRSEDERAIAVEDFVKSLISKYPYWNRTLGADHFFVTCVDINVTATARIANLMKNSIKVMCTPSYNDEYVPHKDVSLPQRVPPLALTPAGNNITNRITLAFWRGLNNSDIRQKLLEAWENDLELFIQKGRKPSLEQGDLVHHEAFNNSKYCICPGGPELDRTIALAIHYGCVPVIMSDYYDLPFKDILDWRKFSIILEESQVYYLREHLKEMLEHEYRAMQTNTVMVRKHFQWNLVPAKYDAFHMTMYDLWLRNHFTKYY >Potri.015G040200.1.v4.1 pep chromosome:Pop_tri_v4:15:3604062:3607700:-1 gene:Potri.015G040200.v4.1 transcript:Potri.015G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040200.v4.1 MDPPPPSLLIHPPLPPSSPPPPTTAIPSTTETIPHLAPLPNPAPAVTQPSYAEMIYSAITALKEQDGSSRIAIAKYIERAYPGLPSNHSDLLTHHLKRLKNSGALVLNKKSYMLPRSDSNANITTTTPTVSTSPTQIQPQYAVPVSSAPPEQKRGRGRPPKAKLNGLTPTPAPVLANGQAQTGLGLNVGVTAQPLSVGFPIDPTSSTVKKGRGRPKKVAVTEAGPLAVNKGKGRPPKTGPLGSKKSPGRPRKPKSLVDAKKGPGRPPKNQLKPVTVPYAVAAPTATAIATDAAAMFNVGSPRPRGRPRKGAALAAAGVGAVVVQAKRPGRPPKLPVIMKPKPKKSSGRPVGRPRKNANAPWAITRASEPQAQAELHGDLKRKLEFFQSRVKQAIGVLKPHLTSATISAVAAIQELEGLASMDINVPWREEPQPQIQPLPQPLPQMQPLPQIQPLLQPQPQPQPQPQPQPQPQPQPLQQLLQS >Potri.015G040200.4.v4.1 pep chromosome:Pop_tri_v4:15:3604125:3607207:-1 gene:Potri.015G040200.v4.1 transcript:Potri.015G040200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040200.v4.1 MIYSAITALKEQDGSSRIAIAKYIERAYPGLPSNHSDLLTHHLKRLKNSGALVLNKKSYMLPRSDSNANITTTTPTVSTSPTQIQPQYAVPVSSAPPEQKRGRGRPPKAKLNGLTPTPAPVLANGQAQTGLGLNVGVTAQPLSVGFPIDPTSSTVKKGRGRPKKVAVTEAGPLAVNKGKGRPPKTGPLGSKKSPGRPRKPKSLVDAKKGPGRPPKNQLKPVTVPYAVAAPTATAIATDAAAMFNVGSPRPRGRPRKGAALAAAGVGAVVVQAKRPGRPPKLPVIMKPKPKKSSGRPVGRPRKVNVAIFTHTWI >Potri.015G040200.3.v4.1 pep chromosome:Pop_tri_v4:15:3604165:3607664:-1 gene:Potri.015G040200.v4.1 transcript:Potri.015G040200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040200.v4.1 MIYSAITALKEQDGSSRIAIAKYIERAYPGLPSNHSDLLTHHLKRLKNSGALVLNKKSYMLPRSDSNANITTTTPTVSTSPTQIQPQYAVPVSSAPPEQKRGRGRPPKAKLNGLTPTPAPVLANGQAQTGLGLNVGVTAQPLSVGFPIDPTSSTVKKGRGRPKKVAVTEAGPLAVNKGKGRPPKTGPLGSKKSPGRPRKPKSLVDAKKGPGRPPKNQLKPVTVPYAVAAPTATAIATDAAAMFNVGSPRPRGRPRKGAALAAAGVGAVVVQAKRPGRPPKLPVIMKPKPKKSSGRPVGRPRKNANAPWAITRASEPQAQAELHGDLKRKLEFFQSRVKQAIGVLKPHLTSATISAVAAIQELEGLASMDINVPWREEPQPQIQPLPQPLPQMQPLPQIQPLLQPQPQPQPQPQPQPQPQPQPLQQLLQS >Potri.002G166100.1.v4.1 pep chromosome:Pop_tri_v4:2:12701385:12708870:1 gene:Potri.002G166100.v4.1 transcript:Potri.002G166100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166100.v4.1 MGSLESGGISFKRDSNNLIRSHSAGRTERNPFLYRPRSRLSRFLLFKKLDYIQWICTVAVFLFFVVLFQMFLPGSVVEKSELGSSPWRGMELVNKDLLYLKEIGGLDFGEDIKFEPSKILQKFRKENREMNMPFTNGTLSRFPYRKPQLALVFADLLVDPQQLLMVTVATALQEIGYTIHVYTLRDGPVQNIWKSMGYPVTIIQMSHKLEIAVDWLNYDGILVNSLETRSVISCFMQEPFKSVPLIWTIHERALAIRSRQYTSSWQIELLNDWRKAFNRATVVVFPNHVLPMMYSAFDAGNYYVIPGSPAEVWEADTTMALYNDDIRVKMGYEPTDIVIAVVGSQFLYRGLWLEHALVLKALLPLLQDFPLDSNSISHLKIIVLSGDSTGNYSAAVEAIAVNLSYPRGTVKHFAVDGDVSSALSAVDLVIYGSFLEEQSFPEILVRAMSIGKPIIAPDLSMIGKYVDDRVNGYLFPKENLKALTQIVLQAISKGTLSPLARNIASIGKSTAKNLMVLETIEGYATLLENVLKLPSEVALPKAVPEIPPKLKKEWCWNLFKAFLNSTHEDVTLKSSRYLNKVEEQWNHEQGESTGSIAATDDSFSYDIWEEEKNILMLNTRKRREEEELKDRTDQPRGTWEEVYRSAKRADRSRNDLHERDEGELLRTGQPLCIYEPYFGEGTWSFLHLSSLYRGIGLSTKGRRPRTDDIDAPSRLSLLSNSYYRDALGDYGAFFAIANRIDRIHKNSWIGFQSWRATARKASLSRIAEKALIDAIETQTHRDAVYFWVPMDMDPRSHLRRDFWSFCDAINAGNCKLAFSEAFKRMYGIKHDLDSLPSMPEDGDTWSVMLSFALPTRSFLEFVMFSRMFVDALDAQMYDEHHQSGRCYLSPAKDKHCYSRVLELLINVWAYHSARQMVYVNPETGLMKEQHTVKSRRGKMWVRWFSYSVLKSMDEDLAEEADSDRPKRRWLWPSTGEVVWEGVYEKERNLRNHQKEKRRQQSKDKQQRMRKKHRQKVLGKYVKPLPEDIENSNSTMSTSETL >Potri.012G012000.1.v4.1 pep chromosome:Pop_tri_v4:12:1367207:1370423:-1 gene:Potri.012G012000.v4.1 transcript:Potri.012G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012000.v4.1 MAGGSGSASTSIDIEELLKDFPDRMQTTLPEEMCIYHVPVDIRQVNKDAYTPQVICIGPIHQKNENQFMKELKRRYFKQFLDRLPVGKRKPVLEDLVETIKGRVDKIRNCYEDAAYELCKDPKGCEFKIHNCYEDAAFELRKDPKDREVEILDCSEDDSSKRCEDQKVFWKMILWDAVFIFELFLKNREFKEDKKSQGKEDTEKYQEKYQEKYKYDYIIAKPWLRSAVQRDLILLENQLPFFILQVLYGIVSKYNITGYSCPPKTGCSCLPETDKTCCSCLPETDCCCPCIAFRELTCTFFKKYNKNKNKTSPEKPLHFTDLVRSFFLPKDLNTKDPNPKDPSSQTIKKHYRATRLHQAGMKFKPKKPVEYNIKSWTDPEDDSIEKGKLYLPTLEIDDHTECLFRNLMALEQCHYPYEAFICGYVIFLDFLVDTKEDADLLIKSEVIVNMLGESDGVAKLINKLCQGIAEVSSCYNRLAQALDKYYDSRFNKRKAYLRRHYFKNVWIGTGTVVGLIVLFITLGNFVRSFF >Potri.017G015900.1.v4.1 pep chromosome:Pop_tri_v4:17:1171720:1172510:1 gene:Potri.017G015900.v4.1 transcript:Potri.017G015900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015900.v4.1 MGDCRPLGFLLGLPFALLALILAIVGAVIWLIGAILSCLCPCCVCCAALANLAMDVVQLPVRILRWFVDEIPC >Potri.007G113900.1.v4.1 pep chromosome:Pop_tri_v4:7:13439120:13439776:-1 gene:Potri.007G113900.v4.1 transcript:Potri.007G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113900.v4.1 MASFNCFVLALLIAFSFPGGEAARNLLQLPPLPAVPNLPKPTLPPMPSIPTLAQPPLPTLPTTQPSLPKPTLPPLPSLPTTPSLPKVTLPPLPSMPSNIPTIPIPTTVPTIPIPTTIPSIPFLSPPPAGN >Potri.016G027201.1.v4.1 pep chromosome:Pop_tri_v4:16:1519887:1521038:1 gene:Potri.016G027201.v4.1 transcript:Potri.016G027201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G027201.v4.1 MMIKHGYPLAMVQHEFFEIFVKNLQPVFKLYSKDVVEADVLAICRQEKEKLISFFDNLSCLLSLTLELRSSNDKMMNYCCFTVHFIDDGWQLKKKILAFRNLRYNYDMGTVHKVFNSVLTEWSINKNVRFIFLDVTPPKDHTIGELRSKVSDQAPPIHRHLFCVPSYAQILSLLAQDGFSEIRSVLYKIRECIE >Potri.002G072600.1.v4.1 pep chromosome:Pop_tri_v4:2:5096562:5099073:1 gene:Potri.002G072600.v4.1 transcript:Potri.002G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072600.v4.1 MEIAHFLFGIFGNATALFLFLAPTITFRRIIRSKSTELFSGIPYVMTMLNCLLSAWYGMPFVSKNNILVSTINGTGAVIEAVYVLTFIIYAPKKEKAKFIGLLTLVLTTFAGVALVSLVVLHGKPREIFCGFAAAIFSIIMYGSPLSIMRTVVKTKSVEFMPFFLSLFVFLCGTSWFVFGLLGGDLFVAVPNGVGCGLGALQLILYFIYRNNKGEDKKPALPVKSMQMGIAKLHQQKELVANGSHVADKV >Potri.002G072600.2.v4.1 pep chromosome:Pop_tri_v4:2:5096395:5099044:1 gene:Potri.002G072600.v4.1 transcript:Potri.002G072600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072600.v4.1 MEIAHFLFGIFGNATALFLFLAPTITFRRIIRSKSTELFSGIPYVMTMLNCLLSAWYGMPFVSKNNILVSTINGTGAVIEAVYVLTFIIYAPKKEKAKFIGLLTLVLTTFAGVALVSLVVLHGKPREIFCGFAAAIFSIIMYGSPLSIMRTVVKTKSVEFMPFFLSLFVFLCGTSWFVFGLLGGDLFVAVPNGVGCGLGALQLILYFIYRNNKGEDKKPALPVKSMQMGIAKLHQQKELVANGSHVADKV >Potri.004G045601.1.v4.1 pep chromosome:Pop_tri_v4:4:3602998:3612514:1 gene:Potri.004G045601.v4.1 transcript:Potri.004G045601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045601.v4.1 MASPFEGWDDQQMLDQYLYDYFIKRKLHKTAAIFREQANVAAKPVEINDSAQGFLYDWWTMFYDVYVYRQSRKGQNVKGKAPVNVMDEQMSQNEKRNAYQIAPQLAINQLRHGQFPSGSDFDMMLRQPGASLIATRMFEEQFHPVRNYDPQLQAFDVNKLNLSNSASAISSYLPLQAQQFLRDNRDGISIGGASPLRINPYGAQTGMGDGWLSPNHLQTFPLLTQQHQSSLLVQALSHTSKNLKFSSPSSPTNFQGQSLILPKSDLNIEDAQMRIQMKQTQEKQNQLAQCLEQQLTENDRKRERLSYSRAEDQTSDFANAEEDKPANENVIESFLSTDDDHGDGIGTPLSTLKRRSTACSENDHKGFTFEEIGCLSSSKSKVLCCHFSSDGKMLASSGHEKKVSIWNMEDFQASNSSEEHSHLVTDVRFRPSSSILATASFDKTVRIWDAAKPSISLFKLLGHAEQVMSLDFHPRKVDLLCSCDGNDEIRLWNVNKRTCMRVSKGATRQVRFQPTIGKLMATSTGNSINVIDVEVGNLVWNLKGHSKEVLSICWDTSGKYIASVSEDSARVWSLVGGNCLGKLHSNGNKFQSCAFHPGYSMLLIIGGYQVLEFWSPTDGGKTWSIPAHGGLIAALADSVENEMIASASHDHCVKLWK >Potri.004G045601.3.v4.1 pep chromosome:Pop_tri_v4:4:3603013:3612412:1 gene:Potri.004G045601.v4.1 transcript:Potri.004G045601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045601.v4.1 MASPFEGWDDQQMLDQYLYDYFIKRKLHKTAAIFREQANVAAKPVEINDSAQGFLYDWWTMFYDVYVYRQSRKGQNVKGKAPVNDEQMSQNEKRNAYQIAPQLAINQLRHGQFPSGSDFDMMLRQPGASLIATRMFEEQFHPVRNYDPQLQAFDVNKLNLSNSASAISSYLPLQAQQFLRDNRDGISIGGASPLRINPYGAQTGMGDGWLSPNHLQTFPLLTQQHQSSLLVQALSHTSKNLKFSSPSSPTNFQGQSLILPKSDLNIEDAQMRIQMKQTQEKQNQLAQCLEQQLTENDRKRERLSYSRAEDQTSDFANAEEDKPANENVIESFLSTDDDHGDGIGTPLSTLKRRSTACSENDHKGFTFEEIGCLSSSKSKVLCCHFSSDGKMLASSGHEKKVSIWNMEDFQASNSSEEHSHLVTDVRFRPSSSILATASFDKTVRIWDAAKPSISLFKLLGHAEQVMSLDFHPRKVDLLCSCDGNDEIRLWNVNKRTCMRVSKGATRQVRFQPTIGKLMATSTGNSINVIDVEVGNLVWNLKGHSKEVLSICWDTSGKYIASVSEDSARVWSLVGGNCLGKLHSNGNKFQSCAFHPGYSMLLIIGGYQVLEFWSPTDGGKTWSIPAHGGLIAALADSVENEMIASASHDHCVKLWK >Potri.004G045601.2.v4.1 pep chromosome:Pop_tri_v4:4:3602998:3612514:1 gene:Potri.004G045601.v4.1 transcript:Potri.004G045601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045601.v4.1 MASPFEGWDDQQMLDQYLYDYFIKRKLHKTAAIFREQANVAAKPVEINDSAQGFLYDWWTMFYDVYVYRQSRKGQNVKGKAPVNVMDEQMSQNEKRNAYQIAPQLAINQLRHGQFPSGSDFDMMLRQPGASLIATRMFEEQFHPVRNYDPQLQAFDVNKLNLSNSASAISSYLPLQAQQFLRDNRDGISIGGASPLRINPYGAQTGMGDGWLSPNHLQTFPLLTQQHQSSLLVQALSHTSKNLKFSSPSSPTNFQGQSLILPKSDLNIEDAQMRIQMKQTQEKQNQLAQCLEQQLTENDRKRERLSYSRAEDQTSDFANAEEDKPANENVIESFLSTDDDHGDGIGTPLSTLKRRSTACSENDHKGFTFEEIGCLSSSKSKVLCCHFSSDGKMLASSGHEKKVSIWNMEDFQASNSSEEHSHLVTDVRFRPSSSILATASFDKTVRIWDAAKPSISLFKLLGHAEQVMSLDFHPRKVDLLCSCDGNDEIRLWNVNKRTCMRVSKGATRQVRFQPTIGKLMATSTGNSINVIDVEVGNLVWNLKGHSKEVLSICWDTSGKYIASVSEDSARVWSLVGGNCLGKLHSNGNKFQSCAFHPGYSMLLIIGGYQVLEFWSPTDGGKTWSIPAHGGLIAALADSVENEMIASASHDHCVKLWK >Potri.004G045601.9.v4.1 pep chromosome:Pop_tri_v4:4:3603307:3612207:1 gene:Potri.004G045601.v4.1 transcript:Potri.004G045601.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045601.v4.1 MASPFEGWDDQQMLDQYLYDYFIKRKLHKTAAIFREQANVAAKPVEINDSAQGFLYDWWTMFYDVYVYRQSRKGQNVKGKAPVNVMDEQMSQNEKRNAYQIAPQLAINQLRHGQFPSGSDFDMMLRQPGASLIATRMFEEQFHPVRNYDPQLQAFDVNKLNLSNSASAISSYLPLQAQQFLRDNRDGISIGGASPLRINPYGAQTGMGDGWLSPNHLQTFPLLTQQHQSSLLVQALSHTSKNLKFSSPSSPTNFQGQSLILPKSDLNIEDAQMRIQMKQTQEKQNQLAQCLEQQLTENDRKRERLSYSRAEDQTSDFANAEEDKPANENVIESFLSTDDDHGDGIGTPLSTLKRRSTACSENDHKGFTFEEIGCLSSSKSKVLCCHFSSDGKMLASSGHEKKVSIWNMEDFQASNSSEEHSHLVTDVRFRPSSSILATASFDKTVRIWDAAKPSISLFKLLGHAEQVMSLDFHPRKVDLLCSCDGNDEIRLWNVNKRTCMRVSKGATRQVRFQPTIGKLMATSTGNSINVIDVEVGNLVWNLKGHSKEVLSICWDTSGKYIASVSEDSARVWSLVGGNCLGKLHSNGNKFQSCAFHPGYSMLLIIGGYQVLEFWSPTDGGKTWSIPAHGGLIAALADSVENEMIASASHDHCVKLWK >Potri.004G075200.1.v4.1 pep chromosome:Pop_tri_v4:4:6255702:6259881:1 gene:Potri.004G075200.v4.1 transcript:Potri.004G075200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075200.v4.1 MVLSKTASESDVSVHSTFASRYVRASLPRFKMPENSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGDSETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLSDGYYVMDPEKAVQMVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKSTGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYRNVMENCRDNMLVLKQGLEKTGKFNIVSKDNGVPLVAFSLKDNSLHNEFEVSDMLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVIDIGKVLHELETLPSRISAKIVLANEEKDAVADKDKKDVQKETREIITAWRKLVIQRKKMNGVC >Potri.001G026800.1.v4.1 pep chromosome:Pop_tri_v4:1:1996552:2006047:1 gene:Potri.001G026800.v4.1 transcript:Potri.001G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026800.v4.1 MMYGGDPQQQQQQQQHHHHQQLQQQQQQQQQQQQQQQQQQQQQQQHQQQQQHHHHHQHMQHQPPPGNDFHRGPPPPPMMRQPSASSTTLSPLDYHHQHHPPQAAPGPPHPNYEGHGDGYGGKRMRKLTQRRAVDYTSTVVRYIQTRMWQRDSRDRTILQHTPAAAIDVLPTVAYSDNPSTSFAAKFVHTSLNKNRCSINQVLWTPNGRRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGAIKYWQSNMNNVKANKSAHKESVRGLSFCRTDLKFCSCSDDTTVKVWDFARCHEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGKELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDIRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQIEVSSAHDNSVWDLAWHPIGYLLCSGSNDHTTKFWCRNRPGDTARDKFNVGQNQGYGEQNPAFGGRFPGNFPVPEPPTTPGPFPPGLTRNEGTIPGVGAAMPLSIQSLDASQGEKQPLPISMPFGSPPLPPGPHPSLLAANQQQGYQQNPQQMPQQQHQPHPQHMPPLPMPPPNMQQLQPPSHVPLLPHMHLPRPPQMPPHGMPSPIPSSMPGSLPSSLPGPMGMQGTMNQMVPSLQQGHFMGMNPLHAGSLPTSAAPTVGGGFPNGLPNMQGPSNASGGQMYSQGGPFNRPQGGQMPMMPGFNPYQSGNQSGMPPPLPPGPPPHSQTPQ >Potri.006G133500.2.v4.1 pep chromosome:Pop_tri_v4:6:10950596:10953552:-1 gene:Potri.006G133500.v4.1 transcript:Potri.006G133500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133500.v4.1 MATASALDKSVPEKLAPPLDATAEQPPLFDGTTKLYTCYTCPFAQRVWITRNFKGLQDEIKLVPLILQNRPAWYPEKVYPPNKVPSLEHNGKITGESLDLIKYLESNFEGPSLLPKDPAKKEFAEELFSYTDKFNGTVYTAFKGDLAKEAGPAFDYLENALHKFDDGPFFLGKEFSLVDIAYIPFVERLNIFLLEVFKYDIAAGRQKLAAWIEEVNKIEAYKQTKTDPKELVEFYKKRFLA >Potri.016G035500.1.v4.1 pep chromosome:Pop_tri_v4:16:2084232:2090987:1 gene:Potri.016G035500.v4.1 transcript:Potri.016G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035500.v4.1 MGLCHGKPIELQKNQSKNNTLSIETDSTQPANSHTSKTSNFPFYSPSPLPSLFKTSPAISSVSSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGSESDIALDKNFGFSKQFVSHYELGEEVGRGHFGYTCSAKAKKGSLKGQDVAVKVIPKSKMTTAIAIEDVRREVKILRALTGHNNLVQFYDAYEDDDNVYVVMELCKGGELLDRILSRGGKYSEEDAKTVMVQILSVVAYCHLQGVVHRDLKPENFLFTTKEENSPLKAIDFGLSDYVKLDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPEAIDFVKRLLNKDYRKRLTAAQALSHPWLANHHDLKIPLDMIVYKLVKAYISSSSLRKSALGALAKTLTVAQLAYLREQFTLLGPSKNGFISMQNFKTAVIKHSTDAMKDSRVLDYVNMISTLQYRKLDFEEFSAVAISVHQLEGMDCWEQHARRAYELFEKDGNRPIMIEELASELGLSPSVPVHVVLQDWIRHSDGKLSFLGFVRLLHGVSSRTFQKA >Potri.006G250100.4.v4.1 pep chromosome:Pop_tri_v4:6:24952335:24954854:1 gene:Potri.006G250100.v4.1 transcript:Potri.006G250100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250100.v4.1 MPGLVENGNFEVGPKPSELKGTEMIGRYALPKWEISGFVEYIKAGQKQGDMLLVVPEGAYAVRLGNEASIKQVLNVTKGMYYSITFSAARTCAQEEELNISISPEWGVLPMQTMYSSNGWDSYAWAFKALLDIVELVVHNPGVEEDPACGPLIDSVAIKALYPPRPTNKNLVKNGGFEEGPYLLPNTSWGVLIPPNIEDKHSPLPGWMVESLKAVKFIDVEHFSVPQGRRAIELVAGKESAIAQVVRTIIGKTYTLSFAVGDASNSCQGSMVVEAFAGKDTVKVPYESKGKGGFKRAVLKFVAASSRTRIMFYSTFYTMRSDDFSSLCGPVVDDVKLLSLRGT >Potri.006G250100.2.v4.1 pep chromosome:Pop_tri_v4:6:24951521:24954946:1 gene:Potri.006G250100.v4.1 transcript:Potri.006G250100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250100.v4.1 MRGAMLPLSVLLLATCHFAFSIRDGLVENGNFEVGPKPSELKGTEMIGRYALPKWEISGFVEYIKAGQKQGDMLLVVPEGAYAVRLGNEASIKQVLNVTKGMYYSITFSAARTCAQEEELNISISPEWGVLPMQTMYSSNGWDSYAWAFKALLDIVELVVHNPGVEEDPACGPLIDSVAIKALYPPRPTNKNLVKNGGFEEGPYLLPNTSWGVLIPPNIEDKHSPLPGWMVESLKAVKFIDVEHFSVPQGRRAIELVAGKESAIAQVVRTIIGKTYTLSFAVGDASNSCQGSMVVEAFAGKDTVKVPYESKGKGGFKRAVLKFVAASSRTRIMFYSTFYTMRSDDFSSLCGPVVDDVKLLSLRGT >Potri.017G119300.1.v4.1 pep chromosome:Pop_tri_v4:17:12561431:12563468:-1 gene:Potri.017G119300.v4.1 transcript:Potri.017G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119300.v4.1 MNKKEIFKLAKGFRGRAKNCIRIARERVEKALQYSYRDRRNKKRDMRSLWIQRINAGTRQHGVNYGNFMHGLMKENIQLNRKVLSEISMHEPYSFKALVDISRNAFPGNKNVVHPPRKVDMSAVNV >Potri.003G196900.1.v4.1 pep chromosome:Pop_tri_v4:3:19879273:19894919:-1 gene:Potri.003G196900.v4.1 transcript:Potri.003G196900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196900.v4.1 MDENSQSSIFDGEITGIRFGLATQKEICTASISDCPISHSSQLTNPFLGLPLEFGKCESCGTSEPGKCEGHFGFIHLPIPIYHPSHISELKRMLSLICLKCLKLKRNKIQIKSNGVAERLLSCCEECAQISIREVKNTDGACFLELKLPSRSRLRDGCWNFLERYGFRYGDDFTRPLLPCEVMQILKRIPAETRKKLSGKGYFPQDGYILQQLPVPPNCLSVPVVSDGITVMSSDLSISMLKKVLKQAEVIRSSRSGAPNFDAHKDEATSLQSMVDQYLQVRGTTKTSRDVDTRYGVKKESSESTTKAWLEKMRTLFIRKGSGFSSRSVITGDAYTLVNQVGIPYEIAQRITFEERVSVHNMRYLQELVDNKLCLTYKDGSSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHAVKINPLICGPLSADFDGDCVHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKMMFKACFLGKSAAQQLAMFISPYLPQPALLKVNCFFPHWTAHQILQMALPACFNCSGERFLIINSNFLKVDFNRDVVASVINEILISMFFEKGSGAVLKFFNSLQPMLMENLFSEGFSVSLEDFSISRAVKQRIPESFKAISPLLCNLRSTFNELVELQVENHIRDVKQPVREFILTSSALGYLIDSKSDAAVTKVVQQIGFLGLQVSDRGKLYSKTLVEDLASHFLSKYPANLFDYPSAQYGLIQNSFFHGLDAYEEMAHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVSSNSIIQFEYGVKVGTESQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNCSWDMMKEILLCKVGFKNDLADRRVILYLNDCGCGRNYCQERAAYLVKNHLEKVSLKDIAKCFMIEYKSQQIPESFGSDAGLVGHVHLDKRKLQDLNITAQVILEKCQETVNTFRKKKKVGNLFKKTILLVSESCSFQQCIDESPCLMFFWQGADDVHLERTSNILADMICPVLLETIIKGDHRISCANIIWATPETNTWIRNPSRTQKGELALDIVLEKSVVKKSGDAWRIVLDSCLPVLHLINTTRSIPYAIKQVQELLGVSCAFDTAVQRLSKSVTMVAKGVLKEHLILLGNSMTCAGSLIGFYTGGYKTLSRSLDIQVPFTEATLFTPRKCFEKAAEKCHTDSLSSIVASCAWGKHVTVGTGSHFDVLWDTKEACLNPEGSMDVYSFLNMVRSTAGGEESVTACLGAEVDDLMLEDEDWNLSPEHNSSSDKPTFEDSAEFQDFLGNQPAESNWEKISSLKDRSRSSGNWDVDKNDGAVKEKPWSLGMNTAEANDVASSGWDTAAARTTNNSWNSENNVAQSNSFSGWATKKPEPHNGFATKVQEEPTTSNDWDAGAAWGRKDRDNKFAETNASKSWWGKVTDGDEPGQNKSKNKRPEDQDVGTHGWDDKMSQDQSISGWASKTTQEATTESLGWDSKGNSNPGDAACGWKAASTWGAENTDGDKLWGKEVSSNQADTASGWGKPKSPEISLGWGSTKESVKSDRGWGVSSSGGGRDKKTENQSLAGQGKESGGWGNKVTSNQADTASGWGKPKSSENSQGWGLSKESGKEVHEWGVPNSAGGNGSETNNNNENQSLVEQGKESGWDNKASSNQEGTASGWGKPKSPALSEGWGSPREPVKAVHGWGVPNSGGGNGSGRDQQWGQQSREFKKDRFEGSRGWGSNNGDWKNKRNRPSKPHEDLNASGIFTTTRQRLDVFTSQEQDILSDIEPLMLSIRRIMHQTGYNDGDPLSADDQSYVLDNVFHYHPDKAVKMGAGIDHVTVSRHSNFQESRCFYIVSTDGCKQDFSYRKCLENFIKGKYPDLADEFIAKYFARRGNRQRTPAPEGTEEEKQAL >Potri.003G196900.2.v4.1 pep chromosome:Pop_tri_v4:3:19879095:19894828:-1 gene:Potri.003G196900.v4.1 transcript:Potri.003G196900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196900.v4.1 MDENSQSSIFDGEITGIRFGLATQKEICTASISDCPISHSSQLTNPFLGLPLEFGKCESCGTSEPGKCEGHFGFIHLPIPIYHPSHISELKRMLSLICLKCLKLKRNKIQIKSNGVAERLLSCCEECAQISIREVKNTDGACFLELKLPSRSRLRDGCWNFLERYGFRYGDDFTRPLLPCEVMQILKRIPAETRKKLSGKGYFPQDGYILQQLPVPPNCLSVPVVSDGITVMSSDLSISMLKKVLKQAEVIRSSRSGAPNFDAHKDEATSLQSMVDQYLQVRGTTKTSRDVDTRYGVKKESSESTTKAWLEKMRTLFIRKGSGFSSRSVITGDAYTLVNQVGIPYEIAQRITFEERVSVHNMRYLQELVDNKLCLTYKDGSSTYSLREGSKGHTFLRPGQVVHRRIMDGDIVFINRPPTTHKHSLQALSVYVHDDHAVKINPLICGPLSADFDGDCVHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKMMFKACFLGKSAAQQLAMFISPYLPQPALLKVNCFFPHWTAHQILQMALPACFNCSGERFLIINSNFLKVDFNRDVVASVINEILISMFFEKGSGAVLKFFNSLQPMLMENLFSEGFSVSLEDFSISRAVKQRIPESFKAISPLLCNLRSTFNELVELQVENHIRDVKQPVREFILTSSALGYLIDSKSDAAVTKVVQQIGFLGLQVSDRGKLYSKTLVEDLASHFLSKYPANLFDYPSAQYGLIQNSFFHGLDAYEEMAHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVSSNSIIQFEYGVKVGTESQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNCSWDMMKEILLCKVGFKNDLADRRVILYLNDCGCGRNYCQERAAYLVKNHLEKVSLKDIAKCFMIEYKSQQIPESFGSDAGLVGHVHLDKRKLQDLNITAQVILEKCQETVNTFRKKKKVGNLFKKTILLVSESCSFQQCIDESPCLMFFWQGADDVHLERTSNILADMICPVLLETIIKGDHRISCANIIWATPETNTWIRNPSRTQKGELALDIVLEKSVVKKSGDAWRIVLDSCLPVLHLINTTRSIPYAIKQVQELLGVSCAFDTAVQRLSKSVTMVAKGVLKEHLILLGNSMTCAGSLIGFYTGGYKTLSRSLDIQVPFTEATLFTPRKCFEKAAEKCHTDSLSSIVASCAWGKHVTVGTGSHFDVLWDTKEACLNPEGSMDVYSFLNMVRSTAGGEESVTACLGAEVDDLMLEDEDWNLSPEHNSSSDKPTFEDSAEFQDFLGNQPAESNWEKISSLKDRSRSSGNWDVDKNDGAVKEKPWSLGMNTAEANDVASSGWDTAAARTTNNSWNSENNVAQSNSFSGWATKKPEPHNGFATKVQEEPTTSNDWDAGAAWGRKDRDNKFAETNASKSWWGKVTDGDEPGQNKSKNKRPEDQDVGTHGWDDKMSQDQSISGWASKTTQEATTESLGWDSKGNSNPGDAACGWKAASTWGAENTDGDKLWGKEVSSNQADTASGWGKPKSPEISLGWGSTKESVKSDRGWGVSSSGGGRDKKTENQSLAGQGKESGGWGNKVTSNQADTASGWGKPKSSENSQGWGLSKESGKEVHEWGVPNSAGGNGSETNNNNENQSLVEQGKESGWDNKASSNQEGTASGWGKPKSPALSEGWGSPREPVKAVHGWGVPNSGGGNGSGRDQQWGQQSREFKKDRFEGSRGWGSNNGDWKNKRNRPSKPHEDLNASGIFTTTRQRLDVFTSQEQDILSDIEPLMLSIRRIMHQTGYNDGDPLSADDQSYVLDNVFHYHPDKAVKMGAGIDHVTVSRHSNFQESRCFYIVSTDGCKQDFSYRKCLENFIKGKYPDLADEFIAKYFARRGNRQRTPAPEGTEEEKQAL >Potri.017G144061.1.v4.1 pep chromosome:Pop_tri_v4:17:14437974:14440111:1 gene:Potri.017G144061.v4.1 transcript:Potri.017G144061.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144061.v4.1 MEKAHTKSALKKLVKASSQSAPWSNAARGMAKDDLKDPLYDKSKVAPKPFAKENTKPQEFKLHTGQRALKRAMFNYSVATKIYMNEQQKRQIERIQKIIEEEEVRTMRKEMVPRAQLMPYFDRPFFPQRSSRPLTVPREPSFHMVNSKCWSCIPEDELYYYFEHAHPHDHAWKPVK >Potri.015G092600.1.v4.1 pep chromosome:Pop_tri_v4:15:11533966:11536926:-1 gene:Potri.015G092600.v4.1 transcript:Potri.015G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092600.v4.1 MAKSRNIKNPIFTLTPSPVYHLLSASPFTSLLFSPKKSPRNYNKLLTPPTLCLISLLVSMTLFGVSILGFTSNSQDSLPCSLNSPSSLSFPSMSISSMLLTSLSSVVPKNVEDEPRMAKTLMAPLPLQPVTGNVSKEEKEFWEQPDGKGYKPCLDFSLKYRKASARISKERRRFLVVVASGGLNQQRNQIVDAVVIARILEAALVVPVLQVNPIWDDESEFSEIFNVEHFKRVLRADVRIVSSLPSTHLMSRQSIENQIPYDVSPYWIRARFSRLLNEEGLLILKALDSKLSKNLPPDLQKLRCKVAFHALRFAAPIQDLGNRLSKRMWIEGPYIALHLRLEKDIWVRSGCLSSLGPEYDKIIAKSRESQPEYLTGRLNMNHIRRRLAGLCPLSALEIARFLKALGAPSTARIYIAGGEPFGGSLALQPLIAEFPNVITKEILARGGELSPFIKKASALAAIDYIISLSSNVFIPSHGGNFGRVMQGHRAYAGHKKHIRPNKRAMLPVFENSTFEFGSIIRTLHKKSQGQPEPRTNKRDRDVIAYPVPECMCKHRTPIF >Potri.011G073391.1.v4.1 pep chromosome:Pop_tri_v4:11:7493700:7505841:1 gene:Potri.011G073391.v4.1 transcript:Potri.011G073391.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073391.v4.1 MANLAYLKILDLTRNYISGNIPKDWASMKHLTNLSLTSNRLSGNIPGYLGNFRSLTYLSLEANQFSGTIPSQLGDLVNLTDLILSSNQLEGNLPNTLTKLNLTDFRASDNNLSGRIPDFIGNWSNLVRLELYASGLEGPIPCAILSLEKLRDLRITDMSGPESNLPNIPPIVKNLVLRNINLTGVIPTDVWRSDSLKTLDLTFNKLEGGIPREAKTYDFMFFSGNKLTGSVPDSFINSGNKIDVSYNNFSWLPSCRDALDINTYRSSFIKNDLSGLLPCSGIHECPKSYRSFHVNCGGPNVNNRSILYEGDESIKSDAARIYFKEGSNWGFSNTGDFMDDDGISPENKIRSPDPYFPTDSVYYTARRAAISLTYYGYCLENGMNTVKLDFAEIQFTDEELYKRVGKRFFDIYIQGKLEKQDFNIKKAAKGSNKAYSIEFNAIVTDKTLEIRLYWNGKGTTCIPQRGNYGPIISAITVCSGQRSYCPEPGEASKIPIVVGVFTSALLLVFLVMGVICWKFYFRDKFMRERDLKGLDLKTGTFTLRQLRAATNNFDSADKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWARVLQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSKQDLFPPSDKS >Potri.002G146800.1.v4.1 pep chromosome:Pop_tri_v4:2:11052052:11053976:1 gene:Potri.002G146800.v4.1 transcript:Potri.002G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146800.v4.1 MGRMHSKGKGISASALPYKRTPPSWLKISPQDVDDNICKFAKKGLTPSQIGVILRDSHGIAQVKAVTGNQILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESSTASTLVA >Potri.001G298700.2.v4.1 pep chromosome:Pop_tri_v4:1:30960278:30960850:-1 gene:Potri.001G298700.v4.1 transcript:Potri.001G298700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298700.v4.1 MIFQEEAIEIKTLKHQNEMLNSNNSNEGYDDNNPGEWLNLSLGGNSPSTAGDYDSQSRPTSSKVFSCNFCRRKFFSSQALGGHQNAHKRERGAARRYHSQRMMTVMGLPVNSPMARSLGVRPHTLVHKPNRDGTAIAGRFNEASPGFDMSWMPFTVDDTTDLTWPGSFRLDPQPPETSSEPLKLDLNLRL >Potri.001G130700.1.v4.1 pep chromosome:Pop_tri_v4:1:10660650:10662352:1 gene:Potri.001G130700.v4.1 transcript:Potri.001G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130700.v4.1 MEGMTEVNDQAENTRSIRDLPPAHYAFKIENFSLLSNTKVDSVESGDFEVDSYKWRLCLHPNGNKKSNGDGHISLYLAFSKSNAPPLGWEVNVDFKLFVYNQIHDKYLTIQNANGRVRRFHGMKTEMGFDQLLPLTLFNDESKGYLIDDCCTFGAEIFVIKHTSKGECLSLMKQPSHSSFTWSIQKFSALDQESCKSQVFATGGHKWTLLVYPKGNSTFKGKSLSIFLTLEDSETLPSGRTMYAEFTLRVRDQLFGKHVEKTANCHFSNSINDWGHFNFMSLDELNTLAKGFLVNNTLVVEAQIHVLTVVKELSGSCAFMHSKT >Potri.006G110601.1.v4.1 pep chromosome:Pop_tri_v4:6:8571459:8573283:-1 gene:Potri.006G110601.v4.1 transcript:Potri.006G110601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110601.v4.1 MLFEPISIFFFPFQIYNTTQTHKQHSSFFFQSLHRPSSLLSLYLFLVSIKSQKCLLHSSRYPLFNTCLSMVKPYSSLQAMPNGYPNDAQWNSRSQRHPPNPPHQSTPQPGNYNHNQCIQNQQYPPPNQFNYPNRGYQLI >Potri.008G131100.2.v4.1 pep chromosome:Pop_tri_v4:8:8626264:8627976:1 gene:Potri.008G131100.v4.1 transcript:Potri.008G131100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131100.v4.1 MSLKGELETVIELKSSPEKFFGVWKTQAYHIPNHTPDNIHAVDMHEGEWETEGSIKIWRYSVDGKQEVFKEKVVVDEEKNTLALTGLEGDVMTRYKIFNPTYHLTPKDDGSLARLIIEYEKLNENIPVPDKYMDFMITVTKDIDASLTKA >Potri.017G004900.1.v4.1 pep chromosome:Pop_tri_v4:17:322384:324623:1 gene:Potri.017G004900.v4.1 transcript:Potri.017G004900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G004900.v4.1 MALDAKLALLLIHILSVAGNGYSSLQENYYGSEDEHRKKLDSSFKSGFATGYAFSSVSILAIFMSCCVPWARLNKRRRNKIMMKTPMMTSLMERREKKIKEANEQICMLESLVKRLSFVGIRNATANFSQANKIGLGRMGTMYMATLPDGRFLSVKRIVDSQQFEEQIVSELKTLGTLKHKNLLPLFGFCVESNTRLLVYKYMSNGNLFDWIHPVKHRRKTLQWPLRLKIAVGVARGLARLHHGCRGQVVHLNISSKCILLDKNFEPKLSNFGKAMLIMSMSNSPGVHNEFCEMALVKEDVHGFGVVLLELITGMDCSKINFSSNSILNEWIGHLLSTSYFNDAMDRFLIGQGFDDEIFQLLKVACNCLDCTPDRRPTMLQVYKDIKAITKRCEVVDDSEIQMQPEICPATSQD >Potri.018G074400.4.v4.1 pep chromosome:Pop_tri_v4:18:8940755:8950675:1 gene:Potri.018G074400.v4.1 transcript:Potri.018G074400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074400.v4.1 MSQYERIIETLTTLFPVWVVLGTILGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPLLGFVIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAVGLAISTFQVVLVPTIVGVLSNEFFPTFTSKIVTFTPLVGVILTTLLCASPIGQVSDVLKTQGGQLIMPVALLHVAAFALGYWLSRMSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRPIPVDDKDDFKE >Potri.018G074400.1.v4.1 pep chromosome:Pop_tri_v4:18:8939554:8950618:1 gene:Potri.018G074400.v4.1 transcript:Potri.018G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074400.v4.1 MASVFRLVLQDCNLLPCQAIYKPSSCFPSRRLNTHLDPRAGITVPGNGRIWTTQNKPRSPTVALTALSPSSIIHSSRNPQVLCRAAANAPGDVPDSSTHGGMSQYERIIETLTTLFPVWVVLGTILGIYKPAAVTWLETDLFTLGLGFLMLSMGLTLTFEDFRRCLRNPWTVGVGFLAQYLIKPLLGFVIATTLKLSAPLATGLILVSCCPGGQASNVATYISKGNVALSVLMTTCSTIGAIIMTPLLTKLLAGQLVPVDAVGLAISTFQVVLVPTIVGVLSNEFFPTFTSKIVTFTPLVGVILTTLLCASPIGQVSDVLKTQGGQLIMPVALLHVAAFALGYWLSRMSFGESTSRTISIECGMQSSALGFLLAQKHFTNPLVAVPSAVSVVCMALGGSALAVFWRNRPIPVDDKDDFKE >Potri.T011000.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:16980:20544:-1 gene:Potri.T011000.v4.1 transcript:Potri.T011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011000.v4.1 MEKYELVKDLGAGNFGVARLLRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVNYCHNMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHVSQACRNLLSRIFVANSSRRISLSEIKSHPWFLKNLPKELTEPAQAIYYQRDNPSFSLQSVDEIMKIVAEARQQPPSSKPVKGFGWEVEEDEEEDIDAEVEEEDDEDEYDKRVKEVHASGEYQIS >Potri.008G040500.3.v4.1 pep chromosome:Pop_tri_v4:8:2259879:2262214:1 gene:Potri.008G040500.v4.1 transcript:Potri.008G040500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040500.v4.1 MEYNQSSEMQEPSIDTDKLSYEIFSVLESKFLFGYDDQKLWVPKQISPATIEAKPESQNPSFLTENNSNGLSAIKNQRGKICILSIDGGSSLKGIISGKALAYLENALKLKSGNPDARIADYFDVAAGTGIGGIFTAMLFGTKDHNRPIMKAEETWRFLADQGKKLFTSGNRNVGFLKRFFKGSSTGTTAATAGLEKAMKETFTEKGRNLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRIWEVCRATSAEPGLFDPVLMRSVDGQTRCLAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQILEVSYDYEQVKNWRAKQWARPMARISGDGSADSVDQAVAMAFGQCRSSNYVRIQVWYLVLHNLDMVFSFA >Potri.008G040500.2.v4.1 pep chromosome:Pop_tri_v4:8:2259880:2266688:1 gene:Potri.008G040500.v4.1 transcript:Potri.008G040500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040500.v4.1 MEYNQSSEMQEPSIDTDKLSYEIFSVLESKFLFGYDDQKLWVPKQISPATIEAKPESQNPSFLTENNSNGLSAIKNQRGKICILSIDGGSSLKGIISGKALAYLENALKLKSGNPDARIADYFDVAAGTGIGGIFTAMLFGTKDHNRPIMKAEETWRFLADQGKKLFTSGNRNVGFLKRFFKGSSTGTTAATAGLEKAMKETFTEKGRNLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRIWEVCRATSAEPGLFDPVLMRSVDGQTRCLAVDGGLAMSNPTAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQILEVSYDYEQVKNWRAKQWARPMARISGDGSADSVDQAVAMAFGQCRSSNYVRIQANGSNLGRCGPNADTDPSPNNVKMMIGIAEEMLKQKNVESVLFGGKRIGEQSNFEKLDWFAEQLVLEHQRRSCRIAPTVAFKQAATKTA >Potri.003G203600.1.v4.1 pep chromosome:Pop_tri_v4:3:20280303:20283327:1 gene:Potri.003G203600.v4.1 transcript:Potri.003G203600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203600.v4.1 MLFIRSFMILFLCCMTIRINLCFSSNPSSLRTLSVDGHFSFDDVHHAAKDFGNRFQLLPLAVLYPKSVSDIATTIRHIWQMGPNSELTVAARGHSHSLQGQAQAHQGVVINMESLQGPKMHVYTGNNPYVDASGGELWIDILRECLEYGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVHQMEVVTGKGEVLNCSEKQNSDLFHSVLGGLGQFGIITRARISLEPAPDMVKWIRVLYSDFTTFATDQERLIGAENTFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASQFHSDGRTLYCLELAKYFNRDRADALNEEVGNLLSQLRYITSTLFQTEVPYIEFLDRVHVSEVKLRSKGLWEVPHPWLNLLIPKSKINDFADEVFGNILTDTSNGPVLIYPVNKSKWDNRTSAVIPEENIFYLVAFLTSAVPSSTGTDGLEHILTQNKRILEFCEIARLGMKQYLPHYTTHEEWKAHFGPQWEVFSQRKSTYDPLAILAPGQRIFQKAISFS >Potri.003G203600.2.v4.1 pep chromosome:Pop_tri_v4:3:20280303:20283327:1 gene:Potri.003G203600.v4.1 transcript:Potri.003G203600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203600.v4.1 MLFIRSFMILFLCCMTIRINLCFSSNPSSLRTLSVDGHFSFDDVHHAAKDFGNRFQLLPLAVLYPKSVSDIATTIRHIWQMGPNSELTVAARGHSHSLQGQAQAHQGVVINMESLQGPKMHVYTGNNPYVDASGGELWIDILRECLEYGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVHQMEVVTGKGEVLNCSEKQNSDLFHSVLGGLGQFGIITRARISLEPAPDMVKWIRVLYSDFTTFATDQERLIGAENTFDYIEGFVIINRTGLLNNWRSSFNPQDPVQASQFHSDGRTLYCLELAKYFNRDRADALNEEVGNLLSQLRYITSTLFQTEVPYIEFLDRVHVSEVKLRSKGLWEVPHPWLNLLIPKSKINDFADEVFGNILTDTSNDGTTELLLLFQRKIFSTWWLSLPLQCPHPQELMA >Potri.011G064400.1.v4.1 pep chromosome:Pop_tri_v4:11:5476732:5478918:-1 gene:Potri.011G064400.v4.1 transcript:Potri.011G064400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064400.v4.1 MQKLAILKRWFGKKKTILVGSIDKRWKHLSGENHWKDLLDPLDNDLRRYIIHYGEMAQATYDSFNAQKASKYAGSSLYAKDDFFTKVHLEKGNPFKYRVTKFLYATSQVQLPEAFIVKSLSREAWSKESNWIGFVAVATDEGKTTLGRRDIVIAWRGTIRTLEWVNDFEFNFVSASKILGESGDPKVHQGWYSIYTSDDSRSQYNKNSARDQVLNEVRRLVDKYTNEEISITIVGHSLGAAVATLNAVDIVANGFNQSQKNKRCPVTAMLFASPRVGDSNFKRVFSGYKDLRALRIHNVRDVVPNYPLIGYADVGEELVIDTTKSKYLKSPGNLSSWHNLEGYLHGVAGTQGPKGGFKLEVNRDIALLNKTIDSLKDEYLVPASWRVQENKGMVQQADGSWKLMDLEEDFIPEE >Potri.010G193950.1.v4.1 pep chromosome:Pop_tri_v4:10:18865719:18866657:-1 gene:Potri.010G193950.v4.1 transcript:Potri.010G193950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193950.v4.1 MGTNIGNMEKILKFANNDGIVIIKADGGGDTVYFMYESHSLVCVFVVLITVECVFPVREDWILFFSFFFFD >Potri.011G168500.1.v4.1 pep chromosome:Pop_tri_v4:11:19162141:19165031:1 gene:Potri.011G168500.v4.1 transcript:Potri.011G168500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168500.v4.1 MGLNHCCRIVFFFFLLTGLFASPSFASTFISDGVFESHASTGRNLLQTKKACPVSFEFLNYTIITSQCKGPQYPPSRCCGSFKEFACPYAYLINDLTNECASIMFTYINLNGKYPPGLFANECKDGKLGLECPALPPSELGASDKNGSQIMHGPPLLLILLAGFIVVLF >Potri.005G220700.1.v4.1 pep chromosome:Pop_tri_v4:5:22271316:22273291:1 gene:Potri.005G220700.v4.1 transcript:Potri.005G220700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220700.v4.1 MSIIELLASLALLLVPLFFYLTKNSKSKASSKSNPNLKSYPLIGSSVAIFANRNRFIQWTSDLIQNSPTATVVIRRLFDDSRVLTGNPANVQHMLKTQFYNYEKGSKARRTLFDFLGNGIFNIDGDSWKFQRQVSSHEFNTKSLRKFVETVVDTEVSQRLIPILFTAAANNTVLDLQDILQRFAFDNICKIAFGYDPAYLLPDLAEAEFAKTFDEAAKISSDRFSTLFPFLWKIKRVFNIGSEKRLKEASSELREFARNIIKEKKQELSNKSSLETVDLLSRFLSSGHSDEDFVTDIVISFILAGRDTTSAALTWYFWLLSQNPEVEKEIVREIKDKSESPVYEEVKDMVYTHASLCESMRLYPPVPIDGKVAMQDDVLPDGTVIKKGMRVSYHPYAMGRLEMLWGPDWEKFKPERWLQGSGDGVNSNGKWSFVGRDPYSYPVFQAGPRICLGKDMAFLQMKRVVAGILRRFKVVPAAEEGFEPVFVSYLTSKMQGGFPVRFEERAN >Potri.003G207900.4.v4.1 pep chromosome:Pop_tri_v4:3:20697110:20714129:1 gene:Potri.003G207900.v4.1 transcript:Potri.003G207900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207900.v4.1 MESGRPSSTNHELSHQVSLDIDRLAQSVTEELEISNPFSDTCCIYKVPERLRELNEKAYTPRLVSIGPIHHCNDTLKAMEDHKRMYLKEFIARTKVSVKGFIELIKAKETRLRNCYAETNGFSSEYFIKMILMDAAFVIMFLLKWTYTDFRGSRDSIFYPPYKSVDVRVDICLLENQLPFFILEELCGLSPIFGNSPKATLIGLTHWFFSYEWGSWAVGEYLGRVDFSEVKHLVDFLTIYHRPTEQQQYEELEVLTAPSVKELHQAGVKFVLSSSKHLLDIKFDRNKGRLEFPRLQLDDRTEIIIRNMQAFEQCHGLKHGYVGDYIFLMGLFVSASKDVEMLVENRIIENWLPSNEEVVQLFYNLNKQNSVWSGSFLFKGLIKDLTAFCERPWNKWKANLKQNYFNTPWAAVSVSGAVILLILTVIQSVCSILQVWFSFCNFNSFI >Potri.001G084900.1.v4.1 pep chromosome:Pop_tri_v4:1:6778916:6780458:1 gene:Potri.001G084900.v4.1 transcript:Potri.001G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084900.v4.1 MTSPSWIWNTVRVASLTLSTASVLSPRLKPSDPPVAGEFSDVGQKSPRSGPARDYVSDDRKPENGSESGVNCRFPPEGIRGSAHTVVRASGYGIDEGYLSNYVDELLIMCQVESEEGVKKAEEISAVDGVDCVQMGPLDLSASMGYLWDPGHKKVREMMREAEKGVLEGGAYLCGFAMPHDGPINLKTRGYIWCLELLIWGCLEMLLLMMCGSLK >Potri.001G218500.2.v4.1 pep chromosome:Pop_tri_v4:1:22848964:22850383:1 gene:Potri.001G218500.v4.1 transcript:Potri.001G218500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218500.v4.1 MEMPRVAAALALALSLIFVNMASQCSGQLQFGFYNGKCKDRNGFQRNVEDIVKQKVKARFSTDTTIVAALLRMQFHDCFVNGCDASILLDVPNGEKTAPPNLSVRGYDFIEEIKTEIENTCPGVVSCADIIVMATRDAVVESDMKSRTGWYPVQTGRRDGRVSSAQNVKLPSPSIPIPQAIAAFNSKRLSTIDMVYLLGGGHSVGVAHCGLFQNRLYDFKNTGHPDPTMNTTLLKTLQTLCPQNSGSTNSANLDQDPLKSSSVDKSYYEQIRLGNGILEVDQQLALDSNTRFSVARIAESNDFSFQFGRAMIKLGAVDVKIGKDGEIRKRCAAVNSPNGNSGGNIFNIFG >Potri.014G047000.1.v4.1 pep chromosome:Pop_tri_v4:14:2992851:2993892:1 gene:Potri.014G047000.v4.1 transcript:Potri.014G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047000.v4.1 MWQGGNNSLESEGLDFLESDQQYLVSTNARDFDTPMSTCPQMENSEGTASSFSGDHDLLFNDSMTSTTLAVVESEPQGQGVPGVDVACKSNLALPKGSQYRGVRRRPWGKYAAEIRDPKKNGARMWLGTYETPEDAALAYDQAAFKIRGSKAKLNFPHLIGSEEYEPVRLSSKRRSPEPELVHDGSPKRRKRK >Potri.003G018800.1.v4.1 pep chromosome:Pop_tri_v4:3:1926321:1932771:-1 gene:Potri.003G018800.v4.1 transcript:Potri.003G018800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G018800.v4.1 MAETKFTPYNLHHTSSMRRSWKQEHDSETPHQEEEEDDSPLSLSSLSKIILPPLGVSSFNQNPIESKGWIISPVDSRYRCWGTIMAVLVAYSLWVYPFEVAFLNSSPYRALYIADNVVDLFFSVDIVLTFFVAYIDSRTQLLVRDRRKIARRYLSTWFLMDVASTVPFELLAYLFTGNEKVGLSYSLLGLLRFWRLRRVKQLFTRLEKDIRFSYFWVRCARLLCVTLFLVHCAGCLYYLLADRYPHKGKTWIGAVIPNFRETSLRIRYISAMYWSITTMTTVGYGDLHAQNSMEMIFIIFYMLFNLGLTAYLIGNMTNLVVEGTRRTMEFRNSIEAASNFVSRNRLPPRLKDQILAYMCLRFKAENLNQHQLIEQLPKSICKSICQHLFLPTVEKVYLFKGISRETLLHLVAKIKAEYIPPREDVVMQNEAPDDVYIIVSGEVEIIESHLEKERVVGTLRSGDMFGEVGALCCTPQSHIFRTRTLSQLLRIKTTALIEAKQTNQDDYIAIIKNFLQHCKRLKDLKIGDLTVENGEEEDDPNMAFNLLPTASTGNAAFLEELLKAKLDPDIADSKGRTPLHIAASKGHEECVVVLLRHGCDIHLRDINGNTALWEAISSKHHSIFRILFHYASISDPNAAGDLLCTAAKQNDLMVMKELLKQGLNADSKDRHGKTALQVAMAENHGDMVNLLVMNGAEVAEANTHDFSSTSLNEMLQKREIGHRITVPDVLTANEVLLKRCEGEQECTSCTGKSKGSSSDCIRVSIYRGHPMVRRQTCCVEAGRLIKLPNSLEELKSIAGEKFGFDARNAMVTDEEGSEVDSIEVIRDKDKLYMVEDPTCLIHLDETS >Potri.017G019932.2.v4.1 pep chromosome:Pop_tri_v4:17:1444684:1446285:1 gene:Potri.017G019932.v4.1 transcript:Potri.017G019932.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G019932.v4.1 MENGARVIKGFILSIPQSVSRLWHRAGQLASQQLPPPTMNQEEELANMLLAQQEMEWQKTFVSICFTSGLEIALHFHQITDSKLDSLHLVCILVAIIFSCLFVSHFINPAKFPMTSKVLGKVAVFLAATVFFITISIPFPPGVKWATWIIYAISLLVIAFCNLCY >Potri.017G019932.1.v4.1 pep chromosome:Pop_tri_v4:17:1444684:1446285:1 gene:Potri.017G019932.v4.1 transcript:Potri.017G019932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G019932.v4.1 MENGARVIKGFILSIPQSVSRLWHRAGQLASQQLPPPTMNQEEELANMLLAQQEMEWQKTFVSICFTSGLEIALHFHQITDSKLDSLHLVCILVAIIFSCLFVSHFINPAKFPMTSKVLGKVAVFLAATVFFITISIPFPPGVKWATWIIYAISLLVIAFCNLCY >Potri.018G125500.2.v4.1 pep chromosome:Pop_tri_v4:18:13709176:13719695:-1 gene:Potri.018G125500.v4.1 transcript:Potri.018G125500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G125500.v4.1 MLQPVEFEGSNDNNVTGLLRKRKLERGTGCIENNRPFQSNVQCAGVGSLAVGCQDNFGERNRVHGDGDVTIGKETGVVVGKSGHSLVEMSPKTGGSYVNVVVEGGRRNGSPVDEIKSHVGKLASIVAQKLWDGSLQLNSSVTVSLVAFFQSGEKMPDLKWSEFLEVKGKVRLEAFEKYVQDLPRSRNRGLMVISLRCKEGSSKSGLAGMKEVAKGYKKGKRVGFVQVSQGIDLYVCPRSDSIITILAKHGFFKGMAAVEDNQDSLIGCVVWRRNQASSSSVLEKSERKHGSLSEQPLKSPSDSSVERVDHKALSCCIQVGSRTDCTTLDGDENNNVEHKDIETKQVQTELRITSSTINNLLLTSTILSNSPSMLNGLQTSSTHDSASYLPAMGKLLQVGPLVMNNSEEKPKTSELCKHGSQLSTDIAKKPLHAPYDDDLPEFDFGTACGILQTPTSKPLHGVIADNKLPAEELKKILESLPQITPTVQSMLVSNQRELRDFNPPRLPLDTLLKMPVQRKICVDGMNVLPPNLEEKRMPVTTTSARPLKNLFDDDDEMPEWSPPDFELLRQHVSDTTRPSTTSTYSKVRNLIFERLPPGCPNNLLSSSPRSAYARFTPQAGISASHQLMSHNGRPAQPSLSSEYTLHGPNSSTVFNPNPLPRSGPPRDPFDAKLPVHHGGWNRRRC >Potri.008G023500.4.v4.1 pep chromosome:Pop_tri_v4:8:1181829:1192043:1 gene:Potri.008G023500.v4.1 transcript:Potri.008G023500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023500.v4.1 MMTTNISPSSIVVEVLRDDNYDDWSACMKSYMLAQDLWDFIEPAGHHEGDQEVYSKPIDHQEGDSKALRRNNAAALHAIQISCAPYILSKIRSITSAKVAWDALANLQQQHSPSHKEQTVETEPAEDDESQGSGSVSYEINGPLLALYKHAHKGDWDATKNYLHQYPNAKKAKIKPYGRTALHVAACAGHLKVVEELVKMMSEEELEIQDNHGNTALSSAAIVGIRKMAECLVSKNKNLVTFVNEDGRIPLVEACIGSHKDMALYLYSVTPIEFLCRGNLDYGSRFLKNAIATQLLDIALDFLYRCPHFATRTDELVLKSKGLSYLSDMPETFPSEDRLALWQQWIYSCIPKQSIATTDDNVRISMPDQSLSESKNIILQVSSKLRGFAINLLAFLGIKQIYDLKKIHMYSEKILRCMCEYISTLDYEGHRQADVHGAFHNAVKNGMVEFITEVIKACPHLMISGDDNSRNLFMSSIANRQEKVFSLFYGLEAERAGIVSLVDRSGNTLLHLAAKLSPPSQLARISGAALQMQRELQWYKEVESIMNPVLKENLNANTQTARELFTSDHKDLVVKGEQWMKEAATSCTVVGALIITIMFTVAFTVPGGNVQETGYPVLKDEKSFTVFIVADALSLFSSSTSVLMFLGILTSRYAEKDFLKSLPKKLIIGLSTLFFSIATMMVTFCAALIIMLDGRLKIIIPIVLLATIPVTFFMLLQFPLLVEIFVSTYGPGIFNRNMKRWY >Potri.005G110900.1.v4.1 pep chromosome:Pop_tri_v4:5:8055235:8058331:-1 gene:Potri.005G110900.v4.1 transcript:Potri.005G110900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110900.v4.1 MTSTANTISLSLPTTHNPSSLSKPKSLPDFYLRFRNQNPKLTRVGISVTNPNNRIRTLSTIRASTASVMEASSKEKALPTIVEVDLGNRSYPIYIGSGLLDQPELLQRHVHGKRVLVVTNSTVAPLYLDKVVDALTRGNPNVSVDIVILPDGEKYKNMETLMKVFDKAIESRLDRRCTFVALGGGVIGDMCGFAAASFLRGVNFIQIPTTVMAQVDSSVGGKTGINHPLGKNLIGAFYQPQCVLIDTDTLNTLPDRELASGLAEVIKYGLIRDAVFFEWQEKNIAALMARDPSAMAYAIKRSCENKAEVVSLDEKESGLRATLNLGHTFGHAIETGFGYGVWLHGEAVAAGMVMAVDMSYRLGWIDDMLVKRVLNILQQAKLPTAPPDTITVEMFKSVMAVDKKVADGLLRLILLKGSLGNCVFTGDYDRKALDDTLRAFCKS >Potri.002G119400.1.v4.1 pep chromosome:Pop_tri_v4:2:9075132:9076222:1 gene:Potri.002G119400.v4.1 transcript:Potri.002G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119400.v4.1 MSCNGCRVLRKGCSETCVLRSCLHWITNPEAQGNATLFLAKFFGRSDLMSLISAVPEAQRPALFQSLLFEACGRTVNPVNGVVGLLWSGNWHMCQEAVETVLSGGALQPLPGILTGVLAPNCDESSDRFSAAAYAVHNMARNQSRSFAKENNKEVVSDHRINLCLARGKGGRDKRGRDAVSFYTGGESETISFESSGGDKKRLLNLFV >Potri.007G145200.3.v4.1 pep chromosome:Pop_tri_v4:7:15399881:15407041:-1 gene:Potri.007G145200.v4.1 transcript:Potri.007G145200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145200.v4.1 MKKQSSFNGRIMERQRSFSGVTDKKKVFEKQVSFQGIPDKQKVMEKHPSFLGVAENGSASHRVMEKLPSFQGVAENGSASHRVMEKLPSFQGVAENGSASHRVIEKHPSFRGVEKGFRGFLDKQKSFRVVMERQLSFIGGGERKKTKDSPGKRGDSQIHLAARTGNLSRVREILQNSDGTDLEVLLAMQNHEGETPLYAAAENGHAEVVAEMLESMDLETASIAARNGYDPFHVAAKQGHLDVLRKLLGVFPNLAMTTDSSCTTALHTAATQGHIDVVNLLLETDANLVKIARNNGKTVLHSAARMGHLEVVRSLLIKDSSTGFRTDKKGQTALHMAVKGQNEEIVLELLKPDPSVMHVEDNKGNTALHVAIKKGRAQNVRCLLSVEGVNINAINKAGETPLDIAEKLGVQDLVYILKEAGANNSKDCGKPPSSAKQLKQTVSAIKHDVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINNATIVAVLIATVAFAAIFTVPGQYVEEKTDGAAIGQAHVARNPAFLVFIIFDSLALFISLAVVVVQTSVVVIEQKGKKQLVFIINKLMWLACLFISAAFISLTYVVVGKKFRWLAIYATVLGGIIMLATIGSMCYFVILHRMEESTLRNIRRESRSRSYSMSAASDQEILNSECKRMYAL >Potri.007G145200.1.v4.1 pep chromosome:Pop_tri_v4:7:15400967:15407027:-1 gene:Potri.007G145200.v4.1 transcript:Potri.007G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145200.v4.1 MKKQSSFNGRIMERQRSFSGVTDKKKVFEKQVSFQGIPDKQKVMEKHPSFLGVAENGSASHRVMEKLPSFQGVAENGSASHRVMEKLPSFQGVAENGSASHRVIEKHPSFRGVEKGFRGFLDKQKSFRVVMERQLSFIGGGERKKTKDSPGKRGDSQIHLAARTGNLSRVREILQNSDGTDLEVLLAMQNHEGETPLYAAAENGHAEVVAEMLESMDLETASIAARNGYDPFHVAAKQGHLDVLRKLLGVFPNLAMTTDSSCTTALHTAATQGHIDVVNLLLETDANLVKIARNNGKTVLHSAARMGHLEVVRSLLIKDSSTGFRTDKKGQTALHMAVKGQNEEIVLELLKPDPSVMHVEDNKGNTALHVAIKKGRAQNVRCLLSVEGVNINAINKAGETPLDIAEKLGVQDLVYILKEAGANNSKDCGKPPSSAKQLKQTVSAIKHDVQSQLQQTRQTGFKVQKIAKKLKKLHISGLNNAINNATIVAVLIATVAFAAIFTVPGQYVEEKTDGAAIGQAHVARNPAFLVFIIFDSLALFISLAVVVVQTSVVVIEQKGKKQLVFIINKLMWLACLFISAAFISLTYVVVGKKFRWLAIYATVLGGIIMLATIGSMCYFVILHRMEESTLRNIRRESRSRSYSMSAASDQEILNSECKRMYAL >Potri.005G051700.1.v4.1 pep chromosome:Pop_tri_v4:5:3274124:3277392:-1 gene:Potri.005G051700.v4.1 transcript:Potri.005G051700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051700.v4.1 MFEGVADQFHQFIASRTTSLPLPSLSFPPLHGSSNTTNFPSFYPYTATAATTSQVPLQPNLLHSLHQGSPTNKNDEKQENNLMAMNLKFERERSIPELVNPWSNDEVLPLLRIRSSMDNWFPEFTWEHASSRNLAEFGFKRSTEKWKEKFEEESGYFNSNIDIYSKNYRASFSEFEEIYHGDQNPDQQEATAGEKKIRKPSEDEQQDKMGQNLEEETRIDQTVGNQSVEDNDGKLEQFEKSKRKKRKREKKFEMFKGICEDIVNKMMAQQEEKHNKLLEDIVKRDEEKFAREEAWKKLEMDRINKELELRAHEQALAGDRLDTLIKFLKKITSGDSYAEILGETSAPDVVKVPKCSNASTSSSLLLAQNPNPASQTSCESQLETPTSSTTTLDHQKSTSMPAKSNTSCTENQEPQNPNSTLAPNIPQAPTTSSTLALAPQNPNSLNSHNSPSGPSSILPMYKVQAKSTSNDEDDIGKRWPRDEVLALINLRCSLYNNNEDKEGSAKAPVWERISQGMLELGYKRSAKRCKQKWENINKYFRKTKDASKKRYINSRTSPYFHQLSTLYNHGTLVAPKNRSASPENQSNLSETRHSSSSSQNGTSNSAMHVVEGEKNKVQVPPFDFEF >Potri.017G043200.6.v4.1 pep chromosome:Pop_tri_v4:17:3036005:3038296:1 gene:Potri.017G043200.v4.1 transcript:Potri.017G043200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043200.v4.1 MAERERETLPLPPALPRASSSSSRARATALVRPGPDPLLVICRCFSFVTSLTAILCVAVNVLSAVRSFKDGSDVFDGIFRCYAVVIAFIVVVAETEWGFVIKFWKILEYWAGRGMLQIFVAVMTRAFPDYSSNQKELVLLQNIASYMLLACGLVYVISGILCIGFLKRSRQKKETTREQAVKDLEELERRREELEQLLIAERI >Potri.009G050401.1.v4.1 pep chromosome:Pop_tri_v4:9:5561890:5562972:1 gene:Potri.009G050401.v4.1 transcript:Potri.009G050401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050401.v4.1 MVLYGAQSLGVCNGRLGNDLPSEQEVVDFYKSNGIGRMRIYDPNQETLQAIRETNIELTLAANNWVQANILAYSPDVKFRCVSVGNEVKPSDDAAQFVLPAMRNIYSPYINPIVQFLATTGAPLLVNVYTCFSYIDNPQHIDLGYALLNPKGPAVQDGDLNYHNLFDVSLDALYSALERAGGLNVEIVVSETGWLSMGNDAATFSHAEDYYQNVINHIANGTPKRPGRPIETYLFAMFDENQKSGAETERHFGLFFPNKQPKYQLQFS >Potri.001G385900.5.v4.1 pep chromosome:Pop_tri_v4:1:40504726:40508132:1 gene:Potri.001G385900.v4.1 transcript:Potri.001G385900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385900.v4.1 MLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVQANFNIMEEAGGVGIGIPKVFDGIIVNGSTLEIHLYWSGKGTTAVPERGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCVLAALVLLVLRKKGYLGGKDLEDKELRALDLQTGYLSLRQIKHATNNFDPANKIGEGGFGPVYKGMLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKTEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNPDARFKAFELLSHDSQTHVSNGSESSQIQKSISMDGPWIDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.001G385900.4.v4.1 pep chromosome:Pop_tri_v4:1:40503804:40509273:1 gene:Potri.001G385900.v4.1 transcript:Potri.001G385900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385900.v4.1 MWWSPHHMVRDHTTFFIHEIHQSLFSSINNYITPAMKFYFRDLSYNNFTGSAEQSCQQLPVNLVASHVSTGSNKISWCLNKDLVCTRKPQYHSLFINCGGSSETVGDNEYEDDTTPGGAADFASISERWGYSSTGTYIGTNDGAYKATNSYGLNVTGEGFYQTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVQANFNIMEEAGGVGIGIPKVFDGIIVNGSTLEIHLYWSGKGTTAVPERGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCVLAALVLLVLRKKGYLGGKDLEDKELRALDLQTGYLSLRQIKHATNNFDPANKIGEGGFGPVYKGMLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKTEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNPDARFKAFELLSHDSQTHVSNGSESSQIQKSISMDGPWIDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.006G224800.2.v4.1 pep chromosome:Pop_tri_v4:6:22938603:22947822:-1 gene:Potri.006G224800.v4.1 transcript:Potri.006G224800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224800.v4.1 MEDIEEEVEEVLGSSLTMEKVAAAKQFIENHYRAQMKTIKERKERRWVLERKLASSDVPKEEQMNLIKDLERKETEFMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLKRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREDTLTENVAKFYIAQSILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCATLSVIHENKTIDDENMTEPMDIDGGIADADNKSSWRSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAILYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDARLSLEAKDLICRLLCDVEHRLGTGGANQIKAHPWFNDVAWEKLYEMEAAFKPEVNGELDTRNFMKFDESDPPAAARTSSGSRKMLLTPKDLSFVGYTYKNFDAVKGRHHFDPRGDSGADYTTKQPAEGTEVEMLASSGDLMLP >Potri.006G224800.6.v4.1 pep chromosome:Pop_tri_v4:6:22941356:22947868:-1 gene:Potri.006G224800.v4.1 transcript:Potri.006G224800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224800.v4.1 MEDIEEEVEEVLGSSLTMEKVAAAKQFIENHYRAQMKTIKERKERRWVLERKLASSDVPKEEQMNLIKDLERKETEFMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLKRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREDTLTENVAKFYIAQSILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCATLSVIHENKTIDDENMTEPMDIDGGIADADNKSSWRSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAILYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDARLSLEAKDLICRLLCDVEHRLGTGGANQIKAHPWFNDVAWEKLYEMEAAFKPEVNGELDTRNFMKFDEV >Potri.006G224800.1.v4.1 pep chromosome:Pop_tri_v4:6:22938528:22947918:-1 gene:Potri.006G224800.v4.1 transcript:Potri.006G224800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224800.v4.1 MEDIEEEVEEVLGSSLTMEKVAAAKQFIENHYRAQMKTIKERKERRWVLERKLASSDVPKEEQMNLIKDLERKETEFMRLKRHKICVDDFELLTIIGRGAFGEVRLCREKKSGNIYAMKKLKKSEMLKRGQVEHVRAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDMMTLLIREDTLTENVAKFYIAQSILAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCATLSVIHENKTIDDENMTEPMDIDGGIADADNKSSWRSPHEQLQHWQMNRRKLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAILYEMLVGYPPFYSDDPITTCRKIVHWRNHLKFPEDARLSLEAKDLICRLLCDVEHRLGTGGANQIKAHPWFNDVAWEKLYEMEAAFKPEVNGELDTRNFMKFDESDPPAAARTSSGSRKMLLTPKDLSFVGYTYKNFDAVKGRHHFDPRGSMSPQRPSINSIFSDSGADYTTKQPAEGTEVEMLASSGDLMLP >Potri.015G117900.2.v4.1 pep chromosome:Pop_tri_v4:15:13211102:13214146:-1 gene:Potri.015G117900.v4.1 transcript:Potri.015G117900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117900.v4.1 MATSNPKLLLISIFFIILSFLTLQAESAVKEGCVEETSSCNDKAKALTLKIIAIVSILVTSMIGVSAPLFTRSIPALHPDRSLFVIVKAFAAGIILATGFMHVLPDSFDMLSSSCLPENPWHKFPFTGFLAMLSAIVTLMVDSLATSVYSKKSNVGVNPESITHGAEQDREMASNVGHFHGHGHHYEDKLADGAKQLLRYRVVAMVLELGIIVHSVVIGLSLGASSNTCTIKGLVAALCFHQMFEGMGLGGCILQAEYKPLKKAVMAFFFSVTTPFGIALGIALSKMYKENSPSALITVGLLNASSAGLLIYMALVDLLAADFMGPKLQGSIKLQVKSFMAVLLGAGGMSLMAKWA >Potri.004G144100.1.v4.1 pep chromosome:Pop_tri_v4:4:16689707:16692955:1 gene:Potri.004G144100.v4.1 transcript:Potri.004G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144100.v4.1 MGPPSKNVRTISQEAFDELVKENIEDLGLDPTEALEDAIQTLTLQGVDLSGIVTCVPGEGNVRENPVIKCLERLKELGFDDDDLDEMVGLLDELVGLFTGVEGSGNVAIGVRNGGLELVCSICSNIPIVSEKVLVSALKTLALLIHDVQSTEMFRSSDGPKMVVGILKGGSESLEVLNTGFAVVAAAATGNEVVKELLIELKIDELILEVLNRQSKGIIQGLYDSIRVLLTPDDNRVVASQVYGYARRFAKIGIARALVESLRSGLTSPSLVSASIALKAVAVNDEICKSIAESGGIDVIFKCIDDSGEHGNKIVARACCSLLSKLAGSDSNKSAIVEKEGMNKLIQLAARFSDDPSVLQEVMSIFTVLCLRSPDNAARAMEAGAGDLAIQAMEKFSNVQQLQRSSCLMIRNLVARNPENRTLLLSHGIEKIIRRAKVNHETCKDAATDALRDLGLDNYNS >Potri.004G144100.4.v4.1 pep chromosome:Pop_tri_v4:4:16689747:16692954:1 gene:Potri.004G144100.v4.1 transcript:Potri.004G144100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144100.v4.1 MGPPSKNVRTISQEAFDELVKENIEDLGLDPTEALEDAIQTLTLQGVDLSGIVTCVPGEGNVRENPVIKCLERLKELGFDDDDLDEMVGLLDELVGLFTGVEGSGNVAIGVRNGGLELVCSICSNIPIVSEKVLVSALKTLALLIHDVQSTEMFRSSDGPKMVVGILKGGSESLEVLNTGFAVVAAAATGNEVVKELLIELKIDELILEVLNRQSKGIIQGLYDSIRVLLTPDDNRVVASQVYGYARRFAKIGIARALVESLRSGLTSPSLVSASIALKAVAVNDEICKSIAESGGIDVIFKCIDDSGEHGNKIVARACCSLLSKVQGDSGSEFMVLDFFTEFYLISWQEVIQIKVQL >Potri.003G115300.1.v4.1 pep chromosome:Pop_tri_v4:3:13702944:13706976:-1 gene:Potri.003G115300.v4.1 transcript:Potri.003G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115300.v4.1 MAVIRTSTNMILKQVFLDNLLIPPVPSFSVSRTLGNLRWANLKVPNREISFSRIKCAGSDDKKVSSRLSQVQQLLQEAEERASAAGNEPPPKITLDHVTVSFARSGGPGGQNVNKVNTKVDMRFNVKNAYWLSERIRERIMQMEKNRINKDGEIVISSTKTRTQKGNIDDALAKLQAIIDVASYVPPPPSEEQKKKIAKLAAIGEQKRLKSKKALSDKKAFRRSRDSWD >Potri.013G013300.1.v4.1 pep chromosome:Pop_tri_v4:13:871106:871687:-1 gene:Potri.013G013300.v4.1 transcript:Potri.013G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013300.v4.1 MYSLAAHRFMLLTIFMALVSRSKAANAPSELMQDICKETAKSHGSYDDCASALELDPTADSADINKLAKITATASFKSALSELGEDPLSANYDSMVAGDDVQSCEDELARDKAQIPGITTRNNYGKLYSAIASVVTNHLPQIYILTSCMYNHK >Potri.002G131300.1.v4.1 pep chromosome:Pop_tri_v4:2:9944224:9946582:-1 gene:Potri.002G131300.v4.1 transcript:Potri.002G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G131300.v4.1 MADMNDFDDFDDYSPTDYSSDDNTDSSETNFTVLKEADICRCIEHEITELSDVLSISKLEASLLLRHYNWNVCKVHDAWFVDEFGVRKKVGLLLEKPEEKQVSYDDLTCGICFESYSQDFIKSVTCGHPFCSECWGLYIHTNINDGPGCLVLRCPEPTCAAAVGDDVINELGFEEDRKKYYRYLARSYVESNKRRKWCPAPGCDYAIDFVGCDGDFDVTCVCSHSFCFNCCEERHRPVGCDTVKKWNLKNSSDSQTETWKKAYTKPCPECQRPIEKNAGCMRMSCTTPCYHMFCWICLKDWSVHGYGGSCNRYVGNPQPEETSPLRQELLKYQHYYDRWAANEKSRQIALTDLGKVRNNHLKEISKLYGQPETQLEFLTEAWQQIVECRRVLKWTYAYGYYLAEDDDAKAKLFVYLQGQAESSLERFHDCAERELKIFIDPDELSDSFNDFRLKLIHLTGVTKNYFKNLVTALENGLSDVASSSKQQKTSICRRLKVPAGETCNRMTTPEDRPSNLYTAITRTYANPNKTKAPKKTMGAALEITTQTKRRTADNLTPQGEWSCVFCSYMNPGSAETCEACNRGAWTCQHCTYANPRTATTCQMCAETQ >Potri.018G038600.1.v4.1 pep chromosome:Pop_tri_v4:18:3074754:3078466:-1 gene:Potri.018G038600.v4.1 transcript:Potri.018G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038600.v4.1 MALISDALRQAFMPKHEYECLREEDKAWTKLQRPLLISTVGIICLVIFVSTTVSFKIVFPGDSGKRPFCSGNRLQPLPIKHGGNSDLFPGAFYLTDQETVDYYWMVVFVPSGIVFLASLAYLVAGMNVAYSAPARHGCLKVVENNYCASKRGGVRCLSILNGVFAIIFGLLALFLGSSLLTLGSSCSLPLFWCYEFATWGLVILYAGTAIFLRRKAALILDESDFGSRNLGLEMLETNTLVVTPDVERRVNEGFKAWMGSSLLSSDEEDEPDSYSESPHITRTSSNRQRV >Potri.015G075000.1.v4.1 pep chromosome:Pop_tri_v4:15:10099766:10106874:1 gene:Potri.015G075000.v4.1 transcript:Potri.015G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075000.v4.1 MIVGNNVHGMGQSITTVPQLRPFCCIDFSAPKSTNFSFLFGWGCSSSPHNNKKNPSFSLNKTTRENSNLHCCYCYNSNNNSPSASSALEWDWNRWNRHFSEIEQAESFASLLKFQLEDAIENEDFQEAAKLKIAIAEATSKDNVAQIMSQLKNAVDEERYHDASRLCKYTGSGLVGWWVGYSSDSDDPFGRLVRITPDVGRFVGRSYTPRQLVNSSPGTPLFEIFVVKDEEEKYVMQVVCLQRAKGSSTNSSSSPSKSAQVPSTSKAENASVVDVQANDVKVEKSEEKSINIEEATEEGIKSVINFLKDKIPGLKVKVMNVNATGEVMEDSDSVKQLMQEDGDKTGSSENSEDDASNLEEIQPDEDALEGTNDSSKDGKDLDTKLFIGGVVHNNEDTPSKDENVRLPAEIKNIEKDSFTLHFQGSLDSGSKESKASKAKVASLAAKGVSELMPSDIAKAFWGADKVSSKVSRNVREIVKLAVSQAQKQSSLSEYTTFSRITTSNSSLDPFDGLYVGAFGPYGTEIVQLKRKYGHWNNGDDQSSDVEFFEYVEAVKLTGDLNVPAGQVTFRARIEKGRRNSNRGMYPDELGVVASYKGQGRIAEFGFKNPQWVDGELLQLNGRGIGPYVKGADLGFLYVVPEQSFLVLFNRLKLPD >Potri.015G075000.3.v4.1 pep chromosome:Pop_tri_v4:15:10099833:10106875:1 gene:Potri.015G075000.v4.1 transcript:Potri.015G075000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075000.v4.1 MIVGNNVHGMGQSITTVPQLRPFCCIDFSAPKSTNFSFLFGWGCSSSPHNNKKNPSFSLNKTTRENSNLHCCYCYNSNNNSPSASSALEWDWNRWNRHFSEIEQAESFASLLKFQLEDAIENEDFQEAAKLKIAIAEATSKDNVAQIMSQLKNAVDEERYHDASRLCKYTGSGLVGWWVGYSSDSDDPFGRLVRITPDVGRFVGRSYTPRQLVNSSPGTPLFEIFVVKDEEEKYVMQVVCLQRAKGSSTNSSSSPSKSAQVPSTSKAENASVVDVQANDVKVEKSEEKSINIEEATEEGIKSVINFLKDKIPGLKVKVMNVNATGEVMEDSDSVKQLMQEDGDKTGSSENSEDDASNLEEIQPDEDALEGTNDSSKDGKDLDTKLFIGGVVHNNEDTPSKDENVRLPAEIKNIEKDSFTLHFQGSLDSGSKESKASKAKVASLAAKGVSELMPSDIAKAFWGADKVSSKVSRNVREIVKLAVSQAQKQSSLSEYTTFSRITTSNSSLDPFDGLYVGAFGPYGTEIVQLKRKYGHWNNGDDQSSDVEFFEYVEAVKLTGDLNVPAGQVCIFFLLLEVWPSRLIFIC >Potri.005G173000.3.v4.1 pep chromosome:Pop_tri_v4:5:17861590:17871004:-1 gene:Potri.005G173000.v4.1 transcript:Potri.005G173000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173000.v4.1 MDSSSTPCKLLLCGKSSAENEIAKSLMNNNTLKLPDNVEISTLSHSEILDEPQQNEESFSLSRFMNSLSTNLFGRLLIWSPLLPSTHDLVSNNFGELPIGTVCIADVQYKGRGRSKNVWESPAGCLMFSFTIQMEDGQVVPLLQYVVSLAVTEAIKDLCDKNGLPHIDVRIKWPNDLYLNGVKVGGILCTSTYRSKKFNISAGIGLNVDNEKPTTCLNAVLRELAGAACALRREDIVAAFLNKFEKLYDLFINGGFQTLEELYYKTWLHSGQRVIIQEKNENQVVENVVTIQGLTPSGYLLAIGEDNQMCELHPDGNR >Potri.005G173000.2.v4.1 pep chromosome:Pop_tri_v4:5:17860983:17871093:-1 gene:Potri.005G173000.v4.1 transcript:Potri.005G173000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173000.v4.1 MDSSSTPCKLLLCGKSSAENEIAKSLMNNNTLKLPDNVEISTLSHSEILDEPQQNEESFSLSRFMNSLSTNLFGRLLIWSPLLPSTHDLVSNNFGELPIGTVCIADVQYKGRGRSKNVWESPAGCLMFSFTIQMEDGQVVPLLQYVVSLAVTEAIKDLCDKNGLPHIDVRIKWPNDLYLNGVKVGGILCTSTYRSKKFNISAGIGLNVDNEKPTTCLNAVLRELAGAACALRREDIVAAFLNKFEKLYDLFINGGFQTLEELYYKTWLHSGQRVIIQEKNENQVVENVVTIQGLTPSGYLLAIGEDNQMCELHPDGNSFDFFKGLVRRKIE >Potri.015G113600.2.v4.1 pep chromosome:Pop_tri_v4:15:12963625:12969190:-1 gene:Potri.015G113600.v4.1 transcript:Potri.015G113600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113600.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSCRKTSGRSWIRILVWSAYLSADVVATVALGNLARSQGDSSGDGSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVIVAFYVFSRSWGSGILTFIAIPMFIVGIAKYAERTWVLWSSCSKSLKNSALRDFWDSYHRTGISETPPQDLQENYLLQACVFSYTSKFVMQDLVPGIAALISSRELISKNSADGAFKVVEAELGLIYDMLYTKAPLIYSRGGIILRCISSLLSVTAFITFQVKIDKHDYSTTNIAITYLLFAAAVFLELYAFLCLVLSDWTMIWLIYKGENGLTGAIYSLIRKLTRSERWSRSISQYNLISSSFESEPHFCLELLGIDEMMRQMHVNRKDLNVGLQGLIFEHLRKKAQKIKEDFNVCDKNDRSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATEICYLVDKDGSNVSTEYETSRCLSEYMMYLLLIRPNMLSKGFGDDEEYLPTLGELRRLKYRDPDEEEYRRTLRGLWGLKDRGPDDEEYQRTLRELHNSVSRVYDDRGFQSVWKTEKSVLRGVERLARQLLRLESEARRRMINEVWVEMVAYAAAHCPWKEHTHQLRRGGELLTHVSLLMLHLGLSAQYDINGFDGPPVIFELTKKIEKEEKDHEYLQAKMKYLKGIEDKIMLESSADEELKELNKIVADTQRELEREKQEREREKREFKHKNQELEREKRELEQLRSYLTASAPQQGIDSLPISLLAQTDDQGTGQPPSNNEISLSME >Potri.019G133701.1.v4.1 pep chromosome:Pop_tri_v4:19:15609214:15609775:1 gene:Potri.019G133701.v4.1 transcript:Potri.019G133701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133701.v4.1 MYSMRGHEGIQMLLTAEQEAQQIVTAARNLKTTRLRQAEEEAEKEAGLYRSNLESEYQKRVGETSGNSGFSLKRKLKQRFET >Potri.001G156000.1.v4.1 pep chromosome:Pop_tri_v4:1:13128022:13135216:1 gene:Potri.001G156000.v4.1 transcript:Potri.001G156000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156000.v4.1 MAVYYKFKSARDYDSISMDGPFISVGTLKEKVFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRMTIVTEQEPKLETKVEDTLPEKGSFTGADSSAMNFTEDNEWDEFGNDLYSIPDVLPVQSNNPPPDVVPTNKADEDDRIKALIDTPALDWQRQGADGFGAGRGFGRGFAGRMGGRGFGLQRKTPPQGYVCHRCKLPGHFIQHCSTNGDPNYDIKRVRPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEKAIEGLPSTRPVGDLPPELHCPLCKEVMKDAVLTSKCCFTSFCDKCIRDYIIEQSKCVCGVLNVLADDLLPNKTLRDTINHILESGNSSAENAGSTFPVLDMESARCPPPKVPSPTRSVASKGEQHKLSPGNAESPNLNKEITEEEKPVIASQQVPEKVRTAKAADVSEATHESMSVKEPASQGSAPLPEEEVQQKLVPIEAGKKKKRKKVCMPPNDSWRASQDLAAESYVMPMGPSAFNPYWSGMQPGMEGYMPPYPGPMPYMGYGLSPLDIPFGGVMPPDPFGAQGYMMPIVPPQRDLAGFGMNMNLGPPLMSREEFEARKADVRRRHENERRAERVFSRDRALGREVSSSGDVSSMKSKSIPQSSGDPHHHHRRRSERSSPERSARELDPLPPRPSKRKSDHHHEHSDRDADDFECHKRDRSDRDHRHHPRNRSESSSKAVSETTTKPASTTATDRNHKVSVFSRISFPAEEEPASKKRKVSSSSEAAPAGGGGGPASSAHHKSSSPVNNGYYDDYKSSSVKARTSSSSAAAAAMDYESSDDDRHFKRKPSRCEPSPPPPVEWEEVKHSRREKDRRHR >Potri.009G134800.1.v4.1 pep chromosome:Pop_tri_v4:9:10916626:10919068:-1 gene:Potri.009G134800.v4.1 transcript:Potri.009G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134800.v4.1 MSRCIRFPPPGYVWNGVKGEALIELIKVRREKAEVEKRRKKEEKKKEKRRQKIEEGLEGGQIKKKRYNPHRRQVQHRREDGDVSSYYLAKNEHEFRRTERTSLAEELKQPSISDSLYDSFGTSQSAERKGTERCQSHGSVFWIDIQLQGHEDQDLVFGKPVCSITAMDFLVQEKSELPKSCSEEEFFSTCSESTTTAFESDRPGVELCHSCSQTELVRDRKAASALISQYSGSGLQHTELNFWELDVNWIPLPMKSGNPEFDNLVWLYERKPERLPRSDYTKIYRASNDRLSHPISSLYPTAQYLAQAEIYALPFTIPF >Potri.009G035701.1.v4.1 pep chromosome:Pop_tri_v4:9:4555711:4561831:1 gene:Potri.009G035701.v4.1 transcript:Potri.009G035701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035701.v4.1 MVPELPTLGGQGMAFTISPSVNFTGALATQYFGILNSTSNGLSSNHLLAVELDAVPSPDLKDINDNHVGIDVNSLISIESAPVTYFSDEEKENKSLTLISGHVMHVWIDYDEVEMLLNVTVAPITRTKPTLPLLSTPLDLSSVMLDSMYVGFSSSTGAVASSHYILGWSFNRGGQAQSLDVSKLPTLPTRRKSRKKPNLRIVVPTITAIILLVAISGDVFIIRRKKYEELREDWEQEYGPQRFSYKDLYKATKGFTDSELLGCGGFGKVYRGVLPSSNMQVAVKKVSHDSRQGTKEFVSEIASMGRLRHRNLVQLFGYCRRKGELLLVYDYMPNGSLDKLLFRNDTPCLNWGRRYQIIRGVASALLYLHEEWEQVVLHRDVKASNVLLDADLNGRLGDFGLAKFHDHGSTPQTTKVVGTVGYLAPEITRTGKSTTCSDVFSFGTFMLEVACGRKPVESERPPEEVVLVDWVLECWKRGAILGTGDPRLEGNYVVEEMELVLRLGLLCSFSTPQARPSMRQISQYLDGNASLPEMPLDGASIGLMPVSHEEPGDFTLSFHRSNDYSAHSFSSTDSILSCGR >Potri.003G019900.1.v4.1 pep chromosome:Pop_tri_v4:3:2097158:2098879:-1 gene:Potri.003G019900.v4.1 transcript:Potri.003G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019900.v4.1 MASSSSSPLRFSVKRREPQLIVPSKPTPHEIKQLSDIDDQQGLRFHLPFVMFYRSHPSMKREDPVKVIKEALGKALVFYYPFAGRIIEGPRSKLLVDCTGEGILFVEADAGVTIDQLGDSIHPPFSYIEEFLHDVPGSSGILGCPLLLIQVTRLACGGFIFAIRLNHTMSDSLGLSKFLNTVGELALGASTPSLLPVWEREILNARNPPRVTCVHHEYEQLTHTETSIVMTLQEHEKYMAHRSFFFGPSELKSIRKHIPPHLQKCSNFEVLASFLWRSRTIALQLDPEEVVRLSVMINVRGKQGLKVPSGYYGNAFAYPTAISKAGLLCQSPLGYALELVRRLKTQMNEEYIKSAADLMVLKGRPHYTTVWSFLIADATRVGLGDIDFGWGKAVYGGPIGAPPCTSFHVSGLKNSYGEEGILVPILLPLPIMNRFQQELLSNGIEYPRKIAPRL >Potri.008G194632.1.v4.1 pep chromosome:Pop_tri_v4:8:13739382:13741578:1 gene:Potri.008G194632.v4.1 transcript:Potri.008G194632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194632.v4.1 MSGQSSGPNGKVSQLPVQVLALQASNLTSEDLTLTAPASFTSSPSVGSLTSTPTTPMSSFVGLSESAGIITGEKYGSAVQALSSVTTMSENMKWNSDGGVQSVSFIEQSSPISDVISSTGLGCTHLWLQSRVPLGCVPVQSTTTIKLELLPLTDWIISLDTLQIDVKEKGLTYIPEHALKINATSSISTRII >Potri.017G096300.2.v4.1 pep chromosome:Pop_tri_v4:17:10938165:10938934:1 gene:Potri.017G096300.v4.1 transcript:Potri.017G096300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096300.v4.1 MRPNVSIEFLWWLSLTILLVSVITSTSTAAFLESNSSPIFNATIGEGNEEEFSMESEVHQRLLAYPGNHINYKTLERQQVCNAQMYGSCVKPINRDSRPCTYYNRCKRGS >Potri.008G122700.1.v4.1 pep chromosome:Pop_tri_v4:8:7977660:7978684:1 gene:Potri.008G122700.v4.1 transcript:Potri.008G122700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G122700.v4.1 MPRKRLSIFHKVSNLFRTSVVVAKMRKPIISKLIFLKKSRKLKRFKPLKHHNYGFLEEYEFSPSSTPLIHYHGKQYKSRSYRDNLYSMFFHCRCLGSLKAGVGEVLEYRLSMDTLPATVANGECLEPSDLVDEEDSVDQRAERFIERFYQEIRLQRQELI >Potri.004G040000.2.v4.1 pep chromosome:Pop_tri_v4:4:3175361:3185226:1 gene:Potri.004G040000.v4.1 transcript:Potri.004G040000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040000.v4.1 MYKSVVYKGDELLGEVEIYAQEQQQEEEENKNKKKRVIDEIVKEIRISHFSQTSERCPPLAVLHTITSIGVCFKMEESTSSSTTKISQQESPLHLLHSSCIQENKTAVMHLGGEELHLVAMPSRSNERQHPCFWGFSVAPGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIDALQRKISTEVDPQRILGMLSEVKRYHDDKNILKQYVENDQVVENGKVIKTQSEVVPALSDNHQPMVRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGLRKSLFNVFQDGICHPKMALVIDDRLKVWDERDQSRVHVVPAFAPYYAPQAEVNNAVPVLCVARNVACNVRGGFFKEFDEGLLQKIPEVAYEDDTDNIPSPPDVSNYLVSEDDASAVNGNRDQLSFDGMADAEVERQLKEAVSASSAILSTIPSTVSSLDPRLLQSLQYTIASSSSSMPTSQPSMLASQQPMPALQPPKPPSQLSMTPFPNTQFPQVAPSVKQLGQVVPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGHDSRDNAPSESPFPARPSTQVSAPRVQSVGSWVPVEEEMSPRQLNRTPREFPLDSDPMNIEKHRTHHPSFFHKVESNIPSDRMIHENQRQPKEATYRDDRMKLNHSTSNYPSFQGEESPLSRSSSNRDLDLESERAFSSTETPVEVLQEIAMKCGTKVEFRPALIATSDLQFSIETWFVGEKVGEGTGKTRREAQRQAAEGSIKKLAGIYMSRVKPDSGPMLGDSSRYPSANDNGFLGDMNSFGNQPLLKDENITYSATSEPSRLLDQRLEGSKKSMGSVTALKEFCMTEGLGVNFLAQTPLSTNSIPGEEVHAQVEIDGQVLGKGIGLTWDEAKMQAAEKALGSLRTMFGQYTPKRQGSPRLMQGMPNKRLKQEFPRVLQRMPSSARYHKNASPVP >Potri.004G040000.5.v4.1 pep chromosome:Pop_tri_v4:4:3175330:3185303:1 gene:Potri.004G040000.v4.1 transcript:Potri.004G040000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040000.v4.1 MYKSVVYKGDELLGEVEIYAQEQQQEEEENKNKKKRVIDEIVKEIRISHFSQTSERCPPLAVLHTITSIGVCFKMEESTSSSTTKISQQESPLHLLHSSCIQENKTAVMHLGGEELHLVAMPSRSNERQHPCFWGFSVAPGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDRIDALQRKISTEVDPQRILGMLSEVKRYHDDKNILKQYVENDQVVENGKVIKTQSEVVPALSDNHQPMVRPLIRLQEKNIILTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSKELLDRIVCVKSGLRKSLFNVFQDGICHPKMALVIDDRLKVWDERDQSRVHVVPAFAPYYAPQAEVNNAVPVLCVARNVACNVRGGFFKEFDEGLLQKIPEVAYEDDTDNIPSPPDVSNYLVSEDDASAVNGNRDQLSFDGMADAEVERQLKEAVSASSAILSTIPSTVSSLDPRLLQSLQYTIASSSSSMPTSQPSMLASQQPMPALQPPKPPSQLSMTPFPNTQFPQVAPSVKQLGQVVPPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGHDSRDNAPSESPFPARPSTQVSAPRVQSVGSWVPVEEEMSPRQLNRTPREFPLDSDPMNIEKHRTHHPSFFHKVESNIPSDRMIHENQRQPKEATYRDDRMKLNHSTSNYPSFQGEESPLSRSSSNRDLDLESERAFSSTETPVEVLQEIAMKCGTKVEFRPALIATSDLQFSIETWFVGEKVGEGTGKTRREAQRQAAEGSIKKLAGIYMSRVKPDSGPMLGDSSRYPSANDNGFLGDMNSFGNQPLLKDENITYSATSEPSRLLDQRLEGSKKSMGSVTALKEFCMTEGLGVNFLAQTPLSTNSIPGEEVHAQVEIDGQVLGKGIGLTWDEAKMQAAEKALGSLRTMFGQYTPKRQGSPRLMQGMPNKRLKQEFPRVLQRMPSSARYHKNASPVP >Potri.004G118600.1.v4.1 pep chromosome:Pop_tri_v4:4:11218289:11221455:-1 gene:Potri.004G118600.v4.1 transcript:Potri.004G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118600.v4.1 MVKICCIGAGYVGGPTMAVIALKCPSIEVVVVDIWEPRIAAWNSDQLPIYEPGLYDVVKECRGRNLFFSKDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARTIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIGDLFQPDRVLIGGRETPEGQKAIQALKDVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVAYAVGKDSRIGPKFLNASVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVSQKKIAILGFAFKKDTGDTRETPAIDVCQGLLGDKALLSIYDPQVQKEHIQRDLIMKKFDWDHPLHLQPKSASSAVEQVTVTSDAYEATKEAHGVCILTEWDEFKTLDYKKIYDNMQKPAFVFDGRNVVNADKLREIGFIVYSIGKPLDAWLKDMPAIA >Potri.010G048500.2.v4.1 pep chromosome:Pop_tri_v4:10:8056305:8063040:-1 gene:Potri.010G048500.v4.1 transcript:Potri.010G048500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048500.v4.1 MAELRHSSSVGARASSSPMKRDEDASPLIHGTTHDDDHRHHFSRDRDRSFWSFLSDDPRVFSLLNSKISLFLVAVFAIVGLISAFSIFNRLNAPYLCKKDGIVLHCPHVNEAPSLWENPYSATTSWKPCAERRDNGISDLPPENETNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKYDVRIVRDIPEWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEEMSDLLVSRMRNRTGVSNPYMALHLRFEKGMVGLSFCDFVGTRDEKARMGEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPGEVAVILRAMGYPKETQIYVASGQVYGGQNRMAPLKNMFPSLVTKEELATKEELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYTGHLHKSIKPDKGLMSKSFGDPYMGWATFVEDVIVTHQTRTGLPEETFPNYDLWENPLTPCMCRA >Potri.010G144400.1.v4.1 pep chromosome:Pop_tri_v4:10:15647221:15652425:-1 gene:Potri.010G144400.v4.1 transcript:Potri.010G144400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144400.v4.1 MDIENQDPFQAFIDHARSVLSPVEGDEDEEIYDPSTNGSESTGPGWSWIASRILKTCIAYSSGVTSAILLSDLSQAWSEQRRSGVSKKRPEIISHLKKKHRRNKLANTVTIDSVYEKNFLSLNSVLEAVIVDAFVLPGTNIYMLTLGDFWSSNTIELYLHRRYYDLVDPHSGILKRGREIFLTGCYLRTAREGAGSTRLLPTEYLVILLDDDQDDDAMLIAAQFCSDSFSSISFNEASTGVPYSLYARIESIQSKEIQGISGSVKRKEITLVDNDGVRLEFLLWGEQVLLANLFSVGSMLALDRPYIASSAESAIETSHELCLEYGSATQLFLVPFLQHEEQVYIPSTQNRYQGSRLMSTVDPAQGFKVSQVALPCDSQGSVDFSSYPFQSFVTDLHDKMTSVSLYGVVTDIFRERNTPEVIFSLKIEDATGAIWAKLHFARSWSFGRLGIGHTVYLAGLSCHLRKHSGLEATWYDNAAGAFFVNLSCLPALLNSSCLHKLSCLSDLSSEASCTYICRVRLDQVDQCHVNTRFSHSLCGHVVNKMPSGDVECSFCHCNCDAEVVRTFHLKITLADETGKMFAWCIGQTATELLQISPDEFYDLPEDEQFMYPSSLENESFIVALVNCQGQGYGFSQSITQEADAIPWEITRALRCE >Potri.014G178000.2.v4.1 pep chromosome:Pop_tri_v4:14:13458615:13462106:1 gene:Potri.014G178000.v4.1 transcript:Potri.014G178000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G178000.v4.1 MALISSISPIPVPKLSISTKAQNFVSLTSYSSFTCHSLPMKRLNKGWPKNGALFTFACSTSPFIGRVGSQRREGNVSLLHFGINPNAEVEKTDSSQVLSAMLPFVVAATAIAALAQPATFTWVSKELYAPALGGIMLSIGIKLSIHDFAPAIKRPLPLSVGFIAQYVLKPGLGVLIAKAFGMSQMFFAGFVLTSCVAGAQLSSYASFLSKGDVALSILLTSSTTIASVLFTPLLTGLLIGSVVPVDAIAMSKSILQVVLIPVALGLVLNTYAKEVVNLLNPVMPFVAMVCTSLCIGSPLAINRSQILSKEGLWLIFPVLTFHAVAFTLGYWVSKIPALRQEEEASRTISLCTGMQSSTLAGLLATHFLGSTQAVPPACSVVAMAIMGLCLASFWGNGYRIRDIPSYLIPQFGSAVKV >Potri.006G104000.1.v4.1 pep chromosome:Pop_tri_v4:6:7985088:7987714:-1 gene:Potri.006G104000.v4.1 transcript:Potri.006G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104000.v4.1 MDAVLNKVGSYWLGQKASKEFNSVGDDINSLSTSIEGGTKWLVNKLKGKMQKPLPDLLKEYDLPIGIFPRDATNYEFNEETRKLTVFIPSICEVGYKDSSVVRFLTTVTGYLEKGKIADIEGMKTKVMIWVKVTCIASTGSKLNFTAGMKKTRDRGAYEVLRDGVGIDKF >Potri.011G053400.3.v4.1 pep chromosome:Pop_tri_v4:11:4314552:4316404:1 gene:Potri.011G053400.v4.1 transcript:Potri.011G053400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053400.v4.1 MYHKSLLDPIKQRQASISNYIGREIHICIVVQSSSFFFFLEVVLATIAASTLMENNPSSSRTDRKTIERNRRNQMKALYSQLNSLVPHQSSREPVLSLPDQLDEAASYIKRLQTNLERMKEKKDNLMGTERKNYASMSSCNGTTTGLRSPQIEIRETGSTLEVVLITGLDGQFMFNETIRVLHEEGAEIINASFSVVEDTVFHTIHSKVVVA >Potri.011G053400.2.v4.1 pep chromosome:Pop_tri_v4:11:4314552:4316404:1 gene:Potri.011G053400.v4.1 transcript:Potri.011G053400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053400.v4.1 MYHKSLLDPIKQRQASISNYIGREIHICIVVQSSSFFFFLEVVLATIAASTLMENNPSSSRTDRKTIERNRRNQMKALYSQLNSLVPHQSSREPVLSLPDQLDEAASYIKRLQTNLERMKEKKDNLMGTERKNYASMSSCNGTTTGLRSPQIEIRETGSTLEVVLITGLDGQFMFNETIRVLHEEGAEIINASFSVVEDTVFHTIHSKVGDSAPSNGAARISQRLNQFVQDDNAL >Potri.018G047500.1.v4.1 pep chromosome:Pop_tri_v4:18:4188290:4202331:-1 gene:Potri.018G047500.v4.1 transcript:Potri.018G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047500.v4.1 MDTAMPDHDPLKRSFGDMMVNNNSSSSCLAMDTSNGITDHNDTTPQGLASVLSNHKEFYPRGYQSKVFEVAVKRNTIAVLETGAGKTMIAVMLIKQIGQAVFYSGVKRLILFLAPTVHLVNQQYEVIKSQTNFRVGEYYGAKGIDEWSLKSWEKEIDEHDVLVMTPQILLDALRKAFLNLKMVSLLILDECHRSTGNHPYKKIMKDFYHKMENKPKVFGMTASPVVRKGVSSAMDCEDQLAELESVLDSQIYTIEDRAEVHVYVPSAKELCRFYDKAWCSYVELKDKIEASWSKFDASMLALQGSTQSCYKDMDDKLKATRKQLSKDHAKILNCLEDLGLICAYEAIKVCLENAGNPTGECKLYQEISLQCRYFLEDVLHIIGESLLHGDNFSLDHGFDCSAALGFGYISPKLHELLQLFLSFGEAREVLCLIFVERIITAKVVERFMKKVEVLAHFTVSYLTGTNASADALAPKMQMETLESFRSGKVNLLFATDVVEEGIHVPNCSCVIRFDLPKTVRSYVQSRGRARQNNSHFITMLERGNTKQRDQLFEIIRSEWSMTDTAINRDPNVWNLKACASEAAKAYVVDVTGASVTADSSVSLIHRYCQHLPGDRYYTPKPTFQFEVFEQSCRCAMKLPPNAAFQTLVGPTCRNQQLAKQLVCLEACKKLHQMGALDDHLLPSVEEPSEIAVVKSKSTSAGAGTTKRKELHGTACIHALSGSWGEKLDGATFHAYKFDFSCSIVSQIYSGFILLIESKLDDDVGNIELDLYLVAKIVKSSISSCGVVHLDAAQMTKAKRFQEFFFNGLFGKLFTGSKSSREFLLQKETTLLWSPSNMYLLLPLEPWSISSNDWCKIDWKGIEACSSVVEYLKNSFLAARSYSGGGNPLPDNVQSSTIECNGTNLIHFANALVNVENIKDMVVLAIHTGRIYSIVKVVNDSSAESAFEGNADNVTEFSTYTEYFNKRYGIVLMHPGQPLLRLKQSHNPHNHLVNFNDEGDSKDGMVGRKQQQHVHMPPELLIKIDVPISVVKSIYLMPSLMHRLECLMLASQLRQEIDCHAPNFYIPSSLILEAITTLRCCESFSMERLELLGDSVLKYAVSCHLFLKYPNKHEGQLSSWRSGAVCNSTLHKLGTDCKVQGYILDSAFDPRRWAAPGQKSVRTPAPCKCGVDTLEVPLDRKFQTESAIVKVGKPCDSGHRWMGSKTISDCVESVIGAYYVSGGLIAAIHVMKWFGINAELDPSLISEAITSASLRSYIPKEDEIKSLESKLGYTFGVKFVLQEAMTHASIQEQGVTYCYQRLEFLGDSVLDLLITWHLYQSHTDVDPGELTDLRSASVNNDNFAQVAVKQNLYTHLLHCSTLLQSQITEYVNSFHESDQGTKAPKALGDLIESIAGALLIDTKFNLDGVWRIFKPLLSPIVTPEKLELPPLRELVELCDSIGVFVKEKCTKKAEMVHAQLWVQLDNELLSGEGYEKNRKAAKGKAASCLLKKLQKRGIVYSRGGSKRRKQDTDPVVDSSSLGFLESEDFSGKTKPKKQKIENQVPGDSNTDCSPAISPSHGPPVIESINKKKGGPRTSLYDLCKKVQWTMPTFDTTETKSRTAIEFGEGPDKRTGFNSYVSKIIMNIPSYGVVECAGEASADKKTSYDSAALAMLNELEKRGQLIIDESK >Potri.018G047500.4.v4.1 pep chromosome:Pop_tri_v4:18:4188290:4202311:-1 gene:Potri.018G047500.v4.1 transcript:Potri.018G047500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047500.v4.1 MMVNNNSSSSCLAMDTSNGITDHNDTTPQGLASVLSNHKEFYPRGYQSKVFEVAVKRNTIAVLETGAGKTMIAVMLIKQIGQAVFYSGVKRLILFLAPTVHLVNQQYEVIKSQTNFRVGEYYGAKGIDEWSLKSWEKEIDEHDVLVMTPQILLDALRKAFLNLKMVSLLILDECHRSTGNHPYKKIMKDFYHKMENKPKVFGMTASPVVRKGVSSAMDCEDQLAELESVLDSQIYTIEDRAEVHVYVPSAKELCRFYDKAWCSYVELKDKIEASWSKFDASMLALQGSTQSCYKDMDDKLKATRKQLSKDHAKILNCLEDLGLICAYEAIKVCLENAGNPTGECKLYQEISLQCRYFLEDVLHIIGESLLHGDNFSLDHGFDCSAALGFGYISPKLHELLQLFLSFGEAREVLCLIFVERIITAKVVERFMKKVEVLAHFTVSYLTGTNASADALAPKMQMETLESFRSGKVNLLFATDVVEEGIHVPNCSCVIRFDLPKTVRSYVQSRGRARQNNSHFITMLERGNTKQRDQLFEIIRSEWSMTDTAINRDPNVWNLKACASEAAKAYVVDVTGASVTADSSVSLIHRYCQHLPGDRYYTPKPTFQFEVFEQSCRCAMKLPPNAAFQTLVGPTCRNQQLAKQLVCLEACKKLHQMGALDDHLLPSVEEPSEIAVVKSKSTSAGAGTTKRKELHGTACIHALSGSWGEKLDGATFHAYKFDFSCSIVSQIYSGFILLIESKLDDDVGNIELDLYLVAKIVKSSISSCGVVHLDAAQMTKAKRFQEFFFNGLFGKLFTGSKSSREFLLQKETTLLWSPSNMYLLLPLEPWSISSNDWCKIDWKGIEACSSVVEYLKNSFLAARSYSGGGNPLPDNVQSSTIECNGTNLIHFANALVNVENIKDMVVLAIHTGRIYSIVKVVNDSSAESAFEGNADNVTEFSTYTEYFNKRYGIVLMHPGQPLLRLKQSHNPHNHLVNFNDEGDSKDGMVGRKQQQHVHMPPELLIKIDVPISVVKSIYLMPSLMHRLECLMLASQLRQEIDCHAPNFYIPSSLILEAITTLRCCESFSMERLELLGDSVLKYAVSCHLFLKYPNKHEGQLSSWRSGAVCNSTLHKLGTDCKVQGYILDSAFDPRRWAAPGQKSVRTPAPCKCGVDTLEVPLDRKFQTESAIVKVGKPCDSGHRWMGSKTISDCVESVIGAYYVSGGLIAAIHVMKWFGINAELDPSLISEAITSASLRSYIPKEDEIKSLESKLGYTFGVKFVLQEAMTHASIQEQGVTYCYQRLEFLGDSVLDLLITWHLYQSHTDVDPGELTDLRSASVNNDNFAQVAVKQNLYTHLLHCSTLLQSQITEYVNSFHESDQGTKAPKALGDLIESIAGALLIDTKFNLDGVWRIFKPLLSPIVTPEKLELPPLRELVELCDSIGVFVKEKCTKKAEMVHAQLWVQLDNELLSGEGYEKNRKAAKGKAASCLLKKLQKRGIVYSRGGSKRRKQDTDPVVDSSSLGFLESEDFSGKTKPKKQKIENQVPGDSNTDCSPAISPSHGPPVIESINKKKGGPRTSLYDLCKKVQWTMPTFDTTETKSRTAIEFGEGPDKRTGFNSYVSKIIMNIPSYGVVECAGEASADKKTSYDSAALAMLNELEKRGQLIIDESK >Potri.018G047500.6.v4.1 pep chromosome:Pop_tri_v4:18:4188302:4202192:-1 gene:Potri.018G047500.v4.1 transcript:Potri.018G047500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047500.v4.1 MDTAMPDHDPLKRSFGDMMVNNNSSSSCLAMDTSNGITDHNDTTPQGLASVLSNHKEFYPRGYQSKVFEVAVKRNTIAVLETGAGKTMIAVMLIKQIGQAVFYSGVKRLILFLAPTVHLVNQQYEVIKSQTNFRVGEYYGAKGIDEWSLKSWEKEIDEHDVLVMTPQILLDALRKAFLNLKMVSLLILDECHRSTGNHPYKKIMKDFYHKMENKPKVFGMTASPVVRKGVSSAMDCEDQLAELESVLDSQIYTIEDRAEVHVYVPSAKELCRFYDKAWCSYVELKDKIEASWSKFDASMLALQGSTQSCYKDMDDKLKATRKQLSKDHAKILNCLEDLGLICAYEAIKVCLENAGNPTGECKLYQEISLQCRYFLEDVLHIIGESLLHGDNFSLDHGFDCSAALGFGYISPKLHELLQLFLSFGEAREVLCLIFVERIITAKVVERFMKKVEVLAHFTVSYLTGTNASADALAPKMQMETLESFRSGKVNLLFATDVVEEGIHVPNCSCVIRFDLPKTVRSYVQSRGRARQNNSHFITMLERGNTKQRDQLFEIIRSEWSMTDTAINRDPNVWNLKACASEAAKAYVVDVTGASVTADSSVSLIHRYCQHLPGDRYYTPKPTFQFEVFEQSCRCAMKLPPNAAFQTLVGPTCRNQQLAKQLVCLEACKKLHQMGALDDHLLPSVEEPSEIAVVKSKSTSAGAGTTKRKELHGTACIHALSGSWGEKLDGATFHAYKFDFSCSIVSQIYSGFILLIESKLDDDVGNIELDLYLVAKIVKSSISSCGVVHLDAAQMTKAKRFQEFFFNGLFGKLFTGSKSSREFLLQKETTLLWSPSNMYLLLPLEPWSISSNDWCKIDWKGIEACSSVVEYLKNSFLAARSYSGGGNPLPDNVQSSTIECNGTNLIHFANALVNVENIKDMVVLAIHTGRIYSIVKVVNDSSAESAFEGNADNVTEFSTYTEYFNKRYGIVLMHPGQPLLRLKQSHNPHNHLVNFNDEGDSKDGMVGRKQQQHVHMPPELLIKIDVPISVVKSIYLMPSLMHRLECLMLASQLRQEIDCHAPNFYIPSSLILEAITTLRCCESFSMERLELLGDSVLKYAVSCHLFLKYPNKHEGQLSSWRSGAVCNSTLHKLGTDCKVQGYILDSAFDPRRWAAPGQKSVRTPAPCKCGVDTLEVPLDRKFQTESAIVKVGKPCDSGHRWMGSKTISDCVESVIGAYYVSGGLIAAIHVMKWFGINAELDPSLISEAITSASLRSYIPKEDEIKSLESKLGYTFGVKFVLQEAMTHASIQEQGVTYCYQRLEFLGDSVLDLLITWHLYQSHTDVDPGELTDLRSASVNNDNFAQVAVKQNLYTHLLHCSTLLQSQITEYVNSFHESDQGTKAPKALGDLIESIAGALLIDTKFNLDGVWRIFKPLLSPIVTPEKLELPPLRELVELCDSIGVFVKEKCTKKAEMVHAQLWVQLDNELLSGEGYEKNRKAAKGKAASCLLKKLQKRGIVYSRGGSKRRKQDTDPVVDSSSLGFLESEDFSGKTKPKKQKIENQVPGDSNTDCSPAISPSHGPPVIESINKKKGGPRTSLYDLCKKVQWTMPTFDTTETKSRTAIEFGEGPDKRTGFNSYVSKIIMNIPSYGVVECAGEASADKKTSYDSAALAMLNELEKRGQLIIDESK >Potri.002G195901.1.v4.1 pep chromosome:Pop_tri_v4:2:15873934:15874125:1 gene:Potri.002G195901.v4.1 transcript:Potri.002G195901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195901.v4.1 MAGGRVAHVTLKGPSVVKEICIGIALGLAAGSLWKMHHWNEQRKVRSFYDLLEKGEIGVVVEE >Potri.003G157001.1.v4.1 pep chromosome:Pop_tri_v4:3:16794961:16795625:1 gene:Potri.003G157001.v4.1 transcript:Potri.003G157001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157001.v4.1 MLVLLVIPAVQNLSPSSIVPRSVYTPGKSSSAAGLTATVAKEPETGEFCIEAGALMLADSGICCIDEFDKMDI >Potri.007G027200.1.v4.1 pep chromosome:Pop_tri_v4:7:2091594:2093636:-1 gene:Potri.007G027200.v4.1 transcript:Potri.007G027200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027200.v4.1 MADLEEYQMEEIDDDPIHVMLEDEGTRDMIPTYSYKSSSYKSSASCAKFPGHVKQEDIKIEDVVRQYALCFLPAKSICRFKTVSKEWLKWIDSPFFSHKQTNHFKHVSGLFCQFPGESPSFISFNPVAYGVSSPTLRFLPESIDVRTSCNGLLGCQSRLGDNAYYICNPVTKEWRVVPKPTLYHGPETAIALAFEPDALKFGAQYELVCAVTLPDRAALLFEIYSSRTNSWRVCTAMCLELDALPLNGDGFYIRGFVFWETQSGAVLGFDCKEEEYGILSLPPSSNPTGALTELRGELCYLLPHKEDDAWSIEVYGNMDMSLRRVIPLHSEVLGHLVDGQCRALAFVNDDTMIIALGMKVIAYHVRAHKMERVSDARTDGFVKYLPYVNSLAPVGPFMRDTALYP >Potri.015G028000.1.v4.1 pep chromosome:Pop_tri_v4:15:2127488:2130249:1 gene:Potri.015G028000.v4.1 transcript:Potri.015G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028000.v4.1 MEWQLPSFSALSTFLLFMTFLLLKIFKEPKTNHNSGRNPPPGPKALRIIGNLHQLGGGPSLLIRLRELAERYGPIMLLQVGEVPTIIISSPELAQEVMKTHESCFDERPPFFAGNVYFYGNRDLIFAPYGDYWKQLRKIVTMEVLSPIRVRTFRATREEEVASLIRTISSQQGSAINLSQILFSFTYSIISRISVGRNSKNQKEFATIVKDFSTISKELSLAAGGANVVDLYPSQKLLHMFSWRKFRLGREHKKANKILERLIKERKASKRDKEIAENEVEDLLDVLLNLQLTVGLDSPLTDECVKALLLDMFAGGGDTTLTVLEWAMSELMKNPRVREKAQKEVRALFNDVGYIDESNVHELQFLNLTLKETLRLHPPLCVYPRECKVNCKVAGYDLEAKTRVLINAWMIGRDPKYWTEPEKFYPERFLDCSTDYKGANFEFLPFGSGKRICPGMAFGIATVELPLARLLLHFDWKIPNGIKPEDFDMSEIVSASVTRKNDIVLIPVTCYDPPVKG >Potri.010G037600.3.v4.1 pep chromosome:Pop_tri_v4:10:6678270:6685566:-1 gene:Potri.010G037600.v4.1 transcript:Potri.010G037600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G037600.v4.1 MECAGKGRGTRCMGSARRRCGRCGAVAYCSVSHQMSHWNEHKEECERLEQQMKRVDVLNDFPFTFSQEATATSQVCEKPGSRCSFLSKRGIHQLGMWMHECCCFDSEEATSSLASFDSLRSKDGGWNLLGDLCPCHGPISPISECLSSWFDYYEWRCIPLHSPVALLLHWPLTIYHAAHIACAWSSTVETRKLCIHYLGPEKELLQLAAFGELLALFPGVQVHIEFIGPAIPQQRDGEKIILCSYARCLDADCICNFSSENLSQIAITSKSTSVTLQLRSGLYHERYRDLAEDLFPHLIIAPNAGIAAYPSWLPTIELIKEMNVPAIFSDYCEEACHLAACCIKSVTGRSLSLPIQLNPFRQPMMVEDSALLLPCYSNCFLFGI >Potri.001G358500.1.v4.1 pep chromosome:Pop_tri_v4:1:37427525:37434891:1 gene:Potri.001G358500.v4.1 transcript:Potri.001G358500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358500.v4.1 MRHSSAGFNPQTHEVEKRVLNSELWHACAGPLVSLPAVGSRAVYFPQGHSEQVAASTNKEVNAQIPSYPSLPAQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKAAYLPADMGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQHPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYLKAVYYTRVSVGMRFRMMFETEESSVRRYMGTITGISDLDVVRWPNSQWRSVKVGWDESTDGERQPRVSLWEIEPLTTFPTYPSPFPLRLKRPWPPGLPSFHGIKDDDLGKNPSLMWLRGDGDCGYQSLNFQGTGVSPWVQPRVDASMLGLQNDVYQTMATAAFQEMRTLDPSKSSAASFLQFQQHQNLPTRSAALMQPRMLQQSPPQQTFFQGVQENKHQSQTPSQSHLIQQQLLHHHLLDSPQQQQQPFLQQQQLADQQIPNGVSAISQYASASQSLTPPLQAISLCQQHSYSDSNGNPATSPAVSSLQSLLDSFPPNESSHLLSWPRINPLVTSSGWPSKRAAVESLTSSGAPQCMVTQVEQLGPLHTSITPSSVSLPPFPGRECSIDRDGGTDQQNHLLFGVNIEPSSLLLQNGMSSLRGVGSDSDSTTIPFSSNYISTAGTNFSLNPAMTPSSCIDDSCFLQSTENASQGNPPTRTFVKVYKSGSFGRSLDITKFSSYNELRSELSRMFGLEGQLEDPLRSGWQLVFIDRENDVLLLGDGPWPEFVNSVWYIKILSPQEVQQMGKRGLELLNSFPIQRLSNGSCDDYANRQDSKSSSTGITSVGSLDY >Potri.001G358500.2.v4.1 pep chromosome:Pop_tri_v4:1:37427468:37434949:1 gene:Potri.001G358500.v4.1 transcript:Potri.001G358500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358500.v4.1 MRHSSAGFNPQTHEVEKRVLNSELWHACAGPLVSLPAVGSRAVYFPQGHSEQVAASTNKEVNAQIPSYPSLPAQLICQLHNVTMHADVETDEVYAQMTLQPLSPQEQKAAYLPADMGTPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFSQHPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHLGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLAKYLKAVYYTRVSVGMRFRMMFETEESSVRRYMGTITGISDLDVVRWPNSQWRSVKVGWDESTDGERQPRVSLWEIEPLTTFPTYPSPFPLRLKRPWPPGLPSFHGLGIKDDDLGKNPSLMWLRGDGDCGYQSLNFQGTGVSPWVQPRVDASMLGLQNDVYQTMATAAFQEMRTLDPSKSSAASFLQFQQHQNLPTRSAALMQPRMLQQSPPQQTFFQGVQENKHQSQTPSQSHLIQQQLLHHHLLDSPQQQQQPFLQQQQLADQQIPNGVSAISQYASASQSLTPPLQAISLCQQHSYSDSNGNPATSPAVSSLQSLLDSFPPNESSHLLSWPRINPLVTSSGWPSKRAAVESLTSSGAPQCMVTQVEQLGPLHTSITPSSVSLPPFPGRECSIDRDGGTDQQNHLLFGVNIEPSSLLLQNGMSSLRGVGSDSDSTTIPFSSNYISTAGTNFSLNPAMTPSSCIDDSCFLQSTENASQGNPPTRTFVKVYKSGSFGRSLDITKFSSYNELRSELSRMFGLEGQLEDPLRSGWQLVFIDRENDVLLLGDGPWPEFVNSVWYIKILSPQEVQQMGKRGLELLNSFPIQRLSNGSCDDYANRQDSKSSSTGITSVGSLDY >Potri.015G076400.1.v4.1 pep chromosome:Pop_tri_v4:15:10250188:10251729:-1 gene:Potri.015G076400.v4.1 transcript:Potri.015G076400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076400.v4.1 MQARSPLITLSPSSPSFNSYSSSKLAEIAARVVLEFTSESDQPEDSNNNIFSWRVHEGEENNHPQNDSELGENDHEEEEEEDDDDFEFAVLSKPEPQFSPISADDIFYNGQIRPFYPLFNTKLLLDDQDSLPKSKTATNTQDNKKPNRLPLKKLFFEDRETFSCSSSEADDIDSAEPGTYCVWTAKKEEGSLGSCKKSSSTGSNSKRWKFKDLLHRSNSDGKDTFVFLTPNNKKSGGHKRFGSDDHDGKNNNKNINKGSTEKRKEVKGAGGLFELQQQFYVKGKEGDKRRSYLPYRPDLVGFMSNVKGVGRNIHPF >Potri.008G182400.1.v4.1 pep chromosome:Pop_tri_v4:8:12566523:12567291:1 gene:Potri.008G182400.v4.1 transcript:Potri.008G182400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182400.v4.1 MASKVIVLALVFVAIVGLASAAGPAPSTTALPAEAPLSDDFIGTDDAAAAGAPSGGDAVVPGPMGSVEAAGGPSGSPKSDSAALKFSAITGVAAVAGYLFF >Potri.004G023000.1.v4.1 pep chromosome:Pop_tri_v4:4:1614166:1617716:-1 gene:Potri.004G023000.v4.1 transcript:Potri.004G023000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023000.v4.1 MEMAYNPKVTMDSSGGDGGLLLEETMEAQKLTVLDRIDGFQYTKEKSDSFVIDMESFSHGINKDINTNQRITLQRNLSRKGSPRGGGGGGEKKIHSNLSHLCDKEAIVASASPRGPSTPEKAAVVTVGTPDHSSSPQVHHQITITTGSINGTPEGRCIRRNSFKRASPSWVLDPKRVLFFFATLSSMGTMLLIYLTLSIGKLKTD >Potri.004G023000.5.v4.1 pep chromosome:Pop_tri_v4:4:1614168:1617716:-1 gene:Potri.004G023000.v4.1 transcript:Potri.004G023000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023000.v4.1 MEMAYNPKTMDSSGGDGGLLLEETMEAQKLTVLDRIDGFQYTKEKSDSFVIDMESFSHGINKDINTNQRITLQRNLSRKGSPRGGGGGGEKKIHSNLSHLCDKEAIVASASPRGPSTPEKAAVVTVGTPDHSSSPQVHHQITITTGSINGTPEGRCIRRNSFKRASPSWVLDPKRVLFFFATLSSMGTMLLIYLTLSIGKLKTD >Potri.014G125000.1.v4.1 pep chromosome:Pop_tri_v4:14:8402131:8403237:1 gene:Potri.014G125000.v4.1 transcript:Potri.014G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125000.v4.1 MLWILRFSGFFSAAVVMIILSPSIQSFPPAEAIRSSNLDGYLRFPVLPSPPDYLPQLSFRRSTIFRNADECRFSARQIRGKTSVCDPSLVHIAITLDVEYLRGSIAAVHSILLNSLCPENVFFHFLVSETNLESLVRSTFPQLKFKVYYFDPEIVRSLISTSVRQALEQPLNYARNYLADLLETCVKRVIYLDSDLVVVDDIAKLWATNLGSRTIGAPEYCHANFTKYFTSGFWSDKRFSGAFRGRKPCYFNTGVMVIDLVKWRHAQYTKWIERWMEVQKSDRIYDLGSLPPYLLVFAGNVAPIEHRWNQHGLGGDNVRGSCRDLHPGPYSLLHWSGSGKPWLRLDSKQPCPLDFLWSPYDLYGHSRL >Potri.017G133501.2.v4.1 pep chromosome:Pop_tri_v4:17:13531812:13531982:-1 gene:Potri.017G133501.v4.1 transcript:Potri.017G133501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133501.v4.1 MGSKGYSSGFHSYNILINGHCKSRRIHEAITLFAKMCDKTLTPGISLLQCPLIKMV >Potri.017G133501.1.v4.1 pep chromosome:Pop_tri_v4:17:13530862:13532561:-1 gene:Potri.017G133501.v4.1 transcript:Potri.017G133501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133501.v4.1 MGSKGYSSGFHSYNILINGHCKSRRIHEAITLFAKMCDKTLTPGISLLQCPLIKMV >Potri.011G137700.1.v4.1 pep chromosome:Pop_tri_v4:11:16943907:16948710:1 gene:Potri.011G137700.v4.1 transcript:Potri.011G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137700.v4.1 MEPSFSLKTILTISLFLFFLTPQSSLAIKVPFHPQDLLPLLPRQVSWPILNYLNGAVDLLPTFVGAASALNDTGEWKGACFYENRAWMEFHNKTGSEFGGGTLHLKVSKAHSWTCMDIYVFATPYRVTWDYYFLSREHTLEFKEWDSKAEYEYVKRQGVSIFLMQAGMLGTLSALWDVFPLFTNTGWGENSNIGFLKKHMGATFEQRPQPWVNNISVDDIHSGDFLAISKIRGRWGGFETLEKWVSGAYAGHSAVCLKDSEGKLWVGESGHENEQGEDIIAVLPWDEWWEFELNKDDSNPHIALLPLHPDVRAKFNETAAWEYALSMNGKPYGYHNMIFSWIDTLDGNYPPPLDSHVVASVMTVWNHMQPEYAANMWNEALNKRLGTQGLDLPDVLVEVEKRGSSFGELLTIPEQDDWLYADGKSTSCIAFVLEMYKEAGLFDPISGSVQVTEFTIKDAYTLRFFENNSSRLPKWCNDGDDVKLPFCQIKGKYRMELPEYNTMDPYPHMNERCPSLPPKYYRTQNC >Potri.002G237200.1.v4.1 pep chromosome:Pop_tri_v4:2:22979334:22982886:1 gene:Potri.002G237200.v4.1 transcript:Potri.002G237200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237200.v4.1 MGGGVFYYIFSSAALITLALYHLISTTTAYLKSPHAYIAKPYYPFSSSHRFKYLQLYLLILCLLVAFAHQTLVSSDSDPLLKGSTPVHRFTSLQSAAVLFLFLILSLSLLISDSTSLLPLPSDLFFALASAVFFLQSSSSASSASVQTSDLQAKCDSVSAQISALAALLCLILACQPRMFVADVGLGGAVCLQGLWMLQTGLSLYVDAFIPDGCHKLLDVVSGVEGSTKCELEDSRLRAVAILDLVFVVHVMFVVLIVMVTYGVIAKSYGVRRLGGSYEALPTAASSVADSSSNHIQMKALTGTQA >Potri.010G033900.5.v4.1 pep chromosome:Pop_tri_v4:10:6107957:6116645:-1 gene:Potri.010G033900.v4.1 transcript:Potri.010G033900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033900.v4.1 MNFLLRPTTHQVIKEQVSAPVPALESPSPAVTLEGLIAEDSFPQSEVRDMGIGGENGSVAATKNDSSLVLENHSDVSEEEGWIVIPFGELPDDWKNAPDIHSLRSLDRSFVFPGEQVHILACLSAYKQDTEIITPFKVAAVMSKNGIGQSPEKQNGNLKDGGSSVSAQGEVSSDSQVIGLNGNGASKQKTDPQGDISASKSFLRMEDYKRQTEMLLQRFKNSHFFVRIAESGEPLWSRKSALDQEYSEVDSQNKPQRTKKTADNTFHLSALIDRGNFDANVSGGAARNGVSCCSLSNGDIVVLLQVNVGVNFFRDPVIEILQFEKYQERNRFPENQDNLNYSNYDPCGELLKWLLPVDNTLSSPARSLPPPQLGSNSGFGGASQKSSSSGSQLFSHFRSYSMSSLPQNSAPPPQPVKAQSSKPNFDLEDWDQYSSQKLWKSQKPADEELLSIRGVSLERERFSVRCGLEGIYIPGRRWLRKLEIIQPVEIHSFAADCNTDDLLCVQIKNVSPAITPDIVVYIDTITIVFEEASKSGLPSSLPIACIEAGNDHSLPNLALRRGEEHSFILKPASFVKDSKVHRERLSPSLSLHLVPNTVEGRSALNVDQYAIIVSCRSNYTESRLFFKQPTSWRPRNSRDLLISVASEMSGQSSGPNERVSQLPVQVLALRASNLTSEDLTLTVLAPASFTSPPSVGSLSSSPTTPMSSFVGLPESTGIITGEKYCSAVQALTSVTTTSEIIEQSSPISDVISSTGLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIISLDTLQIDVKEKGLTYIPENSLKINATSSISTGII >Potri.010G033900.4.v4.1 pep chromosome:Pop_tri_v4:10:6107936:6116645:-1 gene:Potri.010G033900.v4.1 transcript:Potri.010G033900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033900.v4.1 MNFLLRPTTHQVIKEQVSAPVPALESPSPAVTLEGLIAEDSFPQSEVRDMGIGGENGSVAATKNDSSLVLENHSDVSEEEGWIVIPFGELPDDWKNAPDIHSLRSLDRSFVFPGEQVHILACLSAYKQDTEIITPFKVAAVMSKNGIGQSPEKQNGNLKDGGSSVSAQGEVSSDSQVIGLNGNGASKQKTDPQGDISASKSFLRMEDYKRQTEMLLQRFKNSHFFVRIAESGEPLWSRKSALDQEYSEVDSQNKPQRTKKTADNTFHLSALIDRGNFDANVSGGAARNGVSCCSLSNGDIVVLLQVNVGVNFFRDPVIEILQFEKYQERNRFPENQDNLNYSNYDPCGELLKWLLPVDNTLSSPARSLPPPQLGSNSGFGGASQKSSSSGSQLFSHFRSYSMSSLPQNSAPPPQPVKAQSSKPNFDLEDWDQYSSQKLWKSQKPADEELLSIRGVSLERERFSVRCGLEGIYIPGRRWLRKLEIIQPVEIHSFAADCNTDDLLCVQIKNVSPAITPDIVVYIDTITIVFEEASKSGLPSSLPIACIEAGNDHSLPNLALRRGEEHSFILKPASFVKDSKVHRERLSPSLSLHLVPNTVEGRSALNVDQYAIIVSCRSNYTESRLFFKQPTSWRPRNSRDLLISVASEMSGQSSGPNERVSQLPVQVLALRASNLTSEDLTLTVLAPASFTSPPSVGSLSSSPTTPMSSFVGLPESTGIITGEKYCSAVQALTSVTTTSEIIEQSSPISDVISSTGLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIISLDTLQIDVKEKGLTYIPENSLKINATSSISTGII >Potri.010G033900.3.v4.1 pep chromosome:Pop_tri_v4:10:6107937:6116728:-1 gene:Potri.010G033900.v4.1 transcript:Potri.010G033900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033900.v4.1 MNFLLRPTTHQVIKEQVSAPVPALESPSPAVTLEGLIAEDSFPQSEVRDMGIGGENGSVAATKNDSSLVLENHSDVSEEEGWIVIPFGELPDDWKNAPDIHSLRSLDRSFVFPGEQVHILACLSAYKQDTEIITPFKVAAVMSKNGIGQSPEKQNGNLKDGGSSVSAQGEVSSDSQVIGLNGNGASKQKTDPQGDISASKSFLRMEDYKRQTEMLLQRFKNSHFFVRIAESGEPLWSRKSALDQEYSEVDSQNKPQRTKKTADNTFHLSALIDRGNFDANVSGGAARNGVSCCSLSNGDIVVLLQVNVGVNFFRDPVIEILQFEKYQERNRFPENQDNLNYSNYDPCGELLKWLLPVDNTLSSPARSLPPPQLGSNSGFGGASQKSSSSGSQLFSHFRSYSMSSLPQNSAPPPQPVKAQSSKPNFDLEDWDQYSSQKLWKSQKPADEELLSIRGVSLERERFSVRCGLEGIYIPGRRWLRKLEIIQPVEIHSFAADCNTDDLLCVQIKNVSPAITPDIVVYIDTITIVFEEASKSGLPSSLPIACIEAGNDHSLPNLALRRGEEHSFILKPASFVKDSKVHRERLSPSLSLHLVPNTVEGRSALNVDQYAIIVSCRSNYTESRLFFKQPTSWRPRNSRDLLISVASEMSGQSSGPNERVSQLPVQVLALRASNLTSEDLTLTVLAPASFTSPPSVGSLSSSPTTPMSSFVGLPESTGIITGEKYCSAVQALTSVTTTSEIIEQSSPISDVISSTGLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIISLDTLQIDVKEKGLTYIPENSLKINATSSISTGII >Potri.010G033900.6.v4.1 pep chromosome:Pop_tri_v4:10:6107958:6116645:-1 gene:Potri.010G033900.v4.1 transcript:Potri.010G033900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033900.v4.1 MNFLLRPTTHQVIKEQVSAPVPALESPSPAVTLEGLIAEDSFPQSEVRDMGIGGENGSVAATKNDSSLVLENHSDVSEEEGWIVIPFGELPDDWKNAPDIHSLRSLDRSFVFPGEQVHILACLSAYKQDTEIITPFKVAAVMSKNGIGQSPEKQNGNLKDGGSSVSAQGEVSSDSQVIGLNGNGASKQKTDPQGDISASKSFLRMEDYKRQTEMLLQRFKNSHFFVRIAESGEPLWSRKSALDQEYSEVDSQNKPQRTKKTADNTFHLSALIDRGNFDANVSGGAARNGVSCCSLSNGDIVVLLQVNVGVNFFRDPVIEILQFEKYQERNRFPENQDNLNYSNYDPCGELLKWLLPVDNTLSSPARSLPPPQLGSNSGFGGASQKSSSSGSQLFSHFRSYSMSSLPQNSAPPPQPVKAQSSKPNFDLEDWDQYSSQKLWKSQKPADEELLSIRGVSLERERFSVRCGLEGIYIPGRRWLRKLEIIQPVEIHSFAADCNTDDLLCVQIKNVSPAITPDIVVYIDTITIVFEEASKSGLPSSLPIACIEAGNDHSLPNLALRRGEEHSFILKPASFVKDSKVHRERLSPSLSLHLVPNTVEGRSALNVDQYAIIVSCRSNYTESRLFFKQPTSWRPRNSRDLLISVASEMSGQSSGPNERVSQLPVQVLALRASNLTSEDLTLTVLAPASFTSPPSVGSLSSSPTTPMSSFVGLPESTGIITGEKYCSAVQALTSVTTTSEIIEQSSPISDVISSTGLGCTHLWLQSRVPLGCVPAQSTATIKLELLPLTDGIISLDTLQIDVKEKGLTYIPENSLKINATSSISTGII >Potri.013G063101.1.v4.1 pep chromosome:Pop_tri_v4:13:4692371:4692871:1 gene:Potri.013G063101.v4.1 transcript:Potri.013G063101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G063101.v4.1 MHAVFVNGKFCKDPEQVTAKDFFFPGLNVPRDTSSAVGSNVTAVNVAQIPGLNTLGISFARIDFAPHGGLNPPHTHPRATEILVVVEGTLYVGFVTSNLANGDNRLITKVLNPGDVFVFPVGLIHFQLNVGKTNAVAFASLSSQNPGVITIAKAVFGADPPLILMF >Potri.013G149200.1.v4.1 pep chromosome:Pop_tri_v4:13:14575589:14578042:-1 gene:Potri.013G149200.v4.1 transcript:Potri.013G149200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149200.v4.1 MVRTPCCDKTGLKKGAWTPEEDRKLMAYVTRYGCWNWRQLPKFAGLQRCGKSCRLRWLNYLRPNIKRGNYSKEEEETIISLHETMGNRWSAIAAQLPGRTDNEIKNHWHTNLKKRLRKKSVLEAKEMSSDDDLSQDDKNQEKDTEETDISTQNPATSNEIIESPSSTMSPQASSSDISTIDNVAATDWDLISDNNFAFLEAYEAPSGNFWTEPFLSDDYFMPNDFMAPLVDPDSPFFDGEFSSPFAFIDMEDCNLY >Potri.016G128600.1.v4.1 pep chromosome:Pop_tri_v4:16:13175294:13181456:-1 gene:Potri.016G128600.v4.1 transcript:Potri.016G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128600.v4.1 MVGESLLDQQQQEPSSSKDSSLPTSLIRKLYIGYFLARWDARMWEFSVGLYMITLWPDSLILPAIYGAIESASIAFLGPIIGQWVEKLTYIKVLRIWLVTQNLSFIIAGCTVIALLFYPDLKSTNFTAFILLVILTNLSGAVGVLSTLAGTILIEREWVVVISEGHPPGVLTRINSIIRRIDLTCKLLAPVISGFIMSFVSVKASAMTLAIWNTIAVWLEYWLFTSVHNGIPALTESSQRRISRLSRSDMEEITSISPEREGLISPGSENSVSVDLGWRRRLTQSFSKVPFVGAWLVYLQQDVLLPGVSLALLYFTVLSFGTLMTAALEWEGIPAYVIGIARGISAMIGIAATVVYPILQSRISILRTGLWSIWSQWTCLLVCIASIWVQNHLLSAYMLMAGVAISRLGLWMFDLSVIQQMQDQVPEPDRLVVGGVQNSVQSFMDLLGYVMGIIISNPRDFWELIILSFSAVTVAALLYSIHLYRVRKHLFHFEKLFMLVKWEILSPSHQNLLEPNIPR >Potri.017G001200.2.v4.1 pep chromosome:Pop_tri_v4:17:76195:80514:-1 gene:Potri.017G001200.v4.1 transcript:Potri.017G001200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001200.v4.1 MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESASLNLIQLWKRFRGNDKPPAHLGSSRDYNVDMIPKFMMANGNLVRVLIHTDVTKYLYFKAVDGGYVFNKGKVHKVPATDMEALKSPLMGLFEKRRARKFFIYVQNYEDSDPKTHEGMDLTRVTTRELIAKYGLDDNTIDFIGHALALHRDDRYLNEPALDTVKRMKLYAESLARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFNEEGKVAGVTSEGETAKCKKVVCDPSYLPNKARKVGRVARAIAIMSHPIPSTDESHSVQVILPQKQLGRRSDMYLFCCSYTHNVAPKGKFIAFVSTEAETDHPESELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVTDVLNMYTMITGKVVDLSVDLSAASAAEE >Potri.001G012601.1.v4.1 pep chromosome:Pop_tri_v4:1:873352:874011:-1 gene:Potri.001G012601.v4.1 transcript:Potri.001G012601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012601.v4.1 MPKYLSLSLGLMNTSLSSKAQEIQLPDAHHLQPKQELLGSTTLSSHFKSPLRRTPRSLSIAQTSRAHKHKCFICKASFINGNALGGHMSYHAKKRKIEASRRGQFFESGTASGFGDSSPGSQDGSDKF >Potri.017G131400.5.v4.1 pep chromosome:Pop_tri_v4:17:13426572:13430140:1 gene:Potri.017G131400.v4.1 transcript:Potri.017G131400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131400.v4.1 MNAATNQETNEMATFKLPKTHLSPPKTLCAFSTWYSPPPQPPPRQSPPPSRHESPILTTISEAIKNIETKPLHISLKNILPSFKAHHFISLVNQNPYFLPPKSLLSFFDFLSSYPTFSHTVQSYCSMVHFLIAHRMNQQAESLLHFVVSRKGKGSASSVFASILETKGTLSSSFVFDALMSVYTEFGYVSDAIQCFRLTKKHNLKIPFNGCKCLLERMIKMSSPMVALEFYLEILDSGYPPNVYTFNVLMNRLCKEGKVKDAQLIFDEIRKTGLQPTAVSFNTLINGYCKSGNLEEGFRLKMVMEEFRVFPDVFTYSALIDGLCKECQLDDANHLFKEMCDRGLVPNDVTFTTLINGQCKNGRVDLALEIYQQMFTKGLKADLVLYNTLIDGLCKGGYFREARKFVGEMTKRGLIPDKFTYTTLLDGSCKEGDLELALEMRKEMVKEGIQLDNVAFTAIISGLCRDGKIVDAERTLREMLRAGLKPDDGTYTMVMDGFCKKGDVKMGFKLLKEMQSDGHIPGVITYNVLMNGLCKQGQVKNADMLLNAMLNVGVVPDDITYNILLQGHCKHGKLGDFQNVKTEMGLVSDYASYRSLLNELSKASKDRQKR >Potri.017G131400.6.v4.1 pep chromosome:Pop_tri_v4:17:13426572:13430140:1 gene:Potri.017G131400.v4.1 transcript:Potri.017G131400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131400.v4.1 MNAATNQETNEMATFKLPKTHLSPPKTLCAFSTWYSPPPQPPPRQSPPPSRHESPILTTISEAIKNIETKPLHISLKNILPSFKAHHFISLVNQNPYFLPPKSLLSFFDFLSSYPTFSHTVQSYCSMVHFLIAHRMNQQAESLLHFVVSRKGKGSASSVFASILETKGTLSSSFVFDALMSVYTEFGYVSDAIQCFRLTKKHNLKIPFNGCKCLLERMIKMSSPMVALEFYLEILDSGYPPNVYTFNVLMNRLCKEGKVKDAQLIFDEIRKTGLQPTAVSFNTLINGYCKSGNLEEGFRLKMVMEEFRVFPDVFTYSALIDGLCKECQLDDANHLFKEMCDRGLVPNDVTFTTLINGQCKNGRVDLALEIYQQMFTKGLKADLVLYNTLIDGLCKGGYFREARKFVGEMTKRGLIPDKFTYTTLLDGSCKEGDLELALEMRKEMVKEGIQLDNVAFTAIISGLCRDGKIVDAERTLREMLRAGLKPDDGTYTMVMDGFCKKGDVKMGFKLLKEMQSDGHIPGVITYNVLMNGLCKQGQVKNADMLLNAMLNVGVVPDDITYNILLQGHCKHGKLGDFQNVKTEMGLVSDYASYRSLLNELSKASKDRQKR >Potri.007G018201.1.v4.1 pep chromosome:Pop_tri_v4:7:1403714:1404016:-1 gene:Potri.007G018201.v4.1 transcript:Potri.007G018201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018201.v4.1 MIKEYGRMVLAFLAHMEGFTVGPKGIEEGTSKTKAANLASFCMLSHQPFSFFTISPLLHTDNHWSNPSILYQLGSASDNWGCRISSYVKSLKISSLVSIS >Potri.018G062001.2.v4.1 pep chromosome:Pop_tri_v4:18:7228618:7231254:1 gene:Potri.018G062001.v4.1 transcript:Potri.018G062001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062001.v4.1 MGASGKWVKSLIGFKKSDKDQDHVNGKSKKWKLWRSSSGDLGSSWKDFKGKHRTASEASGSSPLTDPFTTAMATVVRAPPKGFRVVRQEWAAIRIQTAFRGFLARRALRALKAVVRLQAIVRGRQVRKQAAVMLWCMQALVRVQARVRAHPVRMSIEGQAVQNMLNERHSKADLLKHAEEGWCDGKGTLEDVKSKLQMRQEGAFKRERAIAYSLAQKQWRSNPSSNTRTNSSVYSFKNQEFDKNSWGWSWL >Potri.018G062001.4.v4.1 pep chromosome:Pop_tri_v4:18:7228618:7231255:1 gene:Potri.018G062001.v4.1 transcript:Potri.018G062001.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062001.v4.1 MGASGKWVKSLIGFKKSDKDQDHVNGKSKKWKLWRSSSGDLGSSWKDFKGKHRTASEASGSSPLTDPFTTAMATVVRAPPKGFRVVRQEWAAIRIQTAFRGFLARRALRALKAVVRLQAIVRGRQVRKQAAVMLWCMQALVRVQARVRAHPVRMSIEGQAVQNMLNERHSKADLLKHAEEFDSISGRVVRWQGDIGRCEVKTANEARRSLQERKSNCILPCSETMEIKPQLKYSNQ >Potri.019G046801.1.v4.1 pep chromosome:Pop_tri_v4:19:6864177:6867612:1 gene:Potri.019G046801.v4.1 transcript:Potri.019G046801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G046801.v4.1 MDFHSLSRKELQDLCKKNKIPANMTNIAMADALKVLDKVEGREEFTNVPEPDPQQSPEKAISGSPEVPQTSVRTLTRRKPLRIEPESSKPLTRTRCTTRGTVVGEGDQENKTANLSETPIMLARRIRTSTASARHKMESKSMESVENQEKNNVPKTPAARSSRRRAPAVSARGKLEAQNEEKSVQRVYSTRHSVRLLEKGMEGLGLKEKERVRPLKMDGLCWEIEDVETKDETGDDLLTKSEKSFKKTIDAEAVACQNLDHLPEERREIKREIQEESNNDEYEVEDCNAKQEIGQKGVYSEVVSLDNESEMNNELEENDMRNDYEMDRYNPKSEGLNGQDESNPIIVERSEKALPATQELIYNNDSPTVVSEFVEDKRHDNNDLQSNFAIVGESVSNQSDEAKENGNAERVLEDASNQMSESIHETESLQSLIGSFSTNHFVTGNLVAPSKDISVEYNDEALVEIDVMEAGELDLISHECHPSWVSRETPGSINQITSSCTLASDNASSEIPLHKVHEHSSSETSIHITVMSPEKFALAAAPAVGSPTSKREIYQPWVAGGAMSGQTTCLLPFAADTLQGQFPRPSELTPRKSYASEINKESIDDNGKKVEPKKENAYNKTIDEKISDELSLRQLRKMMREKLQIANNKYSGEYNDTKDKTGPDTLPHERIVSRMLNQRTEQI >Potri.019G046801.2.v4.1 pep chromosome:Pop_tri_v4:19:6864179:6867633:1 gene:Potri.019G046801.v4.1 transcript:Potri.019G046801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G046801.v4.1 MDFHSLSRKELQDLCKKNKIPANMTNIAMADALKVLDKVEGREEFTNVPEPDPQQSPEKAISGSPEVPQTSVRTLTRRKPLRIEPESSKPLTRTRCTTRGTVVGEGDQENKTANLSETPIMLARRIRTSTASARHKMESKSMESVENQEKNNVPKTPAARSSRRRAPAVSARGKLEAQNEEKSVQRVYSTRHSVRLLEKGMEGLGLKEKERVRPLKMDGLCWEIEDVETKDETGDDLLTKSEKSFKKTIDAEAVACQNLDHLPEERREIKREIQEESNNDEYEVEDCNAKQEIGQKGVYSEVVSLDNESEMNNELEENDMRNDYEMDRYNPKSEGLNGQDESNPIIVERSEKALPATQELIYNNDSPTVVSEFVEDKRHDNNDLQSNFAIVGESVSNQSDEAKENGNAERVLEDASNQMSESIHETESLQSLIGSFSTNHFVTGNLVAPSKDISVEYNDEALVEIDVMEAGELDLISHECHPSWVSRETPGSINQITSSCTLASDNASSEIPLHKVHEHSSSETSIHITVMSPEKFALAAAPAVGSPTSKREIYQPWVAGGAMSGQTTCLLPFAADTLQGQFPRPSELTPRKSYASEINKESIDDNGKKVEPKKENAYNKTIDEKISDELSLRQLRKMMREKLQIANNKYSGEYNDTKVLS >Potri.001G165120.1.v4.1 pep chromosome:Pop_tri_v4:1:14063627:14067176:1 gene:Potri.001G165120.v4.1 transcript:Potri.001G165120.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165120.v4.1 MSFFIVVIVHLSSSNLLGGFLFFTICCRHTIPISVELSLAATPLFSCVTQVTGCKKLKHHLFPVSLKL >Potri.002G096400.1.v4.1 pep chromosome:Pop_tri_v4:2:7034062:7042457:-1 gene:Potri.002G096400.v4.1 transcript:Potri.002G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096400.v4.1 MQSVIDFEMQKLGFPSMKTFDQFKSLSGSAKNFSFNSRSSTDSTTSGSFANLKITAEKLVKEQASVKTDLGMANTKLKKSMEHIHVLEDKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQHLAGQVQDAEKDKEFFEGKLSASSNGIDYLNQQLNDLSVKLGSAEETIRTRERELQELEINKEERNKIYIEELRQTTSLIEEKDAMLKKFETTVAANRLAAEDLNSKLEEMNHELRLKGDKINSLMTTQENLAKEKSDHQSRSNDFANRLAISLQEIKNLEGFLHVLAAQLVELDKQSLTFTTKFDQLNSLYDSCFKLAQQERELAVKHVQRQYDQLHDQSLSVKSERDAMKLVNQELNDKIIELQKSQESIMAQLSEECQSAKERIQSLESEAEMLMSKKKETEMLVSKLEEKIDTLSEGSRSSENKMQDLLLKISALEIENKDNAERLQDEIQRKEEEIDSLRKESEKHEQQLNSLEKQVCQLHSVLEEKEQLIVQYKEREKKLEDQITENQASLTAAESKLTKAKKQHDMLLESKQLELSRHLKEISQRNDEAINDIRKKYEMEKLEIVNMEKEKADKIVLEMERKCDQKLVQCKEESRRQMMCVQGDHAALVLGIQQERDRKEISLKAAHSEELKCAQLQAENELREKIIEFGNEHEVQMKALRCQHEDECGKLQEELDLQKSKEDRQRALLHLQWKVMSDKPQEDPEVNSKKKEYSVSSVKMRGPGGAKRSHNSLGSLQNEKKVTHHEYEVETNNGRTITKRRKTKSTVMFEDPRKHERTRTNTPKARTPRSVAKGLKGGDQSHPSTIGDLFLEGSLNPYADDPYAFG >Potri.002G096400.4.v4.1 pep chromosome:Pop_tri_v4:2:7034067:7042396:-1 gene:Potri.002G096400.v4.1 transcript:Potri.002G096400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096400.v4.1 MQSVIDFEMQKLGFPSMKTFDQFKSLSGSAKNFSFNSRSSTDSTTSGSFANLKITAEKLVKEQASVKTDLGMANTKLKKSMEHIHVLEDKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQHLAGQVQDAEKDKEFFEGKLSASSNGIDYLNQQLNDLSVKLGSAEETIRTRERELQELEINKEERNKIYIEELRQTTSLIEEKDAMLKKFETTVAANRLAAEDLNSKLEEMNHELRLKGDKINSLMTTQENLAKEKSDHQSRSNDFANRLAISLQEIKNLEGFLHVLAAQLVELDKQSLTFTTKFDQLNSLYDSCFKLAQQERELAVKHVQRQYDQLHDQSLSVKSERDAMKLVNQELNDKIIELQKSQESIMAQLSEECQSAKERIQSLESEAEMLMSKKKETEMLVSKLEEKIDTLSEGSRSSENKMQDLLLKISALEIENKDNAERLQDEIQRKEEEIDSLRKESEKHEQQLNSLEKQVCQLHSVLEEKEQLIVQYKEREKKLEDQITENQASLTAAESKLTKAKKQHDMLLESKQLELSRHLKEISQRNDEAINDIRKKYEMEKLEIVNMEKEKADKIVLEMERKCDQKLVQCKEESRRQMMCVQGDHAALVLGIQQERDRKEISLKAAHSEELKCAQLQAENELREKIIEFGNEHEVQMKALRCQHEDECGKLQEELDLQKSKEDRQRALLHLQWKVMSDKPQEDPEVNSKKKEYSVSSVKMRGPGGAKRSHNSLGSLQNEKKVTHHEYEVETNNGRTITKRRKTKSTVMFEDPRKHERTRTNTPKARTPRSVAKFGTAKVYHKSDEPLVD >Potri.002G096400.3.v4.1 pep chromosome:Pop_tri_v4:2:7034061:7042457:-1 gene:Potri.002G096400.v4.1 transcript:Potri.002G096400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096400.v4.1 MQSVIDFEMQKLGFPSMKTFDQFKSLSGSAKNFSFNSRSSTDSTTSGSFANLKITAEKLVKEQASVKTDLGMANTKLKKSMEHIHVLEDKLQNAFNENAKLKVKQKEDEKLWKGLESKFSSTKTLCDQLTETLQHLAGQVQDAEKDKEFFEGKLSASSNGIDYLNQQLNDLSVKLGSAEETIRTRERELQELEINKEERNKIYIEELRQTTSLIEEKDAMLKKFETTVAANRLAAEDLNSKLEEMNHELRLKGDKINSLMTTQENLAKEKSDHQSRSNDFANRLAISLQEIKNLEGFLHVLAAQLVELDKQSLTFTTKFDQLNSLYDSCFKLAQQERELAVKHVQRQYDQLHDQSLSVKSERDAMKLVNQELNDKIIELQKSQESIMAQLSEECQSAKERIQSLESEAEMLMSKKKETEMLVSKLEEKIDTLSEGSRSSENKMQDLLLKISALEIENKDNAERLQDEIQRKEEEIDSLRKESEKHEQQLNSLEKQVCQLHSVLEEKEQLIVQYKEREKKLEDQITENQASLTAAESKLTKAKKQHDMLLESKQLELSRHLKEISQRNDEAINDIRKKYEMEKLEIVNMEKEKADKIVLEMERKCDQKLVQCKEESRRQMMCVQGDHAALVLGIQQERDRKEISLKAAHSEELKCAQLQAENELREKIIEFGNEHEVQMKALRCQHEDECGKLQEELDLQKSKEDRQRALLHLQWKVMSDKPQEDPEVNSKKKEYSVSSVKMRGPGGAKRSHNSLGSLQNEKKVTHHEYEVETNNGRTITKRRKTKSTVMFEDPRKHERTRTNTPKARTPRSVAKFGTAKVYHKSDEPLVD >Potri.006G116400.1.v4.1 pep chromosome:Pop_tri_v4:6:9093689:9096664:1 gene:Potri.006G116400.v4.1 transcript:Potri.006G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116400.v4.1 MDGSESHDKASWTKAMLHTFCDICIKAIEKGMRPNTHFDKAGWKFIITAFKEQTGHSFTKSQLKNKWDGIKKDWRIWKRLISETGVGWSSELGTISASDEWWKTKIQEIRGAKKFRHVGIEPTLCAKYDTMFSNIVATGQHAWAPSQGMISEEDQGGDGLGNSSNVGINLKEGIGDSEVDVVPDFVEDVSRMVAGCNVPNSSSNHSSVKRKATETSIPQPQKKKGGSGMGAKLCSRLDRLLESVLIASNCTVNSRDKKGCSIEEVMEEFHSIHGVDFGSPIHIFATEFFRGRSKREMWKAMGNLERKYSWLKIMYERQSKQ >Potri.006G116400.3.v4.1 pep chromosome:Pop_tri_v4:6:9093773:9096664:1 gene:Potri.006G116400.v4.1 transcript:Potri.006G116400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116400.v4.1 MDGSESHDKASWTKAMLHTFCDICIKAIEKGMRPNTHFDKAGWKFIITAFKEQTGHSFTKSQLKNKWDGIKKDWRIWKRLISETGVGWSSELGTISASDEWWKTKIQEIRGAKKFRHVGIEPTLCAKYDTMFSNIVATGQHAWAPSQGMISEEDQGGDGLGNSSNVGINLKEGIGDSEVDVVPDFVEDVSRMVAGCNVPNSSSNHSSVKRKATETSIPQPQKKKGGSGMGAKLCSRLDRLLESVLIASNCTVNSRDKKGCSIEEVMEEFHSIHGVDFGSPIHIFATEFFRGRSKREMWKAMGNLERKYSWLKIMYERQSKQ >Potri.017G048000.1.v4.1 pep chromosome:Pop_tri_v4:17:3411020:3416194:1 gene:Potri.017G048000.v4.1 transcript:Potri.017G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048000.v4.1 MVKAEGSWLSPPHSTRKLPNFHCMTTSLDPAQLQCLPECMNPGTRMTSANMAMPGLAVSSIPNFKTQGNEAYGLPQCLPSNFQNFLHATNPYVRENLSVFSYGFGREGVRNPIPGCQRRFLVFDQSGNEQRLIYSSFGPPVPKPTAADAKPIPGYFDHNEYAAKMDQTKLMKLPEVSDENHFTSEESEMHEDTEEINALLYSDDDYYDENGGGSDDDGDDSDDDEVRSTGHSPILIKSHGTQEQAEKIIEEEGTSSDGPNKRQKLIDGGYKKSSLVDTASSVKVETFHGYDDDMESNYAKRQSQDGEMISILSSKQFRKDKIRATLKILESIIPGAKDKEPLLVLDEAIDYLKSLKLKAKTLGVSYL >Potri.005G047200.1.v4.1 pep chromosome:Pop_tri_v4:5:2985897:2986417:1 gene:Potri.005G047200.v4.1 transcript:Potri.005G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047200.v4.1 MTEEGAEPNVYTYNALMGGYCLNNQMDEAQKVLDIMVGKGYAPAVHSYNILTNGNCKRRRLDEAKRLLSKMSEKELTPDTVTYSTLMQGFCQVGRPQEALNLFNEMCSSGLLPNLMTCLKLLDGFRGVSNSCYSYGMGISQSIQPSDVYHHINSF >Potri.017G110600.1.v4.1 pep chromosome:Pop_tri_v4:17:11816945:11822964:1 gene:Potri.017G110600.v4.1 transcript:Potri.017G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110600.v4.1 MGEEREDPQKLKRIAAAAFDYENDPRWAEYWSNILIPPHLASRSDVIDHFKRKFYQRYIDPDLVVEAMSTNGSSQSTKSSGSSSSSSAPSNDQPRPRNTGSTTRTSGPSATAGQNPSPVRWDRQTIQFSINAWVFIVAVLAIIPLVPKNLSSRASRLSFMGTACSSLYSLYALHGRPRAWNLQGIQAYLQSIIATKDFIYVIYCLTFVTSHLCLRFALIPILCRSLEHVAKFLRSNFSRSTLYRKYLEDPCVWVESNTTTLNILSSHSEIALGFLLIISLLSWQRNIIQTFMYWQLLKLMYNAPVTAGYHQSVWAKIGRIVNPLIHRYCPFLNTPLSAVQRWWFR >Potri.003G044700.1.v4.1 pep chromosome:Pop_tri_v4:3:5071703:5076892:1 gene:Potri.003G044700.v4.1 transcript:Potri.003G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G044700.v4.1 MEAIPHPIPRTVEEVFSDFKGRRSGLIKALTTDVEKFYQQCDPDKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINELPTIFEVVSGNVKQPKDQSATHNNSGKSKSSGKMQSRQPESQTKAVKVSAPPKEDYESGEEEEEDDEQGATCGACGESYGTDEFWICCDICEKWFHGKCVKITPAKAEHIKQYKCPSCSGKRARV >Potri.019G116932.1.v4.1 pep chromosome:Pop_tri_v4:19:14367529:14367798:-1 gene:Potri.019G116932.v4.1 transcript:Potri.019G116932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116932.v4.1 MSSASKAWLVAAAIGGVEALKDQGFCRWNYTLRSLHQHAKNHVGSISQANKKLPHSSSAIISSKFKEEKAKQSEESLRKVMYLSCWGPN >Potri.004G164800.1.v4.1 pep chromosome:Pop_tri_v4:4:18438480:18438779:-1 gene:Potri.004G164800.v4.1 transcript:Potri.004G164800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164800.v4.1 MTRHLAAALAKQILRRSVWNASKPASRSLDVPKGFLAVYIGEREKKRFVVPVSYLNEPSFQDLLTKAEEEFGFNHPMGGLTIPCREDKFIDVLSSLSRS >Potri.006G149800.4.v4.1 pep chromosome:Pop_tri_v4:6:13004085:13009478:1 gene:Potri.006G149800.v4.1 transcript:Potri.006G149800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149800.v4.1 MLHRSFKPAKCKTSLKLASSRIKLLKNKREAQVKHLKRELAQLLDAGQERTARIRVEHVVREEKTMAAYELIEIYCELIVARLPIIESQKNCPIDLKEAVSSVIFASPRCADVPELMDIRKHLTAKYGKEFVSAAVELRPDCGVSRLLVEKLSSKSPDGPTKIKILTAIAEEHNIKWDPMSFEEKDTKPPEDMLKGPATFEQASRVHVEPTNAQASPNRVDQGSHNFHDPSQHYVKHDVPANSHGPDLQSSPHSYPDHRPSGNHSEVLSGPQNWNMEFKDATAAAQAAAESAERASMAARAAAELSSQERITRQHLAESRKAFAFESRDVGPQNYTGSKLQGEDVDKDQMSNNVYQRHPGLHREEREGNEQDDLAGLTKRFYNLKSPNKPSQSASSKSSNSFVDDYPLIDDLPMPDRLSQKRSSELGESSVKLESRESEVSFVSKLEDGMTSENVSHFEEARIRKQSSSVSSHSHSQTFSDDYNVFSNTNQQRMGDETDKEQRDAKGANSYDNAMVFDDSSSDKEIKFDVEDEHNDQVYDSDFSSEGRKSSSHLLANADAWGRTENMDEFRGKSSSQTPLTSAFFSQDFTTDPVPSQPHETPLTSAFFSQDFTTDPVPSQPHDLLPMTFDDSDSVSSEREVDLDTYEVVGGSSTGIFAHTKSVSTRNSDPIHSGSPHSIRFSLADKENLGSNRKTHLQTASLDSDVQEVFSMKNQRTGVDVEMDNKFAYGKLDTSQSSPIPVKSCTSSNDLKDNLQTSGHPVVKNVQNYELPITTKNADPIEESNLETGTELNLGILTGGFRNKGYRHPPYHRNVSNNSSSSEQAIDNIHSRTGRTSSPVKVDIGSGARDQETNNQRLHPKVDVKASSRTPATYYDDDSDEEVPQQHFSNSPKSYGRKSVIEGNDRSSTKTSDNRDSEFSKPNPSSKTHLSTRFSRRTKASPSDKDYSSKPPVLSKSPVSADSFVERTPSSSSSYTADAESIPQSRSSGYQGSSEQCRSTEEAASKRIQQSKRFSYEESSPRSSDAISSQQKPPSQSKSSDYWASSRQPPRSAEQAASKQISESKRSSREETLKSSAREQPFSSPPKPVATDSAQSSKTSSTHGETPSRENSINKPSHVHPKLPDYDTFAAHLLSLRQNQQ >Potri.006G073600.7.v4.1 pep chromosome:Pop_tri_v4:6:5378435:5386071:1 gene:Potri.006G073600.v4.1 transcript:Potri.006G073600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073600.v4.1 MWSSFWRSRDRFSLDELRYLTDQLQKVQIVNNVNKNFVIETLRSISELITYGDQHDSNYFDFFMERQVMGEFVRILKVSRIVSISLQLLQTTSIMIQNLKSERAIHYMFSNEHINFLITYTFDFRNEELLSYYISFLRAISGKLDKNTISLLVKTQNEEVVSFPLYVEAIRFASHEESMIRTAVRALTLNVYHVGDESVNRFVAKAPHADYFSNLLTFFQKQCLYLNGMVSETLKNLDSDTTTAILNVVDEIEDNLYYISDVISAGIPEVGRLITVNILQLLIFPLLLPSLQLDAVDDIQIGAITSLYLLCCILRIVKIKDLANTIAASLFCPPEAFVPDSETKLNGHAPDHGYEIQQTENKNVIEVDGCSKKILPSLSSSSLVHPEDIISKGVSRLTLRDALLSYITAGDDLQVLSSLSILATLLQTKELDETMLDALGILPQRKQHKKLLQQALVGEDLREDQLFSSGRSFIRDGFSCELDGYLQNLKEQYGVACSSLEVGTSPSVHRFQVLDALVSLFCRSNISPETLWDGGWLLRQLLPYSESGFNNQHLELLRDSYKNSTYALLEEARGTWPDLLVTVLRDEWKRCKRAMEAPSPRKELKCMLLPLDKPSFDDVLPNKSSFVAGERMCKVFVLLHQLQIFFLGRALPEQPPTCPPSDIPENSRARNAALDVSGPKLGSELRLVDAVPCRIAFERGKERHFCVLAISVGASGWILLAEELPLKKHYGIIRVVAPLASSDPTIDQKYSRWLHLRIRPSTLPFLDPAKLITHGKAKTKAPVDGRWTLSFMDDESCKSALSMILEEIDLQSNEVKKRLKPLLNHEGAIDVPDASPHPPDDASSSNATPSNSL >Potri.006G073600.5.v4.1 pep chromosome:Pop_tri_v4:6:5378336:5386121:1 gene:Potri.006G073600.v4.1 transcript:Potri.006G073600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073600.v4.1 MWSSFWRSRDRFSLDELRYLTDQLQKVQIVNNVNKNFVIETLRSISELITYGDQHDSNYFDFFMERQVMGEFVRILKVSRIVSISLQLLQTTSIMIQNLKSERAIHYMFSNEHINFLITYTFDFRNEELLSYYISFLRAISGKLDKNTISLLVKTQNEEVVSFPLYVEAIRFASHEESMIRTAVRALTLNVYHVGDESVNRFVAKAPHADYFSNLLTFFQKQCLYLNGMVSETLKNLDSDTTTAILNVVDEIEDNLYYISDVISAGIPEVGRLITVNILQLLIFPLLLPSLQLDAVDDIQIGAITSLYLLCCILRIVKIKDLANTIAASLFCPPEAFVPDSETKLNGHAPDHGYEIQQTENKNVIEVDGCSKKILPSLSSSSLVHPEDIISKGVSRLTLRDALLSYITAGDDLQVLSSLSILATLLQTKELDETMLDALGILPQRKQHKKLLQQALVGEDLREDQLFSSGRSFIRDGFSCELDGYLQNLKEQYGVACSSLEVGTSPSVHRFQVLDALVSLFCRSNISPETLWDGGWLLRQLLPYSESGFNNQHLELLRDSYKNSTYALLEEARGTWPDLLVTVLRDEWKRCKRAMEAPSPRKELKCMLLPLDKPSFDDVLPNKSSFVAGERMCKVVKVFVLLHQLQIFFLGRALPEQPPTCPPSDIPENSRARNAALDVSGPKLGSELRLVDAVPCRIAFERGKERHFCVLAISVGASGWILLAEELPLKKHYGIIRVVAPLASSDPTIDQKYSRWLHLRIRPSTLPFLDPAKLITHGKAKTKAPVDGRWTLSFMDDESCKSALSMILEEIDLQSNEVKKRLKPLLNHEGAIDVPDASPHPPDDASSSNATPSNSL >Potri.006G073600.4.v4.1 pep chromosome:Pop_tri_v4:6:5378364:5386095:1 gene:Potri.006G073600.v4.1 transcript:Potri.006G073600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073600.v4.1 MWSSFWRSRDRFSLDELRYLTDQLQKVQIVNNVNKNFVIETLRSISELITYGDQHDSNYFDFFMERQVMGEFVRILKVSRIVSISLQLLQTTSIMIQNLKSERAIHYMFSNEHINFLITYTFDFRNEELLSYYISFLRAISGKLDKNTISLLVKTQNGTWLVVSGSSWQEMHGLLPKQQQTLNPKLVGVCCLNNACYEEVVSFPLYVEAIRFASHEESMIRTAVRALTLNVYHVGDESVNRFVAKAPHADYFSNLLTFFQKQCLYLNGMVSETLKNLDSDTTTAILNVVDEIEDNLYYISDVISAGIPEVGRLITVNILQLLIFPLLLPSLQLDAVDDIQIGAITSLYLLCCILRIVKIKDLANTIAASLFCPPEAFVPDSETKLNGHAPDHGYEIQQTENKNVIEVDGCSKKILPSLSSSSLVHPEDIISKGVSRLTLRDALLSYITAGDDLQVLSSLSILATLLQTKELDETMLDALGILPQRKQHKKLLQQALVGEDLREDQLFSSGRSFIRDGFSCELDGYLQNLKEQYGVACSSLEVGTSPSVHRFQVLDALVSLFCRSNISPETLWDGGWLLRQLLPYSESGFNNQHLELLRDSYKNSTYALLEEARGTWPDLLVTVLRDEWKRCKRAMEAPSPRKELKCMLLPLDKPSFDDVLPNKSSFVAGERMCKVVKVFVLLHQLQIFFLGRALPEQPPTCPPSDIPENSRARNAALDVSGPKLGSELRLVDAVPCRIAFERGKERHFCVLAISVGASGWILLAEELPLKKHYGIIRVVAPLASSDPTIDQKYSRWLHLRIRPSTLPFLDPAKLITHGKAKTKAPVDGRWTLSFMDDESCKSALSMILEEIDLQSNEVKKRLKPLLNHEGAIDVPDASPHPPDDASSSNATPSNSL >Potri.006G073600.2.v4.1 pep chromosome:Pop_tri_v4:6:5378301:5386132:1 gene:Potri.006G073600.v4.1 transcript:Potri.006G073600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073600.v4.1 MWSSFWRSRDRFSLDELRYLTDQLQKVQIVNNVNKNFVIETLRSISELITYGDQHDSNYFDFFMERQVMGEFVRILKVSRIVSISLQLLQTTSIMIQNLKSERAIHYMFSNEHINFLITYTFDFRNEELLSYYISFLRAISGKLDKNTISLLVKTQNEEVVSFPLYVEAIRFASHEESMIRTAVRALTLNVYHVGDESVNRFVAKAPHADYFSNLLTFFQKQCLYLNGMVSETLKNLDSDTTTAILNVVDEIEDNLYYISDVISAGIPEVGRLITVNILQLLIFPLLLPSLQLDAVDIGAITSLYLLCCILRIVKIKDLANTIAASLFCPPEAFVPDSETKLNGHAPDHGYEIQQTENKNVIEVDGCSKKILPSLSSSSLVHPEDIISKGVSRLTLRDALLSYITAGDDLQVLSSLSILATLLQTKELDETMLDALGILPQRKQHKKLLQQALVGEDLREDQLFSSGRSFIRDGFSCELDGYLQNLKEQYGVACSSLEVGTSPSVHRFQVLDALVSLFCRSNISPETLWDGGWLLRQLLPYSESGFNNQHLELLRDSYKNSTYALLEEARGTWPDLLVTVLRDEWKRCKRAMEAPSPRKELKCMLLPLDKPSFDDVLPNKSSFVAGERMCKVVKVFVLLHQLQIFFLGRALPEQPPTCPPSDIPENSRARNAALDVSGPKLGSELRLVDAVPCRIAFERGKERHFCVLAISVGASGWILLAEELPLKKHYGIIRVVAPLASSDPTIDQKYSRWLHLRIRPSTLPFLDPAKLITHGKAKTKAPVDGRWTLSFMDDESCKSALSMILEEIDLQSNEVKKRLKPLLNHEGAIDVPDASPHPPDDASSSNATPSNSL >Potri.006G073600.6.v4.1 pep chromosome:Pop_tri_v4:6:5378388:5386116:1 gene:Potri.006G073600.v4.1 transcript:Potri.006G073600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073600.v4.1 MWSSFWRSRDRFSLDELRYLTDQLQKVQIVNNVNKNFVIETLRSISELITYGDQHDSNYFDFFMERQVMGEFVRILKVSRIVSISLQLLQTTSIMIQNLKSERAIHYMFSNEHINFLITYTFDFRNEELLSYYISFLRAISGKLDKNTISLLVKTQNEEVVSFPLYVEAIRFASHEESMIRTAVRALTLNVYHVGDESVNRFVAKAPHADYFSNLLTFFQKQCLYLNGMVSETLKNLDSDTTTAILNVVDEIEDNLYYISDVISAGIPEVGRLITVNILQLLIFPLLLPSLQLDAVDDIQIGAITSLYLLCCILRIVKIKDLANTIAASLFCPPEAFVPDSETKLNGHAPDHGYEIQQTENKNVIEVDGCSKKILPSLSSSSLVHPEDIISKGVSRLTLRDALLSYITAGDDLQVLSSLSILATLLQTKDETMLDALGILPQRKQHKKLLQQALVGEDLREDQLFSSGRSFIRDGFSCELDGYLQNLKEQYGVACSSLEVGTSPSVHRFQVLDALVSLFCRSNISPETLWDGGWLLRQLLPYSESGFNNQHLELLRDSYKNSTYALLEEARGTWPDLLVTVLRDEWKRCKRAMEAPSPRKELKCMLLPLDKPSFDDVLPNKSSFVAGERMCKVVKVFVLLHQLQIFFLGRALPEQPPTCPPSDIPENSRARNAALDVSGPKLGSELRLVDAVPCRIAFERGKERHFCVLAISVGASGWILLAEELPLKKHYGIIRVVAPLASSDPTIDQKYSRWLHLRIRPSTLPFLDPAKLITHGKAKTKAPVDGRWTLSFMDDESCKSALSMILEEIDLQSNEVKKRLKPLLNHEGAIDVPDASPHPPDDASSSNATPSNSL >Potri.016G013000.1.v4.1 pep chromosome:Pop_tri_v4:16:689878:691443:-1 gene:Potri.016G013000.v4.1 transcript:Potri.016G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013000.v4.1 MATVEVAAAPAIPEAEVPEVTKTEETTTETAPPASEPVAEELTEAAPAVAPAAEPEAEPAVEVETKEVVEEPAKAEPEEVAAPAVEETPEETPAETVTEEPKEETKKEAAPAPVEEEKPAEEEKPAEAPAAETTSTEVPVEITE >Potri.006G115000.2.v4.1 pep chromosome:Pop_tri_v4:6:8942693:8949288:1 gene:Potri.006G115000.v4.1 transcript:Potri.006G115000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115000.v4.1 MLVGPAKVLLMDEISTGLDSATTFQICKFMRQMVHTMDVTMIVSLLQPAPETFELFDDIILLSEGQVVYQGPREHVLEFFEHMGFRCPDRKGAADFLQEVTSKKDQEQYWFRKNIPYRFISVLEFVRGFNSFHVGQQLASDLRTPYDKSRAHPAALVTEKYGISNWELFRACFSREWLLMKRNSFLYIFKTTQITIMSIIAFTVFFRTEMKVGTVLGGQKFFGALFFSLVNVMFNGMAELSMTVFRLPVFYKQRDFLFFPAWAFGLPIWVLRIPLSLMESAIWIILTYYTIGFAPSASRFFRQFLAFFCIHQMALALFRFIAAVGRTQVVANTLGTFTLLLVFVLGGFIVAKDDIEPWMIWGYYSSPMMYGQNAIVMNEFLDERWSVNNTDSNFAGETVGKVLLKARGFFTDDYWFWICIGALFGFSLLFNVLFIVALTFLNPLGDSKAVVVDDDAKKNKKTSSGQQRAEGIPMATRNSTEIGGAVDNSTKRGMVLPFQPLSLAFNHVSYYVDMPDEMKSQGIDEERLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQETFARVSGYCEQNDIHSPRVTVYESLLYSAWLRLSKDIDTKTRKMFVEEVMELVELNPLRDALVGLPGLDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGSLGHRSHKLIEYFEAVPGVPKIRDAYNPATWMLEISAPSMEAQLDVDFAEQYANSSLYQRNQEIIKELSTPAPGSKDLYFRTQYSQTFLTQCKACFWKQHWSYWRNPRYNAIRLFMTLAIGIIFGLIFWDKGQKTFSQQDLLNVFGAMYAAVLFLGATNAAGVQSIIAIERTVFYRERAAGMYSPLPYAFAQVAIEAIYVAVQTIVYSILLFSMMGFEWTAAKFLWFYYFIFMCFVYFTLFGMMVVALTPAPQIAAICMSFFTSFWNLFSGFLLPRPQIPIWWRWYYWCSPVAWTLYGLVTSQVGDKTNTISVPGESEDVPIKEFLKGYLGFEYDFLPAVAAAHLGWVVLFFFLFSYGIKFLNFQKR >Potri.006G115000.1.v4.1 pep chromosome:Pop_tri_v4:6:8940280:8949266:1 gene:Potri.006G115000.v4.1 transcript:Potri.006G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115000.v4.1 MAAVLAGDDLARSMSSSSRRSFSYRSWASASIREAWTAPVDVFSQNSGRRQQQMDDEEELRWAAIERLPTYDRMRKGVLRQVLDNGRMVQSEVDVTRLGMQDKKQLMENILRVVEEDNEKFLRRVRDRTDRVGIEIPKIEVRFQHLSVEGEVFVGSRALPTLLNATLNAVESILGLVGLAPSKKRTVQILQDISGIVKPSRMALLLGPPSSGKTTMLMALAGKLHRELRSSGKITYCGHELKEFVPQRSCAYISQHDLHYGEMTVRETLDFSGRCLGVGTRYELLAELSRREKEAGIKPDPEIDAFMKATAMSGQEHSLVTDYTLKILGLDICADILVGNDMKRGISGGQKKRVTTGEMLVGPAKVLLMDEISTGLDSATTFQICKFMRQMVHTMDVTMIVSLLQPAPETFELFDDIILLSEGQVVYQGPREHVLEFFEHMGFRCPDRKGAADFLQEVTSKKDQEQYWFRKNIPYRFISVLEFVRGFNSFHVGQQLASDLRTPYDKSRAHPAALVTEKYGISNWELFRACFSREWLLMKRNSFLYIFKTTQITIMSIIAFTVFFRTEMKVGTVLGGQKFFGALFFSLVNVMFNGMAELSMTVFRLPVFYKQRDFLFFPAWAFGLPIWVLRIPLSLMESAIWIILTYYTIGFAPSASRFFRQFLAFFCIHQMALALFRFIAAVGRTQVVANTLGTFTLLLVFVLGGFIVAKDDIEPWMIWGYYSSPMMYGQNAIVMNEFLDERWSVNNTDSNFAGETVGKVLLKARGFFTDDYWFWICIGALFGFSLLFNVLFIVALTFLNPLGDSKAVVVDDDAKKNKKTSSGQQRAEGIPMATRNSTEIGGAVDNSTKRGMVLPFQPLSLAFNHVSYYVDMPDEMKSQGIDEERLQLLRDVSGAFRPGILTALVGVSGAGKTTLMDVLAGRKTGGYIEGSINISGYPKNQETFARVSGYCEQNDIHSPRVTVYESLLYSAWLRLSKDIDTKTRKMFVEEVMELVELNPLRDALVGLPGLDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGSLGHRSHKLIEYFEAVPGVPKIRDAYNPATWMLEISAPSMEAQLDVDFAEQYANSSLYQRNQEIIKELSTPAPGSKDLYFRTQYSQTFLTQCKACFWKQHWSYWRNPRYNAIRLFMTLAIGIIFGLIFWDKGQKTFSQQDLLNVFGAMYAAVLFLGATNAAGVQSIIAIERTVFYRERAAGMYSPLPYAFAQVAIEAIYVAVQTIVYSILLFSMMGFEWTAAKFLWFYYFIFMCFVYFTLFGMMVVALTPAPQIAAICMSFFTSFWNLFSGFLLPRPQIPIWWRWYYWCSPVAWTLYGLVTSQVGDKTNTISVPGESEDVPIKEFLKGYLGFEYDFLPAVAAAHLGWVVLFFFLFSYGIKFLNFQKR >Potri.015G055300.1.v4.1 pep chromosome:Pop_tri_v4:15:7626906:7632919:-1 gene:Potri.015G055300.v4.1 transcript:Potri.015G055300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055300.v4.1 MQQREMVVTGEAPAVHGYSMPAEWEPHSQTWMGWPERPDNWRDNALHAQLVFTKVAIAISKFEPVTVCASSAQWENARSQLPEHVRVLEMSMNDSWFRDIGPTFVVRKNGSNHGNLEQRIAGIDWNFNGWGGVDDGCYQDWSLDLLVARKIIGTEKLPRFPHFMILEGGSIHVDGDGTCLTTEECLLNKNRNPNLTKEQIEDQLKAYLGVQKVIWLPYGLYGDDDTNGHIDNMCCFVRPGVVLLSWTEDEKDPQFKRSMEALSILSNTSDANGRRLEIIKLHVPGPLYMTDEEAAGVVQDGNAKPRLPGTRLAASYVNFYIANGGIITPQFGDQKWDDEAVRVLSQAFPNHEVVRIEGAREIVLAGGNIHCITQQQPAALSTSNC >Potri.015G055300.3.v4.1 pep chromosome:Pop_tri_v4:15:7626889:7632915:-1 gene:Potri.015G055300.v4.1 transcript:Potri.015G055300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055300.v4.1 MVVTGEAPAVHGYSMPAEWEPHSQTWMGWPERPDNWRDNALHAQLVFTKVAIAISKFEPVTVCASSAQWENARSQLPEHVRVLEMSMNDSWFRDIGPTFVVRKNGSNHGNLEQRIAGIDWNFNGWGGVDDGCYQDWSLDLLVARKIIGTEKLPRFPHFMILEGGSIHVDGDGTCLTTEECLLNKNRNPNLTKEQIEDQLKAYLGVQKVIWLPYGLYGDDDTNGHIDNMCCFVRPGVVLLSWTEDEKDPQFKRSMEALSILSNTSDANGRRLEIIKLHVPGPLYMTDEEAAGVVQDGNAKPRLPGTRLAASYVNFYIANGGIITPQFGDQKWDDEAVRVLSQAFPNHEVVRIEGAREIVLAGGNIHCITQQQPAALSTSNC >Potri.015G055300.2.v4.1 pep chromosome:Pop_tri_v4:15:7626887:7632919:-1 gene:Potri.015G055300.v4.1 transcript:Potri.015G055300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055300.v4.1 MVVTGEAPAVHGYSMPAEWEPHSQTWMGWPERPDNWRDNALHAQLVFTKVAIAISKFEPVTVCASSAQWENARSQLPEHVRVLEMSMNDSWFRDIGPTFVVRKNGSNHGNLEQRIAGIDWNFNGWGGVDDGCYQDWSLDLLVARKIIGTEKLPRFPHFMILEGGSIHVDGDGTCLTTEECLLNKNRNPNLTKEQIEDQLKAYLGVQKVIWLPYGLYGDDDTNGHIDNMCCFVRPGVVLLSWTEDEKDPQFKRSMEALSILSNTSDANGRRLEIIKLHVPGPLYMTDEEAAGVVQDGNAKPRLPGTRLAASYVNFYIANGGIITPQFGDQKWDDEAVRVLSQAFPNHEVVRIEGAREIVLAGGNIHCITQQQPAALSTSNC >Potri.009G012900.2.v4.1 pep chromosome:Pop_tri_v4:9:2295221:2302121:1 gene:Potri.009G012900.v4.1 transcript:Potri.009G012900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012900.v4.1 MIHKSYSFARAMGCCSCFGFLRKPKRRPVPISSGGRNYHHHNNNNHLSQELLLDSEIDDDGGEDDGSFNGDITGTSYCDYAELPNRAKRSEENLRLRELNGLVCRKSPVKETHLLVRSEDEDGNKMINEYVRQYKIGAGSYGKVVLYQSSIDGNLCAIKAFHKSHLLKLRVAPSETAMTDVLREVQIMKMLDHPNIVNLIEVIDDPNTDHFYMVLEYVDGKWVWEGSGPPGGIGEDTARKYLRDIVSGLMYLHAHNIVHGDIKPDNLLVTRSGTVKIGDFSVSQVVEDDNDELRRSPGTPVFTAPECCLGLTYHGKAADTWAVGVTLYCMILGQYPFLGETLQDTYDKIVNNPLVLPDELNSQLKELLEGLLCKDPAQRMTLDAVANHTWVIGDDGPIPQFLCWCKRSSREPSDGNDNGIRNHTESNGSEFGKIV >Potri.004G229100.3.v4.1 pep chromosome:Pop_tri_v4:4:23256724:23261191:-1 gene:Potri.004G229100.v4.1 transcript:Potri.004G229100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229100.v4.1 MLAPKQVAKSSRVFLSSLFLFNLKNNNPLISTTSPSLYCCFYYDYSTAVAAAAAAAAARGGGTRDRVTSAKDIATSFKEWFKKGNNELLNRIFVTIQKHGEDKEALGLALSQLRLPLNESFVLDVLAYGKNRNEIYSCVKFFDWAGHKGGFFHTRVTFHAFFKILTESKGMSVMLDFLEVCKGTGDVYVHHNMRFQTVLVMGYAVAGKPDVALQMLGRMRFQGLDLDTFSYHVLLNSLIEGFFFEGFEAIFKQISLRGFEGVVTRVLKMKYLCKQKLLDEAEAYFRGLVNEGKVDKCRRGEMFGYAHALSVLVDGFCQNGLFVKAGELIEEISKLELVPMESAYGLWLKNLVHAGEIDAAFKFMKSKKSLEGYVPDIFWCNSLLFRLLKDNRLEDACDLLIEMKENQISANTVTMNAALCFFCKVGMVEVAHKLYKSKLEFGFSPNTMAYNYLINSLCGVGSSGEAYSLLKNSIKQGYFPSTRAFSVLADALCRQGKLDMVMELVLLALSKNFRLSDSTYERVISALCRAGRFEDGYVMHGEFNRRNRVATVATYRQLIHGFSKSNRGHVASRLLIEMQDKGHQPTRKMFRAVFRCLCDMENPEMHFFKLLEMQLYRHKFNTKVYNFFIDEAGRSKKPELAREVFEMMQRNGIEPNVGSHVFILKAFLRNGRISDALNFLKATHDSKMDEKLYRAMVVGLCEVKRTDLALDFLKAMQSKELVPSMECYEAVVRLLCSTKNYDMVVKIINDLKKCQRKLTSLIGNVLLLHSLKSNELYESWVRSRDVQNDTSSDLSNLGLLISAFSGHLEVSLEYLEGLIEQCFPPDIYTCNMLLRMLCMRDLDRAQKYFNRLRDKGFEPNRWTFDIMAHGHFKHGRQVQGRLWVDEMSRKGFDPT >Potri.004G229100.5.v4.1 pep chromosome:Pop_tri_v4:4:23256807:23261191:-1 gene:Potri.004G229100.v4.1 transcript:Potri.004G229100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229100.v4.1 MLAPKQVAKSSRVFLSSLFLFNLKNNNPLISTTSPSLYCCFYYDYSTAVAAAAAAAAARGGGTRDRVTSAKDIATSFKEWFKKGNNELLNRIFVTIQKHGEDKEALGLALSQLRLPLNESFVLDVLAYGKNRNEIYSCVKFFDWAGHKGGFFHTRVTFHAFFKILTESKGMSVMLDFLEVCKGTGDVYVHHNMRFQTVLVMGYAVAGKPDVALQMLGRMRFQGLDLDTFSYHVLLNSLIEGFFFEGFEAIFKQISLRGFEGVVTRVLKMKYLCKQKLLDEAEAYFRGLVNEGKVDKCRRGEMFGYAHALSVLVDGFCQNGLFVKAGELIEEISKLELVPMESAYGLWLKNLVHAGEIDAAFKFMKSKKSLEGYVPDIFWCNSLLFRLLKDNRLEDACDLLIEMKENQISANTVTMNAALCFFCKVGMVEVAHKLYKSKLEFGFSPNTMAYNYLINSLCGVGSSGEAYSLLKNSIKQGYFPSTRAFSVLADALCRQGKLDMVMELVLLALSKNFRLSDSTYERVISALCRAGRFEDGYVMHGEFNRRNRVATVATYRQLIHGFSKSNRGHVASRLLIEMQDKGHQPTRKMFRAVFRCLCDMENPEMHFFKLLEMQLYRHKFNTKVYNFFIDEAGRSKKPELAREVFEMMQRNGIEPNVGSHVFILKAFLRNGRISDALNFLKATHDSKMDEKLYRAMVVGLCEVKRTDLALDFLKAMQSKELVPSMECYEAVVRLLCSTKNYDMVVKIINDLKKCQRKLTSLIGNVLLLHSLKSNELYESWVRSRDVQNDTSSDLSNLGLLISAFSGHLEVSLEYLEGLIEQCFPPDIYTCNMLLRMLCMRDLDRAQKYFNRLRDKGFEPNRWTFDIMAHGHFKHGRQVQGRLWVDEMSRKGFDPT >Potri.004G229100.4.v4.1 pep chromosome:Pop_tri_v4:4:23256511:23261191:-1 gene:Potri.004G229100.v4.1 transcript:Potri.004G229100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229100.v4.1 MLAPKQVAKSSRVFLSSLFLFNLKNNNPLISTTSPSLYCCFYYDYSTAVAAAAAAAAARGGGTRDRVTSAKDIATSFKEWFKKGNNELLNRIFVTIQKHGEDKEALGLALSQLRLPLNESFVLDVLAYGKNRNEIYSCVKFFDWAGHKGGFFHTRVTFHAFFKILTESKGMSVMLDFLEVCKGTGDVYVHHNMRFQTVLVMGYAVAGKPDVALQMLGRMRFQGLDLDTFSYHVLLNSLIEGFFFEGFEAIFKQISLRGFEGVVTRVLKMKYLCKQKLLDEAEAYFRGLVNEGKVDKCRRGEMFGYAHALSVLVDGFCQNGLFVKAGELIEEISKLELVPMESAYGLWLKNLVHAGEIDAAFKFMKSKKSLEGYVPDIFWCNSLLFRLLKDNRLEDACDLLIEMKENQISANTVTMNAALCFFCKVGMVEVAHKLYKSKLEFGFSPNTMAYNYLINSLCGVGSSGEAYSLLKNSIKQGYFPSTRAFSVLADALCRQGKLDMVMELVLLALSKNFRLSDSTYERVISALCRAGRFEDGYVMHGEFNRRNRVATVATYRQLIHGFSKSNRGHVASRLLIEMQDKGHQPTRKMFRAVFRCLCDMENPEMHFFKLLEMQLYRHKFNTKVYNFFIDEAGRSKKPELAREVFEMMQRNGIEPNVGSHVFILKAFLRNGRISDALNFLKATHDSKMDEKLYRAMVVGLCEVKRTDLALDFLKAMQSKELVPSMECYEAVVRLLCSTKNYDMVVKIINDLKKCQRKLTSLIGNVLLLHSLKSNELYESWVRSRDVQNDTSSDLSNLGLLISAFSGHLEVSLEYLEGLIEQCFPPDIYTCNMLLRMLCMRDLDRAQKYFNRLRDKGFEPNRWTFDIMAHGHFKHGRQVQGRLWVDEMSRKGFDPT >Potri.005G153300.1.v4.1 pep chromosome:Pop_tri_v4:5:13166916:13169026:1 gene:Potri.005G153300.v4.1 transcript:Potri.005G153300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G153300.v4.1 MEDSEKRRERLKAMRSIAAAQAETSNNVETSAPPGLLAYPLLGTPATLLAQGESSAIPRFDFYTDPSAAFSANRKGAAGNQAARGYFTSPSNNSSVPQLSSPHPGQRNLEVTPPHAYQMQNSYPHANQMQSNHLPNQRMYRGQGPYHNAASYRSPRGFSCPFPMNQGAPPEMWSGPGFPASYFSSTVHGGLSSPYPICQGNPGFGPVGSSPSPVSGYGGSPAISQTGQGHWHSSSGFGQSGGRGRGFHSRGFAPNEAQGPECFYDNSMVEDPWQHLEPVLWSGLDDWGNNLNGPGSSNSLLPKSISMKKSSVAESSNKSTSGVSLAEYLAA >Potri.006G077900.1.v4.1 pep chromosome:Pop_tri_v4:6:5787921:5790918:-1 gene:Potri.006G077900.v4.1 transcript:Potri.006G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077900.v4.1 MESHEESEINGPHSMGTTIIGVTYNGGVVLGADSRTSTGIYVANRASDKITQLTDNVYLCRSGSAADSQTVSDYVRYFLHQHTIQLGQPATVKVAANLVRLLSYSNKNMLQTGMIIGGWDKYEGGKIYGVPLGGTLLELPFTIGGSGSTYLYGFFDQAWKEGMTQEEAEQLVVKAVSLAIARDGASGGVVRTVTINSEGVTRKYYPEDKLPRWHEELEPQNSLLDILSSSSPEPMVS >Potri.003G053600.1.v4.1 pep chromosome:Pop_tri_v4:3:7880188:7881500:1 gene:Potri.003G053600.v4.1 transcript:Potri.003G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053600.v4.1 MQLRESIHNTKKFLHNTIQNLKSILFGGYHKLTKHGSFNPFSCGGGGNVKNYQTDQYYEDFCNEWECDLEKAMKRKGNSLMASKEPMRDEDVSNETGRNLANGPQRKKQGKEEKNKKIFRPKKAEEKSSKNMNESGYVLAQKMKELEMMDVSNVEHVLDVEEALHYYSRLKSPVYQDIVDKFFTNMYTEFSVPQASASINSSKRRIESIRL >Potri.002G000402.1.v4.1 pep chromosome:Pop_tri_v4:2:38368:38652:1 gene:Potri.002G000402.v4.1 transcript:Potri.002G000402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000402.v4.1 MRAPFKDEEFRIAIFQMAPDKSPGPDGLNPKFYRCFWSLIGPEVCKACRSWLEKKEFPPTLTDTLVVLIPKCESPQAMQELRPISLCNVLYCIG >Potri.019G083100.3.v4.1 pep chromosome:Pop_tri_v4:19:12311949:12319044:1 gene:Potri.019G083100.v4.1 transcript:Potri.019G083100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083100.v4.1 MEMEKQSPSPSPPSLQSESSSLRELQRVGTLEIARPKPPVGFLCGSIPVPTDKSFHAFNSALVPSSRQTVSAPRYRMLPTETDLNTLPVVSNLPEKVLPISAAVQSKFKGEFPWDADAISSNLTRKCEALAVSGLVEYGDEIDVIASADILKQIFKIPYSKARLSIAVRRIGQTLVLNKGPDAEEGERLVRRHKNQSKKCTDQSLFLNFAMHSVRMEACDCPPTHPASSTGQSNSSVLPGGDASQFVGQSDDVTRNEGFNHCSEYPHVKQDNFFWESKKNKRNKGHHPVKKSSHLGEKPRSSMQETEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHQDGVVQGYELLKTDDIFLLKGISEDGTPAFHPHVVQQNGLSVLRFLEENCKQDPGAYWLYKSAGEDMIQLFDLCVIPKTHSSNDCDDGTSSLPSLMHRGRSDSLFSLGTLLYRIAHRLSLSMAPNNRAKCARFFQQCLEFLDDPDHLVVRASAHEQFARLLLNHDEELELTFESLPGECEVTVPVDSSDPLSRFSESVAYENVSSVAEDRWSEEGKAFQEVISEASVKMTLESNISTPGNLIALDDTESKDSGVLPSSSSDEMVAVCKVSPTPPHAVQTVAEPVSSKLAAVHHVSQAIKSLRWMHQLQSSDSELLDEGSYFDGPPSSMNFSVCACGDADCIEVCDIRQWLPTSKVDEKLWKLVLLLGESYLALGQAYKEDKQLHQALKVVELACAVYGSMPQFLEDSRFISSMVTYSSSIKCNDGDEKMISCVSNRKEVKSSSNDRFLAYEQFSSTYLFWAKAWTLVGDVYVEFHFMKGKVLSNQSETKSSARELRISTEVVKEVQRLKKKLGQHNQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVAYGRKHSKRSHAKGATYSLMGDSDDGRAHHKEKSRKNSGEYPQLGRGDNDTAIEASGIAVDKHEINSLADANSDVLEGGLETHDAGSILPSQSETTSKEKPKPIKGGIFKYISNPAVRDAEFNLSAALSCYQEARKALSGLPTGSAELQSVIKKIGWVCNEMGRNRLEGKELNKAELAFADAIDAFREVSDHANIILINCNLGHGRRALAEEMVSKMENLKSHPIFQNAYKEALQTAKLEYSESLRYYGAARAELNAIVEEDDSVPTVLRNEVQTQFAHTYLRLGMLLAKEDVTTRVYENGALEDMPVVTISPNEKRDRKEVRKHEISANDAIREALTVYESLGQLRKQEAAYAYSQLASYQRDCCLKFLNLDLKNTTLNKNGNNNLQRVKQYACLAERNWQKAMDFYSPKTHPAMHLTILIERSALSLSLSSTLHSNVMLESALARMLEGRHISDAISDSFGTDYPEINSKFWGQLQMLLKKMLSLALSANANKPVAFAQPIPSSSKCGDAGKLRELYKMSLKSSNLSQLHAMHTLWTS >Potri.019G083100.4.v4.1 pep chromosome:Pop_tri_v4:19:12311956:12319028:1 gene:Potri.019G083100.v4.1 transcript:Potri.019G083100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083100.v4.1 MEMEKQSPSPSPPSLQSESSSLRELQRVGTLEIARPKPPVGFLCGSIPVPTDKSFHAFNSALVPSSRQTCSVSAPRYRMLPTETDLNTLPVVSNLPEKVLPISAAVQSKFKGEFPWDADAISSNLTRKCEALAVSGLVEYGDEIDVIASADILKQIFKIPYSKARLSIAVRRIGQTLVLNKGPDAEEGERLVRRHKNQSKKCTDQSLFLNFAMHSVRMEACDCPPTHPASSTGQSNSSVLPGGDASQFVGQSDDVTRNEGFNHCSEYPHVKQDNFFWESKKNKRNKGHHPVKKSSHLGEKPRSSMQETEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHQDGVVQGYELLKTDDIFLLKGISEDGTPAFHPHVVQQNGLSVLRFLEENCKQDPGAYWLYKSAGEDMIQLFDLCVIPKTHSSNDCDDGTSSLPSLMHRGRSDSLFSLGTLLYRIAHRLSLSMAPNNRAKCARFFQQCLEFLDDPDHLVVRASAHEQFARLLLNHDEELELTFESLPGECEVTVPVDSSDPLSRFSESVAYENVSSVAEDRWSEEGKAFQEVISEASVKMTLESNISTPGNLIALDDTESKDSGVLPSSSSDEMVAVCKVSPTPPHAVQTVAEPVSSKLAAVHHVSQAIKSLRWMHQLQSSDSELLDEGSYFDGPPSSMNFSVCACGDADCIEVCDIRQWLPTSKVDEKLWKLVLLLGESYLALGQAYKEDKQLHQALKVVELACAVYGSMPQFLEDSRFISSMVTYSSSIKCNDGDEKMISCVSNRKEVKSSSNDRFLAYEQFSSTYLFWAKAWTLVGDVYVEFHFMKGKVLSNQSETKSSARELRISTEVVKEVQRLKKKLGQHNQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVAYGRKHSKRSHAKGATYSLMGDSDDGRAHHKEKSRKNSGEYPQLGRGDNDTAIEASGIAVDKHEINSLADANSDVLEGGLETHDAGSILPSQSETTSKEKPKPIKGGIFKYISNPAVRDAEFNLSAALSCYQEARKALSGLPTGSAELQSVIKKIGWVCNEMGRNRLEGKELNKAELAFADAIDAFREVSDHANIILINCNLGHGRRALAEEMVSKMENLKSHPIFQNAYKEALQTAKLEYSESLRYYGAARAELNAIVEEDDSVPTVLRNEVQTQFAHTYLRLGMLLAKEDVTTRVYENGALEDMPVVTISPNEKRDRKEVRKHEISANDAIREALTVYESLGQLRKQEAAYAYSQLASYQRDCCLKFLNLDLKNTTLNKNGNNNLQRVKQYACLAERNWQKAMDFYSPKTHPAMHLTILIERSALSLSLSSTLHSNVLLERH >Potri.019G083100.1.v4.1 pep chromosome:Pop_tri_v4:19:12311955:12319030:1 gene:Potri.019G083100.v4.1 transcript:Potri.019G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083100.v4.1 MEMEKQSPSPSPPSLQSESSSLRELQRVGTLEIARPKPPVGFLCGSIPVPTDKSFHAFNSALVPSSRQTCSVSAPRYRMLPTETDLNTLPVVSNLPEKVLPISAAVQSKFKGEFPWDADAISSNLTRKCEALAVSGLVEYGDEIDVIASADILKQIFKIPYSKARLSIAVRRIGQTLVLNKGPDAEEGERLVRRHKNQSKKCTDQSLFLNFAMHSVRMEACDCPPTHPASSTGQSNSSVLPGGDASQFVGQSDDVTRNEGFNHCSEYPHVKQDNFFWESKKNKRNKGHHPVKKSSHLGEKPRSSMQETEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHQDGVVQGYELLKTDDIFLLKGISEDGTPAFHPHVVQQNGLSVLRFLEENCKQDPGAYWLYKSAGEDMIQLFDLCVIPKTHSSNDCDDGTSSLPSLMHRGRSDSLFSLGTLLYRIAHRLSLSMAPNNRAKCARFFQQCLEFLDDPDHLVVRASAHEQFARLLLNHDEELELTFESLPGECEVTVPVDSSDPLSRFSESVAYENVSSVAEDRWSEEGKAFQEVISEASVKMTLESNISTPGNLIALDDTESKDSGVLPSSSSDEMVAVCKVSPTPPHAVQTVAEPVSSKLAAVHHVSQAIKSLRWMHQLQSSDSELLDEGSYFDGPPSSMNFSVCACGDADCIEVCDIRQWLPTSKVDEKLWKLVLLLGESYLALGQAYKEDKQLHQALKVVELACAVYGSMPQFLEDSRFISSMVTYSSSIKCNDGDEKMISCVSNRKEVKSSSNDRFLAYEQFSSTYLFWAKAWTLVGDVYVEFHFMKGKVLSNQSETKSSARELRISTEVVKEVQRLKKKLGQHNQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVAYGRKHSKRSHAKGATYSLMGDSDDGRAHHKEKSRKNSGEYPQLGRGDNDTAIEASGIAVDKHEINSLADANSDVLEGGLETHDAGSILPSQSETTSKEKPKPIKGGIFKYISNPAVRDAEFNLSAALSCYQEARKALSGLPTGSAELQSVIKKIGWVCNEMGRNRLEGKELNKAELAFADAIDAFREVSDHANIILINCNLGHGRRALAEEMVSKMENLKSHPIFQNAYKEALQTAKLEYSESLRYYGAARAELNAIVEEDDSVPTVLRNEVQTQFAHTYLRLGMLLAKEDVTTRVYENGALEDMPVVTISPNEKRDRKEVRKHEISANDAIREALTVYESLGQLRKQEAAYAYSQLASYQRDCCLKFLNLDLKNTTLNKNGNNNLQRVKQYACLAERNWQKAMDFYSPKTHPAMHLTILIERSALSLSLSSTLHSNVMLESALARMLEGRHISDAISDSFGTDYPEINSKFWGQLQMLLKKMLSLALSANANKPVAFAQPIPSSSKCGDAGKLRELYKMSLKSSNLSQLHAMHTLWTS >Potri.019G083100.5.v4.1 pep chromosome:Pop_tri_v4:19:12311906:12319021:1 gene:Potri.019G083100.v4.1 transcript:Potri.019G083100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083100.v4.1 MHSVRMEACDCPPTHPASSTGQSNSSVLPGGDASQFVGQSDDVTRNEGFNHCSEYPHVKQDNFFWESKKNKRNKGHHPVKKSSHLGEKPRSSMQETEKHKRVSNDGFLRVLFWQFHNFRMLLGSDLLLFSNEKYVAVSLHLWDVTRQVTPLTWLEAWLDNVMASVPELAICYHQDGVVQGYELLKTDDIFLLKGISEDGTPAFHPHVVQQNGLSVLRFLEENCKQDPGAYWLYKSAGEDMIQLFDLCVIPKTHSSNDCDDGTSSLPSLMHRGRSDSLFSLGTLLYRIAHRLSLSMAPNNRAKCARFFQQCLEFLDDPDHLVVRASAHEQFARLLLNHDEELELTFESLPGECEVTVPVDSSDPLSRFSESVAYENVSSVAEDRWSEEGKAFQEVISEASVKMTLESNISTPGNLIALDDTESKDSGVLPSSSSDEMVAVCKVSPTPPHAVQTVAEPVSSKLAAVHHVSQAIKSLRWMHQLQSSDSELLDEGSYFDGPPSSMNFSVCACGDADCIEVCDIRQWLPTSKVDEKLWKLVLLLGESYLALGQAYKEDKQLHQALKVVELACAVYGSMPQFLEDSRFISSMVTYSSSIKCNDGDEKMISCVSNRKEVKSSSNDRFLAYEQFSSTYLFWAKAWTLVGDVYVEFHFMKGKVLSNQSETKSSARELRISTEVVKEVQRLKKKLGQHNQNCSSCSLVNCSCQSDRASSGSSASSSSGDKHSVAYGRKHSKRSHAKGATYSLMGDSDDGRAHHKEKSRKNSGEYPQLGRGDNDTAIEASGIAVDKHEINSLADANSDVLEGGLETHDAGSILPSQSETTSKEKPKPIKGGIFKYISNPAVRDAEFNLSAALSCYQEARKALSGLPTGSAELQSVIKKIGWVCNEMGRNRLEGKELNKAELAFADAIDAFREVSDHANIILINCNLGHGRRALAEEMVSKMENLKSHPIFQNAYKEALQTAKLEYSESLRYYGAARAELNAIVEEDDSVPTVLRNEVQTQFAHTYLRLGMLLAKEDVTTRVYENGALEDMPVVTISPNEKRDRKEVRKHEISANDAIREALTVYESLGQLRKQEAAYAYSQLASYQRDCCLKFLNLDLKNTTLNKNGNNNLQRVKQYACLAERNWQKAMDFYSPKTHPAMHLTILIERSALSLSLSSTLHSNVMLESALARMLEGRHISDAISDSFGTDYPEINSKFWGQLQMLLKKMLSLALSANANKPVAFAQPIPSSSKCGDAGKLRELYKMSLKSSNLSQLHAMHTLWTS >Potri.013G155600.1.v4.1 pep chromosome:Pop_tri_v4:13:15071673:15073433:1 gene:Potri.013G155600.v4.1 transcript:Potri.013G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155600.v4.1 MPEIESVSENALFGKYEVGKLLGCGAFAKVYHARDVQTGKSVAIKIINKKKISNPSLMSNIKREISIMRRLNHPHIVKLIEVLATKTKIYFVIEYVKGGELFAKVAKGRFSEDLSRKYFHQLISAVGYCHSRGIFHRDLKPENLLLDENGSLKVSDFGLSAVTDQIRTDGLLHTLCGTPAYVAPEILAKKGYDGAKVDFWSCGVVLFVLTAGFLPFNDPNLMAMYKKIYKGEFRCPKWMSTDLKRFLSRLLDTNPETRITIDEILKDPWFKKGKHKEINFYDEELNKAVDEKKDEELASTSLNAFDIISFSSGLNLSGLFDDSYNVVDDGERFVSTESPENLMKKVEEFAKEERLRVKRRKEWGLEMEGQNGNLMIAVEVHRLTDTLFVVEAKRSGGDAGCFKETWKNKLKPVIMAT >Potri.007G061881.1.v4.1 pep chromosome:Pop_tri_v4:7:7010365:7010880:1 gene:Potri.007G061881.v4.1 transcript:Potri.007G061881.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061881.v4.1 MLLAILLILLQTGTTDLQISLTTEFSERRQIFLWIASFASFAVKVPMVPVHIWLPEAHVEAPTAGSVILAGIPLKLGTYGFLRFSIPMFPEATLFSTPFIYTPSAIAIIYTSLTTSRQIDLKKIIAYSSVAHMNLVTIGMFSRAAAVRSPILSYGHTRPKHVCRACDPSTY >Potri.004G220250.1.v4.1 pep chromosome:Pop_tri_v4:4:22586271:22587075:1 gene:Potri.004G220250.v4.1 transcript:Potri.004G220250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G220250.v4.1 MFHHLHLSTQPPPPSSLSTFLVHVSQSSPLSTAPPPIFTLNLSRPCFSIFISQHSPPSHLHLSSLNCSHSPPSSSLNLSHGPPSQPPIFISHLSTFLTAPPQHLHLHLSSLSTFR >Potri.014G158300.1.v4.1 pep chromosome:Pop_tri_v4:14:11185891:11187207:-1 gene:Potri.014G158300.v4.1 transcript:Potri.014G158300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158300.v4.1 MSGVTGQPQEEDKKPNDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEFNSIAFLFDGRRLRGEQTPDELDMEDGDEIDAMLHQTGGAMKTSN >Potri.010G133001.1.v4.1 pep chromosome:Pop_tri_v4:10:14917512:14918938:-1 gene:Potri.010G133001.v4.1 transcript:Potri.010G133001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133001.v4.1 MLLQLIFLLLQCAVKVIETQDSIQINCVCQVEMILRALEYEMEQGKVLDEFFFSAAGKFRTAIGKSWAAEISSRRNSILARKQN >Potri.008G166300.4.v4.1 pep chromosome:Pop_tri_v4:8:11475128:11478286:1 gene:Potri.008G166300.v4.1 transcript:Potri.008G166300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166300.v4.1 MEPFVLDGIITRLLEVRGKPGKQVQLSEAEIRQLCITSRGVFLKQPNLLELEAPIKICGDVHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLRNLDQIRNLYRPAVVPETGLLCDLLWSDPSKDIQGWGANDRGVSYIFGADRVTEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILRPAEKKPKFGFGSTGTAKNGTIPPKIKVYAFHTTPSTDTCYYIDK >Potri.008G166300.3.v4.1 pep chromosome:Pop_tri_v4:8:11474569:11479621:1 gene:Potri.008G166300.v4.1 transcript:Potri.008G166300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166300.v4.1 MEPFVLDGIITRLLEVRGKPGKQVQLSEAEIRQLCITSRGVFLKQPNLLELEAPIKICGDVHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLRNLDQIRNLYRPAVVPETGLLCDLLWSDPSKDIQGWGANDRGVSYIFGADRVTEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILRPAEKKPKFGFGSTGTAKNGTIPPKIKSFLGGKV >Potri.008G166300.2.v4.1 pep chromosome:Pop_tri_v4:8:11474570:11479620:1 gene:Potri.008G166300.v4.1 transcript:Potri.008G166300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166300.v4.1 MEPFVLDGIITRLLEVRGKPGKQVQLSEAEIRQLCITSRGVFLKQPNLLELEAPIKICGDVHGQYSDLLKLFEYGGLPPRANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASVNRIYGFYDECKRRFNVRLWKVFTDCFNCLPVAALIDEKILCMHGGLSPDLRNLDQIRNLYRPAVVPETGLLCDLLWSDPSKDIQGWGANDRGVSYIFGADRVTEFLQKHDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILRPAEKKPKFGFGSTGTAKNGTIPPKIKVEKYEKSFQ >Potri.002G132500.1.v4.1 pep chromosome:Pop_tri_v4:2:9987563:9988590:-1 gene:Potri.002G132500.v4.1 transcript:Potri.002G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G132500.v4.1 MVNAGEFEVVFRCLDEDGDGKVSPSELSHRLSLIGGDLLMKEAELAVESLDSDGDGLLGLEDLVRLMEAGGEEEKLHDLREAFHLYDIDNCGFIRAKDLKTMLGRLGESRSIDECEVMINKFDLNGDGVLSFEEFMVMMK >Potri.005G004600.2.v4.1 pep chromosome:Pop_tri_v4:5:372494:375853:-1 gene:Potri.005G004600.v4.1 transcript:Potri.005G004600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004600.v4.1 MDDTCAVCADALEWVAYGPCLHKEVCSTCIIRLRFICNDFHCCICKSESNTIFDTKALGDCTRMISDFKGLGGVNGKEGKVGECWYHEGTKAYFDDFDHYKMIKAMCRLSCNVCNKKDGGSKEFNSVEQLKGHLFHKHRLFMCGLCLEGRKIFTSEQKLYNRAQWTQHVRTGDSVVDGSESERGRFTGHPMCEFCENRFYGDNELYLHMSTEHFTCHICQRQHPEQYEYFNKHFLCEDKVCLAKQFIVFAIESELKRHNTMEHGGCLSCSKHSAVLQIPTSFWFQQINEHCRHGRSYGSHLNSSSYQMNLAIVDSHLTANAERPCDILSNAQTVSTHREECEIDIIVNPFESLATTDSEPPSRYNHVLGQNSRSVPMEETSFPPLLMAQSSRQQRSRNVLNSSRALPAANSHPISLASFSYHSRPVSDSGVLSPSSSLSSSQNFASSSRTSNSNSKVSQATVAPNPVDRTSHKSLSSAPCLSTAQVDNMSSRASPLLKIEYVQSSNKALVEKIRATLEFNEEKFSAFKLISTEYLRDLIDTAEYLAYVHQFGLSHLVLELARLCPSAEKQRELGSVENGLSIDNGHSKSKKSSKKGKEKCDGNDSFKVEILPKEGHHGGKGKSKILVDKQTNLNLSMEPKSEIVAQPDGVSSKKNVGAGGGGNKPKKKTSKFLKNPVGDTSAASLPHVGNSDADPDEKEEKADVDSDIPELLLVHEVWRNGRGGKLVAMTQ >Potri.001G081200.1.v4.1 pep chromosome:Pop_tri_v4:1:6434752:6440448:1 gene:Potri.001G081200.v4.1 transcript:Potri.001G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081200.v4.1 MHGSSRRTKGKVRWSKLYSFSCFRPHTSDPDSAQELIGQPGFSRVVFCNEPQVHKRKPYKYTNNSVSTKKYTAVTFLPKALFEQFRRVANLYFLLTAALSITSLAPVKPVSLIAPLVFVVGISMLKEAVEDWYRFLQDLNVNTRTVKAHAGNGLFVDKLWREISVGDVVKVNKDEYFSSDLLLLSSSYEDGVCYVETMNLDGETNLKIKRCLEVTLDLNEDAKFSEFKATTRCEDPNPSLYTFVGNLEFENKIYPLSPSQILLRDSKLRNTDYVYGAVIFSGHDTKVVRNSTMSPSKRSRLEKKMDKVIYLLFSMLLLISLVTSIGSAVVIKSDMSQWWYLSLEDSDPLFDPSNPLKSGFLQFIRALILYGYLIPISLYVSIEIVKVLQAKFINKDKKMYDEATCKSVQARTSNLNEELGQVEIILSDKTGTLTCNQMEFRKCSIAGISYGGNINEVDIAASKRMNTDIEAYRSSIDQSDTTSQSLEMSEFSVADIITQEAILRGQENADNLNARNSRLSDVRKESVIRVIKGFNFRDDRLMNNQWIYRSDLFDMTMFFRVMALCHTGIPVEDGQTDKLKYEAESPEEVAFLIASQEFGFQFFQRTQSLMTLKELDPSSGKQVKREYKLLNLLEFSSFRKRMSVIVRDEDGKIYLLCKGADSIIFDRLADNGGAYQEATTSHLSNYAEDGFRTLAFAYRVLELAEYEQWNSIFMQAKTTVGPEREELLEHATEMIEKELILLGVAAVEDKLQKGVVECIDKLAQAGMKIWLLTGDKKETAINIGFSCSLLRQDMKQFHVCLSKETESKNQLKAMKEEILHQIESSYQVMCQDSNKYSPFALVLDGRALEIALKSDVRDQFLQLAVNCASVICCRVSPKQKALITRLVKEYTGKTTLAIGDGANDVGMIQEADIGVGISGMEGMQAVMASDFSLPQFRFLERLLIVHGHWCYKRISKMVLYFVYKNIAFGLTLFYYEIFTNFSGDSLYDDWYMVMFNVLLTSLPVISLGVFEQDVSSDVCLQFPSLYRQGQRNIIFSWSRIVGWILNGTVAASVVFLANIYIFSPAAFRQEGNVADITHFGAIMYTCIIWTVNCQIALIITHFTWIQHLFIWGSILLWYIFAVAYGALPPDYSQRGFNIITESIGSTPKYWIATFLVIVVALLPYFTHIAFQRLLYPMDDHIIQEMKHCKKDVTENQMWLREQRNSQRSTQVGFSARVDARIRSFKEGLSLKRISIYKSVTNTPFYKSWTSSPIFS >Potri.006G013750.2.v4.1 pep chromosome:Pop_tri_v4:6:851603:856316:-1 gene:Potri.006G013750.v4.1 transcript:Potri.006G013750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013750.v4.1 MKSNMGIHKVSETVERLWNGWELRMVMLPSLFLQIVLIIFGRRRKYTAGFWLGTLVWLAYLSADWVATFSLGILAQKLGDSETNCINSNRGLIPAFWAPVLLVHLGGPDTITAYSMEDNELWLRHLLVLGSQVAVAFYAFSRSWWSKDPLLFVAIPIFVAGIIKYGERNLVLRSASSEQFRSSVYSEMNELRRKFGEFSNSELFGMTAERLTERLGLRDIIPEAKFLHEAKLFFQMFRVLFADLVLADSNHLATHIILIDKKATEAFKLIEVEMGFMYDVLYTKVTRVCSSRIIFRAISFLCFVSALLAFSLGMSRNNHAYLTTEITISYFLLVGAIIFEIYSVVLVLFSDWAMLWLSGQKKPFFNSVYQTISRFLSFLHSDKRWARSMAQHNLIKSQLSENSLTCISLKKLLGKSHILSWEDISDDLKHLIFQHLLDKRTRYSYQMRYHDPGKNDLHEILSERGDQVIGREGCLEKIGGSVKSSDFNDSLLTWHIATDICYHDDVRKKGHPDTKMSISLSNYMVYLLRDCPFLLPRGIGKERYTQTCSDVNKHSELLRNITSGRNNSWDSYNTILQLEDSSGKVSVLCAGFKLAKSLQSLETQDGWENKRKWEMISQVWVEMLTYAASHCGWKEHAQALTRGGELLTHVCLLMAHLGLSEQCLTN >Potri.009G056600.1.v4.1 pep chromosome:Pop_tri_v4:9:5988657:5990910:1 gene:Potri.009G056600.v4.1 transcript:Potri.009G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056600.v4.1 MVCIRKATIDDLLAMQACNLLCLPENYQMKYYFYHILSWPHLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMNAAQTAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQSHHHGHHHHHHHHHHGGGCCSGGARPMEARPELKSEAKAGTKAESKTG >Potri.009G056600.3.v4.1 pep chromosome:Pop_tri_v4:9:5988656:5990085:1 gene:Potri.009G056600.v4.1 transcript:Potri.009G056600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056600.v4.1 MVCIRKATIDDLLAMQACNLLCLPENYQMKYYFYHILSWPHLLYVAEDYNGRIVGYVLAKMEEESNECHGHITSLAVLRTHRKLGLATKLMNAAQTAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQLKGKQSHHHGHHHHHHHHHHGGGCCSGGARPMEARPELKSEAKAGTKAESKTG >Potri.013G086100.1.v4.1 pep chromosome:Pop_tri_v4:13:7935139:7937881:1 gene:Potri.013G086100.v4.1 transcript:Potri.013G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086100.v4.1 MAIPFFFLYLLLLLLLLSVLSSPFSSAAPSDTLSEGSSLSIENTNDVLISQSGIFSAGFFPVGDNAYCFTIWFTEPFCDNNCSVIWMANRDQPVNGKKSKLSLLHSGNLLLIDAGRSIVWATNTASQFSIKLRLHDNGNLFLYEKEGGRVLWQSFDYPTDTLLPQQPLTKDRQLVSSRSRSNYSSGFYKLYFDSDNVLRLRYDSPETSSIYWPNTWLLTWDGGRSTFNSSRIAFFDSLGNFTSSDDFTFTSPDYGMRVQRILKIDCDGNLRLYSRENVRNKWIVSWQAMSQPCRIHGICGPNSMCNYVPSSGRRCSCLPGFKAKDYSDWSLGCEPELNRSCSRDEISYLKLSNVEFFGYEYGFFPNYTLQMCEDLCSKMCNCKGFQLRFSRRYYPSNIPYCYPKKALLNGQYSPHFDGDIYLKVPKTSGFSSSYKHVEDSVLDCSVKNVVQLNRTYSKSHQNGTLKSVMWFAFGVGVVEFFSIVLVWYFLIRNQNNLGAANQGYFCVESCFRKFTLSEIKRATKGFTEEIGRGGGGIVYKGILSDDRVAAIKLLHEAYQGEEEFRAEVSTFGKLNHMNLIEMWGYCAEGKHRLLVYKYMEHGSLAENLLSSTLDWEKRFDIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSDYQPKVSDFGLSRPLKRGNCKVSSRFSRIRGTRGYMAPEWVFNLPITSKVDVYSYGIVLLEIVTGKGPTVEMEHQRLVIQVREKMKKEAVDSVIEMIIDPKLEGKYDRAKMEILVMVALNCVNEDREARPSMKQVVEMLLHQESP >Potri.010G229400.1.v4.1 pep chromosome:Pop_tri_v4:10:21223850:21226143:1 gene:Potri.010G229400.v4.1 transcript:Potri.010G229400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229400.v4.1 MEASEEVAANVVKGKRTKRLRVQSPIPYGLTANSSSGDGGTSWSPTSSINEFQDSTEEEEDMANCLILLAKGHSRDFPTQQQHRHQDYDSRGGGADTTKFNSRKFLETVNSTGSGKVGYYVYECKTCNRTFPSFQALGGHRASHKKPKATHNDERKKNLSPSSDEELDGHYKNVSSLCLQLSDNNANRGTFSNHNKGKIHECSVCGAEFTSGQALGGHMRRHRGPLLSSTTTLSLTPLAIESEEPKKARNALSLDLDLNLPAPDDEKFAFASKQQQHQQQQQQQQQSTPLVFSSPALVDCHY >Potri.003G104300.4.v4.1 pep chromosome:Pop_tri_v4:3:12792657:12803417:-1 gene:Potri.003G104300.v4.1 transcript:Potri.003G104300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104300.v4.1 METEADVLEELLNIETEIEDVQDQIKLLLERQEKLHERQSELKFLLEAYKASGTGNSANENASRSSSLEDWSGSFEWDSQADDVRLNIFGIPSYRQNQKEIINAIMSGRDVLVIMAAGGGKSLCYQLPAILRDGVALVISPLLSLIQDQVMGLTALGIPAFMLTSTTSKENEKFIYKALEKGEGELKILYVTPEKISKSKRFMSKLEKCHNAGRLSLISIDEAHCCSQWGHDFRPDYKSLSILKTQFSNVPVVALTATATQKVQYDVMEMLRIPKCVKFVSTVNRPNLFYTVRSKSSVGKVVVDEIAEFIQESYSNSESGIVYCFSRKECEQVAAELRERGIAADYYHADMDVNAREKVHTWWSKNKLQVIVGTVAFGMGINKPDGGYYYIRTNLPVLSLCSDVYFCNSR >Potri.003G104300.2.v4.1 pep chromosome:Pop_tri_v4:3:12792633:12803414:-1 gene:Potri.003G104300.v4.1 transcript:Potri.003G104300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104300.v4.1 METEADVLEELLNIETEIEDVQDQIKLLLERQEKLHERQSELKFLLEAYKASGTGNSANENASRSSSLEDWSGSFEWDSQADDVRLNIFGIPSYRQNQKEIINAIMSGRDVLVIMAAGGGKSLCYQLPAILRDGVALVISPLLSLIQDQVMGLTALGIPAFMLTSTTSKENEKFIYKALEKGEGELKILYVTPEKISKSKRFMSKLEKCHNAGRLSLISIDEAHCCSQWGHDFRPDYKSLSILKTQFSNVPVVALTATATQKVQYDVMEMLRIPKCVKFVSTVNRPNLFYTVRSKSSVGKVVVDEIAEFIQESYSNSESGIVYCFSRKECEQVAAELRERGIAADYYHADMDVNAREKVHTWWSKNKLQVIVGTVAFGMGINKPDVRFVIHHSLSKSMETYYQESGRAGRDGLPSECVLFYRPADVPRQSSMVFYENSGLQNLYDIVRYCQSKRQCRRNAFFRHFAEPLQDCNGMCDNCAFLSEVMEVDVSRHAKVMVSLLQDTQEKDQRLTMLQLVDKMKNKKELGSDIKKEEMEQLVIQLILDFVFKEEYQHTAYATNAYVTIGPLANQVLQGKKIVKLEISSKQKNKGDSMKSAKHSLAFSGLELKLDELREKLSSGHGGIFPHSVLSSQQMSMISSQKPSSAQELEKIIGKLKTEKYGSKILDEIKKYTSSELPDNGMLNEEEGSRNRASKRLKTKKGIVVIESSDEEL >Potri.012G052300.1.v4.1 pep chromosome:Pop_tri_v4:12:4763100:4765898:1 gene:Potri.012G052300.v4.1 transcript:Potri.012G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052300.v4.1 MGSQATAAASYLSCINWVVLNSSQISSLNPSFLPLKAETCHFPLHSDIKQDASSLKYPNLHLLKLRNVRTRATLDESAPAPIRVQEEEDEGPQPQPSEEVKESVKVLKNAAKTRKVAAEVVLSALTVIEKAKLDPSGFLNTLGGSKSPGRTWMLIFTAEKKLDRGRYFPLTAIQRFDAAGKRIENGVYLGPIGFLTFEGRLSWKNRILAFIFERMRIKIGPLNPFEISLGQKEDREPSTKDPFFIWFYIDEELAVARGRSGGTAFWCRCRRVAT >Potri.012G052300.2.v4.1 pep chromosome:Pop_tri_v4:12:4763441:4765738:1 gene:Potri.012G052300.v4.1 transcript:Potri.012G052300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052300.v4.1 MGSQATAAASYLSCINWVVLNSSQISSLNPSFLPLKAETCHFPLHSDIKQDASSLKYPNLHLLKLRNVRTRATLDESAPAPIRVQEEEDEGPQPQPSEEVKESVKVLKNAAKTRKVAAEVVLSALTVIEKAKLDPSGFLNTLGGSKSPGRTWMLIFTAEKLDRGRYFPLTAIQRFDAAGKRIENGVYLGPIGFLTFEGRLSWKNRILAFIFERMRIKIGPLNPFEISLGQKEDREPSTKDPFFIWFYIDEELAVARGRSGGTAFWCRCRRVAT >Potri.001G225500.1.v4.1 pep chromosome:Pop_tri_v4:1:24326885:24328561:1 gene:Potri.001G225500.v4.1 transcript:Potri.001G225500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225500.v4.1 MTVKLVDATISSFGSVFEKFKAEAPKNKVNLILFLADNDPSTNLSWCPDCVRAEPVILKKLEALPDDVALLRAYVGDRPTWRNPQHPWRVDSRFKLKGVPTLISWENDAVKGRLEDYEAHLEHKINALVSGN >Potri.001G195900.1.v4.1 pep chromosome:Pop_tri_v4:1:18673449:18676839:1 gene:Potri.001G195900.v4.1 transcript:Potri.001G195900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G195900.v4.1 MATQEGKDPGIVTTVRLNQNRPLPLRLLQFCLMFLVLGLGISIVSVNMIRFFGVRTGGPAARSNIIFPCFEESDSIEKWIRPPSNLMHKMNDTELFWRASFVPRINQYPIKRVPKIAFMFLTKGPLPLAPLWERFFKGHEGLYSIYVHSLPSYVADLTRFSVFYKRQIPSQVAEWGMMSMCDAERRLLANALLDISNEWFILLSESCIPLHNFGIIYRYISKSRYSFMGVFDDPGPYGRGRYNWNMQPEVTLEQWRKGSQWFEVDRKLAVSVIEDSTYYPKFKDFCRPGCYVDEHYFPTMLSIQFPHLLANRSVTWTDWSRGGAHPATFGNSDITDEFFKRMFEGQSCLYNNQPDNVCFLFARKFSPSALEPLLDLSPKVLGF >Potri.010G197700.5.v4.1 pep chromosome:Pop_tri_v4:10:19095125:19101640:1 gene:Potri.010G197700.v4.1 transcript:Potri.010G197700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197700.v4.1 MINLADPSMSLLAASGGDTVKLFDVSVDPGDPCTLNYTPTPGCVVNSVKWNHTNLVVASAGEDKKISLWRKNGQNMGTIPVSGTDSRDSIEESLSAISFSNKGSRYICSGGSGQVVRIWDLQRKRCIKSLRGHTSTITGAMYNCKDEHLASISLSGDLILHNLASGARATELKDPHEQVLRVLDYSRVSRHLLVTAGDDGSVHLWDITSRSPKVSWLKQHSAPTVGISFSPSNDKIIASVGLDKKLYTYESGSRRHTSLISYEAPFSSLAFRDDGLILAAGTSSGRVVFYDVRGKPQPFTVLHAYGSSEAVTSLCWQRSKPVIVNESTCTPEIALLGGAVDDSILMPDPLPSVTSSSVALSTSVSGSGNTGRSGLSIESSSLTAITSGPASTMSNLTLAEETPHQSHLWPGTLMKLNPRSSYNFKDEMEVFSPLADVQPITPSLDKFWDDQEGLKKDNLSVDKKPSSLLFPSSIRRFPFQEDGINDHPIFDWKSSSTSRQDEARSFTLPGGSTPSPSSKSEDSSITPPEAWGGERLSDSIAHLPQPLNLPSRFAMTSGSSTLGSMFSSLQDLSSSTNQTGTSSLNNSSCSFSNLHTRDVSLNQETSMGFPEHISSSSMSLSLGTKHITELASLEASGPASLNQPRRFSTFAERINTTASFSDGTSLSVVSPKTKKTGVETREELLNSILSRSDALAVTEPGILPAMNVRSLDGFN >Potri.010G197700.4.v4.1 pep chromosome:Pop_tri_v4:10:19094983:19101125:1 gene:Potri.010G197700.v4.1 transcript:Potri.010G197700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197700.v4.1 MINLADPSMSLLAASGGDTVKLFDVSVDPGDPCTLNYTPTPGCVVNSVKWNHTNLVVASAGEDKKISLWRKNGQNMGTIPVSGTDSRDSIEESLSAISFSNKGSRYICSGGSGQVVRIWDLQRKRCIKSLRGHTSTITGAMYNCKDEHLASISLSGDLILHNLASGARATELKDPHEQVLRVLDYSRVSRHLLVTAGDDGSVHLWDITSRSPKVSWLKQHSAPTVGISFSPSNDKIIASVGLDKKLYTYESGSRRHTSLISYEAPFSSLAFRDDGLILAAGTSSGRVVFYDVRGKPQPFTVLHAYGSSEAVTSLCWQRSKPVIVNESTCTPEIALLGGAVDDSILMPDPLPSVTSSSVALSTSVSGSGNTGRSGLSIESSSLTAITSGPASTMSNLTLAEETPHQSHLWPGTLMKLNPRSSYNFKDEMEVFSPLADVQPITPSLDKFWDDQEGLKKDNLSVDKKPSSLLFPSSIRRFPFQEDGINDHPIFDWKSSSTSRQDEARSFTLPGGSTPSPSSKSEDSSITPPEAWGGERLSDSIAHLPQPLNLPSRFAMTSGSSTLGSMFSSLQDLSSSTNQTGTSSLNNSSCSFSNLHTRDVSLNQETSMGFPEHISSSSMSLSLGTKHITELASLEASGPASLNQPRRFSTFAERINTTASFSDGTSLSVVSPKTKKTGVETREELLNSILSRSDALAVTEPGILPAMNGGATQPHKILQPDTQQGSSFTLQLFQRTLEETLDSFQKSIHEDMRSLHIEILRQFHMQEMELSGVMNSILENQAELMKEIKSLGKEN >Potri.005G092000.1.v4.1 pep chromosome:Pop_tri_v4:5:6408656:6411898:-1 gene:Potri.005G092000.v4.1 transcript:Potri.005G092000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092000.v4.1 MASLFNKFQEFVKTLAKSPTFARNPREFQFETDMNRLFLFTSYNRLGKNAEEADAEEIIEMASKASVADQQKQVQENIHFQITNFCSAMNEILLPDLEKRSKKDEAPAQSNAAPRRSGLSFAVGKSGPPIDRPAIPETKQLSRSKVSQSLRGEIGYTLDLKPSLIPHKEAGEGLFLSGEADVGTVIAIYPGVIYSPAYYRYIPGYPRVDAQNPYLITRYDGTVINALPWGSGGESREVWDGLTVPEIRSNVQSVEKGQDRVWRMLSKPLEGTRVGSIGDVLERRNPLALAHFANHPAKGMDPNVMICPYDFPLTEKDMRTFIPNVSFGNHEEVNMRRFGSFWFKSSAKNSVSDVPVLKTLVLVATRALCNEELLLNYRLSNTKRRPAWYSPVDEEEDRRRWS >Potri.004G134400.1.v4.1 pep chromosome:Pop_tri_v4:4:15595944:15599484:1 gene:Potri.004G134400.v4.1 transcript:Potri.004G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134400.v4.1 MSQRKPCKYFAQGACWRGDHCKFVHERKEPQPLSRNVCTFYQKGNCTYGSRCKYEHVKTSWVASGGAAGASSSSSPHQSVILSSVPASVGSSRDGKKEDRPLCSFDVAGDCPHGDKCSFVHGDLCPTCGKHSLHPFQADEREEHLKSCEKNQKFLVAVKRSEEIECSVCLDRVLSKPTAAERKFAVLPECNHPFCISCIRNWRNGSPASGMALRACPICRKTSHFVIPSLIWYSSKEEKQEIIDTYKARLRQIDCKHFNFGNGNCPFGINCFYKHTVMPGSYTWKHHKPPPRRPPPRRRSNAGHADSSFDYFGPTMEDLLDMFDDDYLDYDNYCDPFHEEYQLDIFDYEDYFDEERLSPFDMALLLAGMDCGGASDISSDEDDFY >Potri.004G038500.1.v4.1 pep chromosome:Pop_tri_v4:4:3077983:3079083:-1 gene:Potri.004G038500.v4.1 transcript:Potri.004G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038500.v4.1 MAHISRFSTLAFSPTTFRTSSFCPSSPKVSKYHSTASAVSSKATNDRDPRNPTTRRDVILGLGGLYYASSILSDAYVNDAKPVSAVEKTRRGIRDFDHGKVAQAAETKNMVLTPISAFPLDLDKVISTEVSRPKKSRSKKEKEDEEEVLVIEGVDFERGEFVKFDVYVNDEGDQSLRGPDKAEFAGSFVNVPHRSRTERKARLTLAISELLDNLEAEGDDSLVVTLVPRSGKNPVNIGGVKIEYTKE >Potri.004G020900.1.v4.1 pep chromosome:Pop_tri_v4:4:1498612:1502253:-1 gene:Potri.004G020900.v4.1 transcript:Potri.004G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020900.v4.1 MSLNRANPTTSMKWRIQIRQNQLVFQISSILLQRHNWVSLLQNFNLSTKLTPPLFNQILHKTQTNPQISLRFFNWVQTNLKLKPDLKSQCHIINICVNSGLTLPVRPIMDSLVKTHHVSVLGEAMVDSCRGKSLKSDAFSFVLECYSHKGLFMESLEMFRKMRGNGFIASGTACNSVLDVLQRENEIKLAWCFYCAMIKDGVLPDKLTWSLIAQILCKDGNFERIVKFLDMGVYNSVLYNGVIDCCSKRGDFEAAFERLNQMCERKLDPGFSTYSAILDGACKHGNEEVIERVMDIMAEKGLLPKCPLSQCDSVIQKFSDLCKMNVATMFFRRACDEKIGLQDATYGCMLKALSKEARVKEAIGLYSLISEKGIRVKDSTYHAFLDLLSEEDQYEEGYEILGDMMRRGFRPGTVGLSKFILLLSRKRRWREVEDLLDLVLEKGLLPDSLCCCSLVEHYCSRRQIDKAVALHNKMEKLQASLDVATYNILLDGLVKNGRIEEVVRVFDYMKGLKLVNSESFTITIRGLCRAKEMRKAMKLHDEMLDMGLKPDKAAYKRLILEFNK >Potri.014G071100.3.v4.1 pep chromosome:Pop_tri_v4:14:4532992:4535511:1 gene:Potri.014G071100.v4.1 transcript:Potri.014G071100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071100.v4.1 MRSFEVKNMTSAEDMKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIANGAEGVVVGGTTGEGQLMSWDEHIMLIGHTVNCFGSSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGMVSHFDSVLPMGPTIIYNVPGRTGQDIPPRVIHTIAQSPNLAGVKECAGNDRVEQYTDKGIVVWSGNDDQCHDARWNHGATGVVSVTSNLVPGLMRRLMFEGKNAELNSKLLPLIDWLFQEPNPIALNTALAQLGVARPVFRLPYVPLPSAQRVEFVNLVKKIGRENFVGEEDVQVLEDDDFILIARLC >Potri.014G071100.1.v4.1 pep chromosome:Pop_tri_v4:14:4533590:4535566:1 gene:Potri.014G071100.v4.1 transcript:Potri.014G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071100.v4.1 MKSYSVCLRESALQLPRHNCVDNYKRRSGKWRPPQAAAKPNLHLPMRSFEVKNMTSAEDMKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIANGAEGVVVGGTTGEGQLMSWDEHIMLIGHTVNCFGSSIKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGMVSHFDSVLPMGPTIIYNVPGRTGQDIPPRVIHTIAQSPNLAGVKECAGNDRVEQYTDKGIVVWSGNDDQCHDARWNHGATGVVSVTSNLVPGLMRRLMFEGKNAELNSKLLPLIDWLFQEPNPIALNTALAQLGVARPVFRLPYVPLPSAQRVEFVNLVKKIGRENFVGEEDVQVLEDDDFILIARLC >Potri.010G030000.1.v4.1 pep chromosome:Pop_tri_v4:10:4418328:4423375:-1 gene:Potri.010G030000.v4.1 transcript:Potri.010G030000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030000.v4.1 MHHLLLPLFFFTMPPSSSFTPKPHKSSSSNTANTNSNPNSTSLLCKHSPSATLDLLILILVLFSGTFLLTSYFSYIFNSLSLLLSNSSLSLHFPPFSYILGFFSLFILSILFFEFCCGPRSRKCHQPGCKGFKKAIEFDLQLQTEDCLKSTAANDVDKLPWKGGTVSNPDYECLRAELRKMAPPNGRAVLLFRSKCGCPVAKLEGWGPKRGRRHKRALASVAANGGDHR >Potri.013G058200.1.v4.1 pep chromosome:Pop_tri_v4:13:4248118:4248511:-1 gene:Potri.013G058200.v4.1 transcript:Potri.013G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058200.v4.1 MCPLRFILVFFSAVLAGYFAWRTVRSSPEIDDFVSDDSTAEKTSLREKQEFNFKRIIQNGFWVFVDMASGRYLWRNFKEMKKDETLKSL >Potri.016G078200.1.v4.1 pep chromosome:Pop_tri_v4:16:5919259:5923873:1 gene:Potri.016G078200.v4.1 transcript:Potri.016G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078200.v4.1 MTEVLQSSPSHHFPSPSSSTSTPCVVSTNDVDIPHQHQPQHRPHNRPHEILDQEEESKEREREGDQVSIVELLVAAFRRSIVGCSVTASTGSKDLCRMEIGVPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNSVPTILLMMQRQLYAQGGLQAEGIFRITAGNSQEEYVRDQLNKGVIPEGIDVHCLAGLIKAWFRELPTGVLDSLSPEQVMQCQSEEECAQLARLLPPTEAALLDWAINLMADVAQMEHLNKMNARNVAMVFAPNMTQMSDPLTALMYAVQVMNFLKNLIIRTLREREDSVIESAPASRLEPTDENGHQNASQPSCEEDEDATEENEWEKSFVAGEPALESPSQPIQDDSNSVDGSASFLSSIENIPVGKRSLVDNCPCEVASQVNAFKNEHHEGGLTYKTGGVQAKSCKSQTGQSSTSYFKRGKVKEQPIVRAAGPIEKGKGTGIVGRINPKTELFEAWR >Potri.004G004200.2.v4.1 pep chromosome:Pop_tri_v4:4:258257:263041:1 gene:Potri.004G004200.v4.1 transcript:Potri.004G004200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G004200.v4.1 MASRNKKPAKPSSSRAGGIRTLSDLNRRSGLDSDSDDEDAPQEYYTGGEKSGMLVQDPTKGNDVDAIFNQARQLGAVEGPLENLNQSSSSRSFTGTGRLLSGETVPSAPQQPEAVVHNIVFWTNGFTVDDGPLRRLDDPENASFLESIRKSECPKELEPSDRRSSVHVNLIRRDQKCPEPEKQRHAAFQGIGRTLGSSSASPASEPPADSAPLSSAPAPLMGLVVDETLPSTSIQLRLADGTRMVAHFNNSNTVNDIRSFIDASRPGGARNYQLQLMGFPPKLLTEPTQTIEQAGLSNSVVIQKF >Potri.013G123800.1.v4.1 pep chromosome:Pop_tri_v4:13:13241253:13243319:-1 gene:Potri.013G123800.v4.1 transcript:Potri.013G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123800.v4.1 MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIAKKWISELRHYAPTVPVVLVGTKLDLRNDKQYLIDHPGATPITTAQGEELKKMIGAAVYIECSSKTQQNVKAVFDAAIKVVLQPPRPKKRRQKRRPPCVFL >Potri.003G025800.2.v4.1 pep chromosome:Pop_tri_v4:3:42393:54681:1 gene:Potri.003G025800.v4.1 transcript:Potri.003G025800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025800.v4.1 MEGPIPSAISLLKKLTILRISDLKGSNSTFPDLKDMTKMEKLILRNCSLTGSIQEYLGNMADLDTLDLSFNKLTGQIPGPLESLKKNIKFMFLNNNLLTGEVPAWILGSTKDLDLSYNNFNGSAEQSCQQLPVNLVASHVSTGSNKISWCLNKDLVCTRKPQYHSLFINCGGSSETVDDNEYEDDTTPGGAADFASISERWGYSSTGTYIGTDNGAYKATNSFGLNVTGEGFYQTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVEANFNIMEEAGGVGIGITKVFDGIIVNGSTLEIHLYWSGKGTTAVPERGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCVLAALVLLVLRKKGYLGGKDLEDKELRALDLQTGYFSLRQIKHATNNFDPANKIGEGGFGPVYKGMLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLTYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKIEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNPDARFKAFELLSHDSQTHVSNGSESSQIQKSISMDGPWIDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.014G136466.1.v4.1 pep chromosome:Pop_tri_v4:14:9270155:9272702:1 gene:Potri.014G136466.v4.1 transcript:Potri.014G136466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136466.v4.1 MFNLLLLTITTFLITLSLVQSQAQNNTAPCPLDFDVLQNLISAGSNRIPTSDINQQCQYIRQGLRLVQSHYLQINNSFLPPLDSAESCWSTYQVLIDGFLPNFDIRSSCGFNTSWISQGCMNISTRSQFEAIVPVSALNDVASNCNQSLQNNSPCAACTTSLSTLQARYLTGSSIGNVSDCQAYPSIYAAAFTNRYGPTDKGTAKCLFFLDFSSKKSSKKRSIVLILGVLLGGGVGLVVLIGLCWIFHKRKKRADKFGRVLETELGSGLESISQSTSLVKYTFDEIRKATRNFSRDNIIGRGGYGNVYKGELPDGSLVAFKRFKNCSAAGDSSFAHEVEVIASVRHVNLVALRGYCTATSPFEGHQRIIVCDLIKNGTLHDHLFGSCAEKLSWPIRQKIALGTARGLAYLHYGAQPSIIHRDIKASNILLDESFEPKVADFGLAKFTPEGMTHLSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVVLLELLSGKKALMVDHEGQPSIVTDWAWSLVREGRTLDVIEDGMPESGSQEILEKYVLVAVLCSHPQLYARPTMDQVVKMLETDLSIPSIPERSISLVAEMDDIERTASRSASGQLSSPAGYQHFTFENDSPSIRKEEGSSFSLVAEMDEIERTASRSASGQLSSPAGYQHFTFENDRPSIRKEEGSSSDSRAIE >Potri.019G026740.1.v4.1 pep chromosome:Pop_tri_v4:19:3852842:3854294:1 gene:Potri.019G026740.v4.1 transcript:Potri.019G026740.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026740.v4.1 MEQTRRAAVAYYEHLPAKTKKLARKTFNAMDKNGDGQISLREYVDYLKKNKATDFTHQSLFTALDKDGNGSLDFEEAIVLYYIMQSGRAISCQSCKTLLAGAYFSCSQCFFNVSDSTYEICCACYGGKNYTHHGDAIFCDNYTLLRQSRSVIQEAPIKKRRKVLKSHKKRLLVAEITVGLAGITVGVADLASKFYCSIM >Potri.014G109700.1.v4.1 pep chromosome:Pop_tri_v4:14:7336859:7340404:1 gene:Potri.014G109700.v4.1 transcript:Potri.014G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109700.v4.1 MDHNNNNPYLQFAPVPPATSNGHGTNGNRSMGKICDALNRCGKRVEVATRKAEVYADNIWHHFKVSPSLTDAAMARISQGTKVLTEGGHDKVFQQTFEVLPGEKFLNAYACYISTSTGPVIGTLYISSKKVAFCSEHPFCYYSPTGQQQWMYYKVVVQPDRLRAVNPSSNRMNPSDKYIQVVTTDGHEFWFMGFISYDKALKQLCETLQQSRDSSGVPVAHSA >Potri.005G135500.1.v4.1 pep chromosome:Pop_tri_v4:5:10478422:10482800:1 gene:Potri.005G135500.v4.1 transcript:Potri.005G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G135500.v4.1 MAKPRCYLDISIGGELEGRIVVELYKDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGGRFHRVVRGFMIQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANMGPDTNGSQFFITTTRTSHLDGKHVVFGKVTKGMGVVRSIEHVVTEGGDSPSQETVIVDCGEIPEGEDDGISDFFKDGDTYPDWPADLDMKPDEISWWMKAVDSIKAFGNEQYKKQDCKMALRKYRKALRYLDVCWEKEDIDEEKSSSLRKTKSQIFTNSSACKLKLGDIKGALLDTDFAMRDGEDNAKAFFRQGQAYMALNDIDAAVASFKKALDLEPNDGGIKKELASARKKIADRHDQEKRAYARMFQ >Potri.001G099300.1.v4.1 pep chromosome:Pop_tri_v4:1:7908104:7911419:1 gene:Potri.001G099300.v4.1 transcript:Potri.001G099300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099300.v4.1 MAIATATNLYTSYSPITTKTRNNKSVSIPLQPGAYISRHVKFAVPIQKRTTLSISAASTTAIQVDGPTTSSKASKTLPFRVGHGFDLHRLEPGYPLIIGGIDVPHDRGCEAHSDGDVLLHCVVDAVLGALGLPDIGQIFPDSDPKWKGAPSSVFIKEAVRLMHEAGYEIGNLDATLILQRPKLSPHKEAIRANLSELLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMKK >Potri.014G060700.1.v4.1 pep chromosome:Pop_tri_v4:14:3911014:3915992:1 gene:Potri.014G060700.v4.1 transcript:Potri.014G060700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060700.v4.1 MAKRDLSGSEPDESENSSSEEEGEEVGKLKGITEYEKQRLSRIAENKARMEALGLNKMATSLMGSVQKSRQRKGKQKFVDDEEYRPIDETASDDDGDDFDDEDFVGGQSSSKSRRSKDKRKSSKPKKIPVQKHVSGADYIYGDDDELMQAIALSLHDSANDATPKERKVDAQVQEDAGRKKRKKAFSSRVQMTEDDLILHFFQFDEAGKGAITMRDLRRVAIAHDFTWTDKELADMIHCFDGDGDGKLSLDDFRKIAGRCNMIQSSENQ >Potri.014G060700.4.v4.1 pep chromosome:Pop_tri_v4:14:3911553:3915731:1 gene:Potri.014G060700.v4.1 transcript:Potri.014G060700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060700.v4.1 MAKRDLSGSEPDESENSSSEEEGEEVGKLKGITEYEKQRLSRIAENKARMEALGLNKMATSLMGSVQKSRQRKGKQKFVDDEEYRPIDETASDDDGDDFDDEDFVGGQSSSKSRRSKDKRKSSKPKKIPVQKHVSGADYIYGDDDELMQAIALSLHDSANDATPKERKVDAQVQEDAGRKKRKKAFSSRVQMTEDDLILHFFQFDEAGKGAITMRDLRRVAIAHDFTWTDKELADMIHCFDGDGDGKAQGLFPNAVALFECFSLEL >Potri.010G134100.3.v4.1 pep chromosome:Pop_tri_v4:10:14995701:14999856:1 gene:Potri.010G134100.v4.1 transcript:Potri.010G134100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134100.v4.1 MVARKKNALFCCVGLLICLWNTAYGELTATGVNFEVEALMGIKASLHDPHDVLKWDEHSVDPCSWIMVTCSTDGFVTTLGAPSQSLSGTLSPSIGNLTNLQSLLLQDNNISGHIPAELGKLPKLKTIDLSSNNFSGQIPSTLSNLNSLHYLRLNNNSLNGAIPASLANMTQLTFLDLSYNNLNTPVPPVHAKTFNIVGNTLICGTEQGCAGTTPVPQSLAVHNSQNSQPSGNSKSHKIALAFGSSLGCICLLVLGFGFILWWRQRHNQQIFFDINEQHHEELNLGNLRRFQFKELQIATSNFSSKNLIGKGGFGNVYKGHLQDGTVVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGLCMTTTERLLVYPYMSNGSVATRLKAKPVLDWGTRKRVALGAGRGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRALEFGKSTNQKGALLDWVKKIHQEKKLELLVDKDLKNNYDPIELDETVQVALLCTQNLPSHRPKMSEVVRMLEGDGLAEKWEASQRAEATRTRTIEFSSSERYSDLTDDSSLLVQAMELSGPR >Potri.001G466900.1.v4.1 pep chromosome:Pop_tri_v4:1:49184953:49188776:-1 gene:Potri.001G466900.v4.1 transcript:Potri.001G466900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466900.v4.1 MNNYGESNGDLNVHEKQVEGRDHGENGDGSGNGVILGIDGGTTSTVCVCIPIFPSSNTLPKPLLVLGRAVSGCSNHNSVGETAARDTLEEVMAEALSKSGSNWSTVHAVCLGVSGVNHPTDQERILNWLREIFPSHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGCISYGFAEDGREARASGAGPVLGDWGSGYGIAAKALTAVIRAHDGRGPQTLLTNKILKALCLSSPDELIGWTYADPSWARIAALVPEVVSCAEACDQVATKILVDAVQDLALSVKAVVQRLGLCGEDGNGFFPVVMVGGVLEANRTWDIGKEVVKCIQEQFPGAHPIRPQVEPAVGAALLAWNFLMKESTENCHS >Potri.001G466900.3.v4.1 pep chromosome:Pop_tri_v4:1:49185086:49188807:-1 gene:Potri.001G466900.v4.1 transcript:Potri.001G466900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466900.v4.1 MHYSWITCSESDDYFYREIFPSHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGCISYGFAEDGREARASGAGPVLGDWGSGYGIAAKALTAVIRAHDGRGPQTLLTNKILKALCLSSPDELIGWTYADPSWARIAALVPEVVSCAEACDQVATKILVDAVQDLALSVKAVVQRLGLCGEDGNGFFPVVMVGGVLEANRTWDIGKEVVKCIQEQFPGAHPIRPQVEPAVGAALLAWNFLMKESTENCHS >Potri.019G126800.1.v4.1 pep chromosome:Pop_tri_v4:19:15005513:15007176:1 gene:Potri.019G126800.v4.1 transcript:Potri.019G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126800.v4.1 MFSREQEREAMFKKLQLLRSITNSHAHDKASVILDASKYIKDLKQRVERLNQDIATAASFTGENFPTIRVEEQEDDFLIKVFTTRNCQGLLVFILEAFEELSLEVLQARVSTSDSFILEAIATRENKEAGDHIDAQVVEQVVLQGIQKWIEVSEQE >Potri.004G043900.1.v4.1 pep chromosome:Pop_tri_v4:4:3455622:3464742:1 gene:Potri.004G043900.v4.1 transcript:Potri.004G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043900.v4.1 MASRFGFQSTTMIVTNTPAADLALTNLAYCSPSDLHNFAVPGTKLFLALVADSSVLSFSPHENIRTGQIALNAIQRRHAKVSSGDTVSVSRFIPPEDFNLALLTLELEFVKKGTRNEQIDAVILANQLRKRFANQVMTSGQRATFEYHGNNYIFTVTQATVEGREDSNDVERGMISSDTYIVFEASNSSGIKIVNQREAASSNIFRHKEFNLQSLGIGGLGAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGREPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTNGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLAPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQLSLDDLTKPVDEESIKVTMDDFLHALHEIVPAFGASTDDLERCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPMVTCLLEGPSGSGKTALAATVGIDSDFPYVKIISAETMIGLHESTKCAQIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNIISQTLMVLLKRLPPKGKKLLVLGTTSEVSFLDSVGICDAFSVTYHLPTLKADDAKKVLEQLNVFAEDDVSAAAEALNDMTIKKLYMLIEMAAQGEQGGAAEAIYSGKEKIKIAHFYDCLQDIVRF >Potri.001G278500.1.v4.1 pep chromosome:Pop_tri_v4:1:29184123:29186429:1 gene:Potri.001G278500.v4.1 transcript:Potri.001G278500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278500.v4.1 MSCSVAVSNSPVFSPSANLFCSKTSVSIPSSPADTIALTLTHLKSTTQSPSSSSTTNSCSSPSSLSPCSPFRFRLQKPPSGLSSSSLASASASGSAATVLKRKRPMRLDIPVVMGFGGGPATSRAGEEAEVEREGYGYSVYCKRGRREAMEDRFSAVVDLEGDPKQAFFGIFDGHGGAKAAEFAAGNLDKNILDEVVSRDEKEIEDAVKHGYLNTDAQFLKEDLRGGSCCVTALIRKGNLVVSNAGDCRAVMSRGGVAEALTTDHRPSREDEKDRIESMGGYVDLIHGTWRIQGCLAVSRGIGDRDLKQWVIAEPDTKIVTIKPEDEFLILASDGLWDKVGNQEAVDLARSLCIGVEKADPLSACKKLADLSVSRGSCDDISVMLIHLGRYV >Potri.014G145900.1.v4.1 pep chromosome:Pop_tri_v4:14:9974843:9978661:1 gene:Potri.014G145900.v4.1 transcript:Potri.014G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145900.v4.1 MGSTQSAQAAQDDGEESEEEEEEDKDDDEEEEEEELEQPNAREITDSNNNLVKKVLQQEPEMLPCYASASPLSPQLSSLGTPRLGPSIKVWDPYNVLAPPPPPPPSLPIFSSSSDEVGVLEVFLISHGECELNLRPDLVGGRCHVSALTPNGQRQARALAVFFNSQGVSFHSVYSSPLNRARSMAVSVCQEMNFAKEQIQSSDALMDLSMGLWEGCSLSDIYTPEVQSLLENLQPDFCAPSGESIRQVEFRMVQFLNRTVLGMPEKLGSDFLLHHQNESHGFSHDRDGPSLPPPSNWDMQHMLHRHRQGLARKKSGKSRLQFVTTTGNHEGEDEISLREASHQHTIHDLNIRNSSSPVSSCVGVFTHSIPIKCLLTGILGCSPVMMRKICIEDSSVTVLQHSCKTGWQIKRLNDTAHLRLL >Potri.017G013601.1.v4.1 pep chromosome:Pop_tri_v4:17:950739:953569:1 gene:Potri.017G013601.v4.1 transcript:Potri.017G013601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013601.v4.1 MDSLRQQSLTPSFLYSSSSSAKPFSLSNLLHSEQPSLSPSSSSSTTTMDSKSREHESSRRVKSELLVQVDGVNNIPTCEDGHRKIVMVLAATNFSWDIDEALRLHIYIPFPNFESRKELIRINLKTIEVATDVDIDEVARRTEGYSEDALTNITSKARDEIKNMPKDEISNDPVAMCDFEEALWKVQRSISQADMEKQGGWFPEFGSA >Potri.006G058800.4.v4.1 pep chromosome:Pop_tri_v4:6:4137495:4143624:-1 gene:Potri.006G058800.v4.1 transcript:Potri.006G058800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058800.v4.1 MPFMGFSRALGGGIVEEQQQLESKLFEGSFMATTATNNKVINHSTHLFEQQQQLQNHHQQQQNHQQQQQQQHDPRHLQEQHHHQIPYGIMQSSSSSSIPGNFMSKDAGAYDLGELDQALFLYLDGQDPSTVNQDQRQSGAGMRPPTLNIFPSQPMHVEPSKANTTSATGLVSSATGGSKKSSEPSMELANTRNVSAPGREPAKAIKREGKRKGPTTSSSEQEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARAQGIFLCGGGEQGLPVGIANINSDAAFFDMEYARWLEEHHRLMCELRAAVQEHIPENELRLFVDNCLAHYDEMMNLKIMVAKTDVFHLVSGMWKTPAERCFMWMGGFRPSELIKIIVGQIEPLTEQQILGICGLQQSTQENEDALSQGLEALNQSLSDTIASESLSYPPNMANYMGQMAVAMNKLSTLEGFVRQADNLRHQTIHRLHQLLTTRQAARSLLAIAEYFHRLRALSSLWLARPRRE >Potri.003G200080.1.v4.1 pep chromosome:Pop_tri_v4:3:20050781:20057345:1 gene:Potri.003G200080.v4.1 transcript:Potri.003G200080.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200080.v4.1 MLSTLGRLRVLSLSRCASAAKMLCSTSKLKHLRYLDLSRSDLVTLPEEVSSLLNLQTLILVNCHELFSLPDLGNLKHLRHLNLEGTRIKRLPESLDRLINLRYLNIKYTPLKEMPPHIGQLAKLQTLTAFLVGRQEPTIKELGKLRHLRGELHIGNLQNVVDAWDAVKANLKGKRHLDELRFTWGGDTHDPQHVTSTLEKLEPNRNVKDLQIDGYGGVRFPEWVGKSSFSNIVSLKLSRCTNCTSLPPLGQLASLKRLSIEAFDRVETVSSEFYGNCTAMKKPFESLQTLSFRRMPEWREWISDEGSREAFPLLEVLLIKECPKLAMALPSHHLPRVTRLTISGCEQLATPLPRFPRLHSLSVSGFHSLESLPEEIEQMGWSLSDLGEITIKGWAALKCIPLDLFPNLNTLSVSNCPDL >Potri.010G117500.2.v4.1 pep chromosome:Pop_tri_v4:10:13633047:13643056:-1 gene:Potri.010G117500.v4.1 transcript:Potri.010G117500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117500.v4.1 MELNGQTRVRRKDHFAHTNGDLALPSVGDVDPWTAWAYKPRTISLLLIGACFLIWASGALDPESCTSGDVVTSVKRGIWAMTAVFLGYCLLQAPSTVLIRPHPAIWRLVHGLAIVYLVALTFLLFQKRDDARQFMKFLHPDLGIELPERSYGADCRIYVPENPTSKFKNVLDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVGYFDGKTYEWVGISRQPNIMSKVKRTLEQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWVPPRNPVIVYRLILWWLIAIPTTREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLYPKPMPAWLVIFWTSVGVSLVIFLIMWSWKSLGRKRR >Potri.010G117500.3.v4.1 pep chromosome:Pop_tri_v4:10:13633220:13643023:-1 gene:Potri.010G117500.v4.1 transcript:Potri.010G117500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117500.v4.1 MELNGQTRVRRKDHFAHTNGDLALPSVGDVDPWTAWAYKPRTISLLLIGACFLIWASGALDPESCTSGDVVTSVKRGIWAMTAVFLGYCLLQAPSTVLIRPHPAIWRLVHGLAIVYLVALTFLLFQKRDDARQFMKFLHPDLGIELPERSYGADCRIYVPENPTSKFKNVLDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVGYFDGKTYEWVGISRQPNIMSKVKRTLEQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWVPPRNPVIVYRLILWWLIAIPTTREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLYPKPMPAWLVIFWTSVGVSLVIFLIMWSWKSLGRKRR >Potri.010G117500.6.v4.1 pep chromosome:Pop_tri_v4:10:13633225:13643004:-1 gene:Potri.010G117500.v4.1 transcript:Potri.010G117500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117500.v4.1 MELNGQTRVRRKDHFAHTNGDLALPSVGDVDPWTAWAYKPRTISLLLIGACFLIWASGALDPESCTSGDVVTSVKRGIWAMTAVFLGYCLLQAPSTVLIRPHPAIWRLVHGLAIVYLVALTFLLFQKRDDARQFMKFLHPDLGIELPERSYGADCRIYVPENPTSKFKNVLDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVGYFDGKTYEWVGISRQPNIMSKVKRTLEQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWVPPRNPVIVYRLILWWLIAIPTTREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLYPKPMPAWLVIFWTSVGVSLVIFLIMWSWKSLGRKRR >Potri.010G117500.5.v4.1 pep chromosome:Pop_tri_v4:10:13633222:13643141:-1 gene:Potri.010G117500.v4.1 transcript:Potri.010G117500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117500.v4.1 MELNGQTRVRRKDHFAHTNGDLALPSVGDVDPWTAWAYKPRTISLLLIGACFLIWASGALDPESCTSGDVVTSVKRGIWAMTAVFLGYCLLQAPSTVLIRPHPAIWRLVHGLAIVYLVALTFLLFQKRDDARQFMKFLHPDLGIELPERSYGADCRIYVPENPTSKFKNVLDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIILDILICNWFGIWAGMHTVGYFDGKTYEWVGISRQPNIMSKVKRTLEQFTPAQWDKDEWHPLLGPWRFIQVLSLCIVFLTVELNTFFLKFCLWVPPRNPVIVYRLILWWLIAIPTTREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLYPKPMPAWLVIFWTSVGVSLVIFLIMWSWKSLGRKRR >Potri.006G123200.3.v4.1 pep chromosome:Pop_tri_v4:6:9802179:9804169:-1 gene:Potri.006G123200.v4.1 transcript:Potri.006G123200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:METK4 MIIRSSFNMDTFLFTSESVNEGHPDKLCDQVSDAILDACLAQDPESKVACETCSKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNEGGAMVPIRVHTILISTQHDENVTNEQIAADLKEHVIKPVIPVQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDRDILELIKENFDFRPGMIAINLDLMRGGNSRYQKTAAYGHFGRDDPDFTWETVKLLKPNA >Potri.006G123200.1.v4.1 pep chromosome:Pop_tri_v4:6:9802181:9804278:-1 gene:Potri.006G123200.v4.1 transcript:Potri.006G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:METK4 MDTFLFTSESVNEGHPDKLCDQVSDAILDACLAQDPESKVACETCSKTNMVMVFGEITTKANVDYEKIVRDTCRGIGFTSADVGLDADNCKVLVNIEQQSPDIAQGVHGHLTKKPEEIGAGDQGHMFGYATDETPELMPLTHVLATKLGAKLTEVRKNKTCPWLRPDGKTQVTVEYKNEGGAMVPIRVHTILISTQHDENVTNEQIAADLKEHVIKPVIPVQYLDDKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSVVASGLARRCIVQVSYAIGVPEPLSVFVDTYKTGKIPDRDILELIKENFDFRPGMIAINLDLMRGGNSRYQKTAAYGHFGRDDPDFTWETVKLLKPNA >Potri.016G025200.2.v4.1 pep chromosome:Pop_tri_v4:16:1411454:1413827:-1 gene:Potri.016G025200.v4.1 transcript:Potri.016G025200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025200.v4.1 MDPPSTPKSRRLYQIWKGNNKFLCGGRAVFGPDASSLFLTTFLIGGPAIAFCIKMLLLIIRNDDPQYDYPVLVGGMVLTIMDFLFLFLTSGRDPGIIPRNSQPPESDESVGVVTQSMEWVNNKIADLKLHRTKDIVVNGHSIKLKFCDTCLLYRPPRASHCSICNNCIQKFDHHCPWVGQCIGLRNYPYFIGFISTSTTLCIYVFVFSWFNVLRQQGTLWSIMSHDVLSVVLIAYCFVAVWFVGGLTLFHVYLISTNQTTYENFRYRYDKKENPFTRGILKNCKQVFFSKIPASAINFREWVSEDDDSLIGSSDINGNFIGKGKFDIEKGGKFGKDGAIHLPSVLQNLDYGSLNDNLKKKGEGKAAFDPFFFPADQEQTNLPQTSRDKSSAAEDKRKQ >Potri.004G047600.2.v4.1 pep chromosome:Pop_tri_v4:4:3801149:3802535:-1 gene:Potri.004G047600.v4.1 transcript:Potri.004G047600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047600.v4.1 MAPREKTAAVKASGGGIVGGGGGGKEVHFRGVRKRPWGRYAAEIRDPSKKSRVWLGTFDTAEEAARAYDTAAREFRGSKAKTNFPYPSCENVKKNVLSIDSNKKAASKNNSGGGGGNNQSPSQSSTVEFCSSDAPLDLNLGPAVSTVRLPFQPMAMNQQQVVYFDAFMKSQYRRMVFDHGYHHHPNQPVIFSCGGVLSDSDSSSVVDLNHQDVKTPRRSVDLDLNLPPPPEIA >Potri.017G017901.1.v4.1 pep chromosome:Pop_tri_v4:17:1309273:1312906:-1 gene:Potri.017G017901.v4.1 transcript:Potri.017G017901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017901.v4.1 MILITFENAKGVALTNGIDTFQVIGSRFISVNSGGSDSEDDWDSAVGGTFGESGRESDDLGWDSASSWSTGLTKDHFDGVASIEDRIHELEAENKRSEAILKGWDRRIDELSVLMKQIREPGAGGSYLKDSEKSEMYRLHKEIPGVYTVETLAKDYSIMRQRVHAILWLKEIEEKEEKKLGHPLDVSTELLLDNFAETWCLYTLHGFILCLSKDTLMHILVIKTAAHKAELNRENRLSLTMGTGVIKLRILLSQIPLPCKPDFKVMSEACCGTIKDLDGVHYEISKEDEMLYQEYVQKMNFNKMKQRRPSEGWKFTVEKLGEKGRRGGGGWKSVSLPDGPSWPLDESKKMYVRREIPRRRHKIVP >Potri.003G078800.2.v4.1 pep chromosome:Pop_tri_v4:3:10582603:10589877:-1 gene:Potri.003G078800.v4.1 transcript:Potri.003G078800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078800.v4.1 MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRLPIVTEQEPKLETKVEDTLPEKGSFMGADSSSMRYTEDNEWDEFGNDLYSIPDVLPVQSSNPPPDVAPTNKADEDDRIKALIDTPALDWQRQGADGFGAGRGFGRGVAGRMGGRGFGLERKTPPQGYVCHRCKIPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEREIEGLPSTRPVGDLPPELHCPLCKEVMKDAVLTSKCCFTSFCDKCIRDYIISKSKCVCGVSNVLADDLLPNKTLRDTINRILESGNSSAENVGSAFQVQDMESARCPPPKIPSPTQSAASKGEHKPSPVNEESPILNKAIAEEEKPLIASQQVPEKVRTAKAVDVSEATHESISVKEPASQGSAPLAEEEVQQKLAPGEAGKKKKKKKVRMPPNDLWKASQDLAAESFMMPMGPSAFNPYWSGMQTGMEGYMAPYPGPMPFMGYGLSPLDMPYGGVMPPDPFGAQSYMMPAVPPQRDLAEFGMNMNLRPPLMSREEFEARKADVRRRRENERRAEREFSRDWDPGREVSGGGDVSSMKSKSIPQSSASGDPHHNRRRSERLSPERSAREIDPLPPRPSKRKSDRHEHGDRDADDFDYHERDRSDRDHRHHHRHRSESSSKAVSETTTKPPSTTVTDRKQKVSVFSRISFPAEGEPTSKKRKVSSSSEAAPAATGGGGPLSSTHHKSSSPVNNGYYDDYKSSSVKTRKSSSSVAATAAMDYESSDDDRHFKRKPSRYEPSPPPPAEWEEDVKHSRRDKDRKHR >Potri.003G078800.1.v4.1 pep chromosome:Pop_tri_v4:3:10582584:10589881:-1 gene:Potri.003G078800.v4.1 transcript:Potri.003G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078800.v4.1 MAVYYKFKSARDYDSIPMDGPFISVGTLKEKIFESKHLGRGTDFDLVVTNAQTNEEYLDEAMLIPKNTSVLIRRVPGRPRLPIVTEQEPKLETKVEDTLPEKGSFMGADSSSMRYTEDNEWDEFGNDLYSIPDVLPVQSSNPPPDVAPTNKADEDDRIKALIDTPALDWQRQGADGFGAGRGFGRGVAGRMGGRGFGRLGLERKTPPQGYVCHRCKIPGHFIQHCPTNGDPNYDIKRVKPPTGIPKSMLMATPDGSYALPSGAVAVLKPNEAAFEREIEGLPSTRPVGDLPPELHCPLCKEVMKDAVLTSKCCFTSFCDKCIRDYIISKSKCVCGVSNVLADDLLPNKTLRDTINRILESGNSSAENVGSAFQVQDMESARCPPPKIPSPTQSAASKGEHKPSPVNEESPILNKAIAEEEKPLIASQQVPEKVRTAKAVDVSEATHESISVKEPASQGSAPLAEEEVQQKLAPGEAGKKKKKKKVRMPPNDLWKASQDLAAESFMMPMGPSAFNPYWSGMQTGMEGYMAPYPGPMPFMGYGLSPLDMPYGGVMPPDPFGAQSYMMPAVPPQRDLAEFGMNMNLRPPLMSREEFEARKADVRRRRENERRAEREFSRDWDPGREVSGGGDVSSMKSKSIPQSSASGDPHHNRRRSERLSPERSAREIDPLPPRPSKRKSDRHEHGDRDADDFDYHERDRSDRDHRHHHRHRSESSSKAVSETTTKPPSTTVTDRKQKVSVFSRISFPAEGEPTSKKRKVSSSSEAAPAATGGGGPLSSTHHKSSSPVNNGYYDDYKSSSVKTRKSSSSVAATAAMDYESSDDDRHFKRKPSRYEPSPPPPAEWEEDVKHSRRDKDRKHR >Potri.019G097901.1.v4.1 pep chromosome:Pop_tri_v4:19:13513924:13516428:-1 gene:Potri.019G097901.v4.1 transcript:Potri.019G097901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097901.v4.1 MTGFAAASYALLEWEKTLQFIAIIGLSQTIYQRVASYNGPEDFKQDVCFLSPVRLGVQAFSWAAGKLENNRIGLPTSPSSSDVQNRVLQAAAKHESQTSKTEVPNPSPESVTPLNEKVDLSEA >Potri.010G008020.1.v4.1 pep chromosome:Pop_tri_v4:10:1631935:1632475:1 gene:Potri.010G008020.v4.1 transcript:Potri.010G008020.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G008020.v4.1 MARQADRLVKIGQEGFAAIDEHFGRAKRRPPVMKVPYAHPTYYYATEVIDSIEAAQRYKGRVYVDYPKGKPVPF >Potri.004G077201.1.v4.1 pep chromosome:Pop_tri_v4:4:6393269:6393412:1 gene:Potri.004G077201.v4.1 transcript:Potri.004G077201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077201.v4.1 MLFEFYVIVFLLQDLQQELSAKFVISIPIISQSI >Potri.001G366600.2.v4.1 pep chromosome:Pop_tri_v4:1:38285666:38287091:-1 gene:Potri.001G366600.v4.1 transcript:Potri.001G366600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366600.v4.1 MFLVLNLFFSLKKLCSKSAGKKNTQHCSKYLQMDSNAQMSCMMRVDVHCDGCKRQMMEVLQNLKGVYSVVLDAEQGTVKVIGKVNPTTILNVFDKYGKHGEVSSLRFDGEVMEQIPYSFYGDNPYNPHEVPYPPIPIPIPYHFFRGPEQQLYGRNPYPMPPPLLGTMPPPPWPPPPQQYPPPPPLPQHQQQQQPSPVSKAPSAPPAPLPPDPKCCTIM >Potri.016G064300.2.v4.1 pep chromosome:Pop_tri_v4:16:4501969:4510032:-1 gene:Potri.016G064300.v4.1 transcript:Potri.016G064300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064300.v4.1 MSPPLTKPTTTSSIFFPSKPPPPLCTFLLLTVLCFIGFFYTLHHSQNPKTTLQHTATLFLSYSSNSTISSYLHSLTLHPHLAGTKPSLDTVNYVFSHFKNLKLETHKKEYQVLLSYPIKSSLSAHFSNGSVVDFNMSEFLDLAESDKIVSPYHAYSPSGTVHAKVVFVNYGREVDYNALGALGVNVSGCVVLVRKGEGLSRGGVVKIAESKGALAVLLYAEDGRVSGGVERGTVMRGVGDPLSPGWAGVEGGERLGLEDSEVLERFPKIPSLPLSFGNADVILGSLGGRTVPPEWRDSGGRSRVLRTGPGPAKVNFTYQGEKKVVTIHNVFAVIRGSEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDIARRYARLMQKGWNPRRTIILGSWDAEEFGMVGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFASATPQLDNLLIEVTKKVKDPEAEGATVYEKWAARNQVNAIQRLGGVDSDFAPFLQHAGIPSIDIYYGRDFPVYHTAFDSYGWMKKYADPLFHRHVAVAGIWGLLALHLADESILPFDYISYVEQLQGHTGVLGKLLDRNVSLHPLVTSIQELASAAKEVEYEVKQLREQERRGDFIDLKLRALNDRLMLAERGFLDVEGLQGRQWFKHLIYGPPSNYESKLDFFPGIADALSQSTSKSQQNRQAAVQHEIWRVGRAIERAASALKGNLT >Potri.016G064300.3.v4.1 pep chromosome:Pop_tri_v4:16:4505460:4510041:-1 gene:Potri.016G064300.v4.1 transcript:Potri.016G064300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064300.v4.1 MSPPLTKPTTTSSIFFPSKPPPPLCTFLLLTVLCFIGFFYTLHHSQNPKTTLQHTATLFLSYSSNSTISSYLHSLTLHPHLAGTKPSLDTVNYVFSHFKNLKLETHKKEYQVLLSYPIKSSLSAHFSNGSVVDFNMSEFLDLAESDKIVSPYHAYSPSGTVHAKVVFVNYGREVDYNALGALGVNVSGCVVLVRKGEGLSRGGVVKIAESKGALAVLLYAEDGRVSGGVERGTVMRGVGDPLSPGWAGVEGGERLGLEDSEVLERFPKIPSLPLSFGNADVILGSLGGRTVPPEWRDSGGRSRVLRTGPGPAKVNFTYQGEKKVVTIHNVFAVIRGSEEPDRYVILGNHRDAWTYGAVDPNSGTAALLDIARRYARLMQKGWNPRRTIILGSWDAEEFGMVGSTEWVEQNLVNLGAKAVAYLNVDCAVQGPGFFASATPQLDNLLIEVTKKVKDPEAEGATVYEKWAARNQVNAVRLNSIFYQMPVFELLKDAASSIIKC >Potri.015G048900.5.v4.1 pep chromosome:Pop_tri_v4:15:5131629:5137874:1 gene:Potri.015G048900.v4.1 transcript:Potri.015G048900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048900.v4.1 MSSSSKSDGDSSFDAEELLQIGTRCRELRKEKDMLRDSQPQSFELIRRLELHVKQLSEARTEDKKHIQKLERELLNCSQEIDYLQDQLNARNSEVYTLGGHVHELELKLANMEHLQANNGQLREELKRCDSEHLLLLQELESKEIELQESALCIGKLEESISSLTLDSQCEIESMKLDMIALEQACFKAKKTQEETIQENARMNGLIKELEFQILEAKETIECVEKENIELRDKLVTSDVNSKLFLQQIEEWLENKDTSQLNTQSCSSEIEHQSNMSKEMREALGPCFSKLATLLGSESNLKEWMESMSHQIRKYEVLVKQLKDELREEKSKAKEEADDLAQEMAELRYQMTGLLEEECKRRACIEQASLQRISELEAQIERERRKFFAAVGHLHEAA >Potri.014G049300.13.v4.1 pep chromosome:Pop_tri_v4:14:3183248:3185840:1 gene:Potri.014G049300.v4.1 transcript:Potri.014G049300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049300.v4.1 MKREGSGRGNWGTPTDELALVTEEAANEGEKNLGDDKPVEEAAADRKKENPANEPEEKEPEDKVMTLEEYEKVLEEKRKALQALKTEERKVDTKEFQSMQQLSNKKENNDVFIKLGSDKDKRKEAYEKDEKAKKSVSINEFLKPAEGERYYGSGSRGRGRGRGARGFGNRDGMSSGFGNRDGMSSVPAPSIEDPGQFPTLGGK >Potri.014G049300.8.v4.1 pep chromosome:Pop_tri_v4:14:3182524:3185842:1 gene:Potri.014G049300.v4.1 transcript:Potri.014G049300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049300.v4.1 MAMINPFDLLVDDAEDPSLIAALKPVVSPPAAAAAKKGPAQTQPKPAPAAKLPSKPLPPSQAVREAKTEGGRGGGRGGGGGRGYGRGRGGYNRDFNNNESSFGNSGAPTVQGAAEDGDTAKTFESRGYGGPRGGGFRGGRRGGFGNEEVGEGERPRRTNERWSGTGRGNEMKREGSGRGNWGTPTDELALVTEEAANEGEKNLGDDKPVEEAAADRKKENPANEPEEKEPEDKVMTLEEYEKVLEEKRKALQALKTEERKVDTKEFQSMQQLSNKKENNDVFIKLGSDKDKRKEAYEKDEKAKKSVSINEFLKPAEGERYYGSGSRGRGRGRGARGFGNRDGMSSGFGNRDGMSSVPAPSIEDPGQFPTLGGK >Potri.014G049300.2.v4.1 pep chromosome:Pop_tri_v4:14:3182518:3185810:1 gene:Potri.014G049300.v4.1 transcript:Potri.014G049300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049300.v4.1 MAMINPFDLLVDDAEDPSLIAALKPVVSPPAAAAAKKGPAQTQPKPAPAAKLPSKPLPPSQAVREAKTEGGRGGGRGGGGGRGYGRGRGGYNRDFNNNESSFGNSGAPTVQGAAEDGDTAKTFESRGYGGPRGGGFRGGRRGGFGNEEVGEGERPRRTNERWSGTGRGNEMKREGSGRGNWGTPTDELALVTEEAANEGEKNLGDDKPVEEAAADRKKENPANEPEEKEPEDKVMTLEEYEKVLEEKRKALQALKTEERKVDTKEFQSMQQLSNKKENNDVFIKLGSDKDKRKEAYEKDEKAKKSVSINEFLKPAEGERYYGSGSRGRGRGRGARGFGNRDGMSSGFGNRDGMSSVPAPSIEDPGQFPTLGGK >Potri.014G049300.12.v4.1 pep chromosome:Pop_tri_v4:14:3182524:3185843:1 gene:Potri.014G049300.v4.1 transcript:Potri.014G049300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049300.v4.1 MAMINPFDLLVDDAEDPSLIAALKPVVSPPAAAAAKKGPAQTQPKPAPAAKLPSKPLPPSQAVREAKTEGGRGGGRGGGGGRGYGRGRGGYNRDFNNNESSFGNSGAPTVQGAAEDGDTAKTFESRGYGGPRGGGFRGGRRGGFGNEEVGEGERPRRTNERWSGTGRGNEMKREGSGRGNWGTPTDELALVTEEAANEGEKNLGDDKPVEEAAADRKKENPANEPEEKEPEDKVMTLEEYEKVLEEKRKALQALKTEERKVDTKEFQSMQQLSNKKENNDVFIKLGSDKDKRKEAYEKDEKAKKSVSINEFLKPAEGERYYGSGSRGRGRGRGARGFGNRDGMSSGFGNRDGMSSVPAPSIEDPGQFPTLGGK >Potri.007G074850.1.v4.1 pep chromosome:Pop_tri_v4:7:9855075:9857476:1 gene:Potri.007G074850.v4.1 transcript:Potri.007G074850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074850.v4.1 MDQVFQFIYILIVPFLLLIFTVLRLWKKSQGNNSSTPPPPPGPWKLPLIGNLHQLLGSLPHQVLRDMANKYGPVMQLQIGEVPTVIISSPEAAKEAIKTHEINFVDRPCLLVAKVMFYNSKDIAFAPYGDYWRQMKKVCVLELLSAKRVKSFRSIREEEVSNFIRTIYSKAGSPINLSKMMFDLLNGITARTSVGKKYKHQEAFLPIIEHVMEAMGGMNIADVFPSSKLLYMISRFRSRLERSHQDADEILENIIYEHRVCREVAKTDEESEAENLLDVLLNLQNHGDLGFPLTTDSIKATILELFTAGSDSSSTLMEWTMSEMLINPRVMRKAQEEVRQVFSNTEDVDETCLHNLEFLKLIIKETLRLHPPAPFIPRECNKTCEINGYVIQAKSKVMINAWAIGRDSDHWTEAEKFYPERFLDSSIDYMGTNFEFIPFGAGKRMCPGILFGIATVELPLAQLLYHFDWKLPNGDLSEDLDMNEVFVGTLRRKHQLNVIPIPFYPSPLQ >Potri.019G002100.1.v4.1 pep chromosome:Pop_tri_v4:19:816667:818309:1 gene:Potri.019G002100.v4.1 transcript:Potri.019G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002100.v4.1 MANMSSLSLVVALAIFAFVFSHAFSTSRRVLEHPKVQNGFRAKLKHVDSGKNLTKFERIQHGVKRGRHRLQRFKAMALVASSNSEIDAPVLPGNGEFLMKLAIGTPPETYSAIMDTGSDLIWTQCKPCTQCFDQPTPIFDPKKSSSFSKLSCSSKLCEALPQSTCSDGCEYLYGYGDYSSTQGMLASETLTFGKVSVPEVAFGCGEDNEGSGFSQGSGLVGLGRGPLSLVSQLKEPKFSYCLTSVDDTKASTLLMGSLASVKASDSEIKTTPLIQNSAQPSFYYLSLEGISVGDTSLPIKKSTFSLQEDGSGGLIIDSGTTITYLEQSAFDLVAKEFTSQINLPVDNSGSTGLEVCFTLPSGSTDIEVPKLVFHFDGADLELPAENYMIADASMGVACLAMGSSSGMSIFGNIQQQNMLVLHDLEKETLSFLPTQCDEL >Potri.019G002100.3.v4.1 pep chromosome:Pop_tri_v4:19:817197:818335:1 gene:Potri.019G002100.v4.1 transcript:Potri.019G002100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002100.v4.1 MKLAIGTPPETYSAIMDTGSDLIWTQCKPCTQCFDQPTPIFDPKKSSSFSKLSCSSKLCEALPQSTCSDGCEYLYGYGDYSSTQGMLASETLTFGKVSVPEVAFGCGEDNEGSGFSQGSGLVGLGRGPLSLVSQLKEPKFSYCLTSVDDTKASTLLMGSLASVKASDSEIKTTPLIQNSAQPSFYYLSLEGISVGDTSLPIKKSTFSLQEDGSGGLIIDSGTTITYLEQSAFDLVAKEFTSQINLPVDNSGSTGLEVCFTLPSGSTDIEVPKLVFHFDGADLELPAENYMIADASMGVACLAMGSSSGMSIFGNIQQQNMLVLHDLEKETLSFLPTQCDEL >Potri.002G179400.6.v4.1 pep chromosome:Pop_tri_v4:2:14091339:14092373:1 gene:Potri.002G179400.v4.1 transcript:Potri.002G179400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179400.v4.1 MSTSELACTYAAAILYDDNIAITAEKIAELVKAANVQIESFWPSLFAKLLEKRNIEDLILNVGSGGGAAVAVAAPAGGAPAAAAPVVEEKKKEEVKEESEDEDMGFSLFD >Potri.008G080300.5.v4.1 pep chromosome:Pop_tri_v4:8:4987413:4994162:-1 gene:Potri.008G080300.v4.1 transcript:Potri.008G080300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080300.v4.1 MSLGVSENTSISALPERPSEPPCAFYMKTGICKFGATCKFHHPKDIQISLAGQGNDDGVQTNSVVDNGGITGDVNVIKALVSVTPALLHNSKGLPMRPGEVDCPFYLKTGSCKYGATCRYNHPERTAINPPAAAIGHPIIAPSMANLNLGVFSPAASIYQTIDPRLSTLGVGPTVYPQRPGQAECDFYMKTGECKFGETCKFHHPIDRSAPTAKQTEPQTVKLTLAGLPRREGAVHCPYYMKTGTCKYGATCKFDHPPPGEVMVFPNLKELLMQKQ >Potri.008G080300.1.v4.1 pep chromosome:Pop_tri_v4:8:4987294:4994212:-1 gene:Potri.008G080300.v4.1 transcript:Potri.008G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080300.v4.1 MAKQLYGYGAPATTSASRSRTDSYLTDSVLTRYLGTGSDPLSSDSSKYSASSSMYLTQSDSALRYSVDRGIASAAAAATSPHLSSWPPPPGVDAPSAVDSLVPGLKRTPEVLYHPTLLGAHSTIGQSEDWYSTNALAKRARFETTSHLPIYPQRPGEKDCAYYMLTRTCKFGDTCKFDHPVWVPEGGIPDWKEVPPIATSETFPDRPGVPDCPYFLKTQRCKYGLNCKFNHPKEKMSLGVSENTSISALPERPSEPPCAFYMKTGICKFGATCKFHHPKDIQISLAGQGNDDGVQTNSVVDNGGITGDVNVIKALVSVTPALLHNSKGLPMRPGEVDCPFYLKTGSCKYGATCRYNHPERTAINPPAAAIGHPIIAPSMANLNLGVFSPAASIYQTIDPRLSTLGVGPTVYPQRPGQAECDFYMKTGECKFGETCKFHHPIDRSAPTAKQTEPQTVKLTLAGLPRREGAVHCPYYMKTGTCKYGATCKFDHPPPGEVMVFPNLKELLMQKQ >Potri.007G052400.18.v4.1 pep chromosome:Pop_tri_v4:7:5205647:5212759:1 gene:Potri.007G052400.v4.1 transcript:Potri.007G052400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052400.v4.1 MKYSYIVLSLNPSYGGRWLSSSSSESTRPEFTGENAYDILGVSESSSLAEIKASFHKLAKQTHPDLAHHSNAFNSHRFIQILAAYEILSDAEKRAHYDSYLLSQRKKVIVPRQGSTLYRYESRMTMNKEMEVVEWLKWYRLAINDILSQREVVAGTGYFDVLEADFYSAIHAAYYGPVIESMDLLPDRFEAEERSVCETPEVLHLVSGRHLFGMVCLADKIPKLSSASTKKLKNAFASFDLGVCKSVEDTCVLKNSNAVDDAGISQMHPGNSVSDKSDAYEDLELYVSGRVVAVATRVPPKNQDDRAVQNEDAQDQIHVFLNSDDDLVHASKGSYKESLLTGAVGARIPLGTIKGMGSSPEEGSCFVYDGCGTKTHVMMKHRTLMVKHMHWYGVGDKVSIVECRCSRARLPPSKFWLFEPRCDMHDIGGWYVETFGRDKKGRTVPSQRYWDGFDGNEQNDKRLHPAMYLLALAYRTLDIEDSKRRKWTVRNLVENQLFRILHWCKKLV >Potri.007G052400.12.v4.1 pep chromosome:Pop_tri_v4:7:5205742:5211281:1 gene:Potri.007G052400.v4.1 transcript:Potri.007G052400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052400.v4.1 MKYSYIVLSLNPSYGGRWLSSSSSESTRPEFTGENAYDILGVSESSSLAEIKASFHKLAKQTHPDLAHHSNAFNSHRFIQILAAYEILSDAEKRAHYDSYLLSQRKKVIVPRQGSTLYRYESRMTMNKEMEVVEWLKWYRLAINDILSQREVVAGTGYFDVLEADFYSAIHAAYYGPVIESMDLLPDRFEAEERSVCETPEVLHLVSGRHLFGMVCLADKIPKLSSASTKKLKNAFASFDLGVCKSVEDTCVLKNSNAVDDAGISQMHPGNSVSDKSDAYEDLELYVSGRVVAVATRVPPKNQDDRAVQNEDAQDQIHVFLNSDDDLVHASKGSYKESLLTGAVGARIPLGTIKGMGSSPEEGSCFVYDGCGTKTHVMMKHRTLMVKHMHWYGVGDKVSIVECRCSRARLPPSKRLHPAMYLLALAYRTLDIEDSKRRKWTVRNLVENQLFRILHWCKKLV >Potri.003G097400.1.v4.1 pep chromosome:Pop_tri_v4:3:12325840:12332788:-1 gene:Potri.003G097400.v4.1 transcript:Potri.003G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097400.v4.1 MQQIRGGAASEVLSVSIPSTSTTGGALKSPQLLAQNFHSLSFLSPPSHLTKRCPSFGIPRLGAGMYKCRPRGLTVRASGDSAGNPVPVSPLEFESPVGQLLAQILQTHPHLLPAAIDQQLENLQTDRDAQKEEAASSQDFLYKRIAEVKEKERRKALEEIIYSMIVHKFLDNDISMIPKISPTSDPTGRVDFWPNQEQKLESVHSPEAFEMIQSHLSIVLGERLVGPLETTVQISKIKLGKLYAASLMYGYFLRRVDQRYQLERAMNTLPKGFDEDRARFEDPSPANRLWDPDSLIRILPDSGEPDGDFTDTGEEKSYRLRSYVMYLDAETLQRYATIRSKEAISLIEKQTQALFGRPDIRIAGDGSIETANDEVVSITFSGLTMLVLEAVGFGSFLWDAESYVESKYHFFNS >Potri.017G028900.1.v4.1 pep chromosome:Pop_tri_v4:17:1961836:1965729:1 gene:Potri.017G028900.v4.1 transcript:Potri.017G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028900.v4.1 MEEAIKLLDSALSHIKWRLKFPAKNRLQIDIVALLTEMRPVIMVDYGGKLPELQDHLCALVKFCQQESAIFENLRVMVIEDMIYLIHVRGIAEYVKSSLNLEVELFFVNLEEDPPKMVTQAEESTLVTELIRVQKLFSSFFPLNGNSNDLLSHQMPDSVANAESSANKPATSQSSEFIDLSCCMQDTEITVPTLNGWLLGYPVVYLFSKQHIEDAIYNLSTKYLRIFQILVSRYASPKKGSQPEELLSFSVPYELSMGGSNEPWAVAFLAQMQTKWAKCKPTWRSLKMEVSECYPQAIVL >Potri.013G160100.6.v4.1 pep chromosome:Pop_tri_v4:13:15433529:15437957:-1 gene:Potri.013G160100.v4.1 transcript:Potri.013G160100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160100.v4.1 MAYRRRQQQQLEEETSGTSSRSRPSPITTTTSSSSYSLDEEDWSYEDVQIPNDSNIKNKSNSTSLATKAIRASSAARRDSSLSSAYAFTNHPSPSTSPSPVSSNFNSSSTKEEESNKAYYHEYTSMKAMKETKQQQGFWGTLARKAKSILDDDFNTNNNNAPSPPQKQKQHQHQQLDSATDRTTTRQHHVPDSATMPKNQYPSHKTYRKTESPAFQKGLNAISSSLNYIGNAVEDGLTKVENRTADIIQETRKHIRKKPNGAAGQNQATNRSSMWQQPQMQTNRQQPQKETEQELQLKASRDVAMAMAAKAKLLLRELKTVKTDLAFAKERCAQLEEENKFLRENHERGENLEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDEGTEEVTEVYPVKVVSNTPSIPPTSPPPLYTEASHGTSLPVTQDILPHPVPPPGSVEVSKSATSPNSYARHQLQQI >Potri.013G160100.1.v4.1 pep chromosome:Pop_tri_v4:13:15433357:15438029:-1 gene:Potri.013G160100.v4.1 transcript:Potri.013G160100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160100.v4.1 MAYRRRQQQQLEEETSGTSSRSRPSPITTTTSSSSYSLDEEDWSYEDVQIPNDSNIKNKSNSTSLATKAIRASSAARRDSSLSSAYAFTNHPSPSTSPSPVSSNFNSSSTKEEESNKAYYHEYTSMKAMKETKQQQGFWGTLARKAKSILDDDFNTNNNNAPSPPQKQKQHQHQQLDSATDRTTTRQHHVPDSATMPKNQYPSHKTYRKTESPAFQKGLNAISSSLNYIGNAVEDGLTKVENRTADIIQETRKHIRKKPNGAAGQNQATNRSSMWQQPQMQTNRQQPQKETEQELQLKASRDVAMAMAAKAKLLLRELKTVKTDLAFAKERCAQLEEENKFLRENHERGENLEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDEGTEEVTEVYPVKVVSNTPSIPPTSPPPLYTEASHGTSLPVTQDILPHPVPPPGSVEVSKSATSPNSYARHQLQQI >Potri.008G012250.2.v4.1 pep chromosome:Pop_tri_v4:8:622095:625625:-1 gene:Potri.008G012250.v4.1 transcript:Potri.008G012250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012250.v4.1 MDQMSQISKWKGTRLHYSKVRKFQCLQWLQMEQSHCLLQLSKGKGTHLRLSKVGTRSPLHTIGGSNLKNNRNRGRSQSGHQKSDFNCMDNGKRKLEFSNEHGRKGNGNERVAKFGRTDLADQATEEKSKPSRTFFYTEQEIKQWRESRRKHYPTKISIEKMEVIDREANFRHKQLKEILAKQAELGVEVAEIPPDYLLDSEKLGVEVAEIPPPQVLNSEKLGVEVAEIPPPQVLNSEKLGVEVAEIPPRHLLDSEKQEHGREDNRRSLTKKGKFWNKHDKRGRFNKKGRSAKQVGSANEERKPTLLEKLLSTDIKRDKRQLLQVFRFMVANSFFKDWPEKPLKFPSVVVKEDGYEDEIVEKKSSLVGEEVSEDRNNTIAENFGDRDDNIEHDAQVELGNCFVRGKCDIVDEVDRVEEGEIVD >Potri.008G012250.1.v4.1 pep chromosome:Pop_tri_v4:8:622100:625552:-1 gene:Potri.008G012250.v4.1 transcript:Potri.008G012250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012250.v4.1 MDQMSQISKWKGTRLHYSKVRKFQCLQWLQMEQSHCLLQLSKGKGTHLRLSKVGTRSPLHTIGGSNLKNNRNRGRSQSGHQKSDFNCMDNGKRKLEFSNEHGRKGNGNERVAKFGRTDLADQATEEKSKPSRTFFYTEQEIKQWRESRRKHYPTKISIEKKQMEVIDREANFRHKQLKEILAKQAELGVEVAEIPPDYLLDSEKLGVEVAEIPPPQVLNSEKLGVEVAEIPPPQVLNSEKLGVEVAEIPPRHLLDSEKQEHGREDNRRSLTKKGKFWNKHDKRGRFNKKGRSAKQVGSANEERKPTLLEKLLSTDIKRDKRQLLQVFRFMVANSFFKDWPEKPLKFPSVVVKEDGYEDEIVEKKSSLVGEEVSEDRNNTIAENFGDRDDNIEHDAQVELGNCFVRGKCDIVDEVDRVEEGEIVD >Potri.017G141100.2.v4.1 pep chromosome:Pop_tri_v4:17:14168808:14171483:-1 gene:Potri.017G141100.v4.1 transcript:Potri.017G141100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141100.v4.1 MNREKLMKMAGSVRTGGKGTMRRKKKAVHKPSTTDDKKLQSTLKRIGVNTIPAIEEVNIFKDDLVIQFVNPKVQASIPANTWVISGTPQTRKLQDILPGIINQLGPDNLDNLRKLAEQFQKEMPAGEAGAAQEDDDVPDLVAGETFEAVAEEGQK >Potri.013G009100.1.v4.1 pep chromosome:Pop_tri_v4:13:604252:606658:-1 gene:Potri.013G009100.v4.1 transcript:Potri.013G009100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009100.v4.1 MESASTSSSTSIITPEDVLESLMNDGTIDALRLKIINQLKANEELKNTAIRMAEQSKVLNTPGAEKQTKRELFDALRQELEAPVLEKASRSVWELILDSNGLGKEISETVERVYCRLSGQEPPLFPQPNAETQPNKGNDCKGREEKEEESESQKEKSSSNSKKRSHREMSMEAGANEVANQTANEVAGKSVDLPPAQEGANKSPPSTSKT >Potri.004G024101.1.v4.1 pep chromosome:Pop_tri_v4:4:1904309:1907434:1 gene:Potri.004G024101.v4.1 transcript:Potri.004G024101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024101.v4.1 MSHNFLLVKKSIQILLLYFSIANLLDLAYADPPYGLCSNNSNYTDNSPFQNNLETLMSSLSSNASVSKNFNTSTGIDPDRVYAQYMCLNYVKNESCRTCVAAASQGIRQLCPGDKEAVVWGELCQLRYSNQRFLGHLDVSGNIHQQNPENISNPEDLSLVVNKTLSSLIKKAAFDPSANMYATRDEPFTDSDSFFSLVQCSTDLSPNDCYTCLEVAVKNVTTCCYFSRGARVLSRSCYLRYELYDFYDGATESSKSPVTGKGNEREIWIITISTVASTLLVVAILVSFFCHLPMKFRMRKCKKENTSQDGKFRVFDHPNHNDFQHQDFQRDGLNDRESGIMDLASINAATDNFSETNLLGQGGFGPVYKGILSDGKEVAVKRLSDSSEQGKNEFTNEVLLIMKLQHKNLVKLLGFCVDREEKLLVYEFMPNNSLDVVLFDPRKRAHLSWRSRIHIINGIAKGTLYLHEDSRLRIIHRDLKASNILLDNNMNPKISDFGMARIMEANEGETNTVRIVGTYGYMAPEYAMEGLYSTKSDVFSFGVMLLEIITGRKNSGFHKSKRAPSLLAYAWELWNNGKELEMIDPVLADSCCSDEFSRCVHIGLLCVQEDASERPAMSSVVLMLKSDNSIDLPQPQRPAIFAGRFTDHHEAKANDCSVNGLTVSDILPR >Potri.018G006000.4.v4.1 pep chromosome:Pop_tri_v4:18:487664:494468:-1 gene:Potri.018G006000.v4.1 transcript:Potri.018G006000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006000.v4.1 MSSKGGISLEEIKNESVDLERIPIEEVFEQLKCSREGLTSDEGATRLQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAALMAIVLANGDGRPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGIIIEIVVMYPIQKRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFAKGVEKEHVMLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDNNGNWHRASKGAPEQILTLCNCKEDVKRKVHSVIDKFAERGLRSLGVAKQEVPEKSKDAPGAPWQLVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDAAIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFSTGVVLGGYLALMTVLFFWIMKDTDFFSDKFGVRSLRDSKYEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVSAFVVAQLIATLIAVYANWGFAHIKGCGWGWAGVIWLFSLVTYLPLDVLKFAIRYILSGKAWDNFLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPAQTNTIFSDKSSYRELSEIAEQAKRRAEMARLRELNTLKGHVESVVKLKGLDIDTIQQHYTL >Potri.018G006000.1.v4.1 pep chromosome:Pop_tri_v4:18:487491:494292:-1 gene:Potri.018G006000.v4.1 transcript:Potri.018G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006000.v4.1 MSSKGGISLEEIKNESVDLERIPIEEVFEQLKCSREGLTSDEGATRLQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAALMAIVLANGDGRPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDAAILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAIGIIIEIVVMYPIQKRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLIEVFAKGVEKEHVMLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDNNGNWHRASKGAPEQILTLCNCKEDVKRKVHSVIDKFAERGLRSLGVAKQEVPEKSKDAPGAPWQLVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGQDKDAAIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFSTGVVLGGYLALMTVLFFWIMKDTDFFSDKFGVRSLRDSKYEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGLLLVSAFVVAQLIATLIAVYANWGFAHIKGCGWGWAGVIWLFSLVTYLPLDVLKFAIRYILSGKAWDNFLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPAQTNTIFSDKSSYRELSEIAEQAKRRAEMARLRELNTLKGHVESVVKLKGLDIDTIQQHYTL >Potri.016G010900.1.v4.1 pep chromosome:Pop_tri_v4:16:522649:525668:1 gene:Potri.016G010900.v4.1 transcript:Potri.016G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010900.v4.1 MATMLELVLSLSVLMSMIFSSTAQSCKSYALSSNKTFRACNDLPYLNSYLHWNYDSSSNKLQIAYRHTGITSSRWVAWAINPTSTGMAGSQALVAYQQTDGTMRAYTSPISSYQTSLQEGKLSFDVSDLSATLANNEIIIFATIGLSNTSTTVNHVWQDGAVSGNATQVHATSGANVQSMGTLNLLSGESSSTGGNDRIRKRNIHGVLNAVSWGILMPIGAFIARYLKAFKSADPAWFYLHVGCQSIAYIVGVAGWGTGLKLGSESASIQYDAHRTIGIILFCLGTLQVFALLLRPKPDHKYRFYWNIYHHLVGYSVIILSIINIFKGFSILNPDKKWKNAYIGVIAALAFNAVWLEGYTWYLVVKRKRSEIAGKMPHGMNGSNGVNGFGARQHQGV >Potri.006G209900.1.v4.1 pep chromosome:Pop_tri_v4:6:21745928:21746550:-1 gene:Potri.006G209900.v4.1 transcript:Potri.006G209900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209900.v4.1 MVHVSFYRNYGKNFKKPRRPYEKERLDVELKLVGEYGLRAKRELWRVQYALSRIRNAARMLLTLDEKNQRSIF >Potri.010G212200.1.v4.1 pep chromosome:Pop_tri_v4:10:20049814:20052628:-1 gene:Potri.010G212200.v4.1 transcript:Potri.010G212200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212200.v4.1 MAREIGNVMYDEEFVLNSRGLKLFACKWIPTNKEPKALVFICHGYGMECSITMNSTAIRLAKAGYAVYGLDYEGHGKSAGLQGYVENMDYVINDCSSHFTSICEKQENKEKMRYLLGESMGGAVALLLHRKKPDFWDGAVLVAPMCKIADDVKPPQFVITILRKLCSIIPTWKIIPTKDIVDIAFKVPEVRQQIRENPYCYKGKPRLKTGHELLRTSLDLEQRLQEVSLPFIVLHGEADRVTDKSVSEQLLRVASSSDKTIKLYPEMWHGLLYGEPVENSDIVFEDIIDWLDNRTDRGNTRLEREQKHKHDDFSKSK >Potri.010G206700.1.v4.1 pep chromosome:Pop_tri_v4:10:19706926:19707634:-1 gene:Potri.010G206700.v4.1 transcript:Potri.010G206700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206700.v4.1 MKRKQILAYALLAFLIASDQCHYSAGIVVQAAKSVDTRLKNAQPILRSTRYKLASWKSGTKFKDTIHKAPSGPSPIGNRHRSSIHV >Potri.008G033200.1.v4.1 pep chromosome:Pop_tri_v4:8:1800180:1804662:-1 gene:Potri.008G033200.v4.1 transcript:Potri.008G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033200.v4.1 MGILQEDVVVISEGEKPGESTEITVNCPDKAGLGCDLCRVILLFGLSICKGDGQTDGKWCYVVFWVVGKPSTRWNLLKQRLLEVCPSYFSTSEIDFYKPENQQPRPPDVFLLKFWCSYDYEGLLHDVTEVLCELELTIERVKVSTAPDGRVMDLFYITDTRELLRTKMRQEETIHYLKKVLGKALISCEIELAGPEFTACSQGSPFLPSAITEDMFSLELPNNHRSGFLAHNPVSVTVDNAFSPSHTLVKILCKDHKGLIYDITRTLKDYNIQISYGRFLASRKGNCEVDLFLMQADGKKIVDPNKQNALCSRLRMELLCPLRLAVVSRGPDTELLVANPVELSGRGRPLVFHDITLALKNLNTPIFSVEIGRHMIHDREWEVYRILLEGDGLPVSRNKIEEGVRKVLMGWE >Potri.010G185800.1.v4.1 pep chromosome:Pop_tri_v4:10:18290666:18298835:1 gene:Potri.010G185800.v4.1 transcript:Potri.010G185800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185800.v4.1 MAKTNAATSSSTNILLRYNNKKRNDFLFSFNSINLFNKSLPFKKPSLHPPLLSLSSSPSKPLTTRVSIAPVEYAPPAPDSFNFHQEISRLQSLRSKLAHSKTLNGKQSVLNDDSRVKRFFKIGGVSRFLDSINLTSRELFLLKCLVAAGQEHVVSLEGFELVESEAVESVRTSVKSALYSLVEIIEGFDLSDNGNKGLERINYGENLTDEEIKDLKKLLKSLGEVEEFYDCIGGVIGYQIMVLELLFQSTFKKQTTNWSQHIKESMECQFLEIHAPSGLDLSKNTEYASQAALWGIEGLPDLGEIYPLGGSADRLGLVDPDTGECLPAAMLPYCGRTLLEGLIRDLQAREFLYFKIYGKQCITPVAIMTSSAKNNHEHITSLCERLSWFGRGQSSFQLFEQPLVPAISAEDGQWLVTKPFAPVCKPGGHGVIWKLAYDKGIFEWFYDHDRKGATVRQVSNVVAATDLTLLALAGIGLRHRKKLGFASCKRNSGATEGINVLIEKKNLDGQWAYGLSCIEYTEFDKFEITGGPCSTNGLQAEFPANTNILYVDLPSLELVASSNNEKSLPGMVLNTKKPIVYMDHYGNCHSVYGGRLECTMQNIADNFTNTYLSRCYKGVEDKLDTFIVYNERRRVTSSAKRKRRHSDNTLHQTPDGALLDILRNAYDLLSHCDIELPQIEGNDKYVESGPPFLIYLHPALGPLWEVTRQKFNGGSISKGSELQIEVAEFSWRNVQLDGSLIIIAENVMGSTRIDPNGEPILQYGNRCGRCRLQNVKVVNKGINWSFGDNIYWKHDVQRFEALKVILHGNAEFEADNVTIQGNQIFEIPDGYKMKITSGDSGLQVQLNPLEQKIMDSGSWHWNYKIHGSHIQLELVET >Potri.005G118200.1.v4.1 pep chromosome:Pop_tri_v4:5:8673015:8675431:-1 gene:Potri.005G118200.v4.1 transcript:Potri.005G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118200.v4.1 MAGLFSLGRDSSSSGNNQEQQQNNNNSNPPNEIPQENWFCYRSEDNSYKGFELWQQHHHHQELLHQRHQNPQQDLYSSAVGLGVGPSRASINISDESSSRSAAAAAFMMMRPVGSGVGGSISCQDCGNQAKKDCVHMRCRTCCKSRGFECQTHVKSTWVPASKRRERQHQLTIFQQQQQQQLQIRGENPKRQRENPSPSSLACTHLANNMSGLELGNFPAEVSSPALFRCVRVSGIDESEEMLAYQTAVNIGGHVFKGVLYDRGPESNYLAPGETSSGGGGGVQPLNLIAAGTATTSATISATGGGGGVTVASTTASFLDPSSLYPAPLNTFMAGTQFFPNPRS >Potri.017G075900.1.v4.1 pep chromosome:Pop_tri_v4:17:8403484:8406840:1 gene:Potri.017G075900.v4.1 transcript:Potri.017G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075900.v4.1 MEFFNQAKAVRLKSHHDKYLVADEDGETVRQSRDGSSRRARWTVELIQGNNHHIRLKSCRGKYLTASEEPFLLGMAGKKVVQDMPVSVKDTAIDWEPRTEGFLVKLKTSRGKFLLANGRMPPWRNSVTHDTPRRTVTHDWVLWEVDVMDILETILPASQLSGASPLSDIGSQYVVSFSSRRLSIARESAMDIFEKATSVRLRSHHDKYLTADDDEETVSQERNGTVKNAKWTVEIVGHSNVIRLKSCFGRYLTASNLPFFLGMTGKKVLQTLPERLDSSVEWEPIREGVQVRLRTRYGQYLRANGGVPPWRNHITHDIPHRTSTQDWILWDVDVVQIRVPDPATPPVQQQQQPPGEQSQTAPNSEASSPTTSPRFLFVQSDDSFEGSSVKNEGRAIHYRVAINVDGDVDENEELSFTFKGSMVEELKNKLEEETGLVDIQVCSRNPLNGKIYPLRLHLPPNNTEMHVVVVPSPGRD >Potri.012G087100.1.v4.1 pep chromosome:Pop_tri_v4:12:11244787:11252680:-1 gene:Potri.012G087100.v4.1 transcript:Potri.012G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087100.v4.1 MMEELYGLQSTSSDYSLQVPSENMVSPVANYYHPVGFTSPAGEPSFPVFGSEQMFCGSSVSDAASMGAELHQKQQQQSGGVGVGDHSNRNNSEEVSCAIRAKIASHPLYPKLLEAYIDCQKVGAPPEMAYLLDEIRLVNDVSKGSNDTVASCLGADPELDEFMETYCDVLMKYKADLSRPFDEATTFLNDIEAQFNTLCNGPSRSQVYDEAAGSSDEDASGGEAGMQDSTRINEDRELKDKLLRKYSGYISTLKHAFSKQKKKGKLPKEARQILLNWWNIHNKWPYPTEADKVALAESTGLDQKQINNWFINQRKRHWKPSENMQFAVVDSLYGPFFMND >Potri.008G103400.1.v4.1 pep chromosome:Pop_tri_v4:8:6529271:6534082:-1 gene:Potri.008G103400.v4.1 transcript:Potri.008G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103400.v4.1 MASSSSTAVATATETTTERRGIPGAQFVEDVETYLNQSGLDVNSALSFLQERLQQYKLVEMKLLAQQRDLQAKIPDIEKCLDVVATLQAKKGTGEPLIADFEVSEGIYSQARIEDAESVCLWLGANVMLEYSCEEANDLLQKNLDNAKASLEVLVADLLFLRDQVTITQVTIARVYNWDVHQKRRMREAVTAEKDS >Potri.002G012600.1.v4.1 pep chromosome:Pop_tri_v4:2:777125:778046:1 gene:Potri.002G012600.v4.1 transcript:Potri.002G012600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012600.v4.1 MALPDFNALRDLHNSANDLLHSPEIQQVLVSQKQEKWLHEVSEASLRMLDVCGVSKDVLLLVREHLLDLQFTLRRKRVCKQDISTEIAAYNLYRKKLKKGTLKCLKSLKGMTGKSVTSDASHVDHSIVVVVEVLREVSVTAITIVESLLSLVSIPWLEQGSSKGSFIRSTFLRSTGQRLYDCFDETALHSANKRLEAVEIAVEDLEVELECMFRRLIQTRVLLLNILTI >Potri.006G192600.1.v4.1 pep chromosome:Pop_tri_v4:6:19941932:19946055:1 gene:Potri.006G192600.v4.1 transcript:Potri.006G192600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192600.v4.1 MLSWLTRIAMACWRPGRQYARMSKDDILNDDDDSTTGDSLLWSRDLEKHSFGEFSFAVVQANEVIEDHSQVESGRDATFIGVYDGHGGPDASRFISDHLFLNLMRHARERGTISEEILRSAISSTEDGFLTLVRRSCGIKPLIAAVGSCCLVGVIWRGTLFVANLGDSRAVIGSLGRSNKIVAEQLTRDHNASMEEVRQELKSLHPDDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLPEPIRRPVLTSEPSIYSRVLRPNDKFVIFASDGLWEHLTNQEAVEIVYNNPRAGIARRLVRAALNMAARKRVMRYDDLKKVDRGVRRFFHDDITVVVIFIDHELLGNSTSVPEMSVRGFIDTVGPSNFNFLQGVDANARSII >Potri.006G192600.3.v4.1 pep chromosome:Pop_tri_v4:6:19941932:19946054:1 gene:Potri.006G192600.v4.1 transcript:Potri.006G192600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192600.v4.1 MLSWLTRIAMACWRPGRQYARMSKDDILNDDDDSTTGDSLLWSRDLEKHSFGEFSFAVVQANEVIEDHSQVESGRDATFIGVYDGHGGPDASRFISDHLFLNLMRHARERGTISEEILRSAISSTEDGFLTLVRRSCGIKPLIAAVGSCCLVGVIWRGTLFVANLGDSRAVIGSLGRSNKIVAEQLTRDHNASMEEVRQELKSLHPDDSHIVVMKHGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLPEPIRRPVLTSEPSIYSRVLRPNDKFVIFASDGLWEHLTNQEAVEIVYNNPRAGIARRLVRAALNMAARKRVMRYDDLKKVDRGVRRFFHDDITVVVIFIDHELLGNSTSVPEMSVRGFIDTVGPSNFNFLQGVDANARSII >Potri.004G143001.1.v4.1 pep chromosome:Pop_tri_v4:4:16583541:16583765:-1 gene:Potri.004G143001.v4.1 transcript:Potri.004G143001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143001.v4.1 MGGCYCWISRGWLMIVKMERQLCWCCFGLSVWLGDTRFGCRTAIGGGLGWVSGFSLKRWDGDGGLWWFWKEGQC >Potri.012G072000.1.v4.1 pep chromosome:Pop_tri_v4:12:9584749:9585937:1 gene:Potri.012G072000.v4.1 transcript:Potri.012G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072000.v4.1 MTLKGGTSQACASCKYQRRKCSSECPLAPYFPSEQPKMFQNAHKLFGVRKILRILENLDYLQKEEAMRSIIYQSNIRDRFPVHGCLGIIYQLHFQIRQAEEELRAVYAHLEMYRQHHHHQIAALTEDVPSQLELGMALPQPCLAQQYSYSISSNIGYSSGYLDSNDNVGNQLWSQHPYATNSNTNYTPIIQSQLDASQPLSTQQEIVQDYDEMHSFFDTIDDRQSYIETKEPYESSSEESLKDTTQSMEHVAENELKSAAACFSLTSVN >Potri.012G072000.2.v4.1 pep chromosome:Pop_tri_v4:12:9584749:9587137:1 gene:Potri.012G072000.v4.1 transcript:Potri.012G072000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072000.v4.1 MTLKGGTSQACASCKYQRRKCSSECPLAPYFPSEQPKMFQNAHKLFGVRKILRILENLDYLQKEEAMRSIIYQSNIRDRFPVHGCLGIIYQLHFQIRQAEEELRAVYAHLEMYRQHHHHQIAALTEDVPSQLELGMALPQPCLAQQYSYSISSNIGYSSGYLDSNDNVGNQLWSQHPYATNSNTNYTPIIQSQLDASQPLSTQQEIVQDYDEMHSFFDTIDDRQSYIETKEPYESSSEESLKDTTQSMEHVAENELKSAAACFSLTSVN >Potri.014G113200.5.v4.1 pep chromosome:Pop_tri_v4:14:7621542:7627499:-1 gene:Potri.014G113200.v4.1 transcript:Potri.014G113200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113200.v4.1 MAIENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDLVTKVSLNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIVAFVKGWLLALVMLSSIPLLVISGAGLAIIIARMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKFLATAYNSGVQEGFTAGLGLGIVMLLVFCTYALAIWFGGKMILEKGYTGGDVVNVIIAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTRGKILDDISGDVELRDVYFTYPARPDEQIFSGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVINADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRHSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVILPIYGILLSSVIKIFFEPPDELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKVVHMEVSWFDEPEHSSGEIGARLSADAAIVRALVGDSLSQLVQNIATAVAGLVIAFSASWQLALVILVLLPLIGLNGFVQVKFMKGFSADAKKMYEEASQVANDAVSSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVQHGKTTFAEVFRVFFALTMAAVGISQSSSFSPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDAIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKSADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113200.7.v4.1 pep chromosome:Pop_tri_v4:14:7621542:7627619:-1 gene:Potri.014G113200.v4.1 transcript:Potri.014G113200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113200.v4.1 MAIENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDLVTKVSLNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIVAFVKGWLLALVMLSSIPLLVISGAGLAIIIARMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKFLATAYNSGVQEGFTAGLGLGIVMLLVFCTYALAIWFGGKMILEKGYTGGDVVNVIIAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTRGKILDDISGDVELRDVYFTYPARPDEQIFSGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVINADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRHSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVILPIYGILLSSVIKIFFEPPDELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKVVHMEVSWFDEPEHSSGEIGARLSADAAIVRALVGDSLSQLVQNIATAVAGLVIAFSASWQLALVILVLLPLIGLNGFVQVKFMKGFSADAKKMYEEASQVANDAVSSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVQHGKTTFAEVFRVFFALTMAAVGISQSSSFSPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDAIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKSADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113200.1.v4.1 pep chromosome:Pop_tri_v4:14:7621542:7627643:-1 gene:Potri.014G113200.v4.1 transcript:Potri.014G113200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113200.v4.1 MAIENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDLVTKVSLNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIVAFVKGWLLALVMLSSIPLLVISGAGLAIIIARMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKFLATAYNSGVQEGFTAGLGLGIVMLLVFCTYALAIWFGGKMILEKGYTGGDVVNVIIAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTRGKILDDISGDVELRDVYFTYPARPDEQIFSGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVINADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRHSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVILPIYGILLSSVIKIFFEPPDELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKVVHMEVSWFDEPEHSSGEIGARLSADAAIVRALVGDSLSQLVQNIATAVAGLVIAFSASWQLALVILVLLPLIGLNGFVQVKFMKGFSADAKKMYEEASQVANDAVSSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVQHGKTTFAEVFRVFFALTMAAVGISQSSSFSPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDAIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKSADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113200.6.v4.1 pep chromosome:Pop_tri_v4:14:7621538:7627620:-1 gene:Potri.014G113200.v4.1 transcript:Potri.014G113200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113200.v4.1 MAIENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDLVTKVSLNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIVAFVKGWLLALVMLSSIPLLVISGAGLAIIIARMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKFLATAYNSGVQEGFTAGLGLGIVMLLVFCTYALAIWFGGKMILEKGYTGGDVVNVIIAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTRGKILDDISGDVELRDVYFTYPARPDEQIFSGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVINADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRHSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVILPIYGILLSSVIKIFFEPPDELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKVVHMEVSWFDEPEHSSGEIGARLSADAAIVRALVGDSLSQLVQNIATAVAGLVIAFSASWQLALVILVLLPLIGLNGFVQVKFMKGFSADAKKMYEEASQVANDAVSSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVQHGKTTFAEVFRVFFALTMAAVGISQSSSFSPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDAIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKSADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113200.3.v4.1 pep chromosome:Pop_tri_v4:14:7621542:7627643:-1 gene:Potri.014G113200.v4.1 transcript:Potri.014G113200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113200.v4.1 MAIENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDLVTKVSLNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIVAFVKGWLLALVMLSSIPLLVISGAGLAIIIARMASRGQTAYAKAATVVEQTIGSIRTVASFTGEKQAISNYKKFLATAYNSGVQEGFTAGLGLGIVMLLVFCTYALAIWFGGKMILEKGYTGGDVVNVIIAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTRGKILDDISGDVELRDVYFTYPARPDEQIFSGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAAAELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRVLLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVINADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRHSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVILPIYGILLSSVIKIFFEPPDELRKDSKFWALMFMTLGLASFVVYPSQTYLFSVAGCKLIQRIRSMCFEKVVHMEVSWFDEPEHSSGEIGARLSADAAIVRALVGDSLSQLVQNIATAVAGLVIAFSASWQLALVILVLLPLIGLNGFVQVKFMKGFSADAKKMYEEASQVANDAVSSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVQHGKTTFAEVFRVFFALTMAAVGISQSSSFSPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVALVGESGSGKSTVISLLQRFYDPDSGHITLDAIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKSADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.009G164400.1.v4.1 pep chromosome:Pop_tri_v4:9:12619371:12627615:-1 gene:Potri.009G164400.v4.1 transcript:Potri.009G164400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164400.v4.1 MPPFPQCVPLEPITLGNQKYTRSGEVRRVLGVPPSSASDDHSFGVAHPKPMPPVATEELKHFKQSVQDTSRKAKDRAKLLRESLSKLERYREALSSNKRQRSELSLNEKSNLANAAKAGGQIHRNPHDMAQRLKDRTKSTGLNKRVRTSVADADGRSTMPSRQQMVTDKGGDMLQDVGAAAVRFEENIRRLPAAGERWDTKNKKKRSVGLMSNRVINGDREQKQTIPSKMSADSKLRSCDAQGFRSKSSAGISGMNKLDGSFEPSSSDTGSVLKNEMESGPLPRDCKALSEHKAVTKGTNKSNTHEDNLASTPITVIKAKVSRAPRTGSIMLLDSSLKVHPSPTSLQGLEQPTSSNKVPVPGVVNNHRGQLSAGSSSHAMAKWVGQRPHKNSRSRRANVISPGSNHIEAQMSSQGFPTSDFSVRSSSIGINGSLIASNLDTNTPKFKRELESVQSPFGLSESEESGAGENKPKDKGTDSSEVSLSATQKVGTSVLPTKKNKSSTNEIGDGIRRQGRSGRVSSLTRPASHPVREKLENLPAAKPFQSTKGASDKNKSKTGRLPSKKLKDQKALMHVGLVPNSGSLDFTGESGDDHEELFSAANSAWKASDLACSGPFWKKMDSIFASVSLEDLSYLKQQLTSAQGLDECFSQMLGTTYNVLGAVVHKKGRSGRIQAEDLNQESVKTTLCGRADMGSLDKGALLYQRVLSALIEEDESEEFYLQSESKNMSLNYASDDSHCGSCNLIDIEPRDRDRMESEVESKVNFQTQKNCFLDRLSCDKSVISNAIRNPSMSSSLHSNEQWPVDDDFSHSDAGHASEICSNDPGALQMRELNMPGFSSSDGQYQLMCLDERLLLELQSIGLCPETLPDVAEREVIIQNIMELKEGLHQQIGIMKNKLGKLGKAVQKGRDMEKRNAEHVAMDQLIQMAYKKQLACRGNSTSKSTVRKVSRQVSLAFIKRTLARCHKFEDTGSSCFSEPALQRVNFSAPICNNDTKSVGCVGSGTARTTCNEVSNIHAEARGSGAVSSTFERYDSHSDNFERSKKREVFIDDVIGNPSSRVTSTLDSTVLGGVKGRRNDRDREQSKDNSRSNSVSGASHSSLDGVKGERKTKSKPKQKSTHLLNSGNGPRGSYHSVANASNKIERAGSMSLGNIPQDTPKEVDEPSDFPHSQLNEFDTIELGGSTDLGGPQDLGSWLNIGEDGLQGHDSIGLEIPMDDLMELNMLM >Potri.009G164400.2.v4.1 pep chromosome:Pop_tri_v4:9:12618531:12627626:-1 gene:Potri.009G164400.v4.1 transcript:Potri.009G164400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164400.v4.1 MPPFPQCVPLEPITLGNQKYTRSGEVRRVLGVPPSSASDDHSFGVAHPKPMPPVATEELKHFKQSVQDTSRKAKDRAKLLRESLSKLERYREALSSNKRQRSELSLNEKSNLANAAKAGGQIHRNPHDMAQRLKDRTKSTGLNKRVRTSVADVRADGRSTMPSRQQMVTDKGGDMLQDVGAAAVRFEENIRRLPAAGERWDTKNKKKRSVGLMSNRVINGDREQKQTIPSKMSADSKLRSCDAQGFRSKSSAGISGMNKLDGSFEPSSSDTGSVLKNEMESGPLPRDCKALSEHKAVTKGTNKSNTHEDNLASTPITVIKAKVSRAPRTGSIMLLDSSLKVHPSPTSLQGLEQPTSSNKVPVPGVVNNHRGQLSAGSSSHAMAKWVGQRPHKNSRSRRANVISPGSNHIEAQMSSQGFPTSDFSVRSSSIGINGSLIASNLDTNTPKFKRELESVQSPFGLSESEESGAGENKPKDKGTDSSEVSLSATQKVGTSVLPTKKNKSSTNEIGDGIRRQGRSGRVSSLTRPASHPVREKLENLPAAKPFQSTKGASDKNKSKTGRLPSKKLKDQKALMHVGLVPNSGSLDFTGESGDDHEELFSAANSAWKASDLACSGPFWKKMDSIFASVSLEDLSYLKQQLTSAQGLDECFSQMLGTTYNVLGAVVHKKGRSGRIQAEDLNQESVKTTLCGRADMGSLDKGALLYQRVLSALIEEDESEEFYLQSESKNMSLNYASDDSHCGSCNLIDIEPRDRDRMESEVESKVNFQTQKNCFLDRLSCDKSVISNAIRNPSMSSSLHSNEQWPVDDDFSHSDAGHASEICSNDPGALQMRELNMPGFSSSDGQYQLMCLDERLLLELQSIGLCPETLPDVAEREVIIQNIMELKEGLHQQIGIMKNKLGKLGKAVQKGRDMEKRNAEHVAMDQLIQMAYKKQLACRGNSTSKSTVRKVSRQVSLAFIKRTLARCHKFEDTGSSCFSEPALQRVNFSAPICNNDTKSVGCVGSGTARTTCNEVSNIHAEARGSGAVSSTFERYDSHSDNFERSKKREVFIDDVIGNPSSRVTSTLDSTVLGGVKGRRNDRDREQSKDNSRSNSVSGASHSSLDGVKGERKTKSKPKQKSTHLLNSGNGPRGSYHSVANASNKIERAGSMSLGNIPQDTPKEVDEPSDFPHSQLNEFDTIELGGSTDLGGPQDLGSWLNIGEDGLQGHDSIGLEIPMDDLMELNMLM >Potri.004G015200.4.v4.1 pep chromosome:Pop_tri_v4:4:1048324:1054909:1 gene:Potri.004G015200.v4.1 transcript:Potri.004G015200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015200.v4.1 MEFEKRKAATLSSLASSKTDKSPKGTVDTHLIPLINTINSHPSYFTTSSCSGRISILSQPKWNPTPPTSKKKARGGSWLFISHDLANPNSLLPLLFPSESTEFTTESASSADGPSESAAGLVTELVFRFEPLIIAVECRDIEAAQFLVSFAIKSGFRESGITSANNKRVIVGIRCSIRMEVPLGDSDRILVSEEYVKFLVDVANQKMEANWKRTQGFLSGLIDNGFQRHTVSENGERRDGDDDQSERTANGDAHIGMVGGGEKAADCSLPVSSILVAGESVEKLFLWGHSACVLDNGSNKSVLVFGGFGGIGRHARRNDCFLLDPFNGKLKANDVEGAPSPRLGHTASLVADLVFIIGGRADPSSILNDVWVLNTANMEWKLIQCTGSVFSSRHRHSAAVVGSNIYVYGGLNNNDTILSSLHVFNTGNLQWKEVLGDGERPCARHSHSMLAYGSKVFVFGGYNGERALGDLYSFDVQTCMWKLEKTAGRSPHARFSHSMFVYKDFLGVIGGCPVGQHFQELALLDLQSHTWKQVTLDYIGKELLVRTTANVVGDDLVIIGGGAACYAFGTKFSKPFKVNLLPLVPLGDKLMPTEKNVNFRVSHAENAEALTQSPVMNFEAEKHQLVSYNRVLKLEKKYAKMGKDILKNFGWLDLGRKVYTKEDGLHICFPITEKFSAMFLKKHDQDVDVFEQGNDTFVCKLFTGGGILLNEVSCSTALNFLKKCGATNLANEVGEVRKSSKSPFQTMNESIALLIKQKDLAETLLEQLPNRWERLGDIVVLPATSFKDPIWDSISKELWPIVAKSLNTRRVARQGRVASTGTRDSTLEILVGDNGWVDHRENGILYSFDATKCMFSWGNLSEKLRMGNLECKDEVIVDLFAGIGYFTLPFLVRAKAKLVYACEWNPHAVEALRRNLEVNSVSDRCIVLEGDNRMTAPKGIANRVCLGLLPTSEDSWATAVRALRSEGGMLHVHGNVKDSQESLWTAHVLKSIDEIARFEGHCWEVSIEHVERVKWYAPHIRHLVADVRCSSF >Potri.003G210100.1.v4.1 pep chromosome:Pop_tri_v4:3:20814276:20814965:1 gene:Potri.003G210100.v4.1 transcript:Potri.003G210100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210100.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.012G051500.1.v4.1 pep chromosome:Pop_tri_v4:12:4861692:4864495:1 gene:Potri.012G051500.v4.1 transcript:Potri.012G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051500.v4.1 MIYDTVLARSFSKHEQKRLGYWALIACLFIVLSFFTIFKPYLGPLQVLNLRLSMGEDEKLHLYNDTTSSRLIAKEETIDSTLIVNDKRSSQEEAEIMSGALIVNDTDRTHEEAESSVAESIMNISSIVNGTSNSQEVFRESVTQNVRTIDTISSSPQKVKEITSKDDFMVNNTNISLPKATDADAVTKNNKMEPLCTIMGRSDFCEIKGDIRIDGSSYTVFIVSSETDILAAENTSWRIRPYARKGDQTAMGAVREWTLKLVAGGSDIPQCTQNHSVPGILFSAGGYAGNHFHAFTDIIVPLFSTARPYNGEVQFIITNGWSAWIAKFKTILKALSRYELINIDNRKDIHCFGSMTVGLKRPSYKELSIDPSKSPYSIKDFRQFLRSSYSLKKTRAIKIRDGMKKRPRLLIISRKRSRAFTNVGEIVNMAERLGFRVVVAEPGMDVSGFSQIINSCDVVMGVHGAGLTNIVFLPEKAVLIQVIPFGGAEWLSKTFFEEPAKDMNIRYLDYKIRVEESTLIQQYPADHAVLRDPSVIGKQGWLAFQSIYLQKQNVTIDVNRFRPTLVKALELLHQ >Potri.006G128000.1.v4.1 pep chromosome:Pop_tri_v4:6:10371851:10373432:-1 gene:Potri.006G128000.v4.1 transcript:Potri.006G128000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128000.v4.1 MGKDIEVGGEFSAKDYHDPPPAPLIDAEELTQWSLYRAIIAEFIATLLFLYITVLTVIGYKSQTDTTKNSDACGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGCGLVKAFQKSYYTKYGGGVNELATGFSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNEDKAWDDHWIFWVGPFIGAAIAALYHQYVLRAAAVKALGSFRSSSNI >Potri.002G184000.1.v4.1 pep chromosome:Pop_tri_v4:2:14585685:14589646:-1 gene:Potri.002G184000.v4.1 transcript:Potri.002G184000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184000.v4.1 MGIQKICHRSSSSDKVSCSFSGEVKQMRENPVLGADSGNDSDDCELAELNCELGMVEGQRCSIPYELYDLPDLREILSLDTWNLCLTEEERFNLSAYLPDMDHETFCLTMKELFDGTELYFGNPLDKFLKRLKAGFYPPKVACFREGLQFLQRKQHYHSLRAYHDRMIQTLINMRMLWDQYGMSPGIEEKISMWKNRRKQKSVNVLDLNESPKDDHLLNEVDNLETKVMKLVETEGSAKERPPFLCTNRTKIVAPYCRPKGVLKMKASGKDSFRNHNSKMVVADSSGQRRSLPRGVLKIVPKAPSLHLEQSDIVPRGVQSNFPARTHGIRDFKFSPLPASVCFQNAGSLHEYPFLRKKVDGDRVHSTLDQPQFLIDPQEIVRVTQNLPESSTRNVKPESLPTLDENSVVVKHKLFGVDMGRFPNKECKSSLDTGGARPHTFGGENLGANVDRESNGSFLKSLESFPFRIQYQGGEQCMAPLKEEHLTIYPRIPEVVPAISDVGNDKQETLMDSSSHQKNGENDVSVRKSGKLSSKSSVSVAFKDQKLLPLTYKRRKVLAKANSLNFGKSLTADANLKSAITKESDQDFREGVKTVKIKLMGLKDMPLNREP >Potri.007G014100.1.v4.1 pep chromosome:Pop_tri_v4:7:1049740:1055237:1 gene:Potri.007G014100.v4.1 transcript:Potri.007G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014100.v4.1 MKTTKPAAIKKTPVGRKPAAVKAAPDSATEESPVPKTPPNAKRTQNSDMTPSPIAGSASKLEQSSVDGTNIETDVSMVTLETTKPLPIKKKVVRRVVKVVKKTPANAKALLEQTPTTVVAAKSKVEEREKEAELAADNVGESIKKKGVAMGVEESKVESVAVSVKEEESVEEPVVDSRKEVEAVNDRVGESVKRERTVVDIPADEVVEVSKNEEPNRVGKGVNEGEKKDVDDEVEARNEAVMMEGSMEEHVRREETQHEQDEYGGDDGYEEYGDRVDFEDPVEDDFEDPDEPVEEAAAMEEERRELTAVAKERKIKKEYEIFVGGLDRDATEEDLRKVFEKIGEVVEVRLHKNLSTNRNKGYAFVKFANKGHVKRALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIRQKLKDYGVEGVENITVVPDAQHEGRSRGFAFLEFACHTDAMLAYKRLQKPDVVFGHPERTAKVAFSEPIREPDPEIMAQVKTIFLDGLPPHWDEDHVRECVKGYGEIVRIVLARNMSTAKRKDFGFVDFSTHEAAVACIEGINNREFGNGNTKMRVKARLSNPLPKTQAVKGGMCGGFRIGHSGSGNYLRFGRGFGRGGHHSNWANFQRGRGFYQREHGQTSRMGPREYDYNDRYDMLPGRQGGRRGSFRGGYQTASRGMAAGPSRSNINRAWHETPERGHRGYVSSRRQPFSPEESFDRRFNGRHFDDPYFYDDGSHGMKRSFYMTDQDPDYMEPSRLRPRLDFADPRVDYADPAASFRGTHYRDTYGAGSDPYFHEYRGSDYDPYPPYYGRDHSYGGGYHY >Potri.009G094100.1.v4.1 pep chromosome:Pop_tri_v4:9:8528344:8530237:-1 gene:Potri.009G094100.v4.1 transcript:Potri.009G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094100.v4.1 MMDIWSWICEIPNSDGWDESDSALIFELASSKSSQDGPTRAIQLKAERTSGSNSEALVTFTICLQGFHPFDAPKTLWVSDTCPLNSEKPFLPLVLQLLQEIIVRSPTAHNSTCPRSQLQKLKPDPVSWIMDSHTPESFSSFFSLVFITRLFWLCAFDAPTEAGSLCFESVLGPHLETLSCKQAPVLRTFLLTVGVDAELCFMRAVGYMLAKWLILREVGVGLQTLAPLASQQVGLSYATEAYGLWVLKGYAPILAMNPTCPRNNKFCILEAKDTVLKYALAHHQLEAVIQLEYAVRFYDGYIQVNARVNNLRFHVVRLGFKKNEGVDYDDERHFVSRARVWVGPEIGATYVGGLCLGRSTYNGEKEVEIQRILKGSYGEAKVPQVKTRSGMATKTRMKSWRCDQDVEGNAVVFDAVLYDNMTGQEVATRKPIGDGNSVGVGGRNGKSFGTRCNGPNRPFTKTGGVVFAGDEYGEGVEWRLSKETEGSVLKWRLGGQVWVSYCPSEVKSSHFETRSVEWCDEVDLPIIPAK >Potri.010G088300.1.v4.1 pep chromosome:Pop_tri_v4:10:11368394:11371982:1 gene:Potri.010G088300.v4.1 transcript:Potri.010G088300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088300.v4.1 MGKKEQQLQPQKDHKDNDRSEAVLELLRKHAPLTVKQEKFCNNACVERFLRAKGDNVKKAAKHLRACLSWRESIGTENLIADEFSAELAEGVAYVAGHDEESRPVMIFRIKQDYQKFHSQKLFTRLLVFTLEVAIGTMPRNAEQFVLLFDASFFRSASAFMNLLLGTLKIVAEYYPGRLYKAFVIDPPSLFPYLWKGVRPFVELSTATMVVSSLDFEESLEFNDFSSYPRASSLRLDPSSVKSTAKIGSCSSSRFSFTVSHHFDSLKPWHLTLTDTSASKVGPTNLSSLGPALISPLNARSFSFASPVARTPRGSMNGGSYTRLAQKSLFPSTPLPQRVTTSDTLKISQPRTPRPSFLQSPALFFKKECHVSKADRSRESFVPFLKFYRRPYDEMIYRSKMRPPLGGLISIVSPHIKRRHMSVSQRF >Potri.006G176400.1.v4.1 pep chromosome:Pop_tri_v4:6:18122783:18125849:1 gene:Potri.006G176400.v4.1 transcript:Potri.006G176400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G176400.v4.1 MSSYSDEIHHNITQLKQCTTIPPFINSPGVFQGDSNLLEATLPRLELLMFAIFFTSHVFHFILERFSIPLLVSQILAGMILGKAGLGLQADYRRIMFAIDSDQLFGTIGGFGFQLFVFLNGVKMDLSLIRKTGRMALCSGVLSMVMSVLFGAVTTSIVSSYLGLLELDKLSLSLVMLVHSMTPFPVTCSFVSDLELTHSELGRLGLSAALSSELLTQFLACNALLVGIFYRYHYQGALKIVAITTAFIILTVFVVRPAMLWVIKQTPEGRPVRDLYIYSIVLGALVSGLIFHFIGLNMFLGSLAFGLAVPAGPPLASALVEKFECMVSGVLVPFFMAMCTMKANFREISFDKKLTKGTAIVVTVVSLTKFGACLVTLFYYRMPKQDAFALAFIISSKGIVELGAYAFISESGVFTEGMFSFLVITILLSATISPIFVNWLYDPSRKYAGYQKRNIMHSKDLCVLACIYRPDNVTSIINFLQAFCPTLESPVSVCALHLIKISGRATSLFISHQKQKKSLSARSISENVILSFSNFWRNNCEIESVNVFTSISPTKFMHQDICTLALDELASFIVLPFHLKWLVDGSIESQDSRFRTLNCCVLERAPCSVGILIDHGNQVNSISRDSSREQSLLVALMFFGGEDDREARVLAERMSQHRNISLTIIHFVLSTGEIKSDWEKMQDSERLRNIKPGSIEHREVKYIEETVSDGLETSKKIRSILDKYDLFIVGRSKDVETIQTAGLDYMNEYPELGVIGNLLASMETTERYSVLVVQQQISL >Potri.007G110000.6.v4.1 pep chromosome:Pop_tri_v4:7:13196347:13199756:-1 gene:Potri.007G110000.v4.1 transcript:Potri.007G110000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110000.v4.1 MKSRPPDSHESSSKEYEMKDTAYIQYLPLYKAVDIGDLEATMKFLKEHPDGLTASISADGDTALHAAVLAGHIEIVVELVNQLGEGDLEIKNRNNATALNYAAIGGITRIAEDLVAKNEGLLKVPNQKGLIPVVVASLYGHKDMVRYLYSVSPKEELSPATNNKNGVMLLTTCIIDELYDIALDLLQHYPQLAFYQDSDKDTALDMLAQKPSAFPSGTQFSLWQKWIYKCIRVPQPLASSNNHGDIERPDRGPTDRRNIVKRGFAASDKLLVMVWKGLRIFVPAIKHMYNLKLMHGQAHAVLCCLCEQISTLHKSEFKVIGVYRAVFSAVKHGIVEFIIEMIRHYPDIIWSEDELNRGIFLYATLQRQEKIFSLIYKMGAKKNSMATSWDKYQNNILHQAAFIAPSSQLDRVSGAPLQMQRELQWYKEVESIVQPKYREMLNSSHKTAQTLFTEQHRKLVEEGEKWMKATAESCTVVAALIATIMFSAIFTVPGGYDQYSGIPIYLNRNSFMVFIVSDAMSLFASSSSLLMFFGILTSRYREEDFLKSLPTKLIVGLSCLFFSIATMMITFGITLVMMLRERFHWVSFPIILLASLPVTLFALLQFPLLVEIFFSTYGPGIFDKPKKWWLF >Potri.007G110000.3.v4.1 pep chromosome:Pop_tri_v4:7:13196320:13199989:-1 gene:Potri.007G110000.v4.1 transcript:Potri.007G110000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110000.v4.1 MKSRPPDSHESSSKEYEMKDTAYIQYLPLYKAVDIGDLEATMKFLKEHPDGLTASISADGDTALHAAVLAGHIEIVVELVNQLGEGDLEIKNRNNATALNYAAIGGITRIAEDLVAKNEGLLKVPNQKGLIPVVVASLYGHKDMVRYLYSVSPKEELSPATNNKNGVMLLTTCIIDELYDIALDLLQHYPQLAFYQDSDKDTALDMLAQKPSAFPSGTQFSLWQKWIYKCIRVPQPLASSNNHGDIERPDRGPTDRRNIVKRASDKLLVMVWKGLRIFVPAIKHMYNLKLMHGQAHAVLCCLCEQISTLHKSEFKVIGVYRAVFSAVKHGIVEFIIEMIRHYPDIIWSEDELNRGIFLYATLQRQEKIFSLIYKMGAKKNSMATSWDKYQNNILHQAAFIAPSSQLDRVSGAPLQMQRELQWYKEVESIVQPKYREMLNSSHKTAQTLFTEQHRKLVEEGEKWMKATAESCTVVAALIATIMFSAIFTVPGGYDQYSGIPIYLNRNSFMVFIVSDAMSLFASSSSLLMFFGILTSRYREEDFLKSLPTKLIVGLSCLFFSIATMMITFGITLVMMLRERFHWVSFPIILLASLPVTLFALLQFPLLVEIFFSTYGPGIFDKPKKWWLF >Potri.007G110000.8.v4.1 pep chromosome:Pop_tri_v4:7:13196498:13203547:-1 gene:Potri.007G110000.v4.1 transcript:Potri.007G110000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110000.v4.1 MDDLNISQVASPSNKDSYSDFTLSKAPRKMKSRPPDSHESSSKEYEMKDTAYIQYLPLYKAVDIGDLEATMKFLKEHPDGLTASISADGDTALHAAVLAGHIEIVVELVNQLGEGDLEIKNRNNATALNYAAIGGITRIAEDLVAKNEGLLKVPNQKGLIPVVVASLYGHKDMVRYLYSVSPKEELSPATNNKNGVMLLTTCIIDELYDIALDLLQHYPQLAFYQDSDKDTALDMLAQKPSAFPSGTQFSLWQKWIYKCIRVPQPLASSNNHGDIERPDRGPTDRRNIVKRASDKLLVMVWKGLRIFVPAIKHMYNLKLMHGQAHAVLCCLCEQISTLHKSEFKVIGVYRAVFSAVKHGIVEFIIEMIRHYPDIIWSEDELNRGIFLYATLQRQEKIFSLIYKMGAKKNSMATSWDKYQNNILHQAAFIAPSSQLDRVSGAPLQMQRELQWYKEVESIVQPKYREMLNSSHKTAQTLFTEQHRKLVEEGEKWMKATAESCTVVAALIATIMFSAIFTVPGGYDQYSGIPIYLNRNSFMVFIVSDAMSLFASSSSLLMFFGILTSRYREEDFLKSLPTKLIVGLSCLFFSIATMMITFGITLVMMLRERFHWVSFPIILLASLPVTLFALLQFPLLVEIFFSTYGPGIFDKPKKWWLF >Potri.001G306932.2.v4.1 pep chromosome:Pop_tri_v4:1:31654916:31656087:-1 gene:Potri.001G306932.v4.1 transcript:Potri.001G306932.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306932.v4.1 MASSTILSALIISLSLLEFMPHHAIADPADGFTSLSLDRSNFVIHKPYDVSESERYSFQNGIHKLWVYSADKPFMSGSNTSPRTEVRVRGYDYTSGVWQFEGYAYVPSGTTGVSIMQIFGGASAATTMMLRVYNGALSYYRTPIAQNIYDRWFRVNVIHDAGAPKVKVYIDGSLVHEADGNGASSHYFKFGVYAQDGASDYMESRWRGIKVLRK >Potri.009G037700.1.v4.1 pep chromosome:Pop_tri_v4:9:4668526:4674777:-1 gene:Potri.009G037700.v4.1 transcript:Potri.009G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037700.v4.1 MAGEGQQQKGATTMEAGLLDSIISRLLEFRQARLAKQPQVQLSENEIRQLCAVSRDIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHESASINRIYGFYDECKRRFNVKLWKTFTDCFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTDVPDSGLLCDLLWSDPDKDIKGWGMNDRGVSYTYGPDKVAEFLMKNDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAVMSVDETLMCSFQILKPADKKFM >Potri.007G048600.2.v4.1 pep chromosome:Pop_tri_v4:7:4543568:4546525:1 gene:Potri.007G048600.v4.1 transcript:Potri.007G048600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048600.v4.1 MARNLGKENSSSVRDLQDLSITGSDHKPTKKTRKDINRDYLKRKKNKAKKLKAKRKKLEKSNSHLEGQAYQLRKDQKEAREENIKLMIVQKSQSDSMLELEKNLIALGEKINALKEEHAHEIHALKEEHAQEIRALREELEWQMKMCSSRHIDVGQIENAREMNNPMETPTTAQATSYFFNADHFASQMA >Potri.012G075550.1.v4.1 pep chromosome:Pop_tri_v4:12:9902907:9903206:1 gene:Potri.012G075550.v4.1 transcript:Potri.012G075550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075550.v4.1 MSKVLQLTSSVNRISYEPCEVQIRAHPYHCWKRFCSVRILVLSNETGFLEEVRGLTWDFGCHTLTSHMVLTHPQESDSMGREIDSLYLWMMRIGSKQKA >Potri.002G080100.2.v4.1 pep chromosome:Pop_tri_v4:2:5651915:5656387:1 gene:Potri.002G080100.v4.1 transcript:Potri.002G080100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080100.v4.1 MVRMSTVTEEPNKPASHSTTAKTLLKAGKWNCPCGGKAPLLQSLQPWSTKASKRCSSSRHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLYGPELYKSMVLELNARDDRGINVVQTRIKDFAAVAVGSGQCQGGYPCPPYKITILDEADSMTEDAQALHGYHLIWHNALRLTMETFSKVTRFFFICNYISRIIEPLASRCAKFRFKPLSEEITSSRILHICNEEGLTLDAEALSTLSSISQGDLCRAITYLQGAARLFGSSISSKDLISVSGAIPQEVTEALYEACKSGDFDLANKEVNNIIAEGYPVSQILAQLFEVVVEADDLSNERKACMCKSLATADKCLIDGADEYLQLLDVASNTMRALCNMPQERYYDS >Potri.001G042200.1.v4.1 pep chromosome:Pop_tri_v4:1:3071606:3072695:-1 gene:Potri.001G042200.v4.1 transcript:Potri.001G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042200.v4.1 MKTPMTSAILLAAILAFVGLIAINGAQARILPDSFGLKVAIIAAYGRSYSSPPPSPIPSPSSKELTSNYEKRSSPPPQSPKIDPPIGQVTTSNGRTTPSPPPPKPASPEGELKIGSPCTDGTPCNDGYISMITNFERPLPSSPPPPDPATPISHITFDLEPKVHARSPPGEYAYSSA >Potri.006G265600.4.v4.1 pep chromosome:Pop_tri_v4:6:26109873:26112292:1 gene:Potri.006G265600.v4.1 transcript:Potri.006G265600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265600.v4.1 MANRHTIVLMQNSQNRSTRTFMDYDSISQAMDGICTLYERKLKDLNPANRNITYDIADLYNFIDGLADMSALVYDHSIQAYLPNDRQWIKQRLLQHLKKLAH >Potri.004G001900.2.v4.1 pep chromosome:Pop_tri_v4:4:132532:138658:-1 gene:Potri.004G001900.v4.1 transcript:Potri.004G001900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001900.v4.1 MASSCFHAFRLRRSKSKPLKISSSSKYHSNSEMENLERKRFDSLESWSMILESENVETWEAPKEDQEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPTQKEETRAFLEQQFKCEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCQETKGNKGTYRWMAPEMIKEKHCTRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWAANPSKRPDFSYIVSALEKYDECVKEGLPLTSHSGLVNRNVILERLKGCVSMSSSVTVHA >Potri.006G208800.1.v4.1 pep chromosome:Pop_tri_v4:6:21669188:21670338:-1 gene:Potri.006G208800.v4.1 transcript:Potri.006G208800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208800.v4.1 MKSESAAIDIPESSSVAKGKAPLIAVSRNEKGGYRKGIAIFDFILRLAAIATALAAAAAMGTSDETLPFFTQFFQFQASYDDLPTFQFFVIAIAIVGGYLVLSLPFSIVAIVRPHAVGPRLLLIILDAVALTLNTAAGAAAAAIVYLAHNGNSNTNWLAICQQYGDFCQKVSGAVVASFITVVIFVFLIVLSAFALRRH >Potri.003G156400.2.v4.1 pep chromosome:Pop_tri_v4:3:16733602:16738212:1 gene:Potri.003G156400.v4.1 transcript:Potri.003G156400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156400.v4.1 MASSQIEIASSSPFGCVLRDHNRHERCSRESTARAAAAAAFQKNLKGLVGENLRTCISVTSDSASNENPTNRVNSKTDDHHQNLRRLTDNQDNIPKNAHDSSIRSGKQARILDQWAAMQAREMVSTIEMQREKAGLLIASLKKPSSMQQNSQDSENPAGQSNNPRMNKNRGASSLVQIWEARLNQSEACLKRSHSMNNSRTGSVSSQTETASPNTEEKSRQSDIADSNTKKEAFVDCGSAKSAPSSIHFRNTDAGEPEKVKIVDIIRRLTSDSNDDDQPLNGAGDGLSRENRHSSVSDRTEQKVLSQVVNSPKIRGRQAFNDLLLQMEQERHRELGSLGERHAVSKFAQRGRIQCLLRLRFLHRGVGFEDQQRPRSSQSTASSSSDRSQHGSTIMHLRERFSAGIENSIQNQPTADGHHQENSTSAEQQSEPQVKISTSNTCEVQEKVNEKTCASSDVTWQGISLQVGNFDPPETSKTTSPLNGDWEDNEIEEEGYFEQTNSDWFSDIARPRSYWEDQRKARYEEKRSGSSDNDEIRQLLERRTVSSFLASDLRDRIDQLMMSRVQRQVSQEDEELDEDSQERMGQLMLSYFQRHSHSADSSEEEELDGGSGGGETAGEESISEEGSPTSHQNIEATDYFDQSSSSQHSKYPFRSWNFSDEQVADACQQAQTKALHLTPPSQVSNQDRRYSSSLSHSSIEMELLYDLKGHMEQLQREMSELRKSIQSCMEMQMNSQNYLKVQEVHPVQGNGKNSFDRRLNKRSCCICYETQVDSFLYRCGHMCTCLKCAHELLQSSGKCPICRAPILDVVRAYLDS >Potri.016G073000.1.v4.1 pep chromosome:Pop_tri_v4:16:5437593:5438825:-1 gene:Potri.016G073000.v4.1 transcript:Potri.016G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073000.v4.1 MSNSDKQPPQRAKTVVIRSVWADNLEEEFKLIRSEIDRYPLISMDTEFPGIVVRPAAGDPYNRHSGPRAHYLSLKANVDLLNLIQIGLTIADEDGNLPDLGLKDVGFIWEFNFRDFDVARDAHAHDSVELLRRQGIDFEKNRELGIDSVKFAELMMSSGLVLNHSVSWVTFHCAYDFGYLVKCLTQKVLPEELNEFFERVRVYFGDRVYDIKHIMRFCGNLHGGLDRVCKELGVDRVIGKSHQAGSDSLLTLHAYLKIKDKYFFNDKDDGRGGGGGGGGGGLDKYANVFYGLELFD >Potri.009G072900.2.v4.1 pep chromosome:Pop_tri_v4:9:7122173:7126494:-1 gene:Potri.009G072900.v4.1 transcript:Potri.009G072900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072900.v4.1 MCGILAVLGCSDDSQAKRVRVLELSRRLKHRGPDWSGLYQCGDFYLAHQRLAIIDPASGDQPLFNEDQAIVVTVNGEIYNHEELRKRLPNHKFRTGSDCDVIAHLYEEYGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGITPLYIGWGLDGSVWISSELKGLNDDCEHFECFPPGHLYSSKSGGLRRWYNPPWFCEAIPSTPYDPLVLRRAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTARHLAGTKAARQWGAQLHSFCVGLENSPDLKAAREVADYLGTVHHEFYFTVQDGIDAIEDVIYHIETYDVTTIRASTPMFLMARKIKALGVKMVISGEGSDEIFGGYLYFHKAPNKEELHRETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKDFINVAMAIDPEWKMIKPGQGHIEKWVLRKAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAAQHVTDKMMQNAEHIFPHNTPTTKEAYYYRMIFERFFPQNSARLSVPGGASVACSTAKAVEWDAAWSNNLDPSGRAALGVHLSDYDQQAALANAGVVPPKIIDTLPRMLEVSASGVAIHS >Potri.012G095600.7.v4.1 pep chromosome:Pop_tri_v4:12:11995103:12000098:1 gene:Potri.012G095600.v4.1 transcript:Potri.012G095600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095600.v4.1 MGYCSLFLLLCFPWSFLITGTHQLQSSQTQVLLQLRKHLEYPAQLEFWNNHGMDLCYLSPSTQLNMTCQDNVVTELRMTGDKPVKVNSFVGFAITNKTLSGNFSMDSFVITLARLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISTMVKLQTLKLDDNFFNDTVPAWFDSLSNLTILSLRNNQLKGPFPSSIQRVTTLTDLILSGNDISGKLPNLDMLSKLNMLDLSENSLDSDLPSMPKGLVMAFLSNNSLSGYRVVKFGGNCLSVDLHHQHAKSSCIDVPVKRKHSGGKNMGVLVGVLAGIFFFIVLLAFGLVMVRKRYFSRGIPEQHLLHKAEQDKSVAGFSSEIFSSARFVSEAAKLGIQGLPACRSFTLEELKEATNNFNNSSILGDGSYGKLYKGILENGTQVAIRCVPSSKKYSMRNLKLRMDLLAKLRHPHLVCLLGHCIDGGGQDDYRVNKVFLVYEYVSNGNFRAYLSEDSPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLNEHGIAKLSDYGLSIISEAAGNCGENGEEPKSWQMSRLEDDVCSFGFILLESLVGPSVSSRRDKLALDELASCNSQEGRQKPLNPIVLATSSQESLSVVITITNKCICSESWSRPSFEDILWNLQYAVQVQATADGEQFR >Potri.012G095600.5.v4.1 pep chromosome:Pop_tri_v4:12:11994739:12000106:1 gene:Potri.012G095600.v4.1 transcript:Potri.012G095600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095600.v4.1 MGYCSLFLLLCFPWSFLITGTHQLQSSQTQVLLQLRKHLEYPAQLEFWNNHGMDLCYLSPSTQLNMTCQDNVVTELRMTGDKPVKVNSFVGFAITNKTLSGNFSMDSFVITLARLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISTMVKLQTLKLDDNFFNDTVPAWFDSLSNLTILSLRNNQLKGPFPSSIQRVTTLTDLILSGNDISGKLPNLDMLSKLNMLDLSENSLDSDLPSMPKGLVMAFLSNNSLSGEIPRQYSQLSQLQHFDMSFNELSGKITASLLSLPSISYLNLASNMLSGSLPDRLTCGSKLQFVDVSNNRLTGGLPYCFTESGYRVVKFGGNCLSVDLHHQHAKSSCIDVPVKRKHSGGKNMGVLVGVLAGIFFFIVLLAFGLVMVRKRYFSRGIPEQHLLHKAEQDKSVAGFSSEIFSSARFVSEAAKLGIQGLPACRSFTLEELKEATNNFNNSSILGDGSYGKLYKGILENGTQVAIRCVPSSKKYSMRNLKLRMDLLAKLRHPHLVCLLGHCIDGGGQDDYRVNKVFLVYEYVSNGNFRAYLSEDSPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLNEHGIAKLSDYGLSIISEAAGNCGENGEEPKSWQMSRLEDDVCSFGFILLESLVGPSVSSRRDKLALDELASCNSQEGRQKPLNPIVLATSSQESLSVVITITNKCICSESWSRPSFEDILWNLQYAVQVQATADGEQFR >Potri.012G095600.1.v4.1 pep chromosome:Pop_tri_v4:12:11995075:11999987:1 gene:Potri.012G095600.v4.1 transcript:Potri.012G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095600.v4.1 MGYCSLFLLLCFPWSFLITGTHQLQSSQTQVLLQLRKHLEYPAQLEFWNNHGMDLCYLSPSTQLNMTCQDNVVTELRMTGDKPVKVNSFVGFAITNKTLSGNFSMDSFVITLARLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISTMVKLQTLKLDDNFFNDTVPAWFDSLSNLTILSLRNNQLKGPFPSSIQRVTTLTDLILSGNDISGKLPNLDMLSKLNMLDLSENSLDSDLPSMPKGLVMAFLSNNSLSGEIPRQYSQLSQLQHFDMSFNELSGKITASLLSLPSISYLNLASNMLSGSLPDRLTCGSKLQFVDVSNNRLTGGLPYCFTESGYRVVKFGGNCLSVDLHHQHAKSSCIDVPVKRKHSGGKNMGVLVGVLAGIFFFIVLLAFGLVMVRKRYFSRGIPEQHLLHKAEQDKSVAGFSSEIFSSARFVSEAAKLGIQGLPACRSFTLEELKEATNNFNNSSILGDGSYGKLYKGILENGTQVAIRCVPSSKKYSMRNLKLRMDLLAKLRHPHLVCLLGHCIDGGGQDDYRVNKVFLVYEYVSNGNFRAYLSEDSPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLNEHGIAKLSDYGLSIISEAAGNCGENGEEPKSWQMSRLEDDVCSFGFILLESLVGPSVSSRRDKLALDELASCNSQEGRQKPLNPIVLATSSQESLSVVITITNKCICSESWSRPSFEDILWNLQYAVQVQATADGEQFR >Potri.012G095600.6.v4.1 pep chromosome:Pop_tri_v4:12:11995017:12000004:1 gene:Potri.012G095600.v4.1 transcript:Potri.012G095600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095600.v4.1 MGYCSLFLLLCFPWSFLITGTHQLQSSQTQVLLQLRKHLEYPAQLEFWNNHGMDLCYLSPSTQLNMTCQDNVVTELRMTGDKPVKVNSFVGFAITNKTLSGNFSMDSFVITLARLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNYLFGSVPPKISTMVKLQTLKLDDNFFNDTVPAWFDSLSNLTILSLRNNQLKGPFPSSIQRVTTLTDLILSGNDISGKLPNLDMLSKLNMLDLSENSLDSDLPSMPKGLVMAFLSNNSLSGEIPRQYSQLSQLQHFDMSFNELSGKITASLLSLPSISYLNLASNMLSGSLPDRLTCGSKLQFVDVSNNRLTGGLPYCFTESGYRVVKFGGNCLSVDLHHQHAKSSCIDVPVKRKHSGGKNMGVLVGVLAGIFFFIVLLAFGLVMVRKRYFSRGIPEQHLLHKAEQDKSVAGFSSEIFSSARFVSEAAKLGIQGLPACRSFTLEELKEATNNFNNSSILGDGSYGKLYKGILENGTQVAIRCVPSSKKYSMRNLKLRMDLLAKLRHPHLVCLLGHCIDGGGQDDYRVNKVFLVYEYVSNGNFRAYLSEDSPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLNEHGIAKLSDYGLSIISEAAGNCGENGEEPKSWQMSRLEDDVCSFGFILLESLVGPSVSSRRDKLALDELASCNSQEGRQKPLNPIVLATSSQESLSVVITITNKCICSESWSRPSFEDILWNLQYAVQVQATADGEQFR >Potri.006G013600.4.v4.1 pep chromosome:Pop_tri_v4:6:841430:847703:-1 gene:Potri.006G013600.v4.1 transcript:Potri.006G013600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013600.v4.1 MLLSLLLQILLTIFGERRKYTSGRLLGTFLWVAYLSADWVATFSLGILARSEADSANPKLIPVFWAPILLVHLGGPGTIPVYSMDQASKLLIGRLLQLVTRVGVACYVLFRLWNNNVITSVFIPIFVSGIIKYGERISVLTRLHVYNNVSPRPCAEVHRIKIKDILPCYKNTRSNVIYLHEAHILYKTFQILSKNFDLVRSDQKFTYDLVSKKEAEEAFHLTEVELGLKYDRFYSKVTRISRSRVILRSTTFLSSISALVSFSIMTKSNGVYSKNDKIISYVLLSGVVCLETYSIIMQLFSDWTMIWLTSTSERAGGIPRGINCLSLLLTFWRKRKRWWSGSMGQHNLISAQSNKPVNKLLKKYFPWIIGNIDSRKKVGKDLKELIFKQVKDKRSRYDPDSSDFTFLKNLLKERGREALQSKDCIGKFGWSVDGVEFIHSLLTWHIATHVCYLYDSDQKNGFHKKRKSVILNSTLLSDYMLYLLVNCPTMLAREPSETRYDDTRIHLRRLLFWNTHKEVKLNISLEELNALSFQEAEVKAFFKELLQSPSTVLKEIEEQGKGEMSALLDGCMLAVSLQSLERRDGWSNDEKWEMISHVWVDMVMYAASHCGWKQHTHALARGGELLTHVCLLMAHLGLSKQCRPAISEQLADRSTRLAGILESEKPSSLGPSFMSKDTTNGTKTNLDSIRSSCYLQIYKRSLSPFTIS >Potri.006G013600.1.v4.1 pep chromosome:Pop_tri_v4:6:842885:846950:-1 gene:Potri.006G013600.v4.1 transcript:Potri.006G013600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013600.v4.1 MLLSLLLQILLTIFGERRKYTSGRLLGTFLWVAYLSADWVATFSLGILARSEADSANPKLIPVFWAPILLVHLGGPGTIPVYSMDQASKLLIGIIKYGERISVLTRLHVYNNVSPRPCAEVHRIKIKDILPCYKNTRSNVIYLHEAHILYKTFQILSKNFDLVRSDQKFTYDLVSKKEAEEAFHLTEVELGLKYDRFYSKVTRISRSRVILRSTTFLSSISALVSFSIMTKSNGVYSKNDKIISYVLLSGVVCLETYSIIMQLFSDWTMIWLTSTSERAGGIPRGINCLSLLLTFWRKRKRWWSGSMGQHNLISAQSNKPVNKLLKKYFPWIIGNIDSRKKVGKDLKELIFKQVKDKRSRYDPDSSDFTFLKNLLKERGREALQSKDCIGKFGWSVDGVEFIHSLLTWHIATHVCYLYDSDQKNGFHKKRKSVILNSTLLSDYMLYLLVNCPTMLAREPSETRYDDTRIHLRRLLFWNTHKEVKLNISLEELNALSFQEAEVKAFFKELLQSPSTVLKEIEEQGKGEMSALLDGCMLAVSLQSLERRDGWSNDEKWEMISHVWVDMVMYAASHCGWKQHTHALARGGELLTHVCLLMAHLGLSKQCRPAISEQLADRSTRLAGILESEKPSSLGPRYISVE >Potri.006G013600.5.v4.1 pep chromosome:Pop_tri_v4:6:842885:849650:-1 gene:Potri.006G013600.v4.1 transcript:Potri.006G013600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013600.v4.1 MDVSSKIDLAQFLSQDIVELWNEWQIRSLMLLSLLLQILLTIFGERRKYTSGRLLGTFLWVAYLSADWVATFSLGILARSEADSANPKLIPVFWAPILLVHLGGPGTIPVYSMDQASKLLIGIIKYGERISVLTRLHVYNNVSPRPCAEVHRIKIKDILPCYKNTRSNVIYLHEAHILYKTFQILSKNFDLVRSDQKFTYDLVSKKEAEEAFHLTEVELGLKYDRFYSKVTRISRSRVILRSTTFLSSISALVSFSIMTKSNGVYSKNDKIISYVLLSGVVCLETYSIIMQLFSDWTMIWLTSTSERAGGIPRGINCLSLLLTFWRKRKRWWSGSMGQHNLISAQSNKPVNKLLKKYFPWIIGNIDSRKKVGKDLKELIFKQVKDKRSRYDPDSSDFTFLKNLLKERGREALQSKDCIGKFGWSVDGVEFIHSLLTWHIATHVCYLYDSDQKNGFHKKRKSVILNSTLLSDYMLYLLVNCPTMLAREPSETRYDDTRIHLRRLLFWNTHKEVKLNISLEELNALSFQEAEVKAFFKELLQSPSTVLKEIEEQGKGEMSALLDGCMLAVSLQSLERRDGWSNDEKWEMISHVWVDMVMYAASHCGWKQHTHALARGGELLTHVCLLMAHLGLSKQCRPAISEQLADRSTRLAGILESEKPSSLGPRYISVE >Potri.006G013600.2.v4.1 pep chromosome:Pop_tri_v4:6:841430:849650:-1 gene:Potri.006G013600.v4.1 transcript:Potri.006G013600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013600.v4.1 MDVSSKIDLAQFLSQDIVELWNEWQIRSLMLLSLLLQILLTIFGERRKYTSGRLLGTFLWVAYLSADWVATFSLGILARSEADSANPKLIPVFWAPILLVHLGGPGTIPVYSMDQASKLLIGRLLQLVTRVGVACYVLFRLWNNNVITSVFIPIFVSGIIKYGERISVLTRLHVYNNVSPRPCAEVHRIKIKDILPCYKNTRSNVIYLHEAHILYKTFQILSKNFDLVRSDQKFTYDLVSKKEAEEAFHLTEVELGLKYDRFYSKVTRISRSRVILRSTTFLSSISALVSFSIMTKSNGVYSKNDKIISYVLLSGVVCLETYSIIMQLFSDWTMIWLTSTSERAGGIPRGINCLSLLLTFWRKRKRWWSGSMGQHNLISAQSNKPVNKLLKKYFPWIIGNIDSRKKVGKDLKELIFKQVKDKRSRYDPDSSDFTFLKNLLKERGREALQSKDCIGKFGWSVDGVEFIHSLLTWHIATHVCYLYDSDQKNGFHKKRKSVILNSTLLSDYMLYLLVNCPTMLAREPSETRYDDTRIHLRRLLFWNTHKEVKLNISLEELNALSFQEAEVKAFFKELLQSPSTVLKEIEEQGKGEMSALLDGCMLAVSLQSLERRDGWSNDEKWEMISHVWVDMVMYAASHCGWKQHTHALARGGELLTHVCLLMAHLGLSKQCRPAISEQLADRSTRLAGILESEKPSSLGPSFMSKDTTNGTKTNLDSIRSSCYLQIYKRSLSPFTIS >Potri.006G260985.1.v4.1 pep chromosome:Pop_tri_v4:6:25711321:25712217:-1 gene:Potri.006G260985.v4.1 transcript:Potri.006G260985.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260985.v4.1 MVRMCKKHLFLGTKAIFLSFLILAASATLVVAARASNLMEPKTGSNDTDFSKYNRPIEPSGPNPCSYTRGPGHCQPPK >Potri.015G069100.7.v4.1 pep chromosome:Pop_tri_v4:15:9465343:9470344:-1 gene:Potri.015G069100.v4.1 transcript:Potri.015G069100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069100.v4.1 MTLSPSLSIPSPSPISTKSIKAKHTFPFPILPSHRRLVSFSSDRKAYSGAWKARAKPKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLDVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKHMQRQIWCKPNEHIYTIMISLLGREGLLEKCSDIFEEMGAHGVSRSVFSYTALINSYGRNGKYEVSLELLERMKKERVSPSILTYNTVINSCARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLCACSNRGLGDEAEMVFRTMNEGGVVPDITTYTYLVDTFGKLNRLDKVSELLKEMASTGNVPEISSYNVLLEAYARIGNIEDATGVFRLMQEAGCVPNAETYSILLGLYGKHGRYDEVRELFLEMKVSNTEPDAATYNTLIDVFGEGGYFKEVVTLFHDMAEENVEPNMETYEGLIFACGKGGLHDDAKKILLHMSEKGMIPSSKAYTGVIEAYGQAAMYEEALVTLNTMNEMGSKPTIETYNTLIYMFARGGLYKETEAILLKMGDFGVARDRDSFNGVIEGFRQGGQFEEAIKAYVEMEKSRLVPDERTLEAVLSVYCIAGLVDESVEQFQEIKASGILPNVMCYCMMLAVYAKSDRWNEAYELLDEMLTNRASNIHQVIGQMIKGDFDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKERAVRVLGEATKRGHFPELFRKSKLVWSVDIHRMWEGSAYTAISVWLNNMYEIFMNRQDIPQLASVIVVRGLLEKSSVAQDFPIGKAVHSFLQDIVPSSFSYSGWNNGRITCQRSQLKRFLLGTELVSDGTKKDKFIMLTNSPFSLAGTRTSSDIETSLHNKSNSGARMGTSTELMTSTV >Potri.015G069100.1.v4.1 pep chromosome:Pop_tri_v4:15:9465262:9470341:-1 gene:Potri.015G069100.v4.1 transcript:Potri.015G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069100.v4.1 MTLSPSLSIPSPSPISTKSIKAKHTFPFPILPSHRRLVSFSSDRKAYSGAWKARAKPKELVLGNPSVVVEKGKYSYDVETLINKLSSLPPRGSIARCLDVFKNKLSLNDFALVFKEFAQRGDWQRSLRLFKHMQRQIWCKPNEHIYTIMISLLGREGLLEKCSDIFEEMGAHGVSRSVFSYTALINSYGRNGKYEVSLELLERMKKERVSPSILTYNTVINSCARGGLDWEGLLGLFAEMRHEGIQPDIVTYNTLLCACSNRGLGDEAEMVFRTMNEGGVVPDITTYTYLVDTFGKLNRLDKVSELLKEMASTGNVPEISSYNVLLEAYARIGNIEDATGVFRLMQEAGCVPNAETYSILLGLYGKHGRYDEVRELFLEMKVSNTEPDAATYNTLIDVFGEGGYFKEVVTLFHDMAEENVEPNMETYEGLIFACGKGGLHDDAKKILLHMSEKGMIPSSKAYTGVIEAYGQAAMYEEALVTLNTMNEMGSKPTIETYNTLIYMFARGGLYKETEAILLKMGDFGVARDRDSFNGVIEGFRQGGQFEEAIKAYVEMEKSRLVPDERTLEAVLSVYCIAGLVDESVEQFQEIKASGILPNVMCYCMMLAVYAKSDRWNEAYELLDEMLTNRASNIHQVIGQMIKGDFDDDSNWQMVEYVFDKLNSEGCGLGMRFYNTLLEALWWLGQKERAVRVLGEATKRGHFPELFRKSKLVWSVDIHRMWEGSAYTAISVWLNNMYEIFMNRQDIPQLASVIVVRGLLEKSSVAQDFPIGKAVHSFLQDIVPSSFSYSGWNNGRITCQRSQLKRFLLGTELVSDGTKKDKFIMLTNSPFSLAGTRTSSDIETSLHNKSNSGARMGTSTELMTSTV >Potri.008G089600.7.v4.1 pep chromosome:Pop_tri_v4:8:5592335:5599425:1 gene:Potri.008G089600.v4.1 transcript:Potri.008G089600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089600.v4.1 MEESTLSLVSNLEILDTLSADSVQEIVGSYGSFCSATLSLLHGGDASDLFSHVQILCKHGLLSLVRDFFLKSLEEAFERNLASKFWRHFDCYSNVGANYEIELQQVLCIALEEISLEKQYQEKCLLLLVRALLLEGKTDSDVEREYLFSKYQLMVSSVLMASLPRHFPELLHWYFKGRLEELSTIMDGEFNGGDDDASQDKDDMDLDEMGKMLHRNGAMDIDESCLQGKFTENNNLVKNIGKVVRDLRSLGFTSMTEDAYASAIFLLLKAKVHDLAGDDYRASVLGSINEWIKDVPLQFLHALLAYLGETTSYYSPSPGHRSPLASHPSACYPAINAPSEGLVRWHLRLEYFAYETLQDLRISKLFEIIVDYPDSSPAIEDLKQCLDYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIKDYLRGRKDTIKCIVTMLTDGTGGNPNGSGITGDSLLEELNRDEESQENVGADDDFNTDDKQAWVNAASWVPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQRCEIMLNDLIDSKRTNHNIKATIKSAQTGSEPAETGASMDILNATILSSNFWPPIQDEALNVPEPVNQLLTDYAKRFHEIKTPRKLLWKKNLGTVKLELQFEDRTLQLSVAPIHAAIIMQFQDQTSWTSNRLATVIGVPVDVLNRRINFWISKGILTESLGKDLNDHVFTLVEGIVDAGKNSGNTGSCEELLGGDEEGERSVASVEDQIRKEMTIYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >Potri.008G089600.10.v4.1 pep chromosome:Pop_tri_v4:8:5592114:5599025:1 gene:Potri.008G089600.v4.1 transcript:Potri.008G089600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089600.v4.1 MEESTLSLVSNLEILDTLSADSVQEIVGSYGSFCSATLSLLHGGDASDLFSHVQILCKHGLLSLVRDFFLKSLEEAFERNLASKFWRHFDCYSNVGANYEIELQQVLCIALEEISLEKQYQEKCLLLLVRALLLEGKTDSDVEREYLFSKYQLMVSSVLMASLPRHFPELLHWYFKGRLEELSTIMDGEFNGGDDDASQDKDDMDLDEMGKMLHRNGAMDIDESCLQGKFTENNNLVKNIGKVVRDLRSLGFTSMTEDAYASAIFLLLKAKVHDLAGDDYRASVLGSINEWIKDVPLQFLHALLAYLGETTSYYSPSPGHRSPLASHPSACYPAINAPSEGLVRWHLRLEYFAYETLQDLRISKLFEIIVDYPDSSPAIEDLKQCLDYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIKDYLRGRKDTIKCIVTMLTDGTGGNPNGSGITGDSLLEELNRDEESQENVGADDDFNTDDKQAWVNAASWVPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQRCEIMLNDLIDSKRTNHNIKATIKSAQTGSEPAETGASMDILNATILSSNFWPPIQDEALNVPEPVNQLLTDYAKRFHEIKTPRKLLWKKNLGTVKLELQFEDRTLQLSVAPIHAAIIMQFQDQTSWTSNRLATVIGVPVDVLNRRINFWISKGILTESLGKDLNDHVFTLVEGIVDAGKNSGNTGSCEELLGGDEEGERSVASVEDQIRKEMTIYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >Potri.008G089600.11.v4.1 pep chromosome:Pop_tri_v4:8:5592315:5599329:1 gene:Potri.008G089600.v4.1 transcript:Potri.008G089600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089600.v4.1 MEESTLSLVSNLEILDTLSADSVQEIVGSYGSFCSATLSLLHGGDASDLFSHVQILCKHGLLSLVRDFFLKSLEEAFERNLASKFWRHFDCYSNVGANYEIELQQVLCIALEEISLEKQYQEKCLLLLVRALLLEGKTDSDVEREYLFSKYQLMVSSVLMASLPRHFPELLHWYFKGRLEELSTIMDGEFNGGDDDASQDKDDMDLDEMGKMLHRNGAMDIDESCLQGKFTENNNLVKNIGKVVRDLRSLGFTSMTEDAYASAIFLLLKAKVHDLAGDDYRASVLGSINEWIKDVPLQFLHALLAYLGETTSYYSPSPGHSSPAIEDLKQCLDYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIKDYLRGRKDTIKCIVTMLTDGTGGNPNGSGITGDSLLEELNRDEESQENVGADDDFNTDDKQAWVNAASWVPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQRCEIMLNDLIDSKRTNHNIKATIKSAQTGSEPAETGASMDILNATILSSNFWPPIQDEALNVPEPVNQLLTDYAKRFHEIKTPRKLLWKKNLGTVKLELQFEDRTLQLSVAPIHAAIIMQFQDQTSWTSNRLATVIGVPVDVLNRRINFWISKGILTESLGKDLNDHVFTLVEGIVDAGKNSGNTGSCEELLGGDEEGERSVASVEDQIRKEMTIYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >Potri.008G089600.8.v4.1 pep chromosome:Pop_tri_v4:8:5592318:5599385:1 gene:Potri.008G089600.v4.1 transcript:Potri.008G089600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089600.v4.1 MEESTLSLVSNLEILDTLSADSVQEIVGSYGSFCSATLSLLHGGDASDLFSHVQILCKHGLLSLVRDFFLKSLEEAFERNLASKFWRHFDCYSNVGANYEIELQQVLCIALEEISLEKQYQEKCLLLLVRALLLEGKTDSDVEREYLFSKYQLMVSSVLMASLPRHFPELLHWYFKGRLEELSTIMDGEFNGGDDDASQDKDDMDLDEMGKMLHRNGAMDIDESCLQGKFTENNNLVKNIGKVVRDLRSLGFTSMTEDAYASAIFLLLKAKVHDLAGDDYRASVLGSINEWIKDVPLQFLHALLAYLGETTSYYSPSPGHRSPLASHPSACYPAINAPSEGLVRWHLRLEYFAYETLQDLRISKLFEIIVDYPDSSPAIEDLKQCLDYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIKDYLRGRKDTIKCIVTMLTDGTGGNPNGSGITGDSLLEELNRDEESQENVGADDDFNTDDKQAWVNAASWVPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQRCEIMLNDLIDSKRTNHNIKATIKSAQTGSEPAETGASMDILNATILSSNFWPPIQDEALNVPEPVNQLLTDYAKRFHEIKTPRKLLWKKNLGTVKLELQFEDRTLQLSVAPIHAAIIMQFQDQTSWTSNRLATVIGVPVDVLNRRINFWISKGILTESLGKDLNDHVFTLVEGIVDAGKNSGNTGSCEELLGGDEEGERSVASVEDQIRKEMTIYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >Potri.008G089600.9.v4.1 pep chromosome:Pop_tri_v4:8:5592276:5599289:1 gene:Potri.008G089600.v4.1 transcript:Potri.008G089600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089600.v4.1 MEESTLSLVSNLEILDTLSADSVQEIVGSYGSFCSATLSLLHGGDASDLFSHVQILCKHGLLSLVRDFFLKSLEEAFERNLASKFWRHFDCYSNVGANYEIELQQVLCIALEEISLEKQYQEKCLLLLVRALLLEGKTDSDVEREYLFSKYQLMVSSVLMASLPRHFPELLHWYFKGRLEELSTIMDGEFNGGDDDASQDKDDMDLDEMGKMLHRNGAMDIDESCLQGKFTENNNLVKNIGKVVRDLRSLGFTSMTEDAYASAIFLLLKAKVHDLAGDDYRASVLGSINEWIKDVPLQFLHALLAYLGETTSYYSPSPGHRSPLASHPSACYPAINAPSEGLVRWHLRLEYFAYETLQDLRISKLFEIIVDYPDSSPAIEDLKQCLDYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIKDYLRGRKDTIKCIVTMLTDGTGGNPNGSGITGDSLLEELNRDEESQENVGADDDFNTDDKQAWVNAASWVPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQRCEIMLNDLIDSKRTNHNIKATIKSAQTGSEPAETGASMDILNATILSSNFWPPIQDEALNVPEPVNQLLTDYAKRFHEIKTPRKLLWKKNLGTVKLELQFEDRTLQLSVAPIHAAIIMQFQDQTSWTSNRLATVIGVPVDVLNRRINFWISKGILTESLGKDLNDHVFTLVEGIVDAGKNSGNTGSCEELLGGDEEGERSVASVEDQIRKEMTIYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >Potri.008G089600.6.v4.1 pep chromosome:Pop_tri_v4:8:5592312:5599437:1 gene:Potri.008G089600.v4.1 transcript:Potri.008G089600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089600.v4.1 MEESTLSLVSNLEILDTLSADSVQEIVGSYGSFCSATLSLLHGGDASDLFSHVQILCKHGLLSLVRDFFLKSLEEAFERNLASKFWRHFDCYSNVGANYEIELQQVLCIALEEISLEKQYQEKCLLLLVRALLLEGKTDSDVEREYLFSKYQLMVSSVLMASLPRHFPELLHWYFKGRLEELSTIMDGEFNGGDDDASQDKDDMDLDEMGKMLHRNGAMDIDESCLQGKFTENNNLVKNIGKVVRDLRSLGFTSMTEDAYASAIFLLLKAKVHDLAGDDYRASVLGSINEWIKDVPLQFLHALLAYLGETTSYYSPSPGHRSPLASHPSACYPAINAPSEGLVRWHLRLEYFAYETLQDLRISKLFEIIVDYPDSSPAIEDLKQCLDYTGQHSKLVESFISALRYRLLTAGASTNDILHQYVSTIKALRTIDPAGVFLEAVGEPIKDYLRGRKDTIKCIVTMLTDGTGGNPNGSGITGDSLLEELNRDEESQENVGADDDFNTDDKQAWVNAASWVPDPVEADPLKGSRNQRKVDILGMIVGIIGSKDQLVNEYRVMLAEKLLNKSDYDIDSEIRTLELLKIHFGESSMQRCEIMLNDLIDSKRTNHNIKATIKSAQTGSEPAETGASMDILNATILSSNFWPPIQDEALNVPEPVNQLLTDYAKRFHEIKTPRKLLWKKNLGTVKLELQFEDRTLQLSVAPIHAAIIMQFQDQTSWTSNRLATVIGVPVDVLNRRINFWISKGILTESLGKDLNDHVFTLVEGIVDAGKNSGNTGSCEELLGGDEEGERSVASVEDQIRKEMTIYEKFIMGMLTNFGSMALDRIHNTLKMFCVADPPYDKSLQQLQSFLSGLVSEEKLELRDGMYFLKK >Potri.002G197900.1.v4.1 pep chromosome:Pop_tri_v4:2:16071939:16077804:-1 gene:Potri.002G197900.v4.1 transcript:Potri.002G197900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G197900.v4.1 MDSICLKTAGIHGIASTISTVSGSQVEARSITNASQLSAVARDKSTAPQKTASPTFSFSLRRPWQSLWSGGGKSTRYNGMASDDAVLVENGVEGESETMGSSEGENGNWVLKILQVKSLWEDERKGSFDVVNEEVRKEGDAALVNDEEEECDVCRVGDDDDEEEEGEKEIEFDRDSFSSLLRRASLAEAKMYEKMSYLGNLAYCIPDIKPESLLKRRGLFFVTSSIERREMAMRTEKNHVPPEDEEAESTIAKDELEGNEQENAGNRISASSAYQIAASAASYLHSHTKSILPLKSSKADTSEDSYQGGNKTIGPINTMNPDVASFMATTDSMTAVVAAKEEVKQAVADDLSSTRSSPCEWFICDDDRGTRFFAIQGSETLASWQANLLFEPVLFEGLDVLVHRGIYEAAKGMYEQMLPEVRAHLKSHGRGATFRFTGHSLGGSLSLLLNLMLKIRGEVPASSLLPVITFGAPSIMCGGDRLLRKLGLPRSHVQAIMMHRDIVPRAFSCNYPNHVAELLKAVNANFRNHPCLNNQKLLYAPMGELLILQPDEKFSPHHHLLPSGSGLYFLNCPPSDTSDAEKQLRSAQIVFLNSPHPLEILRDRSAYGSEGTIQRDHDMNSYFKSVRNVIRQELNRIRKARRQHRRKFWLSIVAPHGNDASILVGRPVGFMNMGQNQFNFSGILQTGRESLKRFSRLVASQHMHLLVVLMCPARVLLFGAYSTINYH >Potri.002G227500.1.v4.1 pep chromosome:Pop_tri_v4:2:21665283:21666615:-1 gene:Potri.002G227500.v4.1 transcript:Potri.002G227500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G227500.v4.1 MGAIKGAIVDGILTAMWVFSVPLLGVFSSIIATYVGVEAMSIAGLFISINVAALFMLTFSLIGAAFGGASFNPATTITLYIAGLKPDASLLSMALRFPVQAAGGVGGAMAIRGVMPKHYRHVLKGGPSLRVDLHTGAIAEGVLTFLICLTLHFLLLKGPKNVVLKVWLLAVATVGLVMAGGKYTGPSMNPANAYGWAYLGNRHTTWDFFYVYWICPFIGAILAAFVSKFLFKAAPIKEKKA >Potri.006G017900.1.v4.1 pep chromosome:Pop_tri_v4:6:1116847:1117471:-1 gene:Potri.006G017900.v4.1 transcript:Potri.006G017900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017900.v4.1 MDIPERLLQYRHHFAIAITASVVLSLLLYAAPRLVTILAYFWPLLASTAVFLILILVFGGTVTHMATDSHGEKAGEGLLDYVAGQPENVEQSQKYE >Potri.003G155000.2.v4.1 pep chromosome:Pop_tri_v4:3:16640196:16642792:-1 gene:Potri.003G155000.v4.1 transcript:Potri.003G155000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155000.v4.1 MSGGGGGRLKQLLAVAVTKGVEEARARIFGHVLNPTGLRSPHKILRKKLFGEKVAQWYPHDITKDDPLNIDRREEKRLSKLEILKRRGKGPPKKGQGKGAVKRNKGK >Potri.018G087300.4.v4.1 pep chromosome:Pop_tri_v4:18:10520186:10524681:-1 gene:Potri.018G087300.v4.1 transcript:Potri.018G087300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087300.v4.1 MVTPTIGPSIPLALISMLLFFLKGGCIIVDSTRKGKRFPDSMSKTIPIWTCVLNRAILNYRNKMLDSGLLMNREVADYSNCAEDVKLKNHIWDCSLHLPLWVPDTEKAAIEERIEKWTNQLEASGADIACISSCLKKPLRPLWISQKTVIWLNEVPDHNQWDFTPIILVSASSSSDVFRNKTTSEFSWNYIPGAGDDEESWARGLTPTHFWSHVHDLISSGPDLCNQKVADIVEKDRVYRAHRGEYAPPVTVKNLKMADDVADVSNVELPLASNMHSDDTTLQPSNDYCTTFWIGSTNLVVGTTKHGMLSISFFSFFNLAC >Potri.018G087300.1.v4.1 pep chromosome:Pop_tri_v4:18:10520018:10524683:-1 gene:Potri.018G087300.v4.1 transcript:Potri.018G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087300.v4.1 MENYRDGKLSIYRAARSIKRRDNTVYNALQSIHEDSIFVGEISQLWPDLPLIANLRCGLWYSPKFHSTCYFKSTDGHTNNWSFNTSRLNLHVALLSGQKGGCIIVDSTRKGKRFPDSMSKTIPIWTCVLNRAILNYRNKMLDSGLLMNREVADYSNCAEDVKLKNHIWDCSLHLPLWVPDTEKAAIEERIEKWTNQLEASGADIACISSCLKKPLRPLWISQKTVIWLNEVPDHNQWDFTPIILVSASSSSDVFRNKTTSEFSWNYIPGAGDDEESWARGLTPTHFWSHVHDLISSGPDLCNQKVADIVEKDRVYRAHRGEYAPPVTVKNLKMADDVADVSNVELPLASNMHSDDTTLQPSNDYCTTFWIGSTNLVVGTTKHAALMSNVDCILNCDKEPVSINLPDSEAHLHLPMVSSKLDRFSLFNNLPAAVNFAKLNLRKAHRLLVCCRSGEDISVCICLAILTSLFDDKGTFDDGRSFSETCITKLEMRRRLVFVCKFAITARPSRGNLKQVFGFLTGGSAASA >Potri.017G029800.3.v4.1 pep chromosome:Pop_tri_v4:17:2022675:2028264:1 gene:Potri.017G029800.v4.1 transcript:Potri.017G029800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029800.v4.1 MLNKESEDGKEYFRKLSRKELQSLCKQCSLPARKSTSEMVESLAFYFMRKGLSLVSSSTSIVGVQNALLHTSSMPPLQPKPALNSIQDGFELRSCPGEEINKGNRNFKYNKSESFIGPGAYNKEIFGGLINDFREVSPSQFFSQYAGSHVNHNKPQLSLGGRVEDSPPFHGTDVNTIASSEENAQPSMKTTANVPASFEFHVSSEEGIKLCVDLNSSPSEWIKKYKNQVSLCDNVVNTKSRSLYQELGCIGESNKKMKSSVLQNMDSDQIRDDFVQTDPSPSSVAGKNINVSNGHPVGGNNSLISSPIIPCGVVVDVTQSLEADPGLASAEPSSDGQNQKTSNTESCSKKESIAAPDSDITDTTLEKTACNFAVNSISNGSVDCIALMHQSSKRDDEVCENSTQQNSCNLENASVVFPGCFMEMQLSETGNYPKDASCLPHKNGKFLDPYNSKHNRGSEQDGLANSSENNRCDNQVPACSEEQEWSNAISGRESSVCSQVDDSFGKTSLKSEILRSSEELLRKRSHVDRASQNGCGKHDTIILRSTRRSAGKVLPRRSMRLVSK >Potri.017G029800.4.v4.1 pep chromosome:Pop_tri_v4:17:2022668:2028664:1 gene:Potri.017G029800.v4.1 transcript:Potri.017G029800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029800.v4.1 MLNKESEDGKEYFRKLSRKELQSLCKQCSLPARKSTSEMVESLAFYFMRKGLSLVSSSTSIVGVQNALLHTSSMPPLQPKPALNSIQDGFELRSCPGEEINKGNRNFKYNKSESFIGPGAYNKEIFGGLINDFREVSPSQFFSQYAGSHVNHNKPQLSLGGRVEDSPPFHGTDVNTIASSEENAQPSMKTTANVPASFEFHVSSEEGIKLCVDLNSSPSEWIKKYKNQVSLCDNVVNTKSRSLYQELGCIGESNKKMKSSVLQNMDSDQIRDDFVQTDPSPSSVAGKNINVSNGHPVGGNNSLISSPIIPCGVVVDVTQSLEADPGLASAEPSSDGQNQKTSNTESCSKKESIAAPDSDITDTTLEKTACNFAVNSISNGSVDCIALMHQSSKRDDEVCENSTQQNSCNLENASVVFPGCFMEMQLSETGNYPKDASCLPHKNGKFLDPYNSKHNRGSEQDGLANSSENNRCDNQVPACSEEQEWSNAISGRESSVCSQVDDSFGKTSLKSEILRSSEELLRKRSHVDRASQNGCGKHDTIILRSTRRSAGKVLPRRSMRLVSK >Potri.017G029800.7.v4.1 pep chromosome:Pop_tri_v4:17:2022705:2028289:1 gene:Potri.017G029800.v4.1 transcript:Potri.017G029800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029800.v4.1 MLNKESEDGKEYFRKLSRKELQSLCKQCSLPARKSTSEMVESLAFYFMRKGLSLVSSSTSIVGVQNALLHTSSMPPLQPKPALNSIQDGFELRSCPGEEINKGNRNFKYNKSESFIGPGAYNKEIFGGLINDFREVSPSQFFSQYAGSHVNHNKPQLSLGGRVEDSPPFHGTDVNTIASSEENAQPSMKTTANVPASFEFHVSSEEGIKLCVDLNSSPSEWIKKYKNQVSLCDNVVNTKSRSLYQELGCIGESNKKMKSSVLQNMDSDQIRDDFVQTDPSPSSVAGKNINVSNGHPVGGNNSLISSPIIPCGVVVDVTQSLEADPGLASAEPSSDGQNQKTSNTESCSKKESIAAPDSDITDTTLEKTACNFAVNSISNGSVDCIALMHQSSKRDDEVCENSTQQNSCNLENASVVFPGCFMEMQLSETGNYPKDASCLPHKNGKFLDPYNSKHNRGSEQDGLANSSENNRCDNQVPACSEEQEWSNAISGRESSVCSQVDDSFGKTSLKSEILRSSEELLRKRSHVDRASQNGCGKHDTIILRSTRRSAGKVLPRRSMSEFAFYMLPASQQLD >Potri.017G029800.1.v4.1 pep chromosome:Pop_tri_v4:17:2022662:2028289:1 gene:Potri.017G029800.v4.1 transcript:Potri.017G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029800.v4.1 MLNKESEDGKEYFRKLSRKELQSLCKQCSLPARKSTSEMVESLAFYFMRKGLSLVSSSTSIVGVQNALLHTSSMPPLQPKPALNSIQDGFELRSCPGEEINKGNRNFKYNKSESFIGPGAYNKEIFGGLINDFREVSPSQFFSQYAGSHVNHNKPQLSLGGRVEDSPPFHGTDVNTIASSEENAQPSMKTTANVPASFEFHVSSEEGIKLCVDLNSSPSEWIKKYKNQVSLCDNVVNTKSRSLYQELGCIGESNKKMKSSVLQNMDSDQIRDDFVQTDPSPSSVAGKNINVSNGHPVGGNNSLISSPIIPCGVVVDVTQSLEADPGLASAEPSSDGQNQKTSNTESCSKKESIAAPDSDITDTTLEKTACNFAVNSISNGSVDCIALMHQSSKRDDEVCENSTQQNSCNLENASVVFPGCFMEMQLSETGNYPKDASCLPHKNGKFLDPYNSKHNRGSEQDGLANSSENNRCDNQVPACSEEQEWSNAISGRESSVCSQVDDSFGKTSLKSEILRSSEELLRKRSHVDRASQNGCGKHDTIILRSTRRSAGKVLPRRSMSEFAFYMLPASQQLD >Potri.013G090400.1.v4.1 pep chromosome:Pop_tri_v4:13:9162280:9164801:-1 gene:Potri.013G090400.v4.1 transcript:Potri.013G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090400.v4.1 MFKKPRKQQETNMDETISLILHGCKLAKDLELNLANLASQPEIFSRSCEDIIRVFINARERLNVHQQDTTSYTHHMLFREPRELQQQQNIDPSLQEWLRTSCPSAMETLFHQSQVLVPEKTQMGSGDFVIELGSRSDHVQAIDASDPGRGSSSSSQRPRRRKDDAERRTVRVPAQQFGNTEIPPEDGFTWRKYGQKEILGSRFPRAYYRCTHQKLYHCLAKKQVQRLDDDPYTFEVAYRGEHTCHMSATAPSVPPAAADIAQEMAQTMSAQPQPSAATSLARWLEFSLGSGGSAGCSSSSMAAGAGSSGEGPSTAGRYSKEADCPIIDMADAMFNSGSSSTNSMEFIFPSILEEKWDQASDKKP >Potri.015G004000.2.v4.1 pep chromosome:Pop_tri_v4:15:251197:254869:-1 gene:Potri.015G004000.v4.1 transcript:Potri.015G004000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004000.v4.1 MGPFLNLILCQTLLSFLICLDSTQATFDPQSYREQILSLSQQDKGWLITIRRQIHENPELRFEEYNTSALIRSELDKLGISYTYPVAKTGIVAQIGSGSPPVVALRADMDALPLQELVEWDHKSKVDGKMHGCGHDAHTAMLLGAAKLLNERKHMLKGTVRLLFQPAEEGGAGASHMIKEGALGDAEAIFGMHIDYTKPTGTIASLPGPVLAAVSFFQVKIEGKGGHAAGPHNAVDPLLAASFAILALQQLISRELDPLHSQVLSITYVRGGTALNVIPSYFEFGGTLRSLTTEGLLQLQQRLQEVVEGQAAVHRCRAYIDINVEGFPFYPATVNDEKLNLHLERVSGLIFGPENVKMGEKVMAGEDFAFYQEVIPGVMLSIGIRNENVGSIHSPHSPYFFLDEDVLPIGAALHTALAEIYLNEHQQSAAQVENETP >Potri.015G004000.1.v4.1 pep chromosome:Pop_tri_v4:15:251261:254896:-1 gene:Potri.015G004000.v4.1 transcript:Potri.015G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004000.v4.1 MGPFLNLILCQTLLSFLICLDSTQATFDPQSYREQILSLSQQDKGWLITIRRQIHENPELRFEEYNTSALIRSELDKLGISYTYPVAKTGIVAQIGSGSPPVVALRADMDALPLQELVEWDHKSKVDGKMHGCGHDAHTAMLLGAAKLLNERKHMLKGTVRLLFQPAEEGGAGASHMIKEGALGDAEAIFGMHIDYTKPTGTIASLPGPVLAAVSFFQVKIEGKGGHAAGPHNAVDPLLAASFAILALQQLISRELDPLHSQVLSITYVRGGTALNVIPSYFEFGGTLRSLTTEGLLQLQQRLQEVVEGQAAVHRCRAYIDINVEGFPFYPATVNDEKLNLHLERVSGLIFGPENVKMGEKVMAGEDFAFYQEVIPGVMLSIGIRNENVGSIHSPHSPYFFLDEDVLPIGAALHTALAEIYLNEHQQSAAQASPNLHSLPFQHRS >Potri.003G167100.1.v4.1 pep chromosome:Pop_tri_v4:3:17632584:17633884:1 gene:Potri.003G167100.v4.1 transcript:Potri.003G167100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167100.v4.1 MAPIIIHALLATLFALPLSLIAEPANNPTSRIIIVGVVYCDTCSTNTFSRYSCFLPGADVHIQCTFQAISPKTTEKIQFSANRTTDRYGIYKVTVPEVDGVDCAVGSTIELVCQASLIRSSYPACNVPGLKMSTDVISVKSKQNNLCIYSMNALSYRPSKKNATLCGKHKEELQISFNSSKFFLPYSPPYGFPWHTLPNMSPSPFPPLPTPSQPFPPLPSPRPPSLPFPFPPLSPTPSLFHPTPPPAFNLGNPRTWIPNTPSLAPPPPPEFNLRDPRTWIPYIPPSPPNNPQNQNP >Potri.019G056000.1.v4.1 pep chromosome:Pop_tri_v4:19:9480860:9481624:1 gene:Potri.019G056000.v4.1 transcript:Potri.019G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056000.v4.1 MKMEAIQSWVSEHKLASIGTLWATAIGGSLAYTRARTPLVKPSLRLIHARMHAQAITLAVLSGAAVYHYYEKQGESAAPRVSNVPK >Potri.007G037500.1.v4.1 pep chromosome:Pop_tri_v4:7:3008113:3009147:-1 gene:Potri.007G037500.v4.1 transcript:Potri.007G037500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037500.v4.1 MDSARDLCRDAIYEVLTRSSMEFVGKWRLLSKEYNKLTYESLFTRLHSQRTNIVSGFFIQSMIKNKYQVPFVSTDAVKTHMQIPFDFLPEHVEIVSSTNQGVLLCHAHNKSCYYVCIPSIQQWQKIPNPKTRYDTIEFGLMIERSKPLRYKIVRFSKPKFRSYKEFYMYQCIRVELFESAIWKWKLSDEVKLPHEESIHRMTKVSVNGSFHWLTWKGNVFAFDIKRESHCLFPLPLSTSEGNDKDIRLTDYKGKLAMTCIDREKNFMEVWIMEDHDRKRWSKRHSINIGVLTRKKPHVSPLAFCNADVVLMGEYFHDVIFLNFKTRHIDMLRLGRGLLHGCFPF >Potri.019G079401.1.v4.1 pep chromosome:Pop_tri_v4:19:12003205:12003447:1 gene:Potri.019G079401.v4.1 transcript:Potri.019G079401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079401.v4.1 MLFSDVKLTVMIEDPRREAERRRLPGINDGHG >Potri.014G092200.1.v4.1 pep chromosome:Pop_tri_v4:14:5977746:5979709:1 gene:Potri.014G092200.v4.1 transcript:Potri.014G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092200.v4.1 MALFISPEISTAKILFNPNPRNLKSLNVRVRARSDVRVSGLNQNVRLYGQFSVPVKQETKRTNEEEEKQNYYVNMGYAIRTLREEFPGLFYRELSFDIYRDDIVYKDPINTFGGIESYKSMFWALRFHGRIFFRALWVDIISVSQPAENVIMVRWTVHGIPRVPWESSAQFDGLSEYKLDSKGKIFQHRVDNIALNSPPKFHVLTVEELIQAVGYPSTPKPTYFEVSPSFLKTN >Potri.013G073300.1.v4.1 pep chromosome:Pop_tri_v4:13:6046050:6048900:-1 gene:Potri.013G073300.v4.1 transcript:Potri.013G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073300.v4.1 MSPLILYSALLAIFVYCLLQLRSLRDRHGKPLPPGPKPWPLVGNLPHLGPMPHHSMAALAKTYGPLMHLRFGFVDVVVAASASVAAQFLKVHDSNFSSRPPNSGAKHIAYNYQDLVFAPYGPRWRMLRKISSVHLFSAKSLDDFRHIRQEEVAVLTGALTRSGPTTPVNLGQLLNVCTANALGRVMLGRRVFGDGSGDGDPKADEFKSMVVEVMVLAGVFNIGDFVPALEWLDLQGVAAKMKKLHKRFDAFLTNIVEEHKTSSSTASVRSEKHTDLLSTLIALKEQQDVDGEEGKLTDTEIKALLLNMFTAGTDTSSSTVEWAIAELIRHPDILAQVKKELDSVVGRDRLVTELDLAQLTYLQAVVKETFRLHPSTPLSLPRIAAESCEIGGYHIPKGSTVLVNVWAIARDPDVWTKPLEFRPERFLPGGDKADVDVKGNDFELIPFGAGRRICAGMSLGLRMVQLLTATLIHAFDWDLADGLVPEKLNMDEAYGLTLQRADPLMVHPRPRLSPKVYRTPN >Potri.003G175300.2.v4.1 pep chromosome:Pop_tri_v4:3:18242775:18248911:-1 gene:Potri.003G175300.v4.1 transcript:Potri.003G175300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175300.v4.1 MERKSSSKELQDLLQAIKSSDVVESQIELVNKLRDFDFLEISDLASLLEFLTVFWEDFTCLDISQCMLNKTILSVAAKYVDSDVSGCLVQFLALGTKASGWCGKHLKMTAMSTEESQEEHSNLFFQLLLDLFSLSAASMVALKRHPVFVDNASAATVEKFILEQLNLIKDVVSEIKRINSFGSEALKAAQTVIDTVVRLCKGYFDAVNWDLCDARPEKDENNTDSERANIMNHVTNITKCTIEKLCELGILAANDGGSLVTILNVSWKGVITLLQQGKRVLREMLSVQDIILTLISLVNEPLRCAAGAWSSLLKETISLTEARRTFLPSKFYLTNAVKISSLYPCQAYLVYKEVTLCVIMISSFRILLSYEKLLNTASEVLSELLEKTSIDLLNSLLNSAEVKQELKFKLLDWLFNDDFCSNSMHGDSSSFYHMTSMVEIFSVSCEAMSEARLLLLGRIALFHNLLRYSMDLEDDIKIKITRKLRWFLDMLVVEDVYSFVLDLQIPVPHGSGKTLELIWQPMFSALLHALKTFMIAVSSSFAWAEFEAFLLENLFHPHFLCWEIVMELWCFLVRYAEMDMVKGIIDKLCSLMKLLESPESVLIPGSPLRKVARIICLLAKSTPPMADHVYSSVVGDGRFQLSSVLYAALLLEGFPLNSLSDNIRSGAKQKIITDYFGFIGSFDDKVLTTCSSGAFGIPVHALSASLQAQQVSISDVDVKTLKFLVAIIRNFRNPVEKIMKEHCHELLSEMLGIVSNMKHLYKSDEMEGVLLELQNLFVSGPAASNTQLYQCKPYLALFMGGLGDMEMTESDDCAKSSAVWELYHMLFRERHWALVHLSIAAFGYFAARTTCNQLWRFVPQNASLSYDLVSGNEASEERFMSELKAFLDKEAALTTTPSIEQLELLVKEGMMLKEMVQKISGIDAMECQSMEIDVDSVSNKRRKLPDGISKGLELLQNGLKVIGDGISQWQENHCESSELHDKFSSHLSRLEDVVAHLTGLAGNGTAGMNVNKRIKC >Potri.003G175300.1.v4.1 pep chromosome:Pop_tri_v4:3:18243311:18248908:-1 gene:Potri.003G175300.v4.1 transcript:Potri.003G175300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175300.v4.1 MERKSSSKELQDLLQAIKSSDVVESQIELVNKLRDFDFLEISDLASLLEFLTVFWEDFTCLDISQCMLNKTILSVAAKYVDSDVSGCLVQFLALGTKASGWCGKHLKMTAMSTEESQEEHSNLFFQLLLDLFSLSAASMVALKRHPVFVDNASAATVEKFILEQLNLIKDVVSEIKRINSFGSEALKAAQTVIDTVVRLCKGYFDAVNWDLCDARPEKDENNTDSERANIMNHVTNITKCTIEKLCELGILAANDGGSLVTILNVSWKGVITLLQQGKRVLREMLSVQDIILTLISLVNEPLRCAAGAWSSLLKETISLTEARRTFLPSKFYLTNAVKISSLYPCQAYLVYKEVTLCVIMISSFRILLSYEKLLNTASEVLSELLEKTSIDLLNSLLNSAEVKQELKFKLLDWLFNDDFCSNSMHGDSSSFYHMTSMVEIFSVSCEAMSEARLLLLGRIALFHNLLRYSMDLEDDIKIKITRKLRWFLDMLVVEDVYSFVLDLQIPVPHGSGKTLELIWQPMFSALLHALKTFMIAVSSSFAWAEFEAFLLENLFHPHFLCWEIVMELWCFLVRYAEMDMVKGIIDKLCSLMKLLESPESVLIPGSPLRKVARIICLLAKSTPPMADHVYSSVVGDGRFQLSSVLYAALLLEGFPLNSLSDNIRSGAKQKIITDYFGFIGSFDDKVLTTCSSGAFGIPVHALSASLQAQQVSISDVDVKTLKFLVAIIRNFRNPVEKIMKEHCHELLSEMLGIVSNMKHLYKSDEMEGVLLELQNLFVSGPAASNTQLYQCKPYLALFMGGLGDMEMTESDDCAKSSAVWELYHMLFRERHWALVHLSIAAFGYFAARTTCNQLWRFVPQNASLSYDLVSGNEASEERFMSELKAFLDKEAALTTTPSIEQLELLVKEGMMLKEMVQKISGIDAMECQSMEIDVDSVSNKRRKLPDGISKGLELLQNGLKVIGDGISQWQENHCESSELHDKFSSHLSRLEDVVAHLTGLAGNG >Potri.011G085100.1.v4.1 pep chromosome:Pop_tri_v4:11:9717597:9719851:1 gene:Potri.011G085100.v4.1 transcript:Potri.011G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085100.v4.1 MAEDLALDLQELRQLGSIAKRPRVISLISSEISHLEKLSKEHVSDPHATSTPIQTPISSGVKLPSSLAINYVTLGSFSWDQDNDKVKIYVSLEGVGREKIESEFQAMSFDVKFHDVQGKNYRCAIPKLNKEIVPEKCLVVVKPKRVIITLFKASKGNWLDIHFKDDKLKPNLDEKRDPMAGIMDLMKNMYDDGDEDMKRTIAKAWTDARSGNAADPLKGYR >Potri.001G183100.1.v4.1 pep chromosome:Pop_tri_v4:1:16176905:16185532:1 gene:Potri.001G183100.v4.1 transcript:Potri.001G183100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183100.v4.1 MSTQRQVIVRDLMDEGKKRIVVLVICVVGLSYLMSLTSSSVWVNLPAAASLIILLRYFTMDYEMRKKAAAYNNKPASAKSSTLPQNKSLELTRVVEKSDWRRKVNSPVVEDAIDHLTRHLVSEWVADLWYSRLTPDKEGPEELVQLMNGVLGEFSSRMRNVNLIDLLTRDLINLICTHLELFRASQAKIEKQQSGLITIDQRDKELRLVLHAENKLHPALFSAEAEHKVLQHLMDGLISFTFKPADLQCSFFRYVVRELLACAVMRPVLNLASPRFINERIENVIISKANQRVAAAQEASHSKPNGSSRISSDHFSRFLDPTGTGVELTQLKTNQSRSGPEAPEKDKVNGSHISKDPLLSIDTPSSRTWSSLSKNSQINNEGEIERHLSGREWGEMFDMMSRRKTAALAPENFENMWTKGRNYRKKEGENQSIKHASQNSSASKSNTSDYSKSTSNSKKDDVTKLDASLAHNDQSVGTEQSTVENPLHHVNQNMSNPSLFSSHRDGIQSLMHVDGTESGSTSSYTSEEEDVNFVTGLDSPGTKVWDGKTNRNQAVSHIHHPLENPDGHRAKKTGRGHAHYQRLSRPQSGRKRSRPSTQKVPVWQEIERTSFLSGDGQDILSLKGHAKADDFTDDSEVESLDRVYSGSTACSSAPSVSIPESHTLNDNSLKHSLMVDAFYKLRCEVLGANIVKSDSKTFAVYSLSVTDVNNNSWSIKRRFRHFEELHRRLKEYPEYSLHLPPKHFLSTGLDMPVIKERCKLLDRYLKRLLQLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSGDLDDKPSEKSKRVSNFIGPATDSLSTRNKIKTEQLSAECKESILQTKHALGVDGARMISKDTPQSPERKSVKEFGKSFKDPGCDSDTQKNASSARNLEKNIKGREGDSLEEMSASLNDSANDPMLPTEWAPPNLTVPILDLIDVIFQLQDGGWIRRQAFWVAKQILQLGMGDALDDWLIEKIQLLRRGSVVASGIKRVEQILWPDGIFITKHPKRRPPPHQPSEVSSPKFISPHGQQPMEVSSPKFSNEQQQQDAARRAKLVYELMIDNAPAAIVSLVGRKEYEQCAKDLYFFLQSSVCMKQLAFDLLELLLLTAFPELDYVFRQLHEEKHKFGEFKPN >Potri.005G075600.1.v4.1 pep chromosome:Pop_tri_v4:5:5080458:5085348:-1 gene:Potri.005G075600.v4.1 transcript:Potri.005G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075600.v4.1 MATTKRKGGASGSERTNPRVWLYSILLTLQYGAQPLISKRFTGREVIVTTSVLTCEVAKVVCALILMVRDGSLKKVFSQWTLVGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKVFFTAFFTYIILRQKQSIQQIGALFLLIMAAVLLSIGEGSSKGSGSSDPEQILFYGIIPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTTKSPDGEAIRQHGYFYGWTPLTMIPVVANALGGILVGLVTSYAGGVRKGFVIVSALLVTALLQFLFEGKPPSIYSLVALPLVMSSISIYQKYPYQVKKKES >Potri.015G049800.1.v4.1 pep chromosome:Pop_tri_v4:15:5239702:5247152:1 gene:Potri.015G049800.v4.1 transcript:Potri.015G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049800.v4.1 MDDSGAILCQISSLKDMLDQVNEEIEANIQITREIESEIVKCTEFEADLAARESDFTKTLYFSQFEINGLLSVAYESKKSVKFLEEEICGLRKKKMEMLESMDDKREQFVMQCLEFQRGIDKGENEVVNLLSEKEFLENEIHLLDEKNNALKNLMLAFMDEIVQDLLDCNSALDVETQSRNHENEKLLKDIDVMKSMLHSSINHYNC >Potri.008G038000.1.v4.1 pep chromosome:Pop_tri_v4:8:2086782:2087897:-1 gene:Potri.008G038000.v4.1 transcript:Potri.008G038000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038000.v4.1 MESDHDFCLDIIFEILTRSSMETVEKCRLLSKECNKITYESTFTNHHSQRTNIISGFFIQSMIRNKFYSSFVSVDTLKPYPKLSLNFLPASIEIVASTNQGLLLCQTHHRPQYYVCKPTTKQWQIIPNPKMRYQTLETSMIVIGSNPLHYKIVRFSEPKTRYQDKEFYRYHWVRCELFDSKTWKWKQLEEVKLPQTELLSRNTNVSVNGSLHWLTWKRNDIFAFHVNKESYSMFSLPLPVSEDNKSKDIALVRYKGKLAITSIGREDSFMELWVMENYDRKEWNKTHTVNIEALRRKEPYTRPVAFCNADIALMKEHDRCVTFFNITNGSTDRLSLEKNLNHGCFPFQSNFELSDLMGDSASKQVSDNTLL >Potri.016G053300.6.v4.1 pep chromosome:Pop_tri_v4:16:3489515:3493419:-1 gene:Potri.016G053300.v4.1 transcript:Potri.016G053300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053300.v4.1 MDDPSSSATTLAWLWVIEYLASFRQIEPSILHELIEAAPEIPDDLGKNTREMVALRCLEDLFCRSDNGIANDVTSKELKVTFDLSESCEDVLQSILQETSVSDLNRGGPELLKWDIHPFIMHKRACMPKCALEKVKDAILEGIHPYASLKEYSELVNANDRGNRITTDYGDDGAPVGRIGGSDTDGQINPPEESSIPLLHENENVVEDNSYNRNLLHFERERSGSASQNLAGDHEDQGCVDGDHLQPQAKRFKEDALHDNLSMGQISSTPPQHKDMVEDSFEMVVEDSENRDFHLEKESSQGGLEGSIPVENDHDEDVGIDRHGHSLDADNAFQHNQHEIAHNANKMPLSGDGLHQYSFVDEINRAEPRKSNAAPSVGTSDRLFVNGNKGKSDHSGQQKPSNSVSSNGFHRNTDADEREAGTDHLSEEDESNESDEYEQERVDVGLRKSHFLSSQYMLSHDSLAEANWTDQNLCIKCCKDGQLLVCGAGSCSLVIHENCLVFSPHFDERGDFYCPFCAYSLAISEYLEAKKKAYSARKELKLFIETRQEYRSNKHTQRLLSKRHGSSRQNEDKDLLNKFLDYENLVGEKRKQSNNRGQISEGNDHQFQKGKGKKKQVEPLASCIGVNSLCREEEPDVNVRTNHISTVEKEGEEVVQENSSGTELDINQHQVSVDPKYSVDNHLCKEKEIVSGSQRTDGRRQKAVCAISSDGGETSGDEDDKSISTNYFIRFRKRERQYTPAMPQSRRKKVPWTAHEEEILKEGVQKFASDGKYPWKDILEYGSSVFSNGRTTIDLKDKWRNMCKLSPKSK >Potri.016G053300.1.v4.1 pep chromosome:Pop_tri_v4:16:3489604:3493637:-1 gene:Potri.016G053300.v4.1 transcript:Potri.016G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053300.v4.1 MDDPSSSATTLAWLWVIEYLASFRQIEPSILHELIEAAPEIPDDLGKNTREMVALRCLEDLFCRSDNGIANDVTSKELKVTFDLSESCEDVLQSILQETSVSDLNRGGPELLKWDIHPFIMHKRACMPKCALEKVKDAILEGIHPYASLKEYSELVNANDRGNRITTDYGDDGAPVGRIGGSDTDGQINPPEESSIPLLHENENVVEDNSYNRNLLHFERERSGSASQNLAGDHEDQGCVDGDHLQPQAKRFKEDALHDNLSMGQISSTPPQHKDMVEDSFEMVVEDSENRDFHLEKESSQGGLEGSIPVENDHDEDVGIDRHGHSLDADNAFQHNQHEIAHNANKMPLSGDGLHQYSFVDEINRAEPRKSNAAPSVGTSDRLFVNGNKGKSDHSGQQKPSNSVSSNGFHRNTDADEREAGTDHLSEEDESNESDEYEQERVDVGLRKSHFLSSQYMLSHDSLAEANWTDQNLCIKCCKDGQLLVCGAGSCSLVIHENCLVFSPHFDERGDFYCPFCAYSLAISEYLEAKKKAYSARKELKLFIETRQEYRSNKHTQRLLSKRHGSSRQNEDKDLLNKFLDYENLVGEKRKQSNNRGQISEGNDHQFQKGKGKKKQVEPLASCIGVNSLCREEEPDVNVRTNHISTVEKEGEEVVQENSSGTELDINQHQVSVDPKYSVDNHLCKEKEIVSGSQRTDGRRQKAVCAISSDGGETSGDEDDKSISTNYFIRFRKRERQYTPAMPQSRRKKVPWTAHEEEILKEGVQKFASDGKYPWKDILEYGSSVFSNGRTTIDLKDKWRNMCKLSPKSK >Potri.016G053300.7.v4.1 pep chromosome:Pop_tri_v4:16:3489604:3493413:-1 gene:Potri.016G053300.v4.1 transcript:Potri.016G053300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053300.v4.1 MDDPSSSATTLAWLWVIEYLASFRQIEPSILHELIEAAPEIPDDLGKNTREMVALRCLEDLFCRSDNGIANDVTSKELKVTFDLSESCEDVLQSILQETSVSDLNRGGPELLKWDIHPFIMHKRACMPKCALEKVKDAILEGIHPYASLKEYSELVNANDRGNRITTDYGDDGAPVGRIGGSDTDGQINPPEESSIPLLHENENVVEDNSYNRNLLHFERERSGSASQNLAGDHEDQGCVDGDHLQPQAKRFKEDALHDNLSMGQISSTPPQHKDMVEDSFEMVVEDSENRDFHLEKESSQGGLEGSIPVENDHDEDVGIDRHGHSLDADNAFQHNQHEIAHNANKMPLSGDGLHQYSFVDEINRAEPRKSNAAPSVGTSDRLFVNGNKGKSDHSGQQKPSNSVSSNGFHRNTDADEREAGTDHLSEEDESNESDEYEQERVDVGLRKSHFLSSQYMLSHDSLAEANWTDQNLCIKCCKDGQLLVCGAGSCSLVIHENCLVFSPHFDERGDFYCPFCAYSLAISEYLEAKKKAYSARKELKLFIETRQEYRSNKHTQRLLSKRHGSSRQNEDKDLLNKFLDYENLVGEKRKQSNNRGQISEGNDHQFQKGKGKKKQVEPLASCIGVNSLCREEEPDVNVRTNHISTVEKEGEEVVQENSSGTELDINQHQVSVDPKYSVDNHLCKEKEIVSGSQRTDGRRQKAVCAISSDGGETSGDEDDKSISTNYFIRFRKRERQYTPAMPQSRRKKVPWTAHEEEILKEGVQKFASDGKYPWKDILEYGSSVFSNGRTTIDLKDKWRNMCKLSPKSK >Potri.011G112900.1.v4.1 pep chromosome:Pop_tri_v4:11:14337848:14339889:1 gene:Potri.011G112900.v4.1 transcript:Potri.011G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112900.v4.1 MAEEVVLLGFWASPFAMRVKIALAEKEIDYVSREQNLFNKSSLLLEMNPVYKKVPVLIHEGKPICESLIIIQYIDEVWKHKAPLFPSDPCERAHARFWADYVDKHIFPNAQLLWARKGERQEAAKKDLIESFKALEGELGDKPYFGGESFGLIDIALIPFFNFFYAFETLGRFSMEEECPEIVAWAKRCSQRETVSKSVVLDQHKAYEFVLELMAWHGVK >Potri.005G113000.1.v4.1 pep chromosome:Pop_tri_v4:5:8183842:8186512:-1 gene:Potri.005G113000.v4.1 transcript:Potri.005G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113000.v4.1 MADLVKQILAKPIQLADQVIKVADEASSFKQECGELKSKTEKLATLLRQAARASSDLYERPARRIIEDTEQVLDKALILVIKCRANGLMKRVFTIIPAAAFRKMGSQLENSIGDVSWLLRVSASADDRDDEYLGLPPIAANEPILCLIWEQIAILYTGSVDDRSDAAASLVSLARDNDRYGKLIIEEGGVPPLLKLVKEGKLAGQENAARAIGLLGRDPESVEHMIVAGVCSVFAKILKDGPMKVQVVVAWAVSEFAANYPKCQDLFAQHNIIRLLVSHIAFETVQEHSKYAIVSKATSIHALVIASNNSNVTNDVNKQVVDEDQSRIPYPTRDKSPNQLHTVVTNTMAMNAATKRPLQKPGANTNGATHVNFAKSNGSNNLKQNYQPHHQHNHSISGVSVKGRELEDPATKANMKAVAARALWHLAKGNSPICRSITESRALLCFAVLLEKGPEDVQYNCAMALMEITAVAEKDADLRRSAFKPNSPACKAVIDQMLKIIEKADSELLMPCIRAIGNLARTFRATETRMISPLVRLLDEREAEVSREAAIALAKFAGKENYLHLDHSKAIISAGGAKHLIQLVYFGELIVQLSALPLLCYIALHVPDSEELAQAEVLTVLEWASKQSYMVQDEKLEALLPEAKSRLELYQSRGSRGFH >Potri.002G206900.1.v4.1 pep chromosome:Pop_tri_v4:2:17332217:17333676:-1 gene:Potri.002G206900.v4.1 transcript:Potri.002G206900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G206900.v4.1 MATKPAEEALDQLKYQTWVLKVSIHCEGCKKKVKKVLQSIDGVYKTEVDSHQHKVTVTGNVDAQILIKKLMRSGKFAELWPKNSENKEKKSGKSQNNDKQKSPKDVQEVGGGDDHQKNTPSEKPETDAKISGGNGGDDQNSGAESDDAGLESAAPVAAAASGGGSGKKKKKKKKPSGNSNNGASGENSGGVPADTGSSSMADLDSAPSMPLMSHSPPHQHVYPYPPMYHQPIPVYGINYNTAYCSARESCYAHPMHAQIHYHQQRYQPPAPPSDLIKEFGDDDNETGCSVM >Potri.002G166850.1.v4.1 pep chromosome:Pop_tri_v4:2:12779909:12781281:1 gene:Potri.002G166850.v4.1 transcript:Potri.002G166850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166850.v4.1 MMMMVLTSLFWRKLMLFVSRSLCESVEEKSEYEFLQGLNGITGGDKMFRERKEMKGEVKILYFSHLLCFFSLNNQLESKSTITNGVQIQQLISLHQTYKSNLSNSGSNSWGIQS >Potri.011G156600.1.v4.1 pep chromosome:Pop_tri_v4:11:18293345:18294431:1 gene:Potri.011G156600.v4.1 transcript:Potri.011G156600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156600.v4.1 MSAAVAAAASAATMSHNSARSGSSGTPKSHQLQKGVLLPPSSPISSPLPLSRYESQKRRDWNTFGQYLRNHRPPLALSRCSGAHVLEFLRYLDQFGKTKVHNQGCPFFGHPHPPSPCSCPLKQAWGSLDALTGRLRAAFEENGGLPETNPFGARAVRLYLREVRDAQAKARGIAYEKKKRKNPVQQQQQKSQTAGNGTYSHVQQGICNGGTDGYVPRNVLSNGAAVINVGDVYVFN >Potri.003G079900.2.v4.1 pep chromosome:Pop_tri_v4:3:10706536:10709727:-1 gene:Potri.003G079900.v4.1 transcript:Potri.003G079900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079900.v4.1 MADATYCTKYQQQKQANPTKYYTHFLYKALIVTVFLIILPLFPSQAPEFINQTLNTRGWEFLHLVFVGIAVSYGLFSKRNDETEKENNNSSNQSKFDNAQSYVSRFLQVSSVFDDDVDSSPKSGETKVQTWSNQYYRNDPVVVVAEQNSVLDKEQRATSSRIGEKPLLLPVRSLKSRVIAADVDETGKESTGRSASISRSNSTGSKTFSSNSSKQKGGEFGGWDCLELEEKLKGNVVLPSPIPWRSRSGRMEMREEADIPPYNLPPSSEEFEYNRSFNSQVPRSARTGSATSSPKLSPSPSFSSPKKFSTSPSFSSEEQGKSAEDFVRKKSIYRSPPPPPPPPPPPPPPSMNRKSSSMKPSFSAIHDEAFLERELKRSFTTEPKDLNRGGNLTMPKSVKTFRSNDLLGEARKEKEFDDRINSNAEKRLKQVEASAMERIGRKTVGFDQSSFKTDRQNRERVTFTPQSTFMEFPEEEEEEYVEKLAMESDEESGTEEEGGDEDEDEDIAGNSFASGIAARPKKEAAAASSASDGGPDVDKKADEFIAKFREQIRLQRIESIKKSSAQIRRKPSR >Potri.019G076300.1.v4.1 pep chromosome:Pop_tri_v4:19:11730407:11731711:1 gene:Potri.019G076300.v4.1 transcript:Potri.019G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076300.v4.1 MRSKNQSPINIKIIILGLFFLLFLLVIAWSSYSSPRPNSSPKAENDDLSDSSNLSTDEEEPKPSTAACPSLPLTPTCTKIPPSLANALVHYVTTNITPQQTLKEISVSLRVLEKKSPCNFLVFGLGHDSLMWTSLNHGGRTVFLEEDKAWIEQIREKLPTLESYHVTYDTRVHQADGLMETGMGDECKVVGDPRFSKCQLALKGFPGDIYDVEWDLIMVDAPTGYHDEAPGRMNAIYTAGLMARNRENGVTDVFVHDVDRVVEDKFSKAFLCEGYLTEQEGRLRHFIIPTHRTSSGKPFCP >Potri.008G141400.1.v4.1 pep chromosome:Pop_tri_v4:8:9532575:9536609:1 gene:Potri.008G141400.v4.1 transcript:Potri.008G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141400.v4.1 MRPILMKGHERPLTFLKYNREGDLLFSCAKDHNPTVWFADNGERLGTYRGHNGAVWCCDVSRDSMQLITASADQSVKLWNVQTGAQLYTFSFNSPARSVDFSVGDKLAVITTDPFMGVTSAINVKRISDDPSQQSGESVLTITGPAGRINRAVWGPLNRTIISAGEDCVVRIWDSETGKLLKESEPEVGHKKPISSLTKSADGSHFLTGSLDKSAKLWDSRTLTLIKNYTTERPVNAVTMSPLLDHVVLGGGQDASSVTTTDHRAGKFEAKFYDKILQEEIGGVKGHFGPINALAFNPDGKSFSSGGEDGYVRLHHFDPDYFNIKI >Potri.014G118000.9.v4.1 pep chromosome:Pop_tri_v4:14:7951937:7952482:-1 gene:Potri.014G118000.v4.1 transcript:Potri.014G118000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118000.v4.1 MMSQFVSRGRELEVDLESGGTTNEEDKMSDPISANGQTKTILKRACTGPVGFHGLANSSNFSELAADNVELLIDNNSEGQEGKQNITFVDRKDVVEKRIKNLKKPPKPPRPPKGLSLDAADQKLMKEITELAMRKRARIERLKALKKMRASKTSSWSSSLSAMVITIVFCLIIIYQGILAF >Potri.006G059900.1.v4.1 pep chromosome:Pop_tri_v4:6:4233613:4234482:-1 gene:Potri.006G059900.v4.1 transcript:Potri.006G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059900.v4.1 MRASLVVSLLLMLFLLQEAQGIRLEKGFMQVGAQKVQADQDNTSLKQISTGVLGEEVILCKEGHCTSTLKKRLSRSVSKKSSHHWSPRIHEDYCGPRHHKPRHH >Potri.014G040600.1.v4.1 pep chromosome:Pop_tri_v4:14:2634632:2637506:1 gene:Potri.014G040600.v4.1 transcript:Potri.014G040600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040600.v4.1 MALEEVVAIESGKEMEETFKPLKSDDRLKHYPLTPYRLIRGLICLLVYLSTAFMFVVYFAPVAAVLMRFFSIHYCRKATSFLFALWLALWPFLFEKINGTKVVFSGDLVPPKERVLIIANHRTEVDWMYLWNLALRKGCLGYIKYILKSSLMKLPVFGWGFHILEFISVERKWEVDEPAMREMLSTFKDSRDPLWLALFPEGTDFSEEKCQKSQRFASEVGLPVLANVLLPKTRGFGVCLEVLQNSLDAVYDVSIAYKDQLPTFLDNVFGTDPSEVHIHVQRIPVKDIPASNAEAAKWLMDRFQLKDQLLLDFKARGHFPNEGTEQELSTLKCLVNFTVVILLTALFIYLTFFSSVWFKTYASLACAYLASATHFKFRPLPITNLI >Potri.003G147800.1.v4.1 pep chromosome:Pop_tri_v4:3:16194327:16195082:1 gene:Potri.003G147800.v4.1 transcript:Potri.003G147800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G147800.v4.1 MCRTTDFHGLQSRTREKHLKIKSFYVRFTGPRPDNKPVPESLTLVYLPRAHDLVSDGSKFRPVTKAFLGLHRIVDVDKKEGDGEVMFGSRERVRVNEGVQFEVYLREERVLEGIFRRDADLERWKLECCGGGGGDDARVVEVRVAAEGLVVLVEKVVTRKRKSKRGCDRLEVIPEDREVVSDESDGGCYCGCDGRGFSYGGDLEEGCGRDCAEVEMEVEVVRWAIDVGVWVMCLGVGYMISRASAKSFRLL >Potri.004G178600.10.v4.1 pep chromosome:Pop_tri_v4:4:19300282:19306728:1 gene:Potri.004G178600.v4.1 transcript:Potri.004G178600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178600.v4.1 MEKLTELSHLLVTVFLSSFASLMVIPAITDVTMVAVCPGKDECSLAIYLSGFQQAIIGLGTVVMMPLIGNLSDQYGRKALLTLPMTLSIIPLVILAYSRTTNFFYAYYVLRTLTAMICEGSINCLALAYVADNVLERQRTSAFGILSGIATAAFVCGTLAARFLSTALTFQVAALVSMLAAVYMRIFLEESLPNGENLTQPILKSGQDDHCQDGDLSRKAPVLKKIPSIQDIIGLLKSRVTFSQAAVVAFFNSLAEGGMQASIMYYLKARFHFSKNHYADLMLLLGIAGMASQLVFMPLLAPHVAEEKLLAIGLLGGIADALLYSVAWSNWVPYATTIFAVFIVCVPPCLRSIASKQVGPTEQGKAQGCISGIISFANIISPLIFSPLTALFLSEDAPFHFPGFSILCIGFVTMIAFFQSVLMRGPPPVSSHKISCNSLVA >Potri.004G178600.7.v4.1 pep chromosome:Pop_tri_v4:4:19301638:19306721:1 gene:Potri.004G178600.v4.1 transcript:Potri.004G178600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178600.v4.1 MMPLIGNLSDQYGRKALLTLPMTLSIIPLVILAYSRTTNFFYAYYVLRTLTAMICEGSINCLALAYVADNVLERQRTSAFGILSGIATAAFVCGTLAARFLSTALTFQVAALVSMLAAVYMRIFLEESLPNGENLTQPILKSGQDDHCQDGDLSRKAPVLKKIPSIQDIIGLLKSRVTFSQAAVVAFFNSLAEGGMQASIMYYLKARFHFSKNHYADLMLLLGIAGMASQLVFMPLLAPHVAEEKLLAIGLLGGIADALLYSVAWSNWVPYATTIFAVFIVCVPPCLRSIASKQVGPTEQGKAQGCISGIISFANIISPLIFSPLTALFLSEDAPFHFPGFSILCIGFVTMIAFFQSVLMRGPPPVSSHKISCNSLVA >Potri.016G104600.2.v4.1 pep chromosome:Pop_tri_v4:16:10747122:10748703:-1 gene:Potri.016G104600.v4.1 transcript:Potri.016G104600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G104600.v4.1 MPGDRNLGVAMDFSPSSRNALKWAIDNLVDDGDTLYLVNVNSNSLDESRNKLWAESGCPLIPLDEFKDPEILKNYGVKVDAEVLDMLDTISRQKKVRVVSKLYWGGDAREKLLDAVQDLKLDSLVMGSRGLGTVQRILLGSVSAYVMANAPCPVTIVKEKH >Potri.014G055150.1.v4.1 pep chromosome:Pop_tri_v4:14:3515533:3516483:1 gene:Potri.014G055150.v4.1 transcript:Potri.014G055150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055150.v4.1 MNSAGSMQWSMRVFELATWHGRGGLDDGPSKSAADTAEGGSWGCQVDSQEMGEVAASWEAERGSITGVLSGPLCPENMGSWVRGSAPPCPENMGSWERGSAPLGPENGG >Potri.002G122200.1.v4.1 pep chromosome:Pop_tri_v4:2:9246677:9248041:-1 gene:Potri.002G122200.v4.1 transcript:Potri.002G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122200.v4.1 MEISTKTRSSEKSLWFVLGLIILVVSTEMRAVHCRPLRSTNSTIIAAGCQQVDGTRGSMGMASFVVSSNNSSSRPSVRSLMFKMASGPSKRGPGH >Potri.004G067700.7.v4.1 pep chromosome:Pop_tri_v4:4:5758535:5762725:1 gene:Potri.004G067700.v4.1 transcript:Potri.004G067700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067700.v4.1 MEQSGCEERGRKVSDLKCEKIVLSVDESTQKPETIETRKSLEASMFASQTPAKTNEPLHAKFRGELEILERHKTIVELFDSMNCSLRLLVMRKKSPTFQNISAQVEVLTQRKFSYGHLARIKYLLPEAIQMDKILVHDKKSLCMKSDMKIGVLFDVVEGHDEESDFIALRQVFASRLVDYFIKHPEACDIPQAILPGPFNQSKEAAFEDKAGDHSMAVFCEPFNEISETIAPEPMITDQSREYLPAAIESQMLSTPSHPAAIESQMLSTPSHLRPSFNRHFSQKTFSEEEKVQLLASPVPSSVSPSGDLHNEHLNEARTAEFPEFCSKFNFRTNLDIESERARQLCIPYSKFTSFNPLPSQPINPEVSADVYTSTSPKCKPDSSVDKLLLETPAQSTPRRAMHSSDDQHMDTTGQKQTLSCKPAKRVLDFSYMEADKGASEYYEFLHDSSTQPLAGSSSLLEKVEGSLAHSSVDQKTCQSDTVHQQMSIQLPDLVSLVHHIFQSVNFSPITKEELVHKIILDSLDIVDRREVEEQIGILEKRVPDWICRLPTPSGDVLYKIKKMSDLDTVQAMVIA >Potri.004G067700.5.v4.1 pep chromosome:Pop_tri_v4:4:5758541:5762725:1 gene:Potri.004G067700.v4.1 transcript:Potri.004G067700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067700.v4.1 MEQSGCEERGRKVSDLKCEKIVLSVDESTQKPETIETRKSLEASMFASQTPAKTNEPLHAKFRGELEILERHKTIVELFDSMNCSLRLLVMRKKSPTFQNISAQVEVLTQRKFSYGHLARIKYLLPEAIQMDKILVHDKKSLCMKSDMKIGVLFDVVEGHDEESDFIALRQVFASRLVDYFIKHPEACDIPQAILPGPFNQSKEAAFEDKAGDHSMAVFCEPFNEISETIAPEPMITDQSREYLPAAIESQMLSTPSHPAAIESQMLSTPSHLRPSFNRHFSQKTFSEEEKVQLLASPVPSSVSPSGDLHNEHLNEARTAEFPEFCSKFNFRTNLDIESERARQLCIPYSKFTSFNPLPSQPINPEVSADVYTSTSPKCKPDSSVDKLLLETPAQSTPRRAMHSSDDQHMDTTGQKQTLSCKPAKRVLDFSYMEADKGASEYYEFLHDSSTQPLAGSSSLLEKVEGSLAHSSVDQKTCQSDTVHQQMSIQLPDLVSLVHHIFQSVNFSPITKEELVHKIILDSLDIVDRREVEEQIGILEKRVPDWICRLPTPSGDVLYKIKKMSDLDTVQAMVIA >Potri.001G096560.1.v4.1 pep chromosome:Pop_tri_v4:1:7653741:7654703:1 gene:Potri.001G096560.v4.1 transcript:Potri.001G096560.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096560.v4.1 MRDLVMIFFFFISPLFISLSVSSAKNSFKPEEPCKHIVLYYHDTLFNGTDAANATSAAATNATKLGNFNFGMLVVFDDPMTKDNHLLSRPVARAQGFYFYDMKSTYTAWFAYTLIFNSTEHKGTLNIMGADLMMMETRDFSVVGGTGDFFMARGIATIRTDTFQGAYYFRLKMDIKLYECY >Potri.007G005100.1.v4.1 pep chromosome:Pop_tri_v4:7:367082:370401:1 gene:Potri.007G005100.v4.1 transcript:Potri.007G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005100.v4.1 MSSSLPKIWKNGCALRASSIGPLWRRPFSASSDALVEYKAGEIGMVSGIPQDHLRRRVVIYSPARTSTQQGSGKVGRWKINFMSTLKWENPLMGWTSTGDPYAHVGDSALGFDSEEAAKAFAERHGWEYVVKKRHTPLLKVKAYADNFKFKGHPKTDDK >Potri.001G262900.1.v4.1 pep chromosome:Pop_tri_v4:1:27869650:27870812:1 gene:Potri.001G262900.v4.1 transcript:Potri.001G262900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G262900.v4.1 MSYFLPQDVLTDILARLPFKTILQCRCVSKTWYSLISRSTFATHHLNKTTKTKNSDILLFGYCSRESNGEIEHYFLYPDEGFPDNHLEELDCPFKSTWAALWNPSIRKTGSIPRPNVTFTSHGSFVHSLGFGFDSISNDYKLVRVVYLQDCSFDFDEVPPMVEVYTMRRGCWGMITNDLKYVIREQSACAFLNGVCHWIGYNSLERDEPRHATVAFNLGNEVFVQMTVPDCLVWDDFIDISLTVFDGMLSLVPCKKWLWEETSCSVWVMKEYGVGESWTKLFHIEHVEGIQRLVAFRENNEVLLAGEDGELISYDPNTNNWDCKLFGDVDSFYLDTFVESLVLLSEADRVLVENTSGNGEGEY >Potri.015G132700.1.v4.1 pep chromosome:Pop_tri_v4:15:14227903:14230257:1 gene:Potri.015G132700.v4.1 transcript:Potri.015G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132700.v4.1 MRNSHHSLLSYFAFFFVLGLSQADQSIISHLQPQPLESFNLSLVQNAGSCYYTVLISTSCSSPRYTRDQIGISFGDAYGNQIYTPRLDDPSTGTFERCSSDTFQISGPCAYQICYVYLYRSGRDGWKPDTVQISGYYSRTVTFTYNTFIPRDVWYGFNLCQNASSALQQRIPKWFMFVILAVLASFIF >Potri.014G027900.1.v4.1 pep chromosome:Pop_tri_v4:14:1748294:1753282:-1 gene:Potri.014G027900.v4.1 transcript:Potri.014G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027900.v4.1 MPELVYQEQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDHDSLASEISLHLAAGHDQQENSSSGPDRNNNKQKQHTHTRLSRKAEKVKAVKKEDNRISSVEDDIHLLDSARSSFSLALKECQERRPRSEAITKKPDRRRPASLDLNNVVTSSSPRLGNMKKSIACSSRKSGTFPSPGTPNYLNQYHSSVGMQKGWSSERVPLPNNSNRRQVMNTTGAAVLPYNNNGRTLPSKWEDAERWIFSPVSGDGVVRSSIQPAQRRPKSKSGPLGPPGVAYYSLYSPGMQVFDGGNAGNFVAGSPFSAGVIAADGLGIRSHGSHGVSFPMRTEPCMARSVSVHGCSEMVAQSSLPSQDEKLDGVKDAATDISRVVSRRDMATQMSPVGSNHSSPTRKPSFSTSTPSVLPIVELQSVPSSRSETRDVQVDERVTVTRWSKKHRARNHGKSSQVVDDWRKKAADTLSSGWDVSEAGKSISKVKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMDRIMNKLRSAQKRAQEMRSSVLANQAHQVSTNSHKVISFRRTRQKGSLSGCFTCHAF >Potri.014G027900.7.v4.1 pep chromosome:Pop_tri_v4:14:1748284:1752052:-1 gene:Potri.014G027900.v4.1 transcript:Potri.014G027900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027900.v4.1 MKKSIACSSRKSGTFPSPGTPNYLNQYHSSVGMQKGWSSERVPLPNNSNRRQVMNTTGAAVLPYNNNGRTLPSKWEDAERWIFSPVSGDGVVRSSIQPAQRRPKSKSGPLGPPGVAYYSLYSPGMQVFDGGNAGNFVAGSPFSAGVIAADGLGIRSHGSHGVSFPMRTEPCMARSVSVHGCSEMVAQSSLPSQDEKLDGVKDAATDISRVVSRRDMATQMSPVGSNHSSPTRKPSFSTSTPSVLPIVELQSVPSSRSETRDVQVDERVTVTRWSKKHRARNHGKSSQVVDDWRKKAADTLSSGWDVSEAGKSISKVKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMDRIMNKLRSAQKRAQEMRSSVLANQAHQVSTNSHKVISFRRTRQKGSLSGCFTCHAF >Potri.014G027900.6.v4.1 pep chromosome:Pop_tri_v4:14:1748284:1752595:-1 gene:Potri.014G027900.v4.1 transcript:Potri.014G027900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027900.v4.1 MPELVYQEQSSSRSGFRARDASPDSVIFTLESNFSLFSSASASVDRCSFASDAHDHDSLASEISLHLAAGHDQQENSSSGPDRNNNKQKQHTHTRLSRKAEKVKAVKKEDNRISSVEDDIHLLDSARSSFSLALKECQERRPRSEAITKKPDRRRPASLDLNNVVTSSSPRLGNMKKSIACSSRKSGTFPSPGTPNYLNQYHSSVGMQKGWSSERVPLPNNSNRRQVMNTTGAAVLPYNNNGRTLPSKWEDAERWIFSPVSGDGVVRSSIQPAQRRPKSKSGPLGPPGVAYYSLYSPGMQVFDGGNAGNFVAGSPFSAGVIAADGLGIRSHGSHGVSFPMRTEPCMARSVSVHGCSEMVAQSSLPSQDEKLDGVKDAATDISRVVSRRDMATQMSPVGSNHSSPTRKPSFSTSTPSVLPIVELQSVPSSRSETRDVQVDERVTVTRWSKKHRARNHGKSSQVVDDWRKKAADTLSSGWDVSEAGKSISKVKREEAKITAWENLQKAKAEAEIRKLEMKLEKKRSSSMDRIMNKLRSAQKRAQEMRSSVLANQAHQVSTNSHKVISFRRTRQKGSLSGCFTCHAF >Potri.001G346700.1.v4.1 pep chromosome:Pop_tri_v4:1:35813880:35819037:1 gene:Potri.001G346700.v4.1 transcript:Potri.001G346700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346700.v4.1 MATCTRSSSLSRALLLPYLHSRTQTLCEPIRLARFSSTMKQPRPPSLFVRSQAKRGPFLKQDQVAATTGDLEFEAPLKIVEYPDPILRAKNKRIDSFDDNLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPADEHGEGDEIVLVNPRVNKYSKKTVLFNEGCLSFPGIYADVKRPESVKIDARDINGARFTVNLSGLPARVFQHEFDHLQGILFFDRMTEEVLDSIRPGLQALEKKYEDKTGFPSPERIETHRLKKVAAGFGKL >Potri.001G346700.6.v4.1 pep chromosome:Pop_tri_v4:1:35813880:35819037:1 gene:Potri.001G346700.v4.1 transcript:Potri.001G346700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346700.v4.1 MATCTRSSSLSRALLLPYLHSRTQTLCEPIRLARFSSTMKQPRPPSLFVRSQAKRGPFLKQDQVAATTGDLEFEAPLKIVEYPDPILRAKNKRIDSFDDNLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPADEHGEGDEIVLVNPRVNKYSKKTVLFNEGCLSFPGIYADVKRPESVKIDARDINGARFTVNLSGLPARVFQHEFDHLQGILFFDRMTEEVLDSIRPGLQALEKKYEDKTGFPSPERIETHRLKKVAAGFGKL >Potri.001G346700.2.v4.1 pep chromosome:Pop_tri_v4:1:35813908:35818854:1 gene:Potri.001G346700.v4.1 transcript:Potri.001G346700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346700.v4.1 MATCTRSSSLSRALLLPYLHSRTQTLCEPIRLARFSSTMKQPRPPSLFVRSQAKRGPFLKQDQVAATSDLEFEAPLKIVEYPDPILRAKNKRIDSFDDNLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPADEHGEGDEIVLVNPRVNKYSKKTVLFNEGCLSFPGIYADVKRPESVKIDARDINGARFTVNLSGLPARVFQHEFDHLQGILFFDRMTEEVLDSIRPGLQALEKKYEDKTGFPSPERIETHRLKKVAAGFGKL >Potri.001G346700.8.v4.1 pep chromosome:Pop_tri_v4:1:35813914:35819037:1 gene:Potri.001G346700.v4.1 transcript:Potri.001G346700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346700.v4.1 MATCTRSSSLSRALLLPYLHSRTQTLCEPIRLARFSSTMKQPRPPSLFVRSQAKRGPFLKQDQVAATSDLEFEAPLKIVEYPDPILRAKNKRIDSFDDNLKKLVDEMFDVMYKTDGIGLSAPQVGINVQLMVFNPADEHGEGDEIVLVNPRVNKYSKKTVLFNEGCLSFPGIYADVKRPESVKIDARDINGARFTVNLSGLPARVFQHEFDHLQGILFFDRMTEEVLDSIRPGLQALEKKYEDKTGFPSPERIETHRLKKVAAGFGKL >Potri.001G090600.3.v4.1 pep chromosome:Pop_tri_v4:1:7167404:7174631:-1 gene:Potri.001G090600.v4.1 transcript:Potri.001G090600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090600.v4.1 MENLITLVNKIQRACTALGDHGEASALPTLWDSLPSIAVVGGQSSGKSSVLESVVGKDFLPRGSGIVTRRPLVLQLHKIDEGSREYAEFLHLPRKRFTDFAAVRREIQDETDRETGRSKQISSVPIHLSIYSPNVVNLTLVDLPGLTKVAVEGQPDSIVQDIENMVRAYIEKPNCIILAISPANQDLATSDAIKISREVDPTGERTLGVLTKIDLMDKGTDAVDMLEGKSYRLKFPWVGVVNRSQADINKNVDMIAARRREREYFSSTPEYKHLAHRMGSEHLAKMLSKHLEVVIKSKIPGIQSLVNKTIAELETELSRLGKPIAADAGGKMYSIMEICRLFDQIYKEHLDGVRPGGDKIYNVFDNQLPAALKRLQFDKQLSMENIRKLITEADGYQPHLIAPEQGYRRLIESSVVTIRGPAEAAVDAVHGLLKDLVHKAINETIELKQYPALRVEVSNAAIESLDRMKDTSKKATLQLVDMECSYLTVDFFRKLPQDVDKGGNPTHSIFDRYNDSYLRRIGSTVLSYVNMVCASLRNSIPKSIVYCQVREAKRSLLDHFFTELGKLEQKQLSSLLNEDPAVMERRAAIAKRLELYRSAQAEIDAVAWSK >Potri.019G036280.1.v4.1 pep chromosome:Pop_tri_v4:19:4930009:4930143:-1 gene:Potri.019G036280.v4.1 transcript:Potri.019G036280.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036280.v4.1 MPMMFFYFLKIIFDISTSKRSKRYKPHSILAKKKKKFEIRRKAG >Potri.005G026650.1.v4.1 pep chromosome:Pop_tri_v4:5:1687669:1688373:-1 gene:Potri.005G026650.v4.1 transcript:Potri.005G026650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026650.v4.1 MDYRTLDIITIVTCLKGLKLSKLLSKTRVVASIVSGSLISRQETPVCTYKGISGPTWDYPMRFYLEDSVFQQSQLMVVFRIWRTPIFLGGNQLLGEVYFPVKLLLDNWTEDKQAKEGSCPVVTPSGKHRGCLVFKYDFGHGTIRGAPKKVDRLHHSAPYID >Potri.016G030800.2.v4.1 pep chromosome:Pop_tri_v4:16:1713316:1719265:-1 gene:Potri.016G030800.v4.1 transcript:Potri.016G030800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G030800.v4.1 MGLEIVEPNSCIRGCCTSNSIPLHLPPSSYTLLSPIAKGAESVVYGAVLDGRKVAVKKPILSTSEDIDKFHKELQLLCKLDHPGIAKLVAAHAKPPNYMFFFEFYESGNLSEKLHVEEWSPNMDQVLMITVQLAKALQYLHNHEIVHRDVKPANILLDENLCPHLADFGLAEYQKNLKGVSLENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKEIHTEKSDVYSFGISINELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTASVVSGKLRPALAGLGSGASASILSLIQRCWDDNPQNRPSFTDILLELDPILESRKKSIGKDFSLDKYSISHGDQPADSAKNLTTYQEIINWSTQGEILSKAPPAVDSSLRIWLDCSNVGLAYRPILSWGSFATCGKRETMEDTHFLMPHMCNEKDIHAFGIFDGHRGAAAAEFSARALPGFLQSTGSASSPRNALVEAFVSTDAAFRNELDTHRKSRRVVQKDWHPGCTAIVALIVTNKLFVANAGDCKTILCRAGKAFPLSKDHVASYIEERERVLSAGGQVKWQIDTWRVGHAALQVTRSIGDDDLKPAVTAEPEITETVLSAEDEFLVMGSDGLWDVMSSADVISIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >Potri.016G030800.4.v4.1 pep chromosome:Pop_tri_v4:16:1713340:1719119:-1 gene:Potri.016G030800.v4.1 transcript:Potri.016G030800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G030800.v4.1 MFFFEFYESGNLSEKLHVEEWSPNMDQVLMITVQLAKALQYLHNHEIVHRDVKPANILLDENLCPHLADFGLAEYQKNLKGVSLENWRSSGKPTGGFHKKNMVGTLIYMAPEILRKEIHTEKSDVYSFGISINELLTGVVPYTDLRAEAQAHTVLEMNYTEQQLTASVVSGKLRPALAGLGSGASASILSLIQRCWDDNPQNRPSFTDILLELDPILESRKKSIGKDFSLDKYSISHGDQPADSAKNLTTYQEIINWSTQGEILSKAPPAVDSSLRIWLDCSNVGLAYRPILSWGSFATCGKRETMEDTHFLMPHMCNEKDIHAFGIFDGHRGAAAAEFSARALPGFLQSTGSASSPRNALVEAFVSTDAAFRNELDTHRKSRRVVQKDWHPGCTAIVALIVTNKLFVANAGDCKTILCRAGKAFPLSKDHVASYIEERERVLSAGGQVKWQIDTWRVGHAALQVTRSIGDDDLKPAVTAEPEITETVLSAEDEFLVMGSDGLWDVMSSADVISIIKDTVKEPGMCSKRLATEAAERGSKDNITVIVVFLRPVSTAERIY >Potri.003G083300.1.v4.1 pep chromosome:Pop_tri_v4:3:10986100:10992908:-1 gene:Potri.003G083300.v4.1 transcript:Potri.003G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083300.v4.1 MAVATATASSFGFHKRMLASPTVSSRIINPNRTLALSSSPSTSSSSRASLSTCFLSPFAGGSITCDFSGTKIRPECLNPASISSSKGKRSVVTMVIPYTRGSAWEQPPPDLASYLFKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGSRGNRSALPSSTIMMKQPIGRFQGQATDVELARKEIKNVKTELVNLLAKHIGKLPEEIEADISRPKYFSPTEAVEYGIIDKVIYNERTTEDRGVVSDLKKAQLI >Potri.003G083300.4.v4.1 pep chromosome:Pop_tri_v4:3:10987309:10992908:-1 gene:Potri.003G083300.v4.1 transcript:Potri.003G083300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083300.v4.1 MAVATATASSFGFHKRMLASPTVSSRIINPNRTLALSSSPSTSSSSRASLSTCFLSPFAGGSITCDFSGTKIRPECLNPASISSSKGKRSVVTMVIPYTRGSAWEQPPPDLASYLFKNRIVYLGMSLVPSVTELILAEFLYLQYEDEEKPIYLYINSTGTTKGGEKLGYETEAFAIYDVMGYVKPPIFTLCVGNAWGEAALLLAAGSRGNRSALPSSTIMMKQPIGRFQGQATDVELARKEIKNVKTELVNLLAKHIGKLPEEIEADISRPKYFSPTEAVEYGIIDKVIYNERTTEDRGVVSDLKKAQLI >Potri.014G191900.1.v4.1 pep chromosome:Pop_tri_v4:14:16790451:16793299:1 gene:Potri.014G191900.v4.1 transcript:Potri.014G191900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191900.v4.1 MCKTSLTDQSRTEQSPSSMANRILPFITLVFFSTLFSMVIGDDGVAISKQYPLVVSTWPFKEAVRAAWRAVDGGHSAVDAVVEGCSACEELRCDGTVGPGGSPDENGETTIDALIMNGVTMEVGAVAAMRFVKDGIRAAKLVMQHTKHTLLVGEKASAFAISMGLPGPSNLSSSDSIRKWSKWKENNCQPNFWKDVVPLDSCGPYHAKASMDVKDGGCSTRNLMGVAEPRSSLVGPHNHDTISMAVIDKMGHVAVGTSTNGATYKIPGRVGDGPIAGSSAYADTDVGACGATGDGDIMMRFLPCYQVVESMRLGMEPRLAAKDAISRIARKFPDFVGAVVAVNKNGVHAGACHGWTFEYSVRSPVTVDVEVFTVLP >Potri.005G217400.6.v4.1 pep chromosome:Pop_tri_v4:5:22049380:22056924:1 gene:Potri.005G217400.v4.1 transcript:Potri.005G217400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217400.v4.1 MDTHSHLTAPNRSRSSQSPSPSHSASASASASATSSIHKRKLAAAAAAYDDHLPPFAPSSLSADTRDGALTSNDDLESISARGADSDSDDAEDSDTVLDDDEEDFDNDSSMRTFTTARLETTNAAGSSSRNTKIKMENTNVKIENSDSGKDGGHTGTGAVGPAAAGSSGPGIVVKEDSVKIFTENLQASGAYSAREESLKREEEAGKLKFVCYSNDDVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMIIRRNQVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDQKLPYTDLSTMIRRQRQAIDEKIRELSNCHIIYPGIDFQKKEAGIPKKIIKVEDIPGLREAGWTLDQWGHSRFRTLNTATDSATNQKHLTAFMRSLLKCLKITRTVILLPMSYSLDSYCMDFVLAVNA >Potri.005G217400.3.v4.1 pep chromosome:Pop_tri_v4:5:22049380:22056924:1 gene:Potri.005G217400.v4.1 transcript:Potri.005G217400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217400.v4.1 MDTHSHLTAPNRSRSSQSPSPSHSASASASASATSSIHKRKLAAAAAAYDDHLPPFAPSSLSADTRDGALTSNDDLESISARGADSDSDDAEDSDTVLDDDEEDFDNDSSMRTFTTARLETTNAAGSSSRNTKIKMENTNVKIENSDSGKDGGHTGTGAVGPAAAGSSGPGIVVKEDSVKIFTENLQASGAYSAREESLKREEEAGKLKFVCYSNDDVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMIIRRNQVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDQKLPYTDLSTMIRRQRQAIDEKIRELSNCHIIYPGIDFQKKEAGIPKKIIKVEDIPGLREAGWTLDQWGHSRFRTLNTATDSATNQKHLTAFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTLEMFIADVKRMCANARTYNSPDTIYYKCATRLEAHFQSKVQSGIQSGTKILP >Potri.005G217400.4.v4.1 pep chromosome:Pop_tri_v4:5:22049380:22055736:1 gene:Potri.005G217400.v4.1 transcript:Potri.005G217400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217400.v4.1 MDTHSHLTAPNRSRSSQSPSPSHSASASASASATSSIHKRKLAAAAAAYDDHLPPFAPSSLSADTRDGALTSNDDLESISARGADSDSDDAEDSDTVLDDDEEDFDNDSSMRTFTTARLETTNAAGSSSRNTKIKMENTNVKIENSDSGKDGGHTGTGAVGPAAAGSSGPGIVVKEDSVKIFTENLQASGAYSAREESLKREEEAGKLKFVCYSNDDVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMIIRRNQVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDQKLPYTDLSTMIRRQRQAIDEKIRELSNCHIIYPGIDFQKKEAGIPKKIIKVEDIPGLREAGWTLDQWGHSRFRTLNTATDSATNQKHLTAFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMGKISNFSVSFWQGISCDDSLIDRHVYC >Potri.005G217400.1.v4.1 pep chromosome:Pop_tri_v4:5:22049380:22056924:1 gene:Potri.005G217400.v4.1 transcript:Potri.005G217400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217400.v4.1 MDTHSHLTAPNRSRSSQSPSPSHSASASASASATSSIHKRKLAAAAAAYDDHLPPFAPSSLSADTRDGALTSNDDLESISARGADSDSDDAEDSDTVLDDDEEDFDNDSSMRTFTTARLETTNAAGSSSRNTKIKMENTNVKIENSDSGKDGGHTGTGAVGPAAAGSSGPGIVVKEDSVKIFTENLQASGAYSAREESLKREEEAGKLKFVCYSNDDVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMIIRRNQVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDQKLPYTDLSTMIRRQRQAIDEKIRELSNCHIIYPGIDFQKKEAGIPKKIIKVEDIPGLREAGWTLDQWGHSRFRTLNTATDSATNQKHLTAFMRSLLKSMHDHVDAWPFKEPVDARDVPDYYDIIKDPMDLKTMSKRVESEQYYVTLEMFIADVKRMCANARTYNSPDTIYYKCATRLEAHFQSKVQSGIQSAYCQRKFGRVGLPLAVVRFLNCVDGHNFLPSLAGVHPSMVGLLIVH >Potri.005G217400.7.v4.1 pep chromosome:Pop_tri_v4:5:22049495:22056038:1 gene:Potri.005G217400.v4.1 transcript:Potri.005G217400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217400.v4.1 MDTHSHLTAPNRSRSSQSPSPSHSASASASASATSSIHKRKLAAAAAAYDDHLPPFAPSSLSADTRDGALTSNDDLESISARGADSDSDDAEDSDTVLDDDEEDFDNDSSMRTFTTARLETTNAAGSSSRNTKIKMENTNVKIENSDSGKDGGHTGTGAVGPAAAGSSGPGIVVKEDSVKIFTENLQASGAYSAREESLKREEEAGKLKFVCYSNDDVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMIIRRNQVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDQKLPYTDLSTMIRRQRQAIDEKIRELSNCHIIYPGIDFQKKEAGIPKKIIKVEDIPGLREAGWTLDQWGHSRFRTLNTATDSATNQKHLTAFMRSLLKCLKITRTVILLPMSYSLDSYCMDFVLAVNA >Potri.005G217400.5.v4.1 pep chromosome:Pop_tri_v4:5:22049380:22056924:1 gene:Potri.005G217400.v4.1 transcript:Potri.005G217400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217400.v4.1 MDTHSHLTAPNRSRSSQSPSPSHSASASASASATSSIHKRKLAAAAAAYDDHLPPFAPSSLSADTRDGALTSNDDLESISARGADSDSDDAEDSDTVLDDDEEDFDNDSSMRTFTTARLETTNAAGSSSRNTKIKMENTNVKIENSDSGKDGGHTGTGAVGPAAAGSSGPGIVVKEDSVKIFTENLQASGAYSAREESLKREEEAGKLKFVCYSNDDVDEHMVWLIGLKNIFARQLPNMPKEYIVRLVMDRSHKSVMIIRRNQVVGGITYRPYVSQRFGEIAFCAITADEQVKGYGTRLMNHLKQHARDVDGLTHFLTYADNNAVGYFIKQGFTKEIYLEKDRWQGYIKDYDGGILMECKIDQKLPYTDLSTMIRRQRQAIDEKIRELSNCHIIYPGIDFQKKEAGIPKKIIKVEDIPGLREAGWTLDQWGHSRFRTLNTATDSATNQKHLTAFMRSLLKCLKITRTVILLPMSYSLDSYCMDFVLAVNA >Potri.005G187900.1.v4.1 pep chromosome:Pop_tri_v4:5:19541074:19545143:-1 gene:Potri.005G187900.v4.1 transcript:Potri.005G187900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187900.v4.1 MRGVGVTSPSSSSSSAEPRLRPFALNEDASRRRFLRGRDLRDVEKAFQVPIQYKNLNCKISTLKVVLLIIAFGTLVTFYHSPVVYIADQPSTSGSRPSFVDRWTRDGAAVDPRYISNLDVNWDQISDIIVKLDDSNEYQGIGLLNFNESEINNWKLMLLDVEHVVLHLEHVAEDVTWESLYPEWIDEEEEFEVPTCPVLPKLKVPGKPRIDIIAVKLPCNKSGKWSRDVARLHLQLAAANLAASAKSYHPVRVLLVTDCFPTPNLFTCKELIWHEGNLWMYQPNLNVLREKIQLPVGSCELSVPLKAKEHFYSERAHREAYATILHSANFYVCGAIAAAQSIRMAGSTRDLVILVDETITDYHREGLAAAGWKIHTIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADMLILRNIDFLFEMPEISATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKQMKTQLFGADPPILYVLHYLGNKPWICFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPENLHKYCLLRSKQKAALEWDRRQAEKANYTDGHWKIKIKDKRLETCYENFCFWESMLWHWGEKNWTDNATVTPSPPAVTTTSLPSL >Potri.005G187900.4.v4.1 pep chromosome:Pop_tri_v4:5:19541208:19544742:-1 gene:Potri.005G187900.v4.1 transcript:Potri.005G187900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187900.v4.1 MLSWYSEDASRRRFLRGRDLRDVEKAFQVPIQYKNLNCKISTLKVVLLIIAFGTLVTFYHSPVVYIADQPSTSGSRPSFVDRWTRDGAAVDPRYISNLDVNWDQISDIIVKLDDSNEYQGIGLLNFNESEINNWKLMLLDVEHVVLHLEHVAEDVTWESLYPEWIDEEEEFEVPTCPVLPKLKVPGKPRIDIIAVKLPCNKSGKWSRDVARLHLQLAAANLAASAKSYHPVRVLLVTDCFPTPNLFTCKELIWHEGNLWMYQPNLNVLREKIQLPVGSCELSVPLKAKEHFYSERAHREAYATILHSANFYVCGAIAAAQSIRMAGSTRDLVILVDETITDYHREGLAAAGWKIHTIQRIRNPKAERDAYNEWNYSKFRLWQLTDYDKIIFIDADMLILRNIDFLFEMPEISATGNNATLFNSGVMVVEPSNCTFQLLMDHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWEGDEEEKKQMKTQLFGADPPILYVLHYLGNKPWICFRDYDCNWNVDILQEFASDVAHKTWWKVHDAMPENLHKYCLLRSKQKAALEWDRRQAEKANYTDGHWKIKIKDKRLETCYENFCFWESMLWHWGEKNWTDNATVTPSPPAVTTTSLPSL >Potri.001G272400.1.v4.1 pep chromosome:Pop_tri_v4:1:28677634:28680795:1 gene:Potri.001G272400.v4.1 transcript:Potri.001G272400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272400.v4.1 MVGPPRHLLLPIILTSIGAVILFSLHQSTHPIPNPDFIPINTLIKTPNPQFTPQDFTFLIKVLAFNRLDSLSRCLNSLSAANYGGDTVHLHIHIDHFALTNEPINVIDKKLEESRKVLNFIDGFDWKFGNKVVHYRTNNVGLQAQWLEAWWPSSDHEFAFIVEDDLEVSPLFYKFVRGLIVNYYYNASNFSPSVYGASLQRPRFVPGKHGNKIHLDSETHLFLYQLVGTWGQILFPKPWKEFRLWYDLHKSKGIKPFLDGMVTNGWYKRMGERIWTPWFIKFIYSRGYFNIYTNFQHERALSVSHRDAGVNYGKTAGPDSQLLDGSSLDFNLLEMQPLSNLKWYDYCFREVLSGRIGRTLDEVGSILRTVQKDRSVLLVTIFGESGTITRNMLCHLERLNIRNYILIGPGSDFLFDLARRGHPVIDADQFFNYLRAQRVMGFQHSSAELMKNVLVNGYVIKKCLEDGYDSLTVDANVLFLSKVQEFINPSSDMCAGKSLGFFFVRSSSSAQEIWADLLKKVAATIGKGSLQGESTNFVYIVVKFLEQNGAGILRVDEASIGIQIRANAFNQSSLEAGKKMVYWSTDTSLDLIQRRLQELSLWVVDGDSSCTAVVCHVS >Potri.004G226900.5.v4.1 pep chromosome:Pop_tri_v4:4:23051353:23058680:-1 gene:Potri.004G226900.v4.1 transcript:Potri.004G226900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226900.v4.1 MGCICSKGGRANNFLDNNVRRKEKNKPAKRLVSLSRKDEVVVEVDGSANDATASLISNQPGNDNVEYAPVSSDEGEKKEIIEKANNRMSSSIVSMSNGERGAQVVAGWPSWLTAVAGEAINGWVPRRADSFEKLDKIGQGTYSSVYKARDLETNKIVALKKVRFANMDPESVRFMAREIIVLRRLDHPNVMKLEGVIASRMSGSLYLVFEYMEHDLAGLLASPGIKFTEAQIKCYMQQLLHGLEHCHNRGVLHRDIKGSNLLIDTNGNLKIADFGLATFFSSPQKQPLTSRVVTLWYRPPELLLGATDYGVAVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKRSKLPHATIFKPQHPYKRCVAETYKDFPSSALSLLDVLLAVEPEPRGTAFSALDSEFFKMKPLPCDPSSLPKYPPTKEFDVKFRDEDARRRRAVGGKGRGHESTRRSSKESKAVPAPDANAELQASIQKRQGQSNQISISEQYNHEEDGGSGYPIGPAKGTTRNVYSHSGQSMHPTNFGSSRNMNINDAALRAPSQDFISPRQTAELSAQRSFVQREAVLSRFSNSVAVRGDSHFQGCSSTNMNSHWPEESFNVRYNNLDDSSHSLLGGPNFSHKKPGLESTMGYSAKKGRVHYSGPLVPQGGNIEEMLKEHERQIQRAMRKACLEKNNNESGQSQSLLYHQRINGQ >Potri.004G226900.2.v4.1 pep chromosome:Pop_tri_v4:4:23051385:23058680:-1 gene:Potri.004G226900.v4.1 transcript:Potri.004G226900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226900.v4.1 MGCICSKGGRANNFLDNNVRRKEKNKPAKRLVSLSRKDEVVVEVDGSANDATASLISNQPGNDNVEYAPVSSDEGEKKEIIEKANNRMSSSIVSMSNGERGAQVVAGWPSWLTAVAGEAINGWVPRRADSFEKLDKIGQGTYSSVYKARDLETNKIVALKKVRFANMDPESVRFMAREIIVLRRLDHPNVMKLEGVIASRMSGSLYLVFEYMEHDLAGLLASPGIKFTEAQIKCYMQQLLHGLEHCHNRGVLHRDIKGSNLLIDTNGNLKIADFGLATFFSSPQKQPLTSRVVTLWYRPPELLLGATDYGVAVDLWSAGCILAELFAGKPIMPGRTEVEQLHKIFKLCGSPSDEYWKRSKLPHATIFKPQHPYKRCVAETYKDFPSSALSLLDVLLAVEPEPRGTAFSALDSEFFKMKPLPCDPSSLPKYPPTKEFDVKFRDEDARRRRAVGGKGRGHESTRRSSKESKAVPAPDANAELQASIQKRQGQSNQISISEQYNHEEDGGSGYPIGPAKGTTRNVYSHSGQSMHPTNFGSSRNMNINDAALRAPSQDFISPRQTAELSAQRSFVQREAVLSRFSNSVAVRGDSHFQGCSSTNMNSHWPEESFNVRYNNLDDSSHSLLGGPNFSHKKPGLESTMGYSAKKGRVHYSGPLVPQGGNIEEMLKEHERQIQRAMRKACLEKNNNESGQSQSLLYHQRINGQ >Potri.004G196500.1.v4.1 pep chromosome:Pop_tri_v4:4:20882321:20884176:1 gene:Potri.004G196500.v4.1 transcript:Potri.004G196500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196500.v4.1 MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSVPGDH >Potri.005G183600.1.v4.1 pep chromosome:Pop_tri_v4:5:19003691:19005698:-1 gene:Potri.005G183600.v4.1 transcript:Potri.005G183600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183600.v4.1 MRGHRCTASSRVETESDTDSDSETMRCISCKEYYGRCDAGTCKECYEEASETEEELKREIDDLKAKVAFLRFWSPLDHHITYRSSAGPCFTDVVLVASSDDGLTGTAPSVPVPAHKAVLVSRSPVFKAMLENEMEESRSGTIKISDVSYDALRTFVNYFYTAEACLDEQMAYDLLILAEKYQVKHLKAYCEKFLVSKLNWENSVVSYAFAHQHNAKHMLEAALSLITDNMDKLTKREEYMELVEKDPRLVVEIYEAYLSKQINTAAHKDSSSTKP >Potri.001G411377.1.v4.1 pep chromosome:Pop_tri_v4:1:44062218:44062736:1 gene:Potri.001G411377.v4.1 transcript:Potri.001G411377.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G411377.v4.1 MRGRGRLAEGGNGGLLMRGKGCWRLGGSVTEISKAREAAGGWLRLEDERMKKRWKGRGAGVFFGRLRGRRKRREKAGLGEKGLLVLWSGLCGGAVAEMEK >Potri.015G085600.2.v4.1 pep chromosome:Pop_tri_v4:15:11007401:11009535:-1 gene:Potri.015G085600.v4.1 transcript:Potri.015G085600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085600.v4.1 MAQVIMLRQRCQELQKMIMLNPLLCPFLLLSLLFLLRLVKRDKLNLPPSPPKLPIIGNLHQLGRLHRSLRALSSKYGPLMLLHFGKVPTLIVSSAEVAHEVMKTHDVAFAGRPQTRAADVLFYGCVDVAFCPYGEYWRQVKKICVLELLSQKRVQAFQFVREEEVANMVEKVRLSCLNGAAVDLSDMFLSVSNNIISRSALGRVYENEGCDESFGGLSRKAIDLIASFCFKDMFHLLGWMDTLTGLVAGLKHTSKALHNFLDQVIEEHESLMNNDESDMKDIVDILLDLQKNGTLDIDLTRENLKAILMDMFVGGTDTTAAAMEWAMAELVKNPIVMKKAQEEVRRVVGKKSKLCEKHINEMVYLKCVLKESLRLHAPAMIARETSEAVKLQGYDIPPKTRVLINAWAIQRDPKQWERSEEFIPERFTNISVDFKGQHNQFMPFGGGRRLCPGLSFAVIEAEMVLANLLYWFDWNIPHGGNPEDMDMSESHTLIIRKKTPLVLVPVMLSP >Potri.015G085600.3.v4.1 pep chromosome:Pop_tri_v4:15:11007596:11009677:-1 gene:Potri.015G085600.v4.1 transcript:Potri.015G085600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085600.v4.1 MAQVIMLRQRCQELQKMIMLNPLLCPFLLLSLLFLLRLVKRDKLNLPPSPPKLPIIGNLHQLGRLHRSLRALSSKYGPLMLLHFGKVPTLIVSSAEVAHEVMKTHDVAFAGRPQTRAADVLFYGCVDVAFCPYGEYWRQVKKICVLELLSQKRVQAFQFVREEEVANMVEKVRLSCLNGAAVDLSDMFLSVSNNIISRSALGRVYENEGCDESFGGLSRKAIDLIASFCFKDMFHLLGWMDTLTGLVAGLKHTSKALHNFLDQVIEEHESLMNNDESDMKDIVDILLDLQKNGTLDIDLTRENLKAILMDMFVGGTDTTAAAMEWAMAELVKNPIVMKKAQEEVRRVVGKKSKLCEKHINEMVYLKCVLKESLRLHAPAMIARETSEAVKLQGYDIPPKTRVLINAWAIQRDPKQWERSEEFIPERFTNISVDFKGQHNQFMPFGGGRRLCPGLSFAVIEAEMVLANLLYWFDWNIPHGGNPEDMDMSESHTLIIRKKTPLVLVPVMLSP >Potri.009G086300.5.v4.1 pep chromosome:Pop_tri_v4:9:8060449:8064111:1 gene:Potri.009G086300.v4.1 transcript:Potri.009G086300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086300.v4.1 MARPAPTTTSLTVPLPSSRKKGTGVRPWLLLDSTGQAQVVEVGKHAIMRRTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSRDPSVTPFEGNGDHSKATPCRVEEPDFQGSSPEKSPVGFSHFEDCNEGIKDEGKQGFENRDGSKVLPFEFVALEACLEAACSCLESEAKTLEQEAHPALDKLTSKISTLNLERVRQIKSRLVAITGRVQKVRDELEHLLDDDEDMVELYLTEKLVQQQLEDSSTSSLNEGNDMDDDELQADSDDSIPAEVSLGALASHKDDFNNIDNEHDHLFTAPNGLGRYSHTHTSTTRSAISKHLNVEELEMLLEAYFVQIDGTLNKLSTLREYVDDTEDYINIMLDDKQNHLLQMGVMLTTATLVVSCFVVVVGIFGMNIKIALFKEDVQTGMPKFLWTLAGGTSGVIFLYVIAIAWCKHKRLLE >Potri.013G089900.3.v4.1 pep chromosome:Pop_tri_v4:13:9112066:9115635:1 gene:Potri.013G089900.v4.1 transcript:Potri.013G089900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089900.v4.1 MFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVINGMKDLIDYCRETGTGPMESLAKFPRRTGSSSGFHSQAPQPEGQQQQQLQTIPQNSNSDRSSAQVPMQITASNGMASVNNSLTTASTTTSASTIVGLLHQNSMNSRHQNSMNNASSPYGGNSVQIPSPGYSTSTTIS >Potri.013G089900.2.v4.1 pep chromosome:Pop_tri_v4:13:9112056:9115734:1 gene:Potri.013G089900.v4.1 transcript:Potri.013G089900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089900.v4.1 MFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVINGMKDLIDYCRETGTGPMESLAKFPRRTGSSSGFHSQAPQPEGQQQQQLQTIPQNSNSDRSSAQVPMQITASNGMASVNNSLTTASTTTSASTIVGLLHQNSMNSRHQNSMNNASSPYGGNSVQIPSPGYSTSTTIS >Potri.019G104100.1.v4.1 pep chromosome:Pop_tri_v4:19:13968433:13969392:1 gene:Potri.019G104100.v4.1 transcript:Potri.019G104100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104100.v4.1 MVCFCFLVDQTRKVRRSKPAAGICSRCGGGASVADMKTCTRFCYVPFYWKSWRAIMCTFCGAVLKSYH >Potri.005G256301.1.v4.1 pep chromosome:Pop_tri_v4:5:24719455:24720888:1 gene:Potri.005G256301.v4.1 transcript:Potri.005G256301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256301.v4.1 MSMCLSLFEKEKQMISSSPWKQKTRNLLYCLFHLFSCPPPLPLLYKSLTFPFCCIHSPAHRSICILSLFVSFPFYLIISRSLFYHHFQSEFFMETVELKVEMVGIHEKRLRKCLSKLKGIEKVEVDVSSQKVMVTGYVHRNKILKAIRRGGLKADFWSTQDELLSVYASASYGSLRFNNFNFF >Potri.001G374100.2.v4.1 pep chromosome:Pop_tri_v4:1:39224885:39229274:1 gene:Potri.001G374100.v4.1 transcript:Potri.001G374100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374100.v4.1 MEQEQQQITITNDFPSDDHDYLSGFGNTFESESIPGSLPRRQNSPLLCPYGLYAEQISGTSFTSPHKLNQRSWLYRIKPSVTHEPFQARFPRHDKLVSEFDKSNSYTTPTQLRWKPKPVDTVEESAPIDFVEGLYTVCGAGSSFLRHGFAIHMYTANKSMDDRAFCNADGDFLIVPQKGRLWIATECGKLQVSPGEIVVIPQGFRFAVDLPDGPSRGYVSEIFGTHFQLPDLGPIGANGLAAPRDFLVPKAWFEDGSRPGYTVVQKYGGELFVAKQDFSPFNVVAWHGNYVPYKYDLNKFCPYNTVLFDHSDPSINTVLTAPTDKPGVALLDFVIFPPRWLVAEHTFRPPYYHRNCMSEFMGLIYGGYEAKADGFLPGGASLHSCMTPHGPDTKTYEATIESGHDAGPSKITNTLAFMFESCLIPRISLCALKSPLMDNDYYQCWTGLKSHFSGEGADSKGNGV >Potri.001G028100.6.v4.1 pep chromosome:Pop_tri_v4:1:2128455:2133495:1 gene:Potri.001G028100.v4.1 transcript:Potri.001G028100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028100.v4.1 MGRASLLVLILLTIGFFFATYNLVAMIMHYRSIGKWAHDDSDGQIFLDPVTEMPEDVKKAKNAKMPFHVALTATDAPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGKPDNLMDEIPTTVVDPLPAGLDQGYVVLNRPWAFVQWLEKASIEEEYILMAEPDHVFINPLPNLARGGLPAAFPFFYIKPADHESIIRKYYPEEKGPVTNVDPIGNSPVIIKKELLEKIAPTWMNISLKMKRDQETDKAFGWVLEMYAYAVASALHDVQHILRKDFMVQPPWDLATGKNFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLGGPMPRNLALPPRGVPESVVTLVKMVNEATANIPNWDTE >Potri.001G028100.3.v4.1 pep chromosome:Pop_tri_v4:1:2128150:2133782:1 gene:Potri.001G028100.v4.1 transcript:Potri.001G028100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028100.v4.1 MGRASLLVLILLTIGFFFATYNLVAMIMHYRSIGKWAHDDSDGQIFLDPVTEMPEDVKKAKNAKMPFHVALTATDAPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGKPDNLMDEIPTTVVDPLPAGLDQGYVVLNRPWAFVQWLEKASIEEEYILMAEPDHVFINPLPNLARGGLPAAFPFFYIKPADHESIIRKYYPEEKGPVTNVDPIGNSPVIIKKELLEKIAPTWMNISLKMKRDQETDKAFGWVLEMYAYAVASALHDVQHILRKDFMVQPPWDLATGKNFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLGGPMPRNLALPPRGVPESVVTLVKMVNEATANIPNWDTE >Potri.001G028100.4.v4.1 pep chromosome:Pop_tri_v4:1:2128149:2133768:1 gene:Potri.001G028100.v4.1 transcript:Potri.001G028100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028100.v4.1 MGRASLLVLILLTIGFFFATYNLVAMIMHYRSIGKWAHDDSDGQIFLDPVTEMPEDVKKAKNAKMPFHVALTATDAPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGKPDNLMDEIPTTVVDPLPAGLDQGYVVLNRPWAFVQWLEKASIEEEYILMAEPDHVFINPLPNLARGGLPAAFPFFYIKPADHESIIRKYYPEEKGPVTNVDPIGNSPVIIKKELLEKIAPTWMNISLKMKRDQETDKAFGWVLEMYAYAVASALHDVQHILRKDFMVQPPWDLATGKNFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLGGPMPRNLALPPRGVPESVVTLVKMVNEATANIPNWDTE >Potri.001G028100.5.v4.1 pep chromosome:Pop_tri_v4:1:2128148:2133530:1 gene:Potri.001G028100.v4.1 transcript:Potri.001G028100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028100.v4.1 MGRASLLVLILLTIGFFFATYNLVAMIMHYRSIGKWAHDDSDGQIFLDPVTEMPEDVKKAKNAKMPFHVALTATDAPYSKWQCRIMYYWYKKKKDLPGSEMGGFTRILHSGKPDNLMDEIPTTVVDPLPAGLDQGYVVLNRPWAFVQWLEKASIEEEYILMAEPDHVFINPLPNLARGGLPAAFPFFYIKPADHESIIRKYYPEEKGPVTNVDPIGNSPVIIKKELLEKIAPTWMNISLKMKRDQETDKAFGWVLEMYAYAVASALHDVQHILRKDFMVQPPWDLATGKNFIIHYTYGCDYNLKGELTYGKIGEWRFDKRSYLGGPMPRNLALPPRGVPESVVTLVKMVNEATANIPNWDTE >Potri.003G022701.3.v4.1 pep chromosome:Pop_tri_v4:3:2372276:2373554:1 gene:Potri.003G022701.v4.1 transcript:Potri.003G022701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022701.v4.1 MGGRLVCFYPVLREDDVENHFPEHPCLKLIVSSEQIPSSRYSQVLLTIVKTVSYTDKIAEAAKIKHQEFKENYVKCETIVKI >Potri.004G235100.1.v4.1 pep chromosome:Pop_tri_v4:4:23956533:23959749:-1 gene:Potri.004G235100.v4.1 transcript:Potri.004G235100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235100.v4.1 MASSSSSSSSKAGESYIGSLISITSKYEIRYEGVLYHINPQDATIGLKNVRSYGTEGRKKDGPQIAPSDKVYEYILFRGSDIKDLQVQSPPPDQTEEQIYNDPAIIQNHSALSSSTAAGVQAQHTPALTTRAYSGALPLFQPVNHVGLTNLSQATQNAGPSLSIPKHSQGYHGISSQQSMVSSPLIVQNHMQALGLQEPPVMGLKSPSECITPESTVATNPFNPIFSSSLSPVQYATSPDTSSFLSLKTPVPSHAASLPANRLTMSSIPMTSIPMSSQDINTTETLAVADPMAMRPAQSLPYLMPSYVGSTSSSVLTPLPSLLSPHHFLQSRPPVLSSPQKLYPDQKDVAALTPLSSTSPPLLSTPASQPPLLPLPGSVQQHKYLTSKFTEEFDFEAMNEKFKKDEVWGYLGKAKQREKTEGMEDNTTDQSMVDKEAPVVVLNLDPKPAYKKDEFFDTISCNIRNQRWNGQNCFSERMKLDTETFGNLHLRPNLGYGGIGSGRGDTYHTSRGRGRGYGYRGRGRGYIGF >Potri.001G141900.2.v4.1 pep chromosome:Pop_tri_v4:1:11614733:11616347:-1 gene:Potri.001G141900.v4.1 transcript:Potri.001G141900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141900.v4.1 MADSFTRGESSHQGRPEPLRSSQLPENASTTTQVVLVMDGLKEFTIKSLKWVLENISASGGINVTLLGAMPWLNIPLSAKTWQGIWAVGFEELAMAKEQGDEWKSDSKYVKLQAILDLCKRFGVNVPQKEVVMGYPLKLMVVEKLKSLNASWVVFDSNRHQRRDMEFIADKFPCNMVMINENDEPVIIKMQPLTSGEFTSGGSPASFLPSPL >Potri.001G141900.1.v4.1 pep chromosome:Pop_tri_v4:1:11614733:11616347:-1 gene:Potri.001G141900.v4.1 transcript:Potri.001G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141900.v4.1 MADSFTRGESSHQGRPEPLRSSQLPENASTTTQVVLVMDGLKEFTIKSLKWVLENISASGGINVTLLGAMPWLNIPLSAKTWQGIWAVGFEELAMAKEQGDEWKSDSKYVKLQAILDLCKRFGVNVPQKEVVMGYPLKLMVVEKLKSLNASWVVFDRHQRRDMEFIADKFPCNMVMINENDEPVIIKMQPLTSGEFTSGGSPASFLPSPL >Potri.003G030200.4.v4.1 pep chromosome:Pop_tri_v4:3:3360138:3362190:-1 gene:Potri.003G030200.v4.1 transcript:Potri.003G030200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030200.v4.1 MFSVFSSMETVSGNEQWSSDQLGAENLYALQKLGGILHCNKMKIAALELQSFSSPKNLSFSKLLESPASICKHKAGDGLRFLDEIGKDFRGTDAMEEQRREMHRLMNGKNLLTESRASSSNELVEISPTNSSRDQACGDSSEGKQLKQVSEAGKIKQLSKRLKTLEEETEPMKQEFFQHMEERKKLVAEIYQQFQTIHHCLQFENQELEEGSPDDGSSIVEYLKKAGLGLAGQKSCTDSYQVHALSEEDMERLIRWLQEAADAESERIAFKTLNERAV >Potri.003G030200.5.v4.1 pep chromosome:Pop_tri_v4:3:3360181:3361738:-1 gene:Potri.003G030200.v4.1 transcript:Potri.003G030200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030200.v4.1 MKIAALELQSFSSPKNLSFSKLLESPASICKHKAGDGLRFLDEIGKDFRGTDAMEEQRREMHRLMNGKNLLTESRASSSNELVEISPTNSSRDQACGDSSEGKQLKQVSEAGKIKQLSKRLKTLEEETEPMKQEFFQHMEERKKLVAEIYQQFQTIHHCLQFENQELEEGSPDDGSSIVEYLKKAGLGLAGQKSCTDSYQVHALSEEDMERLIRWLQEAADAESERIAFKTLNERAV >Potri.004G116700.1.v4.1 pep chromosome:Pop_tri_v4:4:10964431:10968605:1 gene:Potri.004G116700.v4.1 transcript:Potri.004G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116700.v4.1 MTTVVPTSEEDPALSVVRFTSELSWSDAGPEVAEQQVSRLCVEAQECMVRNRWLDLTSLMLTSADIVFSNSKVSEKDLECIFTVICNLVTKSESPDEELEMAKLICTKIIQQPSDKPVLRLKILFNLYNLLDNVYCRFYVYMKALNLAMSGKVTEHIIPSCKKIDSFLKEWNLEVQDQRELFLCVANALKDSKSSAKDSFKFLTRYLATFSGEDAYKMGEAKDEAARTIIDFVKAPDMFQCDLLDMPAVAQLEKDAKYALVYQLLKIFLTLRLDAYLEFQAVNSALLKSYGLVHEDCIAKMRLISLVDLASHESGRIPYTLIKDTLRINDDEVELWVVKALTSKLIACKMDQMNQVVLVSSCTERVFGRQQWGVLRTKLGTWRDNIGNVINTIQANKITEDSSQAVQGLMIR >Potri.004G102200.1.v4.1 pep chromosome:Pop_tri_v4:4:8965497:8968774:-1 gene:Potri.004G102200.v4.1 transcript:Potri.004G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102200.v4.1 MASTLEQSPYTISQRGDETEFNLREWAFKAQTSRENTRLRRFSGSYIRSFREDARSFRSNITISSTASSPGYSLREEIDPSTYSFTTALKALQERSGYYNKWECLLPGGFALNPKWNEAEKYICNPLSGEVPMECLSAKTLSGRSLWNLTNRITMSAPLVYSNHSRQIHTKTTTIATHDEIVNHFPIKENTMEGLLSTRDVGTQSTPPDFSSSSSSPSPVSTPSITERKRCEIQGGDSPNCNSKLKAEEQVQVRGRRGKEETTKRESNKEEKQEKNDEQVWRCSISKQGGCLSWMRKRHREKSKPRKKNIFFLNLKGAKKES >Potri.003G069400.8.v4.1 pep chromosome:Pop_tri_v4:3:9660905:9664429:-1 gene:Potri.003G069400.v4.1 transcript:Potri.003G069400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069400.v4.1 MTLSFGASCSVEEVAASCDAVRFFQLYVYKRRDIAVNLVQRAEKSGYKAIVLTADVPRLGRREADIKNKMIVPQLKNLEGLMSTEVVSVKGSNFEAYANETIDSSLCWRDIAWLKSTTNLPILIKGILTREDAIKAMEVGAAGIIVSNHGARQLDYTPATISVLEEVVQAVGRRVPVLLDGGVRRGTDVFKALALGAQAVLVGRPVIYGLAAKGEAGVRKVMHMLKDELELTMALAGCPSVKDISRSHVRTDRDRLQSML >Potri.003G069400.6.v4.1 pep chromosome:Pop_tri_v4:3:9660911:9664565:-1 gene:Potri.003G069400.v4.1 transcript:Potri.003G069400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069400.v4.1 MADEIVNVNEFQVLARQALPKMYYDFYAGGADDEHTLKKNVQEFQRIILLPRVLVDVSKIALSTNILGYTISAPIMIAPTSMHKLAHPEGELATARAAAACNTIMTLSFGASCSVEEVAASCDAVRFFQLYVYKRRDIAVNLVQRAEKSGYKAIVLTADVPRLGRREADIKNKMIVPQLKNLEGLMSTEVVSVKGSNFEAYANETIDSSLCWRDIAWLKSTTNLPILIKGILTREDAIKAMEVGAAGIIVSNHGARQLDYTPATISVLEEVVQAVGRRVPVLLDGGVRRGTDVFKALALGAQAVLVGRPVIYGLAAKGEAGVRKVMHMLKDELELTMALAGCPSVKDISRSHVRTDRDRLQSML >Potri.003G069400.1.v4.1 pep chromosome:Pop_tri_v4:3:9660903:9664472:-1 gene:Potri.003G069400.v4.1 transcript:Potri.003G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069400.v4.1 MADEIVNVNEFQVLARQALPKMYYDFYAGGADDEHTLKKNVQEFQRIILLPRVLVDVSKIALSTNILGYTISAPIMIAPTSMHKLAHPEGELATARAAAACNTIMTLSFGASCSVEEVAASCDAVRFFQLYVYKRRDIAVNLVQRAEKSGYKAIVLTADVPRLGRREADIKNKMIVPQLKNLEGLMSTEVVSVKGSNFEAYANETIDSSLCWRDIAWLKSTTNLPILIKGILTREDAIKAMEVGAAGIIVSNHGARQLDYTPATISVLEEVVQAVGRRVPVLLDGGVRRGTDVFKALALGAQAVLVGRPVIYGLAAKGEAGVRKVMHMLKDELELTMALAGCPSVKDISRSHVRTDRDRLQSML >Potri.003G069400.7.v4.1 pep chromosome:Pop_tri_v4:3:9660905:9664411:-1 gene:Potri.003G069400.v4.1 transcript:Potri.003G069400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069400.v4.1 MADEIVNVNEFQVLARQALPKMYYDFYAGGADDEHTLKKNVQEFQRIILLPRVLVDVSKIALSTNILGYTISAPIMIAPTSMHKLAHPEGELATARAAAACNTIMTLSFGASCSVEEVAASCDAVRFFQLYVYKRRDIAVNLVQRAEKSGYKAIVLTADVPRLGRREADIKNKMIVPQLKNLEGLMSTEVVSVKGSNFEAYANETIDSSLCWRDIAWLKSTTNLPILIKGILTREDAIKAMEVGAAGIIVSNHGARQLDYTPATISVLEEVVQAVGRRVPVLLDGGVRRGTDVFKALALGAQAVLVS >Potri.004G032400.1.v4.1 pep chromosome:Pop_tri_v4:4:2491888:2495377:1 gene:Potri.004G032400.v4.1 transcript:Potri.004G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032400.v4.1 MTIPSGSGVPAPMLKGKHKAIIVCWFLGLGSLVSWNSILTIEDYYYDLFPKYHPSRVLTLVYQPFALGTMALLTYNEAKINTRKRNIAGYMLFTASTLMLIVVDLVTSGKGGVGPYIGICAVVAALGVADAHVQGGMVGDLSFMCPEFVQSFFAGLAASGALTSALRLLTKAVFEKSKNGLRKGVMLFLAISTFLEFLSVLLYAFLFPRLPIVKYYRAKAASEGSKTVSADLAATGILKPENQEFRALLHAADDDKPPERLSNKELFLQNTDYALDLFLIYVLTLSIFPGFLYEDTGKHQLGSWYSLVLVAMFNVWDLISRYIPLVECLKLESRKGLMIASLSRYLLVPAFYFTAKYGDQGWMIMLTSFLGLTNGYLSVCVLTEAPKGYKGPEQNALGNLLVLCLFAGIFSGVVLDWLWLIGK >Potri.011G146500.2.v4.1 pep chromosome:Pop_tri_v4:11:17477701:17481447:1 gene:Potri.011G146500.v4.1 transcript:Potri.011G146500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146500.v4.1 MDLQASFVTIVSFLILALSQAQNLQPFHREYGPFNQTYYDIFQVERPAMISNDALQITPDSSNRNFSLANRSGRVLLNKSFILWEDDGAGGVRVASFNSSFVINIFRVDNSTPGEGLAFLIAPDLALPENSDGQYLGLTNSTTDRNPENGIVAIELDTVKQEFDPDGNHMGLNIHSVISLKTVSLDDLGIEIAPVGARNHMVWVHYDGNSKKMEVYMAEEGKAKPATPALAAELNLKDLVREKSYFGFAASTGRNFQLNCVLRWNLTVEMLSDDSVENGGIDNKKLIKICVGIGVALFSLLLIGVGTWLYYLHKKKAASDPNLLGALKSLPGTPREFPFKDLKKATNNFDERHKLGQGGFGVVYKGLLTKENIQIAVKKFSRDNIKGQDDFLSELTIINRLRHKHLVRLLGWCHKSGMLLLVYDYMPNGSLDNHLFHELEGNVILEWNLRYKIISGVASALHYLHNEYDQTVVHRDLKASNIMLDSEFNARLGDFGLARALENEKTSYAELEGVPGTMGYIAPECFHTGKATRESDVYGFGAVVLEVVCGQRPWTKIGGFQFLVDWVWSLHREERILEAVDERLNSDYVAEEAKRLLLLGLACSHPIASERPKTQAIFQIISGSVPPPHVPPLKPAFVWPAAVGNIDVDASSADTIPITSGWTPQYISRESFGTGE >Potri.005G090100.1.v4.1 pep chromosome:Pop_tri_v4:5:6260666:6263301:-1 gene:Potri.005G090100.v4.1 transcript:Potri.005G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090100.v4.1 MASSKGGATSPAVLRASEMEKMSVEQLKALKEQTDLEVNLLQDSLNNIKTATGRLEITATSLHDLSLRPQGKKMLVPLTASLYVPGTLDDADKVLVDIGTGYFVEKTMNEGKDYCERKINLLKSNFDQLIELATKKKTVADEAGAILQAKLRQLAPTT >Potri.018G036700.1.v4.1 pep chromosome:Pop_tri_v4:18:2854518:2856495:-1 gene:Potri.018G036700.v4.1 transcript:Potri.018G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036700.v4.1 MEFHVPRILAFLAFALVVSHAALPPELYWKNVLPNTPMPKSVRDLLHPDLVEDKSTSVAVGKGGVDVDAGKGKPGGTAVNVGKGGVDVDAGKGKPGGTAVNVGKGGVNVNSGKGKPGGTAVNVGKGGVNVNSGKGKPGGGTHVSVGGKGVGVNTGKPGKGTQVGVGKGGVSVGTGHKGKPVIVGVHPGPSPFLYKYAATETQLHHDPTVALFFLEKDMHPGKMMNLQFTENTNTATFLPRQVTDSIPFSSNNLPEIYSEFSVKPGSTEAEVMKETIKECENPGIEGEEKECATSLESMIDFSTSKLGKNVQAISTEVESTQMQKYTIKTGVKKMAGDKSVVCHKQNYAYAVFYCHATQTTRAYAVPLEGADGTNAKAVAVCHTDTSAWNPKHLAFQVLNVKPGTVPVCHFLPQDHVVWLPN >Potri.008G213100.1.v4.1 pep chromosome:Pop_tri_v4:8:17342112:17343265:-1 gene:Potri.008G213100.v4.1 transcript:Potri.008G213100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213100.v4.1 MYHKTILQPQIFYSLSIFSDHSIYITMGVITLENEFLVAVSPAKLFKAYCLDTDALMPKILPEYIKSSEIIEGNGGPGTVRKITFVEGKGLNYVKQKIEAIDEENFTYSFSVIEADVWKFAEVEKVIYENKFVPTPEGGSICKRTSTYHIKGDGEINKDKIKDVYGKKTEALFKVVEAYFLANPDA >Potri.002G105600.1.v4.1 pep chromosome:Pop_tri_v4:2:7787789:7799165:1 gene:Potri.002G105600.v4.1 transcript:Potri.002G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105600.v4.1 MAREKIKIKKIDNVTARQVTFSKRRRGLFKKAEELSVLCDAEVAVIIFSATGKLFEYSSSSMKDVLARYNLHSNNLDKINPPSLELQLENSNHMRLSKEVSEKSHQLRRMRGEDLHGLNIEELQQLEKALEVGLSRVLETKGERIMNEISTLERKGVQLLEENKQLKQKIATIYKGKGPALVDLDTAVQEEGMSSESTTNVCSCSSGPPVEDDSSDTSLKLGLAI >Potri.018G112400.1.v4.1 pep chromosome:Pop_tri_v4:18:12978102:12983069:1 gene:Potri.018G112400.v4.1 transcript:Potri.018G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112400.v4.1 MGDVSLEQIKNENIDLERIPVEEVFDQLRCTREGLTSAQGEERLKIFGPNKLEEKKECKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIVVLLIINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWSEQDAAILVPGDLISIKLGDIIPADARLMEGDPLKIDQSALTGESLPVTKNPGDGIFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDNTNNVGHFQKVLTAIGNFCICSIAIGMLIEIIVMYPIQNRRYREGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKDMDRDTLLLHAARAARIENQDAIDASIVGMLGDPKEARADITEVHFLPFNPVEKRTAITYYDSKGDWYRSSKGAPEQIIDLCQLEGEMEKKAHEIIDNFADRGLRSLGVARQTIPEKNKESEGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGNSKDEGIAGIPVDELIEKADGFAGVFPEHKYEIVKKLQEMKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFATGVVLGTYMSIMTVVFFWLVHDTDFFSEKFGVRSIRGKPDELTAALYLQVSIISQALIFVTRSRSWSFVECPGLLLVGAFIAAQLMATIIAVYATWSFARIQGVGWGWAGIIWIYSIITYIPLDILKFIIRYALTGKAWDNLLQNKTAFTTKKDYGKGEREAQWATAQRTLHGLQPPETMFNDKTTYRELNELAEQAKKRAEVARLRELHTLKGHVDSVVKMKGLDIETIQQHYTV >Potri.010G235400.1.v4.1 pep chromosome:Pop_tri_v4:10:21603860:21604728:-1 gene:Potri.010G235400.v4.1 transcript:Potri.010G235400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235400.v4.1 MSEDNSRDASPVHHEEDVYQYGYPRCDTSNSMASSSNDSPFFGIYDSMSLLESGLYQQKYGDISDHYHRKQDKAWDSIDSRLLMLLEFFRELFIRRREVLKKLFPELHDEFLGVFKKMGNINLSIEKPGQVKTRALHRSLSVGSPRIPSRNGGESPLRLERFKVRTVIPGGGGQGDTDKGGGQGDTDKGGGTSGDDGKVK >Potri.009G140350.1.v4.1 pep chromosome:Pop_tri_v4:9:11246055:11247308:1 gene:Potri.009G140350.v4.1 transcript:Potri.009G140350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140350.v4.1 MKVVGMLMVSALLALASSFATAYDPSPLQDFCVGINDADSAVVVNGKLCKNPSFATADDFSYSGLNVPGNTSTQLRGHVNLITADLMPGLNTLGVSLARIDFEPNGGLNPPHYHPRASEVLLVLEGTLYAGFVTSNPDHRLFSKILKPGDLFVFPFGLVHFQMNVGKTPAVAIAALTSQNPGVNTVANAIFGANWPINAEVLTTAFHLDKKLVEDLQSQEWVNPS >Potri.003G212000.1.v4.1 pep chromosome:Pop_tri_v4:3:20947171:20950122:-1 gene:Potri.003G212000.v4.1 transcript:Potri.003G212000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212000.v4.1 MAFPILHLSFSLLIMLPPFAVSQTGGNITVGASLSTSDNTSWLSPSGDFAFGFYQLYGNKDLFLLAIWYDKIPDKTIVWYANGDKPAPTGSKAVLTANRGISLTDPQGRELWRSETIIGDVAYGAMTDTGNFVLRDRVSDKLWESFKNPADTLLPSQVLDRGMTLSSRQSETNFSMGRFQLKLRDDGNLVLATINLPSDYTNEPYYKSGTDGGLDSSSPGYQVVFNESGYLYILRKNDQIFSLTQRVTASTGDFYHRATLNFDGVFTQYYHPKASTGNERWTPIWSQPDNICQASSVSAGSGTCGFNSVCRLNSDGRPICECPGGYSLLDPSDQYGSCRPNYTQSCEEDEVAPVEDLYDFEELTNTDWPTSDYALLQPFTEEKCRQSCLNDCMCAVAIFRSGDMCWKKKLPLSNGRVQTIVDAKALLKVRRSNVNPRSPYFPNNKKDRDGLILVGSVFLGCSVFVNFLLVCAICMGFFFIYRRRTKRIPQKDGAVETNLRCFTYQELAEATEGFKEELGRGAFGVVYRGVVHIGYSIVVAVKKLNNVAEDRVREFKTEVNVIGQTHHKNLVRLLGFCEEGDQRLLVYEFMSNGSLSSFIFQDAKPGWKKRIQIAFGVARGLLYLHEECSNQIIHCDIKPQNILLDEYYNARISDFGLAKLLLLDQSQTHTAIRGTKGYVAPEWFRNLPVTVKVDVYSYGVLLLEIICCRRNVESKVTIEEQAILTDWAYDCYREGTLDALVGSDTGALDDIEKLERFLMIAFWCIQEDPSLRPTMRKVTQMLEGVVEVPVPPCPCLLYAAS >Potri.002G114600.2.v4.1 pep chromosome:Pop_tri_v4:2:8718358:8722233:-1 gene:Potri.002G114600.v4.1 transcript:Potri.002G114600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114600.v4.1 MGGEEINRSKDNPWPSPNRPPPYQGKSEDMSLWGVVMFGLIGATATTYALTRSQSAWKGATGSARSFRTYFQEEACKNYNRRMQEAYEEEMERVERIRRMQSVFNRERNKYTRDHEKWRENGPGAYHQNFQRDDWYWKTDTSFREQRTNFRRTSRDSGSYSLSHHYSVLGLDRSRTIPYTEAEIKTAFRTKAKEFHPDQNQDNKEAAEAKFKEVMISYEAIKLERKNTKY >Potri.019G100901.1.v4.1 pep chromosome:Pop_tri_v4:19:13720755:13726363:-1 gene:Potri.019G100901.v4.1 transcript:Potri.019G100901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100901.v4.1 MSLLLLFLQVTVFCFSITLHGGNETDKLSLIAFRAQIIGDPFGAMNSWNESVHFCEWSGVTCGRRHQRVVELDLHSYRLVGSLSPSIGNLSFLRVLNLENNSFGHNIPQELGQLFRLQMLRLGINSFSGEIPVNISRCSNLLNLDLERNNLTGNPASTSSWGISFRRVTYQDLLRATNEFFSVNLIGVGSFGSVYKGILPPDGMAVAVKVLNLLRRGASKSFLAECTALINIRHRNLVRVITACSSIDFQGNDFKAVVYELMPNASLEEWLHSTHHQPNNAHEPRSLNLTQRLDISIDVANALDYLHHHCHTPIVHCDLKPSNVLLDGDMTASVGDFGLARLQPEVSSQLSSYQTSSIGLKGTIGYAAPEYGIGSDVSTYGDVYSFGILLLEMFTGKRPTDDMFQDGLNLHNYVEKALPGRVTEVVDPMLFREYEESSAQSSSHRNRMNHIESSKILECLVSILKMGISCSAELPPERMDMSNVVSELKQIRDSLSRSMMRGQHEIVSVRPQDW >Potri.019G100901.2.v4.1 pep chromosome:Pop_tri_v4:19:13720674:13726502:-1 gene:Potri.019G100901.v4.1 transcript:Potri.019G100901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100901.v4.1 MSLLLLFLQVTVFCFSITLHGGNETDKLSLIAFRAQIIGDPFGAMNSWNESVHFCEWSGVTCGRRHQRVVELDLHSYRLVGSLSPSIGNLSFLRVLNLENNSFGHNIPQELGQLFRLQMLRLGINSFSGEIPVNISRCSNLLNLDLERNNLTEYGIGSDVSTYGDVYSFGILLLEMFTGKRPTDDMFQDGLNLHNYVEKALPGRVTEVVDPMLFREYEESSAQSSSHRNRMNHIESSKILECLVSILKMGISCSAELPPERMDMSNVVSELKQIRDSLSRSMMRGQHEIVSVRPQDW >Potri.006G094600.1.v4.1 pep chromosome:Pop_tri_v4:6:7182090:7185428:-1 gene:Potri.006G094600.v4.1 transcript:Potri.006G094600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094600.v4.1 MGKQNKSKSKKSDSLVGKGKVTPLQIAFIVDRYLSDNNLSQTRSIFRTEASSLISKSPVREAPKTLLSLGAILNDYISLKEQKVILDQERVRLEQEKFRVQNLLHGMQQVMNAYNASGNVAPAAAAATPMIQASVTRSTVMGPRADPFRGSPSAAGCPVYKSPNCFPVSTPSNTTTEHINLSSITNQPSTRKRMGSSAVSDSAPDAKKSRNKLASRKIPSKCTLKQSDNDSTTQENFQPSPMIGSSTQNCTPTGPIVLASNVAKSLFHQPLPSPPTSSSNPNTPPQSFSPHNDNSASPKDISANAHCSSNNTPQQVTPTNCTVITSERVTVSPFKNMTYYTMERNQCISSSSPVKTTLKRMCKRDCVKGRLDFDGSDATVNLDKPVDNEFSTSESDKDGDFFDLDLPNLDVFGANFSFSELLVDLDLDCEGIACPCQPALGASTDTISGSSHESRDGNLGTDQVMSEFSSTMTEVFSGNDIHMQGPDITAVKSITKCIRILSPAKSQRSSLNQENCLASN >Potri.013G037566.1.v4.1 pep chromosome:Pop_tri_v4:13:2491282:2491839:1 gene:Potri.013G037566.v4.1 transcript:Potri.013G037566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037566.v4.1 MNLQSCASLEKLPEKLGNMKVLTDLLLDDTGVQNLPSSTGILKKLKKLLVRGRCPGFSLNSACPRLPSNAFHSKELAIKKQPFLPPSLSGLSSLTTLDISNRHLSINDISINLGSLSSLQDLNLAVNDFSELPAGIGNLAKLEKLDLSWCRNLLFISEIPSGGTLLQIIGKGVNSVKNSAGSVTG >Potri.005G154674.1.v4.1 pep chromosome:Pop_tri_v4:5:14157815:14158106:1 gene:Potri.005G154674.v4.1 transcript:Potri.005G154674.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G154674.v4.1 MTRSLKKNPFVANHLLRKINKLNTKAEKNLIITWSRASTIIPTMIGHTIAIHNGKEHLPIYITDRMVGHKLGEFAPTLNFRGHAKNDNKSCR >Potri.010G140300.2.v4.1 pep chromosome:Pop_tri_v4:10:15387703:15389834:1 gene:Potri.010G140300.v4.1 transcript:Potri.010G140300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140300.v4.1 MINNYQITTLQLYNHNRKEIRSWIMVSLCCCFHNDDPGGNVNSSHRGFMYTLFNKYAAVFSNEETPALPAHNRGPPTPSVESNAAVFNITQSETSMIAPGILPFEANPGPSNTAGQTHQDTERAVQGKDCIALEFVPEEGKSNENNPKAPISVSKEKAEPGDRYLHASIDEEDVCPTCLEEYSVENPRIVTQCNHHYHLSCIYEWMERSQTCPVCSKVMIFDETS >Potri.010G140300.3.v4.1 pep chromosome:Pop_tri_v4:10:15388274:15389834:1 gene:Potri.010G140300.v4.1 transcript:Potri.010G140300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140300.v4.1 MVSLCCCFHNDDPGGNVNSSHRGFMYTLFNKYAAVFSNEETPALPAHNRGPPTPSVESNAAVFNITQSETSMIAPGILPFEANPGPSNTAGQTHQDTERAVQGKDCIALEFVPEEGKSNENNPKAPISVSKEKAEPGDRYLHASIDEEDVCPTCLEEYSVENPRIVTQCNHHYHLSCIYEWMERSQTCPVCSKVMIFDETS >Potri.010G140300.1.v4.1 pep chromosome:Pop_tri_v4:10:15387703:15389834:1 gene:Potri.010G140300.v4.1 transcript:Potri.010G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140300.v4.1 MVSLCCCFHNDDPGGNVNSSHRGFMYTLFNKYAAVFSNEETPALPAHNRGPPTPSVESNAAVFNITQSETSMIAPGILPFEANPGPSNTAGQTHQDTERAVQGKDCIALEFVPEEGKSNENNPKAPISVSKEKAEPGDRYLHASIDEEDVCPTCLEEYSVENPRIVTQCNHHYHLSCIYEWMERSQTCPVCSKVMIFDETS >Potri.012G061700.4.v4.1 pep chromosome:Pop_tri_v4:12:6375843:6386489:-1 gene:Potri.012G061700.v4.1 transcript:Potri.012G061700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061700.v4.1 MMEASEPEARQMFPVKEFVHGSETSNGLNEISKSHAGDIGVPKHSVNAQVPLEDHDNDIPFPPTQVMERTADSNPSAAFRIPSSVFARTISNTRMEWSDASTESLFSIYMGNMSFTRDHQNWLGKSGELGFPGDSTPLIDHSSNQPPSNHHPVNKSTEVGPKKSNVNEYLGETDQPKAAETMRRVVKEDKEDGNKERSLAKGSLHSASLSRLSDASGASIKSFAFPILTGDDKSYSLSHKQYPSSSRHQSQPQTPKAAQSPRAQLEPKLFSEPEPEPEPEPLPKANLNVAPGKWFSCVPCCSFCS >Potri.012G061700.5.v4.1 pep chromosome:Pop_tri_v4:12:6375843:6386489:-1 gene:Potri.012G061700.v4.1 transcript:Potri.012G061700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061700.v4.1 MMEASEPEARQMFPVKEFVHGSETSNGLNEISKSHAGDIGVPKHSVNAQVPLEDHDNDIPFPPTQVMERTADSNPSAAFRIPSSVFARTISNTRMEWSDASTESLFSIYMGNMSFTRDHQNWLGKSGELGFPGDSTPLIDHSSNQPPSNHHPVNKSTEVGPKKSNVNEYLGETDQPKAAETMRRVVKEDKEDGNKERSLAKGSLHSASLSRLSDASGASIKSFAFPILTGDDKSYSLSHKQYPSSSRHQSQPQTPKAAQSPRAQLEPKLFSEPEPEPEPEPLPKANLNVAPGKWFSCVPCCSFCS >Potri.012G061700.2.v4.1 pep chromosome:Pop_tri_v4:12:6375844:6386488:-1 gene:Potri.012G061700.v4.1 transcript:Potri.012G061700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061700.v4.1 MMEASEPEARQMFPVKEFVHGSETSNGLSMYPATTLGEDDNFTNGRMLSSSSSSCSSSSGDSFELDADEISKSHAGDIGVPKHSVNAQVPLEDHDNDIPFPPTQVMERTADSNPSAAFRIPSSVFARTISNTRMEWSDASTESLFSIYMGNMSFTRDHQNWLGKSGELGFPGDSTPLIDHSSNQPPSNHHPVNKSTEVGPKKSNVNEYLGETDQPKAAETMRRVVKEDKEDGNKERSLAKGSLHSASLSRLSDASGASIKSFAFPILTGDDKSYSLSHKQYPSSSRHQSQPQTPKAAQSPRAQLEPKLFSEPEPEPEPEPLPKANLNVAPGKWFSCVPCCSFCS >Potri.012G061700.3.v4.1 pep chromosome:Pop_tri_v4:12:6375844:6386488:-1 gene:Potri.012G061700.v4.1 transcript:Potri.012G061700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061700.v4.1 MMEASEPEARQMFPVKEFVHGSETSNGLSMYPATTLGEDDNFTNGRMLSSSSSSCSSSSGDSFELDADEISKSHAGDIGVPKHSVNAQVPLEDHDNDIPFPPTQVMERTADSNPSAAFRIPSSVFARTISNTRMEWSDASTESLFSIYMGNMSFTRDHQNWLGKSGELGFPGDSTPLIDHSSNQPPSNHHPVNKSTEVGPKKSNVNEYLGETDQPKAAETMRRVVKEDKEDGNKERSLAKGSLHSASLSRLSDASGASIKSFAFPILTGDDKSYSLSHKQYPSSSRHQSQPQTPKAAQSPRAQLEPKLFSEPEPEPEPEPLPKANLNVAPGKWFSCVPCCSFCS >Potri.018G120800.3.v4.1 pep chromosome:Pop_tri_v4:18:13261662:13269674:1 gene:Potri.018G120800.v4.1 transcript:Potri.018G120800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120800.v4.1 MGFNRFSLPAVAVIMMINAMLLSQGCLEEERIALLQIKTSFGDDPNDISSPLFSWGKNALCCSWEGVTCSNSTTRRVVEIHLFFARDWYSSMGDWYLNASIFLPFQELKVLDLGGNGIAGCVANEGFERLSRLNKLEALYLLNNNFNNSILSSMKGLSSLKYLNLDFNRLQGSIDTKEFDSLRNLKELLLAENEIQDFITLTGLCELNRLQKLDISYNNITGSLPSCFSNLTNLQALDISFNHFTGNISLSLIGSLTSIRDLKLSDNHFQIPISLGPFFNLSNLKNLNGDRNELYESTELVHNLIPRFQLQGLSLACTGSSWTFPKFLYYQHDLQFVDLSHIKMTGEFPRWLLQNNTKLEELYLVNNSLSGSFHLANHSLVSWLSHLDISRNRIHNEIPTEIGAYFPRLVFLNLSRNDFSGSIPSSISNMSLLEVLDLSNNGLSGNIPEQLVEGCLSLGVLVLSNNYLKGQFFWKKFNLTYLTELILKGNQLTGILPNSLSNGSRLETLDVSLNNLSGKIPRWMFSLLYLNLSENNLFGSLPSNFCSSGMMTRSLIGALDGCSWLNKLDLSHNSLTGEIPFELGYLNNIHVLNLSHNSLTGPIPPAFSNLKKIESLDISYNNLNGKIPYQLVDLNSLFTFSVAYNNLSGKIPEMVAQFVTFSESSYEGNPLLCGPPLTNNCSGEILPSPLSRYGFIDMQAFYVTFSVAYIINLLTISAVLYINPHWRRAWFYFIRESINNCYYFLVDNLHVPARFRRFQPCV >Potri.018G120800.2.v4.1 pep chromosome:Pop_tri_v4:18:13261664:13268756:1 gene:Potri.018G120800.v4.1 transcript:Potri.018G120800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120800.v4.1 MGFNRFSLPAVAVIMMINAMLLSQGCLEEERIALLQIKTSFGDDPNDISSPLFSWGKNALCCSWEGVTCSNSTTRRVVEIHLFFARDWYSSMGDWYLNASIFLPFQELKVLDLGGNGIAGCVANEGFERLSRLNKLEALYLLNNNFNNSILSSMKGLSSLKYLNLDFNRLQGSIDTKEFDSLRNLKELLLAENEIQDFITLTGSEGPSRLNKLETLDLSSNYLYDSTLSFFKGLSSLKYLYLDHNWLHGSIDTKGLCELNRLQKLDISYNNITGSLPSCFSNLTNLQALDISFNHFTGNISLSLIGSLTSIRDLKLSDNHFQIPISLGPFFNLSNLKNLNGDRNELYESTELVHNLIPRFQLQGLSLACTGSSWTFPKFLYYQHDLQFVDLSHIKMTGEFPRWLLQNNTKLEELYLVNNSLSGSFHLANHSLVSWLSHLDISRNRIHNEIPTEIGAYFPRLVFLNLSRNDFSGSIPSSISNMSLLEVLDLSNNGLSGNIPEQLVEGCLSLGVLVLSNNYLKGQFFWKKFNLTYLTELILKGNQLTGILPNSLSNGSRLETLDVSLNNLSGKIPRWMFSLLYLNLSENNLFGSLPSNFCSSGMMTRSLIGALDGCSWLNKLDLSHNSLTGEIPFELGYLNNIHVLNLSHNSLTGPIPPAFSNLKKIESLDISYNNLNGKIPYQLVDLNSLFTFSVAYNNLSGKIPEMVAQFVTFSESSYEGNPLLCGPPLTNNCSGEILPSPLSRYGFIDMQAFYVTFSVAYIINLLTISAVLYINPHWRRAWFYFIRESINNCYYFLVDNLHVPARFRRFQPCV >Potri.002G148100.1.v4.1 pep chromosome:Pop_tri_v4:2:11138271:11143597:1 gene:Potri.002G148100.v4.1 transcript:Potri.002G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148100.v4.1 MDQVLPIALISLLLGTAIALIFFKNYFLKRKSEIGSISEPGLHPDLHKKPPKPTHQSRKPHSKPHSHASSDKDHNKKHHPLDLNTLKGHGDSVSGLCFSYDGRSLATACADGVVRVFKLDDASSKSFKFLRINVPAGGHPVAVAFSDDASSVVVASQTLSGSSLYMWGEEKVKATDDSKQQPKLPLPDIKWEHHKIHEKRAVLTLVGTASTYGSGDGSTIVASCSEGTDIKIWHGKTGKVLGNVDTNQLKNNMATISPNGRFIAAAAFTADVKVWEIVYSKDGSVKEVPKAMQLKGHKSAVTWLCFTPDSEQIITASKDGSIRIWNINVRYHLDEDPKTLKVFPIPLHDSNGATLHYDRLSLSPDGRILAATHGSMLQWLCVETGKVLDTADNAHNGDITWIAWAPKPMPAGDGRTFVLATASVDKKVKLWAAPPLKI >Potri.001G423200.1.v4.1 pep chromosome:Pop_tri_v4:1:45151794:45158357:1 gene:Potri.001G423200.v4.1 transcript:Potri.001G423200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423200.v4.1 MGYKNRAEAETEACLNEALLFATMCIIGLPVDVHIRDGSVYFGTFHTASFDKENGIVLKEARLTKKGKSSANVGNGSVIETLVILSVDIVQVVAKGVLFPADGVAANISGDNAEAAVTNASSSEIAVSEAKKSNMFTVDRKKSNQNRGSAKNKNGSSQGLMLTRAVKDHEGRKMPPNDIGNVMEFEHGKRDGVNISKREASSGDSVNGRQTGEDWSQGEQDLYKHKFEFQREKSADEVHSPNAITGPHLSEAKPVAEGRVTVKLLPNGVSCNSAGELMKPDNQYRGRPASVGTTSPIAVCASVSTSSNPTVDVPSESLCSSLANSTDAVSPRISESNRSSKAFKLNPGAKIFSPSFSNPISATAPAVPTAASMAYISSNSPAVPVAVVQPEVGIPFAPRSSVPAKYPPYSNLTAVNGGSGSQFLQPVVGHMGSRAQPQPLRYSGQYHAVQAAPAFVPPNSQSVMVGQLGQLMYIQPVSHDLVPSAAAISSVSARPMSTPHQVQYPKHQGSAAGQTLQLCVAPPFVAGGQQPFVMPSHIPFLQPPIPAIRPIPVPGSNTLFNTKFP >Potri.001G423200.2.v4.1 pep chromosome:Pop_tri_v4:1:45151843:45158280:1 gene:Potri.001G423200.v4.1 transcript:Potri.001G423200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423200.v4.1 MGYKNRAEAETEACLNEALLFATMCIIGLPVDVHIRDGSVYFGTFHTASFDKENGIVLKEARLTKKGKSSANVGNGSVIETLVILSVDIVQVVAKGVLFPADGVAANISGDNAEAAVTNASSSEIAVSEAKKSNMFTVDRKKSNQNRGSAKNKNGSSQGLMLTRAVKDHEGRKMPPNDIGNVMEFEHGKRDGVNISKREASSGDSVNGRQTGEDWSQGEQDLYKHKFEFQREKSADEVHSPNAIRPHLSEAKPVAEGRVTVKLLPNGVSCNSAGELMKPDNQYRGRPASVGTTSPIAVCASVSTSSNPTVDVPSESLCSSLANSTDAVSPRISESNRSSKAFKLNPGAKIFSPSFSNPISATAPAVPTAASMAYISSNSPAVPVAVVQPEVGIPFAPRSSVPAKYPPYSNLTAVNGGSGSQFLQPVVGHMGSRAQPQPLRYSGQYHAVQAAPAFVPPNSQSVMVGQLGQLMYIQPVSHDLVPSAAAISSVSARPMSTPHQVQYPKHQGSAAGQTLQLCVAPPFVAGGQQPFVMPSHIPFLQPPIPAIRPIPVPGSNTLFNTKFP >Potri.012G070100.1.v4.1 pep chromosome:Pop_tri_v4:12:9224351:9226476:1 gene:Potri.012G070100.v4.1 transcript:Potri.012G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070100.v4.1 MANIRGVRSGWIAERTRSRHHLVEEYVPSSAWTEDSNSHQLLVDLPDFRKEEVKLQVDDPGKLTVSGERLVNNSKCIYFEQTFKLPQNSDTDNITGKFDGEILYVTVPKQEETSKEPDLNQPNSTIGDQKTNNEILEEKESSGKSRDGYRLVPRKYWGQEDEATPLEKASRMMKKNKGILLMAVLAFSLGVLVGIYK >Potri.002G021900.1.v4.1 pep chromosome:Pop_tri_v4:2:1376015:1378090:-1 gene:Potri.002G021900.v4.1 transcript:Potri.002G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021900.v4.1 MAFRHGYCTLYDEFGDDEIEVAEILSSFPRLIAMSKYSSWLSYTWGGKRRRSAEANLGPRPAVQSPPTSVSPSPPPILSGSVGPAITTNTTRIAASEPERPIIVKVEPATSPATPLSFFPSESDERPKRSNRKVYTKKRREDLLKIKSQLTKSNELLTGEIQMVTRHHDQLKASNSWWIARKQELTMGVIKREDQLNLLRMDLGQETVKCPDHVVDDQAHLSLRVPGITFYQQQPFMLDKNANNQEMGCNYPNPYGQRRVSLFPSTTSSTSDDFGPRSIPDLNLTIGQPAWMDSEVKQLVDDKSTVVNGAIVGTVVNGAITVRSINKAIAAQARRRRMLICKKKLSNVSSKLRFPL >Potri.006G211500.1.v4.1 pep chromosome:Pop_tri_v4:6:21847295:21854554:1 gene:Potri.006G211500.v4.1 transcript:Potri.006G211500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211500.v4.1 MEAVQFSTVASRHFSTPSFARNNKSFSYYSSCSRNSARSSCSSWTGSSNNVTSLSSRNSFTREIWRWVNCKSVVTLGREMGGGVIKAEMFGQLTSGLESAWNKLKGEEVLTKENIAEPMRDIRRALLEADVSLPVVRRFVQSVGDQAVGVGLIRGVKPDQQFVKIVRDELVKLMGGEVSELVFAKSGPTVILLAGLQGVGKTTICAKLANYLKKQGKSCMLVAGDVYRPAAIDQLVILGEQVGVSVYTEGTDVKPSEIARKGLAEAKKKNTDVVIVDTAGRLQIDKAMMDELKDVKRVLNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEISGKPIKLVGRGERMEDLEPFYPDRMAGRILGMGDVLSFVEKAQEVMQQEDAEDLQKKIMSANFDFNDFLKQTRAVAKMGSMTRVLGMIPGMAKVTPAQIREAEKSLKIMEGMIEAMTPEEREKPELLAESPQRRKRIAQASGKTEQQVSQLVAQLFQMRVRMKNLMGAMDGGSIPSLSNLEDALKTEQKASPGAARRRRKSESRRRFVDSVSSRPSPRGFGSSN >Potri.011G101351.1.v4.1 pep chromosome:Pop_tri_v4:11:12868578:12868943:-1 gene:Potri.011G101351.v4.1 transcript:Potri.011G101351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101351.v4.1 MESKRIQLKLGKFLVVYCPNSKKFALTIILQKTWCVAKPSSDQETLLANINYACSHVDCQILQKGYPCFSPDSLISHASIAMNLYYQCKGRNRWNCDFRDSGLIVKTGPSKDYYMYDAWQQ >Potri.009G062400.1.v4.1 pep chromosome:Pop_tri_v4:9:6416206:6417331:1 gene:Potri.009G062400.v4.1 transcript:Potri.009G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062400.v4.1 MAMTCSLSQTLHYKPSNALKIKSSSKIDETKLNITRIRAMKRCLRCNTLYLDKENSPTACSFHGHTTGEKGLYALAPPHQGIDGEWSDCSGVIVYKWNDKNNRPITGSVNWKKRWSCCAEYDENAPPCRRGWHVSYDDGFTLY >Potri.011G069600.2.v4.1 pep chromosome:Pop_tri_v4:11:6090234:6097055:-1 gene:Potri.011G069600.v4.1 transcript:Potri.011G069600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069600.v4.1 MMESGAPLCHSCGDQVGHDANGDLFVACHECNYHMCKSCFEYEIKEGRKVCLRCGSPYDENLLDDVEKKGSGNQSTMASHLNNSQDVGIHARHISSVSTVDSEMNDEYGNPIWKNRVESWKDKKNKKKKSNTKPETEPAQVPPEQQMEEKPSAEASEPLSIVYPIPRNKLTPYRAVIIMRLIILGLFFHYRITNPVDSAFGLWLTSVICEIWFAFSWVLDQFPKWNPVNREAFIDRLSARYEREGEPSQLAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETAEFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPDEGWTMQDGTPWPGNNTRDHPGMIQVFLGNTGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCILMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNIVFFDVNMKGLDGIQGPMYVGTGCVFNRQALYGYGPPSMPRLRKGKESSSCFSCCCPTKKKPAQDPAEVYKDAKREDLNAAIFNLTEIDNYDEYERSMLISQLSFEKTFGLSSVFIESTLMENGGVPESANSSTLIKEAIHVIGCGFEEKTEWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGYGGGRLKWLQRLAYINTIVYPFTSLPLIAYCTIPAVCLLTGKFIIPTVTSIVFLFTS >Potri.011G069600.3.v4.1 pep chromosome:Pop_tri_v4:11:6090132:6094768:-1 gene:Potri.011G069600.v4.1 transcript:Potri.011G069600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069600.v4.1 MLTFESLVETAEFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPDEGWTMQDGTPWPGNNTRDHPGMIQVFLGNTGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCILMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNIVFFDVNMKGLDGIQGPMYVGTGCVFNRQALYGYGPPSMPRLRKGKESSSCFSCCCPTKKKPAQDPAEVYKDAKREDLNAAIFNLTEIDNYDEYERSMLISQLSFEKTFGLSSVFIESTLMENGGVPESANSSTLIKEAIHVIGCGFEEKTEWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGYGGGRLKWLQRLAYINTIVYPFTSLPLIAYCTIPAVCLLTGKFIIPTLSNLASMLFLGLFISIIVTAVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKLLAGIDTNFTVTAKAADDTEFGELYMVKWTTLLIPPTTLLIINIVGVVAGFSDALNKGYEAWGPLFGKVFFALWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVNKVDNTLAGETCISIDC >Potri.011G069600.1.v4.1 pep chromosome:Pop_tri_v4:11:6090234:6097320:-1 gene:Potri.011G069600.v4.1 transcript:Potri.011G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069600.v4.1 MMESGAPLCHSCGDQVGHDANGDLFVACHECNYHMCKSCFEYEIKEGRKVCLRCGSPYDENLLDDVEKKGSGNQSTMASHLNNSQDVGIHARHISSVSTVDSEMNDEYGNPIWKNRVESWKDKKNKKKKSNTKPETEPAQVPPEQQMEEKPSAEASEPLSIVYPIPRNKLTPYRAVIIMRLIILGLFFHYRITNPVDSAFGLWLTSVICEIWFAFSWVLDQFPKWNPVNREAFIDRLSARYEREGEPSQLAAVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLTFESLVETAEFARKWVPFCKKFSIEPRAPEFYFSQKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPDEGWTMQDGTPWPGNNTRDHPGMIQVFLGNTGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCILMDPQVGRDVCYVQFPQRFDGIDRSDRYANRNIVFFDVNMKGLDGIQGPMYVGTGCVFNRQALYGYGPPSMPRLRKGKESSSCFSCCCPTKKKPAQDPAEVYKDAKREDLNAAIFNLTEIDNYDEYERSMLISQLSFEKTFGLSSVFIESTLMENGGVPESANSSTLIKEAIHVIGCGFEEKTEWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGYGGGRLKWLQRLAYINTIVYPFTSLPLIAYCTIPAVCLLTGKFIIPTLSNLASMLFLGLFISIIVTAVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKLLAGIDTNFTVTAKAADDTEFGELYMVKWTTLLIPPTTLLIINIVGVVAGFSDALNKGYEAWGPLFGKVFFALWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVNKVDNTLAGETCISIDC >Potri.006G083000.2.v4.1 pep chromosome:Pop_tri_v4:6:6159250:6163605:1 gene:Potri.006G083000.v4.1 transcript:Potri.006G083000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083000.v4.1 MVVTESELNSQGEVESPLQPDQQQTKNHAFSSLGRQSSIYSLTLDEFQHTLCESGRNFGSMNMDEFLASIWTAEENQATATSANMSGNNQIIIDNNASQVLNDPYGHRGASQQPSLPRQESLSLPAPLCRKTVEEVWSEIHKEQISGAENRGGNVQNPKTAPRQPTFGEMTLEDFLIKAGIVRERCTAPFQQQQRGLYESNNNNRAAATGFVARPILGMAAGGGGGGGGVMHQGIGESSGRNGGYAGRAGNGGGYGQGHGVGMVAPLSPASSDGMVTNFDNSGNQFGMDIGGMGRKRIIDGPVERVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENKQLKHDLAELERKRKQQCSILRNQG >Potri.006G083000.1.v4.1 pep chromosome:Pop_tri_v4:6:6159250:6163605:1 gene:Potri.006G083000.v4.1 transcript:Potri.006G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083000.v4.1 MVVTESELNSQGEVESPLQPDQQQTKNHAFSSLGRQSSIYSLTLDEFQHTLCESGRNFGSMNMDEFLASIWTAEENQATATSANMSGNNQIIIDNNASQVLNDPYGHRGASQQPSLPRQESLSLPAPLCRKTVEEVWSEIHKEQISGAENRGGNVQNPKTAPRQPTFGEMTLEDFLIKAGIVRERCTAPFQQQQRGLYESNNNNRAAATGFVARPILGMAAGGGGGGGGVMHQGIGESSGRNGGYAGRAGNGGGYGQGHGVGMVAPLSPASSDGMVTNFDNSGNQFGMDIGGMGRKRIIDGPVERVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENKQLKHDLAELERKRKQQYFEESRMKARTKAHKTKEKLRLTRRSSSCPL >Potri.003G162750.1.v4.1 pep chromosome:Pop_tri_v4:3:17233012:17234194:1 gene:Potri.003G162750.v4.1 transcript:Potri.003G162750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162750.v4.1 MPGQQTTLSEGLRPAMMNNGQVPKEKEFSRAYKHDLSSPPHQKSFARISLENLGGSKMYILPRSSQLRH >Potri.007G093100.2.v4.1 pep chromosome:Pop_tri_v4:7:11853405:11853925:1 gene:Potri.007G093100.v4.1 transcript:Potri.007G093100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093100.v4.1 MVHRLGRRVINFANLPIKILMPTTYTNIYEIALKTIPSASKIEIKRPEYKKAYITLRNPLSISPNLFPIRVIEEERTIEVSGDGGHGWRGGRGDVVAEKAKFPWSSMRSATANSRWCCFLDFLFFN >Potri.007G058500.1.v4.1 pep chromosome:Pop_tri_v4:7:6229172:6233001:1 gene:Potri.007G058500.v4.1 transcript:Potri.007G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G058500.v4.1 MAVSASFFVANHSFPPFLKAPRKSNPCSTKLFFLRASVSNSEDCEESKVVKKAEDGWKIDFSSGEKPSTPLLDTIDYPFHMDNLSTQDLEQLASELRADIVYSVAKTGGHLSSSLGVVELSVALHHVFNTPEDKIIWDVGHQAYPHKILTGRRSRMHTIRKTSGLAGFPKRDESVYDAFGAGHSSTSISAGLGMAVARDLLGKSNHVISVIGDGAMTAGQAYEAMNNAGFLDSNLIVILNDNKQVSLPTATLDGPATPVGALSSTLTKLQASAKFRKLHEAAKGITKQIDGQTHQVAAKVDEYARGMISASGSTLFEELGLYYIGPVDGHSIEDLVTIFQNVKAMPAPGPVLIHIITEKGKGYPPAEAAADKMHGVVKFDVKSGQQFKLKSSTLSYTRYFAESLIKEAEVDNKIVAIHAAMGGGTGLNYFQKRFPDRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDITYMACLPNMVVMAPSDEAELMHMVATAAAIDDRPSCFRFPRGNGIGTVLPPNNKGIALEIGKGRILMEGNRVAIMGYGSIVQQCAEAASMLRTQDISVTVADARFCKPLDTNLIRQLAKEHEILITVEEGSIGGFGSHVSHFLSSTGILDGPLKLRAMVLPDRYIDHGSPQDQIQEAGLSSNHITATVLSMLGRPKEALHEFK >Potri.018G143800.2.v4.1 pep chromosome:Pop_tri_v4:18:14879753:14885916:1 gene:Potri.018G143800.v4.1 transcript:Potri.018G143800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143800.v4.1 MSFSPSSSSSSSSVQTLLKSGFSPTLKSINQFLHFLSKSHKYELITHFFCQINRNKIKCNPQTHSVFTCALLKLDKFEEAEHFMKTQMERSLKVSGFGVWDSLIRGFSVNKKDPEKGLSILKDCLRNYGILPSSFTFCSLIHSFSHKRNMSGAIEVLELMKDEKVRYPFNNFVCSSIIYGFCRIGKPELAIGFFENAVKVGALRPNVVTYTTLVSALCMLGRVSEVCDLVCRMEKKGLAFDVVFYSNWICGYFREGMLMEALRKHGEMVEKGINLDMVSYTALIDGFSKGGNVEKAVGFLDKMIQNGSRPNLVTYTSIVMGFCQKGKMDEAFAAFKMVEDMGMEVDEFVYAVLIEGFCREGDFDRVYQLLQDMELKRISPSIVTYNTLINGLCKSGRTLEADEVSKRIQGDVVTYSTLLHGYTEEENGAGILEIKRRWEEAGVCMDIVMCNILIKALFMVGAFEDVYALYKGMKEMDLVADSVTYCTLIDGYCKSSRIDEALEIFDEFRKTSASSVACYNCMINGLCKNGMVDVATEVFIELSEKGLTFDVGIYMTLIKAIAKAESMEGVLNLIYRIENLGLDIYDTLCNDTISFLCKQKCPLAATEVCMVLRKNQLIVTCTSYYSVLKGLIDDGKIWLSKLLIGSFMKDYGISEPKLSKILLHYLSLKDINSALCFLSKMKENDSSVTFPVCALKVLMKTGRFLAAYELVMGAKHNLPVMDVVDYSIIVDGLCKGGYPVKALDLCAFVEKMGVIFNIITYNSVINGLCRQGCLVEAFRLFDSLEKINLIPSEITYATLIDNLCKEGYLVDAKKLLERMLLKGYKGNTRIYNSFIHGYCKFGQLEKALKILDHMEIKYLVPDQFTVSSVIYGFCQKGDMEGALGFYFEHKGKGISPDFLGFLRLIRGLCAKGRMEEARSILREMLQSQSVKELINRVNTEVETESIESILVFLCEQGSIKEAVTVLNEVSSVFFPVEKWFSPFHESQELLPLSELNGFSSVSSSTVSSCERNDLDLASVNKVDNMVENPGDLKRFSQCNFFDSYYSLIAPLCLKGELREANILAKEMLASLDGDC >Potri.010G000700.1.v4.1 pep chromosome:Pop_tri_v4:10:93764:98262:1 gene:Potri.010G000700.v4.1 transcript:Potri.010G000700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G000700.v4.1 MSGSGGVSIARNRGENRFYVSPGIRKQQQLQQLKQQQQQQKPSISKNSTVEIEKRKESDQCGSNCSVSGRVGPESNSTNLDRFLEYTTPVVPAQFLPKTSVREWRTCEVQHHQNFYFVLGDLWESFKEWSAYGAGVPLLLNGSETVVQYYVPYLSGIQLYLDPSQPSLRLRRPGEESDTESSRDTCSDGSSDYGAERVASNGVWQPWNQLNVTDANIKSLNRLSLRNKPFRGSSSDECEISNPPGRLIFEYMEYASPFTRQPLADQILVLASQFPELKTFRSCDLSPSSWISVAWYPIYRIPMGPTLQNLDACFLTYHSLSTSIQSQSCERMQLHGSTVRELHLSDMSLKLPLPTFGLASYKFKVSFWNPNGVYECQKASSLLRAADNWLRLLQVNHPDYRFFVSHNTSPR >Potri.018G079600.3.v4.1 pep chromosome:Pop_tri_v4:18:9730828:9733505:-1 gene:Potri.018G079600.v4.1 transcript:Potri.018G079600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079600.v4.1 MTICLKLVLSETMSKRGMNKTVSEQAIHLDLVAKTRGIPAAENYFIDLPETSKNLLTYGALLNCYCKELMTEEAEALIEKMKELNLGLSSMSYNSFMTLYTKVGQPERVPEIIQEMKANNVMPDSYTYNVWMRALAAVNDISGVERVMEEMKRDGRVAADWTTYSNLASIYVDAGYFEKAETALKELEKRNANKDLSAFQFLITLYGRTGNLLEVYRIWRSLRLAFPKTANISYLNMIQVLVNLKDVPGAEKCFREWESGCSTYDIRVANVLISAYAKEGMLDKAEELKERACRRGAKPNAKTWEIFLDYYLKNEDIKVAVDCLAKAVSTGRGNGQKWVPSPVIVGSLMAHFEQQKDVDGAEGLIEILKKAVDDVAVEVFESLIRTYAVAGRKSQMMRRRLKMENVEVSDDCEKLLEAICVE >Potri.018G079600.2.v4.1 pep chromosome:Pop_tri_v4:18:9730779:9733556:-1 gene:Potri.018G079600.v4.1 transcript:Potri.018G079600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079600.v4.1 MAIPQLYGRTKNVTKRSKKYLEEALYVRLFREGSSEVSVRQQLNQFLKSSKLVFKWEVSDTIKKLRSRNLYHPALKLSETMSKRGMNKTVSEQAIHLDLVAKTRGIPAAENYFIDLPETSKNLLTYGALLNCYCKELMTEEAEALIEKMKELNLGLSSMSYNSFMTLYTKVGQPERVPEIIQEMKANNVMPDSYTYNVWMRALAAVNDISGVERVMEEMKRDGRVAADWTTYSNLASIYVDAGYFEKAETALKELEKRNANKDLSAFQFLITLYGRTGNLLEVYRIWRSLRLAFPKTANISYLNMIQVLVNLKDVPGAEKCFREWESGCSTYDIRVANVLISAYAKEGMLDKAEELKERACRRGAKPNAKTWEIFLDYYLKNEDIKVAVDCLAKAVSTGRGNGQKWVPSPVIVGSLMAHFEQQKDVDGAEGLIEILKKAVDDVAVEVFESLIRTYAVAGRKSQMMRRRLKMENVEVSDDCEKLLEAICVE >Potri.018G079600.4.v4.1 pep chromosome:Pop_tri_v4:18:9730828:9733505:-1 gene:Potri.018G079600.v4.1 transcript:Potri.018G079600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079600.v4.1 MTICLKLVLSETMSKRGMNKTVSEQAIHLDLVAKTRGIPAAENYFIDLPETSKNLLTYGALLNCYCKELMTEEAEALIEKMKELNLGLSSMSYNSFMTLYTKVGQPERVPEIIQEMKANNVMPDSYTYNVWMRALAAVNDISGVERVMEEMKRDGRVAADWTTYSNLASIYVDAGYFEKAETALKELEKRNANKDLSAFQFLITLYGRTGNLLEVYRIWRSLRLAFPKTANISYLNMIQVLVNLKDVPGAEKCFREWESGCSTYDIRVANVLISAYAKEGMLDKAEELKERACRRGAKPNAKTWEIFLDYYLKNEDIKVAVDCLAKAVSTGRGNGQKWVPSPVIVGSLMAHFEQQKDVDGAEGLIEILKKAVDDVAVEVFESLIRTYAVAGRKSQMMRRRLKMENVEVSDDCEKLLEAICVE >Potri.018G079600.1.v4.1 pep chromosome:Pop_tri_v4:18:9730779:9733556:-1 gene:Potri.018G079600.v4.1 transcript:Potri.018G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079600.v4.1 MAIPQLYGRTKNVTKRSKKYLEEALYVRLFREGSSEVSVRQQLNQFLKSSKLVFKWEVSDTIKKLRSRNLYHPALKLSETMSKRGMNKTVSEQAIHLDLVAKTRGIPAAENYFIDLPETSKNLLTYGALLNCYCKELMTEEAEALIEKMKELNLGLSSMSYNSFMTLYTKVGQPERVPEIIQEMKANNVMPDSYTYNVWMRALAAVNDISGVERVMEEMKRDGRVAADWTTYSNLASIYVDAGYFEKAETALKELEKRNANKDLSAFQFLITLYGRTGNLLEVYRIWRSLRLAFPKTANISYLNMIQVLVNLKDVPGAEKCFREWESGCSTYDIRVANVLISAYAKEGMLDKAEELKERACRRGAKPNAKTWEIFLDYYLKNEDIKVAVDCLAKAVSTGRGNGQKWVPSPVIVGSLMAHFEQQKDVDGAEGLIEILKKAVDDVAVEVFESLIRTYAVAGRKSQMMRRRLKMENVEVSDDCEKLLEAICVE >Potri.018G079600.5.v4.1 pep chromosome:Pop_tri_v4:18:9730813:9733455:-1 gene:Potri.018G079600.v4.1 transcript:Potri.018G079600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079600.v4.1 MSKRGMNKTVSEQAIHLDLVAKTRGIPAAENYFIDLPETSKNLLTYGALLNCYCKELMTEEAEALIEKMKELNLGLSSMSYNSFMTLYTKVGQPERVPEIIQEMKANNVMPDSYTYNVWMRALAAVNDISGVERVMEEMKRDGRVAADWTTYSNLASIYVDAGYFEKAETALKELEKRNANKDLSAFQFLITLYGRTGNLLEVYRIWRSLRLAFPKTANISYLNMIQVLVNLKDVPGAEKCFREWESGCSTYDIRVANVLISAYAKEGMLDKAEELKERACRRGAKPNAKTWEIFLDYYLKNEDIKVAVDCLAKAVSTGRGNGQKWVPSPVIVGSLMAHFEQQKDVDGAEGLIEILKKAVDDVAVEVFESLIRTYAVAGRKSQMMRRRLKMENVEVSDDCEKLLEAICVE >Potri.010G123300.1.v4.1 pep chromosome:Pop_tri_v4:10:14107745:14109331:1 gene:Potri.010G123300.v4.1 transcript:Potri.010G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123300.v4.1 MEKQIEGSNQKVMVIIDESECSYHALMWVLDNLKGFITDSPLVMFAALPTPNCNFAYGAQLGTTALYCTVSPTLGLICSMQEKSKKILLGVLEKAVDICDSRGVKAETITEAGEPYELISSAVQKNKINLLVIGDTLVNGTLKRDFLGSQSNCCLLKANCSVLVVKKPE >Potri.008G045800.1.v4.1 pep chromosome:Pop_tri_v4:8:2646120:2649929:1 gene:Potri.008G045800.v4.1 transcript:Potri.008G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045800.v4.1 METTSLLSFPSSKPPPTRTHFRPANPFSFSFPTTHKTSISHRPINIKSAISRTKKEETVETVKTQLENCYLLAAIKYTGFTVKQFQDLRRSLPESSKIIVAKNTLVYKAIENTPWEALKPCMTGMNAWLFVHSEEIPEALKPYRDFQKEKKLENDFTGAVFEGKFYGPGDFKQLETMPSRAEIYAKILGALQGPAIGLVGTLQAPARDVVMVLKAYVQKLEEESSGQ >Potri.008G045800.2.v4.1 pep chromosome:Pop_tri_v4:8:2646028:2647108:1 gene:Potri.008G045800.v4.1 transcript:Potri.008G045800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045800.v4.1 METTSLLSFPSSKPPPTRTHFRPANPFSFSFPTTHKTSISHRPINIKSAISRTKKEETVETVKTQLENCYLLAAIKYTGFTVKQFQDLRRSLPESSKIIVAKNTLVYKAIENTPWEALKPCMTGMNAWLFVHSEEIPEALKPYRDFQKEKKLENDFTGAVFEGKFYGPGDFKQLETMPSRAEIYAKILGALQGPAIGLVGTLQAPARDVVMVLKAYVQKLEEESSGQ >Potri.006G166900.1.v4.1 pep chromosome:Pop_tri_v4:6:16618733:16620760:-1 gene:Potri.006G166900.v4.1 transcript:Potri.006G166900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166900.v4.1 MNMNGFESQQRQEPLKRRWQEITSVPNMSQFPRPTSSLPNFMTKPITFPGFEDDDLVSTMIPPVTVVLEGRSICQRISLHKHASYHSLAKALRQMFVDGGSDSGGSTASSASESVSDHDLDLTNAVPGHLIAYEDIESDLLLAGDLNWKDFVRVAKRIRILPAKGNSRKRTGGAA >Potri.008G019000.2.v4.1 pep chromosome:Pop_tri_v4:8:944997:945827:1 gene:Potri.008G019000.v4.1 transcript:Potri.008G019000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019000.v4.1 MNSSSPVETRTQTFGECALTFGIPIGVFSVIAIAILASYFCSRKPIPAGHSLHDVSLSINGQDSVIIEIGLNEATLNTYPKLLYSEAKEKLEKGDDLAATSCCSICLQDYKDSDLLRLLPECGHLFHAQCIDLWLKLHPTCPICRNSPVPTPINVTETASRAPRRVLYDAFFVQLMH >Potri.004G207500.1.v4.1 pep chromosome:Pop_tri_v4:4:21499073:21499543:-1 gene:Potri.004G207500.v4.1 transcript:Potri.004G207500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207500.v4.1 MSSIVQSFQKRNHGAAALPVSQTHDSKDRGAAALRRRISSLSLKIQPISSPATQWAFQRSKSVSAMGEYAGSSIRKWWGWGWSWILSKKPPFAQDLEMNEEETRVLGCHNKGSWRHVFYKVRYEVRKLVRSDDKVGLPQTYRYDSFNYSKNFDSGH >Potri.010G169700.2.v4.1 pep chromosome:Pop_tri_v4:10:17164081:17168063:1 gene:Potri.010G169700.v4.1 transcript:Potri.010G169700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169700.v4.1 MSSIVSGHHCNWSLGGAGVQEHFLCRGRFYSNKVPCPGDNHGLPCRGLIFSTPQSLASSSCYRPSTRKYRPVFSSTVDDPRDQDDPEEDGARDKDSSKGETGGIDSELLRENLERIVGSDDSAFSGIDLATLIRNKYGRSYDVQLIKKEFMGRNLLALNVMWKYREQRSFPLTEEEYILRLDDVANTLKCWGAVSHIRNSLEKSKERPRIGKAVSIFIDMDESGGRANEWIYK >Potri.008G077300.7.v4.1 pep chromosome:Pop_tri_v4:8:4775148:4783720:-1 gene:Potri.008G077300.v4.1 transcript:Potri.008G077300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077300.v4.1 MEGADMIECSVCHSKISANNNNSKAVARAYDRHRSDVSSKTRFLNVLLVVGDCILVGLQPILVYMSKQDGKFEFSPISVNFLTETAKVFFAIFMLLIQARQKKVGEKSLLSLSTFVQAARNNVLLAVPAFLYAISNYLKFIMQLYFNPATVKMLGNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISVNQLRTLPEGSSAMGLPVATGAYLYTLIFVSVPSFASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILVTAIFKGPSSLDILHGHSRATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHALTMNFILGISIVFISMHQFFSPLSKVKDEPRDDSLEMVDSQNSQRSKDSSFINMTAGANDDASHHVGHDEKAPLLPI >Potri.008G077300.6.v4.1 pep chromosome:Pop_tri_v4:8:4775160:4783753:-1 gene:Potri.008G077300.v4.1 transcript:Potri.008G077300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077300.v4.1 MIECSVCHSKISANNNNSKAVARAYDRHRSDVSSKTRFLNVLLVVGDCILVGLQPILVYMSKQDGKFEFSPISVNFLTETAKVFFAIFMLLIQARQKKVGEKSLLSLSTFVQAARNNVLLAVPAFLYAISNYLKFIMQLYFNPATVKMLGNLKVLVIAVLLKMIMKRRFSIIQWEALALLLIGISVNQLRTLPEGSSAMGLPVATGAYLYTLIFVSVPSFASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILVTAIFKGPSSLDILHGHSRATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHALTMNFILGISIVFISMHQFFSPLSKVKDEPRDDSLEMVDSQNSQRSKDSSFINMTAGANDDASHHVGHDEKAPLLPI >Potri.008G139375.1.v4.1 pep chromosome:Pop_tri_v4:8:9373346:9373829:-1 gene:Potri.008G139375.v4.1 transcript:Potri.008G139375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139375.v4.1 MLNLIMLLCFKNKDKQKNLLRNKRVVSDIHLQWPFELSSSCQVGRCSNGRQSKLLEDKGFA >Potri.001G067300.5.v4.1 pep chromosome:Pop_tri_v4:1:5042658:5051129:1 gene:Potri.001G067300.v4.1 transcript:Potri.001G067300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067300.v4.1 MPSEIMDSQGLPSSSFFSEDVSFPERQVGFWKSDTMPDQHAGKSAVLTPLEKPVAVDSVKSLEHPQLSLMHDHKMNHSLDKHAVGAERALSRSFTLLRPVDIDPGTRTSLNVQPASYFAEGCKVNAMATQHENSLFSSSLSELFSRKMSLSSTNPLYGHSVDTIASHFEEEEHFESLEEIEAQTIGNLLPNDDDLFTGVTDRVENINHPSGGDDMEELDFFSSVGGMDLGDDGSVAQIDSEFPGGASNGQLGACNLSMAGEHPYGEHPSRTLFVRNINSNVEDSELRAVFEQYGDIRTLYTACKHRGFVMISYYDIRAAKNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDFNQGTLVVSNLDSSVSNDELRQIFGVYGEIKEIRETPNRNHHKLVEFYDVRAAEAALCAMNKSDIAGKRIKLEASHPRGLKRLSQQIPTELEQDDFRPFVQQISPSINLTTGFSALLTGTITSSGMDNGPILGAPSAIQAPFLKSALHHGISSSVPNSLSSLLRVESAGNQTGFAELSHSPGQLKFDIQGAPNFHPHSLPEYDGLNSGVHCNSPGAMAANINPRPLERIYTRQLARMSSNGNPIEFSEGVFGSAQNGSCPLTGHHYIWGNSYHHQLPGMIWPSSPSFVNGISIAHPGPRLHGPPRAPSPMLNPVLPINNQHVGSAPAVNPSLWDRQRAYAGESPDTSGFHPGSLGSIRISNNSLQSMEFLSANMFPHGGGNRLELLMTPKNVGLQSQQQRSMVFPGRGQMIPMINTFDPPSERARSRRNEGSISQADKKQYELDIDRILRGEDNRTTLMIKNIPNKYTSKMLLAAIDEHHKGSYNFIYLPIDFKNKCNVGYAFINMIDPSQIIPFYQAFNGKKWEKFNSEKVALLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKPRTITHEENQQGSPSNLAGGEDSSNGDASSGSGKESD >Potri.001G067300.1.v4.1 pep chromosome:Pop_tri_v4:1:5042973:5051027:1 gene:Potri.001G067300.v4.1 transcript:Potri.001G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067300.v4.1 MPSEIMDSQGLPSSSFFSEDVSFPERQVGFWKSDTMPDQHAGKSAVLTPLEKPVAVDSVKSLEHPQLSLMHDHKMNHSLDKHAVGAERALSRSFTLLRPVDIDPGTRTSLNVQPASYFAEGCKVNAMATQHENSLFSSSLSELFSRKMSLSSTNPLYGHSVDTIASHFEEEEHFESLEEIEAQTIGNLLPNDDDLFTGVTDRVENINHPSGGDDMEELDFFSSVGGMDLGDDGSVAQIDSEFPGGASNGQLGACNLSMAGEHPYGEHPSRTLFVRNINSNVEDSELRAVFEQYGDIRTLYTACKHRGFVMISYYDIRAAKNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDFNQGTLVVSNLDSSVSNDELRQIFGVYGEIKEIRETPNRNHHKLVEFYDVRAAEAALCAMNKSDIAGKRIKLEASHPRGLKRLSQQIPTELEQDDFRPFVQQISPSINLTTGFSGTITSSGMDNGPILGAPSAIQAPFLKSALHHGISSSVPNSLSSLLRVESAGNQTGFAELSHSPGQLKFDIQGAPNFHPHSLPEYDGLNSGVHCNSPGAMAANINPRPLERIYTRQLARMSSNGNPIEFSEGVFGSAQNGSCPLTGHHYIWGNSYHHQLPGMIWPSSPSFVNGISIAHPGPRLHGPPRAPSPMLNPVLPINNQHVGSAPAVNPSLWDRQRAYAGESPDTSGFHPGSLGSIRISNNSLQSMEFLSANMFPHGGGNRLELLMTPKNVGLQSQQQRSMVFPGRGQMIPMINTFDPPSERARSRRNEGSISQADKKQYELDIDRILRGEDNRTTLMIKNIPNKYTSKMLLAAIDEHHKGSYNFIYLPIDFKNKCNVGYAFINMIDPSQIIPFYQAFNGKKWEKFNSEKVALLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKPRTITHEENQQGSPSNLAGGEDSSNGDASSGSGKESD >Potri.001G067300.2.v4.1 pep chromosome:Pop_tri_v4:1:5042712:5051306:1 gene:Potri.001G067300.v4.1 transcript:Potri.001G067300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067300.v4.1 MPSEIMDSQGLPSSSFFSEDVSFPERQVGFWKSDTMPDQHAGKSAVLTPLEKPVAVDSVKSLEHPQLSLMHDHKMNHSLDKHAVGAERALSRSFTLLRPVDIDPGTRTSLNVQPASYFAEGCKVNAMATQHENSLFSSSLSELFSRKMSLSSTNPLYGHSVDTIASHFEEEEHFESLEEIEAQTIGNLLPNDDDLFTGVTDRVENINHPSGGDDMEELDFFSSVGGMDLGDDGSVAQIDSEFPGGASNGQLGACNLSMAGEHPYGEHPSRTLFVRNINSNVEDSELRAVFEQYGDIRTLYTACKHRGFVMISYYDIRAAKNAMKALQNRPLRRRKLDIHYSIPKDNPSEKDFNQGTLVVSNLDSSVSNDELRQIFGVYGEIKEIRETPNRNHHKLVEFYDVRAAEAALCAMNKSDIAGKRIKLEASHPRGLKRLSQQIPTELEQDDFRPFVQQISPSINLTTGFSGTITSSGMDNGPILGAPSAIQAPFLKSALHHGISSSVPNSLSSLLRVESAGNQTGFAELSHSPGQLKFDIQGAPNFHPHSLPEYDGLNSGVHCNSPGAMAANINPRPLERIYTRQLARMSSNGNPIEFSEGVFGSAQNGSCPLTGHHYIWGNSYHHQLPGMIWPSSPSFVNGISIAHPGPRLHGPPRAPSPMLNPVLPINNQHVGSAPAVNPSLWDRQRAYAGESPDTSGFHPGSLGSIRISNNSLQSMEFLSANMFPHGGGNRLELLMTPKNVGLQSQQQRSMVFPGRGQMIPMINTFDPPSERARSRRNEGSISQADKKQYELDIDRILRGEDNRTTLMIKNIPNKYTSKMLLAAIDEHHKGSYNFIYLPIDFKNKCNVGYAFINMIDPSQIIPFYQAFNGKKWEKFNSEKVALLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKPRTITHEENQQGSPSNLAGGEDSSNGDASSGSGKESD >Potri.013G162000.1.v4.1 pep chromosome:Pop_tri_v4:13:15567473:15567592:1 gene:Potri.013G162000.v4.1 transcript:Potri.013G162000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbF MTIDRTYPIFTVRWLAVHGLAVPTVSFLGSISAMQFIQR >Potri.001G440200.1.v4.1 pep chromosome:Pop_tri_v4:1:46594783:46596987:-1 gene:Potri.001G440200.v4.1 transcript:Potri.001G440200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440200.v4.1 MELTFLLLSLAPLVLLLVISIFAFKYSSRSAKNLPPGSLGWPIFGETLEFLFGKPEEFVFHRMKKYSSDIFKTKILGEETVVMCGPDGHKYLFTNEQKLFTVFRTHSMQKLFLSDEASAPIEITREAESKIIRSPGFLKPEALVRYLGKMDSITQQKMQAYWEGKDEVKVFPFAKTLTLSLACRFFLGSDDPERIARLVSNFDDVTLGMHSIPLNFPGTTFYRANKAAAAIREELRLVISEKRAIMAEGAQVQDVLCHMILATDPSGKHMAEAEIAGMMMGLLVAGYSTVATAMTFFMKYVGQRPDIYAKILAEQTEIATAKKAGEVLDWNDIQKMKYSWNVVYEVMRLTPPIQGTFREALTDVTYAGYTIPKGWKIYWTVSTTNKNPKYFPDPEKLDPSRHEDGKAFPPFTFVPFGAGPRMCPGKEYARLAILTFVHNVVKRYKWEVVFPEEKIVGDMMPSPEKGLPIRLQSH >Potri.002G250900.1.v4.1 pep chromosome:Pop_tri_v4:2:24067415:24068662:-1 gene:Potri.002G250900.v4.1 transcript:Potri.002G250900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250900.v4.1 MASTQVEAEPVKEVTNKAETSSQACPVVIEEKAATTEEPEVVVDDADDEEEEVKNESDEEQADQEKLEEYSPIIGEDEPNTTFDDELEAEDGDLI >Potri.014G139000.5.v4.1 pep chromosome:Pop_tri_v4:14:9461381:9465400:-1 gene:Potri.014G139000.v4.1 transcript:Potri.014G139000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139000.v4.1 MGFSTVYKCLTDVFPQVDARILKAVAIEHSKDADIAAEVVLSEVIPSLSRHSAAPSPPCEDTSPSLPLDGQTEQEEETGLRHRQVSLVKSVRSSEPGLIAEEDDGKTELTSGVNDGDSTHQENRQDQPIVVPSGANADTNQLQGHIETEQEEETGLRHRQVSLVKSVRSSEPGLIAEEDDGKTELTGGVNDGDSTHQEIRQDQPVVVPSGANADTNQLQGHIESDELILLGKPQHQEGISQPGSSQTLILVSNDLLLGVNAENMNSKQYRQIELLEEIVEAAKDNKKTLFSAMESVMNMMKEVELQEISAEQAKEEAARGGLDILVEVEKLKQMLVHAKEANDMHAGEVYGEKAILATEVRELQARLLSLSDERDNALAILDEMRQTLESRLAAAEELRKTAELEKLEKEETARNALAEQEIIMEKVVQESKILQKEAEENAKLQEFLMDRGCVVDTLQGEISVICQDVRLLKERFDERVPLSKSVSSSQTSCILASSGSSIKSMASNLAAETGETSELPKEPILACSVERDFSNEKQLLDDGWDFVEE >Potri.016G126700.1.v4.1 pep chromosome:Pop_tri_v4:16:12988828:12990643:-1 gene:Potri.016G126700.v4.1 transcript:Potri.016G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126700.v4.1 MPALNISTNVSLDGVDVSAIQSEATAKLAKIIAGKTEADVMIVLRGSIPISLGGSQEPAAFGELVSIGGLSPEVNKNLSAAIAEILETKLCIPKSRIFLKFYDSQGTHFGWNGSTF >Potri.012G041600.2.v4.1 pep chromosome:Pop_tri_v4:12:3707081:3712456:-1 gene:Potri.012G041600.v4.1 transcript:Potri.012G041600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041600.v4.1 MFVGVWAFQFMESLISPIKLSSCRQVMWPEFVASKILRNMLGSNNLVVDLPNSTETMLELEETSSSSVPPSLISSKKYITDDQDKHKIFVGTWNVGGIAPPDDLNMEDWLCTRTEPADIYVLGFQEVVPLSAGNVVPGFENSKICTKWNSLIREALNNSTSKPVHEDKVGEFQKVLPVKKNRSSNSLGKSSNIFPHCFDCIISKQMVGIFITIWVRGDLLPYIQQASVSCVGCGIMGCLGNKGSVSVRFCLHETSLCFVCSHLASGGKEGDEKSRNADATEILSRTRFSRGPLRNLPRKILDHDQVIWLGDLNYRIYLPDTKTRYLVQKKEWNIMLEKDQLKAELMEGHVFQGWNEGKIEFAPTYKYYQNSQVYYGCDQKRKGEKNRAPAWCDRIIWFGKGLKQKQYSRGESRLSDHRPVRAIFTAEIEVLSNSRRLGSSFPGRFDCLRNHFEACNDRTSFYLQE >Potri.008G148300.1.v4.1 pep chromosome:Pop_tri_v4:8:10116118:10121097:1 gene:Potri.008G148300.v4.1 transcript:Potri.008G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148300.v4.1 MSNQKKRNFQIDAFKHRVVVDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYNGLVATMTSHLKEISKSIEAAQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSVHKTPVHELGLNLWRDNIIHSSKIQTRLQNTLLELVHRERTGEVIDRGLMRNIVKMLMDLGSSVYQEDFEKPFLEVSAEFYSGESQKFIECCDCGDYLKKAEKRLNEEIERVTHYLDSKSEVRINNVVEKEMIANHMLRLVHMENSGLVNMLLDDKFDDLGRMYNLFRRVPDGLSTIREVMTSHLRETGKQLVTDPERLKDPVEFVQCLLDEKDKYDSIISNAFNNDKTFQNALNSSFEYFINLNTRSPEFISLFVDDKLRKGLKGVSEEDVEIILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHPELGDGPTLVVQVLTTGSWPTQPGVPCNLPAEMSALCEKFRSYYLGTHTGRRLSWQTNMGTADIKATFGKGQKHELNVSTYQMCVLMLFNNADRLGYKEIEQATEIPTADLKRCLQSMACVKGKNVLRKEPMSKDIGEEDAFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAVVRIMKSRRVLDHNNIITEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Potri.018G070300.1.v4.1 pep chromosome:Pop_tri_v4:18:8469195:8471654:-1 gene:Potri.018G070300.v4.1 transcript:Potri.018G070300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070300.v4.1 MDSKKAISFSKGLLQSEELYQYVLETSVYPRELEPLRELRMLTATHPRAMMATAPDAGQLMAMLLKLVNAQKTIEVGVFTGYSLLLTALSIPKDGKITAIDVNREAYEIGLPIIRNAGVEHKINFIESEAQPILDKLLEDHGNEGSFDFAFVDADKVNYWNYHERLMKLLKVGGIVVYDNTLWGGTVALSEESTPENMKAGRQLTIEFNKLLAADSRVQISHAPSGDGITICRRIY >Potri.011G109300.1.v4.1 pep chromosome:Pop_tri_v4:11:13893344:13899446:1 gene:Potri.011G109300.v4.1 transcript:Potri.011G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109300.v4.1 MSLRRSILSRRFSRSFNNQNRNERNAVESGRLGGELWAESDGWGSMLPELLGEIIKRVEESEDRWPQRQSVVACACVCKKWRDVTKDIVKSLPNSSSSTNNASPGKITFPSCLKQPGPRDLPHQCLIKRNKKTSTFYLYLALTPSFMDKGKFLLAARRYRQGAHTEYIISLDADELSQGSNAYVGKLSSDFLGTNFTIFDSQPPHSGAKPSSSRASRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCSLKCPVLQETINDKILDNSKMNGLESASSGCTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVATMDQSQPGGRGDEDTVLLQFGKVGEDTFTMDYRLPLSAFQAFAICLTSFGTKLACE >Potri.007G062642.1.v4.1 pep chromosome:Pop_tri_v4:7:7231946:7232326:-1 gene:Potri.007G062642.v4.1 transcript:Potri.007G062642.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062642.v4.1 MLLTLHIGPVVIARLSYFLEYRLYFSIRYESYEIIVLFLHHRRSWDGKGQRAKVLALEEEVINTMQQVLGAVECRKNMDSQIHTFIQKSTKARESVEKCEQMKWRGIRSGWMLRLRINLKNQMEKL >Potri.004G174175.1.v4.1 pep chromosome:Pop_tri_v4:4:18920503:18942653:1 gene:Potri.004G174175.v4.1 transcript:Potri.004G174175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174175.v4.1 MQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGGMQIFVKTLSRKNIILEVESSDAIECVKAKIRHQEGISPGQQILIFSGKQLGDERTLAAYNIQEESALRLVLRLRGG >Potri.001G107600.1.v4.1 pep chromosome:Pop_tri_v4:1:8638925:8639986:-1 gene:Potri.001G107600.v4.1 transcript:Potri.001G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107600.v4.1 MSHLTKFLTSSLLIFSLLFISTNAATFEIRNSCPYTVWAAASPGGGRRLERGQTWNLNVPAGTSMARIWGRTNCNFDGGGKGRCQTGDCTGGLECKGWGVPPNTLAEYALNQFGNLDFYDISLVDGFNIPIEFSPTSGGGKCQALLCTADINGQCPNELRAPGGCNNPCSVFKTNEYCCTNGQGSCGPTKFSRFFKDRCPTSYSYPQDDPTSTFTCPGGTNYRVIFCPRGSPHFPLEMVEEKRAE >Potri.019G021000.2.v4.1 pep chromosome:Pop_tri_v4:19:3293212:3293899:-1 gene:Potri.019G021000.v4.1 transcript:Potri.019G021000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021000.v4.1 METPTLSPKPAKDYSSSVDTSRPFRSVKEAVAIIGKRFLVGEIYSSKPYYNPPGEENISWRFLSPNPSYRSPKEDHHHEQNEVFGALMKLEAELNLLKEREICLKLARAEAAAAKEAVEMREVSFEREKKEDLLLKEEERMRELMIRMENSPTLAQILSLGEEKGCSRGKEERKAMKKKPIVPLVGDLFFKKKGSSNTHNNPLYASPEVYFY >Potri.011G132800.1.v4.1 pep chromosome:Pop_tri_v4:11:16625340:16634647:1 gene:Potri.011G132800.v4.1 transcript:Potri.011G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132800.v4.1 MESILARALEYTLKYWLKSFSRDQFKLHGRTVQLSNLELNGDALHASMGLPPALNVTKAKVGKFEIILPYVSNVQVEPIVIQIDKLDLVLEENSESDASSGPNSAHSSSSSSKGSGYGFADKIADGMTIQVSTVNLLLETRGGAQHGGGATWASPLASITIRNLLLYTTNENWQVVNLKEARDFSNNKKFIYVFKKLEWESLSIDLLPHPDMFADASLACAQEGASRRDDDGAKRVFFGGERFLEGISGEAYITMQRTEQNSPLGLEVQLHIPEAICPALSEPGLRALLRFMTGLYVCLNRGDVDLQSQQRSTEAAGRSLVSIVVDHIFLCIKDAEFQLELLMQSLLFSRATVSDGKIASNLTKVMLGGMFLRDTFSRPPCTLVQPSMQAITENDGQIPDFAKNFCPPIYPLGDHQWQTNVGIPLICLHSLQLKPSPVPPCFASQTVIACQPLMIHLQEESCLRITSFLADGIAVNPGDILPDFSVNSVVFVLKELDVIVPLDVSQSHNPADNGNYTVHNAFAGARLHIENLFFSESPKLKLRLLNLEKDPACFCLWDGQPIDASQKKWTTGASHLTLSLETSSSLNGTLNLNGMNSGIWRCVELQDASVEVAMISADGGPLTNVPPPGGTVRVGVACQQYFSNTSVEQLFFVLDLYAYLGRVSETIASVGKNRRQKINRNESSGVRLMDKVPCDTAVSLAVKELRLRFLESSASDIEGMPLVQFIGEDLFIKVAHRTLGGAIAISSSICWQSVEVDCVETEGSLTYENGTQTSSVENGCLVAANKYPELRAVFWVHNGHKYQANGITRTIPFLDTSMVHVIPLSELDRECHSLSVSACISGVRLGGGMNYAEALLHRFGVLGPDGGPGEGLSKGLENLSTGPLSKLFKGSPLIDNLKEDASPVDGKDGVLHLGIPDDVDVCIEFKDWLFALEGAQEMTDRWWFYNHEDVGREERCWHTSFQSLLVKAKSGPKKERNGKGKPNGKLKYPVELVTVGVEGLQTLKPQGQKGVSMPANGIKEVVETSGGVNLEVCMVALEENIDDEMANWAVENLKFSVKQPIEAVVTKDELQHLALLCKSEVDAMGRIAAGVLKLLKLEGSIGQAAIDQLSNLGSEGFDKIFTPDKFRKGTSPASTSFSPSPHIINESPRTTVESTVASLEEAVLDSQAKLAALFTDLSSSESSTQHLADIKQLGRKLESMQSLVMQLRTKI >Potri.015G102000.1.v4.1 pep chromosome:Pop_tri_v4:15:12126178:12127558:-1 gene:Potri.015G102000.v4.1 transcript:Potri.015G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102000.v4.1 MDPSSVNSAELERFLNQEKERAMVNEMVAKLTSACWDKCITSSPGSKFSSSESSCLSNCAQRYMDMSLIIMKRFQSMN >Potri.001G103900.1.v4.1 pep chromosome:Pop_tri_v4:1:8371673:8372853:1 gene:Potri.001G103900.v4.1 transcript:Potri.001G103900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103900.v4.1 MSSEMPFISSHENNNGWDRDNSRLIPFDESARILLEFEVSTSVLDDLSEDDYSDFEDDSTDGQEDMGFEDNMSSVSSESYTSHVLDANDLSMQLRTELALGEVSPLPPPYTFTLYSAGFYLEDPSLRLAETLSDNECPKAQSASKESIENLEEVKIDRGSSNLECPVCLETISTGSEAKRMPCFHIYHGKCIVEWLMNSNTCPVCRYQMPTES >Potri.011G005900.1.v4.1 pep chromosome:Pop_tri_v4:11:499443:503563:-1 gene:Potri.011G005900.v4.1 transcript:Potri.011G005900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005900.v4.1 MDALVVSPLLQAVFDKLALLIIRELTSGGDYEKEMQKLQNRLPIIQGVIEDAEERQHGDKQIKIWLQKLKDVAYDAEDLLDMIHARVLSKQVLESDRFPWDMIYARVLSKQVLQSDRVTYSPSYDTGILGKGKLWAEEFGELMNRKVRLASHTVESIPNYFINFRKLREIRERLDDISTEMGGFHLMSRLPQTGNREGRETGPHIVESEVCGRKEDVEKVVKMLLASNTDFRVIPIIGIGGIGKTTVAQLAYNDERVNKHFDLKIWISLYDDDFNPRKIMSQVLAYVQKGEHYSISQMGLLQSQLRKALHGKRFVLVLDDVWNEDPDKWDKVRNLLGDGTNGSRVIVTSRSWNVASIMSTSPPYHLEALSEDDCWVLFKQRAFPDGDENDFPNLLPVGKQIIDKCKGLPLAAKVLGSLMRFKREESEWLRVQGSELLNLDRQDNKIIQILRLSFDHLPSHLKRCFAYCAVFPKKFEICKEKLIHQWIAGGLVQCDHDLVSEPEDIGSDYLTDLLRMSLLEVVSGCDDSSTTRIKMHDLIHGLAISVAGNEFLTTGKTEQQGTLKLSHSTKVRHAVVDCYSSSNRVPGALYGAKGLRTLKLLSLGDASEKSVRNLISSFKYLRILNLSGFGIKILHKSIGDLTCLRYLDLSDTPIEKLPASICNLQLQTLDLSSCYILQKLPKRTRMMTSLRHLKIENCARLARLPDFIGALGNLQTLPIFIVGKTWEDGLYELLKLQNLRGELKIKHLENVLSAKKFPGPGHHYCFENMQLNSLGLSWGDADADEHKLSGNMRDPRSQTGHHSVETARILLHSTLKPNSRIKKLFVNGYPGTEFPDWMNAAALCNLIQLELANCTNCESLPTLGELPLLKVLRIQGMDSVVNIGNEFFGGMRAFSSLTEFSLKDFPKLETWSTNPVEAFTCLNKLTIINCPVLITMPWFPSLQHVEIRNCHPVMLRSVAQLRSISTLIIGNFPELLYIPKALIENNLLLLSLTISFCPKLRSLPANVGQLQNLKFLRIGWFQELHSLPHGLTNLTSLESLEIIECPNLVSLPEESLEGLSSLRSLSIENCHSLTSLPSRMQHATALERLTIMYCSNLVSLPNGLQHLSALKSLSILSCTGLASLPEGLQFITTLQNLEIHDCPGVMELPAWVENLVSLRSLTISDCQNIKSFPQGLQRLRALQHLSIRGCPELEKRCQRGNGVDWHKISHTPYIYVGLSTLQQRRDTASSSSTS >Potri.008G207000.1.v4.1 pep chromosome:Pop_tri_v4:8:15335099:15338424:-1 gene:Potri.008G207000.v4.1 transcript:Potri.008G207000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G207000.v4.1 MSALSRGLISRLYRILSANSRTPPTATTKFYLLRCFSADALIDGSQVEYHQQSRIIEAKPGVMTPNSKRTGVVAVKCGMTALWDKWGARIPITVLWVDDNIVSQVKTVEKEGFFALQVACGQKKEKHLTKPEVGHFRAQGVPMKRKLREFPVTEDALLPVGTYVGVRHFVPGQFVDVAGITMGKGFQGGMKRHGFKGGPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGVQRTVKNVWVYKIDPARNLMWVKGQVPGAEGNFVFIKDSVYKKPDIQMLPFPTYFGAEDDDNAEPLVADLGEVDPFMVAD >Potri.004G019500.1.v4.1 pep chromosome:Pop_tri_v4:4:1389779:1393181:-1 gene:Potri.004G019500.v4.1 transcript:Potri.004G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019500.v4.1 MNCIISSMGISSLCKALILLELILLPLFASSDTKTLHESSDSSSFPANFLFGTASSSYQFEGAYLSDGKGLSNWDVHTHKPGNIIDGSNGDIAVDQYHRYLEDIDLMASLGVNSYRFSMSWARILPKGRFGGVNMAGISYYNKLINALLLKGIQPFVSLTHFDVPQELEDRYGGFLSPKSQEDFGYYVDICFKYFGDRVKYWATFNEPNFQAIYGYRVGEYPPKRCSKPFGNCSHGDSEREPFIAAHNIILAHATAVDIYRTKYQREQRGSIGIVMNCMWYEPISNSTANKLAVERAQAFFLRWFLDPIIFGRYPEEMKEVLGSTLPEFSRNDMNKLRKGLDFIGMNHYTSYYVQDCILSVCEPGKGSTRTEGSSLLTQEKDGVPIGKPSEVDWLHVYPQGMEKMVTYVKERYNNTPMIITENGYSQVSNSNGNIEEFLHDTGRVEYMSGYLDALLTAMKKGADVRGYFAWSFLDNFEWTFGYTRRFGLYHVDYTTMKRTPRLSATWYKEFIARYKVDKSQM >Potri.010G149600.1.v4.1 pep chromosome:Pop_tri_v4:10:15980630:15981084:1 gene:Potri.010G149600.v4.1 transcript:Potri.010G149600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149600.v4.1 MREREKGLSKVKERHKFLQGNLYKGMNKAIMCYTTSQEGSLVDGFFAGFQKAVSSC >Potri.017G066000.1.v4.1 pep chromosome:Pop_tri_v4:17:7246065:7249651:-1 gene:Potri.017G066000.v4.1 transcript:Potri.017G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066000.v4.1 MASQAAASAFNGNMKKALAGLKRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPYRDDGDICVVLNAKDVCVTGRKMTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFPDSEHPFGDRPLEPYVMPPRQVREMRPRARRAMIRAQKKAEQQEQGGNDKRKGKKREVEAELTE >Potri.017G066000.2.v4.1 pep chromosome:Pop_tri_v4:17:7246068:7249605:-1 gene:Potri.017G066000.v4.1 transcript:Potri.017G066000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066000.v4.1 MASQAAASAFNGNMKKALAGLKRINLEGLRWRVFDAKGQVLGRLASQISTVIQGKDKPTYAPYRDDGDICVVLNAKDVCVTGRKMTDKFYRWHTGYIGHLKERSLKDQMAKDPTEVIRKAVLRMLPRNKLRDDRDRKLRIFPDSEHPFGDRPLEPYVMPPRQVREMRPRARRAMIRAQKKAEQQEQGGNDKRKGKKREVEAELTE >Potri.008G008700.2.v4.1 pep chromosome:Pop_tri_v4:8:430343:434330:1 gene:Potri.008G008700.v4.1 transcript:Potri.008G008700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008700.v4.1 MAPVDGIDNLSAARIVLKTSLENSRALASALDNTGQKLEGIKQRLPTLGAAVRHAPRQKCTFVAIREHIDCAIGPAAAVLKVYDTIQELQKSLLSHPCSDLSTYLLMVKQLEESLKFLTDNCRLAIQWLEAVLEFLENAVPDDLYIMKVKKSLSILQELQATEKRARLSGGVLCAAFDKLEIEFRRLLTENCIHVVWDFVSSSIGDQASTAPSPLPVAVVQKLQAIIGKLNADNRLEKFMSTYAEIRSLNTRRSFQALDLNYLDLSISEFDDVQDVECYIDQWCKHFQLAIKHVFEIEYKLCSDVFEKNGPDVWMDCFAKIAIQSGILSFLHFGKKITVCKNDPIKILKLLDIFAMLENLRVDFNRLFGGPACIEIQTLTRDLIKGVVNGACEVFWELPIQVELQRRSSPSLNGSVPRLVNFVTDYCNRLLGDDYKPLLTRVLTIQQSWKQVKYQEELITSQIYCIIKQIGLNLDAWSKAHYDFTLSYLFMMNNHCHLCSLKGTKLGDLMGECWLKAHEQYRDYYMTLFLRESWGKIFNLLSQEGRVLSSPTGGFVGDSVKKRLKSFNEEFDHMYQKQSNWVVPNEDLRLKMCKLVVQAFVPAHRSYLQNYGFQAETDASPGRHVKYTTQGLETMLSSLFQPKLSKSGSTKQNRLIGWWLTTIIHG >Potri.008G008700.3.v4.1 pep chromosome:Pop_tri_v4:8:430343:434329:1 gene:Potri.008G008700.v4.1 transcript:Potri.008G008700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008700.v4.1 MAPVDGIDNLSAARIVLKTSLENSRALASALDNTGQKLEGIKQRLPTLGAAVRHAPRQKCTFVAIREHIDCAIGPAAAVLKVYDTIQELQKSLLSHPCSDLSTYLLMVKQLEESLKFLTDNCRLAIQWLEAVLEFLENAVPDDLYIMKVKKSLSILQELQATEKRARLSGGVLCAAFDKLEIEFRRLLTENCIHVVWDFVSSSIGDQASTAPSPLPVAVVQKLQAIIGKLNADNRLEKFMSTYAEIRSLNTRRSFQALDLNYLDLSISEFDDVQDVECYIDQWCKHFQLAIKHVFEIEYKLCSDVFEKNGPDVWMDCFAKIAIQSGILSFLHFGKKITVCKNDPIKILKLLDIFAMLENLRVDFNRLFGGPACIEIQTLTRDLIKGVVNGACEVFWELPIQVELQRRSSPSLNGSVPRLVNFVTDYCNRLLGDDYKPLLTRVLTIQQSWKQVKYQEELITSQIYCIIKQIGLNLDAWSKAHYDFTLSYLFMMNNHCHLCSLKGTKLGDLMGECWLKAHEQYRDYYMTLFLRESWGKIFNLLSQEGRVLSSPTGGFVGDSVKKRLKSFNEEFDHMYQKQSNWVVPNEDLRLKMCKLVVQAFVPAHRSYLQNYGFQAETDASPGRHVKYTTQGLETMLSSLFQPKLSKSGSTKQNRLIGWWLTTIIHG >Potri.011G049700.7.v4.1 pep chromosome:Pop_tri_v4:11:3966619:3973265:1 gene:Potri.011G049700.v4.1 transcript:Potri.011G049700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049700.v4.1 MFESVEYPFICLQLSGFHMSITDFTHAGQYMSPYDPDEGPLITGWRVQRWESSVQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNYQPAAAGPISDVRKTSDSGAEKTKRVIFDPSDLPSDVRTLARIVYSAHGGEIAVAFLGGGVHIFSGTNFTLVDNHQINVGSTIAAPAFSSTSCCSASVWHDTSKDHTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPTSQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGRGIESALINPSALVSEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVTSPTQSSASPAPSQGGQSGSTSSTGSTQMQAWVQGAIAKISSTTDGVTGSTPNPISGPSSVMPISINTGTFPGTPAVRLIGDCHFLRRLCQLLLFCFFFRRTQLPRFAGSAQRNSTDTNVQKPQSGAPGKVEEINTVTSKPAPAMVRSDEGQTARGGQVMPGAKPVEDGPAGRHRVGSGNAGQGYSFEEVKVLFRILMDLCRRTATLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDAEDMGSDDTLKLNSDPLDFSSLENCDVYYSAHGLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAMWKTGLEGVWYKCIRCLRQTSAFASTGAAANPPNQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.011G049700.5.v4.1 pep chromosome:Pop_tri_v4:11:3961917:3973266:1 gene:Potri.011G049700.v4.1 transcript:Potri.011G049700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049700.v4.1 MTSSSSNKETTEEEQTAPEISPAEGGGGASSGGVGKADPVSSGGEEESGGAGEKVDDPMEEDSVSPATVFCIRLKQPRSNLQHKMSVPELCRQFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHVVIPERPTECAVFNVIADSPRDSVQFIEWSPTSYSRALLIANFHGRTTIWTQPSQGPSNLVRDASCWQREHEWRQDIAVVTKWLSSVSPYRWLSSKSSTPTSSKSAFEEKFLSQHSQTSARWPNFLCVCSVFSSGSVQLHWSPSQNNTSPKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMASVGNGVPPSVKPPNWSGFAPLAAYLFNWQEHLMSEVKQGKKQTDEDFTDTITLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSVQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNYQPAAAGPISDVRKTSDSGAEKTKRVIFDPSDLPSDVRTLARIVYSAHGGEIAVAFLGGGVHIFSGTNFTLVDNHQINVGSTIAAPAFSSTSCCSASVWHDTSKDHTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPTSQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGRGIESALINPSALVSEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVTSPTQSSASPAPSQGGQSGSTSSTGSTQMQAWVQGAIAKISSTTDGVTGSTPNPISGPSSVMPISINTGTFPGTPAVRLIGDCHFLRRLCQLLLFCFFFRRTQLPRFAGSAQRNSTDTNVQKPQSGAPGKVEEINTVTSKPAPAMVRSDEGQTARGGQVMPGAKPVEDGPAGRHRVGSGNAGQGYSFEEVKVLFRILMDLCRRTATLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDAEDMGSDDTLKLNSDPLDFSSLENCDVYYSAHGLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAMWKTGLEGVWYKVLRQTHLTKMKERLGGSAVGLTAVRCVGVHGFELYRQDCDYKC >Potri.011G049700.6.v4.1 pep chromosome:Pop_tri_v4:11:3961956:3973238:1 gene:Potri.011G049700.v4.1 transcript:Potri.011G049700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049700.v4.1 MEGQLSGLSLLKVHLIWYVMLAVGSVSMNGGRISQLLLNGYPVSLQWLSSKSSTPTSSKSAFEEKFLSQHSQTSARWPNFLCVCSVFSSGSVQLHWSPSQNNTSPKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMASVGNGVPPSVKPPNWSGFAPLAAYLFNWQEHLMSEVKQGKKQTDEDFTDTITLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSVQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNYQPAAAGPISDVRKTSDSGAEKTKRVIFDPSDLPSDVRTLARIVYSAHGGEIAVAFLGGGVHIFSGTNFTLVDNHQINVGSTIAAPAFSSTSCCSASVWHDTSKDHTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPTSQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGRGIESALINPSALVSEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVTSPTQSSASPAPSQGGQSGSTSSTGSTQMQAWVQGAIAKISSTTDGVTGSTPNPISGPSSVMPISINTGTFPGTPAVRLIGDCHFLRRLCQLLLFCFFFRRTQLPRFAGSAQRNSTDTNVQKPQSGAPGKVEEINTVTSKPAPAMVRSDEGQTARGGQVMPGAKPVEDGPAGRHRVGSGNAGQGYSFEEVKVLFRILMDLCRRTATLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDAEDMGSDDTLKLNSDPLDFSSLENCDVYYSAHGLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAMWKTGLEGVWYKCIRCLRQTSAFASTGAAANPPNQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.011G049700.3.v4.1 pep chromosome:Pop_tri_v4:11:3961917:3973257:1 gene:Potri.011G049700.v4.1 transcript:Potri.011G049700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049700.v4.1 MCCFQCHSRVHLIWYVMLAVGSVSMNGGRISQLLLNGYPVSLQWLSSKSSTPTSSKSAFEEKFLSQHSQTSARWPNFLCVCSVFSSGSVQLHWSPSQNNTSPKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMASVGNGVPPSVKPPNWSGFAPLAAYLFNWQEHLMSEVKQGKKQTDEDFTDTITLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSVQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNYQPAAAGPISDVRKTSDSGAEKTKRVIFDPSDLPSDVRTLARIVYSAHGGEIAVAFLGGGVHIFSGTNFTLVDNHQINVGSTIAAPAFSSTSCCSASVWHDTSKDHTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPTSQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGRGIESALINPSALVSEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVTSPTQSSASPAPSQGGQSGSTSSTGSTQMQAWVQGAIAKISSTTDGVTGSTPNPISGPSSVMPISINTGTFPGTPAVRLIGDCHFLRRLCQLLLFCFFFRRTQLPRFAGSAQRNSTDTNVQKPQSGAPGKVEEINTVTSKPAPAMVRSDEGQTARGGQVMPGAKPVEDGPAGRHRVGSGNAGQGYSFEEVKVLFRILMDLCRRTATLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDAEDMGSDDTLKLNSDPLDFSSLENCDVYYSAHGLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAMWKTGLEGVWYKCIRCLRQTSAFASTGAAANPPNQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.011G049700.2.v4.1 pep chromosome:Pop_tri_v4:11:3961749:3973258:1 gene:Potri.011G049700.v4.1 transcript:Potri.011G049700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049700.v4.1 MTSSSSNKETTEEEQTAPEISPAEGGGGASSGGVGKADPVSSGGEEESGGAGEKVDDPMEEDSVSPATVFCIRLKQPRSNLQHKMSVPELCRQFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHVVIPERPTECAVFNVIADSPRDSVQFIEWSPTSYSRALLIANFHGRTTIWTQPSQGPSNLVRDASCWQREHEWRQDIAVVTKWLSSVSPYRWLSSKSSTPTSSKSAFEEKFLSQHSQTSARWPNFLCVCSVFSSGSVQLHWSPSQNNTSPKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMASVGNGVPPSVKPPNWSGFAPLAAYLFNWQEHLMSEVKQGKKQTDEDFTDTITLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSVQPVVLHPIFGNPTSGFGGQAPMQTVWVSKVDTSIPPTNDFKNYQPAAAGPISDVRKTSDSGAEKTKRVIFDPSDLPSDVRTLARIVYSAHGGEIAVAFLGGGVHIFSGTNFTLVDNHQINVGSTIAAPAFSSTSCCSASVWHDTSKDHTVLKIIRVLPPAVPSSQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPTSQHRQQYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGRGIESALINPSALVSEPWQASGETLSGIDPEAMTVEPNLVPSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGTGSNRNMVTSPTQSSASPAPSQGGQSGSTSSTGSTQMQAWVQGAIAKISSTTDGVTGSTPNPISGPSSVMPISINTGTFPGTPAVRLIGDCHFLRRLCQLLLFCFFFRRTQLPRFAGSAQRNSTDTNVQKPQSGAPGKVEEINTVTSKPAPAMVRSDEGQTARGGQVMPGAKPVEDGPAGRHRVGSGNAGQGYSFEEVKVLFRILMDLCRRTATLAHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDAEDMGSDDTLKLNSDPLDFSSLENCDVYYSAHGLWPRKRRLSERDAAFGLNTSVGLGAYLGIMGSRRDVVTAMWKTGLEGVWYKCIRCLRQTSAFASTGAAANPPNQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.002G082000.1.v4.1 pep chromosome:Pop_tri_v4:2:5818346:5823853:1 gene:Potri.002G082000.v4.1 transcript:Potri.002G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082000.v4.1 MGCGGSKVDDLPLVVLCRERKEVIKAASDHRYALAAAHVAYFHSLRDVGDAIRRFVDEGLVIASSSTAPASPVLTLPSREGKSRHISKNSSTSTSLSHSIDTKSKDEEIEDSHLRLSSGSDLDSDSGSGSGHIHIHDTPEEEEEEGAVREIPSTSYNNNFNDYPQPQGNWGFPNYSGDNPYPNPYPYPYSYENSYANTYYMKRSATPAKTVVYEDPSVNGYSDGGSGYYGGGYFGYPMMSSPARKPSPEKPPPVPPSPPRVSTWDYFNVFDAYDNGGSGGYPAYHPYARYGYGSSTSSPDSKEVREREGIPDLEDETEHEVIKEVHKEKKKASEEMDLNGKMKFNEEMMRNYGEGTSKSVHIESSSESLESVKGKGIKNSMSPNTVQSPDSIVSKSPEEGSVRKKEVSFEVEDASNVTVEIESSKPSSVPTTKLSAHGTRDLQEVVKEIRDEFETASGYGNEVALMLEVSKLPYQCQQRSSLFKVILSRILYLVSSHPPARPSVRISSRTMKMAKSYPLESGNDFDMRRRNLSSTLQEIYAWEKKLYKEVRDEERLRVIYEKECKRLKMLDDRGAESSKIDATQASIRKLLTKINVCIRAVDAISSKIHRLRDEELQPQITELIHGLIRMWKSMLRCHQKQFQAIMDSKVRSLKAQRDSGLKATVELEVELINWCTCFNNWINTQKSYVESLNGWLLRCLHQEPEVTADGIVPFSPSRIGAPPIFVICNDWYQGIVRISEQEGVENAMLGFTSSLHQLWERQDEEQRQRIKAEYLTGDFEKQLKTLRMEKGRIEQERGISPLDKTMSKVSSESGISPLDDLKVDLDSMRKKLEEERARHKETAKSVHDAASSSLQAGLVPIFQALGKFTSEVLKAHEEVRL >Potri.002G077100.8.v4.1 pep chromosome:Pop_tri_v4:2:5383197:5388341:-1 gene:Potri.002G077100.v4.1 transcript:Potri.002G077100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077100.v4.1 MKPSATITSSTATLDVVKAPNFVRVTAITIFSFSVITLLYLFPSSPFPSSISFSPSFSITSPQQIKNNASLVSPRPKKTRPPPPPTVGERTGIVDENGAMAEDFVIGEFDPSAMDEFRNLTGGREETSTKEDGKLTRVKYERFRVCEESTRDYIPCLDNVEEIKRLNLSGSLVIYERHCPEEGKRLDCLVPMPKGYKRSIPWPRSRDEVWFSNVPHTRLVEDKGGQNWIALKKDKFVFPGGGTQFIHGADQYLNQISEMVPEIAFGQHTRIALDIGCGVASFGAFLLQRNVTTLSIAPKDVHENQIQFALERGVPAMAAVFSTRRLLYPSQAFDLIHCSRCRIDWTRDDGILILEVNRMLRAGGYFVWAAQPVYKHEENLQEQWKEMQDLTRRICWELVKKEGYIAIWRKPLNNSCYLSRDGGAQPPLCDSNDDPDSVWYVSLRSCITRLPENGYGANVTSWPVRLHYPPDRLQSIRMDATFSRKELFKAESKYWNEIIESYVRAFHWKHMNFRNVMDMRAGFGGFAAALHDLDVDCWVMNVVPVSEFNTLPVIYDRGLIGVMHDWCETFDTYPRTYDLLHAAGLFSAEQKRHKCKVSSIMLEMDRMLRPGGTVYIRDTISVMSELQEIATATRWVCTLRDTGEGPHASWKILTCDKRMP >Potri.007G085900.1.v4.1 pep chromosome:Pop_tri_v4:7:11068424:11075377:1 gene:Potri.007G085900.v4.1 transcript:Potri.007G085900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085900.v4.1 MADHVSDTSPLIPPSPITEPSEIDLEAGPGEQIQCRICLETDGRDFIAPCKCKGTVKYVHRECLDQWRAVKEGFAFAHCTTCKAPYHLRVHVATDRKWRTLKFRFFVTRDIAFIFLAVQLVIASLAYLVYLIDTYQKSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCRELCLCCCQPGVCADCHLPGTLCIWTDCTTCFESCASTAGECGCLGGAGEAGLPLLFIMALIVLGLFTVIGIFYSVLVATMVGQ >Potri.018G139500.1.v4.1 pep chromosome:Pop_tri_v4:18:14450702:14457758:-1 gene:Potri.018G139500.v4.1 transcript:Potri.018G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139500.v4.1 MLYSREVLNFLVRKDVRKILKRKDSDAGERGRALEELRSSLFSRFRSSESAKRHEQQLCGPVIALTFNFLVAIGIIFMNKWVLQGVGFHFPICLSFIHYALSWALMAIIKAFSVLPASPPSKSSRLSLFTLGFVMSLSTGLANVSLKYNSVGFYQMAKISVTPSIVLAEFIWFKKRVSFSKVVALTVVSIGVAVATVTDLQFSLFGACVALAWIIPSAVNKILWSTLQQQDNWTALALMWKTTPITLFFLASLIPFLDPPGVFSYDWNFRNTALILMSALLGFLLQWSGALALGATSAISHVVLGQFKTCVVLLGNYYIFGSNPGATSICGALTAIVGMSCYTYLNICNPKPQTGKLSPGKSSTQSRSSKENVDSHDAYGGESV >Potri.001G144200.1.v4.1 pep chromosome:Pop_tri_v4:1:11869350:11874335:1 gene:Potri.001G144200.v4.1 transcript:Potri.001G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144200.v4.1 MGCTAREKHVRANRRVPRPAKYTEFDPCIYAKTLLESGLKPLAYHLGLHDPTHNNNNNSNSNFDDNGWGYCTEEQLEEILLKNLEFLYKEAISKLVGLGYDEDVALKAILRNGYCYGGMDVLTNILHNSLAYLNSNNCGSSSNGNVDETELVFNDLRQLEEYSLAGMVCLLQQVKPHFSKGDAMWCLLMSDLHVGRASALEIPVTSFPGNGSGNVQGSVESVSGVDNGVGVVAPALCRFHGGWGFGSGGGSEFSPDGFFSYSAEMTLQKDIECPKRFNLSPSMKSLLKRNVAMFAAGFRANSKQMQMQPRVQVQACVSVSAGGDAASVAKADEGMIKKGEESHDSKNKEGISSMLSKLQNLKLDEELVGEDQKDDMIVTLLQHIKDLDKQVKERKEWAHQKAMQAARKLSSDLTELKMLRMEREETQRLKKGKQTLEDSTAKRLSEMENALRKASGQVDWANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKREKKCLKRLLAWEKQKTKLQAEIADEKENIKELQQCLANIEHAQKEAEVKWRHEVKAKEQALALVEEERCSKEATEAENKRKLDALRRKIEIDFQRHKDDLQRLEQEFSRLKSAAESTELNYQSNALPSGKSERTKPQGGTIARLLHEIEKLENSSEKGANCDRKCMICMKDEVSIVLLPCAHQVICANCSGNYGKKGKATCPCCRVPVEQRIRVFGASS >Potri.003G121400.1.v4.1 pep chromosome:Pop_tri_v4:3:14245035:14247761:1 gene:Potri.003G121400.v4.1 transcript:Potri.003G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121400.v4.1 MCQLSSPSHSCKCKIDPSSKVQESDRLITALIPKDPTFENQQRTHLALAIREARCIANIALPMILTGLLLYSRSMISMLFLGRQGELALAGGSLAIGFANITGYSILSGLSMGMEPICGQAFGAKRYKLLGLALQRTILLLFLVSIPIALLWFNMKKILLFCGQEDDISTEAQLYILYSLPDLVAQSILHPLRIYLRSQSITLPLTFCATLSILLHIPVNYLLVSVFNLGIKGVALGAVWTNFSLVGSLVIYVMISGVSKKTWGGISLECLKGWRSLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPTATVASMGILIQTTAFIYIFPSSLSFGVSTRVGNELGANNPQKAKLAATVGLSSSFVLGFAALCFAVMVRKIWASMFTQDAEIIALTSMVLPIIGLCELGNCPQTTGCGVLRGTARPKIGANINLGCFYLVGMPVAVWLSFYAGFDFKGLWLGLLAAQGSCVVTMLFVLARTDWECQAQRAKELTGNVSNDADHNEEDEKLKDPKNSSSSLDGNDSLV >Potri.001G455300.3.v4.1 pep chromosome:Pop_tri_v4:1:48164981:48173645:1 gene:Potri.001G455300.v4.1 transcript:Potri.001G455300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455300.v4.1 MGVDSSDRGAPASLDEQIELVGKLFKHTLKRAELRDEIFAQISKQTRNNPDRQYLIKAWELMYLCASSMPPSKEIGGYLSEYVHNVAYGASTDSEVQILALNTLNALKRSVKAGPRHTTPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELSGLIKLSAFSSFSLFEYCKVVSGSKSSDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGREDAAQLSALQILVDIGFFGSQESSIDWTSLLERFLPRQIAITRGKREWELDILSRYHSMENLTKDDARQQFLRILRSLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMMRRYSKARTVASGSVNGDVLNNSNPTSVEVHEKRLNELSKTIEESQKKSEQLVEELHEKQNQEVKLQEQLEGLKDSLRSAKQNLAEVECDRDRLKSLCAEKDAAFQVVLSEKRSMETRLASLSNLTLEKNAKNDLVGANNQVLHKLQDELKLRNEELRAAEERMQRLGNENFLLEQKISRFARKVEEMEVVEKNIEQERQSLKLRVIELERKLEMVTRDLATSKSTLAIVNADLASLQNNLKELEDLREMKEDIDRKNEQTAAILKMQASQLAELEVLYKEEQVLRKRYFNTIEDMKGKIRVFCRLRPLSEKEISEKDRGLLTSTDEFTVEHPWKDDKAKQHVYDRVFDGNATQEDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTVYGSEGNPGLTPRATSELFKVLRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNMKRLKLDIKKDSKGMVSVENVTVVSITTFEELKNIIQRGSDRRHTSGTQMNEESSRSHLILSIVIESTNLQTQSVARGKLSFVDLAGSERIKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDESYNSLMYASRVRSIVNDPSKNVSSKEVARLKKLVAHWKEQAGKRGDDDDLEDIQEQRPVREKTDGRHSM >Potri.001G455300.1.v4.1 pep chromosome:Pop_tri_v4:1:48163672:48173648:1 gene:Potri.001G455300.v4.1 transcript:Potri.001G455300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455300.v4.1 MKLDVHSLMAQSIRSRRTSFNSTNGNEETPMHPSASFSNGDGYDSDGSNFDTPTPATLSMAIPAELAGAIPLIDKFQVEGFLKLMQKQIQSTGKRGFFSKKSVGPQVREKFTFEDMLCFQKDPIPTSVLKINGDLVSRATKLFQIILKYMGVDSSDRGAPASLDEQIELVGKLFKHTLKRAELRDEIFAQISKQTRNNPDRQYLIKAWELMYLCASSMPPSKEIGGYLSEYVHNVAYGASTDSEVQILALNTLNALKRSVKAGPRHTTPGREEIEALLTGRKLTTIVFFLDETFEEITYDMATTVSDAVEELSGLIKLSAFSSFSLFEYCKVVSGSKSSDPGNEEYIGLDDNKYIGDLLAEFKAAKDRSKGEILHCKLIFKKKLFRESDEAVTDPMFVQLSYVQLQHDYILGNYPVGREDAAQLSALQILVDIGFFGSQESSIDWTSLLERFLPRQIAITRGKREWELDILSRYHSMENLTKDDARQQFLRILRSLPYGNSVFFSVRKIDDPIGLLPGRIILGINKRGVHFFRPVPKEYLHSAELRDIMQFGSSNTAVFFKMRVAGVLHIFQFETKQGEEICVALQTHINDVMMRRYSKARTVASGSVNGDVLNNSNPTSVEVHEKRLNELSKTIEESQKKSEQLVEELHEKQNQEVKLQEQLEGLKDSLRSAKQNLAEVECDRDRLKSLCAEKDAAFQVVLSEKRSMETRLASLSNLTLEKNAKNDLVGANNQVLHKLQDELKLRNEELRAAEERMQRLGNENFLLEQKISRFARKVEEMEVVEKNIEQERQSLKLRVIELERKLEMVTRDLATSKSTLAIVNADLASLQNNLKELEDLREMKEDIDRKNEQTAAILKMQASQLAELEVLYKEEQVLRKRYFNTIEDMKGKIRVFCRLRPLSEKEISEKDRGLLTSTDEFTVEHPWKDDKAKQHVYDRVFDGNATQEDVFEDTRYLVQSAVDGYNVCIFAYGQTGSGKTFTVYGSEGNPGLTPRATSELFKVLRRDSNKFSFSLKAYMVELYQDTLVDLLLPKNMKRLKLDIKKDSKGMVSVENVTVVSITTFEELKNIIQRGSDRRHTSGTQMNEESSRSHLILSIVIESTNLQTQSVARGKLSFVDLAGSERIKKSGSSGSQLKEAQSINKSLSALGDVISALSSGGQHIPYRNHKLTMLMSDSLGGNAKTLMFVNVSPAESNLDESYNSLMYASRVRSIVNDPSKNVSSKEVARLKKLVAHWKEQAGKRGDDDDLEDIQEQRPVREKTDGRHSM >Potri.008G127800.1.v4.1 pep chromosome:Pop_tri_v4:8:8314579:8315454:-1 gene:Potri.008G127800.v4.1 transcript:Potri.008G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127800.v4.1 MASTSLCSSSLSYHPLFASSKTKLDSRKRVSARISASRRESHDQNYFSGRIVDENMIVLRKRIHEMKMVERNYEPPANWMEWEKRYFTSYDSLICEMMGFLQSQLMDTRPGLALGFIALISLSVPMSTAMMFFHFTEMFKMALGGLPGLN >Potri.005G139200.1.v4.1 pep chromosome:Pop_tri_v4:5:10967084:10968470:1 gene:Potri.005G139200.v4.1 transcript:Potri.005G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139200.v4.1 MEWVRGGCLGYGSSSTVHLATSKNSSSTYPEVMAVKSCNQSDTTLLENEREVLNEIGFCPQIIQCFGDCQATEENNEFLYNLLLEYAKGGSLSYKLKKSGGCLQEGDVKDYTRSILKGLSYVHGKGFVHCDLKLDNILLFENGEVKIADFGLAKKTGQKQGRAEIRGTPLYMAPESVNKNEYESGADIWALGCAIVEMVTGKPAWNCKPGTNMFVLLIRIGEGDELPIIPEELSQQGKDFLSKIFVKDPTQRWTADMLLKHPFVADNFQENVPLKEESKELSTSPRCHFNFPEWASSQSSSSPRSELWSDGKVESTSSSLNSSCWTSPADRIRELAGDQSCSWCDSGCWVNVR >Potri.012G099733.2.v4.1 pep chromosome:Pop_tri_v4:12:12296752:12298528:1 gene:Potri.012G099733.v4.1 transcript:Potri.012G099733.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099733.v4.1 MATENGCLLPEDVIIEILSLLPVKTLLQFKCVCKSWYGIITSSNFISLHLNNHYNNIKSGHLLAHFVCPQLLELFQDESLTDLSHQGLDPPIRGRLCGPCNGIFYVDSEDSSGSGLWNPATKEFKLLPEKIRNKSSLPLYYEDSYGFGFDPVTNDYKVVVIRESYTREYYLEKFPSSLVIVYTLRTDSWRCWGSLDQGYTLLGNYCYTNVDGVYYWQAGHGVHMNVILSFNMATDAFQEIQEPDYDKPAYSTRLILYHDSIAFSTVHNVEKFLDIWVLNEGCWIRQFKSRPLLELRNPVAHWKNGNVILDSDNDQLMLYDTNKQELKDLRFKGTGVCYEILVYRESLVSIKDGIGCRQHEEHLPEHS >Potri.012G099733.3.v4.1 pep chromosome:Pop_tri_v4:12:12296752:12298542:1 gene:Potri.012G099733.v4.1 transcript:Potri.012G099733.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099733.v4.1 MLLELFQDESLTDLSHQGLDPPIRGRLCGPCNGIFYVDSEDSSGSGLWNPATKEFKLLPEKIRNKSSLPLYYEDSYGFGFDPVTNDYKVVVIRESYTREYYLEKFPSSLVIVYTLRTDSWRCWGSLDQGYTLLGNYCYTNVDGVYYWQAGHGVHMNVILSFNMATDAFQEIQEPDYDKPAYSTRLILYHDSIAFSTVHNVEKFLDIWVLNEGCWIRQFKSRPLLELRNPVAHWKNGNVILDSDNDQLMLYDTNKQELKDLRFKGTGVCYEILVYRESLVSIKDGIGCRQHEEHLPEHS >Potri.012G099733.4.v4.1 pep chromosome:Pop_tri_v4:12:12296752:12298542:1 gene:Potri.012G099733.v4.1 transcript:Potri.012G099733.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099733.v4.1 MLLELFQDESLTDLSHQGLDPPIRGRLCGPCNGIFYVDSEDSSGSGLWNPATKEFKLLPEKIRNKSSLPLYYEDSYGFGFDPVTNDYKVVVIRESYTREYYLEKFPSSLVIVYTLRTDSWRCWGSLDQGYTLLGNYCYTNVDGVYYWQAGHGVHMNVILSFNMATDAFQEIQEPDYDKPAYSTRLILYHDSIAFSTVHNVEKFLDIWVLNEGCWIRQFKSRPLLELRNPVAHWKNGNVILDSDNDQLMLYDTNKQELKDLRFKGTGVCYEILVYRESLVSIKDGIGCRQHEEHLPEHS >Potri.012G099733.1.v4.1 pep chromosome:Pop_tri_v4:12:12296752:12298528:1 gene:Potri.012G099733.v4.1 transcript:Potri.012G099733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099733.v4.1 MATENGCLLPEDVIIEILSLLPVKTLLQFKCVCKSWYGIITSSNFISLHLNNHYNNIKSGHLLAHFVCPQLLELFQDESLTDLSHQGLDPPIRGRLCGPCNGIFYVDSEDSSGSGLWNPATKEFKLLPEKIRNKSSLPLYYEDSYGFGFDPVTNDYKVVVIRESYTREYYLEKFPSSLVIVYTLRTDSWRCWGSLDQGYTLLGNYCYTNVDGVYYWQAGHGVHMNVILSFNMATDAFQEIQEPDYDKPAYSTRLILYHDSIAFSTVHNVEKFLDIWVLNEGCWIRQFKSRPLLELRNPVAHWKNGNVILDSDNDQLMLYDTNKQELKDLRFKGTGVCYEILVYRESLVSIKDGIGCRQHEEHLPEHS >Potri.004G081100.2.v4.1 pep chromosome:Pop_tri_v4:4:6690945:6695830:-1 gene:Potri.004G081100.v4.1 transcript:Potri.004G081100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081100.v4.1 MKGATSFGGGGKDDSSAAVSTKNNKPKYSRFTQQELSACKPILTPGWVISSFIVIGVVFIPIGLASLFASEHLVEIVERYDIDCIPPAYRNNSLHYIQASETNKTCTRTFNVPKHMKSPVFIYYELDNYYQNHRRYVKSRSDTQLRGKASESATETCEPEAVTSNGQPIVPCGLVAWSLFNDTYRFSVKNEVLDVSKKNIAWKSDQENKFGSDVYPKNFQSGSLIGGGKLNSSIPHCQRSENHMER >Potri.004G081100.1.v4.1 pep chromosome:Pop_tri_v4:4:6690945:6695830:-1 gene:Potri.004G081100.v4.1 transcript:Potri.004G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081100.v4.1 MKGATSFGGGGKDDSSAAVSTKNNKPKYSRFTQQELSACKPILTPGWVISSFIVIGVVFIPIGLASLFASEHLVEIVERYDIDCIPPAYRNNSLHYIQASETNKTCTRTFNVPKHMKSPVFIYYELDNYYQNHRRYVKSRSDTQLRGKASESATETCEPEAVTSNGQPIVPCGLVAWSLFNDTYRFSVKNEVLDVSKKNIAWKSDQENKFGSDVYPKNFQSGSLIGGGKLNSSIPLSEQVDLIVWMRTAALPTFRKPYGKIERDLPANTTITVIIQNNYNTYSFGGKKKLVLSTTSCLGGKDNFLGSAYLFVGGLCLFLAVCFMLVYVLRPRPIGDPSYLSWNRNPGGYVN >Potri.004G081100.4.v4.1 pep chromosome:Pop_tri_v4:4:6690753:6696192:-1 gene:Potri.004G081100.v4.1 transcript:Potri.004G081100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081100.v4.1 MKGATSFGGGGKDDSSAAVSTKNNKPKYSRFTQQELSACKPILTPGWVISSFIVIGVVFIPIGLASLFASEHLVEIVERYDIDCIPPAYRNNSLHYIQASETNKTCTRTFNVPKHMKSPVFIYYELDNYYQNHRRYVKSRSDTQLRGKASESATETCEPEAVTSNGQPIVPCGLVAWSLFNDTYRFSVKNEVLDVSKKNIAWKSDQENKFGSDVYPKNFQSGSLIGGGKLNSSIPHCQRSENHMER >Potri.010G224600.2.v4.1 pep chromosome:Pop_tri_v4:10:20867906:20871951:1 gene:Potri.010G224600.v4.1 transcript:Potri.010G224600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224600.v4.1 MALSSLLSKPCISLLSAKPSPDNKNNKISPTSFTLYRSLKSKQSRVTKPATLSKTHKLSWQQELKQAFQQPKSQNLQTNNDSIDCNIDDIDDESFQKLVDKRCVDNVRMLVVDAVQSAQAGHPGMALGMADIGYYLYRHVMRYNPRDPKWFNRDRFVLSAGHGCLLQYVCLHLAGFESVQLEDLKRLCKLGSRTPGHPENTVTDGIEVTTGPLGQGVANAVGLALAEAHLAARFNKPDCDIVDHRTYCIMGDGCAMEGITHEAASLAAHWKLHKLTMIYDDNHNTIDGPISLAFSEDISARFKALGWNTITVDNTHDDMDSFNDALLSAFGDTEKPTFIRVKTLIGRLSRKEGTSKAHHGTFEEDDVKQMRQKVKWDSREPFHVIPMVYREMQVQTDHGEKLEKEWFSKFDYFKTNYPEEAAEFEVLLSGGLPPNWESCSPEWSVTDPVDATRGYSEKCLNQLVKVLPGLIGGSADLASSNKVYLQGSQDFQHSSFYGRNIRYGVREHAMAGISNGIALHKSGLIPFAATFLIFSDYMKNSIRLSALSHAGVIYIMTHDSIGLGEDGPTHQPIEQLAGLRAVPRLLVFRPADGNETAGAYREAMTNRDAPSVIALSRQKVAANLEGTSANEVEKGGYIISDNSGKSLPDIILISTGSELCLCEESAKMLRKEGRKVRVVSLVCWQLFNRQPKEYKEHVLPSSVSKRISVEAGSSMGWSEYVGREGIVMGVEEFGASGAYLDTFKKFGFTEENVTRVAKSLLSQY >Potri.002G169900.3.v4.1 pep chromosome:Pop_tri_v4:2:13080832:13088353:1 gene:Potri.002G169900.v4.1 transcript:Potri.002G169900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169900.v4.1 MKTLQSAQEQSSTQVSQDSQSEQQNNHNIEPPVADSGSKSASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNYLLEHQYHLMKYPVATKVPLAPIQNGIHPMPVNNLPMGYPVLQQPPMATPGQPHLDSMGCGVSSCHVVNGVPAPGNFHPIRMNSGNDMVMENSATDTTHVVPPSSTISSLSEMPVSPTSVASSGHFPFTASDMAGMGVDTALDTTFTSDVASSVGLQLGQDGGAGNSRSLDQIQWNFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPENEDIVEEFFVDSVPGPPSQSDEERS >Potri.002G024200.1.v4.1 pep chromosome:Pop_tri_v4:2:1521871:1525862:1 gene:Potri.002G024200.v4.1 transcript:Potri.002G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024200.v4.1 MSLTIKLTISPRIINLNCHERNRTKFPAIRPKPIYHSNALNPILYNNKRPGMIQASVSGTVIKSSLIEPDGGVLVDVIVPESERGSKTLEAESLPKARLAKIDVEWVHVISEGWASPLKGFMRENEYLQSLHFNSLRMENRTVVNMSLPIVLAIDDETKESIGSSKDVGLVGPEGDLLAILRSIEIYKHNKEERIARTWGTTAPGLPYVEEFIAPAGNWLLGGDLEVLKPIKYNDGLDHYRLSPKQLRKEFDRRQADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLDVRMEQHSKVLEDGVLDPETTIVAVFPSPMHYAGPTEVQWHAKARVNAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAQGLEKLNILPFRVAAYDTVAKKMAFFDPSRSKEFLFISGTKMRAYARNGENPPDGFMCPGGWEVLVKYYEQLQAEEAMPVVASA >Potri.006G088200.1.v4.1 pep chromosome:Pop_tri_v4:6:6645850:6646816:-1 gene:Potri.006G088200.v4.1 transcript:Potri.006G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088200.v4.1 MATPSSLLSSSSLLLTKPVTLHPRNGKVSLFNHRSQITKTSKEPVFRIQAAKLPPGVELPKVEPKFQAPFLGFTRTAEIWNSRACMMGLIGVFVVELIINKGILQVIGVDIGKGLDLPL >Potri.008G224165.1.v4.1 pep chromosome:Pop_tri_v4:8:19002548:19002967:1 gene:Potri.008G224165.v4.1 transcript:Potri.008G224165.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224165.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATDALVATPGQAGLRAEFKHINKRRKRNLQGFP >Potri.012G129700.3.v4.1 pep chromosome:Pop_tri_v4:12:14495045:14499267:-1 gene:Potri.012G129700.v4.1 transcript:Potri.012G129700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129700.v4.1 MKRASLMWKAMALASSPKESNPTMAAFPRSLSSMAASVGGLAVFLVIASLLLVSYPIGSTVSGYFYGIDSAGQVDLPIFEGNQSSIDRGHDSDVDVVDKDSSSGSDLKVLISLGGVNNNSVNVSDSQPGSDLQESTTGARKEEEASFKDGSVALSFKENDVDKGSEESSSDAASADSKSGAKSDVSAVPSNASKTGSDDPGCDLYRGNWFYDSLGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPSQCNLPRFDAKKFLELMRGKTIAFIGDSVARNQMESMLCLLWQVEAPKNQGNKKMQRYFFRSTSTMVVRIWSSWLVHQTSEPIDFAPEGVVKLHLDAPDEHFMEFIPSFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDKSRPMKINNIEAFGISVETILTSIASHPNYTGLTILRSYSPDHYEGGAWNTGGSCTGKEKPLAPGELVGNSFTDIMHKKQIAGFDRAIKKATDKSKLKLMDITEAFGYRHDGHPGPYRSLDPNKLTKRGPDGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFASSQSSPV >Potri.012G129700.1.v4.1 pep chromosome:Pop_tri_v4:12:14495011:14499244:-1 gene:Potri.012G129700.v4.1 transcript:Potri.012G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129700.v4.1 MKRASLMWKAMALASSPKESNPTMAAFPRSLSSMAASVGGLAVFLVIASLLLVSYPIGSTVSGYFYGIDSAGQVDLPIFEGNQSSIDRGHDSDVDVVDKDSSSGSDLKVLISLGGVNNNSVNVSDSQPGSDLQESTTGARKEEEASFKDGSVALSFKENDVDKGSEESSSDAASADSKSGAKSDVSAVPSNASKTGSDDPGCDLYRGNWFYDSLGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPSQCNLPRFDAKKFLELMRGKTIAFIGDSVARNQMESMLCLLWQVEAPKNQGNKKMQRYFFRSTSTMVVRIWSSWLVHQTSEPIDFAPEGVVKLHLDAPDEHFMEFIPSFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDKSRPMKINNIEAFGISVETILTSIASHPNYTGLTILRSYSPDHYEGGAWNTGGSCTGKEKPLAPGELVGNSFTDIMHKKQIAGFDRAIKKATDKSKLKLMDITEAFGYRHDGHPGPYRSLDPNKLTKRGPDGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFASSQSSPV >Potri.012G129700.5.v4.1 pep chromosome:Pop_tri_v4:12:14495019:14499241:-1 gene:Potri.012G129700.v4.1 transcript:Potri.012G129700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129700.v4.1 MKRASLMWKAMALASSPKESNPTMAAFPRSLSSMAASVGGLAVFLVIASLLLVSYPIGSTVSGYFYGIDSAGQVDLPIFEGNQSSIDRGHDSDVDVVDKDSSSGSDLKVLISLGGVNNNSVNVSDSQPGSDLQESTTGARKEEEASFKDGSVALSFKENDVDKGSEESSSDAASADSKSGAKSDVSAVPSNASKTGSDDPGCDLYRGNWFYDSLGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPSQCNLPRFDAKKFLELMRGKTIAFIGDSVARNQMESMLCLLWQVEAPKNQGNKKMQRYFFRSTSTMVVRIWSSWLVHQTSEPIDFAPEGVVKLHLDAPDEHFMEFIPSFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDKSRPMKINNIEAFGISVETILTSIASHPNYTGLTILRSYSPDHYEGGAWNTGGSCTGKEKPLAPGELVGNSFTDIMHKKQIAGFDRAIKKATDKSKLKLMDITEAFGYRHDGHPGPYRSLDPNKLTKRGPDGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFASSQSSPV >Potri.010G250700.2.v4.1 pep chromosome:Pop_tri_v4:10:22358104:22358946:1 gene:Potri.010G250700.v4.1 transcript:Potri.010G250700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250700.v4.1 MSNSTRCAACRFLRRRCRQDCVLAPYFPPTNPQRFAYVHKVFGASKITELLKQLPVHLRYDAAECMSIEAASRARDPVYGCVGVISQLQQQIIDVQSELVKIKGKLAVHNAQQQLQLEDGERASEQQQDELLWLGSTQHDPLNLDQLLIQANDYQKSHF >Potri.018G101800.3.v4.1 pep chromosome:Pop_tri_v4:18:12156697:12157918:-1 gene:Potri.018G101800.v4.1 transcript:Potri.018G101800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101800.v4.1 MPYKKHTTHFTSCPHLAWPHYVLGKALTIAASKWMCSQAKAPMSSASLHHRFHPLYLLKIGQGNPSRAYLRGNKKLAEKTGGVLSIKPSLIGFALHVMIGFSCRCCWNMVRDVGAVTWGIEACVAFVMRIFVKQIGVLGLSGGRSGYTRNHISFIQSLQKAHFFFFLFFCFLGFW >Potri.018G101800.5.v4.1 pep chromosome:Pop_tri_v4:18:12156848:12157918:-1 gene:Potri.018G101800.v4.1 transcript:Potri.018G101800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101800.v4.1 MPYKKHTTHFTSCPHLAWPHYVLGKALTIAASKWMCSQAKAPMSSASLHHRFHPLYLLKIGQGNPSRAYLRGNKKLAEKTVLLPLLLEHGERCGCCYLGH >Potri.018G101800.4.v4.1 pep chromosome:Pop_tri_v4:18:12157033:12157916:-1 gene:Potri.018G101800.v4.1 transcript:Potri.018G101800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101800.v4.1 MPYKKHTTHFTSCPHLAWPHYVLGKALTIAASKWMCSQAKAPMSSASLHHRFHPLYLLKIGQGNPSRAYLRGNKKLAEKTYRHPIPSLCSLFFDFIFILAIFTHSLIVFVAYSLCIISFPSFLSFYFVVVEF >Potri.002G065300.1.v4.1 pep chromosome:Pop_tri_v4:2:4477176:4479580:-1 gene:Potri.002G065300.v4.1 transcript:Potri.002G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX17 MASAAKSFTPVLLISSLLVASWFCATEAKSTLPVVQGLSWTFYQSSCPKVESIIRKQLEKVFKKEIGQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEQDAPPNLTLRARAFEIIDDLRERIHKECGRVVSCSDILAIAARDSVYLSGGPDYDVPLGRRDGLNFATRSATLDNLPPPFDNADTILSSLAAKTFDPTDVVALSGGHTIGISHCSSFTDRLYPTQDPTMDKTFANNLKGICPASDSNSTTVLDIRSPNNFDNKYYVDLMNRQGLFTSDQDLYTNKKTRGIVTSFAANQSLFFEKFVVAMIKMSQLSVLTGKEGEIRASCSVRNSGSSYLESVVEEGFEALSELI >Potri.004G057500.1.v4.1 pep chromosome:Pop_tri_v4:4:4787779:4788623:-1 gene:Potri.004G057500.v4.1 transcript:Potri.004G057500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057500.v4.1 MKDTIRCCISCILPCGALDVIRIVHSNGRVEEISGTVRACEIMKAYPKHILKKPSAPSDDGVVPKIVIVPPDAELQRGKIYFLMPAPPAQEAKSSRSSRGSGTRKKRREISNNNRSESNNSTHHGTDSISMTTNLLISDQYLSEILSEKISTQRDRRRGRVGVWRPHLESISEAPYDA >Potri.010G081400.2.v4.1 pep chromosome:Pop_tri_v4:10:10901283:10911807:-1 gene:Potri.010G081400.v4.1 transcript:Potri.010G081400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081400.v4.1 MQPSNSTGSNSNSTDNPENLKSSKSPSTEFNATATENSHNHVEKRSANVDDELVLDVTGKSLEFDLLEKSDDSVEGLYLYKNAFSLVPKSVGGLKKLRTVKFFGNEVNLFPAEFGNLVGLECLQVKVSSPGLNGLNFSKFKGLKELELSKVPPRPSVLTILSEISGIKCLTKLSVCHFSIRYLPPEIGCLSNLEYLDLSFNKIKSLPNEITYLNALISLTVSNNKLVELPSSLSSLQRLESLDLLNNRLTSLGSLELTSMHSLQYLNLQNNRLLSCCQIPSWICCKLEGNGKDLSNDDFISSSVEMDVYEASFQDDGNNFSCNGSNHAASSIVTVPSSNSRCFATRRSSKRWKRRHYLQQKARQERLNNSRKWKGEGHAEALDLKESESFKLNNLDVRNFEICEEGISDIAGLDDDDDGEKVELSGEAEVENLLISVEADKISSKKGVESCSCDLGSINKNEEEVCCVQDESLGPLQGEAGSQDENPSSEKSKITYKSKRHYDMDLDNPKPCKCRRPTEDSSRLSRKYSNLSFCSIEDRLPDGFYDAGRDRPFMPLRNFEQILSLDSREVILLDREKDEQLDAIALSAQALVYRLKRLNGSTKERNKVAVDNLQIASLLALFVSDHFGGSDRSGAVERTRKAVSGSNYRKPFVCTCSTGNNESISSAGKQTLETVDDIFFSDLCERSLRSIKARRGSIVIPLGSLQFGVCRHRALLMKYLCDRMDPPLPCELVRGYLDFTPHAWNVILSRRGDSLVRMVVDACRPHDIKEETDLEYFSRYVPLSRAEVPLSTKSITSPGCSFPSLSTSDEIGKVGSSTLIRCKFESVEAAAKVRTLEVCEASADEIRNFEYSCLGEVRVLGVLQHSCIVEMYGHQLSSKWIPSEDGNPERRILQSVILMEYVNGGSLKNYVEELSKTGEKHVPVEMALCIARDVACALAEIHSKDIIHRDIKSENILIDLDNKRADGMPLVKLCDFDRAVPLRSLLHTCCIAHRGIAPPDVCVGTPRWMAPEVLRAMDKRSTYGLEVDIWSYGCLLLELLTLQVPYSGLPDLHIHELLQSGKRPPLTDELEALGSIDEHLVTQSGSDLEGPEVESETLRFLVDLFCQCTKENPADRPTASDIYKLLLARTSIN >Potri.015G034700.1.v4.1 pep chromosome:Pop_tri_v4:15:2863963:2867033:1 gene:Potri.015G034700.v4.1 transcript:Potri.015G034700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034700.v4.1 MSLLTDLINLNLSDTTDKIIAEYIWIGGSGMDLRSKARTLDGAVSDPQKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRHSAAKIFSHPDVVAEVPWYGLEQEYTLLQKDLKWPLGWPVGGYPGPQGPYYCGAGADKAFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDELWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGFEIIKKAIGKLQLRHKEHIAAYGEGNERRLTGRHETADIHTFSWGVANRGASVRVGRDTEKEGKGYFEDRRPASNMDPYIVTSMIAETTILWKP >Potri.001G459001.1.v4.1 pep chromosome:Pop_tri_v4:1:48434322:48435172:-1 gene:Potri.001G459001.v4.1 transcript:Potri.001G459001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459001.v4.1 MEKVSFSMTFLFVLFVITTCVSMSNIPVVEGGEIKLNVPCNTTATCHRKTSCPGKRMVVRCVHNFCQCNW >Potri.010G066500.1.v4.1 pep chromosome:Pop_tri_v4:10:9484886:9486233:1 gene:Potri.010G066500.v4.1 transcript:Potri.010G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066500.v4.1 MMGRLLGFLLCFSSFFISATLADWNILNQRTKSGLKISLKSYCESWRINVELHNIQDFTVVPEECVSYIGKYVASSQYHVDSERTIEECRLYLSTSCPLKKDGRDAWLFDIDDTLLSTVPYFKKHHFGGEKLNLTSLEGWMSNGKAPALEHSLKFFDELKSTGVQIFLVSSRREHLRSATIDNLVDVGYHGWTRLILRGPDDELNEVQQYKANVRKQLISNGFRIWGIVGDQYSSFEGLPSARRSFKLPNPLYYVS >Potri.019G038268.6.v4.1 pep chromosome:Pop_tri_v4:19:5280769:5285708:-1 gene:Potri.019G038268.v4.1 transcript:Potri.019G038268.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038268.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKILPIYMEVISELKEAGASWIQFDEPTLVMDLESHKLQAFTGAYSALESTLSGLNVLIETYFADIPAEQYKTLTSLKGVTAFGFDLVRGNKTLDLIKGDFPEGKYLFAGVVDGRNIWANDLAASFSTLETLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGQKDEEIFSANAAALASRKSSPRVNNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEDDYVKAIKEEIRKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSTLAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLLRTQLASAK >Potri.019G038268.2.v4.1 pep chromosome:Pop_tri_v4:19:5280773:5285822:-1 gene:Potri.019G038268.v4.1 transcript:Potri.019G038268.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038268.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKILPIYMEVISELKEAGASWIQFDEPTLVMDLESHKLQAFTGAYSALESTLSGLNVLIETYFADIPAEQYKTLTSLKGVTAFGFDLVRGNKTLDLIKGDFPEGKYLFAGVVDGRNIWANDLAASFSTLETLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGQKDEEIFSANAAALASRKSSPRVNNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEDDYVKAIKEEIRKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSTLAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLLRTQLASAK >Potri.019G038268.8.v4.1 pep chromosome:Pop_tri_v4:19:5280829:5285708:-1 gene:Potri.019G038268.v4.1 transcript:Potri.019G038268.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038268.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKILPIYMEVISELKEAGASWIQFDEPTLVMDLESHKLQAFTGAYSALESTLSGLNVLIETYFADIPAEQYKTLTSLKGVTAFGFDLVRGNKTLDLIKGDFPEGKYLFAGVVDGRNIWANDLAASFSTLETLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGQKDEEIFSANAAALASRKSSPRVNNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEDDYVKAIKEEIRKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSTLAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLLRTQLASAK >Potri.019G038268.7.v4.1 pep chromosome:Pop_tri_v4:19:5280825:5285708:-1 gene:Potri.019G038268.v4.1 transcript:Potri.019G038268.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038268.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKILPIYMEVISELKEAGASWIQFDEPTLVMDLESHKLQAFTGAYSALESTLSGLNVLIETYFADIPAEQYKTLTSLKGVTAFGFDLVRGNKTLDLIKGDFPEGKYLFAGVVDGRNIWANDLAASFSTLETLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGQKDEEIFSANAAALASRKSSPRVNNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEDDYVKAIKEEIRKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSTLAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLLRTQLASAK >Potri.019G038268.3.v4.1 pep chromosome:Pop_tri_v4:19:5280710:5285818:-1 gene:Potri.019G038268.v4.1 transcript:Potri.019G038268.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038268.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKILPIYMEVISELKEAGASWIQFDEPTLVMDLESHKLQAFTGAYSALESTLSGLNVLIETYFADIPAEQYKTLTSLKGVTAFGFDLVRGNKTLDLIKGDFPEGKYLFAGVVDGRNIWANDLAASFSTLETLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGQKDEEIFSANAAALASRKSSPRVNNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEDDYVKAIKEEIRKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSTLAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLLRTQLASAK >Potri.019G038268.4.v4.1 pep chromosome:Pop_tri_v4:19:5280823:5285794:-1 gene:Potri.019G038268.v4.1 transcript:Potri.019G038268.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038268.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKILPIYMEVISELKEAGASWIQFDEPTLVMDLESHKLQAFTGAYSALESTLSGLNVLIETYFADIPAEQYKTLTSLKGVTAFGFDLVRGNKTLDLIKGDFPEGKYLFAGVVDGRNIWANDLAASFSTLETLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGQKDEEIFSANAAALASRKSSPRVNNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEDDYVKAIKEEIRKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSTLAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLLRTQLASAK >Potri.019G038268.5.v4.1 pep chromosome:Pop_tri_v4:19:5270616:5285708:-1 gene:Potri.019G038268.v4.1 transcript:Potri.019G038268.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038268.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKILPIYMEVISELKEAGASWIQFDEPTLVMDLESHKLQAFTGAYSALESTLSGLNVLIETYFADIPAEQYKTLTSLKGVTAFGFDLVRGNKTLDLIKGDFPEGKYLFAGVVDGRNIWANDLAASFSTLETLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGQKDEEIFSANAAALASRKSSPRVNNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEDDYVKAIKEEIRKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSTLAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLLRTQLASAK >Potri.019G038268.1.v4.1 pep chromosome:Pop_tri_v4:19:5280709:5285818:-1 gene:Potri.019G038268.v4.1 transcript:Potri.019G038268.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038268.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMADTGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVKFSYASHKAVTEYKEAKALGVDTVPVLIGPVSYLLLSKPAKGVEKSFSLLSLLGKILPIYMEVISELKEAGASWIQFDEPTLVMDLESHKLQAFTGAYSALESTLSGLNVLIETYFADIPAEQYKTLTSLKGVTAFGFDLVRGNKTLDLIKGDFPEGKYLFAGVVDGRNIWANDLAASFSTLETLEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGQKDEEIFSANAAALASRKSSPRVNNEAVQKAAAALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEDDYVKAIKEEIRKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFAFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSTLAQSMTARPMKGMLTGPVTILNWSFVRNDQPRYETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIQSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYTEVKPALKNMVDAAKLLRTQLASAK >Potri.013G088800.3.v4.1 pep chromosome:Pop_tri_v4:13:8869081:8873396:1 gene:Potri.013G088800.v4.1 transcript:Potri.013G088800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088800.v4.1 MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGKAKAEFQTIIKDPFMLNSVILVFANKQDMKGAMTPMEVCEGLGLFELKNRKWHIQGTCALRGDGLYEGLDWLSGTLKEMRAAGYSSVGTSSF >Potri.002G213300.2.v4.1 pep chromosome:Pop_tri_v4:2:19657215:19668254:1 gene:Potri.002G213300.v4.1 transcript:Potri.002G213300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G213300.v4.1 MGSKPWLHPAPAYRALETYWSSDDDAPGPRCSHTLTAVAATKSHGPRLILFGGVTAIEGGASSAPGIRLDGATNSVHSYDVLTRKWTRIQAAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLSTDKFKWHRVVVQGQGPGPRYGHVMDLVAQRYLVIVSGNDGKRALSDAWVLDTAQKPYAWQRLNPEGDRPSARMYATASARSDGMFLLCGGRDSFGTALGDAYGLLMHRNGQWEWTLAPGVSPSTRYQHAAVFVGARLHVTGGALKGGRLVEGEAAVAVLDTAAGVWLDRNGIVTSSKTSKGHAEYDPSLELMRRCRHASASVGVRIYVYGGLKGDAVLDDFLVAENSPFQSDMNSPILTSERASTITSPRSNHYNLNSFGTTTPDGGSEIPLSGGISMDKNSMEKLREASAAEAEAANAVWQAAQAASSNPAEETSVSDDNSQVAEATSDGSDNEADVRLHPRAVVVAKEAVGNLGGLVRQLSLDQFENESRRMLPMNNDASYPARKFTRKKSPQGLHKKIISMLLRPRNWKAPANRRFFLDSYEVGELCYAAEQIFMQEPTVLQLKAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETITLLLALKIENPENVHLIRGNHEAADINALFGFRIECIERMGESDGIWAWTRFNQLFNCLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVSDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPERVIDDAWMQELNIQRPPTPTRGRPQPDLDRNSLAYI >Potri.016G002600.2.v4.1 pep chromosome:Pop_tri_v4:16:135893:136223:1 gene:Potri.016G002600.v4.1 transcript:Potri.016G002600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002600.v4.1 MNCEKCRTKALKVVADADGVSFMGLEGEKKEDIVVVIGEGVDAAKLASSLMKKVGHTDIVSVLHEY >Potri.018G093400.5.v4.1 pep chromosome:Pop_tri_v4:18:11351646:11357753:-1 gene:Potri.018G093400.v4.1 transcript:Potri.018G093400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093400.v4.1 MMVANSFDLWQKDAFFSAAEEVQESADAMESAYRVWTREKREGSEPEDLDELSRELQTALGTAKWQLEEFERAVWLSHGHRSDDITASRHKQFVAAIESQISRVEAALREAFSGEGKQPLRWVNLDKEECDDLAMFLSGTVQIPQIVKDDCTTLKSPMKGSLGENHHKRRNLDHNSSANCSRGTSDENEFITNKKNEHIIDIEEKENLGMRNDIICQVDKTIGSRRTWSSPNFGALKIVIAQDDGQRDKVMSSVEATPKEKGYKPFFWKQRCGEHSQAKGSITLFNKLFGQAGGLQRQLQPPLHLQFSCSIQLTLALMLSIFLIVPFLVYSA >Potri.018G093400.4.v4.1 pep chromosome:Pop_tri_v4:18:11351760:11357753:-1 gene:Potri.018G093400.v4.1 transcript:Potri.018G093400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093400.v4.1 MMVANSFDLWQKDAFFSAAEEVQESADAMESAYRVWTREKREGSEPEDLDELSRELQTALGTAKWQLEEFERAVWLSHGHRSDDITASRHKQFVAAIESQISRVEAALREAFSGEGKQPLRWVNLDKEECDDLAMFLSGTVQIPQIVKDDCTTLKSPMKGSLGENHHKRRNLDHNSSANCSRGTSDENEFITNKKNEHIIDIEEKENLGMRNDIICQVDKTIGSRRTWSSPNFGALKIVIAQDDGQRDKVMSSVEATPKEKGYKPFFWKQRCGEHSQAKGSITLFNKAGGLQRQLQPPLHLQFSCSIQLTLALMLSIFLIVPFLVYSA >Potri.018G093400.8.v4.1 pep chromosome:Pop_tri_v4:18:11351762:11357753:-1 gene:Potri.018G093400.v4.1 transcript:Potri.018G093400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093400.v4.1 MMVANSFDLWQKDAFFSAAEEVQESADAMESAYRVWTREKREGSEPEDLDELSRELQTALGTAKWQLEEFERAVWLSHGHRSDDITASRHKQFVAAIESQISRVEAALREAFSGEGKQPLRWVNLDKEECDDLAMFLSGTVQIPQIVKDDCTTLKSPMKGSLGENHHKRRNLDHNSSANCSRGTSDENEFITNKKNEHIIDIEEKENLGMRNDIICQVDKTIGSRRTWSSPNFGALKIVIAQDDGQRDKVMSSVEATPKEKGYKPFFWKQRCGEHSQAKGSITLFNKLFGQAGGLQRQLQPPLHLQFSCSIQLTLALMLSIFLIVPFLVYSA >Potri.001G296600.1.v4.1 pep chromosome:Pop_tri_v4:1:30743850:30745607:-1 gene:Potri.001G296600.v4.1 transcript:Potri.001G296600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296600.v4.1 MGGGNGQKSKMARERNIEKQKAAKRSQLESNKKAMTIQCKVCMQTFICTTTEVKCREHAEAKHAKSDVYACFPHLKNDAGSVKQ >Potri.016G029500.1.v4.1 pep chromosome:Pop_tri_v4:16:1636219:1638532:-1 gene:Potri.016G029500.v4.1 transcript:Potri.016G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029500.v4.1 MMVLETSKANAILTVLQGCNNLTRLKKIQAHVIVNGLQNHPAISNSILNFCAVSISGSLPYAQHLFRHILNPQTQAWNSIIRGFAQSPSPVQAIFYYNRMLFDSVSGPDTFTFSFTLKACERIKALKKCEEVHGSIIRTGYERDVVVCTGLVRCYGGNGCVEIARMVFDNMPERDLVAWNAMISCYSQAGYHQEALRIYDYMRNENVGVDGFTLVGLISSCSHVGALNMGVKLHRIASEKGLLRNVFVGNALIDMYAKCGSLDGALEVFNGMPRDVFTWNSMIVGFGVHGFGDEAIYFFNQMLEAGVRPNSIAFLGLLCGCSHQGLVEEGVEFFHQMSSKFNVKPGIKHYGCIVDMYGRAGKLEKALEIIGDSPWQDDPVLWRILLSSSKIHKNVVIGEIAMRNLSQLGAVNAGDCVLLATIYAGANDEQGVARMRKLIKKQGIKTTPGWSWIEVSDQVHRFVVDDKSHPDSGMIYQKLEEVTHKATMAGYVEDKSQFIFHGSCSEECLESSSTYHSEKLAIAFGLAKTPEGTSLRIVKNLRVCRDCHEFTKFVSRAFNRDIIVRDRLRFHHFKGGLCSCRDYW >Potri.014G168166.1.v4.1 pep chromosome:Pop_tri_v4:14:12271174:12272373:1 gene:Potri.014G168166.v4.1 transcript:Potri.014G168166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168166.v4.1 MEVEKYPVRDLQLHQVLHMNGGDGDNSYSNNSLLQKKVMLKAKPILQETIVQLYSKSFPECITMADMGCSSGPNTFLPIWEVIEAIDETCRKLNRKPPILQVFLNDLPGNDFNSIFRSLPGFHKKLEEEMGGKFGPCFIAAMPGNFYGRLFPARSLHFVHSSYIVSTGSLRFREFH >Potri.011G121600.1.v4.1 pep chromosome:Pop_tri_v4:11:15198949:15206305:-1 gene:Potri.011G121600.v4.1 transcript:Potri.011G121600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121600.v4.1 MEEDTKETRSLALTPTWSVATVLTIFVVVSLIVERSIHRLSSWLRETNRKPLLAAVEKMKEELMLLGFISLLLTATSSTIANICIPSKFYEGNFASCTSPENDEEIKQNSSEGRKLVMLPVLPHPLRRMLNGLDWNTCEKGYEPFVSYQDLERLHRFIFVMAITHISYSCLTMLLAIVKIHSWRVWEDLARLDCRDVSTEINREKTLRRQTTFARQRTSSPLVKNSFLIWVTCFFRQFGPSVARTDYLTLRKGFIMNHNLLLKFDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNVEGSNLYFWISVVPITLVLVVGAKLQHVIATLTLETAGLTGHSVEGKLRPRDDLFWFKKPELFLPLIHFILFQNAFELASFFWFWWQFGYRSCYIRNHLQVYIRLVLGFAGQFLCSYSTLPLYALATQMGTNYKPALFPQRIRDTIHGWGKAAARGKRRHGIVTDDSTMHRDTSTLMSEEDNHHLLDIPENDADPVTQIELQPASFISVSPTTVANETSSGVATPFLRHSASVASSETSNFHVEDIRRSSSMPVRR >Potri.011G121600.6.v4.1 pep chromosome:Pop_tri_v4:11:15198877:15206305:-1 gene:Potri.011G121600.v4.1 transcript:Potri.011G121600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121600.v4.1 MEEDTKETRSLALTPTWSVATVLTIFVVVSLIVERSIHRLSSWLRETNRKPLLAAVEKMKEELMLLGFISLLLTATSSTIANICIPSKFYEGNFASCTSPENDEEIKQNSSEGRKLVMLPVLPHPLRRMLNGLDWNTCEKGYEPFVSYQDLERLHRFIFVMAITHISYSCLTMLLAIVKIHSWRVWEDLARLDCRDVSTEINREKTLRRQTTFARQRTSSPLVKNSFLIWVTCFFRQFGPSVARTDYLTLRKGFIMNHNLLLKFDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNVEGSNLYFWISVVPITLVLVVGAKLQHVIATLTLETAGLTGHSVEGKLRPRDDLFWFKKPELFLPLIHFILFQNAFELASFFWFWWQFGYRSCYIRNHLQVYIRLVLGFAGQFLCSYSTLPLYALATQEHAGQFLCSYITLPLYALATQMGTNYKPALFPQRIRDTIHGWGKAAARGKRRHGIVTDDSTMHRDTSTLMSEEDNHHLLDIPENDADPVTQIELQPASFISVSPTTVANETSSGVATPFLRHSASVASSETSNFHVEDIRRSSSMPVRR >Potri.009G155250.1.v4.1 pep chromosome:Pop_tri_v4:9:12135118:12136417:1 gene:Potri.009G155250.v4.1 transcript:Potri.009G155250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155250.v4.1 MSKLLIETVSADEVRPVPLRIKFGSGLSMFDKVDAFDNDGWWVGKVTGQRGPLYFVFSETTGDEIAYHVSRLRIHLDWVNGKWVSSKKRWFLQKR >Potri.002G174600.1.v4.1 pep chromosome:Pop_tri_v4:2:13464425:13467022:1 gene:Potri.002G174600.v4.1 transcript:Potri.002G174600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174600.v4.1 MVMGNRAPNKLEWRINVRNGTSEILQPKTGLVHRVWSWLKGLLGEFMLKIWNFLEKARNIAVAEPKKVIHCLKVGVTLTIVSLFYYMRPLYEGVGGNAMWAIMTVVVVFEYTVGATLYKCINRAIGTFLAGSLGVGVHWAASHSGDKLEPIILGISVFLLASAATFSRFIPSVKARFDYGVLIFILTFSLVSVSGYRVDKLIDVARQRLSTIAIGASLCVLMCMLFYPIWAGKELHNLIHRNLEKLADALDGCTAEYFTDSSAGDSWKKIGGYKCVLNSKAAEDSMAGFARWEPAHGRFNFRHPWKQYLKVGASLRSCAYCIETLDGCLNSEIKAPELLRRHLSDACITLSSSASFVLKELATTVKTMRKSSEIDFSIGEMQFAVLKLENAMKSLPNHLVATPSSTSDGDAKAEPIRKTTTPSSVMDILPLATLVSMLTETAARIKEIADEVNELAKLAAFKPPNTKKASQSQSSNQVDEPSNNEERTKGLG >Potri.001G082332.1.v4.1 pep chromosome:Pop_tri_v4:1:6523222:6523428:-1 gene:Potri.001G082332.v4.1 transcript:Potri.001G082332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082332.v4.1 MGMVVVISLPLIIFCLLLGFGCYYLGRYKGRQDTRTNAQVFGDPIPPPGFASTPPPPPHTKPDNLHSV >Potri.012G114000.2.v4.1 pep chromosome:Pop_tri_v4:12:13317872:13318512:-1 gene:Potri.012G114000.v4.1 transcript:Potri.012G114000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114000.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >Potri.009G046500.1.v4.1 pep chromosome:Pop_tri_v4:9:5232325:5234500:-1 gene:Potri.009G046500.v4.1 transcript:Potri.009G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046500.v4.1 MARSGSLAVTLLWTLVLFGTLTLIQAKKSKEDLTEITHKVYFDVEVDGKPAGRITIGLFGKTVPKTVENFRALCTGEKGVGKSGKPLHYKGSAFHRIIPSFMIQGGDFTLGDGRGGESIYGEKFADENFKLKHTGPGILSMANAGSDTNGSQFFITTVTTSWLDGRHVVFGKVISGMDVVYKVEAEGRQNGTPKSKVVVADSGELPL >Potri.006G252000.1.v4.1 pep chromosome:Pop_tri_v4:6:25063331:25065587:1 gene:Potri.006G252000.v4.1 transcript:Potri.006G252000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252000.v4.1 MEVITEGVNNLNIAAAAATTDSANNKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKIMTSTVDMREETGGRPVPKAKIEILLGKTEKFDELMAAAAAEEAAEAEEQN >Potri.017G084100.1.v4.1 pep chromosome:Pop_tri_v4:17:9675178:9681187:-1 gene:Potri.017G084100.v4.1 transcript:Potri.017G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084100.v4.1 MSSIGSLPFIIETTKAESPVLLSRKNKNRDKFSFFTCRTKKSHNLAVLNYGFSPKSKPVRATVEEGASGDESEDALQASIEKSKKVLAMQRDLLQQIAERRKIVSSIKSSIIDSEVDEVPSEQSEESSPNQDHTSSSGQGVHEKQNGSILWKNYIHSTADEVPETSTLDISKGYDDDKRELEQQLPPKKASSHEDSSKQLRVTGSEKVWSDKLPSFLSNTSEISTTNEKQENVNEPILPEINNIENDPATEDILPPPLAGANVMNVIMVAAECAPWSKTGGLGDVAGSLPKALARRGHRVMVVAPRYGNYAEPHDIGVRKRYKVDGQDIEVTFFQTYIDGVDFVFIDSHVFCHIEGNIYGGSRLDILKRMALFCKAAVEVPWHVPCGGICYGDGNLVFIANDWHTALLPVYLKAYYRDNGLMKFTRSILVIHNIAHQGRGPVDDFFHVDLPEHYIDLFKLHDPVGGEHFNIFAAGLKAADRVVTVSHGYSWELKTSEGGWGLHNIIKENDWKFSGIVNGIDTKEWNPLFDVHLTSDGYTNYSLETLHTGKPQCKAALQKELGLPVRPDVPMIGFIGRLDQQKGVDLIAEAVPWMLGQDVQLVMLGTGRQDLEQMLRQFENQHHDKIRGWVGFSVKMAHRITAGSDVLLMPSRFEPCGLNQLYAMMYGTIPVVHAVGGLRDTVQPFDPFNESGLGWTFDGAEANKLIHALGNCLFTYREYKKSWEGLQRRGMTQDLSWDHAAEKYEEVLVAAKYQW >Potri.019G128100.1.v4.1 pep chromosome:Pop_tri_v4:19:15090785:15092578:-1 gene:Potri.019G128100.v4.1 transcript:Potri.019G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK15 MPEIEQVSENALFGKYDVGKLLGCGAFAKVYHARDVQTGKSVAIKIINKKKISSPSLIANIKREISIMRKLNHPYIVKLIEVLASKTKIYCVMEYVKGGELFTKIAKGRFSEDLSRKYFRQLISAVGYCHLRGVFHRDLKPENLLLDENGNLKVSDFGLSAVRDQTQLDGLLHTLCGTPAYVAPEILAKKGYDGAKIDVWSCGVILFVLAAGYLPFNDPNLMAMYKKIYKGEFRCPKWMSTDLKRFLSRLLDTNPETRITIDEILKDPWFKKGKHKEINFYDEEFNKDDGRKDEELASTSLNAFDIISFSSGLNLSGMFDDSYNVVDDGERFVSTESPEDLVKRVEEFAKEERLRVRRRKEWGLEMEGQDGNLMLSVEIRRLTDTLFVVEAKRNGGDAGCYKEIWKNKLKPVIFNGLISTNSVVNDD >Potri.007G106300.1.v4.1 pep chromosome:Pop_tri_v4:7:12914286:12916138:-1 gene:Potri.007G106300.v4.1 transcript:Potri.007G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106300.v4.1 MPASQALLVLVVVMLLQLAISTTTTKTSTNTSTATTHHDNISKAKTKTTLYPDFQLLNVKQALTETKTRPIKPSQYQELFKTNPNDSESGGKQKLKLVHRDKVSFSNKLHNHSHVFHARMHRDVKRVASLIHRLSSGSAAKYEVEDFGSDVVSGMNQGSGEYFVRIGLGSPPRSQYMVIDSGSDIVWVQCKPCTQCYHQTDPLFDPADSASFMGVSCSSAVCDRVENAGCNSGRCRYEVSYGDGSYTKGTLALETLTFGRTVVRNVAIGCGHSNRGMFVGAAGLLGLGGGSMSFMGQLSGQTGNAFSYCLVSRGTNTNGFLEFGSEAMPVGAAWIPLVRNPRAPSFYYIRLLGLGVGDTRVPVSEDVFQLNELGSGGVVMDTGTAVTRFPTVAYEAFRNAFIEQTQNLPRASGVSIFDTCYNLFGFLSVRVPTVSFYFSGGPILTIPANNFLIPVDDAGTFCFAFAPSPSGLSILGNIQQEGIQISVDEANEFVGFGPNIC >Potri.019G041900.10.v4.1 pep chromosome:Pop_tri_v4:19:5794403:5806212:-1 gene:Potri.019G041900.v4.1 transcript:Potri.019G041900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041900.v4.1 MRRKVRTSTESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGLVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKVNRKSSDADASAESQMRPKMSSGRAVAEDQFSPKAESFPDQKTFSKNNVDSKSEEGHDDNMSCVSRANDASKVVSYYNKNLDMKNCLPSSALEVEGSGKAPFSHKSGSFETPSNDVDACSSSPKVQTKCLSSNSNGKHLDEDPALHDHGKRFECPTEQVNLSLSKEASANIDCVGNLAAHNIADNNANGKSTLNADSSKVSCKINSKLELEADEDSGDQADEGFKCSDQVERKEKLNESDELADMQEPMLQSASGDESDESEILEHDVKVCDICGDAGREDFLAICSRCADGAEHIYCMREMLQKLPEGDWLCEECKLAEEAENQKQDAEEKRMNVASTQSSGKRHAEHMELASAPKRQATESSLASPKSCSPSRIAAVSRDTSFKSLDKGKVKIAHQTSFGNRSNIDIPEIARPSVNGPHVQTPKGALLKSKSFNTLNSKMKVKLVDEVPQKHKGARESSLDMKEGAARMMRKSMSFKSASSGRSSTNELKVKMLSSKFSHIQDSRGLKQVKDWDAVDRKKMLRLGRPPGSSMTSSAVVSTPKVDQGFTPRGESVIASSTGNNRELKSAQSNGKLGTLSRSTSNVGCKGADTSVTSVQASSKNGISSNSAEQKLNQISPKDEPSSSSWNAASNATENLQDGLPRSRESSNQGEKARENSLSRLRPTGITGLKNVPCQKCKEICHATENCTVVSPLASGTDVSASRIPREEMSKGRKLKAAIEAAAMLKKPGIYRKKKEIDQSDGLSSSNVDESGEMASQDQLSVLNKLSEGTDEGQANIGASSSEFCKSTIINNVKQLNEHSNDAVCPFKVGSDSIAPYLGKSVHASAEKSVLTKMSAIPEHEYIWQGVFEVHRAEKVVDLYDGIQAHLSTCASPKVLDVVSKFPQKIKLDEVPRISTWPRQFLVTGAKEENIALYFFAKNFESYENYKRLLDNMIKKDLALKGSFEGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSDCSDSFKKLVMPSLNGVPRDKDIPAAVMTSSENLCVPECIVKNTSACDSPCSSDVHLAANAPEKPSVSLNGNSDDKVFNSQTNLEKQDGKVDSRSLTKIRGSSTPWCPEARCSSPSLEEVGPPRCSLDVDPKPCTEVTRTNSVSDVKEIQIHEGASCLGEDMPFKIFGVGSQNSGCRRIFGEDKIVDRTFSDKDNIIVERDLNEDNVNIDVETFSGKGPRKRPFLYLSDTAPLISSSMTQKAPWNKADNNNTLVDGESISKKLKTGFSGLYGGSGSREENSLSGSFTSQTCDLGSSSSVEERSYDKASAEKVILEGLGTSERYFFPVDSHHVKDSRLPAIFMPWNSSNDEDRVRDGIPNLELALGAETKSPNKRILPFFGMAEKNHIQNKPPDKVMNKEEEDGVSASLSLSLSFPFPD >Potri.019G041900.7.v4.1 pep chromosome:Pop_tri_v4:19:5794070:5806316:-1 gene:Potri.019G041900.v4.1 transcript:Potri.019G041900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041900.v4.1 MRRKVRTSTESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGLVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKVNRKSSDADASAESQMRPKMSSGRAVAEDQFSPKAESFPDQKTFSKNNVDSKSEEGHDDNMSCVSRANDASKVVSYYNKNLDMKNCLPSSALEVEGSGKAPFSHKSGSFETPSNDVDACSSSPKVQTKCLSSNSNGKHLDEDPALHDHGKRFECPTEQVNLSLSKEASANIDCVGNLAAHNIADNNANGKSTLNADSSKVSCKINSKLELEADEDSGDQADEGFKCSDQVERKEKLNESDELADMQEPMLQSASGDESDESEILEHDVKVCDICGDAGREDFLAICSRCADGAEHIYCMREMLQKLPEGDWLCEECKLAEEAENQKQDAEEKRMNVASTQSSGKRHAEHMELASAPKRQATESSLASPKSCSPSRIAAVSRDTSFKSLDKGKVKIAHQTSFGNRSNIDIPEIARPSVNGPHVQTPKGALLKSKSFNTLNSKMKVKLVDEVPQKHKGARESSLDMKEGAARMMRKSMSFKSASSGRSSTNELKVKMLSSKFSHIQDSRGLKQVKDWDAVDRKKMLRLGRPPGSSMTSSAVVSTPKVDQGFTPRGESVIASSTGNNRELKSAQSNGKLGTLSRSTSNVGCKGADTSVTSVQASSKNGISSNSAEQKLNQISPKDEPSSSSWNAASNATENLQDGLPRSRESSNQGEKARENSLSRLRPTGITGLKNVPCQKCKEICHATENCTVVSPLASGTDVSASRIPREEMSKGRKLKAAIEAAAMLKKPGIYRKKKEIDQSDGLSSSNVDESGEMASQDQLSVLNKLSEGTDEGQANIGASSSEFCKSTIINNVKQLNEHSNDAVCPFKVGSDSIAPYLGKPVHDSAEKSVLTKMGSDSTSPYLGKPVHASSSEFCKSTNITNVKQLNEHSNDAVCPFKVGSDSITPYLGKPVHASAEKSVLTKMESDSTAPYLGKLVHASSSEFCKSKNIYNVKQLNEHSNEAVCPFKVGSDSIAPYLGKSVHASAEKSVLTKMSAIPEHEYIWQGVFEVHRAEKVVDLYDGIQAHLSTCASPKVLDVVSKFPQKIKLDEVPRISTWPRQFLVTGAKEENIALYFFAKNFESYENYKRLLDNMIKKDLALKGSFEGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSDCSDSFKKLVMPSLNGVPRDKDIPAAVMTSSENLCVPECIVKNTSACDSPCSSDVHLAANAPEKPSVSLNGNSDDKVFNSQTNLEKQDGKVDSRSLTKIRGSSTPWCPEARCSSPSLEEVGPPRCSLDVDPKPCTEVTRTNSVSDVKEIQIHEGASCLGEDMPFKIFGVGSQNSGCRRIFGEDKIVDRTFSDKDNIIVERDLNEDNVNIDVETFSGKGPRKRPFLYLSDTAPLISSSMTQKAPWNKADNNNTLVDGESISKKLKTGFSGLYGGSGSREENSLSGSFTSQTCDLGSSSSVEERSYDKASAEKVILEGLGTSERYFFPVDSHHVKDSRLPAIFMPWNSSNDEDRVRDGIPNLELALGAETKSPNKRILPFFGMAEKNHIQNKPPDKVMNKEEEDGVSASLSLSLSFPFPD >Potri.019G041900.8.v4.1 pep chromosome:Pop_tri_v4:19:5794402:5806207:-1 gene:Potri.019G041900.v4.1 transcript:Potri.019G041900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041900.v4.1 MRRKVRTSTESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGLVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKVNRKSSDADASAESQMRPKMSSGRAVAEDQFSPKAESFPDQKTFSKNNVDSKSEEGHDDNMSCVSRANDASKVVSYYNKNLDMKNCLPSSALEVEGSGKAPFSHKSGSFETPSNDVDACSSSPKVQTKCLSSNSNGKHLDEDPALHDHGKRFECPTEQVNLSLSKEASANIDCVGNLAAHNIADNNANGKSTLNADSSKVSCKINSKLELEADEDSGDQADEGFKCSDQVERKEKLNESDELADMQEPMLQSASGDESDESEILEHDVKVCDICGDAGREDFLAICSRCADGAEHIYCMREMLQKLPEGDWLCEECKLAEEAENQKQDAEEKRMNVASTQSSGKRHAEHMELASAPKRQATESSLASPKSCSPSRIAAVSRDTSFKSLDKGKVKIAHQTSFGNRSNIDIPEIARPSVNGPHVQTPKGALLKSKSFNTLNSKMKVKLVDEVPQKHKGARESSLDMKEGAARMMRKSMSFKSASSGRSSTNELKVKMLSSKFSHIQDSRGLKQVKDWDAVDRKKMLRLGRPPGSSMTSSAVVSTPKVDQGFTPRGESVIASSTGNNRELKSAQSNGKLGTLSRSTSNVGCKGADTSVTSVQASSKNGISSNSAEQKLNQISPKDEPSSSSWNAASNATENLQDGLPRSRESSNQGEKARENSLSRLRPTGITGLKNVPCQKCKEICHATENCTVVSPLASGTDVSASRIPREEMSKGRKLKAAIEAAAMLKKPGIYRKKKEIDQSDGLSSSNVDESGEMASQDQLSVLNKLSEGTDEGQANIGASSSEFCKSTIINNVKQLNEHSNDAVCPFKVGSDSIAPYLGKPVHDSAEKSVLTKMGSDSTSPYLGKPVHASSSEFCKSTNITNVKQLNEHSNDAVCPFKVGSDSITPYLGKPVHASAEKSVLTKMESDSTAPYLGKLVHASSSEFCKSKNIYNVKQLNEHSNEAVCPFKVGSDSIAPYLGKSVHASAEKSVLTKMSAIPEHEYIWQGVFEVHRAEKVVDLYDGIQAHLSTCASPKVLDVVSKFPQKIKLDEVPRISTWPRQFLVTGAKEENIALYFFAKNFESYENYKRLLDNMIKKDLALKGSFEGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSDCSDSFKKLVMPSLNGVPRDKDIPAAVMTSSENLCVPECIVKNTSACDSPCSSDVHLAANAPEKPSVSLNGNSDDKVFNSQTNLEKQDGKVDSRSLTKIRGSSTPWCPEARCSSPSLEEVGPPRCSLDVDPKPCTEVTRTNSVSDVKEIQIHEGASCLGEDMPFKIFGVGSQNSGCRRIFGEDKIVDRTFSDKDNIIVERDLNEDNVNIDVETFSGKGPRKRPFLYLSDTAPLISSSMTQKAPWNKADNNNTLVDGESISKKLKTGFSGLYGGSGSREENSLSGSFTSQTCDLGSSSSVEERSYDKASAEKVILEGLGTSERYFFPVDSHHVKDSRLPAIFMPWNSSNDEDRVRDGIPNLELALGAETKSPNKRILPFFGMAEKNHIQNKPPDKVMNKEEEDGVSASLSLSLSFPFPD >Potri.019G041900.4.v4.1 pep chromosome:Pop_tri_v4:19:5794436:5806340:-1 gene:Potri.019G041900.v4.1 transcript:Potri.019G041900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041900.v4.1 MVEKGLGKPSMRRKVRTSTESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGLVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKVNRKSSDADASAESQMRPKMSSGRAVAEDQFSPKAESFPDQKTFSKNNVDSKSEEGHDDNMSCVSRANDASKVVSYYNKNLDMKNCLPSSALEVEGSGKAPFSHKSGSFETPSNDVDACSSSPKVQTKCLSSNSNGKHLDEDPALHDHGKRFECPTEQVNLSLSKEASANIDCVGNLAAHNIADNNANGKSTLNADSSKVSCKINSKLELEADEDSGDQADEGFKCSDQVERKEKLNESDELADMQEPMLQSASGDESDESEILEHDVKVCDICGDAGREDFLAICSRCADGAEHIYCMREMLQKLPEGDWLCEECKLAEEAENQKQDAEEKRMNVASTQSSGKRHAEHMELASAPKRQATESSLASPKSCSPSRIAAVSRDTSFKSLDKGKVKIAHQTSFGNRSNIDIPEIARPSVNGPHVQTPKGALLKSKSFNTLNSKMKVKLVDEVPQKHKGARESSLDMKEGAARMMRKSMSFKSASSGRSSTNELKVKMLSSKFSHIQDSRGLKQVKDWDAVDRKKMLRLGRPPGSSMTSSAVVSTPKVDQGFTPRGESVIASSTGNNRELKSAQSNGKLGTLSRSTSNVGCKGADTSVTSVQASSKNGISSNSAEQKLNQISPKDEPSSSSWNAASNATENLQDGLPRSRESSNQGEKARENSLSRLRPTGITGLKNVPCQKCKEICHATENCTVVSPLASGTDVSASRIPREEMSKGRKLKAAIEAAAMLKKPGIYRKKKEIDQSDGLSSSNVDESGEMASQDQLSVLNKLSEGTDEGQANIGASSSEFCKSTIINNVKQLNEHSNDAVCPFKVGSDSIAPYLGKPVHDSAEKSVLTKMGSDSTSPYLGKPVHASSSEFCKSTNITNVKQLNEHSNDAVCPFKVGSDSITPYLGKPVHASAEKSVLTKMESDSTAPYLGKLVHASSSEFCKSKNIYNVKQLNEHSNEAVCPFKVGSDSIAPYLGKSVHASAEKSVLTKMSAIPEHEYIWQGVFEVHRAEKVVDLYDGIQAHLSTCASPKVLDVVSKFPQKIKLDEVPRISTWPRQFLVTGAKEENIALYFFAKNFESYENYKRLLDNMIKKDLALKGSFEGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSDCSDSFKKLVMPSLNGVPRDKDIPAAVMTSSENLCVPECIVKNTSACDSPCSSDVHLAANAPEKPSVSLNGNSDDKVFNSQTNLEKQDGKVDSRSLTKIRGSSTPWCPEARCSSPSLEEVGPPRCSLDVDPKPCTEVTRTNSVSDVKEIQIHEGASCLGEDMPFKIFGVGSQNSGCRRIFGEDKIVDRTFSDKDNIIVERDLNEDNVNIDVETFSGKGPRKRPFLYLSDTAPLISSSMTQKAPWNKADNNNTLVDGESISKKLKTGFSGLYGGSGSREENSLSGSFTSQTCDLGSSSSVEERSYDKASAEKVILEGLGTSERYFFPVDSHHVKDSRLPAIFMPWNSSNDEDRVRDGIPNLELALGAETKSPNKRILPFFGMAEKNHIQNKPPDKVMNKEEEDGVSASLSLSLSFPFPD >Potri.019G041900.9.v4.1 pep chromosome:Pop_tri_v4:19:5793359:5806193:-1 gene:Potri.019G041900.v4.1 transcript:Potri.019G041900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041900.v4.1 MRRKVRTSTESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGLVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKVNRKSSDADASAESQMRPKMSSGRAVAEDQFSPKAESFPDQKTFSKNNVDSKSEEGHDDNMSCVSRANDASKVVSYYNKNLDMKNCLPSSALEVEGSGKAPFSHKSGSFETPSNDVDACSSSPKVQTKCLSSNSNGKHLDEDPALHDHGKRFECPTEQVNLSLSKEASANIDCVGNLAAHNIADNNANGKSTLNADSSKVSCKINSKLELEADEDSGDQADEGFKCSDQVERKEKLNESDELADMQEPMLQSASGDESDESEILEHDVKVCDICGDAGREDFLAICSRCADGAEHIYCMREMLQKLPEGDWLCEECKLAEEAENQKQDAEEKRMNVASTQSSGKRHAEHMELASAPKRQATESSLASPKSCSPSRIAAVSRDTSFKSLDKGKVKIAHQTSFGNRSNIDIPEIARPSVNGPHVQTPKGALLKSKSFNTLNSKMKVKLVDEVPQKHKGARESSLDMKEGAARMMRKSMSFKSASSGRSSTNELKVKMLSSKFSHIQDSRGLKQVKDWDAVDRKKMLRLGRPPGSSMTSSAVVSTPKVDQGFTPRGESVIASSTGNNRELKSAQSNGKLGTLSRSTSNVGCKGADTSVTSVQASSKNGISSNSAEQKLNQISPKDEPSSSSWNAASNATENLQDGLPRSRESSNQGEKARENSLSRLRPTGITGLKNVPCQKCKEICHATENCTVVSPLASGTDVSASRIPREEMSKGRKLKAAIEAAAMLKKPGIYRKKKEIDQSDGLSSSNVDESGEMASQDQLSVLNKLSEGTDEGQANIGASSSEFCKSTIINNVKQLNEHSNDAVCPFKVGSDSIAPYLGKPVHDSAEKSVLTKMGSDSTSPYLGKPVHASSSEFCKSTNITNVKQLNEHSNDAVCPFKVGSDSITPYLGKPVHASAEKSVLTKMESDSTAPYLGKLVHASSSEFCKSKNIYNVKQLNEHSNEAVCPFKVGSDSIAPYLGKSVHASAEKSVLTKMSAIPEHEYIWQGVFEVHRAEKVVDLYDGIQAHLSTCASPKVLDVVSKFPQKIKLDEVPRISTWPRQFLVTGAKEENIALYFFAKNFESYENYKRLLDNMIKKDLALKGSFEGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSDCSDSFKKLVMPSLNGVPRDKDIPAAVMTSSENLCVPECIVKNTSACDSPCSSDVHLAANAPEKPSVSLNGNSDDKVFNSQTNLEKQDGKVDSRSLTKIRGSSTPWCPEARCSSPSLEEVGPPRCSLDVDPKPCTEVTRTNSVSDVKEIQIHEGASCLGEDMPFKIFGVGSQNSGCRRIFGEDKIVDRTFSDKDNIIVERDLNEDNVNIDVETFSGKGPRKRPFLYLSDTAPLISSSMTQKAPWNKADNNNTLVDGESISKKLKTGFSGLYGGSGSREENSLSGSFTSQTCDLGSSSSVEERSYDKASAEKVILEGLGTSERYFFPVDSHHVKDSRLPAIFMPWNSSNDEDRVRDGIPNLELALGAETKSPNKRILPFFGMAEKNHIQNKPPDKENGSNR >Potri.019G041900.3.v4.1 pep chromosome:Pop_tri_v4:19:5794403:5806196:-1 gene:Potri.019G041900.v4.1 transcript:Potri.019G041900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041900.v4.1 MQRSGAIKCDGHNWGTKGMQGPLDETQKKCMVEKGLGKPSMRRKVRTSTESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGLVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKVNRKSSDADASAESQMRPKMSSGRAVAEDQFSPKAESFPDQKTFSKNNVDSKSEEGHDDNMSCVSRANDASKVVSYYNKNLDMKNCLPSSALEVEGSGKAPFSHKSGSFETPSNDVDACSSSPKVQTKCLSSNSNGKHLDEDPALHDHGKRFECPTEQVNLSLSKEASANIDCVGNLAAHNIADNNANGKSTLNADSSKVSCKINSKLELEADEDSGDQADEGFKCSDQVERKEKLNESDELADMQEPMLQSASGDESDESEILEHDVKVCDICGDAGREDFLAICSRCADGAEHIYCMREMLQKLPEGDWLCEECKLAEEAENQKQDAEEKRMNVASTQSSGKRHAEHMELASAPKRQATESSLASPKSCSPSRIAAVSRDTSFKSLDKGKVKIAHQTSFGNRSNIDIPEIARPSVNGPHVQTPKGALLKSKSFNTLNSKMKVKLVDEVPQKHKGARESSLDMKEGAARMMRKSMSFKSASSGRSSTNELKVKMLSSKFSHIQDSRGLKQVKDWDAVDRKKMLRLGRPPGSSMTSSAVVSTPKVDQGFTPRGESVIASSTGNNRELKSAQSNGKLGTLSRSTSNVGCKGADTSVTSVQASSKNGISSNSAEQKLNQISPKDEPSSSSWNAASNATENLQDGLPRSRESSNQGEKARENSLSRLRPTGITGLKNVPCQKCKEICHATENCTVVSPLASGTDVSASRIPREEMSKGRKLKAAIEAAAMLKKPGIYRKKKEIDQSDGLSSSNVDESGEMASQDQLSVLNKLSEGTDEGQANIGASSSEFCKSTIINNVKQLNEHSNDAVCPFKVGSDSIAPYLGKPVHDSAEKSVLTKMGSDSTSPYLGKPVHASSSEFCKSTNITNVKQLNEHSNDAVCPFKVGSDSITPYLGKPVHASAEKSVLTKMESDSTAPYLGKLVHASSSEFCKSKNIYNVKQLNEHSNEAVCPFKVGSDSIAPYLGKSVHASAEKSVLTKMSAIPEHEYIWQGVFEVHRAEKVVDLYDGIQAHLSTCASPKVLDVVSKFPQKIKLDEVPRISTWPRQFLVTGAKEENIALYFFAKNFESYENYKRLLDNMIKKDLALKGSFEGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSDCSDSFKKLVMPSLNGVPRDKDIPAAVMTSSENLCVPECIVKNTSACDSPCSSDVHLAANAPEKPSVSLNGNSDDKVFNSQTNLEKQDGKVDSRSLTKIRGSSTPWCPEARCSSPSLEEVGPPRCSLDVDPKPCTEVTRTNSVSDVKEIQIHEGASCLGEDMPFKIFGVGSQNSGCRRIFGEDKIVDRTFSDKDNIIVERDLNEDNVNIDVETFSGKGPRKRPFLYLSDTAPLISSSMTQKAPWNKADNNNTLVDGESISKKLKTGFSGLYGGSGSREENSLSGSFTSQTCDLGSSSSVEERSYDKASAEKVILEGLGTSERYFFPVDSHHVKDSRLPAIFMPWNSSNDEDRVRDGIPNLELALGAETKSPNKRILPFFGMAEKNHIQNKPPDKVMNKEEEDGVSASLSLSLSFPFPD >Potri.009G108832.1.v4.1 pep chromosome:Pop_tri_v4:9:9403026:9403699:1 gene:Potri.009G108832.v4.1 transcript:Potri.009G108832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108832.v4.1 MGYNQTMFGFAPYGRYWRDMRKLVMVELLSNHRLELLKHVRDTETSLLMKDFYEKSSRNGGQVVVEMKQRLAEMATNITVRMISGKRYFSADAKGNQEAKRLCT >Potri.013G145500.2.v4.1 pep chromosome:Pop_tri_v4:13:14335317:14340307:1 gene:Potri.013G145500.v4.1 transcript:Potri.013G145500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145500.v4.1 MSIKKALVSMRKNGSSHSGRLFSDRKWLIPFFASLLVFLTLFSSATFGVFTSSFGGEQVPFDIVSYSRPEDSSGYFVESDLKKWFDRSRYSELEPPRLAYLISGTKGDSQRMMRTLQAVYHPRNQYILHLDLEAPPRERLMLGGYVKNDPTFQEVGNVRVMAQSNLVTYKGPTMFACTLQAIAIMLRESLEWDWFINLSASDYPLVTQDDLLHVFSNLSRNLNFIEHTQLTGWKLNSRAKPIIVDPGLYSSKKSDLYFTTQRRSLPSSFKLFTGSAWVMLTRSFLEYCIMGWENLPRTILMYYTNFISSPEGYFHTVICNTEEFQNTAIGHDLHYIAWDSPPKQHPISLTMKDFDKMVKSNAPFARKFARDDPVLDKIDKEILNRTGRFAPGAWCIGGADNGSDPCSIPGNYSVFRPGPGAQRLQELLQTLLSEDFRKKQCS >Potri.013G145500.3.v4.1 pep chromosome:Pop_tri_v4:13:14335262:14340265:1 gene:Potri.013G145500.v4.1 transcript:Potri.013G145500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145500.v4.1 MSIKKALVSMRKNGSSHSGRLFSDRKWLIPFFASLLVFLTLFSSATFGVFTSSFGGEQVPFDIVSYSRPEDSSGYFVESDLKKWFDRSRYSELEPPRLAYLISGTKGDSQRMMRTLQAVYHPRNQYILHLDLEAPPRERLMLGGYVKNDPTFQEVGNVRVMAQSNLVTYKGPTMFACTLQAIAIMLRESLEWDWFINLSASDYPLVTQDDLLHVFSNLSRNLNFIEHTQLTGWKLNSRAKPIIVDPGLYSSKKSDLYFTTQRRSLPSSFKLFTGSAWVMLTRSFLEYCIMGWENLPRTILMYYTNFISSPEGYFHTVICNTEEFQNTAIGHDLHYIAWDSPPKQHPISLTMKDFDKMVKSNAPFARKFARDDPVLDKIDKEILNRTGRFAPGAWCIGGADNGSDPCSIPGNYSVFRPGPGAQRLQELLQTLLSEDFRKKQCS >Potri.008G200600.6.v4.1 pep chromosome:Pop_tri_v4:8:14236573:14239842:-1 gene:Potri.008G200600.v4.1 transcript:Potri.008G200600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200600.v4.1 MFRRLHSSLSCSNTTLSSPIFHHKKTPIFHHKKTFLSIHSKPTSLSQPHRFPSAPLRFFASCSKMSETQKPKLTIPPPDKVSKPEMLRALEFSLGSSFSTNPIFPPPNPLIIVISGPSGVGKDAIIKKLRQVRESLHFVVTATSRPMREGEVDGKDYYFVSKEEFLSMVERNELLEYALVYGDYKGIPKKQIREFMEKGHDIVLRVDVQGAETLRKILGNSAVFIYLVAESELELVKRLIDRKTETSEALLVRIATAREEVKHVRDFDYVVVNGEGKLDSAVKLVESIIDAEKAKVRQRRAVI >Potri.008G200600.7.v4.1 pep chromosome:Pop_tri_v4:8:14236560:14239749:-1 gene:Potri.008G200600.v4.1 transcript:Potri.008G200600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200600.v4.1 MSETQKPKLTIPPPDKVSKPEMLRALEFSLGSSFSTNPIFPPPNPLIIVISGPSGVGKDAIIKKLRQVRESLHFVVTATSRPMREGEVDGKDYYFVSKEEFLSMVERNELLEYALVYGDYKGIPKKQIREFMEKGHDIVLRVDVQGAETLRKILGNSAVFIYLVAESELELVKRLIDRKTETSEALLVRIATAREEVKHVRDFDYVVVNGEGKLDSAVKLVESIIDAEKAKVRQRRAVI >Potri.008G200600.5.v4.1 pep chromosome:Pop_tri_v4:8:14236069:14239841:-1 gene:Potri.008G200600.v4.1 transcript:Potri.008G200600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200600.v4.1 MFRRLHSSLSCSNTTLSSPIFHHKKTPIFHHKKTFLSIHSKPTSLSQPHRFPSAPLRFFASCSKMSETQKPKLTIPPPDKVSKPEMLRALEFSLGSSFSTNPIFPPPNPLIIVISGPSGVGKDAIIKKLRQVRESLHFVVTATSRPMREGEVDGKDYYFVSKEEFLSMVERNELLEYALVYGDYKGIPKKQIREFMEKGHDIVLRVDVQGAETLRKILGNSAVFIYLVAESELELVKRLIDRKTETSEALLVRIATAREEVKHVRDFDYVVVNGEGKLDSAVKLVESIIDAEKAKVRQRRAVI >Potri.003G043500.1.v4.1 pep chromosome:Pop_tri_v4:3:4924504:4928717:1 gene:Potri.003G043500.v4.1 transcript:Potri.003G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043500.v4.1 MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENVKTRHPQLLYESKLYKMLQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFNFCNRKLSLKTVLMLADHMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEVLCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQSANPPTRALGPGAGPSSGIPPGANNFDRQSGGEEGRPGWSSTDHARRRNSGPIVNSGNLSKQKSPVTNDLSLSKDATLSSSRFLRSSVSSRQPVISSSRGAALVNDSDPSHPRATDANFAMLQNGQRISPALLSSEQKPSFSGRNTNIKTLESTRRGIESLHVKY >Potri.006G067400.1.v4.1 pep chromosome:Pop_tri_v4:6:4932310:4933379:-1 gene:Potri.006G067400.v4.1 transcript:Potri.006G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067400.v4.1 MRSLIVFVVLGAVSLLLRGSEAVDHEVGDTTGWKSPSSTSFYSDWASGKTFALGDTLKFTFTTGAHDVATVSKSDYDNCNTGSQNNLLTTGPATITLNVTGDMYFLCTIAGHCSAGQKLAITVAAGNTTSPGTSPPPPSAASSLVATFALMFVSIAISLMYCF >Potri.001G243800.2.v4.1 pep chromosome:Pop_tri_v4:1:26142147:26144825:-1 gene:Potri.001G243800.v4.1 transcript:Potri.001G243800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243800.v4.1 MCLLLSRYQFKGTLAFFKSHHKLPILIISRRSFRSSSETYFHLIETYGRDRALQQGKKLHAHLIINGLARLTHFASKLISFYVETKQLSDARKLFDKIPESNIRRWIVLIGAYSRRGLIQEALSVFYEMQKQGLGANKFVIPSVLKCCGHVYDVQTGRILHSVILKYSIESDVYVISSLIDMYSKCGEVEKARRVFDRMVEKDLVALNAMLSGYGQHGFAKEGFALMDKMEKLGIKPNVITWNSLISGFAQKGDDAMVSKMFELMISNGVEPDVISWTSVISGLVQNFRNEAAFDAFKQMLGRGFLPTSATISTVLAACATMANVRRGREIHGYAVVIRVEDDIYVRSALVDMYAKCGFISEASVLFYMMPERNTVTWNSMIFGYANHGYCDEAIELFDQMEKSEGNKLDHLTFTAVLTACSHAGMVEHGQSLFLLMQQKYKIVPRLEHYACMVDLLGRAGNLNEAYDMIKKMPVKPDLFVWGALLGACRNHGDIGLAEVAARHLAELEPENAGNNMLMSNLYADAGSWENVSRSKKMMKRKRLKNFPGCSWIEEAT >Potri.003G189601.1.v4.1 pep chromosome:Pop_tri_v4:3:19366555:19368289:-1 gene:Potri.003G189601.v4.1 transcript:Potri.003G189601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189601.v4.1 MGRLCTAVATDPPSGSISLDHGAISVANDPVTKRHRPFKHEFPTSMITQFFCL >Potri.001G051800.1.v4.1 pep chromosome:Pop_tri_v4:1:3901225:3906096:-1 gene:Potri.001G051800.v4.1 transcript:Potri.001G051800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051800.v4.1 MEKASNRQRVLLGHLRSSSSYNNHESSLSASACLAGGSAACGDDVVVVAAYRTPLCKSKRGGFKDTHADDLLAPVLKALIEKTNLDAREVGDIVVGSSLAPGSQRASECRMAALYAGFPETVPIRTVNRKCSSGLQAVADVAASIKAGFYEIGIGAGLESMTVNSRAWVGDVNPKVKRFQEAQDCLLPMGVTSENVAHRFGVTRQEQDQAAVDSHRKAAAASASGRFKDEIIPVATKIADPKTGDEKPIIVSVDDGIRPNTSLADLGKLKAVFKKDGTTTAGNSSQISDGAAAVLLMKRSVAMRKGLPILGVFRTFVVVGVDPAIMGVGPAVAIPAAVKAAGLELEDIDLFEINEAFASQFVYCCKKLELDLQKINVNGGAIAIGHPLGTTGARCVATILHEMKRRGRDCRFGVVSMCIGTGMGAAAVFERGDGCDELCNARKVESNNLLSKDSR >Potri.001G051800.2.v4.1 pep chromosome:Pop_tri_v4:1:3902092:3906096:-1 gene:Potri.001G051800.v4.1 transcript:Potri.001G051800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051800.v4.1 MEKASNRQRVLLGHLRSSSSYNNHESSLSASACLAGGSAACGDDVVVVAAYRTPLCKSKRGGFKDTHADDLLAPVLKALIEKTNLDAREVGDIVVGSSLAPGSQRASECRMAALYAGFPETVPIRTVNRKCSSGLQAVADVAASIKAGFYEIGIGAGLESMTVNSRAWVGDVNPKVKRFQEAQDCLLPMGVTSENVAHRFGVTRQEQDQAAVDSHRKAAAASASGRFKDEIIPVATKIADPKTGDEKPIIVSVDDGIRPNTSLADLGKLKAVFKKDGTTTAGNSSQISDGAAAVLLMKRSVAMRKGLPILGVFRTFVVVGVDPAIMGVGPAVAIPAAVKAAGLELEDIDLFEINEAFASQFVYCCKKLELDLQKINVNGGAIAIGHPLGTTGARCVATILHEMKRRGRDCRFGVVSMCIGTGMGAAAVFERGDGCDELCNARKVESNNLLSKDSR >Potri.001G305100.2.v4.1 pep chromosome:Pop_tri_v4:1:31483418:31486445:1 gene:Potri.001G305100.v4.1 transcript:Potri.001G305100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305100.v4.1 MSEEGEHESFLWDNQTWDLSNSDNLGGSEEKIGKNIKLPGSSSNSQAEIGIKEQENKAEKRGQMHKSNGKGSVGEVKEGKGGGESDHETHIWTERERRKKMRTMFSNLHALLPQLPPKADKSTIVDEAMNYIKTLQHTLQKLQKEKLERLQGATTFGYEPSLIAPQMQADSREAFLADQVSSSNLAISTTKSLPSVSRYPVLFQTWTSSNVVLNICGDEAQISICSPKNPGLFTTICYVLEKHNVEVLSAHVSSDCSRSMYMIQAHASGASDQFGETFPVEEVFKQAACEIMCWVSS >Potri.014G137300.1.v4.1 pep chromosome:Pop_tri_v4:14:9344676:9346305:1 gene:Potri.014G137300.v4.1 transcript:Potri.014G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137300.v4.1 MNALLSSSTSLCNSHILKLTSKPTSFRLSLPCNTNTTRYLGCDSLSLRIRASNNANEVDTQTAKEDQATETSNQVSSSSSAAAPAIDKDLKKVVQKTAATFAPRASTATKNPAVPGTTLYTVFEVQGYVCMLLGGALSFNLIFPSNEPDIWRLMGMWSIWMFTIPSLRARDCSKNEKEALNYLFLLVPLLNVTIPFFWKSFALVWSADTIAFFGMYAWKLGWLQKTE >Potri.001G100000.1.v4.1 pep chromosome:Pop_tri_v4:1:7987757:7991650:-1 gene:Potri.001G100000.v4.1 transcript:Potri.001G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100000.v4.1 MELWTSARRSKVIDVRGLLGVLVLFYTVGVESRKSVKWDYFEYAALSCRAHSASVSDFGGVGDGTTANTKAFQAAIDHLSQFSSEGGSILYVPPGRWLTGSFNLTSYFTLYLDKDAVLLASQDESEYPLIEPLPSYGRGRDADGARYSSLIFGNNLTDVIITGANGTIDGQGELWWTKFRAGELNYTRPYLIEIMFSTNIQISNLTLINSPSWNVHPVYCSNVVVQGLTILAPVRSPNTDGINPDSCTNTRIEDCYIVSGDDCVAVKSGWDEYGISFGMPTKQLVVRRLTCISPTSAVIALGSEMSGGIEDVRAEDITAIDSESGVRIKTAVGRGGYVKDIYVRGMTLKTMKWVFWMTGNYGSHPDNNYDPNAIPVIQNINYRDVVAENVTMAAKLEGIAGDPFTGICISNVTIGLAQNSKKLQWNCTDVAGITSEVNPKPCALLPDQGPGKIGGCNFPEDSLPVENMEVQTCYFFRKHHW >Potri.016G083600.6.v4.1 pep chromosome:Pop_tri_v4:16:6482716:6487556:-1 gene:Potri.016G083600.v4.1 transcript:Potri.016G083600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083600.v4.1 MEIKESERVVIAKPVASRPSCSKFRSFSELLAGAINTSPSTDCSEMAVAAIRPKTLRFRPTVNRAPGALVSSQVELSGTTLSNSSNRVSSTDSKTTVIYKPQAKFVSKATVSLLASMGNFNTNSQQMLQSVEARPQCPKQNKQNFSSQLTSNLHQNIPSHAVLLTSQNQEDPKALSHASNGDRASYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLGAVSDSNAQDRYTTPLWSNQLIERNEGSEGREENQIETGLQVHSIYQGKPPPSCDRAGTGSINAGAGTSDNSCGLNGECNDGSKGLEGDDDEPRNKRRKAGIQSNKGGISGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVRGNPYPRSYYRCTSLKCNVRKHVERASDDPKAFITTYEGKHNHEMPLKSTNIQPLNPDLQAPPSRDKL >Potri.016G083600.10.v4.1 pep chromosome:Pop_tri_v4:16:6483029:6485217:-1 gene:Potri.016G083600.v4.1 transcript:Potri.016G083600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083600.v4.1 MLQSVEARPQCPKQNKQNFSSQLTSNLHQNIPSHAVLLTSQNQEDPKALSHASNGDRASYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLGAVSDSNAQDRYTTPLWSNQLIERNEGSEGREENQIETGLQVHSIYQGKPPPSCDRAGTGSINAGAGTSDNSCGLNGECNDGSKGLEGDDDEPRNKRRKAGIQSNKGGISGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVRGNPYPRSYYRCTSLKCNVRKHVERASDDPKAFITTYEGKHNHEMPLKSTNIQPLNPDLQAPPSRDKL >Potri.001G069000.1.v4.1 pep chromosome:Pop_tri_v4:1:5188020:5191774:-1 gene:Potri.001G069000.v4.1 transcript:Potri.001G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069000.v4.1 MLPVFDPNDNEAGLKLLEDLTNNACQIQQQVLEEILATNLHTEYLKSFLNGDSGKENFKNKVPIVNYEDIKPCIERIANGEPSSIISTQPITELLTSSGTSGGQPKIMPSTAEELERKTFFYNLLVPVMNKYVDGLDQGKAMYLLFIKPEISTPSGLMARPVLTSYYKSNNFRNRAFNRYNVYTSPDETILCSDSKQSMYCQLLCGLVQRDEVLRVGAIFASAFLRAIKFLEEYLKELCSNIRTGSVSDWITDPNCRNAVLSILSKPNSELADLIEDECSGKSWEGIIKKLWPRTKYIEVIVTGSMAQYIPTLEFYSGGLPLVSTMYGSSECYLGINFKPLSNPYDVSYTLLPNMAYFEFLPVDNNHKEVVPDVQCNGVSVTDRNGKEKVEAVDLVDVKLGHYYELIVTTFTGLYRYRVGDILMVTGFYNNAPQFRFVHRRNVVLSIDTDKTNEEDLLKAVTQAKVLLEPLGFLLTEYTSFADTASIPGHYVLFWELKMRGTNDLPELDPVIMEQCCSIVEESLDSVYRRCRKKDKSIGPLEVRVVTHGTFDALMDFCVSQGSSVNQYKTPRCIKSEEALKILDSRVVGRFSSNKTPFWEPFRIET >Potri.007G046700.3.v4.1 pep chromosome:Pop_tri_v4:7:4284868:4296252:1 gene:Potri.007G046700.v4.1 transcript:Potri.007G046700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046700.v4.1 MEMTPSSEICSVKVALHIRPLIADERLQGCKDCVSVVPRLPQVQIGTHSFTFDHVYGNGGAESSAMFEQCVAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQTGLIPQVMGALFNKIETLKHQTEFQLHVSFIEILREEVRDLLDSASESKSVVANGHAGKVAVPGKSPIQIREASNGVITLAGSTEVAVSTLQEMAACLEQGSLCRATGSTNMNNQSSRSHAIFTITLEQMRKLHSVSPVNDTPDEDMGEEYFCAKLHLVDLAGSERAKRTGTDGLRLKEGIHINKGLLALGNVISALGDEKKRKEGMHVPYRDSKLTRLLQDSLGGNSKTVMIACISPADINAEETLNTLKYANRARNIQNKPVANRDLISNEMQQMRQQLKYLQAELCARGVRAASDEVQVLRERIAWLEATNEDLSRKLHEYRSRCAITEKCEIDAYEGHATSAKSDGLKRNFQSMDSSEYYMDEDISGDSSGEIDEAAKEWEHTLIQSTMDKELNELNKRLEQKESEMKLFGGVDTEVLKQQFRKKILELEEEKRTVQQERDHLLVEIENLAANSDGQAQKTQDIHSQKLKALEAQILDLKKKQESHFELLKQKQRSDEAANRLQAEIQYIKAQKVQLQHKIKQEAEQFRQWKASQEKELLQLRKEGRRNEHERHKLEALHQRQKMVLQRKTEEAAMASKRLKELLEARKSSPRESSANSNGHLSPGQGNEKSLRRWLDHELEVMVKVHEVRLQHEKQKQEHAALAEELALLKQVNQLSLNGGSPQEGKNGHRHTRLMLMSPNARMARIAFLENMLRVSASALATMASQLSEAGERERTFIGRGHWNQIRSMGEAKNLLQYMFTAAADDRCRLWEKDMEIKETKDELNDLLILLRQSEIQRKELLKEQKMREQAVAIAFASSASDSSRSSSKHYADDMSGHLSPMLLPAPKQLKFTPGIVNGPARESVAFLDQTGKIVPVGHLSMKKLAALGQTGKLWRWKRSHHQWLLQFKWKWQKPWKLSEWIKHSDETIMRSRPRSQALVAMI >Potri.010G192800.2.v4.1 pep chromosome:Pop_tri_v4:10:18790500:18795879:1 gene:Potri.010G192800.v4.1 transcript:Potri.010G192800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192800.v4.1 MANSRKQEFNEDRVEQSNVNDFDDSSSISSAGGTSTAGSGRVSAERSEPSEAGLTERLTDILVDQGDGDLLLQRNNREDRVLQWLQALDMQVMGACRADERLKPLLKLNASSGVAEDRLLAHLSQHFEPSEVGMLARCFCIPLVSIRVGKINKQGTLLCPTTIRGDLNLTLLPASGLRLSFIGDDGSTERLFTLSSKTHCPAVEVHEIPADSSGRSFNLKISDGRVFYFWCSEKSKLLGIELLAKMKDVLKRKPSIADLTGISKSRLDCFATHLRAFLVGSNGREISTCSLATSSTTPDVSDSTSTTKSLRSRHTGSLAVKTNSAYQGSLSPRSSSFKEGLPRSLSSSRNATREKLRRRVDNHLSAVDNIMAALPLSIDVTCNQSGNEKLQEAKSCPLAPSSVLESLGKLSLPPIQLPVSHVLSSPHVFSPYYCWCPQGTSSLQYPSTPSEISTSSVESPLLPPFPPLLSTGRPSNLLSPIPPLSLADFPSLDFPALLPDPLVRLPIPSSQQIPTFTPLMCDPIVHIPLIDVCSSGQGYLVSAGPTIAGPIPPLHPRLVNPLIPETAESVVEKGARETLRLLISSTSQTNSQFMNVLPVVLTNTDQTSILAGGSWSLYTGTRDVDAIANRIATMGLVSLSESSIGNSVADISGGCDNTNALPDNLPDGSSVLGGPYSEDEGTMFSNAGKGTN >Potri.016G087900.1.v4.1 pep chromosome:Pop_tri_v4:16:6957879:6960518:-1 gene:Potri.016G087900.v4.1 transcript:Potri.016G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PURA MNLSSLRLESNPRWSYHAAHFPAHHGLNPSFRRNFVSCSSIKPSASSSLSVAESFTRDSASRIESLSQVSGVLGSQWGDEGKGKLVDILAEHFDIVARCQGGANAGHTIYNSEGKKFALHLVPSGILNEDTLCVIGNGVVVHLPGLFKEIDGLEANGVSCTGRILVSDRAHLLFDFHQEVDGLREAELAKSFIGTTRRGIGPCYSSKVIRNGIRVCDLRHMDTFPQKLDALLSDVASRFESFKYGPEMLKEEVERYKRFAERLEPFITDTVHFMNESIAKKKKILVEGGQATMLDIDFGTYPFVTSSSPSAGGICTGLGIAPRVVGDLIGVVKAYTSRVGSGPFPTEILGQGGDLLRFAGQEFGTTTGRPRRCGWLDVVALKYVCQINGFSSLNLTKLDVLSEFSEIQIGVSYKQIDGTPVESFPGDLCLLEQLKVDYEVLPGWKSDISSIRKYADLPKAAQQYVERIEELVGVPIHYIGIGPGRDALIYK >Potri.005G130800.3.v4.1 pep chromosome:Pop_tri_v4:5:10052604:10056625:-1 gene:Potri.005G130800.v4.1 transcript:Potri.005G130800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130800.v4.1 MASESSVTEPKTAPKENQTSVEATIKGSTTTSSQGGAADSTCNDDNNGETSDPRKSLDFAVELSEKGTNALKENDFSEAVECFSRALEIRVLHHGELALECVNAYYLYGRALLYKAQEEADPLAMVPKKDSESKQDDNKDGASRNFVNGEFSSASVSSNVEEGRGSNHPEGAAGGASSGKEKEEEEEDDDEGSDDEDLAEADEEESDLDLAWKMLDVARAIAEKHLDDTMDKVDILSALAEVALEREDIETSLSDYQKALSILERLVEPDSRHLAELNFRICLCLEIGSKPQEAIPYCQEAISVCKARLQRLIKEVKSSTESATSSAVSELDEGVQQSSNVQADKSVTDKEAEIETLSGLSAELEKKLEDLQQLVLNPKSILAEILGMVSDKAKGGEKSASPNLTSSSQLVVANSSGSFDSPTISSAHTNGVLGVTDLGVAGRGVKRVLTSTGSVGSSSAVKKPTPDPSSDKGDGKTC >Potri.005G130800.6.v4.1 pep chromosome:Pop_tri_v4:5:10053229:10054503:-1 gene:Potri.005G130800.v4.1 transcript:Potri.005G130800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130800.v4.1 MLDVARAIAEKHLDDTMDKVDILSALAEVALEREDIETSLSDYQKALSILERLVEPDSRHLAELNFRICLCLEIGSKPQEAIPYCQEAISVCKARLQRLIKEVKSSTESATSSAVSELDEGVQQSSNVQADKSVTDKEAEIETLSGLSAELEKKLEDLQQLVLNPKSILAEILGMVSDKAKGGEKSASPNLTSSSQLVVANSSGSFDSPTISSAHTNGVLGVTDLGVAGRGVKRVLTSTGSVGSSSAVKKPTPDPSSDKGDGKTC >Potri.005G130800.5.v4.1 pep chromosome:Pop_tri_v4:5:10052604:10056625:-1 gene:Potri.005G130800.v4.1 transcript:Potri.005G130800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130800.v4.1 MASESSVTEPKTAPKENQTSVEATIKGSTTTSSQGGAADSTCNDDNNGETSDPRKSLDFAVELSEKGTNALKENDFSEAVECFSRALEIRVLHHGELALECVNAYYLYGRALLYKAQEEADPLAMVPKKDSESKQDDNKDGASRNFVNGEFSSASVSSNVEEGRGSNHPEGAAGGASSGKEKEEEEEDDDEGSDDEDLAEADEEESDLDLAWKMLDVARAIAEKHLDDTMDKVDILSALAEVALEREDIETSLSDYQKALSILERLVEPDSRHLAELNFRICLCLEIGSKPQEAIPYCQEAISVCKARLQRLIKEVKSSTESATSSAVSELDEGVQQSSNVQADKSVTDKEAEIETLSGLSAELEKKLEDLQQLVLNPKSILAEILGMVSDKAKGGEKSASPNLTSSSQLVVANSSGSFDSPTISSAHTNGVLGVTDLGVAGRGVKRVLTSTGSVGSSSAVKKPTPDPSSDKGDGKTC >Potri.005G130800.4.v4.1 pep chromosome:Pop_tri_v4:5:10052599:10056625:-1 gene:Potri.005G130800.v4.1 transcript:Potri.005G130800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130800.v4.1 MASESSVTEPKTAPKENQTSVEATIKGSTTTSSQGGAADSTCNDDNNGETSDPRKSLDFAVELSEKGTNALKENDFSEAVECFSRALEIRVLHHGELALECVNAYYLYGRALLYKAQEEADPLAMVPKKDSESKQDDNKDGASRNFVNGEFSSASVSSNVEEGRGSNHPEGAAGGASSGKEKEEEEEDDDEGSDDEDLAEADEEESDLDLAWKMLDVARAIAEKHLDDTMDKVDILSALAEVALEREDIETSLSDYQKALSILERLVEPDSRHLAELNFRICLCLEIGSKPQEAIPYCQEAISVCKARLQRLIKEVKSSTESATSSAVSELDEGVQQSSNVQADKSVTDKEAEIETLSGLSAELEKKLEDLQQLVLNPKSILAEILGMVSDKAKGGEKSASPNLTSSSQLVVANSSGSFDSPTISSAHTNGVLGVTDLGVAGRGVKRVLTSTGSVGSSSAVKKPTPDPSSDKGDGKTC >Potri.018G019600.1.v4.1 pep chromosome:Pop_tri_v4:18:1320884:1332396:1 gene:Potri.018G019600.v4.1 transcript:Potri.018G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019600.v4.1 MALFRKFFYRKPPDGLLEISERVYVFDCCYCMDTFEEEEYKVYIRGIVGKLRNHLPDASFMVFNFQEGENESQIGSVLSEFDMTVMDYPRHYESFPLLSMEMIHHSLRSSESWLSLGQQNVVLMHCERGGWPVLAFMLAALLLYGKQFTGEQRTLDMIYKQGPQELLHLMSPINPLPSQLRYLQYVSRRNMGTQWPPLDRALTLDCIILRVIPCMDTEGGCQPIFRIHGQDPFMVVDRTPKVLFSTPKRSRFVQHYKQADCELVKIDINCHIQGDVVMECINLDSDHEREQMMFRVMFNTSFISSNILMLNRDEIDTLWDAKDQFPKDFRAEVLFSEMDSSTPIGAIDLPGLEEKDGIPVEVFPRVHEIFSNMDWPDTKTDVAQNMHHHIMEPQENLDSSPQRAEGGSVLMESTFVRVQEKPKLNESENKTPSPTSITLVKQSTLSFKPFSDTNSVREEAEPQELKVALQSMPSIKSSPDANSAREKVEPQELKVACQSTLSIKPSLDAISTQKKVEPQELQVALQWPAQSKIISQRVPQRSLCSPVSYGNNLQGLPVPMSRYHSAPSALGITALLHDHAVSKGEEVVRPVTLSLPSSAISSPITGVLKPPPLNNVSAPRTPPLPTPLQSSFEAPKTTEKPSPTCHIPPAIPQPISISSPVTDPPKPAQLNHVAAPGTPPCPLNPLQSSIEAPKTTNFFSGACHIPPQSRGTDSLLNQHPQPTSDSIHSPLFPSLLSSLSYSSSPVIKSSSSAPPAPPPPPSFSKASPSPFTKKSFPTPPPPPPPPPLPPFTAAPPSSTNRNSYSAPPPLPPGMASKVASSGTAQSGKNLAVVPGPPPPPPPPPPPLHPGSTLGPANAPSVPPPPPPPPSSVAKASSFNNAAHIPPVPPPDPLTKGLSRTSSVSSPPLHSGSALGPANAPSVPPPPPPSSSVAKTSSFNNVAHIPPVPPPPAPLTKGLSRTSSVSSPSHAGVRNGNVPSVPGPPSGAPFSAKGQGMLRINAKNQPRKANLKPYHWLKLTRAMSGSLWAEAQKADEASKAPEFDISELETLFSAAAPNYDCGSTAGKSNRRTLGHKSDKIQLIELRRAYNCEIMLSKVKIPLPDLMSSVLALDDTALDIDQVDSLIKFCPTKEEMELLKGYNGDKENLGKCEQFFLEMMKVPRAESKLRVFSFKIQFQSQVSDLRGNLNVINSASEEIRSSAKLKRIMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKITLMHYLCKVLAEKLPELLDFSKDLVNLEAATKIQLKYLAEEMQAVSKGLEKVMQELTASENDGLVSESFCKNLKVFLAFAESEVRSLASLYSSVGRNADALAFYFGEDPARCPFEQVVSTLLNFVRMFVRAHDENKKQLELGKKKAEKDAQNEKSMMASPRKLSENLVQTPIKSGIFK >Potri.018G019600.3.v4.1 pep chromosome:Pop_tri_v4:18:1323581:1332496:1 gene:Potri.018G019600.v4.1 transcript:Potri.018G019600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019600.v4.1 MDWPDTKTDVAQNMHHHIMEPQENLDSSPQRAEGGSVLMESTFVRVQEKPKLNESENKTPSPTSITLVKQSTLSFKPFSDTNSVREEAEPQELKVALQSMPSIKSSPDANSAREKVEPQELKVACQSTLSIKPSLDAISTQKKVEPQELQVALQWPAQSKIISQRVPQRSLCSPVSYGNNLQGLPVPMSRYHSAPSALGITALLHDHAVSKGEEVVRPVTLSLPSSAISSPITGVLKPPPLNNVSAPRTPPLPTPLQSSFEAPKTTEKPSPTCHIPPAIPQPISISSPVTDPPKPAQLNHVAAPGTPPCPLNPLQSSIEAPKTTNFFSGACHIPPQSRGTDSLLNQHPQPTSDSIHSPLFPSLLSSLSYSSSPVIKSSSSAPPAPPPPPSFSKASPSPFTKKSFPTPPPPPPPPPLPPFTAAPPSSTNRNSYSAPPPLPPGMASKVASSGTAQSGKNLAVVPGPPPPPPPPPPPLHPGSTLGPANAPSVPPPPPPPPSSVAKASSFNNAAHIPPVPPPDPLTKGLSRTSSVSSPPLHSGSALGPANAPSVPPPPPPSSSVAKTSSFNNVAHIPPVPPPPAPLTKGLSRTSSVSSPSHAGVRNGNVPSVPGPPSGAPFSAKGQGMLRINAKNQPRKANLKPYHWLKLTRAMSGSLWAEAQKADEASKAPEFDISELETLFSAAAPNYDCGSTAGKSNRRTLGHKSDKIQLIELRRAYNCEIMLSKVKIPLPDLMSSVLALDDTALDIDQVDSLIKFCPTKEEMELLKGYNGDKENLGKCEQFFLEMMKVPRAESKLRVFSFKIQFQSQVSDLRGNLNVINSASEEIRSSAKLKRIMQTILSLGNALNHGTARGSAVGFRLDSLLKLTDTRARNNKITLMHYLCKVLAEKLPELLDFSKDLVNLEAATKIQLKYLAEEMQAVSKGLEKVMQELTASENDGLVSESFCKNLKVFLAFAESEVRSLASLYSSVGRNADALAFYFGEDPARCPFEQVVSTLLNFVRMFVRAHDENKKQLELGKKKAEKDAQNEKSMMASPRKLSENLVQTPIKSGIFK >Potri.011G071200.6.v4.1 pep chromosome:Pop_tri_v4:11:6324306:6328512:-1 gene:Potri.011G071200.v4.1 transcript:Potri.011G071200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071200.v4.1 MNIFRFLGDMTHLISILILLLKIYATKSCSGISLKTQELYALVFLTRYLDLFTDFISVYNTVMKLVFIASSLAIVWCMRNHPLVRRSYDKQLDTFRHYFLLLASFLLALLLHEKFAFQEVLWAFSMYLEAVAILPQLVLLQRSGNVDNLTGQYVFFLGAYRAFYIFNWIYRYFIDPHFTRWIACVSGLVQTALYADFFYYYFISWKNNAKLQLPA >Potri.008G017300.2.v4.1 pep chromosome:Pop_tri_v4:8:859540:861119:1 gene:Potri.008G017300.v4.1 transcript:Potri.008G017300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017300.v4.1 MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEESAIKIQEIKVDKETIDMLAALGMSDFPGIVEVEPQPMVPTQGFGRGGGARRY >Potri.003G020500.3.v4.1 pep chromosome:Pop_tri_v4:3:2152876:2153352:1 gene:Potri.003G020500.v4.1 transcript:Potri.003G020500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020500.v4.1 MPTWSKVELCRFAGAWAGQDCACQDKFDEYDDAGYFLEGRNLMISSSESEDNLGITESKGVRIPLPWEILQPLLRILGHCLLGPFNTQDAKDAASVAVRRLYARASHDLVPQAILAARSLIQLDKRAREAAKAAAAITTSNANTPAKAKKHEILLVSK >Potri.003G020500.2.v4.1 pep chromosome:Pop_tri_v4:3:2152070:2155586:1 gene:Potri.003G020500.v4.1 transcript:Potri.003G020500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020500.v4.1 MESNNKNNYSPSSSSSTSSTPHPAAAAAATTTTTDPVQSWWESVSKARSRILSLSSILPSLPSSSSSSSSFSLSSLAESDRPALSFLSSPDAYSLLSSSLSSPSSGSGSDPLCQWLYDTYLSSDPDLRLIVLSFLPLLSGIYLSRIHSSDSSSAPSLSGFEAVLLAIYSSEVKSRGGKPVVVQIPHLSHPSLYHTPRNKFHKSQPQAPSVGVLSGPLEPQIAVKSTKRPVIVGVTLDCYFKHISQMPTWSKVELCRFAGAWAGQDCACQDKFDEYDDAGYFLEGRNLMISSSESEDNLGITESKGVRIPLPWEILQPLLRILGHCLLGPFNTQDAKDAASVAVRRLYARASHDLVPQAILAARSLIQLDKRAREAAKAAAAITTSNANTPAKAKKHEILLVSK >Potri.003G020500.1.v4.1 pep chromosome:Pop_tri_v4:3:2152112:2155798:1 gene:Potri.003G020500.v4.1 transcript:Potri.003G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020500.v4.1 MESNNKNNYSPSSSSSTSSTPHPAAAAAATTTTTDPVQSWWESVSKARSRILSLSSILPSLPSSSSSSSSFSLSSLAESDRPALSFLSSPDAYSLLSSSLSSPSSGSGSDPLCQWLYDTYLSSDPDLRLIVLSFLPLLSGIYLSRIHSSDSSSAPSLSGFEAVLLAIYSSEVKSRGGKPVVVQIPHLSHPSLYHTPRNKFHKSQPQAPSVGVLSGPLEPQIAVKSTKRPVIVGVTLDCYFKHISQMPTWSKVELCRFAGAWAGQDCACQDKFDEYDDAGYFLEGRNLMISSSESEDNLGITESKGVRIPLPWEILQPLLRILGHCLLGPFNTQDAKDAASVAVRRLYARASHDLVPQAILAARSLIQLDKRAREAAKAAAAITTSNANTPAKAKKHEILLVSK >Potri.003G038900.1.v4.1 pep chromosome:Pop_tri_v4:3:4352869:4363758:1 gene:Potri.003G038900.v4.1 transcript:Potri.003G038900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038900.v4.1 MEKSDGCCSTHLIDGDGMFNDTGLEQLIKEVRLGECGLSYAIVSIMGPQSSGKSTLLNHLFGTSFREMDAFKGRSQTTKGIWLARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIQKIWDSVPKPEAHKETRLSEFFNVEVVALSSYEEKEEQFKEQVASLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQEIWKVIKENKDLDLPAHKVMVATVRCEEIANEKYGSFVANEKWCQMEEAVESGPVSGFGKKLSAILNISLSEYDAEAIYFDEGVRSAKRKQLEEKLLQLVQPAHQSMLGHIRSGTLEKFKEAFDKALNAGEGFSLAAQTCTQSYMAQFDEWCADAVIEQANWDTSKVRDKLRRDIDAHIASVHAAKLSELTLSFEAKLNAALSGPVEALLDGANSETWSAIKKLLLRETESAVAGFCNAIFGFDMDEQSKDKLIASLENYGRGVVEAKAREESGRVLIRMKDRFSMLFSHDSDSMPRIWTGKEDIRAITKTARSASLKLLSVMAAIRLDDDVDSIETTLSSALMDAKNNAAVKDRSIIPFDPLASNSWEKIPSSRTLITPVQCKSLWRQFKTETEYTVTQAISAQEAHKRNNNWLPPPWAILALVVLGFNEFMTLLRNPLYLGVIFVGFLLIKALWVQLDISGEFRNGALPGLLSLSSKFVPTIMNLLKRLAEEGQKPATADPQRNATKSFQNGSSSFSDSSSSASSGVTSPKQGTEYSNTLKDD >Potri.003G162600.1.v4.1 pep chromosome:Pop_tri_v4:3:17214285:17217775:1 gene:Potri.003G162600.v4.1 transcript:Potri.003G162600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162600.v4.1 MQNQEGQLAADGETEVTCEIEDWANFGGGDDDIMQQQSSVPFVGDKEPLSALAAEYQSGSPILLQKIKVLGDKYVAIRRTRGDGNCFFRSFMFSYLEHILEKQDRAEVDRIKANVEECRKTLQSLGYVDFTFEDFFALFLEQLDDVLQGNETSISHEELLNRSRDQSVSDYVVMFFRFVTSGEIRRRSEFFEPFVFGLTNTTVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDAAGVSVNHHDFIPTPRNLPSATGAGSESINPFITLLYRPGHYDILYPK >Potri.003G162600.2.v4.1 pep chromosome:Pop_tri_v4:3:17214464:17217751:1 gene:Potri.003G162600.v4.1 transcript:Potri.003G162600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162600.v4.1 MQNQEGQLAADGETEVTCEIEDWANFGGGDDDIMQQQSSVPFVGDKEPLSALAAEYQSGSPILLQKIKVLGDKYVAIRRTRGDGNCFFRSFMFSYLEHILEKQDRAEVDRIKANVEECRKTLQSLGYVDFTFEDFFALFLEQLDDVLQGNETSISHEELLNRSRDQSVSDYVVMFFRFVTSGEIRRRSEFFEPFVFGLTNTTVEQFCKSSVEPMGEESDHVHITALSDALGVPIRVVYLDRSSCDAAGVSVNHHDFIPTPRNLPSATGAGSESINPFITLLYRPGHYDILYPK >Potri.001G220800.1.v4.1 pep chromosome:Pop_tri_v4:1:23035632:23038364:1 gene:Potri.001G220800.v4.1 transcript:Potri.001G220800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220800.v4.1 MGNANGREEGERDDEEGLENGESPSPSPVIGRGASTTSQSVSILDSNDVHTQNNNSPPDHAPARSTSPFLFPPQAPVAPLRRPDAPPVFDQVWQNDSHEVVDEDQSPEQGIPTVITWSHGGNDVAVEGSWDNFSSRKKLQRSGKDHSILLVLPSGIYHYKFIVDEEWRYIPDLPSVTDEMGRVCNLLDVHDFVPENIDSAVEFEAPPSPDSTYSQAFPAEDDFAKDPSAVPPQLSLTVLDEASSSKPQHVVLNHLYIEKGWASQSLVALGLTHRFQSKFVTVCLYKPLRR >Potri.004G139600.1.v4.1 pep chromosome:Pop_tri_v4:4:16150970:16154371:1 gene:Potri.004G139600.v4.1 transcript:Potri.004G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G139600.v4.1 MEFDRVQTIASLSFDKEAIPEEFIMPEKEQPAITTFRGLAPEIPAIDLSDPDQEKLVGLIADASKEWGIFQVINHGIPSDLIAELQGAGKKFFELPQEEKEVCARPRDSESIEGYGSKLLNDPQEKKTWVDHLFHRIWPPPSINYQFWPENPPSYREVNKEYAKYMRDVVDKLFTTLSLGLGLEGHVLKEAAGGEQIEYKLKINYYPPCPRPDLTLGVEAHTDLSAITILVPNEVPGLQIFKDDHWIEAKYIPDALIIHIGDQIEILSNGKYKAVLHRTTVAKDRARMSWPVFLEPPGELVVGPLPQFINKDNPPKFKTKKFKDYMYFKLNKLPQ >Potri.001G004100.1.v4.1 pep chromosome:Pop_tri_v4:1:259986:260817:1 gene:Potri.001G004100.v4.1 transcript:Potri.001G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004100.v4.1 MEAMKMKIFVVLMVVLMAFSTMQKAAAADAPAPSPTSDATIFVPTFLASLVALAFGLLF >Potri.003G076100.1.v4.1 pep chromosome:Pop_tri_v4:3:10340799:10345417:-1 gene:Potri.003G076100.v4.1 transcript:Potri.003G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076100.v4.1 MSTGELLGIEPLELKFPFELKKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNAGIVLPRSTCDVIVTMQAQKEAPTDMQCKDKFLLQSVKTNDGVTAKDINAEMFSKEAGHHVEECKLRVLYVSPPQPPSPVQEGSEEGSSPRGSVSDNGNVTGTDLSTATRAFVERLEPQEKSLEARALISKLTDEKNNAIQQNNKLRQELELLRHQGNKNRGGVSIMFVIFVGLLGIFLGYLVKKT >Potri.012G036200.2.v4.1 pep chromosome:Pop_tri_v4:12:3263343:3267921:1 gene:Potri.012G036200.v4.1 transcript:Potri.012G036200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036200.v4.1 MTRQAVAKLLGSIASRKPSSTPSVFNLSRSQTRNYAAPPPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAILEAMEAELDLVVCITEGIPQHDMVRVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCIEKFLADSQTEGIILIGEIGGTAEEDAAALIKESGTEKPVVAFIAGQTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMLDVFKQRGLAD >Potri.012G036200.1.v4.1 pep chromosome:Pop_tri_v4:12:3263409:3268061:1 gene:Potri.012G036200.v4.1 transcript:Potri.012G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036200.v4.1 MTRQAVAKLLGSIASRKPSSTPSVFNLSRSQTRNYAAPPPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAILEAMEAELDLVVCITEGIPQHDMVRVKAALNRQSKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCIEKFLADSQTEGIILIGEIGGTAEEDAAALIKESGTEKPVVAFIAGQTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMLDVFKQRGLAD >Potri.001G015100.1.v4.1 pep chromosome:Pop_tri_v4:1:1074418:1078986:1 gene:Potri.001G015100.v4.1 transcript:Potri.001G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015100.v4.1 MATQSYVLCILILGLVASNIVASTTPSHEIHSFNRQSFPPGFIFGAASAAYQYEGAAFQDGKGLSIWDTFTHKFPEKIADRSNGDVADDQYHRYKEDVKIMKDMGLDSYRFSISWPRILPKGKLSGGVNKAGIKYYNNLINELVANGLKPLVTLFHWDTPQALDSEYGSFLSTRIVKDFEDYVDVCFREFGDRVKHWITLNEPNIFTSGGYASGGGAPNRCSAWQNLNCTGGDSSTEPYVVGHNLIKSHAAAVRLYKAKYQATQKGIIGITVASHWFLPYSNSTQDKAAAQRSLDFLYGWYMDPVVFGDYPSSMRSLVGKRLPKFTKEESAFIKGSFDFIGLNYYTAFYAENLPISNISHPSSLTDSLATSRSDRNGVLIGPQAGSTWLHVYPKGIRKLLLYTKRKYNDPVIYITENGVSEVNNEGKLTLKQQLNDTMRIDYYRSHLSFLRLAMAEGVKVKGYFAWSFLDDFEWNSGYTVRFGIIYIDYKNGLKRIPKLSARWFKNFLEKKKA >Potri.011G113100.1.v4.1 pep chromosome:Pop_tri_v4:11:14404783:14406113:-1 gene:Potri.011G113100.v4.1 transcript:Potri.011G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113100.v4.1 MHGKVFSYKYGKRIRSCVIIMAEEVVLLGFWASPFAMRVKIALAEKEIDYVSREQNLFNKSSLLLEMNPVYKKVPVLIHEGKPICESLIIIEYIDEVWKHKAPLFPSDPCERAHARFWADYVDKHIFPNAQLLCARKGERQEAAKKSLVESFKALEGELGDKPYFGGESFGLIDIALIPFFSFFYAFETLGRFSMEEECPEIVAWAKRCSQRETVSKSVVLDQHKAYEFVLELMAWHGVK >Potri.001G348600.6.v4.1 pep chromosome:Pop_tri_v4:1:36015977:36021533:-1 gene:Potri.001G348600.v4.1 transcript:Potri.001G348600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348600.v4.1 MTTSIAGGSSNLHYRLSFRQAKGCRQSSWISSLTFNGCLRNEISWSDGLSKLLQFQRYKFSRSTISKNWKPLGTRKVSVSSCLRDSTVKYFDFAVIGSGVAGLRYALEVAKYGTVAVITKAEPHESNTNYAQGGVSAVLSPSDSVESHMQDTMVAGAYLCDEETVRVVCTEGPDRIRELIAMGAMFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISVFEHHFAIDLLTSQDGPDMVCHGVDTLNTETQQVVRFISKVTLLASGGAGHIYPSTTNPPVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPIKARENAFLITEAVRGDGGILYNLDWERFMPLYDERAELAPRDVVARSIDDQLKKRCEKYVLLDISHKPREKILSHFPNIAAECLQYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVQGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDLSASNWWARPVVPNSPGSNVMDNVSRKTREVRRELQSIMWKYVGIVRSTTRLETAEGEISELEAQWEKYLFEEGWEQTMVGLEACEMRNLFCCAKLVVSSALARHESRGLHYTIDFPHVEESKRLPTVILPSLVNNNTWSSRQLHKQVIF >Potri.001G348600.5.v4.1 pep chromosome:Pop_tri_v4:1:36015998:36021617:-1 gene:Potri.001G348600.v4.1 transcript:Potri.001G348600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348600.v4.1 MTTSIAGGSSNLHYRLSFRQAKGCRQSSWISSLTFNGCLRNEISWSDGLSKLLQFQRYKFSRSTISKNWKPLGTRKVSVSSCLRDSTVKYFDFAVIGSGVAGLRYALEVAKYGTVAVITKAEPHESNTNYAQGGVSAVLSPSDSVESHMQDTMVAGAYLCDEETVRVVCTEGPDRIRELIAMGAMFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISVFEHHFAIDLLTSQDGPDMVCHGVDTLNTETQQVVRFISKVTLLASGGAGHIYPSTTNPPVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPIKARENAFLITEAVRGDGGILYNLDWERFMPLYDERAELAPRDVVARSIDDQLKKRCEKYVLLDISHKPREKILSHFPNIAAECLQYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVQGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDLSASNWWARPVVPNSPGSNVMDNVSRKTREVRRELQSIMWKYVGIVRSTTRLETAEGEISELEAQWEKYLFEEGWEQTMVGLEACEMRNLFCCAKLVVSSALARHESRGLHYTIDFPHVEESKRLPTVILPSLVNNNTWSSRQLHKQVIF >Potri.001G348600.7.v4.1 pep chromosome:Pop_tri_v4:1:36015982:36021484:-1 gene:Potri.001G348600.v4.1 transcript:Potri.001G348600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348600.v4.1 MTTSIAGGSSNLHYRLSFRQAKGCRQSSWISSLTFNGCLRNEISWSDGLSKLLQFQRYKFSRSTISKNWKPLGTRKVSVSSCLRDSTVKYFDFAVIGSGVAGLRYALEVAKYGTVAVITKAEPHESNTNYAQGGVSAVLSPSDSVESHMQDTMVAGAYLCDEETVRVVCTEGPDRIRELIAMGAMFDHGEDGNLHLAREGGHSHHRIVHAADMTGREIERALLEAVVNDPNISVFEHHFAIDLLTSQDGPDMVCHGVDTLNTETQQVVRFISKVTLLASGGAGHIYPSTTNPPVATGDGMAMAHRAQAVISNMEFVQFHPTALADEGLPIKPIKARENAFLITEAVRGDGGILYNLDWERFMPLYDERAELAPRDVVARSIDDQLKKRCEKYVLLDISHKPREKILSHFPNIAAECLQYGLDITRQPIPVVPAAHYMCGGVRAGLQGETNVQGLYVAGEVACTGLHGANRLASNSLLEALVFARRAVQPSIDHMKSSSLDLSASNWWARPVVPNSPGSNVMDNVSRKTREVRRELQSIMWKYVGIVRSTTRLETAEGEISELEAQWEKYLFEEGWEQTMVGLEACEMRNLFCCAKLVVSSALARHESRGLHYTIDFPHVEESKRLPTVILPSLVNNNTWSSRQLHKQVIF >Potri.001G212400.1.v4.1 pep chromosome:Pop_tri_v4:1:21844415:21847400:-1 gene:Potri.001G212400.v4.1 transcript:Potri.001G212400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212400.v4.1 MEMLVPPWLESLLSTAFFTVCPRHRDAPRSECNMFCLDCNTEAFCFYCRSTRHKDHSVIQIRRSSYHDVVRVAEIQKVLDITGVQTYVINSARVLFLNERPQPKSSTSKGVPHLCEICGRSLLDPFRFCSLGCKLVRIKNNGDATFNLSTKDEEVGEMREGMGRRLPSKEEEELREGSQQDMYTSTLTPPHSNSRRRKGIPHRAPFGS >Potri.005G085000.1.v4.1 pep chromosome:Pop_tri_v4:5:5865264:5866139:1 gene:Potri.005G085000.v4.1 transcript:Potri.005G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085000.v4.1 MDTCERITISFVLLFIILSSQIPSVAGDGDHVSREMQEEPPYLTRIVTDQISLLKRSCGNSWDKVKIIIRDLQIQFYPPNLDFRSADQEESTRSENGGGEMMKKVVEKCIRTSKRTAEISAESAAEVMLQAMHKAAEKVKNRQSNSDEQESSHDEL >Potri.005G061500.1.v4.1 pep chromosome:Pop_tri_v4:5:3885142:3888624:1 gene:Potri.005G061500.v4.1 transcript:Potri.005G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061500.v4.1 MTTLTTKLTSTTHYPRKPRNFLHIFMASLLSFSLLSLFLFLSLSSSATLKINHQPPTKQEQKQISKPPSFATTTPPGILQACKATRLQDTCVSSLSNANVPQNPTPLQIIQSAISVSDTNLKTAQSMVKSILESSAGNIDRTTAAKNCMEVLNNSQYRITRSARDALPRGKIKDARAWMSAALLYQYDCSNALKYANDTSLTNQTMSFLDTLMSFSSNALSMIVSYDAFGNDTKSWGPPKTERDGVWELGSGGDFGSEFRGGIPSNLTPDVTVCKNGNDNGCYKTVQEAVNTAPDNEWGRRYVISIKEGVYDEIVRVPLEKKNVVFLGDGMGKTVITGSLTAGQPGISTYNTATVGVLGDGFMARGLTIQNTAGAPTHQAVAFRSDGDLSIIENCEFLGSQDTLYAHSLRQFYKSCRIEGNVDFIFGNSAAIFQDCQILVRPRQENPEKGETNAVTAHGRTDPAQSTGFVFQNCLINGTEEYMALYRSNPSVHKNFLGRPWKEYSRTVFVHCNLEALVTPQGWLPWSGGFALETLYYGEFENSGPGSNSSQRVTWSSQIPAQHVDAYSVQNFIQGDEWIPTSS >Potri.009G169600.2.v4.1 pep chromosome:Pop_tri_v4:9:12884035:12885299:-1 gene:Potri.009G169600.v4.1 transcript:Potri.009G169600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169600.v4.1 MTTTTQTISSFHFLKNPWTPTTTQTILRPMNRTIRAAASVRSLNRKPLQKGRNLSIEAIQTIQALKLAYNNDKSLLDQVFRSKFSRLLKFDMTAVLRELLRQNHCLLALKVFEDIRVEHWYKPKVLLYNDMIQVMASNGFIEEVQLLFRYLESEITGDLQFKTDEFNQLLATLISFKLGGLVMECYEWMKSVVVGCEPDRSTFKLLVKGLESIGEFELSVVVRQDAYKFYGESLDFEAEEEGIAS >Potri.001G182900.1.v4.1 pep chromosome:Pop_tri_v4:1:16152197:16154805:-1 gene:Potri.001G182900.v4.1 transcript:Potri.001G182900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182900.v4.1 MATRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVHFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVHDVNVMKSFENLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCAAKGNIPYFETSAKEGFNVDAAFQCIAKNALKNEPEEEIYLPDTIDVAGGGRQQTSTGCEC >Potri.018G053900.2.v4.1 pep chromosome:Pop_tri_v4:18:5354018:5358258:1 gene:Potri.018G053900.v4.1 transcript:Potri.018G053900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053900.v4.1 MSRGQFSAMDLKGAYDVEHMSSTSRIQHELWPLDGIDPKKAKFPCCLIWTPLPVVSWLAPFIGHVGICREDGTILDFSGSNFVNVDDFSFGAAARYFQLDREQCCFPPNLTGHTCKHGYKHSEYGTAITWDDALQSSMRHFDHKTYNLFTCNCHSFVANCLNRLCYGGSMDWNMIDVAVLILFKGHWIDWKSIVRSFLPFAVALCIGVCLVGWPFLVGLFSFSLLLMGWFLLGTYCFKSLLEC >Potri.018G053900.3.v4.1 pep chromosome:Pop_tri_v4:18:5356865:5358000:1 gene:Potri.018G053900.v4.1 transcript:Potri.018G053900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053900.v4.1 MSRGQFSAMDLKGAYDVEHMSSTSRIQHELWPLDGIDPKKAKFPCCLIWTPLPVVSWLAPFIGHVGICREDGTILDFSGSNFVNVDDFSFGAAARYFQLDREQCCFPPNLTGHTCKHGYKHSEYGTAITWDDALQSSMRHFDHKTYNLFTCNCHSFVANCLNRLCYGGSMDWNMIDVAVLILFKGHWIDWKSIVRSFLPFAVALCIGVCLVGWPFLVGLFSFSLLLMGWFLLGTYCFKSLLEC >Potri.008G155900.1.v4.1 pep chromosome:Pop_tri_v4:8:10655099:10658798:-1 gene:Potri.008G155900.v4.1 transcript:Potri.008G155900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155900.v4.1 MEMGIVETSSRLMTDFLQKCGGYAVVDGGFATELERHGADLNDPLWSAKCLISSPHLVRKVHLDYLHAGANIITTASYQATIQGFVAKGLSEEEAELLLRRSVEIACEAREIYYDKCTTKGSLDYIESGNISRRPVLVAASIGSYGAYLADGSEYSGKYGDAVSLRTLKDFHRRRLQILAKSGADLIAFETIPNKLEAKAYAELLEEEEINIPAWFSFNSKDGINVVSGDSILECASIADSCKRVVAVGINCTPPRFIHGLVLSIQKATSKPIVIYPNSGETYNAELKQWVKSSGVVVDEDFVSYIGKWREAGASLFGGCCRTTPNTIRAISRVLSKYP >Potri.005G026700.1.v4.1 pep chromosome:Pop_tri_v4:5:1690521:1691768:-1 gene:Potri.005G026700.v4.1 transcript:Potri.005G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026700.v4.1 MECRSLEITVISAKDLKDANLFGKMDVYCVVSLKGDDYNSKQKQKTHVHKDSGPNPVWNFPLKFTIDDVAAQQNRLKLKFMLKAERMLGDKDVGVVFVPVNELLGAKDGKGSLSYSVTAPRGRMKGTLNFLFKFGEKFNVTAPAMAKKMDGNVSAYPAMGYHAAAGGKEMNKPVTAYPVMGYQGAAGSSYAYPAPPPQAGGDKHQTPYPYPYNQPPPPQHGYGGYPPAPGQGYPGYPPQPMYGGGYQPGIQQRPKKSGRGKMGLGLGAGLLGGLLVGDMISDVGDMGGYDGGFDGGFDGGFDF >Potri.010G170801.1.v4.1 pep chromosome:Pop_tri_v4:10:17245417:17248331:-1 gene:Potri.010G170801.v4.1 transcript:Potri.010G170801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170801.v4.1 MQVTVSKSPAILERLHKKFAFHLGGERPSEEWDSFWIRAAFKLFPCEDKPLLIRWDPFLVPDLGLDIIRAFDPQHYKNRQNE >Potri.010G170801.2.v4.1 pep chromosome:Pop_tri_v4:10:17245417:17248331:-1 gene:Potri.010G170801.v4.1 transcript:Potri.010G170801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170801.v4.1 MQVTVSKSPAILERLHKKFAFHLGGERPSEEWDSFWIRAAFKLFPCEDKPLLIRWDPFLVPDLGLDIIRAFDPQHYKNRQNE >Potri.010G180500.1.v4.1 pep chromosome:Pop_tri_v4:10:17935556:17945243:1 gene:Potri.010G180500.v4.1 transcript:Potri.010G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180500.v4.1 MIECSECHSKISANNNNSKAVARAYDRHRSDVSSKARVLNLLLVGGDCIFVGLQPILVYISKHNGNFDYSPISVNFLTETAKVFFAIFMLLIQARHKKVGEKSLLSFSTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKVIMKRRFSIIQWEALALLLIGISLNQLQSLPAGSTAMGLSVATGAYLYTLIFVTVPSFASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILVTALFKGPSSLDILHGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPQNGSLETVDGQNNQRSKDSSFINMAAGANDDASHRVEHDEKAPLLPI >Potri.010G180500.4.v4.1 pep chromosome:Pop_tri_v4:10:17935587:17944278:1 gene:Potri.010G180500.v4.1 transcript:Potri.010G180500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180500.v4.1 MIECSECHSKISANNNNSKAVARAYDRHRSDVSSKARVLNLLLVGGDCIFVGLQPILVYISKHNGNFDYSPISVNFLTETAKVFFAIFMLLIQARHKKVGEKSLLSFSTFVQAARNNVLLAVPAFLYAINNYLKFTMQLYFNPATVKMLSNLKVLVIAVLLKVIMKRRFSIIQWEALALLLIGISLNQLQSLPAGSTAMGLSVATGAYLYTLIFVTVPSFASVYNEYALKSQFETSIYLQNLFLYGYGAIFNFLAILVTALFKGPSSLDILHGHSKATMLLICNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAVLFGHTLTMNFILGISIVFISMHQFFSPLSKVKDEPQNGSLETVDGQNNQRSKDSSFINMAAGANDDASHRVEHDEKAPLLPI >Potri.001G314100.2.v4.1 pep chromosome:Pop_tri_v4:1:32497670:32499950:1 gene:Potri.001G314100.v4.1 transcript:Potri.001G314100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314100.v4.1 MKKGIHPQMQWISYVTQDGRLMHAMMTKIHHVGNVYHFRAKRQMAESLGQIAKFKRRYGQENAEDAEK >Potri.001G314100.1.v4.1 pep chromosome:Pop_tri_v4:1:32499358:32499564:1 gene:Potri.001G314100.v4.1 transcript:Potri.001G314100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314100.v4.1 MKKGIHPQMQWISYVTQDGRLMHAMMTKIHHVGNVYHFRAKRQMAESLGQIAKFKRRYGQENAEDAEK >Potri.018G057600.10.v4.1 pep chromosome:Pop_tri_v4:18:5732134:5734120:-1 gene:Potri.018G057600.v4.1 transcript:Potri.018G057600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057600.v4.1 MSWQVYVDDHLMCDIEGNTLTSAAIIGHDGSVWALSASFPQFTQEEVSAIMKDFEEPGSLAPTGLFLGGTKYMVIQGEPGAVIRGKKGSGGVTVKKTNQALIIGVYDEPLTPGQCNMIVERLGDYLIDQGL >Potri.009G111200.3.v4.1 pep chromosome:Pop_tri_v4:9:9514623:9520197:-1 gene:Potri.009G111200.v4.1 transcript:Potri.009G111200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111200.v4.1 MEGEEDYGFSEEEDYYYADDGDSHDGLADNDDSALQWAPPKGSTTKVITKESLLAAQREDLRRVMDLLSLKEHHARTLLIHYRWDVEKLLAVLVEKGKSCLFTEAGVTVVENVDDEVPPSSSSTITCDICIEDATADNATRMECGHCFCNNCWTEHFIIKINEGQSRRIQCMAHRCSAICDEAIVRNLVSRRHPNLAEKFDRFLLESYIEDNKMVKWCPSIPHCGKAIRVEEDEFCEVECSCGLQFCFSCLSEAHSPCSCLMWERWSKKCHDESETVNWITVHTKPCPKCYKPVEKNGGCNLVSCICGQSFCWVCGGATGRDHTWSRIAGHSCGRYKEDREKQTERAKRDLYRYMHYHNRFKAHTDSFKLESKLKESILDKVSVAEERELRLKDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEFFKDEMTDEEREIKKNLFEDQQQQLESNVEKLSMFLEEPFQQFSQDKVMDIRMRVINLSVTIDTLCQKMYECIESDLLGSLHLGTHHIAPYKSKGIERASELSSCWNTKLGSTDEYLPSGGTSEHDRPSGSGSSDDSGCSYRKRAKKEGGGFFDLNLPAEIVDRN >Potri.009G111200.19.v4.1 pep chromosome:Pop_tri_v4:9:9515026:9520137:-1 gene:Potri.009G111200.v4.1 transcript:Potri.009G111200.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111200.v4.1 MEGEEDYGFSEEEDYYYADDGDSHDGLADNDDSALQWAPPKGSTTKVITKESLLAAQREDLRRVMDLLSLKEHHARTLLIHYRWDVEKLLAVLVEKGKSCLFTEAGVTVVENVDDEVPPSSSSTITCDICIEDATADNATRMECGHCFCNNCWTEHFIIKINEGQSRRIQCMAHRCSAICDEAIVRNLVSRRHPNLAEKFDRFLLESYIEDNKMVKWCPSIPHCGKAIRVEEDEFCEVECSCGLQFCFSCLSEAHSPCSCLMWERWSKKCHDESETVNWITVHTKPCPKCYKPVEKNGGCNLVSCICGQSFCWVCGGATGRDHTWSRIAGHSCGRYKEDREKQTERAKRDLYRYMHYHNRFKAHTDSFKLESKLKESILDKVSVAEERELRLKDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEFFKDEMTDEEREIKKNLFEDQQQQLESNVEKLSMFLEEPFQQFSQDKVMDIRMRVINLSVTIDTLCQKMYECIESDLLGSLHLGTHHIAPYKSKGIERASELSSCWNTKLGSTDEYLPSGNLSLNLF >Potri.009G111200.17.v4.1 pep chromosome:Pop_tri_v4:9:9515354:9520171:-1 gene:Potri.009G111200.v4.1 transcript:Potri.009G111200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111200.v4.1 MEGEEDYGFSEEEDYYYADDGDSHDGLADNDDSALQWAPPKGSTTKVITKESLLAAQREDLRRVMDLLSLKEHHARTLLIHYRWDVEKLLAVLVEKGKSCLFTEAGVTVVENVDDEVPPSSSSTITCDICIEDATADNATRMECGHCFCNNCWTEHFIIKINEGQSRRIQCMAHRCSAICDEAIVRNLVSRRHPNLAEKFDRFLLESYIEDNKMVKWCPSIPHCGKAIRVEEDEFCEVECSCGLQFCFSCLSEAHSPCSCLMWERWSKKCHDESETVNWITVHTKPCPKCYKPVEKNGGCNLVSCICGQSFCWVCGGATGRDHTWSRIAGHSCGRYKEDREKQTERAKRDLYRYMHYHNRFKAHTDSFKLESKLKESILDKVSVAEERELRLKDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEFFKDEMTDEEREIKKNLFEDQQQQLESNVEKLSMFLEEPFQQFSQDKVMDIRMRVINLSVTIDTLCQKMYECIESDLLGSLHLGTHHIAPYKSKGIERASELSSCWNTKLGSTDEYLPSDFQLQGLSVWRMERLFIPLKRKALFHSK >Potri.009G111200.18.v4.1 pep chromosome:Pop_tri_v4:9:9515346:9520169:-1 gene:Potri.009G111200.v4.1 transcript:Potri.009G111200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111200.v4.1 MEGEEDYGFSEEEDYYYADDGDSHDGLADNDDSALQWAPPKGSTTKVITKESLLAAQREDLRRVMDLLSLKEHHARTLLIHYRWDVEKLLAVLVEKGKSCLFTEAGVTVVENVDDEVPPSSSSTITCDICIEDATADNATRMECGHCFCNNCWTEHFIIKINEGQSRRIQCMAHRCSAICDEAIVRNLVSRRHPNLAEKFDRFLLESYIEDNKMVKWCPSIPHCGKAIRVEEDEFCEVECSCGLQFCFSCLSEAHSPCSCLMWERWSKKCHDESETVNWITVHTKPCPKCYKPVEKNGGCNLVSCICGQSFCWVCGGATGRDHTWSRIAGHSCGRYKEDREKQTERAKRDLYRYMHYHNRFKAHTDSFKLESKLKESILDKVSVAEERELRLKDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEFFKDEMTDEEREIKKNLFEDQQQQLESNVEKLSMFLEEPFQQFSQDKVMDIRMRVINLSVTIDTLCQKMYECIESDLLGSLHLGTHHIAPYKSKGIERASELSSCWNTKLGSTDEYLPSDFQLQGLSVWRMERLFIPLKRKALFHSK >Potri.010G058600.1.v4.1 pep chromosome:Pop_tri_v4:10:8906506:8908098:1 gene:Potri.010G058600.v4.1 transcript:Potri.010G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058600.v4.1 MASKLIWSLCFPQQQNLSFTSVYPLHGFILSVLVSGADHLFSDCLVCCSTMKRIAPQEYPSVIVVFMVDTPHLQPMFYYFFAIHTFRSTSDFCTMVAFMGLWRRKLG >Potri.010G058600.2.v4.1 pep chromosome:Pop_tri_v4:10:8906506:8908046:1 gene:Potri.010G058600.v4.1 transcript:Potri.010G058600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058600.v4.1 MASKLIWSLCFPQQQNLSFTSVYPLHGFILSVLVSGADHLFSDCLVCCSTMKRIAPQEYPSVIVVFMVDTPHLQPMFYYFFAIHTFRSTSDFCTMVAFMGLWRRKLG >Potri.001G448900.1.v4.1 pep chromosome:Pop_tri_v4:1:47526818:47533340:1 gene:Potri.001G448900.v4.1 transcript:Potri.001G448900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448900.v4.1 MKGSRSHRLQTHHQNDPHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCSKYVKGEELFTCDKCKRRKKGGKSSNNDDSDETEVAQLLVELTTKTVSLENGGDGGGGNVCHPRKGLRLWTEIPMEERVHVQGIPGGDPALFSGFSKVFTPELWKCAGYVPKKFSFQYREFPCWDEKERKVENRRSEEENENMVDKGAGVLFSLSKESVFGMPVAELGGMRERDEGGGCERKVYSREMKKWEGEDGEVGGANFAVRRERSALKPVVANPGKRGKEDLGMSIDWSVKKKARTAEKEMEAKKRIFHAFKSAFTSTSDAKPLEFYEDRALKSFKSELQSNKNKNLKDSDIQEQKSDSYIAVENGVEKLKNNLAVVELPLEALSPDISRPDSSTGSGLKEEKSSHEVLVAVESSPKEFNVSCGRMPVKQEGNNILSGNLDDKLEGSTGRDVPAVGDPARASPEVKGNQINGNSDAIPSFAQPSVQVEVDDDNSKGVLNCQSPHGDAKDARISYENISENSKMNDATLGGSSNDHKVQEVDRNMEAVPLCHMDKANELSDDPCQHKRELERSEGSMEMQQCPPEPKNGTEAAEELSKSGETISSTPALLNHRKMVVCVGKSSSTSSTVMNSKMPASGNFRSPDTLNFSSNTKQQVIPDSSTSIKKDRATSEIVKDGERLDLSTKTVKECPKSSMNSASKLLHSSKSSHTSVPKRTNSDSKDSMHYSSPKASLAQNSGDTVGSLQIETASLAQNKATVSGLPLRAEKLNQSNGQSCSKTSHALSTNPSVPINSPAALSDEELALLLHQELNSSPRVPRVPRVRHAGGLPHSASPTATSALMKRASSSGAKDHSSASRRKGKDTSKDGFRRNQEPDEEAKKTDRPSSSDQRRQDTGYKADSVSKRGDNGSPTAVHSVKNNIPPASTSTANSGPSSSTEVNDHHLSSRRNSPRNISDEETGTVRAPVHRTLPGLINEIMSKGRRMTYVELCNAVLPHWHNLRKHNGERYAYSSPSQAVLDCLRNRQEWAQLVDRGPKTNSSRKRRKFDPDESEDNDYGEVRTTKGGESKRLESQREEVPKGKRKARKRRRLALQGRGIKDVRKRQKADMLTDDDSGLFSNSSNETLFSEDESQDNGAGVTGSEATASSDDTETS >Potri.002G258200.3.v4.1 pep chromosome:Pop_tri_v4:2:24642621:24646829:-1 gene:Potri.002G258200.v4.1 transcript:Potri.002G258200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258200.v4.1 MHNPSMAASQNLYVALFHLLLLSLFPLKAKSSARTQAEALLQWKSTLSFSPPTLSSWSRSNLNNLCKWTAVSCSSTSRTVSQINLRSLNITGTLAHFNFTPFTGLTTFDIQNNNVNGTIPSAIGSLSNLTHLDLSVNFFEGSIPVEISQLTELQYLSLYNNNLNGIIPFQLANLPKVRHLDLGANYLENPDWSNFSMPSLEYLSFFLNELTAEFPHFITNCRNLTFLDLSLNKFTGQIPELVYTNLGKLEALNLYNNSFQGPLSSNISKLSNLKNISLQNNLLSGQIPESIGSISGLQIVELFSNSFQGNIPSSIGKLKHLEKLDLRINALNSTIPPELGLCTNLTYLALADNQLRGELPLSLSNLSKIAQMGLSENSLSGEISPTLIFNWTELTSFQVQNNLFSGNIPPEIGKLTMLQYLFLYNNTFSGSIPPEIGNLKELLSLDLSANQLSGPLPPALWNLTNLQILNLFSNNINGKIPPEVGNLTMLQTLDLNTNQLHGELPQTISNITSLTSINLFGNNLSGSIPSDFGKYMPSLANASFSNNSFSGELPPELCRGLSLKQFTVNSNSFNGSLPTCLRNCSELSRVRLEKNRFTGNITDAFGVLPNLGFVALSDNQFIGEISPDWGECKNLTNLQMDGNRISGEIPAELGKLPQLRVLSLGSNDLAGRIPAELGNLSRLFMLNLSNNQLTGEVPQSLTSLESLESLDLSDNKLAGNISKELGSYEKLSSLDLSHNNLAGEIPFELGNLNSLRYLLDLSSNSLSGAIPQNFAKLSQLEILNVSHNHLSGRIPDSLSSMRSLSSFDFSYNELTGPIPTGSIFKNAPARSFVRNSGLCGEGEGLSQCPTTDSSKTSKVNKKVLIGVIVPVCGLLVIATIFAVLLCFRKTKLLDEETKIVNNGESFKSVIWERESKFTFGDIVKATDDFNEKYCIGRGGFGSVYKAVLSTGQVVAVKKLNMSDSSDIPATNRQSFENEIKMLTEVRHRNIIKLYGFCSRRGCLYLVYEHVERGSLGKVLYGKEGEVELGWGRRVNTVRGVAHAIAYLHRDCSPPIVHRDISLNNILLETDFEPRLADFGTARLLNTGSSNWTAVAGSYGYMAPELAQTMRVTDKCDVYSFGVVALEVMMGRHPGDLLSSLSSIKPSLSSDPELFLKDVLDPRLEAPTGQAAEEVVFVVTVALACTQTKPEARPTMHFVARELSARTQAYLAEPLDSITISKLRSFQK >Potri.007G039201.1.v4.1 pep chromosome:Pop_tri_v4:7:3187525:3188472:1 gene:Potri.007G039201.v4.1 transcript:Potri.007G039201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039201.v4.1 MWMELYKLDEEAYAVAKIQELSNMNLVDLVVTRNYLSSCYNHHFAMQHDLLRKLAIHQSDLEPLEQRKRQVLEICANNVPDWWMEQKQPSISSRLLSISTDENFSPSWCSMQAPEVEVMILNCRP >Potri.011G158700.1.v4.1 pep chromosome:Pop_tri_v4:11:18436312:18438265:1 gene:Potri.011G158700.v4.1 transcript:Potri.011G158700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158700.v4.1 MVPSSFCIFSTLVVLLLSSSVTVSLPIKDDFVQCFLQNSEISVPTSSFYTPDNSSSFSAVLQSTAQNLRYLLPSVPKPVFIFTPLYEAHVQASVICCRQLGLHLRVRSGGHDYEGLSYASEIESPFIVVDLAKLRAIQVNIEDNSAWVQAGATIGELYYRIAEKSKTHGFPAGLCSSLGIGGHITGGAYGSMMRKYGLGADNVIDARVIDASGRVLERQSMGEDFFWAIRGGGGASFGIITAWKVKLVPVPSTVTVFTVTKTLEQGGTKLLYRWQQVADKLDEDLFIRVIIQTANGAKKGQRTVTTSYNALFLGDASRLLNVMKTGFPELGLTRKDCVETTWLKSVLYIAGYPANTPPEVLLQGKSTFKNYFKAKSDFVQHPIPEAALEGIWKRYFQEVTPFMIWNPYGGMMSKISESSIPFPHRKGVLFKIQYLTSWQTPAEDASKHINWIRKLYKYMAPYVSMFPRQAYVNYRDLDLGMNKKRNTSFKEASVWGTKYFKNNFNRLVQVKTTVDPDNFFRHEQSIPPLPLSSRKGKGKGHHGIH >Potri.T085501.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:199933:205409:1 gene:Potri.T085501.v4.1 transcript:Potri.T085501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085501.v4.1 MANQLPQISVLGAGIFVKTQYIPRLAEISHLFVLKSIWSRSEESAREAVEVAKEHFPGVECKWGDKGLDEIIQDESILGVAVVLAAQYQVDMSLKLLKAGKHVLQEKPAASSISEIETSLSSYKSICANSPGYPIWAVAENYRFEPALVESKKLLADIGKMMSVQLIIEASMNSANPYFSSSWRRNYTGGFILDMGVHFIAGLRMLVGCEVTSVSAMTSHVDTTLPPPDNISSVFHLENGCSGVFAMVVSSKTPKILWRIVGINGTVEIGRGNQGGQHGYMISFYGAGGHCKSNFYPFSGVTAELKAFLHDISQANLKKGSSYEVEPRLSFIEGARDVAVLDAMLESGNKNGALVQVKKF >Potri.007G133800.3.v4.1 pep chromosome:Pop_tri_v4:7:14666779:14671264:-1 gene:Potri.007G133800.v4.1 transcript:Potri.007G133800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133800.v4.1 MDCFQTICCLKGSLGHQFLLFIVWLSSFQVAVALQTLLEPSHVSSTSELATPPNFGIFGPIEISPAILPRNPYPDESLAPMYPTFPTTYKPNLTGKCPVNFTALSNIIDKTASDCSQPLAALVGNVICCPQLGSLLHIFQGYYSVNSDKLVLQNAVADDCFSDIISILASRGANKTIPTLCSVKSLNLTGGLCPVKDVVNFEKIVNTSKLLEACSTVDPLKECCRPICQSAITEAALEMSRTQLTTNDNEELVSEHNRNDPLSDCKGVVYSYLSRKLSADAANAAFRTVSACKVNKVCPLNFTRPLEVIKACRNVAAPSPSCCSSLNTYMAGIQNQMLITNKQAIICATVLGSKLRQGGVMTNVYELCDIDLKDFSIQAYRQQGCLLRSLPPDVILDNSTGFSFTCDLNDNIAAPWPSSSSISTLSLCAPEMSLPALPTSQIKNPGNRGGELELLVPIFSFFIFSALLY >Potri.017G134701.1.v4.1 pep chromosome:Pop_tri_v4:17:13655604:13658762:-1 gene:Potri.017G134701.v4.1 transcript:Potri.017G134701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134701.v4.1 MGSSRSDILCFLVLCASFLVPRSCHSYSHGALFIFGDSFYDAGNNIYLNTNIPKLNIFPYGETYFKHPTGRASDGRLIPDFISEFAKLPLIPLYLEPGNHHFTDGVNFASGGAGALVETNQGLIMDLKTQLSNFKNMEKQLRQKLGASEVKTLLSTAVYMFSIGTNDYLVPFTSNSTVLQSYSKKEYVKMVIGNITTVIQEIYKIGGRKFGLSKLLPLGCPPISRALEIVRTGGSGCMEEVTVLAKLHNRALPKALKELKSQLKGYTYSIFDAYTAGTAIFNNPSKYGFEEVKMACCGSGPLRASITCGQKVYQLCDNVSEYFFFDGIHPTEKANYQFAKLMWDGSPKIVKPYNLKTLFEK >Potri.017G134701.2.v4.1 pep chromosome:Pop_tri_v4:17:13656482:13658733:-1 gene:Potri.017G134701.v4.1 transcript:Potri.017G134701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134701.v4.1 MGSSRSDILCFLVLCASFLVPRSCHSYSHGALFIFGDSFYDAGNNIYLNTNIPKLNIFPYGETYFKHPTGRASDGRLIPDFISEFAKLPLIPLYLEPGNHHFTDGVNFASGGAGALVETNQGLIMDLKTQLSNFKNMEKQLRQKLGASEVKTLLSTAVYMFSIGTNDYLVPFTSNSTVLQSYSKKEYVKMEIYKIGGRKFGLSKLLPLGCPPISRALEIVRTGGSGCMEEVTVLAKLHNRALPKALKELKSQLKGYTYSIFDAYTAGTAIFNNPSKYGFEEVKMACCGSGPLRASITCGQKVYQLCDNVSEYFFFDGIHPTEKANYQFAKLMWDGSPKIVKPYNLKTLFEK >Potri.011G162400.2.v4.1 pep chromosome:Pop_tri_v4:11:18700223:18702104:-1 gene:Potri.011G162400.v4.1 transcript:Potri.011G162400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162400.v4.1 MPFPWKKDKVARFSRIVADLQSPKRGNSLVVETGFPTSLVDLFVKNRERLKKPTGKKKKKKKRQQQLQEVEEELVISDPIFVSSSIELVDSPGPIQNLENMESFDVCDLVSQLLPVVEDDEDVEDVEDVDDVKVTDKKCWNEKEKRLLFVVLKMFLVVVLGLSTKKLVVGITMSAFVLIFLEYVGKHVLCFLKPCLNVEIVLELFVERVSSAFLMLKGVRKCDDSRKELIIQEIEQEEDVGIDSCDLIETLEMKSSLEETQALEFNFDRIVPVEANRGAESRMDLLVCDWRIMEVEEDKSGVLVCEKERSRKSKIRRKIIKKLVPKKLRAIKKAKKSKGQEPDVGSESSSCWGDDEMGIIEVLEDGDKQGFENKGKALLSKLEEEEEEEEEEEEGIKERRKGKEPDQGNSSSSTGWQAETEVVVVDKKGSSDYLILFFVALAGLVGGRSLSLVLTLASCLLIKLIGRFRCVNEPVNRSRASISS >Potri.017G035800.1.v4.1 pep chromosome:Pop_tri_v4:17:2380122:2387420:1 gene:Potri.017G035800.v4.1 transcript:Potri.017G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G035800.v4.1 MKNGTLECSVCHSKLVSPNSRTYSKAYDRHKIRVSSKQRALNVLLVVGDCVLVGLQPILVYMSKVDGKFNFSPLSVNFLIEAAKVLFAIVMLLLQARHQKVGEKPLLSISTFVQAARNNVLLAVPALLYAINNYLKFIMQLYFNPATVKMLSNLKVLVIAVLLKFIMRRRFSIIQWEALALLLIGISVNQLRSLPEGASAMGLPVATGAYIYTLIFVTVPSMASVFNEYAMKSQYDTSIYLQNVFLYGYGAIFNFLAILGTVVVKGPSSFNILEGHSKATMLLIFNNAAQGILSSFFFKYADTILKKYSSTVATIFTGIASAALFGHTLTINFMLGISIVFISMHQFFSPLAKVKDEQQNGITELRDVPEKLKSKDSFLDLAAAAHVETTRHAGEDERRPLLPT >Potri.005G086400.1.v4.1 pep chromosome:Pop_tri_v4:5:5995987:6001187:1 gene:Potri.005G086400.v4.1 transcript:Potri.005G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086400.v4.1 MATTSQATLNQQPLFEDQEMPTQMGFFSFPPHLTYPQSASCHQSLKGFIIPPSLAADAPSTTNLTETLLLSSVTNKQREDTIASDLGGPHLLSLQRSSANLWAWGEVNECLNSKRSGSGGDHLGVSTIKLKKIKARRKVREPRFCFKTLSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHAHSPSHDLEESQTPSQFNNFFF >Potri.012G105000.1.v4.1 pep chromosome:Pop_tri_v4:12:12703861:12708455:1 gene:Potri.012G105000.v4.1 transcript:Potri.012G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105000.v4.1 MAISSLSFACRPGFPLRPSLFHRIQKVRFSSVAAIETLDVQENVIKGNSRNLGDCKAPEWKKLSSKELGLSNSLISMPTKKVLNGLKKNGYEVYLVGGCVRDLILKRIPKDFDIITSAELKEVVRTFSHCEIVGKWFPICHVHVGDTIVEVSSFSTTGRKFKVDLRNDIICPIDCDEKDYVRWKNCLQRDFTINGLMFDPYKRIVYDYMGGLEDIKKAKVRTVIPAGISFQEDCARILRAVRIAARLGFRFTRETAHFVKNLSRLLLRLDKPRIMMEMNYMLAYGSAEASLRILWKFGLLELLLPIQAAYFVRDGFKRRDKRSNMLLCLFSNLDKLLAPDRPCHSSLWVGILAFHKALADQPRDPMVVAAFCLAVHNGGDILGGVNMARKITKPHDISFHELTKPQDLDSKMLIDEVVDFAASVKQVLNWMTDEYYVSLAMAEYPQAPYSDLVFFPLAVYLRVCRIFECSRDGPEKGFLPKQGRKIDYEMLGLGGLQEVRHTFARVVFDTVYPLN >Potri.002G003000.8.v4.1 pep chromosome:Pop_tri_v4:2:187613:190873:1 gene:Potri.002G003000.v4.1 transcript:Potri.002G003000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003000.v4.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNMYQRPDMITPGVDAQGQPLDPHKIQEHFEEFYEDIFEELNKFGEIESLNVCDNLADHMIGNVYVLFKEEDQAAAALQALQGRFYSGRPIIADFSPVTDFREATCRQFEENNCNRGGYCNFMHVKLIGRDLRRKLFGRYRGYRVSRSRSRSVSPRKRERDYDRRERDYRDRDRDRDRDYRGNGRRNDKYDREGGRKRHGSTVREGSEERRARIEQWNREREEKQ >Potri.009G149700.1.v4.1 pep chromosome:Pop_tri_v4:9:11817959:11823402:-1 gene:Potri.009G149700.v4.1 transcript:Potri.009G149700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149700.v4.1 MAEVSPKVMIPESFQFQVSSSDIAGQLTLIWELLKAPLIVPLLTLGVYICLAMSLMLFMERVYMGIVIILVKLFWKKPDKRYKWEPMQDDLESGNLNFPVVLVQIPMFNEREVYKLSIGAASNLSWPADRLVIQVLDDSTDPAIKQMVELECQRWASKGINIRYQIRENRTGYKAGALKEGLKRSYVKHCEYVCIFDADFQPEPDYLRRAIPFLIHNPEIALVQGRWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGVWRIAAINEAGGWKDRTTVEDMDLAVRASLRGWKFLYLGDLQVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIVRNKKVRFWKKVYVIYSFFFVRKIIAHMVTFSFYCVVLPLTILVPEVKVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKATFIGLLEAGRANEWVVTEKLGNTLQKAAEAKKSNPKAPRKFRFKFTDRLNTLELGFSAFLFLCGCYDFVNGKNCYFVYLWLQTVTFFITGIGYVGTII >Potri.001G254100.2.v4.1 pep chromosome:Pop_tri_v4:1:27027270:27027910:-1 gene:Potri.001G254100.v4.1 transcript:Potri.001G254100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254100.v4.1 MGKSSIAIFLCSLLVLVLLGQNQALKTPISASQTQRQGNHAMYGATQGSLRPQECAPRCTTRCSATAYKKPCLFFCQKCCAKCLCVPPGTYGNKQSCPCYNNWKTKRGGPKCP >Potri.006G206100.1.v4.1 pep chromosome:Pop_tri_v4:6:21436821:21441409:-1 gene:Potri.006G206100.v4.1 transcript:Potri.006G206100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G206100.v4.1 MARPWVLVFLLLFIVLTSQIEWKQQFGNEIEASPNISQKDHQQQHSSKRQDVVKEKIILSQEKNIQKLNELVQSLQEQLQRCRSENYVLNSTATPLTEHLNDLKQQPILED >Potri.001G368700.2.v4.1 pep chromosome:Pop_tri_v4:1:38645259:38650607:1 gene:Potri.001G368700.v4.1 transcript:Potri.001G368700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368700.v4.1 MMPCFISSSTAKTISKIPLQLSRFRPFSHIPFSDYTKQSFTYDHKNSAFTHQQSPAEMEKSIYIMLTLDRWDSLNHMEYRLASLRPVHGRLTLKFLNWVIKQPGLELNHLTHILSISTHILVRARMYEAAKSILRHLSKLGVGSKSVFDALMNTYPLCKSNPSVFDLLIRVYLREGMVIDALETFYLMGSRRFNPSVYTCNMLLSSVVKERRVGSVWSFFMEMLARRICPNVATFNILINVLCVEGKLKEAGYLLRKMEGSGYVPTIVTYNTILNWCCKKGRYKAASDLIDRMESKGIEADVCTYNMLIDDLCKNNRSAKGYLLLKKMRKRMIAPNEFTYNTLINGLMKEGKIGGATRVFNEMLMLNLSPNRVTYNILIDGHCDCGNFEQALRLLDVMEAKGLRPDEVNYGALLSGLSKLAKFDIAKSLMERIRMSGMVVGYRAYTAMIDGLCKHGLLDESLQLLDMMFKDGASPDIITFSVLINGFCKAGKIKNAKEVICKMFKAGLAPNYVIYATLIYNSCKKGDITEAFRNYATMTRTGHDVDYFICNVLISSLCRAGRVAEAEDFMRHMSTIDLAPNSITFDCIINGYGILGDALKAFSMFDEMIKLGHCPSHFTYGSLLKGLCKGGNLREAKKLLYKLHHIPAAVDTNIYNTILTETCKRGKLSDAVALFGEMVQFNVLPDSHTYAIILAGLSRKGKMVPALLFFEKALARGTLSPNKVMYTSLFDGLFKVGQSNAASYIYEEMEHKGINPDTIAINAVLDGYSRMGKMEKVEKLFIKMQSGSLTPSLATYNILLHGYSKKKDLLKCSKFYNIMTRMGISPDKLTCHSIILGLCKSGMLDVGFKMLKKMIMEDTLVDQLTLNMLITNSCETDKMGKAFDLLNIKNLLGIIPDVNTYNAIFTGLNRASALRESHLLLHDMLERGITPTSTQYISLINGMCRMGDIQGAFRLKDEMEAIGVSSWDVAESAMVRGLAQCGKVEEAMLVLDCMLQKRLIPTVATFTTLMHMLCKKAKLSEALKLRGKMALYGVKLDVVAYNVLISGLCADGDALAAFNLYEEMKERGLWPNTTTYCTLIDAISTNEVSLVKSGILLKDLQERGMISWNFNGSTDEGLITAMKNLKSLRHNRRK >Potri.001G368700.3.v4.1 pep chromosome:Pop_tri_v4:1:38645259:38650607:1 gene:Potri.001G368700.v4.1 transcript:Potri.001G368700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368700.v4.1 MEKSIYIMLTLDRWDSLNHMEYRLASLRPVHGRLTLKFLNWVIKQPGLELNHLTHILSISTHILVRARMYEAAKSILRHLSKLGVGSKSVFDALMNTYPLCKSNPSVFDLLIRVYLREGMVIDALETFYLMGSRRFNPSVYTCNMLLSSVVKERRVGSVWSFFMEMLARRICPNVATFNILINVLCVEGKLKEAGYLLRKMEGSGYVPTIVTYNTILNWCCKKGRYKAASDLIDRMESKGIEADVCTYNMLIDDLCKNNRSAKGYLLLKKMRKRMIAPNEFTYNTLINGLMKEGKIGGATRVFNEMLMLNLSPNRVTYNILIDGHCDCGNFEQALRLLDVMEAKGLRPDEVNYGALLSGLSKLAKFDIAKSLMERIRMSGMVVGYRAYTAMIDGLCKHGLLDESLQLLDMMFKDGASPDIITFSVLINGFCKAGKIKNAKEVICKMFKAGLAPNYVIYATLIYNSCKKGDITEAFRNYATMTRTGHDVDYFICNVLISSLCRAGRVAEAEDFMRHMSTIDLAPNSITFDCIINGYGILGDALKAFSMFDEMIKLGHCPSHFTYGSLLKGLCKGGNLREAKKLLYKLHHIPAAVDTNIYNTILTETCKRGKLSDAVALFGEMVQFNVLPDSHTYAIILAGLSRKGKMVPALLFFEKALARGTLSPNKVMYTSLFDGLFKVGQSNAASYIYEEMEHKGINPDTIAINAVLDGYSRMGKMEKVEKLFIKMQSGSLTPSLATYNILLHGYSKKKDLLKCSKFYNIMTRMGISPDKLTCHSIILGLCKSGMLDVGFKMLKKMIMEDTLVDQLTLNMLITNSCETDKMGKAFDLLNIKNLLGIIPDVNTYNAIFTGLNRASALRESHLLLHDMLERGITPTSTQYISLINGMCRMGDIQGAFRLKDEMEAIGVSSWDVAESAMVRGLAQCGKVEEAMLVLDCMLQKRLIPTVATFTTLMHMLCKKAKLSEALKLRGKMALYGVKLDVVAYNVLISGLCADGDALAAFNLYEEMKERGLWPNTTTYCTLIDAISTNEVSLVKSGILLKDLQERGMISWNFNGSTDEGLITAMKNLKSLRHNRRK >Potri.001G368700.1.v4.1 pep chromosome:Pop_tri_v4:1:38645260:38649845:1 gene:Potri.001G368700.v4.1 transcript:Potri.001G368700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368700.v4.1 MMPCFISSSTAKTISKIPLQLSRFRPFSHIPFSDYTKQSFTYDHKNSAFTHQQSPEMEKSIYIMLTLDRWDSLNHMEYRLASLRPVHGRLTLKFLNWVIKQPGLELNHLTHILSISTHILVRARMYEAAKSILRHLSKLGVGSKSVFDALMNTYPLCKSNPSVFDLLIRVYLREGMVIDALETFYLMGSRRFNPSVYTCNMLLSSVVKERRVGSVWSFFMEMLARRICPNVATFNILINVLCVEGKLKEAGYLLRKMEGSGYVPTIVTYNTILNWCCKKGRYKAASDLIDRMESKGIEADVCTYNMLIDDLCKNNRSAKGYLLLKKMRKRMIAPNEFTYNTLINGLMKEGKIGGATRVFNEMLMLNLSPNRVTYNILIDGHCDCGNFEQALRLLDVMEAKGLRPDEVNYGALLSGLSKLAKFDIAKSLMERIRMSGMVVGYRAYTAMIDGLCKHGLLDESLQLLDMMFKDGASPDIITFSVLINGFCKAGKIKNAKEVICKMFKAGLAPNYVIYATLIYNSCKKGDITEAFRNYATMTRTGHDVDYFICNVLISSLCRAGRVAEAEDFMRHMSTIDLAPNSITFDCIINGYGILGDALKAFSMFDEMIKLGHCPSHFTYGSLLKGLCKGGNLREAKKLLYKLHHIPAAVDTNIYNTILTETCKRGKLSDAVALFGEMVQFNVLPDSHTYAIILAGLSRKGKMVPALLFFEKALARGTLSPNKVMYTSLFDGLFKVGQSNAASYIYEEMEHKGINPDTIAINAVLDGYSRMGKMEKVEKLFIKMQSGSLTPSLATYNILLHGYSKKKDLLKCSKFYNIMTRMGISPDKLTCHSIILGLCKSGMLDVGFKMLKKMIMEDTLVDQLTLNMLITNSCETDKMGKAFDLLNIKNLLGIIPDVNTYNAIFTGLNRASALRESHLLLHDMLERGITPTSTQYISLINGMCRMGDIQGAFRLKDEMEAIGVSSWDVAESAMVRGLAQCGKVEEAMLVLDCMLQKRLIPTVATFTTLMHMLCKKAKLSEALKLRGKMALYGVKLDVVAYNVLISGLCADGDALAAFNLYEEMKERGLWPNTTTYCTLIDAISTNEVSLVKSGILLKDLQERGMISWNFNGSTDEGLITAMKNLKSLRHNRRK >Potri.001G352400.1.v4.1 pep chromosome:Pop_tri_v4:1:36641007:36642396:-1 gene:Potri.001G352400.v4.1 transcript:Potri.001G352400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352400.v4.1 MSNEHRDFYYHTPFQEDHLDVKPPSILGSSTYNRSPGQGLDPSSYMSLTECLHGSVDYNSLAKAFGLSPSSSEVFSSIEESSRPVEARDLDGGNSTDQVPATPNSSVSFSSSEAGGDEDSGKTKKETQPEKPEDGGENSDKKDKAKKKAEKRQKEPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCTVKKRVERSFQDPSIVITTYEGQHNHPIPTTLRGSASAMFSHSMLAPAPMASGPSFPHHQGYNFVQIPDAMNNQNMGAYPQNVNQHVHQQYQVPDYGLLQDIVPSIFLRQEP >Potri.007G093850.1.v4.1 pep chromosome:Pop_tri_v4:7:11934109:11935451:1 gene:Potri.007G093850.v4.1 transcript:Potri.007G093850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093850.v4.1 MNISRMNKIIRALSREEKPAERARVVLHETAYIDKEEKDEGIHKCHRRIVLMRFKLKGERVLGFKDGRNSVFAAPTSPLTSYLNGQMGNVLFIIMLLSLRVSFMIKLKHFDNHLPRTVENIW >Potri.008G084400.5.v4.1 pep chromosome:Pop_tri_v4:8:5272972:5277593:-1 gene:Potri.008G084400.v4.1 transcript:Potri.008G084400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084400.v4.1 MATKKSVSSLKEADLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMSHGARVILSSHLGRPKGVTPKYSLKPLVPRLSELLGVDVKMANDCIGVEVEKIVAEIPEGGVLLLENVRFYKEEEKNDPEFAKKLASLAEIYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLEKVDLLFLGGGMIYTFYKAQGHSVGSSLVEEDKLDLATSLIEKAKVKGVKLLLPADVLVADKFAPDANSKVVPASEIPDGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAIARKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Potri.008G084400.1.v4.1 pep chromosome:Pop_tri_v4:8:5273558:5277045:-1 gene:Potri.008G084400.v4.1 transcript:Potri.008G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084400.v4.1 MATKKSVSSLKEADLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMSHGARVILSSHLGRPKGVTPKYSLKPLVPRLSELLGVDVKMANDCIGVEVEKIVAEIPEGGVLLLENVRFYKEEEKNDPEFAKKLASLAEIYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLEKVDLLFLGGGMIYTFYKAQGHSVGSSLVEEDKLDLATSLIEKAKVKGVKLLLPADVLVADKFAPDANSKVVPASEIPDGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAIARKLAELSGKGVTTIIGGGDSVAAVEKAGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Potri.001G449800.1.v4.1 pep chromosome:Pop_tri_v4:1:47590333:47590988:1 gene:Potri.001G449800.v4.1 transcript:Potri.001G449800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449800.v4.1 MNSMFSSFDALCAELLGQKVRSSLALTTMDCNTKRVLTAGDNSMVAEDKLIKNREGSSSRSLARKQEKKVPRFAPELDGLNCFETIVNY >Potri.019G129780.1.v4.1 pep chromosome:Pop_tri_v4:19:15253340:15254106:-1 gene:Potri.019G129780.v4.1 transcript:Potri.019G129780.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129780.v4.1 MRKGTTSVGAAAAGRESESLREGRREACVQRLRKKKIQKGGALCCWLENPGNCVEAGLGQERGKSKSVWGLLLFGSGG >Potri.019G044200.1.v4.1 pep chromosome:Pop_tri_v4:19:6139130:6145390:1 gene:Potri.019G044200.v4.1 transcript:Potri.019G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044200.v4.1 MSCLLPQFKCQPDSFSIQFRNLQHHSTNHIQLSKNGEPFCFRAQCALSSASPSTSTAVLNLEKLRLPSLESHSDSIAANRPWTYIGGIGPPKEPLFGTSLATETLIINDEAVIAAAAAEAVALARAAVKVAKDAAEMAKNYCSVNTETKIPIASTADSFSSMWSLLTGKERADIIGVSFSAETGLREECSTQYPTEESDCFGPTHEELALLEKQLSESIVVRSKRQTQRKAKRARAAEKAAANFVFMKSGSACKKKRVPVQEVDQSDPLRFFRGASTSSRLLSATEEVELSEGIQDLLKLERIEEELKERFGGKPTFAQWAAAARVDQITLRKRLNYAILCKDKMIKSNIRLVISIAKNYQGAGMNLQDLVQEGCRGLVRGVEKFDASKGFKFSTYAHWWIRQAVRRSLSDQSRTIRLPFHMVDATYRVKEARKQFYSENGRHPDDKEVAEATGLSMKRLSAVLLTPKAPRSLDQKMGFNMDLKLSEVTADPEAETAEDLLMKEFMKKDLERVLESLSPRENQVIRWRFGMEDGRMKTLQEIGELMGVSRERIRQIELSAFRKLKNKNRTKQLRQYLVS >Potri.004G028532.1.v4.1 pep chromosome:Pop_tri_v4:4:2190551:2193004:1 gene:Potri.004G028532.v4.1 transcript:Potri.004G028532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028532.v4.1 MNPKISDFGMARMFMEDQVQGKTTRVVGTYGYMSPEYAIHGQYSIKSDVFSYGVLTLEIISGRKNSDYGEKEPWLNLIGHVWDLWREEKALDIVDPMLEQSCPPHEVLRCVQIGLLCVQEFPDDRPTMLEVVFMLGNEIALPSPKKPAFVLRTRSGQDLPAMSRRAACSVNEVTVTMVEAR >Potri.018G053680.1.v4.1 pep chromosome:Pop_tri_v4:18:5274235:5276681:1 gene:Potri.018G053680.v4.1 transcript:Potri.018G053680.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053680.v4.1 MAAMYAVYHGPGGLKTIAQRVHGLAGAFAVGLKKLGTVEVQGLPFFDTVKVKCVDVTAIVDAAYKSEINLRVIDANTITVSFDETTTLEDVDKLFKVFASGKPVPFTAASLAPEVQNVIPSGLTRESPYLAHPIFNLYHTEHELLRYLHRLKSKDLSLCHSMIPLGSFTMKLNATSEMMPVIFPNFTDIHPFASSEHSQGYQVDSCTCYLKRNLRDMLC >Potri.018G053680.2.v4.1 pep chromosome:Pop_tri_v4:18:5274235:5276681:1 gene:Potri.018G053680.v4.1 transcript:Potri.018G053680.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053680.v4.1 MAAMYAVYHGPGGLKTIAQRVHGLAGAFAVGLKKLGTVEVQGLPFFDTVKVKCVDVTAIVDAAYKSEINLRVIDANTITVSFDETTTLEDVDKLFKVFASGKPVPFTAASLAPEVQNVIPSGLTRESPYLAHPIFNLYHTEHELLRYLHRLKSKDLSLCHSGIPAPEQSQPLGTISAAPWGSALILPVSYTYIAMMGSKGLTDASKIAILNANYMVKRLENYYPILFRGVNGTVAHEFIVDLRGFKNTAGIGPEDVA >Potri.017G138300.3.v4.1 pep chromosome:Pop_tri_v4:17:13941893:13946604:-1 gene:Potri.017G138300.v4.1 transcript:Potri.017G138300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138300.v4.1 MEDWEDVQVRHLLSKEQPKNKWDDEDVDEDDVKESWEDEDEPAPAPVVKPPPENAPNKSAAKSTEKKGKTVQVLKEEKLDPLAEKLRQQRLVEEADFRSTTELFAKKGDEKSLDSFIPKSESDFLEYAELISHKLRSFEKSYHYIGLLKAIMKLSMTAMKAADAKEVSASVSAIANEKIKAEKEANVSKKKQAGKKKQLHVDKPEDDLVVNPYDALDDVDFM >Potri.002G059100.1.v4.1 pep chromosome:Pop_tri_v4:2:4063963:4067068:-1 gene:Potri.002G059100.v4.1 transcript:Potri.002G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059100.v4.1 MSNEKEDPYNYDPFYYNIQHRTNRPVSVFPFFNDNNLSVYNQQSPTQSLQGFNDPPYMSFTDCLHGSVDHYNTLSRALNVSCSSSEVISPIEDGSKKTGAGELSAATNENLPSTPNSSISNSSSNDGATEEDSGKIKKDKQPKESEDGDGDAKKVSKTKKKEKRQKEPRFAFLTKSEIDNLEDGYRWRKYGQKAVKNSPYPRSYYRCTSQKCTVKKRVERSFQDPSLVITTYEGQHNHHCPATLRGNATGMLPPSLLASTSIGQSFPQDLLTRLLPASNQQGDQTSMFYHSLAPQNQQLQQHQLYSPDYGLLQDLVPSFIHKQQP >Potri.018G129200.1.v4.1 pep chromosome:Pop_tri_v4:18:13984363:13987591:-1 gene:Potri.018G129200.v4.1 transcript:Potri.018G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129200.v4.1 MARGLDMAFLAAIAVAALIHGSAAQSTHTVGDTTGWAIPPTGSAFYSTWAASQNFSVDDILVFNFAANTHDVAKVTKADYDACTTTSPISLFATPQVRITINASGEHYFLCNFTGHCSGGQKLMINVSAASSSPSPSPAPQTSSPTPQPSTPAPQPSTPAPQPSTPTPQSSPAPQPSTPTPASSPTPASSPSPPTPASSPSPPPTTPPSSSPPSPPTTTPPTSPPPPNSATSLGLAGFTTFLSIFVALCY >Potri.009G160300.2.v4.1 pep chromosome:Pop_tri_v4:9:12407066:12409118:1 gene:Potri.009G160300.v4.1 transcript:Potri.009G160300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160300.v4.1 MATLTGITRSSLKVFSQPQLYPQPLLFFSKGFSAKVFVKGLSFSSTEKKIAEAFSEFGEVIEAKIVMDKARKRPKGYGFVTFAKKDAAEKACEGMNGKLLDGRAIYVRF >Potri.014G151400.2.v4.1 pep chromosome:Pop_tri_v4:14:10530726:10540323:-1 gene:Potri.014G151400.v4.1 transcript:Potri.014G151400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151400.v4.1 MLKIRNEQKGLVWSPLIKGYDKILVLEEMMRKIFSGLFVHRYRDIDPNIRTSCIESLGVWVLSYPSLFLQDLYLKYLGWTLNDKNAGVRKASVHALQKLYDADDNVPTLGLFTERFSNRMIELADDSDVSVAVCAIGLVKQLLRHQLLPDDDLGPLYDLHIDDPAEVRRAIGELVYDHLIAQKFNSPQSSSRGSDSGSSEVHLSRMLQILREFSAEPILSIYVIDDVWEYMKAMKDWKCIISMLLDENPLIELTDDDATNLVRLLSASVRKAVGERIVPASDTRKQYYNKAQKEIFENNRRHITIAMMKNYPLLLRKFMADKAKVPSLVEIIVHMNLGKAKVPSLVEIIVHMNLGLYSLKRQENNFKNVLQLMKQAFLKHGDKEALRSCVKAIKFCSTESQGELKDYALNKLKNLEDELNDKLKSAMKEAADGDEYSLLVNLKRLYELQLSWSVPIESLYEDIVKVLHSFRNVDDEVVSFLLLNMYLHVAWTLQSIVNSETVSEASLTSLLSKRNSLFEELEYFLGTPSEDKEGSKCGNQLACRVCIILAEAWCLFRKANFSSTKLEHLGYCPDTSVLQRFWKLCEQQLNISDETEDDDTKKEYIEETNRDAVMIASAKLVVSNAVPKEYLTPEIISHFGMHGTSVAEIVKHLITVIKKNDDFPNIFIEALKRAYDRHLVDLSKSDDKSFTSKSFLECKDLATRLSGTFMGAARNKHKSDILKIVRDGIEYAFLDAPKQLSFLEGTVVHFVPKLPVIDTLEILKDVQSRTENVNTDEDPSGWRPYHTFVDSLREKYVKNEGLPDEKERRRSGRPRKRRNIEGKRLFDEESSSEEEDSISGSDREDAHDEEEKQEEEEEEEAPLIHSIRSSSKLRSLKLSRDENKGQRKGVSASRTSGCLQKGK >Potri.013G006900.3.v4.1 pep chromosome:Pop_tri_v4:13:452395:456586:1 gene:Potri.013G006900.v4.1 transcript:Potri.013G006900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006900.v4.1 MAPKSKSNQDPNFKPGSKVEIMSDEAGFRGSFYIGTVVKATRTPEFTVRYEKLFEDEEGTKPLQETVNEFQIRPIAPREKKREFKFSEEVDAFHNDGWWEGVITEVNENGKFAVFFRSTKEQIEFVEEDLRLHREWVNGEWKPPLDGEEEKGEIEEVKEKGNKDSRKKKKLSEEDENEVTKEVKRRVSEKVPNTTPVKPIESLKDVKFSKGMLVEVSSDEDGFKGAWFAASIVEPVGKDKYLVEYKSLRTEDDSGFLREEVNTMHIRPPPPQTVVVDHFKKLEEVDALYNDVWWVGVVSKVNTFPKYVVYFKDNGEELEFKHSDLRPHQDWINGKWVAPSHALKL >Potri.013G006900.4.v4.1 pep chromosome:Pop_tri_v4:13:452330:456708:1 gene:Potri.013G006900.v4.1 transcript:Potri.013G006900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006900.v4.1 MAPKSKSNQDPNFKPGSKVEIMSDEAGFRGSFYIGTVVKATRTPEFTVRYEKLFEDEEGTKPLQETVNEFQIRPIAPREKKREFKFSEEVDAFHNDGWWEGVITEVNENGKFAVFFRSTKEQIEFVEEDLRLHREWVNGEWKPPLDGEEEKGEIEEVKEKGNKQDSRKKKKLSEEDENEVTKEVKRRVSEKVPNTTPVKPIESLKDVKFSKGMLVEVSSDEDGFKGAWFAASIVEPVGKDKYLVEYKSLRTEDDSGFLREEVNTMHIRPPPPQTVVVDHFKKLEEVDALYNDVWWVGVVSKVNTFPKYVVYFKDNGEELEFKHSDLRPHQDWINGKWVAPSHALKL >Potri.001G416400.1.v4.1 pep chromosome:Pop_tri_v4:1:44478192:44479435:-1 gene:Potri.001G416400.v4.1 transcript:Potri.001G416400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416400.v4.1 MAHLTNLNGVTETLPAIPKLINVQKPQRRMKITGLLGKKPVNLQGNSFQTTRRLALGLASIALIGNPSTGVSLAEDNWWARDIPLPVPSAENKLANEETGTRSFLKKGIYMANVGLQGSARRVKRYAFDLLALEDLIGPDTLNYVRKYLRIKSTFMYYDLDRIISAVPVDDKQPLTDLANRLFDNFEKLEDASRRKNLSDTKSSYRDTKALLQEVMQQNPVDLKSKFTYYPDGLQS >Potri.009G009300.1.v4.1 pep chromosome:Pop_tri_v4:9:1780498:1784727:-1 gene:Potri.009G009300.v4.1 transcript:Potri.009G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009300.v4.1 MATSNALSTASILCSPKQGGLRRKGNQQHNSRLNFGQSTRRFAVRANAKDIAFDQDSRAALQSGIDKLADAVGLTLGPRGRNVVLDEFGSPKVVNDGVTIARAIELPDPMENAGAALIREVASKTNDSAGDGTTTASVLAREIIKLGLLSVTSGANPVSIKKGIDKTVQGLVEELEKRARPVKGRDDIKAVATISAGNDELIGTMIADAIDKVGPDGVLSIESSSSFETTVEVEEGMEIDRGYISPQFVTNPEKLICVFENARVLVTDQKISAIKDIIPLLEKTTQLRSPLLIIAEDVTGEALATLVVNKLRGILNVSAIKAPGFGERRKAMLQDIAILTGAEFQASDLGLSIENTSIEQLGLARKVTISKDSTTIIADAASKDELQARIAQLKKELSETDSVYDSEKLAERIAKLSGGVAVIKVGAATETELEDRKLRIEDAKNATFAAIEEGIVPGGGAALVHLSTHVPAIKEKIKDADERLGADIVQKALVAPASLIAQNAGIEGEVVVEKLKESEWEMGYNAMTDKYENLVEAGVIDPAKVTRCALQNSASVAGMVLTTQAIVVEKPKPRTPAAASPQGLTV >Potri.004G042100.13.v4.1 pep chromosome:Pop_tri_v4:4:3347768:3354966:1 gene:Potri.004G042100.v4.1 transcript:Potri.004G042100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G042100.v4.1 MEVSASNILKCDDEEKALKGLLEAFGAEFSLEQIASAYCKAGRNADFSVQILLDMEGSSPTSSSHSSIGEAMENQKSSESSNDYIPKKKCDANEKFKTVKQKWHPVSGGTVSSVLGKSYITSMPVANSSCVATKPLKLDAQEFPMSELWGEEPKQTQSKHDHMHKDMEDFLFNMLGDGFQLERGVIQQVLDACGYDMEKSMEELLNLSGVISDKSNKYFTDVQSNYGRPSCKKNLQSMSSHGGISNANRGELPGLGKERNNLQNEVLTALFSAAERSEELSRRKTKAERRSIVYGEPVAEPLTDFTLENKADSVYSQQDYDKVSSVEDKDGEDSYQLLRKAWKEYRATMNEYYKAGGDAFAKGDYERANKLMDEGLFFRDKAHEVDEESTQKIFESKNVETQDEMLLDLHEYGTKDAIRSLRSNLLLLSGIPSFKYLKVIIESNKEDVTKRDVTKGARRRLIMKLLEKESIEWTEGDIGTILIQLDNINPKRLSFAKK >Potri.018G064900.6.v4.1 pep chromosome:Pop_tri_v4:18:7756947:7764483:1 gene:Potri.018G064900.v4.1 transcript:Potri.018G064900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064900.v4.1 MLTCIARSKQPGDDSLSQPHAGSATTTNHPSAAKQHQAIKSLTSQLKDMALKASGAYRHCNPCTVPTTTTTTQSRLRSNWTASDAESERFRWPLQRTGSSSSITPRTWGKEMEARLKGISSSSGEGTPNSVNSSGRRVDPPIAFVEEKEPKEWVAQVEPGVLITLVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRIMELYNVQRFNCQAFPLPPPPRSEDESSKMESAEDIPVTPPLNRERLPRNLYRPTGTGMGYSSSDSLDHHPIQARHYCDSTGLTSTPKLSSISGAKTETSSMDASIRSSSSREADCSGELSISNASDMETEWVEQDEQGVYITIRALPGGKREIRRVRFSRERFGETHAKVWWEENRARIHQQYM >Potri.018G064900.9.v4.1 pep chromosome:Pop_tri_v4:18:7756976:7761260:1 gene:Potri.018G064900.v4.1 transcript:Potri.018G064900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064900.v4.1 MLTCIARSKQPGDDSLSQPHAGSATTTNHPSAAKQHQAIKSLTSQLKDMALKASGAYRHCNPCTVPTTTTTTQSRLRSNWTASDAESERFRWPLQRTGSSSSITPRTWGKEMEARLKGISSSSGEGTPNSVNSSGRRVDPPIAFVEEKEPKEWVAQVEPGVLITLVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRIMELYNVQRFNCQAFPLPPPPRSEDESSKMESAEDIPVTPPLNRERLPRNLYRPTGTGMGYSSSDSLDHHPIQARHYCDSTGLTSTPKLSSISGAKTETSSMDASIRSSSSREADCSGELSISNASDMETEWVEQDEQGVYITIRALPGGKREIRRVRFR >Potri.018G064900.8.v4.1 pep chromosome:Pop_tri_v4:18:7756947:7765058:1 gene:Potri.018G064900.v4.1 transcript:Potri.018G064900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064900.v4.1 MLTCIARSKQPGDDSLSQPHAGSATTTNHPSAAKQHQAIKSLTSQLKDMALKASGAYRHCNPCTVPTTTTTTQSRLRSNWTASDAESERFRWPLQRTGSSSSITPRTWGKEMEARLKGISSSSGEGTPNSVNSSGRRVDPPIAFVEEKEPKEWVAQVEPGVLITLVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRIMELYNVQRFNCQAFPLPPPPRSEDESSKMESAEDIPVTPPLNRERLPRNLYRPTGTGMGYSSSDSLDHHPIQARHYCDSTGLTSTPKLSSISGAKTETSSMDASIRSSSSREADCSGELSISNASDMETEWVEQDEQGVYITIRALPGGKREIRRVRFSRERFGETHAKVWWEENRARIHQQYM >Potri.018G064900.4.v4.1 pep chromosome:Pop_tri_v4:18:7756947:7764482:1 gene:Potri.018G064900.v4.1 transcript:Potri.018G064900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064900.v4.1 MLTCIARSKQPGDDSLSQPHAGSATTTNHPSAAKQHQAIKSLTSQLKDMALKASGAYRHCNPCTVPTTTTTTQSRLRSNWTASDAESERFRWPLQRTGSSSSITPRTWGKEMEARLKGISSSSGEGTPNSVNSSGRRVDPPIAFVEEKEPKEWVAQVEPGVLITLVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRIMELYNVQRFNCQAFPLPPPPRSEDESSKMESAEDIPVTPPLNRERLPRNLYRPTGTGMGYSSSDSLDHHPIQARHYCDSTGLTSTPKLSSISGAKTETSSMDASIRSSSSREADCSGELSISNASDMETEWVEQDEQGVYITIRALPGGKREIRRVRFSRERFGETHAKVWWEENRARIHQQYM >Potri.018G064900.5.v4.1 pep chromosome:Pop_tri_v4:18:7756947:7764482:1 gene:Potri.018G064900.v4.1 transcript:Potri.018G064900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064900.v4.1 MLTCIARSKQPGDDSLSQPHAGSATTTNHPSAAKQHQAIKSLTSQLKDMALKASGAYRHCNPCTVPTTTTTTQSRLRSNWTASDAESERFRWPLQRTGSSSSITPRTWGKEMEARLKGISSSSGEGTPNSVNSSGRRVDPPIAFVEEKEPKEWVAQVEPGVLITLVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRIMELYNVQRFNCQAFPLPPPPRSEDESSKMESAEDIPVTPPLNRERLPRNLYRPTGTGMGYSSSDSLDHHPIQARHYCDSTGLTSTPKLSSISGAKTETSSMDASIRSSSSREADCSGELSISNASDMETEWVEQDEQGVYITIRALPGGKREIRRVRFSRERFGETHAKVWWEENRARIHQQYM >Potri.018G064900.7.v4.1 pep chromosome:Pop_tri_v4:18:7756946:7764482:1 gene:Potri.018G064900.v4.1 transcript:Potri.018G064900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064900.v4.1 MLTCIARSKQPGDDSLSQPHAGSATTTNHPSAAKQHQAIKSLTSQLKDMALKASGAYRHCNPCTVPTTTTTTQSRLRSNWTASDAESERFRWPLQRTGSSSSITPRTWGKEMEARLKGISSSSGEGTPNSVNSSGRRVDPPIAFVEEKEPKEWVAQVEPGVLITLVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRIMELYNVQRFNCQAFPLPPPPRSEDESSKMESAEDIPVTPPLNRERLPRNLYRPTGTGMGYSSSDSLDHHPIQARHYCDSTGLTSTPKLSSISGAKTETSSMDASIRSSSSREADCSGELSISNASDMETEWVEQDEQGVYITIRALPGGKREIRRVRFSRERFGETHAKVWWEENRARIHQQYM >Potri.005G231000.4.v4.1 pep chromosome:Pop_tri_v4:5:23028308:23032037:1 gene:Potri.005G231000.v4.1 transcript:Potri.005G231000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231000.v4.1 MDTQNEYQVDLGNLMAFDPFHHFTSIPSAREELVAECLSNGTELVQAIVSGVFNLPSTEDIDGPLVKLPPPTTKLPREKPLPKPKPPTRWEQFAKAKGIRNRKKDKVVWDEQTGTWKRRYGYDRVNDDADVPIIEAKMTDEPGVDPFAKRVAEKKERVQKNQKNQLQNLKTAAKAGALPSHVQLAATALPITGTQAAKKKFTKDELGNVAGLASTATASGGKFDKKLRGEKPVKNQGKHRKFLPVVEGSGIGSQEKEQTDRVLSKLISSNSHEILNVDKAVTMYNVNKERKRRNQYGKPSSTRKEQGKSSSTRNEQGKSSSTPSKLKPNNKPMKAKSFPNKGKGSFSKKGKGSFSKKGKA >Potri.005G231000.2.v4.1 pep chromosome:Pop_tri_v4:5:23028277:23032049:1 gene:Potri.005G231000.v4.1 transcript:Potri.005G231000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231000.v4.1 MDTQNEYQVDLGNLMAFDPFHHFTSIPSAREELVAECLSNGTELVQAIVSGVFNLPSTEDIDGPLVKLPPPTTKLPREKPLPKPKPPTRWEQFAKAKGIRNRKKDKVVWDEQTGTWKRRYGYDRVNDDADVPIIEAKMTDEPGVDPFAKRVAEKKERVQKNQKNQLQNLKTAAKAGALPSHVQLAATALPITGTQAAKKKFTKDELGNVAGLASTATASGGKFDKKLRGEKPVKNQGKHRKFLPVVEGSGIGSQEKEQTDRVLSKLISSNSHEILNVDKAVTMYNVNKERKRRNQYGKPSSTRKEQGKSSSTRNEQGKSSSTPSKLKPNNKPMKAKSFPNKGKGSFSKKGKGSFSKKGKA >Potri.003G001500.1.v4.1 pep chromosome:Pop_tri_v4:3:427737:434018:-1 gene:Potri.003G001500.v4.1 transcript:Potri.003G001500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G001500.v4.1 MEEDHSSREGNGFEIEDDDDKEHSVSMLREPFLVRNIKNNTSQIAIVGANTCPIESLDYEIADNELFRQDWRSRKKVEIYQYVVLKWTLALLIGLGTGLVGFFNNLAVENIAGFKLLLTNNLMLENKYYQAFATYAGCNVVLAIAAAALCAYVAPAAAGSGIPEVKAYLNGVDAPSILAPATLFVKIFGSIFGVAAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWKWLRYFKNDRDRRDLVTCGSAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAVVLRGLIDFCRSGKCGLFGQGGLIMFDVNSRKAFYSTPDLLAVVFLGVIGGVFGSLYNYCVDKVLRTYSLINERGPSFKILLVIVISLLTSCCSYGLPWLSKCIPCPPHLAEKCPTEGRSGNFKNFQCPPNHYNDLASLVFNTNDDAIRNLFTSGSEKEFHLSTLMVFFFAIYCLGIVTYGIAVPSGLFIPVILAGASYGRLIGTMLGPLSNLDAGLCALLGAASFLGGTMRMTVSLCVILLELTNDLLMLPLMMLVLLISKTVADSFNKGIYDQMVRMKGFPYMEAHAEPYMRHLVASDVVSGPLVSFSGIEKVGNLLLALKVTGHNGFPVIDEPPCSDAPELCGLVLRSHLLVLLRGKKFTKQRVKTGSGIMKSFKAHDFAKAGSGKGVKLEDLEITEEEMEMYVDLHPITNTSPYTVVETMSLAKAAVLFRELGLRHLCVVPKTPGRPPIVGILTRHDFTPDHILGLYPHIKPHK >Potri.014G003175.1.v4.1 pep chromosome:Pop_tri_v4:14:227215:229755:1 gene:Potri.014G003175.v4.1 transcript:Potri.014G003175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003175.v4.1 MQQTTKGLAISLELLQLAFQSPSKSNPFSSHGTHPCQSLLQGKHVSSRTWIVMLRQTHRTKSQAKESYSKE >Potri.016G046701.2.v4.1 pep chromosome:Pop_tri_v4:16:2989866:2994088:1 gene:Potri.016G046701.v4.1 transcript:Potri.016G046701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046701.v4.1 MATAATPATNMAMIKPCISSSRKVFMSSAASFSTESKEASWNRLTSSSHISSRQPFFQSIFSAPIKFKKVVTRAMSAANENKPLPGLPVDLRGKRAFIAGVADDNGYGWAIARSLAAAGAEIIVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDSLDDVPEDVKSNKRYAGSSKWTVKELVESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAAISASSYSFVSLLKEFVSIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAEEVGNAAAFLASPLASAITGAVVYVDNGLNAMGVGVDSPIFVDLDIPKDN >Potri.016G046701.1.v4.1 pep chromosome:Pop_tri_v4:16:2988883:2994190:1 gene:Potri.016G046701.v4.1 transcript:Potri.016G046701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046701.v4.1 MATAATPATNMAMIKPCISSSRKVFMSSAASFSTESKEASWNRLTSSSHISSRQPFFQSIFSAPIKFKKVVTRAMSAANENKPLPGLPVDLRGKRAFIAGVADDNGYGWAIARSLAAAGAEIIVGTWVPALNIFESSLRRGKFDESRVLPDGSLMEITKVYPMDAVYDSLDDVPEDVKSNKRYAGSSKWTVKELVESVKQDFGSIDILVHSLANGPEVSKPLLETSRNGYLAAISASSYSFVSLLKEFVSIMNPGGASISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKHKIRVNTISAGPLRSRAAKAIGFIDMMIDYSSANAPLQKELSAEEVGNAAAFLASPLASAITGAVVYVDNGLNAMGVGVDSPIFVDLDIPKDN >Potri.019G003900.1.v4.1 pep chromosome:Pop_tri_v4:19:955098:957162:1 gene:Potri.019G003900.v4.1 transcript:Potri.019G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003900.v4.1 MDIDDFRLILESSGVDVWTFIDTAIVVASLDFGSELKRRRDDIVERLFASSSSCSRCRDRSLNDINMNNTSNGDEIKGLVEKESSHEEEKGTRVAADSPVTPRSVNGDGDDDELDPYGGLFDDEPKKILVIKQQLEDIDQPEDSLVDLLQSLADMDITFQALKETDIGRHVNRLRKHPSNDVRRLVKQLVRKWKEIVDDWVRLNPQGEHASSGLMADGDSPQQKIPQNGHHQVPDFAYSPNPHNGSSGSDRNNSEPERKPKPAPPRNQAPTKPTQKPVPASSPAPYNVQRQREQPKASSFDADQRLASASKRLQANYKEAENAKKQRTIQVMDIHEIPKPKNKNTFFPKNRGAGGSHQGRHW >Potri.009G105900.2.v4.1 pep chromosome:Pop_tri_v4:9:9237943:9240681:-1 gene:Potri.009G105900.v4.1 transcript:Potri.009G105900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105900.v4.1 MSVFGGDSWAREAQYRKRRVDDLVIDGLDGSSHKKLSSGKYACLVCPHNPVLESPLALSMHCKGSRHIAAESKLKEKELMRQSEINKRLALSESDVRPANPSALNKNTKLVSKPLIEKTKKAATEILGKGTALLSSKNQNCDLKLSVGYVNIMNVVTKICENSSCPEVIASEKMLVQQHLDFRERRERELKFTEAGWKRDCHGRWFRDENVEFDSDEEDPNVCLG >Potri.005G244500.1.v4.1 pep chromosome:Pop_tri_v4:5:23993870:23994913:1 gene:Potri.005G244500.v4.1 transcript:Potri.005G244500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244500.v4.1 MRHGVYNTPFTPPPIHSPTPSPTPLNFNPTTTNTASAVTSGHNMLVSVFLALFLPCAGMSAVFIVYICLLWYTANNQPEIRLPVKTVTEKGLSSSELEKLPKVTGKELVLGTECAVCLDDIESEQVARMVPGCNHGFHLECADTWLTKRPVCPVCRAKLDAQLFSTPPPPAQENNTC >Potri.010G042400.1.v4.1 pep chromosome:Pop_tri_v4:10:7417260:7419181:-1 gene:Potri.010G042400.v4.1 transcript:Potri.010G042400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042400.v4.1 MSTLNHLFDPPDQICYVQCGFCTTILLVSVPCSSSSTVVTVICGHCSSLLSVNLTKISFLPFNLLTSLSHDQERKELLSPDEVNAQKGLDTQSSFIAISSDNDEDIDKVNPVNRVINKPPEKRQRAPSAYNCFIKEEIRRLKTENPNMAHKEAFSTAAKNWAHCPHVHYKGDGESIGLEEENSTWSSDAAEVNIESKGFHERKVPRHYMWAKTPFE >Potri.010G042400.3.v4.1 pep chromosome:Pop_tri_v4:10:7417261:7419180:-1 gene:Potri.010G042400.v4.1 transcript:Potri.010G042400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042400.v4.1 MSTLNHLFDPPDQICYVQCGFCTTILLVSVPCSSSSTVVTVICGHCSSLLSVNLTKISFLPFNLLTSLSHDQERKELLSPDEVNAQKGLDTQSSFIAISSDNDEDIDKVNPVNRVINKPPEKRQRAPSAYNCFIKEEIRRLKTENPNMAHKEAFSTAAKNWAHCPHVHYKGDGESIGLEEENSTWSSDAAEFQVNIESKGFHERKVPRHYMWAKTPFE >Potri.006G002000.1.v4.1 pep chromosome:Pop_tri_v4:6:186236:186863:1 gene:Potri.006G002000.v4.1 transcript:Potri.006G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002000.v4.1 MKQVIVFKVQMACGKSRVKARTVVAKACGVNSLALQGDDRIVVSGDGIDAAHLTYCLRKKVGHTDIISIMLMHQ >Potri.001G121000.1.v4.1 pep chromosome:Pop_tri_v4:1:9876388:9880404:-1 gene:Potri.001G121000.v4.1 transcript:Potri.001G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121000.v4.1 MQTSNATSLTSRHVAVIGAGAAGLVAARELRREGHQVVVFEKDSQIGGTWVYTPQVETDPLGLDPTRHIVHTSLYKSLRTNLPRESMGFMDYPFVTRAGEGSDPRRFPGHAEVLKYLQDFAREFGIEEMVRFECEVVSVEMVDNEKLKVKCKRMRPDGGDDDLLDEVFDAVVVCNGHFTYPRIAEIPGINLWPGKQIHSHNYRTPELFKDKVVILIGSSASAIDLSLEIGGIAKEVHIASRSVANDTYEKRAECDNIWLHSMIKSAHKDGSVAFRDGNTIVADIILHCTGYKYYFPFLKTNGIVTVDDNRVGPLYKHVFPPIFAPQLSFVGLPYRSLPFPIFEIQSKWISGVLSDRIVLPSQEDMMEDVNTFYSTLEDSGVPKHHTHSMGDTMIDYNAWVASLCQCPCFEEWRVQMFYETAKRLNANPKTFRDEWEDDNLVLQACEDFSKYI >Potri.019G007600.1.v4.1 pep chromosome:Pop_tri_v4:19:1599331:1602093:1 gene:Potri.019G007600.v4.1 transcript:Potri.019G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007600.v4.1 MAFAYAAFFLLVICIYKPVSSQQNHSNLISLGSSISTNVQPTSWRSPSGTFAFGFYPQGSGFIVGIWLVCKPADIITWTAYRDDPPVPSNATLELTVNGKLLLRTYSANNEAGEEKLIAKIEKSASNARMLNSGNLVLYNEHSDAIWESFKSPTDTILGGQNLYAGGELLSSASTTNFSTGRFHLKMQSDGNLVLYPIDTIDTSVDAYWNTATFASGTHLYLNNSTGQLLILNNTLASGIPVFSSDSESENSSTIYRATLEYDGIFRLYSHNFDSNGAYTTSLMHYAPKSQCEVKSFCGLNSYCTMNDNQPYCSCLPGTVFINPNQRYNGCKRNYTEELCKVAEETSSYSITDMERMTWDDFPYFRNSMSEEDCRKSCLQDCNCAGALYESGDCKKVKFPVKYAKRLEGDSSKVFFKVGLKSVESRNRSIATATKPPVVHKTSKKTVMLICAMSVAFITCFSIAIAVSVFFISKSRVVKARMRLGGGNLGLAHELTLRAFSYRELKNATKGFREELGKGSFGAVYKGTLYKGKKVIAVKRLEKLVSEGEREFLTEMRSIGKTHHKNLVRLLGYCTEDSQRLLVYEYMSNGSLADLLFRTERIPNWSHRVKIALDIAKGILYLHEECEAPIIHCDIKPQNILMDDFWNAKISDFGLAKLLVPDQTRTFTMVRGTRGYLAPEWHKNTPISVKADVYSYGVMLLEIVFCRRNIETNVSRPEEVQLSNWAYELLVERELDKLDLGEDVDLQNFEKMVMVGIWCIQDEPGIRPSMKSVVLMLEGITDVSVPPHPTSASA >Potri.008G024700.8.v4.1 pep chromosome:Pop_tri_v4:8:1247004:1252322:-1 gene:Potri.008G024700.v4.1 transcript:Potri.008G024700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024700.v4.1 MYYWIQASPSDFSGTLPQPRSGHTAVIVGKSKLVVFGGLVDKKFLSDITVYDLENKLWFKPECSGSGSDDGQVGPSARAFHVAVSIDCNMFIFGGRFSNKRLGDFWVLDTEIWQWSELTSLGDLPSPRDFAAASSIGNRKIVMHGGWDGKKWLSDIYVLDTMSLEWTELAITGTLPPPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEENETPGWTQLKLPGQAPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRVSAQWRRLPTSGDPPPARAYHSMTCVGSRYLLFGGFDGKSTYGDLWWLVPEGDPIAKRSPLEALPQNKDDSVHSRKESQSEGSAILELQKRLEISASVSSSGLQIVDELEDREFLELASGLIGDEVSNNGQEIQAVRDHWRKSAPSSVKLKELGPLLRDYQRLITRHYLANGGADLTPVESRFLGKDSHRFYHIKSASKLRMDDIPKLLEEYKHLSSD >Potri.008G024700.3.v4.1 pep chromosome:Pop_tri_v4:8:1247061:1252280:-1 gene:Potri.008G024700.v4.1 transcript:Potri.008G024700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024700.v4.1 MYYWIQASPSDFSGTLPQPRSGHTAVIVGKSKLVVFGGLVDKKFLSDITVYDLENKLWFKPECSGSGSDDGQVGPSARAFHVAVSIDCNMFIFGGRFSNKRLGDFWVLDTEIWQWSELTSLGDLPSPRDFAAASSIGNRKIVMHGGWDGKKWLSDIYVLDTMSLEWTELAITGTLPPPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEGEENETPGWTQLKLPGQAPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRVSAQWRRLPTSGDPPPARAYHSMTCVGSRYLLFGGFDGKSTYGDLWWLVPEGDPIAKRSPLEALPQNKDDSVHSRKESQSEGSAILELQKRLEISASVSSSGLQIVDELEDREFLELASGLIGDEVSNNGQKEIQAVRDHWRKSAPSSVKLKELGPLLRDYQRLITRHYLANGGADLTPVESRFLGKDSHRFYHIKSASKLRMDDIPKLLEEYKHLSSD >Potri.008G024700.5.v4.1 pep chromosome:Pop_tri_v4:8:1247004:1252322:-1 gene:Potri.008G024700.v4.1 transcript:Potri.008G024700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024700.v4.1 MYYWIQASPSDFSGTLPQPRSGHTAVIVGKSKLVVFGGLVDKKFLSDITVYDLENKLWFKPECSGSGSDDGQVGPSARAFHVAVSIDCNMFIFGGRFSNKRLGDFWVLDTEIWQWSELTSLGDLPSPRDFAAASSIGNRKIVMHGGWDGKKWLSDIYVLDTMSLEWTELAITGTLPPPRCGHTATMVEKRLLVYGGRGGGGPIMGDLWALKGLIEEENETPGWTQLKLPGQAPSPRCGHTVTSGGHYLLLFGGHGTGGWLSRYDIYYNDCIVLDRVSAQWRRLPTSGDPPPARAYHSMTCVGSRYLLFGGFDGKSTYGDLWWLVPEGDPIAKRSPLEALPQNKDDSVHSRKESQSEGSAILELQKRLEISASVSSSGLQIVDELEDREFLELASGLIGDEVSNNGQKEIQAVRDHWRKSAPSSVKLKELGPLLRDYQRLITRHYLANGGADLTPVESRFLGKDSHRFYHIKSASKLRMDDIPKLLEEYKHLSSD >Potri.010G245100.2.v4.1 pep chromosome:Pop_tri_v4:10:22064210:22077114:1 gene:Potri.010G245100.v4.1 transcript:Potri.010G245100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245100.v4.1 MTLMTPPPLDQQEDDEMLVPHTEFTEGPQPMEVAQAETATAVDAQSVDDPPSARFTWTIDNFSRFNTKKLYSDVFVVGGYKWRILVFPKGNNVDHLSMYLDVADSTNLPYGWSRYAQFSLTVINQLHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDSCIVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADCSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKVICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPQEESQSVGQLREVSNKANNAELKLFLEVEVGQDSRPVPPPEKTKEDILLFFKLYDPSKEKLRYVGRLFVKGSGKPLEILTKLNEMAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCFQKPPQMGSNEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVVERVANHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDTTQNQVVQNFGEPFFLVIHEGEALADVKMRVQRKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSNRFQRRDIYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.010G245100.1.v4.1 pep chromosome:Pop_tri_v4:10:22064206:22077168:1 gene:Potri.010G245100.v4.1 transcript:Potri.010G245100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245100.v4.1 MTLMTPPPLDQQEDDEMLVPHTEFTEGPQPMEVAQAETATAVDAQSVDDPPSARFTWTIDNFSRFNTKKLYSDVFVVGGYKWRILVFPKGNNVDHLSMYLDVADSTNLPYGWSRYAQFSLTVINQLHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDSCIVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADCSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKVICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPQEESQSVGQLREVSNKANNAELKLFLEVEVGQDSRPVPPPEKTKEDILLFFKLYDPSKEKLRYVGRLFVKGSGKPLEILTKLNEMAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCFQKPPQMGSNEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVVERVANHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGEALADVKMRVQRKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSNRFQRRDIYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.010G245100.5.v4.1 pep chromosome:Pop_tri_v4:10:22066721:22077161:1 gene:Potri.010G245100.v4.1 transcript:Potri.010G245100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245100.v4.1 MPLGELYDPGRGYLVNDSCIVEADVAVRRVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLSEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADCSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKVICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQFQRFWLWAKRQNHTYRPNRPLTPQEESQSVGQLREVSNKANNAELKLFLEVEVGQDSRPVPPPEKTKEDILLFFKLYDPSKEKLRYVGRLFVKGSGKPLEILTKLNEMAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCFQKPPQMGSNEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVVERVANHLGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKTKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEIPEEEKNLGPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGEALADVKMRVQRKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSNRFQRRDIYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.009G032800.2.v4.1 pep chromosome:Pop_tri_v4:9:4342116:4346387:1 gene:Potri.009G032800.v4.1 transcript:Potri.009G032800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032800.v4.1 MVMDRNYTGLSDYQNDSKFDETIMFSNSDQYPVIEHGLEFNTPSPDLSFINLDSDPDSFGLSFNLNPAGESSVPSMSLSPDGGLLDPSTGLSPEAEASSPSEDSDSTDPLLKYISQMLMEENMKDQPHMFHDHFALSATEKSLYDALGEQYPPPLNSSQSYLNHESPDSNISGTGSNFGDNTSSSNGISTVTSSFTTDFSKEPQWVGGDADVGGSNPSFQRISLLGDNHLQSNLRPNMQFSASPYGFTDSRDSLMGSSAGEMVQNMFSDMESVLQFKKGLEEASKFLPSASQLVIDLETNAFSTWKKEKTPRVVVKEEKSEADSSPNGSRGRKNHEREDSDPEEGRSNKQSAVYVEEGELSEMFDKVLLWTGGQCCGNDADQEVGCKSLQPDEQSNGSSGGKNRAKRQNKRMETVDLRTLLILCAQAISANDFRTANELLKQIRQHSSPFGDGTQRLAHFFANGLEARLAGSGNGTPNFITSLASKRTTAADMLKAYKTQLRACPFKKLSIAFAIKMILHAAEKATTLHIVDFGVLYGFQWPILIQQLSLLPNGPPKLRLTGIELPQHGFRPSERVEETGRRLAKYCERFKVPFEYNPITAQNWEKIPIEDIKINRNEVLAVNCLCRFKNLLDETVEVDCPRDAVLKLIRKMNPDIFVHTIINGSYNAPFFLTRFREALFQFSSLFDIFDSTLPREDQERMMFEREFFGQDAMNVIACEGQERVERPETYKQWQVRTVRAGFKPLPFDQELMTKVRGKLKNCYHKDFVVDEDNHWMLQGWKGRIIFASSCWVPA >Potri.009G032800.1.v4.1 pep chromosome:Pop_tri_v4:9:4341965:4345639:1 gene:Potri.009G032800.v4.1 transcript:Potri.009G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032800.v4.1 MVMDRNYTGLSDYQNDSKFDETIMFSNSDQYPVIEHGLEFNTPSPDLSFINLDSDPDSFGLSFNLNPAGESSVPSMSLSPDGGLLDPSTGLSPEAEASSPSEDSDSTDPLLKYISQMLMEENMKDQPHMFHDHFALSATEKSLYDALGEQYPPPLNSSQSYLNHESPDSNISGTGSNFGDNTSSSNGISTVTSSFTTDFSKEPQWVGGDADVGGSNPSFQRISLLGDNHLQSNLRPNMQFSASPYGFTDSRDSLMGSSAGEMVQNMFSDMESVLQFKKGLEEASKFLPSASQLVIDLETNAFSTWKKEKTPRVVVKEEKSEADSSPNGSRGRKNHEREDSDPEEGRSNKQSAVYVEEGELSEMFDKVLLWTGGQCCGNDADQEVGCKSLQPDEQSNGSSGGKNRAKRQNKRMETVDLRTLLILCAQAISANDFRTANELLKQIRQHSSPFGDGTQRLAHFFANGLEARLAGSGNGTPNFITSLASKRTTAADMLKAYKTQLRACPFKKLSIAFAIKMILHAAEKATTLHIVDFGVLYGFQWPILIQQLSLLPNGPPKLRLTGIELPQHGFRPSERVEETGRRLAKYCERFKVPFEYNPITAQNWEKIPIEDIKINRNEVLAVNCLCRFKNLLDETVEVDCPRDAVLKLIRKMNPDIFVHTIINGSYNAPFFLTRFREALFQFSSLFDIFDSTLPREDQERMMFEREFFGQDAMNVIACEGQERVERPETYKQWQVRTVRAGFKPLPFDQELMTKVRGKLKNCYHKDFVVDEDNHWMLQGWKGRIIFASSCWVPA >Potri.002G041000.4.v4.1 pep chromosome:Pop_tri_v4:2:2666599:2670480:1 gene:Potri.002G041000.v4.1 transcript:Potri.002G041000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041000.v4.1 MLRKKSIKELGFIPDIVTDVGYATLPCVSRELAESCSHFVTTIVMQDDIIHRLSAASLARLRNEILQTDWMSVVEKEDWKSVIGLVTNAKQVISSVQDAAQKLVDYARFGSKKILLVTPSCCVLIPDGWKMFYFLNDICCIFRLAIKRPDTRQA >Potri.002G041000.3.v4.1 pep chromosome:Pop_tri_v4:2:2666599:2670480:1 gene:Potri.002G041000.v4.1 transcript:Potri.002G041000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041000.v4.1 MPRVPVKLPPSCPDHHHHHTAAPAAAIHLHLKASLRKNRKPVPKTPLPRHVELAESCSHFVTTIVMQDDIIHRLSAASLARLRNEILQTDWMSVVEKEDWKSVIGLVTNAKQVISSVQDAAQKLVDYARFGSKKILLVTPSCCVLIPDGWKMFYFLNDICCIFRLAIKRPDTRQA >Potri.002G041000.2.v4.1 pep chromosome:Pop_tri_v4:2:2667276:2670480:1 gene:Potri.002G041000.v4.1 transcript:Potri.002G041000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041000.v4.1 MLRKKSIKELGFIPDIVTDVGYATLPCVSRELAESCSHFVTTIVMQDDIIHRLSAASLARLRNEILQTDWMSVVEKEDWKSVIGLVTNAKQVISSVQDAAQKLVDYARFGSKKILLVTPSCCVLIPDGWKMFYFLNDICCIFRLAIKRPDTRQA >Potri.001G239500.2.v4.1 pep chromosome:Pop_tri_v4:1:25728728:25733816:1 gene:Potri.001G239500.v4.1 transcript:Potri.001G239500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239500.v4.1 MGKHLERKASDVGFEDCRPGCMWGILHILDYHLCHGPKKLPPRRKHGRGKHVRCCQNPRKISFDLYTDGVQEFLSAKAVPLLVEQQTIKTSSTNRITRKAQIKALIAKEIFARTRLQQTDPVHQLGPSNNCLATMDSDLSNPIIILHKSADTAASRLQVPSVSRTPEELVAHNSTYHLPGTVNAEDCSKQNQLSAEDAFSLENCGNSLNHTFSHAKRLNRDISCPQFKESVDVLEILKLNEKLLVEILKDQDIQNTHVQLPSKAKVRLRRSGSFPAADCSHIRFVRPSTIEHKQKEIWSFPKGVKSSIGTQAPRSTASESLRDFYEKSIDLKASDHGVTSIAQETQISSPKSSQGSHKHRWNILFMSLFKGLKKKIKCALTESKRESNHVSTNASIYRVPSGCKFSTDEEEMSKKFKENTIHEDGVENPTSFQETNGFDNDLSKGQVPHMRRGSSLKESLDGYTRLFEYSFGKEAKWHQYQSKSLKLSSEDKFQSSGLKSFRRRLSLPDIESMYHIPNEFSGDALSPRTSTMTGMDYDANSNNDIHNHLESVSIPEVRKQFKRLDTVEETELQRSMVERVGSMDNNEFSCSSMVSINEGSAMTSELNQDTMEPERQEQNPQSNQGIGSVITTMGEHEEQSPVSVLETHFRDDKTCQIEFQMSEGSDLDPSHENVENASTMVGTRFLHFELNWLEDDADFNYVRDVLEVSGFNGPEFLGTWYSLEQPLSPTLFKALEAYLHKELESSSEDVAYNCDHLLMFDLINEELLEIYNSLLAYFPKLFSFTQRVSPLPRGKHVIEEVWKRISWYRKSRSEMEQSTEDIVARDWEKGDGWMKLRLDAEDVALDLEDLIFDELVDEVLCSYIRQTVEAR >Potri.013G027500.1.v4.1 pep chromosome:Pop_tri_v4:13:1787772:1792869:-1 gene:Potri.013G027500.v4.1 transcript:Potri.013G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027500.v4.1 MEGEISSPLLLKERTQIHGGGSGGEEQRGGSSATTMVVLSTLVAVSGSYVFGSAIGYSSPTQSGIMEDLGLSVAEYSLFGSILTIGAMIGAVMSGRIADYIGRRGTMGFSEIICIIGWMLITFSKASWWLDVGRLLVGYGMGLLSYVIPIYIAEITPKNLRGGFTTVHQLMICCGVSITYLIGAFMSWRSLALIGTIPCIVQIVGLFFIPESPRWLAKIGQGKECEVALQCLRGHNADISDEAAEIRDYTETILQLSEASIFELFQWKYAHSLIVGVGLMVLQQFGGVNGIAFYASSIFISAGFSGSIGMIAMVVVQIPMTALGVVLMDISGRRPLLMVSAAGTCLGCFLAALSFLLQYLNKSVAVSPFLALFGVLIYTGSFSLGMGGIPWVIMSEVFPINTKGSAGSLVTLVSWLGSWIISYAFNFLMDWSSAGTFFIFSCICGLTVLFVAKLVPETKGRTLEEIQASMNPLSAKR >Potri.002G253000.1.v4.1 pep chromosome:Pop_tri_v4:2:24184318:24185106:-1 gene:Potri.002G253000.v4.1 transcript:Potri.002G253000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253000.v4.1 MATNFNSSWKLGTKMTALVVDDDSINQTIHHRLLEQLGIENQVARNGKEAIDIHCSGKKFDLILMDRDMPIMNGIEATRKLRAMGIRSMIAGVSTRCVKQEIQEFMEAGLDDYQEKPLTSAKIISILHKIDHSGSISY >Potri.009G114900.3.v4.1 pep chromosome:Pop_tri_v4:9:9720629:9726266:1 gene:Potri.009G114900.v4.1 transcript:Potri.009G114900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114900.v4.1 MEEKPAGSYADSPASAEPGPSRRRPGGHKRKANSLSNFFSSPLPSKRLTREKAAISNLSNHNGPFTRARQIPNILASSALSAGVKVEQKVATAVPDAAALVEEERRSKVEELQTEIEAEFEVIRSRDSNAHIVPSHCGWFSWTKIHPLEERLLPSFFNGKSQSRTPDTYLEIRNWIMKKFNSNPNTLIEVKDLSELEVSDLDARQEVLEFLDYWGLINFHPLQFDSAPNADGDEAAKKDSSLEKLFCFEAIQPCPSIVPKPNLAAPTTSSRLFPESAIAEELAKLEGPSVEYHCNSCSADCSRKRYHCQKQADYDLCADCFNNGKFGSNMSSSDFILMEPAEAAGASGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDAFFDVTNDMNGTSKVTVDADATVDETSGPKDVLDTSESKTGASEDQPLTPPMEASKPEDTSEVKGSQESTENEKSSEVINGPEISKSEDASGVKVSEEMGENVALRALTEAFEAVGYSPTPENRLSFSEVGNPVMALASFLARLVGPNVATASARSSLKSLSSNYPGMQLAARHCFLLEDPPEERKKPSGSDCVATEMADHDAQKDKQEEKNQKENSPTSGLGDRDLSDDHRDKKVGDSVPEEKKPLDTSKGDSPEKVNAVNEAETAVSHEEVEPCRSKESSNSELPKDHTPSIVKESDGIPPKSACPPSSFKETLEVSSAEEHSQLTEVAKDVDMVSDLKSSEKNEPSQSVASMSVDEHSQAGDASKDVDMVSDSLPADKDGSQQPAKSNAGDHSQPTESTADVDMLSSQPSEVKPQGLKVESGATSEGPKDSKKEKLDSEVIKDDNKIDKIKRAAVSALSAAAVKAKLLANQEEDQIRQLAASLIEKQLHKLEMKLAFFNEMDSVIMRVREQLDRSRQRLYQERAQIIASRLGLPPSSRAVPPSLPANRIAMNFANAFPRPPMSMTAQRPPISRPMGALAPTPDTLVSTTTTAGNSIRPSGQEKLSSVGTK >Potri.009G114900.5.v4.1 pep chromosome:Pop_tri_v4:9:9721426:9726266:1 gene:Potri.009G114900.v4.1 transcript:Potri.009G114900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114900.v4.1 MKKFNSNPNTLIEVKDLSELEVSDLDARQEVLEFLDYWGLINFHPLQFDSAPNADGDEAAKKDSSLEKLFCFEAIQPCPSIVPKPNLAAPTTSSRLFPESAIAEELAKLEGPSVEYHCNSCSADCSRKRYHCQKQADYDLCADCFNNGKFGSNMSSSDFILMEPAEAAGASGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDAFFDVTNDMNGTSKVTVDADATVDETSGPKDVLDTSESKTGASEDQPLTPPMEASKPEDTSEVKGSQESTENEKSSEVINGPEISKSEDASGVKVSEEMGENVALRALTEAFEAVGYSPTPENRLSFSEVGNPVMALASFLARLVGPNVATASARSSLKSLSSNYPGMQLAARHCFLLEDPPEERKKPSGSDCVATEMADHDAQKDKQEEKNQKENSPTSGLGDRDLSDDHRDKKVGDSVPEEKKPLDTSKGDSPEKVNAVNEAETAVSHEEVEPCRSKESSNSELPKDHTPSIVKESDGIPPKSACPPSSFKETLEVSSAEEHSQLTEVAKDVDMVSDLKSSEKNEPSQSVASMSVDEHSQAGDASKDVDMVSDSLPADKDGSQQPAKSNAGDHSQPTESTADVDMLSSQPSEVKPQGLKVESGATSEEGPKDSKKEKLDSEVIKDDNKIDKIKRAAVSALSAAAVKAKLLANQEEDQIRQLAASLIEKQLHKLEMKLAFFNEMDSVIMRVREQLDRSRQRLYQERAQIIASRLGLPPSSRAVPPSLPANRIAMNFANAFPRPPMSMTAQRPPISRPMGALAPTPDTLVSTTTTAGNSIRPSGQEKLSSVGTK >Potri.009G114900.1.v4.1 pep chromosome:Pop_tri_v4:9:9720615:9726529:1 gene:Potri.009G114900.v4.1 transcript:Potri.009G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114900.v4.1 MEEKPAGSYADSPASAEPGPSRRRPGGHKRKANSLSNFFSSPLPSKRLTREKAAISNLSNHNGPFTRARQIPNILASSALSAGVKVEQKVATAVPDAAALVEEERRSKVEELQTEIEAEFEVIRSRDSNAHIVPSHCGWFSWTKIHPLEERLLPSFFNGKSQSRTPDTYLEIRNWIMKKFNSNPNTLIEVKDLSELEVSDLDARQEVLEFLDYWGLINFHPLQFDSAPNADGDEAAKKDSSLEKLFCFEAIQPCPSIVPKPNLAAPTTSSRLFPESAIAEELAKLEGPSVEYHCNSCSADCSRKRYHCQKQADYDLCADCFNNGKFGSNMSSSDFILMEPAEAAGASGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDAFFDVTNDMNGTSKVTVDADATVDETSGPKDVLDTSESKTGASEDQPLTPPMEASKPEDTSEVKGSQESTENEKSSEVINGPEISKSEDASGVKVSEEMGENVALRALTEAFEAVGYSPTPENRLSFSEVGNPVMALASFLARLVGPNVATASARSSLKSLSSNYPGMQLAARHCFLLEDPPEERKKPSGSDCVATEMADHDAQKDKQEEKNQKENSPTSGLGDRDLSDDHRDKKVGDSVPEEKKPLDTSKGDSPEKVNAVNEAETAVSHEEVEPCRSKESSNSELPKDHTPSIVKESDGIPPKSACPPSSFKETLEVSSAEEHSQLTEVAKDVDMVSDLKSSEKNEPSQSVASMSVDEHSQAGDASKDVDMVSDSLPADKDGSQQPAKSNAGDHSQPTESTADVDMLSSQPSEVKPQGLKVESGATSEEGPKDSKKEKLDSEVIKDDNKIDKIKRAAVSALSAAAVKAKLLANQEEDQIRQLAASLIEKQLHKLEMKLAFFNEMDSVIMRVREQLDRSRQRLYQERAQIIASRLGLPPSSRAVPPSLPANRIAMNFANAFPRPPMSMTAQRPPISRPMGALAPTPDTLVSTTTTAGNSIRPSGQEKLSSVGTK >Potri.009G114900.4.v4.1 pep chromosome:Pop_tri_v4:9:9720629:9724650:1 gene:Potri.009G114900.v4.1 transcript:Potri.009G114900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114900.v4.1 MEEKPAGSYADSPASAEPGPSRRRPGGHKRKANSLSNFFSSPLPSKRLTREKAAISNLSNHNGPFTRARQIPNILASSALSAGVKVEQKVATAVPDAAALVEEERRSKVEELQTEIEAEFEVIRSRDSNAHIVPSHCGWFSWTKIHPLEERLLPSFFNGKSQSRTPDTYLEIRNWIMKKFNSNPNTLIEVKDLSELEVSDLDARQEVLEFLDYWGLINFHPLQFDSAPNADGDEAAKKDSSLEKLFCFEAIQPCPSIVPKPNLAAPTTSSRLFPESAIAEELAKLEGPSVEYHCNSCSADCSRKRYHCQKQADYDLCADCFNNGKFGSNMSSSDFILMEPAEAAGASGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDAFFDVTNDMNGTSKVTVDADATVDETSGPKDVLDTSESKTGASEDQPLTPPMEASKPEDTSEVKGSQESTENEKSSEVINGPEISKSEDASGVKVSEEMGENVALRALTEAFEAVGYSPTPENRLSFSEVGNPVMALASFLARLVGPNVATASARSSLKSLSSNYPGMQLAARHCFLLEDPPEERKKPSGSDCVATEMADHDAQKDKQEEKNQKENSPTSGLGDRDLSDDHRDKKVGDSVPEEKKPLDTSKGDSPEKVNAVNEAETAVSHEEVEPCRSKESSNSELPKDHTPSIVKESDGIPPKSACPPSSFKETLEVSSAEEHSQLTEVAKDVDMVSDLKSSEKNEPSQSVASMSVDEHSQAGDASKDVDMVSDSLPADKDGSQQPAKSNAGDHSQPTESTADVDMLSSQPSEVKPQGLKVESGATSGPLSISVCTCMRTCICVSVFQIWGKKYVYSRGILDEVKNKWVGWSSVGILCSEKVSVSQWLWLKRKRNKKTV >Potri.005G129500.3.v4.1 pep chromosome:Pop_tri_v4:5:9927449:9934188:1 gene:Potri.005G129500.v4.1 transcript:Potri.005G129500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129500.v4.1 MGIATPPFPPILPHSKTHQLISPNIKSNPSNHNSMSQDYHQGIFSFSHGGFDRSSVSLQEHNQQQQQQQQQHDIAQQIRRDKHRIQSDYEPPPPALVGIEEEEEESSGLPVYETAGMLSEMFNFPPAGGPAAAVDLLDQPVHSNYRTQPRQQQPVTTNDWYNSNNRQSMVVGGLGIGDSKSNSNRDSLAQHQHQISGINADSAAAMQLFLMNPSQPRSPQSPSPSHHQTPPSTSSTLHMLLPNPSSSLQGYIAVSGGGFGATSVISPPQFTWVPDSSHEGGNTGAPLNNPSEISGVVEGQGLSLSLSSSLQHLEAAKAEELRMESGGLLYYNQGAGGSSSAQYYKNLGGHQHHQALHLQGGVGQNHHQVHVGFGSSLGVVNVLRNSKYVRAAQELLEEFCSVGRGQFKKSKFGRQNTNPSSNNNPGGGGGSSSSTKDPLPLSAADRIEHQRKKVKLLSMLDEVDKRYNHYCEQMQMVVNSFDLIMGFGAAVPYTALAQKAMSRHFRCLKEAISAQLKHSCELVGDKDGAGTSAITKGETPRLKLLEQSLRQQRAFNQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEEEPGAEDRERKPTSSNNNSNNRGLAQTPTPTTTTTGSSAPAATTTAPTATTIPSGKRSEINANEKDPSLLAINRQCFSENQAKLSTSSSTTIITPTNITSTTEVAPQPHAGQSFHDFADDTCRQGSIVTADYGTTSGNANAGADHIGSTLIRFGTSTAGDVSLTLGLRHAGNVPDKSPTFSVRDFGGC >Potri.005G129500.5.v4.1 pep chromosome:Pop_tri_v4:5:9927088:9934093:1 gene:Potri.005G129500.v4.1 transcript:Potri.005G129500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129500.v4.1 MGIATPPFPPILPHSKTHQLISPNIKSNPSNHNSMSQDYHQGIFSFSHGGFDRSSVSLQEHNQQQQQQQQQHDIAQQIRRDKHRIQSDYEPPPPALVGIEEEEEESSGLPVYETAGMLSEMFNFPPAGGPAAAVDLLDQPVHSNYRTQPRQQQPVTTNDWYNSNNRQSMVVGGLGIGDSKSNSNRDSLAQHQHQISGINADSAAAMQLFLMNPSQPRSPQSPSPSHHQTPPSTSSTLHMLLPNPSSSLQGYIAVSGGGFGATSVISPPQFTWVPDSSHEGGNTGAPLNNPSEISGVVEGQGLSLSLSSSLQHLEAAKAEELRMESGGLLYYNQGAGGSSSAQYYKNLGGHQHHQALHLQGGVGQNHHQVHVGFGSSLGVVNVLRNSKYVRAAQELLEEFCSVGRGQFKKSKFGRQNTNPSSNNNPGGGGGSSSSTKDPLPLSAADRIEHQRKKVKLLSMLDEVDKRYNHYCEQMQMVVNSFDLIMGFGAAVPYTALAQKAMSRHFRCLKEAISAQLKHSCELVGDKDGAGTSAITKGETPRLKLLEQSLRQQRAFNQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEEEPGAEDRERKPTSSNNNSNNRGLAQTPTPTTTTTGSSAPAATTTAPTATTIPSGKRSEINANEKDPSLLAINRQCFSENQAKLSTSSSTTIITPTNITSTTEVAPQPHAGQSFHDFADDTCRQGSIVTADYGTTSGNANAGADHIGSTLIRFGTSTAGDVSLTLGLRHAGNVPDKSPTFSVRDFGGC >Potri.005G129500.4.v4.1 pep chromosome:Pop_tri_v4:5:9926555:9934154:1 gene:Potri.005G129500.v4.1 transcript:Potri.005G129500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129500.v4.1 MGIATPPFPPILPHSKTHQLISPNIKSNPSNHNSMSQDYHQGIFSFSHGGFDRSSVSLQEHNQQQQQQQQQHDIAQQIRRDKHRIQSDYEPPPPALVGIEEEEEESSGLPVYETAGMLSEMFNFPPAGGPAAAVDLLDQPVHSNYRTQPRQQQPVTTNDWYNSNNRQSMVVGGLGIGDSKSNSNRDSLAQHQHQISGINADSAAAMQLFLMNPSQPRSPQSPSPSHHQTPPSTSSTLHMLLPNPSSSLQGYIAVSGGGFGATSVISPPQFTWVPDSSHEGGNTGAPLNNPSEISGVVEGQGLSLSLSSSLQHLEAAKAEELRMESGGLLYYNQGAGGSSSAQYYKNLGGHQHHQALHLQGGVGQNHHQVHVGFGSSLGVVNVLRNSKYVRAAQELLEEFCSVGRGQFKKSKFGRQNTNPSSNNNPGGGGGSSSSTKDPLPLSAADRIEHQRKKVKLLSMLDEVDKRYNHYCEQMQMVVNSFDLIMGFGAAVPYTALAQKAMSRHFRCLKEAISAQLKHSCELVGDKDGAGTSAITKGETPRLKLLEQSLRQQRAFNQMGMMEQEAWRPQRGLPERSVNILRAWLFEHFLHPYPSDADKHLLARQTGLSRNQVSNWFINARVRLWKPMVEEMYQQEAKEEEPGAEDRERKPTSSNNNSNNRGLAQTPTPTTTTTGSSAPAATTTAPTATTIPSGKRSEINANEKDPSLLAINRQCFSENQAKLSTSSSTTIITPTNITSTTEVAPQPHAGQSFHDFADDTCRQGSIVTADYGTTSGNANAGADHIGSTLIRFGTSTAGDVSLTLGLRHAGNVPDKSPTFSVRDFGGC >Potri.009G021600.1.v4.1 pep chromosome:Pop_tri_v4:9:3384855:3387588:-1 gene:Potri.009G021600.v4.1 transcript:Potri.009G021600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021600.v4.1 MGNANGREEGERDDGEGLENGESSSVIGSGASSTRTSVSILATNDHNHRNNIPPDHAPARSTSPFLFAPQVPVAPLQRPDGPPSFDQMWQNESPEVGDEGQPPEQGIPTIITWSYGGNDVDVEGSWDNFTSRKKLQRSGKDHSILMVLPPGIYHCKFIVDGEWRYIPDLPVVTDEMGCVCNLLDVHDFVPENLDTVVDFEAPPSPDSTYSQAFPAEVDFAKEPLAVPPQVHLTVLDEASSSKPRHVVLNHLYIEKGWASQSLVALGLTHRFQSKYVTVCLFKPLKR >Potri.004G130300.1.v4.1 pep chromosome:Pop_tri_v4:4:14704200:14708335:1 gene:Potri.004G130300.v4.1 transcript:Potri.004G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130300.v4.1 MKRLKSYYMHLRHHQNMERKWIFPLAIGSLVSLFLLFLTTITTSDGISVFPFYRSFSSLSSKFVETKIHPLPISNLPPPPRFAYVISGSAGDANMLKRTLQALYHPNNQYVVHLDRESSTQERLDLSNFVKHHPVFLRFGNVRMISKANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSDIGWKEFQRAKPIIIDPGLYMTKKADVFWITQRRSVPTAFKLFTGSAWMALSRPFIDYTIWGWDNIPRTVLMYYANFISSPEGYFHTVICNSPQFLNTTVNSDLHFISWDNPPKQHPHHLNLADMQRMIESNAPFARKFPHEDPVLDKIDSELLSRGPGMFTPGGWCIGSRENGTDPCSAIGNTTVLRPGPGAKRLQSLISSLLSNENFQPRQCK >Potri.010G160350.1.v4.1 pep chromosome:Pop_tri_v4:10:16641365:16643534:1 gene:Potri.010G160350.v4.1 transcript:Potri.010G160350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160350.v4.1 MFGFGKSPANNKATKQSSVNPPASSTSNPFDSDDESNAKQTLHLGKRASSESMLNVLDNAFDDKDRYKNDFRDSGGLENQSVQELEKYAAYKAEETTNSVNNCLRIAEDIKQDATRTLDSLVLKFYKDNVILFQLSAWQENPQQGKKNGEKLLNNLGGIFSKPWKPKKTRDITEPLITADKPSKLGLAPKGRSAITTPPPEPTNALQEVEVEKAKQDDALSDLSNILGDLKGTAVDMGSELDRQNKALDHLGDDVDELNSRVKGANQRTRHLLGK >Potri.011G036612.1.v4.1 pep chromosome:Pop_tri_v4:11:2835686:2842088:-1 gene:Potri.011G036612.v4.1 transcript:Potri.011G036612.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G036612.v4.1 MMAFVSIFLCYAFLSLWRSSIAIDTLSPNQILRDNGQTLVSIGESFELGFFSPWTSTHRFIGIWFKDVSPQTVVWVANKDSPLSDSSGVFRITATGNVLIFNNRSAVPIWSSNSSMTSYNPVLQLLDSGNLVVKDSRSGTYLWQSFDHPSDTIIPGMKLGLNLQTNQNWYMTSWKSLQDPSSGDFTYSVDVQGLAQLFLRRGSDIVYRSGPWDGIRFGGGPPLQENPVFKPIFVYNSSFIYYAFENNENATISRFVLNQSGLIEHLTWNQRRGEWVVIFTFPTDQCDGYEQCGPNGFCNLDNSLRCKCPAGFIPKVPQDWDNMDCSSGCVRRTPFNCSYDEGFRRFSRVKLPYTSTTLNNMTAKTLFECEEACLRNCSCTAYAKTAVSGCVFWFGDLIDIREYSEGGQDLFVRMSAADLVISYIIWKRTSKRRKDESQKRFQDENPKADEEDVGQLPLYDLDTIVSATDSFSFQNKIGEGGFGVVYKVTSYLIYLLVDINPDVLQGALPTGQAIAVKRLSKDSRQGLNEFKNEVIFISKLQHRNLVRLLGCCVHREERMLVYEYMSKRGLDLYLYNQTRGTSLDWQKRFNIIVGIARGLLYLHRASNFGLARTFGGDQNEESTKRVWNLEEESCLCAGHGSGIRVVTLILLKYAIDGLFSVKSDVFSYGVLILETVSAKKNRGFYHPEHDLNLQGHAWRLWNEGRPIELMDALMETRADTSELLRCIHVGLLCVQKRPEDRPTMPSVVLMLDSENPTLPQPKQPGFYSERYLTETDSSSTGTFRNTYTMMKDVTITTVQGR >Potri.002G034500.1.v4.1 pep chromosome:Pop_tri_v4:2:2282401:2283642:-1 gene:Potri.002G034500.v4.1 transcript:Potri.002G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034500.v4.1 MGSLMSGWDSPVPDRESVKYQRNRSQTKGDIDAYWKSKKKTEEDHLKVFSSPSNSNQDGMYEDDGVKFQRPSSPADTEEFMDTEDEPSLEQVIKKNGWWASSIWAFLNETPELERSSNNYSPQFHIASSKSISGASAV >Potri.005G102600.1.v4.1 pep chromosome:Pop_tri_v4:5:7438123:7442147:1 gene:Potri.005G102600.v4.1 transcript:Potri.005G102600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102600.v4.1 MGLCTIMHKVLFVAVMVFSNGLSSNGIGLNDTARPKVVKIGALLNFNTTVGKVAKVAIEAAVEDVNNSTILGETKLQVTMQDTENSSFLGMLDALSLMDGETVAIIGPETSATAHVVSQIADEIHIPMLSFGATDPTLSSLQYPFFVRTTQNDLFQMAAIAEIIDYYGWRDVTAIYVDDDHGRNGIAALGDKLAERRCRISHKAPISPSLSRENIRNELKTANSEESRIFVLLAHADWGLEVFRVAQDIGMTGSGYVWLVTDWLASTFDTNSSISPEAIGGVQGAITLRMHTPDSQQKTKFVSGWSKLITRDKMVNGTGLNTYGLYAYDTVWLLAYGIDAFFKQGGNISFSQDPKVTEQHRGKLKVDEVRIFNGGDLLLHIILQVNTTGVAGPIKFDSDRNLIHPAYEVMNVNGKGFKRIGYWSNYSGLSVVPPETLYTKPPNRSSLSQELESVIWPGQTKQKPRGWVFPENGRQLRIAVPNHVIYHELVSVKGADSFSGYCIDVFTAALSSLPYAVPYKLHAFGNGINKPKISELLQLIEADVYDAAVGDLAITNNRTRIVDFTQPYVESGLVVVAPVQKLNSNSLAFLRPFTPMMWLVTGIFFLVVGVVVWILEHRVNDDFRGPPKRQIATIIWFSFSTLFFSHKQNTVSSLGRFVLLIWLFVVLILNSSYTASLTSILTVEQLSSPIKGIESLVTSNDRIGFQRGSFAENYLAEEYNIARSRLVALNSDEDYAKALKDGPQKGGVAAVIDERAYIELFLSTRCDFSIVGQEFSKSGWGFAFPRDSPLAVDMSAAILKLSEGGELQRIHDKWLQRSPCSSEGAKESINRLHLKSFWGLFLMCGVACLLALLLYLIKILWKFSNYSEDTEPSSRGTSSPGLQTFFSFVSEKEADITSRSKKRRMERTSKKVRGGDESPNCSFGNSNEV >Potri.015G137800.2.v4.1 pep chromosome:Pop_tri_v4:15:14599001:14603365:-1 gene:Potri.015G137800.v4.1 transcript:Potri.015G137800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137800.v4.1 MEGPENLDKAAWTKEMLHVFCDLCIKAIDMGMKPNTHFDKAGWKYLLTAFKEQTGHAFTKTQLKNKWDGCKKDWRIWTKLISETGVGWSNELGTISASDEWWKAKIQEISGAKKFRQSGIKPSLRMKFDRMFSNIVATGKHAWAPSSGVLHDDIVGVAEDPNANEEQPDLEEGSSDSEEDGIPNFVDDVCNMVRGVNMCSGSNTRSSGKRKERESVEIGMQLLSRLDQMIDSMFNNSDSTSINRDKKGCSIHEVMTELHSIEGVHIGDDFHGFASEFLGLRRNREMWSAMGTLENKMKWLQRMYKRRKTL >Potri.002G138400.2.v4.1 pep chromosome:Pop_tri_v4:2:10395260:10398479:1 gene:Potri.002G138400.v4.1 transcript:Potri.002G138400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138400.v4.1 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFMETSAKDATNVEQAFMAMAAAIKDRMASQPAMNNAKPSTVQLRGQPVEQKGGCCSS >Potri.017G076132.1.v4.1 pep chromosome:Pop_tri_v4:17:8429809:8435593:1 gene:Potri.017G076132.v4.1 transcript:Potri.017G076132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076132.v4.1 MLSSCSFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTVDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQLHQPRHIREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPVYYASPLAKKCMTVYQTYILSMNERIRNQFADSNPFKFKHISPLNSIEDFTDVGPSVVMATPGGLQSGLSRQLFDMWCSDKKNACVIPGFLVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFTDGNTKIITPKNCQSVEMYFNSEKMAKTTGKLAERTPDVGETVSGILVKKGFTYQIMAPEDLHVFSQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEESGSPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSEEENEKKAEKVIYAFLVSLFGDVKLGENGKLVISVDGNVAELDKQSGDVESENEGLKERVRTAFRRIQSAVRPIPLPAS >Potri.017G076132.3.v4.1 pep chromosome:Pop_tri_v4:17:8429820:8435522:1 gene:Potri.017G076132.v4.1 transcript:Potri.017G076132.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076132.v4.1 MASTGQSQSLKRRDAPVKREGGDQLTLTPLGAGNEVGRSCVYMSFKGKTVLFDCGIHLAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTVDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQLHQPRHIREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPVYYASPLAKKCMTVYQTYILSMNERIRNQFADSNPFKFKHISPLNSIEDFTDVGPSVVMATPGGLQSGLSRQLFDMWCSDKKNACVIPGFLVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFTDGNTKIITPKNCQSVEMYFNSEKMAKTTGKLAERTPDVGETVSGILVKKGFTYQIMAPEDLHVFSQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEESGSPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSEEENEKKAEKVIYAFLVSLFGDVKLGENGKLVISVDGNVAELDKQSGDVESENEGLKERVRTAFRRIQSAVRPIPLPAS >Potri.017G076132.2.v4.1 pep chromosome:Pop_tri_v4:17:8429820:8435648:1 gene:Potri.017G076132.v4.1 transcript:Potri.017G076132.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076132.v4.1 MASTGQSQSLKRRDAPVKREGGDQLTLTPLGAGNEVGRSCVYMSFKGKTVLFDCGIHLAYSGMAALPYFDEIDPSTIDVLLVTHFHLDHAASLPYFLEKTTFRGRVFMTHATKAIYKLLLTDYVKVSKVSVEDMLFDEKDINRSMDKIEVIDFHQTVDVNGIKFWCYTAGHVLGAAMFMVDIAGVRVLYTGDYSREEDRHLRAAEMPQFSPDICIIESTYGVQLHQPRHIREKRFTDVIHSTISLGGRVLIPAFALGRAQELLLILDEYWSNHPELHNIPVYYASPLAKKCMTVYQTYILSMNERIRNQFADSNPFKFKHISPLNSIEDFTDVGPSVVMATPGGLQSGLSRQLFDMWCSDKKNACVIPGFLVEGTLAKTIINEPKEVQLMNGLTAPLNMQVHYISFSAHADYAQTSTFLKELMPPNIILVHGEANEMGRLKQKLITEFTDGNTKIITPKNCQSVEMYFNSEKMAKTTGKLAERTPDVGETVSGILVKKGFTYQIMAPEDLHVFSQLSTGNITQRITIPFSGAFGVIKHRLEQIYESVESGTDEESGSPTLQVHELVTVKQESDRHISLHWTADPISDMVSDSIVALVLNISREVPKVIVESEDIKSEEENEKKAEKVIYAFLVSLFGDVKLGENGKLVISVDGNVAELDKQSGDVESENEGLKERVRTAFRRIQSAVRPIPLPAS >Potri.006G080900.1.v4.1 pep chromosome:Pop_tri_v4:6:6007732:6010788:1 gene:Potri.006G080900.v4.1 transcript:Potri.006G080900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080900.v4.1 MENGGGGDIECNKNNKEKEGSVGGEGSYRYWVREATADAAPLPLPKKLSPQEHLPSQPNNLGSVWNTAGTWEEKNLNNWATHRIKELLLSVASLEFSGGKAEIAQVSKCSGDAFLVIVRNKKRVGYTYELTLKVKGEWTVKEEKRMVKGDIDFPEFSFGELDDLQMQVQLNDEKDLSQQDKLQISQDLKLFLQPVREKLLQFEQELKDR >Potri.010G208600.4.v4.1 pep chromosome:Pop_tri_v4:10:19829152:19833396:-1 gene:Potri.010G208600.v4.1 transcript:Potri.010G208600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208600.v4.1 MLFFHQYLHTFTTSVQRPNLEVSMSMDAKIKNVHGTSFYLDSKVFNDGHQLRDGGFCIPYQSLASYQPVAGYQSVSSTCSNIPQQSMLLSKGVSITEVQMDFQAMQMRKRSIDELTNLLPQREPSDLIENKTALSTWKTNKRKKANSPEEPWNHQARESISIQEQKLQVPVRRSQKLSDKITALQKLVSPYGKADTASVLLEASLHIKLLQEQIQNLFQMLTSSRNSTRPIQQSQEADGELRDLQSRGLCLVPRSFMLKNDSAARPS >Potri.010G208600.1.v4.1 pep chromosome:Pop_tri_v4:10:19829324:19831370:-1 gene:Potri.010G208600.v4.1 transcript:Potri.010G208600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208600.v4.1 MACLPCLVAGYQSVSSTCSNIPQQSMLLSKGVSITEVQMDFQAMQMRKRSIDELTNLLPQREPSDLIENKTALSTWKTNKRKKANSPEEPWNHQARESISIQEQKLQVPVRRSQKLSDKITALQKLVSPYGKADTASVLLEASLHIKLLQEQIQNLFQMLTSSRNSTRPIQQSQEADGELRDLQSRGLCLVPRSFMLKNDSAARPS >Potri.007G062262.1.v4.1 pep chromosome:Pop_tri_v4:7:7074861:7075179:-1 gene:Potri.007G062262.v4.1 transcript:Potri.007G062262.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062262.v4.1 MARIHAEDLFEVKVEIIKLMAVLDPTGDWMGRGARALDNPRTATGEESVGKLYSLLEDLQTNGVQSPSYKKWKGKVFHDPDMCSSA >Potri.016G109400.1.v4.1 pep chromosome:Pop_tri_v4:16:11227517:11228654:1 gene:Potri.016G109400.v4.1 transcript:Potri.016G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G109400.v4.1 MGMVLGRITVETPEYEVIQSSNDYEIRKYAPSVLAEVTYDPSQFDGKKDGGFMVLANYIGALGYPQNTKPEKIAMTAPVITKTGGGSEKIAMTAPVVTKEGSGEGEKMVTMQFVLPAKYKKAEEAPKPVDERVVIREEGVRKYGVVKFGGVATEQAVAERVEKLKKSLERDGLKVIGEFLLARYNPPWTLPPLRTNEVMIPIE >Potri.016G060400.4.v4.1 pep chromosome:Pop_tri_v4:16:4145676:4155103:-1 gene:Potri.016G060400.v4.1 transcript:Potri.016G060400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060400.v4.1 MEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFGIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLIIESSLYGENSKGEAVNLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSISGHGRVSLICTVTPSSSNLEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRCLKEELEQLKRGIVTVPQLNDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASHPSRISHRPGPRRRHSFGEEELAYLPYKRRDLILDDENIDPYVSLEGNTESVDETLKEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNGAPSTHQAENCNYHTESRLSHPSLTESSPSADLLSEVRQDREVPEDNFLGQETPSTSIQTSDQIDLLREQQKILSGEVALHSSALKRLSEEASRNPQKEQIQLEMKKLSDEIKVKNAQIALLEKQIADSIMASHNNMDNLEASQTIAELTAQLNEKSFELEVKAADNRIIQEQLNEKICECEGLQETVVSLKQQLSDALESKKLSPLASYSQRISELKSFHAQHHGDRETAASKDRNEDLLLQAQTTEIEELKQKAAALTESKEQLETQNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELTALKNSPTQRRTGSTVRNGRRDNHMKRQDQVGAASELKREFAVSRERELQYEAALIEKDQRETELQRKVEESKQRESYLENELANMWVLVAKLKKSQGAEMDQRAEMDGSETTDGFGI >Potri.016G060400.1.v4.1 pep chromosome:Pop_tri_v4:16:4145700:4156815:-1 gene:Potri.016G060400.v4.1 transcript:Potri.016G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060400.v4.1 MATTQGSKSRRSNIINNSKKAAANSPSTSTTSSSKQFLETSIDGQSSPASSSALSKPQYFYSESANLDTERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETIVRNEYNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFGIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLIIESSLYGENSKGEAVNLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSISGHGRVSLICTVTPSSSNLEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRCLKEELEQLKRGIVTVPQLNDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASHPSRISHRPGPRRRHSFGEEELAYLPYKRRDLILDDENIDPYVSLEGNTESVDETLKEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNGAPSTHQAENCNYHTESRLSHPSLTESSPSADLLSEVRQDREVPEDNFLGQETPSTSIQTSDQIDLLREQQKILSGEVALHSSALKRLSEEASRNPQKEQIQLEMKKLSDEIKVKNAQIALLEKQIADSIMASHNNMDNLEASQTIAELTAQLNEKSFELEVKAADNRIIQEQLNEKICECEGLQETVVSLKQQLSDALESKKLSPLASYSQRISELKSFHAQHHGDRETAASKDRNEDLLLQAQTTEIEELKQKAAALTESKEQLETQNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELTALKNSPTQRRTGSTVRNGRRDNHMKRQDQVGAASELKREFAVSRERELQYEAALIEKDQRETELQRKVEESKQRESYLENELANMWVLVAKLKKSQGAEMDQRAEMDGSETTDGFGI >Potri.016G060400.3.v4.1 pep chromosome:Pop_tri_v4:16:4145671:4156472:-1 gene:Potri.016G060400.v4.1 transcript:Potri.016G060400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060400.v4.1 MATTQGSKSRRSNIINNSKKAAANSPSTSTTSSSKQFLETSIDGQSSPASSSALSKPQYFYSESANLDTERSKENVTVTVRFRPLSPREIRQGEEIAWYADGETIVRNEYNPSIAYAYDRVFGPTTTTRHVYDVAAQHVVNGAMEGINGTIFAYGVTSSGKTHTMHGDQRSPGIIPLAVKDAFGIIQETPNREFLLRVSYLEIYNEVVNDLLNPAGQNLRIREDAQGTFVEGIKEEVVLSPAHALSLIAAGEEHRHVGSTNFNLLSSRSHTIFTLIIESSLYGENSKGEAVNLSQLNLIDLAGSESSKAETTGLRRKEGSYINKSLLTLGTVISKLTDGRATHIPYRDSKLTRLLQSSISGHGRVSLICTVTPSSSNLEETHNTLKFAHRAKHIEIQAAQNKIIDEKSLIKKYQNEIRCLKEELEQLKRGIVTVPQLNDIVEDDIVLLKQKLEDGQVKLQSRLEQEEEAKAALLSRIQRLTKLILVSTKASHPSRISHRPGPRRRHSFGEEELAYLPYKRRDLILDDENIDPYVSLEGNTESVDETLKEKKTRKHGLLNWLKLRKRDSGLGMSTSDKSSGVKSNGAPSTHQAENCNYHTESRLSHPSLTESSPSADLLSEVRQDREVPEDNFLGQETPSTSIQTSDQIDLLREQQKILSGEVALHSSALKRLSEEASRNPQKEQIQLEMKKLSDEIKVKNAQIALLEKQIADSIMASHNNMDNLEASQTIAELTAQLNEKSFELEVKAADNRIIQEQLNEKICECEGLQETVVSLKQQLSDALESKKLSPLASYSQRISELKSFHAQHHGDRETAASKDRNEDLLLQAQTTEIEELKQKAAALTESKEQLETQNQKLAEESSYAKGLASAAAVELKALSEEVAKLMNHNERLTAELTALKNSPTQRRTGSTVRNGRRDNHMKRQDQVGAASELKREFAVSRERELQYEAALIEKDQRETELQRKVEESKQRESYLENELANMWVLVAKLKKSQGAEMDQRAEMDGSETTDGFGI >Potri.012G082600.1.v4.1 pep chromosome:Pop_tri_v4:12:10786958:10792131:1 gene:Potri.012G082600.v4.1 transcript:Potri.012G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082600.v4.1 MAIPSEAAAAALEALNRLKSTNPPLWLAPSPSLSQTARTACKHIFSSINPHVAKSLFDQLLIDGFDVEQIWQQIDLQSQPLLSTLRRQVLHFEKNPEEIRVTGEKVLQGKNNGVEEKEVKKIDGVGNGDDDDMDMYGIDDEDDDDDEEEEEVSEEEESGEEEGEKGVVEDKFLKIKDLEDFLEDEDAKEYGLDTKKNNKKDNLSEEDDEDEDDDEGEGGEDDEDDELGVFGDGDEEDEDASKHARYEDFFGSKRRKILKRKSKEDSSSDDELDDEAVDERKGRLSTHEKQLQKLQSEIEQMEKANLEPKTWTMQGEVTAASRPKNSALEVDLDFEHNMRPAPVITEEVTATLEDMIKNRIIEGQFNDIQKAPSLPSKAPRELKELDDNKSKKGLADVYEEEYVQKTNPAAAPLSFLDEQKKEASVLFKKLCLKLDALSHYHFAPKPVIEDMSIQANVPALAMEEIAPMAVSDAAMLAPEEVFSGKGDIKEEAELTQAERKRRRANKKRKFKAESVKGTAKKARENTTLYHDDGKEE >Potri.016G039200.2.v4.1 pep chromosome:Pop_tri_v4:16:2406288:2408171:1 gene:Potri.016G039200.v4.1 transcript:Potri.016G039200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039200.v4.1 MAEEIKISIPQEANSHFSGGPHEWLAFIITEGQIKTGNSQMQVPQIPKVPRLLRQIQSNQKCYDPSLVSIGPYHHGKPELRDMEKLKVTFTSKFVDDSGICIEDFYCKVAEVAIDARRCYAEDSTDEFDDEKFTQIMFLDGCFILQFIFFLLRRPEDLKMPGHQVVLVKRDLLLLENQLPFQVIWSLMNLRFGKGEEGGGNKLINDFIRHIRALPPQQESFKEMIKKFAGKCIWKQPQKTWGNKETEEHQPVHLLGLLHTDHINKEACSHCSTRSCDWYSYRSSKDLRKVGIRFRPNWTNAYSDVEFKSSVRGSRLILPPITIEESFKSVLLNLIAYETCCDASGELWVTSYACFLDSLIQDVEDVKVLQSEGVLNIFVREQEVADLFNQMSRNLVPNPYAYSDVKRRIELDRKSIIKKWVAEWMHTYFSSPWSFIALVAATFTIVLTGIQSYFAIFPLNNNGCCG >Potri.014G135570.1.v4.1 pep chromosome:Pop_tri_v4:14:9141905:9144867:-1 gene:Potri.014G135570.v4.1 transcript:Potri.014G135570.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135570.v4.1 MTGLVMVTKGGGCGGGVGGKGTKGTKRSELQDHQEQVQNQQLSMMAFVLTAIRKSLVACRIEDGGDDVIPTSSTLHHMDIGWPTNVQHITHVTFDRFNGFLGLPVEFEVEIPCRVPSASASVFGVSAESMQCSYDSKGNSVPTILLLMQDRLYSQGGLKAEGIFRINPENSQEEHVRDQLNRGIVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESVELVKQLKPTEAALLSWAVGLMADVVEEEDSNKMNARNIAMVFSPNMTQMSDPLTALMHAVQVMNLLKTLITKTLRDREETSAGGYSPMSSHSSGHQTETDEEFDSQHDMETSCELRQAPSDYDDHAHFSTGSDEEADDDDIESLSEVEESFSRQSDEDKNSTDMFVEQPASFSGSKMESGLSFTDSKNENSSSASSDGEDSRASVITVGQKIDIRSQSKGWKDTEDDEQTIDKLAEVILTKKSSGSSESV >Potri.014G135570.2.v4.1 pep chromosome:Pop_tri_v4:14:9141971:9144865:-1 gene:Potri.014G135570.v4.1 transcript:Potri.014G135570.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135570.v4.1 MQCSYDSKGNSVPTILLLMQDRLYSQGGLKAEGIFRINPENSQEEHVRDQLNRGIVPDDIDVHCLAGLIKAWFRELPSGVLDGLSPEQVLQCNTEEESVELVKQLKPTEAALLSWAVGLMADVVEEEDSNKMNARNIAMVFSPNMTQMSDPLTALMHAVQVMNLLKTLITKTLRDREETSAGGYSPMSSHSSGHQTETDEEFDSQHDMETSCELRQAPSDYDDHAHFSTGSDEEADDDDIESLSEVEESFSRQSDEDKNSTDMFVEQPASFSGSKMESGLSFTDSKNENSSSASSDGEDSRASVITVGQKIDIRSQSKGWKDTEDDEQTIDKLAEVILTKKSSGSSESV >Potri.001G404000.1.v4.1 pep chromosome:Pop_tri_v4:1:42996113:43000646:1 gene:Potri.001G404000.v4.1 transcript:Potri.001G404000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404000.v4.1 MEEEMADIEAQNEKQPEVAAIFKKANSAIALKFEDVVYKIKFTKSGFCGKITKTEEKIILKGVTGKVLPGEMLAMLGPSGSSKTTLLTALGGKLGGLLDGNITYNGKSFSNSMKRNMGFVTQDDVLYPHLTVAETLVFTALLRLENTFTKEEKIMHAESVITQLGLTKCKNSIIGGQFLRGLSGGERKRVSIGQEMLINPSLLLLDEPTSGLDSTTAQRIVSTLRELAKGGRTIVMTIHQPSSRLFYLFDKVLLLSEGNPLYFGERSQVMDYFSSIGYAPAVPMNPADFLLDLANGVSSNSEVPGTVKQNLVSAYKSNLANKLKSEVYEIDNPSQDGLNDKQVSRWAITWWQEFSVLLIRGVKERKYDSFSGHKIGQVLVVAILSGLMWWQSDVSHIQDQMGLLFFYSGFWGIFPLFQAIFTFPQERRMLEKERSSGMYRLSSYFMSRIVSDLPMELVLPTIFLTITYWMAGLKGSPGNFFLTLFVLLYSVLVAGGLGLAIGALVLNQRSATITGSVIMLSFLLAGGYYVTHVPAFISWVKYISISQYTYKLLLGSQYKPSDTYTCGGAGGVCLVGDYPAIKKVGLDDQVLGAVALGIMLVGYRLIAYLALMRIGVAKR >Potri.006G134700.1.v4.1 pep chromosome:Pop_tri_v4:6:11088297:11090844:1 gene:Potri.006G134700.v4.1 transcript:Potri.006G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134700.v4.1 MVFQDFDQLSERRKLERQQKLRKKIIIGSVSSIAFFVIVGAGVFALVSNHNISSPGSNGGSPSTVSQPVESAKPTSHVARVIKTVCNATTYQDTCQNTLEKGMRKDPSSVQPKDLLKIAIKAADKEIEKVLKKASSFKFDKPREKAAFDDCLELIEDAKEELKHCIDRVGNDIGKLTKNAPDLNNWLSAVMSYQQTCIDGFPEGKLKSDMEKTFKAARELTSNSLAMVSSLASFLKNFSFSGTLNRRLLAEEYNSPSLDKDGLPGWTSHEDRRILKGANQDKPKPHVTVAKDGSGDFKTISEALAAMPAKYEGRYVIFVKQGIYDETVTVTKKMVNITMYGDGSQKTIVTGNKNFADGVQTFRTATFAVLGEGFLCKAMGFRNTAGPEKHQAVAIRVQADRAIFLNCRFEGYQDTLYAQTHRQFYRSCVITGTVDFIFGDAAAIFQNCLITVRKPLENQQNIVTAQGRIDGHETTGIVLQNCRIEPDKGLVPVKTKIRSYLGRPWKEFSRTVIMDSTIGDFIHPDGWLPWQGDFGLKTLYYAEYNNKGIGAQTNARIKWRGYHIIKKEEAMKFTVETFYQVDWISATGSPVRLGL >Potri.004G183200.1.v4.1 pep chromosome:Pop_tri_v4:4:19704766:19709520:-1 gene:Potri.004G183200.v4.1 transcript:Potri.004G183200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183200.v4.1 MRRKKRETKRPNIPAAVLHWILFTRHYLVQFPLLTAFFGFLLLLFAAFAFLSPLPPIINHHNHSSQLKNGAKAEESTLSKETSSFHVPRSGGRLGSHLWSSRSSKFYYGCSNASESFENAAADTRKNSDRYLLIATSGGLNQQRTGITDGVVAAYILNATLVVPKLDQKSFWNDSSDFAQIFDVDWFISFLSKDVTIIKQLHAKGGKALNPYRMRVPRKCTPTCYLTKVLPVLNKKHVVQLGKFDYRLSNRLDPDLQKLRCRVNYHALKFTDTILEMGKKLVQRMRMKSEHFIALHLRFEPDMLAFSGCYFGGGEKERMELGKIRRRWKSLHASNPDKERRQGRCPLTPEEVGLMLRALGFGSDVHLYVASGEVYGGEETLAPLKALFPNFHSKETLASMRELAPFSSFSSRMAALDFIVCDESDVFSTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYKLFMSRHNKTWEEFASRVRTHQIGFMGEPNEVKPGRGEFHENPSSCICEDSAAKARAGLTLTPQNRLDEGHKDGKENINKNETSDVTDELSIEDDQDLTDMDYVDNGTAVKGKGLPGEMLLEEFFSD >Potri.014G085200.1.v4.1 pep chromosome:Pop_tri_v4:14:5481407:5482524:1 gene:Potri.014G085200.v4.1 transcript:Potri.014G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085200.v4.1 MSWRGRGYGGPFHLGRLPQNQKPFILYPDDDIVLSDLPNILFEIDSKKQEVVQQKFLVRKDVRFTEFWKSSPYYLQENSVENDIEKAFQNERFSDRFRLKSSKKNRLSDCLELKKSNFTQELLVGMKRKRRKKVKWDFKKGGIECLRIGRKTKNFLNYHLLMQREPVITEQNVIRCSFSER >Potri.003G142700.2.v4.1 pep chromosome:Pop_tri_v4:3:15820587:15824194:1 gene:Potri.003G142700.v4.1 transcript:Potri.003G142700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142700.v4.1 MDPKLTEVSQLFERFKAACTREDFNTSTNLLSQLKVLLTGFRSLPPLFENTPNAVQELTIARDVFEHAVLLSVKIGDQEAFERDFFQLKPYYTDASSCLPQSPQEYMILGLNLLRLLVQNRIAEFHTELELLSPTALENPCIKHAVELEQSFMEGAYNRVLSAKQNVPYKTYDYFMDLLAKTVRDEIAGCSEKAYDYLSISDARQMLLFPSENELLEYIKEEHPEWEIKNGSVVFQKAKESAPCKEIPSLQLINQTLSYARELERIV >Potri.004G108320.1.v4.1 pep chromosome:Pop_tri_v4:4:9572151:9574211:1 gene:Potri.004G108320.v4.1 transcript:Potri.004G108320.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108320.v4.1 MLKQESSGGGGGDNRARVCDTCRAAPCTVYCRADSAYLCAGCDARVHAANRVASRHERVSVCEACERAPAALLCKADAASLCTACDADIHSANPLARRHQRVPILPISGCLHGSPVGPAAGETEDRFTTQEGEETISEEEEDEAASWLLLNPVKNSKNQNNNGFLFGGEVDEYLDLVEYNSCTENQCSDQYNQQHYCVPPKSYGGDRAVPIQYGEGKDHQQQRQYHNFQLGLEYEPSKAACSYNGSISQSVSMSSMDVGVVPESTMSEISISQHRPPKGTMELFSSTAIQMPSQLSPMDREARVLRYREKKKTRKFEKTIRYASRKAYAETRPRIKGRFAKRKDVEVEDDQMFSSTLMAETGYGIVPSF >Potri.004G108320.2.v4.1 pep chromosome:Pop_tri_v4:4:9572140:9574001:1 gene:Potri.004G108320.v4.1 transcript:Potri.004G108320.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108320.v4.1 MLKQESSGGGGGDNRARVCDTCRAAPCTVYCRADSAYLCAGCDARVHAANRVASRHERVSVCEACERAPAALLCKADAASLCTACDADIHSANPLARRHQRVPILPISGCLHGSPVGPAAGETEDRFTTQEGEETISEEEEDEAASWLLLNPVKNSKNQNNNGFLFGGEVDEYLDLVEYNSCTENQCSDQYNQQHYCVPPKSYGGDRAVPIQYGEGKDHQQQRQYHNFQLGLEYEPSKAACSYNGSISQSVSFSLLLMTLGRI >Potri.009G112922.1.v4.1 pep chromosome:Pop_tri_v4:9:9615771:9615983:-1 gene:Potri.009G112922.v4.1 transcript:Potri.009G112922.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112922.v4.1 MAINLLLRLTILPFFTTSSSPDSPTNRRILILLDDFALNSPHLFFNSRQSRNNFNLDFRLADDPKLSLQR >Potri.008G209300.1.v4.1 pep chromosome:Pop_tri_v4:8:16043710:16044468:-1 gene:Potri.008G209300.v4.1 transcript:Potri.008G209300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G209300.v4.1 MSGVWVFKNGVVRLVENPGAESLDGSRQGSNTRRKVLVHTPSNEVITSYAVLERKLSSLGWERYYDDPDLLQFHIRSTVHLISLPKDFNKFKSMHMYDIVVKNRNMFEVRDM >Potri.012G002900.2.v4.1 pep chromosome:Pop_tri_v4:12:1224823:1226479:-1 gene:Potri.012G002900.v4.1 transcript:Potri.012G002900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002900.v4.1 MIPFIYGTRKNIFLCCQNPMQSPLYISTNSCITGKDSCISSLSSKKAYRYVVSGDMKASEVGDSCHVDLVLMATPPDNKRSLSYIDIHKILVNGLTLEWDTIYCRECKAQGFCLLDNTTRVVNCSNPCNIFHGHLSSVRLCLAIRLVCGAPCVFIFLIYKWRRHLPTYDNIEDFLQSHHNFMPIRYSYSEIKKMTNYFKEKLGEGG >Potri.001G086400.8.v4.1 pep chromosome:Pop_tri_v4:1:6866435:6868469:-1 gene:Potri.001G086400.v4.1 transcript:Potri.001G086400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086400.v4.1 MDTAQWPQEIVVKPIEEIVVNTCSKPPALERKVRPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRSIPVGGGSRKNKRSSASSSSSSKKLPDLISPPTLSQTSTENHKIHEGQDLNLAFPSTQGIRSFSELIQFPSINENNNKTQISSSTSASTNTSQLSALELLTGISSRGLNSFPMPVHQDPSTLYPSGFPLQDFKPTLSFSLDGLGSGYGDLQGVHETNGRLLFPFEDLKQQVSSTADIEQNKEHGDSTGYWTGMLGGGSW >Potri.014G055700.1.v4.1 pep chromosome:Pop_tri_v4:14:3545994:3547447:1 gene:Potri.014G055700.v4.1 transcript:Potri.014G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL9 MEKHIILEIDAQDNSLTSSSTSTTTTTTTTSSSSSSFSTTISYTDRISKKEENSIADLKGSRKSQELQSGNEGNKKRKTTRNENNGKHPTYRGVRMRSWGKWVCEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGGSAYLNFPELVDELPRPLSKSPKDIQAAAAKAAAASFPETRHCEAEAEAEADMSHAELNVSNLSDNLAMDNIQESSSSPSTDVDDKLFDLPDLFIDGVNHSDGFCYYSPPWQLCSADTGFRLEEPFLWEY >Potri.007G103000.6.v4.1 pep chromosome:Pop_tri_v4:7:12657131:12657655:-1 gene:Potri.007G103000.v4.1 transcript:Potri.007G103000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G103000.v4.1 MPVEDPTSGRLFIWLVSFFLFITIAAGGSLLLMYVVLPADPSRAWFPVAGGGHPNVFNTTGNAATDNESGNIENPGGDGSQDDFEGPMVMVERNDSGGGNGKTAIKRNLSASNSINMSFRSHESELPLTSSMAC >Potri.001G397900.1.v4.1 pep chromosome:Pop_tri_v4:1:42338478:42340648:-1 gene:Potri.001G397900.v4.1 transcript:Potri.001G397900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397900.v4.1 MEIFDILAVVGVLVCFLLLRCWDQIRKLDADKRNWPIVGMLPRLLINSSNVYEYTTRTLRNNGGTSKFKGPWFANMDFVITSDPKNVHHILSDNFANYPKGPVYKKIFEPLGDGILNSDSESWRAQRKMIQLFMKNNKYKELVEKTILQKLVQGLFPILDHVSRKEMSEIIDMQDVIQRFMYDSNCMSVLGFDPNCLTIEFPEVAHAKAFDIMEEAVFYRHIVPEFYWKFQKWLQIGEEKKLSRALQTFDQFMYKCISTRCEQVLNENKAKMENIVEEKEAADFDLLTAYIKVQMKEHGNSAASSNKFLRDTATNLLVAGRDTPSAGLVWFFWLVAEHPLVESKILEEIRAANLVKEKDGKLRVFSAEEVNGLVYLHAAMCETLRLYPSVHTNHKAAVEEDTLPSGHRIRRKMQVLISFYSMGRMEAIWGKDCLEFKPERWISDKGGIIHVPPYKFAAFNDGPRTCLGKDVSFIQMKMVACAVLWNYHVQVVEGHQVFPSVAVVLHMKNGLKVRITKRC >Potri.004G153700.3.v4.1 pep chromosome:Pop_tri_v4:4:17453294:17455992:-1 gene:Potri.004G153700.v4.1 transcript:Potri.004G153700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153700.v4.1 MTCAFSPTGQSVACGGLDSVCSIFNLNSPTDKDGNLPVSRMLSGHKGYVSSCQYVPDEDTHLITSSGDQTCVLWDITTGIRTSVFGGEFQSGHTADVLSVSINGSNSRMFVSGSCDSTARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYYHQHGDTEIPHVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEAVLNLGSLQNSHEGRITCLGLSADGSALCTGSWDTNLKIWAFGGHRQVI >Potri.004G153700.1.v4.1 pep chromosome:Pop_tri_v4:4:17453290:17457585:-1 gene:Potri.004G153700.v4.1 transcript:Potri.004G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153700.v4.1 MSVSELKERHVAATETVNSLRERLKQKRLLLLDTDMARYARAQERSPVSFGPTDLVCCRTLQGHTGKVYSLDWTPERNRIVSASQDGRLIVWNALTSQKTHAIKLPCAWVMTCAFSPTGQSVACGGLDSVCSIFNLNSPTDKDGNLPVSRMLSGHKGYVSSCQYVPDEDTHLITSSGDQTCVLWDITTGIRTSVFGGEFQSGHTADVLSVSINGSNSRMFVSGSCDSTARLWDTRVASRAVRTFHGHEGDVNAVKFFPDGNRFGTGSDDGTCRLFDIRTGHQLQVYYHQHGDTEIPHVTSIAFSISGRLLFAGYSNGDCYVWDTLLAEAVLNLGSLQNSHEGRITCLGLSADGSALCTGSWDTNLKIWAFGGHRQVI >Potri.006G279000.1.v4.1 pep chromosome:Pop_tri_v4:6:26905224:26907957:-1 gene:Potri.006G279000.v4.1 transcript:Potri.006G279000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279000.v4.1 MVFWVFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPESPARTCTLENVEGAICWGAAYCVRGGPERERLAMEYLERRECEYDKKTLVDFDKEGEPSEPALTGVIVFTSTPDKVSNKYYLGPAPLEEMARQIATAHGPCGNNRDYLFSLERAMFAIGHEDDTVIELAKEVRRVLGTKGNGIPVEKKISGSSPAALKSHMPALQLRSLQEAVVMDS >Potri.002G033800.4.v4.1 pep chromosome:Pop_tri_v4:2:2239831:2247010:-1 gene:Potri.002G033800.v4.1 transcript:Potri.002G033800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033800.v4.1 MEHQQVSSVSAAAPFSKKFSYDRGHGGKHVYDGVFSGGGGAVKLGARVLDYREIFGGTAATASSIPILDVPELNENSKVSSFGAQRADYATIFGGFGDDDFGLLHEELFAKRKKVKSSINGTRSLAEARSRNAGSKHSNVSKEQKDSSPEAPFQSIDGVKLLNVSYNKSNPGNKNGTNGMTRVAQLHAVPGYTFLVDEITPSKMTEGGKPARSVLNDSHLNANVGKSVKEDTARRKAVSGPQPRIADTNSFRSPAEFQKKSSRNRSISNDMPFDAFEIGLGRRPPSSSPTNSSYNIGGTDISKNSKFGVSRNDASRGADLLASSDEEMDANSDAAASAAALRKAIEEAQMKIKIAKELMERKNEGFQNRAKTGFNKSWKAQKSEVKTEERLKRSNELVDREMREKEDTAKQEFTGVSEGNVSKASQLTPDFGDEKKSSFANNAAGETHSKESKSTRTDNRLEAEDWESTEEFFEAADYEELREMPSEFEQSDNAEKMASYNHENKWSEKMTAEEKIKKPEECTEEVFNEDKVERELNSVVGAFRWNLYANFVKPSQVCHPEENENKTRISNNHEETYQTPTVSDEWNDCETVLENLHQPEENVKLPVQELDENEDMKELKDAQDWVETEKKQREALDQKETDNRSDEVSIREENDRDLDQIYEKKQNVEGQQEERDRVECEMKQGGWNLEEYAEKLNDLHRGEISGDDGETEESEKPEKLVDDEEILKKSDQMNEPEESTKLPVHELAENEDMKELKDWVETEKQRREALDQKETENRSDEVPIREENDRGLNQIYEKEENVEGQLEERDRVECEMKQGGWNLEEYAEKLNDLHRGEISGEDGETEKNEKLDKLVDDEEILKKSDQMNGTEENAELPVQELEENEDMKGLKDPQDWVETEKKQREALDHREMENRSDEVPIGEESDGGLDQIYEKKENMEGQRKEWDRVECEMKQGGWNLEENEKLNDLHRREILGEEGKIEESEKPEELMDDEEILKKSDQINETENREEKAREGIETERIRSKSCLGEQDEKTMEVTEQALRYEGDNLEMAEDANEQYENENLGGSDNALGCKINFAVGDLKAEVLTVKENGRVMRVTESSPLLQGTEKESEAVEDANNLEQQNCEIAGLTQGLIGLDRIKKQTADVTEALLNGENGIYLGENDINFDDKQNEHHVTEYKNMSNQEKCFEEVNNEMDDNGNVDICEPEVGTDNEESEKSSISSHNERWSSDETESLHDPECCVEEAAHELGENNNDVKESEVATNHEKDKNSFESSEEDRWVGNGVDTEASQQPIFEGQGKTTEISLEEEPNQSTSKKEENHCKNPAIEEKEAEDNLQRKLEVEKKHFSKKEEVKVREIEREKERIAVERAIQEARERAFAEARERAAVKRAAAEAHQRLKAEVRERLGKALLEANNKLAAEKASFEAKLKAERAAVERATTEARQRALEKALSEKVAFKARNQAEKSAAERFSSISKDNGMNSRQDKQCNDPGPSSSSRYPGSSNHGERFNGGNGESDPRNKATLERHQRTAERAAKALAEKNMRELLAQKEQAERNRLAETLEADVKRWSSGKERNLRALLSTLPYILGPDSGWQPIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQLKYTCEKVFDLLKDAWNKFSAEER >Potri.002G033800.7.v4.1 pep chromosome:Pop_tri_v4:2:2239853:2246992:-1 gene:Potri.002G033800.v4.1 transcript:Potri.002G033800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033800.v4.1 MEHQQVSSVSAAAPFSKKFSYDRGHGGKHVYDGVFSGGGGAVKLGARVLDYREIFGGTAATASSIPILDVPELNENSKVSSFGAQRADYATIFGGFGDDDFGLLHEELFAKRKKVKSSINGTRSLAEARSRNAGSKHSNVSKEQKDSSPEAPFQSIDGVKLLNVSYNKSNPGNKNGTNGMTRVAQLHAVPGYTFLVDEITPSKMTEGGKPARSVLNDSHLNANVGKSVKEDTARRKAVSGPQPRIADTNSFRSPAEFQKKSSRNRSISNDMPFDAFEIGLGRRPPSSSPTNSSYNIGGTDISKNSKFGVSRNDASRGADLLASSDEEMDANSDAAASAAALRKAIEEAQMKIKIAKELMERKNEGFQNRAKTGFNKSWKAQKSEVKTEERLKRSNELVDREMREKEDTAKQEFTGVSEGNVSKASQLTPDFGDEKKSSFANNAAGETHSKESKSTRTDNRLEAEDWESTEEFFEAADYEELREMPSEFEQSDNAEKMASYNHENKWSEKMTAEEKIKKPEECTEEVFNEDKVERELNSVVGAFRWNLYANFVKPSQVCHPEENENKTRISNNHEETYQTPTVSDEWNDCETVLENLHQPEENVKLPVQELDENEDMKELKDAQDWVETEKKQREALDQKETDNRSDEVSIREENDRDLDQIYEKKQNVEGQQEERDRVECEMKQGGWNLEEYAEKLNDLHRGEISGDDGETEESEKPEKLVDDEEILKKSDQMNEPEESTKLPVHELAENEDMKELKDWVETEKQRREALDQKETENRSDEVPIREENDRGLNQIYEKEENVEGQLEERDRVECEMKQGGWNLEENEKLNDLHRREILGEEGKIEESEKPEELMDDEEILKKSDQINETENREEKAREGIETERIRSKSCLGEQDEKTMEVTEQALRYEGDNLEMAEDANEQYENENLGGSDNALGCKINFAVGDLKAEVLTVKENGRVMRVTESSPLLQGTEKESEAVEDANNLEQQNCEIAGLTQGLIGLDRIKKQTADVTEALLNGENGIYLGENDINFDDKQNEHHVTEYKNMSNQEKCFEEVNNEMDDNGNVDICEPEVGTDNEESEKSSISSHNERWSSDETESLHDPECCVEEAAHELGENNNDVKESEVATNHEKDKNSFESSEEDRWVGNGVDTEASQQPIFEGQGKTTEISLEEEPNQSTSKKEENHCKNPAIEEKEAEDNLQRKLEVEKKHFSKKEEVKVREIEREKERIAVERAIQEARERAFAEARERAAVKRAAAEAHQRLKAEVRERLGKALLEANNKLAAEKASFEAKLKAERAAVERATTEARQRALEKALSEKVAFKARNQAEKSAAERFSSISKDNGMNSRDKQCNDPGPSSSSRYPGSSNHGERFNGGNGESDPRNKATLERHQRTAERAAKALAEKNMRELLAQKEQAERNRLAETLEADVKRWSSGKERNLRALLSTLPYILGPDSGWQPIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQLKYTCEKVFDLLKDAWNKFSAEER >Potri.002G033800.5.v4.1 pep chromosome:Pop_tri_v4:2:2239831:2247010:-1 gene:Potri.002G033800.v4.1 transcript:Potri.002G033800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033800.v4.1 MEHQQVSSVSAAAPFSKKFSYDRGHGGKHVYDGVFSGGGGAVKLGARVLDYREIFGGTAATASSIPILDVPELNENSKVSSFGAQRADYATIFGGFGDDDFGLLHEELFAKRKKVKSSINGTRSLAEARSRNAGSKHSNVSKEQKDSSPEAPFQSIDGVKLLNVSYNKSNPGNKNGTNGMTRVAQLHAVPGYTFLVDEITPSKMTEGGKPARSVLNDSHLNANVGKSVKEDTARRKAVSGPQPRIADTNSFRSPAEFQKKSSRNRSISNDMPFDAFEIGLGRRPPSSSPTNSSYNIGGTDISKNSKFGVSRNDASRGADLLASSDEEMDANSDAAASAAALRKAIEEAQMKIKIAKELMERKNEGFQNRAKTGFNKSWKAQKSEVKTEERLKRSNELVDREMREKEDTAKQEFTGVSEGNVSKASQLTPDFGDEKKSSFANNAAGETHSKESKSTRTDNRLEAEDWESTEEFFEAADYEELREMPSEFEQSDNAEKMASYNHENKWSEKMTAEEKIKKPEECTEEVFNEDKVERELNSVVGAFRWNLYANFVKPSQVCHPEENENKTRISNNHEETYQTPTVSDEWNDCETVLENLHQPEENVKLPVQELDENEDMKELKDAQDWVETEKKQREALDQKETDNRSDEVSIREENDRDLDQIYEKKQNVEGQQEERDRVECEMKQGGWNLEEYAEKLNDLHRGEISGDDGETEESEKPEKLVDDEEILKKSDQMNEPEESTKLPVHELAENEDMKELKDWVETEKQRREALDQKETENRSDEVPIREENDRGLNQIYEKEENVEGQLEERDRVECEMKQGGWNLEEYAEKLNDLHRGEISGEDGETEKNEKLDKLVDDEEILKKSDQMNGTEENAELPVQELEENEDMKGLKDPQDWVETEKKQREALDHREMENRSDEVPIGEESDGGLDQIYEKKENMEGQRKEWDRVECEMKQGGWNLEENEKLNDLHRREILGEEGKIEESEKPEELMDDEEILKKSDQINETENREEKAREGIETERIRSKSCLGEQDEKTMEVTEQALRYEGDNLEMAEDANEQYENENLGGSDNALGCKINFAVGDLKAEVLTVKENGRVMRVTESSPLLQGTEKESEAVEDANNLEQQNCEIAGLTQGLIGLDRIKKQTADVTEALLNGENGIYLGENDINFDDKQNEHHVTEYKNMSNQEKCFEEVNNEMDDNGNVDICEPEVGTDNEESEKSSISSHNERWSSDETESLHDPECCVEEAAHELGENNNDVKESEVATNHEKDKNSFESSEEDRWVGNGVDTEASQQPIFEGQGKTTEISLEEEPNQSTSKKEENHCKNPAIEEKEAEDNLQRKLEVEKKHFSKKEEVKVREIEREKERIAVERAIQEARERAFAEARERAAVKRAAAEAHQRLKAEVRERLGKALLEANNKLAAEKASFEAKLKAERAAVERATTEARQRALEKALSEKVAFKARNQAEKSAAERFSSISKDNGMNSRDKQCNDPGPSSSSRYPGSSNHGERFNGGNGESDPRNKATLERHQRTAERAAKALAEKNMRELLAQKEQAERNRLAETLEADVKRWSSGKERNLRALLSTLPYILGPDSGWQPIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQLKYTCEKVFDLLKDAWNKFSAEER >Potri.002G033800.6.v4.1 pep chromosome:Pop_tri_v4:2:2239877:2246966:-1 gene:Potri.002G033800.v4.1 transcript:Potri.002G033800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033800.v4.1 MEHQQVSSVSAAAPFSKKFSYDRGHGGKHVYDGVFSGGGGAVKLGARVLDYREIFGGTAATASSIPILDVPELNENSKVSSFGAQRADYATIFGGFGDDDFGLLHEELFAKRKKVKSSINGTRSLAEARSRNAGSKHSNVSKEQKDSSPEAPFQSIDGVKLLNVSYNKSNPGNKNGTNGMTRVAQLHAVPGYTFLVDEITPSKMTEGGKPARSVLNDSHLNANVGKSVKEDTARRKAVSGPQPRIADTNSFRSPAEFQKKSSRNRSISNDMPFDAFEIGLGRRPPSSSPTNSSYNIGGTDISKNSKFGVSRNDASRGADLLASSDEEMDANSDAAASAAALRKAIEEAQMKIKIAKELMERKNEGFQNRAKTGFNKSWKAQKSEVKTEERLKRSNELVDREMREKEDTAKQEFTGVSEGNVSKASQLTPDFGDEKKSSFANNAAGETHSKESKSTRTDNRLEAEDWESTEEFFEAADYEELREMPSEFEQSDNAEKMASYNHENKWSEKMTAEEKIKKPEECTEEVFNEDKVERELNSVVGAFRWNLYANFVKPSQVCHPEENENKTRISNNHEETYQTPTVSDEWNDCETVLENLHQPEENVKLPVQELDENEDMKELKDAQDWVETEKKQREALDQKETDNRSDEVSIREENDRDLDQIYEKKQNVEGQQEERDRVECEMKQGGWNLEEYAEKLNDLHRGEISGDDGETEESEKPEKLVDDEEILKKSDQMNEPEESTKLPVHELAENEDMKELKDWVETEKQRREALDQKETENRSDEVPIREENDRGLNQIYEKEENVEGQLEERDRVECEMKQGGWNLEENEKLNDLHRREILGEEGKIEESEKPEELMDDEEILKKSDQINETENREEKAREGIETERIRSKSCLGEQDEKTMEVTEQALRYEGDNLEMAEDANEQYENENLGGSDNALGCKINFAVGDLKAEVLTVKENGRVMRVTESSPLLQGTEKESEAVEDANNLEQQNCEIAGLTQGLIGLDRIKKQTADVTEALLNGENGIYLGENDINFDDKQNEHHVTEYKNMSNQEKCFEEVNNEMDDNGNVDICEPEVGTDNEESEKSSISSHNERWSSDETESLHDPECCVEEAAHELGENNNDVKESEVATNHEKDKNSFESSEEDRWVGNGVDTEASQQPIFEGQGKTTEISLEEEPNQSTSKKEENHCKNPAIEEKEAEDNLQRKLEVEKKHFSKKEEVKVREIEREKERIAVERAIQEARERAFAEARERAAVKRAAAEAHQRLKAEVRERLGKALLEANNKLAAEKASFEAKLKAERAAVERATTEARQRALEKALSEKVAFKARNQAEKSAAERFSSISKDNGMNSRQDKQCNDPGPSSSSRYPGSSNHGERFNGGNGESDPRNKATLERHQRTAERAAKALAEKNMRELLAQKEQAERNRLAETLEADVKRWSSGKERNLRALLSTLPYILGPDSGWQPIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQLKYTCEKVFDLLKDAWNKFSAEER >Potri.009G108766.1.v4.1 pep chromosome:Pop_tri_v4:9:9402315:9403025:1 gene:Potri.009G108766.v4.1 transcript:Potri.009G108766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108766.v4.1 MKTLIELREISFFALLLAIISVVLATICAKGNKKSGKMPPEVAGSWPVIGHLHLLGGRNQLLHKTLGGMADKYGSIFSIRLGIHPTIVVSDWEIVKECFTANDRVFPHVRNS >Potri.006G182601.1.v4.1 pep chromosome:Pop_tri_v4:6:18913565:18924251:1 gene:Potri.006G182601.v4.1 transcript:Potri.006G182601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182601.v4.1 MEFKFRAGDNRPPVCFSPSSSIGYFYEQALRASYPNADPRQIPEPMRNELFLRRIEKERIREEIIAQEMAWRQELEAEVRRELMVEREMAMRGGRGMSFEERLTMQFDSRKPLLPGPFVNQFDCSWPEDRLAFHGHGVSYMLPPVPRLPESEMKPSTENNKDKVIVLKKPDPNLCGAKRKAVTPAAESVGELPFAGIKKKPKEEWSCALCQVSATSERGLNEHLQGRRHKAKEAGLRAQKMARNPNKASLPKETTKTAKVTIPTAGLEMEAKIEDESLQLNKSDNFSNKKIENKEERGNRNDVQLEQKNQQLEDLNKSMAEAVQTKERTPEIKMKKKFKFWCEMCQIGAYSEMVMEAHKKGKKHLARLQKSSQNGEAVQADKKAKDSEVAVKETEDSEFVAERATDSGLAARGTDTERTETTVANADEQRPPSTITMEKEGVHQSEIISNQEKMSK >Potri.010G094700.5.v4.1 pep chromosome:Pop_tri_v4:10:11865266:11870928:-1 gene:Potri.010G094700.v4.1 transcript:Potri.010G094700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094700.v4.1 MCLHRCLFSIFAGIMRYPSPYPAMVRPLFPPRPPGALSVVPAVPRPLVPGIPGVRPVMYPIIRPVIPSVTPAEKPQTTVYIGKIAPSVENDFMMSLLQFCGPVKSWKRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDGQELMLNVNQATKEYLERYVEKKTENSKNFKETQVADTGKEDGTGIDGKKGEFSKSSAEDSNNDSDKGNKENHDLANFGIVTDEDKAGDREAIEKLTSMIEERLKTKPLPPPPPPSLAPADGSGNSNLELPSKSRDGDTDADIMRSVEGKNDDETSSDNKAASEQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYERETERERVRKEREQRRKIEEAEREYEERLRDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWRRSALEDRRRKRLREKEDDLADRLKEEEEIAESKRRDEEEKLQEKQKDELKLLSGHVLNESEMTNLAEGPIVESKDEAIEKDYDGDSGNENLAGDEVLQNGNGDESNMALMAEPDTRHNGSAPARKLGFGLVGSGKRAAVPSVFHEEEDDDARKEKKMRPLVPIDYSTEELQAVQPAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDVERERSRRSHDRSSQRDRDRNEDNINRNRDDNKEKVPERDRDRDRNRDHGLDKVKTPDKQKLLDAKQLIDMIPKTKEELFLYEINWAVYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLEMLQAILDDEAEMFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.010G094700.7.v4.1 pep chromosome:Pop_tri_v4:10:11865260:11870908:-1 gene:Potri.010G094700.v4.1 transcript:Potri.010G094700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094700.v4.1 MAEDSSSSPSLAPGILDPNSLKTEPLNNNSNPTPQPDATMTPTPAITSYAPPPQLAPSFRPVSAPPPAAAQFYQNPSVGVPPMMPPYQVQPGVQAPRPHYAPIPNGYQGNIAPPPGIMRYPSPYPAMVRPLFPPRPPGALSVVPAVPRPLVPGIPGVRPVMYPIIRPVIPSVTPAEKPQTTVYIGKIAPSVENDFMMSLLQFCGPVKSWKRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDGQELMLNVNQATKEYLERYVEKKTENSKNFKETQVADTGKEDGTGIDGKKGEFSKSSAEDSNNDSDKGNKENHDLANFGIVTDEDKAGDREAIEKLTSMIEERLKTKPLPPPPPPSLAPADGSGNSNLELPSKSRDGDTDADIMRSVEGKNDDETSSDNKAASEQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYERETERERVRKEREQRRKIEEAEREYEERLRDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWRRSALEDRRRKRLREKEDDLADRLKEEEEIAESKRRDEEEKLQEKQKDELKLLSGHVLNESEMTNLAEGPIVESKDEAIEKDYDGDSGDEVLQNGNGDESNMALMAEPDTRHNGSAPARKLGFGLVGSGKRAAVPSVFHEEEDDDARKEKKMRPLVPIDYSTEELQAVQPAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDVERERSRRSHDRSSQRDRDRNEDNINRNRDDNKEKVPERDRDRDRNRDHGLDKVKTPDKQKLLDAKQLIDMIPKTKEELFLYEINWAVYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLEMLQAILDDEAEMFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.010G094700.1.v4.1 pep chromosome:Pop_tri_v4:10:11865264:11870966:-1 gene:Potri.010G094700.v4.1 transcript:Potri.010G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094700.v4.1 MAEDSSSSPSLAPGILDPNSLKTEPLNNNSNPTPQPDATMTPTPAITSYAPPPQLAPSFRPVSAPPPAAAQFYQNPSVGVPPMMPPYQVQPGVQAPRPHYAPIPNGYQGNIAPPPGIMRYPSPYPAMVRPLFPPRPPGALSVVPAVPRPLVPGIPGVRPVMYPIIRPVIPSVTPAEKPQTTVYIGKIAPSVENDFMMSLLQFCGPVKSWKRPQDPANGTPRGFGFCEFESAEGVLRALRLLTKFNIDGQELMLNVNQATKEYLERYVEKKTENSKNFKETQVADTGKEDGTGIDGKKGEFSKSSAEDSNNDSDKGNKENHDLANFGIVTDEDKAGDREAIEKLTSMIEERLKTKPLPPPPPPSLAPADGSGNSNLELPSKSRDGDTDADIMRSVEGKNDDETSSDNKAASEQDRPETSSPDRSRGYDRRSRDRDRERDLKREKEREIERYERETERERVRKEREQRRKIEEAEREYEERLRDWEYREREKEKQRQYEKERDKERERKRRKEILHDEEDEDDDSRKRWRRSALEDRRRKRLREKEDDLADRLKEEEEIAESKRRDEEEKLQEKQKDELKLLSGHVLNESEMTNLAEGPIVESKDEAIEKDYDGDSGNENLAGDEVLQNGNGDESNMALMAEPDTRHNGSAPARKLGFGLVGSGKRAAVPSVFHEEEDDDARKEKKMRPLVPIDYSTEELQAVQPAVSGAQPPNLVAAAEFAKRISNVAPKEEKPDVERERSRRSHDRSSQRDRDRNEDNINRNRDDNKEKVPERDRDRDRNRDHGLDKVKTPDKQKLLDAKQLIDMIPKTKEELFLYEINWAVYDKHELHERMRPWISKKITEFLGEEETTLVDYIVSSTQEHVKASQMLEMLQAILDDEAEMFVLKMWRMLIFEIKKVETGLSLRSKS >Potri.004G037400.1.v4.1 pep chromosome:Pop_tri_v4:4:2947105:2948625:-1 gene:Potri.004G037400.v4.1 transcript:Potri.004G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037400.v4.1 MGSLPSPPQTTTPSSSPESSPSSYMVYASFCHKNVSNWIECYNPSNNTWSYVSSVPNLIENHVLKGFAMVTLGDSIYIIGGLLCRRVQAPNSIDESDEFIDVGIEVLPSVLRYNVCSNQWSQSAPLGEPRYDFACAICENKIYVAGGKSSLASRRGISCAEVYDPTLNAWSPLPSMSTLRYKSVGVTWRGKIHVVGGFAMRRDSDKTVPFITERSSAEVYDPRTGKWDLVAGMWQLDVPPNQIVEVDGSLFSSGDCFKAWKGYIEAYDGKLNIWNVVDGSHLQTLNSPISPSDDNNENWPPTQRIYLTMAPIGTRLFFLAGYRKAGESSRIMSTALIFDTTATRRAWASSEPMEEEGVKELCSHCCVVRISETTVASEVTI >Potri.013G142501.1.v4.1 pep chromosome:Pop_tri_v4:13:15679996:15680800:1 gene:Potri.013G142501.v4.1 transcript:Potri.013G142501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142501.v4.1 MRATCILLCFFTFLVASSAHPGKKKQYKPCKELVLYFHDIIYNGQNAANATSAIVAAPEGANLTILASQFHFGNIAVFDDPITLDNNLHSPPVGRAQGMYIYDTKNTFTAWLGFSFVLNSTDHHGTINFMGADPTTLKTRDISVVGGTGDFFMHRGIATIATDAYEGDVYFRLRVDIKFYECW >Potri.002G091200.1.v4.1 pep chromosome:Pop_tri_v4:2:6596034:6601175:1 gene:Potri.002G091200.v4.1 transcript:Potri.002G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091200.v4.1 MEKAAVSEKDITNSPTSEKHEEDATIAEGDNQMRVSEEVNSLDTRKDNPKLDKDNAESDAGESIDESSGLEFKCPVDNVVKHDDLGKECENMGMEPKSVGAKLNNDTQRVVSGDKMDEVGDLEPKAEEGNKQTPEEILGEQKEPEPVFDGTEVPGMEANRSTSFHSSDADHEAEGSAWPEKAVALKNFVKEKGAVAVTSVLRVLSVKRDEVEWVTGDEDREASDSAKDKEVTEVSQKPADRSAWNPLSYIMFSHDDAENKFEQGVEGSEEPPQPIAMKGRIILYTRLGCQDCKEVRLFLHRKRLRYVEINIDVYPSRKLELEKFTGSSTVPKVFFNEIVIGGLTELNGLDESGKLGEKIDYLITEAPASEAPLPPLSGEDDASTSGSIDELALIVRKMKESIVVKDRFYKMRRFTNCFLGSEAVDFLSEDQYLEREEATEFGRKLVSKLFFRHILDENIFEDGNHLYRFLDNDPVVSSQCYNIPRGIVEAKPKPITEIAARLRFLSCAIFEAFTSVDGKHVDYRSIHGSEEFARYLRIIQELQRVELLDMPREEKLAFFINLYNMMAIHAILVLGFPKGALERRKLFGDFQYVIGGCTYSLSAIQNGILRGNQRPPYNLTKPFGVKDKRSKVTLPYAEPLIHFALVCGTRSGPALRCFSPGDIDKELMEAARDFLRGGGLIIDLNAKTAFASKILKWFSVDFGKNEMEVLKHASNFLEPTYSETLMELLDGAQLKVTYQPYDWGLNN >Potri.006G111200.6.v4.1 pep chromosome:Pop_tri_v4:6:8644972:8650729:1 gene:Potri.006G111200.v4.1 transcript:Potri.006G111200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111200.v4.1 MFLGCEQRTTRFILKQVFLFFLLSLVFIACISAESDSDNSERLRSAPHKNVRNNVIDGSGTENAVDFEGTSGGMKEGKGGYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWEGLCGGMAAGVMLAASFDLIQEGQSHGAGSWVVIGILSGGIFILLCKKFLEQYGEVSMLDIRGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMMLASKGVSPQNAMLWSVITSLPQPIVAVPAFMCAGAFSKFLPFCTGFAAGCMIWMVVSEVLPDAFKEASPPQVASAATISVAFMEALSTAFENFSHDYNSEDASGFFVSLLFGLGPLLGGFILVVFALAFHLQHALLMGAASGIAFILAAWRPLQLLVSSKMGFFSLIFLLALGAAFVHVSSCSILKLAGRKKASVNNLPTANGFSVSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHSALAAATLIGFVGPISAIGAILAGIDYSGLDHVMVFACGGLLPSFGNIIRRGVRLDARKGGFGLAIGVGFASLCLMCTKLVCLHTPYCNSAPEAVR >Potri.006G111200.5.v4.1 pep chromosome:Pop_tri_v4:6:8644972:8650734:1 gene:Potri.006G111200.v4.1 transcript:Potri.006G111200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111200.v4.1 MFLGCEQRTTRFILKQVFLFFLLSLVFIACISAESDSDNSERLRSAPHKNVRNNVIDGSGTENAVDFEGTSGGMKEGKGGYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWEGLCGGMAAGVMLAASFDLIQEGQSHGAGSWVVIGILSGGIFILLCKKFLEQYGEVSMLDIRGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMMLASKGVSPQNAMLWSVITSLPQPIVAVPAFMCAGAFSKFLPFCTGFAAGCMIWMVVSEVLPDAFKEASPPQVASAATISVAFMEALSTAFENFSHDYNSEDASGFFVSLLFGLGPLLGGFILVVFALAFHLQHALLMGAASGIAFILAAWRPLQLLVSSKMGFFSLIFLLALGAAFVHVSSCSILKLAGRKKASVNNLPTANGFSVSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHSALAAATLIGFVGPISAIGAILAGIDYSGLDHVMVFACGGLLPSFGNIIRRGVRLDARKGGFGLAIGVGFASLCLMCTKLVCLHTPYCNSAPEAVR >Potri.006G111200.1.v4.1 pep chromosome:Pop_tri_v4:6:8644938:8650935:1 gene:Potri.006G111200.v4.1 transcript:Potri.006G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111200.v4.1 MFLGCEQRTTRFILKQVFLFFLLSLVFIACISAESDSDNSERLRSAPHKNVRNNVIDGSGTENAVDFEGTSGGMKEGKGGYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWEGLCGGMAAGVMLAASFDLIQEGQSHGAGSWVVIGILSGGIFILLCKKFLEQYGEVSMLDIRGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMMLASKGVSPQNAMLWSVITSLPQPIVAVPAFMCAGAFSKFLPFCTGFAAGCMIWMVVSEVLPDAFKEASPPQVASAATISVAFMEALSTAFENFSHDYNSEDASGFFVSLLFGLGPLLGGFILVVFALAFHLQHALLMGAASGIAFILAAWRPLQLLVSSKMGFFSLIFLLALGAAFVHVSSCSILKLAGRKKASVNNLPTANGFSVSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHSALAAATLIGFVGPISAIGAILAGIDYSGLDHVMVFACGGLLPSFGNIIRRGVRLDARKGGFGLAIGVGFASLCLMCTKLVCLHTPYCNSAPEAVR >Potri.006G111200.4.v4.1 pep chromosome:Pop_tri_v4:6:8644938:8650887:1 gene:Potri.006G111200.v4.1 transcript:Potri.006G111200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111200.v4.1 MFLGCEQRTTRFILKQVFLFFLLSLVFIACISAESDSDNSERLRSAPHKNVRNNVIDGSGTENAVDFEGTSGGMKEGKGGYNRVSISTVALFTLAMAAATGLGAVPFFFVELDPQWEGLCGGMAAGVMLAASFDLIQEGQSHGAGSWVVIGILSGGIFILLCKKFLEQYGEVSMLDIRGADATKVVLVIGIMTLHSFGEGSGVGVSFAGSKGFSQGLLVTLAIAVHNIPEGLAVSMMLASKGVSPQNAMLWSVITSLPQPIVAVPAFMCAGAFSKFLPFCTGFAAGCMIWMVVSEVLPDAFKEASPPQVASAATISVAFMEALSTAFENFSHDYNSEDASGFFVSLLFGLGPLLGGFILVVFALAFHLQHALLMGAASGIAFILAAWRPLQLLVSSKMGFFSLIFLLALGAAFVHVSSCSILKLAGRKKASVNNLPTANGFSVSVHTLQSFLSCGAVAFHALAEGLALGVAAPKAYGLGRHMVLPVSLHGLPRGAAVASCIFGATDSWHSALAAATLIGFVGPISAIGAILAGIDYSGLDHVMVFACGGLLPSFGNIIRRGVRLDARKGGFGLAIGVGFASLCLMCTKLVCLHTPYCNSAPEAVR >Potri.004G201300.2.v4.1 pep chromosome:Pop_tri_v4:4:21125141:21128271:-1 gene:Potri.004G201300.v4.1 transcript:Potri.004G201300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201300.v4.1 MKKCELQLRQTQQKRPPRPPLPTALGFGGDEDDDVEKDITLQAAKNKSLKDTEEQQKKALEEDPSKIAQPKALDREKRESKNIKTLMEKAQERQRQHDNVFEKNLREDKFVTAAYKRKLAEQEKWMAQERLRELKEEKEDWPRKQGKQEKQAEFRKLENLDGQVAGETSDRNHALSDPKFVSKSSSVKEVHLNETSPPRSSEPLDPKPVSDKPVSGTSTEGKTPAEQSSASQPNHDHHKRNQDALAAAKERFLARKKAKDQ >Potri.001G026700.1.v4.1 pep chromosome:Pop_tri_v4:1:1990836:1993099:-1 gene:Potri.001G026700.v4.1 transcript:Potri.001G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026700.v4.1 MAASAKLPVTDKHTKNEDWTVVLPRRGKRRTCSRRNSTPEAKQQPWVPTELESDPDRELKLIQKMEICIKKVESSQFYQNFLELVENPDILNSFHKVLGLELEMPMVIYGIGSIESYETPRFQLSLAILMKRKFNWIGDVEVFDPILSATESRVLESLGCSVLSVNEQGRRRATKPTLFYMPHCEAELYNNLLQANWELELLNHIVLFGNSFEMYEFLSEIKNSFIVDSTGHILAARKFADEHVLKIASDDYFAAFHDSSWHFFSPALDTELLLVKN >Potri.001G026700.2.v4.1 pep chromosome:Pop_tri_v4:1:1990835:1991688:-1 gene:Potri.001G026700.v4.1 transcript:Potri.001G026700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026700.v4.1 MEICIKKVESSQFYQNFLELVENPDILNSFHKVLGLELEMPMVIYGIGSIESYETPRFQLSLAILMKRKFNWIGDVEVFDPILSATESRVLESLGCSVLSVNEQGRRRATKPTLFYMPHCEAELYNNLLQANWELELLNHIVLFGNSFEMYEFLSEIKNSFIVDSTGHILAARKFADEHVLKIASDDYFAAFHDSSWHFFSPALDTELLLVKN >Potri.008G052000.9.v4.1 pep chromosome:Pop_tri_v4:8:3049448:3053701:1 gene:Potri.008G052000.v4.1 transcript:Potri.008G052000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052000.v4.1 MRNALLPPVSSHLYNFGNNEVQMPNLDVSMPMDGKINVHGTTGFYQDSKVFNDDHQQRDGGFSIPHQSLVNYQPGYQSMSSTCNNISQQSMLLSKRVSVPEVQMDFQAMQMKKRTINELTNLLPQRGPSDLIENKTALSTWKTNKRKKANSPGELWNHQAMESINIQEQKFQVPVRRSQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQNLIQMLTSSYSRARPVQQSQEIDGRLVDLRSRGLCFVPISFMQKMTQQQDHVDPSSYPRKTISARTF >Potri.008G052000.2.v4.1 pep chromosome:Pop_tri_v4:8:3049448:3053701:1 gene:Potri.008G052000.v4.1 transcript:Potri.008G052000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052000.v4.1 MRNALLPPVSSHLYNFGNNEVQMPNLDVSMPMDGKINVHGTTGFYQDSKVFNDDHQQRDGGFSIPHQSLVNYQPVAGYQSMSSTCNNISQQSMLLSKRVSVPEVQMDFQAMQMKKRTINELTNLLPQRGPSDLIENKTALSTWKTNKRKKANSPGELWNHQAMESINIQEQKFQVPVRRSQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQNLIQMLTSSYSRARPVQQSQLGLSQEIDGRLVDLRSRGLCFVPISFMQKMTQQQDHVDPSSYPRKTISARTF >Potri.008G052000.7.v4.1 pep chromosome:Pop_tri_v4:8:3049448:3053701:1 gene:Potri.008G052000.v4.1 transcript:Potri.008G052000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052000.v4.1 MRNALLPPVSSHLYNFGNNEVQMPNLDVSMPMDGKINVHGTTGFYQDSKVFNDDHQQRDGGFSIPHQSLVNYQPVAGYQSMSSTCNNISQQSMLLSKRVSVPEVQMDFQAMQMKKRTINELTNLLPQRGPSDLIENKTALSTWKTNKRKKANSPGELWNHQAMESINIQEQKFQVPVRRSQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQNLIQMLTSSYSRARPVQQSQEIDGRLVDLRSRGLCFVPISFMQKMTQQQDHVDPSSYPRKTISARTF >Potri.008G052000.4.v4.1 pep chromosome:Pop_tri_v4:8:3049448:3053701:1 gene:Potri.008G052000.v4.1 transcript:Potri.008G052000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052000.v4.1 MRNALLPPVSSHLYNFGNNEVQMPNLDVSMPMDGKINVHGTTGFYQDSKVFNDDHQQRDGGFSIPHQSLVNYQPGYQSMSSTCNNISQQSMLLSKRVSVPEVQMDFQAMQMKKRTINELTNLLPQRGPSDLIENKTALSTWKTNKRKKANSPGELWNHQAMESINIQEQKFQVPVRRSQKLSDKITALQKLVSPYGKTDTASVLQEASLYIKLLQEQIQNLIQMLTSSYSRARPVQQSQLGLSQEIDGRLVDLRSRGLCFVPISFMQKMTQQQDHVDPSSYPRKTISARTF >Potri.004G118100.1.v4.1 pep chromosome:Pop_tri_v4:4:11189765:11192283:-1 gene:Potri.004G118100.v4.1 transcript:Potri.004G118100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118100.v4.1 MKKNQEKMHVCKLCNKSFLTGNMLGGHMRIHGTRKSIKGNVKFESSNVGPDSCGVREQPKKSWKSSDFNHDDSVSTQETVKCRFCGKEFGSEKSLHGHMRHHPAKERKGVYCEECGRGFLSLKSLSNHKRLHREKFTISSEPRASSRPNLVSMALSATEAVNLVRRKRSSRMRYKITPNSSFSSLNESVSGFDIDQEVEEAALTLIMMSRSECNGFDSLCRNKRIERDEDCHVFHGNELVKPKKPREDNLDSCDLDSMTSIHGCGGEGDTETGRDEENQVRSEVPGETTFKDIESKSPQLDDESGVEFCRIDIEKGDHDEMLTTCTEAESSQDLMSEVGLDCAGSGFEKSIPSNQARFDACNSEMGKESRYQMEVTTSSYDIMQGPSKKGDFTCRICNRKFNTYQSLGGHQTFHRKSPIEVKVDSCEKDIQTNFSAETEATGKLECIQELAKQESDEVIVKDCESKEGKEHKCSICFKVFLSGQALGGHKRAHFLRAREEQNTAMKQEVPGICDALNVDVPYTFAAEASNDVRCESWCVRCESWWPANSHNHEPLVGHIAN >Potri.001G384000.1.v4.1 pep chromosome:Pop_tri_v4:1:40347296:40351543:1 gene:Potri.001G384000.v4.1 transcript:Potri.001G384000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G384000.v4.1 MKIQCNVCEAAEANVLCCADEAALCRACDETVHAANKLASKHQRVPLSTSSPQIPKCDICQEAAGFFFCLEDRALLCRKCDVAIHTANTHVSAHQRFLLTGVKVGLESTDPGASSSPGKSPSGEITTLKTKSCPVSRRGTSMPLASPCNQVFPANVCGVGEFVPAKLPYSGGSAASSISQWQIDEFLELAEFNQHYGYMDNGSSKADSGKHGDSDCSAILRSAEEEVDDEECLGQVPDSSWAVPQIPSPPTASGLYWPKSIHHSDTAIFVPDICGSAVQNHRHCLQRGTVSKWRQQL >Potri.001G384000.2.v4.1 pep chromosome:Pop_tri_v4:1:40347296:40351547:1 gene:Potri.001G384000.v4.1 transcript:Potri.001G384000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G384000.v4.1 MKIQCNVCEAAEANVLCCADEAALCRACDETVHAANKLASKHQRVPLSTSSPQIPKCDICQEAAGFFFCLEDRALLCRKCDVAIHTANTHVSAHQRFLLTGVKVGLESTDPGASSSPGKSPSGEITTLKTKSCPVSRRGTSMPLASPCNQVFPANVCGVGEFVPAKLPYSGGSAASSISQWQIDEFLELAEFNQHYGYMDNGSSKVRMQIHEANTG >Potri.005G212400.2.v4.1 pep chromosome:Pop_tri_v4:5:21611993:21615847:1 gene:Potri.005G212400.v4.1 transcript:Potri.005G212400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212400.v4.1 MLSTRKLKRQVVVLSPRVLFSLLSDSGHETTRVSRCRMLVSWSSRPVNEFLESSLTNLNGPTVVVGIQGGFNHQSHSFSTLAGTILVQARDPAKLCMEIENAIDEHRFNDAWKLFEQHLHMDGFPRKSIVNKLLTSYSASLDVPWLEKAYGLVEQAIEESKQNLLEKEPLIYLSFVLAKCGLPVPASTVLRKLIQMEQYPPVTAWSAILAHMSLTAPGAYLAAELILEIGYLFQDGRVDPRKKINAPLIAMKPNTIAMNIALTGCLLFGTTRKAEQLLDMMPRIGIRADTNLLIIMAHIYERNGRREELRKLQRHIDDSQSLTDTQFRQFYNCLLTCHLKFGDLDAASNMVLIMLRKAREARNSFATAALLFPIDKKSSPGPVSEKSLSHKESGGLGNNRSNKDLTISYEEFYRDRNFLKLDTEAKEVLSTLLVELQMQVELITTERGILQPTEKIYVKLVKAFLESGKTKELAAFLIKAEKEDSPASNDDSALVHVINSCISLGWLDQAHDLLDEMCLAGIRTSSSVYASLLKAYCKANRTGEVTALLRDARRAGIQLDSSSYNALIEFRVIQKDTKEALHIFKEMKEAKIPRTGHQEFEMLVKGCAEGGEAGLMAKLLQEIKEEQAADSGVHDWNNVIHFFCKKRLMQDAEKALKKMRSLGHAPNAQTFHSMVTGYAAIGGKYIEVTELWGEMKSIASATSMKFDQELLDSVLYTFVRGGFFSRANEVVDMMEKGKMFIDKYKYRTLYLKYHKTLYKGKTPKIQTESLVKKREAALTFKKWLGLN >Potri.005G212400.3.v4.1 pep chromosome:Pop_tri_v4:5:21611982:21615643:1 gene:Potri.005G212400.v4.1 transcript:Potri.005G212400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212400.v4.1 MLSTRKLKRQVVVLSPRVLFSLLSDSGHETTRVSRCRMLVSWSSRPVNEFLESSLTNLNGPTVVVGIQGGFNHQSHSFSTLAGTILVQARDPAKLCMEIENAIDEHRFNDAWKLFEQHLHMDGFPRKSIVNKLLTSYSASLDVPWLEKAYGLVEQAIEESKQNLLEKEPLIYLSFVLAKCGLPVPASTVLRKLIQMEQYPPVTAWSAILAHMSLTAPGAYLAAELILEIGYLFQDGRVDPRKKINAPLIAMKPNTIAMNIALTGCLLFGTTRKAEQLLDMMPRIGIRADTNLLIIMAHIYERNGRREELRKLQRHIDDSQSLTDTQFRQFYNCLLTCHLKFGDLDAASNMVLIMLRKAREARNSFATAALLFPIDKKSSPGPVSEKSLSHKESGGLGNNRSNKDLTISYEEFYRDRNFLKLDTEAKEVLSTLLVELQMQVELITTERGILQPTEKIYVKLVKAFLESGKTKELAAFLIKAEKEDSPASNDDSALVHVINSCISLGWLDQAHDLLDEMCLAGIRTSSSVYASLLKAYCKANRTGEVTALLRDARRAGIQLDSSSYNALIEFRVIQKDTKEALHIFKEMKEAKIPRTGHQEFEMLVKGCAEGGEAGLMAKLLQEIKEEQAADSGVHDWNNVIHFFCKKRLMQDAEKALKKMRSLGHAPNAQTFHSMVTGYAAIGGKYIEVTELWGEMKSIASATSMKFDQELLDSVLYTFVRGGFFSRANEVVDMMEKGKMFIDKYKYRTLYLKYHKTLYKGKTPKIQTESLVKKREAALTFKKWLGLN >Potri.005G212400.1.v4.1 pep chromosome:Pop_tri_v4:5:21612007:21615606:1 gene:Potri.005G212400.v4.1 transcript:Potri.005G212400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212400.v4.1 MLSTRKLKRQVVVLSPRVLFSLLSDSGHETTRVSRCRMLVSWSSRPVNEFLESSLTNLNGPTVVVGIQGGFNHQSHSFSTLAGTILVQARDPAKLCMEIENAIDEHRFNDAWKLFEQHLHMDGFPRKSIVNKLLTSYSASLDVPWLEKAYGLVEQAIEESKQNLLEKEPLIYLSFVLAKCGLPVPASTVLRKLIQMEQYPPVTAWSAILAHMSLTAPGAYLAAELILEIGYLFQDGRVDPRKKINAPLIAMKPNTIAMNIALTGCLLFGTTRKAEQLLDMMPRIGIRADTNLLIIMAHIYERNGRREELRKLQRHIDDSQSLTDTQFRQFYNCLLTCHLKFGDLDAASNMVLIMLRKAREARNSFATAALLFPIDKKSSPGPVSEKSLSHKESGGLGNNRSNKDLTISYEEFYRDRNFLKLDTEAKEVLSTLLVELQMQVELITTERGILQPTEKIYVKLVKAFLESGKTKELAAFLIKAEKEDSPASNDDSALVHVINSCISLGWLDQAHDLLDEMCLAGIRTSSSVYASLLKAYCKANRTGEVTALLRDARRAGIQLDSSSYNALIEFRVIQKDTKEALHIFKEMKEAKIPRTGHQEFEMLVKGCAEGGEAGLMAKLLQEIKEEQAADSGVHDWNNVIHFFCKKRLMQDAEKALKKMRSLGHAPNAQTFHSMVTGYAAIGGKYIEVTELWGEMKSIASATSMKFDQELLDSVLYTFVRGGFFSRANEVVDMMEKGKMFIDKYKYRTLYLKYHKTLYKGKTPKIQTESLVKKREAALTFKKWLGLN >Potri.010G014500.1.v4.1 pep chromosome:Pop_tri_v4:10:2429543:2446278:1 gene:Potri.010G014500.v4.1 transcript:Potri.010G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014500.v4.1 MSLLRKSLAANSSIFTPSEAATVAMIHSASHRVLLRRSSSSSLRTATSVDLFYRRGSVLSLFNTVTHYSTSSSDDFFPSSKQRSRGPVMAAKKASRGEKQEEGRYKHTVDLPKTTFGMRANALVREPEIQKLWEDNQVFKRVVDKNEGEHFVLHDGPPYANGELHMGHALNKILKDVINRYKILQNYKVHYVPGWDCHGLPIELKVLQSMDQEARKDLTQSKLRAKAAKFAKATVKTQMASFKRFGVWGDWERPYLTLDPEYEAAQIEVFGQMAFQGYIYRGRKPVHWSPSSRTALAEAELEYPEGHVSKSIYAIFRVVSAPPTSDGLLEEFFPDLCLAIWTTTPWTVPANAAVAVNAKLQYAVIEVQSFSGDASTSSGNKKQRLGHVLKEQKKPFLIVASDLVPTLEAKWGVKLVVKKTLLGSDLENCRYIHPIDKRECPVVIGGDYITTESGTGLVHTAPGHGREDYVTGLKYGLPIISPVDDDGKFTEEAVQFSGLDVLGDGNIAVMKYLDEQMLLIMEESYQHNYPYDWRTKKPTIFRATEQWFASVEGFRQSAMEAISQVKWIPPQGENRITAMTSSRSDWCISRQRTWGVPIPVFYHLKTKEPLLNEETIDHIKSIIALKGSDAWWYMKVEDLLPEKYRDQASDYEKGMDTMDVWFDSGSSWAAVLGKSGGPKFPADLYLEGTDQHRGWFQSSLLTSIATKGKAPYTSVITHGFVLDEKGCKMSKSLGNVVDPHTIIEGGRNSKEAPGYGADVLRLWVSSVDYTGDVMIGTQVLRQISDIYRKLRGTLRYLLGNLHDWEAGNAISYNDLPMIDKHALFQLENVVNTIRESYDNYQFFKIFQIIQRFVIVDLSNFYFDVAKDRLYVGGTTSHTRRSCQTVLAAHLLSIARVIAPILPHLAEDVWQNLPFQFTVQDGSAAKFVFESKWPATNEKWLAFPIEEIDFWGKILELRTEVNKVLEVARTGKLIGSSLEAKVYLHASDASLASRLHEMCTASNDADALHRIFITSQAEMLHHVEDEVLKAIPYSGEYLIQGSERVWIGVSRAEGLKCERCWNYTPQVGSFVEHPTLCGRCYKVVAVQPEPAVSAAC >Potri.001G061500.1.v4.1 pep chromosome:Pop_tri_v4:1:4722768:4730658:1 gene:Potri.001G061500.v4.1 transcript:Potri.001G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061500.v4.1 MKSSLRKLRGLAALHKHGHGGDHKDRRDLLSLAQLDELAKAYRDMQDMKDCYDSLLSAASATANCAYEFSESVREMGACLLEKTASNDDEEGGRVLLMLGKVQFELEKLVDCYRSHIHKTIISPSESLLNELQTVEEMKRLCDEKRDVYEYMVRQKEKGRGRSGKGESVSMQQVQAAHDEYDEEATLFVFRIKSLKQGQSRSLLTQAARHHAAQLYFFKKALKSLEAVEPHVKLVTERQHIDYHFSGLDDDGRDDVDDDDEDYDDANDDGELSFDYGQNDQEQEVSTPKKSMELDSAGVTFPQVATLEMAKENLDRSYRTTSSFKGELQTGTQSAPLFAETTSDPAGKTKKLTPSSTRKLNTYVLPTPADPKSSNPTGSGSPVSGTLKISLSSRTPNLWHSSPLDQKKIEKLLGVEMSNKPTTKNSQSVLKESNNNTASTRLPPPLADGHLFSRLEPLAAFDSKKTRRYAFSGPITSKPLSTKPVSAEHPQLFSGPLLRNPATQLLSPPKVSPIISPKVSPSASPTFVSPPKISELHELPRPPLSSTSKSPRAEGLVGHSTPLLPKGSMHPGTRKTPASNVASQLPTPSQVVTRSFSIPSRSRRIMVAQSSGIAEDVASPPLTPISLCNNYPSSTGSHTVNQTVQIRGAD >Potri.004G144600.1.v4.1 pep chromosome:Pop_tri_v4:4:16731214:16733426:-1 gene:Potri.004G144600.v4.1 transcript:Potri.004G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144600.v4.1 MLMAITRFSYSTILALLYLSLLHLVTSFPSSNGQIDYNYNYNYYDSSCPRLGMIVKYGVWAAFKNDTRIAASLLRLHFHDCFVNGCDASVLLDDTINFRGEKNALPNRNSARGYEVIESIKADVEKACPSTVSCVDILALAARESVLLSGGPYYPLSLGGLDGLTASEKAANEQLPSPFEPLENITAKFASKGLDIKDVVVLSGAHTIGFAQCFSFKRRLFDFKGTGKPDPTLDSSAVANLQGTCPNKDASNSKLAPLDSASTYRFDNAYYVNLVNRTGLLESDQALMGDSKTAAMVTAYSSNSYLFSADFASSMVKMSNLGILTGSNGQIRKKCGSVN >Potri.005G182900.3.v4.1 pep chromosome:Pop_tri_v4:5:18963293:18973468:1 gene:Potri.005G182900.v4.1 transcript:Potri.005G182900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182900.v4.1 MEYHKYDPNARASRKSKDRTIATTAMTPPSSYFHYTKYAKHSSPTPSPRYPNNLNFHHHSNFLEPPPPPQTPPPPPSFPQFSPRNPHFSYNPSPNHPQIHDNYNHQRSHHDLPHSTQLPWVSHQLYDDRQPPRRLPEFDHCVHEPRPDFTFLRDDHMQTRHELEGDPNPNSRLVQDRNVVTDGESEHRRRRGEFGSNSDRSSSSVFRTVSNQLKGFKSNSGNYENRRRLNYDYHEKGSANQRWVHDREVMGESRDSLIELGSNEIGDGENRILAGKREHYRIRDGNLELERNGGKRSREGSYEFNRTPRKQLQKKSALLRLQKPSYRNREDKRVHYSSYADYTKSSPFRGKHQESGFLRGKDKDKVLHADRGMVEGARERSPVELDVSFKSNSLVAKAILTPTLSSAGASEMNLTPRNRKVRKVLVPAKDMDSLNSSMHKLNKVALGLDEAASVANKTSSSNKELKKSKEVVTASGITNVRDSSSLPMKRTVSLRSGTNLVSGKTSSLKGGKKKKVVKRVVKKVVNHKSTLSSSQPTKTCDEPVKADSFAYNPTEPIDPDKAATVADIVDPQPCPNEATAMPTNDKVERFDKFMESGLVGAGADSGNLFVFNINGNKSRSASPSGFSNHEETKFVESFINGDCDKGLRAIADTENSLKKALDETFRSDIGGVEDVSKQPCQNGDSCLFEDNALRGSLKVLDYMEGNTDFGSLSSEKTIIHEGPMNTCMPLMGLDTASMNSQERITVFDAGASDVGCKEPCRNLGSPSAENGITDLLQGASFPVVNNRSFSVSISGETGIQNDVIRPNQGVGAILVSPSCCTNSEEINISVHGTGDDFSEQLSQDGVTKTLEIASIGGSLDTKVSAGGSDEDATDITKNDKKIEIPQLDLSRTDVSYMHLDPANMVTSTTAHWVDKTLRLCFEDSATAECTFSGFQFVDVGSQSCNIVSVLHEGSLTDVSAGKDSVRRSDDVGPSNVSPRNEKNRKFSAPQLELNSPQESDADEGTVFAGNSTSGMEVPSNSGDGQTLPEEEAVVSDMDFLCTSDFLPAQKRIAASLENCSAGEHTVAAVKDDAFEDDGQKDVKSHFAVEELAVTKVTSRDLVVLGGKDIINATPVVVGSSNPNDSMDVDAGEGDKMDINAAEEQVVIDGGIDPCQIPSKLQTQVLTEKLPRIDVEDSDFHGVKNNSPCMSNNLSSFEDGFGVSTINSSEELMAFVPETLSDRGFPETLPDVLGTSLSKNPVEKVHGYHDKILAERPAINVGSNSSICTTSSQSGKIVLKSDHAVEGDRLLARRTGHFPSQDSKITTRTQNAVSGQLYGRKNQTNCAVSEIYPGRSSFVFTASKSTASSSRNSKTQTWHRTDSSSDSAPPAKKAFSSTVHAQMQFPRKTDKLQSTSYIRKGNSLVRKPISVARSPDPHGLSSSVYQLNSSGTNEPKKSTGSDSRIDIVDPLDVVRKGGMNASCERPKTPPLSSVPKIPNQATNALGVRVSSPLAEHLHSLSTETATAPAEFMESNDVPKSSDNLLKISESPITQNSQINNLECNGDLNEDNKVVLANVKNLTYVKRKSNQLVATSNPCASSVQNACNTSSSDSYYKRRRNQLIRTSLESQVKQTTSIPDESLNSEGQTALYSFFSGNFSKRRLRKVLTKTRKPSKFSLVWTLHGAQLSKNDGDSLHYGKVLSHLFPWKRATYWRSFLPKPSSISNHSSLSSIGRKLLLLRKRNTVYTRSKHGFSLRKSKVLSFGGSSLKWSKSIDRYSKKANEEATLAVAAVERKNRERRGAAHVASPTKSRNSSSRERIFRVGLVRYKMDSSKRTLQRISGDESSCSGALQKEKDAKKSYVPRRLMIGKDEYVRIGNGNQLIRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFSRFGKCNKDDGKCPFIHDSSKIAVCTKFLNGLCFNPECKLTHKVIPERMPDCSYFLQGLCTNKDCPYRHVRVNPNASICEGFLRGYCADVNECLKKHSYVCPTYEATGSCPQGSKCKLHHPKNRSKEKKSKRSRDNNAQGRYFGLMHASTTELRNPVPGKLNVLDNDAISFKGSIADYISLDVIDEVVENTIPADEHTALGDSDPLELQLGDLDELIKPVRIMNI >Potri.005G182900.6.v4.1 pep chromosome:Pop_tri_v4:5:18966435:18973472:1 gene:Potri.005G182900.v4.1 transcript:Potri.005G182900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182900.v4.1 MDFLCTSDFLPAQKRIAASLENCSAGEHTVAAVKDDAFEDDGQKDVKSHFAVEELAVTKVTSRDLVVLGGKDIINATPVVVGSSNPNDSMDVDAGEGDKMDINAAEEQVVIDGGIDPCQIPSKLQTQVLTEKLPRIDVEDSDFHGVKNNSPCMSNNLSSFEDGFGVSTINSSEELMAFVPETLSDRGFPETLPDVLGTSLSKNPVEKVHGYHDKILAERPAINVGSNSSICTTSSQSGKIVLKSDHAVEGDRLLARRTGHFPSQDSKITTRTQNAVSGQLYGRKNQTNCAVSEIYPGRSSFVFTASKSTASSSRNSKTQTWHRTDSSSDSAPPAKKAFSSTVHAQMQFPRKTDKLQSTSYIRKGNSLVRKPISVARSPDPHGLSSSVYQLNSSGTNEPKKSTGSDSRIDIVDPLDVVRKGGMNASCERPKTPPLSSVPKIPNQATNALGVRVSSPLAEHLHSLSTETATAPAEFMESNDVPKSSDNLLKISESPITQNSQINNLECNGDLNEDNKVVLANVKNLTYVKRKSNQLVATSNPCASSVQNACNTSSSDSYYKRRRNQLIRTSLESQVKQTTSIPDESLNSEGQTALYSFFSGNFSKRRLRKVLTKTRKPSKFSLVWTLHGAQLSKNDGDSLHYGKVLSHLFPWKRATYWRSFLPKPSSISNHSSLSSIGKLLLLRKRNTVYTRSKHGFSLRKSKVLSFGGSSLKWSKSIDRYSKKANEEATLAVAAVERKNRERRGAAHVASPTKSRNSSSRERIFRVGLVRYKMDSSKRTLQRISGDESSCSGALQKEKDAKKSYVPRRLMIGKDEYVRIGNGNQLIRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFSRFGKCNKDDGKCPFIHDSSKIAVCTKFLNGLCFNPECKLTHKVIPERMPDCSYFLQGLCTNKDCPYRHVRVNPNASICEGFLRGYCADVNECLKKHSYVCPTYEATGSCPQGSKCKLHHPKNRSKEKKSKRSRDNNAQGRYFGLMHASTTELRNPVPGKLNVLDNDAISFKGSIADYISLDVIDEVVENTIPADEHTALGDSDPLELQLGDLDELIKPVRIMNI >Potri.005G182900.5.v4.1 pep chromosome:Pop_tri_v4:5:18963346:18973471:1 gene:Potri.005G182900.v4.1 transcript:Potri.005G182900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182900.v4.1 MEYHKYDPNARASRKSKDRTIATTAMTPPSSYFHYTKYAKHSSPTPSPRYPNNLNFHHHSNFLEPPPPPQTPPPPPSFPQFSPRNPHFSYNPSPNHPQIHDNYNHQRSHHDLPHSTQLPWVSHQLYDDRQPPRRLPEFDHCVHEPRPDFTFLRDDHMQTRHELEGDPNPNSRLVQDRNVVTDGESEHRRRRGEFGSNSDRSSSSVFRTVSNQLKGFKSNSGNYENRRRLNYDYHEKGSANQRWVHDREVMGESRDSLIELGSNEIGDGENRILAGKREHYRIRDGNLELERNGGKRSREGSYEFNRTPRKQLQKKSALLRLQKPSYRNREDKRVHYSSYADYTKSSPFRGKHQESGFLRGKDKDKVLHADRGMVEGARERSPVELDVSFKSNSLVAKAILTPTLSSAGASEMNLTPRNRKVRKVLVPAKDMDSLNSSMHKLNKVALGLDEAASVANKTSSSNKELKKSKEVVTASGITNVRDSSSLPMKRTVSLRSGTNLVSGKTSSLKGGKKKKVVKRVVKKVVNHKSTLSSSQPTKTCDEPVKADSFAYNPTEPIDPDKAATVADIVDPQPCPNEATAMPTNDKVERFDKFMESGLVGAGADSGNLFVFNINGNKSRSASPSGFSNHEETKFVESFINGDCDKGLRAIADTENSLKKALDETFRSDIGGVEDVSKQPCQNGDSCLFEDNALRGSLKVLDYMEGNTDFGSLSSEKTIIHEGPMNTCMPLMGLDTASMNSQERITVFDAGASDVGCKEPCRNLGSPSAENGITDLLQGASFPVVNNRSFSVSISGETGIQNDVIRPNQGVGAILVSPSCCTNSEEINISVHGTGDDFSEQLSQDGVTKTLEIASIGGSLDTKVSAGGSDEDATDITKNDKKIEIPQLDLSRTDVSYMHLDPANMVTSTTAHWVDKTLRLCFEDSATAECTFSGFQFVDVGSQSCNIVSVLHEGSLTDVSAGKDSVRRSDDVGPSNVSPRNEKNRKFSAPQLELNSPQESDADEGTVFAGNSTSGMEVPSNSGDGQTLPEEEAVVSDMDFLCTSDFLPAQKRIAASLENCSAGEHTVAAVKDDAFEDDGQKDVKSHFAVEELAVTKVTSRDLVVLGGKDIINATPVVVGSSNPNDSMDVDAGEGDKMDINAAEEQVVIDGGIDPCQIPSKLQTQVLTEKLPRIDVEDSDFHGVKNNSPCMSNNLSSFEDGFGVSTINSSEELMAFVPETLSDRGFPETLPDVLGTSLSKNPVEKVHGYHDKILAERPAINVGSNSSICTTSSQSGKIVLKSDHAVEGDRLLARRTGHFPSQDSKITTRTQNAVSGQLYGRKNQTNCAVSEIYPGRSSFVFTASKSTASSSRNSKTQTWHRTDSSSDSAPPAKKAFSSTVHAQMQFPRKTDKLQSTSYIRKGNSLVRKPISVARSPDPHGLSSSVYQLNSSGTNEPKKSTGSDSRIDIVDPLDVVRKGGMNASCERPKTPPLSSVPKIPNQATNALGVRVSSPLAEHLHSLSTETATAPAEFMESNDVPKSSDNLLKISESPITQNSQINNLECNGDLNEDNKVVLANVKNLTYVKRKSNQLVATSNPCASSVQNACNTSSSDSYYKRRRNQLIRTSLESQVKQTTSIPDESLNSEGQTALYSFFSGNFSKRRLRKVLTKTRKPSKFSLVWTLHGAQLSKNDGDSLHYGKVLSHLFPWKRATYWRSFLPKPSSISNHSSLSSIGRKLLLLRKRNTVYTRSKHGFSLRKSKEATLAVAAVERKNRERRGAAHVASPTKSRNSSSRERIFRVGLVRYKMDSSKRTLQRISGDESSCSGALQKEKDAKKSYVPRRLMIGKDEYVRIGNGNQLIRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFSRFGKCNKDDGKCPFIHDSSKIAVCTKFLNGLCFNPECKLTHKVIPERMPDCSYFLQGLCTNKDCPYRHVRVNPNASICEGFLRGYCADVNECLKKHSYVCPTYEATGSCPQGSKCKLHHPKNRSKEKKSKRSRDNNAQGRYFGLMHASTTELRNPVPGKLNVLDNDAISFKGSIADYISLDVIDEVVENTIPADEHTALGDSDPLELQLGDLDELIKPVRIMNI >Potri.017G006400.1.v4.1 pep chromosome:Pop_tri_v4:17:468533:470432:1 gene:Potri.017G006400.v4.1 transcript:Potri.017G006400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G006400.v4.1 MVKIILVYCVLFLLFAASYQASNVVYNVVKLGAKPDGKIDSTEAFKKAWTLACSSTWPAMVYVPKGSFLIKPVVFGGPCKNKILFSIDGTIVAPSNYWVFGNSGFWILFYKVTGVTVYGGTIDAKGGSFWACRNAGKNCPPGARSMSFVASSNIMVSRLTSINSQMFHISIDQCHNITLENMKISAPSWSPNTDGIHMQSSTGISITNSMIKTGDDCISIGPGSKNLRIHRIVCGPGHGISIGSLALHQNEDGVENVKVTSVVFMGTQNGVRIKSWGRPSTGYARNIVFENIIMKYVYNPIIIDQNYCPSAKGCPKHSSGVKISGVTYKNIKGTSATQLAMNFVCSSSNPCKGLILEDINLTYYKKSGAATSFCKNANGSKKGVVIPPSCL >Potri.006G000600.1.v4.1 pep chromosome:Pop_tri_v4:6:54802:57973:1 gene:Potri.006G000600.v4.1 transcript:Potri.006G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000600.v4.1 MNANTTLTLTNITTSIKNPLMLTMKFCPCPCPSPTPPPPSPISSIRSSCRRRRRRTRSLQPSMVIQDTSTTAASAVSDADTDTDTDTDSLSVSRRLILLRHAKSSWDDRSLRDHDRPLSKSGELDAAKVSQKLQHLGWIPQLILSSDALRTKETLQIMQQQVPDFLDAEVHFISSFYSIAAMDGQTADHLQQAICNYSRDDILTVMCMGHNKGWEEAASMFSGASIELNTCNAALLEAAGKSWAEAFASAGLGGWKLQDIVKPSDSPKL >Potri.005G015100.2.v4.1 pep chromosome:Pop_tri_v4:5:1210726:1215517:1 gene:Potri.005G015100.v4.1 transcript:Potri.005G015100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G015100.v4.1 MGNPKTLSLSLILFSLFAITSAKVFFEERFEDGWENRWVVSDWKKDENTAGVWNHTSGKWNGDANDKGIQTSEDYRFYAISAEFPEFSNKDQTLVFQFSVKHEQKLDCGGGYLKLLSGEVDQKKFGGDTPYSIMFGPDICGHSTKKVHAILNYNETNQLIKKEVPCETDQLSHVYTFIIRPDATYSILIDNVEKQTGSLYTDWDLLPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKELPDPDAKKPEDWDDEEDGEWTAPTIPNPEYNGPWKPKKIKNPNYQGKWKAPKIDNPDFKDDPELYVYPNLRYVGIELWQVKSGTLFDNVLVSDDPEYAKQLAEETWGKQKDAEKAAFEEAEKKKADEEETNEDAAGSDAEDEDETDDVEGEDSDAETKEDKDGEDEEEVHDEL >Potri.005G015100.3.v4.1 pep chromosome:Pop_tri_v4:5:1210726:1215541:1 gene:Potri.005G015100.v4.1 transcript:Potri.005G015100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G015100.v4.1 MGNPKTLSLSLILFSLFAITSAKVFFEERFEDGWENRWVVSDWKKDENTAGVWNHTSGKWNGDANDKGIQTSEDYRFYAISAEFPEFSNKDQTLVFQFSVKHEQKLDCGGGYLKLLSGEVDQKKFGGDTPYSIMFGPDICGHSTKKVHAILNYNETNQLIKKEVPCETDQLSHVYTFIIRPDATYSILIDNVEKQTGSLYTDWDLLPPKQIKDPEAKKPEDWDDKEYIPDPEDKKPEGYDDIPKELPDPDAKKPEDWDDEEDGEWTAPTIPNPEYNGPWKPKKIKNPNYQGKWKAPKIDNPDFKDDPELYVYPNLRYVGIELWQVKSGTLFDNVLVSDDPEYAKQLAEETWGKQKDAEKAAFEEAEKKKADEEETNEDAAGSDDEDETDDVEGEDSDAETKEDKDGEDEEEVHDEL >Potri.015G113100.1.v4.1 pep chromosome:Pop_tri_v4:15:12917086:12918840:-1 gene:Potri.015G113100.v4.1 transcript:Potri.015G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113100.v4.1 MAIALNKNITTFLFFLLVNSLVSYSIQSLASPRNPNSLILGLTLASRASFPTYPKASTSSRKIVSIDVLGAKKPSREVRDGYLISLNIGTPPQVIQVLMDTGSDLTWVPCGNLSFDCMECDDYRNNKLMATFSPSYSSSSYRASCASPFCIDIHSSDNPLDTCTVAGCSLSTLVKATCSRPCPSFAYTYGAGGVVTGILTRDTLRVNGSSPGVAKEIPKFCFGCVGSAYREPIGIAGFGRGTLSMVSQLGFLQKGFSHCFLAFKYANNPNISSPLVVGDIALTSKDDMQFTPMLNSPMYPNFYYVGLEAITVGNVSATEVPSSLREFDSLGNGGMKIDSGTTYTHLPEPFYSQVLSILQSTINYPRDTGMEMQTGFDLCYKVPRPNNNTLTSDDLLPSITFHFLNNVSLVLPQGNHFYPVSAPGNPAVVKCLMFQSTDDGDDGPAGVFGSFQQQNVEVVYDLEKERIGFQPMDCASAASSQGLHKT >Potri.011G066900.5.v4.1 pep chromosome:Pop_tri_v4:11:5836532:5840942:1 gene:Potri.011G066900.v4.1 transcript:Potri.011G066900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066900.v4.1 MESQKQQLTLFIFLLLAPLACLSSGLPGEYSTVSNDLHEGLTEEGITEVFKLWKEKHQKVYKHAEEAERRIGNFKRNLKYIIEKNGKRKSGLEHKVGLNKFADLSNEEFREMYLSKVKKPITIEEKRKHRHLQTCDAPSSLDWRNKGVVTAVKDQGDCGSCWSFSTTGAIEAINAIVTGDLISLSEQELVDCDTTNNYGCEGGDMDSAFQWVIGNGGIDTEADYPYTGVDGTCNTAKEEKKVVSIEGYVDVDPSDSALLCATVQQPISVGMDGSALDFQLYTGGIYDGDCSGDPNDIDHAILIVGYGSENDEDYWIVKNSWGTEWGMEGYFYIRRNTSKPYGVCAINADASYPTKVPSPPSPPSPPPPPSPPPPPPSPPPPCPQPSDCGDSSFCPSDETCCCILKLFSSCIIYGCCPYENAVCCAESTYCCPSDYPICDVDDGLCLRGQGDHLGVAARRRHMANYKFPWTKFEEIKETKQPVLQWKRSLRCNALNYME >Potri.018G038100.1.v4.1 pep chromosome:Pop_tri_v4:18:3043980:3044622:1 gene:Potri.018G038100.v4.1 transcript:Potri.018G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L15 MVRERRERGDDSGRYKGVRMRKWGKWVAEIRQPNSRDRIWLGSYNTAEEAARAYDAAVLCLRGPSATFHFPTNIPEIPAMTDQVLSPMQIREVASRHARRGSTVEPAERIVGPGLCEVSSGRSGEVYLGGGENMEECLEGIFSGAYYQTPGVWTV >Potri.018G038100.2.v4.1 pep chromosome:Pop_tri_v4:18:3044048:3044665:1 gene:Potri.018G038100.v4.1 transcript:Potri.018G038100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L15 MRKWGKWVAEIRQPNSRDRIWLGSYNTAEEAARAYDAAVLCLRGPSATFHFPTNIPEIPAMTDQVLSPMQIREVASRHARRGSTVEPAERIVGPGLCEVSSGRSGEVYLGGGENMEECLEGIFSGAYYQTPGVWTV >Potri.001G277400.2.v4.1 pep chromosome:Pop_tri_v4:1:29111549:29112611:-1 gene:Potri.001G277400.v4.1 transcript:Potri.001G277400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277400.v4.1 MKSCISIKQVAVSSSFDKIGDDGFVVMVIYNGGKLALWRMGDDKWSSVNDILEGYVYDSVAYSNGKFFAIDVNGLTISIDPAKASFEIMEVAPAEPRSGFGHGDKFKYLVKWFSDLFLIEKYHEDLFYWSESDDEDDYHIKFKIYKMNEEECDWVEMDGLKDAVLFLGDGCSFFVWAKDFAWWKGNCICFLNYLFMGAGGDGPGPKAGIFDFEDGISRKLSKFSSCSKLFWPPPSWLKHYP >Potri.001G277400.1.v4.1 pep chromosome:Pop_tri_v4:1:29111574:29113089:-1 gene:Potri.001G277400.v4.1 transcript:Potri.001G277400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277400.v4.1 MDSTSPPQWSSLPSDLLFNIASILGTRIDLLSLRAVCNSWRSSLSLPPKTPLVKLPFPIDPNNPNLNPNRHGHFALSESFSYSLEPINRDPKRTWLIKIQESESGNVILKDPLARHPVAGLDRLPKVLNLLDYRVKEISKGYYVEFVEQGKAPYPSIFVNEMKSCISIKQVAVSSSFDKIGDDGFVVMVIYNGGKLALWRMGDDKWSSVNDILEGYVYDSVAYSNGKFFAIDVNGLTISIDPAKASFEIMEVAPAEPRSGFGHGDKFKYLVKWFSDLFLIEKYHEDLFYWSESDDEDDYHIKFKIYKMNEEECDWVEMDGLKDAVLFLGDGCSFFVWAKDFAWWKGNCICFLNYLFMGAGGDGPGPKAGIFDFEDGISRKLSKFSSCSKLFWPPPSWLKHYP >Potri.008G071500.1.v4.1 pep chromosome:Pop_tri_v4:8:4392869:4393487:-1 gene:Potri.008G071500.v4.1 transcript:Potri.008G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071500.v4.1 MRNQEPRASSSCAACKFLKRRCTPNCIFAPYFRSDEPKKFARVHKVFGASNVSKILIEVPEEQREDTVNSLAYEAEARLTDPVYGCIGAIALLQRKMVELQVDLAIARARLARYAANSPPILNDHGSMIPTLAEFPACGGLVDSFNQSSSDTMNDFSQFPFIS >Potri.005G220800.2.v4.1 pep chromosome:Pop_tri_v4:5:22273525:22274291:-1 gene:Potri.005G220800.v4.1 transcript:Potri.005G220800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220800.v4.1 MPRLPSSTMKSKLDRAESRAGFEPASLGSMIRSQRITFSSHRDSNHKTACYIRSQSIAPDCASPLSFILNRVKAGMRGKRSEHANIQTETSRESDTDLLMLCSLRGQSVC >Potri.005G004100.3.v4.1 pep chromosome:Pop_tri_v4:5:351361:351933:1 gene:Potri.005G004100.v4.1 transcript:Potri.005G004100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004100.v4.1 MGFFSFIRRLMLILFGTANDLVFLSFSNEDTGKNFSDHLNSALTIAGFRTFKNDDGVRRGENTGSETRKAIQESKISVIVFSKDYASSTRCLDELVMIMDARRATGHIVLPIFYHLDPSEVRSQEGRCFEAFSTHEKSFQGEKGRVEEWRAALREAADVAGMVLQDRYITSLLCFLLILVRHIPSMFSLE >Potri.008G072300.3.v4.1 pep chromosome:Pop_tri_v4:8:4452640:4459825:-1 gene:Potri.008G072300.v4.1 transcript:Potri.008G072300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072300.v4.1 MRRSTERSSISSTSSVSGYFTFKLSLFSFPFSKSAQIDMADFIFLLIFACFYSEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRLLVEINEAWKVKVAPDPTVLPKGKSQARKEAVTLPENQETDVGEIEQSLNYSNAATTTMGFQQTAYFTMRLDNVDEPFVNNDTREGDASHHLHQADADNITLFERFDSYQADADAYNRFERFDIEGDEETQVNFTSADHMDIPTTLIPSPHEQDEAQRAEENQDHHPEFQVNQQSNECKGARQDQQKRRPIKRKTRRQATTTVDYEQTIIPGHVYQSWLQNASDIVSRRGRKRKARMGIMSTTKISNLMELPPTVLIDDNGNREIYYPAPLLELWTKSTQPPHDSPSERTSAPLPPEPSKSSPPDRVNYEEPAGYTFDDVHSGVGSQSLGTSIEKMRTNVVNDEQSMDILMEELKANLRNNGVGMTEANMATPRNSGDGVGSIPSSGSGHGIPPHYLEVNLGRSSKKGRHSSSRHSGSSLETVVEEDPWRFADPNFELSRLSENGPTPDQELLVETEPTQTQHHVVGQPVDKIADSIRMQMKTHFETPGAPQVESLNNLAAGMNTKAAALLFYQTCVLASRDFLRVEQKVPYGDILISKGAKMH >Potri.008G072300.2.v4.1 pep chromosome:Pop_tri_v4:8:4452640:4459825:-1 gene:Potri.008G072300.v4.1 transcript:Potri.008G072300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072300.v4.1 MRRSTERSSISSTSSVSGYFTFKLSLFSFPFSKSAQIDMADFIFLLIFACFYSEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRLLVEINEAWKVKVAPDPTVLPKGKSQARKEAVTLPENQETDVGEIEQSLNYSNAATTTMGFQQTAYFTMRLDNVDEPFVNNDTREGDASHHLHQADADNITLFERFDSYQADADAYNRFERFDIEGDEETQVNFTSADHMDIPTTLIPSPHEQDEAQRAEENQDHHPEFQVNQQSNECKGARQDQQKRRPIKRKTRRQATTTVDYEQTIIPGHVYQSWLQNASDIVSRRGRKRKARMGIMSTTKISNLMELPPTVLIDDNGNREIYYPAPLLELWTKSTQPPHDSPSERTSAPLPPEPSKSSPPDRVNYEEPAGYTFDDVHSGVGSQSLGTSIEKMRTNVVNDEQSMDILMEELKANLRNNGVGMTEANMATPRNSGDGVGSIPSSGSGHGIPPHYLEVNLGRSSKKGRHSSSRHSGSSLETVVEEDPWRFADPNFELSRLSENGPTPDQELLVETEPTQTQHHVVGQPVDKIADSIRMQMKTHFETPGAPQVESLNNLAAGMNTKAAALLFYQTCVLASRDFLRVEQKVPYGDILISKGAKMH >Potri.008G072300.6.v4.1 pep chromosome:Pop_tri_v4:8:4452640:4459779:-1 gene:Potri.008G072300.v4.1 transcript:Potri.008G072300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072300.v4.1 MAATMHAKINRKKLNKLNIIRICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRLLVEINEAWKVKVAPDPTVLPKGKSQARKEAVTLPENQETDVGEIEQSLNYSNAATTTMGFQQTAYFTMRLDNVDEPFVNNDTREGDASHHLHQADADNITLFERFDSYQADADAYNRFERFDIEGDEETQVNFTSADHMDIPTTLIPSPHEQDEAQRAEENQDHHPEFQVNQQSNECKGARQDQQKRRPIKRKTRRQATTTVDYEQTIIPGHVYQSWLQNASDIVSRRGRKRKARMGIMSTTKISNLMELPPTVLIDDNGNREIYYPAPLLELWTKSTQPPHDSPSERTSAPLPPEPSKSSPPDRVNYEEPAGYTFDDVHSGVGSQSLGTSIEKMRTNVVNDEQSMDILMEELKANLRNNGVGMTEANMATPRNSGDGVGSIPSSGSGHGIPPHYLEVNLGRSSKKGRHSSSRHSGSSLETVVEEDPWRFADPNFELSRLSENGPTPDQELLVETEPTQTQHHVVGQPVDKIADSIRMQMKTHFETPGAPQVESLNNLAAGMNTKAAALLFYQTCVLASRDFLRVEQKVPYGDILISKGAKMH >Potri.008G072300.7.v4.1 pep chromosome:Pop_tri_v4:8:4452698:4459779:-1 gene:Potri.008G072300.v4.1 transcript:Potri.008G072300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072300.v4.1 MAATMHAKINRKKLNKLNIIRICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRLLVEINEAWKVKVAPDPTVLPKGKSQARKEAVTLPENQETDVGEIEQSLNYSNAATTTMGFQQTAYFTMRLDNVDEPFVNNDTREGDASHHLHQADADNITLFERFDSYQADADAYNRFERFDIEGDEETQVNFTSADHMDIPTTLIPSPHEQDEAQRAEENQDHHPEFQVNQQSNECKGARQDQQKRRPIKRKTRRQATTTVDYEQTIIPGHVYQSWLQNASDIVSRRGRKRKARMGIMSTTKISNLMELPPTVLIDDNGNREIYYPAPLLELWTKSTQPPHDSPSERTSAPLPPEPSKSSPPDRVNYEEPAGYTFDDVHSGVGSQSLGTSIEKMRTNVVNDEQSMDILMEELKANLRNNGVGMTEANMATPRNSGDGVGSIPSSGSGHGIPPHYLEVNLGRSSKKGRHSSSRHSGSSLETVVEEDPWRFADPNFELSRLSENGPTPDQELLVETEPTQTQHHVVGQPVDKIADSIRMQMKTHFETPGAPQVESLNNLAAGMNTKAAALLFYQTCVLASRDFLRVEQKVPYGDILISKGAKMH >Potri.008G072300.5.v4.1 pep chromosome:Pop_tri_v4:8:4452698:4459779:-1 gene:Potri.008G072300.v4.1 transcript:Potri.008G072300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072300.v4.1 MAATMHAKINRKKLNKLNIIRICEEILNPSVPMALRLSGILMGGVVIVYERKVKLLYDDVTRLLVEINEAWKVKVAPDPTVLPKGKSQARKEAVTLPENQETDVGEIEQSLNYSNAATTTMGFQQTAYFTMRLDNVDEPFVNNDTREGDASHHLHQADADNITLFERFDSYQADADAYNRFERFDIEGDEETQVNFTSADHMDIPTTLIPSPHEQDEAQRAEENQDHHPEFQVNQQSNECKGARQDQQKRRPIKRKTRRQATTTVDYEQTIIPGHVYQSWLQNASDIVSRRGRKRKARMGIMSTTKISNLMELPPTVLIDDNGNREIYYPAPLLELWTKSTQPPHDSPSEERTSAPLPPEPSKSSPPDRVNYEEPAGYTFDDVHSGVGSQSLGTSIEKMRTNVVNDEQSMDILMEELKANLRNNGVGMTEANMATPRNSGDGVGSIPSSGSGHGIPPHYLEVNLGRSSKKGRHSSSRHSGSSLETVVEEDPWRFADPNFELSRLSENGPTPDQELLVETEPTQTQHHVVGQPVDKIADSIRMQMKTHFETPGAPQVESLNNLAAGMNTKAAALLFYQTCVLASRDFLRVEQKVPYGDILISKGAKMH >Potri.010G005500.1.v4.1 pep chromosome:Pop_tri_v4:10:514929:518727:1 gene:Potri.010G005500.v4.1 transcript:Potri.010G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005500.v4.1 MPVALLLLLALSIAIRIDAEESSGVCDFKPGLKPRPHSVSILEFGAVGDGKTLNTLAFQNAIFYLKSFTDKGGAQLYVPPGKWLTGSFSLTSHLTLFLEKGAVILGSQDPSHWDLVDPLPSYGRGIELPGKRYRSLINGDMLTDVVVTGDNGTIDGQGSVWWDWFESHSLNYSRPHLVEFTSSDLVVVSNLTFLNAPAYNIHPVYCSNVLVQNISVSAPGESPYTIGIVPDSSNNVCIEDSLIKVGYDAISLKSGWDEYGIAYDRPTQDVHIRRVYLQSSSGSSIAFGSEMSGGISNVYVEQVYLYNSFSGIEFRTTKGRGGYIKRIIISNVELKNINMAFGAIGDCGSHPDDSFDPNAIPILDQITLQNVTGSNITMAGNFTGLAESPFTSICLFNVSLTIPATSTSWTCSNVIGFSEFVSPEPCPELNSSYSNSSSVCYSILKSYGKSANL >Potri.015G071200.1.v4.1 pep chromosome:Pop_tri_v4:15:9685286:9687681:1 gene:Potri.015G071200.v4.1 transcript:Potri.015G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071200.v4.1 MGGNGKRRWKISFYSRSKPTQTQHQQQQPPKEFICPISGSLMFDPVVVSSGQTFERLSVQVCHDLGFIPTLQDNSLPDFTNVIPNLAIKTTIQNWCDSSGTQHPPAPDYSSLEEIIREKMKFSSPSASSLSKSMQLSPDIRVSERELLKAVAENPPVLFSHANTELPHRVDHFYSSSSEESVIVNTAATPSASPLTPLPLATRPACYSSTSSSSNSIAESETLTVTENPKLSREEDEIVEKLKSLDVRDQEQGLISLRKITRTKEETRVSLCTPRLLSALRTLFPSRYFSVQTNAIASLVNLSLEKVNKVKIVRSGFIPLLIDVLKGGFDEAQEHAAGALFSLALEDENKMAIGVLGALQPLMHMLRAESERARHDSSLALYHLSLIQSNRVKLVKLGAVSMLLSMVKSGDLASRLLLVLCNLAACNEGRSAMLDANAVAILVGILREGGGGDSEVIRENCVAALFALSHGSMRFKGLAKEARAVEVLREIEERGSNRAREKAKRILMMMRGRDEEDEDICWEEVLESGGISRTRYRAGGRNLPGPNSTNF >Potri.013G086600.2.v4.1 pep chromosome:Pop_tri_v4:13:8006192:8007667:-1 gene:Potri.013G086600.v4.1 transcript:Potri.013G086600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086600.v4.1 MRDRMERLVLLPFSFGCVSESSVAIGVHQPRRAKTDTNLSATRTKEEDEESSSSAESMKTSLKFLALSKPNISTGLHRLIKGFKNFSQLFAYKEEIEEFEMEMEIGLPTDVKHVTHIGWDASAPTTNPVHGWDNLISPELLHLQSGISRQFELAMAAQANSPLVGASCA >Potri.004G127101.1.v4.1 pep chromosome:Pop_tri_v4:4:13089170:13089976:-1 gene:Potri.004G127101.v4.1 transcript:Potri.004G127101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G127101.v4.1 MDNPPSPEDPKFTLCDEKDSMIMSWLWNSIMPEVCGPYMFLVTAKDIWDAVRQTYSKVKDAALIYEIKTKLSMTKQGNMMVIEYYNTMKSFWLELDYYQDFKMQCSDDAVILKNYVERERIFEFLAGLNIEFDQIRVQILGKESLPSLNEVFSVIRAEEGRRTVMLDAPNTEGSAMLITYSRSMGDAMNGAEVGKIEGKKFPKDYLFCNYCKKTGHTKETCWKLHGKPPRMGRNRGYKWKQSRGHAHLTNSEETARESSIQEMRGFNK >Potri.001G322200.2.v4.1 pep chromosome:Pop_tri_v4:1:33163934:33165692:-1 gene:Potri.001G322200.v4.1 transcript:Potri.001G322200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322200.v4.1 MEIIEASSCSVAPGDRDSPRHSQWLQKTLSDMNERMKAMMTVLAEDGDSLDRVVSHYKRRLELVQMLEEFNRSYRYLAETCDRLRSKFLVKDSGPAPLYSTIDSNKDTPIESSDNSNLEILDSHPQYVVEDPEIKCDSTNFDVEYLDKLVDDLMLTEECKMKLKAKREIGENQMDKKGRDFFRVESISTNMNDYGEVEATARDFSEGSGYEWDNTWCELKFQITNLMEENLRQQAELARRNIEKKLVIDKLRLQLEHLKAENRSLQGCISCSKDGEKRNSLQRRGLLSGKFFGGGCT >Potri.001G322200.3.v4.1 pep chromosome:Pop_tri_v4:1:33163975:33165776:-1 gene:Potri.001G322200.v4.1 transcript:Potri.001G322200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322200.v4.1 MEIIEASSCSVAPGDRDSPRHSQWLQKTLSDMNERMKAMMTVLAEDGDSLDRVVSHYKRRLELVQMLEEFNRSYRYLAETCDRLRSKFLVKDSGPAPLYSTIDSNKDTPIESSDNSNLEILDSHPQYVVEDPEIKCDSTNFDVEYLDKLVDDLMLTEECKMKLKAKREIGENQMDKKGRDFFRVESISTNMNDYGEVEATARDFSEGSGYEWDNTWCELKFQITNLMEENLRQQAELARRNIEKKLVIDKLRLQLEHLKAENRSLQGCISCSKDGEKRNSLQRRGLLSGKFFGGGCT >Potri.019G087900.1.v4.1 pep chromosome:Pop_tri_v4:19:12673930:12675311:1 gene:Potri.019G087900.v4.1 transcript:Potri.019G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087900.v4.1 MVMEGGGSGNNSTPIIDKTRVLDVEPLRTLVPVFPSSSKAPPFGPYSSGFAPFYPFSAPQGSQATPDLNQQTHTTPAAPLRSFRATESNGDAFDGEYESYDGSTGSAKRRPKSSSQKRARKIQDLDFTLSVDENNFVVGVSLSERDDGNREVVHSIQMRFDALRRRLSQLEDAKESPAGIIRRADLKAGNILMTKQVRTNMRKRIGTVPGVEIGDIFFFRMEMCLLGLHAPSMEILQYLLMELWSNLAEAAAEAEASSFFRKGALSLPTETLRVARICNDLLSIVKNLSIIQNCS >Potri.004G229600.1.v4.1 pep chromosome:Pop_tri_v4:4:23308589:23309479:-1 gene:Potri.004G229600.v4.1 transcript:Potri.004G229600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229600.v4.1 MELSSHEAGIPIPLNSTFGGAHGHGHGHLIHHDHPAVPHNHNNIISSTAPQIPSSNNGTSITTASIDDNHVPYKKMVRYRECLKNHAASMGGNATDGCGEFMPSGEEGSIEALTCSACNCHRNFHRKEIEGEHTSCTGDHCYHNSPVHFNRLGRKVILGHHKSILAPEALGYPTATGTLIPSRASAPHHQMIMSYNMGSLPSESDEQEDGGGVLMARPAQLMKKRFRTKFSQEQKEKMLNFAEKVGWKLQKQEETVVQQFCQEIGVKRRVLKVWMHNNKHSLAKKNPSTTTTTSNS >Potri.001G130800.12.v4.1 pep chromosome:Pop_tri_v4:1:10665509:10671309:1 gene:Potri.001G130800.v4.1 transcript:Potri.001G130800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130800.v4.1 METDGRGFADLYRNSSEELFLKSLMETSIGMPVPPMEMLGFNNLSHNFRTDSEELFKSWLTNGENGYNSTGIAHRTRQTSRRISTELVNLTSQQHGSIPQKKRSNDVLFTQNNPIADDISNDLNQQSASRNAAERTMPASNLYLAKTWFHSSQPMTRSRSSELRRRYAAMQCAQTNIGMEAMQDVSGHGVKNLKHEFGNRNGFNDPPMHDTANQLGPFMSPSNSSSSTFNTLQMSSIDKVSSVVNMLKGTLERKKLGSQIEKETVEDSLNVFFHSQEVTINSTSDQGKGNGIYEIPPGSFQEISSGQVKDPGVLQTVQGPMDLDFEGFVNTINPIQLGTVSREPSQSESSAAAPVVSSGFDACDGPSNSSQTLSVCESSRKRVGNGRSSENGSRAKDFRERIIDNLKDDRKKGGLIRYGSETSAGSVDKGDPTKKRRVERSRK >Potri.001G130800.9.v4.1 pep chromosome:Pop_tri_v4:1:10665724:10671561:1 gene:Potri.001G130800.v4.1 transcript:Potri.001G130800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130800.v4.1 METDGRGFADLYRNSSEELFLKSLMETSIGMPVPPMEMLGFNNLSHNFRTDSEELFKSWLTNGENGYNSTGIAHRTRQTSRRISTELVNLTSQQHGSIPQKKRSNDVLFTQNNPIADDISNDLNQQSARNAAERTMPASNLYLAKTWFHSSQPMTRSRSSELRRRYAAMQCAQTNIGMEAMQDVSGHGVKNLKHEFGNRNGFNDPPMHDTANQLGPFMSPSNSSSSTFNTLQMSSIDKVSSVVNMLKGTLERKKLGSQIEKETVEDSLNVFFHSQEVTINSTSDQGKGNGIYEIPPGSFQEISSGQVKDPGVLQTVQGPMDLDFEGFVNTINPIQLGTVSREPSQSESSAAAPVVSSGFDACDGPSNSSQTLSVCESSRKRVGNGRSSENGSRAKDFRERIIDNLKDDRKKGGLIRYGSETSAGSVDKGDPTKKRRVERSRKMAEAKERNMTPAIPTDMQSILKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTDEKERLFEEIERILADTGKMW >Potri.001G130800.8.v4.1 pep chromosome:Pop_tri_v4:1:10665474:10671279:1 gene:Potri.001G130800.v4.1 transcript:Potri.001G130800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130800.v4.1 METDGRGFADLYRNSSEELFLKSLMETSIGMPVPPMEMLGFNNLSHNFRTDSEELFKSWLTNGENGYNSTGIAHRTRQTSRRISTELVNLTSQQHGSIPQKKRSNDVLFTQNNPIADDISNDLNQQSASRNAAERTMPASNLYLAKTWFHSSQPMTRSRSSELRRRYAAMQCAQTNIGMEAMQDVSGHGVKNLKHEFGNRNGFNDPPMHDTANQLGPFMSPSNSSSSTFNTLQMSSIDKVSSVVNMLKGTLERKKLGSQIEKETVEDSLNVFFHSQEVTINSTSDQGKGNGIYEIPPGSFQEISSGQVKDPGVLQTVQGPMDLDFEGFVNTINPIQLGTVSREPSQSESSAAAPVVSSGFDACDGPSNSSQTLSVCESSRKRVGNGRSSENGSRAKDFRERIIDNLKDDRKKGGLIRYGSETSAGSVDKGDPTKKRRVERSRKMAEAKERNMTPAIPTDMQSILKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTDEKERLFEEIERILADTGKMW >Potri.001G130800.11.v4.1 pep chromosome:Pop_tri_v4:1:10665932:10671180:1 gene:Potri.001G130800.v4.1 transcript:Potri.001G130800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130800.v4.1 MNYASDHNYRISTELVNLTSQQHGSIPQKKRSNDVLFTQNNPIADDISNDLNQQSASRNAAERTMPASNLYLAKTWFHSSQPMTRSRSSELRRRYAAMQCAQTNIGMEAMQDVSGHGVKNLKHEFGNRNGFNDPPMHDTANQLGPFMSPSNSSSSTFNTLQMSSIDKVSSVVNMLKGTLERKKLGSQIEKETVEDSLNVFFHSQEVTINSTSDQGKGNGIYEIPPGSFQEISSGQVKDPGVLQTVQGPMDLDFEGFVNTINPIQLGTVSREPSQSESSAAAPVVSSGFDACDGPSNSSQTLSVCESSRKRVGNGRSSENGSRAKDFRERIIDNLKDDRKKGGLIRYGSETSAGSVDKGDPTKKRRVERSRKMAEAKERNMTPAIPTDMQSILKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEELTDEKERLFEEIERILADTGKMW >Potri.001G130800.10.v4.1 pep chromosome:Pop_tri_v4:1:10665613:10671241:1 gene:Potri.001G130800.v4.1 transcript:Potri.001G130800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130800.v4.1 METDGRGFADLYRNSSEELFLKSLMETSIGMPVPPMEMLGFNNLSHNFRTDSEELFKSWLTNGENGYNSTGIAHRTRQTSRRISTELVNLTSQQHGSIPQKKRSNDVLFTQNNPIADDISNDLNQQSASRNAAERTMPASNLYLAKTWFHSSQPMTRSRSSELRRRYAAMQCAQTNIGMEAMQDVSGHGVKNLKHEFGNRNGFNDPPMHDTANQLGPFMSPSNSSSSTFNTLQMSSIDKVSSVVNMLKGTLERKKLGSQIEKETVEDSLNVFFHSQEVTINSTSDQGKGNGIYEIPPGSFQEISSGQVKDPGVLQTVQGPMDLDFEGFVNTINPIQLGTVSREPSQSESSAAAPVVSSGFDACDGPSNSSQTLSVCESSRKRVGNGRSSENGSRAKDFRERIIDNLKDDRKKGGLIRYGSETSAGSGNHLILKNLTSMTVGFPMPGITERRSQHECVALLVSICS >Potri.001G298800.2.v4.1 pep chromosome:Pop_tri_v4:1:30964468:30967034:-1 gene:Potri.001G298800.v4.1 transcript:Potri.001G298800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298800.v4.1 MAWRSGGSLSRSLMSAARAPTPRSSAQLPRLRPPPTSSPRFQSRRLSFAPSRNLGELGCTQSLLPLVASGHLTSRLNANVRAFCELSHGT >Potri.001G298800.6.v4.1 pep chromosome:Pop_tri_v4:1:30964893:30966977:-1 gene:Potri.001G298800.v4.1 transcript:Potri.001G298800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298800.v4.1 MAWRSGGSLSRSLMSAARAPTPRSSAQLPRLRPPPTSSPRFQSRRLSFAPSRNLGELGCTQSLLPLVASGHLTSRLNANVRAFCELSHGTFCRTCPDR >Potri.006G073100.1.v4.1 pep chromosome:Pop_tri_v4:6:5349648:5353215:-1 gene:Potri.006G073100.v4.1 transcript:Potri.006G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073100.v4.1 MSKTPVANTVNINPNLLSFKPRQVLLNSNRPPIMKQENTTESQTLKPEQKTILLHSIANYLENTGGFSKTLKKFKSEAKFEKDDLGGGSLIDLEEVFCKFLKTSDDTCKKLESSQVQDIQTNDFTKKKKKSDTDAINKKLGTADKVNNSESVEEILTNDTVSTEVKSKENKKKKRNSHSHGQEEKDNTEALKEPADNVAGESPDKKRKDKKKKKSNMESESQVDNVGHHSLEPVATEEKSKDVSSSEGNKATVSKTENKPKDKKNKKNKLSDADADSNDNKNVKYEERKNKKDIVVSENMSVETLDEGKSNKVDSEKDDSKNSEKDVNDKENKSSKKRKRLPSEDDATQPADEKAVEDSKRRKMESSEEPKENGSANGNLEKNGEKSSLQKSMKKEKNGSVEPKTVKHFQRVKVDEVVFSDERLKDNSYWAKDGAEEGYGAKAQDVLGQVRGRDFRHEKTKKKRGTYRGGQIDLQSHSFKFNYSDED >Potri.019G083600.1.v4.1 pep chromosome:Pop_tri_v4:19:12343300:12347217:-1 gene:Potri.019G083600.v4.1 transcript:Potri.019G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND6B MEMESCVPPGFRFHPTEEELVGYYLKRKIDSQKIDLDVIANIDLYKMEPWDIQARCNLGYAEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNRLIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPPQEEGWVVCRAFKKPSPNQRQGFEAWSHAYYLNDINHARPPSFSDTVTTAHNMVRPNQGASFQQPFGSNSDLVSNQTFLDNNNQLVELPELDSPSTLSTSFAAKEGNFHQTNEDYDEERSNNSSQYIDWKNFDTLLASQVTDSSTSYPLQDLPSIPQNYDLAAGQQDQYVSHFLDCFPDL >Potri.019G083600.4.v4.1 pep chromosome:Pop_tri_v4:19:12343300:12345684:-1 gene:Potri.019G083600.v4.1 transcript:Potri.019G083600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND6B MEMESCVPPGFRFHPTEEELVGYYLKRKIDSQKIDLDVIANIDLYKMEPWDIQARCNLGYAEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNRLIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPPQEEGWVVCRAFKKPSPNQRQGFEAWSHAYYLNDINHARPPSFSDTVTTAHNMVRPNQELDSPSTLSTSFAAKEGNFHQTNEDYDEERSNNSSQYIDWKNFDTLLASQVTDSSTSYPLQDLPSIPQNYDLAAGQQDQYVSHFLDCFPDL >Potri.019G083600.3.v4.1 pep chromosome:Pop_tri_v4:19:12343300:12345684:-1 gene:Potri.019G083600.v4.1 transcript:Potri.019G083600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND6B MEMESCVPPGFRFHPTEEELVGYYLKRKIDSQKIDLDVIANIDLYKMEPWDIQARCNLGYAEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLSKNRLIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPPQEEGWVVCRAFKKPSPNQRQGFEAWSHAYYLNDINHARPPSFSDTVTTAHNMVRPNQGASFQQPFGSNSDLVSNQTFLDNNNQLVELPELDSPSTLSTSFAAKEGNFHQTNEDYDEERSNNSSQYIDWKNFDTLLASQVTDSSTSYPLQDLPSIPQNYDLAAGQQDQYVSHFLDCFPDL >Potri.013G155100.7.v4.1 pep chromosome:Pop_tri_v4:13:15038419:15042927:1 gene:Potri.013G155100.v4.1 transcript:Potri.013G155100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155100.v4.1 MYRKQGQEVHTFDETKGDHGYAETDPTGRYGRFEEVLGKGAMKTVYKAIDEFLGIEVAWNRVKLNQVLCSPDDLQRLYSEVHLLSTLNHDSIIKFYTSWIDVRRKTFNFITEMFTSGTLREYRKKYTRVNIRAIKKWARQILEGIVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQSAHSVIGTPEFMAPELYEENYNELVDVYSFGMCVLEMLTSEYPYSECTNPAQIYKKVTSGKLPAVFHRIQDLEAQRFIGKCLVTAAKRLSAKELLLDPFLASDEAELPHVPRFRKQKPFLNDREMEKLQLNDHPPRTDMTITGKLNPEDDTIFLKVQIANEDGTLRNIFFPFDILHDTPIDVAMEMVKELEIDDWEPFEIADMIDGAISALVPNWKKWDLPHIESHHTFDYQEDDGHDHPFHSSSSCSSSPASLSGLMPHLLQDDLFDDTSSQSSSHSGSYSCLNYISGDEHKFDLSSTRRDKHLITRTQNSTRFCPQENSNSNIGQALATNAYNHCKVLLESQTRASSSKSKRMMDSRRLTRNRSLVDIRSQLLHRSLVEEVHKRRLSKTVGDVEDVGFQKPTEVCKKTSQRTSSRRT >Potri.013G155100.8.v4.1 pep chromosome:Pop_tri_v4:13:15038853:15042930:1 gene:Potri.013G155100.v4.1 transcript:Potri.013G155100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155100.v4.1 MKTVYKAIDEFLGIEVAWNRVKLNQVLCSPDDLQRLYSEVHLLSTLNHDSIIKFYTSWIDVRRKTFNFITEMFTSGTLREYRKKYTRVNIRAIKKWARQILEGIVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQSAHSVIGTPEFMAPELYEENYNELVDVYSFGMCVLEMLTSEYPYSECTNPAQIYKKVTSGKLPAVFHRIQDLEAQRFIGKCLVTAAKRLSAKELLLDPFLASDEAELPHVPRFRKQKPFLNDREMEKLQLNDHPPRTDMTITGKLNPEDDTIFLKVQIANEDGTLRNIFFPFDILHDTPIDVAMEMVKELEIDDWEPFEIADMIDGAISALVPNWKKWDLPHIESHHTFDYQEDDGHDHPFHSSSSCSSSPASLSGLMPHLLQDDLFDDTSSQSSSHSGSYSCLNYISGDEHKFDLSSTRRDKHLITRTQNSTRFCPQENSNSNIGQALATNAYNHCKVLLESQTRASSSKSKRMMDSRRLTRNRSLVDIRSQLLHRSLVEEVHKRRLSKTVGDVEDVGFQKPTEVCKKTSQRTSSRRT >Potri.013G155100.6.v4.1 pep chromosome:Pop_tri_v4:13:15038349:15043092:1 gene:Potri.013G155100.v4.1 transcript:Potri.013G155100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155100.v4.1 MYRKQGQEVHTFDETKGDHGYAETDPTGRYGRFEEVLGKGAMKTVYKAIDEFLGIEVAWNRVKLNQVLCSPDDLQRLYSEVHLLSTLNHDSIIKFYTSWIDVRRKTFNFITEMFTSGTLREYRKKYTRVNIRAIKKWARQILEGIVYLHGHDPPVIHRDLKCDNIFVNGHLGQVKIGDLGLAAILRGSQSAHSVIGTPEFMAPELYEENYNELVDVYSFGMCVLEMLTSEYPYSECTNPAQIYKKVTSGKLPAVFHRIQDLEAQRFIGKCLVTAAKRLSAKELLLDPFLASDEAELPHVPRFRKQKPFLNDREMEKLQLNDHPPRTDMTITGKLNPEDDTIFLKVQIANEDGTLRNIFFPFDILHDTPIDVAMEMVKELEIDDWEPFEIADMIDGAISALVPNWKKWDLPHIESHHTFDYQEDDGHDHPFHSSSSCSSSPASLSGLMPHLLQDDLFDDTSSQSSSHSGSYSCLNYISGDEHKFDLSSTRRDKHLITRTQNSTRFCPQENSNSNIGQALATNAYNHCKVLLESQTRASSSKSKRMMDSRRLTRNRSLVDIRSQLLHRSLVEEVHKRRLSKTVGDVEDVGFQKPTEVCKKTSQRTSSRRT >Potri.004G202500.5.v4.1 pep chromosome:Pop_tri_v4:4:21189530:21192161:-1 gene:Potri.004G202500.v4.1 transcript:Potri.004G202500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202500.v4.1 MTTVTVSSQSLLKAAPQNQFTSTIVKRTSSLGSVKSVSKSFGLNCSANYKASMAVYKVKVITPEGEEHEFEAPDDTYILDAAENAGVELPYSCRAGACCTCAGKVASGSVDQSDGSFLDEDQMKDGYLLTCVSYPTSDCVIHTHKEGDLC >Potri.004G202500.4.v4.1 pep chromosome:Pop_tri_v4:4:21189782:21192025:-1 gene:Potri.004G202500.v4.1 transcript:Potri.004G202500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202500.v4.1 MNETIQLLLILKHLINQLSISGILHIGVQMTTVTVSSQSLLKAAPQNQFTSTIVKRTSSLGSVKSVSKSFGLNCSANYKASMAVYKVKVITPEGEEHEFEAPDDTYILDAAENAGVELPYSCRAGACCTCAGKVASGSVDQSDGSFLDEDQMKDGYLLTCVSYPTSDCVIHTHKEGDLC >Potri.003G098500.1.v4.1 pep chromosome:Pop_tri_v4:3:12411604:12411825:1 gene:Potri.003G098500.v4.1 transcript:Potri.003G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098500.v4.1 MMLFKAILASLVLLFLLVFTSIHSVAGDAAGKKSVPNSYRSWRRINHGSARGPRKHLVDPTVEHPFEVSKLPA >Potri.003G053100.1.v4.1 pep chromosome:Pop_tri_v4:3:7811220:7812189:1 gene:Potri.003G053100.v4.1 transcript:Potri.003G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053100.v4.1 MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRKYNWSEKAIRRKTTGTGRMRYLRNVPRRFKSGFREGTQAEPRKKGTAASA >Potri.005G069000.1.v4.1 pep chromosome:Pop_tri_v4:5:4473020:4478954:-1 gene:Potri.005G069000.v4.1 transcript:Potri.005G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069000.v4.1 MKPLLSLLLSLLFLSSQTVLSFKRDEFRNCNQTPFCKRARSRSRSPGGCTLTPHNIAISNGDLTATLLSKHEDQVRPLILSLSVYHDGILRLKIDEDYDHSDPPASKRRFQVPDVIIPEFESNKFWLQRLSTETLNDESSPSTVVYLSEGYDAVLRHDPFEIYVRDKQSGNQKLISLNSHQLFDFEQLRVKKEGEEDSETWEERFRGHTDTRPYGPQSISFDVSFYNAEFVSGIPERATSLALKPTRGPGVEKGLEPYRLFNLDVFEYLSESPFGLYGSIPLMISHGKEGRSAGFFWLNAAEMQIDVLGDGWDAESGIEWVKQKRIDTFWMSEAGIVDAFFFVGPGPKDIVRQYTSVTGRASMPQMFSIAYHQSRWNFRDEEDVENVDAKFDEHDIPYDVLWLDIDHTDGRRYFTWDSVLFPHPEEMQKKLAAKGRHMVTIVDPHIKRDNSFRLHKEATEKGYYVKDASGKDFDGWCWPGSSSYLDMVNPEIRSWWGDKFSYKNYVGSTPSLYIWNDMNEPSVFNGPEVSMPRDALHLGGIEHRELHNSYGYYFHMATSNGLLKRGGGNDRPFVLSRAFFPGSQRYGSVWTGDNTADWDHLRVSVPMILTLGLTGISFSGADVGGYFGNPGPDLLVRWYQLGAFYPFFRGHAHQDTKRREPWLFGEKNKRLIREAIHVRYMLLPYFYTLFREANTTGLPVMRPLWMEFPSDEATFSNDEAFMVGSSLLVQGIYTEGAKYASVYLPGKELWYDIRTGDAYKGGKTHKLEAAESVPAFQRAGTIIPRKDRLRRSSTQMVNDPYTLVIAVNSSQAAEGELYMDDGKSFEFLQGAYIHRRFVFANGKLTSINLAPSSSSKSQFSSKSMIERIILLGYAPGPKNAQIEPGNQKVEVELGQLMLEGRHGSSVVTIRKPAVQVSDDWTIRLL >Potri.004G028350.2.v4.1 pep chromosome:Pop_tri_v4:4:2162607:2163871:1 gene:Potri.004G028350.v4.1 transcript:Potri.004G028350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028350.v4.1 MNPKISDFGMARMFMEDQVQGKTTRVVGTYGYMSPEYAIHGQYSIKSDVFSYGVLTLEIISGRKNSDYGEKEPWLNLIGHVWDLWREEKALPSKLYIYTWFFFFVLKRRNFLCVVFYFFICFLR >Potri.013G141301.1.v4.1 pep chromosome:Pop_tri_v4:13:14139953:14142376:1 gene:Potri.013G141301.v4.1 transcript:Potri.013G141301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G141301.v4.1 MASQEAEAFFKSQFEIFQLSLGFVDSMALKCAVELRLPEIINSHGRPISLSQIASGINSPSSDISYLARIMRYLVRKEFFTAHPPSDGGETLFGLNQKSRMLMHDSERSLVSIIIMQHSSWFLAAWHCLSQCIKEGGTAFSKAHGCELWDFASRNPEVNRIFNEAMACTSNITMRAILSHYKDGFNNIRSLVDVAGGIGGHVAEIVRAYPHIEGINFDLPHVVATAPKYEGVSHVAGNMFEAIPNADAIFIQRILHDWTDESCVEILRNCKKAIPEKTGKLIIVDIVLPTDDHCDQFDDIRMVMDLVMFALTTGGKERTEQEWKKLLEEGGFSRYKIIKIPALESIIEAYPDPE >Potri.003G194400.2.v4.1 pep chromosome:Pop_tri_v4:3:19705076:19708372:-1 gene:Potri.003G194400.v4.1 transcript:Potri.003G194400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194400.v4.1 MRGIEISLNQTQKIRLQRALKQLESLYLRANFNASVTVADTIPVSNEDTILKGHGTSERDGEVVATLCGVVERVNKLVYVRTLRARYKPEIGDIIVGRVVEVAQKRWKLEINFSQDAVLMLSSMNLPDGLQRRRTALDELNMRSIFEENDVVCGEVRNFQNDGGIQLQARSQKYGKLEKGQLLTIPPYLVKRQKHHFHHLEQYGVDLILGCNGFIWVGEHVEARDCIVEDQLNNTEQQFTKSNTTKEMPLETRRSICQIANAIRVLSILGFNVTLEVILETIDLSSTLNLGIDEMLGPEFHVLVAEREAERRTSMTKRKG >Potri.005G100000.1.v4.1 pep chromosome:Pop_tri_v4:5:7212689:7216409:-1 gene:Potri.005G100000.v4.1 transcript:Potri.005G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100000.v4.1 MDVSNGNSLNLTIKPTDFQGLEMGNRKFGQQINRQNRRALSVLNQNFVGGQQAYPCVVNKRGLQENRGVCEEHRPVTRKFAAQLSGTQQYCHQELKKQKPSVQRTNEFGDCIFVDVEENKASSADQPVPMFLEQTEARPDEMNKMEEVEMEDIIEEPILNIDDCDAKNPLAVVDYVEDLHAYYRKMENCSCVSPNYMMQQADINEKMRAILIDWLIEVHDKFDLMKETLFLTVNLIDRFLSQQTVMRKKLQLVGLVAMLLACKYEEVSVPVVGDLILISDKAYARKEVLEMENLMLNKLQFNMSFPTPYVFMQRFLKAAQSDKKLELLSFFLIELSLVEYEMLKFPPSLLAASAIYTAQCTIYGFKEWNKTCEWHSSYSEEQLLECSRLMVGFHQRAGTGKLTGVYRKYNTSKFGFTSKCEAAQFL >Potri.013G018050.1.v4.1 pep chromosome:Pop_tri_v4:13:1136741:1137311:-1 gene:Potri.013G018050.v4.1 transcript:Potri.013G018050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018050.v4.1 MLGEEVEHLKKLLLRWTSQTHPKRLLMFLLRKRGDKKLENSKRVEGPAYNSLSLLLSSMRDLSSMCRPSKKKVTSIEETKSWWLVKAFDIFIVGYGDRLYEIRHINF >Potri.006G259300.1.v4.1 pep chromosome:Pop_tri_v4:6:25556313:25557119:1 gene:Potri.006G259300.v4.1 transcript:Potri.006G259300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259300.v4.1 MESIGESSPLVDTLYAEDYEEAVTSSCCGCFQGFCCRPRRGSSNGMERNLMLKQEEVTIKDGWLVEKVKRVKEISEILAGPKWKNFIRRFSNNNKKRSRMQCQYDPQSYALNFDEGFGREVDSEYPDFSARYAAPVVISKGELAHDTAVFQL >Potri.005G051800.1.v4.1 pep chromosome:Pop_tri_v4:5:3279830:3281081:1 gene:Potri.005G051800.v4.1 transcript:Potri.005G051800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051800.v4.1 MNDPNDHKYRKYHPYQDLYNVPAQNLYKLPTSPEFLFHEESLHQRRSWSESLQYYTGTGYLAGAILGGAKGSVEGIRAAEPGETLKLRVNRVLNSGGHMGRRFGNNLGVLGLMFAGIESGLIHWRDTDDLVNTVLAGLSTGAIYRAAKGPRSAAIAGAIGGIAAAAAVAGKQAVKRYVPI >Potri.008G205550.1.v4.1 pep chromosome:Pop_tri_v4:8:15048762:15049600:-1 gene:Potri.008G205550.v4.1 transcript:Potri.008G205550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205550.v4.1 NELGTISASDEWWKAKIQEIRGAKKFRQTSIEPSLRMKFDRMFSNIVATGEYTWAPSSGVLCDDNVGIDEDPNTNEEQPDLEEGSGDSKEDGIPNFTDDVCNMDQLVDNMSNNSDSTFVCKDRKRCSIPEVMSELHSIEGVNIGDDFHGFATEFLGLRRNREIWSTMGNLENKIKWLQRMYTRHKAP >Potri.005G243800.1.v4.1 pep chromosome:Pop_tri_v4:5:23963392:23965275:1 gene:Potri.005G243800.v4.1 transcript:Potri.005G243800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243800.v4.1 MTKLLWAKLKSTFSRAGGSPKERASSLSNKLNVNEEYKEAFRTKSYVEMWSKVQDQLRKTRVDGVDKVTSPSSSLPFYPHLSDYLFEPQQQATLGEMIESLRFHHFLIDYFEARSEACHICGLLLQCIQQTRANYKKIRRVIKLSKRVQDSADYSDKICIAMFRELAAYALLENPLSMFSTTVKFNDFHDNNLVLLHGLNSEQRKIMRKAKFRRICMKVAGGCLVISHTALLIALLVIATHGIVGIVAAPGIMGCSLYVFRKQIKLVHRGLETSLLEKRLGAQLDLAAKGTYILIKDFDTMSRLVRRLFDEVERRKALADMCVRNKKPELLKEVVKEFHTHDLCYLEQLEELEQHIYLCFHTINRSRRLVMDEIMAAPENSRADDHQQKILQG >Potri.009G067400.3.v4.1 pep chromosome:Pop_tri_v4:9:6742520:6745936:-1 gene:Potri.009G067400.v4.1 transcript:Potri.009G067400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067400.v4.1 MLEAVENAPECTTRKVGTAYVHNLCKAGTLFAAVRLLRLLRDKNIFLGPSAYNIILVTASEKNDVEILSQVFKDLILSFQSLPSSLYLKLARGFVKSNDDVQLLRLVKEVSELTFPSSTKVVNRFIFAFAECGQFDKAILIFEQMENLKCKPDLVTYNTVLDLLGRAGRIDEMLGEFASMKEAGILPDFISYNTLLNQLTKVGRLDLCSVYFRDMVGNGIEPDLLTYTALIWSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKIELAMTFLEEMNASMSNLAGPKDFKRTHR >Potri.009G067400.4.v4.1 pep chromosome:Pop_tri_v4:9:6742359:6745771:-1 gene:Potri.009G067400.v4.1 transcript:Potri.009G067400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067400.v4.1 MLEAVENAPECTTRKVGTAYVHNLCKAGTLFAAVRLLRLLRDKNIFLGPSAYNIILVTASEKNDVEILSQVFKDLILSFQSLPSSLYLKLARGFVKSNDDVQLLRLVKEVSELTFPSSTKVVNRFIFAFAECGQFDKAILIFEQMENLKCKPDLVTYNTVLDLLGRAGRIDEMLGEFASMKEAGILPDFISYNTLLNQLTKVGRLDLCSVYFRDMVGNGIEPDLLTYTALIWSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKIELAMTFLEEMNASMSNLAGPKDFKRTHR >Potri.009G067400.1.v4.1 pep chromosome:Pop_tri_v4:9:6742520:6745522:-1 gene:Potri.009G067400.v4.1 transcript:Potri.009G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067400.v4.1 MRNFVNVVARSFFLSSSLLFHLHKVRFFLRKNCITSIPVGSHWILPHFATITEVIGHYKQLTTRASFDGEEIKDDNLNQMLEAVENAPECTTRKVGTAYVHNLCKAGTLFAAVRLLRLLRDKNIFLGPSAYNIILVTASEKNDVEILSQVFKDLILSFQSLPSSLYLKLARGFVKSNDDVQLLRLVKEVSELTFPSSTKVVNRFIFAFAECGQFDKAILIFEQMENLKCKPDLVTYNTVLDLLGRAGRIDEMLGEFASMKEAGILPDFISYNTLLNQLTKVGRLDLCSVYFRDMVGNGIEPDLLTYTALIWSFGQSGNIEESLRLFNEMKTKQIRPSIYIYRSLIASLKKMGKIELAMTFLEEMNASMSNLAGPKDFKRTHR >Potri.012G080000.1.v4.1 pep chromosome:Pop_tri_v4:12:10463151:10468847:1 gene:Potri.012G080000.v4.1 transcript:Potri.012G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080000.v4.1 MKNLYCFKQIYNNGKSERRLSLGEYKRAVSWSKYLVSSGAEIKGEGEGGWSADMSQLFIGNKFASGRHSRIYRGVYKQRDVAIKLISQPEEDENLATMLENHFTSEVALLFRLRHPNIITFVAACKKPPVFCIITEYLAGGSLRKFLHQQEPYSVPLDLVLKLALDIAHGMQYLHSQGILHRDLKSENLLLGEDMSVKVADFGISCLESHCGNAKGFTGTYRWMAPEMIKEKHHTKKVDVYSFGIVLWELLTAMTPFDNMTPEQAAFAVCQKNARPPLPPKCPLAFSHLINRCWSSNPDKRPHFDQIVAILESYSESLEQDAEFFTSYKPTTNHTILRCFPKLIAGRRSASVKA >Potri.019G014338.1.v4.1 pep chromosome:Pop_tri_v4:19:2146269:2150463:1 gene:Potri.019G014338.v4.1 transcript:Potri.019G014338.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014338.v4.1 MGRSDDPFWNEVEDMNGSMKCKFCGHLFANGTSISRIKWHLSGERGHNVVICGQVPKEVQEAAFLAMHVGNKRHKSIASSSNVDISTCPQEQDNAVLGNLAQGIGRERIHSRLEAANGMENTGEGSFQHVDRSISPWRLRVDAYENRGEATQRTDLVDQFADSTWVQIHSAFSKEQQLNEISTYLMQEDEDVERLRDAFETVPRTEQVQHLERGSSCERPSINQADEPRGDSSQPTDPLCLDHGRYYDQLFAPSVNNDVIMNDVQNMVRVRTEPVEEDVENSRRSVRPDAGARSSASLKYNTSETRGVPLPTSSTKPVGQAFEENTNVIWSLLMDDKVPTIGIYGMGGVGKTTILKHIHNELLHRPDICDHVWWVTVSQDFSINRLQNLIAEHLDLDLSRKNDELHRAAKLSEELRKKQKWILILDDLWNNFHLDKVGIPIRLKGCKLIMTTRSETVCNQMTCHKKIKVKPLSEGEAWTLFMKKLGRDIALSPEVERIARAVARECAGLPLRIITVTGSLRRVDDLHEWRNTLLKMRESVFRDMDEKVFQVLRVSYDRLGYRALQQCLLYCALFPEDHVIQRERLIDYLIDEGIIKGMRSRKDAFDEGHTMLNRLEYVCLLESAQMTFDGRRRVKMHDLIRDMAIQIQLENSKGMVKAGAQLKELPDAEEWTENLTRVSLMQNKIEEIPSSYSPRCPFLSTLLLCQNRLLGFIADSFFKQLHGLKVLDLSCTGIENLPDSVSDLFSLTALLLNDCKKLRHVPSLEKLKALKRLNLSRTALEKMPQGMECLTNLKYLRMNGCGEKEFPSGILPKLSHLQVFVLEKFTARGDAPITVKGKEVGSLRNLESLECHFEGFSDFVEYLRSRDGIQSLSTYTILVGMMDEGYWFGTYDFPSKTVGVGNLSINGDGDFQVKFLNGIQGLVCQCIDARSLCDVLSLENATELKRISIWECHNMESLVSSSWFCSAPPPLPSCNGTFSGLKVFSCYRCESMKKLFPLVLLPNLVNLERIEVCECKKMEEIIGTTDEESSSSNSITEVILPKLRILKLCWLPELKSIRSAKLICNSLEDITVDYCQKLKRMPICLPLLENGQPSPPPSLKNIYSSPEEWWETVVEWEHPNVKDVLRPFVNNYNLKFD >Potri.003G018700.1.v4.1 pep chromosome:Pop_tri_v4:3:1922621:1924289:-1 gene:Potri.003G018700.v4.1 transcript:Potri.003G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G018700.v4.1 MFMDPPCNLAPVSGFYSMLSRELDDLDQSFLSHNFISVQFLSKVLSSLQSFHSQLTTLVQELHLPVGEKWLDEYMDESSRLWEACLVLKSGVLAMENHHSSGANIASSLDGYRHHSNAQVSRQVIRAIVGCQREVMVLEEENKRLMETRVEALSLKFEGNFNTVLMIESSWFNAFNGFRGVLHAMRNVNSLLLLILLAGLVYCWPPFWQGAAAFREERQMTFGSALMDSIARLQRRVKNGMDQIGGQSASSAGIMLYEFRQARIAMEELKAELESGGAVECESGMDIQDKVDSLKSCFGLLRCGVETIIGQLDDFFDEIVEGRKKLLGMCSRQPRT >Potri.016G041900.1.v4.1 pep chromosome:Pop_tri_v4:16:2631469:2636744:1 gene:Potri.016G041900.v4.1 transcript:Potri.016G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041900.v4.1 MAGSRPTTSISQTSSANVFTSRLLLLLTLLPLTLAAFAFLLQWRGGLTDPITRWSPDHHEFPGMESTSGSIKDTVRNSGSGCTDLLGQSHSLSFPYFRDWKFGFGSDLKPKICITTSTSAGLEQTLPWIFYHKVMGVSTFFLFVEGKAASPTVSKVLETIPGVKVIYRTRELEEQQAKSRIWNETWLASFFYKPCNYELFVKQSLNMEMAIVMAREAGMDWIIHLDTDELIHPAGAREYSLRQLLSDVPGNVDMVIFPNYESSVERDDIKEPFSEVSMFKKNYDHLPKDVYFGNYKEATRGNPNYFLTYGNGKSAARIQDHLRPNGAHRWHNYMKTPNEVKLEEAAVLHYTYPKFSDLTSRRDRCGCKPTKEDVKRCFMLEFDRAAFIIASTATEEEMLHWYRERIVWTDKALNLKLLRKGILNRIYAPMVIVQRLRETGVFTSVIASAQKVLSSVTNSSTAGVASSSKIGHDGESKATARRVLQIPDNASFSSAIPPLSPPGLEGLYMEM >Potri.002G006300.1.v4.1 pep chromosome:Pop_tri_v4:2:352709:355629:-1 gene:Potri.002G006300.v4.1 transcript:Potri.002G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006300.v4.1 MTTTTTSSPSAWTPQFHCFSVQRPTSFWASKHRFSPKVVELGRDFFLSSSQPRCNRVLLINPSFRFKAINKNNDNVENPKEEEEEEQQQCVQDVAKAAANKKESESDTTLPDWKKDPFSKFQGMIVTLPPVDFVMRRASGSNFVIWLCVATAFLVVAVRVYVVRKLRQSRPGSVADLVRRGQLRSDRRGISSPLKYEDPFNNPLVKVSKSNSTVEMCGKVYRLAPVTLTKDQQAIHQRRRSRAYQWKRPTIFLKEGDSIPPNVDPDTVRWIPANHPFATTASDIDEDLAQNNVYQKHGVPFRIQAEHEALQRKLETLQNEQKLNKLVIDTGNAKEFERSFKSNPKSNELVERSSFNSQSGDSKPLKSDRSLNSLNSSSSPEEMQKP >Potri.006G228301.1.v4.1 pep chromosome:Pop_tri_v4:6:23220504:23224251:1 gene:Potri.006G228301.v4.1 transcript:Potri.006G228301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228301.v4.1 MKRPACAACKNQRRKCDEDCFVAPYFPAEKTRDFEAVHKLFGLHNIVNLLQNLPLEKRSDAVISMVYEANARVRDPVTGCTRILSELMQRLNALKAELNLVQNKNAYYRTLKQQQPGQQNMVHNAGFVDFLRENNNVKLLPSPNQDLNFLHENLENPQQLQESSSAQNQIAYYHTLKQKQLGQQNMVHNAGFVDFLQEHNNANLLPSPNQDFTFLHENLENPQQLQDSSSAQNQIAHYRALKQHQPGQQNMVHNAGFVDFLQENDNVKLLPSSSQDFNYMHENLENPQQLQESSFCCKKTDFWN >Potri.003G217000.5.v4.1 pep chromosome:Pop_tri_v4:3:21253434:21254574:1 gene:Potri.003G217000.v4.1 transcript:Potri.003G217000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217000.v4.1 MGSKNGVTTSAISYILVLLMLVGSAKSDFQQDRAECADQLVGLATCLPYVSDDAKAPTLDCCSGLKQVLDKSKKCLCVLIKDRDNPNLGIKFNVSLVAKLPSLCHSPVNVTECINLLHLPANSPDAKFFEGFANVTQSGSIDTPVGSGSSIGSNPSSAAEKSSDERPANRWLVAEIVCGSLLLAFTSVGF >Potri.016G128100.1.v4.1 pep chromosome:Pop_tri_v4:16:13131275:13133953:-1 gene:Potri.016G128100.v4.1 transcript:Potri.016G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128100.v4.1 MEPTAGKPNFLRNILVKVLLFGVLIIIVRFAYIVTTTGESCNLGDFCFLPDNFNFVIAGTGTGVSTSNKAVESTSAGTSQSDLYRSKDWIKAVHFYSDVFHDLVSDGYMSAISKTLCVETPRGDDVLALKEIGILDSIGIYKKASKPLVISSKENRLPFDENTFDFIFSGGDRLDKTAQRPLDLTVSEIQRTLKPEGFFVAHVSAKDTYSFNSFLDLFNSCKLIKSRDIEGYDSSMPLIREIVLQKKVGSEIVSKDSDGNSRNSCSVPGYKRDLVRNAETLIMEEPLKPWITLKRNIMNIKYLTAMADISFKSRYVYVDVGARSYGSSIGSWFKKQYPKQNRTFDVYAIEADKAFYEEYRVKKGVTLLPYAAWVRNETLRFEINHDPGKEVKEKTRGMGRIQPVKSSLSSRSFNGEVNEIEGFDFAEWLKNTVTEKDFVVMKMDVEGTEFDLIPRLFETGAICLIDEIFLECHYNRWQRCCPGQRSSKYEKTYGQCLDLFTSLRDRGVLVHQWW >Potri.001G366850.1.v4.1 pep chromosome:Pop_tri_v4:1:38301637:38301951:1 gene:Potri.001G366850.v4.1 transcript:Potri.001G366850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366850.v4.1 MLTLQAPFFPLYLGGIVSLTVPHYPYTPLFVCLPVLEFCREGALLLKVVLSRGESKNWREIPFVGRILGHFLFSSFSSLYALGRGDSWPFDLLALFLVSLIYIV >Potri.019G094000.1.v4.1 pep chromosome:Pop_tri_v4:19:13099719:13101157:-1 gene:Potri.019G094000.v4.1 transcript:Potri.019G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G094000.v4.1 MRSNILLTIILAIALAGALPKNVVEAQNCGCAANLCCSQYGYCGTGNDYCGQGCKQGPCSSSPTPTTPSGSGSVADIVTPGFFNGIISQAPAGCAGKNFYTRDAFLSAVNSYPQFGKLGSVEASKREIAAFFAHVTHETGHFCYKEEINGASGQDYCDKNNREYPCVPGKKYYGRGPLQLSWNYNYGPAGRSNNFDGLNNPDIVASDAVVSFKTALWFWMNIVRPVVSQGFGATIRAINSMECNGGNPGTVQARVRYYRDYCSQLGVAPENNLTC >Potri.014G121200.1.v4.1 pep chromosome:Pop_tri_v4:14:8150299:8151812:1 gene:Potri.014G121200.v4.1 transcript:Potri.014G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121200.v4.1 MAGGKIRKEKPSSRGAPSSNHYQGGISFHKSKGQHILKNPLLVDSIVQKSGIKSTDVVLEIGPGTGNLTKKLLEAGKMVVAIELDPRMVLELQRRFQGTAFSNRLKVIQGDVLKTDLPYFDICVANIPYQISSPLTFKLLNHQPSFRCAIIMFQREFAMRLVAQPGDTLYCRLSVNTQLYARVSHLLKVGKNNFRPPPKVDSSVVRIEPRKPRPQVNPKEWDGFIRICFIRKNKTLGSIFRIKNVLSMLEKNYKTLQALQQLQNGSSGSTNAEMDILGLGESKEDRSMDMDDGTDDEMEVEDGDADGEASEFKQKVLAVLKERDYSEKRSSKLSQEEFLHLLSQFNMAGIHFS >Potri.006G046500.3.v4.1 pep chromosome:Pop_tri_v4:6:3162297:3167610:1 gene:Potri.006G046500.v4.1 transcript:Potri.006G046500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046500.v4.1 MKGLKKNGGVDGIAEKVSVSFEEGVRTSDVSTRQKIYGCNRYTEKPPRSFLMFVWEAMQDLTLIILMICALVSIGVGIATEGWPKGMYDGLGIILSVFLVVMVTAASDYNQSLQFRDLDREKKKISIQVTRDGRKQEISIYDLVVGDVVQLSIGDIVPADGIYISGYSLVIDESSLSGESEPVNVYENKPLLLSGTKVQDGSGKMIVTAVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATVIGKIGLAFAVLTFLVLTVRFLVEKALRHEFTDWSSSDAMTLLNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNEKALVRHLSACETMGSATCICTDKTGTLTTNCMVVDKIWIRGKTEVIKSRHSEGILEMGISEGVLNLLFQVIFQNTACETSKDENGKNKILGTPTEKALFEFGLLLGGDFDAQRKDFQIMKVEPFNSVRKKMSVLVALPSGELRAFCKGASEIVLKMCDKFLDDSGKSVPLFEEQILSISDVINGFASEALRTLCLAFKDLDDPAYEGSIPDFGYTLVTVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNINTAKAIAKECGILTEGGLAIEGPEFRIMNPQQMRENIPKIQVMARSLPLDKHTLVTNLRNMFKEVVAVTGDGTNDAPALHEADIGLSMGIAGTEVAKESADVIIMDDNFRTILNVAKWGRAVYINIQKFVQFQLTVNVVALVINFASACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDGLMKRAPVGRGASFITKTMWRNIFGQSIYQLVILAVLQFDGKRLLGLSGTDATTMLNTVIFNTFVFCQVFNEINSRDIEKINVFRGMFSSWIFTGVMVITVVFQVIIVEFLGTLASTVPLSWQMWLFCVLIGAVSMPVAVVLKCIPVERGNPKQHDGYDALPPGPDQA >Potri.006G046500.1.v4.1 pep chromosome:Pop_tri_v4:6:3157610:3168014:1 gene:Potri.006G046500.v4.1 transcript:Potri.006G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046500.v4.1 MDKLLKDFEVEPKNTSEDALRKWRRAVTIVKNPRRRFRMVADLAKRAAAERKIRSIQEKIRIALYVKRAALQFLDAGAAAGNASGQSEYKISDEVKEAGFDIDPDELASIVREHGMKGLKKNGGVDGIAEKVSVSFEEGVRTSDVSTRQKIYGCNRYTEKPPRSFLMFVWEAMQDLTLIILMICALVSIGVGIATEGWPKGMYDGLGIILSVFLVVMVTAASDYNQSLQFRDLDREKKKISIQVTRDGRKQEISIYDLVVGDVVQLSIGDIVPADGIYISGYSLVIDESSLSGESEPVNVYENKPLLLSGTKVQDGSGKMIVTAVGMRTEWGKLMETLSEGGEDETPLQVKLNGVATVIGKIGLAFAVLTFLVLTVRFLVEKALRHEFTDWSSSDAMTLLNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMNEKALVRHLSACETMGSATCICTDKTGTLTTNCMVVDKIWIRGKTEVIKSRHSEGILEMGISEGVLNLLFQVIFQNTACETSKDENGKNKILGTPTEKALFEFGLLLGGDFDAQRKDFQIMKVEPFNSVRKKMSVLVALPSGELRAFCKGASEIVLKMCDKFLDDSGKSVPLFEEQILSISDVINGFASEALRTLCLAFKDLDDPAYEGSIPDFGYTLVTVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNINTAKAIAKECGILTEGGLAIEGPEFRIMNPQQMRENIPKIQVMARSLPLDKHTLVTNLRNMFKEVVAVTGDGTNDAPALHEADIGLSMGIAGTEVAKESADVIIMDDNFRTILNVAKWGRAVYINIQKFVQFQLTVNVVALVINFASACITGSAPLTAVQLLWVNMIMDTLGALALATEPPNDGLMKRAPVGRGASFITKTMWRNIFGQSIYQLVILAVLQFDGKRLLGLSGTDATTMLNTVIFNTFVFCQVFNEINSRDIEKINVFRGMFSSWIFTGVMVITVVFQVIIVEFLGTLASTVPLSWQMWLFCVLIGAVSMPVAVVLKCIPVERGNPKQHDGYDALPPGPDQA >Potri.017G014000.2.v4.1 pep chromosome:Pop_tri_v4:17:978781:981535:1 gene:Potri.017G014000.v4.1 transcript:Potri.017G014000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014000.v4.1 MVIINPGNPCGSVYSYQHLEKVAETARKLGIMVISDEVYGHLTFGSAPFVPMGVFASTVPVLTLGSISKRWIVPGWRMGWLVTNDPNGILQDSGIVASIKDYLNISSDPPTFIQAAVPQIIENTKDGFFSKINNILGEAADTCYGKIQDIPCIICPHKPEGSMFVMVKLNLTLLEGIDDDVDFCLKLAKEESVMVLPGIAVGMKNWLRITFAIEPSALEVGFERLKVFCQRHAKQ >Potri.017G014000.1.v4.1 pep chromosome:Pop_tri_v4:17:978781:981535:1 gene:Potri.017G014000.v4.1 transcript:Potri.017G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014000.v4.1 MENGTKKWGFQAKKGMNSTASISVRGVINRLAENLNKEDKREVIPLAHGDPSAFPCFRTTPVADEAIFDAVRSAKNNHYAPTVGLLPARRAAADYLNRDLPYKLSPDDVFLTLGCKQAIEIAVTVLAAIPGANVLLPRPGFPCYEARAAHSCLDVRHFDLLPEKGWEVDLEAVEALADENTVAMVIINPGNPCGSVYSYQHLEKVAETARKLGIMVISDEVYGHLTFGSAPFVPMGVFASTVPVLTLGSISKRWIVPGWRMGWLVTNDPNGILQDSGIVASIKDYLNISSDPPTFIQAAVPQIIENTKDGFFSKINNILGEAADTCYGKIQDIPCIICPHKPEGSMFVMVKLNLTLLEGIDDDVDFCLKLAKEESVMVLPGIAVGMKNWLRITFAIEPSALEVGFERLKVFCQRHAKQ >Potri.006G250600.1.v4.1 pep chromosome:Pop_tri_v4:6:24973250:24975460:-1 gene:Potri.006G250600.v4.1 transcript:Potri.006G250600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250600.v4.1 MKFKKGSRVEVLSKTDLSSGAWWCGEIISGNGQTYQVRYDGSQGLSNEDNVESVSRKAIRPCPPPADVSDGWTVGDLVEVFDDLCWKTAAVLKVIRGNYYLVRLIGPSTKLQVNKVNIRMRQLWLDGKWVVIGKGSGSFENEKSKKPSVWSCYQKMRSPRQQASKKRKVQAREACLANKNNTGFQESCAMSARTSKRASPFWSSDFEAYNGKVDKMRAIEKQSEGQRVISGYPSSFLKKVDAVAYPRECLGEIYMHASSNNQTIGSYEMQRGTPNYVVDSCGRSLEPNDSDSDACSIGSCSVTSDCPNRLFNHVVAGNSPDADTLSSDAESFYGHGDGEENCSFPLEEDVTARVRRLELHAYRCTLGAFYASGPLSWEQEALLTNLRISLNISNDEHLMELRNLISAETSIRIC >Potri.009G134700.1.v4.1 pep chromosome:Pop_tri_v4:9:10912754:10916508:1 gene:Potri.009G134700.v4.1 transcript:Potri.009G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134700.v4.1 MTVEELEAKATERRNVLVGIRIDSHSRELLSWAIAKVAEPGDCVVAVHVCGSSGHALRDKPLLDSYLEVYDGLCSMKKVGLSGQIAKGISVRRTLVREAKNYAAVAVIVGISSQAALRVWASTAKYCAKRLRPTTDIMAIHNGKIVFRRCNNNQLPGLGGDPKPSFNINENLSFREIQSEFGDSEAYTEISSFELLSRDEIGEIKDEVCSTVNARKKRSNSLFSGDFLDQRPGWPLLRRASSAIPLNLHSRKLSVVQWAMSLPDRSSQQDPRVSTAEEREISNILEDSNRSRSSASGELENGSDILLKVSSPSFLSGICNKVLKGATSNFHSENLIGKGGCSSVYKGILPDGKPVAVKVQKSSQKAMKDLALEVEIISSLNHKHITPLVDVCIKDADLIYVYDFLSKGSLEENLHGKSKDKSPLSWELRFDIAVKIAEALYYLHNECSRPVIHRDVKSSNILLSDGFEPQLSDFGLAIWGPATTSFVTLGDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELLSGRKPISSENTKSQESLVLWAKPIIESGNAIGLVDPNLNGNFDEVQMQRMVLAATHCITRAARLRPKMSEILKLLRGDKEPEKWVNPQNKDLQDPENQDNDDEVYPNSSAESHLSLALLDVDYDSTSFSSWEHGNNLSIEEYFKDRWSRSSSFN >Potri.009G134700.4.v4.1 pep chromosome:Pop_tri_v4:9:10912464:10916508:1 gene:Potri.009G134700.v4.1 transcript:Potri.009G134700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134700.v4.1 MTVEELEAKATERRNVLVGIRIDSHSRELLSWAIAKVAEPGDCVVAVHVCGSSGHALRDKPLLDSYLEVYDGLCSMKKVGLSGQIAKGISVRRTLVREAKNYAAVAVIVGISSQAALRVWASTAKYCAKRLRPTTDIMAIHNGKIVFRRCNNNQLPGLGGDPKPSFNINENLSFREIQSEFGDSEAYTEISSFELLSRDEIGEIKDEVCSTVNARKKRSNSLFSGDFLDQRPGWPLLRRASSAIPLNLHSRKLSVVQWAMSLPDRSSQQDPRVSTAEEREISNILEDSNRSRSSASGELENGSDILLKVSSPSFLSGICNKVLKGATSNFHSENLIGKGGCSSVYKGILPDGKPVAVKVQKSSQKAMKDLALEVEIISSLNHKHITPLVDVCIKDADLIYVYDFLSKGSLEENLHGKSKDKSPLSWELRFDIAVKIAEALYYLHNECSRPVIHRDVKSSNILLSDGFEPQLSDFGLAIWGPATTSFVTLGDVVGTFGYLAPEYFMYGKVSDKIDVYAFGVVLLELLSGRKPISSENTKSQESLVLWAKPIIESGNAIGLVDPNLNGNFDEVQMQRMVLAATHCITRAARLRPKMSEILKLLRGDKEPEKWVNPQNKDLQDPENQDNDDEVYPNSSAESHLSLALLDVDYDSTSFSSWEHGNNLSIEEYFKDRWSRSSSFN >Potri.001G379400.2.v4.1 pep chromosome:Pop_tri_v4:1:39981347:39982178:1 gene:Potri.001G379400.v4.1 transcript:Potri.001G379400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379400.v4.1 MASLLPENISIKTGDDDFKGIEGYDDNALLMSLLEESQGDHESNEERLRSVIQSLEAEINPSIMSDGYDTAMDPAEMPRDGEDTDELFKTAEMESLGLDFELVDMEVVPSSPSDHDMNYWYNMEPSENDIVEFGVRNYFQFGHEAALEEHGYSSLWQESYDIMMYN >Potri.019G039200.4.v4.1 pep chromosome:Pop_tri_v4:19:5422369:5426352:-1 gene:Potri.019G039200.v4.1 transcript:Potri.019G039200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039200.v4.1 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSETKREYNRRVREVVEQSWTAD >Potri.008G202000.1.v4.1 pep chromosome:Pop_tri_v4:8:14401175:14401700:1 gene:Potri.008G202000.v4.1 transcript:Potri.008G202000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202000.v4.1 MAGNLASMRLPKLRSWQRCSRMVREQRTRLYIIWRCTVILLRWDE >Potri.010G062520.1.v4.1 pep chromosome:Pop_tri_v4:10:9185324:9187686:-1 gene:Potri.010G062520.v4.1 transcript:Potri.010G062520.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062520.v4.1 MYLASKAYNNLRFSAVSIQSGIRGMAACYELWFRKQMRAVIVIQRAAIATQCAWRGQVARKELWKLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRMRADLEESKTQEMQNYVQHCKKCNLNSKNLKLY >Potri.019G060400.1.v4.1 pep chromosome:Pop_tri_v4:19:10004279:10007628:-1 gene:Potri.019G060400.v4.1 transcript:Potri.019G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060400.v4.1 MTASLLNKPFFSFFLHILFLLLHIFNSSSFFALAEHTPSTTSLFGNNNSEAEALLQWKASLDNQSQSLLSSWVGISPCINWIGITCDNSGSVTNLTLQSFGLRGTLYDLNFSSFPNLLFLVLPNNSLSGTIPHEIGKLRNLSFLALSWNQLSGSIPSSIGNLKSLSVLYLWDNQLSGSIPFSIGNMTMLTGLALYQNNLTGSIPSFIGNLTSLSELNLWGNKLSGSIPQEIGLLESLNILDLADNVLTGRIPYSIGKLRNLFFLGLSYNQLSGLIPSSIKNLTSVSEFYLEKNKLSSPIPQEIGLLESLHVLALAGNKFHGPLPSEMNNLTHLHGLALDGNEFTGHLPVDLCHGGVLKICTASNNYFSGSIPESLKNCTGLYRVRLDRNQLTGNISEVFGIYPHLNYIDLSYNNFYGELSSKWGDCRNMTSLQISKNNVSGEIPPELGKATQLHLLDLSSNQLKGGIPKDLGGLKLLYKLILNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTRDIPRELGQLQKLETLNVSHNMLSGRIPSTFKDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPTSSKTVKRKSNKLVVLIVLPLLGSLLLVFVVLGALSILCKRARKTNAEPENEQDRNMFTILGHDGKKFYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHTKHSFLVYEFIERGSLRKIISSEEQAIEFDWTKRLNVVKGVGGALSYLHHSCSPPIIHRDITSNNILLDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHMMKITLACLHPNPQSRPTMEKISFELTTKWPPLPQAFGTISLGDLFS >Potri.002G072100.1.v4.1 pep chromosome:Pop_tri_v4:2:5057563:5062156:-1 gene:Potri.002G072100.v4.1 transcript:Potri.002G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072100.v4.1 MSSTVGKVIRCKAAVAWEAAKPLSIEEVEVAPPQANEVRLRILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGPGVTDLQPGDHVLPVFTGECGDCRHCKSEESNMCDLLRINTDRGVMLTDGKSRFSINGKPIYHFVGGSTFSEYTVCHIGSVAKINPAAPLDKVCILSCGISTGLGAALNVAKPKKGHTVAVFGLGAVGLAAAEGARLSGASRIIGVDLNPSRFNEAKKFGVTELVNPKDHDKPVQQVIIEMTDGGVDRSIECTGNVQAMISAFECVHDGWGVAVLVGVPGKDDEFKTHPMNLLNERTLKGTFFGNYKPRTDLPGVVEKYMNKELEVEKFITHSIPFSEINKAFDYMLKGEGLRCIIRMEG >Potri.002G166600.1.v4.1 pep chromosome:Pop_tri_v4:2:12748552:12753099:1 gene:Potri.002G166600.v4.1 transcript:Potri.002G166600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166600.v4.1 MERKDKGLDVERQGKGEKKEGEILMGKRELKGGMLMQVGKRGGPTTPSPTWRLEFSSSPNDSNNNPIQEFLNTTTAVSARKLCANFWEIQPQVHHSVPKMNKNHGHRRAHPSHQYKDKKAFEPRTHLVDPLNSPPDQPARASRLRKQAASLMQHHRPTARDGNALKPTISPASCDSSMEVAPYNPAVTPSSSSDFKGRMGESSYSLKTSTELLKVLNRIWSLEEQQASNMSSLRALKMELDHSQSQIKELLKEKQADRQEMDNLMKQLAEDKVVRKNKEQDRIKYAVQSVQEELEDEKKLRKHSESLHRKLARELSEVKYSFSNALKELERERKACFLLENLCDEFAKGIRDYEQEVRSLRHKSDMDSVGREKPDRLVLHISEAWLDERMQMKLAEAESDPVDKNTIVDKLGLDIETFLQARLSNELKKDSSFAKEGIKNCSRRESFPLNDNASAPQAAADEDSTDSDSHCFEPNKSASKRQTTCNSKQVDNASEIHLEKILFSNSKKRMAGSGENTKVHNPVNFQVQFEEHVAGSKTRFPDRGQNEFRGESQGLSSIYETKQDGQHERKSKQMGAHGLNSNYMIDTLTRHHSLSSEGDKIHPVSDFKEDACAQPVFVGHASPVQQWMSKLKSPEFEKSESSLKVTRVLKENTLKAKLLEARLEGQKSCFKSF >Potri.004G051300.3.v4.1 pep chromosome:Pop_tri_v4:4:4141024:4143080:1 gene:Potri.004G051300.v4.1 transcript:Potri.004G051300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051300.v4.1 MSDPQNPNPQNLPSSSASSSSNKNPTEIKPGNGNYSGQKVHFSNPPETTNPDPATLREQWRFAIRQYSKWYSHAWGTAILAGVSFFALGWIIKGSNPLPSSRTDDSSSSPSNDAKEKATR >Potri.002G087000.1.v4.1 pep chromosome:Pop_tri_v4:2:6232351:6235457:-1 gene:Potri.002G087000.v4.1 transcript:Potri.002G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087000.v4.1 MASEKVETVIAGNYVEMEREEGSSKSTKSKFSNFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGLLSGILFQLLYGLMGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKYWRNIGLLFNCTFLVFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFVPSFHNYRIWSFLGLMMTSYTAWYMTIASLIHGQIEEVKHSGPTTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKLIYLIATLYVLTLTLPSASAVYWAFGDLLLTHSNALSLLPKNGYRDTAIILMLIHQFITFGFACTPLYFVWEKFIRVHDTKSVLKRALARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPSLAHMITFSSASARENAVERPPPFLGGWVGLYCVNIFVMVWVFIVGFGFGGWASMLNFIRQIDSFGLFTKCYQCPPHKA >Potri.007G134500.1.v4.1 pep chromosome:Pop_tri_v4:7:14697028:14699037:-1 gene:Potri.007G134500.v4.1 transcript:Potri.007G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134500.v4.1 MSSRGHWRLAEDEKLLELVEQYGPHNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLACHRIHGNKWAVIAKQFPGRTDNAVKNHWHVIMARRCRERSKIHAKRASPSLLVNEQKPSFSNQDVRIMNCEPRNNAPSFVHKYFESYCDHYHHPFTRNYTSICKDLYSQNPSHCEGKNQPLEFYDFLQVKTESSKSEVIDNARRDDVEVDQEAKELPKSRAGFPFIDFLSAGNQVSGL >Potri.007G134500.2.v4.1 pep chromosome:Pop_tri_v4:7:14697003:14699135:-1 gene:Potri.007G134500.v4.1 transcript:Potri.007G134500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134500.v4.1 MSSRGHWRLAEDEKLLELVEQYGPHNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLACHRIHGNKWAVIAKQFPGRTDNAVKNHWHVIMARRCRERSKIHAKRASPSLLVNEQKPSFSNQDVRIMNCEPRNNAPSFVHKYFESYCDHYHHPFTRNYTSICKDLYSQNPSHCEGKNQPLEFYDFLQVKTESSKSEVIDNARRDDVEVDQEAKELPKSRAGFPFIDFLSAGNQVSGL >Potri.011G137800.2.v4.1 pep chromosome:Pop_tri_v4:11:16952201:16953427:-1 gene:Potri.011G137800.v4.1 transcript:Potri.011G137800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137800.v4.1 MNTLFIVLTLLIPIFLLLTKGRRSPKRVPPGSLGIPIVGHSLHLLRAMRANTAEKWLQQRIQIYGPISKLSLFGKPTVFIHGKDANKFVFTSDSSTLSNSQPQSVKKLLGDRCLLELSGQDHKRVRDALGLFLKPESLKSYVGKMDEEVRMHIAMHWEGKQEVKVLPLMKTLTFNIICALLFGIERGARREKLVDWFQEMMEGMWSIPINLPFTRYNRSLQASASIRNMTKDLIGEKRMELAKKGVNPHKDLISCMLSTRDENNREVINENEIMDNVMLVMTAGHDTSSILITCPNFS >Potri.003G064100.2.v4.1 pep chromosome:Pop_tri_v4:3:9159681:9167040:1 gene:Potri.003G064100.v4.1 transcript:Potri.003G064100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064100.v4.1 MAENAAAAAAASSPKPLPSPNTIIDPSSQPQPQPQQAATLTNTNTTNLTQTQNLSNLPQNTHISSSPSLDHSQISSSPSLQPPQQQQQPVLQQQPQQQNVTAMSGYQIQQTLQRSPSMSRSSQINQQQQNQYGGVLRQQQQQGLYGQMNFGGSASIQQNSQQNQQLGGANLSRSALLGQTGHLPMFTGAAAAAAAAQLNLPSQLLASPRQKAGLAQGSQFHSGDSPGQSLQGIQAMGVMGSSLNLSQLRPNGALAYAQQRMSAGSMRQQLVQQNSLTSQVQSLQRTQSLAYMNHQMSGLAQNAQPTMMQSSLSQQQWLKQMPTMSGPASPSLHLQQRQSQAFLQQQLASSGQLHQNSMALNSQQLSQLVQQQPQIGHQQLQQQQQQQQLLQQQQQQQQQQLLQQQQQQQLQQVSLHQQQQHQQQSPRMPGPPGQKTLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSHGKLDPEVEELFLEIADDFIDSVTAFACSLAKHRKSSTLESKDILLHLEKNWHLTIPGFSSEERKHQSKPLPSDLHKKRLDMIRALMESSQSEENNINPKEMIRQGHGNPAVTNHLIRPSPSSEQLVSQSTGSPMVQQITRF >Potri.001G102600.1.v4.1 pep chromosome:Pop_tri_v4:1:8279794:8281058:-1 gene:Potri.001G102600.v4.1 transcript:Potri.001G102600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102600.v4.1 MKQEGEMFVVACRPMAGVSPVSANSQSQQPFPTPSPFSFSDAPARLSTRPPIYLSNLILRFLALVFSFVSALSLAASSSKKDSPRPSSFADYSELLYCFIASVLVFVYSAFQLFKGICDIAQRGILISDMFSDYMSFILDQVAGYLLISSSSVAILAIQQIDKTASILKAVIISTVVSFVTFLVIVICTLLSGYRLCKRIIW >Potri.001G299900.2.v4.1 pep chromosome:Pop_tri_v4:1:31082246:31082944:1 gene:Potri.001G299900.v4.1 transcript:Potri.001G299900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299900.v4.1 MSRKLRSLCFLYLWFSTVLFQSEARHLNGLRVASSSKNMEYSFTRLVLRGIKQSGPSQGGPGHRSGNAQLLGQPTNSGPSPGVGHKYSVGMHY >Potri.001G129500.3.v4.1 pep chromosome:Pop_tri_v4:1:10595085:10598415:1 gene:Potri.001G129500.v4.1 transcript:Potri.001G129500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129500.v4.1 MTRGKQKIEAQRKNAERNQKSKGSQFEARAVALKVTCSICKVQLANSNQLGDHYASKHPKEKPPAESS >Potri.007G108200.1.v4.1 pep chromosome:Pop_tri_v4:7:13064335:13067954:-1 gene:Potri.007G108200.v4.1 transcript:Potri.007G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108200.v4.1 MKGGTVQINWHDTKPVLTLDFHPFSGLLATGGADYDIKLWVINSGQAQKKIATATYLNSLSYHGSAVNVLRFSPSGELLASGADGGELIIWKLHSTETGQTWKVLKNFLFHRKDVLDLEWSADSAYLISGSVDNSCIIWDVNKGSVHQLLDGHFHYVQGVAWDPLAKYIASLSSDRTCRIYVNKPQTKTKGAEKMNYVSQHVITKAEQQMSTKTHLFHDETLPSFFRRLAWSPDGSFLLVPAGSYKMSAASETVNTAYAFSRMDLSRPAIMLPGASKPVVAVRFCPVAFNLRGLASAGLFKLPYRLIFAVATLNSLYIYDTESVPPIAILAGLHYAAITDIAWSSNAQYLALSSRDGYCTLVEFETNELGSPISSADERKDAVHQNKSPDTQEPECMIIETTTNNGCIAEDSGKTVVAKNEGKQPSPVSISTPISNKPAKRRITPMAIDPQ >Potri.015G107000.7.v4.1 pep chromosome:Pop_tri_v4:15:12540421:12543937:1 gene:Potri.015G107000.v4.1 transcript:Potri.015G107000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107000.v4.1 MEEAKRDEEESKQQQIWSWGAGTEGQLGTGKLEDEYLPQLLHLPFLSSAESISTLACGGAHVIALTSGGRVFTWGRGTTGQLGHGEMLNSLHPKPVSSLQSCVITHVSAGWSHSGFVSDIGLLFTCGDGSFGQLGQGNYRSQSTPVKVDYFANNFVNQIACGMRHSLVLLNGNQVYGFGSGKRGQLGISRDKTKSINLPQVTCGLEDVQIVSISANGDHSAAISADGHLYTWGRGFAGASDANFPQLSLSSLRCTKAALGWNHGLLLTGDEEVLMLGGNYHGVLCDLEKMSAVKHRPEDSPGTALNEVIGLDGVKVVKIAAGAEHSALVTVDGAIKTWGWGEHGQLGLGNTNDQTIPQTVSLIPDIQNKEASLNVYCGSGFTFAIRSLSVNPDNISRN >Potri.006G021600.1.v4.1 pep chromosome:Pop_tri_v4:6:1454582:1455844:-1 gene:Potri.006G021600.v4.1 transcript:Potri.006G021600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021600.v4.1 MSQNQATVAYPPPPTSTYPSAYSGPPPAGYPTMDGQSDQQNPIPVKTKSRGDGFWKGCCAALCCCCLCDACF >Potri.008G006100.2.v4.1 pep chromosome:Pop_tri_v4:8:286607:290568:1 gene:Potri.008G006100.v4.1 transcript:Potri.008G006100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006100.v4.1 MGSRFPSHQLSNGLYVSGRPEQPKERAPTMSSVAMPYTGGDIKRSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAASHSGPIMPNAAARAAYTTSGAVSSGGTGSVSLKKSNSGPLNKHGEPVKKSSGPQSGGVTPSGRQNSGPIPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKNPGYAVIHNQAVTVLSQDDDFSFRKNFPKLILWSLILLFVMGFIAGGFILGAVHNAILLIVVVVLFGAVASFFIWNTCFGRRAIMAYIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQRVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRSLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSLVIDANPGAEELSPDFVKWLGERNLSSDDRIMRMKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPITTGCQWAKCIFPASLEGIVLRCEDTSKNDVIPV >Potri.008G006100.1.v4.1 pep chromosome:Pop_tri_v4:8:284394:290568:1 gene:Potri.008G006100.v4.1 transcript:Potri.008G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006100.v4.1 MGSRFPSHQLSNGLYVSGRPEQPKERAPTMSSVAMPYTGGDIKRSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAASHSGPIMPNAAARAAYTTSGAVSSGGTGSVSLKKSNSGPLNKHGEPVKKSSGPQSGGVTPSGRQNSGPIPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKNPGYAVIHNQAVTVLSQDDDFSFRKNFPKLILWSLILLFVMGFIAGGFILGAVHNAILLIVVVVLFGAVASFFIWNTCFGRRAIMAYIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQRVPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRSLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSLVIDANPGAEELSPDFVKWLGERNLSSDDRIMRMKEGYIKEGSTVSVMGVVQRNDNVLMIVPPPEPITTGCQWAKCIFPASLEGIVLRCEDTSKNDVIPV >Potri.002G228400.1.v4.1 pep chromosome:Pop_tri_v4:2:21785812:21788944:-1 gene:Potri.002G228400.v4.1 transcript:Potri.002G228400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228400.v4.1 MAKGSGGLSIDSDPIATSGYFLHKSTVLTSFPEENINPKWKHLAATSARQSMDVKANRSPPSTFQFPVKLINTNEHDHDHDPDSSLPSDNRRRTVIDEMDFFADKKHDVDRMTIINNGTGDLKDSGSPAGLELNVNTGLNLLTTNTSSEQSTVDDGVSSNMEDKRAKSELAVLRAEVERMKVENLRLKGMLNHVTSNYNALQMDLVTLMQDQNSHHKNEQRDGKNKDDGVVPRQCMDLGLVAAAGGDDTDDHSLSTSEGGRRRDRSRSSGNNAENNNEDGTVFEQDKKGTDQREESPDQGWGSNKAARFNSTKTVDQTEATIRKARVSVRARSEDATISDGCQWRKYGQKMAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILTTTYEGNHSHPLPPAATAMASTTSSAARMLLSGSMSSTDGLMNSNFLTRTILPCSSSLATISASAPFPTVTLDLTQNPSPLQLPKQPIQFQFPFPNPPQNLATASAAALLPQILGQALYNQSKSFGLQMSQEMQPNRLDHQSQPALQQGQKNSLADSLTTATAAIAADPNFTAALAAAITSIIGGAHQNNINSINNVQTTTSNNNSNGNITTSNNNSYGHNKITNSSFPSD >Potri.002G094900.1.v4.1 pep chromosome:Pop_tri_v4:2:6941259:6943742:1 gene:Potri.002G094900.v4.1 transcript:Potri.002G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094900.v4.1 MDSGNSGSMQSSSGGDEEYDSRPESLPAFLNPSTHNFGPSLLSHQQPVTLFDPTPSLFHVFSQSQPNPIMVQSRGLRSDPNCTDLGINLPDSLSSSQSAVLGVQGSSQALPSSKQLRSVHDDGGRSSSPSHDQTHGIARNPKKRTRASRRAPTTVLTTDTSNFRQMVQEFTGIPAPPFSGSPFTRRLDLFGPGSGLRSGHLEPLYPLRPTAQKVHHQQTPFLSSSFPSLLNNNIVHTTNIASTSTTANNNNTISTAATSTFNPSSLNYQLPDDIGLHKQTRNLLNMQNQMLSIHPLLHPPPPPPPQQLPNVPGLGANSRASLPLPSLEELGMGHGYVNANLSGLTSHVTTEEMRLSNDGSHHNLRSLNGNYGNMQRVNSCKLNYSSASSDFHHEKGLENVSSRGTEGTVDSWICPSEFRVGDH >Potri.006G114900.1.v4.1 pep chromosome:Pop_tri_v4:6:8928130:8933098:-1 gene:Potri.006G114900.v4.1 transcript:Potri.006G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114900.v4.1 MGSYPGTCEIVEAGEELDSVEHSKRAYQSYSGLSEGGDGRKHPVLKLGSKDSLEDDINQLFETISLKNSSKGLGLSSQAGTSASPLRKNAMKRPITVGVPRSPAIGNSEPVSLKQALRELCISKASEMAAMKRSSKSANSPGLSESGRIKSLYNSVIVETSRPGLPIVEISLVPEEIKSNVSEKLPLQLQVPKIKSSNQSAQSSPRFLALKSPNQSANSSPRFVVPATQDAIVASSRQREIVSASQKVRPQALKSPNQSSLSSPRYAGQSMQNGIETTQMHNGTGIAPRKIGSQELNSTAQSVCSSPRFAVPTSQNGTGIASMQNDMVSASRHSENQALKMEKVLKQKHTPAISQSHSVDNTLELDMDVPTSTKAAGKAPAQRFGRKGRFHSASSSINGNRATKFTRNTPRLAKPALRNKNSVKKKIKQGATSSACIPSEGNNSLFTSTGQLVCQKCQHTFDIVAEDSNQDFHAPLSSSLDAEVISSIKKSKPELTSSNCNRNGAVGKVKKHSKSTQKEEFSQSSNSSLGDHSTSTSNSDESHASRLSCGNRPHMSKDFRWEAICRLKMQHGVLSLRHFNLIKKLGCGDIGTVYLAELLGLNCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYAQFTSDNLSCLVMEYCPGGDLHVLRQRQPGRSFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCSVSPTLLRSSTDSEPVKMSGPCTESSCIEPLCIEPSCQVPCFSPRFLPATAKARKLKAEVAAQVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGITPFKGSGNEETLANVVSESLKFPDSPLVSFQARDLIRELLVKEPENRLGTHKGATEIKQHPFFEGLNWALIRCAIPPEVPELYDFGVSKHGKNTQYLECKATGELLEFELF >Potri.006G114900.2.v4.1 pep chromosome:Pop_tri_v4:6:8928131:8932241:-1 gene:Potri.006G114900.v4.1 transcript:Potri.006G114900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114900.v4.1 MGSYPGTCEIVEAGEELDSVEHSKRAYQSYSGLSEGGDGRKHPVLKLGSKDSLEDDINQLFETISLKNSSKGLGLSSQAGTSASPLRKNAMKRPITVGVPRSPAIGNSEPVSLKQALRELCISKASEMAAMKRSSKSANSPGLSESGRIKSLYNSVIVETSRPGLPIVEISLVPEEIKSNVSEKLPLQLQVPKIKSSNQSAQSSPRFLALKSPNQSANSSPRFVVPATQDAIVASSRQREIVSASQKVRPQALKSPNQSSLSSPRYAGQSMQNGIETTQMHNGTGIAPRKIGSQELNSTAQSVCSSPRFAVPTSQNGTGIASMQNDMVSASRHSENQALKMEKVLKQKHTPAISQSHSVDNTLELDMDVPTSTKAAGKAPAQRFGRKGRFHSASSSINGNRATKFTRNTPRLAKPALRNKNSVKKKIKQGATSSACIPSEGNNSLFTSTGQLVCQKCQHTFDIVAEDSNQDFHAPLSSSLDAEVISSIKKSKPELTSSNCNRNGAVGKVKKHSKSTQKEEFSQSSNSSLGDHSTSTSNSDESHASRLSCGNRPHMSKDFRWEAICRLKMQHGVLSLRHFNLIKKLGCGDIGTVYLAELLGLNCLFAIKVMDNEFLARRKKMPRAQTEREILRMLDHPFLPTLYAQFTSDNLSCLVMEYCPGGDLHVLRQRQPGRSFSEPAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLTDFDLSLRCSVSPTLLRSSTDSEPVKMSGPCTESSCIEPLCIEPSCQVPCFSPRFLPATAKARKLKAEVAAQVRSLPQLVAEPTDARSNSFVGTHEYLAPEIIKGEGHGAAVDWWTFGVFLYELLYGITPFKGSGNEETLANVVSESLKFPDSPLVSFQARDLIRELLVKEPENRLGTHKGATEIKQHPFFEGLNWALIRCAIPPEVPELYDFGVSKHGKNTQYLECKATGELLEFELF >Potri.003G009000.2.v4.1 pep chromosome:Pop_tri_v4:3:836546:843795:-1 gene:Potri.003G009000.v4.1 transcript:Potri.003G009000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009000.v4.1 MGNIGEIQEEIERWVSPSPSPGRTSMPLSLNHVSFVCKSVPESVKFYEDVLGFVLIKRPSSFKFEGAWLFSYGIGIHLLESDKAPTKKSKINPKDNHISFQCSDMNLVIKKLEEKNIEYVTAVVEEGGITVDQLFFHDPDGYMVEICNCQNLPVLPLSSCPLIKAPKTSGSLASSPSLYGKQSWELRCFAEVASVMMDNLVADMMDISI >Potri.003G160000.1.v4.1 pep chromosome:Pop_tri_v4:3:17030116:17031257:1 gene:Potri.003G160000.v4.1 transcript:Potri.003G160000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G160000.v4.1 MGIRFLNLQMIPWCFHNLMGNPTSCLQLQSEPPAGTIKLIKSDGLVKIYDRPVYVSELMVEFPKHLVCHSDSFYIGQKIPALSENDQLQLGHKYFLLPKDCFQSVLSFVTIASFTSSSLQPQPSSSRNAFLKKAATCQPFDIQKSPNGCLRIRVSDDFISQLMEEGKVKESGEDESSGNCKPKSRVCTTPQLEKDYTQLVGSRQWKPKLETIKENEKRRLSSSFGMKRRKKSQSKVTQKSTHRSVSEQHLHSTSTSHNKSSLKAKIKIKPRK >Potri.005G239500.1.v4.1 pep chromosome:Pop_tri_v4:5:23659992:23662968:1 gene:Potri.005G239500.v4.1 transcript:Potri.005G239500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239500.v4.1 MGTSNCTQLVTSDREKWDKVFGGLVKLLKSQQEQLETLLKERHFLEDRIKTQHERWVSDIRLYEDHISQINGDLVEKDMACVLEAAKSDLMLGLKQREASLHKSRLEETEDELADFQAWFSYLSQNLKANSEETANGKGGGSSDMKSGGAKKLEAKVERLKLENEKLVSEKNSEVSALLKEKTFVWNQYNILESNLTSKLRSKEAEVEKANEKIAEVLATAELLQSSNDEKDEIIRRLNMKVAKMEADAEKWKGEISKLSQELEFIRKSRSAQVTSIMKPCSAPTRSSNLGVKSCGRNFSNLVDRKVSAPILATVPSKGSDKGSRSLKKKRIDILETPKLFSSTFEVPKVKVPSTPA >Potri.004G220300.1.v4.1 pep chromosome:Pop_tri_v4:4:22587772:22588551:-1 gene:Potri.004G220300.v4.1 transcript:Potri.004G220300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G220300.v4.1 MENFTKKLDSTDVQRRLTLPENCLKDFQGDHEANLKFKDEEGQVWTFRCRVSPRGSSKPALSGDWFSFVRKKGLSTGDVIVIISDKEKDVAAGEHFKIKIKKSASTHHQN >Potri.006G032800.5.v4.1 pep chromosome:Pop_tri_v4:6:2048254:2050504:1 gene:Potri.006G032800.v4.1 transcript:Potri.006G032800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032800.v4.1 MQEFNEASKLGDEINGMINGKNSLPPSGPETQRHLSATRRKIAILRTKLDILQSLLTALPSKQPITGKEMNRLQDMLKNLSTKVNQMATTLNMSSAANRENLLGPDKKTDDDVVNRASGLDNHGLVGFQRQIMKEQDEGLEKLEETVTSTKHIALAVNEELTLHTKLLDDLDEHVDVTNSRLQTVQRKLALLNKRTKGGCSCLILLVIAIVILIVVIRGLFKYL >Potri.006G032800.1.v4.1 pep chromosome:Pop_tri_v4:6:2047740:2050503:1 gene:Potri.006G032800.v4.1 transcript:Potri.006G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032800.v4.1 MATPSGSWMQEFNEASKLGDEINGMINGKNSLPPSGPETQRHLSATRRKIAILRTKLDILQSLLTALPSKQPITGKEMNRLQDMLKNLSTKVNQMATTLNMSSAANRENLLGPDKKTDDDVVNRASGLDNHGLVGFQRQIMKEQDEGLEKLEETVTSTKHIALAVNEELTLHTKLLDDLDEHVDVTNSRLQTVQRKLALLNKRTKGGCSCLILLVIAIVILIVVIRGLFKYL >Potri.016G007600.1.v4.1 pep chromosome:Pop_tri_v4:16:370536:375444:-1 gene:Potri.016G007600.v4.1 transcript:Potri.016G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007600.v4.1 MGRSRGNFHSTDEDPTQRSRRKKNAASGENSESSSAGQGSSDGKRALYHCNYCNKDITGKTRIKCAVCPDFDLCLECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEVAEHVGTKNKETCIKHYNSVYLQSQFFPLPDMSHVVGKNRKELLAMAKGHSEDKKGTSMLGEHTLKEESPFSPSRVKVEEMHKVGSSGRLSTLNSELETASRPNSANSAATAANKKASSMARINDGPGVKVEDPQVDRNFKGKKPSSSGSEGPSLMELSGYNPKRQEFDPEYDNDAEQLLAEMEFKDNDTEEERELKLRVLRIYSRRLDERKRRKDFILERNLLHPSPFEKDLTPEERALCRRFDPFMRFHSKEEHEELLRAVVKEHWMLKRVEELKDAQVAGCRTAVEADRYLEHKRKIEAEETSRRLKDNAQIGPSSQGAPNAFMSPDSVGKDSSTRPAGQGSSSYANDLDIMGFYETQLLSETEKRLCCEIHLPPPVYLKMQEVMTKEIFSGNITKKSDAHPLFKIEASKVDGVYDMLVKKGIAQP >Potri.005G121000.1.v4.1 pep chromosome:Pop_tri_v4:5:8974415:8977373:-1 gene:Potri.005G121000.v4.1 transcript:Potri.005G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121000.v4.1 MSSSSCSLSPSLRSRLFNSSATRFLVPPARLNQCRSRCEMNRRSFAFRGILASGVSITGSSLATEPTQGMERLPFKPEGYNFWTWRGHKIHYVVQGEGLPIVLIHGFGASSYHWRYNIPELAKKYKVYAVDLLGFGWSEKALIEYDAMVWRDQVVDFLKEIVKEPAVLVGNSLGGFTALVAAVGFPDQVVGLALLNSAGQFGNPNEKMDEPEESILQKFVLKPLKEVFQRVVLGFLFWQAKQPARIESVLKSVYINTSNVDDYLVESITMPAADPNAGEVYYRLMTRFMLNQSKYTLNSALSELRCPLLLLWGDLDPWVGPAKANRIKEFYPNSTLVNLKAGHCPHDEVPELVNKSLMDWLSSLKPEASLQTL >Potri.016G003900.3.v4.1 pep chromosome:Pop_tri_v4:16:197257:202855:-1 gene:Potri.016G003900.v4.1 transcript:Potri.016G003900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003900.v4.1 MGGLRLFVRVIEARNLPPTDPNGLSDPYAKLRLGKQKCKTKVVKKNLNPSWEEEFSFKVEDLNEDLVVCVLDEDKFFNDDFVGLIKVPVSRVFDAEDKSLGTAWYSLQPKNKKSKIKECGEILLSICVSQSFPDLNCNGSRKNVDIMQSPSRSFNGMTNSSSARSEETASSKEDKFFAQKNLAGRIAQIFNKNSDAISATTSRSTEISEQSETDGSEVCDEKAEDQSSSDNFEELMKEMKSRDVGSEVPKNLPGGVLVDQSYLIATPDLNSLLFSPDSSFARSLSDFLGNSEQQFGPWKFENGSGSLKRVITYVRAPSKLVGAVKASEDQIYVKVDGKTFAILNCVSTPDVMYGSTFKVELLYCITPGPELPSGEETSHLVISWRMNFLQSTMFKSMIENGARAGLKDSFEQFSTFLSQTVKPVDLKDMGSSKEQVLASLKAEPQSDRKLAVQYFANFTVVSAFFMGLYVFVHIWLAAPSAIQGLEFLGLDLPDSIGEVLVCSVLALQCERVLGLLSRFMQARAQKGTDHGVKAQGDGWLLTVALIEGSHLPTVDSSGFCDPYVVFTCNGKTKTSSIKFQKSDPLWNEIFEFDAMDDPPSVLDVDVYDFDGPFDEAMSLGHTEINFVKSNLSDLADVWVPLQGKLAQACQSKLHLRIFLNNTRGSNVVKEYLSKMEKEVGKKINVRSPQTNSAFQKVFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFYANLFRQKTKFFFLWEDIEDIQIYTPTLSSMGSPVIVITLRQGKGMDARHGAKNIDDEGRLKFHFQSFVSFNVAHRTIMALWKARSLSLEQKVQIVEEDSETKILQTEESGSFLGLEDVSMSEVYAASFSVPTNFVMEMFGGGELDRKVMEKAGCLSYSYTPWESVKTDVHERQIYYRFDKRISRFGGEVTSTQQKYPLSDRKGWLVEEVMTLHGVPLGDYFNLHLRYQVEDFPSRLKGCHVRVSIGIQWLKSTRHQKRISKNILSNLQDRLKVIFSLVEKEFVNR >Potri.006G105900.1.v4.1 pep chromosome:Pop_tri_v4:6:8155125:8156637:1 gene:Potri.006G105900.v4.1 transcript:Potri.006G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105900.v4.1 MPGQVMQEKTLQSIHVMGREKSTENSTRSPGRLEGRVAIVTGGARGIGEATVRLFARQGAKVVIADVEDALGTSLVNSLAPSVSFVHCDVSLEKDIENLINSTISQYGKLDILFNNAGVLGNQSKNKSIVDFDVDEFDRVMHVNVRGMALGIKHAARVMIPRGGGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATSMLVNAWRSSGEEEDSLNFGLPSEKEVEKMEDFVRGLANLKGPTLRARDIAEAALYLASDESKYVSGHNLVVDGGITTSTNCVGL >Potri.002G256300.1.v4.1 pep chromosome:Pop_tri_v4:2:24524165:24526852:1 gene:Potri.002G256300.v4.1 transcript:Potri.002G256300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256300.v4.1 MSKESKIHPFFSILFFNFFAFLHAHTALQTYIVQLHPHGTTRPLFSSKSRWHLSFLKRTVSSEEHHSSRLLYSYSSAMEGFAAMLSESEMESLQKLPDVVAIRPDMRFQVQTTYSYKFLGLGPTREDAWYKSGFGRGVIIGVLDTGVWPESPSFNDQGMPPVPKKWRGICQKGQDFNSSNCNRKLIGARFFTKGHRMASTSASPENVQEYASPRDSHGHGTHTTSTAGGVSVPMASVLGLGSGVARGMAPGAHVAMYKVCWFSGCYSSDILAAMDVAIRDGVDVLSLSLGGFPLPLFADTIAIGSFRAMEHGISVVCAAGNNGPIQNSVANEAPWIATIGASTLDRRFPAFVQLDNGQFLHGQSMYPGNRLSSTTKELELVYVTGGDNGSEFCFRGSLPREKVLGKMVVCDRGVNGRTEKGLAVKESGGAAMILANTAINLQEDSVDVHVLPATSIGFNEAVRLKAYLNSTSKPQARIVYGGTVIGKSRAPAVAQFSARGPSYSNPSILKPDVIAPGVNIIAAWPQNLGPSSLPEDTRRTNFTVMSGTSMACPHVSGIAALIRSAHPKWTPAAVKSAIMTTADVTDHSGHPIMDGDKPAGVFAIGAGHVNPERALSPGLIYDIRPDDYVTHLCTLRYTRSDIFAITHRNVSCNDLLQMNRGFSLNYPSISIIFKHGTRSKMIKRHVTNVGSPNSIYSVEVTAPEGVKVRVRPQRLIFKHINQSLSYKVWFISRKKAGRGEVDFAQGHLTWVHSQHGLYKVRSPISVTWK >Potri.009G002000.1.v4.1 pep chromosome:Pop_tri_v4:9:750904:756375:-1 gene:Potri.009G002000.v4.1 transcript:Potri.009G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G002000.v4.1 MLRLNRLIKHTNKWTRTSTFLSSQQQTQAVFDSSVSCQHFFRTQIRFLDIYKIGNKAAIEKERARLADELNRGYFADISEFKKHGGKIAVANKIIIPAVAAVKFPDVKVNYSNGTSLKLPIRSDGNVVGADATLMCLSFRASSQEMINSWSMPFLEAFRDAKNVHLYEVSFIDSWFLCLNPIKKMLLRMMRKSDTDGNDALQKQIVYSFGDHYYMRKDLRILNLLTGYIFLLDKFGRIRWGGFGLATEEELSSLVSCTSLLLEEK >Potri.002G049500.1.v4.1 pep chromosome:Pop_tri_v4:2:3304252:3309617:1 gene:Potri.002G049500.v4.1 transcript:Potri.002G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049500.v4.1 MGNADYVYPSTNVERTPRVVIPPPQSSMKSLKYNLKETFFPDDPLRQFKNQTTSRRFVLGLKYFFPIFDWAPSYTLDFLKSDFIAGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGNVVNANENPKLYLHLAFTATFVAGVFQASLGLLRLGFIVDFLSHATIIGFMAGAATVVIMQQLKGILGLNHFTHSTDLVSVMRSVFTQTHQWRWESAVLGFGFLFFLLTTRYFSKRKPKYFWVSAMAPLTSVILGSLLVYLTHAEKHGVQVIGNLKKGLNPLSFTDLVFVSPYLTTAIKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSCTSCYLTTGPFSRSAVNYNAGCKTAVSNIVMALAVMVTLLFLTPLFHYTPLVVLSSIIISAMLGLLDYEAAIHLWTVDKFDFIVCISAYAGVVFASVEIGLVIAVAISLLRLLLFVARPKTFILGNIPNSMIYRNVEQYLNTSSVPGVLILEIDAPIYFANSGYLRERIARWVDDEEDKLKSSGETSLQYVILNMGAVGNIDTSGISMLEEVKKVMDRRGLKLVLANPGAEVMKKLNKSKFIEKIGQEWIHLTVGEAVEACDFMLHRCSPSPLKEESEAYNKV >Potri.001G231000.3.v4.1 pep chromosome:Pop_tri_v4:1:25035230:25035808:-1 gene:Potri.001G231000.v4.1 transcript:Potri.001G231000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G231000.v4.1 MSSTPTNEWQTYWCHECDLSIHLLTTTTPLCPHCHHDFLELMDPIPTSTAADTTTFLLDSPSFLNFLQHLNTNSHCDCEDDNINATIDSIIPTIKITSCMLEMDPMLVCAVCKDQFLIDVEAKQLPCSHLYHPGCILPWLSNHNSCPLCRFQLQTPVVREENLENWSPDHPHHDANHAHVGVLSTSLPPHFW >Potri.001G231000.2.v4.1 pep chromosome:Pop_tri_v4:1:25033342:25035993:-1 gene:Potri.001G231000.v4.1 transcript:Potri.001G231000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G231000.v4.1 MSSTPTNEWQTYWCHECDLSIHLLTTTTPLCPHCHHDFLELMDPIPTSTAADTTTFLLDSPSFLNFLQHLNTNSHCDCEDDNINATIDSIIPTIKITSCMLEMDPMLVCAVCKDQFLIDVEAKQLPCSHLYHPGCILPWLSNHNSCPLCRFQLQTPVVREENLENWSPDHPHHDANHAHVGVLSTSLPPHF >Potri.009G119066.1.v4.1 pep chromosome:Pop_tri_v4:9:9991476:9993984:1 gene:Potri.009G119066.v4.1 transcript:Potri.009G119066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119066.v4.1 MFWRPKTMFPSSSATQMPSAKTMISAAASAAATIVLFRSIVKEYLPYEFQRYILYKLKTLINSFSLEFTLVIEEYDNLNQNNLFKAAELYLESIIPPDAKKLKISLIKKESNFSLSLDRNQEIVDTFNGVTLKWKYISKHGPTKYIPSPNNFNSIPKNEDKFFELSFHKKHRDMVIDVYLKHVIKKSKETKEEKKSLKLFTLTPDRMSGRRGDVWQSVNLHHPATFDTLAMDMEGKRVIMEDLERFVKRREFYRRVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDLKTNSELRNLLISTENKSVLVVEDIDCSIELQDRLAQARAMMPSRHNPAYNQVNQYQVTLSGLLNFVDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDMHILMSYCTPCGFKLLASNYLGFTEHPLFPCVEALIEKARVTPAEVGEQLLRYEEPESALTGLIEFLEDKSERLKREDGNKDSNGESGTAEGKLAQELDGNNGEVVKKEIDESTGEVVKKEEGAQEPDGENGEIVKEEGRNRGAMVKIQ >Potri.015G074900.1.v4.1 pep chromosome:Pop_tri_v4:15:10093852:10098007:1 gene:Potri.015G074900.v4.1 transcript:Potri.015G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074900.v4.1 MAIRGVDFKWYDGFFLSMLATSAIIVAINWKRYRLCTYPLHIWIMVDYTTVFVFRLLMFIDNGLAAGMGLDFGWQQRYARFCGRIVVLSILSLLLYPFLWAWTIIGTLWFTNARDCLPEEGQKWGFLIWLLFSYCGLIGIACISVGKWWVRRQAHHLRAQQGIPISEYGVLVDLIRVPDWAFEAAGQEMRGMGQDAAAYQPGLYLTPTQREAVEALIEELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNLLADSERSPATPTTNRYVRTVPFSQIYLLRMQGLLWPVRTEIAGVPTDADIDVEAAENGSIHMATEEPRGVEPVSSAGCVLVGQSTQPHH >Potri.015G074900.6.v4.1 pep chromosome:Pop_tri_v4:15:10093821:10097917:1 gene:Potri.015G074900.v4.1 transcript:Potri.015G074900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074900.v4.1 MLKKIGFCRYDGFFLSMLATSAIIVAINWKRYRLCTYPLHIWIMVDYTTVFVFRLLMFIDNGLAAGMGLDFGWQQRYARFCGRIVVLSILSLLLYPFLWAWTIIGTLWFTNARDCLPEEGQKWGFLIWLLFSYCGLIGIACISVGKWWVRRQAHHLRAQQGIPISEYGVLVDLIRVPDWAFEAAGQEMRGMGQDAAAYQPGLYLTPTQREAVEALIEELPKFRLKAVPTDCSECPICLEEFHVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSALSNLLADSERSPATPTTNRYVRTVPFSQIYLLRMQGLLWPVRTEIAGVPTDADIDVEAAENGSIHMATEEPRGVEPVSSAGCVLVGQSTQPHH >Potri.005G201800.1.v4.1 pep chromosome:Pop_tri_v4:5:20726549:20733179:-1 gene:Potri.005G201800.v4.1 transcript:Potri.005G201800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201800.v4.1 MQQQDHRKKNSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVITGKPLFPGKNVVHQLDLMTDLLGTPSLDTISRVRNDKARRYLTSMRKKAPVPFAQKFLNADPLALCLLERLLAFDPKDRPTAEEALGDPYFKGLAKVEREPSCQPITKMEFEFERRRVTKEDIRELIFREILEYHPQLLKDYVNGTERTNFLYPSAVDQFRKQFAHLEENGGKSGPVIPLERKHVSLPRSTVVHSSSIPSKEQQNLASFKDRHSAEEVYNKNPRDSEGIPINISRTLQAQQRIPLAKPGKVVGSVVPYENGSNTKDAYDPRTYIRSTVLPLQAVPSAYCYRKSSTGKLERSTMEAERDLSSQKQVKQCGMAAKYAPDVAINIDSNPFFMTRVGGSKEEHVDDRVMIDTSLMQTKAQYGGIGAAAAATASRAAYRKVGTVQYGMARMY >Potri.006G009300.2.v4.1 pep chromosome:Pop_tri_v4:6:627893:629780:1 gene:Potri.006G009300.v4.1 transcript:Potri.006G009300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009300.v4.1 MGSATNMFSCLEDDGEVSTIIEERDQKKLKEEEEMEQKKLMEMQKKLKKQQERERKQSELTHMLMKALPFKFPHHHQRRLPSLRQQNQNGDAKNHGEGSKKNYGGEGSNNVSEWDQGRDAKQPSSSDQKINVSENDLGGDGEEKVDDDNNDNGAEGGNVVVAVDEQKDSKTSKKRFNKKKSEADDKSVKSDKKDEDYLTMMTLAEYEKELEKRKASDEKTDLESKETLDNALESDPEERKLTLDKDFDSMQLLQNETHEDDALFIKVVSKHIKRVRGASQQEGERPNGGQQSINGERPVGGPYRREKWRAPNAGPQFNGERPRSGNGEPRYDGERPNSNGHGVEGKKPDGRRYYGERPHSSTRGHGVEGKEPNGRRESKGPNGDQGERRSGSGGSNGPVHDLTLKDSRKFPDIEDTNQFPALGGARKA >Potri.006G009300.3.v4.1 pep chromosome:Pop_tri_v4:6:624997:629695:1 gene:Potri.006G009300.v4.1 transcript:Potri.006G009300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009300.v4.1 MEQKKLMEMQKKLKKQQERERKQSELTHMLMKALPFKFPHHHQRRLPSLRQQNQNGDAKNHGEGSKKNYGGEGSNNVSEWDQGRDAKQPSSSDQKINVSENDLGGDGEEKVDDDNNDNGAEGGNVVVAVDEQKDSKTSKKRFNKKKSEADDKSVKSDKKDEDYLTMMTLAEYEKELEKRKASDEKTDLESKETLDNALESDPEERKLTLDKDFDSMQLLQNETHEDDALFIKVVSKHIKRVRGASQQEGERPNGGQQSINGERPVGGPYRREKWRAPNAGPQFNGERPRSGNGEPRYDGERPNSNGHGVEGKKPDGRRYYGERPHSSTRGHGVEGKEPNGRRESKGPNGDQGERRSGSGGSNGPVHDLTLKDSRKFPDIEDTNQFPALGGARKA >Potri.006G160300.1.v4.1 pep chromosome:Pop_tri_v4:6:14453596:14459809:-1 gene:Potri.006G160300.v4.1 transcript:Potri.006G160300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160300.v4.1 MACFTSNILQQKHVLSITLCKYPSPSLHACKFHFLSHIPGSSPPKHTPLLVATTLASNILHAKLSATDHDSPTKTINSRTRKENNALGFPSSRRIAKRVERKHVKNLTTSVVDEKTEKRFSKDRNTRKNMGFRESTGMGSENCTKDGKKGIRFLATMDSQGVCGEMESRTKNGSDIQVIKVDKIGKGSKQDKVDSSELKLRVRLDMCSKRGDVIAAIQLYDLAQREGIKMGQYHYAVLLYLCSSAAVGGVQPAKSGSESVGRAIDSLDVSNEVSNVKFQELSELRDEDDRKVGATELHIRVSDNRKKLVDADREPGSIAEMELNHGSTFGNLDDKESLVQFFNECNKLNFQHLDGRPSHGKDKDVNIHGTHEIQFSEDVKKYALQRGCEIFEKMCKEKVPINEATLTAVARMAMSIGNGDLAFEMVKQMDALGINPKLRSYGPALSVFCSSGDIDKAFYVEKHMLEHGVYPEEPELKALLRVSVEGGKGDKVYYLLHKLRRIVRRVSPSTAGIIVRWFNSKAASRVGKTKWDVKEAIENGGGGWHGQGWLGKGKWTVSCTSVGLDGICKYCGQKLTTIDLDAVETEKFAESVASIAIKRDRDSSFQRFQKWLDYYGPFEAVIDGANAGIYNQGRFMPSKINAVVNGIRQKLPSKKWPLIVLHNKRITGRKMDGPVNKAFIEKWKNADALYATPTGSNDDWYWLYAAIKFKCLIVTNDEMRDHTFHLLGNDFFPRWKERHQVHFRFTDAGPDFDMPPPCSVVIQESEKGHWHIPIETEGEGEGEAEREWLCITRANSRTTRHSITTTPGGSQSVGHGKKQARSSMQTRVAIKPDRLKHNIPDNIRKPPQDYKNLKNILAASGFPDHRTVLSEIETAEELGDTVIDFQI >Potri.006G160300.4.v4.1 pep chromosome:Pop_tri_v4:6:14453743:14459843:-1 gene:Potri.006G160300.v4.1 transcript:Potri.006G160300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160300.v4.1 MACFTSNILQQKHVLSITLSTTLASNILHAKLSATDHDSPTKTINSRTRKENNALGFPSSRRIAKRVERKHVKNLTTSVVDEKTEKRFSKDRNTRKNMGFRESTGMGSENCTKDGKKGIRFLATMDSQGVCGEMESRTKNGSDIQVIKVDKIGKGSKQDKVDSSELKLRVRLDMCSKRGDVIAAIQLYDLAQREGIKMGQYHYAVLLYLCSSAAVGGVQPAKSGSESVGRAIDSLDVSNEVSNVKFQELSELRDEDDRKVGATELHIRVSDNRKKLVDADREPGSIAEMELNHGSTFGNLDDKESLVQFFNECNKLNFQHLDGRPSHGKDKDVNIHGTHEIQFSEDVKKYALQRGCEIFEKMCKEKVPINEATLTAVARMAMSIGNGDLAFEMVKQMDALGINPKLRSYGPALSVFCSSGDIDKAFYVEKHMLEHGVYPEEPELKALLRVSVEGGKGDKVYYLLHKLRRIVRRVSPSTAGIIVRWFNSKAASRVGKTKWDVKEAIENGGGGWHGQGWLGKGKWTVSCTSVGLDGICKYCGQKLTTIDLDAVETEKFAESVASIAIKRDRDSSFQRFQKWLDYYGPFEAVIDGANAGIYNQGRFMPSKINAVVNGIRQKLPSKKWPLIVLHNKRITGRKMDGPVNKAFIEKWKNADALYATPTGSNDDWYWLYAAIKFKCLIVTNDEMRDHTFHLLGNDFFPRWKERHQVHFRFTDAGPDFDMPPPCSVVIQESEKGHWHIPIETEGEGEGEAEREWLCITRANSRTTRHSITTTPGGSQSVGHGKKQARSSMQTRVAIKPDRLKHNIPDNIRKPPQDYKNLKNILAASGFPDHRTVLSEIETAEELGDTVIDFQI >Potri.013G032200.2.v4.1 pep chromosome:Pop_tri_v4:13:2079558:2086052:-1 gene:Potri.013G032200.v4.1 transcript:Potri.013G032200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032200.v4.1 MGCVLGREVSSGIVSESKGVKKLRVESNRKIEDVSVTKTDTTSSVVEIKNEETQGEKVDGDKKPKGERRWSRPNSKPSNLPKQTRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKVVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMVHDLAGLAASPAVKFTEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNHKLPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIRETFKDFSPSSLPLIETLLAIDPAERQTATAALKSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRTASKAQGDAAKKPRTRERHARGMPASDANAELPSNIDRRRLINHANAKSKSEKFPPPHQDGALGYPLGSSHHIDPALVPPDVPFSTTSFTYLKESIQTWSGPLVDPAGVGAPRRKKKNAGDTRELSKLPTGKNKSRDTQLKGKKSMA >Potri.013G032200.3.v4.1 pep chromosome:Pop_tri_v4:13:2079461:2086038:-1 gene:Potri.013G032200.v4.1 transcript:Potri.013G032200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032200.v4.1 MGCVLGREVSSGIVSESKGVKKLRVESNRKIEDVSVTKTDTTSSVVEIKNEETQGEKVDGDKKPKGERRWSRPNSKPSNLPKQTRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKVVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMVHDLAGLAASPAVKFTEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNHKLPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIRETFKDFSPSSLPLIETLLAIDPAERQTATAALKSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRTASKAQGDAAKKPRTRERHARGMPASDANAELPSNIDRRRLINHANAKSKSEKFPPPHQDGALGYPLGSSHHIDPALVPPDVPFSTTSFTYLKESIQTWSGPLVDPAGVGAPRRKKKNAGDTRELSKLPTGKNKSRDTQLKGKKSMA >Potri.013G032200.4.v4.1 pep chromosome:Pop_tri_v4:13:2079559:2086010:-1 gene:Potri.013G032200.v4.1 transcript:Potri.013G032200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032200.v4.1 MGCVLGREVSSGIVSESKGVKKLRVESNRKIEDVSVTKTDTTSSVVEIKNEETQGEKVDGDKKPKGERRWSRPNSKPSNLPKQTRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKVVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMVHDLAGLAASPAVKFTEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNHKLPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIRETFKDFSPSSLPLIETLLAIDPAERQTATAALKSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRTASKAQGDAAKKPRTRERHARGMPASDANAELPSNIDRRRLINHANAKSKSEKFPPPHQDGALGYPLGSSHHIDPALVPPDVPFSTTSFTYLKESIQTWSGPLVDPAGVGAPRRKKKNAGDTRELSKLPTGKNKSRDTQLKGKKSMA >Potri.013G032200.1.v4.1 pep chromosome:Pop_tri_v4:13:2079574:2086038:-1 gene:Potri.013G032200.v4.1 transcript:Potri.013G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032200.v4.1 MGCVLGREVSSGIVSESKGVKKLRVESNRKIEDVSVTKTDTTSSVVEIKNEETQGEKVDGDKKPKGERRWSRPNSKPSNLPKQTRGEQVAAGWPPWLSAVCGEALNGWIPRRADTFEKIDKIGSGTYSNVYKARDLLTGKVVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMVHDLAGLAASPAVKFTEPQVKCYMHQLLSGLEHCHNRGVLHRDIKGSNLLIDNEGILRIADFGLASFFDPNHKLPMTSRVVTLWYRPPELLLGATDYGVGIDLWSAGCILAELLAGKPIMPGRTEVEQLHKIYKLCGSPSDEYWKKSKLPNATLFKPREPYKRCIRETFKDFSPSSLPLIETLLAIDPAERQTATAALKSEFFTTEPYACEPSSLPKYPPSKEMDAKRRDDEARRLRTASKAQGDAAKKPRTRERHARGMPASDANAELPSNIDRRRLINHANAKSKSEKFPPPHQDGALGYPLGSSHHIDPALVPPDVPFSTTSFTYLKESIQTWSGPLVDPAGVGAPRRKKKNAGDTRELSKLPTGKNKSRDTQLKGKKSMA >Potri.014G100200.1.v4.1 pep chromosome:Pop_tri_v4:14:6615304:6617034:1 gene:Potri.014G100200.v4.1 transcript:Potri.014G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100200.v4.1 MESEAEEEPRFTPPRSLLIPKPRAYGPRQSPERSGTLTPPLHTSASVPFRWEEEPGKPREYCTTLISRPVDFPQKCLELPPRLLIETLPSPTTVLEGPYTGRSSRFQSSSFRITRSRSSRFQSSSFRITRSREYNYGSFRRRCSPERGQLGTIAPSKRRVKEKGGFLGSWSWGRRDLKGNREAGGGSYVFPSSGDREAADQSSNEEEEMVSSSDKVKMTRMRRSGSFSAVSNARSQFWASIYEGLKHVVGWRRKKLKKDHGFVKCRVDMSN >Potri.004G052500.1.v4.1 pep chromosome:Pop_tri_v4:4:4261177:4266077:-1 gene:Potri.004G052500.v4.1 transcript:Potri.004G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052500.v4.1 MMCFKETALMTFPIFTFNKLPKFWLLFLVVTSFLVTTLPHGAKDINDSKVTNIGAIIDVNSRTGKEEKTAMEIAVQKFNNGSPKHKLSLYFQDSRSSPLQAARAAEKLIEENEVEVIIGMERWEEAALVADIGTQFKVPVISFSAPAITPPLASSRWPFLIRMAHSDSNQIRCIAAVIQSYNWRRVVTVYEDYAYGGDGMLALLSKALQDVGSEIEYNLVLPPFSFVSDPKDVVREELTKLLSEKIQSRVFIVLQSSLPMMIHLFREAKKMGLVGNDMVWILTDTVTNFLDIVNTSVIHSMEGALGIKNYYFDNTSSFQTFLTQFRQKFISEYPEECCYEPGFYALRAHDSISIITQAMDRLSSNTRSPKSFLDNTFTTSFVGLSGEINVKAGELLHSPMLRIVNVVGRRYKELDFWIPEFGFSNQPVVAKDGAENRTEAIRLKGPVIWPGDLQRNPKGWLMPNDTKRMIIGVPGRTSFEKFVKVSTNAAGKKEYDGFCIELFYKVLGVLAYDLPYQFIPYNGTYDDLVDHVYNKTYDAIVGDVTILASRAEKVEFTQPYAESGLSMIVPAKYKESAWMFMKPFTKEMWLVTGAVLIYTMFIVWFLEHHTNPEFNGPWKNQIGTALWFTFSSLYFAHREKIYSNLTRVVLVVWLFVVLILNSSYTASLASMLTVRRLQPNVTDIEWLKRNSLKVGCDGDSFVKNYLRNVLEFKPENIKNVSSEYSYEGEFESATISAAFLELPYEKVFIGHYCKRYSATTPTYRFGGLGFVFQKGSPIAADVSKAILNLSEDGELKNLEEKWFAQSRQCFSNATDNDKTESLSLQSFWGIYIITGATSTICFLLFLFHLLKNYHKQEVEDRGNATPSDKSVWEKTVTLARYIYHGETVTPGESPIPNPSPDIHEWNSSNLELSNPEDTQENLLSSSPAEIEVVNIPDSDTQKSSNVV >Potri.004G052500.2.v4.1 pep chromosome:Pop_tri_v4:4:4261177:4268232:-1 gene:Potri.004G052500.v4.1 transcript:Potri.004G052500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052500.v4.1 MEIAVQKFNNGSPKHKLSLYFQDSRSSPLQAARAAEKLIEENEVEVIIGMERWEEAALVADIGTQFKVPVISFSAPAITPPLASSRWPFLIRMAHSDSNQIRCIAAVIQSYNWRRVVTVYEDYAYGGDGMLALLSKALQDVGSEIEYNLVLPPFSFVSDPKDVVREELTKLLSEKIQSRVFIVLQSSLPMMIHLFREAKKMGLVGNDMVWILTDTVTNFLDIVNTSVIHSMEGALGIKNYYFDNTSSFQTFLTQFRQKFISEYPEECCYEPGFYALRAHDSISIITQAMDRLSSNTRSPKSFLDNTFTTSFVGLSGEINVKAGELLHSPMLRIVNVVGRRYKELDFWIPEFGFSNQPVVAKDGAENRTEAIRLKGPVIWPGDLQRNPKGWLMPNDTKRMIIGVPGRTSFEKFVKVSTNAAGKKEYDGFCIELFYKVLGVLAYDLPYQFIPYNGTYDDLVDHVYNKTYDAIVGDVTILASRAEKVEFTQPYAESGLSMIVPAKYKESAWMFMKPFTKEMWLVTGAVLIYTMFIVWFLEHHTNPEFNGPWKNQIGTALWFTFSSLYFAHREKIYSNLTRVVLVVWLFVVLILNSSYTASLASMLTVRRLQPNVTDIEWLKRNSLKVGCDGDSFVKNYLRNVLEFKPENIKNVSSEYSYEGEFESATISAAFLELPYEKVFIGHYCKRYSATTPTYRFGGLGFVFQKGSPIAADVSKAILNLSEDGELKNLEEKWFAQSRQCFSNATDNDKTESLSLQSFWGIYIITGATSTICFLLFLFHLLKNYHKQEVEDRGNATPSDKSVWEKTVTLARYIYHGETVTPGESPIPNPSPDIHEWNSSNLELSNPEDTQENLLSSSPAEIEVVNIPDSDTQKSSNVV >Potri.003G203100.1.v4.1 pep chromosome:Pop_tri_v4:3:20255513:20260016:1 gene:Potri.003G203100.v4.1 transcript:Potri.003G203100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203100.v4.1 MPPQPPPPPPSKPLKPYFFYGHRKPSQNRPVVRGGLFTNRQTVKPQPPKNPITPFKPFDLHKWDPQQNLPHQPQPSKPQSPRSRHSLALSQRLSPIARFILDAFRKNRNQWGPEVVTELCKLRRVTPDLVAEVLKVENNPQLATKFFHWAGKQKGFKHTFASYNAFAYNLNRSNFFRAADQLPELMEAQGKPPTEKQFEILIRMHSDANRGLRVYYVYQKMVKFGVKPRVFLYNRIMDSLIKTGHLDLALSVYEDFRRDGLVEESVTYMILIKGLCKAGRIEEMMEVLGRMRENLCKPDVFAYTAMVRALAGEGNLDACLRVWEEMKRDGVEPDVMAYVTLVTALCKGGRVDKGYEVFKEMKGRRILIDRGIYGILVEAFVADGKIGLACDLLKDLVDSGYRADLRIYNSLIEGFCNVKRVDKAHKLFQVTVQEGLERDFKTVNPLLMSYAEMKKMDDFCKLLKQMEKLGFSVFDDLSKFFSYVVGKPERTMMALEVFEDLKVKGYSSVPIYNILMEALLTIGEMKRALSLFGEMKDLNKPDSTTYSIAIICFVEDGNIQEACVSHNKIVEMFCVPSVAAYCSLAKGLCDNGEIDAAMMLVRDCLASVESGPMEFKYSLTILHACKTGGAEKVIDVLNEMMQEGCTPNEVIYSAIISGMCKHGTFEEARKVFTDLRQRKILTEAKTIVFDEILIEHMKKKTADLVLAGLKFFGLESKLKAMGSTLLGS >Potri.003G203100.10.v4.1 pep chromosome:Pop_tri_v4:3:20256212:20260026:1 gene:Potri.003G203100.v4.1 transcript:Potri.003G203100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203100.v4.1 MVKFGVKPRVFLYNRIMDSLIKTGHLDLALSVYEDFRRDGLVEESVTYMILIKGLCKAGRIEEMMEVLGRMRENLCKPDVFAYTAMVRALAGEGNLDACLRVWEEMKRDGVEPDVMAYVTLVTALCKGGRVDKGYEVFKEMKGRRILIDRGIYGILVEAFVADGKIGLACDLLKDLVDSGYRADLRIYNSLIEGFCNVKRVDKAHKLFQVTVQEGLERDFKTVNPLLMSYAEMKKMDDFCKLLKQMEKLGFSVFDDLSKFFSYVVGKPERTMMALEVFEDLKVKGYSSVPIYNILMEALLTIGEMKRALSLFGEMKDLNKPDSTTYSIAIICFVEDGNIQEACVSHNKIVEMFCVPSVAAYCSLAKGLCDNGEIDAAMMLVRDCLASVESGPMEFKYSLTILHACKTGGAEKVIDVLNEMMQEGCTPNEVIYSAIISGMCKHGTFEEARKVFTDLRQRKILTEAKTIVFDEILIEHMKKKTADLVLAGLKFFGLESKLKAMGSTLLGS >Potri.016G044200.3.v4.1 pep chromosome:Pop_tri_v4:16:2825374:2828062:1 gene:Potri.016G044200.v4.1 transcript:Potri.016G044200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044200.v4.1 MEVEHFSHPDHPLILINHVLEYSCELVICSGCEGPIRGPCYSCTSCYFFLHKKCAELPREIKRRIHRRHPLHLLAKSPYEGEYGCDRCAKIFNSFVYHCSFCKFDLDIKCAFQPGFFEVDSQFPHKDHPLILNEEKEYHGEGVKCSVCKEPMSGPSYSCTSCNFFLHKKCAELPPEINRHLHPEHPLRLLPNHHMICGFCKETCYESFVYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLMNPLSFKSISFTCHACGTEGDDSPFMCTMCQLLVHEECISLPGTLKTALHHHPRIIHTYQHQQCIESINKYCGICRREVDSKYGVYCCPDCDFVAHVNCSREYGDSATEIVEENEEEQSVTVDDQFMEPSFRAVREIRHGDERIIEEIKHLSHQHNLVLFDKVDDDLKCDGCMLPISTPFYSCASCNFFLDKTCIELPRKKKWQYHENQLILSWSRREHFRGLICCDVCNQYFRGLSYFCDICRLCIDVRCFKSLKDSFKHGGHEHPLYLPADRKNILRCNIGGRGLPPWAADDRENIPHCSGCCVSEESKVFFKCVVCDFKLGMKCATLPYKARHEYDEHPLFLTYMNENDYQPSCIICEKDRDPKLWFYRCENATSMLIQSVLSGNTHMSSQGGFNTYPKHPHPLVLVVKTEDYRPQACDTCGEPCDDLALECTDPNCSFIVHKERRAML >Potri.016G044200.2.v4.1 pep chromosome:Pop_tri_v4:16:2825330:2828114:1 gene:Potri.016G044200.v4.1 transcript:Potri.016G044200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044200.v4.1 MEVEHFSHPDHPLILINHVLEYSCELVICSGCEGPIRGPCYSCTSCYFFLHKKCAELPREIKRRIHRRHPLHLLAKSPYEGEYGCDRCAKIFNSFVYHCSFCKFDLDIKCAFQPGFFEVDSQFPHKDHPLILNEEKEYHGEGVKCSVCKEPMSGPSYSCTSCNFFLHKKCAELPPEINRHLHPEHPLRLLPNHHMICGFCKETCYESFVYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLMNPLSFKSISFTCHACGTEGDDSPFMCTMCQLLVHEECISLPGTLKTALHHHPRIIHTYQHQQCIESINKYCGICRREVDSKYGVYCCPDCDFVAHVNCSREYGDSATEIVEENEEEQSVTVDDQFMEPSFRAVREIRHGDERIIEEIKHLSHQHNLVLFDKVDDDLKCDGCMLPISTPFYSCASCNFFLDKTCIELPRKKKWQYHENQLILSWSRREHFRGLICCDVCNQYFRGLSYFCDICRLCIDVRCFKSLKDSFKHGGHEHPLYLPADRKNILRCNIGGRGLPPWAADDRENIPHCSGCCVSEESKVFFKCVVCDFKLGMKCATLPYKARHEYDEHPLFLTYMNENDYQPSCIICEKDRDPKLWFYRCENATSMLIQSVLSGNTHMSSQGGFNTYPKHPHPLVLVVKTEDYRPQACDTCGEPCDDLALECTDPNCSFIVHKERRAML >Potri.014G069200.1.v4.1 pep chromosome:Pop_tri_v4:14:4372421:4376487:-1 gene:Potri.014G069200.v4.1 transcript:Potri.014G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069200.v4.1 MHRSRAVMAWNVFKFCTALRGLGSIMILLVLGVVGVTYYAVVLNNYGPALYDGGIDSLVSLAVLIPFHSLLVMLLWSYFSVVLTDPGSVPPNWRPAIDEERGEADPLNGSEFSGVQSDQSNQRIRYCRKCNQLKPPRCHHCSVCGRCVLKMDHHCVWVVNCVGALNYKYFLLFLFYTFLETSLVTLSLSPHFIAFFSDGEIPGTPGTLATTFLAFVLNLAFALSVLGFLIMHISLVSANTTTIEAYEKKTTPKWRYDLGRKKNFEQVFGADKRYWFIPTYSDDDLRRMPALQGLEYPSKPDFDSQEF >Potri.008G131600.1.v4.1 pep chromosome:Pop_tri_v4:8:8662078:8662719:1 gene:Potri.008G131600.v4.1 transcript:Potri.008G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131600.v4.1 MLLYKQKKNQVAKGKRFLLSVTVLGSAGPIRFVVNEEELVAAVIDTALKSYAREGRLPILGSDLNDFLLYCRNAASDAISPWETIGSLGARNFMLCKKPQHLKAADDGRSTAAFAQKGGSGSWKAWINKSLNLKISPRWISYILIK >Potri.012G038300.2.v4.1 pep chromosome:Pop_tri_v4:12:3404347:3408897:-1 gene:Potri.012G038300.v4.1 transcript:Potri.012G038300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038300.v4.1 MAAASKEQMEGTNLNSFGKACDFGTNSCEQSETDIRMQELYSFGSDNVPKVRKPYTITKQREKWTDEEHQRFLEALKLYGRGWRRIQEHVGTKTAVQIRSHAQKYFSKVVREPGGINESSLKPIEIPPPRPKRKPAHPYPRKPVNVLEVTGASSQLERSPSPNSSVSEKENQSPTSVLSALASDTFGSALSEPCNACSSPTSCTTEMHSISLSPSAKETEHGTSNSSGEEKGNLSLVQMSLSLLENFLSEVKKFELGSKNTVCAEHDAAKKASSASIKLFGMTVKIVDSQKESPPGAEIVLPVISNENHDNVDADKEKPAHTLQRKQSDTELSLGMANSNQNLWPSPASVFHCTEMQGDNANYFATNSSIPWWTLCQGVPFLYLTSNDHTSAQKPIPCVEERFEEKEILNERSCTSSNVFSVGDLENGERNLDVDSQCGQPSVEGTSSLQKSTRGFVPYKRCLGERDVKSTVIISEERERQRARVYS >Potri.012G038300.4.v4.1 pep chromosome:Pop_tri_v4:12:3404242:3408345:-1 gene:Potri.012G038300.v4.1 transcript:Potri.012G038300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038300.v4.1 MEGTNLNSFGKACDFGTNSCEQSETDIRMQELYSFGSDNVPKVRKPYTITKQREKWTDEEHQRFLEALKLYGRGWRRIQEHVGTKTAVQIRSHAQKYFSKVVREPGGINESSLKPIEIPPPRPKRKPAHPYPRKPVNVLEVTGASSQLERSPSPNSSVSEKENQSPTSVLSALASDTFGSALSEPCNACSSPTSCTTEMHSISLSPSAKETEHGTSNSSGEEKGNLSLVQMSLSLLENFLSEVKKFELGSKNTVCAEHDAAKKASSASIKLFGMTVKIVDSQKESPPGAEIVLPVISNENHDNVDADKEKPAHTLQRKQSDTELSLGMANSNQNLWPSPASVFHCTEMQGDNANYFATNSSIPWWTLCQGVPFLYLTSNDHTSAQKPIPCVEERFEEKEILNERSCTSSNVFSVGDLENGERNLDVDSQCGQPSVEGTSSLQKSTRGFVPYKRCLGERDVKSTVIISEERERQRARVYS >Potri.013G102400.1.v4.1 pep chromosome:Pop_tri_v4:13:11093021:11094856:1 gene:Potri.013G102400.v4.1 transcript:Potri.013G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102400.v4.1 MRSFSTDLVLSVTLILVLLATRACAQPQQGQVPCFFIFGDSLVDNGNNNRLLTLSRANYRPYGIDFPQGVTGRFTNGRTYVDALAQLFGFRNYIPPYARTRGPALLRGVNYASGAAGIRDETGNNLGGHTSMNQQVANFGMTVEQMRRYFRGDNNALTSYLSKCIFYSGMGSNDYLNNYFMSDFYSTSHDFTSKAFAAVLLQDYTRQLTQLYALGARKVIVTAIGQIGCIPYELARYNGTNSRCNEKINNAISLFNSGLLKLVQNFNNGRLPGAKFVYLDSYKSSNDLSLNGTSFGFEVIDKGCCGVGKNNGQITCLPLQQICQDRSKYLYWDAFHPTEVANILLAKVTYNSQTYTYPMSIQQLTML >Potri.014G030400.2.v4.1 pep chromosome:Pop_tri_v4:14:1914791:1918154:-1 gene:Potri.014G030400.v4.1 transcript:Potri.014G030400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030400.v4.1 MEIVLIHFGKKRKLTSRTRIQFLLWCAYLMADWVATVALGVLLNNLGEVTEDMGRRRALNVDTELAAFWAPFLLLYLGGHHEDNDMWMTHFLGLVVQTGVAVYIFFMAWRGSHLSILTLPKFLAGIIKYGERTWALRSASNEKLRDSMLTPDAGPNYSKFMEELTLKRSKVDVSNTGGSSVRDTTELIQAHDLFTTFERVFVNLILSFQDRDNSQNLFKDMSSENAFKVIEIELGFIFYVLDTKGTITFSRVGSYLRFINLTSTFVLLVFCSFAHEKNRSKKIDLAITFLLLAVAIFLELYAIILLVFI >Potri.014G124900.1.v4.1 pep chromosome:Pop_tri_v4:14:8384833:8385756:1 gene:Potri.014G124900.v4.1 transcript:Potri.014G124900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124900.v4.1 MEGISASMYTKMKGYWSRRGYERINGSGRIRRKRPVELGSGSSTSRGRGFSLRIKIKPKLKILKMSSPRKFFVWLRDAYVKMMLGLANSRAIGTSGYGDAFGARRPIKEYDEKMIVQIYKSLVMTQGQLVPHDAARFGSMSKLTAISE >Potri.010G255500.2.v4.1 pep chromosome:Pop_tri_v4:10:22624619:22625131:-1 gene:Potri.010G255500.v4.1 transcript:Potri.010G255500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255500.v4.1 MVTEDEEEEAKKKIGAKVRVKVPVKVYHVPRVAEELDLCGLEGEVKQYVNLWKGRRVSANLPYKIQFVHSGGVKFFAHLREDELEFLD >Potri.010G255500.1.v4.1 pep chromosome:Pop_tri_v4:10:22624807:22625361:-1 gene:Potri.010G255500.v4.1 transcript:Potri.010G255500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255500.v4.1 MGTSGALSSGAAAACSAFRCTDITKFKFKFKSNALFSPNPRRNCCCYSIITPTCTATVASTSSSSSTAAASSTCSRGRRKTLVSCSVALRSNNSATMVTEDEEEEAKKKIGAKVRVKVPVKVYHVPRVAEELDLCGLEGEVKQYVNLWKGRRVSANLPYKIQFVHSGGVKFFAHLREDELEFLD >Potri.004G071000.1.v4.1 pep chromosome:Pop_tri_v4:4:5985030:5986958:-1 gene:Potri.004G071000.v4.1 transcript:Potri.004G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G071000.v4.1 MEEAIVLYPSPPIGHLISMVELGKLLLTQKPSLSIHILITSVPYDSGSTAPYIANVAATIPSIKFHHLPTVTLPSTKTTHYEELTFEVLRLSNPHVREQLLSISKNYTIHGLVVDFFCCAALNVAKELNIPGYHFSTSGAGILVVFHYFPTIHNTTTKSLKDLKSLLHIPGVPPIPSSDMPIPVLDRDDKSYENFLDSSRSFPESAGIVVNTFASLEARAVKTLSEGLCVPNNRTPPIYCIGPLIATEGPKDDAGTRNGTTLECLTWLDSQPVGSVVFLCFGSLGLFSKEQLREIAFGLERSGHRFLWVVRNPPSDKKSVALSARPNIDLDSLLPEGFLNRTKERGLVLKSWAPQVAVLNHPSVGGFVSHCGWNSVLEAVCAGVPLVAWPLYAEQRLNRIFLVEEMKLALPMNESDNGFVSSAEVEERVLGLMESEEGKLIRERAIAMKIAAQAALNEGGSSRVALSQLVESWTDK >Potri.007G061781.1.v4.1 pep chromosome:Pop_tri_v4:7:6957701:6958375:1 gene:Potri.007G061781.v4.1 transcript:Potri.007G061781.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061781.v4.1 MMQGIMDLHHDIFFFLILILVFVLWILVGALWHFHYKKNPIPQRIVHGTTIEILWTIFPSLILMFIAIPSFALLYAMDEVVVDPAITMKAIGHQWYWTYEYSDYNSSDEESLTFDSYMIPEDDLELGQLRLLEVDNRLVVPANSHLRLLVTSADVLHSWAVPSLGVKCDAVPGRLNQISILVQREGVYYGQCSEICGTNHAFMPIVVEALSLKDYCDWVDIHQF >Potri.015G092100.8.v4.1 pep chromosome:Pop_tri_v4:15:11501499:11506463:1 gene:Potri.015G092100.v4.1 transcript:Potri.015G092100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092100.v4.1 MSRWASDDDSPRDTTLVDDEGMHGEIVCRTNSIEREGCQREVSDRDRSSSPPSDERGYSISFLSEHELQNDAMDVDDIGDENASVNDMEQTDGWIDEPTTTTQRGINMLEGCRSVFKYERLNEINEGTYGKVYKARDKKTGEFVALKKVKMDVGRDRYLEEYGFPLTSLREINILMSFDHPSIVKVKEVVMGDLDSVFMVMEYMEHDLKGVTQAMKQPFSTSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNKGELKVCDFGMSRQYSSPLKPYTSLVVTLWYRAPELLLGAKQYSTAVDMWSVGCIMAEMLTKEPLFTGKGEIDQLDKIFKTLGTPNETTWPGLSKLPGAKANFVKQPYNQLRKKFPFTPFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHPWFHEVPLPKSKESMPTFPPQYAKKR >Potri.015G092100.4.v4.1 pep chromosome:Pop_tri_v4:15:11501500:11506941:1 gene:Potri.015G092100.v4.1 transcript:Potri.015G092100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092100.v4.1 MAAGRLDVSLRRGGGGGYFDELRRGGDRGVVRKNGYHSSTRVRSFDFKRSGFNCRDEERQCGELWQFNAFGDSPRYEEGEISGNEDGVQLPLEKKRRFSPAVWDAEEKEARISLKNRVFQRSSTQGLNVVSDEDVVKSSFKGGLELVVDEDRVDGGSVDGIESEYPAPQSPSLPPKKDGGYGQEQDQVEEEELPNARNIAMSRWASDDDSPRDTTLVDDEGMHGEIVCRTNSIEREGCQREVSDRDRSSSPPSDERGYSISFLSEHELQNDAMDVDDIGDENASVNDMEQTDGWIDEPTTTTQRGINMLEGCRSVFKYERLNEINEGTYGKVYKARDKKTGEFVALKKVKMDVGRDRYLEEYGFPLTSLREINILMSFDHPSIVKVKEVVMGDLDSVFMVMEYMEHDLKGVTQAMKQPFSTSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNKGELKVCDFGMSRQYSSPLKPYTSLVVTLWYRAPELLLGAKQYSTAVDMWSVGCIMAEMLTKEPLFTGKGEIDQLDKIFKTLGTPNETTWPGLSKLPGAKANFVKQPYNQLRKKFPFTPFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHPWFHEVPLPKSKESMPTFPPQYAKKR >Potri.015G092100.1.v4.1 pep chromosome:Pop_tri_v4:15:11501499:11506469:1 gene:Potri.015G092100.v4.1 transcript:Potri.015G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092100.v4.1 MAAGRLDVSLRRGGGGGYFDELRRGGDRGVVRKNGYHSSTRVRSFDFKRSGFNCRDEERQCGELWQFNAFGDSPRYEEGEISGNEDGVQLPLEKKRRFSPAVWDAEEKEARISLKNRVFQRSSTQGLNVVSDEDVVKSSFKGGLELVVDEDRVDGGSVDGIESEYPAPQSPSLPPKKDGGYGQEQDQVEEEELPNARNIAMSRWASDDDSPRDTTLVDDEGMHGEIVCRTNSIEREGCQREVSDRDRSSSPPSDERGYSISFLSEHELQNDAMDVDDIGDENASVNDMEQTDGWIDEPTTTTQRGINMLEGCRSVFKYERLNEINEGTYGKVYKARDKKTGEFVALKKVKMDVGRDRYLEEYGFPLTSLREINILMSFDHPSIVKVKEVVMGDLDSVFMVMEYMEHDLKGVTQAMKQPFSTSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLLNNKGELKVCDFGMSRQYSSPLKPYTSLVVTLWYRAPELLLGAKQYSTAVDMWSVGCIMAEMLTKEPLFTGKGEIDQLDKIFKTLGTPNETTWPGLSKLPGAKANFVKQPYNQLRKKFPFTPFTGSPVLSDSGFDLLNKLLTYDPEKRITADDALNHPWFHEVPLPKSKESMPTFPPQYAKKRHNRRTMKCLDFRRSSEGRQYQVLSGMYWCISSSGIYSLLYNDPLPSLTHKVLSIDLFSLSLIVLFSLNQLEFRMSANILNSVN >Potri.008G110400.2.v4.1 pep chromosome:Pop_tri_v4:8:7000259:7002376:-1 gene:Potri.008G110400.v4.1 transcript:Potri.008G110400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G110400.v4.1 MDSISDRPQSIRESILTRLPVRDAVRTIVLSSKWGMYSDRPVVENRIVKFITHALFLHQGPVHKFQLSTSYLHCFPDIDQWMLFLSRSDIKELVLELGEGEWFRLICLELFRCKFDPPPAFKGFLCLRSPSLHQVLVAPEVIESLISSCPLLESLALSYFDSLALNICAPNLKCLCLEGEFTDICLENTPLLVVMSVSMYMNDDIAEYFEQSWSCNFIKFLGGVPLLERLVVHIYFAKYLSIGDYPGTLAITYSRLKIIELYQVSFEDTKEIRVVLCLITNSPHLKEFRISGSSTTVAAVEVPDLGFWAEECPEDCTFKQLKVVKMTDMSGVPHEMEFLKFLLANSPVLETLCITPCVYVMDGILNMLVQLVRFRSASAEAEITFTQDETPSEEGGWPLLPFDERSFCKTVFLATRLTMNLCPQKLSTFRSNHNAHVLTKTNLSSGLERIKY >Potri.005G254100.2.v4.1 pep chromosome:Pop_tri_v4:5:24601787:24604954:-1 gene:Potri.005G254100.v4.1 transcript:Potri.005G254100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254100.v4.1 MATHAALASSRIPANTRLPSKINHSFPTQSSLKRLEVAEFSGLRASSCVTYAKNASEGSFFDVVASQLAPKVAVSTPVRAETVAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKAEVKIVDNETISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGATKVIITAPAKGADIPTYVVGVNEKDYDHEVANIISNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNGAFRKAAEGPLKGVLDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMIKVVAWYDNEWGYSQRVVDLAHLVANKWPGVAAAGSGDPLEDFCKTNPADEECKVYEA >Potri.010G146900.1.v4.1 pep chromosome:Pop_tri_v4:10:15802750:15803590:1 gene:Potri.010G146900.v4.1 transcript:Potri.010G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146900.v4.1 MSPSATSLAAETKRGGARSDFRPAFDVLDADHDGKISKDDLRMFYAGFSRNDADDDVIGSMMSVADFNKDGFVEYDEFERVLDGFSENKETSISTRSTSGVMEDVFKVMDKDGDGKLSVEDLKSYMQWAGFDAPDDDIKAMIKLAGCAGGGDEDVVTYDGLLKILALDNSHVA >Potri.004G164700.1.v4.1 pep chromosome:Pop_tri_v4:4:18431765:18432305:-1 gene:Potri.004G164700.v4.1 transcript:Potri.004G164700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164700.v4.1 MAILLKGIMNAKQILRRSNLLANQATEVPKGYFAVYVGESQKKRFTVPISFLNQPSFQELLRKAEEEFGYSHPMGGLTLPCREDTFIDIISGLNLS >Potri.006G149700.1.v4.1 pep chromosome:Pop_tri_v4:6:12999047:13000580:1 gene:Potri.006G149700.v4.1 transcript:Potri.006G149700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149700.v4.1 MFVGVRFSVGARVAVALASVLIFLSLFADCANNNDSQEKCSRTCVAQNCNSVGIRYGKYCGVGWTGCPGEKPCDDVDACCKIHDECVEKKGLNNIKCHEKFKSCIKKVHKSGKVGFSRDCTYETAVPTMVQGMDMAILLSQLGSSKIEL >Potri.019G126700.1.v4.1 pep chromosome:Pop_tri_v4:19:14987658:14989703:1 gene:Potri.019G126700.v4.1 transcript:Potri.019G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126700.v4.1 MYLQDSHSYKLMTNFEPFLINLKPIAMSSNALQWLTLVVIIWLQSVNGTNTTFPAYSPQLKELLSMSQTELNNLSFASDAGKLFGFLSGMAALYLPLWLVLLIGSTLGFVGYGLQYLFITHQISSLSYVHIFLLTVLAGNSICWINTVCYVVIIQNFPSDRQVSVGLTTSYQGLSAKIYTVLVDALFFSPDKRARGYLLLNSISPLVVCVLAAPFVRDVNVGTSENMKAGFIVMFLITIATGICAVISSLGSLPSRIPPLGNVIGISVFLLAPLAIPIAEKIREVLLNGEITNVYIEKNVGDDRVERIESGIEEGDDHRRENEVGVKEEIGVMLMLKRVDFWLYFFVYFSGATLGLVYSNNLGQIAESRGFSGTSSLVSLSSSFGFFGRLMPSLLDYFLSRSRYNLISRPAACIAALMAPMAGAFFLLLNSTNISLYISTAIIGVCTGAITSISVSTTTELFGTRNFSVNHNVVVANIPIGSFIFGYSAALIYHREGDGYGKCMGMQCYGNTFIIWGSVCLFGTFLALVLYARLRKFYSHR >Potri.013G065900.3.v4.1 pep chromosome:Pop_tri_v4:13:4896504:4899299:-1 gene:Potri.013G065900.v4.1 transcript:Potri.013G065900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065900.v4.1 MVSISEHKKYVLFLNISFLLVACVFLIMQFSSAGFLVLGSSQSFKENDQQDCQGLHSLDDYKAKCSYIKSNIPCVSQGYIDYLYLFYCNLGRFPLLGYCLSFLWLLVLFYLLGNTASEYFCSSLEKLSKLLNLSPTIAGITLLSLGNGAPDVFASLVSFMGDGTSNVGFNTVLGGASFVSCVVVGIISILVKQEEFRVNRCAFVRDVCFFLLVLASLTFILIHGKINLWGAMGFLSMYIVYFMVVYVLQVHWNGGGNESERNASSSYGSDLNIPILSSMEKGEQNYVKGCDMECGTEVEMNKCCFCVRLSAPCRILIWILEMPLYLPRRLTIPVVCEKGWSKPATVASVTMAPVLLSALWNAQGESATLNTSLIVYGIGLMLGMTFGVLAYVTTEKSSPPQKCLLPWLAGGFLMSVTWSYIIAQELVGLIVSLGFIFGISPSILGLTVLSWGNSIGDLITNLILAMNGGPEGAQVAISGCYAGPIFNILFGLGLSLVASAWYAYPSSVVIPKDPYLLETIGFLVGGLLWALVVLPRRNMKLNWVLGGGLLAIYMMSVSLRLTQALGSFHFHDTHA >Potri.013G065900.2.v4.1 pep chromosome:Pop_tri_v4:13:4896449:4899261:-1 gene:Potri.013G065900.v4.1 transcript:Potri.013G065900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065900.v4.1 MVSISEHKKYVLFLNISFLLVACVFLIMQFSSAGFLVLGSSQSFKENDQQDCQGLHSLDDYKAKCSYIKSNIPCVSQGYIDYLYLFYCNLGRFPLLGYCLSFLWLLVLFYLLGNTASEYFCSSLEKLSKLLNLSPTIAGITLLSLGNGAPDVFASLVSFMGDGTSNVGFNTVLGGASFVSCVVVGIISILVKQEEFRVNRCAFVRDVCFFLLVLASLTFILIHGKINLWGAMGFLSMYIVYFMVVYVLQVHWNGGGNESERNASSSYGSDLNIPILSSMEKGEQNYVKGCDMECGTEVEMNKCCFCVRLSAPCRILIWILEMPLYLPRRLTIPVVCEKGWSKPATVASVTMAPVLLSALWNAQGESATLNTSLIVYGIGLMLGMTFGVLAYVTTEKSSPPQKCLLPWLAGGFLMSVTWSYIIAQELVGLIVSLGFIFGISPSILGLTVLSWGNSIGDLITNLILAMNGGPEGAQVAISGCYAGPIFNILFGLGLSLVASAWYAYPSSVVIPKDPYLLETIGFLVGGLLWALVVLPRRNMKLNWVLGGGLLAIYMMSVSLRLTQALGSFHFHDTHA >Potri.005G067300.1.v4.1 pep chromosome:Pop_tri_v4:5:4375900:4380493:1 gene:Potri.005G067300.v4.1 transcript:Potri.005G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067300.v4.1 MMPELSDSCLLLDLPDDVFTIICRFLSTRDICTLSLCCRSLYVLVASEKVWLTQCDMVGIVPHRDLLEWRKGVSSYRALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRILPQELGPLGIEEGPILWAPVFEIIGDLDGSTAFFLHGREKGNDYVYPGSVKSVERNCNVLLLEVDLRQQKSKLFPNKSFAYNSDRELARNVSRSHSELPGSQRMIIQCEAQVPFSRLAFSDRRKLIEVVTTQVGQKVSDLANSLLFPRLRNDEENFQKDLALLFERRSVLLKMYNLGQGFNWKEAPEVPFDPMQLQLSEVRKILDQSSGSLNEDDNQMQSNTKRTLGGYFRASIRQILGKPPSINGSHTHSKHSCSRSERKHAPLHDFLRSGDTIGLALHATVVRLSSYRAWPNMHDSRFALYKLPMRGPRADEEYAGLWGGTFGWPPGKPTEDKPGKALFFLLVSYEESEGKRNLIATKILEGTHYVLHPNGSAMFIVNIDEPLYDPFPWDVDENSFPLIIKHAFAGEGIANGYGFRYPGSKPGSLFVIENGLLVFVWKESRTVLTMQRLNLQELLKKGERVPALPPISNFSYLTKSYSNVFTGFSAASACLSSPRQRNL >Potri.015G011200.1.v4.1 pep chromosome:Pop_tri_v4:15:720147:723779:-1 gene:Potri.015G011200.v4.1 transcript:Potri.015G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G011200.v4.1 MEDREAGLQDRLSDLPDYIIHEILCFLEINQAIRTSVLSERWKNLWASVPDLNFNSKSFTEQTFPKFLRRVMSKREDCNVRRLDMFAHLPIRLSSMEGLINYAVAHQVEDFRFVSDDVQPVSLPACGSLKTLFLSRCKFGDLLTSFGFGFVNLTSLDLYVCWFCYSENDFGDPFASCRNLRRLCLRYCRFPSLKRLKITGFQLVSLEIQGFGYGDRGLQEGCAVEIFAPNLLSFVYKFSKPVDFCGLHFPSLDYVEVHVWQHGTDEATDHDKRRASLFLMSMFEGFGNAQSVKLYCETIQVLDLVDGLLEQQPSPFKRLKKVDVQCNRESFKVPAHVTNYLLAGTIGQELHLEVPT >Potri.014G060800.1.v4.1 pep chromosome:Pop_tri_v4:14:3918009:3923451:1 gene:Potri.014G060800.v4.1 transcript:Potri.014G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060800.v4.1 MWVATVLPKPVPPVLSVLTSSRNPKQSSFHFRFSYRPLNLKVSATKQQQQLPEPKADKKNPSSSDLGWLPAFPHVLIASMSNFLFGYHIGVMNGPIVSVAKELGFEGNSTLEGLVVSIFIAGAFLGSVASGSLVDKLGCRRTFQLDTIPLILGALVSAQAHSLDEILWGRFLVGLGIGVNTVLVPIYISEVAPTKYRGSLGTLCQIGTCLGIIASLFLDIPSETDPHWWRTILYIASAPGFILALGMQFAVESPRWLCKVGRLDDAKTVIRNIWGSSEVETAIQDFQSVIKNNGVNVGSGWLELLEEPHSRVAFIGGALFVLQQFAGINGVLYFSSLTFKDVGITSSSLASLFVGLANFAGALCAVYLMDKEGRQKLLIGSYLGMAVSMFLIACAIGFPVDEELSHNLSILGTLMYIFTFAIGAGPVTGLIIPELSSAKMRGKIMGFSFSVHWVCNFLVGLLFLDLVEIFGVAPVYTGFGSVSLLAAIYAKYFLVETKGRSLEEIEMSLNPDFSVRDK >Potri.003G167600.1.v4.1 pep chromosome:Pop_tri_v4:3:17671288:17675563:-1 gene:Potri.003G167600.v4.1 transcript:Potri.003G167600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167600.v4.1 MSMTCGQGLLKPLISAVSARSSHSSHSYLSTVSVFKLFTKETPTQVSAARMATSSGADNKTNPAKVIDSHLHVWASPEEAAGKYPYFPGQEPTLRGDLDFLLQSLEEAGVDGALIVQPINHKFDHSLVTSVLKKYPNKFVGCCLANPAEDGSGLKHLEELILKDGYRAVRFNPYLWSSGEKMTNDIGKALFSKAGELGVPVGFMCMKGLNLHISEIQELCTEFPSTVVLLDHLSFCKPPTNDEESFAFSELLKLSKFPQVYIKFSALFRVSRMPFPYQDLASLLSQVVSSFGASRVMWGSDFPYVVPECGYKGGKEAVLSIANQVPLSSSELEWIMGKTVMQLFQGQWVP >Potri.003G086000.1.v4.1 pep chromosome:Pop_tri_v4:3:11264030:11274780:1 gene:Potri.003G086000.v4.1 transcript:Potri.003G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086000.v4.1 MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVKLVEQELWQREEKGLIGILPVRDAAAASSSETASVGPTLSQGVGSDSSERSSKTQASTSSDNVKLDGKHQQEVFHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNLNELITRGTYYTARISPLEMTNAELEQVDQDPDFIALSRQFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDLKVRLSKATELVDRHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAALERKMQSAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSRVYLELLADLPWQTVSEEHELDLKAAKERLDNDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGIKDEADIRGHRRTYIGSMPGRLIDGIKRVGVCNPVMLLDEIDKTGSDVRGDPAAALLEVLDPEQNNTFNDHYLNVPFDLSKVIFVTTANRMQPIPPPLLDRMEVIELPGYTPEEKLRIAMQYLIPRVLDQHGLSSEFLQIPEGMVKLVIQRYTREAGVRNLERNLAALARAAAVRVAEQEQAVPLSKDMHQLASPLLDNRLAEGADLEMEVIPMNENSHEISNTFSIASPLVVDEPMLEKVLGPPRFDDKEAAERVASPGISVGLVWTAFGGEVQFVEATATAGKGELHLTGQLGDVIKESAQIALTWVRAKATDLKLAAANETNFLKGRDVHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKRVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPERNLKDLVEVPAAVLGSLEILPAKQMEDVLEQAFEGGCPWRQHSKL >Potri.002G158500.1.v4.1 pep chromosome:Pop_tri_v4:2:12086664:12088682:-1 gene:Potri.002G158500.v4.1 transcript:Potri.002G158500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158500.v4.1 MEEPQPVQDPPNLQNLPVQNPDPNPNSDLPFTAPSDPPPAPPPPPAAVPTPPPPPVLPESKKRSLDNYGPIQECRYFKMRAIVKDIRSHFLEMLRTVDFQSCKGAEELQEKLKLLMELYKQMTAEKLSTTNWKTAPNSGENGVGLKPQEQLHDTADQTRPGHVFAKLSEKQQAEHSQNQGTHIVGGSAFGWNFITFTGSMPIYYGRTKESFRAAHVTL >Potri.016G115100.1.v4.1 pep chromosome:Pop_tri_v4:16:11959473:11961386:-1 gene:Potri.016G115100.v4.1 transcript:Potri.016G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115100.v4.1 MGSGSLLWNLTKKYLTVGVIGLTITDRYASVVPVRGGSMSPTFNPRTNTVLGSLDDRVLIEKFCLAKYKFSHGDVVVFRSPSDHKQKLIKRIIGLPGDWMGTPQNDVVKIPEGHCWVEGDNPASSMDSRSFGPIPLGLVQGRATTIVWPPQRICQVERRILQDRFSPSA >Potri.012G095100.1.v4.1 pep chromosome:Pop_tri_v4:12:11943516:11949322:1 gene:Potri.012G095100.v4.1 transcript:Potri.012G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095100.v4.1 MPRTTTLECPGCPPLRALTFDSLGLIKVIESRGERGTPQVVERWGDPVSSKCVLAASFDDRKKDPLLAVARRNREVEVVNPLNGEIHVVFSNVGEDGVQPEDDAISGLHLFRRERSSGSCTLLTCTSKGNASVRSIGVDKLTADSASISVTKTWKVCGSGNVLCSKVDGSENYAVFGGKGVEVNLWDLENSTKIWTAKPPPKNSLGIFTPTWFTCTTFLSNDDHHKFVAGTNSHQVHLYDISAQRRPVLSFDFRETAIKAVTEDQDGHTIYIGNGSGDLASFDMRTGKLLGCFIGKCSGSIRSIARHPELPVIASCGLDSYLRLWDIKTRQLLSAVFLKQHLTNVVFDSNFVDKEVAATAQNANEIQTTEVQTEDEMGTLRVKRKKASKEKREKKKKSEESKETIVLKSKKKSRKHKREICDDS >Potri.002G054400.7.v4.1 pep chromosome:Pop_tri_v4:2:3690263:3695388:-1 gene:Potri.002G054400.v4.1 transcript:Potri.002G054400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054400.v4.1 MPSNTTTSSATPRDTMTHLDFFKKLKRLNPLEPSLGILGFFLVTVLLICCFFYLDYRSVTRGLRHHGISWSGLVVPSSSELTGGDSGRLGFLDKGGDWCDIFDGNWVWDDNYPLYQSQDCSFIDAGFRCLENGRPDSFYTKWRWQPKYCNLPRFDARLMLEKLRNRRLVFVGDSVGRNQWESLLCMLATAVPDSSIYEVNGNPITKHRGFLAFMFKDYNCTLEYYRAPFLIVQGRPPAGAPKEVKLTLRVDTLDWTSPKWKDADVLVLNSGHWWNHEKTIRGCNFFTVQQLGVIVEKKLYYNVV >Potri.002G054400.2.v4.1 pep chromosome:Pop_tri_v4:2:3690006:3695386:-1 gene:Potri.002G054400.v4.1 transcript:Potri.002G054400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054400.v4.1 MPSNTTTSSATPRDTMTHLDFFKKLKRLNPLEPSLGILGFFLVTVLLICCFFYLDYRSVTRGLRHHGISWSGLVVPSSSELTGGDSGRLGFLDKGGDWCDIFDGNWVWDDNYPLYQSQDCSFIDAGFRCLENGRPDSFYTKWRWQPKYCNLPRFDARLMLEKLRNRRLVFVGDSVGRNQWESLLCMLATAVPDSSIYEVNGNPITKHRGFLAFMFKDYNCTLEYYRAPFLIVQGRPPAGAPKEVKLTLRVDTLDWTSPKWKDADVLVLNSGHWWNHEKTIRGGCYFQEGEKVRMEMSVETAYGRSIETLIDWLHTEVNMSKTRVFFRTYAPVHFRGGDWKTGGSCHLEKLPDLGSVLVSPDYRFKLFFDVLSKHSNESQVMNLHLLNVTSMSARRKDGHASLYYLGPGSGPASLHRQDCSHWCLPGVPDSWNELLYTLLLKQELVHAQDLTESSQAPFVTA >Potri.002G054400.6.v4.1 pep chromosome:Pop_tri_v4:2:3689977:3695347:-1 gene:Potri.002G054400.v4.1 transcript:Potri.002G054400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054400.v4.1 MPSNTTTSSATPRDTMTHLDFFKKLKRLNPLEPSLGILGFFLVTVLLICCFFYLDYRSVTRGLRHHGISWSGLVVPSSSELTGGDSGRLGFLDKGGDWCDIFDGNWVWDDNYPLYQSQDCSFIDAGFRCLENGRPDSFYTKWRWQPKYCNLPRFDARLMLEKLRNRRLVFVGDSVGRNQWESLLCMLATAVPDSSIYEVNGNPITKHRGFLAFMFKDYNCTLEYYRAPFLIVQGRPPAGAPKEVKLTLRVDTLDWTSPKWKDADVLVLNSGHWWNHEKTIRGSATWAHAQFNLERRACLIWPMNLQIIEI >Potri.013G000300.5.v4.1 pep chromosome:Pop_tri_v4:13:27296:32384:1 gene:Potri.013G000300.v4.1 transcript:Potri.013G000300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000300.v4.1 MSSSRPSHSSSNSARSRHSARIIAQTTVDAKLHADFEESGSSFDYSSSVRVTDSVGGDQPPRSDKVTTTYLHHIQKGKLIQPFGCLLALDEKTFKVVAYSENAPELLTMVSHAVPSVGEHPVLGIGTDIRTIFTAPSASALQKAMGFGDVSLLNPILVHCKTSGKPFYAIVHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRAMAYKFHDDDHGEVVSEVTKPGMEPYLGLHYPATDIPQASRFLFMKNKVRMIVDCHAKHVKVLQDEKLPFDLTLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNDGDEDGDTPDSVNPQKRKRLWGLVVCHNTSPRFVPFPLRYACEFLAQVFAIHVNKELELENQIVEKNILRTQTLLCDMLMRDAPLGIVTQSPNIMDLVKCDGAVLFYRNKIWRLGITPSDLQLQDIAFWLSEYHMDSTGLSTDSLYDAGYPGALALGDVVCGMAAVRITSKDMLFWFRSQTAAEIRWGGAKHEPGEKDDGRRMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDIETMDVDTKTIHARLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNTKISELTGLLVDKAIGKHLLTLVEDSSVDIVKRMLFLALQGKEEQNIQFEIKTHGSKSECGPICLVVNACASRDLHENVVGVCFVGQDITGQKMVMDKFTRIEGDYKAIVQNRNPLIPPIFGTDEFGWCSEWNPAMTNLTGWKREEVLDKMLLGEVFGLNMACCRLKNQEAFVNLGVVLNTAMTGQESEKVSFGFFARTGKYVECLLCVSKKLDREGAVTGVFCFLQLASQELQQALHVQRLSEQTALKRLKALAYLKRQIWNPLSGIIFSGKMMEGTELGAEQKELLHTSAQCQCQLSKILDDSDLDSIIEGYLDLEMVEFTLREVLVAATSQVMMKSNEKGIRIINDAAEETMAETLYGDSIRLQQVLADFLQMSVNFTPSGGLLSVSASLTKDQLGQSVYLVHLELRIRHPGAGIPEALLDQMFGEDTDASVEGISLVISRKLVKLMNGDVRYMREAGKSSFIISVELAGGHKSQKRA >Potri.013G000300.2.v4.1 pep chromosome:Pop_tri_v4:13:25906:32507:1 gene:Potri.013G000300.v4.1 transcript:Potri.013G000300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000300.v4.1 MSSSRPSHSSSNSARSRHSARIIAQTTVDAKLHADFEESGSSFDYSSSVRVTDSVGGDQPPRSDKVTTTYLHHIQKGKLIQPFGCLLALDEKTFKVVAYSENAPELLTMVSHAVPSVGEHPVLGIGTDIRTIFTAPSASALQKAMGFGDVSLLNPILVHCKTSGKPFYAIVHRVTGSLIIDFEPVKPYEVPMTAAGALQSYKLAAKAITRLQSLPSGSMERLCDTMVQEVFELTGYDRAMAYKFHDDDHGEVVSEVTKPGMEPYLGLHYPATDIPQASRFLFMKNKVRMIVDCHAKHVKVLQDEKLPFDLTLCGSTLRAPHSCHLQYMENMNSIASLVMAVVVNDGDEDGDTPDSVNPQKRKRLWGLVVCHNTSPRFVPFPLRYACEFLAQVFAIHVNKELELENQIVEKNILRTQTLLCDMLMRDAPLGIVTQSPNIMDLVKCDGAVLFYRNKIWRLGITPSDLQLQDIAFWLSEYHMDSTGLSTDSLYDAGYPGALALGDVVCGMAAVRITSKDMLFWFRSQTAAEIRWGGAKHEPGEKDDGRRMHPRSSFKAFLEVVKTRSLPWKDYEMDAIHSLQLILRNAFKDIETMDVDTKTIHARLSDLKIEGMQELEAVTSEMVRLIETATVPILAVDVDGLVNGWNTKISELTGLLVDKAIGKHLLTLVEDSSVDIVKRMLFLALQGKEEQNIQFEIKTHGSKSECGPICLVVNACASRDLHENVVGVCFVGQDITGQKMVMDKFTRIEGDYKAIVQNRNPLIPPIFGTDEFGWCSEWNPAMTNLTGWKREEVLDKMLLGEVFGLNMACCRLKNQEAFVNLGVVLNTAMTGQESEKVSFGFFARTGKYVECLLCVSKKLDREGAVTGVFCFLQLASQELQQALHVQRLSEQTALKRLKALAYLKRQIWNPLSGIIFSGKMMEGTELGAEQKELLHTSAQCQCQLSKILDDSDLDSIIEGYLDLEMVEFTLREVLVAATSQVMMKSNEKGIRIINDAAEETMAETLYGDSIRLQQVLADFLQMSVNFTPSGGLLSVSASLTKDQLGQSVYLVHLELRIRHPGAGIPEALLDQMFGEDTDASVEGISLVISRKLVKLMNGDVRYMREAGKSSFIISVELAGGHKSQKRA >Potri.014G132300.2.v4.1 pep chromosome:Pop_tri_v4:14:8839291:8843647:-1 gene:Potri.014G132300.v4.1 transcript:Potri.014G132300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132300.v4.1 MATLSAYSSPPWPNSNPNSDLLSTQDEIDSQAHSPSESQSNDSLSSIMPPDPDPDPNPNPNPNPITNHNTSISSPPQSTSTPPLISLLHLSFNQDFGCFAAGTDHGFRIYNCDPLREIFRRDFDGDGNSGGGGIGVVEMLFRCNILAIVGGGPDPQYSPNKVMIWDDHQSRCIGELSFRSEVRSVKLRRDRIIVVLEQKIFVYNFADLKLLHQIETIANPKGLCAVSHGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFSLTQDGQLLVTASTKGTLVRVFNTADGTLLQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKINPGSPGIDRSQSTDEPNLAVTSPASSLSFFKGVLPKYFSSEWSVAQFHLPEGSQYIVAFGHQKNTVVILGLDGSFYRCQYDPVNGGEMTQLEYHNFLNPPEAIL >Potri.014G132300.1.v4.1 pep chromosome:Pop_tri_v4:14:8839291:8843563:-1 gene:Potri.014G132300.v4.1 transcript:Potri.014G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132300.v4.1 MATLSAYSSPPWPNSNPNSDLLSTQDEIDSQAHSPSESQSNDSLSSIMPPDPDPDPNPNPNPNPITNHNTSISSPPQSTSTPPLISLLHLSFNQDFGCFAAGTDHGFRIYNCDPLREIFRRDFDGDGNSGGGGIGVVEMLFRCNILAIVGGGPDPQYSPNKVMIWDDHQSRCIGELSFRSEVRSVKLRRDRIIVVLEQKIFVYNFADLKLLHQIETIANPKGLCAVSHGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRIACFSLTQDGQLLVTASTKGTLVRVFNTADGTLLQEVRRGADRAEIYSLAFSSTAQWLAVSSDKGTVHVFSLKINPGSPGIDRSQSTDEPNLAVTSPASSLSFFKGVLPKYFSSEWSVAQFHLPEGSQYIVAFGHQKNTVVILGLDGSFYRCQYDPVNGGEMTQLEYHNFLNPPEAIL >Potri.013G123700.1.v4.1 pep chromosome:Pop_tri_v4:13:13229529:13239933:-1 gene:Potri.013G123700.v4.1 transcript:Potri.013G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123700.v4.1 MVAPLLRSLWSTTRKPFSPRGTLFPRFLSTASATSATTAPNPSLDPSRLRNVAVIAHVDHGKTTLMDRLLRQCGADIPHERAMDSISLERERGITIASKVTAISWKENELNMVDTPGHADFGGEVERVVGMVEGAILVVDAGEGPLAQTKFVLAKALKYGLRPILLLNKVDRPAVSEERCNEVESLVFDLFANLGATEEQLDFPVLYASAKEGWASSSFTKDPPADVKNMSQLLDAIIRHVPPPTANLDAPFQMLVSMMEKDFYLGRILTGRVFSGAVRVGDRIHGLRSTDSGVTKIEEGKVTKLMKKKGTSMALIDSAGAGDIISMAGMASPVIGHTVANVEVMTALPTVELDPPTISMTFGVNDSPLAGRDGTHLTGGKIGDRLMAEAETNLAINVLPAIGESYEVQGRGELQLGILIENMRREGFELSVSPPRVMYKTENKEKLEPIEEVTIEINEEHVGLIMEALSHRRAEVLDMGPVPGHVGRTRLSLTCPSRGLVGYRSVFSSDTRGTGFMHRAFLTYAKHRGPLGNVRKGVLVSMGYGAITAYALTSLEPRGILFVSPGMETYDGMIVGEHSRDTDLDVNPVRAKELSNMRAAGKDENVKLSPPRLMTLEEAIGYVASDELIEVTPKTIRLRKRYLEVNKRKTMSKRLKE >Potri.008G089100.6.v4.1 pep chromosome:Pop_tri_v4:8:5565652:5574024:1 gene:Potri.008G089100.v4.1 transcript:Potri.008G089100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089100.v4.1 MGGSQHSLASWHSSILGGPQEADVGGYRDYASATSHYEGQHRAVYGSASMSGAQQGILTESLGKDLNDHVFTLVEGIVDAGKNSGNTGSCEELLGGDEEGERSVASVEDQRKEMTIYEKFIMGIGSHSQYSQDVLRS >Potri.015G069600.1.v4.1 pep chromosome:Pop_tri_v4:15:9528526:9531436:-1 gene:Potri.015G069600.v4.1 transcript:Potri.015G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069600.v4.1 MGIVKLGENMEIKEEVIMKACSMAMKAHKSPEKQYLSEGIHSSSSEVVFSFAGSLSVNDWFAGSAFGEMKVDLQFFPSLKYVGLDQTGRVNEAFFKRFEAVLANPRFKVEVEKAVADRRQVVFTGHSSGGAIAILATAWFLEVYNRQSSNCMAPLCLTFGSPLVGDYIINIAIRREKWSRYFVNFVMRYDIVPRISLCPLSSIKQQLQRVLDYFNQNAPQPPNDAPAFYETVVKNASSVANYAACKIMGSTNPLLETVSSFIEPSPYRPFGTYVFCTGTGKLVVISNPDAVLQVLFYSSQLSTEEEKVTVAQTSLRDHLNYENYLQEHLKTPAVTSLFHHRQEALAVSWNVASVEREKVDMALNDLGLSERARLSLRAAEALEKQKLRNQDTIDGKKKDIEKCLDKLQEYQSKCAHKVGYYDAFKCSEEEEDFHANVARLELAGTWDVIIEMLKRYELPDEFEGQKEWIGLGTRYRRIVEPLDIANYYRHLKNEDTGPYMGKGRPRRYKCTQKWREHAEQLPNEIPESCFWAEVEELCIKAGCQGTIESILHLKTKVDKWIQNEELGGDVLLENSTFTKLQKQHFLTN >Potri.016G082900.1.v4.1 pep chromosome:Pop_tri_v4:16:6393350:6397533:-1 gene:Potri.016G082900.v4.1 transcript:Potri.016G082900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082900.v4.1 MATKTDNPSTAAVEDNPSETLDTAVLDSTKPDRGEDSTNTASSTVELATEGPKKDSENSKSAAPVTDIEKKIRRAERFGITVQLSEQEKRNSRAERFGTSTGTGTGTGSTAQGSESDSVKKSEELKRKARAERFGIPVPPAASDEEAKKKSRLEKFTPAAKSNTLEDDKRKARALRFSQSSSGSLSINGKGDLEPKAAIAGEAGGGS >Potri.009G083000.1.v4.1 pep chromosome:Pop_tri_v4:9:7854324:7854922:1 gene:Potri.009G083000.v4.1 transcript:Potri.009G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083000.v4.1 MMSSKISLAFFTLITLSLILPSRAQDNPQDYLDAHNTARAAVGVGPLTWDTTVQAYAQNYANQRAGDCNLVHSGGPYGENIAWSSADLSGTDAVKMWVDEMAYYDYNSNSCASGQQCGHYTQVVWGNSVSLGCAKVTCSAGGTFIVCNYDPPGNVVGQKPY >Potri.015G100600.1.v4.1 pep chromosome:Pop_tri_v4:15:12014915:12016683:1 gene:Potri.015G100600.v4.1 transcript:Potri.015G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100600.v4.1 MGFRKALLITSILILFFTTGNAANSKLFREYIGAMSSSIKLSDVPVSSNVEFHFIIAFAIDYTGLENPSPTNGKFNAFWASSHVTPEEIASVKDKHSNVKVAVSLGGDSVGSKKAFFAPKSIDSWVQNAISSLTSMIKQYNLDGIDIDYEHFKSDPHTFAECVGRLITTLKKSRTISFASIAPYDDGEVQSHYLALWKKYGHAIDHVNFQFYAYEKSSVSQFVKHFDEQASNYGGGQILASFSTDDESEGGLDPDGGFFEACKELQGKEKLGGIFIWSADNSKKHGFEGEKNSQHLLAA >Potri.010G197800.2.v4.1 pep chromosome:Pop_tri_v4:10:19103428:19109849:-1 gene:Potri.010G197800.v4.1 transcript:Potri.010G197800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197800.v4.1 MAEGCNNGSSKPTATPYEEALDALSSLITKRSRADKSNKGDRFDLLFDYLKILELEEAISEMKIIHVAGTKGKGSTCTFTESILRNCGFHTGLFTSPHLIDVRERFRLDGMDICEEKFLAYFWWCFDRLKEKATEDIPMPTYFRFLALLAFKIFAAEQVDVAILEVGLGGKFDATNVVQKPIVCGISSLGYDHMEILGNTLAQIAGEKAGIFKDGIPAFTVPQPDEAMNVLVDKASKLNVTLKVAEPLDAKLLNGLKLSLEGEHQYLNAGLAIALSSTWLQRTGHHEFTCPEQASPLPEQFIKGLTTASLQGRAQKVPDQYINAERYGDLVFYLDGAHSPESMEMCARWFSLAVKEDSQKKAFNHPPQNNSGSTIELTQGNPKERDGKISMQILVFNCMSVRDPQLLLPRLMKACGNHGVYFKKALFVPNTSVYYKVGSHALPTDSQVDLSWQLALQRVWENLIQSDKGGEAKHADAVCEEGKDDTKMGGSTCENSTVFPSLPLAIKWLRESVQQNQSVRYQVLVTGSLHLVGDVLRLVKMCGTRPS >Potri.008G146200.1.v4.1 pep chromosome:Pop_tri_v4:8:9949018:9952552:1 gene:Potri.008G146200.v4.1 transcript:Potri.008G146200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G146200.v4.1 MAMEGSNIISSSSSSSSEDFAVGCLLSIKTTLGEEFDGQVMTFDRPSNILVLQEGSKHGPKRNIRFLKANYIKEFSFVGQAEDPLDIKKCYIDLHSLQAREELALRQAEADAERIGVGVTAEAQSIFDALSKTLPVRWDKTVIVVMNEVRVGSPYLAECVSGGTPAANDRVKKVLELERKRLQARGASR >Potri.006G062400.2.v4.1 pep chromosome:Pop_tri_v4:6:4451535:4453322:1 gene:Potri.006G062400.v4.1 transcript:Potri.006G062400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062400.v4.1 MGCQVHSSKLHVIVFCITVEEKQKYARAVNGSEGTVSKKDLRRINLCPENPNDFREIFHEYAVRLKIESFSDQFGDRGLVRGMFNFYPRCSRPDLVLGVKPRSDRSGITVLLQDREVEGLQIMSDGMFRSPLLRVVTNSELYKLYLEISSNNLNPEKDIGPVDCLVDEQRPRLYRNVKNYGLIYYEWHQKGNVAIDTEMLKIMASSTMSGTRKAMLQLTR >Potri.001G331300.2.v4.1 pep chromosome:Pop_tri_v4:1:33989849:33993186:-1 gene:Potri.001G331300.v4.1 transcript:Potri.001G331300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331300.v4.1 MHPSAAQFYKYLARPNFPPNPYILSPVNGSALNAQLRLKAPASPPGQNNPLSLQIPHFTEMGSSSTDGTRKKSLEMLRGPDDQLPEKLRHLEPHLLERIIYEIMDTDLNVCWDDIAGLEHAKKSVTQMVVWPLMRPDIFKGCRDLGSGLLLFGPPGTCKTMMAKTIAGEMKAAFFHLSARTLATKWVADSEKVVRTLFGIARCMQPAVIFCDEIDLILNKSTTYAHQYSRRLKMQFLTEMESMDNKTARILLIGATSRPHDLDDVALKHLTRRLHMPLPSPEARSYIISNLLKKDGLFSLSEKDLNTICCLTEGYSGSDMAILVKTAAMGPLRDAMKDGLKDIENLEAEKLRAVTLEDFWTSMQAVRPSVSPNETGAHAHWNLQFGSMSL >Potri.011G074868.1.v4.1 pep chromosome:Pop_tri_v4:11:14431319:14433251:-1 gene:Potri.011G074868.v4.1 transcript:Potri.011G074868.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074868.v4.1 MGLPWYHVHTVVLNDPGRLLAVHIMHTALVAGWAGSMALYELAVFDPSDPVLDPMWRQGMFVIPFMTRLGITNSWGGWSITGGTITNPGIWSYEGVAGAHIVFSGLCFLAAIWHWVYWDLEIFCDERTGKPSLDLPKIFGIHLFLSGVACFGFGAFHVTGLYGLGIWVSDPYGLTGKVQSVNPAWGVEGFDPFVLGGITSHHIAAGTLGILAGLFHLSVRPPQRLYKGLRMGNIETVLSSSIAAVFFAAFVVAGTMWYGSATTPIELFGPTRYQWDQGYFQQEIYRIVGTGLAENQSLSEAWSKIPEKLAFYDYIGNNPAKGGLFRAGSMDNGDGIAIGWLGHPLFRDKEGRELCVRRMPTFFETFSVVLVDGDGIVRADVPFRRVESKYSVEQVGVTVEFYGGELNGVSYSDPATVKKYARRAQLGEIFELDRATLKSDGVFRSSPKGWFTFGHASFALLFFFRHIWHGSRTLFRDVFADIDPDLDAQVEFGAFQKLGDPTTRRQVV >Potri.007G121900.1.v4.1 pep chromosome:Pop_tri_v4:7:13930647:13938467:1 gene:Potri.007G121900.v4.1 transcript:Potri.007G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121900.v4.1 MNRLTRSQLSLLNSPDSHRFFTTITTKLPLRHPQTTFNFSHSIYSRRKFPQIITFATKKPTNPIIADPNRNPMDPNPSKEDFVHIESPSNNDNHLSESIVDVANELSEDDNKNDVVMGRKELPEELSRSVMVLTCESKAEGGTCVVHLVGTAHVSQESCREVQAVVSYLKPQVVFLELCASRVAVLTPQNLKVPTMGEMIEMWKKNHNAFGILYSWFLAKVSDKLEVFPGSEFRVAFEEARKYEGKVVLGDRPVQITLQRTWGKMPLWHKVKLLYSLLFQALFLPSSEDLDKMLKEMDDVDMLTLVIQEMSKQFPTLMDTLVHERDQYMSSTLLRIAKEHTSVVAVVGKGHLQGIKKHWGQPFEMKDLMEIPSQKPAVSARKVLASLGVAVAGVAIVSGFYLSRKK >Potri.007G121900.2.v4.1 pep chromosome:Pop_tri_v4:7:13933680:13939271:1 gene:Potri.007G121900.v4.1 transcript:Potri.007G121900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121900.v4.1 MDPNPSKEDFVHIESPSNNDNHLSESIVDVANELSEDDNKNDVVMGRKELPEELSRSVMVLTCESKAEGGTCVVHLVGTAHVSQESCREVQAVVSYLKPQVVFLELCASRVAVLTPQNLKVPTMGEMIEMWKKNHNAFGILYSWFLAKVSDKLEVFPGSEFRVAFEEARKYEGKVVLGDRPVQITLQRTWGKMPLWHKVKLLYSLLFQALFLPSSEDLDKMLKEMDDVDMLTLVIQEMSKQFPTLMDTLVHERDQYMSSTLLRIAKEHTSVVAVVGKGHLQGIKKHWGQPFEMKDLMEIPSQKPAVSARKVLASLGVAVAGVAIVSGFYLSRKK >Potri.010G183951.1.v4.1 pep chromosome:Pop_tri_v4:10:18158820:18160935:-1 gene:Potri.010G183951.v4.1 transcript:Potri.010G183951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183951.v4.1 MPLNPTRSRLLRTLQHQAFNLPRTISIDPTSILDQYCFFFRGEWDRYWPFFDDFAITGTLNSCHQILYHPHRVGCEEFPICAEAQEMTTTISIEDVHWEVKYGKHYWGIRI >Potri.006G265100.1.v4.1 pep chromosome:Pop_tri_v4:6:26073793:26081034:-1 gene:Potri.006G265100.v4.1 transcript:Potri.006G265100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265100.v4.1 MGIYLSSPKTEKFSEDGQNGRLRYGLSSMQGWRATMEDAHAAITDLDATTSFFGVYDGHGGKVVAKFCAKFLHQQVLKNEAYAAGDIGTSVQKAFFRMDEMMCGQRGWRELASLGDKINKFTGMIEGLIWSPRCGDSNEQLDDWAFEEGPHSNFSGPTSGCTACVGIIRNNQLIVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLARAIGDVEFKQNKFLPVEKQIVTANPDINIVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEQLQVENKLSAVCERVLDRCLAPSIIGGEGCDNMTMIVVQFKKPIGSTASADEQSSQSEPAVAESKPVES >Potri.006G265100.2.v4.1 pep chromosome:Pop_tri_v4:6:26073793:26080972:-1 gene:Potri.006G265100.v4.1 transcript:Potri.006G265100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265100.v4.1 MDEMMCGQRGWRELASLGDKINKFTGMIEGLIWSPRCGDSNEQLDDWAFEEGPHSNFSGPTSGCTACVGIIRNNQLIVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLARAIGDVEFKQNKFLPVEKQIVTANPDINIVELCDDDDFLVLACDGIWDCMSSQQLVDFIHEQLQVENKLSAVCERVLDRCLAPSIIGGEGCDNMTMIVVQFKKPIGSTASADEQSSQSEPAVAESKPVES >Potri.003G219300.1.v4.1 pep chromosome:Pop_tri_v4:3:21387770:21390948:-1 gene:Potri.003G219300.v4.1 transcript:Potri.003G219300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G219300.v4.1 MGKFKSVCVFCGSKSGNKKIFSDAALDLGRELVERKMDLVYGGGSIGLMGLVSQTVYDGECHVLGVIPRALVPIEISGHTVGEVLIVSDMHERKAEMARRADAFIALPGGYGTFEELLEMITWSQLGIHNKPVGLLNVDGYYDSLLGFFDKGVEEGFIGPSARNIVISARTATELIQKMEDYIPLHEQVAPSHSWKVEGCNGNL >Potri.002G080600.3.v4.1 pep chromosome:Pop_tri_v4:2:5676532:5682750:-1 gene:Potri.002G080600.v4.1 transcript:Potri.002G080600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080600.v4.1 MPASPSFPASDGRGKWKRRKRGDSQITRKPPKHHHQEEPEEPEDDDDAVEADDHNNNIVYREDSEDPNPHQQPNGPDPNPQETEVLTDGGVRICDFPPVTRLAVNRPHASVMAIVAAERFNLAGESSNRGQLTLNLENVSYGQLQAVSAVTAESVGSDLERSDGGNSGYVVTPPQIMDGKGVVKRFWSRLHVVPMHSDWFSPLSVNRLERQVVPHFFSGKSLDHTPEKYMECRNRIVAKYMENPEKRLTVSDCQGLVVSIDIEDLTRIFRFLDHWGIINYCAAPPSCESWSGGSYLREDPNGEVHVPSASLKSIDSLIQFDKPRCRLKAADVYSSFSCHGDDFSDLDNRIRECLSENCCNCCSQPLPSVFYQSQKEVDILLCSDCFHEGRFVTGHSSLDFVKVDSTKDYGDIDGENWSDQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPVEDGLLENIEVPRMSKPPSPSSRDDSRRPHSSSNGSCLRSADAENRLPFANSGNPVMALVAFLASAVGPRVAAACAHASLAALSEDNRMDSERLHGREGGFHGEVANSIQQKEDGQHGSRGQNGAEVVPLSSEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQFLIWLQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERVRMLSTRIGPAGVTSQVNPAGVAPSMVNNNVGNNRQQVMPSSSSQPSIPGYGNSNPTHPHNNQQVHPHMSYLQRGHPQPMFPLGPRLPMAAIQPSSSAPSNVMYNAPGNSQPNLNQMPRSVSGPSSGLG >Potri.002G080600.4.v4.1 pep chromosome:Pop_tri_v4:2:5676536:5682774:-1 gene:Potri.002G080600.v4.1 transcript:Potri.002G080600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080600.v4.1 MPASPSFPASDGRGKWKRRKRGDSQITRKPPKHHHQEEPEEPEDDDDAVEADDHNNNIVYREDSEDPNPHQQPNGPDPNPQETEVLTDGGVRICDFPPVTRLAVNRPHASVMAIVAAERFNLAGESSNRGQLTLNLENVSYGQLQAVSAVTAESVGSDLERSDGGNSGYVVTPPQIMDGKGVVKRFWSRLHVVPMHSDWFSPLSVNRLERQVVPHFFSGKSLDHTPEKYMECRNRIVAKYMENPEKRLTVSDCQGLVVSIDIEDLTRIFRFLDHWGIINYCAAPPSCESWSGGSYLREDPNGEVHVPSASLKSIDSLIQFDKPRCRLKAADVYSSFSCHGDDFSDLDNRIRECLSENCCNCCSQPLPSVFYQSQKEVDILLCSDCFHEGRFVTGHSSLDFVKVDSTKDYGDIDGENWSDQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPVEDGLLENIEVPRMSKPPSPSSRDDSRRPHSSSNGSCLRSADAENRLPFANSGNPVMALVAFLASAVGPRVAAACAHASLAALSEDNRMDSERLHGREGGFHGEVANSIQQKEDGQHGSRGQNGAEVVPLSSEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERVRMLSTRIGPAGVTSQVNPAGVAPSMVNNNVGNNRQQVMPSSSSQPSIPGYGNSNPTHPHNNQQVHPHMSYLQRGHPQPMFPLGPRLPMAAIQPSSSAPSNVMYNAPGNSQPNLNQMPRSVSGPSSGLG >Potri.002G080600.1.v4.1 pep chromosome:Pop_tri_v4:2:5676548:5682774:-1 gene:Potri.002G080600.v4.1 transcript:Potri.002G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080600.v4.1 MAIVAAERFNLAGESSNRGQLTLNLENVSYGQLQAVSAVTAESVGSDLERSDGGNSGYVVTPPQIMDGKGVVKRFWSRLHVVPMHSDWFSPLSVNRLERQVVPHFFSGKSLDHTPEKYMECRNRIVAKYMENPEKRLTVSDCQGLVVSIDIEDLTRIFRFLDHWGIINYCAAPPSCESWSGGSYLREDPNGEVHVPSASLKSIDSLIQFDKPRCRLKAADVYSSFSCHGDDFSDLDNRIRECLSENCCNCCSQPLPSVFYQSQKEVDILLCSDCFHEGRFVTGHSSLDFVKVDSTKDYGDIDGENWSDQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPVEDGLLENIEVPRMSKPPSPSSRDDSRRPHSSSNGSCLRSADAENRLPFANSGNPVMALVAFLASAVGPRVAAACAHASLAALSEDNRMDSERLHGREGGFHGEVANSIQQKEDGQHGSRGQNGAEVVPLSSEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERVRMLSTRIGPAGVTSQVNPAGVAPSMVNNNVGNNRQQVMPSSSSQPSIPGYGNSNPTHPHNNQQVHPHMSYLQRGHPQPMFPLGPRLPMAAIQPSSSAPSNVMYNAPGNSQPNLNQMPRSVSGPSSGLG >Potri.002G080600.5.v4.1 pep chromosome:Pop_tri_v4:2:5676537:5682763:-1 gene:Potri.002G080600.v4.1 transcript:Potri.002G080600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080600.v4.1 MPASPSFPASDGRGKWKRRKRGDSQITRKPPKHHHQEEPEEPEDDDDAVEADDHNNNIVYREDSEDPNPHQQPNGPDPNPQETEVLTDGGVRICDFPPVTRLAVNRPHASVMAIVAAERFNLAGESSNRGQLTLNLENVSYGQLQAVSAVTAESVGSDLERSDGGNSGYVVTPPQIMDGKGVVKRFWSRLHVVPMHSDWFSPLSVNRLERQVVPHFFSGKSLDHTPEKYMECRNRIVAKYMENPEKRLTVSDCQGLVVSIDIEDLTRIFRFLDHWGIINYCAAPPSCESWSGGSYLREDPNGEVHVPSASLKSIDSLIQFDKPRCRLKAADVYSSFSCHGDDFSDLDNRIRECLSENCCNCCSQPLPSVFYQSQKEVDILLCSDCFHEGRFVTGHSSLDFVKVDSTKDYGDIDGENWSDQETLLLLEAMEIYNENWNEIAEHVGTKSKAQCILHFLRLPVEDGLLENIEVPRMSKPPSPSSRDDSRRPHSSSNGSCLRSADAENRLPFANSGNPVMALVAFLASAVGPRVAAACAHASLAALSEDNRMDSERLHGREGGFHGEVANSIQQKDGQHGSRGQNGAEVVPLSSEKVKAAAKAGLAAAATKAKLFADHEEREIQRLSANIINHQLKRLELKLKQFAEVETFLMRECEQVEKTRQRFAAERVRMLSTRIGPAGVTSQVNPAGVAPSMVNNNVGNNRQQVMPSSSSQPSIPGYGNSNPTHPHNNQQVHPHMSYLQRGHPQPMFPLGPRLPMAAIQPSSSAPSNVMYNAPGNSQPNLNQMPRSVSGPSSGLG >Potri.017G126500.1.v4.1 pep chromosome:Pop_tri_v4:17:13056815:13060524:-1 gene:Potri.017G126500.v4.1 transcript:Potri.017G126500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126500.v4.1 MGFCQGTCRNTKEPSNSSTCDALSASTIIAKDHSFDYDNELKMKGFLSKMFWQSGLACFLPNESDETIKKSNNKNGSLEHNKAWLLAESGGCGSGSELTNADPHSVHSSFRFSLCSQVELESMNMNSSASATVLMVNLDNGLNETRAKELKWRRIQSLERSISPVANSLVRFSYSEILAATNNFSKGRVLGRGALSFVFRGKVGFLRTAVAIKRLDKEDKEASKAFCRELMIASSLYHSNIVPLVGFCIDPEEGLFLVYKYVSGGSLERHLHDKKKVKVGVKGSSGLSWSVRYKVALGIAQAIAYLHNGTERCVVHRDIKPSNILLSSKKIPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKISDKTDVYAFGVVLLELITGRKPIEARRPPGEENLVLWAKPLLQKGKGAIEELLDPRLECTLRNTTQIIQMIQAATACVSNEESRRPGIDEIIGMLRGEEQPIYSNRKKSNFSGIIDCYPQLQQTKSEMNSHLALAMLGVSEFEDDDHLCCR >Potri.006G235450.1.v4.1 pep chromosome:Pop_tri_v4:6:23778822:23779623:-1 gene:Potri.006G235450.v4.1 transcript:Potri.006G235450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235450.v4.1 MGTSSVHNSVLDWPTRFQIAIGAARGLSYMHHDCSTPIIHRDVKSSNILLDSELKARIADFGLARILAKQGEVHTMSVVAGSFGYMAPEYAYTTRVNEKIDVYSFGVVLLELATGREPNSGDEHTSLAEWAWQQFGQGKPVVDCLDQEIKEPCFLQEMTTVFNLGLICTHSSPSTRPSMKEVLEILRRASADSNGEKKTGAELDVVPLLGTVTYLSATTQHETAR >Potri.003G078700.2.v4.1 pep chromosome:Pop_tri_v4:3:10578436:10580755:-1 gene:Potri.003G078700.v4.1 transcript:Potri.003G078700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078700.v4.1 MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRANWKRNNTLSLRRYR >Potri.001G087001.1.v4.1 pep chromosome:Pop_tri_v4:1:6930898:6931197:1 gene:Potri.001G087001.v4.1 transcript:Potri.001G087001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087001.v4.1 MGNQVRRNLMLGCYVLYYGRLEMDLEGLAERLRQEMELINETELVLGSTALSHSYFLQVENQKGLTAMGSQKPRARKRFRNHVWAVLFVKGSTSQGTAR >Potri.006G058700.1.v4.1 pep chromosome:Pop_tri_v4:6:4127715:4131021:1 gene:Potri.006G058700.v4.1 transcript:Potri.006G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058700.v4.1 MNPLAAPDHKDDFKLKDTKPQLGERWPHGGPRGGGGWISSERATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGSCDPYIEVKVGNYKGETQHFEKKTNPEWKQVFAFSKERIQSSVVEVILRDRERVKRDDHVGKVVFDMHEVPTRVPPDSPLAPQWYRLEALHGDNKVKGEVMLAVWMGTQADEAFPEAWHSDAASVHREGVLNIRSKVYVSPKLWYLRVNVIEAQDVEPLDRSQLPQVFVKAQVGNQILKTKLCPTRTTNPMWNEDLIFVAAEPFEEQLILTVENKASPAKDEVVGRVDLPLQIFERRLDYRPVHSKWFNLERFGFGALEGDKGHELKFSVRLHLRVCLEGAYHVLDESTMYISDQRPTAWQLWKQPIGILEVGVLSAQGLLPMKTKEGRGTTDAYCVAKYGLKWVRTRTIIENFNPKWNEQYTWEVYDPSTVITFGVFDNCHLGGGEKPATGGGARIDSRIGKVRIRLSTLETDRIYTNSYPLLVLQPSGLKKMGELQLAVRFTCLSLANMIYLYGHPMLPKMHYLHPFTVNQLDSLRYQAMNIVAVRLGRAEPPLRKEIVEYMLDVDSHMWSMRRSKANFFRIVSLFSGVISISKWLGEVCKWKNPVTTVLVHVLFFILVCYPELILPTIFLYMFLIGIWNYRLRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSKQQDVARMRYDRLRSVAGRIQTVMGDMATQGERFQALLSWRDPRATSLFVIFCLIAAVVLYVTPFKIITLVTGLFWLRHPRFRSKQPSVPSNFFRRLPSRADSML >Potri.017G074000.1.v4.1 pep chromosome:Pop_tri_v4:17:8156747:8163152:-1 gene:Potri.017G074000.v4.1 transcript:Potri.017G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074000.v4.1 MGTEENSKKSRDHVGSIRSIFMHADRVDWLLMVLGFIGSIGDGFSTPLVLFVTSKLMNNLGGASSSAEAFTHSINKNALALCYLACGQWVVSFLEGYCWTRTGERQATRMRARYLKAVLRQDVGYFDLHVTSTAEVITSVSNDSLVIQDVLSEKVPNFLMNVAMFFGCYIIGFVLLWRLAIVGLPFVVILVIPGLVYGRTLMGIARKTREEYNKSGTIAEQAISSIRTVFAFVSEAKTIAAYSAALEFSVKLGLRQGLAKGLAIGSNGVVFGIWSFMSYYGSRMVMYHGSAGGTVFAVGAAIAVGGLALGAGLSNVKYFSEASSAGERIVEMINRVPKIDLENMEGETLENVTGEVEFRHVEFAYPSRPESMIFKDFCLRIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILVDGIAVDKLQLKWLRSQMGLVSQEPALFATTIKENILFGKEDATINEVVEAAKASNAHNFISHLPQEYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQDGQILESGSHGELIENENGLYTSLVLLQQTEKEKTNEDASTDISSPSLVSNMDVNNASSRRLSIVSRSSSQNSVTPSRASLTAGENALVEEQQLPVPSFRRLLALNLPEWKQASIGCLGAIIFGGVQPLYAFTMGSMISIYFLADHNEIKEKIRIYSLCFLGLAFLSLIVNVLQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDKNSSGAICSRLATDANVVRSLVGDRMALIVQTISAVTIACTMGLIIAWRLAVVMIAVQPIIIVCFYVRRVLLTSMSQKAIKAQDESTKLAADAVSNLRTITAFSSQDRILKMLGKAQEGPRKENIRQSWYAGIGLGTSQSLMSCTWALDFWYGGRLISQGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDSIRSVFAVLDRYTRIEPEDPEGYQPGEIKGHVELCDVDFAYPARPDVRIFKGFSISIEAGKSTALVGQSGSGKSTIIGLIERFYDPLRGTVKIDGRDIRSYHLRSLRKYIALVSQEPTLFAGTVKENIIYGAANEVSESEVMEAAKAANAHDFIAGLKDGYDTWCGDKGVQLSGGQKQRIAIARAILKNPVVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGKVVEKGTHSSLFSKRPTGIYYSFVRLQAQRTTQNSATATTAAFS >Potri.017G074000.5.v4.1 pep chromosome:Pop_tri_v4:17:8158633:8163010:-1 gene:Potri.017G074000.v4.1 transcript:Potri.017G074000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074000.v4.1 MGTEENSKKSRDHVGSIRSIFMHADRVDWLLMVLGFIGSIGDGFSTPLVLFVTSKLMNNLGGASSSAEAFTHSINKNALALCYLACGQWVVSFLEGYCWTRTGERQATRMRARYLKAVLRQDVGYFDLHVTSTAEVITSVSNDSLVIQDVLSEKVPNFLMNVAMFFGCYIIGFVLLWRLAIVGLPFVVILVIPGLVYGRTLMGIARKTREEYNKSGTIAEQAISSIRTVFAFVSEAKTIAAYSAALEFSVKLGLRQGLAKGLAIGSNGVVFGIWSFMSYYGSRMVMYHGSAGGTVFAVGAAIAVGGLALGAGLSNVKYFSEASSAGERIVEMINRVPKIDLENMEGETLENVTGEVEFRHVEFAYPSRPESMIFKDFCLRIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILVDGIAVDKLQLKWLRSQMGLVSQEPALFATTIKENILFGKEDATINEVVEAAKASNAHNFISHLPQEYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQDGQILESGSHGELIENENGLYTSLVLLQQTEKEKTNEDASTDISSPSLVSNMDVNNASSRRLSIVSRSSSQNSVTPSRASLTAGENALVEEQQLPVPSFRRLLALNLPEWKQASIGCLGAIIFGGVQPLYAFTMGSMISIYFLADHNEIKEKIRIYSLCFLGLAFLSLIVNVLQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDKNSSGAICSRLATDANVVCIF >Potri.017G074000.4.v4.1 pep chromosome:Pop_tri_v4:17:8156627:8161390:-1 gene:Potri.017G074000.v4.1 transcript:Potri.017G074000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074000.v4.1 MINRVPKIDLENMEGETLENVTGEVEFRHVEFAYPSRPESMIFKDFCLRIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILVDGIAVDKLQLKWLRSQMGLVSQEPALFATTIKENILFGKEDATINEVVEAAKASNAHNFISHLPQEYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQDGQILESGSHGELIENENGLYTSLVLLQQTEKEKTNEDASTDISSPSLVSNMDVNNASSRRLSIVSRSSSQNSVTPSRASLTAGENALVEEQQLPVPSFRRLLALNLPEWKQASIGCLGAIIFGGVQPLYAFTMGSMISIYFLADHNEIKEKIRIYSLCFLGLAFLSLIVNVLQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDKNSSGAICSRLATDANVVRSLVGDRMALIVQTISAVTIACTMGLIIAWRLAVVMIAVQPIIIVCFYVRRVLLTSMSQKAIKAQDESTKLAADAVSNLRTITAFSSQDRILKMLGKAQEGPRKENIRQSWYAGIGLGTSQSLMSCTWALDFWYGGRLISQGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDSIRSVFAVLDRYTRIEPEDPEGYQPGEIKGHVELCDVDFAYPARPDVRIFKGFSISIEAGKSTALVGQSGSGKSTIIGLIERFYDPLRGTVKIDGRDIRSYHLRSLRKYIALVSQEPTLFAGTVKENIIYGAANEVSESEVMEAAKAANAHDFIAGLKDGYDTWCGDKGVQLSGGQKQRIAIARAILKNPVVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGKVVEKGTHSSLFSKRPTGIYYSFVRLQAQRTTQNSATATTAAFS >Potri.017G074000.3.v4.1 pep chromosome:Pop_tri_v4:17:8156629:8161929:-1 gene:Potri.017G074000.v4.1 transcript:Potri.017G074000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074000.v4.1 MGIARKTREEYNKSGTIAEQAISSIRTVFAFVSEAKTIAAYSAALEFSVKLGLRQGLAKGLAIGSNGVVFGIWSFMSYYGSRMVMYHGSAGGTVFAVGAAIAVGGLALGAGLSNVKYFSEASSAGERIVEMINRVPKIDLENMEGETLENVTGEVEFRHVEFAYPSRPESMIFKDFCLRIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILVDGIAVDKLQLKWLRSQMGLVSQEPALFATTIKENILFGKEDATINEVVEAAKASNAHNFISHLPQEYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQDGQILESGSHGELIENENGLYTSLVLLQQTEKEKTNEDASTDISSPSLVSNMDVNNASSRRLSIVSRSSSQNSVTPSRASLTAGENALVEEQQLPVPSFRRLLALNLPEWKQASIGCLGAIIFGGVQPLYAFTMGSMISIYFLADHNEIKEKIRIYSLCFLGLAFLSLIVNVLQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDKNSSGAICSRLATDANVVRSLVGDRMALIVQTISAVTIACTMGLIIAWRLAVVMIAVQPIIIVCFYVRRVLLTSMSQKAIKAQDESTKLAADAVSNLRTITAFSSQDRILKMLGKAQEGPRKENIRQSWYAGIGLGTSQSLMSCTWALDFWYGGRLISQGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDSIRSVFAVLDRYTRIEPEDPEGYQPGEIKGHVELCDVDFAYPARPDVRIFKGFSISIEAGKSTALVGQSGSGKSTIIGLIERFYDPLRGTVKIDGRDIRSYHLRSLRKYIALVSQEPTLFAGTVKENIIYGAANEVSESEVMEAAKAANAHDFIAGLKDGYDTWCGDKGVQLSGGQKQRIAIARAILKNPVVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGKVVEKGTHSSLFSKRPTGIYYSFVRLQAQRTTQNSATATTAAFS >Potri.017G074000.2.v4.1 pep chromosome:Pop_tri_v4:17:8156679:8162339:-1 gene:Potri.017G074000.v4.1 transcript:Potri.017G074000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074000.v4.1 MRARYLKAVLRQDVGYFDLHVTSTAEVITSVSNDSLVIQDVLSEKVPNFLMNVAMFFGCYIIGFVLLWRLAIVGLPFVVILVIPGLVYGRTLMGIARKTREEYNKSGTIAEQAISSIRTVFAFVSEAKTIAAYSAALEFSVKLGLRQGLAKGLAIGSNGVVFGIWSFMSYYGSRMVMYHGSAGGTVFAVGAAIAVGGLALGAGLSNVKYFSEASSAGERIVEMINRVPKIDLENMEGETLENVTGEVEFRHVEFAYPSRPESMIFKDFCLRIPAGKTVALVGGSGSGKSTVIALLQRFYDPLGGEILVDGIAVDKLQLKWLRSQMGLVSQEPALFATTIKENILFGKEDATINEVVEAAKASNAHNFISHLPQEYDTQVGERGVQMSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQDGQILESGSHGELIENENGLYTSLVLLQQTEKEKTNEDASTDISSPSLVSNMDVNNASSRRLSIVSRSSSQNSVTPSRASLTAGENALVEEQQLPVPSFRRLLALNLPEWKQASIGCLGAIIFGGVQPLYAFTMGSMISIYFLADHNEIKEKIRIYSLCFLGLAFLSLIVNVLQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDKNSSGAICSRLATDANVVRSLVGDRMALIVQTISAVTIACTMGLIIAWRLAVVMIAVQPIIIVCFYVRRVLLTSMSQKAIKAQDESTKLAADAVSNLRTITAFSSQDRILKMLGKAQEGPRKENIRQSWYAGIGLGTSQSLMSCTWALDFWYGGRLISQGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDSIRSVFAVLDRYTRIEPEDPEGYQPGEIKGHVELCDVDFAYPARPDVRIFKGFSISIEAGKSTALVGQSGSGKSTIIGLIERFYDPLRGTVKIDGRDIRSYHLRSLRKYIALVSQEPTLFAGTVKENIIYGAANEVSESEVMEAAKAANAHDFIAGLKDGYDTWCGDKGVQLSGGQKQRIAIARAILKNPVVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGKVVEKGTHSSLFSKRPTGIYYSFVRLQAQRTTQNSATATTAAFS >Potri.017G074000.6.v4.1 pep chromosome:Pop_tri_v4:17:8156746:8159893:-1 gene:Potri.017G074000.v4.1 transcript:Potri.017G074000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074000.v4.1 MSGGQKQRIAIARAIIKAPRILLLDEATSALDSESERVVQEALDKAAVGRTTIIIAHRLSTIRNADVIAVVQDGQILESGSHGELIENENGLYTSLVLLQQTEKEKTNEDASTDISSPSLVSNMDVNNASSRRLSIVSRSSSQNSVTPSRASLTAGENALVEEQQLPVPSFRRLLALNLPEWKQASIGCLGAIIFGGVQPLYAFTMGSMISIYFLADHNEIKEKIRIYSLCFLGLAFLSLIVNVLQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDKNSSGAICSRLATDANVVRSLVGDRMALIVQTISAVTIACTMGLIIAWRLAVVMIAVQPIIIVCFYVRRVLLTSMSQKAIKAQDESTKLAADAVSNLRTITAFSSQDRILKMLGKAQEGPRKENIRQSWYAGIGLGTSQSLMSCTWALDFWYGGRLISQGYITAKALFETFMILVSTGRVIADAGSMTTDLAKGSDSIRSVFAVLDRYTRIEPEDPEGYQPGEIKGHVELCDVDFAYPARPDVRIFKGFSISIEAGKSTALVGQSGSGKSTIIGLIERFYDPLRGTVKIDGRDIRSYHLRSLRKYIALVSQEPTLFAGTVKENIIYGAANEVSESEVMEAAKAANAHDFIAGLKDGYDTWCGDKGVQLSGGQKQRIAIARAILKNPVVLLLDEATSALDSQSEKVVQDALERVMVGRTSVVVAHRLSTIQNCDLIAVLDKGKVVEKGTHSSLFSKRPTGIYYSFVRLQAQRTTQNSATATTAAFS >Potri.009G094600.1.v4.1 pep chromosome:Pop_tri_v4:9:8569416:8570361:1 gene:Potri.009G094600.v4.1 transcript:Potri.009G094600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094600.v4.1 MFRHVYVLSLLVLLAINTVSAVDYTVTNRATATAGGARFITDIGVDYSKQTLASATDFIWETFQQSNAADRKNIQTVNLFIDVMDGVAYSVNDEIHVSDSYIASYSGDVRTEITGVLYHEMTHIWQWNGNGQAPGGLIEGIADFVRLKANYAPSHWVQPGQGDRWDQGYDVTAKFLDYCNDLRNGFVAELNKKMMTGYSAQYFVDLLGKTVDQLWTDYKARYGQ >Potri.006G257000.1.v4.1 pep chromosome:Pop_tri_v4:6:25408573:25413299:1 gene:Potri.006G257000.v4.1 transcript:Potri.006G257000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257000.v4.1 MGWRYRAGLFLIAAVVIIWVTSAEVTQDIFADYKQPFAVTYLGASLLVVYLPVAFLKDWTRNLLKRQSSKSGNDATNVNGSSDELSSPLSRKIFEMELQGTLTKKDSELDLASSEEGKPLVSRHKDDLNVLIHDKEPTIREIAMCGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGVFLGQDSLNAAKVIAVLVSMAGVAMTTLGKTWAADESPLSASTNGKRSLVGDLFGLLSAVSYGLFTVLLKKFAGEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKVDEIVLANGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMLADMVIHGRHYSAIYILGSAQVFAGFMIANLSNWFSKKLGL >Potri.003G085400.2.v4.1 pep chromosome:Pop_tri_v4:3:11168074:11168622:1 gene:Potri.003G085400.v4.1 transcript:Potri.003G085400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085400.v4.1 MGRSFTLVQTVTTAGVFSAVSYWYSSTFLSSVNQLFFLFDFIFGGCRYGFMFGRESARKELGDLIEDLRRGNPNSIEENQLGKSLET >Potri.007G014600.2.v4.1 pep chromosome:Pop_tri_v4:7:1086636:1089112:1 gene:Potri.007G014600.v4.1 transcript:Potri.007G014600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014600.v4.1 MVSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Potri.007G005600.2.v4.1 pep chromosome:Pop_tri_v4:7:396146:396740:-1 gene:Potri.007G005600.v4.1 transcript:Potri.007G005600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005600.v4.1 MGNCQAAEAATVVIQHPGNKIERIYWSVSAHEIMNSNPGHYVALVVTSPTTRTENGLPLKQLKLLRPDDTLLIGHVYRLVSFEDVLKEFAAKKCVKLGKLLKESRGLGGEMKRKNSSDLLNPISNSDNSNSIKVTWPRSFKSFFFLPGRDRASSLLILCLLNSLKHLSFQLESRF >Potri.007G005600.1.v4.1 pep chromosome:Pop_tri_v4:7:394659:396740:-1 gene:Potri.007G005600.v4.1 transcript:Potri.007G005600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005600.v4.1 MGNCQAAEAATVVIQHPGNKIERIYWSVSAHEIMNSNPGHYVALVVTSPTTRTENGLPLKQLKLLRPDDTLLIGHVYRLVSFEDVLKEFAAKKCVKLGKLLKESRGLGGEMKRKNSSDLLNPISNSDNSNSIKVEQEVNRLGSNGGSTSSSSSRGGGVGMHYGVGAGGGGQWKPALQSISETGT >Potri.007G068800.2.v4.1 pep chromosome:Pop_tri_v4:7:8927997:8933454:1 gene:Potri.007G068800.v4.1 transcript:Potri.007G068800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068800.v4.1 MAEVKEEVKSFKDLGICDQLEKEEVKSFKDLGICDQLVEACDSLGWKNPTKIQVEAVPHALEGKDLIGLAQTGSGKTGAFALPILQALLESSQKSVQPFFACVLSPTRELAIQIAEQFEALGSGIGLRCGVLVGGVDIVQQTLILAKRPHIVVATPGRLLDHLSNTKGFSLRTLKYLVLDEADRLLNEEFEKSLDEILNVIPRDRKTYLFSATMTKKVKKLQRACLRNPVKIEAASKYSTVDTLKQQYRFVPSKHKDCYLVYILTEMSNSTAMVFTRTCDATSFLALVLRNLGLRAIPINGHMSQPKRLGALNKFKARECNVLICTDVASRGLDIPSVDMVINYDVPSNSKDYIHRVGRTARAGRSGVAISLVNQYELEWYLQIENLIGKKLPEFPAQEEEVLMLLDRVIDAKRISHTKLKEGGGKKRRGGDDEEEDDVEKYLGFKHKKSKKFKKGDKQHVK >Potri.006G219000.1.v4.1 pep chromosome:Pop_tri_v4:6:22425241:22426182:1 gene:Potri.006G219000.v4.1 transcript:Potri.006G219000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219000.v4.1 MDSQNYNVYVSAIYSSNPRNSSASVSTNCSMFSIKLQVMTSYVSDVTVYMPMKHNLLESFTKSRSPVPQTIRDHGPQKSFTFESCHSLTRDIISGVISEANIGFSLDRIQWKGNPREDWTRLKNQDDLFRCILDIITHSYDNEFTLFLMIDRKIILPRHVYERMYYARSVLREARDATRMVSFMNQQQASLGRPFLPSIWQNTIHQPLRDRGEKLGFNSYESLIDLAFRQYAEQEGCKLTPATKSSIQSLEEVIFDGIESTTFCTICLENMEIGSPVTCMPCSHRHKFHNPCVVLWLEISHVCPLCRFELPTE >Potri.002G100550.2.v4.1 pep chromosome:Pop_tri_v4:2:7345396:7346451:1 gene:Potri.002G100550.v4.1 transcript:Potri.002G100550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100550.v4.1 MIQRKLFFLRMWIEKKLSQLLLIWVLIKLLVWMGFRITFFNLNGILRAMVCISLCRVFSVADDTFPTFGPKVQKLDVVSQFLGLLALRSQLCPGQTHYR >Potri.017G107900.1.v4.1 pep chromosome:Pop_tri_v4:17:11663381:11664886:1 gene:Potri.017G107900.v4.1 transcript:Potri.017G107900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107900.v4.1 MEGHRKAKAVRYQACMVDEIVFLNRRSSLYHAAPEFLVYSELLHTKWPYIHGPKSVKPEWLANYGESSCDFSEVEHHKPFYHPETDQAFHAIVPFFTLHLWELLQCYLPFKR >Potri.006G245800.6.v4.1 pep chromosome:Pop_tri_v4:6:24623039:24630259:-1 gene:Potri.006G245800.v4.1 transcript:Potri.006G245800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245800.v4.1 MKGHEPKMPRMEDILSLPVQDPPCAEFSAAHIKWEKVEGGRQGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESTMGDGSHTKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPFLALIIYNQRKHVDKTGAPCHGILDVDAVGTRAMYAPRISEELRQKVMSLLYIGISLDNIIQHHAEVVQGHGGPLNRDDLLTRNDVRNMERIVRNSSYKLHADDEFSVKMWVQRHQKHVFFFQDMSSTEPFILGIQTDWQLQQMIRYGHSGSVASHSTFGLKKLKYPLCTLLVFDSSQNAIPVAWIVASSFVTQDIHKWIGSLAERIRSKDPRWRPNAFLVDDPSFDISFIREAFQCRFLLCAWHVRRAWMRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNADNAVEEFMQVYVDQCIFMDYFKRRWLPFIELWINSIRSLPVASTEPLAAIESYHLRLKSKLFDQQYANSYTRIDWLIHTLTTEFHSLYWLDQYSAETGYFTNIRDKSFLTNAWYQALLIPDVDVILDEQNLQLAKVISQTNRSLAYTIWNPGSEFALCDCPWSRQGNLCKHVIKVAILCKNRQVARPLLASQVYRQALLTLLQNPPDDPLVLEHAILRVSRLQQDIKGLEDLSNNGLLQPSPPEMNTQVGDSLLLFPHLH >Potri.006G245800.8.v4.1 pep chromosome:Pop_tri_v4:6:24624200:24630262:-1 gene:Potri.006G245800.v4.1 transcript:Potri.006G245800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245800.v4.1 MKGHEPKMPRMEDILSLPVQDPPCAEFSAAHIKWEKVEGGRQGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESTMGDGSHTKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPFLALIIYNQRKHVDKTGAPCHGILDVDAVGTRAMYAPRISEELRQKVMSLLYIGISLDNIIQHHAEVVQGHGGPLNRDDLLTRNDVRNMERIVRNSSYKLHADDEFSVKMWVQRHQKHVFFFQDMSSTEPFILGIQTDWQLQQMIRYGHSGSVASHSTFGLKKLKYPLCTLLVFDSSQNAIPVAWIVASSFVTQDIHKWIGSLAERIRSKDPRWRPNAFLVDDPSFDISFIREAFQCRFLLCAWHVRRAWMRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNADNAVEEFMQVYVDQCIFMDYFKRRWLPFIELWINSIRSLPVASTEPLAAIESYHLRLKSKLFDQQYANSYTRIDWLIHTLTTEFHSLYWLDQYSAETGYFTNIRDKSFLTNAWYQALLIPDVDVILDEQNLQLAKVISQTNRSLAYTIWNPGSEFALCDCPWSRQGNLCKHVIKVAILCKNRQVARPLLASQVYRQALLTLLQNPPDDPLVLEHAILRVSRLQQDIKGLEDLSNNGLLQPSPPEMNTQLESFSIWPRF >Potri.006G245800.9.v4.1 pep chromosome:Pop_tri_v4:6:24623131:24630294:-1 gene:Potri.006G245800.v4.1 transcript:Potri.006G245800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245800.v4.1 MPRMEDILSLPVQDPPCAEFSAAHIKWEKVEGGRQGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESTMGDGSHTKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPFLALIIYNQRKHVDKTGAPCHGILDVDAVGTRAMYAPRISEELRQKVMSLLYIGISLDNIIQHHAEVVQGHGGPLNRDDLLTRNDVRNMERIVRNSSYKLHADDEFSVKMWVQRHQKHVFFFQDMSSTEPFILGIQTDWQLQQMIRYGHSGSVASHSTFGLKKLKYPLCTLLVFDSSQNAIPVAWIVASSFVTQDIHKWIGSLAERIRSKDPRWRPNAFLVDDPSFDISFIREAFQCRFLLCAWHVRRAWMRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNADNAVEEFMQVYVDQCIFMDYFKRRWLPFIELWINSIRSLPVASTEPLAAIESYHLRLKSKLFDQQYANSYTRIDWLIHTLTTEFHSLYWLDQYSAETGYFTNIRDKSFLTNAWYQALLIPDVDVILDEQNLQLAKVISQTNRSLAYTIWNPGSEFALCDCPWSRQGNLCKHVIKVAILCKNRQVARPLLASQVYRQALLTLLQNPPDDPLVLEHAILRVSRLQQDIKGLEDLSNNGLLQPSPPEMNTQLESFSIWPRF >Potri.006G245800.10.v4.1 pep chromosome:Pop_tri_v4:6:24624189:24630243:-1 gene:Potri.006G245800.v4.1 transcript:Potri.006G245800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245800.v4.1 MGDGSHTKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPFLALIIYNQRKHVDKTGAPCHGILDVDAVGTRAMYAPRISEELRQKVMSLLYIGISLDNIIQHHAEVVQGHGGPLNRDDLLTRNDVRNMERIVRNSSYKLHADDEFSVKMWVQRHQKHVFFFQDMSSTEPFILGIQTDWQLQQMIRYGHSGSVASHSTFGLKKLKYPLCTLLVFDSSQNAIPVAWIVASSFVTQDIHKWIGSLAERIRSKDPRWRPNAFLVDDPSFDISFIREAFQCRFLLCAWHVRRAWMRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNADNAVEEFMQVYVDQCIFMDYFKRRWLPFIELWINSIRSLPVASTEPLAAIESYHLRLKSKLFDQQYANSYTRIDWLIHTLTTEFHSLYWLDQYSAETGYFTNIRDKSFLTNAWYQALLIPDVDVILDEQNLQLAKVISQTNRSLAYTIWNPGSEFALCDCPWSRQGNLCKHVIKVAILCKNRQVARPLLASQVYRQALLTLLQNPPDDPLVLEHAILRVSRLQQDIKGLEDLSNNGLLQPSPPEMNTQVGDSLLLFPHLH >Potri.006G245800.5.v4.1 pep chromosome:Pop_tri_v4:6:24624529:24630256:-1 gene:Potri.006G245800.v4.1 transcript:Potri.006G245800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245800.v4.1 MKGHEPKMPRMEDILSLPVQDPPCAEFSAAHIKWEKVEGGRQGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESTMGDGSHTKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPFLALIIYNQRKHVDKTGAPCHGILDVDAVGTRAMYAPRISEELRQKVMSLLYIGISLDNIIQHHAEVVQGHGGPLNRDDLLTRNDVRNMERIVRNSSYKLHADDEFSVKMWVQRHQKHVFFFQDMSSTEPFILGIQTDWQLQQMIRYGHSGSVASHSTFGLKKLKYPLCTLLVFDSSQNAIPVAWIVASSFVTQDIHKWIGSLAERIRSKDPRWRPNAFLVDDPSFDISFIREAFQCRFLLCAWHVRRAWMRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNADNAVEEFMQVYVDQCIFMDYFKRRWLPFIELWINSIRSLPVASTEPLAAIESYHLRLKSKLFDQQYANSYTRIDWLIHTLTTEFHSLYWLDQYSAETGYFTNIRDKSFLTNAWYQALLIPDVDVILDEQNLQLAKVISQTNRSLAYTIWNPGSEFALCDCPWSRQGNLCKHVIKVAILCKNRQVARPLLASQVYRQALLTLLQNPPDDPLVLEHAILRVSRLQQDIKGLEDLSNNGLLQPSPPEMNTQVGDSLLLFPHLH >Potri.006G245800.7.v4.1 pep chromosome:Pop_tri_v4:6:24622916:24630330:-1 gene:Potri.006G245800.v4.1 transcript:Potri.006G245800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245800.v4.1 MKGHEPKMPRMEDILSLPVQDPPCAEFSAAHIKWEKVEGGRQGGDDIALIPFARVDDFVKGESSNAECPASFRIESRRKRSEGSISKPRVDGYLEYTLYWCSYGPEDYRDSESTMGDGSHTKPATGKGSRPGRRHMMRGCLCHFTVKRLYTRPFLALIIYNQRKHVDKTGAPCHGILDVDAVGTRAMYAPRISEELRQKVMSLLYIGISLDNIIQHHAEVVQGHGGPLNRDDLLTRNDVRNMERIVRNSSYKLHADDEFSVKMWVQRHQKHVFFFQDMSSTEPFILGIQTDWQLQQMIRYGHSGSVASHSTFGLKKLKYPLCTLLVFDSSQNAIPVAWIVASSFVTQDIHKWIGSLAERIRSKDPRWRPNAFLVDDPSFDISFIREAFQCRFLLCAWHVRRAWMRSLLKKCCNIDVQREMFKHLGWILYSTRSGPNADNAVEEFMQVYVDQCIFMDYFKRRWLPFIELWINSIRSLPVASTEPLAAIESYHLRLKSKLFDQQYANSYTRIDWLIHTLTTEFHSLYWLDQYSAETGYFTNIRDKSFLTNAWYQALLIPDVDVILDEQNLQLAKVISQTNRSLAYTIWNPGSEFALCDCPWSRQGNLCKHVIKVAILCKNRQVARPLLASQVYRQALLTLLQNPPDDPLVLEHAILRVSRLQQDIKGLEDLSNNGLLQPSPPEMNTQLESFSIWPRF >Potri.010G125400.1.v4.1 pep chromosome:Pop_tri_v4:10:14283457:14287691:1 gene:Potri.010G125400.v4.1 transcript:Potri.010G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125400.v4.1 MPEYCVTGGTGFIAAYLVKSLLEKGHTVRITVRDPGNVRKVGFLQEFNGAKERLKIFKAELLEEGSFDEAIQGVDGVFHVAAPVLVPYSDRIQETLIDPCIKGTLNVLNSCLKASSVKRVVFTSSSSTVRYRDDTPQIFSLNESHWSDTEYCKRHNLWYAYAKTVAEKEAWRVSKENGIDLVSFIPSFVVGPLLAPEPNSTLLLIQSVVKGSRGEYPNMTVGFTHIDDVVAGNILAMENSEASGRLVCSGPVAHWSQIIKMLRAKYPSYPYENKCSSQEGDNIPHSMDTTKIAQLGLPPFKTHEQMFDDCIRSLQEKGFL >Potri.006G277300.1.v4.1 pep chromosome:Pop_tri_v4:6:26821632:26825030:-1 gene:Potri.006G277300.v4.1 transcript:Potri.006G277300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277300.v4.1 MSVPSLMAMIRHSFDKDVDQVLFQGNSYVKKIILNRPQKLNCINHHMISEMTKKLKVYEIDPEVKVVVLKGNGKAFCAGGDVVASYIYMVAGHWSYGANTYKKKLILDYAVATYGKPVVALIDGIVMGAGAGLSMHGAFKIVTENTVFAMPETAIGHFVDVGASHFLSRLPGFLGEYLGLTGTTIRGEGMVACGLATHFVLSKDLHLVESALDEVTSSDTNKISKIISKFEHKPNVKQDDVYSRLEIINKCFSRKTVEEILSSLEIEAGNRADKWVLEAIKSMKAGSPISLKISLKSIREGRMQALDQCLAREYNIFCHIMRRTVSIDFFEGIRAKFLDKDENPKWEPARLELVSDEMVGQYFSRVDEDDWETLQLPARSSSVDIMRPRL >Potri.015G146500.1.v4.1 pep chromosome:Pop_tri_v4:15:15061783:15066009:-1 gene:Potri.015G146500.v4.1 transcript:Potri.015G146500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146500.v4.1 MSEAGMTVVKPQMKSYIWLQTADGSIQQVEEEVAMFCPMICREIIQTGMGSSKNYAISLPQRVNPAILGLILDYCRFHQVPGRSNKERKTFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLRNITDDPRIRLLNRLYARKRKELKEREKLKDVEVEEERADDRSVEDLLSFINGADGDSKGCRTSKNKKKNRRKKDQSKDSSSNNSNENQKKESDTLPSACPNDEVSDILAASPSRTSNSQDFDDAGIDDDLDPAKMEELDREVEDFARRLNSDWPERMQEILSLGPERRLVSMNVNGSLRRYSGLDRR >Potri.001G194000.1.v4.1 pep chromosome:Pop_tri_v4:1:18002844:18005627:1 gene:Potri.001G194000.v4.1 transcript:Potri.001G194000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G194000.v4.1 MATVPGQLIWEIVKKNNSFLVKQFGRGTASLQFSKENNNLYNLNSYKHSGLANKKTVTIQPADKDQAVVLATTKTKKQNKPAALLHKSVMKKEFSRMAKAVENQVADNNYRPDLKRAALARLSVVHRSLKVSKSGVKKRNRQALKK >Potri.008G091700.1.v4.1 pep chromosome:Pop_tri_v4:8:5720295:5726686:-1 gene:Potri.008G091700.v4.1 transcript:Potri.008G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091700.v4.1 MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKLFQYIAMGIYTPLITCAFGLYIWCAAADPADPGVFRSKKYLKIPDSEKHNPQKDSKLGGGSTSSIHDANASTVVGNSLEKEVVGAESTKKEPKTQIDKVSSGNSSCFQWVFFPCAFICNHCSSSDESSELQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCIGKKNYRQFFTLMVSALLLLILQWSTGILVLICCFLERRRFSVDISVKLGSSFSLAPFVIVVLACTILAMIATLPLAQLFFFHILLIKKGISTYDYIIALREQEQEQQGVDGQQSAQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPETGSVSSLGKKTIGEEPIKKKNPAAVKISPWTLARLNAEEVSRAAAEARKKSKILQPVTRREPPFGLDMDSSFGSSGRRMVPRTDSNRRRASKRIRIPADLPMESATKASGIAPDKGFTETSTSLAPLQLEARSAFQTSRAMSNSAGVVASSPESSLDSPDIHPFRVSSSGESRRLMGLSVGGPVSHNLFPLSRSTSDGYEASGGEDSDRVSSRIAQRSNNWSNLLFRADQDESVFRLKASSSSSQAQ >Potri.017G054300.1.v4.1 pep chromosome:Pop_tri_v4:17:4141147:4143855:-1 gene:Potri.017G054300.v4.1 transcript:Potri.017G054300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054300.v4.1 MGGDGKVFTFEEVTVHNNHKDCWLIINGKVYDVTKFMEDHPGGDEVLLSSTGQDATDDFEDVGHSDSAREMMGEYCIGDIDASTVPQKTKYRTPKQPHYNQDKTSEFIIKLLQFLVPLAILGLAFGLRLYTKST >Potri.005G141900.1.v4.1 pep chromosome:Pop_tri_v4:5:11384623:11386652:1 gene:Potri.005G141900.v4.1 transcript:Potri.005G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141900.v4.1 MSFFQQQETHNQSPALALDGLYCEEDGFGEDYSCSLDDETSQVYEQNVKKEQNLSSVLLEQDLFWEDNELLSLISKEKETHFVFDSVGSRDGSLMVVRREAVEWFLRVKAHYGFSALTGVLAVNYFDRFISSSRFRRDKPWMGQLAAVACLSLAAKVEETQVPLLLDLQVEDAKYVFEAKTIKRMELWVLSTLHWRMNPVTSISFFDHIIRRLGLKTHMHWEFLWRCERLLLSVISDSRFMSYLPSILATATMLHVIKEVEPRNQLQYQTQLMAVLKTNEDEVNECYKLILEQQGSQNQRHKRKYLSTPSSPNGVIDATFSSDSSNDSWAVASSISSSSSVPQFKRSRSHVQQMRLPSLNRMCVDVLSSPH >Potri.005G028100.1.v4.1 pep chromosome:Pop_tri_v4:5:1790100:1792365:1 gene:Potri.005G028100.v4.1 transcript:Potri.005G028100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G028100.v4.1 MVKVDIRIKESAIVRPAEETPKKSIWSSNLDLLVPIVHVPTIYFYKPVNDSSSFFNPQVLKEALSKALVPFYHMAGRLEKDENGRMSILCNSKGVLFVEAETRSTIDELGDFTPHFEMLQFIPEVDRSNIFSYPLLLLQATFFKCGGVCLGVGLHHILGDGTSAIHFINSWSEIARGLSVTTPPFIDRTLLDARVPPVPAMHHVEYDPVPPLNTHISGDQTPEIQSNPEPTCAKILTITFDQLRTLKNKSRKDVADGSTINYSTFETLAAHIWQCTCKARGITNDQATKLHIPTDGRSRLNPPLPAGYCGNVLFTAAILGLSGEIQSKPLVDTIAKIRGALKRMDNEYLRSAIDYLQVQADLEALKRGPHTFKSPNLNIVSWMTMPIYDADFGWGRPYFMGPAIVGFEGMAYIARCPNNDGSLMIFTCLESNHMELFKKSFYDF >Potri.001G332500.2.v4.1 pep chromosome:Pop_tri_v4:1:34093615:34096628:-1 gene:Potri.001G332500.v4.1 transcript:Potri.001G332500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332500.v4.1 MGSCASVHNATAPESAMKLGVSFSSKKDKLVIPKSPINDNKQSTANPLIKTFGDFGSKEETFFDSRAWLDSDCEDDFYSVNGDFTPSRGNTPVHHNFSAGTPQANKNPLEGRPPGSELEPSPTGKKRLSELFKDRIREDRDVDDLQTSGSGDQNIANGKMEVKQTILDVLPKSANATPYLSGTNSVCSSERTANGDALIEKEKSFKSSPCCIPSLIFCRSFSERKKKSQAIAV >Potri.001G332500.1.v4.1 pep chromosome:Pop_tri_v4:1:34093614:34096628:-1 gene:Potri.001G332500.v4.1 transcript:Potri.001G332500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332500.v4.1 MIQLCYSNLIASSSAFLTVEVGACALYFAGSKEETFFDSRAWLDSDCEDDFYSVNGDFTPSRGNTPVHHNFSAGTPQANKNPLEGRPPGSELEPSPTGKKRLSELFKDRIREDRDVDDLQTSGSGDQNIANGKMEVKQTILDVLPKSANATPYLSGTNSVCSSERTANGDALIEKEKSFKSSPCCIPSLIFCRSFSERKKKSQAIAV >Potri.010G126000.1.v4.1 pep chromosome:Pop_tri_v4:10:14346396:14347477:1 gene:Potri.010G126000.v4.1 transcript:Potri.010G126000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G126000.v4.1 MEELQNNKKRVRDDSFELELDLPEVKKIREDLLGIIDDSDPDSLGQDLDSVMKSFEQEISAYSSSPVPVVDLTSESGESRPDLGYLLEASDDELGLPPSMNSSRGEVKGEEETELVRVDSAESSGIGGEIWGFEDQIPTYDSFGLGAGDVDYNSRYVAFDDGLFEYSNACFDSTEFVDLSWRFGGMPAE >Potri.004G136900.1.v4.1 pep chromosome:Pop_tri_v4:4:15792383:15793720:-1 gene:Potri.004G136900.v4.1 transcript:Potri.004G136900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G136900.v4.1 MSPAISGAPFLIFFLTLSLHISFSTAVRLPTKVPSFLPGDNSPPTEKYWFSRLPNTPLPKALRDTLQPGYYPSVIRDFANGEKSSVDAREKYGKHYGEDSHKKTAKSALPDSTIFYLYNDLHPGKKMKLLFTNSGTKVSFLPRRVAESIPFSSDKFPEILKYFSLQVNSEEAEIISDEIGYCESPNMEGEEKYCATSLESLIDFNVARLGQNVQVLSTEPGKKQEYTVSAKAEMRGEHKAAVCHKIRYPYAVHYCHVIEGTEVYVVPLIAADGAEVKAVTVCHLNTSAWSPDHMAFEVLKIKPGPAVCHFLATDTLIWVPKMDQDMTP >Potri.012G028366.1.v4.1 pep chromosome:Pop_tri_v4:12:2799281:2802134:-1 gene:Potri.012G028366.v4.1 transcript:Potri.012G028366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028366.v4.1 MGFLPLSLSQSLSFILFLFHFHSTISSPLSSNYQSLSLLQFKQSFSISRSASSEDYCQYPFPKTESWKEGTGCCLWDGVTCDLKTGHVTGLDLSCSMLYGTLLPNNSLFSLHHLQNLDLSFNDFNSSHISSRFGQFSSLTHLNLSGSVLAGQVPSEVSHLSKLVSLDLSLNYGLSLEPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLTACGLQGKLISSMGKFKHLQYLGLGGNNLTGPIPHDFDQLTELVSLDLSDNVYLSLEPISFDKLVRNLTKLRELDLSWVDMSLLVPDSLRNLSSSLSSLKLIYCRLQGIHSLSNLIQLTYLDLSGNNLNGQIVSSLGNLTQLTYLVISGNNLNSQITSSLGNLTQLTYLDLSGNNLISQIPSSLGNLTQLTFLDLSNNNLSGQIPSSLGNLVQLRYLCLSSNKFMGQVPDSLGSLVNLRGQIISSLSIVTQLTFLDLSRNNLSGQIPSSFGNLVHLRSLFLGSNKFMGQVPDSLGSLVNLSDLDLSNNQLVGSIHSQLNTLSNLQSLYLSNNLFNGTIPSSFFALPSLQNLDLHNNNLIGNISEFQHNSLRFLDLSNNHLHGPIPSSISNQENLTALILASNSKLTGEISSSICKLRCLLVLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNKLQGIIPSIFSKDNSLEYLNLNGNELEGKIPLSIINCTMLEVIDLGNNKIEDTFPYFLETLPELQVLVLKSNKLQGFVKGPIAYNSFSILRILDISDNNFSGPLPTGYFNSLEAMMASDQNMVYMGTTNYTGYDYSIEMTWKGVEIEFTKIRSTIKVLDLSNNNFTGEIPKAIGKLKALHQLNLSYNFLTGHIQSSLENLNNLESLDLSSNCWWEKPLVVALNHSEGIKHTVLLQEQKLTIN >Potri.011G156100.22.v4.1 pep chromosome:Pop_tri_v4:11:18257040:18262679:-1 gene:Potri.011G156100.v4.1 transcript:Potri.011G156100.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156100.v4.1 MLNFARTRAQTRSNRSISLGGMDYSDPKRKNNVVGKILLAATLTALCIIMLKQSPTFYSPSPFSLHEEGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIIAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYTDLQKSLQTAWRWQKSHQNGYGSPLVMAS >Potri.011G156100.23.v4.1 pep chromosome:Pop_tri_v4:11:18256707:18262637:-1 gene:Potri.011G156100.v4.1 transcript:Potri.011G156100.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156100.v4.1 MLNFARTRAQTRSNRSISLGGMDYSDPKRKNNVVGKILLAATLTALCIIMLKQSPTFYSPSPFSLHEEGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIIAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYTDLQKSLQTAWRWQKSHQNGYGSPLVMAS >Potri.011G156100.19.v4.1 pep chromosome:Pop_tri_v4:11:18256780:18262888:-1 gene:Potri.011G156100.v4.1 transcript:Potri.011G156100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156100.v4.1 MLNFARTRAQTRSNRSISLGGMDYSDPKRKNNVVGKILLAATLTALCIIMLKQSPTFYSPSPFSLHEEGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIIAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYTDLQKSLQTAWRWQKSHQNGYGSPLVMAS >Potri.011G156100.16.v4.1 pep chromosome:Pop_tri_v4:11:18256401:18262882:-1 gene:Potri.011G156100.v4.1 transcript:Potri.011G156100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156100.v4.1 MLNFARTRAQTRSNRSISLGGMDYSDPKRKNNVVGKILLAATLTALCIIMLKQSPTFYSPSPFSLHEEGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIIAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYTDLQKSLQTAWRWQKSHQNGYGSPLVMAS >Potri.011G156100.20.v4.1 pep chromosome:Pop_tri_v4:11:18256574:18262882:-1 gene:Potri.011G156100.v4.1 transcript:Potri.011G156100.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156100.v4.1 MLNFARTRAQTRSNRSISLGGMDYSDPKRKNNVVGKILLAATLTALCIIMLKQSPTFYSPSPFSLHEEGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIIAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYTDLQKSLQTAWRWQKSHQNGYGSPLVMAS >Potri.011G156100.18.v4.1 pep chromosome:Pop_tri_v4:11:18257041:18262934:-1 gene:Potri.011G156100.v4.1 transcript:Potri.011G156100.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156100.v4.1 MLNFARTRAQTRSNRSISLGGMDYSDPKRKNNVVGKILLAATLTALCIIMLKQSPTFYSPSPFSLHEEGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIIAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYTDLQKSLQTAWRWQKSHQNGYGSPLVMAS >Potri.011G156100.17.v4.1 pep chromosome:Pop_tri_v4:11:18257043:18262940:-1 gene:Potri.011G156100.v4.1 transcript:Potri.011G156100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156100.v4.1 MLNFARTRAQTRSNRSISLGGMDYSDPKRKNNVVGKILLAATLTALCIIMLKQSPTFYSPSPFSLHEEGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIIAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYTDLQKSLQTAWRWQKSHQNGYGSPLVMAS >Potri.011G156100.21.v4.1 pep chromosome:Pop_tri_v4:11:18256674:18262882:-1 gene:Potri.011G156100.v4.1 transcript:Potri.011G156100.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156100.v4.1 MLNFARTRAQTRSNRSISLGGMDYSDPKRKNNVVGKILLAATLTALCIIMLKQSPTFYSPSPFSLHEEGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNIGAVKVLQELFPEPGRLQFIYADLGDPKTVNIIFSQNAFDAVMHFAAVAYVGESTMEPLKYYHNITSNTLVVLEAMAANDVKTLIYSSTCATYGEPEKMPITEVTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIIAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGKGRSVKEFVKACKKATGVDIKVDYLPRRPGDYAEVFSDPSKIYRELNWTAQYTDLQKSLQTAWRWQKSHQNGYGSPLVMAS >Potri.006G086300.1.v4.1 pep chromosome:Pop_tri_v4:6:6465095:6469101:-1 gene:Potri.006G086300.v4.1 transcript:Potri.006G086300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086300.v4.1 MEKEQYPVPPSEIQTRVNSRRGGNNSIIHPVEMETPNHQVSLAVASSSSPSPVVHGKARPFKKWWPWLIPAFVIANVVMFIITMYVNNCPKNYVSCIARFLGRFSFQPFKENPLLGPSSISLQKMGALDVQKVVDGHQWWRLITCNWLHGGVFHLLANMLSLLVIGIRLEQEFGFVKVGLLYVISGFGGSLLSALFIQSNISVGASGALFGLLGSMLSELITNWTIYANKVAAFITLVVIIAVNLALGILPHVDNFAHIGGFLSGFLLGFVFLIRPQFGWFSQRRAPLGYIPASVKSKFKTYQCALWIISLILLIAGLTIGMVLLLRGVDANEHCSWCHYLSCVPTGKWSCKTEPAYCLSTQIGNQLNLTCSSNGKSSVYILPGATSSQIQGLCTGLCR >Potri.014G040100.3.v4.1 pep chromosome:Pop_tri_v4:14:2590177:2595717:-1 gene:Potri.014G040100.v4.1 transcript:Potri.014G040100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040100.v4.1 MEEKTHLDLVKLCDICGNGGFGELIATCSKCGISQEHLYCTRVLFKDTIEDWICETCTSCTDIASPVSLGCGRKEDSQNCSDALRHESHDALIISDDLRGPHHSKRQHAVKSGKVKFLTAEEVIRLSSGTTKKEPSSRSNFRCTTSHSSVTSKISPTRVPPNPPRIIPSCPIKPYGHGRMQTSSTTNNQQSPRTSKGKNSCPVTVAINSDVEERDLPNILPKLRLYHPHFPALHVTWKGGFKFDVATQQMFYGGFQAQLPCRVHRKAYESSRKIPLILQVKLLPQCDIWEDLFQDSCPDFCDIALYFFPSANIERSKENHASLFRLMETENAVMRSYIDGVELLIFTSKQLHVESQDIIARSGMGNFLWGVFRHAKHDKIICNKFPSLATALGSEHDDHANMGRDEAVDMEIDMVGGTVIGRIDVAVSKESSSRFCVESNKETVDEDASKSNILYSFKDLDSTVEHAISKPEQAHHLVAKKIRGDMASLDPLQSCLGKLSDAMDDAGVPPGFVESLKLKFSNILQENALERVNGDGDRYLKSYPMEVKTKLQNSDNLEQDFSPKLHTKSPRQDPQMMESG >Potri.018G016900.1.v4.1 pep chromosome:Pop_tri_v4:18:1156972:1157630:1 gene:Potri.018G016900.v4.1 transcript:Potri.018G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016900.v4.1 MDFTADQLLQYNGTNPSNPIYVALKGRVFDVTTGKSFYGPGGSYAMFAGKDASRALAKMSKNDEDISSSLHGLTEKEIGVLDDWEKKFEAKYPVVGRVVS >Potri.010G178400.1.v4.1 pep chromosome:Pop_tri_v4:10:17776910:17784656:1 gene:Potri.010G178400.v4.1 transcript:Potri.010G178400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178400.v4.1 MASRAILRRKRSLFDFLREPNCLIRGGFSSFQYGRGPPQSNDLQESRCVINHPFGSTDSRNERGLSLVSRDGVSKVLAAAGFLRHNSFGYSGLGCGIGNGDFDSSLGIRCYLQSVSYASTATAGQPEYGRGSDRNEQLDAKQAKEASPEECDEAVEDLTEVKAKAKAKQAHESQKSTKTVMQKVWAKLLGIGPALRAVAAMSREDWAKKIRYWKDELKSTMQHYWLGTKLLWADVRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARIEYAKFLQDTVKEMAKEVQNSRSGEAKQTAGDLDEFMNKVRTGSRVSNEEILGFAKLFNDELTLDNISRPRLVSMCKYMGISPYGTDAYLRYMLRRRLQEIKNDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVDEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDLVSERRRKLEFLEMQEELIKEEEEEEEEEQARMKESVSSQKDVALEEMTIPTAGEAQEQAKAKTLEKHEQLCELSRALAVLASASSVSREREEFLRLVKKEIDLYNNMVDKEGTEGEEETKKAYKAAREESDHAAGTDISGKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQELISNLSKDTEGKILVEDIVRLGSEMEDADEVEKGKS >Potri.010G178400.2.v4.1 pep chromosome:Pop_tri_v4:10:17776923:17784650:1 gene:Potri.010G178400.v4.1 transcript:Potri.010G178400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178400.v4.1 MASRAILRRKRSLFDFLREPNCLIRGGFSSFQYGRGPPQSNDLQESRCVINHPFGSTDSRNERGLSLVSRDGVSKVLAAAGFLRHNSFGYSGLGCGIGNGDFDSSLGIRCYLQSVSYASTATAGQPEYGRGSDRNEQLDAKQAKEASPEECDEAVEDLTEVKAKAKAKQAHESQKSTKTVMQKVWAKLLGIGPALRAVAAMSREDWAKKIRYWKDELKSTMQHYWLGTKLLWADVRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARIEYAKFLQDTVKEMAKEVQNSRSGEAKQTAGDLDEFMNKVRTGSRVSNEEILGFAKLFNDELTLDNISRPRLVSMCKYMGISPYGTDAYLRYMLRRRLQEIKNDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVDEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDLVSERRRKLEFLEMQEELIKEEEEEEEEQARMKESVSSQKDVALEEMTIPTAGEAQEQAKAKTLEKHEQLCELSRALAVLASASSVSREREEFLRLVKKEIDLYNNMVDKEGTEGEEETKKAYKAAREESDHAAGTDISGKVSSALIDRVDAMLQKLEKEIDDVDAKIGDRWRLLDRDYDGKVTPEEVASAAMYLKDHLGKEGIQELISNLSKDTEGKILVEDIVRLGSEMEDADEVEKGKS >Potri.010G178400.3.v4.1 pep chromosome:Pop_tri_v4:10:17776890:17784647:1 gene:Potri.010G178400.v4.1 transcript:Potri.010G178400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178400.v4.1 MASRAILRRKRSLFDFLREPNCLIRGGFSSFQYGRGPPQSNDLQESRCVINHPFGSTDSRNERGLSLVSRDGVSKVLAAAGFLRHNSFGYSGLGCGIGNGDFDSSLGIRCYLQSVSYASTATAGQPEYGRGSDRNEQLDAKQAKEASPEECDEAVEDLTEVKAKAKAKQAHESQKSTKTVMQKVWAKLLGIGPALRAVAAMSREDWAKKIRYWKDELKSTMQHYWLGTKLLWADVRISSRLLVKLASGKGLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRKLNARIEYAKFLQDTVKEMAKEVQNSRSGEAKQTAGDLDEFMNKVRTGSRVSNEEILGFAKLFNDELTLDNISRPRLVSMCKYMGISPYGTDAYLRYMLRRRLQEIKNDDKMIQAEGVESLSEAELRQACRDRGLLGLLSVDEMRQQLRDWLDLSLNHSVPSSLLILSRAFSVSGKVRPEEAVQATLSSLPDEVVDTVGVTALPSEDLVSERRRKLEFLEMQEELIKVGGGGGGGRRAG >Potri.003G215001.2.v4.1 pep chromosome:Pop_tri_v4:3:21122284:21123821:-1 gene:Potri.003G215001.v4.1 transcript:Potri.003G215001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX20 MHLSKVMFVALFYAFFAGVAPLAYGQLTPTFYDETCPNVTSIIREIIEDTLLSDARIGASLIRLHFHDCFVDGCDASILLDNTDTIESEKEALPNNNSARGFDVIDRMKARLESSENCPGIVSCADILAIAAEESVVLAGGPSWAVPLGRRDGTTANRALANLSLPSPFETLDEIKAKFTAVGLNNNTDLVALSGAHTFGRSRCQFFTNRLYNLSDCSTNPPPDDTLNSTYLATLRDLCPCNGNGSVLADLDPTTPDGFDSNYFSNLLVGQGLLRSDQLLFSTPGADTVDIVNNFSANQTAFFESFVVSMTRMGNLSLLTGTQGEIRLNCRVVNGNSTGQNIMLVSSI >Potri.014G144000.3.v4.1 pep chromosome:Pop_tri_v4:14:9843809:9845161:-1 gene:Potri.014G144000.v4.1 transcript:Potri.014G144000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144000.v4.1 MKNLPTVSTMVADQNTKDLVEEDVGLACPPFDEEDFMAAEASFFDLSEQVFFSGFEGDHKGAESVQGSSGNLNAVQIMQKKHGKGKDMKVLEQVYKHRDNAPKDTNTETRAENIESDKKTSTKAETIESNKKTSTKAAIVDDKVSFELRINSAKRKLQESYENIGKEKKRRQIQVLSLSEVPKFPQVKCKPEKHRSGFARKFRSFAACH >Potri.014G144000.2.v4.1 pep chromosome:Pop_tri_v4:14:9843809:9845492:-1 gene:Potri.014G144000.v4.1 transcript:Potri.014G144000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144000.v4.1 MKNLPTVSTMVADQNTKDLVEEDVGLACPPFDEEDFMAAEASFFDLSEQVFFSGFEGDHKGAESVQGSSGNLNAVQIMQKKHGKGKDMKVLEQVYKHRDNAPKDTNTETRAENIESDKKTSTKAETIESNKKTSTKAAIVDDKVSFELRINSAKRKLQESYENIGKEKKRRQIQVLSLSEVPKFPQVKCKPEKHRSGFARKFRSFAACH >Potri.001G183600.1.v4.1 pep chromosome:Pop_tri_v4:1:16253457:16256875:-1 gene:Potri.001G183600.v4.1 transcript:Potri.001G183600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183600.v4.1 MLSAKSESDITSLAPSSPSRSPKRPVYFVQSPSRDSHDGDKSSSMQPSPMESPSHPSYGRHSRNSSASRFSGIFRSSSGRKSGSRKRNDNKGWNDKGWPECNVIMEEGNYDDEDKAFTRRFQALIALLSFVFLFTVFCLIIWGASRPYKAEITVKSLVVNNFYVGEGTDSSGVPTKLLTVNGSLRMSVYNPATLFGIHVSSTPINLVYSEVPIATGQLKKYYQPRKSRRTVSVIVEGNKVPLYGAGSSLTVSQTGIVVPLTLKFDIKSRGNVVGKLVRTKHRRQISCPLVIDSSSSKPIKFKKNTCTYD >Potri.010G074500.1.v4.1 pep chromosome:Pop_tri_v4:10:10262894:10264344:-1 gene:Potri.010G074500.v4.1 transcript:Potri.010G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074500.v4.1 MERNSFSSNLKDHSIGSEACNNTNSNKKLRDSWNLSSCQSFGEDYLDSLSWPPRSYTCSFCRREFKSAQALGGHMNVHRRDRARLRRSPPRDAQCPVPNLNPNPSLCPPFTRTLPSLVSPPLSALSTPPLASEVKKWTIDGTPLDPSSSELSDLTTTGTRKSFFTTENFGGFTQQDGFKIWKKAEIVRLDLEIGLVRDSKDELDLELRLGSLN >Potri.019G030800.2.v4.1 pep chromosome:Pop_tri_v4:19:4292161:4296052:-1 gene:Potri.019G030800.v4.1 transcript:Potri.019G030800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030800.v4.1 MNDLMTKSFMSYVDLKKEAMKDLEAGPDHDLEMANASNTMDSNLGLFLEEAEDVKKKMRSIREILDQLQEANEESKSLHKHEALKSIRNKINADIVKGLKKARSIKTQLEEMDRANAANRRLSGYKEGTPIYRTRVAVTNCLRKKLKELMMDFQALRQKMMIEYKDTVGRRYFTVTGEYPDEEVIDKIISDGNGGEEFLKRAIQEHGKGKVLETVVEIQDRYDAAKEIEKSLLELHQVFLDMAVMVEAQGEQMDDIEHHVLNASHYVKDGTKELKSAKDHQKSSRKWMCIGIILLLIIILVIVIPIVTSFSYS >Potri.010G185700.2.v4.1 pep chromosome:Pop_tri_v4:10:18281593:18283962:1 gene:Potri.010G185700.v4.1 transcript:Potri.010G185700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185700.v4.1 MEGSDSFGGSKSSYSNKEVEQDEGDEEEVDKISFKSRNEGVSTSNSSVEENEKEAVSTGSVRQYIRSKMPRLRWTPDLHLCFVHAVERLGGQDRATPKLVLQMMNIKGLSIAHVKSHLQMYRSKRSDEPNQGEGLFFEGGDHQIYNLSQLPVLQNFNQRSSCNLRYGDASWRGHDHQMYSPYKGGTALNRFKHGLYGSVSERLVIGRNNHNSLNYDSSINIPSLNVQATSRTHQFLEGVKLFQVSRQEESRPSSMESNFIAKLQERSGIDQKECLNTTSSADKNWRTIQEMQKGSKRKTLDSDCNLDLNLALKLSTKDDDGLQKCVADGSLSVSLSSSSSSKLGRSMEGDGRRKHARMASTLDLTL >Potri.010G185700.1.v4.1 pep chromosome:Pop_tri_v4:10:18281593:18283962:1 gene:Potri.010G185700.v4.1 transcript:Potri.010G185700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185700.v4.1 MEGSDSFGGSKSSYSNKEVEQDEGDEEEVDKISFKSRNEGVSTSNSSVEENEKEAVSTGSVRQYIRSKMPRLRWTPDLHLCFVHAVERLGGQDRATPKLVLQMMNIKGLSIAHVKSHLQMYRSKRSDEPNQGGDHQIYNLSQLPVLQNFNQRSSCNLRYGDASWRGHDHQMYSPYKGGTALNRFKHGLYGSVSERLVIGRNNHNSLNYDSSINIPSLNVQATSRTHQFLEGVKLFQVSRQEESRPSSMESNFIAKLQERSGIDQKECLNTTSSADKNWRTIQEMQKGSKRKTLDSDCNLDLNLALKLSTKDDDGLQKCVADGSLSVSLSSSSSSKLGRSMEGDGRRKHARMASTLDLTL >Potri.001G217400.1.v4.1 pep chromosome:Pop_tri_v4:1:22710918:22713383:1 gene:Potri.001G217400.v4.1 transcript:Potri.001G217400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217400.v4.1 MEVASGSGDKAGFFTQGRSWLKALPVKFKANMVGMAWNIKKLGQEDPRRVVHSLKVGLALTLVSTLYYLSLSKTFGVDAIWAVMTVVLVFEFSVGATLGKGLNRGMATLLAGGLSIGAHHLAKLTGHIGQPILILFLVFLQATISTFLRFFPKIKARYDYGMLIFILTFSMITVSGFRQDQILELAHKRLSTVSIGAAACVIVSIVVFPVWAGEDLHNLIALNIEKLGNSLEGFGDEYFKRTGGEESKDDKKFLEGYKSVLNSKNSEESLANFAAWEPCHGRFPFRHPWKLYLKVGTLARECAYRIQALNGCLNADIQASSEVSNIIQEACTKMSRESGKSLKELALAIKIMVQPSSADSHIENAKSAAKNIKSLLKSGIWEDIDLLKVIPGVTVASILIDVVTYTEKISESIYELASKAQFKSVEPTLSPKKLHSGQNQSVKSAQIVNCPDVGINVRESTLPSPPSENSSAPKASKQSMGVQI >Potri.001G049501.1.v4.1 pep chromosome:Pop_tri_v4:1:3730797:3732529:1 gene:Potri.001G049501.v4.1 transcript:Potri.001G049501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049501.v4.1 MGLVTGHRVVGALLCAFLLNALVVADHVTTGDKDDKHFLGHPHLLKKGFIHGRRFGGGGGIGGGAGGGLGGGIGGGVGGGFGGGAGGGGGLGGGAGDGLGGGLGGGAGGGFGGGVGGGGGLGGGAGGGFGGGDGGGLGGGGGLGGGHGGGLGGGIGHGGGLGGGIGHGGGLGGGGGLGGGAGGGLGGGHGGGLGGGGGAGGGLGGGGGLGGGAGGGLGGGHGGGLGGGGGAGGGLGGGAGGGLGGGAGGGAGGGGGLGGGAGGGLGGGGGAGGGLGGGGGLGGGGGAGGGLGGGGGLGGGGGAGGGLGGSGGLGGGAGGGGGFGGGGGVGGGVGGGFGAGGGVGGGLGGAGGGGGFGGGGGGGH >Potri.001G049501.3.v4.1 pep chromosome:Pop_tri_v4:1:3730804:3732485:1 gene:Potri.001G049501.v4.1 transcript:Potri.001G049501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049501.v4.1 MGLVTGHRVVGALLCAFLLNALVVADHVTTGDKDDKHFLGHPHLLKKGFIHGRRFGGGGGIGGGAGGGLGGGIGGGVGGGFGGGAGGGGGLGGGAGDGLGGGLGGGAGGGFGGGVGGGGGLGGGAGGGFGGGDGGGLGGGGGLGGGHGGGLGGGIGHGGGLGGGIGHGGGLGGGGGLGGGAGGGLGGGHGGGLGGGGGAGGGLGGGGGLGGGAGGGLGGGAGGGLGGGAGGGLGGGAGGGAGGGGGLGGGAGGGLGGGGGAGGGLGGGGGLGGGGGAGGGLGGSGGLGGGAGGGGGFGGGGGVGGGVGGGFGAGGGVGGGLGGAGGGGGFGGGGGGGH >Potri.001G049501.2.v4.1 pep chromosome:Pop_tri_v4:1:3730804:3732485:1 gene:Potri.001G049501.v4.1 transcript:Potri.001G049501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049501.v4.1 MGLVTGHRVVGALLCAFLLNALVVADHVTTGDKDDKHFLGHPHLLKKGFIHGRRFGGGGGIGGGAGGGLGGGIGGGVGGGFGGGAGGGGGLGGGAGDGLGGGLGGGAGGGFGGGVGGGGGLGGGAGGGFGGGDGGGLGGGGGLGGGHGGGLGGGIGHGGGLGGGIGHGGGLGGGGGLGGGAGGGLGGGHGGGLGGGGGAGGGLGGGGGLGGGAGGGLGGGHGGGLGGGGGAGGGLGGGGGLGGGAGGGLGGGAGGGLGGGAGGGAGGGGGLGGGAGGGLGGGGGAGGGLGGGGGLGGGGGAGGGLGGSGGLGGGAGGGGGFGGGGGVGGGVGGGFGAGGGVGGGLGGAGGGGGFGGGGGGGH >Potri.001G464400.1.v4.1 pep chromosome:Pop_tri_v4:1:48999293:49002175:1 gene:Potri.001G464400.v4.1 transcript:Potri.001G464400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464400.v4.1 MREILHIQGGQCGNQIGAKFWEVICDEHGIDQTGKYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATADDEEYEEEEEEEIGA >Potri.005G075100.1.v4.1 pep chromosome:Pop_tri_v4:5:5043064:5053700:-1 gene:Potri.005G075100.v4.1 transcript:Potri.005G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075100.v4.1 MADSRRYPLGNQLDIQQILVEAQNRWLRPAEIVEILSNYQRFRIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEDNENFQRRSYWMLEEELSHIVLVHYREVKGTRTNFNRIKEYEEPIPYSQETKDMMPNSEMDTSVSSSFRSNGYQVPTGTTDSTSMNSALASEYEDAESGYNNQASSRFNSFLEVQRPAMEKMDTGTSIPYDHMLFSSGYQGKMPAVPVMEFISPAQVDTAKDTIGTEPASEPQKVFDLPSWEDVLENCSRGIESVPFQTTLLSQVDTVGVIPKQEDILEKFLANSFDKRQGMVGVIPKQEDILEKFLTNSFDRSQDIGSHLLDQEAWQTIEGGYSHRPKWSLDQKLHLDSDLTARFHDQQLDSGNFINTFEPFCAQENDNHIQNDLQIQPANSDHGMTLDEKSIYSTSMKQHILDDSRTEGLKKLDSFTRWMSKELEDVDQPHLQSSSGTYWISAESENVVDADNPSHGHLDTYTLGPSLSQDQLFSIIDFSPNWAYAGTEIKILVMGRFLKSREEAENFKWSIMFGEVEVPAETIADGILRCTTPSHKAGRVPFYVTCSNRVACSEVREFEYRLSHVQDITYNYINIATEDLHMRLAKLLSLSSAFPSKYDSSDVDEISQLSNKISSLLKEGNETWDQMLKLTSLEGFSSEKLKDQLLQKALKEQLHEWLLQKVAEGGKGPSVLDEGGQGVLHFAAALGYEWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLIHLGAAPGALTDPTPKYPTGRTPADLASANGHKGISGFLAESALSAHLSSLNLEKQDGDAAESSGIPASLTVSDCNETPVKDADIPIGLSLKDSLAAVCNATQAAARIHQVFRVQSFQKKQLKEYGDDKFGMSHERALSLIAVKSQKAGQYDEPVHAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIIWSVGILDKIILRWRRKGSGLRGFKSEALTEGSSMQVVSTKDDDDDFLKEGRKQTEERSQIALARVKSMHQHPEAREQYHRLRNVVAEIQETKAMYEWANNSEAMVEFDDLINLETLWDGDTFLPTDS >Potri.005G075100.2.v4.1 pep chromosome:Pop_tri_v4:5:5043345:5053672:-1 gene:Potri.005G075100.v4.1 transcript:Potri.005G075100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075100.v4.1 MADSRRYPLGNQLDIQQILVEAQNRWLRPAEIVEILSNYQRFRIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEDNENFQRRSYWMLEEELSHIVLVHYREVKGTRTNFNRIKEYEEPIPYSQETKDMMPNSEMDTSVSSSFRSNGYQVPTGTTDSTSMNSALASEYEDAESGYNNQASSRFNSFLEVQRPAMEKMDTGGYQGKMPAVPVMEFISPAQVDTAKDTIGTEPASEPQKVFDLPSWEDVLENCSRGIESVPFQTTLLSQVDTVGVIPKQEDILEKFLANSFDKRQGMVGVIPKQEDILEKFLTNSFDRSQDIGSHLLDQEAWQTIEGGYSHRPKWSLDQKLHLDSDLTARFHDQQLDSGNFINTFEPFCAQENDNHIQNDLQIQPANSDHGMTLDEKSIYSTSMKQHILDDSRTEGLKKLDSFTRWMSKELEDVDQPHLQSSSGTYWISAESENVVDADNPSHGHLDTYTLGPSLSQDQLFSIIDFSPNWAYAGTEIKILVMGRFLKSREEAENFKWSIMFGEVEVPAETIADGILRCTTPSHKAGRVPFYVTCSNRVACSEVREFEYRLSHVQDITYNYINIATEDLHMRLAKLLSLSSAFPSKYDSSDVDEISQLSNKISSLLKEGNETWDQMLKLTSLEGFSSEKLKDQLLQKALKEQLHEWLLQKVAEGGKGPSVLDEGGQGVLHFAAALGYEWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLIHLGAAPGALTDPTPKYPTGRTPADLASANGHKGISGFLAESALSAHLSSLNLEKQDGDAAESSGIPASLTVSDCNETPVKDADIPIGLSLKDSLAAVCNATQAAARIHQVFRVQSFQKKQLKEYGDDKFGMSHERALSLIAVKSQKAGQYDEPVHAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIIWSVGILDKIILRWRRKGSGLRGFKSEALTEGSSMQVVSTKDDDDDFLKEGRKQTEERSQIALARVKSMHQHPEAREQYHRLRNVVAEIQETKAMYEWANNSEAMVEFDDLINLETLWDGDTFLPTDS >Potri.001G366100.1.v4.1 pep chromosome:Pop_tri_v4:1:38242650:38244842:-1 gene:Potri.001G366100.v4.1 transcript:Potri.001G366100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366100.v4.1 MSRSLLFLSLFLSLLLLTAGHSDHNDDDEADADGDTTKINLRSKSLILVKIWCLILIFIGTFIGGVSPYFLKWNEGFLVLGTQFASGVFLGTALMHFLSDANETFEDLTKKEYPFAFMLACAGYLLTMLADSIISHVYSKDVVSQANGGDVELQGGVLQGKRSHTSSSQSHFQMHNGTDAASAKSTLSTASSFGDSILLIFALCFHSVFEGIAIGVAKTNADAWKALWTITLHKIFAAIAMGIALLRMIPDRPCVSCVAYAFAFAISSPVGVAIGIIIDATTQGPVADWIFAISMGLACGVFIYVSINHLSTKGYLPQRSVLVDTPLYKFLAVSLGIGVIAVVMIWD >Potri.019G029500.1.v4.1 pep chromosome:Pop_tri_v4:19:4164357:4167861:1 gene:Potri.019G029500.v4.1 transcript:Potri.019G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029500.v4.1 MAKSGVLETIPCTVTKATELKKELEKLVKAILDEEDYRVEVTDEAMRVLSVLKELKFKKSLKIVDDTVLPEEFKCPISREIMGDPVVLATGQTYDLPFIQRWLNEGHRTCPQTQQVLSHTILTPNHLVREMISQWCKERGIELPRPVKDVDEVRTDADRGHLKSLLEKMSSSLSDQKEAAKELRLLTKRMPSFRALFSDSTDAIPKLLNPLSPGRANTHPDLQEDLITTVLNLSIHDNNKRLAAENPLVIPLLVESVKSGTIETRSNAAAAIFSLSALDSNKLIIGKSGALKPLIDLLEEGHPLAMKDAASAIFNLCLVLENKGRAVREGAVRVILKKIMDCIFVDELLAILAMLASHQKAVEEMGELGAVHCLLGIIRESSSERNKENCVAILHTICLNDYSKWRDIREEENANGTLSRLAESGTSRAKRKANSILERLNRAASLTHTA >Potri.006G007000.1.v4.1 pep chromosome:Pop_tri_v4:6:486109:487563:-1 gene:Potri.006G007000.v4.1 transcript:Potri.006G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007000.v4.1 MKRSELVFIPSPGIGHVTSTVELARLLVNRDDRFVVTIILMKLPFDEKFTSYCKSLTESTISNNIKFLDLPLLEQALDMKAKDVLAFYMETYKPLVKEALAQLIESSTSSPDKPPRLIGLLVDMFCVTMVDVGNDFGLRSYVFFTSGVGYLSLLFSMQTMKDEQNVDSTQFKDSDTELVISSFAKPIPARVLPSMFLNKDVVPGFLNFARKYKQTKGIVVNTFLELESHVMSSFFDGLTLPIYPVGPILKLQRAEGDKGLDRAREKEEIKKWLDDQPQSSVVFLCFGSMGSFDEDQLKEISKALEHSGHRFLWSLRRAPPKGTIVFPSGYDNPKEILTDGFLDRTSMVGKIIGWAPQTDILAHPAVGGFVSHCGWNSILESLWFGVPIAAWPIDGEQQLNAFQMVVELGLGVEIKLDYRKDFLSDDEVKIVTAEEIERGINSLMQSNSEIKRKVKEMSEKSKKTLMECGSSHTSFGHFIDNLMS >Potri.015G121600.1.v4.1 pep chromosome:Pop_tri_v4:15:13528937:13531822:-1 gene:Potri.015G121600.v4.1 transcript:Potri.015G121600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121600.v4.1 MVCVFTKPHLLALGPNKNNISFILPQLSLKCNLHMARSAIDETSDTGAFKRTASTFRNFISKEPNSQFPPESGRYHLYVSYACPWASRCLAYLKIKGLEKAIAFTSVKPIWERTKESDEHMGWVFPASETEEAGAEPDPLNGARSIRELYELASTNYAGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNDIAENAALDLYPSHLQARIDETNEWVYNGINNGVYKCGFARKQGPYEEAAIQLYEALDKCEEILGRQRYICGNTLSEADIKLFVTLIRFDEVYAVHFKCNKKLLRDYPNMFNYTKDIFQIPGMSSTVNMQHIKRHYYGSHPTVNPFGIIPLGPDIDYSSPHDRNRFSS >Potri.015G121600.3.v4.1 pep chromosome:Pop_tri_v4:15:13528976:13531526:-1 gene:Potri.015G121600.v4.1 transcript:Potri.015G121600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121600.v4.1 MARSAIDETSDTGAFKRTASTFRNFISKEPNSQFPPESGRYHLYVSYACPWASRCLAYLKIKGLEKAIAFTSVKPIWERTKESDEHMGWVFPASETEEAGAEPDPLNGARSIRELYELASTNYAGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNDIAENAALDLYPSHLQARIDETNEWVYNGINNGVYKCGFARKQGPYEEAAIQLYEALDKCEEILGRQRYICGNTLSEADIKLFVTLIRFDEVYAVHFKCNKKLLRDYPNMFNYTKDIFQIPGMSSTVNMQHIKRHYYGSHPTVNPFGIIPLGPDIDYSSPHDRNRFSS >Potri.015G121600.2.v4.1 pep chromosome:Pop_tri_v4:15:13528976:13531607:-1 gene:Potri.015G121600.v4.1 transcript:Potri.015G121600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121600.v4.1 MARSAIDETSDTGAFKRTASTFRNFISKEPNSQFPPESGRYHLYVSYACPWASRCLAYLKIKGLEKAIAFTSVKPIWERTKESDEHMGWVFPASETEEAGAEPDPLNGARSIRELYELASTNYAGKYTVPVLWDKKLKTIVNNESSEIIRMFNTEFNDIAENAALDLYPSHLQARIDETNEWVYNGINNGVYKCGFARKQGPYEEAAIQLYEALDKCEEILGRQRYICGNTLSEADIKLFVTLIRFDEVYAVHFKCNKKLLRDYPNMFNYTKDIFQIPGMSSTVNMQHIKRHYYGSHPTVNPFGIIPLGPDIDYSSPHDRNRFSS >Potri.001G453400.1.v4.1 pep chromosome:Pop_tri_v4:1:48006116:48008058:-1 gene:Potri.001G453400.v4.1 transcript:Potri.001G453400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453400.v4.1 MWFRGGLGGGGGGGGSNIGSIVKNQIVRHFSRARAVDVKRINPKVPFSEAASIAQSLYQVIKEHGPLTVSNTWNQAKEANISGLNSKTHMKIMLKWMRGRKMLKLFCNPNSKKFLHCSLPEEPRTEQSNSAPELNLQTKRKPSKKKTSIKRKKQHK >Potri.009G037800.1.v4.1 pep chromosome:Pop_tri_v4:9:4678279:4680651:1 gene:Potri.009G037800.v4.1 transcript:Potri.009G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037800.v4.1 MRKEDTVKLISAEGFEFVIHKEAAMVSQTIRNMLTSPGSFAETEHGEVTFPEISTTILEKICQYFYWSLQYANGKETEFPIEPELTLELMMAANYLHT >Potri.017G151232.1.v4.1 pep chromosome:Pop_tri_v4:17:14892702:14893435:-1 gene:Potri.017G151232.v4.1 transcript:Potri.017G151232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G151232.v4.1 MNIVSTASDLSQDFKTGYLTLSSPRSMFVSQLIGTAMGCIISPCVFWLFFKAFKDLGTPGSQYPAPYATVYRNMAILGVDGFSSLPKNCLYLCYGFFGAAILINLIKDALGKKWARFIPNPMAMAIPFYIGPYFAIDMCVGSLILFIWEKIYKAKADAFGPAVASGLICGDGIWTLPSAILALVGVKPPICMKFLSRGTNAKVDAFLGS >Potri.013G021300.2.v4.1 pep chromosome:Pop_tri_v4:13:1378748:1387062:1 gene:Potri.013G021300.v4.1 transcript:Potri.013G021300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021300.v4.1 MDSPAQRRLKSIQSHILSSTTADQSDLQANLTSSSQFVHRQQYSVCLPEKLQTGKWNVYRSARSPMKIVTRFHDHPEIETLHDNFVHAVKTFGDYKYLGTRVQADGMIGEYTWMTYGEAGAAREAIGSALRFHGLQKGACIGLYFINRPEWLIVDHACTAYSYISVPLYDTLGPDAVKYVVNHADVQAIFCVPETLNTLLSFISEIPSVRLIVVVGGVDEHLPSLPLASGVKLRSYTKLFSEGRSSLQPFIPPKPEDVATICYTSGTTGTPKGVVLTHNNLISSVAGFCMAIKFNPADIYISYLPLAHIYERSNQIVSVYYGVAVGFYQGDNLKLLDDLSALRPTILCSVPRLYNRIYDGIINAVKSSGVLKERLFRAAYNSKKQALMSGRNPSPMWDRLVFNKIKEKLGGRVRFMGSGASPLSPDVMDFLRVCFGCQVLEGYGMTETSCVISSVDQGDNLSGHVGSPNPACEIKLVDVPEMNYTSEDQPHPRGEICVRGPTIFQGYYKAEVQMREVIDDDGWLHTGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKVENVYTKCRFVSQCFIYGDSFNSSLVAVVAVEPDVLRDWAVSEGIKHDDLGQLCNDPRARAAVLADMDLVGKEAQLRGFEFAKAVTLVPEPFTMENGLLTPTFKVKRPQAKEYFAKAISNMYAALSASDPKPPKL >Potri.015G036201.1.v4.1 pep chromosome:Pop_tri_v4:15:3081763:3083073:1 gene:Potri.015G036201.v4.1 transcript:Potri.015G036201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036201.v4.1 MDSKTTLSFFLIFSSCVFLGFSGGEALEMSCIQNLLPCKPYLPGTEPPPPVCCLPLKDMVAHQAQCLCSALANPDLLKDFNVTMDGALKLAKTCGASVDMSVCKNATSPSGSPAKPSTPTTNATTPSGSNTTPKSAANYEIAHFGGSGFVAAIFLGLIFSML >Potri.005G051150.1.v4.1 pep chromosome:Pop_tri_v4:5:3236851:3237842:1 gene:Potri.005G051150.v4.1 transcript:Potri.005G051150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051150.v4.1 MVDATMHDSSFLLRFLSIYFCLWILYWNTRGAASFDFSALTKIIRVIMLFIAQPKISGSVADKVVEKLAMAFVNSKWRNRFADAAVPYLPRLKSDHHPICLVSAQWGTGAVSLSKQQR >Potri.004G047100.6.v4.1 pep chromosome:Pop_tri_v4:4:3745561:3746093:-1 gene:Potri.004G047100.v4.1 transcript:Potri.004G047100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047100.v4.1 MVLLDKMWDDVVAGPQPERGLGKLRKISTRPLNIKDIDVGEGSSPVNKFQRSMTMPGTPGTPTTPVTPTTPVSARSNVWRSVFHPGSNLATKNIGAHVFDKPQPNTPTVYDWYVNPYLLNFSLLHIMVNYLLRRLFCSHEKGKEKSTV >Potri.015G014900.2.v4.1 pep chromosome:Pop_tri_v4:15:1001746:1003333:1 gene:Potri.015G014900.v4.1 transcript:Potri.015G014900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014900.v4.1 MHCNLGLISFIQRVKMRLLRVWGFQSGQAWSTQVYALFVGNYLLFAGTLIIQSRVIFCGGRRVCDETRQGRILMQRQYTSNLVDSEALEARWYCSILKFQLYLAVILVFHLFWEFFEGKTPTHNTIMFIKPAVTL >Potri.018G131000.1.v4.1 pep chromosome:Pop_tri_v4:18:14117881:14120119:-1 gene:Potri.018G131000.v4.1 transcript:Potri.018G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131000.v4.1 MISSSPISLPQQSHSFGFNTPIILQTLRHNPTIVAMKVRSSVKKMCEFCQIVKRRGRIYVICSSNPKHKQRQGFATFAYSGLISAETTAPPRIVPSQSMGIGLASLLPKKYEPTTMYGWRAGLSSFLFKQGN >Potri.017G029700.1.v4.1 pep chromosome:Pop_tri_v4:17:2009740:2010888:-1 gene:Potri.017G029700.v4.1 transcript:Potri.017G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029700.v4.1 MPTILLRIFILYNLLNSFLLSLVPKKLRFLLPTSWYHPHQANTNTSWCHHHQANTNTKKPSSLLPSPSFVLTRMDQAELKRVFQMFDRNGDGKITKKELNDSLENLGIFIPDKELTQMIETIDVNGDGCVDIDEFGELYQSLMDDKDEEEDMREAFKVFDQNGDGFITVDELRSVLASLGLKQGRTLEDCKRMIMKVDVDGDGMVDYKEFKKMMKGGGFSALG >Potri.010G134600.1.v4.1 pep chromosome:Pop_tri_v4:10:15031243:15033479:-1 gene:Potri.010G134600.v4.1 transcript:Potri.010G134600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134600.v4.1 MEDVLTELPPPSRFFQEDLDNFATPSPPLSSPSLLFSNPKPDQPLCPSLLIIALSSPSLYIFHHISSKTLIGSLVLPEIPFSGNSTEPSLDDKSCNIYALNDMDNLTLVVLVQCSISAERSNAVAKLLIGDQIIPKRVLIMDSVQSQNFRGKLAPDETYVFKLETSAERKGLDGDVCGGSSLLKGLDYFPSGSVLDGLAAALLARCQMRKIRGTLCVSWPRYGVYVVAMVKSLLQRNVLPGFDLSTIGDANDKSSRFTSIKNYPFDSDMYT >Potri.017G063300.1.v4.1 pep chromosome:Pop_tri_v4:17:5308840:5310359:-1 gene:Potri.017G063300.v4.1 transcript:Potri.017G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063300.v4.1 MERPNFVENGGFKLPIGYRFHPTDEELVVHYLKRKVLGLPMPASVIPEFDVFQNDPSSLPGNLKEKRYFFSQKKWNDFGTKCKRTAGSGPSGYWKPIGKGKQIVASDSNKAVGTRKTLVFKERKHSIKTRSQWVMHGYCLAGSATGPKTTQMEEVGDWVAYSVFQRKRKPRKNVVISNPSNINETRNVEIISPSFMDFMMEQSSDGVGPPSPCSSGVTEVSSNEVDQEEISSSSISLFSYPCNRKRT >Potri.005G229200.1.v4.1 pep chromosome:Pop_tri_v4:5:22881430:22884250:-1 gene:Potri.005G229200.v4.1 transcript:Potri.005G229200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229200.v4.1 MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKSHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRTECINYSFNYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGTDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFENFSDSSRDDLIKDALIAVRETLQGETLKSSICTVAVLGVDEAFHILDQETVQKLIDAFEIVVETEAAAAEPDAAAEPDAAAEGGATGDEGAAAAAADEGAAPMEI >Potri.002G204400.2.v4.1 pep chromosome:Pop_tri_v4:2:16907610:16911246:-1 gene:Potri.002G204400.v4.1 transcript:Potri.002G204400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204400.v4.1 MGSSELIFRGHDETQPASDAYSPKPPKPWLAVTRPIRYLLLEQRLVFILVGIAIATLFFTLLPSSSSSSPYEHDPIPNTFSHFSHELTTPMRYKYYEPLRVGLQSANSGGKIPLGLKSKSLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFKNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLTMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNARIEFRPNTEDDPHKRKPDITKAKDLLGWEPKISLRQGLPMMVSDFRQRVFGDHKEEGTTSTMSTS >Potri.001G041550.1.v4.1 pep chromosome:Pop_tri_v4:1:3023094:3023897:-1 gene:Potri.001G041550.v4.1 transcript:Potri.001G041550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041550.v4.1 MSATKSLPPSNPAPRPISNLFFSGDLLLHLHWWWVGAHEHVDLPLPAKSKSSFSGALTSSTSTSPEARSHLSLITRFRRFSVSGIWGQKMKREEERDTK >Potri.014G071600.1.v4.1 pep chromosome:Pop_tri_v4:14:4553107:4555179:-1 gene:Potri.014G071600.v4.1 transcript:Potri.014G071600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071600.v4.1 MSVTLHTNLGDIKCEIACDEVPKASENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFINYAKQPHLNGLYSVFGKVIHGFEVLDIMEKTQTGPGDRPLAEIRINRVTIHANPLAG >Potri.009G088200.3.v4.1 pep chromosome:Pop_tri_v4:9:8186514:8188218:1 gene:Potri.009G088200.v4.1 transcript:Potri.009G088200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G088200.v4.1 MELTPIIPTFKISLPNPGRQARTHISCLITPVSYLSPPDQMTTTKTHFNSSNIQYEINTNNIKNVAVPTMTEVLAASKAQNLDLQLQTLGPFFRITAKSLETQNELGRAEGLIRVWLKGKILHLDSIRLRRETLGMEKSIFGIGLFIGAVAIRYGYDSGCKTAELLAINDSDLYHSKLVRFYTRIGFKAVYEVTGSTIGDLPHMLVWGGIGTRMDADVEELLIKWCARFKSRE >Potri.001G160400.4.v4.1 pep chromosome:Pop_tri_v4:1:13566129:13571672:1 gene:Potri.001G160400.v4.1 transcript:Potri.001G160400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160400.v4.1 MMKHQSCSWKFFTISCLLLLLPVFVCSKCTGKAHDSHDQNTSEALKYKLIAISSILFASALGICLPFFVKNLSYLHPDREAFFLIKAFAAGVILGTGFIHILPDAFESLTSPCLGQNPWEKFPFAGFVAMLSAIGTLMMESFATGYHKRLELRKPQPVSGDHEENSDQDDNGAAGVHIRGPAFALKRTNSSDLNRHRIVSQVLEMGILVHSVIIGLSLGASKSSKTIKPLVAALSFHQFFEGVGLGGCISQVTIKS >Potri.001G160400.1.v4.1 pep chromosome:Pop_tri_v4:1:13565787:13571646:1 gene:Potri.001G160400.v4.1 transcript:Potri.001G160400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160400.v4.1 MMKHQSCSWKFFTISCLLLLLPVFVCSKCTGKAHDSHDQNTSEALKYKLIAISSILFASALGICLPFFVKNLSYLHPDREAFFLIKAFAAGVILGTGFIHILPDAFESLTSPCLGQNPWEKFPFAGFVAMLSAIGTLMMESFATGYHKRLELRKPQPVSGDHEENSDQDDNGAAGVHIRGPAFALKRTNSSDLNRHRIVSQVLEMGILVHSVIIGLSLGASKSSKTIKPLVAALSFHQFFEGVGLGGCISQAKFKLRAKVIMILFFSLTTPTGIAIGIWISRSYNETSPMALIVQGILNSASAGILIYMALVDLLAADFINSSMLYSFWLQLGAYLTLLLGAFSMSLLAIWGGN >Potri.003G054001.2.v4.1 pep chromosome:Pop_tri_v4:3:7917584:7918478:1 gene:Potri.003G054001.v4.1 transcript:Potri.003G054001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054001.v4.1 MAFQPWMFWSLVRLISALMSQPTASVTTLLYYSNLLPRNLNLERLVRPELLDGENRLFLFLIDFLRCL >Potri.008G131750.1.v4.1 pep chromosome:Pop_tri_v4:8:8675010:8675596:-1 gene:Potri.008G131750.v4.1 transcript:Potri.008G131750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131750.v4.1 MSLHVPGTLWDFSKRVYTLQALHSYPHGVFL >Potri.004G011000.1.v4.1 pep chromosome:Pop_tri_v4:4:632696:633077:-1 gene:Potri.004G011000.v4.1 transcript:Potri.004G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011000.v4.1 MEAMNKVLSNSDTANKRLEFPVRSLPAFPMPDGQNSMEFVAFDRLQKPWKFKVSIRNEGRYPKPWLNGEWDDYVHQKGLKKGDKVIFTMDDQENGDRIYYIRAERKLFGFWYSIDQQ >Potri.007G100900.8.v4.1 pep chromosome:Pop_tri_v4:7:12522875:12523282:1 gene:Potri.007G100900.v4.1 transcript:Potri.007G100900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100900.v4.1 MIYDLFVPVPMILSAFNLQIQMVIPPPVRAPRVTQFLKPYVLKMHFTNQYVNAQVTHSPTATVASAASSQEKALRSTMENTRDVAAAAKIGKILGERLLLKDIPAVTVFLNRNQKYHGKVKAVIDSLREVGIKII >Potri.007G100900.6.v4.1 pep chromosome:Pop_tri_v4:7:12520636:12523484:1 gene:Potri.007G100900.v4.1 transcript:Potri.007G100900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100900.v4.1 MVIPPPVRAPRVTQFLKPYVLKMHFTNQYVNAQVTHSPTATVASAASSQEKALRSTMENTRDVAAAAKIGKILGERLLLKDIPAVTVFLNRNQKYHGKVKAVIDSLREVGIKII >Potri.017G058701.1.v4.1 pep chromosome:Pop_tri_v4:17:4718753:4725133:-1 gene:Potri.017G058701.v4.1 transcript:Potri.017G058701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058701.v4.1 MSRCFPFPPPGYEKKIRSDDVDLLKKAHMGYLAFCSVSWIMLRAGLYIKVLVCLEDRVNKHKEKKDKERREDKEKREKDRSDGKQRNKKDRKEKHREKKEKDRDKDKDKSSASDEKRLAGQTKLHNGGDKTSDERKFPGQSKHVVGDKALDGRRLQEKSEGNGGETCTQKGKERDVDKYSISGEKKFSGQFSGTMDRN >Potri.011G097100.1.v4.1 pep chromosome:Pop_tri_v4:11:12543245:12547585:-1 gene:Potri.011G097100.v4.1 transcript:Potri.011G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097100.v4.1 MGQRNMLCTNQMIDLEMDQQSQGYLHPEPCILLGGVTNFRPSDIPTMVAASGNTNNRDAHLVDHYDGAMFYGMPQYHGLHPHRQYHGPNLDLSVATAPNFYVPYMTPSSGIPISHGPCDQLSSSNNYGVIEVSADEYGTNSHFMDNARGSFKRKNAEGNPGNFQYLNALASSSSSVAPLNTRHSEGGALMDATSFTPPHYRGSSASSIRDVGSQSSVRNRLGAAGLDPALAHNLNHFIQGNYLGQPYQPSGSLWLDQHLSNSGTDAGTSGWTQTTAIPYMHGNNLNGGPIEIGNMGLQRYHEPASNRSNASFSRPSAVNLQHHNFHHMSPPIQGMRGHNINILPQAPAASFRVPTANASQITMNPSQDGLDIGLRHLGSVQPTGLRMYRSHREGVVPETTLRHRNLPQLRVLPTDGVAILGFPDYYEVENHVDHHRDMRLDIEDMSYEELLALGERIGNVSTGLSEGTIRSQLKTRTYLSSPSINLEEAACMDQEADSCIICQDDYKSKEKIAALDCGHEYHAVCLKKWLRLKNVCPICKSEALNTERMDV >Potri.011G097100.5.v4.1 pep chromosome:Pop_tri_v4:11:12543257:12547463:-1 gene:Potri.011G097100.v4.1 transcript:Potri.011G097100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097100.v4.1 MGQRNMLCTNQMIDLEMDQQSQGYLHPEPCILLGGVTNFRPSDIPTMVAASGNTNNRDAHLVDHYDGAMFYGMPQYHGLHPHRQYHGPNLDLSVATAPNFYVPYMTPSSGIPISHGPCDQLSSSNNYGVIEVSADEYGTNSHFMDNARGSFKRKNAEGNPGNFQYLNALASSSSSVAPLNTRHSEGGALMDATSFTPPHYRGSSASSIRDVGSQSSVRNRLGAAGLDPALAHNLNHFIQGNYLGQPYQPSGSLWLDQHLSNSGTDAGTSGWTQTTAIPYMHGNNLNGGPIEIGNMGLQRYHEPASNRSNASFSRPSAVNLQHHNFHHMSPPIQGMRGHNINILPQAPAASFRVPTANASQITMNPSQDGLDIGLRHLGSVQPTGLRMYRSHREGVVPETTLRHRNLPQLRVLPTDGVAILGFPDYYEVENHVDHHRDMRLDIEDMSYEELLALGERIGNVSTGLSEGTIRSQLKTRTYLSSPSINLEEAACMDQEADSCIICQDDYKSKEKIAALDCGHEYHAVCLKKWLRLKNVCPICKSEALNTERMDV >Potri.011G097100.6.v4.1 pep chromosome:Pop_tri_v4:11:12543244:12545669:-1 gene:Potri.011G097100.v4.1 transcript:Potri.011G097100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097100.v4.1 MFYGMPQYHGLHPHRQYHGPNLDLSVATAPNFYVPYMTPSSGIPISHGPCDQLSSSNNYGVIEVSADEYGTNSHFMDNARGSFKRKNAEGNPGNFQYLNALASSSSSVAPLNTRHSEGGALMDATSFTPPHYRGSSASSIRDVGSQSSVRNRLGAAGLDPALAHNLNHFIQGNYLGQPYQPSGSLWLDQHLSNSGTDAGTSGWTQTTAIPYMHGNNLNGGPIEIGNMGLQRYHEPASNRSNASFSRPSAVNLQHHNFHHMSPPIQGMRGHNINILPQAPAASFRVPTANASQITMNPSQDGLDIGLRHLGSVQPTGLRMYRSHREGVVPETTLRHRNLPQLRVLPTDGVAILGFPDYYEVENHVDHHRDMRLDIEDMSYEELLALGERIGNVSTGLSEGTIRSQLKTRTYLSSPSINLEEAACMDQEADSCIICQDDYKSKEKIAALDCGHEYHAVCLKKWLRLKNVCPICKSEALNTERMDV >Potri.001G139200.1.v4.1 pep chromosome:Pop_tri_v4:1:11338254:11341002:-1 gene:Potri.001G139200.v4.1 transcript:Potri.001G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139200.v4.1 MSKINTLLSRIKPLHHPKPISPSPFPFPPHIKILVKDIIQILSTHPHWEKSLETRFSDCETPVSGIAHFVFDRIRDPGLGLKLFEWASKRSDFNDLLDGFSCSSLLKLLARCRVFVEVENLLETMKCKDLAPTREALSFVIGAYVDSGLVNRALELYHIAYDIHNYLPDVIACNALLNALIQQKKVEIARKVYEEMVKRDGCWDNYSVCIMVRGLCKERKVEEGRKLINDRWGKGCIPNIVFYNTLVDGYWKRGDVERANGLFKELKMKGFLPTTETYGIMINGLCKKCNFKAVDGLLVEMKERGVDVNVQVYNSIVDAQIKHGCKIEVGKTLRWITENGCEPDITTYNTLISGSCRDGKVHEAEELLEHAIKRGLSPNKLSYTPLIHVYCKQGKCLRAFDLFIGMTEKGHPLDLVAYGALVHGLVAAGEVDVALTVRDKMVERGVLPDANVYNVLMNGLCKKGRLSAAKLLLVEMLHQNLSLDAFVSATLVDGFIRHGKLDEAKKLFELTIAKGMDPGVVGYNAMIKGYCKFGMMNDALTCVQRMKDGDHSPDEFTYSTIIDGYVKQNDLHNALKLFGQMVKQKCKPNVVTYTSLINGFCRTGDSSRAEKTFEEMRSSGLKPNVVTYTILIGCFCKEGKISKACSFFELMLLNRCIPNDVTFNYLINGLTNNVATAVSNKANESLEIKASLMMDFFRTMISDGWEQRVAAYNSVLICLCHHKMVNAALQLRDKMTGKGIFPDPVSFAALVYGLCLEGRSKEWKNTISCKLNEWELQIAVKYSQKLNPFLPKGLTSEASKVFHTLLEGVKLHIQENNLIVSARNRS >Potri.008G170400.3.v4.1 pep chromosome:Pop_tri_v4:8:11795160:11799186:-1 gene:Potri.008G170400.v4.1 transcript:Potri.008G170400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170400.v4.1 MDSDQGKLFIGGISWETSEEKLGEYFTQYGDVLQTVVMKDKTTGRPRGFGFVVFADPSVLDRVLQDTHTIDGRTVEAKRALSREEQQTNARAGNLNPARNTSSGGNIRTKKIFVGGLPPTLTDDGFRQYFEAFGLVTDVVIMYDQSTQRPRGFGFISFDSEDAVDRVLQRTFHDLNGKQVEVKRALPKEANPGGGGRSMGGSYQSYGASGGNTNSYDGRMDSSRYMQPQGTGGGFPPYGSSGYNAAGYGYGPASNGVGYGGYSSYTGAGAGYGGPAGAVYGNPNVPNPGYASGPPGAPRSSWNSQGSSGYGAMGYGSAASWGASNASAGSGPGSASAGQSPGGATGYGSQGYGYGSYGGNDGSYVNPVGYGAVGGRSGGTSNSNAGGPGGAELQGSGGGYMGSGYGDANGNSGYGNASWRSEQPQTSGNYGGPQANGPGGYGGGYGGSQARQAQQQ >Potri.008G170400.1.v4.1 pep chromosome:Pop_tri_v4:8:11795126:11799204:-1 gene:Potri.008G170400.v4.1 transcript:Potri.008G170400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170400.v4.1 MDSDQGKLFIGGISWETSEEKLGEYFTQYGDVLQTVVMKDKTTGRPRGFGFVVFADPSVLDRVLQDTHTIDGRTVEAKRALSREEQQTNARAGNLNPARNTSSGGNIRTKKIFVGGLPPTLTDDGFRQYFEAFGLVTDVVIMYDQSTQRPRGFGFISFDSEDAVDRVLQRTFHDLNGKQVEVKRALPKEANPGGGGRSMGGSYQSYGASGGNTNSYDGRMDSSRYMQPQGTGGGFPPYGSSGYNAAGYGYGPASNGVGYGGYSSYTGAGAGYGGPAGAVYGNPNVPNPGYASGPPGAPRSSWNSQGSSGYGAMGYGSAASWGASNASAGSGPGSASAGQSPGGATGYGSQGYGYGSYGGNDGSYVNPVGYGAVGGRSGGTSNSNAGGPGGAELQGSGGGYMGSGYGDANGNSGYGNASWRSEQPQTSGNYGGPQANGPGGYGGGYGGSQARQAQQQ >Potri.003G147700.2.v4.1 pep chromosome:Pop_tri_v4:3:16190684:16192244:1 gene:Potri.003G147700.v4.1 transcript:Potri.003G147700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G147700.v4.1 MATRFTLFSLFTSLLLSLSLAEIRFSDIRSDDRQIIPFDEFGFTHFGRLELNVTNIRLSNPNPDLDRSKIGFFLCTRDSWLHVINQLEDGEIACALQSDLIKPVFTFNDLKKDHDSLSKIVTQNDADQYTLVFANCLTSLKVSMDVKSVMYNLDRGGKVRDYLSAGKTILPRVYYLLSLIYFGLVGVWIYVLYRKRLTVYRIHFFMLAVVILKTVNLLCEAEDKSYIKRTGYAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDETGPYGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVVDDEEEEAAAEALKLEDEFEL >Potri.003G147700.3.v4.1 pep chromosome:Pop_tri_v4:3:16190739:16192268:1 gene:Potri.003G147700.v4.1 transcript:Potri.003G147700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G147700.v4.1 MDVKSVMYNLDRGGKVRDYLSAGKTILPRVYYLLSLIYFGLVGVWIYVLYRKRLTVYRIHFFMLAVVILKTVNLLCEAEDKSYIKRTGYAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQDKEKKVLMIVIPLQVVANIAQVVIDETGPYGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYVFTGYKFKPEAHNPYFVVDDEEEEAAAEALKLEDEFEL >Potri.002G089300.1.v4.1 pep chromosome:Pop_tri_v4:2:6448097:6450138:-1 gene:Potri.002G089300.v4.1 transcript:Potri.002G089300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089300.v4.1 MDLPELWAILGPAVAGAVFGTGWWFWIDAVVCSSVTVSFVHYLPGIFASIAALMFNCVRKEDIDYSPYEEGEWRLKLWLFFAYVVSFVSLAASVGLLIQDSIVKTGPSVWTGTAGVLQCVFVLISGLIYWTSHSE >Potri.010G056800.6.v4.1 pep chromosome:Pop_tri_v4:10:8762054:8765457:1 gene:Potri.010G056800.v4.1 transcript:Potri.010G056800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056800.v4.1 MRSMEDKGCYNHGPTQEIPSSKATSFEFHKGNGASRGGHHRTALGKPTPSKWDDAQKWLVGLSRGGGGDRKESQPRNSNADDRRLIAPVPQMEHDYSSGEDEVGGEAANGCSISITNQYEVETKKVDCDESVWRVNKPAQNSTMSAVRSICVRDMGTEMTPIASQEPSRTTTPIRATTPAARSPVSSGSCTPVRGLNGLPGNEGYQTGLAMTESRGEASCAPRGVSATRNYYGQESNGSRIHENMESDQVRKVSTLETRAMAWDDAERAKYMARYKREEVKIQAWENHEKRKAEMEKRKMEVKAERLKARAQERLANKLASTTRIAEEKRSNAEATLNEKAVKTSETADYIRRTGHLPSSFSFKFPSLCW >Potri.003G210600.3.v4.1 pep chromosome:Pop_tri_v4:3:20837929:20846585:-1 gene:Potri.003G210600.v4.1 transcript:Potri.003G210600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210600.v4.1 MDPLVSIEDQFVKLHPCLPVNTRIGIVGGGPSGISAAYALAKLGYQNVTVLEKHHTVGGMCESVDIEGRIYDLGGQVLAKNSAPVIFHLAKEVGSELEEMDSHKLAHIDSSTGKYQDIKVADDYVAVMSLTLELQDKAKDSGRIGVHAVSELAADLTPTYLESRGFKSVPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQKISESLPIEVNCKTDVLAIRRNSDSVRVDVKRNNGEILEMEFDKIIISGSFPFTNGNTYRFPAEKSTESETKVTDLSEVERYFFRKVQTIDYYTTVLKIKGLEDMPVGFYYFGEYMEDPATIGHPVAMQKFYADTDIFLFWSYGNSFDIKGPTVAELAKKVVMSMGAKVEEEVLQRRFKYFPHVGSQEMKDGFYEKLESELQGQRNTYYVGGLMAFELTERNSSYAMDLICKHFANNNSVPMFPYVKSLFSLKSDCWDRNPKELGEGVEFPDLSTLDGYLKHWGTESMTKDKTLYTWIGEDGAVVCQRTYAELHAKASCIARKLLTSRKPVIKPGDRVLLVYVPGLDFIDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIESIAKSCNAVAILSTLLYHSAVRAGSVKNLISLAGKNGKWPNLPWMHTDSWLKDSKVLAPGNIAYESECQPDDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMKRIYKSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGSAILFSPMTFIKNPLLWLQIMSKYNATHSAGPNFAFELLIRRLEYADKDKVRNFDLSSLIFLMVAAEPVRQRTLKRFVELTRPFGLSQEVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVEPNGEDIDIRIVDPESNEELKESGKEGEIWISSPSAGIGYWGREELSQSTFRNVLQNHPGRKYTRTGDLGRIIDGKVFITGRIKDLIIVAGRNIYSTDVEKTVESASELLRPGCCAVIGVPEEVLSSKGISLPDCSDQVGLVVIAEVRDAKPVDKDVVENIRSRVAEEHGVTVASIKLIKPRTISKTTSGKIKRFECLKHFTDGTLNTVPDPIFAKRKLLRSFTTGTCKEGLTPRSRLATSPLPTAKFSKKEIVEFLKGLVSEQTGIPIKNISATESLVSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTANCIAELASFSENLAMKSQPHLMNSQSYQPEPDIDSSEFDTEVSTTRLISVWFFQLLALVYVCAMLSFPAYFSVSAFTSLLSASHLLNEEFPWWNYLIPLALAPLAWILGIISTCISIAFLGNSFLKPNYALTPEVSIWSIHFVKWWALYKAQEISSKVFAEHLRGTVFLNYWFEMLGAKIGSSVLLDTVDITDPSLVSIGDGAVIAEGALLQSHEVKNGILSFQAIRIGRNSSVGPYAVIQKGSTLGEEADVQPLQKTEGGKAVLKSSKAHNVQKGAMLSDKATYHFMGIYMVGLLSTLSAAIIYFLYIWLSQKPASIQHFSFLCISGAFHWTPFTVIAYATMIANVPSNPATFAISVAIVYLAHGLILSLLTCTLAHFLAEKQEKRESHMKVWLRHRITIACHLRFAKLLSGTEAFCIYLRLLGASVGEHCSIRAVNPVSDPELITIGDGVHLGDFSRMIAGFYSSSGFTQGKIEVQDNSVVGSQSLILPGSVVQKDVILGALSVAPANSVLRQGGVYIGSQTPVMIKNTMHALDDRIEEMDFKYKKIVGNLAANLAATTLKVKTRYFHRIGVSGKGHLKIYDNLKGFPDHKIFQAGKSYPIVVRHSNSLSADDDARIDARGAAIRILSDDNGSNSSSLLDLTLKTGKAFYARTIADFATWLVCGLPAREQHVKRAPHIRDAVWMSLRNANSFADLHYYSNICRLFRFSDGQEMYVKFKLRPGDENISEDSGKVEPMGILPPETGAIPRDEKDTRPLLFLAEDFQSRVSSPGGVRYIFQLQIRPVPHDDATCDVALDCTKPWDESEFPYIDIGEVHIDQNLTGAESEALEFNPYIRCHEVDVIRATSSSQSASIDHGRSLIYEICQHLRNGEPLPEAWRIFIEQSDVKVDLSGCPMAAALEKKDSGKVTLARTWYQTLWVIFAQPLLQTFLPYFLMGLLIFAPLNWILLLKESKKVAMHWFLPLVWVSSGVLAALACVVAKWILVGKKKEGQTVQIWSIGVFMDTVWQAFRTVVGDYFMEMTSGSILFLLWLKLMGSDIDLDQGAYVDSMGAALNPEMVEIERGGCVGREALLFGHIYEGEGGKVKFGRIRVGEGGFVGSRAIAMPGVRVEIGGNLSALSLAMKEEIVRSM >Potri.003G210600.2.v4.1 pep chromosome:Pop_tri_v4:3:20837930:20845837:-1 gene:Potri.003G210600.v4.1 transcript:Potri.003G210600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210600.v4.1 MDPLVSIEDQFVKLHPCLPVNTRIGIVGGGPSGISAAYALAKLGYQNVTVLEKHHTVGGMCESVDIEGRIYDLGGQVLAKNSAPVIFHLAKEVGSELEEMDSHKLAHIDSSTGKYQDIKVADDYVAVMSLTLELQDKAKDSGRIGVHAVSELAADLTPTYLESRGFKSVPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQKISESLPIEVNCKTDVLAIRRNSDSVRVDVKRNNGEILEMEFDKIIISGSFPFTNGNTYRFPAEKSTESETKVTDLSEVERYFFRKVQTIDYYTTVLKIKGLEDMPVGFYYFGEYMEDPATIGHPVAMQKFYADTDIFLFWSYGNSFDIKGPTVAELAKKVVMSMGAKVEEEVLQRRFKYFPHVGSQEMKDGFYEKLESELQGQRNTYYVGGLMAFELTERNSSYAMDLICKHFANNNSVPMFPYVKSLFSLKSDCWDRNPKELGEGVEFPDLSTLDGYLKHWGTESMTKDKTLYTWIGEDGAVVCQRTYAELHAKASCIARKLLTSRKPVIKPGDRVLLVYVPGLDFIDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIESIAKSCNAVAILSTLLYHSAVRAGSVKNLISLAGKNGKWPNLPWMHTDSWLKDSKVLAPGNIAYESECQPDDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMKRIYKSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGSAILFSPMTFIKNPLLWLQIMSKYNATHSAGPNFAFELLIRRLEYADKDKVRNFDLSSLIFLMVAAEPVRQRTLKRFVELTRPFGLSQEVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVEPNGEDIDIRIVDPESNEELKESGKEGEIWISSPSAGIGYWGREELSQSTFRNVLQNHPGRKYTRTGDLGRIIDGKVFITGRIKDLIIVAGRNIYSTDVEKTVESASELLRPGCCAVIGVPEEVLSSKGISLPDCSDQVGLVVIAEVRDAKPVDKDVVENIRSRVAEEHGVTVASIKLIKPRTISKTTSGKIKRFECLKHFTDGTLNTVPDPIFAKRKLLRSFTTGTCKEGLTPRSRLATSPLPTAKFSKKEIVEFLKGLVSEQTGIPIKNISATESLVSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTANCIAELASFSENLAMKSQPHLMNSQSYQPEPDIDSSEFDTEVSTTRLISVWFFQLLALVYVCAMLSFPAYFSVSAFTSLLSASHLLNEEFPWWNYLIPLALAPLAWILGIISTCISIAFLGNSFLKPNYALTPEVSIWSIHFVKWWALYKAQEISSKVFAEHLRGTVFLNYWFEMLGAKIGSSVLLDTVDITDPSLVSIGDGAVIAEGALLQSHEVKNGILSFQAIRIGRNSSVGPYAVIQKGSTLGEEADVQPLQKTEGGKAVLKSSKAHNVQKGAMLSDKATYHFMGIYMVGLLSTLSAAIIYFLYIWLSQKPASIQHFSFLCISGAFHWTPFTVIAYATMIANVPSNPATFAISVAIVYLAHGLILSLLTCTLAHFLAEKQEKRESHMKVWLRHRITIACHLRFAKLLSGTEAFCIYLRLLGASVGEHCSIRAVNPVSDPELITIGDGVHLGDFSRMIAGFYSSSGFTQGKIEVQDNSVVGSQSLILPGSVVQKDVILGALSVAPANSVLRQGGVYIGSQTPVMIKNTMHALDDRIEEMDFKYKKIVGNLAANLAATTLKVKTRYFHRIGVSGKGHLKIYDNLKGFPDHKIFQAGKSYPIVVRHSNSLSADDDARIDARGAAIRILSDDNGSNSSSLLDLTLKTGKAFYARTIADFATWLVCGLPAREQHVKRAPHIRDAVWMSLRNANSFADLHYYSNICRLFRFSDGQEMYVKFKLRPGDENISEDSGKVEPMGILPPETGAIPRDEKDTRPLLFLAEDFQSRVSSPGGVRYIFQLQIRPVPHDDATCDVALDCTKPWDESEFPYIDIGEVHIDQNLTGAESEALEFNPYIRCHEVDVIRATSSSQSASIDHGRSLIYEICQHLRNGEPLPEAWRIFIEQSDVKVDLSGCPMAAALEKKDSGKVTLARTWYQTLWVIFAQPLLQTFLPYFLMGLLIFAPLNWILLLKESKKVAMHWFLPLVWVSSGVLAALACVVAKWILVGKKKEGQTVQIWSIGVFMDTVWQAFRTVVGDYFMEMTSGSILFLLWLKLMGSDIDLDQGAYVDSMGAALNPEMVEIERGGCVGREALLFGHIYEGEGGKVKFGRIRVGEGGFVGSRAIAMPGVRVEIGGNLSALSLAMKEEIVRSM >Potri.003G210600.4.v4.1 pep chromosome:Pop_tri_v4:3:20837930:20846585:-1 gene:Potri.003G210600.v4.1 transcript:Potri.003G210600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210600.v4.1 MDPLVSIEDQFVKLHPCLPVNTRIGIVGGGPSGISAAYALAKLGYQNVTVLEKHHTVGGMCESVDIEGRIYDLGGQVLAKNSAPVIFHLAKEVGSELEEMDSHKLAHIDSSTGKYQDIKVADDYVAVMSLTLELQDKAKDSGRIGVHAVSELAADLTPTYLESRGFKSVPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQKISESLPIEVNCKTDVLAIRRNSDSVRVDVKRNNGEILEMEFDKIIISGSFPFTNGNTYRFPAEKSTESETKVTDLSEVERYFFRKVQTIDYYTTVLKIKGLEDMPVGFYYFGEYMEDPATIGHPVAMQKFYADTDIFLFWSYGNSFDIKGPTVAELAKKVVMSMGAKVEEEVLQRRFKYFPHVGSQEMKDGFYEKLESELQGQRNTYYVGGLMAFELTERNSSYAMDLICKHFANNNSVPMFPYVKSLFSLKSDCWDRNPKELGEGVEFPDLSTLDGYLKHWGTESMTKDKTLYTWIGEDGAVVCQRTYAELHAKASCIARKLLTSRKPVIKPGDRVLLVYVPGLDFIDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIESIAKSCNAVAILSTLLYHSAVRAGSVKNLISLAGKNGKWPNLPWMHTDSWLKDSKVLAPGNIAYESECQPDDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMKRIYKSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGSAILFSPMTFIKNPLLWLQIMSKYNATHSAGPNFAFELLIRRLEYADKDKVRNFDLSSLIFLMVAAEPVRQRTLKRFVELTRPFGLSQEVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVEPNGEDIDIRIVDPESNEELKESGKEGEIWISSPSAGIGYWGREELSQSTFRNVLQNHPGRKYTRTGDLGRIIDGKVFITGRIKDLIIVAGRNIYSTDVEKTVESASELLRPGCCAVIGVPEEVLSSKGISLPDCSDQVGLVVIAEVRDAKPVDKDVVENIRSRVAEEHGVTVASIKLIKPRTISKTTSGKIKRFECLKHFTDGTLNTVPDPIFAKRKLLRSFTTGTCKEGLTPRSRLATSPLPTAKFSKKEIVEFLKGLVSEQTGIPIKNISATESLVSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTANCIAELASFSENLAMKSQPHLMNSQSYQPEPDIDSSEFDTEVSTTRLISVWFFQLLALVYVCAMLSFPAYFSVSAFTSLLSASHLLNEEFPWWNYLIPLALAPLAWILGIISTCISIAFLGNSFLKPNYALTPEVSIWSIHFVKWWALYKAQEISSKVFAEHLRGTVFLNYWFEMLGAKIGSSVLLDTVDITDPSLVSIGDGAVIAEGALLQSHEVKNGILSFQAIRIGRNSSVGPYAVIQKGSTLGEEADVQPLQKTEGGKAVLKSSKAHNVQKGAMLSDKATYHFMGIYMVGLLSTLSAAIIYFLYIWLSQKPASIQHFSFLCISGAFHWTPFTVIAYATMIANVPSNPATFAISVAIVYLAHGLILSLLTCTLAHFLAEKQEKRESHMKVWLRHRITIACHLRFAKLLSGTEAFCIYLRLLGASVGEHCSIRAVNPVSDPELITIGDGVHLGDFSRMIAGFYSSSGFTQGKIEVQDNSVVGSQSLILPGSVVQKDVILGALSVAPANSVLRQGGVYIGSQTPVMIKNTMHALDDRIEEMDFKYKKIVGNLAANLAATTLKVKTRYFHRIGVSGKGHLKIYDNLKGFPDHKIFQAGKSYPIVVRHSNSLSADDDARIDARGAAIRILSDDNGSNSSSLLDLTLKTGKAFYARTIADFATWLVCGLPAREQHVKRAPHIRDAVWMSLRNANSFADLHYYSNICRLFRFSDGQEMYVKFKLRPGDENISEDSGKVEPMGILPPETGAIPRDEKDTRPLLFLAEDFQSRVSSPGGVRYIFQLQIRPVPHDDATCDVALDCTKPWDESEFPYIDIGEVHIDQNLTGAESEALEFNPYIRCHEVDVIRATSSSQSASIDHGRSLIYEICQHLRNGEPLPEAWRIFIEQSDVKVDLSGCPMAAALEKKDSGKVTLARTWYQTLWVIFAQPLLQTFLPYFLMGLLIFAPLNWILLLKESKKVAMHWFLPLVWVSSGVLAALACVVAKWILVGKKKEGQTVQIWSIGVFMDTVWQAFRTVVGDYFMEMTSGSILFLLWLKLMGSDIDLDQGAYVDSMGAALNPEMVEIERGGCVGREALLFGHIYEGEGGKVKFGRIRVGEGGFVGSRAIAMPGVRVEIGGNLSALSLAMKEEIVRSM >Potri.018G095300.4.v4.1 pep chromosome:Pop_tri_v4:18:11520091:11526984:-1 gene:Potri.018G095300.v4.1 transcript:Potri.018G095300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095300.v4.1 MHTRYMERTNSMARRKRGLEGGGAEEGEQQQQQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKIGPARQIGRSSPKRIEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLVDASTGHVVTSGTEASVKLDVVVLEGDFNNEADEGWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGSLGDLTFTDNSSWIRSRKFRLGLKVASGYSEGIHIREAKTEAFTVKDHRGELYKKHYPPALDDDVWRLEKIGKDGSFHKRLNNQGIFKVEDFLRLAVKDSQKLRNILGGGMSNKMWDALLEHAKTCVLSGKLYVYYPDNSRNVGAVFNNIFELNGLISEEQYYAANSLSDEQKIYVDTLVKKAYDNWDMVVEYDGKSLLNFNQNRRVSVSQNEHQINQIGYSNPSGHQVQLPRLPASIPTEQSSVHSALQAGGYNDNLVSGYSMQSQLVNPDSRTQLGSNSFAPHQQLISNPQQLLSTRNDNSAVGLALGPPQSSTSGFQTIGSSMQPTNLNPFDDWTSNRDKSADEFFSEEEIRIRSHEMLENEDMQHLLRLFSMGGHANVPEDGFSYPPYMASPMPNYDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQLVELDDDDE >Potri.018G095300.2.v4.1 pep chromosome:Pop_tri_v4:18:11520119:11527065:-1 gene:Potri.018G095300.v4.1 transcript:Potri.018G095300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095300.v4.1 MHTRYMERTNSMARRKRGLEGGGAEEGEQQQQQQPERKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKIGPARQIGRSSPKRIEGPDGRNLQLHFRSRLSLPLFTGGKVEGEQGAAIHVVLVDASTGHVVTSGTEASVKLDVVVLEGDFNNEADEGWTQEEFESHVVKEREGKRPLLTGDLQVTLKEGVGSLGDLTFTDNSSWIRSRKFRLGLKVASGYSEGIHIREAKTEAFTVKDHRGELYKKHYPPALDDDVWRLEKIGKDGSFHKRLNNQGIFKVEDFLRLAVKDSQKLRNILGGGMSNKMWDALLEHAKTCVLSGKLYVYYPDNSRNVGAVFNNIFELNGLISEEQYYAANSLSDEQKIYVDTLVKKAYDNWDMVVEYDGKSLLNFNQNRRVSVSQNEHQINQIGYSNPSGHQVQLPRLPASIPTEQSSVHSALQAGGYNDNLVSGYSMQSQLVNPDSRTQLGSNSFAPHQQLISNPQQLLSTRNDNSAVGLALGPPQSSTSGFQTIGSSMQPTNLNPFDDWTSNRDKSADEFFSEEEIRIRSHEMLENEDMQHLLRLFSMGGHANVPEDGFSYPPYMASPMPNYDEDRSRPGKAVVGWLKIKAAMRWGFFIRKKAAERRAQLVELDDDDE >Potri.008G193300.2.v4.1 pep chromosome:Pop_tri_v4:8:13618525:13623856:1 gene:Potri.008G193300.v4.1 transcript:Potri.008G193300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193300.v4.1 MSETSKARVTITLGRGGQVVKRAATVSDDYSNSQQGAGSKRSVMERLGSNSDILSLHGNQLSNKRQRGDNRLTSIGANGVEDARIGKDDLRYKLMQKNVFSRTQSDDDQKTMDLREKLSRTVRSSGPLLSNLDARHRLPDPKDTSILGRIPPTRSADVLHHMDSSRNSFSPWTLDHIRRRSPDRVMSSSGGLSPPRNMDNLQRRPLNRTYDAVRTVPYMNKDVLDTPRSVSSSTTFITKSAMLPLSPSPTVPAKSVVPLMGHLPPSGIVQKSSYVAEEQQTVEGLLHSLGLGKYVVLFKAEEIDMTALKQMGERDLKELGIPMGPRKKILLALLPRSKRQP >Potri.005G144200.1.v4.1 pep chromosome:Pop_tri_v4:5:11627011:11629923:1 gene:Potri.005G144200.v4.1 transcript:Potri.005G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144200.v4.1 MEFTLHPLFLLQSLLLLSSSVSYNPSVKYFMNCGSGTDVDDLDGYPRTFVGDENSNSSFSVGKSKSIQNENPLPGISLLYHTARIYTKLTPYMLDITQNGSYLVRLHFFPFSFKGTHLADALFDVSASNFSLLTDFRVPNSTTEFPVIKEFFLTIAAGKFKIYFKPAEKTSYAFVNAIEAFLLPPNFFLDNSTTPPLRTEDGLLRTLYRINVGGPEVNDTLWRNWVPDDDYLTFGGSGANRIFRGELHEASQGLIVQEIAPDSVYKTYKEARVDNKGASNFPNIAWRFNVSKKARHLVRLHFCDFISESPGTVKFDLNLSTNLSQVIDPNSGGFSEMASPFFLDFVVPSDDSGYMSFSIAPGNNSIPKVAFLNGLEIMEFVGNTIIVVPVDEHESKNHLALIIGSAGGVALVLVLILLFSLCLRLKRPKPVKAEFLYGKGRSPSWITEKTENASSNFTNLNLKLKMSVAEILAATHNFNPKLLIGEGGFGKVYKGTLETGMKVAVKRSDSSHGQGLPEFRTEVMVLSKIQHRHLVSLVGYCDEGSEMILVFEFIEKGTLSDHLYSRMECLKNPSAKTELTWKQRLEICIGSAKGLHYLHTGPDGGIFHRDVKSTNILLDEHYVAKVADFGLSQQGMPDPDHISMGFKGTFGYLDPEYFRTFQLTNKSDVYSFGVVLLEVLCARPPVVNSQQREEINLVEWGMFWQKKGQLERIIDPLLAGRINPNSLRKFGEIVEKCLKPQGADRPNMIDVCWDLEYAMQLQQTAVHREAHEDNTTTGVSSDSALPVMQNMSSNMFPIDDYSDTTAMYPN >Potri.005G144200.2.v4.1 pep chromosome:Pop_tri_v4:5:11627376:11630078:1 gene:Potri.005G144200.v4.1 transcript:Potri.005G144200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144200.v4.1 MNCGSGTDVDDLDGYPRTFVGDENSNSSFSVGKSKSIQNENPLPGISLLYHTARIYTKLTPYMLDITQNGSYLVRLHFFPFSFKGTHLADALFDVSASNFSLLTDFRVPNSTTEFPVIKEFFLTIAAGKFKIYFKPAEKTSYAFVNAIEAFLLPPNFFLDNSTTPPLRTEDGLLRTLYRINVGGPEVNDTLWRNWVPDDDYLTFGGSGANRIFRGELHEASQGLIVQEIAPDSVYKTYKEARVDNKGASNFPNIAWRFNVSKKARHLVRLHFCDFISESPGTVKFDLNLSTNLSQVIDPNSGGFSEMASPFFLDFVVPSDDSGYMSFSIAPGNNSIPKVAFLNGLEIMEFVGNTIIVVPVDEHESKNHLALIIGSAGGVALVLVLILLFSLCLRLKRPKPVKAEFLYGKGRSPSWITEKTENASSNFTNLNLKLKMSVAEILAATHNFNPKLLIGEGGFGKVYKGTLETGMKVAVKRSDSSHGQGLPEFRTEVMVLSKIQHRHLVSLVGYCDEGSEMILVFEFIEKGTLSDHLYSRMECLKNPSAKTELTWKQRLEICIGSAKGLHYLHTGPDGGIFHRDVKSTNILLDEHYVAKVADFGLSQQGMPDPDHISMGFKGTFGYLDPEYFRTFQLTNKSDVYSFGVVLLEVLCARPPVVNSQQREEINLVEWGMFWQKKGQLERIIDPLLAGRINPNSLRKFGEIVEKCLKPQGADRPNMIDVCWDLEYAMQLQQTAVHREAHEDNTTTGVSSDSALPVMQNMSSNMFPIDDYSDTTAMYPN >Potri.015G049700.1.v4.1 pep chromosome:Pop_tri_v4:15:5217249:5218970:1 gene:Potri.015G049700.v4.1 transcript:Potri.015G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049700.v4.1 MSQDYDFQDGEFATAVAAAAFVIHSHEEAEADYRRKLRGDFGKSKEKIKTTKQDSSAGSVRVKRHFSSREVVVAGETSARKPTEEDRRQQENAFPARKPSRSSSVRPMNRQPLSQVVETKADSWEKDQLRKINRRYEKMKSKILDWEKAKKMRAKLHGEKKKSELELRRARNMQHYHNKIARIDLISGRARGQLEEKRRNEELEVKEKAKHMRSKGRSPSRCFCC >Potri.002G185900.1.v4.1 pep chromosome:Pop_tri_v4:2:14760238:14762380:-1 gene:Potri.002G185900.v4.1 transcript:Potri.002G185900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185900.v4.1 MGRHSCCYKQKLRKGLWSPEEDEKLLSHITKYGHGCWSSVPKQAGLQRCGKSCRLRWINYLRPDLKRGTFSHLEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCLKKKLKQRGIDPVTHKPLSEVEDGEDKNPAASRNQDKASAVSNTELNLLKADHSKPLGANLQEKRSSSISPHGNQLERESTSSFKAMNENNNNTNDHGNNNLMTPTSNKDFFFDRFTAFLHEGSTSNCPPSGFVGHFPLQQLNYASNARLATNSIPSLWLSQTSKAFGMNCEFSSTMIPSIPPPAVTSSFLSSSMGYKPSITVPPDNPSLPSFTTNSYRPWETGAPSNNSNSSTGSNGSTELQSNSSFFENTIFSWGLGDCSSTEKEAQNHLIGSQQEDIRWPEYLQNPLLMAASLQNQNQQSLYNEIKTETHLLTENPSGMWPDNQQEQEPLQNPDICPKDMQRITASYGYV >Potri.012G083900.2.v4.1 pep chromosome:Pop_tri_v4:12:10952051:10953495:-1 gene:Potri.012G083900.v4.1 transcript:Potri.012G083900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083900.v4.1 MPAGNTWKTRNMVIYIRRVLDAFVQVQQILMFNKDCQQAFDTHTGSMRLDGIWLVAWSFPDQDLVEAQYGWQLTWDSGEGRSWCTYQKRRWGLGDWFLYLQW >Potri.006G192100.1.v4.1 pep chromosome:Pop_tri_v4:6:19920228:19921330:1 gene:Potri.006G192100.v4.1 transcript:Potri.006G192100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192100.v4.1 MEDLMMRKRPAFMPSSITPASPLTMHRDSHTIDKVKPKIRIIHIFAPEIIKTDVANFRELVQRLTGKPTVQKGGCKKKATSARTQDQPRNCNNNNNNYLCDNKPVMTKKVELRSGFGSTLGSRERVKEEEEIWNGPNSGGFLGGFTDLDGFIQELGEFPLLPMDANHMQGFGETQLA >Potri.002G012100.1.v4.1 pep chromosome:Pop_tri_v4:2:747910:749084:-1 gene:Potri.002G012100.v4.1 transcript:Potri.002G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012100.v4.1 MDVLIGTSKASCHVRSISLPSESHPLTQSVKVRLGRLRSSQETSSLNCQGLSGLLKNLYESVDDFIQLPLTYQAISNVQHQGSVDEVLDRSLRLLEVCGATKDIFSQMKECLKDLESSIRRKRGESSLAGDIEAYMISRKKLKKVISKSKSFRSLKTMEKDISVAQDKDTTLVTLTREVEDISVAVFASLLSSISLTPKSKGNGWSVVSKLLKSRSTVDAKEVGMINAEVLAWRSSKDISLVQVQNLLKHLETSQSSIQEVEEELEFAYRLLLKTRVSLLNILTH >Potri.001G346800.1.v4.1 pep chromosome:Pop_tri_v4:1:35823382:35826104:-1 gene:Potri.001G346800.v4.1 transcript:Potri.001G346800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346800.v4.1 MNFFNLKNYSLIILNIVLFLWTSRFETCHAREGHQWRLKGKFPPAHSVLNVEKEKGHGSTAGSSHQHHGRSAMSKFAGNNYMLADDTMSTQQEGSSKAFNVLEFGAKGDGKTDDSEAFGATWAAACKVEASTMIVPSGYVFLLHSVSFSGPGCEADIVFQLDGKIIAPTTPGAWKSGLLQWIEFTKLNGITIQGKGIIDGQGSVWWNNLPTNNLEDSEGVMNAKMPGTKPTALRFYGSTRVIVTGITIQNSPQTHLKFDDCTTVQVSDFKAASPENSPNTDGIHLENSHDVLIYSSDLACGDDCVSIQTGCSQVYIHNVNCGPGHGISIGGLGRDNSKACVSNVTVRDVAMLNTLTGVRIKTWQGGSGSVQGVTFSNIQVSGVKTPIMIDQFYCDRSKCQNESSAVAVSDINYINIKGTYASKPVHLACSDDLPCTGISFSAIELNPVQEDSQPFCWNTFGELRSTTVPPINCLKMGKSRKPVVNC >Potri.002G216000.2.v4.1 pep chromosome:Pop_tri_v4:2:20238463:20240668:1 gene:Potri.002G216000.v4.1 transcript:Potri.002G216000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216000.v4.1 MALKFSAMAFIFSFLPTVIIPLKFSYPAVFNFGDSNSDTGGLVAGLAFPVGPPNGQTYFQQPHGRFCDGRLIIDFLRGSTILPANAASTCPFSFGVQVAQFVRFKDRVLQLLAEDKEFQKYLPLEDYFMQGLYMFDTGQNDIDGAFYSKSEDQVIASFPTILSEFEAGIKRLYTAGARNFWVHNTGPLGCLPRIIATFGKNPSKLDQPVCVDSHNRAANVFNSQLLDLCTKFQGQFPDANVTYVDIFSIKMKLIADFSQYGFKHSLAACCGYGGPPLNFDNRIACGQTKVLNGSKVTGSPCNDTAEYVNWDGNHYTEAANRYVSEQILAGNYSNQLPLSINTPALTTSMFVDSKLLY >Potri.002G216000.1.v4.1 pep chromosome:Pop_tri_v4:2:20238463:20240668:1 gene:Potri.002G216000.v4.1 transcript:Potri.002G216000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216000.v4.1 MALKFSAMAFIFSFLPTVIIPLKFSYPAVFNFGDSNSDTGGLVAGLAFPVGPPNGQTYFQQPHGRFCDGRLIIDFLMDAMDRQFLNPYLDSVGAPNFQKGCNFATGGSTILPANAASTCPFSFGVQVAQFVRFKDRVLQLLAEDKEFQKYLPLEDYFMQGLYMFDTGQNDIDGAFYSKSEDQVIASFPTILSEFEAGIKRLYTAGARNFWVHNTGPLGCLPRIIATFGKNPSKLDQPVCVDSHNRAANVFNSQLLDLCTKFQGQFPDANVTYVDIFSIKMKLIADFSQYGFKHSLAACCGYGGPPLNFDNRIACGQTKVLNGSKVTGSPCNDTAEYVNWDGNHYTEAANRYVSEQILAGNYSNQLPLSINTPALTTSMFVDSKLLY >Potri.015G005900.1.v4.1 pep chromosome:Pop_tri_v4:15:346085:349477:1 gene:Potri.015G005900.v4.1 transcript:Potri.015G005900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005900.v4.1 MVKIGGSSWLNAVKRAFRSPSKENDKKSSRRREVYEQEEEEKKRGKRRWIFRKSSNQETVIHHCGVTTITNITATTNPASAASNSIGTEAAGAKQRQALEAAMATTAAAQAAVATAQAAVEVVRLTRPPLLAKQHFAAIAIQKAFRGYLARRALRALKGLVKMQALVRGHNVRKRANMILQCMQAMVRVQSRVLDSYEGSTNSISSDQNSLWGSNLAERKSTCRDASSTADDWVHCNNYKPKTLEEIQETKEVVALKREKALAYAFSQQIWKPGRDSYASEGEVEENPRWLDTWRTRKEWERRGSGALCDQLYPSRDPVKSTVERDTSRPYSYSTPNAHKFNHQYHYQQHRPSSYSVASPLQKNHNTLSQPVTPSLSKTRALLQVHSASPRCLGEGRNHVMEATNPSSASMPNYMAATASAKARIRSQSAPRQRASTPEREKSGSARKRLSFPVPDLATSNGGNMVNDYSLRSPSLKGIHGANMVMERRSNMSSCYTDSIDDEVYPPSTNDLIRWLR >Potri.015G132800.1.v4.1 pep chromosome:Pop_tri_v4:15:14235038:14236670:1 gene:Potri.015G132800.v4.1 transcript:Potri.015G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132800.v4.1 MASVVLFEIFFAFICFLSLIFCLRKNNGLPKNWPLIGMLPGLLFQVHRFHDWVTDVLEQSKCTFQFKGPWFGDMDILVTADPANIHYITSSNFSNFEKGSDFNKRFDILGDGIINADRDLWKSQRKVAQALVNHRLFYQRLVKTIQDKVERGLIPVLEHVYKQSLVLDLQDLFQRFTFDATCVIVTGFDPGCLSIDLPEVAFSKAMDNAMEAIFYRHVLPESTWRLQRWLGIGKEKKLKKARETLDRIIAEIISMKREELSKGNRLMEEDGEGIDLLTSYMSEDYNMGFKSDDEFLRDTIVTFMLAGRDTVSSCLSWFFWLVSKNPAAGAKIREELKTTLPEKEAEKRRLFDIEEIKKLVYLHGALCESLRLYPPIHSEFKSPVRQDILPSGHRVNPKTKIVFSLYAMGRMSSVWGDDCFEFKPERWITDRGGMKHEPSYKFFSFNAGPRTCLGKDVAFTQMKAVVAAIIYNYQVQVVDEHTVTPSQSVILHMKHGMKVKLTKRWA >Potri.018G031901.6.v4.1 pep chromosome:Pop_tri_v4:18:2340066:2342061:1 gene:Potri.018G031901.v4.1 transcript:Potri.018G031901.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031901.v4.1 MPTLGKTSPFLLLLLLATLFHLSHGDVGTCSHYRPPYLPTACYGNSSSHFPSSNLFAAAGEGIWDNGAACGRQYLVRCISAAVPRTCLPDQIIQVRIVDRAQTSRSRPSSNGATIVLSSTAFGSIADPSARLVNVEFQQV >Potri.008G011461.1.v4.1 pep chromosome:Pop_tri_v4:8:572733:573431:1 gene:Potri.008G011461.v4.1 transcript:Potri.008G011461.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011461.v4.1 MDIIQWNWVFYAVIWGSIAAATSICVMILNVIPMFVGYWAIFNMTGEGSFCVCLLGIIIAALLPRLDGIQIVREAEKFGHLWDIAVEVEMNPIMKPPTWR >Potri.002G063400.1.v4.1 pep chromosome:Pop_tri_v4:2:4328977:4333398:-1 gene:Potri.002G063400.v4.1 transcript:Potri.002G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063400.v4.1 MQILLLQPCKSLTLFSYSLPSNTDSLVITHKRSIVHHNKCAKPPCFHSFCSLGTLKAIFLSKNSQLWKSSLVTLCSQNDVFDDFSSTQLPEKERDDRIQVNEELELLNKPSPVIFNNGLDVEADKESEKPGKDEALAPFLKFFKSNDSLDEVSEDERDLGVVEERSGVDNEDKEPRKINVDYYEPKPGDFVVGVVVSGNENKLDVNIGADLLGTMLTKEVLPLYDKEMEFLLCDTKKDVKEFMVKGKMGIVKDEVAMSPGPPGLGKPVVETGTVLFSEVLGRTLSGRPLLSTRRLFRRLAWQRVRQIKDLNEPIEIKISEWNTGGLLTRIEGLRAFLPKAELMNRVNNFKELKENVGRQIYVLIKRINESNNELILSEREAWEMINLREGTLLEGTVKKLFPYGAQVRIGETNRSGLLHVSNITRTRISSVSDLLKVDEKVKVLVAKSMFPDKISLSIADLESEPGLFVSNKEKVFAEAEEMAKKYRQKLPASSTNLKPEIPPSKNALSSDTEATLYANWKWFKFEKE >Potri.005G107600.5.v4.1 pep chromosome:Pop_tri_v4:5:7736444:7743547:-1 gene:Potri.005G107600.v4.1 transcript:Potri.005G107600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107600.v4.1 MILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERSGAPAHIISEFAQLLQFHVATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTIVKPRPLWTGKQVFNLIIPKQINLIRTAAWHMDSERGMITPGDTLVRIEKGELLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISNAKNEVKDLIRKAQNKELEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKAEFDRVFRYEFDDEDWNPTYMMTDHVEDLRNIRELRDVFDAEVQKLEADRYQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDTRIGRVSDIHPMEAVEAVDKLQERLKVVPGDDLLSVEAQKNATLFFGILLRSTLASKRVLQEYRLSREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEFNKTKEKAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDADFVKSYYEMPDEEVAPEKISPWLLRIELNREMMIDKKLNMADIAEKINHEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKNAKVSSFDESEGFKTTEEWMLDTEGVNLLAVMCNENVDARKTTSNHLIEVIEVIGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGYCALYLNDEMLKNAIELQLPSYMEGLDFGMTPARSPVSGTPYHDGMMSPMLMSPNLRMSPTVDGVAFSPYVGGMAFSPSTSPVSTSIGYSPSSPVYSPGYSPTSPSYSPASPSYSPASPSYSPTSPTYSPSSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSTKGDRDKKDSKSTR >Potri.005G107600.7.v4.1 pep chromosome:Pop_tri_v4:5:7736488:7741945:-1 gene:Potri.005G107600.v4.1 transcript:Potri.005G107600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107600.v4.1 MEKINETISNAKNEVKDLIRKAQNKELEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKAEFDRVFRYEFDDEDWNPTYMMTDHVEDLRNIRELRDVFDAEVQKLEADRYQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDTRIGRVSDIHPMEAVEAVDKLQERLKVVPGDDLLSVEAQKNATLFFGILLRSTLASKRVLQEYRLSREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEFNKTKEKAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDADFVKSYYEMPDEEVAPEKISPWLLRIELNREMMIDKKLNMADIAEKINHEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKNAKVSSFDESEGFKTTEEWMLDTEGVNLLAVMCNENVDARKTTSNHLIEVIEVIGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGYCALYLNDEMLKNAIELQLPSYMEGLDFGMTPARSPVSGTPYHDGMMSPMLMSPNLRMSPTVDGVAFSPYVGGMAFSPSTSPVSTSIGYSPSSPVYSPGYSPTSPSYSPASPSYSPASPSYSPTSPTYSPSSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSTKGDRDKKDSKSTR >Potri.005G107600.1.v4.1 pep chromosome:Pop_tri_v4:5:7736447:7745767:-1 gene:Potri.005G107600.v4.1 transcript:Potri.005G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107600.v4.1 MDTRFPYSPAEVAKVRMVQFGILSPDEIRQMSVIQIEHSETTERGKPKPGGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIKNPKSRLKKILDACKNKTKCEGGDEIDVQGQDNEEPVKKSRGGCGAQQPKLTIEGMKMIAEYKVQRKKSDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLDPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERSGAPAHIISEFAQLLQFHVATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTIVKPRPLWTGKQVFNLIIPKQINLIRTAAWHMDSERGMITPGDTLVRIEKGELLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISNAKNEVKDLIRKAQNKELEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKAEFDRVFRYEFDDEDWNPTYMMTDHVEDLRNIRELRDVFDAEVQKLEADRYQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDTRIGRVSDIHPMEAVEAVDKLQERLKVVPGDDLLSVEAQKNATLFFGILLRSTLASKRVLQEYRLSREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEFNKTKEKAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDADFVKSYYEMPDEEVAPEKISPWLLRIELNREMMIDKKLNMADIAEKINHEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKNAKVSSFDESEGFKTTEEWMLDTEGVNLLAVMCNENVDARKTTSNHLIEVIEVIGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGYCALYLNDEMLKNAIELQLPSYMEGLDFGMTPARSPVSGTPYHDGMMSPMLMSPNLRMSPTVDGVAFSPYVGGMAFSPSTSPVSTSIGYSPSSPVYSPGYSPTSPSYSPASPSYSPASPSYSPTSPTYSPSSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSTKGDRDKKDSKSTR >Potri.005G107600.4.v4.1 pep chromosome:Pop_tri_v4:5:7736490:7745765:-1 gene:Potri.005G107600.v4.1 transcript:Potri.005G107600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107600.v4.1 MDTRFPYSPAEVAKVRMVQFGILSPDEIRQMSVIQIEHSETTERGKPKPGGLSDPRLGTIDRKMKCETCTANMAECPGHFGHLELAKPMFHIGFMKTVLSIMRCVCFNCSKILADEEDHKFKQALKIKNPKSRLKKILDACKNKTKCEGGDEIDVQGQDNEEPVKKSRGGCGAQQPKLTIEGMKMIAEYKVQRKKSDDQEQLPEPVERKQTLTAERVLSVLKRISDEDCQLLGLDPKYARPDWMILQVLPIPPPPVRPSVMMDTSSRSEDDLTHQLAMIIRHNENLRRQERSGAPAHIISEFAQLLQFHVATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTIVKPRPLWTGKQVFNLIIPKQINLIRTAAWHMDSERGMITPGDTLVRIEKGELLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISNAKNEVKDLIRKAQNKELEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKAEFDRVFRYEFDDEDWNPTYMMTDHVEDLRNIRELRDVFDAEVQKLEADRYQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDTRIGRVSDIHPMEAVEAVDKLQERLKVVPGDDLLSVEAQKNATLFFGILLRSTLASKRVLQEYRLSREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEFNKTKEKAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDADFVKSYYEMPDEEVAPEKISPWLLRIELNREMMIDKKLNMADIAEKINHEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKNAKVSSFDESEGFKTTEEWMLDTEGVNLLAVMCNENVDARKTTSNHLIEVIEVIGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGYCALYLNDEMLKNAIELQLPSYMEGLDFGMTPARSPVSGTPYHDGMMSPMLMSPNLRMSPTVDGVAFSPYVGGMAFSPSTSPVSTSIGYSPSSPVYSPGYSPTSPSYSPASPSYSPASPSYSPTSPTYSPSSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSTKGDRDKKDSKSTR >Potri.005G107600.6.v4.1 pep chromosome:Pop_tri_v4:5:7736490:7743191:-1 gene:Potri.005G107600.v4.1 transcript:Potri.005G107600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107600.v4.1 MIIRHNENLRRQERSGAPAHIISEFAQLLQFHVATYFDNELPGQPRATQRSGRPIKSICSRLKAKEGRIRGNLMGKRVDFSARTVITPDPTINIDELGVPWSIALNLTYPETVTPYNIERLKELVEYGPHPPPGKTGAKYIIRDDGQRLDLRYLKKSSDHHLELGYKVERHLNDGDFVLFNRQPSLHKMSIMGHRIKIMPYSTFRLNLSVTSPYNADFDGDEMNMHVPQSFETRAEVLELMMVPKCIVSPQSNRPVMGIVQDTLLGCRKITKRDTFIEKDVFMNILMWWEDFDGKVPAPTIVKPRPLWTGKQVFNLIIPKQINLIRTAAWHMDSERGMITPGDTLVRIEKGELLSGTLCKKTLGTSSGSLIHVIWEEVGPDAARKFLGHTQWLVNYWLLQNAFSIGIGDTIADASTMEKINETISNAKNEVKDLIRKAQNKELEAEPGRTMMESFENRVNQVLNKARDDAGSSAQKSLSESNNLKAMVTAGSKGSFINISQMTACVGQQNVEGKRIPYGFIDRTLPHFTKDDYGPESRGFVENSYLRGLTPQEFFFHAMGGREGLIDTAVKTSETGYIQRRLVKAMEDIMVKYDGTVRNSLGDVIQFLYGEDGMDSVWIESQKLDSLKMKKAEFDRVFRYEFDDEDWNPTYMMTDHVEDLRNIRELRDVFDAEVQKLEADRYQLGTEIATTGDNTWPMPVNLKRLIWNAQKTFKIDTRIGRVSDIHPMEAVEAVDKLQERLKVVPGDDLLSVEAQKNATLFFGILLRSTLASKRVLQEYRLSREAFDWVIGEIESRFLQSLVAPGEMIGCVAAQSIGEPATQMTLNTFHYAGVSAKNVTLGVPRLREIINVAKKIKTPSLSVYLKPEFNKTKEKAKNVQCALEYTTLRSVTQATEVWYDPDPMSTIIEEDADFVKSYYEMPDEEVAPEKISPWLLRIELNREMMIDKKLNMADIAEKINHEFDDDLTCIFNDDNAEKLILRIRIMNDEAPKGELNDESAEDDVFLKKIESNMLTEMALRGIPDINKVFIKNAKVSSFDESEGFKTTEEWMLDTEGVNLLAVMCNENVDARKTTSNHLIEVIEVIGIEAVRRSLLDELRVVISFDGSYVNYRHLAILCDTMTYRGHLMAITRHGINRNDTGPMMRCSFEETVDILLDAAVYAETDYLRGVTENIMLGQLAPIGTGYCALYLNDEMLKNAIELQLPSYMEGLDFGMTPARSPVSGTPYHDGMMSPMLMSPNLRMSPTVDGVAFSPYVGGMAFSPSTSPVSTSIGYSPSSPVYSPGYSPTSPSYSPASPSYSPASPSYSPTSPTYSPSSPSYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPAYSPTSPAYSPTSPSYSPTSPSYSPTSPSYSPTSPSYSPTSPAYSPTSPGYSPTSPSYNPQSAKYSPSLAYSPSSPRLSPASPYSPTSPNYSPTSPSYSPTSPSYSPSSPTYSPSSPYNSGVSPDYSPSSPQYSPSAGYSPSAPGYSPSSTSQYTPQTSTKGDRDKKDSKSTR >Potri.016G021000.3.v4.1 pep chromosome:Pop_tri_v4:16:1131436:1133989:-1 gene:Potri.016G021000.v4.1 transcript:Potri.016G021000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021000.v4.1 MTCKILADHKPHAVCLPSPYQSHIKSMLKLAKLLHQKGFHITFVNTEFNHKRLLKSRGPDSLKGLPDFRFESVPDGLPPSDENATQDLPGLCEAASKNLLAPFHDLLDKLNDTASPDVPPVTCIVSDGFMPVAITAAEMLGIPIDLFITISACSFMGFKQFQALKEKGLTPLKDESFLTNGYLDRVVDWIPGMKDIRLRDLPSFIRTTDPNDCLFNFCMESVERSPSGSAVIFHTFDSLEQEVLTSLYSMFPRVYTIGPLQLLLNQIQEDDLDSIDCNLWKEEVECLQWLDSRKPNSVIYVNFGSIAVATKEQLVEFGMGLSKSGHPFLWIIRPDMITGDSAILPPEFTEETKERGFICSWCPQEEVLNHPSIGGFLTHCGWGSTIESISSGVPMLCWPSFGDQQTNCRYTCNEWAIGMEIDSNVTRENVEKQVRELMDGEQGKKMKKKAMEWKRLALEATRPSGSSSMNLDKLVTEVLDLVMK >Potri.016G021000.4.v4.1 pep chromosome:Pop_tri_v4:16:1131149:1133986:-1 gene:Potri.016G021000.v4.1 transcript:Potri.016G021000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021000.v4.1 MKDIRLRDLPSFIRTTDPNDCLFNFCMESVERSPSGSAVIFHTFDSLEQEVLTSLYSMFPRVYTIGPLQLLLNQIQEDDLDSIDCNLWKEEVECLQWLDSRKPNSVIYVNFGSIAVATKEQLVEFGMGLSKSGHPFLWIIRPDMITGDSAILPPEFTEETKERGFICSWCPQEEVLNHPSIGGFLTHCGWGSTIESISSGVPMLCWPSFGDQQTNCRYTCNEWAIGMEIDSNVTRENVEKQVRELMDGEQGKKMKKKAMEWKRLALEATRPSGSSSMNLDKLVTEVLDLVMK >Potri.015G109300.1.v4.1 pep chromosome:Pop_tri_v4:15:12688526:12695821:-1 gene:Potri.015G109300.v4.1 transcript:Potri.015G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109300.v4.1 MATSTAGATGWYRGKVKAVPSGDSLVIMAMTSSKPGPPPEKTITLSSLIAPRLARRGGVDEPFAWNSREYLRKLCIGKEVTFKVDYAVPSIGREFGSVFLGEKNVALLVVSEGWAKVREQGQQKGEASPFLAELLRLEEQAKQQGLGRWSKAPGASEASIRNLPPSAIGDSSNFDAMGLLAANKGTPMECIVEQVRDGSTIRVYLLPDFQFVQVFVAGIQAPSMGKRAAIETVGETVTTSNGTNGDTSETRAPLTSAQRLAASAAPPEVAPDPFGMEAKYFTELRTLNRDVRIVLEGVDKFSNLIGSVYYPDGESAKDLALELVENGLAKFVEWSANMMEEDAKRQLKTAELQAKKSRLRFWTNYVPPATNSKAIHDQNFTGKVVEVVSGDCVIVADDSVPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAPYAREAKEFLRTRLIGRQVNVRMEYSRKMTDGPTAAPVPGDARVMDFGSIFLLSPTKGDEASTAPSTAAGQQPGINVAELVVSRGFGTVIRHRDFEERSNFYDALLAAESRAIAGKKGIHSAKDPPVMHITDLTTSSSKKAKDFLPFLHRSRRISAVVEYVLSGHRFKLLIPKETCSIAFSFSGVRCPGRDEPYSEEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMAVTLLEAGLARFQTSFGTDRIPDAHLLEQAEQSAKRQKLKIWENYVEGEEINSGPVVESKQKEVLKVVVTEVLDGGRFYVQIVEDKKIASIQQQLASLNLQEAPVIGAFNPKKGDIVLAQFSADNSWNRAMIVNAPRGGVESPRDKFEVFYIDYGNQEEVPYSHIRPLDPSVSAAPGLAQLCSLAYIKVPSLEDDCGPEAAQYFSDNTLNSSKELRAKVEERDASGGKVKGQGTGPVVVVTLVAVDSEISLNAALVQEGLARIEKMRKWDSMERKVALENLEKFQDEARADRRGLWVHGDIESDDEDVLPVKKTGGRR >Potri.014G108900.1.v4.1 pep chromosome:Pop_tri_v4:14:7303127:7303723:-1 gene:Potri.014G108900.v4.1 transcript:Potri.014G108900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108900.v4.1 MATSKIVAPLCLMVLVFCLSLSMVKSQSYGVCAGAARPDPETIPCTINCLVADPVCGTDGVTYTCGCYDAFCHGVEVVKKGEC >Potri.013G022500.1.v4.1 pep chromosome:Pop_tri_v4:13:1457294:1457997:-1 gene:Potri.013G022500.v4.1 transcript:Potri.013G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022500.v4.1 MVEETVAEAIEANLERADSATVDMDMVEDVAENGVKRAREGEEEENEDLLKKQKVDKSGEEKEEKKEEEEKSGPVSLGPKSFGSAVEMFDYFYNFLHQWPPNIKVNKYEHMVLVDLLKTGHSEPDKKIGSGIQAFQVRFHPRFKSRCLFLIRDDDSVDDFSFRKCVDHILPLPEDLKVKSDNFLGGGKGHGGKGGPGGRGGRGRGRGYGRGGRSRN >Potri.006G174900.1.v4.1 pep chromosome:Pop_tri_v4:6:17910124:17911790:-1 gene:Potri.006G174900.v4.1 transcript:Potri.006G174900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G174900.v4.1 MARINPAISQITPTTTLTYFLLLLLATTTTITPILAITNLTALLSSFPDFSSFTSLLASTPSITSDLSNRSALTLLVIPNSYLSSSLDLTRRLSPSSLTDLLRYHILLQYLSSSDLHQLPPAGALITTLFQTTGRASSNFGSVNITRNPVTNAITISSPSPFSSSNATVLSLIKTLPYNVSIISVNSLLVPYGFDLMASETRPTLGLNITKALLDGRSFFVAASLLSASGVVEEFEADEGGAGITLFVPTDSAFSDLSETDVSLQSLPADKKADVLKFHVLHSYYPLGSLESIVNPVQPTLATEDMGAGSFTLNISRANGSVAIDSGIVLASVTQTVFDQNPVAIFGVSKVLLPKEIFGRNPVLTSKPGNSDMGNALPPAVALSPESSPKMLSSAPGVREEKSGVGGLQRFSTLPLLCALVVWYCI >Potri.015G060300.1.v4.1 pep chromosome:Pop_tri_v4:15:8413977:8416400:1 gene:Potri.015G060300.v4.1 transcript:Potri.015G060300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060300.v4.1 MAETSASPTLLLKDELDIVIPTIRNLDFLEMWRPFFQPYHLIIVQDGDPSKIIKVPGGFDYELYNRNDINRILGPKASCISFKDSACRCFGYLVSKKKYIFTIDDDCFVAKDPSGKEINALQQHIKNLLAPSTPFFFNTLYDPYREGTDFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLEKNTRFVDAVMTIPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHMGWGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEELIPFFQSAILPKDCTTVQKCYIELSKQVRAKLGKVDEYFIKLADAMVTWVEAWDELNQTGKSSEVPNGAAK >Potri.016G138166.1.v4.1 pep chromosome:Pop_tri_v4:16:14170515:14176448:-1 gene:Potri.016G138166.v4.1 transcript:Potri.016G138166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138166.v4.1 METCLLPFHNPYSRLAICENCVEYSQVAEKALELKAGPLHPRRHDLTKLKKLLLMELAKLDTRIRRK >Potri.017G141600.3.v4.1 pep chromosome:Pop_tri_v4:17:14194874:14199170:1 gene:Potri.017G141600.v4.1 transcript:Potri.017G141600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141600.v4.1 MALGPIKKVVLGSLAFAVFWILAVFPAVPFLPIGRTAGSILGAMLMVIFKVITPKEAYSAINLPVLGLLFGTMVVSIYLERADMFKHLRVLLSWKSWGAKDMLCRICIVSAISSALFTNDTTCVILTEFILKIARENNIRPEPFLLGLASSSNIGSSATPIGNPQNLIIAIQSGISFGEFVLGLLPAVLLGVFVNALILLCMFWRLLSDVEKDSDVHQISLATMSSPKSLESHECDPITEHVTSQSSPVINRDGGHSKNLKNRLSELDMCSDSCGEIELKKPVLSKKEATDNTLSEIGEQKEERFARGGVQGTMEMTTDLESGPQQSTEESKGQLNRWKRLSWKLCIYLGTIGMLVAFLMGLDMSWTALTTALIFVILDFKDAGPCLEKVSYSLLVFFCGMFITVDGFNKTGIPGSLWSLMEPHARIDHASGIAVLAIVILLLSNVASNVPTVLLLGAKVATSAAAISPSKEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQALRAQPSYNITFWSHLKFGVPSTLIVTTIGLTLIYCYDV >Potri.017G141600.6.v4.1 pep chromosome:Pop_tri_v4:17:14194874:14199056:1 gene:Potri.017G141600.v4.1 transcript:Potri.017G141600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141600.v4.1 MALGPIKKVVLGSLAFAVFWILAVFPAVPFLPIGRTAGSILGAMLMVIFKVITPKEAYSAINLPVLGLLFGTMVVSIYLERADMFKHLRVLLSWKSWGAKDMLCRICIVSAISSALFTNDTTCVILTEFILKIARENNIRPEPFLLGLASSSNIGSSATPIGNPQNLIIAIQSGISFGEFVLGLLPAVLLGVFVNALILLCMFWRLLSDVEKDSDVHQISLATMSSPKSLESHECDPITEHVTSQSSPVINRDGGHSKNLKNRLSELDMCSDSCGEIELKKPVLSKKEATDNTLSEIGEQKEERFARGGVQGTMEMTTDLESGPQQSTEESKGQLNRWKRLSWKLCIYLGTIGMLVAFLMGLDMSWTALTTALIFVILDFKDAGPCLEKVSYSLLVFFCGMFITVDGFNKTGIPGSLWSLMEPHARIDHASGIAVLAIVILLLSNVASNVPTGSYFCRCNISE >Potri.004G067800.1.v4.1 pep chromosome:Pop_tri_v4:4:5769318:5770287:-1 gene:Potri.004G067800.v4.1 transcript:Potri.004G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067800.v4.1 MKSTLLVWFSFLLFAFVLSVPSIEAYTEPVLDIQGEELKAGTEYIITSAIWGAGGGDVSATNKTCPDDVIQYSLDQLQGLPVTFSPASSEDDVIRVSTDLNIKFSIKKACDHSSVWKIQKSSNSEVQWFVTTGGEEGNPGVHTLTNWFKIEKAGTLGYKLVFCPEDICHCGVLCRDIGIYFENNRGRILSLSDKLSPFVVLFKKVGPLSSSI >Potri.013G160600.1.v4.1 pep chromosome:Pop_tri_v4:13:15472982:15474294:1 gene:Potri.013G160600.v4.1 transcript:Potri.013G160600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160600.v4.1 MASTCSLQQHGRAPASINLHHKQQRSCSPRISVMVGNRNVMLLQGFIYNNNVSKRRPTAGLVVKCSGIGLGDFIGGDLVKFDIGQWLSDVEEHKALAIYPPHEGGYEGRYLNRLIRQGYYFLDLSARGLGDPETTLTKVHPVCPAHLGKQPIARWYFPPEVDFRLEHLPPNAKGLVVWIIEAKVLSKAELQFLALLPTLRPNVRVVAECGNWRKFVWKPLKEIAGLTAEGA >Potri.003G154900.4.v4.1 pep chromosome:Pop_tri_v4:3:16633937:16637204:-1 gene:Potri.003G154900.v4.1 transcript:Potri.003G154900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154900.v4.1 MLDMMVFVTRQVEEIWVVDGSAFNFSQITNRRYLPTSLQLCCCSNNTSAFFYHSQWFMNHVVASSFFQAIFSASMIQCSLSFTEIKAPGMSVLKISLMKPSEVAEGVSVWEWSGSALDEGAEAAKWFSDYLGKPSQLVRFNAASETRLIDPNYAPGHKTMFSDLFPFMLISQGSLDALNQLLREPVPINRFRPNILVEGCEPFSEDLWTEIRISRFTFEGVKLCSRCKVPTINQDTGIGGTEPNETLMKIRSDKVLRPDKKQQGKIYFGQNLVWKENPSEGHGKIVNVGDPVFVLKKVSSVAEAAA >Potri.003G154900.2.v4.1 pep chromosome:Pop_tri_v4:3:16634371:16638024:-1 gene:Potri.003G154900.v4.1 transcript:Potri.003G154900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154900.v4.1 MEATAKVSSIFIYPVKSCRGISLSQAPLTPTGFRWDRNWLVVNYRGRAYTQRVEPKLALVEIELPDEAFSEGWEPTKNSFMKIKAPGMSVLKISLMKPSEVAEGVSVWEWSGSALDEGAEAAKWFSDYLGKPSQLVRFNAASETRLIDPNYAPGHKTMFSDLFPFMLISQGSLDALNQLLREPVPINRFRPNILVEGCEPFSEDLWTEIRISRFTFEGVKLCSRCKVPTINQDTGIGGTEPNETLMKIRSDKVLRPDKKQQGKIYFGQNLVWKENPSEGHGKIVNVGDPVFVLKKVSSVAEAAA >Potri.009G031900.2.v4.1 pep chromosome:Pop_tri_v4:9:4269265:4272871:-1 gene:Potri.009G031900.v4.1 transcript:Potri.009G031900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031900.v4.1 MMGRGEAGNDSVEIKVHPRQANTPAGSPPHPSQFQNQSVFKNWVPWLVPLFVVANVAVFIAVMYVNDCPSNSGSCVAPSLGRFSFQPLKENPLLGPSSSTLEKMGALDVNRVVHKHQSWRLISCIWLHAGVFHVLANMLSLLFIGIRLEQEFGFLRVGLVYVISGFGGSLLSALFIQTGISVGASGALFGLLGGMLSELITNWTIYANKFAALLTLLCIIAVNLAVGLLPHVDNFAHIGGFLSGFFLGFVFLIRPQFKWINQKTCPPGYIAPPAQSKHQTYQYVLWVISLIVLIIGFTLGLVALFRGVNVNNNCSWCHYLSCVPSSLWSCKSQQVYCQSMELGSQLKLTCLSNGKSNMYDLSNNDSSKVQMLCAQLCS >Potri.010G194200.1.v4.1 pep chromosome:Pop_tri_v4:10:18878872:18880171:-1 gene:Potri.010G194200.v4.1 transcript:Potri.010G194200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194200.v4.1 MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLVQVEGVNTKEEVAWYAGKRMAYIYKAKVKKNGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGARVRVFMYPSNI >Potri.005G025800.2.v4.1 pep chromosome:Pop_tri_v4:5:1628182:1628715:-1 gene:Potri.005G025800.v4.1 transcript:Potri.005G025800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G025800.v4.1 MAMGLSSTVQGSGDHHLGWIPATRSSVCKGSIAECMAEDGEEFEMDTEINRRILATTKYVSYGALQRNNVPCSRRGASYYNCQRGAQANPYSRGCSRITRCRS >Potri.005G025800.1.v4.1 pep chromosome:Pop_tri_v4:5:1628398:1628760:-1 gene:Potri.005G025800.v4.1 transcript:Potri.005G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G025800.v4.1 MAKRSSWFLISATILILMAMGLSSTVQGSGDHHLGWIPATRSSVCKGSIAECMAEDGEEFEMDTEINRRILATTKYVSYGALQRNNVPCSRRGASYYNCQRGAQANPYSRGCSRITRCRS >Potri.015G123800.1.v4.1 pep chromosome:Pop_tri_v4:15:13681603:13685200:-1 gene:Potri.015G123800.v4.1 transcript:Potri.015G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123800.v4.1 MASQILLLSIPSLFFSLLAYASFFTSFAYSAAGAEIANGRKEAEALLKWKVSLDNRSQSLLSSWAGDSPCNWVGISCDKSGSVTNISLPNSSLRGRLNTLRFSSFPNLIVLILRNNSLYGSVPSHIGNLSNLSILDLSFNSISGNIPSEISTMKSIRVFTLSENHIGGSIPNEIGMLSSLSQIIWHENDLGGLVPASISNLSHLTDLYLNGNQLSGGIPQEVGKLRSLDLLDLSNNNLSGVLPTSIGNLSNLSFLYLYENKLSGFLPREVGMLEDLLTLQLSDNNFEGPIPTSIGNMKSLNFLQLKQNFLTGKIPASFGNLTGSLTSLDLSYNNLNGTIPASLGNLIILSQLLLANNSLFGPIPPEMNNLTHLYSLQIYSNRLSGNLPRDVCLGGLLSYFSALDNYFTGPIPKSLRNCSSLLRLRLERNQLSGNISEAFGTHPYLYYMGLSDNLLHGELSWKWDQFNNLTVFKISGNKISGIIPAALGKATHLQVLDLSSNQLVGRIPKELGNLKLIELALNDNKLSGDIPFDVASLSDLERLGLAANNFSATILKQLGNCSKLIFLNMSKNRFTGNIPAEMGSLQSLQSLDLSWNSLVGGIAPELGQLQRLEFLNLSHNMLSGLIPTSFSRLQSLTKVDVSYNKLEGPIPDIKAFREAPFEAISNNTNLCGNATGLEACSVLMKNKTVHKKGPKVVFLTIFSLLGSLLGLIVGFLIFSQSRRKKRLMETPQRDVAARWCPDGELLYEDIIEATEEFNSKYCIGTGGYGAVYKAVLPSEQVLAVKKFHQTPEVEMTILKAFRSEIDVLMGIRHRNIVNLYGFCSHAKHSFLVYEFVERGSLRKVLNDEEQAANMDWDKRMNLIEGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVHEKCDVFSFGVLTLEVMMGKHPGDFISSLMFSASTSSSSPTGCNTLLNDVLDQRLPPPENELADGVALVAKLAFACLQTDPHHRPTMRQVSTELTTRWPPLPKLFSTIELEDELVHRNVNG >Potri.005G071951.1.v4.1 pep chromosome:Pop_tri_v4:5:4734560:4735977:-1 gene:Potri.005G071951.v4.1 transcript:Potri.005G071951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071951.v4.1 MQSFFFFFGVTVKTLTDKSLYSGVKSYLAFIFHICRGLVFVFSRWPVLVGFISRGRRGRGLDCDVPSTDFNFSLGK >Potri.019G036500.1.v4.1 pep chromosome:Pop_tri_v4:19:4987511:4990832:1 gene:Potri.019G036500.v4.1 transcript:Potri.019G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036500.v4.1 MANTTWLLYYLTRRRFSPSFRSFFFIPTSLALFTSLFILFYISTTSTLFFSNQQPSIPHLNQPLLSGSSSIPQINISNSAQNPFVHVSNVNRLNENDRDGRESQRSLRPQFGSSDGIYVNNELFHDKDIFLEDYKQMNRSFKIYVYPHRRNDPFANVLLPVDFEPGGNYASESYFKKALMKSHFITKDPAKADLFFLPFSITRLRHDPRVGVGGIQDFIRDYILNISRKYPFWNRTGGADHFYAACHSIGRSAMEKSEEVKFNAIQVVCSSSYFLSGYIAHKDVSLPQIWPRQGDPPNLASSKRKKLAFFAGSINSPVRERLLHSWRNDSEIFAHFGRLTTPYADELLGSKFCLHVKGFEVNTARIGDSLYYGCVPVIIANHYDLPFADILNWKSFSVVVATLDIPLLKKILKGISSDQYLMFQKKVLEVRKHFQWHCPPVDYDAFYMVMYELWLRRTSVRVSLPVSKNPNH >Potri.015G058200.4.v4.1 pep chromosome:Pop_tri_v4:15:8080852:8084569:1 gene:Potri.015G058200.v4.1 transcript:Potri.015G058200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058200.v4.1 MPGCQSMTTTTYEGEQHIIAAAQHILKLLGENKNLTGDFRRALTELDSHLSAMTIINESKRWGFAEVEEQLKCAERKVMRWESNPSLIWDSGPAEASEYIQAVNEIHTVMETLGGLPMNDHGRPKELAFRAQCVQQIAMSRLEEELYHILVQHKQSFEPKNIYFPPSVDFFYDESFVSVEDEIVEDTSQRDNSGRESTEYTVDLIDPLVIPDIKSIASVMFASGYDREFCEAFIGNRKEALDEHLSNLEIQKLSIDDVLKLEWDTLSCEIKKWVRAVKIIIRVYLASEKRFCNQILGDFGSLDSYCFVEISRASVLYLLSFGEAIAMGPYNPEKLFRFLDMYEVLADLHLDMEALFSEVANSYVTSEFHDLLRRLGESASTTFFKFGNAIALHASIHPFRRGEIHPLTRYVMNYIKTLTAYCDTLNLLLNDQGVDDPNPVLETDNGQDICTSTFSPMGCHLRSITSTLESNLICKSKLYKDGSLGHIFLMNNIHYMVQKVKGSELRLFFGDEWIRKHNGKFQQHATSYERATWSVVVSLLRDDGRTSLKERCRRFSNAFDDVYKIQTQWRVPDLHLREDLQISTSQKVIPAYRAFLGMNDKNGSDKYIKYTSDDMEKMLLDLFVGSPRSLRNSHKW >Potri.015G058200.1.v4.1 pep chromosome:Pop_tri_v4:15:8080786:8084142:1 gene:Potri.015G058200.v4.1 transcript:Potri.015G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058200.v4.1 MPGCQSMTTTTYEGEQHIIAAAQHILKLLGENKNLTGDFRRALTELDSHLSAMTIINESKRWGFAEVEEQLKCAERKVMRWESNPSLIWDSGPAEASEYIQAVNEIHTVMETLGGLPMNDHGRPKELAFRAQCVQQIAMSRLEEELYHILVQHKQSFEPKNIYFPPSVDFFYDESFVSVEDEIVEDTSQRDNSGRESTEYTVDLIDPLVIPDIKSIASVMFASGYDREFCEAFIGNRKEALDEHLSNLEIQKLSIDDVLKLEWDTLSCEIKKWVRAVKIIIRVYLASEKRFCNQILGDFGSLDSYCFVEISRASVLYLLSFGEAIAMGPYNPEKLFRFLDMYEVLADLHLDMEALFSEVANSYVTSEFHDLLRRLGESASTTFFKFGNAIALHASIHPFRRGEIHPLTRYVMNYIKTLTAYCDTLNLLLNDQGVDDPNPVLETDNGQDICTSTFSPMGCHLRSITSTLESNLICKSKLYKDGSLGHIFLMNNIHYMVQKVKGSELRLFFGDEWIRKHNGKFQQHATSYERATWSVVVSLLRDDGRTSLKERCRRFSNAFDDVYKIQTQWRVPDLHLREDLQISTSQKVIPAYRAFLGMNDKNGSDKYIKYTSDDMEKMLLDLFVGSPRSLRNSHKW >Potri.015G058200.5.v4.1 pep chromosome:Pop_tri_v4:15:8080824:8084127:1 gene:Potri.015G058200.v4.1 transcript:Potri.015G058200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058200.v4.1 MPGCQSMTTTTYEGEQHIIAAAQHILKLLGENKNLTGDFRRALTELDSHLSAMTIINESKRWGFAEVEEQLKCAERKVMRWESNPSLIWDSGPAEASEYIQAVNEIHTVMETLGGLPMNDHGRPKELAFRAQCVQQIAMSRLEEELYHILVQHKQSFEPKNIYFPPSVDFFYDESFVSVEDEIVEDTSQRDNSGRESTEYTVDLIDPLVIPDIKSIASVMFASGYDREFCEAFIGNRKEALDEHLSNLEIQKLSIDDVLKLEWDTLSCEIKKWVRAVKIIIRVYLASEKRFCNQILGDFGSLDSYCFVEISRASVLYLLSFGEAIAMGPYNPEKLFRFLDMYEVLADLHLDMEALFSEVANSYVTSEFHDLLRRLGESASTTFFKFGNAIALHASIHPFRRGEIHPLTRYVMNYIKTLTAYCDTLNLLLNDQGVDDPNPVLETDNGQDICTSTFSPMGCHLRSITSTLESNLICKSKLYKDGSLGHIFLMNNIHYMVQKVKGSELRLFFGDEWIRKHNGKFQQHATSYERATWSVVVSLLRDDGRTSLKERCRRFSNAFDDVYKIQTQWRVPDLHLREDLQISTSQKVIPAYRAFLGMNDKNGSDKYIKYTSDDMEKMLLDLFVGSPRSLRNSHKW >Potri.007G057000.1.v4.1 pep chromosome:Pop_tri_v4:7:5967857:5972473:1 gene:Potri.007G057000.v4.1 transcript:Potri.007G057000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057000.v4.1 MEAKTNTKPSSLRWAILRQALLRKTRESPDNQSPSAIKRISRKTHQGFNFIPGQVVEDHVNEESNFRDVCICYTLPVDGSPKILVKQRVNNGVDLSDFEVSDRYNVDNTGLVCQWPSEDVLAYFCLSHADMFRSKRVIELGSGYGLAGLVIAATTEALEVVISDGNPVVVDYIQHSIDANSTAFGNTKVKTVTLHWDEEVTYNISNTFDVIVASDCTFFKEFHNALACTVKLLLKNVGRSEAIFFSPKRGDSLDKFLEKIEENGLHFSITENYDSEVWKRHQGFVAGDDTWPSYEKHHCYPLMVRITL >Potri.004G007700.1.v4.1 pep chromosome:Pop_tri_v4:4:481118:482836:1 gene:Potri.004G007700.v4.1 transcript:Potri.004G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007700.v4.1 MDWTRGQTIGRGSSATVSMARANQSGKVFAVKSAELSKSESLQKEQIVLSTLRCPQIVAYKGCDITNENGKVLYNLFLEYASGGTLIDAIREGGGCLDEDMIRLYARTILLGLEYLHCNGIVHCDIKGHNILVTSDGAKIADLGCAKRVDEVSEADWGTTTTIAGTPLYMAPEVARAEHQGFPADIWAVGCTIVEMATGQAPWVNVSDPVSALYQIGFSGNAPEIPSFMSKQARDFLSKCLKRDPMERWSASELLKHDFIIEEPNLILKENNSSKADTPTCVLDQVLWDSMEKLETTWDSTHNTSLVSPNERIKQLTEGNGKAHSWSTWGDAWVTIRSNKSSKEQEMVSCSEDYNMAYANGSIENSGAEIASLSMEYNFVVLNEPTSISGNNTCNNTSSGGSCRDDGRHKILLMPCEGRKDALCKQFEFCEGNKVLFSTSQCLACLSSYTVAMAVSNYISGHN >Potri.006G214600.2.v4.1 pep chromosome:Pop_tri_v4:6:22107692:22110507:1 gene:Potri.006G214600.v4.1 transcript:Potri.006G214600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214600.v4.1 MEPPARQQISRQKKMTKQLTGKRDDTPFHAVVRAGNLKLVKEMVAENLGEAAELTVMLSKQNQSGETALYVASEYSHVDIVKELIKYYDTGLASLKARNGYDTFHIAAKQGDLEIVKVLMEVDPELSLTFDSSNTTALHSAASQGHVEVVNFLLEKCSGLALIAKSNGKTALHSAARNGHLEILKALLSKEPGLVIKIDKKGQTALHMAVKGQTVELVEELIMSDPSLMNMVDNKGNSALHIAVRKGRDQIVRKLLDQQGIDKTIVNRSRETPFDIAEKNGHRGIASILEEHGVLSAKSMKPTTKTANRELKQTVSDIKHGVHNQLETTRLTRKRVQGIAKRLNKMHTEGLNNAINSTTVVAVLIATVAFAAIFQLPGQFVDNPDNLAPGQSAGEAKIAPKPEFMIFIIFDSIALFISLAVVVVQTSIVVIERKAKKQLMSVINKLMWLACVLISVAFLALSYVVVGDDEKWLALSVTVIGTIIMVTTIGTMGYWVIVQRIETSKLRRSLTSRSRSESMSFMEESEILENDFKKLYAI >Potri.006G214600.3.v4.1 pep chromosome:Pop_tri_v4:6:22107217:22110761:1 gene:Potri.006G214600.v4.1 transcript:Potri.006G214600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214600.v4.1 MEPPARQQISRQKKMTKQLTGKRDDTPFHAVVRAGNLKLVKEMVAENLGEAAELTVMLSKQNQSGETALYVASEYSHVDIVKELIKYYDTGLASLKARNGYDTFHIAAKQGDLEIVKVLMEVDPELSLTFDSSNTTALHSAASQGHVEVVNFLLEKCSGLALIAKSNGKTALHSAARNGHLEILKALLSKEPGLVIKIDKKGQTALHMAVKGQTVELVEELIMSDPSLMNMVDNKGNSALHIAVRKGRDQIVRKLLDQQGIDKTIVNRSRETPFDIAEKNGHRGIASILEEHGVLSAKSMKPTTKTANRELKQTVSDIKHGVHNQLETTRLTRKRVQGIAKRLNKMHTEGLNNAINSTTVVAVLIATVAFAAIFQLPGQFVDNPDNLAPGQSAGEAKIAPKPEFMIFIIFDSIALFISLAVVVVQTSIVVIERKAKKQLMSVINKLMWLACVLISVAFLALSYVVVGDDEKWLALSVTVIGTIIMVTTIGTMGYWVIVQRIETSKLRRSLTSRSRSESMSFMEESEILENDFKKLYAI >Potri.004G208000.2.v4.1 pep chromosome:Pop_tri_v4:4:21518689:21521611:1 gene:Potri.004G208000.v4.1 transcript:Potri.004G208000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208000.v4.1 MNLICNSLISKPTNFKTCPLLLLRIKPEHYYAMKTISSKVVKKFITKPPSLLSSTASLTSIASFNVSNKAFPSSLLDDFTKFCYQRDLPRAMQALELMHTHCIRADCITYSELIKCCLARRAIQHGKRIHVHLISNGHVPKTFLINILINMYVKFGLLHDAQDVFDKMPDRNVVSWTTMISAYSAAKLNDKALEFLVLMLREGVRPNMFTYSSVLRACDGLFNLRQLHCCIIKIGLDSDVFVRSALIDVYSRWGELENALRVFDEMVTGDLVVWSSIIAGFAQNSDGDEALRLFKRMKRAGFLAQQTTLTSVLRACTGLALLELGRQVHVHVLKYDQDLILNNALLDMYCKCGSLEDANAVFVRMVEKDVISWSTMIAGLAQNGYSKEALKLFESMKVLGIKPNYVTIVGVLFACSHAGLVEEGLYYFHSMKELFGIDPGREHYGCMIDLLGRAGRLSEAVDLINEMECEPDAVTWRALLNACRVHRNVDVAIHAAKQILRLDPQDAGTYVLLSNIYANTQRWNDVAEVRRTMTNRGIKKEPGCSWIEVSKQIHAFILGDRSHPQIREINIQLNQLIYKLMGVGYVPDTNFVLQDLEGEQMQDSLRYHSEKLAIVFGLMSLPRGQTIRIRKNLRICGDCHLFTKLLAKMEQRIIVIRDPVRYHHFQDGLCSCGDFW >Potri.001G297101.1.v4.1 pep chromosome:Pop_tri_v4:1:30776362:30776675:1 gene:Potri.001G297101.v4.1 transcript:Potri.001G297101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297101.v4.1 MGNREQESCVRAQNPLATCQMIHIQHLTGSAGFQLHPISLSLFFLHSKWQVF >Potri.010G200500.10.v4.1 pep chromosome:Pop_tri_v4:10:19289624:19292756:1 gene:Potri.010G200500.v4.1 transcript:Potri.010G200500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200500.v4.1 MAATISTVGAVNTAPLALNGSGAGSTVPNSAFFGNSLKKVSSSRFTNSKISPGSFKVVAEYDEKKQTDKDRWGGLVTDMSDDQQDISRGKGMVDSLFQAPQGTGTHNPVLNSYEYLSQGLRTYNLDNNMDGFYIAPAFMDKLVVHISKNFMSLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCIGIFKSDKIPKEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDSIGKKLVNSREGPPTFEQPKMTIEKLLEYGNMLVQEQENVKRVQLADKYLSEASLGEANQDSIDRGTFYG >Potri.010G200500.1.v4.1 pep chromosome:Pop_tri_v4:10:19289640:19292919:1 gene:Potri.010G200500.v4.1 transcript:Potri.010G200500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200500.v4.1 MAATISTVGAVNTAPLALNGSGAGSTVPNSAFFGNSLKKVSSSRFTNSKISPGSFKVVAEYDEKKQTDKDRWGGLVTDMSDDQQDISRGKGMVDSLFQAPQGTGTHNPVLNSYEYLSQGLRTYNLDNNMDGFYIAPAFMDKLVVHISKNFMSLPNIKVPLILGVWGGKGQGKSFQCELVFAKMGINPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEENPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTRDDRIGVCIGIFKSDKIPKEDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVDSIGKKLVNSREGPPTFEQPKMTIEKLLEYGNMLVQEQENVKRVQLADKYLSEASLGEANQDSIDRGTFYGQAAQQVKVPVAEGCTDPNAANFDPTARSDDGSCTYKF >Potri.019G082733.1.v4.1 pep chromosome:Pop_tri_v4:19:12271160:12271865:1 gene:Potri.019G082733.v4.1 transcript:Potri.019G082733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082733.v4.1 MAFFKCFILTFFIALSFSKIHVVLAARQLLQIPPLPSVPNLPQPTLPTLPTTQPSLPKPTLPPLPSLPTMPGIPKVTLPPLPSMPKLPTIPTTIPSIPFLSPPPGN >Potri.002G111500.1.v4.1 pep chromosome:Pop_tri_v4:2:8403589:8406242:1 gene:Potri.002G111500.v4.1 transcript:Potri.002G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111500.v4.1 MEDAEKRSERLKAMRAVASAQAETCNDNVETSAVPGLLANPLLENPATQPALEELSATPRFDFYTDPSAAFSSDRKRTATANQVARGFRPPNNISSMPQFSSPHPGQRNPEVTPSSAYQMQNNYSPANQMQSNYSPNQRMYPGQGPYHNAAFYRTPSNFARPFTMNQGTPEMWNGPGGPASYHSSTPYRGISRPYPIHQGNPGFGPVGSSPSPVSGYGGSPASSGRGQGYWDSSSGLGQSGGRGRGFRSRGFALNETQEPECFHDNSMVEDPWQHLKPVLWRGLDDPGNNLNGPVSSNSWLPKSISVKKPRISESSNKSTSGQTLAEYLSAAFTEATNDAPNV >Potri.002G111500.2.v4.1 pep chromosome:Pop_tri_v4:2:8403584:8406055:1 gene:Potri.002G111500.v4.1 transcript:Potri.002G111500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111500.v4.1 MQNNYSPANQMQSNYSPNQRMYPGQGPYHNAAFYRTPSNFARPFTMNQGTPEMWNGPGGPASYHSSTPYRGISRPYPIHQGNPGFGPVGSSPSPVSGYGGSPASSGRGQGYWDSSSGLGQSGGRGRGFRSRGFALNETQEPECFHDNSMVEDPWQHLKPVLWRGLDDPGNNLNGPVSSNSWLPKSISVKKPRISESSNKSTSGQTLAEYLSAAFTEATNDAPNV >Potri.001G199600.4.v4.1 pep chromosome:Pop_tri_v4:1:19758712:19766972:-1 gene:Potri.001G199600.v4.1 transcript:Potri.001G199600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199600.v4.1 MLLPAEGIRMNSTMDDINLIQQAQRHHLVVRELGEEIDLEIGHGDDDHSFANTPIMGGRPREHSAEDNDEVKNMMASSQFSNEEQDVPKTQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKTIYVKALMSKTAGSIVEAALKRDPHEVEFIQSVQEAVHALDRVIAKNSHYVNIMERLLEPERMLVFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFGQTLKNALSPYRLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLLGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFVQLMLADMNKEIKGLRCAVSGSGKITMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYVKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFPCGYQNEIDQSDAMSLVNSGCRILVEGSNMPCTPEAVDVFKKANVLIAPAIAAGAGGVVAGELELNHECNLLNWSPEDFESKLQIYQRALKAANDFGYQKESPEALVHGAVISAFLTIAQAMSDQGCV >Potri.001G199600.5.v4.1 pep chromosome:Pop_tri_v4:1:19758711:19766890:-1 gene:Potri.001G199600.v4.1 transcript:Potri.001G199600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199600.v4.1 MLLPAEGIRMNSTMDDINLIQQAQRHHLVVRELGEEIDLEIGHGDDDHSFANTPIMGGRPREHSAEDNDEVKNMMASSQFSNEEQDVPKTQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKTIYVKAGSIVEAALKRDPHEVEFIQSVQEAVHALDRVIAKNSHYVNIMERLLEPERMLVFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFGQTLKNALSPYRLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLLGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFVQLMLADMNKEIKGLRCAVSGSGKITMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYVKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFPCGYQNEIDQSDAMSLVNSGCRILVEGSNMPCTPEAVDVFKKANVLIAPAIAAGAGGVVAGELELNHECNLLNWSPEDFESKLQIYQRALKAANDFGYQKESPEALVHGAVISAFLTIAQAMSDQGCV >Potri.001G199600.9.v4.1 pep chromosome:Pop_tri_v4:1:19758712:19766770:-1 gene:Potri.001G199600.v4.1 transcript:Potri.001G199600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199600.v4.1 MLLPAEGIRMNSTMDDINLIQQAQRHHLVVRELGEEIDLEIGHGDDDHSFANTPIMGGRPREHSAEDNDEVKNMMASSQFSNEEQDVPKTQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKTIYVKAGSIVEAALKRDPHEVEFIQSVQEAVHALDRVIAKNSHYVNIMERLLEPERMLVFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFGQTLKNALSPYRLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLLGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFVQLMLADMNKEIKGLRCAVSGSGKITMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYVKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFPCGYQNEIDQSDAMSLVNSGCRILVEGSNMPCTPEAVDVFKKANVLIAPAIAAGAGGVVAGELELNHECNLLNWSPEDFESKLQEAMKQIYQRALKAANDFGYQKESPEALVHGAVISAFLTIAQAMSDQGCV >Potri.001G199600.7.v4.1 pep chromosome:Pop_tri_v4:1:19758721:19767032:-1 gene:Potri.001G199600.v4.1 transcript:Potri.001G199600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199600.v4.1 MLLPAEGIRMNSTMDDINLIQQAQRHHLVVRELGEEIDLEIGHGDDDHSFANTPIMGGRPREHSAEDNDEVKNMMASSQFSNEEQDVPKTQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKTIYVKALMSKTAGSIVEAALKRDPHEVEFIQSVQEAVHALDRVIAKNSHYVNIMERLLEPERMLVFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFGQTLKNALSPYRLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLLGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFVQLMLADMNKEIKGLRCAVSGSGKITMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYVKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFPCGYQNEIDQSDAMSLVNSGCRILVEGSNMPCTPEAVDVFKKANVLIAPAIAAGAGGVVAGELELNHECNLLNWSPEDFESKLQEAMKQIYQRALKAANDFGYQKESPEALVHGAVISAFLTIAQAMSDQGCV >Potri.001G199600.6.v4.1 pep chromosome:Pop_tri_v4:1:19758710:19766770:-1 gene:Potri.001G199600.v4.1 transcript:Potri.001G199600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199600.v4.1 MLLPAEGIRMNSTMDDINLIQQAQRHHLVVRELGEEIDLEIGHGDDDHSFANTPIMGGRPREHSAEDNDEVKNMMASSQFSNEEQDVPKTQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKTIYVKALMSKTAGSIVEAALKRDPHEVEFIQSVQEAVHALDRVIAKNSHYVNIMERLLEPERMLVFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFGQTLKNALSPYRLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLLGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFVQLMLADMNKEIKGLRCAVSGSGKITMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYVKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFPCGYQNEIDQSDAMSLVNSGCRILVEGSNMPCTPEAVDVFKKANVLIAPAIAAGAGGVVAGELELNHECNLLNWSPEDFESKLQEAMKQIYQRALKAANDFGYQKESPEALVHGAVISAFLTIAQAMSDQGCV >Potri.001G199600.8.v4.1 pep chromosome:Pop_tri_v4:1:19758710:19766931:-1 gene:Potri.001G199600.v4.1 transcript:Potri.001G199600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199600.v4.1 MLLPAEGIRMNSTMDDINLIQQAQRHHLVVRELGEEIDLEIGHGDDDHSFANTPIMGGRPREHSAEDNDEVKNMMASSQFSNEEQDVPKTQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKTIYVKALMSKTAGSIVEAALKRDPHEVEFIQSVQEAVHALDRVIAKNSHYVNIMERLLEPERMLVFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFGQTLKNALSPYRLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLLGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFVQLMLADMNKEIKGLRCAVSGSGKITMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYVKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFPCGYQNEIDQSDAMSLVNSGCRILVEGSNMPCTPEAVDVFKKANVLIAPAIAAGAGGVVAGELELNHECNLLNWSPEDFESKLQEAMKQIYQRALKAANDFGYQKESPEALVHGAVISAFLTIAQAMSDQGCV >Potri.001G199600.2.v4.1 pep chromosome:Pop_tri_v4:1:19758710:19767000:-1 gene:Potri.001G199600.v4.1 transcript:Potri.001G199600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199600.v4.1 MLLPAEGIRMNSTMDDINLIQQAQRHHLVVRELGEEIDLEIGHGDDDHSFANTPIMGGRPREHSAEDNDEVKNMMASSQFSNEEQDVPKTQPVKRKKKVVKRWREEWADTYKWAYVDVKEGTARIFCSVCREYGRKHRRNPYGNEGSRNMQMSALEEHNNSLLHKEALRLQMASKDKIVVDKTIYVKAGSIVEAALKRDPHEVEFIQSVQEAVHALDRVIAKNSHYVNIMERLLEPERMLVFRVPWVDDRGETHVNRGFRVHFNQALGPCRGGIRFHPSMNLSIAKFLGFGQTLKNALSPYRLGGAAGGSDFDPKGKTDNEIMRFCQSFMNEIYRYLGPDKDLPSEEMGVGTREMGYLLGQYRRLAGHFQGSFTGPRIFWSGSSLRTEATGYGLVFFVQLMLADMNKEIKGLRCAVSGSGKITMHVLEKLIAYGALPITVSDSKGYLVDEDGFDYVKISFLRDIKAQQRSLRDYSKTYARSKYYDEAKPWSERCDVAFPCGYQNEIDQSDAMSLVNSGCRILVEGSNMPCTPEAVDVFKKANVLIAPAIAAGAGGVVAGELELNHECNLLNWSPEDFESKLQEAMKQIYQRALKAANDFGYQKESPEALVHGAVISAFLTIAQAMSDQGCV >Potri.006G091500.14.v4.1 pep chromosome:Pop_tri_v4:6:6956199:6964286:-1 gene:Potri.006G091500.v4.1 transcript:Potri.006G091500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091500.v4.1 MIGHLRRIGVGRVQTLLNSSYKTTMEVQILTSKTHSAANAALPLSQAVYHDGKSEQNLRRNQIGDNVSKKDKIKFLITTLLDLNDSKDAVYGALDAWVAWEQKFPIASIKQVLIALEKEQQWHRIVQVIKWMLSKGQGTTMATYAQLIRALDMDHRAKEAHEFWLKKIGRDLHSVPWKLCNSMISIYYRNNMLENLIKVWFAACHMLLDMENWLCNELIMFTRQSSSRFAYRTFFIVL >Potri.006G091500.2.v4.1 pep chromosome:Pop_tri_v4:6:6956175:6964339:-1 gene:Potri.006G091500.v4.1 transcript:Potri.006G091500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091500.v4.1 MIGHLRRIGVGRVQTLLNSSYKTTMEVQILTSKTHSAANAALPLSQAVYHDGKSEQNLRRNQIGDNVSKKDKIKFLITTLLDLNDSKDAVYGALDAWVAWEQKFPIASIKQVLIALEKEQQWHRIVQVIKWMLSKGQGTTMATYAQLIRALDMDHRAKEAHEFWLKKIGRDLHSVPWKLCNSMISIYYRNNMLENLIKLFKGLEAFDRKPPEKSIVQKVADAYEMLGLLEEKGRLLEKYNHLFIETGKGWNKNFRVVSSKKNNKSGKAKN >Potri.004G128800.1.v4.1 pep chromosome:Pop_tri_v4:4:13663237:13664251:-1 gene:Potri.004G128800.v4.1 transcript:Potri.004G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128800.v4.1 MEMYGDEPLSFTITGHSLALATLTAYDINSTFKNAPIVTVMSFGGPRVGNRSFSWRKVGPGYYV >Potri.006G248100.19.v4.1 pep chromosome:Pop_tri_v4:6:24787258:24796100:-1 gene:Potri.006G248100.v4.1 transcript:Potri.006G248100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248100.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLLKAREQQQQGQQPQHQQQQQQQMQMQQLLLQRQQQQQQQQQQQPPQQQQQQQQQQQHQQQQQQQQQQRRDGAHLLNGAANGLVGNDPLMRQNTATANAMATKMYEEKLKLPMERDSLTDAAMKQRFGESVGHLLDPNASILKSAAAATGQPSGQVLHGASGGMSPQVQARNQQLSGSTPDIKSEINPVLNPRAAGPEGSLIGIPGSNQGGNNLTLRGWPLHGLEQLRSGLLQPQKPFIQAPQPFHQIQMLTPQHQQLMLAQQNLTSPAASDESRRLRMLLNNRNVSIGKDGLTNSVGDVIPNGGSPLQTGGPLLSRGDPDMLMKLKIAQFQQQQQQQQQQQQQSSNPQQQLLQQHVLSNQQSQSSNHNLHPQDKMGDAGSVNVDGSISNSFRGNDQVSKNPTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGGSSKPFIFGADGTGTLTSPSNQLADMDRFVEDGSLEDNVDSFLSHEDNDPRDAVPRMDLSKGFSFTEVNSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDTLKPKTTLEEHTSLITDVRFSPSMSRLATSSFDKTVRVWDADNPNFSLRTFTGHSTTVMSLDFHPNKDDLISSCDGNGEIRYWSVTNGSCARVFKGGMAQMRFQPRVGRYLAAAAENVVSILDVETQACRHSLQGHTKPIHSVCWDPSGEFLASASEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTFPSLLVIGCYQSLELWNMNENKTMTLPAHEGLIAALAVSTATGLVASASHDKFVKLWK >Potri.006G248100.16.v4.1 pep chromosome:Pop_tri_v4:6:24787243:24796072:-1 gene:Potri.006G248100.v4.1 transcript:Potri.006G248100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248100.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLLKAREQQQQGQQPQHQQQQQQQMQMQQLLLQRQQQQQQQQQQQPPQQQQQQQQQQQHQQQQQQQQQQRRDGAHLLNGAANGLVGNDPLMRQNTATANAMATKMYEEKLKLPMERDSLTDAAMKQRFGESVGHLLDPNASILKSAAAATGQPSGQVLHGASGGMSPQVQARNQQLSGSTPDIKSEINPVLNPRAAGPEGSLIGIPGSNQGGNNLTLRGWPLHGLEQLRSGLLQPQKPFIQAPQPFHQIQMLTPQHQQLMLAQQNLTSPAASDESRRLRMLLNNRNVSIGKDGLTNSVGDVIPNGGSPLQTGGPLLSRGDPDMLMKLKIAQFQQQQQQQQQQQQQSSNPQQQLLQQHVLSNQQSQSSNHNLHPQDKMGDAGSVNVDGSISNSFRGNDQVSKNPTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGGSSKPFIFGADGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHEDNDPRDAVPRMDLSKGFSFTEVNSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDTLKPKTTLEEHTSLITDVRFSPSMSRLATSSFDKTVRVWDADNPNFSLRTFTGHSTTVMSLDFHPNKDDLISSCDGNGEIRYWSVTNGSCARVFKGGMAQMRFQPRVGRYLAAAAENVVSILDVETQACRHSLQGHTKPIHSVCWDPSGEFLASASEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTFPSLLVIGCYQSLELWNMNENKTMTLPAHEGLIAALAVSTATGLVASASHDKFVKLWK >Potri.006G248100.17.v4.1 pep chromosome:Pop_tri_v4:6:24786637:24796289:-1 gene:Potri.006G248100.v4.1 transcript:Potri.006G248100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248100.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLLKAREQQQQGQQPQHQQQQQQQMQMQQLLLQRQQQQQQQQQQQPPQQQQQQQQQQQHQQQQQQQQQQRRDGAHLLNGAANGLVGNDPLMRQNTATANAMATKMYEEKLKLPMERDSLTDAAMKQRFGESVGHLLDPNASILKSAAAATGQPSGQVLHGASGGMSPQVQARNQQLSGSTPDIKSEINPVLNPRAAGPEGSLIGIPGSNQGGNNLTLRGWPLHGLEQLRSGLLQPQKPFIQAPQPFHQIQMLTPQHQQLMLAQQNLTSPAASDESRRLRMLLNNRNVSIGKDGLTNSVGDVIPNGGSPLQTGGPLLSRGDPDMLMKLKIAQFQQQQQQQQQQQQQSSNPQQQLLQQHVLSNQQSQSSNHNLHPQDKMGDAGSVNVDGSISNSFRGNDQVSKNPTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGGSSKPFIFGADGTGTLTSPSNQLADMDRFVEDGSLEDNVDSFLSHEDNDPRDAVPRMDLSKGFSFTEVNSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDTLKPKTTLEEHTSLITDVRFSPSMSRLATSSFDKTVRVWDADNPNFSLRTFTGHSTTVMSLDFHPNKDDLISSCDGNGEIRYWSVTNGSCARVFKGGMAQMRFQPRVGRYLAAAAENVVSILDVETQACRHSLQGHTKPIHSVCWDPSGEFLASASEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTFPSLLVIGCYQSLELWNMNENKTMTLPAHEGLIAALAVSTATGLVASASHDKFVKLWK >Potri.006G248100.15.v4.1 pep chromosome:Pop_tri_v4:6:24787243:24796252:-1 gene:Potri.006G248100.v4.1 transcript:Potri.006G248100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248100.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLLKAREQQQQGQQPQHQQQQQQQMQMQQLLLQRQQQQQQQQQQQPPQQQQQQQQQQQHQQQQQQQQQQRRDGAHLLNGAANGLVGNDPLMRQNTATANAMATKMYEEKLKLPMERDSLTDAAMKQRFGESVGHLLDPNASILKSAAAATGQPSGQVLHGASGGMSPQVQARNQQLSGSTPDIKSEINPVLNPRAAGPEGSLIGIPGSNQGGNNLTLRGWPLHGLEQLRSGLLQPQKPFIQAPQPFHQIQMLTPQHQQLMLAQQNLTSPAASDESRRLRMLLNNRNVSIGKDGLTNSVGDVIPNGGSPLQTGGPLLSRGDPDMLMKLKIAQFQQQQQQQQQQQQQSSNPQQQLLQQHVLSNQQSQSSNHNLHPQDKMGDAGSVNVDGSISNSFRGNDQVSKNPTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGGSSKPFIFGADGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHEDNDPRDAVPRMDLSKGFSFTEVNSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDTLKPKTTLEEHTSLITDVRFSPSMSRLATSSFDKTVRVWDADNPNFSLRTFTGHSTTVMSLDFHPNKDDLISSCDGNGEIRYWSVTNGSCARVFKGGMAQMRFQPRVGRYLAAAAENVVSILDVETQACRHSLQGHTKPIHSVCWDPSGEFLASASEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTFPSLLVIGCYQSLELWNMNENKTMTLPAHEGLIAALAVSTATGLVASASHDKFVKLWK >Potri.006G248100.14.v4.1 pep chromosome:Pop_tri_v4:6:24787273:24796100:-1 gene:Potri.006G248100.v4.1 transcript:Potri.006G248100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248100.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLLKAREQQQQGQQPQHQQQQQQQMQMQQLLLQRQQQQQQQQQQQPPQQQQQQQQQQQHQQQQQQQQQQRRDGAHLLNGAANGLVGNDPLMRQNTATANAMATKMYEEKLKLPMERDSLTDAAMKQRFGESVGHLLDPNASILKSAAAATGQPSGQVLHGASGGMSPQVQARNQQLSGSTPDIKSEINPVLNPRAAGPEGSLIGIPGSNQGGNNLTLRGWPLHGLEQLRSGLLQPQKPFIQAPQPFHQIQMLTPQHQQLMLAQQNLTSPAASDESRRLRMLLNNRNVSIGKDGLTNSVGDVIPNGGSPLQTGGPLLSRGDPDMLMKLKIAQFQQQQQQQQQQQQQSSNPQQQLLQQHVLSNQQSQSSNHNLHPQDKMGDAGSVNVDGSISNSFRGNDQVSKNPTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGGSSKPFIFGADGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSHEDNDPRDAVPRMDLSKGFSFTEVNSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDTLKPKTTLEEHTSLITDVRFSPSMSRLATSSFDKTVRVWDADNPNFSLRTFTGHSTTVMSLDFHPNKDDLISSCDGNGEIRYWSVTNGSCARVFKGGMAQMRFQPRVGRYLAAAAENVVSILDVETQACRHSLQGHTKPIHSVCWDPSGEFLASASEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTFPSLLVIGCYQSLELWNMNENKTMTLPAHEGLIAALAVSTATGLVASASHDKFVKLWK >Potri.006G248100.18.v4.1 pep chromosome:Pop_tri_v4:6:24787299:24796258:-1 gene:Potri.006G248100.v4.1 transcript:Potri.006G248100.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248100.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLLKAREQQQQGQQPQHQQQQQQQMQMQQLLLQRQQQQQQQQQQQPPQQQQQQQQQQQHQQQQQQQQQQRRDGAHLLNGAANGLVGNDPLMRQNTATANAMATKMYEEKLKLPMERDSLTDAAMKQRFGESVGHLLDPNASILKSAAAATGQPSGQVLHGASGGMSPQVQARNQQLSGSTPDIKSEINPVLNPRAAGPEGSLIGIPGSNQGGNNLTLRGWPLHGLEQLRSGLLQPQKPFIQAPQPFHQIQMLTPQHQQLMLAQQNLTSPAASDESRRLRMLLNNRNVSIGKDGLTNSVGDVIPNGGSPLQTGGPLLSRGDPDMLMKLKIAQFQQQQQQQQQQQQQSSNPQQQLLQQHVLSNQQSQSSNHNLHPQDKMGDAGSVNVDGSISNSFRGNDQVSKNPTGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHSGGSSKPFIFGADGTGTLTSPSNQLADMDRFVEDGSLEDNVDSFLSHEDNDPRDAVPRMDLSKGFSFTEVNSVRASASKVICCHFSSDGKLLASGGHDKKAVLWYTDTLKPKTTLEEHTSLITDVRFSPSMSRLATSSFDKTVRVWDADNPNFSLRTFTGHSTTVMSLDFHPNKDDLISSCDGNGEIRYWSVTNGSCARVFKGGMAQMRFQPRVGRYLAAAAENVVSILDVETQACRHSLQGHTKPIHSVCWDPSGEFLASASEDSVRVWTLGSGSEGECVHELSCNGNKFHSCVFHPTFPSLLVIGCYQSLELWNMNENKTMTLPAHEGLIAALAVSTATGLVASASHDKFVKLWK >Potri.012G116900.3.v4.1 pep chromosome:Pop_tri_v4:12:13512535:13515583:-1 gene:Potri.012G116900.v4.1 transcript:Potri.012G116900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116900.v4.1 MEVMIATFRCEQITKETLSRLKSDKTWLTLRKVVKAGPEPKFRKKLMSILKNSLSQYDKEATHFKESIRDEKRQELETEALKVLHPAYVDMLRHLHYSALKSFKNRLAKRVKEASRDGFEASIDHIGQDAMHQFENGCKDVSISKEWDASAVRGILLCEVEKIKSKTKTRFYKVYNTLKAKAVRGVALGIGGMTGAGVATAAFIGDWDAIAAKKAGDVASTTVRKIIKRHMLKLDNFNANENTDNRANGIVDDLVGETVDNSLDFQNASDTANDSTADDLEGDTFYDLADDSADDLADDDVDCESADDSADDTADDCDDE >Potri.016G096900.1.v4.1 pep chromosome:Pop_tri_v4:16:9662667:9664711:1 gene:Potri.016G096900.v4.1 transcript:Potri.016G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096900.v4.1 MTTMAVRSARNGKVVLSSWLINKSNNNKKSAIFWRAASSSSIPKIDGDKDKKIREEERLSAVIDAVNDRKLPPELRGQRNSVRSETDIMNVVEQRIWHSMEEGQFENLPGRGKPLNLSTNSHADPAEDTLYRILSKNGCAPEWVELNKEIRRQISEWRLALKKAWMSKCNGDHSKWIEGSEILQVQLRHINNKVFRYNLIVPFGRQMFGLKWEKELDRARE >Potri.014G155200.1.v4.1 pep chromosome:Pop_tri_v4:14:10933314:10938031:-1 gene:Potri.014G155200.v4.1 transcript:Potri.014G155200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155200.v4.1 MLLFTGRVISDSSSSASRIFAAASRNPFSISGSRFQVRAMAGSASQPFKKIQIQRDDTTFDAYVVGKEDAPGIVVLQEWWGVDFEIKNHAVKISQLGPGFKALIPDLYRGKVGLDVAEAQHLMDGLDWQGAVKDIQASVNWLKTNGSSKAGVTGFCMGGALSIASSVLVPEVDAVVAFYGVPSSQLADPAQAKAPVQAHFGELDNFVGFSDVTAAKALEEKLKASGIPYEVHIYPGNAHAFMNRSPEGVMRRKGMGLPDEDEASAELAWSRFTTWMTRYLSA >Potri.004G053200.1.v4.1 pep chromosome:Pop_tri_v4:4:4331558:4333553:1 gene:Potri.004G053200.v4.1 transcript:Potri.004G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053200.v4.1 MSEKSQKLLSLAQQLRLYKPPDSLDNPTRRQNGIPQSAKPKTAAVLICIFEGYDGDLRVILTERSSRLSSHSGEVSLPGGKREEGDADDVETALREATEEIGLDPSLVDVVTVLEPFMTKYAMTVVPVVGILFDKKAFNPAPNVNEVETVFDVPLEMFLKDENRREQEKEWMGDKYLLHFFDYHQSGSKMYTIWAITASILIRAASVVYQRPPAFLERKPTFWNGNPDKAIDT >Potri.015G140800.1.v4.1 pep chromosome:Pop_tri_v4:15:14748257:14750269:1 gene:Potri.015G140800.v4.1 transcript:Potri.015G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140800.v4.1 MDPNISNNSNKSNKTEHNWTNEEHLHFLQSMEASFVRTMLENNGPLLRLDRYLPDSSESTLDLKSQRKKKHGTPDVVGASRTRMEGRVDKRSTRRFSSHHKHYDPSQDQVVPQADIRTNDKDERDLPNVAVAPPPMAPAS >Potri.005G236200.5.v4.1 pep chromosome:Pop_tri_v4:5:23422338:23427509:1 gene:Potri.005G236200.v4.1 transcript:Potri.005G236200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236200.v4.1 MGRSTSCFKIITCGSDSAGRDDLQLPESKGSSDKRGWSFRKKSARHRVLSNTIISETTPSSVDKESPESTNLNFQQPGIPPAPEKIAVIQCTDEKPQLSEKPQLSEKSQLSTSTEQELPETIVVTKDENEVDDHVDESVVIVIQAAVRGFLAQKELLKLKYIVKLQAAVRGHLVRQHAIGTLRCVQAIVKMQALVRARCARLWEEQVGGKHGNPISKTLKESSVIKPTTTYISIEKLLRNSFAHQLMESTPKRKPIHIKCDSSKPNSGWEWLERWMSVSSAEPTPRPDLITEQLEIEKSENVTSPMQTRAPPEGFCELGDSKSNMEEIVLPSESEENMIKSDVSDFKFQVCHPNSPLAGDILEQPQPEMIGKSDAEETSITINSLPNQTVESEVNYKTVTDSLPCKQELEGEQPDQPKRSMKREAAEQLDTEEKEFVYGSMKASNPAFIASQTKFEGLGSTASLNRSSSSSHEDSGIESNTDISGIDTESRTKELDMTENSVSHISRVQYGGSECGTELSVTSTLDSPDAFEVGAAELEHEAKVSEEETCNPNRAKDQDIKDKDSSKDPVSNLSHMNQPEKLEVVKGESANTIVVADSTQEEMNPERSVSDVQRELNSETGGLAYRSSPEASPRSLLTVPDSQGTPSSQLSVKAKKSRADRSSSSQKHKSSSASKRSPSNPNHDSAARSSVEQLSKDQKNGKRHNSFGSPKPDSTDQEPRDSSSSSPSLPRFMKATESARAKVNAISSPRSSPDVQDRDFIKKRQSLPGANGRHGSPRIQRSTSQAQHGAKGNGSHVVHEKKWQR >Potri.005G236200.4.v4.1 pep chromosome:Pop_tri_v4:5:23422346:23427510:1 gene:Potri.005G236200.v4.1 transcript:Potri.005G236200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236200.v4.1 MGRSTSCFKIITCGSDSAGRDDLQLPESKGSSDKRGWSFRKKSARHRVLSNTIISETTPSSVDKESPESTNLNFQQPGIPPAPEKIAVIQCTDEKPQLSEKPQLSEKSQLSTSTEQELPETIVVTKDENEVDDHVDESVVIVIQAAVRGFLAQKELLKLKYIVKLQAAVRGHLVRQHAIGTLRCVQAIVKMQALVRARCARLWEEQVGGKHGNPISKTLQKESSVIKPTTTYISIEKLLRNSFAHQLMESTPKRKPIHIKCDSSKPNSGWEWLERWMSVSSAEPTPRPDLITEQLEIEKSENVTSPMQTRAPPEGFCELGDSKSNMEEIVLPSESEENMIKSDVSDFKFQVCHPNSPLAGDILEQPQPEMIGKSDAEETSITINSLPNQTVESEVNYKTVTDSLPCKQELEGEQPDQPKRSMKREAAEQLDTEEKEFVYGSMKASNPAFIASQTKFEGLGSTASLNRSSSSSHEDSGIESNTDISGIDTESRTKELDMTENSVSHISRVQYGGSECGTELSVTSTLDSPDAFEVGAAELEHEAKVSEEETCNPNRAKDQDIKDKDSSKDPVSNLSHMNQPEKLEVVKGESANTIVVADSTQEEMNPERSVSDVQRELNSETGGLAYRSSPEASPRSLLTVPDSQGTPSSQLSVKAKKSRADRSSSSQKHKSSSASKRSPSNPNHDSAARSSVEQLSKDQKNGKRHNSFGSPKPDSTDQEPRDSSSSSPSLPRFMKATESARAKVNAISSPRSSPDVQDRDFIKKRQSLPGANGRHGSPRIQRSTSQAQHGAKGNGSHVVHEKKWQR >Potri.017G036700.1.v4.1 pep chromosome:Pop_tri_v4:17:2436877:2442298:1 gene:Potri.017G036700.v4.1 transcript:Potri.017G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036700.v4.1 MVPSVRKSGSGASGLVDGNNPSLISLEGSNFVVNGHIFLSDVPDNITLSPSPATLTEKTICDNAGSFVGFDSKESKDRHVVHIGKLKSIKFMSIFRFKVWWTTHWVGSNGRDLEHETQIVMLDKSDDSGRPYVLLLPLIEGPFRASLQPGDDDNVDVCVESGSTKVCGAGFRSVVYLHAGDDPYNLVKEAMEAVRVHLGTFKLLEEKTPPGIVDKFGWCTWDAFYLNVHPQGVWDGVKGLVDGGCPPGLVLIDDGWQSISHDEDPITEEGMNAAVGAGEQMPCRLVRFQENYKFRDYESHKSLAAGADNKGMGAFIKDLKEEFNTVDYVYVWHALCGYWGGLRPNVPGLPPTQVVKPKLSPGLEMTMEDLAVDKIVNNGVGLVPPEIVYQMYDGIHSHLAKVGIDGVKVDVIHLLEMLCEDYGGRVDLAKAYYKALTASVRKHFKGNGVIASMEHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDSVGKHNFPLLRRLVLPDGSILRCNYHALPTRDCLFEDPLHDGNTMLKIWNLNKFTGVIGTFNCQGGGWCRETRRNKCAAQFSHSVTAKTNPRDIEWNSGKNPISIEGVQIFAMYLSKSKKLVLSKAHENIEIALEPFNFELITVSPVTTLAGKPAQFAPIGLVNMLNTGGAIQSLAYTNDSNSSVQIGIKGSGEMRVFASEKPRSCKIDGRDVAFEYEGYMVVTQVPWSPPSGLSTVDYLF >Potri.012G077400.11.v4.1 pep chromosome:Pop_tri_v4:12:10108088:10114623:-1 gene:Potri.012G077400.v4.1 transcript:Potri.012G077400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077400.v4.1 MAGGGGKAEEPQPHPPKEQLPNIYYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGGNKEKAEVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDEPDPVEKFKRIMRATQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFISQYMPHLIKSGRHVFDRFAVIFAVVIVWIYAHLLTVGGAYNDAPPRTQVTCRTDRAGLIDGSPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGVGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSVLGKFGAVFASIPSPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGFGPVNTSGRWFNDIINVPFSSEAFVAGCVAYFLDNTIHKKDSSIRKDRGKHWWAKFKSFKGDTRSEEFYSLPFNLNKYFPSV >Potri.012G077400.13.v4.1 pep chromosome:Pop_tri_v4:12:10108089:10114504:-1 gene:Potri.012G077400.v4.1 transcript:Potri.012G077400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077400.v4.1 MAGGGGKAEEPQPHPPKEQLPNIYYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGGNKEKAEVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDEPDPVEKFKRIMRATQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFISQYMPHLIKSGRHVFDRFAVIFAVVIVWIYAHLLTVGGAYNDAPPRTQVTCRTDRAGLIDGSPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGVGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSVLGKFGAVFASIPSPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGFGPVNTSGRWFNDIINVPFSSEAFVAGCVAYFLDNTIHKKDSSIRKDRGKHWWAKFKSFKGDTRSEEFYSLPFNLNKYFPSV >Potri.012G077400.12.v4.1 pep chromosome:Pop_tri_v4:12:10108089:10114623:-1 gene:Potri.012G077400.v4.1 transcript:Potri.012G077400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077400.v4.1 MAGGGGKAEEPQPHPPKEQLPNIYYCITSPPPWPEAILLGFQHYLVMLGTTVLIPSALVPQMGGGNKEKAEVIQTLLFVAGLNTLLQSLFGTRLPAVIGGSYTFVPTTISIILAGRFSDEPDPVEKFKRIMRATQGALIVASTLQIVLGFSGLWRNVTRFLSPLSAVPLVALVGFGLYELGFPGVAKCVEIGLPELIILVFISQYMPHLIKSGRHVFDRFAVIFAVVIVWIYAHLLTVGGAYNDAPPRTQVTCRTDRAGLIDGSPWIRVPYPFQWGAPSFDAGEAFAMMMASFVALVESTGAFIAVSRYASATPMPPSVLSRGVGWQGVAILLSGLFGTGNGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSVLGKFGAVFASIPSPIIAGLYCLFFAYVGAGGLSFLQFCNLNSFRTKFILGFSIFMGLSVPQYFNEYTAIKGFGPVNTSGRWFNDIINVPFSSEAFVAGCVAYFLDNTIHKKDSSIRKDRGKHWWAKFKSFKGDTRSEEFYSLPFNLNKYFPSV >Potri.005G151350.1.v4.1 pep chromosome:Pop_tri_v4:5:13720932:13721312:-1 gene:Potri.005G151350.v4.1 transcript:Potri.005G151350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151350.v4.1 MWMDHDEFMPLVKKVWDQNSGGCPTYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQ >Potri.012G016715.1.v4.1 pep chromosome:Pop_tri_v4:12:665419:666793:1 gene:Potri.012G016715.v4.1 transcript:Potri.012G016715.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016715.v4.1 MPQCLGNFSSMLSVLHLGMNNLQGTIPSTFTKDNSLKYLNLNGNEFEGKIPSSINNCAMLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTAYNSFSKLRILDISDNNFSGPLPTGYFNSLEAMMASDQNMIYMRATNYTGYVYSIKMTWKGVEIEFMKIRSTIRVLDLSNNNFTGEIPKVIGKLKALQQLNLSHNSLIGHIQSSLEKLTNLESLDLSSNLLTGRIPTQLGDLTFLAILNLSHNQLEGPIPSGEQFNTFDASSFEGNLGLCGSQVLKQCYGDEAPSLPPSSFDEGDDSTLFGEGFGWKAVTVGYGCGFVFGVATGYVVFRTKKPLWFLRMVEDKWNLQSKKTKKNAGRYGARRN >Potri.004G147300.1.v4.1 pep chromosome:Pop_tri_v4:4:16948401:16949298:1 gene:Potri.004G147300.v4.1 transcript:Potri.004G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147300.v4.1 MATTSATFSPPTLVASAVSSPKRKQTNVNYITGLNSFGGLKAHNSVSSLGLSVGSEQSFAKIVSSLKAPSKGKGGSGGALSSTCSNVGEIFRIAAVMNGLVLVGVAVGFVLLRIEAFVEETE >Potri.004G147300.3.v4.1 pep chromosome:Pop_tri_v4:4:16948421:16949292:1 gene:Potri.004G147300.v4.1 transcript:Potri.004G147300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147300.v4.1 MATTSATFSPPTLVASAVSSPKRKQTNVNYITGLNSFGGLKAHNSVSSLGLSVGSEQSFAKIVSSLKAPSKGKGGSGGALSSTCSNVGEIFRIAAVMNGLVLVGVAVGFVLLRIEAFVEETE >Potri.017G112400.1.v4.1 pep chromosome:Pop_tri_v4:17:12017427:12018711:1 gene:Potri.017G112400.v4.1 transcript:Potri.017G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112400.v4.1 MADTYGKQMEEGKPVNTLGDLVPFSNKLYTGDLQKRIGITAGICILIQHKTEKQGDRYEAVYSFYFGDYGHLSVQGAYITYEDTYLAVTGGSGIFEGHMLFYTFYLKGLKNDLPEELVGKPVEPTPAVEPTPAAKAAEPHATIANYSD >Potri.014G149600.2.v4.1 pep chromosome:Pop_tri_v4:14:10297386:10309523:1 gene:Potri.014G149600.v4.1 transcript:Potri.014G149600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149600.v4.1 MDLPSLAVVLQAALSPNPDERKAAEQRLDQFQYTPQHLVRLLQIIVDNNCDMAVRQVASIHFKNFIARNWAPHEPDEQPKVSHNDKAMVRDHILVFLVQVPPLLRVQLGECIKTMIHADYPEQWPHLLDWIKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFSHLLNIFNKLVQIPNPSLEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFLTVLERPVPVDGQPVDPELRKSWGWWKVKKWTIHILNRLYTRFGDLKLQNPENKAFAQIFQKNFAGKILECHLNLLNVIRVGGYLPDRVINLVLQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFILFVVEIFKRFDEAPLEYKPYRQKDGALLAIGALCDKLKQTDPYKSELERMLVQHVFPEFSSPAGHLRAKAAWVAGQYAHINFSDQNNFRKALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLSEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPDLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISTEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLACREPDYQQSLWKMISYIMADKNLEDNDIEPAPKLIEVVFQNCKGQVDQWVEPYMRITVERLRRTEKSYLKCLLMQVVADALYYNPALTLSILHKLGVATEIFNLWFQMLQQVKKSGVRANFKREHDKKVCCLGLTSLLALPAEQLPGEALGPVFTATLDLLVQYKDQLAEAAKEEEAEDLGDMDGFQTDDEDDDGDGSDKEMGVDAEDGDEADSIKLHKLAAQAKSFRPHDEDDDDSDDDYSDDEELQSPIDEVDPFIFFVDTIKAMQALDPLRFQNLTQTLDFHFQALANGVAEHAELRRVVIGKEKLEKTSAAGAL >Potri.014G149600.3.v4.1 pep chromosome:Pop_tri_v4:14:10298356:10309521:1 gene:Potri.014G149600.v4.1 transcript:Potri.014G149600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149600.v4.1 MIHADYPEQWPHLLDWIKHNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFSHLLNIFNKLVQIPNPSLEVADLIKLICKIFWSSIYLEIPKQLFDPNVFNAWMVLFLTVLERPVPVDGQPVDPELRKSWGWWKVKKWTIHILNRLYTRFGDLKLQNPENKAFAQIFQKNFAGKILECHLNLLNVIRVGGYLPDRVINLVLQYLSNSISKNSMYNLLQPRLDVLLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFILFVVEIFKRFDEAPLEYKPYRQKDGALLAIGALCDKLKQTDPYKSELERMLVQHVFPEFSSPAGHLRAKAAWVAGQYAHINFSDQNNFRKALHSVVSGLRDPELPVRVDSVFALRSFVEACKDLSEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPDLFVQIEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPTISTEMWSLWPLMIEALADWAIDFFPNILVPLDNYISRGTAHFLACREPDYQQSLWKMISYIMADKNLEDNDIEPAPKLIEVVFQNCKGQVDQWVEPYMRITVERLRRTEKSYLKCLLMQVVADALYYNPALTLSILHKLGVATEIFNLWFQMLQQVKKSGVRANFKREHDKKVCCLGLTSLLALPAEQLPGEALGPVFTATLDLLVQYKDQLAEAAKEEEAEDLGDMDGFQTDDEDDDGDGSDKEMGVDAEDGDEADSIKLHKLAAQAKSFRPHDEDDDDSDDDYSDDEELQSPIDEVDPFIFFVDTIKAMQALDPLRFQNLTQTLDFHFQALANGVAEHAELRRVVIGKEKLEKTSAAGAL >Potri.006G150900.1.v4.1 pep chromosome:Pop_tri_v4:6:13193133:13194908:-1 gene:Potri.006G150900.v4.1 transcript:Potri.006G150900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150900.v4.1 MGSDSVLTSTKPTPIPTQEDPPSPSTSLLSFNTDSATDPSNPHHKNTTNSIIFISLVLVTCIALSAASAFAFLFFSSSSSSPSATPTEIPSASLQATTRPLTKLNHSVVLLISSDGFRFGYQFKTPTPNIHRLIANGTEAETGLIPVFPSLTFPNHYSIVTGLYPAYHGIINNHFVDPKTGEVFTMASHEPKWWLGEPIWETVAKQGLKASTYFWPGSEVHKGSWTCPQKFCMFYNGSVPFDERVDTVLSYFDLPDSEIPVFMTLYFEDPDHQGHKVGPDDPEITEAVAGIDRMIGKLIDGLEERGVFEDVTIIMVGDHGMVGTCDKKLIFLDDLAPWIDILPEWVQSYTPLLAIRPPPGFAPSAVVAKMNEGLQSGKVQNGKNLKMYLKEELPSRLHYAASDRIPPIIGMIDEGFKVEQKRTNRQECGGAHGYDNALFSMRTIFIGHGPQFARGRKVPSFENVQIYNLVTSILNIQGAPNNGSVSFPSTVLLPNPS >Potri.014G073800.6.v4.1 pep chromosome:Pop_tri_v4:14:4709358:4713695:-1 gene:Potri.014G073800.v4.1 transcript:Potri.014G073800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073800.v4.1 MALKRGLSSSGVNKNRSGGGGGSRLPIILVIFFCFLSPLIFFVGRRLIITSSSADQNNNNNAVGSGKQQLDWRERLALQHVKPLFSKEVIDVIASSTADLGPLSLDSFRKNKLSASWKVIGGETPVDNKAASETNQTATVVKQEASKGKVDNISDNARSGDTPAKLARRQLREKRREKRVAELLRQDDEATARLENAAIERSKLVDGAVLGKYSIWRKEMDNENSDSTVRLMRDQMIMARVYLSIAKMKNKRDLLQELQTRLKESQRALGESSADSDLHPSAPGKLKAMGQVLSKAREQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIDYYLLPLEKRKFPRSEDLENPNLYHYALFSDNVLAASVVVNSTIMNAKDSSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTKLWSVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARHFDPNSCGWAYGMNIFDLKVWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYNLTHPLQKSWHVLGLGYNPSIDRSEIENAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYLRNCNLSE >Potri.014G073800.3.v4.1 pep chromosome:Pop_tri_v4:14:4709415:4713514:-1 gene:Potri.014G073800.v4.1 transcript:Potri.014G073800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073800.v4.1 MALKRGLSSSGVNKNRSGGGGGSRLPIILVIFFCFLSPLIFFVGRRLIITSSSADQNNNNNAVGSGKQQLDWRERLALQHVKPLFSKEVIDVIASSTADLGPLSLDSFRKNKLSASWKVIGGETPVDNKAASETNQTATVVKQEASKGKVDNISDNARSGDTPAKLARRQLREKRREKRVAELLRQDDEATARLENAAIERSKLVDGAVLGKYSIWRKEMDNENSDSTVRLMRDQMIMARVYLSIAKMKNKRDLLQELQTRLKESQRALGESSADSDLHPSAPGKLKAMGQVLSKAREQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIDYYLLPLEKRKFPRSEDLENPNLYHYALFSDNVLAASVVVNSTIMNAKDSSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTKLWSVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARHFDPNSCGWAYGMNIFDLKVWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYNLTHPLQKSWHVLGLGYNPSIDRSEIENAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYLRNCNLSE >Potri.014G073800.4.v4.1 pep chromosome:Pop_tri_v4:14:4709309:4713693:-1 gene:Potri.014G073800.v4.1 transcript:Potri.014G073800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073800.v4.1 MALKRGLSSSGVNKNRSGGGGGSRLPIILVIFFCFLSPLIFFVGRRLIITSSSADQNNNNNAVGSGKQQLDWRERLALQHVKPLFSKEVIDVIASSTADLGPLSLDSFRKNKLSASWKVIGGETPVDNKAASETNQTATVVKQEASKGKVDNISEDNARSGDTPAKLARRQLREKRREKRVAELLRQDDEATARLENAAIERSKLVDGAVLGKYSIWRKEMDNENSDSTVRLMRDQMIMARVYLSIAKMKNKRDLLQELQTRLKESQRALGESSADSDLHPSAPGKLKAMGQVLSKAREQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIDYYLLPLEKRKFPRSEDLENPNLYHYALFSDNVLAASVVVNSTIMNAKDSSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTKLWSVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARHFDPNSCGWAYGMNIFDLKVWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYNLTHPLQKSWHVLGLGYNPSIDRSEIENAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYLRNCNLSE >Potri.014G073800.7.v4.1 pep chromosome:Pop_tri_v4:14:4709384:4713552:-1 gene:Potri.014G073800.v4.1 transcript:Potri.014G073800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073800.v4.1 MALKRGLSSSGVNKNRSGGGGGSRLPIILVIFFCFLSPLIFFVGRRLIITSSSADQNNNNNAVGSGKQQLDWRERLALQHVKPLFSKEVIDVIASSTADLGPLSLDSFRKNKLSASWKVIGGETPVDNKAASETNQTATVVKQEASKGKVDNISEDNARSGDTPAKLARRQLREKRREKRVAELLRQDDEATARLENAAIERSKLVDGAVLGKYSIWRKEMDNENSDSTVRLMRDQMIMARVYLSIAKMKNKRDLLQELQTRLKESQRALGESSADSDLHPSAPGKLKAMGQVLSKAREQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIDYYLLPLEKRKFPRSEDLENPNLYHYALFSDNVLAASVVVNSTIMNAKDSSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPQVYPKLDKILFLDDDIVVQKDLTKLWSVDLNGKVNGAVETCGESFHRFDKYLNFSNPHIARHFDPNSCGWAYGMNIFDLKVWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYNLTHPLQKSWHVLGLGYNPSIDRSEIENAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYLRNCNLSE >Potri.001G126200.1.v4.1 pep chromosome:Pop_tri_v4:1:10369253:10376274:-1 gene:Potri.001G126200.v4.1 transcript:Potri.001G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126200.v4.1 MSKAAANVASFSHTFKYLLATQFLSRGIPFIFNSWIVRHLTAEDYALYAVQFHLFVTCVLFLSREGFRRACMRADIKCDGASTEEYAAKLLKVAWMTLPLGVVTTISACVFVFWWQGLTYSDPYAQAILINGCACILELLAEPLYIVSQNLLLLKLRLIVETAATLLRCLTMYILIVKQTSMDKGIVFALSQTAYGACLFLGYWSYFVLFRAFRSSVLFPFRLGTIMDYDKQLSSMCVLFTLQSFQKLILQEGEKFVLVWLDTPYNQAVYGLVDKLGSLVVRLVFLPFEESSYATFARSASGKDPNKSRKLGSCLSEALKLVLLIGVVFMTFGPSYSYSLIRMLYGRKWSDGEASTALQYYCFYVIVLAMNGTSEAFLHAVATESQLKRSNDSLLVFSLIYVVMNVLLIKSAGAVGLILANSLNMILRIIYSAVFIKYYFQDSSAFSFTSCLPSGWTVLLFSGVITLISEKLFLDHENFWPTFLIHFSIGLTCFCISSFIIYRRERPFINRIIRFRDHMD >Potri.004G175800.9.v4.1 pep chromosome:Pop_tri_v4:4:19098976:19101769:1 gene:Potri.004G175800.v4.1 transcript:Potri.004G175800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175800.v4.1 MAKPISIEVYNPNGKYRVVSTKSMPGTRWINLLIEQDCRVEICTQQKTILSVEDIIALIGDKCDGVIGQLTEDWGETLFAALSRAGGKAFSNMAVGYNNVDVNAANKHGVAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQSTRLEKFVTAYGEFLKANGEQPVTWKRAASMDEVLREADVISLHPILDKTTYHLINKESLATMKKEAILVNCSRGPVVDEVALVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGDPNGVAPFLNENAPPPAASPSIVNAKALGNAKDLDNCLPSESPVSTFEASLDLVILLLPQVYLFQSYKSWKADHHLGALWFIMCVFLNIGSWSLL >Potri.004G175800.2.v4.1 pep chromosome:Pop_tri_v4:4:19098777:19101807:1 gene:Potri.004G175800.v4.1 transcript:Potri.004G175800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175800.v4.1 MAKPISIEVYNPNGKYRVVSTKSMPGTRWINLLIEQDCRVEICTQQKTILSVEDIIALIGDKCDGVIGQLTEDWGETLFAALSRAGGKAFSNMAVGYNNVDVNAANKHGVAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQSTRLEKFVTAYGEFLKANGEQPVTWKRAASMDEVLREADVISLHPILDKTTYHLINKESLATMKKEAILVNCSRGPVVDEVALVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGDPNGVAPFLNENAPPPAASPSIVNAKALGLPVSKL >Potri.004G175800.11.v4.1 pep chromosome:Pop_tri_v4:4:19099916:19101881:1 gene:Potri.004G175800.v4.1 transcript:Potri.004G175800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175800.v4.1 MMVEGFKMNLIYYDLYQSTRLEKFVTAYGEFLKANGEQPVTWKRAASMDEVLREADVISLHPILDKTTYHLINKESLATMKKEAILVNCSRGPVVDEVALVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGDPNGVAPFLNENAPPPAASPSIVNAKALGLPVSKL >Potri.004G175800.10.v4.1 pep chromosome:Pop_tri_v4:4:19099440:19101769:1 gene:Potri.004G175800.v4.1 transcript:Potri.004G175800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175800.v4.1 MAVGYNNVDVNAANKHGVAVGNTPGVLTETTAELAASLSLAAARRIVEADQFMRAGLYDGWLPHLFVGNLLKGQTVGVIGAGRIGSAYARMMVEGFKMNLIYYDLYQSTRLEKFVTAYGEFLKANGEQPVTWKRAASMDEVLREADVISLHPILDKTTYHLINKESLATMKKEAILVNCSRGPVVDEVALVEHLKQNPMFRVGLDVFEDEPYMKPGLADMKNAVVVPHIASASKWTREGMATLAALNVLGKIKGYPVWGDPNGVAPFLNENAPPPAASPSIVNAKALGLPVSKL >Potri.019G057550.3.v4.1 pep chromosome:Pop_tri_v4:19:9667648:9668779:-1 gene:Potri.019G057550.v4.1 transcript:Potri.019G057550.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057550.v4.1 MEQQAELHDALNEALKKEIERLKFATGEIMAPTVAIIWGCIIFHITILTCFHLSISKASSSFRRCLRPEIAASPSHACQRCCYRILSHSCRGLISAAELDLNAPQFPPVKNFITESLEDLSMFNFIYTLLVIAIRAQFKSKYCF >Potri.002G191700.2.v4.1 pep chromosome:Pop_tri_v4:2:15379644:15381853:1 gene:Potri.002G191700.v4.1 transcript:Potri.002G191700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191700.v4.1 MHFSHPVGENYANPKTCFFHVLFKAAGLVMYILSALFFDSFVIIFVVTFVLAALDFLVVKNVSCRILGESVWKFECLDQQSSARMNKKDSWLFWWTLYLNAAAWVILGIFSVIRFEADYVLVVAVCASLSIVNIVGFTKCRKDAKKQIQGFACQAIASHFHTVCT >Potri.013G048900.1.v4.1 pep chromosome:Pop_tri_v4:13:3510343:3512695:1 gene:Potri.013G048900.v4.1 transcript:Potri.013G048900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048900.v4.1 MDAGSINSSSSLKAQSRFPLQQQFLPRMNSKENLDRFIPNRSAMDMDYAHFMLTEGRKGKENPTVNSPSREAYRKQLAESLNMNRTRILAFKNKPPAPVELMPQDHSHHHHQPKTAKPRRHIPQTSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGSTVYLWDASDGSTSELVTVDDEDGPITSVNWAPDGRHIAIGLNNSHIQLWDSASNRQLRTLKGGHRSRVGSLAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHTQEVCGLKWSASGQQLASGGNDNLIHIWDRSTALSNSATQWLHRLEDHTSAVKALAWCPFQGNLLASGGGGGDKSIKFWNTHTGACLNSIDTGSQVCSLLWNKNERELLSSHGFTQNQLTVWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVATAAGDETLRFWNVFGVPEVAAKAAPKANPEPFSHLNRLR >Potri.003G221301.1.v4.1 pep chromosome:Pop_tri_v4:3:21535702:21536310:-1 gene:Potri.003G221301.v4.1 transcript:Potri.003G221301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221301.v4.1 MSSLQGPPSLERKWPELNSPAWSLTEPQIDMKKEVGLLDSVVNKTPLQPSKEISGGAWYVERILDTEFIKSLKMCCTNQIKKLKVATEEEVAGLINSCQDSDVDVTKQHIEEIVRTLVLDYAIMEVKSNGMAEFASIPIGKVCCKCISKEGLEGKPKAETEA >Potri.004G185501.6.v4.1 pep chromosome:Pop_tri_v4:4:19897871:19900969:-1 gene:Potri.004G185501.v4.1 transcript:Potri.004G185501.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185501.v4.1 MESRATRIDDFDMRTNASTLRRKGKSLLNGESSSQMRGEEGVPEGQASPTWSSLSGDEDRVSVPTEILRYEEDFPEGQSRPSYANLNREEYQVPSPTESLLHEEFQHDYPLFSDQHSLKLIQRRLEEGRGIERQGGRSSSICIFPFPRSFDKINPNAYQPELVSLGPYHRGKDHVLEFEDHKWFFLGKLLSRSRTDLSNYLGALKLNERSIRDCYSETISMSSHDFVEMMLLDSCFVLELLRNLNHSEDMIDEGDPIFTRPWLIPILIRDLLKFENQLPYFLLHLILNLSGGNGDIKLEPDPLPILALKAIDLVFPRRAEILNKFGSWHGKHLLDLFHLSLLPTDQVIICIDLEEYHPSDQSIQGVTQLRPSGIKFRPRKCDSFLDINFRNCVLEIPSVTINDFTSTVLVNCVALEHCEEKRSKYFTDYVSFMNCLINQPRDVTFLCSEGIITRFSQDDQYVADLFNTLGKNVAFNIRECYLSKIFREVESYYSSNWATMRRTYFSSPWSFISVLSASILLVLTMVQSIMSVLSYKCH >Potri.004G185501.3.v4.1 pep chromosome:Pop_tri_v4:4:19897859:19902228:-1 gene:Potri.004G185501.v4.1 transcript:Potri.004G185501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185501.v4.1 MIDEGDPIFTRPWLIPILIRDLLKFENQLPYFLLHLILNLSGGNGDIKLEPDPLPILALKAIDLVFPRRAEILNKFGSWHGKHLLDLFHLSLLPTDQVIICIDLEEYHPSDQSIQGVTQLRPSGIKFRPRKCDSFLDINFRNCVLEIPSVTINDFTSTVLVNCVALEHCEEKRSKYFTDYVSFMNCLINQPRDVTFLCSEGIITRFSQDDQYVADLFNTLGKNVAFNIRECYLSKIFREVESYYSSNWATMRRTYFSSPWSFISVLSASILLVLTMVQSIMSVLSYKCH >Potri.003G222501.2.v4.1 pep chromosome:Pop_tri_v4:3:21583584:21586055:-1 gene:Potri.003G222501.v4.1 transcript:Potri.003G222501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222501.v4.1 MSEASSTRETSMETDQEGREEQDTDRGGQRLVLPEDGHGWKKYGQKFIKKIGKFRSYFKCQKQNCVAKKRVEWSNPDYIRIWYEGSHNHASSIQGTSSSAAANQYSLYAQVFGSDQPAASRTHHQDA >Potri.003G222501.1.v4.1 pep chromosome:Pop_tri_v4:3:21583584:21586055:-1 gene:Potri.003G222501.v4.1 transcript:Potri.003G222501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222501.v4.1 MYPALPPFRFSIKATIYEIHPMETDQEGREEQDTDRGGQRLVLPEDGHGWKKYGQKFIKKIGKFRSYFKCQKQNCVAKKRVEWSNPDYIRIWYEGSHNHASSIQGTSSSAAANQYSLYAQVFGSDQPAASRTHHQDA >Potri.015G009100.1.v4.1 pep chromosome:Pop_tri_v4:15:581914:582879:1 gene:Potri.015G009100.v4.1 transcript:Potri.015G009100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009100.v4.1 MAESGDYPLYSCRNCRNPLAFDRDLLSKAYKARSGQAYMFSHVMNIVLGQKEDRKMLTGMYTVAAVFCRNCGHELGWKYVRAFDPSQRIKEGNFIVEKLKLVKEY >Potri.015G009100.2.v4.1 pep chromosome:Pop_tri_v4:15:581914:582879:1 gene:Potri.015G009100.v4.1 transcript:Potri.015G009100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009100.v4.1 MAESGDYPLYSCRNCRNPLAFDRDLLSKAYKARSGQAYMFSHVMNIVLGQKEDRKMLTGMYTVAAVFCRNCGHELGWKYVRAFDPSQRIKEGNFIVEKLKLVKEY >Potri.008G180600.1.v4.1 pep chromosome:Pop_tri_v4:8:12454044:12457380:1 gene:Potri.008G180600.v4.1 transcript:Potri.008G180600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180600.v4.1 MPNLIVKLYSVIFKYQQKHLLQSLIETPESTKPNPFGITSRPSESIAASNPSFSDGVATKDIHVDPYSSLSLRIFLPDTAVTSSLSSTYQITNYGGYSPAEGKSHRKLPVMLQFHGGGFVSGSNESVGNDAFCRRIAKLCDVIVVAVGYRLAPETKYPGAFEDGFKVLNWLAKQANLAVCGRVGAQSHMFDSFGASMVEPWLAAHGDTSRCVLLGVSSGANIADYVAREAVEAGKRLDPVKVVAQILMFPFFIGSTPTHSEIKLASSYFYDKTMCMLAWKLFLPKEEFNLDHPAANPLIAGRQPPLKCMPPTLTVVAEHDFMRDRAIAYSEELRKVNVDAPLLDYKDGVHEFATLDVLLQTPQARVCAEDVSIWVKKYISLRGHEFSY >Potri.014G081400.7.v4.1 pep chromosome:Pop_tri_v4:14:5257738:5261858:1 gene:Potri.014G081400.v4.1 transcript:Potri.014G081400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081400.v4.1 MNTDIPNPSYSTEKQWGEKVSEKVMSAYKSLPKKGKPQELEVVALGTGTKCVGRSLLSNRGDILNDSHAEIIARRALIRFFYAEILHIIRGSSEDGCNNGSKEVKINDGSNWIFELEQRGCSEEKFKLREGWQLHLYISQLPCGDASVSSLLSPARNVFTREGDLPPSLCENGSTSDLLEVTKENNGRLSTNGSQISGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSVTVGQSSNSPKNFCLEDHLKRALHDRILPLSNELVSPFHLDKPLFYAAPEPPMEFKHADTALVTLTCGYSICWSKSGMHEVVLGTTGRKQGTSAKGALSPATMSSL >Potri.014G081400.4.v4.1 pep chromosome:Pop_tri_v4:14:5257719:5261910:1 gene:Potri.014G081400.v4.1 transcript:Potri.014G081400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081400.v4.1 MNTDIPNPSYSTEKQWGEKVSEKVMSAYKSLPKKGKPQELEVVALGTGTKCVGRSLLSNRGDILNDSHAEIIARRALIRFFYAEILHIIRGSSEDGCNNGSKEVKINDGSNWIFELEQRGCSEEKFKLREGWQLHLYISQLPCGDASVSSLLSPARNVFTREGDLPPSLCENGSTSDLLEVTKENNGRLSTNGSQISGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSVTVGQSSNSPKNFCLEDHLKRALHDRILPLSNELVSPFHLDKPLFYAAPEPPMEFKHADTALVTLTCGYSICWSKSGMHEVVLGTTGRKQGTSAKGALSPATMSSLCKKRLLEVFLTLKQEFQSKCPAKDISYRELKNNAQAYSSTSKSFKESAAFNNWPLKPLDSEAFSILRE >Potri.014G081400.10.v4.1 pep chromosome:Pop_tri_v4:14:5257674:5261903:1 gene:Potri.014G081400.v4.1 transcript:Potri.014G081400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081400.v4.1 MNTDIPNPSYSTEKQWGEKVSEKVMSAYKSLPKKGKPQGCEVTVLASFLLSSPSQELEVVALGTGTKCVGRSLLSNRGDILNDSHAEIIARRALIRFFYAEILHIIRGSSEDGCNNGSKEVKINDGSNWIFELEQRGCSEEKFKLREGWQLHLYISQLPCGDASVSSLLSPARNVFTREGDLPPSLCENGSTSDLLEVTKENNGRLSTNGSQISGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSVTVGQSSNSPKNFCLEDHLKRALHDRILPLSNELVSPFHLDKPLFYAAPEPPMEFKHADTALVTLTCGYSICWSKSGMHEVVLGTTGRKQGTSAKGALSPATMSSLCKKRLLEVFLTLKQEFQSKCPAKDISYRELKNNAQAYSSTSKSFKESAAFNNWPLKPLDSEAFSILRE >Potri.014G081400.11.v4.1 pep chromosome:Pop_tri_v4:14:5257674:5261909:1 gene:Potri.014G081400.v4.1 transcript:Potri.014G081400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081400.v4.1 MNTDIPNPSYSTEKQWGEKVSEKVMSAYKSLPKKGKPQGCEVTVLASFLLSSPSQELEVVALGTGTKCVGRSLLSNRGDILNDSHAEIIARRALIRFFYAEILHIIRGSSEDGCNNGSKEVKINDGSNWIFELEQRGCSEEKFKLREGWQLHLYISQLPCGDASVSSLLSPARNVFTREGDLPPSLCENGSTSDLLEVTKENNGRLSTNGSQISGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSVTVGQSSNSPKNFCLEDHLKRALHDRILPLSNELVSPFHLDKPLFYAAPEPPMEFKHADTALVTLTCGYSICWSKSGMHEVVLGTTGRKQGTSAKGALSPATMSSL >Potri.014G081400.3.v4.1 pep chromosome:Pop_tri_v4:14:5257710:5261863:1 gene:Potri.014G081400.v4.1 transcript:Potri.014G081400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081400.v4.1 MNTDIPNPSYSTEKQWGEKVSEKVMSAYKSLPKKGKPQELEVVALGTGTKCVGRSLLSNRGDILNDSHAEIIARRALIRFFYAEILHIIRGSSEDGCNNGSKEVKINDGSNWIFELEQRGCSEEKFKLREGWQLHLYISQLPCGDASVSSLLSPARNVFTREGDLPPSLCENGSTSDLLEVTKENNGRLSTNGSQISGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSVTVGQSSNSPKNFCLEDHLKRALHDRILPLSNELVSPFHLDKPLFYAAPEPPMEFKHADTALVTLTCGYSICWSKSGMHEVVLGTTGRKQGTSAKGALSPATMSSLCKKRLLEVFLTLKQEFQSKCPAKDISYRELKNNAQAYSSTSKSFKESAAFNNWPLKPLDSEAFSILRE >Potri.014G081400.9.v4.1 pep chromosome:Pop_tri_v4:14:5257674:5261903:1 gene:Potri.014G081400.v4.1 transcript:Potri.014G081400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081400.v4.1 MNTDIPNPSYSTEKQWGEKVSEKVMSAYKSLPKKGKPQGCEVTVLASFLLSSPSQELEVVALGTGTKCVGRSLLSNRGDILNDSHAEIIARRALIRFFYAEILHIIRGSSEDGCNNGSKEVKINDGSNWIFELEQRGCSEEKFKLREGWQLHLYISQLPCGDASVSSLLSPARNVFTREGDLPPSLCENGSTSDLLEVTKENNGRLSTNGSQISGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSVTVGQSSNSPKNFCLEDHLKRALHDRILPLSNELVSPFHLDKPLFYAAPEPPMEFKHADTALVTLTCGYSICWSKSGMHEVVLGTTGRKQGTSAKGALSPATMSSLCKKRLLEVFLTLKQEFQSKCPAKDISYRELKNNAQAYSSTSKSFKESAAFNNWPLKPLDSEAFSILRE >Potri.014G081400.12.v4.1 pep chromosome:Pop_tri_v4:14:5257674:5261903:1 gene:Potri.014G081400.v4.1 transcript:Potri.014G081400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081400.v4.1 MNTDIPNPSYSTEKQWGEKVSEKVMSAYKSLPKKGKPQGCEVTVLASFLLSSPSQELEVVALGTGTKCVGRSLLSNRGDILNDSHAEIIARRALIRFFYAEILHIIRGSSEDGCNNGSKEVKINDGSNWIFELEQRGCSEEKFKLREGWQLHLYISQLPCGDASVSSLLSPARNVFTREGDLPPSLCENGSTSDLLEVTKENNGRLSTNGSQISGMVQRKPGRGDTTLSVSCSDKIARWNVVGVQGALLSYFLQPVYLSSVTVGQSSNSPKNFCLEDHLKRALHDRILPLSNELVSPFHLDKPLFYAAPEPPMEFKHADTALVTLTCGYSICWSKSGMHEVVLGTTGRKQGTSAKGALSPATMSSL >Potri.002G046200.2.v4.1 pep chromosome:Pop_tri_v4:2:2999816:3001098:-1 gene:Potri.002G046200.v4.1 transcript:Potri.002G046200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046200.v4.1 MPPSPSIEFIDTALSCTSRFALSYTDSKQKWFIRKHLLSLIQDYPTFTLSTNTFFHDDGTTVNLLYATGHLHVANHTPSIPLTIWIHENYPCMPPMVYVLSDSTSPIHQDHPFVHSSGATSSPYLQTWVFPRCHLTELVHNLVRIFSHDHPFVYSPAASFTHPSLVSKMEALDRLSGMLHYDRIVLLAQTEEEMEDLSNLQSEMVKRDDIITSMIMGLEHERMNLKHRVMNLMNQADVLVNWLRVNDAKSLVTKLEGEMDDDDAFEAGDEDSKLLIEFLAADSAIEDSMYALDKAVEHGVVSFDAYLRQVRMLAREQFFLRSKLVKLRGPSILHWP >Potri.012G098800.1.v4.1 pep chromosome:Pop_tri_v4:12:12224148:12228317:-1 gene:Potri.012G098800.v4.1 transcript:Potri.012G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098800.v4.1 MEFNKEEASRVKEIAEKKFAERDIAGARRFAVKAQNLYPALDGLPRLLAALDVYMAADNRTNGDVDWYRVLDVESSADDDTIRRHYRKLALILHPDKNKATGADGAFKIVSEAWNLLSDKVKRISFDQKRNVKGMDQKVPNWKSSVPAGQNGSRDLSSNKNSNARSQKSAVHPKPAPPHLFSKPNTFWTICNACKTQFEYLRTYLNHNLLCQNCCQSFLAFETPPPSMDENGPSRMWTSYSKEENSTRHTRAEKSSASSTFQPGVFCKDGSVGSAASALSAAQSEKLKRKHEEEFLHQQTKTVAGGASGFSKSGSSSVLKGDRLKKRRCSDEQRANSNGKEKAKEVANRNGVVESGSRKSSFEAGRRNISGNHKVNSTKELTQAETRKMMMEKAKRDISKKAKEWSSVANVLKTSGKYINKERGKQKATMNGTKADARECPEYLVSKSRAHSTDPSPINANDDPDTNISDRLALSVLDPDFHDFDKDRTEKSFGDNQVWAAYDNDDGMPRYYAMIHSVISRKPFKMRISWLNTKSNRELGPLNWIGSGFYKTSGEFWIGKHEVNKSLNSFSHKVKWVKGTRGAIQVYPGKGDVWAVYKNWSPNWNEHTPDEVIHKYDMVEVLEDYKEERGVAVAPLVKVAGFKTVFRQHPDPSKTRTIPREEMFRFSHQVPSVLLTGQEGQYAPKGCWELDPASTPLELLQVLTEVQLDAMMETAEKDKEKYSSGDMKKSSEEEFVENVNTVKEKGVVGEAAREDVTGGRKKKGKETNEDTVIVYKRMRRKN >Potri.012G098800.3.v4.1 pep chromosome:Pop_tri_v4:12:12224148:12228267:-1 gene:Potri.012G098800.v4.1 transcript:Potri.012G098800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098800.v4.1 MEFNKEEASRVKEIAEKKFAERDIAGARRFAVKAQNLYPALDGLPRLLAALDVYMAADNRTNGDVDWYRVLDVESSADDDTIRRHYRKLALILHPDKNKATGADGAFKIVSEAWNLLSDKVKRISFDQKRNVKGMDQKVPNWKSSVPAGQNGSRDLSSNKNSNARSQKSAVHPKPAPPHLFSKPNTFWTICNACKTQFEYLRTYLNHNLLCQNCCQSFLAFETPPPSMDENGPSRMWTSYSKEENSTRHTRAEKSSASSTFQPGVFCKDGSVGSAASALSAAQSEKLKRKHEEEFLHQQTKTVAGGASGFSKSGSSSVLKGDRLKKRRCSDEQRANSNGKEKAKEVANRNGVVESGSRKSSFEAGRRNISGNHKVNSTKELTQAETRKMMMEKAKRDISKKAKEWSSVANVLKTSGKYINKERGKQKATMNGTKADARECPEYLVSKSRAHSTDPSPINANDDPDTNISDRLALSVLDPDFHDFDKDRTEKSFGDNQVWAAYDNDDGMPRYYAMIHSVISRKPFKMRISWLNTKSNRELGPLNWIGSGFYKTSGEFWIGKHEVNKSLNSFSHKVKWVKGTRGAIQVYPGKGDVWAVYKNWSPNWNEHTPDEVIHKYDMVEVLEDYKEERGVAVAPLVKVAGFKTVFRQHPDPSKTRTIPREEMFRFSHQVPSVLLTGQEGQYAPKGCWELDPASTPLELLQVLTEVQLDAMMETAEKDKEKYSSGDMKKSSEEEFVENVNTVKEKGVVGEAAREDVTGGRKKKGKETNEDTVIVYKRMRRKN >Potri.016G118800.1.v4.1 pep chromosome:Pop_tri_v4:16:12393100:12395569:-1 gene:Potri.016G118800.v4.1 transcript:Potri.016G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118800.v4.1 MAGGNFMHRVLSYVVNELVVNSLANSPAFQRFAVRTSRRIDDISNTAAKKKKELAEQVKDLSKNFESFKNQQ >Potri.005G097400.3.v4.1 pep chromosome:Pop_tri_v4:5:6932859:6938250:1 gene:Potri.005G097400.v4.1 transcript:Potri.005G097400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097400.v4.1 MENSMAGESSRSEMNNGGLYINSQEKLEEGGRWYLSRKEIEENSPSKQDGIDLKKEAYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKEIILLGERVVLATLGFDFNLLHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSANSEAEGSIVDGASHLASSKASSGNEEQLATNSPSHTGGISSRPRPSKPMSKPVHKQPHADNHVGPPRTSQNHGNDHGSAEIRSASDHNMDGEPKDDLHHEREAFPSQDNMREGHTFRRSSDWLGNKDQERNVARSETKDLGESKDKHFGRFVEHREGMLGQSPQDAIKKIDKDKVKAALEKRRKSRGDITRKTDFLDEDDLIERELEDGIELAAESEKNKRDRRQSWSKPLDREEYESSHHGKNMDARDEQHHGMRGQLSQRPDRNNIEDGELSAPDDMYQGFPSPKSSNRKRKASSPPDRKSEGEHRTDNAPGSHHYNHHDCTDDRNRMNRFGYLERDHKRHVPENHA >Potri.005G097400.6.v4.1 pep chromosome:Pop_tri_v4:5:6932962:6938247:1 gene:Potri.005G097400.v4.1 transcript:Potri.005G097400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097400.v4.1 MENSMAGESSRSEMNNGGLYINSQEKLEEGGRWYLSRKEIEENSPSKQDGIDLKKEAYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKEIILLGERVVLATLGFDFNLLHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSANSEAEGSIVDGASHLASSKASSGNEEQLATNSPSHTGGISSRPRPSKPMSKPVHKQPHADNHVGPPRTSQNHGNDHGSAEIRSASDHNMDGEPKDDLHHEREAFPSQDNMREGHTFRRSSDWLGNKDQERNVARSETKDLGESKDKHFGRFVEHREGMLGQSPQDAIKKIDKDKVKAALEKRRKSRGDITRKTDFLDEDDLIERELEDGIELAAESEKNKRDRRQSWSKPLDREEYESSHHGKNMDARDEQHHGMRGQLSQRPDRNNIEDGELSAPDDMYQGFPSPKSSNRKRKASSPPDRKSEGEHRTDNAPGSHHYNHHDCTDDRNRMNRFGYLERDHKRHVPENHA >Potri.005G097400.11.v4.1 pep chromosome:Pop_tri_v4:5:6932997:6938248:1 gene:Potri.005G097400.v4.1 transcript:Potri.005G097400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097400.v4.1 MENSMAGESSRSEMNNGGLYINSQEKLEEGGRWYLSRKEIEENSPSKQDGIDLKKEAYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKEIILLGERVVLATLGFDFNLLHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSANSEAEGSIVDGASHLASSKASSGNEEQLATNSPSHTGGISSRPRPSKPMSKPVHKQPHADNHVGPPRTSQNHGNDHGSAEIRSASDHNMDGEPKDDLHHEREAFPSQDNMREGHTFRRSSDWLGNKDQERNVARSETKDLGESKDKHFGRFVEHREGMLGQSPQDAIKKIDKDKVKAALEKRRKSRGDITRKTDFLDEDDLIERELEDGIELAAESEKNKRDRRQSWSKPLDREEYESSHHGKNMDARDEQHHGMRGQLSQRPDRNNIEDGELSAPDDMYQGFPSPKSSNRKRKASSPPDRKSEGEHRTDNAPGSHHYNHHDCTDDRNRMNRFGYLERDHKRHVPENHA >Potri.005G097400.10.v4.1 pep chromosome:Pop_tri_v4:5:6932969:6938248:1 gene:Potri.005G097400.v4.1 transcript:Potri.005G097400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097400.v4.1 MENSMAGESSRSEMNNGGLYINSQEKLEEGGRWYLSRKEIEENSPSKQDGIDLKKEAYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFLRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKEIILLGERVVLATLGFDFNLLHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSANSEAEGSIVDGASHLASSKASSGNEEQLATNSPSHTGGISSRPRPSKPMSKPVHKQPHADNHVGPPRTSQNHGNDHGSAEIRSASDHNMDGEPKDDLHHEREAFPSQDNMREGHTFRRSSDWLGNKDQERNVARSETKDLGESKDKHFGRFVEHREGMLGQSPQDAIKKIDKDKVKAALEKRRKSRGDITRKTDFLDEDDLIERELEDGIELAAESEKNKRDRRQSWSKPLDREEYESSHHGKNMDARDEQHHGMRGQLSQRPDRNNIEDGELSAPDDMYQGFPSPKSSNRKRKASSPPDRKSEGEHRTDNAPGSHHYNHHDCTDDRNRMNRFGYLERDHKRHVPENHA >Potri.003G131925.4.v4.1 pep chromosome:Pop_tri_v4:3:15011433:15012177:1 gene:Potri.003G131925.v4.1 transcript:Potri.003G131925.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131925.v4.1 MLQQNKIMKVIRKNLVKKSIEMFNEIAENKEDYNKFYDAFSKNIKLGIHEDSQNGTKLADLLRHYSTKSGDEVTSLKDYVTRMKGQKYIHYITGESKKAVENSPFLEKLKRKGYEVLFMVDAIDEYVVSQLKEYDGKKLVSATKEGLTVEDDEEERRKKEDKQQSFDNLCKTIKEILGDRVEKVVISDRLVDSPCCLVTGEYGWSANMERIVKAQALTHV >Potri.003G107100.1.v4.1 pep chromosome:Pop_tri_v4:3:12997359:12999279:1 gene:Potri.003G107100.v4.1 transcript:Potri.003G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107100.v4.1 MKGTLCLSIIFIALFFSADANGFYGGGGGDCVYALYVKTGSIMKAGTDSKISLTLGDAQGRSVWVPDLQSWGLMEPKHDYYERANLDIFSGRGPCISAPICRLNLTSDGQGSHHGWYCDYVEVTSTGPHKECSQTIFYVDQWLAADVPPFKLTALLDGCRIGDDALKKGKNGKFAVENVRGSAFS >Potri.015G064000.2.v4.1 pep chromosome:Pop_tri_v4:15:8969730:8974082:-1 gene:Potri.015G064000.v4.1 transcript:Potri.015G064000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064000.v4.1 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLHFSEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSETKREYNRRVREVVEQSWTAD >Potri.017G120800.1.v4.1 pep chromosome:Pop_tri_v4:17:12666853:12669305:-1 gene:Potri.017G120800.v4.1 transcript:Potri.017G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120800.v4.1 MAEEPGTAPGSGSRTVKECEDMIRRSFRTPMVRFFRENLEKAGCGVSENFFKAVNCDKSIAGGYVRGKGIMVCSNHMNIQDDVNQVIAHELIHAYDDCQAANLDWADCAHHACSEIRAGHLSGDCHYKRELLRGYIKLRGHEQECVRRRVMKSVIANPHCSEAAARDAMEAVWDVCYNDTRPFDRAP >Potri.014G021700.1.v4.1 pep chromosome:Pop_tri_v4:14:1298147:1300860:1 gene:Potri.014G021700.v4.1 transcript:Potri.014G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G021700.v4.1 MLLLENMLSYCCLAFFFLIFLVIKYVFHGNKNLPPSPPSLPIIGHLHLLKPPLHQTLQTLLQQYGPVLSLKAGCRSMLVLSSPSAVEECFTKNDVVLSNRPTFLAGDHLTYNYTTIIFSPYGHLWRTLRRFAVLEMFSQKGLNKFSAVRKEEVCSLLRQLSKVSCSGNKKVDLHYFFSLLSFNVAMRMSAGKKCIEEEVACSDLGKQDLTELKKIFHPPLSTGLCDFFPALKWINYKGFEKSVIKVRDGRDGFSQDLIDEIRQKKTSSCSSPDAGPEKTTMIETLLSLQEQEPDFYTDDIIKGLVVAMFSAGTDTVAVTMEWAMSLLLNHPEILQKVREEIDSQVGHTRLVEEVDLPKLKYLRCVINETLRLYPVVPLLLPRCPSEDCTVAGYKVPKGTILLVNAFAMHRDPKMWEQPDRFKPERFEATEEEKEGIKFIPFGMGRRACPGSNMGMRAIMLAMAALFQCFEWERTGQEMVDMTVAAAISMVKAKPLEAFCKPYHSMANLFSQL >Potri.001G142150.1.v4.1 pep chromosome:Pop_tri_v4:1:11640489:11641782:-1 gene:Potri.001G142150.v4.1 transcript:Potri.001G142150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142150.v4.1 MVGPTNLFIDPRTAAARDSRLPSFSLNFLLKRVVSFCHAPTCRDACSLYSRLCHLLEKEKPLILFTATLCTGGDGKSFEFFRRLLEKEKPGSLMDRSASLIACSRSE >Potri.004G047932.1.v4.1 pep chromosome:Pop_tri_v4:4:3835520:3836287:1 gene:Potri.004G047932.v4.1 transcript:Potri.004G047932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047932.v4.1 MFLLNLLAIFPLISFTSELSGPVHTHGNYSVTWNSLFIFLLQYSPATHARYLLWKINGRVTKTSLGYFCRILLCGLLKGKP >Potri.007G077300.4.v4.1 pep chromosome:Pop_tri_v4:7:10170587:10170926:-1 gene:Potri.007G077300.v4.1 transcript:Potri.007G077300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077300.v4.1 MAKISRNHLVLVAFFLLCFVSTCARARTLREASNHGAEKKDQNDMFPSKENGLPDVEELVGMDYTPARKKPPIHN >Potri.007G077300.1.v4.1 pep chromosome:Pop_tri_v4:7:10169765:10171023:-1 gene:Potri.007G077300.v4.1 transcript:Potri.007G077300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077300.v4.1 MAKISRNHLVLVAFFLLCFVSTCARAARTLREASNHGAEKKDQNDMFPSKENGLPDVEELVGMDYTPARKKPPIHN >Potri.005G030484.1.v4.1 pep chromosome:Pop_tri_v4:5:1980011:1981741:-1 gene:Potri.005G030484.v4.1 transcript:Potri.005G030484.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030484.v4.1 MRKVDKKPPLSPSKLRMPLRKITNFMPPPSPLQKQKTGSGLSSMHDKENNPRTTTAGTNTKSLMKPRRMSVAVRPPPPMSAQVFQPKRRVSIATYRSEPTSNMTPLQTSRYKNGNVVGRQSFVRDPRKPRNSKLFSPLPEFRTASETTPTVMRTSSKFMGSPPPQAGSWKPKHPTVVALQRKSLVWSPLKLRSFQNRRPSLLPYRSSSTNEEKWKRNKN >Potri.003G118200.1.v4.1 pep chromosome:Pop_tri_v4:3:14013933:14016017:1 gene:Potri.003G118200.v4.1 transcript:Potri.003G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118200.v4.1 MNFFISVLLYFLLTFAVIQSLDYILRRSKRKSGKLPPGPSRLPIVGNLLDLGDKPHKSLAKLAKTHGQLMSLKLGQVTTIVVSSATMAKEVLQKHDLTFCNRTVVDAARALDHHEAGIAWLPVATRWRNLRKICNSHIFTSQKLDANQDLRRKKVQDLLAEVQERCLVGEAVDLRQAAFTATLNALSNTVLSLDLTDLSSDIAREFKEHISCIMDEAGKPNLVDYFPLLRRIDPQGIRRRTAIHFGKVFDLFDRLIIERLQLRKVKGYIPLDDMLDTLLTISEVNNEEMDATRIKHFFLDLFGAGTDTTSSTLEWAMAELLHSPKTLLKARAELERTIGEGNLLEESDITRLPYLQAVIKETLRLHPAVPFLLPHKAGADAEIGGFTVPKNAQVLVNVWAIGRDPSMWEDPNSFVPERFLESGIDHRGQNFEFIPFGSGRRICPGLPLAMRMLPLMLGSLILSFDWKLADGVTPENLNMDDKFGLTLLKAQPLRAIPITRELKHG >Potri.002G112300.2.v4.1 pep chromosome:Pop_tri_v4:2:8485344:8491022:1 gene:Potri.002G112300.v4.1 transcript:Potri.002G112300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112300.v4.1 MAESIRVRVPPQLPSPNPKICCNRATNCCSAPLILANLANFTKSAAPARFIYFNHDGLWIDFENEVLESLKPAFLDGKAVIEMSFRESRYLFDFTRMLQIDFDSGIQRSIAWIDVNGKCFFPKMFVREDFGDNLEEERLSNRKIEIEVNIDGNSIKRKREEFRDKEEPEVTSSKKEEGGLKRQRLGMRDVGNCRWLNTRVFKEEEKSYSPVKNYFLSGMKKIDPDVVITAIHQCTRKGLSGEARQEVFLKQIEITKAARGVSNTVYAWYGAPAKEVESILAHGFGGPRKVSAGETYGVGVYLSPFGLPHMSAKFAEADDNGEKHIILCRVILGNVETVVAGSQQYYPSSIDFDTGTDDPKNPKWYVVWSSVMNRHIIPECVVSFKSSINVPGQVRGSTHTKYSLEKLFSKLRSWLPPEKIQEVAKLYDVYRAGKLTKNIFIRHLRGVAGDYVLLSAIREIRSSE >Potri.006G092300.1.v4.1 pep chromosome:Pop_tri_v4:6:7014469:7014806:1 gene:Potri.006G092300.v4.1 transcript:Potri.006G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092300.v4.1 MGMPKPSSTLLLLLLIVTVSASQKQITSEARTLLSQKQKYSKIFSTLGVVCKCCDETGAECATTWTGSCHNLQCLPWKI >Potri.004G061900.2.v4.1 pep chromosome:Pop_tri_v4:4:5234140:5237541:1 gene:Potri.004G061900.v4.1 transcript:Potri.004G061900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061900.v4.1 MAIWPLSDILLVQLLIMLSPAYFLEAQIHDSTAQISTSWTNNLSIPAGDFDFYQMILMSESESFACGFYSKDQKNDSFYFAVVSLQMSHYQDGSFNFTLENVIWLANENKPVGQNATLKLLPEGNLVLRDADGALVWSTNTSNMSVAGIKMMETGMLVLQDHNNKTVWQSFSTTAHVSTSWTNNYRISAMPYNTYKIIMMHGAVFSCGFHSTDQNSFYFAIWKQSEYAGDALWLANRNRPVGENATLQLLPDGDLILRDAAGTFVWSTNTSNMSVAGMRMMETGNLELYDVNNKTVWNSFDHPSDVLFLGNKLVAGQKLVASVSKTDRSEGGFSLFVIPKGLFASYQANAPQKYFKFSVFGGIDSLQLSHDESSGNLALLIMSASPDEPNTMLTSTVKYSATAYMKFDPDGYLRIYDGNMIDGVDLLTDMMSACDYPTACGNYGLCLNGQCSCPAGFARANTTNDQGNYNCWQISPTTCENPKSHSLLPLEDVYYFNYVDPEAAVLNGTDMKSCKDACLKNCSCKAALFKYYVNNSHGNCFLPSPVLTLTADGKERNNYQSYAFIKISNDGENGSAFTSSINPTSSINPKIIAGSTIGAILLMSLIAGLCIMVLRKKRDREEGIEDLNQLSGMPMRFTYQELRVATWDFEKKLGGGGFGSVFEGILENGEKIAVKRLDALGQGEKEFLAEVKTIGSIHHVNLARLIGFCAAKLHRLLVYEFMCCGSLDKWIFCREPLLHPLDFQTRRNIIMDIAKGLAYLHEECRQRIVHLDIKPQNILLDANLHAKISDFGLSKLIDKDQSQVVTTMRGTPGYLAPELFSSVITEKADVYSFGIVVMEVVRGKKNLDRSQPECMHLLPILMKKAQEDQLIDMVDNSSEDMQLHRLEAVEMVRVAIWCLQSDHTRRPSMSTVVKVLEGTMGVEADLDYCLQNATTMAAIRREAVLDSTATLLPSLLSGPR >Potri.004G061900.1.v4.1 pep chromosome:Pop_tri_v4:4:5234126:5237519:1 gene:Potri.004G061900.v4.1 transcript:Potri.004G061900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061900.v4.1 MAIWPLSDILLVQLLIMLSPAYFLEAQIHDSTAQISTSWTNNLSIPAGDFDFYQMILMSESESFACGFYSKDQKNDSFYFAVVSLQMSHYQDGSFNFTLENVIWLANENKPVGQNATLKLLPEGNLVLRDADGALVWSTNTSNMSVAGIKMMETGMLVLQDHNNKTVWQSFSTTAHVSTSWTNNYRISAMPYNTYKIIMMHGAVFSCGFHSTDQNSFYFAIWKQSEYAGDALWLANRNRPVGENATLQLLPDGDLILRDAAGTFVWSTNTSNMSVAGMRMMETGNLELYDVNNKTVWNSFDHPSDVLFLGNKLVAGQKLVASVSKTDRSEGGFSLFVIPKASPDEPNTMLTSTVKYSATAYMKFDPDGYLRIYDGNMIDGVDLLTDMMSACDYPTACGNYGLCLNGQCSCPAGFARANTTNDQGNYNCWQISPTTCENPKSHSLLPLEDVYYFNYVDPEAAVLNGTDMKSCKDACLKNCSCKAALFKYYVNNSHGNCFLPSPVLTLTADGKERNNYQSYAFIKISNDGENGSAFTSSINPTSSINPKIIAGSTIGAILLMSLIAGLCIMVLRKKRDREEGIEDLNQLSGMPMRFTYQELRVATWDFEKKLGGGGFGSVFEGILENGEKIAVKRLDALGQGEKEFLAEVKTIGSIHHVNLARLIGFCAAKLHRLLVYEFMCCGSLDKWIFCREPLLHPLDFQTRRNIIMDIAKGLAYLHEECRQRIVHLDIKPQNILLDANLHAKISDFGLSKLIDKDQSQVVTTMRGTPGYLAPELFSSVITEKADVYSFGIVVMEVVRGKKNLDRSQPECMHLLPILMKKAQEDQLIDMVDNSSEDMQLHRLEAVEMVRVAIWCLQSDHTRRPSMSTVVKVLEGTMGVEADLDYCLQNATTMAAIRREAVLDSTATLLPSLLSGPR >Potri.006G205600.1.v4.1 pep chromosome:Pop_tri_v4:6:21389120:21391988:-1 gene:Potri.006G205600.v4.1 transcript:Potri.006G205600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205600.v4.1 MSNSDKPPPPQPPPPRPQLARTVLIRSVWADNLEEEFKFIRSEIDRYPLISMDTEFPGIVVRPVAGDPYNRHRDPTAHYLSLKANVDLLNLIQIGLTIADEDGNLPDLGFKDLCFIWEFNFRDFDVAHDAHAHDSVELLRRQGIDFEKNRELGIDSVKFAELMMSSGLVLNQSVSWVTFHCAYDFGYLVKCLTHKVLPEGLNEFLGLVRVFFGDRVYDIKHIIRFCAGLYGGLDRVCKELGVDRVIGKSHQAGSDSLLTLHAYLKIKDKYFFKDKDNDRGLDKYANVLHGLELFD >Potri.007G114250.1.v4.1 pep chromosome:Pop_tri_v4:7:13454533:13455010:-1 gene:Potri.007G114250.v4.1 transcript:Potri.007G114250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114250.v4.1 MAGFNCFFILTLFIALLVSGGQAARNLLQFPSLPSFLNLLPKPAIPPLPSIPTLPQPTLPTLPTTQPSLPKRALPPLPGHMPSIPTIPTIIPIPFLSPPPGNQAM >Potri.001G280000.2.v4.1 pep chromosome:Pop_tri_v4:1:29369697:29372077:-1 gene:Potri.001G280000.v4.1 transcript:Potri.001G280000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280000.v4.1 MKLGSDQKGDGLMMDASGKSKDGEGDNESKTKNSASSSNSIVDESEKASSSGVRPYVRSKVPRLRWIPDLHLCFVQAVERLGGYERATPKLVLQLMNIKGLSIAHVKSHLQQMYRSKKIDDQGQVINSRGDLIGSSGYFSHNFWQHSLLPNIDHNRSSDFRSRSVSRSTGHGNWITSPSVLVPDSMNIRSGAGFYNSISERIDGESGSSTRQGPFHTCNNSTFNDQRRKIKQEFLETESHQPMYNGNSIQGQISSTTKQPYFAAQFSKRGGDTYNESISLETKWSFNAEKSNKAKRKADDLDLSLSLSTRSKEKEVRRSLSWDEDDEGSNLSLSLSSTSTKESNSIYLSMPSKYPKLASTLDLTM >Potri.001G280000.1.v4.1 pep chromosome:Pop_tri_v4:1:29369698:29372077:-1 gene:Potri.001G280000.v4.1 transcript:Potri.001G280000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280000.v4.1 MKLGSDQKGDGLMMDASGKSKDGEGDNESKTKNSASSSNSIVDESEKASSSGVRPYVRSKVPRLRWIPDLHLCFVQAVERLGGYERATPKLVLQLMNIKGLSIAHVKSHLQMYRSKKIDDQGQVINSRGDLIGSSGYFSHNFWQHSLLPNIDHNRSSDFRSRSVSRSTGHGNWITSPSVLVPDSMNIRSGAGFYNSISERIDGESGSSTRQGPFHTCNNSTFNDQRRKIKQEFLETESHQPMYNGNSIQGQISSTTKQPYFAAQFSKRGGDTYNESISLETKWSFNAEKSNKAKRKADDLDLSLSLSTRSKEKEVRRSLSWDEDDEGSNLSLSLSSTSTKESNSIYLSMPSKYPKLASTLDLTM >Potri.008G093100.5.v4.1 pep chromosome:Pop_tri_v4:8:5811448:5818258:-1 gene:Potri.008G093100.v4.1 transcript:Potri.008G093100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093100.v4.1 MYQRVPAAVTTRGGSPTDNGDSVVTLDQVPRWSDAESRSSFGYDNEDPSFTNPFFPDPLTSPSEGESSSCGMVSRFPVDHEINSKIYLWRGNPWNLEVDAVVNSTNELLDEAHSSPGLHAAAGPGLAEECTTLGGCRTGMAKVTNAYDLPARRVIHTVGPKYAMKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQKNKITAVVFCTTTSTDTEIYKRLLPLYFPREKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKNIPRPSQPLADLPVIDVGLVRRNSSYLDSYLDPAFMSVIKDPDQRRKEQWEKTAQAQSGWNCAKIFGFGDLGGPTLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGRPVMVVVGAHFLLRCLDLERFVLHVVKEFEPLIQKPYTIVYFHSAASLQVQPDLGWIRRLQQILTRKHQRNLHAIYVLHPNFHLKATIFALQVFVDKVTWKKVVYVDRLVQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Potri.008G058500.14.v4.1 pep chromosome:Pop_tri_v4:8:3490487:3492359:-1 gene:Potri.008G058500.v4.1 transcript:Potri.008G058500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058500.v4.1 MMDGFYIAPAFMDKIVVHISKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFKTDNVPEDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVESIGKKLVNSREGPPTFEQPPMTVEKLFEYGNMLVKEQENVKRVQLADKYLSDAALGEANQDAIERGTFYGQAAQQVKVPIPEGCTDPNAANFDPTARSDDGSCSY >Potri.008G058500.13.v4.1 pep chromosome:Pop_tri_v4:8:3490586:3492816:-1 gene:Potri.008G058500.v4.1 transcript:Potri.008G058500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058500.v4.1 MSDDQQDITRGKGMVDSLFQAPQGTGTHNPVLSSYEYLSQGLRTYNLDNMMDGFYIAPAFMDKIVVHISKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFKTDNVPEDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVESIGKKLVNSREGPPTFEQPPMTVEKLFEYGNMLVKEQENVKRVQLADKYLSDAALGEANQDAIERGTFYG >Potri.008G058500.11.v4.1 pep chromosome:Pop_tri_v4:8:3490131:3493765:-1 gene:Potri.008G058500.v4.1 transcript:Potri.008G058500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058500.v4.1 MAATISTVGAVNRAPLALNGSGAGSTVPTSAFFGNSLKKVSSSRFTNSKISSGSFKVVAEEYDEEKQTEKDRWGGLVTDMSDDQQDITRGKGMVDSLFQAPQGTGTHNPVLSSYEYLSQGLRTYNLDNMMDGFYIAPAFMDKIVVHISKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFKTDNVPEDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVESIGKKLVNSREGPPTFEQPPMTVEKLFEYGNMLVKEQENVKRVQLADKYLSDAALGEANQDAIERGTFYG >Potri.008G058500.1.v4.1 pep chromosome:Pop_tri_v4:8:3490599:3493763:-1 gene:Potri.008G058500.v4.1 transcript:Potri.008G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058500.v4.1 MAATISTVGAVNRAPLALNGSGAGSTVPTSAFFGNSLKKVSSSRFTNSKISSGSFKVVAEEYDEEKQTEKDRWGGLVTDMSDDQQDITRGKGMVDSLFQAPQGTGTHNPVLSSYEYLSQGLRTYNLDNMMDGFYIAPAFMDKIVVHISKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFKTDNVPEDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVESIGKKLVNSREGPPTFEQPPMTVEKLFEYGNMLVKEQENVKRVQLADKYLSDAALGEANQDAIERGTFYGQAAQQVKVPIPEGCTDPNAANFDPTARSDDGSCSY >Potri.008G058500.12.v4.1 pep chromosome:Pop_tri_v4:8:3490503:3492816:-1 gene:Potri.008G058500.v4.1 transcript:Potri.008G058500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058500.v4.1 MSDDQQDITRGKGMVDSLFQAPQGTGTHNPVLSSYEYLSQGLRTYNLDNMMDGFYIAPAFMDKIVVHISKNFMSLPNIKVPLILGIWGGKGQGKSFQCELVFAKMGISPIMMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFKTDNVPEDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVESIGKKLVNSREGPPTFEQPPMTVEKLFEYGNMLVKEQENVKRVQLADKYLSDAALGEANQDAIERGTFYGQAAQQVKVPIPEGCTDPNAANFDPTARSDDGSCSY >Potri.008G058500.16.v4.1 pep chromosome:Pop_tri_v4:8:3490598:3491933:-1 gene:Potri.008G058500.v4.1 transcript:Potri.008G058500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058500.v4.1 MMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFKTDNVPEDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVESIGKKLVNSREGPPTFEQPPMTVEKLFEYGNMLVKEQENVKRVQLADKYLSDAALGEANQDAIERGTFYG >Potri.008G058500.15.v4.1 pep chromosome:Pop_tri_v4:8:3490503:3491933:-1 gene:Potri.008G058500.v4.1 transcript:Potri.008G058500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058500.v4.1 MMSAGELESGNAGEPAKLIRQRYREAADIIKKKGKMCCLFINDLDAGAGRLGGTTQYTVNNQMVNATLMNIADNPTNVQLPGMYNKEDNPRVPIIVTGNDFSTLYAPLIRDGRMEKFYWAPTREDRIGVCIGIFKTDNVPEDDIVKLVDTFPGQSIDFFGALRARVYDDEVRKWVSGVGVESIGKKLVNSREGPPTFEQPPMTVEKLFEYGNMLVKEQENVKRVQLADKYLSDAALGEANQDAIERGTFYGQAAQQVKVPIPEGCTDPNAANFDPTARSDDGSCSY >Potri.014G066500.1.v4.1 pep chromosome:Pop_tri_v4:14:4156498:4161116:-1 gene:Potri.014G066500.v4.1 transcript:Potri.014G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066500.v4.1 MSHQCVPSWEVDDNRTTAPKLSLRFHSNSSAPDMPMLDYEVAELTWENGQIAMHGLGPPRVPAKPIASTSPSKYTWDKPRASGTLESIVNQATCVPQCNKATFDNSTGSDHDLIPWFNHHKASASATMTMDALVPCSNRSDQGRTTHVIDSGPAGLGTCVVGCSTRVGSCSAPAATQDEDGLLTGKRARVARVPVPPEWSRDQSVNHSATFGKKDSQQMTVDSCEREFGVGFTSTSFGSQENTSSGTNPCTKTLTADENDSVCHSRPQREAGKEDDKKKGNGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPSSSKTDKASMLDEVIEYLKQLQAQVQMMSRMNMQPMMLPLALQQQLQMSMMAPMSIGMAGMGMGMGVMDMNTIAARSNMTGIPPALHPTAFIPLTTWDGSSGHDRLQTTAADPMSAFLACQTQPMTMDAYSRMAAMYQQLHQQPPASNSKG >Potri.014G066500.7.v4.1 pep chromosome:Pop_tri_v4:14:4158478:4161083:-1 gene:Potri.014G066500.v4.1 transcript:Potri.014G066500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066500.v4.1 MSHQCVPSWEVDDNRTTAPKLSLRFHSNSSAPDMPMLDYEVAELTWENGQIAMHGLGPPRVPAKPIASTSPSKYTWDKPRASGTLESIVNQATCVPQCNKATFDNSTGSDHDLIPWFNHHKASASATMTMDALVPCSNRSDQGRTTHVIDSGPAGLGTCVVGCSTRVGSCSAPAATQDEDGLLTGKRARVARVPVPPEWSRDQSVNHSATFGKKDSQQMTVDSCEREFGVGFTSTSFGSQENTSSGTNPCTKTLTADENDSVCHSRPQREAGKEDDKKKGNGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPSSSKVRKVSSVTFQCITKKLFKFYPCAFMALHHYILYTYQSCLIINMHPLVKSGNSHQLLWSVLGFFFLKKNNYGKIISQPRQYHTPRPSYF >Potri.014G066500.6.v4.1 pep chromosome:Pop_tri_v4:14:4156492:4160663:-1 gene:Potri.014G066500.v4.1 transcript:Potri.014G066500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066500.v4.1 MHGLGPPRVPAKPIASTSPSKYTWDKPRASGTLESIVNQATCVPQCNKATFDNSTGSDHDLIPWFNHHKASASATMTMDALVPCSNRSDQGRTTHVIDSGPAGLGTCVVGCSTRVGSCSAPAATQDEDGLLTGKRARVARVPVPPEWSRDQSVNHSATFGKKDSQQMTVDSCEREFGVGFTSTSFGSQENTSSGTNPCTKTLTADENDSVCHSRPQREAGKEDDKKKGNGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPSSSKTDKASMLDEVIEYLKQLQAQVQMMSRMNMQPMMLPLALQQQLQMSMMAPMSIGMAGMGMGMGVMDMNTIAARSNMTGIPPALHPTAFIPLTTWDGSSGHDRLQTTAADPMSAFLACQTQPMTMDAYSRMAAMYQQLHQQPPASNSKG >Potri.017G079600.2.v4.1 pep chromosome:Pop_tri_v4:17:8865314:8869710:-1 gene:Potri.017G079600.v4.1 transcript:Potri.017G079600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079600.v4.1 MGKSAGNWIKTVIFRKKSYKSSFSNRAAVKEAFAISKGSTGDLTLSPPVISDPLSHVSDKNDTEETMGLDFPDTSEDIRQEQAATEVQAAFRGYLARRSFHVLKGIIRLQALFRGHLVRRQAVATLHCLQGIVKLQALIRGRGVRVLDNGQEALTKGSPGRFLDDAKQVHPFELDTTTRPEKLYTNAFICKLLASSSTAMPLNLHYDVVEQNSAWNWLERWSKFLFQESFPQKKRVLDTRSSLKQPSTQSMHNDGRQKRGVWRIPAANADNNSLRSVTEFGKPKNNMRKPWSNQTKSAQENPPSELERVRKSLRKISASSPGAPDGSETVTEKPKLSPIKVPGSPTRDVLMNITDNPSNKTSDPMVSLTKDIEKVETETSPKPLTTKETVSLQNEKLPNAQFNHLESSADNIHVVVEDINSKEECSKDSKTTRRRRSSTKQEYQESVSQNATTVPSYMAATESAKAKLRGQGSPRIVQDGVEFFLIRTHSLPASKGGELKLVSPQTQRKVNTNNKGRSRVDRLLFSTKDGNEKVSQPAWKR >Potri.007G144701.6.v4.1 pep chromosome:Pop_tri_v4:7:15370977:15372374:1 gene:Potri.007G144701.v4.1 transcript:Potri.007G144701.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144701.v4.1 MAIICGVEDFKDLNIPLPAIVQEYVDHLSNIFKIYVLGERLFYAVKKSIPNVNVLTKSSERNELGPLLFDSLKSLPISTEHYTDADSFKTNIHSFDLELVTDAVNWLARKLDLTIFGFDAVAIDWHPPFLLDPILLGVHVLDIPVVWSLESILFGYIFFRAAS >Potri.007G045800.2.v4.1 pep chromosome:Pop_tri_v4:7:4054477:4061852:-1 gene:Potri.007G045800.v4.1 transcript:Potri.007G045800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045800.v4.1 MVLSVIESLKKQVAADRCFYVMKRMEDNKQKLVGVTNHLYKLSKERKNSWISDTDNSVDLFTKRQKDALSMHGGIDSSNVDKDSLGSEEDGNTSTAVLLGSSIPVKNAVRPIKLPEVKRLPPYTSWIFLDRNQRMTEDQSVLGRRRIYYDQNGGEALICSDSEEEIIDEEEEKRDFLESEDYILRMTIKEAGLSDPVVESLAQCFSRSSSEVKARFEVLKKEEKAVEDSKNKDNEARTLNSFLDKDLEVALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKQSPWSYPDDNITCGPQCYKSVLKSERISSGISPERGFIEENSVCQSDGAGVPITSRKKSSAPSANRRVKSCQSESASSNAKNISESSDSEIGPRQDTSPTSQLSPSKIKLVGKGGTCKRNSKRVAERVLSCMRKRQKKMVASDTDSVASGGLLSSDMKLRSTSHKGKEDASSSSHKNLKSPTTARSRRKSEFHDGPSSEMVMDPPVPSSDDTFRKEEFIDKNTCKKELSDNRSWKAIEKSLFEKGVEIFGGNSCLIARNLLNGLKTCWEVFQYITRSENRLACEAGDAGTLGEGYSKFDCSGTVGKNEARRRSRFLRRRGRVRRLKYSWKSTAYHSIRKRITERKDQPCRQYNPCSCQAACGKQCTCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGIPSQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPEPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGGHSSGRAKKLA >Potri.007G045800.1.v4.1 pep chromosome:Pop_tri_v4:7:4054478:4062868:-1 gene:Potri.007G045800.v4.1 transcript:Potri.007G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045800.v4.1 MASSSPPPPPASVTRSEPPKVSPAMESDEETALTSKMVLSVIESLKKQVAADRCFYVMKRMEDNKQKLVGVTNHLYKLSKERKNSWISDTDNSVDLFTKRQKDALSMHGGIDSSNVDKDSLGSEEDGNTSTAVLLGSSIPVKNAVRPIKLPEVKRLPPYTSWIFLDRNQRMTEDQSVLGRRRIYYDQNGGEALICSDSEEEIIDEEEEKRDFLESEDYILRMTIKEAGLSDPVVESLAQCFSRSSSEVKARFEVLKKEEKAVEDSKNKDNEARTLNSFLDKDLEVALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKQSPWSYPDDNITCGPQCYKSVLKSERISSGISPERGFIEENSVCQSDGAGVPITSRKKSSAPSANRRVKSCQSESASSNAKNISESSDSEIGPRQDTSPTSQLSPSKIKLVGKGGTCKRNSKRVAERVLSCMRKRQKKMVASDTDSVASGGLLSSDMKLRSTSHKGKEDASSSSHKNLKSPTTARSRRKSEFHDGPSSEMVMDPPVPSSDDTFRKEEFIDKNTCKKELSDNRSWKAIEKSLFEKGVEIFGGNSCLIARNLLNGLKTCWEVFQYITRSENRLACEAGDAGTLGEGYSKFDCSGTVGKNEARRRSRFLRRRGRVRRLKYSWKSTAYHSIRKRITERKDQPCRQYNPCSCQAACGKQCTCLLNGTCCEKYCGCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWVSCGDGTLGIPSQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPEPNCYAKVIMVAGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGGHSSGRAKKLA >Potri.005G210100.1.v4.1 pep chromosome:Pop_tri_v4:5:21423648:21425361:-1 gene:Potri.005G210100.v4.1 transcript:Potri.005G210100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210100.v4.1 MDLSKATLEIFSKLEQKWLSHCETTKKTRVLSIDGGGTTGIVAAAALIHLEDQIRFKTGDPQARIADFFDIIAGTGIGALLATMLAADDGSGRPLFTARDAVAFVADKNSDLFKAKHGGFLLRRRRFSGKSMDRVLKEALKRDDGASLTLKDTCKPLLVPCFDLKSSAPFVFSRADATESPSFNFELWKVCRATSATPSLFKPFNLTSVDGKTSCSAIDGGLVMNNPTAAAVTHVLHNKRDFPTVNGVEDLLVLSLGNGSGSLTGRKLRHNGECSTSSIVDIVLDGVSETVDQMLGNAFCWNRNDYVRIQANGLASVEEEVLKERGVETLPFGGKRLLTETNAGRIESFVQRLVASGKSSLPPSPCKNSAVSPLANSR >Potri.007G019000.2.v4.1 pep chromosome:Pop_tri_v4:7:1456873:1461582:-1 gene:Potri.007G019000.v4.1 transcript:Potri.007G019000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019000.v4.1 MSDSKWQWENATAGAVAGFATVAAVHPLDVVRTRFQVDDGRVVNLPTYKNTAHAILNIARLEGLKGLYAGFFPAVLGSTVSWGLYFFFYSRAKQRYSKNRDEKLSPGLHLASAAEAGALVCFCTNPIWLVKTRLQLQNPLHQTRRYSGFYDALKTIMREEGWRALYKGIVPSLFLVSHGAVQFTAYEELRKVIVDYKAKQRKEDCKSADTDLLNSVDYAVLGGSSKIAAIILTYPFQVIRSRLQQRPSMEGIPRYMDSWHVMKATARFEGFRGFYKGITPNLLKNVPASSITFIVYENVLKLLKLGRTSD >Potri.007G019000.1.v4.1 pep chromosome:Pop_tri_v4:7:1456892:1461595:-1 gene:Potri.007G019000.v4.1 transcript:Potri.007G019000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019000.v4.1 MSDSKWQWENATAGAVAGFATVAAVHPLDVVRTRFQVDDGRVVNLPTYKNTAHAILNIARLEGLKGLYAGFFPAVLGSTVSWGLYFFFYSRAKQRYSKNRDEKLSPGLHLASAAEAGALVCFCTNPIWLVKTRLQLQNPLHQTRRYSGFYDALKTIMREEGWRALYKGIVPSLFLQVSHGAVQFTAYEELRKVIVDYKAKQRKEDCKSADTDLLNSVDYAVLGGSSKIAAIILTYPFQVIRSRLQQRPSMEGIPRYMDSWHVMKATARFEGFRGFYKGITPNLLKNVPASSITFIVYENVLKLLKLGRTSD >Potri.008G154400.4.v4.1 pep chromosome:Pop_tri_v4:8:10570866:10572715:-1 gene:Potri.008G154400.v4.1 transcript:Potri.008G154400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154400.v4.1 MKAYSTITSLFSMKSSATNTNNTAMEERDSCYFPGCRKGANCNCDICLASINATLDLMPVSIQKSSLTKLSSSRANVECSPLSFDTSVISTPRSSSCPKMDSPTLKSTARLTLDQKKEKKKEPRPFGFWGVFFSLVWGLSLLYGVENGFSWGVCRVLRPAFSSDMIRSIGERSWVVQDSNRRLRFLQSELNDFVADGKVSNCSFMNSIWEINKDGLLLNSRCVLYKSAVEEVSIWGWPLQTGGLLKTEFSSRSFTVLSGRVTEELGCLCCPIRSQYMDSGV >Potri.008G154400.1.v4.1 pep chromosome:Pop_tri_v4:8:10570866:10572713:-1 gene:Potri.008G154400.v4.1 transcript:Potri.008G154400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154400.v4.1 MKAYSTITSLFSMKSSATNTNNTAMEERDSCYFPGCRKGANCNCDICLASINATLDLMPVSIQKSSLTKLSSSRANVECSPLSFDTSVISTPRSSSCPKMDSPTLKSTARLTLDQKKEKKKEPRPFGFWGVFFSLVWGLSLLYGVENGFSWGVCRVLRPAFSSDMIRSIGERSWVVQDSNRRLRFLQSELNDFVADGKVSNCSFMNSIWEINKDGLLLNSRCVLYKSAVEEVSIWGWPLQTGGLLKTEFSSRSFTVLSGRVTEWSDGKIGYSIRKANTSWVHRNWAASVVQLDPNTWILEYESRLVLDNSILFSAVAGVFKFRMSRALKSMNPGFWLFSDFERQYSVSTVKDRVKIIPT >Potri.008G154400.5.v4.1 pep chromosome:Pop_tri_v4:8:10570866:10572714:-1 gene:Potri.008G154400.v4.1 transcript:Potri.008G154400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154400.v4.1 MKAYSTITSLFSMKSSATNTNNTAMEERDSCYFPGCRKGANCNCDICLASINATLDLMPVSIQKSSLTKLSSSRANVECSPLSFDTSVISTPRSSSCPKMDSPTLKSTARLTLDQKKEKKKEPRPFGFWGVFFSLVWGLSLLYGVENGFSWGVCRVLRPAFSSDMIRSIGERSWVVQDSNRRLRFLQSELNDFVADGKVSNCSFMNSIWEINKDGLLLNSRCVLYKSAVEEVSIWGWPLQTGGLLKTEFSSRSFTVLSGRVTEPTLLGFTGIGLPLLSN >Potri.008G154400.6.v4.1 pep chromosome:Pop_tri_v4:8:10570985:10572714:-1 gene:Potri.008G154400.v4.1 transcript:Potri.008G154400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154400.v4.1 MKAYSTITSLFSMKSSATNTNNTAMEERDSCYFPGCRKGANCNCDICLASINATLDLMPVSIQKSSLTKLSSSRANVECSPLSFDTSVISTPRSSSCPKMDSPTLKSTARLTLDQKKEKKKEPRPFGFWGVFFSLVWGLSLLYGVENGFSWGVCRVLRPAFSSDMIRSIGERSWVVQDSNRRLRFLQSELNDFVADGKVSNCSFMNSIWEINKDGLLLNSRCVLYKSAVEEVSIWGWPLQTGGLLKTEFSSRSFTVLSGRVTEQ >Potri.008G154400.3.v4.1 pep chromosome:Pop_tri_v4:8:10570866:10572714:-1 gene:Potri.008G154400.v4.1 transcript:Potri.008G154400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154400.v4.1 MKAYSTITSLFSMKSSATNTNNTAMEERDSCYFPGCRKGANCNCDICLASINATLDLMPVSIQKSSLTKLSSSRANVECSPLSFDTSVISTPRSSSCPKMDSPTLKSTARLTLDQKKEKKKEPRPFGFWGVFFSLVWGLSLLYGVENGFSWGVCRVLRPAFSSDMIRSIGERSWVVQDSNRRLRFLQSELNDFVADGKVSNCSFMNSIWEINKDGLLLNSRCVLYKSAVEEVSIWGWPLQTGGLLKTEFSSRSFTVLSGRVTEWSDGKIGYSIRKANTSWVHRNWAASVVQLDPNTWILEYESRLVLDNSILFSAVAGVFKFRMSRALKSMNPGFWLFSDFERQYSVSTVKDRVKIIPT >Potri.018G065400.1.v4.1 pep chromosome:Pop_tri_v4:18:7814752:7817182:-1 gene:Potri.018G065400.v4.1 transcript:Potri.018G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065400.v4.1 MIMSGGNRFPFTASQWQELEHQALIYKYMVSGIPIPPDLLFTIKRSVCLDSSKLFPHQHPHFAWSCFQMGLGRKTDPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVEVATQTQSTSPPTVSSITRNHSNNSLLTTSSHSHLSLLMSPETQHQNHLHYPAGYHAHPNHQFLSSSTPTGIGLLPQENSTHLLLDSGGSSMTNTDFRRSVYGLKEEVDEHAFFSEPSGSMRRLSGSSLDDAWQLTPLTMNSSSSSTNSSKQRSLSSLHNEYSYLQLQSLCDHDIPKQQKQHQHNYLLGNDISNLGPIKMEKEEPQKTVHRFFDEWPPKNKESWFDLDNRSSNSASVSTTRLSISIPSSHDFLPIFNSRTNNDA >Potri.T013750.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:325183:327899:-1 gene:Potri.T013750.v4.1 transcript:Potri.T013750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013750.v4.1 MKAATKNFDAANNVGEGDFASVFKGSLSDGTVIAVMLLSSKSKQGNHEFVNEIGTISALQHPNPCKVVWMLCWRKPINACVRVHGKQLPVPCSIWPMFCKREEVFPRWLIQNWGQSILQGRLW >Potri.010G188600.1.v4.1 pep chromosome:Pop_tri_v4:10:18499633:18502624:1 gene:Potri.010G188600.v4.1 transcript:Potri.010G188600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188600.v4.1 MTKLPFSSVTLFATTLTLLSFILSSPSLTHALGSGSTLAIISATSTVCGLVSSQPTQSITCYRRTPMEGIITVQPNVSFSSISGGSTFFCGLRSGGYAFLCWDTRNLPFDSQRVYINNTAFLQNLSVGDDQVCAVVNDTLSVNKTGTVRCWRGDGNISNQSPSDGDKYKSISSGFGFSCGILMESNRIKCWGNDTIAREIEFGFRDMEMLSLEVGGLHACGVNSSGLLVCRGDKSLGQLNVPSNNVLEYSQLALGDSHSCAIRKNNGSVVCWGGDGEYNVNEIQGVFFESIVSGSNFTCGLVSLNFSVMCWGPGWPNGSRTQLPLGEVLPGPCVRSSCSECGLYPLSETLCSGSGNICKPCGFNASMPTPLAPPPLPLAPPPSIVPKSSPSKELTTGLLVFAIVGSVGGFAGICTIIYCLWTGVCFGKKKVHNSVQPTITRDGSNGGMTSNNSGLISRSSTIRRQSSRAMRRQRSGTSSKHADRAEEFSLAELAAVTNNFSLENKIGAGSFGVVYKGKLRDGREVAIKRGETGQKMKKFQEKESAFDSELAFLSRLHHKHLVRLVGYCEDGDERLLVYDYMKNGALYDHLHDKNNIEKISSVINSWKMRIKIALDAARGIEYLHNYAVPSIIHRDIKSSNILLDANWTARVSDFGLSLMGPGSEENYNCRPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKGDDNGGTPTSIVDFAVPKIMVNELGKILDPRVGPPELNEAEAVELVGYTAMHCVNLEGKDRPTMTDIVANLERALSLCDGSHGSISSGTISIISD >Potri.011G075400.2.v4.1 pep chromosome:Pop_tri_v4:11:7890275:7901999:1 gene:Potri.011G075400.v4.1 transcript:Potri.011G075400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075400.v4.1 MLMMFQPCQVMVILISFSSSITLLASDQLHPGEVAALRQIGKTVNEDGQLSLKLVDSCQQKGVVETELNSAPPNLEGNSTIGCNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVEKIDFTRNYLYGTIPVEWASMKNLSFISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKSLILSGNKLVGTLPEALAQIKDLKDFRVSDNNLNGTVPEFIGNWTQLQKLELYATGLQGPIPLAIFHLEKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLTGTIPENAWKVEKTLDLTFNKLVGEIPPNTVRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRSPRCSSSNENNINWFRSSSSNNKLSDLLPCSGISRCPKYYRSFHINCGGQDVKNGKILYEGDQDSGSNAAARCYNRSGSNWGFSSTGDFMDDENFYDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTKEAQGSNRSFTRAFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGIISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWTTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYAQWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVFQKKENLMEIVDPKLHSEFNKEEAERMIKAALLCTNASPSIRPAMSEVVSMLEGQTSIPEVTSDPSIFYDDLHSKRVNGHYQQVTDQSLNSTQDLFPPSDKSWIGNSSTSTPELYPINPVSISLNLVKPRL >Potri.011G075400.13.v4.1 pep chromosome:Pop_tri_v4:11:7895036:7901971:1 gene:Potri.011G075400.v4.1 transcript:Potri.011G075400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075400.v4.1 MPGPEFQLPNSPIERQFLVLRNINLTGTIPENAWKVEKTLDLTFNKLVGEIPPNTVRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRSPRCSSSNENNINWFRSSSSNNKLSDLLPCSGISRCPKYYRSFHINCGGQDVKNGKILYEGDQDSGSNAAARCYNRSGSNWGFSSTGDFMDDENFYDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTKEAQGSNRSFTRAFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGIISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSALMLDWTTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYAQWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVFQKKENLMEIVDPKLHSEFNKEEAERMIKAALLCTNASPSIRPAMSEVVSMLEGQTSIPEVTSDPSIFYDDLHSKRVNGHYQQVTDQSLNSTQDLFPPSDKSWIGNSSTSTPELYPINPVSISLNLVKPRL >Potri.001G173400.1.v4.1 pep chromosome:Pop_tri_v4:1:14900537:14901845:1 gene:Potri.001G173400.v4.1 transcript:Potri.001G173400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173400.v4.1 MCNTSYRHSNCHDQFRKSSVSSPSTEMLQEIPSVSNRIREELQLLEQRGHYECELQPKLCCPPCRGEIYGWTVVKPAREFMNSKLWSCSLETCDFIGNYSELRKHARSDHPFIQPSKVDPQRQRDWTNFEYERDVEDMVTLLALTREEQEELGRDFDELPAMISPHSIDEDEENEDRYSGDPDQVVNSSNPYDYPVVIMNIQFTNQLSRSMLPLDYDMEASNTSRQTSNFIPNNRNMLPAQAMKWGLVMTIDEPTILGGTTVLGVIFQRGCGMVETAIDRMIVLAEIIILVGTTILGTIAWKDYGLGADLQRGCPEL >Potri.006G269900.2.v4.1 pep chromosome:Pop_tri_v4:6:27440881:27445320:-1 gene:Potri.006G269900.v4.1 transcript:Potri.006G269900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G269900.v4.1 MQIWLCKGSKIIVTTRNKALFSANDIEGVRCKVEPLDDEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKEREIWESALQQMEVIPNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTVLKGTTDAQKLRGLTIDMHALMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHRLSWRSIPNHICLEKLVVLDLSRSCLVDAWKGTPFLSKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGSKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRFTLFSLPRFLETLDLSGTPIRFLPESIKDLGLLRALYLRNCKMLQALPKLPSHLDLLDVSFCNSLQKVRTLNPWTKADGCDQLVKFQDRIKLELIQKFDSHMFRIMEMVSAQIQPSRFQITVIDGIFNVVAYGFDEDEELREFYEEKEEDKWLIQNEFTDNFSFKISSPPVHRICGFNLFTRFCMMSGYSSYEKLGIEIRNNTSGQSLRRQARVLYMRFEDEVCEIQSLCHWKLGGDDPTFDNGDDVTISVVVTSAILIRTVGVQWLHEEEGKDDDIQSKDGVINAPNSSDDDDDAAHVAKVEIASRIFRNYYCAFRVEFNGGDLAWWFFAKKGLELELI >Potri.006G229050.1.v4.1 pep chromosome:Pop_tri_v4:6:23276030:23276347:-1 gene:Potri.006G229050.v4.1 transcript:Potri.006G229050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229050.v4.1 MDNFMLSGPSVFFTDALHWLLEPSGVIAYTIRNKKLHEFTSVLGDLACVDDEGYCNCVRKMMDSGGDGYFQCKCTCRYLGESIDHLIYGLLMITIVASGLQGIAL >Potri.004G115150.1.v4.1 pep chromosome:Pop_tri_v4:4:10600793:10601158:-1 gene:Potri.004G115150.v4.1 transcript:Potri.004G115150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115150.v4.1 MGSLGKNISLGLFLFIGILVITPGFAIRTHEEDPELSRHLEECHAKVTKRCAIEISNSIYNNNTPSEYCCQKHITTGKACHDDFIKLFVSKVPKDKVAFVVAKGDQIWNQCAATVALAPVA >Potri.005G156400.1.v4.1 pep chromosome:Pop_tri_v4:5:14809413:14810675:-1 gene:Potri.005G156400.v4.1 transcript:Potri.005G156400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156400.v4.1 MVDPWWSLLGAAIPAIIAGQALRMKKRRADEQRLKSARGREKSSDEIFVCERVCTSKRMLKKVGALSKDPTIDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLRRCQSECLRLSRSFETS >Potri.008G030801.1.v4.1 pep chromosome:Pop_tri_v4:8:1662199:1664794:1 gene:Potri.008G030801.v4.1 transcript:Potri.008G030801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030801.v4.1 NHNLTRYHNLSHILLLHPHHHHLLLLLLHHLCRHHHRHLLLLLLHHLCRHHHRHFHFHSLTHFLIQCLLLHLLLLHHLHSHPCHCHFHSLTRFLIQCLDLHHHHLHSHHCHFHFHSLTRFLIQCLDLHLLLLLLHQLHSYPCHCHFHFHSLTLFLIRCLDLHHLHLHLHSHPHYRHFHFHFHSLSHFLIQCLDLHHHHLLLLHLHHYLHSHPCHCHFHFQSLTHFLIQCLDLLHHHHHHHHLLHSHLCHCHFHFQSLTHFLIQCLDLLHHHHHHHLLHSHLCHCHFHFQSLTHFLIQCLDLLLLHHHHHHHHHLHSHPCYRHFHSLTHFLIQCLDRRHHHHHHLHSHPCHCPFHSLTHFLIQCLDHHHHHHHLLLLHHLLHCHLRYRHFHVHNLTHFLI >Potri.001G088050.1.v4.1 pep chromosome:Pop_tri_v4:1:7009958:7010716:-1 gene:Potri.001G088050.v4.1 transcript:Potri.001G088050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088050.v4.1 MLLPFCLDDGSGWKCTAGFMMLVYRILFYSFEYELDQAKLHEEVEDLAKGMFWASATAP >Potri.010G221500.2.v4.1 pep chromosome:Pop_tri_v4:10:20657328:20663905:1 gene:Potri.010G221500.v4.1 transcript:Potri.010G221500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221500.v4.1 MALCSSTSLELKNPFIEGTKGKIATSKSFLRVGYVAKNEKSCCCNGRKVAVSSHLMPGHLEGSFMGKKRLEEPSQKMDFVRTLLIDNYDSYTYNIYQELSVVNGVPPVVIQNDEWTWEDACHYLYEKRAFDNIVISPGPGSPTCAADIGICLRLLLECRDIPILGVCLGHQALGYVNGARIVHASEPVHGRLSEIEHNGSRLFDNIPSGRKSGFKVVRYHSLIIDSEALPKELIPTAWTSSSTHSFLESPNSGLNLDACKNQIRPSTSSDTFSTGSHNGASWSFSHPGRMQGGKVLMGIMHSTRPHYGLQFHPESIATCHGRQIFENFREITEDYWQRLRPRSTFINERNVHYTACMQVHVASQLFRVPRIGSLVHKEDAQPFKEAFRRSQLLGNANVNCLSISSALKFPESSINVRHLKLKWRKFDKLAARVGGARNIFNELFGVCKAENTFWLDSSSVEKKRARFSFMGGKDGPLWRQMTFRLSDQSDMDFKGGGYLSIKDTQGSTESMFLEKGFLDFLNQELLSFTYDEEDFEELPFDFHGGYIGYFGYSLKVECGMLSNRHKSTTPDACFFFADNFVVIDHLNDNVYILSLHEESTTSIPWLDDTENKLLCLEASTTRKLGEQASPTATVSPYKAGFLGEKSREQYIKDVSKCLEYIKDGESYELCLTSQMRKTVGEIDSLGLYLHLREKNPAPYAAWLNFSNEDLCICCSSPERFLCLDRNGILEAKPIKGTIARGVTLEEDEELKLKLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEVESYATVHTMVSTIRGKKRSNVSAVDCVRAAFPGGSMTGAPKLRSMELLDSLESSSRGIYSGSIGFFSYNQTFDLNIVIRTIVIHDGEASIGAGGAIVALSNPEDEYDEMLLKTRAPASAVIEFQ >Potri.010G221500.6.v4.1 pep chromosome:Pop_tri_v4:10:20657224:20663919:1 gene:Potri.010G221500.v4.1 transcript:Potri.010G221500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221500.v4.1 MALCSSTSLELKNPFIEGTKGKIATSKSFLRVGYVAKNEKSCCCNGRKVAVSSHLMPGHLEGSFMGKKRLEEPSQKMDFVRTLLIDNYDSYTYNIYQELSVVNGVPPVVIQNDEWTWEDACHYLYEKRAFDNIVISPGPGSPTCAADIGICLRLLLECRDIPILGVCLGHQALGYVNGARIVHASEPVHGRLSEIEHNGSRLFDNIPSGRKSGFKVVRYHSLIIDSEALPKELIPTAWTSSSTHSFLESPNSGLNLDACKNQIRPSTSSDTFSTGSHNGASWSFSHPGRMQGGKVLMGIMHSTRPHYGLQFHPESIATCHGRQIFENFREITEDYWQRLRPRSTFINERNVHYTACMQVHVASQLFRVPRIGSLVHKEDAQPFKEAFRRSQLLGNANVNCLSISSALKFPESSINVRHLKLKWRKFDKLAARVGGARNIFNELFGVCKAENTFWLDSSSVEKKRARFSFMGGKDGPLWRQMTFRLSDQSDMDFKGGGYLSIKDTQGSTESMFLEKGFLDFLNQELLSFTYDEEDFEELPFDFHGGYIGYFGYSLKVECGMLSNRHKSTTPDACFFFADNFVVIDHLNDNVYILSLHEESTTSIPWLDDTENKLLCLEASTTRKLGEQASPTATVSPYKAGFLGEKSREQYIKDVSKCLEYIKDGESYELCLTSQMRKTVGEIDSLGLYLHLREKNPAPYAAWLNFSNEDLCICCSSPERFLCLDRNGILEAKPIKGTIARGVTLEEDEELKLKLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEVESYATVHTMVSTIRGKKRSNVSAVDCVRAAFPGGSMTGAPKLRSMELLDSLESSSRGIYSGSIGFFSYNQTFDLNIVIRTIVIHDGEASIGAGGAIVALSNPEDEYDEMLLKTRAPASAVIEFQ >Potri.010G221500.5.v4.1 pep chromosome:Pop_tri_v4:10:20657275:20663953:1 gene:Potri.010G221500.v4.1 transcript:Potri.010G221500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221500.v4.1 MALCSSTSLELKNPFIEGTKGKIATSKSFLRVGYVAKNEKSCCCNGRKVAVSSHLMPGHLEGSFMGKKRLEEPSQKMDFVRTLLIDNYDSYTYNIYQELSVVNGVPPVVIQNDEWTWEDACHYLYEKRAFDNIVISPGPGSPTCAADIGICLRLLLECRDIPILGVCLGHQALGYVNGARIVHASEPVHGRLSEIEHNGSRLFDNIPSGRKSGFKVVRYHSLIIDSEALPKELIPTAWTSSSTHSFLESPNSGLNLDACKNQIRPSTSSDTFSTGSHNGASWSFSHPGRMQGGKVLMGIMHSTRPHYGLQFHPESIATCHGRQIFENFREITEDYWQRLRPRSTFINERNVHYTACMQVHVASQLFRVPRIGSLVHKEDAQPFKEAFRRSQLLGNANVNCLSISSALKFPESSINVRHLKLKWRKFDKLAARVGGARNIFNELFGVCKAENTFWLDSSSVEKKRARFSFMGGKDGPLWRQMTFRLSDQSDMDFKGGGYLSIKDTQGSTESMFLEKGFLDFLNQELLSFTYDEEDFEELPFDFHGGYIGYFGYSLKVECGMLSNRHKSTTPDACFFFADNFVVIDHLNDNVYILSLHEESTTSIPWLDDTENKLLCLEASTTRKLGEQASPTATVSPYKAGFLGEKSREQYIKDVSKCLEYIKDGESYELCLTSQMRKTVGEIDSLGLYLHLREKNPAPYAAWLNFSNEDLCICCSSPERFLCLDRNGILEAKPIKGTIARGVTLEEDEELKLKLQYSEKDQAENLMIVDLLRNDLGRVCEPGSVHVPHLMEVESYATVHTMVSTIRGKKRSNVSAVDCVRAAFPGGSMTGAPKLRSMELLDSLESSSRGIYSGSIGFFSYNQTFDLNIVIRTIVIHDGEASIGAGGAIVALSNPEDEYDEMLLKTRAPASAVIEFQ >Potri.010G191900.3.v4.1 pep chromosome:Pop_tri_v4:10:18719810:18721826:1 gene:Potri.010G191900.v4.1 transcript:Potri.010G191900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191900.v4.1 MEGKEEDVRLGANKFNERQPLGTAAQSQDDKDYKEPPPAPLFEPSELTSWSFYRAGIAEFMATFLFLYITVLTVMGVFKDTTKCTTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYMLMQCLGAICGAGVVKGFYGKKNYELLNGGANMVSPGYTKGDGLGAEIVGTFVLVYTVFSATDAKRSARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDKAWDDHWIFWVGPFIGAALAALYHQVVIRAIPFKK >Potri.001G340500.1.v4.1 pep chromosome:Pop_tri_v4:1:35076958:35080166:-1 gene:Potri.001G340500.v4.1 transcript:Potri.001G340500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340500.v4.1 MASGLPFRHPAQGFNASQQLIKSDRGSMLTMSDDNVMMKQIVGTHAPDGREVDVKPLLHLVEDILKRATQQIDTSLTTSQAHAELEDKTHQVNFVSMLDALSYTIDRISCEIAYKSLDGTDAHATTVSLFNMLPSYSWDAKLVLTLAAFALNYGEFWLLAQIYSSNQLAKSMAILRQLPSIMEHSGPLKPRFDAINNLIKVMMDVARCVVEFKDLPPAYISNEVPALSTAMAHIPTAVYWTMRSVVACAAQITSLTTMGHEFSISTTVAWELSSLAHKLSNILDHLKTQLATCYQHIDEKRNVESFRMLKNLFEMVHIDNMKILKALIYAKDDIQPLIDGSSKKRVHLDVLRRKNVLLLISGLDMSNDELSILEQIYNESRPHEARLESQYEVVWVPIVDRSVQSDAMKEKFESMQSSMPWYTVYHPSLIEKAVIRFIKEVWHFRNKPILVVLDPQGKVVSPNALHMMWIWGSSAFPFTSLREESLWRDETWRLELLVDGIDPVILNWIKEGKYIFLYGGDDDEWARKFANTARAVAQAARIPLEMVYVGKSSKREKIRRVIATITVEKLSYVWQDLTMIWFFWTRLESMVYSKIQLGRLDDHDPMMQEIKKLLSYDREGGWAVLSNGSNVVANGHRTTVLQTLLEYDLWKAQVPVKGFDLAFRDHQGSIHDISRPCCRFDFPMTTGRIPETMKCPECNRTMEKFSTFLCCHDEVIPDELFK >Potri.001G340500.2.v4.1 pep chromosome:Pop_tri_v4:1:35069457:35080166:-1 gene:Potri.001G340500.v4.1 transcript:Potri.001G340500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340500.v4.1 MASGLPFRHPAQGFNASQQLIKSDRGSMLTMSDDNVMMKQIVGTHAPDGREVDVKPLLHLVEDILKRATQQIDTSLTTSQAHAELEDKTHQVNFVSMLDALSYTIDRISCEIAYKSLDGTDAHATTVSLFNMLPSYSWDAKLVLTLAAFALNYGEFWLLAQIYSSNQLAKSMAILRQLPSIMEHSGPLKPRFDAINNLIKVMMDVARCVVEFKDLPPAYISNEVPALSTAMAHIPTAVYWTMRSVVACAAQITSLTTMGHEFSISTTVAWELSSLAHKLSNILDHLKTQLATCYQHIDEKRNVESFRMLKNLFEMVHIDNMKILKALIYAKDDIQPLIDGSSKKRVHLDVLRRKNVLLLISGLDMSNDELSILEQIYNESRPHEARLESQYEVVWVPIVDRSVQSDAMKEKFESMQSSMPWYTVYHPSLIEKAVIRFIKEVWHFRNKPILVVLDPQGKVVSPNALHMMWIWGSSAFPFTSLREESLWRDETWRLELLVDGIDPVILNWIKEGKYIFLYGGDDDEWARKFANTARAVAQAARIPLEMVYVGKSSKREKIRRVIATITVEKLSYVWQDLTMIWFFWTRLESMVYSKIQLGRLDDHDPMMQEIKKLLSYDREGGWAVLSNGSNVVANGHRTTVLQTLLEYDLWKAQVPVKGFDLAFRDHQGSIHDISRPCCRFDFPMTTGRIPETMKCPECNRTMEKFSTFLCCHDEVIPDELFK >Potri.017G070300.1.v4.1 pep chromosome:Pop_tri_v4:17:7604978:7611724:-1 gene:Potri.017G070300.v4.1 transcript:Potri.017G070300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070300.v4.1 MASWNSIPLEITYETFGWIAFFSWSISFYPQVIMNFRRKSVVGLNFDFVVLNLTKHSSYLIYNASLYFSSAIQHQYFEKYGHGEMIPVAANDVAFSIHAVLLTAITLFQIVIYDRGNQKVSKISIATVCVVWLVAAVCFFIALPSHSWLWLISIFNSIQVFMTVIKYIPQVIMNFMRKSTDGFSIGNILLDCLGGVTNYAQMAVQSIDQNSWVNFYGNIGKTLLSLVSIFFDLVFMCQHYILYPENKAVPPKLNKEGTEPLIRFSEEPAAPENV >Potri.009G008500.1.v4.1 pep chromosome:Pop_tri_v4:9:1630776:1632934:-1 gene:Potri.009G008500.v4.1 transcript:Potri.009G008500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G008500.v4.1 MADVEGSPGSSMHGVTGKEQTFAFSVASPTVPTDTTANFALPVDSEHKAKVFKIFSFANPHMRTFHLSWISFFTCFVSTFAAAPLVPIIRDNLNLTKSDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLIMLSAPTVFCMSFVNSAGGYLAVRFMIGFSLATFVSCQYWMSTMFNSKIIGLVNGTAAGWGNMGGGATQLVMPLVYELIKRAGSTSFSAWRIAFFFPGWLHIIMGILVLNLGQDLPDGNLGALKKKGDVAKDKFSKVLWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFYDRFDLKLHTAGVIAATFGMANLVARPFGGYSSDVAARYFGMRGRLWVLWILQTLGGVFCIWLGRANSLPLAVTAMILFSIGAQAACGATFGIIPFISRRSLGIISGLTGAGGNFGSGLTQLVFFSSSSLSTAAGLSWMGVMICGCTLPVTLVYFPQWGGMFFPPSKDVVKSTEESYYASEWDEDEKQRGMHQKSLKFAENSRSERGKRIASAPTPPSTTPNRV >Potri.009G104400.1.v4.1 pep chromosome:Pop_tri_v4:9:9162043:9163214:-1 gene:Potri.009G104400.v4.1 transcript:Potri.009G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104400.v4.1 MFDILDVISTGSTEPDVAHDFSPVMIIYRDGRAKRLVGNEIVPPSLDPKSNVLSKDVVYSQEENLTSRLFLPNNINPNKKLPLLLYFHGGGFGLETPFSPTYHSYLNTLVAESQIIAISVDYRRIPEHPIPILYGDSWAAVKWAASHADGDGPEEWLNSHADFNKVFFAGDSAGANIAHHMAMRYGEERLVGVNLIGIILVHPFFWGKDPIANEVDVGETIRELMETIWRCACPTTSGCDDPLINPMNDPKLPRLGGNKVLAAAAGKDVLRDRGRLYCETLKNNGWGGMVEFMEAKEEVHVFHLSNPTCENAVAMLRKIVSFIHEE >Potri.005G155000.2.v4.1 pep chromosome:Pop_tri_v4:5:14414984:14418026:1 gene:Potri.005G155000.v4.1 transcript:Potri.005G155000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155000.v4.1 MASLPSVAITGTLRLGTELKRHPSATEKTSNISYQRSSINTQSDANLEPFKPLEFHEALSLIKEGEQVESSYYVPLLQHCIDKVSVPETEMVHAHVIKTGTHEEFFVMSFLVNVYAKCGVMVNARKVFDNLPRRNVVVWTTLMTGYVQNSQPEVAVEVFGDMLESGSFPSNFTLSIALNACSSLESITLGKQFHAFIIKYRISHDSSIGNALCSLYSKFGSLDSSVKAFRETGEKDVISWTTIISACGDNGRAGMGLRLFIEMLFENVEPNDFTLTSVLSLCSTIQSSDLGMQVHSLSTKLGHESNLRITNSLVYLYLKCGCIDEAKNLFNRMEYKNLITWNAMIAGHAQAMDLAKDNFSAQQTGTEALGMYLKLNRSGRKPDLFTLSSILTVCSRLAALEQGEQIHAQTIKSGFLSDVVVGTALVDMYDKCGSIERARKAFLDMSTRTLISWTSMITSFARHGQSQHALQLFEDMRLAGFRPNQITFVGVLAACSHAGMVDEALEYFEIMQKEYKIKPVMDHYGCLVDMFVRLGRLDEAFDVIKRMDVEPNEFIWLLLIAGCRNHGNEELGFYAAEQLLKLKPRSTETYVVLLNMYISAERWEDVSMVRRLMKEEKVGKLKDWSRISIKGEVHSFKTNNRLHNHNAELHTLLNDLVDRAKSLGYEQLENMEVIDDEEEEAEEKAFSSAVYHSEKLAVTFGLLNTPIGAPIRVIKSVTMCKDCHDFMKVVSSQTTRHIIIKDGKRLHKFVNGQCSCADSHGLL >Potri.015G053000.1.v4.1 pep chromosome:Pop_tri_v4:15:6893636:6894957:1 gene:Potri.015G053000.v4.1 transcript:Potri.015G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053000.v4.1 MARASQDSSSKWHFHWTKKVGNEDDEVPIFKSSNTIEEEKKENVKSHVGMSTPKKKLPAVAVARLRSVVTALGKNRSSLPLGLGSRVVGTLFGYRRGHVHFAFQKDPNSPPAFLIELATPISGLVREMASGLVRIALECDKEKEEEKKAVRLQEEPMWRTYCNGKKCGFATRKECGPKEWKLLKAVEPISMGAGVLPGCATEAGADGELMYMRAKFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRV >Potri.017G139500.1.v4.1 pep chromosome:Pop_tri_v4:17:14044181:14048481:-1 gene:Potri.017G139500.v4.1 transcript:Potri.017G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139500.v4.1 MAPVLPPGFRFHPTDEELVAYYLKRKINGHKIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVNSQMRAVGMKKTLVYYRGRAPHGARTGWVMHEYRLDERECETNSGLQDAYALCRVSKKTTTIPKIGEHYVSTSYRMPCEHSSSVELYSDHGRSEEFESSNYPMHKNLDTCSTSYRAIGSPLDISESRNGKWAPSMDGFGMSPSQFPSHSTIPYPPSKVNMALECASLQHRFTLPPLEVEDFPQVGLADIKVMQRPFMPESTSTHQTDILQEIRSVAHASQELINQSSFQDTWGGNYATTDHDFTFMDGKNVQHNMLSDMMMNSIRCAEKYWIDPNTSSRSIEISNLDETFKTERMVENLRWVGMSNNDLEKSFMEETKIVPIENISSFRSREEHEVRGENVHVDDCMGFNDSEDFSLGFINDEPNDDNFIDECNVDDLTSSVPSFEVVEDIKVNHGLFVSTRQATETFFHQLVPSQTVKIYLNPAVVTSNFSIEKADSTKSYGKETTISTAKEYFVGSKSSVQYPWRNLARNVVCLIVIILMHCSYLGENVENGKLMDGFMGSGRVGDEGCCPSKNIKPMMKPAGKLLKWDDNKKEKDLLVTIRGGGESKFGVFLKKLGLFLTISFALCTILVNHSMAP >Potri.006G113300.1.v4.1 pep chromosome:Pop_tri_v4:6:8767741:8773094:-1 gene:Potri.006G113300.v4.1 transcript:Potri.006G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113300.v4.1 MGSAGQNAWKLADHPKLPKGKTIAMVVLDGWGEAKPDQYNCIHVADTPTMDSFKTTAPERWRLIKAHGTAVGLPSEDDMGNSEVGHNALGAGRIFAQGAKLVDLALASGKIYDGEGFNYIKQSFDNGTLHLIGLLSDGGVHSRLDQLQLLLKGAAERGAKRIRVHFLTDGRDVLDGSSVGFVETLEKDLSNLREKAVDAQIASGGGRMYVTMDRYENDWNVVKRGWDAQVLGEAPYKFRSAVEAVEKLRAEPKANDQYLPPFVIVDESGNPVGPIKDGDAVVTFNFRADRMTMLAKALEYADFDKFDRVRVPKIHYAGMLQYDGELKLPSQYLVTPPEIERTSGEYLVHNGVRTFACSETVKFGHVTFFWNGNRSGYFNEEMEEYVEIPSDVGITFNVQPKMKALEIAEKARDAILSGKFDQVRVNLPNGDMVGHTGDIEATVVACKAADGAVQTIIDAIEQVGGIYVITADHGNAEDMVKRDKSGKPLLDKSGKLQILTSHTLEPVPIAIGGPGLKAGVRFRNDVPTGGLANVAATVINLHGFEAPNDYEPTLIEVVDN >Potri.016G015300.1.v4.1 pep chromosome:Pop_tri_v4:16:804002:805323:-1 gene:Potri.016G015300.v4.1 transcript:Potri.016G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015300.v4.1 MGCKVSKLSTSEQKPEGVRSYGRESRFVELLKPECLKVKKIQNKRHVLREEKKGTTVSKLTLQDWLLTSPSLKAEQLRGGELCVLKHGSSKRVHPSISTKARVSFSTGRLLGLDQVDDKEDYVSASSVASSISRTQSGKSQKRVSFKLPKEADIIIFYSPQNSLESDQDCSL >Potri.002G093300.2.v4.1 pep chromosome:Pop_tri_v4:2:6781841:6786041:1 gene:Potri.002G093300.v4.1 transcript:Potri.002G093300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093300.v4.1 MATSVFHRPLGTAQPHQHFQNSGFRHEFGHASVKLTFKGFKVETGLSRRGGYSSCKRKFGVIQASASHTSVVQPVSYPPNNSTDELRKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPIILHNESEQAREWSQIFSEDTKKQSIPVVTAWQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDHIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVINLELSTGIPMLYIFKGGKFIRRGSPAGPTEAGVYAYTRSLALYRQKLDDMLH >Potri.004G203200.1.v4.1 pep chromosome:Pop_tri_v4:4:21226977:21230529:-1 gene:Potri.004G203200.v4.1 transcript:Potri.004G203200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203200.v4.1 MSSLLVLFIILAFSFGFSASSPSNHRYNAGDHVPLFVNKVGPLHNPSATYWYYDLPFCHPDNIILKQETLGEVLNGDRLSSGLYELKFREDKTGVTLCDKRLKGYEVARFRDAVIDDFYFQMYYDDLPLWGFVGKIEEQSWVLGEKKFNYYLFKHVQFDVLYNDNQVIEISAFSDPDHAVDITDDVDMDVKFTYSVFWKATSSKFETRMDKYARASLLPVRRQIRWFSFFISIANIVLFTGLLMVFLKRLLKKDLGKFASGDEEEDREVGWKYIHGDVFRYPQNMSLFCAVLGVGTQLLTVVFFLFVLAFVGMLYPYNRGALFTYFVLLYALSSVVGGYTTASFHNQFCETGWERSVLLSGILYPGPSFVILSVLNTISVSYGATASLPFGTILVILLIYILLAIPLSAFGGLIGHRFRTEFQAPSATKSHPREIPPSSWYRRTPCQMFIGGLLPFSAVAIELHHLYASLWGYKICTLPSILFVTFIILIMLTAILSIGMTYIQLSMEDHEWWWRSLLCGGSVAIFMFSYGIYFFSRSSMSGFMQLSFFFGYNACMCYAFFLIIGTISFRASFAFVCHIYHAAKSE >Potri.014G032100.1.v4.1 pep chromosome:Pop_tri_v4:14:1996863:1998366:1 gene:Potri.014G032100.v4.1 transcript:Potri.014G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G032100.v4.1 MGSGEGRTHWSVFEGVRSMASSTPETLMAEIDTAIGKLEYSRATALLDSPSPTPTNTSKTSMSSDSGANPQYDVKMADGAYRAGCASLAAGKLDEALQYLNVSLSKCPPDKTSAVAKLQSLISLTSQQLQKLPT >Potri.013G100100.2.v4.1 pep chromosome:Pop_tri_v4:13:10565046:10570226:-1 gene:Potri.013G100100.v4.1 transcript:Potri.013G100100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100100.v4.1 MGCICSKGAAEEDVNSNTNDQKQTEVDKSSVQMVAPAISKKEEMLVDFLGQKDGSVRLVSKANVGNVPVSLEEGEKERKLVDVKSKGHNRSITMDSEPNDDQPRVSMMISIRHGSEREAWPEWLTAVAGEAVKGWLPRRADSFEKLDKIGQGTYSTVYKARDLETGKIVAMKKVRFVNMDPESVRFMAREIVNLRKLDHPNVMKLEGIVTSRMSGSLYLVFEYMEHDLAGLAANPSIKFTESQIKCYVQQLLHGLEHCHKQGVLHRDIKGSNLLINNDGVLKIADFGLATFYHPDQSQPLTSRVVTLWYRAPELLLGATEYGPAIDMWSAGCILAELFAGKPIMPGRTEVEQMHKIFKLCGSPSEIYWQKTKFPHATSFKPQQSYIRCITETFKHFPPSALTLVDKLLSMEPQDRGSATSALRSEFFRIEPLPADPSSLPKYSPCKELDAKLRDEEARRQRAEAVKGRGPESVRRGSIDTKKAPTPEFTAQAQPKTASSSYKYYIQEDAGTGFRIEPPRVSKQNGFEHSTSMIHPSAVAGLSLNKSAGSSRNNPELRAQKSHESQSGEMSSSSLKKNEKAPPSRDSSMGGYVPRKTRIHYSGPLMPPGGNMEEILKEHDRQIQQAVRKARLEKSGTRDNLDGYGQLHNNRRYKG >Potri.008G189300.2.v4.1 pep chromosome:Pop_tri_v4:8:13275810:13276647:1 gene:Potri.008G189300.v4.1 transcript:Potri.008G189300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189300.v4.1 MLHAFCDICIKAIEQGMRPNTHFDKAGWKYVMNGFKDQTGHALTKAQLKNKWDRIKKDWRIWKRLISETGVGWSAELGTISAPDEWWKAKNQEIHRARKFRHAGIDPTLCCKYDIMFTNTVATGQYAWAPSQGLNSDEDGVGERQTNAVNEDPYIEEGSGDSEEDSLPNFIVDVSNMVIDVTFANNTSNLTGSSGKRKDKLVDNVSTKNECTSSGLDKK >Potri.011G028400.1.v4.1 pep chromosome:Pop_tri_v4:11:2123256:2130381:1 gene:Potri.011G028400.v4.1 transcript:Potri.011G028400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028400.v4.1 MDSLKFSTVLLSLLSLAIITQAQDPTFLYPYCPNTTTFTRNSTYQANLNLLLSSLSSNATRNNINGFYNVSAGQDPDAVYGMFLCRGDVSNSVCRNCVNFAAKDVLERCPIEKVAMIWYDECELRYSNRNIFSTVDQDFTLFMMSPNNVTVQPDRFNQLVATTINDIAARAASAPSGAKKFAVQQVNYTGIQKLYTLVQCTPDLSTPDCSRCLEGAISKLGNCCNRKQGGRVIFPSCNFRYELYEFYNATAAAEAAPPPPPVALPPPPASGPETRRKGKGGVSTVLIIAILIPVTVSLVLFCLGFCFLSRRAKSNKNSAQENDVGNEITNVESLQFDLSSIQDATNHFSADNKLGEGGFGEVYKGTLPNGQAIAVKRLSKGSGQGAAEFKNEVILVAKLQHRNLVRLLGFCLEGEEKILVYEFVPNKSLDYFVFDPEKQGLLDWSKRYKIIGGIARGILYLHEDSRLRVIHRDLKASNILLDGDMNAKVSDFGMARIFGVDQTQGCTNRIVGTYGYMSPEYAMHGQFSVKSDAYSFGVLILEIISGKKNSSFYQTGGAADLASYAWKHWRDGTPLEVMDPTLADTYSRNEVMRCIHIGLLCVQEDPASRPTMATVVLLLNSYSITLPLPQEPAFFLHSRTDQGSIPSKEFFADKSKSNSVPYSGDEGSITEVYPR >Potri.007G127800.1.v4.1 pep chromosome:Pop_tri_v4:7:14288522:14297170:1 gene:Potri.007G127800.v4.1 transcript:Potri.007G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127800.v4.1 MASKALDVADACPTEDFIAALLEYLVDPKLQGKSSAKGDVSQIAQESIAKQVHAVVLLYNYYHRKQYPQLEFLCLENFCKLAVVIKPALMAHIRLLQRSNDTESQPFPLMEESIMEACSISMSLDASEDDLNIDGWPISKVAVFLVDSRKENCFLQFGSITEGVWSVIEKDVDVSNNSLEGTMDSDHVNKKKRIIKKPLKGKSSSNEGRFQQFAFSAVKEATGIDQSDLVVLESHVTYSTSKEKTAAYFYIMQLTKADNSIALQIPIKNTINSLQGPLAIKSSSWWTHTSVVEYFLLLPYAEVLSEWFLREGLSDGVQVPRVGLETINVSSSDRTEGPCEAEVSERFHNHVNDSAAELLGSETITQSLKHNDNNGCLGSEMNSSKQNVNDRCCVVDLSGDCDRPQKMDVDESYVANTQNKYKRRNFSGKDQPQNCQKKTITADKCSEGLASKEMETVEKCSKGSASGDKVKVDMVDQTESQKITGCMGAVVADGNKNCNNIVSDQDRMPVTDNAVVTCQSNSKNLDKLRTILASKELSDAALTVVLSKRDRLSLQQRDIEDQIAQCDKDIETILKGGEDNLSLKIESLIEGCNLVSLRSVSRERTYEDQCSSPSVKRKGSPDTMPNMKNSCQDLDDVCYENKWILPTYHVSLLDGGFQADVTVKGKGFECSSVGDLSPCPREARKSAAKQMLAKLQAMPNISW >Potri.007G127800.5.v4.1 pep chromosome:Pop_tri_v4:7:14288391:14297156:1 gene:Potri.007G127800.v4.1 transcript:Potri.007G127800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127800.v4.1 MASKALDVADACPTEDFIAALLEYLVDPKLQGKSSAKGDVSQIAQESIAKQVHAVVLLYNYYHRKQYPQLEFLCLENFCKLAVVIKPALMAHIRLLQRSNDTESQPFPLMEESIMEACSISMSLDASEDDLNIDGWPISKVAVFLVDSRKENCFLQFGSITEGVWSVIEKDVDVSNNSLEGTMDSDHVNKKKRIIKKPLKGKSSSNEGRFQQFAFSAVKEATGIDQSDLVVLESHVTYSTSKEKTAAYFYIMQLTKADNSIALQIPIKNTINSLQGPLAIKSSSWWTHTSVVEYFLLLPYAEVLSEWFLREGLSDGVQVPRVGLETINVSSSDRTEGPCEAEVSERFHNHVNDSAAELLGSETITQSLKHNDNNGCLGSEMNSSKQNVNDRCCVVDLSGDCDRPQKMDVDESYVANTQNKYKRRNFSGKDQPQNCQKKTITADKCSEGLASKEMETVEKCSKGSASGDKVKVDMVDQTESQKITGCMGAVVADGNKNCNNIVSDQDRMPVTDNAVVTCQSNSKNLDKLRTILASKELSDAALTVVLSKRDRLSLQQRDIEDQIAQCDKDIETILKGGEDNLSLKIESLIEGCNLVSLRSVSRERTYEDQCSSPSVKRKGSPDTMPNMKNSCQDLDDVCYENKWILPTYHVSLLDGGFQADVTVKGKGFECSSVGDLSPCPREARKSAAKQMLAKLQAMPNISW >Potri.007G127800.4.v4.1 pep chromosome:Pop_tri_v4:7:14288539:14297155:1 gene:Potri.007G127800.v4.1 transcript:Potri.007G127800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127800.v4.1 MASKALDVADACPTEDFIAALLEYLVDPKLQGKSSAKGDVSQIAQESIAKQVHAVVLLYNYYHRKQYPQLEFLCLENFCKLAVVIKPALMAHIRLLQRSNDTESQPFPLMEESIMEACSISMSLDASEDDLNIDGWPISKVAVFLVDSRKENCFLQFGSITEGVWSVIEKDVDVSNNSLEGTMDSDHVNKKKRIIKKPLKGKSSSNEGRFQQFAFSAVKEATGIDQSDLVVLESHVTYSTSKEKTAAYFYIMQLTKADNSIALQIPIKNTINSLQGPLAIKSSSWWTHTSVVEYFLLLPYAEVLSEWFLREGLSDGVQVPRVGLETINVSSSDRTEGPCEAEVSERFHNHVNDSAAELLGSETITQSLKHNDNNGCLGSEMNSSKQNVNDRCCVVDLSGDCDRPQKMDVDESYVANTQNKYKRRNFSGKDQPQNCQKKTITADKCSEGLASKEMETVEKCSKGSASGDKVKVDMVDQTESQKITGCMGAVVADGNKNCNNIVSDQDRMPVTDNAVVTCQSNSKNLDKLRTILASKELSDAALTVVLSKRDRLSLQQRDIEDQIAQCDKDIETILKGGEDNLSLKIESLIEGCNLVSLRSVSRERTYEDQCSSPSVKRKGSPDTMPNMKNSCQDLDDVCYENKWILPTYHVSLLDGGFQADVTVKGKGFECSSVGDLSPCPREARKSAAKQMLAKLQAMPNISW >Potri.007G127800.2.v4.1 pep chromosome:Pop_tri_v4:7:14291318:14297178:1 gene:Potri.007G127800.v4.1 transcript:Potri.007G127800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127800.v4.1 MSLDASEDDLNIDGWPISKVAVFLVDSRKENCFLQFGSITEGVWSVIEKDVDVSNNSLEGTMDSDHVNKKKRIIKKPLKGKSSSNEGRFQQFAFSAVKEATGIDQSDLVVLESHVTYSTSKEKTAAYFYIMQLTKADNSIALQIPIKNTINSLQGPLAIKSSSWWTHTSVVEYFLLLPYAEVLSEWFLREGLSDGVQVPRVGLETINVSSSDRTEGPCEAEVSERFHNHVNDSAAELLGSETITQSLKHNDNNGCLGSEMNSSKQNVNDRCCVVDLSGDCDRPQKMDVDESYVANTQNKYKRRNFSGKDQPQNCQKKTITADKCSEGLASKEMETVEKCSKGSASGDKVKVDMVDQTESQKITGCMGAVVADGNKNCNNIVSDQDRMPVTDNAVVTCQSNSKNLDKLRTILASKELSDAALTVVLSKRDRLSLQQRDIEDQIAQCDKDIETILKGGEDNLSLKIESLIEGCNLVSLRSVSRERTYEDQCSSPSVKRKGSPDTMPNMKNSCQDLDDVCYENKWILPTYHVSLLDGGFQADVTVKGKGFECSSVGDLSPCPREARKSAAKQMLAKLQAMPNISW >Potri.007G127800.6.v4.1 pep chromosome:Pop_tri_v4:7:14288450:14297152:1 gene:Potri.007G127800.v4.1 transcript:Potri.007G127800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127800.v4.1 MASKALDVADACPTEDFIAALLEYLVDPKLQGKSSAKGDVSQIAQESIAKQVHAVVLLYNYYHRKQYPQLEFLCLENFCKLAVVIKPALMAHIRLLQRSNDTESQPFPLMEESIMEACSISMSLDASEDDLNIDGWPISKVAVFLVDSRKENCFLQFGSITEGVWSVIEKDVDVSNNSLEGTMDSDHVNKKKRIIKKPLKGKSSSNEGRFQQFAFSAVKEATGIDQSDLVVLESHVTYSTSKEKTAAYFYIMQLTKADNSIALQIPIKNTINSLQGPLAIKSSSWWTHTSVVEYFLLLPYAEVLSEWFLREGLSDGVQVPRVGLETINVSSSDRTEGPCEAEVSERFHNHVNDSAAELLGSETITQSLKHNDNNGCLGSEMNSSKQNVNDRCCVVDLSGDCDRPQKMDVDESYVANTQNKYKRRNFSGKDQPQNCQKKTITADKCSEGLASKEMETVEKCSKGSASGDKVKVDMVDQTESQKITGCMGAVVADGNKNCNNIVSDQDRMPVTDNAVVTCQSNSKNLDKLRTILASKELSDAALTVVLSKRDRLSLQQRDIEDQIAQCDKDIETILKGGEDNLSLKIESLIEGCNLVSLRSVSRERTYEDQCSSPSVKRKGSPDTMPNMKNSCQDLDDVCYENKWILPTYHVSLLDGGFQADVTVKGKGFECSSVGDLSPCPREARKSAAKQMLAKLQAMPNISW >Potri.007G127800.7.v4.1 pep chromosome:Pop_tri_v4:7:14294045:14297372:1 gene:Potri.007G127800.v4.1 transcript:Potri.007G127800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127800.v4.1 MNSSKQNVNDRCCVVDLSGDCDRPQKMDVDESYVANTQNKYKRRNFSGKDQPQNCQKKTITADKCSEGLASKEMETVEKCSKGSASGDKVKVDMVDQTESQKITGCMGAVVADGNKNCNNIVSDQDRMPVTDNAVVTCQSNSKNLDKLRTILASKELSDAALTVVLSKRDRLSLQQRDIEDQIAQCDKDIETILKGGEDNLSLKIESLIEGCNLVSLRSVSRERTYEDQCSSPSVKRKGSPDTMPNMKNSCQDLDDVCYENKWILPTYHVSLLDGGFQADVTVKGKGFECSSVGDLSPCPREARKSAAKQMLAKLQAMPNISW >Potri.011G124500.1.v4.1 pep chromosome:Pop_tri_v4:11:15501742:15503288:-1 gene:Potri.011G124500.v4.1 transcript:Potri.011G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124500.v4.1 MDVSIISRELIKPSSPSIHHLSPFKLSLLDQLFPTTYVPMVFFYPTKNNQDFKGLQISIQLKRSLSQTLSTFYPLSGRVRNNSLIDNYEKGAPFVETRVKGSLFDFLIQPQLNSLNKFLPCQPLGYQSDPEATPQVAIQVNTFDCGGTALGLCFSHKIIDVATAIAFLDSWAANTRGHYHEQKNPGLFEASSRFPPLNKFLVQVPLWVTENCLFKEGNVTKRFVFDADAVATLRARAKSKRVPNPSRTETLTAFIWKSCTEACRSLRDLPRPSVSLHAVNIRQRTEPSFSRYSIGNLWWRSMTACELADTKIELNDLVSLTRESFANINDDRLKDFQGENGFQGITVSLLKQLVGIVSRNPEIFMFSSWLNFDLNDVDFGWGKPIWVGLTGEVGRPSGFANITFFKQTGRNNEIEAWMTLNEKIMSAVERNPEFLEFSTPNPSIFMPHLSS >Potri.005G137450.1.v4.1 pep chromosome:Pop_tri_v4:5:10713604:10714522:-1 gene:Potri.005G137450.v4.1 transcript:Potri.005G137450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137450.v4.1 MDDEQGTMKKRSGIWAQSRGDKYKTMRFIVEMMKRQYASMEFLFFSEREGLSIRGPHKMGWAHLQKERQEVSLYPPASDSKICPHGAHRKRQIVAACGMAAFYPRGRGP >Potri.019G042800.1.v4.1 pep chromosome:Pop_tri_v4:19:5914608:5918467:-1 gene:Potri.019G042800.v4.1 transcript:Potri.019G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042800.v4.1 MDHHHHQQQQQQQQQQQQQQLLLQQQQQQVHHQQQQQQQQQHQQQQQFLLLQQLTKQAQQQQQAAAISRFPSNIDAHLRPPSIHRPLTLQQQNPNPNPNSNPNPNPNPNLQQHQQQGSNLGQNAQHSQKPQQQQQPQPQQQPQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQKGIRPQVNQVEIQMAYQDAWRVCHPDFKRPFGSLEDACERLLPYHVVADYEAEEDDRILDLDTTGQMPSRSQQWDHNIAAKVAEFTGTFEKQALAFNIITRKRSLGEFRSEERLMIEQALLQEEKRLLLNLKAEMDAREKASREAQLRMAAMFQAEQAQAESHAHAEMMSRAPIRASALGSQGNSVPIGHDMGEQEHGVNPDEMMNGWGGNAPRDEKEPSEDFLNDEETENGNTAVHSEWREVGEFDLNTR >Potri.005G053750.1.v4.1 pep chromosome:Pop_tri_v4:5:3405795:3406232:1 gene:Potri.005G053750.v4.1 transcript:Potri.005G053750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053750.v4.1 MMAICNLVDLGYQGLGFTWHCHIHGGRYLAKSLDRALSNLEWHITFPEAFVENLCRLYSDHNPIILRFGRKQARAGGGPFKFEEAWTTHPSYQELVSNAWGRSDHNVLQGLQEVRQDSIDFNKNIFGNIFQRKRRLEARLNGIQR >Potri.001G323500.2.v4.1 pep chromosome:Pop_tri_v4:1:33270017:33273682:1 gene:Potri.001G323500.v4.1 transcript:Potri.001G323500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323500.v4.1 MIMEKCLNSKQKKDCFLFLPCEFCNSKAAILYCRADSAKLCLPCDQQIHSSNTLSLKHVRSQICDNCRAEPASIHCSNDNLFLCQDCDWDSHNSSFSVSSLHNRNPVEGFMGCPPVVELASLFGFDFKSDFFVDSDPGSCSFEQEAVNFQDFAVSSDDFSVLSSSGKSRQEVYKQLVEMGKRGMVRVNGDGAELGPDTPPSRCAVQWNLESLELENGDEELLHQQTPFTSLLMLPNHVDASENDCVSDLGFMWDCNYTHQGAQAWDFQLGTSLDCTIPGPQEEGYDVKDPGFMVKNYVDFTEDGAFATQKVLDDGHVTSCCSSTCEDNLSKNSCSNQQLSRYKPPTENCNNTPLLGLSPGSMPGEPNAHIQVMEQPSLTWFETLNEVRQKGDAGLFAQNRGNAMLRYREKKKNRRYDKRIRYESRKARADTRKRVKGRFVKAIEN >Potri.001G323500.3.v4.1 pep chromosome:Pop_tri_v4:1:33270017:33273682:1 gene:Potri.001G323500.v4.1 transcript:Potri.001G323500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323500.v4.1 MIMEKCLNSKQKKDCFLFLPCEFCNSKAAILYCRADSAKLCLPCDQQIHSSNTLSLKHVRSQICDNCRAEPASIHCSNDNLFLCQDCDWDSHNSSFSVSSLHNRNPVEGFMGCPPVVELASLFGFDFKSDFFVDSDPGSCSFEQEAVNFQDFAVSSDDFSVLSSSGKSRQEVYKQLVEMGKRGMVRVNGDGAELGPDTPPSRCAVQWNLESLELENGDEELLHQQTPFTSLLMLPNHVDASENDCVSDLGFMWDCNYTHQGAQAWDFQLGTSLDCTIPGPQEEGYDVKDPGFMVKNYVDFTEDGAFATQKVLDDGHVTSCCSSTCEDNLSKNLICS >Potri.016G119900.10.v4.1 pep chromosome:Pop_tri_v4:16:12476038:12488066:-1 gene:Potri.016G119900.v4.1 transcript:Potri.016G119900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119900.v4.1 MALSASDLGTIYSLLTNSMSGDESVRKPAEAALSQFESRPGFCSCLMEVITAADLASQVDVRLLASVYFKNSINRYWRNRRDSAAISSEEKNHLRQKLLSHLREENDKIAGLLAVLISKIARLDYPREWPELFSVLANKLQSADVLTSHRIFLILFRTLKELSTKRLTVDQRNYAEITSHLFGYSWGLWQSDVQAILNSFSTLAQSYTSNVLEQRHDELYLMSERWLLCLKIIRQLIISGFPSDSKCVQEVQPVKEVSPILLKAIQSFLPYYSSFQKGHPKFWDFIKRACTKLMKVLVTIQGRHPYSFGDKSVLPPVMDFCLNKITDPGPYILSFEQFLIQCMVMVKCVLECKEYKLNLTGRVMDESVITVEQMKKNISNAVGGVLTSLLPSERIIHLCNVLIRRYFVLTASDLEELYQNPESFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLGPVVVSILQEAMNACPTSVTEITPGLLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPNMCVIHRKVALILGQWVSEIKADTKRPVYCSLIRLLQDKNLSVKLAACRSLCLHVEDANFSEQEFLDLLPICFDSCFKLVEEVQEFDSKVQVLNLISVLIGHVHEIVPFANKLVQFFQKVWEEASGESLLQIQLLIALRNFVVELGYQSPTCYNVLLPILHGGIDINSPDILNLLEDGMLLWEATLSHAPAMEPRLLAYFPCLVEIMERSFDHLQVAVNIMEDYIILGGTEFLRMHASSVAKVLDLIVGNVNDRGLLSTLPIIDILVQCFPAEVPPLISSTLQKLIMICLSGRDDFEPSKAAVKASAAAILARILVMNTNYLAQLTAEPSLSLLLQQADIPIEENILLCLVDIWLDKIDNASSDQKKTFGLALSIILTLRLPQVVDKLDQILSVCTSVILGANDDLTEEESSGDNMSSSKFHGEGVIPSREYRKRQIKFSDPIKRWSLENSVRENLQTCATLHGESFNSAISRMHPAAFAQLKQALKMP >Potri.016G119900.11.v4.1 pep chromosome:Pop_tri_v4:16:12476030:12488036:-1 gene:Potri.016G119900.v4.1 transcript:Potri.016G119900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119900.v4.1 MALSASDLGTIYSLLTNSMSGDESVRKPAEAALSQFESRPGFCSCLMEVITAADLASQVDVRLLASVYFKNSINRYWRNRRDSAAISSEEKNHLRQKLLSHLREENDKIAGLLAVLISKIARLDYPREWPELFSVLANKLQSADVLTSHRIFLILFRTLKELSTKRLTVDQRNYAEITSHLFGYSWGLWQSDVQAILNSFSTLAQSYTSNVLEQRHDELYLMSERWLLCLKIIRQLIISGFPSDSKCVQEVQPVKEVSPILLKAIQSFLPYYSSFQKGHPKFWDFIKRACTKLMKVLVTIQGRHPYSFGDKSVLPPVMDFCLNKITDPGPYILSFEQFLIQCMVMVKCVLECKEYKLNLTGRVMDESVITVEQMKKNISNAVGGVLTSLLPSERIIHLCNVLIRRYFVLTASDLEELYQNPESFHHEQDMVQWTEKLRPCAEALYIVLFENHSQLLGPVVVSILQEAMNACPTSVTEITPGLLLKDAAYGAAAYVYYELSNYLSFKDWFNGALSLELSNDHPNMCVIHRKVALILGQWVSEIKADTKRPVYCSLIRLLQDKNLSVKLAACRSLCLHVEDANFSEQEFLDLLPICFDSCFKLVEEVQEFDSKVQVLNLISVLIGHVHEIVPFANKLVQFFQKVWEEASGESLLQIQLLIALRNFVVELGYQSPTCYNVLLPILHGGIDINSPDILNLLEDGMLLWEATLSHAPAMEPRLLAYFPCLVEIMERSFDHLQVAVNIMEDYIILGGTEFLRMHASSVAKVLDLIVGNVNDRGLLSTLPIIDILVQCFPAEVPPLISSTLQKLIMICLSGRDDFEPSKAAVKASAAAILARILVMNTNYLAQLTAEPSLSLLLQQADIPIEENILLCLVDIWLDKIDNASSDQKKTFGLALSIILTLRLPQVVDKLDQILSVCTSVILGANDDLTEEESSGDNMSSSKFHGEGVIPSREYRKRQIKFSDPIKRWSLENSVRENLQTCATLHGESFNSAISRMHPAAFAQLKQALKMP >Potri.004G058000.7.v4.1 pep chromosome:Pop_tri_v4:4:4840626:4843666:-1 gene:Potri.004G058000.v4.1 transcript:Potri.004G058000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058000.v4.1 MPVVQKLYDACKESFSANGPVSEEALEKIRAILDQMKPSNVGLEQEAQSARQWKGPINGTNGRKGHNGRLQYPPPIKYLHLHECDKFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWIDLPGFNDPSQARPARLVRDYEMTAPCGTTVLYPTSGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRTPKRELPEGTEALCGIEPSEITWLEETQPPENFVVWRGLYKGPTIRR >Potri.004G058000.6.v4.1 pep chromosome:Pop_tri_v4:4:4840324:4844522:-1 gene:Potri.004G058000.v4.1 transcript:Potri.004G058000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058000.v4.1 MPVVQKLYDACKESFSANGPVSEEALEKIRAILDQMKPSNVGLEQEAQSARQWKGPINGTNGRKGHNGRLQYPPPIKYLHLHECDKFSIGIFCMPPSSIIPLHNHPGMTVLSKLLYGSLLVKSYDWIDLPGFNDPSQARPARLVRDYEMTAPCGTTVLYPTSGGNIHCFKALTPCALFDVLSPPYSSEDGRHCSYFRRTPKRELPEGTEALCGIEPSEITWLEETQPPENFVVWRGLYKGPTIRR >Potri.001G016600.1.v4.1 pep chromosome:Pop_tri_v4:1:1229468:1232255:-1 gene:Potri.001G016600.v4.1 transcript:Potri.001G016600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016600.v4.1 MAAAAALQSLQNHDNTNQDVSRKELQASIAKAVDLRALHAALMQGNSPANLRFPSSSPVSRSAPYFSAQDYPVFTPSYEDERLPGNHQILTKARTLSESWDEFGLEGGSGYETVLSDYKKENSSSRKGIPSDISNICPAEDQKSVTSSPANNITVYQPETESYKFSRMNSLADFKSISSFNRCKPATITTESEKVTRNSKHSNIVVPLTDSHSSLQSQPKNRRVMSWLFPKLRKKQKNDNSSNQTESEEVSQTFKDLGILSIESLKRKLMEANEHRDAALTEVAEMKSSLGDLRYKLEYLESYCEELKKALRQATQAKDSQVVEKLGNLPNRGKSIDGNGENLMPVSEEVMVEGFLQIVSEARLSVKQFCKTLGQIEETDSTLMDSLNLLLQPYKLSLKSKYSKAVLYHLEAIINQSLYQDFENCVFQKNGSPKNLDPNQDRQAQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIITTINWTATWPEHLLQAFFVAAKCIWLLHLLAFSFNPPLGILRVEENRNFDPHFMEDMFMDRQRSHRQSRVKIMVMPGFYVQDRVLRCKVLCRYKSVP >Potri.001G016600.2.v4.1 pep chromosome:Pop_tri_v4:1:1229478:1232133:-1 gene:Potri.001G016600.v4.1 transcript:Potri.001G016600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016600.v4.1 MNSLADFKSISSFNRCKPATITTESEKVTRNSKHSNIVVPLTDSHSSLQSQPKNRRVMSWLFPKLRKKQKNDNSSNQTESEEVSQTFKDLGILSIESLKRKLMEANEHRDAALTEVAEMKSSLGDLRYKLEYLESYCEELKKALRQATQAKDSQVVEKLGNLPNRGKSIDGNGENLMPVSEEVMVEGFLQIVSEARLSVKQFCKTLGQIEETDSTLMDSLNLLLQPYKLSLKSKYSKAVLYHLEAIINQSLYQDFENCVFQKNGSPKNLDPNQDRQAQFSSFVALRNLSWNEVLRKGTKYYSEEFSKFCDQKMSCIITTINWTATWPEHLLQAFFVAAKCIWLLHLLAFSFNPPLGILRVEENRNFDPHFMEDMFMDRQRSHRQSRVKIMVMPGFYVQDRVLRCKVLCRYKSVP >Potri.013G015000.2.v4.1 pep chromosome:Pop_tri_v4:13:960830:963632:1 gene:Potri.013G015000.v4.1 transcript:Potri.013G015000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015000.v4.1 MAHARGGDFMFCDLCGTMMFLYSKEHVECPLCKFKKSAKDLSEREISYQVSSEDMRRDLGISHFEGKMEVKDMEINKKCEKCGHTKLKFSTRQMRSADEGQTTFFHCANCSYTFTEN >Potri.013G015000.1.v4.1 pep chromosome:Pop_tri_v4:13:960830:963632:1 gene:Potri.013G015000.v4.1 transcript:Potri.013G015000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015000.v4.1 MAHARGGDFMFCDLCGTMMFLYSKEHVECPLCKFKKSAKDLSEREISYQVSSEDMRRDLGISHFEGKMEVKDMEINKKCEKCGHTKLKFSTRQMRSADEGQTTFFHCANCSYTFTEN >Potri.003G113000.2.v4.1 pep chromosome:Pop_tri_v4:3:13555000:13559270:-1 gene:Potri.003G113000.v4.1 transcript:Potri.003G113000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PtVNS04 MNTFSHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCKLGTEDQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLIGMRKTLVFYKGRAPNGQKSDLIMHEYRLETNENGTPQEEGWVVCRVFKKKMPTMRKVSDYDSPCWYDDQVSFMPETDSPRRISQPYASYLHHYTCKQELELPYNMPHDPFLQLPQLESPKVPQSAATASCNSVIAYAYDRTNGSTLQSSTLTQEEQMQQCHQQNLNSFYNNNNSEQAVDQVTDWRVLDKFVASQLSHEDASKGTNNYSNKATFHAAEQMHMLANDSKRSETAQEYASTSTSSCQIDLWK >Potri.008G140866.1.v4.1 pep chromosome:Pop_tri_v4:8:9510599:9510760:1 gene:Potri.008G140866.v4.1 transcript:Potri.008G140866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140866.v4.1 MTIICNLNFSFPTCCIDYNLEVDSVSGGGLENPCSHFDCHVGSNNWGKTKAEK >Potri.010G240401.1.v4.1 pep chromosome:Pop_tri_v4:10:21857735:21859297:1 gene:Potri.010G240401.v4.1 transcript:Potri.010G240401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G240401.v4.1 MATRSALSRLSLITIRSMKSTRGATRYFSDGKGRVLSEEERAAENVYIQKMERERLEKLKLKQEKEKAEKEKQGADKRIEGSQKA >Potri.017G101800.1.v4.1 pep chromosome:Pop_tri_v4:17:11310081:11311793:-1 gene:Potri.017G101800.v4.1 transcript:Potri.017G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101800.v4.1 MENKHFLLICMPGQGHINPMFQLGKCLIHAGAGRVTFATTAHGLTQVEAFPSLENLHYASFSDGFDDGIKPTNDPHRIMAELKRVGSQTLTELLLSLSKEGNPVSYLIYTLLLPWAADIARDMSIPSAFLCILSTTAFALCYCFFEERDGVYDSNDNRPPSSIEMPGLPLFTSKDMPSFLLPNDPHASTLIPIFQHHIQALEKDSNPCVLLNTSDCVEEEAIRLISNLNPIPIGPLVSYAFLDENNSTDSSCGIDLFEKSAEYSQWLNSKPEGSVVYVSFGSLAVLQRNQMEKILLGLTSNCRPFLWVIRPSGSNDREFEEKIRDKVNEEVGLIVPWCSQMEVLTHESIGCFMMHCGWNSTLESLATGVPVVGFPQFSDQTTNAKMVEEVWRTGVRARVNEDGTLEAEEIARCLDMVMGGGQRGEEIRRNAKKWKGLTLEAVMEGGSSYNNLNAFLEKIE >Potri.004G219700.1.v4.1 pep chromosome:Pop_tri_v4:4:22533001:22534154:-1 gene:Potri.004G219700.v4.1 transcript:Potri.004G219700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219700.v4.1 MYPRLIFTKILSQTDVKHRMTIPMKSFNVVFQIPQGKHYKRFVFIDMIDTGRPWSFRCSTRKKDVYRKPVFSSGWIKYVRRKGLREGDQVSFFLVQKDGEEGLRFGVQAQKKLIRLLGKDCWDTV >Potri.003G075600.1.v4.1 pep chromosome:Pop_tri_v4:3:10289197:10292579:1 gene:Potri.003G075600.v4.1 transcript:Potri.003G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075600.v4.1 MVRERRYNYNNSNHNNTSSRSRNGVGGGDDDDEMMMMMSSKSMKPAWLEGLMAETFFGGCGVHESRRKNEKNVFCLLCCLSICPHCLPSHRSHPLLQVRRYVYHDVVRLGDLEKLIDCSYIQPYTINSAKVIFLNQRPQSRSCKGSSNNCFTCDRILQDPFHFCSLSCKVNHLVDQGDDLSAILYRIDESDFAFSQFEGLRMDGSEIIDDDGQITPSSIFENPSQHRGSSCSNEPEVLGKKEKKSGFLPGNFFSLSSRRKGSPHRAPLS >Potri.006G055600.1.v4.1 pep chromosome:Pop_tri_v4:6:3938812:3940516:-1 gene:Potri.006G055600.v4.1 transcript:Potri.006G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055600.v4.1 MGVTGVQPHILLVTFPAQGHINPALQFAKRLVAIGAHVTFSTSMGAARRMSKTGTYPKGLSFAAFDDGSEHGFRPSDDIDHYFTELRLVGSKSLAELIAASSKNGRPFTCVVYSNLVPWVAKVARELNLPSTLLWNQSPALLDIFYYYFNGYGDTISENINDPTFSLKLPGLPPLGSRDLPSFFNPRNTHAFAIPVNREHIEVLDEETNPKVLVNTFDALECEALNSIGKFKLVGVGPLIPSAFLDGEDPTDTSFGGDLFQGSKDHIEWLNSKPELSVIYIAFGSISALSKPQKEEMARALLETGRPFLWVIRADRGEEKEEDKLSCKEELEKQGKIVPWCSQVEVLSHPSIGCFVTHCGWNSTFESLASGVPMVAFPQWTDQLTNAKMVEDVWKTGVRVTSSNKEGVVEGEEIERCLEVVMGGGERGNEMRKNAKKWKELARQSSKEGGSSYNNLKAFVDEIAGVATSLEI >Potri.013G139670.1.v4.1 pep chromosome:Pop_tri_v4:13:15638433:15639143:-1 gene:Potri.013G139670.v4.1 transcript:Potri.013G139670.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps2 MIRRYWNINLKEMLETGVHFGHATRKWNPKMAPYISAKRKGIHITNLTRTARFLSEACDLVFDAASRRKQFLIVGTKNKAADPVARAAIRARCHYVNKKWLGGLLTNWSTTEMRLQKFRDLRMEQKTGGIHRLPKGDAARLKRQLFHLQTYLGGIKYMTGLPDIVIIVDQQEEYMALQECITLGIPTICLIDTNCDPDLTDISIPANDDAIASIRLILNKLVFAICEGRSSYIRNP >Potri.005G175300.1.v4.1 pep chromosome:Pop_tri_v4:5:18067896:18069935:1 gene:Potri.005G175300.v4.1 transcript:Potri.005G175300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175300.v4.1 MAMAIDGAKFELDFSSYSSTTTTTTPTTSTENDHGCNWNDWSPLVDWEALSGAQDDFQDLIDSMMDDGALMNQSIRLTPDTSNSMSTSDTMLVDEETSCSDDLKGLRLVHLLMAAAEALTGLNKNRDLARVILVRLKELVSPNDGTNMERLAAYFTDALQGLLEGSGSIHSNKHLSGTNNGPYHHHHRDDPQHHHHQSDVLAAFQLLQDMSPYVKFGHFTANQAILEAVADDRRIHIVDYDIMEGIQWASLMQALVSRKDGPPTPHLRITALSRGGSGRRSIGTVQETGRRLVAFAASIGQPFSFHQCRLDSDDTFRPSALKLVRGEALVMNCMLQLPHFSYRAPDSVASFLSGAKTLNPRLIAMVEEEVGPIGDGGFVSRFMDSLHHYSALYDSLEAGFPMQGRARALVERVFLGPRIAGSLARIYSARGEDGCSWGERLAAVGFQPIKISFANHCQAKLLLGLFNDGYRVEELASNRLVLGWKSRRLLSASIWTSPSDPDL >Potri.015G073300.5.v4.1 pep chromosome:Pop_tri_v4:15:9904620:9906353:1 gene:Potri.015G073300.v4.1 transcript:Potri.015G073300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073300.v4.1 MLKKNLIESQKESKLMEKMGTAEPNFEQLFEEKKRVRNPLVPVGALMTAGVLTAGLISFRRGNSQLGQVLMRARVVVQGATVALMVGTAFYYGDNPWKKPS >Potri.012G031000.1.v4.1 pep chromosome:Pop_tri_v4:12:964986:966668:1 gene:Potri.012G031000.v4.1 transcript:Potri.012G031000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031000.v4.1 MVKLASARESRMYGARLSKNRAEYMNAGLYVFATIVLIGGFVAELSKETKPGLVLLLIALLLITVVNLHDLVAHLAGIDYRWRLMGYDTQLALVEFAVPVIQALGTLLSFLGILFLFIQEYKGYDHFKLERHALNLLAAGPAFWVLGSLHNSCQIYERADGHVQILQQSVHIPFLMGSSLFLVGSILNIREQAGWGHHGLELLGKTWVWIGFFGSLMFFIGGLTNVVKVFEMQQIDGLRLEKLRGGAQERLVREREGQAPLILAEAERRGKRIAEETRAAPIPAPTPYKDVLVGQS >Potri.012G044500.1.v4.1 pep chromosome:Pop_tri_v4:12:3988955:3992775:-1 gene:Potri.012G044500.v4.1 transcript:Potri.012G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044500.v4.1 MISRLLNSFLFILCALCSINYFENPTASGFTNETDREALLAMKHLVLSDPFRALSSWNASLHFCTWHGVACGSKHQRVIALNLSSLQLAGFLSPHIGNLTFLRRIDLSKNNFHGTIPEEVGQLFRLQYLSLSNNSFQDELPGNLSHCSNLRFLGMEGNNLTGKIPSELGSLSNLRAPGLLKNHLTGSLPRSFGNLSSLVSLSLRENNLEGSIPIEFERLSRLAYLDLSFNNLSGMVPEELYNISSLSTVAMVSNNLSGRLPLDLGLTLPNLQTLYLGLNRFLGPVPASIVNSSGLEYLDLASNSFSGPVPKNLGSLRYLQILNFGFNKIGDKNNNDLTFLTSLTNCTDLKEIGLYKSNLGGLLPNSIANLSTNLYYLVMWGNYITGTIPTKIGNLKSSQALDLADNMLTGRLPESIGKLVMLKEFYVHLNKISGEIPSALGNISGLLKLDLGVNLLEGTIPVSLANCTSLNLLDISHNHLSGFIPEKIFSLSSLTLGLLLGSNRLSGRLPSQVVNMRNLIQLDISRNKICGEIPSTLETCLMLETLNMSGNFLRGTIPSSFKKLRSIRVLDVSCNNLSGQIPEFLADLPFLSNLNLSFNEFEGKVPAEGAFENASQFSIAGNNKLCGGIKAIQLPECPRTKQHKRFSKRVVIIASSVAVFITLLLACIFAVGYRKLSANRKPLSASTMEKKFQIVSYQDLARATDGFSSANMIGDGGYGSVYKGILGPDGQTVAIKVLKPEQRGANRTFVAECETLRRIRHRNLVKIVTACSSIDFKGNDFKALVFDFMPGGSLESWLHPSTVESQNSKRLSLLQRISMLIDVASAFDYLHNHCDEQIVHCDLKPSNILLDNDLTAHVGDFGLARILSAATGETPSTSTSSLGVRGTVGYVAPEYGMGGQVSISGDVYSYGILLLEMFTGKRPTDSMFTGNNSLHNFAKTALPDQVSEIIDPLLKIDTQQLAESSRNGPSSSRDKIEGCLISILQIGVLCSVELPSERMVIAEVLSEFNKIRKILCSK >Potri.019G062100.4.v4.1 pep chromosome:Pop_tri_v4:19:10216484:10221930:-1 gene:Potri.019G062100.v4.1 transcript:Potri.019G062100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062100.v4.1 MFPWRAPGAAEARNKHSSFQTEQISMKLLSSISTVVFLFFILPVVPQIIADLNSDRQALLDFAAAVPHIRKLNWNASTSVCTSWVGITCNTNGTGVVAVHLPGVGLYGPIPANTIGRLNSLKILSLRSNSLNGKLPSDIPSLPSLQHLYLQQNNFSGVFPALLSLQLNVLDLSFNSFTGSIPPTIQNLTQLTALYLQNNSISGAIPDINLPRLKALNLSFNYFNGTIPSSFQKFSYYSFVGNSLLCGLPLKRCPTISSSPSPSPNDFLNPPTKPQSHTASNKKLGSNSIIAIAIGGSAVLFLIIMVIFVCFLKRKDGARNTVLKGKAESEKPKDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTSVVVKRLKEVAAGKKEFEQQMEVIGRVGQHPNIVPLRAYYYSKDEKLLVHNYMSAGSLSAFLHGNRAGGRTSLDWNARVKICLGTARGIARIHSEGGAKFFHGNIKASNVLLTPDLDGCISDVGLAPLMNFPTTMYRTIGYRAPEVIETRKASQKSDVYSFGVLLLEMLTGKAPLQVPGHDSVVDLPRWVRSVVREEWTAEVFDVELVRHQNIEEEMVQMLQIALACVAKAPDMRPKMDEVVRMIEEIQHSDSKNRSSSDAESNVQTP >Potri.019G062100.5.v4.1 pep chromosome:Pop_tri_v4:19:10216427:10221358:-1 gene:Potri.019G062100.v4.1 transcript:Potri.019G062100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062100.v4.1 MKLLSSISTVVFLFFILPVVPQIIADLNSDRQALLDFAAAVPHIRKLNWNASTSVCTSWVGITCNTNGTGVVAVHLPGVGLYGPIPANTIGRLNSLKILSLRSNSLNGKLPSDIPSLPSLQHLYLQQNNFSGVFPALLSLQLNVLDLSFNSFTGSIPPTIQNLTQLTALYLQNNSISGAIPDINLPRLKALNLSFNYFNGTIPSSFQKFSYYSFVGNSLLCGLPLKRCPTISSSPSPSPNDFLNPPTKPQSHTASNKKLGSNSIIAIAIGGSAVLFLIIMVIFVCFLKRKDGARNTVLKGKAESEKPKDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTSVVVKRLKEVAAGKKEFEQQMEVIGRVGQHPNIVPLRAYYYSKDEKLLVHNYMSAGSLSAFLHGNRAGGRTSLDWNARVKICLGTARGIARIHSEGGAKFFHGNIKASNVLLTPDLDGCISDVGLAPLMNFPTTMYRTIGYRAPEVIETRKASQKSDVYSFGVLLLEMLTGKAPLQVPGHDSVVDLPRWVRSVVREEWTAEVFDVELVRHQNIEEEMVQMLQIALACVAKAPDMRPKMDEVVRMIEEIQHSDSKNRSSSDAESNVQTP >Potri.019G062100.1.v4.1 pep chromosome:Pop_tri_v4:19:10216423:10220101:-1 gene:Potri.019G062100.v4.1 transcript:Potri.019G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062100.v4.1 MQCLFFNKKKKENLTFCFLFTSIFFFSWILYHHFAFDMCFLWRAPGAAEARNKHSSFQTEQISMKLLSSISTVVFLFFILPVVPQIIADLNSDRQALLDFAAAVPHIRKLNWNASTSVCTSWVGITCNTNGTGVVAVHLPGVGLYGPIPANTIGRLNSLKILSLRSNSLNGKLPSDIPSLPSLQHLYLQQNNFSGVFPALLSLQLNVLDLSFNSFTGSIPPTIQNLTQLTALYLQNNSISGAIPDINLPRLKALNLSFNYFNGTIPSSFQKFSYYSFVGNSLLCGLPLKRCPTISSSPSPSPNDFLNPPTKPQSHTASNKKLGSNSIIAIAIGGSAVLFLIIMVIFVCFLKRKDGARNTVLKGKAESEKPKDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTSVVVKRLKEVAAGKKEFEQQMEVIGRVGQHPNIVPLRAYYYSKDEKLLVHNYMSAGSLSAFLHGNRAGGRTSLDWNARVKICLGTARGIARIHSEGGAKFFHGNIKASNVLLTPDLDGCISDVGLAPLMNFPTTMYRTIGYRAPEVIETRKASQKSDVYSFGVLLLEMLTGKAPLQVPGHDSVVDLPRWVRSVVREEWTAEVFDVELVRHQNIEEEMVQMLQIALACVAKAPDMRPKMDEVVRMIEEIQHSDSKNRSSSDAESNVQTP >Potri.019G062100.7.v4.1 pep chromosome:Pop_tri_v4:19:10216409:10220566:-1 gene:Potri.019G062100.v4.1 transcript:Potri.019G062100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062100.v4.1 MFPWRAPGAAEARNKHSSFQTEQISMKLLSSISTVVFLFFILPVVPQIIADLNSDRQALLDFAAAVPHIRKLNWNASTSVCTSWVGITCNTNGTGVVAVHLPGVGLYGPIPANTIGRLNSLKILSLRSNSLNGKLPSDIPSLPSLQHLYLQQNNFSGVFPALLSLQLNVLDLSFNSFTGSIPPTIQNLTQLTALYLQNNSISGAIPDINLPRLKALNLSFNYFNGTIPSSFQKFSYYSFVGNSLLCGLPLKRCPTISSSPSPSPNDFLNPPTKPQSHTASNKKLGSNSIIAIAIGGSAVLFLIIMVIFVCFLKRKDGARNTVLKGKAESEKPKDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTSVVVKRLKEVAAGKKEFEQQMEVIGRVGQHPNIVPLRAYYYSKDEKLLVHNYMSAGSLSAFLHGNRAGGRTSLDWNARVKICLGTARGIARIHSEGGAKFFHGNIKASNVLLTPDLDGCISDVGLAPLMNFPTTMYRTIGYRAPEVIETRKASQKSDVYSFGVLLLEMLTGKAPLQVPGHDSVVDLPRWVRSVVREEWTAEVFDVELVRHQNIEEEMVQMLQIALACVAKAPDMRPKMDEVVRMIEEIQHSDSKNRSSSDAESNVQTP >Potri.019G062100.6.v4.1 pep chromosome:Pop_tri_v4:19:10216422:10221040:-1 gene:Potri.019G062100.v4.1 transcript:Potri.019G062100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062100.v4.1 MFPWRAPGAAEARNKHSSFQTEQISMKLLSSISTVVFLFFILPVVPQIIADLNSDRQALLDFAAAVPHIRKLNWNASTSVCTSWVGITCNTNGTGVVAVHLPGVGLYGPIPANTIGRLNSLKILSLRSNSLNGKLPSDIPSLPSLQHLYLQQNNFSGVFPALLSLQLNVLDLSFNSFTGSIPPTIQNLTQLTALYLQNNSISGAIPDINLPRLKALNLSFNYFNGTIPSSFQKFSYYSFVGNSLLCGLPLKRCPTISSSPSPSPNDFLNPPTKPQSHTASNKKLGSNSIIAIAIGGSAVLFLIIMVIFVCFLKRKDGARNTVLKGKAESEKPKDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTSVVVKRLKEVAAGKKEFEQQMEVIGRVGQHPNIVPLRAYYYSKDEKLLVHNYMSAGSLSAFLHGNRAGGRTSLDWNARVKICLGTARGIARIHSEGGAKFFHGNIKASNVLLTPDLDGCISDVGLAPLMNFPTTMYRTIGYRAPEVIETRKASQKSDVYSFGVLLLEMLTGKAPLQVPGHDSVVDLPRWVRSVVREEWTAEVFDVELVRHQNIEEEMVQMLQIALACVAKAPDMRPKMDEVVRMIEEIQHSDSKNRSSSDAESNVQTP >Potri.019G062100.2.v4.1 pep chromosome:Pop_tri_v4:19:10216424:10221289:-1 gene:Potri.019G062100.v4.1 transcript:Potri.019G062100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062100.v4.1 MFPWRAPGAAEARNKHSSFQTEQISMKLLSSISTVVFLFFILPVVPQIIADLNSDRQALLDFAAAVPHIRKLNWNASTSVCTSWVGITCNTNGTGVVAVHLPGVGLYGPIPANTIGRLNSLKILSLRSNSLNGKLPSDIPSLPSLQHLYLQQNNFSGVFPALLSLQLNVLDLSFNSFTGSIPPTIQNLTQLTALYLQNNSISGAIPDINLPRLKALNLSFNYFNGTIPSSFQKFSYYSFVGNSLLCGLPLKRCPTISSSPSPSPNDFLNPPTKPQSHTASNKKLGSNSIIAIAIGGSAVLFLIIMVIFVCFLKRKDGARNTVLKGKAESEKPKDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTSVVVKRLKEVAAGKKEFEQQMEVIGRVGQHPNIVPLRAYYYSKDEKLLVHNYMSAGSLSAFLHGNRAGGRTSLDWNARVKICLGTARGIARIHSEGGAKFFHGNIKASNVLLTPDLDGCISDVGLAPLMNFPTTMYRTIGYRAPEVIETRKASQKSDVYSFGVLLLEMLTGKAPLQVPGHDSVVDLPRWVRSVVREEWTAEVFDVELVRHQNIEEEMVQMLQIALACVAKAPDMRPKMDEVVRMIEEIQHSDSKNRSSSDAESNVQTP >Potri.019G062100.8.v4.1 pep chromosome:Pop_tri_v4:19:10218167:10219656:-1 gene:Potri.019G062100.v4.1 transcript:Potri.019G062100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062100.v4.1 MKLLSSISTVVFLFFILPVVPQIIADLNSDRQALLDFAAAVPHIRKLNWNASTSVCTSWVGITCNTNGTGVVAVHLPGVGLYGPIPANTIGRLNSLKILSLRSNSLNGKLPSDIPSLPSLQHLYLQQNNFSGVFPALLSLQLNVLDLSFNSFTGSIPPTIQNLTQLTALYLQNNSISGAIPDINLPRLKALNLSFNYFNGTIPSSFQKFSYYSFVGNSLLCGLPLKRCPTISSSPSPSPNDFLNPPTKPQSHTASNKKLGSNSIIAIAIGGSAVLFLIIMVIFVCFLKRKDGARNTVLKGKAESEKPKDFGSGVQEAEKNKLFFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEDGTSVVVKRLKEVAAGKKEFEQQMEVIGRVGQHPNIVPLRAYYYSKDEKLLVHNYMSAGSLSAFLHGKLSFSSIIMNKFCFPFLHIFFCITSITWH >Potri.009G116000.1.v4.1 pep chromosome:Pop_tri_v4:9:9798215:9801782:1 gene:Potri.009G116000.v4.1 transcript:Potri.009G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116000.v4.1 MAAILARKSLSALRARQLVVPRQALHGSNQYVLRSSAHLYSTKIEDEDRNELAKEISKDWSSVFERSINTLFLTEMVRGLSLTLKYFFEPKVTINYPFEKGPLSPRFRGEHALRRYPTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFSTETHEELLYDKEKLLENGDRWETEIAENLRSESLYR >Potri.011G056300.2.v4.1 pep chromosome:Pop_tri_v4:11:4555293:4560758:1 gene:Potri.011G056300.v4.1 transcript:Potri.011G056300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056300.v4.1 MPAQKRSYETLQKEDDALLRQQQDHTNNNHEQKQEPRSDIDDDGGVESDRSQPSSNINEDVKEEYVVVKLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFQEDEKARNKEIQATIAQTFDRQAEALSRKRSTAKATAAVFARRTPSRFRDAHSRGRRNYRIAELQGSDDNEDANGGGGKDSSSTDEHSAEVKPKRYRRCSAAFNADGSGGENDSEVNKESVGASAGLISSSERLAWGKNGMRSHTRYGSANGSNVKNARNSRISKLADYLRNLDENDNELDINLMLVSFDEQRVPSLQRPYLCCRPTLSIKSLCQYVAFQTSLQANEVEIYLVQDMNSKLDFSLSMSSPVSRHGIIDPCKDKLQVLEQHETLGGLKTNNCIHGHLLLAYQKKP >Potri.011G056300.1.v4.1 pep chromosome:Pop_tri_v4:11:4555270:4560872:1 gene:Potri.011G056300.v4.1 transcript:Potri.011G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056300.v4.1 MPAQKRSYETLQKEDDALLRQQQDHTNNNHEQKQEPRSDIDDDGGVGEQSDRSQPSSNINEDVKEEYVVVKLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFQEDEKARNKEIQATIAQTFDRQAEALSRKRSTAKATAAVFARRTPSRFRDAHSRGRRNYRIAELQGSDDNEDANGGGGKDSSSTDEHSAEVKPKRYRRCSAAFNADGSGGENDSEVNKESVGASAGLISSSERLAWGKNGMRSHTRYGSANGSNVKNARNSRISKLADYLRNLDENDNELDINLMLVSFDEQRVPSLQRPYLCCRPTLSIKSLCQYVAFQTSLQANEVEIYLVQDMNSKLDFSLSMSSPVSRHGIIDPCKDKLQVLEQHETLGGLKTNNCIHGHLLLAYQKKP >Potri.001G306600.1.v4.1 pep chromosome:Pop_tri_v4:1:31650892:31651332:1 gene:Potri.001G306600.v4.1 transcript:Potri.001G306600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306600.v4.1 MAAFKNLALFLSLTLLISTNISTAARDILINKPGFNSLSARLEDEGSLVECWNALVEIKSCTNEIVLFFMTGQADIGPDCCRAIHTITHNCWPAMFTSLGFTDEEGNILRGYCDASPNSPSIYFSPASAPSPLAAGAPAQYQPMLV >Potri.016G004300.1.v4.1 pep chromosome:Pop_tri_v4:16:213742:214656:-1 gene:Potri.016G004300.v4.1 transcript:Potri.016G004300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004300.v4.1 MEALLYQFTLLSNQACQDKNYDPSSTDDLMKLFEIEAYKSWVAMELEQEMEVKEAEVAVQQAEDYLDSDMESAMDEFRRFEVEMERMAMSELESLERTAESARKMGNLLEKAATFASKKYMEAALNSATASMKTAWKGLSSKKVHPS >Potri.005G079900.1.v4.1 pep chromosome:Pop_tri_v4:5:5425801:5430110:-1 gene:Potri.005G079900.v4.1 transcript:Potri.005G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079900.v4.1 MEAPFLLLILVMSSFLVQNTVELATFSSRLIHRFSKEYKEVSVSRGGDVNGTWWPEKKSKEYYQILVSSDLKRQKLKLGPHYQLLFPSQGSKTMSLGNDFGWLHYTWIDIGTPHVSFMVALDSGSDLFWVPCDCVQCAPLSASHYSSLDRDLSEYSPSQSSTSKQLSCSHRLCDMGPNCKNPKQSCPYSINYYTESTSSSGLLVEDIIHLASGGDDTLNTSVKAPVIIGCGMKQSGGYLDGVAPDGLLGLGLQEISVPSFLAKAGLIQNSFSMCFNEDDSGRIFFGDQGPATQQSAPFLKLNGNYTTYIVGVEVCCVGTSCLKQSSFSALVDSGTSFTFLPDDVFEMIAEEFDTQVNASRSSFEGYSWKYCYKTSSQDLPKIPSLRLIFPQNNSFMVQNPVFMIYGIQGVIGFCLAIQPADGDIGTIGQNFMMGYRVVFDRENLKLGWSRSNCGDEGDGKTLPLTPSGTPQNPLPTNEQQSTPGGHAVSPAVAVNAPSKPSAASSQLISTRFCLLKCLLPLYLLHRVVSAS >Potri.004G078300.2.v4.1 pep chromosome:Pop_tri_v4:4:6476827:6480281:-1 gene:Potri.004G078300.v4.1 transcript:Potri.004G078300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078300.v4.1 MNREKLMKMAGSVRTGGKGTMRRKKKAVHKSSTTDDKKLQSTLKRIGVNAIPAIEEVNIFKDDLVIQFVNPKVQASIVANTWVITGTPQTRKLQDILPGIINQLGPDNLDNLRKLAEQFQKEVPSGDAGAAQEDDDDVPELVGGETFEAAAEEGQK >Potri.006G186100.3.v4.1 pep chromosome:Pop_tri_v4:6:19255435:19257844:1 gene:Potri.006G186100.v4.1 transcript:Potri.006G186100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186100.v4.1 MGTCIAAIQCAITAILLVSTTVSSDDKSPIPADPSSLNKWFQDNVRPLANRKGTIDPALMAAEAKPRTIKVRKDGSGEFKTLKDAINSIPTGNKERVIVHIGPGEYIEKLKIERGKPFVTFLGSPSNMPTLSFDGTARKYGTVYSATLEAEADYFVAANIIIKNSAPRPKGQLKGEQAVALRISGDKSAFYNCRFIGFQDTLCDDKGRHLFKDCYIEGTVDYIFGSGKSLYLGTELHVIGDEKGNFITAHARNNEAENTGFSFVHCKVDGTGTKRAYLGRAWQQRPRVVFSYTTMSSVVNPEGWSNNFHPERDHTALFGEYKCKGEGANPAARAKASKQLTPGQVAPFISLGFIEGSKWLLHPPN >Potri.014G140300.1.v4.1 pep chromosome:Pop_tri_v4:14:9527198:9528946:1 gene:Potri.014G140300.v4.1 transcript:Potri.014G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140300.v4.1 MASFLWSVCLSFLLLATVTKGASPKQVLDVPFGRNYAPTWAFDHIKYINGGSEIQLKLDKFTGTGFQSKGSYLFGHFSMHIKMVPGDSAGTVTAFYLSSQTNEHDEIDFEFLGNRTGQPYILQTNVYTGGKGDKEQRIYLWFDPTKGYHAYSVLWNMYQIVFFVDDVPIRVFKNSKDLGLKFPFNQPMKIYSSLWNADDWATRGGLEKTDWAKAPFIASYKGFHIDGCEASVNAKFCETQGKRWWDQKEFRDLDAAQYRKLGWVRKKYTIYNYCTDRVRFPSLPPECKRDHDI >Potri.002G208444.1.v4.1 pep chromosome:Pop_tri_v4:2:17483217:17486535:1 gene:Potri.002G208444.v4.1 transcript:Potri.002G208444.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208444.v4.1 MSNITGDEGSFSSGNTGEEVQQLQGKQQQQAQNHLHGSDSVAATNSNGSTSQQPVKKKRNLPGTPDPTAEVVALSPTTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRTTTEVRKKVYICPEPTCVHHNPARALGDLTGIKKHFSRKHGEKKWKCDKCSKKYAVQSDWKAHQKTCGTREYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGVMANMGSNLQNQMPELMSSMPLSANTSTSIGIPDFNCFDPKNPLKSLPQELVPIPFKSMNMAGGMFSSSSGTLFGSPRSISSTSSLQLSSNGSSGLHYLQDNKNGCQISATAHMSATALLQKAAQMGATASNSINSPMMQKSFASSMAGPDQLSSIRSSTYGGIQQHNTSYDDLPPQASDQTSMAGMINGVGGFNNQPLQRGPQEMSQIFDTAGTGSSAMNDMAMFASMFMSNDQNPGFIKNMEQEDSGNSGLMHGRNALMERNPTGPSRFAGTPIEGGDMMTRDFMGIGGARPTNFQDQQQQHQPRLEMEAMSQHQRMQMMNPYHQQQQPSLREPAIEKPSSIWDV >Potri.006G049200.2.v4.1 pep chromosome:Pop_tri_v4:6:3397841:3401516:1 gene:Potri.006G049200.v4.1 transcript:Potri.006G049200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049200.v4.1 MRGRGPDLWWQPAEFSRDLLPTLFKHSNFSSFVRQLNTYGFRKVATSRWEFCNDMFRKGERELLRQIRRRKAWTNKQQPIAPLIQVAPQEFEEDQRSSSTLSSSEYTSLVDENKRLKKENGVLSTELTSMKRKCKELLDLVAKNAHLEKEEEDERPKLFGVRLEVEGEREKKRKRAEIRESATILLSQ >Potri.017G154100.1.v4.1 pep chromosome:Pop_tri_v4:17:15110237:15110824:1 gene:Potri.017G154100.v4.1 transcript:Potri.017G154100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154100.v4.1 MKFRNYVPQDKEFHEGKLAPPDPFLNIAPKKPNWDLRRDVQKKHDKLERRTLKAICKLMEEQEKEKQVVENGGNVIED >Potri.009G115400.2.v4.1 pep chromosome:Pop_tri_v4:9:9756153:9760828:-1 gene:Potri.009G115400.v4.1 transcript:Potri.009G115400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115400.v4.1 MAFSILILLYLLQSFNFASSQSFIGINYGQVADNLPPPSATAKLLQSTGVQKVRLYGADPAIIKALADTGIGIVIGAANGDIPALASDPNFATQWVNSNVLAYPSSKIILITVGNEVLLSNDQNLISQLLPAMQNMQKALSSASLGGKVKVSTVHSMAILSQSDPPSSGLFSPAYQDTMKGLLQFQKDNGSPIAVNPYPFFAYQSDPRPETLAFCLFQPNSGRVDSGNGMKYMNMFDAQVDAVRSALNAMGFNDIEILVAETGWPYKGDSNEVGPSVENARAYNGNLISRLRSMVGTPLMPGKSVDTYIFALYDEDLKPGPASERSFGLFKPDLSMTYDIGLSKSSLTPSTPVTSSPKPATVGWCVPKTGASEAQLQASLDYACGQGIDCGPIQPGGACFIPDTLASHAAYAINLYFQASAKSPWNCDFSETATLTSKNPSYNGCTYPGGST >Potri.010G222300.1.v4.1 pep chromosome:Pop_tri_v4:10:20700091:20701440:-1 gene:Potri.010G222300.v4.1 transcript:Potri.010G222300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222300.v4.1 MVVQHPVAEANEQSPFGTLSPTEFYAKHQVTHSSEYITNSRGFKLFTQWWTPLPPSKTIGCVAVVHGFTGESSWFIQLTSILFAQKGFSVCAIDHQGHGFSDGLDNLMYHIPDINPVVEDCTQYFKTFRENHAPDLPAFLYSESLGGAIALYITLRQKGAWDGLILNGAMCGISAKFKPPWPLEHLLFVVAAVVPTWRVVPTRGSLPEVSFKEEWKAKLAFASPKRVAMRPRAATAFELIRVCKELQGRFGEVDVPLLVVHGGDDMVCDPACAKELFERAASTDRTLKIYPGMWHQLVGESEENVNLVFGDIVEWLENRAKRGDVANDGDA >Potri.017G092301.1.v4.1 pep chromosome:Pop_tri_v4:17:10494065:10495663:1 gene:Potri.017G092301.v4.1 transcript:Potri.017G092301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092301.v4.1 MKDLVKTSALSNRWKHLWALRTNLNFDVDGIFGTNHERPDCSSKHSKHYKEFKLEFARCVDGFLQNFQGTKIISFKLHFLKHLGDTSNINQWISSVIGLGIEELELFLSGMTLFSYYHHGLLGDEYYRFPDWLLPHPSDSRLKHLHLRTCVLKPPADFIGFNKLITLQLHDVFLGEEFLASLFSNCLLLQVLLDCSNPSPVKVIAVNLTDFEYSGDIMKITVFVAPCLVKIYFNFNSIHVIDMPNALSHCATFPTLQTLILHLDPWKEKRLPQSMDSLRNLKQLELFYIKASKEEDLLWVLTYLNACPLLEKLDITLSGEEFHKNQREMRNICGCTYSRLKKVRMNGFDGNWFEMELITHILKSATSLDQVVISPLASFYLGGGEWSHITPDESWHESRRDVVRKSLQENAPAGVHLVVL >Potri.004G129900.6.v4.1 pep chromosome:Pop_tri_v4:4:14597858:14620166:-1 gene:Potri.004G129900.v4.1 transcript:Potri.004G129900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129900.v4.1 MVAEGGEEGGKIKIGVCVMEKKLLSAPMGQILERLQAFGEFEVIHFGDKVILEDPIENWPICDCLIAFYSSGYPLEKAEAYATLRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYALVNREFPYQELDCFFEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFSQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSVAKIVNSNGSSECPWMTDGAGLPSNASELLPNLVKLTKKVTEQVRLLAMDEDEELTETSSYDVIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDIYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTLEEAISVAELKCNQDQQSASKKNDKEDTDYQSKLFIKNEDMRRTSTTSEISMDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCHSALERLYKTKELDYMSNIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLENDSEAISLHQEHTLPIMGPERLQEVGSYLTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAAVLERLVNLWPFHKHDKHASANGK >Potri.004G129900.1.v4.1 pep chromosome:Pop_tri_v4:4:14597826:14620186:-1 gene:Potri.004G129900.v4.1 transcript:Potri.004G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129900.v4.1 MVAEGGEEGGKIKIGVCVMEKKLLSAPMGQILERLQAFGEFEVIHFGDKVILEDPIENWPICDCLIAFYSSGYPLEKAEAYATLRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYALVNREFPYQELDCFFEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFSQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSVAKIVNSNGSSECPWMTDGAGLPSNASELLPNLVKLTKKVTEQVRLLAMDEDEELTETSSYDVIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDIYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTLEEAISVAELKCNQDQQSASKKNDKEDTDYQSKLFIKNEDMRRTSTTSEISMDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCHSALERLYKTKELDYMSNIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLEKNDSEAISLHQEHTLPIMGPERLQEVGSYLTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAAVLERLVNLWPFHKHDKHASANGK >Potri.004G129900.5.v4.1 pep chromosome:Pop_tri_v4:4:14597802:14620186:-1 gene:Potri.004G129900.v4.1 transcript:Potri.004G129900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129900.v4.1 MVAEGGEEGGKIKIGVCVMEKKVKCGFELLSAPMGQILERLQAFGEFEVIHFGDKVILEDPIENWPICDCLIAFYSSGYPLEKAEAYATLRKPFLVNELEPQHLLHDRRKVYERLEMFGIPVPRYALVNREFPYQELDCFFEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSSAGGGMKELFRKVGNRSSEFHPEVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFSQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDAACVLRKLLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGTFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGPLTPILVSLVSKDSSMLDGLDNASIEMEEAKARLNEIITSVAKIVNSNGSSECPWMTDGAGLPSNASELLPNLVKLTKKVTEQVRLLAMDEDEELTETSSYDVIPPYDQAKALGKTNIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKERFDITQIPDIYDSCKYDLLHNAHLNLEGLDELFKVAQLLADGVIPNEYGINPKQKLKIGSKIARRLLGKILIDLRNTLEEAISVAELKCNQDQQSASKKNDKEDTDYQSKLFIKNEDMRRTSTTSEISMDQDDDDDKETKYRLDPKYANVKTPDRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCHSALERLYKTKELDYMSNIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLEKNDSEAISLHQEHTLPIMGPERLQEVGSYLTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAAVLERLVNLWPFHKHDKHASANGK >Potri.005G128300.1.v4.1 pep chromosome:Pop_tri_v4:5:9695361:9697362:1 gene:Potri.005G128300.v4.1 transcript:Potri.005G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128300.v4.1 MNQLLLSLLFLFYLSPNLHAQQSYSGNAVMDCDNSDATGPSPAFLYTCNGKNRSCQAFLIYKSQPPYNTISSISNLLSADPLELARINNFSSSAVFPTDKEVIVPVLCSCSGKYYQANTSYTIPSNYDTYFTIANYTYEGLATCSSLIHENNYSEFGLDIGMKLQVPLRCACPTSNQTKNGTKYLLSYLVSWGDEVRNVSRRFYASTNSVTYANGFTEDNPTVFPFTTILIPLSNEPSSSQTIIHYPLPPNSSPSNPFHRIERPGKGSQRTITIGISLLVMSFILSMVLLLYKKKIYGARKDGKEKNILSMSEEFRHRVAEVDQGLKIYKFEELRVATKDFSTGNRLSCSVYQGVLGGQVVAIKKMSKDVSNEVIFLRKTNHFNLIRLYAACKHQEGFYLIYEFMENGSLSDWLCRKDCLEVQSWNYRIQIALDVANGLHYLHNFTDPICVHKRICSSNVLLNRHLRAKIANFSCAHSAKQEEYMNSSMRLALGEKGYMAPEYIEYGLVAPEIDVYAFGVVLLELVTGKEAVFIQDEEEMQLSEAIISIMEEGDGEAELGGLIDPCLMEKCSMKLVLRLVKLSLACLEQEPERRPSMGEIVSSLLKIQVDVQKSEPYLWRGGNF >Potri.001G181800.2.v4.1 pep chromosome:Pop_tri_v4:1:16062330:16063667:1 gene:Potri.001G181800.v4.1 transcript:Potri.001G181800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181800.v4.1 MSSKLGGKAKPLKAPKSEKKDYDETDLANIQKKKDEEKALKELRAKAQQKGSFGGSGLKKSGKK >Potri.001G406900.1.v4.1 pep chromosome:Pop_tri_v4:1:43414283:43415250:-1 gene:Potri.001G406900.v4.1 transcript:Potri.001G406900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406900.v4.1 MAKNRNKKKEKNGAVSMDVAEPTVSDLPQAMDTSESGAQKSAPVTSVRKTKGRPMKRSKNVRKMKAIAKAISNNEITVEKGLKKDGKTTRTQSAKKLYE >Potri.013G132700.1.v4.1 pep chromosome:Pop_tri_v4:13:13868802:13874698:-1 gene:Potri.013G132700.v4.1 transcript:Potri.013G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G132700.v4.1 MNLFVRSNPSLCLHIDGVLRALCFSTDTTAISHSLNRRISMVEDPMVPVIPVIEKWVQEGQVVTNSDLKHFIRKLRKIHRFSHALQISQWMSDQRGHNLSPGDVAVRLDLISKVHGLEQAVTYFNSVPESLRGLEVYGALLNCYAHYKHLEEAEATMRKMREMGFVRNVLSYNVMLNLYYQMGKYEKIQVLMQEMEKWGICFSNITYKILLNAYVATSNIEEIKKILMKMEADPLVSIDWYAYVVAANGYLKAGLIDKTLTMLWRSEQLISGKSARFACETLLSLYTAVGNKEQVYRVWNLYKTKGRSLNSSYLCMINSLLKLDDVDGAERIWEEWVSIVKFFDIRIPNVMVSAYSKKGLWEKAEAFVGKIVASGIKIEASTLDRLATGYHVRGQMLKASETIKKAISISQPGWKPNVYTLAACLEYLKGREDVKKIEDPLKILKEHCHLSSVSYDRLNSSIIDKENLCARALDHMEGEDQALNGETPAATEFEDKDSAEI >Potri.017G050000.3.v4.1 pep chromosome:Pop_tri_v4:17:3532067:3535488:1 gene:Potri.017G050000.v4.1 transcript:Potri.017G050000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050000.v4.1 MSAKGSWPSYNVRSFLELIHRYRGSSGNVSHSCGSGSTVSKIGQGLSMHQGLQLIVANSNKWRRSHFNMMKSDKVVPPVPNPPGSNFPTWAKWILGSILSILLPFWKQKREELKRIEGEADIIVDEVEHVAEEIEKVATVAEKVSEEVAEVLPENGKLKETALLIEHVTKATAHDAKLTQDFIHKVL >Potri.014G000700.1.v4.1 pep chromosome:Pop_tri_v4:14:92231:101555:-1 gene:Potri.014G000700.v4.1 transcript:Potri.014G000700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000700.v4.1 MQVSTVQHQKYHPKSGPDSPVSLAYHVQLSKSTFQRSSVFCTSLYLSSSSISETNRQLGNFPFLPHPPTYSQSVSATDSTKSPQLVSEDLSSPFDEERSDGFMIDFLNLSGDASEGGFHGMNCTSDNLELTEQLELQFLSDELDIAITDHGENPRLDEIYGTPETSSKPVTGFACYQNFPSIAPPVDALSSQPSLGSSTAHKPRMRWTTELHERFLDAVNKLDGAEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYFPEKKEEKKASCSEEKKAVSIIIDDDGKKKGTIQITEALRMQMEVQKQLHEQLEVQRTLQLRIEEHARYLQKIIEEQQKAGSALLSPKSLSSVTDPPKDSELPPPSPSAGAESKTDSSSALPSSKHKATDSENFEKQASEKRIRLEEKLESESEEAEVEDPPAQ >Potri.014G000700.3.v4.1 pep chromosome:Pop_tri_v4:14:86919:101555:-1 gene:Potri.014G000700.v4.1 transcript:Potri.014G000700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000700.v4.1 MQVSTVQHQKYHPKSGPDSPVSLAYHVQLSKSTFQRSSVFCTSLYLSSSSISETNRQLGNFPFLPHPPTYSQSVSATDSTKSPQLVSEDLSSPFDEERSDGFMIDFLNLSGDASEGGFHGMNCTSDNLELTEQLELQFLSDELDIAITDHGENPRLDEIYGTPETSSKPVTGFACYQNFPSIAPPVDALSSQPSLGSSTAHKPRMRWTTELHERFLDAVNKLDGAEKATPKGVLKLMNVEGLTIYHVKSHLQKYRLAKYFPEKKEEKKASCSEEKKAVSIIIDDDGKKKGTIQITEALRMQMEVQKQLHEQLEVVGVECKQRCILWWKMI >Potri.001G238300.1.v4.1 pep chromosome:Pop_tri_v4:1:25596742:25598665:1 gene:Potri.001G238300.v4.1 transcript:Potri.001G238300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238300.v4.1 MVSFSGLGLGLSLVFGCFLLALVAELFYLLWWKKRVTNRKVEEEDDYSSSYAKEFFHLICWKKASSLRSNITQDGVREPEGPRQEPDLELGTNKDLLIKTLGEESVESEIMRLHNLCGPPRFLFTIKEETKEDLESDDGKTRGGRSREGSRTRSLSDIMVTIDTPSFHTPLASPRFKSPPFNVFDSYHHHGFNPLFESSVEAELSRLRSSPPPKFKFLRDAEEKLFRRLIEEAERRASKNCVPVQDLSEIKGPDSTMITEEREGSFLGFNVDKNKESELLYHLPQNHSSSSQVLPLASSPTTFRPLDKMPIMH >Potri.001G238300.2.v4.1 pep chromosome:Pop_tri_v4:1:25596664:25598379:1 gene:Potri.001G238300.v4.1 transcript:Potri.001G238300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238300.v4.1 MVSFSGLGLGLSLVFGCFLLALVAELFYLLWWKKRVTNRKVEEEDDYSSSYAKEFFHLICWKKASSLRSNITQDGVREPEGPRQEPDLELGTNKDLLIKTLGEESVESEIMRLHNLCGPPRFLFTIKEETKEDLESDDGKTRGGRSREGSRTRSLSDIMVTIDTPSFHTPLASPRFKSPPFNVFDSYHHHGFNPLFESSVEAELSRLRSSPPPKFKFLRDAEEKLFRRLIEEAERRASKNCVPVQDLSEIKGPDSTMITEEREGSFLGFNVDKNKESELLYHLPQNHSSSSQVLPLASSPTTFRPLDKMPIMH >Potri.001G190300.1.v4.1 pep chromosome:Pop_tri_v4:1:17349183:17352906:1 gene:Potri.001G190300.v4.1 transcript:Potri.001G190300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190300.v4.1 MEGCSKNVEACPRLLDLIPKEREWLGKREDERSSSEEKKLELRLGPPGEDWSLKNTSNRERHESQLNSFGYFTNGNQQTHKFPSSAENSHVWFNQQQQGQVAPPPFLKFPSSSTPPTATASQQSLPIMAKESSQPCCTKAVVELQQCAEKKAFSPPAPANTAVPNSSQKRTAPGPVVGWPPIRSFRKNLASSSGSYSKPTVESQNKPVETCKKGLFVKINMEGVPIGRKVDLKAYDTYEKLSIAVDELFRGLLAAQRDSSSNGIMDKQEEAKAITGVLDGSGEYTLVYEDNEGDMMLVGDVPWHMFVSTVKRLRVLKSSEVSALSLGSIKQEKVAVN >Potri.013G158800.3.v4.1 pep chromosome:Pop_tri_v4:13:15328588:15331818:-1 gene:Potri.013G158800.v4.1 transcript:Potri.013G158800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158800.v4.1 MKFFRASVIHLFIILTIIFPFAISDLKSDKQALLDFAAVVPHSRKLNWNPASLVCKSWVGVTCNSNDTRVVELRLPGVGLLGHVPPNTLGKLDALNTLSLRSNVLEGDLPSDVTSLPSLQNLFLQHNNFSGGVPTSFSLKLNVLDLSFNSFTGNIPQTIANLTQLTGLSLQNNALSGPIPDLNHTRIKHLNLSYNHLNGSIPVSLQKFPNSSFIGNSLLCGPPLNPCSIVLPPPPSPAYTPPPATSHKRSSKLKLTMGAIIAIAVGGSAVLFLVVLIVFCCCLKKKDNEGPGVLKGKAVSSGRGEKPKEDFGSGVQESEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKRDFEQQMEIAGRVGQHPNVVPLRAYYYSKDERLLVYDYIPGGSLSTLLHANRGAGRTPLDWDSRVKIALGTARGISHLHSAGGPKFTHGNIKSSNVLLSQDHDGCISDFGLTPLMNVPASSSRSAGYRAPEVIETSKHSHKSDVYSFGVILLEMLTGKAPIQSPRRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEGNKSKDSNVHTP >Potri.013G158800.1.v4.1 pep chromosome:Pop_tri_v4:13:15328584:15333674:-1 gene:Potri.013G158800.v4.1 transcript:Potri.013G158800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158800.v4.1 MKFFRASVIHLFIILTIIFPFAISDLKSDKQALLDFAAVVPHSRKLNWNPASLVCKSWVGVTCNSNDTRVVELRLPGVGLLGHVPPNTLGKLDALNTLSLRSNVLEGDLPSDVTSLPSLQNLFLQHNNFSGGVPTSFSLKLNVLDLSFNSFTGNIPQTIANLTQLTGLSLQNNALSGPIPDLNHTRIKHLNLSYNHLNGSIPVSLQKFPNSSFIGNSLLCGPPLNPCSIVLPPPPSPAYTPPPATSHKRSSKLKLTMGAIIAIAVGGSAVLFLVVLIVFCCCLKKKDNEGPGVLKGKAVSSGRGEKPKEDFGSGVQESEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLKEVVVGKRDFEQQMEIAGRVGQHPNVVPLRAYYYSKDERLLVYDYIPGGSLSTLLHANRGAGRTPLDWDSRVKIALGTARGISHLHSAGGPKFTHGNIKSSNVLLSQDHDGCISDFGLTPLMNVPASSSRSAGYRAPEVIETSKHSHKSDVYSFGVILLEMLTGKAPIQSPRRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEGNKSKDSNVHTP >Potri.001G092400.1.v4.1 pep chromosome:Pop_tri_v4:1:7328568:7330263:1 gene:Potri.001G092400.v4.1 transcript:Potri.001G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092400.v4.1 MERMQENNFSFAFNNTTDIGSSLSQLILAGGTNTLDSIFSYCQAASTLTSPAFEPLGSSVYLRQRDLLQKFSEENRTNTSFSHNWLTNPLQNPGHTSNYLAPIKKKLYRGVRQRHWGKWVAEIRLPQNRMRVWLGTYDTAEAAAYAYDRAAYKLRGEYARLNFPNLKDPTELGFKDCTRLDVLKSTVDAKIQAICQKVKKERAKKNAGKKSNVNGKSTESQKPVKVDSNSSTPSPSSSSSSSPPPPPLLSGNNWGCSELVSPAVSEEALWKCESSSPSVSTDCPVMVPQDSEFEGCSLARMPSYDPELIWEVLAN >Potri.001G295100.1.v4.1 pep chromosome:Pop_tri_v4:1:30626811:30631621:1 gene:Potri.001G295100.v4.1 transcript:Potri.001G295100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295100.v4.1 MTAVIPPPFSGRELSNPPTDGISNLRFSNHSDLLLVSSWDKTVRLYDASANALRGEFLHGGAVLDCCFHDDSSGFSASGDNTVRRLVFNHGKEDILGRHDAPVRCIEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLVGTYPQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSLPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDPSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFVNVWDGNNKKRLYQYSKYPSSVAALSFSRDGRLLAVASSYTYEEGDKPHEPDAIFVRSVNEIEVKPKPKAYPNPPA >Potri.006G040800.2.v4.1 pep chromosome:Pop_tri_v4:6:2735255:2739108:-1 gene:Potri.006G040800.v4.1 transcript:Potri.006G040800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040800.v4.1 MATAGTKLTRVLSRFFINNVNNKPMRVMGSGSSFNGLAKYSTSASTAVKDYEDYRKSLYGDISHRALLVDAVGTLVVPSQPMAQIYRQIGEKYGVEYSEDEILNRYRWAYGQPWGRSRLRYVNDGRPFWQFIVSSSTGCSDARYFEELYSYYTTEKAWHLCDPDAEKVFEAIRKAGVKLAVVSNFDTRLRPLLRALNCDHWFDAVAVSAEVAAEKPNPTIFLKACELLEVKPEDVVHVGDDRRNDLWGARDAGCDAWLWGSDVHSFEEVAQRIGVPV >Potri.001G338000.3.v4.1 pep chromosome:Pop_tri_v4:1:34680882:34696505:1 gene:Potri.001G338000.v4.1 transcript:Potri.001G338000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338000.v4.1 MMEGLRSKIRVAIVVIVSAWIGILALYGLLKPISNGCIMTYMYPTYVPISTNGGVSSAKYGLYLYHEGWKKIDFNQHLKQLSGIPLLFIPGNGGSYKQVRSLAAECDRAYQGGPLEQAFYQEASLTPEEGGEDMDIAGFQLPNQYSRRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYEESRAAREKEGAAAYGSLPKSVILVGHSMGGFVARAALIHPRLRKAAVETVLTLSTPHQSPPVALQPSLGHYFSQVNEEWRKGYEVQTTQTGHYVSDPLLSRVVVVSISGGYNDYQVRTKLESLDGIVPPTNGFLISSTGMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSKTGQPFPEANKRLSVFARMLRSGIPQSFNWMSSHRSTYVPLKDLKNATGSQVHTFSSCPNNVHWNDDGLDRDLYIQTTTMTVLAMDGRRRWLDIHKLGSDGKGHFMFVTNLAPCFGIRLHLWPDKGKSASEMAASKRVLEVTAKLVQIPSGPAPRQIEPGSQTEQAPPSAVLWLSPEDMHGFRFLTVSVAPRPTISGRPPPAASMAVGQFFNPDDGKRDLSAQFMLLSSHSQKELLLKEDHPLALNLSFTVSLGLLPISLSLTTTGCGIQRSGLLAEEVGDMENSRLCKLRCFPPVALAWDHTSGLHILPNLFSETIMVDSSPALWSSTQGSEKTTIMLLVDPHCSYKARIAVSETAAASRFLLLYSSQIVGFSIAVIFFALMRQAHAWDLDLPMPSMLVAVESNLRIPWPFLLLGFVPILFSLFISLLKSQPLPPLASFVFVSTICYVFANGSVILLVLVSQLVFYGVAIIHVFIKSRWQECEGNICLAFLHWFINLSSGFFSLKVVRVLRVNPLLVTALTAITLGCFVQPALGLFILILSHALCCHNALCSFFTASFRSHARMKELLDFKDVGNERSQQFASKHDAGLDQNFQLEENSSSSPDSSRSFGDTQLEIFHHRHGLLILHLLAALMFVPSFVAWLQRIGMGHSFPWFLDSALCIGVILHGILNSKPEFNSMFSFPEIFGKEVRLDFIYLLAGYYSYVAGLGLVPYRVFYAMAAIGFISCVMRILYRRSREKGEPRFGRKKRSHRH >Potri.001G338000.1.v4.1 pep chromosome:Pop_tri_v4:1:34680827:34695408:1 gene:Potri.001G338000.v4.1 transcript:Potri.001G338000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338000.v4.1 MMEGLRSKIRVAIVVIVSAWIGILALYGLLKPISNGCIMTYMYPTYVPISTNGGVSSAKYGLYLYHEGWKKIDFNQHLKQLSGIPLLFIPGNGGSYKQVRSLAAECDRAYQGGPLEQAFYQEASLTPEEGGEDMDIAGFQLPNQYSRRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYEESRAAREKEGAAAYGSLPKSVILVGHSMGGFVARAALIHPRLRKAAVETVLTLSTPHQSPPVALQPSLGHYFSQVNEEWRKGYEVQTTQTGHYVSDPLLSRVVVVSISGGYNDYQVRTKLESLDGIVPPTNGFLISSTGMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSKTGQPFPEANKRLSVFARMLRSGIPQSFNWMSSHRSTYVPLKDLKNATGSQVHTFSSCPNNVHWNDDGLDRDLYIQTTTMTVLAMDGRRRWLDIHKLGSDGKGHFMFVTNLAPCFGIRLHLWPDKGKSASEMAASKRVLEVTAKLVQIPSGPAPRQIEPGSQTEQAPPSAVLWLSPEDMHGFRFLTVSVAPRPTISGRPPPAASMAVGQFFNPDDGKRDLSAQFMLLSSHSQKELLLKEDHPLALNLSFTVSLGLLPISLSLTTTGCGIQRSGLLAEEVGDMENSRLCKLRCFPPVALAWDHTSGLHILPNLFSETIMVDSSPALWSSTQGSEKTTIMLLVDPHCSYKARIAVSETAAASRFLLLYSSQIVGFSIAVIFFALMRQAHAWDLDLPMPSMLVAVESNLRIPWPFLLLGFVPILFSLFISLLKSQPLPPLASFVFVSTICYVFANGSVILLVLVSQLVFYGVAIIHVFIKSRWQECEGNICLAFLHWFINLSSGFFSLKVVRVLRVNPLLVTALTAITLGCFVQPALGLFILILSHALCCHNALCSHARMKELLDFKDVGNERSQQFASKHDAGLDQNFQLEENSSSSPDSSRSFGDTQLEIFHHRHGLLILHLLAALMFVPSFVAWLQRIGMGHSFPWFLDSALCIGVILHGILNSKPEFNSMFSFPEIFGKEVRLDFIYLLAGYYSYVAGLGLVPYRVFYAMAAIGFISCVMRILYRRSREKGEPRFGRKKRSHRH >Potri.001G338000.4.v4.1 pep chromosome:Pop_tri_v4:1:34680843:34695408:1 gene:Potri.001G338000.v4.1 transcript:Potri.001G338000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338000.v4.1 MMEGLRSKIRVAIVVIVSAWIGILALYGLLKPISNGCIMTYMYPTYVPISTNGGVSSAKYGLYLYHEGWKKIDFNQHLKQLSGIPLLFIPGNGGSYKQVRSLAAECDRAYQGGPLEQAFYQEASLTPEEGGEDMDIAGFQLPNQYSRRLDWFAVDLEGEHSAMDGRILEEHTEYVVYAIHRILDQYEESRAAREKEGAAAYGSLPKSVILVGHSMGGFVARAALIHPRLRKAAVETVLTLSTPHQSPPVALQPSLGHYFSQVNEEWRKGYEVQTTQTGHYVSDPLLSRVVVVSISGGYNDYQVRTKLESLDGIVPPTNGFLISSTGMKNVWLSMEHQAILWCNQLVVQVSHTLLSLIDSKTGQPFPEANKRLSVFARMLRSGIPQSFNWMSSHRSTYVPLKDLKNATGSQVHTFSSCPNNVHWNDDGLDRDLYIQTTTMTVLAMDGRRRWLDIHKLGSDGKGHFMFVTNLAPCFGIRLHLWPDKGKSASEMAASKRVLEVTAKLVQIPSGPAPRQIEPGSQTEQAPPSAVLWLSPEDMHGFRFLTVSVAPRPTISGRPPPAASMAVGQFFNPDDGKRDLSAQFMLLSSHSQKELLLKEDHPLALNLSFTVSLGLLPISLSLTTTGCGIQRSGLLAEEVGDMENSRLCKLRCFPPVALAWDHTSGLHILPNLFSETIMVDSSPALWSSTQGSEKTTIMLLVDPHCSYKARIAVSETAAASRFLLLYSSQIVGFSIAVIFFALMRQAHAWDLDLPMPSMLVAVESNLRIPWPFLLLGFVPILFSLFISLLKSQPLPPLASFVFVSTICYVFANGSVILLVLVSQLVFYGVAIIHVFIKSRWQECEGNICLAFLHWFINLSSGFFSLKQVVRVLRVNPLLVTALTAITLGCFVQPALGLFILILSHALCCHNALCSFFTASFRSHARMKELLDFKDVGNERSQQFASKHDAGLDQNFQLEENSSSSPDSSRSFGDTQLEIFHHRHGLLILHLLAALMFVPSFVAWLQRIGMGHSFPWFLDSALCIGVILHGILNSKPEFNSMFSFPEIFGKEVRLDFIYLLAGYYSYVAGLGLVPYRVFYAMAAIGFISCVMRILYRRSREKGEPRFGRKKRSHRH >Potri.001G360550.1.v4.1 pep chromosome:Pop_tri_v4:1:37720748:37721626:-1 gene:Potri.001G360550.v4.1 transcript:Potri.001G360550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360550.v4.1 MIVKFISIVITYFWVIPKFISFSSKKTPKQCRFERHCSPSSPTCVEVREKDILQSFFLLSLPPPPPLSIKTRHNPYPHIPCHYNEAKKRETCREAAAHNHTPATLPYT >Potri.003G125200.1.v4.1 pep chromosome:Pop_tri_v4:3:14503440:14504594:1 gene:Potri.003G125200.v4.1 transcript:Potri.003G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125200.v4.1 MAKVFPHSQRPVLDPFVSLEKETFTIWMKSLVCQTNGCTVFDSNGDIVYRIDNYDKKCSSKVYLMDLRGRVLVTIIRRKRLLQIVGCWYGYRWNPDANEEKPWFQVKTYGRLICMGSFACQVTVGFDKYWVVKLGSKQAFRIVNIDREVIAEVKQKQLSSGISLGDDVLTLVVEPHIDHSLIMAIVTVYGLINYKL >Potri.013G023200.1.v4.1 pep chromosome:Pop_tri_v4:13:1495794:1498007:1 gene:Potri.013G023200.v4.1 transcript:Potri.013G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023200.v4.1 MGVDLESLSEATSGAIGSLLSTTILYPLDTCKTKYQAEARDHGQQKYRNLTDVLWEAISTRQVLSLYQGLGTKNLQSFFSQFIYFYGYSYFKRLYLEKSGSRKIGTKVNLFIAAAAGACTAVITQPLDTASSRMQTSAFGKSKGLWETLTEGSYSGAFDGLGISLLLTSNPAIQYTVFDQLKLRLLKTNQNNTEKTAVTLSAFTAFVLGALSKSIATILTYPAIRCKVVIQAADSDDDEAKKAQRKSRKTLSAVIRAIWKKEGILGFFKGMHAQILKTVLSSAFLLMIKEKIAATSWVLILAIRRYLFLTRGKLKGA >Potri.004G104800.4.v4.1 pep chromosome:Pop_tri_v4:4:9204693:9209544:-1 gene:Potri.004G104800.v4.1 transcript:Potri.004G104800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104800.v4.1 MTSSPPATLSSNRNRSFGTETTIMSCDNDQTDAKPLLSQGSSVSSGSFNQMQRRRVSGKLGKPGRQQSFSRDIGHAAAETYLLTRLTITLLRYLGVGYRWITRLAALGIYAALLMPGFLQVAYYYFFSSQVRRSIVYGDQPRNRLDLYFPKNLDGPKPVVAFVTGGAWIIGYKAWGSLLGQQLAERDIIVACIDYRNFPQGTIGDMVTDASEGISFICNNIAEYGGDPNRIYLMGQSAGAHISACALLDQAIREAKGEESISWSVSQIKAYFGLSGGYNLCKLVDHFNNRGLYRSLFLSIMEGEESLKRFSPGVRIEDPSNRNAVSLLPPVILFHGTADYSIPSFASKDFAAALQRLGAQTELILFDGKTHSDLFLQDPLRGGKDDMFDHLVAVIHAGDEEALAKDAMAPPRRRLVPEVLLRMASYISPF >Potri.007G055001.1.v4.1 pep chromosome:Pop_tri_v4:7:5718815:5719199:-1 gene:Potri.007G055001.v4.1 transcript:Potri.007G055001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G055001.v4.1 MNRFLFYLWQLYCSGKTQACHCHCCCVPIISSNPHWAKKTFQIIWHFSLLDVGK >Potri.004G224000.3.v4.1 pep chromosome:Pop_tri_v4:4:22878993:22885120:1 gene:Potri.004G224000.v4.1 transcript:Potri.004G224000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224000.v4.1 MEEEDNYVEYVPVAKRRALTAQMILQRRGNISALEDELEKSKLAEAKPSLLVKASQLKRDQPEISQTEQIVQQEKEMIEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLPIRKMSRKECDAIRKQWHIIVDGEKIPPPIKHFKDMRFPEPILKMLKAKGIVQPTPIQVQGLPVILTGRDMIGIAFTGSGKTLVFVLPLIMIALQEEIMMPIMPGEGPVGLIVCPSRELARQTYEVVEEFLIPMRAAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAVHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTITSASGVKGCAYCGGLGHRIRDCPKLEHQRSQQLANSRRDYFGSGGYRGEI >Potri.004G224000.5.v4.1 pep chromosome:Pop_tri_v4:4:22878988:22885119:1 gene:Potri.004G224000.v4.1 transcript:Potri.004G224000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224000.v4.1 MEEEDNYVEYVPVAKRRALTAQMILQRRGNISALEDELEKSKLAEAKPSLLVKASQLKRDQPEISQTEQIVQQEKEMIEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLPIRKMSRKECDAIRKQWHIIVDGEKIPPPIKHFKDMRFPEPILKMLKAKGIVQPTPIQVQGLPVILTGRDMIGIAFTGSGKTLVFVLPLIMIALQEEIMMPIMPGEGPVGLIVCPSRELARQTYEVVEEFLIPMRAAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAVHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTITSASGVKGCAYCGGLGHRIRDCPKLEHQRSQQLANSRRDYFGSGGYRGEI >Potri.004G224000.4.v4.1 pep chromosome:Pop_tri_v4:4:22878993:22885139:1 gene:Potri.004G224000.v4.1 transcript:Potri.004G224000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224000.v4.1 MEEEDNYVEYVPVAKRRALTAQMILQRRGNISALEDELEKSKLAEAKPSLLVKASQLKRDQPEISQTEQIVQQEKEMIEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLPIRKMSRKECDAIRKQWHIIVDGEKIPPPIKHFKDMRFPEPILKMLKAKGIVQPTPIQVQGLPVILTGRDMIGIAFTGSGKTLVFVLPLIMIALQEEIMMPIMPGEGPVGLIVCPSRELARQTYEVVEEFLIPMRAAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAVHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTITSASGVKGCAYCGGLGHRIRDCPKLEHQRSQQLANSRRDYFGSGGYRGEI >Potri.004G224000.8.v4.1 pep chromosome:Pop_tri_v4:4:22878990:22885112:1 gene:Potri.004G224000.v4.1 transcript:Potri.004G224000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224000.v4.1 MEEEDNYVEYVPVAKRRALTAQMILQRRGNISALEDELEKSKLAEAKPSLLVKASQLKRDQPEISQTEQIVQQEKEMIEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLPIRKMSRKECDAIRKQWHIIVDGEKIPPPIKHFKDMRFPEPILKMLKAKGIVQPTPIQVQGLPVILTGRDMIGIAFTGSGKTLVFVLPLIMIALQEEIMMPIMPGEGPVGLIVCPSRELARQTYEVVEEFLIPMRAAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAVHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTITSASGVKGCAYCGGLGHRIRDCPKLEHQRSQQLANSRRDYFGSGGYRGEI >Potri.004G224000.6.v4.1 pep chromosome:Pop_tri_v4:4:22878989:22885116:1 gene:Potri.004G224000.v4.1 transcript:Potri.004G224000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224000.v4.1 MEEEDNYVEYVPVAKRRALTAQMILQRRGNISALEDELEKSKLAEAKPSLLVKASQLKRDQPEISQTEQIVQQEKEMIEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLPIRKMSRKECDAIRKQWHIIVDGEKIPPPIKHFKDMRFPEPILKMLKAKGIVQPTPIQVQGLPVILTGRDMIGIAFTGSGKTLVFVLPLIMIALQEEIMMPIMPGEGPVGLIVCPSRELARQTYEVVEEFLIPMRAAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAVHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTITSASGVKGCAYCGGLGHRIRDCPKLEHQRSQQLANSRRDYFGSGGYRGEI >Potri.004G224000.7.v4.1 pep chromosome:Pop_tri_v4:4:22878989:22885112:1 gene:Potri.004G224000.v4.1 transcript:Potri.004G224000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224000.v4.1 MEEEDNYVEYVPVAKRRALTAQMILQRRGNISALEDELEKSKLAEAKPSLLVKASQLKRDQPEISQTEQIVQQEKEMIEHLSDKKTLMSVRELAKGITYTEPLLTGWKPPLPIRKMSRKECDAIRKQWHIIVDGEKIPPPIKHFKDMRFPEPILKMLKAKGIVQPTPIQVQGLPVILTGRDMIGIAFTGSGKTLVFVLPLIMIALQEEIMMPIMPGEGPVGLIVCPSRELARQTYEVVEEFLIPMRAAGYPELRPLLCIGGVDMRSQLEIVKKGVHIVVATPGRLKDMLAKKKMSLDNCRYLTLDEADRLVDLGFEDDIREVFDHFKAQRQTLLFSATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVEYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHEYLLLKGVEAVAVHGGKDQEEREYAISSFKAGKKDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTITSASGVKGCAYCGGLGHRIRDCPKLEHQRSQQLANSRRDYFGSGGYRGEI >Potri.010G234900.1.v4.1 pep chromosome:Pop_tri_v4:10:21577940:21579020:-1 gene:Potri.010G234900.v4.1 transcript:Potri.010G234900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234900.v4.1 MLGLDFSLHPQIPSFSRTPLLHPQPTLPPASFPLKISKKTHPRLVFARTNNNNDPAQEPKEPEQEKKNGSSNNSGGGYDLKQDQPPPLFDIKWGDLLLNPDPDNILAVGLTGLLSWASVQVLWQLFVIALAILVAAVKYSFIAALLIFILITLL >Potri.002G218725.1.v4.1 pep chromosome:Pop_tri_v4:2:20906976:20908313:1 gene:Potri.002G218725.v4.1 transcript:Potri.002G218725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218725.v4.1 MSVEILDGATIVNFLEDEEAFNAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGVDVETDPDELALVYGSLFVQFDHDLNGTVELEEFKPETKQMMLAMATGMGFLPVQMVLEEDSFLKKAVEWESAKLVA >Potri.003G164300.7.v4.1 pep chromosome:Pop_tri_v4:3:17349448:17355282:-1 gene:Potri.003G164300.v4.1 transcript:Potri.003G164300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164300.v4.1 MGKNETASAAANGSGKMEDVEEGEISDTASVEEISEDDFNKQEVVVVKETPSSTTNNNSSSKQKVWTVRDLYKYQVGGGYMSGLYNLAWAQAVQNKPLNELFVEVEVDDSSQKSSVSSVNSSKEDKRTVVIDDSGDEMDVVKVIDIEKEEGELEEGEIDLDSEGKSEGGMVSVDTEKRVKSIREDLESVSVIKDDKSFEAVCLKLHNALESLKELVRVNENGFPSKDSLVRLLFTAIGAVNSFFSSMNQKLKEQNKGVFMRFLSLVNSHDPSFFSPEHTKEIELMVSSLDSHDILSSSRAGEERETQVSGKVNERDNDSLSKTAGYDLTTMNRLPSAAESFVHNKPNFSIEPPKPGVPSFKSRGVLLPLLDLKKFHDEDSLPSPTRETAPSFPVQRLLPIGDGMISSGLPVPKVASITEEPRVHPYETDALKAVSSYQKKFNLNSFFTNELPSPTPSEESGNGDGDTAGEVSSSSTVNYRTVNPPVSDRKSASPSPSPPPPPPPPPPPPPHLNNSSIRVVIPTRNSAPVSSGTSSTVKASAKSRDPRLRYVNTDASALDQNQRTLLMVNNPPRAEPSGAIAGSRKQKIEEDVLDGTSLKRQRNSFDNFGVVRDIRSMTGTGGWLEDTDMAEPQTVNKNQWAENAEPGQRINNGVVCPSTGSVMSSVSCSGNVQVPVMGINTIAGSEQAPVTSTTTASLPDLLKDITVNPTMLINILKMGQQQRLALDGQQKLADPAKSTSHPPSSNTVLGAIPEVNAVSSLPSGILPRSAGKAQGPSQIATTDESGKIRMKPRDPRRVLHNNALQRAGSLGSEQFKTTTLTSTTQGTKDNQNLQKQEGLAELKPVVPPDISSPFTKSLKNIADIVSVSQTCTTPPFVSQNVASQPVQIKSDRVDGKTGISNSDQKMGPASSPEVVAASSLSQNTWEDVEHLFEGYDDQQKAAIQRERARRIEEQKKLFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPYRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVVSRGDDGDLLDGDERVPKSKDLEGVLGMESGVVIIDDSLRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSLAVIERIHQNFFTHHSLDEADVRNILASEQRKILAGCRIVFSRVFPVGEVNPHLHPLWQSAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGW >Potri.003G164300.1.v4.1 pep chromosome:Pop_tri_v4:3:17348136:17355282:-1 gene:Potri.003G164300.v4.1 transcript:Potri.003G164300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164300.v4.1 MGKNETASAAANGSGKMEDVEEGEISDTASVEEISEDDFNKQEVVVVKETPSSTTNNNSSSKQKVWTVRDLYKYQVGGGYMSGLYNLAWAQAVQNKPLNELFVEVEVDDSSQKSSVSSVNSSKEDKRTVVIDDSGDEMDVVKVIDIEKEEGELEEGEIDLDSEGKSEGGMVSVDTEKRVKSIREDLESVSVIKDDKSFEAVCLKLHNALESLKELVRVNENGFPSKDSLVRLLFTAIGAVNSFFSSMNQKLKEQNKGVFMRFLSLVNSHDPSFFSPEHTKEIELMVSSLDSHDILSSSRAGEERETQVSGKVNERDNDSLSKTAGYDLTTMNRLPSAAESFVHNKPNFSIEPPKPGVPSFKSRGVLLPLLDLKKFHDEDSLPSPTRETAPSFPVQRLLPIGDGMISSGLPVPKVASITEEPRVHPYETDALKAVSSYQKKFNLNSFFTNELPSPTPSEESGNGDGDTAGEVSSSSTVNYRTVNPPVSDRKSASPSPSPPPPPPPPPPPPPHLNNSSIRVVIPTRNSAPVSSGTSSTVKASAKSRDPRLRYVNTDASALDQNQRTLLMVNNPPRAEPSGAIAGSRKQKIEEDVLDGTSLKRQRNSFDNFGVVRDIRSMTGTGGWLEDTDMAEPQTVNKNQWAENAEPGQRINNGVVCPSTGSVMSSVSCSGNVQVPVMGINTIAGSEQAPVTSTTTASLPDLLKDITVNPTMLINILKMGQQQRLALDGQQKLADPAKSTSHPPSSNTVLGAIPEVNAVSSLPSGILPRSAGKAQGPSQIATTDESGKIRMKPRDPRRVLHNNALQRAGSLGSEQFKTTTLTSTTQGTKDNQNLQKQEGLAELKPVVPPDISSPFTKSLKNIADIVSVSQTCTTPPFVSQNVASQPVQIKSDRVDGKTGISNSDQKMGPASSPEVVAASSLSQNTWEDVEHLFEGYDDQQKAAIQRERARRIEEQKKLFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPYRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVVSRGDDGDLLDGDERVPKSKDLEGVLGMESGVVIIDDSLRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSLAVRQILYLT >Potri.003G164300.6.v4.1 pep chromosome:Pop_tri_v4:3:17347868:17355349:-1 gene:Potri.003G164300.v4.1 transcript:Potri.003G164300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164300.v4.1 MGKNETASAAANGSGKMEDVEEGEISDTASVEEISEDDFNKQEVVVVKETPSSTTNNNSSSKQKVWTVRDLYKYQVGGGYMSGLYNLAWAQAVQNKPLNELFVEVEVDDSSQKSSVSSVNSSKEDKRTVVIDDSGDEMDVVKVIDIEKEEGELEEGEIDLDSEGKSEGGMVSVDTEKRVKSIREDLESVSVIKDDKSFEAVCLKLHNALESLKELVRVNENGFPSKDSLVRLLFTAIGAVNSFFSSMNQKLKEQNKGVFMRFLSLVNSHDPSFFSPEHTKEIELMVSSLDSHDILSSSRAGEERETQVSGKVNERDNDSLSKTAGYDLTTMNRLPSAAESFVHNKPNFSIEPPKPGVPSFKSRGVLLPLLDLKKFHDEDSLPSPTRETAPSFPVQRLLPIGDGMISSGLPVPKVASITEEPRVHPYETDALKAVSSYQKKFNLNSFFTNELPSPTPSEESGNGDGDTAGEVSSSSTVNYRTVNPPVSDRKSASPSPSPPPPPPPPPPPPPHLNNSSIRVVIPTRNSAPVSSGTSSTVKASAKSRDPRLRYVNTDASALDQNQRTLLMVNNPPRAEPSGAIAGSRKQKIEEDVLDGTSLKRQRNSFDNFGVVRDIRSMTGTGGWLEDTDMAEPQTVNKNQWAENAEPGQRINNGVVCPSTGSVMSSVSCSGNVQVPVMGINTIAGSEQAPVTSTTTASLPDLLKDITVNPTMLINILKMGQQQRLALDGQQKLADPAKSTSHPPSSNTVLGAIPEVNAVSSLPSGILPRSAGKAQGPSQIATTDESGKIRMKPRDPRRVLHNNALQRAGSLGSEQFKTTTLTSTTQGTKDNQNLQKQEGLAELKPVVPPDISSPFTKSLKNIADIVSVSQTCTTPPFVSQNVASQPVQIKSDRVDGKTGISNSDQKMGPASSPEVVAASSLSQNTWEDVEHLFEGYDDQQKAAIQRERARRIEEQKKLFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPYRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVVSRGDDGDLLDGDERVPKSKDLEGVLGMESGVVIIDDSLRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSLAVIERIHQNFFTHHSLDEADVRNILASEQRKILAGCRIVFSRVFPVGEVNPHLHPLWQSAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANEQDFAIKP >Potri.003G164300.9.v4.1 pep chromosome:Pop_tri_v4:3:17348122:17355282:-1 gene:Potri.003G164300.v4.1 transcript:Potri.003G164300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164300.v4.1 MGKNETASAAANGSGKMEDVEEGEISDTASVEEISEDDFNKQEVVVVKETPSSTTNNNSSSKQKVWTVRDLYKYQVGGGYMSGLYNLAWAQAVQNKPLNELFVEVEVDDSSQKSSVSSVNSSKEDKRTVVIDDSGDEMDVVKVIDIEKEEGELEEGEIDLDSEGKSEGGMVSVDTEKRVKSIREDLESVSVIKDDKSFEAVCLKLHNALESLKELVRVNENGFPSKDSLVRLLFTAIGAVNSFFSSMNQKLKEQNKGVFMRFLSLVNSHDPSFFSPEHTKEIELMVSSLDSHDILSSSRAGEERETQVSGKVNERDNDSLSKTAGVPSFKSRGVLLPLLDLKKFHDEDSLPSPTRETAPSFPVQRLLPIGDGMISSGLPVPKVASITEEPRVHPYETDALKAVSSYQKKFNLNSFFTNELPSPTPSEESGNGDGDTAGEVSSSSTVNYRTVNPPVSDRKSASPSPSPPPPPPPPPPPPPHLNNSSIRVVIPTRNSAPVSSGTSSTVKASAKSRDPRLRYVNTDASALDQNQRTLLMVNNPPRAEPSGAIAGSRKQKIEEDVLDGTSLKRQRNSFDNFGVVRDIRSMTGTGGWLEDTDMAEPQTVNKNQWAENAEPGQRINNGVVCPSTGSVMSSVSCSGNVQVPVMGINTIAGSEQAPVTSTTTASLPDLLKDITVNPTMLINILKMGQQQRLALDGQQKLADPAKSTSHPPSSNTVLGAIPEVNAVSSLPSGILPRSAGKAQGPSQIATTDESGKIRMKPRDPRRVLHNNALQRAGSLGSEQFKTTTLTSTTQGTKDNQNLQKQEGLAELKPVVPPDISSPFTKSLKNIADIVSVSQTCTTPPFVSQNVASQPVQIKSDRVDGKTGISNSDQKMGPASSPEVVAASSLSQNTWEDVEHLFEGYDDQQKAAIQRERARRIEEQKKLFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPYRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVVSRGDDGDLLDGDERVPKSKDLEGVLGMESGVVIIDDSLRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSLAVIERIHQNFFTHHSLDEADVRNILASEQRKILAGCRIVFSRVFPVGEVNPHLHPLWQSAEQFGAVCTNQIDEQVTHVVANSLGTDKVNWALSTGRFVVHPGWVEASALLYRRANEQDFAIKP >Potri.003G164300.8.v4.1 pep chromosome:Pop_tri_v4:3:17349797:17355282:-1 gene:Potri.003G164300.v4.1 transcript:Potri.003G164300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164300.v4.1 MGKNETASAAANGSGKMEDVEEGEISDTASVEEISEDDFNKQEVVVVKETPSSTTNNNSSSKQKVWTVRDLYKYQVGGGYMSGLYNLAWAQAVQNKPLNELFVEVEVDDSSQKSSVSSVNSSKEDKRTVVIDDSGDEMDVVKVIDIEKEEGELEEGEIDLDSEGKSEGGMVSVDTEKRVKSIREDLESVSVIKDDKSFEAVCLKLHNALESLKELVRVNENGFPSKDSLVRLLFTAIGAVNSFFSSMNQKLKEQNKGVFMRFLSLVNSHDPSFFSPEHTKEIELMVSSLDSHDILSSSRAGEERETQVSGKVNERDNDSLSKTAGYDLTTMNRLPSAAESFVHNKPNFSIEPPKPGVPSFKSRGVLLPLLDLKKFHDEDSLPSPTRETAPSFPVQRLLPIGDGMISSGLPVPKVASITEEPRVHPYETDALKAVSSYQKKFNLNSFFTNELPSPTPSEESGNGDGDTAGEVSSSSTVNYRTVNPPVSDRKSASPSPSPPPPPPPPPPPPPHLNNSSIRVVIPTRNSAPVSSGTSSTVKASAKSRDPRLRYVNTDASALDQNQRTLLMVNNPPRAEPSGAIAGSRKQKIEEDVLDGTSLKRQRNSFDNFGVVRDIRSMTGTGGWLEDTDMAEPQTVNKNQWAENAEPGQRINNGVVCPSTGSVMSSVSCSGNVQVPVMGINTIAGSEQAPVTSTTTASLPDLLKDITVNPTMLINILKMGQQQRLALDGQQKLADPAKSTSHPPSSNTVLGAIPEVNAVSSLPSGILPRSAGKAQGPSQIATTDESGKIRMKPRDPRRVLHNNALQRAGSLGSEQFKTTTLTSTTQGTKDNQNLQKQEGLAELKPVVPPDISSPFTKSLKNIADIVSVSQTCTTPPFVSQNVASQPVQIKSDRVDGKTGISNSDQKMGPASSPEVVAASSLSQNTWEDVEHLFEGYDDQQKAAIQRERARRIEEQKKLFAARKLCLVLDLDHTLLNSAKFVEVDPVHDEILRKKEEQDREKPYRHLFRFPHMGMWTKLRPGIWNFLEKASKLYELHLYTMGNKLYATEMAKVLDPKGVLFAGRVVSRGDDGDLLDGDERVPKSKDLEGVLGMESGVVIIDDSLRVWPHNKLNLIVVERYIYFPCSRRQFGLPGPSLLEIDHDERPEDGTLACSLAVIERIHQNFFTHHSLDEADVRNILASEQRKILAGCRIVFSRVFPVGEVNPHLHPLWQSAEQFGAVCTNQIDEQVTHVVANSLGTDKVTQLILLRFSARIEI >Potri.012G059400.1.v4.1 pep chromosome:Pop_tri_v4:12:5881881:5882967:1 gene:Potri.012G059400.v4.1 transcript:Potri.012G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059400.v4.1 MADRAPTQRATRPTTTPTTHNGSTFLRKLQVHIGSNSSQLVGLLTLIISGSILLLLTVITVTGSVLGLTLLTPLIIISSPIWIPIGIVLFFVVAGFLSFCGFGLAVVAGLSWVYKYFRGLNPPGSDQVDYARNRIYDTASHVKDYAREYGGYLQSKVKDAAPGA >Potri.008G143450.1.v4.1 pep chromosome:Pop_tri_v4:8:9752668:9765522:1 gene:Potri.008G143450.v4.1 transcript:Potri.008G143450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143450.v4.1 MDNACLFLSASVLHCIVKINEKLMMERSESSSKMMKKKEITNDHTFVDTIFSWSLEDIFNENLFKVENIPESYYSVEHYLGSYVIPLLEETRAQLSSSMDIISRAPFAEMVAFFEAKPHGTLLYDVNIDYWRNRSRGSGKEHYKTLPGDIVILTSAKPENVSDLQRVGWTWTFAVVTRITGDETEDAATYTSFTVKAQKDIEISDGLQKSLTVISLTNITTSKRIWNALHMFGNLNIIKEILCTDSVVEENCNQYSMRERAIYDENVVNLSSKLNESQSKAVLACLLKKQSNHKSAVELIWGPPGTGKTKTVSMLLFSLLKMKCRTLTCGPTNVSITEVASRVFKLVTESHEADSGTDSLFHSVGDILLFGNKDRLKVDSETQEVYLDYRVKRLIECFAPLTGWRNCFNSTIDFFEDCVSQYAIFVENELIKMQEHDDENEEKRESCSYQAVALKGEPKTFLEFMRDRFRSTALPLKRCLTLLCTHIPETCILKHNIQNIVSLFGLLNSFESWLFHAAVISDEMHEVFSHPELDEDSFQGFNDILLRLRLKRSECLTMLKRVRDSLRHLDLPSAMNKRSIEEFCFQKATLFLCTASSSYKLHLLPIEPLDFLVVDEAAQLKECESTIPLQLPGIRHAILIGDECQLPAMVKSNVCDKAGFGRSLFERLSSLGHSKHLLDMQYRMHPSISCFPNSKFYFNQILDAPNVKARSYEKHYLPGPMFGPYTFINVFGGREELDDVGHSRKNMVEVALVLKLLRSLCKAWSGQKVRVGVISPYTAQVGAIQEKLGKKYENIDGFSVKVSSIDGFQGGEEDIVIISTVRSNTGGAIGFMSDPRRINVALTRARHCLWILGNERTLSNSESIWEKLVHDAKERNCFFHADEDKDLAKAILEVKKEFDQLDDLIKGDSALFRSARWKVLFSEYFKKSFGKLASVRKKTPVLNLLLKLSSGWRPKKRSVDFICGSSSQILKQFKVEGLYVICSIDIVKEICYTQVLKVWDLLPLEDIPILAKRLEGIFETYTDDFISHCNEKCLEGDLEVPKTWRTSFDIPRYKSCSNNEIRSNSNSGGPDGPYYVENSKVSDSLLLMKFYSLSSGVVSHLLSDRDGRELELPFEVTDDELEIIIFQRSTFILGRSGTGKTTVLTMKLFKKEELYYTATQGYLNTSKDSSRRNNVADDIKSVGDGVGDAKETVLRQLFVTVSPKLCYAIKHHVIQLKSFASGGKYSAEGSSVDMEGIDDAAQFKEIQNSFLDIPPKSYPLVITFFKFLMMLDGTVGNSYFERFSDMRQLLHEKVGNSGSISAQTLIRTKEVNFEKFCAVYWPRFNEKFKKKLDSSRVFTEIISHIKGGLRAGESCDGRLSREDYVFLSEGRISTLNRQKRDLIYDIFEDYEKMKAENGDFDMADFVNDLHLRLKTYKYEGDAMDFVYIDEVQDLTMRQIALFKHICRNVDEGFVFCGDTAQTIARGIDFRFEDIRSLFYKEFVLVSRSAGNDRNEKGQISKIFHLNQNFRTHAGVLNLAQSVIDLLYRFFPSFIDVLSHETSLIYGEAPILLESGNDENAIVTIFGNSGNVRSNFVGFGAEQVILVRDDAAKKEIDNYVGKHALVLTVVECKGLEFQDVLLYNFFGSSPLKNKWRVVYEFMKEQDLLDGNSPSFPSFIPAKHNVLCSELKQLYVAITRTRQRLWICENVEEFSRPMFDYWTKKGLVQVRKLDDSLAQAMQVSSSPEEWKSQGYKLLREGNYEMATMCFERAGDEHGEKLSKAAGHKAAADRMHSSNPEMASVARRQAAEIFESIGKAEYAAECFYMLKEYDRAGRIYLQCGESAMERAGECFFLAGSYCSAAEVYAKGWNFSKCLSACTKGKLFDTGLHYILYWKQHGTADQRSREMDTIEQEFLESCACHYYELNDNRAMMRYVRAFDSMSSARTFLINLGCLDELLSLEVESGNFLEAAGIAKLKGELVLEADLLGKGGHFKEASLLILWFVFANSLWSTGSKGWPLKQFLQKEELLTKAKLLAKGVSNQFYEFVHTEAEILLNSQHNLFKIHQSLDSSQRHSSIRGEILSARKMLDMHLHLNTSKYLWENDLVSDLARLSERNFLNNQVSAETLVYFWNFWKDKIVNIFKFLGRLEMQDVTEYGDFGEFCLNYLGVKRQFNNLNAIYFLMISDAQWVREIPRKFIQRKGNLVSVDVHQFVTAAQGYWCSELLSVGMNVLTNLEALYNLSVRNSLSLFCQSRSLTHIYEVANFLLNCQFLSIQHGDIKALRKFTRLATGCFYDCIYPRDWRESLKENMISLRRTEICRNLLKEVIFEDVSSKNNLSYAQLGRITSMILGSGEILCEPYEKMADGLQWNSSWKAFIEDLCRNESEVSYMQKLHEALEDTYYANWRKGDYILPGCFLYMLERQLILLSYFQGYCFTTKSSFVEWLIYQEGHGSPAFEGLRGHAPQSTESILEFIVETVQRFLDNEKEMMEWIRASEKNVKVLNDYHAVVVLRLVVIICLIYVNFGLCKGLLSDLLGRTYITKKLPGQFYDAIRKRQKHNSLNVNPTVVAEAFSKIGNPLVVVSFGKNCSRFLCPDAIFVDMKVNESKDNVLRVLFAKTDATAQDHTGAVEANTRSSFKGIVSQGIEDLGKIPELPSNVGDTANWNSSCGKKDEGNPPLRHERLWEIFEALKSPNHGVDERSNIACDPTFKVDIDRITCLLKAAIDGNFQNPPSVDNKNLLEEASTMLHELGQLNAALEMREPEHESDISTIGELLEKLQSRRPRMEFFLSQIFLQHDENLKREMSERNIASDGQRDEEHSNSKAEGSCVSAKGEINISRSNVETEGRNPDTENKGKGNSKSKKNKKGKGGRKRK >Potri.008G143450.2.v4.1 pep chromosome:Pop_tri_v4:8:9752941:9765522:1 gene:Potri.008G143450.v4.1 transcript:Potri.008G143450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143450.v4.1 MMERSESSSKMMKKKEITNDHTFVDTIFSWSLEDIFNENLFKVENIPESYYSVEHYLGSYVIPLLEETRAQLSSSMDIISRAPFAEMVAFFEAKPHGTLLYDVNIDYWRNRSRGSGKEHYKTLPGDIVILTSAKPENVSDLQRVGWTWTFAVVTRITGDETEDAATYTSFTVKAQKDIEISDGLQKSLTVISLTNITTSKRIWNALHMFGNLNIIKEILCTDSVVEENCNQYSMRERAIYDENVVNLSSKLNESQSKAVLACLLKKQSNHKSAVELIWGPPGTGKTKTVSMLLFSLLKMKCRTLTCGPTNVSITEVASRVFKLVTESHEADSGTDSLFHSVGDILLFGNKDRLKVDSETQEVYLDYRVKRLIECFAPLTGWRNCFNSTIDFFEDCVSQYAIFVENELIKMQEHDDENEEKRESCSYQAVALKGEPKTFLEFMRDRFRSTALPLKRCLTLLCTHIPETCILKHNIQNIVSLFGLLNSFESWLFHAAVISDEMHEVFSHPELDEDSFQGFNDILLRLRLKRSECLTMLKRVRDSLRHLDLPSAMNKRSIEEFCFQKATLFLCTASSSYKLHLLPIEPLDFLVVDEAAQLKECESTIPLQLPGIRHAILIGDECQLPAMVKSNVCDKAGFGRSLFERLSSLGHSKHLLDMQYRMHPSISCFPNSKFYFNQILDAPNVKARSYEKHYLPGPMFGPYTFINVFGGREELDDVGHSRKNMVEVALVLKLLRSLCKAWSGQKVRVGVISPYTAQVGAIQEKLGKKYENIDGFSVKVSSIDGFQGGEEDIVIISTVRSNTGGAIGFMSDPRRINVALTRARHCLWILGNERTLSNSESIWEKLVHDAKERNCFFHADEDKDLAKAILEVKKEFDQLDDLIKGDSALFRSARWKVLFSEYFKKSFGKLASVRKKTPVLNLLLKLSSGWRPKKRSVDFICGSSSQILKQFKVEGLYVICSIDIVKEICYTQVLKVWDLLPLEDIPILAKRLEGIFETYTDDFISHCNEKCLEGDLEVPKTWRTSFDIPRYKSCSNNEIRSNSNSGGPDGPYYVENSKVSDSLLLMKFYSLSSGVVSHLLSDRDGRELELPFEVTDDELEIIIFQRSTFILGRSGTGKTTVLTMKLFKKEELYYTATQGYLNTSKDSSRRNNVADDIKSVGDGVGDAKETVLRQLFVTVSPKLCYAIKHHVIQLKSFASGGKYSAEGSSVDMEGIDDAAQFKEIQNSFLDIPPKSYPLVITFFKFLMMLDGTVGNSYFERFSDMRQLLHEKVGNSGSISAQTLIRTKEVNFEKFCAVYWPRFNEKFKKKLDSSRVFTEIISHIKGGLRAGESCDGRLSREDYVFLSEGRISTLNRQKRDLIYDIFEDYEKMKAENGDFDMADFVNDLHLRLKTYKYEGDAMDFVYIDEVQDLTMRQIALFKHICRNVDEGFVFCGDTAQTIARGIDFRFEDIRSLFYKEFVLVSRSAGNDRNEKGQISKIFHLNQNFRTHAGVLNLAQSVIDLLYRFFPSFIDVLSHETSLIYGEAPILLESGNDENAIVTIFGNSGNVRSNFVGFGAEQVILVRDDAAKKEIDNYVGKHALVLTVVECKGLEFQDVLLYNFFGSSPLKNKWRVVYEFMKEQDLLDGNSPSFPSFIPAKHNVLCSELKQLYVAITRTRQRLWICENVEEFSRPMFDYWTKKGLVQVRKLDDSLAQAMQVSSSPEEWKSQGYKLLREGNYEMATMCFERAGDEHGEKLSKAAGHKAAADRMHSSNPEMASVARRQAAEIFESIGKAEYAAECFYMLKEYDRAGRIYLQCGESAMERAGECFFLAGSYCSAAEVYAKGWNFSKCLSACTKGKLFDTGLHYILYWKQHGTADQRSREMDTIEQEFLESCACHYYELNDNRAMMRYVRAFDSMSSARTFLINLGCLDELLSLEVESGNFLEAAGIAKLKGELVLEADLLGKGGHFKEASLLILWFVFANSLWSTGSKGWPLKQFLQKEELLTKAKLLAKGVSNQFYEFVHTEAEILLNSQHNLFKIHQSLDSSQRHSSIRGEILSARKMLDMHLHLNTSKYLWENDLVSDLARLSERNFLNNQVSAETLVYFWNFWKDKIVNIFKFLGRLEMQDVTEYGDFGEFCLNYLGVKRQFNNLNAIYFLMISDAQWVREIPRKFIQRKGNLVSVDVHQFVTAAQGYWCSELLSVGMNVLTNLEALYNLSVRNSLSLFCQSRSLTHIYEVANFLLNCQFLSIQHGDIKALRKFTRLATGCFYDCIYPRDWRESLKENMISLRRTEICRNLLKEVIFEDVSSKNNLSYAQLGRITSMILGSGEILCEPYEKMADGLQWNSSWKAFIEDLCRNESEVSYMQKLHEALEDTYYANWRKGDYILPGCFLYMLERQLILLSYFQGYCFTTKSSFVEWLIYQEGHGSPAFEGLRGHAPQSTESILEFIVETVQRFLDNEKEMMEWIRASEKNVKVLNDYHAVVVLRLVVIICLIYVNFGLCKGLLSDLLGRTYITKKLPGQFYDAIRKRQKHNSLNVNPTVVAEAFSKIGNPLVVVSFGKNCSRFLCPDAIFVDMKVNESKDNVLRVLFAKTDATAQDHTGAVEANTRSSFKGIVSQGIEDLGKIPELPSNVGDTANWNSSCGKKDEGNPPLRHERLWEIFEALKSPNHGVDERSNIACDPTFKVDIDRITCLLKAAIDGNFQNPPSVDNKNLLEEASTMLHELGQLNAALEMREPEHESDISTIGELLEKLQSRRPRMEFFLSQIFLQHDENLKREMSERNIASDGQRDEEHSNSKAEGSCVSAKGEINISRSNVETEGRNPDTENKGKGNSKSKKNKKGKGGRKRK >Potri.008G143450.3.v4.1 pep chromosome:Pop_tri_v4:8:9756207:9765522:1 gene:Potri.008G143450.v4.1 transcript:Potri.008G143450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143450.v4.1 MQYRMHPSISCFPNSKFYFNQILDAPNVKARSYEKHYLPGPMFGPYTFINVFGGREELDDVGHSRKNMVEVALVLKLLRSLCKAWSGQKVRVGVISPYTAQVGAIQEKLGKKYENIDGFSVKVSSIDGFQGGEEDIVIISTVRSNTGGAIGFMSDPRRINVALTRARHCLWILGNERTLSNSESIWEKLVHDAKERNCFFHADEDKDLAKAILEVKKEFDQLDDLIKGDSALFRSARWKVLFSEYFKKSFGKLASVRKKTPVLNLLLKLSSGWRPKKRSVDFICGSSSQILKQFKVEGLYVICSIDIVKEICYTQVLKVWDLLPLEDIPILAKRLEGIFETYTDDFISHCNEKCLEGDLEVPKTWRTSFDIPRYKSCSNNEIRSNSNSGGPDGPYYVENSKVSDSLLLMKFYSLSSGVVSHLLSDRDGRELELPFEVTDDELEIIIFQRSTFILGRSGTGKTTVLTMKLFKKEELYYTATQGYLNTSKDSSRRNNVADDIKSVGDGVGDAKETVLRQLFVTVSPKLCYAIKHHVIQLKSFASGGKYSAEGSSVDMEGIDDAAQFKEIQNSFLDIPPKSYPLVITFFKFLMMLDGTVGNSYFERFSDMRQLLHEKVGNSGSISAQTLIRTKEVNFEKFCAVYWPRFNEKFKKKLDSSRVFTEIISHIKGGLRAGESCDGRLSREDYVFLSEGRISTLNRQKRDLIYDIFEDYEKMKAENGDFDMADFVNDLHLRLKTYKYEGDAMDFVYIDEVQDLTMRQIALFKHICRNVDEGFVFCGDTAQTIARGIDFRFEDIRSLFYKEFVLVSRSAGNDRNEKGQISKIFHLNQNFRTHAGVLNLAQSVIDLLYRFFPSFIDVLSHETSLIYGEAPILLESGNDENAIVTIFGNSGNVRSNFVGFGAEQVILVRDDAAKKEIDNYVGKHALVLTVVECKGLEFQDVLLYNFFGSSPLKNKWRVVYEFMKEQDLLDGNSPSFPSFIPAKHNVLCSELKQLYVAITRTRQRLWICENVEEFSRPMFDYWTKKGLVQVRKLDDSLAQAMQVSSSPEEWKSQGYKLLREGNYEMATMCFERAGDEHGEKLSKAAGHKAAADRMHSSNPEMASVARRQAAEIFESIGKAEYAAECFYMLKEYDRAGRIYLQCGESAMERAGECFFLAGSYCSAAEVYAKGWNFSKCLSACTKGKLFDTGLHYILYWKQHGTADQRSREMDTIEQEFLESCACHYYELNDNRAMMRYVRAFDSMSSARTFLINLGCLDELLSLEVESGNFLEAAGIAKLKGELVLEADLLGKGGHFKEASLLILWFVFANSLWSTGSKGWPLKQFLQKEELLTKAKLLAKGVSNQFYEFVHTEAEILLNSQHNLFKIHQSLDSSQRHSSIRGEILSARKMLDMHLHLNTSKYLWENDLVSDLARLSERNFLNNQVSAETLVYFWNFWKDKIVNIFKFLGRLEMQDVTEYGDFGEFCLNYLGVKRQFNNLNAIYFLMISDAQWVREIPRKFIQRKGNLVSVDVHQFVTAAQGYWCSELLSVGMNVLTNLEALYNLSVRNSLSLFCQSRSLTHIYEVANFLLNCQFLSIQHGDIKALRKFTRLATGCFYDCIYPRDWRESLKENMISLRRTEICRNLLKEVIFEDVSSKNNLSYAQLGRITSMILGSGEILCEPYEKMADGLQWNSSWKAFIEDLCRNESEVSYMQKLHEALEDTYYANWRKGDYILPGCFLYMLERQLILLSYFQGYCFTTKSSFVEWLIYQEGHGSPAFEGLRGHAPQSTESILEFIVETVQRFLDNEKEMMEWIRASEKNVKVLNDYHAVVVLRLVVIICLIYVNFGLCKGLLSDLLGRTYITKKLPGQFYDAIRKRQKHNSLNVNPTVVAEAFSKIGNPLVVVSFGKNCSRFLCPDAIFVDMKVNESKDNVLRVLFAKTDATAQDHTGAVEANTRSSFKGIVSQGIEDLGKIPELPSNVGDTANWNSSCGKKDEGNPPLRHERLWEIFEALKSPNHGVDERSNIACDPTFKVDIDRITCLLKAAIDGNFQNPPSVDNKNLLEEASTMLHELGQLNAALEMREPEHESDISTIGELLEKLQSRRPRMEFFLSQIFLQHDENLKREMSERNIASDGQRDEEHSNSKAEGSCVSAKGEINISRSNVETEGRNPDTENKGKGNSKSKKNKKGKGGRKRK >Potri.019G026200.1.v4.1 pep chromosome:Pop_tri_v4:19:3805950:3806973:-1 gene:Potri.019G026200.v4.1 transcript:Potri.019G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026200.v4.1 MRSVHFLLAFVLLTLASSIASAYDPSPLQDFCVAINDPKAAVFVNGKFCTDPKMATANDFSFSGLNIPRNTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRASEILVVVEGTLYVGFVTSNPDNRLISKVLYPGDVFVFPFGLIHFQLNIAKTPAVAFAGLSSQNPGTITIANAVFGSDPLINPDVLAKAFHLDINIVNYLQKLFGGNS >Potri.018G084100.1.v4.1 pep chromosome:Pop_tri_v4:18:10205760:10208078:1 gene:Potri.018G084100.v4.1 transcript:Potri.018G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084100.v4.1 MDSGYFGEPNFGNNERGGSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHGQMGCNYHPPLHSTPYPTTFNPQEDIRVQTGYSSAPSSSFSYSSSAAATSASYGYPHTMMGIGTDYDGTNIRYGDSQPSTTASWNSGHGIHEAQHYAQPNSTRHLLPLQAEDTPPKKSKKHRSSSRGSSSQNSEASDTQELDLELRLSI >Potri.008G106300.3.v4.1 pep chromosome:Pop_tri_v4:8:6710517:6712770:-1 gene:Potri.008G106300.v4.1 transcript:Potri.008G106300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106300.v4.1 MAEDKYNLKNPAVKRILQEVKEMQSNPSDDFMSLPLEENIFEWQFAIRGPGETEFEGGIYHGRIQLPAEYPFKPPSFMLLTPNGRFETQTKICLSISNHHPEHWQPSWSVRTALVALIAFMPTSPNGALGSLDYKKEERRVLAVKSREAAPRFGTPERQKLIDEIHQYMLSKAPSVPQQNPAQGSEEHPNNSVCETQESSPDAEAVTAAEDLPNPAVGEIQEVGERVFEQVHEAHITANPSPAGTSASREVPAKCSSDQLLQRQVTRVQKPADDRLFTWAAVGLAIAIVVLLLKKFMKSSGYGAFFMDGS >Potri.007G017300.1.v4.1 pep chromosome:Pop_tri_v4:7:1323107:1324619:1 gene:Potri.007G017300.v4.1 transcript:Potri.007G017300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX57 MKRIQCSIALNRTTIGLLLLLVALANELKVTEASNSASAFVQNVIYSNKIVIFSKSYCPYCLRAKRVFSELYEKPFAVELDLRDDGGEIQDYLLDLVGKRTVPQIFVNGKHIGGSDDLRAAVESGELQKLLGTE >Potri.009G097300.2.v4.1 pep chromosome:Pop_tri_v4:9:8739429:8741801:1 gene:Potri.009G097300.v4.1 transcript:Potri.009G097300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097300.v4.1 MRALQLLMLLGLLLSPVTLSLARKPRREIRDREVYGNGRIFDITHEINPNMPTWESKDGLGQFIWLVDSMKNGSKLNSSQFKLSTHTGTHIDAPGHVYEEYYEAGYNVNSLDLGVLNGPALLVDVPRDSNITAEVMKSLNIPRGVRRVLFRTLNTDRKLMYKKEFDSSYVAFMEDGAKWLVENTDIKLVGVDYLSSAAYVNTIPPHLIFLKKRQIILVEGLKLDNIIPGHYNVHCLPLRMLDADGSPARCILIK >Potri.002G172300.1.v4.1 pep chromosome:Pop_tri_v4:2:13282405:13283346:1 gene:Potri.002G172300.v4.1 transcript:Potri.002G172300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL12 MTRLQIPDTTRGSGNRHPAYRGVRKRRWGKWVSEIREPRKKSRIWLGSFPVPEMAAKAYDVAAYCLKGRKAQLNFPEEVDDLPIPSTCTARAIQAAAAKAAHSVLIPMKKSSETNNGGGSDGEVAGDDFWGEIELPELLLSNSGYSWDSCGWNTTLASDNSTWQPDGEGLQPSMACL >Potri.011G131900.9.v4.1 pep chromosome:Pop_tri_v4:11:16571270:16577716:1 gene:Potri.011G131900.v4.1 transcript:Potri.011G131900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131900.v4.1 MVGTANNGRMRHAFSVVNGEEEVGLNSVPPSNAGSEYGGFEFTREDVYGLLNERMKYKNKFNYKERCENMMDYIKRLRLCIKWFQELEGGYLFEQEKLQNALDFTESKCAEMDLMLKNKEEELNLIIVELRKSLASLQEKLSKEESEKLAALDSLAREKEARLTVEKSQASLLEEQGKIQGELQSANQRITSVSDMYKLLQEYNSSLQLYNSKLQTDLDTAHENVKRGEKEKAAIVENLSTLRGQCMSLQDQYNSCKASVDEVAKQKDTLVNEVASLRAELQQVSEDRDSLLLQVQTLTAEVVNCEELVEKSNELKEKCFSQSNQLMTLQDELEATQNKLRVSDLSVFETKTEFEAQKKLISELQNRLEDAELKIVEGEKFRKKLHNTILELKGNIRVFCRVRPLIPEDSPGADGKVVSYPTTTEALGRAIELTQNGQKYSFTFDKVFMPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKSGNPEQKGLIPRSLEQIFQTRQSLQSQGWKYEMQVSMLEIYNETIRDLLSTKDSSRTEYGSNGKQYAIKHDANGNTLVSDLTVVDVCSTREVSFLLDQASHSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGSTGDRLRETQAINKSLSSLSNVIFALAKKEDHVPFRHSKLTYLLQPCLGGDSKTLMFVNISPDHSSSGESLCSLRFASRVNACEIGIPRRQANLRSFESRLSLG >Potri.011G131900.2.v4.1 pep chromosome:Pop_tri_v4:11:16570988:16577805:1 gene:Potri.011G131900.v4.1 transcript:Potri.011G131900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131900.v4.1 MVGTANNGRMRHAFSVVNGEEEVGLNSVPPSNAGSEYGGFEFTREDVYGLLNERMKYKNKFNYKERCENMMDYIKRLRLCIKWFQELEGGYLFEQEKLQNALDFTESKCAEMDLMLKNKEEELNLIIVELRKSLASLQEKLSKEESEKLAALDSLAREKEARLTVEKSQASLLEEQGKIQGELQSANQRITSVSDMYKLLQEYNSSLQLYNSKLQTDLDTAHENVKRGEKEKAAIVENLSTLRGQCMSLQDQYNSCKASVDEVAKQKDTLVNEVASLRAELQQVSEDRDSLLLQVQTLTAEVVNCEELVEKSNELKEKCFSQSNQLMTLQDELEATQNKLRVSDLSVFETKTEFEAQKKLISELQNRLEDAELKIVEGEKFRKKLHNTILELKGNIRVFCRVRPLIPEDSPGADGKVVSYPTTTEALGRAIELTQNGQKYSFTFDKVFMPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKSGNPEQKGLIPRSLEQIFQTRQSLQSQGWKYEMQVSMLEIYNETIRDLLSTKDSSRTEYGSNGKQYAIKHDANGNTLVSDLTVVDVCSTREVSFLLDQASHSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGSTGDRLRETQAINKSLSSLSNVIFALAKKEDHVPFRHSKLTYLLQPCLGGDSKTLMFVNISPDHSSSGESLCSLRFASRVNACEIGIPRRQANLRSFESRLSLG >Potri.011G131900.8.v4.1 pep chromosome:Pop_tri_v4:11:16570993:16577808:1 gene:Potri.011G131900.v4.1 transcript:Potri.011G131900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131900.v4.1 MVGTANNGRMRHAFSVVNGEEEVGLNSVPPSNAGSEYGGFEFTREDVYGLLNERMKYKNKFNYKERCENMMDYIKRLRLCIKWFQELEGGYLFEQEKLQNALDFTESKCAEMDLMLKNKEEELNLIIVELRKSLASLQEKLSKEESEKLAALDSLAREKEARLTVEKSQASLLEEQGKIQGELQSANQRITSVSDMYKLLQEYNSSLQLYNSKLQTDLDTAHENVKRGEKEKAAIVENLSTLRGQCMSLQDQYNSCKASVDEVAKQKDTLVNEVASLRAELQQVSEDRDSLLLQVQTLTAEVVNCEELVEKSNELKEKCFSQSNQLMTLQDELEATQNKLRVSDLSVFETKTEFEAQKKLISELQNRLEDAELKIVEGEKFRKKLHNTILELKGNIRVFCRVRPLIPEDSPGADGKVVSYPTTTEALGRAIELTQNGQKYSFTFDKVFMPDASQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKSGNPEQKGLIPRSLEQIFQTRQSLQSQGWKYEMQVSMLEIYNETIRDLLSTKDSSRTEYGSNGKQYAIKHDANGNTLVSDLTVVDVCSTREVSFLLDQASHSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGSTGDRLRETQAINKSLSSLSNVIFALAKKEDHVPFRHSKLTYLLQPCLGGDSKTLMFVNISPDHSSSGESLCSLRFASRVNACEIGIPRRQANLRSFESRLSLG >Potri.003G178200.3.v4.1 pep chromosome:Pop_tri_v4:3:18463439:18474445:1 gene:Potri.003G178200.v4.1 transcript:Potri.003G178200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178200.v4.1 MPRKMPIDGEVNQASQVEEDAHFDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVNGGVGGTCVLRGCVPKKILVYGANFGGEIEDARNYGWEINEKVDFNWKKLLQKKSDEIVRLNGIYKRLLSNAGVKLYEGEGKVAGPNEVELTQLDGTKLKYSAKHILIATGSKAQRPNIPGQELGITSDEALSLEDLPKRAVVLGGGYIAVEFASIWRGMGATVDLFLRRELPLRGFDDEMRAVVARNLEGRGINLHPRTNLTELTKTEDGIKVRTDHGEELLADVVLFATGRAPNTKRLNLEAAGVELDKTGAVKVDEFSRTNIPSIWAAGDVTNRMNLTPVALMEGSCFAKTVFAGQPTKPDYNHIPYAVFSIPPLSVVGLSEEQALDQANGDVLVFTSTFNPMKNTISGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKQQFDSTVGIHPSAAEEFVTMRSVARRVTASGKPKTNL >Potri.003G178200.4.v4.1 pep chromosome:Pop_tri_v4:3:18463843:18474421:1 gene:Potri.003G178200.v4.1 transcript:Potri.003G178200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178200.v4.1 MPRKMPIDGEVNQASQVEEDAHFDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVNGGVGGTCVLRGCVPKKILVYGANFGGEIEDARNYGWEINEKVDFNWKKLLQKKSDEIVRLNGIYKRLLSNAGVKLYEGEGKVAGPNEVELTQLDGTKLKYSAKHILIATGSKAQRPNIPGQELGITSDEALSLEDLPKRAVVLGGGYIAVEFASIWRGMGATVDLFLRRELPLRGFDDEMRAVVARNLEGRGINLHPRTNLTELTKTEDGIKVRTDHGEELLADVVLFATGRAPNTKRLNLEAAGVELDKTGAVKVDEFSRTNIPSIWAAGDVTNRMNLTPVALMEGSCFAKTVFAGQPTKPDYNHIPYAVFSIPPLSVVGLSEEQALDQANGDVLVFTSTFNPMKNTISGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKQQFDSTVGIHPSAAEEFVTMRSVARRVTASGKPKTNL >Potri.003G178200.1.v4.1 pep chromosome:Pop_tri_v4:3:18463067:18474588:1 gene:Potri.003G178200.v4.1 transcript:Potri.003G178200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178200.v4.1 MPRKMPIDGEVNQASQVEEDAHFDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVNGGVGGTCVLRGCVPKKILVYGANFGGEIEDARNYGWEINEKVDFNWKKLLQKKSDEIVRLNGIYKRLLSNAGVKLYEGEGKVAGPNEVELTQLDGTKLKYSAKHILIATGSKAQRPNIPGQELGITSDEALSLEDLPKRAVVLGGGYIAVEFASIWRGMGATVDLFLRRELPLRGFDDEMRAVVARNLEGRGINLHPRTNLTELTKTEDGIKVRTDHGEELLADVVLFATGRAPNTKRLNLEAAGVELDKTGAVKVDEFSRTNIPSIWAAGDVTNRMNLTPVALMEGSCFAKTVFAGQPTKPDYNHIPYAVFSIPPLSVVGLSEEQALDQANGDVLVFTSTFNPMKNTISGRQEKTVMKLVVDAETDKVLGASMCGPDAPEIMQGIAVALKCGATKQQFDSTVGIHPSAAEEFVTMRSVARRVTASGKPKTNL >Potri.017G061200.2.v4.1 pep chromosome:Pop_tri_v4:17:4996970:4999557:-1 gene:Potri.017G061200.v4.1 transcript:Potri.017G061200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061200.v4.1 MMMHQGMVHEKPEACAWMAGGEGVTEEGDNKQTPNIEGGSDLVIDGSNRDHLAGSMVGLEIKRKKRMPRQRRSSSTINHLLSFSANVSCSTTTTLDVPTFSLQDPSSLPARAIDPRRLRFLFQKELKNSDVSSLRRIILPKKAAEAHLPALESKEGIFIRMDDLDGLHAWSFKYRYWPNNNSRMYVLENTGDFVSAHGLELGDFIMVYQDNQSQNYVIQAKKASDQNVYTDIARNAVNDFVVHDYEVSKLSSFYVNYPVVDNTGLSFIYDTTAFSNDSPLDFLGGSMTNFSRIGNLESFGSVENLFLDDFY >Potri.004G151100.1.v4.1 pep chromosome:Pop_tri_v4:4:17275088:17277611:-1 gene:Potri.004G151100.v4.1 transcript:Potri.004G151100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151100.v4.1 MGKGGGCVPSKRRPPIEVPDQEPSLQETNSAISSQNQITSTNTTIPVQYNPTLAVKKCKIFIIFYSMYAHVELMARRIKKGVDSIEGVEGVLYRVPETLLQGTLEQMKVPQKGNDVPLIKVDELVNADGFLFGFPTRFGSMAAQMKAFFDSTHELWMKQKLAGVPAGFFVSTGTQGGGQETTAWTAITQLVHHGMVFVPIGYTFGAGMFRMDSIRGGSPYGAGVLSGDGTREPSATELALAEHQGKYMATVVKRFARPFSLAPGEKHN >Potri.005G226500.11.v4.1 pep chromosome:Pop_tri_v4:5:22711647:22717755:-1 gene:Potri.005G226500.v4.1 transcript:Potri.005G226500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226500.v4.1 MKVDEDSVLLSSLGVTSANPEDIERVVLEEARNNADKGGSTEEEPPDKLENVDPSSANQAKLYSKLRAVKFEIDAVASTVEEVTDVVSGEHQTYDDGGGTKKRDKGDDESGVQVSPDDFTLQQALAADRLRSLKRTKVKLEKELLDLRKDDATKAVEHDKLLANLVKEDPRPKKKSKKVLKSGKNKEKQQKTVSFADDADFDLMLDGASSGFVETERDELVRKGILTPFHQLKGFERRLQQPGSSSGKNESIEEDKTDGLDSDSVVRAAHSMLEAAKARPTTKLLDSEALPKLDAPTRPFQRLKTPLKACQSPERDAEKRKGSERKRKRPLPGKKWRKSASWEDMGESEDSGRNLVTSISEEDVDDGYDNDSPFITLEGGLKIPEAIFSELFDYQKVGVQWLWELHCQRAGGIIGDEMGLGKTIQVLSFLGALHFSNMYKPSIVVCPVTLLRQWKREAQKWYPRFHVELLHDSAQDVSCRDPLKKKRAQSYESDCETEDSLDSDYEGSISCRKANKWDSLINRVFESDSGLLITTYEQLRLLGEKLLDFEWGYAVLDEGHRIRNPNAEITLVCKQLQTVHRIIMTGAPIQNKLTELWSLFDFVFPGKLGVMPVFEAEFAVPISVGGYANASPLQVSTAYRCAVVLRDLIMPYLLRRMKMDVNAHLPKKTEHVLFCSLTSEQRSVYRAFLASTEVENILDGSRNSLYGIDVMRKICNHPDLLEREHSYHNPDYGNPERSGKMKVVAQVLKVWQEQGHRVLLFTQTQQMLDIFENFLNSGGYNYRRMDGSTPIKLRMSIIDEFNNSGDIFIFILTTKVGGLGTNLTGANRVIIFDPDWNPSTDMQARERAWRIGQKKDVTVYRLITGGTIEEKVYHRQIYKHFLTNKILKNPQQRRFFRARDMKDLFTLNDDGEGGSTETSNIFSQLSEDVNVVGTKKEKLKKRKKNKGIAQHADDAIVDNENNSEIRALRREEKEKADCSDGEVDEETNILKSLFDANGIHSAVNHDVIMNAHDGEKMRLEEQASQVAQRAAEALRQSRMLRSRDSISVPTWTGKSGTAGAPSSVRQKFGSTVNSQLIKSSDSSSSNKKQPERHSSWDFCRKSIILGGTTCQNSGKSRKSSWCRA >Potri.010G151400.1.v4.1 pep chromosome:Pop_tri_v4:10:16130190:16132499:-1 gene:Potri.010G151400.v4.1 transcript:Potri.010G151400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151400.v4.1 MAMAGIAKRKAYFLTRNLSNSPSADAFKLSYSLTKFSRGFATSGSEENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMFHEAQHSFASHGVKFSSVEVDLPAMMAQKDKAVSTLTRGIEGLFKKNKVNYVKGYGKFLSPYEVSVDTIDGGNTVVKGKNIIIATGSDVKSLPGIIIDEEKIVSSTGALALQQIPKKLVVIGAGYIGLEMGSVWARLGSEVTVVEFAPDIVPSMDGEKQKMKFMLTTKVVGVDTSGNGVKLTVEPASGGDQTTLEADVVLVSAGRTPFTAGLGLDRIGVETDKGGRILVNERFATNVSSVYPIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVASVGKTEEQVKALGVEYRVGKFPFLANSRAKAIDDAEGLVKIIAENETDKILGVHIMAPNAGELIHEAVLALAYDATSEDISRVCHAHPTMSEALKEAAMATHDKPIHI >Potri.003G148601.1.v4.1 pep chromosome:Pop_tri_v4:3:16243140:16244276:-1 gene:Potri.003G148601.v4.1 transcript:Potri.003G148601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148601.v4.1 MELKNRVSILGRRAAEEMRGRGIIVVRQMNGRRKPAVMMGVGTVRRRIKNVIERRLEIGNCGGRRRNGRGRRKRKEKERRRRTSIRIKRRAGKMIKTRKGEERRLRRGREIGKWIRIKRGPERRSGQTESHDEDYDRSRDDNDYEQV >Potri.019G099600.1.v4.1 pep chromosome:Pop_tri_v4:19:13636767:13638443:-1 gene:Potri.019G099600.v4.1 transcript:Potri.019G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099600.v4.1 MAQVIARIPLNYRGFEEDVGFSDDPAYFTDIVFGSWEEQEVSHESLCTSGDYNEDDDDDEINPCNVEENNKFWETQIQLLQGTLYRTSSLETKIRQATKEAMKEIDGVGMYCLCGKPMPGACRNCLQREISIRLQNQGYNCAICESKWKRSEEIPSGEHTFLEVVDKLNSKKGEVRVVIELNFRAEFEMAKANQEYKQLINRLPEVYVGKTERLKALIKILCSAAKECMKEKKMHLGPWRKLKYMQSKWAGTCERTTPAPYFPGGFSDRPPKSRASMLTCDLSEALPVWHCTAVQVL >Potri.008G046700.2.v4.1 pep chromosome:Pop_tri_v4:8:2711950:2714945:1 gene:Potri.008G046700.v4.1 transcript:Potri.008G046700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046700.v4.1 MPTLKLSMAVSLLHHKKLAHTITTTTSTANPTPFLTPHSLSTPLNSDKSLRALTPCAHLPSNKNNKNTPYYYHYNITSYNNNKSSKNFLGATFRGSLLFPSRKSSSSTRFSRSDSPPSASSPGSGFVSDMGAENKIDGDVDWHKNDRQNQPPSVSPSMQNHDSKLLTLPTILTLGRVAAVPLLVATFYVDSWWARTATTSIFVAAAITDWLDGYLARKMKLGTVFGAFLDPVADKLMVAAALILLCSRPLEVAMFREVPWLFTVPAIAIIGREITMSAVREWAASQNTRLLEAVAVNNLGKWKTATQMISLTILLATRDSSLGGPGILVPSGVLLLYISAGLSVWSLAIYMSKIWKVLLK >Potri.004G187500.1.v4.1 pep chromosome:Pop_tri_v4:4:20033690:20034473:1 gene:Potri.004G187500.v4.1 transcript:Potri.004G187500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187500.v4.1 MGAGAMGEIKHLVVVKFKEGVAIEEIIKGMEKLVSEVDLVKSFEWGQDTEGPEMLTQGFTHSFSMTFDKKEDYAAFQSHPNHVEYSATFSAAIEKIAVLCFPYVRVKPAA >Potri.017G053900.1.v4.1 pep chromosome:Pop_tri_v4:17:4074431:4078996:-1 gene:Potri.017G053900.v4.1 transcript:Potri.017G053900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053900.v4.1 MTVEDEWVQVAMTDDSLVVELLLKLNQPEPLPAPRRRRHFPPDLVKEGAVLALSVDWSVRQRRSSSKHVLMTRRKKGSDSSAHASPTTPLSFSCATSVSGGGDGFDEATTSLPSKLFATSRSKVAVTSETPTTKRSRKKKNLVQLREEEGLLLKERRSLKNKLATLRVTVEKERATNERLKRMKLDFLSQQRPEVLPAAVKSEDDISIQPQETKVACDSTYSMLPHNVSFPLQEDEGTKPSFLLPDLNLPVEGDSGSGVLS >Potri.008G191200.2.v4.1 pep chromosome:Pop_tri_v4:8:13432404:13433544:1 gene:Potri.008G191200.v4.1 transcript:Potri.008G191200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191200.v4.1 MGEKRLLMLDTFSIAMRAFAATKDRKKAVGIFELMKNHKYRVGVETINALLDSLGRAKLGKEAQALFRKLEGRFMPNLRTYTILLNGWIWNEMLDEGFKPDIVTNTIMLEGLLRSKKRSDAIKFFEVIKAKGPSLDVRSYIILIRDLCKQTKMKEAVEYFYEMIDYGFHPDAAVYTCLMTGYGNDKRMDKVYELLKEMKEKGCPLDGKTYNALNKLMTGQRMPGDVLMTSQRMSDDAVRIYKKMIQNGIEPSIHSYNMIMKSYFQIRNYEMEKKI >Potri.006G274600.3.v4.1 pep chromosome:Pop_tri_v4:6:26633270:26635439:-1 gene:Potri.006G274600.v4.1 transcript:Potri.006G274600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274600.v4.1 MATTASNGAQKREKVTPSSHPHTSTRRQGSSRPASPSSGSTSKDNPSTPSGKPIPNYLKPTFSSRPESLKQVKKTGHEDTSQKPALLRRRSFDKPPSLHHVQKPLLSSDSKERPGRERLIRTARSSSFSSKNVTSPKTVFDRNATSHKPGQSQPLATRITRRSISPSTKKVSNALVLPKEPIRHDVAQNLDLETKQESNAESFLAHESEEILNAVSQEQVPSDSQKAKNKEEHIVSEETEVNNVTEDEKLKGSNITTVAEGEISNNPALAEPVEETETELHQESENRQEEEYNGKLEESIDTNANLEEGIAVDADDQVKVEDNANENEVSPEVPVGEEKEGDMNKVNEGSEEHKHQEEQDKVVSNAQEEEKPDDANSPQKKQVVQGNKKESHAAYNDVIEETKNKLLEERKNKVKALVGAFETVIDYESK >Potri.006G274600.2.v4.1 pep chromosome:Pop_tri_v4:6:26633270:26635439:-1 gene:Potri.006G274600.v4.1 transcript:Potri.006G274600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274600.v4.1 MATTASNGAQKREKVTPSSHPHTSTRRQGSSRPASPSSGSTSKDNPSTPSGKPIPNYLKPTFSSRPESLKQVKKTGHEDTSQKPALLRRRSFDKPPSLHHVQKPLLSSDSKERPGRERLIRTARSSSFSSKNVTSPKTVFDRNATSHKPGQSQPLATRITRRSISPSTKKVSNALVLPKEPIRHDVAQNLDLETKQESNAESFLAHESEEILNAVSQEQVPSDSQKAKNKEEHIVSEETEVNNVTEDEKLKGSNITTVAEGEISNNPALAEPVEETETELHQESENRQEEEYNGKLEESIDTNANLEEGIAVDADDQVKVEDNANENEVSPEVPVGEEKEGDMNKVNEGSEEHKHQEEQDKVVSNAQEEEKPDDANSPQKKQVVQGNKKESHAAYNDVIEETKNKLLEERKNKVKALVGAFETVIDYESK >Potri.013G092600.1.v4.1 pep chromosome:Pop_tri_v4:13:9490986:9494285:-1 gene:Potri.013G092600.v4.1 transcript:Potri.013G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092600.v4.1 MALRSLVSRKTLGLGLKLQFRGLQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYITNYNKSLEQLHHAMEKGDSSAVVKLQSAIKFNGGGHVNHSIFWKNLTPVQEGGGEPPHGRLGWAIDEDFGSLESLIKKMSTEGAAVQGSGWVWLGLDKESKKLVVETTENQDPLVTKGPLVPLLGVDVWEHAYYLQYKNVRPDYLKNIWKVMNWKYAGEVYDKESS >Potri.005G207900.2.v4.1 pep chromosome:Pop_tri_v4:5:21243114:21245566:1 gene:Potri.005G207900.v4.1 transcript:Potri.005G207900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207900.v4.1 MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLIEETPKVKPKKPTAGRPLGRGRGRGRGRGRGR >Potri.012G138100.1.v4.1 pep chromosome:Pop_tri_v4:12:15132538:15132801:1 gene:Potri.012G138100.v4.1 transcript:Potri.012G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138100.v4.1 MRTYSPLLSLLVFSTILLASLHSSTCRHVSWTTYEEKQQINTKYPLPFPQYDLPGISHTVKSKDDKVNKLFGGSHKAVPGGPNPLHN >Potri.002G171500.2.v4.1 pep chromosome:Pop_tri_v4:2:13196032:13199480:-1 gene:Potri.002G171500.v4.1 transcript:Potri.002G171500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171500.v4.1 MELDSIECVPSLDLTDEDEIHHHHHLHHFPSVSKPHTTTTTNNNNSNSNSNTVASAIHTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVQNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHETLCNFRPYSCPYAGSECAIVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVRMFLSYLIDP >Potri.002G171500.1.v4.1 pep chromosome:Pop_tri_v4:2:13196017:13199483:-1 gene:Potri.002G171500.v4.1 transcript:Potri.002G171500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171500.v4.1 MELDSIECVPSLDLTDEDEIHHHHHLHHFPSVSKPHTTTTTNNNNSNSNSNTVASAIHTTSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVQNRCPTCRQELGDIRCLALEKVAESLELPCKYMSLGCPEIFPYYSKLKHETLCNFRPYSCPYAGSECAIVGDIPFLVAHLRDDHKVDMHSGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEAEARNYSYSLEVGGNGRKLIWEGTPRSIRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPEGGACIPNLCS >Potri.005G203600.9.v4.1 pep chromosome:Pop_tri_v4:5:20883159:20889426:-1 gene:Potri.005G203600.v4.1 transcript:Potri.005G203600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203600.v4.1 MNLKSSCYPLDLLIANIALACIDGALASIAFSQLIRIFLRNQQSGWTRQKVLHLMVGSCNLGYFIYFISTVIATCNRWLCWSHACGFILMAFPNILFFAVFLLLLSFWVDLCHQANEEDDDDEENSSQQPLLESSKNKPGSTNTDSYRRCCSFRGIQVGSHQKFVIAVVVLIFFLMLSFAVVIWIGAGNNPIDSSVVARVYVDLFATAVLILGGALGCYGLILFLKLRKVRSETASSEMRKVAGLAVVSVVCFTSSAAVALLTDIPLLYHWSMKNINEVKTLVLLVFYYFIGSSVPSAFVLWVMRELPAPVTNMQAQSRAVTFISYGAEETLNPRHWVAATTSKNQVFFYIYIYIYRN >Potri.004G230401.1.v4.1 pep chromosome:Pop_tri_v4:4:23389991:23392799:1 gene:Potri.004G230401.v4.1 transcript:Potri.004G230401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230401.v4.1 MLNIECFIIVLVHDLFMCHNLIDIIFIHFKLLLNVLKDIMEGLESSDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAFAWAPSSGVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGEKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.011G065402.1.v4.1 pep chromosome:Pop_tri_v4:11:5595618:5596601:-1 gene:Potri.011G065402.v4.1 transcript:Potri.011G065402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065402.v4.1 MVFADMMSSLVIEALIAAPQGLNDRQIAPNAVGWERNTNKLVSRCINLAKSMDPTRLAVSAADLNLKLMRWRALPSLNFDELSSVKCLLIGVGTLGCQGARMYGLGCPENYTT >Potri.012G017400.2.v4.1 pep chromosome:Pop_tri_v4:12:1926092:1926925:-1 gene:Potri.012G017400.v4.1 transcript:Potri.012G017400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017400.v4.1 MALTLGMIKTFLLVSLLLLAPFSSGFVEGFDEGMNSYPSLHKDGIQVNTRKLLLVDELDYDDAGANRRHDPRGRPGVGGYKNP >Potri.010G085200.1.v4.1 pep chromosome:Pop_tri_v4:10:11185956:11187418:-1 gene:Potri.010G085200.v4.1 transcript:Potri.010G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085200.v4.1 MERFVPFSRMIPFLAVALAVMILPVYGQINTACTASVLATFTPCMNFLTNSTAANGTSPTAGCCGALKNLTSNGMDCFCLIVTGSVPFSIPINRTLAISLPRACNMPGVPVQCKATGSPIPAPGPVTLGPTLSPGVSPSASPEAPVVPEPTPSTLPPVSDTTPLLTPPSSTGDTGAPTSTTGSRPVLTPPSASAPSHSLSPSLLLFAVGFVLFKCY >Potri.008G133800.2.v4.1 pep chromosome:Pop_tri_v4:8:8890664:8894320:-1 gene:Potri.008G133800.v4.1 transcript:Potri.008G133800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133800.v4.1 MTSSTLSSIDKQQDGKFVPNDHNVLQKHVFFFDRNQDGIVYPWETFQGFRAIGCGILLSTASALLINIALSQKTRPGKFPSPLFPIEVKNIHRTKHGSDSGVYDSEGRFVPGKFEEIFSKHARSHPNSLTADELMGMLKANREPKDFRGWVASYTEWKILYALCKDKNGLLHEDTIRAAYDGSLFEHMEKERTSAKKKA >Potri.018G035800.1.v4.1 pep chromosome:Pop_tri_v4:18:2779001:2780340:1 gene:Potri.018G035800.v4.1 transcript:Potri.018G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035800.v4.1 MASTTRESRRRKIVDRGSDRLALITGQIQAIPSQKLISEDSLPHISDEITVSPDGKDGVSDSTLVNSEPTTIASGSDGSTVETSLRGFETGVGASLAPSVETSSKVESSLATSTVQKSTRSSSGTEQKIKPRPHISSFVTSNQISSAIAASEKSRLLCSVVVGLLVVLSYLGFPLLGSNFVRSIIGFRPLYLLLLTNLTLVLVPLLFNNQRGFERAVDAENKIPSTDGSDWIEQAGNVMEVGLVIQRAMDAAFMDCSVYAVIIIGGLALV >Potri.018G102900.1.v4.1 pep chromosome:Pop_tri_v4:18:12260244:12261127:-1 gene:Potri.018G102900.v4.1 transcript:Potri.018G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102900.v4.1 MNTDMTEVQKKQRASLYRKRGRSNKDLTNTIMNRRKLTQNKRIANERDNRIGLVLSIHHAEGIDNPSNYPSVFNRNYCVLFWVYPDDQLATEFVSGSPDLAWNQKYCIELDESRDCRFLHVEVLRCGSSSESSPGTSNGMRLVGRAKIPLPDLSGKTEGRYGLVRLEEDGYKAEGHITLSMKLIKIDQS >Potri.006G109100.1.v4.1 pep chromosome:Pop_tri_v4:6:8481154:8483420:-1 gene:Potri.006G109100.v4.1 transcript:Potri.006G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109100.v4.1 MESSWPENLPSHRKKVIDELLRGQEIAKKLKFVMSKSTGDDGSMSAENLVREIMNSFNSTLSILNGGGYDDDVSQTPATTKVCSPLWDGRKSSEDSGESSKSTATVKVKDRRGCYKRRRSSHSWTNETSTLTDDGHAWRKYGQKMILNAKFPRNYYRCTHKFDQHCQATKQVQRVEEEPPLYRTTYHGYHTCKNLLKASDQFVLDPIDHFHTDSSTLMSFNNSSNHHQMMTNKQNHPFFTTSFQSIKQEYYNKEDNDMPGYDPTIHNNQALSSDYLLPTDDHDRISTFDHGDLISGVNSSCTTSSHSLDIDSIMAESVGFGDGGDVLGFEFCG >Potri.006G060100.2.v4.1 pep chromosome:Pop_tri_v4:6:4252245:4254278:-1 gene:Potri.006G060100.v4.1 transcript:Potri.006G060100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060100.v4.1 MATIIKPFLPNFPLQKQTLPLNSQWISCKPISDSVTTLPVSHFRQQGQFAAAVAFNPSGNFDLPIYDGEEDSTEVKPPPMPPTEGRFEIVIDNDIVRRLDLSPFHNVTGFVSPSRVEPKEFLERTIGFTINYTREDPMDPRELSEFPDIRLWFLRLDATYPWLPVLLDWRAGELARYAAMLVPHQVNMKLGVVFNPEALELFVMKKVFVVYSWLQQQNVPKPRLKTSDMARMLGFGIGNELFDLIDQHSPAS >Potri.006G164000.1.v4.1 pep chromosome:Pop_tri_v4:6:15915270:15923561:1 gene:Potri.006G164000.v4.1 transcript:Potri.006G164000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164000.v4.1 MEAILIDCVNNSLRHFMYRNSIFMCERLCAEFPSETNLQLLAGCYLQNSQAYSAYHILKGTQMAQSRYLFAISCFQMDLLNEAEAALCPPNEPGAEVPNGAPGHFLLGLIYRYTDRRKSAIHHFKQALSIDPLFWAAYEQLCILGAAEEAAAVFDEAAALCIQKQYMNCASASHNLSISNEDHNLVSARNFGLEDGSPRQLKHLQGNNLRDIPGNYHGASTLGGPVSQPSNGVPPNLSFYNTPSPMATQLSGVAPPPLCRNLQPNCSNPSTLGSDNFPRSIVSSNIQAPRKKFVDEGKLRKISGRLFFDSGPRRSTRLAAEAGANQNTSATLVAGYGTNNSSKYLGGSKLSSMAIRSVTVRKGQSWANENYDEGIRNEAFDDSRANNTSSNCSSSPPGDSRPLETEVATMPVGGVIISASCILNGALEILGLLRTLGEGYRLFCMYRCQDALDVYMKLPHKHYNTGWVLCQVGKAYVELVDYLEADRAFSLARRASPYSLEGLDVYSTVLYHLKEEMKLSYLAQELISTDRLAPQSWCAMGNCYSLQKDHETALKNFQRAVQLDSRFAYAHTLCGHEYVALDDFENGIKSYQSALRIDARHYKSWHGLGMVYLRQEKNEFSEHHFQMAFQINPHSSVIMSYLGTALHALKRNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALDVLEELKEYAPRESSVYALMGKIYKRRNMYEKAMLHFGLALDFKPSATDVATIKADIEKLHVPDELEDSL >Potri.004G149000.1.v4.1 pep chromosome:Pop_tri_v4:4:17111074:17112967:1 gene:Potri.004G149000.v4.1 transcript:Potri.004G149000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149000.v4.1 MSPSSSSSSESKLPMKPIPGDYGTPFFGAIRDRLDYFYNQGKDEFFKTRIQKHNSTVIKTNMPPGPFIAKNPKVIAVLDAISFPILFDTSKVEKYNVLDGTFLPSLSYSGGYRVCAYLDPSETKHTALKSYFMSVLASKHSEFIPLFRTCLSQLFISIEDGIASQKKANFNNVCQVMSFNYIFRLFCGKDPSETAIGSKGPAIADKWLALQLGPLFTLGLPKFLKYVDDLLLHTFKLPFFLVKSDYYKLYDVFYASSGPILDKAESFGVTREEACHNLVFLACFSTYGGLKVWFPALIKWVGLSGEKLHRQLADEIRTVVKEEGGVTIQAMDKMVLTKSVVYEAFRIEPPVPFQYAKAKEDIVVESHHAAYKIKKGEMIFGYQPFATKDPEVFDDAEEFVGHRFVGEGEKLLKYVYWSNGRETVDPTVEDKQCPGKDMVVLLSRLLLVEFFLRYDTFTVETAVLPIGSSVTLTSLGKATSI >Potri.003G051700.7.v4.1 pep chromosome:Pop_tri_v4:3:7605851:7607092:1 gene:Potri.003G051700.v4.1 transcript:Potri.003G051700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051700.v4.1 MSMADKWNQTALLVIDMQNDFILEDGLMKVNGGKAIVPNVIKAVDIARQRGILVVWVVREHDPQGRDAELFRRHLYSPGNVGPTSKGSVGAELVDGLVIKEGDYKLVKTRFSAFFATHLHSFLRTEGIKSLVISGVQTPNCVRQTVFDAVALDYQPVTVIVDATAAATPDIHDGTYSLIACNWFYLLLIFYAFE >Potri.003G051700.2.v4.1 pep chromosome:Pop_tri_v4:3:7605759:7609018:1 gene:Potri.003G051700.v4.1 transcript:Potri.003G051700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051700.v4.1 MSMADKWNQTALLVIDMQNDFILEDGLMKVNGGKAIVPNVIKAVDIARQRGILVVWVVREHDPQGRDAELFRRHLYSPGNVGPTSKGSVGAELVDGLVIKEGDYKLVKTRFSAFFATHLHSFLRTEGIKSLVISGVQTPNCVRQTVFDAVALDYQPVTVIVDATAAATPDIHDANISDMKNIGVATPTLQEWCGSGA >Potri.004G115800.1.v4.1 pep chromosome:Pop_tri_v4:4:10825446:10835627:1 gene:Potri.004G115800.v4.1 transcript:Potri.004G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115800.v4.1 MILSALLTSVGINLGLCLLFFTLYSILRKQPGNFYVYAPRLVDKEKSQPQESDDFYLERLLPSAGWVRNAWQLSEDEILSISGLDGLVLTRIFTFSLKVFTVAGVIGISILLPINYFGNQLSDDFGHLPNKSLDSFSISNVNDGSNRLWVHFSAAYIFTGVVCYLLYYEHNYMSAKRIAYFYSSKPQPHQFTILVRSIPSSSGKNFSETVESFFTEYHPSTYLSHSMVHRTSKIQDLINDADKLYRKLDCMKSNNHSQQNFRRDGFLGLTGRKVNLLDLYEKKLEDLEDNLRKEQNLLAGEEVPAAFVSFKSRFGAAVALHIQQGVNPTEWVTERAPEPQDVHWAFFSASFIKRWIFKLVVLVASFALIVLFLIPVVIVQGLANLDQLEKWFPFLKDILSLTVVSQVITGYLPSLILQLFLSFVPPIMLTFSAIQGYISRSQIERSSCSKMLWFIIWNIFFANVLSGSALYLVNVFLEPKNIPRVLAEAVPGQASFFISYVVTSGWTNLSSELFRLIPLVCSFWKRLFSGKYGDEFEVPSIPYYNDIPTILFFGLLGITYFFLSPLILPFLLVYFCLGYIIFRNQLLNVYAPKYETAGMFWPIVHNSTIFSLILMHIIAIGIFGLKKLPLASSLIIPLPVLTLIFNAYCQKRFLPLFKAYPTECLIKKDRKDLNEAGMTEFYDKLVTAYQDPALRPVQYARSSDRDTSPLLHSTEV >Potri.014G013800.11.v4.1 pep chromosome:Pop_tri_v4:14:801685:805858:-1 gene:Potri.014G013800.v4.1 transcript:Potri.014G013800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013800.v4.1 MEGTSSELVGGDHNDQTVQPSAEEIRKEKRRQRDNRRKKREQREIERTQRELEKMKADYAKLEKNHAYLRGKLEQLEHDVEQTRETRRRLEQIVEQQNIMINMLQIFTYF >Potri.014G013800.13.v4.1 pep chromosome:Pop_tri_v4:14:801685:804677:-1 gene:Potri.014G013800.v4.1 transcript:Potri.014G013800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013800.v4.1 MEGTSSELVGGDHNDQTVQPSAEEIRKEKRRQRDNRRKKREQREIERTQRELEKMKADYAKLEKNHAYLRGKLEQLEHDVEQTRETRRRLEQIVEQQNIMINMLQIFT >Potri.014G013800.10.v4.1 pep chromosome:Pop_tri_v4:14:802452:804343:-1 gene:Potri.014G013800.v4.1 transcript:Potri.014G013800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013800.v4.1 MEGTSSELVGGDHNDQTVQPSAEEIRKEKRRQRDNRRKKREQREIERTQRELEKMKADYAKLEKNHAYLRGKLEQLEHDVEQTRETRRRLEQIVEQQNIMINMLQIFTYF >Potri.014G013800.12.v4.1 pep chromosome:Pop_tri_v4:14:801685:804677:-1 gene:Potri.014G013800.v4.1 transcript:Potri.014G013800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013800.v4.1 MEGTSSELVGGDHNDQTVQPSAEEIRKEKRRQRDNRRKKREQREIERTQRELEKMKADYAKLEKNHAYLRGKLEQLEHDVEQTRETRRRLEQIVEQQNIMINMLQIFTYF >Potri.005G096332.1.v4.1 pep chromosome:Pop_tri_v4:5:6818232:6819412:1 gene:Potri.005G096332.v4.1 transcript:Potri.005G096332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096332.v4.1 MITAVEQERKGQICSCCGEGAGDDAAVTSSVGGRRCCCTGSGRSLFLEEKTGCLSCNGQLGRVWGREDEGRSWLSTKEARERAWFSSVWQRAAACWLRVRG >Potri.006G071700.2.v4.1 pep chromosome:Pop_tri_v4:6:5230109:5231074:1 gene:Potri.006G071700.v4.1 transcript:Potri.006G071700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071700.v4.1 MKLLHLLLLAMLTTAFYFFSQNICSTSSPSMFFLTGRRSMREQRSMREQRMSSVTLRDEHENTKVLDEKTEQATIKEDSRQLAYPSSTDNLDDLVYHVDYHGVTTHPTPTPKHPKP >Potri.001G437200.1.v4.1 pep chromosome:Pop_tri_v4:1:46311604:46313693:-1 gene:Potri.001G437200.v4.1 transcript:Potri.001G437200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437200.v4.1 MAGDQVTLLDFWSSPFGMRVRIALAEKGVKYEYCEQDLRNKSDLLLQMNPVHKKIPVLVHDGKPVCESLIIVQYIDEVWKDKAPLLPSDPHKRAQSRFWADFVDKKIYDFGRKIWTTNGEDQEAAKNDFIDSLKLLEGELGDKPYFGGETLGYVDVALLPFYCWFYAYETIGNFNIEADCPKLIACCKRCLQKESVSKSLKDPQILYDFAVMVRKKLGLE >Potri.001G380300.1.v4.1 pep chromosome:Pop_tri_v4:1:40068537:40068884:1 gene:Potri.001G380300.v4.1 transcript:Potri.001G380300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380300.v4.1 MGLCHRKNAFATLFMLSILSASLQLGAMRPLGIQWLKQEGLLFHFLPKGPVTPSSPNPCTYIPKGGSGTCKLNGMNIAGSVVARSPPAFSKHTALDVPVASSSIAENTRDQDRSS >Potri.007G084400.3.v4.1 pep chromosome:Pop_tri_v4:7:10921753:10923860:-1 gene:Potri.007G084400.v4.1 transcript:Potri.007G084400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084400.v4.1 MLLVSVLLLILVPKVSSLSADISCRMVLGKKYMEKEFHEKGFKPVIHEGMRLAASFNFGDYIPPIAPLDLQGLTKRMKAVGKVFDDFFEKIIDEHIQFKDENRTKDFVDVMLDFLGSEETEYRIVRDNIKAIIMDMLVGSMDTSATAIEWTLSELIKHPRVMKKVQKELEEKIGMDRMVEESDLEGLEYLHMVIKEAFRLHPVAPLLIPHESMEDCTIDGFLIPQKTRVIVNVWAIGREQSAWTDSNKFIPERFAGSNIDVRGRDFQLLPFGAGRRGCPGMHLGLTMDLQIVAQLVHCFDWELRKNMLREEVDMTEAFGLVTPRANHLCATPTYRLHL >Potri.007G084400.2.v4.1 pep chromosome:Pop_tri_v4:7:10921388:10923872:-1 gene:Potri.007G084400.v4.1 transcript:Potri.007G084400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084400.v4.1 MLLVSVLLLILVPKVSSLSADISCRMVLGKKYMEKEFHEKGFKPVIHEGMRLAASFNFGDYIPPIAPLDLQGLTKRMKAVGKVFDDFFEKIIDEHIQFKDENRTKDFVDVMLDFLGSEETEYRIVRDNIKAIIMDMLVGSMDTSATAIEWTLSELIKHPRVMKKVQKELEEKIGMDRMVEESDLEGLEYLHMVIKEAFRLHPVAPLLIPHESMEDCTIDGFLIPQKTRVIVNVWAIGREQSAWTDSNKFIPERFAGSNIDVRGRDFQLLPFGAGRRGCPGMHLGLTMDLQIVAQLVHCFDWELRKNMLREEVDMTEAFGLVTPRANHLCATPTYRLHL >Potri.013G113600.1.v4.1 pep chromosome:Pop_tri_v4:13:12209639:12210082:-1 gene:Potri.013G113600.v4.1 transcript:Potri.013G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G113600.v4.1 MASKKVIIPFVLIAVLLLCQDYKNNIVAAQSQCCTEHPELGKCQPGVDDKSPNGKCWQYCMNNCDENKGGFCKLNNKKHHCHCYC >Potri.004G170232.2.v4.1 pep chromosome:Pop_tri_v4:4:20443896:20447923:1 gene:Potri.004G170232.v4.1 transcript:Potri.004G170232.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170232.v4.1 MEAFLTFPMEVTLTRVSSIAAEGIRLAWGLEGQLQKLEESLTMIKAVLKDAARRPVTDDFAKLWLEKLQDVAYDAEDVLDEFAYENLRKDQKKRNVRNFFSSHNPTKFRWNMGQKVQKINEALDDIQKLATRFGSGLGVASQHVESGPEVIRAKDRKTDSLLGSSEVVVGREDDVSKVMKLLIGSIDQQVLSVVPIVGMGGLGKTTIAKKVYKVAREKKLFDVTIWVCVSNEFSKQRILGEMLQGVGGPMLSNLNEIMERLKEKLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKKGNAVVVTTRIKEVADTMETSPGIQHEPGRLSDDQCWSIIKQEVSRGGRETIASDLESIGKDIAKKCGGIPLLAKVLGGTLHGKQVQEWQSILNSRIWDSQDGNKVLLILRLSFDHLSSPSLKTCFAYCSIFPKDFKIEREELVQLWMAEGFLGTSNGRTEDEGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLLHDLALQVSKSKVLNLEADSAVDGASHIRHLNLISCGDVEAAFPRGDARKLRTVFSMVDVFNGSLKFKSLRTLKLQRSNITELPDSIWKLRHLRYLDVSRTSIRVLPESITKLYHLQTLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPLFVVGPDHMVEELGCLNELRGALEICKLEQVRDKEEAEKAKLRGKRINKLVFEWSYDEGNNSVNSEDVLEGLQPHPDLRSLTIEGYGGGYFSSWILQLNNLTVLRLNGCSKLRQLPTLGCLPRLKILKMSGMPNVKCIGKEFYSSSIGSAAELFPALEELTLRGMDGLEEWMVPGGEGDLVFPCLEELCIEECRQLRQLPTLGCLPRLKILKMSGMPNVKCIGKEFYSSSIGSAAELFPALEELTLRGMDGLEEWMVPGGEVVAVFPRLEKLSIWQCGKLESIPRCRLSSLVEFEIHGCDELRYFSGEFDGFKSLQILRILKCPMLASIPSVQHCTALVQLRIYDCRELISIPGDFRELKYSLKTLSVNGCKLGALPSGLQCCASLEELTVIDCSELIHFSGLQELSSLRSLGIIRCDKLISIDWHGLRQLSSLVYLQIITCPSLRDIPEDDCLGGLMQLHYLSIGGFSKEMEAFPAGVLNSFQHLNLSGSLKYLMIDGWDKLKSVPHQLQHLTALERLKIRYFNGEEFEEALPEWLANLSSLQCLSIEDCKNLKYMPSSTAAIQRLSKLELLYIWYCPHLSENCREENGSEWPKISHIPKIYIR >Potri.004G170232.3.v4.1 pep chromosome:Pop_tri_v4:4:20444393:20447925:1 gene:Potri.004G170232.v4.1 transcript:Potri.004G170232.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170232.v4.1 MKLLIGSIDQQVLSVVPIVGMGGLGKTTIAKKVYKVAREKKLFDVTIWVCVSNEFSKQRILGEMLQGVGGPMLSNLNEIMERLKEKLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKKGNAVVVTTRIKEVADTMETSPGIQHEPGRLSDDQCWSIIKQEVSRGGRETIASDLESIGKDIAKKCGGIPLLAKVLGGTLHGKQVQEWQSILNSRIWDSQDGNKVLLILRLSFDHLSSPSLKTCFAYCSIFPKDFKIEREELVQLWMAEGFLGTSNGRTEDEGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLLHDLALQVSKSKVLNLEADSAVDGASHIRHLNLISCGDVEAAFPRGDARKLRTVFSMVDVFNGSLKFKSLRTLKLQRSNITELPDSIWKLRHLRYLDVSRTSIRVLPESITKLYHLQTLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPLFVVGPDHMVEELGCLNELRGALEICKLEQVRDKEEAEKAKLRGKRINKLVFEWSYDEGNNSVNSEDVLEGLQPHPDLRSLTIEGYGGGYFSSWILQLNNLTVLRLNGCSKLRQLPTLGCLPRLKILKMSGMPNVKCIGKEFYSSSIGSAAELFPALEELTLRGMDGLEEWMVPGGEGDLVFPCLEELCIEECRQLRQLPTLGCLPRLKILKMSGMPNVKCIGKEFYSSSIGSAAELFPALEELTLRGMDGLEEWMVPGGEVVAVFPRLEKLSIWQCGKLESIPRCRLSSLVEFEIHGCDELRYFSGEFDGFKSLQILRILKCPMLASIPSVQHCTALVQLRIYDCRELISIPGDFRELKYSLKTLSVNGCKLGALPSGLQCCASLEELTVIDCSELIHFSGLQELSSLRSLGIIRCDKLISIDWHGLRQLSSLVYLQIITCPSLRDIPEDDCLGGLMQLHYLSIGGFSKEMEAFPAGVLNSFQHLNLSGSLKYLMIDGWDKLKSVPHQLQHLTALERLKIRYFNGEEFEEALPEWLANLSSLQCLSIEDCKNLKYMPSSTAAIQRLSKLELLYIWYCPHLSENCREENGSEWPKISHIPKIYIR >Potri.010G231200.2.v4.1 pep chromosome:Pop_tri_v4:10:21325516:21328246:-1 gene:Potri.010G231200.v4.1 transcript:Potri.010G231200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231200.v4.1 MIKQKLYHAFSLIQTEYIIEILYGLGFFSEIDINFLVDSSLLTINNSNQLEMHGLLRDMGREVVCELSPNHPGKHGRIWLPKDVQGVLNNQTVRIKSVCLVRSCTQTIFCLLYQLFREFTNFFSGWIIFHTIIGDTSAILNCFQLTEVVAGLALDVHFTSKSLPLSRMRYLNLLLIDEVNLTGR >Potri.003G173000.1.v4.1 pep chromosome:Pop_tri_v4:3:18100462:18102893:1 gene:Potri.003G173000.v4.1 transcript:Potri.003G173000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173000.v4.1 MGFIMEFAENLILRLMEDPKERDRKFRERVYAVKDRCQKTKEMWSYPLRPYGFWTFERHNAQLAWDAKISQVPGRRDPYDDLLQDSYGFPK >Potri.009G024500.1.v4.1 pep chromosome:Pop_tri_v4:9:3637698:3638530:-1 gene:Potri.009G024500.v4.1 transcript:Potri.009G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024500.v4.1 MGNSYSYSSSSSSSSYSSQPPLPVHLCFFLLILLMFVGLSWYINYEPVLESMLDQVKLFLSASPLLLLLLVHLLSNDDHRYGRKLSHYIPLPEKDSLHRAGGTPWGVGFLLVFLFFLISYHSYFQERWFPLLSR >Potri.015G013300.1.v4.1 pep chromosome:Pop_tri_v4:15:855240:856321:-1 gene:Potri.015G013300.v4.1 transcript:Potri.015G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013300.v4.1 MKQLISFSFSLVLLFLHCTQTLSQPPNAAPAKAPAAATVPPPAATSAQASPPVMVPVQVSKGPVNVIKILQKAGHFAFFTRLIKSTQEDIQLFSQLNDSRDGVTVFAPTDGAFSAIIKSGVLNSLTDHQKIELVQFHIIPRILTTANFQTVSNPITTLAGSGNRFALNVITTENMVNVTTGLTNTSVSAIVYTDSQLAIYQVDKVLLPLDIFAPKPLAPAPAPPKPKKDDGAESPMVPEDTSGSVICMVHNTLLMFGVGLVAAAIPL >Potri.005G115300.1.v4.1 pep chromosome:Pop_tri_v4:5:8422028:8422461:1 gene:Potri.005G115300.v4.1 transcript:Potri.005G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115300.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.013G117900.1.v4.1 pep chromosome:Pop_tri_v4:13:12629507:12634354:1 gene:Potri.013G117900.v4.1 transcript:Potri.013G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117900.v4.1 MEKVEKAPNDIESEETEAIELILFQVSECYVYMIPPRKSAASYRADEWDVNKWAWEGTLKVISKGEECIIRLEDKTTGELYARAFLRNGDLHPVEPVLDSSRYFVLRIEENIGGRLRHAFIGIGFRERAEAYDFQAALHDHMKYLDKKKTAEEMEQHFQTTSSVDYSLKEGETIVLQIKNKPRGSVKSKIFEQGLNNLSLEENSDRKEPLLSIRPPPPPPAPLSPATSVQNSPSNLPPKITLEGTSTEKSPYLTKDEAEQQHFPDNESSQDIQDDDFGDFQAAG >Potri.016G055000.1.v4.1 pep chromosome:Pop_tri_v4:16:3643928:3645952:1 gene:Potri.016G055000.v4.1 transcript:Potri.016G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055000.v4.1 MEERPPAERGSFGRGFGGRGDRGGRGGRGDRGGRGRRRSGRKEEEEKWVPVTKLGRLVKDGKISSVEQIYLHSLPIKEYQIIDTLIGPILKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCAKEVATAIRGAIILAKLSIIPVRRGYWGNKIGKPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIDDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWKETRFIRSPFQEYTDLLAKPTSKVLITEVHED >Potri.006G153000.1.v4.1 pep chromosome:Pop_tri_v4:6:13598224:13600789:-1 gene:Potri.006G153000.v4.1 transcript:Potri.006G153000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153000.v4.1 MSSPAEYYKSLPPISKAYGTLCLFLTTAVQFGLLYLPDIALMYKPVFSSFQVWRLITTFFFLGNFSINFGIRLLMIARYGVQLEKGPFERRTADFLWMMIFGALSLLVLSAIPIFWSPFLGISLVFMLLYVWSREFPNAQINIYGLVTLKAFYLPWAMLALDVIFGAPLVPDLLGIIAGHLYYFLTVLHPLATGNILLRTPRWVNKLVARWRIGAPTPTYNSAQPDRTTQAADSTTSAAFRGRSYRLND >Potri.002G031600.5.v4.1 pep chromosome:Pop_tri_v4:2:2076193:2080235:-1 gene:Potri.002G031600.v4.1 transcript:Potri.002G031600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031600.v4.1 MGAACCVAARDKDFPSTTGCQALHRNSGCSPTLSFRWDNRRRVAGEIEDLSCQMSCGVSRDVSVEMKGTLGSDRGNLSDGLSPLESFGTPISLKSPVHEGMGVILTAQPSDLSTESNYPMGVKSLAESPDIADLPLPKISYSVHSSFSTPTTDPLPTCGHPHPPNSTPSRRARRSPGHRLLRQVSDSRILGLKSPNNCSLSEGRSSFVLSTCSHDLTVGSHGGSSDGWSMRTFSELVASSQRGRWSFDSEHFGSGFGKISGCSSRFSCSPSSDLQTCGACSKFLTEKSVWSSQRIISTNDLPVVAVLPCGHVYHAECLEATTLEVDKHDPACPICEGGEKQILKMSKKALRTEAELKAKSLKISRNRVIDSYLDSDSDDFYQQKNAMKDREAAKMDPGSGAASSSVKPFLRRRFTFGSKWSRTLSEKKGFWARHGKD >Potri.001G191701.1.v4.1 pep chromosome:Pop_tri_v4:1:17610836:17611869:1 gene:Potri.001G191701.v4.1 transcript:Potri.001G191701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191701.v4.1 MTNFGRILGKGGFGTVNHGYLNDTQAAVKMLTPSSVQGYKEFEAEVKLFLRVHHRNLTNLVGYCHEGTKMGLVYVRTWLMKI >Potri.002G010400.1.v4.1 pep chromosome:Pop_tri_v4:2:651364:652341:-1 gene:Potri.002G010400.v4.1 transcript:Potri.002G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010400.v4.1 MSRMKTVGKPPLAKSPTRLRPRRSIRSESTTSQTPPGSLAKSQRPNRKWDMEESDLRPEYQSISWELRALAKMARGEFGNGESSNGGVGRSLSANSSPLFERGRFYEEYSVRRNERLKRKKGDTGNEVKTPCNLGVTIESSKRRDSKKLESLRKSVSAAYCVERNENSRYMLRSMNKENKKPPLPVYNYEKSVLASERKVTARKARKI >Potri.006G238400.1.v4.1 pep chromosome:Pop_tri_v4:6:24028313:24032315:1 gene:Potri.006G238400.v4.1 transcript:Potri.006G238400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238400.v4.1 MRGVGAILHRFSNGISLKCPTHSLSIQRSVFLGSMDALLSSNSSNSSSLLLLYEEKHRDSSGYQVKNVRKIWTFPPVCMGRRSCKIAGRKGAQDAKKAKLYCRIGKEVVSAVKKGGPNPESNTALAALIDKFKELDVPKEILERNIKRASEKGQEAFIEKIYEVYGLGGVSIVVEVSTDKIKRSVAVIREVVKDYGGKMADPGSVLFKFRRARVVNIKVTDADRDQLLGIALDAGAEDVIEPPTYEDDSDEDRSESYYKVVSPAENYAAILSKLRNEGISFETDNGSELLPITPIEVDDEAVELNKVLMSKLLELDDVDAVYTDQK >Potri.006G190400.2.v4.1 pep chromosome:Pop_tri_v4:6:19744070:19746508:1 gene:Potri.006G190400.v4.1 transcript:Potri.006G190400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190400.v4.1 MVHTYTPAYYSTLHDSITSLCKTILPFSFKKKRLTAAEHRLSKLQSDNLKWQQDSFHQILNLMELHKEDILSENEVSAFKIHLLETLIASPLEHEQPVILRDKLVFLQELLYAKCISEDEYHSSKRPLLQRLAVQGAEIDSRDVTFAGPKDTKENKEGEWSDIDLKDEKSLIGKETLQSKNRSKPNSAIKQIKGAASVFGFGSSQKLSKHKEEKSIFEIEARLASSGHVSNESEKPLWDEGSETKSILMQASLPNESTKESGSNDKSKRKPLKTLFQKVPREGGGGGGDNGLNCEEKTSKSAKKQWGFDGFKKWKKNDSDDETAPLPLNNERSDSEAYSGSYHLVDSPIGEGPDTIHIKKKLHSNGSASDFFIDKVSGDKIKKEISKIQTELSTTNSSLQFSDDQIEAVSTKLPVDKVDLKKFCPKSWREYGDVVLDEVKEEFKDHVGEMENKRNAAREKHHNNSMRWTTFEDDDENYHPNLFSQQDHYSFPKGQNFTARNDHRAYTNPFSHDYSENNGDKMRTESFQNPFWIPRQQY >Potri.019G116600.1.v4.1 pep chromosome:Pop_tri_v4:19:14354404:14354673:-1 gene:Potri.019G116600.v4.1 transcript:Potri.019G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116600.v4.1 MSSASRAWAVAASMAAVEALKDQGFCRWNYTIRSLHHHAKNQVKSISQTKKLSSPASTVISRKVRENQKAKQSEESLRKVMYLSCWGPY >Potri.001G142800.1.v4.1 pep chromosome:Pop_tri_v4:1:11722402:11723304:-1 gene:Potri.001G142800.v4.1 transcript:Potri.001G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142800.v4.1 MAGLDLGTTSRYVHQLHHRPDLHLQHQPDPEDHDSNRAGGGLGGGSGGHFSTDHHHDDGSHQGLDLVAAAANSGQGDLVGRRPRGRPAGSKNKPKPPVIITRESANTLRAHILEVGNGCDVFECVANYARRRQRGICILSGAGTVTNVSIRQPAAAGAIVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLDEDDQLQMQSGGGGGGGGGAGGGGVGNSPFNESGTPSGGLPFFNLPLNMTANVQLPVDGWGGNSGGRVPF >Potri.016G067100.1.v4.1 pep chromosome:Pop_tri_v4:16:4741038:4745013:1 gene:Potri.016G067100.v4.1 transcript:Potri.016G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067100.v4.1 MVGWQRHLQSLIRQVGRRSAEHNHIAHFSTTTTTTSRLDSSLLHGELSYLPRLWKLPSANVSTRPFYQFLQQAGISNSRKLLADSSEEQPVSSPFTPMLALESGKTEQKAVSKPEKVQAVLKGIKMSPKKLNLVAALVRGMRVEDALLQLQVTVKRAAKTVFQVIHSARANATHNHGLDPDRLLVAEAFVGKGFFKKRISYHAKGKCGIRERPESRLTVVVRETTPEEEAKIAKLRVHNFRKLTKKERQLVPHKLIETTSIWNRKGKAADRQPSGVAA >Potri.004G018300.1.v4.1 pep chromosome:Pop_tri_v4:4:1300661:1304240:-1 gene:Potri.004G018300.v4.1 transcript:Potri.004G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018300.v4.1 MTIYRSIKTLISKIPNSNKSRSFFTTNSTIIQSPFAPLHYYYYYPPSSPPNRFLSKWIFLNAPFQGPLFLSSPPWKLLQSSAPLYLRGNAIVLRKVEAFNLHLLKSRVGSGYVGQGVLSDRVDLVKEEEVDDGGDKDGILESFVNLPNFISISRLVSGPLIGWMITNDMYSSAFVALAIAGASDWLDGYVARKMRIDSVVGSYLDPLADKVLIGSVALAMVHMDLLHPGLVGLVVLRDVALVCGAVYHRASSLGWKWTSWYDFFNLDGTRPEKVEPLFISKVNTVFQLVLVAAALIQPEFGTQETLPYITYLSWLVAGTTVASTAAYGAKYMNRPALLARKS >Potri.005G019900.1.v4.1 pep chromosome:Pop_tri_v4:5:1268904:1269613:-1 gene:Potri.005G019900.v4.1 transcript:Potri.005G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G019900.v4.1 MGEINVTSSRYAKSHQAHDLSRKHHDVARKSSSWWNSPETKRKKRVARYKLYAAEGRVKSSVKKGFRWVKKTFSRFVH >Potri.019G073400.1.v4.1 pep chromosome:Pop_tri_v4:19:11479184:11480343:-1 gene:Potri.019G073400.v4.1 transcript:Potri.019G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073400.v4.1 MAPKLSHLLSAILVIFSIQSFRGQARTLKPEHRQSFSSFLQGLEGIQKGQTVEGLIELKQYLKKFGYYPSDITLTSSDFDDHLELALKTYQEYFHLNVTGNLDSSTIQQMMIPRCGMPDIINTPSAKPNSTKSKHKKVHVVAHYAFGAQKWPPSKYALTYRFGSGVQVVGSDTLRSVCSKAFQTWAKVSKFTFREATGGASADIVIEFFSGDHGDQSPFDGPGNQLAHAFYPQDGRLHYDADENWSTDPAMDQIDLETVTVHEIGHLLGLYHSKDHPEAIMYPTTQRGSKKRDLAQDDIDGIHALYSN >Potri.001G333200.3.v4.1 pep chromosome:Pop_tri_v4:1:34150763:34157642:-1 gene:Potri.001G333200.v4.1 transcript:Potri.001G333200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333200.v4.1 MVLGMNGKNRRSSSVQVDYLVHIEDIKPWPPSQSLRSLRSVLIQWENGDRNSGSTNTVVPSLGTVVGEGKIEFNESFRLPVTLLREVPVKGKDTDTFQKNCLEFNLYEPRRDKAQLLATAVVDLADYGVIKETISLTAPVNSKRSFRSTPQPILYFKIKPIDKGRTTSSSLSKGVSMDKNGGESVSALMNEGYAEEAEVASFTDDDVSSHSSLANGGLPPQNDENGSVRMTESKHVVNKEPTAASQIVMEKQTAPQEKLKRSSSYSSSIDLSSDVGSPVNGHASVMNSAISSPSSILKDDVAQSVHSSSPSFTYKSKDEEANTSKRSNGPQDLWQEVHGKVTNSITTIRRGDIFQNNNENTSSDENRHVGAKLGNTISGDFQVNEERSQNGEEQKQFSEDEPIDNFPYDSRDDDSLGSDTFTSPGGFDMKGNILKIDRLKHVKSVRSSSDSLRSNGFGSRNQHNEVGLMRDAHHSAGSLSFNERKNAKIYPKDTRTTILDGKIQQLEHKIKMLEGELKEAAAIEASLYSVVAEHGSSMSKVHAPARRLSRLYLHACRESFQSRRASAARSAISGLVLVAKACGNDVPRLTFWLSNSVVLRTIISQTIGDTESKISSGQHTERKGNKIISSSLKWKEVSPSRKGNKNGLYEDSSDWEDPHVFTSALERVEAWIFSRTIESIWWQTLTPHMQAAATKEIAQLDSSGSKKNFGRTSRLVHEDQGNISLEHWKKAFKDACERLCPVRAGGHECGCLPVLARLIMEQCVARLDVAMFNAILRESVDEIPTDPVSDPISDPKVLPIPAGSSSFGAGAQLKNVIGNWSRWLTDLFGMDDDDLLEDDNENDEIDERPDTTFKPFHLLNALSDLMMLPKDMLLSKSIRKEVCPTFAAPLIKRVLDNFVLDEFCPDPIPDVVFEALDTEDAIEAGEESVTAVPCIAAPPIYLPPSAASIAKIIGEFGSQSKLRKSGSSIVRKSYTSDDELDELNSPLASIILDGVRSSPAPTKPSWKSKKGIDNTIRYELLREIWMNSE >Potri.001G333200.1.v4.1 pep chromosome:Pop_tri_v4:1:34150687:34157838:-1 gene:Potri.001G333200.v4.1 transcript:Potri.001G333200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333200.v4.1 MVLGMNGKNRRSSSVQVDYLVHIEDIKPWPPSQSLRSLRSVLIQWENGDRNSGSTNTVVPSLGTVVGEGKIEFNESFRLPVTLLREVPVKGKDTDTFQKNCLEFNLYEPRRDKAQLLATAVVDLADYGVIKETISLTAPVNSKRSFRSTPQPILYFKIKPIDKGRTTSSSLSKGVSMDKNGGESVSALMNEGYAEEAEVASFTDDDVSSHSSLANGGLPPQNDENGSVRMTESKHVVNKEPTAASQIVMEKQTAPQEKLKRSSSYSSSIDLSSDVGSPVNGHASVMNSAISSPSSILKDDVAQSVHSSSPSFTYKSKDEEANTSKRSNGPQDLWQEVHGKVTNSITTIRRGDIFQNNNENTSSDENRHVGAKLGNTISGDFQVNEERSQNGEEQKQFSEDEPIDNFPYDSRDDDSLGSDTFTSPGGFDMKGNILKIDRLKHVKSVRSSSDSLRSNGFGSRNQHNEVGLMRDAHHSAGSLSFNERKNAKIYPKDTRTTILDGKIQQLEHKIKMLEGELKEAAAIEASLYSVVAEHGSSMSKVHAPARRLSRLYLHACRESFQSRRASAARSAISGLVLVAKACGNDVPRLTFWLSNSVVLRTIISQTIGDTESKISSGQHTERKGNKIISSSLKWKEVSPSRKGNKNGLYEDSSDWEDPHVFTSALERVEAWIFSRTIESIWWQTLTPHMQAAATKEIAQLDSSGSKKNFGRTSRLVHEDQGNISLEHWKKAFKDACERLCPVRAGGHECGCLPVLARLIMEQCVARLDVAMFNAILRESVDEIPTDPVSDPISDPKVLPIPAGSSSFGAGAQLKNVIGNWSRWLTDLFGMDDDDLLEDDNENDEIDERPDTTFKPFHLLNALSDLMMLPKDMLLSKSIRKEVCPTFAAPLIKRVLDNFVLDEFCPDPIPDVVFEALDTEDAIEAGEESVTAVPCIAAPPIYLPPSAASIAKIIGEFGSQSKLRKSGSSIVRKSYTSDDELDELNSPLASIILDGVRSSPAPTKPSWKSKKGIDNTIRYELLREIWMNSE >Potri.013G028000.2.v4.1 pep chromosome:Pop_tri_v4:13:1820328:1821978:-1 gene:Potri.013G028000.v4.1 transcript:Potri.013G028000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028000.v4.1 MNITSLAQFESKFPKSKLQEMKLYCKQSLDDDLVCDYCTKKLLSLGNQYLHGPRPENASDCPGYLFMYTAAVINQFGPTDPGTAKCLFRLKYSMKSTAYRFHSAVIPGIVVGSMFGVVGGFIAVWFRRTQRGRSEKEKVSPEDGEVSLDLGFGLHSRGTNLVKFRIEEIRKATMNFSRHNIIGRGGYGNVYKGMLSDGSEVAFKRFKNCSASGAATFAHEVEIIASVRHVNLVSIRGYCTTTVPPEAPQRIIVCDLMRNGSLYNHLFESGKTKLSWPIRQKIALGTARGLAYLHYGVHPAIFHRDIKASNILLDDSFEPKVADFGLARFNSQGMTHFSTRVAGTLGYVAPEYALYGQLTERSDVFGFGVVLLELLSGKKAYEINEGNVSLLTDWAWSLAREGRGLDIIEENLPEMGLPEVMEQYVHIALTCAHPLLHARPTFDQIVNMLETNMPVPSSLEAYIAASSLEICSISSSYNFTSSIRVMRTNSDNLYDRKLLLLSLVCLPHSIYSFSCYKTSVLVLSLCEE >Potri.001G039700.1.v4.1 pep chromosome:Pop_tri_v4:1:2855775:2857015:1 gene:Potri.001G039700.v4.1 transcript:Potri.001G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G039700.v4.1 MDLQDRKKPEIGKVSLARDELGGWSTLLQRHRFLLTAFALLAFLCTIYLYFAVTLGATESCSGLTGTKKTLCRLELAKDSVGNGKLKFF >Potri.007G024700.1.v4.1 pep chromosome:Pop_tri_v4:7:1903647:1905925:-1 gene:Potri.007G024700.v4.1 transcript:Potri.007G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024700.v4.1 MASTAVTTFIVFIAVLFLLVNSSAAHYIPCSESLIKIAQEKNISHCKKLTTLGAEFGWEVSKHNESQVDILIGTRLNNAEMVWLAWGVNPEDKPQMVGTRAIIGIRQLNGSVGANTYNITGDTKLGCKLQPSEIDVNVTRMKLDYATSLDYLTLHATIVLPSMYNISRLNHVWQVGYDAQGAEPSMHPTALQNVDSTETIDLRNGLAQHVGELEGRLRKIHGVLNIIGWGTFLPAGVIIARYFPYPLTLGSYRYHLHVGCQIIGYILGVTGWSVGLWLGQASKHYSFKIHRLFATFIFTFTTLQMLALHLKPRKTDEYRKYWNMYHHFLGYALLAVISVNIFHGIDILRPDHSWKWAYVGILGVFAVIAIALEIYTWAKFLTEDKKSKTVKPGSGSGSGSGGTAATETQTTTS >Potri.007G024700.2.v4.1 pep chromosome:Pop_tri_v4:7:1903647:1905925:-1 gene:Potri.007G024700.v4.1 transcript:Potri.007G024700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024700.v4.1 MASTAVTTFIVFIAVLFLLVNSSAAHYIPCSESLIKIAQEKNISHCKKLTTLGAEFGWEVSKHNESQVDILIGTRLNNAEMVWLAWGVNPEDKPQMVGTRAIIGIRQLNGSVGANTYNITGDTKLGCKLQPSEIDVNVTRMKLDYATSLDYLTLHATIVLPSMYNISRLNHVWQVGYDAQGAEPSMHPTALQNVDSTETIDLRNGLAQHVGELEGRLRKIHGVLNIIGWGTFLPAGVIIARYFPYPLTLGSYRLFATFIFTFTTLQMLALHLKPRKTDEYRKYWNMYHHFLGYALLAVISVNIFHGIDILRPDHSWKWAYVGILGVFAVIAIALEIYTWAKFLTEDKKSKTVKPGSGSGSGSGGTAATETQTTTS >Potri.006G061201.1.v4.1 pep chromosome:Pop_tri_v4:6:4359046:4363053:-1 gene:Potri.006G061201.v4.1 transcript:Potri.006G061201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061201.v4.1 MSDNHFSGRVPSSFDFLLYLQVFDLSNNNISGTLPSFFNSSNLLHVYLSRNMLQGSLEHAFQKSFELITLDLSHNHLTGSIPKWIGEFSQLSFLLLGYNNLYGSIPTQLCKLNELSFIDLSHNNFSGHILPCLRFKSSIWFILLEEYPSEYSLREPLVIASKSVSYSYSPSILYYMTGLDLSCNSLSGAIPPEIGNLNHIHVLNLSNNHLIGPIPQTLSNLSEVESLDLSNNSLNGEIPPQLVQLHSLAYFSVANNNLSGKTPEMVAQFSTFSKSSYEGNPLLCGPPLLNSCTKEVPPPPPPGPSTDEKEESSVIIDAQVFCVSFVVTYIMVLLGIAAVLYMNPDWRRAWFNFIEKSINTCYYFVVDNLLKPFRIRVRKPLV >Potri.011G108500.1.v4.1 pep chromosome:Pop_tri_v4:11:13832431:13835480:-1 gene:Potri.011G108500.v4.1 transcript:Potri.011G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108500.v4.1 MNPSRPITPFRLASLLRLQKDPKLALQLFKNPNPKTPSKPFRYSLLSYDLIITKLGRAKMFNEMQEILAQLKEETLFTPKEALFCDIINFYGRARLPENALKLLVELPSFRVQRTVKSYNSLLSVFLMCKDFDKMRELFVGIEKLGKADACTYNLLIRGFCASGRLDDASKVFDEMTNRGVSPNVITFGNLIYGFCLHLRLKEAFKLKTDMVKVYRVYPNAYIYASLIKGVCKNGELSLAFRLKKEMIRNKIELDPAIYSTLISGLFKAGRKEEALGVWEDMKERGYKPDTVTYNVIINLFCKDKDFEAAYRLLDEMVEKGCKPDVISYNVILRELFEEGKRGEANDLFEDMPRRGCAPDVVSYRILFDGFCNGMQFKEAAFILDEMIFKGFVPCSASICKFVNRLCEGKNEDLLRSAFNTLEKGKLVNVDLWRMAVAMVFKDDKLSSSFNLVDSLIDVMN >Potri.017G129200.2.v4.1 pep chromosome:Pop_tri_v4:17:13255446:13259538:1 gene:Potri.017G129200.v4.1 transcript:Potri.017G129200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129200.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWILIFLLLLNSLFTYMITRFAKYFGLKKPCPWCSRIDHVLEPGKNTNSCRDLVCETHASEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSAGMTRRIAFFTWVSKDAIENGENIVLCSCCNENINSNVYPPYLLFKPSWDSLNYSKKGDLIVGEMDDEKIGIDCKEKSKPDILAHYTDHEDNNEIERDDGEGQQDHEEAADEHQMLSDGGSFGLKDAVEHCSRSETNLQCDEKEAYIMERDSYGMNSIHQGFDDSMIEYCFGKDNSIETIHLHLERNLGCDFNRLIPVELIDSSTTAIYESCNLKEELAEEIHQNGTTDSASHIEMNNEENTSYAEVESVNIAMDCCDKSLDSNCTEMKKDPDSKERKQVMSLEEAQTLSLSGNNVDMVETKEPDEFPAAHEEENNDCNLLTGQSKSKDFVELSDFAINQPQDQESTISPCVQEDHSSVNGNEAEILNAPESNECGPNNRETTTIEEKMMSADNNQESINHHLSSHLESTEAEEEKFPETPTSVDSAHYLHKKLLIFEKRESGTEESLDGSVVSEMDSGDPVLTIEQLKTALKSERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKQELEKELEVYRKKVLDYEAKEKLRMMRRTKNGSIRSRASSATCSSAEDLDELSIDLNREAKDEDGSSSIENQEIGSNNTSSDEVVNLQEIALDYVKQISALDDPLAEFEEERLSILDQLKALEEKLRNLDANEYNDDMPSEEQSSKYTVRGFDEIYEISTPEENGISNELSKNGDYPEKKTVSSMAKNLLPLLDAADNETEEGFIFNENVESEFVRMENSLVPKFDLDRKKLVIEEEVDHVYERLQALEADREFLKHCMSSIQMGDKGTDLLQEILKHLRDLRAVELRVSNMSEEPLE >Potri.017G129200.3.v4.1 pep chromosome:Pop_tri_v4:17:13255467:13259445:1 gene:Potri.017G129200.v4.1 transcript:Potri.017G129200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129200.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWILIFLLLLNSLFTYMITRFAKYFGLKKPCPWCSRIDHVLEPGKNTNSCRDLVCETHASEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSAGMTRRIAFFTWVSKDAIENGENIVLCSCCNENINSNVYPPYLLFKPSWDSLNYSKKGDLIVGEMDDEKIGIDCKEKSKPDILAHYTDHEDNNEIERDDGEGQQDHEEAADEHQMLSDGGSFGLKDAVEHCSRSETNLQCDEKEAYIMERDSYGMNSIHQGFDDSMIEYCFGKDNSIETIHLHLERNLGCDFNRLIPVELIDSSTTAIYESCNLKEELAEEIHQNGTTDSASHIEMNNEENTSYAEVESVNIAMDCCDKSLDSNCTEMKKDPDSKERKQVMSLEEAQTLSLSGNNVDMVETKEPDEFPAAHEEENNDCNLLTGQSKSKDFVELSDFAINQPQDQESTISPCVQEDHSSVNGNEAEILNAPESNECGPNNRETTTIEEKMMSADNNQESINHHLSSHLESTEAEEEKFPETPTSVDSAHYLHKKLLIFEKRESGTEESLDGSVVSEMDSGDPVLTIEQLKTALKSERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKQELEKELEVYRKKVLDYEAKEKLRMMRRTKNGSIRSRASSATCSSAEDLDELSIDLNREAKDEDGSSSIENQEIGSNNTSSDEVVNLQEIALDYVKQISALDDPLAEFEEERLSILDQLKALEEKLRNLDANEYNDDMPSEEQSSKYTVRGFDEIYEISTPEENGISNELSKNGDYPEKKTVSSMAKNLLPLLDAADNETEEGFIFNENVESEFVRMENSLVPKFDLDRKKLVIEEEVDHVYERLQALEADREFLKHCMSSIQMGDKGTDLLQEILKHLRDLRAVELRVSNMSEEPLE >Potri.017G129200.1.v4.1 pep chromosome:Pop_tri_v4:17:13255221:13259566:1 gene:Potri.017G129200.v4.1 transcript:Potri.017G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129200.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWILIFLLLLNSLFTYMITRFAKYFGLKKPCPWCSRIDHVLEPGKNTNSCRDLVCETHASEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSAGMTRRIAFFTWVSKDAIENGENIVLCSCCNENINSNVYPPYLLFKPSWDSLNYSKKGDLIVGEMDDEKIGIDCKEKSKPDILAHYTDHEDNNEIERDDGEGQQDHEEAADEHQMLSDGGSFGLKDAVEHCSRSETNLQCDEKEAYIMERDSYGMNSIHQGFDDSMIEYCFGKDNSIETIHLHLERNLGCDFNRLIPVELIDSSTTAIYESCNLKEELAEEIHQNGTTDSASHIEMNNEENTSYAEVESVNIAMDCCDKSLDSNCTEMKKDPDSKERKQVMSLEEAQTLSLSGNNVDMVETKEPDEFPAHEEENNDCNLLTGQSKSKDFVELSDFAINQPQDQESTISPCVQEDHSSVNGNEAEILNAPESNECGPNNRETTTIEEKMMSADNNQESINHHLSSHLESTEAEEEKFPETPTSVDSAHYLHKKLLIFEKRESGTEESLDGSVVSEMDSGDPVLTIEQLKTALKSERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMVKREKEKQELEKELEVYRKKVLDYEAKEKLRMMRRTKNGSIRSRASSATCSSAEDLDELSIDLNREAKDEDGSSSIENQEIGSNNTSSDEVVNLQEIALDYVKQISALDDPLAEFEEERLSILDQLKALEEKLRNLDANEYNDDMPSEEQSSKYTVRGFDEIYEISTPEENGISNELSKNGDYPEKKTVSSMAKNLLPLLDAADNETEEGFIFNENVESEFVRMENSLVPKFDLDRKKLVIEEEVDHVYERLQALEADREFLKHCMSSIQMGDKGTDLLQEILKHLRDLRAVELRVSNMSEEPLE >Potri.010G137300.1.v4.1 pep chromosome:Pop_tri_v4:10:15186958:15189181:1 gene:Potri.010G137300.v4.1 transcript:Potri.010G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137300.v4.1 MYKANVRKQILEKNNILIKEKEKPISNTQGLFSKHLKRVYPIGLHRSTSSLSLSSVSLSLSQNSNDSSLTDSSAVPLEQKISLALRLISPLERREVPVARNFQPQQQQQQQNQDSNDGEVKRCNWITKNSDKVYVAFHDECWGVPVYDDNQLFELLALSGMLMDYNWTEILKRKELFREAFEGFDPNIVAKMGEKEIMEIASNKAIMLAESRVRCIVDNSKCILKIAREFGSFSNYMWGNVNFKPTINRYKYPRNVPLRSPKAEAISKDLLKRGFRFAGPVIVYSFMQAAGLTIDHLVDCFRYSECVSLAERPWRHI >Potri.013G076400.1.v4.1 pep chromosome:Pop_tri_v4:13:6430848:6431954:-1 gene:Potri.013G076400.v4.1 transcript:Potri.013G076400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076400.v4.1 MATASSNMKGFYRQKKNKNSGITKPTSSKKSSLHHIASLGSDITQPTAQVSHGSPDHKDYYDEHEGLLRQFDMNMAYGPCLGMSRLARWERAQRLGLNPPNEFEGLLKAEMVQSECWWDGRV >Potri.011G004400.1.v4.1 pep chromosome:Pop_tri_v4:11:350046:350940:-1 gene:Potri.011G004400.v4.1 transcript:Potri.011G004400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004400.v4.1 MASEAPSWADQWGAGGIGSMEDDNYTGTKQHTSNKKKVDAKGGLNKAKAAAMSGAQKLRSGASNSFKWVKSKCQKK >Potri.007G050600.1.v4.1 pep chromosome:Pop_tri_v4:7:4898698:4902553:1 gene:Potri.007G050600.v4.1 transcript:Potri.007G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NRAMP3.2 MPVEENYQPLLQEEEERAYDSDEKVLIIGVDSDTESGGSTVLPPFSWKKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATAMGLLVQLLSARLGVATGRHLAELCREEYPTWASMVLWIMAELALIGADIQEVIGSAIAIKILSNGFVPLWAGVTITACDCFIFLFLENYGVRKLEAVFAVLIGIMAVTFGWMFADAKPSASELFLGILIPKLSSRTIQQAVGVVGCIIMPHNVFLHSALVQSREIDHNKKDRVQEALRYYSIESTTALVISFVINLFVTTVFAKGFYGTELANSIGLVNAGQYLQDKYGGGFFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWLRALITRSCAIIPTMIVALVFDTSEDSLDVLNEWLNVLQSIQIPFALIPLLCLVSKEQIMGTFKIGPILKMVAWLVAALVMVINGYLLLDFFFNEVTGVAFTTVVCGFTGAYVAFIIYLISRGFTCFSRCCPSKQIEVE >Potri.016G056400.2.v4.1 pep chromosome:Pop_tri_v4:16:3781953:3786347:1 gene:Potri.016G056400.v4.1 transcript:Potri.016G056400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056400.v4.1 MASSSSHPVLKPEIGGVGCGGGSSGGGGGESSEAAVIANDQLLLYRGLKKPKKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYKRDLEEMQNVSREEYLASLRRKSSGFSRGLSKYRALSSRWDSSCSRMPGSEYCSSVNYGDDHAAESEYGGSFCIERKIDLTGYIKWWNSHSTRQVESIMKSSEDTKHGCPDDIGSELKTSEREVKCTQPYQMPHLGLSVEGKGHTRSTISALSILSQSAAYKSLQEKASKKQETSTENDENENKNTVNKMDRGKAVEKSTSHDGCSERLGATLGITGGLSLQRNVYPSTPFLSAPLLTNYNTIDPLVDPILWTSLVPALPTGLSRNPEVTKTETSSTYSFFRPEE >Potri.014G192800.4.v4.1 pep chromosome:Pop_tri_v4:14:17032618:17041555:1 gene:Potri.014G192800.v4.1 transcript:Potri.014G192800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192800.v4.1 MGDSETVVTEPSAVMDYTAAGYPSTGYADAISNVVPGPGVYTAEGTGNFTASFVAAQAAYTGNAYGTDSTSVVLDGHVEATHGTEAVVGMDSTTNNAAVAENASIAPPQASGYDSAVNGNIGAEAGVVVSVENGNAGEVVGGADAVQQFVDGSEMSAEEDRLWNIVKANSLDFDAWTALIDETEKVAGDKILKIRKVYDAFLGEFPLCYGYWKKYADHEARLGFMDKFVEVYERAVLGVTYSVDIWLHYCMSAISMYGDPETIRRLFERGLAYVGTDYLSYPLWDKYIEYEEVHAEWGRVAMIYTRILEIPNRKLDDYFNRFKAFAASRPLAELRTAEEAAAAAAAARTLLEDGGQADEGEVHPDAAELPSKPVSAGLGEAEELEKYIAVREEIYKKAKEFDSKISDFENAIRRPYFHVRPLNVAELENWHNYLDMIEREDDFNKVVKLYERCIIACANYTEYWIRYVLCMEAYGNMDLANNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYRVVHAEIAPGLLEAITKHANMEHRLGNLEDAFSLYEQAIAIEKGKEHSQVLPALYAQYARFIYLASKNVEKAREVLVEALENAQFSKPLLEALIHLETFLPQPKRIDYIDSLVDNFILTSSDSVNAASASEREELSCIFLEFLGIFGDAQSIKKAADRHAKFFLPHSSKSELKKRHAEDYLSSDREKIAKPYSDATSPAQSLMGAYASAQNQWTAGYGLQPQAWPPATQVQAQQWTPGYNQAAYGGYGGSYTSPQVPTSGAQGAAYGAYPPTYPAQAFPQQSYAQPPAAALTPAQQPASVPQPYYGSYY >Potri.014G192800.1.v4.1 pep chromosome:Pop_tri_v4:14:17032618:17041628:1 gene:Potri.014G192800.v4.1 transcript:Potri.014G192800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192800.v4.1 MGDSETVVTEPSAVMDYTAAGYPSTGYADAISNVVPGPGVYTAEGTGNFTASFVAAQAAYTGNAYGTDSTSVVLDGHVEATHGTEAVVGMDSTTNNAAVAENASIAPPQASGYDSAVNGNIGAEAGVVVSVENGNAGEVVGGADAVQQFVDGSVPEMSAEEDRLWNIVKANSLDFDAWTALIDETEKVAGDKILKIRKVYDAFLGEFPLCYGYWKKYADHEARLGFMDKFVEVYERAVLGVTYSVDIWLHYCMSAISMYGDPETIRRLFERGLAYVGTDYLSYPLWDKYIEYEEVHAEWGRVAMIYTRILEIPNRKLDDYFNRFKAFAASRPLAELRTAEEAAAAAAAARTLLEDGGQADEGEVHPDAAELPSKPVSAGLGEAEELEKYIAVREEIYKKAKEFDSKISDFENAIRRPYFHVRPLNVAELENWHNYLDMIEREDDFNKVVKLYERCIIACANYTEYWIRYVLCMEAYGNMDLANNALARATQVFVKRQPEIHLFAARFKEQNGDIPGARAAYRVVHAEIAPGLLEAITKHANMEHRLGNLEDAFSLYEQAIAIEKGKEHSQVLPALYAQYARFIYLASKNVEKAREVLVEALENAQFSKPLLEALIHLETFLPQPKRIDYIDSLVDNFILTSSDSVNAASASEREELSCIFLEFLGIFGDAQSIKKAADRHAKFFLPHSSKSELKKRHAEDYLSSDREKIAKPYSDATSPAQSLMGAYASAQNQWTAGYGLQPQAWPPATQVQAQQWTPGYNQAAYGGYGGSYTSPQVPTSGAQGAAYGAYPPTYPAQAFPQQSYAQPPAAALTPAQQPASVPQPYYGSYY >Potri.011G134200.2.v4.1 pep chromosome:Pop_tri_v4:11:16723506:16725721:1 gene:Potri.011G134200.v4.1 transcript:Potri.011G134200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.011G134200.15.v4.1 pep chromosome:Pop_tri_v4:11:16723506:16726302:1 gene:Potri.011G134200.v4.1 transcript:Potri.011G134200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.011G134200.17.v4.1 pep chromosome:Pop_tri_v4:11:16723506:16725722:1 gene:Potri.011G134200.v4.1 transcript:Potri.011G134200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.011G134200.14.v4.1 pep chromosome:Pop_tri_v4:11:16723506:16726244:1 gene:Potri.011G134200.v4.1 transcript:Potri.011G134200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGDARSFLVLRSSAGVTNVKPNKLSSASVMLWDVSPCLFVGVPCSFGFCFSKR >Potri.011G134200.13.v4.1 pep chromosome:Pop_tri_v4:11:16723506:16725723:1 gene:Potri.011G134200.v4.1 transcript:Potri.011G134200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.011G134200.18.v4.1 pep chromosome:Pop_tri_v4:11:16723506:16725722:1 gene:Potri.011G134200.v4.1 transcript:Potri.011G134200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.011G134200.19.v4.1 pep chromosome:Pop_tri_v4:11:16723506:16725722:1 gene:Potri.011G134200.v4.1 transcript:Potri.011G134200.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.011G134200.16.v4.1 pep chromosome:Pop_tri_v4:11:16723506:16725739:1 gene:Potri.011G134200.v4.1 transcript:Potri.011G134200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.002G234700.1.v4.1 pep chromosome:Pop_tri_v4:2:22782058:22791456:-1 gene:Potri.002G234700.v4.1 transcript:Potri.002G234700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234700.v4.1 MEYWAQKPVEVRAIEALGKGFDLSSDFRLKYAKGMSSNNERLVLLDETNKRDVVFPGGLTISNVSEDIRCDKGDRTRYKSDVLEFNQMSELLNHKSSIQGKVPSGYHNAIFDLSGDWLHDTTDSKFLAFDGYFISLYYLHLTASRLTLKDEVKKSVPPRWDPALLSRFIHTYGTHIVIGMAVGGQDLICVKQKPSSTIPPAEVRKHLEDLGDYLFSDRRSPSLLQGNARDEKQVPEVFNRILQSNTMQLTSITETSSKDGLTIICSKRGGDVFSNSHSNWLQTVPAKPEAILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPAPDDLQCFLEFQVPKQWAPMFCELPLRHQRKRTSYPSLQFSFLGHKIYVSPSQVSSDKKPVVGLRLYLEGKKCNRLALHLQHLSSLPNIMTFPSANPITCMPCQWRGSDDYRSNDQFLEPIRWRRYSKVCTSVVKHDPSWLQGDTSGVFIVTGVQLLCKGRWPKTVLHLRLLFTHLPNCTIRKTAWAAAPEASQKSSFLTNLSTTFTFTQRTTTAPEKQGPAAINSGVYPDGPPVPIRSKKLLKYVDVAEVVKGPHDAPGHWLVTAAKLVTDGGKIGLHVKFALLDYGFHCA >Potri.002G234700.7.v4.1 pep chromosome:Pop_tri_v4:2:22782055:22790317:-1 gene:Potri.002G234700.v4.1 transcript:Potri.002G234700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234700.v4.1 MSELLNHKSSIQGKVPSGYHNAIFDLSGDWLHDTTDSKFLAFDGYFISLYYLHLTASRLTLKDEVKKSVPPRWDPALLSRFIHTYGTHIVIGMAVGGQDLICVKQKPSSTIPPAEVRKHLEDLGDYLFSDRRSPSLLQGNARDEKQVPEVFNRILQSNTMQLTSITETSSKDGLTIICSKRGGDVFSNSHSNWLQTVPAKPEAILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPAPDDLQCFLEFQVPKQWAPMFCELPLRHQRKRTSYPSLQFSFLGHKIYVSPSQVSSDKKPVVGLRLYLEGKKCNRLALHLQHLSSLPNIMTFPSANPITCMPCQWRGSDDYRSNDQFLEPIRWRRYSKVCTSVVKHDPSWLQGDTSGVFIVTGVQLLCKGRWPKTVLHLRLLFTHLPNCTIRKTAWAAAPEASQKSSFLTNLSTTFTFTQRTTTAPEKQGPAAINSGVYPDGPPVPIRSKKLLKYVDVAEVVKGPHDAPGHWLVTAAKLVTDGGKIGLHVKFALLDYGFHCA >Potri.002G234700.3.v4.1 pep chromosome:Pop_tri_v4:2:22782058:22791456:-1 gene:Potri.002G234700.v4.1 transcript:Potri.002G234700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234700.v4.1 MAVGGQDLICVKQKPSSTIPPAEVRKHLEDLGDYLFSDRRSPSLLQGNARDEKQVPEVFNRILQSNTMQLTSITETSSKDGLTIICSKRGGDVFSNSHSNWLQTVPAKPEAILFKFVPITSLLTGIPGSGYLSHAINLYLRYKPAPDDLQCFLEFQVPKQWAPMFCELPLRHQRKRTSYPSLQFSFLGHKIYVSPSQVSSDKKPVVGLRLYLEGKKCNRLALHLQHLSSLPNIMTFPSANPITCMPCQWRGSDDYRSNDQFLEPIRWRRYSKVCTSVVKHDPSWLQGDTSGVFIVTGVQLLCKGRWPKTVLHLRLLFTHLPNCTIRKTAWAAAPEASQKSSFLTNLSTTFTFTQRTTTAPEKQGPAAINSGVYPDGPPVPIRSKKLLKYVDVAEVVKGPHDAPGHWLVTAAKLVTDGGKIGLHVKFALLDYGFHCA >Potri.008G149500.1.v4.1 pep chromosome:Pop_tri_v4:8:10189238:10195946:1 gene:Potri.008G149500.v4.1 transcript:Potri.008G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149500.v4.1 MQDIFGSVRRSLVFKSTSGGGGEDGGFSGFVEKIGSSIRTSRIGLFAKPSIPSLPPPSKKEDAPPIRWRKGELIGCGAFGRVYMGMNLDSGELLAVKQVSIAASSASKEKTQAHIRELEEEVKLLKNLSHPNIVRYLGTAREDDSLNILLEFVPGGSISSLLGKFGSFPESVIRMYTKQLLLGLEYLHKNGIMHRDIKGANILVDNKGCIKLADFGASKKVVELATINGAKSMKGTPYWMAPEVILQTGHSFSADIWSVGCTVIEMATGKPPWSQQYQEVAALFHIGTTKSHPPIPEHLSIEAKDFLLKCLQEVPNLRPAASELLQHPFVTGEYQETHSVFRNSVRESGNLIAATGSNLKSSMNSVIRRSTCAGLKDVCEMGSVKSSTVYRDNLSRSRSYWGAANFDDDMCLIDDKDDFAVSASTRFKSTLASADLNKSVNPMCEPLDDWPCKFDEDPLFKRSGYNLSCSQSNHEAIDIHEASGKGQNDFTFPCGPVVPEDDDEVTESKIRAFLDEKALDLKKLQTPLYEEFYNSTLNTMGAPTAVGTENSENPTHLPSLPPKSRSPKRLPSRRLSAVVDAPSIASPGRQTNHVANESSIHNRALQEIQPPQLSEWKEFLHDGQQETLTSSTSFSERQRKWEEELYQELERKREMMRQAGVGGKTSSPKDPILMQQRERLRFAFIGK >Potri.001G287600.7.v4.1 pep chromosome:Pop_tri_v4:1:29990296:29996247:-1 gene:Potri.001G287600.v4.1 transcript:Potri.001G287600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287600.v4.1 MSDYLSTTLLTKKKEIDCVIRDAIDKVLVLRFGRASDPVCLHLDDILSKSAREVSKFATIALVDIDSEDVQVYVNYFDITLVPSTVFFFNAHHMKMDSGTADHTKWVGAFHRKQDFIDVVEAIFRAAMKGKLIANCPLPPERIPKYELLYKNL >Potri.002G106100.2.v4.1 pep chromosome:Pop_tri_v4:2:7850322:7854536:1 gene:Potri.002G106100.v4.1 transcript:Potri.002G106100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106100.v4.1 MKKGNRRSKRSSIADSSSPSKDESDQPQQNQDCDKRVHDLEKENEALKREIEELKEKDGAKISSPISVGGSVGKRKQDDIQKLRNLEEQVMELKKKLNAHSQLSKLKQKNGESGRPDEIQRLKAQKVQLLCKMKLDSVQFRLSKASLEREVLQMKKEQRRNQYEMRKLLALNQRQKLVLQRKTEEASMAAKRLKGLLESRKALSQKNSGVKAGNNAGSQGIELELKVAARVEEIRSEYERQMEEMDYEVRKFEEEAEKLRLENFRCLLQDKEVECTVRDSELRDLKEEVTRLSSLVSRLGMAKAQVNSRNPQVGVVQSSFSVGSSIELLGTDTYESEGSGGNTAVMGKSASGVCCSCSKKSLCKTTKCECRAAGGSCGTCCGCAALKCTNRKVSIKADDSQQSEVAQKLHVSSSSETGKDVIMSQSTDLNNDLQPLRKPLHEIGNARMNSSPIKPVKKTRKQKSALQLDTTSQSCSLPENAEGPRKMEKFALADIPLKLTRGAKRSAAR >Potri.016G028300.1.v4.1 pep chromosome:Pop_tri_v4:16:1573038:1573903:-1 gene:Potri.016G028300.v4.1 transcript:Potri.016G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028300.v4.1 MASSAQTTETSKPSTETTAETTTKSTKIITLKISDEAIFEVEDSVAMEILVIVKSFLKDQSPSTKIVPLPNILAKPFSQFIEFCKEHVMFKENPDKEKQKKISEFFLKEKSNEELLDMITVAKYLEAEDLLDLLSQAAADRIQNKSVEYMRKFFGIENDFTPEEEAKLCEERSWAFEDVDEGY >Potri.003G201700.1.v4.1 pep chromosome:Pop_tri_v4:3:20165979:20169742:1 gene:Potri.003G201700.v4.1 transcript:Potri.003G201700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201700.v4.1 MMDSGTRRSSCVLFAIFITFSCLCFSSSSKTLQTSTTKKNVWESTKWQRSDCNRYEGSWVQDTSYPLYNSSACPFIRKEFDCQKYGRPDHLYLQYRWQPSACDLPRFDGIDFLEKLKGKKIMFIGDSVSVNHYESLLCLLHAAVPDARITTQTSNSTNTVTFQDHGVSISVFETHYLVDIEQEQIGRVLKLESIKDGNTWKDMDVLVFNTWLWWYRRGPKQPWDYVQEGQSILKDMDRMVAFQKGLTTWAKWVDSDVDTSKTTVIFQGISPFHYHGEEWDEPGVTNCGKETEPVSGSTYPGGSPLALQVVEDVLSTIKKPVHLLNITTLSQLRKDGHPSSYNGFKGMDCTHWCVAGVPDTWNELLYTAITS >Potri.014G180500.1.v4.1 pep chromosome:Pop_tri_v4:14:14000100:14006086:-1 gene:Potri.014G180500.v4.1 transcript:Potri.014G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180500.v4.1 MPSLLLILILLLLFCILKLTLSMIWVPLRIQTHFKKQGISGPKYKIIFGNSAEIRRLFAAAAESKSTCINHDILQRVAPLYYEWSRKYGKTFLYWFGSKPRLAMSDPDMIKEILMNTSGSFEKIPFNPQSKVLFGGGLVGLDGDKWALHRRITNQAFNMERVKGWVPEIVGSIAKMLEKWEGIRGGRDEFEMDVHKELHDLSADIISRTAFGSSYEEGKRIFTLQEQQMHLVSQALRSVYIPGFRFVPTKKNRERRRLENETREAIRMLIKNNSRARENSRNLLSSLMSSYKNHEGGAEIPNKGKEDTLGVEEIIDECKTFYFAGKETTANLLTWSLILLALHQEWQNKAREEVFSVCGGNDLPVAENLNDLKIVNLILNETLRLYPPATMLMRQASKKVKLGTLDIPAGTQIYLSLTAVHHDTDIWGEDGSEFNPSRFNESRNHLASFFPFGIGPRICVPMLIKHYSFIVSPTYVHAPMLFISLQPQYGAQILFRRISN >Potri.001G113400.3.v4.1 pep chromosome:Pop_tri_v4:1:9182726:9186166:-1 gene:Potri.001G113400.v4.1 transcript:Potri.001G113400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113400.v4.1 MDYISSVFQIDYNSTDDLVQYFSSFPTQQQTTPKDKVPLRPYEDCHDLANKTQHGRRRKSPIALGSVKDENPNDNKKKKIIHRDIERQRRQEMANLYGSLRCLLPLKYLKGKRSTSDHIHQTVYYIKHQEEKIQKLIDKKDELKRYLSTSSALENLEGCERDTLTVRTRCVGVEVDINTALKKGFPLSRVLAILIEEGFSVVSCISTKVNERMLHNIISEVTDGRSLDISELQQKLTSAIV >Potri.001G113400.2.v4.1 pep chromosome:Pop_tri_v4:1:9184230:9186166:-1 gene:Potri.001G113400.v4.1 transcript:Potri.001G113400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113400.v4.1 MDYISSVFQIDYNSTDDLVQYFSSFPTQQQTTPKDKVPLRPYEDCHDLANKTQHGRRRKSPIALGSVKDENPNDNKKKKIIHRDIERQRRQEMANLYGSLRCLLPLKYLKGKRSTSDHIHQTVYYIKHQEEKIQKLIDKKDELKRYLSTSSALENLEGCERDTLTVRTRCVGVEVDINTALKKGFPLSRVLAILIEEGFSVVSCISTKVNERMLHNIISEVTDGRSLDISELQQKLTSAIV >Potri.001G113400.4.v4.1 pep chromosome:Pop_tri_v4:1:9184230:9186166:-1 gene:Potri.001G113400.v4.1 transcript:Potri.001G113400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113400.v4.1 MDYISSVFQIDYNSTDDLVQYFSSFPTQQQTTPKDKVPLRPYEDCHDLANKTQHGRRRKSPIALGSVKDENPNDNKKKKIIHRDIERQRRQEMANLYGSLRCLLPLKYLKGKRSTSDHIHQTVYYIKHQEEKIQKLIDKKDELKRYLSTSSALENLEGCERDTLTVRTRCVGVEVDINTALKKGFPLSRVLAILIEEGFSVVSCISTKVNERMLHNIISEVTDGRSLDISELQQKLTSAIV >Potri.011G015400.3.v4.1 pep chromosome:Pop_tri_v4:11:1401491:1406057:1 gene:Potri.011G015400.v4.1 transcript:Potri.011G015400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015400.v4.1 MAAGKYKESYSSRFPNCKYQVFLSFRGEDTRKNFTDHLYTTLVQAGIHTFRDDNEIRRGENIDFELQKAIQQSKISIIVFSKNYACSRWCLDELVMIMERRRTTSSIVFPVFYDVLPSEVRNQTGSFAAAFVEQEKRFKEEMERVNGWRIALKEVADLAGMVLGDGYEAQFVQSIVENVSKNLDPKIFHVPLHFIGRDALVQYINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSGNDIEWVRCKIEPLYDEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTFLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGRKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSSRSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLLALEKLILEDCIRLVQIHESISDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPFKLFFPSRFSARKMLRFTSFSLPCFLERLDLSGTTMRFLPESIKDLGLLRHLYLRNCKMLQALPELPSHLDSLDVSFCYSLQRLANSNRWTEGDGCDHLVEFQDPIKQELIQKFDSHMFRIMETVCAQIQTSRFQILFAHGSFDVVVYVFDEEKKLRWFHEDKWLIENEFVENFSFKISSPPPAHRICGFNLFISCVTSAYRGFSYVYIEIRNNTSGRSFLCQAFVFPIRYKRDVREIQSLLHRKLGGNDPTFDNGDDVSISVRPHGPIQVRTIGIRWLHEEEGKDDDIQSKDEVINAHNSSDDDDDDDAAHVAKVEIPSHLLRNYYCGFRTDCGWWCFRRNSV >Potri.011G015400.7.v4.1 pep chromosome:Pop_tri_v4:11:1401589:1406064:1 gene:Potri.011G015400.v4.1 transcript:Potri.011G015400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015400.v4.1 MAAGKYKESYSSRFPNCKYQVFLSFRGEDTRKNFTDHLYTTLVQAGIHTFRDDNEIRRGENIDFELQKAIQQSKISIIVFSKNYACSRWCLDELVMIMERRRTTSSIVFPVFYDVLPSEVRNQTGSFAAAFVEQEKRFKEEMERVNGWRIALKEVADLAGMVLGDGYEAQFVQSIVENVSKNLDPKIFHVPLHFIGRDALVQYINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSGNDIEWVRCKIEPLYDEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTFLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGRKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSSRSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLLALEKLILEDCIRLVQIHESISDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPFKLFFPSRFSARKMLRFTSFSLPCFLERLDLSGTTMRFLPESIKDLGLLRHLYLRNCKMLQALPELPSHLDSLDVSFCYSLQRLANSNRWTEGDGCDHLVEFQDPIKQELIQKFDSHMFRIMETVCAQIQTSRFQILFAHGSFDVVVYVFDEEKKLRWFHEDKWLIENEFVENFSFKISSPPPAHRICGFNLFISCVTSAYRGFSYVYIEIRNNTSGRSFLCQAFVFPIRYKRDVREIQSLLHRKLGGNDPTFDNGDDVSISVRPHGPIQVRTIGIRWLHEEEGKDDDIQSKDEVINAHNSSDDDDDDDAAHVAKVEIPSHLLRNYYCGFRTDCGWWCFRRNSV >Potri.011G015400.5.v4.1 pep chromosome:Pop_tri_v4:11:1401491:1406057:1 gene:Potri.011G015400.v4.1 transcript:Potri.011G015400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015400.v4.1 MAAGKYKESYSSRFPNCKYQVFLSFRGEDTRKNFTDHLYTTLVQAGIHTFRDDNEIRRGENIDFELQKAIQQSKISIIVFSKNYACSRWCLDELVMIMERRRTTSSIVFPVFYDVLPSEVRNQTGSFAAAFVEQEKRFKEEMERVNGWRIALKEVADLAGMVLGDGYEAQFVQSIVENVSKNLDPKIFHVPLHFIGRDALVQYINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSGNDIEWVRCKIEPLYDEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTFLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGRKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSSRSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLLALEKLILEDCIRLVQIHESISDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPFKLFFPSRFSARKMLRFTSFSLPCFLERLDLSGTTMRFLPESIKDLGLLRHLYLRNCKMLQALPELPSHLDSLDVSFCYSLQRLANSNRWTEGDGCDHLVEFQDPIKQELIQKFDSHMFRIMETVCAQIQTSRFQILFAHGSFDVVVYVFDEEKKLRWFHEDKWLIENEFVENFSFKISSPPPAHRICGFNLFISCVTSAYRGFSYVYIEIRNNTSGRSFLCQAFVFPIRYKRDVREIQSLLHRKLGGNDPTFDNGDDVSISVRPHGPIQVRTIGIRWLHEEEGKDDDIQSKDEVINAHNSSDDDDDDDAAHVAKVEIPSHLLRNYYCGFRTDCGWWCFRRNSV >Potri.011G015400.4.v4.1 pep chromosome:Pop_tri_v4:11:1401491:1406057:1 gene:Potri.011G015400.v4.1 transcript:Potri.011G015400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015400.v4.1 MAAGKYKESYSSRFPNCKYQVFLSFRGEDTRKNFTDHLYTTLVQAGIHTFRDDNEIRRGENIDFELQKAIQQSKISIIVFSKNYACSRWCLDELVMIMERRRTTSSIVFPVFYDVLPSEVRNQTGSFAAAFVEQEKRFKEEMERVNGWRIALKEVADLAGMVLGDGYEAQFVQSIVENVSKNLDPKIFHVPLHFIGRDALVQYINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSGNDIEWVRCKIEPLYDEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTFLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGRKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSSRSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLLALEKLILEDCIRLVQIHESISDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPFKLFFPSRFSARKMLRFTSFSLPCFLERLDLSGTTMRFLPESIKDLGLLRHLYLRNCKMLQALPELPSHLDSLDVSFCYSLQRLANSNRWTEGDGCDHLVEFQDPIKQELIQKFDSHMFRIMETVCAQIQTSRFQILFAHGSFDVVVYVFDEEKKLRWFHEDKWLIENEFVENFSFKISSPPPAHRICGFNLFISCVTSAYRGFSYVYIEIRNNTSGRSFLCQAFVFPIRYKRDVREIQSLLHRKLGGNDPTFDNGDDVSISVRPHGPIQVRTIGIRWLHEEEGKDDDIQSKDEVINAHNSSDDDDDDDAAHVAKVEIPSHLLRNYYCGFRTDCGWWCFRRNSV >Potri.017G010300.1.v4.1 pep chromosome:Pop_tri_v4:17:789890:793049:-1 gene:Potri.017G010300.v4.1 transcript:Potri.017G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010300.v4.1 MEIVQDQEISEPVSPSGQFLSNSILSLSIIAVMEFEAPFDDSQAIPFLKDVFLPVNPRFSSIMVVDKDGVKRWKRVEVRLTDHVNFPVFTTGMSTQFYDECFDEYLSKTAMEQLPQSQPLWEVHIINYPTSHAASNMIFKLHHSLGDGFSLMGALLSCLQRADAPPLPLTFPSVHLHTNTYGRNSSMFRKVPRFFSSVYNTASDFCSSFIKSCLVKDDKTPIRSGHSGVEFLPVAITTMAFSLDQIKQIKAKLGVTINDAITGIIFLGARMYMETVSQGSGSACSTSLVLLNTRMHGGYKPIQEMVKPDAESPWGNHFAFLNVRIPKLRDAEVKNNPLKFVLNARKIIKRKRSSFGVYLTAKYLQLAAKFRGPNGASKYIYGTMKNTSMGISNVRGPMEQMALANNPINGLYFVVTGAPQSLMAGVTSYVGKLRVSLLVEKDFIDPQKLKSHIEKAFDMIFEAACRESTPPAT >Potri.005G044700.2.v4.1 pep chromosome:Pop_tri_v4:5:2829955:2833588:1 gene:Potri.005G044700.v4.1 transcript:Potri.005G044700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044700.v4.1 MPAQGFNLWRFFLHVLLGPLATTLTLLFLVLIQAWECTPGKELSWNILSLFTTSGMLFLEVSLIAFLLQGNYASGLEDLTQPFGVSALIVGLDIFLKAVYLFGFGIPLFIDSSDHSHRMKWSLWVIHRLVLTAVYGLIMFMYHSKWRERLPARPAFYNYIAIMFILNALALFACALTGHGAGFGYWLYGITIVCYHAFYLPLLYVTFLADFFQEEGLNLENVYYSEMKDAGFFDDDWD >Potri.005G044700.1.v4.1 pep chromosome:Pop_tri_v4:5:2830002:2833590:1 gene:Potri.005G044700.v4.1 transcript:Potri.005G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044700.v4.1 MPSIHRKGLVLEIPISQNPNSTLKEGTFVGPSLFNWLVECHGFLHNAILILTSLAFVIYLAFQAKKSFRKLSNGRSSIMIAYYCSLWLVSLLNFAWSCFQAWECTPGKELSWNILSLFTTSGMLFLEVSLIAFLLQGNYASGLEDLTQPFGVSALIVGLDIFLKAVYLFGFGIPLFIDSSDHSHRMKWSLWVIHRLVLTAVYGLIMFMYHSKWRERLPARPAFYNYIAIMFILNALALFACALTGHGAGFGYWLYGITIVCYHAFYLPLLYVTFLADFFQEEGLNLENVYYSEMKDAGFFDDDWD >Potri.019G090300.1.v4.1 pep chromosome:Pop_tri_v4:19:12860050:12862430:1 gene:Potri.019G090300.v4.1 transcript:Potri.019G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090300.v4.1 MASEQSRRESATSERENYVERERVPKMASHFESLIEKTKESVVEENIGEGGNETGAQYFESLADKMRGAGTDVSSDKERERREEEQEQVEGGGKETEGKQEANGSDRNKEQQLSLDEITKLRETAQKNSFEALNAAEERYEKAKEKASRVVGSATKTVKEKFPQAKNTFAEKAAQPKDIAAEKAAQVRDIAAQKAAQTKDITAQKAAQAKDTLVEGAQKTTHYIAEKGAQAKDTIVEGAKKTSEYVVEKSKGAMGYTVEKAVAAKDVTVESGKEAACYVEKVAVDVKDKAAAAGWTAAHYTTEKAVEGTKAAARAVEYAGQKTTELAGKPLGAAKDTAASTGESIKEYTARKKEEAEREIEARKAAEGQRSFQGGEFREESQVHATGGQGAEEGNVPKKVAPEGDEQEEQYWRRQQRQEGSSLLGAITETIVEIAQATKDLVIGQDPPGAGKKGGYEASHLEYGKQEEH >Potri.019G090300.3.v4.1 pep chromosome:Pop_tri_v4:19:12860050:12862430:1 gene:Potri.019G090300.v4.1 transcript:Potri.019G090300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090300.v4.1 MASEQSRRESATSERENYVERERVPKMASHFESLIEKTKESVVEENIGEGGNETGAQYFESLADKMRGAGTDVSSDKERERREEEQEQVEGGGKETEGKQEANGSDRNKEQQLSLDEITKLRETAQKNSFEALNAAEERYEKAKEKASRVVGSATKTVKEKFPQAKNTFAEKAAQPKDIAAEKAAQVRDIAAQKAAQTKDITAQKAAQAKDTLVEGAQKTTHYIAEKGAQAKDTIVEGAKKTSEYVVEKSKGAMGYTVEKAVAAKDVTVESGKEAACYVEKVAVDVKDKAAAAGWTAAHYTTEKAVEGTKAAARAVEYAGQKTTELAGKPLGAAKDTAASTGESIKEYTARKKEEAEREIEARKAAEGQGGEFREESQVHATGGQGAEEGNVPKKVAPEGDEQEEQYWRRQQRQEGSSLLGAITETIVEIAQATKDLVIGQDPPGAGKKGGYEASHLEYGKQEEH >Potri.003G047200.3.v4.1 pep chromosome:Pop_tri_v4:3:6721650:6725244:-1 gene:Potri.003G047200.v4.1 transcript:Potri.003G047200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047200.v4.1 MVRDKRDISPVLLKFGAALAVSIAGFLLSRLKTNRNKSSQPPHSPRSSDHSTEVDVGRERSWGRDDLQVTNRTSSSGSLTSISTEIHEDSYMLKVAVDNSKVFYPSSRQSGDKDGYLLPEFNDFMKEFDFNVHNSGTSPSKDETPRSDVETPRSFKGAEKVNYEQEIKHLKNMVKMLRERERNLEVQMLEFYGHKEQETAVMELQNRLKINNMEAKLFGLKIESLRADNRRLHDQVADHVKVVTELNAARTKLKLLKKKLRSEAEQNREQILSLQNIVSRLQEQELKSAATDSDIKMKLQRLKDLETETEELKKSYLRLHLENSELASQLESTKILANSILEDPETETLRKLGNQLRQENEDLVKEVERLQADRCTDVEELVYLRWINACLRYELRNFQPPYGKTVARDLSKSLSPRSEEKAKQLILEYANTKGMMEKGINIMEFEPDHWSSSQASYMTDLGELDDSLSPKTNHSGKTKIFHKLRRLLLGKETHNQSHGSSGSRTGATGDSDSPNGSLSVSTPTDNTSDLQSTRGQTPSFYSSRHSVDTQRTRSLENSRRNSEAGSSHWYRRFSSSRASDLSLENLLDQDLYSIEKSELVKFAEVLKDPGSRAGNGNRMNKLHRKSVSVGSFEALHGSSE >Potri.003G047200.6.v4.1 pep chromosome:Pop_tri_v4:3:6721616:6725496:-1 gene:Potri.003G047200.v4.1 transcript:Potri.003G047200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047200.v4.1 MLKVAVDNSKVFYPSSRQSGDKDGYLLPEFNDFMKEFDFNVHNSGTSPSKDETPRSDVETPRSFKGAEKVNYEQEIKHLKNMVKMLRERERNLEVQMLEFYGHKEQETAVMELQNRLKINNMEAKLFGLKIESLRADNRRLHDQVADHVKVVTELNAARTKLKLLKKKLRSEAEQNREQILSLQNIVSRLQEQELKSAATDSDIKMKLQRLKDLETETEELKKSYLRLHLENSELASQLESTKILANSILEDPETETLRKLGNQLRQENEDLVKEVERLQADRCTDVEELVYLRWINACLRYELRNFQPPYGKTVARDLSKSLSPRSEEKAKQLILEYANTKGMMEKGINIMEFEPDHWSSSQASYMTDLGELDDSLSPKTNHSGKTKIFHKLRRLLLGKETHNQSHGSSGSRTGATGDSDSPNGSLSVSTPTDNTSDLQSTRGQTPSFYSSRHSVDTQRTRSLENSRRNSEAGSSHWYRRFSSSRASDLSLENLLDQDLYSIEKSELVKFAEVLKDPGSRAGNGNRMNKLHRKSVSVGSFEALHGSSE >Potri.003G047200.1.v4.1 pep chromosome:Pop_tri_v4:3:6721645:6725495:-1 gene:Potri.003G047200.v4.1 transcript:Potri.003G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047200.v4.1 MVRDKRDISPVLLKFGAALAVSIAGFLLSRLKTNRNKSSQPPHSPRSSGRERSWGRDDLQVTNRTSSSGSLTSISTEIHEDSYMLKVAVDNSKVFYPSSRQSGDKDGYLLPEFNDFMKEFDFNVHNSGTSPSKDETPRSDVETPRSFKGAEKVNYEQEIKHLKNMVKMLRERERNLEVQMLEFYGHKEQETAVMELQNRLKINNMEAKLFGLKIESLRADNRRLHDQVADHVKVVTELNAARTKLKLLKKKLRSEAEQNREQILSLQNIVSRLQEQELKSAATDSDIKMKLQRLKDLETETEELKKSYLRLHLENSELASQLESTKILANSILEDPETETLRKLGNQLRQENEDLVKEVERLQADRCTDVEELVYLRWINACLRYELRNFQPPYGKTVARDLSKSLSPRSEEKAKQLILEYANTKGMMEKGINIMEFEPDHWSSSQASYMTDLGELDDSLSPKTNHSGKTKIFHKLRRLLLGKETHNQSHGSSGSRTGATGDSDSPNGSLSVSTPTDNTSDLQSTRGQTPSFYSSRHSVDTQRTRSLENSRRNSEAGSSHWYRRFSSSRASDLSLENLLDQDLYSIEKSELVKFAEVLKDPGSRAGNGNRMNKLHRKSVSVGSFEALHGSSE >Potri.003G047200.5.v4.1 pep chromosome:Pop_tri_v4:3:6721652:6725255:-1 gene:Potri.003G047200.v4.1 transcript:Potri.003G047200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047200.v4.1 MVRDKRDISPVLLKFGAALAVSIAGFLLSRLKTNRNKSSQPPHSPRSSGRERSWGRDDLQVTNRTSSSGSLTSISTEIHEDSYMLKVAVDNSKVFYPSSRQSGDKDGYLLPEFNDFMKEFDFNVHNSGTSPSKDETPRSDVETPRSFKGAEKVNYEQEIKHLKNMVKMLRERERNLEVQMLEFYGHKEQETAVMELQNRLKINNMEAKLFGLKIESLRADNRRLHDQVADHVKVVTELNAARTKLKLLKKKLRSEAEQNREQILSLQNIVSRLQEQELKSAATDSDIKMKLQRLKDLETETEELKKSYLRLHLENSELASQLESTKILANSILEDPETETLRKLGNQLRQENEDLVKEVERLQADRCTDVEELVYLRWINACLRYELRNFQPPYGKTVARDLSKSLSPRSEEKAKQLILEYANTKGMMEKGINIMEFEPDHWSSSQASYMTDLGELDDSLSPKTNHSGKTKIFHKLRRLLLGKETHNQSHGSSGSRTGATGDSDSPNGSLSVSTPTDNTSDLQSTRGQTPSFYSSRHSVDTQRTRSLENSRRNSEAGSSHWYRRFSSSRASDLSLENLLDQDLYSIEKSELVKFAEVLKDPGSRAGNGNRMNKLHRKSVSVGSFEALHGSSE >Potri.003G047200.4.v4.1 pep chromosome:Pop_tri_v4:3:6721614:6725544:-1 gene:Potri.003G047200.v4.1 transcript:Potri.003G047200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047200.v4.1 MVRDKRDISPVLLKFGAALAVSIAGFLLSRLKTNRNKSSQPPHSPRSSDHSTEVDVGRERSWGRDDLQVTNRTSSSGSLTSISTEIHEDSYMLKVAVDNSKVFYPSSRQSGDKDGYLLPEFNDFMKEFDFNVHNSGTSPSKDETPRSDVETPRSFKGAEKVNYEQEIKHLKNMVKMLRERERNLEVQMLEFYGHKEQETAVMELQNRLKINNMEAKLFGLKIESLRADNRRLHDQVADHVKVVTELNAARTKLKLLKKKLRSEAEQNREQILSLQNIVSRLQEQELKSAATDSDIKMKLQRLKDLETETEELKKSYLRLHLENSELASQLESTKILANSILEDPETETLRKLGNQLRQENEDLVKEVERLQADRCTDVEELVYLRWINACLRYELRNFQPPYGKTVARDLSKSLSPRSEEKAKQLILEYANTKGMMEKGINIMEFEPDHWSSSQASYMTDLGELDDSLSPKTNHSGKTKIFHKLRRLLLGKETHNQSHGSSGSRTGATGDSDSPNGSLSVSTPTDNTSDLQSTRGQTPSFYSSRHSVDTQRTRSLENSRRNSEAGSSHWYRRFSSSRASDLSLENLLDQDLYSIEKSELVKFAEVLKDPGSRAGNGNRMNKLHRKSVSVGSFEALHGSSE >Potri.019G081800.1.v4.1 pep chromosome:Pop_tri_v4:19:12199145:12201255:-1 gene:Potri.019G081800.v4.1 transcript:Potri.019G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081800.v4.1 MELMESQRNTRNQQRNIGADEDRHGQKEESVAASLQLVVPLGSQSSQLQQQHGSTSTTTTSQGPFMGSISSQIGVHPSTSTSNSTITKSTIKRPSKDRHTKVDGRGRRIRMPAMCAARVFQLTRELGHKSDGETIEWLLQQAEPSIIATTGTGTIPANFSTLNVSLRSSGATISAPASKSAPLSFHSGLAFYDANDASETRRAMASNPHMLGFHHQLYPQNLVSDDNYMRKTFREDLFKETTQQQSTETIEASNSAKSRTGVQDQETASSFRPATNILPTPMWAVGPAATTNGGNTFWMLPVGGGATQAAAVTEPQMWTFPTAGAAAGVTSMQRVNFGGSGRVSNPVQLGSMIVQQQVGANQQLGLGLSESNMGMIFGGVNAYSSSRVGLGMDLEQNNQEKQPQGSDSGDENPNDSQ >Potri.004G236600.2.v4.1 pep chromosome:Pop_tri_v4:4:24082592:24086935:1 gene:Potri.004G236600.v4.1 transcript:Potri.004G236600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236600.v4.1 MGTPVGLAPGLSRKLKKVLECRTDSPDLVASLNTLSAFYNENTPQSRRHLRSTIEDRSLHLNHEFLQASHTAQQALDHVEEEVNALAECCDNIAKALSSCSASTGDIISTTERLKEELEITTQRQDIVSCFLRDYQLSNQEINALRDEDLDDNFFKALSHVQQIHANCKVLLRTHHQRAGLELMDMMAVYQEGAYERLCRWVQAECRKLGDSDNPEVDELLKTAVRWLKERPALFKYCAEEVANMRHNALFRRFISALTRGGPGGMPRPIEVHAHDPLRYVGDMLGWLHQALASERELVLVLLDPDALVDTVSTVNRFSKSLENGTGKTESDLTYVLDRIFEGVCRPFKVRVEQVLQSQPSLIIACKLSNTLEFYSYTISDLLGRDTVLCNTLWALRDAAQKTFFDILKSRGEKLLRYPPLVAVDLSPPKAVREGVSVLLEIIETHNSMMVTVTGKKPDFDPVISALLDPIIQMCEQAAEAHKSKGVSHASRRRTSSDSGQISKSSVDALLSNNSPATSSKNSETPSKIFLINCLCAIQQPLSRHKVAAGYVKKLGAMIDNHMHSLVEKEAEAILSRCGLSQKMHYFQKSLNEEGSTATGTPLVEIEDTSPVSLSECLRAFFGLIIGNESSLPEFEQMQVPKLRSEAGIQVARSLAEAYALIYTAIMDPKNGYAEPQSLARHPPDQIRIILGL >Potri.008G194900.1.v4.1 pep chromosome:Pop_tri_v4:8:13755025:13759470:-1 gene:Potri.008G194900.v4.1 transcript:Potri.008G194900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194900.v4.1 MGKGPGLYVDIGKKARDLLYKDYQSDHKFTVTTYTSTGVALTSTGIKKGELFLADVSGQLKNKNITTDIKVDTNSNLLTTITIDEPAPGLKTIFSFKVPDQRSGKVELQYQHEYAGISTSLGLTANPIVNFSGVIGSNVVSLGTDLSFDTATGNFTKYNAGLSYTNADLIASLTVNDKGDTLTASYYHTVRPLTSTAVGAELTRSFSSNENTLTIGTQHALDPLTTVKARLNNYGKASALIQHEWRPKSLFTISGEVDTKAIEKSAKIGLALALKP >Potri.013G079301.1.v4.1 pep chromosome:Pop_tri_v4:13:6815763:6817493:1 gene:Potri.013G079301.v4.1 transcript:Potri.013G079301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079301.v4.1 MLGGVFLGELPKFPSRNSVNFSNSQGVVDAVGLGAQGNSGLIAADIERSSLILCGEASADTSGIKEALAALSGPIIAARGGIP >Potri.002G022800.12.v4.1 pep chromosome:Pop_tri_v4:2:1424681:1428782:1 gene:Potri.002G022800.v4.1 transcript:Potri.002G022800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022800.v4.1 MKKRNRESVSPPFPDSKKLSHSHGGVESLKRDGLKKLGLKVTQPGPDWSSKQSVCGPITKDEEEVVETLYSLAGMFTNNEEPKNDCKLGNASLDASRSTLQERSESDSPIIEAVKEDLNSICLPRIDEAAEETWHVETAKVDCLNEPSFQDWPTLSSDKVQGELGSCVAQVNLPTMFAKQEELKPLCDSFNLFIAPEQYQDTVKVKQSAQLETSLERKPDIALGLTATVSQQDQRHTICQSKTNGPALWPGLSSTVSSGACNYGSSSQSSATKFPSWMDTDCGATRPSSFQKCSSTGKASKVNTGKRSWKRSSTHVYISRLIQVLQIPESRDSLPLNLNQLRPHDILRQGVFMTINNFNGNRNGLNGATPSRAIVNMTDKNSNQRQRLHQDQPQTPSGVYNSQKQTFNFLSLSTGGGSLEANNISNGVGNRSEQSAQQQFPYLHSHLQQQHSTLASFPMSQAYCTSSSYPDQPAAQQARVPQPPYFGNLYCGSRTSPSGFAKQQQEQQQEHQEQLQRLWEAQLAAAQYRTSANSTTMTQFPNWQNVRQDSPTQISRAQPTIPTLSSQEALGPKYAQISQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTVGALPLQLLCNDRL >Potri.002G022800.9.v4.1 pep chromosome:Pop_tri_v4:2:1423797:1428280:1 gene:Potri.002G022800.v4.1 transcript:Potri.002G022800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022800.v4.1 MGGGGGCDVFDKEVLQAPSATIGTASTSNKRFKLPRKQLIDDCNGVVPRKLRSAMKKRNRESVSPPFPDSKKLSHSHGGVESLKRDGLKKLGLKVTQPGPDWSSKQSVCGPITKDEEEVVETLYSLAGMFTNNEEPKNDCKLGNASLDASRSTLQERSESDSPIIEAVKEDLNSICLPRIDEAAEETWHVETAKVDCLNEPSFQDWPTLSSDKVQGELGSCVAQVNLPTMFAKQEELKPLCDSFNLFIAPEQYQDTVKVKQSAQLETSLERKPDIALGLTATVSQQDQRHTICQSKTNGPALWPGLSSTVSSGACNYGSSSQSSATKFPSWMDTDCGATRPSSFQKCSSTGKASKVNTGKRSWKRSSTHVYISRLIQVLQIPESRDSLPLNLNQLRPHDILRQGVFMTINNFNGNRNGLNGATPSRAIVNMTDKNSNQRQRLHQDQPQTPSGVYNSQKQTFNFLSLSTGGGSLEANNISNGVGNRSEQSAQQQFPYLHSHLQQQHSTLASFPMSQAYCTSSSYPDQPAAQQARVPQPPYFGNLYCGSRTSPSGFAKQQQEQQQEHQEQLQRLWEAQLAAAQYRTSANSTTMTQFPNWQNVRQDSPTQISRAQPTIPTLSSQEALGPKYAQISQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTVGALPLQLLCNDRL >Potri.002G022800.3.v4.1 pep chromosome:Pop_tri_v4:2:1422809:1428279:1 gene:Potri.002G022800.v4.1 transcript:Potri.002G022800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022800.v4.1 MIEMNKNKDVRPRASRFSRQQIKQSGQKLLSGLNGGDFVVSWEKSKRIKRLSAVNNNNSESNKGSCSYEVEEEKKCEMGGGGGCDVFDKEVLQAPSATIGTASTSNKRFKLPRKQLIDDCNGVVPRKLRSAMKKRNRESVSPPFPDSKKLSHSHGGVESLKRDGLKKLGLKVTQPGPDWSSKQSVCGPITKDEEEVVETLYSLAGMFTNNEEPKNDCKLGNASLDASRSTLQERSESDSPIIEAVKEDLNSICLPRIDEAAEETWHVETAKVDCLNEPSFQDWPTLSSDKVQGELGSCVAQVNLPTMFAKQEELKPLCDSFNLFIAPEQYQDTVKVKQSAQLETSLERKPDIALGLTATVSQQDQRHTICQSKTNGPALWPGLSSTVSSGACNYGSSSQSSATKFPSWMDTDCGATRPSSFQKCSSTGKASKVNTGKRSWKRSSTHVYISRLIQVLQIPESRDSLPLNLNQLRPHDILRQGVFMTINNFNGNRNGLNGATPSRAIVNMTDKNSNQRQRLHQDQPQTPSGVYNSQKQTFNFLSLSTGGGSLEANNISNGVGNRSEQSAQQQFPYLHSHLQQQHSTLASFPMSQAYCTSSSYPDQPAAQQARVPQPPYFGNLYCGSRTSPSGFAKQQQEQQQEHQEQLQRLWEAQLAAAQYRTSANSTTMTQFPNWQNVRQDSPTQISRAQPTIPTLSSQEALGPKYAQISQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTVGALPLQLLCNDRL >Potri.002G022800.8.v4.1 pep chromosome:Pop_tri_v4:2:1423261:1428706:1 gene:Potri.002G022800.v4.1 transcript:Potri.002G022800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022800.v4.1 MGGGGGCDVFDKEVLQAPSATIGTASTSNKRFKLPRKQLIDDCNGVVPRKLRSAMKKRNRESVSPPFPDSKKLSHSHGGVESLKRDGLKKLGLKVTQPGPDWSSKQSVCGPITKDEEEVVETLYSLAGMFTNNEEPKNDCKLGNASLDASRSTLQERSESDSPIIEAVKEDLNSICLPRIDEAAEETWHVETAKVDCLNEPSFQDWPTLSSDKVQGELGSCVAQVNLPTMFAKQEELKPLCDSFNLFIAPEQYQDTVKVKQSAQLETSLERKPDIALGLTATVSQQDQRHTICQSKTNGPALWPGLSSTVSSGACNYGSSSQSSATKFPSWMDTDCGATRPSSFQKCSSTGKASKVNTGKRSWKRSSTHVYISRLIQVLQIPESRDSLPLNLNQLRPHDILRQGVFMTINNFNGNRNGLNGATPSRAIVNMTDKNSNQRQRLHQDQPQTPSGVYNSQKQTFNFLSLSTGGGSLEANNISNGVGNRSEQSAQQQFPYLHSHLQQQHSTLASFPMSQAYCTSSSYPDQPAAQQARVPQPPYFGNLYCGSRTSPSGFAKQQQEQQQEHQEQLQRLWEAQLAAAQYRTSANSTTMTQFPNWQNVRQDSPTQISRAQPTIPTLSSQEALGPKYAQISQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTVGALPLQLLCNDRL >Potri.002G022800.11.v4.1 pep chromosome:Pop_tri_v4:2:1424064:1428439:1 gene:Potri.002G022800.v4.1 transcript:Potri.002G022800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022800.v4.1 MGGGGGCDVFDKEVLQAPSATIGTASTSNKRFKLPRKLIDDCNGVVPRKLRSAMKKRNRESVSPPFPDSKKLSHSHGGVESLKRDGLKKLGLKVTQPGPDWSSKQSVCGPITKDEEEVVETLYSLAGMFTNNEEPKNDCKLGNASLDASRSTLQERSESDSPIIEAVKEDLNSICLPRIDEAAEETWHVETAKVDCLNEPSFQDWPTLSSDKVQGELGSCVAQVNLPTMFAKQEELKPLCDSFNLFIAPEQYQDTVKVKQSAQLETSLERKPDIALGLTATVSQQDQRHTICQSKTNGPALWPGLSSTVSSGACNYGSSSQSSATKFPSWMDTDCGATRPSSFQKCSSTGKASKVNTGKRSWKRSSTHVYISRLIQVLQIPESRDSLPLNLNQLRPHDILRQGVFMTINNFNGNRNGLNGATPSRAIVNMTDKNSNQRQRLHQDQPQTPSGVYNSQKQTFNFLSLSTGGGSLEANNISNGVGNRSEQSAQQQFPYLHSHLQQQHSTLASFPMSQAYCTSSSYPDQPAAQQARVPQPPYFGNLYCGSRTSPSGFAKQQQEQQQEHQEQLQRLWEAQLAAAQYRTSANSTTMTQFPNWQNVRQDSPTQISRAQPTIPTLSSQEALGPKYAQISQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTVGALPLQLLCNDRL >Potri.002G022800.10.v4.1 pep chromosome:Pop_tri_v4:2:1422809:1428724:1 gene:Potri.002G022800.v4.1 transcript:Potri.002G022800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022800.v4.1 MGGGGGCDVFDKEVLQAPSATIGTASTSNKRFKLPRKLIDDCNGVVPRKLRSAMKKRNRESVSPPFPDSKKLSHSHGGVESLKRDGLKKLGLKVTQPGPDWSSKQSVCGPITKDEEEVVETLYSLAGMFTNNEEPKNDCKLGNASLDASRSTLQERSESDSPIIEAVKEDLNSICLPRIDEAAEETWHVETAKVDCLNEPSFQDWPTLSSDKVQGELGSCVAQVNLPTMFAKQEELKPLCDSFNLFIAPEQYQDTVKVKQSAQLETSLERKPDIALGLTATVSQQDQRHTICQSKTNGPALWPGLSSTVSSGACNYGSSSQSSATKFPSWMDTDCGATRPSSFQKCSSTGKASKVNTGKRSWKRSSTHVYISRLIQVLQIPESRDSLPLNLNQLRPHDILRQGVFMTINNFNGNRNGLNGATPSRAIVNMTDKNSNQRQRLHQDQPQTPSGVYNSQKQTFNFLSLSTGGGSLEANNISNGVGNRSEQSAQQQFPYLHSHLQQQHSTLASFPMSQAYCTSSSYPDQPAAQQARVPQPPYFGNLYCGSRTSPSGFAKQQQEQQQEHQEQLQRLWEAQLAAAQYRTSANSTTMTQFPNWQNVRQDSPTQISRAQPTIPTLSSQEALGPKYAQISQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTVGALPLQLLCNDRL >Potri.002G022800.7.v4.1 pep chromosome:Pop_tri_v4:2:1423261:1429297:1 gene:Potri.002G022800.v4.1 transcript:Potri.002G022800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022800.v4.1 MIEMNKNKDVRPRASRFSRQQIKQSGQKLLSGDFFWCLNGGDFVVSWEKSKRIKRLSAVNNNNSESNKGSCSYEVEEEKKCEMGGGGGCDVFDKEVLQAPSATIGTASTSNKRFKLPRKLIDDCNGVVPRKLRSAMKKRNRESVSPPFPDSKKLSHSHGGVESLKRDGLKKLGLKVTQPGPDWSSKQSVCGPITKDEEEVVETLYSLAGMFTNNEEPKNDCKLGNASLDASRSTLQERSESDSPIIEAVKEDLNSICLPRIDEAAEETWHVETAKVDCLNEPSFQDWPTLSSDKVQGELGSCVAQVNLPTMFAKQEELKPLCDSFNLFIAPEQYQDTVKVKQSAQLETSLERKPDIALGLTATVSQQDQRHTICQSKTNGPALWPGLSSTVSSGACNYGSSSQSSATKFPSWMDTDCGATRPSSFQKCSSTGKASKVNTGKRSWKRSSTHVYISRLIQVLQIPESRDSLPLNLNQLRPHDILRQGVFMTINNFNGNRNGLNGATPSRAIVNMTDKNSNQRQRLHQDQPQTPSGVYNSQKQTFNFLSLSTGGGSLEANNISNGVGNRSEQSAQQQFPYLHSHLQQQHSTLASFPMSQAYCTSSSYPDQPAAQQARVPQPPYFGNLYCGSRTSPSGFAKQQQEQQQEHQEQLQRLWEAQLAAAQYRTSANSTTMTQFPNWQNVRQDSPTQISRAQPTIPTLSSQEALGPKYAQISQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTVGALPLQLLCNDRL >Potri.002G022800.1.v4.1 pep chromosome:Pop_tri_v4:2:1422852:1428480:1 gene:Potri.002G022800.v4.1 transcript:Potri.002G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022800.v4.1 MIEMNKNKDVRPRASRFSRQQIKQSGQKLLSGLNGGDFVVSWEKSKRIKRLSAVNNNNSESNKGSCSYEVEEEKKCEMGGGGGCDVFDKEVLQAPSATIGTASTSNKRFKLPRKLIDDCNGVVPRKLRSAMKKRNRESVSPPFPDSKKLSHSHGGVESLKRDGLKKLGLKVTQPGPDWSSKQSVCGPITKDEEEVVETLYSLAGMFTNNEEPKNDCKLGNASLDASRSTLQERSESDSPIIEAVKEDLNSICLPRIDEAAEETWHVETAKVDCLNEPSFQDWPTLSSDKVQGELGSCVAQVNLPTMFAKQEELKPLCDSFNLFIAPEQYQDTVKVKQSAQLETSLERKPDIALGLTATVSQQDQRHTICQSKTNGPALWPGLSSTVSSGACNYGSSSQSSATKFPSWMDTDCGATRPSSFQKCSSTGKASKVNTGKRSWKRSSTHVYISRLIQVLQIPESRDSLPLNLNQLRPHDILRQGVFMTINNFNGNRNGLNGATPSRAIVNMTDKNSNQRQRLHQDQPQTPSGVYNSQKQTFNFLSLSTGGGSLEANNISNGVGNRSEQSAQQQFPYLHSHLQQQHSTLASFPMSQAYCTSSSYPDQPAAQQARVPQPPYFGNLYCGSRTSPSGFAKQQQEQQQEHQEQLQRLWEAQLAAAQYRTSANSTTMTQFPNWQNVRQDSPTQISRAQPTIPTLSSQEALGPKYAQISQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTVGALPLQLLCNDRL >Potri.005G053600.1.v4.1 pep chromosome:Pop_tri_v4:5:3390158:3393111:1 gene:Potri.005G053600.v4.1 transcript:Potri.005G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053600.v4.1 MYRYGRGVRFLSCTASKRTNNTKWDPTLSLDLNHPSLVLLEKCKTRDHFKQILCRIMRSSKLIGKTFPMSRFIYFSAVSHPDNLDLAITLFNHFTPNPNLFIYNTLISAFSSLKKIESFYLYNVLLSSGECPDKQTLLYLLQAVNFISQVKQIHCQAIVTGLFSFGYLQNTLIKVYLENGLVRLAHQVFEKMPSPDIVSFNVMIVGYAKKGFGLEAMRLFHEMVGLGLEPDEFTILGLLVSCGQLGNVKFGKAVHGWMERRKPTISSNLILGNALLDMYVKCQKVELALRTFGALKEKDIVSWNMIVAGCAKVGEFEQARLFFYQMPCRDIVSWNSLVTGYACRGDFASVKELIVDMVMEKVIPDTVTMISLVSAATESGALDQGRWAHGWVIRMQIKLDAFLGSALIDMYCKCGSIERASRVFKEINKKDVTVWTTMITGLAFHGYGSKALELFSEMQEDVSPDDVTFVSVLSACSHSGLVDQGIKVFSSMTDYGIEPGVEHYGCLVDLLARSGRLSEAKDIIDQMPMKPSRSIWGAMLNACQAQGDVELAEIASRELLNLDPEEEGGYTLLSNIYAASGRWSYSKKIRETMESRGVKKTAGCSSVVVDGVVHNFISADKCHPGWVDISSILNCLKNEMKPGADLGL >Potri.016G075300.1.v4.1 pep chromosome:Pop_tri_v4:16:5655865:5656972:-1 gene:Potri.016G075300.v4.1 transcript:Potri.016G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075300.v4.1 MSARVDIPADTSAAAKGTAPLIAASTHVKGGYKKGLAIFDLVLRLGAVVTALAAAATMGTTDQTLPFFTQFFQFQASYDDLPTFQFFVIAMAIVSGYLVLSLPFSIVAIIRPHATGPRLLLIILDTVALTLNTAAAAAAVAIVDLAQNGNSSANWLGICQQFGDFCQKASGAVVASFIAAGVLLFLIVISALALRKR >Potri.013G055300.5.v4.1 pep chromosome:Pop_tri_v4:13:4027301:4036500:1 gene:Potri.013G055300.v4.1 transcript:Potri.013G055300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055300.v4.1 MEVLVSPQGQKYNLQTAAQKFSSGGSQKDLWHVVREGSLADVDLALALHKKNGGNINARNVFGLTPLHIATWRNHIPIVKRLLLAGADPDARDGESGWSSLHRALHFGHLAVASILLQSGASTTLEDCKSRTPVDLLSGPVLQVIRDGYNSVATEVFSWGSGANYQLGTGNTHIQKLPCKVDALHGSFVKLVSAAKFHSAAVSASGEVYTWGFGRGGRLGHPEFDIHSGQAAVITPRQVTSGLGSRRVKAIAAAKHHTVLATEGGEVFTWGSNREGQLGYTVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSDSGEVFTWGCNREGQLGYGTSNSASNYTPRAVEYLKGKVLTGVSVAKYHTIVLGAGGEVYTWGHRLVTPRRVVIARNLKKSGNTPWKSHRLERLHVAAIAAGMVHSLALTDDGTLFYWASADPDLRCQQLYSLCGNNIVSISTGKYWAAVVTATGDVYMWDGKKGKDEPPAVTRLHGVKKATSVSVGETHLLIVGSLYHPIYPSSDDKSPQTQMVQVRDEIEELEEDSMFNDAESNHMLSVVEKDDSGLKSIPSLKALCEKAAAESLVEPRNVIQMLEIADSLGAEDLRKHCEDIAIHNLDYILTVSSHAFGSASPEILANLENLLDQRSSEPWSYRSLPTPTATLPVIINIEEDGESEVSRTRDNYSDKSTPRSVIDQQLNSFLQPKDDPISKQVRALRKKLQQIEMLETKQSKGHILDDQQIAKLQTRSILESSLAELGAPVETALVKASSSVSPDEKGSKKSEVSRKQRRKSKQQAEQREMPSAFTSTDAESSSVKNFMDVEVSQFPTNKEEETTFGGSVVNRTSKEIGFFVQKKSGSDLPKNKISSPAVSKKKNRKGGLSMFLSGALDEVPKDAAPPPPTPRSEGPAWGGAKVSKESASLRQIQDEQSKTKLNIPTRNKDQVEDHFDSRSDGKVLLSSLMPSKPIPLVSVPASQASDAEINTPSWASGTPPLLSRPSLRDIQMQQGKRHQSISHSPKMKTHGFSVSTGQGSPSDSPGMNRWFKPEVDTPSSIRSIQIEEKAMKDLKRFYSSVKIVKNPS >Potri.013G055300.1.v4.1 pep chromosome:Pop_tri_v4:13:4028277:4036400:1 gene:Potri.013G055300.v4.1 transcript:Potri.013G055300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055300.v4.1 MEVLVSPQGQKYNLQTAAQKFSSGGSQKDLWHVVREGSLADVDLALALHKKNGGNINARNVFGLTPLHIATWRNHIPIVKRLLLAGADPDARDGESGWSSLHRALHFGHLAVASILLQSGASTTLEDCKSRTPVDLLSGPVLQVIRDGYNSVATEVFSWGSGANYQLGTGNTHIQKLPCKVDALHGSFVKLVSAAKFHSAAVSASGEVYTWGFGRGGRLGHPEFDIHSGQAAVITPRQVTSGLGSRRVKAIAAAKHHTVLATEGGEVFTWGSNREGQLGYTVDTQPTPRRVSSLRSRIVAVAAANKHTAVVSDSGEVFTWGCNREGQLGYGTSNSASNYTPRAVEYLKGKVLTGVSVAKYHTIVLGAGGEVYTWGHRLVTPRRVVIARNLKKSGNTPWKSHRLERLHVAAIAAGMVHSLALTDDGTLFYWASADPDLRCQQLYSLCGNNIVSISTGKYWAAVVTATGDVYMWDGKKGKDEPPAVTRLHGVKKATSVSVGETHLLIVGSLYHPIYPSSDDKSPQTQMVQVRDEIEELEEDSMFNDAESNHMLSVVEKDDSGLKSIPSLKALCEKAAAESLVEPRNVIQMLEIADSLGAEDLRKHCEDIAIHNLDYILTVSSHAFGSASPEILANLENLLDQRSSEPWSYRSLPTPTATLPVIINIEEDGESEVSRTRDNYSDKSTPRSVIDQQLNSFLQPKDDPISKQVRALRKKLQQIEMLETKQSKGHILDDQQIAKLQTRSILESSLAELGAPVETALVKASSSVSPDEKGSKKSEVSRKQRRKSKQQAEQREMPSAFTSTDAESSSVKNFMDVEVSQFPTNKEEETTFGGSVVNRTSKEIGFFVQKKSGSDLPKNKISSPAVSKKKNRKGGLSMFLSGALDEVPKDAAPPPPTPRSEGPAWGGAKVSKESASLRQIQDEQSKTKLNIPTRNKDQVEDHFDSRSDGKVLLSSLMPSKPIPLVSVPASQASDAEINTPSWASGTPPLLSRPSLRDIQMQQGKRHQSISHSPKMKTHGFSVSTGQGSPSDSPGMNRWFKPEVDTPSSIRSIQIEEKAMKDLKRFYSSVKIVKNPS >Potri.005G057900.1.v4.1 pep chromosome:Pop_tri_v4:5:3641644:3645156:1 gene:Potri.005G057900.v4.1 transcript:Potri.005G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057900.v4.1 MDPYSDLALSKSPRKLKSRAPSESKESSSMEYDTAYIQYLPLYKAVDKGDLEATMEFLIEHPDGLTASISADGDTALHVAVLAGHREIVVELVDRLEPDDLKIRNRNNATALNYAAIGGITRIAEDLVAKNGGLLKVANQNGQIPVVVASLYGHKGMVRYLYSVSPKEELSPATNNKNGVMLLTTCIMDELYDIALDLLQHYPQLAFYQDSDKDTALDMLAQKPSAFPSGTQLAWWQQWIYNGIRVPHPLASSHSHGDIERPNKGPTDRRNIVKRASDQLLVMAWKGLKIFVPAIKKMYNLKLIHGQALAVLCCLCEQISTLHRSEFKEIGVYKAVFNAVKHGIVEFIVEIVRHYPDVIWFEDDLNRGIFLYATLQRQEKIFSLLYKMGAKKNSMATPWDKYHNNILHQAAFLAPSSQLDRVSGAALQMQRELQWYKEVESIVQPKYREMVNFNHRTPQALFTEQHRKLVEEGEKWMKDTSESCTVVAALISTIMFSAIFTVPGGYDQFSGIPIYLHGNSFMVFMVSDAMSLFASTSSLLMFLGILTSRYREEDFLKSLPTKMIVGLSCLFFSIATMMITFGITLFMMLRDRFPWISFPIILLASLPVTLFALLQFPLLVEIFFSTYGPGIFDRPKKWSSFEQS >Potri.008G172100.1.v4.1 pep chromosome:Pop_tri_v4:8:11882757:11885794:1 gene:Potri.008G172100.v4.1 transcript:Potri.008G172100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172100.v4.1 MAASAAAAVGSSFSPSSIHTLKCMHVKHCPFDHSLLKVSPVRIMLATLSRSNYVIEPLSQVAASWRVPRASADVAQEEAPATAPAVEEEELASGETEGEADQVPVNTKLYFGNLPYNVDSAQLAGMIQEYGTPEMVEVLYHRETGRSRGFAFVTMSSIEDCETVIENLDGSQYMGRILRVNFADKPKPKEPLYPETEYKLFIGNLSWSVTSESLTQAFQEYGNVVGARVLYDGETGKSRGYGFVCYSTKEELETALQSLNGVELEGRALRVSLAEGRKS >Potri.008G187000.1.v4.1 pep chromosome:Pop_tri_v4:8:13058773:13059727:1 gene:Potri.008G187000.v4.1 transcript:Potri.008G187000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187000.v4.1 MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSMVPQDVKDKATEDTVPMIDVTQFGYFKVLGKGVLPEKQPIVVKAKLISKIAEKKIKEAGGAVVLTA >Potri.014G058600.1.v4.1 pep chromosome:Pop_tri_v4:14:3750439:3751887:1 gene:Potri.014G058600.v4.1 transcript:Potri.014G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058600.v4.1 MDVYGGLSTTTAPDYFHIDDLLDFSNDDLLSSPSSSIDHHHHLPPPETSSIHHHHFPSSTYINNPSSLSTDFTDHLSVPTDDVAELEWLSQFVEDSFSDFPSIINIPTDTSFCNKSRSKRSRATATTATSSSPELETAVTGKSRLKKENNGAPHSPAEEGTVRRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTRHSNSHRKVLELRRQKEMTVGRQQQQQQHGYQGYEVC >Potri.015G086100.3.v4.1 pep chromosome:Pop_tri_v4:15:11041873:11046066:-1 gene:Potri.015G086100.v4.1 transcript:Potri.015G086100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086100.v4.1 MDSLELPSLNAHKISHFNLPKEQSTRLLSKVHHFNSSMQPPSLKLSPTYRAQRSRRSVIQMQAGDEDFELKQMRDMAAAKKRWDALIREGKVKILTPREAGYAIQLSNKPLLDVRPSVERKKAWVKASTWIPIFEADDNFDAGTVTRKVTNFVMGGWWSGMPTLSYDKQFLSKVEEKFPKDADLIVACQRGLRSLAACDLLNNAGYRNLFWVQGGLEAAEEEDFIGEGPQPLKFAGIGGVSEFLGWTDQQRAAAAKEGWGYRLLFSARLVGIFLVADALFIGAQQVGRYIQDLRSH >Potri.008G066600.1.v4.1 pep chromosome:Pop_tri_v4:8:4027545:4028225:1 gene:Potri.008G066600.v4.1 transcript:Potri.008G066600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066600.v4.1 MITPCWDALRAALPVGTVSGAPKVKAMELIDQMEVSRRGPYSGGLGGVSFTGDMDIALALRTMVFPTETQYNTMYSYKDAQLRREWIAGAGIVADSVPEDEHRECQNKVAGLARAIDLAELTFVNKS >Potri.001G076600.1.v4.1 pep chromosome:Pop_tri_v4:1:5777709:5781429:-1 gene:Potri.001G076600.v4.1 transcript:Potri.001G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076600.v4.1 MDQLNLCWTAGAGELLDMTPRLDRTGINTSTLDETAAGYGVLNPFPIGQCLSPLPGESPTAHTKLKRKGPKTAKDLEKKRATDRAYRRRCRENKKKNEQELFVLTEENKKLNRENDSFKREEVKLQEMVQSQKDEMTVLLQNELRQLKAQLQGQNAVVDVLSKQVASIEDNMDPQRENKRLKLEMDLLIKKINNDDYLNLLQLREKNMKLEQEKNVLQLIIDALCAKINKDSDLEPKQAS >Potri.016G047800.1.v4.1 pep chromosome:Pop_tri_v4:16:3071077:3072111:-1 gene:Potri.016G047800.v4.1 transcript:Potri.016G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047800.v4.1 MVSSPSYLRNLHPLQVFSKKPPSSTLSSMKIKTLIHTLIISHVCRIVRALSKAKSVVIEILKENHHLHFFISPTKNSKKKQKHQKIFLGSFRLHYNWCSSHVLPVPEPVLDGFSSSHFYYDSTWNSIITNEQCEDNTESQLSGYLHWLDEKVDDEGPKGIEKDINRLADLFIANCHEKFILEKQESYRRFQAMMARSM >Potri.T125804.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:113971:114183:-1 gene:Potri.T125804.v4.1 transcript:Potri.T125804.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125804.v4.1 MAINLLLRLTILPFFTTSSSPDSPTNRRILILLDDFALNSPHLFFNSRQSRNNFNLDFRLADDPKLSLQR >Potri.003G004600.2.v4.1 pep chromosome:Pop_tri_v4:3:572007:576199:-1 gene:Potri.003G004600.v4.1 transcript:Potri.003G004600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004600.v4.1 MAASTNPIPLLTPYKMGKFNLSHRVVMAPLTRDRSYNNMPQPHAILYYSQRATNGGFLISEATGVSDTAQGYPETPGIWTEEQVKAWKPIVDAVHEKGGILFCQIWHVGRVSTYGFQPNGEAPISCTDKGVTPGLYGEDWSPPHRLRADELPRIVNDFRLAARNAIEAGFDGVEIHGANGYLIDQFLKDQVNDRTDNYGGSLENRCRFPLEILEAVVDEVGADKVGMRLSPYADYMEAVDSNPEALGLYMANALNKFGILYLHVIEPRMVKMNDIYETPHSLLPMKDAFEGTFIAAGGYRRDDGNKAIAENYADLVAFGRLFLSNPDLPKRFELNAPLNRYNRNTFYIPDPVVGYTDYPFLDVLA >Potri.003G004600.3.v4.1 pep chromosome:Pop_tri_v4:3:572007:576180:-1 gene:Potri.003G004600.v4.1 transcript:Potri.003G004600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004600.v4.1 MAASTNPIPLLTPYKMGKFNLSHRVVMAPLTRDRSYNNMPQPHAILYYSQRATNGGFLISEATGVSDTAQGYPETPGIWTEEQVKAWKPIVDAVHEKGGILFCQIWHVGRVSTYGFQPNGEAPISCTDKGVTPGLYGEDWSPPHRLRADELPRIVNDFRLAARNAIEAGFDGVEIHGANGYLIDQFLKDQVNDRTDNYGGSLENRCRFPLEILEAVVDEVGADKVGMRLSPYADYMEAVDSNPEALGLYMANALNKFGILYLHVIEPRMVKMNDIYETPHSLLPMKDAFEGTFIAAGGYRRDDGNKAIAENYADLVAFGRLFLSNPDLPKRFELNAPLNRYNRNTFYIPDPVVGYTDYPFLDVLA >Potri.003G004600.1.v4.1 pep chromosome:Pop_tri_v4:3:572003:576176:-1 gene:Potri.003G004600.v4.1 transcript:Potri.003G004600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004600.v4.1 MAASTNPIPLLTPYKMGKFNLSHRVVMAPLTRDRSYNNMPQPHAILYYSQRATNGGFLISEATGVSDTAQGYPETPGIWTEEQVKAWKPIVDAVHEKGGILFCQIWHVGRVSTYGFQPNGEAPISCTDKGVTPGLYGEDWSPPHRLRADELPRIVNDFRLAARNAIEAGFDGVEIHGANGYLIDQFLKDQVNDRTDNYGGSLENRCRFPLEILEAVVDEVGADKVGMRLSPYADYMEAVDSNPEALGLYMANALNKFGILYLHVIEPRMVKMNDIYETPHSLLPMKDAFEGTFIAAGGYRRDDGNKAIAENYADLVAFGRLFLSNPDLPKRFELNAPLNRYNRNTFYIPDPVVGYTDYPFLDVLA >Potri.011G016000.1.v4.1 pep chromosome:Pop_tri_v4:11:1477816:1479724:-1 gene:Potri.011G016000.v4.1 transcript:Potri.011G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G016000.v4.1 MVRDHTTISSGIDVMKPKIHKYGEKGGQERQEVHLYNTIPDQMESRAETPLILATKSGCVEIVEEILKAYPQAVEHIDDDGRNVLHVAIKYRQLKIFKLVTRMEVPMKRLGRKIDKDGNSILHNVGKKSKDVVSDEKMEGPAFLLQEELLWFERVEKVTPSHFQGHRNNKMLTAEGFFFTANSELRNLAKEWLKTTAEGCSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVADVLSLTFALTAVVTFLSILSSPFRFKDFKHILPNKLMIGFTFLFFSVAMMMVAFGATILLMIYSKESWEKITLYAVSFIPVSISALVYFPLYSSLSKTYNYLLKKIPLIKHILAIPWKISKSLKCC >Potri.011G016000.2.v4.1 pep chromosome:Pop_tri_v4:11:1477816:1479724:-1 gene:Potri.011G016000.v4.1 transcript:Potri.011G016000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G016000.v4.1 MWWSPHHMVRDHTTISSGIDVMKPKIHKYGEKGGQERQEVHLYNTIPDQMESRAETPLILATKSGCVEIVEEILKAYPQAVEHIDDDGRNVLHVAIKYRQLKIFKLVTRMEVPMKRLGRKIDKDGNSILHNVGKKSKDVVSDEKMEGPAFLLQEELLWFERVEKVTPSHFQGHRNNKMLTAEGFFFTANSELRNLAKEWLKTTAEGCSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVADVLSLTFALTAVVTFLSILSSPFRFKDFKHILPNKLMIGFTFLFFSVAMMMVAFGATILLMIYSKESWEKITLYAVSFIPVSISALVYFPLYSSLSKTYNYLLKKIPLIKHILAIPWKISKSLKCC >Potri.001G162100.9.v4.1 pep chromosome:Pop_tri_v4:1:13739316:13741563:-1 gene:Potri.001G162100.v4.1 transcript:Potri.001G162100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162100.v4.1 MVNSPTNLPTCPFLTKYCYQEATMNKAPMHQHYEASDYGAYEFDPEVDFTQFLEEARQHAREMNLQSPLQHPESGKGRVEEEKKSRRSWKRTLLKWWKAEKKTKPTVEPTNSSHISNPRKGHVSGPIYGSARGVDARHRRQTSGPLTNLFNHSKRVENETPYMCLDQLNNPHGVKAYGPVYLVT >Potri.009G071700.2.v4.1 pep chromosome:Pop_tri_v4:9:7045198:7051856:1 gene:Potri.009G071700.v4.1 transcript:Potri.009G071700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071700.v4.1 MKSELADQIGLTEKQISSWFCHRRLKDKRLRDEVCTNGRQDRSSGIIQDRGSGLRQDSCGSTKQGDYRNLDPREVESQRLYGRDFHPADLTYDRTSRYTGNVTGIDNISSGSSSSLQDKFVCQREDPYDAETSKYLAQNGAAMPLIPKGTDSFGYKPSGYLKVKGEIENAAITAVKMQLGRHYKEDGPPLGVEFQPLPPGAFASPSRDPVSGPIYVGDLAQMCSPDVSGVRKQSSLGARYEVYSTKMSSHDSYTEGANCNPEPSDSHDRKSHHHLEQKPTYNGSNSNAGGNSAMDMLDDLAGETSAYVNKRHYRMSSKHGFEERRSDSLSTHLGPSGRRVNSEKTEAWLHDCDNDNPKIVQRNNYTSKHPHLMRGSGKSLDTEERARCTIMEKEDKLHGEMKRMKGSHDPVRVKRHPTDETTVAKRFRVDFPQQEHVAKASFSEIRRRTNLTKRSAMERPSSFSEDETPETSSSAE >Potri.009G071700.3.v4.1 pep chromosome:Pop_tri_v4:9:7045235:7051854:1 gene:Potri.009G071700.v4.1 transcript:Potri.009G071700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071700.v4.1 MKSELADQIGLTEKQISSWFCHRRLKDKRLRDEVCTNGRQDRSSGIIQDRGSGLRQDSCGSTKQGDYRNLDPREVESQRLYGRDFHPADLTYDRTSRYTGNVTGIDNISSGSSSSLQDKFVCQREDPYDAETSKYLAQNGAAMPLIPKGTDSFGYKPSGYLKVKGEIENAAITAVKMQLGRHYKEDGPPLGVEFQPLPPGAFASPSRDPVSGPIYVGDLAQMCSPDVSGVRKQSSLGARYEVYSTKMSSHDSYTEGANCNPEPSDSHDRKSHHHLEQKPTYNGSNSNAGGNSAMDMLDDLAGETSAYVNKRHYRMSSKHGFEERRSDSLSTHLGPSGRRVNSEKTEAWLHDCDNDNPKIVQRNNYTSKHPHLMRGSGKSLDTEERARCTIMEKEDKLHGEMKRMKGSHDPVRVKRHPTDETTVAKRFRVDFPQQEHVAKASFSEIRRRTNLTKRSAMERPSSFSEDETPETSSSAE >Potri.009G071700.1.v4.1 pep chromosome:Pop_tri_v4:9:7045322:7051871:1 gene:Potri.009G071700.v4.1 transcript:Potri.009G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071700.v4.1 MEESSEVHFGENRVSPEKNLKRTVKTPAQVVALENFYNEHKYPTEEMKSELADQIGLTEKQISSWFCHRRLKDKRLRDEVCTNGRQDRSSGIIQDRGSGLRQDSCGSTKQGDYRNLDPREVESQRLYGRDFHPADLTYDRTSRYTGNVTGIDNISSGSSSSLQDKFVCQREDPYDAETSKYLAQNGAAMPLIPKGTDSFGYKPSGYLKVKGEIENAAITAVKMQLGRHYKEDGPPLGVEFQPLPPGAFASPSRDPVSGPIYVGDLAQMCSPDVSGVRKQSSLGARYEVYSTKMSSHDSYTEGANCNPEPSDSHDRKSHHHLEQKPTYNGSNSNAGGNSAMDMLDDLAGETSAYVNKRHYRMSSKHGFEERRSDSLSTHLGPSGRRVNSEKTEAWLHDCDNDNPKIVQRNNYTSKHPHLMRGSGKSLDTEERARCTIMEKEDKLHGEMKRMKGSHDPVRVKRHPTDETTVAKRFRVDFPQQEHVAKASFSEIRRRTNLTKRSAMERPSSFSEDETPETSSSAE >Potri.009G071700.4.v4.1 pep chromosome:Pop_tri_v4:9:7045352:7051833:1 gene:Potri.009G071700.v4.1 transcript:Potri.009G071700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071700.v4.1 MKSELADQIGLTEKQISSWFCHRRLKDKRLRDEVCTNGRQDRSSGIIQDRGSGLRQDSCGSTKQGDYRNLDPREVESQRLYGRDFHPADLTYDRTSRYTGNVTGIDNISSGSSSSLQDKFVCQREDPYDAETSKYLAQNGAAMPLIPKGTDSFGYKPSGYLKVKGEIENAAITAVKMQLGRHYKEDGPPLGVEFQPLPPGAFASPSRDPVSGPIYVGDLAQMCSPDVSGVRKQSSLGARYEVYSTKMSSHDSYTEGANCNPEPSDSHDRKSHHHLEQKPTYNGSNSNAGGNSAMDMLDDLAGETSAYVNKRHYRMSSKHGFEERRSDSLSTHLGPSGRRVNSEKTEAWLHDCDNDNPKIVQRNNYTSKHPHLMRGSGKSLDTEERARCTIMEKVLL >Potri.009G164000.1.v4.1 pep chromosome:Pop_tri_v4:9:12584934:12585647:-1 gene:Potri.009G164000.v4.1 transcript:Potri.009G164000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164000.v4.1 MEGTPFSSMEEQPSSNPREPTTRILAFSLQPKSPSRAEPSQTMKKSSMKEHHQLPQAHQSLASYPRVENVSNEVKEQAEALILMRKESSTGRERLKRHREEVSGKVMIPETWGQEDLLTDWIDYSSFDKLLAPDGITSAREALIAEGRRACTSHQRLRV >Potri.012G072400.1.v4.1 pep chromosome:Pop_tri_v4:12:9625655:9629798:-1 gene:Potri.012G072400.v4.1 transcript:Potri.012G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072400.v4.1 MKRGKSDTKLDKLDRFVSLSRQKSVQILLALAVIYMLLVTLEIPFVFDSGPTSETTTTTPTPTLAGFSELQSEQVLQDKDAPTRPLNWVSHNFSRPSQSQLDASKILSSLGFHPETLDPTKKDGSVDLHKAAKTAWEEGIKLWDEVQSGKVKLLEVSNLKNISEPCPISVTLSGSDFLKRSKLLELPCGLTLGSHITLVGKPRAAHAEKDPKIALVKEADDEVMVSQFIMELLGLKTVEAEDPPKILHFNPRLKGDWSLKPVIEQNTCYRMQWGTALRCEGWGSEVDEETVDDQVQCEKWIRDDDDISEESKANLWLNRLIDQTKKVTFDWPFPFAEEKLFVLTLSAGLEGYHVNVDGRHVTSFPYRTGFTLEDATGLAVTGDIDVHSVFAASLPSNHPGFSLHRHLQMSSRWKAPSLSVGSVELFIGVLSAANHFAERMAVRKSWMQHRRIKSSNVVARFFVALHTRKEVNLELKKEAEYFGDIVVVPYMDNYDLVVLKTVAICEYGVRTVRAKYIMKGDDDTFVRVDFIIDEVNKVPAGRSLYIGNINYYHKPLRYGKWEVTYEEWPEEDYPPYANGPGYILSPDIACFIVSEFESHKLKLFKMEDVSMGMWVEQFNRSRPVEYVHSLKFCQFGCIEGYYTAHYQTPRQMICLWEKLQKQGRAQCCNEIMIRTSVNERPKCRNRERMITRFGEMRTGSWMRVTTWGDCPEKLKI >Potri.003G084900.3.v4.1 pep chromosome:Pop_tri_v4:3:11124458:11127854:1 gene:Potri.003G084900.v4.1 transcript:Potri.003G084900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084900.v4.1 MYRERGIGGSKAEVDHRKHRISDVLDKHLDRSTPSSSRPTNGKDLFLFMNKQQPPDYNNTDHLLSKDNNASDVESETDSEESDVSGSEGDETTWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSTQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVHCCGQPCLPVGQSDIPRSSNIKICCPKCDDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKVVQSYVPRVFGFKIHKP >Potri.003G084900.2.v4.1 pep chromosome:Pop_tri_v4:3:11124473:11127190:1 gene:Potri.003G084900.v4.1 transcript:Potri.003G084900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084900.v4.1 MYRERGIGGSKAEVDHRKHRISDVLDKHLDRSTPSSSRPTNGKDLFLFMNKQQPPDYNNTDHLLSKDNNASDVESETDSEESDVSGSEGDETTWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSTQVPYYDYALDLILDVESSHEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVHCCGQPCLPVGQSDIPRSSNIKICCPKCDDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKVVQSYVPRVFGFKIHKP >Potri.003G084900.4.v4.1 pep chromosome:Pop_tri_v4:3:11124473:11127696:1 gene:Potri.003G084900.v4.1 transcript:Potri.003G084900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084900.v4.1 MYRERGIGGSKAEVDHRKHRISDVLDKHLDRSTPSSSRPTNGKDLFLFMNKQQPPDYNNTDHLLSKDNNASDVESETDSEESDVSGSEGDETTWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSTQVPYYDYALDLILDVESSHEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVHCCGQPCLPVGQSDIPRSSNIKICCPKCDDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKFSRLVEQMEVSVAHNALV >Potri.003G084900.1.v4.1 pep chromosome:Pop_tri_v4:3:11124564:11127787:1 gene:Potri.003G084900.v4.1 transcript:Potri.003G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084900.v4.1 MYRERGIGGSKAEVDHRKHRISDVLDKHLDRSTPSSSRPTNGKDLFLFMNKQQPPDYNNTDHLLSKDNNASDVESETDSEESDVSGSEGDETTWISWFCNLRGNEFFCEVDDDYIQDDFNLCGLSTQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHARYILTSKGMAAMLDKYKNYDFGRCPRVHCCGQPCLPVGQSDIPRSSNIKICCPKCDDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKFSRLVEQMEVSVAHNALV >Potri.018G145538.1.v4.1 pep chromosome:Pop_tri_v4:18:15314968:15318756:-1 gene:Potri.018G145538.v4.1 transcript:Potri.018G145538.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145538.v4.1 MFPKDIQQLTIDNNDDATSLCDVSSQIKYATDLEDIKIFSCYSMESLVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLENIRVSDCEKMEEIIGGTRPDEEGVMGEETSSSNIEFKLPKLTMLALEGLPELKRICSAKLICDSIGAIDVRNCEKMEEIIGGTRSDEEGVMGEESSTDLKLPKLIFLQLIRLPELKSIYSAKLICDSLQLIQVRNCEKLKRMGICLSLLENGEHPNAKDVLRPFLKLVKG >Potri.019G071050.1.v4.1 pep chromosome:Pop_tri_v4:19:11285691:11286315:1 gene:Potri.019G071050.v4.1 transcript:Potri.019G071050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071050.v4.1 MRCPSSSISSIDAKCCFLLMVATWTQASCCRAILNRCVPPPMGKEIAPQIPTISAGPVWLVNKTG >Potri.003G206901.1.v4.1 pep chromosome:Pop_tri_v4:3:20614584:20615659:-1 gene:Potri.003G206901.v4.1 transcript:Potri.003G206901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206901.v4.1 MQEHKILYLKDFLEWSEASMEELIKVVEERETRLRNCYAETIDLGSEDFVKMMLLDASFIIVLPFFILEDLLKASSITRYSPAEEEYSVIKLTYKFFEERRDSLMKTGIVEEINSSEVAHFVDFIIKCQKPSESDKTEKELETINVPSITELHQAGVKFRFKPGKSLIDMKFDRGILEMPLLKIDDDTEILFRNLQAFEQCHCVEDYIANYISTINFLVVTPKDVEILDRNGIIENWIHDYEAVTTLLHNISKENALSADDFIFASLVEDLNAYCRRPWNKWKATLKQEYFHTPWAIISLIAAAILLILTTVQTVCSLIQV >Potri.008G103000.3.v4.1 pep chromosome:Pop_tri_v4:8:6505366:6509270:-1 gene:Potri.008G103000.v4.1 transcript:Potri.008G103000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103000.v4.1 MDIDSKLNKLDINSGDEASSSTQVQVDSKGTAEDKDELADSMNNLNVEASSSGQVGPTFKRKPVIIIVVGMAGSGKTTFLHRLVCHTQASRIRGYVLNLDPAVMTLPYGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVIQVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSSSPVTFMSNMLYACSILYKTRLPLVLVFNKTDVAQHQFAIEWMEDFEAFQGAMRSDDSYMSTFSQSLSLVLDEFYKNLRSVGVSAVSGAGMDAFFKAIEASAEEYMETYKADLDKRRVEKQRLEEEQQKQNMEKLRKDMENSGGQSVVLSTGLKDKARHNNMVDEEDEEDEEDIEDDDSEVFTEEDVIDEDEDEDEEVARFSF >Potri.008G103000.2.v4.1 pep chromosome:Pop_tri_v4:8:6505358:6509239:-1 gene:Potri.008G103000.v4.1 transcript:Potri.008G103000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103000.v4.1 MDIDSKLNKLDINSGDEASSSTQVQVDSKGTAEDKDELADSMNNLNVEASSSGQVGPTFKRKPVIIIVVGMAGSGKTTFLHRLVCHTQASRIRGYVLNLDPAVMTLPYGANIDIRDTVKYKEVMKQFNLGPNGGILTSLNLFATKFDEVIQVIEKRADQLDYVLVDTPGQIEIFTWSASGAIITEAFASTFPTVVAYVVDTPRSSSPVTFMSNMLYACSILYKTRLPLVLVFNKTDVAQHQFAIEWMEDFEAFQGAMRSDDSYMSTFSQSLSLVLDEFYKNLRSVGVSAVSGAGMDAFFKAIEASAEEYMETYKADLDKRRVEKQRLEEEQQKQNMEKLRKDMENSGGQSVVLSTGLKDKARHNNMVDEEDEEDEEDIEDDDSEVFTEEDVIDEDEDEDEEVARFSF >Potri.003G218500.4.v4.1 pep chromosome:Pop_tri_v4:3:21331214:21335833:-1 gene:Potri.003G218500.v4.1 transcript:Potri.003G218500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218500.v4.1 MVPGRLLLLIVTTLHYASGAFVGINIGTDVSNMPSAPDVVAILKTNQITHVRLYDADAHMLKALADSGIEVMVGVTNEEILGIGESASKAAAWINQNVAAYLPSTNITAIAVGSEVLTTIPNVVPVLVPAMNYLHKALVASNLNFQVKVSTPQAMDVIPRPFPPSTATFNSSWDSTIYQILQFLKNTNSYYMLNAYPYFGYTSGNGIFPLDYALFRSLPPVKQIVDPNTLSHYDSMFDAMVDATYYSIDALNMSGIPIVVTETGWPWLGGANEPDATADNAETFNNNMIRRVQNDSGPPSQPKFPINTYIYEMFNEDKRPGPVSEKNWGLFFTNGSSVYTFSLSTSNRITGNNSDFCVAKPNADPGKLQVGLNWACGQGGANCSAIQEGQPCYLPNTYQNHASYAYNDYYQKMHLAGATCDFDGTATTTTANPSYGSCKFTGSSTTTPNSTGGFTPSVAPGPVSPQGGSGTANLQVLRIQFVISSMFLALVLL >Potri.003G218500.5.v4.1 pep chromosome:Pop_tri_v4:3:21331199:21336216:-1 gene:Potri.003G218500.v4.1 transcript:Potri.003G218500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218500.v4.1 MVPGRLLLLIVTTLHYASGAFVGINIGTDVSNMPSAPDVVAILKTNQITHVRLYDADAHMLKALADSGIEVMVGVTNEEILGIGESASKAAAWINQNVAAYLPSTNITAIAVGSEVLTTIPNVVPVLVPAMNYLHKALVASNLNFQVKVSTPQAMDVIPRPFPPSTATFNSSWDSTIYQILQFLKNTNSYYMLNAYPYFGYTSGNGIFPLDYALFRSLPPVKQIVDPNTLSHYDSMFDAMVDATYYSIDALNMSGIPIVVTETGWPWLGGANEPDATADNAETFNNNMIRRVQNDSGPPSQPKFPINTYIYEMFNEDKRPGPVSEKNWGLFFTNGSSVYTFSLSTSNRITGNNSDFCVAKPNADPGKLQVGLNWACGQGGANCSAIQEGQPCYLPNTYQNHASYAYNDYYQKMHLAGATCDFDGTATTTTANPSYGSCKFTGSSTTTPNSTGGFTPSVAPGPVSPQGGSGTANLQVLRIQFVISSMFLALVLL >Potri.009G109100.3.v4.1 pep chromosome:Pop_tri_v4:9:9411618:9411875:1 gene:Potri.009G109100.v4.1 transcript:Potri.009G109100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109100.v4.1 MSYDDVEIEDMEWNEELQAFTYPCPCGDLFQITKDDLRLGEEIARCPSCSLYITVVYNQEDFLGDNDKSKKKNLEPAKQLPISVA >Potri.004G142300.2.v4.1 pep chromosome:Pop_tri_v4:4:16498833:16507783:-1 gene:Potri.004G142300.v4.1 transcript:Potri.004G142300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G142300.v4.1 METAVLLRSSNKLILNHRYYCPHKFFRLLSSSSSSPSSFTPRNSHRSINPLTSRSLARRRRRKLLPLSATSSSSSPSFHFNKHHFSTLSPHAISTQYSPDVSNVSDEVAAKYGFEKVSEEFIGECKSKAVLFKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDYQTFQQEGWHFELNDPSEEISYKGVVFNEMKGVYSQPDNILGRTAQQALFPDNTYGVDSGGDPKVIPQLTFEQFKEFHGKYYHPSNARIWFYGDDDPTERLRILSEYLDMFDASSAPNESRVEQQKLFSAPVRIIEKYPAGDGGDLKKKHMVCLNWLLADKPLDLETELTLGFLDHLMLGTPASPLRKILLESGLGDAIVGGGIEDELLQPQFSIGLKGVFEEDIQKVEELVMSTLKKLAEEGFETEAVEASMNTIEFSLRENNTGSFPRGLSLMLRSISKWIYDMNPFEPLKYEKPLMDLKARIAEEGYKAVFSPLIEKFILNNPHRVTVEMQPDPEKASHDEAAEREILEKVKASMTEEDLAELARATQELKLKQETPDPPEALRSVPSLFLCDIPKEPIHVPTEVGDINGVKVLKHDLFTNDVLYAEIVFNMRSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISLYPFTSSVRGREDPCSHIVARGKAMAGRVEDLFNLVNCVLQEVQFTDQQRFKQFVSQSKARMENRLRGSGHGIAAARMDAKLNVAGWISEQMGGVSYLEFLKALEKRVDQDWAGVSSSLEEIRMSLFSKNGCLINMTADGKNLTNSEKYVSKFLDLLPSKSSVEAAAWNARLSPGNEAIVIPTQVNYVGKAANIYDTGYQLNGSAYVISKYISNTWLWDRVRVSGGAYGGFCDFDTHSGVFSFLSYRDPNLLKTLDVYDGSGAFLRELEMDDDTLAKAIIGTIGDVDSYQLADAKGYSSLLRYLLGITEEERQKRREEILSTSLKDFKEFGEVIEAVKDKGVSVVVASPEDVDAANKERSNYFDVKKAL >Potri.017G117400.2.v4.1 pep chromosome:Pop_tri_v4:17:12461583:12462356:-1 gene:Potri.017G117400.v4.1 transcript:Potri.017G117400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117400.v4.1 MQCHLFPTNSWFIIFLIIICVHAPSSAFSDDDERYLSCVKSFDCGNIKGVGYPFSGSDRPDYCGYPGFELGCSNQDPEITIMQLSYKLLGINNQSRTLNVSRTDYTENLCPTLLSNTSLNPNLLSSTSDHAEVTLYYGCPSPSPAGFSAQFTCNVNDSTGMMGYFITVNLSVLSMTAPSLISYLTACNNSVKVPAHLSAIMPILPNPTVAQLLEAIYQGFELECSANDSLCDTCKSSGGQCGYNQTTTAQLVVNVSV >Potri.016G122801.1.v4.1 pep chromosome:Pop_tri_v4:16:12748530:12761528:1 gene:Potri.016G122801.v4.1 transcript:Potri.016G122801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G122801.v4.1 MEDLWTLFCGESVNSDTSGKPSGSSLVFQPTSCINHALIICFDVLLLIVLLFTFMRISSESSKIYKITPRFRGYSSLQIVSVILNGGIGFVYLCLGIWILEEKLRKNQTVLPLRSWLVVLFQGFTWLLVGLTISLLGKHLQRTPLRLLSILASLLAGIVCALSIYNAILGEGMLVRIALDVLSFPGAILLLLCVYKVYKHEGNEERDMYAPLNGEANGVSKTDSVDQVTPFAKAGFFNKMSFWWLNPLMRKGKEKTLEDEDIPKLREAEQAESCYMEFLEQLNKQKQVESSQPSLLWTIIFCHWKDIVISGFFAMLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLVLTLFFSKSLESLSQRQWYFRSRLVGLKVRSLLTAAIYKKQQRLSNDGRLMHSGGEIMNYGTVDAYRIGEFPFWFHQTWTTSFQLCLSLVILFRAVGLATFAALVVIIITVLCNTPLVKLQLKFQSKLMVAQDARLKACTEALVNMKVLKLYAWETHFKDAIENLRNVEYKWLSAVQTLKAYNGFLFWSSPVLVSAATFGACYFLKIPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFVRIVKFLEAPELQNGNVRHNRNMGSVDHAILIKSADFSWEENSSKPTLRNVSFGIRPGEKVANVEKLALASLPF >Potri.010G249900.2.v4.1 pep chromosome:Pop_tri_v4:10:22320844:22327190:-1 gene:Potri.010G249900.v4.1 transcript:Potri.010G249900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249900.v4.1 MASAKTSSRSRGTSVKENGTKLEDGLNVFKSDRFDADSYIQSKCSLNEKEIRLLCSYLLDLKRTSAEEMRKSVYANYAAFIRTSKEISDLEGELSSIRNLLSTQATLIHGLAEGVNIDSLSLKASEGSMVNELLLNVEDREPSDLEKWSVEFPDMLDVLLAERRVDEALAALDEGDRVAAEAKETESLSPGILRSLEMAITERRQKLADQLAEAACQPSTRSSELRAAISALKKLGDGARAHSLLLNAHLQRYQYNMQSLRPSSTSYGGAYTAALSQIVFSAIAQAASDSLAIFGKEREYRSELVMWATKQTEAFAVLVQRHALASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLIKLFRPSVEQALNANIKRIEESTAALAAADDWVLTYPPTSTRQSGRSSVTSLGNAAVFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQLGGQTLEGLFQVFNSYVNMLIKALPGSMEEEANFEGSGNKIVRMAETEAQQIALLANASLLADELLPRAAMKLAPLNQTNHKDDPRRRPLDRQNRHPEQREWRKRLVNSVDRLKDTFCRQHALDLIFTEDGDSHLSAEMYINMVGNADEVDWFPSPIYQELFVKLNGMAAIAAEMFVGRERFATLLLMRLTETVILWLSEDQSFWDDIEEGPRPLGPLGLHQFYLDMKFVMCFASQGRYLSRNLHRVVNEIISKAVAVLSATGMDPDRVLPEDEWFNEICQDAMERLSGKPKAIDGDREVNSPTASVSAQSISSVRSHGSS >Potri.001G018800.2.v4.1 pep chromosome:Pop_tri_v4:1:1390769:1397115:1 gene:Potri.001G018800.v4.1 transcript:Potri.001G018800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018800.v4.1 MDSQVMVALALSLVGGLSTSIGALFVILFQAPNLKMLGLLQGFAAGLMLSISFLDLAHNAINSIGFLKGNLWFFGGVVFFGIIANFIPEPTFAPSSDVKSKKKNGDQGGKDIMKKHRRQVLFSGIITAIGISLHNFPEGMAVFLGSIKGLRVGLNLALAIALHNIPEGVAVALPIYFATQSKWQAFKLATVSGFAEPLGVVLVAYLFPSSLSPEILEGLLASVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSASLYFLEISLPEEMSL >Potri.006G246700.1.v4.1 pep chromosome:Pop_tri_v4:6:24687019:24689674:1 gene:Potri.006G246700.v4.1 transcript:Potri.006G246700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246700.v4.1 MGVDYYNILKVNRNATDDDLKKAYRRLAMKWHPDKNPTTKKEAEAKFKEISEAYEVLSDPQKREIYDQCGEEGLKDAPPSGGGGFPFGNGSGGGSNGFNPRKAEDIFAEIFGSSPFGFGSTGPGKSMRFQSDGGLFGGFSSSDTPFRTFSEGTAPRKPPPVESKLPCSLEELYTGSTRKMKISRTVVDAHGRQVQETEILTIDVKPGWKKGTKITFPDKGNERQNQLPADLVFIIDEKPQTTYKRDGNDLTINHKVTLAEALGGTTVNLTTLDSRNLSIPVHDIVSPGYELVVAMEGMPIAKEPGNWGDLRIKFEVKFPTRLAPEQRAGLKRVLGG >Potri.018G006400.4.v4.1 pep chromosome:Pop_tri_v4:18:517906:521061:-1 gene:Potri.018G006400.v4.1 transcript:Potri.018G006400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006400.v4.1 MAFKPYFQRGHRANCINIEDIQLNTNWEDVICPICLDFPHNCVLLQCSSYHKGCRPFVCDTDHLHSNCLDRFKNASGMPSLSTTDSTPLTNTQPTVADNNCKLACPLCRGEVTGWVVVDRARLDLDEKKRCCAEEQCTFTGTYFELHEHAQVEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDFPRVKGNWWTSCILYKVFDNFRNSRNRRRARVVDTRRGSRRSSYDTSNSDEGSVASVDFAEYRVDETDDEFASSSIPSRGSSGHRRSRRHQSRFFDS >Potri.018G006400.7.v4.1 pep chromosome:Pop_tri_v4:18:516827:521047:-1 gene:Potri.018G006400.v4.1 transcript:Potri.018G006400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006400.v4.1 MAFKPYFQRGHRANCINIEDIQLNTNWEDVICPICLDFPHNCVLLQCSSYHKGCRPFVCDTDHLHSNCLDRFKNASGMPSLSTTDSTPLTNTQPTVADNNCKLACPLCRGEVTGWVVVDRARLDLDEKKRCCAEEQCTFTGTYFELHEHAQVEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDFPRVKGNWWTSCILYKVFDNFRNSRNRRRARVVDTRRGSRRSSYDTSNSDEGSVASVDFAEYRVDETDDEFASSSIPSRGSSGHRRSIKLPFLLHFGTFFMFD >Potri.018G006400.5.v4.1 pep chromosome:Pop_tri_v4:18:516827:521126:-1 gene:Potri.018G006400.v4.1 transcript:Potri.018G006400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006400.v4.1 MAFKPYFQRGHRANCINIEDIQLNTNWEDVICPICLDFPHNCVLLQCSSYHKGCRPFVCDTDHLHSNCLDRFKNASGMPSLSTTDSTPLTNTQPTVADNNCKLACPLCRGEVTGWVVVDRARLDLDEKKRCCAEEQCTFTGTYFELHEHAQVEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDFPRVKGNWWTSCILYKVFDNFRNSRNRRRARVVDTRRGSRRSSYDTSNSDEGSVASVDFAEYRVDETDDEFASSSIPSRGSSGHRRSRRHQSRFFDS >Potri.018G006400.6.v4.1 pep chromosome:Pop_tri_v4:18:516827:520772:-1 gene:Potri.018G006400.v4.1 transcript:Potri.018G006400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006400.v4.1 MFLSFLNVLVDCRLEVLIMAFKPYFQRGHRANCINIEDIQLNTNWEDVICPICLDFPHNCVLLQCSSYHKGCRPFVCDTDHLHSNCLDRFKNASGMPSLSTTDSTPLTNTQPTVADNNCKLACPLCRGEVTGWVVVDRARLDLDEKKRCCAEEQCTFTGTYFELHEHAQVEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDFPRVKGNWWTSCILYKVFDNFRNSRNRRRARVVDTRRGSRRSSYDTSNSDEGSVASVDFAEYRVDETDDEFASSSIPSRGSSGHRRSRRHQSRFFDS >Potri.018G006400.8.v4.1 pep chromosome:Pop_tri_v4:18:517945:521047:-1 gene:Potri.018G006400.v4.1 transcript:Potri.018G006400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006400.v4.1 MAFKPYFQRGHRANCINIEDIQLNTNWEDVICPICLDFPHNCVLLQCSSYHKGCRPFVCDTDHLHSNCLDRFKNASGMPSLSTTDSTPLTNTQPTVADNNCKLACPLCRGEVTGWVVVDRARLDLDEKKRCCAEEQCTFTGTYFELHEHAQVEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGVVLGDYVIEYGDDDTGDEFEDFPRVKGNWWTSCILYKVFDNFRNSRNRRRARVVDTRRGSRRSSYDTSNSDEGSVASVDFAEYRVDETDDEFASSSIPSRGSSGHRRSRRHQSRFFDS >Potri.013G064400.2.v4.1 pep chromosome:Pop_tri_v4:13:4775547:4779193:-1 gene:Potri.013G064400.v4.1 transcript:Potri.013G064400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064400.v4.1 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Potri.013G064400.1.v4.1 pep chromosome:Pop_tri_v4:13:4775547:4779233:-1 gene:Potri.013G064400.v4.1 transcript:Potri.013G064400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064400.v4.1 MSTPARKRLMRDFKRLQQDPPAGISGAPQDNNIMLWNAVIFGPDDTPWDGGTFKLTLQFTEDYPNKPPTVRFVSRMFHPNIYADGSICLDILQNQWSPIYDVAAILTSIQSLLCDPNPNSPANSEAARMFSENKREYNRRVREIVEQSWTAD >Potri.010G186800.1.v4.1 pep chromosome:Pop_tri_v4:10:18346731:18349032:-1 gene:Potri.010G186800.v4.1 transcript:Potri.010G186800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G186800.v4.1 MAISLNSVVGINSTLQSRYQHVSRPKTTSLGMLASRMHINGRRRGSFVSAADNDRLVTDTSVKGYGGAESSISDNQLSTVNSTSEDSPGGNSVGEESGPQTSGASNGSTVSADMKSRPKRSPLTARERLKAARVLSRYTESKASKSEMGSKVLDAMRESDKGKKRPGLPEAPENMFDDSKRGLPKEGWTFEFPGGSELFFIVVSFVLISTIMFATTYIVWKVGAIHFDEY >Potri.005G252250.1.v4.1 pep chromosome:Pop_tri_v4:5:24517837:24520160:1 gene:Potri.005G252250.v4.1 transcript:Potri.005G252250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252250.v4.1 MCIAAFIWQAHPLYPLLLLQNRDEYHNRPTEPVAWWDGSEILGGRDAVAGGTWLACSRTGRVAFITNVLELHPFPEAKSRGELPVLFLESTKSPKEFAEGLVKDAHQYNGFNLILADISSKSMVYLSNRPEGEPVVIQEVSPGLHVLSNAKLDSPWHKVQRLGLNLKDLLGKYGESEIPVKEVLEKLMRDKVKADKSRLPGICSIDWEFNLSSIFVEIDTPLGCYGTRSTAALTIGAGGEVSFYEIYLEKNVWKESTVNYRIQKLN >Potri.017G038100.1.v4.1 pep chromosome:Pop_tri_v4:17:2489847:2491296:1 gene:Potri.017G038100.v4.1 transcript:Potri.017G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX87 MGRIGYFGMLIFGLLAFMGSTEAQLKMGFYNTSCPKAEKIVQGFVNQHIHNAPSLAATLIRMHFHDCFVRGCDASVLLNTTSGEQPEKAATPNLTLRGFDFIDRVKRLVEAECPGIVSCADILTLVARDSIVATGGPFWRVPTGRRDGLISRSSEALSNVPSPMINFTTLQTLFANQGLDLKDLVLLSGAHTIGIAHCQSFSNRLYNFTGTGDEDPALDSEYAANLKARKCRSISDNTTIVEMDPGSRKTFDLSYYKLLLKRRGLFQSDAALTTNSNTLSMIRQILQGSIDFRSEFSKSMEKMGRIRVKTGSNGEIRRQCALVNS >Potri.007G071500.1.v4.1 pep chromosome:Pop_tri_v4:7:9333626:9337335:1 gene:Potri.007G071500.v4.1 transcript:Potri.007G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071500.v4.1 MMKIQMESNGSQHHHLHQDQNFRYSQFSNGGVYDYHDNHNDEIDQVLQFYSNYNKSEINGKGKGRIILPSPPSTTTATSSASTSSNVGSGFIEHPVSKLDTLAGVAIKYGVEVADIKKMNGLVTDLQMFALKSLQIPLPGRHPPSLFLSNGFDTPRLSSYEQTPPRGLQSDVFDSFQYLNPKSSQLKVSPAMSSLQGYYGLKPKDQKNTSKGFEMAVNRNGYSHHLEGGLYLKPSPASHPPLCLHRKTRSWVNELLDENNELVNKLFLDDAKEIESEKLVRRRQKSETDFTSIDSDLLIREENSGAAFSTITGKCLALRPKAGNRATKNVEAAGLISAQSGPRDVADGFPMVRKSSSTPSLNDQDSISSSIWPTSKWSLKPDLQALSASTITRPIFDGLPKPTTGRKNKTALD >Potri.001G207900.1.v4.1 pep chromosome:Pop_tri_v4:1:21241932:21247527:-1 gene:Potri.001G207900.v4.1 transcript:Potri.001G207900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G207900.v4.1 MDFSPLTDALASKSYGKIADICDDLMLKGAAEMEGVPFEEEWPFAIHLLAHIYVNDTNSARFLWKSIPAAVKERQPEVVAAWGIGQRLWTRDYAAVHEAIRAFDWSQQIQPLVAAFSEVYTKRMFQLLLSAYSTISIQDTALFLGMNEDDATSYVLQQGWVVDPDSRMLIVKKQSVKTEQKLDSSKLQRLTEYVFHLEH >Potri.002G159300.16.v4.1 pep chromosome:Pop_tri_v4:2:12126915:12134161:-1 gene:Potri.002G159300.v4.1 transcript:Potri.002G159300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159300.v4.1 MATDIQKLIGTSEEDDDEEMDMDVKEEDDEDEENGGKNIAAQIMAGGGGGMASNNSDNQFQHQQQFQEQVTTPAGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQVLISQQGSRPAGGTSAAVTSSSSHLVSQQTPPASLRGVSPGYQTSVEYSTCSMKGVFMPNPSPYDLSASTQPQIPAVVGEGGEQTESNLHIGGSMDIINDKQIVDIPPIPKLPERDFAGTPFIPVYVMLPLGVINMKCELVDPDDLLKQLKVLKSANVDGIMVDCWWGIVEAHTPQEYNWSGYSRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRAEFDECFADGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPFWARGPDNAGFYNSQPHETGFFCDGGDYDGYYGRFFLNWYTRILVDHGDRVLSLAKLAFEGTQIAVKLSGIHWWYKTASHAAELTAGFYNPCNRDGYAAIAEMLKKHKAALNFSCSESRMGDQQVDFAEALADPEGLVWQVLNAAWEVGIPIASENALPCHDRVTYNKILDNAKPLNDPDGKHFLSFTHLRLSPLLMERQTFVEFERFVKRMHGEAVVELRV >Potri.002G159300.7.v4.1 pep chromosome:Pop_tri_v4:2:12126915:12134164:-1 gene:Potri.002G159300.v4.1 transcript:Potri.002G159300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159300.v4.1 MATDIQKLIGTSEEDDDEEMDMDVKEEDDEDEENGGKNIAAQIMAGGGGGMASNNSDNQFQHQQQFQEQVTTPAGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQQGSRPAGGTSAAVTSSSSHLVSQQTPPASLRGVSPGYQTSVEYSTCSMKGVFMPNPSPYDLSASTQPQIPAVVGEGGEQTESNLHIGGSMDIINDKQIVDIPPIPKLPERDFAGTPFIPVYVMLPLGVINMKCELVDPDDLLKQLKVLKSANVDGIMVDCWWGIVEAHTPQEYNWSGYSRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRAEFDECFADGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPFWARGPDNAGFYNSQPHETGFFCDGGDYDGYYGRFFLNWYTRILVDHGDRVLSLAKLAFEGTQIAVKLSGIHWWYKTASHAAELTAGFYNPCNRDGYAAIAEMLKKHKAALNFSCSESRMGDQQVDFAEALADPEGLVWQVLNAAWEVGIPIASENALPCHDRVTYNKILDNAKPLNDPDGKHFLSFTHLRLSPLLMERQTFVEFERFVKRMHGEAVVELRV >Potri.002G159300.18.v4.1 pep chromosome:Pop_tri_v4:2:12126914:12134164:-1 gene:Potri.002G159300.v4.1 transcript:Potri.002G159300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159300.v4.1 MATDIQKLIGTSEEDDDEEMDMDVKEEDDEDEENGGKNIAAQIMAGGGGGMASNNSDNQFQHQQQFQEQVTTPAGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQTPPASLRGVSPGYQTSVEYSTCSMKGVFMPNPSPYDLSASTQPQIPAVVGEGGEQTESNLHIGGSMDIINDKQIVDIPPIPKLPERDFAGTPFIPVYVMLPLGVINMKCELVDPDDLLKQLKVLKSANVDGIMVDCWWGIVEAHTPQEYNWSGYSRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRAEFDECFADGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPFWARGPDNAGFYNSQPHETGFFCDGGDYDGYYGRFFLNWYTRILVDHGDRVLSLAKLAFEGTQIAVKLSGIHWWYKTASHAAELTAGFYNPCNRDGYAAIAEMLKKHKAALNFSCSESRMGDQQVDFAEALADPEGLVWQVLNAAWEVGIPIASENALPCHDRVTYNKILDNAKPLNDPDGKHFLSFTHLRLSPLLMERQTFVEFERFVKRMHGEAVVELRV >Potri.002G159300.13.v4.1 pep chromosome:Pop_tri_v4:2:12126946:12134164:-1 gene:Potri.002G159300.v4.1 transcript:Potri.002G159300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159300.v4.1 MATDIQKLIGTSEEDDDEEMDMDVKEEDDEDEENGGKNIAAQIMAGGGGGMASNNSDNQFQHQQQFQEQVTTPAGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQQGSRPAGGTSAAVTSSSSHLVSQQTPPASLRGVSPGYQTSVEYSTCSMKGVFMPNPSPYDLSASTQPQIPAVVGEGGEQTESNLHIGGSMDIINDKQIVDIPPIPKLPERDFAGTPFIPVYVMLPLGVINMKCELVDPDDLLKQLKVLKSANVDGIMVDCWWGIVEAHTPQEYNWSGYSRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRAEFDECFADGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPFWARGPDNAGFYNSQPHETGFFCDGGDYDGYYGRFFLNWYTRILVDHGDRVLSLAKLAFEGTQIAVKVFIGGTRQPVMLLN >Potri.002G159300.10.v4.1 pep chromosome:Pop_tri_v4:2:12126896:12134164:-1 gene:Potri.002G159300.v4.1 transcript:Potri.002G159300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159300.v4.1 MATDIQKLIGTSEEDDDEEMDMDVKEEDDEDEENGGKNIAAQIMAGGGGGMASNNSDNQFQHQQQFQEQVTTPAGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQQGSRPAGGTSAAVTSSSSHLVSQQTPPASLRGVSPGYQTSVEYSTCSMKGVFMPNPSPYDLSASTQPQIPAVVGEGGEQTESNLHIGGSMDIINDKQIVDIPPIPKLPERDFAGTPFIPVYVMLPLGVINMKCELVDPDDLLKQLKVLKSANVDGIMVDCWWGIVEAHTPQEYNWSGYSRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRAEFDECFADGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPFWARGPDNAGFYNSQPHETGFFCDGGDYDGYYGRFFLNWYTRILVDHGDRVLSLAKLAFEGTQIAVKLSGIHWWYKTASHAAELTAGFYNPCNRDGYAAIAEMLKKHKAALNFSCSESRMGDQQVDFAEALADPEGLVWQVLNAAWEVGIPIASENALPCHDRVTYNKILDNAKPLNDPDGKHFLSFTHLRLSPLLMERQTFVEFERFVKRMHGS >Potri.002G159300.17.v4.1 pep chromosome:Pop_tri_v4:2:12126920:12134164:-1 gene:Potri.002G159300.v4.1 transcript:Potri.002G159300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159300.v4.1 MATDIQKLIGTSEEDDDEEMDMDVKEEDDEDEENGGKNIAAQIMAGGGGGMASNNSDNQFQHQQQFQEQVTTPAGGARRSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGGTSAAVTSSSSHLVSQQTPPASLRGVSPGYQTSVEYSTCSMKGVFMPNPSPYDLSASTQPQIPAVVGEGGEQTESNLHIGGSMDIINDKQIVDIPPIPKLPERDFAGTPFIPVYVMLPLGVINMKCELVDPDDLLKQLKVLKSANVDGIMVDCWWGIVEAHTPQEYNWSGYSRLFQMVRELKLKLQVVMSFHECGGNVGDDVCIPLPHWVAEIGRSNPDIFFTDREGRRNPECLSWGIDKERVLRGRTAVEVYFDYMRSFRAEFDECFADGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDQYLLKSLKKTAEARGHPFWARGPDNAGFYNSQPHETGFFCDGGDYDGYYGRFFLNWYTRILVDHGDRVLSLAKLAFEGTQIAVKLSGIHWWYKTASHAAELTAGFYNPCNRDGYAAIAEMLKKHKAALNFSCSESRMGDQQVDFAEALADPEGLVWQVLNAAWEVGIPIASENALPCHDRVTYNKILDNAKPLNDPDGKHFLSFTHLRLSPLLMERQTFVEFERFVKRMHGEAVVELRV >Potri.010G205700.1.v4.1 pep chromosome:Pop_tri_v4:10:19651691:19654715:1 gene:Potri.010G205700.v4.1 transcript:Potri.010G205700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G205700.v4.1 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDAAVQSDIKHWSFKVVPGAGDKPMIQVNYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKSTAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVESKNSLENYAYNMRNTVKDEKISSKLAADDKKKIEDAIDQAIQWLDSNQLAEADEFDDKMKELESICNPIIAKMYQGAGPDMGGGMDDDAPPSGGSGAGPKIEEVD >Potri.010G158250.3.v4.1 pep chromosome:Pop_tri_v4:10:16490438:16495684:-1 gene:Potri.010G158250.v4.1 transcript:Potri.010G158250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158250.v4.1 MMRGLRLMIFIPSLLILTNLQETSSHGDHPLSRIAIHEATLALTPLAYVEASPSILGLTTGQNSEWVTVEYSTPIPSVDDWIGVFSPANFSASTCPSENPRVYPPLLCSAPIKFQYANYSSPQYKDTGKGSLKLQMINQRSDFSFALFTGGFLNPKLVAVSNKVAFSNPNAPAYPRLAQGKKWDEMTVTWTSGYGISEAEPFVEWGPKGGVPIHSPAGTLTFERSSMCGAPARTVGWRDPGYIHTSFLKELWPNAVYAYKLGHRLFNCTYIWSKEYQFKVPPYPGQDSVQRVVIFGDMGKGEVDGSSEYNDFQPGSLNTTKQLVQDLKNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASSVPYMIASGNHERDWPGTGSFYGNMDSGGECGVLAQTMFYAPTENREKFWYSTGYGMFRFCIADTEHDWREGTEQYKFIEHCLASVDRHKQPWLIFLAHRVLGYSSDFSYAIEGSFEEPMGRESLQKLWQKYKVDIAMFGHVHNYERTCPIYQNICTSKEKHSYKGNLNGTIHVAAGGAGASLSPFTTLQTAWSLYKDYDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFTISRDYRDILACTVGSCPSTTLAS >Potri.010G158250.4.v4.1 pep chromosome:Pop_tri_v4:10:16490476:16495683:-1 gene:Potri.010G158250.v4.1 transcript:Potri.010G158250.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158250.v4.1 MINQRSDFSFALFTGGFLNPKLVAVSNKVAFSNPNAPAYPRLAQGKKWDEMTVTWTSGYGISEAEPFVEWGPKGGVPIHSPAGTLTFERSSMCGAPARTVGWRDPGYIHTSFLKELWPNAVYAYKLGHRLFNCTYIWSKEYQFKVPPYPGQDSVQRVVIFGDMGKGEVDGSSEYNDFQPGSLNTTKQLVQDLKNIDIVFHIGDICYANGYLSQWDQFTAQIEPIASSVPYMIASGNHERDWPGTGSFYGNMDSGGECGVLAQTMFYAPTENREKFWYSTGYGMFRFCIADTEHDWREGTEQYKFIEHCLASVDRHKQPWLIFLAHRVLGYSSDFSYAIEGSFEEPMGRESLQKLWQKYKVDIAMFGHVHNYERTCPIYQNICTSKEKHSYKGNLNGTIHVAAGGAGASLSPFTTLQTAWSLYKDYDHGFVKLTAFDHSNLLFEYKKSRDGKVYDSFTISRDYRDILACTVGSCPSTTLAS >Potri.019G016122.1.v4.1 pep chromosome:Pop_tri_v4:19:2654920:2659140:1 gene:Potri.019G016122.v4.1 transcript:Potri.019G016122.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016122.v4.1 MENTNQQNNSRGKADFPPSLWGCSFAPFCFPQMEFKSYSRQVEELKENVKDMLMASKKDPVEHIEFINLLCRLGVSYHFDKEIENNLKEIFADLPNLLEKHDFDLYTLSLLFRVFRQHGFKMPCVVFDKFKDTKGEFKETIINDVKGILGLYEASFLSVHGEQVLDEALVFTKANLESSAMQSSPRLADHIRNALIRPFHKGVPRIEARKYISFYEEDESRNDTLLKFAKIDFNRVQLLHRQELSNLSRWWNDFKLSVEFPYAKDGIVEVYFRANGVHFEPQYAFSRMVVTKYTKIVSLLDDTCDAHASFEEIQLFTNAIERCCMDAINQLPAEYLKVLYSALLDLFSETESDMGKQGLSYALYYVKEAFKELTRGYQAEAQWVPLGHGQPFGVDVPNRLETTRYGVIMAAFNVVKDEVAGEEEYEWLKSNPKIMKAGKMICRLVKDIVGHEVEQKRGDSASGVERFMKQYDVSEKKAIEEIQKMVANGWKDINEDCMRPTNAPMRLLQQIVNLVRVTEVTYGHNDDAYTIPQSLKDYVTLLYVEKVPMCE >Potri.003G130700.1.v4.1 pep chromosome:Pop_tri_v4:3:14903931:14908214:1 gene:Potri.003G130700.v4.1 transcript:Potri.003G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130700.v4.1 MASQEHLDKMQLRQNYRNLWHTDLMGTIKADAPYCCLAFWCGPCVSYLLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPELCLATEVFLCFGNSVASTRFMLQDEFNIQTTRCDNCIIGFMFCLQQIACIFSIVAMIVGSEEIQEASQLLSCLADMVYCTVCACMQTQHKIEMDKRDGMFGPQPVMAVPPVQQMSRIDQPIPPSVGYAPQTYGQPYGQPYGYPPQPHQGYPVAGYPPSNYPPPAYPPSGYPK >Potri.004G002700.1.v4.1 pep chromosome:Pop_tri_v4:4:171799:176648:1 gene:Potri.004G002700.v4.1 transcript:Potri.004G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002700.v4.1 MITSLTHTHHTQKKQTNMGGESSMGALVPSVNSEATTSKMQPPTEELDKDILCPICMQIIKDAFLTSCGHSFCYLCITTHLRNKNDCPCCSHYLTNNHIFPNFLLNKLLERTYARQAAKNASPYEHLRQALQQGCEVSVKELDGLLTLLAEKKRKMEQEEAERNMRILLDFLCCLRKQKLDELNEIQTDLQYIKEDINAVERQRIELCRAKDRCSLKLRMFADDPNSQFVTQSGTVASKKKWAQAQFNDLQECYLQKRRNWVRQAYKEEEKDTDIMNREGYNQGLEDFQSVLTNFTRYSRLRAVAELRHGDLFHSANIVSSIEFDRDDELFATAGVSRRIKIFEFSSVMNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKIWCTQQEASVLNIDMKANICSVKYNPGSSIHVAVGSADHHIHYYDLRNISQPLYVFSGHRKAVSYVKFLSSNELASASTDSTLRLWDVKDNLALRTFRGHTNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAWHRFSTDLENGEDDAGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAE >Potri.004G002700.2.v4.1 pep chromosome:Pop_tri_v4:4:172032:176323:1 gene:Potri.004G002700.v4.1 transcript:Potri.004G002700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002700.v4.1 MIVLVVLITSPTTISFLIFCSISYWRELMLVKQPRMHHLTNTFARHYNRQGCEVSVKELDGLLTLLAEKKRKMEQEEAERNMRILLDFLCCLRKQKLDELNEIQTDLQYIKEDINAVERQRIELCRAKDRCSLKLRMFADDPNSQFVTQSGTVASKKKWAQAQFNDLQECYLQKRRNWVRQAYKEEEKDTDIMNREGYNQGLEDFQSVLTNFTRYSRLRAVAELRHGDLFHSANIVSSIEFDRDDELFATAGVSRRIKIFEFSSVMNEPADVHCPVVEMSTRSKLSCLSWNKYTKNHIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKIWCTQQEASVLNIDMKANICSVKYNPGSSIHVAVGSADHHIHYYDLRNISQPLYVFSGHRKAVSYVKFLSSNELASASTDSTLRLWDVKDNLALRTFRGHTNEKNFVGLTVNSEYIACGSETNEVFVYHKAISKPAAWHRFSTDLENGEDDAGSYFISAVCWKSDSPTMLTANSQGTIKVLVLAE >Potri.007G052000.1.v4.1 pep chromosome:Pop_tri_v4:7:5120930:5122674:1 gene:Potri.007G052000.v4.1 transcript:Potri.007G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052000.v4.1 MGIVEEAHNAKILGSGEQVIVLAHGFGTDQSVWKHLIPHIVDEYKVILYDNMGAGTTNPDYFDFNRYSSLEGYAYDLLAILEELKVESCILVAHSVSGIIGAIASISRPDLFSKIVMLSASPRYLNDVDYYGGFEQEDLDQLFEAMQNNYKAWCSGFAPLAVGGDMDSVAVQEFSRTLFNMRPDIALSVAQTIFQSDMRSILHMVTVPCHILQSMKDLAVPVVAAEYLHQNLGGESIVEVMSSDGHLPQLSSPDIVIPVLLKHIRYNIAS >Potri.019G095833.1.v4.1 pep chromosome:Pop_tri_v4:19:13393486:13397481:1 gene:Potri.019G095833.v4.1 transcript:Potri.019G095833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095833.v4.1 GFAAASYALLEWEKTLQFIAIIGLSQVYYYLLYFYNDPEDFKHDVRRLLSPVRLGVEAFSWAAGKLENNRIGFPTSPSSSDVQNRVLQAAAKHESQPSETEVQNPSPESVTPLNEKVDISEA >Potri.002G179000.1.v4.1 pep chromosome:Pop_tri_v4:2:14035425:14035911:1 gene:Potri.002G179000.v4.1 transcript:Potri.002G179000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179000.v4.1 MGNWFSRKYLAVHPMDQDGEDIVKSSLITPSSSSLRIKVRMTTTQLEELMALADLSEGSSDLGRVILQECLDGRFRARVVVGDEGLLSSEYARNLYTIKEE >Potri.001G400300.4.v4.1 pep chromosome:Pop_tri_v4:1:42662254:42667374:1 gene:Potri.001G400300.v4.1 transcript:Potri.001G400300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400300.v4.1 MILDKKNDLKNKGKSIKDNSDGYSQAKDGISSCLLSPSSGENNIINMDGLDYDDGTHMDLYPDDFMDFDEYSFLQSHFDKVDIPPGVEAPIPWLPNSDNNAKKSDNGTNSFNTNNQMQSNGGGSWSLKPGHVSKKLSSVSGLSFHNPMDSMSHDSGVNLSPPWPLPQTAQGKEEQNVSQHGGSALNFAPFQSKIELVSSSSLTDYGYAKHLDGVMLPHGVGPAHLGHTNPAPPFVGGLDHFPIISPSISSLVSKLNYSFPNHTSHPNVYDPFDALHIPPEDSAAGTPKNVDKDDILRKFQQFKQFDTVEDHSDHHYTNSSSMKQPPKTWAKRIQDEWRILENDLPDSIFVRVYETRMDLLRAVIIGAEGTPYHDGLFFFDVFFPAGYPKVPPLVYYHSGGLRLNPNLYSCGKVCLSLLGTWQGNKNEMWQPGVSTVLQVLVSIQALILNQKPFFNEPGYERLNGSANGEKRSQEYSESTFCFSLKTMAYTMRRPPKHFEDFVLGHFHKRANDILVACKAYMDGAQVGCLVNGGVQDVDEGDKSCSKSFKDCLPAYIDILMKQFSQIGVQDTEKFRTSGNGGDNLSGNGPMAAI >Potri.001G400300.3.v4.1 pep chromosome:Pop_tri_v4:1:42660709:42667115:1 gene:Potri.001G400300.v4.1 transcript:Potri.001G400300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400300.v4.1 MKPPPPLHIPLNSKKKRVFMDPDVIEVPPPPAPIVSRSHTKQPKNKQVILHEIIDVDKDEDAIDVMILDKKNDLKNKGKSIKDNSDGYSQAKDGISSCLLSPSSGENNIINMDGLDYDDGTHMDLYPDDFMDFDEYSFLQSHFDKVDIPPGVEAPIPWLPNSDNNAKKSDNGTNSFNTNNQMQSNGGGSWSLKPGHVSKKLSSVSGLSFHNPMDSMSHDSGVNLSPPWPLPQTAQGKEEQNVSQHGGSALNFAPFQSKIELVSSSSLTDYGYAKHLDGVMLPHGVGPAHLGHTNPAPPFVGGLDHFPIISPSISSLVSKLNYSFPNHTSHPNVYDPFDALHIPPEDSAAGTPKNVDKDDILRKFQQFKQFDTVEDHSDHHYTNSSSMKQPPKTWAKRIQDEWRILENDLPDSIFVRVYETRMDLLRAVIIGAEGTPYHDGLFFFDVFFPAGYPKVPPLVYYHSGGLRLNPNLYSCGKVCLSLLGTWQGNKNEMWQPGVSTVLQVLVSIQALILNQKPFFNEPGYERLNGSANGEKRSQEYSESTFCFSLKTMAYTMRRPPKHFEDFVLGHFHKRANDILVACKAYMDGAQVGCLVNGGVQDVDEGDKSCSKSFKDCLPAYIDILMKQFSQIGVQDTEKFRTSGNGGDNLSGNGPMAAI >Potri.016G099600.1.v4.1 pep chromosome:Pop_tri_v4:16:10061500:10061727:1 gene:Potri.016G099600.v4.1 transcript:Potri.016G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G099600.v4.1 MDFAKRSYPLILFVILVSALSQQLFCVQATRVLPGELAGANHLETYSSVYEKTKNNMACWLGRLASGPSPRGPGH >Potri.001G270100.2.v4.1 pep chromosome:Pop_tri_v4:1:28452387:28455264:1 gene:Potri.001G270100.v4.1 transcript:Potri.001G270100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270100.v4.1 MSSSLQATYNQKIDYVFKIVLIGDSAVGKSQLLARFARNEFSLDSKATIGVEFQTKTLVIDHKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQSFDHVAKWLEELRDHADNNIVIMLIGNKSDLGTLRAVPTEDAKEFAQKENLFFMETSALEATNVESAFLSVLTEIYRVISKKALIANEQESGGSSSLLKGTNIVVPEQEPVSGGRSFSCCGTS >Potri.012G119600.1.v4.1 pep chromosome:Pop_tri_v4:12:13766891:13769669:-1 gene:Potri.012G119600.v4.1 transcript:Potri.012G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119600.v4.1 MNQKSLIYAFVSRGTVILAEFTEFSGNFNSIAFQCLQKLPATNNKFTYNCDGHTFNYLADNGFTYCVVADESAGRQVPMAFLERVKDDFVSKYGGGKAATAQANGLNKEFGPKLKEHMKYCADHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHSQAQDFRSQGTQIRRKMWLQNMKVKLIVLGILIALILIIVLSVCKGFNCGK >Potri.002G156401.1.v4.1 pep chromosome:Pop_tri_v4:2:11926474:11926971:1 gene:Potri.002G156401.v4.1 transcript:Potri.002G156401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156401.v4.1 MANFRKTILVVSFLTTALCGVSMATVYQVGDSAGWTSMGQVDYQDWAASKNFHGGDTLVFNYDNQFHNVKQVTHQGFESCNATSPLATYTNGSDTVTLGKQLGHFYFICGYPGHCQAGQKIDILVVPATSNLSPAASPSSASSLYFSNLSWTLGVLGFCLLGFAY >Potri.007G141125.1.v4.1 pep chromosome:Pop_tri_v4:7:15107128:15113925:-1 gene:Potri.007G141125.v4.1 transcript:Potri.007G141125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G141125.v4.1 MLSGILKHLFGAYPALLLLVILAGHQGCSARKNSVYCAPSSCGNIHNISFPFRLSTDPNSCGNKKYELSCENNRPALYLKNKGAKYYVQAIDYSNFTIRVVDADVQKDDCFSIPRHSLIVDPSSDTSQYHMDYLPYEIFWLDNFYLYNFTTFIFISCPNPILKGYSDYIVDTSSCKNGSSLFNSLNMEGYSSYVLIGYFSFGEIPDSCHVNLIYPLHLTFDQLKNGTNISYIDVQDSILYGFYLSWSRACCDFLKENRCKLDEANINKYCNLIRGGPIRIAIDFLTNRILSYLVVWPLDRYLPDGEWIQYYQSSRKPRLKFNFYRNGPNSFPYITKTISSDALSIFIVLLITLLVLIGIYHTLLFLCGLPCLITLLVYKWRRRHLSMFGNIEEFLQSHDHNLTLIRYSYSEIKKITHGFNDKLGEGGYGSVYKGKLRSGRFAAVKILRKEKANGQDFINEVATIGRIHHCNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFSRQGSIPLSNQKIYEISLGVARGIEYLHEGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPTNNSVVSLTMARGTMGYMAPELFYKSIGGVSYKADVYSFGMLLMEMVGRRKNLNALTDHSSQMYFPSWIYDQVNEGRNILEDQATEQEKNTIKKMTIVALWCIQLKPIDRPSMHRVVQMLQADIESLQMPPKPFLVPQQTSNDDRINMANPTSLRDPSNGCSIDSSYQFGR >Potri.003G026800.4.v4.1 pep chromosome:Pop_tri_v4:3:191538:197466:1 gene:Potri.003G026800.v4.1 transcript:Potri.003G026800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026800.v4.1 MSSHFLSNSPSTPTTKASDRQNDTSVSLPSTSTSSSISPDMWNYLWIPFLISLSKELTLARSATATTSPSILLPSQLADDSPSAPRCPAPDTNLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPREILFEKLNLVNGVLFTGGWAKTGLYFDTAKAIFKEVLARNDAGFHFPVYAICLGFEILTMIISEDNQILETYNATDQASTLQFMENISIEGTVFQRFPPVLLKKLSTDCLVMQNHHYGISPQRFQGNEHLSSFFEILTNSADEDNQVYVSTVQARNYPVTAFQWHPEKNAFEWGLSMIPHSEDAIQVTQHVANFFVSEARKSLNRPPARKVLDNLIYNYSPTYCGKAGKGYDEVYIFAEPETSNNTRCAVHGNCHGRVKL >Potri.012G021602.1.v4.1 pep chromosome:Pop_tri_v4:12:2220027:2220744:-1 gene:Potri.012G021602.v4.1 transcript:Potri.012G021602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021602.v4.1 MMSMFSSFDALCAESLVQKLRFSSSASKEGNSQPQVESMKNREMSEGSDDKSSTSLSKSSPVNISKPLQQQKRRIRFAPELDGVHCFETMITY >Potri.013G085850.1.v4.1 pep chromosome:Pop_tri_v4:13:7844399:7851993:-1 gene:Potri.013G085850.v4.1 transcript:Potri.013G085850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085850.v4.1 MKKEEYCNLIPKADWFTQIIYFYSDTIYNCIMALLSPFIAIFSIASDSYHRAEEAVDAVESVFLKAPSKVIHGTTTLLRKIGFGIVGAVHVCMVMIVVMLLAAMLGVGLIQLWIEEPVFMRESLFFDYTDANPKAVFTFGGFVDDGSIKKGLMGVPLGHTFHVSVALLMPESDHNRQIGMFQLTAEVLSADGNVKAKSSQPCMLRFRSLPIRLLRTFLMGAPLLLGISEETQKISIKMLQLKERHPRSKVIRVTLIPRAGTVCLPQLYEAEILLISKLPWTKEVVRNWKWTFYVWASIYIYITMLIIILSCCRPLVFPITAVDPSYCPDSAETEYTGESKEARAEGRDEKGISEVLRRWQQRRRKRKAIILHGEMVDSTECSSASITREDTSMAVDEQDIVDSESVC >Potri.001G226500.1.v4.1 pep chromosome:Pop_tri_v4:1:24509246:24509434:1 gene:Potri.001G226500.v4.1 transcript:Potri.001G226500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226500.v4.1 MAKFSAIFSCFDTSFSSRVSNDNVSVPEVKAPKSEKSKGKSKSSGAPIVVSYFPVNSYPSRL >Potri.001G196900.2.v4.1 pep chromosome:Pop_tri_v4:1:19179498:19181258:-1 gene:Potri.001G196900.v4.1 transcript:Potri.001G196900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196900.v4.1 MQGKKTTSTQKLNVDEMTYLEETLTRNMNVEVTKLEGDVVDSSVTPHEVIIEEAGQKVYQQGIAKDFRVKGKGVVEFEEVVKVLFEKPNLNKLVHIKPLYLCAKIKGEETGKELVDNGTAVNILPRNMLEVIEKTVGDLTPTRVVISGFSGKVLQAKRMIEVSFKLDSLTAQTMFFIVDTVPNYNVLLENDWIHVNDCIPSTLHQMLLVWNESKFEVVHTNKKPFVT >Potri.001G465900.1.v4.1 pep chromosome:Pop_tri_v4:1:49098261:49104806:-1 gene:Potri.001G465900.v4.1 transcript:Potri.001G465900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465900.v4.1 MPPKASKSKEAPSERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKMSIPAENFPFCTIEPNEARVNIPDERFEWLCQLFKPKSEVSAFLEIHDIAGLVRGAHAGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDIVDPVRDLEVISAELRLKDIEFIERRIEDVEKSMKRSNDKQLKIEMEMCQRVKAWLEEEKDVRLGDWKAADIEILNTFQLLTAKPVVYLVNMNERDYQRKKNKFLPKIHTWVQEHGGETIIPFSCILERNLADMLPHEADKYCEENKVQSALSKIIKTGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFDDLKELGSESAVKAGGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >Potri.015G107125.1.v4.1 pep chromosome:Pop_tri_v4:15:12547942:12550612:-1 gene:Potri.015G107125.v4.1 transcript:Potri.015G107125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107125.v4.1 MNCRKAGVVSTKAILSNGQNISFLSSKPNCVYKRRKETPFFIFRSCLSIWVFKILSLLNKSPKKDRSSSFTSKDYLEKETSIQTYFCRNMAPRFSQAFRLSLL >Potri.007G072300.1.v4.1 pep chromosome:Pop_tri_v4:7:9453186:9458318:1 gene:Potri.007G072300.v4.1 transcript:Potri.007G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072300.v4.1 MANLSKWLFCFLVSTTVIVAAVGREISDGEEARREADRVTNLPGQPQVRFQHYAGYVKLGPQNQRALFYWFFEAKEDASQKPLVLWLNGGPGCSSIAYGAAQELGPFLVRGNGTQLILNEYSWNKAANMLFLEAPVGVGFSYTNNSEDLYKLGDKVTADDSHTFLINWFKRFPNFKSHDFYIAGESYAGHYVPQLAELIYERNKGATKSSYINLKGFMIGNAVINDETDSAGIVDYAWSHAIISDQLYHNIKECDHQGSVTNECVVHYRGFAEAYSDIDIYSIYTPVCLSEYSTRISSRLVVAPRLLSKLHDLVHRLPSGYDPCTEDYAEKFFNREDVQKALHANVTKLSYPYTPCSNAIRKWNDSAETILPIIQKLLNAGLRIWIYSGDTDGRVPVTSTRYSIKKMGLKVNEEWRAWFHKSQVAGWVETYERGLVLATIRGAGHQVPVFAPQQSLSLFSHFLSAKTLPASSRF >Potri.009G023800.1.v4.1 pep chromosome:Pop_tri_v4:9:3580597:3582253:1 gene:Potri.009G023800.v4.1 transcript:Potri.009G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G023800.v4.1 MIKQLRQQIKPSMEELPGLELGMTSCTDMIQEQQSTTSLIAFAIELQRRGQLNDNYKTLRDLIKNPSTKEDRATVIRDAIKYIIQLIRTVYELKQLVEKTRGKKLDTIGGVDVFTKPVVRKSQYSHSYNDVSSGPSFSKKHSSVQRKSKDTEIDVRIIGDEVTIKVLRRRKKNDYCLLFVSRVLDELHMDLHFVSSCYIGYETYYFQFKTKINGGPSSDNAHTIADKLIEVLDSSCSI >Potri.010G214700.8.v4.1 pep chromosome:Pop_tri_v4:10:20226509:20230635:1 gene:Potri.010G214700.v4.1 transcript:Potri.010G214700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214700.v4.1 MVSTSLMRIVSPCWKPSVEGENSSNGGDAAGRAEGLLWYKDSGQHVNGEFSMAVIQANNLLEDYSQLESGPMSSVDPSPQGTFVGVYDGHGGPEAARFVNERLFENIKKFTSENNGMSADVINKAFLATEEEFLSLVKNQWLHKPQIASVGACCLVGVVCSGVLYIANAGDSRAVLGRLERAIKEIKAIQLSYEHNASIESVREELHSLHPDDPHIVVLKNKVWRVKGLIQISRSIGDAYLKRAEYNREPLLAKFRLPEPFNKPILKAEPTILVQKLYPEDQFLIFASDGLWEHLSNQEAVDFVHSCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLVSRSSFRGPLISIKGGYGVSGNGNT >Potri.010G214700.9.v4.1 pep chromosome:Pop_tri_v4:10:20226385:20230400:1 gene:Potri.010G214700.v4.1 transcript:Potri.010G214700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214700.v4.1 MVSTSLMRIVSPCWKPSVEGENSSNGGDAAGRAEGLLWYKDSGQHVNGEFSMAVIQANNLLEDYSQLESGPMSSVDPSPQGTFVGVYDGHGGPEAARFVNERLFENIKKFTSENNGMSADVINKAFLATEEEFLSLVKNQWLHKPQIASVGACCLVGVVCSGVLYIANAGDSRAVLGRLERAIKEIKAIQLSYEHNASIESVREELHSLHPDDPHIVVLKNKVWRVKGLIQISRSIGDAYLKRAEYNREPLLAKFRLPEPFNKPILKAEPTILVQKLYPEDQFLIFASDGLWEHLSNQEAVDFVHSCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLVSRSSFRGPLISIKGGYGVSGNGNT >Potri.010G214700.10.v4.1 pep chromosome:Pop_tri_v4:10:20226527:20230391:1 gene:Potri.010G214700.v4.1 transcript:Potri.010G214700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214700.v4.1 MVSTSLMRIVSPCWKPSVEGENSSNGGDAAGRAEGLLWYKDSGQHVNGEFSMAVIQANNLLEDYSQLESGPMSSVDPSPQGTFVGVYDGHGGPEAARFVNERLFENIKKFTSENNGMSADVINKAFLATEEEFLSLVKNQWLHKPQIASVGACCLVGVVCSGVLYIANAGDSRAVLGRLERAIKEIKAIQLSYEHNASIESVREELHSLHPDDPHIVVLKNKVWRVKGLIQISRSIGDAYLKRAEYNREPLLAKFRLPEPFNKPILKAEPTILVQKLYPEDQFLIFASDGLWEHLSNQEAVDFVHSCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLVSRSSFRGPLISIKGGYGVSGNGNT >Potri.010G214700.4.v4.1 pep chromosome:Pop_tri_v4:10:20226509:20230395:1 gene:Potri.010G214700.v4.1 transcript:Potri.010G214700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214700.v4.1 MVSTSLMRIVSPCWKPSVEGENSSNGGDAAGRAEGLLWYKDSGQHVNGEFSMAVIQANNLLEDYSQLESGPMSSVDPSPQGTFVGVYDGHGGPEAARFVNERLFENIKTIHGAEFTSENNGMSADVINKAFLATEEEFLSLVKNQWLHKPQIASVGACCLVGVVCSGVLYIANAGDSRAVLGRLERAIKEIKAIQLSYEHNASIESVREELHSLHPDDPHIVVLKNKVWRVKGLIQISRSIGDAYLKRAEYNREPLLAKFRLPEPFNKPILKAEPTILVQKLYPEDQFLIFASDGLWEHLSNQEAVDFVHSCPRNGVARKLLKAALREAAKKREMRYSDLKKIDRGVRRHFHDDITVIVLFLDSNLVSRSSFRGPLISIKGGYGVSGNGNT >Potri.001G261700.1.v4.1 pep chromosome:Pop_tri_v4:1:27794844:27798425:-1 gene:Potri.001G261700.v4.1 transcript:Potri.001G261700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261700.v4.1 MAAEQDDTVFDQDLEVDDEDEDDQSSQSQNDDLEDDLEIENDDTLPENDDVLSEELLEDDDTSTSAAVPTAIPAVTIASIPAVTTTTTAVASDSTPDVLKRQRVPPDTTIIPSQDSKKPQFDDTRRLFQRLWTDEDEIELLQGFLDYTTTKGTVNNHHNDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVLNKINSGKDFCFKSPHDQATFEISRKIWSSTGKIAGFGNEDGHNLDDDDGGNPNPNPNFNNHNVMMDADVAVKIEDQRSTPRSRKRSRSRPVVGVRTEEKRVLMNDGFVGNETNVNGGGSGNGNLSSVIEETMRSCLSPLFKELLSNMAGAGMGGVGRGVGGLAMNAMPLSFGVGDVMMMDEKWRKQHILELEVYSKRLELVQDQIRAQLDELRSMGV >Potri.015G131900.1.v4.1 pep chromosome:Pop_tri_v4:15:14178244:14178687:-1 gene:Potri.015G131900.v4.1 transcript:Potri.015G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131900.v4.1 MDSQDKLTPALCAKGCGFFGSPENKNLCSKCYKDYLKEEVIAKTADKLSELVITPSSDDKNPAVVSNETASTTTATASATTVLKNRCECCGKKVGLMGFKCRCGKTFCGVHRYAKEHSCTFDFKTFDRQILAKQNPLVAGDKLDARI >Potri.006G097300.1.v4.1 pep chromosome:Pop_tri_v4:6:7417672:7419006:1 gene:Potri.006G097300.v4.1 transcript:Potri.006G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097300.v4.1 MNRGIGILYPASYLQNSNWLFQEGEGTKWTPEENKQFENALALYDKDTPDRWLKVAALIPGKTVDDVIKQYRELEEDVCDIEAGLIPIPGYNSDSFTLEWVSNQGYDGLKQFYSPGGKRGTATRPSEQERKKGVPWTEEEHRQFLLGLQKYGKGDWRNISRNYVTTRTPTQVASHAQKYFIRQSTGGKDKRRSSIHDITTVNLPDAKSPSPENKRLSSPDHSTTTMQSQAQPKTAGTVKGLFDWKQQNEGIASVYNPANDNLLTTPFCGISSHGSKLQEQNLLGGTLPGYQFGPYNFIFQMQSMQHQ >Potri.009G158200.3.v4.1 pep chromosome:Pop_tri_v4:9:12290958:12293705:1 gene:Potri.009G158200.v4.1 transcript:Potri.009G158200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158200.v4.1 MATIQEWRMFFAFCLRYAVVTGANKGIGLETVRQIASKGVTVVLTARDEKRGTDATCMLHNMGLTNVVFHQLDVLDPVSIESLAKFIKDRFGRLDILVNNAGASGVVVDEERLRAMNIDPETWLSGKATNMVQQVIKTSYETAVECLNTNFYGVQRLTEALLPLLQLSPSGARIVNVSSLRGELWRIRGDDLRNELGDLETLNEEKLDSILKRFLKDLKENTLEAGGWSLMLPAYSISKATLNAYTRFLAKRHPNMLINCVHPGYVNTDINWHTGPMPVEEGARGPVKCALLPDGGPTGCYFDQTEVASF >Potri.009G158200.5.v4.1 pep chromosome:Pop_tri_v4:9:12290922:12293833:1 gene:Potri.009G158200.v4.1 transcript:Potri.009G158200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158200.v4.1 MATNGDHSRVEKYAVVTGANKGIGLETVRQIASKGVTVVLTARDEKRGTDATCMLHNMGLTNVVFHQLDVLDPVSIESLAKFIKDRFGRLDILVNNAGASGVVVDEERLRAMNIDPETWLSGKATNMVQQVIKTSYETAVECLNTNFYGVQRLTEALLPLLQLSPSGARIVNVSSLRGELWRIRGDDLRNELGDLETLNEEKLDSILKRFLKDLKENTLEAGGWSLMLPAYSISKATLNAYTRFLAKRHPNMLINCVHPGYVNTDINWHTGPMPVEEGARGPVKCALLPDGGPTGCYFDQTEVASF >Potri.009G158200.1.v4.1 pep chromosome:Pop_tri_v4:9:12290845:12293997:1 gene:Potri.009G158200.v4.1 transcript:Potri.009G158200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158200.v4.1 MILLRILFPGSCYFNAACLPQQVHLTPRKQIISRNHPKITAMATNGDHSRVEKYAVVTGANKGIGLETVRQIASKGVTVVLTARDEKRGTDATCMLHNMGLTNVVFHQLDVLDPVSIESLAKFIKDRFGRLDILVNNAGASGVVVDEERLRAMNIDPETWLSGKATNMVQQVIKTSYETAVECLNTNFYGVQRLTEALLPLLQLSPSGARIVNVSSLRGELWRIRGDDLRNELGDLETLNEEKLDSILKRFLKDLKENTLEAGGWSLMLPAYSISKATLNAYTRFLAKRHPNMLINCVHPGYVNTDINWHTGPMPVEEGARGPVKCALLPDGGPTGCYFDQTEVASF >Potri.009G158200.4.v4.1 pep chromosome:Pop_tri_v4:9:12290347:12294056:1 gene:Potri.009G158200.v4.1 transcript:Potri.009G158200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158200.v4.1 MATNGDHSRVEKYAVVTGANKGIGLETVRQIASKGVTVVLTARDEKRGTDATCMLHNMGLTNVVFHQLDVLDPVSIESLAKFIKDRFGRLDILVNNAGASGVVVDEERLRAMNIDPETWLSGKATNMVQQVIKTSYETAVECLNTNFYGVQRLTEALLPLLQLSPSGARIVNVSSLRGELWRIRGDDLRNELGDLETLNEEKLDSILKRFLKDLKENTLEAGGWSLMLPAYSISKATLNAYTRFLAKRHPNMLINCVHPGYVNTDINWHTGPMPVEEGARGPVKCALLPDGGPTGCYFDQTEVASF >Potri.004G030100.1.v4.1 pep chromosome:Pop_tri_v4:4:2313845:2318617:-1 gene:Potri.004G030100.v4.1 transcript:Potri.004G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030100.v4.1 MATALSWQPQLPTRIHKHSHPSSFIDAGTGYAFQCRIHRPISSIHSGKALAFRRDFDRFAKDAWRTANDGFEQFLFEAKKTAERIDRRYSVSRRLSAVAQSASDRAREIDREYDISIRWRTFSMDFNRNWPRYRKQINDFFNTPIGRSFVTIFFLWFALSGWMFRLFIIATWVLPIAGPLLIGTLANNLVIKGACPACKRQFVGYKNQVIRCGGCGNIVWQPKGGFPGRGPGDFFSGGGRGTRSSKSDPEIIDVDFEEKS >Potri.004G030100.2.v4.1 pep chromosome:Pop_tri_v4:4:2313834:2318509:-1 gene:Potri.004G030100.v4.1 transcript:Potri.004G030100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030100.v4.1 MATALSWQPQLPTRIHKHSHPSSFIDAGTGYAFQCRIHRPISSIHSGKALAFRRDFDRFAKDAWRTANDGFEQFLFEAKKTAERIDRRYSVSRRLSAVAQSASDRAREIDREYDISIRWRTFSMDFNRNWPRYRKQINDFFNTPIGRSFVTIFFLWFALSGWMFRLFIIATWVLPIAGPLLIGTLANNLVIKGACPACKRQFVGYKNQVIRCGGCGNIVWQPKGGFPGRGPGDFFSGGGRGTRSSKSDPEIIDVDFEEKS >Potri.001G064600.6.v4.1 pep chromosome:Pop_tri_v4:1:6048034:6052920:-1 gene:Potri.001G064600.v4.1 transcript:Potri.001G064600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G064600.v4.1 MGLFLQVFTVLVITVSLQGWLPRGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHANCCDWEHITCNSSTDWYLNASLFLPFQQLNGVYLYGNRIAGWVENKGGYELSRLSNLEILDFESNRFDNSILSFVKEFSSLKALYLGHNRLEGIIDLKESLSSLEALSLGGNNINKLVASRGPSNLRTLWLQNITTYKSSFQLLQSLRAFPNLTTLHLEENDFRGRILDDKLQNLSSLENLYLDQCSLDEHSLQSLGALPSLKNLSLYALSSTVLSEGFLHLRNLKYLDLSYNALNNSIFQTIGLCDLNHLQVLYIDDNDLSGFLPPWLANLTSLQLLDLSSNHLKIPMSMSPLYNLSKLKSFYGSGNEIYAEEDHHSMSPKFQLESLYLSSRGQSVGAFPKFLYHQVNLQSLDLTNIQIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIEARLPGLEVLFMSDNGFNGSIPFSLGNISSLQAFDLSNNNLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFSSSSNLRYIFLSRNKLQGPIAMAFYDSFEIFALDLSHNNLTGTIPEWIGRLSNLRFLLLSYNNLEGEIPIQLSRLDHLTLIDLSHNHLSGNILFWMISTHPFPQQYNSYGYESSSHQSFEFTTKNVSLSYRGSIIQYLTGIDFSCNNFTGEIPPEIGNLNKIKALNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLPELFSLEVFSVAHNNLSGKTPARVAQFATFDERCYKDNPFLCGEPLPKICGAAMPPSPTPTSTNNEDNSGFMDMEVFYVTFWVVYIMVLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLVDNLSILYKFGFS >Potri.011G050900.5.v4.1 pep chromosome:Pop_tri_v4:11:4075613:4077928:-1 gene:Potri.011G050900.v4.1 transcript:Potri.011G050900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050900.v4.1 MARVARTCLRSILKIVNSTLGLVGIAMILYGFWMLRVLQRDMESPSFDDFDSTALWFIYTFLSIGVALCLITCLGHISADSSNGICLSCYMVIIFLLLLLETLVAADILLNSDWEKDLPEDPTGRFHDFREFVESNFDFFKWIAMFIILVQGFSILLAMTLRALGPNNGSNYDIDEEYTSATLPLINPHSQTPPYVVGEPRFSIKNDAWNANK >Potri.004G141500.1.v4.1 pep chromosome:Pop_tri_v4:4:16417364:16426466:1 gene:Potri.004G141500.v4.1 transcript:Potri.004G141500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141500.v4.1 MEDDQVEEEEEESLSPSDSSDEYTVDREEEDDDGEEGERRVAHYPQFDEDRKSKNVDDLLRGNLVVRRQSLLPRVLSVTEGAAICRKPFKPPCSNGYNDGNEQLARRLWARKRFVPWGSSRPVLVAVTNIFNVPSVVENEVVEESVTLPPGIDPLVLWQPEESEDGVGNLMPIVVDPLLVRFLRPHQREGVQFMFECVSGFYSTANINGCILADDMGLGKTLQSITLLYTLLGQGFDGKPMVKKIIIATPTSLVSNWEAEIKKWVGERVKLIALCESTREDVISGIDSFTNPSSPFQVLIVSYETFRMHSSKFSNSESCDLLICDEAHRLKNDQTITNRALASLSCKRRILLSGTPMQNDLEEFFAMVNFTNPGVLGDAAYFRRYYETPIICGREPTATEEEKKLGAERSGELSVKVNQFILRRTNALLSNHLPPKIVEVVCCKLTPLQAELYNHFIHSKNVKRAITEEAKKSKILAYITALKKLCNHPKLIFDTIKNGSPGISGFEDCMRFFPPGMFSGRSGSWTGGDGTWVELSGKMHVLARLLAHLRLRTDDRIVLVSNYTQTLDLFAQLCRERRYPHIRLDGTTSISKRQKLVNRFNDPSKEEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKIIQHEQNDSLAAQENCLSTEDLRDLFTFQENVRSEIHVKMNCARCLFSDGELEGIGDVDESTYENCMPNQEVFDIGGFAAIAGCLDKLKSSEKQVGTPLEEDLGSWGHHFHSTSVPDTILQASAGDEVSFVFTNQVDGKLVPIESKPSPRVLGIKGNESRLNDKENLDQNPKLSRYQKPQQSLSSNIDAKKVAPSASLMTLQRPGVKRMRTPLKGMANAELKSKLSFGSQLPLKRPSPSDLEHDDSFQ >Potri.004G141500.2.v4.1 pep chromosome:Pop_tri_v4:4:16417368:16425635:1 gene:Potri.004G141500.v4.1 transcript:Potri.004G141500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141500.v4.1 MEDDQVEEEEEESLSPSDSSDEYTVDREEEDDDGEEGERRVAHYPQFDEDRKSKNVDDLLRGNLVVRRQSLLPRVLSVTEGAAICRKPFKPPCSNGYNDGNEQLARRLWARKRFVPWGSSRPVLVAVTNIFNVPSVVENEVVEESVTLPPGIDPLVLWQPEESEDGVGNLMPIVVDPLLVRFLRPHQREGVQFMFECVSGFYSTANINGCILADDMGLGKTLQSITLLYTLLGQGFDGKPMVKKIIIATPTSLVSNWEAEIKKWVGERVKLIALCESTREDVISGIDSFTNPSSPFQVLIVSYETFRMHSSKFSNSESCDLLICDEAHRLKNDQTITNRALASLSCKRRILLSGTPMQNDLEEFFAMVNFTNPGVLGDAAYFRRYYEFILRRTNALLSNHLPPKIVEVVCCKLTPLQAELYNHFIHSKNVKRAITEEAKKSKILAYITALKKLCNHPKLIFDTIKNGSPGISGFEDCMRFFPPGMFSGRSGSWTGGDGTWVELSGKMHVLARLLAHLRLRTDDRIVLVSNYTQTLDLFAQLCRERRYPHIRLDGTTSISKRQKLVNRFNDPSKEEFVFLLSSKAGGCGLNLIGGNRLVLFDPDWNPANDKQAAARVWRDGQKKRVYIYRFLSTGTIEEKVYQRQMSKEGLQKIIQHEQNDSLAAQENCLSTEDLRDLFTFQENVRSEIHVKMNCARCLFSDGELEGIGDVDESTYENCMPNQEVFDIGGFAAIAGCLDKLKSSEKQVGTPLEEDLGSWGHHFHSTSVPDTILQASAGDEVSFVFTNQVDGKLVPIESKPSPRVLGIKGNESRLNDKENLDQNPKLSRYQKPQQSLSSNIDAKKVAPSASLMTLQRPGVKRMRTPLKGMANAELKSKLSFGSQLPLKRPSPSDLEHDDSFQ >Potri.003G082366.2.v4.1 pep chromosome:Pop_tri_v4:3:10911707:10915543:-1 gene:Potri.003G082366.v4.1 transcript:Potri.003G082366.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082366.v4.1 MANNLDTARNIPPGVWLIRKIRGKDWSLKTYRYMVLLITFIAYTSYHASRKPSSIVKSVLDPEPDKTLNVHPWPIGSVFLKDEILGKNKYKSEYIGWEPFNGKGGTKKLGLIDVAFVACYSLGMYGAGHLGDTLDLRLFLTSGMIGSGIFVGLFGMGYFWNIHVFGFYLVMQMVAGLFQATGLIMGIWNAHTSVGNITGSLLAASVLDYGWGWSFIAPGMFIALAGVLVFLFLAAYPEDVGFPCSNVPAARVEAVARDVEAQAQSEGIVEKGKNAPIREGSGVRRSVGLLEACMIPGVLPFAFCLFFAKLVAYTFLYWLPFYLSQTEIGGEYMSVKSAGNLSTLFDVGGIVGGILAGHISDKLRARAITAASFMYAAIPSMLLYRIYGSFSQTMNIVLMMIAGLFINGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSIGAALGPLLTGFLSTKGWNTVFVMLMLGALIAGLLLSCQVVAEINDKTSKLMRSTSGLQSSEVSPSQPLLSEQR >Potri.008G074600.1.v4.1 pep chromosome:Pop_tri_v4:8:4636985:4637837:1 gene:Potri.008G074600.v4.1 transcript:Potri.008G074600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074600.v4.1 MEIMSHRSASTLNPNAPLFVPLSYRTVEDFSDQWWALVQSSPWFRDYWLEERFYDPESDPSFSDIYDSFLPDDLYSLFFDDPIYDTIKGEEEEEEVKGCNKELVSLGVMKWKKGRVDRAQAPRYLEKAPKIVSVKLSPRTIQQPK >Potri.014G134750.1.v4.1 pep chromosome:Pop_tri_v4:14:9034424:9035062:-1 gene:Potri.014G134750.v4.1 transcript:Potri.014G134750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G134750.v4.1 MGKVVCSEFHADHGVGLDFMGLVMALVIALALMAICTPPPRRALVLYRVA >Potri.018G014000.4.v4.1 pep chromosome:Pop_tri_v4:18:938332:944986:-1 gene:Potri.018G014000.v4.1 transcript:Potri.018G014000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014000.v4.1 MHLLLTLDALLFRMVGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYILEGKNAERFASLYGNDPKSRQNATIGNAVKNEKENCIKVPKIYWEFTRKAVLTMEWIDGIKLTDQAALERACLNRRKLIDLGLYCSLRQLLEEGFFHADPHPGNLVATDSGSLAYFDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGIDIQSVSDALQASFGDGTRHSRDFEAILNQLYDVMYEFNFSLPPDYALVIRALGSLEGTAKLLDSNFKVVEKAYPFVIGRLLADSNPDMRRILRELLICNDGSIRWNRLERLGEAISEQASDSTEESPDSEGNSSDPLGWKSFDMRSVVNATEDLLLFILSEKGGRVRVFLLRDVIKAADVFLQDEAGVLNEKPEAREASDSEVNATHTRVAKGFHYLRQAVKLAPELWTAMLIRMALKPEVHRFSFDIISALIMHFSHKLPETFWICMSRHLHKLVRNHTSDEL >Potri.018G014000.2.v4.1 pep chromosome:Pop_tri_v4:18:938331:944995:-1 gene:Potri.018G014000.v4.1 transcript:Potri.018G014000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014000.v4.1 MRNAFVYLKRHRQLSLLSNGTASTIIRNFTSQRNQFPHQKSFSTAHTSVHGGRPSAEYAKLRKESLESEFKQALSYSSKKDSIIYRFGPLLALYRATIISFHVLKLTAWQLFVHDIKKRAVKFRETLIRLGPFYVKLGQALSTRPDILPTVYCQELAKLQDQIPPFSTHVAIKSIESQLGRPITQIFADISPKPIAAASLGQVYKAHLHSGELVAVKVQRPGMHLLLTLDALLFRMVGGQLKRFAKARKDLLVAVNEMVRHMFDEIDYILEGKNAERFASLYGNDPKSRQNATIGNAVKNEKENCIKVPKIYWEFTRKAVLTMEWIDGIKLTDQAALERACLNRRKLIDLGLYCSLRQLLEEGFFHADPHPGNLVATDSGSLAYFDFGMMGDIPRHYRVGLIQVLVHFVNRDSLGLANDFLSLGFIPEGIDIQSVSDALQASFGDGTRHSRDFEAILNQLYDVMYEFNFSLPPDYALVIRALGSLEGTAKLLDSNFKVVEKAYPFVIGRLLADSNPDMRRILRELLICNDGSIRWNRLERLGEAISEQASDSTEESPDSEGNSSDPLGWKSFDMRSVVNATEDLLLFILSEKGGRVRVFLLRDVIKAADVFLQDEAGVLNEKPEAREASDSEVNATHTRVAKGFHYLRQAVKLAPELWTAMLIRMALKPEVHRFSFDIISALIMHFSHKLPETFWICMSRHLHKLVRNHTSDEL >Potri.014G146900.1.v4.1 pep chromosome:Pop_tri_v4:14:10082665:10085597:-1 gene:Potri.014G146900.v4.1 transcript:Potri.014G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146900.v4.1 MASSLLLSNPFLTSPHNPNNLTRRQQPLTLRPSSAFTLLSLTKPSNNNNNPKFLKPTVPFLGFKKFATRISTKDADTDTSVPPPLAGEDSAAFELGKQKVSSWIYFSLILGVVLFVLDVAWIDNSTGFGKDFISAVSSLSESPEVVMFILILIFATVHSGLASLRDMGEKLIGERAFRVLFAGVSLPLAVSTVVYFINHRYDGIQLWELQSAPAVHQLVWLSNFISFLFLYPSTFNLLEVAAVDKPKMHLWETGIMRITRHPQMVGQVMWCLAHTVWIGNSVTVAASLGLIGHHLFGVWNGDRRLATRYGEAFEAVKKRTSIVPFAAILDGRQKLPKDYYKEFLRLPYLSITALTLGAYFAHPLMQAASFRLHW >Potri.017G022728.1.v4.1 pep chromosome:Pop_tri_v4:17:1476088:1479133:-1 gene:Potri.017G022728.v4.1 transcript:Potri.017G022728.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G022728.v4.1 MLPELYAKHTKLPLALTWIPCDYTWGTVDDISKLRVRLRDSRMIRKCVFFIERTSCYADEEMQDFVHACEQLFMHNWQGAAGRAFITYLPSFEPDVKENHVSEYPLAHHARKYNWNAAAAIWLRGSDADYNYILEFLLLILMKESSEQQDLVGNLKLTLRKTCNSLRMFSKEEILLRERGSKVSKNNAKIEPAPNADGNMFTVCANNKDERYLKCENGSIRL >Potri.011G111700.1.v4.1 pep chromosome:Pop_tri_v4:11:14171903:14177543:-1 gene:Potri.011G111700.v4.1 transcript:Potri.011G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G111700.v4.1 MPSSPKFFHSRISQPFNSRRSTPLILTFLFIGISGFIFGFTSFLTSSHNYRCSNNSPSRSVRVVWETSNNRNDNGGGNAVVLDGEDRKERHKVMGFVGIQTGFESSGRRRSLRNTWMPSDRQGLQRLEESTGLAFRFIIGRTNDKSKMAELRKEIAEYDDFLLVDIEEQYSKLPYKTLAFFKAAYALFDSEFYVKADDDIYLRPDRLSTLLAKERTHSQTYLGCMKKGPVFTDPKLKWYEPLSYLLGKEYFYHAYGPIYALSADVVASLVVLRNNSFRMFSNEDVTIGAWMLAMNVNHEDNRALCSPECTPSSIAVWDIPKCSGLCNPEARILELHQQESCSKSPTMEPDD >Potri.010G100200.1.v4.1 pep chromosome:Pop_tri_v4:10:12317452:12324842:-1 gene:Potri.010G100200.v4.1 transcript:Potri.010G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100200.v4.1 MGSVEEHSSLLEDGLIQQDESNGLYTGDGSVDINGNPVLKQKTGNWKACPFILGTECCERLAYYGIATNLVTYLTKKLHEGNVSAARNVTTWSGTCYLTPLIGAVLADTCWGRYWTIAAFSSIYFIGMCALTLSASIPALKPAECVGSLCPPATPAQYAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSFLVYIQDNAGWGLGFGIPALFMGIAIASFFSGTPLYRFQRPGGSPITRMCQVLVASFHKWNLEVPLDSSLLYETQDKHSAIEGSRKLVHSDELKCLDKAAVLSEAEMKSGDFPNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMLMDTTIGSFTIPPASLSSFDVISVICWVPIYDRIVVPIARKFTGKERGFSDLQRMGIGLFISVLSMTAAALVEIKRLQLAKELGLAGEAVAVPISIFWQIPQYMLVGASEVFTFIGQIEFFYEESPDAMRSLCSALSLLTTSLGNYLSSFILTMVTYFTTTGGKPGWIPDNLNEGHLDYFFWLLAGLSVLNMLVYVFCARKYKQKAS >Potri.010G100200.2.v4.1 pep chromosome:Pop_tri_v4:10:12317798:12324831:-1 gene:Potri.010G100200.v4.1 transcript:Potri.010G100200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100200.v4.1 MCALTLSASIPALKPAECVGSLCPPATPAQYAVFFFGLYLIALGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGALISSSFLVYIQDNAGWGLGFGIPALFMGIAIASFFSGTPLYRFQRPGGSPITRMCQVLVASFHKWNLEVPLDSSLLYETQDKHSAIEGSRKLVHSDELKCLDKAAVLSEAEMKSGDFPNPWRLCTVTQVEELKILIRMFPIWATGIVFSAVYAQMSTMFVEQGMLMDTTIGSFTIPPASLSSFDVISVICWVPIYDRIVVPIARKFTGKERGFSDLQRMGIGLFISVLSMTAAALVEIKRLQLAKELGLAGEAVAVPISIFWQIPQYMLVGASEVFTFIGQIEFFYEESPDAMRSLCSALSLLTTSLGNYLSSFILTMVTYFTTTGGKPGWIPDNLNEGHLDYFFWLLAGLSVLNMLVYVFCARKYKQKAS >Potri.004G170274.1.v4.1 pep chromosome:Pop_tri_v4:4:20449544:20451162:1 gene:Potri.004G170274.v4.1 transcript:Potri.004G170274.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170274.v4.1 MLAAIAFAFLLVSRKKCKSRIQRTASSPEENSNKMVASYYRDVHRELSETSSHAKKADHGKLTFLKDDIEKFDLQDLLTASAEVLGSGTFGSSYKAVVVGQPVVVKRYRHMSNVGREEFHEHMRRLGRLKHPNLLPLAAYYNRRDEKHLVTEFAENGSLASHLHGNHSPEEDGLHWHIRLKIVKGVARGLAFLYNELPIIAPHGHLKSSNVLLDESFEPLLTDYALRPVVNPEHAHMFMMAYKSPEYAQQSRTSNKTDIWSFGILILEMLTGKFPENYLTPCYNSDADLATSVNNMVKEKRTSEVFDKEIVGTKYSKGEMIKLLKIGLSCCEEDVERRLDIKEVVEKIDVLKEGDEDEELYGSEGNAYSVRGNDQEGYSFTVDR >Potri.018G110100.2.v4.1 pep chromosome:Pop_tri_v4:18:12796961:12807420:1 gene:Potri.018G110100.v4.1 transcript:Potri.018G110100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110100.v4.1 MEDDDEFGDLYTDVLRPFSSSLSSAPQPLSATSSLHRPIDLNDAIKDDDDDILHVVSHRNPSAPSNQNPIEITAFSAPQVRVLGDAESPIKGSIAEDRDLNFDIEDVNTGILEDSRPIIPGLMEDDSTKIEASAVVSGGGGGGGDWEEDEESDSEDDLQIVLNDNSHPGGPMGIDREIGDDDDDDEDGDPLVIVTDGDGPNQAIEEKDWGGGEDGVAAVGGGAEGERKEGGEATGKGNAVVGPKIGYNNHGYHHHPFHSQFKYVRPGAALMPAAPIVGPGGTPGQVRPPMNMSTIAGRGRGDWRPVGIKGGPQKNFHPGFGGPAWGAGRGFGSGLEFMLPSHKMIFDVDIDGFEEKPWKYSGVDVSDYFNFGLNEESWKDYCKQLEQYRLETTMQSKIRVYESGRAEQEFDPDLPPELAAATGFRDAPADNSNAGKSDNAQSDWTKGSARFRAQIPTGRAIQVETGHGERIPSIEGRAPRLRDSDAIIEIICQDSLDDSSTGDGVQDAANDEPQRDDFRGSDVAEDDMAETENEYAGDFPQAYNDRKGGRTPHMNSARNMPEGDGVSPFHPEATAPYPHAGSRGHPPSYPGRDFGTPREERQMQGRSRDRSPHLTPAQSSCDKKFVDNAEEESTESMVGKHSLRVSSPITVQDARELSSEKKDDPEPLQAEGSSRLGRDEMSENEETTNDTPKDGNMHHSTRKQKVSSHVEQPALQQLDDEEDSKAARSSENSKARSGSSKDYQKWKDGVEEEVVQGGRSTRSGSIRRHLDENEQNFRRKDRDVRHEMERSRVIIRGREDSYPRRDLDPSLPHHLHMKHEGYDRRKERENSDISWQQRDEDPHSSKHRTEDRKRELGDEMGSRHRSKIRETERSDKDEHLHPRKQLENGSYRIHHDKDGSSQHRERDDSLKSRFEMVDDYHSKRRKDEEYMKREYADKEEILHGHRENTSRRRRERDDQQWIRDNLDDYHSVRHKDEVWFQRERGERPREREDLYRLKQSNEENLPRREREEGRASARSGRGVDDKAWAGHPRGKDEYKVSDKDYQLKDAVRSSEHQKRRDRMEDESLSHHRVRDDVYARGNQFSSDERRSRQERSSTRIDRTLDTSDNQRVHEKKHKENTRKNKESDGGDHGTLGPSRRNQEDQSGHSDEMVFFTLSSRKNNEMEE >Potri.018G110100.1.v4.1 pep chromosome:Pop_tri_v4:18:12796961:12808814:1 gene:Potri.018G110100.v4.1 transcript:Potri.018G110100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110100.v4.1 MEDDDEFGDLYTDVLRPFSSSLSSAPQPLSATSSLHRPIDLNDAIKDDDDDILHVVSHRNPSAPSNQNPIEITAFSAPQVRVLGDAESPIKGSIAEDRDLNFDIEDVNTGILEDSRPIIPGLMEDDSTKIEASAVVSGGGGGGGDWEEDEESDSEDDLQIVLNDNSHPGGPMGIDREIGDDDDDDEDGDPLVIVTDGDGPNQAIEEKDWGGGEDGVAAVGGGAEGERKEGGEATGKGNAVVGPKIGYNNHGYHHHPFHSQFKYVRPGAALMPAAPIVGPGGTPGQVRPPMNMSTIAGRGRGDWRPVGIKGGPQKNFHPGFGGPAWGAGRGFGSGLEFMLPSHKMIFDVDIDGFEEKPWKYSGVDVSDYFNFGLNEESWKDYCKQLEQYRLETTMQSKIRVYESGRAEQEFDPDLPPELAAATGFRDAPADNSNAGKSDNAQSDWTKGSARFRAQIPTGRAIQVETGHGERIPSIEGRAPRLRDSDAIIEIICQDSLDDSSTGDGVQDAANDEPQRDDFRGSDVAEDDMAETENEYAGDFPQAYNDRKGGRTPHMNSARNMPEGDGVSPFHPEATAPYPHAGSRGHPPSYPGRDFGTPREERQMQGRSRDRSPHLTPAQSSCDKKFVDNAEEESTESMVGKHSLRVSSPITVQDARELSSEKKDDPEPLQAEGSSRLGRDEMSENEETTNDTPKDGNMHHSTRKQKVSSHVEQPALQQLDDEEDSKAARSSENSKARSGSSKDYQKWKDGVEEEVVQGGRSTRSGSIRRHLDENEQNFRRKDRDVRHEMERSRVIIRGREDSYPRRDLDPSLPHHLHMKHEGYDRRKERENSDISWQQRDEDPHSSKHRTEDRKRELGDEMGSRHRSKIRETERSDKDEHLHPRKQLENGSYRIHHDKDGSSQHRERDDSLKSRFEMVDDYHSKRRKDEEYMKREYADKEEILHGHRENTSRRRRERDDQQWIRDNLDDYHSVRHKDEVWFQRERGERPREREDLYRLKQSNEENLPRREREEGRASARSGRGVDDKAWAGHPRGKDEYKVSDKDYQLKDAVRSSEHQKRRDRMEDESLSHHRVRDDVYARGNQFSSDERRSRQERSSTRIDRTLDTSDNQRVHEKKHKENTRKNKESDGGDHGTLGPSRRNQEDQSGHSDEMILKRSRAPGNGDAGISIQRNSSKRHKEDASSDDEQEDLRRGRSKLERWTSHKERDYNISKSSASLKFKEIHRNSNSNGRSLEGSKLPNELPKKVEVVEKRTKVETVEKHPVSEEKDVAEVVNKDTDMKPSEDRHLDTVEKLKKRSERFKLPMPGEKDALAIKKMENEALPSVKPETPADSEIKPERPPRKRRWISN >Potri.010G069200.1.v4.1 pep chromosome:Pop_tri_v4:10:9773291:9778143:-1 gene:Potri.010G069200.v4.1 transcript:Potri.010G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069200.v4.1 MSASSARRLKGRNGVADPTAASKPTKALTPISIPDKNPNSTIKRSLSGKENPARPNSRAQKSAIRPVPRVDKAAVGDGSEGRMRWSTSSAPRGRSPSPSEFIRVFRDSRVSTGGSDNRVVSRAEKSGLRGLKENGGFSGELKKRNGLCKGNDLKILESKKQLRGLKVLNDNCNKEVILRKSREFDSNLDSKVANGGKFDKVYVDKSGSEVKFDSFKDSSEKSYSKGMVSENLKEKGLSDEGKESNAGVKYPSKLHEKLAFLEGKVKRITSDIKKTKEMLDMNNSDASKVILLNIQDKISGIEKAIGNDAGSSSSKSSGNDSGTIVVVEKNEIEKVENVKSQAKGLNTEELEERLIPHHKLLRNRTSLKAPMASCQSHNVSNADEYGCELKVEEKLSSPIEENPIALEFLDSLSKEDGKVIVRDAKVDLESFEVQEMGDGSASGNQDSSNMFNPKCEEDLLLTTDETLDEFDDQENRNTFIIGEETEDTCVYQVNEIGTKSSTGGWFVSEGESVLTHDDGSCSFYDIANCEEKAEYKPPAGVSPNIWRDCWIIRAPGADGCSGRYVVAASAGNTLDSGFCSWDFYAKDVRAFHIEDGGTTASRTVLGALPNNTTSRRNALSSILLPETQQWWYKPCGPLMVSTASSQKVVKIHDIRDGEQIMKWEVQKPVLAMDYSSPLQWRNKGKVVVAEAETISVWDVNSLNPQSLLSVSLAGRKISALHVINTDAELGGGVRQRATSAEAEGNDGVFCTPDSINVLDFRNPSGIGLKIPKIGVSVQSVFTRGDSIYIGCANTRLAGKKHPCSQVQHFSLRKQRLVNTYSLPESNAHSHHSAITQVWGNSKLVMGVCGLGLFAFDALKDDALQSFTGDISSNQKVKDVIGPDDLYSPSFDYLASCALLISRDRPALWKHLL >Potri.005G181150.1.v4.1 pep chromosome:Pop_tri_v4:5:18751972:18752274:-1 gene:Potri.005G181150.v4.1 transcript:Potri.005G181150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181150.v4.1 MTWVLLTSSLNALEFNYALSSSACGYDKMLDPSSLGLATCSAQIHVGLARCQTQNPWVQLHAKSKCIWVWQNARLNIFEFNNVFGFGEVSSPMFLGSITR >Potri.009G040800.1.v4.1 pep chromosome:Pop_tri_v4:9:4840819:4844204:1 gene:Potri.009G040800.v4.1 transcript:Potri.009G040800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040800.v4.1 MSRLFSLGLIASWYSSNIGVLLLNKYLLSNYGFKYPIFLTLCHMMACSLFSYIAISWLKIVPLQTMRSKSQFLKISALGIIFCSSVVAGNISLRYLPVSFNQAIGATTPFFTAVFAYLMTLRREGWLTYVSLVPVVAGCVIASGGEPSFNLFGFLMCIGATAARALKTVVQGILLSSEGERLHSMNLLMYMAPVAVAVLVPAAYFMEGDVVGITISLARDDKKFIFYLIFNSSLAYLVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFRNPVSVTGIFGYSITVAGVVLYNEAKKRSRND >Potri.001G365600.3.v4.1 pep chromosome:Pop_tri_v4:1:38217750:38220491:-1 gene:Potri.001G365600.v4.1 transcript:Potri.001G365600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365600.v4.1 MAVVNSITATLTSIPSLTISTPLSFPCKTTKLSSFSLNNNAFKTNTTLIPSLSTSRVFAAPEALDSQTTLDPLPDTLDAPYSDTFQVEGSETPSSQSVSIAADADKMAPKQKIRIKLRSFWVKLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRVYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDIPAGVDVEVKL >Potri.001G365600.1.v4.1 pep chromosome:Pop_tri_v4:1:38217799:38220489:-1 gene:Potri.001G365600.v4.1 transcript:Potri.001G365600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365600.v4.1 MAVVNSITATLTSIPSLTISTPLSFPCKTTKLSSFSLNNNAFKTNTTLIPSLSTSRVFAAPEALDSQTTLDPLPDTLDAPYSDTFQVEGSETPSSQSVSIAADADKMAPKQKIRIKLRSFWVKLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRVYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDIPAGVDVEVKL >Potri.010G030400.4.v4.1 pep chromosome:Pop_tri_v4:10:4499075:4504557:-1 gene:Potri.010G030400.v4.1 transcript:Potri.010G030400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030400.v4.1 MILGILILQGVILSCRFIPFTYNSRTQDLTTQGVKRLCDYASSLENNLLEENIQTSASSSRLTFSSKAGALPAPLSEPSTPASIGSQEVQRPPRNAASPSSQQRSNGISGVAELTSGLGISKGIDTEEETTNRTSWLKPAFLMRTRSATNSVVQQILVDPPAP >Potri.010G030400.3.v4.1 pep chromosome:Pop_tri_v4:10:4499075:4504209:-1 gene:Potri.010G030400.v4.1 transcript:Potri.010G030400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030400.v4.1 MILGILILQGVILSCRFIPFTYNSRTQDLTTQGVKRLCDYASSLENNLLEENIQTSASSSRLTFSSKAGALPAPLSEPSTPASIGSQEVQRPPRNAASPSSQQRSNGISGVAELTSGLGISKGIDTEEETTNRTSWLKPAFLMRTRSATNSVVQQILVDPPAP >Potri.010G030400.2.v4.1 pep chromosome:Pop_tri_v4:10:4499075:4504209:-1 gene:Potri.010G030400.v4.1 transcript:Potri.010G030400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030400.v4.1 MILGILILQGVILSCRFIPFTYNSRTQDLTTQGVKRLCDYASSLENNLLEENIQTSASSSRLTFSSKAGALPAPLSEPSTPASIGSQEVQRPPRNAASPSSQQRSNGISGVAELTSGLGISKGIDTEEETTNRTSWLKPAFLMRTRSATNSVVQQILVDPPAP >Potri.001G308800.1.v4.1 pep chromosome:Pop_tri_v4:1:31926758:31930310:-1 gene:Potri.001G308800.v4.1 transcript:Potri.001G308800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G308800.v4.1 MGACATKPKVLKEGETPEPVKEEVLVATGGGDEVVDKGVAVEEEEKKVVNVEKDTGDVGADNKVEAEIVDDSSKRRSLSNLFKENEAGKEPTESDKAPAEPEKSESNEKPTESAVAKEEPSTVKEQSVEKPEEPVKEEKVVTEAPEKLETSKSTNKPTEPAVAKEEPLAVKEQSVEKPEEPIKQEKVVTEEPVVVPGQETVKSEIPAESVPVSGTVNAPVKVDTEKVTSEVTPGAAAEKTKITETIEEKKPEETK >Potri.010G124900.1.v4.1 pep chromosome:Pop_tri_v4:10:14227306:14228647:1 gene:Potri.010G124900.v4.1 transcript:Potri.010G124900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124900.v4.1 MSFGSSRRLMYSSSLVVVLVVFVLQIWVCSDCNCKAGAIRLLQENGMEKFKESSDITKDNYKSKEKHFRKYFNERANTSYGFNKTEKGFEENKRRVPSCPDPLHN >Potri.005G092400.1.v4.1 pep chromosome:Pop_tri_v4:5:6445626:6446943:1 gene:Potri.005G092400.v4.1 transcript:Potri.005G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092400.v4.1 MEHVNITPNLKEQSHQSKPKRTRTIISRFLGFFGFSRKPRSFPEKKPNKIDILPERKKLKRQRSWFPWSWFCIKSPGTKTVPLDSTVPDSKRTSNASKSKRQKPLTNHGTPRQTPARVSSDRAPKERPEQTWYRSEQDIILKNGKPSDHAENPKDGTSKKRLSFRRKIDAIRSGTSQPGSPEVKAKSIRIVSITRSTSSPSLPHEKSATVPNTLGRSWVMAGKPHKENDRPNGKKLDPLVGMSIVVMTLMIMLLWGKLCAILCASAWFYFVPRLISEDDVKNGSIQREFNLDSEDYKKKVVLEGFLERKRHSVL >Potri.004G134000.2.v4.1 pep chromosome:Pop_tri_v4:4:15546759:15554755:1 gene:Potri.004G134000.v4.1 transcript:Potri.004G134000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134000.v4.1 MGSSSILDITTNDPVDNSTFSASSGMRKNKKGKQKSSVSNAKKEVPEKTAKGKKRNFSDTNKEDPAGGSLTRPRRAAACKDFKEKSLRLHEEKSSVVESKKEQVVNEEILALRLTQGQEEGRPNRRLIDFVVHDANGNPQPLEMIEVDDMFISGVIMPLEESLDKEKEVPVRCEGFGRIEAWNISGYEDGSPVIWLTTEVADYDCIKPSGGYKKFFDRFFQKALACIEVYKKLSRFSGGNPEFTLDELLAGVVRAMSGNKCFSGAPSVKNFLVSQGEFIYQQITGLDQTSKKNDKFFSDLPALVALRDESRNHGSVLLAKAANPGGNLVIDPKSVDGAIVNQSNQSSTIAEEDEDAKLARLLQEEEYWHSNMRQKKSRGSASASNTIYIKINEDEIANDYPLPVFYKHSDEETDEYVVVASDDVIDHPDDLPRKMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGRMTEDDGSGFCLDDDPDQSSSRGSEAQDDMGLPIFLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKQYGSWYKPVLKTVKLARSIITLLKEQSRVSRLSFADVIRKVSEFKKDHHAYISSDPAAIERYVVVHGQIILQLFAEFPDQKIKKCAFVVGLTRKMEERHHTKWVVNKKAIVQKFQSNLNPRAAMDTVAPGSKRKLMQATTTRLINRIWGEYYSNYSPEDLEEGAECEVKEEDEAEEQYENEDDDKEEVVEKTLKPRSVSERTKSHTSQKEVRWDGNPVSKTSSGEAIYKRAIVCGEVIVVGDAVLVEVDESDELPAIYFVEYMFETRNGSRMFHGRMMKRGSETVLGNTANDREVFLTTECMNYKLQDAKQAIILEVLKRPWGHDHRKDNINADRIDREKAEERKKKGLQVEYYCKSLYWPERGAFFTLPLDTMGLGSGVCHSCNLKIAEEDKDIFRVNSSQTGFSYKGTEYSVHDFVYVSPHQFASERGENETFKGGRNVGLKPYVVCQLLEVVLKEPKQAETRSTQVNVQRFFRPDDISPEKAYCSDIREIYYSEETHLLSVETIEGKCEVRKKNDIPTCSAPAIFDNIFFCEHMYDPSKGSLKQLPAQVKSKFSAVSRDGDVASRKRKGKSKEGENDIEADKQREASPENRLATLDIFAGCGGLSEGLQQAGVSSTKWAIEYEEPAGEAFKLNHAGSLMFINNCNVILRAVMEKCGDADDCISTSEAGELASSLDAKVIDGLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTIASLLQMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAAPELKITLSEKSQYSAVRSTAYGAPFRAITVRDTIGDLPDVGNGASKTNLEYGNDPVSWFQKKIRGDMVVLTDHISKEMNELNLIRCKKIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDSYQFSGNIHHKHRQIGNAVPPPLSYALGRKLKEALDSKRRK >Potri.004G134000.1.v4.1 pep chromosome:Pop_tri_v4:4:15548143:15554813:1 gene:Potri.004G134000.v4.1 transcript:Potri.004G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134000.v4.1 MRKNKKGKQKSSVSNAKKEVPEKTAKGKKRNFSDTNKEDPAGGSLTRPRRAAACKDFKEKSLRLHEEKSSVVESKKEQVVNEEILALRLTQGQEEGRPNRRLIDFVVHDANGNPQPLEMIEVDDMFISGVIMPLEESLDKEKEVPVRCEGFGRIEAWNISGYEDGSPVIWLTTEVADYDCIKPSGGYKKFFDRFFQKALACIEVYKKLSRFSGGNPEFTLDELLAGVVRAMSGNKCFSGAPSVKNFLVSQGEFIYQQITGLDQTSKKNDKFFSDLPALVALRDESRNHGSVLLAKAANPGGNLVIDPKSVDGAIVNQSNQSSTIAEEDEDAKLARLLQEEEYWHSNMRQKKSRGSASASNTIYIKINEDEIANDYPLPVFYKHSDEETDEYVVVASDDVIDHPDDLPRKMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGRMTEDDGSGFCLDDDPDQSSSRGSEAQDDMGLPIFLSAIKEWMIEFGSSMIFISIRTDMAWYRLGKPSKQYGSWYKPVLKTVKLARSIITLLKEQSRVSRLSFADVIRKVSEFKKDHHAYISSDPAAIERYVVVHGQIILQLFAEFPDQKIKKCAFVVGLTRKMEERHHTKWVVNKKAIVQKFQSNLNPRAAMDTVAPGSKRKLMQATTTRLINRIWGEYYSNYSPEDLEEGAECEVKEEDEAEEQYENEDDDKEEVVEKTLKPRSVSERTKSHTSQKEVRWDGNPVSKTSSGEAIYKRAIVCGEVIVVGDAVLVEVDESDELPAIYFVEYMFETRNGSRMFHGRMMKRGSETVLGNTANDREVFLTTECMNYKLQDAKQAIILEVLKRPWGHDHRKDNINADRIDREKAEERKKKGLQVEYYCKSLYWPERGAFFTLPLDTMGLGSGVCHSCNLKIAEEDKDIFRVNSSQTGFSYKGTEYSVHDFVYVSPHQFASERGENETFKGGRNVGLKPYVVCQLLEVVLKEPKQAETRSTQVNVQRFFRPDDISPEKAYCSDIREIYYSEETHLLSVETIEGKCEVRKKNDIPTCSAPAIFDNIFFCEHMYDPSKGSLKQLPAQVKSKFSAVSRDGDVASRKRKGKSKEGENDIEADKQREASPENRLATLDIFAGCGGLSEGLQQAGVSSTKWAIEYEEPAGEAFKLNHAGSLMFINNCNVILRAVMEKCGDADDCISTSEAGELASSLDAKVIDGLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTIASLLQMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAAPELKITLSEKSQYSAVRSTAYGAPFRAITVRDTIGDLPDVGNGASKTNLEYGNDPVSWFQKKIRGDMVVLTDHISKEMNELNLIRCKKIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDSYQFSGNIHHKHRQIGNAVPPPLSYALGRKLKEALDSKRRK >Potri.008G117100.1.v4.1 pep chromosome:Pop_tri_v4:8:7499478:7500878:1 gene:Potri.008G117100.v4.1 transcript:Potri.008G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117100.v4.1 MDGSCVDESTTSSTDNSISITPTSLTPSPPPATTTKSPPESLCRVGSGNSVILDLELGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEEDEAARAYDTAAQRFRGRDAVTNFKQVNETEDDEIEAAFLITHSKAEIVDMLRKHTYSDELEQSKRNQRSNNGVNGKQYKNTANYGSNSYDHGCGRVLKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQSTSSCSTKGVLLNLEDMSGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKSLKAGDIVCFQRSTGPDKQLYIDWKARSGSNQVQPVQPIQMVRLFGVNIFNVPGMENGCNGKRSVREMELLSLDHQYSKKQRIIGAL >Potri.002G205900.3.v4.1 pep chromosome:Pop_tri_v4:2:17097256:17109903:-1 gene:Potri.002G205900.v4.1 transcript:Potri.002G205900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205900.v4.1 MVETRRSSSSSSKRSLPPSSPPPSSKRCKAAAAAAASEVSSSTSDVPTPVLPIENTSQEKDSGSELDLQATKSGEETQAEELVSLDEVTANGEKSKGAVVLNKSKKRVPKSVKSNAKAAWGQLLSQCSQNPHKLINSTLFTVGQSRQCNLWLNDSSISTILCKLKHIERGGAPIALLEITGGKGAVQVNGKLYQKNETLALNGGDEVIFTTSGKHAYIFQQLTSNSLGTPGMPSVSILEAQSAPIKGIHIEARSRDPSDYAGASILASLSHLLPPAAKTGEDGQQNTDFSTLPSGCEASEDHVPDVEMKDGTSNNDPSDVSPSEKAVAPSSNAANENANADSMRLGACTNAVIGRIPNSTYELKPLLRMLAGSSSEFDKIFDERERREILKDLDPPPVLMSTRRQLFKDSLQKGILNPEEIEVSFDNFPYYLSDTTKKVLIGGAFIHLKCGNKVAKFACDLPTVSPRMLLSGPAGSEIYQETLTKALAKDAGARLLIVDSLQLPGGSIHKEADSSRESLKSERVSAFAKRAMQAALLTKKPTSSVEAGITGCSTFGSHARPKQETSTASSKNYTFKTGDRVKFVGTSLASAISSLQPPLKEPTIGLRGKVVLTFEGNSSYKIGVRFDQSIPEGNDLGGRCEEDHGFFCTANSLRLDSSGGEDVDRLAINELFEVALNESKNAPLILFLKDLEKSLVGNQDAYTSLKSKLENLPEKVIVMGSHTQIDNRKEKSHAGGLLFTKFGGNHTALLDLAFPDSFGRPSDRSKETPKAMKQLSRLFPNKVTVQLPQDEALLVDWKQQLERDIETLKAQANIFSFRSVLSRVGLCCPDLETVCLKDQALTTESVEKVVGWALSHHFMHCSEASVNDSKILISSESILYGLSVLHGVQNESKSLKKSLKDVVTENEFEKKLLADVMPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDLAPDVDLEAVANMTDGYSGSDIKNLCVTAAHCPIREILKTEKKERTLALAENSPLPTLYSSSDIRPLKMEDFRYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKSLSYFM >Potri.002G205900.8.v4.1 pep chromosome:Pop_tri_v4:2:17097256:17107285:-1 gene:Potri.002G205900.v4.1 transcript:Potri.002G205900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205900.v4.1 MPSVSILEAQSAPIKGIHIEARSRDPSDYAGASILASLSHLLPPAAKTGEDGQQNTDFSTLPSGCEASEDHVPDVEMKDGTSNNDPSDVSPSEKAVAPSSNAANENANADSMRLGACTNAVIGRIPNSTYELKPLLRMLAGSSSEFDKIFDERERREILKDLDPPPVLMSTRRQLFKDSLQKGILNPEEIEVSFDNFPYYLSDTTKKVLIGGAFIHLKCGNKVAKFACDLPTVSPRMLLSGPAGSEIYQETLTKALAKDAGARLLIVDSLQLPGGSIHKEADSSRESLKSERVSAFAKRAMQAALLTKKPTSSVEAGITGCSTFGSHARPKQETSTASSKNYTFKTGDRVKFVGTSLASAISSLQPPLKEPTIGLRGKVVLTFEGNSSYKIGVRFDQSIPEGNDLGGRCEEDHGFFCTANSLRLDSSGGEDVDRLAINELFEVALNESKNAPLILFLKDLEKSLVGNQDAYTSLKSKLENLPEKVIVMGSHTQIDNRKEKSHAGGLLFTKFGGNHTALLDLAFPDSFGRPSDRSKETPKAMKQLSRLFPNKVTVQLPQDEALLVDWKQQLERDIETLKAQANIFSFRSVLSRVGLCCPDLETVCLKDQALTTESVEKVVGWALSHHFMHCSEASVNDSKILISSESILYGLSVLHGVQNESKSLKKSLKDVVTENEFEKKLLADVMPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDLAPDVDLEAVANMTDGYSGSDIKNLCVTAAHCPIREILKTEKKERTLALAENSPLPTLYSSSDIRPLKMEDFRYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKSLSYFM >Potri.002G205900.1.v4.1 pep chromosome:Pop_tri_v4:2:17097250:17109861:-1 gene:Potri.002G205900.v4.1 transcript:Potri.002G205900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205900.v4.1 MVETRRSSSSSSKRSLPPSSPPPSSKRCKAAAAAAASEVSSSTSDVPTPVLPIENTSQEKDSGSELDLQATKSGEETQAEELVSLDEVTANGEKSKGAVVLNKSKKRVPKSVKSNAKAAWGQLLSQCSQNPHKLINSTLFTVGQSRQCNLWLNDSSISTILCKLKHIERGGAPIALLEITGGKGAVQVNGKLYQKNETLALNGGDEVIFTTSGKHAYIFQQLTSNSLGTPGMPSVSILEAQSAPIKGIHIEARSRDPSDYAGASILASLSHLLPPAAKTGEDGQQNTDFSTLPSGCEASEDHVPDVEMKDGTSNNDPSDVSPSEKAVAPSSNAANENANADSMRLGACTNAVIGRIPNSTYELKPLLRMLAGSSSEFDKIFDERERREILKDLDPPPVLMSTRRQLFKDSLQKGILNPEEIEVSFDNFPYYLSDTTKKVLIGGAFIHLKCGNKVAKFACDLPTVSPRMLLSGPAGSEIYQETLTKALAKDAGARLLIVDSLQLPGGSIHKEADSSRESLKSERVSAFAKRAMQAALLTKKPTSSVEAGITGCSTFGSHARPKQETSTASSKNYTFKTGDRVKFVGTSLASAISSLQPPLKEPTIGLRGKVVLTFEGNSSYKIGVRFDQSIPEGNDLGGRCEEDHGFFCTANSLRLDSSGGEDVDRLAINELFEVALNESKNAPLILFLKDLEKSLVGNQDAYTSLKSKLENLPEKVIVMGSHTQIDNRKEKSHAGGLLFTKFGGNHTALLDLAFPPLIQDSFGRPSDRSKETPKAMKQLSRLFPNKVTVQLPQDEALLVDWKQQLERDIETLKAQANIFSFRSVLSRVGLCCPDLETVCLKDQALTTESVEKVVGWALSHHFMHCSEASVNDSKILISSESILYGLSVLHGVQNESKSLKKSLKDVVTENEFEKKLLADVMPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDLAPDVDLEAVANMTDGYSGSDIKNLCVTAAHCPIREILKTEKKERTLALAENSPLPTLYSSSDIRPLKMEDFRYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKSLSYFM >Potri.002G205900.7.v4.1 pep chromosome:Pop_tri_v4:2:17099229:17109888:-1 gene:Potri.002G205900.v4.1 transcript:Potri.002G205900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205900.v4.1 MVETRRSSSSSSKRSLPPSSPPPSSKRCKAAAAAAASEVSSSTSDVPTPVLPIENTSQEKDSGSELDLQATKSGEETQAEELVSLDEVTANGEKSKGAVVLNKSKKRVPKSVKSNAKAAWGQLLSQCSQNPHKLINSTLFTVGQSRQCNLWLNDSSISTILCKLKHIERGGAPIALLEITGGKGAVQVNGKLYQKNETLALNGGDEVIFTTSGKHAYIFQQLTSNSLGTPGMPSVSILEAQSAPIKGIHIEARSRDPSDYAGASILASLSHLLPPAAKTGEDGQQNTDFSTLPSGCEASEDHVPDVEMKDGTSNNDPSDVSPSEKAVAPSSNAANENANADSMRLGACTNAVIGRIPNSTYELKPLLRMLAGSSSEFDKIFDERERREILKDLDPPPVLMSTRRQLFKDSLQKGILNPEEIEVSFDNFPYYLSDTTKKVLIGGAFIHLKCGNKVAKFACDLPTVSPRMLLSGPAGSEIYQETLTKALAKDAGARLLIVDSLQLPGGSIHKEADSSRESLKSERVSAFAKRAMQAALLTKKPTSSVEAGITGCSTFGSHARPKQETSTASSKNYTFKTGDRVKFVGTSLASAISSLQPPLKEPTIGLRGKVVLTFEGNSSYKIGVRFDQSIPEGNDLGGRCEEDHGFFCTANSLRLDSSGGEDVDRLAINELFEVALNESKNAPLILFLKDLEKSLVGNQDAYTSLKSKLENLPEKVIVMGSHTQIDNRKEKSHAGGLLFTKFGGNHTALLDLAFPDSFGRPSDRSKETPKAMKQLSRLFPNKVTVQLPQDEALLVDWKQQLERDIETLKAQANIFSFRSVLSRVGLCCPDLETVCLKDQALTTESVEKVVGWALSHHFMHCSEASVNDSKILISSESILYGLSVLHGVQNESKSLKKSLKDVVTENEFEKKLLADVMPPSDIGVSFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKILRVILAKEDLAPDVDLEAVANMTDGYSGSDIKNLCVTAAHCPIREILKTEKKVCLTLLFV >Potri.005G162100.1.v4.1 pep chromosome:Pop_tri_v4:5:15913395:15917195:-1 gene:Potri.005G162100.v4.1 transcript:Potri.005G162100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G162100.v4.1 MAETAVATTEAPTLSEQYLLKGEEEKTNVVSKPVEVKEDEKPATVVSEEIVEKAEEPPAPAAEEKTEDTPAAVEESTETPATAESNSEDTPAAAEETNEATEENSGKEAAEEKREIKIETAPADYRFPTTNQTRHCFTRYIEYHRCVAAKGEDASECDKFAKYYRSLCPSEWVERWNEQRSNGTFPGPL >Potri.015G016000.1.v4.1 pep chromosome:Pop_tri_v4:15:1090457:1091391:1 gene:Potri.015G016000.v4.1 transcript:Potri.015G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016000.v4.1 MASCSKILTKTDTEKRLSVPSKFLKSLPPFKGGHAVFFQAKEERGKVWTFQCSTRKKGPYQKPVLSRGWLAFARKKKLEVGDKIEFYKARDQETEKPFYGVRVEREIKILGAVIGCMNP >Potri.005G076600.1.v4.1 pep chromosome:Pop_tri_v4:5:5176286:5178883:-1 gene:Potri.005G076600.v4.1 transcript:Potri.005G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076600.v4.1 MDSSNSGSMQSSSGGDEEYDSSRAESISAFLNSNNNKNNPFSHVGPMPHNQPPEPDHHHHQSHHSSSSSTMFFDPFSNYFDPLAPSSSSSRSPLQSLTNPNSLNNLDMVWSKNLRSDPNCTDLGGFISSSLPTQQFTNQTQNRTTFQSLPSHGQESATRVPGSGSVSGTNDQVSNTAGIRNPKKRSRASRRAPTTVLSTDTTNFRAMVQEFTGIPAPPFTSSPFPRSRLDLFGTAASTLRSAVSQHLDPSPPPYLLGPFAKKFQPPPPPPFVSSGSAASSFSASMVDAIASTTATNINGTCTNTTISNNIPLTSINYQLPSDLGLLKQPHNLLNLNVQNPILNFHPLLQAPPKYPLPDSPNILGTTKPQQGSLEIPLNVSHLKMVVLEEFGLNHGHVNTNLSGLQNIVSSSSPSADVTLVRRSDHSNSLTNWGDGAGSNEVDHHHHQQQQQQGLLRSINGDYNNSTQRVTNGKVNFLASSSDFCGDHKLGQENVATRSEGTMESWICSSD >Potri.019G047800.1.v4.1 pep chromosome:Pop_tri_v4:19:7345053:7351988:-1 gene:Potri.019G047800.v4.1 transcript:Potri.019G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047800.v4.1 MEQAELTTVQVLKRDIPWETYMVTKLISGTDLQLLRRYDNRPESYRAQLLDDDGPAYVQVFVTILIDIFKEETVEYVLALIDEMLTANPKRARLFHDKSLANDGSYEPFLRLLWKGNWFIQEKSCKILALIVSARPKTQDDLLSNGEASNSKSKITCVDDVLKGLVEWFCAQLKKPSNPSRSIPTAISCLATLLKEPVVRSLFVQGDGVKLLIPLICPASTQQSIQLLYETCLCVWLLSYYEPAIKYLATSRSLPRLVDVVKSSTKEKVVRVVVLTLRNLLSKGTFGAQMVDLGLPQIVQNLKAQAWSDEDLLEALNQIEEGVKDNIKKLSSFDKYKQEVLLGHLDWSPMHKNPAFWCENFTNFEENDFQILRVLVTILDTSNDPRALAVACFDLSQFIQYHPAGRIIVTDLKAKERMMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQV >Potri.001G309900.1.v4.1 pep chromosome:Pop_tri_v4:1:32053935:32054516:1 gene:Potri.001G309900.v4.1 transcript:Potri.001G309900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309900.v4.1 MLKLIGSLSCIWLLMAISTMAQDQPPVLDTAGRPVRSGVEYYILPAATDIAGGLTLVARNGSCPSFVGQEPLTPVVSQGLPVVFSPYVAGETIVRESRSFIIEFSAASTCVSSTKWNLAARDPATSRRNIGIGRSGSYFMITKENNLYYLAFCPADTCNTCRFDCGTAGITIENGKRFLTLDGPVFPFRFRRA >Potri.003G081200.1.v4.1 pep chromosome:Pop_tri_v4:3:10828769:10829978:-1 gene:Potri.003G081200.v4.1 transcript:Potri.003G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081200.v4.1 MYGQSLLDQPDYAFLDPVRLHLQGETVSTAPTTTCSYTPAITTTTAAPAANYTPPLVYCRSTSFSSLYPCLTENWGDLPLKVDDSEDMFLYAVLRDAVTVGWVPSLKTDQLVQPNFPLVKLEPTENLAVSPPSTAVPVAEPAVLPSKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPDPVRVTSKRSSPEPSSSVDSGSPKRRKKVGGTAGAATVVAKAGLEIGNGVGCQVGTHGEQMLVI >Potri.014G094700.1.v4.1 pep chromosome:Pop_tri_v4:14:6153669:6154338:1 gene:Potri.014G094700.v4.1 transcript:Potri.014G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094700.v4.1 MTDNVLIDDLFIMYFRRQGCKLRQQEFLTKVYLCGTACFESNTMFPDVKNTIGTCREHHLWDSFAGTIRCKPCLPVYFKFEPSGPIIFVLFPLSIPADWNAPRLPSIIAFEFSWQQLYHTICLDCHMANFPFLYFF >Potri.001G104300.1.v4.1 pep chromosome:Pop_tri_v4:1:8395602:8401043:-1 gene:Potri.001G104300.v4.1 transcript:Potri.001G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104300.v4.1 MGNRRFAQVSTSDEEDEAPPKARSSSTTTRPGDISERKRKKMKLQEEEEEEEEVVTTRRGRGRPRKKLREEKSEDEVEEELEEDPEEEEEVPQEDAKPVGDSTRVSGKGRGRRTHYDAFEFDGNRYELEDPVLLVPEDKEQKPYVAIIKDISQTKDGSMMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHRDEVPAESVMHKCVVHFVPVHKQLPNRKQYPGFIVRKVYDTVERKLWKLTDKDYEDNKQHEIDLLVQKTLSRMGDLPDIEVEDAPAAAPPELEDPTKAKRTLRRKTVSPLDVTREEEATTRYDNFKAETPGSCTGNGSEYYAILVKFDALTGDTHRDKWLERLLQCIQYMCISSNSTLDDDKIKGGSDGVDHKKEQKSQGAANGSEENSVKGGKSFPWPEAAVPAVSALEKASHDALSSDFQKYNQKLRQLVFNLKNNAFLARRLLNRELEPSKILNMSPNELKEGLTAEETAKKEPEESERMQMTDARCSRCSEFKVGLRDIIQAGHGARYQLECIACGNSWYASRDEVSMLSIDTPSSARSVGTAPWATAKFDEVEKKLVSPRESDKAAEFLKKTSEPYMPVLENQRSFNKVKIEENPEI >Potri.001G104300.4.v4.1 pep chromosome:Pop_tri_v4:1:8395638:8401079:-1 gene:Potri.001G104300.v4.1 transcript:Potri.001G104300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104300.v4.1 MGNRRFAQVSTSDEEDEAPPKARSSSTTTRPGDISERKRKKMKLQEEEEEEEEVVTTRRGRGRPRKKLREEKSEDEVEEELEEDPEEEEEVPQEDAKPVGDSTRVSGKGRGRRTHYDAFEFDGNRYELEDPVLLVPEDKEQKPYVAIIKDISQTKDGSMMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHRDEVPAESVMHKCVVHFVPVHKQLPNRKQYPGFIVRKVYDTVERKLWKLTDKDYEDNKQHEIDLLVQKTLSRMGDLPDIEVEDAPAAAPPELEDPTKAKRTLRRKTVSPLDVTREEEATTRYDNFKAETPGSCTGNGSEYYAILVKFDALTGDTHRDKWLERLLQCIQYMCISSNSTLDDDKIKGGSDGVDHKKEQKSQGAANGSEENSVKGGKSFPWPEAAVPAVSALEKASHDALSSDFQKYNQKLRQLVFNLKVSLAFPFFRGCCALGVHSAWILFLLSAQG >Potri.012G064001.1.v4.1 pep chromosome:Pop_tri_v4:12:7586798:7587451:-1 gene:Potri.012G064001.v4.1 transcript:Potri.012G064001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G064001.v4.1 MWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMREREAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNRNKLLSLTREDGEVVEGHEAVKSEVIAYFHRVLGVDQMPRVLNEEVMESAINLKLSSTQQHVLAQDVTREEIKHAMFSLKEQQSPWSGWL >Potri.003G176300.3.v4.1 pep chromosome:Pop_tri_v4:3:18329887:18331895:-1 gene:Potri.003G176300.v4.1 transcript:Potri.003G176300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176300.v4.1 MQGSLNFSTTATVSPRPASSISKHTPLITCKILINPSKKNVSPIKLPMAPPVPLPEPAPPFLESEPTSIPTERTTPPTHLNPFQSLAAAVLDKIETSLIVPFEKKVVLPKTIDPAVQMSGNFSPAQECPVHHSLEVVGQIPDTLRGVYLRNGANPLHAPTGGHHLFDGDGMIHAVTLGSGNRASYSCRYTRTSRFEQEAQLGRPLFPKPIGELHGHLGLARLALFMARAAVGFVDGTRGSGVANAGLVYFNGRLLAMSEDDLPYNVKIKSDGDMETIGRFNFDDQLDCPMIAHPKVDPVTGELHALSYNVIKKPYLKYFRFDACAKKSCDLDVTLDQPTMIHDFAITKNFMVIPDHQVVFKLSEMIRGGSPVIYDQSKISRFGVLSKKAVDDSRIQWIDVPDCFCFHLCNAWEENSSDGDKIIVVIGSCMDPPDSIFNQSEHPLRSELSEIRLNLRTGESTRRVIVGGMNLEAGQVNRRFLGQKTRFVYLAIAEPWPKCSGIAKVDLETDEVTKFIYGAGRFGGEPCYVPKNGNVGDNGRSDDDGEGFIMGFVRDEEKGRSELVIVNSSSMSQVASVKMPTRVPYGFHGTFVSEADLKQQSV >Potri.005G002250.1.v4.1 pep chromosome:Pop_tri_v4:5:226150:226439:1 gene:Potri.005G002250.v4.1 transcript:Potri.005G002250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002250.v4.1 MADEGTATCIDILLAIILPPLGVFLKFGCGVEFWICLLLTFFGYLPGIIYAIYAITK >Potri.002G260300.1.v4.1 pep chromosome:Pop_tri_v4:2:24871732:24873591:-1 gene:Potri.002G260300.v4.1 transcript:Potri.002G260300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260300.v4.1 MSDQENGLQEDPQWLELKLPRLLYTDTVQGLHATIQSEWDSLRRSACQTAAGRALWKHVIHDPFADLLAGETYLKSFHEKIKNDRLKNARETSGVILAVRTLWFDSKIEAALSSFNGEGQVVLLGAGMDARAYRLSCLKESDVFEVDFPEVLEVKTTLLKAATETIDEHLHPRITAKSLNRVAADIRNNDWLEKLQISGFVPEKNTVWVLEGILYYLSHSHAMQVLSIIADKCALARTVLLADFMNKPSTTLSNSIFHFYSDWPDHLLPSLGFSHVKLSQLGDPDAHFGLMNDPLNLFNKLRSLPRSVQTHPDDGTPCCRLYLVEASGLPSQSNQ >Potri.002G260300.2.v4.1 pep chromosome:Pop_tri_v4:2:24871606:24873448:-1 gene:Potri.002G260300.v4.1 transcript:Potri.002G260300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260300.v4.1 MSDQENGLQEDPQWLELKLPRLLYTDTVQGLHATIQSEWDSLRRSACQTAAGRALWKHVIHDPFADLLAGETYLKSFHEKIKNDRLKNARETSGVILAVRTLWFDSKIEAALSSFNGEGQVVLLGAGMDARAYRLSCLKESDVFEVDFPEVLEVKTTLLKAATETIDEHLHPRITAKSLNRVAADIRNNDWLEKLQISGFVPEKNTVWVLEVLLADFMNKPSTTLSNSIFHFYSDWPDHLLPSLGFSHVKLSQLGDPDAHFGLMNDPLNLFNKLRSLPRSVQTHPDDGTPCCRLYLVEASGLPSQSNQ >Potri.004G199200.7.v4.1 pep chromosome:Pop_tri_v4:4:21004557:21008672:1 gene:Potri.004G199200.v4.1 transcript:Potri.004G199200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199200.v4.1 MTKLSLSNCHLQTIGSSLKSCIELKELRLAHNDIKTLPAELAHNKKLQNLDLGNNLITRWSDVKVLSSLVDLKNLNLLGNPIAENAKITKKVQKFLPNLHIFNARPVDKSARNEISGRADDSSLIPTNELDYHSEKKKDHTRDVNSSKHVTDQRRDHFDNASDDAEKDLRQKRKKTKGKVSKMEEASTDEKDDAVIEKKLKRKKPHEELLKNNDDKIHNDDRTKVEKKLKSKKSRKELSELDIIDNGEVSFADLFSVDAVENLKHNSESKTVDKSGINVLGGLLAVSAKKKKTKNQGLVSTVPLSPAVEVGMGGPSTWGDE >Potri.004G199200.3.v4.1 pep chromosome:Pop_tri_v4:4:21004596:21009691:1 gene:Potri.004G199200.v4.1 transcript:Potri.004G199200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199200.v4.1 MASLSSQQVLKEKQTRDADTITSISLTHRNLSDISCLSEFQNLERLDLAFNNLTSLQGLSSCVKLKWLSVVQNKLESLKGIESLSNLTVLNAGKNKIKSIDEVRSLVSLRALILNDNEIVSICKLDQMKELNTLVLSRNPIREIGESLFKVKSMTKLSLSNCHLQTIGSSLKSCIELKELRLAHNDIKTLPAELAHNKKLQNLDLGNNLITRWSDVKVLSSLVDLKNLNLLGNPIAENAKITKKVQKFLPNLHIFNARPVDKSARNEISGRADDSSLIPTNELDYHSEKKKDHTRDVNSSKHVTDQRRDHFDNASDDAEKDLRQKRKKTKGKVSKMEEASTDEKDDAVIEKKLKRKKPHEELLKNNDDKIHNDDRTKVEKKLKSKKSRKELSELDIIDNGEVSFADLFSVDAVENLKHNSESKTVDKSGINVLGGLLAVSAKKKKTKNQGLVSTVPLSPAVEVGMGGPSTWGDE >Potri.014G037100.1.v4.1 pep chromosome:Pop_tri_v4:14:2335533:2338501:-1 gene:Potri.014G037100.v4.1 transcript:Potri.014G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037100.v4.1 MTLFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSIKAALVYLGTALVKLVCLATFLKVSENDSFDPYQELLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHKLAPLWVGARGLEFTWDFILQGLEANANLVLSISLAALGSLMWLRKTKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLVMAFISWQLFSACQRPSS >Potri.010G055700.1.v4.1 pep chromosome:Pop_tri_v4:10:8659244:8662697:1 gene:Potri.010G055700.v4.1 transcript:Potri.010G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055700.v4.1 MPPSYFPLRWESTGDQWWYASPIDFAAANGYYDLVRELIYLDTNLLIKLTSLRRIRRLETVWDDEEQFDGVAQCRSHVARQLLLECETKGGHNTLIRAGHGGWLLYTAASAGDGTFVKELLERNPLLVFGEGEYGVTDIFYAAARSRNSEVFRLLLDFSISPRCGLGSGGELEEQQSESHSEFKWEIMNRAVHAAARGGNLEILKELLGDCSDVFVYRDAQGSTVLHAAAGRGQVEVVKDLITSFDIIASKDYQGNTALHVAAYRGYLAVAEILILASPSLASFTNNYGDTFLHMAVSGFQTPGFRRVDRQIELFTQLMSGKIVNIKDITNVKNNDGRTALHTINQLNISDMDAMTPLDLLKQRPRSASSEILIKQLISAGGISYPQDNIARKAIVSHLKGHGIGKSPGTSFRIPDAEVFLYTGIENASDASCDHRSVGDNSCLSGPSDIDTGNSSENKKSGSVNNAARRLRSLLQLPRRKEKKAAVMELEDDDSVNSFNLCQTLEDRPIPLRQRYSKLFSLSTNKRTISGRSGLPSPSPGKKFTTGLMHGAIQANTHLAVSDRSPSSSFSGSSLSSPVSMDKEKDIDIAGTSFSNQPSKHKQASFNKKSMNQYFCFIAQGLAVEDSGTNQSYKHATPLVA >Potri.001G436433.1.v4.1 pep chromosome:Pop_tri_v4:1:46237506:46238566:-1 gene:Potri.001G436433.v4.1 transcript:Potri.001G436433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436433.v4.1 MRVRIALTEKYKYSEQDLGNESDLLLRMNQFTRRFQFSSTMKNMLLNPLLFNILMKCGRTRLLRYLLIHMKEPIPCSRLIFLILVKFMFSITFWLHLSDIYAWVLGFYQFICIC >Potri.001G057900.1.v4.1 pep chromosome:Pop_tri_v4:1:4376666:4377275:1 gene:Potri.001G057900.v4.1 transcript:Potri.001G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057900.v4.1 MSDWGPVFMAVVLFILLTPGLLFQVPGRHRYVEFGNFQTSGASIMVHTLLYFALICVSLLAVKVHLYLG >Potri.007G122800.4.v4.1 pep chromosome:Pop_tri_v4:7:13995885:13997314:1 gene:Potri.007G122800.v4.1 transcript:Potri.007G122800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122800.v4.1 MADSDHSSSDDLSVDSRDTSQDSKLEFSEDEETLITRMYNLVGERWTLIAGRIPGRTAEEIEKYWTSRYSTSQ >Potri.001G241600.1.v4.1 pep chromosome:Pop_tri_v4:1:25912384:25918959:1 gene:Potri.001G241600.v4.1 transcript:Potri.001G241600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241600.v4.1 MVLQKRLDYGFNGYQVPHMPRATRSARRRRSFKKKHEENQMCAFDLLAIVAGKLLLDKESAPSSSNTSADKDQCAVVNSAVKYEWQDEEKPLKVEACDQGSAARNFFVSDLSQVHGQGCSSKESLVIQNNLNLRLASALTKSDCAGRSNAEKLRNDKSKNDIGTFASKVEGVSSEYREFGDCKLEVETKRAVKDEPGKSGVVLSSTAANMCSLEDPVVLDAKPPALASLDSCAKVPLCRNHIPNSSYPTNQDDVNVVSRDDDDNSSGCTHPITKKKFFRPAPRIGDRRIRKILASKYWKVAPKFKDATVSNSDGDLKPVFHKRKNYYRQQRSERLYPFKKRKHYAYSSPSNLDGGLSCEFVSDSPRKGSNGDASGSCTRMHGVTGASSSFVAQHTSFQPRDSHGMRTFNGGASSSFVGQRTSFQPRDCHVKLRIKSFRVPELLVEIPESSTVGLLRRTVMEAVTAILGGGLRVGVLLQGKKVRDDNKTLLQTGISHNNQLDSLGFCLEPNPSQTPPSLCPEDSPFLLQCDTPDPISRCPPTAGVVCQGICTGSPEPHANNLGNCIESDHDSASSPTDTSMDKSTNSKALVAVPAMKVEALAVVPAHQKSKQSEIVQRRIRRPFSVAEVEALVQAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLTAHAYWSQQQADQQFKHQHAETCLLL >Potri.011G155600.1.v4.1 pep chromosome:Pop_tri_v4:11:18225442:18227452:1 gene:Potri.011G155600.v4.1 transcript:Potri.011G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155600.v4.1 MEVICLLLSMVLLVLALAISIFAFKHSSHSAKNLPPGSLGWPIFGETLDFLFGKPGKFVSDRMKRYSSDIFKTKILGEETAVICGPGGHKFLFSNEQKLFTAFRPHAMQKIFRSYQATAPAQIPREAESKILRSPGFLKPEALVRYLGKMDSITQLHMQTCWEGKDEVKAFALAKTLTLSLACRFFLGSDDPERIARLVSNFDDMTLGMHSIPLNVYGTTFYRANKAAAAIRKELRIIIDEKRADMSKGAQVQDILCHMILATDPSGKHMAEAEIADKIMGLLVAGYSTVATAMTFFMKNVGERPDIYAKILAEQIEVAADKKAGELLDWNDIQKMKYSWNVMYEVMRLTPPLQGTFREALTDFTYAGYTIPKGWKIYWTVSTTNKDPQYFPDPEKFDPSRYDDEKVFPPFTFVPFGGGPRMCPGKEYARLAILTFVHNVVKRFRWEVAFPAEKIVGDMMPTPEKGLPIRLRSRQAERLASF >Potri.001G053600.3.v4.1 pep chromosome:Pop_tri_v4:1:4071359:4076969:1 gene:Potri.001G053600.v4.1 transcript:Potri.001G053600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053600.v4.1 MQGLTLSKTPLTSSGSSTKPPLLPLVDSLPCFAGISRKPISTSLRRREISKLNSRSGTFRAQASNVGIGSGGYEGKEELDNQKSFVNGPPGDNLPEIVKPPSKIPYPLSIAIVLLGCTLVFSLIAFLRGGPSSILAAIAKSGLTAAFTLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALSLMTILSVVIGRIFNSVPAQFQTTLPIGEYAAVTLLMFFGLKSIKDAWDLPSKDAKTGDKSCPELDEYAEAEELVKEKVSKPLTNPFEIVWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVATGAIAGHLVATSFAILGGAFLANYISEKLVGYLGGVLFLVFAVATFFGFF >Potri.014G014500.1.v4.1 pep chromosome:Pop_tri_v4:14:839382:839873:1 gene:Potri.014G014500.v4.1 transcript:Potri.014G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014500.v4.1 MKTKAHNQSKFMRVITIPLRVLCKARDVYVKSMTDCSMGMRYGPSIVSRAGQHPPLPRSFSVSSSRSDHDGEDYRELVRAASARSLGHSNEIEMYMQLLRQQQSSMMTGSKKVLPKSCSVGMGFMGKIDEEKPCVFEAGAVDVKPQLGPRSSSCAVGKGRVAF >Potri.014G118400.2.v4.1 pep chromosome:Pop_tri_v4:14:7987528:7991549:-1 gene:Potri.014G118400.v4.1 transcript:Potri.014G118400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118400.v4.1 MAPPNDPTSPPLSIRKHDDSSDKYFDLSNGKLSVKGFPLLSEVPSNVTFAPLFSSICKPPDAPLALLQRVQALSHKGGFLGFHKEAPSDRLINSLGKFTGREFLSIFRFKTWWSTMWVGNSGSDLQMETQWVLLNVPEIRSYVIIIPVIDGSFRSALHPGTDGHVMICAESGSTKVTASSFDAIAYVHVSENPYHIMNEAYSALRVHLNTFKLLEEKAAPSLIDKFGWCTWDAFYLTVEPAGVWHGVNDFVEGGVSPRFLIIDDGWQSINFDGENPNEDAKNLVLGGTQMTARLHRLDECEKFREYKGGSLLGPRPPSFDPKKPKMLISKAIELEHAEKDRDKAIQSGVTDLSAFESKIQKLKQELDVMFCGDEKSVSTGSSGSCSCKADSYGMKAFTRDLRTKFKGLDDIYVWHALCGAWGGVRPGATHLNSKIIPCKLSAGLDGTMNDLAVVKIIEGGIGLVQPDQAGDFYDSMHSYLASVGITGVKVDVIHTLEYVSEEYGGRVELAKSYYRGLSDSLAENFKGSGLISSMEQCNDFFFLGTKQISMGRVGDDFWFQDPNGDPMGVYWLQGVHMIHCAYNSMWMGQIIQPDWDMFQSDHLCAKFHAGSRAICGGPVYVSDSVGGHDFELLKKLVYPDGTIPRCQHFALPTRDCLFRNPLFDKKTILKIWNFNKHGGVIGAFNCQGAGWDPKERRIKGYSECYKLMSGSVHVTDIEWDQKKEAAQMGEAEEYIIHLNQAEDLLLVSPESEAMQITIEPSSFEIFSFVPIKKLGTSIKFAPIGLTNMFNSGGTIQELGYFDSEAETCVKIDVKGGGNFLSYSNASPKKCFLNGAEVAFEWLDNGKLSLNLPWTEAAGGISKVAFLF >Potri.012G110300.2.v4.1 pep chromosome:Pop_tri_v4:12:13045934:13049000:1 gene:Potri.012G110300.v4.1 transcript:Potri.012G110300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110300.v4.1 MAHRPRGGLLLSAMLALTLAFNVSCTLASPRIGNKIKSATFLSPEFVLGPGSVENRFYYNIDFPRGHIGLKSLGAEVIDEAGKPIPLHETYLHHWVVAKYYQRQDVVENNGDQKFQQADYIFGTNSGICQGTVLRQYFGLGSETRKTDTHIPDPYAIEIGNPAEIPEGYEEKWMLNVHAIDTRGAVDTLGCTECRCDLYNVTVDEYGQPLSPDYIGGLNCCYDQTRCKVQQGYGGARRSLYLRYTVEWVDWDCDIIPVKIFIFDVTDTGKRLNVSTGLSPENGCQVEYDVEPCGSANAVSDGCIHVQSTSLTMPISGYVIYGVAHQHRTINI >Potri.001G044700.2.v4.1 pep chromosome:Pop_tri_v4:1:3221609:3225864:-1 gene:Potri.001G044700.v4.1 transcript:Potri.001G044700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044700.v4.1 MLRSRLSRIGAGIVKELSRGQCTAMCRSSFILRPYSACWRPQVELHPETKGFQGTIFRKHYQFSSTATSSDSANGSDPEEMISITFVGKDGEEKDIKVPVGMSMLEAAHENDIELEGACEGSLACSTCHVIVMDMEYYNKLEDPADEENDMLDLAFGLTETSRLGCQVIAKPELNGMRLAIPAATRNFAVDGYVPKPH >Potri.016G090300.6.v4.1 pep chromosome:Pop_tri_v4:16:7337594:7341660:-1 gene:Potri.016G090300.v4.1 transcript:Potri.016G090300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090300.v4.1 MITFMEAKEILKEEADKCLDSQLWHACAGGMVQMPAVNSKVFYFPQGHAEHACEPVDFRNLPGASHTLCRVSAIKFMADPETDEVFAKIRLVPINSNEIDLDDQEVAVNGEKEAAHDNKKPVSFAKTLTQSDANNGGGFSVPRYCAEMIFPRLDYTADPPVQTLLAKDVHGETWKFRHIYRGTPRRHLLTTGWSPFVNHKKLVAGDSVVFLRAENGDLCVGVRRAKRAISGGPESLWNPALGNLVVPYGGFGAFSREDEHKMVKNGRGNGNGSKSNESLMGRGKVRAESVIQAAVLAANGLPFETVYYPRANTPEFFVKASLVKTVMQIRWCSGMRFKMAFETEDSSRISWFMGTVCSVQDADPLCWPGSPWRLLQVTWDEPDLLQNVKRVSPWLVELASHMSAIHLSPFSSPRKKLRLPQHPDFPIDGQFPMPIFSGNLLQPSSPFGFLPNNIPAGMQGARHAHYGLPLSDLNLNKLHTGLLRAGFPPLLDHTASLTKASNIQTIQKPILSEGVSCELTMSHSTQTSKRADDVKIPQLVLFGQRIVAEQYISRSCSDNTGSPVLARNSCFEGKLDKMAKFSEGSVSTLPHRGLSKHSSCEGLQWNKNNHRKSEQSLEIGHCKVFLESEDVGRTLDLQLLESYEELYRKLADMFGLRNSEKFSNLLYRDDNGITKHIGEEPFRCDSFSF >Potri.016G090300.1.v4.1 pep chromosome:Pop_tri_v4:16:7337595:7341955:-1 gene:Potri.016G090300.v4.1 transcript:Potri.016G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090300.v4.1 MITFMEAKEILKEEADKCLDSQLWHACAGGMVQMPAVNSKVFYFPQGHAEHACEPVDFRNLPGASHTLCRVSAIKFMADPETDEVFAKIRLVPINSNEIDLDDQEVAVNGEKEAAHDNKKPVSFAKTLTQSDANNGGGFSVPRYCAEMIFPRLDYTADPPVQTLLAKDVHGETWKFRHIYRGTPRRHLLTTGWSPFVNHKKLVAGDSVVFLRAENGDLCVGVRRAKRAISGGPESLWNPALGNLVVPYGGFGAFSREDEHKMVKNGRGNGNGSKSNESLMGRGKVRAESVIQAAVLAANGLPFETVYYPRANTPEFFVKASLVKTVMQIRWCSGMRFKMAFETEDSSRISWFMGTVCSVQDADPLCWPGSPWRLLQVTWDEPDLLQNVKRVSPWLVELASHMSAIHLSPFSSPRKKLRLPQHPDFPIDGQFPMPIFSGNLLQPSSPFGFLPNNIPAGMQGARHAHYGLPLSDLNLNKLHTGLLRAGFPPLLDHTASLTKASNIQTIQKPILSEGVSCELTMSHSTQTSKRADDVKIPQLVLFGQRIVAEQYISRSCSDNTGSPVLARNSCFEGKLDKMAKFSEGSVSTLPHRGLSKHSSCEGLQWNKNNHRKSEQSLEIGHCKVFLESEDVGRTLDLQLLESYEELYRKLADMFGLRNSEKFSNLLYRDDNGITKHIGEEPFSNFSKTARRLTIVTRFRQ >Potri.016G090300.5.v4.1 pep chromosome:Pop_tri_v4:16:7337594:7341718:-1 gene:Potri.016G090300.v4.1 transcript:Potri.016G090300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090300.v4.1 MITFMEAKEILKEEADKCLDSQLWHACAGGMVQMPAVNSKVFYFPQGHAEHACEPVDFRNLPGASHTLCRVSAIKFMADPETDEVFAKIRLVPINSNEIDLDDQEVAVNGEKEAAHDNKKPVSFAKTLTQSDANNGGGFSVPRYCAEMIFPRLDYTADPPVQTLLAKDVHGETWKFRHIYRGTPRRHLLTTGWSPFVNHKKLVAGDSVVFLRAENGDLCVGVRRAKRAISGGPESLWNPALGNLVVPYGGFGAFSREDEHKMVKNGRGNGNGSKSNESLMGRGKVRAESVIQAAVLAANGLPFETVYYPRANTPEFFVKASLVKTVMQIRWCSGMRFKMAFETEDSSRISWFMGTVCSVQDADPLCWPGSPWRLLQVTWDEPDLLQNVKRVSPWLVELASHMSAIHLSPFSSPRKKLRLPQHPDFPIDGQFPMPIFSGNLLQPSSPFGFLPNNIPAGMQGARHAHYGLPLSDLNLNKLHTGLLRAGFPPLLDHTASLTKASNIQTIQKPILSEGVSCELTMSHSTQTSKRADDVKIPQLVLFGQRIVAEQYISRSCSDNTGSPVLARNSCFEGKLDKMAKFSEGSVSTLPHRGLSKHSSCEGLQWNKNNHRKSEQSLEIGHCKVFLESEDVGRTLDLQLLESYEELYRKLADMFGLRNSEKFSNLLYRDDNGITKHIGEEPFSKEADNCDKIQAVIM >Potri.016G090300.4.v4.1 pep chromosome:Pop_tri_v4:16:7337537:7341724:-1 gene:Potri.016G090300.v4.1 transcript:Potri.016G090300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090300.v4.1 MITFMEAKEILKEEADKCLDSQLWHACAGGMVQMPAVNSKVFYFPQGHAEHACEPVDFRNLPGASHTLCRVSAIKFMADPETDEVFAKIRLVPINSNEIDLDDQEVAVNGEKEAAHDNKKPVSFAKTLTQSDANNGGGFSVPRYCAEMIFPRLDYTADPPVQTLLAKDVHGETWKFRHIYRGTPRRHLLTTGWSPFVNHKKLVAGDSVVFLRAENGDLCVGVRRAKRAISGGPESLWNPALGNLVVPYGGFGAFSREDEHKMVKNGRGNGNGSKSNESLMGRGKVRAESVIQAAVLAANGLPFETVYYPRANTPEFFVKASLVKTVMQIRWCSGMRFKMAFETEDSSRISWFMGTVCSVQDADPLCWPGSPWRLLQVTWDEPDLLQNVKRVSPWLVELASHMSAIHLSPFSSPRKKLRLPQHPDFPIDGQFPMPIFSGNLLQPSSPFGFLPNNIPAGMQGARHAHYGLPLSDLNLNKLHTGLLRAGFPPLLDHTASLTKASNIQTIQKPILSEGVSCELTMSHSTQTSKRADDVKIPQLVLFGQRIVAEQYISRSCSDNTGSPVLARNSCFEGKLDKMAKFSEGSVSTLPHRGLSKHSSCEGLQWNKNNHRKSEQSLEIGHCKVFLESEDVGRTLDLQLLESYEELYRKLADMFGLRNSEKFSNLLYRDDNGITKHIGEEPFSNFSKTARRLTIVTRFRQ >Potri.008G141000.1.v4.1 pep chromosome:Pop_tri_v4:8:9518321:9519346:-1 gene:Potri.008G141000.v4.1 transcript:Potri.008G141000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141000.v4.1 MYVAIRVKLPSSRIETMSSNMRAWVVVMLACLVASNVFICDVNAAGECGKTPIRSAAASLSPCLSAAGNVRAAVPPTCCSKVGSLIKTAPKCLCAVLLSPLAKQAGIKPGIAITIPKRCNIGNRPAGKKCGRYTLP >Potri.001G409600.1.v4.1 pep chromosome:Pop_tri_v4:1:44344005:44345505:1 gene:Potri.001G409600.v4.1 transcript:Potri.001G409600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409600.v4.1 MKFLLEFVGCCGVSSGGGGPKEVPESVGRLSEEETHALVAVRRNHRWKKRGRGSSTVGKNNSGVEWKPTLSAISENNVAAVVGETGGERLVRRKGSVGGGGGGGRARDIASLSDYVENYRRNRVMAVIPAFSPAPFMI >Potri.008G134500.1.v4.1 pep chromosome:Pop_tri_v4:8:8931377:8934246:1 gene:Potri.008G134500.v4.1 transcript:Potri.008G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134500.v4.1 MTMMEPSKSSLRFIAYQRFFTAVRSGDLDSLKQIVGEQPSDVSDLMSLQTNAGETALYIAADNNLEEVFSCLVKLCDFETVKIRSKSDLNAFHLAAKKGHLGIVKDLLVMWPELCKLCDSSNTSPLYSAAVKDHLDVVNAILDVDVSSMRIVRKNEKTALHTAARYGLLDMVKVLIHRDPGIVCIKDKKGQTALHMAVKGQSTSVVEEIFLADRSILNERDKKGNTAVHVATRKSRPQIISLLLNYISIDVNIINNQHETAMDLADKLPYGESALEIKEALTEAGAKHARHVGQMDEAMELKRTVSDIKHEVHSQLIQNEKTNRRVSGIAKELRKIHREAVQNTTNSVTVVAVLFASIAFLAIFNLPGQYIQDGAETGKAYIADNVGFQVFCLLNATSLFISLAVVVVQITLVAWDTQAQKQLVSIVNKLMWAACACTCGAFLSIAFVVVGKKSSWMAITITLTGAPILVGTLASMCYFVFRQHFGAFRDSQRRIKRASGSKSFSWSVYSANISDPDEYNSDLEKIYAL >Potri.002G249700.3.v4.1 pep chromosome:Pop_tri_v4:2:23996658:24000208:-1 gene:Potri.002G249700.v4.1 transcript:Potri.002G249700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249700.v4.1 MASQESIIASQEPKITSQEPKMISQEPKTTSQEPKMISQEPKTTSQEPKMISQESKLASQEPTVTSQEPTVTSQEPKMISQGSKSASQEPNTSSQEPVTTTSSNPSSKYSNRVVLKTILEPSDRWKEFVDERVVVGGWVKTSKEVNKEPAVPEPQQQATEAPNVSPGHKDVRCVEIFQSRIPIFRSIAKIFGGGGSSHPVREKPEPAIPEPPRPSVAYLLVSDGSSVASLQVVVDSSIAPLSQLLPIGTCILVEGVLKEPSMQGKHSIELKVEQILHIGKVEQDRYPLSKKRLPLEALRDCSHFRPRTTTVASVTRISSALTFATQTFFHDKGFLYVQVPIITTTDGEGFSEKFQVTTVLGKDGKQNDTGGVSLEVVKAAVIQKSNLVEELKRSESNREALASAIQDLRKTNQLASQLEAKEKLKSGPSMKADKPSFYGDFFSQQTYLTVSGLLHLESYACAMGNVFSFGPRFRADRKESAKRISEMWMVEVQMAFSQLEDAMNCADEYFKFLCKWILKNCSEDMKFVSKRIDKSSSYRLESMISSSIEKISYMEALKKVAVKFELNQEWGIALTPEHLSYLAEDVYRKPVIIYNYPKELKPFYVRLNDDGKTAAAFDMVVPKAGTIITGSQSEERIDILNKTIEELRLPREQYEWYMDLRRHGTVEHSGFSLGFDLMVLFTTGLPDVRDVIPFPRSRGKANN >Potri.005G113400.3.v4.1 pep chromosome:Pop_tri_v4:5:8245793:8249302:1 gene:Potri.005G113400.v4.1 transcript:Potri.005G113400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113400.v4.1 MDQWNTRNDYAQSFVAATTNMVPSNSLHSFPPRSSTRLQNSALEPRQRQEVEVKNPIAVAKKVQKADREKLRRDNLNEQFLELGTTLDPDRPKNDKATILTDTIQVLKDLTAEVNRLKAECATLSEETHELMQEKNELREEKASLKADTENLNAQYHQSTRAMFPWAAVDPSVVIPPYSYPVPVPVPPGPISMHPSLQPFVFFGNQNPGAIASPCSTFIPYPTANHPNDQPPAQYASGSQFSSKQDSRTKSTDHEGGRNKERCNDSSDVATDLELKMPGSSAQQDISSGEKKGKQCQRKERIITNVSSSCSSSSGMLL >Potri.005G113400.5.v4.1 pep chromosome:Pop_tri_v4:5:8245793:8249302:1 gene:Potri.005G113400.v4.1 transcript:Potri.005G113400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113400.v4.1 MDQWNTRNDYAQSFVAATTNMVPSNSLHSFPPRSSTRLQNSALEPRQRQEVEVKNPIAVAKKVQKADREKLRRDNLNEQFLELGTTLDPDRPKNDKATILTDTIQVLKDLTAEVNRLKAECATLSEETHELMQEKNELREEKASLKADTENLNAQYHQSTRAMFPWAAVDPSVVIPPYSYPVPVPVPPGPISMHPSLQPFVFFGNQNPGAIASPCSTFIPYPTANHPNDQPPAQYASGSQFSSKQDSRTKSTDHEGGRNKERCNDSSDVATDLELKMPGSSAQQDISSGEKKGKQCQRKERIITNVSSSCSSSSGMLL >Potri.005G113400.1.v4.1 pep chromosome:Pop_tri_v4:5:8245793:8249302:1 gene:Potri.005G113400.v4.1 transcript:Potri.005G113400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113400.v4.1 MDQWNTRNDYAQSFVAATTNMVPSNSLHSFPPRSSTRLQNSALEPRQRQEVEVKNPIAVAKKVQKADREKLRRDNLNEQFLELGTTLDPDRPKNDKATILTDTIQVLKDLTAEVNRLKAECATLSEETHELMQEKNELREEKASLKADTENLNAQYHQSTRAMFPWAAVDPSVVIPPYSYPVPVPVPPGPISMHPSLQPFVFFGNQNPGAIASPCSTFIPYPTANHPNDQPPAQYASGSQFSSKQDSRTKSTDHEGGRNKERCNDSSDVATDLELKMPGSSAQQDISSGEKKGKQCQRKERIITNVSSSCSSSSGMLL >Potri.005G113400.4.v4.1 pep chromosome:Pop_tri_v4:5:8245793:8249302:1 gene:Potri.005G113400.v4.1 transcript:Potri.005G113400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113400.v4.1 MDQWNTRNDYAQSFVAATTNMVPSNSLHSFPPRSSTRLQNSALEPRQRQEVEVKNPIAVAKKVQKADREKLRRDNLNEQFLELGTTLDPDRPKNDKATILTDTIQVLKDLTAEVNRLKAECATLSEETHELMQEKNELREEKASLKADTENLNAQYHQSTRAMFPWAAVDPSVVIPPYSYPVPVPVPPGPISMHPSLQPFVFFGNQNPGAIASPCSTFIPYPTANHPNDQPPAQYASGSQFSSKQDSRTKSTDHEGGRNKERCNDSSDVATDLELKMPGSSAQQDISSGEKKGKQCQRKERIITNVSSSCSSSSGMLL >Potri.005G113400.6.v4.1 pep chromosome:Pop_tri_v4:5:8245897:8248647:1 gene:Potri.005G113400.v4.1 transcript:Potri.005G113400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113400.v4.1 MDQWNTRNDYAQSFVAATTNMVPSNSLHSFPPRSSTRLQNSALEPRQRQEVEVKNPIAVAKKVQKADREKLRRDNLNEQFLELGTTLDPDRPKNDKATILTDTIQVLKDLTAEVNRLKAECATLSEETHELMQEKNELREEKASLKADTENLNAQYHQSTRAMFPWAAVDPSVVIPPYSYPVPVPVPPGPISMHPSLQPFVFFGNQNPGAIASPCSTFIPYPTANHPNDQPPAQYASGSQFSSKQDSRTKSTDHEGGRNKERCNDSSDVATDLELKMPGSSAQQVHSEVIRH >Potri.005G113400.7.v4.1 pep chromosome:Pop_tri_v4:5:8246226:8248647:1 gene:Potri.005G113400.v4.1 transcript:Potri.005G113400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113400.v4.1 MGYHLFFGRQRQEVEVKNPIAVAKKVQKADREKLRRDNLNEQFLELGTTLDPDRPKNDKATILTDTIQVLKDLTAEVNRLKAECATLSEETHELMQEKNELREEKASLKADTENLNAQYHQSTRAMFPWAAVDPSVVIPPYSYPVPVPVPPGPISMHPSLQPFVFFGNQNPGAIASPCSTFIPYPTANHPNDQPPAQYASGSQFSSKQDSRTKSTDHEGGRNKERCNDSSDVATDLELKMPGSSAQQDISSGEKKGKQCQRKERIITNVSSSCSSSSGMLL >Potri.011G149200.2.v4.1 pep chromosome:Pop_tri_v4:11:17636431:17638848:1 gene:Potri.011G149200.v4.1 transcript:Potri.011G149200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149200.v4.1 MQNDGSGRDGTTRAGGDVPLTEVDLEKQDNSKVLTQEGGNGVLSGNSDYSPVIAIVVSNGESPVAASKEELHSVDFPRKGSLSRTSSSHEQCRVCQQEKEEVLIDLGCKCKGGLAKAHRTCIDTWFSTRGSNKCEICQAVAVNVSPPESQPIANYWVWRIDPNFRPRDRDRGCFSPLWVAFSILIGGLLLDVLISITLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSFRRAVQRADANENHGYHPAS >Potri.011G149200.3.v4.1 pep chromosome:Pop_tri_v4:11:17636395:17639354:1 gene:Potri.011G149200.v4.1 transcript:Potri.011G149200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149200.v4.1 MQNDGSGRDGTTRAGGDVPLTEVDLEKQDNSKVLTQEGGNGVLSGNSDYSPVIAIVVSNGESPVAASKEELHSVDFPRKGSLSRTSSSHEQCRVCQQEKEEVLIDLGCKCKGGLAKAHRTCIDTWFSTRGSNKCEICQAVAVNVSPPESQPIQANYWVWRIDPNFRPRDRDRGCFSPLWVAFSILIGGLLLDVLISITLGVSALPVNIIIGVIVVLGLGTALRLALEFCHEWSFRRAVQRADANENHGYHPAS >Potri.004G126600.1.v4.1 pep chromosome:Pop_tri_v4:4:12375609:12376774:-1 gene:Potri.004G126600.v4.1 transcript:Potri.004G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126600.v4.1 MPASNYRSVFQLESSSLVYQGFERGEGSVELGTSKMRKRQVAVRRTEEPSRSSTTSFTIRNVKYGECLKNHAASVGGYAVDGCREFMASGEEGTADALTCAACGCHRNFHRREVETEVICDCSSPSSNGN >Potri.001G345700.2.v4.1 pep chromosome:Pop_tri_v4:1:35712971:35715393:1 gene:Potri.001G345700.v4.1 transcript:Potri.001G345700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345700.v4.1 MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPAANPQFGRRMGHGGVSYDQSSGIYYPSPWNNDTCGERGDGSI >Potri.001G345700.8.v4.1 pep chromosome:Pop_tri_v4:1:35713488:35715393:1 gene:Potri.001G345700.v4.1 transcript:Potri.001G345700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345700.v4.1 MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPAANPQFGRRMGHGGVSYDQSSGIYYPSPWNNDTCGERGDGSI >Potri.001G345700.6.v4.1 pep chromosome:Pop_tri_v4:1:35713259:35715393:1 gene:Potri.001G345700.v4.1 transcript:Potri.001G345700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345700.v4.1 MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPAANPQFGRRMGHGGVSYDQSSGIYYPSPWNNDTCGERGDGSI >Potri.001G345700.7.v4.1 pep chromosome:Pop_tri_v4:1:35713313:35715393:1 gene:Potri.001G345700.v4.1 transcript:Potri.001G345700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345700.v4.1 MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPAANPQFGRRMGHGGVSYDQSSGIYYPSPWNNDTCGERGDGSI >Potri.001G345700.3.v4.1 pep chromosome:Pop_tri_v4:1:35712971:35715393:1 gene:Potri.001G345700.v4.1 transcript:Potri.001G345700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345700.v4.1 MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPAANPQFGRRMGHGGVSYDQSSGIYYPSPWNNDTCGERGDGSI >Potri.001G345700.5.v4.1 pep chromosome:Pop_tri_v4:1:35713259:35715393:1 gene:Potri.001G345700.v4.1 transcript:Potri.001G345700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345700.v4.1 MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPAANPQFGRRMGHGGVSYDQSSGIYYPSPWNNDTCGERGDGSI >Potri.001G345700.1.v4.1 pep chromosome:Pop_tri_v4:1:35712971:35715393:1 gene:Potri.001G345700.v4.1 transcript:Potri.001G345700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345700.v4.1 MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPAANPQFGRRMGHGGVSYDQSSGIYYPSPWNNDTCGERGDGSI >Potri.001G345700.4.v4.1 pep chromosome:Pop_tri_v4:1:35713168:35715393:1 gene:Potri.001G345700.v4.1 transcript:Potri.001G345700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345700.v4.1 MASSSYSNSPCAACKFLRRKCMPDCIFAPYFPPEEPQKFANVHKIFGASNVSKLLNEVLPHQREDAVNSLAYEAEARMKDPVYGCVGAISVLQRQVIRLQKELDATNADLIRYACNEMPAANPQFGRRMGHGGVSYDQSSGIYYPSPWNNDTCGERGDGSI >Potri.016G052001.1.v4.1 pep chromosome:Pop_tri_v4:16:3375295:3375919:-1 gene:Potri.016G052001.v4.1 transcript:Potri.016G052001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052001.v4.1 MGLKKISCAVLVVAASMSAALAADEVSAPAPSPTSGASATLPAVGSLIGASLVSFFAYYFQ >Potri.019G028300.1.v4.1 pep chromosome:Pop_tri_v4:19:4053029:4053226:1 gene:Potri.019G028300.v4.1 transcript:Potri.019G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLVAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Potri.016G120333.1.v4.1 pep chromosome:Pop_tri_v4:16:12519208:12519602:-1 gene:Potri.016G120333.v4.1 transcript:Potri.016G120333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120333.v4.1 MRAKWKKKRMRRLKRKRRKMRQRSK >Potri.012G018805.1.v4.1 pep chromosome:Pop_tri_v4:12:677652:678029:1 gene:Potri.012G018805.v4.1 transcript:Potri.012G018805.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018805.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRTWTKLLSKAGIVTSPFTRQENTIVQTCAVACYSIAVGGLRL >Potri.007G006100.1.v4.1 pep chromosome:Pop_tri_v4:7:421130:421989:-1 gene:Potri.007G006100.v4.1 transcript:Potri.007G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006100.v4.1 MATSKKPSKESKLKRILKVPLKILTSARDFYMKGMTEYSDQVYVMSNPTGNLNNMPRSYSVSSSKSNHFDDDYRELIRAASTRSTLGRSNNMDVRARQQYITRDKKSAAEVADNMSRSRSVAIGRIDEETSTCDFDEDQDVKAKTGHVYPRSRSYAVSKRTPTRAF >Potri.002G150600.1.v4.1 pep chromosome:Pop_tri_v4:2:11495315:11496819:1 gene:Potri.002G150600.v4.1 transcript:Potri.002G150600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150600.v4.1 MRLATILHLAIIAVLITAATSQAPPIRYINHTVGDNAGWFFNSTTNTTAANYSSWAASQTFNLGDYLIFRTSSNQTVIQTYNLTTFKDCSIDHSSDNDTVVYNGGNTVFDQALTIPVPLTIQGPNYFFSDANDGIQCQYGLAFEINVNRGLGLPPSLNQPPPPPYREPPGPDSASPPITIPAGGKGLGNEGFKNGLSVHVIACAVLFALLAVNGGIGVVFS >Potri.019G056400.5.v4.1 pep chromosome:Pop_tri_v4:19:9508433:9510159:-1 gene:Potri.019G056400.v4.1 transcript:Potri.019G056400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056400.v4.1 MGLRTLPLSPSRNCFPPHVGIAQNLSNYRPNKSFNFKISAKTESGNEEEPKKSKQSLFSSVAEALDFSQVRSAKDAELLEEARENTSSGGRMTREQYGALRRKIGGTYKDFFKSYVDVDGQYVEEGWVDKTCKVCKKDTSGDPRQVDKLGRYVHVECMEKANSGNFFTRLFSG >Potri.013G090000.5.v4.1 pep chromosome:Pop_tri_v4:13:9117316:9125702:1 gene:Potri.013G090000.v4.1 transcript:Potri.013G090000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090000.v4.1 MAFAKDLDKIFSSIQDLDTELIVATARDTTTNATAVSANAVVDERQLNALFLDVKVSEVFGKGNYKYLELDGEAQSWKNQRMVVARGKANSERNGVDFDSDEENGNGGGGGDQEPFDWEKEMRKRVKEIEERRELVKKAEELQNRIFDDNSQEEKEESEEEKKERVRKELEKVAMEQAERRKTAELMFELGQKAYGKGMYVRAIEFLEASLTIIPRSTLFGGEIQIWLAMAYEANNRHADCIALYKQLEMKHPSISIRRQAANLRYILQAPKLKISQEEMVTIPLIGSTYDSYAASWSDKYKEKDQERSWTTSNQLPSSRDFMGDFLVWRPPTGLEKNRAFWFALALWMGLVGVALFLQR >Potri.013G090000.3.v4.1 pep chromosome:Pop_tri_v4:13:9117317:9125703:1 gene:Potri.013G090000.v4.1 transcript:Potri.013G090000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090000.v4.1 MAIIAVSAPRGARFSCFNTNPLPQLPIPSRRSSKLNFRSKGFTPFARYAQTQQDLFSSRLQDSIENLPKLVEDIVQTSINTGPRGALRLAQGVQAFLGGGGEWLADVSKDVDVMAFAKDLDKIFSSIQDLDTELIVATARDTTTNATAVSANAVVDERQLNALFLDVKVSEVFGKGNYKYLELDGEAQSWKNQRMVVARGKANSERNGVDFDSDEENGNGGGGGDQEPFDWEKEMRKRVKEIEERRELVKKAEELQNRIFDDNSQEEKEESEEEKKERVRKELEKVAMEQAERRKTAELMFELGQKAYGKGMYVRAIEFLEASLTIIPRSTLFGGEIQIWLAMAYEANNRHADCIALYKQLEMKHPSISIRRQAANLRYILQAPKLKISQEEMVTIPLIGSTYDSYAASWSDKYKEKDQERSWTTSNQLPSSRDFMGDFLVWRPPTGLEKNRAFWFALALWMGLVGVALFLQR >Potri.015G004400.2.v4.1 pep chromosome:Pop_tri_v4:15:271458:275412:-1 gene:Potri.015G004400.v4.1 transcript:Potri.015G004400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004400.v4.1 MLSVRQIAAASCSRIKERCRINGVSQFFQSFSTKKGEDEWNDAWETAWLPEDLTAKNRAPWEMDVNFSSPTGIEDAETKAFVEEMNDNWDERRKTQPQPQPKNDNNKNGGDSLYNLDNMKKDYRLKKQRIHAGLWTKEIEKQQEEAMNATLGDSSPDDIDRLLDSCSEIFDTANNDLDNSKIPSSSEFKNKPDGWETTAKAQDGNIWEMSQREEDILLQEFDRRIAYSKFQIASFIKTHIFSRRRPIDGWKYMIEELGPNAKKGKGSVTRVPSLSDPSTQPFKEDRKLITHKGR >Potri.015G108500.1.v4.1 pep chromosome:Pop_tri_v4:15:12638370:12641567:1 gene:Potri.015G108500.v4.1 transcript:Potri.015G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108500.v4.1 MALCSQGGLLLFAMLILAFDVSGTLASPEIAIQIKSATFLSPEFVLGPGSVEDRYYSNIDFPRGHVGIKSFKAEVIDNIGNPIPLHETYLHHWVVAKYYQRQGMAENNDKHKFQLSDYLFAGNSGICQGNVLGQYFGLGSETRKTDTYVPNPYAIEIGNPDEVPEGYEEKWLLNVHAIDTRGAVDRLGCTECRCDLYNITVDQHGQPLRPGYIGGLRCCYDQTQCKVQQGYGGARRSLYLRYTVEWVDWDCSIIPVKIFIFDVTDTGKRLNGSTGVGPENGCQVEYNVESCSSAINAASDGCIDAKRTSLTMPISGYVIYGVAHQHTGGAGSTLYGEDGRVICTSEPIYGTGKDVGDEAGYIVGMSTCYPEPGSIQITAGENLLLESNYNSTQKHTGVMGLFYILVADRTPNPTNFLHSPIHIHKNIKVSTPAVAIVALFGLAMAIAVGLLSRMKKGREEGYQPIMA >Potri.002G124700.1.v4.1 pep chromosome:Pop_tri_v4:2:9484307:9488598:1 gene:Potri.002G124700.v4.1 transcript:Potri.002G124700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124700.v4.1 MALPQHQFQQHYQPQQQQQQQPKNLRNVYAIEGQISPAVAYLNPSNLQDQSQHPPYVPPFHVVGFAPVPVNDGSDGGFELQWNYRLEPKRKSLKEQDFLENHSQISSVDFLQPQSVSTGLGLSLDNAHVSSSGDSALLSLIGDDIDSELQQQHVEVDKFLKIQGDRLRQTILEKFQADQLQSISLVEEKVLQKLHEKEAEVESITKKNMELEERMEQLSMEAGAWQQRARYNENMINALKFNIQQVHAQSRDSREGCGDSEVDDTASCYNDHAIDFHLLCKDNNDMKELMICKVCRVNEVCMLLLPCKHLCLCKDCESKISFCPLCQSSKFIGMKVYM >Potri.006G266600.1.v4.1 pep chromosome:Pop_tri_v4:6:26169038:26170131:-1 gene:Potri.006G266600.v4.1 transcript:Potri.006G266600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266600.v4.1 MNTHTSSSSSSSPLTSPTTFVQADINTFRDLVQKLTGLASDTQRLPVTRTLSSPKPSRNPVDFTAPRRSPFKLQERRHTLRKLEIELGLISLSTSSSSSTLQTHRLDSPVTPLCSGFLFFPSPGTESPSSPAVSEEEKAIAEKGFYFHPSPLNTPRGSEPPELLTLFPLISSSQSNQD >Potri.013G053400.2.v4.1 pep chromosome:Pop_tri_v4:13:3880271:3883191:1 gene:Potri.013G053400.v4.1 transcript:Potri.013G053400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053400.v4.1 MGAVKAAIGDAVFTFMWVFVSSMFGLFTNVIVTALGLQTLVWAPVLANASLIFAFVFLFNFLGEFLGGATFNPTGTASFYAAGVGGDSLFSMALRFPAQAAGSVGGSLAILEVMPLQYKHMLGGPTLQVDLQTGGLAEGVLTFLMTFAVLVIILKGPRSSLVQAWFLATVTVTLVSAGSTYTGPSMNPAFAFGWAYVNKWHNTWEQLYVYWICPFIGAILAAWVFRVVFPPPAPKQKKT >Potri.003G155400.1.v4.1 pep chromosome:Pop_tri_v4:3:16677267:16679200:-1 gene:Potri.003G155400.v4.1 transcript:Potri.003G155400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155400.v4.1 MAKSMRSKREKRLRAIRRDLVQPLCDKKDEAKFAVLEAALAAPKLPVKPSPFASSSSSSAMDTTTITTTTTTTTDTQIDMEMDDGNQTKRSLKPIGKKLKKKLKLSRKKNHGKGRIRRKHI >Potri.006G188700.1.v4.1 pep chromosome:Pop_tri_v4:6:19569590:19575013:-1 gene:Potri.006G188700.v4.1 transcript:Potri.006G188700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188700.v4.1 MSGWDEGAVYYSDQAQFPESGTDAAAATPSRHTILRKFKEFIRNLETDKNVFPYRESLINNPKSLLVHLEDLLAFDAELPSLLRSSPSDYLPLFETAAAEVLQSLRLKEQGESGEMKEPETREVQILLSSKEDPVSMRMLGALYISKLIKIAGITIAASRVKAKATYVSLVCKNCQSTREVPCRPGLGGAIVPRSCDHVPQAGEEPCPIDPWIVVPDKSKYVDQQTLKLQENPEDVPTGELPRNMLLSVDRHLVQRIVPGTRLTIIGIYSIFQAANSSASHRGAVAVRQPYIRVVGIEEVNEASSRGHAAFTKEEVEEFKKFASRTDAYEVICSKIAPSIFGEENVKKAVACLLFGGSRKNLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDSSSREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQENIDLQTTILSRFDLIFIVKDKRDYGRDKIIASHIIKVHASANKTSGDSRTAKEENWLKRYIQYCRTECHPRLSESASSRLQNEYVRFRQDMRKQANETGEASAVPITVRQLEAIIRLSEALAKMKLSHVATEADVIEAVNLFKVSTVEAAQSGINQQVTLTPEIKQAETQIKRRLGIGMRISERKLIDELARMGMNESIVRRALIVMHQRDEIEYKHERRVIVRKV >Potri.001G473250.1.v4.1 pep chromosome:Pop_tri_v4:1:49653950:49654273:1 gene:Potri.001G473250.v4.1 transcript:Potri.001G473250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G473250.v4.1 MMKAKVNTISSSVDLIEGSRRANIMLPNGTRFIIDNVLFSTKSRRNLLCFKEIRLNGYYIETVNDNGIEYLYIVSNVSTRKQTLKKLLVLSSGLYYTSISTIEVNAK >Potri.001G421300.2.v4.1 pep chromosome:Pop_tri_v4:1:44953419:44960639:-1 gene:Potri.001G421300.v4.1 transcript:Potri.001G421300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G421300.v4.1 MQKRDEKRRVVSRNSNAPPGLEFTTILRDGTRALWKQESNELLKQKCEYDGSVYSFKYERMDINAIQTQDKPKSQKQLISRNVGQLVDNKRPNGSSLDDILKESAWNNGSFMQRMENEKQFQSSELGHTGIGSNEQVQTKGRPHKLDIRERLTSIYESVLVVDNVTMAKEVVSKLTNQYRHLIHACDTEVARIDVKEETPIDHGEITCLSIYCGPEADFGHGKSCIWVDVLDGGGRDLLNEFALFFEDPDIKKVWHNYSFDNHVIENYGFSVSGFHADTMHMARLWDSSRRLKGGYSLEALTGDQKVMRGAGSCYKELIGKVSMKTIFGKKKLKKDGSEGKMTIIAPVEELQREEREPWICYSALDAISTLQLYKSMESELSKMPWNLDGKRVFQKSMFDFYQEYWQPFGEILVRMETEGMLVDRAYLAEVEKVAKAEQEVAANRFRNWACKYCPDAKYMNVGSDTQLRQLLFGGVPNSKDPLLTLPEDKTFKVPNVDKVIEEGKKTPTKYRNIKLCSIGVDLPIETYTASGWPSVSGVALKALAGKISDAVSDANDAAGLQLDDAVLDDSGTMTDEDSNSEGSYVENKVESEYVAGLRRFQTPEEGIEACHAIASLCEVCSIDSLISNFILPLQSSDISGKGGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMLDAFKAGGDFHSRTAMNMYPHIREAIEKKQVLLEWYPQPGENKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLSRDWKVSVAEAKETVNLWYKERKEVLKWQQARKKEARENGRVYTLLGRARVFPSLTDASSSLRGHVERAAINTPVQGSAADVAMCAMLEISKNTRLQELGWKLLLQVHDEVILEGPTESAEVAKAIVVGCMSKPFGGKNFLNVDLAVDSKCAQNWYAAK >Potri.001G421300.1.v4.1 pep chromosome:Pop_tri_v4:1:44953441:44961560:-1 gene:Potri.001G421300.v4.1 transcript:Potri.001G421300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G421300.v4.1 MGITSTTTQISPFRPICPSYFKLSRSCPCFASREGSSRPFSVALHRFWGKIPLQVIDDMQKRDEKRRVVSRNSNAPPGLEFTTILRDGTRALWKQESNELLKQKCEYDGSVYSFKYERMDINAIQTQDKPKSQKQLISRNVGQLVDNKRPNGSSLDDILKESAWNNGSFMQRMENEKQFQSSELGHTGIGSNEQVQTKGRPHKLDIRERLTSIYESVLVVDNVTMAKEVVSKLTNQYRHLIHACDTEVARIDVKEETPIDHGEITCLSIYCGPEADFGHGKSCIWVDVLDGGGRDLLNEFALFFEDPDIKKVWHNYSFDNHVIENYGFSVSGFHADTMHMARLWDSSRRLKGGYSLEALTGDQKVMRGAGSCYKELIGKVSMKTIFGKKKLKKDGSEGKMTIIAPVEELQREEREPWICYSALDAISTLQLYKSMESELSKMPWNLDGKRVFQKSMFDFYQEYWQPFGEILVRMETEGMLVDRAYLAEVEKVAKAEQEVAANRFRNWACKYCPDAKYMNVGSDTQLRQLLFGGVPNSKDPLLTLPEDKTFKVPNVDKVIEEGKKTPTKYRNIKLCSIGVDLPIETYTASGWPSVSGVALKALAGKISDAVSDANDAAGLQLDDAVLDDSGTMTDEDSNSEGSYVENKVESEYVAGLRRFQTPEEGIEACHAIASLCEVCSIDSLISNFILPLQSSDISGKGGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRILAHLANCKSMLDAFKAGGDFHSRTAMNMYPHIREAIEKKQVLLEWYPQPGENKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLSRDWKVSVAEAKETVNLWYKERKEVLKWQQARKKEARENGRVYTLLGRARVFPSLTDASSSLRGHVERAAINTPVQGSAADVAMCAMLEISKNTRLQELGWKLLLQVHDEVILEGPTESAEVAKAIVVGCMSKPFGGKNFLNVDLAVDSKCAQNWYAAK >Potri.016G073400.1.v4.1 pep chromosome:Pop_tri_v4:16:5461240:5462542:-1 gene:Potri.016G073400.v4.1 transcript:Potri.016G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073400.v4.1 MSRRNGSLPKLDLKLNLSPPRVNPRVESPGRSATVSPTSPPSSCVSSEMSQDDTLRYSSSPEATSMVLVGCPRCLMYVMLSENDPKCPKCKSTWLLDFLHDNTTTTTTTMKTRKS >Potri.019G067100.1.v4.1 pep chromosome:Pop_tri_v4:19:10674327:10676287:-1 gene:Potri.019G067100.v4.1 transcript:Potri.019G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067100.v4.1 MGLKVVSSAIISFSLFLLLASTAKAQSKGVFDVTKYGSDKDITEALTNAWKDACASTNPSKVLIPSGTYSLRKLTLAGPCKAAIELQVDGMLKAPVDPNQFSGGHWVNFGHVDKFTLSGSGTFDGQGKAAWSKSTCQKDKDCDSLPMNLRFDFITNALVRDITTLDSKNFHVNVLGCKNLTFQHFTVSAPGESVNTDGIHVGQSTGIYIIDSKIGTGDDCISVGDGTEELHITGVTCGPGHGISVGSLGKYPNEKPVSGIFVKNCTISDTTNGVRIKSWPALYGGVASNMHFEDIVMNNVQNPVIIDQVYCPWNQCSLKAPSKVKISGVSFKNIRGTSATPVVVQIACSSGFPCEKVELADIKVAYSGPDGPAKSQCSNVKPIISGTMSAPGC >Potri.010G248800.2.v4.1 pep chromosome:Pop_tri_v4:10:22269586:22271522:-1 gene:Potri.010G248800.v4.1 transcript:Potri.010G248800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248800.v4.1 MSKSCKGLALELVKCLSESDCIKMEDRPYKECAGEKSPSIPSECVGLRETYFNCKRGQVDMRARIRGNKGY >Potri.003G156000.1.v4.1 pep chromosome:Pop_tri_v4:3:16705898:16706982:-1 gene:Potri.003G156000.v4.1 transcript:Potri.003G156000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156000.v4.1 MASDVGSPNLTSLTILFFLLIMFHTTMANKDHRFLLSTTRDGGYFKKSLMEFSTTRPDMGNAKTVSKANVIHIPPQSSRRRGRFRAHRSPLPWQEGIFSASAHEVPSGPNPISNR >Potri.007G110400.4.v4.1 pep chromosome:Pop_tri_v4:7:13212618:13219400:-1 gene:Potri.007G110400.v4.1 transcript:Potri.007G110400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110400.v4.1 MIVCQKCGDRGDVKRLIYCNKCHVSAEHSYCLDTLPRKGEKEVLWACEECCSIDANPTPVSSRKSERIEGASKIKLKRMKLRKQTRFSPVTAKAFTDSSSQVKQPVSDYCCLKEAIETQSTSQSLQNEELKKPRRRLVVKDDSSSDEESGVIECLVVNPLQSAPAVAADSLNVSHSSPSSESDRYIHAKPIIDPIWKGSFNIQNLENHTSVLLLAHLSTNACSKVWDAASNLPAQLNIEILSRSDAWPHKFQITPPTVESIGLYFFPQRERDEKVFESLLDEMIIHDRALKAVINDLELLVFSSCELPQEHWRFCQKYYLWGVFKATKKHAVASNAEASMPSNLSNNAGTQDGESVGLVTRNPLKVPSAKQMAAHLF >Potri.007G110400.5.v4.1 pep chromosome:Pop_tri_v4:7:13212618:13217971:-1 gene:Potri.007G110400.v4.1 transcript:Potri.007G110400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110400.v4.1 MIVCQKCGDRGDVKRLIYCNKCHVSAEHSYCLDTLPRKGEKEVLWACEECCSIDANPTPVSSRKSERIEGASKIKLKRMKLRKQTRFSPVTAKAFTDSSSQVKQPVSDYCCLKEAIETQSTSQSLQNEELKKPRRRLVVKDDSSSDEESGVIECLVVNPLQSAPAVAADSLNVSHSSPSSESDRYIHAKPIIDPIWKGSFNIQNLENHTSVLLLAHLSTNACSKVWDAASNLPAQLNIEILSRSDAWPHKFQITPPTVESIGLYFFPQRERDEKVFESLLDEMIIHDRALKAVINDLELLVFSSCELPQEHWRFCQKYYLWGVFKATKKHAVASNAEASMPSNLSNNAGTQDGESVGLVTRNPLKVPSAKQMAAHLF >Potri.007G110400.3.v4.1 pep chromosome:Pop_tri_v4:7:13212618:13219400:-1 gene:Potri.007G110400.v4.1 transcript:Potri.007G110400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110400.v4.1 MSSSGDTVVDKMIVCQKCGDRGDVKRLIYCNKCHVSAEHSYCLDTLPRKGEKEVLWACEECCSIDANPTPVSSRKSERIEGASKIKLKRMKLRKQTRFSPVTAKAFTDSSSQVKQPVSDYCCLKEAIETQSTSQSLQNEELKKPRRRLVVKDDSSSDEESGVIECLVVNPLQSAPAVAADSLNVSHSSPSSESDRYIHAKPIIDPIWKGSFNIQNLENHTSVLLLAHLSTNACSKVWDAASNLPAQLNIEILSRSDAWPHKFQITPPTVESIGLYFFPQRERDEKVFESLLDEMIIHDRALKAVINDLELLVFSSCELPQEHWRFCQKYYLWGVFKATKKHAVASNAEASMPSNLSNNAGTQDGESVGLVTRNPLKVPSAKQMAAHLF >Potri.012G096600.1.v4.1 pep chromosome:Pop_tri_v4:12:12060254:12063837:-1 gene:Potri.012G096600.v4.1 transcript:Potri.012G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096600.v4.1 MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAFSIRKLPLGKAKGYLEDVLAHKQAIPFRRFCRGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEADTQIAPRKPKGALRSGASS >Potri.016G116600.1.v4.1 pep chromosome:Pop_tri_v4:16:12091073:12103519:1 gene:Potri.016G116600.v4.1 transcript:Potri.016G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116600.v4.1 MVAMTKSSSRERLASLINSAKSASDIPSKLQTLRQLNQILQQQENANSLSEFLPRIFEFQSDQHSPVRKFATEMIGEIGLKHLEFVPEIVPVLMLVLEDLVPAVARQAITCGISLFRATLEKLAIQGLYTSELDDLLKSSWSSMLEFKEKIYSIAFQLGSGGVRLLALKFVEEVILLYTPDPYGTSEPPSHEGSSVEFNISWLRGGHPVLNVGDLSIEASRKLSLLLDQLRMPTVKSISNLMIIVLVNSLATIAKKRPPCYGRILPVLLGLDPSNSVIEGMHGYGAHHALKNAFLTCLKCNHLGAAPWRDRLVGVLKEMKAGELAEEALQVLRSNGSVEEAKEDFLVAQEEKLLIKSSDGIPNNSARKRSGPEDSIDLADLAKDDDVSGKRVKSSPSVSEESSKELDHRANKKDDDNGPVQQLVAMFGALVAQGEKAVGSLEILISSISADLLAEVVMANMRYLPTGHPQAEGDDESLLNMTIVGSDTRAKYPSSFLTNVLSLSSSFPPIAAQLNAGHSVSKDIPTTDEEELQTTTDEEELQTTKDEEELHVAAADVADVYTGKAHSAEDELMPAGLPASSNVDLSGMQMDGLAISSNIHDFENLDSEIPGLDSSARNDVFSETMGASSLVSTDIEDASQEQGTSLGTRSNQEVLPSISNDRSEELSPKAAATDSNSLISSTATSVRLHQPLVLPKMSAPVVNLVDEQKDQLHNLAFIRIIEAYKQIAVAGSSQFRLSLLASLGVEFPSELDPWELLKKHILSDYVVHEGHELTLHVLYRLFGEVEEEHDFLSSTTAASVYEMFLLTVAEMLRDSFPPSDKSLSRLLGEAPYLPNSIFSLLESLCSPGNIDKAEELQSGDRVTQGLSTVWSLILLRPPIRESCLKIALQSAVHHLEEVRMKALRLVANKLYPLSSIAQQIEDFAKEKLLSVVNSDATESMDAEGSFTESQKDSILEKPSNEHQSMSAISKDISSETHQSCTSESVSSLSISEAQRCLSLYFALCTKKHSLFRQIFIVYKSASKAVKQAVNRHIPILVRTMGSSSDLLEIISDPPIGSENLLMQVLQTLTEGAVPSPELLFTIRKLYDSKIKDAEILIPILPFLPRDEILLIFPHLVNLPLDKFQIALARTLQGSSHSGTMLSPAEVLIAIHGIDPDRDGIPLKKVTDACNACFEQRQIFTQQVLAKVLNQLVEQIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWKYPKLWVGFLKCALLTKPQSFNVLLQLPPPQLENALNRTAALKAPLVAYASQPNIKSSLPRSVLVVLGIAPDPQTSSQAQTSLAQTGDTNNSDKDVTVENSKTGETSNSVKEVLTEKSKESSVAS >Potri.016G116600.4.v4.1 pep chromosome:Pop_tri_v4:16:12091098:12097017:1 gene:Potri.016G116600.v4.1 transcript:Potri.016G116600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116600.v4.1 MVAMTKSSSRERLASLINSAKSASDIPSKLQTLRQLNQILQQQENANSLSEFLPRIFEFQSDQHSPVRKFATEMIGEIGLKHLEFVPEIVPVLMLVLEDLVPAVARQAITCGISLFRATLEKLAIQGLYTSELDDLLKSSWSSMLEFKEKIYSIAFQLGSGGVRLLALKFVEEVILLYTPDPYGTSEPPSHEGSSVEFNISWLRGGHPVLNVGDLSIEASRKLSLLLDQLRMPTVKSISNLMIIVLVNSLATIAKKRPPCYGRILPVLLGLDPSNSVIEGMHGYGAHHALKNAFLTCLKCNHLGAAPWRDRLVGVLKEMKAGELAEEALQVLRSNGSVEEAKEDFLVAQEEKLLIKSSDGIPNNSARKRSGPEDSIDLADLAKDDDVSGKRVKSSPSVSEESSKELDHRANKKDDDNGPVQQLVAMFGALVAQGEKAVGSLEILISSISADLLAEVVMANMRYLPTGHPQAEGDDESLLNMTIVGSDTRAKYPSSFLTNVLSLSSSFPPIAAQLNAGHSVSKDIPTTDEEELQTTTDEEELQTTKDEEELHVAAADVADVYTGKAHSAEDELMPAGLPASSNVDLSGMQMDGLAISSNIHDFENLDSEIPGLDSSARNDVFSETMGASSLVSTDIEDASQEQGTSLGTRSNQEVLPSISNDRSEELSPKAAATDSNSLISSTATSVRLHQPLVLPKMSAPVVNLVDEQKDQLHNLAFIRIIEAYKQIAVAGSSQFRLSLLASLGVEFPSELDPWELLKKHILSDYVVHEGHELTLHVLYRLFGEVEEEHDFLSSTTAASVYEMFLLTVAEMLRDSFPPSDKSLSRLLGEAPYLPNSIFSLLESLCSPGNIDKAEELQSGDRVTQGLSTVWSLILLRPPIRESCLKIALQVSSLYRRFHLFQLSITFVHFECHAFLGLFASFCEGSCKLKCCIAMLQK >Potri.016G116600.2.v4.1 pep chromosome:Pop_tri_v4:16:12091146:12103417:1 gene:Potri.016G116600.v4.1 transcript:Potri.016G116600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116600.v4.1 MVAMTKSSSRERLASLINSAKSASDIPSKLQTLRQLNQILQQQENANSLSEFLPRIFEFQSDQHSPVRKFATEMIGEIGLKHLEFVPEIVPVLMLVLEDLVPAVARQAITCGISLFRATLEKLAIQGLYTSELDDLLKSSWSSMLEFKEKIYSIAFQLGSGGVRLLALKFVEEVILLYTPDPYGTSEPPSHEGSSVEFNISWLRGGHPVLNVGDLSIEASRKLSLLLDQLRMPTVKSISNLMIIVLVNSLATIAKKRPPCYGRILPVLLGLDPSNSVIEGMHGYGAHHALKNAFLTCLKCNHLGAAPWRDRLVGVLKEMKAGELAEEALQVLRSNGSVEEAKEDFLVAQEEKLLIKSSDGIPNNSARKRSGPEDSIDLADLAKDDDVSGKRVKSSPSVSEESSKELDHRANKKDDDNGPVQQLVAMFGALVAQGEKAVGSLEILISSISADLLAEVVMANMRYLPTGHPQAEGDDESLLNMTIVGSDTRAKYPSSFLTNVLSLSSSFPPIAAQLNAGHSVSKDIPTTDEEELQTTTDEEELQTTKDEEELHVAAADVADVYTGKAHSAEDELMPAGLPASSNVDLSGMQMDGLAISSNIHDFENLDSEIPGLDSSARNDVFSETMGASSLVSTDIEDASQEQGTSLGTRSNQEVLPSISNDRSEELSPKAAATDSNSLISSTATSVRLHQPLVLPKMSAPVVNLVDEQKDQLHNLAFIRIIEAYKQIAVAGSSQFRLSLLASLGVEFPSELDPWELLKKHILSDYVVHEGHELTLHVLYRLFGEVEEEHDFLSSTTAASVYEMFLLTVAEMLRDSFPPSDKSLSRLLGEAPYLPNSIFSLLESLCSPGNIDKAEELQSGDRVTQGLSTVWSLILLRPPIRESCLKIALQSAVHHLEEVRMKALRLVANKLYPLSSIAQQIEDFAKEKLLSVVNSDATESMDAEGSFTESQKDSILEKPSNEHQSMSAISKDISSETHQSCTSESVSSLSISEAQRCLSLYFALCTKKHSLFRQIFIVYKSASKAVKQAVNRHIPILVRTMGSSSDLLEIISDPPIGSENLLMQVLQTLTEGAVPSPELLFTIRKLYDSKIKDAEILIPILPFLPRDEILLIFPHLVNLPLDKFQIALARTLQGSSHSGTMLSPAEVLIAIHGIDPDRDGIPLKKVTDACNACFEQRQIFTQQVLAKVEQIPLPLLFMRTVLQAIGAFPALVEFIMEILSRLVSKQIWKYPKLWVGFLKCALLTKPQSFNVLLQLPPPQLENALNRTAALKAPLVAYASQPNIKSSLPRSVLVVLGIAPDPQTSSQAQTSLAQTGDTNNSDKDVTVENSKTGETSNSVKEVLTEKSKESSVAS >Potri.008G159100.1.v4.1 pep chromosome:Pop_tri_v4:8:10926726:10930204:-1 gene:Potri.008G159100.v4.1 transcript:Potri.008G159100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159100.v4.1 MSHLLHANLVCIERLLDVPATLSKPNKRWHLAFVTIYCSRTIYSLSKKPVVRKKPSKVSSSPSYTALNINLDIDHFKIHQSSLSELVKKKDLDQLENFGGIVRIASAIGTDIDGGIYGGPEDIDRRQQAFGSNTYKKPPTKGFFHFVVEAFKDLTIAILLGCAALSLGFGIKEHGLKEGWYDGGSIFVAVFLIIAVSAISNYRQNRQFDKLSKISNNIQIDVVRSGRRQEVSIFELVVGDVVCLKIGDQVPADGLFIDGHSLQIDESSMTGESDQVEINHKKNPFLVSGTKVADGYGQMLVTSVGMNTTWGEMMSHISRDTNEQTPLQARLNKLTSSIGKVGLAVAFLVLLVLLVRYFTGNTQDESGKKEFNGSKTKADDIVNAVVGIVAAAVTIIVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLPACETMGSATTICTDKTGTLTMNLMKVTKFWLGQESMEQSNPSPVSPYVLELIKQGVALNTTGSVYRESPESKLEFSGSPTEKAILSWAVLELNMNMEQMKQSCTILQVEAFNSQKKRSGVLSMKKMDHTIHVHWKGAAEMILAMCSSYYDASGLMKEMDDRERNTFKQIIQDMAASSLRCIAFAHKQISEDQYEDGKEDKTLKEDCLTLLGLVGIKDPCRPGVKKAVDDCQRAGVNVKMITGDNVFTARAIAIECGILKPGAENISGAVVEGEEFRNYTHEQRMEKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEQPTQELMEKTPVGRTEPLITNIMWRNLLSQALYQIAILLTLQFKGESIFGVTERVNDTLIFNIFVLCQVFNEFNARKLEEKNVFKGIHKNKLFLGIIGITILLQVLMVEFLKKFADTERLNWGQWGACIGTAALSWPICWVVKCIPVPEKPIFSYLTWRK >Potri.012G008911.1.v4.1 pep chromosome:Pop_tri_v4:12:377633:380865:1 gene:Potri.012G008911.v4.1 transcript:Potri.012G008911.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G008911.v4.1 MGFSPISSLSFFLFLFHFHSTISSSDFCALDQSLSLLRFKESFSINSSASVLCQHPKTESWKEGIDCCLWDGITCDLKTGHVTVLDLSCSMLYGTLHSNSTLFSLHHLQKLDLSDNHFNFSHISSRFGQFSNLTHLNLNYSIFAGQVPSEISHLSKLVSLDLSRNYDLSLEPICFDKLVRSLTKLRELDLSNVNMSLVVPSSLMNLSASLSLLKLFGCGLEGKLPSSMGRFKHLKYLDLSINFYLSLEPISFDKIVQNLTKLRHLALDYVNMSLVAPNSLKNLSSSLSYLSLSGCGLQGKFPGNIFLLPNLESLDLSYNKGLTGSFPSSNLSNVLSLLRLSNTRISVYLENDLISNLKSLEYMSLRNCNIIRSDLTLLGNLTQLTLLDLSSNNFTGEIPSSLGYLLQLRSLYLYSNKFRGQVPDFLGSLVNLSNLDLSNNQLVGPFHSQLNTLSNLKYLFLSDNLFNGTIPSFLFALPSLYYLDLHNNNLIGNISELQHNSLRFLDLSNNHLHGTIPSSIFKQENLTVIILASNSKLTGEISTSICKLKSLLVLDLSNNSLSGSIPLCLGNFNNMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLSGNELEGKISPSIINCTKLEVLDLGNNKIEDAFPNFLETLPKLQILILKSNKLQGFVKGPNAYNSFSKLQIFDVSYNNFSGPLPTRYFNNLEAMMVSDQSMIYLNATNYTSYVYSIKMTWKGVEFEFSKIQSTIRVLDLSSNNFTGEIPKMIGKLIALQQLNLSHNSLTGHIQSSLGILINLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGPIPSGEQFNTFDASSFEGNLGLCGSQVLKKCYGDEARSLPPSSFDEGDDSTLFGEGFGWKAVTVGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLQSKKTKKNAGRYGARRN >Potri.019G055000.7.v4.1 pep chromosome:Pop_tri_v4:19:9291523:9297961:-1 gene:Potri.019G055000.v4.1 transcript:Potri.019G055000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055000.v4.1 MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEESTGKGVDNYEIELENVIDKLIVECDKKIGRALKRLEDEDAKAAIAISVTEVTQNAEVSELSKLIKEKLKEVDKYDLEGKTDFKIQVLEEVEKLRTERAEKQSALLLEAFNKDRASLPQPMLTPPPPPPPMPLPTPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPSADSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQENKQRKVDRHDDQRSRERSRDRDREPSRDRDRGDSRDRGREYDRKSRDRDRYNDRDRGYDRERDRGSERSRNYDSRSRRRSRSRSREHSRDYDHHRRFDRY >Potri.019G055000.6.v4.1 pep chromosome:Pop_tri_v4:19:9290773:9297959:-1 gene:Potri.019G055000.v4.1 transcript:Potri.019G055000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055000.v4.1 MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEESTGKGVDNYEIELENVIDKLIVECDKKIGRALKRLEDEDAKAAIAISVTEVTQNAEVSELSKLIKEKLKEVDKYDLEGKTDFKIQVLEEVEKLRTERAEKQSALLLEAFNKDRASLPQPMLTPPPPPPPMPLPTPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPSADSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQENKQRKVDRHDDQRSRERSRDRDREPSRDRDRGDSRDRGREYDRKSRDRDRYNDRDRGYDRERDRGSERSRNYDSRSRRRSRSRSREHSRDYDHHRRFDRY >Potri.019G055000.8.v4.1 pep chromosome:Pop_tri_v4:19:9290890:9297962:-1 gene:Potri.019G055000.v4.1 transcript:Potri.019G055000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055000.v4.1 MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKEYEESTGKGVDNYEIELENVIDKLIVECDKKIGRALKRLEDEDAKAAIAISVTEVTQNAEVSELSKLIKEKLKEVDKYDLEGKTDFKIQVLEEVEKLRTERAEKQSALLLEAFNKDRASLPQPMLTPPPPPPPMPLPTPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPSADSSKYTAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQVCKMKKLFACTIYQ >Potri.007G131600.6.v4.1 pep chromosome:Pop_tri_v4:7:14508485:14513641:-1 gene:Potri.007G131600.v4.1 transcript:Potri.007G131600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131600.v4.1 MANLVPGVLLKLLQHMDTDVKVAGEHRSSLLQVVSIVPALAGGELFSNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLQPASPVPILRGVRPVPGRHPCVGSPEDIVASQSPGFLNNNLEKVKSPKRGVLGGCNVGEKDKSVGGSANGNSHKEALSDKKATQTRSKSQLSKLTLDLDLKRERVAKSKSSSSRSIPSSPTSCYSLPSSFEKFSNGVRQQSVIKGSDKESPRIVEKASSVRGASPTVKRVPVIKNIVQGIELGAKALRKSWEGNMEVKHREKSNLRGARHDPKPEAWSVSTPRKSTSSERLPSKEDYRTQVSSKSSKEETKIQTSTKKNVANGSLDEQDKSNKLRTTAGKKSSEHANNGFPGNLVKVSISSRRLTEGSVSWSSLPSSLAKFGKEVMKHRDAAQTAAIEAIQEATAAESLLRCLSMYSELTSSAREDNPQPAVEQFLALHASLNNSRLIADSLFKIIPAGSSPDSNDNPSEEALKVTSDRRKHAASWVQAALATNLSSFSLFTKDTTSAPSQGQKPIASNQSILVLENSSKNTTTKTHGKTRPTVGSKLVATGAFRKPGDNSTVSQKAPPQPPAEWIRGNGLDEAVDLAGMLRMESQDWFLGYVERFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEIGSSKDEAETPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQPSPANRSIETKSKR >Potri.007G131600.8.v4.1 pep chromosome:Pop_tri_v4:7:14508547:14513438:-1 gene:Potri.007G131600.v4.1 transcript:Potri.007G131600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131600.v4.1 MANLVPGVLLKLLQHMDTDVKVAGEHRSSLLQVVSIVPALAGGELFSNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLQPASPVPILRGVRPVPGRHPCVGSPEDIVASQSPGFLNNNLEKVKSPKRGVLGGCNVGEKDKSVGGSANGNSHKEALSDKKATQTRSKSQLSKLTLDLDLKRERVAKSKSSSSRSIPSSPTSCYSLPSSFEKFSNGVRQQSVIKGSDKESPRIVEKASSVRGASPTVKRVPVIKNIVQGIELGAKALRKSWEGNMEVKHREKSNLRGARHDPKPEAWSVSTPRKSTSSERLPSKEDYRTQVSSKSSKEETKIQTSTKKNVANGSLDEQDKSNKLRTTAGKKSSEHANNGFPGNLVKVSISSRRLTEGSVSWSSLPSSLAKFGKEVMKHRDAAQTAAIEAIQEATAAESLLRCLSMYSELTSSAREDNPQPAVEQFLALHASLNNSRLIADSLFKIIPAGSSPDSNDNPSEEALKVTSDRRKHAASWVQAALATNLSSFSLFTKDTTSAPSQGQKPIASNQSILVLENSSKNTTTKTHGKTRPTVGSKLVATGAFRKPGDNSTVSQKAPPQPPAEWIRGNGLDEAVDLAGMLRMESQDWFLGYVERFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEIGSSKDEAETPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQPSPANRSIETKSKR >Potri.007G131600.4.v4.1 pep chromosome:Pop_tri_v4:7:14508542:14513641:-1 gene:Potri.007G131600.v4.1 transcript:Potri.007G131600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131600.v4.1 MANLVPGVLLKLLQHMDTDVKVAGEHRSSLLQVVSIVPALAGGELFSNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLQPASPVPILRGVRPVPGRHPCVGSPEDIVASQSPGFLNNNLEKVKSPKRGVLGGCNVGEKDKSVGGSANGNSHKEALSDKKATQTRSKSQLSKLTLDLDLKRERVAKSKSSSSRSIPSSPTSCYSLPSSFEKFSNGVRQQSVIKGSDKESPRIVEKASSVRGASPTVKRVPVIKNIVQGIELGAKALRKSWEGNMEVKHREKSNLRGARHDPKPEAWSVSTPRKSTSSERLPSKEDYRTQVSSKSSKEETKIQTSTKKNVANGSLDEQDKSNKLRTTAGKKSSEHANNGFPGNLVKVSISSRRLTEGSVSWSSLPSSLAKFGKEVMKHRDAAQTAAIEAIQEATAAESLLRCLSMYSELTSSAREDNPQPAVEQFLALHASLNNSRLIADSLFKIIPAGSSPDSNDNPSEEALKVTSDRRKHAASWVQAALATNLSSFSLFTKDTTSAPSQGQKPIASNQSILVLENSSKNTTTKTHGKTRPTVGSKLVATGAFRKPGDNSTVSQKAPPQPPAEWIRGNGLDEAVDLAGMLRMESQDWFLGYVERFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEIGSSKDEAETPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQPSPANRSIETKSKR >Potri.007G131600.7.v4.1 pep chromosome:Pop_tri_v4:7:14508520:14513613:-1 gene:Potri.007G131600.v4.1 transcript:Potri.007G131600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131600.v4.1 MANLVPGVLLKLLQHMDTDVKVAGEHRSSLLQVVSIVPALAGGELFSNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLQPASPVPILRGVRPVPGRHPCVGSPEDIVASQSPGFLNNNLEKVKSPKRGVLGGCNVGEKDKSVGGSANGNSHKEALSDKKATQTRSKSQLSKLTLDLDLKRERVAKSKSSSSRSIPSSPTSCYSLPSSFEKFSNGVRQQSVIKGSDKESPRIVEKASSVRGASPTVKRVPVIKNIVQGIELGAKALRKSWEGNMEVKHREKSNLRGARHDPKPEAWSVSTPRKSTSSERLPSKEDYRTQVSSKSSKEETKIQTSTKKNVANGSLDEQDKSNKLRTTAGKKSSEHANNGFPGNLVKVSISSRRLTEGSVSWSSLPSSLAKFGKEVMKHRDAAQTAAIEAIQEATAAESLLRCLSMYSELTSSAREDNPQPAVEQFLALHASLNNSRLIADSLFKIIPAGSSPDSNDNPSEEALKVTSDRRKHAASWVQAALATNLSSFSLFTKDTTSAPSQGQKPIASNQSILVLENSSKNTTTKTHGKTRPTVGSKLVATGAFRKPGDNSTVSQKAPPQPPAEWIRGNGLDEAVDLAGMLRMESQDWFLGYVERFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEIGSSKDEAETPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQPSPANRSIETKSKR >Potri.007G131600.5.v4.1 pep chromosome:Pop_tri_v4:7:14508479:14514153:-1 gene:Potri.007G131600.v4.1 transcript:Potri.007G131600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131600.v4.1 MANLVPGVLLKLLQHMDTDVKVAGEHRSSLLQVVSIVPALAGGELFSNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLQPASPVPILRGVRPVPGRHPCVGSPEDIVASQSPGFLNNNLEKVKSPKRGVLGGCNVGEKDKSVGGSANGNSHKEALSDKKATQTRSKSQLSKLTLDLDLKRERVAKSKSSSSRSIPSSPTSCYSLPSSFEKFSNGVRQQSVIKGSDKESPRIVEKASSVRGASPTVKRVPVIKNIVQGIELGAKALRKSWEGNMEVKHREKSNLRGARHDPKPEAWSVSTPRKSTSSERLPSKEDYRTQVSSKSSKEETKIQTSTKKNVANGSLDEQDKSNKLRTTAGKKSSEHANNGFPGNLVKVSISSRRLTEGSVSWSSLPSSLAKFGKEVMKHRDAAQTAAIEAIQEATAAESLLRCLSMYSELTSSAREDNPQPAVEQFLALHASLNNSRLIADSLFKIIPAGSSPDSNDNPSEEALKVTSDRRKHAASWVQAALATNLSSFSLFTKDTTSAPSQGQKPIASNQSILVLENSSKNTTTKTHGKTRPTVGSKLVATGAFRKPGDNSTVSQKAPPQPPAEWIRGNGLDEAVDLAGMLRMESQDWFLGYVERFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEIGSSKDEAETPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQPSPANRSIETKSKR >Potri.009G153000.1.v4.1 pep chromosome:Pop_tri_v4:9:12016887:12018422:-1 gene:Potri.009G153000.v4.1 transcript:Potri.009G153000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153000.v4.1 MDSTKPLAKVEDHVYEDIDPKMEWVKNDGFDTLLVHLPGFTKQQIRIQAKIGKQKLKITGESHQGDNKWIRLYKKLTVSSDYDLNQVRARFVGGVLYIKHPKKITSPTKPVQENNADPSVEPQKPANEKPEDQNSGQDPAAQEVPPKTEVEGQTERDIDEKTNATSTEANLKDQKSDYILPEEGKATGTSEKHETDGGGGLVANKKKLRISTTVVVSAGLFVLVLVLVLGLYVQKAMRSHGEYES >Potri.002G184400.1.v4.1 pep chromosome:Pop_tri_v4:2:14612964:14626223:1 gene:Potri.002G184400.v4.1 transcript:Potri.002G184400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184400.v4.1 MSMAVVQKEPEKVMKLRGGSVLGKKTILKSDHFPGCQNKRLTPQIDGAPNYRQAESLPVHGVAIPTIEGCRNVIKHIRGRKDGKQAQVLWFNLREEPLVYINGRPFVLRDVERPFSNLEYTGINRSRVEEMEARLKEDILMEAARYGNKIHVTDELPDGQMVDQWEPVSCDSVKTPVEVYEDLQVEGHLYDYERVPITDEKSPEEQDFDILVDRIYQTDLNTDIIFNCQMGRGRTTTGMVITTLVYLNRIGDSGIQRTNSVGRIFEFGLNVNENLPNSEEALLRGEYAVIRSLIRVLEGGVEGKKQVDKVIDKCASMQNLREAIANYRNSILRQSDEMKREASLSFFVEYLERYYSLICFAVYIHSERDALRSSSFGHSSFADWMRARPELYSIIRRLLRRNPMGALGYASPKPSPMRIAESADGRPHEMGVVAALRNGEVLGSQTVLKSDHCPGCQNPSLPERVDGAPNFREVPGFPVYGVANPTIDGILSVIQRIGSSKGGCPVFWHNMREEPVIYINGEPFVLREVERPFKNMLEYTGIGRERVERMEARLKEDILREAERYGGAIMVIHETDDGQIFDAWEHVNSDSIKTPLEVFKCLDTDGFPIKYARVPITDGKAPKSSDFDTLAMNIASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDYGRPIRVLADDMNHEEVESGSSSGEETGGDTAATTSDIGSVKTDMEQGRAFGIDDILLLWKITRLFDNGMECREALDAIIDRCSALQNIRQAVLQYRKVVNQQHVEPRVRRVALSRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGESRMTFKSWLHQRPEVQAIKWSIRLRPGRFFTVPEGLRTPQESQHGDAVMEATVRVRNGSVLGKGSILKMYFFPGQRTSSHIQIHGAPNVYKVDGYPVYSMATPTIAGAKEVLAYLKAKPKIGGSLAQKVIVTDLREEAAVYINGTPFVPRELNKPVDTLKHVGITGPVLELMEARLKEDIVSEIRRSGGRLLLHREEYDPATNQSCIIGYWENISADDVKTPAEVYAGLKDEGYDMTYRRIPLASEREALASDVDAIQYCKDDCAGSYLFVSHTGFGGVGYAMAIICIKLDAEAKLTSKISQTLVSSRRSSSLSEANLPSELSDEEALRMGDYRDILSLTRVLIHGPQSKADVDIIIEKCAGAGHLRDDIHYYIKELWKFPDSDDEQRACLLDMGIKALRRYFNLITFRSYLYSTKASETKFTSWMDSRPELRNLCNNLRIDK >Potri.006G024500.1.v4.1 pep chromosome:Pop_tri_v4:6:1642638:1644540:-1 gene:Potri.006G024500.v4.1 transcript:Potri.006G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024500.v4.1 MDSLSSSLEGCGSTETLVEDKELNFAQLEEIDMKTEAVAGRSVDNVHGKTGAVQLDRVGSDKKACGHGTLTEDQEYIKEIKELHAYLDIVKTMVRPGCSPEVLKIALNSMSTLFNTLTFVSSVTRPHASL >Potri.006G128400.1.v4.1 pep chromosome:Pop_tri_v4:6:10404081:10404947:1 gene:Potri.006G128400.v4.1 transcript:Potri.006G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128400.v4.1 MHMPHGTLHDHLHSGLSSLNWSLRLKILMRAAKGLEYLHKEAEQPIVYHNVKTSNFLLDSAWGARIADFGLLSANEKDLGGDMKRCIQFWNCTARDS >Potri.002G088500.1.v4.1 pep chromosome:Pop_tri_v4:2:6389319:6390137:-1 gene:Potri.002G088500.v4.1 transcript:Potri.002G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088500.v4.1 MAQLGSLSAELESLNQVLSLMEAFRAFDSDNDGFITAAELGGILGSLGYNASEQDVSAMMQQGDTNKDGLLSMQEFLEMNTKDMELGELANLLQTAFDALDVDVDGIVTAEELYEATVNGGLDLSLEDCQGIIASIDADGDGAVSCNDFKLIVNSLQILENSFLM >Potri.012G043800.3.v4.1 pep chromosome:Pop_tri_v4:12:3928166:3934182:1 gene:Potri.012G043800.v4.1 transcript:Potri.012G043800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043800.v4.1 MDTMASNVLQLLDSTLERVTSALDFPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKHPKRPLTKKEIDELCDEWVPESLIPPITDEMRYEPPVLESAAGPHTIINGKDVVNFASANYLGLTGHEKQIDSSTSAMEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSIIYSYGLSTMFSTIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLRNALEKITVENKRAKELRRYIVVEAVYQNSGQIAPLNEIIRLKEKYLFRVLLDESNSFGVLGRSGRGLTEYHGVPIEKIDIVTADMGHALATEGGFCTGSSRVVDHQRLSSSGYVFSASLPPYLASAAITAIDVLEDNPALIEKLKENIAILWKGLSNIQGLSISSNPKSPIVFLNLEKSTGSTKDDLKLLEAMADRALKEDSVFVVTTKRSTLDKCRLPVGIRLFVSAAHSDSDLLKACESLKRVAALVLMNQ >Potri.012G043800.1.v4.1 pep chromosome:Pop_tri_v4:12:3927983:3934218:1 gene:Potri.012G043800.v4.1 transcript:Potri.012G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043800.v4.1 MDTMASNVLQLLDSTLERVTSALDFPSARAVVFGVHIGGHLFVEVLLLVVILFLLSQKSYKHPKRPLTKKEIDELCDEWVPESLIPPITDEMRYEPPVLESAAGPHTIINGKDVVNFASANYLGLTGHEKQIDSSTSAMEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTPDSIIYSYGLSTMFSTIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLRNALEKITVENKRAKELRRYIVVEAVYQNSGQIAPLNEIIRLKEKYLFRVLLDESNSFGVLGRSGRGLTEYHGVPIEKIDIVTADMGHALATEGGFCTGSSRVVDHQRLSSSGYVFSASLPPYLASAAITAIDVLEDNPALIEKLKENIAILWKGLSNIQGLSISSNPKSPIVFLNLEKSTGSTKDDLKLLEAMADRALKEDSVFVVTTKRSTLDKCRLPVGIRLFVSAAHSDSDLLKACESLKRVAALVLMNQ >Potri.001G210100.2.v4.1 pep chromosome:Pop_tri_v4:1:21495736:21497351:1 gene:Potri.001G210100.v4.1 transcript:Potri.001G210100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210100.v4.1 MASRKVLSLILLCTFSISCCSQSPASAPAPSSVDCTNLILSMADCLSFVSNDSTAAKPEGKCCAGLKTVLSTKAECLCEAFKSSARFDIVLNVTKALSLPSVCKIHAPPASNCGLAISPSGARARAPGGSAPGLAVNGGGNEQAPAPSPGHSGSIGFSISVGSLIIGFVFASFSSF >Potri.002G145800.1.v4.1 pep chromosome:Pop_tri_v4:2:11000229:11001511:-1 gene:Potri.002G145800.v4.1 transcript:Potri.002G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145800.v4.1 MNRLSLSIPLLLLSIFCFSGTVEPARFARHSRPRAYIETACTKTLYPSLCTQYLSVFANSTIQTPQQLAQAALSVSLYKALQTRTFMLKVVKELKAMKSKDYQAVKDCLDQIGDSVDQLSQSVRELHRLEHPGAAGGGDVFWHVSNFETWVSSAMTDASTCVDELPGKDMNKLKAVIKAKVLNVAQTASNALALFQRYAAKHKP >Potri.002G119000.3.v4.1 pep chromosome:Pop_tri_v4:2:9019419:9021505:-1 gene:Potri.002G119000.v4.1 transcript:Potri.002G119000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119000.v4.1 MAGESLSPNDLLRQEDFRAELSVADEDDTYIDISRTYVGDPDTEEEEYLALLANQEPHRGFSANDTLVIDSWFRNARLEAITWILRTRKTFGFHFHTAYLSMIYFDRFISSRSIDMEEVQVPSSPEFQTDGVIFESKSVKRVELGILSTLQWRMNYTTPFAFLRYFIMRFSRQDSPPRETISRTVRYILALMKEIHLMSHRPSVIAAAASLVVINNSLTRTTLETQMNSVAYPGFLNIEDVFRCYNLLQQLDVENLRSTANVSSASRNSSINGIKRRRLTFDDPDKN >Potri.002G119000.2.v4.1 pep chromosome:Pop_tri_v4:2:9018387:9021812:-1 gene:Potri.002G119000.v4.1 transcript:Potri.002G119000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119000.v4.1 MAGESLSPNDLLRQEDFRAELSVADEDDTYIDISRTYVGDPDTEEEEYLALLANQEPHRGFSANDTLVIDSWFRNARLEAITWILRTRKTFGFHFHTAYLSMIYFDRFISSRSIDRRYSWVVKLISVACISLASKMEEVQVPSSPEFQTDGVIFESKSVKRVELGILSTLQWRMNYTTPFAFLRYFIMRFSRQDSPPRETISRTVRYILALMKEIHLMSHRPSVIAAAASLVVINNSLTRTTLETQMNSVAYPGFLNIEDVFRCYNLLQQLDVENLRSTANVSSASRNSSINGIKRRRLTFDDPDKN >Potri.009G092300.1.v4.1 pep chromosome:Pop_tri_v4:9:8403718:8405819:1 gene:Potri.009G092300.v4.1 transcript:Potri.009G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092300.v4.1 MMLKKAVILLSLICISIAGVSGQAPATSPTATPAPPTPTSSPPPATTPPPVSAPPPVTQSPPPATPPPVSAPPPATPPPATPPPATPPPATPPPATPPPATPPPATPPPAVPPPAPLAAPPALVPAPAPSKPKLKSPAPSPLALSPPSPPTGAPAPSLGASSPGPAGTDMSGVEKMGSVQKMVLSLVFGSAFWLLT >Potri.001G219250.1.v4.1 pep chromosome:Pop_tri_v4:1:22907657:22908171:1 gene:Potri.001G219250.v4.1 transcript:Potri.001G219250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219250.v4.1 MSCGHHFSLWPNNHGIWVDEFESSGLVDCLDKTWPMTYLYIDDHKTKYLDHPADRSSFDVIVSGTGPVGPYLAEQVSRYGVKIVWTKHGL >Potri.014G047400.1.v4.1 pep chromosome:Pop_tri_v4:14:3019462:3026346:1 gene:Potri.014G047400.v4.1 transcript:Potri.014G047400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047400.v4.1 MGCTASKLDNEDTVRRCKERRRLMKEAVYARHHLAAAHADYCRSLRVTGSALSNFAAGESLSVSDQTPVVILHPPTATSPTPPSNPIPPRVPPSPSPSLHPPPPPPPFSPSIASSKPPHILSSSNIHSKSNRHRRVKPQKLPHILSESSPSVSPKSNYDYPTAFQNHSTYSTTPSQASSVWNWENFYPPSPPDSEFFARKANHNQQQQHPHLDTDDGSSSDADEDVATERFSEYDFFNEKQYTQHKKQQQQNYSETEQEEVQCSEWGDHDHLSNSTTSSDEDNDTESRSEIGTRSNFGPVKHPSQQQPHPQQYDNAFGKLDNKSEAGSSTTSYRTGEVSNMKMVVRHKDLNEIVGAIKENFDKAAAAGDQVSEMLEIGRAQLDRSFRQLKKTVYHSSSVLSNLSSSWTSKPPLAVKYRLDTGSLIEPGGPRSLCSTVERLLAWEKKLYDEVKDREGVKIEHEKKLSTLQSQEYKGDEAKLDKTKAAITRLQSLIIVTSQAVSTTSTAIIGLRDSDLVPQLVELCHGFMYMWKSMHQYHEVQNHIVQQVRGLVNQSAKGDSTSELHKQATRDLESAVSAWHSSFCHLIKFQRDFIQSIHGWFKLTLIPVSNDNMNANMEPSDVYAFCDEWKLALDRVPDTVASEAIKSFINVVHVISTKQTEELKIRKRTDTASKELEKKASSLRSLERKFYHSYSMVGIGPPDTGGSDNGQFLDARDPLAEKKSELVACQRRVEDEMLRHAKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFMEALQLVCNRSYAIK >Potri.002G177300.1.v4.1 pep chromosome:Pop_tri_v4:2:13809566:13811265:-1 gene:Potri.002G177300.v4.1 transcript:Potri.002G177300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177300.v4.1 MLVLAMLIPFTRLVLDSVKNPDAWGKDAFHTNFFSPDGVAIDGPWLKQEPLYLQWKQLDCQIEMIYFGAVCVYIYNLK >Potri.010G071600.2.v4.1 pep chromosome:Pop_tri_v4:10:9976704:9980513:-1 gene:Potri.010G071600.v4.1 transcript:Potri.010G071600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071600.v4.1 MKVETFINSWPLSTPNNNPLSFSPLSLPLQLYPIFSHWCNSLKEAVQEIYYTAKREGLGMAREQLVVLNALDLAKTQWYHFTAIVIAGMGFFTDAYDLFCISLVTKLLGRIYYTKPGAPKPGTLPPNVAAAVNGVALCGTLAGQLFFGWLGDKMGRKKVYGITLVLMVLCSLASGLSFGSSANGTIATLCFFRFWLGFGIGGDYPLSATIMSEYANKKTRGAFIAAVFAMQGFGILAGGIVALVVSAAFDHRFKTPSYHENPAASLAPQADYIWRIILMFGAVPAGLTFYWRMKMPETARYTALVAKNAKQAASDMSKVLNVEFEVEEEKIQRIAQEPANSFGLFSKEFAKRHGLHLLGTTSTWFLLDIAFYSQNLFQKDIFSAINWIPPAATMNAIDEVFRIAKAQTLIALCSTVPGYWFTVALIDHLGRFFIQMMGFFFMTVFMFAIAIPYHHWTLKPNRIGFVVMYSLTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAAAGKAGAIIGAFGFLYAAQSTDPKKTDAGYPPGIGVRWSLIVLGIVNFVGMLFTLLVPEAKGKSLEELSGENGDENDGEKQAASARMASV >Potri.012G020800.1.v4.1 pep chromosome:Pop_tri_v4:12:2189452:2191350:-1 gene:Potri.012G020800.v4.1 transcript:Potri.012G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020800.v4.1 MFIMNLSSPILVHFITIVVFLVIIRFLAKTSFLHILARCWRSFEDKFYVYQIFKVPQFNDLSLENQLYHKVSTYLTSLPAIEDSDFTNLFSGSKANDIILHLDKNQVIHDSFLGARVHWSNEKYCEGNGKRTLVLKLRKKDKRMILRPYLQHILSVADQVEQKSKEIKLFMNLEKNPYENGRWRSVPFTHPATMDTMIMDGDLKNKVKADLELFLKSKQYYHRLGHVWKRSYLLYGASGTGKSSFIAAMARFLNFDVYDINISKVSGDSDLKMLLLQTTSRSMIVIEDFDRFLTEKSRDVSLSGVLNFMDGIVSCCGEERVMVFTMNCKDQIDQAVLRPGRVDVHIQFPLCNFSAFKSLANNYLGVKEHKLFSQVEEILQYGGSSLSPAEIGEIMISNRNSPTRALKSVISALQSQTNSGDLRGPSKVGQRMTGSRSARSSRDETGETGGVFSQESSVHAVREFKTLYGLWRMGSRRKESMDLSSSAEKEESQQAA >Potri.011G064000.1.v4.1 pep chromosome:Pop_tri_v4:11:5441393:5446998:1 gene:Potri.011G064000.v4.1 transcript:Potri.011G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064000.v4.1 MDSAIQIGSTAHHNSASAPAPAPVPAHACSGTLGSHLARRLVEIGVRDVFSVPGDFNLTLLDHLIAEPELNLIGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGACSENLPVICIVGGPNSNDYGTNRILHHTIGLPDFSQELRCFQTVTCIQAVVNNLDDAHEQIDTAISTALKESKPAYISISCNLPGIPHPTFARDPVPFFLAPKVSNHLGLEAAVEATAEFLNKAVKPVIVGGPNLRVAKAQKAFLEFADASGYPIAVMPSGKGLVPEHHPHFIGTYWGAVSTGFCGEIVESADAYVFVGPIFNDYSSVGYSLLIKKEKAVIVQPNRVTIGNGPSLGWVFMTDFLSALAKKLKKNSTALENYRRIFVPPGIPLKREQDEPLRVNVLFKHIQDILGGDTAVIAETGDSWFNCQKLRLPENCGYEFQMQYGSIGWSVGATLGYAQAARDKRVIACIGDGSFQVTAQDISTMIRCGQRTIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVNAIHNGEGKCWTAKVRTEDELTAAIATATGEQKDSLCFIEVFVHKDDTSKELLEWGSRVSAANGRPPNPQ >Potri.001G152550.1.v4.1 pep chromosome:Pop_tri_v4:1:12782384:12783317:1 gene:Potri.001G152550.v4.1 transcript:Potri.001G152550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152550.v4.1 MPHDVVLDPTVHHLFAKDRFNRGKSSRSWPLYPRCGHDLSPPRCPPFVEAGTPDLLWLYKSQRKAWKRGEDFGGETQKNRAINPEKQSTQNTTQKKYRVKNGKLEPKQKQKPRSKEIIHREKERKGIQYTQRIVPAIAFISADVPA >Potri.008G014600.3.v4.1 pep chromosome:Pop_tri_v4:8:736271:741945:-1 gene:Potri.008G014600.v4.1 transcript:Potri.008G014600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014600.v4.1 MATERALLIPSPRTPNITQDLPSLPVSSNSEVKVNLDNPRLVSGMDSQNPTESSSSYEISLKSASRRSLSSNPSRASRGNSIGAGSFRDLGSKPVMLGSRRGDSEVFSASQKEISDEDARLVYLNDPAKSNERFEFTGNSVHTAKYSLISFIPRNLFEQFHRVAYVYFLIIAVLNQLPQLAVFGRTASILPLAFVLLVTAVKDAFEDWRRHMSDRIENSRLAWVLVNDQFQEKKWKDIQVGEIIKIQANDTLPCDMVLLSTSDSTGVAYVQTINLDGESNLKTRYAKQETLSKIPEKEKISGLIKCEKPNRNIYGFQANMDIDGKRLSLGPSNIILRGCELKNTSWAIGVAVYCGRETKAMLNNSGASSKRSWLETRMNSEIIVLSVFLIALCTVVSISAAVWLGRHRDELDTIPFYRRKRFNEADPKNYNYYGWAAEIVFTFLMSIIVFQIMIPISLYISMELVRVGQAYFMIRDTQMYDEASNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASVWGVDYSDGKANTQNQQARYSVKVDGKVVRPKMTVKVDPQLLELSRSERDTEEIKHVHDFFLALAACNTIVPLIVEDKSDPTMKLMDYQGESPDEQALAYAAAAYGFMLVERTSGHIVIDIHGERQRFNVFGLHEFDSDRKRMSVILGCPDSTVRVFVKGADSSMLSVIDRSLNKNVIQTTKGHLHAYSSLGLRTLVIGMRDLSESEFEEWHFSFEAASTAVVGRAALLRKVAGNVEKSLTILGASAIEDKLQKGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTNKMTQIIINSNSRQSCRKCLEDALVMSKNLGTVSETSDNTGTSSEAARSLVALIIDGTSLVYILDSELEAQLFQLASTCSVVLCCRVAPLQKAGIVALVKKRTTDMTLSIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVFVLFWYALFACFTLTTAINEWSSMLYSIIYTSLPTIVVAIFDKDLSRRNLLQYPQLYGAGQRQEAYDRKLFWLTMSDTLWQSVVVFFVPLFAYWASTIDVPSIGDLWTLAVVILVNLHLAMDIIRWNWIFHAVIWGSIVATFICVMILDAFPMFAGYWAIFNIMGEGSFWVCLFIIIIAALLPRFVVKVLYQYFTPDDIQIAREAEKFGNLRDIPVEVEMNPIMEPSSPRR >Potri.008G014600.2.v4.1 pep chromosome:Pop_tri_v4:8:736271:742046:-1 gene:Potri.008G014600.v4.1 transcript:Potri.008G014600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014600.v4.1 MATERALLIPSPRTPNITQDLPSLPVSSNSEVKVNLDNPRLVSGMDSQNPTESSSSYEISLKSASRRSLSSNPSRASRGNSIGAGSFRDLGSKPVMLGSRRGDSEVFSASQKEISDEDARLVYLNDPAKSNERFEFTGNSVHTAKYSLISFIPRNLFEQFHRVAYVYFLIIAVLNQLPQLAVFGRTASILPLAFVLLVTAVKDAFEDWRRHMSDRIENSRLAWVLVNDQFQEKKWKDIQVGEIIKIQANDTLPCDMVLLSTSDSTGVAYVQTINLDGESNLKTRYAKQETLSKIPEKEKISGLIKCEKPNRNIYGFQANMDIDGKRLSLGPSNIILRGCELKNTSWAIGVAVYCGRETKAMLNNSGASSKRSWLETRMNSEIIVLSVFLIALCTVVSISAAVWLGRHRDELDTIPFYRRKRFNEADPKNYNYYGWAAEIVFTFLMSIIVFQIMIPISLYISMELVRVGQAYFMIRDTQMYDEASNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASVWGVDYSDGKANTQNQQARYSVKVDGKVVRPKMTVKVDPQLLELSRSERDTEEIKHVHDFFLALAACNTIVPLIVEDKSDPTMKLMDYQGESPDEQALAYAAAAYGFMLVERTSGHIVIDIHGERQRFNVFGLHEFDSDRKRMSVILGCPDSTVRVFVKGADSSMLSVIDRSLNKNVIQTTKGHLHAYSSLGLRTLVIGMRDLSESEFEEWHFSFEAASTAVVGRAALLRKVAGNVEKSLTILGASAIEDKLQKGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTNKMTQIIINSNSRQSCRKCLEDALVMSKNLGTVSETSDNTGTSSEAARSLVALIIDGTSLVYILDSELEAQLFQLASTCSVVLCCRVAPLQKAGIVALVKKRTTDMTLSIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFSMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVFVLFWYALFACFTLTTAINEWSSMLYSIIYTSLPTIVVAIFDKDLSRRNLLQYPQLYGAGQRQEAYDRKLFWLTMSDTLWQSVVVFFVPLFAYWASTIDVPSIGDLWTLAVVILVNLHLAMDIIRWNWIFHAVIWGSIVATFICVMILDAFPMFAGYWAIFNIMGEGSFWVCLFIIIIAALLPRFVVKVLYQYFTPDDIQIAREAEKFGNLRDIPVEVEMNPIMEPSSPRR >Potri.008G048100.2.v4.1 pep chromosome:Pop_tri_v4:8:2813675:2823270:1 gene:Potri.008G048100.v4.1 transcript:Potri.008G048100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048100.v4.1 MSWLRSAVSKAVEVGNNNNLTRAVKTYADSVVHQAGQAVAEGAKILQDRIGNRNYKSAKQTAKKLEDAAVSCRGLERVLLLRRWVVVLKQFEKLSGGFAEDKQTPVEQNVGPDVSSGSPRKTSLPMVLYYDSDVGGEPMTFRDVFFQSQALEGISMSMILEAPNEEEISLLLEVFRLCLTGGQEVHNAIVSSIQDLASAFASYQDEVLVKREELLQFAQDAITGLKINTDLARIDAEAKVLKNKLDGSMHSDKPSIEDQEKVSDEKAKATIKALKEALAQIRICSRLEGLLLKKKTLSLGDSPEIHAQKVDKLKVLSESLASSTSKAEKRILDHRLQKEEALKVRVAKADEANEKEKEIVAEISVLEKQRDELEAELKKVNISLAAANARLRNAREERDQFVEANSQIVEHLKTKEDEVSKSIAACKVEADILTTWLNFLEDTWVLQRSYSEAKEKQVNDELERHEDYFVKLAIHLLSEYKKELEPSIICIEKFVESLKNLSGGLEIASSVGNEDSKELNPRKNLEKEFLDCEAKIITTFSVVDNIREQFYAQKGASSRKDDTSVKELFDDIEKLRVEFESIERPNLELEAPTPKADNSSEKALGSPSHTSSQNVTTLKSNIDNHPTEPAVEAGEVLDPAAELAKLESEFGKDAQDYSTEEIGDWEFDELERELRSGDTATKN >Potri.001G386900.1.v4.1 pep chromosome:Pop_tri_v4:1:40594760:40598693:-1 gene:Potri.001G386900.v4.1 transcript:Potri.001G386900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386900.v4.1 MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTFRKGCKPVERMDILGVSATTSPCSSYHPSPCASYNPSPGSSSFPSPASSSYAANANMDCNSLIPWLKNLSSASSSASSSKFPHLYIHGGSISAPVTPPLSSPTARTARIKADWEDQSIRPGWGGQHYSFLPSSTPPSPGRQIVPDPEWFRGVRMPQGGPTSPTFSLVASNPFGFKEEAFGGGGSNGGSRMWTPGQSGTCSPAIAAGSDHTADIPMAEISDEFAFRCNATGLVKPWEGERIHEECGSDDLELTLGNSRTR >Potri.001G386900.2.v4.1 pep chromosome:Pop_tri_v4:1:40594763:40598693:-1 gene:Potri.001G386900.v4.1 transcript:Potri.001G386900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386900.v4.1 MTSGTRLPTWKERENNKRRERRRRAIAAKIFSGLRMYGNYKLPKHCDNNEVLKALCNEAGWTVEPDGTTFRKGCKPVERMDILGVSATTSPCSSYHPSPCASYNPSPGSSSFPSPASSSYAANANMDCNSLIPWLKNLSSASSSASSSKFPHLYIHGGSISAPVTPPLSSPTARTARIKADWEDQSIRPGWGGQHYSFLPSSTPPSPGRQIVPDPEWFRGVRMPQGGPTSPTFSLVASNPFGFKEEAFGGGGSNGGSRMWTPGQSGTCSPAIAAGSDHTADIPMAEISDEFAFRCNATGLVKPWEGERIHEECGSDDLELTLGNSRTR >Potri.008G121900.1.v4.1 pep chromosome:Pop_tri_v4:8:7920252:7922332:-1 gene:Potri.008G121900.v4.1 transcript:Potri.008G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121900.v4.1 MEEGKSGEKKKVMVAIDESENSHYALEWALDKLRETIADSDVIIFTAQPNSDLGYVYASTLGVASMDLITSIQENHKKVASFLLDKAKDICAKYGIVAETVTEIGDPKYAICEAVEKLNIELLVLGSHNRGPVQRAFLGSVSNYCVNNAKCPVLVVKKPAV >Potri.001G097400.1.v4.1 pep chromosome:Pop_tri_v4:1:7702994:7708469:1 gene:Potri.001G097400.v4.1 transcript:Potri.001G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097400.v4.1 MGNCNSLASSSSTTTNHRNPPPSNGGIKVLPPNASPPPRSQFLHHSSAAVGRVLGRPMEDVRNTYTFGREVGRGQFGVTYLVTHKETKQHFACKSIAKRKLINRDDIEDVLREVQIMHHLTGHRNVVELKGAYEDRHSVNLIMELCEGGELFDRIITKGHYSERAAANLCRQIVTVVHNCHTMGVIHRDLKPENFLFLSTHEDSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRNYGAEVDIWSAGVILYILLSGVPPFWGETEQAIFDSILRGHIDFSSDPWPSISSSAKDLVKQMLRADPKERISAVEVLNHPWMREDGASDKPLDIAVLTRMKQFRAMNKLKKIALKVIAENLSEEEIMGLKEMFKSMDTDNNGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDKDKSGYITMEELEQALMKYNMGDSKTIKEIIAEVDTDHDGRINYEEFVAMMRKGNPELASNRRRK >Potri.019G118800.1.v4.1 pep chromosome:Pop_tri_v4:19:14480761:14482321:-1 gene:Potri.019G118800.v4.1 transcript:Potri.019G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118800.v4.1 MVRAPYFDKNGVKKGAWSEEEDDKLREHIEKYGLWNWREIPKFAGLSRCGKSCRLRWMNYLRPDVKHGNYTKEEEDLILKLHEKHGNKWSIIAAKLPGRTDNEVKNYWHAHLKKRTVEKQNTLVLKEKSSGFTSESEGSQMNKEMEAKTVVSYTPSNPILESTPLSPETSCSELSNLSTDFAPKLPVSAGTNRNNIAEDVLSSVPTFDESIGNFWTEPFVADSAYDQDNFPGLSFYQEEPFVSYYDDGIDFYYEMMQELPGNN >Potri.011G009100.2.v4.1 pep chromosome:Pop_tri_v4:11:854668:855132:-1 gene:Potri.011G009100.v4.1 transcript:Potri.011G009100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009100.v4.1 MKFLKLLFLLAMLISFSAITLSATPTEEVSFPDHFDFEDKENSGHLQTESQETTSSLRGTNRFLAQTRAFMTCDKNPRVCQVQGSPGPDCCKKMCVNQMTDWFNCGKCGKKCRYTEICCEGQCVNPMYSKNHCGGCNNECKKGSVCQYGMCSYA >Potri.018G126500.4.v4.1 pep chromosome:Pop_tri_v4:18:13766053:13770314:-1 gene:Potri.018G126500.v4.1 transcript:Potri.018G126500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126500.v4.1 MATIEEKNQLISTPENQMATLEEKNRLITPPEYQMVTLEEKNQLVAALEYQMTTLEENSRSTHGPETQPSKRRKRKSMVWEHFTIETLSSDCRRAFCKQCRQSFAYSMGSKVSGTSHLKRHIAKGTCLALLNNQGNRQTPGSPTMNGNSNMSDPPRRRCRSRNSASISFDLDHFRQKIARMMIMHDYPLHMVEHPGFVNFVKSLEPRYDMMSFNTVQGDCISGYLREKQKVMKFIECLPGRVCLTLNVWTSSQSLSYVFITGHFIDGDWKPQRRILNVLMEPYPNSDAALSHAVASCLSDWSLEGKLFSITFNHPVGEPGRENLRSLLCVKDPLIINGQLLFGNCSAHALSSFAKDVLWEGREIIKKVRYSVKYVKTTESHEQKFRELKQQLQVPSEKGLSLDNQAQWNTTYQMLVAASELKEVFSCLDTSDPNYKEAPSMEDWKRVGIMCTYLKPLFDAANFLASRTGPTQNTFFHEVWKMHDLYHSITSHGDPFVIGLAEIMQEKMDKYLKECILALAIVVVMDPRFKMKLIEFSFLRFYGEEAGKYVRIIDGGLHKLFLEYVRLPLPLTSAYAEDGNFENNTKTEEISDNGLTDCDVYVETTSQNTKSELEQYLEESLLPRHQEMDVLKWWEENKLKYPTLSKMARDILTMQVSTAEPDTVFDTEIKELDSYRSSLRPETVEALVCAKDWLRYGSEASTEVSNALAEVKGLGS >Potri.018G126500.2.v4.1 pep chromosome:Pop_tri_v4:18:13766053:13770314:-1 gene:Potri.018G126500.v4.1 transcript:Potri.018G126500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126500.v4.1 MATIEEKNQLISTPENQMATLEEKNRLITPPEYQMVTLEEKNQLVAALEYQMTTLEENSRSTHGPETQPSKRRKRKSMVWEHFTIETLSSDCRRAFCKQCRQSFAYSMGSKVSGTSHLKRHIAKGTCLALLNNQGNRQTPGSPTMNGNSNMSDPPRRRCRSRNSASISFDLDHFRQKIARMMIMHDYPLHMVEHPGFVNFVKSLEPRYDMMSFNTVQGDCISGYLREKQKVMKFIECLPGRVCLTLNVWTSSQSLSYVFITGHFIDGDWKPQRRILNVLMEPYPNSDAALSHAVASCLSDWSLEGKLFSITFNHPVGEPGRENLRSLLCVKDPLIINGQLLFGNCSAHALSSFAKDVLWEGREIIKKVRYSVKYVKTTESHEQKFRELKQQLQVPSEKGLSLDNQAQWNTTYQMLVAASELKEVFSCLDTSDPNYKEAPSMEDWKRVGIMCTYLKPLFDAANFLASRTGPTQNTFFHEVWKMHDLYHSITSHGDPFVIGLAEIMQEKMDKYLKECILALAIVVVMDPRFKMKLIEFSFLRFYGEEAGKYVRIIDGGLHKLFLEYVRLPLPLTSAYAEDGNFENNTKTEEISDNGLTDCDVYVETTSQNTKSELEQYLEESLLPRHQEMDVLKWWEENKLKYPTLSKMARDILTMQVSTAEPDTVFDTEIKELDSYRSSLRPETVEALVCAKDWLRYGSEASTEVSNALAEVKGLGS >Potri.018G126500.3.v4.1 pep chromosome:Pop_tri_v4:18:13766053:13770314:-1 gene:Potri.018G126500.v4.1 transcript:Potri.018G126500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126500.v4.1 MATIEEKNQLISTPENQMATLEEKNRLITPPEYQMVTLEEKNQLVAALEYQMTTLEENSRSTHGPETQPSKRRKRKSMVWEHFTIETLSSDCRRAFCKQCRQSFAYSMGSKVSGTSHLKRHIAKGTCLALLNNQGNRQTPGSPTMNGNSNMSDPPRRRCRSRNSASISFDLDHFRQKIARMMIMHDYPLHMVEHPGFVNFVKSLEPRYDMMSFNTVQGDCISGYLREKQKVMKFIECLPGRVCLTLNVWTSSQSLSYVFITGHFIDGDWKPQRRILNVLMEPYPNSDAALSHAVASCLSDWSLEGKLFSITFNHPVGEPGRENLRSLLCVKDPLIINGQLLFGNCSAHALSSFAKDVLWEGREIIKKVRYSVKYVKTTESHEQKFRELKQQLQVPSEKGLSLDNQAQWNTTYQMLVAASELKEVFSCLDTSDPNYKEAPSMEDWKRVGIMCTYLKPLFDAANFLASRTGPTQNTFFHEVWKMHDLYHSITSHGDPFVIGLAEIMQEKMDKYLKECILALAIVVVMDPRFKMKLIEFSFLRFYGEEAGKYVRIIDGGLHKLFLEYVRLPLPLTSAYAEDGNFENNTKTEEISDNGLTDCDVYVETTSQNTKSELEQYLEESLLPRHQEMDVLKWWEENKLKYPTLSKMARDILTMQVSTAEPDTVFDTEIKELDSYRSSLRPETVEALVCAKDWLRYGSEASTEVSNALAEVKGLGS >Potri.007G091700.1.v4.1 pep chromosome:Pop_tri_v4:7:11735305:11738819:1 gene:Potri.007G091700.v4.1 transcript:Potri.007G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091700.v4.1 MKQEALTLVLVNFAGIMQRADESLLPGVYKEVGAALHTDPTGLGSLTLFRSVVQSSCYPLAAYLAVHHNRAHVIALGAFLWAAATFLVAISSTFLQVAISRGLNGIGLAIVIPAIQSLVADSTDESNRGMAFGWLQLTGNLGSIIGNLCSVLLATTSFMGIAGWRVSFHLVGIISVIVGVLVYLFAKDPHFSDTDGRAKDKTPQTFISEFKDLIKDAKSVVKIPTFQILVAQGVSGTFPWSGLSFAPMWLELIGFSHKQTASLLNIFVIGGSFGSLFGGRMGDILAKRLPNSGRIMLSQISAGSSIPLAGILLLVLPYDPSTAFKHGLVFFTMGLCTSWNAPATNNPIFAEIVPERSRTTIYALDNSFESVLSSFAPPIVGILAQRLYGYKVPKTSSDSVKVETDRENAESLAKALFMSFVIPMSICVFIYSFLYWSYPRDRERAKMNALIESEMQQVEAQDSPLGEEYSQLHLSESKGLDGKETAETDVEYGKIDRLDFDDDSDDKALLSIN >Potri.009G166666.1.v4.1 pep chromosome:Pop_tri_v4:9:12728674:12732801:1 gene:Potri.009G166666.v4.1 transcript:Potri.009G166666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166666.v4.1 MDDHRSSTPNSTFETFSGNVTNWDFMDEILYQGWFEKDGGFNFLQQGRLSTSNVLHDPSLYIPVTGTPNWSTASNQQDYQEETERHCHGNPPLDYSNSTDLVQTELQVEDSVETSTPFAHPASCRVEAAGLGCEWQMVQRVNPGTSCSVKERVLQAVGYLKNCIQDRDILIQIWLPMEKEGKRVLATIDQPYFVDPSCKSLASYRKVSTAYHFQAEEDAKCSVGFPGRVFLEKLPEWTPDVRLFRREEYPHKDHAVQHNIRGSLALPLFKQGSETCLGIVEIATTIQKISYRPELQDICKVLQAVDLRSSEDFCSPGVETCNRLNRAAVPEISDIVKSVCKTYRLPLALTWALCSRQGKSGRQQFPERFSSCISTVDSACFLADRGFSGFHMASFEQYLFLGQGIVGRAFTTQKQCFTNDITSFSKKDYPLAHHAKIFGLHAAIAIPLRSISTGLVEFVLEFFLPKDCQDTEEQKEMWDLLPISIKQVCWSLQVVMDKELDQGENQSFVSSPSKEPPRDESSWIAWMVEAQKKGKSCCITWDYPKEPKEEFKMITPWDDSEEELDNKQVISELGQLQQNPRPNSCIEGDGVSSAFGGCRSLGSRKTGKKRRTKTAIQTISLEVLRRYFAGSLKDASQSLGVCSTTLKRICRQHGIKRWPSRKINKVGHSLRKLQQVIDTVQGAKGAVQIESFYSAFPELSSPKISSHAPYSSLRSDNSKHLDSPPDDSSPSGTASKSHSSPCSGKSCSSNCCSARAQQHDATTITVSSNGNGALLAETSNGILKRTCSSELAEFHSMNNHGDPDFLVRSQIHKTRTVSGHIHQSELGSPRFAQSLREGGVFGVKAIFGVEKVRLGLQPNWGLRDLQQEIGKRFEIDDFTDIGLNYMDDNGEWVRLTCDGDLEECKEIHIFSQRNTMKISCHDPNLVSMTSNTGATL >Potri.009G011700.1.v4.1 pep chromosome:Pop_tri_v4:9:2173206:2180119:1 gene:Potri.009G011700.v4.1 transcript:Potri.009G011700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011700.v4.1 MKRVFGAAARRSLSLLNTNKKKLPHPPPPSAPSFSTASTSFLFDDTQLQFKESVSQFAQENIAPHASTIDQSNYFPKEVNLWKLMGDFNLHGITAPEEYGGLGLGYLYHCVAMEEISRASGSVGLSYGAHSNLCINQLVRNGNPAQRQKYLPKLISGEHVGALAMSEPNAGSDVVSMKCKADRVDGGYIINGNKMWCTNGPVAQTLVVYAKTNVTAGSKGITAFIIEKGMPGFSTAQKLDKLGMRGSDTCELVFENCFVPEENVLGQEGKGVYVMMSGLDLERLVLAAGPLGIMQACLDVVLPYIRQREQFGHPIGEFQFIQGKIADMYTSLQSSRSYVYSVARDCDSGRIDPKDCAGVILCAAERATQVALQAIQCLGGNGYVNEYSTGRLLRDAKLYEIGAGTSEIRRMIIGRELFKQ >Potri.005G126200.1.v4.1 pep chromosome:Pop_tri_v4:5:9480563:9482850:1 gene:Potri.005G126200.v4.1 transcript:Potri.005G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126200.v4.1 MGKGGGCVPSKKKQPPVSDDPVSSREAAPIPVHDDETIDVATTSLEPRIPSKLKIFIVFYSMYGHVEGLAKRMKKGVDGVEGVEAFLYRVAETLSDDVLMKMKAPGKDVGIPEITAAELVNADGVLFGFPTRYGCMAAQMKSFFDSTGQLWKEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLTHHGMLFVPVGYTFGAGMFKMDTVRGGSPYGAGVYAGDGSREANETELALAEHQGKYMATIVKRLAQT >Potri.014G056800.1.v4.1 pep chromosome:Pop_tri_v4:14:3611397:3616202:1 gene:Potri.014G056800.v4.1 transcript:Potri.014G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G056800.v4.1 MQARPYHTAPTSFPSPSKLPRFHRTPSPAKIKIPRVISISTPDTADHASSRTSLDTIDDHVAAFWDYQFLFVSQRSETTEAVTLRVVDGAIPSNFPSGTYYLTGPGLFTDDHGSTVHPLDGHGYLRAFNIDGVSREVKFMARYVKTEAQVEEHDPETNTWRFTYRGPFSVLKGGKRLGNTKVMKNVANTSVLRWGGKLLCLWEGGDPYEIELGTLDTTGRFDMINGRDSSMDNRSNGGDTWDIAAGLLKPILHGVFKMPPKRLLSHYKLDAKRNRLITASCNAEDMLLPRSNFTFYEYDENFKLLQRQEFNIPDHLMIHDWAFTDTHYILFANRIKLDVIGSMTAICGLSPMISALSVNPSKSTSPIYLLPRFPEKSPSNRNWRVPVEAPSTMWLLHVGNAYEAKDVHGNLQIQIHASICSYQWFNFQKLFGYNWKNAKLDPSVMNVKEGGDELLPHLVQVSINLDADGTCQESSVEPLNQWSKPADFPVINPDFSGNKNKYVYAASSSGSRQTLPHFPFDMVVKLNLLDKSIHTWTVGARRFIGEPIFVPKGREEDDGYLLVVEYAVAIQRCYLVILNPKRIGKADALVARLEVPRHLNFPLGFHGFWANGS >Potri.018G103600.1.v4.1 pep chromosome:Pop_tri_v4:18:12337186:12342291:-1 gene:Potri.018G103600.v4.1 transcript:Potri.018G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103600.v4.1 MGNTSSMLTQYDIEEVQQHCNHTFSQQETVSLYQRFCQLDRNGCGFVPAEEFLSVPEFAVNPLSQRLLRMVDGLNFKEFVAFLSAFSPRAPLQHKIEFIFKVYDSDGNGKVTFSDMLDVLHDLTGQFVSEQQRKQVLTCVLEEAGYSKDSFLVLSDFVKILGNSDLKMEVEVPVD >Potri.008G068800.2.v4.1 pep chromosome:Pop_tri_v4:8:4165474:4169505:-1 gene:Potri.008G068800.v4.1 transcript:Potri.008G068800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068800.v4.1 MAELTHPEVVYSPRSIQLWRALWNWLAFFFQIFLQILRAVGPRSLSTPSHTFKPLPLGELPETTDPPPATVEIPAGTDAISANEPIQKLTVVLDLDETLVCAYETSSLPALLCNQAMEAGLKWFELECVSSDKECEGKLKINYVTVFERPGLDEFLKQLSEFAELVLFTAGLEGYARPLVDRIDTENRFSLRLYRPSTTSTEYQEHVKDLSCISNDPCRVVIVDNNPFSFLLQPLNGIPCVPFSAGQPHDTQLLDVLLPLLKHLSQQNDVRPVLSERFHMLEWFQKQGIPASGWT >Potri.001G078800.1.v4.1 pep chromosome:Pop_tri_v4:1:6268354:6272960:-1 gene:Potri.001G078800.v4.1 transcript:Potri.001G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078800.v4.1 MAEESKSLQYTPTWVIAAVCFVIVLASIFAERGLHKLGKFLRNTEQDALFEALQKLKEELMLLGFISLLLTVTQNTISRICIPPQLAITMLPCKRETESSNHEKIYNQAINNRRHLLSATNSAERCAREGKVPLVSVEALHQLHIFIFVLAIVHVIFCVSTMILGGARIRQWKTWEDSIRHPSETFRDQAKHQHEHHYFHKFIKKHEKGYWRKSAVLSWLIAFFKQFYHSITKSDYIALRKGFITAHCPHVLNFDFHNYMMRTLQIDFKRIVTISWYLWLFVVMFLLMNVEGWHSFFWLSFLPVILLLLVGAKLEHIITSLGHRVAEKTVPIDEARVQPSDEHFWLEKPAIVLDLIQFILFQNSFEIAFFFWIWSTYGFRSCIMERVGYIVPRLIMGLVVQVLCSYSTLPLYALVSQMGTSFRKGMFGQDVEAAIGIWAGGAKDKRDPSENHGARMHKLATESSHSAAQEMVIDGGTELSSVTQAPVS >Potri.011G140800.1.v4.1 pep chromosome:Pop_tri_v4:11:17136075:17138200:-1 gene:Potri.011G140800.v4.1 transcript:Potri.011G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140800.v4.1 MASDQVTLLDFWPSPFGMRVRLALAEKGVKYEYSEEDLRNKSALLLQMNPVNKQIPVLVHNGKPVCESLIIVQYIDEVWKDSAPLLPSDPYQRAQSRFWADFVDKKIYDLGRKIWTKKGEEQEAAKKDFIDSLKLMEGELGDKPYFGGETIGYVDIALVPFYSWFYAYETIGNFNIEAECPKMIAYCKRCLQKETVSKALEDPQKVYDFVLMLMKKFGIE >Potri.001G236200.1.v4.1 pep chromosome:Pop_tri_v4:1:25455724:25456701:1 gene:Potri.001G236200.v4.1 transcript:Potri.001G236200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236200.v4.1 MAQRIGLQLFVSRLSSYTTNHELKRLFSPFGAVSEGKRRFHLLSITFHSLSVLFLNKYFLIYVVCVARLVVESKTLRPKGFGFVTFESEADAHKALKAMNGRVFSYFLLCFFLNVFLMATKLSCRTFSLFLVFVNSVILHGFLAQTL >Potri.006G258500.2.v4.1 pep chromosome:Pop_tri_v4:6:25508881:25510380:1 gene:Potri.006G258500.v4.1 transcript:Potri.006G258500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258500.v4.1 MGRTRKIPMAKRETAEQRSVTFTKRRQGLFNKAADLCRICDAQIAIMVSSTGSKDKVYSFGHSSVDAVFDRFLDNFTAAPEAVAYEAGIKSASNSFYEEIKALEGDVNTLMQNKKRNVGGVLWDALEEIEQSNTSVEELQDVVDILESLLGQAKNKLMNNATANLGVSIAVEPKSDNFLALEPKPRDDSSSSLGGDQVGQNSAIVGDNGANYSDSYWNADGSTTDSCMDFPVEVDVDLIWNLLESSDFSSGSDKVISMSNSSDCSTSGTGSESASGSQENEDNVFPATNLDSELFKDMELVDCGTYNTTPDPGSGDIDQHYAMDGDCNAKQHDSATDYGFMDLIGEFGYCLTDQLGLPLLAAVANQFEDWTNYYQ >Potri.012G136700.1.v4.1 pep chromosome:Pop_tri_v4:12:15057020:15062565:1 gene:Potri.012G136700.v4.1 transcript:Potri.012G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136700.v4.1 MLNQSPNKMTLPPIISRWFSDLDWKPLLLIIPPLSLLLFFSISLTPINPFSTLSPLASRFFNKTTLITLPSTSPTTNLSSTAHPSSPPVNPSDSTQWKDELDRSRMAVCLVGGARRFELTGPSIVKNILQVYPNSDLFYTAILITMLSNSLS >Potri.017G016900.1.v4.1 pep chromosome:Pop_tri_v4:17:1247746:1248541:1 gene:Potri.017G016900.v4.1 transcript:Potri.017G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016900.v4.1 MNSHLHHQSQSQGNRPLKPRKKRLARDQLNLLETSFNANQKLKAEHKTELARQLGVPPKQVAIWYQNRRARHKNDAIEHDYMNIQLELGNVLAENIRLEKQVSMLKFELNKVQQMILFGPTSSAATLPSVSGSSDEQANSSSPGNMICNWGDAGNDDMFPVEELYTCLIGSDTQLWPLN >Potri.010G108900.2.v4.1 pep chromosome:Pop_tri_v4:10:12958769:12962129:-1 gene:Potri.010G108900.v4.1 transcript:Potri.010G108900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108900.v4.1 MDPSKPGASALEIDTKPQPAYPPPETGIPVGHASQYINIPVGHATLYINENPQHPHHHQQRQHGQQARWSSGLCDCCSDVPSCCLTYWCPCITFGRIAEITDKGTTPCAVSGAIYGLLLCFTCCSCLYSCLYRSKLRTQYMLEESRCNDFLVHCCCESCALCQEYRELKHRGFDMASGWQESLQGPRGTVAPSAPVVGQGMTR >Potri.011G029201.1.v4.1 pep chromosome:Pop_tri_v4:11:2222189:2225177:1 gene:Potri.011G029201.v4.1 transcript:Potri.011G029201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029201.v4.1 MGSKTIVSLLFHVIIISITLTGAEVCYNTGNFTANSTYAKNRDLVLRSLASNVTANGGFYNTTIGLGNDTVYGLVFCMASASAEICSSCVNSAIQTLMAACPNQKEAISWGGNPLPCIVRYANRYFFRSLEPSPTSALYNTGILDATSRQFDQFWSGLGETVRNASTGSSRLMPAVETADLPSTQKIYVFMQCTPDVSPSNCSVCLQQSVDYYTSCCYGHQGGIVLKPNCVFRWDLYPFYDLFPQVTSPPPSPSPSPPSPPFVISSPPPTNTTIRKGKENTASRTVIVTIVPTSIFLALVILILTIFRFRKPKQEVKNFDENSSTKCWEFKFATIKLATNDFSDDNKLGQGSFGAVYKGILADGQAIAVKRLSSNSGQGAVEFRNEVGLLAKLAHRNLVRLLGFCLEGTEKLLIYEFVPNSSLDQFIHDPNKRLVLDWEKRKKIIEDIARGIVYLHQDSQLWIIHRDLKPGNILLDGNMNAKISDFGMAKLMKTDQTHDATSRIARTFGYIAPEYAWKGQFSVKSEVFSFGVLVLEIVSGQKPSFRNGDDMEHLTSHAWRRWREGTALDLIDPILRNDSTAAMMRCIHIGLLCVQENVADRPTMASVVQMLSNSSLTLQTPFEPASSLSYTSTMEQSQLKIIPLSKNEISITELDPR >Potri.002G165100.1.v4.1 pep chromosome:Pop_tri_v4:2:12630673:12631593:1 gene:Potri.002G165100.v4.1 transcript:Potri.002G165100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165100.v4.1 MAKREQVRPLAPAAERRCSDEEGASKHHKKRSRKCVKCCVFVTAIFVILVTAIIVLRFTVFRVKDPVITMNSFTITKLELSNGTTPKPGVNITLIADVSVKNPNVASFKYSNTTTTLYYDRQIVGEARNGPGHARARRTMRMNVTVDIIPDRIMSNPNLNADMSSGILSMTTYTRVPGRMKIVIVKRNIVVKMTCSITLNITSQQIQTQKCKRKVDF >Potri.003G099300.3.v4.1 pep chromosome:Pop_tri_v4:3:12471158:12477548:1 gene:Potri.003G099300.v4.1 transcript:Potri.003G099300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099300.v4.1 MSRYNSDPNPFDEEEEVNPFSKGAVAPASKARIPPLGHEAMGFGHNDATVDIPLDTMNDSKKKGKDLASWEADLKRREKEIKRREDAVAKTGITPNDKNWPPFFPIIHHDIANEIPIHAQRIQYLAFASWLGIVLCLVFNVIAVTVCWIRGGGVKIFFLAIIYALMGCPLSYVLWYRPLYRAMRTDSALKFSWFFVFYLIHIGFCIFAAIAPPIVFHGKSLTGILPAVDVFSDHVLVGIFYLVGFGLFCLESLLSLWVLQKIYMYFRGNK >Potri.001G324800.1.v4.1 pep chromosome:Pop_tri_v4:1:33383147:33390900:-1 gene:Potri.001G324800.v4.1 transcript:Potri.001G324800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324800.v4.1 MKSKLRGFRLRRSEPKDKIDFLPPAQLDELAQAAQDMKDMKNCYDSLLSAAAATANSAYEFSESLREMGSCLSEKTALHDDEGSGRVLLMLGKVQFELQKLLDSYRSHIFLTITNPSESLLNELRTVEDMKRQCDEKRNVYEYMVAQQKDKGRSKGGKDESITLQQLQTAREEYDEEATLCVFRLKSLKQGQSRSLLTQAARHHAAQLNFFQKGLKSLETVEPHVRLVTEHQHIDYHFSGLESDGREDGEDDGEDGGDTNERRELSFDYRENNQGNAVVSAARGSMEVDEEDLSFQVPAAENVELNPDKNHGGFQFPSREPRGGSHSAPIVPERKPDPVERIRQMQQASRKSNTYVLPTPIDAKGAISSRTSCSVPNTRQTDISGRAHNLWHSSPLEQKKNEKDSGDGHLSDFTALKARSGHKESNNPNASTQLPPPLVGGISYPQLDVHNASDYKKNKWQSFSGPITSKPWSMKPLSSSGPISSTELSQQVSGMLSRGANPQPSSSPKVSPSTSPPLVSSPKISELHELPRPPGNLAAKAAKPSVLIGHSAPLSRNPELAGTSKISTGAANLASPLPPPPLIVPRSFSIPSSSQRAMTVHVSKLLDSSQVSYKPGEVDSPPLTPMSLANMRPAPAISEPVPHSGQIRGGS >Potri.019G096900.1.v4.1 pep chromosome:Pop_tri_v4:19:13444410:13447754:-1 gene:Potri.019G096900.v4.1 transcript:Potri.019G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G096900.v4.1 MQVVPRESESALSVSGPRPMEWSTVPYTGSQGPGHNGKQRRSSLESPIMLLTGHQSAIYTMKFNPAGNVIASGSHDKEIFLWYMHGECKNFMVMRGHKNAVLDLHWTADGSQIISASPDKTVRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTSKLWDLRQKGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDVKVWDIRKGEVTMTLEGHQDMITSMQLSPDGSYLLTNGMDNKLCIWDMRPYAPQNRCVKIFEGHQHNFEKNLLKCSWSPDGSKVTAGSADRMVYIWDTTSRRILYKLPGHAGSVNECVFHPTEPIIGSCSSDKQIYLGEI >Potri.019G096900.2.v4.1 pep chromosome:Pop_tri_v4:19:13444406:13445476:-1 gene:Potri.019G096900.v4.1 transcript:Potri.019G096900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G096900.v4.1 MVMRGHKNAVLDLHWTADGSQIISASPDKTVRAWDVETGKQIKKMAEHSSFVNSCCPSRRGPPLVVSGSDDGTSKLWDLRQKGAIQTFPDKYQITAVSFSDASDKIFTGGIDNDVKVWDIRKGEVTMTLEGHQDMITSMQLSPDGSYLLTNGMDNKLCIWDMRPYAPQNRCVKIFEGHQHNFEKNLLKCSWSPDGSKVTAGSADRMVYIWDTTSRRILYKLPGHAGSVNECVFHPTEPIIGSCSSDKQIYLGEI >Potri.007G011500.10.v4.1 pep chromosome:Pop_tri_v4:7:903231:905896:-1 gene:Potri.007G011500.v4.1 transcript:Potri.007G011500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011500.v4.1 MASMRRRMEGTQKMIQMKREESKVNSERCAKAERAKCLCNSRVEELEAKIQEEVLNRLDLSKSLDAEKEQIQEIRRDIQESKKRLSSLVELRSEVYSKLQTSTMARSHVEVQLEKAVIARAQMVREIEELRKQRDILRRRIEFCKEKDAVGTVAKLNELCCGYREYTTKDIRSATDNFSESLRLKSGGHWTNVYRGRINHTTVAIKMLSFDNGLSQEAFLAKVKALNSIRHPHLVAIIGSCSEPRCIIFEYMHNGSLRDILFSSSQRNHGKRNRAFRWNHRIRVAHEICSGLSFLHLARPRPFAQVHLTASNVLLDRNLVAKIGGFRLTQSPDANETLPNIQAFGVLLFQLLTGRNRTGLVEEAMAMDKTALLTVLDERAGKWPLDLAYELVGIATRCLECKVDFSLAKTREELEKITRKADDLVAKSGCEVVVNGSIDDREDSSEAPSIFLCPILQEVMKNPHVAADGFSYELEAIEEWLNTQRDTSPMTNLRLKHKFLTPNHTLRSLIQEWNRRKSTAS >Potri.007G011500.6.v4.1 pep chromosome:Pop_tri_v4:7:903231:907350:-1 gene:Potri.007G011500.v4.1 transcript:Potri.007G011500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011500.v4.1 MEDDQGVMVAKKANFRSWLGKMFTDNSHDSHQLPATSKALENENQWENYAQEIENYFEHLLSLNLDEEICKEENDILQADSTEPGVAEDAVSTMAMSVAAKMASMRRRMEGTQKMIQMKREESKVNSERCAKAERAKCLCNSRVEELEAKIQEEVLNRLDLSKSLDAEKEQIQEIRRDIQESKKRLSSLVELRSEVYSKLQTSTMARSHVEVQLEKAVIARAQMVREIEELRKQRDILRRRIEFCKEKDAVGTVAKLNELCCGYREYTTKDIRSATDNFSESLRLKSGGHWTNVYRGRINHTTVAIKMLSFDNGLSQEAFLAKVKALNSIRHPHLVAIIGSCSEPRCIIFEYMHNGSLRDILFSSSQRNHGKRNRAFRWNHRIRVAHEICSGLSFLHLARPRPFAQVHLTASNVLLDRNLVAKIGGFRLTQSPDANETLPNIQAFGVLLFQLLTGRNRTGLVEEAMAMDKTALLTVLDERAGKWPLDLAYELVGIATRCLECKVDFSLAKTREELEKITRKADDLVAKSGCEVVVNGSIDDREDSSEAPSIFLCPILQEVMKNPHVAADGFSYELEAIEEWLNTQRDTSPMTNLRLKHKFLTPNHTLRSLIQEWNRRKSTAS >Potri.007G011500.9.v4.1 pep chromosome:Pop_tri_v4:7:903279:907349:-1 gene:Potri.007G011500.v4.1 transcript:Potri.007G011500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011500.v4.1 MEDDQGVMVAKKANFRSWLGKMFTDNSHDSHQLPATSKALENENQWENYAQEIENYFEHLLSLNLDEEICKEENDILQADSTEPGVAEDAVSTMAMSVAAKMASMRRRMEGTQKMIQMKREESKVNSERCAKAERAKCLCNSRVEELEAKIQEEVLNRLDLSKSLDAEKEQIQEIRRDIQESKKRLSSLVELRSEVYSKLQTSTMARSHVEVQLEKAVIARAQMVREIEELRKQRDILRRRIEFCKEKDAVGTVAKLNELCCGYREYTTKDIRSATDNFSESLRLKSGGHWTNVYRGRINHTTVAIKMLSFDNGLSQEAFLAKVKALNSIRHPHLVAIIGSCSEPRCIIFEYMHNGSLRDILFSSSQRNHGKRNRAFRWNHRIRVAHEICSGLSFLHLARPRPFAQVHLTASNVLLDRNLVAKIGGFRLTQSPDANETLPNIQAFGVLLFQLLTGRNRTGLVEEAMAMDKTALLTVLDERAGKWPLDLAYELVGIATRCLECKVDFSLAKTREELEKITRKADDLVAKSGCEVVVNGSIDDREDSSEAPSIFLCPILQEVMKNPHVAADGFSYELEAIEEWLNTQRDTSPMTNLRLKHKFLTPNHTLRSLIQEWNRRKSTAS >Potri.005G199600.1.v4.1 pep chromosome:Pop_tri_v4:5:20528323:20532113:1 gene:Potri.005G199600.v4.1 transcript:Potri.005G199600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199600.v4.1 MEAAMGLMRRIHPKQSETALSALLSLLPHHSSDLLSQVDQPLQVLCDLESGKEYILCEYNRDADSYRSPWSNKYYPPLEDALYPSSELRKLEVEANEVFAIYRDQYYEGGISSVYMWEDDNEGFVACFLIKKDGSKTGQGRRGHLQEGAWDAIHVIEVGPEEESMAHYCLTSTIMLSLTTNDESSGTFSLSGSIRRQMNMDLSVADGHLCNMGRMIEEMEGKLRNSLDQVYFGKTKEMVCTLRPPSEVVLRLPTADLA >Potri.011G077380.1.v4.1 pep chromosome:Pop_tri_v4:11:8321892:8323835:1 gene:Potri.011G077380.v4.1 transcript:Potri.011G077380.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077380.v4.1 MNIRVKESLSRRRGRAYHIDSLPSFPLKMANRVSITLLVSLFVSSFLAASAGNFYRDVDITWGDGRGKILRRGNTLSLSLDKTSGSGFQSKRAYLFGRFDVQMKLVPGNSAGTVTTFYLTSQGNKHDEIDFEFLGNQSGNPYTLHTNVYTQGQGNREQEFRLWFDPTFKFHTYSIIWNPQRIIILVDNIPIRVFSNLEAIGVPYPKNQPMKIQASLWDAEDWATQGGKVKTDWSMAPFTAYYRNFSALTTDSSGFKGWLTQDLDVQGRKLLRWVQKYYMLYNYCADRRRRFSHRECTRSRFL >Potri.003G195900.1.v4.1 pep chromosome:Pop_tri_v4:3:19808670:19810634:1 gene:Potri.003G195900.v4.1 transcript:Potri.003G195900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195900.v4.1 MVSGLENSVIKVAAIGGSIRKASYSNGLIRAAIELSKESIAGMEIEHLDISQLPMQNIDLEGEDHEGSFPPAVEDLRQKILESDCILFSSPEFNYSVSAPLKNAIDWASRPPNVWADKAAAIISASAGMGGARGQLHLRQIGVFIDLHFINKPEFFLNVFQPPAKFDSQGNLIDENTKERLKEVLLALQAFTWRLKTATNA >Potri.002G157000.11.v4.1 pep chromosome:Pop_tri_v4:2:11960374:11972867:1 gene:Potri.002G157000.v4.1 transcript:Potri.002G157000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157000.v4.1 MAEATKSYAVVTGANKGIGFEICRQLASKGIVVVLTSRNEKRGLESVQKLKESGLSDFVVFHQLDVADINSIASLADFIKSQFGKLDILVNNAGVGGVKTDGDALKAAISGKEGAKINWSEFITQTWELAEECLRINYYGAKRMAEALIPLLQLSDSPRIVNVSSSMGKLKGVSNEWAKGVLGDAENLTEERVDEVLSKYLEDFKEGSLETEGWPAMMSAYILSKAAMSAFTRVLAKKHPTFCVNCVCPGYVKTDINFNTGIRPVEEGAENVVRLALLPNGGPSGCFFDRTEESPF >Potri.002G157000.12.v4.1 pep chromosome:Pop_tri_v4:2:11963042:11972861:1 gene:Potri.002G157000.v4.1 transcript:Potri.002G157000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157000.v4.1 MAEATKSYAVVTGANKGIGFEICRQLASKGIVVVLTSRNEKRGLESVQKLKESGLSDFVVFHQLDVADINSIASLADFIKSQFGKLDILVNNAGVGGVKTDGDALKAAISGKEGAKINWSEFITQTWELAEECLRINYYGAKRMAEALIPLLQLSDSPRIVNVSSSMGKLKGVSNEWAKGVLGDAENLTEERVDEVLSKYLEDFKEGSLETEGWPAMMSAYILSKAAMSAFTRVLAKKHPTFCVNCVCPGYVKTDINFNTGIRPVEEGAENVVRLALLPNGGPSGCFFDRTEESPF >Potri.016G036500.1.v4.1 pep chromosome:Pop_tri_v4:16:2167167:2172827:-1 gene:Potri.016G036500.v4.1 transcript:Potri.016G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036500.v4.1 MGSRTHNNNKAVTEMPSFVPQISTSNPIGTEGNNIRSSRVSDFSTIEQSLGFRIEDAVDLSRNPLFHQLKSSGQAIGTDVQFGTLNKPLASSDINLSAAVVGSQSLPLQKEVQVNPVSIPGNHPENWGETSMAEASPRTDTSTDDTDDKNQRFERGQSTAIVASDSSDKSKEKAGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFISSSGDQTHSMSGNGALAFDAEYSRWLEEQNRHISELRAAVNSHAGDTELHTIVDNVVAHFNEVYRLKGTAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMEALQQSLAETLANGNPGSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLEQMHRILTTRQSARALLAINDYFSRLRALSSLWLARPQE >Potri.001G025800.1.v4.1 pep chromosome:Pop_tri_v4:1:1941852:1946043:-1 gene:Potri.001G025800.v4.1 transcript:Potri.001G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025800.v4.1 MHPSKVLLATLFFFTLAPWATASKVTYDGRAIIIDGKHRLLVSGSIHYPRSTAQMWPDLVKKSREGGLDAIETYVFWDSHEPARREYDFSGNLDLIRFLKTIQDEGLYAVLRIGPYVCAEWNYGGLPVWLHNMPGVQMRTANDVFMNEMRNFTTLIVNMVKQENLFASQGGPVILAQIENEYGNVMSSYGDEGKAYIEWCANMAQSLHIGVPWLMCQQSDAPEPMINTCNGWYCDQFTPNRPTSPKMWTENWTGWFKSWGGKDPHRTAEDLAFSVARFYQLGGTFQNYYMYHGGTNFGRTAGGPYITTSYDYDAPLDEYGNLNQPKWGHLKELHDVLHSMEDTLTRGNISSVDFGNSVSGTIYSTEKGSSCFLTNTDSRNDTTINFQGLDYEVPAWSVSILPDCQDVVYNTAKVSAQTSVMVKKKNVAEDEPAALTWSWRPETNDKSILFGKGEVSVNQILDQKDAANDLSDYLFYMTSVSLKEDDPIWGDNMTLRITGSGQVLHVFVNGEFIGSQWAKYGVFDYVFEQQIKLNKGKNTITLLSATVGFANYGANFDLTQAGVRGPVELVGYHDDEIIIKDLSSHKWSYKVGLEGLRQNLYSSDSSKWQQDNYPTNKMFTWYKATFKAPLGTDPVVVDLLGLGKGLAWVNGNSIGRYWPSFIAEDGCSLDPCDYRGSYDNNKCVTNCGKPTQRWYHVPRSFLNNEGDNTLVLFEEFGGDPSSVNFQTTAIGSACVNAEEKKKIELSCQGRPISAIKFASFGNPLGTCGSFSKGTCEASNDALSIVQKACVGQESCTIDVSEDTFGSTTCGDDVIKTLAVEAIC >Potri.004G076200.1.v4.1 pep chromosome:Pop_tri_v4:4:6348584:6350830:-1 gene:Potri.004G076200.v4.1 transcript:Potri.004G076200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076200.v4.1 MANCKPQKKVLLLCGDYMEDYEAMVPFQSLQAYGIAVDAVCPGKKAGDYCRTTVGDSGAYHGYQTYTEKPGHNFSLNATFDEVDFSKYDALVIPGGRAPEYLAINESVLNCARQFSDSGKLIAAICHGPLILAAAGLLKGRKCTAYHALRPVLIDAGAHWIEPKTMMDCVSDGNLITGVIYKAHPEYIQLVVKALGGKIAGSDKRILFLCGDFMEDYEVTVPLQSLQALGCHVDAVCPKKKAGDFCPTAVHDFEGDQTYTEKPGHNFILTASYEGLDASSYDALVIPGGRSPEYLALDETVIALVKKFMQSKKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLGGATWLEPDPIDRCYTDENLVTGAAWPGHPQFVSQLMALLGIRVSF >Potri.013G097600.1.v4.1 pep chromosome:Pop_tri_v4:13:10214477:10215130:1 gene:Potri.013G097600.v4.1 transcript:Potri.013G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097600.v4.1 MANVFPVTRVADLVPRFIMPVEKEPEKVMAIRSRLFEAIEESVLSIIIFSRDCVSLPWCFEELVKIVGFMDEMRSDTVFPVSYDVEESKIDDQTESYTIVFDKNEEHFRENKEKVQRWMNILSAVEISSGTRSLKR >Potri.004G190800.1.v4.1 pep chromosome:Pop_tri_v4:4:20295977:20297042:-1 gene:Potri.004G190800.v4.1 transcript:Potri.004G190800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190800.v4.1 MTKEFAVPPVVFPSVGNPTVATGGNIQQRRVPIAPFQPPRPSNSGIPFMSFDIGSAAATTVGPIGGGTGPIGGVANFDDEEPLLDELGIHPDQIWKKTKSILNPFRVNPTFHKDSDLSGPIFLYLSFCLFQLLAGKIQFGVILGWIVVSSIFLYVVFNMLAGRHGNLNLHTCTSVIGYCLLPVVILSAVSLFVPQNGALRFGIAGVFVIWATRACTNLMVAVADGGEEHRGLIAYACFLIYTLFSLLVIF >Potri.006G031900.1.v4.1 pep chromosome:Pop_tri_v4:6:1991610:2001066:1 gene:Potri.006G031900.v4.1 transcript:Potri.006G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031900.v4.1 MGKNVVSDEEDEVELEDEDEREPVDGDKIGRDDDEEEDEEGQDEYEKDDFIVDDVEEEEEVEEEEDRADSDEERHKKKKKKKKREAEDVLDEDDYELLRDNNVYHHRPKDSKKFKRLKKAQRDSDEDRYGLSDDEFDGSGKGGRTAEEKLKRSLFGDDEGVPLEDMPEEEEQEEVEEDADIGDEDEMADFIVDEDDDDGTLVRRKKLKKKKSQQASGVSSSALQEAQEIFGDVDELIQIRRQGLESSEWRERRLEDEFEPTVLSEKYMTEKDDQIRMTDIPERMQVSEGSTGPPPLDDFSIMEESNWIYSQIASGTLPLFAESGLLINKDDVTRFLELHHIQKLDIPFIAMYRKEECLSLLKDPEQHEDDENPYDTGRIPTFKWHKVLWAIQDLDRKWLLLQKRKSALNAYYNKRFEEESRRIYDETRLNLNQQLFESILKSLKTAESEREVDDVDAKFNLHFPPGEVVVDEGQYKRPMRRSQYSVCSKAGLWEVASKFGYSAEQLGMQLSLLKMEDELQDAKETPEEMASNFTCAMFESPQTVLKGARHMAAVEISCEPCVRRYVRLIFMDKAVVSTSPTSDGKAAIDSFHQFAGIKWLREKPVKKFEDAQWLLIQKAEEEKLLQVTIKLPQKVMDQLIDDCNGRYLSIGVSKYAQLWNEQRSLILKDALFAFLLPSMEKEARSLLTSRAKNRLLWEYGKVFWNKVSVGPYQRKESDISMDDEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYAGSLTLRSQHASDQQRKKNDQQRVLKFMTDHQPHVVVLGAVHLSCTKLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMVATLCGPAREILSWKLNPLENFLTPDDKYMVIEQVMVDATNQVGLDINLATSHEWLFAPLQFISGLGPRKAASLQRSLVRTGAIFTRKDFVTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDVLDDTRIHPESYGLAQELAKVIYEKDSGDVNDDDDALEMAIEHVKERPNLLKTFVFDKYLEDKKRENKKETFMDIRRELIQGFQDWRKQYKEPTQDEEFYMISGETEDTLAEGIIVQATVRRVQGGKAICALESGLTGILTKEDYADDWRDIPELSDKLREDDILTCKIKSIQKNRYQVFLVCKDSEMRNNRYQQARNLDRYYHEDQSSLRSEQEKVRKDRELAKKHFKPRMIVHPRFQNITADEAMEFLSDKDPGESIIRPSSRGPSYLTLTLKVYNGVYAHKDIVEGGKEHKDITSVLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSYLKAMLSYRKFRSGTKVEVDELLRIEKSQQPTRIVYAFGICHEHPGTFILTYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQKHIDDSLHESAPSIRSVAAMVPMRSPATGGSSWGGSTYEGGRRGQSFDRDRSSGPGSRTGRSGGSRDGHQSGAPRPYSGRGRGRGSYNNGGGSNSGNERRDSGYDKPRWDSGTKDGDEGWGSFPGAKVQNSPGREAFPGGWGAGASSGGNGWGGGASGGDNSGWGHGTDGGTDSGNSGRGTTSSKRDSAQRGWGAAGGGNGNGSDSGHGSRGGGTNDENSEWGQ >Potri.006G031900.5.v4.1 pep chromosome:Pop_tri_v4:6:1992749:2001150:1 gene:Potri.006G031900.v4.1 transcript:Potri.006G031900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031900.v4.1 MPEEEEQEEVEEDADIGDEDEMADFIVDEDDDDGTLVRRKKLKKKKSQQASGVSSSALQEAQEIFGDVDELIQIRRQGLESSEWRERRLEDEFEPTVLSEKYMTEKDDQIRMTDIPERMQVSEGSTGPPPLDDFSIMEESNWIYSQIASGTLPLFAESGLLINKDDVTRFLELHHIQKLDIPFIAMYRKEECLSLLKDPEQHEDDENPYDTGRIPTFKWHKVLWAIQDLDRKWLLLQKRKSALNAYYNKRFEEESRRIYDETRLNLNQQLFESILKSLKTAESEREVDDVDAKFNLHFPPGEVVVDEGQYKRPMRRSQYSVCSKAGLWEVASKFGYSAEQLGMQLSLLKMEDELQDAKETPEEMASNFTCAMFESPQTVLKGARHMAAVEISCEPCVRRYVRLIFMDKAVVSTSPTSDGKAAIDSFHQFAGIKWLREKPVKKFEDAQWLLIQKAEEEKLLQVTIKLPQKVMDQLIDDCNGRYLSIGVSKYAQLWNEQRSLILKDALFAFLLPSMEKEARSLLTSRAKNRLLWEYGKVFWNKVSVGPYQRKESDISMDDEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYAGSLTLRSQHASDQQRKKNDQQRVLKFMTDHQPHVVVLGAVHLSCTKLKDDIYEIIFKMVEENPRDVGHEMDELSIVYGDESLPRLYENSRISSDQLPGQSGIVKRAVALGRYLQNPLAMVATLCGPAREILSWKLNPLENFLTPDDKYMVIEQVMVDATNQVGLDINLATSHEWLFAPLQFISGLGPRKAASLQRSLVRTGAIFTRKDFVTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDVLDDTRIHPESYGLAQELAKVIYEKDSGDVNDDDDALEMAIEHVKERPNLLKTFVFDKYLEDKKRENKKETFMDIRRELIQGFQDWRKQYKEPTQDEEFYMISGETEDTLAEGIIVQATVRRVQGGKAICALESGLTGILTKEDYADDWRDIPELSDKLREDDILTCKIKSIQKNRYQVFLVCKDSEMRNNRYQQARNLDRYYHEDQSSLRSEQEKVRKDRELAKKHFKPRMIVHPRFQNITADEAMEFLSDKDPGESIIRPSSRGPSYLTLTLKVYNGVYAHKDIVEGGKEHKDITSVLRIGKTLKIGEDTFEDLDEVMDRYVDPLVSYLKAMLSYRKFRSGTKVEVDELLRIEKSQQPTRIVYAFGICHEHPGTFILTYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQKHIDDSLHESAPSIRSVAAMVPMRSPATGGSSWGGSTYEGGRRGQSFDRDRSSGPGSRTGRSGGSRDGHQSGAPRPYSGRGRGRGSYNNGGGSNSGNERRDSGYDKPRWDSGTKDGDEGWGSFPGAKVQNSPGREAFPGGWGAGASSGGNGWGGGASGGDNSGWGHGTDGGTDSGNSGRGTTSSKRDSAQRGWGAAGGGNGNGSDSGHGSRGGGTNDENSEWGQ >Potri.017G052600.2.v4.1 pep chromosome:Pop_tri_v4:17:3951617:3954218:1 gene:Potri.017G052600.v4.1 transcript:Potri.017G052600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052600.v4.1 MSGNNHIMMVTRGVVCGKCRHRLSIPTTNSTEVKCPTCNKMNPIPTYEQFGNKDRSIEKLIVKAKEKISGNDLISSKKPDSLNKKPCPLNIPGSSSSETRPAGKRALLIGVTYKRKHKLKGTINDVKSMRELLTLNFGFKEENILVLTEQEIEPELIPTKKNILKSLEWLVKGCQAGDSLVFYFSGHGLSQPDFEGDERDGFAENICPVDFMTEGMIVDNDINSTIVWPLKKGVTLHAIVDACHSGTVLDLEHVYNRQENKWEDNSPLSGNARKHPDGGLAISLSACLDNQVAADTTAFTGKTMNGAMTFLLIKILKKYHGATYGDLLDMMHEELEKVNESRCFAEKILKKITKNMLLQKPQISASKPFDVYKEHFVL >Potri.010G229000.1.v4.1 pep chromosome:Pop_tri_v4:10:21181372:21186561:-1 gene:Potri.010G229000.v4.1 transcript:Potri.010G229000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229000.v4.1 MKLPSIYILLICLFTSFTRFIVETTAFNLTLPHQHPDPEAVAEDVKRRVNASLSRRHLLSIQEKDQCQTGNPIDDCWRCNSNWANNRQRLADCAIGFGQGSLGGRGGQIYVVTDSSDYDPANPKPGTLRYGVIQDQPLWIIFSSNMVIKLKHELIFNSYKTIDGRGANVHITGNGCITLQYVSHIIIHNIHVHHCKPSGNTNIAASPTHVGWRGRSDGDGISIFGAQKIWIDHCSLSYCTDGLIDAIMGSTGITISNNHFTHHDEVMLLGHDDKYALDTGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPTDDNAKEVTKRVDTNEGDWAGWNWRTDGDIMVNGAFFVPSGAGLSAQYSKASSVEPKSAGLIQQLTLNAGVFGDPRDDSGSISTPGFSGGGTSSTTNTGVQGSGSSGGGGDYFGMIFGSGAPPPTSTSLIFLSLLIILILCTITNHVALESFPLLSL >Potri.010G229000.4.v4.1 pep chromosome:Pop_tri_v4:10:21181497:21186537:-1 gene:Potri.010G229000.v4.1 transcript:Potri.010G229000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229000.v4.1 MKLPSIYILLICLFTSFTRFIVETTAFNLTLPHQHPDPEAVAEDVKRRVNASLSRRHLLSIQEKDQCQTGNPIDDCWRCNSNWANNRQRLADCAIGFGQGSLGGRGGQIYVVTDSSDYDPANPKPGTLRYGVIQDQPLWIIFSSNMVIKLKHELIFNSYKTIDGRGANVHITGNGCITLQYVSHIIIHNIHVHHCKPSGNTNIAASPTHVGWRGRSDGDGISIFGAQKIWIDHCSLSYCTDGLIDAIMGSTGITISNNHFTHHDEVMLLGHDDKYALDTGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPTDDNAKEVTKRVDTNEGDWAGWNWRTDGDIMVNGAFFVPSGAGLSAQYSKASSVEPKSAGLIQQLTLNAGVFGDPR >Potri.010G229000.2.v4.1 pep chromosome:Pop_tri_v4:10:21181454:21186601:-1 gene:Potri.010G229000.v4.1 transcript:Potri.010G229000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229000.v4.1 MVIKLKHELIFNSYKTIDGRGANVHITGNGCITLQYVSHIIIHNIHVHHCKPSGNTNIAASPTHVGWRGRSDGDGISIFGAQKIWIDHCSLSYCTDGLIDAIMGSTGITISNNHFTHHDEVMLLGHDDKYALDTGMQVTIAFNHFGQGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPTDDNAKEVTKRVDTNEGDWAGWNWRTDGDIMVNGAFFVPSGAGLSAQYSKASSVEPKSAGLIQQLTLNAGVFGDPRDDSGSISTPGFSGGGTSSTTNTGVQGSGSSGGGGDYFGMIFGSGAPPPTSTSLIFLSLLIILILCTITNHVALESFPLLSL >Potri.005G238300.1.v4.1 pep chromosome:Pop_tri_v4:5:23599467:23603875:1 gene:Potri.005G238300.v4.1 transcript:Potri.005G238300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238300.v4.1 MAALTFSQEQKETQEKQPSRRRRKLQKQKEKQPSSWDQIKNLLTCKQIEGSRVHDPSKNPIGYPKFGSSCSSICSFKAVVHGNTRVVHRADNSPESSTVGQETGLLSRKDVCTGSSSTRSLAGPGRSNGGVTHSSSSRGMQFRKLSGCYECHMIVDPSRYPSARTTISACAQCGEVFPKIESLELHQKVRHAVSELGPEDSGRNIVEIIFKSSWVRKDNPCCKIERILKVNNTQRTIQRFEDCRDAVKARALSSTRKNPRCAADGNELLRFHCTTLTCSLGSRGSSTLCGSIPGCGICTIIRHGFQGKECKGVRTTASSGRAHDSLLGCTDERRAMLVCRVIAGRVKRVAEDAPPPEEDGASSAAAGSYDSVAGYAGIYSNLEELSVFNPRAILPCFVVIYKALES >Potri.005G190300.2.v4.1 pep chromosome:Pop_tri_v4:5:19802045:19804361:1 gene:Potri.005G190300.v4.1 transcript:Potri.005G190300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190300.v4.1 MNCEQAPLIYYNTELQCNLEIASAFPTEKDNLDTDQFIESLLQMEADGMDEAGINNRCGSHTSTSSVNQESGSLVTSIDETVHFVGFQESNGSNTFTDFLLTGAQAVEVSNWHLASNIIAGISELLLSQTDENLFSDMVFYFTQGLSYKCRDTPALALHEIVHAQNETMSHFQMLKELSPYVRFAQFTANQAILEATREENEVHILDLDIMDGIQWPPLMADLAQRNNVSLRITAIVGDPEKAALVQHTGRRLVEFAESVGQTFKFDQMTIEKEEDFEKIEGGHTLIANCMIHQLHMTDRNLLVVKNFLSGSFFARRSNITLHFLILYNIATEEWGLNYFKRRPWGLGLWIV >Potri.005G190300.1.v4.1 pep chromosome:Pop_tri_v4:5:19802045:19804361:1 gene:Potri.005G190300.v4.1 transcript:Potri.005G190300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190300.v4.1 MNCEQAPLIYYNTELQCNLEIASAFPTEKDNLDTDQFIESLLQMEADGMDEAGINNRCGSHTSTSSVNQESGSLVTSIDETVHFVGFQESNGSNTFTDFLLTGAQAVEVSNWHLASNIIAGISELLLSQTDENLFSDMVFYFTQGLSYKCRDTPALALHEIVHAQNETMSHFQMLKELSPYVRFAQFTANQAILEATREENEVHILDLDIMDGIQWPPLMADLAQRNNVSLRITAIVGDPEKAALVQHTGRRLVEFAESVGQTFKFDQMTIEKEEDFEKIEGGHTLIANCMIHQLHMTDRNLLVVKNFLSGVSRLSPKLVVLVEEELLNFLKVSSVSFVEFFREAIQHYTALSDSLQYSYGRVGFELFQKETMGLRIMDSVRSFPIGREEKMSWEESFSLLKNFKPIPMSATNVSQAKQLSGLLGIGYWVQNENSRLSLCWKSRPLTTASSWIPRHALGSRH >Potri.007G062302.3.v4.1 pep chromosome:Pop_tri_v4:7:7082278:7082994:-1 gene:Potri.007G062302.v4.1 transcript:Potri.007G062302.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matR MSETGSLLDGIQLAPTLGTAGVRSPQVSVLWGTVKHIRQGSRGISLLHSSGRSNAPSDVQQAVSRSGMSVRKLSLPAGRKAAGEGGGHWAESISSEFSIQIEAPIKKILRRLRDRGIISRIRPWPIHVACLTSVSDGEIVNWFAGIAISLMSYYRCCDNLYQVRTIVDYQIRWSAIFTLAHKHKSSARNIILKYSKDLKIVNKEGGKTLAEFPNSIELGKLGPGQDPNKNSHSTTSLV >Potri.007G062302.2.v4.1 pep chromosome:Pop_tri_v4:7:7081089:7083727:-1 gene:Potri.007G062302.v4.1 transcript:Potri.007G062302.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matR MRDLFKYCKRKGLLIELGGEAILVIRSERRLARKLAPLKTHYLRNLSYARYADDLLLGIVGAVELLIEIQKRIAQFLQSGLNLWVGSEGSTTIAARSTVEFLGTVIREVPPRTTPIQFFRELEKRLRVKHRIHITVCHLRSAIHSKFRNLGKSIPKLTKEMSETGSLLDGIQLAPTLGTAGVRSPQVSVLWGTVKHIRQGSRGISLLHSSGRSNAPSDVQQAVSRSGMSVRKLSLPAGRKAAGEGGGHWAESISSEFSIQIEAPIKKILRRLRDRGIISRIRPWPIHVACLTSVSDGEIVNWFAGIAISLMSYYRCCDNLYQVRTIVDYQIRWSAIFTLAHKHKSSARNIILKYSKDLKIVNKEGGKTLAEFPNSIELGKLGPGQDPNKNSHSTTSLV >Potri.007G062302.1.v4.1 pep chromosome:Pop_tri_v4:7:7082278:7084221:-1 gene:Potri.007G062302.v4.1 transcript:Potri.007G062302.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matR MKEAIRMVLESIYDPEFPDTSHFRSGRGCHSVLRRIKEEWRTSRWFLEFDIRNCFHTIDRHRLISIFKEEIDDPKFFYSIQKVFSAGRLVGGEKGPYSVLHSVLLKALLGNIYLHKLDQEIGRIRQKYEIPIVQRIRLVLLRTGRIDDQENSGEEASFNAPQGNRAIIVGSVKSIQRKAAFHSLVSSWHTSPTSTPRPRGDQKTPFVFPPSSALAAFLNKPSSLLCAAFLIESAGPKAEFYGRERCNWAMRDLFKYCKRKGLLIELGGEAILVIRSERRLARKLAPLKTHYLRNLSYARYADDLLLGIVGAVELLIEIQKRIAQFLQSGLNLWVGSEGSTTIAARSTVEFLGTVIREVPPRTTPIQFFRELEKRLRVKHRIHITVCHLRSAIHSKFRNLGKSIPKLTKEMSETGSLLDGIQLAPTLGTAGVRSPQVSVLWGTVKHIRQGSRGISLLHSSGRSNAPSDVQQAVSRSGMSVRKLSLPAGRKAAGEGGGHWAESISSEFSIQIEAPIKKILRRLRDRGIISRIRPWPIHVACLTSVSDGEIVNWFAGIAISLMSYYRCCDNLYQVRTIVDYQIRWSAIFTLAHKHKSSARNIILKYSKDLKIVNKEGGKTLAEFPNSIELGKLGPGQDPNKNSHSTTSLV >Potri.010G132300.2.v4.1 pep chromosome:Pop_tri_v4:10:14848116:14854224:1 gene:Potri.010G132300.v4.1 transcript:Potri.010G132300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132300.v4.1 MVKFSKQFEGQLVPEWKEAFVDYWQLKEDLKKIHLLNNNSNHPIKHSHHNSLSSNILSSLKEFSLFGHQHKDHEAIHVHKKLASSASKGDLYETELLEQFEDSDAAKEFFSCLDLQLNKVNQFFKTKEKEFLDRGDCLRKQMEILVELKSAFKKQRDKAANSSQDSTEDASIDCTISYEEDSVKDRREQEQIQDDSTGELEKNEVLDSPRSEEMGEMGKSMRMKRDDIKLRTLSGHVFNCQGKNLRINIPLTTPSRTFSAISYLVWGDLVSQSSKKCNPEGSKLHINKTKLHHAEKMIKGAFIELYKGLGYLKTYRNLNMLAFIKILKKFDKVTGKQVLPIYLKVVESSYFNSSDKVMNLADEVEDLFIKHFAEEDRRKARKYLKPHQHTESHSVTFFIGLFTGCFIALFVGYVIMAHITGMYRRQPDTVYMETVYPVLSMFTLMFLHFFLYGCNIFMWRKARINYSFIFELGPTKELKYRDVFLICTTSMTAVVGVMFIHLSLHIKGHSFSQVQVIPGLLLLSFMLLLVCPFKICYRSSRFRFLCVLRNIVLSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYLTGSYKNQDYGYCMRAKHFRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKERSIGWLCLVVVVSSAATIYQLYWDFVKDWGLLQINSKNPWLRNELMLRQKFIYYFSMGLNLILRLAWLQTVLHSNFEHVDYRVTGLFLASLEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEED >Potri.010G132300.4.v4.1 pep chromosome:Pop_tri_v4:10:14848293:14854354:1 gene:Potri.010G132300.v4.1 transcript:Potri.010G132300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132300.v4.1 MVKFSKQFEGQLVPEWKEAFVDYWQLKEDLKKIHLLNNNSNHPIKHSHHNSLSSNILSSLKEFSLFGHQHKDHEAIHVHKKLASSASKGDLYETELLEQFEDSDAAKEFFSCLDLQLNKVNQFFKTKEKEFLDRGDCLRKQMEILVELKSAFKKQRDKAANSSQDSTEDASIDCTISYEEDSVKDRREQEQIQDDSTGELEKNEVLDSPRSEEMGEMGKSMRMKRDDIKLRTLSGHVFNCQGKNLRINIPLTTPSRTFSAISYLVWGDLVSQSSKKCNPEGSKLHINKTKLHHAEKMIKGAFIELYKGLGYLKTYRNLNMLAFIKILKKFDKVTGKQVLPIYLKVVESSYFNSSDKVMNLADEVEDLFIKHFAEEDRRKARKYLKPHQHTESHSVTFFIGLFTGCFIALFVGYVIMAHITGMYRRQPDTVYMETVYPVLSMFTLMFLHFFLYGCNIFMWRKARINYSFIFELGPTKELKYRDVFLICTTSMTAVVGVMFIHLSLHIKGHSFSQVQVIPGLLLLSFMLLLVCPFKICYRSSRFRFLCVLRNIVLSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYLTGSYKNQDYGYCMRAKHFRDLAYAVSFLPYYWRAMQCARRWFDEGQTSHLVNLGKYVSAMLAAGAKVAYEKERSIGWLCLVVVVSSAATIYQLYWDFVKDWGLLQINSKNPWLRNELMLRQKFIYYFSMGLNLILRLAWLQTVLHSNFEHVDYRVTGLFLASLEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFHEVDEED >Potri.014G188082.1.v4.1 pep chromosome:Pop_tri_v4:14:16093077:16093377:1 gene:Potri.014G188082.v4.1 transcript:Potri.014G188082.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G188082.v4.1 MQSLSSPRSALGSIPASFPLPSHGTFNSISRYAASPDLKSAGMW >Potri.003G090600.1.v4.1 pep chromosome:Pop_tri_v4:3:11668312:11671928:-1 gene:Potri.003G090600.v4.1 transcript:Potri.003G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090600.v4.1 MRFFKRLAGFLGFAKDDGGHEVKDQQEQEEDEEDHHQHPNQAPFRSNFQETGLPRKGFSVPLQVAVERPQLGPVIVSCNSGDGGVQGLRWYAKRLRIDEDGDVADEFFDEVLPDTSSSVDEQHKPLLRFEVKYSTRPAKIKTQMMSHDGKIQQRVEHQGRLQWV >Potri.005G181800.1.v4.1 pep chromosome:Pop_tri_v4:5:18840076:18842163:1 gene:Potri.005G181800.v4.1 transcript:Potri.005G181800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181800.v4.1 MGNNLLFSSSLCSCFFFFFFTILSIHPVSAASSCPVDLSYVQTVPWDTSLCRQPDGLEHCCQTLLSLFGMGLAQQLRETSMFQFPNLNASSACLSDFQAKLATLSIDPSLVPSCFKNSSQFVSSTSSCIGIVTTQDWIEKVGPMTPLNTACRGDFSELTRCSSCLDAGQKVNSQLTSLDPNATATSKCFYYTCLYAAGIVSELGPLDAKTAACTLALPLANSGTKKPAKSKDKLLKLVFGLLGSLIGVLLAFGLITMYRKWDRKRKVSASHERFVSSFKASMLPNSGAKWFHLSELERATQGFSQRNFIGQGAYGVVYKGTLADGTLVAVKQMHDLDSQGDEDFSNEVEIISKIRHRNLLSLRGCCVTSDNSKGKRRYIVYDFMSNGSLGDHLSNDHSRKQLTWPQRKNIILDVAKGLAYLHYGIKPAIYHRDIKATNILLDLEMKAKVADFGLAKQSLDGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGIVILEIMSGRKVIDTSNSSFLLITDWAWTLAKSGKLQEILDESIRDQGPKGVMERFVLVGILCAHVMVAFRPTIADALRMLEGDIDIPRLPERPLPLGHESFRPLWKESSYTTERSRTSSSSRRLM >Potri.010G133401.1.v4.1 pep chromosome:Pop_tri_v4:10:14944492:14944887:-1 gene:Potri.010G133401.v4.1 transcript:Potri.010G133401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133401.v4.1 MKQKSQHRLSIQLSPVLFLDLSEILKDSPKLTKKHLPNIKFITSMAKRQHAWHCRTVIRDSEREMASPFQELTITSCLRSLHQ >Potri.001G128300.1.v4.1 pep chromosome:Pop_tri_v4:1:10519149:10521026:-1 gene:Potri.001G128300.v4.1 transcript:Potri.001G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128300.v4.1 MPFNNKPKKPYAIPSQSKSNLCTTLFFVVLFTIPALFLLHAPTTSICTTLIANHARPWSGDLRNAEFAWNRLAFIENKPPPVKLKIAVFSRKWPFGTTPGGMERHAYTLHTALAHRGHQVHIFTSPVDENSPSVSHASVISYPQIHFHEGEPGKWIHNKAWKQFEEENQRAKPFDVVHSESVALPYWLARRVPNLAVSWHGIALEGLQSSIFQDLARKPNEAITPYFNKSLQGFVPRVLDEIRFFKKYEHHVAISDSCGEMLRDVYQIPRRRVHVIVNGVDEDDFGEDVRSGHEFRSRIGVPVNASLVLGVAGRLVKDKGHPILYEAFSEFMTEHPDVYLIVAGSGPWEQRYKELGPRVLVLGSMNPSELRAFYNSIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIKGTIVVDDEFGFMFSPNVESLLETLEAVAMEGSRRLAQRGKACRQYAASMFTARKMALAYERLFLCIKNETFCTYD >Potri.018G089400.1.v4.1 pep chromosome:Pop_tri_v4:18:10872617:10874506:-1 gene:Potri.018G089400.v4.1 transcript:Potri.018G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089400.v4.1 MESGVKVLWVLSVVLLVSNWQHWTSGKAVPQVPCYFVFGDSLFDNGNNNYLSTPAKVNYLPYGIDFDTGASGRCSNGLNIADTIAEQLGFDSYISDFGVGSCTNFLDGVNYGSNGAGILDLTGYLTGELFTMNIQLYNHNITVSRIAKILGSEEVARKYLSQCIYVSDMGHNDYLNNYFLDDYNSSKLYTPEEYAQLLIETYETQLEKLYCSGARKIAVFGLIRVGCMPSNIQKNPNELDASSCAYKLNDDVQIFNDKLQKLLRKLNNRHSDAVFTYINSYEIDSDDQTNTGFTQTRKSCCEVEPGSVPCKSLSFPCSNRSDYVYWDGAHFTEAKAWAFGKRAYKRQSPKDAYPYDISELVKLKLDDSDAYDINHAQL >Potri.002G095400.1.v4.1 pep chromosome:Pop_tri_v4:2:6973602:6979163:1 gene:Potri.002G095400.v4.1 transcript:Potri.002G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G095400.v4.1 MMMMMMYKQQSEREKKVMEKMRKREEELLNGGGLNGSYLRLLHKKSLLSGSPLSDTSVSLQSSPEFSPSSSFSNGFFSSEDASGSPFATAPPLSPPLFEEATCHQSPSYLYFNGLSLDDSKSPHNINDLSQDFVRMNIREEQNGGVKMKGFEMDPHGDGFGFGDVGVDGSLGVGIVPYNVKNHGSYGGLNNGDFSNDGCDSESFQSSTRGVSLSCNDVMGCRFNGFEKGGFDSVESFPAHNHQSDDFCPGSRWFKNQSDYFLEQSKKQGKWRYEGPHQSQNPFSTRPFVNDALVGPQQYKMDSNGGRVAMDSLSYSLLNGHDRLGESLLLKGRTVPSTRNGVPQSLMSMKGAGDMESLCREDSFILQGRGLNHASHRGHDALRDHNKNHFNEIAVRNMQGESIKPDDCSLHEGIRENGRRLGSHSPLPMAPSFTSLNEFRGYVYLMAQDQNGCLFLQKIFEEGTSQDVELIFDEIIGHIVELMLKPFGNYVVQKLLDVCDEKHRLLIVRMITNEPGMLVRICLNTYGTRVVQRLIETLKTRQQISSVILALKPGVLDLVKDQNGNHVIQRCLNLLSNEDNKFIFDAATKFCVEIATHRHGCCVMQRCIAHATGKHWDKLMTEISRNGLLLAQDPFGNYVVQYIMDLKNSCSIAILLSQFKGNYVHLSMQKFGSHVVERCLGHFEESRSQIVLELLSVPRFEQLLQDPFANYVIQCALAVTKGALHSLLVEAVRPHSTLRTSPYCKRIFSRNLLKK >Potri.016G013400.4.v4.1 pep chromosome:Pop_tri_v4:16:719494:722787:-1 gene:Potri.016G013400.v4.1 transcript:Potri.016G013400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013400.v4.1 MVTDQEIAKGVETVLRQSDPSTVTSLNGVVQQLEAKLGLDLSHKAAFIRDQINLLLRPHPTTTASASVTTTAHPQLTPQPPPPPSQQAFQFQQGQTLHLTPKDHFALQFQQQQFHPSHFALHPHHHPHQHPQQHQQHQVFPQDLNFRQPQAVVAPPGPPPQLQVQQQQRQTQHVQNVGDIPHEVAKESTPVGSKRRGGPGGLNKVCGVSPELQVVVGEPALPRTEIVKQLWQYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIPLQPSKESGQAKRAKVDVETTTENKEPAASLVVISEGLAEFLGTTEREMTQTEASRRVWEYIKLKQLEDPLNSMVIQCDTKLRDLLGCESISAVGVGEVLARHHLFKRS >Potri.016G013400.3.v4.1 pep chromosome:Pop_tri_v4:16:719469:722789:-1 gene:Potri.016G013400.v4.1 transcript:Potri.016G013400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013400.v4.1 MVTDQEIAKGVETVLRQSDPSTVTSLNGVVQQLEAKLGLDLSHKAAFIRDQINLLLRPHPTTTASASVTTTAHPQLTPQPPPPPSQQAFQFQQGQTLHLTPKDHFALQFQQQQFHPSHFALHPHHHPHQHPQQHQQHQVFPQDLNFRQPQAVVAPPGPPPQLQVQQQQRQTQHVQNVGDIPHEVAKESSTPVGSKRRGGPGGLNKVCGVSPELQVVVGEPALPRTEIVKQLWQYIRKNNLQDPSNKRKIICDDALRVVFETDCTDMFKMNKLLAKHIIPLQPSKESGQAKRAKVDVETTTENKEPAASLVVISEGLAEFLGTTEREMTQTEASRRVWEYIKLKQLEDPLNSMVIQCDTKLRDLLGCESISAVGVGEVLARHHLFKRS >Potri.009G049200.1.v4.1 pep chromosome:Pop_tri_v4:9:5455376:5469067:-1 gene:Potri.009G049200.v4.1 transcript:Potri.009G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049200.v4.1 MSSWRSLLLRIGDNCPDYGTSSDFKEHIETCFGVIRRELEHSSNDILSFLLQCAEQLPHKIPLYGTLVGLLNLENEDFVKQMVEATQANFQDALDFGNCDMIRILMRFLTVMMCSKVLQPSSLVVVFETLLSSAATTLDEEKGNPSWQARGDFYVSCILSCLPWGGSELVEQVPEEIESVMVGIEAYLSIRRHNSDTGLSFFEDDDESGRAVVEKDFLEDLWGRIQVLSSNGWKVDSVPRPHLSFEAQLVAGKSHEFGPINTPEQPEPLSEVSGVLYGKQKHDAELKYPQRIRRLNIFPASKIEDMQPIDRFIVEEYLLDVLLFLNGCRKECASFMVGLPVPFRYDYLMAETIFSQLLLLPLPPFKPIYYTLVIMDLCKALPGAFPGVVAGAVRALFEKIADLDTECQTRLILWFSHHLSNFQFIWPWEEWAFVLDLPKWAPQRVFVQEVLEREVRLSYWDKVKQSIENAPALEELLPPKGSPNFIYSIEDGREKTEQHALSAELNNKVKARQTAREIISWVEESVVPNHGWDVALKVVVHTLLEIGSKSFTHLITVLERYGQVFARICPDHDKQVMLIAEVSSYWKNNAQMTAIAIDRMMGYRLISNLAIVRWVFSPANIEQFHTSDRPWEVLRNAISKTYNRISDLRNEISSLKKSVVSAEEAATKAKTELDAAESKLSLVDGEPVLGDNPARLKRLKANAEKAKEEEVSVHESLEAKEALLARALDENEALFLSLYKNFSNVLMERLPDPSRARTLRELKSIQADEMTVDLDESSVMEVDNESGRPNKSQSNGGKESNIYNVGEKEQWCLSTLGYVKAFARQYASEIWAHIEKLDADVFTENVHPLFKKAVYSGLSRPINDVSSG >Potri.006G115400.1.v4.1 pep chromosome:Pop_tri_v4:6:9008227:9010057:-1 gene:Potri.006G115400.v4.1 transcript:Potri.006G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115400.v4.1 MQKMEQQPQQQNQLTVQNSGSLSFSSQMSKEDEEMSRSALSTFRAKEEEIEKKKMEVREKVQAQLGRVEEETKRLAMIREELEALADPMRKEVAVVRKKIDTVNKELKPLGHTVQKKEKEYKDALEAFNDKNKEKVQLITKLMEFEQLVSESERLRLKKLEELSKNIDSMH >Potri.006G115400.2.v4.1 pep chromosome:Pop_tri_v4:6:9007227:9010063:-1 gene:Potri.006G115400.v4.1 transcript:Potri.006G115400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115400.v4.1 MQKMEQQPQQQNQLTVQNSGSLSFSSQMSKEDEEMSRSALSTFRAKEEEIEKKKMEVREKVQAQLGRVEEETKRLAMIREELEALADPMRKEVAVVRKKIDTVNKELKPLGHTVQKKEKEYKDALEAFNDKNKEKVQLITKLMELVSESERLRLKKLEELSKNIDSMH >Potri.010G060800.1.v4.1 pep chromosome:Pop_tri_v4:10:9083914:9088165:-1 gene:Potri.010G060800.v4.1 transcript:Potri.010G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060800.v4.1 MEEGLALVVERKGKKRKRKKMREDRGRPFCQDPLDVLGRDLMLRVLNNLDARSLALCLVVSRTWNRVASSDLLWTSKCEELWCGKAHIPRLSLVRGISKLDAYSLSVMDGKRNRIMRDDLCDHVWEFHFTKAAPEYWRNLDPYWKGNGPPMHRYFHPDGSQTADPGDKVWGGHESCYSIVTSIIGEGKIREHYVRINRWRPLAVSRKQDWSWEMTNNLFCYSSIPDAYKEGGTGPLFLVM >Potri.012G054100.1.v4.1 pep chromosome:Pop_tri_v4:12:5154961:5156633:-1 gene:Potri.012G054100.v4.1 transcript:Potri.012G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054100.v4.1 MPIYSSDSDNETTPRTKLFGRQRPIRSVLGGGQVAGVLLWENKKVSAALSFGMTILWFLFEVAEYNFVTLFSHISITAMLIVFIWCTSAEFFNWNPPAIPRSILDKSTFHEFALTFHERFNQALSSFVDIACGKQPALFFVAIFCLYILSVIGNYFTFLNFLYLCFVCLQTLPFLYNKYEDEVERYAGKLTREVKKMYRRFDSNVLNKIPRGVPVKEKKGR >Potri.008G124200.2.v4.1 pep chromosome:Pop_tri_v4:8:8067241:8068517:1 gene:Potri.008G124200.v4.1 transcript:Potri.008G124200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124200.v4.1 MRSDMHAVLGRGKVADILSWKNKTLSGGILGGVTVLWVLFELTGYSLATFFCHILMLLMITLFTWSKSAGLTKRNPPTSNDIRLPESAFRFFFDQINETILAFYKTSTGQKGLKTFFVTLAGLYILSFIGSLFSTMTFAYLVFACCATIPAFYEQNKMQVHEIFGQSYREINNSLKDFRSKLFDKIPRGKDD >Potri.019G004300.2.v4.1 pep chromosome:Pop_tri_v4:19:997605:999377:-1 gene:Potri.019G004300.v4.1 transcript:Potri.019G004300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G004300.v4.1 MGSCSLLSLTLCFLVLFNCCFAQIEQVSSRHGQQQQGRQRRSQRSECQIDRINALEPARKIRSEAGVTEIWDENDEQFQCAGVVVIRHTINNRGLLLPAYSNTPKLIYVEQGRGIQGAVFPGCPETFQSSGNSSQDRRESSEDQHQKVRKVREGDVVALPSGVADWFYNNGDSPLVLVQLLDTSNPANQLDQDFREFFLAGNPRQESQSQRSSYQRGQYEGQRGRQDEGESWREQHERSRNVFSGFNEQILAEAFNIDTKLARRMQNENDNRGIIVRAQHELQVISPRQSQEEEERQQESRRSTRRRHEDNGVEETFCTARLKLNINDPEDADVFNPRAGRLTTVNSLNLPILRHVQLSAERGVLYANALMSPHWNINAHSIMYITGGNGRIQIVGDNGQAVFDGQVRKGQVVTAPQNFAVVMKAGSQGLEWVSFKTNDNAQISQLAGRVSTIRALPDEVVANSFQISREDARRLKNNRDEVSVLTASRQSQYERD >Potri.001G079900.1.v4.1 pep chromosome:Pop_tri_v4:1:6350869:6352048:1 gene:Potri.001G079900.v4.1 transcript:Potri.001G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079900.v4.1 MNEISSLCEFSALSHHHQHRSTSFNSLISCLNETWGDLPLRVDDSEDMVIYNFLRDAVCCGWSPLDLSTSTTTTSSTTTTTTTTTTTITTNVVKAEPKDELDLEAEPIKLVQESSLNMEAVVTKKVVTKGRHYRGVRQRPWGKFAAETRDPAKNGARVWLGTYETAKEAALAYDRAAYRMRGSKALLNFPHKIGSNEPDPVRITAKRRQPDTGLTAVDTGSAKMRKMLVDEGAELERGVGYSVFQVGHHKGLMPVGEQLLAS >Potri.008G069900.1.v4.1 pep chromosome:Pop_tri_v4:8:4273113:4277239:1 gene:Potri.008G069900.v4.1 transcript:Potri.008G069900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT1 MQTSRRKSPLLSSVTIAMKHRKNNNLSVFVVVSSIFIFGVFMYNEDVKSIAEFPFSWPKSQEIQEELSKGTTPVQETLKKDRELPASVGSRTSLEEPQVDQEFEENQESDKLKSSGSKEDEEKIELPIIEEDDVDVELPPEECDLFTGEWVFDNETRPLYKEDECEFLTAQVTCMRNGRKDSLYQNWKWQPRDCSLPKFKPRLLLNKLRNKRLMFVGDSLNRNQWESMICFVQSVIPPGRKSLNKTGSLAVFRIEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIDKHGVDWKNVDYLIFNTYIWWMNTFSMKVLRGSFDEGSTEYDEIERPVAYRRVLNTWSKWVEKNVDPNRTTVFFSSMSPLHIKSLDWENPDGIKCAKETAPILNVSMPLNVGTDRRLFVVAANITGSMKVPVHFLNITKLSEYRKDAHTSVHTIRQGKMLTPEQQADPETYADCIHWCLPGLPDTWNEFIYTRIISRT >Potri.002G096000.2.v4.1 pep chromosome:Pop_tri_v4:2:7008106:7011821:1 gene:Potri.002G096000.v4.1 transcript:Potri.002G096000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096000.v4.1 MAVALEIGDANNLDGNNNSGKITLSVLITCIVAASGGLIFGYDIGISGGVTTMPSFLETFFPSVAKQAAEAKNTNMYCMYDSHALTLFTSSLYIAGLVASPVASRLIATTGRKNVMMLGGCIFFAGAALNGLAANVLMLILGRLMLGFGVGFNNQATPVYLSEVAPPKWRGAFSTGFQFFNGIGVLSANCINFFVAKHSWGWRLSLGLASVPAAIMTIGALCILDTPSSLVERGKLVEARQSLIKIRGNKSNVDDELADLVNSSELAKAAHEPLKTIFERRNRPHLVMAIAIPFFQQFTGIGVVAFYTPVVFSSVGSGQDSALTAAIVLGAVNLGSILVSTVVVDRYGRRLLFIIGGIQMFICQVLQALRRSPRAMTYCYSFSCASMLLVSAGLGILLPCSFLVKSFL >Potri.002G096000.1.v4.1 pep chromosome:Pop_tri_v4:2:7008106:7011782:1 gene:Potri.002G096000.v4.1 transcript:Potri.002G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096000.v4.1 MAVALEIGDANNLDGNNNSGKITLSVLITCIVAASGGLIFGYDIGISGGVTTMPSFLETFFPSVAKQAAEAKNTNMYCMYDSHALTLFTSSLYIAGLVASPVASRLIATTGRKNVMMLGGCIFFAGAALNGLAANVLMLILGRLMLGFGVGFNNQATPVYLSEVAPPKWRGAFSTGFQFFNGIGVLSANCINFFVAKHSWGWRLSLGLASVPAAIMTIGALCILDTPSSLVERGKLVEARQSLIKIRGNKSNVDDELADLVNSSELAKAAHEPLKTIFERRNRPHLVMAIAIPFFQQFTGIGVVAFYTPVVFSSVGSGQDSALTAAIVLGAVNLGSILVSTVVVDRYGRRLLFIIGGIQMFICQVALSILLYMATGAAGTEKIPKGYDLLLLVFMCIYAAGFGWSWNPLTVLIPSEIFPMRIRATGVSINIAVAFSATFVLSQFFLTMLCHLKHSLFLFYGCWIAVMTVFVVVFLPETRGIPLEKMDEVWMKHWYWRRFVGGQL >Potri.003G014056.1.v4.1 pep chromosome:Pop_tri_v4:3:3091322:3093439:-1 gene:Potri.003G014056.v4.1 transcript:Potri.003G014056.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014056.v4.1 MSQLNTSLHPPPQRTTYTAPPKSSDHHAKHLSQNNITTSPSQKFPDTNLPIPVPSFAPTCKTPVPAPCPSITNDAAEHVKLFFERFPSWVDYQDLKRAFFKLGRVIKLFVSKRKTVLGRRFGFVDISSSLSVSTLCDQASRIWFDTYKLIVNPAKHHSLKTSPSPPKHVPKPATPYHPQLSLIDHRSFAEVLTNTKPQIATTRRRMVQYVSTEEDKEWLYRSLVGNILPNVDVATLEATILKSIANTVSFRFLGASQVIITFTDQLASKKEQDNEGSILKSLLSNLRQWEGRTRAYDRFAWIAIFGLPMEGWNRNCFDTILQSWGTIAGYDTTCVSQGSISGIRVLIRTIKMEPLHDEVSLKLDGIQVEVLLNEIKGEFIPSLTTVKHSMDVSLYTESVLSDDEEEQTDTISAATIPRATRQNTEFEFICTLGNQDQNDRTFLNTGISPLYLHPEITEFCSHAILPEELVYEDGNHLALVLYDCAGVATADVREGDEQQVVCMLERDGLDQQKGYNSGDVKKMGREKKQKPFRLDQHKGNSGSSSIGPSFANFIIPIADQTELSDLELQNRRSQRNKAKKLSRKAPTVKRRKPRKMGNQSSKKTELGIIDYSVSDNGIMNRNVIIRSGKEIAGEDEVSSSHSLISPTSSDPTREPLQPDPREEATACWDVGKAVLQVHDESHLMTQTLQSFIEKEQEEWMRRKEQ >Potri.017G048400.3.v4.1 pep chromosome:Pop_tri_v4:17:3448910:3453217:-1 gene:Potri.017G048400.v4.1 transcript:Potri.017G048400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048400.v4.1 MESLRRKRKGLEILPSSGKSLPPLTVILSHFSLGDYSRQRKKYKEGEDKEVVGSGRSVVKGVFTVPPCRSVSADPSCRGLKRKIGCIDAATQLGRKKKIEEEYDLGAYIGQGKFGSVVLCRSKVTGEVFACKMLRKGEELVHREVEIMQHLSGHPGVVTLKAVYEDLESFYLVMELCPEGRLLDKMAKERQYPEHRAANILKEIVSVIKYCHDMGVVHRDVKPENILLATSGKMKLADFGLAVRMSNGNNDFVNISVVFRYIQAHSHIPGYTSVRASEVQLEVLLMLLQKF >Potri.017G048400.1.v4.1 pep chromosome:Pop_tri_v4:17:3448922:3453226:-1 gene:Potri.017G048400.v4.1 transcript:Potri.017G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048400.v4.1 MESLRRKRKGLEILPSSGKSLPPLTVILSHFSLGDYSRQRKKYKEGEDKEVVGSGRSVVKGVFTVPPCRSVSADPSCRGLKRKIGCIDAATQLGRKKKIEEEYDLGAYIGQGKFGSVVLCRSKVTGEVFACKMLRKGEELVHREVEIMQHLSGHPGVVTLKAVYEDLESFYLVMELCPEGRLLDKMAKERQYPEHRAANILKEIVSVIKYCHDMGVVHRDVKPENILLATSGKMKLADFGLAVRMSNGQSLRGAVGSPAYVAPEVLAGDYSEKVDIWSAGVLLHALLVGVLPFQGDSLEAVFEAIKKVNLDFKSELWESVSQPARDLIAHMLTRDVSARLTADEILGHPWIVFYTEPTQKELTPKSKFQDHVTLTSQQLTLATELESDRSKITASGFLSDDCSPLLSSDGSRSRLEEHDCGLIDALTAAISRVRISEPKRSRLCWPTSPIRQECSSNIKINNLCTAF >Potri.006G116000.4.v4.1 pep chromosome:Pop_tri_v4:6:9066091:9077109:-1 gene:Potri.006G116000.v4.1 transcript:Potri.006G116000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116000.v4.1 MDVGRQNQKESWIPQSHFRHILPRVIYTDRQGNQLDQASSSEIKGLSGLGECCPPSSSNWEAAARGAEKGSAGNDYVETSRERSTGNTATWNPMSLDDPLLALADAASREHLNPWIIGNYTQEPKWGEANAFPAETTSQFAPVTPDKGMKVESKKIIEMQNLCTHSRNQEFSDDGIVSRGADASGLQTDEELLQRATNSSFAVGSPVLKENHNPDRRDSDVIDLNRTPQQKPRRKKHRPKVINEGKRKGPQKSTTPKSAASTEKPTGKRKYVRKKALGKAPASSPAEANGQSADPKIIEQAKKSCRRALNFDIERQPRDKSSKCSPPFDLDSKPEAQTSAAINQSKSTVFLGRGIEVMVETTQAGIAYDLTHSINQMLKNYVSLRDKEAPSTLFPAQTSEQQGKQDGNLQEKGVDQGIAHDVQEDTAQITPISPNGSNCSTSTTSTLEGQASRSKRKHSEQPDTCSTNLTGIHYNSLNAYQTMPSLLFRKKKRSEKGQTPATSCTSSSVTATKDIAIVETTCPQKDPERDPFTPNINCWISAAPRNGLPGKHVEERIDLLNDLQTFGYSINQTTRSTKKRSRCPTKTRDLASVTRIPGCALHPTNRNRLVPVDCNGQQVGNSHRPHMSVEAVLAEMNGTWTTKKRTKKRASLVNSGSYSINAVPYHGKIVVYNQHKFSAKALGAHPEEMWKQMFSVDSIVEQLKHLDIKRESNDIAFEERNALVHYNIGDDMRNALVLYKRDGTVVPYDGSFGSIRKRRPRPKVDLDQETNRVWKLLMGNINSEGIDGTDDEKAKWWEEERAVFCGRSNSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPLKSKNKPCYDERTSLVIEKPIEFIPDSEEGIRWNEVSNQSICGQSSLTIHDIEPDEEQEVVKSSESSESSTGIVTSETEPHTFSQLMASRSTIETSMTRRVSYMVEEGTQIIDGISSQNSVISGQNSVNSPIGQASEKKESCSENISEGEYLTDGSKLNNYNDCRSFMELLRKVGSPLMQDAYSQGNGKMDSLNDHKSPIGVSMVASSNCYWHLTSNSGAVKVDCFDMIPKETQYGDIAKNKKEDSAKDHNALAVETASQITDQNKLTLINQEASRSPMSNNQSCIDIQKDKHTSVQSTAMPVEDPKVTDNSLIQMQNNYLQKNQYLQNLSGETTHITGSTSAFDRQQKNRQKTTESEMIELGYSQSKELNEMKAATRKAKSRRVGNEIRDDVDWDALRKEAEANGKREGTENTMDSLDWEAVRCADVNEIANTIKERGMNNILAERIKNLLNRLVREHGSIDLEWLRDIPPDKAKEYLLSIRGLGLKSVECIRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENISGQNPAVDAAQLPLPLPLPLPQTAKQSEGSQQPEASRLAESKSRITDYEPIIEEPSSPEPVSTQVTENDMEDTFCEDPDEIPIIKLNIEEFTQNLQNYMQENMELQEADMSKALVALTAEAASIPVPKLKNVSRLRTEHQVYELPDSHPLLQRLDRREPDDPCSYLLAIWTPGETANSIQPLERSCSLHECGKLCDEKTCFSCNNIREENSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRAWIWNLPRRTVYFGTSIPTIFKGLTTAGIQHCFWRGYVCVRGFDQKTRAPRPLMARLHFPASKLTQTKKGDISGR >Potri.006G116000.5.v4.1 pep chromosome:Pop_tri_v4:6:9066029:9072932:-1 gene:Potri.006G116000.v4.1 transcript:Potri.006G116000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116000.v4.1 MSLAARFPLKSKNKPCYDERTSLVIEKPIEFIPDSEEGIRWNEVSNQSICGQSSLTIHDIEPDEEQEVVKSSESSESSTGIVTSETEPHTFSQLMASRSTIETSMTRRVSYMVEEGTQIIDGISSQNSVISGQNSVNSPIGQASEKKESCSENISEGEYLTDGSKLNNYNDCRSFMELLRKVGSPLMQDAYSQGNGKMDSLNDHKSPIGVSMVASSNCYWHLTSNSGAVKVDCFDMIPKETQYGDIAKNKKEDSAKDHNALAVETASQITDQNKLTLINQEASRSPMSNNQSCIDIQKDKHTSVQSTAMPVEDPKVTDNSLIQMQNNYLQKNQYLQNLSGETTHITGSTSAFDRQQKNRQKTTESEMIELGYSQSKELNEMKAATRKAKSRRVGNEIRDDVDWDALRKEAEANGKREGTENTMDSLDWEAVRCADVNEIANTIKERGMNNILAERIKNLLNRLVREHGSIDLEWLRDIPPDKAKEYLLSIRGLGLKSVECIRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENISGQNPAVDAAQLPLPLPLPLPQTAKQSEGSQQPEASRLAESKSRITDYEPIIEEPSSPEPVSTQVTENDMEDTFCEDPDEIPIIKLNIEEFTQNLQNYMQENMELQEADMSKALVALTAEAASIPVPKLKNVSRLRTEHQVYELPDSHPLLQRLDRREPDDPCSYLLAIWTPGETANSIQPLERSCSLHECGKLCDEKTCFSCNNIREENSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRAWIWNLPRRTVYFGTSIPTIFKGLTTAGIQHCFWRGYVCVRGFDQKTRAPRPLMARLHFPASKLTQTKKGDISGR >Potri.006G116000.6.v4.1 pep chromosome:Pop_tri_v4:6:9065969:9072728:-1 gene:Potri.006G116000.v4.1 transcript:Potri.006G116000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116000.v4.1 MASRSTIETSMTRRVSYMVEEGTQIIDGISSQNSVISGQNSVNSPIGQASEKKESCSENISEGEYLTDGSKLNNYNDCRSFMELLRKVGSPLMQDAYSQGNGKMDSLNDHKSPIGVSMVASSNCYWHLTSNSGAVKVDCFDMIPKETQYGDIAKNKKEDSAKDHNALAVETASQITDQNKLTLINQEASRSPMSNNQSCIDIQKDKHTSVQSTAMPVEDPKVTDNSLIQMQNNYLQKNQYLQNLSGETTHITGSTSAFDRQQKNRQKTTESEMIELGYSQSKELNEMKAATRKAKSRRVGNEIRDDVDWDALRKEAEANGKREGTENTMDSLDWEAVRCADVNEIANTIKERGMNNILAERIKNLLNRLVREHGSIDLEWLRDIPPDKAKEYLLSIRGLGLKSVECIRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENISGQNPAVDAAQLPLPLPLPLPQTAKQSEGSQQPEASRLAESKSRITDYEPIIEEPSSPEPVSTQVTENDMEDTFCEDPDEIPIIKLNIEEFTQNLQNYMQENMELQEADMSKALVALTAEAASIPVPKLKNVSRLRTEHQVYELPDSHPLLQRLDRREPDDPCSYLLAIWTPGETANSIQPLERSCSLHECGKLCDEKTCFSCNNIREENSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRAWIWNLPRRTVYFGTSIPTIFKGLTTAGIQHCFWRGYVCVRGFDQKTRAPRPLMARLHFPASKLTQTKKGDISGR >Potri.006G116000.3.v4.1 pep chromosome:Pop_tri_v4:6:9066086:9077345:-1 gene:Potri.006G116000.v4.1 transcript:Potri.006G116000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116000.v4.1 MDVGRQNQKESWIPQSHFRHILPRVIYTDRQGNQLDQASSSEIKGLSGLGECCPPSSSNWEAAARGAEKGSAGNDYVETSRERSTGNTATWNPMSLDDPLLALADAASREHLNPWIIGNYTQEPKWGEANAFPAETTSQFAPVTPDKGMKVESKKIIEMQNLCTHSRNQEFSDDGIVSRGADASGLQTDEELLQRATNSSFAVGSPVLKENHNPDRRDSDVIDLNRTPQQKPRRKKHRPKVINEGKRKGPQKSTTPKSAASTEKPTGKRKYVRKKALGKAPASSPAEANGQSADPKIIEQAKKSCRRALNFDIERQPRDKSSKCSPPFDLDSKPEAQTSAAINQSKSTVFLGRGIEVMVETTQAGIAYDLTHSINQMLKNYVSLRDKEAPSTLFPAQTSEQQGKQDGNLQEKGVDQGIAHDVQEDTAQITPISPNGSNCSTSTTSTLEGQASRSKRKHSEQPDTCSTNLTGIHYNSLNAYQTMPSLLFRKKKRSEKGQTPATSCTSSSVTATKDIAIVETTCPQKDPERDPFTPNINCWISAAPRNGLPGKHVEERIDLLNDLQTFGYSINQTTRSTKKRSRCPTKTRDLASVTRIPGCALHPTNRNRLVPVDCNGQQVGNSHRPHMSVEAVLAEMNGTWTTKKRTKKRASLVNSGSYSINAVPYHGKIVVYNQHKFSAKALGAHPEEMWKQMFSVDSIVEQLKHLDIKRESNDIAFEERNALVHYNIGDDMRNALVLYKRDGTVVPYDGSFGSIRKRRPRPKVDLDQETNRVWKLLMGNINSEGIDGTDDEKAKWWEEERAVFCGRSNSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPLKSKNKPCYDERTSLVIEKPIEFIPDSEEGIRWNEVSNQSICGQSSLTIHDIEPDEEQEVVKSSESSESSTGIVTSETEPHTFSQLMASRSTIETSMTRRVSYMVEEGTQIIDGISSQNSVISGQNSVNSPIGQASEKKESCSENISEGEYLTDGSKLNNYNDCRSFMELLRKVGSPLMQDAYSQGNGKMDSLNDHKSPIGVSMVASSNCYWHLTSNSGAVKVDCFDMIPKETQYGDIAKNKKEDSAKDHNALAVETASQITDQNKLTLINQEASRSPMSNNQSCIDIQKDKHTSVQSTAMPVEDPKVTDNSLIQMQNNYLQKNQYLQNLSGETTHITGSTSAFDRQQKNRQKTTESEMIELGYSQSKELNEMKAATRKAKSRRVGNEIRDDVDWDALRKEAEANGKREGTENTMDSLDWEAVRCADVNEIANTIKERGMNNILAERIKNLLNRLVREHGSIDLEWLRDIPPDKAKEYLLSIRGLGLKSVECIRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPVLESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSKPNCNACPMRGECRHFASAFASARLALPGPEEKSIVSATENISGQNPAVDAAQLPLPLPLPLPQTAKQSEGSQQPEASRLAESKSRITDYEPIIEEPSSPEPVSTQVTENDMEDTFCEDPDEIPIIKLNIEEFTQNLQNYMQENMELQEADMSKALVALTAEAASIPVPKLKNVSRLRTEHQVYELPDSHPLLQRLDRREPDDPCSYLLAIWTPGETANSIQPLERSCSLHECGKLCDEKTCFSCNNIREENSQIVRGTLLIPCRTAMRGSFPLNGTYFQVNEVFADHDSSLNPIDVPRAWIWNLPRRTVYFGTSIPTIFKGLTTAGIQHCFWRGYVCVRGFDQKTRAPRPLMARLHFPASKLTQTKKGDISGR >Potri.016G062100.2.v4.1 pep chromosome:Pop_tri_v4:16:4327928:4332377:-1 gene:Potri.016G062100.v4.1 transcript:Potri.016G062100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062100.v4.1 MESIALWQGLTLCGIVSWIFISSCLNVTQKLRNLVQPWVSHHVITGTPIILQIQKYQHGFLDALFSGLSCVVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYSGNCIKDVVSAPRPSCPPVKRMTATKDEEENALEYGLPSSHTLNTICLSGYLLHYVLSYTPNQDASLKFAGFSIFCLIVCLTGLGRIYLGMHSVIDIIAGLAIGFAILAFWLSVHDYVDSFIVSGQNVMTFWVVLSFLLLFAYPTPELPTPSFEFHAAFTGVAFGIVSNHSPN >Potri.016G062100.1.v4.1 pep chromosome:Pop_tri_v4:16:4327933:4332485:-1 gene:Potri.016G062100.v4.1 transcript:Potri.016G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062100.v4.1 MESIALWQGLTLCGIVSWIFISSCLNVTQKLRNLVQPWVSHHVITGTPIILQIQKYQHGFLDALFSGLSCVVSVPFYTAFLPLLFWSGHGKLARQMTLLMAFCDYSGNCIKDVVSAPRPSCPPVKRMTATKDEEENALEYGLPSSHTLNTICLSGYLLHYVLSYTPNQDASLKFAGFSIFCLIVCLTGLGRIYLGMHSVIDIIAGLAIGFAILAFWLSVHDYVDSFIVSGQNVMTFWVVLSFLLLFAYPTPELPTPSFEFHAAFTGVAFGIVAGVQQTYHQFHHEAVPHIFTPQLTIPAFFGRVLVGLPTILVVKYCSKALAKWILPVVSNTLGIPIKSTSYIPKLNGSVTGKKSEKNKPTGYAMKLFFFSSQDTFDVDTGIRFLQYSGLAWSVVDLVPSLFSYLRL >Potri.015G056300.1.v4.1 pep chromosome:Pop_tri_v4:15:7792038:7795721:-1 gene:Potri.015G056300.v4.1 transcript:Potri.015G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056300.v4.1 MSSHNNNSASANDPRQPLAAKPFVAPMVSPQDLPVDYSGFIAVILGVAGVMFRYKLCSWLALIFCAQSLSNMRNMENDLKQISMASMFAIMGLVTNYLGPARPGSQS >Potri.017G131000.1.v4.1 pep chromosome:Pop_tri_v4:17:13390078:13392284:-1 gene:Potri.017G131000.v4.1 transcript:Potri.017G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131000.v4.1 MATALVSQENVVASLVSRTGRHLQRYDKGRRQVVGCIPYRYKNGSSNTSEVEDELEVLVISSQKGKGMLFPKGGWELDETIKQAASRETYEEAGVKGNVEHQLGHWTFQSRTHGTDYDGYLFPLHVKEVLDFWPEKNNRQRKWMSVEEARECCQRWWMKEALDVLVDRLAGRQQLGEEEMGSCSLSYQAKSNL >Potri.003G183100.1.v4.1 pep chromosome:Pop_tri_v4:3:18817288:18820581:1 gene:Potri.003G183100.v4.1 transcript:Potri.003G183100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183100.v4.1 MPSRPLSPSFDHPRPSFLTKTRILFLTLTISASVILILTILYFVYHLWCTLVNRSRTIPFDSSAPLKLQRFSYKELKIATNDFDDANIIGKGGSATVFRGIARDGKLYAIKRLDALSLQSEREFQNELQILGGLRSPFLVILLGYCVEKNKRLLVYEYVPNKSLQELLFGDGHLSLCWERRFNIILDVAKALEFLHLGCDPPVIHGDVKPSNVLLDFDMRAKISDFGLSRIKVEGEFGVDLFSQDLGKSQELWKSQELSGNLTPETPAIGTPVESCHEVDFALALQASSSSKNSRTCYNVKALNLNSVNYNANIAGESDVKVGNGKGKEVSSVDIGGDDWNCRFVPYDDEFCSNDHSKELNCNSFSVVDDSASSKQWGKDWWWRQDGSGELCSKDYVMEWIGSQICPSTNPDWEDEKKSTPERTEMRRSVALDKLADANEPPRLKDFKFENLVRGFEKKESRGRKNRRKKNRKMQEWWKEEHLDEINKKGSKLKNLETKWRKGFKIPHFDLGRRFRFHRRKKLGEQNQNETDQNGEFSFRRGWKKKNLQSAGSDMWSGDLFSRELSSTTSMRGTLCYVAPEYGGCGYLMEKADIYSLGVLILVIVSGRRPLHVLASPMKLEKANLISWCRQLAQTGNILELVDERMKDEHNKEQASLCINLALTCLQRMPELRPDIGEIVKILKGEMDLPHLPFEFSPSPPSKLFSRSRRKQKSNAE >Potri.009G055600.10.v4.1 pep chromosome:Pop_tri_v4:9:5932594:5937310:1 gene:Potri.009G055600.v4.1 transcript:Potri.009G055600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055600.v4.1 MIDMEMDARDMSFFPDKSFDAVVDKGTLDSLMCGSDAPISSVRMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYNWKIILYIIPRPGFEKPGGSSSSSHLEPVPISDTGVLPADFVLEDPDSHFIYVCKKMDETTEVSDISSYPLIADAL >Potri.009G055600.8.v4.1 pep chromosome:Pop_tri_v4:9:5932594:5937311:1 gene:Potri.009G055600.v4.1 transcript:Potri.009G055600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055600.v4.1 METPCTGTRDTSRKLRASIGMSEDMVEDGYENITNIDISSVAIDIMRRKYEHVHQLNYMEMDARDMSFFPDKSFDAVVDKGTLDSLMCGSDAPISSVRMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYNWKIILYIIRTMEVSCKAYASGSILSDVTNDISCVWSTKTESKSAQGSIAASYALRSPPN >Potri.009G055600.2.v4.1 pep chromosome:Pop_tri_v4:9:5932594:5937310:1 gene:Potri.009G055600.v4.1 transcript:Potri.009G055600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055600.v4.1 MYRDVSSCNTYNYGDALYWDARYVQEAESFDWYQHYSSLRPFVRRYIPTSSRVLMVGCGNARMSEDMVEDGYENITNIDISSVAIDIMRRKYEHVHQLNYMEMDARDMSFFPDKSFDAVVDKGTLDSLMCGSDAPISSVRMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYNWKIILYIIRTMEVSCKAYASGSILSDVTNDISCVWSTKTESKSAQGSIAASYALRSPPN >Potri.009G055600.6.v4.1 pep chromosome:Pop_tri_v4:9:5932594:5937310:1 gene:Potri.009G055600.v4.1 transcript:Potri.009G055600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055600.v4.1 METPCTGTRDTSRKLRASIGMSEDMVEDGYENITNIDISSVAIDIMRRKYEHVHQLNYMEMDARDMSFFPDKSFDAVVDKGTLDSLMCGSDAPISSVRMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYNWKIILYIIRTMEVSCKAYASGSILSDVTNDISCVWSTKTESKSAQGSIAASYALRSPPN >Potri.009G055600.1.v4.1 pep chromosome:Pop_tri_v4:9:5932594:5937310:1 gene:Potri.009G055600.v4.1 transcript:Potri.009G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055600.v4.1 MYRDVSSCNTYNYGDALYWDARYVQEAESFDWYQHYSSLRPFVRRYIPTSSRVLMVGCGNARMSEDMVEDGYENITNIDISSVAIDIMRRKYEHVHQLNYMEMDARDMSFFPDKSFDAVVDKGTLDSLMCGSDAPISSVRMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYNWKIILYIIPRPGFEKPGGSSSSSHLEPVPISDTGVLPADFVLEDPDSHFIYVCKKMDETTEVSDISSYPLIADAL >Potri.009G055600.5.v4.1 pep chromosome:Pop_tri_v4:9:5932594:5937310:1 gene:Potri.009G055600.v4.1 transcript:Potri.009G055600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055600.v4.1 METPCTGTRDTSRKLRASIGMSEDMVEDGYENITNIDISSVAIDIMRRKYEHVHQLNYMEMDARDMSFFPDKSFDAVVDKGTLDSLMCGSDAPISSVRMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYNWKIILYIIPRPGFEKPGGSSSSSHLEPVPISDTGVLPADFVLEDPDSHFIYVCKKMDETTEVSDISSYPLIADAL >Potri.009G055600.11.v4.1 pep chromosome:Pop_tri_v4:9:5932594:5937310:1 gene:Potri.009G055600.v4.1 transcript:Potri.009G055600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055600.v4.1 MYRDVSSCNTYNYGDALYWDARYVQEAESFDWYQHYSSLRPFVRRYIPTSSRVLMVGCGNARMSEDMVEDGYENITNIDISSVAIDIMRRKYEHVHQLNYMEMDARDMSFFPDKSFDAVVDKGTLDSLMCGSDAPISSVRMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYNWKIILYIIPRPGFEKPGGSSSSSHLEPVPISDTGVLPADFVLEDPDSHFIYVCKKMDETTEVSSLDEPAASTNHVSLTIPIGNQNTSGIMQSLPRIILFTLTDSCWCHLTCSEE >Potri.009G055600.9.v4.1 pep chromosome:Pop_tri_v4:9:5932594:5937310:1 gene:Potri.009G055600.v4.1 transcript:Potri.009G055600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055600.v4.1 MIDMEMDARDMSFFPDKSFDAVVDKGTLDSLMCGSDAPISSVRMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYNWKIILYIIPRPGFEKPGGSSSSSHLEPVPISDTGVLPADFVLEDPDSHFIYVCKKMDETTEVSDISSYPLIADAL >Potri.001G432200.2.v4.1 pep chromosome:Pop_tri_v4:1:45754896:45755414:-1 gene:Potri.001G432200.v4.1 transcript:Potri.001G432200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432200.v4.1 MPSESSKEALEQIMKALKDDNVNMIGPYGMGGVGKTTLVKEVGRRAKELQLFPEVLMPTVSRNPNVTDIQDQMADKLRLDIKENSREGRADRLRHRLKEVEKMLIILDDVWKNIDLKEIWIPFGDYHRGCKILLTTCPPGICSSMECQQKVFLRVLSKDEALVLFRINAGYT >Potri.013G112900.1.v4.1 pep chromosome:Pop_tri_v4:13:12144267:12148047:1 gene:Potri.013G112900.v4.1 transcript:Potri.013G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G112900.v4.1 MATSSSTTLVALCSSLTTQCKISNAQNPPLSKSLCLSKPNSGSFSKTTQNLSSSSLIFSKRPTFFANPPKFSESEALVVEAEPEVPVSEANPEPAATQMVEVAKEEPPKREEIFAVVMVGSRQYIVIPGRWLYVQRLKGANVNDKVNLNKVLLVGTRTSAYIGKPVVTNATVHAVVEEQGLDAKKIVFKYKKKKNYRRNIGHRQPNTRIRITGITGYQDYPVSTLDS >Potri.018G063300.1.v4.1 pep chromosome:Pop_tri_v4:18:7429543:7431405:-1 gene:Potri.018G063300.v4.1 transcript:Potri.018G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063300.v4.1 MVSISLPNHSLPSLLPTHKPKNLSSQNLPILSKSSRSQFYGLKFSHSSSLSIPSSSSSVKTTIFAKVNKGEVPPSFTLKDQDGKTVSLSKFKGKPVVVYFYPADESPSCTKQACAFRDSYEKFKKAGAEVVGISGDDPSSHKAFAKNNRLPFTLLSDEGNKIRKEWGVPADLFGALPGRQTYVLDKNGMVQLIYNNQFQPEKHIDETLKLLQSL >Potri.003G026150.1.v4.1 pep chromosome:Pop_tri_v4:3:87259:87690:1 gene:Potri.003G026150.v4.1 transcript:Potri.003G026150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026150.v4.1 MATNPTKGTLLVLVDPKLGQDYNKEEVIIMINVALLCSNVSAAVRPAMSSVVSMLEGKAVVQDIDIPDKSMSTDEKKIEEMRRHFQVINEQEISETRTLSMDGPSTAASTSAGDLYPVSLDSDYWKGRE >Potri.012G001800.1.v4.1 pep chromosome:Pop_tri_v4:12:1143531:1144791:1 gene:Potri.012G001800.v4.1 transcript:Potri.012G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001800.v4.1 MAASYLWRKYADYLYTKWERTILWDMIEPYRRPKSFTPLVTIYVAAFYTGVIGSALTEQLYKEKYWEDHPGEAVPLMKPKFYSGPWKILRGEVPT >Potri.004G023400.1.v4.1 pep chromosome:Pop_tri_v4:4:1656191:1657104:1 gene:Potri.004G023400.v4.1 transcript:Potri.004G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023400.v4.1 MCETKHFHLWLLQIIGLLGLLALCFWLSLRPKEPNFTIIDFSIPTSVSDENPRATFNYVLQVKNSDKESSIYYDDILLSFKYKQDMVGNNTIPRFDQGKDNNAYQHVPTVDINPLVWRALAKEISHGTAKLYVELITSIKYKTWGIKSKHHKVKYQGVLPLGSDGKIKDKKKRVKLYRSKKWKIRTSGFLSAN >Potri.008G182000.1.v4.1 pep chromosome:Pop_tri_v4:8:12541112:12545846:1 gene:Potri.008G182000.v4.1 transcript:Potri.008G182000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182000.v4.1 MASSLSCTTPSLIPLSLPFIDRTKTSTSLPPIHPPHRPKPLIPRGSLCVARFGFRPGLFPDPDNAEAVIKNLFGRAESIIYTIADAAVSNPEQVVDSSTKQNSDWLSGITSCLESTLKVLKDGLSALHVPYAYGFAIILLTVLVKAATFPLSKKQVESAMAMRSLQPQIKAVQQLYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTTIAERQNGSGISWLFPFVDGQPPLGWSDTVAYLVLPAMLVVLQYMSVQIMQSSQSDDPNVKNSQAIMKFLPLMIGYFSLSVPSGLSLYWLTNNILSTTQQVWLQKLGGAKNPVTKFSNDIVKEDQLQVQKPISELNSIQTKTRQEEKLTPEGSRPGERFKQLKEQEARRRQQREEEERTAEEAAGRGSQMENNEHDSSSFIRGNGNSPVGAAVIDDASTAAIHDSSALKVVNGDLSGQDQKQDGETNSIVEKSEVSAPTEDYQAKRE >Potri.014G125400.3.v4.1 pep chromosome:Pop_tri_v4:14:8422239:8423845:-1 gene:Potri.014G125400.v4.1 transcript:Potri.014G125400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125400.v4.1 MLREDKNRFDVLGNDLQMHEMDGIQLLKIIRSEMDLPVVNDEQELVLKGVLQGACDCLIKPVKMEALKVFWQHVVRKKINNTLERLEQPRRKEEDKLHLENSCIVSCTVSGNAGHPMTLKRKIDGEDEGKASDGLSTGKK >Potri.014G125400.2.v4.1 pep chromosome:Pop_tri_v4:14:8422732:8423845:-1 gene:Potri.014G125400.v4.1 transcript:Potri.014G125400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125400.v4.1 MRQIRLSLNIIHFTPSQSPNVEEERRFCQCFERIRTGLTFLEMICKCMKWMEFSSLRSSDQKWICLSSGVLQGACDCLIKPVKMEALKVFWQHVVRKKINNTLERLEQPRRKEEDKLHLENSCIVSCTVSGNAGHPMTLKRKIDGEDEGKASDGLSTGKK >Potri.014G125400.1.v4.1 pep chromosome:Pop_tri_v4:14:8422732:8423845:-1 gene:Potri.014G125400.v4.1 transcript:Potri.014G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125400.v4.1 MLREDKNRFDVLGNDLQMHEMDGIQLLKIIRSEMDLPVVIISSDDEQELVLKGVLQGACDCLIKPVKMEALKVFWQHVVRKKINNTLERLEQPRRKEEDKLHLENSCIVSCTVSGNAGHPMTLKRKIDGEDEGKASDGLSTGKK >Potri.019G021902.1.v4.1 pep chromosome:Pop_tri_v4:19:3363967:3364456:1 gene:Potri.019G021902.v4.1 transcript:Potri.019G021902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021902.v4.1 MSHSRCGIKNSLWIHVLAHQTLRLHSCVRHVTNALTFPM >Potri.008G177100.1.v4.1 pep chromosome:Pop_tri_v4:8:12244109:12249700:1 gene:Potri.008G177100.v4.1 transcript:Potri.008G177100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177100.v4.1 MDITEVEENLFAASEAKLHGEMCKELSVVYCKISSIFPSLEAARPRSKSGIQALCLLHIALEKAKNVLKHCSECSKLYLAITGDSVLLKFEKARSALVDSLRRVEDIVPESIGCQILEIVSELEGTEFSLDPLEKQVGDEIIALLQQGRKFDDSNDNTELESFHQAATKLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMKKYSKLFRSELTDDNDSQGSSPCSPTVQGSLEDGGPGGNGHAFERQLSKLSSFNFKPTYRKSGQMPLPPEELRCPISLHLMYDPVIIASGQTYERICIEKWFSDGHETCPKTQQKLSHRCLTPNYCVKGLVASWCEQNGVPAPDGPPESLDLNYWRLAMSQFDSSNSRRSVESVRSGKLKGVKVVPLEESGPIEEAEEKNEKLSSQQEDSMPEDAFGYNIFEIYKNFLAILNGDEELKKKCKIVEQVRLLLKDDEEARIFMGANGFVEALLQFLESAVRAGSPMAEEAGAMALFNLTVNNNRNNEMMLAAGAIPLLEVMISNPDSDGSATALYLNLSCLDEAKSIIGSSQAVPFLVQILKGETGVQCKLDALHALYNLSSRSTNISNLLSAGIISGLQSLLAVPGDHAWIEKSIAVLINLASSQSAKDEMLSAPGLISGLATILDTVEPIEQEQAVACLFLLCNGSEKGSELVLQEGVIPALVSISVNGTTRGKEKAQKLLMLFREQRQRDQPSAEVCFQRTESSSKSMPGPESKPQCKPVSRRKMGKAISFFWKSKSYSVYQC >Potri.008G177100.2.v4.1 pep chromosome:Pop_tri_v4:8:12244271:12248888:1 gene:Potri.008G177100.v4.1 transcript:Potri.008G177100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177100.v4.1 MDITEVEENLFAASEAKLHGEMCKELSVVYCKISSIFPSLEAARPRSKSGIQALCLLHIALEKAKNVLKHCSECSKLYLAITGDSVLLKFEKARSALVDSLRRVEDIVPESIGCQILEIVSELEGTEFSLDPLEKQVGDEIIALLQQGRKFDDSNDNTELESFHQAATKLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMKKYSKLFRSELTDDNDSQGSSPCSPTVQGSLEDGGPGGNGHAFERQLSKLSSFNFKPTYRKSGQMPLPPEELRCPISLHLMYDPVIIASGQTYERICIEKWFSDGHETCPKTQQKLSHRCLTPNYCVKGLVASWCEQNGVPAPDGPPESLDLNYWRLAMSQFDSSNSRRSVESVRSGKLKGVKVVPLEESGPIEEAEEKNEKLSSQQEDSMPEDAFGYNIFEIYKNFLAILNGDEELKKKCKIVEQVRLLLKDDEEARIFMGANGFVEALLQFLESAVRAGSPMAEEAGAMALFNLTVNNNRNNEMMLAAGAIPLLEVMISNPDSDGSATALYLNLSCLDEAKSIIGSSQAVPFLVQILKGETGVQCKLDALHALYNLSSRSTNISNLLSAGIISGLQSLLAVPGDHAWIEKSIAVLINLASSQSAKDEMLSAPGLISGLATILDTVEPIEQEQAVACLFLLCNGSEKGSELVLQEGVIPALVSISVNGTTRGKEKAQKLLMLFREQRQRDQPSAEVCFQRTESSSKSMPGPESKPQCKPVSRRKMGKAISFFWKSKSYSVYQC >Potri.008G177100.3.v4.1 pep chromosome:Pop_tri_v4:8:12244268:12248912:1 gene:Potri.008G177100.v4.1 transcript:Potri.008G177100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177100.v4.1 MDITEVEENLFAASEAKLHGEMCKELSVVYCKISSIFPSLEAARPRSKSGIQALCLLHIALEKAKNVLKHCSECSKLYLAITGDSVLLKFEKARSALVDSLRRVEDIVPESIGCQILEIVSELEGTEFSLDPLEKQVGDEIIALLQQGRKFDDSNDNTELESFHQAATKLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMKKYSKLFRSELTDDNDSQGSSPCSPTVQGSLEDGGPGGNGHAFERQLSKLSSFNFKPTYRKSGQMPLPPEELRCPISLHLMYDPVIIASGQTYERICIEKWFSDGHETCPKTQQKLSHRCLTPNYCVKGLVASWCEQNGVPAPDGPPESLDLNYWRLAMSQFDSSNSRRSVESVRSGKLKGVKVVPLEESGPIEEAEEKNEKLSSQQEDSMPEDAFGYNIFEIYKNFLAILNGDEELKKKCKIVEQVRLLLKDDEEARIFMGANGFVEALLQFLESAVRAGSPMAEEAGAMALFNLTVNNNRNNEMMLAAGAIPLLEVMISNPDSDGSATALYLNLSCLDEAKSIIGSSQAVPFLVQILKGETGVQCKLDALHALYNLSSRSTNISNLLSAGIISGLQSLLAVPGDHAWIEKSIAVLINLASSQSAKDEMLSAPGLISGLATILDTVEPIEQEQAVACLFLLCNGSEKGSELVLQEGVIPALVSISVNGTTRGKEKAQKLLMLFREQRQRDQPSAEVCFQRTESSSKSMPGPESKPQCKPVSRRKMGKAISFFWKSKSYSVYQC >Potri.015G076000.1.v4.1 pep chromosome:Pop_tri_v4:15:10209709:10211871:-1 gene:Potri.015G076000.v4.1 transcript:Potri.015G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076000.v4.1 MSNLSHEGAIEGSKKLLIDNEKDDQLNKEVEAKIEKLIGELQGKRLPDHCDPVGRILEGFHRFKTTKFDKYPELYRELAEGQSPKFLVFACSDSRVSPSHVLDFQPGEAFMVRNIANLVPAFNQLRYSGVGATIEYAVATLGVENILVIGHSRCGGIERLMTLPEDGSTANDFVDDWVKIGLPAKAKVEAEFGHLPLPEQIHKCEKEAVNLSLINLQTYPYVQERMAEGALALRGGYYDFVKGCFELWEVKSTVTPPISTCCK >Potri.019G119900.7.v4.1 pep chromosome:Pop_tri_v4:19:14585708:14589870:1 gene:Potri.019G119900.v4.1 transcript:Potri.019G119900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119900.v4.1 MVRRGLNQRDLCAMHSMLSTVFFSYAFLLCSSLLCCFARDTITYPRGSISNRGEETLVSAGKRFELGFYTPEQGSVYESYVAIWYHRSNPPIVVWVANRNKPLLDDGGVLAVTGDGNLKIFDKNGHPVWSTRLESTSKPAYRLAKLLDSGNLVFGDSNTLLTTSLWQSFEHPTDTFLSGMKMSAHLKLISWRSHLDPKEGNFTFQLDEERNQFVISDGSIKHWTSGESSDFLSSERMPDGIVYFLSNFTRSFKSISASSLTSKFKGPNLSTSDYNNTRIRLDFEGELQYWSYNTNWSKLWWEPRDKCSVFNACGNFGSCNLYNSLACRCLPGYEPNSQENWTKGDFSGGCIRSSAVCGKHDTFLSLKMMRVGQQDTKFVVKDEKQCREECFRTCRCQAHSFVKGRVNRDRQPSSNSCLIWMDHLKDLQEDYSDGGLDLFVRVTIADIEPKSKSCEPCGINVIPYPLSTGSHCGDPMYFSFNCDNSTGKLSFKTHNGTYNVTTINPDRRTFVIQEKDVDDCNASTRGQIRKFNTSFPFKMNASKRWCDSNNSSQGLVEIDIGWEPPPEPVCSSSSDCDDWPNSTCNVTGNGTARCLCNSNFWWDGMALNCVHAGGSSRKKKPLSLIVGVTIACVIVLSSIFLYICIFMRKKSKRRESQQNTERNAALLYGTEKRVKNLIDAEEFNEEDKKGIDVPFFDLDSILAATDYFSEANKLGRGGFGPVYKGKFPGGQEIAIKRLSSVSGQGLEEFKNEVILIARLQHRNLVRLVGYCIKGEEKILLYEYMPNKSLDSFIFDRDLGMLLNWEMRFDIILGVARGLLYLHQDSRLRIIHRDMKTSNILLDAEMNPKISDFGLARMFEGKQTEGSTNRVVGTYGYMSPEYALDGLFSVKSDVFSFGVVVLEILSGKRNTGYFNSDEAQSLLAYAWRLWREDKVLDLMDETLREICNTNEFLRCVNAALLCVQDDPSDRPTMSNVVVMLSSETANLPVPKNPAFFIRRGLSGTASCSSKQGTGLFGTASSSSKQETSIDTTIASDEGR >Potri.019G119900.5.v4.1 pep chromosome:Pop_tri_v4:19:14585651:14589917:1 gene:Potri.019G119900.v4.1 transcript:Potri.019G119900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119900.v4.1 MVRRGLNQRDLCAMHSMLSTVFFSYAFLLCSSLLCCFARDTITYPRGSISNRGEETLVSAGKRFELGFYTPEQGSVYESYVAIWYHRSNPPIVVWVANRNKPLLDDGGVLAVTGDGNLKIFDKNGHPVWSTRLESTSKPAYRLAKLLDSGNLVFGDSNTLLTTSLWQSFEHPTDTFLSGMKMSAHLKLISWRSHLDPKEGNFTFQLDEERNQFVISDGSIKHWTSGESSDFLSSERMPDGIVYFLSNFTRSFKSISASSLTSKFKGPNLSTSDYNNTRIRLDFEGELQYWSYNTNWSKLWWEPRDKCSVFNACGNFGSCNLYNSLACRCLPGYEPNSQENWTKGDFSGGCIRSSAVCGKHDTFLSLKMMRVGQQDTKFVVKDEKQCREECFRTCRCQAHSFVKGRVNRDRQPSSNSCLIWMDHLKDLQEDYSDGGLDLFVRVTIADIEPKSKSCEPCGINVIPYPLSTGSHCGDPMYFSFNCDNSTGKLSFKTHNGTYNVTTINPDRRTFVIQEKDVDDCNASTRGQIRKFNTSFPFKMNASKRWCDSNNSSQGLVEIDIGWEPPPEPVCSSSSDCDDWPNSTCNVTGNGTARCLCNSNFWWDGMALNCVHGGSSRKKKPLSLIVGVTIACVIVLSSIFLYICIFMRKKSKRRESQQNTERNAALLYGTEKRVKNLIDAEEFNEEDKKGIDVPFFDLDSILAATDYFSEANKLGRGGFGPVYKGKFPGGQEIAIKRLSSVSGQGLEEFKNEVILIARLQHRNLVRLVGYCIKGEEKILLYEYMPNKSLDSFIFDRDLGMLLNWEMRFDIILGVARGLLYLHQDSRLRIIHRDMKTSNILLDAEMNPKISDFGLARMFEGKQTEGSTNRVVGTYGYMSPEYALDGLFSVKSDVFSFGVVVLEILSGKRNTGYFNSDEAQSLLAYAWRLWREDKVLDLMDETLREICNTNEFLRCVNAALLCVQDDPSDRPTMSNVVVMLSSETANLPVPKNPAFFIRRGLSGTASCSSKQGTGLFGTASSSSKQETSIDTTIASDEGR >Potri.013G034800.1.v4.1 pep chromosome:Pop_tri_v4:13:2237130:2246504:-1 gene:Potri.013G034800.v4.1 transcript:Potri.013G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034800.v4.1 MKHIFKKLHIGSNHESSPNRTSNETATSASSPPPSGSSDQRASATINSPASPPLTSPSPATTVQPSAISNLTDYFTSEEEFQVQLALAISASNSEFRDDTEKDQIRAATLLSLGGGNNRVDVDREKGEEKVEDMSRYYWEYNVLDYGEKVMDGFYDVLCTSSAVQGKMPSLTDLETNASSSGFEAVIVNRKIDPTLEELMQIAQCIALDCPATNVAVLVQQLAELVTGHMGGPVKDANLILAKWIERSSELRTSLQTSVLPIGSINIGLSRHRALLFKVLADTIKLPCRLVKGSHYTGIEDDAVNIIKLKDEREFLVDLMAAPGTLIPADVPSAKDSTFKIPSLRSTNDTGVVFTRPNPLPGEGTSQSSSVDGISPFNSRLNSENAESLPFFSGSSSDAGVGSSGVANKAAPTNQFGNISSTAIGTSVYKGSRGGHAVGDGVRMNVNVVPYGPNSPEDSKNLFSDLNPFQIKGTGKSFMHHKPVENKINEFPGRKNNPVPGPPAPLVWKNRYAYNEVPRRKENELVEGLYPRINREPNNYNQSLASTSSSEKVYPQGFKSSSNFNPSNKESDTRNYASSVSSALSSDPSQCYSFPSVEEANSNFKENKLWDAKNLQNDSEAMAKEHEDNEIDFHDRRKCTYDRFMGTNLKLKDPESPSASVDPISHRVDQIMDDVDVGDEICWEDLIIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALDEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRILHRPQCQIDEKRRIRMALDVARGMNCLHASIPTIVHRDLKSPNLLVDKNWTVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGIILWELATIRLPWSGMNPMQVVGAVGFQNRRLEIPKEVDPLVARIIWECWQTDPNLRPSFAQLTVALKPLQRLVIPSHLDQPSPPLQQEIAVNSTP >Potri.013G034800.3.v4.1 pep chromosome:Pop_tri_v4:13:2237129:2246492:-1 gene:Potri.013G034800.v4.1 transcript:Potri.013G034800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034800.v4.1 MKHIFKKLHIGSNHESSPNRTSNETATSASSPPPSGSSDQRASATINSPASPPLTSPSPATTVQPSAISNLTDYFTSEEEFQVQLALAISASNSEFRDDTEKDQIRAATLLSLGGGNNRVDVDREKGEEKVEDMSRYYWEYNVLDYGEKVMDGFYDVLCTSSAVQGKMPSLTDLETNASSSGFEAVIVNRKIDPTLEELMQIAQCIALDCPATNVAVLVQQLAELVTGHMGGPVKDANLILAKWIERSSELRTSLQTSVLPIGSINIGLSRHRALLFKVLADTIKLPCRLVKGSHYTGIEDDAVNIIKLKDEREFLVDLMAAPGTLIPADVPSAKDSTFKIPSLRSTNDTGVVFTRPNPLPAIGTSVYKGSRGGHAVGDGVRMNVNVVPYGPNSPEDSKNLFSDLNPFQIKGTGKSFMHHKPVENKINEFPGRKNNPVPGPPAPLVWKNRYAYNEVPRRKENELVEGLYPRINREPNNYNQSLASTSSSEKVYPQGFKSSSNFNPSNKESDTRNYASSVSSALSSDPSQCYSFPSVEEANSNFKENKLWDAKNLQNDSEAMAKEHEDNEIDFHDRRKCTYDRFMGTNLKLKDPESPSASVDPISHRVDQIMDDVDVGDEICWEDLIIGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALDEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRILHRPQCQIDEKRRIRMALDVARGMNCLHASIPTIVHRDLKSPNLLVDKNWTVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGIILWELATIRLPWSGMNPMQVVGAVGFQNRRLEIPKEVDPLVARIIWECWQTDPNLRPSFAQLTVALKPLQRLVIPSHLDQPSPPLQQEIAVNSTP >Potri.003G116300.1.v4.1 pep chromosome:Pop_tri_v4:3:13831503:13835163:1 gene:Potri.003G116300.v4.1 transcript:Potri.003G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116300.v4.1 MTSRSPFTFRHVIESRHRRSTSERSLETFPPERYSGLVRRRGGLISVPRNLISAKQKNESSSKRVLTKLLLNVNIERSLGPVHVILSPENTVKDLVKSAIDIYVKERRRPLLEQIDPDRFELHYSQFSLESLKPDEKLINLGSRNFFLCSKPSNAVNSSCTEGTKMAIKYPFPLTKLMDFLL >Potri.005G074066.1.v4.1 pep chromosome:Pop_tri_v4:5:4943387:4945970:-1 gene:Potri.005G074066.v4.1 transcript:Potri.005G074066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074066.v4.1 MAKCFSFAATQDSCYRYSFTRAGLKSSTTDLGDGTIMHCWIPKRHKPSKPTLLLIHGFGANAMWQFNGLIPQFMPRFNVYVPDLLFFGESYTTRAERSESFQAQCVMSLMEAQKVTKMDVFGLSYGGFVAYSMAAQFKERVGRVALGCAGVCFEEKDVGGGGVFKVVTSIEEAAEVLIPQTPEKARQLVRLSFYKPPSSMPSCFLQDFIEVMCTDFRQEKEELIQALHKDRKMSDLPKITQPTLIIWGEHDQVFPLELAHRLERHIGDNAELVIIKNVGHALNAERPKELYKHLKSFFIDSHPLSKQASHGNGTKSD >Potri.005G074066.3.v4.1 pep chromosome:Pop_tri_v4:5:4943390:4948244:-1 gene:Potri.005G074066.v4.1 transcript:Potri.005G074066.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074066.v4.1 MTRCFSFVAAQDSYYRYCFKRAGLKSSTTNLGDGTIMHCWIPKMHNPSIPTLLLIHGFGANAMWQFNGLIPHFMSTFNVYVPDLLFFGESYTTRAERSESFQAQCVMSLMEAQNVAKMDVFGLSYGGFVAYSMAAQFKERVGRVALGCAGVCFEEKDAGSMEVFKVTTAEEAVSILLPQTPEKAREMVRLSFYKPPPSMPSCFLWDFIEVMCTDFRQEKEELIQALHKDRKMSDLPKITQPTLIIWGEHDQVFPLELAHRLERHIGDNAELVIIKNVGHALNAERPKELYKHLKSFFIDSHPLSKQASHGNGTKSD >Potri.001G167100.9.v4.1 pep chromosome:Pop_tri_v4:1:14241614:14247686:-1 gene:Potri.001G167100.v4.1 transcript:Potri.001G167100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167100.v4.1 MSFARKDYEFLSEIGLSSRNLGCYVDGTWKANGPVVTSVNPANNQAIAEVVEGSVEDYEEGMRACSEAAKIWMQVPSPKRGEIVRQIGDALRTKLQELGRLVSLEMGKILPEGIGEVQEIIDMCDFCVGLSRQLNGSVIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTRLVAGVLEKNNLPPAIFTSFCGGADIGQAIAKDTRISLVSFTGSSKVGLMLQQTVNQRFGKCLLELSGNNAIIIMDDADIQLAVHSVLFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGNPLEKGNLLGPLHTSESRKSFERGIEIIKSQGGKILIGGSVIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFQTLQEAIEINNSVPQGLSSSIFTRKPEIIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >Potri.001G167100.3.v4.1 pep chromosome:Pop_tri_v4:1:14240937:14248166:-1 gene:Potri.001G167100.v4.1 transcript:Potri.001G167100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167100.v4.1 MSFARKDYEFLSEIGLSSRNLGCYVDGTWKANGPVVTSVNPANNQAIAEVVEGSVEDYEEGMRACSEAAKIWMQVPSPKRGEIVRQIGDALRTKLQELGRLVSLEMGKILPEGIGEVQEIIDMCDFCVGLSRQLNGSVIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTRLVAGVLEKNNLPPAIFTSFCGGADIGQAIAKDTRISLVSFTGSSKVGLMLQQTVNQRFGKCLLELSGNNAIIIMDDADIQLAVHSVLFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGNPLEKGNLLGPLHTSESRKSFERGIEIIKSQGGKILIGGSVIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFQTLQEAIEINNSVPQGLSSSIFTRKPEIIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >Potri.001G167100.8.v4.1 pep chromosome:Pop_tri_v4:1:14240736:14247890:-1 gene:Potri.001G167100.v4.1 transcript:Potri.001G167100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167100.v4.1 MSFARKDYEFLSEIGLSSRNLGCYVDGTWKANGPVVTSVNPANNQAIAEVVEGSVEDYEEGMRACSEAAKIWMQVPSPKRGEIVRQIGDALRTKLQELGRLVSLEMGKILPEGIGEVQEIIDMCDFCVGLSRQLNGSVIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTRLVAGVLEKNNLPPAIFTSFCGGADIGQAIAKDTRISLVSFTGSSKVGLMLQQTVNQRFGKCLLELSGNNAIIIMDDADIQLAVHSVLFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGNPLEKGNLLGPLHTSESRKSFERGIEIIKSQGGKILIGGSVIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFQTLQEAIEINNSVPQGLSSSIFTRKPEIIFKWIGPLGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >Potri.002G108700.1.v4.1 pep chromosome:Pop_tri_v4:2:8111743:8116448:-1 gene:Potri.002G108700.v4.1 transcript:Potri.002G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108700.v4.1 MVKAVIGDETQLTSAEDRLSQSGLTSQVGLVIGKLSSKLDRGFIFDLVPTPPNDAGESACSLLETTKDDNKRKGFKSKSQPAVDSSALNIDSDWVAEHARQVSRMLVGGMKVIGVYVWVSDSAFKNSTITLFQLLQTVKGVAEAAPVTETDWDERLLIHICYSPRRWTCRNCVLSSNITSSSIRPCDFKMGRVLSSLQAFRCTYNFKLRLPVTHESASNAQALSSILRHVISVHAKELMDAKAMIDGNLVVGEELCTTDGTHEVELLLPFTKNSLVEASSQKDVAGVLVFGGSVCSYAYSNSKEPVMQAVADIKDDIIRSLQSRLDIICDEADEDPDPIDIDGKDSRVEKLPEKPVSRLVLNSLRRTCFLSFPRRVFVPWLAGTFICDYLQPSETVEVLKEHCVELMSMEAPTDPSTYLQPEVEAPSLNTESFWDVAVPNHFAPVSSLEKSRQVDVSVESSRQSNQPFNFNVLAAVCLLLFAILVGFVLARQK >Potri.002G108700.3.v4.1 pep chromosome:Pop_tri_v4:2:8111733:8116448:-1 gene:Potri.002G108700.v4.1 transcript:Potri.002G108700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108700.v4.1 MVKAVIGDETQLTSAEDRLSQSGLTSQVGLVIGKLSSKLDRGFIFDLVPTPPNDAGESACSLLETTKDDNKRKGFKSKSQPAVDSSALNIDSDWVAEHARQVSRMLVGGMKVIGVYVWVSDSAFKNSTITLFQLLQTVKGVAEAAPVTETDWDERLLIHICYSPRRWTCRNCVLSSNITSSSIRPCDFKMGRVLSSLQAFRCTYNFKLRLPVTHESASNAQALSSILRHVISVHAKELMDAKAMIDGNLVSCTVTLSLLE >Potri.015G124500.7.v4.1 pep chromosome:Pop_tri_v4:15:13743006:13759931:-1 gene:Potri.015G124500.v4.1 transcript:Potri.015G124500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124500.v4.1 MANSWQHYSTYDPSLSPAGVRSREWEGPSSWTEYLGPDMSSPMTSRVSRKKGGSDGQVQSSCGGYHKGLNLGWVVQLTEVAEGLMAKMYRLNQILDCPDPVGQVFSEAFWKAGVLPNYPRICLLLSKNFPEHFSKLQLERVDKVALDALNDGTEVHLQSLEPWFQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFIRVNLFSEKIPRKMMLQMYNLLHAMSRKDSDCDFYHRLVQFIDSYDPPLKGLQEDLKFVSPRIGEVLEAVGPVIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPVRAQDLANVTSYREWVLLGYLVCPDELLRVTSIDIALVVLKENLILTVFRDEYALLHEDYQLYVLPRILESKKMAKSGRTKQKEADLEYSVAKHVEKMISEVHEQSLLSCDAIHHERRVLLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSKAARAIPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDASLKGLFQQIVQHLESIPKLQGENISAIMCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDDLESQLSKHGTLKKLYFYHQHLTVVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIIGGLEGLINILDSEGGFGALEAQLLPEQAAFYLNDTSRVSIPTSKSTKGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLFSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLAVLKADNDLQRPSVLESLIHRHLNIVYLAEQHISMDLTHGIQEVLLIEAFSGPVSSLHLFEKPADQLTGSATEVVCNWYIENIVKDVSGAGILFTPIHKCFKSTRPVGGYFAELVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNHEVLEAVAGSMHSGDRIEREACSRQIVDLDTVIGFCIEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEISEKKEIRRIRGVANSLNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSKIWNSTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQQSLSNGHLDEALDPEIHSRLSAEASIKSAMQLFVKFATGIVLDSWSEANRSNLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSIYSQYYSNSPSMPLALLSVSPRHSPAVSLSHTSPAVNHFYDMDSGSLRSTDNKHRNVRRSGPLDYSSSRKVKLVEGSTSGSTGRSPLPRFAVSRSGPLMYK >Potri.003G157401.2.v4.1 pep chromosome:Pop_tri_v4:3:16827894:16831572:1 gene:Potri.003G157401.v4.1 transcript:Potri.003G157401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157401.v4.1 MKHSKDPFEAAYVEQEESPPESPIAQDDYDTQTSNVAATPADSQGAVAVSVAQDDDDLGGGGSDFVHPSDNPSTSRPMLGSARRERINKMRRKSKITWMLSFGSWLLLLIQIKWPTCRLF >Potri.015G028400.1.v4.1 pep chromosome:Pop_tri_v4:15:2173665:2175616:-1 gene:Potri.015G028400.v4.1 transcript:Potri.015G028400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028400.v4.1 MSSTTGGVSQLYFCYQCNRTVTITPPTTALSCPNCHEGFLEELDSPNPSPNPFDAGLGPALLLDDFASIFGGMNPTPRSSTTSTTATNSSSASPLFQDPDGFNPFVFLQNYLQTMRAGGANIQFVIENNPGMGGMDPTGFRVPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPAAKSAVENLPDVKVTEELMQSDSSQCAVCKDSFELGEVAKQIPCKHIYHKDCIMPWLELHNSCPVCRYELPTDDQDYEQRKGNGSNGVNQNVGGGINSGGSISDGDNSDGNAQTPRERRFRIPFPWPFSSGTGRSAGSSAEASNSRSGNNNDGDSRGNTNFGSDTREEDLD >Potri.002G027800.1.v4.1 pep chromosome:Pop_tri_v4:2:1852691:1855655:-1 gene:Potri.002G027800.v4.1 transcript:Potri.002G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027800.v4.1 MTTPDSIRTAANRYGSLLQICCLQSPISYSLARPVHAHMIASGFQPRGHILNRLIDIYSKSSKLNYARYLFDEIPQPDIVARTTLIAAYSAAGDLKLSRKIFSDTPLGMRDSVFYNAMITAYSHNHDGHAAIELFCDMQRDNFRPDNYTFTSVLGALALVAEKEKHCQQLHCAVVKSGTGFVTSVLNALISSYVKCAASPSAQSSSLMAEARKLFDEMPNRDELSWTTIITGYVKNNDLDAAKEFLNGTSKKLGVAWNAMISGYAHRGLYLEAFEMFRKMIMSKIQLDEFTFTSVISVCANAGCFRLGKEMHAYFLKTVANPAPDVAMPVNNALITFYWKCGKVDIAQEIFNKMPERDLVSWNIILSGYVNVRCMDEAKSFFNEMPEKNILSWIIMISGLAQIGFAEEALKFFNRMKLQGFEPCDYAFAGAIISCSVLGSLKHGRQLHAQVVRYGYESSLSAGNALITMYARCGVVDAAHCLFINMPCVDAISWNAMIAALGQHGQGTQAIELFEEMLKEGILPDRISFLTVISACSHAGLVKEGRKYFDSMHNVYGVNPDEEHYARIIDLLCRAGKFSEAKEVMESMPFEPGAPIWEALLAGCRIHGNIDLGIEAAERLFELKPQHDGTYVLLSNMYAVAGQWNDMAKVRKLMRDRGVKKEPGCSWIEVENKVHSFLVGDANHPEVRQIYNYLEQLVLEMRKIGYVPDTKYVLHDVESDLKEHELSTHSEKLAVAYGFMKLPHGATVRVFKNLRICGDCHNAFKFMSKVVGREIIVRDGKRFHHFRDGKCSCGDYW >Potri.017G095300.2.v4.1 pep chromosome:Pop_tri_v4:17:10801223:10804794:1 gene:Potri.017G095300.v4.1 transcript:Potri.017G095300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095300.v4.1 MTTVVPTSEEDPALSVVRFTSELAWSDAGPEVMEQQVSRLCVEAQECMVMNRWLDLTSLMLTSADIVFSNSKVSEKDLECIFTVICNLVTKSRSPDEELEMAKLICAKIIQQPSDKPALRLKILFNLYNLLDNAYCRFYVFMKALTLAVSGKVTEHVIPSFKKIDSFLKEWNLEVQDQRELFLSVANALKDSKSSAKDSFKFLTKYLATFSDEDTYKMGEAKEEAARTIIEFVKAPDMFQCDLLDMPAVGQLEKDAKYALVYQLLKIFLTQRLDAYLEFQAANSALLKSYGLVHEDCIAKMRLMSLVDLASHESGRIPYALIKDTLRIDNDEVELWVVKALTTKLIDCKMDQLNQVVLVSSCTERVFGLRQWQALRTKLGTWRGNIESVINTIQANKITEDSSQAVQGMMIR >Potri.010G023100.1.v4.1 pep chromosome:Pop_tri_v4:10:3374334:3380004:-1 gene:Potri.010G023100.v4.1 transcript:Potri.010G023100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023100.v4.1 MNCCLNRYHCSHSLSFIHQNKASSSSSSSFSLSKPNRFRLFFSQTNYPRRITSSSSIRCCSSIVRQETEKRSVKTGAGKRTDLKKILILGAGPIVIGQACEFDYSGTQACKALREEGYDVILINSNPATIMTDPDLADRTYVAPLTPEVVEQVVAKERPDAILPTMGGQTALNLAVALAANGVLEKYNVELIGAKLNAIKKAEDRDLFKQAMENIGLKTPPSGIGSTLEECIRISEEVIGEFPLIIRPAFTLGGSGGGIAYNKEEFEAICKAGLAASLTSQVLVEKSLLGWKEYELEVMRDLSDNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIKIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPTLTTQMKSVGESMALGRTFQESFQKAVRSLECGYSGWGCAQVAELDWDLEQLKYNLRVPNPDRIHAIYAAMKRGMKVDEIHELSFVDKWFLTQLKELVDVEQYLMTRSLSHLTKDDFIEVKKHGYSDKQIAFAIKSTEKEVRSQRISFGVTPSYKRVDTCAAEFEANTPYMYSSYDAECESAPTKKKKVLILGGGPNRIGQGIEFDYCCCHASFSLQSAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIELERPDGIIIQFGGQTPLKLALPIQHYLDKHKPLSASGAGHVRIWGTSPDSIDAAEDRERFNVIIKELNIEQPKGGIAKSEADALAIAADIGYPVVVRPSYVLGGRAMEIVYSDDKLVKYLENAVEVDPQRPVLIDKYLSDAVEIDVDALADSHGDVVIGGVMEHIEQAGVHSGDSACILPTQTISSSCLNTIQLWTTKLAKSLNVCGLMNCQYAITMGGDVFLLEANPRASRTIPFVSKAIGHPLAKYAALVMSGKSLNEIGFTKEVIPAHVAVKEAVLPFDKFPGCDVLLGPEMRSTGEVMGIDYLVAIAFAKAQIAAGQKLPLSGTVFLSLNDLTKSHLERLAKAFLGLGFRIVSTSGTAHFLELKGISVDRVLKMHEGRPHAGDILANGQIQLMVITSSGDSLDQIDGRQLRRMALAYKIPIITTVSGALATANAIEKLKTCKIEVMALQDFFNVEPQKDDSKSLQPASSSL >Potri.010G023100.2.v4.1 pep chromosome:Pop_tri_v4:10:3373557:3380004:-1 gene:Potri.010G023100.v4.1 transcript:Potri.010G023100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023100.v4.1 MNCCLNRYHCSHSLSFIHQNKASSSSSSSFSLSKPNRFRLFFSQTNYPRRITSSSSIRCCSSIVRQETEKRSVKTGAGKRTDLKKILILGAGPIVIGQACEFDYSGTQACKALREEGYDVILINSNPATIMTDPDLADRTYVAPLTPEVVEQVVAKERPDAILPTMGGQTALNLAVALAANGVLEKYNVELIGAKLNAIKKAEDRDLFKQAMENIGLKTPPSGIGSTLEECIRISEEVIGEFPLIIRPAFTLGGSGGGIAYNKEEFEAICKAGLAASLTSQVLVEKSLLGWKEYELEVMRDLSDNVVIICSIENIDPMGVHTGDSITVAPAQTLTDKEYQRLRDYSIKIIREIGVECGGSNVQFAVNPVDGEVMVIEMNPRVSRSSALASKATGFPIAKMAAKLSVGYSLDQIPNDITKKTPASFEPSIDYVVTKIPRFAFEKFPGSQPTLTTQMKSVGESMALGRTFQESFQKAVRSLECGYSGWGCAQVAELDWDLEQLKYNLRVPNPDRIHAIYAAMKRGMKVDEIHELSFVDKWFLTQLKELVDVEQYLMTRSLSHLTKDDFIEVKKHGYSDKQIAFAIKSTEKEVRSQRISFGVTPSYKRVDTCAAEFEANTPYMYSSYDAECESAPTKKKKVLILGGGPNRIGQGIEFDYCCCHASFSLQSAGYETIMMNSNPETVSTDYDTSDRLYFEPLTVEDVLNVIELERPDGIIIQFGGQTPLKLALPIQHYLDKHKPLSASGAGHVRIWGTSPDSIDAAEDRERFNVIIKELNIEQPKGGIAKSEADALAIAADIGYPVVVRPSYVLGGRAMEIVYSDDKLVKYLENAVEVDPQRPVLIDKYLSDAVEIDVDALADSHGDVVIGGVMEHIEQAGVHSGDSACILPTQTISSSCLNTIQLWTTKLAKSLNVCGLMNCQYAITMGGDVFLLEANPRASRTIPFVSKAIGHPLAKYAALVMSGKSLNEIGFTKEVIPAHVAVKEAVLPFDKFPGCDVLLGPEMRSTGEVMGIDYLVAIAFAKAQIAAGQKLPLSGTVFLSLNDLTKSHLERLAKAFLGLGFRIVSTSGTAHFLELKGISVDRVLKMHEGRPHAGDILANGQIQLMVITSSGDSLDQIDGRQLRRMALAYKIPIITTVSGALATANAIEKLKTCKIEVMALQDFFNVEPQKDDSKSLQPASSSL >Potri.001G155000.1.v4.1 pep chromosome:Pop_tri_v4:1:12985277:12987410:1 gene:Potri.001G155000.v4.1 transcript:Potri.001G155000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155000.v4.1 MADENYHTKYQQLKQANPTKYYTNFLYKALIVTIFLIILQLFPSQAPEFLNQTLNTRGWEFLRLVFVGIAVSYGLFSQRNDETEKEINNSNPSRFDNAQSYVSRFLQVSSVFDDEVDSPPESEETKVQTWSNQYYRNDPVVVVAEQNSALDKEQRATSSRIGEKPLLLPVRSLKSRVIDADVDENCKEYAGGSASISRSNSDSGSKRFSSNSSKNKSGESGGSYCQELEEKLKENFVLPSPIPWRSRSGRMEMKEEADSPLYSLPPSLEKSEYNRSFNSQVPQSARSISATSSPKLSPSPSFSSPKKFSPSPSFSSEVLGKSVEDFVRKKSIYRSPPPPPPPPPPPPVNRESSSVKPISSAVHDEVLLERELKRSFTTEPKDLNRGGNLPMPKSVRTIRSNDLLGEARREKEFDDRINSKEEKRLKEVEARGKERAGRKTVRFDQSSFQTEKQNRESVSFTPQPTFTEFHEEENEEFVEKLVVESDEGSETEEEENIAGSSFASSTAASPEKDAAAASIASDGGPDVDKKADEFIAKFREQIRLQRIESIKKSSAQIRRNPSK >Potri.011G053000.6.v4.1 pep chromosome:Pop_tri_v4:11:4240677:4246969:-1 gene:Potri.011G053000.v4.1 transcript:Potri.011G053000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053000.v4.1 MTGGSLGIRSSSYGSLDKQLQQQQHNGNGVLSSAPFPMQTNGRTKPAKMFKEKESLFHWIFKFAGRKKVGMLFLCVISAAVFVWVLYVGKGEDAQEGGRPPTISLSDTSSLSRIENKTSFFQGIFSNISLLHPPAYFLGYTLPPGHPCNRFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKVPSFSPVIKNLTYIQEDPLSREGEFGGSDFGGYPTLKQRSDSYDIRESMRVHCGFVQGKRPGQNTGFDMDKIDLDAMEQCHGVVVASAIFGAFDDIQQPRNISEYSKNTICFFMFVDEETEAYLKNNSGLNDSRKVGIWRIVVVHNLPYTDGRRNGKVLVEGKCYFCNF >Potri.011G053000.1.v4.1 pep chromosome:Pop_tri_v4:11:4240601:4246969:-1 gene:Potri.011G053000.v4.1 transcript:Potri.011G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053000.v4.1 MTGGSLGIRSSSYGSLDKQLQQQQHNGNGVLSSAPFPMQTNGRTKPAKMFKEKESLFHWIFKFAGRKKVGMLFLCVISAAVFVWVLYVGKGEDAQEGGRPPTISLSDTSSLSRIENKTSFFQGIFSNISLLHPPAYFLGYTLPPGHPCNRFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKVPSFSPVIKNLTYIQEDPLSREGEFGGSDFGGYPTLKQRSDSYDIRESMRVHCGFVQGKRPGQNTGFDMDKIDLDAMEQCHGVVVASAIFGAFDDIQQPRNISEYSKNTICFFMFVDEETEAYLKNNSGLNDSRKVGIWRIVVVHNLPYTDGRRNGKVPKLLSHRMFPNARFSLWIDGKLELVVDPYQILERFLWRENATFAISRHYRRFDVFIEAEANKAAGKYENASIDFQVEFYKKEGLTPYSEAKFPIISDVPEGCVVIREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIHEKTNWTVNMFLDCQRRNFVVQKYHRDVLEQMAHRPPVYPPSPPLLLAPPPPPPPLLVDEPPLQTTLDTSTEKVIGAPVRRAPARRGRRSGSSRHRKVAAGGKETDAS >Potri.001G350100.5.v4.1 pep chromosome:Pop_tri_v4:1:36230428:36235791:1 gene:Potri.001G350100.v4.1 transcript:Potri.001G350100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350100.v4.1 MAATDPQRQLLTLIRDFASEKSQGERRVVGLKNRIVELGCQLDAANAEMEEVKRFKETTELELKGYEFQLAFNDVSIQTLEARISLIQDEISSVGSEVEGLKDEERASRDEFIRQMFELNTKIRKFQAEKGLESQKKSSIGTTAADCKAEKKVVTGVDLRALKDVLAHVASQIIKEEQEYLAEENIQKQVQEDYVDLQRKVSLVDVIVKETELLQDLTRQTSELEQNCASLGEQLQNRCICPICRADNVEALGGVLQANKAN >Potri.009G113300.1.v4.1 pep chromosome:Pop_tri_v4:9:9627404:9629774:-1 gene:Potri.009G113300.v4.1 transcript:Potri.009G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113300.v4.1 MIGRRDGALMRNNSSNQSLLKSRIAIAIAIGMFLGCIFAFFFPHGLFSSNSPHFDHNHLTRSISQVVSTSCESSDSLNMLKAEFVAASEKNAELKKQVNVLIEKLRLAEQGKDHAEKQVLVLGEPHKAGPFGTVQGLRTNPTVVPDDSVNPRLANILEKIAVGKELIVALANSNVKDMLEVWFKSIQKVGIPNYLVVALDDEIAKFCESNDVPVYKRDPDKGIDSVGKTGGNHAVSGLKFHILREFLQLGYSVLLSDVDIVYLQNPFHYLYRDSDVESMSDGHNNMTAYGYNDVFDEPAMGWARYAHTMRIWVYNSGFFYIRPTIPSIELLDRVANRLSRGNAWDQAVFNEELFFPSHPGYDGLYASRRTMDFYLFMNSKVLFKTVRKNANLRKIKPVIVHINYHPDKLPRMQAVVEFYVNGKQDALSSFPDGSE >Potri.005G052900.1.v4.1 pep chromosome:Pop_tri_v4:5:3342175:3345847:1 gene:Potri.005G052900.v4.1 transcript:Potri.005G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G052900.v4.1 MSDGGITVLDGNTLRSLHLSLPEHTLTLTGAQVLDFAESEASQSLLGISLPPHLKSSALRRMNIDGVDDVTSFQLTELSREQASRKLSDYLSAIADELKDNPLVVSILDGNALRMFLEDEDDFAMIAENLFTDLDTEDKGKIGKSEIRNAVVHMGVDMGVPPLEEFPLLNDILKKHGVEEEGELGQSQFAELLQPIIQELADALAKKHVAVIHKIKIVNGSEIRKVLADEKKLNDAIAKALQGKHKNDQKSTEIIRDFLEKNGKELGLPPSEANEAVILLYDAVFTDIDSGRDASIEEDDFRKLVREILEKFAEQLQANPVYCDLDG >Potri.010G144000.1.v4.1 pep chromosome:Pop_tri_v4:10:15636813:15638004:-1 gene:Potri.010G144000.v4.1 transcript:Potri.010G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144000.v4.1 MSGESADVNRTFHFEAVRKSNQVPKRRAMDVEFDEDDNIDEEVPYLGRLSAYKERKREISMVSDDRQEDKDYVQEEEPTSVDEPRYTIKKLGFVGGRNESTTITHNQALQTAKDDFLVPGTSLLEFSNGLPSPPPKRECFSVSMFFGSVSFYILDRE >Potri.006G104400.1.v4.1 pep chromosome:Pop_tri_v4:6:8045469:8046930:-1 gene:Potri.006G104400.v4.1 transcript:Potri.006G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104400.v4.1 MSSQSPPVLPITNQQQPPAAAASQPPLPPHALRAFLNNITESVRNGFAQRRPFSELIDRSAFSKPESISEATTRIRKNYSYFRINYLTAISVILAFSLLSHPFSLLLLLGLLCSWLFLYLFRASDQPLVLFGRTYSDRETLGILIALSVFVVFLTSVGSVIISALLVGVGIVCAHGAFRVPEDLFLDDVPENANTGFLSSFLGSAASNVVSSAAPLVAAARV >Potri.004G040400.6.v4.1 pep chromosome:Pop_tri_v4:4:3232023:3237767:1 gene:Potri.004G040400.v4.1 transcript:Potri.004G040400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040400.v4.1 MSPYDPDEGPLITGWRVQRWESSLQPVVLHPIFGNPTSGFCGQAPMQTVWVSKVDTSIPPTNDFKNLQAAPAVPISDGRKASDSRSEKTKRVTFDPSDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFTLVDNYQINVGSAIAAPAFSSTSCSSASVWHDTRKDRSILKIIRVLPPAGPISQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQHRQLYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVLEPWQASGETLSGIDPEAMTVEPNLVPNIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGAGSNRNTVTSPTQSSASPAPNQGGQSGGTSSTGSTQMQAWVQGAIAKISSTTDGVSTATPNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLPRFAGGTQRNPTDTNAQKPQSGAAGKVEEINTVSSKPAPAVVRSDEGQAVRGSQVVPGAKAVEEGPAGRHRVGNGNAGQGYSFEEVTVLFLILMDLCRRTASLGHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDMGSDDTSKLNSTNSLDFSSLENCDVYYGAHGLWPRKRRLSERDAAVGLNTSAGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSALASPGAANPPDQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.004G040400.2.v4.1 pep chromosome:Pop_tri_v4:4:3227207:3237766:1 gene:Potri.004G040400.v4.1 transcript:Potri.004G040400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040400.v4.1 MTSSSSIKETTEEEQVTPDILPADGGVGGVEKIEPVSSGGEEESGGEKLDDSMEEDSVSPATVFCIRLKQPRSNLQHKMSVPELCRKFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHLVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQCPSNLVRDASCWQREHEWRQDIAVVTKWLSGVSPYRWLSSKSSTPTNSKSAFEEKFLSQHSQTSARWPNFLCVCSVFSSGCVQLHWSQWPPSQNNTSPKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMASASNGVPPSVKPPNWSGFAPLAAYLFSWQEHLMSEAMPGKKHMDKDFTDTVSLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSLQPVVLHPIFGNPTSGFCGQAPMQTVWVSKVDTSIPPTNDFKNLQAAPAVPISDGRKASDSRSEKTKRVTFDPSDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFTLVDNYQINVGSAIAAPAFSSTSCSSASVWHDTRKDRSILKIIRVLPPAGPISQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQHRQLYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVLEPWQASGETLSGIDPEAMTVEPNLVPNIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGAGSNRNTVTSPTQSSASPAPNQGGQSGGTSSTGSTQMQAWVQGAIAKISSTTDGVSTATPNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLPRFAGGTQRNPTDTNAQKPQSGAAGKVEEINTVSSKPAPAVVRSDEGQAVRGSQVVPGAKAVEEGPAGRHRVGNGNAGQGYSFEEVTVLFLILMDLCRRTASLGHPLPVSQVGSSNIQVRLHYIDGNYTVLPEVVEASLGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNMFGGPWSDPEDMGSDDTSKLNSTNSLDFSSLENCDVYYGAHGLWPRKRRLSERDAAVGLNTSAGLGAYLGIMGSRRDVVTAVWKTGLEGVWYKCIRCLRQTSALASPGAANPPDQNEREAWWISRWAYGCPMCGGTWVRVV >Potri.004G040400.5.v4.1 pep chromosome:Pop_tri_v4:4:3227342:3237682:1 gene:Potri.004G040400.v4.1 transcript:Potri.004G040400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040400.v4.1 MTSSSSIKETTEEEQVTPDILPADGGVGGVEKIEPVSSGGEEESGGEKLDDSMEEDSVSPATVFCIRLKQPRSNLQHKMSVPELCRKFSAVAWCGKLNAIACASETCARIPSSNANPPFWIPIHLVIPERPTECAVFNVIADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPSQCPSNLVRDASCWQREHEWRQDIAVVTKWLSGVSPYRWLSSKSSTPTNSKSAFEEKFLSQHSQTSARWPNFLCVCSVFSSGCVQLHWSQWPPSQNNTSPKWFCTSKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTVVVWEVTPGPGNGFQATPMASASNGVPPSVKPPNWSGFAPLAAYLFSWQEHLMSEAMPGKKHMDKDFTDTVSLHCSPVSNFSAYVSPEAAAQSAATTTWGSGVSAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPLITGWRVQRWESSLQPVVLHPIFGNPTSGFCGQAPMQTVWVSKVDTSIPPTNDFKNLQAAPAVPISDGRKASDSRSEKTKRVTFDPSDLPSDVRTLARIVYSAHGGEIAIAFLRGGVHIFSGPNFTLVDNYQINVGSAIAAPAFSSTSCSSASVWHDTRKDRSILKIIRVLPPAGPISQVKANSAIWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVLDADFHSLPSTQHRQLYGPSLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALINPSALVLEPWQASGETLSGIDPEAMTVEPNLVPNIQAYVDAVLDLASHFITRLRRYASFCRTLASHAVTAGAGSNRNTVTSPTQSSASPAPNQGGQSGGTSSTGSTQMQAWVQGAIAKISSTTDGVSTATPNPISGPSSFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFFFRRTQLPRFAGGTQRNPTDTNAQKPQSGAAGKVEEINTVSSKPAPAVVRSDEGQAVRGSQVVPGAKAVEEGPAGRHRVGNGNAGQGYSFEEVETGAF >Potri.014G074500.3.v4.1 pep chromosome:Pop_tri_v4:14:4784099:4787082:1 gene:Potri.014G074500.v4.1 transcript:Potri.014G074500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074500.v4.1 MERNIVVGLLDTGITPQSESFKDDGFGPPPKKWKGTCGHYTNFSGCNNKLVGARYFKLDGNPDPSDILSPVDVDGHGTHTSSTLAGNLIPDASLFGLAGGAARGAVPNARVAMYKVCWISSGCSDMDLLAAFEAAIHDGVDVLSISIGGVDANYVSDALAIGAFHAMKKGIITVASGGNDGPSSGSVANHAPWILTVAASGINREFRSKVELGNGKIFSGVGVNTFEPKQKSYPLVSGAEAGYSGRQDSARFCDAGSLDPNKVKGILLESQQYLDAAQIFMAPATMVNATVSGAVNDYIHSTTFPSAMIYRSQEVEVPAPFVASFSSRGPNPGSERILKPDVAAPGIDILASYTPLRSLTGLKGDTQHSRFSLMSGTSMACPHVSGLAAYIKSFHPNWTAAAIKSAILTTAKPMSSRVNNDAEFAYGAGQINPLRARNPGLVYDMDEMSYIQFLCHEGYNGSSFAVLVGSKAINCSSLLPGLGYDALNYPTMQLNVKNEQEPTIGVFTRTVTNVGPSPSIYNATIKAPEGVEIQVKPTSLSFSGAAQKRSFKVVVKAKPLSGPQILSGSLVWKSKLHVVRSPIVIFKPLD >Potri.006G008500.2.v4.1 pep chromosome:Pop_tri_v4:6:586214:603518:1 gene:Potri.006G008500.v4.1 transcript:Potri.006G008500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008500.v4.1 MAKFAVANLVILLLSLGALLTSIACPSCPSPPHPKPPVKPPKVKPPPIVKPPKPPVTPKPPVVKPPKPEKPCPPPPVIPTPPIVKPPPTPPKQETCPIDTLKLGACVDVLGGLIHIGIGSSAKDECCPLLEGLVDLDAAVCLCTVIKAKLLNINLIIPIALEVLADCGKTPPPGFKCPA >Potri.006G008500.1.v4.1 pep chromosome:Pop_tri_v4:6:586214:587263:1 gene:Potri.006G008500.v4.1 transcript:Potri.006G008500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008500.v4.1 MAKFAVANLVILLLSLGALLTSIACPSCPSPPHPKPPVKPPKVKPPPIVKPPKPPVTPKPPVVKPPKPEKPCPPPPVIPTPPIVKPPPTPPKQETCPIDTLKLGACVDVLGGLIHIGIGSSAKDECCPLLEGLVDLDAAVCLCTVIKAKLLNINLILPIALELLVDCGKTPPEGFKCPS >Potri.004G083700.2.v4.1 pep chromosome:Pop_tri_v4:4:6908624:6910689:-1 gene:Potri.004G083700.v4.1 transcript:Potri.004G083700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G083700.v4.1 MENKHFLLITCPFQGHLNPMLQLAKNLRQAGAARVTFATTVHGLTQIKTFPSLDGLYFASFSDGFDDGIKHTTNSQDMLSELKRAGSQTLTELIMTFSKNCHPVSFLIYTLILPWAADVARYMSIPSAFLYIQSATSLALCHHFFNRHGGVYDLYNSSENKPPSSIQVPGLPPFETEDIPSFLLPNGPHSSLNPVFQHHIQVLEQEPSPWVLLNSFDCLEEEVIAAIGNRSLIPIGPLIPFALLDKNHQSDTSCGCDLFQKSTEYIQWLNSKPKTSVIYISFGSVVVLQKNQMEEMLLGLIGTCRPFLWIIRSSDNKDTEFEEMVREKVNKEKGLIVPWCSQMEVLAHESIGCYMMHCGWNSTMESLVAGIPVVGLPQFADQTINAKMIEEVWGNGVRARVNEVGIVEAEEIRRCLEVVIGSGEKGQEIRSNAKKWSGLALDAVKDGGSSHNNLKAFLENVTTSAKMINGVFDGGAENKTKDATKPNENSKNSSHFSSRESARDIQIQQVLN >Potri.018G141600.3.v4.1 pep chromosome:Pop_tri_v4:18:14662123:14664186:1 gene:Potri.018G141600.v4.1 transcript:Potri.018G141600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141600.v4.1 MDQASSQIYDSSSPDGAASASASRNTVSERNRRKKLNDKLYALREAVPRISKLDKASIIKDAIDYIQDLQEQETRLQAEIMELESERSEKDKGYEFESELPVLLTSKKTRYDHISDHREPRSDPIEVHQLRVSSMGEKTLFVSLTCSKAREAMVRICEVFESLKLKIITASVTTVSGMVKKTVLIEADVEEIDHLKSRIERAIKALSGPYNPQSM >Potri.018G141600.2.v4.1 pep chromosome:Pop_tri_v4:18:14662123:14664186:1 gene:Potri.018G141600.v4.1 transcript:Potri.018G141600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141600.v4.1 MESFQNISEYQNYWEMPSMFWNDELTSWEMDQASSQIYDSSSPDGAASASASRNTVSERNRRKKLNDKLYALREAVPRISKLDKASIIKDAIDYIQDLQEQETRLQAEIMELESERSEKDKGYEFESELPVLLTSKKTRYDHISDHREPRSDPIEVHQLRVSSMGEKTLFVSLTCSKAREAMVRICEVFESLKLKIITASVTTVSGMVKKTVLIEADVEEIDHLKSRIERAIKALSGPYNPQSM >Potri.002G048300.6.v4.1 pep chromosome:Pop_tri_v4:2:3217884:3223659:-1 gene:Potri.002G048300.v4.1 transcript:Potri.002G048300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048300.v4.1 MLKKFIFDFNRLRFCFLVGLIRESLTKVPEPPVQPFFKPVDYVEVLAQIHEELESCPPQERSNLYLFQYQLFKGLGEAKLMRRSLRSAWLKGSTVHEKLVFGAWLKYERQGEELISDLLATCGKCAQESGPVDVSSEFDVDISSGSHETLSMMNGKHILRSVSFKIGDEKIVCDRQKIASLSAPFHAMLNGCFSESLCEHIDLSENNISPLGFREISEFSMTGSLNEVSPDILLEILIFANKFCCERLKDACDRKLASLVSSRDDAVQLMECALEENSPVLAASCLQVFLQELPDCLNDDRVVEIFSHSNKQQKMTMVGSASFSLYCLLSEVAMNLDLQSDKTAFFLDQLVESAETNRQKLLAFHQLGCVRLLRKEYDEAERLFEAALNAGHIYSVSGLARLGNIRGHRRGAYDKLSSVISSVTPLGWMYQERSLYCEGDKRWKDLEKATELDPTLTYPYMYRAASLMRKQDVQAALAEINRILGFKLALECLELRFCFYLALENYQAAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVDNWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASTEHERLVYEGWILYDTGHCNEGLQKAEESINIKKSFEAFFLKAYALADSSLDPSCSSTVMSLLEEALKCPSDRLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHQGLARVHFLKNDKIAAYEEMTKLIVKAQNNASAYEKRSEYCDRELTKADLEMVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELSRAIVFKADLHLLHLRAAFHEHTGDVLAALRDCRAALSVDPNHREMLELHSRVNSHEP >Potri.002G048300.1.v4.1 pep chromosome:Pop_tri_v4:2:3217759:3223864:-1 gene:Potri.002G048300.v4.1 transcript:Potri.002G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048300.v4.1 MRASFTSDSCKESQLDSLNPQSWLQVERGKLSKFSSRSSSSSSIESLTKVPEPPVQPFFKPVDYVEVLAQIHEELESCPPQERSNLYLFQYQLFKGLGEAKLMRRSLRSAWLKGSTVHEKLVFGAWLKYERQGEELISDLLATCGKCAQESGPVDVSSEFDVDISSGSHETLSMMNGKHILRSVSFKIGDEKIVCDRQKIASLSAPFHAMLNGCFSESLCEHIDLSENNISPLGFREISEFSMTGSLNEVSPDILLEILIFANKFCCERLKDACDRKLASLVSSRDDAVQLMECALEENSPVLAASCLQVFLQELPDCLNDDRVVEIFSHSNKQQKMTMVGSASFSLYCLLSEVAMNLDLQSDKTAFFLDQLVESAETNRQKLLAFHQLGCVRLLRKEYDEAERLFEAALNAGHIYSVSGLARLGNIRGHRRGAYDKLSSVISSVTPLGWMYQERSLYCEGDKRWKDLEKATELDPTLTYPYMYRAASLMRKQDVQAALAEINRILGFKLALECLELRFCFYLALENYQAAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVDNWTTADCWLQLYDRWSSVDDIGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASTEHERLVYEGWILYDTGHCNEGLQKAEESINIKKSFEAFFLKAYALADSSLDPSCSSTVMSLLEEALKCPSDRLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHQGLARVHFLKNDKIAAYEEMTKLIVKAQNNASAYEKRSEYCDRELTKADLEMVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELSRAIVFKADLHLLHLRAAFHEHTGDVLAALRDCRAALSVDPNHREMLELHSRVNSHEP >Potri.006G034200.2.v4.1 pep chromosome:Pop_tri_v4:6:2152082:2156071:-1 gene:Potri.006G034200.v4.1 transcript:Potri.006G034200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034200.v4.1 MDAKPKRRTAVENGDGGEDLVLATLIGNGEDLGPIVRHAFEMGRPESLFHQLKSVVRKKEVEIEELCKSHYEEFILAVDELRGVLVDAEELKSELASENFRLQEVGSALLVKLEELLESYWIKKNVTEAIKTSKICIQVLELCVKSNNHMLESQFYPALKTVDLIERTYLQNIPVKALKTAIGKTIPVIKSHIEKKVTSQFNEWLVQVRSSAKDIGQTAIGHTLSARQRDEEMLEHQRKAEEQNISGLGDFVYTLDVEENDEDSVVKFDLTPLFRVYHIHDCLGIQEQFREYYYKNRLLQLNSDLQISTTQPFVESYQTYLAQIAGYFIVEDRVLRTAGDLLSANHVETMWEVAVAKMTSVLEEQFSHMDSATHLLLVKDYVTLLGETFRQYGYEVGQILEVVDRSRDKYHELLLGECHEQIVNTLGSDTYEQMVMRKDADYENNVLSFHLQTSDIMPAFPYYAPFSSMVPDTCRIVRSFIKGSVDYLSYGVHTNFYDIVRKYLDKLLIDVLNEVMLSTIHGGAVGVSQAMQIAANISVLERACDFFLRYAAQLCGIPIRSVERPQASLTAKVVLKTSRDAAYLALLNLVNTKLDEFMNITENINWTSEETPQNGNDYINEAVIYLDTILSTAQQILPLDALHKVGSGALEHISNSIVGAFLSDSVRRFNANAVLSLNNDLKIIEDFADERFHSTGLSEIYKEGSFRGCLLEARQLINLLSSSQPENFMNPVIRQKNYDALDYKNVASICDKFKDSHDGIFGSLSTRNTKQSARKKSMDMLKKRLKDFN >Potri.006G034200.1.v4.1 pep chromosome:Pop_tri_v4:6:2151365:2156097:-1 gene:Potri.006G034200.v4.1 transcript:Potri.006G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034200.v4.1 MDAKPKRRTAVENGDGGEDLVLATLIGNGEDLGPIVRHAFEMGRPESLFHQLKSVVRKKEVEIEELCKSHYEEFILAVDELRGVLVDAEELKSELASENFRLQEVGSALLVKLEELLESYWIKKNVTEAIKTSKICIQVLELCVKSNNHMLESQFYPALKTVDLIERTYLQNIPVKALKTAIGKTIPVIKSHIEKKVTSQFNEWLVQVRSSAKDIGQTAIGHTLSARQRDEEMLEHQRKAEEQNISGLGDFVYTLDVEENDEDSVVKFDLTPLFRVYHIHDCLGIQEQFREYYYKNRLLQLNSDLQISTTQPFVESYQTYLAQIAGYFIVEDRVLRTAGDLLSANHVETMWEVAVAKMTSVLEEQFSHMDSATHLLLVKDYVTLLGETFRQYGYEVGQILEVVDRSRDKYHELLLGECHEQIVNTLGSDTYEQMVMRKDADYENNVLSFHLQTSDIMPAFPYYAPFSSMVPDTCRIVRSFIKGSVDYLSYGVHTNFYDIVRKYLDKLLIDVLNEVMLSTIHGGAVGVSQAMQIAANISVLERACDFFLRYAAQLCGIPIRSVERPQASLTAKVVLKTSRDAAYLALLNLVNTKLDEFMNITENINWTSEETPQNGNDYINEAVIYLDTILSTAQQILPLDALHKVGSGALEHISNSIVGAFLSDSVRRFNANAVLSLNNDLKIIEDFADERFHSTGLSEIYKEGSFRGCLLEARQLINLLSSSQPENFMNPVIRQKNYDALDYKNVASICDKFKDSHDGIFGSLSTRNTKQSARKKSMDMLKKRLKDFN >Potri.016G139700.5.v4.1 pep chromosome:Pop_tri_v4:16:14291355:14295058:1 gene:Potri.016G139700.v4.1 transcript:Potri.016G139700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139700.v4.1 MVHRLREVLLLFSFLAILPKATGVEAYSRGGVIQESAAYCLSWRLAVEANNVRAWRTVPSQCLRYVETYMLGGQYDRDLDLIVDQILSYVNEIVPSSDGMDAWILDVDDTCISNVFYYRGKRYGCDPYDPAGFRAWALKGGCPAIPAVLGLFDYLVQSGFKVFLVTGRDKEALGQVTIDNLHIQGFIGYERIILRTAEFIGQSAVAYKSEIRRQLEKEGYRIWGNVGDQWSDLQGECLGNRTFKLPNPMYFVP >Potri.016G139700.4.v4.1 pep chromosome:Pop_tri_v4:16:14291098:14295058:1 gene:Potri.016G139700.v4.1 transcript:Potri.016G139700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139700.v4.1 MVHRLREVLLLFSFLAILPKATGVEAYSRGGVIQESAAYCLSWRLAVEANNVRAWRTVPSQCLRYVETYMLGGQYDRDLDLIVDQILSYVNEIVPSSDGMDAWILDVDDTCISNVFYYRGKRYGCDPYDPAGFRAWALKGGCPAIPAVLGLFDYLVQSGFKVFLVTGRDKEALGQVTIDNLHIQGFIGYERIILRTAEFIGQSAVAYKSEIRRQLEKEGYRIWGNVGDQWSDLQGECLGNRTFKLPNPMYFVP >Potri.016G139700.2.v4.1 pep chromosome:Pop_tri_v4:16:14290864:14295125:1 gene:Potri.016G139700.v4.1 transcript:Potri.016G139700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139700.v4.1 MVHRLREVLLLFSFLAILPKATGVEAYSRGGVIQESAAYCLSWRLAVEANNVRAWRTVPSQCLRYVETYMLGGQYDRDLDLIVDQILSYVNEIVPSSDGMDAWILDVDDTCISNVFYYRGKRYGCDPYDPAGFRAWALKGGCPAIPAVLGLFDYLVQSGFKVFLVTGRDKEALGQVTIDNLHIQGFIGYERIILRTAEFIGQSAVAYKSEIRRQLEKEGYRIWGNVGDQWSDLQGECLGNRTFKLPNPMYFVP >Potri.016G139700.6.v4.1 pep chromosome:Pop_tri_v4:16:14292620:14295058:1 gene:Potri.016G139700.v4.1 transcript:Potri.016G139700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139700.v4.1 MVHRLREVLLLFSFLAILPKATGVEAYSRGGVIQESAAYCLSWRLAVEANNVRAWRTVPSQCLRYVETYMLGGQYDRDLDLIVDQILSYVNEIVPSSDGMDAWILDVDDTCISNVFYYRGKRYGCDPYDPAGFRAWALKGGCPAIPAVLGLFDYLVQSGFKVFLVTGRDKEALGQVTIDNLHIQGFIGYERIILRTAEFIGQSAVAYKSEIRRQLEKEGYRIWGNVGDQWSDLQGECLGNRTFKLPNPMYFVP >Potri.001G282600.2.v4.1 pep chromosome:Pop_tri_v4:1:29573162:29576726:-1 gene:Potri.001G282600.v4.1 transcript:Potri.001G282600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G282600.v4.1 MRNILHHHYYNPLIIRTLPSSTMASSSLALPSVSLKTLSFTSSDAFNKRIRGFGLKNGKRSRILMSLSVGSQNQTVVVDDTLFIDYKPTSAFLFPGQGAQAVGMGKEAQSVSAAAQLYEKANDILGYDLVELCTSGPKKKLDSTVISQPAIYVTSLAAVEVLRARDGGQQIINSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQEAADAAESAMVSVIGLDADKVQQLCDAANQDVDEDNKVQIANYLCPVRLAVAGAFHTSFMEPAVSRLEVALAATEIRQPRIPVISNVDAQPHADPATIKRSWHAK >Potri.014G126000.2.v4.1 pep chromosome:Pop_tri_v4:14:8455368:8456405:-1 gene:Potri.014G126000.v4.1 transcript:Potri.014G126000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126000.v4.1 MAKMLVRSLFCPFIIVNTLALAARSYGLNQSRNQPSSLATTSTTVAYHGGPLLTRPSGINIYLIWYGAFSLKERTTITDFFASFSPKGLVPHQEPSVSTWWRTVTSYKDKAHTPVSRIVRLVKQVGDPYSLGKNLKRAQIGNLVNNNIVISNKLPVDSNAIYLVLTAKDVSVEKFCMDSCGFHDSVLVTPKGSVIVYAHVGDAVQCPGFCAWPYALPAYGPPGQALVAPNGVGADGMVINIATILAGAATNPFKTGYFQGDILAPLEAVSACPGIFGAGAYPGYPGNLMVDKFSKASYNVYGANGEKFLLPAVWDLVGLTCKVV >Potri.010G080633.1.v4.1 pep chromosome:Pop_tri_v4:10:10804807:10804902:-1 gene:Potri.010G080633.v4.1 transcript:Potri.010G080633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080633.v4.1 MNIPDITYSNPLRNVRVSDHRRHVFHEKTLS >Potri.015G075400.4.v4.1 pep chromosome:Pop_tri_v4:15:10148010:10148588:1 gene:Potri.015G075400.v4.1 transcript:Potri.015G075400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075400.v4.1 MLLGTGRCSALCTSCEGKSWKVMLSSPTCPVLEALGKKLSFEIAVGLNGRVWVKADSPSTVIIVVNAIIKSESLSGAQQKIMAEKLLQKIQ >Potri.015G075400.1.v4.1 pep chromosome:Pop_tri_v4:15:10148010:10148603:1 gene:Potri.015G075400.v4.1 transcript:Potri.015G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075400.v4.1 MLLGTGRCSALCTSCEGKSWKVMLSSPTCPVLEALGKKLSFEIAVGLNGRVWVKADSPSTVIIVVNAIIKSESLSGAQQKIMAEKLLQKIQND >Potri.015G075400.5.v4.1 pep chromosome:Pop_tri_v4:15:10148076:10148603:1 gene:Potri.015G075400.v4.1 transcript:Potri.015G075400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075400.v4.1 MLSSPTCPVLEALGKKLSFEIAVGLNGRVWVKADSPSTVIIVVNAIIKSESLSGAQQKIMAEKLLQKIQND >Potri.015G075400.6.v4.1 pep chromosome:Pop_tri_v4:15:10148076:10148588:1 gene:Potri.015G075400.v4.1 transcript:Potri.015G075400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075400.v4.1 MLSSPTCPVLEALGKKLSFEIAVGLNGRVWVKADSPSTVIIVVNAIIKSESLSGAQQKIMAEKLLQKIQ >Potri.015G075400.3.v4.1 pep chromosome:Pop_tri_v4:15:10147054:10149364:1 gene:Potri.015G075400.v4.1 transcript:Potri.015G075400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075400.v4.1 MSWYLHNYFKSQLLNQCSLPSLPISSIQLAIEQVLAHYFQQVFFCYLRGRCSALCTSCEGKSWKVMLSSPTCPVLEALGKKLSFEIAVGLNGRVWVKADSPSTVIIVVNAIIKSESLSGAQQKIMAEKLLQKIQ >Potri.015G075400.2.v4.1 pep chromosome:Pop_tri_v4:15:10147054:10149364:1 gene:Potri.015G075400.v4.1 transcript:Potri.015G075400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075400.v4.1 MSWYLHNYFKSQLLNQCSLPSLPISSIQLAIEQVLAHYFQQVFFCYLRGRCSALCTSCEGKSWKVMLSSPTCPVLEALGKKLSFEIAVGLNGRVWVKADSPSTVIIVVNAIIKSESLSGAQQKIMAEKLLQKIQND >Potri.005G173400.1.v4.1 pep chromosome:Pop_tri_v4:5:17897150:17899080:1 gene:Potri.005G173400.v4.1 transcript:Potri.005G173400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173400.v4.1 MAKPKISRKPTMEVNQNQNHQPLKTEKPPSWFVVRGLFGCKHIQTQQQQQREQKQQKQPQHRKQDQQQQSKKEKKHNQHQDQSLEETSKKCKKMKYCSGSICSNSKVMHRPETASIEVQRKRASMGSAGKSVSSRSMKGPLNEINGVVSSTNSSLSASSNFSSSNVSSFRGMPFSRFSGCYECRMVVNPVLGIARDHSLRGSICACPECGEICMKAENLELHRAVRHAVSELSSEDTSKNIVEIIFQSSWLKKQASICKIDRILKVHNTQRTISKFEEYRDSIKAKATKLPKKQPRCIADGNELLRFHCTTFACSLGLNGSSNLCNSVPHCNVCSIIKNGFKESTTRDDNGHGILTTATSGKAHDKATISEDGNGDSEKRAMLVCRVIAGRVKKSMEGNAEDYDSVATGMEVYSNLDELYVSNPRAILPCFVVIYRGF >Potri.001G466166.1.v4.1 pep chromosome:Pop_tri_v4:1:49125240:49126769:1 gene:Potri.001G466166.v4.1 transcript:Potri.001G466166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466166.v4.1 MLASSVKLTDLCDFVENPDGSLSRNSPFPDVPPTEQIAPGSKELSLSKDIPLNPNNKTFLRLFRPLNPPQNTKLPLIIYLHGGGFVLYSAATLAFHQTCSDMASHFPALVLSVDYRLAPEHRLPAAYQDAMESIKWVQNQVLDINGPSCEPWFKEYLDFSRCFLMGMSAGGNIAYHANLLALNIDIKPLKIIGLILNVPYFSSVTRTESEKRLIDDPVLPLATSDRMWALSLPEDTDRDHEYCNPIVGGSLEKNKIERLPRCFFRGYGGDPLVDKQKELVKMLESRGVDVVARFDEDGFHGVEVFDPAKAKAFYDYVKEFVYSTV >Potri.010G131500.1.v4.1 pep chromosome:Pop_tri_v4:10:14775420:14775707:1 gene:Potri.010G131500.v4.1 transcript:Potri.010G131500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131500.v4.1 MAFLYSSFQAKHLSFGSITCLLFIIFLLVTSCSATRPGATLMGDKDTLKESENVKPYRRLYKTSFGHRNHVFNFLPKGVPIPPSGPSKRHNSIHN >Potri.004G185050.1.v4.1 pep chromosome:Pop_tri_v4:4:19856028:19858107:-1 gene:Potri.004G185050.v4.1 transcript:Potri.004G185050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185050.v4.1 MNTVFSDFCSAYGLKVSYDKSRFMFSKNVSRRKRAMFTQFSSIRIASGLGKYLGFPFIQGQVKRADFNFIFDKISRRLVDWKNRLLNKAGKLTLARSVMSTIPIYPMQNYWLPQATCMVIDRVVRNFIWDNRESGNGLHLVKWEIVTCPGCEGGLTS >Potri.014G083050.1.v4.1 pep chromosome:Pop_tri_v4:14:5329393:5330922:1 gene:Potri.014G083050.v4.1 transcript:Potri.014G083050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083050.v4.1 MLYHKKGFVFFLRKKYTGVGSDLDPGQFMFRRKKATFKVVVHGISEENPHTVNLPHKALCQKLQGDANRREGERLDMHRKASLLVFLKSAILSSDGLIFMQQDICV >Potri.015G088700.1.v4.1 pep chromosome:Pop_tri_v4:15:11210079:11213004:1 gene:Potri.015G088700.v4.1 transcript:Potri.015G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088700.v4.1 MLKVKTPKYGRVKRELEKREPKLVELAKKTLILQGTKTSNVLNTVLSEIYHLKRDNAIRYTRKNDSIRPFESGGETSLEFFSLKTDCSIFVYGSTSKKRPDNLVIGRTYDHHIYDLIEVGVENFKRMDSFTYDKKLAPQAGSKPFIVFSGEAFESVDELKHLKEVLLELLRGEVVDNLNLAGLGRVYVCTAISSNRVFLTHCAMRLKKSGTIVPRIELVEIGPSMDFVVRRHRLPNESLRKEAMKTAKDKLHKKIKNVSKDALQGKLGKIYVPDQKVGEMPLPNKAKGVKRERREAKVKNSNNERASKKQKEDS >Potri.010G040100.5.v4.1 pep chromosome:Pop_tri_v4:10:7038012:7044695:1 gene:Potri.010G040100.v4.1 transcript:Potri.010G040100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040100.v4.1 MGACVSTPEGCVGGRLKSSKKMKIRRKGKRGTAFKRRSVPPSRMLSDDKSDGPASAAPPPHHLPSFTNPTFQGSKEEAWFDSAAILESDCDEDFESVPDDILSLNGFDIVSLSSTASGRVANHGDCNVNMQHSSFTDQMQKAGDLSAGNSTHDSVSEATEQTNIHVFNLDHVDSVSKSDGPSNEVKQPVFLDEITSADENAGEEGLLDNCGILPGNCLPCLASTVPPVEKRRSLSSSPPSARKKGALKLPFKWKEGNSSNTLFSSKMILHRPIAGSQVPFCPMEKKMLDCWSHIEPCSFKVRGQSYFRDKKKEFAPNCSAYYPFGVDVFLSPRKVDHIARFVDLPIINSAGNFPTILVVNVQVPLYPAAIFQSESDGEGTNFVLYFKLSDSYSKELPTHFQESIRRLIDDEVEKVKGFPVDTIASFRERLKILGRVVNVEDLHLSAAERKLMQAYNEKPVLSRPQHEFYLGDNYFEIDIDMHRFSYISRKGFQAFLDRLKICVLDIGLTIQGNKVEELPEQILCCIRLNGIDYMKYHQLGLNQEPFESLSG >Potri.008G112600.1.v4.1 pep chromosome:Pop_tri_v4:8:7157681:7162505:1 gene:Potri.008G112600.v4.1 transcript:Potri.008G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112600.v4.1 MGVATSSMAAKFAFFPPNPPSYTILVDEETGKLRLSSDTLHQRYNVDVLRLCTKKGNEIVAMYAKNPSASLTVLYSHGNAADIGQMYHIFTELSLHLNVNLMGYDYSGYGQSSGKPSEQDTYADIEAAFKCLEETYGVKEEDIILYGQSLGSGPALELATCLPELRAVILHSPILSGLRVMHPIKKTFWFDIYKNIDKIPLVNCPVLVIHGTEDEVVNFSHGKQLWELCKEKYEPLWLKGGNHCNLELYPEYLKHLKKFICAIEKLQPRLRNVSAQSTDQPEQPLNTAEHNAEKPRPSTDHKEKARPSIGHREKSRLSTDSREKARASTDRRERARKSIDRMGKARNSTDQPEKARNSFDRLGDMVRSVGLCNVDCLKQTAAEA >Potri.016G001950.1.v4.1 pep chromosome:Pop_tri_v4:16:100249:100632:-1 gene:Potri.016G001950.v4.1 transcript:Potri.016G001950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001950.v4.1 MQPLSQIGKHFGRHRGFRSVFSDDFVLIECGGPTVAVDEVTERSMIYGSKLVIRSRKLVVPESSHVLSFMDQQEREAKLQYRSEKLALAFALLNAPPGPPSSSTRTSEFVVIFIQHSSLCLRWWRKS >Potri.012G105400.5.v4.1 pep chromosome:Pop_tri_v4:12:12727519:12736437:1 gene:Potri.012G105400.v4.1 transcript:Potri.012G105400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105400.v4.1 MENVPSVRSTSAGRPSMSVRAATVVPPSKQSLRTPVSIPPIDPPSSRNRDNKRFTSDVGQLKLADSGDQREASALRDELDMLQEENEAILDKLRSAEEKREEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQSTDGRDAEVATLRTELESLKDDTATAAEQLREAESETKALRMMTQRMILSQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEHWSALAPLPFEVVISAGQRAKEELDRGGGDPDRSKVVRDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPNMVRQSFSDSRPPGDPKFTEATELSKAEAEDVDFKEAWLTYYWRRALVHGVEEDIAEDRLQFWISRSGQSPTSHDAVDVERGVLELRKLSIEQQLWEASRKEIDHSSLAPGANHKHTDSELSS >Potri.012G105400.3.v4.1 pep chromosome:Pop_tri_v4:12:12727515:12736474:1 gene:Potri.012G105400.v4.1 transcript:Potri.012G105400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105400.v4.1 MDRRRAGSPVYTRQWSNESRGSNSTGSSSPAPMSPAHPNSRLSSNMSTIKRTQNVAAKAAAQRLAQVMASSQTADDDEEDDLDFRFPARPAPVPASSGFSSVNHRGSSNGVSVTRPNRSPSPALGRNFMENVPSVRSTSAGRPSMSVRAATVVPPSKQSLRTPVSIPPIDPPSSRNRDNKRFTSDVGQLKLADSGDQREASALRDELDMLQEENEAILDKLRSAEEKREEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQSTDGRDAEVATLRTELESLKDDTATAAEQLREAESETKALRMMTQRMILSQEEMEEVVLKRCWLARYWGLAVQHGICADIAVSKHEHWSALAPLPFEVVISAGQRAKEELDRGGGDPDRSKVVRDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPNMVRQSFSDSRPPGDPKFTEATELSKAEAEDVDFKEAWLTYYWRRALVHGVEEDIAEDRLQFWISRSGQSPTSHDAVDVERGVLELRKLSIEQQLWEASRKEIDHSSLAPGANHKHTDSELSS >Potri.002G003400.1.v4.1 pep chromosome:Pop_tri_v4:2:204135:208215:1 gene:Potri.002G003400.v4.1 transcript:Potri.002G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003400.v4.1 MEKAGGVVAAAPTNTVVSAMDAFEKLEKVGEGTYGKVYRARERATGKIVALKKTRLHEDDEGVPTTTLREVSILRMLSRDPHVVRLMDVKQGQNKQGKTVLYLVFEYMDTDLKKFIRSFLQTGENIPVKNVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTMMLKIADLGLARAFTLPIKKYTHEILTLWYRAPEVLLGATHYSTAVDVWSVGCIFAELATKQPLFPGDSELQQLLHIFRLLGTPNEEMWPGVSNLMNWHEYPQWKPQSLSSSVTNLDKDGLDLLSQMLQYDPSKRISAKKAMEHPYFDELEKECL >Potri.013G011200.1.v4.1 pep chromosome:Pop_tri_v4:13:712965:717541:1 gene:Potri.013G011200.v4.1 transcript:Potri.013G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011200.v4.1 MDADSWSARLSSASKRYQSALQSRSDMFMGFEEIDGDDDIREEFPCPFCSEYFDIVGLCCHIDDEHPVESKNGVCPVCAMRVGVDMVAHITLQHGNIFKMQRKRKSRRGGPHSTLSLLRKELREGNLQSLLGGSSCIVSSSNAAPDPLLSSFILPMVDDFTSSQPSFLSETSSAKKGTDGNVSERNRKSPPMSIKDKEEKAKRSEFVQGLLLSTIPDDIL >Potri.011G162000.1.v4.1 pep chromosome:Pop_tri_v4:11:18687379:18690622:-1 gene:Potri.011G162000.v4.1 transcript:Potri.011G162000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162000.v4.1 MVAQEFTVDLDKPLVFQVGHLGEAYEEWVHQPIVSREGPRFFESDFMESLTRTVWWAIPSIWLPVICYFVLKSVRMGHTLSEVALIVVGGVFIWTLLEYTLHRFLFHIKTKSYWGNTMHYLLHGCHHKHPMDGLRLVFPPAATAILLVPFWNMVKLFSTPSTTPALFGGGLLGYVIYDCTHYYLHHGQPANDVPKNLKKYHMNHHFRVQDKGFGITSSLWDRVFGTLPPSKVAKKI >Potri.012G035200.2.v4.1 pep chromosome:Pop_tri_v4:12:3164713:3166033:1 gene:Potri.012G035200.v4.1 transcript:Potri.012G035200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035200.v4.1 METSQPLSIESFSYSWLVNLKPSLESLDNSLRASLDASDEASFIEMDPRMPPSKRFFRNSQDFKFDFPISQSPLTLVHADELFSNGYVMPLFVDPLKMEAYDVSDSTSALPTSSHAPKTVVSACKPQRCSSLRRCRRLSKQIVQKYMDFLRPLYRRIRGHRSSSRDENIDSKVQVMKNWVYSAETSPRISVAYSVDDCWRRSCDSESSIYEAVLHCKRSNGK >Potri.001G016100.2.v4.1 pep chromosome:Pop_tri_v4:1:1204875:1205435:1 gene:Potri.001G016100.v4.1 transcript:Potri.001G016100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016100.v4.1 MAFGDGLSRFSSISIALIILTFVLMPLVHSSRSLAAGNRARASAQDIHQSYKITRMGKGASSLVTDEESEREVPTGPDPLHHNNNPTTRP >Potri.002G133200.2.v4.1 pep chromosome:Pop_tri_v4:2:10030949:10032018:1 gene:Potri.002G133200.v4.1 transcript:Potri.002G133200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133200.v4.1 MAISSIFLTGCAFDEPGNGKCITGDCGGTLKCIGGGSPPVGPVEDFYDVSLVDGYNGGLGVKALGGSGDCQYAGCVADLKGNCPTELRVMDSGSTVACKSACSALNAPELSSRHNTQMFKNACPTAYSYAYDDASSACTCTGSDYLIIFCPNGSG >Potri.015G065900.1.v4.1 pep chromosome:Pop_tri_v4:15:9147500:9153570:1 gene:Potri.015G065900.v4.1 transcript:Potri.015G065900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065900.v4.1 MELSVSSTSSALLKLNHHFLSKNSLPIKIPTLHFANDKKLPSFYRQTKRPSFLRKFASPASSSIAVGPSNDRLPADIKVTETPEPNSRIRLSVEVPPAVCEDCYKRVMNEFTKQAKVPGFRPGKDVPESILLSYVGKQNVQKATVESILKRTLPHAMSSVTGRALRDSVQIATKFTDMEKTYLSLNSLRYDVVVDVAPEVIWIPENGYRDLKIVVEIDSEIDAQRASEQELRQRHKSLGLMRIITDRGLQIGDVAVLDVSATKIDKDESNVQNIPAAESKGFHFDTEHGDRVIPGFLDSIIGIQRGETKSFPLVFPESWKQENLRGVHAQFTVECKELFYRDLPELDDTFADKLLPGCTTLQQVKESLLQKFLEVEQTAREQATDNAILDQLCKMVEIDIPQSLFEEQGRQLYGAKLLQIQANMKLNEQQLASLSSPKAVNEFLENQKENITRVIKQNLAVGDIFKRENLQFSTEDLVKEVENSIAEFKRHKQEYNEERVEEQVQEVLEGAKVLEWLREHSEIQYISR >Potri.005G163900.3.v4.1 pep chromosome:Pop_tri_v4:5:16222714:16228315:1 gene:Potri.005G163900.v4.1 transcript:Potri.005G163900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163900.v4.1 MSRPLHRGATGIRISGNSNDLWDSQMKDKTEKEDMDRNRSSDQSYLALKFPFRVLFPDNNSPSKYVNGESGFASDPFSVGSPRSRHKLTLLLLKLSLAVIVVLALTGSFWWTISISTLSRGQILHTYRRLQEQLVSDMWDIGELSLGSSRLQELEFCSQESEIYVPCFNVSENLALGYSDGSENDRHCGQSSRQSCMVLPPVNYRIPLHWPTGRDIIWVANVKLTAQEVLSSGSLTKRMMMLDEEQISFRSASPMFDGVEDYSHQIAEMIGLRNESNFVQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYEPSGSQVQLTLERGLPAMIGSFTSNQLPYPSLSFDMLHCARCGVDWDHKDGIFLIEADRVLKPGGYFVWTSPLTNARNKENQKRWNFVRDFAENLCWEMLSQQDETVLWKKTSKKSCYSSRKPGAGPSTCSKAHDVESPYYRPLQGCIAGTQSRRWIPIQEKTSWPSRSHLNKSELTVYGLHPADFREDAENWKTTLPNYWSVLSPIIFSDHPKRPGEEDPSPPYNMVRNVLDMNAHFGGFNSALLEAGKSVWVMNVVPTGGPNYLPLIVDRGLIGVLHDWCEPFPTYPRSYDLVHAEGLLSLQTRQQRWCTMLDLFTEIDRLLRPEGWVIMRDTAPLVESARRLTTRLKWDARVIEIESNSDDRLLICQKPFFKRQGVSS >Potri.005G163900.2.v4.1 pep chromosome:Pop_tri_v4:5:16222478:16228329:1 gene:Potri.005G163900.v4.1 transcript:Potri.005G163900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163900.v4.1 MSRPLHRGATGIRISGNSNDLWDSQMKDKTEKEDMDRNRSSDQSYLALKFPFRVLFPDNNSPSKYVNGESGFASDPFSVGSPRSRHKLTLLLLKLSLAVIVVLALTGSFWWTISISTLSRGQILHTYRRLQEQLVSDMWDIGELSLGSSRLQELEFCSQESEIYVPCFNVSENLALGYSDGSENDRHCGQSSRQSCMVLPPVNYRIPLHWPTGRDIIWVANVKLTAQEVLSSGSLTKRMMMLDEEQISFRSASPMFDGVEDYSHQIAEMIGLRNESNFVQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYEPSGSQVQLTLERGLPAMIGSFTSNQLPYPSLSFDMLHCARCGVDWDHKDGIFLIEADRVLKPGGYFVWTSPLTNARNKENQKRWNFVRDFAENLCWEMLSQQDETVLWKKTSKKSCYSSRKPGAGPSTCSKAHDVESPYYRPLQGCIAGTQSRRWIPIQEKTSWPSRSHLNKSELTVYGLHPADFREDAENWKTTLPNYWSVLSPIIFSDHPKRPGEEDPSPPYNMVRNVLDMNAHFGGFNSALLEAGKSVWVMNVVPTGGPNYLPLIVDRGLIGVLHDWCEPFPTYPRSYDLVHAEGLLSLQTRQQRWCTMLDLFTEIDRLLRPEGWVIMRDTAPLVESARRLTTRLKWDARVIEIESNSDDRLLICQKPFFKRQGVSS >Potri.005G163900.4.v4.1 pep chromosome:Pop_tri_v4:5:16222778:16228314:1 gene:Potri.005G163900.v4.1 transcript:Potri.005G163900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163900.v4.1 MSRPLHRGATGIRISGNSNDLWDSQMKDKTEKEDMDRNRSSDQSYLALKFPFRVLFPDNNSPSKYVNGESGFASDPFSVGSPRSRHKLTLLLLKLSLAVIVVLALTGSFWWTISISTLSRGQILHTYRRLQEQLVSDMWDIGELSLGSSRLQELEFCSQESEIYVPCFNVSENLALGYSDGSENDRHCGQSSRQSCMVLPPVNYRIPLHWPTGRDIIWVANVKLTAQEVLSSGSLTKRMMMLDEEQISFRSASPMFDGVEDYSHQIAEMIGLRNESNFVQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYEPSGSQVQLTLERGLPAMIGSFTSNQLPYPSLSFDMLHCARCGVDWDHKDGIFLIEADRVLKPGGYFVWTSPLTNARNKENQKRWNFVRDFAENLCWEMLSQQDETVLWKKTSKKSCYSSRKPGAGPSTCSKAHDVESPYYRPLQGCIAGTQSRRWIPIQEKTSWPSRSHLNKSELTVYGLHPADFREDAENWKTTLPNYWSVLSPIIFSDHPKRPGEEDPSPPYNMVRNVLDMNAHFGGFNSALLEAGKSVWVMNVVPTGGPNYLPLIVDRGLIGVLHDWCEPFPTYPRSYDLVHAEGLLSLQTRQQRWCTMLDLFTEIDRLLRPEGWVIMRDTAPLVESARRLTTRLKWDARVIEIESNSDDRLLICQKPFFKRQGVSS >Potri.005G163900.5.v4.1 pep chromosome:Pop_tri_v4:5:16222783:16228314:1 gene:Potri.005G163900.v4.1 transcript:Potri.005G163900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163900.v4.1 MSRPLHRGATGIRISGNSNDLWDSQMKDKTEKEDMDRNRSSDQSYLALKFPFRVLFPDNNSPSKYVNGESGFASDPFSVGSPRSRHKLTLLLLKLSLAVIVVLALTGSFWWTISISTLSRGQILHTYRRLQEQLVSDMWDIGELSLGSSRLQELEFCSQESEIYVPCFNVSENLALGYSDGSENDRHCGQSSRQSCMVLPPVNYRIPLHWPTGRDIIWVANVKLTAQEVLSSGSLTKRMMMLDEEQISFRSASPMFDGVEDYSHQIAEMIGLRNESNFVQAGVRTILDIGCGYGSFGAHLFSKQLLTMCIANYEPSGSQVQLTLERGLPAMIGSFTSNQLPYPSLSFDMLHCARCGVDWDHKDGIFLIEADRVLKPGGYFVWTSPLTNARNKENQKRWNFVRDFAENLCWEMLSQQDETVLWKKTSKKSCYSSRKPGAGPSTCSKAHDVESPYYRPLQGCIAGTQSRRWIPIQEKTSWPSRSHLNKSELTVYGLHPADFREDAENWKTTLPNYWSVLSPIIFSDHPKRPGEEDPSPPYNMVRNVLDMNAHFGGFNSALLEAGKSVWVMNVVPTGGPNYLPLIVDRGLIGVLHDWCEPFPTYPRSYDLVHAEGLLSLQTRQQRWCTMLDLFTEIDRLLRPEGWVIMRDTAPLVESARRLTTRLKWDARVIEIESNSDDRLLICQKPFFKRQGVSS >Potri.006G273001.1.v4.1 pep chromosome:Pop_tri_v4:6:26552007:26555607:-1 gene:Potri.006G273001.v4.1 transcript:Potri.006G273001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273001.v4.1 MLRLHNNLLSGEIPPNISSCLNLISITLGRNNLIGRIPLEFSSLLNLQLLNVEFNDLTGGIPSFFGNYSSLQVLSTTFNNFGGTLPDTLGQLKNLYYISMGANFLTGTIPSSLYNLSFLSIFCFPQNQLQGTLPSDLGNEFPYLVELNVGDNQITGSIPISLSNSSYLERLTIAINGFTGNVPSLEKMHKLWWLSISTNHLGTGEARDLDFLSTVSNATSLQLMAINVNNFGGMLPSAITNFTSLSIMTLDSNRIFGSIPAGLGNLVNLEMLYMGKNQFTGDIPEEIGKLQQLKKLGLQGNKLSGNIPSSFGNLTLLTHLYMYQSSLKGSIPPELGKCLNLLLLNLSQNNLTGAIPKEVLSIPSLTIYMDLSRNNLIGSLPTEVGTLTNLGILDISHNMLSGEIPGTLGSCVRLESLFMQNNFFQGTIPSSFISLRGLQVLNLSHNNLTGSIPDFFLDFRALATLNLSFNNFEGLVPTDGVFRNSSAVSVVGNSKLCGGIAEFQLLECNFKGTKKGRLTLAMKLVIAASALLCAALVLTSLFLLFVKEEKSRTHSNLSRELSFPNVLP >Potri.006G273001.2.v4.1 pep chromosome:Pop_tri_v4:6:26552242:26555044:-1 gene:Potri.006G273001.v4.1 transcript:Potri.006G273001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273001.v4.1 MLRLHNNLLSGEIPPNISSCLNLISITLGRNNLIGRIPLEFSSLLNLQLLNVEFNDLTGGIPSFFGNYSSLQVLSTTFNNFGGTLPDTLGQLKNLYYISMGANFLTGTIPSSLYNLSFLSIFCFPQNQLQGTLPSDLGNEFPYLVELNVGDNQITGSIPISLSNSSYLERLTIAINGFTGNVPSLEKMHKLWWLSISTNHLGTGEARDLDFLSTVSNATSLQLMAINVNNFGGMLPSAITNFTSLSIMTLDSNRIFGSIPAGLGNLVNLEMLYMGKNQFTGDIPEEIGKLQQLKKLGLQGNKLSGNIPSSFGNLTLLTHLYMYQSSLKGSIPPELGKCLNLLLLNLSQNNLTGAIPKEVLSIPSLTIYMDLSRNNLIGSLPTEVGTLTNLGILDISHNMLSGEIPGTLGSCVRLESLFMQNNFFQGTIPSSFISLRGLQVLNLSHNNLTGSIPDFFLDFRALATLNLSFNNFEGLVPTDGVFRNSSAVSVVGNSKLCGGIAEFQLLECNFKGTKKGRLTLAMKLVIAASALLSTDGFSLTNLLGVGGFGSVYKGILDNDEKLVAVKVLNLLNPRASKSFKAECEVLRNVRHRNLVKLLTACSGSDYQGNDFKALVYEFMVNGSLEEWLHPITPGIDEARESSRSLNFVQRLNIAIDISCALEYLHRGCRTPIVHCDLKPSNVLLDDEMIGHVGDFGLARFFPEATNNLSFNRSSTNGVRGTIGYTAPEYGMGNEVSTSGDVFSYGILLLEMFSGKRPTDVIFEDSLNLHTYMKAALPGKVEEILDPILVQEIKGERSSSYMWNSKVQDCVVSVFEVGIACSAELPSERMDISEVTAELQAIKEKLLRSEDMGTHEVQVVLQS >Potri.013G100600.2.v4.1 pep chromosome:Pop_tri_v4:13:10701981:10711413:-1 gene:Potri.013G100600.v4.1 transcript:Potri.013G100600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100600.v4.1 MFLGNKKKSSSHLSRCKQRMWNPQMTISSSVNQKVTAAKSPATASNVIRRFYDGLNSHDLVAVEEIIAENCVYEDLIFPHPCVGHKEVIEFFQQFFDSTSSDLHFVIDDISGEDSQAVGVIWHIEWKGKPMPCSKGCSFYRLETVNGKRQIIYGRDSVESAIKPGKAALVLIRSVIWLLQKFDQLTNQL >Potri.002G039801.1.v4.1 pep chromosome:Pop_tri_v4:2:2617375:2617863:-1 gene:Potri.002G039801.v4.1 transcript:Potri.002G039801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039801.v4.1 MTLQLVGSVICSLKRFNDSLGCFNRANMMLGRLEEEGNVNVEDIRPVLHTVLLELANVKTAMGRREEAIYNFKKCLEIKEMLWRKEEKNWVWIWGTGGGLCCSSEFEGGIAVWFEGVGIHKSGLGNNSEEFTHDRKLLGVIYSGLEEHVKALGSRMHCHGRF >Potri.014G032600.1.v4.1 pep chromosome:Pop_tri_v4:14:2006253:2007486:1 gene:Potri.014G032600.v4.1 transcript:Potri.014G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G032600.v4.1 MESSKQEVVFELTMTLRVHKDGHVERLRPTDFIPPSTDPITGVSSKDIVVVPESNITARLFLPKITDPNKKLALLVYFHGGAFVINTPFTTPFHKFVTNLVSEANVVAVSVDYRKAPEHPIPAAYEDSMAALKWVASHSNGDGPEPWLNNHADFQRVFLGGDSSGANIAHNLAMTAGNPETGLSIGLLGIALVHPYFWGSVPVGSEADYPDDKSVINRDYVDRVWPFICPSNPENDDPRVNPVAEGAPRLVGLGCKRVLVCVAEHDVMKDRGWLYYEALSRSGWMGVVEIFETQGGHHGFYCNDLEPEKSKQLTQRLAAFYQTDAPLFR >Potri.013G069600.1.v4.1 pep chromosome:Pop_tri_v4:13:5594583:5602190:-1 gene:Potri.013G069600.v4.1 transcript:Potri.013G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069600.v4.1 MDNSTEERLLLLEEFDREDLKKRVWNESKKLWRIAFPGIIARVTSFGMIVVTQLFMGHISELDLAAFGLQQSILLRFVNGILIGMSSATETLCGQAYGAEQYHMMGVYLQRSWIIDGVTATILLPLFIFTTPILRLIGQEENIAIEAGKISLWFIPILYYFVFSLTIQMYLQAQQKNKIVGWFSASSFLLHVLLSWLFVIKLGFGLAGAMSSFIISSWLLVIGEFVYIFGGWCPNTWKGFTKAAFADMLPLIKLSISSGVMICLELWYSSILVVLAGYMKNATIAISAFSICLNINGWEFMVCLGFLGSSCVRISNELGRGNAKAAKFSIKVALGTSIIIGIIFWVLCMVFSREISYLFTSSEEIAESVFRLHVLLAFSMLLNSIYPVLTGVAVGAGVQSMVAFVNLGSYYAIGLPVGILLGYVAHLQVTGLWIGLLSGVVVQTLVLSYLTWKIDWNEQVNKASERLGRFFIETTKGSDESSNLS >Potri.018G151500.1.v4.1 pep chromosome:Pop_tri_v4:18:16183385:16186313:-1 gene:Potri.018G151500.v4.1 transcript:Potri.018G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151500.v4.1 MRWGGGGISGRDSPRERGRERENGGGGSYRYWVREATADAAPLSLPKKLSPQHLLSQPNSLGSVWNTAGTWEKKNLNNWATHRIKELIVSVGSLEFSGGKAQIAKVSKCSGDAFLVIVRNKKLVGYTYELTLKIKGEWIVKEEKRMVKGHLDFPEFSFGELDDLQMQVRLSDEKDLSRQDMLQISQNLKLFLQPVREKLLQFEQELKDR >Potri.015G123700.5.v4.1 pep chromosome:Pop_tri_v4:15:13669445:13672753:-1 gene:Potri.015G123700.v4.1 transcript:Potri.015G123700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123700.v4.1 MASQILLLSIPLLFFSLLAYASLFTSFAYSAVGAEVANGRKEAEALLEWKVSLDNRSQSLLSSWAGDSPCNWVGISCDKSGSVTNISLPNSSLRGTLNSLRFPSFPNLIYLILHNNSLYGSIPPHIGNLIRLDLSLNSISGNIPPEVGKLVSLYLLDLSNNNLSGGLPTSIGNLSNLSFLYLYGNELSGFIPREVGMLEHLSALQLLGNNFEGPIPASIGNMKSLTSLLLSSNYLTGAIPASLGNLGNLTTLALSSNHLNGTIPASLGNLGNLNTLVLSFNNLTGTIPASLGNLRSLSVLSLGNNNLFGPIPPEMNNLTHLYSLQIYSNRLSGNLPRDVCLGGLLSYFAADYNYITGPIPKSLRNCSSLLRLRLERNQLSGNISEAFGTHPHLYYMDLSDNELHGELSLKWEQFNNLTTFKISGNKISGEIPAALGKATHLQALDLSSNQIVGRIPKELGNLKFIELALNDNKLSGDIPFDVASLSDLERLGLAANNFRETILKQLGNCSKLIFLNMSKNRFSGSIPAEMGSLQSLESLDLSWNSLMGGIAPELGQLQRLEFLNLSHNMLSGLIPTSFSRLQGLTKVDVSYNKLEGPIPDIKAFHEAPFEAISNNTNLCGNATGLEACSALMKNKTEHKKGPKVVFFTVFSLLGSLLGLIVGFIIFSQSRRKKRLVETPQKDVPARWCPDGELRYEDIIEATEEFDSKYCIGTGGYGAVYKAVLPSEQVLAVKKFHQTPEVEMSSLKAFRSEIDVLMGIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKVLNDEEQAVKMDWDKRMNLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEKCDVYSFGVVTLEVMMGKHPGDFISSLMLSASTSSSSPSGHNTILKDVLDQRLPPPENELADGVAHVAKLAFACLQTDPHYRPTMRQVSKELTTRWPLLPKLFSTMELEDILVHKNVIG >Potri.005G025700.1.v4.1 pep chromosome:Pop_tri_v4:5:1621197:1623425:-1 gene:Potri.005G025700.v4.1 transcript:Potri.005G025700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G025700.v4.1 MAENDVNMQQQLDKEEEERRLKYLQFVQVAAVHAVLTFTNLYIYAKDKAGPLKPGVETVEGTVKSVVGPVYDKFREVPIEVLKFVDRKVDESVTNMDNHVPPLVKQVSSRALLAAQNAPVAARAVASEVQRAGVKETASELAKSVYSKYEPTAKELYSKYEPKAEQAAVSAWRKLNQLPLFPQVAQVVVPTAAFCSEKYNQTILSTAEKGYKVSLYLPLVPTEKIAKVFSVEVPESAPLVSS >Potri.014G168900.2.v4.1 pep chromosome:Pop_tri_v4:14:12337212:12340819:1 gene:Potri.014G168900.v4.1 transcript:Potri.014G168900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168900.v4.1 MAEKDQPLPKFGEWDVNDPASAEGFTVIFNKARNEKKTGGKPDSPAKDSSTYKPGATTTLGKPQTKKWFCCIQATHAE >Potri.004G084500.1.v4.1 pep chromosome:Pop_tri_v4:4:7003872:7005883:1 gene:Potri.004G084500.v4.1 transcript:Potri.004G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084500.v4.1 MADLRFNNCSFFLVLFASLLVATSCRGHSRNALFIFGGSWNDVGNNNYMETAIKANFLPYGETFFKNATGRASNGRLVPDFIAGFAKLPLIPPYLSPGNNEFTNGLNFASAGAGALTETNVGMTIGLKTQLSFFKYTKKHLNVKLGEAKTKTLLSRALYMFSIGSSDYITFATHKTTELPSYTRDEYVKTVIGNLTDAIQEIHSMGGRKFGFSNLGDVGCSPFLRALNEAKNINGSGCMDEVTVLAELHNKALAKALKKLERKLEGFKYSNFDLFAASKERIDNPSKYGFKEGKVACCGTGPYKGNLTGCCPKTVCDNVNDYLFFDGVHPTEKANYQYAKLMWSGRADKVKPYNLKTLLKKI >Potri.004G218900.1.v4.1 pep chromosome:Pop_tri_v4:4:22473343:22475413:1 gene:Potri.004G218900.v4.1 transcript:Potri.004G218900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218900.v4.1 MVMGSANVNKISLDGSRDTEIAMGAYQPTYTWARKSSRPHGQVYGYRMSLWAEHLGNLEEAFGEPQHLECMKRVRKISRHNWKAYVSEEGKEMRGHLLQYPIQVSRSGKVSALPGHETFPDVGGKVLGSPTTLPDVLTT >Potri.011G084251.1.v4.1 pep chromosome:Pop_tri_v4:11:9353935:9354252:-1 gene:Potri.011G084251.v4.1 transcript:Potri.011G084251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G084251.v4.1 MGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSLAPSHQWTPDVYEGVQFVRKIPTSLSISEMLGFFKTPWTCRREML >Potri.005G219300.1.v4.1 pep chromosome:Pop_tri_v4:5:22184550:22187692:-1 gene:Potri.005G219300.v4.1 transcript:Potri.005G219300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219300.v4.1 MSLNSTGKADEDERREDVAVAAVERQDSREVDEGKGTWKHAAFHVATTIATPAAYAPLPFALASLGWPLGVSSLVGATLATWYSSLLIASLWRWNGKKQITYRHLAESIFGFWGYWSIAFFQQVASLGNNIAIQIAAGSSLKAVYKHYHKEGTLTLQHFIIFFGAFELFLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTLYNGKNMDRKSVSYSVQGSSSLKRFKAFNALGAIAFSFGDAMLPEIQNTVKEPAKKNLYKGVSAAYTVIILTYWQLAFCGYWAFGSEVQPYILASLTVPEWTIVMANLFAVIQISGCYQIYCRPTYAYFENNMLRSKTASYFPLKNCLIRLVCTSIYIVLITLIAAAMPFFGDFVSICGAIGFTPLDFVFPAIAYLKSGRIPKNMELRISVQLLNLAIATWFSVVAVLGCIGAVRFIVEDIKTYKFFHDL >Potri.005G219300.3.v4.1 pep chromosome:Pop_tri_v4:5:22184550:22187692:-1 gene:Potri.005G219300.v4.1 transcript:Potri.005G219300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219300.v4.1 MGRSRSHIGISQKASSQVASLGNNIAIQIAAGSSLKAVYKHYHKEGTLTLQHFIIFFGAFELFLSQLPDIHSLRWVNALCTFSTIGFAGTTIGVTLYNGKNMDRKSVSYSVQGSSSLKRFKAFNALGAIAFSFGDAMLPEIQNTVKEPAKKNLYKGVSAAYTVIILTYWQLAFCGYWAFGSEVQPYILASLTVPEWTIVMANLFAVIQISGCYQIYCRPTYAYFENNMLRSKTASYFPLKNCLIRLVCTSIYIVLITLIAAAMPFFGDFVSICGAIGFTPLDFVFPAIAYLKSGRIPKNMELRISVQLLNLAIATWFSVVAVLGCIGAVRFIVEDIKTYKFFHDL >Potri.002G191800.2.v4.1 pep chromosome:Pop_tri_v4:2:15394770:15396222:1 gene:Potri.002G191800.v4.1 transcript:Potri.002G191800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191800.v4.1 MDAQARKHGYAGTLSEDQGMGIRKGPWTIEEDSLLAHYITIHGEGHWNSAARCAGLKRTGKSCRLRWLNYLRPNVRRGNITLQEQLLILQLHSRWGNRWSKIAQMLPGRTDNEIKNYWRTRVQKQAKQLKCDVNSKQFRDTMRYVWIPQLIQKIGAESESPMDQPTISPPTYYSSQIDIPAAASESGSDLIDPNFMPDISVSSTLSDSLDAQVSPWSDLTDYQNPPCGQYYSDCMQNGSGLCPENDSGSWGWCQDGVDMQGMEQERYGFIGGGDSLDQSLWNEENIWFLQQQLM >Potri.006G167901.2.v4.1 pep chromosome:Pop_tri_v4:6:16788930:16789578:1 gene:Potri.006G167901.v4.1 transcript:Potri.006G167901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167901.v4.1 MSAGIFAAPAVAGSGYHGLKAHPTKKLFSTMDSVAWSRKTKWNPINNKKFEALSYLTPLSDDSIAKEIDYMVKKGWIPCLEFDDAGSMHREHSRILRWKVLDIVEAPYVWMHRLLISSPP >Potri.002G180300.2.v4.1 pep chromosome:Pop_tri_v4:2:14152182:14155035:1 gene:Potri.002G180300.v4.1 transcript:Potri.002G180300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180300.v4.1 MERLEGPINPCFLGEHNLDLECLDQGFINTESLRFGEERPYFSSPIFDEKMPFLQMLQTVETPPTFPFKEPCFQTLLKLQHLKKPWNMNNYYMPETESQVQPPELESCVTHDIFDLHSPVKSETRELPNPHSNSCLGGVSPEPAEPYSGSLIPLGTQPQTVPNIKTQFSKSTTIITRERRKRKRTRPTKNKEEVESQRMNHIAVERKRRRLMNDHLNSLRSFMPPSYVQRGDQASIIGGAIDFVKELEQLLQSLEAQKRMKEIEAGSTIGISSNQYFTSPPQSDNLAEKGGKCEEKRTVKKKSEAAEIEVTAVQNHVNLKIKCQRSLGQLARAIVALEELSLTVLHLNISSSQATILYSFNLKLEDDCELGSTDEVAAAVHQIFSSFNG >Potri.011G055224.2.v4.1 pep chromosome:Pop_tri_v4:11:2364791:2368692:1 gene:Potri.011G055224.v4.1 transcript:Potri.011G055224.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055224.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRTWTKLLSKAGIVTSPFTRQENTIVQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDAEGNTPGSTKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLSYPSGTATAVLINGFHTPTGDKMARKQVHGFMKFFSLSFLWAFFQWFYSGGEKCGFSQFPALGLKAWKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGLMWPLIGGLKGEWFPSTLSESSMKSLNGYKVFISISLILGDGLYNFLKILYFTARSMRARAKANKLKTEDKNQALDDLQRNEIFLREGIPLWVACLGYITFSIIAIIAIPFMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFLLAALAGKNNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTVIGCVVAPVTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFAFAILANLSRDLSPNNIGKYVPLPMAMAVPFLVGAYFAIDMCVGSLVVFAWHKLNSRKASLMVPAVASGLICGDGLWILPSSILALAKIRPPICMSFLATN >Potri.011G055224.3.v4.1 pep chromosome:Pop_tri_v4:11:2364450:2368654:1 gene:Potri.011G055224.v4.1 transcript:Potri.011G055224.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055224.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRTWTKLLSKAGIVTSPFTRQENTIVQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDAEGNTPGSTKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLSYPSGTATAVLINGFHTPTGDKMARKQVHGFMKFFSLSFLWAFFQWFYSGGEKCGFSQFPALGLKAWKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGLMWPLIGGLKGEWFPSTLSESSMKSLNGYKVFISISLILGDGLYNFLKILYFTARSMRARAKANKLKTEDKNQALDDLQRNEIFLREGIPLWVACLGYITFSIIAIIAIPFMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFLLAALAGKNNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTVIGCVVAPVTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFAFAILANLSRDLSPNNIGKYVPLPMAMAVPFLVGAYFAIDMCVGSLVVFAWHKLNSRKASLMVPAVASGLICGDGLWILPSSILALAKIRPPICMSFLATN >Potri.011G055224.1.v4.1 pep chromosome:Pop_tri_v4:11:2364441:2368774:1 gene:Potri.011G055224.v4.1 transcript:Potri.011G055224.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055224.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRTWTKLLSKAGIVTSPFTRQENTIVQTCAVACYSIAVGGGFGSYLLGLNRKTYEQAGVDAEGNTPGSTKEPGIGWMTGFLFVSSFVGLLALVPLRKIMIIDYKLSYPSGTATAVLINGFHTPTGDKMARKQVHGFMKFFSLSFLWAFFQWFYSGGEKCGFSQFPALGLKAWKNSFYFDFSMTYIGAGMICSHLVNLSLLLGAVLSWGLMWPLIGGLKGEWFPSTLSESSMKSLNGYKVFISISLILGDGLYNFLKILYFTARSMRARAKANKLKTEDKNQALDDLQRNEIFLREGIPLWVACLGYITFSIIAIIAIPFMFPELKWYYVVVAYILAPSLSFCNAYGAGLTDMNMAYNYGKVALFLLAALAGKNNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLLSQAIGTVIGCVVAPVTFFLFYKAFDVGNPDGEYKAPYAIIYRNMAILGVEGFSALPQHCLQLCYGFFAFAILANLSRDLSPNNIGKYVPLPMAMAVPFLVGAYFAIDMCVGSLVVFAWHKLNSRKASLMVPAVASGLICGDGLWILPSSILALAKIRPPICMSFLATN >Potri.004G175700.1.v4.1 pep chromosome:Pop_tri_v4:4:19092846:19096925:-1 gene:Potri.004G175700.v4.1 transcript:Potri.004G175700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175700.v4.1 MERLLYSPPSTPLKTHLKRPTPLLPRLSKLESPKLGFPSLTRPGFRRVNSVSCKYENPSTPPLQVDSSTGSTPKSNHVKQIVGGVSVKSKAATLGIFVVVSGIIMFLIHPVFAFPAFATFQTAANTGGAAAAAGAKLMRTELLNSGWAGFFAGCLHTLSGPDHLAALAPLSIGRSRMESAAVGALWGCGHDAGQVIFGLLFLLLKDRLHIEVIRTWGTRVVGITLLVIGAMGIREASEVPTPCVALENGDRDVSVYEALETPTVGKKKRVGFATFATGIVHGLQPDALMMVLPALALPSRLAGAAFLIMFLFGTVVAMGSYTVFIGSCSEALKDRIPRITEKLTWASSLVAISLGLGLIISQFFGFSLY >Potri.014G073700.1.v4.1 pep chromosome:Pop_tri_v4:14:4701056:4702950:1 gene:Potri.014G073700.v4.1 transcript:Potri.014G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073700.v4.1 MPIDCFPSNMTIMPPPPPPPPPPEPLVFDASILQHQANLPSQFIWPDHEKPCLEPPELAIPPIDFGSFLTGDPLAVSKLAQQVNEACKKHGFFVIVNHGVDSKLIAKAHEGMNMFFGKQLSEKPVQRKIGEQYGYASSFTGRFSSKLPWKETLSFRYCADNQSSNIVQEYFLNVMGEEFKQFGKVYQEYCEAMNTLSLGIMELLGVSLGVGNEYFRDFFEGNDSIMRLNYYPPCQKPELTLGTGPHCDPTSLTILHQDHVSGLQVFVEEKWHSVTPDPEAFVVNIGDTFMALSNGIFKSCLHRAVVNNVTVRKSLAFFLCPKMDKVVKPPNTLVDYKNPRVYPDFTWLALSEFTQKHYRADMKTLDVFTTWLQQKNNCGGTKNASSC >Potri.001G212000.6.v4.1 pep chromosome:Pop_tri_v4:1:21783823:21790239:-1 gene:Potri.001G212000.v4.1 transcript:Potri.001G212000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212000.v4.1 MDSKLNLGFHRTQIADDSISLQLDSSLRDLPTANPVSPVPLQLLEPLTESHYCNNQNGEKEEDDDDRDVEEFRILGHSLCFKRRRESDSLTSNKRDASSSSNGLDVEERRSLVKTWGNQPLSAADSEIFEIMEKEKERQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPAARYYGGNQYIDEIELLCCKRALEAFGLDSESWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPHGRKVSGASIFFESLPYKVNPQTGYIDFDKLEERALDFRPKILICGGSSYPREWGYARLRHIADKCGAVLMCDMAQISGLVAAKECLNPFVYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKRGIHLGQGDESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIAFKQVATPEYKAYMQQVKKNAQYLAAALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKAYEKVCELCHITVNKIAIFGENGTITPGGVRIGTPAMTSRGCLESDFETIADFLLKAAHIACMVLREHGKLQKAFMNGLQTKKEILELQKQVENFATQFAMPGFDM >Potri.001G212000.8.v4.1 pep chromosome:Pop_tri_v4:1:21784138:21790239:-1 gene:Potri.001G212000.v4.1 transcript:Potri.001G212000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212000.v4.1 MDSKLNLGFHRTQIADDSISLQLDSSLRDLPTANPVSPVPLQLLEPLTESHYCNNQNGEKEEDDDDRDVEEFRILGHSLCFKRRRESDSLTSNKRDASSSSNGLDVEERRSLVKTWGNQPLSAADSEIFEIMEKEKERQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPAARYYGGNQYIDEIELLCCKRALEAFGLDSESWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPHGRKVSGASIFFESLPYKVNPQTGYIDFDKLEERALDFRPKILICGGSSYPREWGYARLRHIADKCGAVLMCDMAQISGLVAAKECLNPFVYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKRGIHLGQGDESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIAFKQVATPEYKAYMQQVKKNAQYLAAALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKAYEKVCELCHITVNKIAIFGENGTITPGGVRIGTPAMTSRGCLESDFETIADFLLKAAHIACMVLREHGKLQKAFMNGLQTKKEILELQKQVENFATQFAMPGFDM >Potri.001G212000.4.v4.1 pep chromosome:Pop_tri_v4:1:21783823:21790271:-1 gene:Potri.001G212000.v4.1 transcript:Potri.001G212000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212000.v4.1 MDSKLNLGFHRTQIADDSISLQLDSSLRDLPTANPVSPVPLQLLEPLTESHYCNNQNGEKEEDDDDRDVEEFRILGHSLCFKRRRESDSLTSNKRDASSSSNGLDVEERRSLVKTWGNQPLSAADSEIFEIMEKEKERQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPAARYYGGNQYIDEIELLCCKRALEAFGLDSESWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPHGRKVSGASIFFESLPYKVNPQTGYIDFDKLEERALDFRPKILICGGSSYPREWGYARLRHIADKCGAVLMCDMAQISGLVAAKECLNPFVYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKRGIHLGQGDESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIAFKQVATPEYKAYMQQVKKNAQYLAAALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKAYEKVCELCHITVNKIAIFGENGTITPGGVRIGTPAMTSRGCLESDFETIADFLLKAAHIACMVLREHGKLQKAFMNGLQTKKEILELQKQVENFATQFAMPGFDM >Potri.001G212000.5.v4.1 pep chromosome:Pop_tri_v4:1:21783823:21790240:-1 gene:Potri.001G212000.v4.1 transcript:Potri.001G212000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212000.v4.1 MDSKLNLGFHRTQIADDSISLQLDSSLRDLPTANPVSPVPLQLLEPLTESHYCNNQNGEKEEDDDDRDVEEFRILGHSLCFKRRRESDSLTSNKRDASSSSNGLDVEERRSLVKTWGNQPLSAADSEIFEIMEKEKERQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPAARYYGGNQYIDEIELLCCKRALEAFGLDSESWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPHGRKVSGASIFFESLPYKVNPQTGYIDFDKLEERALDFRPKILICGGSSYPREWGYARLRHIADKCGAVLMCDMAQISGLVAAKECLNPFVYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKRGIHLGQGDESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIAFKQVATPEYKAYMQQVKKNAQYLAAALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKAYEKVCELCHITVNKIAIFGENGTITPGGVRIGTPAMTSRGCLESDFETIADFLLKAAHIACMVLREHGKLQKAFMNGLQTKKEILELQKQVENFATQFAMPGFDM >Potri.001G212000.7.v4.1 pep chromosome:Pop_tri_v4:1:21784109:21790239:-1 gene:Potri.001G212000.v4.1 transcript:Potri.001G212000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212000.v4.1 MDSKLNLGFHRTQIADDSISLQLDSSLRDLPTANPVSPVPLQLLEPLTESHYCNNQNGEKEEDDDDRDVEEFRILGHSLCFKRRRESDSLTSNKRDASSSSNGLDVEERRSLVKTWGNQPLSAADSEIFEIMEKEKERQFKGIELIASENFVCRAVMEALGSHLTNKYSEGMPAARYYGGNQYIDEIELLCCKRALEAFGLDSESWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDTPSGGNTSHGYYTPHGRKVSGASIFFESLPYKVNPQTGYIDFDKLEERALDFRPKILICGGSSYPREWGYARLRHIADKCGAVLMCDMAQISGLVAAKECLNPFVYCDIVTSTTHKSLRGPRGGIIFYRKGTKPRKRGIHLGQGDESDQYDFEEKINFAVFPSLQGGPHNNHIAALAIAFKQVATPEYKAYMQQVKKNAQYLAAALLRRKCRLVTGGTDNHLLLWDLRPLGLTGKAYEKVCELCHITVNKIAIFGENGTITPGGVRIGTPAMTSRGCLESDFETIADFLLKAAHIACMVLREHGKLQKAFMNGLQTKKEILELQKQVENFATQFAMPGFDM >Potri.005G085400.1.v4.1 pep chromosome:Pop_tri_v4:5:5900866:5903611:1 gene:Potri.005G085400.v4.1 transcript:Potri.005G085400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085400.v4.1 MAFFSSTAAPPHSPLLPSPNYTSTKTLSFSSPPNSLSFRFSKSNFSRRNHPLSLRSTSSPAAPPSEGVAPAISVTENALKHLNRIKNDRDEDLCLRIGVKQGGCSGMSYTMDFENRANARPDDSIIEYNGFAIVCDPKSLLFLFGMQLDYSDALIGGGFSFKNPNATKTCGCGKSFAA >Potri.012G106000.1.v4.1 pep chromosome:Pop_tri_v4:12:12781351:12786526:1 gene:Potri.012G106000.v4.1 transcript:Potri.012G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106000.v4.1 MLYRLNSNNIWLEDHKEEQDSTTNHHHHNNITNTAAGCGGVMLEGKEEMGSLSTFKSMFEVEDEWYVTNNNSTIHQNHQDSIKDLTFSPSLVDPDNLLLHQVDSSSSCSPSSSVFNNLDPSQVHYFMHPKPTLSSLLNVVSNNPLEHGFDLSEIGFLENQGTNSTTTANVSSLLNRGSGVLGNLGNFTDLSSNSQISIPNLCSDPQFSSSRMLQLPENGPGFNGFRGLDEISGNQLFFNRSKLLRPLETYPSMGAQPTLFQKRAALRKNLGEVERDKGKREMTQISEEKDKKRKFSSGDDFLEDVSFDGSGLNYDSDEFTENTNLEETGKNGGNSSKANSGVTGGGVDQKGKKRGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIDYLKELLQRINDLHNELESTPPSSSLTPTTSFHPLTPTPSALPSRIMDKLCPGSLPSPNGQPARVEVRVREGRAVNIHMFCGRKPGLLLSTMRALDNLGLDIQQAVISCFNGFAMDIFRAEQCKEGQDMHPDQIKAVLLDSAGFHGAM >Potri.018G090900.2.v4.1 pep chromosome:Pop_tri_v4:18:11141651:11145246:1 gene:Potri.018G090900.v4.1 transcript:Potri.018G090900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090900.v4.1 MPINKDPSTPPPMIGKIGPYTVFMTPPSTPSPKPPPPTTSNEPTLPVFDSPKKVVSPPPQQIDKSVYSQQVSDGSVLGFFKNAVNKVQNAHSSLDDHLARWLGLNQSKYQWALDDYYETKGLKKEGAKAEEISSKIQRV >Potri.005G078700.1.v4.1 pep chromosome:Pop_tri_v4:5:5346977:5350431:-1 gene:Potri.005G078700.v4.1 transcript:Potri.005G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078700.v4.1 MFIEKFKVESPNVKYTEDEIHSVYNYETTELVHENKNGSYQWTVKPKTVQYEFKTDIHVPKLGVMLVGWGGNNGSTLTGGVIANREGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMAPLPGIYDPDFIAANQGSRANNVIKGTKKEQVQQIIEDIREFKEKNKVDKVVVLWTANTERYSNIVVGLNDTMENLLAAVEKDESEISPSTLYALACIYENIPFINGSPQNTFVPGLVDLAIKRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNFVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKGEAEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >Potri.005G078700.13.v4.1 pep chromosome:Pop_tri_v4:5:5347065:5350401:-1 gene:Potri.005G078700.v4.1 transcript:Potri.005G078700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078700.v4.1 MLVGWGGNNGSTLTGGVIANREGISWATKDKVQQANYFGSLTQASSIRVGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMAPLPGIYDPDFIAANQGSRANNVIKGTKKEQVQQIIEDIREFKEKNKVDKVVVLWTANTERYSNIVVGLNDTMENLLAAVEKDESEISPSTLYALACIYENIPFINGSPQNTFVPGLVDLAIKRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNFVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGKNTIVLHNTCEDSLLAAPIILDLVLLAELSTRIQLKGEAEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >Potri.017G036800.9.v4.1 pep chromosome:Pop_tri_v4:17:2443801:2445131:-1 gene:Potri.017G036800.v4.1 transcript:Potri.017G036800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036800.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.017G036800.10.v4.1 pep chromosome:Pop_tri_v4:17:2440736:2445765:-1 gene:Potri.017G036800.v4.1 transcript:Potri.017G036800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036800.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.017G036800.11.v4.1 pep chromosome:Pop_tri_v4:17:2440799:2445765:-1 gene:Potri.017G036800.v4.1 transcript:Potri.017G036800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036800.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.007G114300.1.v4.1 pep chromosome:Pop_tri_v4:7:13455254:13459130:-1 gene:Potri.007G114300.v4.1 transcript:Potri.007G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114300.v4.1 MAKILCSFIFLCACFVLSTGARVNHRILSSSTLDHDDATPTGSNFTFVCDPARYASLGLDVSNFAFCDTSLSYEVRAMDLVNQMTLNEKVLQLGNKAYGVPRLGLAEYQWWSEALHGVSNVGPGTFFDDLIPGSTSFPTVITTAAAFNESLWKAIGQAVSTEARAMYNLGRAGLTYWSPNINVVRDPRWGRAMETPGEDPYLVGRYAVNYVRGLQDVEGSENYTDPNSRPLKVSSCCKHYAAYDVDNWKGVERYTFDARVSEQDMVETFLRPFQMCVKDGDVSSVMCSYNRVNGIPTCADPKLLNQTIRGDWDLHGYIVSDCDSLQVMVENHKWLGDTKEDAVAQALKAGLDLDCGAYYTENVEAAVRQGKVREADIDKSLNFLYVVLMRLGFFDGIPQYNSFGKNDVCSKENIELATEAAREGAVLLKNENDSLPLSIEKVKTLAVIGPHSNATSAMIGNYAGIPCQIITPIEGLSKYAKVDYQMGCSDIACKDESFIFPAMESAKKADATIILAGIDLSIEAESLDRDDLLLPGYQTQLINQVASVSNGPVVLVLMSAGGVDISFAKSNGDIKSILWVGYPGEEGGNAIADVIFGKYNPGGRLPLTWHEADYVDMLPMTSMPLRPIDSLGYPGRTYKFFNGSTVYPFGHGLSYTQFTYKLTSTIRSLDIKLDKYQYCHDLGYKNDSFKPSCPAIRVDDSQYNDQFEFEVEVLNAGAKDGSEVVIVYAKPPEGIDATYIKQVIGFKRVFVPAGGSEKVKFEFNASKSLQVVDFNAYSVLPSGGHTIMLGDDIISFSVQIRFC >Potri.010G188100.2.v4.1 pep chromosome:Pop_tri_v4:10:18452735:18457682:-1 gene:Potri.010G188100.v4.1 transcript:Potri.010G188100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188100.v4.1 MASPRTVEEIFKDYNARRSALVRALTIEADEVYLQCDPEKENLCLYGHPNESWEVTLPAEEVPPELPEPALGINFARDGMTRKDWLSLVAVHSDSWLLSVGFYFGARLNRNERKRLFSMVNDLPTLFEIVTGRKPVEDKPSADGGSKSRNNTKRSTDGQARSNSKLSYVEDEDEHGDTLCGSCGGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSTKKSRH >Potri.014G175050.1.v4.1 pep chromosome:Pop_tri_v4:14:13073019:13073321:1 gene:Potri.014G175050.v4.1 transcript:Potri.014G175050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175050.v4.1 MNLKVNSFYSSSSYISSYYKQCSFFTFSSAATQLCIAWLFNNYAAIFLFSICITNRSSRGFDIISPSACFPGIFCPIQSLSDRTCAGIDRTYTSWHILLH >Potri.017G120700.1.v4.1 pep chromosome:Pop_tri_v4:17:12664222:12665985:-1 gene:Potri.017G120700.v4.1 transcript:Potri.017G120700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120700.v4.1 MDLCPCQIFFRRKPSRNLVTVILEMMEVAGATALTQSIHQYDNSYKTRLGSANYQVLPEGCGCHHASILEHIQ >Potri.007G105300.2.v4.1 pep chromosome:Pop_tri_v4:7:12854334:12856226:1 gene:Potri.007G105300.v4.1 transcript:Potri.007G105300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105300.v4.1 MKEAEMTVPHFFMCPISLDLIKDPVTLCTGQTYDRSSIEKWLAAGNLTCPVTMQKLQDPSMVPNNTLRHLIDQWLQIGPQFDPVYLSTIDSLASLKKILESGDASLEYKCQTLQNIQALTEESQSGNSCLFQLGFFPLLLELLFGKVESRLSEGSAKFAEQALSCVLRLLSLGEYECLNMLREESKLESFQFLFDQGTSKIKRSLCQIIEAISSSLETRELCAKLGKNRKLLKGLILLVHQTYGASEAGIKAISALCCLESNRENMVQEGGINGLLTYIYDAQRHERNLAPKAMATIELLLGLESAKEALINDPNGIKALIKMVFRVSDHQGSESAIRSLMIICTDSLQAREEAIGAGVLTQLLLLLQSQCSGRTKTKARMLLKLLGSKWDEEPKHL >Potri.002G098050.1.v4.1 pep chromosome:Pop_tri_v4:2:7155513:7156631:-1 gene:Potri.002G098050.v4.1 transcript:Potri.002G098050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098050.v4.1 MADPPKAVAPSNHQSMFCLKLHSCAGKVIVQYSSCYLIGANKAFSYLCSFLKSATDLINIYWSSCSNSPSCKEGISSSSSFLKNGRYLFLSVRILFMARTFKMLSY >Potri.009G045500.1.v4.1 pep chromosome:Pop_tri_v4:9:5180078:5182291:-1 gene:Potri.009G045500.v4.1 transcript:Potri.009G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045500.v4.1 MQSLNLPIPIYNNNLAPRKADKHSLFRNTRDQTHRFPTLLLAAKHDLDLKEEEDKGVAAVPLPEGLLREAMPRHVAVIMDGNARWARQRGFIALSAGHEAGARSLRELVELCCDWGVRVLTVFAFSYDNWIRPKVEVDFLMSLFERMLKSELDNFVRQGARVSTIGDSSRLSESLKKLISDVEEKTKDNSRLHLIVAVSYSGKYDVTQACKSIAQKVKDGTVQLEDIDESLLEQELETNCAEYPCPDLLIRTSGELRISNFLLWQLAYTELFFAEALWPDFGKAEFIEALTSYQQRQRRYGGRRS >Potri.T121200.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_3435:20751:29921:-1 gene:Potri.T121200.v4.1 transcript:Potri.T121200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T121200.v4.1 MDELHKIARAYYITANEESKSQGRRFFKSIDHDGSRGITIQEYLPYMKRNGHTKMANRPFFDYLNVSGTGELEFMEVMTLFYIIKSGRKFCDGCDGLLKGTFFSCTDCFDLDDESFNLCSECFTESSYVHPHRHFLDNYIILENMKVANKEGQMNHQVQNAGASNAIVLYNRQSRSSVFATVFQAVATTAATFTTCTIM >Potri.T121200.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_3435:28312:29921:-1 gene:Potri.T121200.v4.1 transcript:Potri.T121200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T121200.v4.1 MDELHKIARAYYITANEESKSQGRRFFKSIDHDGSRGITIQEYLPYMKRNGHTKMANRPFFDYLNVSGTGELEFMEVMTLFYIIKSGRKFCDGCDGLLKGTFFSCTDCFDLDDESFNLCSECFTESSYVHPHRHFLDNYIILENMKVANKEGQMNHQVQNAGASNAIVLYNRQSRSSAVATTAATFTTCTIM >Potri.005G257300.4.v4.1 pep chromosome:Pop_tri_v4:5:24785920:24793033:-1 gene:Potri.005G257300.v4.1 transcript:Potri.005G257300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257300.v4.1 MKDSEMVAVQDLPGDSDANKPSDATVKEQEETADVSEDQEPNENRQASVADDDNTVSLATDVPMTDTTALPDDGKTATDENINRRVVEEKTDGDDGSSVQNQPQTATPSTQRRCSTPRTKLDSAAKSKNVWTDMKMGETDVAGTLEEQAAFMKDLEIFYKQNIMDFKPPKFYGEPLNCLKLWRSVIKLGGYEVVTANKLWRQVGESFHPPKTCTTVSWTFRIFYEKAILECEKNKKETGELQLPSPPLHQATSVEKETRGCQATGSGRARRDAAARAMQGWHAQRHLGHGQELEFFKT >Potri.005G257300.3.v4.1 pep chromosome:Pop_tri_v4:5:24785930:24793062:-1 gene:Potri.005G257300.v4.1 transcript:Potri.005G257300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257300.v4.1 MKDSEMVAVQDLPGDSDANKPSDATVKEQEETADVSEDQEPNENRQASVADDDNTVSLATDVPMTDTTALPDDGKTATDENINRRVVEEKTDGDDGSSVQNQPQTATPSTQRRCSTPRTKLDSAAKSKNVWTDMKMGETDVAGTLEEQAAFMKDLEIFYKQNIMDFKPPKFYGEPLNCLKLWRSVIKLGGYEVVTANKLWRQVGESFHPPKTCTTVSWTFRIFYEKAILECEKNKKETGELQLPSPPLHQATSVEKETRGCQATGSGRARRDAAARAMQGWHAQRHLGHGEVKSLNFSRREKPHKIFGTISRMKIFPIGRCIHFYFDLVC >Potri.017G154600.6.v4.1 pep chromosome:Pop_tri_v4:17:15129045:15131911:1 gene:Potri.017G154600.v4.1 transcript:Potri.017G154600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154600.v4.1 MREIYSATLMISSILFIVFLVQLLVCKKKSKEKTSYKLPPGRRGWPLIGDSFNWFNAVAGSHPPQFVHQQVNRFGKIFSCSLFGKWAVVSADPTFNRFIMQNEGKLFQSSYPKSFRDLVGKNGVITVHGEQQRKLHGIASNMMRLEKLKFHFLDNIQLIMLQTLNKLDNNQVILLQDVCRKVAINLMVNQLLGASSETEINEMAHFFSDFVDGCLSLPINIPGFAYHTAMKAREKIIRKINKTIEKHGQEESSEGSNGVLGRLLEEESLPDNAVADFIINLLFAGNETTAKTMLFAVYFLTRCPKAMQQLLVIDETLRLGGIAIWLMREAKQDVVYQDYVIPKGCFVVPFLSAVHLDENLYKGASTFNPWRWMEPENQEKRNWRSSPFYCPFGGGARFCPGAELSRLQIAIFLHYFVTTFTWTQLKEDRMSFFPSARLVNGFQIRLTSRHHLDSESL >Potri.017G154600.3.v4.1 pep chromosome:Pop_tri_v4:17:15128751:15131911:1 gene:Potri.017G154600.v4.1 transcript:Potri.017G154600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154600.v4.1 MREIYSATLMISSILFIVFLVQLLVCKKKSKEKTSYKLPPGRRGWPLIGDSFNWFNAVAGSHPPQFVHQQVNRFGKIFSCSLFGKWAVVSADPTFNRFIMQNEGKLFQSSYPKSFRDLVGKNGVITVHGEQQRKLHGIASNMMRLEKLKFHFLDNIQLIMLQTLNKLDNNQVILLQDVCRKVAINLMVNQLLGASSETEINEMAHFFSDFVDGCLSLPINIPGFAYHTAMKAREKIIRKINKTIEKHGQEESSEGSNGVLGRLLEEESLPDNAVADFIINLLFAGNETTAKTMLFAVYFLTRCPKAMQQLLDEQDSIRSNSSGEGMLTWQDYKAMSFTQCVIDETLRLGGIAIWLMREAKQDVVYQDYVIPKGCFVVPFLSAVHLDENLYKGASTFNPWRWMEPENQEKRNWRSSPFYCPFGGGARFCPGAELSRLQIAIFLHYFVTTFTWTQLKEDRMSFFPSARLVNGFQIRLTSRHHLDSESL >Potri.017G154600.4.v4.1 pep chromosome:Pop_tri_v4:17:15129020:15131944:1 gene:Potri.017G154600.v4.1 transcript:Potri.017G154600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154600.v4.1 MREIYSATLMISSILFIVFLVQLLVCKKKSKEKTSYKLPPGRRGWPLIGDSFNWFNAVAGSHPPQFVHQQVNRFGKIFSCSLFGKWAVVSADPTFNRFIMQNEGKLFQSSYPKSFRDLVGKNGVITVHGEQQRKLHGIASNMMRLEKLKFHFLDNIQLIMLQTLNKLDNNQVILLQDVCRKVAINLMVNQLLGASSETEINEMAHFFSDFVDGCLSLPINIPGFAYHTAMKAREKIIRKINKTIEKHGQEESSEGSNGVLGRLLEEESLPDNAVADFIINLLFAGNETTAKTMLFAVYFLTRCPKAMQQLLDEQDSIRSNSSGEGMLTWQDYKAMSFTQCVIDETLRLGGIAIWLMREAKQDVVYQDYVIPKGCFVVPFLSAVHLDENLYKGASTFNPWRWMEPENQEKRNWRSSPFYCPFGGGARFCPGAELSRLQIAIFLHYFVTTFTWTQLKEDRMSFFPSARLVNGFQIRLTSRHHLDSESL >Potri.017G154600.5.v4.1 pep chromosome:Pop_tri_v4:17:15129019:15131945:1 gene:Potri.017G154600.v4.1 transcript:Potri.017G154600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154600.v4.1 MREIYSATLMISSILFIVFLVQLLVCKKKSKEKTSYKLPPGRRGWPLIGDSFNWFNAVAGSHPPQFVHQQVNRFGKIFSCSLFGKWAVVSADPTFNRFIMQNEGKLFQSSYPKSFRDLVGKNGVITVHGEQQRKLHGIASNMMRLEKLKFHFLDNIQLIMLQTLNKLDNNQVILLQDVCRKVAINLMVNQLLGASSETEINEMAHFFSDFVDGCLSLPINIPGFAYHTAMKAREKIIRKINKTIEKHGQEESSEGSNGVLGRLLEEESLPDNAVADFIINLLFAGNETTAKTMLFAVYFLTRCPKAMQQLLDEQDSIRSNSSGEGMLTWQDYKAMSFTQCVIDETLRLGGIAIWLMREAKQDVVYQAVHLDENLYKGASTFNPWRWMEPENQEKRNWRSSPFYCPFGGGARFCPGAELSRLQIAIFLHYFVTTFTWTQLKEDRMSFFPSARLVNGFQIRLTSRHHLDSESL >Potri.008G079400.2.v4.1 pep chromosome:Pop_tri_v4:8:4913044:4921045:1 gene:Potri.008G079400.v4.1 transcript:Potri.008G079400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079400.v4.1 MGLKSAWLVVVFMFLGVAVAKNVNELNLWPMPKWVSRGHSRVYMSQDFQLVTDGSKYIDGSEILKDGFTRMLDVVKVAHVVDGDLSSVDKSLIIKGIHVLIFSPDDQLQYGVAESYKLLVPSPEMPDYVHLEAQTVYGALHGLQTFSQLCHFNFTTRLIEVHMVPWTIIDQPRFSYRGLLIDTSRHYQPVPMIKKVIDSMAYAKLNVLHWHIVDTQSFPLEIPSYPHLWDGAYSVSERYTFSDAAEIVSYAQRRGINVLAELDVPGHALSWGHGYPSLWPSKDCQQPLDVSNEFTFKVIDGILSDFSKIFKFKFVHLGGDEVDPSCWTKTPHITKWLKEHRMNGSQAYQYFVLRAQKIALSHGFEIVNWEETFNDFRNKLSRKTVVHNWLGGGVAEQVVASGLRCIVSNQDKWYLDHLDTPWEEFYKNEPLTNITNPEQQSLVLGGEVCMWGETVDGSDIEQTIWPRAAAAAERLWTPYDKLAKDPEKVAGRLAHFRCLLNQRGVAAAPLAGPGRGAPIEPGSCYGQ >Potri.001G030200.3.v4.1 pep chromosome:Pop_tri_v4:1:2269042:2273976:1 gene:Potri.001G030200.v4.1 transcript:Potri.001G030200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030200.v4.1 MASNDQTELNKAEKSVIEQESDSEFEEENEDWDDWGEDDDNDGGENDKPFMCLFLDSQFSSCSELFEHCRLAHKFDFDGIRKELGLDFYGSFKLINYVRSQVAENRCWSCGLACQSHQDIQNHVHETVKLKDIKPLWDDDKYLKPFMQDDPLLYSFNEDEEGEDNHMTLDDQEELMRDLRNIDEMCIEDTDTLEKSAHGYGVNGAKEVASTSSSHENVGNSSKMEMVNGDSEERVGSSDGKPSDKHSKVSLMNLVDKDIKKANANYFGAYSSFGIHREMISDKVRMDAYSQAILKNPSLMTGAVVMDVGCGTGILSLFAAKTGASRVIAIEASEKMASVATQIAKENGLWRCTEGNNQYTGVMEVVQGMVEEIDKSIQIKPHSVDVLLSEWMGYCLLYETMLSSVLFARDKWLKPGGAILPDTASIYAAGFGKGGTSLPFWEEVYGFNMSCVGKELVQDAAKFPIVDVVDEKDLVTDAVLLQTFDLATMKPDEVDFTASIELEPKSICLANNSTELTSKTTWCYGVVLWFDTGFTPRFCKETPSVLSTSPYTPKTHWSQTIFTFLEPIAMAPGKPYVDKSAAVGTDACPASRIHLRISIARAVEHHRSIDISIETSGVDLDGRKRCWPVQIFNLS >Potri.003G141900.1.v4.1 pep chromosome:Pop_tri_v4:3:15748144:15750919:-1 gene:Potri.003G141900.v4.1 transcript:Potri.003G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141900.v4.1 MENNRQSFYQFSDQLRVQTPNLGNLSLNDSIWSNSFGSKRPDQRRNFDIRVGAEVNNLKQKGSDLNSFNDGWNPISDLKPKGSDMNLFNDGWNPVNDLKARGSNLNLFNEGWNSVNDLKPKGSNLNVFNDVYNLKPKGSDLNVFNDGWKMGSSANNYGVSPIGPMGQVVGGSHKNLGVNGGFSNGIYSKNNNIHNNNLNISVKGSKNKGDDDFGSKSGKKNSNKKSNSNDNNDNKSAADKRFKTLPPSESLPRYETIGGYIFVCNNDTMAENLKRELFGLPPRYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPSAWEDKKCLGESRFPAQVRVMTRKVCEPLEEDSFRPVLHHYDGPKFRLELNIPEALSLLDIFEEQNP >Potri.016G118700.1.v4.1 pep chromosome:Pop_tri_v4:16:12386510:12389824:-1 gene:Potri.016G118700.v4.1 transcript:Potri.016G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118700.v4.1 MATTALSRKANHLRHTYRCLTSRYLSHCTTTSSNPLSPLPRSVLPLGGGIQSLPQITQRFLFSTSIPTTTTVVDSETSKNTEEAKSDGSEKSGDSNHQDDKNNARRTVRRGPISWLSFLFLAATGAGLIWYYDRMKKQRIEAINKTSAIVKVGPSVGKPEIGGPFNLIDHDGKPVSEKDFMGKWTMIYFGFTHCPDICPDELQKLAAAIDKIKEKAGFDIVPVFITVDPERDNVEQVREYVKEFHPKLIGLTGSLEEIKKTARAYRIYYMKTSEEDSDYLVDHSIITYLMDPNMELVKFFGKNNDADALADGVIKEMKQYKSIKAKA >Potri.019G062600.1.v4.1 pep chromosome:Pop_tri_v4:19:10273408:10276671:1 gene:Potri.019G062600.v4.1 transcript:Potri.019G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062600.v4.1 MKILHVPCLEDNYSYLIIDESTKEAAVVDPVEPEKLVNAANEHGLHLKLVLTTHHHWDHAGGNEKIKEMVPGIKVYGGSLDNVKGCTNKVENGDKVSLGADVNILALHTPCHTKGHISYYVTGKEGEDPAVFTGDTLFIAGCGKFFEGTAEQMYQSLCVTLGSLPKPTQVYCGHEYTVKNLQFALTVEPDSVKIQEKLSWAQKQRRAGLPTIPSTIEEEMETNPFMRAELPDVQAKVGCHSPVEALRKIRLMKDNWRG >Potri.005G151200.1.v4.1 pep chromosome:Pop_tri_v4:5:13585130:13588140:1 gene:Potri.005G151200.v4.1 transcript:Potri.005G151200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151200.v4.1 MASLPSVAITGTLRLGTELKRHPSATEKTSNISYQRSSINTQSDANLEPFKPLEFHEALSLIKEGEQVESSYYVPLLQHCIDKVSVPETEMVHAHVIKTGTHEEFFVMSFLVNVYAKCGVMVNARKVFDNLPRRNVVVWTTLMTGYVQNSQPEVAVEVFGDMLESGSFPSNFTLSIALNACSSLESITLGKQFHAFIIKYRISHDSSIGNALCSLYSKFGSLDSSVKAFRETGEKDVISWTTIISACGDNGRAGMGLRLFIEMLFENVEPNDFTLTSVLSLCSTIQSSDLGMQVHSLSTKLGHESNLRITNSLVYLYLKCGCIDEAKNLFNRMEYKNLITWNAMIAGHAQAMDLAKDNFSAQQTGTEALGMYLKLNRSGRKPDLFTLSSILTVCSRLAALEQGEQIHAQTIKSGFLSDVVVGTALVDMYDKCGSIERARKAFLDMSTRTLISWTSMITSFARHGQSQHALQLFEDMRLAGFRPNQITFVGVLAACSHAGMVDEALEYFEIMQKEYKIKPVMDHYGCLVDMFVRLGRLDEAFDVIKRMDVEPNEFIWLLLIAGCRNHGNEELGFYAAEQLLKLKPRSTETYVVLLNMYISAERWEDVSMVRRLMKEEKVGKLKDWSRISIKGEVHSFKTNNRLHNHNAELHTLLNDLVDRAKSLGYEQLENMEVIDDEEEEAEEKAFSSAVYHSEKLAVTFGLLNTPIGAPIRVIKSVTMCKDCHDFMKVVSSQTTRHIIIKDGKRLHKFVNGQCSCADSHGLL >Potri.007G045700.4.v4.1 pep chromosome:Pop_tri_v4:7:4042090:4046421:-1 gene:Potri.007G045700.v4.1 transcript:Potri.007G045700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045700.v4.1 MSPRFTVHRSLCRAPLAIGFLYLSLLLSSFLIAHAQVLQSCTEATNCGPGLYCGNCPALGKTQPICTRGQAIIPNSFINGLPFNKYTWLVTHNSFSIVDAPPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDMYDFEGDIWLCHSFRGQCYNFTAFQPAINTLKEVESFLSENPNEIVTIIIEDYVHTPKGLINLFTNAGLDKYWFPVSKMPKKGEDWPTVTEMVQENHRLVVFTSIASKEAEEGVAYQWKYMLENEAGDPGVKPGSCPSRKESKPLNSKSASLFLMNYFPTYPVETEACKEHSTPLAQMVGTCYKAAGNVMPNFLAVNFYMRSDGGGVFDAMDRMNGQACGCSTVTACQAGAPFGSCNNVTIPNVSPVTNTAGSTSPLSNTAGSFTGSVQFSKSASKIQSPNSFVLFLFFSISSILIILWIQ >Potri.017G143700.3.v4.1 pep chromosome:Pop_tri_v4:17:14398766:14402007:-1 gene:Potri.017G143700.v4.1 transcript:Potri.017G143700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143700.v4.1 MLKQVLYDAEDVLDEIECETLRREVVKTTGSTSRKVRRFFSSSNKIAFRLRMGHKIKSIIERLAEISSLKSDFNLSEQGIDCSHVLHEETGMNRPFDSFSGLIGRDKDKERIINLLAEPFKVGDAHPLVLPIVGMGGLGKTSLAKSVCDAENVKCHFELKMEACVSDDFSLKHVIQRIIKSATGERCADLDEGELNKKLEEIVKGKKYLLLLDDVWNEDAQKWLLLKPSLSKGADGSKIIVTTRIKRVAEIMGTVTAYYLSLLGQEACLSLFYKCAFKEGQMELYPNLVGIGKEIVAKCKQVPLAVINLGTQLYGKTDEKEWESVRDSEKWEEEGDRILPALKISYQRLPTHLKRCFLYCSVFPKDYPFYDISLVHFWMAQGLILPSSNPNEKLEDVGLRYVRELMSRCFFQDYEDWDYVAFFKMHDLMHDLALSLSQSECSIIGSQNHQISKTTRHLSVLDSDSFFHKTLPKFSNEFHHVRSIVFVDSIMGPTCKADFKKCLSEFKHLRCLELMDDSEFEVFPERIGALKHLRYLKYRSNANMKRHPKSLFKLQNLQALVTGFGLEELPKDVRYMISLKFLSLATQLKRLPEKGIGCLECLQTLFIVGCENLENLCEDMEGLKSLRKLLISSCESLISLPRSIKCLTTLEGFFINNCKKLDLMTIEEEKEKKIQPLFLSLRIVLFASLPATLALPEQFLQGSAESLQTLIITDCPNIREMPDCIDNLKKLQNLEVIDCPSLSKRCQKGTGEDWPKIAHIPKIKVDDDDSGEETSG >Potri.017G143700.2.v4.1 pep chromosome:Pop_tri_v4:17:14398766:14402007:-1 gene:Potri.017G143700.v4.1 transcript:Potri.017G143700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143700.v4.1 MAEAFASEIAKSLLGKLGSFAVQEFRLAWGLEDDLARLEERLKAINAVLSDAEKQQSKNDRIRLWLHMLKQVLYDAEDVLDEIECETLRREVVKTTGSTSRKVRRFFSSSNKIAFRLRMGHKIKSIIERLAEISSLKSDFNLSEQGIDCSHVLHEETGMNRPFDSFSGLIGRDKDKERIINLLAEPFKVGDAHPLVLPIVGMGGLGKTSLAKSVCDAENVKCHFELKMEACVSDDFSLKHVIQRIIKSATGERCADLDEGELNKKLEEIVKGKKYLLLLDDVWNEDAQKWLLLKPSLSKGADGSKIIVTTRIKRVAEIMGTVTAYYLSLLGQEACLSLFYKCAFKEGQMELYPNLVGIGKEIVAKCKQVPLAVINLGTQLYGKTDEKEWESVRDSEKWEEEGDRILPALKISYQRLPTHLKRCFLYCSVFPKDYPFYDISLVHFWMAQGLILPSSNPNEKLEDVGLRYVRELMSRCFFQDYEDWDYVAFFKMHDLMHDLALSLSQSECSIIGSQNHQISKTTRHLSVLDSDSFFHKTLPKFSNEFHHVRSIVFVDSIMGPTCKADFKKCLSEFKHLRCLELMDDSEFEVFPERIGALKHLRYLKYRSNANMKRHPKSLFKLQNLQALVTGFGLEELPKDVRYMISLKFLSLATQLKRLPEKGIGCLECLQTLFIVGCENLENLCEDMEGLKSLRKLLISSCESLISLPRSIKCLTTLEGFFINNCKKLDLMTIEEEKEKKIQPLFLSLRIVLFASLPATLALPEQFLQGSAESLQTLIITDCPNIREMPDCIDNLKKLQNLEVIDCPSLSKRCQKGTGEDWPKIAHIPKIKVDDDDSGEETSG >Potri.006G186600.1.v4.1 pep chromosome:Pop_tri_v4:6:19337443:19340939:-1 gene:Potri.006G186600.v4.1 transcript:Potri.006G186600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186600.v4.1 MRPCSREMQGMNSLLNPSSQIPLQDLQNQQIQNSHFDPNSSSNDDFLEQMLSAIPSCSWADPKSPWDLNPPTNLPFPTNNNSSSAKPRDLFNETPPSNTDNNNVGFHDNFDESVILASKLRQHQISGGSGAAAAAKMMLQQQLLMAAARGGLSQNDDIDVSPTQGGDGSMQGLFNGFRAGSMNGTVRASNQSMQHFNHPQGGAMQSPNLGAQGAATTAVMNQPQASGSNGGAPAQPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEAGGDCIQANANGGSIARTTNGNQTASTNDSSLTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHNRTSGIINSHNPLLQSNGEGPTSPSMSVLTVQSATMGNGVAKDAASVSKP >Potri.006G067000.1.v4.1 pep chromosome:Pop_tri_v4:6:4900907:4903392:1 gene:Potri.006G067000.v4.1 transcript:Potri.006G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067000.v4.1 MMVDEKFCFKEATNLTVHKTSVFFPGDGFIVYDPNREIIFRFDSYGPDSEPKDELVLMDAGGKGLLTLRRKKPSLHQRWEGFLGEREEDHQEPVFSVCRSSIIGRSNLIVQVFGDPGEEYHIRGSYSQRCCTIFNTSSENSSKDPVAVIKRKVDPSTHVMLGKDVFWLCVRPGFDGAFAMGLVLVLDQMYGDNADGDVQDADPTSKDFSF >Potri.018G152300.2.v4.1 pep chromosome:Pop_tri_v4:18:16228461:16231012:1 gene:Potri.018G152300.v4.1 transcript:Potri.018G152300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152300.v4.1 MKRTVYLWNLMIRDSTNSALFTRTLDLYSCMLRTGLHGNDFTFPLVLKACSNTNSLRDATKVHSHTFLLGFQAHVFVQTALLDMYSKCHHLPSSRKVFDEMPVRTVVSWNSVISAYCRSFLFHEATLLLREMRLLGLDLSSTTFLSFLSTTPIHLRQGLSIHCCVFKLGLLNTYDHIPLANAVMSMYIRYGQVHEARAILDSMHEISVVSWSTIIGGYVDTGNVDKAFSMFNRMRTISTINSDFVVFINLIKGCAKQGSLMAASSLHSLIFKCGCENKDPLDNLLLGMYAKCGDLISARKVFDMALVKTVFLWTSIIGGYTHMGYPAEALLLFKKLLKTAIKPNGATLATILSACADLGSLDMGKEIEEYILSNGFQSDRQVQTSLIHMFSKCGSIGKAISVFERISDKDLAAWSSMINGNAIHGMAEEALGLFHKMLEIKEIKPDAVVFTSILLACSHVGLVEDGLKFFKSMQKDFGIVPSVEHYMCLVDLLGRAGQFELALKTIRVMPVKLQAQVWAPFLSACTKHCNLELGELAARKLLYMNPGSHANYVLMANLYTSMGKWKEAAVTRSLMIDRGLVKAPGWSQVEINGSVHVFIAGDRSHTQSIDIYKKLEEINLKLAEAGYVPETDTVIHDLEREEKEEALKVHSERLAIAFGLISTEAGSTLRIMKNHRTCVDCHSALKFISKITGRHLIVRDGSRFHHFESGKCTCKDFW >Potri.001G306100.1.v4.1 pep chromosome:Pop_tri_v4:1:31612092:31615358:1 gene:Potri.001G306100.v4.1 transcript:Potri.001G306100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306100.v4.1 MARSISRSPSYRRRRSPSPAEKHRSRRSRRADRSRSPYFNSQSRRRSDSDSPPPRRHRSSRSPSYRRRKSRSPTPPRRRRRHRSRSRSGSLSPLPRSRSLSIASTKIAIEKLKKEEEEKKRRQQEAVLKLLEEETARRLEESIRKNVEEKLNSEEVQLEIERRIEEGRKKLFDDVEAQLHKEKEAALAEERRKEEQARKEREELDKMLEENRRRVEEAQRREALEQQRKEEERFRELELIQRQKEEAAWRKKLEDEEEHANQMKLLGKNKSRQKQPFGIGL >Potri.001G306100.5.v4.1 pep chromosome:Pop_tri_v4:1:31612073:31615329:1 gene:Potri.001G306100.v4.1 transcript:Potri.001G306100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306100.v4.1 MTLAVIFVFIFVHTIRRQQEAVLKLLEEETARRLEESIRKNVEEKLNSEEVQLEIERRIEEGRKKLFDDVEAQLHKEKEAALAEERRKEEQARKEREELDKMLEENRRRVEEAQRREALEQQRKEEERFRELELIQRQKEEAAWRKKLEDEEEHANQMKLLGKNKSRQKQPFGIGL >Potri.006G268700.1.v4.1 pep chromosome:Pop_tri_v4:6:26315844:26321577:1 gene:Potri.006G268700.v4.1 transcript:Potri.006G268700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G268700.v4.1 MIMKKYSLNPVLSFFFFLSLMILFLEMGVAQNTTSTIPVNIGVVLDLASLDANIALSCINMALSDFYASHGDYNTRLVLNTRDSKKDVIGAAAAALDLIKNVEVQAILGPNTSMQANFVIDLGEKAQVPIISFSATSPSLTSIRSSYFLRATQNDSAQVNAISAIVQAFGWREAVPIYIDNEYGEGIIPYLTDALQEVDARVPYRSVISPSATDDQIVEELYKLMTMQTRVFIVHMYPSLGTRLFTKAKEIGMMSEGYVWIMTDGLSVDFLSSPNHSVTDTIQGVLGIKPYVPRTKELEYFRARWKRKFLRDNPDKIDAELNIYGLLAYDATTALALAVEKAGTTNFGFQKANVSSNSSTDLATLGISLNGPNILQALLTTSFKGLTGDYLLVDGQLQSPAFQIVNVNGNGGRRIGFWTPTEGLVKTLNPRINKRMNSTSTSRVSTVIFPGDTTAVPKGWEIPTNEKKMKIGVPVKYGFSEFVAVTKDPGSNSTTFTGFCIDVFDAVVKALPYALPYEYIPFAKPDGEPAGTYNDLAYQVYLKNYDAVVGDVTIVYNRSLYIDYTLPFTESGVSMIVPIADNNSKNAWVFMKPLTWDLWVTSFLFFVFIGFVVWVLEHRINEDFRGSASDQAGTSFWFSFSTMVFAQRERMVSNLSRAVIIIWCFVVLILTQSYTASLTSLLTVEQLQPTVTDVRELIKKGEYVGYQEGSFVLGLLLDLGFDKSKLMAYSSAEECHHLFSKGSGNGGIAAAFDELAFLKLIMSGYCSRYTMIDPKFKTGGFGFVFPKGSPLVPDISRAILNVTEGDEMKQIEGAWFGKKSTCPESSSSISSNSLSLKSFWGLFLLAGLAALLALIIFIVMFVYRERNVLRSYDSTASIWSRIENFFRIFIQRDSTSSTFTQSDPNDRNSSSLPPMRAPSPSADSVDAEYPANRSSASYDSSPNREVPLEVVIDTVLKFNMN >Potri.006G252400.2.v4.1 pep chromosome:Pop_tri_v4:6:25091478:25093891:1 gene:Potri.006G252400.v4.1 transcript:Potri.006G252400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252400.v4.1 MLERKKLPNKFTYPFVLKACAGIGNLNLGKSVHGSVMKFGFGDEVNVQNTLVHMYCCCRGGEGGIEFARKVFDEMYKSDSVSWSAMIGGYVRVGRSSDAINLFREMQIKGVCPDEITMVSVLSACTGLGALELGKWVESYVEKERVQKNVELSNALIDMFAKCGDVDKATNLFRSMRERNIVSWTSVIGGLAMHGRGVEAVAVFEEMVRSGVTPDDVVFIGLLSACSHSGLVDKGKGYFDSMRKDFSIVPKIEHYGCMVDMLCRAGLVKEALKFVQEMPIDPNPVVWRTLINACRAHGELKLGEKITRQLIRNEPMHESNYVLLSNIYAKMSDWEKKTRIREAMDMKGMKKIPGSTMIELDNEIYEFVAGDKSHAQSKEIYEMVDEMGKEMKRAGYMPTTTEVLLDIDDEDKEDTLNRHSEKLAIAFALLNTPPGTLIRIVKNLRVCDDCHSASKFISKIYNREIVVRDRNRFHHFKNGLCSCRDFW >Potri.001G083300.1.v4.1 pep chromosome:Pop_tri_v4:1:6587798:6589969:-1 gene:Potri.001G083300.v4.1 transcript:Potri.001G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083300.v4.1 MVALSSLQVVQFRPPQDLSLHKCRPRSFIKCRIAEPTGEPAPLGQKTKYMDGFFEKAFMTLFARKMEKFAAPAKNGSASKEKGWFDYDYESFVDVSKRVMQGRNRKQQQEVVREVLLSMLPPGAPEQFKKLFPPTKWAAEFNAALTVPFFQWLVGPSEVVEVEVNGEKQKSGVHIKKCRYLENSGCVGMCVNMCKIPTQDFFTNEFGLPLTMIPNFEDMSCEMVYGQVPPPFEEDPVVKQPCLADICTIASPNSSFCPKLEA >Potri.014G021800.1.v4.1 pep chromosome:Pop_tri_v4:14:1305412:1308661:1 gene:Potri.014G021800.v4.1 transcript:Potri.014G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G021800.v4.1 MEVSHWFNFAALFFFFVLASKLVIYKLGNPKNLPPSPPSRPIIGHLHLLKQPIHRTLCELSKKYGDILFLRFGARKVLVISSPSAVEECFTRKDVIFANRPRTLAGKHLNYNSTTMGFSSYGEHWRNLRRLTTIELFSASRVASFSDIRKEEVQLLLNQLFRDSSKQQAKVGLTASFMELTFNVMMRMIAGKRYYGKEVVDEEAGQFQNIIKEMEALRGSSNMNDFFPVLQWIDFQGLEKRMMGLKKKMDKFLQDLIEEHQKVRSQSSQSTKITGLGNQKRNMTLIDVMLSLKETEPEFYTDQTIKGVIMSTLTAGSQTSAATLEWAMSLLLNNPETMRKASEEVDAIVGTEHILDEVDVTKLSYLQNIINETFRLFPPAPLLLPHESSEDCTISGFHVPRGTMLLVNTWSIHRDTKLWVEPTKFMPERFEGGEGEGYKLLPFGAGRRACPGAGLAKRIIGLTLGVLIQCFEWDRVSKEEINLTEGTGLTIPKAEPLEALCRPRQSMVNLLSSM >Potri.006G055100.1.v4.1 pep chromosome:Pop_tri_v4:6:3889796:3890697:1 gene:Potri.006G055100.v4.1 transcript:Potri.006G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055100.v4.1 MISQRGRGRPPKSSYKNPNLQPPVNNLHSTAGFFPQHIPRKSRTVTKTNIAVKTWPRWGSDDSAETQPLWVVEKESNMPQRIAKKKSTYPKSNEESGPCSSLSESSLVPWMTDIVIPGPIPDFDSFNEAGFLKDFFVGIPED >Potri.012G018500.2.v4.1 pep chromosome:Pop_tri_v4:12:2008059:2010882:1 gene:Potri.012G018500.v4.1 transcript:Potri.012G018500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018500.v4.1 MVAGLIKYGERAWVLWSARYEQRTKASTSPHHNILQSISDVQDYSNISDDKLLQVSYGMFEMAKSLLAGVSTTAIEPSILAKHQEETSNLLEKMSPKDAFKVIEIQLGFMYDLLYTKALVSYTPCGIVLRLTSFLLTSVVLVLFSLAPHNVHKYSKVDLCITFSLLVVAIVLELYAALAFLFSDRTLVWMRKHNFPSLSRYITSLLIHRNHRWSNYMGQFNLLSYFFNEKPMGFRGILELLKINEKLEKQRYAGYRQVPEDLKEWLVMHSKKFWSIIKESQGTESMMALSWRGSVSLRSMLPKDTVYILSTGIELQQTIIVWHIATELCYHLDHDYFTQKETRPSGSAETVVFNWKMSKRISRYMMYLLAISPETLPASGAIGQINFEGTCDEGRKEIASFESKLDDQVCTQRERKHEIKIEASKWLFERHKDSITGENQIEQQLSGSLLSLGCVLANHLTNCLQTKPEEERMEKKWDAIGSCWFEFLYHAGRQSSGNQHAQQLRQGGEFLTHIWLLYEELTLLDRLSTAEADRNEGE >Potri.001G372300.1.v4.1 pep chromosome:Pop_tri_v4:1:39013947:39021449:1 gene:Potri.001G372300.v4.1 transcript:Potri.001G372300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372300.v4.1 MALSIHSKDKHMDSSKYVRYTPEQVEALERVYTECPKPSSLRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQTVNRKLTAMNKLLMEENDRLQKQVSHLVYENGFMRQQIQTASATTTDNSCESVVMSGQHQQQQNPTPQQPQRDANNPAGLLAIAEETLAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGVAARACGLVSLEPTKVAEILKDRPSWFRDCRCLDILSVIPTGSGGTIELIYMQTYAPTTLAAARDFWTLRYTTTLEDGSLVICERSLTSSTGGPTGPPPSSFIRAEMLPSGYLIRPCEGSGSIIHIVDHVDLDVWSVPEVLRPLYESSKILAQKMTMAALRHIRQIAQETSGEIQYGGGRQPAVLRTFSQRLCRGFNDAVNGFTDDGWSLLGSDGGDDVTIVINSSPNKFLGSQYNASMFPTFGGGVLCAKASMLLQNVPPALLVRFLREHRSEWADYGVDAYSAACLKASPYAVPCARPGGFPSSQVILPLAHTMEHEEFLEVVRLEGHAFSPEDVALARDMYLLQLCSGVDENAVGACAQLVFAPIDESFADDAPLLSSGFRVIPLDPKTDAPATTRTLDLASTLEVGPGGTRPASEADTNSYNLRSVLTIAFQFSFENHMRDNVAAMARQYVRGVVGSVQRVAMAIAPSRLSSNVGPKTLPGSPEALTLAQWICRSYRIHTGGELFRVDSQAGDALLKQLWHHSDAIMCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKILDEAGRKILCSEFSKITQQGFAYLPAGICVSSMGRPVSYEQAVAWKVLNDDDSNHCLAFMFMNWSFV >Potri.017G042500.1.v4.1 pep chromosome:Pop_tri_v4:17:2988529:2993585:-1 gene:Potri.017G042500.v4.1 transcript:Potri.017G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042500.v4.1 MATASFSPFLSAPAKRPINSNTIMPDPNPNYPNGSSANKRSKPQPSASAAPLPVPSGHVSFRLLCHASRIGGVIGKAGNIIKGLQQQTGAKVRIEDAPSDSPDRVITVIGPITQSAVVFSRIESAVEVSKGQEALVRVFERILEVAAESDSVADGVVSCRMLAEVSSVGAVIGKGGKVVEKIRKDCGCRIKVLVDKLPDCAASNEEMIEIEGDVSAVKKGLVAVSRCLQDCQPVDKTRVTNSKPAEAVSRVSLSDVRVEINPRHSAVLPTIAQNSSVLPTIPQHSLGLPTIPKSSINYASRVHPLSLESDRVVTPDTNIPQQVVFRILCTTDRIGGVIGKGGNIVRALQNETGAAISVGPTVSECDERLITVTASENPESRYSAAQKTIVLVFSRAVESGIEKGLDPGSSRGSPVTARLVVSPSQVGCLLGKGGTIISEMRKATSTSIRIIVGDQRNPKCVPETDHVVEISGDFVNVKDAIYHVTGRLRDNLFSGMLSTPGARSNSSVLAEISPYVKLMDPVRDSSWEPVRDPLRDAFRDPLREPVRDPFRETVRDPFREPVRDPFREPVRDLFREPVRDPLREPARDSAPYIMQPTPGNSHNLSRQTVITQNMDHLGLSHSLDRPPSPRLWASQTIPRVNPRGISDVSRRLPSLKAGLELGSGGKSAFVTNTTVEIVVPENAFGSVYGENGSNLARLRQISGAKVIVHEPRLGTSDRIVVISGTPDETQAAQSLLQAFILTGQS >Potri.017G042500.2.v4.1 pep chromosome:Pop_tri_v4:17:2986161:2993572:-1 gene:Potri.017G042500.v4.1 transcript:Potri.017G042500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042500.v4.1 MATASFSPFLSAPAKRPINSNTIMPDPNPNYPNGSSANKRSKPQPSASAAPLPVPSGHVSFRLLCHASRIGGVIGKAGNIIKGLQQQTGAKVRIEDAPSDSPDRVITVIGPITQSAVVFSRIESAVEVSKGQEALVRVFERILEVAAESDSVADGVVSCRMLAEVSSVGAVIGKGGKVVEKIRKDCGCRIKVLVDKLPDCAASNEEMIEIEGDVSAVKKGLVAVSRCLQDCQPVDKTRVTNSKPAEAVSRVSLSDVRVEINPRHSAVLPTIAQNSSVLPTIPQHSLGLPTIPKSSINYASRVHPLSLESDRVVTPDTNIPQQVVFRILCTTDRIGGVIGKGGNIVRALQNETGAAISVGPTVSECDERLITVTASENPESRYSAAQKTIVLVFSRAVESGIEKGLDPGSSRGSPVTARLVVSPSQVGCLLGKGGTIISEMRKATSTSIRIIVGDQRNPKCVPETDHVVEISGDFVNVKDAIYHVTGRLRDNLFSGMLSTPGARSNSSVLAEISPYVKLMDPVRDSSWEPVRDPLRDAFRDPLREPVRDPFRETVRDPFREPVRDPFREPVRDLFREPVRDPLREPARDSAPYIMQPTPGNSHNLSRQTVITQNMDHLGLSHSLDRPPSPRLWASQTIPRVNPRGISDVSRRLPSLKAGLELGSGGKSAFVTNTTVEIVVPENAFGSVYGENGSNLARLRQVCTFWLYFSVNFASIVLVLIKIVK >Potri.017G042500.3.v4.1 pep chromosome:Pop_tri_v4:17:2988531:2993572:-1 gene:Potri.017G042500.v4.1 transcript:Potri.017G042500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042500.v4.1 MATASFSPFLSAPAKRPINSNTIMPDPNPNYPNGSSANKRSKPQPSASAAPLPVPSGHVSFRLLCHASRIGGVIGKAGNIIKGLQQQTGAKVRIEDAPSDSPDRVITVIGPITQSAVVFSRIESAVEVSKGQEALVRVFERILEVAAESDSVADGVVSCRMLAEVSSVGAVIGKGGKVVEKIRKDCGCRIKVLVDKLPDCAASNEEMIEIEGDVSAVKKGLVAVSRCLQDCQPVDKTRVTNSKPAEAVSRVSLSDVRVEINPRHSAVLPTIAQNSSVLPTIPQHSLGLPTIPKSSINYASRVHPLSLESDRVVTPDTNIPQQVVFRILCTTDRIGGVIGKGGNIVRALQNETGAAISVGPTVSECDERLITVTASENPESRYSAAQKTIVLVFSRAVESGIEKGLDPGSSRGSPVTARLVVSPSQVGCLLGKGGTIISEMRKATSTSIRIIVGDQRNPKCVPETDHVVEISGDFVNVKDAIYHVTGRLRDNLFSGMLSTPGARSNSSVLAEISPYVKLMDPVRDSSWEPVRDPLRDAFRDPLREP >Potri.019G070608.1.v4.1 pep chromosome:Pop_tri_v4:19:11158960:11159415:1 gene:Potri.019G070608.v4.1 transcript:Potri.019G070608.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070608.v4.1 MESLRYLYLDRTGIRKLSSPIRNLKGLCCLALGNCKYLEGKYLGDLRLLEQDVDLKYLRKLNLSGCGILEVPKSLGCLTSLEALDLSGNNFVRLPTNISELYELQYLGLRYCRRLGSLQKLPPRLAKLDAHSCTSLRTVPSSSAIVDGNIF >Potri.003G087550.1.v4.1 pep chromosome:Pop_tri_v4:3:11406952:11408320:-1 gene:Potri.003G087550.v4.1 transcript:Potri.003G087550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087550.v4.1 MVIKLSGLPYISALIFYNSCRGPTGLDGCLGFLDLFHQFPSHIHTFKALDSHGYPRCKEQLDLFLWSRYLHFAFGWDGAMMSLKQE >Potri.015G021300.1.v4.1 pep chromosome:Pop_tri_v4:15:1573564:1575426:-1 gene:Potri.015G021300.v4.1 transcript:Potri.015G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G021300.v4.1 MVKLASARENRMYGPRLARNRGEYMNAGLCVFAAIVLVGGFVAELSKEPKSGLVLLLIGLLLIMVVNLHDLVAHLAGIDYRFPLMGFDTQLALVVFAVPVVQASGALLSFFGILFLFIQEYKGYGHFKLERHALNLLIAGPALWVLGSIHNSCQIYERADGHVQILQQSVHIPFLMGSSLFLVGSILNIHEQAGRGHHGLKLLGKT >Potri.019G098950.9.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLGLHICFLMDRLSITFQEQLPKMLKSSVSGRRPYNLLPSLASVRLGVQAFSCAAGKLENNRIGLPTSPSSSDVQNRGLKAESQPSETKVQNPSPESVTPLNEKVDLSEA >Potri.019G098950.5.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLEWEKTLQFVAIIGISQAFALFC >Potri.019G098950.11.v4.1 pep chromosome:Pop_tri_v4:19:13577360:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLEWEKTLQFVAIIGISQTWSPGIFMCSRKTGKQPHWFTYITFIFRCSKPGAES >Potri.019G098950.8.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLGLHICFLMDRLSITFQEQLPKMLKSSVSGRRPYNLLPSLASVRLGVQAFSCAAGKLENNRIGLPTSPSSSDVQNRGLKAESQPSETKVQNPSPESVTPLNEKVDLSEA >Potri.019G098950.15.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLEWEKTLQFVAIIGISQAFALFC >Potri.019G098950.12.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLEWEKTLQFVAIIGISQTWSPGIFMCSRKTGKQPHWFTYITFIFRCSKPGAES >Potri.019G098950.6.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLGLHICFLMDRLSITFQEQLPKMLKSSVSGRRPYNLLPSLASVRRLLSSVRLGVQAFSCAAGKLENNRIGLPTSPSSSDVQNRGLKAESQPSETKVQNPSPESVTPLNEKVDLSEA >Potri.019G098950.7.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLGLHICFLMDRLSITFQEQLPKMLKSSVSGRRPYNLLPSLASVRLGVQAFSCAAGKLENNRIGLPTSPSSSDVQNRGLKAESQPSETKVQNPSPESVTPLNEKVDLSEA >Potri.019G098950.10.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLGLHICFLMDRLSITFQEQLPKMLKKWEKTLQFVAIIGISQTWSPGIFMCSRKTGKQPHWFTYITFIFRCSKPGAES >Potri.019G098950.14.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLEWEKTLQFVAIIGISQTWSPGIFMCSRKTGKQPHWFTYITFIFRCSKPGAES >Potri.019G098950.13.v4.1 pep chromosome:Pop_tri_v4:19:13577362:13582776:-1 gene:Potri.019G098950.v4.1 transcript:Potri.019G098950.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098950.v4.1 MLPVCSATQCCSSHSQGRKTPYLLMSSLRFSEKEMAFLILGEPLGFAMQDRYQRPYLVQGGFQSWVKQGIQVKELKPETVLTILNEGFAAASYALLGLHICFLMDRLSITFQEQLPKMLKKWEKTLQFVAIIGISQAFALFC >Potri.009G135201.1.v4.1 pep chromosome:Pop_tri_v4:9:10932334:10932827:-1 gene:Potri.009G135201.v4.1 transcript:Potri.009G135201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135201.v4.1 MKGVSQVLVDRKSNKVTVVGYVEPARVLARIAHRTGKKAELWPYVPYDTVAHPYTAGVYDKKAPAGYVRSNQDPQVSQFARASSFEVRYTTAFSDENPTACAVM >Potri.010G106950.1.v4.1 pep chromosome:Pop_tri_v4:10:12814496:12816359:-1 gene:Potri.010G106950.v4.1 transcript:Potri.010G106950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106950.v4.1 MEDLYRNLGPLQWDGPGADSKALTLCVEDQDYMGPIKKRQEYLDKEKKKKSIHFSTPFSNWSAYDMCKGLGVLEPVLLPNSIFCQKE >Potri.008G022200.2.v4.1 pep chromosome:Pop_tri_v4:8:1097094:1100160:1 gene:Potri.008G022200.v4.1 transcript:Potri.008G022200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022200.v4.1 METLSPSLSISSLTSISKKLPVKASSFKLYSPIKNKNHIKTHSLSSSSSFISLSTKATTTSTCTPTSTKTNKHWMILMESPPKGVNSKPEIIDYYVKTLERVIGSEKDAQMCMYDSSCDTRFGFCCDIDEDASLELARLPGVISVRPDPDYNSAEKDYSLGVRLSTLSNPQIGSNLLFPAGNTKHWLVKIDKPAVGVVTKAQMVDYHDQILTKVMGNEKDAQMCIYHVSWQSNFGFCCELDEECAQELAGVPGVLSVQPDKNVKSENKDYGGDHIINSADSSEASQITPVKTKKLFITGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALKEMNGKIINGWMIVVDVAKSNPPRYSRGQPRPTA >Potri.006G237500.3.v4.1 pep chromosome:Pop_tri_v4:6:23956932:23964403:-1 gene:Potri.006G237500.v4.1 transcript:Potri.006G237500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237500.v4.1 MMAVSSTCKDGSTKITMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATNLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLAQFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVVNALSTGSGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHVVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQVSQEVSQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFADEGWSMLESDGIDDVTVLVNSSPAKMMGVNFSYANGFPSMSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYAAAAVKAGPCSLPMSRAGNFGGQVILPLAHTIEHEEFMEVIKLENMGYREDMLMPGDVFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASALEVGPAGNRASGDLSGRSGCTKSVMTIAFQFAFEMHLQENVASMARQYVRSIIASVQRVALALSPSHFGSHAGFRPPPGTPEAHTLARWICESYRCYLGVELLKNEGSESILKTLWHHSDALMCCSLKTLPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLYSEFPQIMQQGFMCLQGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFINWSFV >Potri.006G237500.6.v4.1 pep chromosome:Pop_tri_v4:6:23956932:23963938:-1 gene:Potri.006G237500.v4.1 transcript:Potri.006G237500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237500.v4.1 MMAVSSTCKDGSTKITMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATNLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLAQFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVVNALSTGSGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHVVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQVSQEVSQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFADEGWSMLESDGIDDVTVLVNSSPAKMMGVNFSYANGFPSMSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYAAAAVKAGPCSLPMSRAGNFGGQVILPLAHTIEHEEFMEVIKLENMGYREDMLMPGDVFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASALEVGPAGNRASGDLSGRSGCTKSVMTIAFQFAFEMHLQENVASMARQYVRSIIASVQRVALALSPSHFGSHAGFRPPPGTPEAHTLARWICESYRCYLGVELLKNEGSESILKTLWHHSDALMCCSLKTLPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLYSEFPQIMQQGFMCLQGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFINWSFV >Potri.006G237500.5.v4.1 pep chromosome:Pop_tri_v4:6:23956931:23964048:-1 gene:Potri.006G237500.v4.1 transcript:Potri.006G237500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237500.v4.1 MMAVSSTCKDGSTKITMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATNLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLAQFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVVNALSTGSGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHVVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQVSQEVSQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFADEGWSMLESDGIDDVTVLVNSSPAKMMGVNFSYANGFPSMSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYAAAAVKAGPCSLPMSRAGNFGGQVILPLAHTIEHEEFMEVIKLENMGYREDMLMPGDVFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASALEVGPAGNRASGDLSGRSGCTKSVMTIAFQFAFEMHLQENVASMARQYVRSIIASVQRVALALSPSHFGSHAGFRPPPGTPEAHTLARWICESYRCYLGVELLKNEGSESILKTLWHHSDALMCCSLKTLPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLYSEFPQIMQQGFMCLQGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFINWSFV >Potri.006G237500.4.v4.1 pep chromosome:Pop_tri_v4:6:23956931:23964048:-1 gene:Potri.006G237500.v4.1 transcript:Potri.006G237500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237500.v4.1 MMAVSSTCKDGSTKITMDNGKYVRYTPEQVEALERLYHECPKPSSMRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATNLATTDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLAQFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVVNALSTGSGGTIELLYMQLYAPTTLAPARDFWLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHVVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQVSQEVSQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFADEGWSMLESDGIDDVTVLVNSSPAKMMGVNFSYANGFPSMSNAVLCAKASMLLQNVPPAILLRFLREHRSEWADSGIDAYAAAAVKAGPCSLPMSRAGNFGGQVILPLAHTIEHEEFMEVIKLENMGYREDMLMPGDVFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASALEVGPAGNRASGDLSGRSGCTKSVMTIAFQFAFEMHLQENVASMARQYVRSIIASVQRVALALSPSHFGSHAGFRPPPGTPEAHTLARWICESYRCYLGVELLKNEGSESILKTLWHHSDALMCCSLKTLPVFTFANQAGLDMLETTLVALQDITLEKIFDDNGRKTLYSEFPQIMQQGFMCLQGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFINWSFV >Potri.013G014900.2.v4.1 pep chromosome:Pop_tri_v4:13:954160:955762:-1 gene:Potri.013G014900.v4.1 transcript:Potri.013G014900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014900.v4.1 MSLLDHLWDDTVAGPLPENGLGKLRKKPSYGLRSNSGKESDGSGGSVMRSYGGEATTEETKKVTRSIMIVRPPGYQNNGSSATPPASPAGSTPPVSPFSAESPFGFEEGLRRTHTRRQPRLDPEFLLLLTANDI >Potri.013G014900.1.v4.1 pep chromosome:Pop_tri_v4:13:953941:955849:-1 gene:Potri.013G014900.v4.1 transcript:Potri.013G014900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014900.v4.1 MSLLDHLWDDTVAGPLPENGLGKLRKKPSYGLRSNSGKESDGSGGSVMRSYGGEATTEETKKVTRSIMIVRPPGYQNNGSSATPPASPAGSTPPVSPFSGSRESFRFRRRSTSDAYEKTTEVGPRIPTSPYGE >Potri.001G404400.1.v4.1 pep chromosome:Pop_tri_v4:1:43046794:43049610:1 gene:Potri.001G404400.v4.1 transcript:Potri.001G404400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404400.v4.1 MERTDSSTGGAGGGGGGGGGRGSQQPNLPPGFRFHPTDEELVVHYLKKKTTSAPLPVAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPVLSSGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKVNNKPPGCDLGNKKNSLRLDDWVLCRIYKKNNTQRPMDHDKDDSMDDVLGSIPPSISVGSHQNGTLHLAKGTNFGALLDNDHNLFEGIISSSDGIRSSSSSLSHMASSVTKRDLLMLPPKRTLPSLYWTGEDMVGPSSSKRFQGGINGDEIVVRTDGNSSVATLLGELPQTPQLHQQTMLGSMADGIFRSPYQIPSMNWYS >Potri.016G060000.1.v4.1 pep chromosome:Pop_tri_v4:16:4104110:4106830:-1 gene:Potri.016G060000.v4.1 transcript:Potri.016G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060000.v4.1 MKRTQRFKRVSKPKKSIPETEPDEDSAANRSTQLLKSLSTRIKPKRPKILSLPPPTSLTFDQMTILPREFFQIDALDLAPRLLGKFLKRDNVVLQITEVEAYRPNDSACHGRFGITARTAPVFGPGGHAYVYLCYGLHTMLNVVADKEGIGAAVLIRSCAPISGMDTIQERRGQKTEKPALLNGPGKIGQALGISTEWSNHPLHSPGGLELLDGPEPEKILVGPRVGIDYALPEHVSALWRFAIAGTAWISAPKNTLRPP >Potri.006G225201.1.v4.1 pep chromosome:Pop_tri_v4:6:22983406:22984079:-1 gene:Potri.006G225201.v4.1 transcript:Potri.006G225201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225201.v4.1 MPSIYVSEPPTKGKVILNTTYGPLDIKLWPKEAPKAVRNFVRLCLEGYYDNNIFHRIIKIFLVQGGDPTGAGTGGESIYRTVFADEYFTSEI >Potri.002G133566.2.v4.1 pep chromosome:Pop_tri_v4:2:10077449:10078657:-1 gene:Potri.002G133566.v4.1 transcript:Potri.002G133566.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133566.v4.1 MSQFNAGCSCKHTSYGDSELQLIESVAENTTWSGTEADIDYPELQLGSGRKRLRRKYLCFMPFYFLL >Potri.002G133566.1.v4.1 pep chromosome:Pop_tri_v4:2:10077065:10078732:-1 gene:Potri.002G133566.v4.1 transcript:Potri.002G133566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133566.v4.1 MSQFNAGCSCKHTSYGDSELQLIESVAENTTWSGTEADIDYPELQLGSGRKRLRRKYLCFMPFYFLL >Potri.016G109000.2.v4.1 pep chromosome:Pop_tri_v4:16:11187474:11190933:1 gene:Potri.016G109000.v4.1 transcript:Potri.016G109000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G109000.v4.1 MFEAIPTSSSQITFSTSRSSIISHLFPIKNRSHHIRQSFGRRFASGIRCKAVEITAAESGIKEDKRKKGRYALENLTTWLLKQEQAGNIDAELTVVLSGISLACKQIASLLQRSSIINLTGVQGTTNIQGEDQKKLDVISNELFCNCLRSSGRTAIIVSEEEDVPVAVEETYSGNYIVVFDPIDGSANIDIALTTGSVFGIYGPDEQCLFNIDDDSTLDEARQRCIINACQPGRNLLAAGYCLYSSSVVFTISIGKGVFAFTLDPTFGEFVLTHEDIKIPKTGKIYSFNEGNYDLWDGKLQSYLGYLRQPGPPNGKPYSGRYIGCLVGEIHRMLLYGGIYGNPKNKNSKNGNLRLLYECAPMSYLVEQAGGKATDGHQRILDIKPEQIHQRTPIFIGSPDEVDKLQQYLA >Potri.009G127500.1.v4.1 pep chromosome:Pop_tri_v4:9:10508234:10508926:1 gene:Potri.009G127500.v4.1 transcript:Potri.009G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127500.v4.1 MGIRLPSMISSVKHVIKGKSLHGRNQPDVPKGHVAVYVGEMQKRRFVVPISYLSHPSFQDLLNRAEEEFGFNPPMGGLTIPCREDAFIKLASRLQASS >Potri.013G036201.1.v4.1 pep chromosome:Pop_tri_v4:13:2369895:2370331:1 gene:Potri.013G036201.v4.1 transcript:Potri.013G036201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036201.v4.1 MNSIKRQSTKSKRSNGFGNEYIVAVEVLWTPQNENDMLSERELPEDYPLLRPLRVHIRDLCFALEMWNLLCKLGVNLYRTSPRYTECLPGIEQMFLN >Potri.001G041268.1.v4.1 pep chromosome:Pop_tri_v4:1:2992433:2993230:-1 gene:Potri.001G041268.v4.1 transcript:Potri.001G041268.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041268.v4.1 MSGSGCSCGSDCKCGSGCKCGMYPDLGISESTTTETIIAGLAPVHMFYERSEMNFGAENGCKCGSSCTCDPCSCK >Potri.002G138000.1.v4.1 pep chromosome:Pop_tri_v4:2:10368085:10371578:-1 gene:Potri.002G138000.v4.1 transcript:Potri.002G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138000.v4.1 MAEEEVLIEVEAVLAMYGDDCVILESFPPHLHLHIKPRTADISSQQFVEAVIGIRAGPQYPSKPPWIDLIESKGLDGERQKQLITGIQEKACELSSCLMLVALCEEAVEKLTVMNHPDGDCPLCMCPFVPEDEQDEALPFMKLMSCFHCFHCECIVRWWNWIQKEKESNTSTSSSTTLLQIKEMGNQNGLSDVHGVLEERMGDCPVCRKVFHVKDFEHVLDLVGTQASQLDSEAEIKYEEKLLHSDSENFRRQKFEAILKLQQENSGLIEPKREIVVVPGIYLPQRATSAAQTVNKETAEHQGTEARPSTETNLGSSLNRPRPRESRNSGRRQGVRNSRRPVSQWVRRENGTAD >Potri.006G248600.1.v4.1 pep chromosome:Pop_tri_v4:6:24853108:24855903:-1 gene:Potri.006G248600.v4.1 transcript:Potri.006G248600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248600.v4.1 MDSPSKLMVMSSRRDTLIILASTRDILSSLPDQIIYHIFSFLGANDTARLGVVSKRFNQLCTSSPYLNFVADFDSDYSDPSCLRTRYTDFCSYVNKVLRWREKTGGGLQRLHVHWHSCKKRRFDIGETAVNSWVTIATKCGVEELDMLLHVDPWRGYSLPGCVYNCESLRALKLNLQMGRFNFRVAGWDWLKDLWLDSVTVEDIYFGQRISEWCKSLKRLTLEDVDGISGGLNMTTSSLEELTISGCDFAFTGGNFNISSSSFKTLTIYRCQFKAQRHVNLNCPSLENLTVHDSEFGKCFLCKISCESLEKLRVCGSSFLEACHFRIACPSVGQVMISSCRFAGTCVLNINSFSLQDLTVSECNFSSLGSALQYLPPVKDFQAVPRLRRHIIVKAANLEKLNISSSDEYSYEFPLKIDAPNLKVLWWAGDPVDFSFLKQDMFMSLTSAGIYIEPSCQHKSVESDRHCKRSKSLIYCAAKLLQCLSEARFLRINTWPIEIFFMQNDYPIVFKNLQNLVLLSDGSLADQIPFIASFLKGMPNLKKLIISCFDTSHELSDPNLINFLGLKSRSFNVTEVSRDLKIVKIEAVQAQQCMQNDGRRRLKL >Potri.006G248600.2.v4.1 pep chromosome:Pop_tri_v4:6:24853108:24855903:-1 gene:Potri.006G248600.v4.1 transcript:Potri.006G248600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248600.v4.1 MDSPSKLMVMSSRRDTLIILASTRDILSSLPDQIIYHIFSFLGANDTARLGVVSKRFNQLCTSSPYLNFVADFDSDYSDPSCLRTRYTDFCSYVNKVLRWREKTGGGLQRLHVHWHSCKKRRFDIGETAVNSWVTIATKCGVEELDMLLHVDPWRGYSLPGCVYNCESLRALKLNLQMGRFNFRVAGWDWLKDLWLDSVTVEDIYFGQRISEWCKSLKRLTLEDVDGISGGLNMTTSSLEELTISGCDFAFTGGNFNISSSSFKTLTIYRCQFKAQRHVNLNCPSLENLTVHDSEFGKCFLCKISCESLEKLRVCGSSFLEACHFRIACPSVGQVMISSCRFAGTCVLNINSFSLQDLTVSECNFSSLGSALQYLPPVKDFQAVPRLRRHIIVKAANLEKLNISSSDEYSYEFPLKIDAPNLKVLWWAGDPVDFSFLKQDMFMSLTSAGIYIEPSCQHKSVESDRHCKRSKSLIYCAAKLLQCLSEARFLRINTWPIELINFLGLKSRSFNVTEVSRDLKIVKIEAVQAQQCMQNDGRRRLKL >Potri.011G037200.1.v4.1 pep chromosome:Pop_tri_v4:11:2872449:2873681:1 gene:Potri.011G037200.v4.1 transcript:Potri.011G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037200.v4.1 MKSHRGRRSRAKNGGNQAQDAEWSMSALPDDITADILLQLPLKSKIQCRCVCRTWRNLLSDSYFSEVQRERAQSMLVLRSPPSCVSRKAAALAPNDFYVVDLESGSVRNNVMKLNTKNNLPTCHVELVGSCNGLLCLFDKNSKKVFYLCNPVTGEHVRTPANCKKEKQRGKTILDAVLGFGFSPKSNHYMVLRITRKKLTYPISILRSEGEICIFGDNEWKSIGEIPFPDCKKFFGVSLNGALHWILNLDDYEDADLICALDIDSKKIRPMSPPNGFRRDTTEMTLGVLRDCLFICDSMTLYNLDIWVMKEYGVKDSWTKEIVIAKTSLPSNLQNSFLQPIMVSKDGEVLISSDSNVFVWYDPGSKSFTKVTLPSRVGSEFEAVCSVASFDSLSAIMKKECRRDQCYTAR >Potri.001G199100.1.v4.1 pep chromosome:Pop_tri_v4:1:19633118:19635207:-1 gene:Potri.001G199100.v4.1 transcript:Potri.001G199100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199100.v4.1 MPSSYLLQSPSFSTNLKLPSKPRTPTPTPCSLSVKASSSSSSSNNTPASTSSKGNNIRDEARRQYLTNPPQNQNFSAKYVPFNADPATNTESYSLDEIVYRSQSGGLLDVQHDISALKAFPGSYWRALFDSRVGKTTWPYGSGVWSKKEWVLPEISSDDIVSAFEGNSNLFWAERLGKHFLEMNELWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPVFGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISIAQLVQPIANGAFVLSVDTDFDGCMQLIREVTSELPIYLANSLNSLRIEGQKTAAIEILQQFDWEVPDWVIIPGGNLGNIYAFYKGFHMCKELGLVDKIPRLVCAQAANANPLYLYYKSGWKEFKAVKASGTFASAIQIGDPVSIDRAVYALKQSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALMKLRKSGVIGPTDRTVVVSTAHGLKFTQSKIDYHSNNIKEMACRFANPPVSVKADFGSVMDVLKKYLSKTPK >Potri.010G034300.1.v4.1 pep chromosome:Pop_tri_v4:10:6188986:6194689:-1 gene:Potri.010G034300.v4.1 transcript:Potri.010G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034300.v4.1 MELEHQPTRWEGYVDWRNRPALRGLHGGMLAASYVLGVEILENLAYLANASNLVIYLSEYMHLSPSKSANNVTNFMGTSFLLALLGGFLADTFFTTYHIFLISAAIEFLGLVILTVQARSSSLKPPACNPSNPTIPCQQIDGAKAAMLFTGLYLVALGVGGIKGSLPAHGAEQFDESTPQGRKQRSTFFNYFVFCLSCGALIAVTFVVWVEDNKGWVWGFGISTIAILLSIPIFLAGSAAYRNKIPSGSPLATISKVLVAAGVNTCMSRSSGNAIASLTTSPSNPNPTSKDAIDNSIAVMPAETPTKSLDWLNKAVVNKPIHSALECTVQQVEEVKIVIKIFPIFACTIMLNCCLAQLSTFSVQQADTMDTKLGSLKVPPASLPVFPVVFIMMLAPVYDHLIIPFARKLTKSEMGITHLQRIGIGLVLSIIAMAVAALVEIKRKRVAANSGLVDKLPITFFWIAFQYLFLGSADLFTLAGLLEFFFTEAPAGMRSLAMSLSWASLAMGYYLSSVIVSIVNNVTGNSKNRPWLSGTNINHHHLERFYWLLCVLSVLNFLHYLFWATRYKYSTRVQN >Potri.007G008800.2.v4.1 pep chromosome:Pop_tri_v4:7:673653:676663:-1 gene:Potri.007G008800.v4.1 transcript:Potri.007G008800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008800.v4.1 MAISMMRSALLRNSLRSASKPSAPTRRGFSSSAHHDDAYEAAKWEKIAYLGAATCTILAIYNLSKGHPHYETPPEYPYLHIRNKEFPWGPNGLFEVKEDHH >Potri.007G008800.1.v4.1 pep chromosome:Pop_tri_v4:7:676059:676623:-1 gene:Potri.007G008800.v4.1 transcript:Potri.007G008800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008800.v4.1 MAISMMRSALLRNSLRSASKPSAPTRRGFSSSAHHDDAYEAAKWEKIAYLGAATCTILAIYNLSKGHPHYETPPEYPYLHIRNKEFPWGMFFFCWISSFLNWENLSGLLLSLYGLFWPIILKLS >Potri.007G065600.1.v4.1 pep chromosome:Pop_tri_v4:7:8260602:8264647:1 gene:Potri.007G065600.v4.1 transcript:Potri.007G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065600.v4.1 MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDESLKRYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAFQTFSRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFAQMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIIKTCMNDQKDKLWPMLQKLGVVMKSDEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPATAQRYRVENLYEGPLDDAYANAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFAGKVTTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVIDKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKIRGKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYMVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVVESFGFSGTLRAATSGQAFPQCVFDHWDTMSSDPMEAGTQAAQLVTEIRKRKGLKEQMTPLSDFEDKL >Potri.007G065600.3.v4.1 pep chromosome:Pop_tri_v4:7:8260668:8264900:1 gene:Potri.007G065600.v4.1 transcript:Potri.007G065600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065600.v4.1 MVKFTAEELRRIMDFKHNIRNMSVIAHVDHGKSTLTDSLVAAAGIIAQEVAGDVRMTDTRADEAERGITIKSTGISLYYEMSDESLKRYKGERHGNEYLINLIDSPGHVDFSSEVTAALRITDGALVVVDCIEGVCVQTETVLRQALGERIRPVLTVNKMDRCFLELQVDGEEAFQTFSRVIENANVIMATYEDPLLGDCQVYPEKGTVAFSAGLHGWAFTLTNFAQMYASKFGVDESKMMERLWGENFFDPATKKWTTKNTGSATCKRGFVQFCYEPIKQIIKTCMNDQKDKLWPMLQKLGVVMKSDEKDLMGKPLMKRVMQTWLPASTALLEMMIFHLPSPATAQRYRVENLYEGPLDDAYANAIRNCDPNGPLMLYVSKMIPASDKGRFFAFGRVFAGKVTTGLKVRIMGPNYVPGEKKDLYVKSVQRTVIWMGKRQETVEDVPCGNTVALVGLDQFITKNATLTNEKEVDAHPIRAMKFSVSPVVRVAVQCKVASDLPKLVEGLKRLAKSDPMVVCSIEESGEHIIAGAGELHLEICLKDLQDDFMGGAEIIKSDPVVSFRETVIDKSCRVVMSKSPNKHNRLYMEARPMEEGLAEAIDDGRIGPRDDPKIRGKILSEEFGWDKDLAKKIWCFGPETTGPNMVVDMCKGVQYLNEIKDSVVAGFQWASKEGALAEENMRGICFEVCDVVLHSDAIHRGGGQVIPTARRVIYASQLTAKPRLLEPVYMVEIQAPEQALGGIYSVLNQKRGHVFEEIQRPGTPLYNIKAYLPVVESFGFSGTLRAATSGQAFPQCVFDHWDTMSSDPMEAGTQAAQLVTEIRKRKGLKEQMTPLSDFEDKL >Potri.019G042700.2.v4.1 pep chromosome:Pop_tri_v4:19:5905379:5909599:1 gene:Potri.019G042700.v4.1 transcript:Potri.019G042700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042700.v4.1 MKPRTNGTSRGQKAQNFQGEGRNWILIAGGALLSTLSIRLGYKLKQTLDSRQQANASNSLKGNVKSSDRRRSPRCNMHSNMYSFTQDDDGCYNCIPGNEGIADMKHQSNDQMLSESDVGLPLVTVPAAEFTKENGVMWVSSPDRLELPPKPFHNSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQILELQNSLNAQLTLASNLQSQINAANRDLFDSEREIQRLRKAIADHCVKHVGINDKPSANTTWPSEARNGHANGYLDGDSNFESLEKGRGDVERIEKLKREVGELKEVIEGKEYLLQSYKEQKSELSMKIMELQHRLDSQLPNIL >Potri.019G042700.1.v4.1 pep chromosome:Pop_tri_v4:19:5905448:5909618:1 gene:Potri.019G042700.v4.1 transcript:Potri.019G042700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042700.v4.1 MKPRTNGTSRGQKAQNFQGEGRNWILIAGGALLSTLSIRLGYKLKQTLDSRQQANASNSLKGNVKSSDRRRSPRCNMHSNMYSFTQDDDGCYNCIPGNEGIADMKHQSNDQMLSESDVGLPLVTVPAAEFTKENGVMWVSSPDRLELPPKPFHNSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQILELQNSLNAQLTLASNLQSQINAANRDLFDSEREIQRLRKAIADHCVKHVGINDKPSANTTWPSEARNGHANGYLDGDSNFESLEKGRGDVERIEKLKREVGELKEVIEGKEYLLQSYKEQKSELSMKIMELQHRLDSQLPNIL >Potri.019G042700.5.v4.1 pep chromosome:Pop_tri_v4:19:5905451:5909619:1 gene:Potri.019G042700.v4.1 transcript:Potri.019G042700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042700.v4.1 MKPRTNGTSRGQKAQNFQGEGRNWILIAGGALLSTLSIRLGYKLKQTLDSRQQANASNSLKGNVKSSDRRRSPRCNMHSNMYSFTQDDDGCYNCIPGNEGIADMKHQSNDQMLSESDVGLPLVTVPAAEFTKENGVMWVSSPDRLELPPKPFHNSNCSDSPCVSESGSDIFSKREVIQKLRQQLKRRDDMILEMQDQILELQNSLNAQLTLASNLQSQINAANRDLFDSEREIQRLRKAIADHCVKHVGINDKPSANTTWPSEARNGHANGYLDGDSNFESLEKGRGDVERIEKLKREVGELKEVIEGKEYLLQSYKEQKSELSMKIMELQHRLDSQLPNIL >Potri.011G107400.2.v4.1 pep chromosome:Pop_tri_v4:11:13564991:13576320:-1 gene:Potri.011G107400.v4.1 transcript:Potri.011G107400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107400.v4.1 MELDPDDIFKDDEDDPDSEFYQQRESSKEFVVYLVDASPKMFSSTCPSEDGKEETHFHIAISCIAQSLKTQIINRSYDEVAICFFNTREKKNLQDLNGAFVFNVAEREYLDRPTARLIKDFDCIEESFTKDIGSQYGIVSGSRENSLYNALWIAQALLRKGSAKTADKRILLFTNEDDPFGSIKGVAKADMTRTTLQRAKDAQDLGISIELLPLSQPDEEFNVSLFYSDLIGLEGDELAQFMPSAGQKLQDMKDQLRKRMFTKRIVRRITLSIANGLSIELNTYALIRPTLPGAITWLDSVSNRPLKTERSFICADTGALMQEPAKRYQPYKNDNIMLSVEELSEIKRVSTGHLHLLGFKPLSCLKDYHNLRPSTFIFPSDKEVIGSTCIFIALLRSMVNLKRFAVAFYGSSSRPQLVALVAQDEIISAGGQVEPPGMHMIYLPYSDDVRHVEEIHSDTNAGAPRATDEQIKKAAALIKRIDLKDFSVFQFANPGLQRHYAVLQALALDEDDMPEINDETLPDEEGMARPGVVKAVEEFKLSVYGENYDEESDMGSGKASDASKKRKTAAENAAKESANYNWPDLADNGQLKDLTVTELRYYLTAHNLPVTGKKEVLISRILTHLGK >Potri.011G107400.3.v4.1 pep chromosome:Pop_tri_v4:11:13564975:13576394:-1 gene:Potri.011G107400.v4.1 transcript:Potri.011G107400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107400.v4.1 MELDPDDIFKDDEDDPDSEFYQQRESSKEFVVYLVDASPKMFSSTCPSEDGKEETHFHIAISCIAQSLKTQIINRSYDEVAICFFNTREKKNLQDLNGAFVFNVAEREYLDRPTARLIKDFDCIEESFTKDIGSQYGIVSGSRENSLYNALWIAQALLRKGSAKTADKRILLFTNEDDPFGSIKGVAKADMTRTTLQRAKDAQDLGISIELLPLSQPDEEFNVSLFYSDLIGLEGDELAQFMPSAGQKLQDMKDQLRKRMFTKRIVRRITLSIANGLSIELNTYALIRPTLPGAITWLDSVSNRPLKTERSFICADTGALMQEPAKRYQPYKNDNIMLSVEELSEIKRVSTGHLHLLGFKPLSCLKDYHNLRPSTFIFPSDKEVIGSTCIFIALLRSMVNLKRFAVAFYGSSSRPQLVALVAQDEIISAGGQVEPPGMHMIYLPYSDDVRHVEEIHSDTNAGAPRATDEQIKKAAALIKRIDLKDFSVFQFANPGLQRHYAVLQALALDEDDMPEINDETLPDEEGMARPGVVKAVEEFKLSVYGENYDEESDMGSGKASDASKKRKTAAENAAKESANYNWPDLADNGQLKDLTVTELRYYLTAHNLPVTGKKEVLISRILTHLGK >Potri.007G127700.1.v4.1 pep chromosome:Pop_tri_v4:7:14280615:14282187:1 gene:Potri.007G127700.v4.1 transcript:Potri.007G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127700.v4.1 MVPHGFRFNPTDEELIQVLDRKASGQEMPLHFILEMNVYEREPQDLEWNQSTALSNGERFYYCKREINDSREVIGRGWWKATSHVKKVYANDHQLLVGNKRPLTFHRFKDNERNRNNAIKTNWIMHEYSLESRTTEWRLCKIKYKGKPSLQEEIESIKKQHSSRNDFEAGSSTNVGVEQHEEQTLVPADSTMPLDHCNGYHQQPHDQWNNMQQLPPSPYHPNYLPALCTGSGHYYVNQQEELEPAVHEQPFPSLWSWTN >Potri.014G171300.2.v4.1 pep chromosome:Pop_tri_v4:14:12646220:12647207:1 gene:Potri.014G171300.v4.1 transcript:Potri.014G171300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G171300.v4.1 MKQKIVIKVTVNGPKSRSKSLQIAVGFSGVESAGLGGQDKSQIEVVGDGVDAVELTNRLRKKVGYAEIVSVAAVGEKKEEKKPEAVVQPVLWSMYGGGVPQTYIHPIHPPNYYQDPSCSIM >Potri.002G253400.1.v4.1 pep chromosome:Pop_tri_v4:2:24224773:24227587:-1 gene:Potri.002G253400.v4.1 transcript:Potri.002G253400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253400.v4.1 MSIPRIFLITTLTVALAMAMVATIVPQAEAARAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPTHRPTGRFSNGFNFPDIISQSMGLEPTLPYLSPELNGQRLLNGANFASAGIGILNDTGIQFVNILRMFRQFQLFEEYQQRVSAIIGTDRTQQLVNNALVLITLGGNDFVNNYFLTPFAPRRRQFSLPDYCRFLVSEYRKLLMRLYDLGGRRILVTGTGPLGCVPAELAMSGSTNGECAPEPQRAAQIFNPQLFQMLQNLNRELGSDVFITANAFAMNTDLINSPQRFGFVTSKVACCGQGLYNGLGLCTVVSNLCPNRNVYVFWDAFHPTERANRVLVQQLMTGTTEYMNPMNLSTIMALDAKL >Potri.018G145502.1.v4.1 pep chromosome:Pop_tri_v4:18:15115448:15118375:-1 gene:Potri.018G145502.v4.1 transcript:Potri.018G145502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145502.v4.1 MASRVSLKTKGKSSSGKGAKGVEEKSASQYVKEWSTWTFKKAKVITHYGFIPMIIIIGMHSEPEPQIYQLLSPF >Potri.002G041400.1.v4.1 pep chromosome:Pop_tri_v4:2:2710085:2713283:-1 gene:Potri.002G041400.v4.1 transcript:Potri.002G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041400.v4.1 MGSLDTTTPTGSRSNMEQFLCERLVDQTQPIHERFRALFSLRNLKGPGPRNALIHATRDSSSLLAHEAAFALGQMQDAEAIPALEAALNDLSLHPIVRHEASEALGAIGLESNVPFLKNSLTNDPAQEVRETCELALKRIEEMNSTSNVDGSSVAEKSPFMSVDPAAPASFCSSVDELRGVLLDEKRSMYERYGALFALRNHGGDEAVSAIVDSLAASSALLKHEVAYVLGQLQNKVASAALCRILRDANEHPMVRHEAAEALGSIADEQSVALLEEFSKDHEPLVAQSCEVALSMLEFERSGKSFEYLFMQDPLVQA >Potri.006G214100.1.v4.1 pep chromosome:Pop_tri_v4:6:22035492:22037243:1 gene:Potri.006G214100.v4.1 transcript:Potri.006G214100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214100.v4.1 MARIRVHELREKSKTELLAQLKELKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKSALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQASLKTEREKKREIYFPIRKYAIKV >Potri.014G086200.1.v4.1 pep chromosome:Pop_tri_v4:14:5556947:5558402:-1 gene:Potri.014G086200.v4.1 transcript:Potri.014G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086200.v4.1 MPKPNILLLLILFLPLIFSPDYDFIRVANAGKRSIHITDDLDDVIDDEEDEAWKNWGKTTKRSEDEFDPPPSDLNKMNVQEIQEMMMKRNFGPVFGFVKLRLGARRTPDVVAEIAMKWTKIMKTGGIRVQFSGVDLSTIMFSMDQGRDTMELKEFILNEPEAYEIKIGDQVLRRHGDPPLEAVIEKLQREKDKADNAPAAATKDDGHQKEEL >Potri.003G144200.1.v4.1 pep chromosome:Pop_tri_v4:3:15943345:15944953:-1 gene:Potri.003G144200.v4.1 transcript:Potri.003G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144200.v4.1 MGRAPCCSKVGLQRGPWTTREDTLLINYIQAHGEGHWRSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPDEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKRVKNNTAGNKSKCMGQPAGKRSGANSNKKRKRNQRELDSEAICSENGETAIKIKIHLPKATRVSPSSVAKNINVNIQSMIGSSSRHAKIGDNANWGISGLEVVSNDGEAWAFNSEEFDGLVYDHDSSCPNSLPNDIMLDDIFEEYQELLKPDDHGQLDSLVDSLIA >Potri.008G097900.5.v4.1 pep chromosome:Pop_tri_v4:8:6100845:6105113:-1 gene:Potri.008G097900.v4.1 transcript:Potri.008G097900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097900.v4.1 MDFWSYASEGKGLLFSDEIDLSVDAFARSRKTLIGWDTESVESTEFIDFGFSEIPRKPFHGSKTGVGVLGGTDGGIDSSKLVASSPNCMIASNSSMESGSNHSNSLVESNSQDSSLIDLKLGRLADFKDAQNSKFSKERLLSSVSPTAQAKRARATCSRPQTTYCQVYGCNKDLSSSKDYHKRHKVCEVHSKTPQVIVDGNEQRFCQQCSRFHLLVDFDDGKRSCRKRLAGHNERRRKPQLGTLSVKPHKLLHPYQGIDIWYSLINLLRKCNSISY >Potri.008G097900.4.v4.1 pep chromosome:Pop_tri_v4:8:6100824:6105095:-1 gene:Potri.008G097900.v4.1 transcript:Potri.008G097900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097900.v4.1 MDFWSYASEGKGLLFSDEIDLSVDAFARSRKTLIGWDTESVESTEFIDFGFSEIPRKPFHGSKTGVGVLGGTDGGIDSSKLVASSPNCMIASNSSMESGSNHSNSLVESNSQDSSLIDLKLGRLADFKDAQNSKFSKERLLSSVSPTAQAKRARATCSRPQTTYCQVYGCNKDLSSSKDYHKRHKVCEVHSKTPQVIVDGNEQRFCQQCSRFHLLVDFDDGKRSCRKRLAGHNERRRKPQLGTLSVKPHKLLHPYQGTKFLGTSLPKKTSLLFPNMLPGSAFCPGNYEEENWCRRIKLEENSIYSSPSAIPMGNGQLLPKSFLHLHGNGLQKTCGISSPAIDDRNFCNTTTFHELAGASHSSCALSLLSAESQDLSHSAGNITARPLVSQVSHACQSLGNVNKSLGVGSLEKYFPNGLYPSGMNFIEVNDMGPFVVPGSGHAADFQVETDGFLQQSDILNAKYGVSPENGSTVDLLQLSSHLQRVEQQRNSMQVKHENEEFCSFGSTYGV >Potri.008G097900.3.v4.1 pep chromosome:Pop_tri_v4:8:6100146:6105169:-1 gene:Potri.008G097900.v4.1 transcript:Potri.008G097900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097900.v4.1 MDFWSYASEGKGLLFSDEIDLSVDAFARSRKTLIGWDTESVESTEFIDFGFSEIPRKPFHGSKTGVGVLGGTDGGIDSSKLVASSPNCMIASNSSMESGSNHSNSLVESNSQDSSLIDLKLGRLADFKDAQNSKFSKERLLSSVSPTAQAKRARATCSRPQTTYCQVYGCNKDLSSSKDYHKRHKVCEVHSKTPQVIVDGNEQRFCQQCSRFHLLVDFDDGKRSCRKRLAGHNERRRKPQLGTLSVKPHKLLHPYQGTKFLGTSLPKKTSLLFPNMLPGSAFCPGNYEEENWCRRIKLEENSIYSSPSAIPMGNGQLLPKSFLHLHGNGLQKTCGISSPAIDDRNFCNTTTFHELAGASHSSCALSLLSAESQDLSHSAGNITARPLVSQVSHACQSLGNVNKSLGVGSLEKYFPNGLYPSGMNFIEVNDMGPFVVPGSGHAADFQVETDGFLQQSDILNAKYGVSPENGSTVDLLQLSSHLQRVEQQRNSMQVKHENEEFCSFGSTYGV >Potri.008G097900.2.v4.1 pep chromosome:Pop_tri_v4:8:6100844:6105093:-1 gene:Potri.008G097900.v4.1 transcript:Potri.008G097900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097900.v4.1 MDFWSYASEGKGLLFSDEIDLSVDAFARSRKTLIGWDTESVESTEFIDFGFSEIPRKPFHGSKTGVGVLGGTDGGIDSSKLVASSPNCMIASNSSMESGSNHSNSLVESNSQDSSLIDLKLGRLADFKDAQNSKFSKERLLSSVSPTAQAKRARATCSRPQTTYCQVYGCNKDLSSSKDYHKRHKVCEVHSKTPQVIVDGNEQRFCQQCSRFHLLVDFDDGKRSCRKRLAGHNERRRKPQLGTLSVKPHKLLHPYQGTKFLGTSLPKKTSLLFPNMLPGSAFCPGNYEEENWCRRIKLEENSIYSSPSAIPMGNGQLLPKSFLHLHGNGLQKTCGISSPAIDDRNFCNTTTFHELAGASHSSCALSLLSAESQDLSHSAGNITARPLVSQVSHACQSLGNVNKSLGVGSLEKYFPNGLYPSGMNFIEVNDMGPFVVPGSGHAADFQVETDGFLQQSDILNAKYGVSPENGSTVDLLQLSSHLQRVEQQRNSMQVKHENEEFCSFGSTYGV >Potri.001G121400.2.v4.1 pep chromosome:Pop_tri_v4:1:9937846:9944780:1 gene:Potri.001G121400.v4.1 transcript:Potri.001G121400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121400.v4.1 MSAYRDEDPRIHDIKTRIRVVPNFPKPGIMFQDITTLLLNPKAFKDTIDLFVERYKGKNISVVAGIEARGFIFGPPIALAIGAKFVPLRKPRKLPGEVISEKYILEYGRDCLEMHVGAVKSGERALVVDDLIATGGTLCAAMNLLERAGAEVVECACVIELPDLKGRERLNGKPLYVLVESH >Potri.006G132200.3.v4.1 pep chromosome:Pop_tri_v4:6:10797854:10801368:-1 gene:Potri.006G132200.v4.1 transcript:Potri.006G132200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132200.v4.1 MGKSYPTVSEEYQKAVEKCKRKLRGLIAEKHCAPLMLRLAWHSAGTFDVHTKTGGPFGTIRHPDELAHGANNGLDIAIRLLEPIKEQFPILSYADFYQLAGVVAVEVTGGPEIPFHPGRPDKSDPPPEGRLPDATKGSDHLRDVFGHMGLSDTDIVALSGGHTLGRCHKERSGFEGPWTPNPLVFDNSYFKELLSGEKEGLIQLPSDKTLLEDPVFRPLVENYAEDEDAFFADYSEAHLKLSELGYADS >Potri.006G205100.1.v4.1 pep chromosome:Pop_tri_v4:6:21339632:21345499:1 gene:Potri.006G205100.v4.1 transcript:Potri.006G205100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205100.v4.1 MSGGAKASTRPAAAPPPSGPIQPPLTRQLAFATMKPSFVPPDDYHRFSSPSTSRVTADRDAEAIVVRSPQLKRKSALDDNGVGPSNRASSPGSTSISNISLRTPVSAKGGRTYNKSKASKGSGAGPQTPVSKADCASPLTPAGSCRYDSSLGLLTKRFVDLFKHADDGILDLNNAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGIDASRPGQVEGDATLLQAEIAKLTMEEHALDDQIREMQERLRDLSEDENNQKRLFVTEEDIKSLPCFLNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIILRSSMGPIDVYLVSQFEENFEEMNNVEASVSIPLASISASHGNPMTEMTTDVRTQGRSGSLAQQAQTMFSDPNTTQELGGMMKIVPSDIHDDSDYWLLSDAGISITDMWKTDSNIEWADFGVTDVQTPRTQTPLHGITEVPPGV >Potri.005G210600.1.v4.1 pep chromosome:Pop_tri_v4:5:21489005:21491430:-1 gene:Potri.005G210600.v4.1 transcript:Potri.005G210600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210600.v4.1 MDLLKQELLKKRQTLAQDTGGKKFFKRSEIQQKEIQKLREQEKRELEAKSKRQSSSSSITSSSTTTTPNSSVAASTTTTTKNSSSTATTSKPLTVEQNIDNLVLPKQEVIRRFRFLKQPITLFGEDDDARLDRLKYVLKAGLFEVDSDMTEGQTNDFLRDIAELRKRQKSGIVSGRKRKDREDGGGEDGEGGEGDGELGGECGAGGGDNDLDSKRMKANFEELCEEDKILVFFKRLLNEWNQELDEMAEAEKRTAKGKSMVATFKQCARYLNPLFDFSRKKILPSDIRQGLLLMVECCMRRDYLAAMDHYIRLAIGNAPWPIGVTMVGIHERSAREKIYTNSVAHIMNDETTRKYLQSVKRLMTFCQRRYPTMPSKAVEFNSLANGSDLQSLLAEERVFDGNQPSEGRLRLMPAPDEN >Potri.005G170132.1.v4.1 pep chromosome:Pop_tri_v4:5:17392148:17392613:-1 gene:Potri.005G170132.v4.1 transcript:Potri.005G170132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170132.v4.1 MAAIDTGLAQAYVMRKLHKEKMERLEQAERGIVAGEKKGKNPSGCFFWGSKKNHPAKVDCEEKQARENETLSFN >Potri.010G042000.1.v4.1 pep chromosome:Pop_tri_v4:10:7324240:7326803:-1 gene:Potri.010G042000.v4.1 transcript:Potri.010G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GOLS2 MAPGVPMDVISCTGKVSTASTGYSKRAFVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAMLPDVPEEHRDILRSQGCIVREIEPIYPPENQIQFAMAYYVINYSKLRIWNFEEYSKMMYLDADIQVFENIDHLFDTQDGYFYAVMDCFCEKTWSHSPQHSIGYCQQCPEKVTWPAEMGSPPPLYFNAGMFVFEPSRLTYESLLETLQITPPTPFAEQDFLNMFFQKTYKPIPLMYNLVLAMLWRHPENVEVEKVKVVHYCAAGSKPWRYTGKEANMDREDIKMLVARWWDIYNNESLDFKAENSVPEEETLSRSSILSSKPEPAISYISAPSAA >Potri.017G079100.1.v4.1 pep chromosome:Pop_tri_v4:17:8742212:8748129:-1 gene:Potri.017G079100.v4.1 transcript:Potri.017G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079100.v4.1 MEAEPSSTATTTRITDLNEDSLAHCATYLSLQDLSNLATTCKSLKRVAYSDPIWQHCFREHWPRELLQTSGLREAYLKRRVAVQQFKFVDPLVADLYITDAKPFDHIILDKNDITFSQGSLVQMTNIDSFLNGRDGVTALSDHNARITCMRLFPLSETSLFRSETQRKENVLVTSSCDHSIRLWWKGSCQRCFRGHNGPVLTLSDKLLGDGSAKVLASGGEDGTVRLWSLSSSGKRGQHALKATLYGHEKPIKLMSVAGHRTSLLATISKDSKVRVWDTTTSSAVRSSCCVGMTSVPGAPVDVKCCESLLYVAAGSSVVAIDLRTMQKVITVAIYQPKLCSFAIVPSKPLICTGGIDKAKLWDIRINQETLKPEPVAELDGHTGPVTLLHMDPYKIVTGGPEDSYINVWETDTGAQTNSFICCPSDAASSSSGMGCSAMAVNGTRIVTASYGEEHGLVCFRDFFNATCAVSKREDVLASKFWDPQSYSDGDAYS >Potri.017G079100.11.v4.1 pep chromosome:Pop_tri_v4:17:8742312:8748097:-1 gene:Potri.017G079100.v4.1 transcript:Potri.017G079100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079100.v4.1 MEAEPSSTATTTRITDLNEDSLAHCATYLSLQDLSNLATTCKSLKRVAYSDPIWQHCFREHWPRELLQTSGLREAYLKRRVAVQQFKFVDPLVADLYITDAKPFDHIILDKNDITFSQGSLVQMTNIDSFLNGRDGVTALSDHNARITCMRLFPLSETSLFRSETQRKENVLVTSSCDHSIRLWWKGSCQRCFRGHNGPVLTLSDKLLGDGSAKVLASGGEDGTVRLWSLSSSGKRGQHALKATLYGHEKPIKLMSVAGHRTSLLATISKDSKVRVWDTTTSSAVRSSCCVGMTSVPGAPVDVKCCESLLYVAAGSSVVAIDLRTMQKVITVAIYQPKLCSFAIVPSKPLICTGGIDK >Potri.005G147700.2.v4.1 pep chromosome:Pop_tri_v4:5:12328249:12346167:-1 gene:Potri.005G147700.v4.1 transcript:Potri.005G147700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147700.v4.1 MQTHNQTEFEGQYKMLPPESGVFQDREELIKYVRDFGANQGYVVTIKKSRRDRRVILGCDRGGIYRNRRKIDESQRKRKACSRLINCPFEAIGKKEDDMWVLNIKNGEHNHEPLKDMSEHPYSRRFSEEEVRQIRMMTEAGVKPRQVLKALKQSNPELQSTPRHLYNLKAKIRQGGLSDRSLKSWRPNRSVLVNTSASSTGESLKEDRQPMKVPNFIGGKFLVSQGCTIIDVLNPATQEVVSHLPLTTYEEFKDAVITAKRAFPSWKNTPIATRQRVMFRFQELIRRDMDKLATSITSEQGKTLKGALGDVLCGLEAVEHACAMATLQMGEFVPNASNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCEKNPGASMILAALAVEAGFPDGVLNVIHGTNDIVNYICDDDDVKAISFIGSDLAGLHIYARAAARGKRVQSNIGGKNHAIILPDASIDDTLNALVAAGFGAAGQRCMALSTAVFVGGSSAWEHELVEHAKALKVNAGTDPSADLGPVISKEVKDRICRLVQSGVDSGARLLLDGRNIVVPGYENGSFVGPTILCDVTISMECYKEEILGPVLLCMQADSLEEAITIVNRNRYGNGASIFTTSGVAARKFQNDIDAVLVGINVSVPVPLPCSSFHEAKVSFAGNLNFCGKTGVQFYTQIKTVAQQWRELPSIGVSLSMHTSNEMEMTSRGVCSALPPSERDSPGKTVSSAMSLAPERDPQKHRELLCENLPKSGGSSVPSITDKDLHNQEASLVLPPTAEKDLQAKIPPTIPHASEIKLSSQEISLTTCQTSEGMYIPVPSQWNETPTLTSQRTESISQISQRIYLPTSQRRNNAAPSLKRIDAAMDLTSECVYMATPRQNDNTGPALLKDSSPSPTSRPTDTAAHPASERLHDITTSHLSDSMVQSFQRNDHVFPTERKYTSAAAHRNDHIGLTSQRPDVASYPSSERVYSSATSQRTDNMIPASQRAEAMPPTTKTMYMPPIVQRNNGPQKTSERLYMYQSERMYSESTLISIDGFSSQGVSMTLATSQRM >Potri.005G147700.1.v4.1 pep chromosome:Pop_tri_v4:5:12328344:12345014:-1 gene:Potri.005G147700.v4.1 transcript:Potri.005G147700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147700.v4.1 MQTHNQTEFEGQYKMLPPESGVFQDREELIKYVRDFGANQGYVVTIKKSRRDRRVILGCDRGGIYRNRRKIDESQRKRKACSRLINCPFEAIGKKEDDMWVLNIKNGEHNHEPLKDMSEHPYSRRFSEEEVRQIRMMTEAGVKPRQVLKALKQSNPELQSTPRHLYNLKAKIRQGGLSDRSLKSWRPNRSVLVNTSASSTGESLKEDRQPMKVPNFIGGKFLVSQGCTIIDVLNPATQEVVSHLPLTTYEEFKDAVITAKRAFPSWKNTPIATRQRVMFRFQELIRRDMDKLATSITSEQGKTLKGALGDVLCGLEAVEHACAMATLQMGEFVPNASNGIDTYCIREPLGVCAGICPFNFPAMIPLWMFPIAVTCGNTFVLKPCEKNPGASMILAALAVEAGFPDGVLNVIHGTNDIVNYICDDDDVKAISFIGSDLAGLHIYARAAARGKRVQSNIGGKNHAIILPDASIDDTLNALVAAGFGAAGQRCMALSTAVFVGGSSAWEHELVEHAKALKVNAGTDPSADLGPVISKEVKDRICRLVQSGVDSGARLLLDGRNIVVPGYENGSFVGPTILCDVTISMECYKEEILGPVLLCMQADSLEEAITIVNRNRYGNGASIFTTSGVAARKFQNDIDAVLVGINVSVPVPLPCSSFHEAKVSFAGNLNFCGKTGVQFYTQIKTVAQQWRELPSIGVSLSMHTSNEMEMTSRGVCSALPPSERDSPGKTVSSAMSLAPERDPQKHRELLCENLPKSGGSSVPSITDKDLHNQEASLVLPPTAEKDLQAKIPPTIPHASEIKLSSQEISLTTCQTSEGMYIPVPSQWNETPTLTSQRTESISQISQRIYLPTSQRRNNAAPSLKRIDAAMDLTSECVYMATPRQNDNTGPALLKDSSPSPTSRPTDTAAHPASERLHDITTSHLSDSMVQSFQRNDHVFPTERKYTSAAAHRNDHIGLTSQRPDVASYPSSERVYSSATSQRTDNMIPASQRAEAMPPTTKTMYMPPIVQRNNGPQKTSERLYMYQSERMYSESTLISIDGFSSQGVSMTLATSQRM >Potri.007G118701.1.v4.1 pep chromosome:Pop_tri_v4:7:13711132:13712198:1 gene:Potri.007G118701.v4.1 transcript:Potri.007G118701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G118701.v4.1 MDGSDTHDKASWSKAMLHTFCDICITVIERGMRPNTHFDKAGWKFVVQSFKDQTGLSLSKSQLKNKWDGIKKDWRVWKKLITETGVGWSSELGTISATDEWWQSKIQEMRGAKKFRHVGIELSLCAKYDIMFTNIVATREYAWTPSQGLLSDEDNVAAGSGDSEDDAIPDFSRDVSNMVGGSNVANSSSNPNSSKRKSAHQTTPQLQKKKRGTGMGAVLVAQGSQLLVEIKKGCSIEEVIEELHSIDGVAFGSALHTFATKFFCARSKREMWAAMGCIDRKMSWLKIMFDQHRQA >Potri.005G231400.1.v4.1 pep chromosome:Pop_tri_v4:5:23066630:23068988:-1 gene:Potri.005G231400.v4.1 transcript:Potri.005G231400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231400.v4.1 MLLNDCTMPSGSGFTNTRACKTMPGIVVSASVPCTTARAALLNSRASLGRQLDSKSWSSRGLPSLLLSAASMPLLSGDYGRFSHNIPTYPRQRRSYSCPRASKDVPYSYRFPPMTKKPGWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGVVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSRWMPLAVYWGKLGMHFWTAVAFAYLFTVLECIRCALAGMYADVPFACDAAYIQIPYD >Potri.017G101700.4.v4.1 pep chromosome:Pop_tri_v4:17:11305047:11309321:1 gene:Potri.017G101700.v4.1 transcript:Potri.017G101700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101700.v4.1 MVKSTKSHLDEEDEAEDYDSSSYKGDTAKADSKSNELKANANRSRHSETEQRRRSKINERFQALRNLVPQNDQKRDKASFLLEVIEYVQFLQDKLQIYEGSYEGWSQEPAKLLPRKNYRASAESILGHTQVMKNGSAHENTVMLGNVHNSIKSDMDTAAMYKTLDHSPGPTNPAIPFEVQTQSRVFAAVGRGGVSTESLQESVSDAENMAYQLQSQLLHGQSCATECITPTNTLNGQEDVASDSQSVNISNTYSTQILNSLTQALRSSSGVDLAQTSITVQIDVGKQENGTTAVAPSSKDQVNQYLSNQLIIQDGVGSSVEDLNQAHKRQRR >Potri.014G151000.2.v4.1 pep chromosome:Pop_tri_v4:14:10470204:10473012:-1 gene:Potri.014G151000.v4.1 transcript:Potri.014G151000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151000.v4.1 MAYEINELKKIGLGLIGFGIFFTFLGALLFFDRGLLALGNIFWLSGVAILLGWRSTWKLFTNRENYKGSVCFLLGLFFIFVRWPVVGIIFEIYSCIALFGGFWPSVKVFLYQIPVVGWIIQYPITLLDYLRRGSA >Potri.004G219800.1.v4.1 pep chromosome:Pop_tri_v4:4:22539449:22540266:-1 gene:Potri.004G219800.v4.1 transcript:Potri.004G219800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219800.v4.1 MYQRLIFTKILSRTDVEHRMAIPMGSFDVFQIPQGEHSKQFDVIDMIKTGHSWSFRCSTRKKDFYPKPVLSSGWIEYIREKDLREGDQVSFFLVQKDGEEGLRLGVQAQKKLIRLWGKDCWTAPF >Potri.003G107600.1.v4.1 pep chromosome:Pop_tri_v4:3:13038759:13043745:1 gene:Potri.003G107600.v4.1 transcript:Potri.003G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107600.v4.1 MKLPFLFFLLAFFFYLFKPPLLVFSATTLPPPLQSLLSIKTFLKDPSNTFNDWNLSTTSGLIQEPVWCAWSGIKCNPATAQITSLDLSHRNLSGVIPAEIRYLTSLVHLNLSGNAFDGLLQPAIFELGDLRILDISHNNFNSTFPPGISKLKFLRVFNAYSNNFTGPLPKEFVWLRFLEELNLGGSYFTGEIPRSYGSFLRLKYLYLAGNELEGPLPPDLGFLSQLEHLELGYHPLLSGNVPEEFALLTNLKYLDISKCNLSGSLPPQLGNLTKLENLLLFMNQFTGEIPVSYTNLKALKALDLSVNQLSGAIPEGLSSLKELNRLSFLKNQLTGEIPPGIGELPYLDTLELWNNNLTGVLPQKLGSNGNLLWLDVSNNSLSGPIPPNLCQGNKLYKLILFSNKFLGKLPDSLANCTSLSRFRIQDNQLNGSIPYGLGLLPNLSYVDLSKNNFTGEIPDDLGNSEPLHFLNISGNSFHTALPNNIWSAPNLQIFSASSCKLVSKIPDFIGCSSLYRIELQDNMFNGSIPWDIGHCERLISLNLSRNSLTGIIPWEISTLPAIADVDLSHNLLTGSIPSNFGNCSTLESFNVSYNLLTGPIPASGTIFPNLHPSSFSGNQGLCGGVLPKPCAADTLGAGEMEVRHRQQPKRTAGAIVWIMAAAFGIGLFVLVAGTRCFHANYGRRFSDEREIGPWKLTAFQRLNFTANDVLECLSMSDKILGMGSTGTVYKAEMPGGEIIAVKKLWGKHKENIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLHDLLHGKNKGDNLVGDWLTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEIISGKRSVDAEFGDGNSIVDWVRSKIKAKDGVNDILDKDAGASIASVREEMMQMLRIALLCTSRNPADRPSMRDVVLMLQEAKPKRKLPGSIVSVGSGDHIVTVDGAIAQKPAVEC >Potri.001G466132.1.v4.1 pep chromosome:Pop_tri_v4:1:49123195:49123834:1 gene:Potri.001G466132.v4.1 transcript:Potri.001G466132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466132.v4.1 MEKSSFKLTFLVFALLIIASCSHVGEARSTVITLRCNKNTDCAGQRCWCIGKKCMCNTCFCQNHKCVCKVQSSLSDAIIGAQVEKPGH >Potri.014G000800.1.v4.1 pep chromosome:Pop_tri_v4:14:104430:113081:1 gene:Potri.014G000800.v4.1 transcript:Potri.014G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000800.v4.1 MSSNQHGNTTTTTTDLSARRSPTPQHGFSFFDSISYRWNSLFQNQKNKFHIEEEEEERSAFIKMTDGGPRYTVDDAILAMGFGKFQYLVLLYAGMGWVSESMEMMILSFVGPAVKSDWDLTSQQESLITSVVFAGMLVGAYSWGVVSDRCGRRKGFLVTAIITFGAGFLSAFSPNYITLLISRCLVGLGLGGGPVLLAWFLEFVPAPNRGAWMVIFSAFWTFGAIFEAALAWIIMPRLNWRWLLALSALPSFPLLLFYFMTPESPRYFCLEGQKIDALSVLNKIAKQNGKELPLGVLTTDNEIEAQGIKNLPTEGTEEVAIPSATPLNWKDSDMGVLKSLLMLLSPKLIRSTVLLWVVFFGNAFSYYGLVLLTTELNNRSNTCHHTKAQSQGSSDVDYKEVLIASFAEFPGLIVSALIVDRIGRKLSLAVLFFVSCIFLLPLVVHQSPSVTTVLLFGARICITGTFTIVFIYAPEIYPTSVRSTGIGVASSMGRIGGMICPLVAVSLVQGCHQTAALILFVCIIFVAGCCVMLFPFETKGLELTDSISSTKNEKPKAVRAVKQEEP >Potri.004G124301.1.v4.1 pep chromosome:Pop_tri_v4:4:12034677:12036084:1 gene:Potri.004G124301.v4.1 transcript:Potri.004G124301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124301.v4.1 MGLCQICGCCLLGGDPMIRDGRRSVTRDLVRFLEFIDGFAVKRHERSCNSARNVRVLGKSNNARILNAKNDYGGYGNLIENPRRNMRNGGDFESNGYCLESKWEFSFLCSSACKDGIKS >Potri.013G005900.4.v4.1 pep chromosome:Pop_tri_v4:13:389387:391098:-1 gene:Potri.013G005900.v4.1 transcript:Potri.013G005900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005900.v4.1 MAPHITTALANSTNSLVKQASLSSCAYVTFLAGDGDYWKGVVGLAKGLRKAKSKYPLVVAILPDVPEEHRMILVSQGCIVREIEPVHPPENQTRFAMPYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDMPDGYFYAVMDCFCEKTWSDSPQYKIGYCQQCPDKVQWPAEMGPKPPLYFNAGMFVYEPNLSTYHDLLETVKVTSPTLFAEQGCLQANSFGLQPCTGHVMAPS >Potri.003G103900.1.v4.1 pep chromosome:Pop_tri_v4:3:12771929:12774316:-1 gene:Potri.003G103900.v4.1 transcript:Potri.003G103900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103900.v4.1 MSQSTATKVSRLLLGQLGPRFLSNTTTFSRPATAGMSSTRFASYGVRGWSASAPPVMPGEEEKPKAAANSFTTEDGKAIVSYWGVTPPKITKEDGTAWKWNCFRPWESYKPDISIDVKKHHKPGTTMDKFAYWTVQVLKYPTYLFFQRRHMCHAMLLETVAAVPGMVGGMLLHCKSLRRFEQSGGWIKALLEEAENERMHLMTFVEIAKPQWYERALVFAVQGAFFNAYFLAYLASPKLAHRIVGYLEEEAVNSYSEFLEDLDNGNFENVPAPAIAIDYWRLPPNSTLRDVVFVIRADEAHHRDLNHYASDIQCQGQELKHTPAPIGYH >Potri.001G415400.1.v4.1 pep chromosome:Pop_tri_v4:1:44385684:44389014:1 gene:Potri.001G415400.v4.1 transcript:Potri.001G415400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415400.v4.1 MAAMAALQSSMATLSLSSSNSFFGQRLSLPSLSFPQVKSPEKPCLIVVKIKRWERKECKENSLPVLHKMHVKVGDTIQVIAGDDKGKIGEITKIFRHNSTVVVKEINLKTKHVKSREEGEPGQIIKIEAPIHSSNVMLYSKEKNIASRVGHKVLDDGKKVRYLIKTGEIIDNAEGWKKVKEESKKTEVAATTTS >Potri.017G067332.1.v4.1 pep chromosome:Pop_tri_v4:17:5571785:5576657:-1 gene:Potri.017G067332.v4.1 transcript:Potri.017G067332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067332.v4.1 MGSGANKVLLTSNGDEISQNIAFHLFKQGCRLVLMGNEKVLRSIGEKITGAVKGAFPVEAVEMDMEEEREASFDEAVDKACIILGNLDAFVHCYTYEGKTQEPLQVTESEFKKTVKINFMSPWFLLKAVGKKMQDYNSGGSIVFLTSIIGAERGLYQGAVAYGSCSAGIQQLVRHSAMEIGKYKIRVNAIARGLHLEDAYPVSEGRERAEKLVKVAVPMQRWLDVKKDIASTVIYLMSDGSRYMTGTTIFLDGGQSLARPRMRSYM >Potri.005G007300.1.v4.1 pep chromosome:Pop_tri_v4:5:524406:527567:-1 gene:Potri.005G007300.v4.1 transcript:Potri.005G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007300.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Potri.001G352000.2.v4.1 pep chromosome:Pop_tri_v4:1:36545370:36548547:1 gene:Potri.001G352000.v4.1 transcript:Potri.001G352000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352000.v4.1 MENQHDDNCCLFTPSIITVTASVVLIAITRVLYILCQSGKPLRPSKSPKPLSTLIVLGSGGHTAEMINVLNVLLQKDRFCPRFYVAAATDNMSLQKAYLLEENVFNLSGGKGGSTQFLQIYRSREVGQSYITSIGTTFLALVHALWLMIRIRPQVVLCNGPGTCVPLCVIAFLFKMVGVRWSSVFYVESIARVRKLSLSGLLLYKLRIADQFFVQWPQLQRKYPRAHYVGCLM >Potri.019G101500.1.v4.1 pep chromosome:Pop_tri_v4:19:13747911:13751398:1 gene:Potri.019G101500.v4.1 transcript:Potri.019G101500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101500.v4.1 MELSINISGQASNQRDENFRKWKAESFPAQIPPDDDPKKLMDKELYKYAEEDKFDELFGELRRVSSAELSSIIYTQVSPSGNSLLHVSASNGSKDVTELLLQHFPLLMTRKNFHKDTALHLAAGAGQLRTITVLINKAKGHGEASDFSSFLEMKNDRGNSALHDAVINRHHEVARFLVSESSKLLYTQNNERKSPLYLAVENSIDKQSDDKMFTILLDAIPDDVDLLNKLEGKSPVHAAVQGSKRKILEQIAKEKPGLLRRKDEKGGNPLHCAASMGYVSETQFLFDKYRDGAIQLNEEGNMPIHVASKKGHVCVVDAYISNWTEATEFLNSKRQNILHVAAESGRHLVVKYILRNNKLKELINEQDLDGNTPLHLASKNGRSIATFTLVRNSMVMKRIANGENLTPYDVAEKQSKIVGAEYSGEPIPNGKDDQVDQKSENYGPKPLTKDKSDHGVGNQVDQDEKSGGKGKLDYYGVMMTLSILHFFASPNKSKIEYFRIKSRPLPKEEIKGRIDCLLVVAVLIAGVTFAGILQLPRSADLPESGPSKITTTTTNSTQNQGISAQNEGILRNVYIYFDMVALNAAVMASIILCWAQLYDVKVAAHAVWLASILTGGAIYLMCLAFVFAVAINVGNSFAFIVVTLVVGGALFLVQTVLSAPLIIPPNANQIIERIASPYLYFVFFICYCAFEWLLFKFSKRSKKKGEQQ >Potri.001G371500.2.v4.1 pep chromosome:Pop_tri_v4:1:38905755:38908701:1 gene:Potri.001G371500.v4.1 transcript:Potri.001G371500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371500.v4.1 MVVFTATTASTFLPLSAPVKTRGLNNSQNQQTNSGPVRVSMQTQSSNAKGGHFKVAVSGSVTRLRPIERRINLNRKQSPSIIYAAAMNARCAASGQTQTLTNKVPGVTKAPQRETNKTLRLDDGGPGFPPHRGGGGGGGGGGGGGNFSGGFFLLGFLGFLGYLKDLENEHSRENRM >Potri.017G041300.1.v4.1 pep chromosome:Pop_tri_v4:17:2755199:2759755:1 gene:Potri.017G041300.v4.1 transcript:Potri.017G041300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041300.v4.1 MSKKKTASTMSLKDFHGGSIPSDLPLPSAPGLMMRDRNASTNWGNNSMRPDLRPRPKSSGAARGFDEKASFLSHPAPIGRNFDEDERKPLDALSAPRRTISDENVRAVHQPEYVSSIRVPDRPVSSPVPQSPSALSPLKSGRGSIVVSSQNAGGWGVSSNPPNAWGARRDVVSVNEVRGSAVLSASNTVTKFAQASALEKVSSGLWQSKNPGDLLPHLIYSQESGASHSVDVGRERGDYDTARGSQAESGWVTGDRNQGGGRTMSNYRRGQSRMHSEEVPTGGAVGSQTRSVMPLEASDRPKLNLLARTKPLERPENDYRQGHQQPIVSGKIEVAHELYGNENPSKPGLVEASQLAERSIERPKLNLKPRTQPLEQSDGILERERSTLFGSARPRELVLKERGVDDIAINNLDLNHSPNRMNSPKNETTSEHVAPTARQSQKNDNRGAIDRRNGRDSERKDQRMDHEKTDLERKNWRNDKWKSRKDAKEQRPEPETWRKPIEEPKPASSDSAGNRPGKIVSALELAQAFSKSASDPKIQNIPSSQRGMPGRNDQPFSRLTDTREHYPSPTTTPVTRHRINGY >Potri.009G106900.1.v4.1 pep chromosome:Pop_tri_v4:9:9312403:9316471:1 gene:Potri.009G106900.v4.1 transcript:Potri.009G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106900.v4.1 MDRSAMTVGPGMDLPIMHDGDRYELVKDIGSGNFGVARLMRDKQTDDLVAVKYIERGEKIDENVRREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYASGGELFERICIAGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDGSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLKKEYDGKIADVWSCGVTLYVMLVGAYPFEDPDEPMNFRNTIHRILNVQYSIPDYVHISPECQHLISRIFVADPAMRITIPEIRNHEWFLKNLPADLMVENTMNNEFEEPDQPMQSIEEIMQIISEATIPAAGTPSLNQYLTGSLDIDDDMEDLESDPELDLDSSGEIVYAM >Potri.013G109300.1.v4.1 pep chromosome:Pop_tri_v4:13:11764846:11766786:1 gene:Potri.013G109300.v4.1 transcript:Potri.013G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109300.v4.1 MGRSPCCEKEHTNKGAWTKEEDQRLMDYIRVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTDEEDEIIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIKRKLISRGIDPHTHRPLNERTTTATIKTTTSATTKATQLDFKNTPPQSLAEISLLKSQLDFKYNNNFHSSQYSSFNPKKTETTSVEENNCTSSSMTTDEEQQQQQQKRESHQDQDVNLDLTIGLALTQTSSANSAESRLQQPVSSCQIFGSVLTRPVCLCWQLDGERRELCRNCQNQNQNQSSKSNHSK >Potri.015G022500.5.v4.1 pep chromosome:Pop_tri_v4:15:1669134:1672446:1 gene:Potri.015G022500.v4.1 transcript:Potri.015G022500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022500.v4.1 MEVSAVEIKVLKNCKCGEFEKRIVELEWEIQKKSIEYHELEAKLKELGEEKNGLANEVNGLRAKIGEVKEVGGVVDLTAEEEEDKMVQLMIENKVLEYEKKSAAREIEVWKEKYKELELYALKLNGGVVLKGGKRGEDGADATCNTPGTPFNDIMRSHTVCGKPSVYLDSEGKCGGQVRKSLSFEEGKSPSKKIAPSTPGYVRRAAPNVINIGDSDDEFDTNGIQTFTSDGQGNGKVCISMDHPLERTPDSKNRKISEISLKGAVCNQIRKEYMDAVYDNVPHVSTPKRKRAANVIASDTESDVDDNVPISKLKRLHLQESIPHVVSMDSVPPKSDDVKGPVTRSRRRLATLRNEEGKVKASNSPSNTSKTNYRGIPTTDDVEDSESDDAGSDSEGGSLDGFIVSDDTYASDADDTSSESEEKPNDVNDAFGLSDDGSDDDTDFGMILSRFQRSKDHKFKWEFEGDMLSDFGKDPELCMKAVCALYRQQSDEEKLNKETLHGNGRGFSKFDAPRGSKLAEFLIDGDPSGDLKKSVLELQAYNSKGVTLCRKLATHYSKQLFQIYKNKEDPLFLPQDQASQ >Potri.014G139400.1.v4.1 pep chromosome:Pop_tri_v4:14:9483068:9485300:-1 gene:Potri.014G139400.v4.1 transcript:Potri.014G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139400.v4.1 MNSSTNNVTRTPSYWYSEQDYDDTYAPNNSSRNYQRMPTFSHFRRSASQARPEPNRGGSQDTWRPAVEERAITVPLGLAGFSMQPASPVQVLFLNTRLARELPGPSRQRNWQASSSTQADRSRSTQDEQNKALAQLKKETYNPIPKRMTTRLSLYYRDRAIDAVKDRARETEDDGKRCAICLEDFEPKESVMVTPCNHMFHEECIVPWAKSNGKCPVCRFVLCDRAGGSAAPAQNIESFAGNDVFEGELISVMRAMEEAFIRGNTSRW >Potri.013G162400.1.v4.1 pep chromosome:Pop_tri_v4:13:15571297:15571851:-1 gene:Potri.013G162400.v4.1 transcript:Potri.013G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ycf4 MSWRSEHIWIELIAGSRKISNFCWAIILFLGSLGFLLIGISSYLDRNLISLFPSQQILFFPQGIVMSFYGLAGLFISSYLWCTISWNVGSGYDRFDRKEGIVCIFRWGFPGKNRRILLRLFMKDIQSIRIEVKEGFYARRVLYMEIRGQGAIPLTRTDENLTPREIEQKAAELAYFLRVPIEVF >Potri.007G050100.3.v4.1 pep chromosome:Pop_tri_v4:7:4767508:4774450:1 gene:Potri.007G050100.v4.1 transcript:Potri.007G050100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050100.v4.1 MTSITSVELNYLVFRYLQESGFTHSAFVLGYEAGINKCTIDGNMVPPGALISFVQKGLQYLEMEANLSNSDADVDEDFSFLQPLDLITKDVNELRQIIKEKKKNLRKDGEKEKEKEKDKDKDKDKDKEFEREHERERARVREKERHEREKENEKDRERLEREKERDKQHEDNTDSRMITDTEDKHEENGISEVGPEPMDISTASTSQTCEIPSSDVMILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIAEGTSRSVAQNGPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSTDGELKTTLSKHKGPIFTLKWNKKGDYLLTGSCDKTAIVWDVRAEEWKQQFEFHSGPTLDVDWRNNVSFATSSTDNMIYVCKVGETRPIKTFAGHQGEVNCVKWDPTGSWLASCSDDISAKIWSMKQEKYVHDLREHSKEIYTIRWSPTGPGTNNPNQPLVLASASFDSTVKLWDVEFGKLLCSLNGHREPVYSVAFSPNGEYLASGSLDRCINIWSLREGKIVKTYAGNGGIFEVCWNKEGDKIAACFANNTVCVLDFRM >Potri.007G050100.5.v4.1 pep chromosome:Pop_tri_v4:7:4767506:4774450:1 gene:Potri.007G050100.v4.1 transcript:Potri.007G050100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050100.v4.1 MDISTASTSQTCEIPSSDVMILEGHTSEVCACAWSPTGSLLASGSGDSTARIWTIAEGTSRSVAQNGPLNVLVLKHVKGRTNEKSKDVTTLDWNGEGTLLATGSYDGQARIWSTDGELKTTLSKHKGPIFTLKWNKKGDYLLTGSCDKTAIVWDVRAEEWKQQFEFHSGPTLDVDWRNNVSFATSSTDNMIYVCKVGETRPIKTFAGHQGEVNCVKWDPTGSWLASCSDDISAKIWSMKQEKYVHDLREHSKEIYTIRWSPTGPGTNNPNQPLVLASASFDSTVKLWDVEFGKLLCSLNGHREPVYSVAFSPNGEYLASGSLDRCINIWSLREGKIVKTYAGNGGIFEVCWNKEGDKIAACFANNTVCVLDFRM >Potri.014G160200.1.v4.1 pep chromosome:Pop_tri_v4:14:11458668:11462981:-1 gene:Potri.014G160200.v4.1 transcript:Potri.014G160200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160200.v4.1 MGYMHVLSLFCMQIILLLVVVAETTAKVPAIIVFGDSSVDAGNNNAISTLLKSNFKPYGRDFEGGLPTGRFCNGRIPPDFISEAFGLKPAIPAYLDPLYSISDFATGVCFASAGTGYDNATSNVLNVIPLWKELEYYKDYQNKLRAYVGDRKANEIFSEALYLMSLGTNDFLENYYTIPTRRSQFTVRQYEDFLVGLARNFITELYHLGGRKISLSGVPPMGCLPLERTTNIMGHHDCLQEYNDVAMEFNGKLECLASQLKRELPGLRLLYTRTAYDTFDQIIRTPAAYGFQVTRRACCATGTFEMSYLCNEHSITCRDANKYVFWDSFHPTEKTNQIISQKLIPILLAEFQ >Potri.005G259000.12.v4.1 pep chromosome:Pop_tri_v4:5:24886666:24888090:1 gene:Potri.005G259000.v4.1 transcript:Potri.005G259000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259000.v4.1 MVSSFGQMGNHDMGPPWLIPMLRASYFIPCGVHGESNKSECNMFCLDCMGNAFCSYCLIYHKDHRVVQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDPDLTFAVKLKHNRDPFFGGSESDESSTPKKIRRTHAFNRLMEGLSIYSSNNDGAESSGDDAATNISPATPPIFNHRNARRRKGIPHRAPF >Potri.005G259000.10.v4.1 pep chromosome:Pop_tri_v4:5:24886701:24889204:1 gene:Potri.005G259000.v4.1 transcript:Potri.005G259000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259000.v4.1 MVSSFGQMGNHDMGPPWLIPMLRASYFIPCGVHGESNKSECNMFCLDCMGNAFCSYCLIYHKDHRVVQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDPDLTFAVKLKHNRDPFFGGSESDESSTPKKIRRTHAFNRLMEGLSIYSSNNDGAESSGDDAATNISPATPPIFNHRNARRRKGIPHRAPF >Potri.005G259000.11.v4.1 pep chromosome:Pop_tri_v4:5:24886693:24888542:1 gene:Potri.005G259000.v4.1 transcript:Potri.005G259000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259000.v4.1 MVSSFGQMGNHDMGPPWLIPMLRASYFIPCGVHGESNKSECNMFCLDCMGNAFCSYCLIYHKDHRVVQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDPDLTFAVKLKHNRDPFFGGSESDESSTPKKIRRTHAFNRLMEGLSIYSSNNDGAESSGDDAATNISPATPPIFNHRNARRRKGIPHRAPF >Potri.008G019400.6.v4.1 pep chromosome:Pop_tri_v4:8:977183:980376:1 gene:Potri.008G019400.v4.1 transcript:Potri.008G019400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019400.v4.1 MSSQRGLIYSFVAKGNVVLAEHTSYSGNFSTVAVQCLQKLPSNSSKYTYSCDGHTFNFLIDNGFVFLAVADESAGRGLPFVFLERVKDDFKQRYSASIKNEAHPLADDDDDDDLFEDRFSVAYNLDREFGCVVLFMLNFKVSVVTCCINF >Potri.008G019400.1.v4.1 pep chromosome:Pop_tri_v4:8:977187:980424:1 gene:Potri.008G019400.v4.1 transcript:Potri.008G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019400.v4.1 MSSQRGLIYSFVAKGNVVLAEHTSYSGNFSTVAVQCLQKLPSNSSKYTYSCDGHTFNFLIDNGFVFLAVADESAGRGLPFVFLERVKDDFKQRYSASIKNEAHPLADDDDDDDLFEDRFSVAYNLDREFGPRLKEHMQYCLNHPEEISKLSKLKAQITEVKGIMMDNIEKVLDRGERIELLVDKTENLQFQADSFQRQGRQLRRKMWLQNLQMKLMVGGGVLVVILILWFVACGGFKC >Potri.004G005801.1.v4.1 pep chromosome:Pop_tri_v4:4:354525:355063:-1 gene:Potri.004G005801.v4.1 transcript:Potri.004G005801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G005801.v4.1 MLLHRIWIYFIITITILGMIIISWEDLGRKGPGVLMLEAIQDLYLFNHRPIILIKSPLSSLWKKTFSRKVIFNLLREGEFVEEEAPWDVFLVEIIVTFLP >Potri.002G086550.1.v4.1 pep chromosome:Pop_tri_v4:2:6202475:6203781:-1 gene:Potri.002G086550.v4.1 transcript:Potri.002G086550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086550.v4.1 MAPPLIPEIIAPLHANIVYSQKKIKEEIENCRRGIEVAVKRTGNMYPPCAQPEPALEVEPHIDMSSLTILSQMKFQAFRHGWKDGNWIAVKYLLMHSWSIPNEQGIGASSLGMMYRLISPVI >Potri.017G120650.1.v4.1 pep chromosome:Pop_tri_v4:17:12658170:12663730:1 gene:Potri.017G120650.v4.1 transcript:Potri.017G120650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120650.v4.1 MLITNILSLHQSNLRELSNRFLVLDPPLSLP >Potri.006G040700.1.v4.1 pep chromosome:Pop_tri_v4:6:2722456:2733345:-1 gene:Potri.006G040700.v4.1 transcript:Potri.006G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040700.v4.1 MERIHVAVRARPLSAEDAKSTPWRISGSSIFIPNYSNKFEFDRVFGEACKTEEVYRSKTKEIVTAAVRGFNGTVFAYGQTNSGKTHTMRGTSNEPGVIPLAVHDLFHIIQRDVDREFLLRMSYMEIYNEDINDLLAPEHRKLQIHESTERGIYVAGLREEIVASPQQVLELMQFGESHRHIGETNMNLYSSRSHTIFRMIIESRDRTGDEDSSNSCDAVRVSVLNLVDLAGSERAAKTGAEGVRLKEGSHINKSLMTLGTVIKKLSEGAESQGGHVPYRDSKLTRILQPALGGNANTAIICNITLAQIHADETKSSLLFASRALRVTNCAHVNEILTDAALLKRQKKEIEELREKLRGSQSEHLGKEILNLRNTLLQSELERERIALELEEEKRAQVEREKVLQEQAKRIKNLSSMVLFSNRDESRDQHKRGKRRDTWCPGNLARETLQEVDPNIQPRASAIKPMKDRSDMGPLLPFQELVSEIEVGDDVNMQSEDCKNNASEDCTLPDPCSLLHVTNRRKAPPRKKGSTAEDHEWAEIQVEYEDLLQKLETQRTTSEIQIDCLRRQLGETNLIQCVKCSNCLTSDGNTSTNNLDKNVSLRESEAIIVIKQLQDKIKMLEMEKSSSQQNLDSVVELATEQSICARETFEELHEELQNAREETRIAHEQLNIIDVSLEIEEIMSEVKNSKEVVESCSSLLDDVFQSFSSISNAISDFKALICQSSHEQGLIISSHEKLYHCMKQKVDEVENEKLLLHKESTGLQKQIQELRHNTQNYEESLRALTEHQNFEKEEFLSQIQNLQKELSCLSSCFLAKEKDNLRKDLEKTKVKLKETESKLKNAVQEKTKLEGQKTFAEREVKRLHGQKTLLERDISKRDSLAGRRRDSMVDRSSKMFDPKKSKGLAASFEETMEEDYRKLEVLAFEMEATIASLEEEVTAAHKEKEEAISRNESLASELEALTEKLNISNAEVNVLQEDASRLRLRLEESTLDQQKLENSIRLLAEQKEELAMQLSDSLLEMEEEKAIWFSKEKASIEVIEEKGAEITAMTKAMSEARNELESCREECKVLTEKLACSEENAEREKKSSAEKSLEIDQLKNHLIRDDIESKQSQETLKSNLDTLSMELDCARGKVNTLEKEMIILSKERDDLFTQIRGLDTRLEPENDFQNLQNQLLSITSERDKWIRHCDDMLLESKVQVEELNGRISSMEAKMKNEEAMNNKERAKFRMRLRGTQAKSDAFHFRYKEAVNELAFMNRNYEVASKKLKNQLASYGIEILNLKKQIAALTGQRTDH >Potri.010G097200.1.v4.1 pep chromosome:Pop_tri_v4:10:12068252:12074960:-1 gene:Potri.010G097200.v4.1 transcript:Potri.010G097200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097200.v4.1 MEPLVSRYPFLFSLLYFTVVSLLCPVRSGDAEALLTLKSAIDPLNFLPWQHGTNVCKWQGVKECKNGRVTKLVVEYQNQSGTLDAKILNQLDQLRVLSFKGNSLSGQIPNLSGLVNLKSLFLDSNNFSGDFPDSITGLHRLKVIVLARNQISGPIPVSILNLSRLYALYLEDNNFTGSIPPLNQTSLRFFNVSNNKLSGQIPVTPPLIRFNTPSFIGNLNLCGVQIQNPCNNLNFGPSLSPTYPSSKPTSKRSKTIKIVAATAGGFVFLITCLLLVCCFCFKNGNKKEGPSMVEERNKGVVGVERGGEASGGVGGMDGNNGGRQGGFSWESEGLGSLVFLGAGDQQMSYSLEDLLKASAETLGRGTIGSTYKAVMESGFIVTVKRLKDARYPRLEEFRRHMDLLGRLRHPSLVPLRAYFQAKEERLIVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTMFQNPDSLEEPSATSLFYRAPEIRDVRKPSTQPADVYSFGVLLLELLTGKTPFQDLVQEHGPDIPRWVRSVREEETESGDDPASGNEAAEEKLQALVNIAMACVSLTPDNRPSMRDVFRMIRDARAEARVSSNSSDHSPGRWSDTVQSLPREEHLSI >Potri.010G097200.2.v4.1 pep chromosome:Pop_tri_v4:10:12068269:12074705:-1 gene:Potri.010G097200.v4.1 transcript:Potri.010G097200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097200.v4.1 MEPLVSRYPFLFSLLYFTVVSLLCPVRSGDAEALLTLKSAIDPLNFLPWQHGTNVCKWQGVKECKNGRVTKLVVEYQNQSGTLDAKILNQLDQLRVLSFKGNSLSGQIPNLSGLVNLKSLFLDSNNFSGDFPDSITGLHRLKVIVLARNQISGPIPVSILNLSRLYALYLEDNNFTGSIPPLNQTSLRFFNVSNNKLSGQIPVTPPLIRFNTPSFIGNLNLCGVQIQNPCNNLNFGPSLSPTYPSSKPTSKRSKTIKIVAATAGGFVFLITCLLLVCCFCFKNGNKKEGPSMVEERNKGVVGVERGGEASGGVGGMDGNNGGRQGGFSWESEGLGSLVFLGAGDQQMSYSLEDLLKASAETLGRGTIGSTYKAVMESGFIVTVKRLKDARYPRLEEFRRHMDLLGRLRHPSLVPLRAYFQAKEERLIVYDYFPNGSLFSLLHGTRTSGGGKPLHWTSCLKIAEDLATGLLYIHQNPGLTHGNLKSSNVLLGPEFESCLTDYGLTMFQNPDSLEEPSATSLFYRAPEIRDVRKPSTQPADVYSFGVLLLELLTGKTPFQDLVQEHGPDIPRWVRSVREEETESGDDPASGNEAAEEKLQALVNIAMACVSLTPDNRPSMRDVFRMIRDARAEARVSSNSSDHSPGRWSDTVQSLPREEHLSI >Potri.001G252300.1.v4.1 pep chromosome:Pop_tri_v4:1:26842646:26846753:1 gene:Potri.001G252300.v4.1 transcript:Potri.001G252300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252300.v4.1 MSRQSFKVCFCFRRIFKLRVLEPPEEVKILFDQYSQNGTMSLDNLRGFLVEFQGEYNATRDDAQAIFNSLKHLNIFSRRGLHLEAFFRYLLGDLNGPLSPSRVVHHDMTQPLAHYFLYTGHNSYLTGNQLSSDSSVEPIIKALRRGVRVIELDLWPGSKQDEVEVRHGGTLTNPVDLLKCLNAIKDNAFQASEYPVVITFEDHLPANLQDQVAEMVTKTFGDMLYRPETDQLREFPSPESLKKKVMISTKPPKEYLETPSSKSTKRSKISSKKEQWNGETASKSDSEICDKDEEDEGESLQEEDEQMTVPEYRHLISINAGKPKGALQNWLSIDEKKVRRLSLSEQELENATRRHGADIIRFTQRNLLRVYPKGTRITSSNYNPFVGWAHGAQMVAFNMQGYGKHLWVMQGMFKANGGCGYVKKPDFLLSNEVFDPSVRLPVKKILKVTIYMGEGWDLDFRRTHFDMYSPPDFFVKVGIAGVPADKAMYKTKAIEDDWQPVWNEKFEFKLTVPELAVLRITVLEYDTSGQHDFGGQTCLPISELRTGIRAIPLHDRRGDKYKNTKLLVQFELN >Potri.002G123500.5.v4.1 pep chromosome:Pop_tri_v4:2:9347790:9359025:-1 gene:Potri.002G123500.v4.1 transcript:Potri.002G123500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123500.v4.1 MEAPSSPSSSPSPSPSPSPASNGGENCCVKVALHIRPLIADERAQGCKDCVTVVSGKPQVQIGTHAFTFDHVYGSSGTPSSAMFGECIGPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQMGIIPQVMNVLFSKIETLKHQIEFQLHVSFIEILKEEVRDLLDPTTLNKSDTANGHGGKVNLPGKPPIQIRETSNGVITLAGSTEVSVSSFKEMATCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVFPGDSNPNDSMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDDKRRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLEYLQAELFARGGCSSDEVQVLKERIAWLEAANEDLCRELHDYRSRCTAVEQRETDAQDGSICSVKTDGLKRSLHSIESPDYQMGETISGDSRDIDEEVAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGVDTAALKQHFGKKIMELEDEKRAVQQERDRLLAEIENLSAGSDGQKLQDIHAQKLKTLEAQILDLKKKEENQVQLLKQKQKSDEAAKRLQDEIQSMKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQAINQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAISNGNGANGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELVVLKQVDEFASKGLSPPRGKNGFARASSMSPNARTARISSLENMLSITSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKEMEMKEMKEQFKELVGLLQQSEAQRKEFEKELKLREHALAVALATAASAGQEQRNSHNSLKHSNDDMSGPLSPVSVPAQKQLKYTPGIANGSVRETAAFIDQTRKMVPLGQLSMRKLAVVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETVMRAKPRLQVLPRKV >Potri.002G123500.4.v4.1 pep chromosome:Pop_tri_v4:2:9347752:9359476:-1 gene:Potri.002G123500.v4.1 transcript:Potri.002G123500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123500.v4.1 MEAPSSPSSSPSPSPSPSPASNGGENCCVKVALHIRPLIADERAQGCKDCVTVVSGKPQVQIGTHAFTFDHVYGSSGTPSSAMFGECIGPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQMGIIPQVMNVLFSKIETLKHQIEFQLHVSFIEILKEEVRDLLDPTTLNKSDTANGHGGKVNLPGKPPIQIRETSNGVITLAGSTEVSVSSFKEMATCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVFPGDSNPNDSMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDDKRRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLEYLQAELFARGGCSSDEVQVLKERIAWLEAANEDLCRELHDYRSRCTAVEQRETDAQDGSICSVKTDGLKRSLHSIESPDYQMGETISGDSRDIDEEVAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGVDTAALKQHFGKKIMELEDEKRAVQQERDRLLAEIENLSAGSDGQKLQDIHAQKLKTLEAQILDLKKKEENQVQLLKQKQKSDEAAKRLQDEIQSMKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQAINQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAISNGNGANGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELVVLKQVDEFASKGLSPPRGKNGFARASSMSPNARTARISSLENMLSITSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKEMEMKEMKEQFKELVGLLQQSEAQRKEFEKELKLREHALAVALATAASAGQEQRNSHNSLKHSNDDMSGPLSPVSVPAQKQLKYTPGIANGSVRETAAFIDQTRKMVPLGQLSMRKLAVVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETVMRAKPRLQVLPRKV >Potri.002G123500.3.v4.1 pep chromosome:Pop_tri_v4:2:9347647:9358964:-1 gene:Potri.002G123500.v4.1 transcript:Potri.002G123500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123500.v4.1 MEAPSSPSSSPSPSPSPSPASNGGENCCVKVALHIRPLIADERAQGCKDCVTVVSGKPQVQIGTHAFTFDHVYGSSGTPSSAMFGECIGPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGCQMGIIPQVMNVLFSKIETLKHQIEFQLHVSFIEILKEEVRDLLDPTTLNKSDTANGHGGKVNLPGKPPIQIRETSNGVITLAGSTEVSVSSFKEMATCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVFPGDSNPNDSMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDDKRRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLEYLQAELFARGGCSSDEVQVLKERIAWLEAANEDLCRELHDYRSRCTAVEQRETDAQDGSICSVKTDGLKRSLHSIESPDYQMGETISGDSRDIDEEVAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGVDTAALKQHFGKKIMELEDEKRAVQQERDRLLAEIENLSAGSDGQKLQDIHAQKLKTLEAQILDLKKKEENQVQLLKQKQKSDEAAKRLQDEIQSMKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQAINQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAISNGNGANGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELVVLKQVDEFASKGLSPPRGKNGFARASSMSPNARTARISSLENMLSITSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKEMEMKEMKEQFKELVGLLQQSEAQRKEFEKELKLREHALAVALATAASAGQEQRNSHNSLKHSNDDMSGPLSPVSVPAQKQLKYTPGIANGSVRETAAFIDQTRKMVPLGQLSMRKLAVVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETVMRAKPRLQVLPRKV >Potri.002G123500.6.v4.1 pep chromosome:Pop_tri_v4:2:9347648:9356506:-1 gene:Potri.002G123500.v4.1 transcript:Potri.002G123500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123500.v4.1 MATCLEQGSLSRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVFPGDSNPNDSMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDDKRRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLEYLQAELFARGGCSSDEVQVLKERIAWLEAANEDLCRELHDYRSRCTAVEQRETDAQDGSICSVKTDGLKRSLHSIESPDYQMGETISGDSRDIDEEVAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGVDTAALKQHFGKKIMELEDEKRAVQQERDRLLAEIENLSAGSDGQKLQDIHAQKLKTLEAQILDLKKKEENQVQLLKQKQKSDEAAKRLQDEIQSMKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQAINQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDSSAISNGNGANGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELVVLKQVDEFASKGLSPPRGKNGFARASSMSPNARTARISSLENMLSITSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKEMEMKEMKEQFKELVGLLQQSEAQRKEFEKELKLREHALAVALATAASAGQEQRNSHNSLKHSNDDMSGPLSPVSVPAQKQLKYTPGIANGSVRETAAFIDQTRKMVPLGQLSMRKLAVVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDETVMRAKPRLQVLPRKV >Potri.006G083400.1.v4.1 pep chromosome:Pop_tri_v4:6:6202168:6205098:-1 gene:Potri.006G083400.v4.1 transcript:Potri.006G083400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083400.v4.1 MDGVLGSETESHVIMDGTVNIFHGERDGVLAGSTAKPEKLIIDTDPGIDDTMAILMAFQSPELEVLGLTTIFGNVSTEDATRNALLLCEIAGRPDVPVAEGSPEPLKGGIPTVPDFIHGSDGLGNTFLSPPKAKKIGKSASEFLLDKVSEYPGEVSILALGPLTNLALAIKRDSSFASKVKRIVVLGGAFFALGNVNPAAEANIHGDPEAADLVFTSGANIVVVGINITTQVKFTDGDLLELRQSKGKYAKILSDMCKFYRDWHVKSDGVYGIFLHDPVSFVALVRPDLFTYKNGVVRVETQGICAGHTLMDQGLKRWNSSNPWSGFSPVAVAWTVTVDEVLNYVRQLLMK >Potri.014G112266.2.v4.1 pep chromosome:Pop_tri_v4:14:7563666:7572282:-1 gene:Potri.014G112266.v4.1 transcript:Potri.014G112266.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112266.v4.1 MAAPPLVKHSTSISSFPSNSLLLPHQDFYKKTNPCSSFFGENSLNMLNYGAKTSRLVSNTRRKMCAVASLGGLLGGIFKGTDTGESTRKQYAPTVSLINQLEAEISALSDSQLRDKTAALKERAQLGESLDSLLPEAFAVVREASKRVIGLRPFDVQLIGGMVLHKGEIAEMRTGEGKTLVAILPAYLNALSGKGVHIVTVNDYLARRDCEWVGQVPRFLGLKVGLIQQNMTSEQRRENYMCDITYVTNSELGFDYLRDNLAMTVEELVLRDFNYCVIDEVDSILIDEARTPLIISGPAEKPSDRYYKAAKIATAFERDIHYTVDEKQKTVLLTEQGYGDTEEILDVKDLYDPREQWASYILNAIKAKELFLRDVNYIIRGKEVLIVDEFTGRVMQGRRWSDGLHQAVEAKEGLPIQNETLTLASISYQNFFLQFPKLCGMTGTAATESTEFESIYKLKVTIVPTNKPMMRKDESDVVFRATSGKWRAVVVEISRMNKTGRPVLVGTTSVEQSDALAGQLLEAGIPHEVLNAKPENVEREAEIVAQSGRVGAVTIATNMAGRGTDIILGGNAEFMARLKLREMLMPRVVRPAEGVFVSVKKSLPQKTWKVNESLFPCKLSNENTKLAEEAVQLAVGSWGQRSLTELEAEERLSYSCEKGPAQDEVIAKLRSAFLEIVKEFKEYTEEERKKVVSAGGLHVVGTERHESRRIDNQLRGRSGRQGDPGSSRFFLSLEDNLFRIFGGDRIQGLMRAFRVEDLPIESNMLTKSLDEAQRKVENYFFDIRKQLFEYDEVLNSQRDRVYTERRRALESDNLQSLIIEYAELTMDDILEANIGSDALVGSWDLEKLIAKVQQYCYLLNDLTPDLLRSKCSSYEDLQDYLRLRGREAYLQKRDIVEKEAPSLMKEAERFLILSNIDRLWKEHLQAIKFVQQAVGLRGYAQRDPLIEYKLEGYNLFLEMMAQIRRNVIYSIYQFQPVMVKKDQEQSQNDKSTKVVRNGRGGKKKPNPVGTTEPSSAASPQASA >Potri.006G165900.1.v4.1 pep chromosome:Pop_tri_v4:6:16291237:16297494:-1 gene:Potri.006G165900.v4.1 transcript:Potri.006G165900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165900.v4.1 MAKAFSLEEIKNENVDLEKIPVEEVFEQLKCTKEGLTSEEGANRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGITCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEEDAAILVPGDIISVKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKHPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSIDKNLIEVFAKGVDKDYVILLAARASRVENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDAAGNWHRASKGAPEQILALCNCREDVKKKVHSCIDKFAERGLRSLAVARQQVPEKSKESPGGPWEFVGLLNLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIATLPVEELIERADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWAVHDTDFFSEKFGVRSLRKNDEEMMGALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVSAFMIAQLVATVIAVYANWGFARIKGIGWGWAGVIWIYSIVFYFPLDIMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETAGVFNEKSGYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Potri.006G165900.3.v4.1 pep chromosome:Pop_tri_v4:6:16291128:16295148:-1 gene:Potri.006G165900.v4.1 transcript:Potri.006G165900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165900.v4.1 MVIEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSIDKNLIEVFAKGVDKDYVILLAARASRVENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDAAGNWHRASKGAPEQILALCNCREDVKKKVHSCIDKFAERGLRSLAVARQQVPEKSKESPGGPWEFVGLLNLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIATLPVEELIERADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWAVHDTDFFSEKFGVRSLRKNDEEMMGALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVSAFMIAQLVATVIAVYANWGFARIKGIGWGWAGVIWIYSIVFYFPLDIMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETAGVFNEKSGYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Potri.006G165900.2.v4.1 pep chromosome:Pop_tri_v4:6:16291130:16297299:-1 gene:Potri.006G165900.v4.1 transcript:Potri.006G165900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165900.v4.1 MIPVEEVFEQLKCTKEGLTSEEGANRLQIFGPNKLEEKKESKFLKFLGFMWNPLSWVMEAAAIMAIALANGDGRPPDWQDFVGITCLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGKWTEEDAAILVPGDIISVKLGDIIPADARLLEGDPLKVDQSALTGESLPVTKHPGDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGMVIEIIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHKLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLSIDKNLIEVFAKGVDKDYVILLAARASRVENQDAIDAAMVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDAAGNWHRASKGAPEQILALCNCREDVKKKVHSCIDKFAERGLRSLAVARQQVPEKSKESPGGPWEFVGLLNLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSASLLGQDKDASIATLPVEELIERADGFAGVFPEHKYEIVKKLQERKHIVGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMLIALIWKYDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGIVLGGYLALMTVIFFWAVHDTDFFSEKFGVRSLRKNDEEMMGALYLQVSIVSQALIFVTRSRSWSFIERPGLLLVSAFMIAQLVATVIAVYANWGFARIKGIGWGWAGVIWIYSIVFYFPLDIMKFAIRYILSGKAWLNLLENKTAFTTKKDYGKEEREAQWALAQRTLHGLQPPETAGVFNEKSGYRELSEIAEQAKRRAEVARLRELHTLKGHVESVVKLKGLDIDTIQQHYTV >Potri.016G063900.1.v4.1 pep chromosome:Pop_tri_v4:16:4470084:4472315:1 gene:Potri.016G063900.v4.1 transcript:Potri.016G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063900.v4.1 MDSFPTVDKCASIGREKHTVVADMDGTLLRGRSSFPYFALLAFEAGGIFRLIFLLLASPLAGLLYYFVSESAGIKVLIFATCAGMKVSDIESVARAVLPKFYSSDLHSESWRVFSSCGKRCVLTANPRIMVEAFLKDFLGADLVLGTEMSTYKGRATGFVLSPGVLVGKNKADALKKAFGEAQPEIGLGDRHTDAPFMALCKDGYIVPPKPDVKAVTTDKLPKPIIFHDGRLVQKPTPLSALLTILWIPIGFILACLRIAAGSLLPMPMVYYAFWALGVRVIIKGTPPPPAKKSIGQSGVLFICSHRTLLDPIFLSTALGRPIPAVTYSVSRLSEIISPIKTVRLSRDRATDASMIKKLLEEGDLAICPEGTTCREPFLLRFSALFAELTDQLVPVAVVNRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNRLPQELTCTGGKSSHEVANYIQRVIAATLSYECTSFTRRDKYRALAGNDGTVVEKTKLQANKVMGC >Potri.019G116100.1.v4.1 pep chromosome:Pop_tri_v4:19:14325951:14327057:1 gene:Potri.019G116100.v4.1 transcript:Potri.019G116100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116100.v4.1 MENMTSVAQGFTKSLAMTVVSEIGDKTFFAAAILAMRHPRRLVLSGCLAALIVMTILSAAVGWAAPNLISRAWTHHITTILFFGFGLWSLWDGFNDKGEAEELAEVEAKLDADWKANTGTAKAGSKDSDELKKQRRTFLSQFFSPILLKAFSITFFGEWGDKSQIATIGLAADENPFGVVIGGIVGQALCTTAAVIGGKSLASQISERVAALSGGVLFIVFGIQSFLSTVE >Potri.018G098200.1.v4.1 pep chromosome:Pop_tri_v4:18:11887121:11888223:1 gene:Potri.018G098200.v4.1 transcript:Potri.018G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098200.v4.1 MATATRVLFFMGLVISLVSVASAISGTATYYNVYVPSACYGYQDQGVMIAAASDGLWDNGAACGRMYKVTCQGPTNAGVPQPCKDGSVTVKIVDRCPSPGCQATIDLSQEAFSQIADLNAGKINIDYTQV >Potri.008G046800.9.v4.1 pep chromosome:Pop_tri_v4:8:2715474:2718317:-1 gene:Potri.008G046800.v4.1 transcript:Potri.008G046800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046800.v4.1 MATLLPMNYSNGSAPLTPSKHLSLRFSVHLSSKKTRRWLDADAVKLPRYHSLQLQPLSKSKTGPISATMATGSGKEVLPPVLTSNSEPPPVFDGTTRLYISYTCPYAQRVWITRNCKGLQDKIKLVPIDLQDRPAWYKEKVYPPNKVPSLEHNNEVKGESLDLIKYIDSHFDGPSLFPDDPAKKEFAEDLFSYTGSFSKANNSTFKGEADEAGAAFDYIETALSKFDDGPFFLGQFSLVDIAYAPFIERFQPALLEFKKYDITAGRPKLAAWIEEMNKIEAYNQTRREPKQHVETYKKRFAAHL >Potri.016G067600.1.v4.1 pep chromosome:Pop_tri_v4:16:4775212:4779181:1 gene:Potri.016G067600.v4.1 transcript:Potri.016G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067600.v4.1 MVVKMMRWRPWPPLISKKYEVRLVVRRIEGWDRVREALAAAPGTSSGGDLKDKSEKLTVEIRWKGPKLALSSLRRTVVKRDFTKEVEVYGGGGEGENGGVLVEWDEEFESLCTLSAHKENVFHPWEISFTVFNGVNQGPKNKVPGVGTATVNLAEFASAAEQKEFELRLPLMVSAGVAEPRPLLCVSLSLLELRTAHETSESVQRAIVPIPSSPQSGEAVSTEKDELSAIKAGLRKVKIFTGYVSTRRAKKACREEEGSEGRCSVRSEDGEDNYNYPFDCESLDDLEEGELDEVKEDSTVRKSFSYGTLAFANYAGGSFYPSARINAEDEDWFYYSNRKSDVGCSHSDDYTPSVSEPSLLQNSKRSILSWRKRKLSFRSPKAKGEPLLKKAYGEEGGDDIDFDRRQLSSDESLALGWHKAEEDAYANRSSVSEFGDDNFAIGSWERKEVISRDGQMKLQTEVFFASIDQRSEQAAGESACTALVAIIADWFQNNHGLMPIKSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLQAKIRSIAVVPGKSFIGFFHPDGMDEGRFDFLQGAMSFDNIWDEISCTGLECPSDGEPQVYIVSWNDHFFILKVEPEAYYIIDTLGERLYEGCNQAYILKFDSNTIIHKLPNAVESSDEKTMGDQQNVPAVSEPKDQHQVNLKEEAASTLGALVTKNEEPITSEEPLKSEEEGEVMCQGKDSCKAYIKSFLAAIPIRELQADIKKGLMTSKPLHHRLQIEFHYTQYWQPLTETHATEMLIALPHSVNASISEAAV >Potri.003G010631.1.v4.1 pep chromosome:Pop_tri_v4:3:1184614:1185222:1 gene:Potri.003G010631.v4.1 transcript:Potri.003G010631.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010631.v4.1 SSLWLLSLSAKQTGPLCTQTFFSSPSAKPAITIPPIFTHLQSQNQRAQDTPSASQTNTHTRPRPLPFHFSLKPAPSSIPFSSSRTLSKPQQPSATPGETHTCSSSSHSDPAPASTTTAAVSPHHSGAVSRSSPSGDSSNPQPGLSQPTPHFPPGQRTDRSPSTPALQGHTAASHLVVSFNQRPQTSSSIVQ >Potri.006G023700.1.v4.1 pep chromosome:Pop_tri_v4:6:1608124:1609898:1 gene:Potri.006G023700.v4.1 transcript:Potri.006G023700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023700.v4.1 MGTKPAGRPHAVVIPCPFQSHIKANLKLAKLLHHRGIFITFVNTEFNHKRFLKSRGSDAFDASSDFCFETIPDGLPPSETDASQDRISLGKAVLTNFLTPFLDLIAKLNSNLSSRTPPVTCIVSDGFMPFAIKAAEELGVPVVVSFTLSACGVMACKQVRALMEKGLIPLKDESYLDTTIDWIPGMKDIRLKDFPSAQRIDQDEFEVNFTIECLESTVKAPAIVVHTFDALEPDVLDGLSSIFHRVYAIGPYQLLLNQIQEDSSESVGYNLWKEESECLQWLDTKEPNSVVYVNFGSLIVITAEQLVEFAMGLADSKHPFLWIIRPDLVVGDAATLPAEFAAETQNRSFIASWCPQEEVLNHPSVGGFLTHSGWNSTTESLSAGVPMICWPFFGDQQMNCRYSCNEWGVGMEIDNNVRREEVEKLVRELMEGEKGKKMREKAMDWKRLAEEATEPTGSSSINLEKLVSELLLSN >Potri.006G100300.3.v4.1 pep chromosome:Pop_tri_v4:6:7676509:7679670:-1 gene:Potri.006G100300.v4.1 transcript:Potri.006G100300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100300.v4.1 MVGPERPQFVLFGSSIVQFSYSNEGWGALLAHIYARKADIILRGYSGWNSRRAVQILDQVFPKDAAKQPSLVIVYFGGNDSTHPHATGLGPHVPLSEYIENVRKIAIHLKSLSEKTRVIFLTAPPVSDEQIRAHLGDLLDMVRTNESCRIYSEACLEVCREMNLKAIDLWTATQQIDNWETVCLTDGVHFAPEGSKIVVKEILKVIKEANWEPSLHWKAMPTEFSEDSPYDPISPEGKTVNVSELDLLGSFQWE >Potri.006G100300.6.v4.1 pep chromosome:Pop_tri_v4:6:7676671:7677167:-1 gene:Potri.006G100300.v4.1 transcript:Potri.006G100300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100300.v4.1 MVRTNESCRIYSEACLEVCREMNLKAIDLWTATQQIDNWETVCLTDGVHFAPEGSKIVVKEILKVIKEANWEPSLHWKAMPTEFSEDSPYDPISPEGKTVNVSELDLLGSFQWE >Potri.016G125700.1.v4.1 pep chromosome:Pop_tri_v4:16:12924781:12927350:-1 gene:Potri.016G125700.v4.1 transcript:Potri.016G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G125700.v4.1 MVDEKKVVLSGCIKTSRGPWRVHRATKDGRIVTKFRYPSDRERQTNQQRERRRRAVAKKIFEGLRKHGNYKLPKHADSNDLLKALCEEAGWLVEEDGTICRMVLHNPYHEANVASSYDASPEDHNYCTCNNHLDSEYGAFPLSTSSPIQECHGGNDVNLILSL >Potri.004G210200.5.v4.1 pep chromosome:Pop_tri_v4:4:21664560:21676237:-1 gene:Potri.004G210200.v4.1 transcript:Potri.004G210200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210200.v4.1 MDLVASCKDKLAFFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKLWAKKSAIGKEEVAKLVDDTYRKMQVSGATDLASRGQVASDCSNSKFNGEMDDPSHSDTKVRCPCGSSLETESMIKCEDFKCHVWQHIGCVIIPEKPMEGIPQVPDVFYCEICRLSRADPFWVTVAHPLSPVKLVATNVPADGSRPVQGVEKTFQLTRADKDLLAKQEYDVQAWCMLLNDKVPFRMQWPQDTDLQVNGLAVRAINRPGSQLLGANGRDDGPIVTPFVKDGINKILLSGCDARIFCLGVRIVKRRTVQQILNLIPKDSEGERFEDALARVCRCVGGGTATDNADSDSDLEVVADSFGVNLRCPMSGSRMKVAGRFKPCAHLGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMTHCSEDITEIEVKPDGSWRVKTKTEAERRDVGGLAQWHNPDSTPCFPDGGEIKPKVEIVKQIRQEGISEGNAGTGLKLGIRKNRNGIWEVSKPEDMNTFSSGRLQENFEHHEQKVIPMSSSATGSGRDGEDQSVNQDAGGNYDFTNNGMELDSLSLNVYTTYGFTDQNLPVPLGNAEVIVLSDSDDDNDILISPGSVYKSNQNDGDATFSVPSPGIADPFPEDPTLVTGANSCLGLFNANDEYGMPLWSLPSGNQAGPGFQLFNSDVSDALVDLPHGSVNCPLSMNGYTLAPETVMGSTCLIPDSSLGRSEMDVNDGLLDNPLAFGGEDPSLQIFLPTGPSDASMHSDMRDQVDVSNGVRSEDWISLRLGGSATSNHGDLVPPTNGLNSRQQMPSSLDSLPGTASSLGINDGRSEKASRQRSESTFSFPRQKRSVRPRPYLSIDSDSE >Potri.004G210200.13.v4.1 pep chromosome:Pop_tri_v4:4:21664718:21676341:-1 gene:Potri.004G210200.v4.1 transcript:Potri.004G210200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210200.v4.1 MDLVASCKDKLAFFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKLWAKKSAIGKEEVAKLVDDTYRKMQVSGATDLASRGQVASDCSNSKFNGEMDDPSHSDTKVRCPCGSSLETESMIKCEDFKCHVWQHIGCVIIPEKPMEGIPQVPDVFYCEICRLSRADPFWVTVAHPLSPVKLVATNVPADGSRPVQGVEKTFQLTRADKDLLAKQEYDVQAWCMLLNDKVPFRMQWPQDTDLQVNGLAVRAINRPGSQLLGANGRDDGPIVTPFVKDGINKILLSGCDARIFCLGVRIVKRRTVQQILNLIPKDSEGERFEDALARVCRCVGGGTATDNADSDSDLEVVADSFGVNLRCPMSGSRMKVAGRFKPCAHLGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMTHCSEDITEIEVKPDGSWRVKTKTEAERRDVGGLAQWHNPDSTPCFPDGGEIKPKVEIVKQIRQEGISEGNAGTGLKLGIRKNRNGIWEVSKPEDMNTFSSGRLQENFEHHEQKVIPMSSSATGSGRDGEDQSVNQDAGGNYDFTNNGMELDSLSLNVYTTYGFTDQNLPVPLGNAEVIVLSDSDDDNDILISPGSVYKSNQNDGDATFSVPSPGIADPFPEDPTLVTGANSCLGLFNANDEYGMPLWSLPSGNQAGPGFQLFNSDVSDALVDLPHGSVNCPLSMNGYTLAPETVMGSTCLIPDSSLGRSEMDVNDGLLDNPLAFGGEDPSLQIFLPTGPSDASMHSDMRDQVDVSNGVRSEDWISLRLGGSATSNHGDLVPPTNGLNSRQQMPSSLDSLPGTASSLGINDGRSEKASRQRSESTFSFPRQKRSVRPRPYLSIDSDSE >Potri.004G210200.14.v4.1 pep chromosome:Pop_tri_v4:4:21664724:21676324:-1 gene:Potri.004G210200.v4.1 transcript:Potri.004G210200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210200.v4.1 MDLVASCKDKLAFFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKLWAKKSAIGKEEVAKLVDDTYRKMQVSGATDLASRGQVASDCSNSKFNGEMDDPSHSDTKVRCPCGSSLETESMIKCEDFKCHVWQHIGCVIIPEKPMEGIPQVPDVFYCEICRLSRADPFWVTVAHPLSPVKLVATNVPADGSRPVQGVEKTFQLTRADKDLLAKQEYDVQAWCMLLNDKVPFRMQWPQDTDLQVNGLAVRAINRPGSQLLGANGRDDGPIVTPFVKDGINKILLSGCDARIFCLGVRIVKRRTVQQILNLIPKDSEGERFEDALARVCRCVGGGTATDNADSDSDLEVVADSFGVNLRCPMSGSRMKVAGRFKPCAHLGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMTHCSEDITEIEVKPDGSWRVKTKTEAERRDVGGLAQWHNPDSTPCFPDGGEIKPKVEIVKQIRQEGISEGNAGTGLKLGIRKNRNGIWEVSKPEDMNTFSSGRLQENFEHHEQKVIPMSSSATGSGRDGEDQSVNQDAGGNYDFTNNGMELDSLSLNVYTTYGFTDQNLPVPLGNAEVIVLSDSDDDNDILISPGSVYKSNQNDGDATFSVPSPGIADPFPEDPTLVTGANSCLGLFNANDEYGMPLWSLPSGNQAGPGFQLFNSDVSDALVDLPHGSVNCPLSMNGYTLAPETVMGSTCLIPDSSLGRSEMDVNDGLLDNPLAFGGEDPSLQIFLPTGPSDASMHSDMRDQVDVSNGVRSEDWISLRLGGSATSNHGDLVPPTNGLNSRQQMPSSLDSLPGTASSLGINDGRSEKASRQRSESTFSFPRQKRSVRPRPYLSIDSDSE >Potri.004G210200.15.v4.1 pep chromosome:Pop_tri_v4:4:21664717:21676211:-1 gene:Potri.004G210200.v4.1 transcript:Potri.004G210200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210200.v4.1 MDLVASCKDKLAFFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKLWAKKSAIGKEEVAKLVDDTYRKMQVSGATDLASRGQVASDCSNSKFNGEMDDPSHSDTKVRCPCGSSLETESMIKCEDFKCHVWQHIGCVIIPEKPMEGIPQVPDVFYCEICRLSRADPFWVTVAHPLSPVKLVATNVPADGSRPVQGVEKTFQLTRADKDLLAKQEYDVQAWCMLLNDKVPFRMQWPQDTDLQVNGLAVRAINRPGSQLLGANGRDDGPIVTPFVKDGINKILLSGCDARIFCLGVRIVKRRTVQQILNLIPKDSEGERFEDALARVCRCVGGGTATDNADSDSDLEVVADSFGVNLRCPMSGSRMKVAGRFKPCAHLGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMTHCSEDITEIEVKPDGSWRVKTKTEAERRDVGGLAQWHNPDSTPCFPDGGEIKPKVEIVKQIRQEGISEGNAGTGLKLGIRKNRNGIWEVSKPEDMNTFSSGRLQENFEHHEQKVIPMSSSATGSGRDGEDQSVNQDAGGNYDFTNNGMELDSLSLNVYTTYGFTDQNLPVPLGNAEVIVLSDSDDDNDILISPGSVYKSNQNDGDATFSVPSPGIADPFPEDPTLVTGANSCLGLFNANDEYGMPLWSLPSGNQAGPGFQLFNSDVSDALVDLPHGSVNCPLSMNGYTLAPETVMGSTCLIPDSSLGRSEMDVNDGLLDNPLAFGGEDPSLQIFLPTGPSDASMHSDMRDQVDVSNGVRSEDWISLRLGGSATSNHGDLVPPTNGLNSRQQMPSSLDSLPGTASSLGINDGRSEKASRQRSESTFSFPRQKRSVRPRPYLSIDSDSE >Potri.013G113100.2.v4.1 pep chromosome:Pop_tri_v4:13:12168476:12172288:-1 gene:Potri.013G113100.v4.1 transcript:Potri.013G113100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PtVNS07 MESCVPPGFRFHPTEEELVGYYLKRKIHSLKIDLDVIVDIDLYNMEPWDIQAKCKLGYDEQNEWYFFSHKDRKYPTGTRTNRATAAGFWKATGRDKAVLTKNRLIGMRKTLVFYKGRAPNGRKTDWIMHEYRLQTSEHGPQQEEGWVVCRAFKKPIPNQRQGFEAWNHAYYVNNINHARPPSFSDTVTTTHTVHPNQSASFHQPFSSSSDLISHQEFLDNNNRLVELPQLDSPSTLSTSFAPKEGNFHQTNEDYDDERSNNSSQYIDWKSLDTLLASQVNDSSTSSFPLQNFPSITQNYDLAAGQQDHVSHFLDCFPDI >Potri.003G015100.1.v4.1 pep chromosome:Pop_tri_v4:3:1682127:1684876:-1 gene:Potri.003G015100.v4.1 transcript:Potri.003G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015100.v4.1 MALRLLRLRRTLTPLSSTLQRPFSIPVPIAPPAAQSPTIISRSRVFTGTRVSMMSTTTARPEKKYKLYEDGDEITEDTILFPGCDYNHWLITVDFPKDPKPSPEEMVATYERICAQGLNISIEEAKKKIYACSTTTYQGFQALMSEQESEKFKDVPGVVFVLPDSYIDPVNKEYGGDKYENGVITPRPPPVHRGGERRYERNRSPPRFNQQGGPMPNHQGPPPQHGQQGHMQGGGSNYGPQQNYPPQQNRGPPGPGGSMPMINRDHAPGGRNTNQGQQGNLYPPAQQAYNPGQHGNHYPPGQQGYNQGQQGNLYPPGQQGYNQGQHGNHYAPDQRSFLQGDPRDHGSPGQRDYRGGDRNYSPTHAGNYGQGGNTGIGQRHLGDGQKSAQIEQMSTQGEQGNYAPTGQPGWSDQVRQPPVRNYGQGGNTGYGQHNPGDGLRSAQMEQRSTQEEQGNYAPPGKPGWSDQGGY >Potri.006G069300.3.v4.1 pep chromosome:Pop_tri_v4:6:5078178:5082086:-1 gene:Potri.006G069300.v4.1 transcript:Potri.006G069300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069300.v4.1 MGVPDGGGLRATAWKLLLGYLSPSHDVWEKELTENRQKYAKLKEELLLSPSEYTRVKADAMISAELSSEGDVAGPLKRQGISHGDHPLSVGMASAWHHYFKHTEIAEQIDRDLQRTHPDMKFFSGESSFSKKNREAMRNILLLFAKLNPAICYVQGMNEVLAPILYVFSTDTDEQNAVNAEADSFSCFVRLLSDSVDHFCQQLDNSPVGILSTLSRLAKLLKENDEELWKHLEFTTKVKPQFYAFRWITLLLSQEFNFQSILRIWDSLLSNPFGVQDMLLRICCAMLLCMKSRLLRGDFVANLRLLQHYPDINIEYLLQVAQDLSADTSSYSLSL >Potri.006G069300.2.v4.1 pep chromosome:Pop_tri_v4:6:5078178:5082086:-1 gene:Potri.006G069300.v4.1 transcript:Potri.006G069300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069300.v4.1 MLKKGKLKLPDRLGILVPGLDDKEEENGGSEPVFESGEELEIMEPNGVELGHKENEYEFDLGVDDKVGDKVDAFRTRKRPDKDGPGHVTVREVIAADEKRSDLEYEFSQKEINLEKVKRIASMGVPDGGGLRATAWKLLLGYLSPSHDVWEKELTENRQKYAKLKEELLLSPSEYTRVKADAMISAELSSEGDVAGPLKRQGISHGDHPLSVGMASAWHHYFKHTEIAEQIDRDLQRTHPDMKFFSGESSFSKKNREAMRNILLLFAKLNPAICYVQGMNEVLAPILYVFSTDTDEQNAVNAEADSFSCFVRLLSDSVDHFCQQLDNSPVGILSTLSRLAKLLKENDEELWKHLEFTTKQTVLKKGLLRFHHIWIKLSESWKLMPSIQMGRLSHNSMHSGGSLCYCLRNSTSNPF >Potri.006G069300.4.v4.1 pep chromosome:Pop_tri_v4:6:5078177:5082085:-1 gene:Potri.006G069300.v4.1 transcript:Potri.006G069300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069300.v4.1 MGVPDGGGLRATAWKLLLGYLSPSHDVWEKELTENRQKYAKLKEELLLSPSEYTRVKADAMISAELSSEGDVAGPLKRQGISHGDHPLSVGMASAWHHYFKHTEIAEQIDRDLQRTHPDMKFFSGESSFSKKNREAMRNILLLFAKLNPAICYVQGMNEVLAPILYVFSTDTDEQNAVNAEADSFSCFVRLLSDSVDHFCQQLDNSPVGILSTLSRLAKLLKENDEELWKHLEFTTKQTVLKKGLLRFHHIWIKLSESWKLMPSIQMGRLSHNSMHSGGSLCYCLRNSTSNPF >Potri.006G069300.1.v4.1 pep chromosome:Pop_tri_v4:6:5078178:5082086:-1 gene:Potri.006G069300.v4.1 transcript:Potri.006G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069300.v4.1 MLKKGKLKLPDRLGILVPGLDDKEEENGGSEPVFESGEELEIMEPNGVELGHKENEYEFDLGVDDKVGDKVDAFRTRKRPDKDGPGHVTVREVIAADEKRSDLEYEFSQKEINLEKVKRIASMGVPDGGGLRATAWKLLLGYLSPSHDVWEKELTENRQKYAKLKEELLLSPSEYTRVKADAMISAELSSEGDVAGPLKRQGISHGDHPLSVGMASAWHHYFKHTEIAEQIDRDLQRTHPDMKFFSGESSFSKKNREAMRNILLLFAKLNPAICYVQGMNEVLAPILYVFSTDTDEQNAVNAEADSFSCFVRLLSDSVDHFCQQLDNSPVGILSTLSRLAKLLKENDEELWKHLEFTTKVKPQFYAFRWITLLLSQEFNFQSILRIWDSLLSNPFGVQDMLLRICCAMLLCMKSRLLRGDFVANLRLLQHYPDINIEYLLQVAQDLSADTSSYSLSL >Potri.004G044300.2.v4.1 pep chromosome:Pop_tri_v4:4:3505282:3507036:1 gene:Potri.004G044300.v4.1 transcript:Potri.004G044300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044300.v4.1 MPGARIRIPFNQRNLMSNINQFEFLDEPAASLSDMVFGFLEDGDWSSGSSGSEGCHENEMLELEDEGEENNGNVEEDKSFWENQHQLLHATLFRTSSLESRIRSISKEALKEIQMAGTICGCGRPMAASCRSCLMAEVSSRLRNAGYNSAICKTKWRSSPGIPSGEHTFMDVIDNSSSKRGEVRVIIELNFRAEFEMAKASEEYNQLVHRLPEVFVGKVERLNSVVKILCLAAKKCMKEKKMHLGPWRKQRYMQAKWLRTTCERSTSMPPFSMGSSGRLPRPKASMLTVDLKEMLPDVHCTAVAVV >Potri.012G078500.1.v4.1 pep chromosome:Pop_tri_v4:12:10237008:10240556:-1 gene:Potri.012G078500.v4.1 transcript:Potri.012G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078500.v4.1 MVSSSCISLLDLASGNMMNFSQAPRALPRIMTVPGIISDIDGDGTNDGNSDAPSTVKKIIVSNFLPLNAQKDLKSGKWSFSFDEDSLLLQMKDGFSENTEVVYVGSLRVDVDTSEQEEVSQQLLEEFNCVPTFIPSEIYKNFYHGFCKHHLWPLFHYMLPMCPDHGNRFDRLLWQSYVSTNKIFADKVMGVINSEEDYVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLYYESKRGHIGLEYFGRTVYIKILPVGIHMGRVESALNHPSSSIKVKEIQKQFKGKRLVVGVDDMDIFKGISLKLLAMEHLLQQNSGMRGKLVLVQIVNPARSSGKAVQEAKMETHTITKRINDTFGFPGYEPVVLIDRHVPFCEKTAYFALAECCIVNAVRDGMNLIPYKYIACRQGTPKMDEALGVASGSRHTSSLVVSEFTGCSPSLSGAIRVNPWDIEAVANALNVAITMPDLEKQLRHEKHYRFVSSHDVAYWARSFMQDLTRACEDHYSKRCWGIGFGLNFRILSLSPSFRKLSNDYIISAYKRTSKRAIFLDYDGTVVAQTSIPKTPSPEVISVLNNLCSDPRNNVFIVSGRGKKSLSDWFAQCENLGIAAEHGYFLRWSGMSDWETRSFAADFDWKNIAEPVMKLYTEATDGSYIETKESALVWHHQDADPDFGSCQAKELLDHLENVLANDPVVVKRGQNIVEVKPQGVTKGFVAEKVLSSMIANGKPPDFVLCIGDDRSDEDMFESMSNTAYGSSLPSAPAIFACTVGQKPSKARYYLDDTVDVLALLQCLADASNSKSSSTETQVSFDNVV >Potri.012G078500.2.v4.1 pep chromosome:Pop_tri_v4:12:10237037:10243569:-1 gene:Potri.012G078500.v4.1 transcript:Potri.012G078500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078500.v4.1 MVSSSCISLLDLASGNMMNFSQAPRALPRIMTVPGIISDIDGDGTNDGNSDAPSTVKKIIVSNFLPLNAQKDLKSGKWSFSFDEDSLLLQMKDGFSENTEVVYVGSLRVDVDTSEQEEVSQQLLEEFNCVPTFIPSEIYKNFYHGFCKHHLWPLFHYMLPMCPDHGNRFDRLLWQSYVSTNKIFADKVMGVINSEEDYVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLYYESKRGHIGLEYFGRTVYIKILPVGIHMGRVESALNHPSSSIKVKEIQKQFKGKRLVVGVDDMDIFKGISLKLLAMEHLLQQNSGMRGKLVLVQIVNPARSSGKAVQEAKMETHTITKRINDTFGFPGYEPVVLIDRHVPFCEKTAYFALAECCIVNAVRDGMNLIPYKYIACRQGTPKMDEALGVASGSRHTSSLVVSEFTGCSPSLSGAIRVNPWDIEAVANALNVAITMPDLEKQLRHEKHYRFVSSHDVAYWARSFMQDLTRACEDHYSKRCWGIGFGLNFRILSLSPSFRKLSNDYIISAYKRTSKRAIFLDYDGTVVAQTSIPKTPSPEVISVLNNLCSDPRNNVFIVSGRGKKSLSDWFAQCENLGIAAEHGYFLRWSGMSDWETRSFAADFDWKNIAEPVMKLYTEATDGSYIETKESALVWHHQDADPDFGSCQAKELLDHLENVLANDPVVVKRGQNIVEVKPQGVTKGFVAEKVLSSMIANGKPPDFVLCIGDDRSDEDMFESMSNTAYGSSLPSAPAIFACTVGQKPSKARYYLDDTVDVLALLQCLADASNSKSSSTETQVSFDNVV >Potri.012G078500.3.v4.1 pep chromosome:Pop_tri_v4:12:10237022:10243823:-1 gene:Potri.012G078500.v4.1 transcript:Potri.012G078500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078500.v4.1 MVSSSCISLLDLASGNMMNFSQAPRALPRIMTVPGIISDIDGDGTNDGNSDAPSTVKKIIVSNFLPLNAQKDLKSGKWSFSFDEDSLLLQMKDGFSENTEVVYVGSLRVDVDTSEQEEVSQQLLEEFNCVPTFIPSEIYKNFYHGFCKHHLWPLFHYMLPMCPDHGNRFDRLLWQSYVSTNKIFADKVMGVINSEEDYVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLPVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLYYESKRGHIGLEYFGRTVYIKILPVGIHMGRVESALNHPSSSIKVKEIQKQFKGKRLVVGVDDMDIFKGISLKLLAMEHLLQQNSGMRGKLVLVQIVNPARSSGKAVQEAKMETHTITKRINDTFGFPGYEPVVLIDRHVPFCEKTAYFALAECCIVNAVRDGMNLIPYKYIACRQGTPKMDEALGVASGSRHTSSLVVSEFTGCSPSLSGAIRVNPWDIEAVANALNVAITMPDLEKQLRHEKHYRFVSSHDVAYWARSFMQDLTRACEDHYSKRCWGIGFGLNFRILSLSPSFRKLSNDYIISAYKRTSKRAIFLDYDGTVVAQTSIPKTPSPEVISVLNNLCSDPRNNVFIVSGRGKKSLSDWFAQCENLGIAAEHGYFLRWSGMSDWETRSFAADFDWKNIAEPVMKLYTEATDGSYIETKESALVWHHQDADPDFGSCQAKELLDHLENVLANDPVVVKRGQNIVEVKPQGVTKGFVAEKVLSSMIANGKPPDFVLCIGDDRSDEDMFESMSNTAYGSSLPSAPAIFACTVGQKPSKARYYLDDTVDVLALLQCLADASNSKSSSTETQVSFDNVV >Potri.002G031100.10.v4.1 pep chromosome:Pop_tri_v4:2:2055276:2059147:1 gene:Potri.002G031100.v4.1 transcript:Potri.002G031100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031100.v4.1 MIVSHCSLTRPWHPSTHEPYFPNLKKPKFPLIFTSSITYSLKLTAHVLPQGTEVVSPDNVLVQNPVIETIEAKEEEVHGVFNGVASTKSEDKTPVKKKKKEEEDSFENRFQLRNGREVYEEKAYLVGVERKGNTVDAFGIEESLEELGQLADTAGVAVVGSTCQKLASPNPRTYIGSGKVAEIKSAIHGLGAETVIFDDELSPGQLRNLEKIFGGDVRVCDRTALILDIFNQRAATHEASLQVALAQMEYQLPRLTRMWSHLERQAGGRVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRTSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDRLFATLDPTTRRVQIKNGNEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLVEQQVHAVDEVLSELDVSSIPRLMVWNKVDRVSDPKKLKLEAERKQDVVCVSALNGDGLEEFCNAVQEKMKDSMVWVEALVPFDKGELLSTIHQVGMVERTEYTESGTLIKAHVPLRFARLLTPMRQLCAS >Potri.002G031100.8.v4.1 pep chromosome:Pop_tri_v4:2:2055279:2059985:1 gene:Potri.002G031100.v4.1 transcript:Potri.002G031100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031100.v4.1 MIVSHCSLTRPWHPSTHEPYFPNLKKPKFPLIFTSSITYSLKLTAHVLPQGTEVVSPDNVLVQNPVIETIEAKEEEVHGVFNGVASTKSEDKTPVKKKKKEEEDSFENRFQLRNGREVYEEKAYLVGVERKGNTVDAFGIEESLEELGQLADTAGVAVVGSTCQKLASPNPRTYIGSGKVAEIKSAIHGLGAETVIFDDELSPGQLRNLEKIFGGDVRVCDRTALILDIFNQRAATHEASLQVALAQMEYQLPRLTRMWSHLERQAGGRVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRTSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDRLFATLDPTTRRVQIKNGNEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLVEQQVHAVDEVLSELDVSSIPRLMVWNKVDRVSDPKKLKLEAERKQDVVCVSALNGDGLEEFCNAVQEKMKDSMVWVEALVPFDKGELLSTIHQVGMVERTEYTESGTLIKAHVPLRFARLLTPMRQLCAS >Potri.002G031100.9.v4.1 pep chromosome:Pop_tri_v4:2:2055275:2059180:1 gene:Potri.002G031100.v4.1 transcript:Potri.002G031100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031100.v4.1 MIVSHCSLTRPWHPSTHEPYFPNLKKPKFPLIFTSSITYSLKLTAHVLPQGTEVVSPDNVLVQNPVIETIEAKEEEVHGVFNGVASTKSEDKTPVKKKKKEEEDSFENRFQLRNGREVYEEKAYLVGVERKGNTVDAFGIEESLEELGQLADTAGVAVVGSTCQKLASPNPRTYIGSGKVAEIKSAIHGLGAETVIFDDELSPGQLRNLEKIFGGDVRVCDRTALILDIFNQRAATHEASLQVALAQMEYQLPRLTRMWSHLERQAGGRVKGMGEKQIEVDKRILRTQIGVLKKELESVRKHRKQYRNRRTSVPVPVVSLVGYTNAGKSTLLNQLTGADVLAEDRLFATLDPTTRRVQIKNGNEFLLTDTVGFIQKLPTTLVAAFRATLEEISESSLLVHVVDISHPLVEQQVHAVDEVLSELDVSSIPRLMVWNKVDRVSDPKKLKLEAERKQDVVCVSALNGDGLEEFCNAVQEKMKDSMVWVEALVPFDKGELLSTIHQVGMVERTEYTESGTLIKAHVPLRFARLLTPMRQLCAS >Potri.002G140500.4.v4.1 pep chromosome:Pop_tri_v4:2:10554885:10558612:1 gene:Potri.002G140500.v4.1 transcript:Potri.002G140500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140500.v4.1 MGSSSSRLNGSTPSGSGTNSFKRRALASVFCGGSASQSPIEMGDHMDESLAGSLENLATFHDVSASSKQASSSNFGLETGLSSSSAESGDLSGSSNGNVEDTSAFKNSESQSQQVNISGSTMEAHAVNASVKEQTPTASASDDTAVAGVVGQDSGNLDSNGESISDANMDLSNTNSVIPASLSPQPCPEFLSSDREREARAARVLLVDVVNIHSNILSISFEEISNREARRNSRRMFWDAFSRSNLRRNRDSPTIVFTSSHADDLGSHDRWLLDFGGDLHLDGVGRESRYPGTRSHHRSERRWQSRRETFHDVRDELGWETSLCPAGLHPNGTCSCESSSVAVESSSHASISQIILLADALFEVLEEIHHHRLSLSPSMLSLPAPEAVVNSFPLKNYKKFQGTENVAQHEQQCHICLVDYEEGDKIRVLPCSHEYHMSCVDKWLKEIHGVCPLCRDGVCKGNVESPASNPEITSL >Potri.002G140500.5.v4.1 pep chromosome:Pop_tri_v4:2:10555629:10558614:1 gene:Potri.002G140500.v4.1 transcript:Potri.002G140500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140500.v4.1 MEAHAVNASVKEQTPTASASDDTAVAGVVGQDSGNLDSNGESISDANMDLSNTNSVIPASLSPQPCPEFLSSDREREARAARVLLVDVVNIHSNILSISFEEISNREARRNSRRMFWDAFSRSNLRRNRDSPTIVFTSSHADDLGSHDRWLLDFGGDLHLDGVGRESRYPGTRSHHRSERRWQSRRETFHDVRDELGWETSLCPAGLHPNGTCSCESSSVAVESSSHASISQIILLADALFEVLEEIHHHRLSLSPSMLSLPAPEAVVNSFPLKNYKKFQGTENVAQHEQQCHICLVDYEEGDKIRVLPCSHEYHMSCVDKWLKEIHGVCPLCRDGVCKGNVESPASNPEITSL >Potri.003G212400.1.v4.1 pep chromosome:Pop_tri_v4:3:20969839:20972326:-1 gene:Potri.003G212400.v4.1 transcript:Potri.003G212400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212400.v4.1 MSNYTVESTKVETSDGAKLHTRLFKPMEEGKITDNLVVVLVHPFSILGGCQAFLKGIAAGLAGKGYKTVTFDMRGAGKSTGRPSLTGFAEIKDVIAVCKWVCENLSSDRILLVGSSAGAPIAGSAVDEIKEVIGYVSIGYPFGMFASILFGRHHKGILKSPKPKLFVMGTRDGFTSVKQLQNKLSSAAGRVETHLIEGASHFQMEGAEFDNQMVNLILTFTSSL >Potri.003G212400.2.v4.1 pep chromosome:Pop_tri_v4:3:20969839:20972326:-1 gene:Potri.003G212400.v4.1 transcript:Potri.003G212400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212400.v4.1 MSNYTVESTKVETSDGAKLHTRLFKPMEEGKITDNLVVVLVHPFSILGGCQAFLKGIAAGLAGKGYKTVTFDMRGAGAPIAGSAVDEIKEVIGYVSIGYPFGMFASILFGRHHKGILKSPKPKLFVMGTRDGFTSVKQLQNKLSSAAGRVETHLIEGASHFQMEGAEFDNQMVNLILTFTSSL >Potri.003G212400.3.v4.1 pep chromosome:Pop_tri_v4:3:20969839:20972326:-1 gene:Potri.003G212400.v4.1 transcript:Potri.003G212400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212400.v4.1 MSNYTVESTKVETSDGAKLHTRLFKPMEEGKITDNLVVVLVHPFSILGGCQAFLKGIAAGLAGKGYKTVTFDMRGAGKSTGRPSLTGFAEIKDVIAVCKWVCENLSSDRILLVGSSAGCHRPPSHVISM >Potri.002G007925.1.v4.1 pep chromosome:Pop_tri_v4:2:435764:438897:-1 gene:Potri.002G007925.v4.1 transcript:Potri.002G007925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007925.v4.1 MASIDYEPKLLAILSTSIFLSCIFVSSTGLVAALDDSALLASEGKALLESGWWSHYRNLTSHRCKWTGIVCDRAGSIIDISPPPEFVKVGNKFGKMNFSCFSNLARLHLANHELSGSIPPQISILPQLTYLNLSSNNLAGELPSSLGNLSRLVELDFSSNNFSNSIPPELGNLKNLVTLSLSYNNFSGPIPSALCHLENLTHLHMDHNSFEGALPSEIGNMKNLEILDVSNNTLNGPIPRTMGSLAKLRSLIFFKNKINESIPLEIGNLTNLEDLDLCSNNLVGSIPSTMSLLANLISLFLCENQIEGSIPLEIGNLMNLQYLDLSSNILGGSIPLTSGLLSNLIFVDLSYNILVGSIPSTLGLLSNLILLDLSYNQINGSIPIKIGNLRNLTDLYLNSNNISGLIPSIMGRYREPNLFENQNDGSIPSSLKYCNNLTFLDLSCNNLSEEIPSNLYDLTSLQYVNFSYNNLSGLVPLNLRPPFDFNFTCDLLLHGQITNYSATFKATAFEGNKDLHPDFSNCSLPSKTNRRIHSIKIFLPITTISLCLLCLGCCYLSRCEATQPEPTSSKNGGLFSIWNYDGRIAYEDIITATENFDLRYCIGSGGYGSVYRAQLPSGKLVALKKLHHREAEEPAFDKSFKNEVKLLTQIRHRSIVKLYGFCLHQRCMFLVYEYMEKGSLFCALRNDVEAVELKWMKRAHIIKDIAHALSYLHHDCNPPIVHRDISSSNVLLNSESKSFVADFGVARLLDPDSSNHTVLAGTYGYIAPELAYTMVVTEKCDVYSFGVVALETLMGKHPGDILSSSARAMTLKEVLDPRLPPPTNEIVIQNICIIASLAFSCLHSNPKYRPSMKFVSQEFLSPKRLLGGLEISLLELRNLGMHTNVGEITVPR >Potri.014G058100.3.v4.1 pep chromosome:Pop_tri_v4:14:3699009:3701879:1 gene:Potri.014G058100.v4.1 transcript:Potri.014G058100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058100.v4.1 MGTPSEMKPAYIATLEEDWKSLAEFYEKHKDRLLTPMSFTEDTAFHMAVYSKDEKLLKCLLDYAQDVPTSQDHKHPISITNVYGHTPLHLAASRGNSEAVKLLVEESKKILQGETPLFRAAAFGQTEIVKYLARQPAHIVNDELLLVHRQRNDGQSILHVAVVGENFDSGGKTCLGLLTEIPSAFKSGHSMSIFSQFLYMWWPMVERIWENKRKLKSALQLAKMLIKSDVSWDQDIAVQGQYGASTGSPFFGPSHPLLTGTKTGILEVVSEMLIEQPHFLDLLDEEGKNILHVAIKYRRKDIFHLIKSNRIISNRMSYGIDKDGYTLLHQVADNKYYSVGSKHGPALQLHEESKWFTRVEKLIPSYYAKLRDSKQKTAEELFNDMHKEQLLAAQQWAKETSQSCSAVAVLVATIVFAAAYTVPGASSLTSVVMFLSILTSSFDYKDFRYSIPRKLTFGFTLLFFSVMATMLAFAATILLIVQSGKQLMTGGLISIAALFPVSVFAMMQFRFYAAFMHSTKGIRKAMRRSLPWFGAPLLFRKRKQWGY >Potri.004G225900.2.v4.1 pep chromosome:Pop_tri_v4:4:23003281:23011915:1 gene:Potri.004G225900.v4.1 transcript:Potri.004G225900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225900.v4.1 MGERIPPGSYFQYPPSGVHHASPHRSTSLPSDLERYLAELFAEKHKLGPFVQVLPNCCRLLNQEIRRASACNQGLVDHERYEHESPFRSLGQHSNGRTMDLEAWSAMPTEENGHLQRMASFPAASMGWPGVPGIPITPVVKRVIRLDVPVDKYPSYNFVGRILGPRGNSLKRVEALTDCRVYIRGKGSVKDSLKEEKLKDKPGYEHLNEPLHVLVEAEFPEDIINARLEHAITILESLLKPVDESLDHYKKQQLRELALLNGTLREESPSMSPSMSPSMSPFNTAGMKRAKTGR >Potri.004G225900.1.v4.1 pep chromosome:Pop_tri_v4:4:23003135:23011916:1 gene:Potri.004G225900.v4.1 transcript:Potri.004G225900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225900.v4.1 MGERIPPGSYFQYPPSGVHHASPHRSTSLPSDLERYLAELFAEKHKLGPFVQVLPNCCRLLNQEIRRASACNQGLVDHERYEHESPFRSLGQHSNGRTMDLEAWSAMPTEENGHLQRMASFPAASMGWPGVPGIPITPVVKRVIRLDVPVDKYPSYNFVGRILGPRGNSLKRVEALTDCRVYIRGKGSVKDSLKEEKLKDKPGYEHLNEPLHVLVEAEFPEDIINARLEHAITILESLLKPVDESLDHYKKQQLRELALLNGTLREESPSMSPSMSPSMSPFNTAGMKRAKTGR >Potri.010G235000.1.v4.1 pep chromosome:Pop_tri_v4:10:21583813:21586608:1 gene:Potri.010G235000.v4.1 transcript:Potri.010G235000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235000.v4.1 MSEHHHQYGLPDLRQLVAGRAHFQGTQQGNEPFFVQSRNLVPQTHHFESIMVGHEAMLPSGLVKLGGRHHDHYCTNATNITTNNTITSATIGTSSSAGAGTLYGVEMENATAAGWIGNDGGNNSRWPRQETLTLLEIRSRLDSRFKEANQKGPLWDEVSRIMAEEHGYQRSGKKCREKFENLYKYYKKTKEGKAGRQDGKHYRFFRQLEALYGEPSNQAPASETHFANNTLLYQTPLSNTINQESQETFQENKHSESLSFSNTSEFETSSSENNDDDLSAIAYNMMNRSTEKQKGVNESQSLAGPKKSWRTKVEDFVDSQMRKLMEKQDAWMEKMLKTIEDREYERMCREEEWTKQELARFDREHEFWAKERAWIESRDSALMEALKKHAEKGPELSSSVEHIAVATQRHNNNQDSTSAKKIQKDKFNNIIWTEPEILSFIQLRTSMESRFQESGYSNEGLWEEIAEEMASLGYDRSVDECKEKWESMNIYLNMTTESNKKRKDQDLRTNDYFQLLESYNGMNSSPSNSYLGTQVNDNSCFQVQINEGDQQQHLWNTNKFDLKLNKEKNQQVWHK >Potri.018G112000.1.v4.1 pep chromosome:Pop_tri_v4:18:12959811:12961280:1 gene:Potri.018G112000.v4.1 transcript:Potri.018G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112000.v4.1 MASHKLVLLLPVIVLFTITTGYVMASPPAVKAAYWPSWTQTFPPSAIDTTLFTHVFYAFLLPSNVTFKLEVSESTASLLKNFTGTLHQKNPPVKTLVSIGGGSSDPKLFARMASNKGSRSIFIDSAMEVARRHGFDGLDLDWEFPKDPKEMVDLGQLFKEWRVAIRKEAKSTHRSPLLLTAAVYFSVDFQWDETYRKYPVASIAKSLDWVNAMCFDYRGSWDTSATGAHAALYDPKSNISTSYGLTSWVRAGVPRNMVVMGLPLYGRTWQLKDPKVNGIGAPATAAGPGDDGVLIFSQVEKFNKENGATVVYDAKTVSTYSYAGTSWIGYDDSRSTTVKLKFAQALGLRGYFFWALSYDSEWEISKQASRAWVIG >Potri.013G071200.2.v4.1 pep chromosome:Pop_tri_v4:13:5841598:5842867:1 gene:Potri.013G071200.v4.1 transcript:Potri.013G071200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G071200.v4.1 MRGAKKFRHVGIEPSLCAKYDIMFTNIVATGEYAWTSSQGLLSDEDNVTAGMRNTTNEDTNMEEGSGDSEEDAIPDFTRDVSNMVGGSNVAHSCSNPSSSKRKGAHQTTPQLRKKRRGTGMGAVLVARMDKLVETVSMPRGITAPCRDKKRL >Potri.015G086600.3.v4.1 pep chromosome:Pop_tri_v4:15:11070209:11074029:-1 gene:Potri.015G086600.v4.1 transcript:Potri.015G086600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086600.v4.1 MPGLLLLMPISLSLPAGVIAYGYRNYSPPLKRLLAHNNNDTALLRYLYLRSSCNNIPSQLTSLFFFKFFPTNLNCLLAGNSLFFRMERFCSGSSINKNKAMVEHLQHYGVISSKKVSEVMETIDRALFVPDGTPAYVDSPMAIGYNATISAPHMHATCLQLLEENLKPGMHALDVGSGTGYLTACLALMVGPQGRAVGVEHIPELANSSLKNIEKSAAAPLLKEGSLSIHVGDGRQGWPEFAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGNIFQDLKVVDKKEDGSISVRSETSVRYVPLTSRDAQLRGY >Potri.015G086600.2.v4.1 pep chromosome:Pop_tri_v4:15:11070209:11074044:-1 gene:Potri.015G086600.v4.1 transcript:Potri.015G086600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086600.v4.1 MPGLLLLMPISLSLPAGVIAYGYRNYSPPLKRLLAHNNNDTALLRYLYLRSSCNNIPSQLTSLFFFKFFPTNLNCLLAGNSLFFRMEGNLFQVGWTCYVLLAFISGTNYFHSSATQFFPSPGALDLQSQPIAISNVERFCSGSSINKNKAMVEHLQHYGVISSKKVSEVMETIDRALFVPDGTPAYVDSPMAIGYNATISAPHMHATCLQLLEENLKPGMHALDVGSGTGYLTACLALMVGPQGRAVGVEHIPELANSSLKNIEKSAAAPLLKEGSLSIHVGDGRQGWPEFAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGNIFQDLKVVDKKEDGSISVRSETSVRYVPLTSRDAQLRGY >Potri.007G061961.1.v4.1 pep chromosome:Pop_tri_v4:7:7015604:7016207:1 gene:Potri.007G061961.v4.1 transcript:Potri.007G061961.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061961.v4.1 MDINEPSLMDVAHDTIDSTGRAFFVVGGFHEIYAGRRNQMKVEGPFDSLRGIAAPSHGAIPEPSSRRAGRARIQTRLFFGSPQRLLKVRLLPASTEEVYFVPPGGHIDRNPDRIDKNESLWYFGRVSSP >Potri.001G162600.1.v4.1 pep chromosome:Pop_tri_v4:1:13798745:13800994:1 gene:Potri.001G162600.v4.1 transcript:Potri.001G162600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162600.v4.1 MIQEDHGSLTEISDSGKHISFSQKNKSLSLALFVSLLLVATIAAVVTPVNSQNSNKNDAAHSIIKMSCSSTRYPELCYSAIANGPGAAASLAAINDENDVLIESIRATQQAIDTNTAGIESYKTTNKMKLTNQQNDALDTSTDNNELSQSDLQNAINSLNYYTNEIPLSDQDTEPDINTPLSSCITYQDTIMDGFSHTAADKQVRKDISDGVDNVRKMCMNTLAMNMNMTATRIANELKTTKRNLKEENSRNESGWPKWLSVANRRLLQSSSLTPDVVVAADGSGNYSTVSAAAAAAPTRSSKRYIIRIKAGVYRETVQVPINKTNLMFLGDGRRKTIITASRSVVDGITAFRSATVAVMGEGFLARDIAFQNTAGPSNRQAVALRVSSDRAAFYKCNVLGYQDTLHVHANRQFFINCLIAGTVDFIFGNSAAVFQDCDIHARRPNPGQTITITAQGRSDPNQNTGIVIQKSRIHATSDLLPVRSNFSAYLGRPWKEYSRTVVMQSSISDVINPAGWLEWRGKYALNTLYYGEYNNSGAGAATSERVNWKGYKVINAATEAKSFTPRNFIAGSTWLKSTTFPFSLDL >Potri.016G051200.1.v4.1 pep chromosome:Pop_tri_v4:16:3314480:3318495:1 gene:Potri.016G051200.v4.1 transcript:Potri.016G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051200.v4.1 MTTPILQLIFLLILSPLRQLPPSKPATPTRIQLPHPTPTTLSVTDFGAIGDGIHYDTEAIQSTINSCPTTPPTKACHVNFPPGIYLTATIHLKSNVVLNIQEGATLLGGTKLEDYPKEFNRWYVVLAENASDVGITGGGVVDGQGLKFVKRFNERKNVMVSWNSTGACLGDECRPRLVGFIGCTNVKVWNVRLSEPAYWCLHIVQCLNTHISDVSIYGDFNSPNNDGIDIEDSNNTLITRCHIDTGDDAICPKTYTGPIYNLTATDCWIRTKSSAIKLGSASWFEFKGLVFDNITIVDSHRGLGLQIRDGGNVSDITFSNINISTRYYDPSWWGRAEPIYVTTCPRHSSSKEGSISNLQFINITTNSENGVFLSGSKGGLLSNLRFINMNLTFRRWTTYPGGLVDYRPGCQGLVNHSAAGIIMEHIEGFEVENVNMRWSDYQNEPWDNPLDFRPSTVNNISFLNFHSALYKQMK >Potri.003G220800.1.v4.1 pep chromosome:Pop_tri_v4:3:21477011:21492528:1 gene:Potri.003G220800.v4.1 transcript:Potri.003G220800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220800.v4.1 MWTNVFKIGGLHHVSWFQFLPNESDLNSLPDKSVKVEQKDFATWLVLSAHFQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRYSSVVDKAQAAVSRLRVVASGVWVAPGDSEEVAAALSQSLRNYIERALTGHSYMRFGDVFLKYHPSQSEGLSRKGRPTVEFIFSASEEAIFVHAIISAKHIRALSNGDVERVLKQSSSNSSYRLPVIASPHGIRGSLTGCCPNDLVKQVFMSSGKSKTSNGFIGMPYHVSQGPGCQLREQNCYVEITLGCPRSESDKELETNLQSTKNSSKNHIAESPAVRRGDQKVSPDHLSAYEKAFIYPVEAIVVPVLPKSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDTDFLEGSWIESNGLRMQHSYNSSSNSNSSSISSIISGSSDSDYKMTTGELEADGDSLSCRQSGLSSNDQMEIDDLKLGSKRPRTGMNEPYGQVGTVKNAPMQEFGSVEVNASAITGIANEQIGSRWDWDDDRGAGMDIQALLSEFGDFGDFFENDDLPFGEPPGTAESQALMFSGPDCGEVANTPIGVMDVVDQMLLPAAFPSFESFNPSPAVAIDESASKSQEATHGALALVPVNCTPPSSSGEFDYLIKAEALLTFAPEYGAVETPTSEFSSSIFRRPYCPKSHQVESSNPSSNKYAYGATPPPSPFFEGSNEKTGMQVNLKTGAGRNDTKKYYTLVESGKVHLDRRTFIFNESHPTSEVMVPSPLLTSNSSNTVQRKMSDCILGAENFLFSMKTVLATEVECIMFQASMCSMRHMLLSYGNPTPVNLSRLSGSTVLNQLHGDASTMTDSISARYEVKKKESIPVRIAGDMDGGLLDGHLNAPVGVWRSVGVPKLTKHTSSPNIEVSASLPHHSFSEEGILSYRQRQQPLQELLDGMALLVQQATSFVDVALDADCGDGPYGWLALQEHWRRGFSCGPSMVHAGCGGALAACHSLDIAGVELVDPLSADIHSSAVISLLQSEIKTALKSAFGNLDGPLCVTDWCKGRFQSGDGATTCDGLIGESTLSGCKDSSSIVTLPVGEPMSPALSCAAGSSSLKASSTLDGAKVDETSQRRSNQEIEPELRFRIKPTVFVLPSPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPISYYVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNHMEMDAGKSLYTGFVLLDCPQSMKIESSNASLVGSISDYFLSLSNGWDLASYLKSLSKAVKALKIGPSLLTNPKEGSSSSCMVIYVVCPFPEPAAVLQTVIESSVAIGSIIPPADRERRSMLLGQVRKALNSLAAVDDASASNVLVLSGFSTPKLVLQIVTVDAIFRVTSPALNELIILKETAFTVYNKARRISKGSSNDVQSSSASSRSHSALTQMSSVPAMWNSLPREADIDSRLRAGTWDNSWQTMRTGSLTCDPNRNGDFSLQDEIHYMFEPLFILSEPGSLEHAVTPAVFGNLGSESLKMQSDDSSGSFMQSASSAGSVDTGSSSQHDGSEPTGFGSSHQKALPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQSCSSPDTGSVKPRDFVITRIGSFFELEYIEWQRAIYSVGGSEVKKWPLQLRRSMPDGMAASTNGASLQQQEMSLIQERTLPSSPSLLYSPLLKASGYMKGGLGQPSSRKQLMGGYTVVDNSRGMLQWVQSITLVTISVDHSLHLMFQADMPSPGGNGSSVGPSIYREGISPVKSLGSTSASYILIPSPSMRFLPPTPLQHPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRNDYRSDAREEWPSVLSVSLIDYYGGNNMTEDKMYRGIMKQGGRTLGTDGKDLEIGTQLILENIAAELQALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELSSQPGRSQV >Potri.003G220800.5.v4.1 pep chromosome:Pop_tri_v4:3:21477079:21492530:1 gene:Potri.003G220800.v4.1 transcript:Potri.003G220800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220800.v4.1 MWTNVFKIGGLHHVSWFQFLPNESDLNSLPDKSVKVEQKDFATWLVLSAHFQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRYSSVVDKAQAAVSRLRVVASGVWVAPGDSEEVAAALSQSLRNYIERALTGHSYMRFGDVFLKYHPSQSEGLSRKGRPTVEFIFSASEEAIFVHAIISAKHIRALSNGDVERVLKQSSSNSSYRLPVIASPHGIRGSLTGCCPNDLVKQVFMSSGKSKTSNGFIGMPYHVSQGPGCQLREQNCYVEITLGCPRSESDKELETNLQSTKNSSKNHIAESPAVRRGDQKVSPDHLSAYEKAFIYPVEAIVVPVLPKSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDTDFLEGSWIESNGLRMQHSYNSSSNSNSSSISSIISGSSDSDYKMTTGELEADGDSLSCRQSGLSSNDQMEIDDLKLGSKRPRTGMNEPYGQVGTVKNAPMQEFGSVEVNASAITGIANEQIGSRWDWDDDRGAGMDIQALLSEFGDFGDFFENDDLPFGEPPGTAESQALMFSGPDCGEVANTPIGVMDVVDQMLLPAAFPSFESFNPSPAVAIDESASKSQEATHGALALVPVNCTPPSSSGEFDYLIKAEALLTFAPEYGAVETPTSEFSSSIFRRPYCPKSHQVESSNPSSNKYAYGATPPPSPFFEGSNEKTGMQVNLKTGAGRNDTKKYYTLVESGKVHLDRRTFIFNESHPTSEVMVPSPLLTSNSSNTVQRKMSDCILGAENFLFSMKTVLATEVECIMFQASMCSMRHMLLSYGNPTPVNLSRLSGSTVLNQLHGDASTMTDSISARYEVKKKESIPVRIAGDMDGGLLDGHLNAPVGVWRSVGVPKLTKHTSSPNIEVSASLPHHSFSEEGILSYRQRQQPLQELLDGMALLVQQATSFVDVALDADCGDGPYGWLALQEHWRRGFSCGPSMVHAGCGGALAACHSLDIAGVELVDPLSADIHSSAVISLLQSEIKTALKSAFGNLDGPLCVTDWCKGRFQSGDGATTCDGLIGESTLSGCKDSSSIVTLPVGEPMSPALSCAAGSSSLKASSTLDGAKVDETSQRRSNQEIEPELRFRIKPTVFVLPSPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPISYYVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNHMEMDAGKSLYTGFVLLDCPQSMKIESSNASLVGSISDYFLSLSNGWDLASYLKSLSKAVKALKIGPSLLTNPKEGSSSSCMVIYVVCPFPEPAAVLQTVIESSVAIGSIIPPADRERRSMLLGQVRKALNSLAAVDDASASNVLVLSGFSTPKLVLQIVTVDAIFRVTSPALNELIILKETAFTVYNKARRISKGSSNDVQSSSASSRSHSALTQMSSVPAMWNSLPREADIDSRLRAGTWDNSWQTMRTGSLTCDPNRNGDFSLQDEIHYMFEPLFILSEPGSLEHAVTPAVFGNLGSESLKMQSDDSSGSFMQSASSAGSVDTGSSSQHDGSEPTGFGSSHQKALPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQSCSSPDTGSVKPRDFVITRIGSFFELEYIEWQRAIYSVGGSEVKKWPLQLRRSMPDGMAASTNGASLQQQEMSLIQERTLPSSPSLLYSPLLKASGYMKGGLGQPSSRKQLMGGYTVVDNSRGMLQWVQSITLVTISVDHSLHLMFQADMPSPGGNGSSVGPSIYREGISPVKSLGSTSASYILIPSPSMRFLPPTPLQHPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRNDYRSDAREEWPSVLSVSLIDYYGGNNMTEDKMYRGIMKQGGRTLGTDGKDLEIGTQLILENIAAELQALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELSSQPGRSQV >Potri.003G220800.4.v4.1 pep chromosome:Pop_tri_v4:3:21477024:21492527:1 gene:Potri.003G220800.v4.1 transcript:Potri.003G220800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220800.v4.1 MWTNVFKIGGLHHVSWFQFLPNESDLNSLPDKSVKVEQKDFATWLVLSAHFQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRYSSVVDKAQAAVSRLRVVASGVWVAPGDSEEVAAALSQSLRNYIERALTGHSYMRFGDVFLKYHPSQSEGLSRKGRPTVEFIFSASEEAIFVHAIISAKHIRALSNGDVERVLKQSSSNSSYRLPVIASPHGIRGSLTGCCPNDLVKQVFMSSGKSKTSNGFIGMPYHVSQGPGCQLREQNCYVEITLGCPRSESDKELETNLQSTKNSSKNHIAESPAVRRGDQKVSPDHLSAYEKAFIYPVEAIVVPVLPKSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDTDFLEGSWIESNGLRMQHSYNSSSNSNSSSISSIISGSSDSDYKMTTGELEADGDSLSCRQSGLSSNDQMEIDDLKLGSKRPRTGMNEPYGQVGTVKNAPMQEFGSVEVNASAITGIANEQIGSRWDWDDDRGAGMDIQALLSEFGDFGDFFENDDLPFGEPPGTAESQALMFSGPDCGEVANTPIGVMDVVDQMLLPAAFPSFESFNPSPAVAIDESASKSQEATHGALALVPVNCTPPSSSGEFDYLIKAEALLTFAPEYGAVETPTSEFSSSIFRRPYCPKSHQVESSNPSSNKYAYGATPPPSPFFEGSNEKTGMQVNLKTGAGRNDTKKYYTLVESGKVHLDRRTFIFNESHPTSEVMVPSPLLTSNSSNTVQRKMSDCILGAENFLFSMKTVLATEVECIMFQASMCSMRHMLLSYGNPTPVNLSRLSGSTVLNQLHGDASTMTDSISARYEVKKKESIPVRIAGDMDGGLLDGHLNAPVGVWRSVGVPKLTKHTSSPNIEVSASLPHHSFSEEGILSYRQRQQPLQELLDGMALLVQQATSFVDVALDADCGDGPYGWLALQEHWRRGFSCGPSMVHAGCGGALAACHSLDIAGVELVDPLSADIHSSAVISLLQSEIKTALKSAFGNLDGPLCVTDWCKGRFQSGDGATTCDGLIGESTLSGCKDSSSIVTLPVGEPMSPALSCAAGSSSLKASSTLDGAKVDETSQRRSNQEIEPELRFRIKPTVFVLPSPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPISYYVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNHMEMDAGKSLYTGFVLLDCPQSMKIESSNASLVGSISDYFLSLSNGWDLASYLKSLSKAVKALKIGPSLLTNPKEGSSSSCMVIYVVCPFPEPAAVLQTVIESSVAIGSIIPPADRERRSMLLGQVRKALNSLAAVDDASASNVLVLSGFSTPKLVLQIVTVDAIFRVTSPALNELIILKETAFTVYNKARRISKGSSNDVQSSSASSRSHSALTQMSSVPAMWNSLPREADIDSRLRAGTWDNSWQTMRTGSLTCDPNRNGDFSLQDEIHYMFEPLFILSEPGSLEHAVTPAVFGNLGSESLKMQSDDSSGSFMQSASSAGSVDTGSSSQHDGSEPTGFGSSHQKALPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQSCSSPDTGSVKPRDFVITRIGSFFELEYIEWQRAIYSVGGSEVKKWPLQLRRSMPDGMAASTNGASLQQQEMSLIQERTLPSSPSLLYSPLLKASGYMKGGLGQPSSRKQLMGGYTVVDNSRGMLQWVQSITLVTISVDHSLHLMFQADMPSPVCVGGNGSSVGPSIYREGISPVKSLGSTSASYILIPSPSMRFLPPTPLQHPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSMRNDYRSDAREEWPSVLSVSLIDYYGGNNMTEDKMYRGIMKQGGRTLGTDGKDLEIGTQLILENIAAELQALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELSSQPGRSQV >Potri.003G004100.1.v4.1 pep chromosome:Pop_tri_v4:3:535905:537468:-1 gene:Potri.003G004100.v4.1 transcript:Potri.003G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004100.v4.1 MARRPDEEYDYLFKVVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGRTVKAQIWDTAGQERYRAITSAYYRGALGALLVYDVTKPTTFENVSRWLKELRDHADSNIVIMLIGNKTDLKHLRAVATEDAQSYAEKEGLAFVETSALEATNVDKAFQTILSEIYRIISKKTLSSEESAAPVSVKDGKTIVVGGPDPSTKKTTCCSSS >Potri.003G100000.1.v4.1 pep chromosome:Pop_tri_v4:3:12514297:12528792:1 gene:Potri.003G100000.v4.1 transcript:Potri.003G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100000.v4.1 MKSSSSPTDSRPKLTPLQVYESTSTSRRSPRLAPPNNNVPSKTPKSNKPKTTHISLRRSPRLEPTPASPQSVSRKKHSRNVSKTKCSARNVSVDRKIPSRSSRVGNSRMQNVAANRTVLLDSSALRRSPRLSSADMEIVVSERNASVVVADTARLRRSPRLANGYAEVAVSKVRTFLKRKRVSDEMSRSPRNQNGRLDVQFALVQSEKCSRFIVNEENERNCLKVECSSKDLSKGSPVACSSANAKLGCCEKRGCQSVLLTISDSEVGDSGEFEAKPLLLPWHGDNESQTGMVKCDDGSSVFEEEPLRMSPSFDFANENAVINESPIKSCKWSSALDGDGNFEVISCSSGIFDSNDACPSKRVKICEENSVSGMSDEKLLRRSPRTNSIVVTENGENKSGKKQSPKTNSGKELSLEKASSGKKQKEHRGNCSLIGDPVAHDEAQERWHWRYEMKSKRTKHPRLALDDDDEDKVVWNVECHYTQANIEGRIINLGDCVYVKGEGAKNHIGSILEFFKTTDREDYFRVQWFYRAEDTVMKEAADFHDNKRLFYSTVMNDNPIDCIISKVTVVQISPRVHLKFHSTPASDFYFDMEYCVDYSTFRTLLTDCSLRGHELSPLPFCDSRSATPSDISMENMSTCGSYKAKLTLLDLFSGCGGMSTGLCLGAKVSCVDLVTRWALDSDESACQSLKLNHPETHVRNEAAEDFLELLKEWQKLCKRYAVNDVGRTHKSRSMASSMSKQNKNSSNDDDIASGEYEVARLVDICYGKTDKRGKRGLKFKVHWKGYSTSEDSWEPIEGLSNCEHSIRDFVREGFKSKILPLPGDADVICGGPPCQGISGYNRYRNVDSPLADERNIQIVVFMDIVQFLKPKYVLMENVVDILRFDKASFARYALSRLVHMKYQARLGTVAAGCYGLPQFRLRVFLWGAHPKEKLPQFPLPSHDVIVRYWPPPEFERNTVAYDEDQPRDDLEKATVLRDAISDLPDVTSHETREEMAYDKPPETDFQQFIRSTRNEMTGSELSGTRMINLLYDHRPYSLTEEDFARVCQIPKKKGANFRDLPGVVVGADNVARRDPTEEQMLLPSGKPLVPDFALNFEGGKSRRPYARLWWDETVSTVVTFPDLHSQAVMHPEQDRVLTIRECARLQGFPDYYRFCGTVKQRYRQIGNAVAVPVGRALGFTLGMAFQKLSGDDPLMTLPPKFSHSTNLQLAKSLFQKAE >Potri.004G032000.1.v4.1 pep chromosome:Pop_tri_v4:4:2448786:2450029:1 gene:Potri.004G032000.v4.1 transcript:Potri.004G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032000.v4.1 MEMLIFVIFSTLLLSSPCHADTNVNTGSQCSESDRATNSSEYEANLSGMLNSLAANGPIHDGFYTAEAGKGVNKIYGLAQCRGDISAADCAACIKNVTMVQGCSNSRNATLWFTWCFLRYSNTSFFGEWDQSGMASYNDTNFEDPKVVSEALNFTNTLVSTTPSQPLMYQTAVLDVGQNGKRYGMAQCTRDLSKSDCGMCLEFQLVTYKNSVGNKRSWDIYGFSCSLWYHDYQFYNISTPANGGSTRSSPHQVAIGMAFPALVFLLVL >Potri.005G241100.1.v4.1 pep chromosome:Pop_tri_v4:5:23776242:23781705:-1 gene:Potri.005G241100.v4.1 transcript:Potri.005G241100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241100.v4.1 MRKWTVPSVLLLLCLLSLISDQGQKLHAKAEDDSDSLVDPPKVEEKLGAVPNGLSTDSDVVKRESESISKRTLRNTAEKFEFQAEVSRLMDIIINSLYSNKDIFLRELISNASDALDKIRFLSLTDKEVLGEGDNAKLDIQIKLDKEKKILSIRDRGIGMTKEDLIKNLGTIAKSGTSAFVEKMQTSGDLNLIGQFGVGFYSVYLVADYVEVISKHNEDKQYVWESKADGAFAISEDTWNEPLGRGTEIRLHLREEAGEYLEESKLKDLVKKYSEFINFPIYLWASKEVDVEVPADEDESSDEDETTAESSSSDDGDSEKSEDEDAEDKPKTKKIKETTYEWELLNDVKAIWLRNPKEVTEEEYTKFYHSLAKDLGDEKPLAWSHFTAEGDVEFKAVLFVPPKAPHDLYESYYNTNKANLKLYVRRVFISDEFDELLPKYLNFLMGLVDSDTLPLNVSREMLQQHSSLKTIKKKLIRKALDMIRKIADEDPDEANDKDKKDVENSSDDEKKGQYAKFWNEFGKSIKLGIIEDSVNRNRLAKLLRFETTKSDGKLTSLDQYISRMKSGQKDIFYITGPNKEQVEKSPFLERLKKKGYEVIYFTDPVDEYLMQYLMDYEDQKFQNVSKEGLKLGKDSKAKELKESFKELTKWWKGALASENVDDVKISNRLADTPCIVVTSKYGWSANMERIMQAQTLSDANKQAYMRGKRVLEINPRHPIIKELRERVVKDPEDDSVKQTAHLMYQTALMESGFILNDPKDFASRIYSSVKSSLSISPDAIIEEEDDVEEVEVEAETKEATSSSEAEPTRDDELTEPSVVKDEL >Potri.003G069000.2.v4.1 pep chromosome:Pop_tri_v4:3:9613722:9619206:1 gene:Potri.003G069000.v4.1 transcript:Potri.003G069000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069000.v4.1 MQQQRLKQQQQALMQQALLQQQSIYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFIHYFDRRAAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDATLYACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWASKGAGSNEDKQSSDSKSVVELTIGTSEDGMEAPNNEAPENNPQYTTVYVGNLSPEVTQPVLHRHFHVLGAGVIEEVRVQRDKGFGFVRFSTHAEAAVAIQMGNAQSLLCGKQIKCSWGSKPTPPGTSSNPLPPPAAAPLPGISATDILAYERQLALSKMGGVHAFMPPHGQLPLKQAAMGMGAGASQAIYDGGFQNVAAAQQLMYYQ >Potri.005G053100.1.v4.1 pep chromosome:Pop_tri_v4:5:3356673:3359209:1 gene:Potri.005G053100.v4.1 transcript:Potri.005G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053100.v4.1 MEKRGSLFLGFLFFSLVTISTGQVKEIKNSETLAMCPVPENSSPKGHSPKLFVFGDSYVDTGNLQPFRSSGGCVLTDYIASFLGINSPVQYEKRNLAENKAELKNGMNFAYGGSGVLKEAWNNHSMTIQINNFKQQIKEKVFTKYDLENSAALVSHAGNDYTYLYLNQSGTIKDVHALAGRVVDQLVKNVKEIHELGVKKIAILGSPPRGCWPQLNPRPRTNCNATWNEESRFHNQLLTEALKNVEESEKNAFVFLDLYKAMDLALQKNKENSDYENPLEPCCDGVSDEYWCGMKDQKGAEMFTVCRQPESSFFWDKVHPSQNGVHAIFLDLIPSLERLLLIC >Potri.005G098500.2.v4.1 pep chromosome:Pop_tri_v4:5:7066588:7073272:-1 gene:Potri.005G098500.v4.1 transcript:Potri.005G098500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098500.v4.1 MGVELTHQYTEYRAMEDQEEVEEGVSITDWDLVYHVRDALLSVKTGDRSSYHQLVGVLHHRDRSAPDKVALLLTSLKALSGAVSYINDVDHVSLLQSIFGMSMWNCAPDVMDALLELIICLAASNGKYVDLCLDMLVNNFMPPMESDMLRHPRGQAKKDQVLPRVHTGLEVIVDLVPLAALKLSTNVVQKRPMFFRKDFNMDRLKCRMEIYLKNMLMLEGGAIREFVGHSMLVEVVNMMLELDVAIGWDELLRDDPSKGIFTMELEDEEEEAGDDGQANDGELPSTLTLRNLGKNVTADLLDSLMIQFLEHLEACADKKRLSEVFETLLSSFMATILNTYKSKFSQFTIFYACALDPENCGVKFAQTLVDLFISTCNPPVTRMSAVAYLSSYLARGKFLSAAFVMNMLKRLVDWCLRYCEEQDSDMNPKAHQVFYSACQGIMYVLCFHMKSIMNVPTLKSQLLLMPIEPILKHKLGPLEVCLPSIVNEFLKQAKAAHLFTISKAFIFEDLLESDLSRDFGGLERLDMFFPFDPCLLKKCDRGFIRPNFIYWNHVKTTYDDDEEDSSDEDIADDFGVLNEENFMEEGMARSFDQDIDLDEFDYVMNKMSITPKNTSGFHFGGERMPSKIRPSTSPESL >Potri.009G085300.1.v4.1 pep chromosome:Pop_tri_v4:9:8004031:8006078:1 gene:Potri.009G085300.v4.1 transcript:Potri.009G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085300.v4.1 MSSLNSNMSSNSNLSFDEHRWIINIRRTLEEELENDAEIPVCIFNVPKALMTSDPDSYTPQEVAIGPYHHWRPELYEMERYKLAAAKRTQKKIQSLKFQHIVDHLSKLELKIRACYHKFLDFSNETLTWMMAIDASFLLEFLEIYAIKEGIAITRVSSRSMSHLVDYAGRKSAHNAILRDVAMLENQIPLFVLRKILEVQLSSLELADDMLCSMLLGFCKELSPFKLMQDIPKIHIPQCAHLLDYLYDMIVPKVEAPPEIISEADDQPEAMEGRYNSSGNSSHIRDLFSEIWKIITRLNKGPVRLLKRLLFSRPCKVILKLPWTILSNLPGFSILKQPLQHLFFSQDQEEIKPENENSDNEVNRPPLVEEITIPCVTELSKSGVCFAPTTGNILSITFDIKAVTLYLPVISLDVNTEVVLRNLVAFEASNASGPLVFTRYTELMNGIIDTEEDVKFLREKGIILNRLKSDGEVANLWNGMSKSIRLTKVPFLDKVIEDVNKYYNQRWTVKVGKFMKRYVFGSWQFLTLLAAVFLLLLMTLQAFCSVYRCSRVLHINSTT >Potri.001G043500.1.v4.1 pep chromosome:Pop_tri_v4:1:3147313:3149712:1 gene:Potri.001G043500.v4.1 transcript:Potri.001G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043500.v4.1 MSLLTIARRLQISHSRLLFPLLYSITYPHPPSPSNNSNYPIFFSVEFHRTLSIPTRSPHHFSTSQSFSTQYLNVSFELIQQGIQTHEPLQMGLLQSLKMAAHLPSEAEAMASLDESGIRANQNLVYSVIWELREEWRLAFLAFKWGDKWGCVDEKACELMVWVLGNHRKFNTAWILIRDLHRSLMSTRKAMLIMIDRYAAANVPGKAIYAFRIMDKFRMTPDEEAFYFLLNVLCKNGNIEEAEEFMLVNKKFFPLEVEGFNIILNGWCNICVDVFEAKRIWREMSKYCIDPDATTYTHMISCFSKVGNLFDSLRLYDGMKKRGWVPGIEVYNSLVYILTRENCFKEALKILDKMKETGLQRDSATYNSMIRPLCEAKKLEDARSLMAAMIEENVSPTIETYHAFLQGIVFEETFEVLDRMKIAGLGPTEDTFLLLLAKFFKLEQPENALKIWVEMKQYEVASNLTHYTVMVEGLARCGLLTKAREYYAEMRSNGYSDDPKIQKMLKVPVQDKNDKRKKLGGQFKRNQHVSHKKGSMVRRKSH >Potri.001G251500.1.v4.1 pep chromosome:Pop_tri_v4:1:26777718:26780500:1 gene:Potri.001G251500.v4.1 transcript:Potri.001G251500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251500.v4.1 MVTTAESAAHKSQQQSRVLIVGNYCHDVLIQNNAVKAVSLGGAASFISNVFNGLSVSCNLVSKVGEDFKYTVSYTPIVIPTLKTTVFHSYFDPGLHGNDHQDRILKRVCACDPIRPSDLPDTRFKYGMGVGVGGEILPETLERMIEICDVVFADIQALIRVFDSVDGTVKLVKLEETGFYPLLPRIGVLKASSEEAVFMDVEEVRKWCCVVVTNGKHGCKVYWKDGELGISPFLANQDDPTGAGDSFFGGFVAGLVQGLAVPDAALLGNLFGSLSVEQVGLPKFDTRLLQRVKDEVQRRKMQCLLHEKSDDELKFLRPVGHEQFHTFLVAARLITPCSIEEHQWNSPSSTMEMEQTAVPHYTKQPKLLANPMYEEPIQRVE >Potri.008G057400.6.v4.1 pep chromosome:Pop_tri_v4:8:3380658:3384517:1 gene:Potri.008G057400.v4.1 transcript:Potri.008G057400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057400.v4.1 MIMDQSLRGLYGSVNGLKLSNETQSASSVQDLVNAFKLDNNCVNQNYVNSTRVPPDSTLSNSVLSASMSQEGDSHEDFDFSDVVLKYISKMLMEEEMEEKTCMFQESSAALLAAEKSLYELIGEKHPSAPDDPVQFLDQNHERPDENHDLNCSNCTSSTSSSSGSSLLDHGSTCDLGEYKSSRHASQSSYSPGNSSVTVDGFVDSPVGPNMVAEIFGESESVMQFKKGFEEASKFIPNGNLLIDLESKGLFLKDLKEDVKDVLATAGEKRENDNYADGSRGKKNPHPEESALEGGRSNKQSAVYSESTASPADFDMVLLNCGKDDSALQAALHNGESKSVQQNGQARGSSGGKARGKRQGGKRDVVDLRTLLTLCAQAVAADDRRSANDLLKQIRQNAPSTGDAMQRLANIFADGLEARLAGSGTQIYRALISKPTSAADVLKAYHMFLAACPFRKLSNFFSNKTIMNIAENASRVHIVDFGIMYGFQWPCLIQRLSSRPGGPPHLRITGIDLPNPGFRPAERVEETGRRLANYANTFKVPFKFNAIAQKWETIKIEDLKIDRNEVLVVNSGYRLRNLLDETVVVESPRNIVLNLIRNMNPDVFIQGVVNGAYNAPFFITRFREALFHFSTLFDVLEANVSREVPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRVLRAGFRQLPLNREIFTTAKERVEALYHKDFVIDEDSQWLLQGWKGRIVYALSSWKPDC >Potri.008G057400.2.v4.1 pep chromosome:Pop_tri_v4:8:3380642:3384206:1 gene:Potri.008G057400.v4.1 transcript:Potri.008G057400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057400.v4.1 MIMDQSLRGLYGSVNGLKLSNETQSASSVQDLVNAFKLDNNCVNQNYVNSTRVPPDSTLSNSVLSASMSQEGDSHEDFDFSDVVLKYISKMLMEEEMEEKTCMFQESSAALLAAEKSLYELIGEKHPSAPDDPVQFLDQNHERPDENHDLNCSNCTSSTSSSSGSSLLDHGSTCDLGEYKSSRHASQSSYSPGNSSVTVDGFVDSPVGPNMVAEIFGESESVMQFKKGFEEASKFIPNGNLLIDLESKGLFLKDLKEDVKDVLATAGEKRENDNYADGSRGKKNPHPEESALEGGRSNKQSAVYSESTASPADFDMVLLNCGKDDSALQAALHNGESKSVQQNGQARGSSGGKARGKRQGGKRDVVDLRTLLTLCAQAVAADDRRSANDLLKQIRQNAPSTGDAMQRLANIFADGLEARLAGSGTQIYRALISKPTSAADVLKAYHMFLAACPFRKLSNFFSNKTIMNIAENASRVHIVDFGIMYGFQWPCLIQRLSSRPGGPPHLRITGIDLPNPGFRPAERVEETGRRLANYANTFKVPFKFNAIAQKWETIKIEDLKIDRNEVLVVNSGYRLRNLLDETVVVESPRNIVLNLIRNMNPDVFIQGVVNGAYNAPFFITRFREALFHFSTLFDVLEANVSREVPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRVLRAGFRQLPLNREIFTTAKERVEALYHKDFVIDEDSQWLLQGWKGRIVYALSSWKPDC >Potri.008G057400.8.v4.1 pep chromosome:Pop_tri_v4:8:3380550:3384422:1 gene:Potri.008G057400.v4.1 transcript:Potri.008G057400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057400.v4.1 MIMDQSLRGLYGSVNGLKLSNETQSASSVQDLVNAFKLDNNCVNQNYVNSTRVPPDSTLSNSVLSASMSQEGDSHEDFDFSDVVLKYISKMLMEEEMEEKTCMFQESSAALLAAEKSLYELIGEKHPSAPDDPVQFLDQNHERPDENHDLNCSNCTSSTSSSSGSSLLDHGSTCDLGEYKSSRHASQSSYSPGNSSVTVDGFVDSPVGPNMVAEIFGESESVMQFKKGFEEASKFIPNGNLLIDLESKGLFLKDLKEDVKDVLATAGEKRENDNYADGSRGKKNPHPEESALEGGRSNKQSAVYSESTASPADFDMVLLNCGKDDSALQAALHNGESKSVQQNGQARGSSGGKARGKRQGGKRDVVDLRTLLTLCAQAVAADDRRSANDLLKQIRQNAPSTGDAMQRLANIFADGLEARLAGSGTQIYRALISKPTSAADVLKAYHMFLAACPFRKLSNFFSNKTIMNIAENASRVHIVDFGIMYGFQWPCLIQRLSSRPGGPPHLRITGIDLPNPGFRPAERVEETGRRLANYANTFKVPFKFNAIAQKWETIKIEDLKIDRNEVLVVNSGYRLRNLLDETVVVESPRNIVLNLIRNMNPDVFIQGVVNGAYNAPFFITRFREALFHFSTLFDVLEANVSREVPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRVLRAGFRQLPLNREIFTTAKERVEALYHKDFVIDEDSQWLLQGWKGRIVYALSSWKPDC >Potri.008G057400.7.v4.1 pep chromosome:Pop_tri_v4:8:3380646:3384428:1 gene:Potri.008G057400.v4.1 transcript:Potri.008G057400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057400.v4.1 MIMDQSLRGLYGSVNGLKLSNETQSASSVQDLVNAFKLDNNCVNQNYVNSTRVPPDSTLSNSVLSASMSQEGDSHEDFDFSDVVLKYISKMLMEEEMEEKTCMFQESSAALLAAEKSLYELIGEKHPSAPDDPVQFLDQNHERPDENHDLNCSNCTSSTSSSSGSSLLDHGSTCDLGEYKSSRHASQSSYSPGNSSVTVDGFVDSPVGPNMVAEIFGESESVMQFKKGFEEASKFIPNGNLLIDLESKGLFLKDLKEDVKDVLATAGEKRENDNYADGSRGKKNPHPEESALEGGRSNKQSAVYSESTASPADFDMVLLNCGKDDSALQAALHNGESKSVQQNGQARGSSGGKARGKRQGGKRDVVDLRTLLTLCAQAVAADDRRSANDLLKQIRQNAPSTGDAMQRLANIFADGLEARLAGSGTQIYRALISKPTSAADVLKAYHMFLAACPFRKLSNFFSNKTIMNIAENASRVHIVDFGIMYGFQWPCLIQRLSSRPGGPPHLRITGIDLPNPGFRPAERVEETGRRLANYANTFKVPFKFNAIAQKWETIKIEDLKIDRNEVLVVNSGYRLRNLLDETVVVESPRNIVLNLIRNMNPDVFIQGVVNGAYNAPFFITRFREALFHFSTLFDVLEANVSREVPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRVLRAGFRQLPLNREIFTTAKERVEALYHKDFVIDEDSQWLLQGWKGRIVYALSSWKPDC >Potri.008G057400.9.v4.1 pep chromosome:Pop_tri_v4:8:3380545:3384234:1 gene:Potri.008G057400.v4.1 transcript:Potri.008G057400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057400.v4.1 MIMDQSLRGLYGSVNGLKLSNETQSASSVQDLVNAFKLDNNCVNQNYVNSTRVPPDSTLSNSVLSASMSQEGDSHEDFDFSDVVLKYISKMLMEEEMEEKTCMFQESSAALLAAEKSLYELIGEKHPSAPDDPVQFLDQNHERPDENHDLNCSNCTSSTSSSSGSSLLDHGSTCDLGEYKSSRHASQSSYSPGNSSVTVDGFVDSPVGPNMVAEIFGESESVMQFKKGFEEASKFIPNGNLLIDLESKGLFLKDLKEDVKDVLATAGEKRENDNYADGSRGKKNPHPEESALEGGRSNKQSAVYSESTASPADFDMVLLNCGKDDSALQAALHNGESKSVQQNGQARGSSGGKARGKRQGGKRDVVDLRTLLTLCAQAVAADDRRSANDLLKQIRQNAPSTGDAMQRLANIFADGLEARLAGSGTQIYRALISKPTSAADVLKAYHMFLAACPFRKLSNFFSNKTIMNIAENASRVHIVDFGIMYGFQWPCLIQRLSSRPGGPPHLRITGIDLPNPGFRPAERVEETGRRLANYANTFKVPFKFNAIAQKWETIKIEDLKIDRNEVLVVNSGYRLRNLLDETVVVESPRNIVLNLIRNMNPDVFIQGVVNGAYNAPFFITRFREALFHFSTLFDVLEANVSREVPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRVLRAGFRQLPLNREIFTTAKERVEALYHKDFVIDEDSQWLLQGWKGRIVYALSSWKPDC >Potri.008G057400.4.v4.1 pep chromosome:Pop_tri_v4:8:3380642:3385151:1 gene:Potri.008G057400.v4.1 transcript:Potri.008G057400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057400.v4.1 MIMDQSLRGLYGSVNGLKLSNETQSASSVQDLVNAFKLDNNCVNQNYVNSTRVPPDSTLSNSVLSASMSQEGDSHEDFDFSDVVLKYISKMLMEEEMEEKTCMFQESSAALLAAEKSLYELIGEKHPSAPDDPVQFLDQNHERPDENHDLNCSNCTSSTSSSSGSSLLDHGSTCDLGEYKSSRHASQSSYSPGNSSVTVDGFVDSPVGPNMVAEIFGESESVMQFKKGFEEASKFIPNGNLLIDLESKGLFLKDLKEDVKDVLATAGEKRENDNYADGSRGKKNPHPEESALEGGRSNKQSAVYSESTASPADFDMVLLNCGKDDSALQAALHNGESKSVQQNGQARGSSGGKARGKRQGGKRDVVDLRTLLTLCAQAVAADDRRSANDLLKQIRQNAPSTGDAMQRLANIFADGLEARLAGSGTQIYRALISKPTSAADVLKAYHMFLAACPFRKLSNFFSNKTIMNIAENASRVHIVDFGIMYGFQWPCLIQRLSSRPGGPPHLRITGIDLPNPGFRPAERVEETGRRLANYANTFKVPFKFNAIAQKWETIKIEDLKIDRNEVLVVNSGYRLRNLLDETVVVESPRNIVLNLIRNMNPDVFIQGVVNGAYNAPFFITRFREALFHFSTLFDVLEANVSREVPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRVLRAGFRQLPLNREIFTTAKERVEALYHKDFVIDEDSQWLLQGWKGRIVYALSSWKPDC >Potri.008G057400.5.v4.1 pep chromosome:Pop_tri_v4:8:3380642:3384543:1 gene:Potri.008G057400.v4.1 transcript:Potri.008G057400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057400.v4.1 MIMDQSLRGLYGSVNGLKLSNETQSASSVQDLVNAFKLDNNCVNQNYVNSTRVPPDSTLSNSVLSASMSQEGDSHEDFDFSDVVLKYISKMLMEEEMEEKTCMFQESSAALLAAEKSLYELIGEKHPSAPDDPVQFLDQNHERPDENHDLNCSNCTSSTSSSSGSSLLDHGSTCDLGEYKSSRHASQSSYSPGNSSVTVDGFVDSPVGPNMVAEIFGESESVMQFKKGFEEASKFIPNGNLLIDLESKGLFLKDLKEDVKDVLATAGEKRENDNYADGSRGKKNPHPEESALEGGRSNKQSAVYSESTASPADFDMVLLNCGKDDSALQAALHNGESKSVQQNGQARGSSGGKARGKRQGGKRDVVDLRTLLTLCAQAVAADDRRSANDLLKQIRQNAPSTGDAMQRLANIFADGLEARLAGSGTQIYRALISKPTSAADVLKAYHMFLAACPFRKLSNFFSNKTIMNIAENASRVHIVDFGIMYGFQWPCLIQRLSSRPGGPPHLRITGIDLPNPGFRPAERVEETGRRLANYANTFKVPFKFNAIAQKWETIKIEDLKIDRNEVLVVNSGYRLRNLLDETVVVESPRNIVLNLIRNMNPDVFIQGVVNGAYNAPFFITRFREALFHFSTLFDVLEANVSREVPERMLIEREIFGWEAMNVIACEGAERIERPETYKQWQMRVLRAGFRQLPLNREIFTTAKERVEALYHKDFVIDEDSQWLLQGWKGRIVYALSSWKPDC >Potri.004G236100.2.v4.1 pep chromosome:Pop_tri_v4:4:24047799:24049765:-1 gene:Potri.004G236100.v4.1 transcript:Potri.004G236100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236100.v4.1 MGGGKDKDKNGDEQEKGLFGHGFGHGAPGYPPQPGAYPPQGYPPQGYPPQGYPPQGYPPAGYPPGAYPPSGYPPGPSAPHQPGHSGGGLGGLLAGGAAAAAAAYGAHALQGVGRGGYGGGHGGYGGGGYGGGHGGYGGGHGGYGGGYGGGYGGGHGKFKHGGKHGGGKFKRGKFGKKHGGGKFKKWK >Potri.004G236100.3.v4.1 pep chromosome:Pop_tri_v4:4:24047904:24049279:-1 gene:Potri.004G236100.v4.1 transcript:Potri.004G236100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236100.v4.1 MGGGKDKDKNGDEQEKGLFGHGFGHGAPGYPPQPGAYPPQGYPPQGYPPQGYPPQGYPPAGYPPGAYPPSGYPPGPSAPHQPGHSGGGLGGLLAGGAAAAAAAYGAHALQGVGRGGYGGGHGGYGGGGYGGGHGGYGGGHGGYGGGYGGGYGGGHGKFKHGGKHGGGKFKRGKFGKKHGGGKFKKWK >Potri.004G115700.6.v4.1 pep chromosome:Pop_tri_v4:4:10796789:10798884:-1 gene:Potri.004G115700.v4.1 transcript:Potri.004G115700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115700.v4.1 MLFFFKFFPEKKKQKISEVKAGLEDAEALIRKMDLEARNLQPNVKAVLLAKLREYKSDLNNLKTEVKRIGSGNLNAAARDELLEAGMTDALMASGDQRSRLMMATERLYHSSDRIKDSRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNIGKSKRVLTAISRRMNRNKWMISAIIAVLVVAVILILYFKLK >Potri.004G115700.2.v4.1 pep chromosome:Pop_tri_v4:4:10796787:10799032:-1 gene:Potri.004G115700.v4.1 transcript:Potri.004G115700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115700.v4.1 MTDIFEGYERQYCELSSNLSRKCTAALSLDGEKKKQKISEVKAGLEDAEALIRKMDLEARNLQPNVKAVLLAKLREYKSDLNNLKTEVKRIGSGNLNAAARDELLEAGMTDALMASGDQRSRLMMATERLYHSSDRIKDSRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNIGKSKRVLTAISRRMNRNKWMISAIIAVLVVAVILILYFKLK >Potri.004G115700.1.v4.1 pep chromosome:Pop_tri_v4:4:10796784:10798991:-1 gene:Potri.004G115700.v4.1 transcript:Potri.004G115700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115700.v4.1 MTDIFEGYERQYCELSSNLSRKCTAALSLDGEKKKQKISEVKAGLEDAEALIRKMDLEARNLQPNVKAVLLAKLREYKSDLNNLKTEVKRIGSGNLNAAARDELLEAGMTDALMASGDQRSRLMMATERLYHSSDRIKDSRRTMLETEELGVSILQDLHQQRQSLLHAHNTLHGVDDNIGKSKRVLTAISRRMNRNKWMISAIIAVLVVAVILILYFKLK >Potri.001G189601.2.v4.1 pep chromosome:Pop_tri_v4:1:17142001:17142907:-1 gene:Potri.001G189601.v4.1 transcript:Potri.001G189601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189601.v4.1 MSLSYLTTQALKGRCFWRREGGKEKTGAAGKKRRKEKPPLSFDECHGCM >Potri.001G189601.1.v4.1 pep chromosome:Pop_tri_v4:1:17141354:17142931:-1 gene:Potri.001G189601.v4.1 transcript:Potri.001G189601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189601.v4.1 MSLSYLTTQALKGRCFWRREGGKEKTGAAGKKRRKEKPPLSLCQAMEVESFEDEEVAK >Potri.015G018050.1.v4.1 pep chromosome:Pop_tri_v4:15:1269169:1271353:-1 gene:Potri.015G018050.v4.1 transcript:Potri.015G018050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018050.v4.1 MTTARGTMGYIAPEVFSRNFGNVSYKSDVYGFGMVLLEMVGGRKTIDDKIENNNQIYFPEWVYNSLDNGEELRIRIEKEGDAQIAKKLTIVGLWCIQWHPVDRPSMNAVVQMLEGEGDTLTMPPSPFASAGPGRRNANMPGRPLHQALEVITEAE >Potri.001G287100.1.v4.1 pep chromosome:Pop_tri_v4:1:29963374:29966035:-1 gene:Potri.001G287100.v4.1 transcript:Potri.001G287100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287100.v4.1 MASPPHPNLELRPLGNTGLKLSCVGFGASPLGSVFGPVSEHDAISSVREAFDLGINFFDTSPYYGGTLSEKMLGKGLKALGVPRNEYIVSTKCGRYVEGFDFSAERVTKSIDESLARLQLDYVDILQCHDIEFGSLDQIVNETIPALQKLREAGKIRFIGITGLPLSVFTYVLDRVPPGTVDVILSYCRYSVNDSTLVDLLPYLKSKGVGVISASPLAMGLLTENGPPEWHPASAELKSACQAAAAFCKAKGKNISKLAMQYSLANKDISSVLVGMNSVRQVKENVYAATELATFGKDQETLSEVEAILSPVKNQTWPSGIQES >Potri.010G236400.1.v4.1 pep chromosome:Pop_tri_v4:10:21656743:21659992:-1 gene:Potri.010G236400.v4.1 transcript:Potri.010G236400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236400.v4.1 MKTVAAFLLAVLGGNNSPSAEDIKKILASVGAEADDKIELLLSQVKDKDITELIAAGREKLASVPCGGGAVVAAAAPADGAAAPAAAETKEEKVEEKEDTDDDLGFSLFD >Potri.001G272000.1.v4.1 pep chromosome:Pop_tri_v4:1:28654635:28657982:1 gene:Potri.001G272000.v4.1 transcript:Potri.001G272000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272000.v4.1 MGSRNLVVSYQQQQREEAKQKTFVPAEGRTRRVLQDIGNLVNDRVSLQGKKPVTEVVDTAVARDVRAPAATKAPAAEIKKVNEKHRPEDVIVISSDETEKSTPVSRRVSRKGVKTLTSILSARSKAACGKLEDTLVDIDAADVTNELAVLEYVDDMYEFYKLTEVDSRVHDYLQSQPDINGKMRSILVDWLIEVHRKFELMPETLYLTINIVDRFLAVKMVTRRELQLVGISSMLLACKYEEIWAPEVNDFVCISDNAYTREQVLAMEKAILGKLEWYLTVPTPYVFLVRYIKASIPSDKETESLVFFLSELGLMQYHVVVKYGPSKIAASAVYAARCTMDKSPLWTETLKHHTGYTEDMLRDCAKLLVQCHSAAAQSKLKAVYKKFSSEDYGAVALLTPARSLI >Potri.001G272000.2.v4.1 pep chromosome:Pop_tri_v4:1:28654635:28658110:1 gene:Potri.001G272000.v4.1 transcript:Potri.001G272000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272000.v4.1 MGSRNLVVSYQQQQREAKQKTFVPAEGRTRRVLQDIGNLVNDRVSLQGKKPVTEVVDTAVARDVRAPAATKAPAAEIKKVNEKHRPEDVIVISSDETEKSTPVSRRVSRKGVKTLTSILSARSKAACGKLEDTLVDIDAADVTNELAVLEYVDDMYEFYKLTEVDSRVHDYLQSQPDINGKMRSILVDWLIEVHRKFELMPETLYLTINIVDRFLAVKMVTRRELQLVGISSMLLACKYEEIWAPEVNDFVCISDNAYTREQVLAMEKAILGKLEWYLTVPTPYVFLVRYIKASIPSDKETESLVFFLSELGLMQYHVVVKYGPSKIAASAVYAARCTMDKSPLWTETLKHHTGYTEDMLRDCAKLLVQCHSAAAQSKLKAVYKKFSSEDYGAVALLTPARSLI >Potri.014G077950.1.v4.1 pep chromosome:Pop_tri_v4:14:5036881:5037491:-1 gene:Potri.014G077950.v4.1 transcript:Potri.014G077950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077950.v4.1 MIVEAWVLERLTLKSEHTVLSFLGTSTLTDQIGKQNPGGFKLYLFCRPKNKSCVSLQHSLFLITCW >Potri.018G035700.1.v4.1 pep chromosome:Pop_tri_v4:18:2771743:2776106:1 gene:Potri.018G035700.v4.1 transcript:Potri.018G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035700.v4.1 MIHGEKAKDVVVLNDDPYFESEIDKIRGRWELASILNFLSIFEPVLGVDLKLTAEEIESGLVKPNKSLAQLHVKLLKGIPPVSNKLKASDAWVTALCKKLATWWPWVAEGELPLKEAKGEEISEYKELDPTNRLLILKALCEIRAEQDDIASYVNTSLKDGTEISYFRKDKVGGDGTAISYWYDGSSTIGHRLYKEVNMTRANIRMKGKASKNLPATCLQWEILATNLEGFQKVVNELSSSKVVADVAAGKTIETDVLPIIQKFQKKKDRALKQKERQEELLNSFRSYTAGITRSCRSRRPISYTFDDYDRAIDEAIKITKKRNTIEEESNDRKHVKQGIASDGGSNMGINSTESLGEKGDSDMSADSKGNIEKGNFSDSEESGKLDEAGNDDDDSDDDYDDKMDYDNGNRSGKSDEENETFGGKNIARKFGSRWSSRLAGVASHPVVEAGNFGTKNRLRQRPMRNSALDSINVLDSDDETSSKHTNSEISGHEDLSPVCNSEGVSDSL >Potri.005G217000.1.v4.1 pep chromosome:Pop_tri_v4:5:22024351:22026689:-1 gene:Potri.005G217000.v4.1 transcript:Potri.005G217000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217000.v4.1 MFRITIASNDLPKFIANRLSLFTNFIAPVLLCLTLAILLLSKIFKSKPSRKVFLLDFACYKPPASQMWSKELTMERARHHFTNLSEEALLFMEKILEKSGIGPSTYLPDAFRSEQPNECMEEVRKESEMVIFGAVDDILAKTGVKGEDIGIVIVNCCIFNTVPSLSAMIINRYKLGDKVVSYSLSGMGCSAGLAAIGLAKQLLQVHRNSYALVVSTENITGNRYLGKDRSMILINCLFRVGGAAVLLSNKPSDRHAAKYQLIHAVHTNTAASDLSYNCISSAEDAEGLVGVAITKSLMPVAIKTIEANLTTLGHLVLPISEKILFIANYIARHFNLEKIKPYVPDFMKAIDHIVTHVGGQPVLDEVERSLKLSKNDMEASRMTLYRFGNTSSSSVWYGLAYTEAKGRIKKGDRVWQIAFGSGFKCNSLIMKAMRDVDLEEKNPWSDEIDGFPVALMSSDGTFPFDFKPSK >Potri.008G133700.1.v4.1 pep chromosome:Pop_tri_v4:8:8884754:8888890:1 gene:Potri.008G133700.v4.1 transcript:Potri.008G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133700.v4.1 MDDMKDKFKGFMKKVSSSSSPSKFKGQGRVLGGGGSTPSQSSSGPTNPLHARYSQPVNSNNSKPKPNPSSSLTSSSNSKPLPEKRSNLDQNKPTPANKTTNGLDPFDSLITTSKRSQNGYSLNVFECPICGQSYQSEEEVSIHVETCVNNSNANSPVKSNDRVVGDDSAVEESSGSELETCVSAYLSGKPLEGSVEVVLRLLRNIVKEPANAKFRRIRMGNPKIREAVTEVAGGVELLEIVGFGLKEEGGEMWAILEEAPKEEKIGLINKVIGFLEPRKEEQEEPRAEAKPSDAPAETEEQVEPKKVDRQIRVFFSVPENVAARIELPDSFYSLSAEELKREADMRKKKIAESQLLIPKSYKEKQAKAGRKRYKRTIIRIQFPDGVVLQGVFAPWESTTALYEFVSAALKEPGLEFELLHPVAVKRRVIPHIPSVGERATTLDAEDLVPSALIKFRPIETDSTVFTGLCNELLEISEPLN >Potri.008G133700.6.v4.1 pep chromosome:Pop_tri_v4:8:8884814:8888971:1 gene:Potri.008G133700.v4.1 transcript:Potri.008G133700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133700.v4.1 MDDMKDKFKGFMKKVSSSSSPSKFKGQGRVLGGGGSTPSQSSSGPTNPLHARYSQPVNSNNSKPKPNPSSSLTSSSNSKPLPEKRSNLDQNKPTPANKTTNGLDPFDSLITTSKRSQNGYSLNVFECPICGQSYQSEEEVSIHVETCVNNSNANSPVKSNDRVVGDDSAVEESSGSELETCVSAYLSGKPLEGSVEVVLRLLRNIVKEPANAKFRRIRMGNPKIREAVTEVAGGVELLEIVGFGLKEEGGEMWAILEEAPKEEKIGLINKVIGFLEPRKEEQEEPRAEAKPSDAPAETEEQVEPKKVDRQIRVFFSVPENVAARIELPDSFYSLSAEELKREADMRKKKIAESQLLIPKSYKEKQAKAGRKRYKRTIIRIQFPDGVVLQGVFAPWESTTALYEVSHLNCFYLILELFHSWLAMKTTTLCIKRQHLF >Potri.005G018700.1.v4.1 pep chromosome:Pop_tri_v4:5:1204156:1208914:1 gene:Potri.005G018700.v4.1 transcript:Potri.005G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G018700.v4.1 MLVSHLEMGMLSEGLTQVLIPVAALIGIIFALLQWYLVSKVKVSGDSSNGLSDKLIEDEEDGVDNREASIKCAEIQNAISVGATSFLFTQYKYLSVFMCVFAAIIFLFLGSVKGFSTKSEPCTYNKGSLCKPALANAAFSTVAFLLGALTSVLSGFLGMKIATYANARTTLEARKGVGKAFVTAFRSGAVMGFLLAANGLLVLYISILLFKLYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVELNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALFVASISSFGINHDHTAMSFPLIISSVGIVVCLITTLFATDLFKIKDVSEIEPSLKRQLVVSTILMTVGIAMVSFFALPSEFTIFNFGTEKVVKNWHLFFCVAIGLWAGLVIGYTTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFSIAIAIFVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGINTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFKTIPGLMEGRAKPDYANCVKISTDASLREMIPPGALVMLTPLITGTLFGVETLAGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGVSEHAKSLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKYL >Potri.019G130200.1.v4.1 pep chromosome:Pop_tri_v4:19:15285226:15287208:-1 gene:Potri.019G130200.v4.1 transcript:Potri.019G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130200.v4.1 MGDLKTLAKARMELEELYSGIPDDSVNLTFQDLAIHVKQSKNSTPEKINTTTNKEPIQEAKTPKQVSPLTKLPSLDFNRGLQASKNHQQPRHLAEGHPLGQSHKHNLQHDDTHSPCGTIGSYHHDQSNHGHANIGRKGPSKSRFAPERSREFDDVSVMSMDSMYQERSGRPRRPGIPHSNICAVCSTYIYIFRHRCLVCGRVHCRNCVSIGMGEMTEGRKCIDCLGRRFSQRYISRAGMVGCCSGYPSDVKQAELRWAEKGPRRAGERAFGHGNMTSRSRNPMSTPPRPSNKAGVSMSQDLPSFVTSSPYSPYSTPKHHQLPL >Potri.001G142700.1.v4.1 pep chromosome:Pop_tri_v4:1:11711574:11712631:1 gene:Potri.001G142700.v4.1 transcript:Potri.001G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142700.v4.1 MDCLVLPVSMLRKCCTRSRPGYRPLTEDGFSDLDSPVTVVVGKEMKEFLVDPFVLEESPFRVLIETVRKDNIFYDITSRSREEKKVIFVDVDAILFEHMLWLMYNDSSSLFQLNLKEIIDFYAQDC >Potri.009G007400.2.v4.1 pep chromosome:Pop_tri_v4:9:1479793:1483440:-1 gene:Potri.009G007400.v4.1 transcript:Potri.009G007400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007400.v4.1 MCLSTHGLAAEERTEISEFARWILSIGNGDISDLPFSGELDDSFISIPSDLLLHTSCDPIPAIVSAIYPSISDPQMDPCYFRERAIVTPRNTTVVEINDFVLAMTPGNKHIYLSTDSISTSSRETDIANSLYPTEYINQLEFNGVPPHTFALKIGTPVMLLRNINPSIGLCNGTRLIVTQLSARVIEAQIITGSNIGNRVFIPIIIFPINEGRCSFTIKRRQFPLRLCYAMTINKSQGQSLKTVGVFLKEQVFTHGQLYVALSRVTSRKGLKIISCNNQGEPSHYAKNIVYKDIISALPKGCF >Potri.017G101650.1.v4.1 pep chromosome:Pop_tri_v4:17:11300961:11301895:1 gene:Potri.017G101650.v4.1 transcript:Potri.017G101650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101650.v4.1 MGYRERSTSRRAAFLRWLVFFFHDAASFDAEAARSSRNSEPFRPEEEMVAAARHFSTAHKVRFG >Potri.019G099100.2.v4.1 pep chromosome:Pop_tri_v4:19:13593725:13597389:1 gene:Potri.019G099100.v4.1 transcript:Potri.019G099100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099100.v4.1 MLQSSELQLFQTFNGLQHIAKTHRFKAWFLDQFGVLHDGKQPYPGAISTLQKLATTGAKMVIISNSSRRASTTMEKMKSLGFDTSLFLGAITSGELTHQYLQRRDDGWFAALGKSCIHMTWKGRGAISLEGLGLQVVDKVEEAEFVLAHGTEALGLSSGASCPMTLEELEKVLERCAAKQIPMVVANPDFVTVEARDLRVMPGTLAAKYEKLGGEVKWMGKPDKIIYKSAMELAGVDAFDSIAVGDSLHHDIKGANAVGIWSAFITGGIHATELGVNSFGEEAALSSVQALASKYDAYPSFVLPSFTW >Potri.009G137200.1.v4.1 pep chromosome:Pop_tri_v4:9:11034719:11043768:-1 gene:Potri.009G137200.v4.1 transcript:Potri.009G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137200.v4.1 MEFDEYDYLEKTVENPEPPKVRETANGEGDIVKSREKDRPRSSKRRSDDKDDGDDERRRSKQSRSGDENRDRERSKERGLSHHRSQSRDGERDRHRSSREHRDRDRGKDRDREERNGKERDRDRDRREHDRDGEREREREKETERERSRRSRSRSERHRSDQDEKDREKSRERELREKEREKEREKERESRERDRESRRYKERKEEATEPEADPERDQRTVFAYQICLKADERDVYEFFSRAGKVRDVRLIMDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTAVASGGLIGPYTGGARRLYIGNLHFNITEDQLRQVFEPFGAVELVQLPHDEGGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQAGAQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSITGSLGTPTLPTAPILGAAPAVSPAIAPLLSGSVLAIPGLPVAGLQLPATTIPTVETIGVPSDCILLKNMFDPKKETEPDFDLDIKEDVQEECSRFGNVKHIHVDKNSAGFVYMRFENMQGAVNAQRALHGRWFAGKMITATFMVPQTYEAKFPDST >Potri.009G137200.3.v4.1 pep chromosome:Pop_tri_v4:9:11035048:11043744:-1 gene:Potri.009G137200.v4.1 transcript:Potri.009G137200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137200.v4.1 MDRNSRRSKGVGYIEFYDAMSVPMAIALSGQPLLGQPVMVKPSEAEKNLVQSTTAVASGGLIGPYTGGARRLYIGNLHFNITEDQLRQVFEPFGAVELVQLPHDEGGHCKGFGFVQFARLEDARNALNLNGQVEIAGRPIKVSAVTDQAGAQDGGTNVGDFDDDEGGGLALNARSRALLMQKLDRTGTASSITGSLGTPTLPTAPILGAAPAVSPAIAPLLSGSVLAIPGLPVAGLQLPATTIPTVETIGVPSDCILLKNMFDPKKETEPDFDLDIKEDVQEECSRFGNVKHIHVDKNSAGFVYMRFENMQGAVNAQRALHGRWFAGKMITATFMVPQTYEAKFPDST >Potri.008G195000.1.v4.1 pep chromosome:Pop_tri_v4:8:13761361:13763263:-1 gene:Potri.008G195000.v4.1 transcript:Potri.008G195000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195000.v4.1 MASSRFSLLFPFFVFFIILCLISHPVICDGDQEDALLQGINNYRTSFNLTTLTKNDNAECLAEEIADQFKNQPCTNTTGSNTVPGTEPQFPNYPSLLAKCHLNVSNTRDGAVMPACVPHLDPSLVLTNFTRTPYSDNLNDTKFTGAGIGSDGNWIVVVLTTSTPEGSYVTSKTDGSDYNAANLTAKNTGLIYHLLFLLIGSLFLL >Potri.018G093000.1.v4.1 pep chromosome:Pop_tri_v4:18:11347614:11348301:1 gene:Potri.018G093000.v4.1 transcript:Potri.018G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093000.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.017G082300.3.v4.1 pep chromosome:Pop_tri_v4:17:9232957:9237472:-1 gene:Potri.017G082300.v4.1 transcript:Potri.017G082300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082300.v4.1 MGSVNGFLYRKLLPFASSFWLSVSTLFLALFGFFNRTMFRFKSNNLEPEGEAKVPEVEVSEFKEIKEIDELDEKEIPSEAKEPEVEVYEFKETKEVDELKEKETPKFFFKFQFQTYREEDEPVVLSSVTPASTNKYEFLSGKNFSHYLEEPEVVSLTVKELYADSDGDSIDYKEKMESGVLSDKDFAKKESEAESVREEIEEISAHSVREQDAKMEFEVETSIEEEAGKLEEENCIEESISRKGKAVVVENNVSSDGDVSRDDDAQFLSDMDLIVSDSDSDSVVSNHEFMSRCVASTSDGFLSDKDFEDVFELDILKDIHGQTVESTDEDLELEYLNLQNLNSGYEADDFDDEDSDIMEELKNIEEAVQKPAIVEDTEMVSERDFEDNNMSNRKERGSEDNEAKDILENPKSNSQDSSAADSEDSNGLETLWEHQDLIEQLKMELKKVRATGLPTILEEDESPKIMEDLKPWKIDEKFQHEDRMSELHKFYKSYRERMRKLDILSYQKVYAMNLLQSKDPLQSIARHEASAPALTSLLPQKFLLSKRRKSSSDPMMNFVREYHNDLEVVYVGQLCLSWEILHWQYEKALELWDSDPYGMRQYNEVAGEFQQFQVILQRFIENEPFEGPRVKNYIKNRYVLRNLLQVPVIKEDSMKDKKARRKGRDDGSITSDMLVEIMEESIRIFWRFVRSDKDAQNVISKGRKGTQIEPQDPTELELLTEVRTNFQKKERRLKDVLRSGNCILKKFQKHREDNSNQVLYFFSQVDMKLVARVLSMSRVTTDQLLWCHNKLSKINFVSRKIHVEPSFLLFPS >Potri.017G082300.4.v4.1 pep chromosome:Pop_tri_v4:17:9232877:9236599:-1 gene:Potri.017G082300.v4.1 transcript:Potri.017G082300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082300.v4.1 MESGVLSDKDFAKKESEAESVREEIEEISAHSVREQDAKMEFEVETSIEEEAGKLEEENCIEESISRKGKAVVVENNVSSDGDVSRDDDAQFLSDMDLIVSDSDSDSVVSNHEFMSRCVASTSDGFLSDKDFEDVFELDILKDIHGQTVESTDEDLELEYLNLQNLNSGYEADDFDDEDSDIMEELKNIEEAVQKPAIVEDTEMVSERDFEDNNMSNRKERGSEDNEAKDILENPKSNSQDSSAADSEDSNGLETLWEHQDLIEQLKMELKKVRATGLPTILEEDESPKIMEDLKPWKIDEKFQHEDRMSELHKFYKSYRERMRKLDILSYQKVYAMNLLQSKDPLQSIARHEASAPALTSLLPQKFLLSKRRKSSSDPMMNFVREYHNDLEVVYVGQLCLSWEILHWQYEKALELWDSDPYGMRQYNEVAGEFQQFQVILQRFIENEPFEGPRVKNYIKNRYVLRNLLQVPVIKEDSMKDKKARRKGRDDGSITSDMLVEIMEESIRIFWRFVRSDKDAQNVISKGRKGTQIEPQDPTELELLTEVRTNFQKKERRLKDVLRSGNCILKKFQKHREDNSNQVLYFFSQVDMKLVARVLSMSRVTTDQLLWCHNKLSKINFVSRKIHVEPSFLLFPS >Potri.002G066300.1.v4.1 pep chromosome:Pop_tri_v4:2:4577180:4578385:1 gene:Potri.002G066300.v4.1 transcript:Potri.002G066300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066300.v4.1 MDSSSHVFILSAILMLLVSQSMATVAPSELVEDVCTEIEKLMMAYGERSGYVAKYDECVNALQMDPRTATANISTLAEISVQLAISGAKNAKALIENLLGNTTPSREPLQNCLSSYVNITGHFENALSGLSAGLQSSHFDIAGVLDLVKICELELTKNQTHILQLTNRNHYTRMFVEISEFLITRLELYHIGNGFTQTRTQG >Potri.004G151600.4.v4.1 pep chromosome:Pop_tri_v4:4:17296960:17301196:-1 gene:Potri.004G151600.v4.1 transcript:Potri.004G151600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151600.v4.1 MSKLGTKPYMISALGNDMAGKLLLEPWNSASLSTEGIMKHQDIKTPVICNIFDTEGELAAAVASVEAVEKFLTSSWIQQSKKNIFSAPVMMVDANLSLPALEASCQLAAESNTPVWFEPVSVAKSRRIVSVAKYVTFASPNEDELIAMANALSHENMFRHIERDSNSRCSVESLFQFLKPAILVLLEKGIKIVAVTLGADGVFLCSRGPNVVRFSLDRTKKYGFSGQLYDKVVSSCPSSRFSGALQIELLLSVQV >Potri.004G151600.1.v4.1 pep chromosome:Pop_tri_v4:4:17297141:17301196:-1 gene:Potri.004G151600.v4.1 transcript:Potri.004G151600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151600.v4.1 MENSAQRRLERVSRHLLSPIEVNNGLSQVLIKGGHGKHEEEGDPVVIGGMVLDIHATPSLPLNPRTTTPGKVHYVLGGVARNIAECMSKLGTKPYMISALGNDMAGKLLLEPWNSASLSTEGIMKHQDIKTPVICNIFDTEGELAAAVASVEAVEKFLTSSWIQQSKKNIFSAPVMMVDANLSLPALEASCQLAAESNTPVWFEPVSVAKSRRIVSVAKYVTFASPNEDELIAMANALSHENMFRHIERDSNSRCSVESLFQFLKPAILVLLEKGIKIVAVTLGADGVFLCSRGPNVVRFSLDRTKKYGFSGQLYDKVVSSCPSSRFSGALQIEISSHLFSVHFPALPASVVRLTGAGDCLVGGTLASLCSGLDIMQSIAVGIAAAKSAVEGEANVPSEFSLATITDDARSIYSAAKIPFHQSML >Potri.004G151600.3.v4.1 pep chromosome:Pop_tri_v4:4:17296960:17301196:-1 gene:Potri.004G151600.v4.1 transcript:Potri.004G151600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151600.v4.1 MENSAQRRLERVSRHLLSPIEVNNGLSQVLIKGGHGKHEEEGDPVVIGGMVLDIHATPSLPLNPRTTTPGKVHYVLGGVARNIAECMSKLGTKPYMISALGNDMAGKLLLEPWNSASLSTEGIMKHQDIKTPVICNIFDTEGELAAAVASVEAVEKFLTSSWIQQSKKNIFSAPVMMVDANLSLPALEASCQLAAESNTPVWFEPVSVAKSRRIVSVAKYVTFASPNEDELIAMANALSHENMFRHIERDSNSRCSVESLFQFLKPAILVLLEKGIKIVAVTLGADGVFLCSRGPNVVRFSLDRTKKYGFSGQLYDKVVSSCPSSRFSGALQIELLLSVQV >Potri.T124806.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:62096:63406:1 gene:Potri.T124806.v4.1 transcript:Potri.T124806.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124806.v4.1 MATLATLATETQFHVLAVDDSLIDRKLIERLLKTSSYQVTAVDSGSKALEFLGLHGDDEQRDSNPSSVSPDHHHQHIEINMIITDYCMPGMTGYDLLKKIKESKYFKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQLSDVNKLRPHLMKGRCKEEDQPNNKRKGMEEIVNSPGRTRSRYNEGLEVVLSQ >Potri.008G032500.1.v4.1 pep chromosome:Pop_tri_v4:8:1750359:1751554:-1 gene:Potri.008G032500.v4.1 transcript:Potri.008G032500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032500.v4.1 MEDYSGPLNWPCFYQDEGIEDLRHYLLYTTELETAIVSAKEEITRREFEIFHLKDLISKAIEERNEAQIQCQELVSDKLALQQQLLQKQPENQLQQEMQHLKQESASLTRTYSSEDESKASDSNNHSSSPDSSKVTVPSQFTDLIPQETLQSSLPEVILKLAADKPLPVKGKLLQAVKEAGPLLQTLLLAGPLPQWQHPPPQLDSIEIPPVTISSPTARLIHQDSFNSFSSCLSKKRDLELCEGPDSSSPANKYQKVVPH >Potri.006G227200.13.v4.1 pep chromosome:Pop_tri_v4:6:23140368:23148706:-1 gene:Potri.006G227200.v4.1 transcript:Potri.006G227200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227200.v4.1 MYGQGSSNPQSGSQGPQTPIAPPYLHHPPPLPHSFQQGPLPPPPPHTSQPSLPGYQHVSMPQHLAVQQLAPGRTPNPGQPYLHSSATVHGSAPMPHVYAPAQQNSQHSSYLASVPPPPPPFGSHRPEMLQASLPYRGLPPPPPSQGQGFYKSPVHSLPKQLAGVQGHVPPPPPPPLPPTSSFLTPALSGSSAEPHVGNPQMLSTAPPPPPPPLPPPPTSCAPPIPPLHNSHMMPLSAPVLDGSIPQFYSDFASNSSKPSGDKLGPTSSANEVIPLNQGGHNVLMRDDNLYLEGGSGAGVCFKLDEGKTFSEPSHLMDAVGSHMSSDSDMEMEDDITQSDNDQAVNYPIGGQTHGNHLIAGAFDVREQLHELQSSAQSDASTMVLSLSGSSRLNNGEQGSKLINCYNQLTSGESVSEVHSPVINSAGADEKSSLRIMAAAGCINSDKYSGEVFRGSSPFKLVQDYASEDCSENNEEPCLKDDIPKTASDLVTVGSEYLQQQQPPYGLDPSAIDNVYGLSGKVGSSSRLPPDILDSNQPSHLPDFGAKNSAHLNSFPTSFEQPLNSGFSSDVVRQEKVPSCSCKDDHSSCLNHASLDGQGVSVGTRHPIPSPNSARGVAQIIPSSGGDQYDPFLDSIEPTSNSFKRLDHIQKWEPIDTDVMPRLSASNKSLDVEENKKEVRGIVVSTSLDNEEFGEIADAEVGDVENQSQSTPVVVNVNMGDMEIDQIKSPKKSKKSKESRSMKLFKVTLADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSKAKMDHYIDSSQQKLTKLVMGYVDKYAKG >Potri.006G227200.14.v4.1 pep chromosome:Pop_tri_v4:6:23141253:23146762:-1 gene:Potri.006G227200.v4.1 transcript:Potri.006G227200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227200.v4.1 MYGQGSSNPQSGSQGPQTPIAPPYLHHPPPLPHSFQQGPLPPPPPHTSQPSLPGYQHVSMPQHLAVQQLAPGRTPNPGQPYLHSSATVHGSAPMPHVYAPAQQNSQHSSYLASVPPPPPPFGSHRPEMLQASLPYRGLPPPPPSQGQGFYKSPVHSLPKQLAGVQGHVPPPPPPPLPPTSSFLTPALSGSSAEPHVGNPQMLSTAPPPPPPPLPPPPTSCAPPIPPLHNSHMMPLSAPVLDGSIPQFYSDFASNSSKPSGDKLGPTSSANEVIPLNQGGHNVLMRDDNLYLEGGSGAGVCFKLDEGKTFSEPSHLMDAVGSHMSSDSDMEMEDDITQSDNDQAVNYPIGGQTHGNHLIAGAFDVREQLHELQSSAQSDASTMVLSLSGSSRLNNGEQGSKLINCYNQLTSGESVSEVHSPVINSAGADEKSSLRIMAAAGCINSDKYSGEVFRGSSPFKLVQDYASEDCSENNEEPCLKDDIPKTASDLVTVGSEYLQQQQPPYGLDPSAIDNVYGLSGKVGSSSRLPPDILDSNQPSHLPDFGAKNSAHLNSFPTSFEQPLNSGFSSDVVRQEKVPSCSCKDDHSSCLNHASLDGQGVSVGTRHPIPSPNSARGVAQIIPSSGGDQYDPFLDSIEPTSNSFKRLDHIQKWEPIDTDVMPRLSASNKSLDVEENKKEVRGIVVSTSLDNEEFGEIADAEVGDVENQSQSTPVVVNVNMGDMEIDQIKSPKKSKKSKESRSMKLFKVTLADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSKAKMDHYIDSSQQKLTKLVMGYVDKYAKG >Potri.006G227200.10.v4.1 pep chromosome:Pop_tri_v4:6:23139543:23148755:-1 gene:Potri.006G227200.v4.1 transcript:Potri.006G227200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227200.v4.1 MYGQGSSNPQSGSQGPQTPIAPPYLHHPPPLPHSFQQGPLPPPPPHTSQPSLPGYQHVSMPQHLAVQQLAPGRTPNPGQPYLHSSATVHGSAPMPHVYAPAQQNSQHSSYLASVPPPPPPFGSHRPEMLQASLPYRGLPPPPPSQGQGFYKSPVHSLPKQLAGVQGHVPPPPPPPLPPTSSFLTPALSGSSAEPHVGNPQMLSTAPPPPPPPLPPPPTSCAPPIPPLHNSHMMPLSAPVLDGSIPQFYSDFASNSSKPSGDKLGPTSSANEVIPLNQGGHNVLMRDDNLYLEGGSGAGVCFKLDEGKTFSEPSHLMDAVGSHMSSDSDMEMEDDITQSDNDQAVNYPIGGQTHGNHLIAGAFDVREQLHELQSSAQSDASTMVLSLSGSSRLNNGEQGSKLINCYNQLTSGESVSEVHSPVINSAGADEKSSLRIMAAAGCINSDKYSGEVFRGSSPFKLVQDYASEDCSENNEEPCLKDDIPKTASDLVTVGSEYLQQQQPPYGLDPSAIDNVYGLSGKVGSSSRLPPDILDSNQPSHLPDFGAKNSAHLNSFPTSFEQPLNSGFSSDVVRQEKVPSCSCKDDHSSCLNHASLDGQGVSVGTRHPIPSPNSARGVAQIIPSSGGDQYDPFLDSIEPTSNSFKRLDHIQKWEPIDTDVMPRLSASNKSLDVEENKKEVRGIVVSTSLDNEEFGEIADAEVGDVENQSQSTPVVVNVNMGDMEIDQIKSPKKSKKSKESRSMKLFKVTLADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSKAKMDHYIDSSQQKLTKLVMGYVDKYAKG >Potri.006G227200.8.v4.1 pep chromosome:Pop_tri_v4:6:23139612:23148846:-1 gene:Potri.006G227200.v4.1 transcript:Potri.006G227200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227200.v4.1 MYGQGSSNPQSGSQGPQTPIAPPYLHHPPPLPHSFQQGPLPPPPPHTSQPSLPGYQHVSMPQHLAVQQLAPGRTPNPGQPYLHSSATVHGSAPMPHVYAPAQQNSQHSSYLASVPPPPPPFGSHRPEMLQASLPYRGLPPPPPSQGQGFYKSPVHSLPKQLAGVQGHVPPPPPPPLPPTSSFLTPALSGSSAEPHVGNPQMLSTAPPPPPPPLPPPPTSCAPPIPPLHNSHMMPLSAPVLDGSIPQFYSDFASNSSKPSGDKLGPTSSANEVIPLNQGGHNVLMRDDNLYLEGGSGAGVCFKLDEGKTFSEPSHLMDAVGSHMSSDSDMEMEDDITQSDNDQAVNYPIGGQTHGNHLIAGAFDVREQLHELQSSAQSDASTMVLSLSGSSRLNNGEQGSKLINCYNQLTSGESVSEVHSPVINSAGADEKSSLRIMAAAGCINSDKYSGEVFRGSSPFKLVQDYASEDCSENNEEPCLKDDIPKTASDLVTVGSEYLQQQQPPYGLDPSAIDNVYGLSGKVGSSSRLPPDILDSNQPSHLPDFGAKNSAHLNSFPTSFEQPLNSGFSSDVVRQEKVPSCSCKDDHSSCLNHASLDGQGVSVGTRHPIPSPNSARGVAQIIPSSGGDQYDPFLDSIEPTSNSFKRLDHIQKWEPIDTDVMPRLSASNKSLDVEENKKEVRGIVVSTSLDNEEFGEIADAEVGDVENQSQSTPVVVNVNMGDMEIDQIKSPKKSKKSKESRSMKLFKVTLADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSKAKMDHYIDSSQQKLTKLVMGYVDKYAKG >Potri.006G227200.12.v4.1 pep chromosome:Pop_tri_v4:6:23141271:23148708:-1 gene:Potri.006G227200.v4.1 transcript:Potri.006G227200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227200.v4.1 MYGQGSSNPQSGSQGPQTPIAPPYLHHPPPLPHSFQQGPLPPPPPHTSQPSLPGYQHVSMPQHLAVQQLAPGRTPNPGQPYLHSSATVHGSAPMPHVYAPAQQNSQHSSYLASVPPPPPPFGSHRPEMLQASLPYRGLPPPPPSQGQGFYKSPVHSLPKQLAGVQGHVPPPPPPPLPPTSSFLTPALSGSSAEPHVGNPQMLSTAPPPPPPPLPPPPTSCAPPIPPLHNSHMMPLSAPVLDGSIPQFYSDFASNSSKPSGDKLGPTSSANEVIPLNQGGHNVLMRDDNLYLEGGSGAGVCFKLDEGKTFSEPSHLMDAVGSHMSSDSDMEMEDDITQSDNDQAVNYPIGGQTHGNHLIAGAFDVREQLHELQSSAQSDASTMVLSLSGSSRLNNGEQGSKLINCYNQLTSGESVSEVHSPVINSAGADEKSSLRIMAAAGCINSDKYSGEVFRGSSPFKLVQDYASEDCSENNEEPCLKDDIPKTASDLVTVGSEYLQQQQPPYGLDPSAIDNVYGLSGKVGSSSRLPPDILDSNQPSHLPDFGAKNSAHLNSFPTSFEQPLNSGFSSDVVRQEKVPSCSCKDDHSSCLNHASLDGQGVSVGTRHPIPSPNSARGVAQIIPSSGGDQYDPFLDSIEPTSNSFKRLDHIQKWEPIDTDVMPRLSASNKSLDVEENKKEVRGIVVSTSLDNEEFGEIADAEVGDVENQSQSTPVVVNVNMGDMEIDQIKSPKKSKKSKESRSMKLFKVTLADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSKAKMDHYIDSSQQKLTKLVMGYVDKYAKG >Potri.006G227200.11.v4.1 pep chromosome:Pop_tri_v4:6:23141071:23148726:-1 gene:Potri.006G227200.v4.1 transcript:Potri.006G227200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227200.v4.1 MYGQGSSNPQSGSQGPQTPIAPPYLHHPPPLPHSFQQGPLPPPPPHTSQPSLPGYQHVSMPQHLAVQQLAPGRTPNPGQPYLHSSATVHGSAPMPHVYAPAQQNSQHSSYLASVPPPPPPFGSHRPEMLQASLPYRGLPPPPPSQGQGFYKSPVHSLPKQLAGVQGHVPPPPPPPLPPTSSFLTPALSGSSAEPHVGNPQMLSTAPPPPPPPLPPPPTSCAPPIPPLHNSHMMPLSAPVLDGSIPQFYSDFASNSSKPSGDKLGPTSSANEVIPLNQGGHNVLMRDDNLYLEGGSGAGVCFKLDEGKTFSEPSHLMDAVGSHMSSDSDMEMEDDITQSDNDQAVNYPIGGQTHGNHLIAGAFDVREQLHELQSSAQSDASTMVLSLSGSSRLNNGEQGSKLINCYNQLTSGESVSEVHSPVINSAGADEKSSLRIMAAAGCINSDKYSGEVFRGSSPFKLVQDYASEDCSENNEEPCLKDDIPKTASDLVTVGSEYLQQQQPPYGLDPSAIDNVYGLSGKVGSSSRLPPDILDSNQPSHLPDFGAKNSAHLNSFPTSFEQPLNSGFSSDVVRQEKVPSCSCKDDHSSCLNHASLDGQGVSVGTRHPIPSPNSARGVAQIIPSSGGDQYDPFLDSIEPTSNSFKRLDHIQKWEPIDTDVMPRLSASNKSLDVEENKKEVRGIVVSTSLDNEEFGEIADAEVGDVENQSQSTPVVVNVNMGDMEIDQIKSPKKSKKSKESRSMKLFKVTLADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSKAKMDHYIDSSQQKLTKLVMGYVDKYAKG >Potri.006G227200.9.v4.1 pep chromosome:Pop_tri_v4:6:23141299:23148768:-1 gene:Potri.006G227200.v4.1 transcript:Potri.006G227200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227200.v4.1 MYGQGSSNPQSGSQGPQTPIAPPYLHHPPPLPHSFQQGPLPPPPPHTSQPSLPGYQHVSMPQHLAVQQLAPGRTPNPGQPYLHSSATVHGSAPMPHVYAPAQQNSQHSSYLASVPPPPPPFGSHRPEMLQASLPYRGLPPPPPSQGQGFYKSPVHSLPKQLAGVQGHVPPPPPPPLPPTSSFLTPALSGSSAEPHVGNPQMLSTAPPPPPPPLPPPPTSCAPPIPPLHNSHMMPLSAPVLDGSIPQFYSDFASNSSKPSGDKLGPTSSANEVIPLNQGGHNVLMRDDNLYLEGGSGAGVCFKLDEGKTFSEPSHLMDAVGSHMSSDSDMEMEDDITQSDNDQAVNYPIGGQTHGNHLIAGAFDVREQLHELQSSAQSDASTMVLSLSGSSRLNNGEQGSKLINCYNQLTSGESVSEVHSPVINSAGADEKSSLRIMAAAGCINSDKYSGEVFRGSSPFKLVQDYASEDCSENNEEPCLKDDIPKTASDLVTVGSEYLQQQQPPYGLDPSAIDNVYGLSGKVGSSSRLPPDILDSNQPSHLPDFGAKNSAHLNSFPTSFEQPLNSGFSSDVVRQEKVPSCSCKDDHSSCLNHASLDGQGVSVGTRHPIPSPNSARGVAQIIPSSGGDQYDPFLDSIEPTSNSFKRLDHIQKWEPIDTDVMPRLSASNKSLDVEENKKEVRGIVVSTSLDNEEFGEIADAEVGDVENQSQSTPVVVNVNMGDMEIDQIKSPKKSKKSKESRSMKLFKVTLADFVKEVLKPSWQQGNMSKEAFKTIVKKTVDKVAGAMKSHQIPKSKAKMDHYIDSSQQKLTKLVMGYVDKYAKG >Potri.018G096007.1.v4.1 pep chromosome:Pop_tri_v4:18:11604193:11605221:1 gene:Potri.018G096007.v4.1 transcript:Potri.018G096007.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096007.v4.1 MHSWHASIAIFILLVSSSHAVITKRPNPYLSTANRFLAPQNAVRASLRIRPLVWDAKLERYAQWYANQRRSDCALKHSNGPYGENIFWGSGSDWTPAQAAVAWVSERKCYDYRSNSCAQGEECGHYTQVVWRNTRRIGCARVTCFGGRGVFMTCNYDPPGNYIGEKPY >Potri.001G015966.1.v4.1 pep chromosome:Pop_tri_v4:1:1201824:1202498:1 gene:Potri.001G015966.v4.1 transcript:Potri.001G015966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015966.v4.1 MVATWLSYCSIISWTLEHLLMLHDSLTDSLDIEVDDIRCENIAEKDVSDEEIGAEDMDRRMWKDHVKLKRIKERQKLAVQLAEKQKLKRTYDLARRKKMLRVQDGILKYMLKLMEVCEARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEAECSAMGELNESRNRNSQSVLEDLQDATLGFLVFFDSALQSPSKEASVGEKGSTTLVANWK >Potri.001G002300.3.v4.1 pep chromosome:Pop_tri_v4:1:147682:151271:1 gene:Potri.001G002300.v4.1 transcript:Potri.001G002300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002300.v4.1 MGNCCCRWELSVYNAKSVSPKEQGPSGRPIQDDRKLPSNPEEVEDLRRDSAANPLIAFTFDELKLITENFRQDHLLGGGGFGSVYKGIIAKDSREELQPLQVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCQDEHRVLIYEYMARGSVENNLFSRVLLPLPWSIRMKIAFGAAKGLAFLHEAEKPVIYRDFKTSNILLDQDYNAKLSDFGLAKDGPMGDKTHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKSRPGREQNLTDWALPLLKERRKLLNIVDPRLEGDYPIKGFQKAAMLAYHCLNRNPKARPLMRDIVDSLEPLQEPEDVPNKKNCVHCD >Potri.010G081000.5.v4.1 pep chromosome:Pop_tri_v4:10:10839998:10843965:-1 gene:Potri.010G081000.v4.1 transcript:Potri.010G081000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081000.v4.1 MGNEMGNNNTSGLREEDTMADEDQGKSVQELVNANVVKEENHVLPAEESEDYHEKVTGLDSDDPKGSGDIHDHNQASDESDHTEVHPAAGSPKAELKSNEVGGEDNQSQPASSPNELEGHEKPTESNLEGNVLGANSNQLEKRASFKKEEEKVMSTSPFFTISPSHDPEPQDSVGLKFDQHELTETCADQSAQDSFNSSKPSENILGTSIAGITSANDHLLNTCLSDNLDGILVSGTNLDVEEKRVDLSEKEMTSQEDEMLSEEKVEVGNYSAKTNVIDATRISSDSRSDYRDKCEEEFTSEMDCYGNNCSESHPEANLMANSLNSHIELSVPEDKCFVSTEETEFMRKESEIEANEHHYDLNQLREDSIRESDNDLANASQTDSFLGSSCKNNEESSVNVSHDPVSNGSCQVEKAKVDENGYHVDLFNGIQSEAFEDSCKESEGDTMMVPELGMLPEELSMSNRRGNEEETDCTMGEEKPEERQIVEKIKEKTEVPCAVGKGAEEQQSGEQFMSRTVSVQAEAYTPKAPASLFQTQDQQQETVMASAVAQCSNELALELRPESCKEFLVAKASTDQAAGINIAGNSASTVELAMDKPQQEDSFYVIAAPEIVRETKSFDFERSEILETTIFPKGGHEAQESVGRFSTESNPDNLNIHVQMRKSPSFDLDLRIEARSEESDQTPLLYQDKTTIESSSNQAKVRLQSPRLLPHYNQESLRAKPVEEKVITLETSDSEKSRTPSLGFLKEEEAHVLVTPTKQDNHAAAKKAAKDLWNSRTKEVASASPKAKEKHKRRTSLFGQCMCCATVIN >Potri.010G081000.7.v4.1 pep chromosome:Pop_tri_v4:10:10839901:10843495:-1 gene:Potri.010G081000.v4.1 transcript:Potri.010G081000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081000.v4.1 MGNEMGNNNTSGLREEDTMADEDQGKSVQELVNANVVKEENHVLPAEESEDYHEKVTGLDSDDPKGSGDIHDHNQASDESDHTEVHPAAGSPKAELKSNEVGGEDNQSQPASSPNELEGHEKPTESNLEGNVLGANSNQLEKRASFKKEEEKVMSTSPFFTISPSHDPEPQDSVGLKFDQHELTETCADQSAQDSFNSSKPSENILGTSIAGITSANDHLLNTCLSDNLDGILVSGTNLDVEEKRVDLSEKEMTSQEDEMLSEEKVEVGNYSAKTNVIDATRISSDSRSDYRDKCEEEFTSEMDCYGNNCSESHPEANLMANSLNSHIELSVPEDKCFVSTEETEFMRKESEIEANEHHYDLNQLREDSIRESDNDLANASQTDSFLGSSCKNNEESSVNVSHDPVSNGSCQVEKAKVDENGYHVDLFNGIQSEAFEDSCKESEGDTMMVPELGMLPEELSMSNRRGNEEETDCTMGEEKPEERQIVEKIKEKTEVPCAVGKGAEEQQSGEQFMSRTVSVQAEAYTPKAPASLFQTQDQQQETVMASAVAQCSNELALELRPESCKEFLVAKASTDQAAGINIAGNSASTVELAMDKPQQEDSFYVIAAPEIVRETKSFDFERSEILETTIFPKGGHEAQESVGRFSTESNPDNLNIHVQMRKSPSFDLDLRIEARSEESDQTPLLYQDKTTIESSSNQAKVRLQSPRLLPHYNQESLRAKPVEEKVITLETSDSEKSRTPSLGFLKEEEAHVLVTPTKQDNHAAAKKAAKDLWNSRTKEVASASPKAKEKHKRRTSLFGQCMCCATVIN >Potri.010G081000.6.v4.1 pep chromosome:Pop_tri_v4:10:10839916:10843665:-1 gene:Potri.010G081000.v4.1 transcript:Potri.010G081000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081000.v4.1 MGNEMGNNNTSGLREEDTMADEDQGKSVQELVNANVVKEENHVLPAEESEDYHEKVTGLDSDDPKGSGDIHDHNQASDESDHTEVHPAAGSPKAELKSNEVGGEDNQSQPASSPNELEGHEKPTESNLEGNVLGANSNQLEKRASFKKEEEKVMSTSPFFTISPSHDPEPQDSVGLKFDQHELTETCADQSAQDSFNSSKPSENILGTSIAGITSANDHLLNTCLSDNLDGILVSGTNLDVEEKRVDLSEKEMTSQEDEMLSEEKVEVGNYSAKTNVIDATRISSDSRSDYRDKCEEEFTSEMDCYGNNCSESHPEANLMANSLNSHIELSVPEDKCFVSTEETEFMRKESEIEANEHHYDLNQLREDSIRESDNDLANASQTDSFLGSSCKNNEESSVNVSHDPVSNGSCQVEKAKVDENGYHVDLFNGIQSEAFEDSCKESEGDTMMVPELGMLPEELSMSNRRGNEEETDCTMGEEKPEERQIVEKIKEKTEVPCAVGKGAEEQQSGEQFMSRTVSVQAEAYTPKAPASLFQTQDQQQETVMASAVAQCSNELALELRPESCKEFLVAKASTDQAAGINIAGNSASTVELAMDKPQQEDSFYVIAAPEIVRETKSFDFERSEILETTIFPKGGHEAQESVGRFSTESNPDNLNIHVQMRKSPSFDLDLRIEARSEESDQTPLLYQDKTTIESSSNQAKVRLQSPRLLPHYNQESLRAKPVEEKVITLETSDSEKSRTPSLGFLKEEEAHVLVTPTKQDNHAAAKKAAKDLWNSRTKEVASASPKAKEKHKRRTSLFGQCMCCATVIN >Potri.010G081000.8.v4.1 pep chromosome:Pop_tri_v4:10:10839951:10843192:-1 gene:Potri.010G081000.v4.1 transcript:Potri.010G081000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081000.v4.1 MYAEEDTMADEDQGKSVQELVNANVVKEENHVLPAEESEDYHEKVTGLDSDDPKGSGDIHDHNQASDESDHTEVHPAAGSPKAELKSNEVGGEDNQSQPASSPNELEGHEKPTESNLEGNVLGANSNQLEKRASFKKEEEKVMSTSPFFTISPSHDPEPQDSVGLKFDQHELTETCADQSAQDSFNSSKPSENILGTSIAGITSANDHLLNTCLSDNLDGILVSGTNLDVEEKRVDLSEKEMTSQEDEMLSEEKVEVGNYSAKTNVIDATRISSDSRSDYRDKCEEEFTSEMDCYGNNCSESHPEANLMANSLNSHIELSVPEDKCFVSTEETEFMRKESEIEANEHHYDLNQLREDSIRESDNDLANASQTDSFLGSSCKNNEESSVNVSHDPVSNGSCQVEKAKVDENGYHVDLFNGIQSEAFEDSCKESEGDTMMVPELGMLPEELSMSNRRGNEEETDCTMGEEKPEERQIVEKIKEKTEVPCAVGKGAEEQQSGEQFMSRTVSVQAEAYTPKAPASLFQTQDQQQETVMASAVAQCSNELALELRPESCKEFLVAKASTDQAAGINIAGNSASTVELAMDKPQQEDSFYVIAAPEIVRETKSFDFERSEILETTIFPKGGHEAQESVGRFSTESNPDNLNIHVQMRKSPSFDLDLRIEARSEESDQTPLLYQDKTTIESSSNQAKVRLQSPRLLPHYNQESLRAKPVEEKVITLETSDSEKSRTPSLGFLKEEEAHVLVTPTKQDNHAAAKKAAKDLWNSRTKEVASASPKAKEKHKRRTSLFGQCMCCATVIN >Potri.010G065500.2.v4.1 pep chromosome:Pop_tri_v4:10:9411589:9417573:-1 gene:Potri.010G065500.v4.1 transcript:Potri.010G065500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065500.v4.1 MSSSVPYHIPVSAAQVGTYFVAQYYQVLQQQPEFVHQFYSDASTMLRIDGSIRESAATMLQIHALIMSLKYTGIEIRTAHALDSWNGGVLVMVSGYVQVKGFDNKRKFVETFFLAPQEKGYFVLNDVFHFIDEQPTHHHPAVFLAQIHLDSKLNSPNAIPEPVPTYLMGGETQAREFVAPADAKENGPVDSYTFPEKRLQQASESENILEENSVEKPNGSLKNTASNAQDRQPASVEEPAREPQKHTYASILRVAKGQSATSVTPQPSVNKNVPPASEWNHTSQAPVQQSTVMSDSFERPEAETAEEIHEDEIRSVYVRNLLPTLSEAEIEEEFKNFGEIVPDGVVIRSRKDVGVCYAFVEFEDMAGVHNAVKAGSAIVGGRQVYIEERRPNSNIPFRAGRGRGRGRGGYPTDAPRGGFSSRSSGRGGSYDGGDRDYNRPKGNGYYRPGPRQDRGFSGHQVSRNGQDQTD >Potri.010G065500.4.v4.1 pep chromosome:Pop_tri_v4:10:9411541:9417580:-1 gene:Potri.010G065500.v4.1 transcript:Potri.010G065500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065500.v4.1 MSSSVPYHIPVSAAQVGTYFVAQYYQVLQQQPEFVHQFYSDASTMLRIDGSIRESAATMLQIHALIMSLKYTGIEIRTAHALDSWNGGVLVMVSGYVQVKGFDNKRKFVETFFLAPQEKGYFVLNDVFHFIDEQPTHHHPAVFLAQIHLDSKLNSPNAIPEPVPTYLMGGETQAREFVAPADAKENGPVDSYTFPEKRLQQASESENILEENSVEKPNGSLKNTASNAQDRQPASVEEPAREPQKHTYASILRVAKGQSATSVTPQPSVNKNVPPASEWNHTSQAPVQQSTVMSDSFERPEAETAEEIHEDEIRSVYVRNLLPTLSEAEIEEEFKNFGEIVPDGVVIRSRKDVGVCYAFVEFEDMAGVHNAVKAGSAIVGGRQVYIEERRPNSNIPFRAGRGRGRGRGGYPTDAPRGGFSSRSSGRGGSYDGGDRDYNRPKGNGYYRPGPRQDRGFSGHQVSRNGQDQTD >Potri.006G121300.1.v4.1 pep chromosome:Pop_tri_v4:6:9621593:9625460:-1 gene:Potri.006G121300.v4.1 transcript:Potri.006G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121300.v4.1 MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPALPQPNKRKVNIRKTVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSYEQRIEANDKRFQYLLFAAEPYEIISFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKLKPPEANKPQSVAAANGTLPSQPPPPPPLQGLAAGSRPPPPPLPASLPPPPPMGNGPRPMPPGGAPPAPPPPPGGSGAMANFTPGNQVGRPPMLPPQGFPGQQMQGQVMRPPPPPPNMGQ >Potri.005G064100.1.v4.1 pep chromosome:Pop_tri_v4:5:4133645:4135002:-1 gene:Potri.005G064100.v4.1 transcript:Potri.005G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064100.v4.1 MGDHGCSGNLPPGFIFSPSDEELILHFLDRKASLLPCHPDIIPDLGLYPQDPWQLEGRALWSENQWYYFSRVMENQATGNGFWKPLDIEEPIFSSSTGKKVGLKKYLVYCIGPEGVETNWMMQEYHLCSSKSSGKSYKRKQKLDCSKWILCRVYQREGSCSKSSNHSDDDDGTELSCLDEMFLSMDDLDDISFPN >Potri.018G115550.2.v4.1 pep chromosome:Pop_tri_v4:18:13177833:13178564:-1 gene:Potri.018G115550.v4.1 transcript:Potri.018G115550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115550.v4.1 MWVLSSLHTANNNTSLKEISTRVLGQEAILCKEGNCIGTMKKRSVSKKSSHHWLPSIHEDYCGPRHHKSRHH >Potri.018G115550.1.v4.1 pep chromosome:Pop_tri_v4:18:13177850:13178564:-1 gene:Potri.018G115550.v4.1 transcript:Potri.018G115550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115550.v4.1 MRPSFSSLSSAFFVCPSRVSRDSLGKGFMQVGAQKVHANNNTSLKEISTRVLGQEAILCKEGNCIGTMKKRSVSKKSSHHWLPSIHEDYCGPRHHKSRHH >Potri.007G024800.1.v4.1 pep chromosome:Pop_tri_v4:7:1910411:1912754:1 gene:Potri.007G024800.v4.1 transcript:Potri.007G024800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024800.v4.1 MGLLSIIRKIKRKEKEMRILMVGLDNSGKTTIVLKINGEDTSVISPTLGFNIKTISYQKYTLNIWDVGGQRTIRSYWRNYFEQTDGLVWVVDSSDLRRLDDCKMELDNLLKEERLSGASLLIFANKQDIKGALTPEEIAKVLNLENMDKTRHWKIVGCSAYTGEGLLEGFDWLVQDIASRIYMLD >Potri.008G196400.10.v4.1 pep chromosome:Pop_tri_v4:8:13859473:13871759:-1 gene:Potri.008G196400.v4.1 transcript:Potri.008G196400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196400.v4.1 MQAIQPGVNNGVADGSPAEADPKNAEPSNEVLNPEPSERRSDLSLQIPPRPVGFGSSCSGKGLLHSQNSYKSNSPGSLLQTFSLKRKSAAPDGEKSSLLNSDHMTALDSPIMASFKSAFSWERCTSLPVTPASNLSPSPSVSMPDSARMPVESHKIKKVAGHVIPRSLSVPGRNVVIVQSASFATHDEHVATDPSNDQITPVPMQADDEEIAEEEAVCRICFDVCEEGNTLKMECSCKGDLRLVHEDCAIKWFSTKGNKYCDVCGQEVKNLPVTLLRATSLAQRNNRQEQSRQRLQQISAWQDFVVLVLISTICYFFFLEQVLIRDMKTQAIIVAAPFAFTLGLLSSIFAVILAIREYIWTFAALEFASVAITVHIFYSMFHLKIIYAILLSSVLGFGIAMSLNSLYFQYYAWRVQVGQNQNQNQNQNSNPV >Potri.008G196400.11.v4.1 pep chromosome:Pop_tri_v4:8:13859473:13871741:-1 gene:Potri.008G196400.v4.1 transcript:Potri.008G196400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196400.v4.1 MTALDSPIMASFKSAFSWERCTSLPVTPASNLSPSPSVSMPDSARMPVESHKIKKVAGHVIPRSLSVPGRNVVIVQSASFATHDEHVATDPSNDQITPVPMQADDEEIAEEEAVCRICFDVCEEGNTLKMECSCKGDLRLVHEDCAIKWFSTKGNKYCDVCGQEVKNLPVTLLRATSLAQRNNRQEQSRQRLQQISAWQDFVVLVLISTICYFFFLEQVLIRDMKTQAIIVAAPFAFTLGLLSSIFAVILAIREYIWTFAALEFASVAITVHIFYSMFHLKIIYAILLSSVLGFGIAMSLNSLYFQYYAWRVQVGQNQNQNQNQNSNPV >Potri.001G295600.4.v4.1 pep chromosome:Pop_tri_v4:1:30677548:30682878:1 gene:Potri.001G295600.v4.1 transcript:Potri.001G295600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295600.v4.1 MKFMKLGSKSDSFQTDGNSIRYVATELATDITVNVGDIKFHLHKFPLLSKSAQLQKLVTTANGENSDEVDISDIPGGPSAFEICAKFCYGMTVTINAYNVVAARCAAEYLGMHETIEKGNLIYKIEVFLSSSIFRSWKDSIIVLQTTRSLLPLSEELKVISHCIDAIAAKACVDVSKVEWSYSYNRKNLPEENGTESNLNGLRNRLVPKDWWVEDLCELEIGLYKRVVITIRTKAILSDEVIGEALKAYAYRRLPGFSKGMIQSGQAAKYRSTVDTIVWLLPAERGSVSCSFLLKLLKAAMYADFGDTTKGQLIKKIGHQLEEASVNDLLIRAGEGETMIYDVDSVKKMVEEFLMRDQIDEIESEEGHEVQEMRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLKFIELAEMVSGISRPAHDALYRAVDMYLKEHPGISKSERKRICNLMDCKKLSVDACMHAMQNDRLPLRVVVQVLFFEQVRVAASSGSSTPDLPKSIRDLNNGSQRSSRSTTTNTEEDWDAVATADELKALKEEVASLRLANGRNGVDKAASNKLKGMLKSKKIFTKLWSSKGEKGEKSGSDSSESTGSANMEEAKSTPSRNRRHSVS >Potri.001G295600.5.v4.1 pep chromosome:Pop_tri_v4:1:30677838:30682837:1 gene:Potri.001G295600.v4.1 transcript:Potri.001G295600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295600.v4.1 MKFMKLGSKSDSFQTDGNSIRYVATELATDITVNVGDIKFHLHKFPLLSKSAQLQKLVTTANGENSDEVDISDIPGGPSAFEICAKFCYGMTVTINAYNVVAARCAAEYLGMHETIEKGNLIYKIEVFLSSSIFRSWKDSIIVLQTTRSLLPLSEELKVISHCIDAIAAKACVDVSKVEWSYSYNRKNLPEENGTESNLNGLRNRLVPKDWWVEDLCELEIGLYKRVVITIRTKAILSDEVIGEALKAYAYRRLPGFSKGMIQSGQAAKYRSTVDTIVWLLPAERGSVSCSFLLKLLKAAMYADFGDTTKGQLIKKIGHQLEEASVNDLLIRAGEGETMIYDVDSVKKMVEEFLMRDQIDEIESEEGHEVQEMRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLKFIELAEMVSGISRPAHDALYRAVDMYLKEHPGISKSERKRICNLMDCKKLSVDACMHAMQNDRLPLRVVVQVLFFEQVRVAASSGSSTPDLPKSIRDLNNGSQRSSRSTTTNTEEDWDAVATADELKALKEEVASLRLANGRNGVDKAASNKLKGMLKSKKIFTKLWSSKGEKGEKSGSDSSESTGSANMEEAKSTPSRNRRHSVS >Potri.001G295600.6.v4.1 pep chromosome:Pop_tri_v4:1:30677802:30682803:1 gene:Potri.001G295600.v4.1 transcript:Potri.001G295600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295600.v4.1 MKFMKLGSKSDSFQTDGNSIRYVATELATDITVNVGDIKFHLHKFPLLSKSAQLQKLVTTANGENSDEVDISDIPGGPSAFEICAKFCYGMTVTINAYNVVAARCAAEYLGMHETIEKGNLIYKIEVFLSSSIFRSWKDSIIVLQTTRSLLPLSEELKVISHCIDAIAAKACVDVSKVEWSYSYNRKNLPEENGTESNLNGLRNRLVPKDWWVEDLCELEIGLYKRVVITIRTKAILSDEVIGEALKAYAYRRLPGFSKGMIQSGQAAKYRSTVDTIVWLLPAERGSVSCSFLLKLLKAAMYADFGDTTKGQLIKKIGHQLEEASVNDLLIRAGEGETMIYDVDSVKKMVEEFLMRDQIDEIESEEGHEVQEMRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLKFIELAEMVSGISRPAHDALYRAVDMYLKEHPGISKSERKRICNLMDCKKLSVDACMHAMQNDRLPLRVVVQVLFFEQVRVAASSGSSTPDLPKSIRDLNNGSQRSSRSTTTNTEEDWDAVATADELKALKEEVASLRLANGRNGVDKAASNKLKGMLKSKKIFTKLWSSKGEKGEKSGSDSSESTGSANMEEAKSTPSRNRRHSVS >Potri.001G295600.3.v4.1 pep chromosome:Pop_tri_v4:1:30677843:30682885:1 gene:Potri.001G295600.v4.1 transcript:Potri.001G295600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295600.v4.1 MKFMKLGSKSDSFQTDGNSIRYVATELATDITVNVGDIKFHLHKFPLLSKSAQLQKLVTTANGENSDEVDISDIPGGPSAFEICAKFCYGMTVTINAYNVVAARCAAEYLGMHETIEKGNLIYKIEVFLSSSIFRSWKDSIIVLQTTRSLLPLSEELKVISHCIDAIAAKACVDVSKVEWSYSYNRKNLPEENGTESNLNGLRNRLVPKDWWVEDLCELEIGLYKRVVITIRTKAILSDEVIGEALKAYAYRRLPGFSKGMIQSGQAAKYRSTVDTIVWLLPAERGSVSCSFLLKLLKAAMYADFGDTTKGQLIKKIGHQLEEASVNDLLIRAGEGETMIYDVDSVKKMVEEFLMRDQIDEIESEEGHEVQEMRKPGILSDASKLMVAKLIDGYLAEIAKDPNLPLLKFIELAEMVSGISRPAHDALYRAVDMYLKEHPGISKSERKRICNLMDCKKLSVDACMHAMQNDRLPLRVVVQVLFFEQVRVAASSGSSTPDLPKSIRDLNNGSQRSSRSTTTNTEEDWDAVATADELKALKEEVASLRLANGRNGVDKAASNKLKGMLKSKKIFTKLWSSKGEKGEKSGSDSSESTGSANMEEAKSTPSRNRRHSVS >Potri.016G107300.1.v4.1 pep chromosome:Pop_tri_v4:16:11221406:11223247:-1 gene:Potri.016G107300.v4.1 transcript:Potri.016G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G107300.v4.1 MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGKYNGDSELQLERINVYYNEASGGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAEMIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALHNICFRTLKLTSPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSTLSVPELTQQMWDAKNMMCAADPRRGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPHNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATTYEDCEDEEEELHDM >Potri.010G156200.3.v4.1 pep chromosome:Pop_tri_v4:10:22773073:22775115:1 gene:Potri.010G156200.v4.1 transcript:Potri.010G156200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G156200.v4.1 MARMLELVLSLSILMSMIFSSTAQSCKSYAFSSNKTFRACNDLPYLNSYLHWNYDSSSNKLQIAYRDTGITSSRWVAWAINHTSTGMAGSQALVAYQQTDGTMRAYTSPISSYQTSLQEGKLSFDVSDLSATLANNEIIIYATIGLSNTSTTVNHVHATSGTNVQSMGTLNLLSGESSSTGGNDRIRKRNIHGVLNAVSWGILMPIGALIARYLKAFKSADPAWFYLHVGCQSIAYIVGVAGWGTGLKLGSESASIQYDAHRTIGIILFCLGTLQVFALLLRPKPDHKYRFYWNIYHHLVGYSVIILSIINIFKGFSILNPDKKWKNAYIGVIAALAFNAVWLEGYTWYLVVKRKRSEIAGKMPHGMNGSNGVNGFGARQRQGV >Potri.001G312300.1.v4.1 pep chromosome:Pop_tri_v4:1:32211904:32219575:1 gene:Potri.001G312300.v4.1 transcript:Potri.001G312300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312300.v4.1 MSSLPYPSKQSSASDHRLNYQSTSLRYNHEIKATLEVKTVVPPPSSRGGGEGAATDDNGVFLTWKDLRVTVSNGKKGSKSILQGLTGYAQPGELLAIMGPSGCGKSTLLDTLAGRLGSNTRQTGDILINGHKQRLAYGTSAYVTQDETLITTLTVKEAVYYSAQLQLPDSMSRAEKKERAEVTIREMGLQDAMNTRIGGWGSKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMSGIVRFDRKDENRRTVIASIHQPSGEVFQLFDSLCLLSAGKVVYFGPASQANEFFALNGFPCPTLQNPSDHFLKTINKDFETDPEQGLEDAITAEVAINTLTKAYKSSDHYQKVKRLVTEICKKDCGTLEERSHSSFLTQCLVLTRRSFVNMYRDLGYYWLRVAIYVSLALGLATLFYNLGSDNDSIQDRGSLLMFIASFLTFMTIGGFPSFVEDMKVFERERLNGHYGATAFVLGNTFSAFPYLLLISVIPGAITYYLPGLHKGYEHFIYFVLVLFACMMLVESLMMTVASMVPNFLMGIITGAGIQAFMILGGGFFRLPNDLPQPFWKYPMYYIAFHKYAYQGMFKNEFEGLTFPSNQAGGPRTIPGEQILRDRWQVEMGVSKWVDLAILLGMVVLYRILFLVIIKTSEKIKPIIAAIVSVPPKQTTEIMEIPLTTPLHGDQSVV >Potri.019G081700.1.v4.1 pep chromosome:Pop_tri_v4:19:12193465:12195975:1 gene:Potri.019G081700.v4.1 transcript:Potri.019G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081700.v4.1 MALNNGLRSASKLFTASESLLSKSVNRGIHSTGVKRMGGGHGHDEPFYLHAKHMYNLDRMKYQKIKMPIAVFTAFSIGVLVPIYAVIFQQKKTASG >Potri.005G044800.2.v4.1 pep chromosome:Pop_tri_v4:5:2834006:2836463:-1 gene:Potri.005G044800.v4.1 transcript:Potri.005G044800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044800.v4.1 MAASTGSLISMQSRHGMATSRICSLKPVSLSNQGRSYLSFGLRSMPARSLRVSCAAKPETVEKVCEIVKKQLALADGTPVTGESKFTALGADSLDTVEIVMGLEEAFGISVEEESAQSIATVQDAADLIEKLVEKKD >Potri.003G121000.3.v4.1 pep chromosome:Pop_tri_v4:3:14208674:14212096:-1 gene:Potri.003G121000.v4.1 transcript:Potri.003G121000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121000.v4.1 MKGEDQTRYLFGISLSDRPKWQQFLICSSGFFFGYLINGICEEYVYNRLQFSYGWYFTFVQGFVYLVLIYLQGFTPKQMVNPWKTYWKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMLMGAFIPGLRRKYPVHEYISALLLVIGLILFTLADAQTSPNFSIIGVLMISGALIMDSLMGNLQEAIFTMNPDTTQIEVLFCSTIVGLPFLLPPMILTGELFKAWKSCAQHPYVYGVLVFEAMATFVGQISVLSLIAIFGAAATAMITTARKAVTLLLSYMIFTKPLTEQHGTGLLLLAMGIILKMVPVDYKPPTRSAARHGKSHFKEEISLGDSRKAEGAEEKRPLV >Potri.003G121000.1.v4.1 pep chromosome:Pop_tri_v4:3:14208675:14211881:-1 gene:Potri.003G121000.v4.1 transcript:Potri.003G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121000.v4.1 MKGEDQTRYLFGISLSDRPKWQQFLICSSGFFFGYLINGICEEYVYNRLQFSYGWYFTFVQGFVYLVLIYLQGFTPKQMVNPWKTYWKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMLMGAFIPGLRRKYPVHEYISALLLVIGLILFTLADAQTSPNFSIIGVLMISGALIMDSLMGNLQEAIFTMNPDTTQIEVLFCSTIVGLPFLLPPMILTGELFKAWKSCAQHPYVYGVLVFEAMATFVGQISVLSLIAIFGAAATAMITTARKAVTLLLSYMIFTKPLTEQHGTGLLLLAMGIILKMVPVDYKPPTRSAARHGKSHFKEEISLGDSRKAEGAEEKRPLV >Potri.013G138690.1.v4.1 pep chromosome:Pop_tri_v4:13:15630462:15630823:1 gene:Potri.013G138690.v4.1 transcript:Potri.013G138690.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbI MLTLKLFVYTVVIFFVSLFIFGFLSNDPGRNPGREE >Potri.006G122500.4.v4.1 pep chromosome:Pop_tri_v4:6:9734603:9742587:1 gene:Potri.006G122500.v4.1 transcript:Potri.006G122500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122500.v4.1 MEDPKRMEEGLGHTALVANIDDENIHLSEKEGKTDGGDDNEKEERRVVHDHQAEREGDRQPVVKQKSKRVATLDAFRGLTIVLMILVDDAGGVYPRIDHSPWNGCTLADFVMPFFLFIVGVAIALAFKRIPKRRDAVKKIILRTLKLLFWGVLLQGGYSHAPSDLAYGVDMKLIRWFGILQRIALVYMVVALIEALIPKNRQTIEPDHFTIFTAYRWQWIAGFISFVIYMVTTFALYVPDWSFTVDEDHERRRYTVECGMRGHLGPACNAVGYVDREVWGINHLYQYPVWSRLKACTLSSPGSGPFRKDAPSWCRAPFEPEGLLSSISAILSGTIGIHYGHVLIHFKGHAERLRQWVSMGVILLIVAIILHFTDAIPINKQLYSFSYVCFTAGAAGIVFSGFYVLIDVWGLRPPFLFLEWIGMNAMLVYVMAAQGIFEGFINGWYYKSPDNTLVYWIQDHVFNDVWHSERVGTLLYVIFAQITFWAVVSGVLHKLGIYWKL >Potri.003G201800.7.v4.1 pep chromosome:Pop_tri_v4:3:20169919:20174911:-1 gene:Potri.003G201800.v4.1 transcript:Potri.003G201800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201800.v4.1 MALALIGESILAAVLEVLMERIVSPAVRDFFKSQKIDDEELKKLKARMRSVSKLLNDAEEKQITDAAVKEWLDELKDAVYQADDFLDEIAYKALRLKLEGESRSQTCTDQLRSFLASLNPCRKGVREVQIELAKILRSLEELVGQKDVLGLIERIGEKPSSRITPTSSLVDESGVYGRDAEKEAIMKLLLADDTKGRHLDVISIVGMGGVGKTTLAQLLYKEIVVSNDRSQKSSFDLKAWVYVSEEFDVLKVTKDILKGVGSMNCDNMTEDQLHCELEKKLSGNKLLLVLDDVWSDNQSQWEFLLKPFMSVRQGIKIIVTTRNENVASIISSVSTHHIKKLSDDDCWLVLSKHAFDGGNLSAHPELELIGRQIARKCNGLPLAAKTLGSLLCSNRVKKEWMKILKSNFWELPNDNILSPLRLSYHHLPSHLKRCFSYCAIIPKGYKFTREEIVLLWMAEGFLVEPRRNNEMEEIGYEYFNELVARSFFQQSSPSSSLFVMHDLINDLARFASGDFCFRLEGDDSSKTTERTRHLSYRVAKDDSYQTFKAIKNPQLLRTLLCPSGWPRHMIQQVEVICNLLPALKCLRVLSLHPFHDISVLPNSICNLKHLRYLDLSHTKITRLPESMCSLYNLEILNLHFCVKLVELPVNMRSLINLRHLDLQHTKLPEMPLQMGKLTKLRKLTDFFIGKQSGSNIKELGKLQHLSGDLSIWNLQNVTDARDSFEANLKGKEHLEKLELVWDCDMDNPLVHERVLEQLQPPVNVKILSIIGYGGTRFPDWVGNSSLPLLQELYIRSCPNLKKALFTHFPSLTKLDIRACEQFEIEFFPLELFPKLESLTIGSCPNLVSFSKGIPLAPNLKEFQLWSCSNLKSLPENMHTLLPSLEKLSIFHCPKLESFPVGGLPSKLKGLAIWGCDKLIAGRAQWDLQSLHVLSRFSIADNDVLECFPEETLLPSSLTRLEIRTHKNLKSLDYKGLQHLTSLRELIIMNCMEVSMPEEGLPPSISSLTIWQCPLLEKKCEGELKFPKYIRDPEYMTSGRKSI >Potri.003G201800.6.v4.1 pep chromosome:Pop_tri_v4:3:20169246:20174911:-1 gene:Potri.003G201800.v4.1 transcript:Potri.003G201800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201800.v4.1 MALALIGESILAAVLEVLMERIVSPAVRDFFKSQKIDDEELKKLKARMRSVSKLLNDAEEKQITDAAVKEWLDELKDAVYQADDFLDEIAYKALRLKLEGESRSQTCTDQLRSFLASLNPCRKGVREVQIELAKILRSLEELVGQKDVLGLIERIGEKPSSRITPTSSLVDESGVYGRDAEKEAIMKLLLADDTKGRHLDVISIVGMGGVGKTTLAQLLYKEIVVSNDRSQKSSFDLKAWVYVSEEFDVLKVTKDILKGVGSMNCDNMTEDQLHCELEKKLSGNKLLLVLDDVWSDNQSQWEFLLKPFMSVRQGIKIIVTTRNENVASIISSVSTHHIKKLSDDDCWLVLSKHAFDGGNLSAHPELELIGRQIARKCNGLPLAAKTLGSLLCSNRVKKEWMKILKSNFWELPNDNILSPLRLSYHHLPSHLKRCFSYCAIIPKGYKFTREEIVLLWMAEGFLVEPRRNNEMEEIGYEYFNELVARSFFQQSSPSSSLFVMHDLINDLARFASGDFCFRLEGDDSSKTTERTRHLSYRVAKDDSYQTFKAIKNPQLLRTLLCPSGWPRHMIQQVEVICNLLPALKCLRVLSLHPFHDISVLPNSICNLKHLRYLDLSHTKITRLPESMCSLYNLEILNLHFCVKLVELPVNMRSLINLRHLDLQHTKLPEMPLQMGKLTKLRKLTDFFIGKQSGSNIKELGKLQHLSGDLSIWNLQNVTDARDSFEANLKGKEHLEKLELVWDCDMDNPLVHERVLEQLQPPVNVKILSIIGYGGTRFPDWVGNSSLPLLQELYIRSCPNLKKALFTHFPSLTKLDIRACEQFEIEFFPLELFPKLESLTIGSCPNLVSFSKGIPLAPNLKEFQLWSCSNLKSLPENMHTLLPSLEKLSIFHCPKLESFPVGGLPSKLKGLAIWGCDKLIAGRAQWDLQSLHVLSRFSIADNDVLECFPEETLLPSSLTRLEIRTHKNLKSLDYKGLQHLTSLRELIIMNCMEVSMPEEGLPPSISSLTIWQCPLLEKKCEGELKFPKYIRDPEYMTSGRKSI >Potri.003G201800.8.v4.1 pep chromosome:Pop_tri_v4:3:20170108:20174911:-1 gene:Potri.003G201800.v4.1 transcript:Potri.003G201800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201800.v4.1 MALALIGESILAAVLEVLMERIVSPAVRDFFKSQKIDDEELKKLKARMRSVSKLLNDAEEKQITDAAVKEWLDELKDAVYQADDFLDEIAYKALRLKLEGESRSQTCTDQLRSFLASLNPCRKGVREVQIELAKILRSLEELVGQKDVLGLIERIGEKPSSRITPTSSLVDESGVYGRDAEKEAIMKLLLADDTKGRHLDVISIVGMGGVGKTTLAQLLYKEIVVSNDRSQKSSFDLKAWVYVSEEFDVLKVTKDILKGVGSMNCDNMTEDQLHCELEKKLSGNKLLLVLDDVWSDNQSQWEFLLKPFMSVRQGIKIIVTTRNENVASIISSVSTHHIKKLSDDDCWLVLSKHAFDGGNLSAHPELELIGRQIARKCNGLPLAAKTLGSLLCSNRVKKEWMKILKSNFWELPNDNILSPLRLSYHHLPSHLKRCFSYCAIIPKGYKFTREEIVLLWMAEGFLVEPRRNNEMEEIGYEYFNELVARSFFQQSSPSSSLFVMHDLINDLARFASGDFCFRLEGDDSSKTTERTRHLSYRVAKDDSYQTFKAIKNPQLLRTLLCPSGWPRHMIQQVEVICNLLPALKCLRVLSLHPFHDISVLPNSICNLKHLRYLDLSHTKITRLPESMCSLYNLEILNLHFCVKLVELPVNMRSLINLRHLDLQHTKLPEMPLQMGKLTKLRKLTDFFIGKQSGSNIKELGKLQHLSGDLSIWNLQNVTDARDSFEANLKGKEHLEKLELVWDCDMDNPLVHERVLEQLQPPVNVKILSIIGYGGTRFPDWVGNSSLPLLQELYIRSCPNLKKALFTHFPSLTKLDIRACEQFEIEFFPLELFPKLESLTIGSCPNLVSFSKGIPLAPNLKEFQLWSCSNLKSLPENMHTLLPSLEKLSIFHCPKLESFPVGGLPSKLKGLAIWGCDKLIAGRAQWDLQSLHVLSRFSIADNDVLECFPEETLLPSSLTRLEIRTHKNLKSLDYKGLQHLTSLRELIIMNCMEVSMPEEGLPPSISSLTIWQCPLLEKKCEGELKFPKYIRDPEYMTSGRKSI >Potri.003G201800.4.v4.1 pep chromosome:Pop_tri_v4:3:20169273:20174913:-1 gene:Potri.003G201800.v4.1 transcript:Potri.003G201800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201800.v4.1 MALALIGESILAAVLEVLMERIVSPAVRDFFKSQKIDDEELKKLKARMRSVSKLLNDAEEKQITDAAVKEWLDELKDAVYQADDFLDEIAYKALRLKLEGESRSQTCTDQLRSFLASLNPCRKGVREVQIELAKILRSLEELVGQKDVLGLIERIGEKPSSRITPTSSLVDESGVYGRDAEKEAIMKLLLADDTKGRHLDVISIVGMGGVGKTTLAQLLYKEIVVSNDRSQKSSFDLKAWVYVSEEFDVLKVTKDILKGVGSMNCDNMTEDQLHCELEKKLSGNKLLLVLDDVWSDNQSQWEFLLKPFMSVRQGIKIIVTTRNENVASIISSVSTHHIKKLSDDDCWLVLSKHAFDGGNLSAHPELELIGRQIARKCNGLPLAAKTLGSLLCSNRVKKEWMKILKSNFWELPNDNILSPLRLSYHHLPSHLKRCFSYCAIIPKGYKFTREEIVLLWMAEGFLVEPRRNNEMEEIGYEYFNELVARSFFQQSSPSSSLFVMHDLINDLARFASGDFCFRLEGDDSSKTTERTRHLSYRVAKDDSYQTFKAIKNPQLLRTLLCPSGWPRHMIQQVEVICNLLPALKCLRVLSLHPFHDISVLPNSICNLKHLRYLDLSHTKITRLPESMCSLYNLEILNLHFCVKLVELPVNMRSLINLRHLDLQHTKLPEMPLQMGKLTKLRKLTDFFIGKQSGSNIKELGKLQHLSGDLSIWNLQNVTDARDSFEANLKGKEHLEKLELVWDCDMDNPLVHERVLEQLQPPVNVKILSIIGYGGTRFPDWVGNSSLPLLQELYIRSCPNLKKALFTHFPSLTKLDIRACEQFEIEFFPLELFPKLESLTIGSCPNLVSFSKGIPLAPNLKEFQLWSCSNLKSLPENMHTLLPSLEKLSIFHCPKLESFPVGGLPSKLKGLAIWGCDKLIAGRAQWDLQSLHVLSRFSIADNDVLECFPEETLLPSSLTRLEIRTHKNLKSLDYKGLQHLTSLRELIIMNCMEVSMPEEGLPPSISSLTIWQCPLLEKKCEGELKFPKYIRDPEYMTSGRKSI >Potri.003G201800.5.v4.1 pep chromosome:Pop_tri_v4:3:20169273:20174913:-1 gene:Potri.003G201800.v4.1 transcript:Potri.003G201800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201800.v4.1 MALALIGESILAAVLEVLMERIVSPAVRDFFKSQKIDDEELKKLKARMRSVSKLLNDAEEKQITDAAVKEWLDELKDAVYQADDFLDEIAYKALRLKLEGESRSQTCTDQLRSFLASLNPCRKGVREVQIELAKILRSLEELVGQKDVLGLIERIGEKPSSRITPTSSLVDESGVYGRDAEKEAIMKLLLADDTKGRHLDVISIVGMGGVGKTTLAQLLYKEIVVSNDRSQKSSFDLKAWVYVSEEFDVLKVTKDILKGVGSMNCDNMTEDQLHCELEKKLSGNKLLLVLDDVWSDNQSQWEFLLKPFMSVRQGIKIIVTTRNENVASIISSVSTHHIKKLSDDDCWLVLSKHAFDGGNLSAHPELELIGRQIARKCNGLPLAAKTLGSLLCSNRVKKEWMKILKSNFWELPNDNILSPLRLSYHHLPSHLKRCFSYCAIIPKGYKFTREEIVLLWMAEGFLVEPRRNNEMEEIGYEYFNELVARSFFQQSSPSSSLFVMHDLINDLARFASGDFCFRLEGDDSSKTTERTRHLSYRVAKDDSYQTFKAIKNPQLLRTLLCPSGWPRHMIQQVEVICNLLPALKCLRVLSLHPFHDISVLPNSICNLKHLRYLDLSHTKITRLPESMCSLYNLEILNLHFCVKLVELPVNMRSLINLRHLDLQHTKLPEMPLQMGKLTKLRKLTDFFIGKQSGSNIKELGKLQHLSGDLSIWNLQNVTDARDSFEANLKGKEHLEKLELVWDCDMDNPLVHERVLEQLQPPVNVKILSIIGYGGTRFPDWVGNSSLPLLQELYIRSCPNLKKALFTHFPSLTKLDIRACEQFEIEFFPLELFPKLESLTIGSCPNLVSFSKGIPLAPNLKEFQLWSCSNLKSLPENMHTLLPSLEKLSIFHCPKLESFPVGGLPSKLKGLAIWGCDKLIAGRAQWDLQSLHVLSRFSIADNDVLECFPEETLLPSSLTRLEIRTHKNLKSLDYKGLQHLTSLRELIIMNCMEVSMPEEGLPPSISSLTIWQCPLLEKKCEGELKFPKYIRDPEYMTSGRKSI >Potri.003G201800.3.v4.1 pep chromosome:Pop_tri_v4:3:20170124:20174898:-1 gene:Potri.003G201800.v4.1 transcript:Potri.003G201800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201800.v4.1 MALALIGESILAAVLEVLMERIVSPAVRDFFKSQKIDDEELKKLKARMRSVSKLLNDAEEKQITDAAVKEWLDELKDAVYQADDFLDEIAYKALRLKLEGESRSQTCTDQLRSFLASLNPCRKGVREVQIELAKILRSLEELVGQKDVLGLIERIGEKPSSRITPTSSLVDESGVYGRDAEKEAIMKLLLADDTKGRHLDVISIVGMGGVGKTTLAQLLYKEIVVSNDRSQKSSFDLKAWVYVSEEFDVLKVTKDILKGVGSMNCDNMTEDQLHCELEKKLSGNKLLLVLDDVWSDNQSQWEFLLKPFMSVRQGIKIIVTTRNENVASIISSVSTHHIKKLSDDDCWLVLSKHAFDGGNLSAHPELELIGRQIARKCNGLPLAAKTLGSLLCSNRVKKEWMKILKSNFWELPNDNILSPLRLSYHHLPSHLKRCFSYCAIIPKGYKFTREEIVLLWMAEGFLVEPRRNNEMEEIGYEYFNELVARSFFQQSSPSSSLFVMHDLINDLARFASGDFCFRLEGDDSSKTTERTRHLSYRVAKDDSYQTFKAIKNPQLLRTLLCPSGWPRHMIQQVEVICNLLPALKCLRVLSLHPFHDISVLPNSICNLKHLRYLDLSHTKITRLPESMCSLYNLEILNLHFCVKLVELPVNMRSLINLRHLDLQHTKLPEMPLQMGKLTKLRKLTDFFIGKQSGSNIKELGKLQHLSGDLSIWNLQNVTDARDSFEANLKGKEHLEKLELVWDCDMDNPLVHERVLEQLQPPVNVKILSIIGYGGTRFPDWVGNSSLPLLQELYIRSCPNLKKALFTHFPSLTKLDIRACEQFEIEFFPLELFPKLESLTIGSCPNLVSFSKGIPLAPNLKEFQLWSCSNLKSLPENMHTLLPSLEKLSIFHCPKLESFPVGGLPSKLKGLAIWGCDKLIAGRAQWDLQSLHVLSRFSIADNDVLECFPEETLLPSSLTRLEIRTHKNLKSLDYKGLQHLTSLRELIIMNCMEVSMPEEGLPPSISSLTIWQCPLLEKKCEGELKFPKYIRDPEYMTSGRKSI >Potri.002G082400.1.v4.1 pep chromosome:Pop_tri_v4:2:5862764:5868226:-1 gene:Potri.002G082400.v4.1 transcript:Potri.002G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082400.v4.1 MNSLKNLSVFFLLLLIFTNPIVLSSSSSTTRSTSTNNIDYSFSFLDSTIGNSLNSRPKNQSKSTAEKVPSSTGCEVWTKACSEAVLALARRPDTVTWLKSVRRKIHENPELAFEEVKTSELVRYELDKMGIEYRYPLAKTGIRAWIGTGGPPFVAVRADMDALPIQEAVEWEHKSKVAGKMHACGHDAHVAMLVGAAKILKSREHLLQGTVILLFQPAEEAGNGAKRMIADGALDDVEAIFAVHVSHEHPTAIIGSRPGALLAGCGFFRAVISGKKGRAGSPHHSVDPILAASAAVISLQGIVSRETNPLDSQVVSVTTMDGGNNLDMIPETVVLGGTFRAYSNTSFYQLLQRIKEVIVEQASVFRCSATVDFFEKESTIYPPTVNDDHMYEHVRKVATDLLGPTNFRVVPPMMGAEDFSFYTQVVPAAFYYIGVRNETLGSIHTGHSPYFMIDEDVLPIGAATHAAIAERYLIEHG >Potri.011G006900.1.v4.1 pep chromosome:Pop_tri_v4:11:549977:551233:-1 gene:Potri.011G006900.v4.1 transcript:Potri.011G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006900.v4.1 MASLRASGLLFSSSSCSCSKGINAAISVPKLPRIRFPATKTPLKLVEELNLRNGFTSTIPSENTGLDQYEPIKSTTSTTASAKLYAILEAVADRVEMHKNIGEQRDNWNKLLLNSINMITLTAATMVGVAAGGAAGAPLLALKLSSTLLFSAATGMLIIMNKIQPSQLAEEQRNATRLFKQLYCQIQTTLALRDPTELDVKDAMEKTLALDKAYPLPLLGKMIEKFPENFEPAVWWPKPHESPRKQHKTQAKNGWSEDLEKEMGEVIEVIKRKDSEDYMRLGNLALKVNKIMAISGPLLTGIAAAGSAFVGHGSWAAIVAVTAGALASTVNTFEHGGQVGMVVEMYRNSAGFFKLLEESIDSTIPGKDLEKREDGEMFEMNVALKLGRSLSQLRDLARKSSTSHVHGSTIDEFASKLF >Potri.001G389100.1.v4.1 pep chromosome:Pop_tri_v4:1:41181295:41185759:1 gene:Potri.001G389100.v4.1 transcript:Potri.001G389100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G389100.v4.1 MSCSGRAGQVIFCLLILMLYCQLTVSQLCPKDESYALLQLKDGFEYDESASLDCSPPYPRMASWKSGTDCCSWDGVACHGVTGHVIALDLSCSGLRGNLSSNSSLFHLSHLRRLNLAFNYFNRSSIPPEFGMFSSLTHLNLSSTWFSGQVPTEISHLSKLISLDLSLNEPLILEAPAMKMIVQNLTLVREIFLDYINMSSVDLGSLMNLSSSLTSLSLNLCGLQGQFPENIFHLPNLQLLSLLLNSDLYGRLPVSNWSSSLELLKLGSTSFSGGLPEIIGNLDSIKVLDLGNCAFYGSVPASLGNLQQLNQLDLSNNNWTGQIPDVFGNLSKLNSLSLQVGNFSGMLPSSVFNLTELLRLDLSQNQLEGTLPDHICGLDNVTYLDLSYNLLSGTIPSCLFGLPSLVWFNLNNNHLTGELGEHWSKSLLEIRLESNKINGLIPPSISELVNLTNFDVSSNNLSGIVDLNLFSNMKNLWGLDLSHNSLSVVTNNNRNSTWPQFYKLALSSCNIIEFPDFLKIQNQLNFLSLSHNRIHGEIPKWLSAKGMQSLQYLDLSHNFLTIVNELPPSLQYLDLTSNLLQQPFPILPQSMYILLIANNKLTGEIPPWICNITTFQIINLSNNSLSGNIPQCLGNFSTELSVLNLRSNSFHGTIPGSFTEGNKIRSLDLNGNELEGSLPLSLANCKMLEVLDLGNNYINDSFPLWLQTLPKLQVLVLRSNRLHGSIGNPTAISPFSSLRIIDLSHNEFIGLLPTQYIANFQAMKKVDGEVKATPKYIGEIYYQDSIVLTMKGTEIPMERILTIFTTIDLSSNRFEGQIPKEVGLLSSLIVLNISRNSVTGQIPSSLGNLTALESLDLSSNGLGGGIPSQLTRLTFLAVLNLSYNQLVGPIPHGSQFDTFQNDSYVGNLRLCGFPLSVKCSGDVAPQPPPFQEKEDPASLFNWKFAMIGYGCGLVIGLSVGYIVFTTGKPQWFVRKVEVEQKKWLRRRTKRNI >Potri.017G066400.1.v4.1 pep chromosome:Pop_tri_v4:17:7017374:7018432:1 gene:Potri.017G066400.v4.1 transcript:Potri.017G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066400.v4.1 MDSTGELCRDAIYEVLTRSSIETMGKCRLLSKEYNKLTYESLFTKLHSQKTTIVSGSLIQSMIMNEYQVSFVSTNGLKNHTQISFDFLLEHVEIVSSTNQGVLLCHAHNKSCYYVCIPSIQQWQKVPNPKTRYDTIESSLMVEWSKPLRYKIVRFSEPKFRSHKEFYMYHCIRVELFESATWKWKLLDEVKLPHEESLHRMTKVSVNGSLHWLTWKRNVFAFDVKRESHCLFPLPLPASEGNDVRLTEYKGKLAMTSIDRERNFMEVWIMEDHGRKQWSKRHSINIGVLTRKKPHVSPLAFCNADVVLMGEYFPDVIFFNFKTWHIDMLRLGKGLLHGCFPFQLTFATKEKA >Potri.016G054900.4.v4.1 pep chromosome:Pop_tri_v4:16:3632309:3639014:1 gene:Potri.016G054900.v4.1 transcript:Potri.016G054900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054900.v4.1 MDLEGDDAAGPKKIQVCQICSDDIGKTIDGEPFVACHVCAFPVCRPCYEYERKDGNQSCPQCKTKYKRHKGSPPIQGEEMGDADSEDVGNKSNHHISGVQDEKQKIERMLGWDSSSGRKEHLATTNYDKDGSLNHIPYLAGRRSVSGDLSAASPERYSMASPESGIRANIRVVDPTRDSGSLGFGNVAWRERIDGWKMKPEKNTAPMSVSNAPSEGRGGGDFDASTDVLMDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVVLCIFLHYRLTNPVRNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLSLRYEKEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFETMSETSEFARKWVPFCKRYDIEPRAPEWYFSQKIDYLKDKVHPSFVKERRAMKREYEEFKVRVNGLVAKAQKVPDEGWVMQDGTPWPGNNIRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGRTVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSCFGGSRKKSSRSGRKDSKKKSSKLVDPTLPVFNLEDIEEGVEGTGFDDEKSLLMSQMTLEKRFGQSTVFVASTLMENGGVPESATPESLLKEAIHVISCGYEDKSDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYINTTIYPITSIPLLAYCTLPAVCLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFTELYMFKWTTLLIPPTTLLIINLVGVVAGVSYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIVVVWSVLLASIFSLLWVRVDPFTTKVTGPDVTQCGINC >Potri.006G060600.6.v4.1 pep chromosome:Pop_tri_v4:6:4297238:4302321:1 gene:Potri.006G060600.v4.1 transcript:Potri.006G060600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060600.v4.1 MSCNNRSNPPLFFLLAFVFLFFLFTSSTATPSSATSHSLSLSISDDVVGERENDGVLVSWKARRHLAEEGNTTSSLILAAKRTRRRDPLDNSKFYTSGYNISNKHYWASVGLTAAPFFIVAAVWFVIFGLCLSFICLCYCCCRREPYGYSRACYALSLISLIFFTIAAIAGCGVLYAGQGKFHNSTTRTLEYVVNQADVTAENLRNVSDYLAAAKNAGVLSVFLPTSVRNDIDSIQTKINSSGATLSSTTQKNSEGIQDVLDTIRLILIILAAVMLALAFLGFVFSIFGMQCLVYFLVILGWILVTCTFVLCGVFLLLHNVVADTCISMDEWVQNPTAKTALDDIIPCVDNATAQETLRQTKETTYQLVNVVDNIINTVSNKNIPRQAGGLYYNQSGPLMPVLCNPYNSDYTDRQCAAGEVDLSNATQVIYVNMHSVNRKAESILYIGYAED >Potri.006G060600.1.v4.1 pep chromosome:Pop_tri_v4:6:4297313:4303955:1 gene:Potri.006G060600.v4.1 transcript:Potri.006G060600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060600.v4.1 MSCNNRSNPPLFFLLAFVFLFFLFTSSTATPSSATSHSLSLSISDDVVGERENDGVLVSWKARRHLAEEGNTTSSLILAAKRTRRRDPLDNSKFYTSGYNISNKHYWASVGLTAAPFFIVAAVWFVIFGLCLSFICLCYCCCRREPYGYSRACYALSLISLIFFTIAAIAGCGVLYAGQGKFHNSTTRTLEYVVNQADVTAENLRNVSDYLAAAKNAGVLSVFLPTSVRNDIDSIQTKINSSGATLSSTTQKNSEGIQDVLDTIRLILIILAAVMLALAFLGFVFSIFGMQCLVYFLVILGWILVTCTFVLCGVFLLLHNVVADTCISMDEWVQNPTAKTALDDIIPCVDNATAQETLRQTKETTYQLVNVVDNIINTVSNKNIPRQAGGLYYNQSGPLMPVLCNPYNSDYTDRQCAAGEVDLSNATQVWKKYTCQVSSGICTTPGRLTPSLYNQMESAVNLSYGLNRYGPFLVNLEDCTFVRETFTKINHSYCPGLRRYTQWIYVGLVIVSAAVMLSLIFWVIYARERRHRVYTKQFVPTSLEGPGKAS >Potri.006G060600.5.v4.1 pep chromosome:Pop_tri_v4:6:4297291:4302303:1 gene:Potri.006G060600.v4.1 transcript:Potri.006G060600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060600.v4.1 MSCNNRSNPPLFFLLAFVFLFFLFTSSTATPSSATSHSLSLSISDDVVGERENDGVLVSWKARRHLAEEGNTTSSLILAAKRTRRRDPLDNSKFYTSGYNISNKHYWASVGLTAAPFFIVAAVWFVIFGLCLSFICLCYCCCRREPYGYSRACYALSLISLIFFTIAAIAGCGVLYAGQGKFHNSTTRTLEYVVNQADVTAENLRNVSDYLAAAKNAGVLSVFLPTSVRNDIDSIQTKINSSGATLSSTTQKNSEGIQDVLDTILILIILAAVMLALAFLGFVFSIFGMQCLVYFLVILGWILVTCTFVLCGVFLLLHNVVADTCISMDEWVQNPTAKTALDDIIPCVDNATAQETLRQTKETTYQLVNVVDNIINTVSNKNIPRQAGGLYYNQSGPLMPVLCNPYNSDYTDRQCAAGEVDLSNATQVWKKYTCQVSSGICTTPGRLTPSLYNQMESAVNLSYGLNRYGPFLVNLEDCTFVRETFTKINHSYCPGLRRYTQWIYVGLVIVSAAVMLSLIFWVIYARERRHRVYTKQFVPTSLEGPGKAS >Potri.015G075902.2.v4.1 pep chromosome:Pop_tri_v4:15:10194689:10196986:-1 gene:Potri.015G075902.v4.1 transcript:Potri.015G075902.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075902.v4.1 MSNLSHEGSIEGLKKLLIDNEKDDQLNNEVEAKIEKLIGELQGKRPPDHCDPVGRILDGFHRFKTTKFDKYPELYRELAEGQSPKFLVFACSDSRVSPSHVLDFQPGEAFMVRNIANLVPAFNQLRYSGVGATIEYAVATLGVANILVIGHSRCGGIARLMTLPEDGSTANDFVDDWVKIGLPAKAKVKAEFGHLPLPEQIHKCEKATLLCRRL >Potri.015G075902.1.v4.1 pep chromosome:Pop_tri_v4:15:10194689:10196986:-1 gene:Potri.015G075902.v4.1 transcript:Potri.015G075902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075902.v4.1 MSNLSHEGSIEGLKKLLIDNEKDDQLNNEVEAKIEKLIGELQGKRPPDHCDPVGRILDGFHRFKTTKFDKYPELYRELAEGQSPKFLVFACSDSRVSPSHVLDFQPGEAFMVRNIANLVPAFNQLRYSGVGATIEYAVATLGVANILVIGHSRCGGIARLMTLPEDGSTANDFVDDWVKIGLPAKAKVKAEFGHLPLPEQIHKCEKEAVNLSLINLQTYPYVQERMAEGALALRGGYYDFVNGCFELWEVKSTVTPPISTCCK >Potri.006G109600.1.v4.1 pep chromosome:Pop_tri_v4:6:8521490:8525824:1 gene:Potri.006G109600.v4.1 transcript:Potri.006G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109600.v4.1 MVSSQLPGSTKNRLLFPVNSLDTEVSDHVELDFTDVFGPLPSIDVNCGDPLSVGDGSELIYDNPVVIHNRSHSLVGPSSYVSQSLKLSKLNLNETEDSMELVKCVLDETIKELEECSIDDDAIEKDVEGVSGDTLEVQTVGIEDFEVLKVVGQGAFGKVYQVRKKGTPEIYAMKVMRKDRIVEKNHVDYMRGERDILTKIAHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYNHGLFREDLARIYAAEIVSAVCHLHANGIMHRDLKPENILLDSDGHVMLTDFGLAKQFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLYEMLTGKPPFVGGNRDKIQQKIVKDKIKLPSFLTSEAHTLLKGLLNKDASKRLGSGPLGSEEIKRHKWFKAINWKKLDAREIQPSFRPDVAGKHCIANFDKCWTDMTLSDSPAASPKMNTNPFVNFTYVRPAASFLQQSSPLY >Potri.006G109600.5.v4.1 pep chromosome:Pop_tri_v4:6:8522528:8525793:1 gene:Potri.006G109600.v4.1 transcript:Potri.006G109600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109600.v4.1 MVSSQLPGSTKNRLLFPVNSLDTEVSDHVELDFTDVFGPLPSIDVNCGDPLSVGDGSELIYDNPVVIHNRSHSLVGPSSYVSQSLKLSKLNLNETEDSMELVKCVLDETIKELEECSIDDDAIEKDVEGVSGDTLEVQTVGIEDFEVLKVVGQGAFGKVYQVRKKGTPEIYAMKVMRKDRIVEKNHVDYMRGERDILTKIAHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYNHGLFREDLARIYAAEIVSAVCHLHANGIMHRDLKPENILLDSDGHVMLTDFGLAKQFDENTRSNSMCGTVEYMAPEIVQGRGHDKAADWWSVGILLYEMLTGKPPFVGGNRDKIQQKIVKDKIKLPSFLTSEAHTLLKGLLNKDASKRLGSGPLGSEEIKRHKWFKAINWKKLDAREIQPSFRPDVAGKHCIANFDKCWTDMTLSDSPAASPKMNTNPFVNFTYVRPAASFLQQSSPLY >Potri.001G001500.4.v4.1 pep chromosome:Pop_tri_v4:1:114760:117175:1 gene:Potri.001G001500.v4.1 transcript:Potri.001G001500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001500.v4.1 MEEAMAARYWCYMCSQMVNPVMEVEIKCPFCQSGFIEEMSSSTGDTQVPDSEFGSDRALSLWAPILLGMMGNSRRRRRLRRMEYEEGEDDNDDGEANLGGETEFEHEIESFIRRRRRSRRSSATILQLLQGIRAGILASESENSEGDRDGERDRDRDRDRERVILINPFNQNIIVQGSYDSNNDENQNQNPVGSFGDYFIGPGLDLLLQHLADNDPNRYGTLPAQKEAVEALPTVIIKEPLQCSVCLDDFEIGSKAREMPCKHKFHSGCILPWLELHSSCPVCRHQLPADESKLDSERARNSSDRREFENTNSESNISHGISVEEGDSEERSGNGRSFSFPWPFNSLFSSSSGSQSGGNHPSSAASSSPANAPGSTSQTDEN >Potri.001G001500.5.v4.1 pep chromosome:Pop_tri_v4:1:114756:117169:1 gene:Potri.001G001500.v4.1 transcript:Potri.001G001500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001500.v4.1 MEEAMAARYWCYMCSQMVNPVMEVEIKCPFCQSGFIEEMSSSTGDTQVPDSEFGSDRALSLWAPILLGMMGNSRRRRRLRRMEYEEGEDDNDDGEANLGGETEFEHEIESFIRRRRRSRRSSATILQLLQGIRAGILASESENSEGDRDGERDRDRDRDRERVILINPFNQNIIVQGSYDSNNDENQNQNPVGSFGDYFIGPGLDLLLQHLADNDPNRYGTLPAQKEAVEALPTVIIKEPLQCSVCLDDFEIGSKAREMPCKHKFHSGCILPWLELHSSCPVCRHQLPADESKLDSERARNSSDRREFENTNSESNISHGISVEEGDSEERSGNGRSFSFPWPFNSLFSSSSGSQSGGNHPSSAASSSPANAPGSTSQTDEN >Potri.007G086900.1.v4.1 pep chromosome:Pop_tri_v4:7:11197618:11203427:-1 gene:Potri.007G086900.v4.1 transcript:Potri.007G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086900.v4.1 MSSPYPVLGTKPIDQWKVTELKEELKRRRLKTNGLKDDLIRRLDDALRVEMENDEALRTERESEEALRSEQENAAKVVVDVDNGVHLENPPIVGVKMEEAVAVVAEMVKDVVDDAANRDEKVDNVMIQVGTNESVKAMGTGELQEEVQMGGSDSSSTDEDLNVHATTVETSITVTESVVSEVALSVKATGTGELQEEFQMVGIDSSSSDEDLNVHATTVETSITVTESVVPEVALSGQDVQNSGTQEANENSNIQLENEGLKPQLENENLKPPDEDATLDSSAPDNQVSEVSPSLGFQVKSDSISTDSVSINEKIELKDNIIADNVKLELDNVKPEMVEPSFSNVVPVGGESHPMDVEEPQEKKESVEEKDDSSGTNAYMRKNNDSVDVGYSEKLNLDRSSGDDSMEEDVLESKQIDSKYNSDEVGDVSEKKNEGPVVKEENLVGVAGKDLSTDQKEVHVENKIHPVVPVEKRKFNDQEIVGNSEPLKRQRRWNSENIKVPEQQSSNLTPTTTPKDGFQPAPLRRNFSRSESSVSEEAPKERVVPPSQKPLTNSLRIDRFLRPFTLKAVQELLGKTGTVTSFWMDHIKTHCYVTYSSVEEATETRNAVYNLQWPPNGGRLLVAEFVDPQEVKMRVDAPPQSPSTPVTPSAAAPAPPTLQPQPSPRQQVSRQQLPPPPSLPPPPPLSNPPHARERVDLPPPPPLPEKHDPPIVTLDDLFRKTKTAPRIYYLPLLEEQVAAKLAERGKNTKQ >Potri.012G138600.2.v4.1 pep chromosome:Pop_tri_v4:12:15153277:15156026:1 gene:Potri.012G138600.v4.1 transcript:Potri.012G138600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138600.v4.1 MNTRGRVKWDEDNLGEIEANKPERQKITEPKTPYHPMIDVDDDSLSPRRGSSFNEVAEDTMRAEELRTALDIMASSSRNPSRRSSGWTSSEDEVDPMEQDEEDSETDRSSNFREHRRAHYDEFRKVKELRRKGSFLEDEEENGDGVRDIEIEGAATSHQNSSPPANGV >Potri.016G047700.1.v4.1 pep chromosome:Pop_tri_v4:16:3059966:3060659:1 gene:Potri.016G047700.v4.1 transcript:Potri.016G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047700.v4.1 MKINSRTLAALFVLILVHILASSSLCLCHEESSLIPSKRSTISRKLLATPLKSRENKLGDGTMKEAKKAVEQSLRKAPPSVSNPIQN >Potri.016G026532.1.v4.1 pep chromosome:Pop_tri_v4:16:1498769:1499967:1 gene:Potri.016G026532.v4.1 transcript:Potri.016G026532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G026532.v4.1 MSLLLSNPLTSLSSLPPLSSPTLAFIATPEPFNSSLCGKSANCFGFFTVCRWCQPKLCSRMLTKILMLYSLHIL >Potri.017G081500.6.v4.1 pep chromosome:Pop_tri_v4:17:9120156:9124160:1 gene:Potri.017G081500.v4.1 transcript:Potri.017G081500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081500.v4.1 MYPGGYTAEVTSLSPKAEEKDVYEFFSHCGAIEHVEIIRSGEYACTAYVTFKDAYGLQTAILLSGATIVDQRVCITHWGTFVDEFDAWGSSSMDEGNTSSAGIPFGQFVSTPGEAVTVAQEVVKTMLSKGYILGKDAMVKAKAFDESHQVLATAAAKMSELSNRIGLADKIFAGMETVKGVDEKYHVSEFTKSAANAVVNSPYFTKGALWVSGVLTQAAEAAADLGKKAST >Potri.017G081500.7.v4.1 pep chromosome:Pop_tri_v4:17:9119908:9124155:1 gene:Potri.017G081500.v4.1 transcript:Potri.017G081500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081500.v4.1 MYPGGYTAEVTSLSPKAEEKDVYEFFSHCGAIEHVEIIRSGEYACTAYVTFKDAYGLQTAILLSGATIVDQRVCITHWGTFVDEFDAWGSSSMDEGNTSSAGIPFGQFVSTPGEAVTVAQEVVKTMLSKGYILGKDAMVKAKAFDESHQVLATAAAKMSELSNRIGLADKIFAGMETVKGVDEKYHVSEFTKSAANAVVNSPYFTKGALWVSGVLTQAAEAAADLGKKAST >Potri.019G130566.1.v4.1 pep chromosome:Pop_tri_v4:19:15308401:15309347:1 gene:Potri.019G130566.v4.1 transcript:Potri.019G130566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130566.v4.1 MEDRVTLLDFWPSSWAMRVKVALAEKGIEYESREQNLIDKSSLLLEMNPVHKMIPVLIHNGKPICESHNIVQYIDEVWKDKSPLLPSDPYQRSQARFWADYIDKKASISVLV >Potri.005G203100.11.v4.1 pep chromosome:Pop_tri_v4:5:20808193:20809537:-1 gene:Potri.005G203100.v4.1 transcript:Potri.005G203100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203100.v4.1 MICHSHGGGAMVVLCRPVLKRPMCLSSNTDQLRTQLDQLHAEAESTRAKANSARLRLMRLSEAAEKLKRQAAVSVISGKENDARELLFQKKKVMHAIGRSKNRIELLDQLSSKLNQVISVKENQLIGNVAFDVEVETKDDSSPVRIVSPKLGVTDFSSDDDLEFSDGQDLQLCAHGETNPPVDEEVGFLGRDICNDSNEESITRGLKDVSSYEDFLEHLDVKLNKIESELVTILNVSALVLNDNEKPNNFKVQQTIELLESVRAIRQKISGIMQKKVEIS >Potri.015G032200.7.v4.1 pep chromosome:Pop_tri_v4:15:2482302:2485695:1 gene:Potri.015G032200.v4.1 transcript:Potri.015G032200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032200.v4.1 MQTIKQEVMWPKFVANKFLRKMLGSNNIVADFPNSTETMLELEETSSTSSDQASLISSRKYITGDQGRHKIFVGSWNVGGVAPPDDLNMEDWLCTHTDPADIYVLGYFRFQEVVPLNAGNIVLGLENSKICSRWNSLIREALNNSISKHVQEDKVGEFYKVHPLKNHSIASLDKSSNNFPHCFDCITSKQMVGIFITVWVRNDLLPYIQHPSVSCVGCGIMGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDEKNRNANAIEILSSTRFSRGPLRNLPRKILDHEIYLPHTTTRSLVQKKEWNILLERDQLKAELMKGHVFQGWREGIIEFAPTYKYYQNSQVYYGCDQKRKGEKKRAPAWCDRIIWFGEGLKQKEYSRGEFRLSDHRPVRAIFIAEIEVPSDSRRLGSSFTGRFRCLKDHLEVCSNEKISCNVDRTSFYSE >Potri.015G032200.8.v4.1 pep chromosome:Pop_tri_v4:15:2482303:2485695:1 gene:Potri.015G032200.v4.1 transcript:Potri.015G032200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032200.v4.1 MQTIKQEVMWPKFVANKFLRKMLGSNNIVADFPNSTETMLELEETSSTSSDQASLISSRKYITGDQGRHKIFVGSWNVGGVAPPDDLNMEDWLCTHTDPADIYVLGFQEVVPLNAGNIVLGLENSKICSRWNSLIREALNNSISKHVQEDKVGEFYKVHPLKNHSIASLDKSSNNFPHCFDCITSKQMVGIFITVWVRNDLLPYIQHPSVSCVGCGIMGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDEKNRNANAIEILSSTRFSRGPLRNLPRKILDHDQVIWLGDFNYRIYLPHTTTRSLVQKKEWNILLERDQLKAELMKGHVFQGWREGIIEFAPTYKYYQNSQVYYGCDQKRKGEKKRAPA >Potri.015G032200.2.v4.1 pep chromosome:Pop_tri_v4:15:2482303:2485695:1 gene:Potri.015G032200.v4.1 transcript:Potri.015G032200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032200.v4.1 MQTIKQEAYINSFLKVMWPKFVANKFLRKMLGSNNIVADFPNSTETMLELEETSSTSSDQASLISSRKYITGDQGRHKIFVGSWNVGGVAPPDDLNMEDWLCTHTDPADIYVLGYFRFQEVVPLNAGNIVLGLENSKICSRWNSLIREALNNSISKHVQEDKVGEFYKVHPLKNHSIASLDKSSNNFPHCFDCITSKQMVGIFITVWVRNDLLPYIQHPSVSCVGCGIMGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDEKNRNANAIEILSSTRFSRGPLRNLPRKILDHDQVIWLGDFNYRIYLPHTTTRSLVQKKEWNILLERDQLKAELMKGHVFQGWREGIIEFAPTYKYYQNSQVYYGCDQKRKGEKKRAPAWCDRIIWFGEGLKQKEYSRGEFRLSDHRPVRAIFIAEIEVPSDSRRLGSSFTGRFRCLKDHLEVCSNEKISCNVDRTSFYSE >Potri.015G032200.6.v4.1 pep chromosome:Pop_tri_v4:15:2482303:2485695:1 gene:Potri.015G032200.v4.1 transcript:Potri.015G032200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032200.v4.1 MQTIKQEAYINSFLKVMWPKFVANKFLRKMLGSNNIVADFPNSTETMLELEETSSTSSDQASLISSRKYITGDQGRHKIFVGSWNVGGVAPPDDLNMEDWLCTHTDPADIYVLGFQEVVPLNAGNIVLGLENSKICSRWNSLIREALNNSISKHVQEDKVGEFYKVHPLKNHSIASLDKSSNNFPHCFDCITSKQMVGIFITVWVRNDLLPYIQHPSVSCVGCGIMGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDEKNRNANAIEILSSTRFSRGPLRNLPRKILDHEIYLPHTTTRSLVQKKEWNILLERDQLKAELMKGHVFQGWREGIIEFAPTYKYYQNSQVYYGCDQKRKGEKKRAPAWCDRIIWFGEGLKQKEYSRGEFRLSDHRPVRAIFIAEIEVPSDSRRLGSSFTGRFRCLKDHLEVCSNEKISCNVDRTSFYSE >Potri.015G032200.1.v4.1 pep chromosome:Pop_tri_v4:15:2482193:2485695:1 gene:Potri.015G032200.v4.1 transcript:Potri.015G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032200.v4.1 MQTIKQEVMWPKFVANKFLRKMLGSNNIVADFPNSTETMLELEETSSTSSDQASLISSRKYITGDQGRHKIFVGSWNVGGVAPPDDLNMEDWLCTHTDPADIYVLGFQEVVPLNAGNIVLGLENSKICSRWNSLIREALNNSISKHVQEDKVGEFYKVHPLKNHSIASLDKSSNNFPHCFDCITSKQMVGIFITVWVRNDLLPYIQHPSVSCVGCGIMGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDEKNRNANAIEILSSTRFSRGPLRNLPRKILDHDQVIWLGDFNYRIYLPHTTTRSLVQKKEWNILLERDQLKAELMKGHVFQGWREGIIEFAPTYKYYQNSQVYYGCDQKRKGEKKRAPAWCDRIIWFGEGLKQKEYSRGEFRLSDHRPVRAIFIAEIEVPSDSRRLGSSFTGRFRCLKDHLEVCSNEKISCNVDRTSFYSE >Potri.015G032200.5.v4.1 pep chromosome:Pop_tri_v4:15:2482192:2485695:1 gene:Potri.015G032200.v4.1 transcript:Potri.015G032200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032200.v4.1 MQTIKQEVMWPKFVANKFLRKMLGSNNIVADFPNSTETMLELEETSSTSSDQASLISSRKYITGDQGRHKIFVGSWNVGGVAPPDDLNMEDWLCTHTDPADIYVLGFQEVVPLNAGNIVLGLENSKICSRWNSLIREALNNSISKHVQEDKVGEFYKVHPLKNHSIASLDKSSNNFPHCFDCITSKQMVGIFITVWVRNDLLPYIQHPSVSCVGCGIMGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDEKNRNANAIEILSSTRFSRGPLRNLPRKILDHEIYLPHTTTRSLVQKKEWNILLERDQLKAELMKGHVFQGWREGIIEFAPTYKYYQNSQVYYGCDQKRKGEKKRAPAWCDRIIWFGEGLKQKEYSRGEFRLSDHRPVRAIFIAEIEVPSDSRRLGSSFTGRFRCLKDHLEVCSNEKISCNVDRTSFYSE >Potri.015G032200.4.v4.1 pep chromosome:Pop_tri_v4:15:2482251:2485695:1 gene:Potri.015G032200.v4.1 transcript:Potri.015G032200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032200.v4.1 MQTIKQEVMWPKFVANKFLRKMLGSNNIVADFPNSTETMLELEETSSTSSDQASLISSRKYITGDQGRHKIFVGSWNVGGVAPPDDLNMEDWLCTHTDPADIYVLGYFRFQEVVPLNAGNIVLGLENSKICSRWNSLIREALNNSISKHVQEDKVGEFYKVHPLKNHSIASLDKSSNNFPHCFDCITSKQMVGIFITVWVRNDLLPYIQHPSVSCVGCGIMGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDEKNRNANAIEILSSTRFSRGPLRNLPRKILDHDQVIWLGDFNYRIYLPHTTTRSLVQKKEWNILLERDQLKAELMKGHVFQGWREGIIEFAPTYKYYQNSQVYYGCDQKRKGEKKRAPAWCDRIIWFGEGLKQKEYSRGEFRLSDHRPVRAIFIAEIEVPSDSRRLGSSFTGRFRCLKDHLEVCSNEKISCNVDRTSFYSE >Potri.015G032200.3.v4.1 pep chromosome:Pop_tri_v4:15:2482303:2485695:1 gene:Potri.015G032200.v4.1 transcript:Potri.015G032200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032200.v4.1 MQTIKQEAYINSFLKVMWPKFVANKFLRKMLGSNNIVADFPNSTETMLELEETSSTSSDQASLISSRKYITGDQGRHKIFVGSWNVGGVAPPDDLNMEDWLCTHTDPADIYVLGFQEVVPLNAGNIVLGLENSKICSRWNSLIREALNNSISKHVQEDKVGEFYKVHPLKNHSIASLDKSSNNFPHCFDCITSKQMVGIFITVWVRNDLLPYIQHPSVSCVGCGIMGCLGNKGSVSVRFCLHETSFCFVCSHLASGGKEGDEKNRNANAIEILSSTRFSRGPLRNLPRKILDHDQVIWLGDFNYRIYLPHTTTRSLVQKKEWNILLERDQLKAELMKGHVFQGWREGIIEFAPTYKYYQNSQVYYGCDQKRKGEKKRAPAWCDRIIWFGEGLKQKEYSRGEFRLSDHRPVRAIFIAEIEVPSDSRRLGSSFTGRFRCLKDHLEVCSNEKISCNVDRTSFYSE >Potri.019G021400.2.v4.1 pep chromosome:Pop_tri_v4:19:3302847:3308453:1 gene:Potri.019G021400.v4.1 transcript:Potri.019G021400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021400.v4.1 MANSDSKSKPLNEESRIIAEYRECWRNHAILTGGHAVDGCGEFTPNGDQGTKEAFICEACGCHRNFHRKQVIMRDGTILLDTHHSPPPPYELYGAPYTSVSDEESLYNGSSSEKKMKARKRPKRGTAMRNKK >Potri.019G021400.1.v4.1 pep chromosome:Pop_tri_v4:19:3302847:3303824:1 gene:Potri.019G021400.v4.1 transcript:Potri.019G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021400.v4.1 MANSDSKSKPLNEESRIIAEYRECWRNHAILTGGHAVDGCGEFTPNGDQGTKEAFICEACGCHRNFHRKQVIMRDGTILLDTHHSPPPPYELYGAPYTSVSDEESLYNGSSSEKKMKARKRPKRGTAMRNKK >Potri.019G014405.2.v4.1 pep chromosome:Pop_tri_v4:19:2459390:2462465:1 gene:Potri.019G014405.v4.1 transcript:Potri.019G014405.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014405.v4.1 MGNGSSREPENQGDFITILSIDGGGVRGIIPSEVLSVLEAKLQKLDVDNKDARIADYFDFIAGTSTGGLMTAMLTAPNDEKRPLFAAKDIAKFYLDKSPNIFLKTPETNQPPSTEEDSRITDSDEATEEPLTTHAEVINTPATFLRGIIDFIADFTPEAKDYVEPLNKYLLQPKYDGKNLQDTIKGLLGEKLALSETLTSVIIPAFDIKHFGPTIFSTLKAKRDRSMDAPLADVCRATSAAPYYFPPYHFKTSKPFNLVDGGVAANNPSFLAVCEAMKERKADFHKFVVLSLGTGAPDASGRLEVRDGKWGIVDWLWQDDNSNPLLDILTTAPDEMTEMYMSTVFQYSGLEHNYTRIQVELKPSEAIMDNTSKENLERLKKIGQDLAEQNDAKLEALASRLVEIRKARLTHIST >Potri.019G014405.1.v4.1 pep chromosome:Pop_tri_v4:19:2459533:2462319:1 gene:Potri.019G014405.v4.1 transcript:Potri.019G014405.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014405.v4.1 MFLVKFSGNGSSREPENQGDFITILSIDGGGVRGIIPSEVLSVLEAKLQKLDVDNKDARIADYFDFIAGTSTGGLMTAMLTAPNDEKRPLFAAKDIAKFYLDKSPNIFLKTPETNQPPSTEEDSRITDSDEATEEPLTTHAEVINTPATFLRGIIDFIADFTPEAKDYVEPLNKYLLQPKYDGKNLQDTIKGLLGEKLALSETLTSVIIPAFDIKHFGPTIFSTLKAKRDRSMDAPLADVCRATSAAPYYFPPYHFKTSKPFNLVDGGVAANNPSFLAVCEAMKERKADFHKFVVLSLGTGAPDASGRLEVRDGKWGIVDWLWQDDNSNPLLDILTTAPDEMTEMYMSTVFQYSGLEHNYTRIQVELKPSEAIMDNTSKENLERLKKIGQDLAEQNDAKLEALASRLVEIRKARLTHIST >Potri.001G456300.1.v4.1 pep chromosome:Pop_tri_v4:1:48243091:48249769:-1 gene:Potri.001G456300.v4.1 transcript:Potri.001G456300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456300.v4.1 MRLLDLLYIASVPILKVLILTALGSLLALDNIHVLGESTRKELNRVVFLVFSPALVVSSLAKTITYENIVTLWFMPVNILCAFIVGSALGWILIKITRPAKQLKGLILGCCAAGNNGSLLFIIIPAVCSEKGSPFGSPDVCSTYGMAYVSLSLAVSAIYMWSYVYNVVRVSSRDVNKEADSDGHGGSTSTVKDAGETPELIQGNYSEAFLPSKEFPVSDEYELLLPCTNSEGIVKVHLSDKIKQCFRMISRKLNLKAVFAPSTTAAIAGFIIGVIPQIRNSLIGASAPLHVVEDSASLIGDAAIPTVTLIVGGNLLRGLRGSGIQSSLIVGIVAVRFVFLPLIGTAIVKGAVHFGLVHSDPLYQFVLLLQFAVPPALNIGTITQLFGAGESECSVIMLWTYALASIFLTLWSTLFMWLVA >Potri.013G092100.4.v4.1 pep chromosome:Pop_tri_v4:13:9445441:9461275:-1 gene:Potri.013G092100.v4.1 transcript:Potri.013G092100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092100.v4.1 MQISISSPLFLSSLFFCQNVGFAWLSNSVVRVCSNGNICQYLVNCVLESAYYFTNLVSSKSFIVELNAKSLSLDVIEFEESMRAARLDSKVSQVQALRAQTGHGPPTRMCCVETNIDGRSNYPYMEAEPGELTVEDVERFLSGTKM >Potri.004G167200.2.v4.1 pep chromosome:Pop_tri_v4:4:18553521:18558237:1 gene:Potri.004G167200.v4.1 transcript:Potri.004G167200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167200.v4.1 MAPHGEGSEEAMVVQDENRKPISSILIVIAMQTEAMPVVNKFQLKEDLDPVFPKGVPWVRYHGIYKDLHINLVWPGKDLTLGVDSVGTISASLVTYAAIQALQPDLIINAGTAGGFKVKGACISDVFLVSDVAFHDRRIPIPVFDLYGVGLRQCFSTPNLLKELNLKAGKLSTGDSLDMSPQDEASIVANDATVKDMEGAAVAYVADLLKVPAIFIKAVTDIVDGDKPTAEEFLQNLAAVTAALDQAVAQVVDFISGKCLSEL >Potri.017G057100.4.v4.1 pep chromosome:Pop_tri_v4:17:4502174:4507538:1 gene:Potri.017G057100.v4.1 transcript:Potri.017G057100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057100.v4.1 MMGFDNDDAKSESRYVLSRSKITHGEHPLSLGKSSIWNKFFQDSEIIEQIDRDVKRTHPDMHFFSGDSSFAKSNQEALRNILIVFAKLNPGIRYVQGMNEILAPLFYVFKNDPDEEMEACAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRSTITRLSHLLKEHDEELWRHLEVTTKVNPQFYSFRWITLLLTQEFNFADSIHIWDTLLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTSILKLLQNYPPTNISHLLYVANKLRGQPSH >Potri.017G057100.1.v4.1 pep chromosome:Pop_tri_v4:17:4502196:4507522:1 gene:Potri.017G057100.v4.1 transcript:Potri.017G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057100.v4.1 MVKKKVPDWLNSSLWSSSSTTTTTSFSYSDTNHFDHHSSTSASPPIDPPLPPAATRDEQQHPPRHHHNYHPRKPDIKEDKHSKSSIVNDNISNNNSNNSISNNKIDNRKADNTESSAEDISNQALLLTELSRKVINMGELRRIASQGIPDGVGIRSTVWKLLLGYLPPDRSLWSSELAKKRSQYKRFKEELLMNPSEITRRLEKMMGFDNDDAKSESRYVLSRSKITHGEHPLSLGKSSIWNKFFQDSEIIEQIDRDVKRTHPDMHFFSGDSSFAKSNQEALRNILIVFAKLNPGIRYVQGMNEILAPLFYVFKNDPDEEMEACAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRSTITRLSHLLKEHDEELWRHLEVTTKVNPQFYSFRWITLLLTQEFNFADSIHIWDTLLSDPEGPQETLLRVCCAMLILVRRRLLAGDFTSILKLLQNYPPTNISHLLYVANKLRGQPSH >Potri.002G022700.1.v4.1 pep chromosome:Pop_tri_v4:2:1416357:1417225:1 gene:Potri.002G022700.v4.1 transcript:Potri.002G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022700.v4.1 MAISKLLIASLLVSLLVLHLAEADQKVNSNQAASHVPGNNIDCGGACHARCSLSSRPRLCKRACGSCCARCKCVPQGTSGNLDTCPCYATLTTRGGRRKCP >Potri.001G018700.6.v4.1 pep chromosome:Pop_tri_v4:1:1382004:1386674:1 gene:Potri.001G018700.v4.1 transcript:Potri.001G018700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018700.v4.1 METKNKEMVSKMDDYEVIEQIGRGTFGAAFLVLHKFENKRYVLKKIRLAKQTEKFKQTAYQEMNLISKLNNPYIVQYKDSWVEKESYVCIVTSYCEGGDMAQMIKKARGTYLPEEMLCRWLTQLLLALDYLHSNRVLHRDLKCSNIFLAKDGNIQLGDFGLAKLLNKEDLASTIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRASDMAGLINKINRSSISPLPTAYSSTLKQLIKTMLRKSPEHRPTAAELLRHPHLQPYLAQCQNLSPVFLPVTSEYSCTDKPRGTRLPNKSSVHKNAIALKASPSKECKCFNQEKVGAFAQRVAANDSPKSAEQTEKVNSGTSSASIARTHHDEEKQEIASEKSQIVQEKLCGVGQAGMECKSASSGNSKRMEKLSADSTGYVGLAGYKKASALAMDDQTRHDLELEPEFCKPPTVTDMKSTPSKSKPPHGNGDRQKELNRSPSDISSVSSLTSLHGDETKINWNPQSLQRADALESLLEICATLVRQERYEELAGVLRPFSEEAVSSRETAIWLTKSLMKLHKKGNGAAQQ >Potri.001G018700.2.v4.1 pep chromosome:Pop_tri_v4:1:1382002:1386662:1 gene:Potri.001G018700.v4.1 transcript:Potri.001G018700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018700.v4.1 METKNKEMVSKMDDYEVIEQIGRGTFGAAFLVLHKFENKRYVLKKIRLAKQTEKFKQTAYQEMNLISKLNNPYIVQYKDSWVEKESYVCIVTSYCEGGDMAQMIKKARGTYLPEEMLCRWLTQLLLALDYLHSNRVLHRDLKCSNIFLAKDGNIQLGDFGLAKLLNKEDLASTIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRASDMAGLINKINRSSISPLPTAYSSTLKQLIKTMLRKSPEHRPTAAELLRHPHLQPYLAQCQNLSPVFLPVTSEYSCTDKPRGTRLPNKSSVHKNAIALKASPSKECKCFNQEKVGAFAQRVAANDSPKSAEQTEKVNSGTSSASIARTHHDEEKQEIASEKSQIVQEKLCGVGQAGMECKSASSGNSKRMEKLSADSTGYVGLAGYKKASALAMDDQTRHDLELEPEFCKPPTVTDMKSTPSKSKPPHGNGDRQKELNRSPSDISSVSSLTSLHGDETKINWNPQSLQRADALESLLEICATLVRQERYEELAGVLRPFSEEAVSSRETAIWLTKSLMKLHKKGNGAAQQ >Potri.001G018700.7.v4.1 pep chromosome:Pop_tri_v4:1:1383288:1386632:1 gene:Potri.001G018700.v4.1 transcript:Potri.001G018700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018700.v4.1 MNLISKLNNPYIVQYKDSWVEKESYVCIVTSYCEGGDMAQMIKKARGTYLPEEMLCRWLTQLLLALDYLHSNRVLHRDLKCSNIFLAKDGNIQLGDFGLAKLLNKEDLASTIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRASDMAGLINKINRSSISPLPTAYSSTLKQLIKTMLRKSPEHRPTAAELLRHPHLQPYLAQCQNLSPVFLPVTSEYSCTDKPRGTRLPNKSSVHKNAIALKASPSKECKCFNQEKVGAFAQRVAANDSPKSAEQTEKVNSGTSSASIARTHHDEEKQEIASEKSQIVQEKLCGVGQAGMECKSASSGNSKRMEKLSADSTGYVGLAGYKKASALAMDDQTRHDLELEPEFCKPPTVTDMKSTPSKSKPPHGNGDRQKELNRSPSDISSVSSLTSLHGDETKINWNPQSLQRADALESLLEICATLVRQERYEELAGVLRPFSEEAVSSRETAIWLTKSLMKLHKKGNGAAQQ >Potri.001G018700.5.v4.1 pep chromosome:Pop_tri_v4:1:1382064:1386663:1 gene:Potri.001G018700.v4.1 transcript:Potri.001G018700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018700.v4.1 METKNKEMVSKMDDYEVIEQIGRGTFGAAFLVLHKFENKRYVLKKIRLAKQTEKFKQTAYQEMNLISKLNNPYIVQYKDSWVEKESYVCIVTSYCEGGDMAQMIKKARGTYLPEEMLCRWLTQLLLALDYLHSNRVLHRDLKCSNIFLAKDGNIQLGDFGLAKLLNKEDLASTIVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRASDMAGLINKINRSSISPLPTAYSSTLKQLIKTMLRKSPEHRPTAAELLRHPHLQPYLAQCQNLSPVFLPVTSEYSCTDKPRGTRLPNKSSVHKNAIALKASPSKECKCFNQEKVGAFAQRVAANDSPKSAEQTEKVNSGTSSASIARTHHDEEKQEIASEKSQIVQEKLCGVGQAGMECKSASSGNSKRMEKLSADSTGYVGLAGYKKASALAMDDQTRHDLELEPEFCKPPTVTDMKSTPSKSKPPHGNGDRQKELNRSPSDISSVSSLTSLHGDETKINWNPQSLQRADALESLLEICATLVRQERYEELAGVLRPFSEEAVSSRETAIWLTKSLMKLHKKGNGAAQQ >Potri.001G424950.1.v4.1 pep chromosome:Pop_tri_v4:1:45040373:45042954:-1 gene:Potri.001G424950.v4.1 transcript:Potri.001G424950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G424950.v4.1 MEVRKENRNIRDNHRRLLAEKFELKRNLYKALVRDPTLPQEMRELHAYKLAKLPRNSSFTRVRNRCVFTGRPRGVYQLFRMSRLVFRSLASQGLLEGIRKASW >Potri.001G464000.3.v4.1 pep chromosome:Pop_tri_v4:1:48978497:48979573:1 gene:Potri.001G464000.v4.1 transcript:Potri.001G464000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464000.v4.1 MASHILVIVSFLAIACAVVTSFEPSPLQDFCVSDPTSSARVNGLACLDSKMVQANHFSFSGLHIPGNTSNALGSAVTPVFVGQIPGLNTLGISMARIDYAPWGLIPPHSHPRATEILTVLEGRLLVGFVTSNPDNRLITKVLEKGDVFVFPIGLVHFQRNVGLGSAFSISSLSSQNPGVLLVANTLFGSTPSIPNDILAKAFQVDKSVVEKLQAQF >Potri.006G246800.2.v4.1 pep chromosome:Pop_tri_v4:6:24690541:24697447:-1 gene:Potri.006G246800.v4.1 transcript:Potri.006G246800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246800.v4.1 MSNNLVSQQLSVQSIQMGQLEHISNKLDSSMQMGLMESRIHDPALQQMSMPDMQMGRMGPGQSSTDALSQQMSISSNQVQLSEPLCNNNVLKNFSVPNMQTRHMEPRAYNLIPEKFLPKRQLGDMDTMFHSSGSQQPSLLSKRKAPMEPSSNNSMSQKLSMPPKRVAQMEHRPWLMPTPAPNTSGTNRPQAPSKRPASSKAGSQQSPVQKNQTGQMLPFSRARNETDSVRSKLRQSLADALALVSQQKDKTLSSGKNSEGEAASAQAQKHEETQPMVQTPGAAGTVDHMSDEPEESLPTKDDSFTQNHSDGPKTSQETSNTNGNAGYSTQTSNHDGQGLQSSVIFRDEDVSFSDSFFVKDDLLQGNGLSWVLEPDAEMAEKKEFETAETQQGQKHISKDIGKLIQDPQFLASEIEAELFKLFGGVNKKYKEKGRSLLFNLKDRSNPELREKVMSGEITPGRLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSDVDIRRLVKKTHKGEFQVEVEQDSVTMEVAVGTSSFTQTPPKSEEKEASPLSKSDQMKDKVNAADDKRNLEDKKGSYTLTIPSSEGTDLMQGLMVDDVLKDADFLPPIVSLDEFMESLDSEPPFENLPLDAGKATPSSNNDDSQDVSEAKSPAATAKDLVGSTAEKSDNVEVTNTSPEANGKSVNIHVESETTPSVGVSKGEHVWEGLLQLSISIMASVIGIFKSGDKTSAKEWSGFVEVKGRVRLDAFEKFLQELPMSRSRAVMVVHFVCKEGSTESERESLREVADSYVLDERVGFAEPAHGVELYLCPPHLKTRERLIKVLPKDQLEALNAVDNGLIGVIVWRKAQITSTISPTSASHHKHSSKKQQHFTSRKHQEKDTNMNVNIASKHPLPPRSGAYPNPQPDEDDDDVPPGFGPPAGRDEDDLPEFNFSSNSMASRSQFSNQNPTRGSGMPPLNSPYPQTPSRPVDLRELVHRYGQPKTNVPPMQPWNDDDDDDDDMPEWHPEETQHHRTHPQSTHVHGVQQPVLRAHMAQQTAHQTMAPLGTSPAMPQVNMMHSQQNLAPSLQQGAWVAPQPVPHGHPAYQSSGGQAYGSPGQAWRRDAPKSRGF >Potri.006G246800.3.v4.1 pep chromosome:Pop_tri_v4:6:24690556:24697451:-1 gene:Potri.006G246800.v4.1 transcript:Potri.006G246800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246800.v4.1 MSNNLVSQQLSVQSIQMGQLEHISNKLDSSMQMGLMESRIHDPALQQMSMPDMQMGRMGPGQSSTDALSQQMSISSNQVQLSEPLCNNNVLKNFSVPNMQTRHMEPRAYNLIPEKFLPKRQLGDMDTMFHSSGSQQPSLLSKRKAPMEPSSNNSMSQKLSMPPKRVAQMEHRPWLMPTPAPNTSGTNRPQAPSKRPASSKAGSQQSPVQKNQTGQMLPFSRARNETDSVRSKLRQSLADALALVSQQKDKTLSSGKNSEGEAASAQAQKHEETQPMVQTPGAAGTVDHMSDEPEESLPTKDDSFTQNHSDGPKTSQETSNTNGNAGYSTQTSNHDGQGLQSSVIFRDEDVSFSDSFFVKDDLLQGNGLSWVLEPDAEMAEKKEFETAETQQGQKHISKDIGKLIQDPQFLASEIEAELFKLFGGVNKKYKEKGRSLLFNLKDRSNPELREKVMSGEITPGRLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSDVDIRRLVKKTHKGEFQVEVEQDSVTMEVAVGTSSFTQTPPKSEEKEASPLSKSDQMKDKVNAADDKRNLEDKKGSYTLTIPSSEGTDLMQGLMVDDVLKDADFLPPIVSLDEFMESLDSEPPFENLPLDAGKATPSSNNDDSQDVSEAKSPAATAKDLVGSTAEKSDNVEVTNTSPEANGKSVNIHVESETTPSVGVSKGEHVWEGLLQLSISIMASVIGIFKSGDKTSAKEWSGFVEVKGRVRLDAFEKFLQELPMSRSRAVMVVHFVCKEGSTESERESLREVADSYVLDERVGFAEPAHGVELYLCPPHLKTRERLIKVLPKDQLEALNAVDNGLIGVIVWRKAQITSTISPTSASHHKHSSKKQQHFTSRKHQEKDTNMNVNIASKHPLPPRSGAYPNPQPDEDDDDVPPGFGPPAGRDEDDLPEFNFSSNSMASRSQFSNQNPTRGSGMPPLNSPYPQTPSRPVDLRELVHRYGQPKTNVPPMQPWNDDDDDDDDMPEWHPEETQHHRTHPQSTHVHGVQQPVLRAHMAQQTAHQTMAPLGTSPAMPQVNMMHSQQNLAPSLQQGAWVAPQPVPHGHPAYQSSGGQAYGSPGQAWRRDAPKSRGF >Potri.006G246800.1.v4.1 pep chromosome:Pop_tri_v4:6:24690576:24697530:-1 gene:Potri.006G246800.v4.1 transcript:Potri.006G246800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246800.v4.1 MSNNLVSQQLSVQSIQMGQLEHISNKLDSSMQMGLMESRIHDPALQQMSMPDMQMGRMGPGQSSTDALSQQMSISSNQVQLSEPLCNNNVLKNFSVPNMQTRHMEPRAYNLIPEKFLPKRQLGDMDTMFHSSGSQQPSLLSKRKAPMEPSSNNSMSQKLSMPPKRVAQMEHRPWLMPTPAPNTSGTNRPQAPSKRPASSKAGSQQSPVQKNQTGQMLPFSRARNETDSVRSKLRQSLADALALVSQQKDKTLSSGKNSEGEAASAQAQKHEETQPMVQTPGAAGTVDHMSDEPEESLPTKDDSFTQNHSDGPKTSQETSNTNGNAGYSTQTSNHDGQGLQSSVIFRDEDVSFSDSFFVKDDLLQGNGLSWVLEPDAEMAEKKEFETAETQQGQKHISKDIGKLIQDPQFLASEIEAELFKLFGGVNKKYKEKGRSLLFNLKDRSNPELREKVMSGEITPGRLCSMTAEELASKELSEWRMAKAEELAQMVVLPDSDVDIRRLVKKTHKGEFQVEVEQDSVTMEVAVGTSSFTQTPPKSEEKEASPLSKSDQMKDKVNAADDKRNLEDKKGSYTLTIPSSEGTDLMQGLMVDDVLKDADFLPPIVSLDEFMESLDSEPPFENLPLDAGKATPSSNNDDSQDVSEAKSPAATAKDLVGSTAEKSDNVEVTNTSPEANGKSVNIHVESETTPSVGVSKGEHVWEGLLQLSISIMASVIGIFKSGDKTSAKEWSGFVEVKGRVRLDAFEKFLQELPMSRSRAVMVVHFVCKEGSTESERESLREVADSYVLDERVGFAEPAHGVELYLCPPHLKTRERLIKVLPKDQLEALNAVDNGLIGVIVWRKAQITSTISPTSASHHKHSSKKQQHFTSRKHQEKDTNMNVNIASKHPLPPRSGAYPNPQPDEDDDDVPPGFGPPAGRDEDDLPEFNFSSNSMASRSQFSNQNPTRGSGMPPLNSPYPQTPSRPVDLRELVHRYGQPKTNVPPMQPWNDDDDDDDDMPEWHPEETQHHRTHPQSTHVHGVQQPVLRAHMAQQTAHQTMAPLGTSPAMPQVNMMHSQQNLAPSLQQGAWVAPQPVPHGHPAYQSSGGQAYGSPGQAWRRDAPKSRGF >Potri.004G126100.1.v4.1 pep chromosome:Pop_tri_v4:4:12229812:12233658:1 gene:Potri.004G126100.v4.1 transcript:Potri.004G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126100.v4.1 MEANSYQSQPVSQPPLYDLIILGASGFTGKYVVKEALKFLNVPSSPLKSLALAGRNPTKLAQTLKWASHPDHPPPIPILTADTTDPASLHHLCSQSKLILNCVGPFRLLGEPVVAACAETGCDYLDICGEPEFMERMEVKYHEKAMETGSLVVSACGFDSVPAELGWMFNSRHWVGPAAPNQIEAYLSLESEKRIVGNFGTYESAVLGVANVEQLVELRRSRPKRARPAIPGPFPTKGPMIDHQKEIGLWAVKLPSADSVVVRRTLTTLTENPRGLPGLNESPEQIEKRDAFWSTVKPAHFGVKLGSKTLLGVFRFIAVGMFIGLLGRNAIGRWLLLKFPSFFSLGWFRKKGPSDDEVRSASFKMWFVGRGFSDMNVSQDKKKPDMEIITRVVGPEIGYLTTPIILVQCARILLSHRDNLPKGGVFPPGIVFGPTDLQEQLEQNGISFDLISKKSILA >Potri.016G000400.4.v4.1 pep chromosome:Pop_tri_v4:16:27007:28629:-1 gene:Potri.016G000400.v4.1 transcript:Potri.016G000400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000400.v4.1 MGHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLKHLRAVLEEDGHALAEKEGLSFLETSALEATNIEKAFQTILTEIYHIISKKALAAQEAAANSTVPGQGTTINVADASGNTSKGCCST >Potri.016G000400.2.v4.1 pep chromosome:Pop_tri_v4:16:26999:29485:-1 gene:Potri.016G000400.v4.1 transcript:Potri.016G000400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000400.v4.1 MGHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLKHLRAVLEEDGHALAEKEGLSFLETSALEATNIEKAFQTILTEIYHIISKKALAAQEAAANSTVPGQGTTINVADASGNTSKGCCST >Potri.007G006600.1.v4.1 pep chromosome:Pop_tri_v4:7:446305:447995:1 gene:Potri.007G006600.v4.1 transcript:Potri.007G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006600.v4.1 MDLQLVKKGLLDYTRRKKRWALLLAALGFSTYTAYKVYHFPLLAEKRKRVSKIFGAFVAVAEVISDSAETIGVVSKDFKDFVQSESDQIPNSFKQISKVARSNEFSDALVTLTQALTVGILRGYQANARRIDNEAGANGNGNESPSFLDKVFDKLCSPAGSGFVSVVVGSFARNLVLGFCEGGLNSNSDLNASATGTDGHDSARKLVDVVCGDKSKELIGDCIQLFVSTAVAVYLDKTMHINTYDEFFAGLTNPKHETKVRGVLVSVCNGAIETLVKTSHQVLTTDDSNTNSSFDSPYSAVDQGESAMEDELSGKEAFFSEPKARKSFDEVKENGWVNKVSSTLAVPSNRRLVLDVTGRVTFETVRSFLEFLLGNLYNGIRRCVDVFHEVVVDSGLEVVRYVNAKSSVIATVCISMCLHILDGDWILVPA >Potri.004G015067.1.v4.1 pep chromosome:Pop_tri_v4:4:1041838:1042206:-1 gene:Potri.004G015067.v4.1 transcript:Potri.004G015067.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015067.v4.1 MGTKVNVWPDAWLSLDNCRDIQTPIILGQETLMVRDLLGHDGSVWDESKIFTIFQHSDVLAILSIPISSRRVDDELIWHYKNNGIYDVKSGYHVAVEAVCPNLGEASLGEWRKLWNLKFPRK >Potri.003G185901.1.v4.1 pep chromosome:Pop_tri_v4:3:19090091:19092868:1 gene:Potri.003G185901.v4.1 transcript:Potri.003G185901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185901.v4.1 MPTAPTAAKVVPAVIVGGGRVGRALQEMGSGQDLLVKRGDPVPLDFEGPVLVCTRNDDLDAVLEVTPKSRWSDLVFFQNGMLEPWFQSKGLGDADQVLAYFAVSKLGEPPIDGKTDTNPEGLTAAYGKWASAVAARLHAGGLSCKVLDKETFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEFRSEVSSLIAELASAAAAEKGIVFEEAIEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSDKAVAEGKADPCPLHTAWLKELKVV >Potri.018G000101.3.v4.1 pep chromosome:Pop_tri_v4:18:13684:16297:1 gene:Potri.018G000101.v4.1 transcript:Potri.018G000101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000101.v4.1 MCFLLLSFQISSSCRTLFPPRPFEEVCHTIEKELGKSTKELFLDFDENPLATASIAQVHRATLIDGQKVVVKVQHEDIKKIILEDLKDAKSIVDWIAWAEPQYNFSPMIDEWCKEAPQELDFNHETENTRTVSKNLGCTSKYDSNKPINQVDVLIPEVIQEES >Potri.012G009711.1.v4.1 pep chromosome:Pop_tri_v4:12:493009:493649:1 gene:Potri.012G009711.v4.1 transcript:Potri.012G009711.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G009711.v4.1 MNSGAGGILDKCKGFGLAENLQLSIQEFRTNPFLISRVIWKNETSNAFRERKMHLSCEFKHRAMSEFMRSSTRLASILASLWELG >Potri.005G251500.1.v4.1 pep chromosome:Pop_tri_v4:5:24438623:24442534:-1 gene:Potri.005G251500.v4.1 transcript:Potri.005G251500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251500.v4.1 MRKKRKGSEADTGENAQEEVIPTCDLKSSNVRSHYSLEDYNRLKKRCKEDLGREPVTSFKSRLAGIATAPPCGASSSLVLPGRGLKRKIGCIDVSTQTGRKNKFEDDYILGDAIGRGKFGSVWLCRSKVTGVEFACKTLQKGEETVHREVEIMQHLSGHPGVVTLHAVYEESECFQLVMELCSGGRLIDQMVDEGRYSEQRAANIFKDVMLVIKYCHDMGVVHRDIKPENILLVSLGKMKLADFGLAMRISNGQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGGLPFQGDSLEAVFEAIKNVKLDFHTGIWDSISKPARDLVARMLTRDVSARITADEVLRHPWILFYTERTLKTLSIRSKTKNQGAATSCQPANALVSPGNQVGAGSSEEDLSQHPSDSLSCKSEEQDECGLVDALAVAISHVKISEPKRSRLCGPTGPIEQQCSSNITANNLCRAF >Potri.005G251500.2.v4.1 pep chromosome:Pop_tri_v4:5:24438887:24442423:-1 gene:Potri.005G251500.v4.1 transcript:Potri.005G251500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251500.v4.1 MRKKRKGSEADTGENAQEEVIPTCDLKSSNVRSHYSLEDYNRLKKRCKEDLGREPVTSFKSRLAGIATAPPCGASSSLVLPGRGLKRKIGCIDVSTQTGRKNKFEDDYILGDAIGRGKFGSVWLCRSKVTGVEFACKTLQKGEETVHREVEIMQHLSGHPGVVTLHAVYEESECFQLVMELCSGGRLIDQMVDEGRYSEQRAANIFKDVMLVIKYCHDMGVVHRDIKPENILLVSLGKMKLADFGLAMRISNGQTLSGLAGSPAYVAPEVLSGNYSEKVDIWSAGVLLHALLVGGLPFQGDSLEAVFEAIKNVKLDFHTGIWDSISKPARDLVARMLTRDVSARITADEVLRHPWILFYTERTLKTLSIRSKTKNQGAATSCQPANALVSPGNQVGAGSSEEDLSQHPSDSLSCKSEEQDECGLVDALAVAISHVKISEPKRSRLCGPTGPIEQQCSSNITANNLCRAF >Potri.002G147701.1.v4.1 pep chromosome:Pop_tri_v4:2:11102038:11103983:1 gene:Potri.002G147701.v4.1 transcript:Potri.002G147701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147701.v4.1 MEGERGTVCVTGGTGYLASCLIMKLLEQGYSVNTTVRPHPDGNKDISYLTGLPGAKERLQIFKADLNEPESFNEAIEGCAGVLHLAHSLDLADREPEEIATKRSLEGTLGILKACLNSKTVKRVVYTSSAAAILFSGNGQEVVDESAWTDIDYFKDLKLTARSYTSSKTKTERAALEFAEQHGLDLVTLIPSLVLGPFNSPRIPASFYVGLAMIMGNRNLYRLLMESNMVHVEDVAMAHIFLLEYPGAKGRYICSSDRISLNGMSEFLSARYPDLQIPTKESLKDITGYKQCGLSSKKLLDCGFRFEHGLEDMFDGAIQSCKEKGFI >Potri.008G005850.1.v4.1 pep chromosome:Pop_tri_v4:8:267775:269476:1 gene:Potri.008G005850.v4.1 transcript:Potri.008G005850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005850.v4.1 MQVWILESTSPLTRQLVNRKQLAIWCKQDHSPPRSFNHKLMLPLKATLQLSHLQKNTRLAVSSTSKVSPFNTFLTFFSSTFVIHVIFFFYLFCI >Potri.001G409100.1.v4.1 pep chromosome:Pop_tri_v4:1:43693890:43698024:1 gene:Potri.001G409100.v4.1 transcript:Potri.001G409100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409100.v4.1 MNPQQQQQQQQNPVDPDQPLLPTIKIHHHPSPPRHPHPPSATPTLTPTTRRKIGVAVDLSDESAYAVRWSVHHYIRPGDSVILLHVSPTSVLLGADWGPLPLSTPTQSQLDLLNNNSKFNSEIDSKTKNENSEKPQPRQEDDFDAFTASKAADIARPLKEAQIPYKIHIVKDHDMKERLCLEIERLGLSAVIMGSRGFGAAIRGSDERLGSVSDYCVHHCFCPVVVVRYPEDKDCGRD >Potri.005G043500.2.v4.1 pep chromosome:Pop_tri_v4:5:2743884:2745865:-1 gene:Potri.005G043500.v4.1 transcript:Potri.005G043500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043500.v4.1 MMRDGKLKQSCYVPSSSAEVIGNNLDLVTEILLRVPAKPLLKFKCVSKQWLSLISDPKFCASHTHHQPPTAPSALFLLSYLASSPQLIVPLKNEPMPNTMPFLDHLNIPGFCIDQSCNGLLLCSFTCIDSTDLGYWFFICNPTTNHFKRISHPVHKFDEFHHPPVLCLAFDPLKSFNYKVVCFYQVVSGGYQIDVYSSETGSWGFNQIPFVNPPSLKIHEWVFCNDAIHWCSLEENSQYFDVSSESVNILPMPPRGKLDITYFGGSRGHLHMGVGISRLVLEFDIWELKEDYSGWFIKYHLDLHSMRRVLANRCPLSVLSLIRAENEDESTIVFVVDDRAMSYNLYDRRSKMLCDLLQKTNPYSAYLKFHAYEYFETLIHV >Potri.001G279300.1.v4.1 pep chromosome:Pop_tri_v4:1:29292139:29294178:-1 gene:Potri.001G279300.v4.1 transcript:Potri.001G279300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279300.v4.1 MAAACLIDATRSATCRDTQAATHLKLISIVIIFFTSIIGISSPVLLARYFHGKALYDKITLIIKCFAAGVILSTSLVHVLPDAYDALSDCHVASKHPWKDFPFAGFVTLIGVLLALLVDLAASSRLEQHGHGHGHGNGNGNGQYTVVGIQDEIVGKKESDKSVKVEIMGEVDLVKVKQRLVSQVLEIGIIFHSVIIGVTMGMSQNKCTIRPLVTALAFHQIFEGMGLGGCIAQAGFSLGTVAYMCFMFAVTTPMGIVLGMIIFSMTGYDDSNPNALIMEGLLGSLSSGILVYMGLVDLIAADFFHNKLMSSAPWLKKASYIALALGSTSMSILALWA >Potri.009G071800.1.v4.1 pep chromosome:Pop_tri_v4:9:7059603:7068055:1 gene:Potri.009G071800.v4.1 transcript:Potri.009G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071800.v4.1 MLRGDRMASDLGRTGPVERDIEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSKIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHQKWRTESRSDGIPSEANSPRTYTRRSSPLNSPFGSNDGSQKDADHHRLHSPYESPPKNGLDKAFSDVVLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAMAVDAFRVSLSSAVSSLSQGSGHDDGGALGDVFIWGEGMGDGVLGGGTHRAGSYFGVKMDSLFPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVMHPKLIDALSNTNIELVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRKSISLPKEVESLKGLRTVQAACGVWHTAAVIEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVAALVEPNFCQVACGHSLTVARTTSGHVYTMGSPVYGQLGNPLADGKLPTRVEGKLSKSFVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDRNSPSLVEALKDKQVKSIACGTSFTAAICLHKWVSGVDQSMCSGCRLPFNFKRKRHNCYNCGLVYCHSCSSKKSLKASMAPNPNKAYRVCDNCYNKLRKAIETDASSQSSVSRRGSVNQGPREFIDEDEKLDFRSRAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSQWGALNISKSFNPMFGSSKKFFSASVPGSRIVSRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRNYESLNQEVIKLRAQVESLTRKAQLQEVELERTTMQLKEAIAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGMGRSIKSPLFTSFGSSPTSNDVSTIDRLNGQITCEEPDTNGLHNQLLLNGSSITSNRIAGHNKQGHLEATTKNGSRTKEGESRHEAEWVEQDEPGVYITLTSQPGGIKDLKRVRFSRKRFSEKQAEQWWAENRARVYEQYNVRMIDKSSVGVGSEDLTH >Potri.004G002800.1.v4.1 pep chromosome:Pop_tri_v4:4:178859:180740:1 gene:Potri.004G002800.v4.1 transcript:Potri.004G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002800.v4.1 MRVGSRSDLGGGERGSLIEVSPADNNRPAMGEEREGEEELLVPPLNFAMVDNGIFRSGFPDSANFSFLQSLGLRSILYLCPEPYPEANYEFLKDNGIRIFQFGIEMCKESFANIPEETIREALKVILDVKNHPVLVHCKRGKHRTGCLVGCLRKLQRWCLSSIFDEYQRYAAAKARVSDQRFMELFDISSFKNLPLSFSSTRSSANR >Potri.004G002800.3.v4.1 pep chromosome:Pop_tri_v4:4:178743:180740:1 gene:Potri.004G002800.v4.1 transcript:Potri.004G002800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002800.v4.1 MRVGSRSDLGGGERGSLIEVSPADNNRPAMGEEREGEEELLVPPLNFAMVDNGIFRSGFPDSANFSFLQSLGLRSILYLCPEPYPEANYEFLKDNGIRIFQFGIEMCKLMAIDNAEWTGIFCKHSRGNNPRSIESNP >Potri.004G002800.2.v4.1 pep chromosome:Pop_tri_v4:4:178743:180740:1 gene:Potri.004G002800.v4.1 transcript:Potri.004G002800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002800.v4.1 MRVGSRSDLGGGERGSLIEVSPADNNRPAMGEEREGEEELLVPPLNFAMVDNGIFRSGFPDSANFSFLQSLGLRSILYLCPEPYPEANYEFLKDNGIRIFQFGIEMCKESFANIPEETIREALKVILDVKNHPVLVHCKRGKHRTGCLVGCLRKLQRWCLSSIFDEYQRYAAAKARVSDQR >Potri.006G085300.1.v4.1 pep chromosome:Pop_tri_v4:6:6347180:6351132:-1 gene:Potri.006G085300.v4.1 transcript:Potri.006G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085300.v4.1 MEVQSCSVKTPKSLQTKPMDPSCTSKVRVIVRVRPFLSHEIPAKNGNPTSCISVLDPDPDSRDEVTVHLQDPDTSRKECYQLDAFFGQEDNNLRSIFEREVSPLIPGMFRGRNATVFAYGATGSGKTYTMQGTNLLPGLMPLAMSTILSMCQRTGSRAEISYYEVYIERCYDLLEVGSKEIVIQDDKDGMVHLKGLSRVPVNSISEFQEVFSRGIQRRKTAGTGINDVSSRSHGVLVVAVSTPGSGDSGTVVSGKLNLIDLAGNEDNRRTCNEGIRLLESSKINQSLFALSNVIRALNNKETRVPYRDSKLTRILQDSLGGASHALMVACLNPGEYQESVRTVSLAARSRHISNFVPSTYKQDTPKVKVDMEEKLRTWLESRGKTKSAQRIDAYGSPFPPSSITKPNYSSAKAKVNTYRNTCNAKERGSSVPFRSLFNDEGLTDSCLESTTCAAEENREKIGADSTEISLESSIHLPIEPLDKEYKITSTKSNNSVGTSPIAEVKCTERSPLRRVLSPINANGNQIRHEEVACKCPVQFEPKTPSTATCAKEKFVTISTPLDKFNAMSSSLKSSLMQEYVDILNTASREELLEIKGIGVRLADYIAELRETSPLKSLSDLEKIGLSSKQVYNMFSKAARGIFDKQDGAQLREELM >Potri.005G048066.2.v4.1 pep chromosome:Pop_tri_v4:5:3048529:3052319:-1 gene:Potri.005G048066.v4.1 transcript:Potri.005G048066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048066.v4.1 MTFEGPLGCVGKMEDNCAIKKDVTELIGNTPMVYLNNVVDGCVARIAAKLEMMEPLSSVKDRIGHSMIKDAEDKGLITPGKTVLIEPTGGNTGIGVAFIAAVKGYKAILAMPATMSLERRIVLRALGAEVYLTDPAKGFQGVLDKAVEILNNTPDGYMLRQFENPANPQIHYETTGPEIWKDSRGKVDAFVAGIGTGGTVTGAGKFLKEKNPEIKVYGVEPTESAVLHGGKPGSHHIQGIGAGIVPPVLDVDLLDEVVQVSSEEAIETAKLLALNEGLLGFHLVLQQLQQ >Potri.005G048066.1.v4.1 pep chromosome:Pop_tri_v4:5:3045005:3056770:-1 gene:Potri.005G048066.v4.1 transcript:Potri.005G048066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048066.v4.1 MEDNCAIKKDVTELIGNTPMVYLNNVVDGCVARIAAKLEMMEPLSSVKDRIGHSMIKDAEDKGLITPGKTVLIEPTGGNTGIGVAFIAAVKGYKAILAMPATMSLERRIVLRALGAEVYLTDPAKGFQGVLDKAVEILNNTPDGYMLRQFENPANPQIHYETTGPEIWKDSRGKVDAFVAGIGTGGTVTGAGKFLKEKNPEIKVYGVEPTESAVLHGGKPGSHHIQGIGAGIVPPVLDVDLLDEVVQVSSEEAIETAKLLALNEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVIFPSAGERYLSSVLFDSVREEAEKMTYDT >Potri.014G037600.1.v4.1 pep chromosome:Pop_tri_v4:14:2385433:2388263:1 gene:Potri.014G037600.v4.1 transcript:Potri.014G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037600.v4.1 MDFPFQFSATAVLIMFAFITPSIYYLFRIPGKEISKKRAPPEAAGAWPLIGHLHLLGGSQPPHITLGNLADKYGPIFTVKLGVHRTLIVSNWEMAKECLRTNDKAFATRPKTLAMDILGYNYSILSFSPYGTYWRLIRKIVTLEVLSNHRLEMLKNVREDEVRDAVGALYQQWIGNKSNSQKLLVEMKRWFSDITLNVILKIIVSKRYVDYASPGEEKPSDEWRDSLRAFLELSGMFVVSDALPFLRWLDLGGAEKAMKRTAKNLDHAVEKWLEEHKQKKASGTAKGEEDFMDLMLSVLDDGKELSNRSADTINKATCLAILAASDTTSVTLTWTLSLLLNNHEVLKKSQDELDIHIGRERQVKESDMKNLVYLQAIIKETFRLYPAAPLSVPHESMEECTVGGYHIPAGTRLFTNLSKIHRDPQVWSDPDEFQPERFLTTHKDCDFRGQHFELIPFGSGRRMCPGVSFALQVLNLALATLLHGFDIETLDDAPIDMTETGGITNIKATPLEALLTPRLSPGLYDLQ >Potri.014G037600.2.v4.1 pep chromosome:Pop_tri_v4:14:2385432:2388263:1 gene:Potri.014G037600.v4.1 transcript:Potri.014G037600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037600.v4.1 MDFPFQFSATAVLIMFAFITPSIYYLFRIPGKEISKKRAPPEAAGAWPLIGHLHLLGGSQPPHITLGNLADKYGPIFTVKLGVHRTLIVSNWEMAKECLRTNDKAFATRPKTLAMDILGYNYSILSFSPYGTYWRLIRKIVTLEVLSNHRLEMLKNVREDEVRDAVGALYQQWIGNKSNSQKLLVEMKRWFSDITLNVILKIIVSKRYVDYASPGEEKPSDEWRDSLRAFLELSGMFVVSDALPFLRWLDLGGAEKAMKRTAKNLDHAVEKWLEEHKQKKASGTAKGEEDFMDLMLSVLDDGKELSNRSADTINKATCLKSQDELDIHIGRERQVKESDMKNLVYLQAIIKETFRLYPAAPLSVPHESMEECTVGGYHIPAGTRLFTNLSKIHRDPQVWSDPDEFQPERFLTTHKDCDFRGQHFELIPFGSGRRMCPGVSFALQVLNLALATLLHGFDIETLDDAPIDMTETGGITNIKATPLEALLTPRLSPGLYDLQ >Potri.001G412454.1.v4.1 pep chromosome:Pop_tri_v4:1:43955194:43959188:-1 gene:Potri.001G412454.v4.1 transcript:Potri.001G412454.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412454.v4.1 MKYFPMLLFCSSLLLIIESSTAIDTINTTQLVREGDTIVSAGGTYELGFFSPGKSKNRYLGIWYSKISVQTAVWVANRETPLNDSSGVILRLTNQGILVLLNRSGSLIWSSNISRPAKNPVAQLLDSGNLVVKEEGDDNLENSLWQSFEHPGDTFMPDMKQGRNRITGMDWYMTSWKSPDDPSRGNITYILVPYGYPEILVMEDSRVKYRSGPWNGMRFSGTPHLKPNPVYTFGFVFNDKEIFYRYHLLNSSKLWRVVASQNGDITNFVWVDKTQSWLLYGTANTDNCERYSLCGANGICSISNSPVCDCLNGFVPKIKKTGMQWIGQVAVLERFL >Potri.001G053100.1.v4.1 pep chromosome:Pop_tri_v4:1:4028077:4028908:1 gene:Potri.001G053100.v4.1 transcript:Potri.001G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053100.v4.1 MKVLTKIFLVVSLAIGMIFMSIYQPEYFCGLEYDVRVINGFTNNSSLPLVIWCSSDSDDLGGRALQEGDDFSWRLQINFWCSNHFRCTMKWDAMRRKFDAFKVPRDLQRCSPFRMCSWLVREDGFYFSNDEVNWKKDFSWL >Potri.001G098500.1.v4.1 pep chromosome:Pop_tri_v4:1:7839963:7845372:-1 gene:Potri.001G098500.v4.1 transcript:Potri.001G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098500.v4.1 MDASVEVNYPVDVVVAAAKKKKKNFVMGSDEVFGRHNSNSNNNNNRVSTVAVKEVEVEESILVSPRVADAASIQQCSSLFAQKDETSSITAFESTSWERVLPLEHCEQVIQMPSLPIRDISEQLHCVGSNGPSWIPRPEEVQVQRRGGKVSRSSSGCSKRPRLSPSEDSTGPAVVDNSKESSDKLGSNPTKSDSHEKTQSAKQKNNFGSKRGERRHSRVTVKTKYDSFSVKAGLSSFGSAAGGNSFFGLCGLKADVHDITKPVDDISLNDLLNGTYDCPSLGKDKGKKAANTTENFLHSVRKACSVLQFPRPAQFQNFAEMDVCSSEKMPTCPSNSVSIVENGDSSATNMSSSSNKIQDSCNRPETPANLLDFSFDQPKDTLEHLALPPPKDLESLLLDATKHAASSRHVPDPRPGKTTSRRASLPAFPWSHTFSGHSRTNSDSVKCLPSRSTCQGRWVRIRDSFNSPGSASDCFMNLESLAYDETLVPSQGPKLAVVGNNVDSLKPCCGWSLSSSLASITSHALLESEVDIKSKGKDELCPILLEAAKILYGIATQMARQNQNGISRCPEKLSQKAMKARRTKSNEKREDVSAASTSSMGGVDQITPSKRPKLSTIGDKKDHGHINGLGKGAINWSTPKSSRSSPNKSIGDSIAESRHSAAYILKQACMMPPPAKVLHRTYNGQQKVRK >Potri.001G098500.2.v4.1 pep chromosome:Pop_tri_v4:1:7840015:7845377:-1 gene:Potri.001G098500.v4.1 transcript:Potri.001G098500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098500.v4.1 MDASVEVNYPVDVVVAAAKKKKKNFVMGSDEVFGRHNSNSNNNNNRVSTVAVKEVEVEESILVSPRVADAASIQQCSSLFAQKDETSSITAFESTSWERVLPLEHCEQVIQMPSLPIRDISEQLHCVGSNGPSWIPRPEEVQVQRRGGKVSRSSSGCSKRPRLSPSEDSTGPAVVDNSKESSDKLGSNPTKSDSHEKTQSAKQKNNFGSKRGERRHSRVTVKTKYDSFSVKAGLSSFGSAAGGNSFFGLCGLKADVHDITKPVDDISLNDLLNGTYDCPSLGKDKGKKAANTTENFLHSVRKACSVLQFPRPAQFQNFAEMDVCSSEKMPTCPSNSVSIVENGDSSATNMSSSSNKDSCNRPETPANLLDFSFDQPKDTLEHLALPPPKDLESLLLDATKHAASSRHVPDPRPGKTTSRRASLPAFPWSHTFSGHSRTNSDSVKCLPSRSTCQGRWVRIRDSFNSPGSASDCFMNLESLAYDETLVPSQGPKLAVVGNNVDSLKPCCGWSLSSSLASITSHALLESEVDIKSKGKDELCPILLEAAKILYGIATQMARQNQNGISRCPEKLSQKAMKARRTKSNEKREDVSAASTSSMGGVDQITPSKRPKLSTIGDKKDHGHINGLGKGAINWSTPKSSRSSPNKSIGDSIAESRHSAAYILKQACMMPPPAKVLHRTYNGQQKVRK >Potri.011G155100.4.v4.1 pep chromosome:Pop_tri_v4:11:18186732:18193773:1 gene:Potri.011G155100.v4.1 transcript:Potri.011G155100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155100.v4.1 MEQFKSPAESHLKEVKARWDPDEACRPIIEDAPVFYPTVEEFENTLDYISKIRAKAEPYGICRIVPPPSWSPPCRLKEKDTWKHNKFSTRIQFVELLQNREPMRKKSKSRKRKRRRQLRMGITRRTNRRRANSCSESNVASETDETFGFLSGSDFTLEEFEKEAAYFKECYFGVKHLMDGVTVNQKLEPSVEDIEGEYWRIVEKPTDEVKVLYGADLETVTFGSGFPKASALMTKGDSDQYVVSGWNLNNLPRLPGSVLCFEGCDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGDQKIWYGVPESHASNLEDAMRKHLPDLFEEQPDLLHCLVTQLSPTVLKAEGVPVYRVVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQHAVELYSEQQRKTSISHDKLLMGAAQEAICALKELLLLGKETPENLRWRSACGKDGVLTMAVKTRVKMEQERINCLPTNLRLQKMEKDFDLQNERECFSCFYDLHLSAVSCKCSPKRFVCLKHASQFCSCEIEHRYVLLRYTLDELNTLVDGLEGESYALNKWASGEHRLVSVGDNDTHVPELELDGEELQTSYSKIQESPPCSLKAEENLSTKGSCSFSSNTYSEVIQSGSHHNNFNKEASVMKNEVKMKQEGCIDLNIDVMSIDRESKLLLVSDSCGKNVKETHGSPCMQDTHFSSDAAKEQGREQAAGDCKSELHELSNKNQPSYPMFGASCKKLFGVDLSFPPSHSVMSAKSFKTEMVEGSNVRSVTNQSSPVKKLNPCVEPINVGSVIFGKLWCCKQAIFPKGFRSQVKFFSVRDPTKICTYISEVQDAGPLGPLFKVSLEECPGEIFADVSIEKCWEMVLQRLNGEILRRNSLGERDLPPLEPLQSINGLEMFGFRSPPIVQAIEALDPDHRCVEYWNHRLVNLRNASEVKQPSFGSSCCLAETKEKAGINLLTQDPGSLCVGGHHSVDEDVQHVLRGLFKKASPEELKIMHRILCSDTQSAEQRVAFTTLMEEIQKTSR >Potri.011G155100.1.v4.1 pep chromosome:Pop_tri_v4:11:18186753:18193507:1 gene:Potri.011G155100.v4.1 transcript:Potri.011G155100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155100.v4.1 MEQFKSPAESHLKEVKARWDPDEACRPIIEDAPVFYPTVEEFENTLDYISKIRAKAEPYGICRIVPPPSWSPPCRLKEKDTWKHNKFSTRIQFVELLQNREPMRKKSKSRKRKRRRQLRMGITRRTNRRRANSCSESNVASETDETFGFLSGSDFTLEEFEKEAAYFKECYFGVKHLMDGVTVNQKLEPSVEDIEGEYWRIVEKPTDEVKVLYGADLETVTFGSGFPKASALMTKGDSDQYVVSGWNLNNLPRLPGSVLCFEGCDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYLHWGDQKIWYGVPESHASNLEDAMRKHLPDLFEEQPDLLHCLVTQLSPTVLKAEGVPVYRVVQHSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLAHGQHAVELYSEQQRKTSISHDKLLMGAAQEAICALKELLLLGKETPENLRWRSACGKDGVLTMAVKTRVKMEQERINCLPTNLRLQKMEKDFDLQNERECFSCFYDLHLSAVSCKCSPKRFVCLKHASQFCSCEIEHRYVLLRYTLDELNTLVDGLEGESYALNKWASGEHRLVSVGDNDTHVPELELDGEELQTSYSKIQESPPCSLKAEENLSTKGSCSFSSNTYSEVIQSGSHHNNFNKEASVMKNEVKMKQEGCIDLNIDVMSIDRESKLLLVSDSCGKNVKETHGSPCMQDTHFSSDAAKEQGREQAAGDCKSELHELSNKNQPSYPMFGASCKKLFGVDLSFPPSHSVMSAKSFKTEMVEGSNVRSVTNQSSPVKKLNPCVEPINVGSVIFGKLWCCKQAIFPKGFRSQVKFFSVRDPTKICTYISEVQDAGPLGPLFKVSLEECPGEIFADVSIEKCWEMVLQRLNGEILRRNSLGERDLPPLEPLQSINGLEMFGFRSPPIVQAIEALDPDHRCVEYWNHRLVNLRNASEVKQPSFGSSCCLAETKEKAGINLLTQDPGSLCVGGHHSVDEDVQHVLRGLFKKASPEELKIMHRILCSDTQSAEQRVAFTTLMEEIQKTSR >Potri.018G119300.2.v4.1 pep chromosome:Pop_tri_v4:18:13479097:13480195:1 gene:Potri.018G119300.v4.1 transcript:Potri.018G119300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119300.v4.1 MSDMSYKLSSPSTSNSGYTERELVGAKMSSLANAHDLSNMSYKLSSPSTSNGRYTERDLVGAEMSCLANAHDLSDLSSKLSFPSTSNGRYTERGLVGAEMSCLANAHDLINLSSKLSFLSTSNGRYTERDLVGAKMSSRNPLHKYSTSASHSVHRSLASACPQSIRM >Potri.010G125000.1.v4.1 pep chromosome:Pop_tri_v4:10:14238527:14239935:1 gene:Potri.010G125000.v4.1 transcript:Potri.010G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125000.v4.1 MSCNGCRVLRKGCNDNCTIRPCLQWIKSTDSQANATLFLAKFYGRAGLINLIEAAPQRLRPAIFSSLLYEACGRIVNPVYGSVGMLWSGNWAQCQAAVDAVLKGLPIISIPSSDVPTPHLISSLNTYDIRHVSRDQNSPELNKVKSRTRCKRSIGTRPSSLAEPTGRLNQGELGFEPVRESWLGHLGNGDSRIKDEDSILAAENVEDSLWSRVEPDQVFKFNGQSDDSDLSLELTLALVSE >Potri.001G194400.1.v4.1 pep chromosome:Pop_tri_v4:1:18086492:18087863:-1 gene:Potri.001G194400.v4.1 transcript:Potri.001G194400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G194400.v4.1 MGNAEKLLNQIMDLKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMASIVKSLESTLATGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVATKSQEKVDEDDLSRRLAELKARG >Potri.001G241100.1.v4.1 pep chromosome:Pop_tri_v4:1:25869043:25869407:-1 gene:Potri.001G241100.v4.1 transcript:Potri.001G241100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241100.v4.1 MSCRRQLHTTVSHDGPTETLKRIIMELEKTRSKKNSKKRDDIFVQVPESMSFLDTATMPMILTVVGTAPFAKLLMMYDDSHSQEMMEAQN >Potri.001G241100.2.v4.1 pep chromosome:Pop_tri_v4:1:25869135:25869407:-1 gene:Potri.001G241100.v4.1 transcript:Potri.001G241100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241100.v4.1 MSCRRQLHTTVSHDGPTETLKRIIMELEKTRSKKNSKKRDDIFVQVPESMSFLDTATMPMILTVVGTAPFAKLLMMVCRDIPILIGFGFY >Potri.014G033900.1.v4.1 pep chromosome:Pop_tri_v4:14:2102350:2104842:1 gene:Potri.014G033900.v4.1 transcript:Potri.014G033900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G033900.v4.1 MPLNSSSISHIETLSLRSPIQPPTFGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLATPNEQNRPLFAAKDINEFYLENCPKIFPQDGSPLASAGKLIKSLRGPKYDGKFLHSIVKEKLGDKRLHQTMTNIVIPTFDIKRLQPTIFSSYQVKNDPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVREFNLIDGGVAANNPTLVAVSEVSKEITRKNPDFFPTAPMDYGRFLVLSLGTGTAKSEEKYDADEAAKWGVLGWLTSDNSTPLVDVFTEASGDMVDLHVSTVFQALHSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEKLLKKPVARVDLGTGIFTPVDKMTNEEALIKMAKSLSREKHLRDSRSPVGKVAPSK >Potri.019G051200.1.v4.1 pep chromosome:Pop_tri_v4:19:8451421:8453754:1 gene:Potri.019G051200.v4.1 transcript:Potri.019G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051200.v4.1 MIINDQETTVREIKPNIRRIMGGGGPDDDVDDHKEDIKWPPWLHPLLETSFFVQCKLHADAHKSECNMYCLDCMNGALCSVCLSLHSDHRAIQIRRSSYHDVIRVSEIQKYLDITGVQTYIINSARIVFLNERPQPRPGKGVTNTCHVCERSLLDSFSFCSLGCKIVGTSKNFRKKKRYKEMDGSDTDESMKGIGHGGARSKVQSFTPSTPPPSAMNNYRTAKRRKGVPHRSPMGGYIIEY >Potri.005G076100.1.v4.1 pep chromosome:Pop_tri_v4:5:5116437:5117403:-1 gene:Potri.005G076100.v4.1 transcript:Potri.005G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076100.v4.1 MGAATEGIASTCLGIEIEIFQAKNIEVKSHGSLFVRYYLSAGKNKRIQLKSREISSKSNLFWNESFSLECIGTEDSINNLKQETVVFELRWRNTNPILAKIGGSQLLGRAEVPWKTVLESPNLEMESWVMMVPKKGSVPDDVKPPSVQIAMRARVPEMTEMEKKNRRDGRLRKRDGCGCCKDSGCRCEDYEIFALVVALEAL >Potri.010G205800.1.v4.1 pep chromosome:Pop_tri_v4:10:19662197:19664965:1 gene:Potri.010G205800.v4.1 transcript:Potri.010G205800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G205800.v4.1 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDSERLIGDAAKNQVAMNPINTVFDAKRLIGRRFTDASVQSDIKLWPFKVISGPGDKPMIGVTYKGEEKQFSAEEISSMVLIKMREIAEAYLGTAIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDAVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILTGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDDKISSKLAADDKKKIEDAIDQAIQWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGGGDMGGAMDDDTPPASGSGAGPKIEEVD >Potri.008G117600.1.v4.1 pep chromosome:Pop_tri_v4:8:7530205:7534241:-1 gene:Potri.008G117600.v4.1 transcript:Potri.008G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117600.v4.1 MAVLVQYSYYYSLLYNSCRRTTRPFSPFKLSSPTRLDLSSSYNTPISHSSRSFKLPRNRCHKVVVSEEESTVGSASAATDTDVFRLTYLEGNSWLWEVGGANILVDPILVGNLDFGIPWLYDAAKKVLKNFQLSDLPQVDCLLITQSLDDHCHLKTLKPLSESYPNLRVIATPNAKPLLDPLFSNVIYLEPGESSEFDARNGSKVGVKATAGPVLGPPWQRPENGYLINSSRGQLTLYYEPHCVYNKDFLEKEHADIVITPVIKQLLPKFTLVSGQEDAVKLAKLLRAKFIVPMKNGDLDGKGFLASIIQAEGTVESFKELLAKELPDTQALEPTPGVPLEISEP >Potri.010G186700.1.v4.1 pep chromosome:Pop_tri_v4:10:18343525:18344778:-1 gene:Potri.010G186700.v4.1 transcript:Potri.010G186700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G186700.v4.1 MEDHYSPCWPAAPAEANWDQTSAAVYDESFLVPCPSHASASANFQVYGFPSWSVPLQEASEDKAASSSKSHSQAEKRRRDRINAQLGILRKLVPKSEKMDKAALLGSAIDHVKDLKQKATEISRTFTIPTEVDEVTVDCDVSQVTSPPSTNKDKDNTFIRASVCCDDRPELFSELITVLKGLRLTIVRADIASVGGRVKSILVLCSECSEEGSVSISTIKQSLNLVLSRIASSSVPSNYRIRSKRQRFFLPSHLSEQYE >Potri.006G219400.1.v4.1 pep chromosome:Pop_tri_v4:6:22461966:22466324:1 gene:Potri.006G219400.v4.1 transcript:Potri.006G219400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219400.v4.1 MAPSFLSLASLYSFYLRHCFTSSGLSQKSINVGDETTIHYWAPTQLGQPKPNLVFIHGFGPVSLWQWRQQVQFFAPDFNLYVPDLIFFGNSTTKSSERSEIFQAESVAKLLETLGVEKYSLVGTSYGGFVSYHIARMFPERVEKVVVASSGVNMKKKNNEELVKKAKLEKIDDLMLPQKPSDLRALLGVAVSKRSLLMIPDFFLNDLINKLFAENRNKKMELLSGLTIGQDDAVNISPLQQDVLLVWGDKDQIFPLEMAKDLQGLIGKNVKLEIVKDTSHVPQIENAAEFNKIIKNFLSASP >Potri.005G162600.1.v4.1 pep chromosome:Pop_tri_v4:5:16029960:16032463:1 gene:Potri.005G162600.v4.1 transcript:Potri.005G162600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G162600.v4.1 MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLYRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKAGKKVSKAPKIQRLVTPLTLQRKRARISDKKKRIAKAKSEAAEYQKLLATRLKEQRDRRSESLAKKRSRLSIASKPSIVA >Potri.006G189900.1.v4.1 pep chromosome:Pop_tri_v4:6:19680805:19684471:1 gene:Potri.006G189900.v4.1 transcript:Potri.006G189900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189900.v4.1 MNSPLTPPPPPPLLPHHHIPSLLSSTTITRRSLLLSTSLSTLSPPRPTLDTTITDRVFLDFSICSNYFRPDRTLSDTFSTLCTDSTPLGRLVLGLYGHLVPLTVSNFKTMCTSYSYKNTLVHKIFPGQFFLAGRQGRREKGEVKVPQDLARNIESVDSKAFKLTHSGPGILSLCLSENDDEDNIKLNPEYRNVEFLITTGPGPCPQLDYKNIVFGVVLEGLDVVTSIASIPTYKPSDRIRQFNDLAEFLGDERAQNARTIWNKPLKTVYISDCGELKVAKPSLTPSLP >Potri.005G038900.1.v4.1 pep chromosome:Pop_tri_v4:5:2494686:2499634:-1 gene:Potri.005G038900.v4.1 transcript:Potri.005G038900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038900.v4.1 MMSNITVCARFRPLSTKERKDSCDSICISSIDSESFIFKDEKDECTYSFDKVFYEESMQADVYEFLALPIVKDAVKGVNGTIITYGQTGAGKTYSVEGPSILECDVQKKGLLPRVVDGLFECIKSADESTKYTIKLSMVEIYMEKVRDLLDLTKDNIQIKENKEQEILLSGVTEITISDPEGALQSLSDGIVNRAVGETQMNVGSSRSHCIYILTVQLESTTDKRVKTGKVILVDLAGSEKVEKTGAEGKVLEESKTINKSLSALGNVINALTCGPSTRSSHIPFRDSKLTRILQDALGGNSRTALLCCCSPSASNASETLSTIRFGMRAKHIKASPLVSRREDKHAKKHGDITPTKDDSCDRILNKLRERLDEEDVKLLEELFILEGLFFDVTSVEDLESAYQDVTSWTISSLQQAVEELTYTVEELKRENKDLKARLADAERFDAMRKKTEDNAGVLLKISGIISFLFSWAGSFSPLKMPS >Potri.004G039300.1.v4.1 pep chromosome:Pop_tri_v4:4:3126897:3128854:-1 gene:Potri.004G039300.v4.1 transcript:Potri.004G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039300.v4.1 MYHQITKLKQNCFVCVKQLQNIKRSIFASLICLPTSLLALIFFLLLLYNGFAVFYVHLPFPSKPQPEPANFSKANLAGNSLKKLPSSVMYAVKEDTPSVILKTLLPLLQNPAISVTPINHSVVLKPKKAHGYKAVKRMLSSGDNSKQFSTRIRDFLGNRGCKVRFFMTWISSLKPFGDRELFAIESLFKSHPYACLVIVSNSMEAESGSLVLKPFLDKGFKLIAIKPDFDYIFKDTHAEKWFKGLKKGNVSPGEVSLGQNMSNLLRLALLYKFGGIYMDTDVIVLKTLTKLRNAIGAQSIDLENGKWSRLNNAVLIFDKKHPLLFKFIEEFALTFDGNKWGHNGPYLVSRVVSRVNRTPGFNFTVLPPSAFYPVNWSRIKSLFKGPEGKAHSTWLRKKLEQIKSESFAVHLWNRQSRKIKAESGSIINHIMLDCCVFCNSSSSSL >Potri.005G020500.1.v4.1 pep chromosome:Pop_tri_v4:5:1311181:1313036:1 gene:Potri.005G020500.v4.1 transcript:Potri.005G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020500.v4.1 MDLKGTKSSREDESSGSGDIEGKKACTDCKTTKTPLWRGGPAGPKSLCNACGIRYRKKRSVMRLEKGPEKKREKTTTSNTTTATDISTITTATTTNTAQVVSGNGLISESLRMSLMVLGEEMMLQRPSVVKKQRCQRKRKLREEEQAAFSLMALSCGSVFA >Potri.002G068200.4.v4.1 pep chromosome:Pop_tri_v4:2:4706446:4711772:-1 gene:Potri.002G068200.v4.1 transcript:Potri.002G068200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068200.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHLRGKSHGSACELQDQPVVIQNSRWAGLPPELLQDVIKRLEASESTWPSRKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRKRRTACTEYVISMDADNVSRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPALSLEPGGSVPGQPELLPRCLEDSFRSISFSKSIDNSTEFSSSRFSDIVGPREEDEEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.002G068200.1.v4.1 pep chromosome:Pop_tri_v4:2:4706446:4711731:-1 gene:Potri.002G068200.v4.1 transcript:Potri.002G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068200.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHLRGKSHGSACELQDQPVVIQNSRWAGLPPELLQDVIKRLEASESTWPSRKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGSRDGTIQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRKRRTACTEYVISMDADNVSRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPALSLEPGGSVPGQPELLPRCLEDSFRSISFSKSIDNSTEFSSSRFSDIVGPREEDEEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPAQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.011G167000.11.v4.1 pep chromosome:Pop_tri_v4:11:19085513:19088816:1 gene:Potri.011G167000.v4.1 transcript:Potri.011G167000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167000.v4.1 MAVQHPLELANGCCDDDGHSLRTGTLWSCVAHIITAVIGSGVLSLAWSTAQLGWIAGPVSLLCFAIVTYVSAFLLSDCYRSPDPITGTRNYSYMHAVRVNLGKTQTWFCGLLQYVSMYGTGIAYVITTSTSMRAIQRSNCYHREGHKASCEYGDAIYMLLFGAVQILVSQIPDFHNMEWLSVIAAIMSFTYSFIGFGLGVAQVIENGTIKGSIAGVSAATTANKLWLAFEALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKKASMISIFITTFFYLCCGCFGYAAFGNNTPGNLLTGFGFFEPYWLVDLANACVVLHLVGGYQIYSQPVFAFVEGWFSRKFPSSGFVNNFHSFKLPLIRPLHINLFRLCFRTVYVASTTAVAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRTFSFACLLITIAGLLGSIEGLISAKLG >Potri.011G167000.10.v4.1 pep chromosome:Pop_tri_v4:11:19081137:19088796:1 gene:Potri.011G167000.v4.1 transcript:Potri.011G167000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167000.v4.1 MGDRTEELPLPLLGSFHSANESEEPLKRTGTIWTATAHVITGVIGAGVLSLAWSIAQLGWIAGPLCMIFFAAITIVSTYLLCDCYRFPDPEHGPIRNRSYMEAVKFYLGEKSQVVCGIFAEESLYGCGIAYTITSAGSIRAIQRSNCYHREGHKASCEYGDAIYMLLFGAVQILVSQIPDFHNMEWLSVIAAIMSFTYSFIGFGLGVAQVIENGTIKGSIAGVSAATTANKLWLAFEALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKKASMISIFITTFFYLCCGCFGYAAFGNNTPGNLLTGFGFFEPYWLVDLANACVVLHLVGGYQIYSQPVFAFVEGWFSRKFPSSGFVNNFHSFKLPLIRPLHINLFRLCFRTVYVASTTAVAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRTFSFACLLITIAGLLGSIEGLISAKLG >Potri.011G167000.12.v4.1 pep chromosome:Pop_tri_v4:11:19085474:19088787:1 gene:Potri.011G167000.v4.1 transcript:Potri.011G167000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167000.v4.1 MAVQHPLELANGCCDDDGHSLRTGTLWSCVAHIITAVIGSGVLSLAWSTAQLGWIAGPVSLLCFAIVTYVSAFLLSDCYRSPDPITGTRNYSYMHAVRVNLGKTQTWFCGLLQYVSMYGTGIAYVITTSTSMRAIQRSNCYHREGHKASCEYGDAIYMLLFGAVQILVSQIPDFHNMEWLSVIAAIMSFTYSFIGFGLGVAQVIENGTIKGSIAGVSAATTANKLWLAFEALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKKASMISIFITTFFYLCCGCFGYAAFGNNTPGNLLTGFGFFEPYWLVDLANACVVLHLVGGYQIYSQPVFAFVEGWFSRKFPSSGFVNNFHSFKLPLIRPLHINLFRLCFRTVYVASTTAVAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRTFSFACLLITIAGLLGSIEGLISAKLG >Potri.011G167000.9.v4.1 pep chromosome:Pop_tri_v4:11:19085515:19088796:1 gene:Potri.011G167000.v4.1 transcript:Potri.011G167000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167000.v4.1 MAVQHPLELANGCCDDDGHSLRTGTLWSCVAHIITAVIGSGVLSLAWSTAQLGWIAGPVSLLCFAIVTYVSAFLLSDCYRSPDPITGTRNYSYMHAVRVNLGKTQTWFCGLLQYVSMYGTGIAYVITTSTSMRAIQRSNCYHREGHKASCEYGDAIYMLLFGAVQILVSQIPDFHNMEWLSVIAAIMSFTYSFIGFGLGVAQVIENGTIKGSIAGVSAATTANKLWLAFEALGDIAFAYPYSIILLEIQDTLKSPPPENKTMKKASMISIFITTFFYLCCGCFGYAAFGNNTPGNLLTGFGFFEPYWLVDLANACVVLHLVGGYQIYSQPVFAFVEGWFSRKFPSSGFVNNFHSFKLPLIRPLHINLFRLCFRTVYVASTTAVAMAFPYFNQVLGVLGALNFWPLAIYFPVEMYFVQKKIGAWTRKWIVLRTFSFACLLITIAGLLGSIEGLISAKLG >Potri.008G113900.3.v4.1 pep chromosome:Pop_tri_v4:8:7247896:7251842:1 gene:Potri.008G113900.v4.1 transcript:Potri.008G113900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113900.v4.1 MGFMEYVKSIEWEHESYPGYEDCIVLPLFALFFPFVRFFLDRFVFQKVAQDLIFGKEHQTLDVQSDERRKKIRKFKESAWKCIYFLSSEILVLCVTYDEPWLVNTKYFWVGPGSQAWPDQKMKLKLKAVYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYILRFGRVGSVVLAIHDASDVFLEVGKMSKYSGAEGVASFAFILFVLSWILLRLIYYPFWVLWSTSYEVLLILDKEKHPVDGPIYYYVFNTLLYCLLFLHVYWWVLIYQMLAKQIQARGHLSDDVRSDSEGEDEHED >Potri.003G062300.1.v4.1 pep chromosome:Pop_tri_v4:3:8925301:8926448:1 gene:Potri.003G062300.v4.1 transcript:Potri.003G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062300.v4.1 MKSNRSIPHQHQGSITFEARNDVHRSKEDKAAVRSHVVEDKATHNKLASKPSLDIDASAEAFIKKFRQQLMIQRLESIENYEQMLARGL >Potri.006G257400.1.v4.1 pep chromosome:Pop_tri_v4:6:25432498:25438792:-1 gene:Potri.006G257400.v4.1 transcript:Potri.006G257400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257400.v4.1 MKSHQTDKETEERRRNPQKENSFAAMAVTSSPADSNGKEVVEKSQAKCPGVRVVGGRIYDSQNGKTCHQCRQKTMDFIAACTAQKGNKLCTLKFCHKCLLNRYGEKAEEVALLDDWQCPKCRGICNCSFCMKRRGHKPTGILVRTAKENGFSSVSELLQVKGPENLNHYKDVKDNNVSPKKSALSEEESTVTSPRKSGNEDSFEENIDMNLHSQNLTPISFGKKSKKTKRKGPEEVSDGNMDAETRLKESGQKKSKKEGAEEVSNGGRNGEASSKARITAEVSENKAKTNEKDKCDVVKDKNEDAFVEKKRSKTQPQEFSKNEVLLGTNYYGGIVCGVRNDKIRTETKKDGDSCKVNKFPSEFQTKSKTAKERRLTTEIQNKEIDVDIKLPQGTCLMAVAGFELPPEDVGNALQFLEFCASFGKVLGLKKGQADTILGEIVNGHRERRSQSYHLAQIHVQLLSVIQKDIGEESPTLTATNDNSWFKALGKCVSKCLFISKEILSGSFDLDNEGYDNLKSSEKLRLLNFLCDEALNTKELRSWIDDENSKFLERKKGAKEKVYAAKEKEKNLKKKMQDEVAKAIIEKNGAPNSVSNHKELVSQIKSEAAQAHAEMLEATGMAQKKRLSNAVRTDPVLLDVDGRAFWKLNGHDGQSAILLQDMGAWNSVAPSEKWVAYADEQKMDIEKYISFSRTKLRRVQQKAIETPSIEIDTETSSIDI >Potri.006G257400.5.v4.1 pep chromosome:Pop_tri_v4:6:25432740:25438777:-1 gene:Potri.006G257400.v4.1 transcript:Potri.006G257400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257400.v4.1 MAVTSSPADSNGKEVVEKSQAKCPGVRVVGGRIYDSQNGKTCHQCRQKTMDFIAACTAQKGNKLCTLKFCHKCLLNRYGEKAEEVALLDDWQCPKCRGICNCSFCMKRRGHKPTGILVRTAKENGFSSVSELLQVKGPENLNHYKDVKDNNVSPKKSALSEEESTVTSPRKSGNEDSFEENIDMNLHSQNLTPISFGKKSKKTKRKGPEEVSDGNMDAETRLKESGQKKSKKEGAEEVSNGGRNGEASSKARITAEVSENKAKTNEKDKCDVVKDKNEDAFVEKKRSKTQPQEFSKNEVLLGTNYYGGIVCGVRNDKIRTETKKDGDSCKVNKFPSEFQTKSKTAKERRLTTEIQNKEIDVDIKLPQGTCLMAVAGFELPPEDVGNALQFLEFCASFGKVLGLKKGQADTILGEIVNGHRERRSQSYHLAQIHVQLLSVIQKDIGEESPTLTATNDNSWFKALGKCVSKCLFISKEILSGSFDLDNEGYDNLKSSEKLRLLNFLCDEALNTKELRSWIDDENSKFLERKKGAKEKVYAAKEKEKNLKKKMQDEVAKAIIEKNGAPNSVSNHKELVSQIKSEAAQAHAEMLEATGMAQKKRLSNAVRTDPVLLDVDGRAFWKLNGHDGQSAILLQDMGAWNSVAPSEKWVAYADEQKMDIEKYISFSRTKLRRVQQKAIETPSIEIDTETSSIDI >Potri.006G257400.4.v4.1 pep chromosome:Pop_tri_v4:6:25432442:25438836:-1 gene:Potri.006G257400.v4.1 transcript:Potri.006G257400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257400.v4.1 MAVTSSPADSNGKEVVEKSQAKCPGVRVVGGRIYDSQNGKTCHQCRQKTMDFIAACTAQKGNKLCTLKFCHKCLLNRYGEKAEEVALLDDWQCPKCRGICNCSFCMKRRGHKPTGILVRTAKENGFSSVSELLQVKGPENLNHYKDVKDNNVSPKKSALSEEESTVTSPRKSGNEDSFEENIDMNLHSQNLTPISFGKKSKKTKRKGPEEVSDGNMDAETRLKESGQKKSKKEGAEEVSNGGRNGEASSKARITAEVSENKAKTNEKDKCDVVKDKNEDAFVEKKRSKTQPQEFSKNEVLLGTNYYGGIVCGVRNDKIRTETKKDGDSCKVNKFPSEFQTKSKTAKERRLTTEIQNKEIDVDIKLPQGTCLMAVAGFELPPEDVGNALQFLEFCASFGKVLGLKKGQADTILGEIVNGHRERRSQSYHLAQIHVQLLSVIQKDIGEESPTLTATNDNSWFKALGKCVSKCLFISKEILSGSFDLDNEGYDNLKSSEKLRLLNFLCDEALNTKELRSWIDDENSKFLERKKGAKEKVYAAKEKEKNLKKKMQDEVAKAIIEKNGAPNSVSNHKELVSQIKSEAAQAHAEMLEATGMAQKKRLSNAVRTDPVLLDVDGRAFWKLNGHDGQSAILLQDMGAWNSVAPSEKWVAYADEQKMDIEKYISFSRTKLRRVQQKAIETPSIEIDTETSSIDI >Potri.008G159600.1.v4.1 pep chromosome:Pop_tri_v4:8:10956550:10957544:-1 gene:Potri.008G159600.v4.1 transcript:Potri.008G159600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159600.v4.1 MSASVSAISSNLVKKAIPFSTPNSAVVRTLNRKIIKSHVYGKPGLISLVCRLLVHNQSNIPPEIPGKNPLEFLRRYSNNNDPPSVPPEVPELPSSPEIETSPSDEGHIRPPRIPEVPNTGPDFPVPVPLPTPPDVPGDTLASASKSTAPRVAA >Potri.003G085000.1.v4.1 pep chromosome:Pop_tri_v4:3:11130810:11134940:1 gene:Potri.003G085000.v4.1 transcript:Potri.003G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085000.v4.1 MHQKKSETLFITKQSRGSRGRRVFNPPLPLFDPSIHQLEKQQQIRRQKQSEPNPQNQPLLQIQIPPPTSPNPPPLNHQPYFQSYHEQEQTLPCQIILQQPKNHLISTPHKRLTVMAQSPPSSLSHSPTLSSLHGNLDQSRFHKKTILNTITSPFTTQLSIKTVVHRVLFHFSHARLLCVHLRVLILLILPSLYFFISNHHRLFIINLLFSIAFLITLLVCLNLVLPRLPSIRLLVTRSLPNKLKSTPFPTEASNPVVWSIGSKPKKENKPYSGSWVQVYSNGDVYEGEFHEGKCSGSGVYYYYMSGRYEGDWVDEKYDGCGVETWAKGSRYRGQYRQGLRHGIGVYRFYTGDVYAGEWCNGQCHGCGVHTCEDGSKYVGEFKWGVKHGLGHYHFRNGDMYAGEYFADKMHGFGVYQFGNGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGILDVSTAENGNPGSPKATSHPKVRSAVQEARRSAEKAYDVARIEERVNKTVKSANKSANAARVAAVKAVQRQMHHHNSDDVPSPFV >Potri.007G131100.5.v4.1 pep chromosome:Pop_tri_v4:7:14480188:14487999:-1 gene:Potri.007G131100.v4.1 transcript:Potri.007G131100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131100.v4.1 MAPDPIKSNKPGIIKSETDQKDSMKERESNNLWVGNISRDVTESDLMKLFAQYGSIDNVTTYTARSYGFVYFKRVEDAKQAKDALQGTSLRGNQIIIEFARPAKPSRHLWVGGIGSSVSEEWLEEEFLKFGKIEDFKFRRDQNTAYVEYFKLEDASQAMKNMNGKKIGGDQLRVDFLRTQSSRREQLPDFHDSRQDQFSATHYGVRRPQIPKSPGERKDGQPSNILWIGYPPSVRIDEQMIHNAMILFGEIEKIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFCDPRITITFSSSGLAPGKEDSAFYPGVEGRRLEMFNKHSFAPMDIMFDQPGGPRNFPGPFPPSGIHRPNLPVWPFGPQGVFDPILQGGEHNDLAPSHSDRDPASGILPSPASGIRPPMRSISSGWDVLDPSQFPRDAKRSRIDSAPSVDDDSFPARKMGDRDLGLDKAYGLGPRGAYPSFQGNNSLSPVGGRLKGHFDDDFIWRGIVAKGGMPVCHARCVPVGKGIESEIPPVINCSARTGLDVLAKHYAEAIGFDIVFFLPDSEDDFASYTEFLHYLGSKNRAGVAKFDDGTTLFLVPPSDFLKNVLKVAGPERLYGVVLKLPQQAPNNKSMQQQLPQPIHFSQYTNNQISPPEADYNLLHQQEEQVMSIHHNRVLHEDSKLPSKPIYPLTTVSHAVLPVPQEYASNHSAAPSSAGFLTPDLIATLSTLLPANKQSSSESNQPELGSPIVRPPFSSVIPDKGTSSQGWKHDNQVSGNTSHLQFGNHLNYQLQVQSQFQPSPSVPSTYSHSTNVVPRNSQIQDSTVSLSHQSATPSRPLTSFSMPSQSGQFALSPQVSQQNLFEVPHSTQKGYGVVHGTYVMEPYSPSVIQKPNDTDTLFCQVQGTNFSQTQSGIPPSADRGNGELPSQVQQFQPALSVSGRGISEADKNQRYQSTLQFAANLLLQLQQQQNTSNPDAHGSGNQQ >Potri.007G131100.1.v4.1 pep chromosome:Pop_tri_v4:7:14480188:14487999:-1 gene:Potri.007G131100.v4.1 transcript:Potri.007G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131100.v4.1 MAPDPIKSNKPGIIKSETDQKDSMKERESNNLWVGNISRDVTESDLMKLFAQYGSIDNVTTYTARSYGFVYFKRVEDAKQAKDALQGTSLRGNQIIIEFARPAKPSRHLWVGGIGSSVSEEWLEEEFLKFGKIEDFKFRRDQNTAYVEYFKLEDASQAMKNMNGKKIGGDQLRVDFLRTQSSRREQLPDFHDSRQDQFSATHYGVRRPQIPKSPGERKDGQPSNILWIGYPPSVRIDEQMIHNAMILFGEIEKIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFCDPRITITFSSSGLAPGKEDSAFYPGVEGRRLEMFNKHSFAPMDIMFDQPGGPRNFPGPFPPSGIHRPNLPVWPFGPQGVFDPILQGGEHNDLAPSHSDRDPASGILPSPASGIRPPMRSISSGWDVLDPSQFPRDAKRSRIDSAPSVDDDSFPARKMGDRDLGLDKAYGLGPRGAYPSFQGNNSLSPVGGRLKGHFDDDFIWRGIVAKGGMPVCHARCVPVGKGIESEIPPVINCSARTGLDVLAKHYAEAIGFDIVFFLPDSEDDFASYTEFLHYLGSKNRAGVAKFDDGTTLFLVPPSDFLKNVLKVAGPERLYGVVLKLPQQAPNNKSMQQQLPQPIHFSQYTNNQISPPEADYNLLHQQEEQVMSIHHNRVLHEDSKLPSKPIYPLTTVSHAVLPVPQEYASNHSAAPSSAGFLTPDLIATLSTLLPANKQSSSESNQPELGSPIVRPPFSSVIPDKGTSSQGWKHDNQVSGNTSHLQFGNHLNYQLQVQSQFQPSPSVPSTYSHSTNVVPRNSQIQDSTVSLSHQSATPSRPLTSFSMPSQSGQFALSPQVQGTNFSQTQSGIPPSADRGNGELPSQVQQFQPALSVSGRGISEADKNQRYQSTLQFAANLLLQLQQQQNTSNPDAHGSGNQQ >Potri.017G074400.1.v4.1 pep chromosome:Pop_tri_v4:17:8210035:8212188:1 gene:Potri.017G074400.v4.1 transcript:Potri.017G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074400.v4.1 MKVSSKICALNIIIVILVALSVINVLGEDDVRCLQGVKNSLDNPEGKLTTWNFANSSVGFICNFVGVSCWNDRENRIINLQLRDMKLSGQVPESLRYCQSLQNLDLSSNSLSGTIPAQICTWVPYLVTLDLSNNDLSGPIPPDLANCTYLNKLILSNNRLSGSIPFELSGLGRLKQFSVENNDLAGTVPSFFTNLDSASFDGNKGLCGKPLSKCGGLSEKNLAIIIAAGVFGAASSLLLGFGVWWWYHLRYSERKRKGGYGFGRGDDTSWAQRLRSHKLVQVSLFQKPLVKVKLADLIAATNNFSPDNIIISTRTGTTYKAVLPDGSALALKRLTTCKLGEKQFRSEMNRLGQIRHPNLAPLLGFCVVEEEKLLVYKHMSYGTLYSLLHGSGNALDWSTRFRIGLGAARGLAWLHHGCQRPFLYQNMCSNVILVDEDFDARIMDFGLAKMTCSDSNESSYVNGDLGEFGYVAPEYSSTMVASLKGDVYGFGVVLLELVTGQKPLDISNAEEGFKGSLVDWVNHLSSSGRSKDAVDKAICGKGHDEGIYQFLKIACNCVIARPKDRWSMYKTYQSLKTIASEHHVLSELDDEFPLIFGKQDYD >Potri.018G020200.2.v4.1 pep chromosome:Pop_tri_v4:18:1418125:1424390:1 gene:Potri.018G020200.v4.1 transcript:Potri.018G020200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020200.v4.1 MDEEAVVKFVETYLKKKGFKQAELAFHEEIQQQHQQQNNSNNAISIHSDPDLSSLLHSLSQPEDSPARYQDEYSKLRSWAYSSLDLYKHELLRVLYPVFVHCYMDIVAKGHIQEARNFFNSFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSNELLMQYLRKSKWTIILGIVNEHINFQVSPGQPISISDDPDAVTLIGSSQDAANQINKKEIHWGLLEDSLEERLEKTGGFLSDTEKTEGETKEGDMDENKKRSIDGGKQGASIKKSKKDKAASATTKIARPEANTVSAAPRVKPELPLPVMPTEVEQSILEDLRNRVQLSSVTLPSVSFYTFINTHNGLNCSSISHDGSLIAGGFSDSSLKVWDMAKLGHQAGNSILQGENDTAPSEQGQSPNSGKRSYTLFQGHSGPVHSATFSPLGDFILSSSADTTVRLWSTKLNANLVCYKGHNYPVWDVQFSPVGQYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCLQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASADEDGTIMMWDLSSGRCISPLIGHNSCVWSLAFSCEGSLLASGSADCTVKLWDVTTSTKAPRTEESKSGNTNRLRLLKTLPTKSTPVYTLRFSRRNLLFAAGALAKSQ >Potri.013G066900.2.v4.1 pep chromosome:Pop_tri_v4:13:5105273:5107811:1 gene:Potri.013G066900.v4.1 transcript:Potri.013G066900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066900.v4.1 MEDNQGQDPNSPSNHATERSEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQMQASLVAGEQTNNQQAQASGGAIQYKGCNTSIGFANSPSFVQSPSSYLVGSSSSYGVVDEDHGGESLYSFSNQMAFQEVEQTSGVTSILYPSETSNLHYQTAGFITVFINGIPTEVPRGPLDIKAMFGQDVVLVHSSGVPVPTNEFGFLMQSLHHGESYFLVSRST >Potri.013G066900.1.v4.1 pep chromosome:Pop_tri_v4:13:5105273:5107931:1 gene:Potri.013G066900.v4.1 transcript:Potri.013G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066900.v4.1 MEDNQGQDPNSPSNHATERSEPVRSRWTPKPEQILILESIFNSGMVNPPKDETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQMQASLVAGEQTNNQQAQASGGAIQYKGCNTSIGFANSPSFVQSPSSYLVGSSSSYGVVDEDHGGESLYSFSNQMAFQEVEQTSGVTSILYPSETSNLHYQTAGFITVFINGIPTEVPRGPLDIKAMFGQDVVLVHSSGVPVPTNEFGFLMQSLHHGESYFLVSRST >Potri.010G214800.2.v4.1 pep chromosome:Pop_tri_v4:10:20231192:20235232:1 gene:Potri.010G214800.v4.1 transcript:Potri.010G214800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214800.v4.1 MGKFGGNKKIYLGAKEVLSPALTSNPDPPPVFYGITSCAYSTCVLVHRVLGLPGIARLFRQDRPAWCKEKEYPTIKVPSLEHNNEVKGVSLDLIKCIDSHFDGLSFFPHDPAKKEFAEELFSCSGSFSKSINSTFKGEADEAGGYSLCSIY >Potri.009G069300.1.v4.1 pep chromosome:Pop_tri_v4:9:6851238:6856149:-1 gene:Potri.009G069300.v4.1 transcript:Potri.009G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069300.v4.1 MLRASHIPPVATPALSALKISLIPALSLSSSLPHLYKLSTFAPLHNFLPKTAPPNSSPISFKRFLPMLGHHPCPKASFSSGSGTGGGRELLVQHLLVKEDDLKLLLELQQRISGGGEDLSDLAVEYSLCPSKEEGGMLGWVRKGQMVPEFEEAAFSAPLNKVVRCKTKFGWHLLQVISEREESLLGEIQADELHVKIQDPTFAKEAQLIDVREPDEVAKASLPGFEVLPLRQFGSWGPEVTTKFDPEKDTYVMCHHGMRSLQVAKWLQSQGFKRVFNVSGGIHAYAVRVDPSIPTY >Potri.001G261800.3.v4.1 pep chromosome:Pop_tri_v4:1:27801500:27803167:1 gene:Potri.001G261800.v4.1 transcript:Potri.001G261800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261800.v4.1 MVCVRKATMDDLLAMQACNLLCLPENYQMKYYFYHILSWPHLLYVAEDYNGRIVGYVLAKMEEESTECHGHITSLAVLRTHRKLGLATKLMKAAQTAMEQVFGAEYVSLHVRKSNRAAFNLYTETLGYKIHDVEAKYYADGEDAYDMRKQFKVKQSHHHHHHHHHGGGCCSADARPVEAQPESKSEAKASTKVE >Potri.011G142501.1.v4.1 pep chromosome:Pop_tri_v4:11:17220963:17222830:1 gene:Potri.011G142501.v4.1 transcript:Potri.011G142501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142501.v4.1 MILSSQLRVHQFLVTLSVSEGLAKVLPRDCRWEITAYESASKDAELWIPRFPTDTKQWQQPPLITPIKSMSSEPLSVQLYLEHPSLSVPKPQEGKNS >Potri.001G458200.2.v4.1 pep chromosome:Pop_tri_v4:1:48366046:48371857:-1 gene:Potri.001G458200.v4.1 transcript:Potri.001G458200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G458200.v4.1 MGRTSEIGGLISALAASFSFSQSNLVSFADGPFNFSPFSSSNPSPSPPQTSSLSDQSQPSALPPSTVAGSGESGPRAPRNDNPRTTSSGFDPEALERGAKALKEIASSSHAKKVFESIKTQEATRQAELAAKAAEYKAMQAQAETERQRVVYDEQKKLAQHQAQTKSQMARYEDELARKRMQAENEYQRARNQELVKLQEESSIRLEQARRATEEQIQAQRRQTEREKAEVERETIRVRAMAEAEGRAHEAKLAEDVNRRILKDRANAEMEKWVAAINTTFEHIGGGLRAVLTDQNKLVVVVGGVTALAAGIYTTREGARVIWSYVDRILGQPSLIRESSKGKYPWSGVFTRSFRTLSSGANKGSASKNGNGFGDVILHPSLQKRIEQLANATANTKSHQAPFRNMLFYGPPGTGKTMAARELAQKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWAKKSRRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVADRIDEVLEFPLPQTEERFKLLKLYLDKYIAQAGSRKSGWLQNLFKRQPQKIEIKGLTDDILKEAAERTEGFSGREIAKLMASVQAAVYGSQNCVLDSALFREVVDYKVAEHQQRSKLASKSDEKSA >Potri.002G248900.1.v4.1 pep chromosome:Pop_tri_v4:2:23929731:23932901:1 gene:Potri.002G248900.v4.1 transcript:Potri.002G248900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248900.v4.1 MNIVHHEYLEESEEEERLPILNMESMKVISPLGRGAKGVVFLVKEEPLGEFYALKVVSREFVKKKKRGSNDKDMEGEEYRRIYFEQQVVSRFNHPLLPKLRGVLATDKILAYAIDYCPGRDLHFLRKQQSEKMFAIDTIRFYAAELVLALEYLHRLGIAYRDLKPDNIMVQENGHIMLVDFDLSTKLPPKSASPVSVVADRRKRRSPLHRFFNRGVSPDDSGEELGHRLSEPDSTSKSNSFVGTEEYVAPEVIQGDGHDFGVDWWSLGVVLYEMLYGVTPFKGESRKESFYRILTKKPDLVGEATPLRDLIGKLLIKDPKERIRVEGIKGHDFFKGIDWDLLLQILRPPYIPFMDNWSEGEGKNGIKEIDVEIFVQEIFGAGDDDKKKNLDGNHHGNENKKVWVNGLNTNHPCEAENFLVF >Potri.019G067600.1.v4.1 pep chromosome:Pop_tri_v4:19:10804313:10806131:1 gene:Potri.019G067600.v4.1 transcript:Potri.019G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067600.v4.1 MVMMKANAIKWSWFMNLIIFFLHCSNGIAVESERVPALFVFGDSLVDVGNNNYLSSIAKANYFPYGVDFAKFGPTGRFSNGKTFVDILGEILGVPYPPAFADPNTAGPVILGGVNYASAAAGILDETGQHYGQRYSLSQQVLNFETTLNQIRTLMSGTNLTEYLGKSIAVLVFGSNDYINNYLMPSVYSSSFYYSPPDFANLLVNHYTRQLLALYNLGLRKFLLPGIGPLGCIPNQRASAPPDRCVDYVNQILGTFNEGLRSLVDQLNKHPGAMFVYGNTYGSVGDILNNPGTYGFSVVDKGCCGIGRNQGQITCLPWVVPCSNRNTYVFWDAFHPTEAVNAILALRAFNGSQRDCYPINVQQMTLIY >Potri.003G026700.1.v4.1 pep chromosome:Pop_tri_v4:3:183938:184729:-1 gene:Potri.003G026700.v4.1 transcript:Potri.003G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026700.v4.1 MACMSLVPSGFMPKSTLVRMLNTRLCYQRKTLIISAGRGPGVSHGGGGQTNNGGRKPKDAANVAKEKLPLGGFNSEKEKKKKSEEKVDDGTTVDNEATS >Potri.009G165900.3.v4.1 pep chromosome:Pop_tri_v4:9:12691063:12695530:1 gene:Potri.009G165900.v4.1 transcript:Potri.009G165900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165900.v4.1 MERQRVVERGEKAGVSSSSNGGGEVDVVVEMMDVIEAVGLYVGYRRTQRKECLNLARRLKLLLPLLEEIKEIGNYKLVSSEGLKTSLDNLKKALLGAKKLLKKCSCGSKIYLAMESEAVMSSFHAVYEKLNQALDDLPYDELGISVEVKEQVELTRMQLKRAKKRSDTQDIELAMDLMVVFSKKDDRNADSAILERLAIKLELHAIPDLKAEEIAVRKLVKERGVQNAESMQQINDLLGKFKQIAGVDETIVLDGPFSSKSLQRCRSLLIPHEFLCPITLEIMVDPVIVATGQTYERESIQKWLNSNHRTCPKTGQTLGHLSLASNFALRNLIQEWCEKNNYELPKKDACLGSDGVSAELKEEISSLVQNLSSCEFEVRREAIKKIRMLAKENPDNRILIANYGGIPPLVQLLSYQDPNIQEHTVTALLNLSIDETNKKLVAREGAIPAIVKILQHGTNEARENSAAALFSLSMLDENKVLIGASNGIRPLVHLLQNGTIRGKKDAATALFNLSLNQTNKSRAIKAGIIPALLHLLEEKNLGMIDEALSIFLLLASHPEGRNEIGKLSFIKTLVEIIRNGTPKNKECALSVLLQLGLHNSSIILAALQYGVYEHLVELTKSGTNRAQRKANSILQHMNGYMQARRRLLLKRSYQANGS >Potri.009G165900.2.v4.1 pep chromosome:Pop_tri_v4:9:12691044:12695588:1 gene:Potri.009G165900.v4.1 transcript:Potri.009G165900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165900.v4.1 MERQRVVERGEKAGVSSSSNGGGEVDVVVEMMDVIEAVGLYVGYRRTQRKECLNLARRLKLLLPLLEEIKEIGNYKLVSSEGLKTSLDNLKKALLGAKKLLKKCSCGSKIYLAMESEAVMSSFHAVYEKLNQALDDLPYDELGISVEVKEQVELTRMQLKRAKKRSDTQDIELAMDLMVVFSKKDDRNADSAILERLAIKLELHAIPDLKAEEIAVRKLVKERGVQNAESMQQINDLLGKFKQIAGVDETIVLDGPFSSKSLQRCRSLLIPHEFLCPITLEIMVDPVIVATGQTYERESIQKWLNSNHRTCPKTGQTLGHLSLASNFALRNLIQEWCEKNNYELPKKDACLGSDGVSAELKEEISSLVQNLSSCEFEVRREAIKKIRMLAKENPDNRILIANYGGIPPLVQLLSYQDPNIQEHTVTALLNLSIDETNKKLVAREGAIPAIVKILQHGTNEARENSAAALFSLSMLDENKVLIGASNGIRPLVHLLQNGTIRGKKDAATALFNLSLNQTNKSRAIKAGIIPALLHLLEEKNLGMIDEALSIFLLLASHPEGRNEIGKLSFIKTLVEIIRNGTPKNKECALSVLLQLGLHNSSIILAALQYGVYEHLVELTKSGTNRAQRKANSILQHMKDGYMQARRRLLLKRSYQANGS >Potri.009G165900.1.v4.1 pep chromosome:Pop_tri_v4:9:12691123:12695743:1 gene:Potri.009G165900.v4.1 transcript:Potri.009G165900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165900.v4.1 MERQRVVERGEKAGVSSSSNGGGEVDVVVEMMDVIEAVGLYVGYRRTQRKECLNLARRLKLLLPLLEEIKEIGNYKLVSSEGLKTSLDNLKKALLGAKKLLKKCSCGSKIYLAMESEAVMSSFHAVYEKLNQALDDLPYDELGISVEVKEQVELTRMQLKRAKKRSDTQDIELAMDLMVVFSKKDDRNADSAILERLAIKLELHAIPDLKAEEIAVRKLVKERGVQNAESMQQINDLLGKFKQIAGVDETIVLDGPFSSKSLQRCRSLLIPHEFLCPITLEIMVDPVIVATGQTYERESIQKWLNSNHRTCPKTGQTLGHLSLASNFALRNLIQEWCEKNNYELPKKDACLGSDGVSAELKEEISSLVQNLSSCEFEVRREAIKKIRMLAKENPDNRILIANYGGIPPLVQLLSYQDPNIQEHTVTALLNLSIDETNKKLVAREGAIPAIVKILQHGTNEARENSAAALFSLSMLDENKVLIGASNGIRPLVHLLQNGTIRGKKDAATALFNLSLNQTNKSRAIKAGIIPALLHLLEEKNLGMIDEALSIFLLLASHPEGRNEIGKLSFIKTLVEIIRNGTPKNKECALSVLLQLGLHNSSIILAALQYGVYEHLVELTKSGTNRAQRKANSILQHMSKYGHIP >Potri.019G063900.2.v4.1 pep chromosome:Pop_tri_v4:19:10418030:10420269:-1 gene:Potri.019G063900.v4.1 transcript:Potri.019G063900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063900.v4.1 MGFSALAVAAELPLHYSSVNKNGDRFLCQQRKHGHIRYCKKPVSCNIKSNITAAATSGNNTATTGSTSSSSCGGKVLEIKEIRERCKKWLWKGQFSINYFVSCNSDSQSNPGPPLLLVHGFGASIPHWRRNIDTLAKNYTVYAIDLLGFGASDKPEGFSYTMEAWAQLILDFLDEVIQKPTVLIGNSVGSLACVIAASDSSQTLVRGLVLLNCSGGMNNKAIVDDWRIKLLLPLLWLIDFLLKQRAIASSIFERVKQRDTLRNILLSVYGNKESVDEDLVEIIRGPACDEGALDAFVSIVTGPPGPNPVTLMPGISIPILVLWGDQDPFTPIDGPVGKYFSSLPSQLSNVRLCMLEGVGHCPHDDKPDLVHDNLLPWLAHLAAS >Potri.016G116100.1.v4.1 pep chromosome:Pop_tri_v4:16:12033086:12036405:1 gene:Potri.016G116100.v4.1 transcript:Potri.016G116100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116100.v4.1 MGGLQRPQFVLFGSSIVQLSYINEGWGAILAHIYARKADMVLRGYSGWNSRRAVQVLDQVFPKDATIQPSLVIVYFGGNDSVHPHATGLGPHVPLPEYIENTRKIAMHLKSISDKTRVIFLSAPPASEEQIGIHLSDKIDMVRTNESCRIYSEACLEVCREMNLKAVDLWTAIQQVDNWETVCLKDGIHFAPEGSRIVVKEILRVIKEAHWEPSLYWKAMPTEFSEDSPYDPVSMEGKTVNVSEPDLFGDFPWE >Potri.006G062000.2.v4.1 pep chromosome:Pop_tri_v4:6:4415453:4418284:-1 gene:Potri.006G062000.v4.1 transcript:Potri.006G062000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062000.v4.1 MEVEVKLRLLDAANHSLLKEILSPFHLKKLNQKNVFYDSANGVLASQRAVLRLRSFNDNNDESTRCVFSLKAKAVLINGVSRVEEDEEEIEPLIGKQCVEEASKLGSIESRVIKRCKDEFGIDGEVGFVCLGGFENVREVYDWRGLKLEVDESTFSFGVCYEVECESDDPERVKRELEGFLKENGIDYKYSEVSKFAIFRAGKLP >Potri.006G062000.1.v4.1 pep chromosome:Pop_tri_v4:6:4415736:4418369:-1 gene:Potri.006G062000.v4.1 transcript:Potri.006G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062000.v4.1 MEVEVKLRLLDAANHSLLKEILSPFHLKKLNQKNVFYDSANGVLASQRAVLRLRSFNDNNDESTRCVFSLKAKAVLINGVSRVEEDEEEIEPLIGKQCVEEASKLGSIESRVIKRCKDEFGIDGEVGFVCLGGFENVREVYDWRGLKLEVDESTFSFGVCYEVECESDDPERVKRELEGFLKENGIDYKYSEVSKFAIFRAGKLP >Potri.012G096100.1.v4.1 pep chromosome:Pop_tri_v4:12:12022930:12028111:-1 gene:Potri.012G096100.v4.1 transcript:Potri.012G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096100.v4.1 MAVDPIQILPPPRSATDFFSDPLDFHPLWFKPDLFLSPNFDSDSYISELRTFVPFDTLRSELQAHLTSLNHELIDLINRDYADFVNLSTKLVDVDSAVVRMRAPLLELREKIEGFRGSVESSLVALKNGLEQRSEATATREVLELLLDTFHVVSKVEKLIKELPSVPADWSNGDVNLTEKNAASNGSIENGTNVRETQSMLLERIASEMNRLKFYIAHAQNLPFIQNMEKRIQGASLLLDASLGHCFVDGLEHRDENAIYNCLRAYAAIDNTSSAEEIFRTTIVAPLIQKIIPHGPSGGVVGASGDGLEIDYQEIKKCTEKDCKFLLEISSAENSGLHVFDFLANSILKEVLSAIKKGKPGAFSPGRPTEFLINYKSSLDFLGHLEGYCPSRSAVTKFRAEAIYVEFMKQWNVGVYFSLRFQEIAGALDSALATTSLIPVHYSPSGNGSSQDLTLKQSVTLLESLRSCWREDVLIHSCSDKFLRLTLQLLSRFSNWLLSGLAARKTGNTGSNSGYEWAASAVPNDFLYIIHDINCLATEVCGDYLEHVLQLLSSCSADVLDLVKQSILQGGKSLNDLTPLAINAITDALVDEAVKGLKDVKAIATTFRMTNKPIPSRHSLYVSGLLSPLKKDFLDMEKHNPYLTRETVKELRHGAATEITGRYYDMVVEIVSVARKTESSLQRLKKGAQRRAGVSSDVSDPSVSDTDKLCMQYFLDIQEYGRNLSTLGVDAKEIPAYRSLWQCVAPPDRQNVIDL >Potri.012G096100.2.v4.1 pep chromosome:Pop_tri_v4:12:12022931:12028088:-1 gene:Potri.012G096100.v4.1 transcript:Potri.012G096100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096100.v4.1 MEKRIQGASLLLDASLGHCFVDGLEHRDENAIYNCLRAYAAIDNTSSAEEIFRTTIVAPLIQKIIPHGPSGGVVGASGDGLEIDYQEIKKCTEKDCKFLLEISSAENSGLHVFDFLANSILKEVLSAIKKGKPGAFSPGRPTEFLINYKSSLDFLGHLEGYCPSRSAVTKFRAEAIYVEFMKQWNVGVYFSLRFQEIAGALDSALATTSLIPVHYSPSGNGSSQDLTLKQSVTLLESLRSCWREDVLIHSCSDKFLRLTLQLLSRFSNWLLSGLAARKTGNTGSNSGYEWAASAVPNDFLYIIHDINCLATEVCGDYLEHVLQLLSSCSADVLDLVKQSILQGGKSLNDLTPLAINAITDALVDEAVKGLKDVKAIATTFRMTNKPIPSRHSLYVSGLLSPLKKDFLDMEKHNPYLTRETVKELRHGAATEITGRYYDMVVEIVSVARKTESSLQRLKKGAQRRAGVSSDVSDPSVSDTDKLCMQYFLDIQEYGRNLSTLGVDAKEIPAYRSLWQCVAPPDRQNVIDL >Potri.017G125500.1.v4.1 pep chromosome:Pop_tri_v4:17:12955729:12958895:1 gene:Potri.017G125500.v4.1 transcript:Potri.017G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125500.v4.1 MENLLGLLRIHVTRGIDLAIRDIRSSDPYVVVKMGKQKLKTRVIKQNINPEWNDDLTLSVVDPNLPVLIKVYDKDTFSLDDKMGDAEFDIRQFIEVVKMQLDNLPTGTIISKIQPSRENCLAEESCIVWANGKVIQNMFLRLRHVESGEVELQLEWIDIPGSRGM >Potri.007G023500.2.v4.1 pep chromosome:Pop_tri_v4:7:1798748:1801766:-1 gene:Potri.007G023500.v4.1 transcript:Potri.007G023500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023500.v4.1 MATESSEGEEEGKITGGNKLLVIEDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTMELVKPTGWVYLSLSGNDPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPQLNPFPHQPFQFTSREFITYSSVR >Potri.005G252300.1.v4.1 pep chromosome:Pop_tri_v4:5:24519987:24522042:-1 gene:Potri.005G252300.v4.1 transcript:Potri.005G252300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252300.v4.1 MSKAMDWKLTSISLHPILPTASNVLKSSSMILMAHSSTRICYRKIPKNIRYPRRSKLPPDFGVNLFLKKPQTDSVQDHSDDLTEEEEEEEIEVNNGEIVWESEEIEAISSLFRGRIPQKPGKLGRERPLPLPVPYKLRPLGLPAPKKHVNKQVSLSRASISSQIYKNPSFLIGLAKEIKRLSPDQDVSVVLDNCSRYLHKGSLSLTIRELGHLGLPERALQTFCWVQKQPRLFPDDRVLASTVEVLARNHDLKVPFNLEKFTNLASRRVIEAMVKGLIRGGSLKLAWKLISVAKDGKRMLDPSVYAKIILELGKNPDKHVLAEALLDELAEREDLNLSQQDCTAVMKVCIKLGKFEAVESLFNWFRQSGHEPSVVMYTTLIHSRYSESKYREALAVVWEMEGSDCLFDLTAYRVVIKLFVALNDLPRAVRYFSKLKEAGLSPTYDIYRNLITLYMVSGRLAKCKEVWKEAEMAGFKFSKEMAAGLLQLKRETRLVETNTEETQTLPF >Potri.006G266100.1.v4.1 pep chromosome:Pop_tri_v4:6:26134130:26137801:-1 gene:Potri.006G266100.v4.1 transcript:Potri.006G266100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266100.v4.1 METRSSVFANPKPCKHLADYKLRHGLSGYKFLQKWLKTFPNGKTSIKMCETKMPKCSFCSGIRQGRLFLCLICSSMSCSDHTLLHSQSENGHDIAVDIERSELYCCSCSDQVYDLDFDKVVVAKNIVDMPSKTRDSVVDDLMRRSSKRRRLNSVVDLDLIRSKFFVWMRGRRAKSCYPLGLRGLNNLGSTCFMNSILQALLHAPPFRNYFLSERHDRETCRKRSSDQSCLACDIGVIFSAVYSGDRTPYSPAQFLYSWWQHSANLASYEQQDAHEFFISVLDGIHEREGKERNPNKDNGDCQCIAHRVFSGMLRSDLTCTTCGFTSTTYDPCVDISLNMDTSSFSSVDVANKSVRPNEDTGSSTLLACLDLFTRPERLGSDQKLYCQNCQEKRDSLKQMSIKRLPLVLSLHIKRFEHSSLRRTSRKIDWHLQFPFSLDMTPYLSPSIVRNRFGNRIFGFESDEADISAEFEIFAVVTHSGMLDSGHYVTYLRLQNQWYKCDDAWITEVDERIVRASQCYMIFYVQRMLYYKANEDLSCTPMPSRRDPFVPIAGCC >Potri.002G054000.1.v4.1 pep chromosome:Pop_tri_v4:2:3653812:3659290:1 gene:Potri.002G054000.v4.1 transcript:Potri.002G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054000.v4.1 MKFQIEDVTVYFPYDHIYPEQYSYMVELKRALDAKGHCLLEMPTGTGKTIALLSLITSYTISKPQGAIKLIYCTRTVHEMEKTLAELKLLHNYQVKHLGPAAKILAIGLSSRKNLCVNPNVLEANNRDSVDAACRKRTASWVRALAAENPNVETCEFFENYERAASGAVLPPGVYTLQDLRAYGKEKGWCPYFLARHMVQLANVVVYSYQYLLDPKVAGIISKEMQKESVVVFDEAHNIDNVCIEALSVSVRRQTLDGASRNISRIEQEINRFKATDANRLRDEYKRLVNGLALSGNLPGSDSWLSNPALPDDILREAVPGNIRRAEHFLHVLRRLLQYLTVRLDTENVEKESPISFVASINNQAGIDQKTLKFCYDRLHSLMLTLEITDTDEFLHVQTICDFATLVGTYSRGFSIIIEPFDERMPHIPDPVLQLSCHDASLAIKPVFDRFQSVVITSGTLSPIDLYPRLLNFHPVVSRSFKMSLTRDCICPMVLTRGSDQLPVSTKFDMRSDPGVVRNYGKLLVEMVSIVPDGIVCFFVSYSYMDGIINTWNESGLLKEIMQHKLVFIETQDVVETTLALDNYRRACDCGRGAVFFSVARGKVAEGIDFDRHYGRLVIMFGVPFQYTLSKILLARLEYLRDTFQIKEGDFLTFDALRQAAQCVGRVIRSKADYGMMIFADKRYSRHDKRSKLPGWILSHLRDAHLNLSTDMALHIAREFLRKMAQPYDKTGSSGKKTLLSQEDLEKMDDSGKQAMLY >Potri.009G040700.2.v4.1 pep chromosome:Pop_tri_v4:9:4832759:4839117:1 gene:Potri.009G040700.v4.1 transcript:Potri.009G040700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040700.v4.1 MSVQEYLDKHVLSRKIEDAVNAAVRAKTPDPVLFISNHMRKAVPSVITKIKGRQILDSRGIPTVEVDLFTNKGSFRASVPSGHVTGMYEAVELRDGDKGMYLGNSVTRAVKNINEKISEALIGMDPTLQSQIDQAMIDLDKTEKKGELGANAMLAVSIAACKAGAAEKEVPLYKHISDLSSKTNPTLPVPAFTVISGGKHAGNNLAIKEIMILPIGASTFEEALQMGSETYHHLKAVIKEKYGEQGCNVGEDGGFSPNLSSVQEGLNLVKEAISRTGYSEKIKMAIDVAATTFCIGTKYDLDYKFQNKSGQNFKSGDDMIKMYEELCAAYPIVSIEDPFDREDWEHVKRFSDLGLCQVVGDDLLMSNHKRIERAIHESSCTALLLKVNQIGTVTEALEVVKLAKDAHWGVVVSHRSGETEDSFIADLSVGLAMGQIKTGAPCRGERLAKYNQLLRIEEELGDQAVYAGEDWRAT >Potri.015G094300.1.v4.1 pep chromosome:Pop_tri_v4:15:11658224:11662412:1 gene:Potri.015G094300.v4.1 transcript:Potri.015G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094300.v4.1 MPKRTTHTYSSENAVPEGPDSELFVYYCKHCGSHLLITDNQLQKMPKRKTDRAYVLDKKKHLARLHMNEAGKVLLKRGEGKFEKQFRMNCMGCGLFVCYRAEEDLESASFIYVVDGALSTVAAETNPQDAPVPPCISQLGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFVGKVLGLKLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAAQP >Potri.015G094300.2.v4.1 pep chromosome:Pop_tri_v4:15:11658507:11662463:1 gene:Potri.015G094300.v4.1 transcript:Potri.015G094300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094300.v4.1 MPKRTTHTYSSENAVPEGPDSELFVYYCKHCGSHLLITDNQLQKMPKRKTDRAYVLDKKKHLARLHMNEAGKVLLKRGEGKFEKQFRMNCMGCGLFVCYRAEEDLESASFIYVVDGALSTVAAETNPQDAPVPPCISQLGGLVQVAIEVEDRAQRSAITRVNADDVRVTVAAPAARGEANNELLEFVGKVLGLKLSQMTLQRGWNNKSKLLVVEDLSARQVYEKLLEAAQP >Potri.010G071850.1.v4.1 pep chromosome:Pop_tri_v4:10:9999784:10001197:-1 gene:Potri.010G071850.v4.1 transcript:Potri.010G071850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071850.v4.1 MTMDENPQCSFQILRPAAKKPKFCVGSTVTAKSGTIPHKIKSREGQKDAVKRFRKLIFRLMLSSALLDVHVCALC >Potri.001G259000.3.v4.1 pep chromosome:Pop_tri_v4:1:27436850:27442071:1 gene:Potri.001G259000.v4.1 transcript:Potri.001G259000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259000.v4.1 MLLRLGALTPLLRPSLFSPKPILLSPLITAKPFFSLSSFSTAPFQSSLVSHSFNPKPTTLFDSGKNAISVDPYYLSCCMADKDKPLRIAVLLSGGVDSSVALRLLHAAGHHCTAFYLKIWFQEDFNNFWSECPWEEDLKFAKGVCDQVGVPLEVVHLTDEYWSNVVSYIIEEYRCGRTPNPDVLCNTRIKFGAFMDAINNMEFDYVASGHYAHVIHPVSDQINKPSVLELSKDKLKDQTYFLSHLTQAQLKRLIFPLGCIPKEEVRNLASKFDLPNKDRKDSQGICFLGKIKFSEFVGRHIGESEGVILEAETGDFLGIHRGFWFYTIGQRQGLRLPGGPWYVVEKDVKNNVVFVSRNYFSLDKRRRSFRVGSLKWLSGSPTDMTTQLQCKVRHGPTFYDCSVKIELGEDSPEEVVAVHLCEDDQGLAAGQFTAFYQGRTCIGSGVILESWDDKGFPVCQKALELARLEDKSKLGKPVKIKVKPETSDQESVQKDGIEPDKGLAEPRVAVTG >Potri.003G086400.5.v4.1 pep chromosome:Pop_tri_v4:3:11307415:11321057:-1 gene:Potri.003G086400.v4.1 transcript:Potri.003G086400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086400.v4.1 MDNQDNNLYETASQPDTATDAYTFLEFNTQGESDFDYPEFRSPVAWPTPSDSLGATSSAVDPTSSDHRTAASTPDLHSDSLAASPVASKSAARGGGANSGTQGVVEGLVASIGGLNFEETGDDDGYEFGKGDFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDVNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVENQTVRNALPRRFGAPGLPELNASQVLAVKNVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCGGAGDPRLSNFRFRQVLIDESTQATEPECLIPLVLGAKQVVFVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPCLSEFPSNNFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIVPNDSFGSVASASSNADRRNSRSRGSYLSPGPPNGTHKPGVAGFPMPRVPIPPFHDGPPSQPYAIPTRGAVHGPIGAVPQVPPPGSRGFSAGRGNAGAPIGSHLSHQQGNQQGIGNIGSFNFPALENPNSQPSVGGPLSQPGYVNNIPVQGSSQTFRDGFSMGGMSQEFLGDDFKSQGSHVPYNVADFSTQASQGGYAVDYVTQGAQGGFPGNFLNQNSQPGYSRFGSGNDFMSQDYMAHGSQGLFTQVGYNDPSQDDASQSHFGIANPNQLQSQGLMNSLYSQPFGHYNTQQLNLQAPQQQPQQGQGTQNQKIHYNG >Potri.002G150100.1.v4.1 pep chromosome:Pop_tri_v4:2:11357197:11359662:1 gene:Potri.002G150100.v4.1 transcript:Potri.002G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150100.v4.1 MEYLFYLLLISFCWACLHVLNASVLLRRKSGCTVLPPGPRQLPIIGNILALGDKPHRTLAKLSQTYGPLMTLKLGRITTIVISSPNIAKEALQKHDQALSSRTVPDALHVQYYNYHKNSMIWLPASTQWKFLRKLTATQMFTSQRLDASRALRGKKVQELLEYVHEKCNNGHAVDVGRSVFTTVLNLISNTFFSLDVTNYNSDLSQEFSNLVVGFLEQIGKPNIADYFPILRLVDPQGIRRKTNNYLKRLTQIFDSIINERTRLRSSSVASKASHDVLDALLILAKENNTELSSTDIQVLLIDFFIAGTDTTSSTVEWAMTELLLNPDKMVKAKNELQQVEGPVQESDISKCPYLQAIVKETFRLHPPSPFLPRKAVSEVEMQGFTVPKNAQVLITIWAIGRDPAIWPEPNSFKPERFLECQADVKGRDFELIPFGAGRRICPGLPLGHKMVHLTLASLIHSFDWKIADDLTPEDIDMSETFGFTLHKSEPLRAIPMKT >Potri.002G233500.1.v4.1 pep chromosome:Pop_tri_v4:2:22639717:22646755:1 gene:Potri.002G233500.v4.1 transcript:Potri.002G233500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233500.v4.1 MANLTPTMARSFLQVAATEEVALPLRVVQMEGLVVLKIIKHCKEFSPSLVTGQLLGLDVGSDLEITNCFPFPIREEDEEIEADGANYQLEMMRCLREVNVDNNTVGWYQSMLFGCFQTVELIETFMNYQENIKRCVCIIYDPSRANQGALALKALKLSDSFMDLYRNNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTGLEADTPVTQCDYDRLQLSTSPYLESNVEFLIECMDDLSVEQQKFQYHYRNLSRQQAQQQAWLQKRRSENMTRKAAGEEPLPEEDPSNPIFKPIPEPSRLGSFLITNQMANYCNQINGVSGQSFSRLYLMKALHKD >Potri.007G075066.1.v4.1 pep chromosome:Pop_tri_v4:7:9932452:9933012:-1 gene:Potri.007G075066.v4.1 transcript:Potri.007G075066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075066.v4.1 MVGSLQTNESALPHQRKSKSIAFKSSREKHAYSSNDNLNNEDITLIAKKFRKFMFKKKKINKGEKVNDFIKRNESENESKIKTESREIVKCFECLGYGHLRNDCPNFKRNKGKALNVTLSDESNSENFNSSSDNEFAFVALSDTFNGFIDMKQTIEISCDSYIDQIVSNYSDLDITLVIANHELSF >Potri.013G066680.1.v4.1 pep chromosome:Pop_tri_v4:13:5013185:5013871:1 gene:Potri.013G066680.v4.1 transcript:Potri.013G066680.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066680.v4.1 MDTIIIRVRATKRLRSYPFGSLMTRLAARLVVVVDWDSLEVIDPEDVGEEMFACSDYRPLRFHRGLCYFGDRGGPEQITSVAARLSRERASNEWKAGLVTSTCNHNRIPYFQLEYIENNNQTTIDLQEPLTHTGKTRRELEWKAYKAYTKKFYGNGSRPGDSVPKKPEIEKRKTLGLLVLHPTRKDKAFSFHSSKLHLFRKSPPSYPSIRAKSYSHISSSCSDISLPL >Potri.018G096300.2.v4.1 pep chromosome:Pop_tri_v4:18:11722197:11727339:1 gene:Potri.018G096300.v4.1 transcript:Potri.018G096300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096300.v4.1 MAIPKQKFTLPFFTLLLVNLWSNQMVIMAMESIPIGVVLDLNSTVGEMAESCMSMAVSDFYALNVDFKTRLALFTRDSSSDVVAATSSVLDLMKNDQVHAIIGPQKSSQAKFVIELGGKAEVPIVSFSATSPTLSATQSKYFVRTAQDDSSQVKAISSIVQAYGWREIVPIYEDTEYGNGLVPFLLDAFQEIDTRVPYGSRIPLYFNDTQIMSELHKLKEMKKSIFLVHMSASLGSRLFLLAKDAGMMSEGYAWLVTAGLSALLDPLGSEVMDSMQGVLGIKPHIPSSKKLESFKSRWRKKFTISKPQSKINELNLFGLWAYDTVWAIAMAVEKAGIVHSRYVKPNTSESTVDIAALGKSETGPRLLSSILSTRFQGLGGDFHLAGGERVPSAFEILNLIGKAERVIGYWTPERGLSRNLYTNGKIAYSTSKNKLKEPIWPGDTTQQPKRLRIGVPLKTGFNEFIKVEWNPEDDKPIVSGFTRDVFVSVVEALPFPLPYEFIPFVNKNKQSAGTYNDLLEQIKLKNFDAAVGDITIIANRSTYVDFTLPFSESGITMVVLTKRDERENMWIFLKPLSLELWLTTGIAFILTGLVVWVLEHRENKVFRGKPAQQLGTTLWFSFSTLFFAHREKVVNNWTRFVLIIWIFVVLIISQSYTASLASILTVKRLQPTFVDVKEIRKNGYFVGHQKDSFVKDFLVKQLKFNDTMLREYSTPEEYHDALSRGTHNGGVAAIFAGIPYVKLFLAKYSSKFQMAFPLGSPLVPYISRAILNVTQDKDKMDEIERRNFGGETTCSDQPSMEPSGGLGLPSFGGLFIITGVASMSALLIYVTKFLYIHWPASNTMDQERSFYLRVLELAKHFDKEDPSAHHLNGAGSRVHAVPSVEIVGASPDIDDARSHSRTSSEGSGDIIGDQDHDNHTPRNSAANPEPPHTP >Potri.018G096300.3.v4.1 pep chromosome:Pop_tri_v4:18:11722197:11727339:1 gene:Potri.018G096300.v4.1 transcript:Potri.018G096300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096300.v4.1 MAIPKQKFTLPFFTLLLVNLWSNQMVIMAMESIPIGVVLDLNSTVGEMAESCMSMAVSDFYALNVDFKTRLALFTRDSSSDVVAATSSVLDLMKNDQVHAIIGPQKSSQAKFVIELGGKAEVPIVSFSATSPTLSATQSKYFVRTAQDDSSQVKAISSIVQAYGWREIVPIYEDTEYGNGLVPFLLDAFQEIDTRVPYGSRIPLYFNDTQIMSELHKLKEMKKSIFLVHMSASLGSRLFLLAKDAGMMSEGYAWLVTAGLSALLDPLGSEVMDSMQGVLGIKPHIPSSKKLESFKSRWRKKFTISKPQSKINELNLFGLWAYDTVWAIAMAVEKAGIVHSRYVKPNTSESTVDIAALGKSETGPRLLSSILSTRFQGLGGDFHLAGGERVPSAFEILNLIGKAERVIGYWTPERGLSRNLYTNGKIAYSTSKNKLKEPIWPGDTTQQPKRLRIGVPLKTGFNEFIKVEWNPEDDKPIVSGFTRDVFVSVVEALPFPLPYEFIPFVNKNKQSAGTYNDLLEQIKLKNFDAAVGDITIIANRSTYVDFTLPFSESGITMVVLTKRDERENMWIFLKPLSLELWLTTGIAFILTGLVVWVLEHRENKVFRGKPAQQLGTTLWFSFSTLFFAHREKVVNNWTRFVLIIWIFVVLIISQSYTASLASILTVKRLQPTFVDVKEIRKNGYFVGHQKDSFVKDFLVKQLKFNDTMLREYSTPEEYHDALSRGTHNGGVAAIFAGIPYVKLFLAKYSSKFQMVGQPYKNDGFGFVSLSFPVCLSHAIPQYIFKEYLFGRR >Potri.018G096300.1.v4.1 pep chromosome:Pop_tri_v4:18:11722197:11727339:1 gene:Potri.018G096300.v4.1 transcript:Potri.018G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096300.v4.1 MAIPKQKFTLPFFTLLLVNLWSNQMVIMAMESIPIGVVLDLNSTVGEMAESCMSMAVSDFYALNVDFKTRLALFTRDSSSDVVAATSSVLDLMKNDQVHAIIGPQKSSQAKFVIELGGKAEVPIVSFSATSPTLSATQSKYFVRTAQDDSSQVKAISSIVQAYGWREIVPIYEDTEYGNGLVPFLLDAFQEIDTRVPYGSRIPLYFNDTQIMSELHKLKEMKKSIFLVHMSASLGSRLFLLAKDAGMMSEGYAWLVTAGLSALLDPLGSEVMDSMQGVLGIKPHIPSSKKLESFKSRWRKKFTISKPQSKINELNLFGLWAYDTVWAIAMAVEKAGIVHSRYVKPNTSESTVDIAALGKSETGPRLLSSILSTRFQGLGGDFHLAGGERVPSAFEILNLIGKAERVIGYWTPERGLSRNLYTNGKIAYSTSKNKLKEPIWPGDTTQQPKRLRIGVPLKTGFNEFIKVEWNPEDDKPIVSGFTRDVFVSVVEALPFPLPYEFIPFVNKNKQSAGTYNDLLEQIKLKNFDAAVGDITIIANRSTYVDFTLPFSESGITMVVLTKRDERENMWIFLKPLSLELWLTTGIAFILTGLVVWVLEHRENKVFRGKPAQQLGTTLWFSFSTLFFAHREKVVNNWTRFVLIIWIFVVLIISQSYTASLASILTVKRLQPTFVDVKEIRKNGYFVGHQKDSFVKDFLVKQLKFNDTMLREYSTPEEYHDALSRGTHNGGVAAIFAGIPYVKLFLAKYSSKFQMVGQPYKNDGFGFAFPLGSPLVPYISRAILNVTQDKDKMDEIERRNFGGETTCSDQPSMEPSGGLGLPSFGGLFIITGVASMSALLIYVTKFLYIHWPASNTMDQERSFYLRVLELAKHFDKEDPSAHHLNGAGSRVHAVPSVEIVGASPDIDDARSHSRTSSEGSGDIIGDQDHDNHTPRNSAANPEPPHTP >Potri.018G096300.4.v4.1 pep chromosome:Pop_tri_v4:18:11722312:11727098:1 gene:Potri.018G096300.v4.1 transcript:Potri.018G096300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096300.v4.1 MAIPKQKFTLPFFTLLLVNLWSNQMVIMAMESIPIGVVLDLNSTVGEMAESCMSMAVSDFYALNVDFKTRLALFTRDSSSDVVAATSSVLDLMKNDQVHAIIGPQKSSQAKFVIELGGKAEVPIVSFSATSPTLSATQSKYFVRTAQDDSSQVKAISSIVQAYGWREIVPIYEDTEYGNGLVPFLLDAFQEIDTRVPYGSRIPLYFNDTQIMSELHKLKEMKKSIFLVHMSASLGSRLFLLAKDAGMMSEGYAWLVTAGLSALLDPLGSEVMDSMQGVLGIKPHIPSSKKLESFKSRWRKKFTISKPQSKINELNLFGLWAYDTVWAIAMAVEKAGIVHSRYVKPNTSESTVDIAALGKSETGPRLLSSILSTRFQGLGGDFHLAGGERVPSAFEILNLIGKAERVIGYWTPERGLSRNLYTNGKIAYSTSKNKLKEPIWPGDTTQQPKRLRIGVPLKTGFNEFIKVEWNPEDDKPIVSGFTRDVFVSVVEALPFPLPYEFIPFVNKNKQSAGTYNDLLEQIKLKNFDAAVGDITIIANRSTYVDFTLPFSESGITMVVLTKRDERENMWIFLKPLSLELWLTTGIAFILTGLVVWVLEHRENKVFRGKPAQQLGTTLWFSFSTLFFAHSNFSFDLITLIFPFLDFLTTASKFMLL >Potri.007G122700.5.v4.1 pep chromosome:Pop_tri_v4:7:13991568:13993241:1 gene:Potri.007G122700.v4.1 transcript:Potri.007G122700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122700.v4.1 MSEFDNAIPTAFDPFAEANAEDSGAGTKDYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >Potri.007G122700.4.v4.1 pep chromosome:Pop_tri_v4:7:13990103:13993804:1 gene:Potri.007G122700.v4.1 transcript:Potri.007G122700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122700.v4.1 MSEFDNAIPTAFDPFAEANAEDSGAGTKDYVHVRVQQRNGRKSLTTVQGLKKEYSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >Potri.001G109901.2.v4.1 pep chromosome:Pop_tri_v4:1:8859338:8861005:-1 gene:Potri.001G109901.v4.1 transcript:Potri.001G109901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109901.v4.1 MLQKSIEPPLMTVDIFKYCMKDLRTFSWDNKIEPIESLKKYSQNFSTTKLQKDQSQENDQLGVPQCFPTEPNKLSTSHFPSGNSNDNSIMSKGGLLNSSDMVRHCIFPKSCISSNVGKLEQPSLLYERCERSASSTPSQVPKTLSIHAAWAFLSLQSCGGSQH >Potri.010G055800.1.v4.1 pep chromosome:Pop_tri_v4:10:8664418:8666833:1 gene:Potri.010G055800.v4.1 transcript:Potri.010G055800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055800.v4.1 MPSNESQNDEAAREKAINDWLPVTSSRNAKWWYSTFHNVTAMVGAGVLSLPYAMAQLGWGPGVAILFLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWIVVPQQLVVQVGVNIVYMVTGGKSLKKFHDLVCSDCKDIRLTYFIMIFASLQFVLAHLPNLNSISVISLAAAVMSLSYSTIAWGATLNKGVQPDVDYSYKASTKTGAVFDFFSALGDIAFAYAGHNVILEIQATIPSTPEKPSKKPMWRGAFLAYVVVAICYFPVALIGYWFFGNSVEDNILISLEKPAWLIATANMFVVIHVIGSYQIYAMAVFDMLETALVKKLHFSPSFMLRFVTRTVYVGFTMIVGICIPFFGGLLSFFGGFAFAPTTYFLPCIMWLAIYKPKKFSFSWIANWVCIVLGILLMILSPIGALRHIILTAKDYEFFS >Potri.011G103301.1.v4.1 pep chromosome:Pop_tri_v4:11:13135020:13136839:1 gene:Potri.011G103301.v4.1 transcript:Potri.011G103301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103301.v4.1 MAFYLAKKCDPLSLSLSLSLFQSKCLEKQQILGHGTHLSNNSSKLQLHLSSASLSSSQPLYTRRREEKLVPSGTSDKIGTIQRRLAWPLRKDDTHKSRNGPNFFVFFSLCSSACLLQWLPFSSGFFRSTHIVILFYVQWQRGCSLSLKALQHVERRY >Potri.002G023950.1.v4.1 pep chromosome:Pop_tri_v4:2:1492513:1493931:-1 gene:Potri.002G023950.v4.1 transcript:Potri.002G023950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023950.v4.1 MNMSFQSAQLSSYFSLLFYCAFCFWTSIYLVLILLWKMTVLLQGVSINNCIRVMSLVRKSSPNLSGRCNLSRSLDCCFKTCSCITCMVSFLSFLGKRKSRICQLTLVIKYSG >Potri.011G032200.1.v4.1 pep chromosome:Pop_tri_v4:11:2603485:2609104:1 gene:Potri.011G032200.v4.1 transcript:Potri.011G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032200.v4.1 MLEKIGLPAKPSIRGNNWVVDASHCQGCSSQFTFINRKHHCRRCGGLFCGNCTQQRMVLRGQGDSPVRICDPCKTLEEAARFEMRYGHKNRAAKGSSRMTSKNEDDILNQILDNDGKESSSSGQQFNTDLVSSIQRASSSASYSNTKQVTALDGGGDISRSHSVDEHNHVNSEVGSATPEELRQQALDEKKRYKILKGEGKSKEALKAFKRGKELERQADALELSIRKNRRKGLSSGNTVEIQNEDGIKESVRKSKCLAHVNEKDDLTAELRGLGWSDMDLHEKDKNPVKMSLEGELSSLLGEISGRTNKDMGNSGIDKTQVVELKRKALALKREGKLGEAKEELKKAKVLEKQLEEQELLGVDEESDDEISALIRSMDNDPEDKLLAEGVPDHGFNFDHLVGTSDDLGVDSNFEVTDEDLVDPELSATLKSLGWTDDSGSSETTATQSVPIDRETLQSEILSLKREALNHKRAGNVTEAMAHLKKAKLLERDLESLGGEVSSLIAHDPTIMKKGSPSQNTKEKNNVSSKPAPKSRLMIQKELLALKKKALALRREGRLDEADEELKKGKVLEQQLEEMENASIVKEKQARGGVKNPDLEYEHPVISGGPLIREEEEDVTDQDMHDPAYLSLLSNLGWKDDDDEHPNSSFNPPKEDDNTNILVTHSTSNISMKIPRRSKAEIQRELIGLKRKALTLRREGKTNEAEEVLTAAKSLEAEMEEMETPKKEIQTESSRLKDKIIRPVISAADEGDMDDITEKDMHDPSLISMLTNLGWKDDEDEAVTAQAKPSKQVSDSSVNSTNPSTIPFSSSISAARQRSKGEIQRELLGLKRKALALRRKGETEEAEELLKMANVLESQMEEPEGPKELLIDDSEDKKPHCSGSLINHEKQNNVKIALGTSEKFASAAGDPNEKVVESFVCSGRKESDTIAPLLRSPDIFNSVSFELNKGKHPSVGQLDLMGEIRSLSNSGINHGNDFIPPAHQSVNVMDLLTGDDWNSPQIPAGKLEDKVNFGSDASCLPEHHVHVGSLGSHTVRGKDEEISSVSDISLSSEPHGHVHAPKNFGSKENARTELSEETVNVGKKPHVDETDSVQGLVSQDNKISLQQEVLARKRKAVALKREGKLGEAREELRQAKLLEKSLEVETPGPVGDSHDGSTSASNAPSAQQKDPSAPNLAPKPLSGRDRFKLQQESLSHKRQALKLRREGRVEEAEAEFELAKALEAQLDEMSSANVAEPVDDVVVEDLLDPQLLSALKAIGIEDTSTISQGSERPGPVKVSPTKSESNSQERIQLEERIKAEKVKAVNLKRAGKQAEALDALRRSKLFEKKLNSLA >Potri.016G021400.3.v4.1 pep chromosome:Pop_tri_v4:16:1146865:1152055:1 gene:Potri.016G021400.v4.1 transcript:Potri.016G021400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021400.v4.1 MKPRQEQEEDEERLRQRKLEESLEIKSLRRIVSAYLNYPEAAEEDVKRYERSFRKLPPSHKALLSHYPLKFQSLRRCISINSYFIFNMLQAFEPPLDMSQDIDDSGELHFEWPPNDGNVCSHESTTARGSCSKLDEACCGESSNVMSKLAEGLTANEEVQIEGCHGSDTGSCLAGEENNKMTAECCGNHVSDSNGNVPSSPRDWLDPSFQLHVPLVDVDKVRCILRNIVRDWAAEGQKERDQCYKPILEELNSLFPSRCNKSPPTCLVPGAGFGRLALEISCLGFVSQGNEFSYYMMICSSFILNQTQNAGEWTIYPWIHSNCNSLSDSDQLRPVSFPDIHPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIVEYIEIISRILKDGGVWINLGPLLYHFADMYGQEDNRYFAAFWTMRKKSAAVEKHST >Potri.014G094800.1.v4.1 pep chromosome:Pop_tri_v4:14:6155151:6155644:-1 gene:Potri.014G094800.v4.1 transcript:Potri.014G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094800.v4.1 MAVCASFKAFIAVLAVVSLILAVVSPSVEAQSPAPAPAPTSDGTSIDQGIAYLLMLVALVLTYLIHPLDASSYTFF >Potri.001G473300.5.v4.1 pep chromosome:Pop_tri_v4:1:49656283:49661277:-1 gene:Potri.001G473300.v4.1 transcript:Potri.001G473300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G473300.v4.1 MGSCFSIMGKKKKKDTDDDPLSLSSSSITSTSHLWKKRSSSSATNANADPSVLLHIPGRLTTNGATKLGCLYTQQGKKGTNQDAMLFWENFSSTTNDTVFCGVFDGHGPYGHLVAKKVRDSLPLILSTHWNSAQHSCLPNAPPAAAAATTNSDEAVDDESFDSLEVDETEKQLPDMYLPLKKSLLKAFKLMDKELKLHPTIDCFCSGTTAVTLIKQGQDLVIGNVGDSRAVLATRDKDDSLLAVQLTVDLKPDLPREAARILQCKGRVFALQDEPEVPRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVYYRCLTERDEFIILASDGVWDVLSNKEAVDIVASAPGRATAARALVDCAVRAWRLKYPTSKNDDCAVVCLFLEHLCAANAEVEEQDKKKIPKEPGEHFVTNENVGQLETQDDSCGLVFTHSSTTQNSDEIVPVSELMVENPSVKCLGQSKRSLAECISTAEDEEWSALEGITRVNSLLSLPRLLAGDKRSASWRKWI >Potri.001G473300.4.v4.1 pep chromosome:Pop_tri_v4:1:49656190:49661364:-1 gene:Potri.001G473300.v4.1 transcript:Potri.001G473300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G473300.v4.1 MGSCFSIMGKKKKKDTDDDPLSLSSSSITSTSHLWKKRSSSSATNANADPSVLLHIPGRLTTNGATKLGCLYTQQGKKGTNQDAMLFWENFSSTTNDTVFCGVFDGHGPYGHLVAKKVRDSLPLILSTHWNSAQHSCLPNAPPAAAAATTNSDEAVDDESFDSLEVDETEKQLPDMYLPLKKSLLKAFKLMDKELKLHPTIDCFCSGTTAVTLIKQGQDLVIGNVGDSRAVLATRDKDDSLLAVQLTVDLKPDLPREAARILQCKGRVFALQDEPEVPRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVYYRCLTERDEFIILASDGVWDVLSNKEAVDIVASAPGRATAARALVDCAVRAWRLKYPTSKNDDCAVVCLFLEHLCAANAEVEEQDKKKIPKEPGEHFVTNENVGQLETQDDSCGLVFTHSSTTQNSDEIVPVSELMVENPSVKCLGQSKRSLAECISTAEDEEWSALEGITRVNSLLSLPRLLAGDKRSASWRKWI >Potri.001G473300.3.v4.1 pep chromosome:Pop_tri_v4:1:49656256:49661286:-1 gene:Potri.001G473300.v4.1 transcript:Potri.001G473300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G473300.v4.1 MGSCFSIMGKKKKKDTDDDPLSLSSSSITSTSHLWKKRSSSSATNANADPSVLLHIPGRLTTNGATKLGCLYTQQGKKGTNQDAMLFWENFSSTTNDTVFCGVFDGHGPYGHLVAKKVRDSLPLILSTHWNSAQHSCLPNAPPAAAAATTNSDEAVDDESFDSLEVDETEKQLPDMYLPLKKSLLKAFKLMDKELKLHPTIDCFCSGTTAVTLIKQGQDLVIGNVGDSRAVLATRDKDDSLLAVQLTVDLKPDLPREAARILQCKGRVFALQDEPEVPRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVYYRCLTERDEFIILASDGVWDVLSNKEAVDIVASAPGRATAARALVDCAVRAWRLKYPTSKNDDCAVVCLFLEHLCAANAEVEEQDKKKIPKEPGEHFVTNENVGQLETQDDSCGLVFTHSSTTQNSDEIVPVSELMVENPSVKCLGQSKRSLAECISTAEDEEWSALEGITRVNSLLSLPRLLAGDKRSASWRKWI >Potri.001G473300.6.v4.1 pep chromosome:Pop_tri_v4:1:49656188:49661274:-1 gene:Potri.001G473300.v4.1 transcript:Potri.001G473300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G473300.v4.1 MKKKDTDDDPLSLSSSSITSTSHLWKKRSSSSATNANADPSVLLHIPGRLTTNGATKLGCLYTQQGKKGTNQDAMLFWENFSSTTNDTVFCGVFDGHGPYGHLVAKKVRDSLPLILSTHWNSAQHSCLPNAPPAAAAATTNSDEAVDDESFDSLEVDETEKQLPDMYLPLKKSLLKAFKLMDKELKLHPTIDCFCSGTTAVTLIKQGQDLVIGNVGDSRAVLATRDKDDSLLAVQLTVDLKPDLPREAARILQCKGRVFALQDEPEVPRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDVYYRCLTERDEFIILASDGVWDVLSNKEAVDIVASAPGRATAARALVDCAVRAWRLKYPTSKNDDCAVVCLFLEHLCAANAEVEEQDKKKIPKEPGEHFVTNENVGQLETQDDSCGLVFTHSSTTQNSDEIVPVSELMVENPSVKCLGQSKRSLAECISTAEDEEWSALEGITRVNSLLSLPRLLAGDKRSASWRKWI >Potri.002G186900.2.v4.1 pep chromosome:Pop_tri_v4:2:14889967:14894542:-1 gene:Potri.002G186900.v4.1 transcript:Potri.002G186900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186900.v4.1 MRRSFTLVNVLLLLALFGDAPWAIKCSLLCEQGNLAVIRPHSVTITEFGAVGDGVTLNTKAFQNAIFYLNSFADKGGAKLFVPTGRWLTGSFDLISHLTLWLDKDAVILGSTNSDDWPVIDPLPSYGRGRELPGRRHKSLIYGRNLTDVIITGDNGTIDGQGSIWWDWFRNQTLNYTRPHLVEFMNTTGVVISNLTFINSPFWTIHPVYCSQVIVQNVTILAPLDSPNTDGIDPDSSDDVCVEDCYVSTGDDIIAIKSGWDEYGMSYGRPSKNITIRRLVGQTTSAGIAIGSEMSGGVSEVHAENLRFYNSTTGIRIKTSPGRGGYVRNIYISNMSLTDVKTAISFTGRYGEHPDEYYDPTALPLIERITVEDVAGQNVKYAGLLEGIEGDTFLDICLLNINLSVTSKSPWNCSYIQGYSDTVSPEICEPLRERIFPDHYSDCYCLSHHLQSSSNQNRGGAWLSW >Potri.011G102400.1.v4.1 pep chromosome:Pop_tri_v4:11:13015121:13015582:1 gene:Potri.011G102400.v4.1 transcript:Potri.011G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102400.v4.1 MNFPSSFLFILLLVSFSHLLTHSSLAIRKFEDTGMTRAKSSNTKVKVSASFEEGDQVQRKYLHEVHSGPNPISNSFPRQKWKTRLRRSP >Potri.011G102400.2.v4.1 pep chromosome:Pop_tri_v4:11:13015121:13015582:1 gene:Potri.011G102400.v4.1 transcript:Potri.011G102400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102400.v4.1 MNFPSSFLFILLLVSFSHLLTHSSLAIRKFEDTGMTRAKSSNTKVKSFEEGDQVQRKYLHEVHSGPNPISNSFPRQKWKTRLRRSP >Potri.002G216400.1.v4.1 pep chromosome:Pop_tri_v4:2:20330715:20334901:1 gene:Potri.002G216400.v4.1 transcript:Potri.002G216400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216400.v4.1 MEKAFNRQKVLLAHLQPTSPSPLLSASICAAGDSAAYHRTAAFGDDIVIVAAYRTAICKARRGGFKDTFPDDLLGFVLKAVVEKTNVNPSEVGDIVVGTVLAPGSERAIECRMAAFYAGFPDTVPLRTVNRQCSSGLQAVADVAASIKAGYYDIGIAAGLECMTVNGIRSVPQVNPRVEAFQQARDCLLPMGLTSENVAQRYGVTRQDQDQAAVDSHRKAAAARASGKFKDEIIPVTTKIVDPETGIQKVITISEDDGIRPNSNLAELSRLKPAFKKDGSTTAGNASQVSDGAGAVLLMKRSLAAQKGLPILGVFRSFAAVGVDPAVMGVGPAAAIPAAVQAAGLELNDIDLFEINEAFASQYVYCIKKLELDPEKVNVNGGAIALGHPLGATGARCVGTLLNEMKRRGKDSRFGVISMCIGSGMGAAAVFERGDCVDALCNVRKVGSNDLLSKDAR >Potri.005G001500.1.v4.1 pep chromosome:Pop_tri_v4:5:178357:182641:1 gene:Potri.005G001500.v4.1 transcript:Potri.005G001500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001500.v4.1 MKFFSFWAAAVAVCLFGVWVVDGFLEMNEEEIFYTEANASYYIESKAYNPNNALLVGLTLIKSAAAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNSVRACVYRKTTRRGSSNYMEKQLAFTGILSNKAVENPDFFNWNRVKLRYCDGASFTGDSEHKAAQLQFRGQRIWSAAMEDLMSKGMRYANQALLSGCSAGGLASILHCDEFRNFFPRKTRVKCLSDAGLFLDAVDVSGGRTLRNLYGGVVGLQGVQNNLPRICINHLDPTSCFFPQNVIGNVKTPLFILNAAYDSWQIQSSLAPPSADPAGYWSNCRKDHSKCSASQIQFLQGFRNQMLNAIKGFSRSRQNGLFINSCFAHCQSERQDTWFADNSPVLGNKPIALAVGDWYFDRSGEKAIDCPYPCDSSCHNLVFR >Potri.010G173200.1.v4.1 pep chromosome:Pop_tri_v4:10:17416463:17425700:-1 gene:Potri.010G173200.v4.1 transcript:Potri.010G173200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173200.v4.1 MVAAKGKFSVGINRVAEDAHTSRTRVRTFKVYSENEKMKDAASIKSARDNPLPARRISNKEDSLAITSDSKGSSKIVVQNKGKHDTSRLTVNQNARRKALADVSNVQSSCARNAAYGGLKSVISGGTGSRTVNLSSRKSATGKMKDDTSRKAGDFHASRKVGDKDPRASLDDQKTNTKNHDREFGINKSRRNAGNLVAAMRKSLPVLKRVSHADASNAKENDENFEKTKNISGFPVKVKVGKKVVSQGGVGRSHLWRNRVSDGFILMAPRDQINADARVSRKSVRPILKTEINHKTSRSKCISSSNKSECIAAISSKKKESAASCPENMPLVAHEEVTQGEPSPDSNNKSGADNKSNVITIRKSSRRRSYTSLLMTGSKLLEEHGEVIEQEKLPSIDDTFNQLEVAEYVDEIYEYYWVLEVQNLCLENYMAIHTEITPQMRGIVINWLIEVHFKFELMPETLYLMVTLLDRYLSQVEIKKSELQLVGLTALLLASKYEDFWHPRIKDLISISAESYTRGQMLVMEKFFLKKLKFRLNEPTPYVFMLRFLKAAQTDQKLEHLAFYLIELCLVEYKALKFKPSMLCASAIYVARSTLQVSPAWTPLLTRHTHYQVSQIRDCAEMILRFQKAARTSQLRVTYEKYMRPDLSGVAAIKPLNELPL >Potri.010G173200.7.v4.1 pep chromosome:Pop_tri_v4:10:17416460:17425561:-1 gene:Potri.010G173200.v4.1 transcript:Potri.010G173200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173200.v4.1 MVAAKGKFSVGINRVAEDAHTSRTRVRTFKVYSENEKMKDAASIKSARDNPLPARRISNKEDSLAITSDSKLPLTCNMKGSSKIVVQNKGKHDTSRLTVNQNARRKALADVSNVQSSCARNAAYGGLKSVISGGTGSRTVNLSSRKSATGKMKDDTSRKAGDFHASRKGDKDPRASLDDQKTNTKNHDREFGINKSRRNAGNLVAAMRKSLPVLKRVSHADASNAKENDENFEKTKNISGFPVKVKVGKKVVSQGGVGRSHLWRNRVSDGFILMAPRDQINADARVSRKSVRPILKTEINHKTSRSKCISSSNKSECIAAISSKKKESAASCPENMPLVAHEEVTQGEPSPDSNNKSGADNKSNVITIRKSSRRRSYTSLLMTGSKLLEEHGEVIEQEKLPSIDDTFNQLEVAEYVDEIYEYYWVLEVQNLCLENYMAIHTEITPQMRGIVINWLIEVHFKFELMPETLYLMVTLLDRYLSQVEIKKSELQLVGLTALLLASKYEDFWHPRIKDLISISAESYTRGQMLVMEKFFLKKLKFRLNEPTPYVFMLRFLKAAQTDQKLEHLAFYLIELCLVEYKALKFKPSMLCASAIYVARSTLQVSPAWTPLLTRHTHYQVSQIRDCAEMILRFQKAARTSQLRVTYEKYMRPDLSGVAAIKPLNELPL >Potri.010G173200.8.v4.1 pep chromosome:Pop_tri_v4:10:17416459:17425693:-1 gene:Potri.010G173200.v4.1 transcript:Potri.010G173200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173200.v4.1 MVAAKGKFSVGINRVAEDAHTSRTRVRTFKVYSENEKMKDAASIKSARDNPLPARRISNKEDSLAITSDSKGSSKIVVQNKGKHDTSRLTVNQNARRKALADVSNVQSSCARNAAYGGLKSVISGGTGSRTVNLSSRKSATGKMKDDTSRKAGDFHASRKGDKDPRASLDDQKTNTKNHDREFGINKSRRNAGNLVAAMRKSLPVLKRVSHADASNAKENDENFEKTKNISGFPVKVKVGKKVVSQGGVGRSHLWRNRVSDGFILMAPRDQINADARVSRKSVRPILKTEINHKTSRSKCISSSNKSECIAAISSKKKESAASCPENMPLVAHEEVTQGEPSPDSNNKSGADNKSNVITIRKSSRRRSYTSLLMTGSKLLEEHGEVIEQEKLPSIDDTFNQLEVAEYVDEIYEYYWVLEVQNLCLENYMAIHTEITPQMRGIVINWLIEVHFKFELMPETLYLMVTLLDRYLSQVEIKKSELQLVGLTALLLASKYEDFWHPRIKDLISISAESYTRGQMLVMEKFFLKKLKFRLNEPTPYVFMLRFLKAAQTDQKLEHLAFYLIELCLVEYKALKFKPSMLCASAIYVARSTLQVSPAWTPLLTRHTHYQVSQIRDCAEMILRFQKAARTSQLRVTYEKYMRPDLSGVAAIKPLNELPL >Potri.010G173200.6.v4.1 pep chromosome:Pop_tri_v4:10:17416460:17425561:-1 gene:Potri.010G173200.v4.1 transcript:Potri.010G173200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173200.v4.1 MVAAKGKFSVGINRVAEDAHTSRTRVRTFKVYSENEKMKDAASIKSARDNPLPARRISNKEDSLAITSDSKLPLTCNMKGSSKIVVQNKGKHDTSRLTVNQNARRKALADVSNVQSSCARNAAYGGLKSVISGGTGSRTVNLSSRKSATGKMKDDTSRKAGDFHASRKVGDKDPRASLDDQKTNTKNHDREFGINKSRRNAGNLVAAMRKSLPVLKRVSHADASNAKENDENFEKTKNISGFPVKVKVGKKVVSQGGVGRSHLWRNRVSDGFILMAPRDQINADARVSRKSVRPILKTEINHKTSRSKCISSSNKSECIAAISSKKKESAASCPENMPLVAHEEVTQGEPSPDSNNKSGADNKSNVITIRKSSRRRSYTSLLMTGSKLLEEHGEVIEQEKLPSIDDTFNQLEVAEYVDEIYEYYWVLEVQNLCLENYMAIHTEITPQMRGIVINWLIEVHFKFELMPETLYLMVTLLDRYLSQVEIKKSELQLVGLTALLLASKYEDFWHPRIKDLISISAESYTRGQMLVMEKFFLKKLKFRLNEPTPYVFMLRFLKAAQTDQKLEHLAFYLIELCLVEYKALKFKPSMLCASAIYVARSTLQVSPAWTPLLTRHTHYQVSQIRDCAEMILRFQKAARTSQLRVTYEKYMRPDLSGVAAIKPLNELPL >Potri.010G121350.2.v4.1 pep chromosome:Pop_tri_v4:10:13929308:13932117:1 gene:Potri.010G121350.v4.1 transcript:Potri.010G121350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121350.v4.1 MEAFLRNYGTLAPKRYSYSELKKMTKNFKEKLGQGGYGSVFKGNLLDGRLVAVKVLKKSKSNGEEFVNEVSSIGMTSHVNIVTLLGFCFEGPKRALIYEFMSNGSLDKHIYEENLSKAQPKLGWETLYQIAVGIARGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKICPGKESIVSMLGARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMLVLEMIGGRKNFRVGVDNTSEIYFPHWIYKRLEIGEELQLRGAGNEVEEENARKMILASLWCIQTDPSNRPPMSRVVDMLQGSLESLPIPPKPYLSSPPRPPHGSNSNSNS >Potri.010G121350.1.v4.1 pep chromosome:Pop_tri_v4:10:13929318:13932181:1 gene:Potri.010G121350.v4.1 transcript:Potri.010G121350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121350.v4.1 MAPVPLLVFFALFHPLLICASKEDGWAFKKCPPFNCGKLGEIRFPFTNNTSPEICGPYVVDGCNDDSQRIQLVRGGKWFELHNISQAGTMSITDKDLRGHLNSNSCDSFNNLSLPPSLPYFSIQEISTQTLFKCDPSVNFPHRLELNYTGCNNFSIYYTRNTSLPSPPPTCSILQLPVNNTESYGDIFRLLTATFSIEVSVLPLCYHCYLQRGECKINKGNLKCIHSIEESKKLRLNLGLAVGIGVLIITICCVIIRKYSSIHFLSCWRKTRGSQSMEAFLRNYGTLAPKRYSYSELKKMTKNFKEKLGQGGYGSVFKGNLLDGRLVAVKVLKKSKSNGEEFVNEVSSIGMTSHVNIVTLLGFCFEGPKRALIYEFMSNGSLDKHIYEENLSKAQPKLGWETLYQIAVGIARGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKICPGKESIVSMLGARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMLVLEMIGGRKNFRVGVDNTSEIYFPHWIYKRLEIGEELQLRGAGNEVEEENARKMILASLWCIQTDPSNRPPMSRVVDMLQGSLESLPIPPKPYLSSPPRPPHGSNSNSNS >Potri.009G060600.14.v4.1 pep chromosome:Pop_tri_v4:9:6270503:6276096:-1 gene:Potri.009G060600.v4.1 transcript:Potri.009G060600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060600.v4.1 MMPAKSEKEDRRLDHSGPSPFQTNIYSQPWWRDVGNSSSLGDTASKLSSVEHLNGSLANAAIQSQVNTGLQKGAMVNKDMQTDVTSQSDESNEQEHHLKHIPSPTTVTMGGHLEPNSQMELVGHSIVLTSHPYTDPQYGGMFASYGAQAMVPQLYGMPHARMPLPLEMEEEPVYVNAKQFHGIMRRRQARAKAELEKKAVKVRKPYLHESRHQHALRRARGCGGRFLNTKKLDNSATNPTSEKGSGDLNSSGDLEEGKGSQASSNGHGNGHALSSRYHSSSHDGSFLGQQKETTHGNRVSNGAVSIH >Potri.009G060600.13.v4.1 pep chromosome:Pop_tri_v4:9:6270457:6276123:-1 gene:Potri.009G060600.v4.1 transcript:Potri.009G060600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060600.v4.1 MMPAKSEKEDRRLDHSGPSPFQTNIYSQPWWRDVGNSSSLGDTASKLSSVEHLNGSLANAAIQSQVNTGLQKGAMVNKDMQTDVTSQSDESNEQEHHLKHIPSPTTVTMGGHLEPNSQMELVGHSIVLTSHPYTDPQYGGMFASYGAQAMVPQLYGMPHARMPLPLEMEEEPVYVNAKQFHGIMRRRQARAKAELEKKAVKVRKPYLHESRHQHALRRARGCGGRFLNTKKLDNSATNPTSEKGSGDLNSSGDLEEGKGSQASSNGHGNGHALSSRYHSSSHDGSFLGQQKETTHGNRVSNGAVSIH >Potri.009G060600.11.v4.1 pep chromosome:Pop_tri_v4:9:6269045:6276096:-1 gene:Potri.009G060600.v4.1 transcript:Potri.009G060600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060600.v4.1 MMPAKSEKEDRRLDHSGPSPFQTNIYSQPWWRDVGNSSSLGDTASKLSSVEHLNGSLANAAIQSQVNTGLQKGAMVNKDMQTDVTSQSDESNEQEHHLKHIPSPTTVTMGGHLEPNSQMELVGHSIVLTSHPYTDPQYGGMFASYGAQAMVPQLYGMPHARMPLPLEMEEEPVYVNAKQFHGIMRRRQARAKAELEKKAVKVRKPYLHESRHQHALRRARGCGGRFLNTKKLDNSATNPTSEKGSGDLNSSGDLEEGKGSQASSNGHGNGHALSSRYHSSSHDGSFLGQQKETTHGNRVSNGAVSIH >Potri.009G060600.15.v4.1 pep chromosome:Pop_tri_v4:9:6270545:6276093:-1 gene:Potri.009G060600.v4.1 transcript:Potri.009G060600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060600.v4.1 MMPAKSEKEDRRLDHSGPSPFQTNIYSQPWWRDVGNSSSLGDTASKLSSVEHLNGSLANAAIQSQVNTGLQKGAMVNKDMQTDVTSQSDESNEQEHHLKHIPSPTTVTMGGHLEPNSQMELVGHSIVLTSHPYTDPQYGGMFASYGAQAMVPQLYGMPHARMPLPLEMEEEPVYVNAKQFHGIMRRRQARAKAELEKKAVKVRKPYLHESRHQHALRRARGCGGRFLNTKKLDNSATNPTSEKGSGDLNSSGDLEEGKGSQASSNGHGNGHALSSRYHSSSHDGSFLGQQKETTHGNRVSNGAVSIH >Potri.009G060600.12.v4.1 pep chromosome:Pop_tri_v4:9:6270431:6276123:-1 gene:Potri.009G060600.v4.1 transcript:Potri.009G060600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060600.v4.1 MMPAKSEKEDRRLDHSGPSPFQTNIYSQPWWRDVGNSSSLGDTASKLSSVEHLNGSLANAAIQSQVNTGLQKGAMVNKDMQTDVTSQSDESNEQEHHLKHIPSPTTVTMGGHLEPNSQMELVGHSIVLTSHPYTDPQYGGMFASYGAQAMVPQLYGMPHARMPLPLEMEEEPVYVNAKQFHGIMRRRQARAKAELEKKAVKVRKPYLHESRHQHALRRARGCGGRFLNTKKLDNSATNPTSEKGSGDLNSSGDLEEGKGSQASSNGHGNGHALSSRYHSSSHDGSFLGQQKETTHGNRVSNGAVSIH >Potri.019G054900.1.v4.1 pep chromosome:Pop_tri_v4:19:9278872:9285524:1 gene:Potri.019G054900.v4.1 transcript:Potri.019G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054900.v4.1 MDLRRLVALLMVLLVVQLGLVSFSLGNVVFKVQHKFAGRLRNLTEFKAHDARRHSRLLSSVDLPLGGNGHPAETGLYFAKIGLGNPSKDYYVQVDTGSDILWVNCIGCDKCPTKSDLGIKLTLYDPASSVSATRVSCDDDFCTSTYNGLLPDCKKELPCQYNVVYGDGSSTAGYFVSDAVQFERVTGNLQTGLSNGTVTFGCGAQQSGGLGTSGEALDGILGFGQANSSMISQLASAGKVKRAFAHCLDNVNGGGIFAIGELVSPKVNTTPMVPNQAHYNVYMKEIEVGGTVLELPTDVFDSGDRRGTIIDSGTTLAYLPEVVYDSMMNEIRSQQPGLSLHTVEEQFICFKYSGNVDDGFPDIKFHFKDSLTLTVYPHDYLFQISEDIWCFGWQNGGMQSKDGRDMTLLGDLVLSNKLVLYDIENQAIGWTEYNCSSSIKLKDDKSGSVYSVGAENLSSASPVLSGRIVTFLLLLFAMLHRFT >Potri.009G122500.2.v4.1 pep chromosome:Pop_tri_v4:9:10244915:10247177:1 gene:Potri.009G122500.v4.1 transcript:Potri.009G122500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G122500.v4.1 MAVAITSSSTTPHVLHHLLRPPPSQPPLLSYFLRPICTTTTTTKTILSKSDKTPSQKTNTILFSSLSSLPNSPLLFSLRSFPCFQKAHNHSLTLQELEEEDQREEEKGEGFIDNEIENGDKEDDIEGESDNLELEDSVVDVSGEGSTKEGLKRNGVKVPTLTVKEKKELASYAHGLGKKLKSQLIGKSGFTDNVATSFIETLEANELLKIKIHRTCPGELEDVVRRLEEATGSVVVGQIGRTVIIYRPSLTKMKAEEKRLQARRVYVRKAPKLMSVPLSRGEPRRFSGHGRRGSSRV >Potri.001G345800.2.v4.1 pep chromosome:Pop_tri_v4:1:35734121:35735123:1 gene:Potri.001G345800.v4.1 transcript:Potri.001G345800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345800.v4.1 MADRMQPHQVQVHGLKGQQQQGPSASKALAVLTMLPVGGGLLALAGITLVGTLIGLAVTTPLFILFSPVLIPAALVIGLAVTSFLASGAFGLTGSWSLSWVGRYIQEATQTMPDSLDQAKRRMQDMAGYVGQKTKEVGQEIQRKAHDGK >Potri.015G006900.2.v4.1 pep chromosome:Pop_tri_v4:15:418844:422069:1 gene:Potri.015G006900.v4.1 transcript:Potri.015G006900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006900.v4.1 MAISISTPTAYFSCFNSSNQPSLPSLPFKPLKLPPFWPWQKVKMGPLTVSPMGFGTWAWGNQLLWGYQESMDTDLQQTFNLAVENGINLFDTADSYGTGRLNGQSEKLLGKFIQEFPGKQMRNDIVIATKFAAYPWRLTPGLFVKACKASLERLQVEQIGIGQLHWSTANYAPPQELALWDGLVAMYEQGLVRAVGVSNYGPKQLIKIHDYLKARGVPLCSAQVQFSLLSMGEDQMEIKKVCDSLGIRLIAYSPLGLGMLTGKYTSSRLPLGPRAFLFRQILPGLEPLLNSLGEIAQRRGKTLSQVAINWCICKGAIPIPGIKTVKQAEENLGALGWRLSSDELLQLEYAASESPQKMIQNIFQTR >Potri.001G319000.7.v4.1 pep chromosome:Pop_tri_v4:1:32869962:32877105:1 gene:Potri.001G319000.v4.1 transcript:Potri.001G319000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319000.v4.1 MTDRNNSTTTTAAVTTTNTSAAKPIWMKQAEEAKLKSEAENTAAAKAAFDATFKVLSDKAEKAVDSDSEEEDAEKDLANKPVGPVDPGKCTAAGAGIAGGTACAPATFVVVTKDADGRKVPNGGAVIRVRVSPGVGVGGTEQEGAVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSASVSGAFPAFLGMTPGIASGASGGAILPGVGASLGEVCREYLYGRCAKMDCKLGHPPHSLLMTLLAPTTTMGTLSHAPMAPSAAAMAAAQAIVAAKALQAHAAQVQAQAQSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECAIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVEMAKSLPQKPLLNSSLASSSLPMMMQQAVAMQQMQFQQALIMQQTMTAQQAANKAASMKSATELAAARAAEISKKLKADGFVIGEEETKAETKSPSPPQARSRSKSRSPINYQRRLRSPSYSPPSRRNRDRRSRSPFRFRYHSRYNYGRRSYRDSRDIVDRMRMQDSDRSRGRHSPVSRRSRSRSASPRTRKSYRDDSGSPKRRLESSAQRSRKAADSGSRSPRSHGGRRLSRRNITDSKLRYKRHSRSRSKSVEESNDRVNEIQDKKSKQHERRSRSLSVELKHHGRRPSHRSSDEDESNHRSRSRSKSVEVKRHSYEKVGKTEDGRLKHRDRRSRSKSVDDRHCYKERGNESRDKKTKHRDRVQSRSISAESNHHRRSRSSPKGRDESKSKHRRHSRPISPEGKRRSNHRIDEKSKHCSRRRSVSAEGKHIRSPRSSEENKSKRRRHSRSKSAEHKRHSNDEEIKREENETRHEHTSDKTEDANEDENSFTDKEKCKDCDSKERVEDMVMGGKCVLNLSNEEPLLLKAVHPTAELE >Potri.001G319000.5.v4.1 pep chromosome:Pop_tri_v4:1:32870026:32877645:1 gene:Potri.001G319000.v4.1 transcript:Potri.001G319000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319000.v4.1 MTDRNNSTTTTAAVTTTNTSAAKPIWMKQAEEAKLKSEAENTAAAKAAFDATFKVLSDKAEKAVDSDSEEEDAEKDLANKPVGPVDPGKCTAAGAGIAGGTACAPATFVVVTKDADGRKVPNGGAVIRVRVSPGVGVGGTEQEGAVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSASVSGAFPAFLGMTPGIASGASGGAILPGVGASLGEVCREYLYGRCAKMDCKLGHPPHSLLMTLLAPTTTMGTLSHAPMAPSAAAMAAAQAIVAAKALQAHAAQVQAQAQSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECAIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVEMAKSLPQKPLLNSSLASSSLPMMMQQAVAMQQMQFQQALIMQQTMTAQQAANKAASMKSATELAAARAAEISKKLKADGFVIGEEETKAETKSPSPPQARSRSKSRSPINYQRRLRSPSYSPPSRRNRDRRSRSPFRFRYHSRYNYGRRSYRDSRDIVDRMRMQDSDRSRGRHSPVSRRSRSRSASPRTRKSYRDDSGSPKRRLESSAQRSRKAADSGSRSPRSHGGRRLSRRNITDSKLRYKRHSRSRSKSVEESNDRVNEIQDKKSKQHERRSRSLSVELKHHGRRPSHRSSDEDESNHRSRSRSKSVEVKRHSYEKVGKTEDGRLKHRDRRSRSKSVDDRHCYKERGNESRDKKTKHRDRVQSRSISAESNHHRRSRSSPKGRDESKSKHRRHSRPISPEGKRRSNHRIDEKSKHCSRRRSVSAEGKHIRSPRSSEENKSKRRRHSRSKSAEHKRHSNDEEIKREENETRHEHTSDKTEDANEDENSFTDKEKCKDCDSKERVEDMVMGGKCVLNLSNEEPLLLKAVHPTAELEW >Potri.001G319000.1.v4.1 pep chromosome:Pop_tri_v4:1:32870026:32878217:1 gene:Potri.001G319000.v4.1 transcript:Potri.001G319000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319000.v4.1 MTDRNNSTTTTAAVTTTNTSAAKPIWMKQAEEAKLKSEAENTAAAKAAFDATFKVLSDKAEKAVDSDSEEEDAEKDLANKPVGPVDPGKCTAAGAGIAGGTACAPATFVVVTKDADGRKVPNGGAVIRVRVSPGVGVGGTEQEGAVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSASVSGAFPAFLGMTPGIASGASGGAILPGVGASLGEVCREYLYGRCAKMDCKLGHPPHSLLMTLLAPTTTMGTLSHAPMAPSAAAMAAAQAIVAAKALQAHAAQVQAQAQSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECAIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVEMAKSLPQKPLLNSSLASSSLPMMMQQAVAMQQMQFQQALIMQQTMTAQQAANKAASMKSATELAAARAAEISKKLKADGFVIGEEETKAETKSPSPPQARSRSKSRSPINYQRRLRSPSYSPPSRRNRDRRSRSPFRFRYHSRYNYGRRSYRDSRDIVDRMRMQDSDRSRGRHSPVSRRSRSRSASPRTRKSYRDDSGSPKRRLESSAQRSRKAADSGSRSPRSHGGRRLSRRNITDSKLRYKRHSRSRSKSVEESNDRVNEIQDKKSKQHERRSRSLSVELKHHGRRPSHRSSDEDESNHRSRSRSKSVEVKRHSYEKVGKTEDGRLKHRDRRSRSKSVDDRHCYKERGNESRDKKTKHRDRVQSRSISAESNHHRRSRSSPKGRDESKSKHRRHSRPISPEGKRRSNHRIDEKSKHCSRRRSVSAEGKHIRSPRSSEENKSKRRRHSRSKSAEHKRHSNDEEIKREENETRHEHTSDKTEDANEDENSFTDKEKCKDCDSKERVEDMVMGGKCVLNLSNEEPLLLKAVHPTAELE >Potri.001G319000.8.v4.1 pep chromosome:Pop_tri_v4:1:32870026:32873315:1 gene:Potri.001G319000.v4.1 transcript:Potri.001G319000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319000.v4.1 MTDRNNSTTTTAAVTTTNTSAAKPIWMKQAEEAKLKSEAENTAAAKAAFDATFKVLSDKAEKAVDSDSEEEDAEKDLANKPVGPVDPGKCTAAGAGIAGGTACAPATFVVVTKDADGRKVPNGGAVIRVRVSPGVGVGGTEQEGAVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSASVSGAFPAFLGMTPGIASGASGGAILPGVGASLGEVCREYLYGRCAKMDCKLGHPPHSLLMTLLAPTTTMGTLSHAPMAPSAAAMAAAQAIVAAKALQAHAAQVQAQAQSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECAIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVEMAKSLPQKPLLNSSLASSSLPMMMQQAVAMQQMQFQQALIMQQTMTAQQAANKAASMKSATELAAARAAEISKKLKADGFVIGEEETKAETKYVTLSRFLLK >Potri.001G319000.6.v4.1 pep chromosome:Pop_tri_v4:1:32870024:32877666:1 gene:Potri.001G319000.v4.1 transcript:Potri.001G319000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319000.v4.1 MTDRNNSTTTTAAVTTTNTSAAKPIWMKQAEEAKLKSEAENTAAAKAAFDATFKVLSDKAEKAVDSDSEEEDAEKDLANKPVGPVDPGKCTAAGAGIAGGTACAPATFVVVTKDADGRKVPNGGAVIRVRVSPGVGVGGTEQEGAVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSASVSGAFPAFLGMTPGIASGASGGAILPGVGASLGEVCREYLYGRCAKMDCKLGHPPHSLLMTLLAPTTTMGTLSHAPMAPSAAAMAAAQAIVAAKALQAHAAQVQAQAQSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECAIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVEMAKSLPQKPLLNSSLASSSLPMMMQQAVAMQQMQFQQALIMQQTMTAQQAANKAASMKSATELAAARAAEISKKLKADGFVIGEEETKAETKSPSPPQARSRSKSRSPINYQRRLRSPSYSPPSRRNRDRRSRSPFRFRYHSRYNYGRRSYRDSRDIVDRMRMQDSDRSRGRHSPVSRRSRSRSASPRTRKSYRDDSGSPKRRLESSAQRSRKAADSGSRSPRSHGGRRLSRRNITDSKLRYKRHSRSRSKSVEESNDRVNEIQDKKSKQHERRSRSLSVELKHHGRRPSHRSSDEDESNHRSRSRSKSVEVKRHSYEKVGKTEDGRLKHRDRRSRSKSVDDRHCYKERGNESRDKKTKHRDRVQSRSISAESNHHRRSRSSPKGRDESKSKHRRHSRPISPEGKRRSNHRIDEKSKHCSRRRSVSAEGKHIRSPRSSEENKSKRRRHSRSKSAEHKRHSNDEEIKREENETRHEHTSDKTEDANEDENSFTDKEKCKDCDSKERVEDMVMGGKCVLNLSNEEPLLLKAVHPTAELE >Potri.008G150300.1.v4.1 pep chromosome:Pop_tri_v4:8:10279250:10280298:-1 gene:Potri.008G150300.v4.1 transcript:Potri.008G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150300.v4.1 MVSLETVQATSRSIDQASSPRISFSAEFLDDKNFISISPSPQAEKDKETERERARNAEFEFLSSKMSSQTMLTADELFYEGRLLPFWQMQHSEKLNKVSLKTKNAEEEGEVSKEEPRVWFVDDDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSSSSTSSSSSSLADIATKEEGKRGSGNGEKHVKRIKKGLERTRSASMRIRPMINVPICTQMKSSALPPLFPLKKGRLER >Potri.010G089500.1.v4.1 pep chromosome:Pop_tri_v4:10:11441014:11445775:-1 gene:Potri.010G089500.v4.1 transcript:Potri.010G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089500.v4.1 MGFCPVSEMFHKVMETFCMQPKTKAKGNEVEGRRKIKGTVVLMKKNVLDFHDIKASFLDRVHELLGKGVSMQLVSAVHQDPADSLRGKLGKVADVEKWVTTRTPLTAGETIFTITFEWDENMGLPGAIIIKNHHHSQLYLKTVTLEDVPGHGRVLFICNSWVYPSHRYKYNRVFFSNKAYLPCQTPEPLRLYREEELLNLRGHGKGELKEWDRVYDYDYYNDLGNPDKGEEYARPILGGTEEYPYPRRGRTGRRKTKTDPHTEKRLPLLSLDIYVPRDERFGHLKFSDFLAYALKSLVQILLPEIKSLCDKTINEFDTFEDVLNLYEGGIKLPNKPTLHKIRDHVPWEMLRELVRNDGERFLKFPKPDVIKADKSAWRTDEEFAREMLAGVNPVIISRLQEFPPASKLDPKAYGNQNSSIRKELIEENMNGLTVDQALKSNRLYILDHHDALIPYLRRINSTSTKTYASRTILLLQDDGTLKPLAIELSLPHPQGDHHGAVSKVLTPAEHGVEGSVWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFVIATNRQLSVIHPINKLLHPHFRDTMNINALARQILINADGVLEKTVFPAKYAMEMSSYVYKNWVFTEQALPADLIKRGVAVQDSSQPHGLRLLIEDYPYAVDGLQIWSAIETWVKEYCAFYYPTDDLIQGDSELQSWWTEIRNVGHGDKKDEPWWPEMQTLADVTQTCTVIIWIASALHAAVNFGQYPYAGYLPNRPTISRRFMPEPGTPEYDELAKNPDVAFLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTPEWTSDSELLAAFERFGRKLVEIENKIMDMNNDKRWKNRVGPVQVPYTLLFPNTTDYSREGGLTGKGIPNSVSI >Potri.010G089500.5.v4.1 pep chromosome:Pop_tri_v4:10:11440220:11445754:-1 gene:Potri.010G089500.v4.1 transcript:Potri.010G089500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089500.v4.1 MGFCPVSEMFHKVMETFCMQPKTKAKGNEVEGRRKIKGTVVLMKKNVLDFHDIKASFLDRVHELLGKGVSMQLVSAVHQDPDSLRGKLGKVADVEKWVTTRTPLTAGETIFTITFEWDENMGLPGAIIIKNHHHSQLYLKTVTLEDVPGHGRVLFICNSWVYPSHRYKYNRVFFSNKAYLPCQTPEPLRLYREEELLNLRGHGKGELKEWDRVYDYDYYNDLGNPDKGEEYARPILGGTEEYPYPRRGRTGRRKTKTDPHTEKRLPLLSLDIYVPRDERFGHLKFSDFLAYALKSLVQILLPEIKSLCDKTINEFDTFEDVLNLYEGGIKLPNKPTLHKIRDHVPWEMLRELVRNDGERFLKFPKPDVIKADKSAWRTDEEFAREMLAGVNPVIISRLQEFPPASKLDPKAYGNQNSSIRKELIEENMNGLTVDQALKSNRLYILDHHDALIPYLRRINSTSTKTYASRTILLLQDDGTLKPLAIELSLPHPQGDHHGAVSKVLTPAEHGVEGSVWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFVIATNRQLSVIHPINKLLHPHFRDTMNINALARQILINADGVLEKTVFPAKYAMEMSSYVYKNWVFTEQALPADLIKRGVAVQDSSQPHGLRLLIEDYPYAVDGLQIWSAIETWVKEYCAFYYPTDDLIQGDSELQSWWTEIRNVGHGDKKDEPWWPEMQTLADVTQTCTVIIWIASALHAAVNFGQYPYAGYLPNRPTISRRFMPEPGTPEYDELAKNPDVAFLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTPEWTSDSELLAAFERFGRKLVEIENKIMDMNNDKRWKNRVGPVQVPYTLLFPNTTDYSREGGLTELIGNERCSLSLATPDSRCLLSHWTHVTGVEY >Potri.010G089500.4.v4.1 pep chromosome:Pop_tri_v4:10:11440215:11445651:-1 gene:Potri.010G089500.v4.1 transcript:Potri.010G089500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089500.v4.1 MGFCPVSEMFHKVMETFCMQPKTKAKGNEVEGRRKIKGTVVLMKKNVLDFHDIKASFLDRVHELLGKGVSMQLVSAVHQDPDSLRGKLGKVADVEKWVTTRTPLTAGETIFTITFEWDENMGLPGAIIIKNHHHSQLYLKTVTLEDVPGHGRVLFICNSWVYPSHRYKYNRVFFSNKAYLPCQTPEPLRLYREEELLNLRGHGKGELKEWDRVYDYDYYNDLGNPDKGEEYARPILGGTEEYPYPRRGRTGRRKTKTDPHTEKRLPLLSLDIYVPRDERFGHLKFSDFLAYALKSLVQILLPEIKSLCDKTINEFDTFEDVLNLYEGGIKLPNKPTLHKIRDHVPWEMLRELVRNDGERFLKFPKPDVIKADKSAWRTDEEFAREMLAGVNPVIISRLQEFPPASKLDPKAYGNQNSSIRKELIEENMNGLTVDQALKSNRLYILDHHDALIPYLRRINSTSTKTYASRTILLLQDDGTLKPLAIELSLPHPQGDHHGAVSKVLTPAEHGVEGSVWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFVIATNRQLSVIHPINKLLHPHFRDTMNINALARQILINADGVLEKTVFPAKYAMEMSSYVYKNWVFTEQALPADLIKRGVAVQDSSQPHGLRLLIEDYPYAVDGLQIWSAIETWVKEYCAFYYPTDDLIQGDSELQSWWTEIRNVGHGDKKDEPWWPEMQTLADVTQTCTVIIWIASALHAAVNFGQYPYAGYLPNRPTISRRFMPEPGTPEYDELAKNPDVAFLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTPEWTSDSELLAAFERFGRKLVEIENKIMDMNNDKRWKNRVGPVQVPYTLLFPNTTDYSREGGLTGKGIPNKLIGNERCSLSLATPDSRCLLSHWTHVTGVEY >Potri.010G089500.3.v4.1 pep chromosome:Pop_tri_v4:10:11440236:11445754:-1 gene:Potri.010G089500.v4.1 transcript:Potri.010G089500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089500.v4.1 MGFCPVSEMFHKVMETFCMQPKTKAKGNEVEGRRKIKGTVVLMKKNVLDFHDIKASFLDRVHELLGKGVSMQLVSAVHQDPADSLRGKLGKVADVEKWVTTRTPLTAGETIFTITFEWDENMGLPGAIIIKNHHHSQLYLKTVTLEDVPGHGRVLFICNSWVYPSHRYKYNRVFFSNKAYLPCQTPEPLRLYREEELLNLRGHGKGELKEWDRVYDYDYYNDLGNPDKGEEYARPILGGTEEYPYPRRGRTGRRKTKTDPHTEKRLPLLSLDIYVPRDERFGHLKFSDFLAYALKSLVQILLPEIKSLCDKTINEFDTFEDVLNLYEGGIKLPNKPTLHKIRDHVPWEMLRELVRNDGERFLKFPKPDVIKADKSAWRTDEEFAREMLAGVNPVIISRLQEFPPASKLDPKAYGNQNSSIRKELIEENMNGLTVDQALKSNRLYILDHHDALIPYLRRINSTSTKTYASRTILLLQDDGTLKPLAIELSLPHPQGDHHGAVSKVLTPAEHGVEGSVWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFVIATNRQLSVIHPINKLLHPHFRDTMNINALARQILINADGVLEKTVFPAKYAMEMSSYVYKNWVFTEQALPADLIKRGVAVQDSSQPHGLRLLIEDYPYAVDGLQIWSAIETWVKEYCAFYYPTDDLIQGDSELQSWWTEIRNVGHGDKKDEPWWPEMQTLADVTQTCTVIIWIASALHAAVNFGQYPYAGYLPNRPTISRRFMPEPGTPEYDELAKNPDVAFLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTPEWTSDSELLAAFERFGRKLVEIENKIMDMNNDKRWKNRVGPVQVPYTLLFPNTTDYSREGGLTELIGNERCSLSLATPDSRCLLSHWTHVTGVEY >Potri.010G089500.2.v4.1 pep chromosome:Pop_tri_v4:10:11440753:11445810:-1 gene:Potri.010G089500.v4.1 transcript:Potri.010G089500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089500.v4.1 MGFCPVSEMFHKVMETFCMQPKTKAKGNEVEGRRKIKGTVVLMKKNVLDFHDIKASFLDRVHELLGKGVSMQLVSAVHQDPDSLRGKLGKVADVEKWVTTRTPLTAGETIFTITFEWDENMGLPGAIIIKNHHHSQLYLKTVTLEDVPGHGRVLFICNSWVYPSHRYKYNRVFFSNKAYLPCQTPEPLRLYREEELLNLRGHGKGELKEWDRVYDYDYYNDLGNPDKGEEYARPILGGTEEYPYPRRGRTGRRKTKTDPHTEKRLPLLSLDIYVPRDERFGHLKFSDFLAYALKSLVQILLPEIKSLCDKTINEFDTFEDVLNLYEGGIKLPNKPTLHKIRDHVPWEMLRELVRNDGERFLKFPKPDVIKADKSAWRTDEEFAREMLAGVNPVIISRLQEFPPASKLDPKAYGNQNSSIRKELIEENMNGLTVDQALKSNRLYILDHHDALIPYLRRINSTSTKTYASRTILLLQDDGTLKPLAIELSLPHPQGDHHGAVSKVLTPAEHGVEGSVWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFVIATNRQLSVIHPINKLLHPHFRDTMNINALARQILINADGVLEKTVFPAKYAMEMSSYVYKNWVFTEQALPADLIKRGVAVQDSSQPHGLRLLIEDYPYAVDGLQIWSAIETWVKEYCAFYYPTDDLIQGDSELQSWWTEIRNVGHGDKKDEPWWPEMQTLADVTQTCTVIIWIASALHAAVNFGQYPYAGYLPNRPTISRRFMPEPGTPEYDELAKNPDVAFLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTPEWTSDSELLAAFERFGRKLVEIENKIMDMNNDKRWKNRVGPVQVPYTLLFPNTTDYSREGGLTGKGIPNSVSI >Potri.010G089500.6.v4.1 pep chromosome:Pop_tri_v4:10:11441186:11445798:-1 gene:Potri.010G089500.v4.1 transcript:Potri.010G089500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089500.v4.1 MLRELVRNDGERFLKFPKPDVIKADKSAWRTDEEFAREMLAGVNPVIISRLQEFPPASKLDPKAYGNQNSSIRKELIEENMNGLTVDQALKSNRLYILDHHDALIPYLRRINSTSTKTYASRTILLLQDDGTLKPLAIELSLPHPQGDHHGAVSKVLTPAEHGVEGSVWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFVIATNRQLSVIHPINKLLHPHFRDTMNINALARQILINADGVLEKTVFPAKYAMEMSSYVYKNWVFTEQALPADLIKRGVAVQDSSQPHGLRLLIEDYPYAVDGLQIWSAIETWVKEYCAFYYPTDDLIQGDSELQSWWTEIRNVGHGDKKDEPWWPEMQTLADVTQTCTVIIWIASALHAAVNFGQYPYAGYLPNRPTISRRFMPEPGTPEYDELAKNPDVAFLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTPEWTSDSELLAAFERFGRKLVEIENKIMDMNNDKRWKNRVGPVQVPYTLLFPNTTDYSREGGLTGKGIPNSVSI >Potri.001G044800.1.v4.1 pep chromosome:Pop_tri_v4:1:3226158:3227033:-1 gene:Potri.001G044800.v4.1 transcript:Potri.001G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044800.v4.1 MARFSGYYIFIVLLVFPVAWTVPRAEAKICYNIIPNIAPCNPGGCGRLCKFLHYEKGECSPMLPSGEIRCKCSWTCKLTNNLPHQFYKH >Potri.017G047500.2.v4.1 pep chromosome:Pop_tri_v4:17:3364027:3364909:1 gene:Potri.017G047500.v4.1 transcript:Potri.017G047500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047500.v4.1 MASVHYLLIIAFFISIPLSCTNVALATRHLLHSAKNVPPLPRPKLPPLPPNLTSTPTTPVPTLPSPAETFGPPTLSLVPPSISVLTPPNPPKTPPVTVEPPTLPSPTSMSPPKDETPPSTSSKSPPLPNPISHKLPTLPKFPPVKSWPMPNPKSLPTIPKFPHLKWPSLPPFHWIPKVPSASPSNQIHN >Potri.008G213223.1.v4.1 pep chromosome:Pop_tri_v4:8:17378971:17379737:-1 gene:Potri.008G213223.v4.1 transcript:Potri.008G213223.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213223.v4.1 MGVFTYESEASTVIPPARLFKALFVDAAEVMPKALPQAIKSIAILEGDGGPGTLKQTYFGDGSLSFKERTDAIDKENLSYAYTVFEGAVLANTYEKIFNESMIEASPDGGSVCKTSTTY >Potri.016G081700.1.v4.1 pep chromosome:Pop_tri_v4:16:6301257:6304499:1 gene:Potri.016G081700.v4.1 transcript:Potri.016G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G081700.v4.1 MPRYDDRYASTRLYVGHLAARTRSRDLEHLFSKYGRVRDVDMKRDYAFVEFSDPRDADDARHYLDGKDFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLTKRGRSYSRSPDRSPSPHRGRSRSPSYSRGRSYSRSRSPPKRERSAENENRSLSPEPKNTKARKRSPTPDEGSPRPSPKSRKMDDEQDREYSGSPRGRSRSPRGERYRSPPQTNGRSRSPSPRDDRSPVDDDYEDNNRSPRDSDVSR >Potri.006G232500.1.v4.1 pep chromosome:Pop_tri_v4:6:23534268:23535906:-1 gene:Potri.006G232500.v4.1 transcript:Potri.006G232500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232500.v4.1 MANLISSIKFTGFIYVFLLFLCPLCSLKKGYAVEANEHIKKYVHTLEVNSLLASDSCDQSSKVIDKASSLQVLHKYGPCMQVLNDRSHVEFLLQDQLRVDSIQARLSKNSGHGIFEEMVTKLPAPSGIAIGTGNYVVTVGLGTPKEDFTLVFDTGSGITWTQSSCNLLPTSERGCSASNSTCLYQVIYGDQSSSKGFFATETLTISSSDVFSNFLFGCGQSNNGLFGKAAGLLGLSSSSVSLPSQTAEKYQKQFSYCLPSSPSSTGYLNFGGKVSQTAGFTPISPAFSSFYGIDIVGISVGGSQLPIDPSIFTKSGAIIDSGTVITRLPPTAYKALKEAFDEKMSNYPKTNGDELLDTCYDFSNYTTVSFPKVSVSFKGGVEVDINASGILYLVNGLKMVCLAFAANKDDSKIGIFGNHQQKTYEVVYDGAKGMVGFAAGGCS >Potri.004G095475.2.v4.1 pep chromosome:Pop_tri_v4:4:8198268:8198459:1 gene:Potri.004G095475.v4.1 transcript:Potri.004G095475.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095475.v4.1 MLAPANMVLVFFLFNASCWWVFLLSLNGISFMGLVCFFEFMIFLCLVVFFLIYLLIGSLHWKQ >Potri.004G095475.1.v4.1 pep chromosome:Pop_tri_v4:4:8198094:8200460:1 gene:Potri.004G095475.v4.1 transcript:Potri.004G095475.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095475.v4.1 MLAPANMVNYGNFMHGLLKESFHLNRKVLSEKSMHEPYSFKALVDMSRNAFPGNKNVVHRPRKVDISSVNV >Potri.018G110600.1.v4.1 pep chromosome:Pop_tri_v4:18:12836738:12840551:-1 gene:Potri.018G110600.v4.1 transcript:Potri.018G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110600.v4.1 MIKNVLLVFLVIHATLANSESASKKWLTLNGRRPSVIARGGFSGLFPESSDFANQMALATSLSGVAVLCNLQLTKEGVGICQGDIRLDNTTNIAMVFEKGSRTYKVNGQDITGWFAIDFTADQLLANVSLLQNIFSRPSAFDAMLPISTVDDVRSSNPPAFWLNVQYDAFYTENKLSVANYIQKATRLQGVNYISSPEIGFLKKINGLVNKAKTKLVFVFLDKNATEPTTKQTYGSILGNLATIKAFASGIVVPKDYIWPVNAANYLEAPTSLVNDAHKLGLEVYASGFANDFTTSYNYSYDPSAEYLQFIDNSQFSVDGLITDFPPTASEAVACFAQYTDIKPTKEQALIISHNGASGVYAGSTDLAYQQAVEDGANIIDCSVQMSKDGVAFCLDSVDVTRDTTAAASFMSLSTTIPEIQESAGIFSFDLSWSDIQTLQPQLTSPFENKGGLPRNPANKSKGKFLTLAEFLELAKVKAVTGILINIENAAYLASQKGLDIVSAVNTAFGNATLGKESTQKVLIQSDDTSVLSKFKNVPAYSRVLYLKDEISDAPRTPVEEIKKYADAVTLPRFSIVPTVNGFTTGTTKVVNEMQAANISVYVSVLRNEFITLPFDYFSDPTIEIATYTSGIGVDGIITEYPATASRYLRNPCSSNSMPDSSYSIIPAEAGSLLKTVPEETQPPASTPTPALDVADVVDPPLPAVTKPASPPPASPPPATSPHSNALQNIANVGLSLVAIMAFTLLSLMCN >Potri.006G231300.1.v4.1 pep chromosome:Pop_tri_v4:6:23461943:23463936:-1 gene:Potri.006G231300.v4.1 transcript:Potri.006G231300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231300.v4.1 MAMSILQNPETITPQMPHGYRFHPDDQELVRFYLYKKITDPDLFTAINVPVRDCPLFGDQAREPWQIWDSFPKRHGEDLFFFTHLTKKGKNFVRKICRGPGTWHQECKHPPFNVSIDHTCQVAAIKKLFTYHNPKSDQNGSWFMFEYSLPSLSEQTVLCQLKRKEVHASEKVQTTSTTTKKRKRYADGLDGATNTMFQKPRVEEDAQKQQIMGFNGAAKIVSQVENQQLHLELEPVFDNGVQPDNFESFYCEPSAVLEYDLMDADSGITSTCNAATPTVFMLDPAENLQPSDDDDFHPEIFGLLDLSGYCDEEDGFPATWETAEASAYWVQATPTIDPSFTADAIDYYLERGVSDSSLTNCSGSFLQMQPEADPTSPIQENEIQMISNPFHMERYR >Potri.005G039800.1.v4.1 pep chromosome:Pop_tri_v4:5:2550286:2552042:-1 gene:Potri.005G039800.v4.1 transcript:Potri.005G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039800.v4.1 MALEAAVFQQDWFGHSSKELYNLLGGNWSYDFGLDQNEEDQDNSCSSYFLENQTETFLHEDWNSFQPPNSMVPHLNDLHLTCSNNIPSSSDASIDAANGLLSTAPPTGDHHHHLGDSSTMPATRVKRRRSRSKKNKEEIENQRMTHIAVERNRRKQMNEYLSVLRALMPESYVQRGDQASIIGGAINFVKELEQKMQVLGACKKMKENSDGDNQQHVSSLPFSEFFTFPQYSTSSIHFENSVGKNEKLHKTQSTIADIEVTMVESHANLKIRSKRRPKQLLKVVSGLHSMRLTVLHLNVTTVDQIVLYSLSVKVEDDCKLTSVDEIATAVYQMLGRIQEECVLNC >Potri.006G188800.1.v4.1 pep chromosome:Pop_tri_v4:6:19576126:19595947:-1 gene:Potri.006G188800.v4.1 transcript:Potri.006G188800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188800.v4.1 MSGGHVTGEHSSLSVGGTNARVVSSSIVGDGEESGSGLQKTEKDPRKMARKYQLELCKKALEENIIVYLGTGCGKTHIAVLLIYEMGHLIRQPQKSACVFLAPTVALVHQQAKVIEDSTDFKVGIYCGKSNRLKTHSSWEKEIEQYEVLVMTPQILLYNLSHSFIKMDLIALLIFDECHHAQVKSGHPYAQIMKVFYKNNDGKLPRIFGMTASPVVGKGASSRENLPRSINSLENLLDAKVYSVEDKEELECFVASPVIRVYLYGPVANGTSSSYEAYYNILEGVKRQCIVEIGKKTDGNQSLESLRSTKRMLIRMHENIIFCLENLGLWGALQACRILLSGDHSEWNALIEAEGNTSDVSMCDRYLNQATNVFAADCTRDGVTSNVSQVEVLKEPFFSRKLLRLIEILSNFRLQPDMKCIVFVNRIVTARSLSHILQNLKFLTSWKCDFLVGVHSGLKSMSRKTMNVILERFRTGKLNLLLATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYVFLVDSGNQKERDLIEKFKIDEARMNIEICDRTSRETFDSIEEKIYKVHATGASITSGLSISLLQQYCSKLPHDEYFDPKPKFFYFDDSEGTVCHIILPSNAPTHKIVGTPQSSIEVAKKDACLKAIEQLHKLGALSEFLLPQQEDTNELELVSSDSDNCEDKDSRGELREMLVPAVLKESWTELEKPIYLNSYYIEFCPVPEDRIYKQFGLFLKAPLPLEADKMSLELHLARGRSVMTKLVPSGLSKFSTDEITHATNFQELFLKAILDRSEFVHEYVPLGKDALSKSCPTFYLLLPVIFHVSERRVTVDWEIIRRCLSSPVFKNPANAVDKGILPSNDCLQLANGCSSIRDVENSLVYTPHQKKFYFITNIVPEKNGDSPCKGSNTRSHKDHLTTTFGIHLRYPEQPLLRAKQLFCLRNLLCNRKKEDSELQELDEHFVDLAPELCELKIIGFSKDIGSSISLLPSVMHRLENLLVAIELKCILSASFSEGDKVTAHRVLEALTTEKCQERLSLERLETLGDAFLKFAVGRHFFLLHDTLDEGELTRKRSNAVNNSNLFKLASRNNLQVFIRDQPFDPYQFFALGHPCPRICTKESEGTIHSQCGSHVTGQAKGSEVRCSKGHHWLHNKTVSDVVEALIGAFLVDSGFKAAIAFLRWIGIKVDFDDSQVINICQASRTYAMLNPSMDLATLENLLGHQFLYKGLLLQAFVHPSHKNGGGCYQRLEFLGDAVLDYLITSYLFSVYPKMKPGHLTDLRSVLVNNRAFASVAVDRSFHEYLICDSDALSAATKKFVDFVRTPKSERRLLEGPKCPKVLGDLVESSVGAILLDTGFDLNHIWKIMLSFLNPISSFSNLQINPVRELKELCQSHNWDFEVPASKKGRTFSVDVTLSGKDMNISASASNSNKKEAIRMASEKIYARLKDQGLIPMTNSLEEVLRNSQKMEAKLIGYDETPIDVALDAHGFENSKIQEPFGINCSYEVRDSCPPRFEAVDAWSLSPLDFTGGQPSEATGDLRCDRDVLITGKVDLGTARSRLREICAANSWKPPSFECCTEEGPSHLKSFTYKVVVEIEEAPEMSFECVGSPQMKKKAAAEDAAEGALWYLKHQRYLS >Potri.006G188800.4.v4.1 pep chromosome:Pop_tri_v4:6:19576046:19584862:-1 gene:Potri.006G188800.v4.1 transcript:Potri.006G188800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188800.v4.1 MLVPAVLKESWTELEKPIYLNSYYIEFCPVPEDRIYKQFGLFLKAPLPLEADKMSLELHLARGRSVMTKLVPSGLSKFSTDEITHATNFQELFLKAILDRSEFVHEYVPLGKDALSKSCPTFYLLLPVIFHVSERRVTVDWEIIRRCLSSPVFKNPANAVDKGILPSNDCLQLANGCSSIRDVENSLVYTPHQKKFYFITNIVPEKNGDSPCKGSNTRSHKDHLTTTFGIHLRYPEQPLLRAKQLFCLRNLLCNRKKEDSELQELDEHFVDLAPELCELKIIGFSKDIGSSISLLPSVMHRLENLLVAIELKCILSASFSEGDKVTAHRVLEALTTEKCQERLSLERLETLGDAFLKFAVGRHFFLLHDTLDEGELTRKRSNAVNNSNLFKLASRNNLQVFIRDQPFDPYQFFALGHPCPRICTKESEGTIHSQCGSHVTGQAKGSEVRCSKGHHWLHNKTVSDVVEALIGAFLVDSGFKAAIAFLRWIGIKVDFDDSQVINICQASRTYAMLNPSMDLATLENLLGHQFLYKGLLLQAFVHPSHKNGGGCYQRLEFLGDAVLDYLITSYLFSVYPKMKPGHLTDLRSVLVNNRAFASVAVDRSFHEYLICDSDALSAATKKFVDFVRTPKSERRLLEGPKCPKVLGDLVESSVGAILLDTGFDLNHIWKIMLSFLNPISSFSNLQINPVRELKELCQSHNWDFEVPASKKGRTFSVDVTLSGKDMNISASASNSNKKEAIRMASEKIYARLKDQGLIPMTNSLEEVLRNSQKMEAKLIGYDETPIDVALDAHGFENSKIQEPFGINCSYEVRDSCPPRFEAVDAWSLSPLDFTGGQPSEATGDLRCDRDVLITGKVDLGTARSRLREICAANSWKPPSFECCTEEGPSHLKSFTYKVVVEIEEAPEMSFECVGSPQMKKKAAAEDAAEGALWYLKHQRYLS >Potri.006G188800.2.v4.1 pep chromosome:Pop_tri_v4:6:19576112:19595853:-1 gene:Potri.006G188800.v4.1 transcript:Potri.006G188800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188800.v4.1 MSGGHVTGEHSSLSVGGTNARVVSSSIVGDGEESGSGLQKTEKDPRKMARKYQLELCKKALEENIIVYLGTGCGKTHIAVLLIYEMGHLIRQPQKSACVFLAPTVALVHQQAKVIEDSTDFKVGIYCGKSNRLKTHSSWEKEIEQYEVLVMTPQILLYNLSHSFIKMDLIALLIFDECHHAQVKSGHPYAQIMKVFYKNNDGKLPRIFGMTASPVVGKGASSRENLPRSINSLENLLDAKVYSVEDKEELECFVASPVIRVYLYGPVANGTSSSYEAYYNILEGVKRQCIVEIGKKTDGNQSLESLRSTKRMLIRMHENIIFCLENLGLWGALQACRILLSGDHSEWNALIEAEGNTSDVSMCDRYLNQATNVFAADCTRDGVTSNVSQVEVLKEPFFSRKLLRLIEILSNFRLQPDMKCIVFVNRIVTARSLSHILQNLKFLTSWKCDFLVGVHSGLKSMSRKTMNVILERFRTGKLNLLLATKVGEEGLDIQTCCLVIRFDLPETVASFIQSRGRARMPQSEYVFLVDRCSSKAYHERDLIEKFKIDEARMNIEICDRTSRETFDSIEEKIYKVHATGASITSGLSISLLQQYCSKLPHDEYFDPKPKFFYFDDSEGTVCHIILPSNAPTHKIVGTPQSSIEVAKKDACLKAIEQLHKLGALSEFLLPQQEDTNELELVSSDSDNCEDKDSRGELREMLVPAVLKESWTELEKPIYLNSYYIEFCPVPEDRIYKQFGLFLKAPLPLEADKMSLELHLARGRSVMTKLVPSGLSKFSTDEITHATNFQELFLKAILDRSEFVHEYVPLGKDALSKSCPTFYLLLPVIFHVSERRVTVDWEIIRRCLSSPVFKNPANAVDKGILPSNDCLQLANGCSSIRDVENSLVYTPHQKKFYFITNIVPEKNGDSPCKGSNTRSHKDHLTTTFGIHLRYPEQPLLRAKQLFCLRNLLCNRKKEDSELQELDEHFVDLAPELCELKIIGFSKDIGSSISLLPSVMHRLENLLVAIELKCILSASFSEGDKVTAHRVLEALTTEKCQERLSLERLETLGDAFLKFAVGRHFFLLHDTLDEGELTRKRSNAVNNSNLFKLASRNNLQVFIRDQPFDPYQFFALGHPCPRICTKESEGTIHSQCGSHVTGQAKGSEVRCSKGHHWLHNKTVSDVVEALIGAFLVDSGFKAAIAFLRWIGIKVDFDDSQVINICQASRTYAMLNPSMDLATLENLLGHQFLYKGLLLQAFVHPSHKNGGGCYQRLEFLGDAVLDYLITSYLFSVYPKMKPGHLTDLRSVLVNNRAFASVAVDRSFHEYLICDSDALSAATKKFVDFVRTPKSERRLLEGPKCPKVLGDLVESSVGAILLDTGFDLNHIWKIMLSFLNPISSFSNLQINPVRELKELCQSHNWDFEVPASKKGRTFSVDVTLSGKDMNISASASNSNKKEAIRMASEKIYARLKDQGLIPMTNSLEEVLRNSQKMEAKLIGYDETPIDVALDAHGFENSKIQEPFGINCSYEVRDSCPPRFEAVDAWSLSPLDFTGGQPSEATGDLRCDRDVLITGKVDLGTARSRLREICAANSWKPPSFECCTEEGPSHLKSFTYKVVVEIEEAPEMSFECVGSPQMKKKAAAEDAAEGALWYLKHQRYLS >Potri.006G188800.3.v4.1 pep chromosome:Pop_tri_v4:6:19576110:19585169:-1 gene:Potri.006G188800.v4.1 transcript:Potri.006G188800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188800.v4.1 MNIEICDRTSRETFDSIEEKIYKVHATGASITSGLSISLLQQYCSKLPHDEYFDPKPKFFYFDDSEGTVCHIILPSNAPTHKIVGTPQSSIEVAKKDACLKAIEQLHKLGALSEFLLPQQEDTNELELVSSDSDNCEDKDSRGELREMLVPAVLKESWTELEKPIYLNSYYIEFCPVPEDRIYKQFGLFLKAPLPLEADKMSLELHLARGRSVMTKLVPSGLSKFSTDEITHATNFQELFLKAILDRSEFVHEYVPLGKDALSKSCPTFYLLLPVIFHVSERRVTVDWEIIRRCLSSPVFKNPANAVDKGILPSNDCLQLANGCSSIRDVENSLVYTPHQKKFYFITNIVPEKNGDSPCKGSNTRSHKDHLTTTFGIHLRYPEQPLLRAKQLFCLRNLLCNRKKEDSELQELDEHFVDLAPELCELKIIGFSKDIGSSISLLPSVMHRLENLLVAIELKCILSASFSEGDKVTAHRVLEALTTEKCQERLSLERLETLGDAFLKFAVGRHFFLLHDTLDEGELTRKRSNAVNNSNLFKLASRNNLQVFIRDQPFDPYQFFALGHPCPRICTKESEGTIHSQCGSHVTGQAKGSEVRCSKGHHWLHNKTVSDVVEALIGAFLVDSGFKAAIAFLRWIGIKVDFDDSQVINICQASRTYAMLNPSMDLATLENLLGHQFLYKGLLLQAFVHPSHKNGGGCYQRLEFLGDAVLDYLITSYLFSVYPKMKPGHLTDLRSVLVNNRAFASVAVDRSFHEYLICDSDALSAATKKFVDFVRTPKSERRLLEGPKCPKVLGDLVESSVGAILLDTGFDLNHIWKIMLSFLNPISSFSNLQINPVRELKELCQSHNWDFEVPASKKGRTFSVDVTLSGKDMNISASASNSNKKEAIRMASEKIYARLKDQGLIPMTNSLEEVLRNSQKMEAKLIGYDETPIDVALDAHGFENSKIQEPFGINCSYEVRDSCPPRFEAVDAWSLSPLDFTGGQPSEATGDLRCDRDVLITGKVDLGTARSRLREICAANSWKPPSFECCTEEGPSHLKSFTYKVVVEIEEAPEMSFECVGSPQMKKKAAAEDAAEGALWYLKHQRYLS >Potri.001G009000.1.v4.1 pep chromosome:Pop_tri_v4:1:618099:619331:-1 gene:Potri.001G009000.v4.1 transcript:Potri.001G009000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009000.v4.1 MGSLIGHVLPGMAFFILGLWHLFNHVKLHSLHPNSYTSSPWFPVTKPRYLELYLIMLGSSISITMELFIGPEKHQPFDVDGTIPSNHLRNFEHSFISMSFFVYATFALLLDRIGAKAKCGLTHLLGAIAFGQQLLMFHLHSTDHKGLEGQYHLLLQLVVSISLVTTLMGIGFPRSFLVSFVRSLSILFQGVWFMVMGFMLWTPRLIPKGCSLYNDDGHKIVRCASEEALHRAKSLVNIQFSWLVNGITIFAITLYLALVEKYSKNVKYSSLAKELEEMPEDSSDIETEKKNNLSDSKCLVRGESLRGFAPFDMDGQRMWKN >Potri.015G027500.1.v4.1 pep chromosome:Pop_tri_v4:15:2063377:2065059:1 gene:Potri.015G027500.v4.1 transcript:Potri.015G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G027500.v4.1 MEALYFLKFWRPTTTTTTTNTHKENRPSSGSSDDTTEIPFTDYEFEDGEDSFFELELTVPDFDTNKCGSNNTTNINNNPLDKESNVFDSKQAPLHKLVDKESHNPQHTFQQPTLSTDHLLSKRKILPIEPISSSKPQSPISLLKSAPRFKVLMFKKSKSMASQKTEKTGETESFNANSKKHESNKFFTVKLKLEEVTNASFFTKQNSLRKQFANDSYDNDTSKRFSKEVIQKYLKLIKPLYIKVSKRQSDKMRFSGELSVGSPSSSSATVPAKEKQGSFPAGIRVVSRHLGKSKSASATTGVSPPIGSRRDDSLLLQNDGIQSAILHCKKSFNSSRDSSLMSRFSSDPLHEKSMASPRISSSEEKATN >Potri.002G025701.1.v4.1 pep chromosome:Pop_tri_v4:2:1714016:1714357:-1 gene:Potri.002G025701.v4.1 transcript:Potri.002G025701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025701.v4.1 MTSRKEKKITSPKWNFNFRSETEISSRGSSAIRIHLRSERGASQPSSIGRGRDSNPQKVSNLRGYERLPRMPPDEAERTVSFDQMSMATSRQPGDSFCYCLVYIVDAMMFVLG >Potri.002G124800.1.v4.1 pep chromosome:Pop_tri_v4:2:9502590:9504466:1 gene:Potri.002G124800.v4.1 transcript:Potri.002G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124800.v4.1 MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPQVAINQHHPHSPFGVNGPSFFPFPSRTSISPSDSDEQANNWCDSPPLTSPPRGGVATATVIGGGGGFNSSVSALSEDNERLRRSNNMLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSYSSSLLLCGPSPYATTNHVTSNGSLVQKPLNQLLGYYPTTAPTNPKQIPQVHVLINSPTTTSQSSLTILEEANNNGCKTKLFGVSLQTKKRLHPEYGSNPGNMETSKARLVLDKDDLGLNLMPLSRC >Potri.001G365100.2.v4.1 pep chromosome:Pop_tri_v4:1:38152396:38154286:-1 gene:Potri.001G365100.v4.1 transcript:Potri.001G365100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365100.v4.1 MEQLQIPTSLVLLSSLLFIFMVLRILKKSKTKDFTPNLPPGPRKLPVIGNLHQLFCSLPHHRLRDLAEKHGPIMHLQLGQVQTIVISSPETAEQVMKVHDINFAHRPHLLAAQIIFYNCTDIATAAYGDYWRQLRKISILELLSPKRVQSFRSIREEEVSSLIGSISSSAGSIVNLSRMLFSVAYNITTRAAFSKLRKEEEIFVPLVQGIIQVAAGFNIGDLFPSIKLLPWITGMRSRMERLHQEADRILESIIKEHRARKAEGNSSNESKADDLVDVLLDLQEHGNLAFSLTTDNIKAVILDLFIAGTETSSTILEWAMSELLKHPEVMEKAQTEVREVFGKDGSVGELNYLNMVIRETMRLHPPLPLLLPRECREECGINGYNIPIKSRVLVNVWAIGRDSNYWVEAERFQPERFLDSSIDYKGVNFEFTPFGAGRRMCPGILFGISNVDLLLANLLYHFDWKLPGDMKPESLDMSEAFGATVRRKNALHLTPILHHPHPVRS >Potri.010G011100.1.v4.1 pep chromosome:Pop_tri_v4:10:2005522:2007981:1 gene:Potri.010G011100.v4.1 transcript:Potri.010G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011100.v4.1 MKYLANALLILCLLAASCEAKGLGRRARAAIRAPRRTAPRRDPNEKVFNVLQYGAKPGGKQDSALSFIRAWRAACNYRGTARLLIPMGTFLIGATIFQGPCLGPVPIKVQIAGTLKAVPDPSMYEEDFWILFEKINGLLVTGTGTVDGQGNAVWKYNDGGSRFPSSIKFNHVVNGIIRQITSVNPMGFHISIVLSQNIRAKNLHITAPATSPNTDGIHISQSSVVKVSRSVISTGDDCVAIIQGSTDVSIKKVTCGPGHGFSVGSLGKYPDEKDVRGVVVTNCTLRNADNGVRIKTWGGSPPSQASNILFQDIIMDNVKRPIIIDQTYGSKSNSPSRVKISDVRYINIRGTSASAVAVDLMCSKTVPCEKLYFSNINLKYYGQKKLPFTSTCTNAKVNYAGYQFPPPCR >Potri.002G204000.3.v4.1 pep chromosome:Pop_tri_v4:2:16858417:16862006:1 gene:Potri.002G204000.v4.1 transcript:Potri.002G204000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204000.v4.1 MDPHLQNLETTTPESTSEDQNQQQQLAIVSSSPFATASLSLSLPTILPTHFFTQPKISSLFSSPPTKAKIPTQATSLTHLSLTSSTSSPKLSFKSTISANPLHTPLTLGPRRPSDPSNAAGTRRACIVWFRNDLRVHDNECLNSANNDSMSVLPVYCFDPRDYGKSSSGFDKTGPYRANFLIESVSDLRKNLQARGSDLVVRVGRPETVLVELAKAIGADAVYAHREVSHDEVKAEEKIEELMKDEGVEVKYFWGSTLYHLDDLPFKLEDMPSNYGGFKEKVQGLEIRKTIETLDQLKGLPSRGDVEPGDIPNLLDLGLNPAQDGKAAANASMVGGETEALQRLNKFAAECQAQPPKGGSHESIYGANFSCKISPWLTMGCVSARSMFDELKKTATRTISAASNHNSGGSSSPGAGMNWLMFELMWRDFFRFITKKYSAPRKQLEATPATACTGAFA >Potri.004G030600.3.v4.1 pep chromosome:Pop_tri_v4:4:2386447:2389544:-1 gene:Potri.004G030600.v4.1 transcript:Potri.004G030600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030600.v4.1 MARFCYNNGTIEITPSNIVLLNHAAHYMEMGSNSSAKLNLVDQTEKFLEGINYWTWSDLLQALKLCQDLLPTINSSFLLDKVLDCLVGRLTLPTMASPFTCSSNNSSFQFSCDTSSTCSMRNNWSQTTWWFEDLLVLNVNSFDKVIRMMMSQKLDHAAIFKFIVFRLKSRYFSVTASEKCKITEVAINLLSLLDRSSLSCRGLFDILLAVSRLKNISKFYALKLEHLVGSMLDQATLDHLLVPSPHRNHHVYDVNLVLRLLKAFFLEGSTMSRNQLKKVASLMDSYLIEVAPDILLKPSKFAALIMVLPDSAREFSDRLYHAIDMYLQVHVQLCEEVKMRLCSFVNHDKLSAEASKHLARNSNFPTRSTLKSFITQKSKLNCLIHNRLSHFEVSSQLKFHSNAMEEQEGFDQILIYARKHGHSKEIDNLETRLQGMQKKVTELEKVCTMMHSEMSSVTKSRLHGPGKARSLPKLCS >Potri.004G030600.4.v4.1 pep chromosome:Pop_tri_v4:4:2386448:2388655:-1 gene:Potri.004G030600.v4.1 transcript:Potri.004G030600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030600.v4.1 MARFCYNNGTIEITPSNIVLLNHAAHYMEMGSNSSAKLNLVDQTEKFLEGINYWTWSDLLQALKLCQDLLPTINSSFLLDKVLDCLVGRLTLPTMASPFTCSSNNSSFQFSCDTSSTCSMRNNWSQTTWWFEDLLVLNVNSFDKVIRMMMSQKLDHAAIFKFIVFRLKSRYFSVTASEKCKITEVAINLLSLLDRSSLSCRGLFDILLAVSRLKNISKFYALKLEHLVGSMLDQATLDHLLVPSPHRNHHVYDVNLVLRLLKAFFLEGSTMSRNQLKKVASLMDSYLIEVAPDILLKPSKFAALIMVLPDSAREFSDRLYHAIDMYLQVHVQLCEEVKMRLCSFVNHDKLSAEASKHLARNSNFPTRSTLKSFITQKSKLNCLIHNRLSHFEVSSQLKFHSNAMEEQEGFDQILIYARKHGHSKEIDNLETRLQGMQKKVTELEKVCTMMHSEMSSVTKSRLHGPGKARSLPKLCS >Potri.004G030600.1.v4.1 pep chromosome:Pop_tri_v4:4:2386446:2389676:-1 gene:Potri.004G030600.v4.1 transcript:Potri.004G030600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030600.v4.1 MEVCYILEVDVNGEEIFIVDKKTLSSFSDRLSKLFGDLTDGSRKLKVIFDNFPGGAYGFELMARFCYNNGTIEITPSNIVLLNHAAHYMEMGSNSSAKLNLVDQTEKFLEGINYWTWSDLLQALKLCQDLLPTINSSFLLDKVLDCLVGRLTLPTMASPFTCSSNNSSFQFSCDTSSTCSMRNNWSQTTWWFEDLLVLNVNSFDKVIRMMMSQKLDHAAIFKFIVFRLKSRYFSVTASEKCKITEVAINLLSLLDRSSLSCRGLFDILLAVSRLKNISKFYALKLEHLVGSMLDQATLDHLLVPSPHRNHHVYDVNLVLRLLKAFFLEGSTMSRNQLKKVASLMDSYLIEVAPDILLKPSKFAALIMVLPDSAREFSDRLYHAIDMYLQVHVQLCEEVKMRLCSFVNHDKLSAEASKHLARNSNFPTRSTLKSFITQKSKLNCLIHNRLSHFEVSSQLKFHSNAMEEQEGFDQILIYARKHGHSKEIDNLETRLQGMQKKVTELEKVCTMMHSEMSSVTKSRLHGPGKARSLPKLCS >Potri.009G121300.2.v4.1 pep chromosome:Pop_tri_v4:9:10158844:10161812:1 gene:Potri.009G121300.v4.1 transcript:Potri.009G121300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121300.v4.1 MSLNLSLFLILSLFFISAISAETFNGDDSLIRQVVEGQDESSSNLLTAEQHHFSLFKRKFKKSYLSQEEHDYRFSVFKSNLRRAARHQKLDPTASHGVTQFSDLTSAEFRKQVLGLRKLRLPKDANTAPILPTNDLPEDFDWREKGAVGPVKNQGSCGSCWSFSTTGALEGAHFLATGELVSLSEQQLVDCDHECDPEEPGSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGMDRGACKFDKNKVAAGVANFSVVSLDEDQIAANLVKNGPLAVAINAVFMQTYIGGVSCPYICSRRLDHGVLLVGYGSAAYAPVRMKEKPYWIIKNSWGESWGENGFYKICRGRNICGVDSMVSTVAAVQTNSL >Potri.018G131400.1.v4.1 pep chromosome:Pop_tri_v4:18:14153581:14154861:1 gene:Potri.018G131400.v4.1 transcript:Potri.018G131400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131400.v4.1 MVQSKKFRGVRQRHWGSWVSEIRHPLLKRRVWLGTFETAEEAARAYDQAAILMSGRNAKTNFPIPQTSNEEDPKSSDEASLPTPPNGLSEILHAKLRKCSKAPSPSMTCLRLDTENSLIGVWQKRAGERSDSNWVMRVQLGQRESQVSESTLPLPQSSGGVSEPELRAEMGEDERIALQMIEELLNRNCPSPSFGVQDHGDGSLFL >Potri.001G357000.2.v4.1 pep chromosome:Pop_tri_v4:1:37176650:37182529:-1 gene:Potri.001G357000.v4.1 transcript:Potri.001G357000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357000.v4.1 MFGVIRRRVANGGLSSSSSAILRQSLQTIRPAPSSTSRVSDEILTHARGFEHVRKFSCFVSPRGRAISSRPVREVVSNMELASSKKTWSRPFSSDTGDLVDAVVPFMGESITDGTLAKFLKNPGDSVEVDEAIAQIETDKVTIDVASPEAGVIKEYIAKEGDTVEPGAKIAVISKSGEGVAHVAPSENISQKAAPKQSASQTKDEEKQKPKVEARPVPEKPKTPATPPPKRSATEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFIKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRNAGKMNFAEIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >Potri.001G357000.1.v4.1 pep chromosome:Pop_tri_v4:1:37176647:37182663:-1 gene:Potri.001G357000.v4.1 transcript:Potri.001G357000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357000.v4.1 MFGVIRRRVANGGLSSSSSAILRQSLQTIRPAPSSTSRVSDEILTHARGFEHVRKFSCFVSPRGRAISSRPVREVVSNMELASSKKTWSRPFSSDTGDLVDAVVPFMGESITDGTLAKFLKNPGDSVEVDEAIAQIETDKVTIDVASPEAGVIKEYIAKEGDTVEPGAKIAVISKSGEGVAHVAPSENISQKAAPKQSASQTKDEEKQKPKVEARPVPEKPKTPATPPPKRSATEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKLGLMSGFIKAAVSGLQNQPIINAVIDGDDIIYRDYVDISIAVGTPKGLVVPVIRNAGKMNFAEIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >Potri.005G228550.1.v4.1 pep chromosome:Pop_tri_v4:5:22845834:22847270:-1 gene:Potri.005G228550.v4.1 transcript:Potri.005G228550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228550.v4.1 MHQVGTQFWQKTRYIPRSLALLTLAMASNSSIKQSEELKFYSMNIVCVAHGCPEILSTSNTPMTFMLWSHLRLSLLAYITSWEISNHNKLDATIYYMGSVQCMEQGLHVSILSMI >Potri.008G074100.1.v4.1 pep chromosome:Pop_tri_v4:8:4608674:4613592:1 gene:Potri.008G074100.v4.1 transcript:Potri.008G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074100.v4.1 MESSVLLRSFQCNLLAQGQGLTVGRKLISYPSKRNLRLVSCVKTSEAPAIAKSDDGNKQGSLEKNSLRNATFPNGFEALVLEVCDETEIAELKLKVGDFEMHLKRNVGAAKAPLISSTPLPPIPTPPMEVSAAVSPSPSPSKSSVEKTTPFTNVSFGKSSKLAALEASGASGYVLVASPTVGSFRRNRTVKGKKQPPICKEGDVIKEGQVIGYLDQFGTELPVKSDVAGEVLKLLFNDGDAVGYGDPLIAVLPSFHGINT >Potri.003G129500.1.v4.1 pep chromosome:Pop_tri_v4:3:14803626:14811888:-1 gene:Potri.003G129500.v4.1 transcript:Potri.003G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129500.v4.1 MARLILSCKSPCLAKTSLLGLISSAPLQTIGQASCFQNSVRNISKHSGFLSSEAAFSRKSWLSYPALYRDKQGRRRLICAVATEPLPKQVEESKMDTPKEIFLKDHKLPDYYFDSVDLNFLLGEEKTIVSSKITVFPRVDGSSPLVLDGADLKLLSVKVNGEELKNGDYHLDSRHLTIPSPPSGTFMLEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITFYQDRPDIMAKYTVRIEADKSLYPVLLSNGNLLGQGDLEGGKHYALWEDPFKKPCYLFGLVAGQLESRDDTFVTSSGRNVSLRIWTPAQDVHKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILGVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRIADVSRLRISQFPQDAGPMAHPVRPHSYIKMDNFYTVTVYEKGAEVVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANDADFANFLQWYSQAGTPLVKVTSSYDAAAHTFTLKFSQEVPPTPGQPVKEPMFIPVVSGLLDPSGKDMPLSSVYHDGALRSIANNSEPAYSTILRVTKKEEEFVFSDIHERPVPSLLRGFSAPVRLESDLSDSDLFFLLAHDSDDFNRWEAGQVLARKLMLSLVVDFQQGKPLVLNPKFVQGLRSILCDSSLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRSFIRKQLASELKADFLSLVENNRSSEEYVFNYPNMARRALKNIALAYLASLEDQELTELALHEYKTATNMTEQFAALAAIAQNPGKIHDEVLADFYTKWRDDFLVVNKWFALQAMSDVPGNVENVRNLLSHPAYDLRNPNKVYSLIGGFCSSPVNFHAKDGSGYKFLGEIVVQLDKINPQVASRMVSAFSRWRRYDETRQNLAKAQLEMIVSANGLSENVFEIASKCLAA >Potri.010G061301.1.v4.1 pep chromosome:Pop_tri_v4:10:9111565:9112696:1 gene:Potri.010G061301.v4.1 transcript:Potri.010G061301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061301.v4.1 MAEVKLYGFWPSPFSHRIIWALKLKGVEYEYIEEDLSNKSESLLKYNPVYKKIPVLVHGGKPIAESLVILEYIEETWPENPLLPKDPYERAMARFWIQSGVIKGAAFSAFYLGSGEELEKAAKEMSEWLKILEEQGLGDKKFFGGESINLVDISHGALGYWFAALEEAKGVKVLEPSTFPRLHAWAKNFVELPVVKENIPAYDKMLAYVTGSMKRLAKN >Potri.001G014301.1.v4.1 pep chromosome:Pop_tri_v4:1:1005396:1006065:1 gene:Potri.001G014301.v4.1 transcript:Potri.001G014301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014301.v4.1 MNDTGNFLVANANGEKLWQSFELLTDTLLPTQIMEKGGILSSRLSETNFSQGRFQFRLIQDGNAVLNTINLPTGFPYEAYFWSNTVDSNSSNAGYQVVFNESGYLYVLRASNK >Potri.008G092900.2.v4.1 pep chromosome:Pop_tri_v4:8:5802358:5804454:1 gene:Potri.008G092900.v4.1 transcript:Potri.008G092900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092900.v4.1 MVKLISLFHKQAHASAPNTLQLLPNPSFRLLGLRENIDTLMEMHACLIVQGLTRDLSRSTKLINSYGSFGRVDFSRLLFDSIPDPVHYQIVKLGNPDSLVSTGLVDMYAKCGDIDCSCAVFDGNLDRNGLLGASWLLAMYGTIELIEANPIALWSLVTACKKLGALHQGKWLHGYLIKCVFELGSYLVTTLLDVYAKCGIIRDARSVFDELCDIDLISWTAMIFGRTQKGCSEEALKLFMHKECAGVLPNDVTVASVFQHELVVWNSIISGLYQNGSAYKALELFRQMRTGSVLPDAVTLVSVLSACASLSALQVGSSFHAYTVKRGLLSSHVYAGTALLTFYANCGNVESARIIFDDMGKKSTVTWSAMICDYEIQGDGRGSLSIFARAGRPEEALGFIQKMPVQPDASLFGAFPHGCGLHSRFDLGEVAIKRMLELQTGEACYIVLMCNLYASDGRWNQVKQVRELMKQRGLMKTSDCSLMEMDGDHFSFSTAASLA >Potri.008G092900.3.v4.1 pep chromosome:Pop_tri_v4:8:5803650:5804009:1 gene:Potri.008G092900.v4.1 transcript:Potri.008G092900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092900.v4.1 MRTGSVLPDAVTLVSVLSACASLSALQVGSSFHAYTVKRGLLSSHVYAGTALLTFYANCGNVESARIIFDDMGKKSTVTWSAMICDYEIQGDGRGSLSIFGDMLKAELKPNEEIFTSIL >Potri.001G270600.1.v4.1 pep chromosome:Pop_tri_v4:1:28508066:28510980:-1 gene:Potri.001G270600.v4.1 transcript:Potri.001G270600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270600.v4.1 MLAIGLVVVALVVIYYTHMIFKWRSPKIEGVLPPGSMGWPLIGETLQFIIPGKSLDLHPFVKKRMQKYGPIFKTSLVGRPIIVSTDYEMNKYILQHEGTLVELWYLDSFAKFFALEGETRVNAIGTVHKYLRSITLNHFGVESLKESLLPKIEDMLHTNLAKWASQGPVDVKQVISVMVFNFTANKIFGYDAENSKEKLSENYTKILNSFISLPLNIPGTSFHKCMQDREKMLKLLKDTLMERLNDPSKRRGDFLDQAIDDMKTEKFLTEDFIPQLMFGILFASFESMSTTLTLTFKFLTENPRVVEELRAEHEAIVKKRENPNSRLTWEEYRSMTFTQMVVNETLRISNIPPGLFRKALKDFQVKGYTVPAGWTVMLVTPATQLNPDTFKDPVTFNPWRWQELDQVTISKNFMPFGGGTRQCAGAEYSKLVLSTFLHILVTNYSFTKIRGGDVSRTPIISFGDGIHIKFTARA >Potri.006G137200.2.v4.1 pep chromosome:Pop_tri_v4:6:11348186:11350483:-1 gene:Potri.006G137200.v4.1 transcript:Potri.006G137200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137200.v4.1 MGGRSSDATCTSAIKHSEFNSGIFFTVSGKYLEMDDNSSSKLTGIRQIVRLKEILHKWQSVTIGSKETSPPSGHPSNGIPPAVNKRLNSVKCCDSDEDSCHSPEPPADVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKVEEEFGFDHTGALTIPCEIETFKFLLKCMESHPKDHDDEGSAEGALAIEE >Potri.006G137200.1.v4.1 pep chromosome:Pop_tri_v4:6:11348027:11351194:-1 gene:Potri.006G137200.v4.1 transcript:Potri.006G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137200.v4.1 MDDNSSSKLTGIRQIVRLKEILHKWQSVTIGSKETSPPSGHPSNGIPPAVNKRLNSVKCCDSDEDSCHSPEPPADVPKGYLAVYVGPELRRFIIPTSYLSHSLFKVLLEKVEEEFGFDHTGALTIPCEIETFKFLLKCMESHPKDHDDEGSAEGALAIEE >Potri.010G047000.1.v4.1 pep chromosome:Pop_tri_v4:10:7954943:7955483:1 gene:Potri.010G047000.v4.1 transcript:Potri.010G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047000.v4.1 MVFTNSPERAKQNHAKHPRNNWETTNGHGRVVEHERAPEARVKAGEFDENVDDEAEEFIKLEHKKFELCRRMSNKAG >Potri.006G007400.1.v4.1 pep chromosome:Pop_tri_v4:6:532418:534286:-1 gene:Potri.006G007400.v4.1 transcript:Potri.006G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007400.v4.1 MKKAGVVLIPVPAMGHVVALVEVAKLLVQRDDRLFTTVIIMHPALDPSTTKYTESLAASTLPDRMRVVNLPKLESKTEDNKDLNWLTSMIESQKPHVEEYVSKMRTQSQLSPDSPQLAGFIFDTFATGMKDVANGFGVPWYAFSASGAAFIGSMFYLQALHDDEGVNLIEFEDSDALLEIPSLASPLPAKLLPSMVFKQESLTIFLEHARIMREARSILVNTFLEFESYAVHSLSNGKNPPVYPVGPIVKHVGDARDLPSDESKDIMEWLDDQPPSSVMFLCFGSWGSFCGKQVKEIACALEHCGHRFLWSLRKPSSQEGKVESPSDYLNFQEILPEGFLDRTIKIGKVIGWAPQVEILAHPAVGGFASHCGWNSTLESVRFGVPVATWPLYAEQQFNAFQMVIDLGLAVEIKMDYRRDFLGDNEIIVCEDIVKAIKHVMEEDGEVRKKVKEMSRISEKSLKDGGSSFSSLGRLIEDMIDNMS >Potri.001G453000.1.v4.1 pep chromosome:Pop_tri_v4:1:47987048:47989834:-1 gene:Potri.001G453000.v4.1 transcript:Potri.001G453000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453000.v4.1 MAGLKSCDEWKPFIAMITIDFAFSIVNILLKKVLDEGINRLVLITYRLSISAIFLGPIGYFRERGSRPPLTFRILCYLFLSAIVGASLTQYFFLIGIQYTSATFSCAFINMVPVVTFIMALPFKMETVHIKSNSGKAKVLGTLVCVAGAMLLTLIRGAPLFNNSPGQAVTRAMDHSLKLSQTKRAGRWTFGCITLLVGTLLWSGWFVLQSHIGRRYPCQCSSTAIMSFFGAIQSAILCLSTERSLSIWVLKGKIEIITVLYAGIIGSGLCYVGMSWCVKKRGPVFTAAFSPLVQIMAAMLDVPILHEELYLGSLLGSIFVIIGLYILLWGKNKEMQNHATRVAQEAEEIKEQDPPLQVVSTVSCDSRCP >Potri.014G177500.1.v4.1 pep chromosome:Pop_tri_v4:14:13404835:13409733:-1 gene:Potri.014G177500.v4.1 transcript:Potri.014G177500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177500.v4.1 MAKSCPILYRIFCMLLSFVLLTHSAPETALVTQLPGFSGTFPSKHYSGYVTIDESQGKRLFYYFVESERNPPKDPVVLWLNGGPGCSSFDGFVYEHGPFNFEAAETKGDLPKLHLNPYSWSKVSSVLYLDSPAGVGLSYSKNETDYITGDTKTASDSHAFLLKWFELYPEFLSNPFFISGESYAGIYVPTLAYEVVKGLDAGVKPILNFKGYLVGNGVTDEEFDGNALVPFAHGMGLIPDELFEEVTKECTGNFYNPLGETCESKLQKVYKDVEGLNIYDILEPCYHGSNIREVTDDRIRLPSSFRQLGETERPLPVRKRMFGRAWPFRAPVRPGIVPTWPQLLDGESVPCTDDEVATSWLNNEAVRKAIHAELESVSGTWELCTDRIRFHHDAGSMIKYHRNLTLRGFRALIFSGDHDMCVPYTGSEAWTRSMGYDIVDEWRPWTSNGQVAGYTQGYANNLTFLTMKGAGHTVPEYKPREALDFYSRFLSGKPI >Potri.017G089000.1.v4.1 pep chromosome:Pop_tri_v4:17:10192776:10194684:-1 gene:Potri.017G089000.v4.1 transcript:Potri.017G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089000.v4.1 MSSSSDQKLAHVALLPSAGMGHLTPFLRLAALLTARNVQVTFITPHPTVSLTESQALSGFFASFPQVKQKQFHLLPLEENSVDPFFYQMQLIKSSCHLLSPLLSALTPSLSVFITDMTLASTVIPITQAISLPNYVLFTSSAKMMTLFLSYPTLAGSKALDDLDETDVIKIRNVELMPKSLLPPPLLQKSNNFFKNSFIEDGRKVTESCGILLNTFVSFELESLRKINDGQVLERPPSVVAIGPFPPCNSEKSQLQLTWLDDQPAGSVLYVSFGSRTALARDQIRELGEGLIKSGSRFVWMVKDKKVDKEDSEELEEVIGHELMERVKEKGLIVKDWLNQDGILSHRAVGGFLSHCGWNSVMEAAWHGVRILAWPQNGDQKINADIVERIGLGTWVKSWGWSGEMLVKGAEIAERIRESMGNESLRIQALGIKEDARKAVGFGGSSDKGLTELISMWKHF >Potri.010G248700.2.v4.1 pep chromosome:Pop_tri_v4:10:22265254:22268863:-1 gene:Potri.010G248700.v4.1 transcript:Potri.010G248700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248700.v4.1 MAVSMAFANLAFQFNNVKVGENPLCFGLAPTQLPYLCKERRRLPSSRTIIIPGASSAPTIEDGSQNDTDAIPTPKVIIDQDADPDATVVEVTFGDRLGALLDTMNALKNLGLNVVKANVFLDSSGKHNTFSITKASTGRKVDDPELLEAIRLTIINNLLQYHPESSSQLAMGIAFGVEPPKQVDVDIATRVKVKEDSPDRSLLFVEAADRPGLLVDLVKAITDINIAVESGEFDTEGLLAKAKFHVSYKGKAISKPLQLVLANSLRYFLRRPSTEEASF >Potri.013G085600.1.v4.1 pep chromosome:Pop_tri_v4:13:7822096:7828217:-1 gene:Potri.013G085600.v4.1 transcript:Potri.013G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085600.v4.1 MSSKERPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELVAKSIESSDLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGERHPYSIIDCEPTREVILPSVIYIQKILRRRPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVSKGIVLSFITDFFKVYLVDNSLDDLISILKRGKMEENLLEFFPSAKRSAEGFSEHFAKEGLIPLVEYNEKKIFEVKLKEMKSALTTQIAEEADISEVIDTVKQRVKDAKLPDIEIVRILWDVLMDAVQWSGKNQQQNANSALRQVKTWAQLLNTFCTNGKLELELVYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >Potri.017G014400.1.v4.1 pep chromosome:Pop_tri_v4:17:997992:1000267:1 gene:Potri.017G014400.v4.1 transcript:Potri.017G014400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014400.v4.1 MATTAEKRKPVFIKVEELKPGTNGHNLTVKVLESKPVPVPKPRRAPMSLSQRPQRPSRINECLVGDETGCIVFTARNEQVDIMQPGATVILRNAKIDMFKGSMRLAVDKWGRVEVAEPANFAVTENNNLSLVEYELVTVQA >Potri.003G183600.15.v4.1 pep chromosome:Pop_tri_v4:3:18847878:18853135:-1 gene:Potri.003G183600.v4.1 transcript:Potri.003G183600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183600.v4.1 MADLKERLLPPKPASAINLRDSSYRPSASGRQPFQGVDVPGLKKRGQGLRSWIRVDSSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVANLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTRGVGDVWQSEGAELNRRRSRNFDSVFGNTSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLKLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMFLTEKKCRMESSFYGDQSLMGFRSNDGGTSISAPVSPVSSLPDSRKLEKSLSIARSRHESMKSTESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDAGAFKWVLLITGVTGVIIFCAFMRFFKYRRLMQL >Potri.003G183600.19.v4.1 pep chromosome:Pop_tri_v4:3:18847788:18853071:-1 gene:Potri.003G183600.v4.1 transcript:Potri.003G183600.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183600.v4.1 MADLKERLLPPKPASAINLRDSSYRPSASGRQPFQGVDVPGLKKRGQGLRSWIRVDSSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVANLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTRGVGDVWQSEGAELNRRRSRNFDSVFGNTSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLKLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMFLTEKKCRMESSFYGDQSLMGFRSNDGGTSISAPVSPVSSLPDSRKLEKSLSIARSRHESMKSTESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDAGAFKWVLLITGVTGVIIFCAFMRFFKYRRLMQL >Potri.003G183600.17.v4.1 pep chromosome:Pop_tri_v4:3:18847875:18853105:-1 gene:Potri.003G183600.v4.1 transcript:Potri.003G183600.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183600.v4.1 MADLKERLLPPKPASAINLRDSSYRPSASGRQPFQGVDVPGLKKRGQGLRSWIRVDSSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVANLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTRGVGDVWQSEGAELNRRRSRNFDSVFGNTSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLKLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMFLTEKKCRMESSFYGDQSLMGFRSNDGGTSISAPVSPVSSLPDSRKLEKSLSIARSRHESMKSTESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDAGAFKWVLLITGVTGVIIFCAFMRFFKYRRLMQL >Potri.003G183600.16.v4.1 pep chromosome:Pop_tri_v4:3:18848014:18853105:-1 gene:Potri.003G183600.v4.1 transcript:Potri.003G183600.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183600.v4.1 MADLKERLLPPKPASAINLRDSSYRPSASGRQPFQGVDVPGLKKRGQGLRSWIRVDSSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVANLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTRGVGDVWQSEGAELNRRRSRNFDSVFGNTSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLKLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMFLTEKKCRMESSFYGDQSLMGFRSNDGGTSISAPVSPVSSLPDSRKLEKSLSIARSRHESMKSTESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDAGAFKWVLLITGVTGVIIFCAFMRFFKYRRLMQL >Potri.003G183600.18.v4.1 pep chromosome:Pop_tri_v4:3:18847885:18853105:-1 gene:Potri.003G183600.v4.1 transcript:Potri.003G183600.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183600.v4.1 MADLKERLLPPKPASAINLRDSSYRPSASGRQPFQGVDVPGLKKRGQGLRSWIRVDSSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVANLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLTTRGVGDVWQSEGAELNRRRSRNFDSVFGNTSPDYLPFEFRALEVALESACTFLDSQAAELEIEAYPLLDELTSKISTLKLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMFLTEKKCRMESSFYGDQSLMGFRSNDGGTSISAPVSPVSSLPDSRKLEKSLSIARSRHESMKSTESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAIPLFDDAGAFKWVLLITGVTGVIIFCAFMRFFKYRRLMQL >Potri.009G055100.1.v4.1 pep chromosome:Pop_tri_v4:9:5894226:5895900:1 gene:Potri.009G055100.v4.1 transcript:Potri.009G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055100.v4.1 MEGEILNFIKVWISVLVSLCFCYSIRNIVPKGTKRLLSVLPVVCLFLYLPLKISSVHLGGSTAFFIAWLANFKVLLFAFGKGPLSSDPSISLPLFIALACLPIKIRQKGKNKETPSPNPRLDGQSKENPAPSRGKEGLRNYAVKGVLLAMLIRAYDYSDYIHPNVILIMYSFHVYFLLEILLAVGAVLARNFLGLELEPQFNEPYLATSLQDFWGCRWNLVVTSILRPTVYEPTRAIGSHLIGRKWAPLPAVFATFVVSAIMHEIIFYYLGRVRPNWEISWFFLLHGFCLTAEIALKKVLNDRWRLPKMISTMLTVGFVMSTGFWLFFPKFVEYKVDVRAFEEYAEIGAYMKNVSQSIARVLPGH >Potri.008G211800.4.v4.1 pep chromosome:Pop_tri_v4:8:17529880:17531506:1 gene:Potri.008G211800.v4.1 transcript:Potri.008G211800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211800.v4.1 MCCTPSTLLLLSLASILSVCSSDAKNMLPSEVETIFKIMESISSDEKWRISYPNPCNPGSTWLGIECKLGQDNHLHVSRLDFGTHPNPTCKNTAAFPCEIFDLPHLQSVFFSQCFTHTKTTLSASPNRGGAFFDSSLQQLSLRSNPALVGPIPPQISFLKSLEILTLSQNRLSGRIPVEIFSLNSLVHLDLSYNMLTGPVPIQLGNLNNLQGLDLSYNSLTGPIPGTIGRLGMLQKLDLSSNSFIGTIPYSIEKLTLLTFMALSNNKLRGSIPKGILKLQSLQYFIMDDNPMYIPLPAEFGKLVKLQELRLSNSCYSGTIPPSFSLLVNLSTLSLQNNRLTGKIPEGFSSLSRIYHLNLSGNLLGGVIPFNASFLKRLGRNLDLSGNPGLCLSPSEAYNNVKSGSGVGVCGTGSLIKKSQAAAHGLSKLFFLFATLVFHVMHH >Potri.003G187900.1.v4.1 pep chromosome:Pop_tri_v4:3:19249008:19252415:1 gene:Potri.003G187900.v4.1 transcript:Potri.003G187900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G187900.v4.1 MASNVSSSTPTKTNMEAISEHRTEPSLQVQEKCESSLSSCDVYPGRKETDQIIKNESSGPVFCPSCSKAIELSENQWSSSKELSMDMTSGNDTGKSLTADASGSSNNPTTKTENNPTTKTETELLSGGAAKIVRLNSSQDEDRKIAENIAAKQDGTPQSPKAADTGKQVEEQGGQDEINEEKCESSLSTCDICPERKGKSESSLSIAAKQEGTPQSPNTETQEDKGVDQNSDIPLNVNSESLVSGGNGGPRPTSSYIKNVASQLVDCPPVGHTKSGEGGIDSDSTPRKGSETGRKERVADDIQNNVTAALDYHGDAYALDDNNKSILFKELAPEDVNETTEQFYCPACAEVSDTIKWYQGLQALISHAKTTEEMAKLHRKIAQLSEKKFGRKGTSDGPAGEVSSKWKGIRDEKKDREIVWPPMVVVRNTASHQEDENNKRIGITDQELLDLFRSYDAIENVQQAYNSLGHCGMSILIFESSARGYLEAERLDRHFADQGTGRNVWNRSPLYLLPSGELQLHGYMAEEKDVDLFNQYSTGESKLKYEIRLHQDMVCRQMREDNNQLIWLKKRVAEELRRAETLEESNGIMRDRNAKLVEQLKGIRRETMEKAKKEIDVLRTKIKLLHERNMEEMDFQEQRNKDYC >Potri.005G083300.1.v4.1 pep chromosome:Pop_tri_v4:5:5724136:5731339:-1 gene:Potri.005G083300.v4.1 transcript:Potri.005G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083300.v4.1 MERETGVSVITLWMILVVFILPFSRISANMEGDALRNLRVNLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQVGQLKNLQYLELYGNNISGPIPSDLGNLTNLVSLDLYLNSFSGPIPDALGKLTKLRFLRLNNNSLSGSIPLSLTNITALQVLDLSNNRLSGPVPDNGSFSLFTPISFVNNLDLCGPVTGKPCPGSPPFAPPPPFIPPSTDSYPGENNPTGAIAGGVAAGAALLFAAPAFWFAYWRRRRPIELFFDVPAEEDPEVHLGQLKRYSLRELLVATDSFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSEAPLDWATRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKALLKERKLEMLVDPDLQNNYVDSEVEQLIQVALLCTQSSPMDRPKMSEVVRMLEGDGLAERWEEWQKVEVVRQDLDLAPCQNSEWLLDSTENLHAVELSGPR >Potri.003G026650.1.v4.1 pep chromosome:Pop_tri_v4:3:175828:177015:-1 gene:Potri.003G026650.v4.1 transcript:Potri.003G026650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026650.v4.1 MPNTMLTLAWLHLLPSEGCDHKMCSSKRERIVSKGEYGPKIHNVLRISKRIEVSVREENIDPFGFNAVEDNKDSKKDDASALVRGH >Potri.019G009198.2.v4.1 pep chromosome:Pop_tri_v4:19:144839:151242:1 gene:Potri.019G009198.v4.1 transcript:Potri.019G009198.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009198.v4.1 MNDGSMKCKFCGHPFAKDTSISRIKWHLSGVKSRGVKICKHVPEEVQDAARAAIDGPPEKKRKTAAGSSNNEVINPISASAQEQNNEVMAQQGDALSHEALEDWIASFTVEEIELLERGGFPDRTSINQAAEPGGDSSQPSDPLCLDHGRYYDHLCAPSIHNDVIMDDVENMVRLRTEPVEEDAENTHGRLVQPGAGASSSGGVARNTNEIKGDALPTRKMVGQAFKDHKESVQSLLEHDEVSSIGIYGMGGVGKTTLVTHIHNQLLERADTDVYWITVSQDTSINKLQTSLARRIGLDLSSEDEELHRAVALKKELMKKQKWVLIFDDLWKAFDLQKLGVPDQIEGCKLILTTRSVKVCQQMKTQHTIKVQPISEKEAWTLFIERLGHDIAFSSEVEGIALNVVRECAGLPLGIITIAGSMRGVDEPHEWRNTLKKLKESKYKEMEDEVFRLLRFSYDQLNDLALQQCLLYCALYPEDHRIEREELIGYLIDEEIIEGMSSRQAAFDEGHTMLDKLEKVCLLESCFNYCHRKCVKMHDLIRDMAHQILQTNSPVMVGDFVGGLPDVDMWKENLARVYLKGRYLEEIPSSHSPRCPNLSTLLLCDNERLQFIADSFFTHLHGLKVLDLSRTRIMELPDSVSELASLTALLLEKCKNLRHVPSLEKLRALKRLDLSGTTALEEIPQGMQCLSNLRYLRMNGCGEKEFPSGILPKLSHLQVFILEQWINYDVSYLIEHSIELEVIHIADCDSMESVISSSWFCPSPTPSPSYNGVFSGLKVFSCYGCTSMKKLFPLALLPNLVNLEKIKVRGCEKMEEIIGGRRSDEESSSTEFKLPKLRSLALFNLPELKNICSAKLTCDSLQKIEVWNCNSMEILVPSSWISLVNLEKITVRGCEKMEEIIGGRRSDEESSSTEFKLPKLRSLALFNLPELKSICSAKLTCDSLQQIEVWNCNSMEILVPSSWISLVNLEKITVRGCEKMEEIIGGRRSDEESSSTEFKLPKLRSLALFNLPELKSICSAKLTCDSLQQIEVWNCNSMEILVPSSWISLVNLEKITVRGCEKMEEIIYGTRSNEEQDMGEESGSNNTEFKLPKLSYLDLEDLPTLKRICTAKISCDSLQEIIIKNCKSMESLVPLSWICLVNLERITVAGCEKVEIICGTRLDEESSSSSNNTELKLPKLRYLELKDLPELKRICSAKLICDSLQKIAVRNCNSMEILVPSSWICFVNLERITVAGCEKVEIICGTRSDEESSRSNTEFKLPKLRYLELEDLPELKRICDAKLICDSLQEIIVKNCKSMESLVPSSWISLVNLEQISVARCKKMKEIIGGTRSDKESSSNNTEFKLPKLRSMKLSRLPELKRICSAKLISDSLQQIEVRNCNSMESLVPSSWISLVNLEKISVARCKKMKEIIGGIRSDEESSSSSTKFKFSKLRSLALEDLPELKRIWSANLICDSLQQIAVRNCNSMEILVPSSWISLVHLEKISVAGCHKMKEIIGGTRSDEESSSSSTEFKFSKLKSLAYVGLDNSCLFKDEILNENLISFKDCAFLII >Potri.019G009198.1.v4.1 pep chromosome:Pop_tri_v4:19:144254:151329:1 gene:Potri.019G009198.v4.1 transcript:Potri.019G009198.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009198.v4.1 MVRSNDPFWNDVEDMNDGSMKCKFCGHPFAKDTSISRIKWHLSGVKSRGVKICKHVPEEVQDAARAAIDGPPEKKRKTAAGSSNNEVINPISASAQEQNNEVMAQQGDALSHEALEDWIASFTVEEIELLERGGFPDRTSINQAAEPGGDSSQPSDPLCLDHGRYYDHLCAPSIHNDVIMDDVENMVRLRTEPVEEDAENTHGRLVQPGAGASSSGGVARNTNEIKGDALPTRKMVGQAFKDHKESVQSLLEHDEVSSIGIYGMGGVGKTTLVTHIHNQLLERADTDVYWITVSQDTSINKLQTSLARRIGLDLSSEDEELHRAVALKKELMKKQKWVLIFDDLWKAFDLQKLGVPDQIEGCKLILTTRSVKVCQQMKTQHTIKVQPISEKEAWTLFIERLGHDIAFSSEVEGIALNVVRECAGLPLGIITIAGSMRGVDEPHEWRNTLKKLKESKYKEMEDEVFRLLRFSYDQLNDLALQQCLLYCALYPEDHRIEREELIGYLIDEEIIEGMSSRQAAFDEGHTMLDKLEKVCLLESCFNYCHRKCVKMHDLIRDMAHQILQTNSPVMVGDFVGGLPDVDMWKENLARVYLKGRYLEEIPSSHSPRCPNLSTLLLCDNERLQFIADSFFTHLHGLKVLDLSRTRIMELPDSVSELASLTALLLEKCKNLRHVPSLEKLRALKRLDLSGTTALEEIPQGMQCLSNLRYLRMNGCGEKEFPSGILPKLSHLQVFILEQWINYDVSYLIEHSIELEVIHIADCDSMESVISSSWFCPSPTPSPSYNGVFSGLKVFSCYGCTSMKKLFPLALLPNLVNLEKIKVRGCEKMEEIIGGRRSDEESSSTEFKLPKLRSLALFNLPELKNICSAKLTCDSLQKIEVWNCNSMEILVPSSWISLVNLEKITVRGCEKMEEIIGGRRSDEESSSTEFKLPKLRSLALFNLPELKSICSAKLTCDSLQQIEVWNCNSMEILVPSSWISLVNLEKITVRGCEKMEEIIGGRRSDEESSSTEFKLPKLRSLALFNLPELKSICSAKLTCDSLQQIEVWNCNSMEILVPSSWISLVNLEKITVRGCEKMEEIIYGTRSNEEQDMGEESGSNNTEFKLPKLSYLDLEDLPTLKRICTAKISCDSLQEIIIKNCKSMESLVPLSWICLVNLERITVAGCEKVEIICGTRLDEESSSSSNNTELKLPKLRYLELKDLPELKRICSAKLICDSLQKIAVRNCNSMEILVPSSWICFVNLERITVAGCEKVEIICGTRSDEESSRSNTEFKLPKLRYLELEDLPELKRICDAKLICDSLQEIIVKNCKSMESLVPSSWISLVNLEQISVARCKKMKEIIGGTRSDKESSSNNTEFKLPKLRSMKLSRLPELKRICSAKLISDSLQQIEVRNCNSMESLVPSSWISLVNLEKISVARCKKMKEIIGGIRSDEESSSSSTKFKFSKLRSLALEDLPELKRIWSANLICDSLQQIAVRNCNSMEILVPSSWISLVHLEKISVAGCHKMKEIIGGTRSDEESSSSSTEFKFSKLKSLAYVGLDNSCLFKDEILNENLISFKDCAFLII >Potri.007G016466.1.v4.1 pep chromosome:Pop_tri_v4:7:1236515:1237965:-1 gene:Potri.007G016466.v4.1 transcript:Potri.007G016466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016466.v4.1 MLWELLVVCRSIVMLFLAPLCRNSSFYIFFLSFHISYNALHRPIMVRREFICLSSRLKIINITIFDCPWPINLPLEHIKTTANLSFIGLVDLCQFLGTISTFLLFLCASSSRVERNQSCI >Potri.012G145050.1.v4.1 pep chromosome:Pop_tri_v4:12:15543566:15547022:-1 gene:Potri.012G145050.v4.1 transcript:Potri.012G145050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145050.v4.1 MIKEDSKATPNLISEVLDVKQKLHKIELEMSKLQSKQVEMEKDGKSNLLSRSDGKIHAEEDTKMRTVFVTNVHFAATKEALSLYFAKCGVVENVVILIDKTTGQRKGSAYVAFASKDSAEKAVALSGATFFSRTLKVSRKAESTSAMAQLAGKPSRALSSRINKKAVVKMPYSSSSHLQWRRDSSTSEPSASANEE >Potri.012G080400.2.v4.1 pep chromosome:Pop_tri_v4:12:10504932:10506555:1 gene:Potri.012G080400.v4.1 transcript:Potri.012G080400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080400.v4.1 MIKLTYQIYILILSFIILRWSLIAGRVPGRTDNQVKNHWNTHLSKRLGIKQKKCKVSASSSKFSEKLGANSRTKLSSNDEPILSHNGEAEIHTEIQDNSEKVKEMTSSQDPVLFGDCYDNFWLSNSDPFSCSPNLMEFLDQSLDLFCYNL >Potri.012G080400.1.v4.1 pep chromosome:Pop_tri_v4:12:10504673:10506639:1 gene:Potri.012G080400.v4.1 transcript:Potri.012G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080400.v4.1 MQGAGNGEYRKGLWTEEEDRILTDHVKVHGKGKWNQIAKVTGLKRCGKSCRLRWMNYLSPSVKRGVFSEEEDDLIIRLHKLLGNRWSLIAGRVPGRTDNQVKNHWNTHLSKRLGIKQKKCKVSASSSKFSEKLGANSRTKLSSNDEPILSHNGEAEIHTEIQDNSEKVKEMTSSQDPVLFGDCYDNFWLSNSDPFSCSPNLMEFLDQSLDLFCYNL >Potri.001G454101.1.v4.1 pep chromosome:Pop_tri_v4:1:48042211:48044162:-1 gene:Potri.001G454101.v4.1 transcript:Potri.001G454101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454101.v4.1 MKTILSSETMDIPDGVKIKISARIIEVEGPRGKLSRNFKHLNLDFQLIKDEEGKRKLKIDAWFATRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLDGVSILRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVVEEE >Potri.016G138900.4.v4.1 pep chromosome:Pop_tri_v4:16:14220417:14222337:-1 gene:Potri.016G138900.v4.1 transcript:Potri.016G138900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138900.v4.1 MAAKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Potri.016G138900.1.v4.1 pep chromosome:Pop_tri_v4:16:14220418:14222361:-1 gene:Potri.016G138900.v4.1 transcript:Potri.016G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138900.v4.1 MAAKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Potri.016G138900.5.v4.1 pep chromosome:Pop_tri_v4:16:14220611:14222052:-1 gene:Potri.016G138900.v4.1 transcript:Potri.016G138900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138900.v4.1 MAAKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYEATARSWTQKYAMG >Potri.013G156100.2.v4.1 pep chromosome:Pop_tri_v4:13:15112654:15113689:1 gene:Potri.013G156100.v4.1 transcript:Potri.013G156100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156100.v4.1 MLSSSSKRPQKSLKLKQDDKKGSSKRVTRDVSMANLSTEDYHVGASVAVPFTWESQPGTPKIKFRENPLPPLTPPPSYFYNTPKRPTKKLSKSSLLDSIFPKRSTRKTNLPVSPASSSSSSSSSSRLSSSCSATYSVPSSPMKISKSRGSYNGMSSPRQYFDTRKIMLNHDDQDHENECEYPVSTFCFGGIGRGASARSRGCYASMIKVLLRDA >Potri.009G067000.1.v4.1 pep chromosome:Pop_tri_v4:9:6717300:6720243:-1 gene:Potri.009G067000.v4.1 transcript:Potri.009G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067000.v4.1 MFSFSNNASVKAFHHLLSTLSCKHSSSFSTHASLLSLISNAKTLPQTKQSHASALFNAFLPQSISLCASLILKYATFHDPTTSLYLFHQTLPFSRSAFLWNTLIRALSVARVNDDFRTYNQMVKMGVRLDDHTFPFVLKACADSLSVQKGREIHGVVFKLGFDSDVFVGNTLLLFYGNCGGLKDVKRVFDEMLERDVVSWNSVIGVFSVHGFYAEAIHLFCEMNLRSGFRPNMVSIVSVLPVCAGLEDGVTGRQIHCYVVKTGLDSQVTVGNALVDVYGKCGYVKDSRRVFDEISERNGVSWNAIITSLAYLERNQDALEMFRLMIDGGVKPNSVTFSSMLPVLVELKLFDFGKEIHGFSLRFGLESDIFVANALIDMYAKSGRSLQASNVFNQIGEKNIVSWNAMVANFAQNRLELAAVDLVRQMQADGEIPNSVTFTNVLPACARIGFLRPGKEIHARAIRTGSSVDLFVSNALTDMYAKCGCLNLARRVFKISLRDEVSYNILIIGYSQTTNCSESLRLFLEMGIKGMKLDVVSYMGVISACANLAALKQGKEVHGLAVRKHLHTHLFIANALLDFYIKCGRIDLAGKVFRQIPSRDTASWNSMILGYGMLGELTIAINLFEAMKEDGVEYDSVSYIAVLSACSHGGLVEEGKKYFEHMQVQNIKPTQMHYACMVDLLGRAGLIEEAVKLIESLPIEPDANVWGALLGACRIHGYIELAHWAAEHLFKLKPQHSGYYSVLSNMYAEAGKWDEANQVRKLMKSRGAKKNPGCSWVQIDNQVHAFVAGERMMNVDSSLLCADP >Potri.T045300.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:493862:495519:1 gene:Potri.T045300.v4.1 transcript:Potri.T045300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045300.v4.1 MPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEDWIPFGTKDNRREQPVLITVNGKEVGCLRKLESLECHFEGYSDYVEYLNSRDETKSLSRYKIRVGLLLYTSSYGCYNGCRRKTIVWGNLSIDRDGDFQVMFPKDIQQLTIHNNDDATSLCDFWSLIKNATELEAIKISDCSSMESLFSSSWFCSAPPLESLVSSSWFCSAPPPSPSYSGIFFGLKEFSCYECKSMKKLFPLVLLPSLVNLERIIVGICEKMEEIISGTRSDEEGVMGEESSTDLKLPKLRSLQLTGLPELKSICSAKLICDSLEYIQVRNCEKLRTMGICLPLLDNGEPSPPPSLREIDATRKWWESVVEWEHPNAKDVLRPFVSFEV >Potri.T045300.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:492033:495639:1 gene:Potri.T045300.v4.1 transcript:Potri.T045300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045300.v4.1 MRGGNKRHKSIASSSNVNDNAISTNPQEQNNEVDNLAGDAGRTQAPDTMGQALELSWVEINEMLMEDDIENGTGGVVQPGGGASSSGGLTGNTNETPGDPLPTSSTKLVGRAFEQNTNLIWSWLKDDEVSTIGIYGMGGVGKTAMLQHIHNELLERRDISHCVYWVTVSQNFNIKRLQTCIAKCLGFNLSSEDDELHRARKLLKELRKKQKWILILDDLWNTFNLHEVGIPELVDLKGCKLIMTSRSERVCQWMDRRSEIKVKPLSENEAWDLFKEKLGRDISLTPKVERIAVDIARECDGLPLGIITIAGSLRRVDDLHEWRNTLKKLKESKCKDMEDKVFRLLRFSYDQLHDLAALQQCLLFCALFPEDHKIGRKGLIDNLIDEGIIERMESRQEAVDEGHSMLNRLESVCLLESAKKGYGGYSYVKMHDLIRDMAIQTLQENSQCMVKAGARLSELPDAEEWTENLTRVSLMQNQIEEIPSTHSPRCPSLSTLLLRYNSELQFIADSFFEQLHGLKVLDLSYTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTWALEKMPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEDWIPFGTKDNRREQPVLITVNGKEVGCLRKLESLECHFEGYSDYVEYLNSRDETKSLSRYKIRVGLLLYTSSYGCYNGCRRKTIVWGNLSIDRDGDFQVMFPKDIQQLTIHNNDDATSLCDFWSLIKNATELEAIKISDCSSMESLFSSSWFCSAPPLESLVSSSWFCSAPPPSPSYSGIFFGLKEFSCYECKSMKKLFPLVLLPSLVNLERIIVGICEKMEEIISGTRSDEEGVMGEESSTDLKLPKLRSLQLTGLPELKSICSAKLICDSLEYIQVRNCEKLRTMGICLPLLDNGEPSPPPSLREIDATRKWWESVVEWEHPNAKDVLRPFVSFEATELLRKLAQAQALQAQLKKKINKVSN >Potri.T045300.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:490958:495612:1 gene:Potri.T045300.v4.1 transcript:Potri.T045300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045300.v4.1 MVQSNDPFWQHVEEMKCMYCGRQFAKDTSISRIKWHLSGEKGNGVAICREVPKQVQEAAFQAMRGGNKRHKSIASSSNVNDNAISTNPQEQNNEVDNLAGDAGRTQAPDTMGQALELSWVEINEMLMEDDIENGTGGVVQPGGGASSSGGLTGNTNETPGDPLPTSSTKLVGRAFEQNTNLIWSWLKDDEVSTIGIYGMGGVGKTAMLQHIHNELLERRDISHCVYWVTVSQNFNIKRLQTCIAKCLGFNLSSEDDELHRARKLLKELRKKQKWILILDDLWNTFNLHEVGIPELVDLKGCKLIMTSRSERVCQWMDRRSEIKVKPLSENEAWDLFKEKLGRDISLTPKVERIAVDIARECDGLPLGIITIAGSLRRVDDLHEWRNTLKKLKESKCKDMEDKVFRLLRFSYDQLHDLAALQQCLLFCALFPEDHKIGRKGLIDNLIDEGIIERMESRQEAVDEGHSMLNRLESVCLLESAKKGYGGYSYVKMHDLIRDMAIQTLQENSQCMVKAGARLSELPDAEEWTENLTRVSLMQNQIEEIPSTHSPRCPSLSTLLLRYNSELQFIADSFFEQLHGLKVLDLSYTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTWALEKMPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEDWIPFGTKDNRREQPVLITVNGKEVGCLRKLESLECHFEGYSDYVEYLNSRDETKSLSRYKIRVGLLLYTSSYGCYNGCRRKTIVWGNLSIDRDGDFQVMFPKDIQQLTIHNNDDATSLCDFWSLIKNATELEAIKISDCSSMESLFSSSWFCSAPPLESLVSSSWFCSAPPPSPSYSGIFFGLKEFSCYECKSMKKLFPLVLLPSLVNLERIIVGICEKMEEIISGTRSDEEGVMGEESSTDLKLPKLRSLQLTGLPELKSICSAKLICDSLEYIQVRNCEKLRTMGICLPLLDNGEPSPPPSLREIDATRKWWESVVEWEHPNAKDVLRPFVSFEATELLRKLAQAQALQAQLKKKINKVSN >Potri.002G145700.1.v4.1 pep chromosome:Pop_tri_v4:2:10995599:10997894:1 gene:Potri.002G145700.v4.1 transcript:Potri.002G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145700.v4.1 MSSASTATIFLLLVIAATTTFCHQELEKSENFRSQTDQASEALAFNVKSGKGLKRRKPNGKLLTSWNPANSKADYVVAQDGSGTHKTINDALAALDKTGGNRRNQRVIVYVKAGVYNEKVVIKKNMEKLMFVGDGIDRTIVTGNRNAKRDGYATHETATFGVHADGFWARDMTFENTAGPDGRQAVALMVSSEQSVVYRCSFKGYQNTLYVRSKRQFYRDCHIYGTIDFIFGNAAVVLQNCDIFVRKPNENQKNVIVAQGRKGPDENTGISIQGSRIRPAPDFIGVKNIPTFLGRPWRKYSRTVIFETDIDGFIDPAGWLPWDGSVHLNTLFYAEYNNIGCGASTEHRAKWPGFHVFKSWKEASPFTVNKFIKGSSWISQTGVSYKLGV >Potri.016G062600.3.v4.1 pep chromosome:Pop_tri_v4:16:4371283:4386598:1 gene:Potri.016G062600.v4.1 transcript:Potri.016G062600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062600.v4.1 MGFLPKNSQAESRDSEVKTGQFLQGIVTKIDKTRKVVYLSSDPDTVSKCVTKDLKGISIDLLIPGMMVDARVQSTLENGIMLSFLTYFTGTVDMFHLQNTFPTSNWKDDYAKNKKVSARILFIDPSTRAVGLTLNQHLVHNNSPPSSVKVGDIYDIAKVVRVDKGMGLLLEIPSTPLPTPAFVNVSDVAEDEVRKLEKKFKEGSNVRVRILGYRHLEGLATGILKASAFEGSVFTHSDVKPGMATRAKIIAVDSFGAIVQFPGGVKALCPLRHMSEFEIVKPRKKFKVGAELFFRVLGCKSKRITVTHKKTLVKSKLPILSSYSDATDGLITHGWITKIEKPGCFVHFYNGVQGFAPRSELGLEPGSDAISTYQVGQVVKCRVISSIAASRRINLSFIMKPLRFSEEDGIKMGSVVTGVIDKVTASSVIVYVNAKDYLKGTIATEHLSDHHEHAALMKSVLKPGYEFDQLLVLDIESNNLALSAKYSLIKSASQLPSDLSQIRPQSIVHGYICNMIETGCFVRFLGNLTAFSPRSKAMDDQRSQLSEAFYIGQSVRSNILDVNNETSRITVSLKQSCCSSTDACFLQEYFLSENKIADLQSSDSKGRDLKWVEGFHIGSTIEGKIQESKEFGVVVSFEKHNDVFGFVSHHQLGGAMVKAGANVRAAVLDVAKTERLVDLSLKLEFLDKSRDKSSNSLTHKKKRKGEMSKDLEVHQTVNAVVEIVKENYLVLSIPEHNYAIGYASVSDYNTQKISQKQFLNGQSVSATVMALPTPSTAGRLLLLLKSISEVTETSSSKKAKRKSSCNVGSLVQAEITEIKPLEMRLKFGIGFRGRIHITEVNDTCLLENPFSNFRVGQTVSARIIAKAGQSDNKKSQLWDLSIKPKMLEDSCMIEDKLVPKEYEFSSGQHVSGYVYKVDGEWAWLTISRHLKAKLFVLDSACEPSELQEFQKRFYVGKAVTGHVLNYNKEKASLRLALHPFAASQTLVDGGAPIMDDLQGNAPWDNVTAHIREGDIVGGRISKILPGVGGLLVQLGPHIHGRVHFTELQDSWVPDPLSAYKEGQFVKSKVLEISHPVKGTIHIDLSLRLSLNGMLGQNSAEFSNNQDAPSKHVDKIEDLQPDMVVQGYVKNVSSKGCFISLSRKLDAKILLSNLSEGYIDDPEKEFPIGKLLTGRVLSVEHLSKRIEVTLKKSGVSNASKSENSDLSRLHVGEIISGRIKRVESYGLFIALDHTNLVGLCHVSQLLDHIGNIESKYKAGEKVTAKILKVDEERRRISLGMKNLDVRDDMNSSKEESDEEKSENESMDDSNAQIKIIPESSLLGIHNIDVECQNERSILAQAESRASIPPLEVALDDTEHSHPDDVLLQNQGHIDEADTMVKKNKQEKKKPKKLSEQEISAAEERRLEEDEPRTADEFEMVIRSSPNNSFLWIAYMRFMLSLADIEKARSIAERALNTINIREEDEKLNIWVAYFNLENEYGNPPEDAVKKVFQRALQYCDPKKVHLALLKMYKKTNQNKLAEELLDKMIKKFKHSCKFWLKRVKWLLKQKQDGVQSVVQRALLCLPRHKHIKFISQTAIREFKCGVADRGRTLFEEILREYPKRTDLWSVYLDQEIKLGDADVIRSLFERAISLSLPPKKMKFLFKKYLEYEKSYGDEKQIESVKQKAMEYVQNTLA >Potri.016G062600.1.v4.1 pep chromosome:Pop_tri_v4:16:4368701:4386595:1 gene:Potri.016G062600.v4.1 transcript:Potri.016G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062600.v4.1 MTAPSKKKQQNPKQNDGPKFNKASQKQFKAKQTKNKKSFSNDAVVKDASIALQLEDDVPDFPRGGKSSLSQREREEIRAQVDEEFEGEERRLNKKNKKGKKFQNKSSQLSGDDLGSLFGDVLTGKLPRFANKITMKNISPGMKLWGVVTEVNEKDLVISLPGGLRGLVRSVDAVDPVLTDQIEDGEGSLPRVFHVGQLVSCIVLKLDDDKNDNKKRKIWLSLRLSLLHNGFSLDAVKEGMVLTAYVKSIEDHGFILHFGLSSFMGFLPKNSQAESRDSEVKTGQFLQGIVTKIDKTRKVVYLSSDPDTVSKCVTKDLKGISIDLLIPGMMVDARVQSTLENGIMLSFLTYFTGTVDMFHLQNTFPTSNWKDDYAKNKKVSARILFIDPSTRAVGLTLNQHLVHNNSPPSSVKVGDIYDIAKVVRVDKGMGLLLEIPSTPLPTPAFVNVSDVAEDEVRKLEKKFKEGSNVRVRILGYRHLEGLATGILKASAFEGSVFTHSDVKPGMATRAKIIAVDSFGAIVQFPGGVKALCPLRHMSEFEIVKPRKKFKVGAELFFRVLGCKSKRITVTHKKTLVKSKLPILSSYSDATDGLITHGWITKIEKPGCFVHFYNGVQGFAPRSELGLEPGSDAISTYQVGQVVKCRVISSIAASRRINLSFIMKPLRFSEEDGIKMGSVVTGVIDKVTASSVIVYVNAKDYLKGTIATEHLSDHHEHAALMKSVLKPGYEFDQLLVLDIESNNLALSAKYSLIKSASQLPSDLSQIRPQSIVHGYICNMIETGCFVRFLGNLTAFSPRSKAMDDQRSQLSEAFYIGQSVRSNILDVNNETSRITVSLKQSCCSSTDACFLQEYFLSENKIADLQSSDSKGRDLKWVEGFHIGSTIEGKIQESKEFGVVVSFEKHNDVFGFVSHHQLGGAMVKAGANVRAAVLDVAKTERLVDLSLKLEFLDKSRDKSSNSLTHKKKRKGEMSKDLEVHQTVNAVVEIVKENYLVLSIPEHNYAIGYASVSDYNTQKISQKQFLNGQSVSATVMALPTPSTAGRLLLLLKSISEVTETSSSKKAKRKSSCNVGSLVQAEITEIKPLEMRLKFGIGFRGRIHITEVNDTCLLENPFSNFRVGQTVSARIIAKAGQSDNKKSQLWDLSIKPKMLEDSCMIEDKLVPKEYEFSSGQHVSGYVYKVDGEWAWLTISRHLKAKLFVLDSACEPSELQEFQKRFYVGKAVTGHVLNYNKEKASLRLALHPFAASQTLVDGGAPIMDDLQGNAPWDNVTAHIREGDIVGGRISKILPGVGGLLVQLGPHIHGRVHFTELQDSWVPDPLSAYKEGQFVKSKVLEISHPVKGTIHIDLSLRLSLNGMLGQNSAEFSNNQDAPSKHVDKIEDLQPDMVVQGYVKNVSSKGCFISLSRKLDAKILLSNLSEGYIDDPEKEFPIGKLLTGRVLSVEHLSKRIEVTLKKSGVSNASKSENSDLSRLHVGEIISGRIKRVESYGLFIALDHTNLVGLCHVSQLLDHIGNIESKYKAGEKVTAKILKVDEERRRISLGMKNLDVRDDMNSSKEESDEEKSENESMDDSNAQIKIIPESSLLGIHNIDVECQNERSILAQAESRASIPPLEVALDDTEHSHPDDVLLQNQGHIDEADTMVKKNKQEKKKPKKLSEQEISAAEERRLEEDEPRTADEFEMVIRSSPNNSFLWIAYMRFMLSLADIEKARSIAERALNTINIREEDEKLNIWVAYFNLENEYGNPPEDAVKKVFQRALQYCDPKKVHLALLKMYKKTNQNKLAEELLDKMIKKFKHSCKFWLKRVKWLLKQKQDGVQSVVQRALLCLPRHKHIKFISQTAIREFKCGVADRGRTLFEEILREYPKRTDLWSVYLDQEIKLGDADVIRSLFERAISLSLPPKKMKFLFKKYLEYEKSYGDEKQIESVKQKAMEYVQNTLA >Potri.016G062600.4.v4.1 pep chromosome:Pop_tri_v4:16:4373832:4386725:1 gene:Potri.016G062600.v4.1 transcript:Potri.016G062600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062600.v4.1 MSEFEIVKPRKKFKVGAELFFRVLGCKSKRITVTHKKTLVKSKLPILSSYSDATDGLITHGWITKIEKPGCFVHFYNGVQGFAPRSELGLEPGSDAISTYQVGQVVKCRVISSIAASRRINLSFIMKPLRFSEEDGIKMGSVVTGVIDKVTASSVIVYVNAKDYLKGTIATEHLSDHHEHAALMKSVLKPGYEFDQLLVLDIESNNLALSAKYSLIKSASQLPSDLSQIRPQSIVHGYICNMIETGCFVRFLGNLTAFSPRSKAMDDQRSQLSEAFYIGQSVRSNILDVNNETSRITVSLKQSCCSSTDACFLQEYFLSENKIADLQSSDSKGRDLKWVEGFHIGSTIEGKIQESKEFGVVVSFEKHNDVFGFVSHHQLGGAMVKAGANVRAAVLDVAKTERLVDLSLKLEFLDKSRDKSSNSLTHKKKRKGEMSKDLEVHQTVNAVVEIVKENYLVLSIPEHNYAIGYASVSDYNTQKISQKQFLNGQSVSATVMALPTPSTAGRLLLLLKSISEVTETSSSKKAKRKSSCNVGSLVQAEITEIKPLEMRLKFGIGFRGRIHITEVNDTCLLENPFSNFRVGQTVSARIIAKAGQSDNKKSQLWDLSIKPKMLEDSCMIEDKLVPKEYEFSSGQHVSGYVYKVDGEWAWLTISRHLKAKLFVLDSACEPSELQEFQKRFYVGKAVTGHVLNYNKEKASLRLALHPFAASQTLVDGGAPIMDDLQGNAPWDNVTAHIREGDIVGGRISKILPGVGGLLVQLGPHIHGRVHFTELQDSWVPDPLSAYKEGQFVKSKVLEISHPVKGTIHIDLSLRLSLNGMLGQNSAEFSNNQDAPSKHVDKIEDLQPDMVVQGYVKNVSSKGCFISLSRKLDAKILLSNLSEGYIDDPEKEFPIGKLLTGRVLSVEHLSKRIEVTLKKSGVSNASKSENSDLSRLHVGEIISGRIKRVESYGLFIALDHTNLVGLCHVSQLLDHIGNIESKYKAGEKVTAKILKVDEERRRISLGMKNLDVRDDMNSSKEESDEEKSENESMDDSNAQIKIIPESSLLGIHNIDVECQNERSILAQAESRASIPPLEVALDDTEHSHPDDVLLQNQGHIDEADTMVKKNKQEKKKPKKLSEQEISAAEERRLEEDEPRTADEFEMVIRSSPNNSFLWIAYMRFMLSLADIEKARSIAERALNTINIREEDEKLNIWVAYFNLENEYGNPPEDAVKKVFQRALQYCDPKKVHLALLKMYKKTNQNKLAEELLDKMIKKFKHSCKFWLKRVKWLLKQKQDGVQSVVQRALLCLPRHKHIKFISQTAIREFKCGVADRGRTLFEEILREYPKRTDLWSVYLDQEIKLGDADVIRSLFERAISLSLPPKKMKFLFKKYLEYEKSYGDEKQIESVKQKAMEYVQNTLA >Potri.012G043700.1.v4.1 pep chromosome:Pop_tri_v4:12:3922229:3926136:-1 gene:Potri.012G043700.v4.1 transcript:Potri.012G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043700.v4.1 MGRGKERGVETREVKDPNLPQLAQEIQEKLLKSTVECMICYDMVRRSAPVWSCSSCFSIFHLNCIKKWARAPTSVDLIAEKNQGFNWRCPGCQSVQLTSLKDIRYVCFCGKRTDPPSDLYLTPHSCGEPCGKQLEKEVPGADGSREGLCPHNCVLQCHPGPCPPCKAFAPPSLCPCGKKRITTRCADRKSVLTCGQRCDKLLECWRHRCEQICHVGPCNPCQVLINASCFCKKNTEVVLCGDMAVKGEVKAEDGVFSCNSTCGKVLGCGNHICGETCHPGDCGDCEFMPGRVKSCYCGKTSLQEERNSCLDPIPTCAQICGKSLPCGMHQCKEVCHSGDCAPCLVSVTQKCRCGSTSRTVECYKTTSENEKFLCDKPCGRKKNCGRHRCSERCCPLSNSNNQFSGDWDPHFCQMACGKKLRCGQHSCESLCHSGHCPPCLETIFTDLTCACGRTSIPPPLPCGTPPPSCQLPCSVPQPCGHPASHSCHFGDCPPCSVPVAKECVGGHVILGNIPCGSRDIRCNKLCGKTRQCGLHACGRTCHSPPCDTSPGTETGSRASCGQTCGAPRRDCRHTCTALCHPYAPCPDVRCEFPVTITCSCGRMTASVPCDAGGSNGGYNDTILEASILHKLPAPLQPVESSGKKIPLGQRKFMCDDECAKFERKRVLADAFDINPPNLEALHFGENSSVTELIGDLYRRDPKWVLAVEERCKYLVLSKSRGTTSGLKIHVFCPMLKDKRDAVRLIAERWKVAIYSAGWEPKRFIVIHATPKSKTPSRVIGIKGTTTLSASHPPVFDALVDMDPRLVVSFLDLPREADISSLVLRFGGECELVWLNDKNALAVFNDPARAATAMRRLDHGSVYYGAAVVPQNSGASMGSPATNAWGTAGTAKEGTITALKGTSWKKAVVQESGWREDSWGDEEWSGGGSADVQASAWKGKEHPISTSINRWSVLDSDKADSSSAASVRIEDPAKRVAEILSSSGLESNVSTSNISVQTAMQPGGVSSEEDLSEVVDDWEKAYD >Potri.001G408950.1.v4.1 pep chromosome:Pop_tri_v4:1:43676321:43677245:1 gene:Potri.001G408950.v4.1 transcript:Potri.001G408950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408950.v4.1 MHSGVFKDKPKDREFALPVIHVYGFTRARDPESDFHERIRIALQEAEVDVEMRLDLENGCCVHHLDFPMSAAYASGSNLNLCIHFYFQIYDI >Potri.003G194000.2.v4.1 pep chromosome:Pop_tri_v4:3:19673707:19684025:-1 gene:Potri.003G194000.v4.1 transcript:Potri.003G194000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194000.v4.1 MEEFRRAILQSGPIESFALQTVQEFIKPQKQTKLVQDENQLLENMLRTLLQELVSSSAQSREEIMLYGKSIEDGEDSQGQIPRLLDVVLYLCERDFVEGGMIFQLLEDLTEMSTMRNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKEPPAAISLDFNFYKTMWSLQEYFCDPSLTLSPIKWQKFSLSLMVILNAFEAQPLSEEEGSANNLEEEAASFNIKYLTSSKLMGLELKDPSFRRHVLVQCLILFDYLKAPGKNDKDLTSESMKEEIKSREEHVKKLLEMTPPKGKDFLHKVEHILEREKNWLWWKRDGCPPFEKQPIENKTVQDGGKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPAITDYWKPLAEDMDPSASIEADYHHKNNRVYCWKGLRVSARQDLDGFSRFTDHGIEGVVPLELLPPDVRSKHQAKPNDRSKRAKKDEPKGASHQVEDNQIATPASEIDGEGIRTDLEASVTPMDSDAMATTSNISQSSTPTPDEHQKQSPDTDGGQEAGHIEADAEAEAGMIDGETDAEVDLEAVG >Potri.005G100400.9.v4.1 pep chromosome:Pop_tri_v4:5:7248787:7252499:1 gene:Potri.005G100400.v4.1 transcript:Potri.005G100400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100400.v4.1 MDPYKYRPSSSYNSPFFTTNSGAPVWNNNSSLTVGSRGPILLEDYHLVEKLANFDRERIPERVVHARGASAKGFFEVTNDISHLTCADFLRAPGVQTPVIVRFSTVIHERGSPETLRDPRGFAVKFYTREGNFDLVGNNFPVFFIRDGMKFPDMVHALKPNPKSHIQENWRILDFFSHHPESLHMFSFLLDDIGVPQDYRHMEGSGVNTYTLINKAGKAYYVKFHWKPTCGVKSLLEDEAIKVGGSNHSHATQDLYDSIKAGNYPEWKLFIQIIDPADEDKFDFDPLDVTKTWPEDILPLMPVGRLVLNKNIDNFFAENEQLAFCPAIIVPGIYYSDDKLLQTRIFSYADTQRHRLGPNYLQLPANAPKCAHHNNHHEGFMNFMHRDEEVNYFPSRFDPVRHAERYPNPSVIHTGKREKCIIEKENNFKQPGERYRSFSPDRKERFVRRWVEALSDPRVTYEIRSIWISYWSQADKSLGQKLASHLNMRPSI >Potri.005G069400.1.v4.1 pep chromosome:Pop_tri_v4:5:4499365:4502122:1 gene:Potri.005G069400.v4.1 transcript:Potri.005G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069400.v4.1 MESSGGQPSSMSQKKMTRQLIRKKDDTPLLFAARAGNLGAVMEILTGTGEEELKELLEKQNQSGETALYVAVEYGNVDVVREMIKYYDLAGAGIKARNGFDAFHVAAKRGDLEILRVLMEVHPELSMTVDLTNTTALHTAATQGHIEIVNFLLDSGSSLATIAKSNGKTALHSAARNGHLEVVRALLTIERGIATRKDKKGQTALHMAVKGQNVVVVEELIHAEPSSINIVDTKGNSALHIATRKGRAQIVTLLLQHGETDMMAVNRTGETALDTAEKTGHPEIRVILREHGCQSAKIIKPQEKNPARELKQTVSDIKHEVHYQLEHTRQTTKHVQGIAKYVNKMHAEGLNNAINSTTVVGVLIATVTFAAIFTVPGQYVDDPSEIPPGQSLGEANIATRAPFIIFFIFDSIALFISLAVVVVQTSVVVIENKAKKQLMAIINKLMWIACALVSVAFLALSYIVVGEHEKWLAIGVTIIGATIMVTTLGTMCYWVVKHRIESSNMRSIRRSSLGSRSRSFSVSVMSDSEIFDNERNKMYAI >Potri.005G069400.2.v4.1 pep chromosome:Pop_tri_v4:5:4499544:4502355:1 gene:Potri.005G069400.v4.1 transcript:Potri.005G069400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069400.v4.1 MEILTGTGEEELKELLEKQNQSGETALYVAVEYGNVDVVREMIKYYDLAGAGIKARNGFDAFHVAAKRGDLEILRVLMEVHPELSMTVDLTNTTALHTAATQGHIEIVNFLLDSGSSLATIAKSNGKTALHSAARNGHLEVVRALLTIERGIATRKDKKGQTALHMAVKGQNVVVVEELIHAEPSSINIVDTKGNSALHIATRKGRAQIVTLLLQHGETDMMAVNRTGETALDTAEKTGHPEIRVILREHGCQSAKIIKPQEKNPARELKQTVSDIKHEVHYQLEHTRQTTKHVQGIAKYVNKMHAEGLNNAINSTTVVGVLIATVTFAAIFTVPGQYVDDPSEIPPGQSLGEANIATRAPFIIFFIFDSIALFISLAVVVVQTSVVVIENKAKKQLMAIINKLMWIACALVSVAFLALSYIVVGEHEKWLAIGVTIIGATIMVTTLGTMCYWVVKHRIESSNMRSIRRSSLGSRSRSFSVSVMSDSEIFDNERNKMYAI >Potri.019G118700.1.v4.1 pep chromosome:Pop_tri_v4:19:14475148:14478973:-1 gene:Potri.019G118700.v4.1 transcript:Potri.019G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118700.v4.1 MVKATLVDKNGLRKGAWSKEEDDKLRVYVQKYGHWNWRQLPRFAGLSRCGKSCRLRWMNYLRPDVKRGNFSDEEDNLIIQMHEELGNKWSIIAGKLPGRTDNEIKNHWHTNLSKRVKQSQSVSSELVNKEQSSETSESEDSQAEKSETESVSVNTPSEPDRHPKIVENIPSPQEISCSELSSMNNDYVSGMNGAADSFSPMEIFQDSGFWNQPFIADNNDSQDGYHSLLFTEEVYMPSYPFNYDDDSMNWIQQMMQELQDSY >Potri.002G060900.1.v4.1 pep chromosome:Pop_tri_v4:2:4214391:4216508:1 gene:Potri.002G060900.v4.1 transcript:Potri.002G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060900.v4.1 MELVPSSVDSSWWMFALPAMLQTENLSNPLILLFVLISFLVITLLTWAFSTGGLAWKNGRNHKGSVSIPGPRGLPFFGSLFSLSRGLAHRTLACMASSQAATQLMAFSLGSTPAIVTSDPQIAREILTSPHFADRPIKLSAKSLMFSRAIGFAPNGAYWRLMRRIASTHLFAPRRIAAHEPWRQLDCAKMLSGIYDDQSLHGVVYLRKHLQDASLNNIMGTVFGKRYDLMQFNEEAKELQELVIEGFELLGAFNWSDYLPWLNYFYDPFRIKERCCQLVPRVKKLVKQIIEEHRIKKPKNVFDNADFVDVLLSLEGEEKLEEDDMVAVLWEMIFRGTDTTALLTEWVMAELVLNQEIQAKLSKELNLVVGNRSVTDADVADLPYLQAVIKETLRVHPPGPLLSWARLSTSDVHLSNGMVVPVNTTAMVNMWAITHDPRVWEDALVFKPERFMESQGGADVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVSLWVAKLVHHFEWVQDMHSPVDLSEMLKLSCEMKKPLSAVAIPRN >Potri.001G257300.1.v4.1 pep chromosome:Pop_tri_v4:1:27311527:27312591:1 gene:Potri.001G257300.v4.1 transcript:Potri.001G257300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257300.v4.1 MAVTKEELEFLETRKLLKEQIRKRNCPHHLSKLSNSDHHKTKTYGSFFGPSQPSIAPRVIQESKSKLQTQIPQHRAVSADILLSAMDNQTKVQRLRQTRDYSFLFSDDNNLTPPVSSATPTQASVPSIKHYADVKRLRKFNDPELKPKQPALACSLKPLTNKAVMEKIPSRMSLQYQPLTNKAVMEKIPSRMSLQYQCTDKRKPIIKAVHPTKQFAGSNDSKGPRRPNDATLNPKQPVKPHEMKNKRVRDNISSPAVSNLQDHRPKKRRLSDEEDECEGEKALLIIRKMFNTKRFAGRDDRDIKMEASFGDITKEEKRSERLGRKEDREQLRLLEENARRQRMRKHNQGTKFLI >Potri.010G092200.1.v4.1 pep chromosome:Pop_tri_v4:10:11677480:11681542:-1 gene:Potri.010G092200.v4.1 transcript:Potri.010G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092200.v4.1 MAPIISATQQLPQVSHAVLYSKPTRTGFSLLQSHILYSNRALFYSRSSFRPLKAILNSPSLTKVADDAKEKGEALTLREICLGRVPEHLLRRVEEVGYAVPTDVQKQALPVLFSGRDCILHAQTGSGKTLAYLLLIFSVINTQRSAVQALIVVPTRELGIQVTKVARMLAATPTENDAEQKSCTVMALLDGGMLRRHKSWLKAEPPTIVVATIASLCQMLEKHLLKLESMQVLVIDEVDFMFNSSKQVSSLRKLLTSYSSCNSRQTVFASASIPQHNRFLYDCIQQKWTKRDVVHVHVNPIEPMPTCLQHRFVICSKKQRHQTLLSLLQSDAPKSGIIFVGEQSEKSKKAGNGPPTIDLVDFLKTSYGGCSEILLLEEDMNFNSRAASLSEVRQGGGYLLVATDIAARGVDLPEITHIYNFNLPRTAVDYLHRAGRTGRKPFSDEKCNVTSIIMPEERFVLQRYENELMFNCEELFL >Potri.008G073001.2.v4.1 pep chromosome:Pop_tri_v4:8:4509625:4517131:-1 gene:Potri.008G073001.v4.1 transcript:Potri.008G073001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073001.v4.1 MQGGYVNDKWVQGGTNPDAYATWHWYLIDVFVYFSHSLVTLPPPCWTNTAHRRGVKVFGTFITEWDEGKLVRNKLLATMKSARMNAERLAELAVDLGFDGWLSNYPDLSAAVAGNRKFDVYMGMDVFGRKTFGGGQWNVVSTLSLSNHVIPLLVGTDHCLNVVHDLHKCKHQACFQALTNLILFRVKAIVFLSRATN >Potri.016G143500.1.v4.1 pep chromosome:Pop_tri_v4:16:14539031:14540258:1 gene:Potri.016G143500.v4.1 transcript:Potri.016G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143500.v4.1 MSRIHPSDQSRCHGHLLFKNHKLGSRTRPLHDDDDDKRSDQLSADPSVMLTVWKRSSMSFQGTDGFTVFDPHGTLVFRVDNYSRKNGYGLVLMDGVGNALLSLKPKMLSMQYQWNAYRGDQDGCERTSKVFSMRSPSVLSDFHAAGRKHVAEIFMGTRLGGRRHDQNMPDFKIQGSFRSRDCKIVKTCTGEVVARISRKRANNTTILLSDDVFSLVVQPGFDTHLIMAFVIVLDRISSKPFSPFLCS >Potri.006G045500.4.v4.1 pep chromosome:Pop_tri_v4:6:3087180:3091854:1 gene:Potri.006G045500.v4.1 transcript:Potri.006G045500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045500.v4.1 MAGLRPPTSISQTSTQLVTSLLVLLLTLLPLTLAGFAFVLQCRGGLTDPTTRWSHDHHEFPGMETISGLTKDVVRNSDLLGQSHSLSFPYFRDWKFGFGSDMKPKICITTSTSAGLEQTLPWIFYHKVMGVSTFFLFVEGRAASHDVSKVLETVPGVKVIYRLKELEEQQAKGRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIFMAREASLDWIIHLETDELIHPAGASEYFLRQLLSDVPGNVDMVIFPNYESSVERDDIKEPFSEVSMFKKNHDHLPKDTYFGNYEEAICGNPNYFLTYGNWKSAARIRDHLRPNGTHRWHNYMKTPNEVKLEEAAVLYCTYPKFSDLTSRHDQCGCKPTKEDVKRCFMFMAAFIIASTVTEEEMLHWYQERIMWTDEALKLKLLRKGILTRIYTPMVIVHGLRESGVFSSVIAASQKFISSVKNSNSSMTAGVVSSTKIGHGGESQATARRGLQISDEASIFSAIPPVSS >Potri.006G045500.5.v4.1 pep chromosome:Pop_tri_v4:6:3087480:3092457:1 gene:Potri.006G045500.v4.1 transcript:Potri.006G045500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045500.v4.1 METISGLTKDVVRNSDLLGQSHSLSFPYFRDWKFGFGSDMKPKICITTSTSAGLEQTLPWIFYHKVMGVSTFFLFVEGRAASHDVSKVLETVPGVKVIYRLKELEEQQAKGRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIFMAREASLDWIIHLETDELIHPAGASEYFLRQLLSDVPGNVDMVIFPNYESSVERDDIKEPFSEVSMFKKNHDHLPKDTYFGNYEEAICGNPNYFLTYGNWKSAARIRDHLRPNGTHRWHNYMKTPNEVKLEEAAVLYCTYPKFSDLTSRHDQCGCKPTKEDVKRCFMFMAAFIIASTVTEEEMLHWYQERIMWTDEALKLKLLRKGILTRIYTPMVIVHGLRESGVFSSVIAASQKFISSVKNSNSSMTAGVVSSTKIGHGGESQATARRGLQISDEASIFSAIPPVSS >Potri.002G005300.1.v4.1 pep chromosome:Pop_tri_v4:2:299695:300764:-1 gene:Potri.002G005300.v4.1 transcript:Potri.002G005300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005300.v4.1 METVELKVEMVGIHEKRLRKCLSKLKGIEKVEVDVNSQKVVVTGYAHRNKILKAIRRGGLKADFWSPQNELLSVYASASYGSLGFNNVNFF >Potri.012G020700.2.v4.1 pep chromosome:Pop_tri_v4:12:2184337:2185945:1 gene:Potri.012G020700.v4.1 transcript:Potri.012G020700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020700.v4.1 MAALPSISSHSSSSSLYPNYQSSPLIFSSKTTQDHCSPFFTIRSNGSLRGRLSSSTFPRGLKVLNAATKPAKSPAEEDWKTKREVLLQNKVRSVDVKEALRLQKENKFVILDVRPEAEFKEAHPSGAINVQVYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFMQTVESKINKNAKIIVACSAGGTMRPSQNLPEGQQSRSLIAAYLLVLNGYKNVFHLEGGLYTWFKEDLPAESEE >Potri.012G103300.1.v4.1 pep chromosome:Pop_tri_v4:12:12571813:12576849:1 gene:Potri.012G103300.v4.1 transcript:Potri.012G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103300.v4.1 MRDMYVVPPPPDPIAGATVDASVTGDDGEDQLRTYQAWKGSNIFLLQGRLIFGPDARSLLLTIFLIVVPAAIFCVFVARKLKDDFSHHLGISILVVAIVLTLLDLTLLLLTSARDPGIIPRNAHPPEPEGYDWQTPLTPGQTPPFRLPRTKDVIINGMTVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFVMFVFTETILCIYVHAFCWVYITRIMNSEETSIWKAMSKAPASIALVVYTFISVWFVGGLTVFHSYLISKNQSTYENFRYRYDGLANPFDKGLIENFMEIFCSSIHPSKNNFRAKVPKENTIPPRMVASSFVSSGVGKSAGDVEMGRKPVWDEAAGDADDYDGTIRNDDSLHEDRGLTDVSPDLSRILPPQGMEGRSVMHSRRPSLGRKSGSWDISPDLASAAGMGESERTACGTGDNLTSDAQQSKRHTKL >Potri.011G125951.1.v4.1 pep chromosome:Pop_tri_v4:11:16080453:16084941:1 gene:Potri.011G125951.v4.1 transcript:Potri.011G125951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125951.v4.1 MNNLSRWVWRSIDSVKLGLYQVLSLSVSTETVTEPTERQREREIMDSFSSHSSVGSGSPQISDEDLKDQLKNQLAQAYAQEFLEMENPQIQNALSTGTTPTSTNSPTSKTNAESTTAGSTTDTKGKQPQVLTSRKRNADDKKKSQIWDHFTKLDVDPKAPKGECNYCGKHVACHTIVNGTSNMWSHLKVCKKFPFVVDKKQKVLVLEPKIEKGELGEQNVGTLKAICYNYDECRQALAKMVIIDELPFNFVEGWGFKLFARTMQPRFVIPSRFTIMRDCLKLYVEEKDRLRTALRGQRLCLTTDTWTSIQNINYMCLTAHWIDNEWNLHKRILNFCQVSNHMGETIGQVIENCMLEWGIDKVLTVTVDNASSNNVTISFLKNVMKDWPTNILSNEHLHVRCCAHIVNLIVCDVLKEINVSVVKIRNAIRFVRSSPSRQLAFKKCAEKLHIECKKSLCLDVATRWNSTYLMLEAAEKFEKVFVRLAEKEPRYMSYFLEVDSKGNKKNIGPPSLEDWKNARTLVKFLKIFYMVTLRFSGSLHVTSNSFFNELIYMHTNLLQLCKNKDNILSGMAMNMMLKFEKYWGFEGNQNFLLYVANVLDPRFKLKYVKFCFGDLYDYDKAQLLTNKVKDVLVSLYEFYLKIDEVVDNNRHKQDVNVIDDVEVDVDILAQFKRYLQEMDRVENKNEVERYLIDSCEDPNNDKLDILGWWKSNSLRYKTLSKVAQHVLAIPISTVASESAFSTGGRVLDQFRSSLPSATVQALICCQNWLHHGSIPTDIRSLINDLETYKNLESEFGGKLHLATDDN >Potri.019G023010.1.v4.1 pep chromosome:Pop_tri_v4:19:593821:594761:1 gene:Potri.019G023010.v4.1 transcript:Potri.019G023010.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023010.v4.1 MAAPPPNPVLVAYRGGSPSVPDWLNKGYNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMAFYAFAAIVICWVVWAYKMSFGDMLLPFWGKAGPALGQKFLINQAALPETIQFNDNGGVETAIATPLYPMASMVWFQCVFAAISTLILLAGSVLGRMNFKAWMAFVPLWLPFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIPGLTTAFWVSQSSFSSSPLNTSLCELDACWVSKNISI >Potri.003G090100.1.v4.1 pep chromosome:Pop_tri_v4:3:11642645:11645799:1 gene:Potri.003G090100.v4.1 transcript:Potri.003G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090100.v4.1 MAVKTSKDQDPSPALLDAVEEIMRLYKSLPSRPSIEEVEAAISVIKTVNNEEQAKLDDISDQECPQDVPRELFSVLQQARKTALLFKSHEQRKEALQLVEVDKMFETFDGLIQKSSLLVSGGTQKEKLVSISESVEEIEKESVVSDESLIKKREDGESKKDSFKGLAKSSSSKATFFSGEVGTEKLSLMKVAAIIENSAKTGAVVLDLRGKLMDKVEWLPLSIGKLSVITELDLSENQIMALPSTINNLKALTKLDVHSNQLINLPESFGELINLTDLDLHANRLRLLPASFGKLTNLENLDLGSNQFTQLPETIGSLTSLKKLNVETNELEELPHTIGSCTSLVELRLDFNQLRALPEAIGKLACLEILTLHYNRIRGLPTTMGHLSNLRELVVSFNELEFIPENLCFAENLRKLNVANNFADLRALPRNIGNLELLEELDISDDQIRVLPDSFRLLLKLVVFRADETPLEVPPRQVTTLGAQAVVQFMATLVNKRDTNPQLSKKKKGFWHRVCLIFWPCRSN >Potri.013G038301.2.v4.1 pep chromosome:Pop_tri_v4:13:2573399:2581143:1 gene:Potri.013G038301.v4.1 transcript:Potri.013G038301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038301.v4.1 MIMVPLIAIPEPEMAGNLNERYQSYESERDLSKIGQTGQNEDEPGCDWVALISDVADILTFEPSIDEESAEERKMVDPGTISFISTVLQVPQDNNNDSETSNCVGSFQHCDMGDLGIQPKEFGEKNEVDQTPSVLPSTLLDKPIVTDASATVDVKGKNCQSIGKLHKIRRRCLVFEMGAHKKKLAFESNSSSTSSQLDHNGAYLEKHAAPRRTEKGKTLSALSGRGIGLHLNALTTASNGKAVKIETRASVKQEISEPPSPATKMTSGQDPAIKSIALTTVQTNFVPFDNKNKTMENAPHASMVVNEEFGISSPNMKRQKLENQGASRKRCNCKRSKCLKLYCECFAAGLYCIEPCSCLDCFNNPGHEGTVLETRGQIESRNPLAFAPKVIRNLDSASEFRGGVGCSSYCRCEGCKNTFGCKSGVEEDDLKWEESKIHEDASDLNLLDIIEKGEDHPDLMPPSQNSSFIHV >Potri.013G038301.1.v4.1 pep chromosome:Pop_tri_v4:13:2573380:2581160:1 gene:Potri.013G038301.v4.1 transcript:Potri.013G038301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038301.v4.1 MIMVPLIAIPEPEMAGNLNERYQSYESERDLSKIGQTGQNEDEPGCDWVALISDVADILTFEPSIDEESAEERKMVDPGTISFISTVLQVPQDNNNDSETSNCVGSFQHCDMGDLGIQPKEFGEKNEVDQTPSVLPSTLLDKPIVTDASATVDVKGKNCQSIGKLHKIRRRCLVFEMGAHKKKLAFESNSSSTSSQLDHNGAYLEKHAAPRRTEKGKTLSALSGRGIGLHLNALTTASNGKAVKIETRASVKQEISEPPSPATKMTSGQDPAIKSIALTTVQTNFVPFDNKNKTMENAPHASMVVNEEFGISSPNMKRQKLENQGASRKRCNCKRSKCLKLYCECFAAGLYCIEPCSCLDCFNNPGHEGTVLETRGQIESRNPLAFAPKVIRNLDSASEFRVRNAFRVVLVALPTVDAKDVKTLLVARVELKKMT >Potri.002G014300.8.v4.1 pep chromosome:Pop_tri_v4:2:887080:891266:-1 gene:Potri.002G014300.v4.1 transcript:Potri.002G014300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014300.v4.1 MVYNSNGNDQQTDNGAVLRSGREILLQAFNWESHKHDWWRKLEKKVADIAKSGFTTAWLPPPTNSFAPEGYLPQNLYNLNSSYGSEQLLKALLEKMKQYNVRAMADIVINHRVGTTQGHGGMYNRYDGVPLSWDERAVTSCTGGLGNRSTGDNFNGVPNIDHTQHFVRKDITAWLQWLRKNVGFQDFRFDFARGYSPKYVKEYIEGAKPIFSVGEYWDSCNYNGHFLEYNQDSHRQRIVNWIDLTGQLSAAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVLGWWPSRAVTFIDNHDTGSTQAHWPFPSDHIMEGYAYLLTHPGMPTVFYDHFYDWGNSIHEQIVKLIDIRKHQDIHSRSSIRIFEAQPNLYSAIIGEKVCMKIGDGSWCPAGKEWTLATSGHRYAVWQK >Potri.002G014300.9.v4.1 pep chromosome:Pop_tri_v4:2:886944:891489:-1 gene:Potri.002G014300.v4.1 transcript:Potri.002G014300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014300.v4.1 MVYNSNGNDQQTDNGAVLRSGREILLQAFNWESHKHDWWRKLEKKVADIAKSGFTTAWLPPPTNSFAPEGYLPQNLYNLNSSYGSEQLLKALLEKMKQYNVRAMADIVINHRVGTTQGHGGMYNRYDGVPLSWDERAVTSCTGGLGNRSTGDNFNGVPNIDHTQHFVRKDITAWLQWLRKNVGFQDFRFDFARGYSPKYVKEYIEGAKPIFSVGEYWDSCNYNGHFLEYNQDSHRQRIVNWIDLTGQLSAAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVLGWWPSRAVTFIDNHDTGSTQAHWPFPSDHIMEGYAYLLTHPGMPTVFYDHFYDWGNSIHEQIVKLIDIRKHQDIHSRSSIRIFEAQPNLYSAIIGEKVCMKIGDGSWCPAGKEWTLATSGHRYAVWQK >Potri.002G014300.10.v4.1 pep chromosome:Pop_tri_v4:2:887028:890625:-1 gene:Potri.002G014300.v4.1 transcript:Potri.002G014300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014300.v4.1 MQGNDQQTDNGMLLLSFLSVTVSLIRCFCSLIMNCDGVNYFWLGAVLRSGREILLQAFNWESHKHDWWRKLEKKVADIAKSGFTTAWLPPPTNSFAPEGYLPQNLYNLNSSYGSEQLLKALLEKMKQYNVRAMADIVINHRVGTTQGHGGMYNRYDGVPLSWDERAVTSCTGGLGNRSTGDNFNGVPNIDHTQHFVRKDITAWLQWLRKNVGFQDFRFDFARGYSPKYVKEYIEGAKPIFSVGEYWDSCNYNGHFLEYNQDSHRQRIVNWIDLTGQLSAAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVLGWWPSRAVTFIDNHDTGSTQAHWPFPSDHIMEGYAYLLTHPGMPTVFYDHFYDWGNSIHEQIVKLIDIRKHQDIHSRSSIRIFEAQPNLYSAIIGEKVCMKIGDGSWCPAGKEWTLATSGHRYAVWQK >Potri.002G014300.11.v4.1 pep chromosome:Pop_tri_v4:2:886962:890800:-1 gene:Potri.002G014300.v4.1 transcript:Potri.002G014300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014300.v4.1 MQGNDQQTDNGAVLRSGREILLQAFNWESHKHDWWRKLEKKVADIAKSGFTTAWLPPPTNSFAPEGYLPQNLYNLNSSYGSEQLLKALLEKMKQYNVRAMADIVINHRVGTTQGHGGMYNRYDGVPLSWDERAVTSCTGGLGNRSTGDNFNGVPNIDHTQHFVRKDITAWLQWLRKNVGFQDFRFDFARGYSPKYVKEYIEGAKPIFSVGEYWDSCNYNGHFLEYNQDSHRQRIVNWIDLTGQLSAAFDFTTKGILQEAVKGQFWRLRDPQGKPPGVLGWWPSRAVTFIDNHDTGSTQAHWPFPSDHIMEGYAYLLTHPGMPTVFYDHFYDWGNSIHEQIVKLIDIRKHQDIHSRSSIRIFEAQPNLYSAIIGEKVCMKIGDGSWCPAGKEWTLATSGHRYAVWQK >Potri.011G140700.1.v4.1 pep chromosome:Pop_tri_v4:11:17126189:17127997:-1 gene:Potri.011G140700.v4.1 transcript:Potri.011G140700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140700.v4.1 MADEVTLLDFWASPFGMRVKIALAEKGVKYEYSEQDLRDKSALLLQMNPVYKKIPVLVHRGKPVCESLIIVQYIDDVWRGKTPLLPSDPYERAQSMFWADFIDKKIHDLSRKIWTTKGEEMEAAKKGFFECLELLEGELGEKPYFGGETLGYVDIAFLPFCCGFSTYETIGNFSIEAQCPKIIAWAKRCLQKESVAKSLAEPGKVHELVMEIRKSLGFD >Potri.001G450900.1.v4.1 pep chromosome:Pop_tri_v4:1:47710310:47714170:1 gene:Potri.001G450900.v4.1 transcript:Potri.001G450900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450900.v4.1 MDVRNSEHGRVIEVHVDVPAAENSLGGSRICGGVSCGFSDAQTSSKDAKERGASMKKLGWAVGLCLVFMAVEIAGGIKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEATPRRTYGYFRIEILGALISIQMIWLLAGILVYEAIVRIIHDTGEVKGALMFAVAAVGLLVNIGMAFLLGHDHGHGHGHGHGHGHGHGHEHGEHNHDHSDDGHSHEGDDGHSHEGDDGHSHEDHDHSHEDHDHAHNHMLSGATHHNHHHHEGSSENNDEHHHTHGADLAEPLLSTHTEVDNKTKGGSKQKKQRNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLAFSIIVLGTTIGMIRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKFLLACHVMIKPDADADMVLDKVIDYIRREHNITHVTIQIERP >Potri.001G450900.3.v4.1 pep chromosome:Pop_tri_v4:1:47710239:47713622:1 gene:Potri.001G450900.v4.1 transcript:Potri.001G450900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450900.v4.1 MDVRNSEHGRVIEVHVDVPAAENSLGGSRICGGVSCGFSDAQTSSKDAKERGASMKKLGWAVGLCLVFMAVEIAGGIKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEATPRRTYGYFRIEILGALISIQMIWLLAGILVYEAIVRIIHDTGEVKGALMFAVAAVGLLVNIGMAFLLGHDHGHGHGHGHGHGHGHGHEHGEHNHDHSDDGHSHEGDDGHSHEGDDGHSHEDHDHSHEDHDHAHNHMLSGATHHNHHHHEGSSENNDEHHHTHGADLAEPLLSTHTEVDNKTKGGSKQKKQRNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLAFSIIVLGTTIGMIRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKFLLACHVMIKPDADADMVLDKVIDYIRREHNITHVTIQIERP >Potri.001G450900.2.v4.1 pep chromosome:Pop_tri_v4:1:47710241:47713623:1 gene:Potri.001G450900.v4.1 transcript:Potri.001G450900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450900.v4.1 MDVRNSEHGRVIEVHVDVPAAENSLGGSRICGGVSCGFSDAQTSSKDAKERGASMKKLGWAVGLCLVFMAVEIAGGIKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEATPRRTYGYFRIEILGALISIQMIWLLAGILVYEAIVRIIHDTGEVKGALMFAVAAVGLLVNIGMAFLLGHDHGHGHGHGHGHGHGHGHEHGEHNHDHSDDGHSHEGDDGHSHEGDDGHSHEDHDHSHEDHDHAHNHMLSGATHHNHHHHEGSSENNDEHHHTHGADLAEPLLSTHTEVDNKTKGGSKQKKQRNINVQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKIIDLICTLAFSIIVLGTTIGMIRNILEVLMESTPREIDATRLEKGLCEMDEVVAIHELHIWAITVGKFLLACHVMIKPDADADMVLDKVIDYIRREHNITHVTIQIERP >Potri.012G136800.1.v4.1 pep chromosome:Pop_tri_v4:12:15063073:15064957:1 gene:Potri.012G136800.v4.1 transcript:Potri.012G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136800.v4.1 MVSISVLANSYPSFPMLFLLAILLLLSLVLKHKSSKVPAIPPGPKSWPIIGNVLQIGNKPHISLTKLAQVYGPLMSLRLGTQLVVVGSSREAASEILKTHDRELSGRCVPHASFAKDPKLNEDSIAWTFECTDRWRFFRSLMRNELFSSKVVDGQSSTRETKAKEMIDFLKKKEGEGVKIRDIVFVYTFNVLANIYLSKDLIDYDQTGECQRVCGLVREMMELHTTLNISDLYPILGSLDLQGLSRKTNECGSRIQELWRSIIKERREGRNDTGDDDNSSKRKDFLDVLLDGEFSDEQISSFFVELLAAVSDSSSSTIEWAMAELMRNPQAMKQLREELAGETPEDLITESSLAKFPYLHLCVKETLRLHPPAPLLIPHRATEDCQVLDCTIPKDTQVLVNVWAIARDPASWEDPLCFKPERFLNSDLDYKGNHFEFLPFGSGRRICAGLPMAVKKVQLALANLIHGFDWSLPNNMLPDELDMAEKYGITLMKEQPLKLIPKLRK >Potri.001G282100.1.v4.1 pep chromosome:Pop_tri_v4:1:29557869:29560519:1 gene:Potri.001G282100.v4.1 transcript:Potri.001G282100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G282100.v4.1 MNSVGLESTAVCHVVAMPFPGRGHINPMMNFCKLLASRKHDILITFVVTEEWLGYISSEPRPESVRLVTIPNVIPPERLKAVDFPGFYEAVMTKMEAPFEQLLDQFEIPVTAIIGDIEVRWAISLGNRRNIPVAAFWTMSATFLSMLYHFDLFKQNQKSPSNLLGQVDYIPGISSSHLAELQKVFQKNDRRVLQLALECISKVPQAQYLLFTSIYELEPQVMDTMKDTLQFPVYPIGPAIPYLELEGNLSGTNYSHMAPDYLQWLDSQPKDSVLYISLGSFLSVSSTQMDEIIAGLQDSGVRYLWVARGEASRLKDICSDDMGLVLPWCDQLKVLCHSSIGGFWTHCGWNSTLEAVFAGVPMLTFPLFLDQEPNSNQILEGWRIGWKVKRGVREENLLTREEIAELVQKFMDLESNEGKEMRRRARELGNICQQGIAEGGSSTTNLDAFIRDISLGIRH >Potri.001G078700.1.v4.1 pep chromosome:Pop_tri_v4:1:6265097:6268056:1 gene:Potri.001G078700.v4.1 transcript:Potri.001G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078700.v4.1 MAQTAEESGNDSGKLEHHGREDSEYVRLVISDEPRAPEFDISQLQSRARIKAFIWWIKALIWCLVITILLLVFVKWGVPFLFEKVLLPMMEWEATAFGRPVLALVLTASLALFPVFLIPSGPSMWLAGMIFGYGIGFVIIMVGTTIGMVLPYLIGLVFRERIHQWLKRWPQKASMIRLAGEGSWFHQFKVVALFRVSPFPYTIFNYAIVVTSMTFWPYLCGSVAGMVPEAFIYIYSGRLIRTFADVKYENYHLTAVEIIYNIISFIIAVVTTVAFTVYAKRALKDLEKEEATEEVPPTHQESHEMRKLPLERPKHAGLSSFSL >Potri.011G026450.1.v4.1 pep chromosome:Pop_tri_v4:11:1941220:1942218:-1 gene:Potri.011G026450.v4.1 transcript:Potri.011G026450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026450.v4.1 MSELHISDSLSFWGGEHGMRACLHPVLMVGKA >Potri.006G239802.2.v4.1 pep chromosome:Pop_tri_v4:6:24175843:24177435:1 gene:Potri.006G239802.v4.1 transcript:Potri.006G239802.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239802.v4.1 MTREERMLHGAYNVGDLDKAIKFYTEFRGMKLLRKRDVPEDRYSNAFLGYGPEDSTLELTYRELLGNRVQLKVELQKSHLLKTQWLQV >Potri.006G239802.1.v4.1 pep chromosome:Pop_tri_v4:6:24175843:24177435:1 gene:Potri.006G239802.v4.1 transcript:Potri.006G239802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239802.v4.1 MTREERMLHGAYNVGDLDKAIKFYTEFRGMKLLRKRDVPEDRYSNAFLGYGPEDSTLELTYRELLGNRVQLKVELQKSHLLKTQWLQV >Potri.017G006000.1.v4.1 pep chromosome:Pop_tri_v4:17:424721:426557:1 gene:Potri.017G006000.v4.1 transcript:Potri.017G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G006000.v4.1 MASDHSVGGEEVISNKQVILKDYVSGFPRESNLYLTTSNIKLKVPEEESGKDAVLVKNLYLSSDPFMRGRMQRDLPPGEPELSSYSLGSPIVGYGVARVVDSRHSDFKKGDLVWGRTIGWEEYSLITTPEYLFKINHTDDIPLSYYTGILGMPGMTAYFGFFDIGSPKEGDRVYVSSASGAVGQLVGQFAKLIGCYVVGSAGSKEKVELLKTKFGFDDAFNYKEEHDLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRHHGRIALCGMISQYNLEQPESVQNLIALLFKQIRMEGFAVYEYYDQYSKFLDFVLPYIKEGKIVYVEDITEGLESGPAALIGLFSGRNVGKQVVKVAQE >Potri.014G056700.2.v4.1 pep chromosome:Pop_tri_v4:14:3597634:3607483:-1 gene:Potri.014G056700.v4.1 transcript:Potri.014G056700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G056700.v4.1 MNYEQRLAAATMIIESKSHGESGYATSPFDATEIGVTATLKPHQLEGISWLIQRYHLGVNVILGDEMGLGKTLQAISFLSYLKVHQKSPGPYLVLCPLSVTDGWVSEIDKFTPKLKVLRYVGEKEHQRSLRKTIHEHVKESPSSSNVSLLPFDVLLTTYDIALVDQEFLSQIPWHYAIVDEAQRLKNPKSVLYNVLIDQFLMPRRLLMTGTPIQNNLTELWALMHFCMPLVFGTLDQFLSTFREAADASSDHDATKVKRQFKTLKSILKSFMLRRTKSRLIECGNLVLPSLTEITVMAPLVSLQKKVYTSILRKELPKLLALSSSASNHQSLQNMVIQLRKACSHPYLFPGIEPEPYEEGEHLVKASGKLIILDQLLEKLHDSGHRVLLFAQMTHTLDILQDFLELRKYSYERLDGSVRAEERFAAIRSFSGQSGRSGSESDQNSSFVFMISTRAGGVGLNLVAADTVIFYEQDWNPQVDKQALQRAHRIGQMNHVLSINLVTRHSVEEVIMQRAKRKLQLSHDVVGDDVMEEDRKETGGIETGDLRSIIFGLHRFDPSEVNSEKSNELNASELKALAQKVIALRCDQILDKDDRKFEVNPIGQEKGLDFVSGGESALASYDPGLDEASYLSWVEKFKETSQSNENLVMDLGNRRNLPDNKYLNLEAAKKKAEEKKLSKWEALGYHSLSVGDPIYPVDGDALSDSGFVHFVVGDCTHPDKLCSSEPSVIFSCVDESGNWGHGGMFDALAKLSSSIPAAYQQASEFRDLHLGDVHLVKIIENTDGQNMEGDTPRWVALAVVQSYNPRRKVPRSEISIPDLEACLSKASFAAAQNSASIHMPRIGYQDGTDRSQWYTVERLLRKYASVFGIKIHVYYYRRSS >Potri.010G118000.1.v4.1 pep chromosome:Pop_tri_v4:10:13702888:13703397:1 gene:Potri.010G118000.v4.1 transcript:Potri.010G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G118000.v4.1 MGLQNQLNDVSSESIPLLLIALIANCVACLRSFLFSVFHSVGLHRLDQAHVMDDGLLGSIGSGFAGLIVLAEQRKLNRVFSYKYCCGGDSNTNDKGGSDCVVCLCTLRHGDQVRRLDCCHVFHKECFDGWLDHLNFNCPLCRWPLVSDERVEETRRRVGADVVDWLSLR >Potri.010G040600.2.v4.1 pep chromosome:Pop_tri_v4:10:7109002:7121238:1 gene:Potri.010G040600.v4.1 transcript:Potri.010G040600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040600.v4.1 MGEEKSKKRPLDLDSNWDVIMGRDDGEPPPLVIVKNTPQPQPQPTPSQREDFASISDKKLEEQIERNKIHVMKLGPTLPDKGQKLQLTIKAMEEELDQRKHRRPAQMDVAECEKHRNSTASNGFGQKDASSSQVKNSKSQFSTIFSRKMEENTDCRVGNAFDKELTTLGHCNRQNMRSNGRSGKKRKQNIQSSSRQLPFQFATRVSLNGERRGPANGDQKGKASSAHLLHHNSENFSTNSSKKKDDCRVLPSNGSRPRKDPTVVVLDEDEPQLGTTELAKELAEHMKDAKISYPSRDDPASVEIAYKDMDCLAPEAFLTSPIMNFYIRYIRLQASPANKATCDYHFFNTFFYKKLEQAISYKGSDKESFFVKFRRWWKGVNIFEKAYILIPIHDDLHWSLVIICFPDKKDESGPIILHLDSLGFHCSSTVFSNIKSYLKEEWRYMNQEVPTDFPIPDRIWKHLDRRIEDKIIAVPQQKNDYDCGLFVLFFMERFIQEAPERLKKRDLAMFGKKWFRPEEASDLRKKIRAILMDEFQNAFKSGHISDSSSLSSGGDPP >Potri.008G068700.2.v4.1 pep chromosome:Pop_tri_v4:8:4156674:4159564:-1 gene:Potri.008G068700.v4.1 transcript:Potri.008G068700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068700.v4.1 MTKLHLSSAVLSVTTLSLLSFILSSPFLTHALGSGSTLAVISATSTVCGVAASQPSQSITCYRRTPIAEIITVQPNVSFSAISGGSTFFCGLRSGGYAFLCWDTTNSFFESRRVYVNNTALLQNLSVGDNQVCAIVNDTSSVNKTGTVRCWRGDGNASKQSPSEGDKFKSISSGSGFSCGILMENNRIKCWGNNAIAREIELGFADMIIWNLEVGGLHVCGVNSSGVLVCKGDNRFGQLNVPSNNALEYSQLALGASHSCAIRRNNGSVVCWGGGGEYNVSEIQGVFFESIVSGSNFSCGLVSRDFSIMCWGPGWPNASGTRLPLGEVLPGPCVQSSCSECGLYPRSEKLCSGSGNICKPCGPNASILTPLAPTSLPSAPPPSIVPKSSPSNKLTTGLLAFAIVGSVGGFAGICTIIYCLWTGVCFGKKKVHNSVQPTITRAVSIAGVTSNNSGLNSRSSTIRRQSSRVMRRQRSGTSSKHGDRAEEFSLAELAAATNNFSVENKIGAGSFGVVYGGKLKDGREVAIKRGETGQKMKKFQEKESAFESELAFLSRLHHKHLVRLAGYCEDGDERLLVYDYMKNGALYDHLHDKNNIEKSSSVINSWKMRIKIALDAARGIEYLHNYAVPPIIHRDIKSSNILLDANWTARVSDFGLSLMGPESEQDYNYRPTKAAGTVGYIDPEYYGLNVLTAKSDVYGLGVVLLELLTGKRAIFKGDDNGGTPTSIVDFAVPKLMVNELGKILDPRVGPPELNEAEAVELVGYTAMHCVNLEGKDRPTMTDIVANLERALSLCDGSSHGSISSGRISIVSD >Potri.005G212000.1.v4.1 pep chromosome:Pop_tri_v4:5:21601761:21603013:1 gene:Potri.005G212000.v4.1 transcript:Potri.005G212000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212000.v4.1 MEGLRVFNLIAILSTLLVISVNGQISTPCTTSMISSFTPCINFITGSTNNGSSPTGSCCSSFKSLMSTGMDCACLLITANVPLQLPINRTLAITLPRACKMSGVPMLCKASGTPLPAPGPVLLGPTLPPTAAYPLSPRASKAVALAPAPESEITLPLTPASPPEPVEAPPATAGIRPVLSPSASMPSYVSPPSSLLIFLAIMVFKFY >Potri.001G085400.5.v4.1 pep chromosome:Pop_tri_v4:1:6802386:6803001:1 gene:Potri.001G085400.v4.1 transcript:Potri.001G085400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085400.v4.1 MAEVVGPRLYSCCNCRNHVALHDDVISKAFQGRHGRAFLFSHAMNVMVGPKEDRHLMTGLHTVADVSCSDCREVLGWKYERAYEETQKYKEGKFILEKSKIVKENW >Potri.007G080300.1.v4.1 pep chromosome:Pop_tri_v4:7:10465895:10467764:1 gene:Potri.007G080300.v4.1 transcript:Potri.007G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080300.v4.1 MCTQCIRTLSMTACGTLSMASLGLLEIFFAIVALAISCCFGNKKKRLPRNWPLVGMLPDSIRNLHRPYERIAEVIQLSGGSFIYKSLWFFSPNVLNTVDPANIHYIMSSNFSNFPKGSMFSRIFDILGDGIFNADSHLWKNQRKLAHVLINHQQFQRFLVKSSWNKVEKGLIPVLEHVAKQGSVVDLQDVFQRFTFDITSILVTGYDPGCLSIEFPQVEFSKAMDDAEEAIFYRHLWPEIFLKLQRWLGFGQEQKLKEAWQTLDHMVAEYISRKREKLSKKTTTIEDEDGVDLLTSYMSHPEIMGLKTDDKFLRDTIVNFFLAGRDTTSSALTWFFWLVSQNPQVESKIRQELKATMPAEERENWRLFSTQELSNLVYLHGALCETLRLYPPVPFQHKEPLKSDVLPSGHRVGPNMMVLFSVYVMGRMTSIWGPDCLEFKPERWISDSGKIKHEPSYKFLAFNAGPRTCLGRGVAFTQMKAVAAAIIHNYQVHVVEGHPVAPSSSIILHMKHGLKVSLSRKWI >Potri.008G052400.1.v4.1 pep chromosome:Pop_tri_v4:8:3064705:3070309:-1 gene:Potri.008G052400.v4.1 transcript:Potri.008G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052400.v4.1 MKNLKLHSEISQNLELQSQQEVLLFSAFDFERNRLFFASSNNFIYTADLSSFQNGKSKSLLLASSVINQIELEDGDLITAFDYLLEKEALIIGTENGLLLLHNVDDNSTEIVGQVNGGVKCISPSPDGDLLAILTGFRQMLVMTHDWDLLHETAVGDGDGAGLDVSEFDGKDMFESSVSWRGDGKYFATLSEASDSSLMFKRIKVWERDSGALHSTSDSKIFMGAVLEWMPSGAKIAAVYDRKVENRCPDIVFYEKNGLVRSSFSIKEAVDAKVESLKWNCSSDLLASVVRCEKYDAVKVWFFSNNHWYLKHEVRYSRQDGVRFMWDPVKPLQFICWTLGGQITSYNFAWNSAVVENSIALAIDGSKILVTPLSLLLMPPPLHLFSLKFPSAVRDVALYSNNSKNSVAAFLSDGSLGVVELPDPDTWEELEEKEFGVEASISETGFGSFVHLTWLDSHILLAVSHYGFTQSTCASDSSMGEDGLSGFYLQEIELVCSEDHVPSLVTGSGWHARISHRNYLEGLVIGIAPNPAKKCSAFVQFDGGKIVEYASILGLAGTGGSTKHDDMSFSSSCPWMSAAQVSDSGSLKPLLFGLDDIGRLHFGGKVLCNNCSSFSLYSNLADQVITHLILSTKQDFLFAVEISDILHGELELKYENFVHTGNRRKEENMNFINIWERGAKIIGVLHGDAAAVVIQTTRGNLECIYPRKLVLASIVNALIQRRFRDALLLVRQHRIDFNVIVDHCGWQTFIQSASEFVKQVNNLSYITEFICSIKNENIMETLYKNYISTPYQNGGGDVQAKDVMGFDASSKVSALLLAIRKALEEQVSESPARELCILTTLARSDPPALEEALERIKVIREMELLGSSGPRRMSYPSAEEALKHLLWLSDSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPYLQELERMPSLIMSYNIDLRLHRFEKALRHIVSAGDAYYSDCMDLMNKNPQLFPLGLQLITDPAKKMQALEAWGDHLSDEKCFEDAATTFLCCSSLKNALKAYRACGNWSGVLSVAGLLKMEKNEIMQLAYDLCEELQALGKPRDAAKIALEYLGDVNSGINLLISGRDWEEALRVAFMHSQENLVLTVKNAALDCARTLISEYKEGLEKVGKYLARYLAVRQRRLLLAAKLQSEERSMNDLDDDTVSEASSNFSGMSAYTTGTRKGSASSVTSSVTSKARDMRRQRKRGKIRPGSADEELALVEHLKGMSLTAGAKHELRSLLVTLVMLGGEEIARKLQFAGENFQLSQMAAVKLTEDTIPTDILSEQAHNLEQYVQKLRNELPNLDSFSWRYKVFISP >Potri.008G052400.3.v4.1 pep chromosome:Pop_tri_v4:8:3064758:3070286:-1 gene:Potri.008G052400.v4.1 transcript:Potri.008G052400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052400.v4.1 MKNLKLHSEISQNLELQSQQEVLLFSAFDFERNRLFFASSNNFIYTADLSSFQNGKSKSLLLASSVINQIELEDGDLITAFDYLLEKEALIIGTENGLLLLHNVDDNSTEIVGQVNGGVKCISPSPDGDLLAILTGFRQMLVMTHDWDLLHETAVGDGDGAGLDVSEFDGKDMFESSVSWRGDGKYFATLSEASDSSLMFKRIKVWERDSGALHSTSDSKIFMGAVLEWMPSGAKIAAVYDRKVENRCPDIVFYEKNGLVRSSFSIKEAVDAKVESLKWNCSSDLLASVVRCEKYDAVKVWFFSNNHWYLKHEVRYSRQDGVRFMWDPVKPLQFICWTLGGQITSYNFAWNSAVVENSIALAIDGSKILVTPLSLLLMPPPLHLFSLKFPSAVRDVALYSNNSKNSVAAFLSDGSLGVVELPDPDTWEELEEKEFGVEASISETGFGSFVHLTWLDSHILLAVSHYGFTQSTCASDSSMGEDGLSGFYLQEIELVCSEDHVPSLVTGSGWHARISHRNYLEGLVIGIAPNPAKKCSAFVQFDGGKIVEYASILGLAGTGGSTKHDDMSFSSSCPWMSAAQVSDSGSLKPLLFGLDDIGRLHFGGKVLCNNCSSFSLYSNLADQVITHLILSTKQDFLFAVEISDILHGELELKYENFVHTGNRRKEENMNFINIWERGAKIIGVLHGDAAAVVIQTTRGNLECIYPRKLVLASIVNALIQRRFRDALLLVRQHRIDFNVIVDHCGWQTFIQSASEFVKQVNNLSYITEFICSIKNENIMETLYKNYISTPYQNGGGDVQAKDVMGFDASSKVSALLLAIRKALEEQVSESPARELCILTTLARSDPPALEEALERIKVIREMELLGSSGPRRMSYPSAEEALKHLLWLSDSDAVFEAALGLYDLNLAAIVALNSQRDPKEFLPYLQELERMPSLIMSYNIDLRLHRFEKALRHIVSAGDAYYSDCMDLMNKNPQLFPLGLQLITDPAKKMQALEAWGDHLSDEKCFEDAATTFLCCSSLKNALKAYRACGNWSGVLSVAGLLKMEKNEIMQLAYDLCEELQALGKPRDAAKIALEYLGDVNSGINLLISGRDWEEALRVAFMHSQENLVLTVKNAALDCARTLISEYKEGLEKVGKYLARYLAVRQRRLLLAAKLQSEERSMNDLDDDTVSEASSNFSGMSAYTTGTRKGSASSVTSSVTSKARDMRRQRKRGKIRPGSADEELALVEHLKGMSLTAGAKHELRSLLVTLVMLGGEEIARKLQFAGENFQLSQMAAVKLTEDTIPTDILSEQAHNLEQYVQKLRNELPNLDSFSWRYKVFISP >Potri.011G078050.2.v4.1 pep chromosome:Pop_tri_v4:11:8397346:8408973:1 gene:Potri.011G078050.v4.1 transcript:Potri.011G078050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078050.v4.1 MGRKKKVINKDESAEGCCFVCKDGGTLRVCDYKDCLKAYHPICVEKNDNFLESEVPWSCNCHSCFICKKTATFNCLCCPNAVCGCCLSDANLAIIKAKRGFCYHCLTLAGILEGVIDLNEPKVNLSLQDSYEFLFKCYWEFIKEKEGITSEHVKYANYLLNGNRNYDSNLSDNYVEEGEGLSDFEDQLTMTYRLNDVKEHKPSHSRKRSKGKPYLAKRKERSKTKMFLGWGSKCLFEFLTSIGQETNKELSQHDVTIIIFGYCRDNNLLDPLKRKNILCDEKLRSLLGRKFVNKNSIYKLLTKHFAENLEESRNEFVNSLEAEGQNALSPCKRQKNSSWEGKSQNKEVVSDMQRSCFASVIPRNIKLVYLRRSALEELSKQPEIFDAIVMGSFVRAKTDPNDWMQKNSHQLVQVIGIKKTSINGEVNSDILLQLSNRVSDVSISKVSENDFSEEECQDLHQRVKDGLLRRPTVAEFEEKARSLHEIITKNWIAKELVALSNLINQANEKGWRKEFSEYLDRKLLLQTPVEQTRLLQEVPEVIADEIEVQSACKDMSRKDEQTDLPKSALKGTSPPPKRSSEGIKGSSCCLDDGANGAAEKKQFEAFEKLQHQSRTTTRDGKDGGFIQTGSKQYFEELNKNRSRVSQPKIVSGQTPGPFSEGMPSAFLPLKQCQPVNSISRDEQNEQVDIECQNKEQGTPRDGSNEPSEPRFKLIVSSDNDNGEQDPSAAANHTDKQHHKGAALEVNHQLHSLPDDTQDGGTLRTERNEGCQIKHTNTRAVHAEAFTAKVIASISQGRPNALPQEPYQPANDISKTKQNGQVNVARENKKQSAPAADLIVLSDDEKEDASAAASKQKTQNLNCSIWNCMSPNGMKTGPWSMLLLKEWSDSDSCVLKWKVWKSGQSPEAAIFLNDALNQVFNRRKC >Potri.011G078050.6.v4.1 pep chromosome:Pop_tri_v4:11:8397346:8408998:1 gene:Potri.011G078050.v4.1 transcript:Potri.011G078050.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078050.v4.1 MGRKKKVINKDESAEGCCFVCKDGGTLRVCDYKDCLKAYHPICVEKNDNFLESEVPWSCNCHSCFICKKTATFNCLCCPNAVCGCCLSDANLAIIKAKRGFCYHCLTLAGILEGVIDLNEPKVNLSLQDSYEFLFKCYWEFIKEKEGITSEHVKYANYLLNGNRNYDSNLSDNYVEEGEGLSDFEDQLTMTYRLNDVKEHKPSHSRKRSKGKPYLAKRKERSKTKMFLGWGSKCLFEFLTSIGQETNKELSQHDVTIIIFGYCRDNNLLDPLKRKNILCDEKLRSLLGRKFVNKNSIYKLLTKHFAENLEESRNEFVNSLEAEGQNALSPCKRQKNSSWEGKSQNKEVVSDMQRSCFASVIPRNIKLVYLRRSALEELSKQPEIFDAIVMGSFVRAKTDPNDWMQKNSHQLVQVIGIKKTSINGEVNSDILLQLSNRVSDVSISKVSENDFSEEECQDLHQRVKDGLLRRPTVAEFEEKARSLHEIITKNWIAKELVALSNLINQANEKGWRKEFSEYLDRKLLLQTPVEQTRLLQEVPEVIADEIEVQSACKDMSRKDEQTDLPKSALKGTSPPPKRSSEGIKGSSCCLDDGANGAGFLVSSYFLISINKFYELLPLLLFF >Potri.011G078050.1.v4.1 pep chromosome:Pop_tri_v4:11:8397346:8408973:1 gene:Potri.011G078050.v4.1 transcript:Potri.011G078050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078050.v4.1 MGRKKKVINKDESAEGCCFVCKDGGTLRVCDYKDCLKAYHPICVEKNDNFLESEVPWSCNCHSCFICKKTATFNCLCCPNAVCGCCLSDANLAIIKAKRGFCYHCLTLAGILEGVIDLNEPKVNLSLQDSYEFLFKCYWEFIKEKEGITSEHVKYANYLLNGNRNYDSNLSDNYVEEGEGLSDFEDQLTMTYRLNDVKEHKPSHSRKRSKGKPYLAKRKERSKTKMFLGWGSKCLFEFLTSIGQETNKELSQHDVTIIIFGYCRDNNLLDPLKRKNILCDEKLRSLLGRKFVNKNSIYKLLTKHFAENLEESRNEFVNSLEAEGQNALSPCKRQKNSSWEGKSQNKEVVSDMQRSCFASVIPRNIKLVYLRRSALEELSKQPEIFDAIVMGSFVRAKTDPNDWMQKNSHQLVQVIGIKKTSINGEVNSDILLQLSNRVSDVSISKVSENDFSEEECQDLHQRVKDGLLRRPTVAEFEEKARSLHEIITKNWIAKELVALSNLINQANEKGWRKEFSEYLDRKLLLQTPVEQTRLLQEVPEVIADEIEVQSACKDMSRKDEQTDLPKSALKGTSPPPKRSSEGIKGSSCCLDDGANGAAEKKQFEAFEKLQHQSRTTTRDGKDGGFIQTGSKQYFEELNKNRSRVSQPKIVSGQTPGPFSEGMPSAFLPLKQCQPVNSISRDEQNEQVDIECQNKEQGTPRDGSNEPSEPRFKLIVSSDNDNGEQDPSAAANHTDKQHHKGAALEVNHQLHSLPDDTQDGGTLRTERNEGCQIKHTNTRAVHAEAFTAKVIASISQGRPNALPQEPYQPANDISKTKQNGQVNVARENKKQSAPAADLIVLSDDEKEDASAAASKQKTQNLNCSIWNCMSPNGMKTGPWSMLLLKEWSDSDSCVLKWKVWKSGQSPEAAIFLNDALNQVFNRRKC >Potri.011G078050.5.v4.1 pep chromosome:Pop_tri_v4:11:8397346:8408973:1 gene:Potri.011G078050.v4.1 transcript:Potri.011G078050.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078050.v4.1 MSRQRAAVLFAKMEELYGFVITNCHSCFICKKTATFNCLCCPNAVCGCCLSDANLAIIKAKRGFCYHCLTLAGILEGVIDLNEPKVNLSLQDSYEFLFKCYWEFIKEKEGITSEHVKYANYLLNGNRNYDSNLSDNYVEEGEGLSDFEDQLTMTYRLNDVKEHKPSHSRKRSKGKPYLAKRKERSKTKMFLGWGSKCLFEFLTSIGQETNKELSQHDVTIIIFGYCRDNNLLDPLKRKNILCDEKLRSLLGRKFVNKNSIYKLLTKHFAENLEESRNEFVNSLEAEGQNALSPCKRQKNSSWEGKSQNKEVVSDMQRSCFASVIPRNIKLVYLRRSALEELSKQPEIFDAIVMGSFVRAKTDPNDWMQKNSHQLVQVIGIKKTSINGEVNSDILLQLSNRVSDVSISKVSENDFSEEECQDLHQRVKDGLLRRPTVAEFEEKARSLHEIITKNWIAKELVALSNLINQANEKGWRKEFSEYLDRKLLLQTPVEQTRLLQEVPEVIADEIEVQSACKDMSRKDEQTDLPKSALKGTSPPPKRSSEGIKGSSCCLDDGANGAAEKKQFEAFEKLQHQSRTTTRDGKDGGFIQTGSKQYFEELNKNRSRVSQPKIVSGQTPGPFSEGMPSAFLPLKQCQPVNSISRDEQNEQVDIECQNKEQGTPRDGSNEPSEPRFKLIVSSDNDNGEQDPSAAANHTDKQHHKGAALEVNHQLHSLPDDTQDGGTLRTERNEGCQIKHTNTRAVHAEAFTAKVIASISQGRPNALPQEPYQPANDISKTKQNGQVNVARENKKQSAPAADLIVLSDDEKEDASAAASKQKTQNLNCSIWNCMSPNGMKTGPWSMLLLKEWSDSDSCVLKWKVWKSGQSPEAAIFLNDALNQVFNRRKC >Potri.011G078050.4.v4.1 pep chromosome:Pop_tri_v4:11:8397346:8408973:1 gene:Potri.011G078050.v4.1 transcript:Potri.011G078050.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078050.v4.1 MSRQRAAVLFAKMEELYGFVITNCHSCFICKKTATFNCLCCPNAVCGCCLSDANLAIIKAKRGFCYHCLTLAGILEGVIDLNEPKVNLSLQDSYEFLFKCYWEFIKEKEGITSEHVKYANYLLNGNRNYDSNLSDNYVEEGEGLSDFEDQLTMTYRLNDVKEHKPSHSRKRSKGKPYLAKRKERSKTKMFLGWGSKCLFEFLTSIGQETNKELSQHDVTIIIFGYCRDNNLLDPLKRKNILCDEKLRSLLGRKFVNKNSIYKLLTKHFAENLEESRNEFVNSLEAEGQNALSPCKRQKNSSWEGKSQNKEVVSDMQRSCFASVIPRNIKLVYLRRSALEELSKQPEIFDAIVMGSFVRAKTDPNDWMQKNSHQLVQVIGIKKTSINGEVNSDILLQLSNRVSDVSISKVSENDFSEEECQDLHQRVKDGLLRRPTVAEFEEKARSLHEIITKNWIAKELVALSNLINQANEKGWRKEFSEYLDRKLLLQTPVEQTRLLQEVPEVIADEIEVQSACKDMSRKDEQTDLPKSALKGTSPPPKRSSEGIKGSSCCLDDGANGAAEKKQFEAFEKLQHQSRTTTRDGKDGGFIQTGSKQYFEELNKNRSRVSQPKIVSGQTPGPFSEGMPSAFLPLKQCQPVNSISRDEQNEQVDIECQNKEQGTPRDGSNEPSEPRFKLIVSSDNDNGEQDPSAAANHTDKQHHKGAALEVNHQLHSLPDDTQDGGTLRTERNEGCQIKHTNTRAVHAEAFTAKVIASISQGRPNALPQEPYQPANDISKTKQNGQVNVARENKKQSAPAADLIVLSDDEKEDASAAASKQKTQNLNCSIWNCMSPNGMKTGPWSMLLLKEWSDSDSCVLKWKVWKSGQSPEAAIFLNDALNQVFNRRKC >Potri.001G219300.1.v4.1 pep chromosome:Pop_tri_v4:1:22908033:22912653:-1 gene:Potri.001G219300.v4.1 transcript:Potri.001G219300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219300.v4.1 MGTLTYNFLVETFSRSFKRLIIWCILLYMIQCSSATSNKTGNHFYKWKVEYMYWSPDGIENVVMGINGQFPGPTIRARAGDIVHVQLTNKLHTEGVVIHWHGIRQKGTPWADGTASISQCVINPGETFDYRFTVDRAGTYFYHGHYGMQRSAGLYGSMIVDVAEGEKEPFHYDGEFDLLLSDWWHKSVHHQEVGLSSRPMRWINEPQALLINGRGQYGCSLAAHHGNSSFSQCNITGHEQWAPYILHVDPNKTYRIRIASTTALASLNLAIGNHKMMVVEADGNYLQPFETNDLDIYSGESYSVLLKTSQDSSQNYWISFGVRGREPHTPQALTILNYKTNSASKLPLSPPPVTPRWDDYAHSKAFSNKIKALDHKSIPKPSPTYHRRIVLLNTQNKMNGYTKWSINNVSLSIPATPYLGSIRFGLRDAFDQTKPPEDFPGHYDVMKPPVNPNTTTGSGVYMLGYYSTVDVILQNANALTENVSEIHPWHLHGHDFWVLGYGEGKFTKSDEKKFNLKNPPYRNTAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHLGMGVVFAEGVQHVAKIPKEALSCGLTGKRFMTGSHLG >Potri.018G030500.1.v4.1 pep chromosome:Pop_tri_v4:18:2262443:2267184:1 gene:Potri.018G030500.v4.1 transcript:Potri.018G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G030500.v4.1 MQDIGEFGDIMKFKKGSRVEVLRKTHLSSCAWRCGEIISGNGQTYWVRYDGLWGLSNEDDVESVSREVIRPCPPPADVSDGWAVGDLVEVFNNLCWKTAAVMKVMRRNRCLVRLIGSSTELRVDKVNIRMRQLWQDGRWVEIGKGSGSFENEKLNKPSVFSCYQKKRSLMQQASKKRKVQARETCLAIEHNFGFQESCAMSARTSKRASPFWATHFESCNEKVDKMRAIEKQSEGRRVISGYPSSFLTKVDAVAYPRECLGEIYMHGSSNNQTIGSCEMERRTPNNFVNSFCGRSSESNDSDSDACSVGSCSVNSDSPDRLFNCVVAGNSPDADTLSSDAESFYGHGDKEENCSLPLGEDVTVRIHSLELHAYRCTLGVFYASGPLSWEQEALLTNLRISLNISNDEHLMELRNLISAGTSIHIC >Potri.009G011800.9.v4.1 pep chromosome:Pop_tri_v4:9:2180582:2188161:-1 gene:Potri.009G011800.v4.1 transcript:Potri.009G011800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011800.v4.1 MEIDLNHPVTEVEKNSFCTNGDSSCSSNSSSSPVSSSIYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQLASSSPFSHRDMPNFDLHPQIFCKVVNVQLLANRENDEVYTRLTLLPQPEVVGQDLEGKELQELGVDGEGDDASPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPSLDYKQQRPSQELLAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGELRLGIRRAARPRNGLPDSVTGKQNSLPSALSLVSNAISTKSVFTVSYSPRATHAVFVVPYQKYIKSITNAVCIGTRFKMRFEMDDSPERRCSGVVTGTADLDPYKWPNSKWRCLMVRWDEDVISDHQERVSPWEIDASVSLPPLIIQSSPRLKKLRTGLQAAPPDKPIAGGGGFLDFKESVRSSKVLQGQENVGLLSPVYRCDTVNHPLDFEVRNKAQQNLILTGIEKAKISELTRAHPATHTGFTESDRFLKVLQGQEICSLSSVGALGKPNTGRSSFQMYPGPRPAFYPVAAESLRSMYFPYGDVYKNGQDPRTQSYAIFSRENAHFNTSSIQTCVVREEVRKPNQSSEYKTQESISAAPALCANLRNQKDDFFNGNATGCKLFGFSLNAETSPNSQNTSKRSCTKVHKQGSLVGRAIDLSRLNGYSDLLNELERLFSMEGLLRNPEEGWRILYTDSENDVMVVGDDPWLR >Potri.009G011800.8.v4.1 pep chromosome:Pop_tri_v4:9:2179932:2188206:-1 gene:Potri.009G011800.v4.1 transcript:Potri.009G011800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011800.v4.1 MEIDLNHPVTEVEKNSFCTNGDSSCSSNSSSSPVSSSIYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQLASSSPFSHRDMPNFDLHPQIFCKVVNVQLLANRENDEVYTRLTLLPQPEVVGQDLEGKELQELGVDGEGDDASPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPSLDYKQQRPSQELLAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGELRLGIRRAARPRNGLPDSVTGKQNSLPSALSLVSNAISTKSVFTVSYSPRATHAVFVVPYQKYIKSITNAVCIGTRFKMRFEMDDSPERRCSGVVTGTADLDPYKWPNSKWRCLMVRWDEDVISDHQERVSPWEIDASVSLPPLIIQSSPRLKKLRTGLQAAPPDKPIAGGGGFLDFKESVRSSKVLQGQENVGLLSPVYRCDTVNHPLDFEVRNKAQQNLILTGIEKAKISELTRAHPATHTGFTESDRFLKVLQGQEICSLSSVGALGKPNTGRSSFQMYPGPRPAFYPVAAESLRSMYFPYGDVYKNGQDPRTQSYAIFSRENAHFNTSSIQTCVVREEVRKPNQSSEYKTQESISAAPALCANLRNQKDDFFNGNATGCKLFGFSLNAETSPNSQNTSKRSCTKVHKQGSLVGRAIDLSRLNGYSDLLNELERLFSMEGLLRNPEEGWRILYTDSENDVMVVGDDPWLEFCNVATKIHIYTQEEVEKMTVGITGDDTQSCLDQAL >Potri.009G011800.3.v4.1 pep chromosome:Pop_tri_v4:9:2180582:2188184:-1 gene:Potri.009G011800.v4.1 transcript:Potri.009G011800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011800.v4.1 MEIDLNHPVTEVEKNSFCTNGDSSCSSNSSSSPVSSSIYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQLASSSPFSHRDMPNFDLHPQIFCKVVNVQLLANRENDEVYTRLTLLPQPEVVGQDLEGKELQELGVDGEGDDASPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPSLDYKQQRPSQELLAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGELRLGIRRAARPRNGLPDSVTGKQNSLPSALSLVSNAISTKSVFTVSYSPRATHAVFVVPYQKYIKSITNAVCIGTRFKMRFEMDDSPERRCSGVVTGTADLDPYKWPNSKWRCLMVRWDEDVISDHQERVSPWEIDASVSLPPLIIQSSPRLKKLRTGLQAAPPDKPIAGGGGFLDFKESVRSSKVLQGQENVGLLSPVYRCDTVNHPLDFEVRNKAQQNLILTGIEKAKISELTRAHPATHTGFTESDRFLKVLQGQEICSLSSVGALGKPNTGRSSFQMYPGPRPAFYPVAAESLRSMYFPYGDVYKNGQDPRTQSYAIFSRENAHFNTSSIQTCVVREEVRKPNQSSEYKTQESISAAPALCANLRNQKDDFFNGNATGCKLFGFSLNAETSPNSQNTSKRSCTK >Potri.009G011800.10.v4.1 pep chromosome:Pop_tri_v4:9:2180581:2188153:-1 gene:Potri.009G011800.v4.1 transcript:Potri.009G011800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011800.v4.1 MEIDLNHPVTEVEKNSFCTNGDSSCSSNSSSSPVSSSIYLELWHACAGPLTSLPKKGNVVVYFPQGHLEQLASSSPFSHRDMPNFDLHPQIFCKVVNVQLLANRENDEVYTRLTLLPQPEVVGQDLEGKELQELGVDGEGDDASPTKSTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPSLDYKQQRPSQELLAKDLHGVEWRFRHIYRGQPRRHLLTTGWSIFVSQKNLVSGDAVLFLRGEGGELRLGIRRAARPRNGLPDSVTGKQNSLPSALSLVSNAISTKSVFTVSYSPRATHAVFVVPYQKYIKSITNAVCIGTRFKMRFEMDDSPERRCSGVVTGTADLDPYKWPNSKWRCLMVRWDEDVISDHQERVSPWEIDASVSLPPLIIQSSPRLKKLRTGLQAAPPDKPIAGGGGFLDFKESVRSSKVLQGQENVGLLSPVYRCDTVNHPLDFEVRNKAQQNLILTGIEKAKISELTRAHPATHTGFTESDRFLKVLQGQEICSLSSVGALGKPNTGRSSFQMYPGPRPAFYPVAAESLRSMYFPYGDVYKNGQDPRTQSYAIFSRENAHFNTSSIQTCVVREEVRKPNQSSEYKTQESISAAPALCANLRNQKDDFFNGNATGCKLFGFSLNAETSPNSQNTSKRSCTKVSYIRIKTRQ >Potri.018G028900.1.v4.1 pep chromosome:Pop_tri_v4:18:2138086:2139712:1 gene:Potri.018G028900.v4.1 transcript:Potri.018G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028900.v4.1 MGKGGSLSDSVLKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPVSLTMIHMSFCATLAILLIKVFKFVEPVSMSRDVYLKSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKENFKSNTMANMLSISFGVGIAAYGEARFDTWGVILQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLVFLFIPWIFVEYPVLKETSSFHFDFVIFGTNSVCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHAKLQAMKAKDAQKKAQEADEEAGRLLEEREVEGNVKRIESEN >Potri.004G056050.1.v4.1 pep chromosome:Pop_tri_v4:4:4614166:4614759:-1 gene:Potri.004G056050.v4.1 transcript:Potri.004G056050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056050.v4.1 MVGCCWAFSAVAAIEGIIKLKTGNLISLSEQQLVNRDVGNKGCHGGLMDTAFQYIIRNEGLTSEDNYPYQGVDGTCSSEKATSIAAEITGDENVPKNNENALLQAVAKQPVSVGVDGGGNDFQFYKSGVFNGDCGTQQNHAVTAIGYGTDSDGTDYWLVKNSWGTSWGESGYTRMQRGIGASEGLYGVAMDASYPTA >Potri.018G141100.2.v4.1 pep chromosome:Pop_tri_v4:18:14620538:14626879:-1 gene:Potri.018G141100.v4.1 transcript:Potri.018G141100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141100.v4.1 MGKKSSSNSTSLSVFNPKYYFKKPQQLVLVIFGFISLVLLVSDRQNLTREHQEEVLRLNEELAQLKLQLEDFNVKVQWSAGLDSADISKDDDDDPVSVERREKVKEAMLHAWTSYEKYAWGHDELQPQTKNGVDSFGGLGATLVDSLDTLFIMGLHEQFQRAKEWVANSLDFNKDYEASVFETTIRVVGGLLSAYDLSGDKIFLEKAKDIADRLLPAWNSPSGIPYNRINLARGSAHNFGWTGGNSILADSGTEQLEFIALSQRTKDPKYQEKVEKVVKELQKTFPADGLLPIYINPRSGTAAYSTITFGAMGDSFYEYLLKVWIQGNKTEAVKHYREMWETSMKGLQSLVRKTTPSSFTYICEKNGNFLSDKMDELACFAPGMLALGSKGYGSGEAEKVLSLAEELAWTCYNFYQLTPTKLAGENYYFHPGQDMNVGTSWNILRPETVESLFYLWRITGNKTYQEWGWNIFQSFEKNSRLETGYVGLKDVNSGIKDNKMQTFFLAETLKYLYLLFSPTSVISLDEWVFNTEAHPLRIVTRGDLHQENVQVDRQQKLPIHLRGRKEGRLGYN >Potri.007G091800.3.v4.1 pep chromosome:Pop_tri_v4:7:11739590:11746474:1 gene:Potri.007G091800.v4.1 transcript:Potri.007G091800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091800.v4.1 MAFGWLQLTGNLGSIIGGLCSVLIASRTFMGIPGWRVAFHLVGIISVIVGIMVRLFANDPRFSDTNSKAKDQSPKSFISEVKYLMKEAKSVIKIPSFQIIVAQGVSGSFPWSALSFAPMWLELIGFSHEKTAFLMTLFVVAGSLGGLFGGKMGDVLAKRFPNSGRIFLSQISSGSAIPLAAVLLLVLPDDPSTTFIHGLVLFIMGFCISWNGPATNNPIFAEIVPQKSRASVYALDRSFESVLSSFAPPTVGILAQHVYGYKTPKKSLDSVQVITDRENAASLAKALYTAIGIPMALCCFIYSFLYCTYPRDRDRARMTALIELEMQQLEADDSPLREEHTRLNVSETNGLDGEERTEIDMKTGNNESIDFDDDDDKALLYRQLTFSNLAD >Potri.007G091800.1.v4.1 pep chromosome:Pop_tri_v4:7:11739650:11746456:1 gene:Potri.007G091800.v4.1 transcript:Potri.007G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091800.v4.1 MKQETLTLVLVNLAGIMERADESLLPGVYKEVGAALHTDPTGLGSLTLFRSIVQSSCYPLAAYLAVHHNRAHVIALGAFLWAAATFLVAISSTFLEVAVSRGLNGIGLAIVTPAIQSLVADSTDESNRGMAFGWLQLTGNLGSIIGGLCSVLIASRTFMGIPGWRVAFHLVGIISVIVGIMVRLFANDPRFSDTNSKAKDQSPKSFISEVKYLMKEAKSVIKIPSFQIIVAQGVSGSFPWSALSFAPMWLELIGFSHEKTAFLMTLFVVAGSLGGLFGGKMGDVLAKRFPNSGRIFLSQISSGSAIPLAAVLLLVLPDDPSTTFIHGLVLFIMGFCISWNGPATNNPIFAEIVPQKSRASVYALDRSFESVLSSFAPPTVGILAQHVYGYKTPKKSLDSVQVITDRENAASLAKALYTAIGIPMALCCFIYSFLYCTYPRDRDRARMTALIELEMQQLEADDSPLREEHTRLNVSETNGLDGEERTEIDMKTGNNESIDFDDDDDKALLYRQLTFSNLAD >Potri.011G169300.4.v4.1 pep chromosome:Pop_tri_v4:11:19234559:19239474:-1 gene:Potri.011G169300.v4.1 transcript:Potri.011G169300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169300.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSAMLGDDINSRTIPESPGEKRNEGLYLGQPEQMATGNSEKKDGAQTSGVQLTELGTFSEQKSNFRGGLVERIAARAGFNAPRLNTESIRSTESSMNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGNTKNSTVGSDPPDKSKETFFDNIDSSSFAFKPMGESGSFFLGGTSKQSFPSIDVSVHSENAFQSHGVEPAKTQFESRNSLHFRAEFSKLTTEKDNGCITVADQRTFDTVAGNAEHSSPLAEQQDEEGDQRASEDSMAAGGTPSEDAYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSATGSMDTQLDIPEQAGPQVGAVNDSVWAGTQKGTAAGTPDWRNDNVEVSSSASGGLGPEFGNPSSSVQGQSGTPFESADAVDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKVETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSNHVNSGTSNATPAQAGIAVQTQVHRPEASQVHNSMTSRFERPPAFGSFRQQLGPSAGFSFGMNQPGFANMGMAGLGPGQPRMPVMPMHPYLAQQRAVNEMGLMMPKGEAKVEPVTEANMSLSSNNPTVYQQIMSRLPQM >Potri.011G169300.1.v4.1 pep chromosome:Pop_tri_v4:11:19234580:19239988:-1 gene:Potri.011G169300.v4.1 transcript:Potri.011G169300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169300.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSAMLGDDINSRTIPESPGEKRNEGLYLGQPEQMATGNSEKKDGAQTSGVQLTELGTFSEQKSNFRGGLVERIAARAGFNAPRLNTESIRSTESSMNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGNTKNSTVGSDPPDKSKETFFDNIDSSSFAFKPMGESGSFFLGGTSKQSFPSIDVSVHSENAFQSHGVEPAKTQFESRNSLHFRAEFSKLTTEKDNGCITVADQRTFDTVAGNAEHSSPLAEQQDEEGDQRASEDSMAAGGTPSEDAYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSATGSMDTQLDIPEQAGPQVGAVNDSVWAGTQKGTAAGTPDWRNDNVEVSSSASGGLGPEFGNPSSSVQGQSGTPFESADAVDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKVETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSNHVNSGTSNATPAQAGIAVQTQVHRPEASQVHNSMTSRFERPPAFGSFRQQLGPSAGFSFGMNQPGFANMGMAGLGPGQPRMPVMPMHPYLAQQRAVNEMGLMMPKGEAKVEPVTEANMSLSSNNPTVYQQIMSRLPQM >Potri.011G169300.3.v4.1 pep chromosome:Pop_tri_v4:11:19234626:19239470:-1 gene:Potri.011G169300.v4.1 transcript:Potri.011G169300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169300.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSAMLGDDINSRTIPESPGEKRNEGLYLGQPEQMATGNSEKKDGAQTSGVQLTELGTFSEQKSNFRGGLVERIAARAGFNAPRLNTESIRSTESSMNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGNTKNSTVGSDPPDKSKETFFDNIDSSSFAFKPMGESGSFFLGGTSKQSFPSIDVSVHSENAFQSHGVEPAKTQFESRNSLHFRAEFSKLTTEKDNGCITVADQRTFDTVAGNAEHSSPLAEQQDEEGDQRASEDSMAAGGTPSEDAYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSATGSMDTQLDIPEQAGPQVGAVNDSVWAGTQKGTAAGTPDWRNDNVEVSSSASGGLGPEFGNPSSSVQGQSGTPFESADAVDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKVETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSNHVNSGTSNATPAQAGIAVQTQVHRPEASQVHNSMTSRFERPPAFGSFRQQLGPSAGFSFGMNQPGFANMGMAGLGPGQPRMPVMPMHPYLAQQRAVNEMGLMMPKGEAKVEPVTEANMSLSSNNPTVYQQIMSRLPQM >Potri.011G169300.8.v4.1 pep chromosome:Pop_tri_v4:11:19234627:19239982:-1 gene:Potri.011G169300.v4.1 transcript:Potri.011G169300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169300.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSAMLGDDINSRTIPESPGEKRNEGLYLGQPEQMATGNSEKKDGAQTSGVQLTELGTFSEQKSNFRGGLVERIAARAGFNAPRLNTESIRSTESSMNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGNTKNSTVGSDPPDKSKETFFDNIDSSSFAFKPMGESGSFFLGGTSKQSFPSIDVSVHSENAFQSHGVEPAKTQFESRNSLHFRAEFSKLTTEKDNGCITVADQRTFDTVAGNAEHSSPLAEQQDEEGDQRASEDSMAAGGTPSEDAYNWRKYGQKQVKGSEYPRSYYKCTHPNCPVKKKVERSHEGHITEIIYKGAHNHPKPPPNRRSATGSMDTQLDIPEQAGPQVGAVNDSVWAGTQKGTAAGTPDWRNDNVEVSSSASGGLGPEFGNPSSSVQGQSGTPFESADAVDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKVETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSNHVNSGTSNATPAQAGIAVQTQVHRPEASQVHNSMTSRFERPPAFGSFRQQLGPSAGFSFGMNQPGFANMGMAGLGPGQPRMPVMPMHPYLAQQRAVNEMGLMMPKGEAKVEPVTEANMSLSSNNPTVYQQIMSRLPQM >Potri.017G127501.1.v4.1 pep chromosome:Pop_tri_v4:17:13127298:13131421:1 gene:Potri.017G127501.v4.1 transcript:Potri.017G127501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G127501.v4.1 MADAVLSALATTIMGNLNSSFLQELGLAGSLETELENLNRTIRTIRAVLHDAEEKQWKSEAIKLWLRDLKDAAYDADDLLSDFANEAQRHQQRRDLKNRMGCRVSTTSES >Potri.011G123700.2.v4.1 pep chromosome:Pop_tri_v4:11:15414506:15418705:-1 gene:Potri.011G123700.v4.1 transcript:Potri.011G123700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123700.v4.1 MAALHTISPRSLLPLSKPKKTISKNLQNPQFLSPKLPKSQAFSRNIPSWHLNSVFQEEFDVIPVQSGDSVDQQEGMVANQVEREGTELAPQVSGFGGSEGQLSFEGFSSASSSGLDGESRERELESDRLIDRTINATIVLAAGTYAITKLLTIDHDYWHGWTLFEILRYAPQHNWSAYEEALKTNPVLAKMMISGVVYSVGDWIAQCYEGKPIFEFDRTRMFRSGVVGFTLHGSLSHYYYQFCEELFPFQDWWVVPVKVAFDQTLWAAAWNSIYFTVLGFLRLESPASIFSELTATFWPMLTAGWKLWPFAHLITYGVVPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEATVEASSSSLSIGSPEE >Potri.004G037000.1.v4.1 pep chromosome:Pop_tri_v4:4:2912622:2919535:-1 gene:Potri.004G037000.v4.1 transcript:Potri.004G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037000.v4.1 MGFVKCGVAFVLVVAFMASFSVSYTNPFDVIAMNSLYVSMEYPNLIGWIALGGDPCLDGWQGVSCVLSNITSLKLNGLNLGGTLNSDFGLFTSIVEIDISDNHIGGDIPLSLPSTMRNFSLARNQFSGRIPDTLYSLTQLLDLSFHNNQLTGEIPDVFPEMTSLINLDLSGNNLSGQLPPSMGILSSLTTLHLQNNRLTGTLDVVQDLPLEYLNVENNLFSGPIPEKLLGIPNFRKDGNPFNTSIILSPPPALSPFPGSLPAAEAPWKQANGTSASETPKYERSKGFFTSNRVVWIAVTGVVVIIILGSCLLISTCYRGRKESIDIERHDVGTYKSRIDEPNSKSSFERNNQEKVTKESIAKLQDQYGPDNRRQEAYPKAQGEQDMDLKRMAAYSKKKMDQGIIMTGVVANFMPLPAPPSSVPTDNIIANPIGHTSHKKSHSTETLSSYSVKIFTIATLQKYTSSFSEENFVGEGTLGSVYRAELPGGKLLAVKKLNGAASKQQTDEEFLQLVSSISKLQHDNILEFVGYCNEHGQRLLVYKYCENGTLYDALHADEEIHRKLTWNARIRLALGAARALQYLHEVCQPPIVHWNFKSSNILLDDKLVARVSDCGLSPLKSSGSATELSGRFLTSHGYGAPELELGSYTCSSDVYSFGVVMLELLTGRKSYDRSLSRGEQSLVRWAIHQLHDIDALSRMVDPSLHGAYPVKSLSRFADIISRCIQGEPEFRPPVSEIVQDLLHML >Potri.004G037000.4.v4.1 pep chromosome:Pop_tri_v4:4:2912622:2919470:-1 gene:Potri.004G037000.v4.1 transcript:Potri.004G037000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037000.v4.1 MGILSSLTTLHLQNNRLTGTLDVVQDLPLEYLNVENNLFSGPIPEKLLGIPNFRKDGNPFNTSIILSPPPALSPFPGSLPAAEAPWKQANGTSASETPKYERSKGFFTSNRVVWIAVTGVVVIIILGSCLLISTCYRGRKESIDIERHDVGTYKSRIDEPNSKSSFERNNQEKVTKESIAKLQDQYGPDNRRQEAYPKAQGEQDMDLKRMAAYSKKKMDQGIIMTGVVANFMPLPAPPSSVPTDNIIANPIGHTSHKKSHSTETLSSYSVKIFTIATLQKYTSSFSEENFVGEGTLGSVYRAELPGGKLLAVKKLNGAASKQQTDEEFLQLVSSISKLQHDNILEFVGYCNEHGQRLLVYKYCENGTLYDALHADEEIHRKLTWNARIRLALGAARALQYLHEVCQPPIVHWNFKSSNILLDDKLVARVSDCGLSPLKSSGSATELSGRFLTSHGYGAPELELGSYTCSSDVYSFGVVMLELLTGRKSYDRSLSRGEQSLVRWAIHQLHDIDALSRMVDPSLHGAYPVKSLSRFADIISRCIQGEPEFRPPVSEIVQDLLHML >Potri.010G027900.8.v4.1 pep chromosome:Pop_tri_v4:10:4016598:4021034:-1 gene:Potri.010G027900.v4.1 transcript:Potri.010G027900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027900.v4.1 MIKMASMVAKANSSCSSQVSPLASIQEKGTRNKRKFHADPPLGDSSKIMSSAQNECQGYEFSAEKFEATLGHGMSSACDMCGANQDHSDGLKLDLGFSSALGSSEVGPSQPRGGVESEESHDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEEARKAILRSGRCYGCKDTVSNIVDNTLAFLRNCQDIELSREHCFEDLQQLGKYVLAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPSSSFAADGASNGASSVSTQPQSKPEPKCSELNFPNPCSESKASTNETAVPKITKPKNSAVLNGPVSDKEGSDSTVDPIDKSFNIAGSSQSTILEEKFVITKKVHSGGNKRDYIVRQKSLHQEKSYRTYGSKASRAGKLSGLGGLILDKKLKSVPDSTSVNIKNASLRLSKAMGVDVPQDNRNLNLPSSPPSQAAFNSESSSTGSSIPKTDISSTLAPVSALPALPAVNTPPASSAADTELSLSLPAKSNSTSIRASCSAKAPKSSYAGISYDKSLTQWVPHDKKDEMIIKLIPRAQELQNQLQEWTEWANQKVMQAARRLGKDKAELKSLRHEKEEVERLKKEKLVLEESTMKKLTEMENALCKASGKVERANSAVRRLEVENAVLRQEMETAKLRAAESAASCQEVSKREKKTLMKFQSWEKQKTLLQEEFATERRKFLELLQDLERAKQIQEQHEARWRQEEKEKEEVLMQASATRKERENIEASAKSKEDMIKLKAETNLQKYKDDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYASRLADIKSSPAQKESRTPCISEVATDFHDYFEMGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRGPIQLRIPVRYARS >Potri.010G027900.6.v4.1 pep chromosome:Pop_tri_v4:10:4016651:4021045:-1 gene:Potri.010G027900.v4.1 transcript:Potri.010G027900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027900.v4.1 MASMVAKANSSCSSQVSPLASIQEKGTRNKRKFHADPPLGDSSKIMSSAQNECQGYEFSAEKFEATLGHGMSSACDMCGANQDHSDGLKLDLGFSSALGSSEVGPSQPRGGVESEESHDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEEARKAILRSGRCYGCKDTVSNIVDNTLAFLRNCQDIELSREHCFEDLQQLGKYVLAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPSSSFAADGASNGASSVSTQPQSKPEPKCSELNFPNPCSESKASTNETAVPKITKPKNSAVLNGPVSDKEGSDSTVDPIDKSFNIAGSSQSTILEEKFVITKKVHSGGNKRDYIVRQKSLHQEKSYRTYGSKASRAGKLSGLGGLILDKKLKSVPDSTSVNIKNASLRLSKAMGVDVPQDNRNLNLPSSPPSQAAFNSESSSTGSSIPKTDISSTLAPVSALPALPAVNTPPASSAADTELSLSLPAKSNSTSIRASCSAKAPKSSYAGISYDKSLTQWVPHDKKDEMIIKLIPRAQELQNQLQEWTEWANQKVMQAARRLGKDKAELKSLRHEKEEVERLKKEKLVLEESTMKKLTEMENALCKASGKVERANSAVRRLEVENAVLRQEMETAKLRAAESAASCQEVSKREKKTLMKFQSWEKQKTLLQEEFATERRKFLELLQDLERAKQIQEQHEVCFREFGTNFLLHLFQRLHTGYVVTMICQSFDF >Potri.010G027900.1.v4.1 pep chromosome:Pop_tri_v4:10:4016485:4021108:-1 gene:Potri.010G027900.v4.1 transcript:Potri.010G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027900.v4.1 MASMVAKANSSCSSQVSPLASIQEKGTRNKRKFHADPPLGDSSKIMSSAQNECQGYEFSAEKFEATLGHGMSSACDMCGANQDHSDGLKLDLGFSSALGSSEVGPSQPRGGVESEESHDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEEARKAILRSGRCYGCKDTVSNIVDNTLAFLRNCQDIELSREHCFEDLQQLGKYVLAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPSSSFAADGASNGASSVSTQPQSKPEPKCSELNFPNPCSESKASTNETAVPKITKPKNSAVLNGPVSDKEGSDSTVDPIDKSFNIAGSSQSTILEEKFVITKKVHSGGNKRDYIVRQKSLHQEKSYRTYGSKASRAGKLSGLGGLILDKKLKSVPDSTSVNIKNASLRLSKAMGVDVPQDNRNLNLPSSPPSQAAFNSESSSTGSSIPKTDISSTLAPVSALPALPAVNTPPASSAADTELSLSLPAKSNSTSIRASCSAKAPKSSYAGISYDKSLTQWVPHDKKDEMIIKLIPRAQELQNQLQEWTEWANQKVMQAARRLGKDKAELKSLRHEKEEVERLKKEKLVLEESTMKKLTEMENALCKASGKVERANSAVRRLEVENAVLRQEMETAKLRAAESAASCQEVSKREKKTLMKFQSWEKQKTLLQEEFATERRKFLELLQDLERAKQIQEQHEARWRQEEKEKEEVLMQASATRKERENIEASAKSKEDMIKLKAETNLQKYKDDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYASRLADIKSSPAQKESRTPCISEVATDFHDYFEMGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRGPIQLRIPVRYARS >Potri.010G027900.13.v4.1 pep chromosome:Pop_tri_v4:10:4016651:4020829:-1 gene:Potri.010G027900.v4.1 transcript:Potri.010G027900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027900.v4.1 MASMVAKANSSCSSQVSPLASIQEKGTRNKRKFHADPPLGDSSKIMSSAQNECQGYEFSAEKFEATLGHGMSSACDMCGANQDHSDGLKLDLGFSSALGSSEVGPSQPRGGVESEESHDADWSDLTESQLEELVLSNLDAIFKSAIKKIVACGYTEEEARKAILRSGRCYGCKDTVSNIVDNTLAFLRNCQDIELSREHCFEDLQQLGKYVLAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPSSSFAADGASNGASSVSTQPQSKPEPKCSELNFPNPCSESKASTNETAVPKITKPKNSAVLNGPVSDKEGSDSTVDPIDKSFNIAGSSQSTILEEKFVITKKVHSGGNKRDYIVRQKSLHQEKSYRTYGSKASRAGKLSGLGGLILDKKLKSVPDSTSVNIKNASLRLSKAMGVDVPQDNRNLNLPSSPPSQAAFNSESSSTGSSIPKTDISSTLAPVSALPALPAVNTPPASSAADTELSLSLPAKSNSTSIRASCSAKAPKSSYAGISYDKSLTQWVPHDKKDEMIIKLIPRAQELQNQLQEWTEWANQKVMQAARRLGKDKAELKSLRHEKEEVERLKKEKLVLEESTMKKLTEMENALCKASGKVERANSAVRRLEVENAVLRQEMETAKLRAAESAASCQEVSKREKKTLMKFQSWEKQKTLLQEEFATERRKFLELLQDLERAKQIQEQHEARWRQEEKEKEEVLMQASATRKERENIEASAKSKEDMIKLKAETNLQKYKDDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYASRLADIKSSPAQKESRTPCISEVATDFHDYFEMGGVKRERECVMCLSEEMSVVFLPCAHQVVCTTCNELHEKQGMKDCPSCRGPIQLRIPVRYARS >Potri.015G110066.1.v4.1 pep chromosome:Pop_tri_v4:15:12751017:12753772:1 gene:Potri.015G110066.v4.1 transcript:Potri.015G110066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110066.v4.1 MATPSMAITLNSNTTPIKQEHQHSSPPPPQQPPVVKTDPPDLLIEIAQQSPSTPKEPQFLKSINDLTNLSAAIDTFKRRYDELQSHLNFIDNAITARSNELEQKTQRLQQTQTEKAKTPSPETVAEITKVTETETAAAAAPATEKPEIRSLCQMMCGRGLRKYIVSNLASVEKLREEVPAALKCAPKPAKLVLDCIGRFYLQGSKAYEKESPMITGREASILVLEFFLLISDHENAMEAAVKKEAEQVAVAWRKRLISEGGVRNSGEIDAKGLLLLIGGFGIPKLFSDEDVFDLVKLSNSRQFADLVRRSRSLVTRVTGICSWC >Potri.002G223200.2.v4.1 pep chromosome:Pop_tri_v4:2:20780529:20783504:1 gene:Potri.002G223200.v4.1 transcript:Potri.002G223200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223200.v4.1 MSLAIGSASSSRNMEFDDNNKMIGGDNSGVMKTNDEDHDGGERTERQMSESETSLYATDHEEEDDEGGSNKIQLGPQYTLKEQLEKDKDDESLRKWKEQLLGSVDLNTIGETLEPDVKFLSLSILSPGRPDIVLSIPENGRPRGLWFTLKEGSPYNLKFSFQVSNNIVSGLKYTNTVWKTGLKVDSSKEMLGTYSPQPEPYTHMNAQETTPSGIFARGSYSARSKFLDDDNKCYLEINYTFDIRKEWAAT >Potri.001G350600.3.v4.1 pep chromosome:Pop_tri_v4:1:36300641:36302094:1 gene:Potri.001G350600.v4.1 transcript:Potri.001G350600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350600.v4.1 MAFKAVCLMVVAFVLVTAKASYMNEDFKEKAVFSKSVVPASTPAPPEVKSPTPAPPVVTPSTPLYKPPTPAPPVKTPPPAPPVNPPTPVKPPTTPAPPVYKPPSPAPPVNPPTPVKPPTTPAPPVYKPPSPAPPVNPPTPVPPVKPPTAPAPPVYKPPSPAPTPVPPVKPPTTGPMPPPVRTRSDCTPLCGQRCKLHSRKRLCVRACMTCCDRCKCVPPGTYGNREKCGKCYTDMTTRRNKPKCP >Potri.010G023600.1.v4.1 pep chromosome:Pop_tri_v4:10:3475211:3476820:1 gene:Potri.010G023600.v4.1 transcript:Potri.010G023600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023600.v4.1 MAPVPISPINVGHIDDVQELRKARPATIPERFVRDMTERPTLATALQPPDTVPIIDFSRLVKGNKDEYKSEMLQLTRACEEWGFFQVINHGIDLSLLESIEKVARDFFVLPLEEKQKYPMLPGTVQGYGQAFVFSEDQKLDWCNMFALGLEPHFIRVPKLWPAKPLKFSETVEVYSGEVRKLCQHLLEYIAMTLNLRTDFFEEMFGVAVQAIRMNYYPPCARPDLVLGLSPHSDGSALTVLQQGKGGSVGLQILKDNKWMPIQPVPNAFVINIGDTLEVLTNGKYKSVEHRAVTHKEKDRLSIVTFYAPSYEMELGPIPELVDENNPCKYRTYNHGEYSKHYVTSKLQGKKTLEFAKVESKKPT >Potri.006G279400.9.v4.1 pep chromosome:Pop_tri_v4:6:26923609:26926782:1 gene:Potri.006G279400.v4.1 transcript:Potri.006G279400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279400.v4.1 MPWLAVPFEVNLHRHLSDIYHVNRIPSCISLGSDGISVEEDMIGLIEDFGAEAFPFTRERFDELRSIDDAKRQGGKLQQLLAHEGRNYVLSGDTRKIFVSELVGKTIGLYFGAHWCPPSRAFTTQLIQNYNEIITTNDGCFEIILVSTDRDLKEFNTNLSNMPWLAIPYEDRTRQDLCRIFNIKGIPALVIIGQDGKIIRTDGKAMISLYGAKAFPFTESRITEIEATLKEEGDALPRQVKDIKHQHALKLDMAKAYVCDCCNGQGKFWAFSCDVCDYDLHPACVEEACSDCLMKVRDINLTA >Potri.006G279400.8.v4.1 pep chromosome:Pop_tri_v4:6:26925005:26926602:1 gene:Potri.006G279400.v4.1 transcript:Potri.006G279400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279400.v4.1 MAGLDGQAKFVEGSDFVAILSSQGIDYLLSGEGKVPLSSCDCKVICLFFSANWCRPCKAFAPQLVKIYNSLRGTGKKLEIVFISFDRDEDGFKEHFKCMPWLAVPFEVNLHRHLSDIYHVNRIPSCISLGSDGISVEEDMIGLIEDFGAEAFPFTRERFDELRSIDDAKRQGGKLQQLLAHEGRNYVLSGDTRKIFVSELVGKTIGLYFGAHWCPPSRAFTTQLIQNYNEIITTNDGCFEIILVSTDRDLKEFNTNLSNMPWLAIPYEDRTRQDLCRIFNIKGIPALVIIGQDGKIIRTDGKAMISLYGAKAFPFTESRITEIEATLKEEGDALPRQVKDIKHQHALKLDMAKAYVCDCCNGQGKFWAFSCDVCDYDLHPACVEEACSDCLMKVRDINLTA >Potri.017G135200.1.v4.1 pep chromosome:Pop_tri_v4:17:13693051:13696886:-1 gene:Potri.017G135200.v4.1 transcript:Potri.017G135200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135200.v4.1 MSIPNLENCKKRKRWPKLYDFNTFCEPDCPINPRGPFRDNMRLFLQQCAEPEDYKVEGMSIWCTLLVIESKNFVVPLYTIEEDVKESVRPFCDLCRCNGWSHNSVSKRKYHMIIPVDSEWSQKLEDGVCDLQTHLLHGLIHCNGFGHLLCINGREGGSKYLCGREIMDLWDRICASLRTRKITVEDVSKKRSMDLRLLYGIAYGHPWFGRWGYKFCHGSFGVTEPIYFKAIEILSSMELEKIIQDFSDTSLSKSIKQIIHYYKDLSPTQLITFKDLLRFMLAIRSCPCVWKKQSMTATTTSKPPINIVLRRKPLIKEKCMKYRNFSSLVGTMDSRWPTRRLQYAAEVIVDALKAKKEDKHSQEGMTRQDVRDAARMHIGDTGLLDYVLKSMNNVVVGKYVVQRAVNPKTRILEYSIDEFGDGIIPVKSEPESETVPAQPLLPGADVNADVVFVYENVLFNYPESELVEVATQAILDSKHFVKEWPFRVENDQLLSFICQVMPTWNDLEAKFHRKAPPGEIIVLPLHASVLELKQEAESALRDTYCMLERFVVIEIEHMENLDDKDLLCKFVESGAEIFVKGYGMDINSQLRYEGGSDNWKVRCECGACDDDGERMVECDICEVWQHTRCNGIDDADTVPQLFICSGCCDSLLPGKTETHQRFESSDDLLMIPAAIGYGAEAAEPFYEAIGYGAEAAEPFYQA >Potri.007G033200.1.v4.1 pep chromosome:Pop_tri_v4:7:2600239:2600925:-1 gene:Potri.007G033200.v4.1 transcript:Potri.007G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033200.v4.1 MAYYSFLLVLVLALFHSSAHGAAPASSHNPTQVTTTPIPLPNVANEFLQSHNQARAAVGVGPLKWSEMLANATSRIVRYQRNKMGCQFANLSDSKYGGNQLWSSTGMAVTPRMAVDNWVQEKNYYNHTGNSCAPNHSCGVYTQVVWRKSLELGCAQATCVKEQASLTICYYDPPGNIIGESPY >Potri.004G179866.1.v4.1 pep chromosome:Pop_tri_v4:4:19456779:19457875:1 gene:Potri.004G179866.v4.1 transcript:Potri.004G179866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179866.v4.1 MRGGGLLFWSRGRCARKKNPSGQWLRVLRSAAPSRRQSKWRGWFGSFLWPGEGAALVWFCKRRAAACARCCWLREKRKKVLWRGRLGKKPEENQLPFFPPCPIRQVCLYEGKGSSGEGRGTGDHQSSCQLLWGSCLGSSPDFQPKKRDQKMVLDPGSGSSALWAQGSRKDIKSPPSLIVKLPPLGNSV >Potri.011G065900.2.v4.1 pep chromosome:Pop_tri_v4:11:5638291:5638776:-1 gene:Potri.011G065900.v4.1 transcript:Potri.011G065900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065900.v4.1 MASQEEGIKLFGATITLHDGQVISKEDQNKENPTIDKRPEKIIQCPRCKSMETKFCYFNNYNVNQPRHFCKGCQRYWTAGGALRNVPVGAGRRKTKPPGRGGPGGYSEECLFDGSGGVIHQFELDGVVLEELHLATTHGGFRQVFPVKRRRSGGSGGQNCW >Potri.001G163900.14.v4.1 pep chromosome:Pop_tri_v4:1:13922180:13931891:1 gene:Potri.001G163900.v4.1 transcript:Potri.001G163900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163900.v4.1 MVGSQMETNMIRGSSDSRYLQKFRLYETRSNFYMIGGDKNRTLWRVLKIDRLEPSELIVLEDSTTYSESECCDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPYYMLLITKRRKIGAICGHTIYAITKSEMIPIPNSTVRSNMTSSKNENRYKKLLCTVDLTRDFFFSYSYNVMHSLQKNLSFNETGQIHYESMFVWNEFLTQGIRNNLKNTLWTVALVHGFFKQVKISVSGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQVVFEDVSEEQPVQISSVVQNRGSIPLFWSQESSRLNLKPDIMLSRKDQNFEATKLHFENLVKRYGNPIIILNLIKSHEKKPRETILGAEFANAIRVINQSLSEENRLKFLHWDLNKHSRKATNVLALLGRVTAYALNLTGIFYCQVMPSSSSKGLLNGSCFEEHDGDCSLENLSNENGNVGKLDSEITKADCDANRNQSIKVPMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMEYPNIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATHSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHYDVGRRGPDLVEHARSLIKRSLSDGNLPCESESLVSAASVGYSKSLSEKEGGVNKGLSDSTPEIPACEIDISYSRYIPSMPCRKIFEDEECLYFESDHICYDEHGDACSFSNFLDKEWLSSSGNSCEDLCDRSIAGLSSENLANDLKIETRTSATESGSSLKGGSQTGTYLSSDDLKGGFSDHFVRWVMYGEMLSH >Potri.001G163900.13.v4.1 pep chromosome:Pop_tri_v4:1:13922042:13931891:1 gene:Potri.001G163900.v4.1 transcript:Potri.001G163900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163900.v4.1 MVGSQMETNMIRGSSDSRYLQKFRLYETRSNFYMIGGDKNRTLWRVLKIDRLEPSELIVLEDSTTYSESECCDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPYYMLLITKRRKIGAICGHTIYAITKSEMIPIPNSTVRSNMTSSKNENRYKKLLCTVDLTRDFFFSYSYNVMHSLQKNLSFNETGQIHYESMFVWNEFLTQGIRNNLKNTLWTVALVHGFFKQVKISVSGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQVVFEDVSEEQPVQISSVVQNRGSIPLFWSQESSRLNLKPDIMLSRKDQNFEATKLHFENLVKRYGNPIIILNLIKSHEKKPRETILGAEFANAIRVINQSLSEENRLKFLHWDLNKHSRKATNVLALLGRVTAYALNLTGIFYCQVMPSSSSKGLLNGSCFEEHDGDCSLENLSNENGNVGKLDSEITKADCDANRNQSIKVPMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMEYPNIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATHSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHYDVGRRGPDLVEHARSLIKRSLSDGNLPCESESLVSAASVGYSKSLSEKEGGVNKGLSDSTPEIPACEIDISYSRYIPSMPCRKIFEDEECLYFESDHICYDEHGDACSFSNFLDKEWLSSSGNSCEDLCDRSIAGLSSENLANDLKIETRTSATESGSSLKGGSQTGTYLSSDDLKGGFSDHFVRWVMYGEMLSH >Potri.001G163900.15.v4.1 pep chromosome:Pop_tri_v4:1:13922180:13931766:1 gene:Potri.001G163900.v4.1 transcript:Potri.001G163900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163900.v4.1 MVGSQMETNMIRGSSDSRYLQKFRLYETRSNFYMIGGDKNRTLWRVLKIDRLEPSELIVLEDSTTYSESECCDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPYYMLLITKRRKIGAICGHTIYAITKSEMIPIPNSTVRSNMTSSKNENRYKKLLCTVDLTRDFFFSYSYNVMHSLQKNLSFNETGQIHYESMFVWNEFLTQGIRNNLKNTLWTVALVHGFFKQVKISVSGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQVVFEDVSEEQPVQISSVVQNRGSIPLFWSQESSRLNLKPDIMLSRKDQNFEATKLHFENLVKRYGNPIIILNLIKSHEKKPRETILGAEFANAIRVINQSLSEENRLKFLHWDLNKHSRKATNVLALLGRVTAYALNLTGIFYCQVMPSSSSKGLLNGSCFEEHDGDCSLENLSNENGNVGKLDSEITKADCDANRNQSIKVPMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMEYPNIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATHSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHYDVGRRGPDLVEHARSLIKRSLSDGNLPCESESLVSAASVGYSKSLSEKEGGVNKGLSDSTPEIPACEIDISYSRYIPSMPCRKIFEDEECLYFESDHICYDEHGDACSFSNFLDKEWLSSSGNSCEDLCDRSIAGLSSENLANDLKIETRTSATESGSSLKGGSQTGTYLSSDDLKGGFSDHFVRWVMYGEMLSH >Potri.001G163900.12.v4.1 pep chromosome:Pop_tri_v4:1:13922021:13931893:1 gene:Potri.001G163900.v4.1 transcript:Potri.001G163900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163900.v4.1 MVGSQMETNMIRGSSDSRYLQKFRLYETRSNFYMIGGDKNRTLWRVLKIDRLEPSELIVLEDSTTYSESECCDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPYYMLLITKRRKIGAICGHTIYAITKSEMIPIPNSTVRSNMTSSKNENRYKKLLCTVDLTRDFFFSYSYNVMHSLQKNLSFNETGQIHYESMFVWNEFLTQGIRNNLKNTLWTVALVHGFFKQVKISVSGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQVVFEDVSEEQPVQISSVVQNRGSIPLFWSQESSRLNLKPDIMLSRKDQNFEATKLHFENLVKRYGNPIIILNLIKSHEKKPRETILGAEFANAIRVINQSLSEENRLKFLHWDLNKHSRNRATNVLALLGRVTAYALNLTGIFYCQVMPSSSSKGLLNGSCFEEHDGDCSLENLSNENGNVGKLDSEITKADCDANRNQSIKVPMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMEYPNIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATHSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHYDVGRRGPDLVEHARSLIKRSLSDGNLPCESESLVSAASVGYSKSLSEKEGGVNKGLSDSTPEIPACEIDISYSRYIPSMPCRKIFEDEECLYFESDHICYDEHGDACSFSNFLDKEWLSSSGNSCEDLCDRSIAGLSSENLANDLKIETRTSATESGSSLKGGSQTGTYLSSDDLKGGFSDHFVRWVMYGEMLSH >Potri.001G163900.16.v4.1 pep chromosome:Pop_tri_v4:1:13922150:13931751:1 gene:Potri.001G163900.v4.1 transcript:Potri.001G163900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163900.v4.1 MVGSQMETNMIRGSSDSRYLQKFRLYETRSNFYMIGGDKNRTLWRVLKIDRLEPSELIVLEDSTTYSESECCDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPYYMLLITKRRKIGAICGHTIYAITKSEMIPIPNSTVRSNMTSSKNENRYKKLLCTVDLTRDFFFSYSYNVMHSLQKNLSFNETGQIHYESMFVWNEFLTQGIRNNLKNTLWTVALVHGFFKQVKISVSGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQVVFEDVSEEQPVQISSVVQNRGSIPLFWSQESSRLNLKPDIMLSRKDQNFEATKLHFENLVKRYGNPIIILNLIKSHEKKPRETILGAEFANAIRVINQSLSEENRLKFLHWDLNKHSRKATNVLALLGRVTAYALNLTGIFYCQVMPSSSSKGLLNGSCFEEHDGDCSLENLSNENGNVGKLDSEITKADCDANRNQSIKVPMFQNGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMEYPNIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATHSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHYDVGRRGPDLVEHARSLIKRSLSDGNLPCESESLVSAASVGYSKSLSEKEGGVNKGLSDSTPEIPACEIDISYSRYIPSMPCRKIFEDEECLYFESDHICYDEHGDACSFSNFLDKEWLSSSGNSCEDLCDRSIAGLSSENLANDLKIETRTSATESGSSLKGGSQTGTYLSSDDLKGGFSDHFVRWVMYGEMLSH >Potri.008G173900.1.v4.1 pep chromosome:Pop_tri_v4:8:12008324:12014701:1 gene:Potri.008G173900.v4.1 transcript:Potri.008G173900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G173900.v4.1 MSMEVVLALSPFPRFCLPNTNLKTTLSSSNSQVLLWRSPLMNVQLNHYYSRKRRGCINGGGASRRSLWPQFSNSYDRCNLKLGKFISRLREFMNSSEKMRKHVSSTLFTRLVVSVLMVSFAVSNSPSWALSEENLLFLEAWRTIDRAYVDKTFNGQSWFRYRENALRNEPMNTREETYTAIRKMLATLDDPFTRFLEPEKFKSLRSGTKSAVTGVGLSIGYPTGSDGSPAGLVVISAAPGGPANKAGIVSGDIILAINDTGTESMGIYEAADRLQGPEGSSVELTIRSGQEIKHLALTREKVSLNPVKSRLCVIPGSGKDSPRIGYIKLTTFNQNASGAIREAINTLRSNNVNAFVLDLRDNSGGLFPEGIEIAKIWLDKGVIVYICDSRGVRDIYDTDGSSAIATSEPLAVLVNKGTASASEILAGALKDNKRAVLFGEPTFGKGKIQSVFQLSDGSGLAVTVARYETPDHTDIDKVGVIPDHPLPRTFPKDEEGFCGCLQDPASTFYVNRGQLFAR >Potri.017G005400.1.v4.1 pep chromosome:Pop_tri_v4:17:353235:355568:1 gene:Potri.017G005400.v4.1 transcript:Potri.017G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005400.v4.1 MASDHSVRGEEVISNKQVILKDYVSGFPRESNLYLTTSNIKLKVPEEESGKDAVLVKNLYLSCDPFMRGRMQRDLPPGEPEQSSYSLGSPIVGYGVARVVDSRHSDFKKGDLVWGRTIGWEEYSLITTPEYLFKINHTDDIPLSYYTGILGMPGITAYFCFFDIGSPKEGDRVYVSSASGAVGQLVGQFAKLIGCYVVGSAGSKEKVELLKTKFGFDDAFNYKEEHDLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRHHGRIALCGMISQYNLEQPESVQNLIAVLYKHIRMEGFSVAEYYDQYSKFLDFVLPCIKEGKIVYVEDITEGLESGPAALIGLFSGRNVGKQVVKVAQE >Potri.007G103400.1.v4.1 pep chromosome:Pop_tri_v4:7:12666714:12668107:1 gene:Potri.007G103400.v4.1 transcript:Potri.007G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G103400.v4.1 MADHLFNKQAAIYSEARPRYPSEWFSMLAALTPQHSVAWDVGTGNGQAAIGVAEQYKKVIATDISDEQLKHAIPHPQVQYVHTPLAMSDDELVSLIGGEDSVDLITAATAVQWFDLDRFYPIVKRVLRKPGGIIAVWCYGSMEFSPEIDGILRRFFELGIPFQSQSFKIAVQCYKTLPFPFESVGVGCEGQPLELDMRKEMSFQGLLKFLRSLPVVHIAKEQGVDLLPEELLKEFERAWGEPEMVRTAIYKTYMLAGKVKL >Potri.018G075900.3.v4.1 pep chromosome:Pop_tri_v4:18:9373689:9374964:-1 gene:Potri.018G075900.v4.1 transcript:Potri.018G075900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075900.v4.1 MCRVFTPPHPLNRPPHLLHHHQCILLHRLLLHLPHNHHRHHHHPCLPRRLLHHPHHLHYLHVYVPHHRLHQIHHHLHHQYSPHHHLFLTIITPHHPHHLHIRLHLHIRLHHQFILTYHLHHHLLSILHLPQFIHHRLHPHLV >Potri.018G075900.2.v4.1 pep chromosome:Pop_tri_v4:18:9373508:9376891:-1 gene:Potri.018G075900.v4.1 transcript:Potri.018G075900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075900.v4.1 MKKTTHSHLHLSLLIALFLGTVVCLSAAKQAPTISSYGGLSDADAMYIKKRQLLYYKDEFGDRGERVTVDPSLVFPNPRLRNAYIALQAWKQAIFSDPLNLTANWVGSQVCNYEGVFCAPAPDNKTIRTVAGIDLNHGDIAGYLPEELGLLVDLALFHINSNRFCGTVPRKFKDMRLLFELDLSNNRFAGKFPQVVLKLPSLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFVFDLPENLGNSPVSVIVLANNKFHGCVPSSLGNMSNLNEIILMNNGFRSCMPAEIGLLKDLTVLDVSFNQLMGPLPDAFGGMASLEQLNVAHNMLSGKIPASICKLPNLDNFTFSYNFFTGEPPVCLSLPDFSDRRNCLPARPLQRSAAQCNAFLSRPVDCSSFRCAPFVPSLPPPPPPSPPMPVPSPPPPPPVVIPLSPPPSPPPPIFSPPPPVHSPPPPPPPVYSPPPPPPPSPSPPPPPPVNSPPPPPPSPPPPSPPPPSPPPPSPPPPSPLPPPPPPPPPPPSPLPPCVRPPPPPPPNSPPPPHSQPPPPPPVYSSPPPPVNSPPPPYNSPPPPPYHSPPPPSPPPCIEPPPPPPPCVEYSPPPTPSIAPPTFSTITSVFSSTASYSTSPTIITATTTIPVSPAASYTTPTISITSMCTFPTTASTKFTTTSITNILPTTTYSLLL >Potri.001G324700.6.v4.1 pep chromosome:Pop_tri_v4:1:33363855:33370028:-1 gene:Potri.001G324700.v4.1 transcript:Potri.001G324700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324700.v4.1 MGLPQVSSTDSANEVPAASLGTFLQSPPRYAGISGLDGGNIGRTVGHTRTSSLGDFQGKPSLDLLKYSENSFEFGRAVDVVSNFHGLKTGSVDEVGRCTPKSGRNIPIPASRIVGFESHGMSFLNNGFEILSADHVCSSAVDGVTINEAESSGSLVRKRLLSSPLNDMLSAKQFYGESLDISCNTSRMSCPTHADHFKSSASHDYKKANVGRKIHISVPSRSLSGCWEQKNVPCDDSARRSVCLTDGPLLEKNDALSCINCFYSPGHNHYLESKVSSQKGFSSPLSLSPLGPKSCVSMKTVGGCREVKSQFEDCYLNLEKIGHSFDRNDSGINFASEEAEFRISSRSFEDIGFCKGFCPSSLEGTTDSGWSPFCRESAPAQCIRHLRSLGGLSVRRSLVGSFEESLLSGRFFCGKFTQRIDGFLAVLSITGGNFSPQSQKLPFSVTSVDGDCYLLYYASIDLSRNSSSNKCRGQKFKRCLSNDDSQIVRSRLHIPMKGRIQLVISNPEKTPLHTFFCNYDLSDMPAGTKTFLRQKVSLASSGPTSTELKQGQMGLDTKVKDKVSPVSQKSHPVYPAASDGQKSKIKGSESCDILDVVDARSFPKQSRIVEKVTVDSLMLEANCSTPKCQRTAGKESAGVETCNKTDRKPAHCCSKINENTPGGGALRYALHLRFLCPSPKKSSKSVQKCVSDPIAIPQKMSLGVEGERRFYLYNDLRVVFPQRHSDADEGKLNVDYHFPEDPRYFDISN >Potri.001G324700.4.v4.1 pep chromosome:Pop_tri_v4:1:33364121:33370191:-1 gene:Potri.001G324700.v4.1 transcript:Potri.001G324700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324700.v4.1 MGLPQVSSTDSANEVPAASLGTFLQSPPRYAGISGLDGGNIGRTVGHTRTSSLGDFQGKPSLDLLKYSENSFEFGRAVDVVSNFHGLKTGSVDEVGRCTPKSGRNIPIPASRIVGFESHGMSFLNNGFEILSADHVCSSAVDGVTINEAESSGSLVRKRLLSSPLNDMLSAKQFYGESLDISCNTSRMSCPTHADHFKSSASHDYKKANVGRKIHISVPSRSLSGCWEQKNVPCDDSARRSVCLTDGPLLEKNDALSCINCFYSPGHNHYLESKVSSQKGFSSPLSLSPLGPKSCVSMKTVGGCREVKSQFEDCYLNLEKIGHSFDRNDSGINFASEEAEFRISSRSFEDIGFCKGFCPSSLEGTTDSGWSPFCRESAPAQCIRHLRSLGGLSVRRSLVGSFEESLLSGRFFCGKFTQRIDGFLAVLSITGGNFSPQSQKLPFSVTSVDGDCYLLYYASIDLSRNSSSNKCRGQKFKRCLSNDDSQIVRSRLHIPMKGRIQLVISNPEKTPLHTFFCNYDLSDMPAGTKTFLRQKVSLASSGPTSTELKQGQMGLDTKVKDKVSPVSQKSHPVYPAASDGQKSKIKGSESCDILDVVDARSFPKQSRIVEKVTVDSLMLEANCSTPKCQRTAGKESAGVETCNKTDRKPAHCCSKINENTPGGGALRYALHLRFLCPSPKKSSKSVQKCVSDPIAIPQKMSLGVEGERRFYLYNDLRVVFPQRHSDADEGKLNVDYHFPEDPRYFDISN >Potri.001G324700.7.v4.1 pep chromosome:Pop_tri_v4:1:33364118:33370002:-1 gene:Potri.001G324700.v4.1 transcript:Potri.001G324700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324700.v4.1 MGLPQVSSTDSANEVPAASLGTFLQSPPRYAGISGLDGGNIGRTVGHTRTSSLGDFQGKPSLDLLKYSENSFEFGRAVDVVSNFHGLKTGSVDEVGRCTPKSGRNIPIPASRIVGFESHGMSFLNNGFEILSADHVCSSAVDGVTINEAESSGSLVRKRLLSSPLNDMLSAKQFYGESLDISCNTSRMSCPTHADHFKSSASHDYKKANVGRKIHISVPSRSLSGCWEQKNVPCDDSARRSVCLTDGPLLEKNDALSCINCFYSPGHNHYLESKVSSQKGFSSPLSLSPLGPKSCVSMKTVGGCREVKSQFEDCYLNLEKIGHSFDRNDSGINFASEEAEFRISSRSFEDIGFCKGFCPSSLEGTTDSGWSPFCRESAPAQCIRHLRSLGGLSVRRSLVGSFEESLLSGRFFCGKFTQRIDGFLAVLSITGGNFSPQSQKLPFSVTSVDGDCYLLYYASIDLSRNSSSNKCRGQKFKRCLSNDDSQIVRSRLHIPMKGRIQLVISNPEKTPLHTFFCNYDLSDMPAGTKTFLRQKVSLASSGPTSTELKQGQMGLDTKVKDKVSPVSQKSHPVYPAASDGQKSKIKGSESCDILDVVDARSFPKQSRIVEKVTVDSLMLEANCSTPKCQRTAGKESAGVETCNKTDRKPAHCCSKINENTPGGGALRYALHLRFLCPSPKKSSKSVQKCVSDPIAIPQKMSLGVEGERRFYLYNDLRVVFPQRHSDADEGKLNVDYHFPEDPRYFDISN >Potri.001G324700.5.v4.1 pep chromosome:Pop_tri_v4:1:33364134:33370125:-1 gene:Potri.001G324700.v4.1 transcript:Potri.001G324700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324700.v4.1 MGLPQVSSTDSANEVPAASLGTFLQSPPRYAGISGLDGGNIGRTVGHTRTSSLGDFQGKPSLDLLKYSENSFEFGRAVDVVSNFHGLKTGSVDEVGRCTPKSGRNIPIPASRIVGFESHGMSFLNNGFEILSADHVCSSAVDGVTINEAESSGSLVRKRLLSSPLNDMLSAKQFYGESLDISCNTSRMSCPTHADHFKSSASHDYKKANVGRKIHISVPSRSLSGCWEQKNVPCDDSARRSVCLTDGPLLEKNDALSCINCFYSPGHNHYLESKVSSQKGFSSPLSLSPLGPKSCVSMKTVGGCREVKSQFEDCYLNLEKIGHSFDRNDSGINFASEEAEFRISSRSFEDIGFCKGFCPSSLEGTTDSGWSPFCRESAPAQCIRHLRSLGGLSVRRSLVGSFEESLLSGRFFCGKFTQRIDGFLAVLSITGGNFSPQSQKLPFSVTSVDGDCYLLYYASIDLSRNSSSNKCRGQKFKRCLSNDDSQIVRSRLHIPMKGRIQLVISNPEKTPLHTFFCNYDLSDMPAGTKTFLRQKVSLASSGPTSTELKQGQMGLDTKVKDKVSPVSQKSHPVYPAASDGQKSKIKGSESCDILDVVDARSFPKQSRIVEKVTVDSLMLEANCSTPKCQRTAGKESAGVETCNKTDRKPAHCCSKINENTPGGGALRYALHLRFLCPSPKKSSKSVQKCVSDPIAIPQKMSLGVEGERRFYLYNDLRVVFPQRHSDADEGKLNVDYHFPEDPRYFDISN >Potri.001G086000.1.v4.1 pep chromosome:Pop_tri_v4:1:6829705:6834683:-1 gene:Potri.001G086000.v4.1 transcript:Potri.001G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086000.v4.1 MGSSVLKQKLKSLCCSNGWSYGVFWCFDQINSMLLTMEDAYYEEEMGAVVNSMLSEAHILGEGIVGQAASTGKHQWIFSDASDGGWDSAASIGGQDIFQDDSEIHRQFSSGIKTIAIISVESHGVVQFGSTLKILERDEFLDQTKRLFGEMENVDGLTSKANSPSSLKSESYDLNEWFDSFCNGNIMPMLGGNCNELTEMAYSSMDVTQSSAFTSDAEQDRMNPLCLDSSLPTNQLNTDVTTEAQMIFSSHPSAQFQQVSSQSPSMNKITTQTPCTSTWISGDSNLTSWESKFQSEMVVQDSTTVFSTERSMNNQHSGPSIHVTERETSLNRFPVEFNPDDLTIDLSKSGVTDNILEWFAPSPEHSISGTAAIMNGNLSQSGGATSASSGLIGDLLVHIPSKQPATSAQSSVTETYFSSGKEKSVSVTGAENDLFEGLGLVFRGGQTGHCWEDMMMPVARSGQITASTGVSECISELDVGSKVGPQKGLFSELGLEELLDSVSNSSYVTKYSIDDQLSNAKRRRVENSLVSSDKLQLVNASYPTSSRMMQPAYNLDKTKNLPSKQEVFPKSQVSLWIDDSYSVNTGSSGLPKPEELAKPTKKRARPGESTRPRPKDRQQIQDRIKELKQIIPDGAKCSIDALLDRTIKHMLFLQSVTKYAERLKQADEPKLIGQENRLLLKDNTTSSGGATWALEVADQSMVCPIIVEDLSQPGLMLIEMLCEDRGFFLETADVIKGFGLNILKGLMESRENKIWARFIVEANVHITRVEVFWYLLQLLERTGTSVMDSTKQPSNSMHGRIPELSSYQLPALPCPVSLTETIQ >Potri.001G167600.1.v4.1 pep chromosome:Pop_tri_v4:1:14270789:14271717:1 gene:Potri.001G167600.v4.1 transcript:Potri.001G167600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167600.v4.1 MAQDHHRIPPRNTDLTTTSRWPLRRRLSLRRRKLPIIRLGGEKPRRRRMSLLRMLRGTRLRWLKLRYLCMLKKLKECYRNFINDLSASGATIEAYQQRLLMETSFAVPGMGITFSNFLSVQAGSNPSRSFMV >Potri.012G124944.1.v4.1 pep chromosome:Pop_tri_v4:12:14193655:14200049:1 gene:Potri.012G124944.v4.1 transcript:Potri.012G124944.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124944.v4.1 MSSSETRSPLSLLFRRRSSGDVTKNLASVSSSLLPAFGIDVDDGYLHLKKYVIAPYDRRYRWWQTFLVVLVVYSAWASPFELAFKKAATGGFLPVDLVVDAFFAADIVLTFFVAYLDNTTFLLVDDHKKIALRYIARLLFPMDAASTLPFHIIHRIFTGKMHEGKVFGFLNLLEKNTRFSYFWTRLFKLTSVTLFVVHSAGCIYYWIAVHHDTEGNTWIGKHVEDFEQRSIWLGYTYSIYWSIVTLTTVGYGDLHAENTGEKVFNMFYMLFNIGLTTYIIGNMTNLVVRSAVHTSAMRNAIDQILRYASKNRLPEGLRKQMLAHMQLKFKTAELQQEEVLENLPKAIRSSIAQHLFHSIVAKTYLFKGVSADLITQLVSEMKAEYFPPKVEIILQNEIPTEFYVLVTGAVDVLVSRNGTEQVLSKLVSSDMAGEIGVVFNIPQPFTVRTRRLSQVIRLSHHHLKQMAQPHSEDGKKIISNFIQYLKDLKREMQEEIPFLRELLEDTAVEKATSNEGLQSSEALNSQRETSVEGIQENSTPLPSTFPKRVIIHGHHPNETTGDTMGKLINFPDSTENLFDIAEKKFGKHGNKILMEDGSEVEELDALRENDHLFIF >Potri.006G106900.1.v4.1 pep chromosome:Pop_tri_v4:6:8266263:8267991:-1 gene:Potri.006G106900.v4.1 transcript:Potri.006G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106900.v4.1 MSSKKKKKAALYEKLRAATNSNAMNKTSIIVDASKYIGELKKKVDRLNHEIGTSSTPQNSLSAQVTVETLEKGFLINVFSGKNCPGLLVSILEAFDELGLDVLDARVSCEDNFLLEAIGGDQNQGHDAQVVKQAVLQAIHNWNGGR >Potri.002G255300.1.v4.1 pep chromosome:Pop_tri_v4:2:24408253:24411964:-1 gene:Potri.002G255300.v4.1 transcript:Potri.002G255300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255300.v4.1 MALWMEAGSEPKTESEIADLQAISALKHSTALELKEKGNEYVKMGKKHYSDAIECYTRAINQDALSDSDNSIVYSNRAHVNLLLGNYRRALTDAQEAIKLCPTNVKAMYRAAKASLSLSLLVEAKSFSENGLEQDPDNEELKKLAKQINLVKVEHDKREAEVSKAVSEAKDLLSAIEDRGLKVGKAMFGELVGLRKPVLDKNKILHWPVLLLYAEVMSSDFIEDFCETDMFLAHLDMMFSESCPPLPWDTENNYTREAVELYYEAGSGVPLSKKKILHYLLDGTSGANVESVDEEKDAIESHGSGKGSSKWVKVNEKRMLCDVLKEPDFIISGIPVFYVVSKRSSFYKEFKAGKWSLPP >Potri.011G022500.1.v4.1 pep chromosome:Pop_tri_v4:11:1681039:1684557:1 gene:Potri.011G022500.v4.1 transcript:Potri.011G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022500.v4.1 MTSKIISGKRFEGKVVIVTASTQGIGFSIAERFGLEGASVVISSRKQKNVDEAAEKLKAKGIKVLGVVCHVSNAQQRKNLIETTVQKYGKIDIVVSNAAVNPSTDSILETQESVLDKLWEINVKAAILLLKDATPHMKKGSSVILISSIGGYHPHSSMAMYGVTKTALFGLTKVLAAEMAPHTRVNCIAPGFVPTHFADFITGNQTIRKTIEDQTLLKRLGTTDDMASAVAFLASDDASYITGETLVVAGGMPSRL >Potri.011G022500.3.v4.1 pep chromosome:Pop_tri_v4:11:1681313:1684961:1 gene:Potri.011G022500.v4.1 transcript:Potri.011G022500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022500.v4.1 MGFFFGLLSGWNFFWSFVELIQKNVDEAAEKLKAKGIKVLGVVCHVSNAQQRKNLIETTVQKYGKIDIVVSNAAVNPSTDSILETQESVLDKLWEINVKAAILLLKDATPHMKKGSSVILISSIGGYHPHSSMAMYGVTKTALFGLTKVLAAEMAPHTRVNCIAPGFVPTHFADFITGNQTIRKTIEDQTLLKRLGTTDDMASAVAFLASDDASYITGETLVVAGGMPSRL >Potri.010G109700.2.v4.1 pep chromosome:Pop_tri_v4:10:13017408:13021438:-1 gene:Potri.010G109700.v4.1 transcript:Potri.010G109700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109700.v4.1 MTKLKNCLKSLLKPFKFNSSKERLGEEDMETIAAREQKQFSFETLVSATKDFHLTHKLGEGGFGPVYKGKLDDGREIAVKKLSHSSNQGKKEFTNEAKLLSRVQHRNVVNLLGYCAHGVEKLLVYEYVANESLDKLLFKSDKRQLLDWNRRYDILIGIARGLLYLHEDSHNCIIHRDIKASNILLDDKWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMHGHLSVKADVFSFGVLVLELISGQRNSTFSQQHADAQNLLDWAYKLHKKNRSLEIMDPVLASSAAAEQVKTCVHLGLLCTQGDPQLRPDMRRIVVLLSKKTCSLEEPTRPGVPGSRYRRARRPAGMSSTAGTSDTARTFGESDSRTFDSSSNTNTATASTSAHTIPRLDPHGKRPIES >Potri.001G359300.2.v4.1 pep chromosome:Pop_tri_v4:1:37568892:37576086:1 gene:Potri.001G359300.v4.1 transcript:Potri.001G359300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359300.v4.1 MANLPQSLSMNAPFGVPSASNPASAAVAGAPANKDRKMASAEHLVLDLSSPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGGNRVVPGLQAGGGFDHMLVN >Potri.001G359300.8.v4.1 pep chromosome:Pop_tri_v4:1:37568924:37576049:1 gene:Potri.001G359300.v4.1 transcript:Potri.001G359300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359300.v4.1 MANLPQSLSMNAPFGVPSASNPASAAVAGAPANKDRKMASAEHLVLDLSSPDLRENALLELSKNKDLFQELAPFVWNSFGTIAALIQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVECYYFTSFCFSKYVKKLMLILFTFTIYAFVWMFVWRTTDPLVIMNLFSFLKEKL >Potri.001G359300.3.v4.1 pep chromosome:Pop_tri_v4:1:37568904:37576091:1 gene:Potri.001G359300.v4.1 transcript:Potri.001G359300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359300.v4.1 MANLPQSLSMNAPFGVPSASNPASAAVAGAPANKDRKMASAEHLVLDLSSPDLRENALLELSKNKDLFQELAPFVWNSFGTIAALIQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVATFIVQKILLDDVGLDYICTTAERFFAVGRVLGNMVAALAEQPSSRLLKHIIRCYLRLSDNPRACDALRSCLPDMLRDATFSSCLREDPTTRRWLQQLLHNVGGNRVVPGLQAGGGFDHMLVN >Potri.001G359300.7.v4.1 pep chromosome:Pop_tri_v4:1:37568902:37576049:1 gene:Potri.001G359300.v4.1 transcript:Potri.001G359300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359300.v4.1 MANLPQSLSMNAPFGVPSASNPASAAVAGAPANKDRKMASAEHLVLDLSSPDLRENALLELSKKRELFQDLAPLLWNSFGTIAALLQEIVSIYPVLSPPNLSPAQSNRVCNALALLQCVASHPDTRMLFLNAHIPLYLYPFLNTTSKSRPFEYLRLTSLGVIGALVKVDDTEVISFLLSTEIIPLCLRTMEMGSELSKTVECYYFTSFCFSKYVKKLMLILFTFTIYAFVWMFVWRTTDPLVIMNLFSFLKEKL >Potri.012G141300.1.v4.1 pep chromosome:Pop_tri_v4:12:15313208:15315651:1 gene:Potri.012G141300.v4.1 transcript:Potri.012G141300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141300.v4.1 MESQMARPHGVHDYEHDPNNVGLHPVVEGEDGYQHEKKSVLKKVMDKAKKLKDKVKLHGHGHDYHEGHVPDDHDLYEEDDDEDEEMVEDPEVHGASAYDSAAIRNSVQGQGLGLGNPRTSYGNPTTMQKEHTGTDPMKSFLPGQEVLVGRTTAIKEVPHAPVNSPAYVSPATVEQRRDAYPVKGFVHEQERIRGQPEVNLKIPVGLEEDPHAPKGRLGDHAPSNYQTKVTDPTGSGGKEAGITPILYSFDKMNIYDESRGEKQNLSTGSRDGQLSLLPTGSHDQFSAEPTPPISISSQENPVSGSKSFVTLKSKEHTLGGIGDKPPNQSGYTEKISSATSAIVDKAKAATNVMASNLGYGERDNNIKEHTMTHEGQGQNAAKPASTVEYGKKIATTVTEKLTPVYEKVAGVGSTVMSKLHGNTNASTNTCNEAESRIEGKDKGASVKDYFAEKLRPGEEDRALSEVISETLNKGKTETGMWKNGRPMGKVTDSEEVEKRLGSGEEENSDEITDSVSVHIPAKGVVDKLKGAVGSLFVKSEESRASQQHPLSSSNAGAEGFPSTYASGEEIGEKRLQETGN >Potri.010G060700.2.v4.1 pep chromosome:Pop_tri_v4:10:9076613:9083271:1 gene:Potri.010G060700.v4.1 transcript:Potri.010G060700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060700.v4.1 MSIVRARGSCVAIRTLSPSTTTNRSCAHGSASSDDATCGSPTWIGKGLTCVCFKRKGAYERICINLTPQQEERLKRLKDRMKVYFDASRPEHQDALRALWSATYPDRELSGLISDQWKEMGWQGRDPSTDFRGAGFLSLENLVFFAKTFSISFQHLLRKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDAMKPRTFVRPVFLQILSESEWAFDLLYCVAFVVMDNQWLHRNATYMEFNDVLKSTRAQVERELLMDDVLRIEDMPSYSLLI >Potri.008G048600.1.v4.1 pep chromosome:Pop_tri_v4:8:2847600:2849384:1 gene:Potri.008G048600.v4.1 transcript:Potri.008G048600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048600.v4.1 MAGRAEGFGRFSIGGLHDPLYSVTTLADDGPGSLREGCRRQEPLWIVFEVSGTINLVSQLSVSSYKTIDGRGQRIKVAGKGLRLKECEHVIVCNLEFEGGRGHDIDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAQHDKTMLIGADASHVGDRCIRVTIHHCFFNGTRQRHPRLRYGKVHLYNNYTRNWGIYAVCASVEAQIYSQCNIYEAGQKKKTFEFYTEKAADRQGASSGLIRSEGDVLLNGAQSCLLQGVGENCVFHPGEYYPTWTLESPLDSLKDVLQICTGWQSIPRPAGM >Potri.008G048600.3.v4.1 pep chromosome:Pop_tri_v4:8:2847554:2849354:1 gene:Potri.008G048600.v4.1 transcript:Potri.008G048600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048600.v4.1 MATLPYADVDSSLRAMAGRAEGFGRFSIGGLHDPLYSVTTLADDGPGSLREGCRRQEPLWIVFEVSGTINLVSQLSVSSYKTIDGRGQRIKVAGKGLRLKECEHVIVCNLEFEGGRGHDIDGIQIKPNSRHIWIDRCSLRDYDDGLIDITRQSTDITVSRCYFAQHDKTMLIGADASHVGDRCIRVTIHHCFFNGTRQRHPRLRYGKVHLYNNYTRNWGIYAVCASVEAQIYSQCNIYEAGQKKKTFEFYTEKAADRQGASSGLIRSEGDVLLNGAQSCLLQGVGENCVFHPGEYYPTWTLESPLDSLKDVLQICTGWQSIPRPAGM >Potri.011G009600.2.v4.1 pep chromosome:Pop_tri_v4:11:886729:894181:-1 gene:Potri.011G009600.v4.1 transcript:Potri.011G009600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009600.v4.1 MNVNTCIQPPQFLPLSPFYQQRFHLFTQKPQSLKPTKKSCYFSTTACDNSFKGGLFRVQKGRIRCSVSEVEEQKEKQLQVVKRAYPFHEIEPKWQSYWEKNQTFRTPDEVDTSKPKFYVLDMFPYPSGAGLHVGHPLGYTATDILARLKRMQGYNVLHPMGWDAFGLPAEQYAIETGTHPKITTLRNINRFRSQLKSLGLSYDWDREISTTEPQYYKWTQWIFLQLLKRGLAYQAEVPVNWCPALGTVLANEEVVDGVSERGGHPVIRKPMRQWMLKITAYADRLLEDLDDLDWPESVKEMQRNWIGRSEGAELEFCVLDGDGKERDIKITVYTTRPDTVFGATYLVVAPEHSLLPSLMSLSQRESVEEYKDLASRKSDLERTELQKEKTGVFSGCYAQNPANGEAIPIWVADYVLGSYGTGAIMAVPAHDTRDYEFATKYDIPIRWVVKPDDDDFSDSGKAYEREGSILNSSSSTSGLDINGLHSKVAASKVIEWADTTGNGKKKVNYKLRDWLFARQRYWGEPIPVVFLQDTGETAPILETDLPLTLPELDDFTPTGTGEPPLAKAVSWVKTTDPSSGKPAMRETSTMPQWAGSCWYYLRYMDPKNSKELVDKTKEKYWSPIDVYVGGAEHAVLHLLYSRFWHKVLYDIGVVSTKEPFKCVINQGIILGEVQYTAFKNPDGNYVSADSADLSGEINQEIIPEEKVIKSGDSFVLKGDPSIRLIARAHKMSKSRGNVVNPDDVVSEYGADSLRLYEMFMGPFRDSKTWSTSGIEGVYRFLGRTWRLIVGSPLPDGTFRDGTVAIDGEPSFEQLRTLHKCIAKVTEEIEGTRFNTGISAMMEFINAAYKWDKLPRSIIKEFVFLLSPYAPHMAEELWFRLGHSNSLAYEPFPKANPDYLKESTIVLPVQINGKMRGTIQIEEGCSEEDAFRLVSQDAKLSKFLDGKSIKKRIYVPGKILNVILGPQNIKAGVR >Potri.014G134100.1.v4.1 pep chromosome:Pop_tri_v4:14:8992886:8995003:-1 gene:Potri.014G134100.v4.1 transcript:Potri.014G134100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G134100.v4.1 MSDAQEIQDQPTEAIPANVSQKEEESRDEMLSRHRKEIRQLQNKEIEMKKAAAKGSKAEQKAKKKLVEEEVSQLSAKLKEKHAEELASLGYNSTNGNESSNLDNLVKAVAGVSVTNQPEHSKPSKSAKRRGKRAQQEAEREQRIQEEQSNLESDRMIEDEKLERKLEPLGLTINEIKPDGHCLYRAVEDQLALLSGGSAPYDYQELRKLVAAYMRENSPDFLPFFLSDTITEEHSDHSLSDRFENYCKEVESTTAWGGQLELGALTHCLRRHIKIFSGSFPDVEMGKEYKSDGGAGSSNASIMLSYHKHAFGLGEHYNSVVPNLIQ >Potri.014G134100.3.v4.1 pep chromosome:Pop_tri_v4:14:8992872:8994934:-1 gene:Potri.014G134100.v4.1 transcript:Potri.014G134100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G134100.v4.1 MKKAAAKGSKAEQKAKKKLVEEEVSQLSAKLKEKHAEELASLGYNSTNGNESSNLDNLVKAVAGVSVTNQPEHSKPSKSAKRRGKRAQQEAEREQRIQEEQSNLESDRMIEDEKLERKLEPLGLTINEIKPDGHCLYRAVEDQLALLSGGSAPYDYQELRKLVAAYMRENSPDFLPFFLSDTITEEHSDHSLSDRFENYCKEVESTTAWGGQLELGALTHCLRRHIKIFSGSFPDVEMGKEYKSDGGAGSSNASIMLSYHKHAFGLGEHYNSVVPNLIQ >Potri.005G181700.2.v4.1 pep chromosome:Pop_tri_v4:5:18830661:18836077:-1 gene:Potri.005G181700.v4.1 transcript:Potri.005G181700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181700.v4.1 MDEFGVLTERFGLKPQGKSAPMSASRGTNNAQTRDFPSSNPKSNSYSSKSTYNSNSYNGSFMDDRETLFSNSKSQNFGDDFDIFGGFQKNSKQTSTGFAFDIDSVFSSTKSSSVQSSFVDDIFGGLNGSSSSVNNNNNNNNNEDGDIFGAFTSSKGAKQSAPVKDLLGGFGTKLKPPSRNGSVGFDDLIPGFGPSNSSRKEKNMHTITTAFTSSEDPFAVLEQTSTTTKSFTDPLEEFGKFNHSGRTEPAVSSNCSPPLRPPPKPGQVLKTGKVLDVSAIDELEDFAMGRMQNNARSVSNGHHAGEVKQSADDLESFFGRVSQSSSVPKLRSGVLDPLFDAKINGKGKPEFPFKKASSPSPGIRKTSSTTNALGDLSLIFGDATLSGEFEEVEGESEERRRARWDRHQRTRDRMEQAVADMNQRDLQTLHEQEERRRIADKMDVQIKHWAAGKEGNLRALLSSLQHVLWPECDWKPVSLTDLITSTSVKKVYRKATLCVHPDKVQQKGATIQQKYTSEKVFDILKEAWNKFSKEELS >Potri.014G197700.1.v4.1 pep chromosome:Pop_tri_v4:14:12869576:12873225:1 gene:Potri.014G197700.v4.1 transcript:Potri.014G197700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197700.v4.1 MEDRTENDSAPTSKRQSLRTQSIFNGYPSWKNKLRENCYKRVREERTRLLWKMRLPPAKSLDNKDIIKYALQDIVSDELEKIKNSSSSSLNEQLKIPTRALEANDDMLWEYDGLHDAYQGECEEILLEMQKIFYEDLRDETTREEPKNYIETWEDKEDDYLAHAVYEHMQLSDKKVAKEIWCPICKQGKLQENHQRIYCTLCELKLNKGDEINLDVLQIRLGGAHDEHLDRGCRLKPKFCIETRFGLTALFIVCQDCSTFEVVI >Potri.010G071100.1.v4.1 pep chromosome:Pop_tri_v4:10:9932536:9933285:-1 gene:Potri.010G071100.v4.1 transcript:Potri.010G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071100.v4.1 MVRAPYCEKMGLTKRQWTLEEDQILISYIQNYGTARLIHFYSSNCIKITGGRQTMRSRMYGTLDHLKKRLVQNGESKPQQYIRIPNSHANDTKLSESANSTIPSLSGSESIGYAQMSPQPSSIDHSSVMRNTSITTAETNSTSTSMIKVENMDSSEIYPVIDENSWSEPQVFENSSMPSNFLDDLQFPFPSADTMEPAGCYGYVPDIDDDMKFWHHLFAKSQGIK >Potri.007G120501.1.v4.1 pep chromosome:Pop_tri_v4:7:13839947:13840678:-1 gene:Potri.007G120501.v4.1 transcript:Potri.007G120501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120501.v4.1 MSFSNFASFLCLLAFSLLVHTGLIGADPLYHFCSTPENFTANGPYESNLNKLTSYLYYQAPRKGFGLCSKGHKPDQAYGLALCRGDASTSDCKTCVVEAGGEIRKRCPYNKAAIIWYDNCLLKYSNNGFFGQIDYRNKFYLWNVKVVREPVTFNGKTKELLTQLANKVQATPKLYATGVMELGESTKIYGLVQCTRDLSSAVCKKCLDGIIGELPSCYDGKEGGRVIGGSCNFRYEIYPFVNA >Potri.013G102000.1.v4.1 pep chromosome:Pop_tri_v4:13:11054398:11060445:1 gene:Potri.013G102000.v4.1 transcript:Potri.013G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102000.v4.1 MSLLSPKSSPFSLHPSTTSPAPNNSFSFPLTSIPVSTLNHKHPLKFFSNRNWVSLRKRFHVKSPDSDTTESVSASSDGSSSSSSSTNSFLSFLCPLLKLFSGGDPSQERNYTLEVATSSLSTLARLPWGSRSLSENLNNQETTISDPPKPLQLYEFEACPFCRRVREAMTELDLSTEVYPCPKGSVRHREIVRKIGGKEQFPFLVDPNTGVSIYESGDIVKYLFQQYGKGRSPSMGLLESTLFTGWMPTILRAGRGMTLWEKARLDPPSKKLELFSFENNPYARLVREALCELELPYILQNVGTGSQRTKLLVDASGFQEVPYLIDPNTGAEIGDYKKILAYLFQTYSAAAT >Potri.014G030000.1.v4.1 pep chromosome:Pop_tri_v4:14:1892597:1896383:-1 gene:Potri.014G030000.v4.1 transcript:Potri.014G030000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030000.v4.1 MEPSKPSNPLLSLSTVIHQHCLRLGAEFSARVSDTTRFLAGNFPPPSRLRLAPSPPFASVSQPKQTANTANLSSEHVAKALAGTAVYTVSNSDNEFVLISDPNGAKSIGLLCFRQEDAEAFLAQVRLRRRELRSQAKVVPITLDQVYMLKVEGIAFRFLPDPVQIKNALELKSADVRSGFDGVPVFQSDQLIVKKKSKRYCPVYFQKEDIEKELSKVSRASRGPGLSQHIMVGSLEDVLKKMEISEKNSGWEDLIFIPPGKSHSQHIQEITKA >Potri.014G030000.5.v4.1 pep chromosome:Pop_tri_v4:14:1892590:1896405:-1 gene:Potri.014G030000.v4.1 transcript:Potri.014G030000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030000.v4.1 MEPSKPSNPLLSLSTVIHQHCLRLGAEFSARVSDTTRFLAGNFPPPSRLRLAPSPPFASVSQPKQTANTANLSSEHVAKALAGTAVYTVSNSDNEFVLISDPNGAKSIGLLCFRQEDAEAFLAQVRLRRRELRSQAKVVPITLDQVYMLKVEGIAFRFLPDPVQIKNALELKSADVRSGFDGVPVFQSDQLIVKKKSKRYCPVYFQKEDIEKELSKVSRASRGPGLSQHIMVGSLEDVLKKMEISEKNSGWEDLIFIPPGKSHSQHIQEITKA >Potri.001G007300.1.v4.1 pep chromosome:Pop_tri_v4:1:514583:516460:-1 gene:Potri.001G007300.v4.1 transcript:Potri.001G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007300.v4.1 MSSINLETTSETPPKAPDTLQELPITTTAKKFPKKLTLVPLIFLIYFEVAGGPYGEEPAVQAAGPLYALLGFLIFPFIWSIPEALITAELSTAYPGNGGFVIWAERAFGPFCGSLMGSWKFLSGVINIAAFPVLCIDYMEKVVPALESGWPRKVAVLISTLLLSFLNYTGLTIVGYAAVLLGLVSLSPFIVMSLIAIPKIHPHRWISFGQKGVKKDWTLFFNTLFWNLNFWDNVSTLAGEVDAPQKTFPMALLVAVIFTCVAYLIPLFAVTGAVSVDQSLWESGFHATAAEMIAGKWLKYWIEVGAVLSAIGLYEAQLSSSAYQLLGMADLGFVPNFFAIRSKRFNTPWVGILLSTLITIGVSYMTFTDIISSANFLYSLGMLLEFASFIWLRKKLPGLKRPYRIPMRLPGLIIMCLVPSAFLVLIMAIATKTVYLVSGLMTVGAIGFYFFMNFCKTKQWFKFSSGEVIED >Potri.008G014100.1.v4.1 pep chromosome:Pop_tri_v4:8:700957:710725:1 gene:Potri.008G014100.v4.1 transcript:Potri.008G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014100.v4.1 MQNSKLVLRSFLLGCSTTAKKKSFSYTIFSNKNNHPLFSLLLFLHNSNETSFLVTKRRGFCGYAVEQFSDDEYECDFENHKASSSVANVDEWKWKLSLLLRSETDQEIVSRDRKDRRDYEQISNLAGRMGLYSELYGKVVVASKVPLPNYRPDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRTQLSAGKVGGNADDASINQIEDTSPDENPDSFLDRSVMERVLQRRSLRMRNMQRAWRESLEGRKMMDFRKSLPSFQEKEKLLQAIARNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMAVADRVSAERGEPLGEAVGYKVRLEGVKGRNTHLLFCTSGILLRRLLSDRNLNGITHVFVDEIHERGMNEDFLLIVLKDLLSRRRDLRLILMSATLNAELFSNYFGGAPTIHIPGFTYPVRAHFLEDVLEMTGYKLTSFNQIDDYGQEKMWKTQRQLAPRKRKNQITTLVEDALTNSSFDNYSSRARDSLARWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISCLRDQLKAHPLLGDPNRILLLTCHGSMATSEQKLIFEKPPPNVHKIVLATNMAEASITINDVVFVIDCGKAKETTYDALNNTPCLLPSWISKASARQRRGRAGRVQPGECYHLYPRCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPKPLAVQNAIDFLKMIGALDEKENLTNLGKYLTMLPVDPKLGKMLIMGAIFGCFGPILTIVSGLSVRDPFLLPQDKKDLAGAAKSRFSAKDYSDHMALVRAYEGWKEAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILKDAGLIEEDTNNHNKLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVSLYANSVNARYETIPYPWLVFGEKVKVNSVFIRDSTGVSDSVLILFGGALACGAQAGHLKMLNGYIDFFMDHNLAECFLKLNEELDKLIQKKLQDPKLDILKEGKYLMLAVEDLVSGDQCEGKFVFGRESRKPKVTNDNDRFTKDGANPKSLLQTLLMRAGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKQQAERDAAIEALAWLTHTSDNNQNEHDDSQPDVTDNMLKLLGKRRRSKQRRPG >Potri.001G444050.1.v4.1 pep chromosome:Pop_tri_v4:1:46970952:46977143:1 gene:Potri.001G444050.v4.1 transcript:Potri.001G444050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444050.v4.1 MSSSYHSCRCQSDHHQPSIQRDWWKMAIESVGGSVVSKIAELLVEPAIKQFRYMFCFNNFVQEFDEQMMNLALAFYRLQDAVNVAERNAEEIEIDVNTWLENAKNEIEGVNRLQNEKGKIIKCFTWCPNSMRQFKLSKALAKKTETLRKLEENSRKFPKVSHKAPLQEIKFLPSKEFTLSGSSKEAFKQIMKALKDDKVNMIGLYGMGGVGKTTLVKEVGRRAKELHLFDEVLIATVSQNPNATGIQDQMADSLDLQFDKKSKEGRAKELWQRLQGKKMLIVLDDVWKDIDFQEIGIPFGDDHRGCKILLTTRLEDMCSYMKCKEKVFLCLFSKEEAWALFRINAALRDEDSTLNRVAKKVARECNGLPVALVTVGRALRDKSVVEWEVASEELKNSQFRHLEQIDGQKNAYACLKLSYDYLTSDETKSCFLLCCLFPEDYDIPIEDLTRYAVGYGLHKDAESIEDARKRVYVAIKNLKACCMLLGTFSEEYVKMHDLVRDVAIRIASSEKYGFMVKAGIGLLEWPTSNKSFEGCTTISLMGNKLAELPEGLVCPQLKVLLLGLDRGLNVPERFFEGMKAIEVLSLKGGCLSLQSLQFSTNLQSLLLIECECKDLIWLRKLQRLNILVFRRCGSIEELPDEIGELKELRLLDLTGCENLRRIPVNLIGRLKKLEELLIGDRSFKGWDVVGCDSTEGMNASLTELNSLSHLAVLSLKIPKVECIPRDFVFPRLLKYDIVLGDWYSGPHKEYPTSTRLYLGDISATSLNAKTFEQLFPTVSHIWFWRVEGLRNIVLSSDQMTSHGHGSQKDFFQRLEYVAVRECDDIRTLFPAKWRQALKNLRRVEIEDCQSLDEGINEEKELPFLTELQLSWLPELKCIWKGPTRHVSLQSLTRLELRCLNKLTFIFTPSLAQSFIHLETLRIENCHGLKRLIREQDDEIRTESLGFPKLKNLSIIFCDKLEYVFPVSVSPSLQNLEEMQIVFADNLKSLVFYSGEGDDIIVKSKIKDGIIDFPQLRELSLSKCSFFGPKDFAALLPSLQCLRISGLEEWGNLLAQLRGFTSLETLKLSSLLVPDLRCIWKGLVPCNLTTLEVKECKRLTHVFTDSMIASLVQLKVLEISNCEELEQIIAKDNDDEKDQIFSGSDLQSACFPNLCRLEIRGCNKLKKLEVDGCPKLTIESATTSNDSMSGQSEGFMNLKEISIGNLEGVQDLMQFERLVTNRRGGHELSLVSLETLQLNLLPDLRCIWKGLVPSNLTTLKVKRCNRLTHVFTDSMIASLVQLKVLEISNCEELEQIIAKDNDDEKDQIFSGSDLQSACFPNLCRLEIRGCNKLKSLFPEAMASGLKKLQILKVRESSQLLGVFGQDDHASPVNVEKEMMLPDLQELLLVQLPSISCFSLGCYDFLFPHLKKLEVDGCPKLTTESATTSNDSMSAQSEGFMNLKEISIGNLEGVQDLMQVGRLVTNRRGGRELSLVSLETLRLNLLPDLRCIWKGILPSNLTTLKVNDCKRLTHVFTDSMIASLVQLKVLEISACEELEQIVAKDNDDEKDQIFSGSDLQSACFPNLCRLEIRGCNKLKKLEVDGCPKLTIESATTSNDSMSGQSEGFMNLKEISIGNLEGVQDVSVSCTV >Potri.017G087300.2.v4.1 pep chromosome:Pop_tri_v4:17:10055895:10059594:1 gene:Potri.017G087300.v4.1 transcript:Potri.017G087300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G087300.v4.1 MVQEAIDGVPLRNTCRTVIRVPPGVYWQPVPEVTSLTWNNTSTKNDHHQASRVIETGTFRCGTVVVEGEGFITETITFEKFSPEVNTVLDNCFLLAIGCVRMYDSRCSGQAVAIRVTADWYAFYNCLFIGWQDTLYLHYGKQYLKDCYIEGYVDFIFGNITALLEHCHIQCKSAGLLIAQSIKSSQESTGYVFPRWGTSYMCLERPWGLFGRIIVADMALRIPYSAQKL >Potri.012G001700.4.v4.1 pep chromosome:Pop_tri_v4:12:1138574:1143009:1 gene:Potri.012G001700.v4.1 transcript:Potri.012G001700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001700.v4.1 MRVKEDSEEASFSTLTSREPPRNQQNIASGAGTGSVPITSNNNTAALCREIRIVQDLIERCLQLFLDKDEVVKTLFEQARIQPDFTRIVWNRLEQENAEFFKAYCIKLILKKQISVFNELLEKHHHLLNYAAPLEYPLAPMQEGVQHMPVDNLHNGYTLLQQHPIPSTVHPQNDSMGTFSNYDLVYENPESGNFHSTRLNYGGWMPMDNNTTDIAPTQPFIKSEIPSPVSVAPFDQYPFVPREMPESVDPSSFDFAELSHVRSPGQVLLQPANAGFNNLADIGEYSVSTGLRDPSEQNDNVDKFFADTIPATHSQS >Potri.018G029800.6.v4.1 pep chromosome:Pop_tri_v4:18:2198247:2200243:1 gene:Potri.018G029800.v4.1 transcript:Potri.018G029800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029800.v4.1 MAEITNDTLVNIDELAASMRGELDSLPVLSSKCCIYTVPKRLHHLNEKAYTPQLVSIGPLHHGKPELRPMEEHKKRYLQDFLQRTKLSLVDYLKVIEKNEKKLRDCYAETIEFSSDEFIKMILVDAAFIIEVLLRYHFKPMRKEKENDRVYNKPWAIQDIRKDMWLLENQLPFFILEDLFDPARITLPSGKNQMLSITKLAYEFSKDLWDLEEMEEKSQKNKSPKVQHLVDFLWICHQPPQSKSKKKLKTLGIPSATELHQAGVKFKLGSSKNLFDIKFKNGILEIPRLEIVGATELLFRNLLAFEQCHCSKNYINDYVIIINHLVNTAKDVELLVKDGIVENWLWDDEGMSALFHGLVKETFVIVDHFYFSGLVEELNAYCRKPWHKWQATLKQHYFNNPWSIISFIAAVILLVLTTIQAVCSILSV >Potri.018G029800.5.v4.1 pep chromosome:Pop_tri_v4:18:2198228:2200245:1 gene:Potri.018G029800.v4.1 transcript:Potri.018G029800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029800.v4.1 MAEITNDTLVNIDELAASMRGELDSLPVLSSKCCIYTVPKRLHHLNEKAYTPQLVSIGPLHHGKPELRPMEEHKKRYLQDFLQRTKLSLVDYLKVIEKNEKKLRDCYAETIEFSSDEFIKMILVDAAFIIEVLLRYHFKPMRKEKENDRVYNKPWAIQDIRKDMWLLENQLPFFILEDLFDPARITLPSGKNQMLSITKLAYEFSKDLWDLEEMEEKSQKNKSPKVQHLVDFLWICHQPPQSKSKKKLKTLGIPSATELHQAGVKFKLGSSKNLFDIKFKNGILEIPRLEIVGATELLFRNLLAFEQCHCSKNYINDYVIIINHLVNTAKDVELLVKDGIVENWLWDDEGMSALFHGLVKETFVIVDHFYFSGLVEELNAYCRKPWHKWQATLKQHYFNNPWSIISFIAAVILLVLTTIQAVCSILSV >Potri.018G029800.4.v4.1 pep chromosome:Pop_tri_v4:18:2198198:2200244:1 gene:Potri.018G029800.v4.1 transcript:Potri.018G029800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029800.v4.1 MAEITNDTLVNIDELAASMRGELDSLPVLSSKCCIYTVPKRLHHLNEKAYTPQLVSIGPLHHGKPELRPMEEHKKRYLQDFLQRTKLSLVDYLKVIEKNEKKLRDCYAETIEFSSDEFIKMILVDAAFIIEVLLRYHFKPMRKEKENDRVYNKPWAIQDIRKDMWLLENQLPFFILEDLFDPARITLPSGKNQMLSITKLAYEFSKDLWDLEEMEEKSQKNKSPKVQHLVDFLWICHQPPQSKSKKKLKTLGIPSATELHQAGVKFKLGSSKNLFDIKFKNGILEIPRLEIVGATELLFRNLLAFEQCHCSKNYINDYVIIINHLVNTAKDVELLVKDGIVENWLWDDEGMSALFHGLVKETFVIVDHFYFSGLVEELNAYCRKPWHKWQATLKQHYFNNPWSIISFIAAVILLVLTTIQAVCSILSV >Potri.006G198701.1.v4.1 pep chromosome:Pop_tri_v4:6:20641003:20641287:1 gene:Potri.006G198701.v4.1 transcript:Potri.006G198701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198701.v4.1 MLHKVNFYFLYVYLLLSVCKTQTLRIKLPLSRSLTTWTHTKKMLKFSGAKILLCCSPSRNMYQRTWRGKFLTMPLCCSFLVFYLFGEACPCLLC >Potri.006G222200.1.v4.1 pep chromosome:Pop_tri_v4:6:22756144:22759366:1 gene:Potri.006G222200.v4.1 transcript:Potri.006G222200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222200.v4.1 MGTEAVEIIYANGLSKICDGINQTHSDDQTHNDSSWFEESIDEDLKWSFALNSVLCKVTSEYQDITLLDTKRFGKVLVIDGKMQSAEVDEFIYHECLIHPALLCHPNPQNVFIMGGGEGSAAREALKHKSIEKVVMCDIDQEVVDFCRRHLTVNQEAFSNKKLDLVINDAKAEIEKRNEKFDVIVGDLADPVEGGPCYQLYTKSFYEQILKPKLNDNGIFVTQAGPAGVFTHKEVFSSIYNTIKQVFKYVVAYSAHVPSFADTWGWVMASDQPFCFNAEEIDRRVDERIDGELLLLNGAAFLSSATLNKAVSQSLMNETHVYTEDNARFLHGHGVANKN >Potri.010G145900.2.v4.1 pep chromosome:Pop_tri_v4:10:15724018:15731483:1 gene:Potri.010G145900.v4.1 transcript:Potri.010G145900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145900.v4.1 MASQSQRQSNQPVHNQAQSSGTSNMRQHHHATESVSKAIAQYTVDAQLHAVFEQSGGTGRSFDYSKSVRTTNQSVPEQQITAYLSKIQRGGHIQPFGCMIAADEQSFRVIAYSENAKDMLGLTPQSVPSLEKQEILFVGADVRILFRPSSAVLLEKAFGAREITLLNPIWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRAISQLQSLPGGDIKLLCDTVVDSVRELTGYDRVMVYKFHEDEHGEVVAENKRVDLEPYIGLHYPSTDIPQASRFLFKQNRVRMIVDCHAIPVRVIQDEALMQPLCLVGSTLRAPHGCHAQYMENMGSIASLAMAVIIYGNDEEAIGGRNSMRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLLEKHVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGQYYPLGVTPTETQIKDIVEWLLTLHGDPTGLSTDSLADAGYPGAAFLGDAVCGMAVAYIAERDFLFWFRSHTAKEVKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLPWENAEMDAIHSLQLILRDSFRDAEATNSKAVVHTQLKDMELQGMDELSSVAREMVRLIETATAPIFAVDVDGRINGWNAKVAELTGLSVEEAMGKSLVHDLVYKEYEEIVDKLIHRAVKGEEDKNVEIKLRTFCSEHQKKAVFVVVNACSSKDYMDNIVGVCFVGQDITGQKVVMDKYVLIQGDYKAIVHSPNPSIPPIFASDENTCCLEWNTAMEKLTGWSRGEVVGKMLVGEVFGSCCRLKGPDALTKFMIALHNAIGGIDTDKLPFSFFDRNEKNVQTLLTANKRVNMEGDIIGAFCFLQIASPELQQTLKVQKQQEKKSFARMKELAYICQEIKNPLSGIHFTNSLLENTDLTEDQQQFLETSAACEKQILKIIRDIDLESIENGSLELEKAEFLLGSVINAVVSQAMLLLRERNLQLLRDIPEEIKTLAVYGDQARIQQVLADFLLNMVRYAPSSAGWVEIHVCPTLKQISDGHTLVHTEFKIVCPGEGLPPELVQDMFHSSRWVTQEGLGLSMCRKILKLMNGEVQYIRESERCYFLVVLEVPMPQKVGKGAAD >Potri.017G045501.1.v4.1 pep chromosome:Pop_tri_v4:17:3198422:3199230:1 gene:Potri.017G045501.v4.1 transcript:Potri.017G045501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045501.v4.1 MESSIRCMPSWTPPSPASKSSIHSLFVVIAPALIPIDIQLTKLRITLSFSDGEAARHLLQLPNLPSLPNLPKPTLPPFPSIPTLPQPTLPTLPATQPSLPKPPLPPLPSLPTMPAIPKVTLPPLPSMPSIPTIPTTIPSIPFLSPPPGN >Potri.001G147700.1.v4.1 pep chromosome:Pop_tri_v4:1:12183951:12187516:-1 gene:Potri.001G147700.v4.1 transcript:Potri.001G147700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147700.v4.1 MRISSFTCSFAALFTLIVMQVSISRGQLAPSETRILFQVQQLLEYPQVLQGWNNWTNFCYLPPSPSLKVVCSNSHVTELTVVGNKSSSSASPSSPKQNTLSDNFSIDAFFTTLTNLSNLKVLSLVSLGLWGPLPTKINRFWSLQALNISYNFIHGQIPQDILSLKNLTSLVLAHNLLNGTVPDLRSLVLLQELNLGGNHLGPTFPSLGNNLVTIILKNNSLRSVIPSEIKKFNQLQQLDISSNKLIGPIPPALFSLPSLQYLDLAQNQLSGALPTNISCSVKLQFVDISHNILIGKLPSCIASNTSTRTVISSWNCLSGGKNASYQHPYSFCNKEALAVKPPAKSKEHKSSTIKLGIVLGLVGGVLGIAGVLGLLIFVIIRRSKTAAADDHVYSIFDGSVTSKRSVASKKSVRRAVDSRRVPQTMRSAAIGLPPYRVFTLEEMEDATNNFDPLNFIGEGSQGQLYKGCLIDGSVVLVKCVKLKQKNLPQSMIQQIEVLSKLRHLHLVSILGHTIVTYQDHSSTAGTVFVVLEHVSNGSLRDYLADERKREMLRWPQRMAIIIGVARGIQFLHTGVAPGIFGNNVKIENVLLDDTLTAKLSDYKIPLPSKVGSESPLNGQDAFNINSSENAEKEDVYQLGVILLQVITGKLVTSNRALDELRIQLEKGLAEAPSKLQALVDPSTRGTFAYESLKTAAEMAINCLNKESRTRPSIEDVLWNLQYSIQIQEGWTSTSGNLGGPHSSSY >Potri.001G147700.2.v4.1 pep chromosome:Pop_tri_v4:1:12184018:12187467:-1 gene:Potri.001G147700.v4.1 transcript:Potri.001G147700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147700.v4.1 MRISSFTCSFAALFTLIVMQVSISRGQLAPSETRILFQVQQLLEYPQVLQGWNNWTNFCYLPPSPSLKVVCSNSHVTELTVVGNKSSSSASPSSPKQNTLSDNFSIDAFFTTLTNLSNLKVLSLVSLGLWGPLPTKINRFWSLQALNISYNFIHGQIPQDILSLKNLTSLVLAHNLLNGTVPDLRSLVLLQELNLGGNHLGPTFPSLGNNLVTIILKNNSLRSVIPSEIKKFNQLQQLDISSNKLIGPIPPALFSLPSLQYLDLAQNQLSGALPTNISCSVKLQFVDISHNILIGKLPSCIASNTSTRTVISSWNCLSGGKNASYQHPYSFCNKEALAVKPPAKSKEHKSSTIKLGIVLGLVGGVLGIAGVLGLLIFVIIRRSKTAAADDHVYSIFDGSVTSKRSVASKKSVRRAVDSRRVPQTMRSAAIGLPPYRVFTLEEMEDATNNFDPLNFIGEGSQGQLYKGCLIDGSVVLVKCVKLKQKNLPQSMIQQIEVLSKLRHLHLVSILGHTIVTYQDHSSTAGTVFVVLEHVSNGSLRDYLADERKREMLRWPQRMAIIIGVARGIQFLHTGVAPGIFGNNVKIENVLLDDTLTAKLSDYKIPLPSKVGSESPLNGQDAFNINSENAEKEDVYQLGVILLQVITGKLVTSNRALDELRIQLEKGLAEAPSKLQALVDPSTRGTFAYESLKTAAEMAINCLNKESRTRPSIEDVLWNLQYSIQIQEGWTSTSGNLGGPHSSSY >Potri.009G002400.1.v4.1 pep chromosome:Pop_tri_v4:9:816497:824838:-1 gene:Potri.009G002400.v4.1 transcript:Potri.009G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G002400.v4.1 MTPITSENGVDGDDEREEDDEEDEEQEEEEEEEQEEEPRLKYQRMGGSIPTLLSSDAASCIAVAERMIALGTLDGTVHILDFLGNQVKEFAAHTAVVNDLSFDVEGEYIGSCSDDGTVVINSLFTDEKVLRFEYHRPMRAIALDPGYSRKTSKRFVAGGLAGQLCFNSKKWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDQRITFIERPRGSPRPELLLPHLVWQDDTLLVIGWGTFVKIASIRANEQKGANGTYRHVPMSSMNQVDIVASFQTTYYISGIAPFGDSLVVLAYIPVEEDGEKECSSTISSRQGNAQRPEVRVVTWNNDELATDALPVHRFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDTEDHIAWLLEHGWHEKALEAVEAGQGRSQLIDEVGSNYLDHLIVERKYGEAASLCPKLLRGSASAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSFHKDLLATVKSWPPVIYSALPVISAIDSQLNTSSMTDALKEALAELYVIDGQYEKAFSLYADLMKPDIFDFIEKHDLNDAIREKVVQLMMLDCKRAVPLLIQNKDLISPPDVVSKLLNASNKCDSKYFLHLYLHALFEANPHVGKDFHDMQVELYADYDLKMLLPFLRSSQHYTLEKAYDICVKRDLLREQVFILGRMGNSKKALTVIINKLGDIEEAVEFVTMQHDDDLWEELIRQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPQLRDRLVKIITDYRTETSLRHGCNDILKTDCVNLLIKYYKEARRALCLSNEEEARVKRDGRGDSQAIWRTVGARAMEVKSKTRGDTRCCMCFDPFSILDVSVVVFFCCHAYHMSCLMDSMHTVSGKKGSGATSRMSEYDYDNNDEDDYDEENNDSGVIRLRCILCTTAAG >Potri.001G210600.6.v4.1 pep chromosome:Pop_tri_v4:1:21526586:21531658:-1 gene:Potri.001G210600.v4.1 transcript:Potri.001G210600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210600.v4.1 MEYKEMERASTLVFKYILPSPPPVPLLHFCPGKPSGFLEREKRVTDAISSMGKGDSSYNQEQQQRHDDDDDDERRTRRLFLCERCSMGLSRISFKCVFILMLSLCLLVSALFWIFPLHSSLHSVSGFDAKDEVKLSATVQAYFRLLKPVVQLVTHIARLEYDINEEIGIPNAKVAVLSMHRLASNWTDVVFGFIPDPVNVPINMVSLSVLRSSLVDLFLEQSNLTVTTLIFGQPSTFEIFRFPGGITIVPMQYASIWQMPQILFNFTLNNSISEVLDNFGDLKDQLEFGLHLRQFETVYVKITNEDGSTITPPVTVQVSVMSDLGTLQLQRLKQLAQIITASPVKNLGLNNSVFGKVKSVVLSSYLKDTLHGTPPTPSPAISPSLPPAIAPFAPVNSPAPSVIPALPPQPCPQHSSATPPSNSPSGSNQTPRLHPEPPDVSPLPGVYYGSGPGKGPLLSLAPSTLAQTPSCKSSLSSYKTFPVSAYHDIVNKQVICIFLLLVMPFLYHPIFFFFDGSEIKSYQ >Potri.001G210600.1.v4.1 pep chromosome:Pop_tri_v4:1:21526587:21531669:-1 gene:Potri.001G210600.v4.1 transcript:Potri.001G210600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210600.v4.1 MEYKEMERASTLVFKYILPSPPPVPLLHFCPGKPSGFLEREKRVTDAISSMGKGDSSYNQEQQQRHDDDDDDERRTRRLFLCERCSMGLSRISFKCVFILMLSLCLLVSALFWIFPLHSSLHSVSGFDAKDEVKLSATVQAYFRLLKPVVQLVTHIARLEYDINEEIGIPNAKVAVLSMHRLASNWTDVVFGFIPDPVNVPINMVSLSVLRSSLVDLFLEQSNLTVTTLIFGQPSTFEIFRFPGGITIVPMQYASIWQMPQILFNFTLNNSISEVLDNFGDLKDQLEFGLHLRQFETVYVKITNEDGSTITPPVTVQVSVMSDLGTLQLQRLKQLAQIITASPVKNLGLNNSVFGKVKSVVLSSYLKDTLHGTPPTPSPAISPSLPPAIAPFAPVNSPAPSVIPALPPQPCPQHSSATPPSNSPSGSNQTPRLHPEPPDVSPLPGVYYGSGPGKGPLLSLAPSTLAQTPSSLAVDPFYRKIWLLGFSGLFIFNLLCWPH >Potri.001G210600.4.v4.1 pep chromosome:Pop_tri_v4:1:21526521:21538129:-1 gene:Potri.001G210600.v4.1 transcript:Potri.001G210600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210600.v4.1 MGKGDSSYNQEQQQRHDDDDDDERRTRRLFLCERCSMGLSRISFKCVFILMLSLCLLVSALFWIFPLHSSLHSVSGFDAKDEVKLSATVQAYFRLLKPVVQLVTHIARLEYDINEEIGIPNAKVAVLSMHRLASNWTDVVFGFIPDPVNVPINMVSLSVLRSSLVDLFLEQSNLTVTTLIFGQPSTFEIFRFPGGITIVPMQYASIWQMPQILFNFTLNNSISEVLDNFGDLKDQLEFGLHLRQFETVYVKITNEDGSTITPPVTVQVSVMSDLGTLQLQRLKQLAQIITASPVKNLGLNNSVFGKVKSVVLSSYLKDTLHGTPPTPSPAISPSLPPAIAPFAPVNSPAPSVIPALPPQPCPQHSSATPPSNSPSGSNQTPRLHPEPPDVSPLPGVYYGSGPGKGPLLSLAPSTLAQTPSSLAVDPFYRKIWLLGFSGLFIFNLLCWPH >Potri.009G132200.5.v4.1 pep chromosome:Pop_tri_v4:9:10745528:10747772:1 gene:Potri.009G132200.v4.1 transcript:Potri.009G132200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132200.v4.1 MDHLFLSLTLFALIPLSSFSGVEPITFKFINKCRHTIWPGLLSGAGTSQLPTTGFYLNSGKSRTVKIPRSWSGRLWGRTFCSQDRTGKFSCLTGDCGSGKVECLGSGAQPPATLAEFTLNGADGLDFYDVSLVDGYNLPMLVIPKKVTRGGCGATGCLIDLNGACPKELRLSAASGGKGAVACRSACEAFGDPRFCCSEGYATPETCGPSVYSLFFKHACPRSYSYAYDDKTSTYTCAATDYDIIFCPLPYTSQKLLALRKDGVELPLVNKTTMYRRSHGASSPGVVQQQFLAGAASIVTALLLFWPPLFPL >Potri.014G117500.1.v4.1 pep chromosome:Pop_tri_v4:14:7920765:7922452:1 gene:Potri.014G117500.v4.1 transcript:Potri.014G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117500.v4.1 MQGLIALRSSPCFLHSCPRNSNTKHLVSKPHSSLRKRSTSSLRSTLPSISLSLFGSGFFLGPLIDGLHSRVNLVVYQNGSIDIGPLHTNIWVPPLLGLFYCSVGLLQLFLDQRAPSKVPEGSPEKTAIALVALLLFIELSAEMYRAGIADNIEAYILFALAELIWFYLDRTWIGFTLASIIGLCCPLAEIPIMKLFHLWYYPQANIEILGQGLVTWTTTCYFVYTPFLISLSRWLRSMITPPNKSA >Potri.003G160400.1.v4.1 pep chromosome:Pop_tri_v4:3:17046657:17049038:-1 gene:Potri.003G160400.v4.1 transcript:Potri.003G160400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G160400.v4.1 MKRLNWCTAEKKRLAIGSKAGLAAHPHDGVHKSSIKKKAEHTMEGISLELKEISCRDLKTFNFFQKLSVFVAVSIFIDEPKKNEQRRKKTAVDFLYGVLKRNEKQQQRLKRQKTPPNTFNDEEKKNEQQQLLQRQKTPVDREGGSNPKWNHMMQFNLNTTSLPDYGDHLFFKFELRCKGSIFGNKTIGEVCVPFKDLNEEFNGSVRFVSYQVRNSDGRPNGVLNFSYEVNGKVKRNEVDGARVDLPPGIRFSSPKKVHYPSLEVDVKSRKACLYPSLDDISFSSPSPGTGFPSTELCYPVKACYTMPPPAFPLQLPVGHRVYQLQYPSPLTQSPGSYCYTTKTTEHGCGLRGHTGGWAIRDVNV >Potri.003G160400.2.v4.1 pep chromosome:Pop_tri_v4:3:17046620:17048030:-1 gene:Potri.003G160400.v4.1 transcript:Potri.003G160400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G160400.v4.1 MEGISLELKEISCRDLKTFNFFQKLSVFVAVSIFIDEPKKNEQRRKKTAVDFLYGVLKRNEKQQQRLKRQKTPPNTFNDEEKKNEQQQLLQRQKTPVDREGGSNPKWNHMMQFNLNTTSLPDYGDHLFFKFELRCKGSIFGNKTIGEVCVPFKDLNEEFNGSVRFVSYQVRNSDGRPNGVLNFSYEVNGKVKRNEVDGARVDLPPGIRFSSPKKVHYPSLEVDVKSRKACLYPSLDDISFSSPSPGTGFPSTELCYPVKACYTMPPPAFPLQLPVGHRVYQLQYPSPLTQSPGSYCYTTKTTEHGCGLRGHTGGWAIRDVNV >Potri.003G009400.1.v4.1 pep chromosome:Pop_tri_v4:3:871120:872877:1 gene:Potri.003G009400.v4.1 transcript:Potri.003G009400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009400.v4.1 METPTLSPKPAKDYSSSVDTSRPFRSVKEAVAIFGKRILVGEIYSSKPYYNPPGEENISWRFLSPSPSYRSPKEDHHHEQNEVFGALMKLEAELKETKTELKLLKERESETEIALASLNAELHKNMSKLARAEAAAAKEAVEMKAVSFEREKKEDLLLKEEERMRELMIRMENSPTLAQILSLGEEKECFRGKEERKAMKKKPIVPLVGDLFFKKKGSSNTLNNPLYASPEVCFN >Potri.004G162200.1.v4.1 pep chromosome:Pop_tri_v4:4:18201882:18206024:1 gene:Potri.004G162200.v4.1 transcript:Potri.004G162200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162200.v4.1 MKNLSPPHYALLFTALLAQLPLFQSSYHEYQDALSKSILFFEGQRSGYLPQDQRVTWRANSGLSDGWTYNTDLTGGYYDAGDNVKFGFPMAFTTTMLAWSVNEFGDLMPPNELRNSLVAIRWATDYLLKTVSQPNRIFVQVGDPNGDHICWERPEDMDTPRVVYAVDAPNPASDVAGETAAALAASSMAFRSSDPGYAETLLRNAINAFQFADSYRGAYSDNSNIKDGACPFYCDFDGYQDELLWGAAWLRRASYDDTYLSFLQNNGETLGADENINEFGWDNKHAGLNVLVSKEVLEGNMNSLQSYKESADSFMCTLIPESSSSHVEYTPGGLIYKPGGSNLQHATTISFLLLAYANYLERTSQSVNCGNVNVGPYSLRQQAKRQVDYILGDNPLGLSYMVGYSDHYPQRIHHRGSSLPSVKDHPDLIACKEGSIYCNSSNPNPNVHVGAIVGGPSEDDSYEDSRDDFRKSEPTTYINAPFVGVLAYFAANPSFS >Potri.017G052100.1.v4.1 pep chromosome:Pop_tri_v4:17:3795023:3796765:-1 gene:Potri.017G052100.v4.1 transcript:Potri.017G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052100.v4.1 MGSLPKSTKAHAVCVPYPAQGHITPMLKVAKLLHHKGFHITFVNSEYNHRRLLKSRGRNSLDVLPDFQFETIPDGLGDQIDADVTQDTSFLCDSISKACLVPFRNLLAKLNSSNVVPPVTCIVADSGMSFALNVKEELQIPVITFWTSSACGTLAYAHYKHLVERGYTPLKEESDLTNGYLETKIDWIPGMKDIRLKDLPTFIRTTDRNDVMLNFVIRIIDRASKPSAALVNTFDDLDHDVLVALSSMFPPIYSVGPLNLLLDQTQNDYLASIGSSLWKEETECLHWLDSKDPNSVVYVNFGSITVMNPQQLVEFSWGLANSKKNFLWIIRPDLVRGDSAVLLPPEFLEETRERGLMASCCAQEKVLKHSSIGGFLSHMGWNSTIESMSNGVPMLCWPFFSEQQTNCKFACVDWGVGMEIESDANRDEVEKLVIELIDREKGKEMKRKAMEWKSKAEATAGINGSSSMNFDKLVNDVLRFQKP >Potri.006G284000.1.v4.1 pep chromosome:Pop_tri_v4:6:27418717:27420130:-1 gene:Potri.006G284000.v4.1 transcript:Potri.006G284000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G284000.v4.1 MELEHHQGRKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRFTSFSLPRFLESLDLSGTPICFLPESIKDLGLLRHLYLINCKMLQALTEFPSHLDSLDVSFCYSLPRYENLNSWTEKDGCAHLVEFQDGIKQELIQKFDSHVFRIMETISAQIQTSSFQITFMDGIFNVAVSVFDEDEMLRRFCAEGEEDKWLIQNEFVDNFSFKISSPPPAHRICGFNLFISCVTSAYRGFRNVYIEIRNNTSGQSLRRQVHVLDMRFDDEVRASQSLSHWKLGGDDPTFDSGDDVTISMVVTSASQIRTVGVQWLHEEEGKDDDFIQSKDGVINAHNSSDDDDDAAHVAKVEIASRIFRNYYCAFHGKYSARNFTYWNFAKKGV >Potri.014G159500.2.v4.1 pep chromosome:Pop_tri_v4:14:11359685:11364805:1 gene:Potri.014G159500.v4.1 transcript:Potri.014G159500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159500.v4.1 MPDHNNRQVKSNAVSNQSAENIEEAIWRLKIHDHQEQGGMAQSSPYPDRPGAPDCGYYLRTGLCGYGSNCRFNHPVYAALGAQLREELPERVGQPDCGYYLKTGTCKYGSTCKYHHPRDRNGAGPVSFNALGLPMRQDEKSCPYYMRTRSCKFGVACKFHHPQPASLGTSFSLTGAAAFGSTGSPIVPSSGLPYVGGLPTWSLPRAPLMSGTNLQGPQAYMPVVVSPSPGIIPVPGWNTYVGNLNPMSSSSILGSNRAYDSRNQGDSGSGGQVHLLSTVSPILPERPGQPECRHFMSTGTCKYGSDCKYHHPKERIAQLATNTMGLLGLPSRPGQAVCPDYSMYGICKFGPTCRYDHPIHTYPYNYSLSLPSLSIMDSSLITYPRMAQAAPVTLSKLPDLIHNPDGASYNKHQNPDTSTKISDDPTEQAGSPPPHSSQASSEPSHD >Potri.003G139200.1.v4.1 pep chromosome:Pop_tri_v4:3:15556831:15559319:-1 gene:Potri.003G139200.v4.1 transcript:Potri.003G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139200.v4.1 MVTNDYVTIASGKMEDEFIKRHHKHDVKEHQCSSSLVKHIKAPVPLVWSLVRRFDQPQKYKPFVSRCVVQGDLQIGSVREVNVKSGLPATTSTERLELLDDEEHIFSMKIVGGDHRLKNYLSTVTVHPEVIDGRPGTLVIESFIVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQDRTEPIDRM >Potri.002G228000.1.v4.1 pep chromosome:Pop_tri_v4:2:21747703:21747966:1 gene:Potri.002G228000.v4.1 transcript:Potri.002G228000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228000.v4.1 MGTTTLYPRLSFFVLMIMLVVNQLSSCHFIHSRTSEEPGKTVETDLSSHFSWKFKEMVRERSSKDESDTIYRVSRRKIPAGPNPLHN >Potri.002G108800.2.v4.1 pep chromosome:Pop_tri_v4:2:8119805:8121104:1 gene:Potri.002G108800.v4.1 transcript:Potri.002G108800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108800.v4.1 METFSHSSYPDSRETEDIHSSDDPLSTYKVKLMCSDGGKIQPRLHDNQLAYIGDDTKILSVDHGIKFSGMVHKLASLCGGATDIFFKYQLPGEDVDALNSVTNDEDLEHMMIEFDRLHRASAKAAKLRLFLFSLKSFVPSEVKSERQLFVDALDSVSVQSLESSLPSAKAAVSAENPDFPTVPEVVVKDVSAGSECGSEDRNLIGDASEAFKQQTLDLKRLHIAGFLGEHYAQKLPEKMTPVPPAPVPVGAVIPAVNLHEGHVSSNGYPMTYVGIEQPVYLLQAPALRPVTGQVGKGYYGVQRVVQEVYSHVQQPAAGSIQLQKTTKAGGLVQPKVGVAERGQVYYTTPYQAAPGATIGAVDCRQGGGVVNQDGEVANGNVTTPQN >Potri.016G042700.2.v4.1 pep chromosome:Pop_tri_v4:16:2674385:2678012:1 gene:Potri.016G042700.v4.1 transcript:Potri.016G042700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042700.v4.1 MARKKRWFGWVRRLFVSEQKPKAEKKSKRWKWVLGGLKVKQCLALPAPQRREVRTVSEASETQKKYALTVALATAAAADAAVAAAHAAAEVVRLTGASHPSHHFTKGVETLAAIKIQSAFRAYLARKALRALKGLVKLQAIVRGQVVRRQALIKLKHFPSNAKMMSEVQAKGITADGFCKSGENKHVVKSRKEVQEKETKVREMILQLLKSKEVVEKEHKGKNDKRETQTDHMLVLNSQKSWNFSLRSKEDVEALLLKKQEANIKRERMMKYSFSNRERGNGLFEESQLAKESGRQSHQIKQWPNKEAYNRERMENLKSAPISNLFTGDIFSPAQVKTRSTRKQDFIEGLNTPVSFPRRSFGSMRPSLAGEGNSLPNSPVFPTYMAATQSAKLKARSMSTPKQREGFQDSCFE >Potri.015G107400.1.v4.1 pep chromosome:Pop_tri_v4:15:12573444:12574883:1 gene:Potri.015G107400.v4.1 transcript:Potri.015G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107400.v4.1 MRQMHVNRKDLNVGLQGLIFKHLLKKAQKIKEDFNVFDKNLRSKIIGQRGDGVLEGEDYKWCTTEVEFSRSILVWHLATEICYLGDKDGSNVSSEFVINGRYLSEYMMYLLVIRPNMLSKGFGDEEYLETLRELRGFGNVDLILGRYNESRGYDDIAFQRRWKTEKSVLIGVEKLARQLRSLELKKRWEMINEVWVEMLAHAAAHCPWKEHTQQLRRGGELLTHVSLLMLHLGLSEQYEYKGSDDLPILTRLTGVSIPKILGVRIK >Potri.001G413300.1.v4.1 pep chromosome:Pop_tri_v4:1:43851443:43855820:-1 gene:Potri.001G413300.v4.1 transcript:Potri.001G413300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413300.v4.1 MGYIPILLFCFFSLLNRVTATAIDIINTTQFIRDGDTIVSADGTYELGFFSPGKSKNRYLGIWYGKLPVQTVVWVANRETPLNDSLGVLKITDKGILILLDRSGSVIWSSNTARPARNPTAQLLESGNLVVKEEGDNNLENSLWQSFEHPTDTILPGMKLGRSRITGMEWSMTSWKSEDDPSRGNITCKLAPYGYPDIVVMEGSQVKYRSGLWDGLRFSGVPSTKPNPIYKYEFVFNEKEIFYRESLVDKSMLWRLVTRQNGDIASFTWIEKTQSWLLYETANTDNCDRYALCGANGFCDIQSSPMCDCLNGFVPKSPRDWNVTDWANGCVRRTPLNCSGDGFRKLAGVKMPETKSSWFSKTMNLEECRNTCLEKCNCTAYSNLDIRNGGSGCLLWFGDLVDIRVFAENEQEIYIRMAESEPDIGDGARIKKKSEAKKRIIISTVLSTGILFLGLALVLYAWMKKHQKNIILPAGQMTEALERSSNNMQRKEDLELPLFDFSTLACATNNFSTDNKLGEGGFGTVYKGTLADGREIAVKRLSKISRQGLDELENEANYIMKLQHRNLVKLLGCCIERDEKMLIYEFLPNKSLDFFIFEKTRSFLLDWPKRYNIINGIARGLLYLHQDSRLRVIHRDLKAGNILLDNELNPKISDFGLARSFGGNKIEANTNKVAGTYGYISPEYANYGLYSVKSDIFSFGVLVLEIVSGNKNRGFSHPDHHLNLLGHAWLLFKENRSLELAADSIAITCNLSEVLRSIHVGLLCVQENPEMRPTMSNVVLMLGNDDVLPQPKQPGFFTERDVIGASYSSSLSKPCSVNECSVSELEPR >Potri.012G067900.12.v4.1 pep chromosome:Pop_tri_v4:12:8836511:8849265:1 gene:Potri.012G067900.v4.1 transcript:Potri.012G067900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067900.v4.1 MALFRRFFYRKPPDRLLEISERVYVFDCCFSTEVLEEDEYKVYLGGIVAQLQDHFPDASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPMLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYTGEHKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRNFGSDWPPSDTPLQLDCLMLRSLPLFEGGKGCRPVVRVYGQDPSKPANRTSKLLFSTSKTKKHVRLYRQEECMLVKIDIRCRVQGDVVLECIHLDEDLVREEMMFRVMFHTAFVQANILMLVRDEIDFLWDAKDQFPKDFRAEVLFVDADAVVPNVTTVEANEDGNETESASPEEFFEVEEIFSNVVDGHEAKGYGASHKVHDNMPVDVDGKEVWKEDSDLHSFEDCASDDGNHKQEGKLDSSVDAVKDIAVDDVKYKVDEKVDSDFLAVKDITVDDGEIKADSVVSATGTLIRKQTTEVIGDVDGELKKMEDEGDRENSATKKLESQDPPVELSADAGRQKLEQLMLPSPRRQPTSNAKPAADSIITEQKTKHNEQEGAHGKQTKPNTIPRWVPPNRGPFSNSMHVAHPPSRYNSAPPALTFCASPEDSSAGGHVKISSVATGPGDIISNDFPSPTEAPPSLDPQQIALRGPPPPPLPYSNKSSFYDFQASSGGEAPPLHSQIADAVSFPPPPPTSFSRQNIQMIPQHSSPPPPPPLPQLSNRQTIGMVLPPPPPPPWKSGNTPAVFTTTYSPPPPPPSPLLPSGASTTNHGRLGIPNPPPPPPPPLSLAHTCSTPLAQSMPTHGVIPPPPPPPSKPAQRAPPPSQPAHGAPPPPPPPPMRGPPLPPLVSQAPPPPPMRGPPPPPPPPPPPMRGQPLPPLVSQAPPPPPPPPGRGAPPPPPPPPGRGAPPPPPPPGRGPPPPPPPGARVPGPPASPRPPGSAPHPPPALGVKGAADARGLPSGRGRGFLRPSGMGTSATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGESQIAPEFDVSELESLFSATVHKPADSGGKAGGRHKSVGSKTDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDESILDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLNTVNSACDEVRNSLKLKEIMKKILYLGNALNQGTARGSAIGFKLDSLLKLTDTRASNNKMTLMHYLCKVLAAKSQALLDFHRDLVSLETASKIQLKSLAEEMQAIIKGLEKVKKELAASENDGPVSEVFRKTLKEFISVAETEVASVTSFYAVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELERKKAEKEAEMEKARGINLTKKNME >Potri.012G067900.14.v4.1 pep chromosome:Pop_tri_v4:12:8838617:8849468:1 gene:Potri.012G067900.v4.1 transcript:Potri.012G067900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067900.v4.1 MPVDVDGKEVWKEDSDLHSFEDCASDDGNHKQEGKLDSSVDAVKDIAVDDVKYKVDEKVDSDFLAVKDITVDDGEIKADSVVSATGTLIRKQTTEVIGDVDGELKKMEDEGDRENSATKKLESQDPPVELSADAGRQKLEQLMLPSPRRQPTSNAKPAADSIITEQKTKHNEQEGAHGKQTKPNTIPRWVPPNRGPFSNSMHVAHPPSRYNSAPPALTFCASPEDSSAGGHVKISSVATGPGDIISNDFPSPTEAPPSLDPQQIALRGPPPPPLPYSNKSSFYDFQASSGGEAPPLHSQIADAVSFPPPPPTSFSRQNIQMIPQHSSPPPPPPLPQLSNRQTIGMVLPPPPPPPWKSGNTPAVFTTTYSPPPPPPSPLLPSGASTTNHGRLGIPNPPPPPPPPLSLAHTCSTPLAQSMPTHGVIPPPPPPPSKPAQRAPPPSQPAHGAPPPPPPPPMRGPPLPPLVSQAPPPPPMRGPPPPPPPPPPPMRGQPLPPLVSQAPPPPPPPPGRGAPPPPPPPPGRGAPPPPPPPGRGPPPPPPPGARVPGPPASPRPPGSAPHPPPALGVKGAADARGLPSGRGRGFLRPSGMGTSATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGESQIAPEFDVSELESLFSATVHKPADSGGKAGGRHKSVGSKTDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDESILDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLNTVNSACDEVRNSLKLKEIMKKILYLGNALNQGTARGSAIGFKLDSLLKLTDTRASNNKMTLMHYLCKVLAAKSQALLDFHRDLVSLETASKIQLKSLAEEMQAIIKGLEKVKKELAASENDGPVSEVFRKTLKEFISVAETEVASVTSFYAVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELERKKAEKEAEMEKARGINLTKKNME >Potri.012G067900.13.v4.1 pep chromosome:Pop_tri_v4:12:8838150:8849265:1 gene:Potri.012G067900.v4.1 transcript:Potri.012G067900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067900.v4.1 MLVKIDIRCRVQGDVVLECIHLDEDLVREEMMFRVMFHTAFVQANILMLVRDEIDFLWDAKDQFPKDFRAEVLFVDADAVVPNVTTVEANEDGNETESASPEEFFEVEEIFSNVVDGHEAKGYGASHKVHDNMPVDVDGKEVWKEDSDLHSFEDCASDDGNHKQEGKLDSSVDAVKDIAVDDVKYKVDEKVDSDFLAVKDITVDDGEIKADSVVSATGTLIRKQTTEVIGDVDGELKKMEDEGDRENSATKKLESQDPPVELSADAGRQKLEQLMLPSPRRQPTSNAKPAADSIITEQKTKHNEQEGAHGKQTKPNTIPRWVPPNRGPFSNSMHVAHPPSRYNSAPPALTFCASPEDSSAGGHVKISSVATGPGDIISNDFPSPTEAPPSLDPQQIALRGPPPPPLPYSNKSSFYDFQASSGGEAPPLHSQIADAVSFPPPPPTSFSRQNIQMIPQHSSPPPPPPLPQLSNRQTIGMVLPPPPPPPWKSGNTPAVFTTTYSPPPPPPSPLLPSGASTTNHGRLGIPNPPPPPPPPLSLAHTCSTPLAQSMPTHGVIPPPPPPPSKPAQRAPPPSQPAHGAPPPPPPPPMRGPPLPPLVSQAPPPPPMRGPPPPPPPPPPPMRGQPLPPLVSQAPPPPPPPPGRGAPPPPPPPPGRGAPPPPPPPGRGPPPPPPPGARVPGPPASPRPPGSAPHPPPALGVKGAADARGLPSGRGRGFLRPSGMGTSATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGESQIAPEFDVSELESLFSATVHKPADSGGKAGGRHKSVGSKTDKVHLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDESILDVDQVENLIKFCPTKEEMELLKGYTGDKENLGKCEQYFLELMKVPRVESKLRVFSFKIQFGSQISEFKKSLNTVNSACDEVRNSLKLKEIMKKILYLGNALNQGTARGSAIGFKLDSLLKLTDTRASNNKMTLMHYLCKVLAAKSQALLDFHRDLVSLETASKIQLKSLAEEMQAIIKGLEKVKKELAASENDGPVSEVFRKTLKEFISVAETEVASVTSFYAVVGRNADALALYFGEDPARCPFEQVTATLLNFVRLFRKAHEENLKQAELERKKAEKEAEMEKARGINLTKKNME >Potri.007G038000.2.v4.1 pep chromosome:Pop_tri_v4:7:3035215:3043726:-1 gene:Potri.007G038000.v4.1 transcript:Potri.007G038000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038000.v4.1 MDRILPAEQIKSCLYTKLACGLFLIGESGTYKSLTSLDDELLGSENFLLTTYMSRIFPCSNQEIRTPILDDWSPVGDTLIIPLASQHCLPSLHDIL >Potri.007G038000.8.v4.1 pep chromosome:Pop_tri_v4:7:3037342:3043592:-1 gene:Potri.007G038000.v4.1 transcript:Potri.007G038000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038000.v4.1 MDRILPAEQIKSCLYTKLACGLFLIGESGTYKSLTSLDDELLGSENFLLTTYMSRIFPCSNQGFSPSYNFKM >Potri.007G038000.6.v4.1 pep chromosome:Pop_tri_v4:7:3035282:3043587:-1 gene:Potri.007G038000.v4.1 transcript:Potri.007G038000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038000.v4.1 MDRILPAEQIKSCLYTKLACGLFLIGESGTYKSLTSLDDELLGSENFLLTTYMSRIFPCSNQGLPYWMIGPPLVIL >Potri.007G038000.7.v4.1 pep chromosome:Pop_tri_v4:7:3041664:3043189:-1 gene:Potri.007G038000.v4.1 transcript:Potri.007G038000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038000.v4.1 MDRILPAEQIKSCLYTKLACGLFLIGESGTYKSLTSLDDELLGSENFLLTTYMSRIFPCSNQGLPYWMIGPPLVIL >Potri.007G038000.3.v4.1 pep chromosome:Pop_tri_v4:7:3035196:3043516:-1 gene:Potri.007G038000.v4.1 transcript:Potri.007G038000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038000.v4.1 MDRILPAEQIKSCLYTKLACGLFLIGESGTYKSLTSLDDELLGSENFLLTTYMSRIFPCSNQEIRTPILDDWSPVGDTLIIPLASQHCLPSLHDIL >Potri.007G038000.5.v4.1 pep chromosome:Pop_tri_v4:7:3041611:3043189:-1 gene:Potri.007G038000.v4.1 transcript:Potri.007G038000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038000.v4.1 MDRILPAEQIKSCLYTKLACGLFLIGESGTYKSLTSLDDELLGSENFLLTTYMSRIFPCSNQEIRTPILDDWSPVGDTLIIPLASQHCLPSLHDIL >Potri.007G038000.4.v4.1 pep chromosome:Pop_tri_v4:7:3035352:3043189:-1 gene:Potri.007G038000.v4.1 transcript:Potri.007G038000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038000.v4.1 MDRILPAEQIKSCLYTKLACGLFLIGESGTYKSLTSLDDELLGSENFLLTTYMSRIFPCSNQEIRTPILDDWSPVGDTLIIPLASQHCLPSLHDIL >Potri.015G037200.1.v4.1 pep chromosome:Pop_tri_v4:15:3194599:3197423:1 gene:Potri.015G037200.v4.1 transcript:Potri.015G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037200.v4.1 MKRSFDDIGVSKTQSKPVFLTKAQREQLALQRRQEEIEQQKKRQQLLLSQTRPSNSESDKPPSNSDRRDQRDRDREREMERDRERERERERERERERERERSSRRNREREREEEAKAREQARLDKLAEREREKELDAIKEQYLGSKKPKKRVIKPSEKFRFSFDWENTEDTSRDMNILYQNPHEAQLLFGRGFRAGMDRREQKKLAAKNEKDFRDELRKKEGVEKNPEEAAAQKLKEEAADRYDTFDMRVDRHWSEKKLEEMTERDWRIFREDFNISYKGSKIPRPMRSWAESKLTSELLKAVERVVYKKPSPIQMAAIPLGLQQRDVIGIAETGSGKTCAFVLPMLTYISRLPPMSEDNEAEGPYAVVMAPTRELAQQIEDETVKFAHYLGIKVVSIVGGQSIEEQGFRIRQGCEVVIATPGRLLDCLERRYAVLNQCNYVVLDEADRMIDMGFEPQVMGVLDAMPSSNLKPENEDEELDEKKIYRTTYMFSATMPPAVERLARKYLRNPVVVTIGTAGKATDLISQHVIMTKESEKSSRLHRLLDELGDKTAIVFVNTKKNADMVAKNLDKHGYRVTTLHGGKSQEQREISLEGFRTKRYNVLVATDVAGRGIDIPDVAHVINYDMPGNIEMYTHRIGRTGRAGKTGVASTFLTLGDTDVFYDLKQMLIQSNSSVPPELARHEASKFKPGTIPDRPPRRNDTVFAH >Potri.005G199100.2.v4.1 pep chromosome:Pop_tri_v4:5:20507828:20513635:-1 gene:Potri.005G199100.v4.1 transcript:Potri.005G199100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199100.v4.1 MDSSTALFDQLKVAEPFFLLAGPNVIESEEHILRMANHLKTISTKVGLPLVFKSSFDKANRTSSKSFRGPGMSEGLKILEKVKIAYDIPIVTDVHETIQCEPVGKVADIIQIPAFLCRQTDLLVAAAKTGKIINIKKGQFCAPSVMSNSAEKVRLAGNPNVMVCERGTMFGYSDLIVDPRNLEWIREANCPVVADITHSLQQPAGRKLDGGGVASGGLRELIPCIARTAVAVGVDGIFMEVHDDPLNAPVDGPTQWPLRHLEELLEELVAIAKVSKGKKPMNIDLTPFHE >Potri.001G150101.1.v4.1 pep chromosome:Pop_tri_v4:1:12529345:12530721:1 gene:Potri.001G150101.v4.1 transcript:Potri.001G150101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150101.v4.1 MGLNESYSAVRGQVLLMNPLPSVRQAYSFVSQEEKQRLLSSAHTINDSVNSAAMAVQSNNSKFNDKGERSYHSFRSQDRLTDNFSGGRRFEQDRRRFGPRRGRPHCSHCGEPGHWVQTCYELHGYPAGHPKAKHNSARRFNHNNKSAANHVSESFAKENGKSVVGISETQLKQLLSLLNDKGAESSSQAHAATTVTKPGSGYEEDDWFG >Potri.006G127500.1.v4.1 pep chromosome:Pop_tri_v4:6:10328706:10333683:1 gene:Potri.006G127500.v4.1 transcript:Potri.006G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127500.v4.1 MITFMEAKDKLKEEADKCLDSQLWHACAGGMVQMPAVNSKVFYFPQGHAEHACEPVDFRNLPRVSHNLCRVSDIKFMADPETDEVFAKIRLVPINSNELDLDDQEVAVNGGMEAAQDNNKPVSFAKTLTQSDANNGGGFSVPRYCAEMIFPRLDYTADPPVQTLLAKDVHGETWKFRHIYRGTPRRHLLTTGWSPFVNHKKLIAGDSVVFFRAENGDLCVGVRRAKRASGGGPESLWNPAGGSSAVPSGGFGAFLREDEHKLMRSGSGNGNGSKSNESLMGQGKVRAESVIQAVTLAANGLPFEVVYYPRANTPEFCVKASLVKTAMQIRWCSGMRFKMAFETEDSSRISWFMGTVCSVQAADSLWWPHSPWRLLQVTWDEPDLLQNVKRVSPWLVELASNMAAIHFPPFSSPRKKLRLPQHLDFPIDGQFPMPIFSGNLLGPSSSFDFLPHNTPAGMQGARHAHYGLPLSDPHLNKLQTGLLRTGFPPLLDHTASLTKASNVQTIPKPSMCEDVSCELTMAHSTQTSKKAVDVKIPQLVLFGQPILAEQQISLSCPGNAASPVLTGNSSSEGNLDKMANFSDGSVSTLHRRGLPECSSCEELQWNKDKHQKSEPSLETGHCKVFMDSEDVGRTLDLSLLGSYEELYRKLANMFGLRNSEKFSNVLYRDINGITKHIGEEPFSDFFKTARRLTIVTDSSSGNVGI >Potri.018G103100.3.v4.1 pep chromosome:Pop_tri_v4:18:12289591:12297602:1 gene:Potri.018G103100.v4.1 transcript:Potri.018G103100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103100.v4.1 MADPRFPFGSFEFSIATTLIIFVNLYLGVFASSLRDPVAQQHLDRVQKLPGQNFDVNFAHYSGYVTVNEKYGRALFYWLVEAVEDPQSKPLLLWLNGGPGCSSIAYGMAEEIGPFHIKPDGKTLYLNPYSWNQVANILFLDSPVGVGYSYSNTSSDLLNNGDKKTAADSLAFLLNWFERFPQYKGRDFYITGESYAGHYVPQLSQAIVRYNQATKDEKINLRSYMVGNALTDDYHDHLGLFQFMWAAGLISDQTYKKLNLLCDFESFIHSSVACDKMEDIATKELGNIDPYSIFTPSCSANVSQSNLLPKRKHRVGRVSEKYDPCTETHTTVYFNLPEVQKALHVSPEFAPARWETCSEVVNINWKDSPRTVLDIYKELIHSGLHVWVFSGDTDAVIPVTSTRYSIDALKLPTVKPWGAWYDDGQVGGWTQEYAGLTFVVVRGAGHEVPLHKPKQALTLVKAFLSGTPMPTLQLVSDS >Potri.018G103100.4.v4.1 pep chromosome:Pop_tri_v4:18:12289437:12297611:1 gene:Potri.018G103100.v4.1 transcript:Potri.018G103100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103100.v4.1 MADPRFPFGSFEFSIATTLIIFVNLYLGVFASSLRDPVAQQHLDRVQKLPGQNFDVNFAHYSGYVTVNEKYGRALFYWLVEAVEDPQSKPLLLWLNGGPGCSSIAYGMAEEIGPFHIKPDGKTLYLNPYSWNQVANILFLDSPVGVGYSYSNTSSDLLNNGDKKTAADSLAFLLNWFERFPQYKGRDFYITGESYAGHYVPQLSQAIVRYNQATKDEKINLRSYMVGNALTDDYHDHLGLFQFMWAAGLISDQTYKKLNLLCDFESFIHSSVACDKMEDIATKELGNIDPYSIFTPSCSANVSQSNLLPKRKHRVGRVSEKYDPCTETHTTVYFNLPEVQKALHVSPEFAPARWETCSEVVNINWKDSPRTVLDIYKELIHSGLHVWVFSGDTDAVIPVTSTRYSIDALKLPTVKPWGAWYDDGQVGGWTQEYAGLTFVVVRGAGHEVPLHKPKQALTLVKAFLSGTPMPTLQLVSDS >Potri.002G087400.5.v4.1 pep chromosome:Pop_tri_v4:2:6280087:6284062:1 gene:Potri.002G087400.v4.1 transcript:Potri.002G087400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087400.v4.1 MKMDLKHIVAAIRHCGRVQALKQGKSFHSHLIKTGYSHNVYIACNLVSMYADFTFLIDAYKLFDEMPVKNIVTWTTMVSAYTSNGKPREAIKLYTRMLDSKSEVPNGFMYSVVLKACGLVGEIELGRLIHKRFSRENLDYDIVLLNALLDMYVKCGCLSDARKVFDRIFLRANSTSWNTMISGYFKEGLVEEAVNLFNQMPDRNVVSWNTIIAGLAENGSSRALQFVCKMHREGIKLDKFTFPCALKTCSYAGFLVAGKQIHCYVLKSGLESSCFAVSALVDMYSNCNGLDDAIRLFDQYSGGTGSICDSLVLWNSMLSGYVVHEKNRAAVNMIAQIHHSGASVDSYTLSSALKVCINLLNVRLGIQVHALIVTSGHELDYVVGSILVDLYAKLGNMKDAFKLFHRLPKKDIVAWSGLLMGCAKMELNSLALSLFRDMVTFGVEVDQYIVSNVLKVCSSLASIGTGKQVHAFCIKRGYETEQVTITALIDMYSKCGEVEDGLVLFGCVADRDVVCWTGIIVGCAQNGRANEALEIFRQMVQSGLKPNEVTYLGVLTACRHAGLVVEAQTIFGTMKCDHRLEPQLEHYYCMVDLLCQAGYFKEVEKLIAEMPFKPDKTIWSSMLGACGTHRNTGLVSTIAENLLANCPNDPSIYVMLSNAYGTLGMWDSLSQVREAAKKLGVKAAGTSWIEISS >Potri.002G087400.6.v4.1 pep chromosome:Pop_tri_v4:2:6279705:6283079:1 gene:Potri.002G087400.v4.1 transcript:Potri.002G087400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087400.v4.1 MKMDLKHIVAAIRHCGRVQALKQGKSFHSHLIKTGYSHNVYIACNLVSMYADFTFLIDAYKLFDEMPVKNIVTWTTMVSAYTSNGKPREAIKLYTRMLDSKSEVPNGFMYSVVLKACGLVGEIELGRLIHKRFSRENLDYDIVLLNALLDMYVKCGCLSDARKVFDRIFLRANSTSWNTMISGYFKEGLVEEAVNLFNQMPDRNVVSWNTIIAGLAENGSSRALQFVCKMHREGIKLDKFTFPCALKTCSYAGFLVAGKQIHCYVLKSGLESSCFAVSALVDMYSNCNGLDDAIRLFDQYSGGTGSICDSLVLWNSMLSGYVVHEKNRAAVNMIAQIHHSGASVDSYTLSSALKVCINLLNVRLGIQVHALIVTSGHELDYVVGSILVDLYAKLGNMKDAFKLFHRLPKKDIVAWSGLLMGCAKMELNSLALSLFRDMVTFGVEVDQYIVSNVLKVCSSLASIGTGKQVHAFCIKRGYETEQVTITALIDMYSKCGEVEDGLVLFGCVADRDVVCWTGIIVGCAQNGRANEALEIFRQMVQSGLKPNEVTYLGVLTACRHAGLVVEAQTIFGTMKCDHRLEPQLEHYYCMVDLLCQAGYFKEVEKLIAEMPFKPDKTIWSSMLGACGTHRNTGLVSTIAENLLANCPNDPSIYVMLSNAYGTLGMWDSLSQVREAAKKLGVKAAGTSWIEISS >Potri.015G088800.1.v4.1 pep chromosome:Pop_tri_v4:15:11213941:11214475:-1 gene:Potri.015G088800.v4.1 transcript:Potri.015G088800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088800.v4.1 MAMRHVRVVAGKWSTGLCLCSDNPENSFFMSGAVYALLMCFAAFACFYSCCYCSKLRGQYDLEEDPCVDWLVHCCRYL >Potri.019G060000.2.v4.1 pep chromosome:Pop_tri_v4:19:9918899:9921786:-1 gene:Potri.019G060000.v4.1 transcript:Potri.019G060000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060000.v4.1 MVFPCGHVTCCKCFFAMTERKMHDNRFQRKWVMCPTCRQHTDFGNIAYADDKRDKSCSSAMLDAIQGCEKTEASLAVQGSYGTKVEAITRRILWIKSSDPKAKVLVFSSWNDVLDVLEHAFNANEVTYIRMKGGRKSHVAISEFRAQNGSPKRTDRQQQETKSVQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAVSRVHRIGQEKRTLVHRFIVKDTVEESIYELNRCRSTSSFISGNTKNQDQTLLTLKDVESLFATVPSTVPESDGKPTENLRHLPPSVAAALAAERRLKENTAGISV >Potri.019G038550.1.v4.1 pep chromosome:Pop_tri_v4:19:5333274:5337261:-1 gene:Potri.019G038550.v4.1 transcript:Potri.019G038550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038550.v4.1 MCSRHPEVLWAQRSDKVYLTIALPDAKDVSVKCEGGGLFSFSAVGVQGESFDFSLELFGNIVPEGSKTKVGLRNIICSIQKEEKVWWKRLLKSEGKPAPYIKVDWNKWFDEDDEKSASDASDDNNAGYEKDDGSSDDEGMLYLPDLEKARGT >Potri.019G038550.2.v4.1 pep chromosome:Pop_tri_v4:19:5333275:5337242:-1 gene:Potri.019G038550.v4.1 transcript:Potri.019G038550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038550.v4.1 MSRHPEVLWAQRSDKVYLTIALPDAKDVSVKCEGGGLFSFSAVGVQGESFDFSLELFGNIVPEGSKTKVGLRNIICSIQKEEKVWWKRLLKSEGKPAPYIKVDWNKWFDEDDEKSASDASDDNNAGYEKDDGSSDDEGMLYLPDLEKARGT >Potri.001G379000.1.v4.1 pep chromosome:Pop_tri_v4:1:39965513:39966729:-1 gene:Potri.001G379000.v4.1 transcript:Potri.001G379000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379000.v4.1 MLKLSFLLTLLSSASFGPWHDEAKRSGVAIYPPACTSIECPVFDVLQLGNGYEIRRYNSSVWMSTSSIQDISLVDATRTGFLRLFDYIQGKNSYEEKIEMTAPVITEVSPSDGPFCESSFTVSFYVPKENQANPPPAKGLHVQRWKPTYVAVRQFNGFVTDSNVGEEAAALQASLADTIWAAAIEKSRPDSTTVYTVAQYNSPFEFDNRVNEIWMQFYVEDELLVM >Potri.008G202400.8.v4.1 pep chromosome:Pop_tri_v4:8:14560265:14562994:1 gene:Potri.008G202400.v4.1 transcript:Potri.008G202400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202400.v4.1 MPEKGESQSWIFHRSNFVLQWRLHVLAAIVFLGMVVIGSIDGGTIRSIVESRRSTKQYLTMKPHTRHPLTNLTQQQETLRNFSAVTKNGKTNTPLAQESNDKEPHTQHPLTNLTQRQETLQNFSTVTKNGTTNNPLAQENNDIDTRVPPSSNIVLNNDENVSCAQNYSDGVLENLASGRNGSDGSLKWVSTALEPNVTENLLSRWLAPEGEPCRGSRTVEIVIPGLDGKDLIELTAGDSHEFGFQALDESKNLVCSGGDYFETDLSGEAWKSRPLVRDFGNGSYSILLQVHPDFSGDYNLTLILLFRHFQGLKYFPQRVAFDKQLRKFRIKFVKGGAQLPKIETCEKSDFNRDLWLGRWTRQAKNDGCQISNDGRYRCLAPDFPCQSPWCSGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPQIPSVPRRFDMNFSNPKDASQSVRITSIFNGHWNETMNYEGFNSLMDEGFRNLLKKYFSEDTVPDTIIMNSGLHDGVHWHSFRAYSEGAGYAASFWKEVMDSVKQRGLAVPQIFYRTTIATGGYARSLAFNPNKMEVFNWVALDKFRRAGLVSGVIDNFDMTFPWHFDNRCSDGVHYGRAPAKMKWRDGEIGHQYFVDLMLAHVLLNALCSR >Potri.008G202400.7.v4.1 pep chromosome:Pop_tri_v4:8:14560242:14562988:1 gene:Potri.008G202400.v4.1 transcript:Potri.008G202400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202400.v4.1 MPEKGESQSWIFHRSNFVLQWRLHVLAAIVFLGMVVIGSIDGGTIRSIVESRRSTKQYLTMKPHTRHPLTNLTQQQETLRNFSAVTKNGKTNTPLAQESNDKEPHTQHPLTNLTQRQETLQNFSTVTKNGTTNNPLAQENNDIDTRVPPSSNIVLNNDENVSCAQNYSDGVLENLASGRNGSDGSLKWVSTALEPNVTENLLSRWLAPEGEPCRGSRTVEIVIPGLDGKDLIELTAGDSHEFGFQALDESKNLVCSGGDYFETDLSGEAWKSRPLVRDFGNGSYSILLQVHPDFSGDYNLTLILLFRHFQGLKYFPQRVAFDKQLRKFRIKFVKGGAQLPKIETCEKSDFNRDLWLGRWTRQAKNDGCQISNDGRYRCLAPDFPCQSPWCSGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPQIPSVPRRFDMNFSNPKDASQSVRITSIFNGHWNETMNYEGFNSLMDEGFRNLLKKYFSEDTVPDTIIMNSGLHDGVHWHSFRAYSEGAGYAASFWKEVMDSVKQRGLAVPQIFYRTTIATGGYARSLAFNPNKMEVFNWVALDKFRRAGLVSGVIDNFDMTFPWHFDNRCSDGVHYGRAPAKMKWRDGEIGHQYFVDLMLAHVLLNALCSR >Potri.008G202400.1.v4.1 pep chromosome:Pop_tri_v4:8:14560206:14562970:1 gene:Potri.008G202400.v4.1 transcript:Potri.008G202400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202400.v4.1 MPEKGESQSWIFHRSNFVLQWRLHVLAAIVFLGMVVIGSIDGGTIRSIVESRRSTKQYLTMKPHTRHPLTNLTQQQETLRNFSAVTKNGKTNTPLAQESNDKEPHTQHPLTNLTQRQETLQNFSTVTKNGTTNNPLAQENNDIDTRVPPSSNIVLNNDENVSCAQNYSDGVLENLASGRNGSDGSLKWVSTALEPNVTENLLSRWLAPEGEPCRGSRTVEIVIPGLDGKDLIELTAGDSHEFGFQALDESKNLVCSGGDYFETDLSGEAWKSRPLVRDFGNGSYSILLQVHPDFSGDYNLTLILLFRHFQGLKYFPQRVAFDKQLRKFRIKFVKGGAQLPKIETCEKSDFNRDLWLGRWTRQAKNDGCQISNDGRYRCLAPDFPCQSPWCSGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPQIPSVPRRFDMNFSNPKDASQSVRITSIFNGHWNETMNYEGFNSLMDEGFRNLLKKYFSEDTVPDTIIMNSGLHDGVHWHSFRAYSEGAGYAASFWKEVMDSVKQRGLAVPQIFYRTTIATGGYARSLAFNPNKMEVFNWVALDKFRRAGLVSGVIDNFDMTFPWHFDNRCSDGVHYGRAPAKMKWRDGEIGHQYFVDLMLAHVLLNALCSR >Potri.005G031101.1.v4.1 pep chromosome:Pop_tri_v4:5:2034218:2044271:-1 gene:Potri.005G031101.v4.1 transcript:Potri.005G031101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031101.v4.1 MASSSSPTAPYLKFDVFLSFRGKDTRNSFTSHLYHALQRNQIDAYINNKLDGGEKIEPALLERIEESCISLVIFSENYADSTFCLRELSKILECMETKQQMVLPVFYRLDPSHVQNLTGSYGDALCKHERDCSSEEVQSWRHALKEIANLKGWDSNVMTACLCSLFIMHCWDETKLIQEIVTDIQKKLNRELSSSFDSKRLVGMKSRVEDIESLLSFGSTGVLIVGIWGMGGIGKSTTVETVYRRNCSKFEGHCCFQDVREESRKHGVDHVRQEILGMVLEKNDLKIHGKVLPSAIKRMLQRKKVLIVLDDVNDPQHLKYLVGEDGLFGQGSRIIVTSRDRRVLENACAEDKIYEVKILDEDDALRLFSFHAFKQDRPIEGYTGLSKTVVSCVKGIPLVLEVLGGNLYNKRSVEYWESKVAQLRTNGGEDIKKHLEMCYHELDQTEKKIFLDIACFFGRCKKDFLQQTLDLEERSGIDRLADMCLIKIVQDKIKMHDVLLKLGKKIVLQENVDPRERSRLWEADDIYRVLTTQGTGSKVESISLILDATKELTLNPTAFEGMYNLRLLKIFYPPFLKDPSKEQIMNRKRVGIHLPRGLHFLSSELRFLYWYNYPLKSFPSIFFPEKLVQLEMPCSQLEQLWNEGQLHLTGCSALASLPDSIDRLKCLDTLHLSGCSRLATLPDSIGGLKCLAKLHLTGCSGLASLPDSIDKLKCLDTLHLSGCSGLASLPNSIDSLPDRIGELQSLEWLNLHGCSGLASLPDRIVELKSLKSLNLNGCSGLESLPDSIGGLRCLTMLNLSGCLKLASLPDSIGALKWLYALHLTGCLGLKSLPDSIGELNRLTTLDLSGCLKLASLPNNIIDLEFKGLDKQHCYMLSGFQKVEEIASSTYKLGCHEFLNLGNSRVLKTPERLGSLVSLTQLRLSQIDFERIPASIKQLTKLSKLYLDDCKRLQCLPELPSTLQVLIASGCISLKSIASTFMQGDREYKAVSQEFNFSECLQLDQNSHTRIVGAAHLRIRRMATSLFYQEYHVKPLKEVRLCIRGSEVPEWFSYKNREGSSVKIQQPAHWHRRFTLCAVVSFGQSGERRPVNIKYECHLISKDGTQIDLSSYYYEIYEKKVRSLWEREHVFIWSVHSKCFQGGLISIQITVGNY >Potri.006G210325.1.v4.1 pep chromosome:Pop_tri_v4:6:21762619:21768519:1 gene:Potri.006G210325.v4.1 transcript:Potri.006G210325.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210325.v4.1 MEKQAEIELRPEDRGDDYDAIVVGSGYGGSVAACRMSMAGIKVCLLEKGRRWKAEDFPTDIWKIMSAVRYQNQNLGVRFGPEDALFQLYEQNDSLAAVACGLGGGSLVNAGVMLPTPIRARRNLKWPKEWERDWDICESSAAAMLRIQSSSVKFPIAKVMGEIAGAEFEANIESSVKLSVNFDVEEPPSNPPRLEQINSCFACGNCLAGCPYNAKNSTDKNYLVSAIQAGCTIRTKCQVQYVIKNPHGICQPGGISRKRRWRVYINEIDYITSDWVILSAGVLGTTEILFRSQMRGLRLSDTLGSGFSCNGNNLAYVAGSPAPLNGYGLNRKQLSEIPFQDRPGPSISSSHTSSLGFTIQSAILPRAYPYLLFEGITTYNWPTGYRFFQGIVHRLKHFIGLGLSQSIILNAMGYDESDGKIMLEKDTDKICFHPPQDPLLPRKIIAFQKLTKKLGGILCMSRYRSTAVHLLGGCNASSDSSGGVCNHKGQVFDPKTPATVHAGLYVCDASLIPCSVGINPSLTIATAAEHASRYLVQDILEYKNKISASVAAADQNQFSVTGKNLENDNESTVLIKETMRGYVGGMPCTVHLKMKMQSQNLKSFDKRNWFIGEPHPLLRGKAGGYVVFRAIEKDRLHVIDGEMDLCVVDGRTPYTQYMHYRLLLAAASGSRYILEGKKIMNPYHFALYAWRETTTLYVTFNKVAQSGSTGTMLNLKGELRVSFTELLKCFISLKGNGRGRFIHLLLQTLIRTYILQIPRVTRENFIVTDSCDKSYPSSTIDDIRTADGYIIRSRHWKNARNPLLLSREKVLNPILLLNGYTMESYWLPTEPHDLVRNLLEEGHDVWLLQTRLHPLNPANNATIEDIGKYDIPPAFGKILEVHGPSTKIHVVAHCAGGLAIHIALMGGHVSATHIASLSCTNSSMFFKLTALATIKMWLPLVPISMAILGKNKILPLLEKSKGSSGHRLLKFIARCLPRYERCTCKECEVLSGIFGNAFWHENVSPALHHWLNTESATKLPMSAFPHLRRICNSGYIVDSNGNNSFLIHPERMAISTLYISGGRSLLVTPETSYLANKYMKLHQPGFRHERAVVDGFGHSDLLIGEKSHEKVFPHIISHIRLAEQEGNDLTPRKKYSKEALDWGDDPYREYGDFGCWFFALAIIFFFLLLHVLFW >Potri.006G210325.3.v4.1 pep chromosome:Pop_tri_v4:6:21762747:21767135:1 gene:Potri.006G210325.v4.1 transcript:Potri.006G210325.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210325.v4.1 MEKQAEIELRPEDRGDDYDAIVVGSGYGGSVAACRMSMAGIKVCLLEKGRRWKAEDFPTDIWKIMSAVRYQNQNLGVRFGPEDALFQLYEQNDSLAAVACGLGGGSLVNAGVMLPTPIRARRNLKWPKEWERDWDICESSAAAMLRIQSSSVKFPIAKVMGEIAGAEFEANIESSVKLSVNFDVEEPPSNPPRLEQINSCFACGNCLAGCPYNAKNSTDKNYLVSAIQAGCTIRTKCQVQYVIKNPHGICQPGGISRKRRWRVYINEIDYITSDWVILSAGVLGTTEILFRSQMRGLRLSDTLGSGFSCNGNNLAYVAGSPAPLNGYGLNRKQLSEIPFQDRPGPSISSSHTSSLGFTIQSAILPRAYPYLLFEGITTYNWPTGYRFFQGIVHRLKHFIGLGLSQSIILNAMGYDESDGKIMLEKDTDKICFHPPQDPLLPRKIIAFQKLTKKLGGILCMSRYRSTAVHLLGGCNASSDSSGGVCNHKGQVFDPKTPATVHAGLYVCDASLIPCSVGINPSLTIATAAEHASRYLVQDILEYKNKISASVAAADQNQFSVTGKNLENDNESTVLIKETMRGYVGGMPCTVHLKMKMQSQNLKSFDKRNWFIGEPHPLLRGKAGGYVVFRAIEKDRLHVIDGEMDLCVVDGRTPYTQYMHYRLLLAAASGSRYILEGKKIMNPYHFALYAWRETTTLYVTFNKVAQSGSTGTMLNLKGELRVSFTELLKCFISLKGNGRGRFIHLLLQTLIRTYILQIPRVTRENFIVTDSCDKSYPSSTIDDIRTADGYIIRSRHWKNARNPLLLSREKVLNPILLLNGYTMESYWLPTEPHDLVRNLLEEGHDVWLLQTRLHPLNPANNATIEDIGKYDIPPAFGKILEVHGPSTKIHVVAHCAGGLAIHIALMGGHVSATHIASLSCTNSSMFFKLTALATIKMWLPLVPVSSSTLLAYTYGISFILAARC >Potri.006G210325.2.v4.1 pep chromosome:Pop_tri_v4:6:21762682:21768519:1 gene:Potri.006G210325.v4.1 transcript:Potri.006G210325.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210325.v4.1 MEKQAEIELRPEDRGDDYDAIVVGSGYGGSVAACRMSMAGIKVCLLEKGRRWKAEDFPTDIWKIMSAVRYQNQNLGVRFGPEDALFQNDSLAAVACGLGGGSLVNAGVMLPTPIRARRNLKWPKEWERDWDICESSAAAMLRIQSSSVKFPIAKVMGEIAGAEFEANIESSVKLSVNFDVEEPPSNPPRLEQINSCFACGNCLAGCPYNAKNSTDKNYLVSAIQAGCTIRTKCQVQYVIKNPHGICQPGGISRKRRWRVYINEIDYITSDWVILSAGVLGTTEILFRSQMRGLRLSDTLGSGFSCNGNNLAYVAGSPAPLNGYGLNRKQLSEIPFQDRPGPSISSSHTSSLGFTIQSAILPRAYPYLLFEGITTYNWPTGYRFFQGIVHRLKHFIGLGLSQSIILNAMGYDESDGKIMLEKDTDKICFHPPQDPLLPRKIIAFQKLTKKLGGILCMSRYRSTAVHLLGGCNASSDSSGGVCNHKGQVFDPKTPATVHAGLYVCDASLIPCSVGINPSLTIATAAEHASRYLVQDILEYKNKISASVAAADQNQFSVTGKNLENDNESTVLIKETMRGYVGGMPCTVHLKMKMQSQNLKSFDKRNWFIGEPHPLLRGKAGGYVVFRAIEKDRLHVIDGEMDLCVVDGRTPYTQYMHYRLLLAAASGSRYILEGKKIMNPYHFALYAWRETTTLYVTFNKVAQSGSTGTMLNLKGELRVSFTELLKCFISLKGNGRGRFIHLLLQTLIRTYILQIPRVTRENFIVTDSCDKSYPSSTIDDIRTADGYIIRSRHWKNARNPLLLSREKVLNPILLLNGYTMESYWLPTEPHDLVRNLLEEGHDVWLLQTRLHPLNPANNATIEDIGKYDIPPAFGKILEVHGPSTKIHVVAHCAGGLAIHIALMGGHVSATHIASLSCTNSSMFFKLTALATIKMWLPLVPISMAILGKNKILPLLEKSKGSSGHRLLKFIARCLPRYERCTCKECEVLSGIFGNAFWHENVSPALHHWLNTESATKLPMSAFPHLRRICNSGYIVDSNGNNSFLIHPERMAISTLYISGGRSLLVTPETSYLANKYMKLHQPGFRHERAVVDGFGHSDLLIGEKSHEKVFPHIISHIRLAEQEGNDLTPRKKYSKEALDWGDDPYREYGDFGCWFFALAIIFFFLLLHVLFW >Potri.016G139800.1.v4.1 pep chromosome:Pop_tri_v4:16:14295491:14297803:1 gene:Potri.016G139800.v4.1 transcript:Potri.016G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139800.v4.1 MNDADVSRQIQQMARFIRQEAEEKANEISVSAEEEFNIEKLQILEAEKKRIRQEFERKTKQVDIRRKIEYSMQLNASRIKVLQAQDDIVNSMKESASKQLLRVSNNKKEYKKLLKDLIVQSLIRLKEPAVLLRCREVDRKIVESVLEDASRLYAEKTKVHAPDVTIDTTVYLPPPPKSSDSHDPFCSGGVVMASKDGKIVFENTLDARLDVAFGKKLPEIRKQLLGKLGA >Potri.008G124350.1.v4.1 pep chromosome:Pop_tri_v4:8:8076971:8077641:-1 gene:Potri.008G124350.v4.1 transcript:Potri.008G124350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124350.v4.1 MKKFGSGIRWKNYTVFLRGDSQNGNFNRNIFFSSAVLWWFFFKNSYAATTHFDDRDHVALQDTDSHFCISIICVLVRYFSSVKR >Potri.008G124350.2.v4.1 pep chromosome:Pop_tri_v4:8:8076971:8077641:-1 gene:Potri.008G124350.v4.1 transcript:Potri.008G124350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124350.v4.1 MKKFGSGIRWKNYTVFLRGDSQNGNFNRNIFFSSAVLWWFFFKNSYAATTHDTDSHFCISIICVLVRYFSSVKR >Potri.001G317300.1.v4.1 pep chromosome:Pop_tri_v4:1:32752154:32754443:1 gene:Potri.001G317300.v4.1 transcript:Potri.001G317300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317300.v4.1 MATAASRSLLRTTRRLFCTNATPKNNHQNNHKFLEPDSFIGSWETPKTPKEAEAKLARLRREYAKQVQVLRREYIVEVEALRIEKQRKEEARKEAIRVANEERKKLKAEAAKVRAEERKIEQEEFRKLLLKERAEKLENWRMKEKRQEEKKKVKHELLHRQSSNWIDGRELEKKILEVMVDGTQI >Potri.016G102300.1.v4.1 pep chromosome:Pop_tri_v4:16:10385665:10388356:1 gene:Potri.016G102300.v4.1 transcript:Potri.016G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102300.v4.1 MEKEKIHGPLYFDLNTGAKIPSVGLGTWKAPPDVVAEAVKFSVKAGYRHIDCAKVYGNEKEIGKALKELFCTGVVGRSEMFITSKLWCSDQAPEDVSRALSKSLEDLQLDYIDLYLIHWPFRTKPGSSGWDPEVMAPLCLRETWAAMEGLYASGQARAIGVSNFSTKKLQDLVTYAKVPPAVNQVECHIVWQQPALHHFCKSTGIHLSAYSPLGSPGSWIKGEILKEPLLVEIAKKLNKSPAQVALRWGIQSGHSVLPKSVNESRSKENLSLFDWHIPPDLFSKFTDIHQQRLLRGDFAVHETNSPYKSLEELWDDEI >Potri.004G083800.1.v4.1 pep chromosome:Pop_tri_v4:4:6919606:6921246:-1 gene:Potri.004G083800.v4.1 transcript:Potri.004G083800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G083800.v4.1 MPTPYSKPHQAAILLASRQSDLQQKQTKMDSPRKPKPLSTNLFFLVLLLSTNLLTLFLSSTFYSSSFCYLHPISSISTLTQISSSSVADSDATENPDTQLDLPSEFLAFTSGQALPYGFNTNFDSDTFYPPVGQACTRFPDELSRFMSYKVNGSCPDDELLAQKLLLKGCEPLPRRRCRPATQPDYVDPYPLPTSLWTTPPNSSVVWTAYTCKDYTCLINRMKTQKGFDDCKDCFDLQGREKNRWASREVIEGSLDFTIDEVLATKKPGTIRIGLDIGGGVATFAIRMKERNITIITTSMNLNGPFNNFIASRGVVPLYISISQRLPFFDNTLDIVHSMHVLSNWIPTTLLHFLMFDIYRVLRPGGLFWLDHFFCVEDQFLDVYKPLIETIGFIKLKWVVGKKHDRGAELREMYLTALLEKPLKNSW >Potri.012G142500.8.v4.1 pep chromosome:Pop_tri_v4:12:15399096:15404461:1 gene:Potri.012G142500.v4.1 transcript:Potri.012G142500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142500.v4.1 MVSAMAATCSPTSLQLRLAFNSHNCRRSPATLTRACVRKKKSDSNLRFLLLSQNEPRRNGSSWVVSSSWTDSDNGSDESIENQRKKWFGGMVGAGVAGVILFAGLTFAALSLSKRSTSRPKQEMEPFTTQQEVSLVSDKEDDKVEESESKESKKGIETDLSSSPELNEAPSENKLGDNKETSVDSVDYATRVSDTVDNEPVQENLQYESNFDDKSVTPETTTSSENLPSSDISASSSISTFEIEQNPVNVEPSNVPDITNLNTDHQSESPASKIDENYDSSLNSSNSIICEPSDPVGVKISESSPMDTSSEPQIVPQDDMETVASFLTKENLDLSNTTQDSAERNSSLEVNHLDERDSSETISESASVDPFANTDVIIANNEMKESKPFFEPPTPEISFSAGIPAPSAVSAALQVLPGKVLVPAVVDQVQGQVLAALQVLKVIEADIQSSDLCTRREFARWLVTASSALSRSTVSKVYPAMYIENFTELAFDDITPDDPDFSSIQGLAEAGLISSKLSSGGLLSSSVENQGPFYFAAESPLSRQDLVSWKMALEKRQFPEADKKMLYKVSGFRDIDKLNPDAWPALVADLSAGDQGIISLAFGCTRLFQPDKPVTKAQAAVALATGEASDIVSEELARIEAEAVAENVVSAHNALVAQVEQDVNASFEKELSIEREKINAIEKMAEEARCELETLRAEREKDDIALMKERAAIESEMEVLSKLRRELEEQLQSLLSNKVEISYEKERISKLQKEAESEKQEISRLQYDLEVERKALSMARAWAEDEAKRAREQAKALEEARYRWEKHGIKVVVDSDLNEESSTGVTWLTAGKQVSSVEGTVNRAENLVDRLKLMADDIRGKSRVVLDKIIQKILVLISVLKEWIAEACARTKELKEATISKTWASIHELQQNTTEFSSAIKEKTIGSMQELKQHTAEFGSAVKEGTKRVTEDCREGVEKLTQKFKS >Potri.012G142500.7.v4.1 pep chromosome:Pop_tri_v4:12:15399138:15404939:1 gene:Potri.012G142500.v4.1 transcript:Potri.012G142500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142500.v4.1 MVSAMAATCSPTSLQLRLAFNSHNCRRSPATLTRACVRKKKSDSNLRFLLLSQNEPRRNGSSWVVSSSWTDSDNGSDESIENQRKKWFGGMVGAGVAGVILFAGLTFAALSLSKRSTSRPKQEMEPFTTQQEVSLVSDKEDDKVEESESKESKKGIETDLSSSPELNEAPSENKLGDNKETSVDSVDYATRVSDTVDNEPVQENLQYESNFDDKSVTPETTTSSENLPSSDISASSSISTFEIEQNPVNVEPSNVPDITNLNTDHQSESPASKIDENYDSSLNSSNSIICEPSDPVGVKISESSPMDTSSEPQIVPQDDMETVASFLTKENLDLSNTTQDSAERNSSLEVNHLDERDSSETISESASVDPFANTDVIIANNEMKESKPFFEPPTPEISFSAGIPAPSAVSAALQVLPGKVLVPAVVDQVQGQVLAALQVLKVIEADIQSSDLCTRREFARWLVTASSALSRSTVSKVYPAMYIENFTELAFDDITPDDPDFSSIQGLAEAGLISSKLSSGGLLSSSVENQGPFYFAAESPLSRQDLVSWKMALEKRQFPEADKKMLYKVSGFRDIDKLNPDAWPALVADLSAGDQGIISLAFGCTRLFQPDKPVTKAQAAVALATGEASDIVSEELARIEAEAVAENVVSAHNALVAQVEQDVNASFEKELSIEREKINAIEKMAEEARCELETLRAEREKDDIALMKERAAIESEMEVLSKLRRELEEQLQSLLSNKVEISYEKERISKLQKEAESEKQEISRLQYDLEVERKALSMARAWAEDEAKRAREQAKALEEARYRWEKHGIKVVVDSDLNEESSTGVTWLTAGKQVSSVEGTVNRAENLVDRLKLMADDIRGKSRVVLDKIIQKILVLISVLKEWIAEACARTKELKEATISKTWASIHELQQNTTEFSSAIKEKTIGSMQELKQHTAEFGSAVKEGTKRVTEDCREGVEKLTQKFKS >Potri.012G142500.4.v4.1 pep chromosome:Pop_tri_v4:12:15399138:15404503:1 gene:Potri.012G142500.v4.1 transcript:Potri.012G142500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142500.v4.1 MVSAMAATCSPTSLQLRLAFNSHNCRRSPATLTRACVRKKKSDSNLRFLLLSQNEPRRNGSSWVVSSSWTDSDNGSDESIENQRKKWFGGMVGAGVAGVILFAGLTFAALSLSKRSTSRPKQEMEPFTTQQEVSLVSDKEDDKVEESESKESKKVSAALQVLPGKVLVPAVVDQVQGQVLAALQVLKVIEADIQSSDLCTRREFARWLVTASSALSRSTVSKVYPAMYIENFTELAFDDITPDDPDFSSIQGLAEAGLISSKLSSGGLLSSSVENQGPFYFAAESPLSRQDLVSWKMALEKRQFPEADKKMLYKVSGFRDIDKLNPDAWPALVADLSAGDQGIISLAFGCTRLFQPDKPVTKAQAAVALATGEASDIVSEELARIEAEAVAENVVSAHNALVAQVEQDVNASFEKELSIEREKINAIEKMAEEARCELETLRAEREKDDIALMKERAAIESEMEVLSKLRRELEEQLQSLLSNKVEISYEKERISKLQKEAESEKQEISRLQYDLEVERKALSMARAWAEDEAKRAREQAKALEEARYRWEKHGIKVVVDSDLNEESSTGVTWLTAGKQVSSVEGTVNRAENLVDRLKLMADDIRGKSRVVLDKIIQKILVLISVLKEWIAEACARTKELKEATISKTWASIHELQQNTTEFSSAIKEKTIGSMQELKQHTAEFGSAVKEGTKRVTEDCREGVEKLTQKFKS >Potri.016G077066.1.v4.1 pep chromosome:Pop_tri_v4:16:5804280:5805410:1 gene:Potri.016G077066.v4.1 transcript:Potri.016G077066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077066.v4.1 MADLTSNSSKMATLKTKQCLNLITRFINSRTPPFHYKNTRTLQTLAFEEIQSSPNKPDAPTAFILHGLLGSGRNWRSFSRNLASSLSEWRMVLVDMRNHGKSVDIEGLDPPHNMFNAAMDVANLVKEKGWEWPDVVIGHSMGGKVALQFAESCTRGDYGHSVSFPKQNSCGYWILCL >Potri.005G111900.1.v4.1 pep chromosome:Pop_tri_v4:5:8114894:8116537:1 gene:Potri.005G111900.v4.1 transcript:Potri.005G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111900.v4.1 MKEDYEIEEKKQAAADVLFQYSKFVMACIGNQVRPAGLRLHLMKEISGLPTSLKRESSHVAASPDAMGESSSSGTARLDKADSFRAL >Potri.005G050700.1.v4.1 pep chromosome:Pop_tri_v4:5:3203361:3209984:-1 gene:Potri.005G050700.v4.1 transcript:Potri.005G050700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050700.v4.1 MASLILLPANSVSGTRSKTAPPGFLFSGGRRAVAQVGFRNRRLFTVRSALDSLETKVSDMSVNAPKGLFPPEPAHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYDSRSFIGGKVGSFVDRRGNHIEMGLHVFFGCYNNLFRLMKKVGADKNLLVKDHTHTFVNKGGEIGELDFRFLIGAPLHGIRAFLSTNQLKPYDKARNAVALALSPVVKALIDPDGALRDIRDLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDAYLSGPIRKYIEDKGGRFHLRWGCRQILYDRSPDGEIHVTGLATSKATDKKVVKADAYVAACDVPGIKRLLPSQWRESKFFDNIYELVGVPVVTVQLRYNGWVTELQDLERSRQLRQAAGLDNLLYTPDADFSCFADLALASPEDYYIEGQGSLLQCVLTPGDPYMSLTNDKIIERVSKQVLALFPSSQGLEVIWSSVVKIAQSLYREGPGKDPFRPDQKTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAYICDAGEELVALRKKLAAVESQDCANSNTVTDELSLV >Potri.004G209100.2.v4.1 pep chromosome:Pop_tri_v4:4:21595306:21598489:-1 gene:Potri.004G209100.v4.1 transcript:Potri.004G209100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209100.v4.1 MSGGRPRSLGGGGQHHVLDYLKRMQSENPAFFYATQPDTDHSPGGATIFWADATARMNYNYFGDTLVFDTSYRTHRYRVPFASFTGINHHGQPVLFGCALILNDSESSFIWLFQTWLSAMSGKQPLSITTDPDHFIQTAVSQILPETRHRYSKQGILRETQEKLAHIYRSHPMFETEFKKCINETETIDEFESSWQSLLQRYYVMDNEWLQSMYNARQQWVTVYLRDTFFGELSVTDGSGGLNSFFDGYVSASTTIQMLIKQYEKALASWHEKELKADYDTTNTTPVLKTPSPMEKQAAGLYTRRIFMKFQDELVETLANPATKIDDSGTITTYRVAKFGEEHKAHTVCFNSFEMKATCSCQMFEYSGIICRHILAVFRAKNVLTLPSQYVLKRWTTNAKSRAVLDEGASELPNDSRESLTVRYNNLRQEAIKYVEEGAKSIHIYNVAMDALQEAAKKVSDVKNQGSGGTQGGTLTNGSSQELHVAKDNSSATLQSVDEKQKKIRELTAELEGTNQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Potri.004G209100.3.v4.1 pep chromosome:Pop_tri_v4:4:21595319:21598491:-1 gene:Potri.004G209100.v4.1 transcript:Potri.004G209100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209100.v4.1 MSGGRPRSLGGGGQHHVLDYLKRMQSENPAFFYATQPDTDHSPGGATIFWADATARMNYNYFGDTLVFDTSYRTHRYRVPFASFTGINHHGQPVLFGCALILNDSESSFIWLFQTWLSAMSGKQPLSITTDPDHFIQTAVSQILPETRHRYSKQGILRETQEKLAHIYRSHPMFETEFKKCINETETIDEFESSWQSLLQRYYVMDNEWLQSMYNARQQWVTVYLRDTFFGELSVTDGSGGLNSFFDGYVSASTTIQMLIKQYEKALASWHEKELKADYDTTNTTPVLKTPSPMEKQAAGLYTRRIFMKFQDELVETLANPATKIDDSGTITTYRVAKFGEEHKAHTVCFNSFEMKATCSCQMFEYSGIICRHILAVFRAKNVLTLPSQYVLKRWTTNAKSRAVLDEGASELPNDSRESLTVRYNNLRQEAIKYVEEGAKSIHIYNVAMDALQEAAKKVSDVKNQGSGGTQGGTLTNGSSQELHVAKDNSSATLQSVDEKQKKIRELTAELEGTNQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Potri.004G209100.4.v4.1 pep chromosome:Pop_tri_v4:4:21595437:21598595:-1 gene:Potri.004G209100.v4.1 transcript:Potri.004G209100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209100.v4.1 MSGGRPRSLGGGGQHHVLDYLKRMQSENPAFFYATQPDTDHSPGGATIFWADATARMNYNYFGDTLVFDTSYRTHRYRVPFASFTGINHHGQPVLFGCALILNDSESSFIWLFQTWLSAMSGKQPLSITTDPDHFIQTAVSQILPETRHRYSKQGILRETQEKLAHIYRSHPMFETEFKKCINETETIDEFESSWQSLLQRYYVMDNEWLQSMYNARQQWVTVYLRDTFFGELSVTDGSGGLNSFFDGYVSASTTIQMLIKQYEKALASWHEKELKADYDTTNTTPVLKTPSPMEKQAAGLYTRRIFMKFQDELVETLANPATKIDDSGTITTYRVAKFGEEHKAHTVCFNSFEMKATCSCQMFEYSGIICRHILAVFRAKNVLTLPSQYVLKRWTTNAKSRAVLDEGASELPNDSRESLTVRYNNLRQEAIKYVEEGAKSIHIYNVAMDALQEAAKKVSDVKNQGSGGTQGGTLTNGSSQELHVAKDNSSATLQSVDEKQKKIRELTAELEGTNQRCEVYRANLLAVLKDMEEQKLKLSVKVQNARLSLKE >Potri.012G137300.1.v4.1 pep chromosome:Pop_tri_v4:12:15085819:15090058:1 gene:Potri.012G137300.v4.1 transcript:Potri.012G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G137300.v4.1 MASPNQQYHGATFKPHKHFAANSPFFFKKTNLYTLALLLFLCTFSYLFGVWRHEGGGGVLSVNKSAATATTNTIVSIPCNPSKATTAATRGGKPLDFSSHHKADDLDFTLTSEVKSYPSCNVNFSEYTPCEDAKRSLRFKRHQLIYRERHCPEKHEILKCRIPAPHGYKNPFKWPASRDFAWYNNVPHKHLTVEKAGQNWIRFAGDRFRFPGGGTMFPNGADAYIDDIGRLINLKDGSIRTAIDTGCGVASWGAYLLSRNILTMSFAPRDTHEAQVQFALERGVPALIGILASKRLPYPSRAFDMAHCSRCLIPWAESGGQYLIEVDRVLRPGGYWVLSGPPINWKKHWKGWERTKDDLKDEQMKIEAVAKSLCWRKFVEKGDIAIWQKPINHLNCKVNRKITQNPYFCPAQDPEKAWYTNMETCLTHLPEVSNKEDVAGGELPKWPERLNAVPPRISRGTLEGITAETFQKDTALWNRRVSYYKAVNNQLEQAGRYRNILDMNAYLGGFAAALTEDPLWVMNVVPIQAKVNTLGVIYERGLIGTYQDWCEAMSTYPRTYDLIHADSVFSLYDGRCEMEDILLEMDRILRPEGSVIFRDDVDVLVKIKKISDGLNWDSQIVDHEDGPHQREKLLFAIKTYWTAPAEGRHESTRSS >Potri.013G063200.2.v4.1 pep chromosome:Pop_tri_v4:13:4712808:4713642:1 gene:Potri.013G063200.v4.1 transcript:Potri.013G063200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G063200.v4.1 MEGLKFVLVFVVLALASSFASASDPGPLRTSVLPSKKPMVVLFVNGKFCKDPEQVTAKDFFFPGLNVPRDTSSAVGSNVTAVNVAQIPGLNTLGISFARIDFAPHGGLNPPHTHPRATEILLTENRLITKVLNPGDVFVFPVGLIHFQLNVGKTNAVAFASLSSQNPGVITIAKAVFGADPPINPNVLTKAFQVDKKVVDYLQKQLWTDNNN >Potri.003G165200.1.v4.1 pep chromosome:Pop_tri_v4:3:17440684:17443451:-1 gene:Potri.003G165200.v4.1 transcript:Potri.003G165200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165200.v4.1 MAPPSLLGPPEIKKPVPTPQQQAPTTVRNPFVDLMVDNFNKTTVNQLPQMGYTENMSATFLSSGNPCLDLFFHVVPNTPPESLQKRLHSAWNHNPLTTLKLICNLRGVRGTGKSDKEGFYTSAIWLHNNHPKTLACNIPSMADFGYFKDLPEILYRLLEGPDVRKIQKQEWRQRKGRKTGRRAGFKIGQPKTLAPFQRSKRPKNAKSSRNAGPSIPIHIRIQNEKRRAEMEKENASIARKERRAAMAKKVIERYSHDPDYRFLYEGVSDFFAGCLKTDMQHLNSSNTTKVSLAAKWCPSIDSSFDRSTLLCESIARKVFPRESYPEYEGIEEAHYAYRVRDRLRKEVLVPLRKVLELPEVYIGANRWDSIPYNRVASVAMKFYKKKFFKHDAERFRQYLEDVKAGKTKIAAGALLPHEIIESLNDDDGGEVAELQWKRIVDDLLQKGKMKNCIAVCDVSGSMSGTPMEVSVALGLLVSELCEEPWKGKLITFSQNPMLQMVEGDSLLQKTEFVRSMEWGMNTNFQKVFDLILQVAVNGNLREDQMIKRVFVFSDMEFDQASCNPWETDYQVIARKFTEKGYGNVIPEIVFWNLRDSRATPVPGTQKGVALVSGFSKNLMKLFLDGDGEISPEAVMKEAIAGEEYQKLVVLD >Potri.006G249000.1.v4.1 pep chromosome:Pop_tri_v4:6:24868468:24870653:-1 gene:Potri.006G249000.v4.1 transcript:Potri.006G249000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249000.v4.1 MSFCSSISTPQSLSLLSKTKPIINGGDYKLGSRFRASADVPDFLSADWLESRRKKPFGPRLNFSAEEAVNCQLDALKYNDQPRQDYGIEVMYRFAGFDPFERSTYFGPFFDLGQFERFRRIFHHSTYRVLLGHKERKILSSLFVKENRFKQRIWIRGNRPEEEEIFQFTMVQRVGGSWDGYWLTESLLHDGDAFAGGLAY >Potri.010G209400.1.v4.1 pep chromosome:Pop_tri_v4:10:19888902:19889688:-1 gene:Potri.010G209400.v4.1 transcript:Potri.010G209400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209400.v4.1 MKRGLHEREIDSITMANCLMFLSKGRESYSFPSFDHAMSNISPARVFECKTCNRQFPSFQALGGHRASHKKPRLMGGEGSFETQSPAKPKTHECSICGLEFAIGQALGGHMRRHRAALNDRNQVDPLNPPSTDDQKAVPVVKRSNSRRVLCLDLNLTPYENDMELFKLGTTAPMVNCFF >Potri.003G124300.3.v4.1 pep chromosome:Pop_tri_v4:3:14438003:14440663:-1 gene:Potri.003G124300.v4.1 transcript:Potri.003G124300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124300.v4.1 MGFTGTLEKCKACDKTVYFIELVSADGVPYHKKCFKCSHCNGLLVMSSYSSIDGVLYCRPHYDQLFKETGNFTKKLQSSGEKKNGLTKAPSKLSSMFSGTQDKCASCKKTVYPLEKVTVEGEFFHKSCFRCSHGGCFITPSSYAALDGILYCKAHFSQLFKQKGSYSYLTKTSTMKKNTVNSPEEKSEAEQNHLTVPEASSDLAIAHENVQN >Potri.002G139600.5.v4.1 pep chromosome:Pop_tri_v4:2:10459539:10462920:-1 gene:Potri.002G139600.v4.1 transcript:Potri.002G139600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139600.v4.1 MGTISCCMVYLCFSALFRPPINSTEFLDSRVSDDLKREDRNGDCCRGIEHLELWGDAVKWGSEYKVNSSKDCCLACKGMCSDDSGPCLCDSWVFCGDKLACGDQFGECWLKKQKDTLEPEKRDSGDHVVWTSGVVFGRGEVHYLVKVLWMLQCSPSFSLSTVAPNIDACAFSQLSKGNS >Potri.002G139600.1.v4.1 pep chromosome:Pop_tri_v4:2:10459464:10463003:-1 gene:Potri.002G139600.v4.1 transcript:Potri.002G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139600.v4.1 MGTISCCMVYLCFSALFRPPINSTEFLDSRVSDDLKREDRNGDCCRGIEHLELWGDAVKWGSEYKVNSSKDCCLACKGMCSDDSGPCLCDSWVFCGDKLACGDQFGECWLKKQKDTLEPEKRDSGDHVVWTSGVVFGRGEQGIVGFETRYGTFHVKLLPDCAPHSISYILELLASRHCVGCHFYRAESRGKSWDPEGNHIEHAPYGPPFALIQGTLGSYGTVFKDIPTEAFPTIRRGSVAWVDSGPEFFISLANHNEWNKAYTVFGFVLTEDMEIIERIAQLPAKPEVWSNINVAVLENPVPLHVRRIKRSVGNLKL >Potri.002G139600.4.v4.1 pep chromosome:Pop_tri_v4:2:10459688:10462811:-1 gene:Potri.002G139600.v4.1 transcript:Potri.002G139600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139600.v4.1 MGTISCCMVYLCFSALFRPPINSTEFLDSRVSDDLKREDRNGDCCRGIEHLELWGDAVKWGSEYKVNSSKDCCLACKGMCSDDSGPCLCDSWVFCGDKLACGDQFGECWLKKQKDTLEPEKRDSGDHVVWTSGVVFGRGEQGIVGFETRYGTFHVKLLPDCAPHSISYILELLASRHCVGCHFYRAESRGKSWDPEGNHIEHVRSKYQVLSRPIEEPGCLPIDKVPCLAWDFHNFRKNYLEQE >Potri.002G139600.3.v4.1 pep chromosome:Pop_tri_v4:2:10459464:10463003:-1 gene:Potri.002G139600.v4.1 transcript:Potri.002G139600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139600.v4.1 MGTISCCMVYLCFSALFRPPINSTEFLDSRVSDDLKREDRNGDCCRGIEHLELWGDAVKWGSEYKVNSSKDCCLACKGMCSDDSGPCLCDSWVFCGDKLACGDQFGECWLKKQKDTLEPEKRDSGDHVVWTSGVVFGRGEGIVGFETRYGTFHVKLLPDCAPHSISYILELLASRHCVGCHFYRAESRGKSWDPEGNHIEHAPYGPPFALIQGTLGSYGTVFKDIPTEAFPTIRRGSVAWVDSGPEFFISLANHNEWNKAYTVFGFVLTEDMEIIERIAQLPAKPEVWSNINVAVLENPVPLHVRRIKRSVGNLKL >Potri.002G139600.6.v4.1 pep chromosome:Pop_tri_v4:2:10459502:10462928:-1 gene:Potri.002G139600.v4.1 transcript:Potri.002G139600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139600.v4.1 MGTISCCMVYLCFSALFRPPINSTEFLDSRVSDDLKREDRNGDCCRGIEHLELWGDAVKWGSEYKVNSSKDCCLACKGMCSDDSGPCLCDSWVFCGDKLACGDQFGECWLKKQKDTLEPEKRDSGDHVVWTSGVVFGRGELSKGNS >Potri.006G215800.1.v4.1 pep chromosome:Pop_tri_v4:6:22170445:22172990:1 gene:Potri.006G215800.v4.1 transcript:Potri.006G215800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215800.v4.1 MSLLLRKTIKSNSSLQSFKPNNALSLFLRQSTKHFSTETQPPPPPQENNDPSSIDPFLQDSATSLTYARFHGVRKHTLKTDIINLFEGSNLTPDDIIVVHHRFNNNPYAAAIKFPSRRAYDNAQRSLTRAGRIYNLEKTPPTVWDAALRNSYDGKTVLLEGLPPNALNEDIERFLSGCKFVPSSIRTFVKYPDPVMSAGRKNPTTSAGKQDGTTSEEKRDPIRMATVLFSTRTEAMNALIKKNRGFCLNNQISVRVLH >Potri.019G066200.2.v4.1 pep chromosome:Pop_tri_v4:19:10586618:10594424:1 gene:Potri.019G066200.v4.1 transcript:Potri.019G066200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066200.v4.1 MDTAASQSSSSSSSSSSSIMFYDFLDKMRNPASLNLVKSIKSFIVSFQFSSANPENDSKRVQEFFSTMEAAIMEHPLWAGATDDEFDCSMEGLEKYIMTKLFSRTFAISPEDVKIDQEISEKIHLLQSFLRPEHLDIPPFLQNEASWLLAEKELQKINAFRAPREKLHCIMSCCRIINNLLLNASMSENHVPGGADDFLPVLIYVTIKANPPQLHSNLKYIQLYRRQETMVSEPAYYFTNLVSAKSFIGQLDAKSLSMDEIEFEESMQAAKLDSKVSQVEASQAQTDPIFSTRMHGMKTNIDGRSNYPYMEAEPGELTVEDVERLLSLYKDVVTKYSSLCRAVRHRSATRTEPSLPVPKGRDDILLQLEGQAQMIREEKAEVSDTTKGC >Potri.005G024600.1.v4.1 pep chromosome:Pop_tri_v4:5:1548203:1554090:1 gene:Potri.005G024600.v4.1 transcript:Potri.005G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024600.v4.1 MSRASRTLYVGNLPGDIRVREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDAHDAKYAIRGLDGYNFDGCRLRVELAHGGRRHSSPVDRYSSYSGSSGSRGPPKHSDYRVLVSGLPSSASWQDLKDHMRRAGDVCFSQVFRDHGGMTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYIRVREYDSRRSYSRSPSCSSHVSRSRSRSRSHGRSYSDRSRSKSPRAKYSRRSRSVSVSSRSRAGSSPRALSRSRSRSRSPVDSRPRSKQDYRTPSRSPARLSQPRSPSVRSDRSRSVDSMDR >Potri.005G024600.4.v4.1 pep chromosome:Pop_tri_v4:5:1548869:1551053:1 gene:Potri.005G024600.v4.1 transcript:Potri.005G024600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024600.v4.1 MSRASRTLYVGNLPGDIRVREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDAHDAKYAIRGLDGYNFDGCRLRVELAHGGRRHSSPVDRYSSYSGSSGSRGPPKHSDYRVLVSGLPSSASWQDLKDHMRRAGDVCFSQVFRDHGGMTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYIRVREYDSRRSYSRSPSCSSHVSRSRSRSRSHGRSYSDRSRRSTPP >Potri.005G024600.2.v4.1 pep chromosome:Pop_tri_v4:5:1548203:1554102:1 gene:Potri.005G024600.v4.1 transcript:Potri.005G024600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024600.v4.1 MSRASRTLYVGNLPGDIRVREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEDAHDAKYAIRGLDGYNFDGCRLRVELAHGGRRHSSPVDRYSSYSGSSGSRGPPKHSDYRVLVSGLPSSASWQDLKDHMRRAGDVCFSQVFRDHGGMTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYIRVREYDSRRSYSRSPSCSSHVSRSRSRSRSHGRSYSDRSRSKSPRAKYSRRSRSVSVSSRSRAGSSPRALSRYTIAAFLFLLLVVILRCSIRYLLKFVFTLL >Potri.006G158676.1.v4.1 pep chromosome:Pop_tri_v4:6:15003748:15009089:1 gene:Potri.006G158676.v4.1 transcript:Potri.006G158676.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158676.v4.1 MDLEDTFLAKVSGVQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGPKKELLRTCFDKEKKQTASSKSKMTELLKTGNKTYKKQESKKAASSPNNQPSFKKQQRKGENPMRLVPSSEQSSEFGCSNSWICKNSACRAVLSIDDTFCKRCSCCICHLFDDNKDPSLWLVCTSDNGQGDSCELSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGSWKKHLIIAKDARRLDVLCYRIYLSYRLLDGTSRFKELHGIIKDAKAKIETEVGPVDGVSAKMARGIVSRLSVAGDVQKLCSLAIEKAEEWLTTVSSANPNCREDSLPAACRFLFEEVKSSSVVIILIELSTALSDDIKGYKLWYCKSREETHAKEPICMFPRSQRRILISNLQPCTEYTFRIVSYTEAGDLGHSEAKCFTKSIEIIQKNPNPSVARNGKKENTVTGGYTSSYNRDSKTTTAVNSSGFMVRDLGKILHLAGAQKQGCFEGFCSADTEKCCGGSKVVKPQTSEDPVPSISHGLDLNVVSVPDLNEELTPFESSRDEDNGCTLEQAIEADDDAASHDVEKNDLARSHGTGDSQTWMHGPSREVPTVDSRSELCRKRAAHTNEDVHDCDSTLINESPFRVSSGSGYLDENFEYCVKAIRWLECEGYINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLGGQLVDSFSDIISSKRPRNGFCGKLWH >Potri.006G158676.2.v4.1 pep chromosome:Pop_tri_v4:6:15005202:15008758:1 gene:Potri.006G158676.v4.1 transcript:Potri.006G158676.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158676.v4.1 MCCCDLINTDRSWKKHLIIAKDARRLDVLCYRIYLSYRLLDGTSRFKELHGIIKDAKAKIETEVGPVDGVSAKMARGIVSRLSVAGDVQKLCSLAIEKAEEWLTTVSSANPNCREDSLPAACRFLFEEVKSSSVVIILIELSTALSDDIKGYKLWYCKSREETHAKEPICMFPRSQRRILISNLQPCTEYTFRIVSYTEAGDLGHSEAKCFTKSIEIIQKNPNPSVARNGKKENTVTGGYTSSYNRDSKTTTAVNSSGFMVRDLGKILHLAGAQKQGCFEGFCSADTEKCCGGSKVVKPQTSEDPVPSISHGLDLNVVSVPDLNEELTPFESSRDEDNGCTLEQAIEADDDAASHDVEKNDLARSHGTGDSQTWMHGPSREVPTVDSRSELCRKRAAHTNEDVHDCDSTLINESPFRVSSGSGYLDENFEYCVKAIRWLECEGYINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLGGQLVDSFSDIISSKRPRNGFCGKLWH >Potri.008G041500.1.v4.1 pep chromosome:Pop_tri_v4:8:2338274:2339213:1 gene:Potri.008G041500.v4.1 transcript:Potri.008G041500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041500.v4.1 MVNKKPTMGRQKIKIEKIAKKSHLQVTFSKRRAGLFKKASELSTLCGVDIAMIVFSPAQKAFSFGHPSVDSMMHRFLTGSPPPPPPSSGLHQLIETRRDANVHEQNMQLAQILNQLEAEKKNGEVLDQMRKVNRSQCCWEAPIEELELHELEQLRGALEELKKTVAKQVNNILIQSTSSLPFLAVNGVGEVGNLGTKLEIDNASAALHFNNFAYAQKIC >Potri.001G247400.1.v4.1 pep chromosome:Pop_tri_v4:1:26400040:26406768:1 gene:Potri.001G247400.v4.1 transcript:Potri.001G247400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247400.v4.1 MELFSAFNLYLQRPFWLLISLVFLLLLLLNSRVVLSDPNYLIGLGSYDITGPAADVNMMGYANTDQIASGVHFRLRARAFIVAEPKGNRVVFVNLDACMASQLVTIKVIERLKARYGDLYTENNVAISGIHSHAGPGGYLQYVVYIVTSLGFVRQSFDALVDGIEKCIIQAHENLHPGTILVNKGEILDAGANRSPSAYLNNPAEERSRYKYDVDTEMTLLKFVDTEWGPVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFQQNGIGNSYSDESVVDGIPRRISNIIPDLHDNHHELLELAASFQSSSGQPATKILSIAKRVRSALRQADKPGFVSAFCQSNCGDVSPNVLGTFCIDTGLPCDFNHSTCGGKNELCYGRGPGYPDEFESTRIIGERQFRKAVDLFNTASEKLNGKIDHRHSFVDFSQLEVTLPKQGGGSDVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDNEGNAFWRLVRNFLKTPGKEQVDCQHPKPILLDTGEMKKPYDWAPSILPIQILRIGQLVILSVPGEFTTMAGRRLKDAVKTVLMSSGNSEFNSNIHVVIAGLTNTYSQYVTTFEEYEVQRYEGASTLFGPHTLSAYIQEFKKLATALAIGQSVEPGPQPPDLLDKQISLLTPVVMDATPPGVNFGDCSSDVPQNSTFKRGDTVTVVFWSACPRNDLMTEGTFSLVEILQGKDSWFPAYDDDDFCLRFKWSRPSKLSTRSQATIEWRIPQSASPGVYRIRHFGAAKGLLGSISHFTGSSSAFVVT >Potri.015G051632.1.v4.1 pep chromosome:Pop_tri_v4:15:6407899:6408432:1 gene:Potri.015G051632.v4.1 transcript:Potri.015G051632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G051632.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERITLFGLVETRVKDKNKDNVSQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLCEALWSDIVSRSDGWKSTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREVKVDDL >Potri.T011001.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:98578:102439:-1 gene:Potri.T011001.v4.1 transcript:Potri.T011001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011001.v4.1 MEKYELVKDLGAGNFGVARLLRHKETKELVAMKYIERGHKIDENVAREIINHRSLRHPNIIRFKEVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVNYCHNMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQDDPRNFRKTIQRIMAVQYKIPDYVHVSQACRNLLSRIFVANSSRRISLSEIKSHPWFLKNLPKELTEPAQAIYYQRDNPSFSLQSVDEIMKIVAEARQQPPSSKPVKGFGWEVEEDEEEDIDAEVEEEDDEDEYDKRVKEVHASGEYQIS >Potri.008G130100.1.v4.1 pep chromosome:Pop_tri_v4:8:8513679:8516363:-1 gene:Potri.008G130100.v4.1 transcript:Potri.008G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130100.v4.1 MDGLPSGYRPNVGVCLINSDNLVFVASRLNVPGAWQMPQGGIEDGEEPKSAAIRELLEETGIVSAEIIAEVPNWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLMRLTKDESEINLGSGEADPEFAEWKWTSPEEVIEQAVDYKRPTYEEVMRTFRPYLNENGIAAKCKSSKW >Potri.018G046900.3.v4.1 pep chromosome:Pop_tri_v4:18:4081794:4082818:-1 gene:Potri.018G046900.v4.1 transcript:Potri.018G046900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G046900.v4.1 MGFFAEESGLIVAHLIYKAALALAVLIWAWDWALRFKNRAHLSSSSNDSLQQYHPVPSPHQVMDGLISTTFNDVIERVPGVCDTCAVCLSQLRDQDEVRELRNCGHVFHKECIDRWVDHDHDHDHDHDHDENHNTCPLCRAPLPTTSRSLAWTRTEPSWAVERILYLFGDDLIT >Potri.014G114000.3.v4.1 pep chromosome:Pop_tri_v4:14:7667539:7671389:-1 gene:Potri.014G114000.v4.1 transcript:Potri.014G114000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114000.v4.1 MRRIHGGGGVGFKWSFNLLKQKLIQSGRNTNHWNRTSFLQSPIPKHFSRYYSTESQLQLSPNLIRIMEQRLSAIEHRSACLENFMNQPEASPTEFSRANKELRKLRGSMELITYLRTKQKEIDGLRSLMTECPEDRDMLDMANEELSQAMEEEKRLQNLLLKSLLPRDDADERDCILEVRAGSGGEEASLFAMDIFKMYERFSQNNGWKFEVVYITESDLKGYKEASAAISGADVFGKLKFESGIHRVQRVPVTEKLGRVHTSAVSVAILPQADEVDLQLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHLPTGITVSIQDERSQHMNKSKALKVLCAKLYEMERSRLHMSRSRLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSINDVMQGESLDVFIDALLLQQEMDAIASFGSIE >Potri.014G114000.1.v4.1 pep chromosome:Pop_tri_v4:14:7667547:7671407:-1 gene:Potri.014G114000.v4.1 transcript:Potri.014G114000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114000.v4.1 MRRIHGGGGVGFKWSFNLLKQKLIQSGRNTNHWNRTSFLQSPIPKHFSRYYSTAESQLQLSPNLIRIMEQRLSAIEHRSACLENFMNQPEASPTEFSRANKELRKLRGSMELITYLRTKQKEIDGLRSLMTECPEDRDMLDMANEELSQAMEEEKRLQNLLLKSLLPRDDADERDCILEVRAGSGGEEASLFAMDIFKMYERFSQNNGWKFEVVYITESDLKGYKEASAAISGADVFGKLKFESGIHRVQRVPVTEKLGRVHTSAVSVAILPQADEVDLQLRNEDLRIDTYRSGGSGGQHANTTNSAVRITHLPTGITVSIQDERSQHMNKSKALKVLCAKLYEMERSRLHMSRSRLRSEQIGSGDRSERIRTYNFPQGRVTDHRVGITHHSINDVMQGESLDVFIDALLLQQEMDAIASFGSIE >Potri.005G221700.1.v4.1 pep chromosome:Pop_tri_v4:5:22364078:22367201:1 gene:Potri.005G221700.v4.1 transcript:Potri.005G221700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221700.v4.1 MGSLKATIPTDSRSNLEQFLFDRLLDQSQPIHERFRALFSLRNLKGPGPRNALIHATRDSSNLLAHEAAFALGQMQDAEAIPALEAVLNDLSLHPIVRHEAAEALGAIGLESIVPLLKNSLTGDPAQEVRETCELALKRIEEMNATTSTVDGSSVAEKSPFLSVDPAAPASLSSSVDELRGFLLDEKRGMYERYGALFALRNHGGDGAVSAIVDSLAANSALLKHEVAYVLGQLQNKAASAALCRILRNANEHPMVRHEAAEALGSIADEKSVALLEEFSKDPEPLVAQSCEVALSMLEFERSGKSFEYLFMQDPLAQA >Potri.004G174600.2.v4.1 pep chromosome:Pop_tri_v4:4:18977975:18985518:-1 gene:Potri.004G174600.v4.1 transcript:Potri.004G174600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174600.v4.1 MGFFSTILGFCGFGVGISTGLTIGYYLFIYFQPSDVKDPEVRPLVEHDSETLQRMLPEIPLWVKNPDHDRIDWLNRFIQLMWPYLDKAICKTAENIAKPIIAEQIPKYKIDAVEFETLTLGTLPPTFHGMKVYVTDEKELIMEPCIKWAGNPNVTVAVKAFGLKATAQVVDLQVFASPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLLGADLMSIPGLYRVVQEIIKDQVANMYLWPKTLEVPILDPAKAMKRPVGILSVKVLRAMKLKKKDLMGAADPYVKVKLTEDKLPAKKTTVKHKNLNPEWNEEFHVVVKDPESQALELRVYDWEQVGKHDKMGMNVVPLKELTPEEPKIMTLELLKNMDLNDPQNEKSRGQLMVELTYKPFKEDDVNLSFKEQVEQKAPEGTPAGGGLLLVIVHEAQDVEGKHHTNPYVRLLFRGEEKRTKHVKKNRDPRWEDEFQYTLDKPPSNEKLHVEVISTSSGIGLLHPKESLGYVDINLTDVVNNRRTNGKYHLIDSKNGQIQIELQWRPAS >Potri.006G063800.2.v4.1 pep chromosome:Pop_tri_v4:6:4554203:4555723:1 gene:Potri.006G063800.v4.1 transcript:Potri.006G063800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063800.v4.1 MHCNNHTMGFFPFMKRYYINSRLDKKRNIFHNLYAKPNSSLTFLEFSMETVGTERCPSEGSSISATSEGTPHRDGSELDQTEMVNTNMKEKVVQGSEPALLPKSSARVLLDLKLSRDNSIRGSKLEFNLLSPMNVGSSHAKESTAETLKQTESRVFPCNFCKREFSTSQALGGHQNAHKQERTLAKRRQEMDVGALVHLPYYPYSSLSTNPYYGSLNRSLGVRLDSLIHKTSPPYPWTSPIGLRYDAHGGWSGQTTMNTQPSNGKSLTTESLNAFSGGFGISSSSSSSRFEDNLLRNFGSSPSSNTVAINKPPGTDHFQQTDHPKSYQTDDSGLDLSLKL >Potri.008G139250.1.v4.1 pep chromosome:Pop_tri_v4:8:9372282:9372614:-1 gene:Potri.008G139250.v4.1 transcript:Potri.008G139250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139250.v4.1 MSGISRNCAVQKTTTLQAFNFFAVILYIVRFLIRNIIVYLYILWIWWVPMDTHRWKLYSRFWIGNTAGMVQENATFKEIILSSGSGYWIRSDGNEFATFILVDTHTLLIL >Potri.014G010100.1.v4.1 pep chromosome:Pop_tri_v4:14:360669:369880:1 gene:Potri.014G010100.v4.1 transcript:Potri.014G010100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G010100.v4.1 MPTRHKRENENKNNKTICTLHTIQHMAETRKVHPVGAVLLIINLLMASRISLSRSILLLQRTNNHFPYSHFTPKRFPKSYPCPLWSSSFSLCLQTLHKSSTTTATTPPSISNCYSSISMDPVVDEANPLLQDFEFPPFDVVEAKHVRPGIRALLKNLESDLEELERTVEPSWPKLVEPLEKIADQLTVVWGMINHLKAVKDSPELRAAIEEVQPEKVKFQLRLGQSKLIYNAFKAIQDSPQWPSLSDARKRIVESQIKEGVLNGVALDDDKREQFNKIEQELERLSQKFGENVLDATKKFEKIITDKKDIEGLPATSLGLAAQTAVSKGHTDASAENGPWIITLDAPSFMSVMQHARNRGLREEIYRAYVTRASSGDLNNTAIIDEILKLRLEKAKLLGYNNYTEVSMATKMATVEKAEELLEKLRAASWNAAVQDMEDLKIFSKNQGAMEANDLTHWDTGFWAERLRESKYDINEEELRPFFSLPKVMDGLFNLAKTIFGIDIEPADGLAPVWNNDVKFYCVKDSLGSPIAYFYFDPYSRPSEKRGGAWMGEVVSRSRVLSPNGTAPRLPIAHMVCNQTPPVGDKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRETLMGIAKHYETGESLPEEVYLKLLAARTFRAGSFSLRQIKFASLDLELHTKYIPGALESIYDVDQRVSERTQVIPPLPEDRFLCGFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNHKAVKETGHKFRETILALGGGKAPLEVFVEFRGREPSPEALLRHNGLLSVTTSA >Potri.017G136601.1.v4.1 pep chromosome:Pop_tri_v4:17:13802878:13804896:1 gene:Potri.017G136601.v4.1 transcript:Potri.017G136601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136601.v4.1 MRTSRSNIKNCFLVFCASLLVARSCHGSKHVALFIFGDSLYDAGNNKYIKDAPTFSDFWPYGETFFKHPTGRPCDGRLIPDFIAQYANLPLIPPYLQPGNHQFMDRENFESKGENLQGMVISSERQQHLQSSNHRFINGENFASSGAGALVETHHGRVISLSTQLSYFKRMKRQLRLQLGEAEAKKLLSTAVYMFSIGGNDYFAALTPTHSLLQLYSREEYVGMVIGNITTVIQEIYKIGGRKFGLSTLIALGCLPSLRAAKQERTGVSGCLDEATMFAKLHNRALPKALKELEGQLEGFRYSIFDAYVAGRERINNPSKYGFKEVQEACCGSGPYRSLRTCGQKGYQLCDNASEYFFFDAAHPTESANNQFAKLMWSGSLDIVKPYNLKTLFEE >Potri.008G034000.5.v4.1 pep chromosome:Pop_tri_v4:8:1845620:1849479:1 gene:Potri.008G034000.v4.1 transcript:Potri.008G034000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034000.v4.1 MELPGMSSCILWLLFLQIIQLPIPFSLPTGGNETDRLSLLALKSQITNDPFGMLSSWNESLHFCDWSGVICGKRHRRVVEIDLHSAQLVGSLSPHIGNLSFLRILKLENNRFSHNIPQELGHLFRLRMLSLENNTFDGKIPVNISHCSNLLILSLSGNNLTGKLPIELGSLSKLQVFFFQFNYLVGGIPSSFGNLSAIIQIFGAGNYLQGGIPNSIGQLKSLKSFSFGRNNMTGMIPPSIYNLSSLMRFAVPVNQLHGNLPPDLGLTLPNLEILLMSFNRFSGSIPPTFSNASTIAVIELSNNNLTGRVPDLSSLSKLRWLIVDVNYLGNGNDDDLSFLPPLANKTSLEELSINDNNFGGLLPKIISNFSENLKRMTFGRNQIRGSIPSGIGNLIGLDTLGLEMNQLTGVIPNSIGKLQNLGVLALGGNKISGNIPSSMGNITSLLEVYLSANNLQGRIPSSLGNCQNLLILHLDQNNLSGSIPKEVISIPSSSRILVLSENQLTGSLPLEVGKLANLGYFNLSHNRLSGEIPRTLGSCVSLEFLYMEGNLFQGPIPESLSSLRALQILNLSHNNLSGEIPKFLAELKLLTSLDLSFNNLEGEVPVQGIFARASGFSMLGNKKLCGGMPQLNLSRCTSKKSRKLKSSTKLKLIIAIPCGFVGIILVVSYMLFFFLKEKKSRPASGSPWESTFQRVAYEDLLQATNGFSPANLIGAGSFGSVYKGILRSDGAAVAVKVFNLLREGASKSFMAECAALINIRHRNLVKVLTACSGIDFQGNDFKALVYEFMVNGSLEEWLHPAQISDEAHRRRDLSLLQRLNIAIDVASALDYLHNHCQIAIVHCDLKPSNVLLDGDLTAHVGDFGLARLLPQASHQLCLDQTSSIGLKGTIGYAAPEYGLGSEVSPYGDVYSYGILLLEVFTGRRPTDGLFKDGLNLHNFAKTALPISVAEVLDPVLVTEAEETSGDASRRMSHIGNHMECLAAIVKVGVACSAEFPRERMEISSVAVELRRIRHILLGPQTHGKR >Potri.019G014386.1.v4.1 pep chromosome:Pop_tri_v4:19:2364877:2368474:1 gene:Potri.019G014386.v4.1 transcript:Potri.019G014386.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014386.v4.1 MGNGSSSGSGRDDQGFATILSIDGGGVRGIVPSVVLTALEAKLQKLDVDNKDARIADYFDFVAGTSTGGLMTAMLTTPNAEKRPTFAAKDIVQFYLDKSQLIFPQTTEQYEDDELFDDEAAINSVLDEARNQIQQYKNEMRNHIIVDPLISVLRFLLNWGLLPNFIRKKLRSLVFPRYDGVKLHEIINEEVGQKLLSDALTNVIIPTFDIKLFQPIIFSSLKAQRDKSTDARIADVCIGTSAAPYYFPPYYFKTKVDFNLADGGLAANNPSLLAVCEVMKEQKMDGRKLLILSLGTGAADQSGRYVVGDPSKWGLLRWLWYSENNGSPLIDILTTAPDEMISTYISTIFKYCGWEDNYYRLQAKMELTGARMDDASQENLKKLEKIGKDLAAKHDAELEALAQKLIKNRKARLARTSG >Potri.019G014386.2.v4.1 pep chromosome:Pop_tri_v4:19:2365690:2368485:1 gene:Potri.019G014386.v4.1 transcript:Potri.019G014386.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014386.v4.1 MGNGSSSGSGRDDQGFATILSIDGGGVRGIVPSVVLTALEAKLQKLDVDNKDARIADYFDFVAGTSTGGLMTAMLTTPNAEKRPTFAAKDIVQFYLDKSQLIFPQTTEQYEDDELFDDEAAINSVLDEARNQIQQYKNEMRNHIIVDPLISVLRFLLNWGLLPNFIRKKLRSLVFPRYDGVKLHEIINEEVGQKLLSDALTNVIIPTFDIKLFQPIIFSSLKAQRDKSTDARIADVCIGTSAAPYYFPPYYFKTKVDFNLADGGLAANNPSLLAVCEVMKEQKMDGRKLLILSLGTGAADQSGRYVVGDPSKWGLLRWLWYSENNGSPLIDILTTAPDEMISTYISTIFKYCGWEDNYYRLQAKMELTGARMDDASQENLKKLEKIGKDLAAKHDAELEALAQKLIKNRKARLARTSG >Potri.015G008000.1.v4.1 pep chromosome:Pop_tri_v4:15:473992:475873:-1 gene:Potri.015G008000.v4.1 transcript:Potri.015G008000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008000.v4.1 MTALPSISSPSSSSSLYPNYQSSPLIFSSKTAQDPSLPFFTVRSNGSLRGRSSSCTVPRGLRVFNAATKPAKSPAEEDWKTKREVLLQKKVRSVDVKEALRLQKENNFVILDVRPEAEFKEAHPPGAINVQVYRLIKEWTAWDIARRAAFAFFGIFAGTEENPEFMQTVESKIDKSAKIIVACSAGGTMRPSQNLPEGQQSRSLIAAYLLVLNGYTNVFHLEGGLYKWFKEGLPAESEG >Potri.011G038800.2.v4.1 pep chromosome:Pop_tri_v4:11:3011038:3014665:-1 gene:Potri.011G038800.v4.1 transcript:Potri.011G038800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038800.v4.1 MALGNCKVAVALLLFLSCSSSFYGDARDIITPSQPIKDQEAIVSAGNKFELGFFSPVNSTYRYVGIWYSNISAETQVLWVANRNKPITDSSGMMKISEDGNLVVLNGQGEVLWSSNVSIGFNQSTAQLTDDGNLVMKAGPNGNLVWQTFQQPTDTYLPKMRLSANARTGNKTLLMSWRSSSDPSVGNFSAGVNPLGIPELFIWYNGHPFWRSGPWVGQNFIGIPGMSISVYLSGFTLQDEGDGTFTMSLIVDPASRFKYVLTSHGKFKEQYWDYGKQGWEYTWEAPSTDCDIYGKCGSFGSCDAQNSPICTCLKGFVAKNQDEWNKGIWTSGCVRMTSLQCDRIQNGSQVGKEDGFKKLEMMKVPAFAEYWSYASSSEQECKDECLRNCSCVACSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLASTELDNKRNMKVIISMAVIVGAIAICICVFLSWKWMATHRERKLISEETLSFKTREAQETVFDGNSPENVKEVKLEPLFKLKILETATNNFDISKKLGQGGFGAVYRGKLPDGLEIAVKRLSRTSGQGLEELMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYLPNKSLDAFLFDSLRKGQLDWERRFDIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDHELNPKISDFGMARIFCGNEDQVNTTRVVGTYGYMSPEYLMKGRFSEKSDVFSFGVLLLEIVSGRRNSNFYDNEHSLSLIGYAFKLWKERDITALVDPAISDPCFEVEIFRCIHIGLLCVQELAKDRPAVSTITSMLNSEIVDLPPPKKPAFFERQSSLDTESITQNQKINSINNVTISDVNGR >Potri.011G038800.3.v4.1 pep chromosome:Pop_tri_v4:11:3011038:3014665:-1 gene:Potri.011G038800.v4.1 transcript:Potri.011G038800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038800.v4.1 MALGNCKVAVALLLFLSCSSSFYGDARDIITPSQPIKDQEAIVSAGNKFELGFFSPVNSTYRYVGIWYSNISAETQVLWVANRNKPITDSSGMMKISEDGNLVVLNGQGEVLWSSNVSIGFNQSTAQLTDDGNLVMKAGPNGNLVWQTFQQPTDTYLPKMRLSANARTGNKTLLMSWRSSSDPSVGNFSAGVNPLGIPELFIWYNGHPFWRSGPWVGQNFIGIPGMSISVYLSGFTLQDEGDGTFTMSLIVDPASRFKYVLTSHGKFKEQYWDYGKQGWEYTWEAPSTDCDIYGKCGSFGSCDAQNSPICTCLKGFVAKNQDEWNKGIWTSGCVRMTSLQCDRIQNGSQVGKEDGFKKLEMMKVPAFAEYWSYASSSEQECKDECLRNCSCVACSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLASTELVADNKRNMKVIISMAVIVGAIAICICVFLSWKWMATHRERKLISEETLSFKTREAQETVFDGNSPENVKEVKLEPLFKLKILETATNNFDISKKLGQGGFGAVYRGKLPDGLEIAVKRLSRTSGQGLEELMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYLPNKSLDAFLFG >Potri.011G038800.1.v4.1 pep chromosome:Pop_tri_v4:11:3011038:3014665:-1 gene:Potri.011G038800.v4.1 transcript:Potri.011G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038800.v4.1 MALGNCKVAVALLLFLSCSSSFYGDARDIITPSQPIKDQEAIVSAGNKFELGFFSPVNSTYRYVGIWYSNISAETQVLWVANRNKPITDSSGMMKISEDGNLVVLNGQGEVLWSSNVSIGFNQSTAQLTDDGNLVMKAGPNGNLVWQTFQQPTDTYLPKMRLSANARTGNKTLLMSWRSSSDPSVGNFSAGVNPLGIPELFIWYNGHPFWRSGPWVGQNFIGIPGMSISVYLSGFTLQDEGDGTFTMSLIVDPASRFKYVLTSHGKFKEQYWDYGKQGWEYTWEAPSTDCDIYGKCGSFGSCDAQNSPICTCLKGFVAKNQDEWNKGIWTSGCVRMTSLQCDRIQNGSQVGKEDGFKKLEMMKVPAFAEYWSYASSSEQECKDECLRNCSCVACSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLASTELVADNKRNMKVIISMAVIVGAIAICICVFLSWKWMATHRERKLISEETLSFKTREAQETVFDGNSPENVKEVKLEPLFKLKILETATNNFDISKKLGQGGFGAVYRGKLPDGLEIAVKRLSRTSGQGLEELMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYLPNKSLDAFLFDSLRKGQLDWERRFDIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDHELNPKISDFGMARIFCGNEDQVNTTRVVGTYGYMSPEYLMKGRFSEKSDVFSFGVLLLEIVSGRRNSNFYDNEHSLSLIGYAFKLWKERDITALVDPAISDPCFEVEIFRCIHIGLLCVQELAKDRPAVSTITSMLNSEIVDLPPPKKPAFFERQSSLDTESITQNQKINSINNVTISDVNGR >Potri.011G038800.4.v4.1 pep chromosome:Pop_tri_v4:11:3011113:3014193:-1 gene:Potri.011G038800.v4.1 transcript:Potri.011G038800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038800.v4.1 MMKISEDGNLVVLNGQGEVLWSSNVSIGFNQSTAQLTDDGNLVMKAGPNGNLVWQTFQQPTDTYLPKMRLSANARTGNKTLLMSWRSSSDPSVGNFSAGVNPLGIPELFIWYNGHPFWRSGPWVGQNFIGIPGMSISVYLSGFTLQDEGDGTFTMSLIVDPASRFKYVLTSHGKFKEQYWDYGKQGWEYTWEAPSTDCDIYGKCGSFGSCDAQNSPICTCLKGFVAKNQDEWNKGIWTSGCVRMTSLQCDRIQNGSQVGKEDGFKKLEMMKVPAFAEYWSYASSSEQECKDECLRNCSCVACSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLASTELVADNKRNMKVIISMAVIVGAIAICICVFLSWKWMATHRERKLISEETLSFKTREAQETVFDGNSPENVKEVKLEPLFKLKILETATNNFDISKKLGQGGFGAVYRGKLPDGLEIAVKRLSRTSGQGLEELMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYLPNKSLDAFLFG >Potri.007G137400.2.v4.1 pep chromosome:Pop_tri_v4:7:14884399:14888067:-1 gene:Potri.007G137400.v4.1 transcript:Potri.007G137400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137400.v4.1 MASLFKDLTKLSAYRDRRFPGNQEEFEHALQTATTVYIGNMSFYTTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDTEDAVKFISGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQRELEVQRQLVDYGAGSLGSFPAVMPPPHFGRRGGGQNYGSSNRQGRDYLQRKRNREDDQQPRESSKRTSDHESRRNFDPDSRPEKNPRFRESTNSDDEEEDDRQQRP >Potri.002G176700.2.v4.1 pep chromosome:Pop_tri_v4:2:13728662:13737459:-1 gene:Potri.002G176700.v4.1 transcript:Potri.002G176700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176700.v4.1 MKLLLSRLYQKQRLCEGGELLDRILARGGRYTEEDAKAIIVQILCVVAFCHLQGVVHRDLKPENFLFTSGSEDADMKLIDFGLSDFFRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGIITYILLCGSRPFWARTESGIFRAVLRSDPNFEDLPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALTHPWLRDDSRPIHVDILIYKLVKVYLHATPFKRAALKALSKALTEDELFYLRAQFNLLGPNGDGSVSLDNFRMALVHNATDAMRESRVPEILNAMESLSYRKMYFEEFCAAAISTYQLEALEGWEQIASTAFEHFEQEGNRVISVEELARELNVGPSAYTIIKDWIRSSDGKLSVLGYAKFLHGVTLRSTNTRHR >Potri.002G176700.1.v4.1 pep chromosome:Pop_tri_v4:2:13728700:13737474:-1 gene:Potri.002G176700.v4.1 transcript:Potri.002G176700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176700.v4.1 MGQCYGKTNSTNINDATTVTIVASSTDQNQQTPLPSSTPRNGVRSLKYSASSSSTHPSPWPSPYPQGVAASPLQGVSPSPARSSTPRRFFKRPFPPPSPARHIAASLVKRLGGRGKPKEGPIPEHGSVEAEQQQEQSLDKSFGYSKNFGAKYELGKEIGRGHFGHTCSARVKKGELKDEAVAVKIISKAKMTSTISIEDVRREVKILKALSGHRHLVKFYDAFEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAIIVQILCVVAFCHLQGVVHRDLKPENFLFTSGSEDADMKLIDFGLSDFFRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGIITYILLCGSRPFWARTESGIFRAVLRSDPNFEDLPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALTHPWLRDDSRPIHVDILIYKLVKVYLHATPFKRAALKALSKALTEDELFYLRAQFNLLGPNGDGSVSLDNFRMALVHNATDAMRESRVPEILNAMESLSYRKMYFEEFCAAAISTYQLEALEGWEQIASTAFEHFEQEGNRVISVEELARELNVGPSAYTIIKDWIRSSDGKLSVLGYAKFLHGVTLRSTNTRHR >Potri.006G251100.3.v4.1 pep chromosome:Pop_tri_v4:6:25006286:25010564:1 gene:Potri.006G251100.v4.1 transcript:Potri.006G251100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251100.v4.1 MSYNTSAAGSGSRNTTRTFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPCTAWSDVGDISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCHIFGQYGNGNLYPVNLSAIVGLSGWLPCSRTLRNRMERSDEAARRAASLPILLCHGSGDDVVAHKHGEKSAQALSSAGFRNLTFRSYNGLGHYTIPEEMDEVCNWLTTRIGLEGPRS >Potri.006G251100.1.v4.1 pep chromosome:Pop_tri_v4:6:25005568:25010566:1 gene:Potri.006G251100.v4.1 transcript:Potri.006G251100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251100.v4.1 MSYNTSAAGSGSRNTTRTFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPCTAWSDVGDISEDAPDDLEGLDASAAHVANLLSTEPADIKLGVGGFSMGAATALYSATCHIFGQYGNGNLYPVNLSAIVGLSGWLPCSRTLRNRMERSDEAARRAASLPILLCHGSGDDVVAHKHGEKSAQALSSAGFRNLTFRSYNGLGHYTIPEEMDEVCNWLTTRIGLEGPRS >Potri.004G003000.3.v4.1 pep chromosome:Pop_tri_v4:4:186272:188594:-1 gene:Potri.004G003000.v4.1 transcript:Potri.004G003000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003000.v4.1 METFPVIDLSKLNGEERKPTMEVINDACENWGFFELVNHGISHDLLDAVERLTKEHYRKCMEQRFKEMVASKGLEAVQSEIDDLDWESTFFLRHLPESNLAEIPDLGEDYRKTMKEFALELEGLAEQLLDLLCENLGLEKGYLRKVFCGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMKHSIVINLGDQLEVITNGKYKSVLHRVLAQTDGTRMSIASFYNPGSDAVIYPAPELVEKEEKESQIYPKFVFEDYMKLYAGLKFQAKEPRFEAMKAVESTINMGPIATA >Potri.004G003000.1.v4.1 pep chromosome:Pop_tri_v4:4:186273:188551:-1 gene:Potri.004G003000.v4.1 transcript:Potri.004G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003000.v4.1 METFPVIDLSKLNGEERKPTMEVINDACENWGFFELVNHGISHDLLDAVERLTKEHYRKCMEQRFKEMVASKGLEAVQSEIDDLDWESTFFLRHLPESNLAEIPDLGEDYRKTMKEFALELEGLAEQLLDLLCENLGLEKGYLRKVFCGSKGPTFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMKHSIVINLGDQLEVITNGKYKSVLHRVLAQTDGTRMSIASFYNPGSDAVIYPAPELVEKEEKESQIYPKFVFEDYMKLYAGLKFQAKEPRFEAMKAVESTINMGPIATA >Potri.014G105000.1.v4.1 pep chromosome:Pop_tri_v4:14:7053208:7053758:1 gene:Potri.014G105000.v4.1 transcript:Potri.014G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105000.v4.1 MGNWFARIYQAVLSVDQDSEDVKSSLIAPSSSSLRIKVRMTTTQLKELMTQADLSEGNSELGSMILQECLDGRFRARVVAGDEGSVSSEYAKNLYTIKEE >Potri.015G041200.1.v4.1 pep chromosome:Pop_tri_v4:15:3793125:3798094:1 gene:Potri.015G041200.v4.1 transcript:Potri.015G041200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041200.v4.1 MTELAETYACVPSTERGRGILISGHPKTNKILYTNNRSILILNLDNPLDVSVYGEHAYQATVARYSPNGEWIASADVSGTVRIWGAYNDHVLKKEFKVLTGRIDDLQWSPDGLRIVASGDGKGKSLVRAFMWDSGTNVGEFDGHSRRVLSCAFKPTRPFRIVTCGEDFLVNFYEGPPFKFKSSHRDHSNFVNCIRFSPDGSKFISVSSDKKGILFDGKTGEKIGELSSEDGHKGSIYAVSWSPDGKQVLTVSADKSAKVWEICDDGSGKLTKTLTSSDSGGVDDMLVSCLWQNDHLVTVSLGGTISVFSASDLGKSALQISGHMKNVTSLSVLKNVPKTILSSSYDGLIVKWIQGIGYSGKLRRKENSQIKCLAAAEEEVITSGFDNKLWRVRFLGDQCGDADSIDVRSQPKDISLALLCPELALVAIDSGVVMIRGTKVVSTINLDFAVTASAISPDGSEAIIGGQDGKLHIYSVTGDTLTEDAVLEKHRGAVSVIRYSPDVSMFASGDLNREAVVWDRASREVKLKNMLYHTARINCLAWSPDSSMVATGSLDTCIIIYEIDKPASSRMTIKGAHLGGVYGLAFTDDRSVVSSGEDACVRVWKVNPQ >Potri.006G171212.1.v4.1 pep chromosome:Pop_tri_v4:6:17370832:17373139:-1 gene:Potri.006G171212.v4.1 transcript:Potri.006G171212.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171212.v4.1 MRRYGYKDEYKTIFTTKISDKSSYYPVSSSSSGRSLDHGAACLWALVEGDGCGLLPSFGGCGGDRRSRGSVEMGGAGSRLFSRLLWLRGKPGAEGEDGGRIGAAAGVRPLLRSTVKNMGLWPLKLRWRQGERSVAGWKENVAAASWLLAETNERLRGKKRNRGNRVDGGAGTAELLGEGEFERRRGRRTGPAAEKRRKWGRRLEWEEEGAGTGWRGKTQNVGGGSS >Potri.008G042300.11.v4.1 pep chromosome:Pop_tri_v4:8:2390498:2400974:1 gene:Potri.008G042300.v4.1 transcript:Potri.008G042300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042300.v4.1 MEMDSMASCKEKLAYFRIKELKDILSLLGLSKQGKKQDLMDRVIGLLSDDEICSARRLARKKQIGKEAVVKIIDDAYRKMQIMDASDLAAKAPSGLDITSVTEEVEDFITPGKTIRCPCGSSLPTEFMIQCIDSKCQVQQHISCVIFTEIPMESEHPPVFYCETCRIERADPFWVTVAHLLFPVKLPSSNISIDGNNTLQNVETTFQLTRSDQHLLKNCEYDAQAWCMLLNDKVLFRMQWPLYADLQVNGMPVKTLNRPGSQSLGASGRDDGALIKSCIGEGINRVSLSGCDSRAFCFGIRLVKRQTVEQVLNLIPKDGEPFEDALARVCRCIGGGMGASNEDSDSDLEVIAEAIIVNLRCPMSGSRMKIAGRFKPCVHMGCFDLETFVKLNQRSRKWQCPICLKNYSLEDIVIDPYFNRITTMMGHCEEDITDIEVKPDGSWTAKTKVEIGDLGQWHFPDGSLCAFMDEVTSCYEISRQIEKGDGLKAHGSPEIGIKSNFGGMMQGRKHQLAFCSSKNQIEGNFVNQGQRTKTMSSSITGSSKYEEDPSINQDYSGHVEISPSNVNEINSICHYFDPTLAINNGSFVPSRNADIIVLSDSDEENVNLVPPETVYDTCPVDGSCSSLVANPGIADSYLEDLALDAGADSCFDLFDTGVNDVGMSSWSFSSGIQAGAHFQLFNTDSDVSDAFIDLEHSSISCAAPMNGSTLASTPTITSGGEVPDSLACVANVDMDVGLVDNPMRFVSEDPSLQTFLPTQPVQPDLVIQPPVSNPVPTEDWISLRLGSTNESFGSHTMDRPHRAARDGVDLRNQLGLNQATSVAALNDEARSNGKYNKKISDGPFSFPRQPRSVRQRVYSQ >Potri.008G042300.13.v4.1 pep chromosome:Pop_tri_v4:8:2390503:2398114:1 gene:Potri.008G042300.v4.1 transcript:Potri.008G042300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042300.v4.1 MEMDSMASCKEKLAYFRIKELKDILSLLGLSKQGKKQDLMDRVIGLLSDDEICSARRLARKKQIGKEAVVKIIDDAYRKMQIMDASDLAAKAPSGLDITSVTEEVEDFITPGKTIRCPCGSSLPTEFMIQCIDSKCQVQQHISCVIFTEIPMESEHPPVFYCETCRIERADPFWVTVAHLLFPVKLPSSNISIDGNNTLQNVETTFQLTRSDQHLLKNCEYDAQAWCMLLNDKVLFRMQWPLYADLQVNGMPVKTLNRPGSQSLGASGRDDGALIKSCIGEGINRVSLSGCDSRAFCFGIRLVKRQTVEQVLNLIPKDGEPFEDALARVCRCIGGGMGASNEDSDSDLEVIAEAIIVNLRCPMSGSRMKIAGRFKPCVHMGCFDLETFVKLNQRSRKWQCPICLKNYSLEDIVIDPYFNRITTMMGHCEEDITDIEVKPDGSWTAKTKVEIGDLGQWHFPDGSLCAFMDEVTSCYEISRQIEKGDGLKAHGSPEIGIKSNFGGMMQGRKHQLAFCSSKNQIEGNFVNQGQRTKTMSSSITGSSKYEEDPSINQDYSGHVEISPSNVNEINSICHYFDPTLAINNGSFVPSRNADIIVLSDSDEENVNLVPPETVYDTCPVDGSCSSLVANPGIADSYLEDLALDAGADSCFDLFDTGVNDVGMSSWSFSSGIQAGAHFQLFNTDSDVSDAFIDLEHSSISCAAPMNGSTLASTPTITSGGEVPDSLACVANVDMDVGLVDNPMRFVSEDPSLQTFLPTQPVQPDLVIQPPVSNPVPTEDWISLRLGSTNESFGSHTMDRPHRAARDGVDLRNQLGLNQGA >Potri.008G042300.10.v4.1 pep chromosome:Pop_tri_v4:8:2390466:2400189:1 gene:Potri.008G042300.v4.1 transcript:Potri.008G042300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042300.v4.1 MEMDSMASCKEKLAYFRIKELKDILSLLGLSKQGKKQDLMDRVIGLLSDDEICSARRLARKKQIGKEAVVKIIDDAYRKMQIMDASDLAAKAPSGLDITSVTEEVEDFITPGKTIRCPCGSSLPTEFMIQCIDSKCQVQQHISCVIFTEIPMESEHPPVFYCETCRIERADPFWVTVAHLLFPVKLPSSNISIDGNNTLQNVETTFQLTRSDQHLLKNCEYDAQAWCMLLNDKVLFRMQWPLYADLQVNGMPVKTLNRPGSQSLGASGRDDGALIKSCIGEGINRVSLSGCDSRAFCFGIRLVKRQTVEQVLNLIPKDGEPFEDALARVCRCIGGGMGASNEDSDSDLEVIAEAIIVNLRCPMSGSRMKIAGRFKPCVHMGCFDLETFVKLNQRSRKWQCPICLKNYSLEDIVIDPYFNRITTMMGHCEEDITDIEVKPDGSWTAKTKVEIGDLGQWHFPDGSLCAFMDEVTSCYEISRQIEKGDGLKAHGSPEIGIKSNFGGMMQGRKHQLAFCSSKNQIEGNFVNQGQRTKTMSSSITGSSKYEEDPSINQDYSGHVEISPSNVNEINSICHYFDPTLAINNGSFVPSRNADIIVLSDSDEENVNLVPPETVYDTCPVDGSCSSLVANPGIADSYLEDLALDAGADSCFDLFDTGVNDVGMSSWSFSSGIQAGAHFQLFNTDSDVSDAFIDLEHSSISCAAPMNGSTLASTPTITSGGEVPDSLACVANVDMDVGLVDNPMRFVSEDPSLQTFLPTQPVQPDLVIQPPVSNPVPTEDWISLRLGSTNESFGSHTMDRPHRAARDGVDLRNQLGLNQGVNFGAFAATSVAALNDEARSNGKYNKKISDGPFSFPRQPRSVRQRVYSQ >Potri.008G042300.12.v4.1 pep chromosome:Pop_tri_v4:8:2390464:2400193:1 gene:Potri.008G042300.v4.1 transcript:Potri.008G042300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042300.v4.1 MEMDSMASCKEKLAYFRIKELKDILSLLGLSKQGKKQDLMDRVIGLLSDDEICSARRLARKKQIGKEAVVKIIDDAYRKMQIMDASDLAAKAPSGLDITSVTEEVEDFITPGKTIRCPCGSSLPTEFMIQCIDSKCQVQQHISCVIFTEIPMESEHPPVFYCETCRIERADPFWVTVAHLLFPVKLPSSNISIDGNNTLQNVETTFQLTRSDQHLLKNCEYDAQAWCMLLNDKVLFRMQWPLYADLQVNGMPVKTLNRPGSQSLGASGRDDGALIKSCIGEGINRVSLSGCDSRAFCFGIRLVKRQTVEQVLNLIPKDGEPFEDALARVCRCIGGGMGASNEDSDSDLEVIAEAIIVNLRCPMSGSRMKIAGRFKPCVHMGCFDLETFVKLNQRSRKWQCPICLKNYSLEDIVIDPYFNRITTMMGHCEEDITDIEVKPDGSWTAKTKVEIGDLGQWHFPDGSLCAFMDEVTSCYEISRQIEKGDGLKAHGSPEIGIKSNFGGMMQGRKHQLAFCSSKNQIEGNFVNQGQRTKTMSSSITGSSKYEEDPSINQDYSGHVEISPSNVNEINSICHYFDPTLAINNGSFVPSRNADIIVLSDSDEENVNLVPPETVYDTCPVDGSCSSLVANPGIADSYLEDLALDAGADSCFDLFDTGVNDVGMSSWSFSSGIQAGAHFQLFNTDSDVSDAFIDLEHSSISCAAPMNGSTLASTPTITSGGEVPDSLACVANVDMDVGLVDNPMRFVSEDPSLQTFLPTQPVQPDLVIQPPVSNPVPTEDWISLRLGSTNESFGSHTMDRPHRAARDGVDLRNQLGLNQDGPFSFPRQPRSVRQRVYSQ >Potri.014G106400.10.v4.1 pep chromosome:Pop_tri_v4:14:7145176:7147858:1 gene:Potri.014G106400.v4.1 transcript:Potri.014G106400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106400.v4.1 MASTSSTPLSISSSSSLIDAKASRQSAAASPQCVSLPTLPPPPVQPQNRPWKATAYCRKIARNVMTMATGEAPAEVASTELPEIVKTVQEAWDKVEDKYAVSSLVVAGGVALWGSTGLISAIDRLPLIPGVLELVGIGYSGWFAYKNLVFKPDR >Potri.014G106400.3.v4.1 pep chromosome:Pop_tri_v4:14:7145217:7148007:1 gene:Potri.014G106400.v4.1 transcript:Potri.014G106400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106400.v4.1 MASTSSTPLSISSSSSLIDAKASRQSAAASPQCVSLPTLPPPPVQPQNRPWKATAYCRKIARNVMTMATGEAPAEVASTELPEIVKTVQEAWDKVEDKYAVSSLVVAGGVALWGSTGLISAIDRLPLIPGVLELVGIGYSGWFAYKNLVFKPDREALITKIKDTYKEVIGSS >Potri.001G256800.1.v4.1 pep chromosome:Pop_tri_v4:1:27282533:27285963:1 gene:Potri.001G256800.v4.1 transcript:Potri.001G256800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256800.v4.1 MADRGAGGERGGDRGAFRRGFGGPRGERGGRGGRRRARRDEEEKWVPVTKLGRLVRDGKIRSLEQIYLHSLPIKEYQIIDTLVGPGMKDEVMKITPVQKQTRAGQRTRFKAFVVVGDGNGHVGLGVKCSKEVATAIRGAIILAKLSVIPVRRGYWGNKIGQPHTVPCKVTGKCGSVTVRMVPAPRGAGIVAARVPKKVLQFAGIEDVFTSSRGSTKTLGNFVKATFDCLLKTYGFLTPDFWTETRFIKSPFQEFTDLLAKPTKTLVLEDVERVEA >Potri.018G146001.1.v4.1 pep chromosome:Pop_tri_v4:18:15052002:15060502:-1 gene:Potri.018G146001.v4.1 transcript:Potri.018G146001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146001.v4.1 MEETLTPTKRKEPEKEEEEEEEKKEEKAEIVVAQQKQESALKKRNLTRTCVHEVVVPSGYVVNKDETLHGTMSNPLYNGEMAKSYKFELDPFQKVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFREKQRVIYTSPLKALSNQKYRELHQEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEILKEVAWVIFDEIHYMKDRERGVVWEESIIFMPPEIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPVGGAGLYLVVDESEQFREDNFMKLQDTFSKQKIGEGNKSANGKASGRIAKGGNASGGSDIYKIVKMIMERKFQPVIVFSFSRREVEQHAMSMSKLDFNTQEEKEIVEQVFNNAILCLNEEDRNLPAIELMLPLLQRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGHRGKDERGICIIMIDERMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIRNSFHQFQYEKALPDIGKKVSKLEEEAAMLDASG >Potri.007G044600.1.v4.1 pep chromosome:Pop_tri_v4:7:3901529:3902975:-1 gene:Potri.007G044600.v4.1 transcript:Potri.007G044600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044600.v4.1 MDVDMMKSSSGEENMDMMTVMMQMEKLPDFCSEPFHNTTNTSTILQEIQFSNGNPTSIVASPPIYHNNPHASSPPFINPPPCSMPFMGTPIQEPMTPPLQHNMMANKFKYSTPFSNANSFLSSIEKKNPTAEIRDMTFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERMRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEQAGANTPNGWFWLYRGDNA >Potri.006G076500.1.v4.1 pep chromosome:Pop_tri_v4:6:5649287:5650374:-1 gene:Potri.006G076500.v4.1 transcript:Potri.006G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076500.v4.1 MGCCLSCKSSTEFNNIRVVHLNGYVQEFQNPVSVSQVTTDQSSSKNLVFTAAQLLSTESKPLKPDAQLETGQLYFLLPYSILQPDVSPVDFLALVKRLSSIAKSSKCCQTQDNSSGTTSLLGQSNPMCRLSGRRSWKPVLDTIREKSFNQRSESDLREMDFETPNSSLRYTVFL >Potri.001G223724.1.v4.1 pep chromosome:Pop_tri_v4:1:24033729:24037527:-1 gene:Potri.001G223724.v4.1 transcript:Potri.001G223724.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223724.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLARATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVDYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWASGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEASARSRKKSRID >Potri.018G147625.1.v4.1 pep chromosome:Pop_tri_v4:18:15907197:15909818:1 gene:Potri.018G147625.v4.1 transcript:Potri.018G147625.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147625.v4.1 MQESQERAEYTLVARKPSFGLPTGCPICLPLFLYLKFSNFPFHSICLPCVCFLCFHFLLLCVDRSESLRKHQIPYIESGIYVAYNVENGGVIKSLKEDGIVDLDTDFSLLPEWISMKAMVSTWLADAIMDELWQRLGITKENTERREAEIYKRAKIAYGALSTTLGDHTFLFERPSSLDAYFLGHVLFTLQAFPESSMLRSALLEHGSKPKKQPKRERTEEEKTFRRRARYFLVTQVVAVLVFLSVMSSNDFSEVEVDDDEDEDEDEDKRLQL >Potri.003G056500.10.v4.1 pep chromosome:Pop_tri_v4:3:8274336:8279832:-1 gene:Potri.003G056500.v4.1 transcript:Potri.003G056500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056500.v4.1 MTKVGEEEIVYESDPEEEKRLLVRRRREAASDDEEGEGEEKPRNNRRAPIHSDESDGQGGAADYDDDEEEALNEEEDEEDGVFEDEEEEEVYEEEEGKEGNKEGVGGSGNAGVEVREKDIEGRKEQVGGEEKVEGEEGEEGKKGNQPFAVPTAGAFYMHDDRFRDSAGGRHRRTFGGRNLWESKDDKKWGHDKFEEMTSQERHYEQGRRTAKGNFRARGGKSRGPEWGYARRNRSNSFSDGNNQNQAPKVVRGRGPRKFESTFKSRRETPPVQNKQPGKPLEKRSHGSSGRILTSLSNTESDELPTRKHSSLSSASPPFYPSGSSNKDITSSQKRDIHGASTSKNLRTPVIDENFPMQQHSALVRGKNIADSVSIDKLYIDDSITPAAGKPLSNMQMPPSGSSVVNSITSSQSRAQGRSAAMSSQMTYQGAPQQNQINKTSPTAPIHSLQRIPAQNRIQPSAQQLVQHPSSDSPASSPPKTALSQNSYEAVEAEAAVESSKSRNALVGKGKGIIQGNGRGSFLYGGTQVVGATGNISVGHGDQNFSGTPTFLPVMQFGGQHPGGLGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYISVDGAYHARPSGKTSSLGSSSKESSTNIPNNEWKNTQRPEFASDEFGQRQKPRRYSEMDFKQPSTST >Potri.003G056500.11.v4.1 pep chromosome:Pop_tri_v4:3:8274344:8279830:-1 gene:Potri.003G056500.v4.1 transcript:Potri.003G056500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056500.v4.1 MTKVGEEEIVYESDPEEEKRLLVRRRREAASDDEEGEGEEKPRNNRRAPIHSDESDGQGGAADYDDDEEEALNEEEDEEDGVFEDEEEEEVYEEEEGKEGNKEGVGGSGNAGVEVREKDIEGRKEQVGGEEKVEGEEGEEGKKGNQPFAVPTAGAFYMHDDRFRDSAGGRHRRTFGGRNLWESKDDKKWGHDKFEEMTSQERHYEQGRRTAKGNFRARGGKSRGPEWGYARRNRSNSFSDGNNQNQAPKVVRGRGPRKFESTFKSRRETPPVQNKQPGKPLEKRSHGSSGRILTSLSNTESDELPTRKHSSLSSASPPFYPSGSSNKDITSSQKRDIHGASTSKNLRTPVIDENFPMQQHSALVRGKNIADSVSIDKLYIDDSITPAAGKPLSNMQMPPSGSSVVNSITSSQSRAQGRSAAMSSQMTYQGAPQQNQINKTSPTAPIHSLQRIPAQNRIQPSAQQLVQHPSSDSPASSPPKTALSQNSYEAVEAEAAVESSKSRNALVGKGKGIIQGNGRGSFLYGGTQVVGATGNISVGHGDQNFSGTPTFLPVMQFGGQHPGGLGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYISVDGAYHARPSGKTSSLGSSSKESSTNIPNNEWKNTQRPEFASDEFGQRQKPRRYSEMDFKQPSTST >Potri.003G056500.13.v4.1 pep chromosome:Pop_tri_v4:3:8274344:8279821:-1 gene:Potri.003G056500.v4.1 transcript:Potri.003G056500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056500.v4.1 MTKVGEEEIVYESDPEEEKRLLVRRRREAASDDEEGEGEEKPRNNRRAPIHSDESDGQGGAADYDDDEEEALNEEEDEEDGVFEDEEEEEVYEEEEGKEGNKEGVGGSGNAGVEVREKDIEGRKEQVGGEEKVEGEEGEEGKKGNQPFAVPTAGAFYMHDDRFRDSAGGRHRRTFGGRNLWESKDDKKWGHDKFEEMTSQERHYEQGRRTAKGNFRARGGKSRGPEWGYARRNRSNSFSDGNNQNQAPKVVRGRGPRKFESTFKSRRETPPVQNKQPGKPLEKRSHGSSGRILTSLSNTESDELPTRKHSSLSSASPPFYPSGSSNKDITSSQKRDIHGASTSKNLRTPVIDENFPMQQHSALVRGKNIADSVSIDKLYIDDSITPAAGKPLSNMQMPPSGSSVVNSITSSQSRAQGRSAAMSSQMTYQGAPQQNQINKTSPTAPIHSLQRIPAQNRIQPSAQQLVQHPSSDSPASSPPKTALSQNSYEAVEAEAAVESSKSRNALVGKGKGIIQGNGRGSFLYGGTQVVGATGNISVGHGDQNFSGTPTFLPVMQFGGQHPGGLGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYISVDGAYHARPSGKTSSLGSSSKESSTNIPNNEWKNTQRPEFASDEFGQRQKPRRYSEMDFKQPSTST >Potri.003G056500.5.v4.1 pep chromosome:Pop_tri_v4:3:8273926:8279884:-1 gene:Potri.003G056500.v4.1 transcript:Potri.003G056500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056500.v4.1 MTKVGEEEIVYESDPEEEKRLLVRRRREAASDDEEGEGEEKPRNNRRAPIHSDESDGQGGAADYDDDEEEALNEEEDEEDGVFEDEEEEEVYEEEEGKEGNKEGVGGSGNAGVEVREKDIEGRKEQVGGEEKVEGEEGEEGKKGNQPFAVPTAGAFYMHDDRFRDSAGGRHRRTFGGRNLWESKDDKKWGHDKFEEMTSQERHYEQGRRTAKGNFRARGGKSRGPEWGYARRNRSNSFSDGNNQNQAPKVVRGRGPRKFESTFKSRRETPPVQNKQPGKPLEKRSHGSSGRILTSLSNTESDELPTRKHSSLSSASPPFYPSGSSNKDITSSQKRDIHGASTSKNLRTPVIDENFPMQQHSALVRGKNIADSVSIDKLYIDDSITPAAGKPLSNMQMPPSGSSVVNSITSSQSRAQGRSAAMSSQMTYQGAPQQNQINKTSPTAPIHSLQRIPAQNRIQPSAQQLVQHPSSDSPASSPPKTALSQNSYEAVEAEAAVESSKSRNALVGKGKGIIQGNGRGSFLYGGTQVVGATGNISVGHGDQNFSGTPTFLPVMQFGGQHPGGLGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYISVDGAYHARPSGKTSSLGSSSKESSTNIPNNEWKNTQRPEFASDEFGQRQKPRRYSEMDFKQPSTST >Potri.003G056500.12.v4.1 pep chromosome:Pop_tri_v4:3:8274332:8279827:-1 gene:Potri.003G056500.v4.1 transcript:Potri.003G056500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056500.v4.1 MTKVGEEEIVYESDPEEEKRLLVRRRREAASDDEEGEGEEKPRNNRRAPIHSDESDGQGGAADYDDDEEEALNEEEDEEDGVFEDEEEEEVYEEEEGKEGNKEGVGGSGNAGVEVREKDIEGRKEQVGGEEKVEGEEGEEGKKGNQPFAVPTAGAFYMHDDRFRDSAGGRHRRTFGGRNLWESKDDKKWGHDKFEEMTSQERHYEQGRRTAKGNFRARGGKSRGPEWGYARRNRSNSFSDGNNQNQAPKVVRGRGPRKFESTFKSRRETPPVQNKQPGKPLEKRSHGSSGRILTSLSNTESDELPTRKHSSLSSASPPFYPSGSSNKDITSSQKRDIHGASTSKNLRTPVIDENFPMQQHSALVRGKNIADSVSIDKLYIDDSITPAAGKPLSNMQMPPSGSSVVNSITSSQSRAQGRSAAMSSQMTYQGAPQQNQINKTSPTAPIHSLQRIPAQNRIQPSAQQLVQHPSSDSPASSPPKTALSQNSYEAVEAEAAVESSKSRNALVGKGKGIIQGNGRGSFLYGGTQVVGATGNISVGHGDQNFSGTPTFLPVMQFGGQHPGGLGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYISVDGAYHARPSGKTSSLGSSSKESSTNIPNNEWKNTQRPEFASDEFGQRQKPRRYSEMDFKQPSTST >Potri.003G056500.8.v4.1 pep chromosome:Pop_tri_v4:3:8273975:8279833:-1 gene:Potri.003G056500.v4.1 transcript:Potri.003G056500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056500.v4.1 MTKVGEEEIVYESDPEEEKRLLVRRRREAASDDEEGEGEEKPRNNRRAPIHSDESDGQGGAADYDDDEEEALNEEEDEEDGVFEDEEEEEVYEEEEGKEGNKEGVGGSGNAGVEVREKDIEGRKEQVGGEEKVEGEEGEEGKKGNQPFAVPTAGAFYMHDDRFRDSAGGRHRRTFGGRNLWESKDDKKWGHDKFEEMTSQERHYEQGRRTAKGNFRARGGKSRGPEWGYARRNRSNSFSDGNNQNQAPKVVRGRGPRKFESTFKSRRETPPVQNKQPGKPLEKRSHGSSGRILTSLSNTESDELPTRKHSSLSSASPPFYPSGSSNKDITSSQKRDIHGASTSKNLRTPVIDENFPMQQHSALVRGKNIADSVSIDKLYIDDSITPAAGKPLSNMQMPPSGSSVVNSITSSQSRAQGRSAAMSSQMTYQGAPQQNQINKTSPTAPIHSLQRIPAQNRIQPSAQQLVQHPSSDSPASSPPKTALSQNSYEAVEAEAAVESSKSRNALVGKGKGIIQGNGRGSFLYGGTQVVGATGNISVGHGDQNFSGTPTFLPVMQFGGQHPGGLGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYISVDGAYHARPSGKTSSLGSSSKESSTNIPNNEWKNTQRPEFASDEFGQRQKPRRYSEMDFKQPSTST >Potri.003G056500.9.v4.1 pep chromosome:Pop_tri_v4:3:8274264:8279883:-1 gene:Potri.003G056500.v4.1 transcript:Potri.003G056500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056500.v4.1 MTKVGEEEIVYESDPEEEKRLLVRRRREAASDDEEGEGEEKPRNNRRAPIHSDESDGQGGAADYDDDEEEALNEEEDEEDGVFEDEEEEEVYEEEEGKEGNKEGVGGSGNAGVEVREKDIEGRKEQVGGEEKVEGEEGEEGKKGNQPFAVPTAGAFYMHDDRFRDSAGGRHRRTFGGRNLWESKDDKKWGHDKFEEMTSQERHYEQGRRTAKGNFRARGGKSRGPEWGYARRNRSNSFSDGNNQNQAPKVVRGRGPRKFESTFKSRRETPPVQNKQPGKPLEKRSHGSSGRILTSLSNTESDELPTRKHSSLSSASPPFYPSGSSNKDITSSQKRDIHGASTSKNLRTPVIDENFPMQQHSALVRGKNIADSVSIDKLYIDDSITPAAGKPLSNMQMPPSGSSVVNSITSSQSRAQGRSAAMSSQMTYQGAPQQNQINKTSPTAPIHSLQRIPAQNRIQPSAQQLVQHPSSDSPASSPPKTALSQNSYEAVEAEAAVESSKSRNALVGKGKGIIQGNGRGSFLYGGTQVVGATGNISVGHGDQNFSGTPTFLPVMQFGGQHPGGLGVPAVGMAFPGYVAQPQLGLGNSEMTWLPVLAGAAGALGATYCSPYISVDGAYHARPSGKTSSLGSSSKESSTNIPNNEWKNTQRPEFASDEFGQRQKPRRYSEMDFKQPSTST >Potri.013G110700.1.v4.1 pep chromosome:Pop_tri_v4:13:11885798:11888101:-1 gene:Potri.013G110700.v4.1 transcript:Potri.013G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110700.v4.1 MELMESQRNTANQQSNSSGNKDHHGQKQESVAASLQLVPLESRPSQLQQQHGSTTTTPTSQGPSMGSISCQIGTHPSTSTSNSAVTKSTTKRPSKDRHTKVDGRGRRIRMPAMCAARVFQLTRELGHKSDGETIEWLLQQAEPAIIATTGTGTIPANFSTLNVSLRSSGATISAPASKSAPLSFHGGLAFYDANNASETRRAMASNPPMLGFHHQLYPQNLVSDDNYMRKTFREDLFKETTQQQSTETIEASNSAKSRAGVQDQETAGSIRPTTNMLPTPMWAVAPAATTNGGNTFWMLPVGGGATPTSSVQEPQMWTFPAAAAGVPSMQRVNFGGGGGRVSSPVQLGSMIVQQQVGANQQLGLGISESNMGMILGGVNPYSSSRVGLGMNLEHHNQDNQPQGSDSGDENPNDSQ >Potri.014G036200.1.v4.1 pep chromosome:Pop_tri_v4:14:2276129:2280759:1 gene:Potri.014G036200.v4.1 transcript:Potri.014G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036200.v4.1 MGISRDVKIESKPERRLGGNRAAFFVYTMEGLENMAFVCMIASLMTYFSGYMNFSLTKSATTLTNFVGTSFLVALFGGFICDTYWTRFKTCVLFGCVEFLGYALLTVQAHFHQLRPIPCKDVSSDQCEAADGSQSAILFTGLYLIAFGTSGIKAALPSLGADQFDENDPKEAIQLSSFFNWFLLSLTVGAIIGVTVIVWLSANLGWDLGFGVCAIAVFFAIIFVGMGKSLYRNNVPKGSPLARIAQVFVAAIRNRDLPIPERAEELHEIHDKEAGVKQEILPRTGQFRFLDRAAIANTTDCASTSINHGPWRLCTVTQVEETKILLRMLPVILSTVFMNTCLAQLQTFSVQQSTTMDTNVFGFKVPGPSLPVIPLLFMFVMIPIYERFFVPLARKITGIPTGIRHLQRVGVGLVLSVISMAVSGVVETWRKSVAIEHNMVDSTEPLPMSFLWLGFQFAIFGAADMFTLVGLLEFFYAESSAGMKSLGTAISWCSVAFGYFLSSLVVQVVNKVTGGWLASDNLNRDKLNYFYWLLAGISTVNFGVYLTCASWYRYKDRGAMKQIENGGEDDAKGKVQMVEI >Potri.016G071900.1.v4.1 pep chromosome:Pop_tri_v4:16:5307854:5309757:-1 gene:Potri.016G071900.v4.1 transcript:Potri.016G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071900.v4.1 MASSLLLFLLSFLILITSSKSTTITIPLSAPSFNKLIVSSKKPWGSLNHLASLSLSRAHHIKSPKTNFSLIKTPLFPRSYGGYSISLNFGTPPQTTKFVMDTGSSLVWFPCTSRYLCSECNFPNIKKTGIPTFLPKLSSSSKLIGCKNPRCSMIFGPEIQSKCQECDSTAQNCTQTCPPYVIQYGSGSTAGLLLSETLDFPNKKTIPDFLVGCSIFSIKQPEGIAGFGRSPESLPSQLGLKKFSYCLVSHAFDDTPTSSDLVLDTGSGSGVTKTAGLSHTPFLKNPTTAFRDYYYVLLRNIVIGDTHVKVPYKFLVPGTDGNGGTIVDSGTTFTFMENPVYELVAKEFEKQMAHYTVATEIQNLTGLRPCYNISGEKSLSVPDLIFQFKGGAKMALPLSNYFSIVDSGVICLTIVSDNVAGPGLGGGPAIILGNYQQRNFYVEFDLENEKFGFKQQSCA >Potri.006G020100.3.v4.1 pep chromosome:Pop_tri_v4:6:1344622:1345922:-1 gene:Potri.006G020100.v4.1 transcript:Potri.006G020100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020100.v4.1 MVIKVVDLGCEKCHKKIKKVLCGIPQIQNQTYDKKENTVTITVVGCCPEKIKKKIYSKGGRTVKCVEIKPPPKEKPEPKPKPKPEKEPEPKPKPKPCTCCDKCRRGPCCHHFCTPTVPAYCYVPCRRSECDIWGDGCCSCRSRGYYVCRSAYVYEDYYPSAPCTIM >Potri.006G020100.2.v4.1 pep chromosome:Pop_tri_v4:6:1344622:1345925:-1 gene:Potri.006G020100.v4.1 transcript:Potri.006G020100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020100.v4.1 MVETKVTTMVIKVVDLGCEKCHKKIKKVLCGIPQIQNQTYDKKENTVTITVVGCCPEKIKKKIYSKGGRTVKCVEIKPPPKEKPEPKPKPKPEKEPEPKPKPKPCTCCDKCRRGPCCHHFCTPTVPAYCYVPCRRSECDIWGDGCCSCRSRGYYVCRSAYVYEDYYPSAPCTIM >Potri.006G204800.1.v4.1 pep chromosome:Pop_tri_v4:6:21322632:21328177:1 gene:Potri.006G204800.v4.1 transcript:Potri.006G204800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204800.v4.1 MEDTESKFKRVCVFCGSSSGKKASYQEAAVELAKELVERRIDLVYGGGSVGLMGLVSQAVHDGGRHVLGVIPRSLMPREVTGEPVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLNIHHKPVGLLNVDGYYNSLLSFIDKAVDEGFISPAARRIIVSASTAKQLFRQLEDYVPEHDEITAKLVWGEVDDRLTCVPESGVA >Potri.002G073450.1.v4.1 pep chromosome:Pop_tri_v4:2:5169306:5169947:-1 gene:Potri.002G073450.v4.1 transcript:Potri.002G073450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G073450.v4.1 QSAFIVGVLFDLYAFHRFTRNFLCPYPLGFDNNLKKPPIYALYPIILDNTCILCIIMTVGTKLADAYSLDIVIAFFSRKRSSQLTQASPHANSSFFSSTYGVLVVIFGYCSPLKGKFVHVTHLFTTENIISRLTCMC >Potri.011G087200.1.v4.1 pep chromosome:Pop_tri_v4:11:11095358:11098637:1 gene:Potri.011G087200.v4.1 transcript:Potri.011G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087200.v4.1 MMLRIKRVPTVVSNYQKEEGEEGSRRGGGCGRNCLQNCCLQGARLPLYTFKKVDRIITEQKDVFEHDKSEPPVAFLNSLLLGEWEDRMQRGLFRYDVTTCETKVIPGRNGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEILFQFGESEDGEVKFFPDATIDAENSPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDRDSFLLALHMAAEAGDPYFRLGYNSLGAFATINHLHFQAYYLTVPFPIEKASTKKITTLDGGVKISELVNYPVRGLFFEGGNALQDLSNTVSDACICLQENNIPYNVLIADCGNHIFLLPQCYAEKQALGEVSSELLDTQVNPAVWEISGHMVLKRKKDYEEASEENAWRLLAEVSLSEERFQEVNALIFKAISNSGNCSGTDSENVLEDANVEHTSLEEVNAINENSHSAMVTGSPGCLVLQ >Potri.008G102700.1.v4.1 pep chromosome:Pop_tri_v4:8:6486338:6490502:-1 gene:Potri.008G102700.v4.1 transcript:Potri.008G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102700.v4.1 MAPRDRDFEVDLESGVRNSVEDSCKDAGSGVKTPTKPLLANVCGAFADGTANGEERVNLCGYVSDSGGGSADHAKLEGEISVDQVEKKMVKEKHKKTSNKKPPRPPRGPSLDAADQKLIKEISELAMLKRARIERMKALKKLKATKPSSNNNIFAIVLTILFCLVILFQGMSSRATSASSMGSPVSSETAGDGLISVQYFGNPSASESNGPGSGYPNFIETIAGSDPPKNPRTVR >Potri.008G102700.3.v4.1 pep chromosome:Pop_tri_v4:8:6486464:6490625:-1 gene:Potri.008G102700.v4.1 transcript:Potri.008G102700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102700.v4.1 MAPRDRDFEVDLESGVRNSVEDSCKDAGSGVKTPTKPLLANVCGAFADGTANGEERVNLCGYVSDSGGGSADHAKLEGEISVDQVEKKMVKEKHKKTSNKKPPRPPRGPSLDAADQKLIKEISELAMLKRARIERMKALKKLKATKPSSNNNIFAIVLTILFCLVILFQVSCNSFCRNVIQSYICKLNGISCFIRDSW >Potri.007G018100.1.v4.1 pep chromosome:Pop_tri_v4:7:1396468:1403180:-1 gene:Potri.007G018100.v4.1 transcript:Potri.007G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018100.v4.1 MGTNSAYKLCSLVFLVVFLGCSELIQCSVTYDRKAIMINGQRRILFSGSIHYPRSTPDMWEDLIQKAKDGGIDVIETYVFWNVHEPTPGNYHFEGRYDIVRFMKTIQRAGLYAHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNEPFKRAMQGFTEKIVGLMKAEHLFESQGGPIILSQIENEYGVQSKLFGAAGYNYMTWAANMAIQTGTGVPWVMCKEDDAPDPVINTCNGFYCDSFAPNKPYKPTIWTEAWSGWFSEFGGTIHQRPVQDLAFAVAKFIQKGGSFINYYMFHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHRSIKMCERALVSVDPIITQLGTYQQVHVYSTESGDCAAFLANYDTKSAARVLFNNMHYNLPPWSISILPDCRNVVFNTAKVGVQTSQMEMLPTNGIFSWESYDEDISSLDDSSTFTTAGLLEQINVTRDASDYLWYMTSVDIGSSESFLHGGELPTLIIQSTGHAVHIFINGQLSGSAFGTRENRRFTYTGKVNLRPGTNRIALLSVAVGLPNVGGHYESWNTGILGPVALHGLDQGKWDLSWQKWTYQVGLKGEAMNLLSPDSVTSVEWMQSSLAAQRPQPLTWHKAYFNAPEGDEPLALDMEGMGKGQIWINGQSIGRYWTAYASGNCNGCSYAGTFRPTKCQLGCGQPTQRWYHVPRSWLKPTNNLLVVFEELGGDPSRISLVKRSLASVCAEVSEFHPTIKNWQIESYGRAEEFHSPKVHLRCSVGQSITSIKFASFGTPLGTCGSYQQGACHASTSYAILEKKCIGKQRCAVTISNSNFGQDPCPNVMKKLSVEAVCAPTNWRG >Potri.010G084300.1.v4.1 pep chromosome:Pop_tri_v4:10:11154599:11160569:1 gene:Potri.010G084300.v4.1 transcript:Potri.010G084300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084300.v4.1 MTDGNSKKSKLSWSKKMVRKWFNIKSKNEDFQADNIYGGGEVEYRTSFSEREPCTIKKSKTEKFSKNPEQFRRRRMNLDHPRIIDVQNHSIFVASWNVAGRSPPSNLSLDDWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWLALIRKTLNNLPGTSGSGGCYTPSPIPEPIVEIDADFEGSSRQKNASFFHRRSFQTTHSWRMDNDPSIPQPRLDRRFSVCDQVIFGHRASDYDPSNRWGNRSSDYSRPSDYSRPSDYSRWSSLDDDNGPGESPSTVLYSPGSYSASTEDGHGRPGHSRYCLVASKQMVGIFLTIWVKSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFICSHLTSGQKEGDELRRNVDVMEILKKTRFPRVNNAGDEKSPETILEHDRVIWLGDLNYRIALSYRAAKALVEMQNWRALLENDQLRIEQRRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKDKRRTPAWCDRILWFGEGLHQLSYVRGESRFSDHRPVYGIFSAEVESSHDQLRKSTSYSSSRIEVEELFPYSHGYTELNFF >Potri.010G084300.2.v4.1 pep chromosome:Pop_tri_v4:10:11154708:11160051:1 gene:Potri.010G084300.v4.1 transcript:Potri.010G084300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084300.v4.1 MTDGNSKKSKLSWSKKMVRKWFNIKSKNEDFQADNIYGGGEVEYRTSFSEREPCTIKKSKTAEKFSKNPEQFRRRRMNLDHPRIIDVQNHSIFVASWNVAGRSPPSNLSLDDWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWLALIRKTLNNLPGTSGSGGCYTPSPIPEPIVEIDADFEGSSRQKNASFFHRRSFQTTHSWRMDNDPSIPQPRLDRRFSVCDQVIFGHRASDYDPSNRWGNRSSDYSRPSDYSRPSDYSRWSSLDDDNGPGESPSTVLYSPGSYSASTEDGHGRPGHSRYCLVASKQMVGIFLTIWVKSELRDHVKNMKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFICSHLTSGQKEGDELRRNVDVMEILKKTRFPRVNNAGDEKSPETILEHDRVIWLGDLNYRIALSYRAAKALVEMQNWRALLENDQLRIEQRRGRVFVGWNEGKIYFPPTYKYSTNSDRYAGDDMHPKDKRRTPAWCDRILWFGEGLHQLSYVRGESRFSDHRPVYGIFSAEVESSHDQLRKSTSYSSSRIEVEELFPYSHGYTELNFF >Potri.009G053500.1.v4.1 pep chromosome:Pop_tri_v4:9:5776759:5779435:1 gene:Potri.009G053500.v4.1 transcript:Potri.009G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053500.v4.1 MAPLSPMESISFTKDMSNWIDTEDSFSSLLEFAADNNVEGFKRSVFDESEIKEVGLWYGRLGASRKMVLEQRTPLMIAAKYGSADVLKLLLSLPEVDVNFCCGPDKSTALHCAASGGSVNATNVVKLLLLAGADSNATDANRCRPIDVVVAPSKFPDLKGALEELLNNGSVCQWDTMPVSSPSWRPSSPSLSSSTDEGSLSSPAGSILSPVTCKPNDVHVSPAKKEYPVDPTIPDIKNCVYASDEFRMFSFKIRPCCRAYAHDWTGCPFVHPGENARRRDPRKFHYSCMPCPDHKKGTCRRGDLCEYAHGIFECWLHPSQYKTRLCKEGRSCMRRVCFFAHAPDEQRPLNMSTGAAVSSSKVDAMDFTAASNLSPSSFSPTSPSTFAALKYLSSNNSHSLVPWPRQTIPNFHSSLQASCLRSSLNARDISSEDLTGLWDFGFQQRRPPLNEPSPLSQPLYNGSSTNLFSSSNTLNHSNLDKIFSENVSSPHHTDQLGGGGAFVFSPTYSSAALNQLQQQQSIIYPMQGVSPYINDHVSSLGFQLSAHVQREKMLQQLQSSLLSQKLGSKASYDLGFNGTNSRSIWESDDRNVDRFVQADEMGRIHTPCSIKHDGEEPDVSWVHQVLKD >Potri.014G121601.1.v4.1 pep chromosome:Pop_tri_v4:14:8180856:8185064:1 gene:Potri.014G121601.v4.1 transcript:Potri.014G121601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121601.v4.1 MENVEGDNGGAGGGSGGDDSSDEDWGNNAEKDVSEEEDVDLMDEEESDDGKKGKRGGKDSRKRKASGEGGKLDLGKKGKSGGDANGVFNGFENALMTDASERFSTREAEKFPFLGRERRDAKRRRPGDVDYDPRTLYLPAEFAKSLTGGQRQWWEFKSKHMDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGEQPHCGFPEKNFSLNVEKLARKGYRVLVAEQTETPEQLELRHKEKGSKDKVVKREICAVITKGTLTEGEIILGQFGDDAECSSLCCLLSELRHVEIVKPAKMLSSETERVMVRHTRNPLVNELAPLSEFWDADKTVQEVKTIYKRIGDLSASGPLNKTDLDTTNLNVGEYRPSCLPSILSEFVNKGENGSLALSALGGALYYLKQAFLDETLLRFAKFDSLPCSDFCEVAKKPYMILDAAALENLEIFENSRNGDTSGTLYAQLNHCVTAFGKRLLKTWLARPLYHLESIKDCQDAVAGLRGVNQPMMVEFQKVLSRLPDIEQLLARIFSTR >Potri.009G098100.2.v4.1 pep chromosome:Pop_tri_v4:9:8788630:8791627:-1 gene:Potri.009G098100.v4.1 transcript:Potri.009G098100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098100.v4.1 MASLYRSFAFLATFYFLSVCLAIDMSIIDYNLKHGQVPERTEAETLRLYEMWLVKYGKAYNALGEKERRFEIFKDNLKFVDQHNSVGNPSYKLGLNKFADLSNEEYRAAYLGTRMDGKRRLLGGPKSARYLFKDCDDLPESVDWREKGAVAPVKDQGQCGSCWAFSTVGAVEGINQIVTGNLTSLSEQELVDCDKVYNQGCNGGLMDYAFEFIMKNGGIDTEEDYPYKAVDSMCDPNRKNARVVTIDGYEDVPQNDEKSLRKAVANQPVSVAIEAGGRAFQLYQSGVFTGSCGTQLDHGVVVVGYGTENGVDYWVVRNSWGPAWGENGYIRMERNVASTETGKCGIAMEASYPTKKGANPPNPGPSPPSPVNPSPPPSSECDDYYSCPAGSTCCCIYPYGDYCFGWGCCPLESATCCDDHNSCCPHEYPVCDLEAGTCRMSKNNPFGVKALTRAPARIAQSHQLGGKRPAGRRLGIV >Potri.007G074201.1.v4.1 pep chromosome:Pop_tri_v4:7:9711654:9714342:-1 gene:Potri.007G074201.v4.1 transcript:Potri.007G074201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074201.v4.1 MASRRVLKDILLRRVTSSPASGVRHCFSSSSSPETAPKIPHFSKKGRLLTGTTIGLVIAGGAYVSTVDEATFCGWLFNATKLMNPFFALLDAELAHKLAVSAAARGWVPREKRPDPSVLGLEVWGRKFSNPIGLAAGFDKNAEAVDGLLGLGFGIVEVGSVTPVPQEGNPKPRIFRLHQEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETSSASSTSNTKVIHGGKAGPGILGVNLGKNKTSEDAAADYV >Potri.009G168400.1.v4.1 pep chromosome:Pop_tri_v4:9:12831054:12832677:1 gene:Potri.009G168400.v4.1 transcript:Potri.009G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168400.v4.1 MAICCFPSPLKPMTPATPLTNLKPKRPDILRCNFSLPTITTTEPEPVFTSVRSFAPATVANLGPGFDFLGCAVDGLGDFVSLRVDPSVHPGELSISDISGPKKLSKNPLYNCAGIAAIATMKMLNIRSVGLSLSLEKGLPLGSGLGSSAASAAAAAVAVNELFGRKLEVKDLVLAGLESEAKVSGYHADNIAPAIMGGFVLIRSYDPLELMSLQFPVEKDLIFVLVSPDFEAPTKKMRAALPAEIGMPHHVWNCSQAGAFVASVLQGDLVGLGKALSSDKIVEPKRAPLIPGMEGVKKAALEAGAFGCTISGAGPTAVAVVDSEERGVEIGERMVETFWKEGKLKAVASVKRLDRVGARLVGSVPR >Potri.001G080200.7.v4.1 pep chromosome:Pop_tri_v4:1:6374665:6383464:-1 gene:Potri.001G080200.v4.1 transcript:Potri.001G080200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080200.v4.1 MPGIPLVTRETSSYSRSTIDQMCREDARGGGVLHLTEEEEIAAEESLSIYCKPVELYNILQRRSIGNPSFLQRCLLYKIQAKNKRRIQMTISMLVTLNGVVQSHNIFPLYVLLARLVSNIGVLEYSAVYRFSQPCVLTGFAGVEGSAQVQANFVLPEMNKLASEVKSGSLHVLLVSFAGAQSSMHGIDLTKGHLENVGGCCLLGKIPLDSLCNFWEKSPNLGLGQRAEVTSPVDMNACFLKLNCLTEDNCVLIQIPFNSETVNTSQLQVNISAEEVGAKEKSSYTCSDMSSSSSSHVIRLRAGNVIFNYRYYNNKLQKTEVTEDFSCPFCLVKCASFKGLRYHLPSSHDLFDFEFWITQEFQAVNISVKTDIWRSKTVADGIDPKQQTFFCSKKPKRKRPKNLIPNAKNAHDKTLSRQRGAGELLDKIGGGKDLPTTSMCGTEYGEHNLSRFYVSGVSGSAAQAYPDAECVQMVPGNNLAPPAMLQFAKTRKLSIERSDMRNRMLLHKRQFFHSHRAQSMEIEQVMSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHLWNSFVRKQRVLADGHIPWACEAFTRLHGHDLFLAPALMWCWRLFMIKLWNHGLLDARTMNLCNMILEQYQKQDLDPMKN >Potri.009G064500.1.v4.1 pep chromosome:Pop_tri_v4:9:6527979:6531593:-1 gene:Potri.009G064500.v4.1 transcript:Potri.009G064500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064500.v4.1 MDTSRSLKTLNITTPLPFNFRSRASLLRPFCLSQLKKHFNPSLPLSQCYSPFCSQSGRGNGNSLPDGVGKGVNEVSRNKKLLQVVLVSPQIPGNTGCIARTCAATSVGLHLVGPLGFQVDDAKLKRAGLDYWPYVVVKVHDSWAEFQDYFRQQEGDKRLLAFTKRGTTTHSEFSYRKGDYLVFGSETSGLPPEALLDCKSEMFGGGMIRIPMVETYVRCLNLSVSVGIALYEASRQLNYEQLQFPSEDFVNGGEQSFITEDIFA >Potri.002G042100.1.v4.1 pep chromosome:Pop_tri_v4:2:2761713:2763486:1 gene:Potri.002G042100.v4.1 transcript:Potri.002G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G042100.v4.1 MSIIELLASIALVVSLFFYLTKNSNSKASSKSNPYLPKPYPLIGSSVAIYANRNRVIQWTSDLIQNSPTATIVLHRFLDDSRVHTGNPANVQHILKTQFHNYGKGSKFRRILFDFLGNGIFNIDGDSWKFQRQVSSNEFNTKSLRKFVETLVDTELSQRLIPILSSAAANNTVLDLQDILQRFAFDNICNIAFGYDPAYLLPDLPEAEFAKTFDDAAKISSERLNSVFPYLWKIKRVLNIGSEKRLKEASSQLRQFAKNIIKEKKQELSKKPSLESVDLLSRFLSSGHSDEDFVTDIVISFILAGRDTTSAALTWYFWLLSQNQEVEKEVLREIKEKSESPVYEEVKDMVYTHASLCESMRLYPPVPIDSKVAKHDDVLPDGTVVKKGMRVSYHPYAMGRLEVLWGSDWEKFKPERWLESAADGATKNGKWSFVGRDPYTYPVFQAGPRICLGKDMAFLQMKKVVAGILRRFKVVPVAEDGFEPEFVAYLTGKMKGGFPVRFEKRADGKNSLDCQHL >Potri.001G349400.4.v4.1 pep chromosome:Pop_tri_v4:1:36162409:36167681:1 gene:Potri.001G349400.v4.1 transcript:Potri.001G349400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349400.v4.1 MSALLNSGLNGSASNLPDNTARSFATSFSGQSGAAASPVFHHSGGTIQGLHNIHGSFNVPNMPGTFASRNSTINNIPSGGVQQPTGSLSSGRFASNNLPVGLSQLSHGSSHGHSGVTNRGGLSVVGNPGYGSNTNGIGGSIPGILPTSAGIGNRNAVPGLGVSQILGNTGPRITSSGGNMVGGGNIGRSLSSGGGLSVPGLASRLNLTANSGSGSLGMQGQNRLMTGVLPQGNPQVIPMLGNSYPTAIGPLSQSHVQGVNNLSSMGMLNDVISNDSSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKPGLGVSPIVQQSQEFSIQNEDFPALPGFKGGNADYTMDLHQKEQLHDNTLSMMQSQHFSIGRSAGFNLGEAYSSYRPQQQQQHAPAVSSGVSFASVNNQDLHGSELFPSSHSAYHPQTSGPPGIGLRPLNSPNTVSGVGSYDQLIQQYQHQNQPQFRLQQMSTVNQPFRDQGIKSMQTAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSAESLHKTFGSPWSDEPAKGDPEFNVPKCYYAKPPPPLDHNYFSKLWTETLLYAFYSMPKDEAQLHAANILYERGWLYHKEQRRWLKRVPNTEPLVKTSAYERGSYHCFEPNTFEITLKENFVLHYEMVEKRPGLPQH >Potri.001G349400.1.v4.1 pep chromosome:Pop_tri_v4:1:36162175:36167682:1 gene:Potri.001G349400.v4.1 transcript:Potri.001G349400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349400.v4.1 MSALLNSGLNGSASNLPDNTARSFATSFSGQSGAAASPVFHHSGGTIQGLHNIHGSFNVPNMPGTFASRNSTINNIPSGGVQQPTGSLSSGRFASNNLPVGLSQLSHGSSHGHSGVTNRGGLSVVGNPGYGSNTNGIGGSIPGILPTSAGIGNRNAVPGLGVSQILGNTGPRITSSGGNMVGGGNIGRSLSSGGGLSVPGLASRLNLTANSGSGSLGMQGQNRLMTGVLPQGNPQVIPMLGNSYPTAIGPLSQSHVQGVNNLSSMGMLNDVISNDSSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKPGLGVSPIVQQSQEFSIQNEDFPALPGFKGGNADYTMDLHQKEQLHDNTLSMMQSQHFSIGRSAGFNLGEAYSSYRPQQQQQHAPAVSSGVSFASVNNQDLHGSELFPSSHSAYHPQTSGPPGIGLRPLNSPNTVSGVGSYDQLIQQYQHQNQPQFRLQQMSTVNQPFRDQGIKSMQTAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSAESLHKTFGSPWSDEPAKGDPEFNVPKCYYAKPPPPLDHNYFSKLWTETLLYAFYSMPKDEAQLHAANILYERGWLYHKEQRRWLKRVPNTEPLVKTSAYERGSYHCFEPNTFEITLKENFVLHYEMVEKRPGLPQH >Potri.001G349400.7.v4.1 pep chromosome:Pop_tri_v4:1:36162240:36167782:1 gene:Potri.001G349400.v4.1 transcript:Potri.001G349400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349400.v4.1 MSALLNSGLNGSASNLPDNTARSFATSFSGQSGAAASPVFHHSGGTIQGLHNIHGSFNVPNMPGTFASRNSTINNIPSGGVQQPTGSLSSGRFASNNLPVGLSQLSHGSSHGHSGVTNRGGLSVVGNPGYGSNTNGIGGSIPGILPTSAGIGNRNAVPGLGVSQILGNTGPRITSSGGNMVGGGNIGRSLSSGGGLSVPGLASRLNLTANSGSGSLGMQGQNRLMTGVLPQGNPQVIPMLGNSYPTAIGPLSQSHVQGVNNLSSMGMLNDVISNDSSPFDINNDFPQLTSRPSSAGGPQGQLGSLRKPGLGVSPIVQQSQEFSIQNEDFPALPGFKGGNADYTMDLHQKEQLHDNTLSMMQSQHFSIGRSAGFNLGEAYSSYRPQQQQQHAPAVSSGVSFASVNNQDLHGSELFPSSHSAYHPQTSGPPGIGLRPLNSPNTVSGVGSYDQLIQQYQHQNQPQFRLQQMSTVNQPFRDQGIKSMQTAQSAPDPFGLLGLLSVIRMSDPDLTSLALGIDLTTLGLNLNSAESLHKTFGSPWSDEPAKGDPEFNVPKCYYAKPPPPLDHNYFSKLWTETLLYAFYSMPKDEAQLHAANILYERGWLYHKEQRRWLKRVPNTEPLVKTSAYERGSYHCFEPNTFEITLKENFVLHYEMVEKRPGLPQH >Potri.001G452800.2.v4.1 pep chromosome:Pop_tri_v4:1:47979380:47982167:-1 gene:Potri.001G452800.v4.1 transcript:Potri.001G452800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452800.v4.1 MQNDVSDRDSTTRAGAGGLALTEVDLEKQDNSKALPQEGGNEILSGNSGFPPVIAIAFSNGESTAHVAASKEELPGVDSPRKGSLSRTSSLHEQCRVCQQEKEEVLIDLGCKCKGGLAKAHRTCIDTWFSRRGSNKCEICQAVAVNVSPPESQPSTNYWVWRIDPNLRPRDHNRGCFSPLWVAFSILTGGLLLDVLISITLGVSALPVNIIIGVIVVLGLGTALRLALDFCIKWSFRRPVQRADANVNHGYHPAL >Potri.002G245400.3.v4.1 pep chromosome:Pop_tri_v4:2:23657043:23660784:1 gene:Potri.002G245400.v4.1 transcript:Potri.002G245400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245400.v4.1 MINPNDPQENPDLVSDYAAPDQAPPFRAKAPPEEAAGQGAVRQAHEHRTSREESDLKQFANSPARNENLNRRASYEPAPQRYGGRGPSFGEAHKRPARYSIGSENSMEQSPIHNHARISGRNSGAPSPSWEGKNSNDGSHGTPGRSRLRPKGDESPDKGAAVPKFGDWDENNPSSADGYTHIFNKVREEKQIGEGKMPGMPTESSNAYVRKQTPSDSAKCCCFPWGRN >Potri.002G245400.2.v4.1 pep chromosome:Pop_tri_v4:2:23657109:23661500:1 gene:Potri.002G245400.v4.1 transcript:Potri.002G245400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245400.v4.1 MAQRSHVPKFGNWESEENVPYTAYFDKARKGRTGGKMINPNDPQENPDLVSDYAAPDQAPPFRAKAPPEEAAGQGAVRQAHEHRTSREESDLKQFANSPARNENLNRRASYEPAPQRYGGRGPSFGEAHKRPARYSIGSENSMEQSPIHNHARISGRNSGAPSPSWEGKNSNDGSHGTPGRSRLRPKGDESPDKGAAVPKFGDWDENNPSSADGYTHIFNKVREEKQIGEGKMPGMPTESSNAYVRKQTPSDSAKCCCFPWGRN >Potri.003G117700.1.v4.1 pep chromosome:Pop_tri_v4:3:13972956:13975980:-1 gene:Potri.003G117700.v4.1 transcript:Potri.003G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117700.v4.1 MTRTLLSRTRASQPDEETKQTTTISSGGTAMRVIVPLQGVVQGRGGLFLGSVIPCALFYFLQLYLRRKHRDDPNDQDDPARDPNAPSPSGSAGKLAELSGFPRNLSRNLLSPRTPRGPVFLSGRANGIVKSGDSPYYVGLKKVLEDPYDEAGNPNGVIQLGVDENKLTLDLVKEWLVENAKEAILGGGGEGEELSISGIATYQPSHGLTQLKVAVAGFMSQIMENAVSFNPSLMVLTAGANPAIEMLSFCLADSGNAFLVPTPYYPGFDWDVKWRTGVEIIPVPCRSADNFSLSITALDRGFNQAKKRGLKVRGIMISNPSNPVGNLLNRETLYSLLNFAREKNIHIVSNEIFAGSTHGSEEFASMAELIDSDYLDRDRVHIVYGLSKDLSLPGFRVGVIYSFNENVLAAAKKLTRFSSVSAPTQHLLVSLLSDTDFVQKLIKNNRERLQKTYATFVAGLKQLGIECAKSKGGFSCWADMSELINSYSEKGELELWDKLLNTAKLNVTPGSCCRCIEPGWFQFCFANLTERDIPVVMDRILKMVNVCKASS >Potri.018G105500.2.v4.1 pep chromosome:Pop_tri_v4:18:12457772:12461932:1 gene:Potri.018G105500.v4.1 transcript:Potri.018G105500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105500.v4.1 MVRPSRETPNRSLWSSNLDLLVPMFHVQTVYFYKPNGSSRFFETQVLKDALSDVLVPFYPAAGRMGKHESGRTEIHCNGEGILFVEAETSCFIDDLGDFTDSSKLLPLVPEVDYSGGISSFPLVVLQVTHFKCGAVSLGVGLHHILADGTSALHFINSWSDVARGLPVSTPPFIDRTLLRARDPPNPTFHHVEYDPPPTMNTPPQSQPKTTCTKILKITPEQLGSLKAKVRTEDGAVRHSTYETITAHIWRSMCKARGLSDDQASKLYISTDGRSRLNPQLPPGYLGNVLFTTTVMGLSGEIQSKPLARTMERIHEALVRMDDEYLRSALDYIEAQPDLNALKRGPHTYASPNLNIVSWIRLPVHDADFGWGRPVFMGPARVFCEGNAYILRSPVNDGSLSLFICLEAQHMPLFEKCLYDF >Potri.018G105500.1.v4.1 pep chromosome:Pop_tri_v4:18:12457265:12461930:1 gene:Potri.018G105500.v4.1 transcript:Potri.018G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105500.v4.1 MKVDVKQSTMVRPSRETPNRSLWSSNLDLLVPMFHVQTVYFYKPNGSSRFFETQVLKDALSDVLVPFYPAAGRMGKHESGRTEIHCNGEGILFVEAETSCFIDDLGDFTDSSKLLPLVPEVDYSGGISSFPLVVLQVTHFKCGAVSLGVGLHHILADGTSALHFINSWSDVARGLPVSTPPFIDRTLLRARDPPNPTFHHVEYDPPPTMNTPPQSQPKTTCTKILKITPEQLGSLKAKVRTEDGAVRHSTYETITAHIWRSMCKARGLSDDQASKLYISTDGRSRLNPQLPPGYLGNVLFTTTVMGLSGEIQSKPLARTMERIHEALVRMDDEYLRSALDYIEAQPDLNALKRGPHTYASPNLNIVSWIRLPVHDADFGWGRPVFMGPARVFCEGNAYILRSPVNDGSLSLFICLEAQHMPLFEKCLYDF >Potri.017G111600.1.v4.1 pep chromosome:Pop_tri_v4:17:11938572:11939992:1 gene:Potri.017G111600.v4.1 transcript:Potri.017G111600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111600.v4.1 MSTMLLFLLILLLISSSVLAASNPFSNAMEILSTSGYLSMALTLEITSKRLHLESSAATIFAPLDIAFARLGQLSVLDLQYHISLVRLSGYYLDSLPFGTRIPTLLPNHSLIVTTSLSYFDGKLSINGISIEESALVDFGSLIIFGMSEFFNSSLEISPNLTPAPAPSPSPVTSLGNTSQNESTGLDVDFFGQASHLLMPRGYSIMGTFLDAQLFGIKNQTRLTIFAPVDQAMDAYAKNVSDYSSIFRKHVVPGLFPRQDLEGFNDGTSLPTFSGGFMINLTKSGDVLVLNGVPVIFPDMYQSDWLIIHGLNQLLMPPLKEEELVGESFSELDGAEDKPDVLDFDDYVYGAP >Potri.019G038184.1.v4.1 pep chromosome:Pop_tri_v4:19:5256244:5258716:-1 gene:Potri.019G038184.v4.1 transcript:Potri.019G038184.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038184.v4.1 MPLKRSREQGTPTMYKSNLQAVCQQRGWELPTYQVTKQGQDHSPLFSATVTVNATSFSSPSPSSSSKKAQSEAAKLAYDHFSLISSPSASLSVSAGSTGGSAGKNTRQSNENPTPLSNTNPTAGKNTRQSHENPTPLSNANPTAGKNTRQSHDNPTPLSKTNPTPLSNKAGAVAKTDESFGGMFKNQLQTYAQKRNFTLPVYSCERLGPPHAIQFKCKVTVNGQTYESREYFPTLNKAENAAAKAALMSLLPNGVEEDESGYKNLLQDMARREGCGFPTYFTEKSGEAHAPTFISKVEIDGVSFTGKEARNKKQAEMSAAKIAYTARRR >Potri.011G135300.2.v4.1 pep chromosome:Pop_tri_v4:11:16773138:16781625:-1 gene:Potri.011G135300.v4.1 transcript:Potri.011G135300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135300.v4.1 MWRDPGQPADSYYQVRPECTDVPKSKFKIKAGRTLSSRKWQAAFTPEGYLDISKTLSRIYRGGIHPSIRGEVWEFLLGCYDPKSTFDERDQIRQRRRVQYVRWKEECRQIFPVVGSGKFITAPVITEDGQPIQEPLVILETNQDRGPSQDGNSAEIGSSHAYATNQSRTNASCSEMVKELTSHGPLDHKVIQWMLTLHQIGLDVHRTDRTLVFYEKQENLSKLWDILAVYAWIDTDVGYCQGMSDLCSPMIMLLEDEADAFWCFERLMRRLRGNFRCTGRTVGVETQLSNLAEITQVIDPKLHQHLDALGGGDYLFAFRMLMVLFRREFSFCDSLYLWEMMWALEYDPDLFSVYEELELNGEKHEGSKGRVKSIRHYGKFERENMKNGAANSEGPLPMSVFLVASVLKDKSSKLLHEARGLDDVVRILNDMTGNLDAKKACSGAMKLHRKYLKKAKKA >Potri.013G028950.1.v4.1 pep chromosome:Pop_tri_v4:13:1880329:1881987:-1 gene:Potri.013G028950.v4.1 transcript:Potri.013G028950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028950.v4.1 MLRKYSRNASTWLLEAQKAFILKLRRSGWILYYYFAIMQQLDEQRNGWTSQREKQTFTLNTVFILNRHLEARSRFV >Potri.015G099500.4.v4.1 pep chromosome:Pop_tri_v4:15:11949713:11951874:1 gene:Potri.015G099500.v4.1 transcript:Potri.015G099500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099500.v4.1 MHCEGCARKVRRCLKGFEGVEDVATDCKASKVVVKGEKADPLKVLERIQRKSHRQVVLISPIPKPPSEEEKKAEEKEKPKVEEKKEEPPVIRLVVLRVSMHCEACAMEIKKRILRMKGVESAEPDLKSSEVTVKGVFEPQKLVEYVYKRTGKHAVIVKQEPEMKEEEKGKESKEEKKGEEGDKQNKGGDGGAGEQGENKDKKEAAAGGGDSEAKAEAKEATAAEETTEETTVVELRKMDFYNYYCPPRYEYYAHPQIFSDENPNACSVM >Potri.015G099500.1.v4.1 pep chromosome:Pop_tri_v4:15:11949713:11951992:1 gene:Potri.015G099500.v4.1 transcript:Potri.015G099500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099500.v4.1 MGEEEKKPAAEEVKKPEEPKKVEEEKKEEKPAEKPAAEEKKDEKKPEETKDKSPPPPQEIVLKVYMHCEGCARKVRRCLKGFEGVEDVATDCKASKVVVKGEKADPLKVLERIQRKSHRQVVLISPIPKPPSEEEKKAEEKEKPKVEEKKEEPPVIRLVVLRVSMHCEACAMEIKKRILRMKGVESAEPDLKSSEVTVKGVFEPQKLVEYVYKRTGKHAVIVKQEPEMKEEEKGKESKEEKKGEEGDKQNKGGDGGAGEQGENKDKKEAAAGGGDSEAKAEAKEATAAEETTEETTVVELRKMDFYNYYCPPRYEYYAHPQIFSDENPNACSVM >Potri.015G099500.8.v4.1 pep chromosome:Pop_tri_v4:15:11949713:11951915:1 gene:Potri.015G099500.v4.1 transcript:Potri.015G099500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099500.v4.1 MCAYSFLFFTPFCVFVDEQLISGVEDVATDCKASKVVVKGEKADPLKVLERIQRKSHRQVVLISPIPKPPSEEEKKAEEKEKPKVEEKKEEPPVIRLVVLRVSMHCEACAMEIKKRILRMKGVESAEPDLKSSEVTVKGVFEPQKLVEYVYKRTGKHAVIVKQEPEMKEEEKGKESKEEKKGEEGDKQNKGGDGGAGEQGENKDKKEAAAGGGDSEAKAEAKEATAAEETTEETTVVELRKMDFYNYYCPPRYEYYAHPQIFSDENPNACSVM >Potri.015G099500.9.v4.1 pep chromosome:Pop_tri_v4:15:11949713:11951873:1 gene:Potri.015G099500.v4.1 transcript:Potri.015G099500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099500.v4.1 MCAYSFLFFTPFCVFVDEQLISGVEDVATDCKASKVVVKGEKADPLKVLERIQRKSHRQVVLISPIPKPPSEEEKKAEEKEKPKVEEKKEEPPVIRLVVLRVSMHCEACAMEIKKRILRMKGVESAEPDLKSSEVTVKGVFEPQKLVEYVYKRTGKHAVIVKQEPEMKEEEKGKESKEEKKGEEGDKQNKGGDGGAGEQGENKDKKEAAAGGGDSEAKAEAKEATAAEETTEETTVVELRKMDFYNYYCPPRYEYYAHPQIFSDENPNACSVM >Potri.T124505.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_522:35886:36365:-1 gene:Potri.T124505.v4.1 transcript:Potri.T124505.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124505.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLHPRPLGRGHVCLGVTHRRPHSPQLTRAGADTGLPLAVGPKSSPRRRSPRQAVVERPSDTNLNPHIIKIQSIQSTVHSKANLTQTHNIKVV >Potri.T124505.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_522:36087:36365:-1 gene:Potri.T124505.v4.1 transcript:Potri.T124505.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124505.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLHPRPLGRGHVCLGVTHRRPHSPQLTRAGADTGLPLAVGPKSSPRRRSPRQAVVERPSDT >Potri.007G108601.1.v4.1 pep chromosome:Pop_tri_v4:7:13090739:13093454:-1 gene:Potri.007G108601.v4.1 transcript:Potri.007G108601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108601.v4.1 MSSTAGQVIRCKAAVAWEAGKPLVMEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLNDGKSRFSIKGQPIYHFVGTSTFSEYTVVHVGCVAKINPAAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNSNRFDEAKKFGVTEFVNPKDHNKPVQEVIAEMTNGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPMNVLNERTLKGTFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVPFSEINKAFEYMLSGAGLRCIIRMGA >Potri.004G059100.2.v4.1 pep chromosome:Pop_tri_v4:4:4941582:4946991:1 gene:Potri.004G059100.v4.1 transcript:Potri.004G059100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059100.v4.1 MAAILFHIFLAVFWVHFAQATGSLLSPKGVNYEVAALMAVKKEMRDESGVMNGWDLNSVDPCTWNMVGCSPEGFVFSLEMASARLSGTLSPSIANLSHLRTMLLQNNHLSGPIPEEIGKLSDLQTLDLSGNQFVGGIPSSLGFLTHLSYLRLSKNKLTGQIPRLVANLTGLSFLDLSFNNLSGPTPKILAKGYSIAGNRYLCTSSHAQNCTGISNPVNETLSSEQARSHHRWVLSVAIGISCTFVISVMLLVCWVHWYRSRLLFISYVQQDYEFDIGHLKRFSFRELQIATNNFSPKNILGQGGYGVVYKGCLPNKTFIAVKRLKDPNFTGEVQFQTEVEMIGLALHRNLLCLYGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRIHIALGAARGLLYLHEQCNPKIIHRDVKAANILLDEGFEAVVGDFGLAKLLDLRDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQKALDAGNGQVQKGMILDWVRTLHEEKRLEVLVDRDLKGCFDVSELEKAVDLALQCTQSHPNLRPKMSEVLKVLEGIVGQPAIEESQGATSIGEARACNCSRHCSDVHEESSFIIEAMELSGPR >Potri.005G200700.2.v4.1 pep chromosome:Pop_tri_v4:5:20656208:20658869:-1 gene:Potri.005G200700.v4.1 transcript:Potri.005G200700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200700.v4.1 MGSTFFQRPLLGCNSLSFQGPALHVHHGDTIYVTVHNKGRYNITIHWHGVKLTGYPWSDGPEYITQCPIQPGGKFKQKIIFSTEEGTLWWHAHSDWSRATVHGPIIVYPKINGTGYPFSKPLVEVPIILGEWWKRDVMDVLQEAVITGGDPAVSDAFTINGQPGDLYPCSKSETIKLNVHQGNSYLLRIVNAALNTILFFSVAKHNLTVVGIDGSYAKQLTSGYITIASGQTIDAVLHANQDPNHYYMAARAFTSSPSVAFDNTTATAIVQYSGDYTLSSFPSLPQLPYYDDTNAAYSFLSSLRSLADEDHPVRVPSNITTRIVSTLSVNALPCHRNRSCEGPNGTILAASMNNITFVNPSIDILEAYYKHIHGVYGADFPSFPPLVFNFTADNLPLILEVSKTGTEVKILPFNSAVEIIFQGTNVVAGDDHPMHLHGYSFYIVGWGYGNFDKDKDPQNYNLIDPPFRNTVTVPRNGWTTIRFEATNPGVWFMHCHFDRHLVWGMETVFIVQDGTEARLSPPPPDMPPC >Potri.005G200700.1.v4.1 pep chromosome:Pop_tri_v4:5:20656208:20658869:-1 gene:Potri.005G200700.v4.1 transcript:Potri.005G200700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200700.v4.1 MLFCKKTLVFKILWVLLFFSVHCLAATHYHFKVMEAPYTRLCSKKKILTVNGQFPGPALHVHHGDTIYVTVHNKGRYNITIHWHGVKLTGYPWSDGPEYITQCPIQPGGKFKQKIIFSTEEGTLWWHAHSDWSRATVHGPIIVYPKINGTGYPFSKPLVEVPIILGEWWKRDVMDVLQEAVITGGDPAVSDAFTINGQPGDLYPCSKSETIKLNVHQGNSYLLRIVNAALNTILFFSVAKHNLTVVGIDGSYAKQLTSGYITIASGQTIDAVLHANQDPNHYYMAARAFTSSPSVAFDNTTATAIVQYSGDYTLSSFPSLPQLPYYDDTNAAYSFLSSLRSLADEDHPVRVPSNITTRIVSTLSVNALPCHRNRSCEGPNGTILAASMNNITFVNPSIDILEAYYKHIHGVYGADFPSFPPLVFNFTADNLPLILEVSKTGTEVKILPFNSAVEIIFQGTNVVAGDDHPMHLHGYSFYIVGWGYGNFDKDKDPQNYNLIDPPFRNTVTVPRNGWTTIRFEATNPGVWFMHCHFDRHLVWGMETVFIVQDGTEARLSPPPPDMPPC >Potri.017G000200.1.v4.1 pep chromosome:Pop_tri_v4:17:13472:14756:1 gene:Potri.017G000200.v4.1 transcript:Potri.017G000200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000200.v4.1 MATATMAATAAKLIKGGGSPQMLLSSFKLAFSFSCCPIIIHKKKKPINTIPLLISFSAARHNCHLHHSFCTTANVNADAISTSDSEPQSGGGGGGDANSDDTGTSMSKIKDAGNLLDIRVGRIIKAWRHEEADSLYVEQVDVGEAEPRIICSGLVKYIPLDHLQHRDVVVLANLKPRNMRGVKSNGMLMAASGASHENVELLHPPDGSIPGDRIWFGSPDDQTNLPDPASPNQIQKKKIWESVQPHLKTDDSCMAILGGEHFMRTSAGVVASKSLNNANIS >Potri.006G136600.1.v4.1 pep chromosome:Pop_tri_v4:6:11233778:11240316:-1 gene:Potri.006G136600.v4.1 transcript:Potri.006G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136600.v4.1 MVGTPVTPASKMIQRTPSTTPGGGTRVREEKILVTVRVRPLSRREQALYDLIAWDCPDDHTILFKNPNQERPATSYKFDKVFDPSCSTLKVYEEGAKNVALSALTGINATIFAYGQTSSGKTYTMRGITENAVTDIFEHIKNTQERVFILKVSALEIYNENVIDLLNRESGHLRLLDDPERGIIVEKLVEEVVKDIHHLRHLIGICEAQRQVGETSLNDKSSRSHQIIRLTVESSLREKSGCVKSFLASLNLVDLAGSERASQTNADGARFKEGSHINRSLLTLTTVIRKLSGGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTMSPALSHVEQTRNTLSFATSAKEVTNNAQINMVVSDKKLVKHLQKEVERLEAELRSPEPSSASYLQSLLIEKNLQIEQMEREMKELKRQRDHAQSQLEEERIARKEQKGTNQCGPSGQVARCLSFPVESGQVVGGKPTEAQQMNVVGRQAMVRQSVTSTDPSMLVQEIRKLEQRQRQLGVEANRALEILHKEVSSHRLGNQATAETIANMLSDIKEMQVVSTFAGEIVNGEKANAASLKEEITRLNSHECTIVSLERKLDNVQKSIDMLVSSFSSTEETPNSKVQLKKKIFPFALNNSSSMQNIIRSPCSPLTSSGGVVDNEIENRAPENSNALFCSNSLARPSEATPPKSGKNGNRTPSREVTPASGSNSVNVKKMQRMFKNAAEENIRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANEEATTETENMDQSPMPWHLVFDDQRKQIIMLWHLCHVSIIHRTQFYLLFRGEPGDQIYLEVELRRLTWLEQHLAELGNASPALLGDEPASSVSSSIKALRQEREYLAKRVNSKLTVDEREMLYVKWEIPQGGKQRRLQLVNKLWTDPLNMQHIKESAEIVAKLVGFCESGEHVSKEMFELNFANPCDKKTWMGWNLISSLLNL >Potri.010G028401.1.v4.1 pep chromosome:Pop_tri_v4:10:4059247:4059408:1 gene:Potri.010G028401.v4.1 transcript:Potri.010G028401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028401.v4.1 MPTKRGCCGLVGVFVSGLGVTPEVLDWSRGETWMICAGSSCGCYGDKVMVYMG >Potri.002G246000.1.v4.1 pep chromosome:Pop_tri_v4:2:23707301:23709191:-1 gene:Potri.002G246000.v4.1 transcript:Potri.002G246000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246000.v4.1 MGSSGMKPLEENAKAPPPPPPSSFSENWKERILIPTLLAGITGGGVGLVSKHRKVHGLANISTTYATNFSIVTGCYCGAREFVRVVRKSEPDDLVNSAVAGFGSGALLGRLQGGQFGAFRYSVIFAVVGTTVDFATIKLRPALSNFKESIFKEKEKKPGWLKLPEWSPIQVLDEEALAAKEAREKELYARSALGKLSKEES >Potri.017G130400.3.v4.1 pep chromosome:Pop_tri_v4:17:13344068:13349224:-1 gene:Potri.017G130400.v4.1 transcript:Potri.017G130400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130400.v4.1 MAQLNGSKVIPIKSEQEFNDIGDWSNELDHLPLLQRRKLLLSGKPNTTSNVDVVVRKEYEDSQEVSVSASSANVREGCKVAVNQCLQNGIGGQDLVKSGDCSIQGTKVNAYVCLDQNSMVQSQPAEAKFECSYNNVQSTLGDSMTGSAGADLQTIKVKSNSSVDCADDLDHVVLRVRQGMLLSRKMLRLEKTNLEGLNMESNSCQKLHFMPATADSMASSSLSTMINVKAEPLDNNELHNSDRISVSNFSINKVPVKTELEIPNKLYKDKLDHMQLQDRIKMPTKWKSSKSKISGNSECLRKAIPSDVEYGSTVPDPIRFIQPRKRKKTATDSAETALEEDAPGLLQVLVEQGVSLEEIKLYGEADNDEAIDESFIEDGFGELEAVMSKLLFQRSSLLKLAPIQCAKASRPSYCLECLFSLVEQTRHLRFRNWPAEWGWCRDLQSFVFVFKKHNRIVLERPEYGYATYFFELVDSISIDWQIKRLVTAMKLTNCGRVSVVENRPLSVGEDITEGEAQVLMQYGWTPNSGLGTMLNYCDRVFHDRKNEKDSSEWRSKIGKLLMNGYNGGSIVSNNIETELIQHTSAESPQIKMEL >Potri.017G130400.1.v4.1 pep chromosome:Pop_tri_v4:17:13344125:13349215:-1 gene:Potri.017G130400.v4.1 transcript:Potri.017G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130400.v4.1 MAQLNGSKVIPIKSEQEFNDIGDWSNELDHLPLLQRRKLLLSGKPNTTSNVDVVVRKEYEDSQEVSVSASSANVREGCKVAVNQCLQNGIGGQDLVKSGDCSIQGTKVNAYVCLDQSQPAEAKFECSYNNVQSTLGDSMTGSAGADLQTIKVKSNSSVDCADDLDHVVLRVRQGMLLSRKMLRLEKTNLEGLNMESNSCQKLHFMPATADSMASSSLSTMINVKAEPLDNNELHNSDRISVSNFSINKVPVKTELEIPNKLYKDKLDHMQLQDRIKMPTKWKSSKSKISGNSECLRKAIPSDVEYGSTVPDPIRFIQPRKRKKTATDSAETALEEDAPGLLQVLVEQGVSLEEIKLYGEADNDEAIDESFIEDGFGELEAVMSKLLFQRSSLLKLAPIQCAKASRPSYCLECLFSLVEQTRHLRFRNWPAEWGWCRDLQSFVFVFKKHNRIVLERPEYGYATYFFELVDSISIDWQIKRLVTAMKLTNCGRVSVVENRPLSVGEDITEGEAQVLMQYGWTPNSGLGTMLNYCDRVFHDRKNEKDSSEWRSKIGKLLMNGYNGGSIVSNNIETELIQHTSAESPQIKMEL >Potri.001G316800.1.v4.1 pep chromosome:Pop_tri_v4:1:32709996:32714738:-1 gene:Potri.001G316800.v4.1 transcript:Potri.001G316800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G316800.v4.1 MRRRLGHHRQHGKQGGVGGRGLLLKLLIAVFVVLLICTLSLLFSATITATNGSNAPSEINVEKLWESANSGGWRPSSSPRTDWPSPPKETRGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFHGIYDVEHFIQSLRFDVRIVERIPEIRKNGKTKKIKAFQLRPPRDAPISWYTTEALEKMKEHGAIYLTPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRSQGHFMAIHLRFEMDMLAFAGCFDIFSPAEQKILKKYRMENFAKKTLIYKERRAIGKCPLAPEEVGLLLRAMGFNNSTRMYLAAGELFGGEHFMKPFRALFPHLENHSSVDSSEELAANARGLIGSAVDYMVCLLADIFMPTYDGPSNFANNLLGHRLYYGFRTNIRPDRKGLAPVFTAREEGQTAGFEEAVRRVMLKTNFGGPHKRISPESFYTNSWPECFCQMETRNPDDKCPSENVMQILHSQLESENMDSEIYNQSDSVVSLAER >Potri.004G017100.1.v4.1 pep chromosome:Pop_tri_v4:4:1223798:1226301:1 gene:Potri.004G017100.v4.1 transcript:Potri.004G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017100.v4.1 MDIISQLQEQVNQIAGLTFNTFGTLQRDAPSARLSQNYPEPPPNPTEDAASFPEQPKQMSAALVKAAKQFDALVAALPLSEGGEEAQLKRIAELQAENDAVGQELQRQLEAAERELKLVQELFGQTTDNCLNLKKPD >Potri.018G145560.3.v4.1 pep chromosome:Pop_tri_v4:18:15410694:15418849:1 gene:Potri.018G145560.v4.1 transcript:Potri.018G145560.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145560.v4.1 MSGAPVKRSHEEGSHSSSLKFPPHEDTGSYPKLTSGVSNEFHLPYEMGPDARVAKIPRTESRDVDRRSPLHSMYRIPPSSNESHMDSHLNVAPERRPESRDSKDCRDYRIENREPRTDAREMYGEAKRDSQSVKNEKDVRFDSRGDDNKEVKHDREARIEPKNDMKIEKDGFGPASSQVNWKEPKEYHRGKRCLESAGVHVDPWHISRGNSQGPVEIEKEVVSIEERDHAKVHEAVGENKVELKGDDRFKDKDRKRKDLKLREWGDRDKERSDRRGSMQVGNSIAEGKELVKEEREGERWEWERKDLSKDRERLKEREKDHMKIESGTGAEKEGLHNEKESLDGSVRISEQENPALEPKKQKDFDNWKNVDKEAKDKKKEREAGIEGDRPEKGSTMCGKESDDGCADGEIATERERGVFNYGVQQRKRMLRPRGSPQVANCEPCFRSHTQDSEGCQGKSEVSSVIYKVSECMQELIKLWKEYEASQSDKNSESSHKGPTLEIRIPAEHITATNRQVRGGQLWGTDIYTNDSDLVAVLMHTGYFRPTASPPPPAIQDLCATIRVLPPQDSYISMLRNNVRSRAWGAGIGCSYRVERCCIMKKGGGTIDLEPCLTHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIIADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKVMQASQVHEETNKIHNHHPHSSNGEKHDFDNVLIDVFRWSRCKKPLPQKVMQSVGIPLPLEHVEVLEENLDWEDVQWSQTGVWIDGKEFTLARVRFLSPS >Potri.018G145560.2.v4.1 pep chromosome:Pop_tri_v4:18:15410683:15418889:1 gene:Potri.018G145560.v4.1 transcript:Potri.018G145560.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145560.v4.1 MSGAPVKRSHEEGSHSSSLKFPPHEDTGSYPKLTSGVSNEFHLPYEMGPDARVAKIPRTESRDVDRRSPLHSMYRIPPSSNESHMDSHLNVAPERRPESRDSKDCRDYRIENREPRTDAREMYGEAKRDSQSVKNEKDVRFDSRGDDNKEVKHDREARIEPKNDMKIEKDGFGPASSQVNWKEPKEYHRGKRCLESAGVHVDPWHISRGNSQGPVEIEKEVVSIEERDHAKVHEAVGENKVELKGDDRFKDKDRKRKDLKLREWGDRDKERSDRRGSMQVGNSIAEGKELVKEEREGERWEWERKDLSKDRERLKEREKDHMKIESGTGAEKEGLHNEKESLDGSVRISEQENPALEPKKQKDFDNWKNVDKEAKDKKKEREAGIEGDRPEKGSTMCGKESDDGCADGEIATERERGVFNYGVQQRKRMLRPRGSPQVANCEPCFRSHTQDSEGCQGKSEVSSVIYKVSECMQELIKLWKEYEASQSDKNSESSHKGPTLEIRIPAEHITATNRQVRGGQLWGTDIYTNDSDLVAVLMHTGYFRPTASPPPPAIQDLCATIRVLPPQDSYISMLRNNVRSRAWGAGIGCSYRVERCCIMKKGGGTIDLEPCLTHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIIADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKVMQASQVHEETNKIHNHHPHSSNGEKHDFDNVLIDVFRWSRCKKPLPQKVMQSVGIPLPLEHVEVLEENLDWEDVQWSQTGVWIDGKEFTLARVRFLSPS >Potri.018G145560.1.v4.1 pep chromosome:Pop_tri_v4:18:15411120:15418871:1 gene:Potri.018G145560.v4.1 transcript:Potri.018G145560.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145560.v4.1 MSGAPVKRSHEEGSHSSSLKFPPHEDTGSYPKLTSGVSNEFHLPYEMGPDARVAKIPRTESRDVDRRSPLHSMYRIPPSSNESHMDSHLNVAPERRPESRDSKDCRDYRIENREPRTDAREMYGEAKRDSQSVKNEKDVRFDSRGDDNKEVKHDREARIEPKNDMKIEKDGFGPASSQVNWKEPKEYHRGKRCLESAGVHVDPWHISRGNSQGPVEIEKEVVSIEERDHAKVHEAVGENKVELKGDDRFKDKDRKRKDLKLREWGDRDKERSDRRGSMQVGNSIAEGKELVKEEREGERWEWERKDLSKDRERLKEREKDHMKIESGTGAEKEGLHNEKESLDGSVRISEQENPALEPKKQKDFDNWKNVDKEAKDKKKEREAGIEGDRPEKGSTMCGKESDDGCADGEIATERERGVFNYGVQQRKRMLRPRGSPQVANCEPCFRSHTQDSEGCQGKSEVSSVIYKVSECMQELIKLWKEYEASQSDKNSESSHKGPTLEIRIPAEHITATNRQVRGGQLWGTDIYTNDSDLVAVLMHTGYFRPTASPPPPAIQDLCATIRVLPPQDSYISMLRNNVRSRAWGAGIGCSYRVERCCIMKKGGGTIDLEPCLTHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIIADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKVMQASQVHEETNKIHNHHPHSSNGEKHDFDNVLIDVFRWSRCKKPLPQKVMQSVGIPLPLEHVEVLEENLDWEDVQWSQTGVWIDGKEFTLARVRFLSPS >Potri.014G082600.1.v4.1 pep chromosome:Pop_tri_v4:14:5312333:5314716:-1 gene:Potri.014G082600.v4.1 transcript:Potri.014G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082600.v4.1 MEEPQPVHDHDQDPPIPQNPPVQNTDPNPNPDPPITAPSEPPAEPAPATAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPAPEPAPAPAPAPPTPPPAAPAPPPPPAAPAPPPPPAAPAPPPPPAAPIPPPQPPPPSVPSESKKRPLDNCGPVQECSYFKMRAVVKDIRPHVLEMLGTVDFRSCKGAEELQEKLKLLMELYKQMTAEKATITKWKITPNSNESGVGLKPQEQLQETADQSQPGQVFAKPSEKQQAEHSENQGTHIVGGSAFGWNFITFPGSKPIYYGRTKELFRAARVTL >Potri.016G068300.1.v4.1 pep chromosome:Pop_tri_v4:16:4844561:4846842:1 gene:Potri.016G068300.v4.1 transcript:Potri.016G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068300.v4.1 MSAFSVAASTITSSPLHTKLSKLSFTHSQLLIPSYFLSKPFLKPLKPLKLNSQNSNSLPHSHGTFAATFDNFEVETDENIAAQDDPQSETDEFDQEIVEEEEEEEDVVAIKAREEGKLYVGNLPYSMTSSELAEVFEEAGRVFGAEVICDRVTDRSRGFGFVTMESVEEAKEAIRMFNGSQVGGRTLRVNFPEVPRGGEREVMEPRIRSGYKGFIDSEHKIYAGNLGWRLTSEGLGDAFANQPGLLSAKVIYERDTGRSRGFGFVSFDSAENAEAALEAMNGEEVDGRPLRLNLAGERSYPPPAKENNTEDNLESSELLSSIGA >Potri.002G079500.1.v4.1 pep chromosome:Pop_tri_v4:2:5590372:5592753:-1 gene:Potri.002G079500.v4.1 transcript:Potri.002G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079500.v4.1 MNTSLRNHQVFDISIDTHQQGGSKWFDDDGRLKRTGTVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMFLFSFVTCYTSTLLSACYRSGDPITGKRNYTYMDAVRSNLGGVKVKICGFVQYLNLFGVAIGYTIASSISMMAIKRSNCFHKSGGQDPCHMNAYPYMIGFGIAEILLSQIPGFDQLHWLSLVAAVMSFTYSSIGLGLGIGKVIENGKISGSLTGISIGTVTQTQKIWKSFQALGDIAFAYSFSMILVEIQDTIKAPPSEAKTMKKATLISVVVTTFFYMFCGCFGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVYCQPLYAFIEKEAAQRFPDSEFITKDIKIPIPGFRPYNLNLFRMIWRTLFVVLTTVISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYIVQKKIRKWSTRWLCLQILSVACLIISIAAAAGSVAGIVGDLKSIKPFQTSY >Potri.001G274500.6.v4.1 pep chromosome:Pop_tri_v4:1:28796816:28810210:-1 gene:Potri.001G274500.v4.1 transcript:Potri.001G274500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274500.v4.1 MIASHYVPRISWLKQLLSHVDLDTRESAARLLGIACSAIPPATSSDLISELLSAISKTSNLRFEALHGILCAIGYATAECMSIAVAIPGTLFQKILKCLTDIANSETATLASIAMQALGHIGLRAPLPPLVDDSSSVDILILLNEKLSKLLSGDDNKAIQKIVISLGHICVKETSPSLLNIALDLIFSLCRSKVEDVLFAAGEALSFLWGGIPVTADVILKTNYSSLSMTSNFLLGDISLSLSKYNPNEKCEANEDYHATIRDSITRKLFETLLYSSRKEERCAGTVWLLSLTMYCGRHPTIQQMLPQIQEAFSHLLGEQNELTQELASQGMSIVYELGDAAMKKTLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGESLSGGKLSTYKELCSLANEMGQPDMIYKFMDLANHQASLNSKRGAAFGFSKIAKQAGDALQPHLQLLIPRLVRYQYDPDKNVQDAMAHIWKSLVADPKRTIDQHLDLIVDDLIIQCGSRLWRSREASCLALADIIQGRKFKQVGKHLKKIWTAAFRAMDDIKETVRNAGDRLCRAISSLTIRLCDISLTEVSDAREAMGIVLPLLLADGILSKVDSIRKASIGVVMKLAKGAGIALRPHLSDLVCCMLESLSSLEDQGLNYVELHAENVGIQSEKLENLRISIAKSSPMWETLDLCINVINTESLNLLVPRLAHLVRSGVGLNTRVGVASFISLLIPKVGADVKPFTSILLRVLFPVVKEEKSAAAKRAFASACAVVLKHAGHSQAQKLIEDTAALHTGEKNAQISCAILLKSYYSVASDVLSGYHAVIFPVIFISRFEDDKNISGLFEELWEDSTSGERVTIHLYLGEIVSLICEGLASSSWTSKRKSAQAICKLSEVMGESLSSYHHVLLDSVMKELPGRLWEGKESLLNAIGALSSSCHKAISSENPVTSDAILNMVSSACTKKVKKYREAAFSSLDQVIKAFGDPKFFNVIFPLLFGMCDSTAANKSGSALASDAAKTDNVDPAVPLEKILGCVMSCIHVAHLNDIFEQKKNLMDLLLISLSPGFQWTVKLSAFSLIKELCSRLQSILVEASKGASQHDSATSFVQELFYSVSPKIVECISTIKIAQVHISASECLLEVTGLASVRWTDVGFKEELLHQYEVEKNEEAKSYLKKCIDIFENLE >Potri.001G274500.2.v4.1 pep chromosome:Pop_tri_v4:1:28796585:28820375:-1 gene:Potri.001G274500.v4.1 transcript:Potri.001G274500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274500.v4.1 MAESSSSSSSPVVKSDAETEELLDRMLTRLALCDDSKLETLLSKILPLTISSLSSNSTAVRNKVLEILSHVNKRVKYQHEIGLPLQELWKLYTEANATAIVKNFCIVYIEMAFERVNIKEKENMAPVLVANISKLPLQHQEIILRIVTKVIGECHASGIDEEVAVKYRSVNGSQDRELFAEFCLHLMLYKQSSQGGGCSPGLSIAQSNRVAGKNPLKNEELLMRKLGVLNVVDAMELGPEPVYPLYLVASADSQEAVIKKGEELLRKKAASANLDDSNLMNKLFLLFNGTTSTGNVAPESKVNPASVSLKTKLMSVFCRSITAANSFPATLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAKSDQLKLMGPVILTGILKLLDSYSSSESDAIARDTKTFSFQAIGLLGQRLPHLFRDKIDMAVRLFDALKAEAESLRFVIQEATNSLAAAYKGAPATVLMDLETLLLNNFQAEQNEVRLCAVRWATSLFDLKHCPSRFICMLGVADSRLDIREMALEGLFLDKDMGRSRRQNIDFIYPKLGEMLDYIVKQQPKLLESSEMREQKLLFSSKMYVAMINFLLKCFESELDQNNSLGRSTEFLSSVETMCLLLEHAMAYEGSVELHATASKALITIGSYLPEMIASHYVPRISWLKQLLSHVDLDTRESAARLLGIACSAIPPATSSDLISELLSAISKTSNLRFEALHGILCAIGYATAECMSIAVAIPGTLFQKILKCLTDIANSETATLASIAMQALGHIGLRAPLPPLVDDSSSVDILILLNEKLSKLLSGDDNKAIQKIVISLGHICVKETSPSLLNIALDLIFSLCRSKVEDVLFAAGEALSFLWGGIPVTADVILKTNYSSLSMTSNFLLGDISLSLSKYNPNEKCEANEDYHATIRDSITRKLFETLLYSSRKEERCAGTVWLLSLTMYCGRHPTIQQMLPQIQEAFSHLLGEQNELTQELASQGMSIVYELGDAAMKKTLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGESLSGGKLSTYKELCSLANEMGQPDMIYKFMDLANHQASLNSKRGAAFGFSKIAKQAGDALQPHLQLLIPRLVRYQYDPDKNVQDAMAHIWKSLVADPKRTIDQHLDLIVDDLIIQCGSRLWRSREASCLALADIIQGRKFKQVGKHLKKIWTAAFRAMDDIKETVRNAGDRLCRAISSLTIRLCDISLTEVSDAREAMGIVLPLLLADGILSKVDSIRKASIGVVMKLAKGAGIALRPHLSDLVCCMLESLSSLEDQGLNYVELHAENVGIQSEKLENLRISIAKSSPMWETLDLCINVINTESLNLLVPRLAHLVRSGVGLNTRVGVASFISLLIPKVGADVKPFTSILLRVLFPVVKEEKSAAAKRAFASACAVVLKHAGHSQAQKLIEDTAALHTGEKNAQISCAILLKSYYSVASDVLSGYHAVIFPVIFISRFEDDKNISGLFEELWEDSTSGERVTIHLYLGEIVSLICEGLASSSWTSKRKSAQAICKLSEVMGESLSSYHHVLLDSVMKELPGRLWEGKESLLNAIGALSSSCHKAISSENPVTSDAILNMVSSACTKKVKKYREAAFSSLDQVIKAFGDPKFFNVIFPLLFGMCDSTAANKSGSALASDAAKTDNVDPAVPLEKILGCVMSCIHVAHLNDIFEQKKNLMDLLLISLSPGFQWTVKLSAFSLIKELCSRLQSILVEASKGASQHDSATSFVQELFYSVSPKIVECISTIKIAQVHISASECLLEVTGLASVRWTDVGFKEELLHQYEVEKNEEAKSYLKKCIDIFENLE >Potri.001G274500.5.v4.1 pep chromosome:Pop_tri_v4:1:28796749:28820306:-1 gene:Potri.001G274500.v4.1 transcript:Potri.001G274500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274500.v4.1 MAESSSSSSSPVVKSDAETEELLDRMLTRLALCDDSKLETLLSKILPLTISSLSSNSTAVRNKVLEILSHVNKRVKYQHEIGLPLQELWKLYTEANATAIVKNFCIVYIEMAFERVNIKEKENMAPVLVANISKLPLQHQEIILRIVTKVIGECHASGIDEEVAVKYRSVNGSQDRELFAEFCLHLMLYKQSSQGGGCSPGLSIAQSNRVAGKNPLKNEELLMRKLGVLNVVDAMELGPEPVYPLYLVASADSQEAVIKKGEELLRKKAASANLDDSNLMNKLFLLFNGTTSTGNVAPESKVNPASVSLKTKLMSVFCRSITAANSFPATLQCIFGCIYGSGTTSRLKQLGMEFTVWVFKHAKSDQLKLMGPVILTGILKLLDSYSSSESDAIARDTKTFSFQAIGLLGQRLPHLFRDKIDMAVRLFDALKAEAESLRFVIQEATNSLAAAYKGAPATVLMDLETLLLNNFQAEQNEVRLCAVRWATSLFDLKHCPSRFICMLGVADSRLDIREMALEGLFLDKDMGRSRRQNIDFIYPKLGEMLDYIVKQQPKLLESSEMREQKLLFSSKMYVAMINFLLKCFESELDQNNSLGRSTEFLSSVETMCLLLEHAMAYEGSVELHATASKALITIGSYLPEMIASHYVPRISWLKQLLSHVDLDTRESAARLLGIACSAIPPATSSDLISELLSAISKTSNLRFEALHGILCAIGYATAECMSIAVAIPGTLFQKILKCLTDIANSETATLASIAMQALGHIGLRAPLPPLVDDSSSGVDILILLNEKLSKLLSGDDNKAIQKIVISLGHICVKETSPSLLNIALDLIFSLCRSKVEDVLFAAGEALSFLWGGIPVTADVILKTNYSSLSMTSNFLLGDISLSLSKYNPNEKCEANEDYHATIRDSITRKLFETLLYSSRKEERCAGTVWLLSLTMYCGRHPTIQQMLPQIQEAFSHLLGEQNELTQELASQGMSIVYELGDAAMKKTLVDALVTTLTGSGKRKRAIKLVEDSEVFQEGTIGESLSGGKLSTYKELCSLANEMGQPDMIYKFMDLANHQASLNSKRGAAFGFSKIAKQAGDALQPHLQLLIPRLVRYQYDPDKNVQDAMAHIWKSLVADPKRTIDQHLDLIVDDLIIQCGSRLWRSREASCLALADIIQGRKFKQVGKHLKKIWTAAFRAMDDIKETVRNAGDRLCRAISSLTIRLCDISLTEVSDAREAMGIVLPLLLADGILSKVDSIRKASIGVVMKLAKGAGIALRPHLSDLVCCMLESLSSLEDQGLNYVELHAENVGIQSEKLENLRISIAKSSPMWETLDLCINVINTESLNLLVPRLAHLVRSGVGLNTRVGVASFISLLIPKVGADVKPFTSILLRVLFPVVKEEKSAAAKRAFASACAVVLKHAGHSQAQKLIEDTAALHTGEKNAQISCAILLKSYYSVASDVLSGYHAVIFPVIFISRFEDDKNISGLFEELWEDSTSGERVTIHLYLGEIVSLICEGLASSSWTSKRKSAQAICKLSEVMGESLSSYHHVLLDSVMKELPGRLWEGKESLLNAIGALSSSCHKAISSENPVTSDAILNMVSSACTKKVKKYREAAFSSLDQVIKAFGDPKFFNVIFPLLFGMCDSTAANKSGSALASDAAKTDNVDPAVPLEKILGCVMSCIHVAHLNDIFEQKKNLMDLLLISLSPGFQWTVKLSAFSLIKELCSRLQSILVEASKGASQHDSATSFVQELFYSVSPKIVECISTIKIAQVHISASECLLEVTGLASVRWTDVGFKEELLHQYEVEKNEEAKSYLKKCIDIFENLE >Potri.012G129066.1.v4.1 pep chromosome:Pop_tri_v4:12:14453168:14455123:-1 gene:Potri.012G129066.v4.1 transcript:Potri.012G129066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129066.v4.1 MLSVYENAEPTMKSGCQSQCFSCHGQEKSAVSLCMVAKSLEESRSKDVCRHGASHRIAESYIAMNMILQAIRFGDC >Potri.012G129066.2.v4.1 pep chromosome:Pop_tri_v4:12:14454453:14454869:-1 gene:Potri.012G129066.v4.1 transcript:Potri.012G129066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129066.v4.1 MLSVYENAEPTMKSGCQSQCFSCHGQEKSAVSLCMVAKSLEESRSKDVCRHGASHRIAESYIAMNMILQAIRFGDC >Potri.006G208251.1.v4.1 pep chromosome:Pop_tri_v4:6:21620170:21622954:1 gene:Potri.006G208251.v4.1 transcript:Potri.006G208251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208251.v4.1 MLKYRGVFRLSSSTIGLQPTRNQKHQLLYSPLIIKRELVCATSFLIVFDLFGPFDPLSVFRFGFLSQILSSNEKSSRLACDYSHGRWVRDESNKNQSYTESCPFLDPGFRCVSKWKKVSELAMAARRVCELLERSRNGGIVFAGDFVGRNQWEPFLCMLAQGVSNKSSIHEEYGNPMTKHTRAPFLPDHLHWFSKKWEGADVLVVSTGHWGYYFEDKGKVTVSLNVMEAFKKSLQTPKLWIENLNPERTRVFWLLSSTLQWDEGGRCDMDRQPLTNYTMLEPEPVHNQIISTVIKEMDYGDRKFSYDGHPSRHREPGTPVDTPQDCSHWCLPGIPDIWNEILYANLLSMGFRTK >Potri.006G054800.2.v4.1 pep chromosome:Pop_tri_v4:6:3864907:3873629:-1 gene:Potri.006G054800.v4.1 transcript:Potri.006G054800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054800.v4.1 MITISKWKCSWSLMATIASIVALVSVVHLFLFPVVPSFDPFSVWQVQDSCGPNNESVDGRTGHDPGNLQPVLDLEHKFPADLHRAVFYRNAPWKAEIGRWLSGCDAVTKEVSVVETISGRSCKNDCSGQGVCNYELGQCRCFHGFSGEGCSERLHLECNYPKSPELPYGRWVVSICSAHCDPTRAMCFCGEGTKYPNRPAAETCGFQLSLPSELGAPRQVDWAKPDLDIYTTNKSKLGWCNVDPAEGYANKVKFKEECDCKYDCLSGRFCEVPVQCSCINQCSGHGHCRGGFCQCANGWYGTDCSIPSVTSSVREWPRWLRPAQLDVPDNAHLTGKLVDLNAVVKKKRPLIYIYDLPPKFNSLLLEGRHFKFECVNRLYNDNNATIWTDQLYGAQMALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMEQHLGLRSSLTLEFYRKAYDHIVEHYPFWNRSSGRDHIWSFSWDEGACYAPKEIWNSMMVVHWGNTNSKHNHSTTAYWADNWDKISSDRRGKHPCFDPDKDLVLPAWKRPDVNALSTKLWARPLEKRKTLFYFNGNLGPAYLNGRPEALYSMGIRQKLAEEFGSTPNKDGNLGKQHAENVIVSPLRSESYHEDLASSVFCGVMPGDGWSGRMEDSILQGCIPVVIQDGIYLPYENVLNYESFAVRILEDEIPNLIKILQGFNETEIENKLTSVQKIGQRFLYRDSMLLEAERQKTAFGYVEDWAVEFLRLTEDDVVATFVQVLHYKLHNDPWRRQLGSQKKDFGLPQECLMRTS >Potri.006G054800.3.v4.1 pep chromosome:Pop_tri_v4:6:3864983:3872827:-1 gene:Potri.006G054800.v4.1 transcript:Potri.006G054800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054800.v4.1 MITISKWKCSWSLMATIASIVALVSVVHLFLFPVVPSFDPFSVWQVQDSCGPNNESVDGRTGHDPGNLQPVLDLEHKFPADLHRAVFYRNAPWKAEIGRWLSGCDAVTKEVSVVETISGRSCKNDCSGQGVCNYELGQCRCFHGFSGEGCSERLHLECNYPKSPELPYGRWVVSICSAHCDPTRAMCFCGEGTKYPNRPAAETCGFQLSLPSELGAPRQVDWAKPDLDIYTTNKSKLGWCNVDPAEGYANKVKFKEECDCKYDCLSGRFCEVPVQCSCINQCSGHGHCRGGFCQCANGWYGTDCSIPSVTSSVREWPRWLRPAQLDVPDNAHLTGKLVDLNAVVKKKRPLIYIYDLPPKFNSLLLEGRHFKFECVNRLYNDNNATIWTDQLYGAQMALYESILASPYRTLNGEEADFFFVPVLDSCIITRADDAPHLSMEQHLGLRSSLTLEFYRKAYDHIVEHYPFWNRSSGRDHIWSFSWDEGACYAPKEIWNSMMVVHWGNTNSKHNHSTTAYWADNWDKISSDRRGKHPCFDPDKDLVLPAWKRPDVNALSTKLWARPLEKRKTLFYFNGNLGPAYLNGRPEALYSMGIRQKLAEEFGSTPNKDGNLGKQHAENVIVSPLRSESYHEDLASSVFCGVMPGDGWSGRMEDSILQGCIPVVIQDGIYLPYENVLNYESFAVRILEDEIPNLIKILQGFNETEIENKLTSVQKIGQRFLYRDSMLLEAERQKTAFGYVEDWAVEFLRLTEDDVVATFVQVLHYKLHNDPWRRQLGSQKKDFGLPQECLMRTS >Potri.001G006800.2.v4.1 pep chromosome:Pop_tri_v4:1:476360:477325:-1 gene:Potri.001G006800.v4.1 transcript:Potri.001G006800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006800.v4.1 MAPTLAVSFNDSSDITDFFLNKGNGVKGLSEMGLESLPKQYIQPLEERMCGTKIMSHESIPIIDMSKWDDPKVAEAICEAAEKWGFFQIINHGVPIEVLENVKEATHQFFRLPAEEKRKYLKEFSPSNNVRFGTSFSPEAEKALEWKDYLSLFYVSEDEASALWPAVCKDQVLEYMKRSETVIRKLLDVLMKNLNVTEIDETKESLLMGSKRTNLNYYPICPNPAELTVGVGRHSDVSTLTFLLQDDIGGLYVRGNNDSWIHVPPVSGPL >Potri.003G074800.1.v4.1 pep chromosome:Pop_tri_v4:3:10240166:10241742:-1 gene:Potri.003G074800.v4.1 transcript:Potri.003G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074800.v4.1 MSLISRLRHCLPNGFSTKPTISPLMPLNFNAVLSRGFAEAARKVEAEEEEEVEIDQRRLPTDYDPATFDPTEHRSPPTERVFKLVEEIAGLTLMEISELGTIIMKRMKMTEPPTIGVLKGGAAGLAGMAMKAPAAAAAKEEKKAEKTVFELKLESFEAASKIKVIKEVRSFTDLGLKEAKDLVEKTPSVLKKGVSKEEGEQIIEKMKAIGAKVVLE >Potri.010G249501.1.v4.1 pep chromosome:Pop_tri_v4:10:22298494:22299303:1 gene:Potri.010G249501.v4.1 transcript:Potri.010G249501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249501.v4.1 MVREKGEMMLSAGAIGSPQLLLLSGIGPRSYLSSMGIPVAYHLPYVGQYLYDNPRNGISIVTPTPLEHSLIQVVGISEVGAYLEAASTVIPFASPAQGVFIRTPSSPLIISHSGHPYGGNCWAALNRVTKAGFHRC >Potri.012G085200.1.v4.1 pep chromosome:Pop_tri_v4:12:11101901:11102756:-1 gene:Potri.012G085200.v4.1 transcript:Potri.012G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085200.v4.1 MASSETTTKVLKLKTSDNEVFEVEEKAALQSGIIKSMVEDGYGTDDAIPLFNVEKKTLAKIVEWLKKHASDASKDELEKWDADFVDVDTDSLYDLLLASNYLSVEVLLGQLVQKVADMIKGKQPEEIRKLFNIKNDFTPEEEEEIRKDNAWAFKL >Potri.002G183200.2.v4.1 pep chromosome:Pop_tri_v4:2:14546163:14549344:-1 gene:Potri.002G183200.v4.1 transcript:Potri.002G183200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183200.v4.1 MAKKNTPSVSPSHFLGVVVFSLCFFVPKSVLGVKKELRFRKNGEFKILQVADMHYADGKTTSCLNVFPNQMPTCSDLNTTAFVERMIQAEKPDFVVFTGDNIFGVHATDAAKSLSAAFQPAIASNIPWAAILGNHDQQSTLSREGVMKHIVGLKNTLSQVNPAEVHIIDGFGNYNLEIGGVKGSRFENKSALNLYFLDSGDYSTVPAIHGYGWIKPSQQLWFQRTSAKLRRAYMRQPEAQRGPAPGLVYFHIPLPEFASFDSSNFTGVRQEGISSASVNSGFFTTMVEAGDVKGVFTGHDHLNDFCGELTGIQLCYAGGFGYHAYGKAGWSRRARVVMASLEKTEQGGWGAVKSIKTWKRLDDEHLTTVDGQVLWSKSHAGKCLLNNP >Potri.002G183200.1.v4.1 pep chromosome:Pop_tri_v4:2:14544311:14549344:-1 gene:Potri.002G183200.v4.1 transcript:Potri.002G183200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183200.v4.1 MAKKNTPSVSPSHFLGVVVFSLCFFVPKSVLGVKKELRFRKNGEFKILQVADMHYADGKTTSCLNVFPNQMPTCSDLNTTAFVERMIQAEKPDFVVFTGDNIFGVHATDAAKSLSAAFQPAIASNIPWAAILGNHDQQSTLSREGVMKHIVGLKNTLSQVNPAEVHIIDGFGNYNLEIGGVKGSRFENKSALNLYFLDSGDYSTVPAIHGYGWIKPSQQLWFQRTSAKLRRAYMRQPEAQRGPAPGLVYFHIPLPEFASFDSSNFTGVRQEGISSASVNSGFFTTMVEAGDVKGVFTGHDHLNDFCGELTGIQLCYAGGFGYHAYGKAGWSRRARVVMASLEKTEQGGWGAVKSIKTWKRLDDEHLTTVDGQVLWSKSHAEVRRKKQVGSPE >Potri.001G284801.1.v4.1 pep chromosome:Pop_tri_v4:1:29772214:29772393:-1 gene:Potri.001G284801.v4.1 transcript:Potri.001G284801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284801.v4.1 MSFTVVLLWFSLLASVTINSHRLFSRVLKFDGTRLINWNVTSEVENLLLASHNILMDHF >Potri.018G018400.1.v4.1 pep chromosome:Pop_tri_v4:18:1242549:1247346:-1 gene:Potri.018G018400.v4.1 transcript:Potri.018G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018400.v4.1 MARSRSLLLTICTILAILIHGAHSFYLPGVAPQDFFNGAELTVKVNKLTSIKTQLPYSYYTLPFCTPSKIVDSAENLGEVLRGDRIENSPYTFKMGDAKMCNILCRKTLDAKTAKAFKEKIDDEYRVNMILDNLPLVVPMQRPDQESLPVYQLGYHVGLKGQYSGSKEEKYFIHNHLSFIVKYHKDTQSDSARIVGFEVKPFSVKHAYEGKWSDENPRLTTCDPHTRHTVVNSNTPQEVEDKAEIIFTYDVEFQDSDVKWASRWDAYLLMTDDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLYRDISKYNELETQEEAQEETGWKLVHGDVFRPPSNSDLLCVYVGTGVQFFGMILVTMIFAILGFLSPSNRGGLMTAMLLLWVFMGIFAGYASSRLYKMFKGSEWKKIALRTAVMFPGIVSAIFFVLNALIWGQKSSGAVPFGTMFALVFLWFGISVPLVFVGSYIGSKKPAIEDPVKTNKIPRQIPEQAWYMNPAFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFLVFAILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYATFYFFTKLEITKLVSGALYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Potri.002G062800.3.v4.1 pep chromosome:Pop_tri_v4:2:4310064:4311602:-1 gene:Potri.002G062800.v4.1 transcript:Potri.002G062800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062800.v4.1 MQTIFIALQCYQCSTMQVKQKKKSSNKWTCVVCNQKQSVRKVFAQGCLAKDLRKFVQSFNMSRKIADEQDSLDQDAVLIPTSETDHIGLNEDCQRKRRSDWTEYLDAEEEYNIKQEDEGEEPGLMVVTELPKEMFKKPRLKNDFGQNSGDHGDGNLYKPVFSKRNASKIPMSRDKESRKYQSTKPAGNSKWSDCMTQAEEEERISQPTTGKTKASKWKDYITQDEDGFNSGRGRNVGAHHQNCGDWENILDDDQKVEDDVHPDFM >Potri.006G179700.1.v4.1 pep chromosome:Pop_tri_v4:6:18640064:18641693:1 gene:Potri.006G179700.v4.1 transcript:Potri.006G179700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179700.v4.1 MSSESSSELHVVMFPFFAFGHICPFVQLSNKLSLHGVRISFLSAPGNIARIKSSLLATPTTQIISLPIPVVEGLPPGHNSTAETTPAVAGLLKKALDLMQPQIKTILAELKPHFVFFDLLQHWLPKLASQIGIKTISYTVFSATSTSYLTVPARIGEEGESPSIGDLMKPPNGYPSSSITSVKAFQARDFSIVYKSFDGGPTICDRAVGSRLGCTAMLLKTCQEMEGPYVDFIKTQFKKPVLLTGPLVPDPPSGVLDEKWANWLGQFPAKSVIFCSFGSETFLNHDQIKELVLGLELTGLPFFLVLNFPAELDSQTELNQALPSSFLERVKGRGVLHTGWVQQQLILAHSSVGCYVCHSGFSSLIEALVNDCQLAMLPLKGDQFLNTKLIAGDLKAGVEINRRDEDGYFGKDDICEAVKTVMLDVDKEPGKSMRENHKKWREFLLNAQIQNQYIVELIEELKAMA >Potri.015G133400.1.v4.1 pep chromosome:Pop_tri_v4:15:14263747:14269775:-1 gene:Potri.015G133400.v4.1 transcript:Potri.015G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G133400.v4.1 MPSISLTNTTTATNSPDSKKEKKMKKKVALESQETDPLMVSIKKEKKKEKEKKKRKAVEFDDEEEERSETSSELCEPVNLKKKSKKAKVEEEEEEVKAEDPNAVTRFRISEPLREVLKKRGIEALFPIQARTFEDILNGGDLVGRARTGQGKTLAFVLPILESLVNGLAKASRKTGYGRPPSVLVLLPTRELATQVFDDFKVYGGAMGLDSCCVYGGASYQPQEFALKRGVDVVVGTPGRIKDHIEKGNIDLSLLKFRVLDEADEMLRMGFVEDVELILGKVKDVNKVQTLLFSATLPGWVKQISSKFLKPTKKTIDLVGNEKMKASTNVRHIVLPCSTSAIPQVIPDIIRCYASGGRTIIFTEKRESANELSGLLPGARALHGEIQQSQREVTLSGFRSGKFLTLVATNVAARGLDINDVQLIIQCEPPNDVEAYIHRSGRTGRAGNSGVAVMLYDPRRSNISKIQRESGVKFEHITAPKAEDIAKAVGVEAAETIIQVSDSVIPAFKSAAEDLLNTSGLSAVELLAKALAKATGYTEIKSRSLLSSMENHVTLLLESGKPIYTPSFAFSVLRRILPEDKVESVTGMTLTTDGNGAVFDVKKEDVDAFLAAQENGAGVNIEVVKTLPSLQEREQQRGRFGGGGRGRGGGNRFSGGRGGGFSDRRNSSGGFKGRNNGNKW >Potri.005G035400.3.v4.1 pep chromosome:Pop_tri_v4:5:2278636:2282643:1 gene:Potri.005G035400.v4.1 transcript:Potri.005G035400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035400.v4.1 MAESAVSLVVDKLLPLLTQEVKLLKGVHDELVGVKDELEVIRAFLKDADSKAGKEGIGEGVKVLVNQIREEAHHIEDVIDDYMLHVARHPDNRHGLLRHIASLIKTFSSRHEIASEIKDIKSSLLDIKNRSQTFHFISSNQGASSSNSNAGRGLMDHPRLSSLFIEEAELVGIESPRDELISYLLSGVSQRTVIAVVGMGGVGKTTVAKKVYDNHRVKEHFQYHAWITVSQSYDKRELLRSILKRFYEVKNGLFPDRIVTMEEEELIKEIREYLGQERYLVVFDDVWEIGFWGNMEHALLDHDNGSRILATTRNEDVANFSRGSSLVHVYHIEPLPQKEAWELFCNKAFRSEFKGQCPKDLEELSQDIVRRCGGLPLAIVAVSGLLATKEKSILEWKKFLSGLGGSAMVSDPYIDSVTNILSLSYGDLPYHLKSCFLYFGMFPEDFSIVHGRIIRLWVAEGFVEEKPGMTLEDVGEEYFIELVRRNLVQVDEVFHGVPLTCHVHDMVRDVILSKSEELSFCHVSSSCSTFQGIARHLSISNRGSNTPKSSTKSQTRSIMVFDEVKLQKATIQVILAKFKLLTTLDFENCPIDHLPKELGNLLHLRYLNLRNTKVAKLPKSIRKLHNLESLDLRYSFVEELPVKISNFPKLRHLLAEDKKTRALKIKGSIKHLEFLQTLSKINVDDNVSLINDGLQVSTELKTLGIRNLKREHGRYLCTALEKMTHLRLLLVCSINPTNEVLELQSMSSPPLELRSIWLEGQLERLPNWISKIHNLAELRLSFTNLKDDSFEVLQALPNLNRLGLVCAYNGEKMHFEGGGFQKLKSLYLVGLSNLKEMLIDEGALPLLEKLQMGPCPKLKEVPSGFKYLRYLKDLSFTGMTNEFTQRLSQQESEKVRHVPIIQYDGTYDPSDEGSYEAWVERYFRRVGIKMT >Potri.005G035400.4.v4.1 pep chromosome:Pop_tri_v4:5:2279483:2282425:1 gene:Potri.005G035400.v4.1 transcript:Potri.005G035400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035400.v4.1 MLHVARHPDNRHGLLRHIASLIKTFSSRHEIASEIKDIKSSLLDIKNRSQTFHFISSNQGASSSNSNAGRGLMDHPRLSSLFIEEAELVGIESPRDELISYLLSGVSQRTVIAVVGMGGVGKTTVAKKVYDNHRVKEHFQYHAWITVSQSYDKRELLRSILKRFYEVKNGLFPDRIVTMEEEELIKEIREYLGQERYLVVFDDVWEIGFWGNMEHALLDHDNGSRILATTRNEDVANFSRGSSLVHVYHIEPLPQKEAWELFCNKAFRSEFKGQCPKDLEELSQDIVRRCGGLPLAIVAVSGLLATKEKSILEWKKFLSGLGGSAMVSDPYIDSVTNILSLSYGDLPYHLKSCFLYFGMFPEDFSIVHGRIIRLWVAEGFVEEKPGMTLEDVGEEYFIELVRRNLVQVDEVFHGVPLTCHVHDMVRDVILSKSEELSFCHVSSSCSTFQGIARHLSISNRGSNTPKSSTKSQTRSIMVFDEVKLQKATIQVILAKFKLLTTLDFENCPIDHLPKELGNLLHLRYLNLRNTKVAKLPKSIRKLHNLESLDLRYSFVEELPVKISNFPKLRHLLAEDKKTRALKIKGSIKHLEFLQTLSKINVDDNVSLINDGLQVSTELKTLGIRNLKREHGRYLCTALEKMTHLRLLLVCSINPTNEVLELQSMSSPPLELRSIWLEGQLERLPNWISKIHNLAELRLSFTNLKDDSFEVLQALPNLNRLGLVCAYNGEKMHFEGGGFQKLKSLYLVGLSNLKEMLIDEGALPLLEKLQMGPCPKLKEVPSGFKYLRYLKDLSFTGMTNEFTQRLSQQESEKVRHVPIIQYDGTYDPSDEGSYEAWVERYFRRVGIKMT >Potri.018G114500.5.v4.1 pep chromosome:Pop_tri_v4:18:13117863:13119467:1 gene:Potri.018G114500.v4.1 transcript:Potri.018G114500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114500.v4.1 MAGMLPGVECARRRRFHQSGDSLGAPAHGWSRKPSFCLYTSSHESYHGSVSSLQKQKQRSVSSQAYEDEKLGGVAREAKERLDERLRLQKKKTAETTRHKSTGNLRDVDGRSMVLGELQMEVYGTKRSGSKRFNWAKLSWKAADQDECTICLDRFKSGETLVHLPCAHRYHPRCLVPWLENNGHCPCCRMEIRVELS >Potri.010G138100.4.v4.1 pep chromosome:Pop_tri_v4:10:15233667:15238019:-1 gene:Potri.010G138100.v4.1 transcript:Potri.010G138100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138100.v4.1 MRLDSEHVFCNGTMGHEHDCRPVEYNDNVLDSIGLKSGNVTVKENENGELYDLKGMEGDADRLPNVAPVLSPHSSLKMEPFEDSVFYMDKSVLEREVPELIVCYKENTCHVKDICIDEGVPLLDKFLFDTDAHEKNVCEFLPSARDMNNEMVKEKSDLDMLIPDVLKSSPEKQNANIHLPVPDMLKSSEEQDLKCELSLDYNPKHLVPTEEVMDYVTEKVANDAPKEILSLRDLLSMPEFGANFTSTKSNHSMDKVEQHSLQCPRENAILESDSTSEESENRSKETVSVTSTLVFAAEERDSGLEAPTLAIPAQDPAYQEAEHSHKEVVLVSPTLTSAAGESDSSIVESKLAIHALDSIYEELTSRIMDQSPYDSKAETGSITFDNDSSAPAASGGQSPRNGDSQCLEPQISSRLEDPNTEPFSGQLCTDGESSFSATGSLPGLVSYSGPIPYSGSVSLRSDSSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHFQKPRSWRQGLLCCRF >Potri.010G138100.7.v4.1 pep chromosome:Pop_tri_v4:10:15233663:15238673:-1 gene:Potri.010G138100.v4.1 transcript:Potri.010G138100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138100.v4.1 MRLDSEHVFCNGTMGHEHDCRPVEYNDNVLDSIGLKSGNVTVKENENGELYDLKGMEGDADRLPNVAPVLSPHSSLKMEPFEDSVFYMDKSVLEREVPELIVCYKENTCHVKDICIDEGVPLLDKFLFDTDAHEKNVCEFLPSARDMNNEMVKEKSDLDMLIPDVLKSSPEKQNANIHLPVPDMLKSSEEQDLKCELSLDYNPKHLVPTEEVMDYVTEKVANDAPKEILSLRDLLSMPEFGANFTSTKSNHSMDKVEQHSLQCPRENAILESDSTSEESENRSKETVSVTSTLVFAAEERDSGLEAPTLAIPAQDPAYQEAEHSHKEVVLVSPTLTSAAGESDSSIVESKLAIHALDSIYEELTSRIMDQSPYDSKAETGSITFDNDSSAPAASGGQSPRNGDSQCLEPQISSRLEDPNTEPFSGQLCTDGESSFSATGSLPGLVSYSGPIPYSGSVSLRSDSSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHFQKPRSWRQGLLCCRF >Potri.010G138100.9.v4.1 pep chromosome:Pop_tri_v4:10:15233665:15238639:-1 gene:Potri.010G138100.v4.1 transcript:Potri.010G138100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138100.v4.1 MRLDSEHVFCNGTMGHEHDCRPVEYNDNVLDSIGLKSGNVTVKENENGELYDLKGMEGDADRLPNVAPVLSPHSSLKMEPFEDSVFYMDKSVLEREVPELIVCYKENTCHVKDICIDEGVPLLDKFLFDTDAHEKNVCEFLPSARDMNNEMVKEKSDLDMLIPDVLKSSPEKQNANIHLPVPDMLKSSEEQDLKCELSLDYNPKHLVPTEEVMDYVTEKVANDAPKEILSLRDLLSMPEFGANFTSTKSNHSMDKVEQHSLQCPRENAILESDSTSEESENRSKETVSVTSTLVFAAEERDSGLEAPTLAIPAQDPAYQEAEHSHKEVVLVSPTLTSAAGESDSSIVESKLAIHALDSIYEELTSRIMDQSPYDSKAETGSITFDNDSSAPAASGGQSPRNGDSQCLEPQISSRLEDPNTEPFSGQLCTDGESSFSATGSLPGLVSYSGPIPYSGSVSLRSDSSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHFQKPRSWRQGLLCCRF >Potri.010G138100.2.v4.1 pep chromosome:Pop_tri_v4:10:15233663:15238639:-1 gene:Potri.010G138100.v4.1 transcript:Potri.010G138100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138100.v4.1 MRLDSEHVFCNGTMGHEHDCRPVEYNDNVLDSIGLKSGNVTVKENENGELYDLKGMEGDADRLPNVAPVLSPHSSLKMEPFEDSVFYMDKSVLEREVPELIVCYKENTCHVKDICIDEGVPLLDKFLFDTDAHEKNVCEFLPSARDMNNEMVKEKSDLDMLIPDVLKSSPEKQNANIHLPVPDMLKSSEEQDLKCELSLDYNPKHLVPTEEVMDYVTEKVANDAPKEILSLRDLLSMPEFGANFTSTKSNHSMDKVEQHSLQCPRENAILESDSTSEESENRSKETVSVTSTLVFAAEERDSGLEAPTLAIPAQDPAYQEAEHSHKEVVLVSPTLTSAAGESDSSIVESKLAIHALDSIYEELTSRIMDQSPYDSKAETGSITFDNDSSAPAASGGQSPRNGDSQCLEPQISSRLEDPNTEPFSGQLCTDGESSFSATGSLPGLVSYSGPIPYSGSVSLRSDSSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHFQKPRSWRQGLLCCRF >Potri.010G138100.8.v4.1 pep chromosome:Pop_tri_v4:10:15233656:15238655:-1 gene:Potri.010G138100.v4.1 transcript:Potri.010G138100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138100.v4.1 MRLDSEHVFCNGTMGHEHDCRPVEYNDNVLDSIGLKSGNVTVKENENGELYDLKGMEGDADRLPNVAPVLSPHSSLKMEPFEDSVFYMDKSVLEREVPELIVCYKENTCHVKDICIDEGVPLLDKFLFDTDAHEKNVCEFLPSARDMNNEMVKEKSDLDMLIPDVLKSSPEKQNANIHLPVPDMLKSSEEQDLKCELSLDYNPKHLVPTEEVMDYVTEKVANDAPKEILSLRDLLSMPEFGANFTSTKSNHSMDKVEQHSLQCPRENAILESDSTSEESENRSKETVSVTSTLVFAAEERDSGLEAPTLAIPAQDPAYQEAEHSHKEVVLVSPTLTSAAGESDSSIVESKLAIHALDSIYEELTSRIMDQSPYDSKAETGSITFDNDSSAPAASGGQSPRNGDSQCLEPQISSRLEDPNTEPFSGQLCTDGESSFSATGSLPGLVSYSGPIPYSGSVSLRSDSSTTSTRSFAFPVLQSEWNSSPVRMAKADRRHFQKPRSWRQGLLCCRF >Potri.003G159700.3.v4.1 pep chromosome:Pop_tri_v4:3:16987240:16989689:-1 gene:Potri.003G159700.v4.1 transcript:Potri.003G159700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159700.v4.1 MAAAYLWTLFLGMLVMVSGTMGAAPRKPVDVAFGRNYVPTWAFDHIKYFNGGNEIQLHLDKYTGTGFQSKGSYLFGHFSMQMKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEFHYYSVLWNMYIIVFLVDDVPIRVFKNCKDLGVKFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYRSFHVDGCEASVEAKFCAPQGARWWDQKEFQDLDALQYRRLSWVRQKYTIYNYCTDRSRYPSMPPECKRDRDI >Potri.003G159700.1.v4.1 pep chromosome:Pop_tri_v4:3:16987256:16989583:-1 gene:Potri.003G159700.v4.1 transcript:Potri.003G159700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159700.v4.1 MFRNMAAAYLWTLFLGMLVMVSGTMGAAPRKPVDVAFGRNYVPTWAFDHIKYFNGGNEIQLHLDKYTGTGFQSKGSYLFGHFSMQMKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTKEFHYYSVLWNMYIIVFLVDDVPIRVFKNCKDLGVKFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYRSFHVDGCEASVEAKFCAPQGARWWDQKEFQDLDALQYRRLSWVRQKYTIYNYCTDRSRYPSMPPECKRDRDI >Potri.005G232100.1.v4.1 pep chromosome:Pop_tri_v4:5:23103690:23105863:1 gene:Potri.005G232100.v4.1 transcript:Potri.005G232100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232100.v4.1 MTSSSALSRKALSKIACNRLQKELAEWQLNPPSGFKHKVTDNLQRWVIEVNGAAGTLYANETYQLQVDFPEHYPMEAPQVIFAPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSSTVKQRPADNDRYVKNCRSGRSPKETRWWFHDDKV >Potri.017G086800.2.v4.1 pep chromosome:Pop_tri_v4:17:9987518:9993380:-1 gene:Potri.017G086800.v4.1 transcript:Potri.017G086800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086800.v4.1 MKFGKEFAAQMVQEWQEAYMNYNHLKTILKDVLRFRTLRGAPSTVAAATSHGSLKRRVSLYRAFSGLTGRYRGSPRKSEDEVILVSAVQENGGDEHYQTLFLNASDEGGEYELVFFRSLDGEFNKVINFYKKKVEEVMGEADDLSKQMDALIALRIRVDDPVVGGSNIANLAVPGFSSVSASVVHPPINNRNPGWTCMEVIKEVEMSAEANSEDSNKGTNNENSNSQRKTNGYKKDMKTFKPASLEVLDHVKINVEPETPVSTVKNVISSSKSDLSYSKEELRKAEELMTHAFVEFYRKLRLLKSYCFLNQLAFSKIMKKYDKITMRNASKSYLNMVDDSYLGSSDEVTKLMERVEATFIKHFSNGNHRKGMNTLRPKPKKERHRITFSMGFFTGCSAALLIALVVLIHARDILNSEGGPQYMDNIFPLYSLFGFVVLHMLMYAADIYFWKRYRINYAFIFGFKQGTELGYREVLLLASGLSVLALGGVLSNLDMEMDPRTKSFTAITELIPLALLTLVLVIIFCPFNIIYRSSRYFLIQCALHCLFAPLYKVTLPDFFLADQLTSQVQALRNLEFYICYYGWGDFTTRTNTCSGSKVFESFYFVVALIPYWFRFLQCLRRLFEEKDSGQAYNGLKYFLIIIAVAARTAYDLRVGMTLKIFAAATSGAATIMATYWDIVVDWGLLQRDSRNPWLRDKLVIPNRSVYFVAMVLNVVLRLAWMQTVLGFRQTPFLHRKALTAIVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFHYEDKRV >Potri.017G086800.4.v4.1 pep chromosome:Pop_tri_v4:17:9987517:9993202:-1 gene:Potri.017G086800.v4.1 transcript:Potri.017G086800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086800.v4.1 MRNASKSYLNMVDDSYLGSSDEVTKLMERVEATFIKHFSNGNHRKGMNTLRPKPKKERHRITFSMGFFTGCSAALLIALVVLIHARDILNSEGGPQYMDNIFPLYSLFGFVVLHMLMYAADIYFWKRYRINYAFIFGFKQGTELGYREVLLLASGLSVLALGGVLSNLDMEMDPRTKSFTAITELIPLALLTLVLVIIFCPFNIIYRSSRYFLIQCALHCLFAPLYKVTLPDFFLADQLTSQVQALRNLEFYICYYGWGDFTTRTNTCSGSKVFESFYFVVALIPYWFRFLQCLRRLFEEKDSGQAYNGLKYFLIIIAVAARTAYDLRVGMTLKIFAAATSGAATIMATYWDIVVDWGLLQRDSRNPWLRDKLVIPNRSVYFVAMVLNVVLRLAWMQTVLGFRQTPFLHRKALTAIVACLEIIRRGIWNFFRLENEHLNNVGKYRAFKSVPLPFHYEDKRV >Potri.015G003200.2.v4.1 pep chromosome:Pop_tri_v4:15:214086:216547:1 gene:Potri.015G003200.v4.1 transcript:Potri.015G003200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003200.v4.1 MAQCLLLLILIPILILIIVVVVVFDRGIILTKYKYKKAVIEITSSPSPDGTPIRPIFCLKKTNTENIRKFDEIEDCFILDFDPSDIDTSLHRISTLSVSSFTDDGGGVDDLSVVAEKGQVACRDYPHSRHLCTKYPFDKTPHESYCELCYCYVCDCAAPCKDWKDSKSAHCSASEKVGDWKEQRRLKRKEEIKLPKS >Potri.005G105200.1.v4.1 pep chromosome:Pop_tri_v4:5:7580918:7583142:1 gene:Potri.005G105200.v4.1 transcript:Potri.005G105200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105200.v4.1 MSQWADLPKELLEMISKRLDLRVEVLRFRAVCSSWRCSVSPPSFDQEIPPFFLKLPPPIRAPDPIFAGAILMQTTICRMEFLCNYSNSSCSLSKSSLVKVGESKHGKLQLFHPLSNQETNDSFISLNLLDLKFVQLSKACLLKWPGGISVFGINKVVVFPLSGGCIDNCELGILAIYHEGKLGYWRYGDKEWTLLDDRNFEYDDIIVYKGQFYVVDRWGTVSWIDSSLKVIQYSPPLYGCGGQKNLVESCGDLYVVDRYLDGERRTWKDYEDVMDTNGNPLCFSRLMSKARPMAVDFRVYKLDEEWGTWVDIKSLDDRIFILGIDCSFSVSCRDLSGGKGNCIYFYDSLYGGQGMSGGAIHVFRFEDHSIDNLALIPDFSEIFWPANTGSST >Potri.004G041000.1.v4.1 pep chromosome:Pop_tri_v4:4:3287349:3289676:1 gene:Potri.004G041000.v4.1 transcript:Potri.004G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041000.v4.1 MAASILSHEVSDLCLGKPALSSLSVSATVGEALSALKRFGDLFLSVWSCDQHHRCNSPRSIKVDFAECKCIGKVCLADVICFLSKEENLKNPGKALQEPVSLLLNSKVSGLVRHLEPHASLLEAIDLILEGAQNLVIPLHNPFTRKKLISKSTANSTLHNNREYCWLTQEDIVRYLLNSIGLFSPTPNHTIESLNIIDTESFFTVHYDDPAALPLISQSLVKQTSVAILDADGKLIGEISPFTLNFCDETVAAAIATLSAGELMAYIECGDPPEDLIMLVKERLEERNLGPALDLIEEESGILSSSSDSSYSSSSDEEFGMVRSGRIAGNSARVGRSTETIVCYPWSSLVAVMIQALSHRSSYTWVIEEDGTLVGGVTFAGMIKVLRERLRSMV >Potri.004G138400.10.v4.1 pep chromosome:Pop_tri_v4:4:16018191:16025144:-1 gene:Potri.004G138400.v4.1 transcript:Potri.004G138400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138400.v4.1 MRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVSMQHTVIPSWYQREGYIKAMANLIGKELETFDHPEQVLIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRKIMNAYTLAYQSRVGPVEWLKPYTDETIIELGKRGVKCLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNIEARQSLVPLGSVEELLAVYDLKRRELQSPVMVWEWGWTRSAETWNGRAAMLAVLVLLVLEVTTGQGFLHQWGILPFFH >Potri.004G138400.1.v4.1 pep chromosome:Pop_tri_v4:4:16018218:16025142:-1 gene:Potri.004G138400.v4.1 transcript:Potri.004G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138400.v4.1 MMMNCGAMNATTPSSTSWSASHSSKIPMLLPRAICSTQRMCCGSGVHVDACVSTNVSRNAKDSMVWSGTSPSLYSKQPINKFLLPLRALVTSTSQDVPIASLIGREKVGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRHITDAQAEELRKSLWEKQVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVSMQHTVIPSWYQREGYIKAMANLIGKELETFDHPEQVLIFFSAHGVPLAYVEEAGDPYKAEMEECIDLIMEELEKRKIMNAYTLAYQSRVGPVEWLKPYTDETIIELGKRGVKCLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNIEARQSLVPLGSVEELLAVYDLKRRELQSPVMVWEWGWTRSAETWNGRAAMLAVLVLLVLEVTTGQGFLHQWGILPFFH >Potri.006G001100.8.v4.1 pep chromosome:Pop_tri_v4:6:94154:98609:-1 gene:Potri.006G001100.v4.1 transcript:Potri.006G001100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001100.v4.1 MAISLSLFAIGILYTDNHSKTILTDKDLQLPDTGRALSATDEDAQSRKDDIIKQVIQSANQEKEETRTDRGADQESHQLKQQSALNSDKVGEKDALLTKTNKQTDQSPMPAAWERQLRDRLIKASVYLSLPATKNNRRFTRELRMRIKEVQRVLGDAIKDSDMPKNAYEKWKAMDQLLEKGKQMQYESANEVKKLRAMLHSTEEQLRVHKKQTMSFATMVEKLRAMLHSTEEQLQVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSSEQQFPNQEILDNPLLHHIALFSDNVLAAAVVVNSTVTNSKHPSKLVFHLVSDRLSYAAMRMWFLVNPPGKATIQVQNIDEFTWLNSSYSPVLKQLHSQSMIDYYFRAHSANSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCRESFHRFDTYLNFSNPLISNNFDPRACGWAYGMNLFDLEEWKRQNITDVYHSWQKLNHDRQLWKLGTLPPGLITLWKRTHPLDRRWHVLGLGYNPNVSQIEIERGAVIHYNGNMKPWLEIGIPKYRKYWAKYVDYVNVYLRECNINP >Potri.006G001100.12.v4.1 pep chromosome:Pop_tri_v4:6:94097:98483:-1 gene:Potri.006G001100.v4.1 transcript:Potri.006G001100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001100.v4.1 MAISLSLFAIGILYTDNHSKTILTDKDLQLPDTGRALSATDEDAQSRKDDIIKQVIQSANQEKEETRTDRGADQESHQLKQQSALNSDKVGEKDALLTKTNKQTDQSPMPAAWERQLRDRLIKASVYLSLPATKNNRRFTRELRMRIKEVQRVLGDAIKDSDMPKNAYEKWKAMDQLLEKGKQMQYESANEVKKLRAMLHSTEEQLRVHKKQTMSFATMVEKLRAMLHSTEEQLQVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSSEQQFPNQEILDNPLLHHIALFSDNVLAAAVVVNSTVTNSKHPSKLVFHLVSDRLSYAAMRMWFLVNPPGKATIQVQNIDEFTWLNSSYSPVLKQLHSQSMIDYYFRAHSANSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCRESFHRFDTYLNFSNPLISNNFDPRACGWAYGMNLFDLEEWKRQNITDVYHSWQKLVSDLLEDAFLCRVFQV >Potri.006G001100.6.v4.1 pep chromosome:Pop_tri_v4:6:94155:98621:-1 gene:Potri.006G001100.v4.1 transcript:Potri.006G001100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001100.v4.1 MAISLSLFAIGILYTDNHSKTILTDKDLQLPDTGRALSATDEDAQSRKDDIIKQVIQSANQEKEETRTDRGADQESHQLKQQSALNSDKVGEKDALLTKTNKQTDQSPMPAAWERQLRDRLIKASVYLSLPATKNNRRFTRELRMRIKEVQRVLGDAIKDSDMPKNAYEKWKAMDQLLEKGKQMQYESANEVKKLRAMLHSTEEQLRVHKKQTMSFATMVEKLRAMLHSTEEQLQVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSSEQQFPNQEILDNPLLHHIALFSDNVLAAAVVVNSTVTNSKHPSKLVFHLVSDRLSYAAMRMWFLVNPPGKATIQVQNIDEFTWLNSSYSPVLKQLHSQSMIDYYFRAHSANSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCRESFHRFDTYLNFSNPLISNNFDPRACGWAYGMNLFDLEEWKRQNITDVYHSWQKLNHDRQLWKLGTLPPGLITLWKRTHPLDRRWHVLGLGYNPNVSQIEIERGAVIHYNGNMKPWLEIGIPKYRKYWAKYVDYVNVYLRECNINP >Potri.006G001100.7.v4.1 pep chromosome:Pop_tri_v4:6:94132:98615:-1 gene:Potri.006G001100.v4.1 transcript:Potri.006G001100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001100.v4.1 MAISLSLFAIGILYTDNHSKTILTDKDLQLPDTGRALSATDEDAQSRKDDIIKQVIQSANQEKEETRTDRGADQESHQLKQQSALNSDKVGEKDALLTKTNKQTDQSPMPAAWERQLRDRLIKASVYLSLPATKNNRRFTRELRMRIKEVQRVLGDAIKDSDMPKNAYEKWKAMDQLLEKGKQMQYESANEVKKLRAMLHSTEEQLRVHKKQTMSFATMVEKLRAMLHSTEEQLQVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSSEQQFPNQEILDNPLLHHIALFSDNVLAAAVVVNSTVTNSKHPSKLVFHLVSDRLSYAAMRMWFLVNPPGKATIQVQNIDEFTWLNSSYSPVLKQLHSQSMIDYYFRAHSANSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCRESFHRFDTYLNFSNPLISNNFDPRACGWAYGMNLFDLEEWKRQNITDVYHSWQKLNHDRQLWKLGTLPPGLITLWKRTHPLDRRWHVLGLGYNPNVSQIEIERGAVIHYNGNMKPWLEIGIPKYRKYWAKYVDYVNVYLRECNINP >Potri.006G001100.10.v4.1 pep chromosome:Pop_tri_v4:6:94153:98729:-1 gene:Potri.006G001100.v4.1 transcript:Potri.006G001100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001100.v4.1 MAISLSLFAIGILYTDNHSKTILTDKDLQLPDTGRALSATDEDAQSRKDDIIKQVIQSANQEKEETRTDRGADQESHQLKQQSALNSDKVGEKDALLTKTNKQTDQSPMPAAWERQLRDRLIKASVYLSLPATKNNRRFTRELRMRIKEVQRVLGDAIKDSDMPKNAYEKWKAMDQLLEKGKQMQYESANEVKKLRAMLHSTEEQLRVHKKQTMSFATMVEKLRAMLHSTEEQLQVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSSEQQFPNQEILDNPLLHHIALFSDNVLAAAVVVNSTVTNSKHPSKLVFHLVSDRLSYAAMRMWFLVNPPGKATIQVQNIDEFTWLNSSYSPVLKQLHSQSMIDYYFRAHSANSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCRESFHRFDTYLNFSNPLISNNFDPRACGWAYGMNLFDLEEWKRQNITDVYHSWQKLVSDLLEDAFLCRVFQV >Potri.006G001100.13.v4.1 pep chromosome:Pop_tri_v4:6:93957:98671:-1 gene:Potri.006G001100.v4.1 transcript:Potri.006G001100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001100.v4.1 MAISLSLFAIGILYTDNHSKTILTDKGRALSATDEDAQSRKDDIIKQVIQSANQEKEETRTDRGADQESHQLKQQSALNSDKVGEKDALLTKTNKQTDQSPMPAAWERQLRDRLIKASVYLSLPATKNNRRFTRELRMRIKEVQRVLGDAIKDSDMPKNAYEKWKAMDQLLEKGKQMQYESANEVKKLRAMLHSTEEQLRVHKKQTMSFATMVEKLRAMLHSTEEQLQVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSSEQQFPNQEILDNPLLHHIALFSDNVLAAAVVVNSTVTNSKHPSKLVFHLVSDRLSYAAMRMWFLVNPPGKATIQVQNIDEFTWLNSSYSPVLKQLHSQSMIDYYFRAHSANSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCRESFHRFDTYLNFSNPLISNNFDPRACGWAYGMNLFDLEEWKRQNITDVYHSWQKLVSDLLEDAFLCRVFQV >Potri.006G001100.9.v4.1 pep chromosome:Pop_tri_v4:6:94153:98760:-1 gene:Potri.006G001100.v4.1 transcript:Potri.006G001100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001100.v4.1 MAISLSLFAIGILYTDNHSKTILTDKGRALSATDEDAQSRKDDIIKQVIQSANQEKEETRTDRGADQESHQLKQQSALNSDKVGEKDALLTKTNKQTDQSPMPAAWERQLRDRLIKASVYLSLPATKNNRRFTRELRMRIKEVQRVLGDAIKDSDMPKNAYEKWKAMDQLLEKGKQMQYESANEVKKLRAMLHSTEEQLRVHKKQTMSFATMVEKLRAMLHSTEEQLQVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSSEQQFPNQEILDNPLLHHIALFSDNVLAAAVVVNSTVTNSKHPSKLVFHLVSDRLSYAAMRMWFLVNPPGKATIQVQNIDEFTWLNSSYSPVLKQLHSQSMIDYYFRAHSANSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCRESFHRFDTYLNFSNPLISNNFDPRACGWAYGMNLFDLEEWKRQNITDVYHSWQKLNHDRQLWKLGTLPPGLITLWKRTHPLDRRWHVLGLGYNPNVSQIEIERGAVIHYNGNMKPWLEIGIPKYRKYWAKYVDYVNVYLRECNINP >Potri.006G001100.11.v4.1 pep chromosome:Pop_tri_v4:6:94122:98610:-1 gene:Potri.006G001100.v4.1 transcript:Potri.006G001100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001100.v4.1 MAISLSLFAIGILYTDNHSKTILTDKDLQLPDTGRALSATDEDAQSRKDDIIKQVIQSANQEKEETRTDRGADQESHQLKQQSALNSDKVGEKDALLTKTNKQTDQSPMPAAWERQLRDRLIKASVYLSLPATKNNRRFTRELRMRIKEVQRVLGDAIKDSDMPKNAYEKWKAMDQLLEKGKQMQYESANEVKKLRAMLHSTEEQLRVHKKQTMSFATMVEKLRAMLHSTEEQLQVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSSEQQFPNQEILDNPLLHHIALFSDNVLAAAVVVNSTVTNSKHPSKLVFHLVSDRLSYAAMRMWFLVNPPGKATIQVQNIDEFTWLNSSYSPVLKQLHSQSMIDYYFRAHSANSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGKVNGAVETCRESFHRFDTYLNFSNPLISNNFDPRACGWAYGMNLFDLEEWKRQNITDVYHSWQKLVSDLLEDAFLCRVFQV >Potri.004G034500.1.v4.1 pep chromosome:Pop_tri_v4:4:2674516:2676615:-1 gene:Potri.004G034500.v4.1 transcript:Potri.004G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034500.v4.1 MASAEIVRQTLIIEISRKRVKRLYLGNTSDTNHSVILPDDVLDKILAFLPIKKAMQIGILSSRFNHSWIFSRRLHFDNDFARGRSPENFKSMVNKVFDQHAGSSILSFRLSFDANGEGFLVEKWIKKAIEKGVEELELLFYVIGINFEGGGPVKLISDVYDVESIKILKLSFCQLDLPPKFKGLHFFSTLVLRKIIVTPTLIDNLFLNCLLLETLDIAQCYRIFHLKISTQNLKKFKELKVGDCPEILMIDIDAPTLHAIHYCGHVCFIKFTNIPEVKDVMLNFGPSKGFTGTFQVRNLVYDLYNIRVLTTTSTFLEGLTPKFMGGTLTEMQFSFWNLIEFHLIMEGAMYCNPYDIVSFLKNCQYVEKIFIDLKDFNFVCGPYWELRNRQAFEQSHVSFHNLKFIKLYGFKFQKDELLLVKFLLGSAPGLEKLVLITPNKSRRVKVVTLNLQGFYQDIQSWKASSRAEIAVFEHSNDRSSVSPMHSKTWY >Potri.008G026600.4.v4.1 pep chromosome:Pop_tri_v4:8:1378441:1380778:1 gene:Potri.008G026600.v4.1 transcript:Potri.008G026600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026600.v4.1 MIWEINVCVVVGSWLHCGYHLTTSIVAPALLSLPYALSLMGWFPGVLCLILAALITFYSYNLLSLVLEHHAQIGRRQLRFRVMAEDILGPAWGRYFVGPIQFGVCYGAVVACILLGGQSLKFIYLLSTPKGSMQLYEFVSIFGILMLVLAQIPSFHSLRHINLVSLVLALAYSACTTAGSVHIGNSKNAPPKDYSINGAMQNRVFGAFNAISIIATTYGNGIIPEIQATVAPPVEGKMFKGLLVCYAVIIMTFFSVAISGYWAFGNQTKGVILINFMVDEKPSLPTWVLLMTNVLTLLQVAAVSVVYLQPTNDVFERKFADAKLDQFSIRNVVPRLVSRSLSVIIATAIAAMFPFFGDINAVIGAFGFIPLDFILPVIFYNVTFKPSKKGLMFWGNASIAVICSAVGMLGAISSIRQIILDASTYSLFANV >Potri.008G026600.1.v4.1 pep chromosome:Pop_tri_v4:8:1378306:1380808:1 gene:Potri.008G026600.v4.1 transcript:Potri.008G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026600.v4.1 MGTQLPTSVEVSEIDSEEGPSSSEQLDAGALFVLKSRGSWLHCGYHLTTSIVAPALLSLPYALSLMGWFPGVLCLILAALITFYSYNLLSLVLEHHAQIGRRQLRFRVMAEDILGPAWGRYFVGPIQFGVCYGAVVACILLGGQSLKFIYLLSTPKGSMQLYEFVSIFGILMLVLAQIPSFHSLRHINLVSLVLALAYSACTTAGSVHIGNSKNAPPKDYSINGAMQNRVFGAFNAISIIATTYGNGIIPEIQATVAPPVEGKMFKGLLVCYAVIIMTFFSVAISGYWAFGNQTKGVILINFMVDEKPSLPTWVLLMTNVLTLLQVAAVSVVYLQPTNDVFERKFADAKLDQFSIRNVVPRLVSRSLSVIIATAIAAMFPFFGDINAVIGAFGFIPLDFILPVIFYNVTFKPSKKGLMFWGNASIAVICSAVGMLGAISSIRQIILDASTYSLFANV >Potri.013G054200.1.v4.1 pep chromosome:Pop_tri_v4:13:3941789:3943855:1 gene:Potri.013G054200.v4.1 transcript:Potri.013G054200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054200.v4.1 MEEAVVVNKGDDQIHLPAGFRFHPTDEEIISHYLTEKVMKSGFCAIAIGEVDLNKCEPWDLPKKAKMGENEWYFFCQRDRKYPTGMRTNRATESGYWKATGKDKEIHKEKNCLVGMKKTLVFYRGRAPKGEKTNWVMHEYRLEGKFSYYSLPKVARDEWVVCRIFHKSTGMKKTSIHDLLRMNSLGDDFLDCSSLPPLMDPPNYTSSFSDADNNEFKAMMTSRSSDGNCFSNTSMLNNNQGFVQPPNTNYQTPNSSFHLQTPASNPLYTFQTNPNMPVYLQQGKSTNSFPNFQNSTFGNNGQTLLRALAAGNNYGEASDQLGKQCKVEQFSSNQSMVSLSQDTGLSTDVNTTTEISSVVSKQEIGSHNEFYESLEDPLAGPIADYMWDY >Potri.016G074200.1.v4.1 pep chromosome:Pop_tri_v4:16:5573437:5576221:1 gene:Potri.016G074200.v4.1 transcript:Potri.016G074200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074200.v4.1 MEEEFAKNAEAKQVKKRGPRPLIVAQSQAKKVRIRMPAICSPAIYRIKDELGLGSCDEAIHWLVRHVRPDLIPAPETPTKTKSSKTGPIPKTGSVDHDSVPKPACMASPDGDMPAYDFLPTAGGVPAARSPVKATVVQASTVFFDTPATLEKAERLIAGAASYGSQLLVFPEAFVGGYPTCVKLDATNSPETDGDLQKYYASAIDVPGPEVDRLAKFAGKYKVHLVMGVVERAGCYLYSTMLFFDSLGKCLGQHRKLIQTASESALWRSGEKSTLPTYETSIGKIGGLICWDNRLPLLRTELYDKGVEIYCAPTADAGEIWRASMTHIALEGSCFVLSANQFCRRRDYPLPPGNINGDASLDDITCAGGSVIISPSGTILAGPDYQGECLISADLDLGHIILAKTQYGGIESGVDKNHVSVAANGSEPSLFAAEMTTKALEELSG >Potri.015G057700.2.v4.1 pep chromosome:Pop_tri_v4:15:8008501:8014527:-1 gene:Potri.015G057700.v4.1 transcript:Potri.015G057700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057700.v4.1 MAMAAALRREGRRFASPLISPQPFTPVRSALIPEEHVPLGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRLIQSRAEKSRGLWQPFTALLGDTPSVDVKKNVIVTVSSDKGLCGGINSTAVKVSRAINKLTSGPDKETKFVILGEKAKVQLIRDSKKSITICMTELQKNPLNYTQVSVLADDILKNVEYDALRIVFNKFQSVVSFLPTMTTVLSPEVVEREAESDGKLGDLDSYEIEGGETKGEILQNLAEFQFSCVLFNAVMENACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELSEIISGAAALEG >Potri.002G130300.2.v4.1 pep chromosome:Pop_tri_v4:2:9886486:9891189:1 gene:Potri.002G130300.v4.1 transcript:Potri.002G130300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130300.v4.1 MEPTGLYWTSNYTSKKKLRQELKHCIEELHCNIVVMKGSKAKVLRLNLGSSNEIQTPYYSAASSPGMDVGKLLGHSKKHSTPVSSPEDQSTSYSRTREDSSSLSNDTEMPPFLVYEKNPLFVGLNEEKYTSKNNQSNYDDQLRSMYSDGERIISLSTDPISAVTSDQKSVFWIPQNHIVDEKAPITRNCKNTCEIKSPTSRTLLDKFVQYDQDARAGRLDHSHQKENVSSGIKHAVSLGRSSSAPPPLCSLCQHKAPTFGKPPRQFSYEELEEATEGFSDMNFLAEGGFSNVYRGVLRDGQVVAVKLLKYGGSQADADFCREVRVLSCAQHRNVVLLIGFCIDGKKRVLVYEYICNRSLDFHLHGNKRPPLDWNLRMKIAIGTARGLRYLHEDCRVGCVVHRDMRPNNILVTHDFEPMVADFGLARWHAECNISSEGRVNRTSGYLAPEYINSGKTTPTVDVFAFGVVLLELMTGQRISKLQFYKGQDFLSDLIHPVSALEPCHALENIYQLLDPCLASEQLPVFAYQLQAVGLATSLCLRQDPETRPPMSKVLRILEGGDLAVPLSLDLNSVGNRSGRLHGVSLNTKPDGRRGHSRKLSH >Potri.002G130300.1.v4.1 pep chromosome:Pop_tri_v4:2:9886670:9891173:1 gene:Potri.002G130300.v4.1 transcript:Potri.002G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130300.v4.1 MFQEKTEQQQSQQRATNTVADQVVIAVKAEKVMSKAALAWALTHVVHPGDCITLLAVFTNEKSGKKFWNFPRLAGDCGSNQLERLPDRVCEISENCSQMVLQFHNQIEVGVRIKVVSSTPGSVVAAEARRNGANWVVLDKKLRQELKHCIEELHCNIVVMKGSKAKVLRLNLGSSNEIQTPYYSAASSPGMDVGKLLGHSKKHSTPVSSPEDQSTSYSRTREDSSSLSNDTEMPPFLVYEKNPLFVGLNEEKYTSKNNQSNYDDQLRSMYSDGERIISLSTDPISAVTSDQKSVFWIPQNHIVDEKAPITRNCKNTCEIKSPTSRTLLDKFVQYDQDARAGRLDHSHQKENVSSGIKHAVSLGRSSSAPPPLCSLCQHKAPTFGKPPRQFSYEELEEATEGFSDMNFLAEGGFSNVYRGVLRDGQVVAVKLLKYGGSQADADFCREVRVLSCAQHRNVVLLIGFCIDGKKRVLVYEYICNRSLDFHLHGNKRPPLDWNLRMKIAIGTARGLRYLHEDCRVGCVVHRDMRPNNILVTHDFEPMVADFGLARWHAECNISSEGRVNRTSGYLAPEYINSGKTTPTVDVFAFGVVLLELMTGQRISKLQFYKGQDFLSDLIHPVSALEPCHALENIYQLLDPCLASEQLPVFAYQLQAVGLATSLCLRQDPETRPPMSKVLRILEGGDLAVPLSLDLNSVGNRSGRLHGVSLNTKPDGRRGHSRKLSH >Potri.001G122100.1.v4.1 pep chromosome:Pop_tri_v4:1:10014483:10015690:-1 gene:Potri.001G122100.v4.1 transcript:Potri.001G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122100.v4.1 MASGALASNALLLSLYILFLALLSSAEYCPPSPPKVKSPPPPPPKAKSPPPPPKVKSPPPPPPKVKSPPPPKVKSPPPPPKVKSPPPPKVKSPPPPPKVKSPPPPPPMVMSPPPPIVKSPPPPMVMSPPPPIVKSPPPPMAKSPPPPIDNSPPPPPMFKSPPPPPPSLPKGTCPRDTLKLQACANVLNLLKIFVGEKEKAKCCSLIDGLVDLDAAVCLCTRIKVDLLGLIKLDVPVAVELLLNECDRKVAEDFKCPPS >Potri.001G122100.2.v4.1 pep chromosome:Pop_tri_v4:1:10014481:10015690:-1 gene:Potri.001G122100.v4.1 transcript:Potri.001G122100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122100.v4.1 MASGALASNALLLSLYILFLALLSSAEYCPPSPPKVKSPPPPPPKAKSPPPPPKVKSPPPPPPKVKSPPPPKVKSPPPPPKVKSPPPPPPKVKSPPPPKVKSPPPPPKVKSPPPPKVKSPPPPKVKSPPPPPKVKSPPPPPPMVMSPPPPIVKSPPPPMVMSPPPPIVKSPPPPMAKSPPPPIDNSPPPPPMFKSPPPPPPSLPKGTCPRDTLKLQACANVLNLLKIFVGEKEKAKCCSLIDGLVDLDAAVCLCTRIKVDLLGLIKLDVPVAVELLLNECDRKVAEDFKCPPS >Potri.001G122100.3.v4.1 pep chromosome:Pop_tri_v4:1:10014483:10015690:-1 gene:Potri.001G122100.v4.1 transcript:Potri.001G122100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122100.v4.1 MASGALASNALLLSLYILFLALLSSAEYCPPSPPKVKSPPPPPPKAKSPPPPPKVKSPPPPPPKVKSPPPPKVKSPPPPPKVKSPPPPPPKVKSPPPPKVKSPPPPPKVKSPPPPKVKSPPPPPKVKSPPPPPPMVMSPPPPIVKSPPPPMVMSPPPPIVKSPPPPMAKSPPPPIDNSPPPPPMFKSPPPPPPSLPKGTCPRDTLKLQACANVLNLLKIFVGEKEKAKCCSLIDGLVDLDAAVCLCTRIKVDLLGLIKLDVPVAVELLLNECDRKVAEDFKCPPS >Potri.002G032400.1.v4.1 pep chromosome:Pop_tri_v4:2:2146703:2151508:1 gene:Potri.002G032400.v4.1 transcript:Potri.002G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032400.v4.1 MESANLHHQHDQLQDQFVGSSSLTTTTPSSCAEAGSTHAWTQTITLNSGNLSPNYNGVIFNPRQKYESPVTSVNSTMIQDLGFQHWNNNAGNFNSLSAYHELQLSKIKEELSSDSFPKFTEMLYSPSSTIEDPHPSSSSYFKDEQEGLSLGEKLLLKTISPGFPRNGHDQFSPREISSCHHNGSSFGSAIPSRESFSQIYPSINISNLNQPSSPLISGSFDMNLQGLDLLTSTRFSGSFAQPSDDPLAMFNKDSLSFGLDRMQQASQRPSCSPNKISSNNEMTEAKRPNRSLMEPKATQAAAPKKSRLESRVSCPPLKARKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSIPYMKSSGNKTSRSIQAAASNLGDEEPKRDLRSRGLCLVPLSCMSYVTSDGGGGGSIWPPPNFGGGT >Potri.005G008600.2.v4.1 pep chromosome:Pop_tri_v4:5:718114:722040:-1 gene:Potri.005G008600.v4.1 transcript:Potri.005G008600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008600.v4.1 CGRCYGCLEEERIGLLGIKALINPHSVYGYLGDWTVNKEDNCCKWSGIKCHTATRRAIQLSLWYARDLRLGDWVLNASLFFPFRELQSLDLSSTGLVGCFENQGFEVLSSKLELLNLSDNRFNDKSILSCLTGLSTLKSLDLSHNQLTGSASFYGFEIKSSHLRKLENLDLSYNMFNDNILSYLGGFSSLKSLNLSGNMLLGSTTVNGSRKLELLHSLGVLPSLKTLSLKDTNLSWTSISQETFFNSTTLEELYLDRTSLPINFLQNIGALPALKVLSVGECDLHDTLPAQGLCELKNLEQLDLYGNNLGGSLPDCLGNLSSLQLLDVSINQFTGNINSSPLTNIISLEFRSLSNNLFEFPILMKPFMNHSSLKFFDTLTFLKLSNNNLGGKLPDSVFNSSDFPPPSWKIWFELDLSNNQFSGMLPRWLVNSTLLCAIDLSKNHFKGPIPSDFCKLEVLEYLDLSKNKLFGSIPSCFNTPQITHVHLSENRLSGLLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFNGEFPVYLCWLEQLSILDVSQNQLSGPLPSCLGNLTFKASSKKALVDLGFVFPSRFIEKAYYDTMGPPLVDSIKNLESIFWPNTTEVIEFTTKNMYYGYKGKILTYMSGIDLSCNNFLGAIPQELGNLCEIHALNLSHNNLVGSIPATFANLKQIESLDLSYNNLNGAIPQQLTEITTLAVFSVAHNNLSGKTPERKYQFGTFDESSYEGNPFLCGPPLQNNCNEEESPSQPMPNDEQEDDGFIDMDFFYLNFGICYTIVVTTIAAVLYINPYWRRRWFYFIEDCIDTCNYFMVASFRKFSNFIR >Potri.003G211100.1.v4.1 pep chromosome:Pop_tri_v4:3:20892598:20899849:-1 gene:Potri.003G211100.v4.1 transcript:Potri.003G211100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211100.v4.1 MATKNCFLPTLLLVLGTVTSNVAAAAPSHINIASLNRSSFPGGFIFGTASSAYQYEGAAAKGGRGPSIWDIYTHRYPGKIKDGSNGDLAIDSYHRYKEDVGIMKEMDLDAYRFSISWSRILPNGKLSGGVNREGIQYYNNLINELLANGIQPFVTLFHWDLPHTLEDEYGGFLSPRIVNDYEDYAEICFKEFGDRVKYWITLNEPWTYSMGGYAAGLLAPGRCSDWQGLDCAGGDSGTEPYLAAHYQLLAHAKAVHLYKKKYQKTQKGVIGITLISQWFVPFSDAKHDQNAAKRALDFMLGWFMDPLTNGDYPHTMRSLVGDRLPKFSREQSEMIKGSFDFLGLNYYTTNYAAYMPHSNSPNASYLTDSRANLSTERHGVLIGPKAASDWLYIYPRGIRDLLLYVKREYRNPLIYITENGVDEFNNGSLTLKEALVDTMRVDYYHHHLDFLRRSIKDGVNVKGYFAWSLLDNFEWYAGYTVRFGINYVDYKDGLKRYPKLSAHWFKSFLKK >Potri.005G065800.3.v4.1 pep chromosome:Pop_tri_v4:5:4266576:4278974:-1 gene:Potri.005G065800.v4.1 transcript:Potri.005G065800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065800.v4.1 MYYQQQQSHPFLKIVVALPTMVSGCRGILDKGIQIDGLGMRSFMTYESNVLFALRFMIDCNVVGGNWIEVPAGKYKKTSKSLSNCQLEFDCLYSELISHAAEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTLQGEDQPFVRNIMTLNSCSPIVGVDVMSFDTEREVLIAWKEFIREVDPDIIIGYNICKFDLPYLIERAATLGISEFPVLGRIRNSRVRIKDATFSSRQYGTRESKEVTVEGRVQFDLLQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMLIYNYVEMARVTGVPLSFLLARGQSIKVLSQLLRKAKEKNLVIPNVKQAGSEQGKYEGATVLEPKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPECINKTPSGETFVKSNLQKGILPEILEELLTARKRAKADLKEAKDPFEKAVLDGRQLALKISANSVYGFTGATVAQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTILGGYEHNAEVIYGDTDSVMVQFGVPTVEAAMKLGREAAECISGTFIKPIKLEFEKVYYPYLLISKKRYAGLFWTNPDKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQFVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKGAKGAKAFEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLQGSHTRSISISTPSTGGIMKFAKKQLTCIGCKTLLSNSDRTLCSNCKGREAELYCKSVSQVSELEQLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAGAKTQLDRWSF >Potri.005G065800.6.v4.1 pep chromosome:Pop_tri_v4:5:4266514:4280070:-1 gene:Potri.005G065800.v4.1 transcript:Potri.005G065800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065800.v4.1 MNRNGSTRKRPPPTPQPPNPSPAVKHQAITPTVDEEFLDEDVFLDETLIAEDEENLNKERQVLTSRLSKWARPKLSDGYVSQSQSIIFQQLEIDYVIGETHKELLPDRSGSAAILRIFGVTGEGHSVCCLVHGFEPYFYVSCPPGMNPDDISHFHKILEGKMREVNRNTKVPKFVRRIELVHKRSIMYYQQQQSHPFLKIVVALPTMVSGCRGILDKGIQIDGLGMRSFMTYESNVLFALRFMIDCNVVGGNWIEVPAGKYKKTSKSLSNCQLEFDCLYSELISHAAEGEFSKMAPFRILSFDIECAGRKGHFPEPTHDPVIQVANLVTLQGEDQPFVRNIMTLNSCSPIVGVDVMSFDTEREVLIAWKEFIREVDPDIIIGYNICKFDLPYLIERAATLGISEFPVLGRIRNSRVRIKDATFSSRQYGTRESKEVTVEGRVQFDLLQVMQRDYKLSSYSLNSVSAHFLSEQKEDVHHSIISDLQNGNAETRRRLAVYCLKDAYLPQRLLDKLMLIYNYVEMARVTGVPLSFLLARGQSIKVLSQLLRKAKEKNLVIPNVKQAGSEQGKYEGATVLEPKAGFYEKPIATLDFASLYPSIMMAYNLCYCTLVTPEDVRKLNLPPECINKTPSGETFVKSNLQKGILPEILEELLTARKRAKADLKEAKDPFEKAVLDGRQLALKISANSVYGFTGATVAQLPCLEISSSVTSYGRQMIEHTKKLVEDKFTILGGYEHNAEVIYGDTDSVMVQFGVPTVEAAMKLGREAAECISGTFIKPIKLEFEKVYYPYLLISKKRYAGLFWTNPDKFDKMDTKGIETVRRDNCLLVKNLVTECLHKILIDRDVPGAVQFVKNTISDLLMNRMDLSLLVITKGLTKTGDDYEVKAAHVELAERMRKRDAATAPNVGDRVPYVIIKGAKGAKAFEKSEDPIYVLENNIPIDPQYYLENQISKPLLRIFEPILKNASKELLQGSHTRSISISTPSTGGIMKFAKKQLTCIGCKTLLSNSDRTLCSNCKGREAELYCKSVSQVSELEQLFGRLWTQCQECQGSLHQDVLCTSRDCPIFYRRKKAQKDMAGAKTQLDRWSF >Potri.006G096700.1.v4.1 pep chromosome:Pop_tri_v4:6:7363680:7364900:-1 gene:Potri.006G096700.v4.1 transcript:Potri.006G096700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096700.v4.1 MFSLKAINTSFAPTNHGFFHTRKPSNTKNSILFLCKSNDSDSEAPPPEGDTKKQELLAKIAMLQAQKVRLTDYLDERSDYLTQFAEEANAEFDKIGEDALKGLDEAGARIMENIESQMQAFEESAELNRTEIEKNDNKVADFEVQMENDRNEGMFFKSLGQKAPVDKAKAKEEAQKIKDLTNAKAGSKTRKNIYLALMGVLAIVIADSFLSSSPDWRKVAVLGAILVGLITQFSYEQRLASDIERAEKEQTDKEK >Potri.010G099900.1.v4.1 pep chromosome:Pop_tri_v4:10:12300925:12301230:-1 gene:Potri.010G099900.v4.1 transcript:Potri.010G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099900.v4.1 MELPGSSKPMIATQEEMVEARVPIPYRDQCAHLLIPLNKCRQSEFFLPWKCENERHVYEKCEYELVMERMLQMQKIREAEAKLKQSHKQGTIPLIPKTANA >Potri.003G167201.2.v4.1 pep chromosome:Pop_tri_v4:3:17637055:17644847:-1 gene:Potri.003G167201.v4.1 transcript:Potri.003G167201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167201.v4.1 MDVDNSFLEQQGRSTAAKRSSDSLNDCDSNGHSFLKKKPKQERDLGFAVPDRGCLAPVPLRTAPPPLTYNDHQEGHVGAGNNNKNNNNKDNQVAAVPVVRGCKQFWKAGDYEGGSVADSSADHSVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNAVDEVGHGASCVSIDVLNNSKDFSKMLLVEDNGGGMTPDRMRACMSLGYSAKSKMANTIGQYGNGFKTSTMRLGADVIVFSRCRGKDGNSVTQSIGLLSYTFLTATGKEDIVVPMIDFEKGGRGWNKKIRSSSNDWDMNLKTISRWSPFASEEELLQQFNFLKDQGTRIIIYNLWEEEDGHLELDFYTDAHDIQIRGDHRDVNNVVKATKYPNSRHFLTYQHSLRSYASILYLELPPSFRIILRGKEVEHHDLVKDMMLEQDISYKPVNVLEIVQENKNMAATGKIGFVKDAGNHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTSVLSRLEAKLINLQKTYWRTNCHKIGYAVRRQSKNLSSDTIQSACNKDIHSARTSQNGQCFTDGNKRSSHDNAIYQNGHGSESRQSRMKNGLNSCKISDVNDASEGVRHNAPFASRKSLHDPIKHQSTHLLTSPSYPKPVVISENGQITTNGNVKTISNSKAEDRESRFLWKQSLHFVQILVVPYTRLLNTLFLVICMLNRICGTENHLLQYERDKCRKLESQLQERTNELEAERKKTELLEKEFQCLVVMIQEERTRQTERENELVKKIKGGSQAIEDLRERARRLEAKELLSCKIEKNCY >Potri.003G167201.1.v4.1 pep chromosome:Pop_tri_v4:3:17637055:17644847:-1 gene:Potri.003G167201.v4.1 transcript:Potri.003G167201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167201.v4.1 MDVDNSFLEQQGRSTAAKRSSDSLNDCDSNGHSFLKKKPKQERDLGFAVPDRGCLAPVPLRTAPPPLTYNDHQEGHVGAGNNNKNNNNKDNQVAAVPVVRGCKQFWKAGDYEGGSVADSSADHSVGMDHVRVHPKFLHSNATSHKWALGAFAELLDNAVDEVGHGASCVSIDVLNNSKDFSKMLLVEDNGGGMTPDRMRACMSLGYSAKSKMANTIGQYGNGFKTSTMRLGADVIVFSRCRGKDGNSVTQSIGLLSYTFLTATGKEDIVVPMIDFEKGGRGWNKKIRSSSNDWDMNLKTISRWSPFASEEELLQQFNFLKDQGTRIIIYNLWEEEDGHLELDFYTDAHDIQIRGDHRDVNNVVKATKYPNSRHFLTYQHSLRSYASILYLELPPSFRIILRGKEVEHHDLVKDMMLEQDISYKPVNVLEIVQENKNMAATGKIGFVKDAGNHIDVQGFNVYHKNRLIKPFWRVWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTSVLSRLEAKLINLQKTYWRTNCHKIGYAVRRQSKNLSSDTIQSACNKDIHSARTSQNGQCFTDGNKRSSHDNAIYQNGHGSESRQSRMKNGLNSCKISDVNDASEGVRHNAPFASRKSLHDPIKHQSTHLLTSPSYPKPVVISENGQITTNGNVKTISNSKAEDRESRICGTENHLLQYERDKCRKLESQLQERTNELEAERKKTELLEKEFQCLVVMIQEERTRQTERENELVKKIKGGSQAIEDLRERARRLEAKELLSCKIEKNCY >Potri.001G339100.2.v4.1 pep chromosome:Pop_tri_v4:1:34802375:34803530:1 gene:Potri.001G339100.v4.1 transcript:Potri.001G339100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339100.v4.1 MIKLRSKRFCRGSFKLGGNGGGGGNTAVKGNERGSCGGTNNGEIKWELRPGGMLVQKRESGESVGELITVRVSTVSQWHDISIEATSTFEELKMVLSLVTSLEPKEQRLLFKGKERDNSEYLHMVGVRDKDKVLLLEDPAIKERKLHGLAGGQAIGTPCRTISV >Potri.014G003600.5.v4.1 pep chromosome:Pop_tri_v4:14:267614:274284:-1 gene:Potri.014G003600.v4.1 transcript:Potri.014G003600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003600.v4.1 MAAELFLTFAMQETLTRVSSIATEGIRLAWGLKGQLQRLNKSLTMIQAVLRDAAKRPETDDSVKLWLERLQDLAYDAEDVLDEFAYEILRKDQKKGKVRNCFSLHNPVAFRLNIDQKVKKINEALDEIRKDAAGFGLGLTSLPVDRAQEVSWDPDRETDSFLDSSEVVGREDDVSKVMELLTSLTKHQHVLSVVPITGMAGLGKTTVAKKVCEVVRERKHFDLTIWVCVSNDFNKVKILGAMLQMIDKTTGGLNSLDAILQNLKKELEKKTFFLVLDDVWNEDRGKWDDLKEQLLKINSKNGNAVVATTRSKKVADMMETSPGIQHEPGKLTDDECWSIIKQKVSSGGGATIASDLESTGKEIAKKCGGIPLLANVLGGTLHGKQAQEWKSILNSGIWDSQDGNKALRILRLSFDHLSSPSLKKCFAYCSIFPKDLKIEREELIQLWMAEGFLRPSNARMEDEGNKYFNDLLANSFFQDVERNEYEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRHLNLISRGDVEAAFPAVDARKLRTVFSMVDVFNGSWKFKSLRTLKLQRSHITELPDSIWKLRHLRYLDVSRTSIRALPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLARLQTLPFFVVGQNHMVGELGCLNELRGELQICKLEQVRDREEAEKAKLRGKRMNKLVLKWSLEGNSNVNNEYVLEGLQPHLDIRSLTIEGYGGEYFSSWMSTLQLNNMTELRLKDCSKSRQLPTLGCLPRLKILEMSGMPNVKCIGNEFYSSSGSAAVLFPALEKLTLSRIDGLEEWMVPGGEGYQVFPRLEKLSIRQCGKLRQLPTLGCLPRLKILEMSGMPNVKCIGNEFYSSSGSAAVLFQALEKLTLSRMDGLEEWMVPGGEGYQVFPCLEKLSIRQCGKLRQLPTLGCLPRLKILEIRGMPNVKCIGKEFYSSSSGSVAVLFPALKELTLGGMDGFEEWMVPGGEGDRVFPCLEELSIEMCGKLKSIPICGRSSLVRFEISKCDELRYLSGEFHGFTSLQFLRILRCSKLASIPSVQHCTALVGLDIWRCRELISIPGDFRDLKYSLKELMVDGCKLGALPSGLQCCASLEHLYIINWSELIHINDLQELSSLQYLIIKSCDKLISIDWQGLRQLPSLVELQIIACPSLSDIPEEDWLGGLTQLEFLSIGGFSEEMEAFPAGVLNSIQHLNLSGSLKRLEIHGWDKLKSVPHQLQHLTALEELEIFNFNGEEFEEALPEWLANLSSLQSLRIYNCKNLKYLPSSTAIQRLSKLKQLRIYLCPHLSENCREENGSEWPKISHIPTINIR >Potri.014G003600.4.v4.1 pep chromosome:Pop_tri_v4:14:267667:274258:-1 gene:Potri.014G003600.v4.1 transcript:Potri.014G003600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003600.v4.1 MAAELFLTFAMQETLTRVSSIATEGIRLAWGLKGQLQRLNKSLTMIQAVLRDAAKRPETDDSVKLWLERLQDLAYDAEDVLDEFAYEILRKDQKKGKVRNCFSLHNPVAFRLNIDQKVKKINEALDEIRKDAAGFGLGLTSLPVDRAQEVSWDPDRETDSFLDSSEVVGREDDVSKVMELLTSLTKHQHVLSVVPITGMAGLGKTTVAKKVCEVVRERKHFDLTIWVCVSNDFNKVKILGAMLQMIDKTTGGLNSLDAILQNLKKELEKKTFFLVLDDVWNEDRGKWDDLKEQLLKINSKNGNAVVATTRSKKVADMMETSPGIQHEPGKLTDDECWSIIKQKVSSGGGATIASDLESTGKEIAKKCGGIPLLANVLGGTLHGKQAQEWKSILNSGIWDSQDGNKALRILRLSFDHLSSPSLKKCFAYCSIFPKDLKIEREELIQLWMAEGFLRPSNARMEDEGNKYFNDLLANSFFQDVERNEYEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRHLNLISRGDVEAAFPAVDARKLRTVFSMVDVFNGSWKFKSLRTLKLQRSHITELPDSIWKLRHLRYLDVSRTSIRALPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLARLQTLPFFVVGQNHMVGELGCLNELRGELQICKLEQVRDREEAEKAKLRGKRMNKLVLKWSLEGNSNVNNEYVLEGLQPHLDIRSLTIEGYGGEYFSSWMSTLQLNNMTELRLKDCSKSRQLPTLGCLPRLKILEMSGMPNVKCIGNEFYSSSGSAAVLFPALEKLTLSRIDGLEEWMVPGGEGYQVFPRLEKLSIRQCGKLRQLPTLGCLPRLKILEMSGMPNVKCIGNEFYSSSGSAAVLFQALEKLTLSRMDGLEEWMVPGGEGYQVFPCLEKLSIRQCGKLRQLPTLGCLPRLKILEIRGMPNVKCIGKEFYSSSSGSVAVLFPALKELTLGGMDGFEEWMVPGGEGDRVFPCLEELSIEMCGKLKSIPICGRSSLVRFEISKCDELRYLSGEFHGFTSLQFLRILRCSKLASIPSVQHCTALVGLDIWRCRELISIPGDFRDLKYSLKELMVDGCKLGALPSGLQCCASLEHLYIINWSELIHINDLQELSSLQYLIIKSCDKLISIDWQGLRQLPSLVELQIIACPSLSDIPEEDWLGGLTQLEFLSIGGFSEEMEAFPAGVLNSIQHLNLSGSLKRLEIHGWDKLKSVPHQLQHLTALEELEIFNFNGEEFEEALPEWLANLSSLQSLRIYNCKNLKYLPSSTAIQRLSKLKQLRIYLCPHLSENCREENGSEWPKISHIPTINIR >Potri.004G168800.2.v4.1 pep chromosome:Pop_tri_v4:4:18647612:18648319:1 gene:Potri.004G168800.v4.1 transcript:Potri.004G168800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168800.v4.1 MTVGGQSVGRIVMELFADIVPRTAENFRALCTGEKGVGKSGKPLHFKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFVKKHTGPGVLSMANAGPGTNGSQFFVCTVKTEWLDGKHVVFGQVVEGMDVVKAIEKVGSGNGRTSKPVVVADCGQLS >Potri.004G168800.1.v4.1 pep chromosome:Pop_tri_v4:4:18647587:18648105:1 gene:Potri.004G168800.v4.1 transcript:Potri.004G168800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168800.v4.1 MSNPKVFFDMTVGGQSVGRIVMELFADIVPRTAENFRALCTGEKGVGKSGKPLHFKGSSFHRVIPNFMCQGGDFTAGNGTGGESIYGAKFADENFVKKHTGPGVLSMANAGPGTNGSQFFVCTVKTEWLDGKHVVFGQVVEGMDVVKAIEKVGSGNGRTSKPVVVADCGQLS >Potri.004G105600.1.v4.1 pep chromosome:Pop_tri_v4:4:9270540:9272323:-1 gene:Potri.004G105600.v4.1 transcript:Potri.004G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105600.v4.1 MIHVPEFHLGKNCLDNGMTKLLITLVLIILAPMIPLYILNISSPIWLPYKSSESRKCDIFRGTWISYQNMPYYNNATCREIFDQQNCMKFGRPDTDFMKWKWKPDECELPRFDAEQFLELVRGKSMAFVGDSLGRNQMQSLQCLLARVVYPEDIISYTEDKRFKRWFYVNYNFTLASSWAPHLVRTIDTDPNGPTNNRLLNLYLDEADDAWAAQIETYDYVIISAGRWFYGPQVFYENGKVVGCHRCLKNTIKNLTMFYGYRKAFRTSFKTLLSLARFSGVTFLRTLSPAHFENGEWNKGGNCVRTQPVSNGEMKLEGGDLELYLTEVKEFRRAKREGKRRGLDFRLLDISAAMVVRPDGHPNHYGHWPHENVTIADCVHWCLPGPIDTWNELLLQMLKRERH >Potri.019G031700.2.v4.1 pep chromosome:Pop_tri_v4:19:4446607:4457428:1 gene:Potri.019G031700.v4.1 transcript:Potri.019G031700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031700.v4.1 MSAFPSPQNLMNAQLQDFGFQGNDLKAESVEPQVTVTLLIKHLPEAIPFKTLSRLFSHYGAVSVRPCNSGRLKNCAFVDFKSESLAYQAHTQLNGLSFLGKVLSVERASKLSEAQQGKESVPPTSLIEDASVTRDVGDGSKFRSFPASEPIAPRLGVDYPFPPHLEYAYPPPDGNILTNIVNALIAVPRFYTQVLHLMNKMNIPAPFRMALPTPPLPPAALVPPPPPPPPRISEKSPLAEQSSSESEMESSDEEFDDKALYEASGAVKPRRKRVKREAIVGPAADKDIVHEAVGLKPAFLVPKEIPVIKKKNPVLQIKIAPRVTQNEHKDDNIATESEDPDKEGCRRNRE >Potri.001G330250.1.v4.1 pep chromosome:Pop_tri_v4:1:33892542:33893434:1 gene:Potri.001G330250.v4.1 transcript:Potri.001G330250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330250.v4.1 MCRKKIDAKADSSNHEQERKEYIIFMSSHNLTSTLKDILQKVTGSTDSIGYYKVKFCIVKLTEEEVRKLASTYAVSIVTTKLLDYNDLIDK >Potri.001G330250.2.v4.1 pep chromosome:Pop_tri_v4:1:33892542:33893434:1 gene:Potri.001G330250.v4.1 transcript:Potri.001G330250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330250.v4.1 MCRKKIDAKADSSNHEQERKEYIIFMSSHNLTSTLKDILQKVTGSTDSIGYYKVKFCIVKLTEEEVRKLASTYAVSIVTTKLLDYNDLIDK >Potri.012G116050.2.v4.1 pep chromosome:Pop_tri_v4:12:13392096:13398198:-1 gene:Potri.012G116050.v4.1 transcript:Potri.012G116050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116050.v4.1 MRLMVVRDAYDTMLMHLHLNTVNRFKTSLEQSLNEGKEYVAAIHLCSQSCMREFDQVCEDAAIQQSEWNASKFREKLICDMLSEMMAKYKKQITLVLAKRVESLLEAGERDTWASIRNLFECNTEAAVSEFSDAAVSFNLHSSEIDTKLQHLRKHARKLLKKKARQAADARRVLMRMKDRYITSLLLSSFSILFFITDLGRILGFHRSSVTMKTQFHGIIGLRK >Potri.010G195300.1.v4.1 pep chromosome:Pop_tri_v4:10:18947409:18950920:-1 gene:Potri.010G195300.v4.1 transcript:Potri.010G195300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195300.v4.1 MENIRESHVQHRKCRRIILFPPPLEGHINPMIQLANILYSKGFSITIIHTQFNAPNPSKCPHFTFHAIPDGLLEDEASTADGVIRFSVLNSKCVEPFRDCLAKLLLDAVDQEPVACLITDAVWHFTHAVAEGFKIPTIAMRTTSISSFLAFDSFPLLLERGYFPIQDSRLEESVQELPPLKVKDLPVIKTRFPATLHQQFEKISNQAKACSGLIWNSFEEIERDALSKLSQVFTVPIFHIGPFHKYFPASSSLITPDQSCISWLDTQTPNSVLYVSFGSLAAVNETEFLEMAWGLLHSNQPFLWVVRPGLVRGSESSESLPDGFLEMVGKRGYIVKWAPQQQVLAHPATGGFWTHNGWNSTLESICEGVPMICQPFSGDQRVNARYVSDVWKIGIHLEYNKLERREIERAIKGLMVETKGQGMRQRIVSLKEKVNLCVSHGGSSYHSLENLTKYIMSF >Potri.012G039901.3.v4.1 pep chromosome:Pop_tri_v4:12:3547074:3549662:-1 gene:Potri.012G039901.v4.1 transcript:Potri.012G039901.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039901.v4.1 MKGTWEAMEECSRLGLCKSIGVEMNAAWQQKKLLEFCKEKGIHVSAWSPLGANGACWGSLAVMESPILKEIAAAKVKSVAQIALRWIQEQGASVIVKSFNKERMKLNLQIFDWELSTEDTEKIKNIPQRRGYSGEMFISKDYGPYKSLEEFWDDDIDNYQ >Potri.012G039901.2.v4.1 pep chromosome:Pop_tri_v4:12:3547042:3549125:-1 gene:Potri.012G039901.v4.1 transcript:Potri.012G039901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039901.v4.1 MKGTWEAMEECSRLGLCKSIGVSNFSSKKISQLLEHATISPAVNQVEMNAAWQQKKLLEFCKEKGIHVSAWSPLGANGACWGSLAVMESPILKEIAAAKVKSVAQIALRWIQEQGASVIVKSFNKERMKLNLQIFDWELSTEDTEKIKNIPQRRGYSGEMFISKDYGPYKSLEEFWDDDIDNYQ >Potri.017G123100.1.v4.1 pep chromosome:Pop_tri_v4:17:12789634:12796701:1 gene:Potri.017G123100.v4.1 transcript:Potri.017G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123100.v4.1 MDPMQEDNYSDEFDMQLIGNFLSFASRGDRVGLNQMLRDGISPNVQDYDKRTALHLAASEGHAPIVELLLHYKANVNLKDRWQRTPLTDARLYSYRDICRILEVNGGKDFINDHPMTFRHEQDCIEMNFDISELNTEQSSIVEQGVFGESVKVKWRGTWVVKTVIKSQIYHPVKMILSAKDNTLLRQLQHPNILQFLGSIVHREEMILITQHLPKGNLDGILTTKVRLDIPTALRYALDIARGMNYLHEHKPLPIVHNHLTPRNLLLDEGGHLKIGDYWVQMLYEQIHPNQDNSQRNDGSIMSSNQSNDTKKDIRSFGFIFYQMLEGRVLSNMNFDKSTDFELRFQISRCPNRIQQLIQHCTSKNPCQRPSFSSVIEILEEVSAYLGRAGCSPVC >Potri.007G025100.2.v4.1 pep chromosome:Pop_tri_v4:7:1921443:1921715:-1 gene:Potri.007G025100.v4.1 transcript:Potri.007G025100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025100.v4.1 MGSSSFPQPNSNWSEQKNKLFENALAIYDKDSPDRWRNIASFVGETTEEEVKKQYEILLDDIKRIESDQVPLPNYKNHEESIKENSNMSN >Potri.002G226900.1.v4.1 pep chromosome:Pop_tri_v4:2:21574762:21578477:1 gene:Potri.002G226900.v4.1 transcript:Potri.002G226900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226900.v4.1 MEVGGRAGPQTTTASSSSSSSAMLLYNLPLLSALLSFALAQFLKLFTTWFKEKRWDSKRMLDSGGMPSSHSATVTALAVAIGLQEGTGSPAFAIVVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSVRPLRELLGHTHLQVVAGAILGFIVGYLMRNTN >Potri.002G196500.1.v4.1 pep chromosome:Pop_tri_v4:2:15924728:15925665:-1 gene:Potri.002G196500.v4.1 transcript:Potri.002G196500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196500.v4.1 MELITGFNKVIVLMLMLMLLRKTTAYSEEYDKKCYDKCFRSCVDQGNIPWQCSSHCMDACSNNLDVIRYCNVGCSLQNCNKIMDDEVKRHICLKECSNTHCNPKHFKKSP >Potri.002G196500.6.v4.1 pep chromosome:Pop_tri_v4:2:15924787:15932310:-1 gene:Potri.002G196500.v4.1 transcript:Potri.002G196500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196500.v4.1 MSNKRQLPMHLRVNLVKRVEHISCLFGWAKARLIKRKFTEMELITGFNKVIVLMLMLMLLRKTTAYSEEYDKKCYDKCFRSCVDQGNIPWQCSSHCMDACSNNLDVIRYCNVGCSLQNCNKIMDGNTNPFSCLYF >Potri.006G016500.1.v4.1 pep chromosome:Pop_tri_v4:6:1041340:1042027:-1 gene:Potri.006G016500.v4.1 transcript:Potri.006G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016500.v4.1 MGKENTDPIVIQSSIALLQERFRQLQRVKAMREEKELSRNIHVESTKQSSPTMQYHEPAAANRLFFHPELILQPRSPPHVCLSLWPNPQSKQAGFRCEETPALMSLSSTKTPPKLGVLDKFDASATDDDDIDTSLHL >Potri.017G044000.1.v4.1 pep chromosome:Pop_tri_v4:17:3066198:3078741:-1 gene:Potri.017G044000.v4.1 transcript:Potri.017G044000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044000.v4.1 MTRSTRKFRVFEFDEEEEDRVEKESAKFVGKFRIQKRKRNDNKKDDDASSPLTKYNFLQCFGGCTGTVKIERSNEPIDIDDGPIDVDTGGEMATLRKGKSDEVVYIDTTIIDNQCQYSVSVSARMPQEDCADKEEISQMETLITDDGPIDVDAGVAGEINTLCKGKSDEVVDIDTTILDDQCQCSVSVPAQMPQEDCAVKEEISQLDTLGIDIDDGPIDVAIGVAGETDTLRKGNSDGVVDIDATIIDDQCQYSVSVPACMPQEDCADNEISQLDTLRLSSFSNYENESVGMISDNDVSIEMSSSTSVSTPSEDEVPSGNQVLECASLGHKIDYTNYTVAVFPDYILCGDIYGTESCLTFSGSSIRMEGSTANGVKGIFNAEWNLDDLISIESEWCEMVTTAMVYLCLKSKVSEGAGNTNDASDVDKLKFSVYDPHWHEGEEAIKSLNVRYKDIWNVTSESDLEKDGNASFGHNGMFTSKPYFPFIHETFEEVIYPKGDPDAVSISKRDVELLHPETFINDTIIDFYIQYLKNKIQPDDRQRFHFFNSFFFQKLADLDKGPSNACEGRIAFQRVRKWTRKLNIFEKDYIFIPVNYSLHWSLIVICHPGEVVHSREDESGNSRKVPCILHMDSIRGSHKGLKNLIQSYLYEEWRERHNEIVDDTLSKFLHLRFVALELPQQENLYDCGLFLLHYVELFLEEAPIDFSPFKITEFSNFLSRNWFIPGEASLKRTHIQKLICEIIEDQSCTQSSDPNEQETGVEFLEEVCSAVSGPDTDMEIQVSLTAKSPISGAQRRRLEELGLNSTDLLKPGTSARFFSNGNCWQTGTLHWRTCMSPVEEAEETGERISDSLSYTEDDQLPAGLAVEFPSTSLSLKDLRSLGSSRNKKYMQLEEPYDDSSPEASISRSPKSSEIGVVGVDEDRLHSQFEGLDHQKHTDCHELSSKSTEPEEFVEGSREGNCMHNDHVTNDSPSSFHNTGNCNKLGASVDAMNTAENFLRGVQKPVIDLTSDEHTAERTKHTSDGI >Potri.003G048700.1.v4.1 pep chromosome:Pop_tri_v4:3:7083634:7091504:-1 gene:Potri.003G048700.v4.1 transcript:Potri.003G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048700.v4.1 MMKLSRFLNFNRNAVGKPTDVRDGSALHALTFQASISTGGKSSIQDRVFAPYSVFKGKAALSVEPVLPTFSKFGSGNLRVDRRGSMMLTFLPAIGERKYDYEKRQKFALSATEVGSLISTGPKDSCEFFHDPSMLSSNAGQVRKNLSIKPHADGSGYFVSLSVVNNILKTTERFTVPVTTAEFTVLKTACSFALPHIMGWDRLTTPLPGTLASKLSKVDPQMPDLEWDK >Potri.001G166200.2.v4.1 pep chromosome:Pop_tri_v4:1:14146221:14148149:-1 gene:Potri.001G166200.v4.1 transcript:Potri.001G166200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166200.v4.1 MANLAQNSCKSPDQISSFAKKCNLLSQYLKEKGSFGDISLGINGKAPEVKGPETSDLPATTLNLLTNMENSSEHITFRQKPVASSNMMKSMDFFPQFVGFSPSNYTEDAINKADNHLRKSSTMDPGPTQMTIFYAGKLTVFNDIPAGKAEEIMALATKGSSISPNGFPSDPSIIKVNSANSVAALDSNNAQQRLHLQSEAPNGSDVPHATRASLHRFFSKRKERVTARAPYQTHNPTHDLPSSSRPKEDSNSLLALNEGQSSKQLELKL >Potri.008G081300.1.v4.1 pep chromosome:Pop_tri_v4:8:5094855:5100484:1 gene:Potri.008G081300.v4.1 transcript:Potri.008G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081300.v4.1 MGGVFSDAQSKQGCYGRGRSSQPKGSRFEKKESIKQKYRFIPDNFSSLEQVTTALREAGLESSNLIVGIDFTKSNEWTGKVTFNNRCLHVIGDTPNPYEKAISIIGNTMAPFDEDNLIPCFGFGDATTHDQEVFSFHGDHSPCHGFEEVLTCYKKIVPNLRLAGPTSYGPVIEAAIDIVQKSKGQYHVLIIIADGQVTRSDNSSDRELSPQEEQTIKAIVDASSYPLSIILVGVGDGPWEDMKRFDDKIPDRKFDNFQFVNFTEIMAKKATLSEKEAAFALTALMEIPFQYKAAVEFGVIGCSTGRAKKIVPRPPPVPYSSRSVQCREPTNVSSPVLDERTQACPICLTDGKDLAFGCGHMTCRDCGSIVSNCPICRQPITSRMKLFS >Potri.008G081300.4.v4.1 pep chromosome:Pop_tri_v4:8:5097480:5100484:1 gene:Potri.008G081300.v4.1 transcript:Potri.008G081300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081300.v4.1 MLQKDCSKLATCRCMLLFQGPTSYGPVIEAAIDIVQKSKGQYHVLIIIADGQVTRSDNSSDRELSPQEEQTIKAIVDASSYPLSIILVGVGDGPWEDMKRFDDKIPDRKFDNFQFVNFTEIMAKKATLSEKEAAFALTALMEIPFQYKAAVEFGVIGCSTGRAKKIVPRPPPVPYSSRSVQCREPTNVSSPVLDERTQACPICLTDGKDLAFGCGHMTCRDCGSIVSNCPICRQPITSRMKLFS >Potri.008G081300.2.v4.1 pep chromosome:Pop_tri_v4:8:5097480:5100487:1 gene:Potri.008G081300.v4.1 transcript:Potri.008G081300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081300.v4.1 MAPFDEDNLIPCFGFGDATTHDQEVFSFHGDHSPCHGFEEVLTCYKKIVPNLRLAGPTSYGPVIEAAIDIVQKSKGQYHVLIIIADGQVTRSDNSSDRELSPQEEQTIKAIVDASSYPLSIILVGVGDGPWEDMKRFDDKIPDRKFDNFQFVNFTEIMAKKATLSEKEAAFALTALMEIPFQYKAAVEFGVIGCSTGRAKKIVPRPPPVPYSSRSVQCREPTNVSSPVLDERTQACPICLTDGKDLAFGCGHMTCRDCGSIVSNCPICRQPITSRMKLFS >Potri.008G081300.3.v4.1 pep chromosome:Pop_tri_v4:8:5097480:5100484:1 gene:Potri.008G081300.v4.1 transcript:Potri.008G081300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081300.v4.1 MAPFDEDNLIPCFGFGDATTHDQEVFSFHGDHSPCHGFEEVLTCYKKIVPNLRLAGPTSYGPVIEAAIDIVQKSKGQYHVLIIIADGQVTRSDNSSDRELSPQEEQTIKAIVDASSYPLSIILVGVGDGPWEDMKRFDDKIPDRKFDNFQFVNFTEIMAKKATLSEKEAAFALTALMEIPFQYKAAVEFGVIGCSTGRAKKIVPRPPPVPYSSRSVQCREPTNVSSPVLDERTQACPICLTDGKDLAFGCGHMTCRDCGSIVSNCPICRQPITSRMKLFS >Potri.007G044750.1.v4.1 pep chromosome:Pop_tri_v4:7:3930034:3930943:-1 gene:Potri.007G044750.v4.1 transcript:Potri.007G044750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044750.v4.1 MLHGHLFIHLFFTILLLGCFLTFILRDYLKIAVPIRRVDFLSSDFRPRVLGVKPNLTPLTCSFHANVFAFQQSTDKKH >Potri.008G090800.1.v4.1 pep chromosome:Pop_tri_v4:8:5672473:5678408:-1 gene:Potri.008G090800.v4.1 transcript:Potri.008G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090800.v4.1 MSSETPSSVADTSTAGISSSSSTAGKQKEKARVSRTSLILWHAHQNDAAAVRKLLEEDPSLVSARDYDKRTPLHVASLHGWIDVAKCLIEFGADVNAQDRWKNTPLADAEGAKKHSMIELLKSYGGLSYGQNGSHFEPKPVPPPQPNKCDWEIEPSELDFSNSNIIGKGSFGEILKASWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTERKPLMLITEYLRGGDLHQYLKEKGALSPSTAINFALDIARGMACLHNEPNVIVHRDLKPRNVLLVNSNADHLKVGDFGLSKLIKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPFSNFEPYEAAKYVAEGHRPTFRSKGFNVFELRELTDQCWAADMNRRPTFLEILKRLEKIKENLPTDHHWHIFNP >Potri.015G082200.2.v4.1 pep chromosome:Pop_tri_v4:15:10734267:10736756:-1 gene:Potri.015G082200.v4.1 transcript:Potri.015G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082200.v4.1 MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVHRLQKELDSANADLIRYACNEIPTALPAPPGASSIQSMAPRQRPVEYNNRRMGNEGGYYQAPGMPIPYTLPWNDNPSGDSNEGGGEGNM >Potri.015G082200.3.v4.1 pep chromosome:Pop_tri_v4:15:10734267:10736756:-1 gene:Potri.015G082200.v4.1 transcript:Potri.015G082200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082200.v4.1 MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVHRLQKELDSANADLIRYACNEIPTALPAPPGASSIQSMAPRQRPVEYNNRRMGNEGGYYQAPGMPIPYTLPWNDNPSGDSNEGGGEGNM >Potri.013G161100.4.v4.1 pep chromosome:Pop_tri_v4:13:15493494:15494164:1 gene:Potri.013G161100.v4.1 transcript:Potri.013G161100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161100.v4.1 MIRVVECSIFLPLHFVHVLVCPRREVKRFIDLSADETSDLWLTAKKVGRQLESFYMATSLTFTIQDGPRAGQSVPHVHVHIIPRKDGDFEKNDEIYDAIDESEKELKRKLDLDEERRDRSMEEMAQEADGYRLLFS >Potri.005G213800.2.v4.1 pep chromosome:Pop_tri_v4:5:21732085:21736792:1 gene:Potri.005G213800.v4.1 transcript:Potri.005G213800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213800.v4.1 MEEIPLINLRRPDYSPLCSNIPLPESPKLPMEFLSRSWSVSALEVSKSLSCMASNKSASSSSCTTASSIPEDVTGEMTEEIVQTNPSANYQFSFASSATSQLLLERIMSQSEVSPLASGRLSHSSGPLNLTESDSPPISPSDDFEDIVKYFRTHNSLNPLFNGGRASAGPGSGAPPSGSKTVGRWLKDRKEKKKEETRAQNAQLHAAVSVAAVASAIAAIAAATASASAARRNEQLARTDMAVASAATLVAAQCVEAAEAMGAERDHLASVVSSAVNVHSHDDITTLTAAAATALRGAATLKARALKDVLNVAAAIPIERCTGICGAGNNGHHNRSYSGELVNGENFLGACSVEFLARGSELLKRTRQGDLHWKIVSVYLHRTGQVMLKMKSRHVAGTITKKKKNIVLEVCKDMPAWPGRHLLEGGDHRRYFGLKTLARGIVEFECKNQREHDIWTQGVSRLLSTVSQRKNRILQF >Potri.007G078100.1.v4.1 pep chromosome:Pop_tri_v4:7:10225622:10230211:1 gene:Potri.007G078100.v4.1 transcript:Potri.007G078100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078100.v4.1 MNPSTKMKAHFLALLLSLTFLSLQASPPPFLSSTNEDTQNLINFKTTLSNPSLLQNWLPNQNPCTFTGVKCHETTNRVTSIGLANISLSCDFHSVATFLLTLESLESLSLKSANISGSISFPPGSKCSSVLSYLDLSQSSLSGSVSDIATLRSCPALKSLDLSGNSIEFSVHEEKSSGLRGLSFKFLDLSFNKIVGSNAVPFILSEGCNELKHLALKGNKLSGDIDFSSCKNLQYLDVSANNFSSSVPSFGKCLALEHLDISANKFYGDLGHAIGACVKLNFLNVSSNKFSGSIPVLPTASLQSLSLGGNLFEGGIPLHLVDACPGLFMLDLSSNNLTGSVPSSLGSCTSLETLHISINNFTGELPVDTLLKMTSLKRLDLAYNAFTGGLPDSFSQHASLESLDLSSNSLSGPIPTGLCRGPSNNLKELYLQNNRFTGSVPATLSNCSQLTALHLSFNYLTGTIPSSLGSLYELRDLNLWFNQLHGEIPPELMNIEALETLILDFNELTGVIPSGISNCTNLNWISLSNNRLSGEIPASIGKLGSLAILKLSNNSFYGRIPPELGDCRSLIWLDLNSNFLNGTIPPELFKQSGSIAVNFIRGKRYVYLKNAKSEQCHGEGNLLEFAGIRWEQLNRISSSHPCNFSRVYGEYTQPTFNDNGSMIFLDLSYNMLSGSIPAAIGSMSYLYVLILGHNNFSGNIPQEIGKLTGLDILDLSNNRLEGIIPPSMTGLSLLSEIDMSNNHLTGMIPEGGQFVTFLNHSFVNNSGLCGIPLPPCGSASGSSSNIEHQKSHRRLASLAGSVAMGLLFSLFCIFGLLIVVVEMKKRKKKKDSALDVYIDSRSHSGTANTAWKLTGREALSISIATFESKPLRNLTFPDLLEATNGFHNDSLIGSGGFGDVYKAELKDGSIVAIKKLIHISGQGDREFTAEMETIGKIKHRNLVPLLGYCKVGEERILVYEYMKYGSLEDVLHNQKKTGIRLNWAARRKIAIGAARGLTFLHHSCIPLIIHRDMKSSNVLLDENLEARVSDFGMARLMSTMDTHLSVSTLAGTPGYVPPEYYQSFRCSIKGDVYSFGVVLLELLTGKRPTDSSDFGDNNLVGWVKQHAKLRISDVFDPVLLKEDPNLEMELLQHLKVACACLDDRPWRRPTMIQVMATFKEIQAGSGLDSQSTTGTEDGGFSAVEMVEMSIKEGPELSKQ >Potri.002G207500.1.v4.1 pep chromosome:Pop_tri_v4:2:18177079:18177918:1 gene:Potri.002G207500.v4.1 transcript:Potri.002G207500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207500.v4.1 MASFCSIFLAMFTVFMAYFSSPALTSQIHVQFSTISAAPAFLHDAPSFSPPTLSPDIEPLFPTPGVGAPSPTESSIPTIPSNPSPPNPDDMLAPGPAGSSISPSGSLPAYSSVSLTSSGPLNLAVFLGLLVFCLVQPSGIM >Potri.017G032300.2.v4.1 pep chromosome:Pop_tri_v4:17:2210681:2212420:1 gene:Potri.017G032300.v4.1 transcript:Potri.017G032300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032300.v4.1 MEKQERICHVVVIPYPVQGHINPMIQFSKRLASKGLQVTLVIFSSQTLSTPASLGSVKVVTISDGYDAGRSSIADLLKQFQDTVTQKLPQLVVELGISSGHPVSCLVYDSFMPWVLEIARQLGLIGASFFTQSCAVNSVYYQIHEGQLKIPLEKFPVSVQGLPPLDVDELPSFVHDMESEYSSILTLVVNQFSNFRGADWIFVNSFNTLEEEVVNCLASQRSIKPIGPMIPSVYLDRQLEDDTEYGLSLFKPAVDGCMEWLDSKETGSVVYVSFGSLAALGKEQMAEIAWGLRRSDCYFLWVVRESEEKKLPCNFVEGSSEKGLIVTWSPQLEVLSHKSVGCFMTHCGWNSTLEALSLGVPMVAMPQWTDQPTNAKYIEDVWRVGVRVKANEKGIVTKEELEKCTREVMEGERGSEMRRNSEKWKKLAKTAMGEGGSSDKNITEFAAKIASKFNETTDSKA >Potri.015G120100.1.v4.1 pep chromosome:Pop_tri_v4:15:13445008:13446066:1 gene:Potri.015G120100.v4.1 transcript:Potri.015G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120100.v4.1 MSEAADPAEVEHDKDEGRWRFKPRGLHITWSSNTSYWKMPEKGTDGPAELMAVCWLEIDGSTSEPLSKGKGYALSFKISMTKQDSVWKEGPVFMLAKVGKKGIAKWEKINLGDMRIGNIIEIPYGKLRFEVPKKAEDTRLYFGLYELWTGKWKEGLQIHEAVVEEMPD >Potri.001G354500.1.v4.1 pep chromosome:Pop_tri_v4:1:36815214:36816545:1 gene:Potri.001G354500.v4.1 transcript:Potri.001G354500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354500.v4.1 MVLSQQIKLVALLLLVLNFCMYVIVLGIGGWAMNRAIDHGFIIGPGFDLPAHFSPIYFPMGNAATGFFVMFALIAGVVGVASAIVGLNHIRTWTGDSLPSAASVAAVSWTLTLLAMGFAWKEIELSIRNARLRTMEAFLIILSATQLLYIAAIHGASSFRRP >Potri.006G247300.8.v4.1 pep chromosome:Pop_tri_v4:6:24733285:24735720:-1 gene:Potri.006G247300.v4.1 transcript:Potri.006G247300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247300.v4.1 MATINFGGISLMMPELSHANGKGYGNCVSVKVVPRKRLVSVSASASAKSMESSGSVTDQKPEIELEFIGPKPEADGKYPVERAKAISGEKLLRNIMSDNKIELYATYGKVMNCGGGGSCGTCIVEILDGNDLLNERTNTELRYLKKNPESWRLACQTIVGNKENSGKVVVQRIPQWKK >Potri.012G139000.1.v4.1 pep chromosome:Pop_tri_v4:12:15178578:15182871:-1 gene:Potri.012G139000.v4.1 transcript:Potri.012G139000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139000.v4.1 MAIFFKLVFFCLLVLTQSLVLVSKYTEDQNTDRKSLISFKNALKTPKVLSSWNTTSHHCSWVGVSCQLGRVVSLILSAQGLEGPLYSSLFDLSSLTVFDLSYNLLFGEVPHQISNLKRLKHLSLGDNLLSGELPSELGLLTQLQTLQLGPNSFAGKIPPELGRLSQLNTLDLSSNGFTGSVPNQLGKLTGLQLLDLGNNLLSGSPVTLFKLESLTSLDISNNSFSGPIPPEIGNLKNLSDLYIGVNLFSGPLPPQIGDLSRLVNFFAPSCAITGPLPEEISNLKSLSKLDLSYNPLKCSIPKSVGKMESLSILYLVYSELNGSIPAELGNCKNLKTLMLSFNSLSGVLPEELSMLPMLTFSADKNQLSGPLPAWLGKWNQVESLLLSNNRFTGKIPAEVGNCTALRVISLSSNMLSGEIPRELCNPVELMEIDLDGNFLAGDIEDVFLKCTNLSQLVLMNNQINGSIPEYLAELPLMVLDLDSNNFSGTIPLSLWNSLNLMEFSAANNFLEGSLPAEIGNAVQLERLVLSNNQLGGTIPKEIGNLTALSVLNLNSNLFEGNIPVELGHSVALTTLDLGNNQLCGSIPEKLADLVQLHCLVLSHNKLSGSIPSKPSLYFREASIPDSSFFQHLGVFDLSHNMLSGSIPEEMGNLMFVVDLLLNNNKLAGEMPGSLSRLTNLTTLDLSGNMLTGSIPPELVDSSKLQGLYLGNNQLTGTIPGRLGVLCSLVKLNLTGNQLHGPVPRSLGDLKALTHLDLSYNELDGELPSSVSQMLNLVGLYVQQNRLSGPLDELLSSSMAWKIETMDLSNNFFDGNLPRSFGNLSYLTYLDLHGNKFTGTVPVELGNLMQLEYFDVSGNRLSGKIPENICVLVNLFYLNLAENSLEGPVPRSGICLNLSKISLAGNKDLCGRILGLDCRIKSFNKSYFLNAWGLAGIAVGCMIVALSTAFALRKWIMRDSGQGDPEEIEERKLNSFIDKNLYFLSSSRSKEPLSINIAMFEQPLLKITLVDILEATNNFCKTNIIGDGGFGTVYKATLRDGKTVAVKKLSQAKTQGDREFIAEMETLGKVKHQNLVALLGYCSLGEEKLLVYEYMVNGSLDLWLRNRSGALDVLDWPKRFKIATGAACGLAFLHHGFTPHIIHRDIKASNILLNENFEPRVADFGLARLISACETHVSTDIAGTFGYIPPEYGQSGRSTSRGDVYSFGVILLELVTGKEPTGPDFKEVEGGNLVGWVSQKIKKGQTADVLDPTVLSADSKPMMLQVLQIAAVCLSDNPANRPTMLKVLKFLKGIRDE >Potri.009G112553.1.v4.1 pep chromosome:Pop_tri_v4:9:9593228:9593917:1 gene:Potri.009G112553.v4.1 transcript:Potri.009G112553.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112553.v4.1 MEARTKNFVVVALVMAFALVSNPIAAKGQVTLCGMTKEGFASCKPSVQTGVNPLPPSYSCCSALEKADLSCLCFFKKNYPKMLTDNNIDPNLAMQLPAKCNMAGSFSCK >Potri.018G147400.2.v4.1 pep chromosome:Pop_tri_v4:18:15895686:15899685:-1 gene:Potri.018G147400.v4.1 transcript:Potri.018G147400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147400.v4.1 MLKTSLQTLKSLPITTKQQTRRFTKNSVVMEAQNDKVYVQYNHTDSCKFSRWTARESFQFMHARPWQEVVDFYSKSVNGQLSLLELFGTQAHDVHCDDKIEEVSNETGLLEGVSNVDKSGRWARVTFKIVLSYHGGSFDGWQKQPGLNTVQGLVEKSLGRFVDEKKAQQLKEQCKPLEGCASVAGRTDKGVSALQQVCSFYTWRKDVKPHEIEDAINDVAPGKVRVESISEVSRAFHPNFSAKWRRYLYIFPLNDGENREEIEGEGGIENFSSHENCEKQRNECGELASEENVENSIISDEDELQGAKKPRSFSVCRVNQLLQQLEGKLLSYKMFARDTKASRNVGPPTECFLYHARATETRLPSPDHEKGIRVMCVELIANRFLRKMVRVLVATSVREAAAGAQEDALLKLMDATCRRATAPPAPPDGLCLVDVGYTEFDPRNCLIP >Potri.006G275800.4.v4.1 pep chromosome:Pop_tri_v4:6:26720747:26726251:1 gene:Potri.006G275800.v4.1 transcript:Potri.006G275800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275800.v4.1 MAEGVLFNIAEEIIKTLGSLTAQEVALWWGLKDQLRKLKDTVTRIKAVIQDAEEQAQKQNHQIEVWLKKLREAVYDAEDLLDDFSTQVLRKQLMSGKRVSTEVRLFFSRSNQFVYGLRMGHRVKALRERLDDIEIDSKKFNFDVRGEERASLTTVREQTTSSEPEKIVGRESDKEAVKSFLMNSNYEHNVSVISVVGMGGLGKTTLAQHVYNDEQVKAHFGVRLWVSVSGSLDVREIIKGAVGTRDFDDQLESLKKELEEKIGKKKYLLVLDDVWDGDDGKDDGENWDRLKELLPSDAVGSRIVVTTRSHVIAKFTSTIEPHVLKGLSVDESWELFRRKAFPQGQESGHVDERIRKEIVERCCGVPLVIKAIARLMSLKDRAQWLPFIQQELPNRVKDDNIIDTLKLSYDPLPSYMKHCFAYCSLFPKGHRIDVKLLIRLWIAQGFVSSSNSGGRCLDIVGLSCFEHLLWRSFFHEVEKDGLGNIESCKMHDFMHDLATHVAGFQSIKVERGGNRISELTRYVSFDMELDLSQQIPILLPCAKSLRTFILFQGGKWDEGSWKSICRDFRLLRVLVLSHLGMKEVPPLIENIKHLKYLDLSNNEMKALPNSVTSLVNLQVLKLNGCDNLKALPRDISKLINLRHLDVGCTLDEDLCENLEYMPRGIEKLTSLQTLSCFVAAKNRSSKSKKVGGLDELSELNELRGRLEIRVKGYKSSSCISEFKGAKLIEKKYLQSLTIWWDIQEDLISDILLYDKMLESLEPNLSLQELKVRGYKGIRFPHWLSELSNLVSIHLIFCPRLKHIPPLDKIPSLREMLIMHLNNLEYMDSEGDGGRGVSGFFPSLKKLSIYNCPNLKGWWKKSRDEKSDDNDESLKEMLCFPCLSSLSIVDCPNLTSIPFFQTLSILFLLRASSIPLQQTMEMTSPVSSSSSSRPLSKLKKLYIYFIDDMESLPEVGLQNLSSLQQLSIRGCSRLKSLPLPDQGMPSLQKLNIISCKKLEYLSQSGSQRIPYLTSLQELEISYCSGELRVIEYRGTRFPSWLSHLSNLVRVHLRHCRRLEHLPPLHGIPSLEELSIAYMDSLEYIDSERVGGKGVSMFFPSLRKLRIFSCGRLKGWRKKSRDEMDDDSDESAKEGLIMLCFPRLSSLSIVDCPKLTSMPLFPTLDEDLYLWRTSSMPLQQTMEMTSPVSSSSSSSIRPLSKLKNLEIYIIDDMESLPKVGLQNLSSLQQLFIYGCERLKSLPLPDKGMPSLQKLLIRHCRGLKSLSESESQGRIPFLPSLQELLLNGCSEELSGRTRGWGKESVEEWPIIKHIPYIFIEDGYIQKEGRYVKGSLHKGH >Potri.006G275800.5.v4.1 pep chromosome:Pop_tri_v4:6:26720747:26726251:1 gene:Potri.006G275800.v4.1 transcript:Potri.006G275800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275800.v4.1 MSGKRVSTEVRLFFSRSNQFVYGLRMGHRVKALRERLDDIEIDSKKFNFDVRGEERASLTTVREQTTSSEPEKIVGRESDKEAVKSFLMNSNYEHNVSVISVVGMGGLGKTTLAQHVYNDEQVKAHFGVRLWVSVSGSLDVREIIKGAVGTRDFDDQLESLKKELEEKIGKKKYLLVLDDVWDGDDGKDDGENWDRLKELLPSDAVGSRIVVTTRSHVIAKFTSTIEPHVLKGLSVDESWELFRRKAFPQGQESGHVDERIRKEIVERCCGVPLVIKAIARLMSLKDRAQWLPFIQQELPNRVKDDNIIDTLKLSYDPLPSYMKHCFAYCSLFPKGHRIDVKLLIRLWIAQGFVSSSNSGGRCLDIVGLSCFEHLLWRSFFHEVEKDGLGNIESCKMHDFMHDLATHVAGFQSIKVERGGNRISELTRYVSFDMELDLSQQIPILLPCAKSLRTFILFQGGKWDEGSWKSICRDFRLLRVLVLSHLGMKEVPPLIENIKHLKYLDLSNNEMKALPNSVTSLVNLQVLKLNGCDNLKALPRDISKLINLRHLDVGCTLDEDLCENLEYMPRGIEKLTSLQTLSCFVAAKNRSSKSKKVGGLDELSELNELRGRLEIRVKGYKSSSCISEFKGAKLIEKKYLQSLTIWWDIQEDLISDILLYDKMLESLEPNLSLQELKVRGYKGIRFPHWLSELSNLVSIHLIFCPRLKHIPPLDKIPSLREMLIMHLNNLEYMDSEGDGGRGVSGFFPSLKKLSIYNCPNLKGWWKKSRDEKSDDNDESLKEMLCFPCLSSLSIVDCPNLTSIPFFQTLSILFLLRASSIPLQQTMEMTSPVSSSSSSRPLSKLKKLYIYFIDDMESLPEVGLQNLSSLQQLSIRGCSRLKSLPLPDQGMPSLQKLNIISCKKLEYLSQSGSQRIPYLTSLQELEISYCSGELRVIEYRGTRFPSWLSHLSNLVRVHLRHCRRLEHLPPLHGIPSLEELSIAYMDSLEYIDSERVGGKGVSMFFPSLRKLRIFSCGRLKGWRKKSRDEMDDDSDESAKEGLIMLCFPRLSSLSIVDCPKLTSMPLFPTLDEDLYLWRTSSMPLQQTMEMTSPVSSSSSSSIRPLSKLKNLEIYIIDDMESLPKVGLQNLSSLQQLFIYGCERLKSLPLPDKGMPSLQKLLIRHCRGLKSLSESESQGRIPFLPSLQELLLNGCSEELSGRTRGWGKESVEEWPIIKHIPYIFIEDGYIQKEGRYVKGSLHKGH >Potri.001G055500.2.v4.1 pep chromosome:Pop_tri_v4:1:4173482:4176084:-1 gene:Potri.001G055500.v4.1 transcript:Potri.001G055500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055500.v4.1 MLASKIKLKLMLTAVPELPEMAVSVEKFIQRINVSPGISRETIEAMSEAVKKSSEAHRLLADEATKIYHEAVRESSQARMLQADEATKIYPELENTFAKLNDTNSEMDRRVLESANKIMELKKGREESMKLFNETRDISHARNHSKVRGKIDSVNHWLKKKIIDIDETMKEEDSATGFDHSATLGKEQDVLGLRNGSDDTEGSRKGQKLGHEPHKFSVLLVEYDTSARIHNKTQIIQFIGRNNLGVKFQVAENGQQAVDLHSRDKASFNLILMDMDMPVHVATGPEATRSLRALGVKSKIVGFSSESESEKIDQFISAGLNGCFKKPLTVEKIAPFLPPPSSQQAITDSPQEDKGLIDP >Potri.001G055500.3.v4.1 pep chromosome:Pop_tri_v4:1:4173482:4176236:-1 gene:Potri.001G055500.v4.1 transcript:Potri.001G055500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055500.v4.1 MLASKIKLKLMLTAVPELPEMAVSVEKFIQRINVSPGISRETIEAMSEAVKKSSEAHRLLADEATKIYHEAVRESSQARMLQADEATKIYPELENTFAKLNDTNSEMDRRVLESANKIMELKKGREESMKLFNETRDISHARNHSKVRGKIDSVNHWLKKKIIDIDETMKEEDSATGFDHSATLGKEQDVLGLRNGSDDTEGSRKGQKLGHEPHKFSVLLVEYDTSARIHNKTQIIQFIGRNNLGVKFQVAENGQQAVDLHSRDKASFNLILMDMDMPVHVATGPEATRSLRALGVKSKIVGFSSESESEKIDQFISAGLNGCFKKPLTVEKIAPFLPPPSSQQAITDSPQEDKGLIDP >Potri.011G153500.1.v4.1 pep chromosome:Pop_tri_v4:11:18051201:18053029:-1 gene:Potri.011G153500.v4.1 transcript:Potri.011G153500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153500.v4.1 MAASTPLSFAVRRCEPELVAPAKATPHELRQLSDIDRQLYLQFQSPNYNLYAHNPSMQGKDPVKVIKEAIAQTLVYYYPFAGRIRQGPDNKLIVECTGEGVLFIEADADATVEQFGDPIPSPFPCFEELLYNVPGSAGIHNTPLLSFQVTRLKCGGFVLAYRLNHLMSDALGIVQLLSAIGEIARGAQAPSILPVWQRELLCARNPPRVTRRHSEYGNDGPVVVGPTTNVPEFHGEVYDVAHRSFVLNRKELSNIRRWIPSHLHPCSNFEVISACLWRCYAIASQANPNEQMRMQLLVNARSKFNPPLPKGYYGNVLALPAAVTNAKNLCLNSLGYAMELIRNAKNAITEEYMRSLADLIEITKGQPIGLQSYVVSDITSIGFDQVDCGWDKPVYAGPAKAMPDEISIAGTYFLPYRFKNGERGVMLLVSLRAPVMERFAVLLEELARNDPERSQGQQEMILSSL >Potri.017G009800.1.v4.1 pep chromosome:Pop_tri_v4:17:744210:749651:1 gene:Potri.017G009800.v4.1 transcript:Potri.017G009800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009800.v4.1 MRPLRKSLLEPNPKSSSLHKALSSQFTYSLDRPPAPKPHFNETTNTHFPFSRNQQKHHSNNGQTNTLLFNSLHKLKPTSSTLLSSQTRLFSCSSSFFSLSRSHFGKEPVFKVIFHDPISSKFIQRRAFSSENPNPENAVSGENSQPIDAGSSMRKPISLWPGMYHSPVSNALWEARSRIFEEPNDSGTSETELVAKSPSKSRTSICYKFSSDFILREQYRNPWNKIRMGKLVEDLDALAGTISYKHCCNDDGSTRPLLLVTASVDRIVLKKPILVDADLTIVGAVTWVGRSSMEIQMEVIQPTEGATNPSDAVALVANFTFVARDAKTGKSALVNQISPETDKEKLLWEEAEERNKMRKKKKAEKKRDSENEDMERLNALLSEGRVFIDMPALADRDSILIQDTRHENTFICQPQQRNIHGRIFGGFLMRKAFELAFSNAYAFAGAAPLFVEVDHVDFFRPVDVGNFLRLKSCILYTEVENPAEPLINVEVVAHVTRPELRSSEVSNKFCFTFTVLHEAIKDGLRIRNVVPATEEEARRVLERMDSENSQIAKS >Potri.012G015670.1.v4.1 pep chromosome:Pop_tri_v4:12:658907:662736:-1 gene:Potri.012G015670.v4.1 transcript:Potri.012G015670.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015670.v4.1 MEARNLSSSHMGNFLVHKKGYCYMDLQAPGRPCFTSAIAKESGVVCINVRISNLMSKWAMHKILAPQMTIRFKAIVVVRLAESSWHIN >Potri.015G024000.1.v4.1 pep chromosome:Pop_tri_v4:15:1788809:1789920:1 gene:Potri.015G024000.v4.1 transcript:Potri.015G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024000.v4.1 MACQAKAITLLLSILAVSLCKPSDGAGIAIYWGQNGNEGSLADTCNTGNYQFVNVAFLSSFGNGQSPVLNLAGHCDPSAGTCTGISNDIRSCQNQGIKVLLSIGGGAGSYSLSSADDAGQVANYIWNNFLGGQSSSRPLGDAILDGVDFDIEAGSGQFWDDLARALNGFSQQRKVYLAAAPQCIFPDANLDTAIKTGLFDYVWVQFYNNPPCQYVNDATGLLSAWNQWTTVQSNQIFLGLPAAPEAAPSGGFIPADVLISQVLPSIKGSPKYGGVMLWSKQYDNGYSAAIKGSV >Potri.005G045100.1.v4.1 pep chromosome:Pop_tri_v4:5:2853000:2858651:-1 gene:Potri.005G045100.v4.1 transcript:Potri.005G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045100.v4.1 MESYVSSIGSRLQMLTTSDHASVVSMNLFVALLCACIVIGHLLEENRWMNESITALIIGLCTGVVILLISGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISCFVISLGAMQFFKKLDIGPLDIGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNINAAIAWDFVRNFFYLFLASTLLGVLTGLVSAYIIRRLYFGRHSTDREVALMILMAYLSYMMAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAETFIFLYVGMDALDIEKWRFVSHSPGTSVAVSSILLGLLMVGRAAFVFPLSFLSNLTKKSPNEKISFKQQFIIWWAGLMRGAVSMALAYNKFTSAGHTHLRGNAIMITSTITVVLFSTVVFGLMTQPLIKILLPHPKHQSRSMTISSDPTTPKSFTIPLLGEGQDSLDDLGGHDIDHPSSIRSLLTTPTHTVHHYWRKFDDAFMRPMFGGRGFVPFVPGSPTERNSPNQWH >Potri.014G061700.2.v4.1 pep chromosome:Pop_tri_v4:14:3979956:3981117:1 gene:Potri.014G061700.v4.1 transcript:Potri.014G061700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061700.v4.1 MAANHRPNLGFERDSIAVHHGVFALLVDTLNKQIQVKYQSMPTSPYDTNKWVMSAFLAALFVYATASVAEAIPRSQESVYQRLAGNIRLFASALATVFLLVLLIPAWG >Potri.001G079501.1.v4.1 pep chromosome:Pop_tri_v4:1:6325050:6329756:1 gene:Potri.001G079501.v4.1 transcript:Potri.001G079501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079501.v4.1 MIDNDIAVIDKSFGIDTAVEEAQRATNAAHVEVETSLRFESCRFHCNVCNFGKLRHEGTGQEYVAQNMHAVDEGDASGNRLLLDVGLWLTQKVKDHFTKVKKMEIDMKYIDPTYMIRAIPSNASENISCTLLAQSAVQGAMGGYTGFTVGPVNSRRAYIPISRVTEAQNTVKLTEDVGLTACFYKSA >Potri.009G138800.1.v4.1 pep chromosome:Pop_tri_v4:9:11134464:11135561:1 gene:Potri.009G138800.v4.1 transcript:Potri.009G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138800.v4.1 MVNATKGLFISCDIPMVQFIINLNASLPALQKFIIHILDSSQMFVQPHVSEMIRSATADFREQNSYEKPP >Potri.005G242400.1.v4.1 pep chromosome:Pop_tri_v4:5:23879302:23881237:-1 gene:Potri.005G242400.v4.1 transcript:Potri.005G242400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242400.v4.1 MSRCFPYPPPGYQIESIKIRKEKEKSITESHKDIKKEKKERRKHRKENKDQICYTVGKSHQKGKTFLPREKKEEAEKSDLTEEHNEPVCLQNICYLSDDGIRSNKKRKLEQATNDDKPRNVFRIRLPLTRHKEPDVPLNSEGLCSTSGRADSVSGQNEGVHLSHQETVNSKAGTVVGELASPEKMPCISVSEKKSTVCHESGISRFKLPNKKMRKADSPYKVLIEDWVSPPPQFELNDSDDQEWLSEASKRERHGNKILNACRDVLCHESSLFPRGHYLPEADVYALPYTIPF >Potri.010G044500.1.v4.1 pep chromosome:Pop_tri_v4:10:7645950:7649414:1 gene:Potri.010G044500.v4.1 transcript:Potri.010G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044500.v4.1 MGGLENGATNNQNSSPTTTKPTKTSLSPDSMINLDQGDPTLFEPYWRKMGDKCTLVIEGCDLMSYFSDISNICWFLQPQLGDAIKRLHRVVGNAVTEDRHVVVGTGSTQLLMAALYALSSPADRHPVSLVAAAPFYSGYKDQAEFLRSGLYKWEGDAHTFEKDGPYIEVVTSPNNPDGAMREAVVNRGEGKLVYDLAYYWPQYTPITQPLDLDIMLFTFSKCTGHAGSRIGWALVKDKEVARKMTEYMQISSIGVSKESQIRAAKILGVLGEGCRTADSENFFEYSHSILKERWERLRNVVKNSRVFSLPKYPRDYCNFTGKYMDSSPAFAWLHSKEDIDWESRLREHKIIARSGERFGASPKYVRISMFSPPEAFNLFLERLSAIIDNTTGNVVT >Potri.004G114600.4.v4.1 pep chromosome:Pop_tri_v4:4:10500154:10510364:-1 gene:Potri.004G114600.v4.1 transcript:Potri.004G114600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G114600.v4.1 MCPMGCRHKQVMLEAYDQQCDEAAKIFAEYHKRLHQHVDQARDAQRSSIDSSIEEVSSFSANSEKEAVYSTVKGTKSADDVILIETTCERNIRKACESLAVYMVERIRNSFPAYEGSGIHLNTQSEAAKLAIDFDGEIPDDVRTVIVNCLKNPPLLLQAITAYTSRLKTLVSREIEKTDVRADAELLRYKYENNRVMDVSSTDTNSSLHHQLYGYGMIATDMTYRGSQNQLLERQKAHVQQFLATEDALNKAAEARDLCQKLLKRLHGTGDEVSSNSIVSGGTTQNMSSLRQFELEVWAKEREAAGLRTSLNTLMSEIQRLNKLCAERKEAEDSLRKNWKKIEEFDARRSELEAIYTTLLKFNTEDAAAFWKRQPLIAREYASITIIPACTIVVEIANSAKDLIDKEVNAFSQCPDNSLYMLPSTSQALLESMGSNGSTGPEVAAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLESLEFCLKLRGSEASVLEDLAKAINLVHIRHDLVESGHAVLNHAYRSQQEYERTTNFCLDLANEQEKIVVEKWLPELKTTALNAQKCLEDCKYVRGLLDDWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAFYDKELM >Potri.004G114600.2.v4.1 pep chromosome:Pop_tri_v4:4:10500167:10510385:-1 gene:Potri.004G114600.v4.1 transcript:Potri.004G114600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G114600.v4.1 MQGSSSAVAQPEAILEWLQKEMGYRPLGPYSATTSKSQLPSIDAMRKICRGNMIPIWGFLVKRVKSEKTVENIRKNILVHGSGGGLVNAGKDEGRSKGGRRKEKVGGESGGGSSMPESREVALQEREMAAKEVERLRSIVRRQRKDLRARMIEVSREEAERKRMIDERAKNRHKQVMLEAYDQQCDEAAKIFAEYHKRLHQHVDQARDAQRSSIDSSIEEVSSFSANSEKEAVYSTVKGTKSADDVILIETTCERNIRKACESLAVYMVERIRNSFPAYEGSGIHLNTQSEAAKLAIDFDGEIPDDVRTVIVNCLKNPPLLLQAITAYTSRLKTLVSREIEKTDVRADAELLRYKYENNRVMDVSSTDTNSSLHHQLYGYGMIATDMTYRGSQNQLLERQKAHVQQFLATEDALNKAAEARDLCQKLLKRLHGTGDEVSSNSIVSGGTTQNMSSLRQFELEVWAKEREAAGLRTSLNTLMSEIQRLNKLCAERKEAEDSLRKNWKKIEEFDARRSELEAIYTTLLKFNTEDAAAFWKRQPLIAREYASITIIPACTIVVEIANSAKDLIDKEVNAFSQCPDNSLYMLPSTSQALLESMGSNGSTGPEVAAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLESLEFCLKLRGSEASVLEDLAKAINLVHIRHDLVESGHAVLNHAYRSQQEYERTTNFCLDLANEQEKIVVEKWLPELKTTALNAQKCLEDCKYVRGLLDDWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAFYDKELM >Potri.006G108000.1.v4.1 pep chromosome:Pop_tri_v4:6:8386188:8387592:-1 gene:Potri.006G108000.v4.1 transcript:Potri.006G108000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108000.v4.1 MATKAMQFSVFLQLFLVFSLLFHGTCGDRGGWQIGHATFYGGSDASGTMGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACFQIRCNNDPKWCHSSTITVTATNFCPPNYALANDDGGWCNPPLQHFDLAQPAFLKIAQYRAGIVPVLFRRVPCVKKGGIRFTINGHSYFNLVLITNVAGAGDVHAVSVKGSRTGWQTMSRNWGQDWQSNSYLNGQSLSFRVTASDGTTVTSYNIVPANWQFGQTFEGGQF >Potri.009G000033.1.v4.1 pep chromosome:Pop_tri_v4:9:22291:29101:-1 gene:Potri.009G000033.v4.1 transcript:Potri.009G000033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G000033.v4.1 MDSSSTPFKRASGNSSKSLTAIQRSHQTLWPFRTVSQAWRDQTSSSPSIESSSTPWKRASGNSTWRDETSSSPSIDSSSTPWKRASGNSSKSLTAIQRSHQKLWPFRTVSQAWRDETSSSPSIDSSSTPCKRASGNSSKSLTAIQRSHQKLWPFRTVSQAWRDQTSSSPSIDSSSTPSKKGIRKLQASGNSSKNLTAIQRSHQKLWTFRTVSQAWRDQTSSSPSIDSSSTSCKRASRNSSKSLTAIQRSHQKLWPFRTVTQAWRDQTSSSPSMDSSSTPFKGASGNSSKILTAIQRSHQKLWPFRTVTQAWRDQTSSSPSIDLSSTPWKRASRNSSKSLTGIQWSDQKLCLQTVTQAWREQSSSSPSIDSSSTPSKRASGNSSKNLTAIQRSHQKLWPFRTVSQAWRDQTSSSPSIDSSSTPFKRAFGNSSKSLTAIQRSHQTLWPFRTVTQAWRDQTSSSPSMDSSSTLFKGASGNSSKILTAIQRSHQKLWPFRTVTQAWHDQTSSSPSIDSSSTPSKRASGNSSKSLTTIQRSHQKLWPFQTVSHAWREQTSSSPSIDSSSPPCKRASGNSSKSLSAIQRSHQKLWPFRTVSQAWRDQTSSSPSIDSSSTPSKRASGNSSKSLTTIQRSHQKLWPFQTVSHAWREQTSSSPSIDSSSTPCKRASGNSSKSLTAIQRSHQKSWPFRNVSQAWRDQASSSPSMDSSSTPFKGESGNYSKILTAIQRSHQKLWPFRTVSQAWRDQTSSSPSIDSSSTPCKRASGTPSLTAIQRSHQKLWPFRSVSQAWRDKTSSSPSIDSSSTPCKRASGNSSKSLTEIQRSNQKLWTFRTVSQAWRDQTSSSPSIDSSSTPCKRASGNSSKILTANQRSHQKSWPFRNVSQAWRDQSSSSSSTDSSSTPFKGASGNYSNILTAIQRSHQKLWPFRTVSEAWRDQTSSSPNIDSSSTPWKRASRNTSKSFTAIQRAYGNSSKSLTAIQRSHQKLWPFRSVSQAWRDQTSSSPSIDSSSTPCKRASGNSSKSLTEIQRSNQKLWTFRTVSQAWHDQTSSSPTIDSSSTPSKRASGNYSKILTAIQRSHQKLWPFRTVSEAWRDQTSSSPSIDSSSTPCKRASGNSSKSLTAIQRSHQKLWQFRTVSQAWRDQTSSSPSIDSSSTPSKRASANSSKSLTAIQRSHQKLWPFRTVSQAWRDQTSSSPSIDSSSTPSKRASANSSKSLTAIQRLNQKLWPFRTVCQAWRDQTSSSPSIDSSSIPCKRASGNSSKSLTAIQRSHQKLWPFRTVSQAWRDQTSSSPSIDSSSTPCKRASRNSSKSLTAIQRSHQKASGNSSKSLTAIQRSHQKLWPFRTVSQAWRDQTSSSPSIDSSSTPCKRASRNSSKILTAIQRSHQKSWPFRNVSQAWRDQASSSPSMDSSSTPSKRASGNSSKSLTRIQRSNQKLWPFRTVSQAWRDQTSSSPNIDSSSTPCKRASGNSSKSLTAIQRSHQKLWPFRTVTQAWRDQTTSSPSIDSSSTPWKRASRNSSKSLTGIQWASGNSSKSLTAIQQSHQKLWPFRTFNQAWRYQSSSSPSVDSSSTPWKMASRNYNKSLTAIQLSDQKLLPFRTVTQAWRYQTSSSPSMDSSCTPFKRASGNSSKSLTAIQLSDQKLLPFRTVTQAWRYQTSSSPSMDSSFTPFKRASGNSSKSLTAIQRSHQKLWPFRTISQAWRDQTSSSPRIDSSSTPCKRASGNSSKSLTAIQRSHQKLWPFRTVSQDWRDQTSSSPRIDSSSTPCKRASGNSSKSLTAIQTASGNSSKSLTAIQRSHQKLWPFRTVSQAWRDQTSSSPSINSSSTPSKRASGNSSKSLTGIQRSNQKLWPFRTVSQAWRDQTSSSPNIDSSSTPCKRASGNSSKSLTAIQQSHQKLWPFRTVTQAWRDQTSSSPSIDSSSTPWKRASRNSSKSLTGIQWSDQKLCLQTVTQAWRDQTSLSPSIDSSSTPRKRASGNSS >Potri.010G148400.3.v4.1 pep chromosome:Pop_tri_v4:10:15920322:15922593:1 gene:Potri.010G148400.v4.1 transcript:Potri.010G148400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148400.v4.1 MKIMEQEGEDFEERKDIKFLNEEEEETKVYESGVDAEEEDDDEDEDDEDDEDDEDDDDDDDDDEDDDDDDEVQVLHSSSGPAGQSVNDDNEEDADEEEDGEGGDDDDDDDDDDDDEDEDEEEDGEDEEDMGTEYLVRPVGRAEDEEDASDFEPVDNGDDEDIDEEDDEDDTEPAGKVEAPIKRKRSDKDDSDDGGGGEDDERPSKR >Potri.010G148400.4.v4.1 pep chromosome:Pop_tri_v4:10:15920366:15923312:1 gene:Potri.010G148400.v4.1 transcript:Potri.010G148400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148400.v4.1 MKIMEQEGEDFEERKDIKFLNEEEEETKVYESGVDAEEEDDDEDEDDEDDEDDEDDDDDDDDDEDDDDDDEVQVLHSSSGPAGQSVNDDNEEDADEEEDGEGGDDDDDDDDDDDDEDEDEEEDGEDEEDMGTEYLVRPVGRAEDEEDASDFEPVDNGDDEDIDEEDDEDDTEPAGKVEAPIKRKRSDKDDSDDGGGGEDDERPSKR >Potri.019G070975.1.v4.1 pep chromosome:Pop_tri_v4:19:11250807:11254315:-1 gene:Potri.019G070975.v4.1 transcript:Potri.019G070975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070975.v4.1 MTIQAIKPIKTTKNVDITSSSAEEEQPLSPVARMFHESDSTVYIIVIIGFETQLNPEVIKANLGHTLLSQPRFCSLQLPDEKRGGELKWVRTVVDLDNHVKVPTLDPNMDSPDMFVEDYVSNLSKTGISMSIPMWDLHLLNIKTSDAESVGVLRVHHSLGDGTSLMTLFMSCTRKVSDPEALPSLPMNMKKKHGSSSGGFLQYFIKLFSVLLLYWNTFVDVVMFFITTFYLDDTKTPLKGPLGVASTPRRIVHRTVSLEDVKLVKNAMNVTVNDVMVGVTEAALTRNLNRKYGKIKEEAGGAEGHNNLPKNIRLRATHFVNLRPYLVNEDVSEMIKSSSKVKLGNLIGYVIFPFTIGLREDVLDHVRSAKATGKRKKASLEALYTYLMAKFFIKFFSAKWASFPTQTTLWYSNVPGPQEEVTCFGHQVAYVAPTCYGQPNALMIHVVSYVNKMKIIVSVDEGVVPDPHQICDDIEESLKLIKNAVIEKGLVDCYDY >Potri.001G089800.2.v4.1 pep chromosome:Pop_tri_v4:1:7110258:7117625:-1 gene:Potri.001G089800.v4.1 transcript:Potri.001G089800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089800.v4.1 MTSIDTLFSLRVCLPKPYKKPLKSPPKFRSKTLFLNRSLTVLCEVNSASTAQSGDTNKEDFVTRVLKQNPSQIEPRYLIGDKFYTSKEKQDLSKKKNVGFIEIVDRFLNLKGKVKKEGNESENEEKAVYLKDILREYKGKLYVPEQVFSVKLSEEEEFDRNLEELPKMGFEDFKKAMESEKVKLLTSKEAAMGTYANDYRGFIVDLKEIPGEKSLHRTKWTMRLNENEAQTLLEEYTGPFYEIERHMASSVGKLPEYPHPVASSISSRMMVELGMVTAVMAAAAVVVGGFLASAVFAVTSFIFVATVYVAWPIAKPFVKLFLGLTFSILEGVWDYVVDIFSDGGLFSKFYEFYTFGGVSASIEMLKPIMLVLLTMVLLVRFTLSRRPKNFRKWDLWQGIDFSRSKAEARVDGSTGVKFSDVAGIDEAVEELQELVRYLKNPELFDKMGIKPPHGVLLEGAPGCGKTLVAKAIAGEAGVPFYQMAGSEFVEVLVGVGSARIRDLFKRAKVNKPSVIFIDEIDALATRRQGIFKESTDHLYNAATQERETTLNQLLIELDGFDTGKGVIFLAATNRRDLLDPALLRPGRFDRKIRIRPPNAKGRLEILKIHASKVKMSDSVDLSTYGKNLPGWTGAKLAQLVQEAALVAVRQGHAAILQSDMDDAVDRLTVGPKRVGIELGHQGQCRRATTELGVVMTSHLLRRYENAKVECCDRISIVPRGQTLSQLVFHRLDDESYMFERLPQLLHRLQVFLGGRAAEEVIYGRDTSRASVSYLADASWLARKIITIWNLENPMVIHGEPPPWRKKVRFMGPRLDFEGSLYDDYDLIEPPINFNLDDQVAQRTEKLICDMYGRTVSLLKRHHAALLKAVKVLLNQKEISGEEIDYILNNYPPQTRLSLLLEEENPGILPFFKQELENELDYALLTTSEGKTP >Potri.001G438700.2.v4.1 pep chromosome:Pop_tri_v4:1:46477954:46480153:1 gene:Potri.001G438700.v4.1 transcript:Potri.001G438700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438700.v4.1 MAASVMASLSLKPSPFTVEKSSVRGLPTLSRRTFKIEASGVKKIKTDTPYGTGGGMNLRDGLDASGRKPKGKGVYQYVDKYGANVDGYSPIYNTDEWSPTGDVYAGGKTGLLIWAVTLAGILAGGALLVYSTSALAQ >Potri.010G031000.2.v4.1 pep chromosome:Pop_tri_v4:10:4653034:4657059:-1 gene:Potri.010G031000.v4.1 transcript:Potri.010G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031000.v4.1 MPALKSIINRSTSHRVVQYSSAPELNLFAAGGAAETASCWANLPQELLREVLVRIEESESSWPPRKNVVACAGVCRSWRHITKDLVKVPELSGKITFPISVKQPGPREQLLQCFIKRCRSTQTYRLYLSLNNALTEDGKFLLAARKCRRPTCTDYIISLDTDDMSKGSNTYAGKLRSNFLGTKFTVFDGQPPHAGAKMTKSYSSRLVNLKQVSPRVPTGNYPVANISYELNVLGSRGPRRMHCIMDAIPAAAIEPGGVAPTQTEFYHHSADFFPSLLFFRSKSNRVERVESFQSGPLSSQREGALVLRNKAPRWHEQLQCWCLNFHGRVTVASVKNFQLVASQENGPAGPEHENIILQFGKVGKDLFTMDYRYPISAFQAFAICLSSFDTKIACE >Potri.001G452150.1.v4.1 pep chromosome:Pop_tri_v4:1:47913576:47915128:-1 gene:Potri.001G452150.v4.1 transcript:Potri.001G452150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452150.v4.1 MKLLHVFLFLPHLPLPSQLFRTIGFSCSCESNSLAISVGDKRIPSVCFSFHRWIWKCLPCTSSLRGTSRYGLSDFMTEVCHTITEEHRFSSLRASLIFLDSFFY >Potri.006G228800.2.v4.1 pep chromosome:Pop_tri_v4:6:23255206:23256254:-1 gene:Potri.006G228800.v4.1 transcript:Potri.006G228800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228800.v4.1 MIGTIFVSSRLATQKPGDQRQEQEKHVQAQYGHGYQLTRSPSVLQRLKSISLYSYRSQEPTTVTFENPQDSDQRFTFHQQTSQQDYQYHQNQNQNQPTISSSPSMLQKIKSINLYSYLSQESFNPTSTKTHENQESTPTHFTSQQIYSQIQEHDERLREEEEEDRFQDEENEQIQDQEETFEEIYSKLQGGKLSKSISDTKPTSGEVPKKLPKKMRKSASAKSAFSHFEEEDIVESRRPATVREGKTTTEVGDTEVDAKADDFIYKFKQQLKLQRVDSIIRYKDTINGGS >Potri.006G228800.1.v4.1 pep chromosome:Pop_tri_v4:6:23255208:23256352:-1 gene:Potri.006G228800.v4.1 transcript:Potri.006G228800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228800.v4.1 MLEESISIWTSMNSWLTPAVFFVLLNLMIGTIFVSSRLATQKPGDQRQEQEKHVQAQYGHGYQLTRSPSVLQRLKSISLYSYRSQEPTTVTFENPQDSDQRFTFHQQTSQQDYQYHQNQNQNQPTISSSPSMLQKIKSINLYSYLSQESFNPTSTKTHENQESTPTHFTSQQIYSQIQEHDERLREEEEEDRFQDEENEQIQDQEETFEEIYSKLQGGKLSKSISDTKPTSGEVPKKLPKKMRKSASAKSAFSHFEEEDIVESRRPATVREGKTTTEVGDTEVDAKADDFIYKFKQQLKLQRVDSIIRYKDTINGGS >Potri.014G070100.1.v4.1 pep chromosome:Pop_tri_v4:14:4442391:4446764:-1 gene:Potri.014G070100.v4.1 transcript:Potri.014G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070100.v4.1 MYNHSNNSNQFSRIETTCSLLLTELKKIWSEVGEADTERDKLVYEIEQECVEVYRRKVIAANKRRSELQQSIALAESEIENIRSVLGEEPVKSEEEKVRVSLREEHGAIVPRVEEMRNKKEERRKLFVEVVGKLQKVSSEIFGSTEGVIMDGTDLSMKKLDALEKRLLELENNKSNRLKQIVDRVDGLKSICGVLGMDFKQTIHDIHPSLDDSQGVKDLTDDTIKKLTSEIQRLREVKIQRMQKLRVLATTLVELWNLMDTPMEEQRMFDNVTSKIAASESEISEPNKLSMDFINHVEEEVSRLEKLKSSKVKELVLKKRLELEEICWKTHLVLEAYTAAKYSTEAVASGVEDPMHLLEEIELDIAMVKEEASSRKDILDKVEKWLTACDEESWLEEYNRDENRYSYGRGAHLTLKRAEKARVMVNKIPAMVEALTSKTMAWEKERGIPFFYDGGRLLSRLEQYSSLKQEKEQERIRQRDQKKLQVQLIAEQEALFGSKPSPSQSGRKPSRTSVGVAINQKLSLGGAMVPNYRSGKTSPFVNPNKKGNVLDRCSYLRYGQNGGFASQSGRRNSEIVGHLGKKRHATSAAKACKNESSLVRKPLSPVSLSMSSRANIVNFMEDQKSSQSGTLKAMPPYNRTPMDTPSKSVNAVDDENRNPNTLPVPVASTPPTTSVPMLTALTPATPCVSCAPKTARKILEQEDHSFEELRAGFVRPRPQS >Potri.004G024566.1.v4.1 pep chromosome:Pop_tri_v4:4:1787846:1795228:1 gene:Potri.004G024566.v4.1 transcript:Potri.004G024566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024566.v4.1 MNSLKFYVILLSLLTLAIITLAQEDADANYLNHFCQNATTSTINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDVSNTVCQNCVTFATKDIVQRCPVGIASIVYYDACILRYSNVNFFSKVDQSPGFSLLNTQNITTEPQRFNNLVGAAVNDLAARAASAPPGAKKFAVNKTSFNAFQNIYSLAQCTPDLSSSDCNRCLSAAIAGLPNCCSSKIGGRVLFPSCYIHYEITEFYDATAVAAESPPPPPPSWLFLLLHLLVQRHYQKKKAVSQQF >Potri.004G148100.1.v4.1 pep chromosome:Pop_tri_v4:4:17014815:17015392:1 gene:Potri.004G148100.v4.1 transcript:Potri.004G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G148100.v4.1 MSGLVDIWTSELSKLREKGQTIWSSGSSPTNVESSKGEEGSLRLVKPLPALIRGMRVKSPALTYSEASLSMLINCFSA >Potri.018G034600.1.v4.1 pep chromosome:Pop_tri_v4:18:2639847:2642627:-1 gene:Potri.018G034600.v4.1 transcript:Potri.018G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034600.v4.1 MGVDYYNILKVNRNATDGDLKKSYRRLAMKWHPDKNPTNKKEAEAKFKEISEAYEVLSDPQKRAIYDQYGEEGLKEAPPSGSGGSPFGNGSGSNGFNPRNAEDIFAEFFGSSPFGFGSTAAGRSSRFQSDGGSFGSFGCTDNLFRTYSEGTTLRKPPPVESKLPCSLEELYSGSTRKMKISRTVVDARGRQIQETEILTIDVKPGWKKGTKITFPDKGNEQQNQLPADLVFIIDEKPHTTYKRDGNDLIINHKVTLAEALGGTTVNLTTLDCRNLSIPVHDIVSPGYELVVAMEGMPIAKEPGNRGNMRITFEVKFPTRLTPEQRAGLKRALGG >Potri.014G026500.1.v4.1 pep chromosome:Pop_tri_v4:14:1633982:1636847:1 gene:Potri.014G026500.v4.1 transcript:Potri.014G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G026500.v4.1 MATRREFLLSKLWICSITILHFTGTLSQTDNYIVHMDLSVMPKSFSGQHHWYLSTLASVFDVSDSSTARASPATYLTASSKLLYSYTHVINGFSASLTPSELEALKKSPGYISSIKDLPVKHDTTHSTKFLGLAPQSPAWKASNLGDGIIIGLVDSGVWPESESYNDHGMSEIPKRWKGGCQSGAQFNSSMCNKKLIGARFFNKGLIANNPNITISVNSTRDTDGHGTHTSSTAAGNYVEGASYFGYAKGTANGVAPRAHVAMYKALWDNHAYTTDVIAAIDQAISDGVDVLSLSLGFGGVPLNEDPLALATFAATEKNVFVSTSAGNEGPFYETLHNGIPWVLTVAAGTLDREFDAVLTLGNGISITGSSFYLGSSSFSEVPLVFMERCDSELIKTGPKIVVCQGAYESNDLSDQVENVRNAGVTAGVFITNFTDTEEFIGDSFPVVIVNLKDGKTIIDYIKSSNSPQASAEFRKTNLGIEPAPRVASYSSRGPSSSCPLVLKPDIMAPGALILAAWPQNVSVDLNDSQPIFSNFKILSGTSMACPHAAGVAALLREVHPDWSPAAIRSAMMTTADITDNTMEPIKDIGSGNRINPASPLDMGAGQVNPNKALDPGLIYDANSTDYVRLLCATNFTEKEIQVITRSSSTDCSNPSSDLNYPSFIAYFNERFSPSNLTTVREFHRTVTNVGEGISTYTVSVTPMSGLKVNVMPDKLEFKTKYEKLSYKLTIEGPALLDEAVTFGYLSWADAGGKHVVRSPIVATTLIPDDPDDEEIKG >Potri.006G141200.2.v4.1 pep chromosome:Pop_tri_v4:6:11838736:11842869:-1 gene:Potri.006G141200.v4.1 transcript:Potri.006G141200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G141200.v4.1 MVSSSMAGIWPLAVLPLLLSLSLSISTTAKQTYIVHMKHNTKPDSFPTHHDWYTASLQSVTSTPDSLLYTYTNAFDGFAASLSDEEVELLKQSQSVVDVYEDTLYSLHTTRTPAFLGLNTDLGLLDGHHAMGINQSSNDVIVGVLDTGIWPESKSFYDSGMPEIPTRWKGECESGPDFSPKLCNKKLIGARYFSKGYHMASGGRGFLKKPKETESPRDQDGHGTHTASTAAGSQVVNASLLGYASGTARGMATSALVASYKVCWVSGCFGSDILAGMDRAIEDGVDVMSLSLGGGSAPYYRDTIAIGAFTAMERGIFVSCSAGNSGPNIASLANVAPWIMTVGAGTLDRDFPAYAVMGNKKRFAGVSLYSGAGMGKKPVGLVYKKGSNSTCNLCMPGSLEPQLVRGKVVICDRGINPRVEKGAVVRDAGGVGMILANTAESGEELVADSHLLPAVAVGRKVGDVIREYVKSDPNPTAVLSFGGTVLDVRPSPVVAAFSSRGPNLVTREILKPDLIGPGVNILAAWSETIGPTGLETDTRKTQFNIMSGTSMSCPHISGVAALLKAAHPTWSPSAIKSALMTTAYVSDNTNSPLQDAAGGALSNPWAHGSGHVDPQKALSPGLVYDISADEYVAFLCSLDYTIEHVQAIVKRPNITCSRKFNNPGNLNYPSFSVVFTNNRVVRYTRELTNVGAAGSIYEVAVTGPQAVQVTVKPSKLVFKNVGDKLRYTVTFVARKGASLTGRSEFGAIVWRNAQHQVRSPVAFSWTQL >Potri.001G132166.1.v4.1 pep chromosome:Pop_tri_v4:1:10763454:10764320:-1 gene:Potri.001G132166.v4.1 transcript:Potri.001G132166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132166.v4.1 MELEWSVDKGDEVVGESRIIPIIFIWHRWKKKVSESHRLCFSFSLWSGFLLTRTTNTLCDKYGIGEAGASLSLSLSLSLSHTHTHTHKESRSLGKITGWE >Potri.004G077100.4.v4.1 pep chromosome:Pop_tri_v4:4:6380892:6386970:-1 gene:Potri.004G077100.v4.1 transcript:Potri.004G077100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077100.v4.1 MEKTVEKTEEELVAGRSLVDLVFSWSIGDVLNKDLYRNQVKKIPETFMSTTHYMKSFIPALIEETRADLCSNMTMVSQAPTREIFLLRIAKENKRPKDLFYKIWFEKMRNNVNGEGIYEPGVGDLLALTDVRPKDIGDLNRPGFNYLLAYVHGLSLAKDDNDKYDILSILTSKPIQFELEDREIKKESVIAGKGRRKNMIANVFVVYLVNMMTNIRIWRSLNSDLEGGNMKIIQNVLHTSSADGQHCSHCLSEVNRNATLSGMEETIISSSNLNFSQQDAIVSCIGLSECRHQSTVKLIWGPPGTGKTKMVGLLLFSLLKLKCRTLTCAPTNIAVLEVTSRLLRLVTDSLEYKTYGLGDIVLFGNGKRMKISEKDDLEDIFLDHRVEVLEYCFNPSTGWKHTVDSLINLLADPEHQYRRYLENKERKNEEGEREDQYDEMLEFEEINNNNERDEVVNDQNRKGRNSRKILKKVLLQTLKDNKKKEKQKQKQKQKVFSHQENPTKCEEKEDILSFEEFLKDSFEFLSAKLDFLISGLFTHLPTSIISLEVVKNMIRAVDSLRCLKPLLCSVSVGDEGLKQVLGDFDNGGSSAGQFSRLSFMRNDCIQTLNSLPRVFDIPNIFEVESKAARNFCLGNACLVFCTASSSAKLHTERAKPIKLLVIDEAAQLKECESTIPLQLSGLRHAILIGDERQLPAMVQSKISEEAKFGRSLFERLVILEHEKHLLNTQYRMHPSISLFPNKEFYDMLIQDASNVKERNYQKQFLQGNMYGPYSFINVANGKEQSNDGRSKKNLVEVAVVSAIVAGLFKEFKRARKRMSIGVISPYNAQVYAIQQKIGNTYSTFSDFAVNVRSVDGFQGSEEDVIIISTVRCNASGSVGFLSNRQRANVALTRARYCLWILGNGATLVNSGSIWKKLVTDAKERGCFYNADEDKSLSKAIMDALLELDQLDDLLNVNFLLFRNARWKVCMLIFLAAQFS >Potri.004G077100.3.v4.1 pep chromosome:Pop_tri_v4:4:6379603:6387015:-1 gene:Potri.004G077100.v4.1 transcript:Potri.004G077100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077100.v4.1 MEKTVEKTEEELVAGRSLVDLVFSWSIGDVLNKDLYRNQVKKIPETFMSTTHYMKSFIPALIEETRADLCSNMTMVSQAPTREIFLLRIAKENKRPKDLFYKIWFEKMRNNVNGEGIYEPGVGDLLALTDVRPKDIGDLNRPGFNYLLAYVHGLSLAKDDNDKYDILSILTSKPIQFELEDREIKKESVIAGKGRRKNMIANVFVVYLVNMMTNIRIWRSLNSDLEGGNMKIIQNVLHTSSADGQHCSHCLSEVNRNATLSGMEETIISSSNLNFSQQDAIVSCIGLSECRHQSTVKLIWGPPGTGKTKMVGLLLFSLLKLKCRTLTCAPTNIAVLEVTSRLLRLVTDSLEYKTYGLGDIVLFGNGKRMKISEKDDLEDIFLDHRVEVLEYCFNPSTGWKHTVDSLINLLADPEHQYRRYLENKERKNEEGEREDQYDEMLEFEEINNNNERDEVVNDQNRKGRNSRKILKKVLLQTLKDNKKKEKQKQKQKQKVFSHQENPTKCEEKEDILSFEEFLKDSFEFLSAKLDFLISGLFTHLPTSIISLEVVKNMIRAVDSLRCLKPLLCSVSVGDEGLKQVLGDFDNGGSSAGQFSRLSFMRNDCIQTLNSLPRVFDIPNIFEVESKAARNFCLGNACLVFCTASSSAKLHTERAKPIKLLVIDEAAQLKECESTIPLQLSGLRHAILIGDERQLPAMVQSKISEEAKFGRSLFERLVILEHEKHLLNTQYRMHPSISLFPNKEFYDMLIQDASNVKERNYQKQFLQGNMYGPYSFINVANGKEQSNDGRSKKNLVEVAVVSAIVAGLFKEFKRARKRMSIGVISPYNAQVYAIQQKIGNTYSTFSDFAVNVRSVDGFQGSEEDVIIISTVRCNASGSVGFLSNRQRANVALTRARYCLWILGNGATLVNSGSIWKKLVTDAKERGCFYNADEDKSLSKAIMDALLELDQLDDLLNVNFLLFRNARWKFCFSDNFRKSIMKVGNEARQEVISLLAKLSSGWR >Potri.015G121201.1.v4.1 pep chromosome:Pop_tri_v4:15:13502485:13504068:1 gene:Potri.015G121201.v4.1 transcript:Potri.015G121201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121201.v4.1 MTVALSHIVLLRDLLRPQLNDTSSFANIPSPSTPWQVAPTISTLAGALYKVFRASPDHPARNEMCQACFDYMSLEGVFSNGLIALLSGLDPRPLSSVLHFFAVAIYGVGRLKLPFKSSLHCAFPLM >Potri.018G025400.4.v4.1 pep chromosome:Pop_tri_v4:18:1860393:1865008:1 gene:Potri.018G025400.v4.1 transcript:Potri.018G025400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025400.v4.1 MEMEKRFKVHVYSEGELPIVHDGPCKDIYTIEGRFIHEMEHGAKRFKTRDPRRAHVYFMPFSVTWMVKYLYKPLTYDHTAMKQFVADYVRVVSSKYPFWNRTQGADHFMLSCHDWGPHASHGNPFLYNTSIRVLCNANSSEGFSPRKDVSLPEIHLYGGNVPPKLISPPPATSPRPYLAFFSGGLHGPIRPILLDHWKGRDPDLQVYEYLPKDLDYYSFMLRSKFCLCPSGHEVASPRIVEAIYAECVPVILSDHYVLPFSDVLRWEAFAIQVNVSEIPRLKEVLISVPEERYRRLKEGLRAIRKHFVLNRPAKRFDVFHMILHSIWLRRLNLRLV >Potri.018G025400.3.v4.1 pep chromosome:Pop_tri_v4:18:1860738:1865008:1 gene:Potri.018G025400.v4.1 transcript:Potri.018G025400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025400.v4.1 METNSSALISLLLFLSVLLLFGYINNNFFSVNSSPKFEYTTVFSVPIVELQTKAISISTSSDHHHDDPILTVHNSAAPSNNTTVLTVTKNVKKKKKKNVKLSKEEEEMEHGLARARATIRKAASLTGNISRIVADGDENDVAGTIYLNARAFYQSYMEMEKRFKVHVYSEGELPIVHDGPCKDIYTIEGRFIHEMEHGAKRFKTRDPRRAHVYFMPFSVTWMVKYLYKPLTYDHTAMKQFVADYVRVVSSKYPFWNRTQGADHFMLSCHDWGPHASHGNPFLYNTSIRVLCNANSSEGFSPRKDVSLPEIHLYGGNVPPKLISPPPATSPRPYLAFFSGGLHGPIRPILLDHWKGRDPDLQVYEYLPKDLDYYSFMLRSKFCLCPSGHEVASPRIVEAIYAECVPVILSDHYVLPFSDVLRWEAFAIQVNVSEIPRLKEEC >Potri.018G025400.2.v4.1 pep chromosome:Pop_tri_v4:18:1860393:1865008:1 gene:Potri.018G025400.v4.1 transcript:Potri.018G025400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025400.v4.1 METNSSALISLLLFLSVLLLFGYINNNFFSVNSSPKFEYTTVFSVPIVELQTKAISISTSSDHHHDDPILTVHNSAAPSNNTTVLTVTKNVKKKKKKNVKLSKEEEEMEHGLARARATIRKAASLTGNISRIVADGDENDVAGTIYLNARAFYQSYMEMEKRFKVHVYSEGELPIVHDGPCKDIYTIEGRFIHEMEHGAKRFKTRDPRRAHVYFMPFSVTWMVKYLYKPLTYDHTAMKQFVADYVRVVSSKYPFWNRTQGADHFMLSCHDWGPHASHGNPFLYNTSIRVLCNANSSEGFSPRKDVSLPEIHLYGGNVPPKLISPPPATSPRPYLAFFSGGLHGPIRPILLDHWKGRDPDLQVYEYLPKDLDYYSFMLRSKFCLCPSGHEVASPRIVEAIYAECVPVILSDHYVLPFSDVLRWEAFAIQVNVSEIPRLKEVLISVPEERYRRLKEGLRAIRKHFVLNRPAKRFDVFHMILHSIWLRRLNLRLV >Potri.004G082000.1.v4.1 pep chromosome:Pop_tri_v4:4:6761658:6763851:-1 gene:Potri.004G082000.v4.1 transcript:Potri.004G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082000.v4.1 MDQLQKCDANYVPLTPVTFLKRASAVYANRTSVIYEGTRFTWRQTYERCCRLADSLRSLNVGKNDVVSVLAPNIPAVYEMHFAVPMAGAVLNTINTRLDAKNIATILIHSGAKVFFVDCQYKELADKALRFLEGPVPSIIASIDDVDTPTGVRFGQFEYEQLVQRGNPGYTGELIQDEWDPIALNYTSGTTSAPKGVVYSHRGAYLSTLSLILGWEMGSAPVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTSAKDMYQSIADHKVTHMCCAPIVFNILLEAKPHERREITSPVEILTGGAPPPASLLEKIESLGFHVTHAYGLTEATGPALVCEWQTKWNKLPQQDQSKLKARQGISILTLADADIKDLDTMASMPRDGKTMGEIVLRGSSIMKGYFKDPEATSKAFRNGWFVTGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPRVLEAAVVAMPHPKWGESPCAFISVKKNSNGETNDVKESEIIAYCRKNLPRFMIPKRVEFMPELPKTSTGKIQKFQLREVAKNFAVNEKLPSKKPTGSSTPSVSGQVNTEVPGYAHEQVLAMSRL >Potri.019G133300.2.v4.1 pep chromosome:Pop_tri_v4:19:15507186:15507485:-1 gene:Potri.019G133300.v4.1 transcript:Potri.019G133300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133300.v4.1 MSNLRRLDLAWQSATSKECKYGTHVKPKTLGLGSQPSPRNVGLTLMSNLRRLNFIVSQDLAVNQVQGDVGVENMSNPRHLDLAVSQVQGDDHPLACPGK >Potri.009G035300.2.v4.1 pep chromosome:Pop_tri_v4:9:4532133:4535221:1 gene:Potri.009G035300.v4.1 transcript:Potri.009G035300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035300.v4.1 MTCGSCSLPVQELRERDAWASPVLLNFPLCNKRCRKKDGHLGRNATLKEMMAHPQSRTGAMAPIAVRHRHGNGPRTPTSPTVEELLRAEEVSRWSPHSSPTFWKDHDLEYDQSPNHKKSVIAKVKERAKKWRSNLIKKKHSDDSNTTPPWGVSLDDDEAEEDPEYLGAPMYESEMAPEGYKEAARQHPRAVPVIPEKHVLPSSVTCAAEDKPVTETVNGKQENENFSKTLSEIMAEKLAPAYATVSDATHAITSKIQSLEISTPEASDATGLDPAGKGKASSSVAVPTKVAPDQVASDPARAPTDAASGYHFRTGEQKWDKGVSVKEYIIHKFEPGEDDRALSQVISQAISPRKAAGNVSMVDKVKDAVNSLLRGSESSQPTVYHSAKNSSSNIPISIDAHEVTEEENHGRILQAN >Potri.009G035300.3.v4.1 pep chromosome:Pop_tri_v4:9:4532133:4535221:1 gene:Potri.009G035300.v4.1 transcript:Potri.009G035300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035300.v4.1 MTCGSCSLPVQELRERDAWASPVLLNFPLCNKRCRKKDGHLGRNATLKEMMAHPQSRTGAMAPIAVRHRHGNGPRTPTSPTVEELLRAEEVSRWSPHSSPTFWKDHDLEYDQSPNHKKSVIAKVKERAKKWRSNLIKKKHSDDSNTTPPWGVSLDDDEAEEDPEYLGAPMYESEMAPEGYKEAARQHPRAVPVIPEKHVLPSSVTCAAEDKPVTETVNGKQENENFSKTLSEIMAEKLAPAYATVSDATHAITSKIQSLEISTPEASDATGLDPAGKGKASSSVAVPTKVAPDQVASDPARAPTDAASGYHFRTGEQKWDKGVSVKEYIIHKFEPGEDDRALSQVISQAISPRKAAGNVSMVDKVKDAVNSLLRGSESSQPTVYHSAKNSSSNIPISIDAHEVTEEENHGRILQAN >Potri.019G002201.1.v4.1 pep chromosome:Pop_tri_v4:19:834338:835109:1 gene:Potri.019G002201.v4.1 transcript:Potri.019G002201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002201.v4.1 MSNTQEDKKKVKKGWLAVRVGLEEEDGGFQRFVIPISYLYHPLFKRLLEKAHEVYGYHTTGPLWLPCSVDDFLHLRWRIERESSHHSHHSNLHQHLPSSLSFHSC >Potri.006G199500.12.v4.1 pep chromosome:Pop_tri_v4:6:20718587:20725313:1 gene:Potri.006G199500.v4.1 transcript:Potri.006G199500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199500.v4.1 MERANTSLARTKSEQLAETVEAAFKSPMNNDGVSEGGSGGTLSGKSSKRLTTAASPGRTTSGGNKNTHIRKSRSAQMKFELDDVNSGAALSRASSASLGFSFSFTGFNMPPDEIADSMPFSDDDIPEDLEAGMRKQKFQTEPSLPIYLKFRDVTYKVIIKGMTSTEEKDILNGISGSVDPGEVLALMGPSGSGKTTLLNLLGGRLNQPTVGGSITYNDGPYSKFLKSRIGFVTQDDILFPHLTVKETLTYAALLRLPKTLTKQQKQKRAMDVIYELGLERCQDTVIGGSFVRGVSGGERKRVCIGNEIIINPSILFLDEPTSGLDSTTALKTVQLLQDMAEGGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKSSEAMLYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELDDKVQIVNSDAGKRNGKPSPAVVHEYLVEAYETRVAVKEKKKLMVPIPLDEEVKAKVSSLKRQWGASWWQQYTILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWNSDTNSLKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLVLPVLFLLVVYFMAGLRMSAAPFFLTMLTVFLSIIAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKRVPVFVSWIRYLSFNYHTYKLLLKVQYKHMTPVLNGMRIDSGLTEVSALVAMVFGYRLLAYISLRRMKLCAQ >Potri.006G199500.9.v4.1 pep chromosome:Pop_tri_v4:6:20718590:20725323:1 gene:Potri.006G199500.v4.1 transcript:Potri.006G199500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199500.v4.1 MQNSPQDCSMERANTSLARTKSEQLAETVEAAFKSPMNNDGVSEGGSGGTLSGKSSKRLTTAASPGRTTSGGNKNTHIRKSRSAQMKFELDDVNSGAALSRASSASLGFSFSFTGFNMPPDEIADSMPFSDDDIPEDLEAGMRKQKFQTEPSLPIYLKFRDVTYKVIIKGMTSTEEKDILNGISGSVDPGEVLALMGPSGSGKTTLLNLLGGRLNQPTVGGSITYNDGPYSKFLKSRIGFVTQDDILFPHLTVKETLTYAALLRLPKTLTKQQKQKRAMDVIYELGLERCQDTVIGGSFVRGVSGGERKRVCIGNEIIINPSILFLDEPTSGLDSTTALKTVQLLQDMAEGGKTVVTTIHQPSSRLFHKFDKLILLGKGSLLYFGKSSEAMLYFSSIGCNPLIAMNPAEFLLDLANGNINDVSVPSELDDKVQIVNSDAGKRNGKPSPAVVHEYLVEAYETRVAVKEKKKLMVPIPLDEEVKAKVSSLKRQWGASWWQQYTILFCRGIKERRHDYFSWLRITQVLSTAIILGLLWWNSDTNSLKGLQDQAGLLFFIAVFWGFFPVFTAIFTFPQERAMLSKERAADMYRLSAYFLARTTSDLPLDLVLPVLFLLVVYFMAGLRMSAAPFFLTMLTVFLSIIAAQGLGLAIGATLMDLKRATTLASVTVMTFMLAGGYFVKRVPVFVSWIRYLSFNYHTYKLLLKVQYKHMTPVLNGMRIDSGLTEVSALVAMVFGYRLLAYISLRRMKLCAQ >Potri.006G229900.2.v4.1 pep chromosome:Pop_tri_v4:6:23351246:23356081:1 gene:Potri.006G229900.v4.1 transcript:Potri.006G229900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229900.v4.1 MPRGRFSPMDLKGAFDVEHMSSTSRIQHDLWPLDGIDPKKAKFPCCLIWTPLPVVSWLAPFIGHVGICREDGTILDFSGSNSVNVDDFTFGAAARYLQLDREQCCFPPNLAGHTCKHGYKHSEYGTAITWDDALQSSTRSFEHKTYNLFTCNCHSFVAHCLNRFCYGGSMDWNLIDVAVLILFKGHWIDWKSIIKSFLPFTIVLCLGIVLVGWPFLVGLFSFTLLLMGWFLLGTYCFKSLLEC >Potri.006G229900.4.v4.1 pep chromosome:Pop_tri_v4:6:23351305:23356076:1 gene:Potri.006G229900.v4.1 transcript:Potri.006G229900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229900.v4.1 MPRGRFSPMDLKGAFDVEHMSSTSRIQHDLWPLDGIDPKKAKFPCCLIWTPLPVVSWLAPFIGHVGICREDGTILDFSGSNSVNVDDFTFGAAARYLQLDREQCCFPPNLAGHTCKHGYKHSEYGTAITWDDALQSSTRSFEHKTYNLFTCNCHSFVAHCLNRFCYGGSMDWNLIDVAVLILFKGHWIDWKSIIKSFLPFTIVLCLGIVLVGWPFLVGLFSFTLLLMGWFLLGTYCFKSLLEC >Potri.001G091600.2.v4.1 pep chromosome:Pop_tri_v4:1:7235364:7239592:-1 gene:Potri.001G091600.v4.1 transcript:Potri.001G091600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091600.v4.1 MDGVFDDEVEQTVTIDEYLNNVEAEELNADLVLGGDEGEECTYNMGYMKRQAIFSCLTCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKSGEFVCKLFPKKNVENAENSYNHNFKGLYCSCDRPYPDPDAKAQEEMIQCIMCEDWFHEEHLGLESFNEIPRDEEGEPLYEDFICKTCSTVCSFLTLYPQTIWEAGGQKGDATASNAKNKGVLENVSSACGSGKLEIDICAHDSSEKDNATANSNCQSVAAGNASVVGESSGKSSGPNDSDQCTKDTNLHTTCVLGINVEVTSPVSEGKPLFLSKSWRDILCRCEKCLDMYNQKQINYLLDREDTIAEYEKMAKQKREEKLQQQEGAELSFFNKLGHIEKVEILNGIADFKDEFCSFLESFDMSKTITCSDVHQIFENLAKKRRRMQ >Potri.002G019500.3.v4.1 pep chromosome:Pop_tri_v4:2:1179319:1181529:-1 gene:Potri.002G019500.v4.1 transcript:Potri.002G019500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019500.v4.1 MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGNTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLREDKQFFVDHPGAVPINTAQGEELKKLIGAPFYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKRGQKACSIL >Potri.010G226700.1.v4.1 pep chromosome:Pop_tri_v4:10:21028607:21032874:1 gene:Potri.010G226700.v4.1 transcript:Potri.010G226700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226700.v4.1 MSSAARLRRVCAAALATATVASVGGSIILSPNLSSNDRGSGTVLEAVRSKINDPYANVPSRAVQESALIGASQANPLDILVVGGGATGCGVAFDAVTRGLRVGLVEREDFSSGTSSRSTKLIHGGVRYLEKAVFNLDYGQLKLVFHALEERKQVIENAPHLCHALPCMTPCFDWFEVVYYWAGLKMYDLVAGARLLHFSRYYSAKESIELFPTLAKKGNDRNLRGTVVYYDGQMNDSRVNVGLACSAALAGAAVLNHAEVISFLKDEATGRIIGARIRDNFSGKEFETYAKVVVNAAGPFCDSVRKLADKEATSMICPSSGVHIVLPDYYSPDGMGLIVPKTKDGRVVFMLPWLGRTVAGTTDSNTVITPLPEPHEDEIQFILDAISDYLSVKVRRTDVLSAWSGIRPLAVDPSAKSTESISRDHVVCEDYPGLVTITGGKWTTYRSMAEDAVDAAVKSGKLSPKNGSVTHNLRLMGGDGWEPSYFTVLAQQYVRMKRTYGGKVVPAIMDTAAAKHLSHAYGTLAERVAAIAQNEGLGKRLAHGYPFMEAEVAYCARNEYCESAVDFIARRSRLAFLDTDAAGRALPRVIEILAAEHKWDKSRKAQELRKAKEFLETFKSSKNAHFHDGKH >Potri.001G467500.1.v4.1 pep chromosome:Pop_tri_v4:1:49220569:49222370:-1 gene:Potri.001G467500.v4.1 transcript:Potri.001G467500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467500.v4.1 MFAHLPGAEPANYPKPSSTEKETEEQTTNKSPQISVTYIYTVEVAELRSNVTVTWNKNVMNCSLSISVENPLDESHYTCKIDIKTWQFWGKKGLKSFEVDGKRVDVYWDFRQAKFSNHAEPLSDYYVALVYNEEVVLMLGDMKMDAFKRTKKRPSLTEPILLCKKENVYGKRSFCAKAMFQNDKTEHDLVIEFSLSGLGDPEMGITVDGFEVIRVMNLNWRFRGNENMKVDDVGVQIFWDVHDWLFSGSSTSHGLFILKPAAQEGGDDKVGEGRHCRGNDGGMYDSPKERSSSTPGFFHVINAWKYE >Potri.013G043250.1.v4.1 pep chromosome:Pop_tri_v4:13:2989522:2990159:-1 gene:Potri.013G043250.v4.1 transcript:Potri.013G043250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043250.v4.1 MLLIQSVDESIRKLMLNSHKSTDLHESMMSHWRYESEDTNGERHRLGNYQRVARHYMGYKMAIFFIFFFSWHGFSNGNLETS >Potri.001G088900.2.v4.1 pep chromosome:Pop_tri_v4:1:7074511:7075614:-1 gene:Potri.001G088900.v4.1 transcript:Potri.001G088900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088900.v4.1 MGCNSSKGIEASEDVYLPPPSSFAVFDINSIQEPWLVVGNSVQENQEMPSNVQAPILEKLNQFETDAPHSWDEVSKALKDLKPTLSKKTPFATPPPPSQKAPYTTPTQVAKTNQEKKQEPRKSVSIHTLEELEAKLAPKPEKELKKTDKPESRVGTEPVIESGAGGAVRSVKENIFIVRDKLEREKGGKPVKKLDPLSGFEEKSPPSGANSVVLYTTSLRGVRKTFEDCTRVKTIFELHHVIFYERDVSLHGEFLNEVFIKGRYIGGVDEVVELNESARLGRILVWARVERVEGRQACEGCGDARFVLVDGVKERCGKCNENGLVRCPTCH >Potri.001G214500.1.v4.1 pep chromosome:Pop_tri_v4:1:22110564:22115492:-1 gene:Potri.001G214500.v4.1 transcript:Potri.001G214500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G214500.v4.1 MTPTGILAGLFLLLTLYCGIDPWKQSAISGFPDFKSYKVEMPPWSILPKEKDEENLLQRSEIKFLNQIQGPESMAFDPLGRGPYTGVADGRILFYDGQKWTDFAYTSSNRSEICNPQPSPLSYLKNEHICGRPLGLRFDKKTGDLYIADAYFGLMKVGPEGGLATSLSNEAEGIPLRFTNDLDIDDEGNIYFTDSSTTYQRRNFMQLVFSGENSGRVLKYNPTTKETTVLVRNLQFPNGVSLSKDGSFFVFCEGSIGRLRKYWLKGEKAGTSEVLAIIPGFPDNVRTNEEGNFWVAIHCRRSFYTHINAQYPNLRTFLLKLPIPMKIQYLLQIGGWPHGLVVKYSPEGKLLQILEDSQGKVVKAISEVEEKDGKLWMGSVLMPFVGVYNLA >Potri.015G036600.1.v4.1 pep chromosome:Pop_tri_v4:15:3124562:3128544:1 gene:Potri.015G036600.v4.1 transcript:Potri.015G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036600.v4.1 MKFRYAMVCSSNQNRSMEAHSLLKRHGFDVSSYGTGAHVKLPGPSLREPNVYDFGTPYKQMFDDLRRKDPELYKRNGILPMLKRNSGVKLAPQRWQDNAADGSFSVVFTFEEKVFDMVLEDLHNRDHVLLKSVLVINLEVKDNHEEAAVGGQLTLELCQKIEAVESWEDSIDEIITAFEAKHRRKLVYSISFY >Potri.010G077400.1.v4.1 pep chromosome:Pop_tri_v4:10:10437740:10440674:-1 gene:Potri.010G077400.v4.1 transcript:Potri.010G077400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077400.v4.1 MGYHIAFSKPFQLKPRVCQISLTPYLHFCTSIPAPGEDPISPNPETTASPGPKPDPKTETPNVAQEKQYQRIPRAKQQHRSPEKLEDIICRMMANRDWTTRLQNSIRALVPEFDHSLVYNVLHGARKPDHALQFFRWVERAGLIQHDRETHMKIIQILGRYSMLNHARCIVLEDMPKKGFELDEDMFVLLIDSYGKAGIVQESVKMFSKMKELGVERSVKSYNALFKVIVRKGRYMMAKRFFNKMLDEGIGPTRHTYNVLIWGFFLSMRLRTAVRFYEDMKVRGISPDVVTYNTMINGYYRHKRMEEAEKLFAEMKAKDIAPTVISYTTMIKGYFAVDRINDGLRLLEEMKSVGIKPNNVTYTTLLPDLCDAGKMTEAKDILKEMVRRRIAPKDNSIFLKLLNSQCKAGDLKAAVDVLDGMIKLSIPSEAGHYGVLIENFCKAEEYDQAVKFVDKLIENDIILRPQSTLEMESGAYNPVIQYLCSHGQTGKAEILFRQLLKKGVEDPLAFNNLICGHAKEGTPDSAFEILKIMGRKGIPRDADAYRLLIESYLRKGEPADAKTALDSMIEDGHLPDSSVFRSVMESLYEDGRVQTASRVMKSMVEKGVKENMDLVAKILEALLMRGHEEEALGRIDLLMSSQCNVNFDSLLSILSEKGKTIAALKLLDFGLQRDCDIDFKSYDKVLDALLAAGKTLNAYSILCKIMEKGGVTSWRSYEDLIKSLNQEGNTKQADILSRMIKGDDKSHENKKGKKKASVAA >Potri.013G136300.2.v4.1 pep chromosome:Pop_tri_v4:13:14120894:14132235:1 gene:Potri.013G136300.v4.1 transcript:Potri.013G136300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136300.v4.1 MASQEAEAFFKSQFEIFQLSLGFVDSMALKCAVELRLPEIINSHGRPISLSQIASGINSPSSDISYLARIMRYLVRKEIFTAHPPSDGGETLFGLNQKSRMLMHDSERSLVSIITMQHSSWFLAAWHCLSQCIKEGGTAFSKAHGCELWDFASRNPEVNRIFNEAMACTSNITMRAILSHYKDGFNNIRSLVDVAGGIGGHVAEIVRAYPHIEGINFDLPHVVATAPKYEGVSHVAGNMFEAIPNADAIFIQRILHDWTDESCVEILRNCKKAIPEKTGKLIIVDIVLPTDDHCDQFDDIRMVMDLVMFALTTGGKERTEQEWKKLLEEGGFSRYKIIKIPALESIIEAYPDPE >Potri.013G136300.3.v4.1 pep chromosome:Pop_tri_v4:13:14120963:14122946:1 gene:Potri.013G136300.v4.1 transcript:Potri.013G136300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136300.v4.1 MKYAVYKLQYTDFFLLSFMISGINSPSSDISYLARIMRYLVRKEIFTAHPPSDGGETLFGLNQKSRMLMHDSERSLVSIITMQHSSWFLAAWHCLSQCIKEGGTAFSKAHGCELWDFASRNPEVNRIFNEAMACTSNITMRAILSHYKDGFNNIRSLVDVAGGIGGHVAEIVRAYPHIEGINFDLPHVVATAPKYEGVSHVAGNMFEAIPNADAIFIQRILHDWTDESCVEILRNCKKAIPEKTGKLIIVDIVLPTDDHCDQFDDIRMVMDLVMFALTTGGKERTEQEWKKLLEEGGFSRYKIIKIPALESIIEAYPDPE >Potri.013G136300.1.v4.1 pep chromosome:Pop_tri_v4:13:14120962:14122868:1 gene:Potri.013G136300.v4.1 transcript:Potri.013G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136300.v4.1 MASQEAEAFFKSQFEIFQLSLGFVDSMALKCAVELRLPEIINSHGRPISLSQIASGINSPSSDISYLARIMRYLVRKEIFTAHPPSDGGETLFGLNQKSRMLMHDSERSLVSIITMQHSSWFLAAWHCLSQCIKEGGTAFSKAHGCELWDFASRNPEVNRIFNEAMACTSNITMRAILSHYKDGFNNIRSLVDVAGGIGGHVAEIVRAYPHIEGINFDLPHVVATAPKYEGVSHVAGNMFEAIPNADAIFIQRILHDWTDESCVEILRNCKKAIPEKTGKLIIVDIVLPTDDHCDQFDDIRMVMDLVMFALTTGGKERTEQEWKKLLEEGGFSRYKIIKIPALESIIEAYPDPE >Potri.009G139900.3.v4.1 pep chromosome:Pop_tri_v4:9:11200499:11208861:-1 gene:Potri.009G139900.v4.1 transcript:Potri.009G139900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139900.v4.1 MDVNKQNISRRKNQTNPSTSTQKIFGANKLEIGENDASRLIGSIIEKGISETPQNKPTPPPQLTVLPFPVARHRSHGPHWGPISSRKDANDDNEDDGEEDDDDSIYSNPISAFAHPVKRKQKKGLDLSRWRELVPSDNSLEIDEVEKNRAGLKKTGKYRKDGEAVDHVENRKLLNDPFRASEVPMEVDIETDLSSSMPPAKVKESVTSVADMEINNRALSEMLKKREQLNQTVVSSSGFNSHGNEQGSKLLESEIDAENRSRLQSMSAEEIAEAQVEIMEKMNPELLNLLKKRGQEKLKKKNVSSSDEAVSSQVDSIPIENRLIKHSEISPHAGSERPEMMTTNISKDTKSGLDNNVLHDLSTTSGCLWNTWSERVEAVRGLRFSLEGTVIADEPDTGNISSDNGLSADNVAERDFLRTEGDPGAAGYTIKEAVQLTRSVIPGQRALALHLLASVLDNAIHSIQQNKVGSTVSNANQVDKSDDWEAIWAFALGPEPELVLALRMCLDDNHHSVVLACAKVIQSVLSCDLNETFFEISEKIATCEKDIFTAPVFRSKPDIDAGFLHGGFWKYNAKPSNIMAFSEDIVDDEIEGKHTIQDDIAVASQDFAAGLVRMGILHKMRYLLEADPSAPLEECIISILLGIARHSLTCANAIMKCQRLVNMVVHRFTMGDNIEVRPSKIKSVRLLKALAQSDKNNCIELIKNGFVQAMTWHLYRYTSSLDYWLKSGKEICKLSSALMVEELRLWKACIHYGFCISCFSDIFPALCLWLNPPTFTKLQENNVLGEFASVSKEAYLVLEALSRNLPNFYMQKHASNQMSDCAGDEQESWSWSFVTPMIDLALKWIASISDPYISKIFEWEKGNRSEFVFQDSSISSLLWVYSAVLHMLSTLLERLIPEDALRLQGSGQHVPWLPEFVPKIGLGVVKNGFLSFIDELCHLRQHSNSETSLASVCCLHGLIRVSVSIDNLIQLAKSGVHSPPSQEYRFSGESKILEDGILKSSLVELKCVLNLFIKFVTSEWHSVQSIETFGRGGPTPGAGIGWGASVGGFWSMTVLLAQTDARMLTSMLEIFQNLSTTEVPTDEEMVFAMNMISSLLGVFLTIGPRDKPVMKKALDILLDVPVLKYLDFYTRRFLQLNERVKLFGWEYKEEDYVSFSNTLASHFKNRWLSVKRKLKATPEDNSKGKSSLETIHEDLDISDMTWQDNHLTSLTAEWAHQRLPLPLHWFLSPIATISNNKQGCLQSSSDTRNPTEHTHDTLEVAKGGLFFLLGLETMSSFLPTDAPSPVRFTPLIWKLHSLSVMLLSGMGVLEDDKSRDVYEALQNLYGQLLDESRSVRSAEHFLEDNVNVVPETGKKSALEFLRFQSEIHESYSTFLETLVEQFASISYGDIIFGRQVAVYLHRCTETPVRLAAWNGLANAHVLEILPPLEKCFAEAEGYLEPVEDNEGILEAYVKAWVSGALDRAATRGSMAFTLVLHHLSSFIFLFHANDKITLRNKLAKSLLRDYSKKQRHEGIMLELVCYYKLSSRLPEKQEGLPLQASDIEKRFEVLVEACDRDSSLLIEVEKLKSAFVKKQFVDRL >Potri.009G139900.5.v4.1 pep chromosome:Pop_tri_v4:9:11200559:11208859:-1 gene:Potri.009G139900.v4.1 transcript:Potri.009G139900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139900.v4.1 MEVDIETDLSSSMPPAKVKESVTSVADMEINNRALSEMLKKREQLNQTVVSSSGFNSHGNEQGSKLLESEIDAENRSRLQSMSAEEIAEAQVEIMEKMNPELLNLLKKRGQEKLKKKNVSSSDEAVSSQVDSIPIENRLIKHSEISPHAGSERPEMMTTNISKDTKSGLDNNVLHDLSTTSGCLWNTWSERVEAVRGLRFSLEGTVIADEPDTGNISSDNGLSADNVAERDFLRTEGDPGAAGYTIKEAVQLTRSVIPGQRALALHLLASVLDNAIHSIQQNKVGSTVSNANQVDKSDDWEAIWAFALGPEPELVLALRMCLDDNHHSVVLACAKVIQSVLSCDLNETFFEISEKIATCEKDIFTAPVFRSKPDIDAGFLHGGFWKYNAKPSNIMAFSEDIVDDEIEGKHTIQDDIAVASQDFAAGLVRMGILHKMRYLLEADPSAPLEECIISILLGIARHSLTCANAIMKCQRLVNMVVHRFTMGDNIEVRPSKIKSVRLLKALAQSDKNNCIELIKNGFVQAMTWHLYRYTSSLDYWLKSGKEICKLSSALMVEELRLWKACIHYGFCISCFSDIFPALCLWLNPPTFTKLQENNVLGEFASVSKEAYLVLEALSRNLPNFYMQKHASNQMSDCAGDEQESWSWSFVTPMIDLALKWIASISDPYISKIFEWEKGNRSEFVFQDSSISSLLWVYSAVLHMLSTLLERLIPEDALRLQGSGQHVPWLPEFVPKIGLGVVKNGFLSFIDELCHLRQHSNSETSLASVCCLHGLIRVSVSIDNLIQLAKSGVHSPPSQEYRFSGESKILEDGILKSSLVELKCVLNLFIKFVTSEWHSVQSIETFGRGGPTPGAGIGWGASVGGFWSMTVLLAQTDARMLTSMLEIFQNLSTTEVPTDEEMVFAMNMISSLLGVFLTIGPRDKPVMKKALDILLDVPVLKYLDFYTRRFLQLNERVKLFGWEYKEEDYVSFSNTLASHFKNRWLSVKRKLKATPEDNSKGKSSLETIHEDLDISDMTWQDNHLTSLTAEWAHQRLPLPLHWFLSPIATISNNKQGCLQSSSDTRNPTEHTHDTLEVAKGGLFFLLGLETMSSFLPTDAPSPVRFTPLIWKLHSLSVMLLSGMGVLEDDKSRDVYEALQNLYGQLLDESRSVRSAEHFLEDNVNVVPETGKKSALEFLRFQSEIHESYSTFLETLVEQFASISYGDIIFGRQVAVYLHRCTETPVRLAAWNGLANAHVLEILPPLEKCFAEAEGYLEPVEDNEGILEAYVKAWVSGALDRAATRGSMAFTLVLHHLSSFIFLFHANDKITLRNKLAKSLLRDYSKKQRHEGIMLELVCYYKLSSRLPEKQEGLPLQASDIEKRFEVLVEACDRDSSLLIEVEKLKSAFVKKQFVDRL >Potri.009G139900.4.v4.1 pep chromosome:Pop_tri_v4:9:11200683:11208859:-1 gene:Potri.009G139900.v4.1 transcript:Potri.009G139900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139900.v4.1 MDVNKQNISRRKNQTNPSTSTQKIFGANKLEIGENDASRLIGSIIEKGISETPQNKPTPPPQLTVLPFPVARHRSHGPHWGPISSRKDANDDNEDDGEEDDDDSIYSNPISAFAHPVKRKQKKGLDLSRWRELVPSDNSLEIDEVEKNRAGLKKTGKYRKDGEAVDHVENRKLLNDPFRASEVPMEVDIETDLSSSMPPAKVKESVTSVADMEINNRALSEMLKKREQLNQTVVSSSGFNSHGNEQGSKLLESEIDAENRSRLQSMSAEEIAEAQVEIMEKMNPELLNLLKKRGQEKLKKKNVSSSDEAVSSQVDSIPIENRLIKHSEISPHAGSERPEMMTTNISKDTKSGLDNNVLHDLSTTSGCLWNTWSERVEAVRGLRFSLEGTVIADEPDTGNISSDNGLSADNVAERDFLRTEGDPGAAGYTIKEAVQLTRSVIPGQRALALHLLASVLDNAIHSIQQNKVGSTVSNANQVDKSDDWEAIWAFALGPEPELVLALRMCLDDNHHSVVLACAKVIQSVLSCDLNETFFEISEKIATCEKDIFTAPVFRSKPDIDAGFLHGGFWKYNAKPSNIMAFSEDIVDDEIEGKHTIQDDIAVASQDFAAGLVRMGILHKMRYLLEADPSAPLEECIISILLGIARHSLTCANAIMKCQRLVNMVVHRFTMGDNIEVRPSKIKSVRLLKALAQSDKNNCIELIKNGFVQAMTWHLYRYTSSLDYWLKSGKEICKLSSALMVEELRLWKACIHYGFCISCFSDIFPALCLWLNPPTFTKLQENNVLGEFASVSKEAYLVLEALSRNLPNFYMQKHASNQMSDCAGDEQESWSWSFVTPMIDLALKWIASISDPYISKIFEWEKGNRSEFVFQDSSISSLLWVYSAVLHMLSTLLERLIPEDALRLQGSGQHVPWLPEFVPKIGLGVVKNGFLSFIDELCHLRQHSNSETSLASVCCLHGLIRVSVSIDNLIQLAKSGVHSPPSQEYRFSGESKILEDGILKSSLVELKCVLNLFIKFVTSEWHSVQSIETFGRGGPTPGAGIGWGASVGGFWSMTVLLAQTDARMLTSMLEIFQNLSTTEVPTDEEMVFAMNMISSLLGVFLTIGPRDKPVMKKALDILLDVPVLKYLDFYTRRFLQLNERVKLFGWEYKEEDYVSFSNTLASHFKNRWLSVKRKLKATPEDNSKGKSSLETIHEDLDISDMTWQDNHLTSLTAEWAHQRLPLPLHWFLSPIATISNNKQGCLQSSSDTRNPTEHTHDTLEVAKGGLFFLLGLETMSSFLPTDAPSPVRFTPLIWKLHSLSVMLLSGMGVLEDDKSRDVYEALQNLYGQLLDESRSVRSAEHFLEDNVNVVPETGKKSALEFLRFQSEIHESYSTFLETLVEQFASISYGDIIFGRQVAVYLHRCTETPVRLAAWNGLANAHVLEILPPLEKCFAEAEGYLEPVEDNEGILEAYVKAWVSGALDRAATRGSMAFTLVLHHLSSFIFLFHANDKITLRNKLAKSLLRDYSKKQRHEFMLQGIMLELVCYYKLSSRLPEKQEGLPLQASDIEKRFEVLVEACDRDSSLLIEVEKLKSAFVKKQFVDRL >Potri.003G014800.4.v4.1 pep chromosome:Pop_tri_v4:3:1650900:1653126:-1 gene:Potri.003G014800.v4.1 transcript:Potri.003G014800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014800.v4.1 MENSKGSANIRNLMYSGKHPLLPPKSPFPSVSPSYIDHVPSNSFGSKTAQKPREGNTHHQRTSSETLFIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDVANASNIDYAEQDDYIYKNVMSIPSWRSHDFDHHQDVRQASLYSEMNLTKQKNRVWDSSLNAPTFPSSLSSVRENAGMRSSGSSCAPREADCVSESEKQDPLDGPHDPKISLEKKDSSNSKSSASDTDTKRAKQYVLCYSSSLFNTSRLL >Potri.003G014800.3.v4.1 pep chromosome:Pop_tri_v4:3:1648988:1653421:-1 gene:Potri.003G014800.v4.1 transcript:Potri.003G014800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014800.v4.1 MENSKGSANIRNLMYSGKHPLLPPKSPFPSVSPSYIDHVPSNSFGSKTAQKPREGNTHHQRTSSETLFIEEQPSWLDDLLNEPETPVRRGGHRRSSSDSFAYIDVANASNIDYAEQDDYIYKNVMSIPSWRSHDFDHHQDVRQASLYSEMNLTKQKNRVWDSSLNAPTFPSSLSSVRENAGMRSSGSSCAPREADCVSESEKQDPLDGPHDPKISLEKKDSSNSKSSASDTDTKRAKQQFAQRSRVRKLQYIAELERNVQALQAEGSEVSAELEFVNQQNLILSMENKALKQRLENLAQEQLIKYLEHEVLEREIGRLRALYQQQQQQPQQMKPSSHRRSNSRDLDSQFANLSMNANSSRDPVTGSLRI >Potri.008G140400.1.v4.1 pep chromosome:Pop_tri_v4:8:9450920:9453670:1 gene:Potri.008G140400.v4.1 transcript:Potri.008G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140400.v4.1 MLDSTTTSTAPSPSSDPFTTSLDNGVTNKRKRKPAGTPDPDAEVVSLSPRTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETQEVKKRVYVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACTVRGAQPELQALQPACSSRTASSTSPSSDANFNIAPLPGIPMSKPTEQVYLCSDRNDASTSSQQEHNLELQLLPSSSNHLPQNPDEPHAANLKLSIGSSDHSCEKDGSNKAIGDPSWHKSTSNEPAFEAAKLKEFTNEQLRLAMTEKAYAEEARQQAKRQIEMAELEFANAKRIRQQAQSELEKAQLLKEQATKKLSSTIMQVTCQVCKQQFHASTAAAPADETSLAMSYMSSATTEGDGE >Potri.004G069001.1.v4.1 pep chromosome:Pop_tri_v4:4:5851068:5854173:-1 gene:Potri.004G069001.v4.1 transcript:Potri.004G069001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069001.v4.1 GGLETDRHSLLAFKAQLSDPTNKLSSWNESLHFCQWSGVTCGKRHQRVIELDLRSSQLAGRLSPHIGNLIGRLVRLEKLVLGNNSFSGGVPVNISRCSNLMNLNFEGNNLSGNLPPGLGLLSKLQVFIFRNNNLDGKIPLSFENLSSIVKIVGTKNNLHGGVPNIIGKLKRLNFFALGSNHLSGTIPPSLYNLSSLIRFSLPYNQFHGTLPPNIGLTLPNIEFLAVHGNQLSGLIPQTLLNASKITHIYLSPNKFTGKVPTLANMPDLRVLSVQENDLGNDEDDDLSFLYTLSNSSKLESLAINDNNFGGVLPDIITNFSTKLKEMTFRSNLIRGSIPDGIGYLISLEVLGFEANQLTGSVPNSIGKLQNLGDLFLNENKLSGSIPSSLGNITSLMQIDFDQNNLQGSIPPSLGNCRNLVLLALSQNNLSGPIPKEVISISSLSTYLVLSENQLTGSLPSEVGKLANLGYLDISKNRLSGEIPANLGSCMSLEHFLNLSYNNLTGQIPKFLGDLMLLKSLDLSFNDLEGEVPVHGVFQNASAVSVSGNKNLCGGILELNLSTCTSKSKPKSSTKLILGVTISFGFIGLILMTSFLFLCRLKETKNELTSNLSCEAPFRRVAYEDLRQASNGFSFDNLIGSGSSGSVYKGVLALNGVVVAVKVFNLRRKGAAKSFMTECATLLSMRHRNLVKVLSAFAGVDFQGNDFKAIVYELMINGSLEEWLHPIHTSDHEAPEPRTLNLIKRLNIAVDVASALDYLHNDCEMQIVHCDLKPSNVLLDGDLTAHVGDFGLLKFLSEPSSQSSLSQKSSVGLKGTIGYAAPEYGMGSKVSTYGDVYSYGTLLLEMLTGKRPTDSMFEDGIGLHNYVKMALPDRVLQVADPTLLREVDQGASSDQILQCLTSISEVGVFCSERFPRERMDISNVVAELNRTKANFLHGRHGLPA >Potri.013G029100.3.v4.1 pep chromosome:Pop_tri_v4:13:1886676:1894703:-1 gene:Potri.013G029100.v4.1 transcript:Potri.013G029100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029100.v4.1 MGLCFSDVRGGKQAIGGTSQQNPNSNNNAAHNDAVDFFFKSNGQQALFTQVELSLSASNLLDRDITSKSDPMVVLFAKKRDGKLEEVGRTEVMLNNLNPTWIQKITIAYQFEMVQPLVFHVYDVDTSYHNKPVKSLKLKDQEFLGEATCVLSEIVTRQNRTLTIQLQNRNGHGILRKLGTLTAHAEETIASRTTVELTLRCCHLANKDLFSLSDPFLRISRMVEGGGSVPICKTEVVNNNLNPMWRPLCLSMQQFGSKETPLVIECFDFNSSGNHVLIGKLQKSVADLETLHREKNGANFVIPSSHVREKVLKGQLFVDRFLEKEQYSFLDYISSGFELNFMVAVDFTASNGNPRNPESLHYIDPSGRLNSYQQAIMEVGQVIQFYDSDRRFPAWGFGGKTPAGTVSHCFNLNGSASFEVEGVDGIMAAYATALHNVSLSGPTLFGPVINTAAQIAGQSVSNNNGKYLVLLIITDGVITDMQETKDSLVRASDLPLSILIVGVGGADFTQMEILDADNGERLQSSTGRVATRDIVQFVPMREVNSGQISVVQALLEELPGQFLTYFRSRDIKPHARHVC >Potri.010G116900.5.v4.1 pep chromosome:Pop_tri_v4:10:13602583:13608818:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MEESLLPREVDEKGQGIIGPTPTPTWGVFIQEVKRLGYIAGPMVAVILTQYSLQVISMMMVGHLGELALSSAAMALSLSGVTGFSLMMGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.6.v4.1 pep chromosome:Pop_tri_v4:10:13598481:13608818:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MEESLLPREVDEKGQGIIGPTPTPTWGVFIQEVKRLGYIAGPMVAVILTQYSLQVISMMMVGHLGELALSSAAMALSLSGVTGFSLMMGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.12.v4.1 pep chromosome:Pop_tri_v4:10:13598481:13608057:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MEESLLPREVDEKGQGIIGPTPTPTWGVFIQEVKRLGYIAGPMVAVILTQYSLQVISMMMVGHLGELALSSAAMALSLSGVTGFSLMMGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.17.v4.1 pep chromosome:Pop_tri_v4:10:13604643:13608057:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.10.v4.1 pep chromosome:Pop_tri_v4:10:13602583:13608818:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MEESLLPREVDEKGQGIIGPTPTPTWGVFIQEVKRLGYIAGPMVAVILTQYSLQVISMMMVGHLGELALSSAAMALSLSGVTGFSLMMGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.14.v4.1 pep chromosome:Pop_tri_v4:10:13604643:13608757:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.16.v4.1 pep chromosome:Pop_tri_v4:10:13598481:13608712:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.9.v4.1 pep chromosome:Pop_tri_v4:10:13598481:13608818:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MEESLLPREVDEKGQGIIGPTPTPTWGVFIQEVKRLGYIAGPMVAVILTQYSLQVISMMMVGHLGELALSSAAMALSLSGVTGFSLMMGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.11.v4.1 pep chromosome:Pop_tri_v4:10:13603602:13608403:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MEESLLPREVDEKGQGIIGPTPTPTWGVFIQEVKRLGYIAGPMVAVILTQYSLQVISMMMVGHLGELALSSAAMALSLSGVTGFSLMMGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.15.v4.1 pep chromosome:Pop_tri_v4:10:13598481:13608712:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.8.v4.1 pep chromosome:Pop_tri_v4:10:13598481:13608818:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MEESLLPREVDEKGQGIIGPTPTPTWGVFIQEVKRLGYIAGPMVAVILTQYSLQVISMMMVGHLGELALSSAAMALSLSGVTGFSLMMGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.13.v4.1 pep chromosome:Pop_tri_v4:10:13604643:13608757:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.010G116900.7.v4.1 pep chromosome:Pop_tri_v4:10:13598481:13608818:1 gene:Potri.010G116900.v4.1 transcript:Potri.010G116900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116900.v4.1 MEESLLPREVDEKGQGIIGPTPTPTWGVFIQEVKRLGYIAGPMVAVILTQYSLQVISMMMVGHLGELALSSAAMALSLSGVTGFSLMMGMASALETLCGQAYGAKQYKKLGTQTYTAIFCLNLVCIPLSVIWIYMGKILHFTGQDPAISHEAGKFIVWLVPALFAYATLQPLVRYFQTQSFIMPMLISSCATLCFHIPLCWALVYKSGLKNVGSAVAMGISYWLNVIFLGLYIKYSSACAKTRVPIYKELFYGVGEFFRFAIPSAVMICLEWWSFELLILLSGFLPNPQLETSVLSVCLMTISTLYGIPFGLGAAASTRVANELGAGNPRAARLAVYAAMFLAVSETIIVTSALFASRRVFGYLFSNEKEVIDYVTTMAPLVCLSVIMDSLQGVLSGVARGCGWQHIGAYINLGAFYLCGIPAAALLAFWLNLGGMGLWIGIQTGAFTQTILLSIVTSCTNWEKQARMARERIFEGHDNGLM >Potri.001G237100.1.v4.1 pep chromosome:Pop_tri_v4:1:25492631:25496786:-1 gene:Potri.001G237100.v4.1 transcript:Potri.001G237100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237100.v4.1 MEVPSDDAVLIEKGKKAGDPHVITVNCPDKTGLACDIFHVILDYGLYITKGDVSTDGKWCYIVLWVVPHSRSIIRWTHLKNRLLSVCPSCSVSFYWNQQQSKSCPVYLLKFFSLDRKGLLHDVNQVLCELELTIQRVKVTTTPDGRVLDLFFVTDKLELLHTKQRQDETCEQLHAVLGESCISCELRLAGPEYECLQGMSSLSPVIADELFHCEISDKEIHSRALSPDMMKLKRTDVMIDNSLSPAHTLLQVHCVDHKGLLYDVMRTLKDCNIQIAYGRFSLVTNGHRDLDLFIQQKDGKKIVDPEKQSALCFRLKVEMLHPLRVVIANRGPDTELLVANPVELSGKGRPRVFYDITHALKALGICIFSAEIGRYSTSDREWEIYRFLLEENCEFQLSNMMARNQIVDKVRRTLMGW >Potri.002G099700.1.v4.1 pep chromosome:Pop_tri_v4:2:7275731:7278633:-1 gene:Potri.002G099700.v4.1 transcript:Potri.002G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099700.v4.1 MERYEIIKDIGSGNFGVAKLVRDKWTKEFFAVKFIERGQKIDEHVQREIMNHRSLKHPNIVKFKEVLLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARIFFQQLISGVSYCHSMQICHRDLKLENTLLDGSTAPRVKICDFGYSKSAVLHSQPKSTVGTPAYIAPEVLLKKEYDGKISDVWSCGVTLYVMLVGAYPFEDPDDPKNFRKTIGRILSVNYSIPDYIRVSVECNHLLSRIFVANPEKRITIPEIKNHPWFLKNLPIELMEGQSWQSIDVNNLSQSIEEVLSIIQEASKPVSLSKAVGHLLGGSMDLDDLDADADLEDIETSGDFVCP >Potri.018G085700.1.v4.1 pep chromosome:Pop_tri_v4:18:10332113:10333317:1 gene:Potri.018G085700.v4.1 transcript:Potri.018G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL2 MTVSPVSNSNSDTDSTSTYSSSLQALSSSSSSGLSPNPVSKPDPEENPRKPKRPRESTSTSSNSNSNSKHPVFRGVRMRTWGKWVSEIREPRKKNRIWLGTFSTPEMAARAHDVAALSIKGNSAILNFPKLAGSLPRPASNSPRDVQAAAAKAASMDFNIVPSAPTKVCDDENNSNSNDSTASNNNRSSSSLVTQSSSSPSSSSTAEVTSSPSDVATPEELSEIVELPSLETNLEEFPEFVLLGDSWPYNINQSWYCEDYGAVGTGYFSDQYLSIIPESNVITTTGAFETSLWEH >Potri.011G164500.1.v4.1 pep chromosome:Pop_tri_v4:11:18927287:18931578:-1 gene:Potri.011G164500.v4.1 transcript:Potri.011G164500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164500.v4.1 MNNYGESNGDLNVHEKQVEGHDHCENGDGSDNRVILGIDGGTTSTVCVCIPLFSSSKSLPNPPPILGRAVSGCSNHNSVGETAARDTLEEVMAEALSKSGSDWSAVHAVCLGVSGVNHPTDQERILNWLREIFPSHVKLYVQNDAVAALASGTMGKLHGCVLIAGTGCISYGFAEDGREARASGAGPVLGDWGSGYGIAAKALTAVIRAHDGRGPQTMLTNKILKALSLSSPDELIGWTYADPSWARIAALVPEVVSCAEACDQVATKILVNAVQDLALSVKAVVQRLQLCGEDGNGSFPVVMVGGVLEANRTWDIGKEVMKCIQEQFPGAHPIRPQVEPAVGSALLAWNFLMKESTESSHS >Potri.008G183700.1.v4.1 pep chromosome:Pop_tri_v4:8:12734069:12735591:1 gene:Potri.008G183700.v4.1 transcript:Potri.008G183700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183700.v4.1 MVLIRHRLQQHLKLPLPELEISECSTLCTFLPKPMSDTTIQGVGDFMDLEKLCVLGRGNYGIVYKVRHGQTLAIYALKIIKQDTNEAYVSHEAEILNCIDSPFVVKCHGVFEPRAGEKAILMEYMDAGTLDGIFRANGPFSEASLAHISYQVLNGLKYLHEHNIVHLDIKPSNLLVSKDMKVKIADFGVSKIVHGIVSRAATNYHNMCEGTRAYMSPERLDSHTFGSGCVYAGDVWSLGVTLLELHVGHFPFFPAGKKPTNWMELVLVICFGESPSFPKEASEEFRSFIKCCLEKEPSKRWTVSQLLSHPYVCLGEKLGK >Potri.014G041600.1.v4.1 pep chromosome:Pop_tri_v4:14:2718955:2721072:1 gene:Potri.014G041600.v4.1 transcript:Potri.014G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041600.v4.1 MTSDGATSTSAAMAAATRRKPSWRERENNRRRERRRRAIAAKIFTGLRAQGNYNLPKYCDNNEVLKALCAEAGWVVEEDGTTYRKGHRPPPIEIVGTSTRVTPYSSQNPSPLSSLFPSPIPSYQASPSSSSFPSPTRGDNNASSNLLPFLRSAIPLSLPPLRISNSAPVTPPLSSPTSRNPKPIPNWDFIAKQSMASFSYPFNAVSAPASPTHRQFHAPATIPECDESDTSTVESGQWISFQKFAPSVAAAMPTSPTYNLVIPVAQQISSSNLVKESAVPMDFEFGSEQVKPWEGERIHEVGLDDLELTLGSGKAQS >Potri.011G052700.1.v4.1 pep chromosome:Pop_tri_v4:11:4221360:4226216:1 gene:Potri.011G052700.v4.1 transcript:Potri.011G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052700.v4.1 MAAATSFSNTLTTPAPSKTLKPASSRSLLCNSNLGFSSSLSSKTFKPLKASSGNISAGVLGAKMVSSVPSVKPMISLDFETSVFKKEKVSLAGHDEYIVRGGRDLFHLLPDAFKGIKQIGVIGWGSQGPAQAQNLRDSLAEAKSDIKVKIGLRKGSRSFAEARGAGFTEENGTLGDIWETVSGSDLVLLLISDAAQADNYEKIFSHMKPNSILGLSHGFLLGHLQSTGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYKSDIFGERGILLGAVHGIVESLFRRYTENGMSEDEAYKNTVECITGTISRTISTKGMLAVYNSLSAEGKKEFETAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPAFPMGKIDQTRMWKVGERVRAARPAGDLGPLHPFTAGVYVALMMAQIEILRKKGHSYSEIINESLIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYILTQQALVAVDNSTPINRDLISNFFSDPVHGAVEVCAQLRPTVDISVPADADFVRPELRQSSN >Potri.018G026000.1.v4.1 pep chromosome:Pop_tri_v4:18:1923851:1930041:1 gene:Potri.018G026000.v4.1 transcript:Potri.018G026000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026000.v4.1 MQRLRSPPKHRHDGTSPLPLGMDWSPPPRKWSARETIWPHDPRTGWSYCVAIPSWVVVPKSRDSDPVVFYRVQVGLQSPEGVTTTRGVLRRFNDFMKLFTDLKKAFPRKTLPPAPPKGLLRLKSRALLEERRYSLEQWMTKLLSDIDLSRSVSVASFLELEAAARSSFQDVNQQSSEASPTGDSSTSSLQIPPNLSSLATGSSIASDYGSDTAYETSDLGTPRLERDENFGIGLGDLALDEDLTSPMEKLVKYGMSNIDEGLFMGQTILEQLEGLPRHRPHGVHIKNVTAKDVYNGNASKASFFPGNGMELFSEPGPAKAYGHVRKLSSESVGSDGSSLRGSELSNAGIPNSSGDGSLDLPGIAEVLSSAEVVGNAELQFSGDAHIVLPMDQRHKMNRVLLTMQRRLVTAKTDMEDLISRLNQEIAVKDYLTTKVKDLEVELETNKQKNKENMQQAILIERERLTQMQWDMEELRRKSLEMELKLKSKEGEQSSTEFQMASTDHEKDMVLEELDATRKLLEDLSKRYEELEAKSKADIKFLAKEFKSLKNSQTTLKQELSQSLKEKSEVEKLLHEEREMKEHGKIARKKLLHDCRILCDQLQECNVNLLSEDDNFVVDFSLADALDLLTTSDDQIGHLLTEAQQLFEDDKITAPDDNLRSTDDELRNMLANLFTDNAMLRKQVNSTMRRALKMGSISRSSNDGAPSSNNHVER >Potri.005G127100.1.v4.1 pep chromosome:Pop_tri_v4:5:9565577:9566771:1 gene:Potri.005G127100.v4.1 transcript:Potri.005G127100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127100.v4.1 MTSVNLGPWVQITSIINKKTRSRSRPMSNFLSPGLKTLQIASTHQRQRKPMSSICAVLTEEETLQEDQHKPTFDFKSYMIQKANSVNKALDTAVTLKEPAKIHESMRYSLLAGGKRVRPVLCLAACELVGGSESMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKSTNHIVFGEDVAVLAGDALLAFAFEHIAVSTLNVSPLRIVRAVGELAKVIGAEGLVAGQVVDICSEGLSEVGLEQLEFIHIHKTAKLLEGAVVLGAILGGGTDEEVEKLRRYARSIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKVTYPKLMGIEKSREFAEKLLNEARELLAGFDQEKAAPLIALANYIAYRQN >Potri.005G127100.3.v4.1 pep chromosome:Pop_tri_v4:5:9565872:9566963:1 gene:Potri.005G127100.v4.1 transcript:Potri.005G127100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127100.v4.1 MRYSLLAGGKRVRPVLCLAACELVGGSESMAMPAACAVEMIHTMSLIHDDLPCMDNDDLRRGKSTNHIVFGEDVAVLAGDALLAFAFEHIAVSTLNVSPLRIVRAVGELAKVIGAEGLVAGQVVDICSEGLSEVGLEQLEFIHIHKTAKLLEGAVVLGAILGGGTDEEVEKLRRYARSIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKVTYPKLMGIEKSREFAEKLLNEARELLAGFDQEKAAPLIALANYIAYRQN >Potri.010G152600.4.v4.1 pep chromosome:Pop_tri_v4:10:16205798:16208067:1 gene:Potri.010G152600.v4.1 transcript:Potri.010G152600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152600.v4.1 MGLSFTKLLGRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKA >Potri.010G152600.1.v4.1 pep chromosome:Pop_tri_v4:10:16205706:16208147:1 gene:Potri.010G152600.v4.1 transcript:Potri.010G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152600.v4.1 MGLSFTKLLGRLFSKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVGEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNISSKA >Potri.005G258600.1.v4.1 pep chromosome:Pop_tri_v4:5:24845852:24848770:1 gene:Potri.005G258600.v4.1 transcript:Potri.005G258600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258600.v4.1 MVMALAVAVVHPHVSFSASSSSFFAHQRVPRILLSKSRSNSSNSTSLPASICKASWQELAGVVIFSAIPFTAVKAIANSPLGESLQRRLEERKKLAVQQSSKFKALAQKARKESFWYGEERPRWLGPISYQYPTYLSGELPGDYGFDVAGLAEDPVAFQRYFNFEILHARWAMLAALGALIPEVLDLSGAFHFIEPVWWRVGYSKLKGDTLDYLGIPGLHFAGGQGVLVIAFCQAILMVGPEYARYCGIEALEPLGIYLPGDINYPGGILFDPLNLSKDPVSFEELKVKEIKNGRLAMVAWLGFYIQAALTGKGPVENLVEHISDPLHNNLFSTLKLV >Potri.001G294700.1.v4.1 pep chromosome:Pop_tri_v4:1:30606158:30609455:1 gene:Potri.001G294700.v4.1 transcript:Potri.001G294700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294700.v4.1 MKIQLFLALLLSSLLIVPCFAQNFACDKNIPETSQFPFCNTSLSYENRAKDLISRLTLQEKAQQLGNHAAGISRLGVPAYEWWSEALHGVASVGYGVHFNATVPGATSFPAVILSAASFNTTLWFKMGQVVSTEARAMYNVGLAGLTYWSPTINVFRDPRWGRGQETPGEDPLVVSKYAVNYVRGLQEVGEEGNSTGNRLKVSSCCKHYTAYDIDKWKGVDRFHFDAKVTKQDLEDTFQPPFKSCVEEGRVSSVMCSYNRVNGIPTCADPDLLKGVIREQWNLDGYIVSDCDSIEVYYDRIKYAATPEDAVTLALKAGLNMNCGDFLPRYTENAVKLNKIEESIVDQALIYNYIVLMRLGFFDGDPKLHPFGNLGPSDVCTEEHQELALDAAKQGIVLLDNKGALPLSKNATKNLAVIGPNGNATVAMISIYAGIPCEYTTPLQGLQKYISSVTYAAGCPFVNCTDESLAGPATKAAATADVVVLVMGLDQSIEQEDLDRENLILPGYQEKLVKDVANATNGTMILVIMSASPVDISFAKNESKVGGILWVGYPGQAGGDAIAQVIFGDHNPAGRSPFTWYPKEYSDQVPMTNMNLRANATDNFPGRTYRFYTGKPLYEFGHGLSYSTFSKFVISAPSTLLVPLKSSLNPSGIPSVYSSKQDPYPNGQAIDVSSVNCTNLQHVLVIGVRNNGPMNGDHVVLIFWKPPKSAEITGPPNMQLAAFDRVHVKKGNTNNITLAVDVCKGLSLVDSEGQRKLVTGQHTFIIGSSSEHQVRYHLIVRLAQNGRMGGFTFM >Potri.013G091400.1.v4.1 pep chromosome:Pop_tri_v4:13:9363883:9367788:1 gene:Potri.013G091400.v4.1 transcript:Potri.013G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091400.v4.1 MHDSSNSDASAFPFRSSCHRRAHSDVPFRVTDDLDLVPDELVSEEDLFCSYMNMEKLGSRPEEGPSGLKQDNAGHESASGGGDGVQDVEEEQEKAYVRPRHKHSNSVDGSSLMESIDSKKAMAPEKLAELWALDPKRAKRIMANRQSAARSKERKARYVSELERKVHTLQTEATTLSAQLTLFQRDTSSLTTENSELKLRLQAMEQQAQLRDALNEALKKEVERLKFATGEIMTPTDSYNLGIQHIPYNHSPLVSPRPRPGSVDALNIQIPQFHPLQSNLSPRQPGIAASHSHALSEMLPQDPLRWLQGFDISSRSSVLVRSECPSISASEDSSL >Potri.008G062350.1.v4.1 pep chromosome:Pop_tri_v4:8:3753673:3754554:-1 gene:Potri.008G062350.v4.1 transcript:Potri.008G062350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062350.v4.1 MSLIPSSLFGGRRTNVFDPFSLDIWDPFQDFPFTSTALSAPRSEVAKETSAFASTRIDWKETPEAHVFKADLPGLKKEEVKVEIEEGKVLQISGERSKENEEKNDKWHRVERSSGKFLRRFRLPENAKADQVKASMENGVLTVTVPKEEVKKPDVKSIEISG >Potri.003G044301.1.v4.1 pep chromosome:Pop_tri_v4:3:5025129:5027216:1 gene:Potri.003G044301.v4.1 transcript:Potri.003G044301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G044301.v4.1 MLEKGVYLCCLWSGCYNLLEQFESKRLFLWDLVKYFVMDTIGVRKNCHLMLDFVWTLFCTTGLILRLNLLLSLFVFYFKQSGDSIFLNSRKRGSPILPRGSFLVGPGILSILPYCPFLLHIYGIHDCINQCFILLWLCDGFSCIYGGCKFITFAWLLQLQIILSHLSFLK >Potri.004G213300.2.v4.1 pep chromosome:Pop_tri_v4:4:21983868:21992420:-1 gene:Potri.004G213300.v4.1 transcript:Potri.004G213300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213300.v4.1 MDTRNLSPDSHVAQQSRRDKLRVQQSLSSVQQIDEFPNCLEHYSIRPGLSPDPVHVRNIRNGNILYDSTMFSSEMLNFATSSHAVSASKDAIVDQELEPIPAEDSSFTSMSHPILSNFNASSPKSTTCDPQECGNWRSLDSQQSYDMMVNYAGGSVGGERNQKPMFVGEVLSNNARVSNISTSRQYWMPSYFENQDVQLPSTLRNSSGEILSDGSLKEAREMQVTSLPPYQNTLLDVIPSGCFRPRINERIVHPSYATESTALHIDNNTSTWMSRPLENYHHWSGEFGLIARTSDQELRTIGSDANTQGLSLSLSSINPPSKVEVTHFGEGCASEHLQLKVARVSQESQQDSKIFKSSSFCAMPKPSIMSKGGGKSLHDVVGTSTHAFRNTGPLGPFTGYATILKSSGFLKPAQELLEEFSIVTGPKLMRTFEMFERISGDQVSAPALADTVNTVDEEGGTNGNDISGISSSTFYSSNKRSGSAGVGGGGSSCGSYGPEYQQMKAKLLFLEEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVTLSLKTVSGNFRCLKHAIVDQLKQVTKALGDDLFSRNTVAVGSKVDTSASRLSYMDQSIQTNKSGGVNVGYHEPQQHIWRPQRGLPERSVAVLRAWLFEHFLHPYPTDADKHMLATQTGLSRNQVSNWFINARVRLWKPMVEEIHLLEAKGLAEKAGKNDGNSAEGNSQSNDEEGSNKFGTNSVLDKQMECYGIGSSGGCGEQLDAEQLSREKRSRVESQFPTTMDGSPMNFLPCQRSGTDNGGLGAVSLTLGLRQGIESAQHQIQLQQHKGHFKQPFGGQMIHDFVG >Potri.004G213300.1.v4.1 pep chromosome:Pop_tri_v4:4:21983828:21993086:-1 gene:Potri.004G213300.v4.1 transcript:Potri.004G213300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213300.v4.1 MDTRNLSPDSHVAQQSRRDKLRVQQSLSSVQQIDEFPNCLEHYSIRPGLSPDPVHVRNIRNGNILYDSTMFSSEMLNFATSSHAVSASKDAIVDQELEPIPAEDSSFTSMSHPILSNFNASSPKSTTCDPQECGNWRSLDSQQSYDMMVNYAGGSVGGERNQKPMFVGEVLSNNARVSNISTSRQYWMPSYFENQDVQLPSTLRNSSGEILSDGSLKEAREMQVTSLPPYQNTLLDVIPSGCFRPRINERIVHPSYATESTALHIDNNTSTWMSRPLENYHHWSGEFGLIARTSDQELRTIGSDANTQGLSLSLSSINPPSKVEVTHFGEGCASEHLQLKVARVSQESQQDSKIFKSSSFCAMPKPSIMSKGGGKSLHDVVGTSTHAFRNTGPLGPFTGYATILKSSGFLKPAQELLEEFSIVTGPKLMRTFEMFERISGDQVSAPALADTVNTVDEEGGTNGNDISGISSSTFYSSNKRSGSAGVGGGGSSCGSYGPEYQQMKAKLLFLEEEVCRRYKQYHQQMQMVASSFESVAGLSAATPYVTLSLKTVSGNFRCLKHAIVDQLKQVTKALGDDLFSRNTVAVGSKVDTSASRLSYMDQSIQTNKSGGVNVGYHEPQQHIWRPQRGLPERSVAVLRAWLFEHFLHPYPTDADKHMLATQTGLSRNQVSNWFINARVRLWKPMVEEIHLLEAKGLAEKAGKNDGNSAEGNSQSNDEEGSNKFGTNSVLDKQMECYGIGSSGGCGEQLDAEQLSREKRSRVESQFPTTMDGSPMNFLPCQRSGTDNGGLGAVSLTLGLRQGIESAQHQIQLQQHKGHFKQPFGGQMIHDFVG >Potri.006G103200.1.v4.1 pep chromosome:Pop_tri_v4:6:7946805:7949401:1 gene:Potri.006G103200.v4.1 transcript:Potri.006G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103200.v4.1 MASTIYLVLLFLFFCMVAEAQDVEFLFNGFNGSEANLTLDKVSIIKPSGLLRLTNKTQNAVGHAFYSEKVQMLNRSSSSSPNASSFSTAFVFQIISPSKGEGGFGFAFTLSPSDRLPGAEARHYLGLFNSTNDGSSSNYIFAVEFDTVNGFNESTDSVGNHVGININSVDSKAGKPASYNDDVDRLDSFEELVLESGQPIQAWVEYNGVTKCINVTIAPMDHGKPIEPLISFPQDLSTYVREDMYVGFSASTGNKASSHYILGWSFSTKGEAPPLNLSRLPIALQEKNSSSFRPSVIVIIASLCGVTTLLFVILFFLTVYKIKWRQSEALEDWELECPHRFRYQDLYTATKGFKKSEIIGVGGFGAVYKGRLPTNGNEVAVKKINNNSIQGLREFTAEIESLGRLRHKNLVNLQGWCKKNNDLLLVYDFIPNGSLAGLLFSRINNFVLSWEQRFNIVKGVAAGLLYLHEEWEQVVIHRDVKSGNVLIDAEMNGRLGDFGLARLYDHGTMSHTTNIVGTIGYLAPELTRTGQSSTSSDVYAYGILLLEVACGRKPVETSNFILIDSVIEYHQMGRVIDAADPKLNSAFVVKEMELVLGLGLLCSHRKPKARPTMRQVIRYLNWEDKLPVIDDLGSPDSLRGSTKFMEVSVSSNTITGSYPSSSIGYMTSSSMDAGR >Potri.016G005200.1.v4.1 pep chromosome:Pop_tri_v4:16:248995:252210:-1 gene:Potri.016G005200.v4.1 transcript:Potri.016G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005200.v4.1 MVNIRGADQQKGSASPRAEVGEIDTKKPFQSVKAAVSLFGEVALKGKPAVRRSRLSSENVIDKETQLLLAQREVNRFKRVLQSAETTKARANSELQKAKRTLNDLTTKLKAVDESKKSAIETAEAVKEKARRLEEAKSQQLVGNAARKRELDEARQQYKMAARELNAAKQQINKIRQDFDAALESKSSSFQQAAETQRSANMNKERVSELSKEIGAMRESAQQLKIASAQIQEQQENLVEEKDARIHFCKAATAEAEKNLEILKKEYDPELTKNLEAKLAETSAEIELLQEEMKKAHAFEMEKVKVLTIEFNEATKALQEIATEESSLRHMLTSLTAELENVKTEKIELLEKEIEKEYAAMEKETESARREAEELKKKAEEMRKNAEELKEETKNARLLAQDVEGKLELALKEAKEAKEAEKKAHEDMKTLSERESIQDQDFGNKIKLAPEEFGSLKKKEEESGNIADTKVADAMAQIEVVKARNKEAEKKLEANLKAIEEIKEATDMALRSADMSEAAEKTLETQLQRWREQSQTMVVA >Potri.015G079700.4.v4.1 pep chromosome:Pop_tri_v4:15:10594411:10597988:-1 gene:Potri.015G079700.v4.1 transcript:Potri.015G079700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079700.v4.1 MVGRTDMCFSVKHSLRLSAGAFSHGPKVKLLRVSAFKGSAQNDESGGRANGSKVSKKSVKLSYVPKESGETMMDSSKVHSIPVSYTSEADERIAGSPAINKLFKKWLSMLRTQSPSQVADEILEEGPPPREELQQAQNTTQNKERVDNVKSVWYHFLNLDATIKIPILTFIPLFLAVNVVYGAGVSKELTPLWILGPLIVAFYIKLLQGLWALYVFSFRQTIKVIKNVPTYYLVAYGYIRQGKLKEDIQARVLQPLQSFKNLDRKEFSRKKMMELQEWCMEKYLDYVESIWPYYCRAIRFLKRANLI >Potri.015G079700.5.v4.1 pep chromosome:Pop_tri_v4:15:10594408:10597926:-1 gene:Potri.015G079700.v4.1 transcript:Potri.015G079700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079700.v4.1 MMDSSKVHSIPVSYTSEADERIAGSPAINKLFKKWLSMLRTQSPSQVADEILEEGPPPREELQQAQNTTQNKERVDNVKSVWYHFLNLDATIKIPILTFIPLFLAVNVVYGAGVSKELTPLWILGPLIVAFYIKLLQGLWALYVFSFRQTIKVIKNVPTYYLVAYGYIRQGKLKEDIQARVLQPLQSFKNLDRKEFSRKKMMELQEWCMEKYLDYVESIWPYYCRAIRFLKRANLI >Potri.015G079700.1.v4.1 pep chromosome:Pop_tri_v4:15:10594408:10598016:-1 gene:Potri.015G079700.v4.1 transcript:Potri.015G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079700.v4.1 MALVTHQMQGSYATFPSRPLSWSKGVKLKQRVTELQMVGRTDMCFSVKHSLRLSAGAFSHGPKVKLLRVSAFKGSAQNDESGGRANGSKVSKKSVKLSYVPKESGETMMDSSKVHSIPVSYTSEADERIAGSPAINKLFKKWLSMLRTQSPSQVADEILEEGPPPREELQQAQNTTQNKERVDNVKSVWYHFLNLDATIKIPILTFIPLFLAVNVVYGAGVSKELTPLWILGPLIVAFYIKLLQGLWALYVFSFRQTIKVIKNVPTYYLVAYGYIRQGKLKEDIQARVLQPLQSFKNLDRKEFSRKKMMELQEWCMEKYLDYVESIWPYYCRAIRFLKRANLI >Potri.015G079700.2.v4.1 pep chromosome:Pop_tri_v4:15:10594421:10598020:-1 gene:Potri.015G079700.v4.1 transcript:Potri.015G079700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079700.v4.1 MNSCGQGSYATFPSRPLSWSKGVKLKQRVTELQMVGRTDMCFSVKHSLRLSAGAFSHGPKVKLLRVSAFKGSAQNDESGGRANGSKVSKKSVKLSYVPKESGETMMDSSKVHSIPVSYTSEADERIAGSPAINKLFKKWLSMLRTQSPSQVADEILEEGPPPREELQQAQNTTQNKERVDNVKSVWYHFLNLDATIKIPILTFIPLFLAVNVVYGAGVSKELTPLWILGPLIVAFYIKLLQGLWALYVFSFRQTIKVIKNVPTYYLVAYGYIRQGKLKEDIQARVLQPLQSFKNLDRKEFSRKKMMELQEWCMEKYLDYVESIWPYYCRAIRFLKRANLI >Potri.015G079700.3.v4.1 pep chromosome:Pop_tri_v4:15:10594420:10598016:-1 gene:Potri.015G079700.v4.1 transcript:Potri.015G079700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079700.v4.1 MALVTHQMQGSYATFPSRPLSWSKGVKLKQRVTELQMVGRTDMCFSVKHSLRLSAGAFSHGPKVKLLRVSAFKGSAQNDESGGRANGSKVSKKSVKLSYVPKESGETMMDSSKVHSIPVSYTSEADERIAGSPAINKLFKKWLSMLRTQSPSQVADEILEEGPPPREELQQAQNTTQNKERVDNVKSVWYHFLNLDATIKIPILTFIPLFLAVNVVYGAGVSKELTPLWILGPLIVAFYIKLLQGLWALYVFSFRQTIKVIKNVPTYYLVAYGYIRQGKLKEDIQARVLQPLQSFKNLDRKEFSRKKMMELQEWCMEKYLDYVESIWPYYCRAIRFLKRANLI >Potri.007G147100.1.v4.1 pep chromosome:Pop_tri_v4:7:15523912:15529916:-1 gene:Potri.007G147100.v4.1 transcript:Potri.007G147100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G147100.v4.1 MKVFRDRGAVSPSKTTTTDTPKSSFISDSSEPGSTDTKVSKPSLWSSFFASAFSVFDIYRDSSSTSHNEAPHIRHSNGWTSSVKKIVAGGTMRRIQERVLGTSKTGISNTTSDIWLLGARYKISQDDSSGNADATNALAAFHRDFSSRILITYRKGFDMIEDSKLTSDVNWGCMLRSSQMLVAQALLFHRLGRSWRKPVDKPLDRDYVEILHLFGDSEASAFSIHNLLQAGKAYGLAAGSWVGPYAMCRSWESLARSKREETNLEYQTLPMAVYVVSGCEDGERGGAPVLSIEDAARHCSEFSKGREDWTPILLLVPLVLGLDKINPRYIPSLQATFTFPQSLGILGGKPGASTYIVGVQDENAFYLDPHEVQPVVNFSRDDVEANTSSYHCDVVRHIPLDLIDPSLAIGFYCRDKDDFDDFCSLASKLADESNGAPLFTVANSYKSSKHDSSEVRDDDPLGVMTMNDAEGCLNEDDWQLL >Potri.006G033400.1.v4.1 pep chromosome:Pop_tri_v4:6:2072869:2076632:-1 gene:Potri.006G033400.v4.1 transcript:Potri.006G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033400.v4.1 MEHLSLSSSLQNEIQTDNSGLPEKKIGSQGLRKKIVKKGNSWQTPFPGDEVEVHFNGYIEGGASLESSRDKGVPFKFKLGQGEVIKGWDEGVATMKNGERAIFTVPPNLAYGEAGSPPLIPPNATLVFDVEMLSWSSIRDLTGDGGILKKLIKEGEGWATPRDGDEVLVKYEARIETGMLVSKSEEGVEFHVGDGYLCPALSRAVKTMRKGEKAELAVKLSYGFIEKGNLAPDIESNIPPYSNLTIQLELVSWRSVTDVTGDKKVLKKIVKAGEGFDRPTEGSHVKVTYVGKLEDGTVFDRKGTNGEPFEFITLEEQVNEGLDRAIMTMKKGEHATVTVDAKYLHGHDISGMLPANSMLHYEVELLDFIKEKPFWKMDTHEKLEASERKKLDGNVLFKAGKFWRASKKYEKAAKYIEFDHSFTDEEMCLAKSLRLSCYLNNAACKLKSGEFLEASRLCTKVLELDPLNVKALFRRSQAYLKTSELEKAEADIKKALAIDPNNREVKLGFKELKDKQREYEKYQAELFSTMVSRMG >Potri.016G135400.1.v4.1 pep chromosome:Pop_tri_v4:16:13958495:13959382:1 gene:Potri.016G135400.v4.1 transcript:Potri.016G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G135400.v4.1 MASAKSVCVLLLCMVLSAPMLNVEALSCSVVASNLAQCLTYLRNGGAVPPACCKGVGTLNNAAKTTQDRRDACNCIKTTAAQLGGVNSNYAAALPGLCHVNIPYKISTSTNCASIK >Potri.006G157700.1.v4.1 pep chromosome:Pop_tri_v4:6:14410931:14425785:1 gene:Potri.006G157700.v4.1 transcript:Potri.006G157700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157700.v4.1 MMVMIPLALPNKIGFSLPFLYKPITTRGGGGGSSCSAFTCRSVSSLTSFTWDDVVQASQPDYAPNDSSDLSGFFEKIKYCNRGSSEIKSEFIPLVIEDQIVGYIHNGFFYNYLRRFKDVFVFVPSDSRFGTNVTLNKTLSTPEERTRVVGKVIKCLAEEEKELIPGIRNELYPVAPSFGSPPYFSVERAAAPYFGIKAYGVQMNGFLKRDGEKFLWIGKRSPMKQTFPGMLDHLVAGGLPHGMSCVANLIKECEEEAGIPLSLSNQAMSVGAVSYVDVDGYRYERGVLFCYDLELPGGFIPKNQDGEVESFKLIPVENVANVIRRTHFFKPNCSLVIIDFLFRHGYIGPECLGYLDLLQSLRSGDTS >Potri.006G195532.1.v4.1 pep chromosome:Pop_tri_v4:6:20382662:20383509:-1 gene:Potri.006G195532.v4.1 transcript:Potri.006G195532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195532.v4.1 MMAKISFTLFFILVLIFSVTTMAPTVNATVVPGKETEQRRCEEILYKKGCTLLDCGKKCYEKYMNKGGNGKCISNAEMTRYACYCFWNC >Potri.014G189400.1.v4.1 pep chromosome:Pop_tri_v4:14:16317924:16319712:1 gene:Potri.014G189400.v4.1 transcript:Potri.014G189400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189400.v4.1 MKRFAVEKQNQIKSNLMEGGAEKKRRRESKKKKDFECDGKAGGHGRPVEVSASVMGLFSEFAFTGCSGETGQASSPASSTRPSIKKVEREEEAQKKKRKRSPRLTAAQMRDVAYLRRRPNNRWIPPKSPHELLQENHYHDPWRVLVICMLLNCTSGGQVRPILNDFFTLCPDAKTTTNVDQNEIAQLTRSLGFKNTRAEKIKRLSEIYLQEDWTHVTFLPGVGKYAADAYAIFCTGRWDRVVPEDHMLTRYWEFLRKGRWIIE >Potri.003G177700.1.v4.1 pep chromosome:Pop_tri_v4:3:18421648:18424366:-1 gene:Potri.003G177700.v4.1 transcript:Potri.003G177700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177700.v4.1 MGQQSLIYSFVARGTVILAEYTEFKGNFTGIAAQCLQKLPASNNKFTYNCDGHTFNYLVEDGFTYCVVAVESAGRQIPIAFLERVKEDFNKRYGGGKAATAVANSLNREFGSKLKEHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQDFRQQGTKMRRKMWIQNMKMKLIVLGIIIALILIIVLSVCHGFNC >Potri.015G101100.1.v4.1 pep chromosome:Pop_tri_v4:15:12062399:12064861:1 gene:Potri.015G101100.v4.1 transcript:Potri.015G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101100.v4.1 MASTITGVSVGLGLSCSTTRKLTQKRNPSSSRLSFCSIKMAVSVEEEKKKKSFTLEKSEEAFSAAKEMMPGGVNSPVRAFKSVGGQPIVFDSVKGSHMRDIDGNDYIDYVGSWGPAIIGHADDEVLAALSETMKKGTSFGAPCLLENVLAEMVIKAVPSIEMVRFVNSGTEACMGVLRLARAYTGREKIIKFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPKAATYETLTAPYNDIAAVENLFENNKGEICGIILEPVVGNAGFIPPKPEFINAIRRITEENNALLIFDEVMTGFRLSYGGAQEYFGITPDLTTLGKIIGGGLPVGAYGGRREIMEMVAPAGPMYQAGTLSGNPLAMTAGIHTLKRLQKPGSYEYLDKITSELVQGIIDAGKKTGHAICGGYIRGMFGFFFTEGPVYNFADAKKSDTAKFARFYQGMLREGVYFAPSQFEAGFTSLAHTAEDIQKTIAAAENVLRQI >Potri.007G111000.2.v4.1 pep chromosome:Pop_tri_v4:7:13288920:13291191:1 gene:Potri.007G111000.v4.1 transcript:Potri.007G111000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111000.v4.1 MRNKVLVLPCLLLLQCYLVLTMETATQEKAESAIPVTTLSPPEGNITFLGGTTWCVALSGVSQIDLQNALDWTCGLGMADCSPIQEGGACFDPDTLVSHASYAFNNYYQQNENSEIACNFGGTAVLTRKDPSHGKCSYAAPGVFADLLLSRQHLLCSRGAEQTLYG >Potri.007G111000.1.v4.1 pep chromosome:Pop_tri_v4:7:13288920:13291191:1 gene:Potri.007G111000.v4.1 transcript:Potri.007G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111000.v4.1 MRNKVLVLPCLLLLQCYLVLTMETATQEKAESAIPVTTLSPPEGNITFLGGTTWCVALSGVSQIDLQNALDWTCGLGMADCSPIQEGGACFDPDTLVSHASYAFNNYYQQNENSEIACNFGGTAVLTRKDPSHGKCSYAAPGSAAKSPAPSLLKGRRANFIWLKFAGIFLLLYLRR >Potri.004G024200.1.v4.1 pep chromosome:Pop_tri_v4:4:1911539:1912135:1 gene:Potri.004G024200.v4.1 transcript:Potri.004G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024200.v4.1 MAPEYAMEGIFSVKSDVFSFGVILLEIISGKRSSGFYLTEHGQTLLAYAWRLWIEGKAMEFVDPLLVERSPAEGILRCMHIGLLCVQKDPADRPTMSFVDLALASDPIALPQPQQPAFSLVKIVPADKSSSTDRSVNQMTVSSFLPR >Potri.003G005800.6.v4.1 pep chromosome:Pop_tri_v4:3:637662:643846:1 gene:Potri.003G005800.v4.1 transcript:Potri.003G005800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005800.v4.1 MTFSVFPNRSHFLSFNSESPPTLKKPVFARTSSNAQTPSSAGSLLVNDYKPFPGKPEADVVVIGSGIGGLCCAGLLARYQQDVLVLESHDRPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCVNYDSWMVYVPEGEFLSRIGPTEFYKDLEKYAGPNAVQEWKKLLDAILPLSSAAMALPPLSIRGDFGVLSTAAARYAPSLLKSFLQMGPQGALGATKLLRPFSEIIDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPGCSLEYPLHGTGALVDALVRGLQKFGGRLSLRSHVEEIVVENNRAIGVKLRSGQFIRAKKAVISNASMWDTSNLLPKEVLPKSYLDRINTTPQCESFMHLHLGFDAECIKKDLGIHHIVVNDWSGGVDADQNVVLISVPSVLSPDLAPPGKHLLHAYTPGTEPFELWEGLDRRSAEYKQLKAERSEVMWRAVERALGPGFSREKCEVKLVGTPLTHKRFLRRNRGTYGPAIEAGKNTFPGHSTPISQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >Potri.003G005800.1.v4.1 pep chromosome:Pop_tri_v4:3:637640:644688:1 gene:Potri.003G005800.v4.1 transcript:Potri.003G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005800.v4.1 MTFSVFPNRSHFLSFNSESPPTLKKPVFARTSSNAQTPSSAGSLLVNDYKPFPGKPEADVVVIGSGIGGLCCAGLLARYQQDVLVLESHDRPGGAAHSFEIKGYKFDSGPSLFSGFQSRGPQANPLAQVLDALGESIPCVNYDSWMVYVPEGEFLSRIGPTEFYKDLEKYAGPNAVQEWKKLLDAILPLSSAAMALPPLSIRGDFGVLSTAAARYAPSLLKSFLQMGPQGALGATKLLRPFSEIIDSLELKDPFIRNWVDLLAFLLAGVKSNGILSAEMIYMFAEWYKPGCSLEYPLHGTGALVDALVRGLQKFGGRLSLRSHVEEIVVENNRAIGVKLRSGQFIRAKKAVISNASMWDTSNLLPKEVLPKSYLDRINTTPQCESFMHLHLGFDAECIKKDLGIHHIVVNDWSGGVDADQNVVLISVPSVLSPDLAPPGKHLLHAYTPGTEPFELWEGLDRRSAEYKQLKAERSEVMWRAVERALGPGFSREKCEVKLVGTPLTHKRFLRRNRGTYGPAIEAGKNTFPGHSTPISQLYCCGDSTFPGIGVPAVAASGAIVANSLVSVSQHSQLLDAIGI >Potri.003G132900.6.v4.1 pep chromosome:Pop_tri_v4:3:15083506:15091652:-1 gene:Potri.003G132900.v4.1 transcript:Potri.003G132900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132900.v4.1 MLCGHAAPIAGLSICCPMVVTGDDTKTECSSNGDGSYGALISACTDGVLCVWSRGSGHCRRRRKLPPWVGSPCIVRTLPTSPRYVCIGCCFIDAHAHFSDPRSIDSFEGGEASVDKGRKHGKHSKTTVVIVDTHGLTIVQTVFHGNLSIGRLDFMDIVLLGEDGEKHSVLMADSSGRVELVPILKESNAGGDDGSGSSKSSQLEVVNWGNKLSEGGQVVSIATRGDLIALVLKTRCIFRILSSDASIGEISFAEDILCVEEHSNQSHVLGGMFLEIGDTGEMQNAQYDNFLGHFAVWNRRGSAIVYIVSYLNNVFKSETLCEIPSSSCPADVRLLFSFIQLKNYLLRIESVCYDDEEPLRWKPHVTIWSLCQKNNIHGKSSRQCKMLGESDFLAEWISSSSLHEINSQGGRKMRITSLQSSFRKARTENNKHAEDESFSFVHNGLAVSSSMVISENHFVPYAVVYGFFSGEIEVVRFDMLLGPDCHGESPSHDVEPPVSRQCFSGHTGAVLCLAAHRMMGAAKGWSFSHVLVSGSMDCTIRIWDLDTGNLITVMRQHVASVRQIIFPSAWTERPWGDCFLSVGEDSCVALASLETLRVERMFPGHPSYPEKVVWDGARGYIACLCWSHSGLSDTSDTLYIWDVKTGARERVLCGTASHSMLDHFCKGISVNSLSGSILNGNTSVSSLLLPILEDGNFSQSHSKLSEKVSSPRMTSSMKITMDPTTSQGQVKKGIFPSTPSFLQMNKHAIGCTCPFPGIAALSFDLASLMFSCQKHEPAANGGVKLKERGTSNPRTHDMNFDDGSDKNRTSTDTVEEHECIRSQEEYFLRFSLSFLHLWDLDIELDKLLVTEMKLNRPENLIIASGLQGDKGSLTLSFPGLSSILELWKSSSEFCAMRSLTMLSIAQRMISFSHCSSPASSALAAFYTRSLADKIPDIKPPLLQLLVSFWQDESEHVRMAARTLFHCAASRAIPIPLCDKKANANRELVRSLSEIGENEGQVSKVGGTSTNGLSSDMSPEPQATSLAAESPDKSLEKQGITEAERFKILDWLESYEMQDWISCVGGTSQDAMTSHIIVAAALAMWYPSLVKPSLATLVAHPLVKLVMAMNETYSSTAAELLSEGMESTWKACINSEISRLIGDTFFQIEYVSGQSANTAGHRPPVPSSIQETLVGILLPNLAMADIPGFLNVIESQIWSTASDSPVHLVSLTTLIRVMRGSPRQLSQYLDKVVSFILHTIDPGNSIMRKTCLQSSMTALKEMVRAFPMVALNDTSTRLAVGDAIGEINNATISVYDMQSVTKIKVLDASGPPGLPNLLSGTSEMAVTTVISALSFAPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRNLAPVQCTKVIFVPPWEGFSPNSSRSSIIANILGHDSQVNMQEKARDSTYADSLKMLIHNLDLSYRLQWVSERKVLLSRHGQELGTFPL >Potri.003G132900.1.v4.1 pep chromosome:Pop_tri_v4:3:15083455:15092152:-1 gene:Potri.003G132900.v4.1 transcript:Potri.003G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132900.v4.1 MKCRSVACIWPDTPPPHKVTASAALNHPPTLYTGGSDGSILWWNLSSSDTNSEIKPVAMLCGHAAPIAGLSICCPMVVTGDDTKTECSSNGDGSYGALISACTDGVLCVWSRGSGHCRRRRKLPPWVGSPCIVRTLPTSPRYVCIGCCFIDAHAHFSDPRSIDSFEGGEASVDKGRKHGKHSKTTVVIVDTHGLTIVQTVFHGNLSIGRLDFMDIVLLGEDGEKHSVLMADSSGRVELVPILKESNAGGDDGSGSSKSSQLEVVNWGNKLSEGGQVVSIATRGDLIALVLKTRCIFRILSSDASIGEISFAEDILCVEEHSNQSHVLGGMFLEIGDTGEMQNAQYDNFLGHFAVWNRRGSAIVYIVSYLNNVFKSETLCEIPSSSCPADVRLLFSFIQLKNYLLRIESVCYDDEEPLRWKPHVTIWSLCQKNNIHGKSSRQCKMLGESDFLAEWISSSSLHEINSQGGRKMRITSLQSSFRKARTENNKHAEDESFSFVHNGLAVSSSMVISENHFVPYAVVYGFFSGEIEVVRFDMLLGPDCHGESPSHDVEPPVSRQCFSGHTGAVLCLAAHRMMGAAKGWSFSHVLVSGSMDCTIRIWDLDTGNLITVMRQHVASVRQIIFPSAWTERPWGDCFLSVGEDSCVALASLETLRVERMFPGHPSYPEKVVWDGARGYIACLCWSHSGLSDTSDTLYIWDVKTGARERVLCGTASHSMLDHFCKGISVNSLSGSILNGNTSVSSLLLPILEDGNFSQSHSKLSEKVSSPRMTSSMKITMDPTTSQGQVKKGIFPSTPSFLQMNKHAIGCTCPFPGIAALSFDLASLMFSCQKHEPAANGGVKLKERGTSNPRTHDMNFDDGSDKNRTSTDTVEEHECIRSQEEYFLRFSLSFLHLWDLDIELDKLLVTEMKLNRPENLIIASGLQGDKGSLTLSFPGLSSILELWKSSSEFCAMRSLTMLSIAQRMISFSHCSSPASSALAAFYTRSLADKIPDIKPPLLQLLVSFWQDESEHVRMAARTLFHCAASRAIPIPLCDKKANANRELVRSLSEIGENEGQVSKVGGTSTNGLSSDMSPEPQATSLAAESPDKSLEKQGITEAERFKILDWLESYEMQDWISCVGGTSQDAMTSHIIVAAALAMWYPSLVKPSLATLVAHPLVKLVMAMNETYSSTAAELLSEGMESTWKACINSEISRLIGDTFFQIEYVSGQSANTAGHRPPVPSSIQETLVGILLPNLAMADIPGFLNVIESQIWSTASDSPVHLVSLTTLIRVMRGSPRQLSQYLDKVVSFILHTIDPGNSIMRKTCLQSSMTALKEMVRAFPMVALNDTSTRLAVGDAIGEINNATISVYDMQSVTKIKVLDASGPPGLPNLLSGTSEMAVTTVISALSFAPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRNLAPVQCTKVIFVPPWEGFSPNSSRSSIIANILGHDSQVNMQEKARDSTYADSLKMLIHNLDLSYRLQWVSERKVLLSRHGQELGTFPL >Potri.004G000900.1.v4.1 pep chromosome:Pop_tri_v4:4:75748:77055:-1 gene:Potri.004G000900.v4.1 transcript:Potri.004G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G000900.v4.1 MSRLHGVGQYKSDVKALLQPCYLASTMTRKPSKAFLFAKERRSKKRRNISRPGGIGKLSKNDLINILSRLTAKTILTCCSVCKSWRTLISDPEFANRHFERDHDQEEVVLRPDGPGSLSRTLILIDLDRLKPYAQFSLPLNDQLPFSGIEVVNSCNGLLYLSSYLDKNPVLVCNPITREYINIPATHTDHQQERLQAVASGLGFSLKSNQYKLLRIFDVGYGHGFDNLRSHGRQAEIYTLGKGSWRVIDQFPPRIPHSFLFGTYLKGTISWACANDINDKFDFIISFNFDKEQFEFVSLPPYSAANHKGISDLRMQGLGGCISVCDFSCAEYFDIWLLKDFGGKKKIWSKDYRIHMLHGKVHQPIRILNNEEILMIQGLNAMVSYNHLRMKLRRHKICGIQSHFGASIHIPSFVSLKDIVGGDEVEVLIVKVKCM >Potri.001G205500.6.v4.1 pep chromosome:Pop_tri_v4:1:20914862:20922942:-1 gene:Potri.001G205500.v4.1 transcript:Potri.001G205500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205500.v4.1 MAEENGVGRSEINGRFASNDSMELRWVFQDDDDDDDDDSVMDDDNGNDNSRQRHGGGLESDEEDEEDTGEQRLFRTGPLIDSFDVEALEIPSAHRNDNYYEELGVGRRIILAFQTLGVVFGDVGTSPLYTFGVMFTKAPINGEEDVVGALSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRHAKVNLLPNQLPSDARISSFRLKVPSPELERSLKIKERLETSVTLKRLLLMLVLAGTSMLIADGVVTPAMSVMSAVGGLKVGVAAIEQEHVVMISVAFLVILFSVQKFGTSKVGLVVGPALFLWFCSLAAIGIYNLVKYDSSVLRAFNPVHIYYFFKRNSTKAWRALGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLVEHHTENMAELAFFSSVPSGVFWPVFLIANLAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLVFVCSISSITEMGNAYGNILVP >Potri.001G205500.4.v4.1 pep chromosome:Pop_tri_v4:1:20914933:20922937:-1 gene:Potri.001G205500.v4.1 transcript:Potri.001G205500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205500.v4.1 MAEENGVGRSEINGRFASNDSMELRWVFQDDDDDDDDDSVMDDDNGNDNSRQRHGGGLESDEEDEEDTGEQRLFRTGPLIDSFDVEALEIPSAHRNDNYYEELGVGRRIILAFQTLGVVFGDVGTSPLYTFGVMFTKAPINGEEDVVGALSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRHAKVNLLPNQLPSDARISSFRLKVPSPELERSLKIKERLETSVTLKRLLLMLVLAGTSMLIADGVVTPAMSVMSAVGGLKVGVAAIEQEHVVMISVAFLVILFSVQKFGTSKVGLVVGPALFLWFCSLAAIGIYNLVKYDSSVLRAFNPVHIYYFFKRNSTKAWRALGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLVEHHTENMAELAFFSSVPRIAELGVMMMTVILVTIVMLLIWQINIIIVLSFLVIFLGIELAFFSSVLGGMGDGSWIILVFAVVMFLIMLVWNYGSKLKYETEVKQKLSMDLMRELGPNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAIHSMIIFVSVKYVPVPVVPQGERFLFRRVCPKGYHIFRCIARYGYKDARKENQQAFEQLLIESLEKFIRREAQERLLESDGDDDTDYEDDSSSTRVLIAPNGSVYSLGVPLLAEYSNTSKPISEASTSEAAKPGTPGDPTGSDAEQSLERELSFVRKAKESGVVYLLGHGNIRARKDSWFIKKLVVNYFYAFLRKNCRRGIANMSVPHSHLMQVGMTYMV >Potri.001G205500.7.v4.1 pep chromosome:Pop_tri_v4:1:20914968:20922942:-1 gene:Potri.001G205500.v4.1 transcript:Potri.001G205500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205500.v4.1 MPFVGLFGPSYLFNSDHLQLTFVFLVLPCLLLGYLGQAAYLVEHHTENMAELAFFSSVPSGVFWPVFLIANLAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLVFVCSISSITEMGNAYGIAELGVMMMTVILVTIVMLLIWQINIIIVLSFLVIFLGIELAFFSSVLGGMGDGSWIILVFAVVMFLIMLVWNYGSKLKYETEVKQKLSMDLMRELGPNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAIHSMIIFVSVKYVPVPVVPQGERFLFRRVCPKGYHIFRCIARYGYKDARKENQQAFEQLLIESLEKFIRREAQERLLESDGDDDTDYEDDSSSTRVLIAPNGSVYSLGVPLLAEYSNTSKPISEASTSEAAKPGTPGDPTGSDAEQSLERELSFVRKAKESGVVYLLGHGNIRARKDSWFIKKLVVNYFYAFLRKNCRRGIANMSVPHSHLMQVGMTYMV >Potri.001G205500.5.v4.1 pep chromosome:Pop_tri_v4:1:20914918:20922284:-1 gene:Potri.001G205500.v4.1 transcript:Potri.001G205500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205500.v4.1 MFTKAPINGEEDVVGALSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRHAKVNLLPNQLPSDARISSFRLKVPSPELERSLKIKERLETSVTLKRLLLMLVLAGTSMLIADGVVTPAMSVMSAVGGLKVGVAAIEQEHVVMISVAFLVILFSVQKFGTSKVGLVVGPALFLWFCSLAAIGIYNLVKYDSSVLRAFNPVHIYYFFKRNSTKAWRALGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLVEHHTENMAELAFFSSVPSGVFWPVFLIANLAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLVFVCSISSITEMGNAYGIAELGVMMMTVILVTIVMLLIWQINIIIVLSFLVIFLGIELAFFSSVLGGMGDGSWIILVFAVVMFLIMLVWNYGSKLKYETEVKQKLSMDLMRELGPNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAIHSMIIFVSVKYVPVPVVPQGERFLFRRVCPKGYHIFRCIARYGYKDARKENQQAFEQLLIESLEKFIRREAQERLLESDGDDDTDYEDDSSSTRVLIAPNGSVYSLGVPLLAEYSNTSKPISEASTSEAAKPGTPGDPTGSDAEQSLERELSFVRKAKESGVVYLLGHGNIRARKDSWFIKKLVVNYFYAFLRKNCRRGIANMSVPHSHLMQVGMTYMV >Potri.001G205500.1.v4.1 pep chromosome:Pop_tri_v4:1:20914865:20922967:-1 gene:Potri.001G205500.v4.1 transcript:Potri.001G205500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205500.v4.1 MAEENGVGRSEINGRFASNDSMELRWVFQDDDDDDDDDSVMDDDNGNDNSRQRHGGGLESDEEDEEDTGEQRLFRTGPLIDSFDVEALEIPSAHRNDNYYEELGVGRRIILAFQTLGVVFGDVGTSPLYTFGVMFTKAPINGEEDVVGALSLVLYTLILIPLLKYVLVVLWANDDGEGGTFALYSLICRHAKVNLLPNQLPSDARISSFRLKVPSPELERSLKIKERLETSVTLKRLLLMLVLAGTSMLIADGVVTPAMSVMSAVGGLKVGVAAIEQEHVVMISVAFLVILFSVQKFGTSKVGLVVGPALFLWFCSLAAIGIYNLVKYDSSVLRAFNPVHIYYFFKRNSTKAWRALGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLVEHHTENMAELAFFSSVPSGVFWPVFLIANLAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLVFVCSISSITEMGNAYGIAELGVMMMTVILVTIVMLLIWQINIIIVLSFLVIFLGIELAFFSSVLGGMGDGSWIILVFAVVMFLIMLVWNYGSKLKYETEVKQKLSMDLMRELGPNLGTIRAPGIGLLYNELVKGIPAIFGHFLTTLPAIHSMIIFVSVKYVPVPVVPQGERFLFRRVCPKGYHIFRCIARYGYKDARKENQQAFEQLLIESLEKFIRREAQERLLESDGDDDTDYEDDSSSTRVLIAPNGSVYSLGVPLLAEYSNTSKPISEASTSEAAKPGTPGDPTGSDAEQSLERELSFVRKAKESGVVYLLGHGNIRARKDSWFIKKLVVNYFYAFLRKNCRRGIANMSVPHSHLMQVGMTYMV >Potri.006G144900.1.v4.1 pep chromosome:Pop_tri_v4:6:12278835:12285479:-1 gene:Potri.006G144900.v4.1 transcript:Potri.006G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144900.v4.1 MLTCIARSKQPGDDSLSQADDDSAATTANHHPSAAKQQQQQQQAIKSLTSQLKDMALKASGAYRHCNPCTAPNTTTQSRLRSNSTESDAESEKFRWSLRRTGSSSSTTPRTWGKEMEARLKGISSSSGEGTPNSVNGSGRRVDPPIVFVEEKEPKEWVAQVEPGVLITFVSLPRGGNDLKRIRFSRDMFNKWQAQRWWAENYDRIMELYNVQRFNRQAFPLPTPPRSEDESSKMESAEDSPVTPPLNRERLPRNLYRPTGMGMGYSSSDSLDHHPLQARHYCDSIGFTSTPKLSSISGAKTETSSMDASIRSSSSREADRSGELSISNASDMETEWVEQDEPGVYITIRALPGGKRELRRVRFSRERFGEMHARVWWEENRARIHEQYL >Potri.005G168300.2.v4.1 pep chromosome:Pop_tri_v4:5:16988708:16996833:1 gene:Potri.005G168300.v4.1 transcript:Potri.005G168300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168300.v4.1 MGSRGRPVFDLNEPPTEDDEIDHAVCLQPHKALPSANSHPSDMFVASMGPQGIKNNHAFSHASSISGFQPFIRPRVAFCPEVGFEQKMAGEQNPKLASPSKRITDDDKKEAQSLASGSGSGSAEIKVVEREEGEWSDAEGTANVCAGNSMHEKGKASQFQGMSEVEGTSVMVSMNVSSSVKVIDNTKAESCDRVSPGLDQGQNDYKNNGSRNSNGNANDDVCMDGQEEIASLSKQREVRGIEASHALKFSTNPGKRKIDQHKEAMLGKKRNRQTMLINIDEVKQAGIMKSSTPRRQPNVTRTVKEVRTVPQPAERSGERPGHPLKDQKQADLPCNDGGFSVESCPPKSESNGDINSAQPAKNRKVNGDSDFSVDTHLPPIQKQSTWKQPAESSWKHPADLRQPKNSQFSNRKPALINQGSMDSKLGNKKYLPVKKSTVASTPYQDTSVERLIREVTNEKFWHHPEDSELQCVPGRFESVEEYVRVFEPLLFEECRAQLYSTWEESAETNAHIMVRIKSIERRERGWYDVIVLPANECKWTFKEGDVAVLSTPRPGTAVRSKRNNTSSSNEDDEEPDINGRVAGTVRRHIPLDSRDPPGAILHFFVGDSYDPHSKVDEDHILRKLQPRGTWFLTVLGSLATTQREYVALHAFCRLNLQMQAAILKPSSDHFPKYEQQTPAMPECFTQNFVDHLRRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPQSYKQANESNSDNIALGSIDEVLHNMDQNLFRSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEISKWMQELKVQEAYFSGQIADLQNKLNFAAVDGRSQGSVGVDPDVLMARDQNRDALLQNLAAVVESRDKVLVEISRLLILEPRFRAGSNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPLLRPYLFYDVTHGRESHRGGSVSYQNIHEAQFCLQLYEHLQKSLKSLGMGRITVGIITPYKLQLKCLQQEFSAVLKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRAKRALWVMGNATSLVQSDDWSALVADAKARNCYMNMDSLPKDFFVLKGTLGKGSSNVRGLRLGGPRHRSFDMHMESRSGTPSEDDENSGASVISRNGSFGPFKPPMDNSLDDFDQSGDRSRDAWQYGIQKKQGSSAVVGKRGS >Potri.005G168300.3.v4.1 pep chromosome:Pop_tri_v4:5:16990346:16997714:1 gene:Potri.005G168300.v4.1 transcript:Potri.005G168300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168300.v4.1 MFVASMGPQGIKNNHAFSHASSISGFQPFIRPRVAFCPEVGFEQKMAGEQNPKLASPSKRITDDDKKEAQSLASGSGSGSAEIKVVEREEGEWSDAEGTANVCAGNSMHEKGKASQFQGMSEVEGTSVMVSMNVSSSVKVIDNTKAESCDRVSPGLDQGQNDYKNNGSRNSNGNANDDVCMDGQEEIASLSKQREVRGIEASHALKFSTNPGKRKIDQHKEAMLGKKRNRQTMLINIDEVKQAGIMKSSTPRRQPNVTRTVKEVRTVPQPAERSGERPGHPLKDQKQADLPCNDGGFSVESCPPKSESNGDINSAQPAKNRKVNGDSDFSVDTHLPPIQKQSTWKQPAESSWKHPADLRQPKNSQFSNRKPALINQGSMDSKLGNKKYLPVKKSTVASTPYQDTSVERLIREVTNEKFWHHPEDSELQCVPGRFESVEEYVRVFEPLLFEECRAQLYSTWEESAETNAHIMVRIKSIERRERGWYDVIVLPANECKWTFKEGDVAVLSTPRPGTVRSKRNNTSSSNEDDEEPDINGRVAGTVRRHIPLDSRDPPGAILHFFVGDSYDPHSKVDEDHILRKLQPRGTWFLTVLGSLATTQREYVALHAFCRLNLQMQAAILKPSSDHFPKYEQQTPAMPECFTQNFVDHLRRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPQSYKQANESNSDNIALGSIDEVLHNMDQNLFRSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEISKWMQELKVQEAYFSGQIADLQNKLNFAAVDGRSQGSVGVDPDVLMARDQNRDALLQNLAAVVESRDKVLVEISRLLILEPRFRAGSNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPLLRPYLFYDVTHGRESHRGGSVSYQNIHEAQFCLQLYEHLQKSLKSLGMGRITVGIITPYKLQLKCLQQEFSAVLKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRAKRALWVMGNATSLVQSDDWSALVADAKARNCYMNMDSLPKDFFVLKGTLGKGSSNVRGLRLGGPRHRSFDMHMESRSGTPSEDDENSGASVISRNGSFGPFKPPMDNSLDDFDQSGDRSRDAWQYGIQKKQGSSAVVGKRGS >Potri.005G168300.4.v4.1 pep chromosome:Pop_tri_v4:5:16992349:16996811:1 gene:Potri.005G168300.v4.1 transcript:Potri.005G168300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168300.v4.1 MVRIKSIERRERGWYDVIVLPANECKWTFKEGDVAVLSTPRPGTVRSKRNNTSSSNEDDEEPDINGRVAGTVRRHIPLDSRDPPGAILHFFVGDSYDPHSKVDEDHILRKLQPRGTWFLTVLGSLATTQREYVALHAFCRLNLQMQAAILKPSSDHFPKYEQQTPAMPECFTQNFVDHLRRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPQSYKQANESNSDNIALGSIDEVLHNMDQNLFRSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEISKWMQELKVQEAYFSGQIADLQNKLNFAAVDGRSQGSVGVDPDVLMARDQNRDALLQNLAAVVESRDKVLVEISRLLILEPRFRAGSNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPLLRPYLFYDVTHGRESHRGGSVSYQNIHEAQFCLQLYEHLQKSLKSLGMGRITVGIITPYKLQLKCLQQEFSAVLKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRAKRALWVMGNATSLVQSDDWSALVADAKARNCYMNMDSLPKDFFVLKGTLGKGSSNVRGLRLGGPRHRSFDMHMESRSGTPSEDDENSGASVISRNGSFGPFKPPMDNSLDDFDQSGDRSRDAWQYGIQKKQGSSAVVGKRGS >Potri.005G168300.1.v4.1 pep chromosome:Pop_tri_v4:5:16988609:16996833:1 gene:Potri.005G168300.v4.1 transcript:Potri.005G168300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168300.v4.1 MGSRGRPVFDLNEPPTEDDEIDHAVCLQPHKALPSANSHPSDMFVASMGPQGIKNNHAFSHASSISGFQPFIRPRVAFCPEVGFEQKMAGEQNPKLASPSKRITDDDKKEAQSLASGSGSGSAEIKVVEREEGEWSDAEGTANVCAGNSMHEKGKASQFQGMSEVEGTSVMVSMNVSSSVKVIDNTKAESCDRVSPGLDQGQNDYKNNGSRNSNGNANDDVCMDGQEEIASLSKQREVRGIEASHALKFSTNPGKRKIDQHKEAMLGKKRNRQTMLINIDEVKQAGIMKSSTPRRQPNVTRTVKEVRTVPQPAERSGERPGHPLKDQKQADLPCNDGGFSVESCPPKSESNGDINSAQPAKNRKVNGDSDFSVDTHLPPIQKQSTWKQPAESSWKHPADLRQPKNSQFSNRKPALINQGSMDSKLGNKKYLPVKKSTVASTPYQDTSVERLIREVTNEKFWHHPEDSELQCVPGRFESVEEYVRVFEPLLFEECRAQLYSTWEESAETNAHIMVRIKSIERRERGWYDVIVLPANECKWTFKEGDVAVLSTPRPGTVRSKRNNTSSSNEDDEEPDINGRVAGTVRRHIPLDSRDPPGAILHFFVGDSYDPHSKVDEDHILRKLQPRGTWFLTVLGSLATTQREYVALHAFCRLNLQMQAAILKPSSDHFPKYEQQTPAMPECFTQNFVDHLRRTFNGPQLAAIQWAATHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPQSYKQANESNSDNIALGSIDEVLHNMDQNLFRSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEISKWMQELKVQEAYFSGQIADLQNKLNFAAVDGRSQGSVGVDPDVLMARDQNRDALLQNLAAVVESRDKVLVEISRLLILEPRFRAGSNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPLLRPYLFYDVTHGRESHRGGSVSYQNIHEAQFCLQLYEHLQKSLKSLGMGRITVGIITPYKLQLKCLQQEFSAVLKSEEGKDIYINTVDAFQGQERDVIIMSCVRASNHGVGFVADIRRMNVALTRAKRALWVMGNATSLVQSDDWSALVADAKARNCYMNMDSLPKDFFVLKGTLGKGSSNVRGLRLGGPRHRSFDMHMESRSGTPSEDDENSGASVISRNGSFGPFKPPMDNSLDDFDQSGDRSRDAWQYGIQKKQGSSAVVGKRGS >Potri.004G169900.2.v4.1 pep chromosome:Pop_tri_v4:4:20424985:20426655:1 gene:Potri.004G169900.v4.1 transcript:Potri.004G169900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169900.v4.1 GQGEEVKSKSEAQVEIQEKLFIFFFYRPKVEKEEAHSPDNVQRLYLVLGPESSERPVEEKQSPHSGSKKGSSSKNGSEGGRGNQLINLNIEKEPLLRLTVMGRKSLPDQEYDTAATRGYRQKYPARALGEGIYRIPRHNNPGKRMHTHLVYRLELPSKDKEDEPQESLNIKREGSFIIHKNPDQHGGSPQFTGLQNKRKARFPAHLQGQFGHNKTVHADRPDMLNYERCELLVMGMELKTECEGDDDPASCSDLVKTLGETAPTSPLLEGVEKLVYKEQDFV >Potri.010G098800.2.v4.1 pep chromosome:Pop_tri_v4:10:12175603:12183434:-1 gene:Potri.010G098800.v4.1 transcript:Potri.010G098800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098800.v4.1 MERMLSENDEQSMVSSFLEIAVGQTAETARQFLQATSWKLEDAIQLFYVGNEGGAVASASHPPPTETWPEVLESGLKDLENEKVGHSDGEEVRAPLPVVRDTLYDDAMLYGASRTGYPPHEASSLIAFRNFDEEMKHPGVWESDQGSTSTTDNSRDNLASLYRPPFHLMFHGSFEKAKGAASVQDKWLLVNLQSTKEFSSHMLNRDTWANEAVAQTISTNFIFWQVYDDTSEGQKVCTYYKLDSIPVVLIIDPITGQKMHSWVGMVQPESLLEDLVPFMDGGPRDHHKTLSHKRQRGSSLTPPKSKALVSAYETKEEDEEVLRALAASMESMKDSSVIASNKKDIASNDKDDASTAKGEEKCSTKTLTYPPLPEEPSGDKSLLCRVGIRLPDGRRVQRNFLKTDPIRLLWSFCYSQLEEAGTKLFCLKEAIPGAKRLDYDSTMTFGESGLANSMISVAWE >Potri.001G064609.3.v4.1 pep chromosome:Pop_tri_v4:1:6075480:6076850:1 gene:Potri.001G064609.v4.1 transcript:Potri.001G064609.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G064609.v4.1 MKAMVSTWLADAIMYELWVGSDGTSARTIYHSGLPWLIGKALLMKQVHVVKQRLGITKENAERREAEIYKRVKIAYGALDRFRQFPIPDISKHTCCSSLVSLPTNRFNFLIKIYFFN >Potri.001G064609.2.v4.1 pep chromosome:Pop_tri_v4:1:6072050:6076850:1 gene:Potri.001G064609.v4.1 transcript:Potri.001G064609.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G064609.v4.1 MKAMVSTWLADAIMYELWVGSDGTSARTIYHSGLPWLIGKALLMKQVHVVKQRLGITKENAERREAEIYKRVKIAYGALDRFRQFPIPDISKHTCCSSLVSLPTNRFNFLIKIYFFN >Potri.001G064609.1.v4.1 pep chromosome:Pop_tri_v4:1:6075480:6076850:1 gene:Potri.001G064609.v4.1 transcript:Potri.001G064609.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G064609.v4.1 MKAMVSTWLADAIMYELWVGSDGTSARTIYHSGLPWLIGKALLMKQVHVVKQRLGITKENAERREAEIYKRVKIAYGALDRFRQFPIPDISKHTCCSSLVSLPTNRFNFLIKIYFFN >Potri.002G057500.1.v4.1 pep chromosome:Pop_tri_v4:2:3925857:3926610:1 gene:Potri.002G057500.v4.1 transcript:Potri.002G057500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057500.v4.1 MQTMRASISSTSYVRLDRDGMSEKMKLDHKKGVFPVLVGNEGMMERFLLPTRLTKHPFIVQLLEMSAQEYGLEQEGLLKIPYDASCFEKMLKLISKK >Potri.004G065300.3.v4.1 pep chromosome:Pop_tri_v4:4:5608391:5609170:1 gene:Potri.004G065300.v4.1 transcript:Potri.004G065300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065300.v4.1 MEKPPPNLVLGSIKAFKSRDYPQKAKVVSFFAFVLSIFLFNSTKFRFFISNTLILIIALDYGSFSSSSKGINKHGVYEEYVIMRRRRSVPSFPSCYREIIKTGRLDEEEVKKDSREKRRNVHQGKLPIVHESDEHENAPAVNPQVKKLETSALKLGEAYRRKKKVVVEKTLQRSKSESFKRVMFDESKNIIRRIETEEYEPPDSIEEKDGYANMSNEEINTRAEEFIQRFNKQIRLQGEVYSKK >Potri.001G376800.1.v4.1 pep chromosome:Pop_tri_v4:1:39639872:39645559:-1 gene:Potri.001G376800.v4.1 transcript:Potri.001G376800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376800.v4.1 MDLRSKGMAWAGNIYQKFETMCHEVDNVVNKDAFKFVENQVHSVGENMKKIYSDAVHDLIPPLVDPAKCEAPAAATIGAYIIKTVIGIEDDHEYASRAKHSPAELGDHDPMTKQLGKDVWELQVANQLTITGNSEETIEGAESESALGVDDVTTETSDVSTEENSVKENSCGPEELESITHGDKEPFEASSEFPDFSSENACGFLDKVSPVTSVPGEAFQCPQDVGTVCDSSAGDSYSANVIVSSSQMSFSVVSSEKEAVEMEIVSPSCSIFKESHCLPGNPLDNITTKLISCGNPFDVAGHDSDSSKMLLSSTSSHSDSSVVLSSSTSAPTVSCKINGAEMGLASSNSVLSLVSIGCSDDSAIEDLTESEMENIDLSENVKLDESCVIVDNSFLYEVSRRNRRLRSYKKKIQDAFSSKKRLTKEYEQLAIWFGDLDGHDTMQHELSSSTTITLDPQTNWRQDSEWELL >Potri.014G129700.1.v4.1 pep chromosome:Pop_tri_v4:14:8691018:8694787:1 gene:Potri.014G129700.v4.1 transcript:Potri.014G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129700.v4.1 MARLRNKNKKMFVKPTSKKKQADVDHITGDKIPKSFVFARGKLPGPLRQLQMNLRKLMLPFTALKLKEKKRNSLKDFLNVAGPMGVTHFLMLSKTETAPYLRVARTPQGPTLTFKIHEYSLAVDVAQSQLHPRCPQDLFKNAPLIVLSGFGSGEQHLKLTTVMFQNIFPAIDVNTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRLRKFVQNRQVPDLRNLQDVSDFVSKAGYGSESEGDDEAATVTLANDLGRVNKASTKSAVKLQEIGPRMTLQLVKIEEGLCSGGVIFSEYGTASENGQEKNQNNGEDDNDDVEENDEDSD >Potri.014G129700.2.v4.1 pep chromosome:Pop_tri_v4:14:8691027:8694760:1 gene:Potri.014G129700.v4.1 transcript:Potri.014G129700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129700.v4.1 MARLRNKNKKMFVKPTSKKKQADVDHITGDKIPKSFVFARGKLPGPLRQLQMNLRKLMLPFTALKLKEKKRNSLKDFLNVAGPMGVTHFLMLSKTETAPYLRVARTPQGPTLTFKIHEYSLAVDVAQSQLHPRCPQDLFKNAPLIVLSGFGSGEQHLKLTTVMFQNIFPAIDVNTVKLSSCQRIVLLNYNKDTKLIDFRHYSIRLQPVGVSRRLRKFVQNRQVPDLRNLQDVSDFVSKAGYGSESEGDDEAATVTLANDLGRVNKASTKSAVKLQEIGPRMTLQLVKIEEGLCSGGVIFSEYGTASENGQEKNQNNGEDDNDDVEENDEDSD >Potri.016G136000.2.v4.1 pep chromosome:Pop_tri_v4:16:14009401:14010346:-1 gene:Potri.016G136000.v4.1 transcript:Potri.016G136000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136000.v4.1 MAGPRALHLVCLVVCIMVMTASTTKAAISCNQVINTLTPCISYVVGNGALTDNCCNGIRGLNSAARTTPDRQSVCTCLKNTASQFSYNSRNVALAAGLPGKCGVKLPYKIDPSTDCKSVK >Potri.004G080600.1.v4.1 pep chromosome:Pop_tri_v4:4:6626883:6628703:1 gene:Potri.004G080600.v4.1 transcript:Potri.004G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080600.v4.1 MPIMFGGIQIGVLAACVVLFVPMGMAGYHLSRNKMLFFSGALFITLAVGVHLTPYFPSVSDFVTSVQSVVVFDNREDSCINLVNEVIWNVKPRVISSNGSDRSNDSVGHDKIWDWSKNGMVKGCDFEKLGRGDVKDLLNGSWVVVAGDSQARLLVQSLLSLILDEKRMGMIMGDLFKRHSDYEIVVDDIGMKLDFVWAPYVVNLTNLMVGFKQNRTYPDVLVIGAGLWHMLHVNNASDYDIALENLRSSVVSLLPFSPELGTDGPVTGSVSVRSPHLFWLGMPMLINEMLNTEEKREKMNDKIWHAYYGALHDSRILRSYGGPLLLLDIQSLSWNCGPRCTNDGMHYDGTVYEAAVHILLNALLIESHQKLGST >Potri.001G344100.4.v4.1 pep chromosome:Pop_tri_v4:1:35514751:35519078:-1 gene:Potri.001G344100.v4.1 transcript:Potri.001G344100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344100.v4.1 MPLNMVHDADGLPRILLTDPTGSSAEVLLYGGQVVSWKNERREELLFMSSKAIWKPPKAIGGGIPVCFQQLGNLGSLERHGFARNKLWSLDNDPSPLPPTNNQSSVDLILKSTEEDLKTWPKSFELRLRITLSASKLTLISRVRNTDNRPFSFTFALRNYLSVSDISEVRVEGLETLDYLDNLMHKERFTEQADAITFDGEVDRVYLSTPSKIAIIDHEKKRTFVLRKDGMPDAVMWNPWDRKAKALPDMGDEDYKSMLCVDSASIETPIALKPFEEWKGYQELSTVSSSYCSGQLDPRRVLYGFH >Potri.001G344100.9.v4.1 pep chromosome:Pop_tri_v4:1:35515129:35518833:-1 gene:Potri.001G344100.v4.1 transcript:Potri.001G344100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344100.v4.1 MPLNMVHDADGLPRILLTDPTGSSAEVLLYGGQVVSWKNERREELLFMSSKAIWKPPKAIGGGIPVCFQQLGNLGSLERHGFARNKLWSLDNDPSPLPPTNNQSSVDLILKSTEEDLKTWPKSASKLTLISRVRNTDNRPFSFTFALRNYLSVSDISEVRVEGLETLDYLDNLMHKERFTEQADAITFDGEVDRVYLSTPSKIAIIDHEKKRTFVLRKDGMPDAVMWNPWDRKAKALPDMGDEDYKSMLCVDSASIETPIALKPFEEWKGYQELSTVSSSYCSGQLDPRRVLYGFH >Potri.001G344100.8.v4.1 pep chromosome:Pop_tri_v4:1:35514747:35518793:-1 gene:Potri.001G344100.v4.1 transcript:Potri.001G344100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344100.v4.1 MPLNMVHDADGLPRILLTDPTGSSAEVLLYGGQVVSWKNERREELLFMSSKAIWKPPKAIGGGIPVCFQQLGNLGSLERHGFARNKLWSLDNDPSPLPPTNNQSSVDLILKSTEEDLKTWPKSFELRLRITLSASKLTLISRVRNTDNRPFSFTFALRNYLSVSDISEVRVEGLETLDYLDNLMHKERFTEQADAITFDGEVDRVYLSTPSKIAIIDHEKKRTFVLRKDGMPDAVMWNPWDRKAKALPDMGDEDYKSMLCVDSASIETPIALKPFEEWKGYQELSTVSSSYCSGQLDPRRVLYGFH >Potri.001G344100.6.v4.1 pep chromosome:Pop_tri_v4:1:35515192:35519078:-1 gene:Potri.001G344100.v4.1 transcript:Potri.001G344100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344100.v4.1 MPLNMVHDADGLPRILLTDPTGSSAEVLLYGGQVVSWKNERREELLFMSSKQAIWKPPKAIGGGIPVCFQQLGNLGSLERHGFARNKLWSLDNDPSPLPPTNNQSSVDLILKSTEEDLKTWPKSFELRLRITLSASKLTLISRVRNTDNRPFSFTFALRNYLSVSDISEVRVEGLETLDYLDNLMHKERFTEQADAITFDGEVDRVYLSTPSKIAIIDHEKKRTFVLRKDGMPDAVMWNPWDRKAKALPDMGDEDYKSMLCVDSASIETPIALKPFEEWKGYQELSTVSSSYCSGQLDPRRVLYGFH >Potri.009G108000.1.v4.1 pep chromosome:Pop_tri_v4:9:9359336:9359883:1 gene:Potri.009G108000.v4.1 transcript:Potri.009G108000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108000.v4.1 MATSNGRTKITALVVDDDRIIKTIHSKLLSKLGIENQVAANGEEAVDLHCSGKKFDLIVMDRDMPIMNGIEATRELRALGIRSIIVGVSTRSLEQEIQEFMDAGLDDYQEKPLTSSKVISILHKINHNS >Potri.005G089800.3.v4.1 pep chromosome:Pop_tri_v4:5:6248515:6252072:1 gene:Potri.005G089800.v4.1 transcript:Potri.005G089800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089800.v4.1 MSGCLEFLKCVLCCVQEREEDPYVVLGSRSRNNSSDVGSSCFRSVERINDRFQSFYSRPYAPIYSDDLPASPSALTQSSSKLFPPSAPPWPEPPASSSNQSQSSRAPATVLSRPSPFQPVPSTTASKPSPDQATTLSFKSSLLPPPPSTSSSKSSHQDPIPAFHPSPSPKPLPSFLKPPTSSSNQSQSSRVPATVLSRPSPFPLVPSTTSSKPSPQATTLSFKSSPFPPPPSTSSSKSSHQDPNPAFHPSPSPKPLPSFLKPPASSSKPSPSSSKASPSSRPGPSSSSSKQPPSFKPTLSLASPNLINEQTKVSYSLVQKVMSPIYAVPKDIEDLIKRDIVPEVLNEMLSPSTYKDYFAALLYAEDFYIEKWSKFKLKNIALKLKDAAIIKKRGRNEYFGESHEKDNKTFVEFEIDSCREKRPFLLSRDFAFARPSSQKTEPYQGVIYRVVRSTIVLVEFGEDFLLQHHSTREYDVSFSFNRVCLKRAHQAIEAASDPSFKNFLFPNFVHRKSIPTSTPLHFINHKLDAYQRSAVHEILSFRGPPPYLVEGPLCSKEYSKQLSRIGLVVQEAVLQIYQSSSKHRILICAPINRTCDVLMQSLKIDIPESDMFRANAAFREIDGVPIDILTSCVYKRDCFTCPSIRELRKFRVILSTFVSSFRLHNEGIVAGHFSHIFLVNASSATEPEAMVALANLASENTAVIVTGAPGNHSGWVRSNIARENGLMTSYFERLRDSKPYWNSHPKFIMQLVDHPESKSVDSYSYAHESVSYD >Potri.005G089800.4.v4.1 pep chromosome:Pop_tri_v4:5:6248506:6251896:1 gene:Potri.005G089800.v4.1 transcript:Potri.005G089800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089800.v4.1 MSGCLEFLKCVLCCVQEREEDPYVVLGSRSRNNSSDVGSSCFRSVERINDRFQSFYSRPYAPIYSDDLPASPSALTQSSSKLFPPSAPPWPEPPASSSNQSQSSRAPATVLSRPSPFQPVPSTTASKPSPDQATTLSFKSSLLPPPPSTSSSKSSHQDPIPAFHPSPSPKPLPSFLKPPTSSSNQSQSSRVPATVLSRPSPFPLVPSTTSSKPSPQATTLSFKSSPFPPPPSTSSSKSSHQDPNPAFHPSPSPKPLPSFLKPPASSSKPSPSSSKASPSSRPGPSSSSSKQPPSFKPTLSLASPNLINEQTKVSYSLVQKVMSPIYAVPKDIEDLIKRDIVPEVLNEMLSPSTYKDYFAALLYAEDFYIEKWSKFKLKNIALKLKDAAIIKKRGRNEYFGESHEKDNKTFVEFEIDSCREKRPFLLSRDFAFARPSSQKTEPYQGVIYRVVRSTIVLVEFGEDFLLQHHSTREYDVSFSFNRVCLKRAHQAIEAASDPSFKNFLFPNFVHRKSIPTSTPLHFINHKLDAYQRSAVHEILSFRGPPPYLVEGPLCSKEYSKQLSRIGLVVQEAVLQIYQSSSKHRILICAPINRTCDVLMQSLKIDIPESDMFRANAAFREIDGVPIDILTSCVYKRDCFTCPSIRELRKFRVILSTFVSSFRLHNEGIVAGHFSHIFLVNASSATEPEAMVALANLASENTAVIVTGAPGNHSGWVRSNIARENGLMTSYFERLRDSKPYWNSHPKFIMQLVDHPESKSVDSYSYAHESVSYD >Potri.005G089800.1.v4.1 pep chromosome:Pop_tri_v4:5:6248178:6251915:1 gene:Potri.005G089800.v4.1 transcript:Potri.005G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089800.v4.1 MSGCLEFLKCVLCCVQEREEDPYVVLGSRSRNNSSDVGSSCFRSVERINDRFQSFYSRPYAPIYSDDLPASPSALTQSSSKLFPPSAPPWPEPPASSSNQSQSSRAPATVLSRPSPFQPVPSTTASKPSPDQATTLSFKSSLLPPPPSTSSSKSSHQDPIPAFHPSPSPKPLPSFLKPPTSSSNQSQSSRVPATVLSRPSPFPLVPSTTSSKPSPQATTLSFKSSPFPPPPSTSSSKSSHQDPNPAFHPSPSPKPLPSFLKPPASSSKPSPSSSKASPSSRPGPSSSSSKQPPSFKPTLSLASPNLINEQTKVSYSLVQKVMSPIYAVPKDIEDLIKRDIVPEVLNEMLSPSTYKDYFAALLYAEDFYIEKWSKFKLKNIALKLKDAAIIKKRGRNEYFGESHEKDNKTFVEFEIDSCREKRPFLLSRDFAFARPSSQKTEPYQGVIYRVVRSTIVLVEFGEDFLLQHHSTREYDVSFSFNRVCLKRAHQAIEAASDPSFKNFLFPNFVHRKSIPTSTPLHFINHKLDAYQRSAVHEILSFRGPPPYLVEGPLCSKEYSKQLSRIGLVVQEAVLQIYQSSSKHRILICAPINRTCDVLMQSLKIDIPESDMFRANAAFREIDGVPIDILTSCVYKRDCFTCPSIRELRKFRVILSTFVSSFRLHNEGIVAGHFSHIFLVNASSATEPEAMVALANLASENTAVIVTGAPGNHSGWVRSNIARENGLMTSYFERLRDSKPYWNSHPKFIMQLVDHPESKSVDSYSYAHESVSYD >Potri.001G242400.5.v4.1 pep chromosome:Pop_tri_v4:1:26018201:26020356:1 gene:Potri.001G242400.v4.1 transcript:Potri.001G242400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242400.v4.1 METTSVLLHSKTIPFSFTISHNNSRKLSLRHSNKQFQSRLSNNNFLFGQSLKPIKNQAELPFSLYQSTSSLKITRTHLLSPPKCSHSGSASTDGSQNHPFLKPFKNLSLDELKATLLQLTPIDIIKWSGILSIAIAATKWTVNLVLNPFFWMYFSWTWLFWPWFVAILLAVYGLYCFYKHSIGEASIFEQFAIVTSVFTWLTLVPPAHFNGYLQGWPFVFFFVYHYFFFFNVSVRKRLYGDYYARPHDPKWDLHPPRWSRLLFCVGVMVGHWLAALEGPELHLIPGGWSNVGIWILILATLLMQYNSTFYLAKYSEKVVVPIAVVQFGPYRWVRHPIYSSTMLLFATYFLALRAPLSLLFVVAVCLMYYAQKAKMEEDLMIETFGEKYLEYMGKVRYKFIPLVY >Potri.001G242400.4.v4.1 pep chromosome:Pop_tri_v4:1:26018210:26020810:1 gene:Potri.001G242400.v4.1 transcript:Potri.001G242400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242400.v4.1 METTSVLLHSKTIPFSFTISHNNSRKLSLRHSNKQFQSRLSNNNFLFGQSLKPIKNQAELPFSLYQSTSSLKITRTHLLSPPKCSHSGSASTDGSQNHPFLKPFKNLSLDELKATLLQLTPIDIIKWSGILSIAIAATKWTVNLVLNPFFWMYFSWTWLFWPWFVAILLAVYGLYCFYKHSIGEASIFEQFAIVTSVFTWLTLVPPAHFNGYLQGWPFVFFFVYHYFFFFNVSVRKRLYGDYYARPHDPKWDLHPPRWSRLLFCVGVMVGHWLAALEGPELHLIPGGWSNVGIWILILATLLMQYNSTFYLAKYSEKVVVPIAVVQFGPYRWVRHPIYSSTMLLFATYFLALRAPLSLLFVVAVCLMYYAQKAKMEEDLMIETFGEKYLEYMGKVRYKFIPLVY >Potri.001G045100.1.v4.1 pep chromosome:Pop_tri_v4:1:3262890:3265692:-1 gene:Potri.001G045100.v4.1 transcript:Potri.001G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045100.v4.1 MPVDTSSLPCQGQTVCVTGAGGFIASWIVNLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADILDYESLKEAIRGCDGVFHTACPVTDDPDKVMEPAVNGTKNVIMAAAEAKVRRVVFTSSIGTVYMDPNRSPDVVVDESCWSDLEYCKNTKNWYCYGKTVAEQVAWDVAKKKGVDLVVVNPVVVLGPLLQPTVNASILHILKYLTGSAKTYANAVQAYVHVRDVAVAHILVFETPSASGRYICFEKMLHRGEVVEILAKFFPEYPIPTKCSDEKNPRKQNYKLTNQKIKDLGIEFVPVKQCLYETVKSLQEKGILPILKHAEDSVKIQ >Potri.011G143100.1.v4.1 pep chromosome:Pop_tri_v4:11:17276363:17281984:-1 gene:Potri.011G143100.v4.1 transcript:Potri.011G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143100.v4.1 MAKPTRGRPTSRSGSGSGSSSRSRSYSGSDSRSSSRSRSVSRSRSRSRSLSSSSSSPSRSASSGSRSPPPRKRSPAEGARRGRSPPPQSKKVSPPPRKASPIRESLVLHVDSLTRNVNEGHLREIFSNFGEVVHVELAMDRTVNLPKGFGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRQKLSPPPKPIAAAPKRDAPKTDNASADAEKDGPKRQREPSPHRKPLASPRRRSPVARRGGSPRRQLDSPPRRRADSPGRRRVESPYRRGETPPRRRPASPARGRSPSSPPRRYRSPLRASPRRMRGSPVRRRSPPPRRRTPPRRARSPPRRSPLRRRSRSPICRPARSRSRSLSPRRGRAPGARRGRSSSYSGSPSPRKATRKISRSRSPRRPLRGRSSSNSSSSSSPPRKP >Potri.011G143100.3.v4.1 pep chromosome:Pop_tri_v4:11:17276462:17281984:-1 gene:Potri.011G143100.v4.1 transcript:Potri.011G143100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143100.v4.1 MAKPTRGRPTSRSGSGSGSSSRSRSYSGSDSRSSSRSRSVSRSRSRSRSLSSSSSSPSRSASSGSRSPPPRKSPAEGARRGRSPPPQSKKVSPPPRKASPIRESLVLHVDSLTRNVNEGHLREIFSNFGEVVHVELAMDRTVNLPKGFGYVEFKTRADAEKALLYMDGAQIDGNVVRAKFTLPPRQKLSPPPKPIAAAPKRDAPKTDNASADAEKDGPKRQREPSPHRKPLASPRRRSPVARRGGSPRRQLDSPPRRRADSPGRRRVESPYRRGETPPRRRPASPARGRSPSSPPRRYRSPLRASPRRMRGSPVRRRSPPPRRRTPPRRARSPPRRSPLRRRSRSPICRPARSRSRSLSPRRGRAPGARRGRSSSYSGSPSPRKATRKISRSRSPRRPLRGRSSSNSSSSSSPPRKP >Potri.006G138800.1.v4.1 pep chromosome:Pop_tri_v4:6:11576669:11577628:1 gene:Potri.006G138800.v4.1 transcript:Potri.006G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138800.v4.1 MVKHSVEKPVAERSDSKFKGVRKRKWGKWVSEIRLPNSRERIWLGSYDSAEKAARAFDAALFCLRGRVAKFNFPENPPNIAGGRSLSPAEIQEAAARFANSEPQRSQPDRFETDQSVSVSESRAESPCPSVVSDGTVQMEGGELMWDGPFLDMLMNTGSCNHSTEYGIFQGFDDLYSDFFPPSLIPNLDYGEETNLDGVLEQGSFLWNF >Potri.001G318600.1.v4.1 pep chromosome:Pop_tri_v4:1:32835190:32837317:-1 gene:Potri.001G318600.v4.1 transcript:Potri.001G318600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318600.v4.1 MMPPKRKKWTEVEEKTLIEKYGEMVSDGTLAKMKTREKKYKPIALYVNSAHHVRDPIAYPWQWTWKDVSTKVQNMRHQYLLVKQKIKKPEFSSMDNSGCGGGECSGNGDEFDWLEGRTHWSNFLLYKEVFGDLPVSYGTNGSNCNDLMGVLNEDRENGGGLLGGVRGMEMAEFGQLGNSADGDFAGIDGGENGVLGLGFDYEGEEAEENCNGNDRVREDGDDGFMYEEVEPNVSNLRKKRKALKGFQKRVFGFLSNQLVQLRDMEARFEQRELERERERQGRENVLVEREQEWERKLEEREKKREEMEKDREKLTRQRIQEWEAMEKESEERERRRREEELIQEREWEDRMNRKRSEWKKRIDEMLSQHRAEMGQFQTRILHEQQNLTGQLLGIVSQWTTPTGLSDHTGASNHYLSQMMHNLHHVNGMVHGDSRVDGDTQDDQFIVDG >Potri.010G054100.1.v4.1 pep chromosome:Pop_tri_v4:10:8559408:8561417:-1 gene:Potri.010G054100.v4.1 transcript:Potri.010G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054100.v4.1 MAIDQVNVEIVAREVIGPSSPTLNHLRKFNLSLLDQLAPVSYEPLVLLYSNFQQQRTGYHESLRLKRSLSETLTRFYPLAGRIKDGASIECNDLGAVFVESRVSCLLSKFLEKPDAEAIRKFIPVETESPEALTGSLVLVQANFFACGGLAIGVCISHKAADPVTFSTFIKAWAAAAFRSVNDSTVLPLFNASSLFPPQNLPLTRPAAVELMNDKCVTKRLVFDASKIAALQAKAVSESVTCPTRVEAVTALIWKCAMNASRSNSEHLRYSILSQSVNLRKRMVPPLPENTIGNLVGYFASCATECEIELQSLVGQLRKGLRDFGENYVEKLGEGKAFMAVCESFQEAGSMLQEGNVDFYASTDFCRFPFYGIDFGWGKPTWVTIPTGANKNVTTIMDTRDGEGVEAWVTLTEEDMAFFERDRELLAAASLDPSALDLIMPMSSL >Potri.012G109800.1.v4.1 pep chromosome:Pop_tri_v4:12:13023592:13027536:-1 gene:Potri.012G109800.v4.1 transcript:Potri.012G109800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109800.v4.1 MVTGLGSGSGSDPTSDSNGWGRARGLALKSLVLIGGVLLVKRLTKSTTRWDHAKIVTQSLTGEKFSKEQASRDPDNYFNIRMLTCPAAEMVDGSKVLYFEQAFWRTPQKPFRQRFYMVKPCPKELKCDVEVGSYAIRDAEEYKNFCDRSKDQRPLPEEVIGDIAEHLTTIHLKRCDRGKRCLYEGSNPPGGFPNSWNGATYCTSELAILKNNEIHTWDRGYDDGGNQVWGVKEGPYEFKPAPASSVSELFSPLNLPPLQSMEKRIEGSFVLQV >Potri.012G060800.2.v4.1 pep chromosome:Pop_tri_v4:12:6202758:6221163:1 gene:Potri.012G060800.v4.1 transcript:Potri.012G060800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060800.v4.1 MGRLFEYFVVCGLGPEMRTVDRNKGYHGMRVFYQSSLLDQYPPDNHSLYPPPPPQLPICVLPAGVQFYPSGFDADDSSTFPRSYPIVLTEGDGSKIYVSCIAFRDPVSEDIAEAYRIPANSFADKCICLVSRSPSFGVLRNALEELFALCFSPAGSSKPLWEVISHMISNVPLPTPGKDRVLFAIENCLLSLEAPPKDGLPHVDISFQPLVQCLDVDNLLKLFTAVLLERRILLRSNKYSLLTIASEAICHLIYPFRWQHVYIPLLFFSGVDYIDAPTPYMMGLHSSVDTSDLAMDGVVVVDLEYNRISTSEEIPPIPEPELSSLRSEILKLLYPNVMGIDQMKAGLVNSSEQYLKGCNKPWGEDHDVQLRLIFLKFFASILGGYRNFLENTVTHAFNAQAFLKKRSRSTNQPPDPMITQFLDSHGFLDYLERGIDSDGNNNNLLEKLQDTIGRGQNPISILSTSVVEPEIITISDPDVGILGSGAKYTYDRFPSNIRSEEQEEKRKQILAAASGAFEYIKHAPSSPSVQVGKDSLSPMERAAERELMVLDIKVKLQGLWLRLLKLGATDDPLSSFEYGTILALIESDAEGIGGSGFVECIREHIHSGWHCQLTDEQFIAVKELLKTAISRATSRNDVSTIRDALEVSAEMYKKDSNNVSDYVQRHLISLSIWEELRFWEVFFEYLMEHSSSKSANYAALVTTQLILVALHMAGLGLPDTDAWHMIETIAEKNNIGYKQFIKLRGFLSHIQQIRISYWGISSLKAQSMLCHGLSSPHPKDSMDENQQPAEASVIGRSWVQSMFSRDSSRANSFGQVRKGSSNGTSVNENGTPRKQDSSADGQKKLQTNVRILRGHSGAVTAVHCVTRREVWDLVGDREDAGFFISGSTDCMVKIWDPSIRGSELRATLKGHTRTVRSISSDRGKVVSGSDDQSVIVWDKQTSQLLEELKGHDAQVSSVRMLSGERVLTAAHDGTVKMWDVRTDTCVATVGRCSSAVLCMEYDDSTGILAAAGRDAVANIWDIRAGRQMHKLLGHTKWIRSIRMVGDTLITSSDDWTARVWSVSRGTCDAVLACHAGPILCVEYSMSDRGIITGSTDGLLRFWENEEGGIRCVKNVTIHSAPILSINAGEHWLGIGAADNSMSLFHRPQDRLGSFSSTGSKMAGWHLYRTPQRTVAMVRCVASDLERKRICSGGRNGVLRLWEATINI >Potri.018G121700.1.v4.1 pep chromosome:Pop_tri_v4:18:13429833:13432022:1 gene:Potri.018G121700.v4.1 transcript:Potri.018G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121700.v4.1 MAAMAELISNSVQEMATNGQEPPVKYFSKGNDAGVLDAPVPLIEIPVVDLGLLTSPLTSAQELEKLKLALSSWGCFQVINHGMTSSFLDKIREVSKQFFGFPMEEKQKYSREADSIEGYGNDMILSDHQTVDWTDRLYLTISPEDQRKIKFWPENPKDFRETLHEYTVKLQETNDFLLRAMARSLNLEESCFLDQYGEQPLVTARFNFYPPCPRPDRILGVKPHADASAVTFLLQDKEVEGLQFLKDNEWFRVPIIPHALLVNVGDQVEIMSNGIFKSPVHRVVTNTERERNTLAVFCIPESDNEIKPADQLISETRPSLYKKVKDYVSIYFQYYQQGKRPIEAVKI >Potri.006G187200.1.v4.1 pep chromosome:Pop_tri_v4:6:19425542:19427191:1 gene:Potri.006G187200.v4.1 transcript:Potri.006G187200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187200.v4.1 MALNSKMSVVHNKDLVVIRDVWKYNLEKEFKLILNIVDDFPYIAMDTEFPGIVLRPVGSVKTGSDYNYQTLKANVDLLKLIQLGLTLSDEKGNLPTCGTDKYCVWQFNFCDFNPNEDVYANDSIELLSQSGIDFVKNAEVGADATRFTELLMTSGIVLNDDVHWVTFHSGYDFGYLLKMLTGKKLPDTQVDFFKLIKIYFPVLYDIKHLMKFCNGLHGGLNKLAEQLGVKRIGISHQAGSDSLLTSSTFMKLKEIFFSGSPERYAGVLYGLGVEN >Potri.010G193400.2.v4.1 pep chromosome:Pop_tri_v4:10:18840994:18844870:-1 gene:Potri.010G193400.v4.1 transcript:Potri.010G193400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193400.v4.1 MEFASSSSSRVFNRTHQFSLLSTPPPSQKPSSLFNPSLNAFFIRFSTTSFSPRDLSCKSTLRDTTTTRIATPKMAENGVRDFELSNLTALSPLDGRYWNKVKDLSPYMSEYGLIYYRVLVEIKWLLKLSQIPEITEVPNFSEEAEAYLEGLIDGFSMDDALEVKNIEKVTNHDVKAVEYFLKKKCQSHPEIAKVLEFFHFACTSEDINNLAHALMLKEAMNGVVFPVMDKLIKAICKLAEDNASTPMLSRTHGQPASPTTLGKEMAVFAARLSEQRHEISQVKIKGKFAGAVGNYNAHFSAYPSVNWPLIAKEFVESLGLCFNPYVTQIEPHDYMARLFHAIIVFNTILIDFDRDIWGYVSLAYFKQTTKAGEIGSSTMPHKVNPIDFENSEGNLGKANGNLSHLGEKLPVSRWQRDLTDSTVLRNMGEGLGHSLLAYKSALQGIAKLQVNETRLSEDLNQSWEVLAEPIQTVMRRYSVPEPYEKLKELTRGRAVTKDSIKEFIEGLELPKEAKDYLLELTPHTYVGAAIELSKTLDNAISLVNGVTTL >Potri.011G024000.3.v4.1 pep chromosome:Pop_tri_v4:11:1778740:1805119:1 gene:Potri.011G024000.v4.1 transcript:Potri.011G024000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024000.v4.1 MDNSNSNGSSGGQRFRKIPRHSQSLSHLKLDPLVDENLEQWPHLNELVQCYRTDWVKDENKYGHYESISPVSFQNQIFEGPDTDLETEMHLANSRRNKAEETTDDDIPSTSGRQFVEAAFPDSSNSVVSKHFGESPLPAYEPAFDWDNERSMIFGQRIPETPLPHGLKISVKVLSLSFQAGLAEPFYGTICIYNKERREKLSEDFYFSVVPTDTQDAKISHDPRGIFYLDAPSSSICLLIQLEKPATEEGGVTASVYSRKEPVHLSEREKQKLQVWSRIMPYKESFAWTIVPLFDNSIAATSGGAASPSSPLAPSVSGSSSHDGVFEPVAKITLDGKLGYSSGSSVVVEISNLNKVKESYTEDSLQDPKRKVHKPVKGVLRLEIEKHQTAHAELENLSETGSITNDSIDLGDRVADSAFTKSPSNGFDDPQTSGSKWNIFDGKETSGNISNARENPDFTADDFQAFDFRTTTRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRVELRKDDVDVRRQPLEAMHPREPGTSLQKWAHTQVAAGTRVACYHDEIKLSLPAIWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYAVLPLSTHAQLRSEISLPIMRELVPHYLQEMGKERLDYLEDGKNVFRLRLRLCSSLYPINERIRDFFIEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGSGGETLQVAAFRAMVNILTRVQQESVDDTERNRFLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQARLFYHSLPLGEDVPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLASVLIQELFLTWDHDELSQRSKAARILVVLLCKHEFDARYQKPEDKLYIAQLYFPLVGQILDEMPVFYNLNAVEKREVLIVILQIMRNLDDTSLVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGILMGSSSRSPVGDGPASPKYSDRLSPAINNYLSEASRQEVRGKTDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEITEKFSMMAASHSIATDYGKLDCLTAIFTSFFSRNQPLSFWKALFPVFNNVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNESVKKRAVIGLQILVRSAFYYFMQTARLRVMLTITLSELMSDVQVTQMKSDGMLEESGEAKRLRKSLEEVADELKTPDLLRECGVPESALVAVPKKLADNRWSWSEVKYLSDCLILALDASLEHALLGSVMTVDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVISLRKICPMVSSEITAEASAAEVEGYGSSKLTVDSAVKYLQLANRLFSQAELFHFCANILELVIPVHKSRRAYGQLAKCHTMLTDIYESILEQESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDDNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVMKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILAEL >Potri.011G024000.2.v4.1 pep chromosome:Pop_tri_v4:11:1778785:1805316:1 gene:Potri.011G024000.v4.1 transcript:Potri.011G024000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024000.v4.1 MDNSNSNGSSGGQRFRKIPRHSQSLSHLKLDPLVDENLEQWPHLNELVQCYRTDWVKDENKYGHYESISPVSFQNQIFEGPDTDLETEMHLANSRRNKAEETTDDDIPSTSGRQFVEAAFPDSSNSVVSKHFGESPLPAYEPAFDWDNERSMIFGQRIPETPLPHGLKISVKVLSLSFQAGLAEPFYGTICIYNKERREKLSEDFYFSVVPTDTQDAKISHDPRGIFYLDAPSSSICLLIQLEKPATEEGGVTASVYSRKEPVHLSEREKQKLQVWSRIMPYKESFAWTIVPLFDNSIAATSGGAASPSSPLAPSVSGSSSHDGVFEPVAKITLDGKLGYSSGSSVVVEISNLNKVKESYTEDSLQDPKRKVHKPVKGVLRLEIEKHQTAHAELENLSETGSITNDSIDLGDRVADSAFTKSPSNGFDDPQTSGSKWNIFDGKETSGNISNARENPDFTADDFQAFDFRTTTRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRVELRKDDVDVRRQPLEAMHPREPGTSLQKWAHTQVAAGTRVACYHDEIKLSLPAIWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYAVLPLSTHAQLRSEISLPIMRELVPHYLQEMGKERLDYLEDGKNVFRLRLRLCSSLYPINERIRDFFIEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGSGGETLQVAAFRAMVNILTRVQQESVDDTERNRFLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQARLFYHSLPLGEDVPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLASVLIQELFLTWDHDELSQRSKAARILVVLLCKHEFDARYQKPEDKLYIAQLYFPLVGQILDEMPVFYNLNAVEKREVLIVILQIMRNLDDTSLVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGILMGSSSRSPVGDGPASPKYSDRLSPAINNYLSEASRQEVRPQGKTDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEITEKFSMMAASHSIATDYGKLDCLTAIFTSFFSRNQPLSFWKALFPVFNNVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNESVKKRAVIGLQILVRSAFYYFMQTARLRVMLTITLSELMSDVQVTQMKSDGMLEESGEAKRLRKSLEEVADELKTPDLLRECGVPESALVAVPKKLADNRWSWSEVKYLSDCLILALDASLEHALLGSVMTVDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVISLRKICPMVSSEITAEASAAEVEGYGSSKLTVDSAVKYLQLANRLFSQAELFHFCANILELVIPVHKSRRAYGQLAKCHTMLTDIYESILEQESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDDNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVMKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILAEL >Potri.011G024000.1.v4.1 pep chromosome:Pop_tri_v4:11:1778810:1805325:1 gene:Potri.011G024000.v4.1 transcript:Potri.011G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024000.v4.1 MDNSNSNGSSGGQRFRKIPRHSQSLSHLKLDPLVDENLEQWPHLNELVQCYRTDWVKDENKYGHYESISPVSFQNQIFEGPDTDLETEMHLANSRRNKAEETTDDDIPSTSGRQFVEAAFPDSSNSVVSKHFGESPLPAYEPAFDWDNERSMIFGQRIPETPLPQYDSGLKISVKVLSLSFQAGLAEPFYGTICIYNKERREKLSEDFYFSVVPTDTQDAKISHDPRGIFYLDAPSSSICLLIQLEKPATEEGGVTASVYSRKEPVHLSEREKQKLQVWSRIMPYKESFAWTIVPLFDNSIAATSGGAASPSSPLAPSVSGSSSHDGVFEPVAKITLDGKLGYSSGSSVVVEISNLNKVKESYTEDSLQDPKRKVHKPVKGVLRLEIEKHQTAHAELENLSETGSITNDSIDLGDRVADSAFTKSPSNGFDDPQTSGSKWNIFDGKETSGNISNARENPDFTADDFQAFDFRTTTRNEPFLQLFHCLYVYPLTVSLSRKRNLFIRVELRKDDVDVRRQPLEAMHPREPGTSLQKWAHTQVAAGTRVACYHDEIKLSLPAIWTPSHHLLFTFFHVDLQTKLEAPKPVVIGYAVLPLSTHAQLRSEISLPIMRELVPHYLQEMGKERLDYLEDGKNVFRLRLRLCSSLYPINERIRDFFIEYDRHTLRTSPPWGSELLEAINSLKNVDSTALLQFLHPILNMLLHLIGSGGETLQVAAFRAMVNILTRVQQESVDDTERNRFLVNYVDYAFDDFGGRQPPVYPGLSTVWGSLARSKAKGYRVGPVYDDVLAMAWFFLELIVKSMALEQARLFYHSLPLGEDVPPMQLKEGVFRCIMQLYDCLLTEVHERCKKGLSLAKRLNSSLAFFCYDLLSIIEPRQVFELVSLYLDKFSGVCQSVLHDCKLTFLQIICDHDLFVEMPGRDPSDRNYLASVLIQELFLTWDHDELSQRSKAARILVVLLCKHEFDARYQKPEDKLYIAQLYFPLVGQILDEMPVFYNLNAVEKREVLIVILQIMRNLDDTSLVKAWQQSIARTRLFFKLMEECLVLFEHRKPADGILMGSSSRSPVGDGPASPKYSDRLSPAINNYLSEASRQEVRPQGKTDNGYLWQRVNSQLSSPSQPYSLREALAQAQSSRIGASAQALRESLHPILRQKLELWEENLSAAVSLQVLEITEKFSMMAASHSIATDYGKLDCLTAIFTSFFSRNQPLSFWKALFPVFNNVFDLHGATLMARENDRFLKQVAFHLLRLAVFRNESVKKRAVIGLQILVRSAFYYFMQTARLRVMLTITLSELMSDVQVTQMKSDGMLEESGEAKRLRKSLEEVADELKTPDLLRECGVPESALVAVPKKLADNRWSWSEVKYLSDCLILALDASLEHALLGSVMTVDRYAAAESFYKLAMAFAPVPDLHIMWLLHLCDAHQEMQSWAEAAQCAVAVAGVVMQALVARNDGVWSKDHVISLRKICPMVSSEITAEASAAEVEGYGSSKLTVDSAVKYLQLANRLFSQAELFHFCANILELVIPVHKSRRAYGQLAKCHTMLTDIYESILEQESSPIPFTDATYYRVGFYGERFGKLDRKEYVYREPRDVRLGDIMEKLSHIYESRMDDNHTLHIIPDSRQVKADELQPGVCYLQITAVDPVMEDEDLGSRRERIFSLSTGTVRARVFDRFLFDTPFTKNGKTQGGLEDQWKRRTVLQTEGSFPALVNRLLVMKSESLEFSPVENAIGMIETRTAALRNELEEPRSSEGDQLPRLQSLQRILQGSVAVQVNSGVLSVCTAFLSGEPATRLRSQELQQLIAALLEFMAVCKRAIRVHFRLIGEEDQDFHTQLVNGFQSLTAELSHYIPAILAEL >Potri.003G010499.1.v4.1 pep chromosome:Pop_tri_v4:3:1086585:1087358:-1 gene:Potri.003G010499.v4.1 transcript:Potri.003G010499.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010499.v4.1 MEIFTKKLTPIDFDRGLVLPPCSNLEPLQHFQGTIELSTIVESAAGTSLPDPVTIHCSTIRGSLVFKRGWYDIARYVGLKSGDTVTFYQEVNGGAQFKLKVRNFR >Potri.017G039000.4.v4.1 pep chromosome:Pop_tri_v4:17:2532558:2539523:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.11.v4.1 pep chromosome:Pop_tri_v4:17:2532558:2539586:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.5.v4.1 pep chromosome:Pop_tri_v4:17:2532935:2539518:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.3.v4.1 pep chromosome:Pop_tri_v4:17:2532558:2539523:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSGSRPVVFDLDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.9.v4.1 pep chromosome:Pop_tri_v4:17:2532558:2539523:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSGSRPVVFDLDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.10.v4.1 pep chromosome:Pop_tri_v4:17:2532890:2539523:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSGSRPVVFDLDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.14.v4.1 pep chromosome:Pop_tri_v4:17:2532935:2539523:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.13.v4.1 pep chromosome:Pop_tri_v4:17:2532558:2539524:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.7.v4.1 pep chromosome:Pop_tri_v4:17:2532941:2539586:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSGSRPVVFDLDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.12.v4.1 pep chromosome:Pop_tri_v4:17:2532935:2539586:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.6.v4.1 pep chromosome:Pop_tri_v4:17:2532558:2539586:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSGSRPVVFDLDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.017G039000.8.v4.1 pep chromosome:Pop_tri_v4:17:2532890:2539584:-1 gene:Potri.017G039000.v4.1 transcript:Potri.017G039000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039000.v4.1 MFGGQVIPPSKSPHLRKSGSRPVVFDLDKEEGNVEMEGLLHSTGSNDLKIASLPITTAAIMPSPILLWRFKVLLFFLWGFICCKIGWDSVMRMSVNLRDLFLYEAFLYYNPLLLVTMMVWLWGVNLWVFAQSTISYAKIFDLDQNHLTHREIWKVATWMTIIVPTSMTAYLYLYSHGEVSLAASQPVLLYCAVSLVLIFPFDIFYLSSRYYLLRTLWRIVFPLQAITFADFFVADILTSMSKVFSDLERSVCRMVHRQVATIAWFEADSVCGSHSIGIPIALVLPYIFRLFQCLRQYKDTKEKTTLFNALKYSTAVPVIFLSALKYHVLLDSWTNFYRPLWLLSGVINSLYSFYWDVTRDWDLSCFTRIFKYNKPSLCSYLLHGRKWVYFWVIGSNFILRLAWTYKLSAHLRHNYLTVFTITALEMIRRFQWVFFRVENEWNKMSSKSNLQLSEISSEEDKLLAPNDHNV >Potri.002G229750.1.v4.1 pep chromosome:Pop_tri_v4:2:22015077:22015391:1 gene:Potri.002G229750.v4.1 transcript:Potri.002G229750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229750.v4.1 MGTPGLAGRSIAPSGESMPQLSKYLAGCAAKLTGKCGAEIFLSFSGNNNNPSDSCCQKLVATGIDCHNAFTEFLESKEPQENPSKISLRSLDIWNHCVAVAAQP >Potri.001G418900.1.v4.1 pep chromosome:Pop_tri_v4:1:44686137:44689474:1 gene:Potri.001G418900.v4.1 transcript:Potri.001G418900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418900.v4.1 MEELFVLILSMLLVVGLIPLYLWKRRQDRSQDEPQQQEEPQVPRGETVVRATGTRRMRRRPAAGASSSRNVEATVEDAGAESDDEVVGGAYHEDKASKKEKKRQEREAQRQAEEASRDSRLTKQDRYAEIRRRKEEEREAKERMLEEEAKARQAKEEETAALEFDKWKGEFSVDAEGSTGNDLQDGNQDLLSDFVEYIKKHKCLPLEDLAAEFKLRTQECINRITSLESMGRLSGVMDDRGKYIYISQEEMRAVADYIKRQGRVSISHLASKSNQFIDLEPKAQFVEEISNAEELTVA >Potri.013G008400.4.v4.1 pep chromosome:Pop_tri_v4:13:539422:544456:1 gene:Potri.013G008400.v4.1 transcript:Potri.013G008400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008400.v4.1 MARAPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSQASDMIGEDERSTGKTSAVDFSSSSGMYDHARNFDAINRLSNSFAIDESTVDATTEKELGNEYFKQKKYKEAIECYSRSIALSPTAVAYANRAMAYLKIKRFPEAEDDCTEALNLDDRYIKAYSRRATTRKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASESLRSSLQGAQKGGRSEASVNGHAVHPVSNVTQRTGASASQKDKAKENDGDGVVKKYVYVEEIKNKSTGAGSRSDGQLGNDSRANAIPSSNAESIQRNNRTGRQELKASVKELASQAASRAMAEAAKNITPPNSAYQFEVSWRGFSGDRALQAHLLKVVTPSALPQIFKNALSVTILIDIIKCVSSFFIDDMDLAVKYLENLTEVPRFDILIMCLPSTDKADLLKMWDEVFCSEATPIKYAEILDKMRSRYCPKC >Potri.013G008400.7.v4.1 pep chromosome:Pop_tri_v4:13:539474:544456:1 gene:Potri.013G008400.v4.1 transcript:Potri.013G008400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008400.v4.1 MARAPGKHGRDQALDWELLKDTDKKMKKKSQASDMIGEDERSTGKTSAVDFSSSSGMYDHARNFDAINRLSNSFAIDESTVDATTEKELGNEYFKQKKYKEAIECYSRSIALSPTAVAYANRAMAYLKIKRFPEAEDDCTEALNLDDRYIKAYSRRATTRKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASESLRSSLQGAQKGGRSEASVNGHAVHPVSNVTQRTGASASQKDKAKENDGDGVVKKYVYVEEIKNKSTGAGSRSDGQLGNDSRANAIPSSNAESIQRNNRTGRQELKASVKELASQAASRAMAEAAKNITPPNSAYQFEVSWRGFSGDRALQAHLLKVVTPSALPQIFKNALSVTILIDIIKCVSSFFIDDMDLAVKYLENLTEVPRFDILIMCLPSTDKADLLKMWDEVFCSEATPIKYAEILDKMRSRYCPKC >Potri.013G008400.3.v4.1 pep chromosome:Pop_tri_v4:13:539422:544456:1 gene:Potri.013G008400.v4.1 transcript:Potri.013G008400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008400.v4.1 MARAPGKHGRDQALDWELLKDTDKKMKKKSQASDMKIGEDERSTGKTSAVDFSSSSGMYDHARNFDAINRLSNSFAIDESTVDATTEKELGNEYFKQKKYKEAIECYSRSIALSPTAVAYANRAMAYLKIKRFPEAEDDCTEALNLDDRYIKAYSRRATTRKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASESLRSSLQGAQKGGRSEASVNGHAVHPVSNVTQRTGASASQKDKAKENDGDGVVKKYVYVEEIKNKSTGAGSRSDGQLGNDSRANAIPSSNAESIQRNNRTGRQELKASVKELASQAASRAMAEAAKNITPPNSAYQFEVSWRGFSGDRALQAHLLKVVTPSALPQIFKNALSVTILIDIIKCVSSFFIDDMDLAVKYLENLTEVPRFDILIMCLPSTDKADLLKMWDEVFCSEATPIKYAEILDKMRSRYCPKC >Potri.013G008400.2.v4.1 pep chromosome:Pop_tri_v4:13:539422:544456:1 gene:Potri.013G008400.v4.1 transcript:Potri.013G008400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008400.v4.1 MARAPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSQASDMKIGEDERSTGKTSAVDFSSSSGMYDHARNFDAINRLSNSFAIDESTVDATTEKELGNEYFKQKKYKEAIECYSRSIALSPTAVAYANRAMAYLKIKRFPEAEDDCTEALNLDDRYIKAYSRRATTRKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASESLRSSLQGAQKGGRSEASVNGHAVHPVSNVTQRTGASASQKDKAKENDGDGVVKKYVYVEEIKNKSTGAGSRSDGQLGNDSRANAIPSSNAESIQRNNRTGRQELKASVKELASQAASRAMAEAAKNITPPNSAYQFEVSWRGFSGDRALQAHLLKVVTPSALPQIFKNALSVTILIDIIKCVSSFFIDDMDLAVKYLENLTEVPRFDILIMCLPSTDKADLLKMWDEVFCSEATPIKYAEILDKMRSRYCPKC >Potri.011G120100.1.v4.1 pep chromosome:Pop_tri_v4:11:15100454:15104221:1 gene:Potri.011G120100.v4.1 transcript:Potri.011G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120100.v4.1 MSMCSSCSSGSSSTRSWIVHGIVAGAAIAAAIGAGAYLVRYRKFRSQVVGIIPARYASSRFEGKPLVNILGKPMIQRTWERAKLATTLDHIVVATDDEKIAECCRGFGADVVMTSESCRNGTERCNEALQKLDKKYDVVVNIQGDEPLIEPEIIDGIVKSLQAAPDAVFSTAVTSLKPEDAFDPNRVKCVVDNQGYAIYFSRGLIPYNKSGKVNPQFPYLLHLGIQSYDAKFLKIYPELQPTPLQLEEDLEQLKVLENGYKMKVIKVDHEAHGVDIPEDVEKIETLMREQNLS >Potri.012G132300.1.v4.1 pep chromosome:Pop_tri_v4:12:14707976:14712242:1 gene:Potri.012G132300.v4.1 transcript:Potri.012G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132300.v4.1 MGENKGETTKKQQQQQLSSSTSPSPKGPIEESTELRSLHHQQTTSAVVTGAPFIPAPLFVPIGATSSPFEQHFETVNPKRARYSSAQWKLLPSASQQQQPPTQAQMATVVSSEATPSLITNPTQQKQPRTTAEASSSDTNSSPLRSPVPSLSAASAQETSKPEGEPHQHQFRKGKYVSPVWKPNEMLWLARAWRFQYQGGSDLTSRVEQLPETTTGQVTSGDTAIAVQSTRGKTRADKDREVAEFLNRHDVHRDAKTAGTKWDNMLGEFRKVYEWERGDKREQMGKSYFRLSPYERKAHRLPASFDEEVFEELSQFMGPKMRTQSRGPSLSGSGDDSSRGTSLAAARALPPPPPFKEDDLSLSGRTRQLVLTSGGEAYFHGTRGSLLGFDTAVDAAAGSSSSTKELRRIGKVRMTWEESVSLWGEEGEHHRGRVRLQGSSFLNADELTFFDDSMVACTMEAFEDGALKGFSVDKFVSGQQIKVFGRRKPSPSASVTPSGFTERVQLPLTEPSIRLPPWEFQDPTEYYVGCLRVPPTTLPSLFELSWYLQEPPPEELRFPLRRDVYRDLPQGKELFFTISNDPLDCRGITYDILSSIIRTNPSISGATSSSRDSFIGVWDDCINRIVSKFCSVEVVIVRKQPSSPMVDTLQDEWPNVTGFVRNFCLWRGEETDQLREGQVDPSSSIIEKLLWTYLDLPYILGYYAVGYSVTFCALCRSQDRIIRTDLYSLDLSSPVERLKALVPCYRVAGLLPLLADRCFNNFNNGGTYKQLAFSDFERVDMGNGNIMEMTPNTVTRLFSSRRKWAAVKEIYDCLDHRIPHAEFIYRSSEKDLALVFKPRGLKFKPNNCEQLVEALKYVTQALVALHDLSFMHRDLSWDKVMRRSDSENEWFLSGFDDAVGAPQLNPVHGIGEARGRHAPEMGRGMHGVKVDVWGVGHLVRTCGLGPNGVPKILRELQNRCLDQNPEQRPTAADCYHHLLQVQSSLQSSSSGVPY >Potri.001G171900.5.v4.1 pep chromosome:Pop_tri_v4:1:14768868:14774181:-1 gene:Potri.001G171900.v4.1 transcript:Potri.001G171900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171900.v4.1 MNNLRFGSCPKGTTTIRVLICACFVCVQGFDSLLQLPQSAPARIRPSSKRVLVVGDFGAKGDGLSNDTQAFKEAWEMACSFRGRTRIVIPAGYNFLVHPVDFGGPCKSKVTLDISGTIVAPEDPAAWKGLNHRKWLYFHGVKHLTVEGGGTVNGRGWLWWAQSCKINKTNPCRNAPTAITFHKCKDLKVENLKVVCGQKMHVAFTNCLRVMTFNLIVTSPAVSPNTDGIHISASHGVKIKDSVVRTGDDCISIVSNSSRIKIRNIACGPGHGISIGSLGKSNSSSLVRDVMVDGAFLSNTDNGVRIKTWQGGGGNATNITFQNIFMENVSNPIIIDQYYCDAHVPCANQTSAVKVENISFRRIKGTSATEEAIKFACSDDLPCKGLYLEDVQLLSLTGGTTRSFCWQAYGSSRGLVHPSPCFSCSEGFIKEKVPSHLLQFF >Potri.001G171900.3.v4.1 pep chromosome:Pop_tri_v4:1:14768867:14774103:-1 gene:Potri.001G171900.v4.1 transcript:Potri.001G171900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171900.v4.1 MNNLRFGSCPKGTTTIRVLICACFVCVQGFDSLLQLPQSAPARIRPSSKRVLVVGDFGAKGDGLSNDTQAFKEAWEMACSFRGRTRIVIPAGYNFLVHPVDFGGPCKSKVTLDISGTIVAPEDPAAWKGLNHRKWLYFHGVKHLTVEGGGTVNGRGWLWWAQSCKINKTNAITFHKCKDLKVENLKVVCGQKMHVAFTNCLRVMTFNLIVTSPAVSPNTDGIHISASHGVKIKDSVVRTGDDCISIVSNSSRIKIRNIACGPGHGISIGSLGKSNSSSLVRDVMVDGAFLSNTDNGVRIKTWQGGGGNATNITFQNIFMENVSNPIIIDQYYCDAHVPCANQTSAVKVENISFRRIKGTSATEEAIKFACSDDLPCKGLYLEDVQLLSLTGGTTRSFCWQAYGSSRGLVHPSPCFSCSEGFIKEKVPSHLLQFF >Potri.004G054400.2.v4.1 pep chromosome:Pop_tri_v4:4:4426049:4428772:1 gene:Potri.004G054400.v4.1 transcript:Potri.004G054400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054400.v4.1 MKMLITLILSFCLIFGFNVDIVSTTPLQYDSIFNFGDSLSDTGNFLLSGAMAFPVIAKLPYGETFFRHATGRCSDGRLVVDFISEASGLPHLPPYLALGKDQLHSFHGVNFAVAGATALDAKFFYDQRIGKIMWTNDSLSVQLGWFKQLKSSLCTSKQECDNYFKKSLFLVGEIGGNDYNYAYFAGGSIKQLRASVPLVVEALAKATSFLIEEGAVELLVPGNLPIGCSAVYLTLFGSPNRTDYDRNGCLKAYNAFSKYHNNQLKTALQMLRQKYPHARIIYADYYGAAKRFYHAPQHHGFTGGTLTACCGGGGPYNFNNSARCGHIGSRTCSNPSSHANWDGIHLTEAAYRYIAMGLVSGSFTTPPL >Potri.014G095100.1.v4.1 pep chromosome:Pop_tri_v4:14:6172512:6172751:-1 gene:Potri.014G095100.v4.1 transcript:Potri.014G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095100.v4.1 MESVARPTSNIGDGPNGQHTKERCGSFQMPLHYPRHTRAEYETMPEWKLDCLLREYGLPITGDVEQKRKYAMGAFLWSR >Potri.004G158500.1.v4.1 pep chromosome:Pop_tri_v4:4:17926803:17927497:1 gene:Potri.004G158500.v4.1 transcript:Potri.004G158500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158500.v4.1 MAATIRDEQGNPIQLTDEYGNPVQLTDEHGNPVQITGIATTKQPPTLGNVSSDQVPGTGLLSSTAMSEDATKGTDILETGQHGGFAADQGGHKKEEQEEISSTSSSGTSEDDGRGGRKGLKEKIKEKLTCGKH >Potri.010G150000.2.v4.1 pep chromosome:Pop_tri_v4:10:16040865:16055701:1 gene:Potri.010G150000.v4.1 transcript:Potri.010G150000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150000.v4.1 MFFNGDPSTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWVKQQNAAALKIQKWFRGRKAVEAEQSTVREQFFGTYGKYCQNVDRHCFSPDSEFLRQLLFFFNAQNSDDFTILVETCRLLLQNVRDSGDIVSLFAGVDYSTKHGLVDYRVKQLAFTCIWAIYQNRKQLKDQLVMVPRDSSLTATLLLEAVVLLIDPKLPWACKVVGYLLQRNAFALFREIVLTGKENTKSDNSIRNASPLERILALLISHIGQHPCICPNINPQWSFSSQMLTIPLLWRLFPSLKEVFATRGLSQHYIHQMAQCVRNAYVLPNDVSVECPGYACLLGNTVETAGAALSHADCSFEMAMDLAAVTTFLLEALPPIKSSSPEIRQSSTMDEDDMALPDEMEIVLNKDLEQQIAHAMHSRFLLQLTSVLFREVSMVSGSNHGLDDKEVAAIGAVCAFLHVAFNTLPVDRMMTVLAFRTELVRVLWNFMKQCHENKKWPSLPEQLSYLPGDVPGWLLPLAVFCPVYKYMLMLVGNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVNPTAHSNSVKLVKNTSAYNGNPVESIKQRVSLVASELLSQLQDWNNRRQFAPPSDFHADGVDDSFISQAIIDGTKANDIMNRAPFLVPFTSRVKIFNSQLLAIRQRQGSHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVSFINEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSDHLLYPNPGSGMTHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKRYQGDISDLELYFVIVNNEYGEQTEEELLPGGRNQRVTNDNVIPFTHLVSNYRLNYQIRLQSSHFMRGFQQLIKKEWIDMFNEHELQLLISGSLDSLDIDDLRSHTNYAGGYHSEHYVIEMFWEVMKGFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAGGTASEEALDRLPTSATCMNLLKLPPYRSKEQLATKLLYSINADAGFDLS >Potri.010G150000.6.v4.1 pep chromosome:Pop_tri_v4:10:16040905:16055678:1 gene:Potri.010G150000.v4.1 transcript:Potri.010G150000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150000.v4.1 MFFNGDPSTRKRVDLGGRSSKERDRQKLLEQTRLERNRRLWVKQQNAAALKIQKWFRGRKAVEAEQSTVREQFFGTYGKYCQNVDRHCFSPDSEFLRQLLFFFNAQNSDDFTILVETCRLLLQNVRDSGDIVSLFAGVDYSTKHGLVDYRVKQLAFTCIWAIYQNRKQLKDQLVMVPRDSSLTATLLLEAVVLLIDPKLPWACKVVGYLLQRNAFALFREIVLTGKENTKSDNSIRNASPLERILALLISHIGQHPCICPNINPQWSFSSQMLTIPLLWRLFPSLKEVFATRGLSQHYIHQMAQCVRNAYVLPNDVSVECPGYACLLGNTVETAGAALSHADCSFEMAMDLAAVTTFLLEALPPIKSSSPEIRQSSTMDEDDMALPDEMEIVLNKDLEQQIAHAMHSRFLLQLTSVLFREVSMVSGSNHGLDDKEVAAIGAVCAFLHVAFNTLPVDRMMTVLAFRTELVRVLWNFMKQCHENKKWPSLPEQLSYLPGDVPGWLLPLAVFCPVYKYMLMLVGNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVNPTAHSNSVKLVKNTSAYNGNPVESIKQRVSLVASELLSQLQDWNNRRQFAPPSDFHADGVDDSFISQAIIDGTKANDIMNRAPFLVPFTSRVKIFNSQLLAIRQRQGSHGVFTRNRFRIRRDHILEDAYNQMSALSEEDLRGLIRVSFINEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSDHLLYPNPGSGMTHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKQKYNYLNDLPSLDPELYRHLIFLKRYQGDISDLELYFVIVNNEYGEQTEEELLPGGRNQRVTNDNVIPFTHLVSNYRLNYQIRLQSSHFMRGFQQLIKKEWIDMFNEHELQLLISGSLDSLDIDDLRSHTNYAGGYHSEHYVIEMFWEVMKGFSLENQKKFLKFVTGCSRGPLLGFKYLEPLFCIQRAGGTASEEALDRLPTSATCMNLLKLPPYRSKEQLATKLLYSINADAGFDLS >Potri.012G040100.1.v4.1 pep chromosome:Pop_tri_v4:12:3552896:3554317:1 gene:Potri.012G040100.v4.1 transcript:Potri.012G040100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G040100.v4.1 MGSRAIAISTLVLRIFTLLALVACVVLFITNTFRYAMFDAGSKVTFKDLTTYRFVLSTAVIGAAYTLLQLPFALYYALTEKRLIKVDILPELDLYGDKIIAFLLASGVGAGFAVSVEIKSLLKDLFDAFALAGFQDTEDSKALYDNFINKGIIATSALAFGFVCMALVSVLSSVNRTKTTKGFFG >Potri.001G213800.8.v4.1 pep chromosome:Pop_tri_v4:1:22084706:22086054:1 gene:Potri.001G213800.v4.1 transcript:Potri.001G213800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213800.v4.1 MCWQPISLKDPMSQELLEAVEQERSFRLNPSRNATIFHHPALGDFELQHLPVGANDAELEERLIQHLTAAAAMGRRRIARREGQRNRSSAQGRPQFLVLSSQPNGSPAGSISSSPTQREGEPVSAITIATPSSQPLESSLQLITPLSSEALADPCSASASGSSFTNQHANSMDNRSPNQSSPNSQDRAGPSDLQSFSESIKSKFNAVSMRYKESISKSTRGWKERFFTRNTTMADLGSEVRREVNAGIATVSRMMESLETRDDSRTGTSSVSNSVDGSVAESNSQQPEVGVVNATSDPNTKVQASHAASSGSV >Potri.001G213800.7.v4.1 pep chromosome:Pop_tri_v4:1:22083434:22090188:1 gene:Potri.001G213800.v4.1 transcript:Potri.001G213800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213800.v4.1 MEGIEERKKKSEAHLTVSAAAFVEGGIQEACDDACSICLENFCDSDPSTVTSCKHEFHLQCILEWCQRSSQCPMCWQPISLKDPMSQELLEAVEQERSFRLNPSRNATIFHHPALGDFELQHLPVGANDAELEERLIQHLTAAAAMGRRRIARREGQRNRSSAQGRPQFLVLSSQPNGSPAGSISSSPTQREGEPVSAITIATPSSQPLESSLQLITPLSSEALADPCSASASGSSFTNQHANSMDNRSPNQSSPNSQDRAGPSDLQSFSESIKSKFNAVSMRYKESISKSTRGWKERFFTRNTTMADLGSEVRREVNAGIATVSRMMESLETRDDSRTGTSSVSNSVDGSVAESNSQQPEVGVVNATSDPNTKVQASHAASSGSV >Potri.012G131500.1.v4.1 pep chromosome:Pop_tri_v4:12:14627794:14631107:1 gene:Potri.012G131500.v4.1 transcript:Potri.012G131500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131500.v4.1 MFEIPVKWLVFILTIYLPFNIVVSMNNPLTRKTYIVQMDRSAKPEYFTSHLEWYSSKVQSVLSKPEIEGNADEEDRIIYSYETAFHGVAAKLNEEEAERLEEADGVVAIFPETKYQLHTTRSPMFLGLEPEDTTSVWSEKLAGHDVIVGVLDTGIWPESESFNDTGMTPVPTHWKGMCETGRGFQKHHCNKKIVGARVFYRGYEAVTGKINGQNEYKSPRDQDGHGTHTAATVAGSPVRGANLLGYAHGIARGMAPGARIAVYKVCWAGGCFSSDILSAVDRAVADGVNVLSISLGGGVSSYYRDSLSIAAFGSMEMGVFVSCSAGNAGPEPASLTNVSPWITTVGASTMDRDFPATARLGTGRTIYGVSLYKGRRTLSTRKQYPLVYMGGNSSSLDPSSLCLEGTLNPRVVAGKIVICERGISPRVQKGQVAKQAGAVGMILANTAANGEELVADCHLLPAVAVGEKEGKLIKSYALTSRNATATLAFRGTSLGIRPSPVVAAFSSRGPNLLTLEILKPDIVAPGVNILAAWTGDLGPSSLPTDHRRSKFNILSGTSMSCPHVSGIAALLKARHPEWSPAAIKSALMTTAYVHDNTHHPLKDASTATPSTPFDHGAGHINPMKAQDPGLIYDLEPQDYFDFLCTQKLTPTQLKVFGKYANRSCRHSLANPGDLNYPSISAIFPDDTSIKVLTLHRTVTNVGLPTSTYHVVVSPFKGATVKVEPEILNFTRKNQKLSYKIIFTTKTRKTMPEFGGLVWKDGAHKVRSPIAITWLTPLT >Potri.T002409.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:255165:256012:-1 gene:Potri.T002409.v4.1 transcript:Potri.T002409.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002409.v4.1 MIFKPTNTYQHVRGPFIRLGANVPARAESVMRTTEMDQCMGGGSNNSTPSHNGNEIFDVVLHFGIIDILQDYDISKKLEHA >Potri.002G178100.1.v4.1 pep chromosome:Pop_tri_v4:2:13877176:13878300:1 gene:Potri.002G178100.v4.1 transcript:Potri.002G178100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178100.v4.1 MWWATSPVQPRPFFPLLPGPAKSVKTLTFSAKAQPSSHQPSPTSKHQQQQGEGRRAKQFSGFDVLWAMQRATAEKNKVSGGGGGKKNNKTRKGFVSGGIQREENSVEYSNVKPLCIKNDWDVRLDELEKRLQELSDTN >Potri.013G115500.2.v4.1 pep chromosome:Pop_tri_v4:13:12402364:12406871:1 gene:Potri.013G115500.v4.1 transcript:Potri.013G115500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115500.v4.1 MQFLFRSLPKPRHQGHRRSLVDFAAIKHVRDRGLDHAVEREKHLKPVLSIKNLIKSEPSRSLPISIVTQQKDFLKIPIRPIELIRRYPSVFQEFLPGGIGIHPHVKLTQQVLDLDIEEQLVYQSESYKNDVANRLLKLLMISRIDKIPLKLLDFLLWDLGLPQDCVKSLVPEFPDYFRVLGDKNLSPWSGSDLGLELVCWSDELAVSVMEKRAKSRETGYVKGMPIEFPMQFSKGFEIDKRLKQWIGDWQKLPYVSPYENAMHLGPNTDESDRWAVGVLHEVLNLSVSKKVEKDTLLCLGEWLGIRSRFKRALLHHPGIFYLSNKIGTYTVVLKEGYKRGLLVEKNPVVDIRNQYIHLMHTVVEERKSITVHGGSQQQEKKTDGKSEGQGEKQDANDCVEVSDAGSDFEDDSEDGYEYNEEEGGESRKNAHRNAPTNRGRADRNKNLDVRGNLKYARRERGVEKHHGKTRDKVVQNDDYKYNDDEEEGESRTHARTKTPRGRADENKNLVARGRSRNVRREREVERHHGKTRDKVVSEDDYKYNDDEEEGEIRTHARTKSPRGRADENKNLDVRGRSRNVRREREGEIRTHARTKSSRGRADENKNLDVRGRSRNVRRERVVEKHHGKTRDKVVSKDDYMYNDDDGEEGEGRRHAHRNAPRSRGRGDKKTTLDSREHARNAGRDRGIEKRHFKTKDKFRPKDSIRKEMSRGYNFHERSQE >Potri.013G115500.3.v4.1 pep chromosome:Pop_tri_v4:13:12402309:12406942:1 gene:Potri.013G115500.v4.1 transcript:Potri.013G115500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115500.v4.1 MQFLFRSLPKPRHQGHRRSLVDFAAIKHVRDRGLDHAVEREKHLKPVLSIKNLIKSEPSRSLPISIVTQQKDFLKIPIRPIELIRRYPSVFQEFLPGGIGIHPHVKLTQQVLDLDIEEQLVYQSESYKNDVANRLLKLLMISRIDKIPLKLLDFLLWDLGLPQDCVKSLVPEFPDYFRVLGDKNLSPWSGSDLGLELVCWSDELAVSVMEKRAKSRETGYVKGMPIEFPMQFSKGFEIDKRLKQWIGDWQKLPYVSPYENAMHLGPNTDESDRWAVGVLHEVLNLSVSKKVEKDTLLCLGEWLGIRSRFKRALLHHPGIFYLSNKIGTYTVVLKEGYKRGLLVEKNPVVDIRNQYIHLMHTVVEERKSITVHGGSQQQEKKTDGKSEGQGEKQDANDCVEVSDAGSDFEDDSEDGYEYNEEEGGESRKNAHRNAPTNRGRADRNKNLDVRGNLKYARRERGVEKHHGKTRDKVVQNDDYKYNDDEEEGESRTHARTKTPRGRADENKNLVARGRSRNVRREREVERHHGKTRDKVVSEDDYKYNDDEEEGEIRTHARTKSPRGRADENKNLDVRGRSRNVRREREGEIRTHARTKSSRGRADENKNLDVRGRSRNVRRERVVEKHHGKTRDKVVSKDDYMYNDDDGEEGEGRRHAHRNAPRSRGRGDKKTTLDSREHARNAGRDRGIEKRHFKTKDKFRPKDSIRKEMSRGYNFHERSQE >Potri.008G107700.4.v4.1 pep chromosome:Pop_tri_v4:8:6811830:6812479:-1 gene:Potri.008G107700.v4.1 transcript:Potri.008G107700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107700.v4.1 MAKSKNHTAHNQSHKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKCGDSATEEE >Potri.008G107700.5.v4.1 pep chromosome:Pop_tri_v4:8:6812018:6812284:-1 gene:Potri.008G107700.v4.1 transcript:Potri.008G107700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107700.v4.1 MAKSKNHTAHNQSHKAHKNGIKKPKRHRHTSTKGMDPKFLRNQRYARKHNKKCGDSATEEE >Potri.017G088600.1.v4.1 pep chromosome:Pop_tri_v4:17:10161489:10163898:-1 gene:Potri.017G088600.v4.1 transcript:Potri.017G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088600.v4.1 MERGSGFGSPMMVALAVLVFAMVVMVPEVSATRWTVGSNMGWTSNVNYTIWAQGKHFYNGDWLFFVYDRNQMNILEVNKTDYESCNSDHPLHNWTRGAGRDVVPLNVTRNYYFISGKGFCYGGMKLAVHVENPPPPPTASPLDEKSGSPSSIFRSQYVLPTVFAIGALWDAFVRFW >Potri.017G091900.3.v4.1 pep chromosome:Pop_tri_v4:17:10449525:10453297:-1 gene:Potri.017G091900.v4.1 transcript:Potri.017G091900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091900.v4.1 MIHKRAFSDDNLYEFACKHPRQMEHIDQLAPIFPLDSGHQKHLVSGDDSFRKCQEVGRSASDLFSECSNGTSKGFETGDNGCFPHFLWIGNGILEADNLSFFPEYFDHGHQLRALLEPEEACSSLDYPFQKQVSVGPEHQAYVPEWDSQGSSTSLNQLDDSNLQVACAHSSSPGVIIDGGYEENLMGTCILPMPDLEASANYCYEATNHDCACPDAGSIRCIKQHVSEARLKLRENLGEEIFEGLGFCDMGEVVANKWTDEEEQAFHEVVLSNPVSLGKNFWDHLSATFPSRTKKELVSYYFNVFMLQKRAEQNRFDPLNIDSDDDEWQKIEGETVEEDEDSVVESLTVQDSSAYCQEDHAEKFNEYVEDEDEAVASKDGVDNVVRGIATDAEYEGDVDDFSGAHVGIYHGCVGDLGPKHFNGIAGTNTDDCDVQDDSCTSYEHHRDSIDCYGPLDMGTDGRHSSQE >Potri.017G091900.4.v4.1 pep chromosome:Pop_tri_v4:17:10449453:10453360:-1 gene:Potri.017G091900.v4.1 transcript:Potri.017G091900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091900.v4.1 MIHKRAFSDDNLYEFACKHPRQMEHIDQLAPIFPLDSGHQKHLVSGDDSFRKCQEVGRSASDLFSECSNGTSKGFETGDNGCFPHFLWIGNGILEADNLSFFPEYFDHGHQLRALLEPEEACSSLDYPFQKQVSVGPEHQAYVPEWDSQGSSTSLNQLDDSNLQVACAHSSSPGVIIDGGYEENLMGTCILPMPDLEASANYCYEATNHDCACPDAGSIRCIKQHVSEARLKLRENLGEEIFEGLGFCDMGEVVANKWTDEEEQAFHEVVLSNPVSLGKNFWDHLSATFPSRTKKELVSYYFNVFMLQKRAEQNRFDPLNIDSDDDEWQKIEGETVEEDEDSVVESLTVQDSSAYCQEDHAEKFNEYVEDEDEAVASKDGVDNVVRGIATDAEYEGDVDDFSGAHVGIYHGCVGDLGPKHFNGIAGTNTDDCDVQDDSCTSYEHHRDSIDCYGPLDMGTDGRHSSQE >Potri.017G091900.5.v4.1 pep chromosome:Pop_tri_v4:17:10449506:10453239:-1 gene:Potri.017G091900.v4.1 transcript:Potri.017G091900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091900.v4.1 MEHIDQLAPIFPLDSGHQKHLVSGDDSFRKCQEVGRSASDLFSECSNGTSKGFETGDNGCFPHFLWIGNGILEADNLSFFPEYFDHGHQLRALLEPEEACSSLDYPFQKQVSVGPEHQAYVPEWDSQGSSTSLNQLDDSNLQVACAHSSSPGVIIDGGYEENLMGTCILPMPDLEASANYCYEATNHDCACPDAGSIRCIKQHVSEARLKLRENLGEEIFEGLGFCDMGEVVANKWTDEEEQAFHEVVLSNPVSLGKNFWDHLSATFPSRTKKELVSYYFNVFMLQKRAEQNRFDPLNIDSDDDEWQKIEGETVEEDEDSVVESLTVQDSSAYCQEDHAEKFNEYVEDEDEAVASKDGVDNVVRGIATDAEYEGDVDDFSGAHVGIYHGCVGDLGPKHFNGIAGTNTDDCDVQDDSCTSYEHHRDSIDCYGPLDMGTDGRHSSQE >Potri.013G084650.1.v4.1 pep chromosome:Pop_tri_v4:13:7658074:7659015:-1 gene:Potri.013G084650.v4.1 transcript:Potri.013G084650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084650.v4.1 MENGARVIKGFILSVPESVSRLWHRAGQLESQQLPPPTMNEEEELVKMFHAKQEMEWQKTLISICFTSGLEIALHFHHITHSKPDSLHLLSILVAILFSCLFVSHFINPTKFPRTSKVLGKVAVFLAATVFFITIHCLLLQSAISATRHELYMAYMFFFSVSVCICSSLHSICMLEGVEIQCNHGVIYNHVVAAVILVKPKPQCFAPASTPANKPLELGLAIAIRRRWKMLSYRPRRCWILGFTNVP >Potri.010G170300.1.v4.1 pep chromosome:Pop_tri_v4:10:17208220:17214033:-1 gene:Potri.010G170300.v4.1 transcript:Potri.010G170300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170300.v4.1 MTLQSSSSSTNFNSYKILPLLCPCKSSNQTNYHPPLPFSKRRRKKLITNFTQQNLRRRFLTFHACVIPNDTRNRNVNIELSKGTKGFVLKRISNELETEELSQEHSISNFTGFQEDPIVGKLRTQLGVIHPIPSPPINRNIVGLFVFFFFVGVVFDKAWNSRKKDKSNEEGKRGEAWPQVPTSFSLFLEKDLQRKESVEWVNMVLGKLWKVYRGGIENWLIGLLQPVIDNLKKPDYVERVEIKQFSLGDEPLSVRNVERRTSRRVNDLQYQIGLRYTGGARMLLMLSLKFGIIPIVVPVGVRDFDIDGELWVKLRLIPTEPWVGAVSWAFVSLPKIKFELSPFRLFNLMAIPVLSMFLKKLLTEDLPRLFVRPKKIVLDFQKGKAVGPVANESGEMQEGNRDFVGELSVTLVDARKLSYVFLGKTDPYVILNLGDQIMRSKKNSQTTVIGPPGEPIWNQDFHMLVTNPRKQKLNIQVKDSLGFTGLTIGTGEVDLGSLQDTVPTDKIVVLRGGWGLFRKASSGEILLRLTYKAYVEDEDDDKNEVEHVDTGASDDEMSDSDESNAIYEPSRRGSSNEMDKESFMDVLAALIVSEEFQGIVASETGNNKLSNDASGAGSAGSRSHTLNAESMPSDSNNSSEGSAGSILVWFAVITSILVLIAVTLDGSSFFNP >Potri.008G028800.3.v4.1 pep chromosome:Pop_tri_v4:8:1512812:1518823:-1 gene:Potri.008G028800.v4.1 transcript:Potri.008G028800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028800.v4.1 MDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDNYSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVVDALEYIHSMGLIHRDIKPENLLFTADGHVKIADFGSVKPMQDSCITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDLRFPDYFSEEARDLIDHLLDIDPSRRPGAGRGGYAVLKNHPFFEGVDWKNLRGETPPKLVLEPMAQSGDNDHDPGSPFNPTHIGDSSMTQNDANVGVSSSAEATSHITRLASIDSFDSKWQQFLDPGESVVMISMVKKLQKLTSKKVQLILTNKPKLIYVDPSKLIVKGNIIWSDNSDDLSVQVTSPSHFKICTPKKVRSFEDVKQRAWQWKKAIESLQNQ >Potri.008G028800.1.v4.1 pep chromosome:Pop_tri_v4:8:1512776:1519172:-1 gene:Potri.008G028800.v4.1 transcript:Potri.008G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028800.v4.1 MLEMERDPDSKSRIQNSNSPSSSSNNNNGNVQRSKSFAFRAPQENFTIQDFELGKIYGVGSYSKVVRAKKKDTGIVYALKIMDKKFITKENKTAYVKLERIVLDQLDHPGIVRLFFTFQDNYSLYMALESCEGGELFDQITRKGRLSEDEARFYAAEVVDALEYIHSMGLIHRDIKPENLLFTADGHVKIADFGSVKPMQDSCITVLPNAASDDKACTFVGTAAYVPPEVLNSSPATFGNDLWALGCTLYQMLSGTSPFKDASEWLIFQRIIARDLRFPDYFSEEARDLIDHLLDIDPSRRPGAGRGGYAVLKNHPFFEGVDWKNLRGETPPKLVLEPMAQSGDNDHDPGSPFNPTHIGDSSMTQNDANVGVSSSAEATSHITRLASIDSFDSKWQQFLDPGESVVMISMVKKLQKLTSKKVQLILTNKPKLIYVDPSKLIVKGNIIWSDNSDDLSVQVTSPSHFKICTPKKVRSFEDVKQRAWQWKKAIESLQNQ >Potri.001G427901.1.v4.1 pep chromosome:Pop_tri_v4:1:45574183:45575140:1 gene:Potri.001G427901.v4.1 transcript:Potri.001G427901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G427901.v4.1 MLYVKKMKAKTERDDELVRWWSCHFRLLASCFLLFLSAFGFLWCWCLLKMEVGKDILLSCYCCCLLLQEEGSGYCAVLAYCCSKWLRNCNW >Potri.007G096500.1.v4.1 pep chromosome:Pop_tri_v4:7:12121939:12127611:-1 gene:Potri.007G096500.v4.1 transcript:Potri.007G096500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096500.v4.1 MSSAVEATSQENHEESSNERLDLIHHLDPTATDMLIPNEVLLKAAISLKDQVVEATWKRDSNMGAGIDPTVYTGLLGTAFTCLRSFEVTGNEQDLLLCSNIVDTCSVSAHASSRQMTLTFLCGKGGLYALGAVAANYKGDHQGRDFFLNLFLEVAQERALPVGPEEGGFGMSYELMYGRAGFLWAALFINKHLGEGTLPSDLLLPVVDAVLAGGRAGASDNAACPLMYRWHGTRYWGAANGLAGILQVLLNFPLSKEDAEDVKATLRYMMSNRFRHSGNYPSSEGNPRDKLVQWSHGATGMVITLCKASEMFPNDREFRDAAIEAGEVVWKSGLVKKAGLADGVAGNAYAFLSLYRLTGESIYEERAKAFASFLYHNASGLVTIGHARGADHAYSLFQGLAGTACLWFDLLKPESSRFPGYEL >Potri.008G153200.1.v4.1 pep chromosome:Pop_tri_v4:8:10518572:10519294:-1 gene:Potri.008G153200.v4.1 transcript:Potri.008G153200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153200.v4.1 MATLRFTPSPSSILTRQKLPTELSSSELNYKAARSLKTVVRSYKVVIEHEGQSTELKVEPDETILSKALDSGLTVPHDCKLGVCMTCPAKLISGSVDQSEGMLSDDVVERGYALICAAYPTSDCHIRLIPEEELLSLQLATAND >Potri.012G144000.1.v4.1 pep chromosome:Pop_tri_v4:12:15480583:15482563:-1 gene:Potri.012G144000.v4.1 transcript:Potri.012G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144000.v4.1 MAMAPLVKVVLGTFAFAVFLVLAVFPAVPFLPIGRTAGSLLGAILMVIFQVISPSQAYASIDLSILGLLFGTIVVGVYLERADAFMYLSKLLSWKSLGAKDLVCRVCLLSAISSAFFTNDTSCMILTEFVLKVAKQHNLSPRPFLVALASSSNIGSSATPIGNPQNLVVAVNGQIPFLTFFVGIAPAALVGVFVNAVGIICMYRRELSSHLKEEEDANGEVVADDDTSFYRFLPATLPHFPRSSFQERSFMLDMQGSPSIHGNSTYFNTLRYRSGASETDMFRVPSIMLESAGNSSACSKWKPILWKSCVYLVTLGMLIALVMGVNMSWAAITAAVALMVLDFKDAQPCLEKVSYSLLILFCGMFMTIEGFNKTGFPSCLWEFVEPFAQINHASGIAVLAVLIVILSNVVSNVPTVLLLGARMAASAACISPDYVRKSWLILAWVSAVAGNLSLLGSAANMIVCEQASRAPDLGYNLSFWSHLKFGVPSTIIVTAIGLTIIR >Potri.012G144000.5.v4.1 pep chromosome:Pop_tri_v4:12:15480511:15482899:-1 gene:Potri.012G144000.v4.1 transcript:Potri.012G144000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144000.v4.1 MAMAPLVKVVLGTFAFAVFLVLAVFPAVPFLPIGRTAGSLLGAILMVIFQVISPSQAYASIDLSILGLLFGTIVVGVYLERADAFMYLSKLLSWKSLGAKDLVCRVCLLSAISSAFFTNDTSCMILTEFVLKVAKQHNLSPRPFLVALASSSNIGSSATPIGNPQNLVVAVNGQIPFLTFFVGIAPAALVGVFVNAVGIICMYRRELSSHLKEEEDANGEVVADDDTSFYRFLPATLPHFPRSSFQERSFMLDMQGSPSIHGNSTYFNTLRYRSGASETDMFRVPSIMLESAGNSSACSKWKPILWKSCVYLVTLGMLIALVMGVNMSWAAITAAVALMVLDFKDAQPCLEKVSYSLLILFCGMFMTIEGFNKTGFPSCLWEFVEPFAQINHASGIAVLAVLIVILSNVVSNVPTVLLLGARMAASAACISPDYVRKSWLILAWVSAVAGNLSLLGSAANMIVCEQASRAPDLGYNLSFWSHLKFGVPSTIIVTAIGLTIIR >Potri.001G470200.6.v4.1 pep chromosome:Pop_tri_v4:1:49382650:49385948:-1 gene:Potri.001G470200.v4.1 transcript:Potri.001G470200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470200.v4.1 MAVKHELENSLLLDDDGRTRRTGTLWSCIAHIITAVIGSGVLSLAWSVAQLGWIAGPVAMLCFAIVTYVSVVLLSDCYRYPDPVTGTRNYSYMDAVRVNLGKTQTCLCGLFQYLFMYGICTAYVITTSTSMSAIRRSNCYHEKGHNAPCEYVYTPYMLIFGAVQIVTSQIPDFHSIEWLSVLAAIMSFAYSLIGFGLGLATVIENGMIKGSITGAPAATRAKKLWLVFEALGDIAYAYPYALILFEIQDTLKSPPPENKTMKKASMIALFLTTLFYLLCGCFGYAAFGNSTPGNLLTGLGFYEPYWLIDFANACIVLHLVGGYQLFSQPVFTFVERWSSKKFPNSGFLNNFYSIKLPLLPSFHINIFRICFRTAYVVSTTVIATVFPYFNQVLGLLGALNFWPLAIYFPVEMYFVQNKIEAWTRKWIVLRTFSFVCFLVSIVGLIGSIEGIVSAKSI >Potri.001G470200.9.v4.1 pep chromosome:Pop_tri_v4:1:49382650:49384993:-1 gene:Potri.001G470200.v4.1 transcript:Potri.001G470200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470200.v4.1 MAVKHELENSLLLDDDGRTRRTGTLWSCIAHIITAVIGSGVLSLAWSVAQLGWIAGPVAMLCFAIVTYVSVVLLSDCYRYPDPVTGTRNYSYMDAVRVNLGKTQTCLCGLFQYLFMYGICTAYVITTSTSMSAIRRSNCYHEKGHNAPCEYVYTPYMLIFGAVQIVTSQIPDFHSIEWLSVLAAIMSFAYSLIGFGLGLATVIENGMIKGSITGAPAATRAKKLWLVFEALGDIAYAYPYALILFEIQDTLKSPPPENKTMKKASMIALFLTTLFYLLCGCFGYAAFGNSTPGNLLTGLGFYEPYWLIDFANACIVLHLVGGYQLFSQPVFTFVERWSSKKFPNSGFLNNFYSIKLPLLPSFHINIFRICFRTAYVVSTTVIATVFPYFNQVLGLLGALNFWPLAIYFPVEMYFVQNKIEAWTRKWIVLRTFSFVCFLVSIVGLIGSIEGIVSAKSI >Potri.001G470200.8.v4.1 pep chromosome:Pop_tri_v4:1:49382650:49385518:-1 gene:Potri.001G470200.v4.1 transcript:Potri.001G470200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470200.v4.1 MAVKHELENSLLLDDDGRTRRTGTLWSCIAHIITAVIGSGVLSLAWSVAQLGWIAGPVAMLCFAIVTYVSVVLLSDCYRYPDPVTGTRNYSYMDAVRVNLGKTQTCLCGLFQYLFMYGICTAYVITTSTSMSAIRRSNCYHEKGHNAPCEYVYTPYMLIFGAVQIVTSQIPDFHSIEWLSVLAAIMSFAYSLIGFGLGLATVIENGMIKGSITGAPAATRAKKLWLVFEALGDIAYAYPYALILFEIQDTLKSPPPENKTMKKASMIALFLTTLFYLLCGCFGYAAFGNSTPGNLLTGLGFYEPYWLIDFANACIVLHLVGGYQLFSQPVFTFVERWSSKKFPNSGFLNNFYSIKLPLLPSFHINIFRICFRTAYVVSTTVIATVFPYFNQVLGLLGALNFWPLAIYFPVEMYFVQNKIEAWTRKWIVLRTFSFVCFLVSIVGLIGSIEGIVSAKSI >Potri.001G470200.11.v4.1 pep chromosome:Pop_tri_v4:1:49382662:49385530:-1 gene:Potri.001G470200.v4.1 transcript:Potri.001G470200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470200.v4.1 MAVKHELENSLLLDDDGRTRRTGTLWSCIAHIITAVIGSGVLSLAWSVAQLGWIAGPVAMLCFAIVTYVSVVLLSDCYRYPDPVTGTRNYSYMDAVRVNLGKTQTCLCGLFQYLFMYGICTAYVITTSTSMSAIRRSNCYHEKGHNAPCEYVYTPYMLIFGAVQIVTSQIPDFHSIEWLSVLAAIMSFAYSLIGFGLGLATVIENGMIKGSITGAPAATRAKKLWIL >Potri.001G470200.10.v4.1 pep chromosome:Pop_tri_v4:1:49382662:49385530:-1 gene:Potri.001G470200.v4.1 transcript:Potri.001G470200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470200.v4.1 MAVKHELENSLLLDDDGRTRRTGTLWSCIAHIITAVIGSGVLSLAWSVAQLGWIAGPVAMLCFAIVTYVSVVLLSDCYRYPDPVTGTRNYSYMDAVRVNLGKTQTCLCGLFQYLFMYGICTAYVITTSTSMSAIRRSNCYHEKGHNAPCEYVYTPYMLIFGAVQIVTSQIPDFHSIEWLSVLAAIMSFAYSLIGFGLGLATVIENGMIKGSITGAPAATRAKKLWLVFEALGDIAYAYPYALILFEIQLLATVLLEIF >Potri.001G470200.7.v4.1 pep chromosome:Pop_tri_v4:1:49382650:49386217:-1 gene:Potri.001G470200.v4.1 transcript:Potri.001G470200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470200.v4.1 MAVKHELENSLLLDDDGRTRRTGTLWSCIAHIITAVIGSGVLSLAWSVAQLGWIAGPVAMLCFAIVTYVSVVLLSDCYRYPDPVTGTRNYSYMDAVRVNLGKTQTCLCGLFQYLFMYGICTAYVITTSTSMSAIRRSNCYHEKGHNAPCEYVYTPYMLIFGAVQIVTSQIPDFHSIEWLSVLAAIMSFAYSLIGFGLGLATVIENGMIKGSITGAPAATRAKKLWLVFEALGDIAYAYPYALILFEIQDTLKSPPPENKTMKKASMIALFLTTLFYLLCGCFGYAAFGNSTPGNLLTGLGFYEPYWLIDFANACIVLHLVGGYQLFSQPVFTFVERWSSKKFPNSGFLNNFYSIKLPLLPSFHINIFRICFRTAYVVSTTVIATVFPYFNQVLGLLGALNFWPLAIYFPVEMYFVQNKIEAWTRKWIVLRTFSFVCFLVSIVGLIGSIEGIVSAKSI >Potri.001G470200.5.v4.1 pep chromosome:Pop_tri_v4:1:49382650:49385518:-1 gene:Potri.001G470200.v4.1 transcript:Potri.001G470200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470200.v4.1 MAVKHELENSLLLDDDGRTRRTGTLWSCIAHIITAVIGSGVLSLAWSVAQLGWIAGPVAMLCFAIVTYVSVVLLSDCYRYPDPVTGTRNYSYMDAVRVNLGKTQTCLCGLFQYLFMYGICTAYVITTSTSMSAIRRSNCYHEKGHNAPCEYVYTPYMLIFGAVQIVTSQIPDFHSIEWLSVLAAIMSFAYSLIGFGLGLATVIENGMIKGSITGAPAATRAKKLWLVFEALGDIAYAYPYALILFEIQDTLKSPPPENKTMKKASMIALFLTTLFYLLCGCFGYAAFGNSTPGNLLTGLGFYEPYWLIDFANACIVLHLVGGYQSASIHVCRTLVLEEVPKQWISE >Potri.003G093700.1.v4.1 pep chromosome:Pop_tri_v4:3:11992757:11995795:-1 gene:Potri.003G093700.v4.1 transcript:Potri.003G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093700.v4.1 MAPASFHHITNTVCVMDASGSLGFSLVERLLQRGYTVHAAVQNHDCELQFGGLSCDKKKLKILYADPFDYKSIIDALRGCCGVFYNFEPPQDQSSYDEFMTEVEVRAAHNVLEACAQTETIDKVVFTSSATAVIWRDDRKSITADFDERHWSDINFCRKFKLWHALSKTLAEKTAWALAMDRGVNMVSVNAGLVMSPDLSIKNPYLKGAAEMYEDGVFVTVGLNFLVDAHVCIYEDVSSYGRYLCFNHVVNQHEDAVKLASMLTPSAPSHPQSFDQDLRIRQQRISSKKLNKLTVDFERRPQLEN >Potri.012G023100.1.v4.1 pep chromosome:Pop_tri_v4:12:2327603:2329936:1 gene:Potri.012G023100.v4.1 transcript:Potri.012G023100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023100.v4.1 MQQSPQQMLSITTEQIQKYLEENKQLIMAILENQNKGNVSECASYQAQLQQNLMYLARIADAQPQGTTMPSQMPPQQPAVKQEQYMQPSQVAMTQQPIFFNQKLPFQTNFQHEQQQQLPPHLQQQHFTQGQMRMRPGVTDQDSDA >Potri.008G047300.1.v4.1 pep chromosome:Pop_tri_v4:8:2743041:2747295:1 gene:Potri.008G047300.v4.1 transcript:Potri.008G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047300.v4.1 MEMDSLPNGNSTIPTTIIPTTTPSTALSSKLTQLTESLKLEHQFLRVPFEHYKKTIRTNHRAVEKEVSSVISVVNDAADSDISNDDAVQHLTSLVSRLQGLKRKLEEGSRTENLQAQRCRARIDHLESADAENLSEWNNMRMKRILVDYMLRMSYYDTGLKLAESSDMLDLVDIDVFLESKRVIDALQKREVAPALAWCADNKTRLKKSKSKFEFQLRLQEYVELVRAEDHLRAITYARKYLAPWAATHMKEMQRVFAIVAFKSNPECAVYKVFFEPKQWDYLVEQFKQEFCRLYGMTLEPLLNIFLQAGLSALKTPYCYEDDCTKEDPLSQESFRKLALPLPYSKQHHSKLVCYITKELMDTENPPQVLPNGYVYSTKALEEMAKRNDGKITCPRTGLVCNYSEVVKAFIS >Potri.016G031700.1.v4.1 pep chromosome:Pop_tri_v4:16:1777387:1779333:1 gene:Potri.016G031700.v4.1 transcript:Potri.016G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031700.v4.1 MEVLLSLQILLILFFLSLFFYLYALFPSKKKPANKGFKVYPIVGAIPEFLRNRHRFLEWTTETLSHCPTNTAVFPRPGKVHGIITANPLNVEYILKTNFENYPKGNRFIKLLGDFLGRGIFNSDGELWRVQRKTASYEFNTKSLRNFVMDNVEVEISTRLVPILAKASKTKQVLDLQDILERFAFDNICKVAFNVDPACLGGDGTSGGEFMRAFEDAATLCSGRFMSVHRVFWKINRFFNVGTEKTLRESVKIVHEFADEMIRSRMEQKTEKNEDFLSRFIGKDENSTEFLRDIIISFILAGRDTTSSALSWFFWLLSLNPDVEGNILKELETIRSSNGKNLGDTYSFEELRDMHYLQAAISETLRLYPPVPVDTKACQSDDVLPDGTFVGKKWFVTYHAYAMGRMESIWGKNCRDFVPERWLENGIYRQESPFKFPVFHAGPRMCLGKDMAYIQMKSIAASVIERFEIDVQNKEKCPDHLLSLTLRMKGGLQVKVKER >Potri.006G282500.1.v4.1 pep chromosome:Pop_tri_v4:6:27174822:27175883:1 gene:Potri.006G282500.v4.1 transcript:Potri.006G282500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282500.v4.1 MDSPQRISIKEPQVILSPCSSRRRRASSDSNSPPEFEFWMVQNASFPQPNLVTANELFVDGVLLPLYLLHHPNNNNNNHPPDPDPDSTEPEPPSSQPDPEPEISPASITMEPTSSSKRWKDIIFKKGDKKTSTAAKKQEEKDKDKDKDKKREKRSQNGASSAELNINIWPFSRSRSEGNSVTRPKLFPGAPGTRKVSSAPCSRSNSAGESKSRKSWPSSPGRPGVHLIRSSPVWQVRRGGGTGTKSSFPEPVVRSGEKSSGKKEVTEPRRSKNTANVNGSTNGARAKVLNINVPVCIGYRNHLSCRSGVRGADGSDGGATKNAGGDCGGSGTTNVGNGGSLFNLRSLFTKKVY >Potri.017G150500.1.v4.1 pep chromosome:Pop_tri_v4:17:14871591:14875836:-1 gene:Potri.017G150500.v4.1 transcript:Potri.017G150500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G150500.v4.1 MDRNGRDDLKVENGYDLEDDHDHKKKDKKEEQEEELSVERIFENQEVPSWRNQLTLRAFVVSFVLSILFSVIVMKLNLTTGIIPSLNVSAGLLGFFFIKTWTKFLEKSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSETVAEQSTEDSDTFKNPSLSWMIGFLFVVSFLGLFSVVPLRKVMIIDFKLTYPSGTATAYLINSFHTPSGAKLAKKQVKALGKFLSFSFLWGFFQWFYTAGDGCGFAEFPSLGLKAYENKFFFDFSATYVGVGMICPYIINISVLLGGILSWGLMWPLIDTKKGDWYSADLKPNSLHGLQGYKVFIAIALILGDGLYNFFKVLSRTLTALFFQLQRSDATGVLPIADRSSPETSRISYNDQRRTQLFLKDQIPTWFAVAGYVAIAAISTATLPHIFPELKWYYILVIYVFAPALAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGASHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLSSPRSMFVSQLIGTAMGCVISPCVFWLFFKAFKDLGTPGSQYPAPNATVFRNMAILGVDGFSSLPKNCLYLCYGFFSAAILINLMKDALGKKWARFIPNPMAMAIPFYIGSYFAIDMCVGSLILFIWEKIDKAKADAFGPAVASGLICGDGIWTLPSAILALVGVKPPICMKFLSRGTNAKVDAFLGS >Potri.017G150500.4.v4.1 pep chromosome:Pop_tri_v4:17:14871938:14875747:-1 gene:Potri.017G150500.v4.1 transcript:Potri.017G150500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G150500.v4.1 MSETVAEQSTEDSDTFKNPSLSWMIGFLFVVSFLGLFSVVPLRKVMIIDFKLTYPSGTATAYLINSFHTPSGAKLAKKQVKALGKFLSFSFLWGFFQWFYTAGDGCGFAEFPSLGLKAYENKFFFDFSATYVGVGMICPYIINISVLLGGILSWGLMWPLIDTKKGDWYSADLKPNSLHGLQGYKVFIAIALILGDGLYNFFKVLSRTLTALFFQLQRSDATGVLPIADRSSPETSRISYNDQRRTQLFLKDQIPTWFAVAGYVAIAAISTATLPHIFPELKWYYILVIYVFAPALAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGASHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLSSPRSMFVSQLIGTAMGCVISPCVFWLFFKAFKDLGTPGSQYPAPNATVFRNMAILGVDGFSSLPKNCLYLCYGFFSAAILINLMKDALGKKWARFIPNPMAMAIPFYIGSYFAIDMCVGSLILFIWEKIDKAKADAFGPAVASGLICGDGIWTLPSAILALVGVKPPICMKFLSRGTNAKVDAFLGS >Potri.007G016700.4.v4.1 pep chromosome:Pop_tri_v4:7:1285249:1288331:-1 gene:Potri.007G016700.v4.1 transcript:Potri.007G016700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016700.v4.1 MEISMHPQTFSLLFFLIFSTLASSKACHPVDKEALLDFKHKITDDPSKLLHSWRVSSDCCTSWEGVACDASGRVVNVSRPGLASDNDFIEDTYMSGTLSPYLGNLSSLQVLDLSNLKDLKGPIPEELGKLSKLTHLFLDTNKLTGSIPFTLRYLSQLEKMYLSDNFISGIVPPSVMKSWTHVSELGLSGNAMSGPIPPTIGKVVMITKLDLHGNNFTGRIPTGFGNLKNLRYLDLSENQITGSIPQSIGGLAALELLYLNQNQLTGRIPSSISGLSSMIFCRISENKLSGSLPPSIGQLSKIQRLILENNKLTGKLPATIGHLTALTDIFFSNNYFTGKIPSSFGNLLNLQTLDLSRNRLSGQLPPQLAKLKSLQTLYLSYNPLGLVRIPNWFQELRVFQLMLANTGIEGELPHWLSSSSISQLDLSGNALTGKLPWWIGNITSLSFLNLSNNGFHSSIPVEFKNLSLLMDLDLHSNKFSGHLNVIFSKEVQDPLGHFNSIDLSYNMFTGPIDDDIGERPAMSSISSLVLSHNTLGGSLPKSIGKMRELQVLKLVNTGLSGMIPEELGDAKELSTILLSRNKLTGAIPEIVLNLKELKQFDVSSNRLRGRIPPHKAIIPASAFKNNPGLCGTPLPPCKHF >Potri.007G002200.3.v4.1 pep chromosome:Pop_tri_v4:7:151171:158376:1 gene:Potri.007G002200.v4.1 transcript:Potri.007G002200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002200.v4.1 MFLLPGLIITLSITGALNAVLSKEHQREMCRYLYNHQNRDGGWGLHIEGPSTMFGTCLNYVTLRLLGEGAEGGDGEMEKGRKWILDHGGATEITSWGKMWLSVLGVHEWSGNNPLPPEVWLCPYLLPMHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTIQSLRKEIYTVPYHEVDWNTARNTCAKEDLYYPHPLVQDILWASLHYAYEPILTRWPLNRLREKALHKVMQHIHYEDENTQYICIGPVNKVLNMLCCWVEDPHSEAFKLHLPRVFDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIVSTNLAEEYSGTLRKAHKYLKDSQVLEDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLLLSKLPTEMVGDPLGVERLRDAVNVILSLQNADGGFATYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALASFKKLYPGHRREEIDNCIAEAANFIEKIQATDGSWYGSWGVCFTYAGWFGIKGLVAAGMTYNSSSSIRKACDYMLSKELAGGGWGESYLSCQNKVYTNLKDDRPHIVNTGWAMLALIEAGQAERDPIPLHRAARVLINSQMENGDFPQEEIMGVFNKNCMISYSAYRNIFPIWALGEYRCQVLQAL >Potri.007G002200.1.v4.1 pep chromosome:Pop_tri_v4:7:151075:158377:1 gene:Potri.007G002200.v4.1 transcript:Potri.007G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002200.v4.1 MWKLTIGAESVHDNGQSSSWLKSVNNHLGRQVWEFCPQLGSPDELLQLQNVRLSFQAQRFDKKHSADLLMRFQFEKENPCVNLPQIKVKDDEDVTEEAVTTTLRRAVNFYRKIQAHDGHWPGDYGGPMFLLPGLIITLSITGALNAVLSKEHQREMCRYLYNHQNRDGGWGLHIEGPSTMFGTCLNYVTLRLLGEGAEGGDGEMEKGRKWILDHGGATEITSWGKMWLSVLGVHEWSGNNPLPPEVWLCPYLLPMHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTIQSLRKEIYTVPYHEVDWNTARNTCAKEDLYYPHPLVQDILWASLHYAYEPILTRWPLNRLREKALHKVMQHIHYEDENTQYICIGPVNKVLNMLCCWVEDPHSEAFKLHLPRVFDYLWIAEDGMKMQGYNGSQLWDTAFAVQAIVSTNLAEEYSGTLRKAHKYLKDSQVLEDCPGDLNFWYRHISKGAWPFSTADHGWPISDCTAEGLKAVLLLSKLPTEMVGDPLGVERLRDAVNVILSLQNADGGFATYELTRSYQWLELINPAETFGDIVIDYPYVECTSAAIQALASFKKLYPGHRREEIDNCIAEAANFIEKIQATDGSWYGSWGVCFTYAGWFGIKGLVAAGMTYNSSSSIRKACDYMLSKELAGGGWGESYLSCQNKVYTNLKDDRPHIVNTGWAMLALIEAGQAERDPIPLHRAARVLINSQMENGDFPQEEIMGVFNKNCMISYSAYRNIFPIWALGEYRCQVLQAL >Potri.009G096700.3.v4.1 pep chromosome:Pop_tri_v4:9:8706976:8712389:-1 gene:Potri.009G096700.v4.1 transcript:Potri.009G096700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096700.v4.1 MASFSNGTHHLHITNRFKKQSFHSLSSTHLSSLPYKSCLGSSLCKLRGSRTLGNELLARAEDKAKGSSSSPPPSYPSNEQQGQQLNIDKQLQELEQISGSCDPLCSLDETSSRDFEANYQPKTDLVKALAIFAAAGTGAVAINHSWVAANQDLAMALLFGIGYAGIIFEESLAFNKSGVGLLMAVSLWVIRSIGAPSPDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRTLLWVVGFVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTMKVFSFSIVHLHDLS >Potri.009G096700.1.v4.1 pep chromosome:Pop_tri_v4:9:8706976:8712436:-1 gene:Potri.009G096700.v4.1 transcript:Potri.009G096700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096700.v4.1 MASFSNGTHHLHITNRFKKQSFHSLSSTHLSSLPYKSCLGSSLCKLRGSRTLGNELLARAEDKAKGSSSSPPPSYPSNEQQGQQLNIDKQLQELEQISGSCDPLCSLDETSSRDFEANYQPKTDLVKALAIFAAAGTGAVAINHSWVAANQDLAMALLFGIGYAGIIFEESLAFNKSGVGLLMAVSLWVIRSIGAPSPDIAVSELTHASAEVSEIVFFLLGAMTIVEIVDAHQGFKLVTDNITTRKPRTLLWVVGFVTFFLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTMKGLLVPSAVSLAVPLSLLSLTSEVNGKGQDLPNVLASEQMAPRGQLVFSVGIGALIFVPVFKALTGLPPFMGMLLGLGVLWILTDAIHYGESERQRLKVPQALSRIDTQGALFFLGILLSVSSLEAAGLLRELANYLDAHIPNFELIASAIGVGSAIIDNVPLVAATMGMYDLSSFPQDHEFWQLIAFCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYLRKVSGFAFAGYAAGIAAYLAVHNFSISLPTTLAEVPFLSGS >Potri.008G075800.11.v4.1 pep chromosome:Pop_tri_v4:8:4688646:4692759:-1 gene:Potri.008G075800.v4.1 transcript:Potri.008G075800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075800.v4.1 MEGILVRNLCSISGATVLLAPPSRPSFFFQHKASFSPSNSTMFPLLSSLFSAPSRSFSSVIYATPDSQLSVGADTDTDTDTDTREWAMQDFYSLRKDVETASQRVEEMRASSGLHLLEQELADLESKAADSSLWDDRAKAQETLLALTDVKDKIKLLAEFKTKVEEAETIVKLTEEMDSTDAGFLEEATGIIKELNKALDKYELTQLLSGPYDKEGAVLSITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTKVVESSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQVI >Potri.008G075800.1.v4.1 pep chromosome:Pop_tri_v4:8:4687076:4692797:-1 gene:Potri.008G075800.v4.1 transcript:Potri.008G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075800.v4.1 MEGILVRNLCSISGATVLLAPPSRPSFFFQHKASFSPSNSTMFPLLSSLFSAPSRSFSSVIYATPDSQLSVGADTDTDTDTDTREWAMQDFYSLRKDVETASQRVEEMRASSGLHLLEQELADLESKAADSSLWDDRAKAQETLLALTDVKDKIKLLAEFKTKVEEAETIVKLTEEMDSTDAGFLEEATGIIKELNKALDKYELTQLLSGPYDKEGAVLSITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTKVVESSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPEESMDVELPEEDLEISTSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTEERSQLSNKIKALSRLKAKLLVIAEEQRASEIKQIRGDAVKAEWGQQIRNYVFHPYKLVKDVRTGHETSDIASVMDGELDPFIRAYLKHKYSMTLSASEPN >Potri.008G075800.9.v4.1 pep chromosome:Pop_tri_v4:8:4687094:4692759:-1 gene:Potri.008G075800.v4.1 transcript:Potri.008G075800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075800.v4.1 MEGILVRNLCSISGATVLLAPPSRPSFFFQHKASFSPSNSTMFPLLSSLFSAPSRSFSSVIYATPDSQLSVGADTDTDTDTDTREWAMQDFYSLRKDVETASQRVEEMRASSGLHLLEQELADLESKAADSSLWDDRAKAQETLLALTDVKDKIKLLAEFKTKVEEAETIVKLTEEMDSTDAGFLEEATGIIKELNKALDKYELTQLLSGPYDKEGAVLSITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTKVVESSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPEESMDVELPEEDLEISTSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTAVRCSVHLITSH >Potri.008G075800.10.v4.1 pep chromosome:Pop_tri_v4:8:4687094:4692738:-1 gene:Potri.008G075800.v4.1 transcript:Potri.008G075800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075800.v4.1 MEGILVRNLCSISGATVLLAPPSRPSFFFQHKASFSPSNSTMFPLLSSLFSAPSRSFSSVIYATPDSQLSVGADTDTDTDTDTREWAMQDFYSLRKDVETASQRVEEMRASSGLHLLEQELADLESKAADSSLWDDRAKAQETLLALTDVKDKIKLLAEFKTKVEEAETIVKLTEEMDSTDAGFLEEATGIIKELNKALDKYELTQLLSGPYDKEGAVLSITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTKVVESSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQTSLEADERLAIEISGSVQGDKMNFRMTY >Potri.008G075800.13.v4.1 pep chromosome:Pop_tri_v4:8:4687094:4692759:-1 gene:Potri.008G075800.v4.1 transcript:Potri.008G075800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075800.v4.1 MEGILVRNLCSISGATVLLAPPSRPSFFFQHKASFSPSNSTMFPLLSSLFSAPSRSFSSVIYATPDSQLSVGADTDTDTDTDTREWAMQDFYSLRKDVETASQRVEEMRASSGLHLLEQELADLESKAADSSLWDDRAKAQETLLALTDVKDKIKLLAEFKTKVEEAETIVKLTEEMDSTDAGFLEEATGIIKELNKALDKYELTQLLSGPYDKEGAVLSITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTKVVESSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQF >Potri.008G075800.12.v4.1 pep chromosome:Pop_tri_v4:8:4687094:4692759:-1 gene:Potri.008G075800.v4.1 transcript:Potri.008G075800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075800.v4.1 MEGILVRNLCSISGATVLLAPPSRPSFFFQHKASFSPSNSTMFPLLSSLFSAPSRSFSSVIYATPDSQLSVGADTDTDTDTDTREWAMQDFYSLRKDVETASQRVEEMRASSGLHLLEQELADLESKAADSSLWDDRAKAQETLLALTDVKDKIKLLAEFKTKVEEAETIVKLTEEMDSTDAGFLEEATGIIKELNKALDKYELTQLLSGPYDKEGAVLSITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTKVVESSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQF >Potri.008G075800.8.v4.1 pep chromosome:Pop_tri_v4:8:4687094:4692759:-1 gene:Potri.008G075800.v4.1 transcript:Potri.008G075800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075800.v4.1 MEGILVRNLCSISGATVLLAPPSRPSFFFQHKASFSPSNSTMFPLLSSLFSAPSRSFSSVIYATPDSQLSVGADTDTDTDTDTREWAMQDFYSLRKDVETASQRVEEMRASSGLHLLEQELADLESKAADSSLWDDRAKAQETLLALTDVKDKIKLLAEFKTKVEEAETIVKLTEEMDSTDAGFLEEATGIIKELNKALDKYELTQLLSGPYDKEGAVLSITAGAGGTDAQDWADMLLRMYVRWGEKQRYKTKVVESSPGEEAGIKSATVEVEGRYAYGYLSGEKGTHRIVRQSPFNSKGLRQTSFSGVEVMPLLPEESMDVELPEEDLEISTSRAGGKGGQNVNKVETAVRITHIPTGVTVRCTGYLVGEGGAGAHGEASQ >Potri.018G049600.1.v4.1 pep chromosome:Pop_tri_v4:18:4708723:4710499:-1 gene:Potri.018G049600.v4.1 transcript:Potri.018G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049600.v4.1 MGRSPCCSKEGLNRGAWTALEDKILMAYIKAHGEGNWRNLPERAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSLIAGRLPGRTDNEIKNYWNTTLGKKANGQSSSQSKQSSQSKSRAIKPMTSTQPSKSTQTTQVIRTKATRCTKVLLSLQSPPPTRTPLPPPEILSSTAMNDPSQASLINHQQDGPNFHCGTEEVHACHDGSDFFNFGKWNEIQPNDIDGDTLMKSGCNRNLSRGSEYSLGLFDDLMFKDWALNHCPEDNATLDLESLAHLLDSEEWP >Potri.001G008600.2.v4.1 pep chromosome:Pop_tri_v4:1:584703:586245:1 gene:Potri.001G008600.v4.1 transcript:Potri.001G008600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008600.v4.1 MGPKADVGDPFPLDEAWGMQPCELEISRKYGSPFSMQPHINFIIEDLMASSAQCTAIKTQQRSQEFPKDDDATCLHFAILPPLYWFASHLMISPGMSKTWPGYMIWAYSTAYVLLGSLLFSNLYPFT >Potri.001G008600.3.v4.1 pep chromosome:Pop_tri_v4:1:584703:586246:1 gene:Potri.001G008600.v4.1 transcript:Potri.001G008600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008600.v4.1 MGPKADVGDPFPLDEAWGMQPCELEISRKYGSPFSMQPHINFIIEDLMASSAQCTAIKTQQRSQEFPKDDDATCLHFAILQSSSLLVCITFNDISWYE >Potri.011G163800.1.v4.1 pep chromosome:Pop_tri_v4:11:18874914:18876145:-1 gene:Potri.011G163800.v4.1 transcript:Potri.011G163800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163800.v4.1 MASSILFFGFLAFSLSYVLASDPSSLQDFCVADENSNVIVNGLACKDPKEVQADDFSFSGLHLAGNTSNAVGSRATTVNVAQIPGLNTLGISLVRIDYAPWGINPPHTHPRGSEILTVIEGSLEVGFVTSSPENRLITKVLQKGDVFVFPIGLVHFQRNVGNGNAVAIAALSSQNPGVITIANAMFGSTPEIPSDILVKAFQLDKNVVNYLQSRF >Potri.007G132400.1.v4.1 pep chromosome:Pop_tri_v4:7:14563493:14565528:1 gene:Potri.007G132400.v4.1 transcript:Potri.007G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132400.v4.1 MGSNSKPHAVVIPSPFQGHIKAMLKFAMLLHCKGFHVTFVNTEFNHKRILRSGGPVALDNLPGFHFETIPDGLPPSDIDATQDIPSLCAALNKNFLAPFKDLLVRLQNSVSENNPPVTSIVSDPFAPFSIKAGEDVGLPVVMYATVRAIGYIGFKQLYALREKGFSPIKDVSYLSNGYLDTNVDWVPGVKGLRLKHFPFIETTDPDDIIFNFLVGAAETSVKARAIAFHTFDALEPEALGALSTIFSHVYSIGPLQLFLNQIEENSLKSVGYSLWKEESKCLQWLDTKEPNSVVYVNYGSTVVMATDQLVEFAMGLANSKIPFLLIIRPDLVSGESSVLPAEFAEKTQKHGFIASWCPQEEVLNHPSVGGFLTHCGWGSTIESLSAGVPMLCWPFFGDQPMNCKYSCNEWGVGMEIDKNVKREEVGKLVKELIEGEKGAKMREKAMKWKRLAEEAVGPEGTSSINLDKFINEIKSSNN >Potri.001G065800.8.v4.1 pep chromosome:Pop_tri_v4:1:4917484:4926293:1 gene:Potri.001G065800.v4.1 transcript:Potri.001G065800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065800.v4.1 MDWQRTESPLYTRQGTSDPASSPTAATVQNARHGHHARSSSASGLSTIKRNQNVAAKAAAQRLAQVMASQTADDDGDDENDGDDLGFRYSAPPPLSFSRNVNSNNTNVTTTSKASSTAASSRINRSPSPALARNFEEPASGGSTSAGRPAMSLRTAAAAAAAVPQSKGSLRTAVSLPPMDPPRNGHRDGKRFLSDAVQFNSKDTGDQREASALRDELDMLQEENENIFEKLRLEEERCEEAEARVKELEKQVAAFGEGVSLEAKLLSRKEAALRQREAALKDAKQNNMVDKEIASIRSEVENAKDEAAAVMRQLQGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAAKYGICADVAVSKHEYWSSLAPLPFEVVVSAGQKAKEECWEKGDEDSEKRSTLAQDLSDLTGEGNIESMLSVEMGLKELASLKVEDAIVLALAQQRRANSLRLSISDVKSPGDPKYTEAFELSPEESEDVLFKEAWLTYFWRRAKAHGIEEDTAKERFQFWISCSAHSPSSHDAVDVEQGLMELRKLGIEHRLWEASRKEIDQDS >Potri.004G204400.1.v4.1 pep chromosome:Pop_tri_v4:4:21299033:21301714:-1 gene:Potri.004G204400.v4.1 transcript:Potri.004G204400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G204400.v4.1 MALNLRQKQTECIIRMLNLNQPLNATGTTNEEVYKILIYDKFCQNILSPLIHVKDLRKHGVTLYFLIDKDRKPVHDVPAVYFVQPSKVNVQRIVADASQSLYDSFHLNFSSSIPRPLLEDLASGTLNSESIDKISKVHDQYLEFVTLENNLFSLAQKSCYVQLNDPSAGDREIEEIVEKVASGLFSVLATLAVVPVIRCPRGGPAEMVASVLDQKLRDHLLSKNNLFTEGGGFASSFQRPVLCLFDRNFELSVGIQHDFRYRPLVHDVLGLRLNRLSVQGEKGGMRSYELDSSDPFWVANGSLEFPEVAVEIETQLNKYKKDVDEVNRRTGETDGAEFDGTDLIGNTKHLMNAVNSLPELTERKQVIDKHTNIATVLLGEIKERSLDSYAKKENDMMVRGGIDRNELLGVLRGKGTKIDKLRFAIIYLICSESINQSEVEAIETALRESEVDNCAFQYVKKMKSLNVSLASANSASRSNIVDWAEKLYGQSISAVTAGVKNLLSSDRQLALTRTVEALMEGKPNPEVDSYLVFDPRAPKSGSGTSSSHLKGPFKEAIVFMIGGGNYMEYGSLQEFAQRQQPVKHVIYGTTEILTGMEFVEQLTVLGQKMGLGSSVAPPAPTH >Potri.011G154800.7.v4.1 pep chromosome:Pop_tri_v4:11:18173787:18178432:1 gene:Potri.011G154800.v4.1 transcript:Potri.011G154800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154800.v4.1 MQSQVVCRGCRSLLLYPSGATNVCCALCSTVTSIPAPGMDLAQLICRGCRTLLMYARGATTVRCSCCHIVNFAPGPNQVAHVNCGNCQTTLMYPNGAPSVKCAVCHYVTNISTANVRVPLPANRPSGIGGTMPSTSTTQTVVVENPMSVDESGKLVSNVVVGVTTEKK >Potri.011G154800.2.v4.1 pep chromosome:Pop_tri_v4:11:18173787:18178353:1 gene:Potri.011G154800.v4.1 transcript:Potri.011G154800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154800.v4.1 MQSQVVCRGCRSLLLYPSGATNVCCALCSTVTSIPAPGMDLAQLICRGCRTLLMYARGATTVRCSCCHIVNFAPGPNQVAHVNCGNCQTTLMYPNGAPSVKCAVCHYVTNISTANVRVPLPANRPSGIGGTMPSTSTTQTVVVENPMSVDESGKLVSNVVVGVTTEKK >Potri.011G154800.6.v4.1 pep chromosome:Pop_tri_v4:11:18173787:18178300:1 gene:Potri.011G154800.v4.1 transcript:Potri.011G154800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154800.v4.1 MQSQVVCRGCRSLLLYPSGATNVCCALCSTVTSIPAPGMDLAQLICRGCRTLLMYARGATTVRCSCCHIVNFAPVGPNQVAHVNCGNCQTTLMYPNGAPSVKCAVCHYVTNISTANVRVPLPANRPSGIGGTMPSTSTTQTVVVENPMSVDESGKLVSNVVVGVTTEKK >Potri.003G222900.5.v4.1 pep chromosome:Pop_tri_v4:3:21608092:21611448:-1 gene:Potri.003G222900.v4.1 transcript:Potri.003G222900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222900.v4.1 MEGSSLLSNCSKTPFNFFRKPSFPSSSHHCYSTVTTQSHIVSFSFFKPNRNQEMKKNRSRKKFLMASSLRTSAFVVDKALDDSSPTNYKEMMPKIDKSGRFCSPRAARELALLIIYAACLEGSDPIRLFEKRMNARREPGYEFDKASLLEYNHMSFGGPPVTTETVEEADELQLSDEKESAIEAEVLSAPPKLVYSKLLLRFTRKLLVAVVDKWDSHVLVIDKVSPPNWKNEPAGRILEFCILHMAMSEITVLGTRHQIVINEAVDLAKRFCDGAGPRIINGCLRTFLKDLSGASVAQTSDANEKVEV >Potri.003G222900.6.v4.1 pep chromosome:Pop_tri_v4:3:21608208:21611399:-1 gene:Potri.003G222900.v4.1 transcript:Potri.003G222900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222900.v4.1 MKILIGFCWWCARLIIYAACLEGSDPIRLFEKRMNARREPGYEFDKASLLEYNHMSFGGPPVTTETVEEADELQLSDEKESAIEAEVLSAPPKLVYSKLLLRFTRKLLVAVVDKWDSHVLVIDKVSPPNWKNEPAGRILEFCILHMAMSEITVLGTRHQIVINEAVDLAKRFCDGAGPRIINGCLRTFLKDLSGASVAQTSDANEKVEV >Potri.003G192500.1.v4.1 pep chromosome:Pop_tri_v4:3:19546440:19549170:-1 gene:Potri.003G192500.v4.1 transcript:Potri.003G192500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192500.v4.1 MKNLGCCSKFLESSKPYFAMIALQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFALFFERKMQPKITFPVFMQIFVLALLGPVIDQNFYYAGLKYTSPTFSCAMSNMLPAMTFVMAVIFRMEKLDIKKVRCQAKLLGTLVTVAGAMFMTLYKGPIVEMLWSKHIHPRKSYVTDTTGTTDKDWFKGSILLIIATLAWASLFVLQTKALKTYKNHQLTLTSLVCFIGTLQAIAVTFTMEHKSSVWRIGWDMNLLAAAYAGIVTSSISYYVQGLVIKKKGPVFATAFSPLMMIVVAIMGSFILAEKIYLGGIVGSALIVMGLYSVLWGKHKEKMEVDPEEIPEPVKGIQGNGNSIVVIEDIEANEVQLQKAEANNNFSAMAMSMPMALPDLPHKESQEPRA >Potri.001G254304.1.v4.1 pep chromosome:Pop_tri_v4:1:27059090:27061197:1 gene:Potri.001G254304.v4.1 transcript:Potri.001G254304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254304.v4.1 MAFSPTSTSSLFTLQSSCSALFFLHSNYTPIFLLPLHFLMLSTPRKDTLTVVTVTLESGNGAVVATPTTEKLESSSYGRQYFPLAAVVGQNAIKTALCFGAIDRGIGGIALSGRRGTATPLMARGLNAVLPPIDVVAGSIANADPAFPEE >Potri.014G025451.1.v4.1 pep chromosome:Pop_tri_v4:14:1573736:1574423:1 gene:Potri.014G025451.v4.1 transcript:Potri.014G025451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025451.v4.1 MGVGTCTRASAHGRPNPWFLDTFCVKFQWLSLPAVLKRKSIMCSPSLPPSLPPELDRDEENQKPNVLIKMFMIFFKQCFESFLDLYDKG >Potri.016G106800.1.v4.1 pep chromosome:Pop_tri_v4:16:11165390:11167476:-1 gene:Potri.016G106800.v4.1 transcript:Potri.016G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106800.v4.1 MASFKFSFVLFLSSLLLHAASAEIVCEELPNDICAFTISSSGKRCLLETYATKNDAVEYQCRTSEVVVEKMADYIETDACVKACGVDRNSVGISSDALLEPQFTAKLCSPACYQNCPNIVDLYFNLAAGEGAFLPDLCDAARYNPHRSMIQLMSSGATPGPAASESASIVSAPAPAPM >Potri.009G144900.1.v4.1 pep chromosome:Pop_tri_v4:9:11503546:11508434:1 gene:Potri.009G144900.v4.1 transcript:Potri.009G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144900.v4.1 MEFGSVVQFLEDKTILITGATGFLAKILLEKILRVQPKVKKIYLLLRAADAKSASQRLQNEVIGKDLFRILKEKWGENLNSIVTKKIVLVPGDISYEDDLGVKDSNLREEMWSQLDVVVNLAATTNFDEGYDVALGINTMGAKHVLCFAKKCVRLKVLVHVSTAFVSGERAGLILETPYGVGDTLNGVCGLDIDEEKKLVDQKLNELQAEGATAEAIKDAMKDMGMERAKVYGWPNTYVFTKAMGEMLVGHLKEDLSVVIIRPTIVTSTYEEPFPGWVEGVRTIDSLAVGYGKGRLTCFLGDITGIVDVIPADMVVNAIIVAMVAHANRPSENAIYQVGSSVRNPMRYTNFQDCGFNYFTNKPWIGKDGKPVKVGRVKVLSSMASFHRYMAIRYLLLLKGLELANMAFCHYFEDKYSDLNRKIKFVMKLVELYRPYLFFRGVFDDLNTEKLRMAARENNLETDMFYFDPKTIDWEDYLTNIHFPGVVKYVFK >Potri.005G205500.1.v4.1 pep chromosome:Pop_tri_v4:5:21051985:21052389:1 gene:Potri.005G205500.v4.1 transcript:Potri.005G205500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205500.v4.1 METQKSQPEKQQSSASLDPAVTSCRKKKRDDATFLEDVKDHIDEFIHASMDEHKSCFTKTIKKTVAERSADAKEVESSLPLRTVVAE >Potri.001G168300.2.v4.1 pep chromosome:Pop_tri_v4:1:14386021:14388187:-1 gene:Potri.001G168300.v4.1 transcript:Potri.001G168300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168300.v4.1 MAEGEEDMPRDAKIVKSLLKSMGVEDYEPRVVHQFLELWYRYVVDVLTDAQVYSEHANKTAIDCDDVKLAIQSKVNFSFSQPPPREVLLELARNRNKIPLPKSIAGPGIPLPPEQDTLISPNYQLAIPKKRTAQAIEETEEDEESADPNQSQEQKTDPPQLTPQRVSFPLTKRPK >Potri.001G449301.1.v4.1 pep chromosome:Pop_tri_v4:1:47559012:47561916:1 gene:Potri.001G449301.v4.1 transcript:Potri.001G449301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449301.v4.1 MVSLGLFLGWRINHPNDDAIWMYNMSIICETWFAFTWLLDQLPKLFPVNRSANLEVLKKSDLPGIDVFVSTADPEKEPPLVTANTILSILAADYPVQKLSCYISDDGGALLTFEAMARAAKFEKMWVPFCRKHDICPRNPESYFNMKRETCKTKLRQDFVREHRHMKREYDEFKIQINALPYIIQRRSDVCNSEEESSCIRHYKESEMESLALSEKVTWMVVDEAILSPWPGTSVVSAPEHSRGDHASIIQVLLEPPAVKLEQGTATDFDNLFDFSEVDSRLPMLVYVSREKRLGYDHNKKAGAMNALLRASAIITNGSFILNLDCDHYIYNPQAMKEGICFMMDSGGDRICYVQFP >Potri.003G035000.2.v4.1 pep chromosome:Pop_tri_v4:3:4054529:4058252:-1 gene:Potri.003G035000.v4.1 transcript:Potri.003G035000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G035000.v4.1 MSHGHHQPYDPYYQLPPAAAAPGGEWNSGINTLFVSGLPDDVKAREIHNIFRRRPGFDSCQLKYTGRGNQVVAFATFFNHQSAIAALHSLNGVKFDPQSGSTLHIELARSNSRRKRKPGSGAYVVIDKRTKKPSDAHETSSDDVESDPEEDPEMNNVDTAYQGDSENAKSEAASDPDNAAVAVNEIGERTAEGGVRPCSTLFIANLGPNCTEDELKQVLSQYPGFHVLKIRAKGGMPVAFADFEEIEQATKVMENLQETTLPSSDRGGMHIEYARSKMRKP >Potri.013G133000.3.v4.1 pep chromosome:Pop_tri_v4:13:13883189:13885638:-1 gene:Potri.013G133000.v4.1 transcript:Potri.013G133000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133000.v4.1 MNLHFSRKLFSLLPQNYQFSISSTRVLASLFFSTKTPTKPLSSSHSTTLCRRIEAIRDPRVSIVPVLDQWVKEGNTVDKHHLVSLVRLMKDYKRFKHALEVSEWMTARRFFTFTTEDAAVRLGLIHRVRGLEEAENYFNKLSVKLKTKYTYGAILNGCVREKSVQKAEAVMQEMREGGMTTSSFPYNILIILYSQTGDFDKIPPLMKEMERNGIAEDKYTLRNLIAASVAASDISGVERILKLMEENPELGLDWKLYAMAADAYLKIGSIETALTMLEKLEKWMAFRKKKAVFNFLLSLYAKTGNKDELYRIWNLYKPSSESMDTSYCCMIDSLTKLDDIEGAEKIFEEWESQCTTTYDFRVLNGLLVAYCNRGLFEKAEAAIEKAVQGRTPYASTWHVMAKGYMEHDQIPKTVEMLKRAVNVGRDWKPDPILVNTCLEYLEGQGDAEEMKEFTRLVKN >Potri.002G011000.1.v4.1 pep chromosome:Pop_tri_v4:2:681423:684451:-1 gene:Potri.002G011000.v4.1 transcript:Potri.002G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011000.v4.1 MVSLTVTGSFVSKLSSSSNSSTVLVSTRSRLCSSVCFPGNKGSYCSERRFGFRVKAYDSSKNDSSSNNNNSGDAKPPNGTMPKTRREILLEYVKNVQPEFMELFVKRAPQQVVDAMRQTVANMIGTLPPQFFAVTVTTVAENLAQLMYSVMMTGYMFKNAQYRLDLQQSLEQVALPDAQEEKKDEPDYAPGTQKNVSGEVIRWNNVSGPERIDAKKYIELLEAEIEELNSQVGRKSANGQNELLEYLKSLEPLNLKDLTSSAGEDAVLAMNTFIKRLLAVSGPDQMKTSVTETSAPELVKLLYWLMVVGYSIRNIEVRFDMERVLGAPPKLAELPPGENV >Potri.006G191000.1.v4.1 pep chromosome:Pop_tri_v4:6:19805840:19809519:-1 gene:Potri.006G191000.v4.1 transcript:Potri.006G191000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191000.v4.1 MNTRNIDCEEGVQQNHGVMIGDFVNLSSQYFGNQQIRNMAPRLQPAVMEAGCQQQNISPERSSSSILSRFESPASSFYATERCMRFPQYDCQVGSSFCSQYSKSYDSHQSSDPNYSINLGEQADHNFGLNSTLESVVKPHYSYYNSFDKSDKGLSSSSGNKLPSQQHNKFLDIHGTVSLGNNFSVPFQGNQDRQVGCNPYSSPFAGLSFNSLEGKQSPRFSLGGGPTSSGKDLSSKTRIRWTQDLHEKFVECVNRLGGAEKATPKAILNLMDSDGLTIFHVKSHLQKYRIAKYMPEPSEGKAEKRNSINDVSQLDIKTGFQIREALQLQLDVQRRLHEQLEIQRNLQLRIEEQGKQLKMMFDQQQKTTNSLLNKQNLDITSPDEPAFSLEDIDVSILEGSDNNTQFPSKIS >Potri.010G204100.2.v4.1 pep chromosome:Pop_tri_v4:10:19585724:19586874:1 gene:Potri.010G204100.v4.1 transcript:Potri.010G204100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G204100.v4.1 MAMLLNKSSKMGSPLLHEFKRQASFLKEKIKTARLALTDVTPTELTITNGDLWAPDTRTMGVISRAAFKVDDYWRITLLLLEHLLITHGPLRVAEEFQCDKDAIKEMVSFQFVHEKGFTWGSRVRKLSQRILKLLENGLFLQQKKKGQALVSFRGLVASPNDPLQPMKALKHQTSGHEDEEFMDSNEKLLFEETIQIHEDTSQPVLGNPVKISREEYTAEDHPFCDNLHHTTVSLLSASE >Potri.001G353800.2.v4.1 pep chromosome:Pop_tri_v4:1:36763777:36771312:1 gene:Potri.001G353800.v4.1 transcript:Potri.001G353800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353800.v4.1 MQQAATPLCSPSLPFSKYPLVPPLPARLSPALLPPSPLQLLPFKPPRNYLPAILSPLHRTCTRRHSLSLATQETVETSKSESEFVEVGYISNVHGLQGEISVKPTTDFPELRFSKPGRRWLRQLVSGKDVIREVELVEGRGHHGRKSWILKFGGIDTVDRAKLLVGSTLLVREYERPELEEGEFYSRDLIGMRVILKETGECVGTVVNVFDNGGNDLLQVMLYTSSDVPGGTGKSKPAEAGVSGHLAWVPFVEAIVPDVNMNEREMRITPPKGLLELNLRLDERSKKERRELEWRERKKFQRRLIAAKKKLCEMEQKHVFDGLRYGDKSKRGLLADQIVGVNSKLLQQALENIEISSKRCSATELISATRTKHIKSSLMISKEFITCANEEKLGANFKLQEKGLSLISTGKVAIVLVLNDIEKGKGDNPGVVDSESSENSLLFFLQKSLSDDQTFVKIEDRVSVPLILVCPAQEIQSLQKLFSNNDYFAFDSNKVWFLEEEKIPVVSSSEEEGKRHKIMMKSPWEILQSPVGSGGVISLLSSVNIPENLSKMGVEYIEVRRVLSILFVWLI >Potri.001G353800.1.v4.1 pep chromosome:Pop_tri_v4:1:36763715:36771342:1 gene:Potri.001G353800.v4.1 transcript:Potri.001G353800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353800.v4.1 MVPTRASTSRLLSPEWKDEQKISSFHLEEQSLPVEKKRMQQAATPLCSPSLPFSKYPLVPPLPARLSPALLPPSPLQLLPFKPPRNYLPAILSPLHRTCTRRHSLSLATQETVETSKSESEFVEVGYISNVHGLQGEISVKPTTDFPELRFSKPGRRWLRQLVSGKDVIREVELVEGRGHHGRKSWILKFGGIDTVDRAKLLVGSTLLVREYERPELEEGEFYSRDLIGMRVILKETGECVGTVVNVFDNGGNDLLQVMLYTSSDVPGGTGKSKPAEAGVSGHLAWVPFVEAIVPDVNMNEREMRITPPKGLLELNLRLDERSKKERRELEWRERKKFQRRLIAAKKKLCEMEQKHVFDGLRYGDKSKRGLLADQIVGVNSKLLQQALENIEISSKRCSATELISATRTKHIKSSLMISKEFITCANEEKLGANFKLQEKGLSLISTGKVAIVLVLNDIEKGKGDNPGVVDSESSENSLLFFLQKSLSDDQTFVKIEDRVSVPLILVCPAQEIQSLQKLFSNNDYFAFDSNKVWFLEEEKIPVVSSSEEEGKRHKIMMKSPWEILQSPVGSGGVISLLSSVNIPENLSKMGVEYIEICSSSQNCVTGSPLLLGFVESRKAEIGIKIVEDTKDLEESFDMIFSLNFMRLLAQQIHKLQFYAIPKPNLHVEMVGKEWVDVVPSSPNSYQLRSSIYSSLNACPLEKICVMEITE >Potri.007G092450.2.v4.1 pep chromosome:Pop_tri_v4:7:11814057:11816464:1 gene:Potri.007G092450.v4.1 transcript:Potri.007G092450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092450.v4.1 MFAHFSYYRIIPRTHFLFRKKHGPLGPSSSKASFPYSALPHQFSDKRFEVRLEGDYIESEYGSIILHGWEVIITWCQILSNKVMMQMKYFSGASYLFNFSSFLYQWIILQIKLMTWVSSDVSAFSF >Potri.007G092450.3.v4.1 pep chromosome:Pop_tri_v4:7:11814057:11816464:1 gene:Potri.007G092450.v4.1 transcript:Potri.007G092450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092450.v4.1 MNCSILDLCNNSLNNRHFIMFAHFSYYRIIPRTHFLFRKKHGPLGPSSSKASFPYSALPHQFSDKRFEVRLEGDYIESEYGSIILHGWEVIITWCQILSNKLEFLTG >Potri.007G092450.4.v4.1 pep chromosome:Pop_tri_v4:7:11814057:11816502:1 gene:Potri.007G092450.v4.1 transcript:Potri.007G092450.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092450.v4.1 MNCSILDLCNNSLNNRTHFLFRKKHGPLGPSSSKASFPYSALPHQFSPDDFRTITHTKFWKNQVHPSTFQHLQAANVDRQHNSEEA >Potri.007G092450.1.v4.1 pep chromosome:Pop_tri_v4:7:11814057:11816464:1 gene:Potri.007G092450.v4.1 transcript:Potri.007G092450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092450.v4.1 MNCSILDLCNNSLNNRTHFLFRKKHGPLGPSSSKASFPYSALPHQFSDKRFEVRLEGDYIESEYGSIILHGWEVIITWCQILSNKVMMQMKYFSGASYLFNFSSFLYQWIILQIKLMTWVSSDVSAFSF >Potri.008G178300.1.v4.1 pep chromosome:Pop_tri_v4:8:12321723:12324565:-1 gene:Potri.008G178300.v4.1 transcript:Potri.008G178300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178300.v4.1 MRSVEDKGCYNHGPTQEISSGNAISFEFHKGNGASRSGHHRTALGKPTPSKWDDAQKWLVGLSRGGGGDKKESKPRNSNADDRRLIAPVPQMEQEYSSGEDEVEGKAENGCSISIINQYEVETKNVDCDESVWRINKPAQNSTMNAVRSVCVRDMGTEMTPIASQEPSRTATPIRATTPAARSPISSGSSTPVRGQHGLQGNEGYQTGLAVTESRGETPGVVSATRHYGQEFNGSRIPENTDSDQARKMNALEARAMAWDEAERAKYMARYKREEVKIQAWENHEKRKAEMEMRKMEVKAERLKARAQERLANKLASTKRIAEEKRANAEAKLNEKAVKTSEKADHMRTTGHLPSSFSFKLPSLCW >Potri.012G071600.6.v4.1 pep chromosome:Pop_tri_v4:12:9493449:9500875:-1 gene:Potri.012G071600.v4.1 transcript:Potri.012G071600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071600.v4.1 MGDKGEVLEAVLKETVDLENIPIEEVLENLRCSREGLTTQAAEERLAIFGHNKLEEKKERKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAIGMVIELIVMYPIQDRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADAVVLMAARASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSGGKMHRVSKGAPEQILNLSHNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESAGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWVAYKTDFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVVAFIIAQLIATLIAVYANWSFAAIKGIGWGWAGVIWLYNIIFYFPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLPLPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Potri.012G071600.5.v4.1 pep chromosome:Pop_tri_v4:12:9493168:9500676:-1 gene:Potri.012G071600.v4.1 transcript:Potri.012G071600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071600.v4.1 MGDKGEVLEAVLKETVDLENIPIEEVLENLRCSREGLTTQAAEERLAIFGHNKLEEKKERKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAIGMVIELIVMYPIQDRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADAVVLMAARASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSGGKMHRVSKGAPEQILNLSHNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESAGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWVAYKTDFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVVAFIIAQLIATLIAVYANWSFAAIKGIGWGWAGVIWLYNIIFYFPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLPLPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Potri.012G071600.7.v4.1 pep chromosome:Pop_tri_v4:12:9493932:9500674:-1 gene:Potri.012G071600.v4.1 transcript:Potri.012G071600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071600.v4.1 MGDKGEVLEAVLKETVDLENIPIEEVLENLRCSREGLTTQAAEERLAIFGHNKLEEKKERKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLVINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWNEQDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAIGMVIELIVMYPIQDRKYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADAVVLMAARASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSGGKMHRVSKGAPEQILNLSHNKSDIERRVHAVIDKFAERGLRSLAVAYQEVPEGRKESAGGPWQFIGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQNKDESIAALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGIVLGSYLAMMTVIFFWVAYKTDFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSFVERPGLLLVVAFIIAQLIATLIAVYANWSFAAIKGIGWGWAGVIWLYNIIFYFPLDFIKFFIRYALSGRAWDLVIEQRIAFTRQKDFGKEQRELQWAHAQRTLHGLPLPDTKMFTERTHFTELNQMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Potri.016G022100.1.v4.1 pep chromosome:Pop_tri_v4:16:1206304:1209030:1 gene:Potri.016G022100.v4.1 transcript:Potri.016G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022100.v4.1 MGSISKPHVVVIPCPLQGHIKTMLKLAKLLHYKGLHITFVSTEFNHKRFLWSRGRHALDDLPGFHFRTIPDGLPPSDIDATQDIPSLCDAMNKNFLAPFKDLLLELRNTVSENNPPVTCIVSDPFAPISIKAGEEVGLPVVMYATMNACGYMGFKQLHALRERGFTPIKDLSNLSNGYLETKVDWAPGMKDVRLKDFPFIQTTDPDEVVFNFVIGAAETSVKARAIAFHTFDALEPEVLDGLSTIFPRVYSIGPLQLLLNQFEENGLKSIGYSLWKEDHECLQWLETKEPKSVVYVNFGSITVMTADQLVEFAMGLVNSNIPFLWIIRPDLVVAESAVLPAEFAEETEKRGFITSWCPQEEVLNHPAVGGFLTHSGWGSTIESLCAGLPLACWPFFADQAMNCRYSCNEWGVGMEIDNNVKREEVEMLVKELMEGEKGEKMRGKAMEWKRLAEEAVGPEGTSSINLDKFIHEIISSNN >Potri.008G069050.1.v4.1 pep chromosome:Pop_tri_v4:8:4184858:4185440:1 gene:Potri.008G069050.v4.1 transcript:Potri.008G069050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069050.v4.1 MVLVEAKSTTGHVYTKYGNEHTSGREMLPQLCVRWGILAVCFYYGLNYARWFDLSGLLILASGVFQSEPFEINGAGVLSLVLRYLLTLHPVKKLVSCQPP >Potri.008G029900.1.v4.1 pep chromosome:Pop_tri_v4:8:1616509:1617414:1 gene:Potri.008G029900.v4.1 transcript:Potri.008G029900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029900.v4.1 MAPKAEKKPAEKKPAAAEKAPAEKKPRAEKKLPKEGASEKKKKRTKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.003G112700.1.v4.1 pep chromosome:Pop_tri_v4:3:13510105:13514468:1 gene:Potri.003G112700.v4.1 transcript:Potri.003G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112700.v4.1 MALCSKFLALFLIHTCLLLGLCSAADPFVSYDFEVSYITASPLGVPQQVIAINGKFPGPTINVTTNNNVAINVRNKLDDNLLIHWSGIQQRRSSWQDGLPGTNCPIPPKWNWTYQFQVKDQVGSFFYFPSLHMQRASGGFGSFIINNRAIIPIPFDTPHGDIVILIGDWYKRNHTALRKALDAGKDLGMPDGVLINGKGPYQYNATLVPDGIDYETIEVQPGKTYRIRVHNVGTSTSLNFRIQNHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASTDYYIVASARFVNESQWKRVTGVGILHYTNSKGKAKGPLPDAPNDEFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTDVYVLKNKPLVTINGKRRATLSGISFVNPATPIRLADQFKVKGVYKLDFPNKPLTGSSKMETSVINGTYRGFMEVILQNNDTKMQSYHLSGYAVFVVGMDYGEWTDNSRGTYNKWDGIARSTVQVYPGAWTAILVSLDNVGVWNLRTENLDSWYLGQETYVRIVNPEETNKTELPIPDNALFCGALGKFQKPEDISFAVSITGNGSKLFFTLLMAVCALMSVFG >Potri.003G106200.1.v4.1 pep chromosome:Pop_tri_v4:3:12914371:12927090:1 gene:Potri.003G106200.v4.1 transcript:Potri.003G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106200.v4.1 MASVDNGAQSETKDQATTSTTAATDPYNVEAAEILASSAQHMPIAQAAPIYEQILSLFPTASKFWKQYAEAHMAVNNDDAIKQIFSRCLLNCLHIPLWRCYIRFIRKVNEKKGADGQDEIRKAFDFMLGYVGADMASGPVWMEYITFLKSLPAQTAQEESIRMTAIRKTYQKAIITPTHHVEQLWREYENFENSVSRQLAKGLVSEYQPKYNSARAVYREQKKYVDEIDYNMLAVPPTGSFKEEQQWMAWKRFLTFEKGNPQRIDSVSSNKRIIFTYEQCLMYLYHYQDVWYDYATWHAKSGSIDSAIKVFQRALKALPDSDTLKYAYAELEESRGAIQPARKIYESLLGDGVNATALAHIQFIRFLRRNEGVEAARKYFLDARKSPDCSYHVYVAYALIAFCLDKDSKIAHNIFEAGLKRFMHEPVYILEYADFLSRLNDERNIRALFERALSSLPPEESVEVWKRYIQFEQTYGDLASMLKVEQRRKEALSRTGEDGASALESSLQDVVSRYSFMDLWPCSSKDLDHLARQEWLAKNINKKAEKSAVSNGPATLDKIPAGLASNSNVSGKVIYPDTSQTVIYDPRQKLEAGIPPSTTASGFKAASNPLSNPIGLAPNVFDEVLKATPPALISFLANLPVVEGPAPNVDIVLSICLQSDVPVGKTGKSGTTQTPMLSGPATSDLSGSSRSRPVPSGSSFKTRDRQSGKRKDRDRQEEDETATVQSQPLPRDVFRIRQIQKSRAAATTSQTGSVSYGSALSGDLSGSTT >Potri.009G157700.3.v4.1 pep chromosome:Pop_tri_v4:9:12271136:12273206:-1 gene:Potri.009G157700.v4.1 transcript:Potri.009G157700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157700.v4.1 MAALALTHNLHFTPTLPLKAIKQHQQPKPKTLTITATSSSPLSGRKLRAAVIGGGPAGSSAAEALAAGGVETFLFERSPSTAKPCGGAIPLCMIDEFSIPLHLIDRHVTRMKIISPSNLTVDFGSKTLKSHEFIPMLRREVLDSFLRSRAQSNGAQFITGLVTDIEVPDFLSSKPYVIHHTINNCKRSLAVDLIVGADGANSRVAKIINAGNYRCAIAFQERIKLPDEKMEYYHNLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTACARRDIKVYQRGIRERVKEKIKGGRVIKVEAHPIPEQPRPRRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVKASEGGERMVSEEDLRREYLREWDNKYVNTFRFLDLLQRVFYGSNVGREALVELCGDEYVQRMTFDSYLYKKMASGDRWDDVKLVLNTFGSFMRCKVVGREMEALKL >Potri.009G157700.2.v4.1 pep chromosome:Pop_tri_v4:9:12271136:12273206:-1 gene:Potri.009G157700.v4.1 transcript:Potri.009G157700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157700.v4.1 MAALALTHNLHFTPTLPLKAIKQHQQPKPKTLTITATSSSPLSGRKLRAAVIGGGPAGSSAAEALAAGGVETFLFERSPSTAKPCGGAIPLCMIDEFSIPLHLIDRHVTRMKIISPSNLTVDFGSKTLKSHEFIPMLRREVLDSFLRSRAQSNGAQFITGLVTDIEVPDFLSSKPYVIHHTINNCKRSLAVDLIVGADGANSRVAKIINAGNYRCAIAFQERIKLPDEKMEYYHNLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTACARRDIKVYQRGIRERVKEKIKGGRVIKVEAHPIPEQPRPRRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVKASEGGERMVSEEDLRREYLREWDNKYVNTFRFLDLLQRVFYGSNVGREALVELCGDEYVQRMTFDSYLYKKMASGDRWDDVKLVLNTFGSFMRCKVVGREMEALKLSLFSFSMARKKVEWM >Potri.009G157700.1.v4.1 pep chromosome:Pop_tri_v4:9:12271278:12273206:-1 gene:Potri.009G157700.v4.1 transcript:Potri.009G157700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157700.v4.1 MAALALTHNLHFTPTLPLKAIKQHQQPKPKTLTITATSSSPLSGRKLRAAVIGGGPAGSSAAEALAAGGVETFLFERSPSTAKPCGGAIPLCMIDEFSIPLHLIDRHVTRMKIISPSNLTVDFGSKTLKSHEFIPMLRREVLDSFLRSRAQSNGAQFITGLVTDIEVPDFLSSKPYVIHHTINNCKRSLAVDLIVGADGANSRVAKIINAGNYRCAIAFQERIKLPDEKMEYYHNLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTACARRDIKVYQRGIRERVKEKIKGGRVIKVEAHPIPEQPRPRRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVKASEGGERMVSEEDLRREYLREWDNKYVNTFRFLDLLQRVFYGSNVGREALVELCGDEYVQRMTFDSYLYKKMASGDRWDDVKLVLNTFGSFMRCKVVGREMEALKL >Potri.010G080267.1.v4.1 pep chromosome:Pop_tri_v4:10:10730562:10734512:1 gene:Potri.010G080267.v4.1 transcript:Potri.010G080267.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080267.v4.1 MKKMVVLISSAICVAMRSSVFCSTHVSPSFPLSFFSRLLSSILLLLLSSLAAFFTFLCSSFLCFSLPYIPLSLCFFFYSLSRPLPLPLSSLHSLLSVFFFCLVDFFLFSLSVPFFPPVFSSSPLSPLSPFQCSTSSAFIARG >Potri.009G033400.1.v4.1 pep chromosome:Pop_tri_v4:9:4381985:4384544:-1 gene:Potri.009G033400.v4.1 transcript:Potri.009G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033400.v4.1 MAKRELSSTLKNLKFMQRAVQREEKTKKQEEEVKPDGNFFSPGTIKKCVVVMEGDPHPGAVLGRMSFQSFNPSVDKLKEAAANEASDARASTSSYQSGRTSFSENESSPEGVECSNTAKPSSEANGDHKRKQYELASEIQHQNKSPKMVQDGHQSSPNSSKGSFKQPKRGKLDWNVLRPKSQHNQNKRG >Potri.002G047100.2.v4.1 pep chromosome:Pop_tri_v4:2:3083610:3087228:1 gene:Potri.002G047100.v4.1 transcript:Potri.002G047100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047100.v4.1 MASSMLNGAENLTLIRGITPKGLGFGGSDLQGRHFSKVNVVASTRISKARTLTPMCSLSASRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPADLSDRNMLVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKDCKIIEGDAEDLPFRTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLGGKACLIGPVYPTFWLSRFFADAWMLFPKEEEYIEWFQKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKAEDVTEPVKPFVFLMRLILGAMAATYYVLVPIYMWLKDQIVPKGRPI >Potri.011G079700.1.v4.1 pep chromosome:Pop_tri_v4:11:8576002:8581628:-1 gene:Potri.011G079700.v4.1 transcript:Potri.011G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079700.v4.1 MEALQSLYLSSSPLNPLHQKSHNATKPPPERISFIKASSSSTVSAPKREKDPKKRVVITGMGLVSVFGNDVDAYYDKLLAGESGIGTIDRFDASKFPTRFAGQIRGFNAQGYIDGKNDRRLDNCLRYCIVAGKKALEDADLGGNNLSKIDKEKAGVLVGTGMGGLTVFSDGVQSLIEKGHRKITPFFIPYAITNMGSALLGIELGFMGPNYSISTACATSNYCFYAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDRDRDGFVMGEGAGVLVMESLEHAMKRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIERSLEDAGVSPEEVNYINAHATSTLAGDLAEINAIKKVFKNTSEIKINATKSMIGHCLGASGGLEAIATVKAITTGWLHPSINQFNPEPSVEFDTVANKKQQHAVNVAISNSFGFGGHNSVVAFSAFKP >Potri.009G103200.2.v4.1 pep chromosome:Pop_tri_v4:9:9088398:9091157:-1 gene:Potri.009G103200.v4.1 transcript:Potri.009G103200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103200.v4.1 MAKSKQTYEEIRQKRVEENKKRMEELNLTKLSQSLLSKPSPLKKGKPRTFRPAAALTPVRRSTRVSDRPTVSYKELPVETLERTRRSYSYRRRGFGVYASSEARAYAIDKAEQIQSRLGADFPSFLKPMVQSHVSGCFWLGLPVYFCKSHLPLSDEMMTLEDENGDEFQTKYLAQKTGLSGGWRGFSIDHELVDGDALVFQLVSPTRFKVYIARAYDSEDNENKEDMEEDNEGKENIDNDKNSDSKHLDGIAKRTRASRK >Potri.010G251800.1.v4.1 pep chromosome:Pop_tri_v4:10:22415299:22416526:1 gene:Potri.010G251800.v4.1 transcript:Potri.010G251800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G251800.v4.1 MAVKVCELCRREAGVYCDSDAAYLCFDCDSNVHNANFLVARHARRVICSGCGSITGNPFSGHTPSLSRVTCCSCSPGNKELDSISCSSSSTLSSACISSTETTRFENTRKGVKATSSSSSVKNIPGRSLRDRLKRSRNLRSEGVFVNWCKRLGLNGNLVVQRATRAMALCFGRLALPFRVSLAASFWFGLRLCGDKSVTTWENLRRLEEVSGVPNKLIVTVEMKIEQALRSKRLQLQKEMEEGWAECSV >Potri.018G069700.2.v4.1 pep chromosome:Pop_tri_v4:18:8389368:8391715:1 gene:Potri.018G069700.v4.1 transcript:Potri.018G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069700.v4.1 MTILIPQPELSEGHIDDYDAKELVLDGGFVVPNKTEAFDAPVINSFGNSFRDYNAESERQKTVEEFYRQQHINQSYDYVMKMREEYGKLDKAVMSIWECCELLNDVVDDSDPDLDEPQIQHLLQSAEAIRKDYPNEDWLHLTALIHDLGKVLLLPQFGQLPQWAVVGDTFPLGCAFDESNVHHKYFTDNPDFKNPAYSTKNGIYKEGCGLDNVVISWGHDDYMYLVAKENGTTLPHAALFIIRYHSLYPLHKAGAYKHLMNKEDEEDLKWLNIFNKYDLYSKSKVLVDVDEVKPYYQSLIKKYFTEKLRW >Potri.008G125300.1.v4.1 pep chromosome:Pop_tri_v4:8:8141206:8142715:-1 gene:Potri.008G125300.v4.1 transcript:Potri.008G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125300.v4.1 MVAESILAIAFFLVLICLFRSKNGLPWNWPLLGMSPTLLLNSHRFYELADEILEISGGTYLFKGLWFSNMDMWFTSDPENVHYITTTNYWNYPKGPESMQVFDTLGNSLFNLDFEEWTYYRGLLHGFFSHQKFHQFVPKVLVDNVNKGLVPFLEDVAKQALVVDLQDMFKRHIYDAACAIATGYNPKTLSIGFEENAFVRAMDDACVAMLTRHILPGRCWKLLRWLQIGSEKRLSVAKGTLQELSAGAKTKEDEETFDVLRSFLTINDVNDKEHPDEIVRDSTIGIIFAAYDTSSATLSWFFWLLSKNPHVETKIREELDSNFSVKEGQNWQLNSRKELSKLVYLHATLCETLRLYPPVPLQRRTPVRPDIFPSGHHVDPKAIVVLSGYAIGRMARVWGQDCHEFKPERWINEKGDLKYERSAKFFTFNAGPRICPGKEMAFSIMKAAAATILYNYHVQVVETRPVTPKASIILQMKHGLRARICSRWT >Potri.018G100700.5.v4.1 pep chromosome:Pop_tri_v4:18:12082237:12085603:1 gene:Potri.018G100700.v4.1 transcript:Potri.018G100700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100700.v4.1 MVVIDPEETVLENTPKPPQPAPSTSKTTTDSTSSETTTTTTKAAAVKGSDSDGFETASESGVSDNEEEQVENVSKEISSKNTTEDQPKQDTQNDDELIQRGIEEANEAKLEGNRLFGNGQYEEALLQYDVALQVSPPDVPSSIELRSICHSNRGVCFLKLGKFEDTIKECSKALELNPSYMKALVRRGEAHEKLEHFEEAIADMKKILELDPSNDQAKRTIRRLEPLAAAKREKMNEEMIGKLKDMGNTLLGRFGMSVDNFKAVQDPNTGSYSISFQR >Potri.010G043800.3.v4.1 pep chromosome:Pop_tri_v4:10:7549595:7553374:-1 gene:Potri.010G043800.v4.1 transcript:Potri.010G043800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043800.v4.1 MTKANQSIPYQSIFFCFFGYVLLRERVVVGDPRSQTVQVMCGRQLEHNATIFVPNFVATMGNISDQMRASGFGVAVSGSGPDINYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNGGRIYLDGCFMRAENYSFYEEYLGPGDKAVCGNTTRKSSTFAESTRQAVSQAVTSASNNQGYARVQLTVPGTNESAYVLANCWKTLNASSCRACLENATASILGCLPWSEGRALYTGCFMRYSDIDFLNDELGNGSSRGSIVIIVVSVISSLVVLGLGVSIGVYIWKRRYIMKKRRGSNEAQKLARTLNDSSLNFKYSTLEKGTGSFDETNKLGQGGFGSVYKGALPDGREIAVKRLFFNNRHRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESLLVYEFLPNRSLDRFIFDQNKGKELTWEKRYEIITGTAEGLAYLHMNSSIRIIHRDIKASNILLDSRLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYGFGVLLLEIVTGRQNNRSKNSEYTESLVILTWKKFQAETVEELYDPNLMLHNHHDNNVMNDVKRAVHVGLLCTQEIPSLRPTMSKALQMLTTEEHLPRPSNPPFIDEMTMELNDICEDPCYPLNSGTSASIATIENSSFHPR >Potri.010G043800.1.v4.1 pep chromosome:Pop_tri_v4:10:7549665:7554187:-1 gene:Potri.010G043800.v4.1 transcript:Potri.010G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043800.v4.1 MTKANQSIPYQSIFFCFFGYVLLRERVVVGDPRSQTVQVMCGRQLEHNATIFVPNFVATMGNISDQMRASGFGVAVSGSGPDINYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNGGRIYLDGCFMRAENYSFYEEYLGPGDKAVCGNTTRKSSTFAESTRQAVSQAVTSASNNQGYARVQLTVPGTNESAYVLANCWKTLNASSCRACLENATASILGCLPWSEGRALYTGCFMRYSDIDFLNDELGNGSSRGSIVIIVVSVISSLVVLGLGVSIGVYIWKRRYIMKKRRGSNEAQKLARTLNDSSLNFKYSTLEKGTGSFDETNKLGQGGFGSVYKGALPDGREIAVKRLFFNNRHRAADFYNEVNMISSVEHKNLVRLLGCSCSGPESLLVYEFLPNRSLDRFIFDQNKGKELTWEKRYEIITGTAEGLAYLHMNSSIRIIHRDIKASNILLDSRLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYGFGVLLLEIVTGRQNNRSKNSEYTESLVILTWKKFQAETVEELYDPNLMLHNHHDNNVMNDVKRAVHVGLLCTQEIPSLRPTMSKALQMLTTEEHLPRPSNPPFIDEMTMELNDICEDPCYPLNSGTSASIATIENSSFHPR >Potri.018G023300.1.v4.1 pep chromosome:Pop_tri_v4:18:1721288:1721914:1 gene:Potri.018G023300.v4.1 transcript:Potri.018G023300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023300.v4.1 MAAPSSQSRRSSGPVLRSLSPRGGLQTRYNNSLSSSSASAFASSTSSSFYSPPSTFFQNSHQRSASPTRVNLYSTSTLSPSFRFSIDRSISPNRSISVSKKNHSHPISAPKRTCMCSPTTHRGSFRCSLHKNTPSSANPAPFTPNRLNMRRSAMTNSLVRIGGVEGEWVKRALTALIRPSSHQQRRRGAFQPRPSRLSIISNADDEIC >Potri.011G073241.2.v4.1 pep chromosome:Pop_tri_v4:11:7345738:7363684:1 gene:Potri.011G073241.v4.1 transcript:Potri.011G073241.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073241.v4.1 MFTTLQPPHGLLQLCRVMVVVITLFSSATFSSVIPYQLHPDEVTALRQIGKTLTPGGQPFEVGDACNQPGTLHDMNLLKQDPKANSTIICSCTLILNNDSYCHITSLSLKTLNLQGKLPSKMVNLAYLEFLDLTRNYISGNIPEEWASMKHLTYLSLTSNRLSGNIPGYLGNYRSLTYLSLEANQFSGTIPYQLGDLVNLTDLILSSNQLEGNLPNTLTKLNLTDFRASDNNLSGRIPDFIGNWSNLVRLELYASGLEGPIPCAILSLEKLRDLRITDMSGPESNLPNIPPIVKNLVLRNINLTGVIPGDVWTSDSLKVLDLTFNKLEGDIPPYAKTRDYMFLSGNKLKGSVPDSFLETDKNIDVSYNNFSWLPSCQDHTRGINTYRSSFIKNNLSGLLPCSSIHECPKSYRSFHINCGGPDLTSESILYEGDRSFESTNAATIYSKEGSNWGFSNTGDFMDDDGQGPGYTLPSNYSYFPTDTVYSTARRAAISLTYYGYCLENGMYTVKLDFAEIQFTDDESYKRVGKRFFDIYIQGKLEIKDFNIKEAAKGSNKTYSIEFKANVTDNTLEIRLYWNGKGTTCIPQRGNYGPIISAITVCSGQSTYCPEPGEASKIPIVVGVVTSALLLVFLVMGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSADKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVVQKKGNLMEMVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSKQDLFPPSDKSWIGNSSTSAHDLYPINPESINLNISETSSLIE >Potri.011G073241.1.v4.1 pep chromosome:Pop_tri_v4:11:7345746:7363679:1 gene:Potri.011G073241.v4.1 transcript:Potri.011G073241.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073241.v4.1 MFTTLQPPHGLLQLCRVMVVVITLFSSATFSSVIPYQLHPDEVTALRQIGKTLTPGGQPFEVGDACNQPGTLHDMNLLKQDPKANSTIICSCTLILNNDSYCHITSLSLKTLNLQGKLPSKMVNLAYLEFLDLTRNYISGNIPEEWASMKHLTYLSLTSNRLSGNIPGYLGNYRSLTYLSLEANQFSGTIPYQLGDLVNLTDLILSSNQLEGNLPNTLTKLNLTDFRASDNNLSGRIPDFIGNWSNLVRLELYASGLEGPIPCAILSLEKLRDLRITDMSGPESNLPNIPPIVKNLVLRNINLTGVIPGDVWTSDSLKVLDLTFNKLEGDIPPYAKTRDYMFLSGNKLKGSVPDSFLETDKNIDVSYNNFSWLPSCQDHTRGINTYRSSFIKNNLSGLLPCSSIHECPKSYRSFHINCGGPDLTSESILYEGDRSFESTNAATIYSKEGSNWGFSNTGDFMDDDGQGPGYTLPSNYSYFPTDTVYSTARRAAISLTYYGYCLENGMYTVKLDFAEIQFTDDESYKRVGKRFFDIYIQGKLEIKDFNIKEAAKGSNKTYSIEFKANVTDNTLEIRLYWNGKGTTCIPQRGNYGPIISAITVCSGQSTYCPEPGEASKIPIVVGVVTSALLLVFLVMGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSADKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFATGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVVQKKGNLMEMVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSKQDLFPPSDKSWIGNSSTSAHDLYPINPESINLNISETSSLIE >Potri.001G457800.1.v4.1 pep chromosome:Pop_tri_v4:1:48340531:48347673:-1 gene:Potri.001G457800.v4.1 transcript:Potri.001G457800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457800.v4.1 MMMDGGSIEQAPKIGDNSTEGAVFDASQYAFFGKDHVEEVELGGLEDGEELPAADFEEEEFLFDRQEGEVLRSLSDIDGLAITFSKLNKGVNGPRSTGIISDRGSRESSSAAEWAQGEDFPNWFDQQLLDQDGVEDGTRWSSQPYSSTARVAESKHLYRTSSYPEQQQQQQQQQPHHQHYSSEPILVPKSSYTSNPPLGGQSPQSSPNRSHLNIQYLAGGHQIALSPFSNSQLPLSSLHHGSPHYGGNLPQFSSGLSANSRPPSQQWVNHTGLYPGDHPNRLTNMLQPPLSHQNGIMPPQLIPQLQSQQHRLHPPVQSSSGHLLGMQSQVFNPHLSLSPPMTNNFDTMLGLTDRDQRPKSVQKVRPMVRYPQQGFDVNGQKFDIGWPQFRSKHMTTDEIETILRMQLAATHSNDPYVDDYYHQACLSKKTARAKLRHHFCPIHLRDLPPRARANAEPHAFLQVDALGRIPFSSIRRPRPLLEVEPPNSTGGGNTEQNSAEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLEFNQFHEGGTQLRRRRQALLEGLAASMQLVDPLGKNGHTVGLAPKDDFVFLRLVSLPKGQKLLARYLQLIFPGGDLMRIVCMAIFRHLRFLFGGLLFDIGVAETTNNLVRSVSLCVRRMDLCSLSACLAAVVCSSEQPPLRPLGSSAGNGASLILLSVLERATELLNDLHDASDYNATNGALWKASFDEFFSLLIKYCINKYDGIMQSSLSDSDPAESIKRELPMELLRASVPLTNDYQKKLLYDLSQRSLVGQDGDNGGDINSEVVLS >Potri.001G457800.2.v4.1 pep chromosome:Pop_tri_v4:1:48340530:48347555:-1 gene:Potri.001G457800.v4.1 transcript:Potri.001G457800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457800.v4.1 MMMDGGSIEQAPKIGDNSTGAVFDASQYAFFGKDHVEEVELGGLEDGEELPAADFEEEEFLFDRQEGEVLRSLSDIDGLAITFSKLNKGVNGPRSTGIISDRGSRESSSAAEWAQGEDFPNWFDQQLLDQDGVEDGTRWSSQPYSSTARVAESKHLYRTSSYPEQQQQQQQQQPHHQHYSSEPILVPKSSYTSNPPLGGQSPQSSPNRSHLNIQYLAGGHQIALSPFSNSQLPLSSLHHGSPHYGGNLPQFSSGLSANSRPPSQQWVNHTGLYPGDHPNRLTNMLQPPLSHQNGIMPPQLIPQLQSQQHRLHPPVQSSSGHLLGMQSQVFNPHLSLSPPMTNNFDTMLGLTDRDQRPKSVQKVRPMVRYPQQGFDVNGQKFDIGWPQFRSKHMTTDEIETILRMQLAATHSNDPYVDDYYHQACLSKKTARAKLRHHFCPIHLRDLPPRARANAEPHAFLQVDALGRIPFSSIRRPRPLLEVEPPNSTGGGNTEQNSAEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLEFNQFHEGGTQLRRRRQALLEGLAASMQLVDPLGKNGHTVGLAPKDDFVFLRLVSLPKGQKLLARYLQLIFPGGDLMRIVCMAIFRHLRFLFGGLLFDIGVAETTNNLVRSVSLCVRRMDLCSLSACLAAVVCSSEQPPLRPLGSSAGNGASLILLSVLERATELLNDLHDASDYNATNGALWKASFDEFFSLLIKYCINKYDGIMQSSLSDSDPAESIKRELPMELLRASVPLTNDYQKKLLYDLSQRSLVGQDGDNGGDINSEVVLS >Potri.014G165400.1.v4.1 pep chromosome:Pop_tri_v4:14:11981261:11986009:-1 gene:Potri.014G165400.v4.1 transcript:Potri.014G165400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165400.v4.1 MYQWRKFEFFEEKYGGKSSIPEDVTAGKIECCSSGRGKVVIGCDDGTVSLLDRGLKFNFSFQSHSSSVLFLQHLKQRNFLVTVGEDEQISPQQSAMCLKVFDLDKMQSEGTSAATTPDCIGILRIFTNQFPEANITSFLVLEEAPPILLMAIGLDNGCIYCIKGDIARERITRFKLQVDNVSDKSHSTITGLGFRVDGQALQLFAVTPDSVSLFSMHNQPPRRQTLDQIGCNFNSVTMSDRLELIIGRPEAVYFYEVDGRGPCWAFEGEKKFLGWFRGYLLCVIADQRTGKDTFNVYDLKNRLIAHSLVVKEVSHMLCEWGNIILIMTDKSTLCIGEKDMESKLDMLFKKNLYTVAINLVQSQQADAAATAEVLRKYGDHLYSKQDYDEAMAQYISTIGHLEPSYVIQKFLDAQRIYNLTSYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNVFIKSEDGAGEHKFDVETAIRVCRAANYHEHAMYVAKKAGRHELYLKILLEDLGRYGEALQYISSLEPSQAGVTVKEYGKILIEHKPVKTIEILMRLCTEDGESTKRESSSSTYLTMLPSPVDFLNIFIHHPPSLMDFLEKYTDKVKDSPAQVEIHNTLLELYLSNDLNFPSISQASNGVDHTLKARSGSLVMPKAESKLKSSADRKDTSKERDRMERCEKGLRLLKSAWPSDLEQPLYDVDLAIILCEMNAFKDGLLYLYEKMKLYKEVIACYMQSQDHEGLIACCKKLGDSGKGGDPSLWADLLKYFGELGEDCSKEVKDVLTYIERDDILPPIIVLQTLSRNPCLTLSVIKDYIARKLEQESKLIEEDRRAIEKYQEDTLTMRKEIQDLRTNARIFQLSKCTACTFTLDLPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVLETKRSLEQNSKDQDRFFQQVKSSKDGFSVIAEYFGKGIISKTSNGSTGTGRTGDTSSSSGF >Potri.019G014200.2.v4.1 pep chromosome:Pop_tri_v4:19:1839383:1847091:1 gene:Potri.019G014200.v4.1 transcript:Potri.019G014200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014200.v4.1 MELNDPRQQQHHHFTSYFSSTPTTTNTPSPPNGLLPPHHPTDSTTPTGSHLLYPHSMGPSTTATVTGGGAPVEATSAKRKRGRPRKYGTPELALAAKKTATSASVAASRERKEQHQAGSSSTTSSFSGTAGHGFTPHVITVAAGEDVGQKIIQFLQQSTREMCILSASGSVMNVSLRQPATSGGNISYEGRFEIISLSGSYIRTDMGGRAGGLSVCLSDSNGQIIGGGVGGPLKAAGPVQVIVGTFVLDNKKDGSGKGDASGSKLPSPVKASVPSFGFRLPVESPVRNPARGNDDLLTVGGGNPFTMQPSTMHLLSARTMDWRSSPDVRTTAGYDFTGRTGHGGSQSPVNGDYD >Potri.019G014200.1.v4.1 pep chromosome:Pop_tri_v4:19:1839324:1847062:1 gene:Potri.019G014200.v4.1 transcript:Potri.019G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014200.v4.1 MELNDPRQQQHHHFTSYFSSTPTTTNTPSPPNGLLPPHHPTDSTTPTGSHLLYPHSMGPSTTATVTGGGAPVEATSAKRKRGRPRKYGTPELALAAKKTATSASVAASRERKEQHQAGSSSTTSSFSGSSSKKSQHVLGTAGHGFTPHVITVAAGEDVGQKIIQFLQQSTREMCILSASGSVMNVSLRQPATSGGNISYEGRFEIISLSGSYIRTDMGGRAGGLSVCLSDSNGQIIGGGVGGPLKAAGPVQVIVGTFVLDNKKDGSGKGDASGSKLPSPVKASVPSFGFRLPVESPVRNPARGNDDLLTVGGGNPFTMQPSTMHLLSARTMDWRSSPDVRTTAGYDFTGRTGHGGSQSPVNGDYD >Potri.004G063400.2.v4.1 pep chromosome:Pop_tri_v4:4:5358373:5361913:1 gene:Potri.004G063400.v4.1 transcript:Potri.004G063400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063400.v4.1 MVTFRFHQYQVVGRALPTGSDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTKIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPSRKLKTTYKASRPNLFM >Potri.001G258600.5.v4.1 pep chromosome:Pop_tri_v4:1:27400524:27404957:-1 gene:Potri.001G258600.v4.1 transcript:Potri.001G258600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G258600.v4.1 MASFALTSSYLSFSSLPCLKSRSLSHLRSTSQNPLPPRPNLPKLSAVSPLLPKLSKSSVTSTTRRISNFAVKASSASSAPTSITPASPPWQGAAMKPLLASIAIGVILWFVPVPSGVTKPAWQLLAIFLATIVGIITQPLPLGAVALMGLGASVLTKTLPFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEHRLGSWLMLTCFQTSVISSSMFLTAMAANPLSATLTFNTIQQTIGWTDWAKAAIVPGLISLIVVPLILYLIYPPTVKSSPDAPKLAREKLEKMGPMTTNEIIMAGTLFLTVGLWIFGGMLNVDAVTAAILGLSVLLITGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPYFGAMVLAFLSNLMGGLTHYGIGSAPIFYGANYVPLAKWWGYGFLISVVNIIIWLGVGGVWWKAIGLW >Potri.017G094200.1.v4.1 pep chromosome:Pop_tri_v4:17:10683983:10684541:1 gene:Potri.017G094200.v4.1 transcript:Potri.017G094200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094200.v4.1 MENILCSVDNMQETPIKSQIQSKPNNLECITPSMEDQEIDKKSENSSKDLRKSGTPDPLKVPKAFKYPERYRSPTDLMISPITKGILARNKKGGALLPPSWNQPKVQDVETQDVVPFKIEL >Potri.004G216000.1.v4.1 pep chromosome:Pop_tri_v4:4:22171645:22175819:1 gene:Potri.004G216000.v4.1 transcript:Potri.004G216000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216000.v4.1 MKGDRMEKRSSFGNIMRRRLSDITNTQSQSKFVGLIEEQPRIPECTQDLIDQLLLVKQEKAVLLKLVEERNKIIELSGNKLRDLRMNYQNLQLQNWNLAQSNSQMLAELNLGREKLKALQHEVVCKDALHKARNLEAQGKADVNCQNAVSQEVEKIEEAECLPEASNDIKPCGRSGRRTGRSRSMGPSTTNRKTAEKEKTETKRRCVRRQSARFKSQEREPAEKLFEIEVAKFPVSRDKSRKENGLTSSITKEETCGAGNEAQVSLRSSIGRPLRRAAEKVQSYKEVPVNVKMRRAEFTNVQL >Potri.001G127100.3.v4.1 pep chromosome:Pop_tri_v4:1:10433456:10439652:1 gene:Potri.001G127100.v4.1 transcript:Potri.001G127100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127100.v4.1 MGSSGSKAHCGGASASSSTGSGRKGRSKERSKVFQSACLGSSCGSRDSTSGDHVSDNGNKENHEGNASAANQNEIDSEEVKIECYGKVKVEQSDEMPCVSSDVELDEWNQASITNTSSRTGSSSARAAAPTQSLTSPSRFLSRFSFIPGNVSFRLSRAVSLGSSRGYPIHSTSLRMLDNEDQIRRHPQSASGAVDGNGNETQQSSDLFATSLVNRTAAHCREHTSASLQLHSQTPDLLDNMQENQNIFLHAGRDGGGTRVGVDGNLHSPRIFNDVDGIGTRLSDRRIGTREPADRNVRFSRTLSVGRLRDRVLRRSSLSDLTLCPLQQEREMRDSSHGSGRQALGGQMRVSESEGNALTSPTAPGYPPSGMSSSLFGIRDNEVETSRSREARYHDLLEHRSNFLERRRRIRSQRLGSRFENLSGHERSCILSGQHRTGRCTCRVRDANLNDETSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSMSSLGSVPAPNEIVESLPVKLYAKAQKHQNEETAQCYICLVEYEEGDSMRVLPCHHEFHRTCVDKWLKEIHRVCPLCRGDICRSDSLPTEN >Potri.001G127100.2.v4.1 pep chromosome:Pop_tri_v4:1:10432734:10439131:1 gene:Potri.001G127100.v4.1 transcript:Potri.001G127100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127100.v4.1 MGSSGSKAHCGGASASSSTGSGRKGRSKERSKVFQSACLGSSCGSRDSTSGDHVSDNGNKENHEGNASAANQNEIDSEEVKIECYGKVKVEQSDEMPCVSSDVELDEWNQASITNTSSRTGSSSARAAAPTQSLTSPSRFLSRFSFIPGNVSFRLSRAVSLGSSRGYPIHSTSLRMLDNEDQIRRHPQSASGAVDGNGNETQQSSDLFATSLVNRTAAHCREHTSASLQLHSQTPDLLDNMQENQNIFLHAGRDGGGTRVGVDGNLHSPRIFNDVDGIGTRLSDRRIGTREPADRNVRFSRTLSVGRLRDRVLRRSSLSDLTLCPLQQEREMRDSSHGSGRQALGGQMRVSESEGNALTSPTAPGYPPSGMSSSLFGIRDNEVETSRSREARYHDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGRCTCRVRDANLNDETSARASISRIVMLAEALFEVLDEIHQQSVVLSSRPSMSSLGSVPAPNEIVESLPVKLYAKAQKHQNEETAQCYICLVEYEEGDSMRVLPCHHEFHRTCVDKWLKEIHRVCPLCRGDICRSDSLPTEN >Potri.001G419800.3.v4.1 pep chromosome:Pop_tri_v4:1:44824198:44846877:-1 gene:Potri.001G419800.v4.1 transcript:Potri.001G419800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419800.v4.1 MAIESVGESIVSKIAELLVEPTIRQFRYMFCFNNFVQEFNEQKKNLALTLDRLQKAVEVAERNAEEIEKDVNKWLEDANNEIKGVDPLENETGKNGKCFTWCPNSIRQFKLSKALAKKTETLRNLEENSKKFPTVSHKAPLQDIEFLPSKGFTPSESSKKAFEQIMEALKDDSIDMIGLYGMGGVGKTTLVKEVGRRAKELHLFHEVLIATVSQNPNVTDIQDQMADSLGLRFDEKSKKGRADRLWQRLQGKKMLIILDDVWKVINMEEIGIPFGDAHKGCKILLTTRLKDICSYMECQPIVLLSLLSENEAWALFKINAGLHDEDSTLNTVAKKVARECKGLPIALVTVGRALRDKSAVEWEVAFKELKNSQYLHMEQIDEQKNAYACLKLSYDYLKHEKTKLCFLLCCLFPEDYNIPIEYLTRYAVGYGLHQDVESIEDARERVYVAIKNLKACCMLLGTKSEEYVKMHDLVRDVAIQIASSEKYGFMVKAGIGLEEWPMSNKSFEGCTVISLMGNELTELPEGLVCPQLKVLLLGLDDGLNVPERFFEGMKAIEVLSLDGGCLSLQSLEFSTNLQSLLLIRCKCKGLIWLRKLQRLKILGFIRFSSVEELPSEMGELKELRLLDVTGCELLRRIPVNLIGRLKKLEELLIGDESFKGWDVVGCDSTEGMNASLTELDSLSHLAVLSLMIPKVECIPRDFVFPRLLKYDILLGDWYSLDKEYPTSTRLYLGDISATSFKAKTFEQLFPTVSQIGFSNIEGLKNIVLSSDQMTIHGHGSQKDFLQRLEHVQVTACGDIRTLFQAKWRQALKNLVSVEINECESLEDVFELGEADEGINEEKELRLLSSLTKLHLQWLPELKCIWKGPTRHVSLQSLIHLELLSLDKLTFIFTPSLAQSLIHLETLQIEQCDELKSLIKEQDGEREIIPNSLGFPKLKTLSISGCDKLEHVFPVSVSPSLLNLEQMKITLADNLKQIFYSGEGDALTRDGVINFPQLRKLILSNCSFFGPKNSAAQLPSLQVLTIHGHEELGNLLAQLQGLTSLETLHVYSLPVPDMRCIWKVLVLSHLTSLVVRNCKRLTHVCTSIMITSLVQLEVLEISTCEELEQIIAKDNDDKKNQILLGSDLQSSCFSNLCQLKIRGCNKLKSLFPVAMASSLKKLQKLEVRESSQILGVFGQDDHASPIDVEKEIVLPDLQELSLEQLPSIVCFSLGCYDFLFPHLETLKVHQCPKLTTKFGTTSNGSMRAQSEVSQVVEDSSTGCSVPTTTCRMWTRNNGWWEEEKVEEEEEEEKVEEEEEWEEEEEEEEEKVEEEEEWEEGEGEEEEEEKVEEEKDEDGGGHDN >Potri.002G024601.1.v4.1 pep chromosome:Pop_tri_v4:2:1596088:1598819:-1 gene:Potri.002G024601.v4.1 transcript:Potri.002G024601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024601.v4.1 MEGLSKSVADLIVYEHPSQSKDVDKAILRELSSLLFKYSQAFDGVVLAYSVEPQDKCARILSGVHPYLGVRLQANMLIFSPKPNMLLEGKVVKLTRESIHCIVLGFSSAIVTDENIRNELKYKSKHGEGVYVSRYHKRHVIKVGAVLRFVVKSLDEEILHISGSLIPANTGSVHWLDKYYVDAGTDSNKKARRQIEEEVEMQEQVIVGGETLPLVNDHEVKRSKKRRRAEDQ >Potri.002G067800.1.v4.1 pep chromosome:Pop_tri_v4:2:4670551:4672662:-1 gene:Potri.002G067800.v4.1 transcript:Potri.002G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067800.v4.1 MPATDYQGSSASLRHSILSLRRDPVHSMDSQQSTSALELELEAFQRQVTERFLELSSAGPDRLLSLAWIQKLLDSFLCCQEEFRVILFNHKSLVHRPPLDRFVQDYFERTVKGLDVCNAIRDGIEQIREWKKLLEIVLCALHNQRMFGEGQFRRAKKALIDLSISMLDEKDSNASSALAHRNRSFGRQQASSRDQHHRNLGHFRSLSWSVSRSWSAARQLQAIGNNLVAPRGNEVVATNGIAVAVYTMNTILLLVMWALVAAIPCQDRGLQVHFSIPRQFPWAQPVVVLHERILEESRKRDRRNAPGLLRELYQMDKCARVMGDLMDWVQFPLTEEKEGEVRQRVNELANVCEVLKEGLDPLERQVREVFHRIVHSRTEGLDSLGRPNHV >Potri.015G068400.1.v4.1 pep chromosome:Pop_tri_v4:15:9396754:9398784:-1 gene:Potri.015G068400.v4.1 transcript:Potri.015G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068400.v4.1 MAKRSFKCFVEQDLGKLPLFLIYAVLEWVLIAVVFIDGLLAFFANEFTFFANEFTKFFELKIPCLLCTRIDLAVVRRDADLYYNQSICETHKKEVSSLAYCRVHKKLSDIRKMREGCLLSFATKKESDCDTYKSPVRVLHKNIELFVDDDRDIHLRLPTGGKDNMVPAEKSNLHQGSCCGNPLKVKAYTKGKIAGTLSQAPTPSPWVPLVSLRNEDPRKLDLSQVRYTELNFSENDSELQDDEDVSNAAHLDKQFTDDVKAAMVPLLTEAENTNEDWTPTFSRGNKFLGILLTDSATASPRAFTRFPRKSFLDETEDASEFTEGTCLSNELVDDDSILHHLKKQVHLDRKLLMALYMELDEERSASAVAANNAMAMITWLQAERAAVQMEAIQYQRMMEEQAEYDQEALQATRDILSKREKQIKGLEFELMAYRENYGALFGQGFMGSGDEIDEDCHELKPHSHSS >Potri.005G237100.6.v4.1 pep chromosome:Pop_tri_v4:5:23503125:23507114:1 gene:Potri.005G237100.v4.1 transcript:Potri.005G237100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237100.v4.1 MRQIRNLKEEHEQRVQEIVLNKNKQLDKIKMDFEAKIATLDQELLRSAAENAALSRSLQEHSNMLIKISEEKSQAEAEIEHLKSNIESCEREINSHKYELHVISKELEIRNEEKNMSIRSAEAANKQHMEGVKKVAKLESECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRLRRSPVKPPSPHSSSVTEFSLDNVQKFHKENEFLTERLFAMEEETKMLKEALAKRNSELQASRNLCAKTASKLQSLEAQFHISNQLKSSPKSIIQVPAEGYSSQNISNPPSLTNVSEDGNDDTQSCADSWATISISEFSNFKKYNHSEKLNKAENAKHLEFMDDFLEMEKLACLNADSAATTSNSPNNKTSEVANRDASGEISLQKENTLSEEKHNLDPPVNHLSCNKDSSAIESGSDADLSSFMKLQLRISMLLDSGSKKADLGKILEDIKQVVQDAETGASCVSKEAHCSDATTHDRQTCPEDAGIMGEKEIELFQESKTAAQIMHTVSQELLPAISQIHDFVLLLGKEAMTVHDTSCDSIGLSQKIKEFSITFNKVLYSDRSLVDFVSDLAHILALASGLRFNVLGYKGNEAEISSPDCIDKIALPENKVVQKNSSVETYQNGCANISSPTSNPEVPDDGNLVLGYGSNTTSCKVSLEEFEELKSEKDNMAMDLARCTENFEMTKSQLHETEQLLAEVKSQLASAQKSNSLAETQLKCMTESYRSLETRAQELETEVNLLRLKTETLENVLQEEKKSHQGALTRCKELEEQLQTNESSTVTDIECKQEKEIAAAAEKLAECQETIFLLGKQLNSLCPQTEIMGSPYSERSQIGDVFAEDEPTTSGMNLQDFDQAEMDTGGLANIHKAGAESPINSYNHPCSPSDTESSLLRSPVASKPPKHGPTKSSSSAPMLEKHSRGFSRFFSSKGKNGY >Potri.005G237100.1.v4.1 pep chromosome:Pop_tri_v4:5:23500548:23507081:1 gene:Potri.005G237100.v4.1 transcript:Potri.005G237100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237100.v4.1 MDRRSWPWKKKSSDKTEKAAAAADSGGSQEEKDSYKKPSHVQISVESYTHLTSLEDQVKTYEEQVQTLEGEIKDLNEKLSATHSEMTTKENLVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQRVQEIVLNKNKQLDKIKMDFEAKIATLDQELLRSAAENAALSRSLQEHSNMLIKISEEKSQAEAEIEHLKSNIESCEREINSHKYELHVISKELEIRNEEKNMSIRSAEAANKQHMEGVKKVAKLESECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRLRRSPVKPPSPHSSSVTEFSLDNVQKFHKENEFLTERLFAMEEETKMLKEALAKRNSELQASRNLCAKTASKLQSLEAQFHISNQLKSSPKSIIQVPAEGYSSQNISNPPSLTNVSEDGNDDTQSCADSWATISISEFSNFKKYNHSEKLNKAENAKHLEFMDDFLEMEKLACLNADSAATTSNSPNNKTSEVANRDASGEISLQKENTLSEEKHNLDPPVNHLSCNKDSSAIESGSDADLSSFMKLQLRISMLLDSGSKKADLGKILEDIKQVVQDAETGASCVSKEAHCSDATTHDRQTCPEDAGIMGEKEIELFQESKTAAQIMHTVSQELLPAISQIHDFVLLLGKEAMTVHDTSCDSIGLSQKIKEFSITFNKVLYSDRSLVDFVSDLAHILALASGLRFNVLGYKGNEAEISSPDCIDKIALPENKVVQKNSSVETYQNGCANISSPTSNPEVPDDGNLVLGYGSNTTSCKVSLEEFEELKSEKDNMAMDLARCTENFEMTKSQLHETEQLLAEVKSQLASAQKSNSLAETQLKCMTESYRSLETRAQELETEVNLLRLKTETLENVLQEEKKSHQGALTRCKELEEQLQTNESSTVTDIECKQEKEIAAAAEKLAECQETIFLLGKQLNSLCPQTEIMGSPYSERSQIGDVFAEDEPTTSGMNLQDFDQAEMDTGGLANIHKAGAESPINSYNHPCSPSDTESSLLRSPVASKPPKHGPTKSSSSAPMLEKHSRGFSRFFSSKGKNGY >Potri.005G237100.5.v4.1 pep chromosome:Pop_tri_v4:5:23501937:23507055:1 gene:Potri.005G237100.v4.1 transcript:Potri.005G237100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237100.v4.1 MDRRSWPWKKKSSDKTEKAAAAADSGGSQEEKDSYKKPSHVQISVESYTHLTSLEDQVKTYEEQVQTLEGEIKDLNEKLSATHSEMTTKENLVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQRVQEIVLNKNKQLDKIKMDFEAKIATLDQELLRSAAENAALSRSLQEHSNMLIKISEEKSQAEAEIEHLKSNIESCEREINSHKYELHVISKELEIRNEEKNMSIRSAEAANKQHMEGVKKVAKLESECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRLRRSPVKPPSPHSSSVTEFSLDNVQKFHKENEFLTERLFAMEEETKMLKEALAKRNSELQASRNLCAKTASKLQSLEAQFHISNQLKSSPKSIIQVPAEGYSSQNISNPPSLTNVSEDGNDDTQSCADSWATISISEFSNFKKYNHSEKLNKAENAKHLEFMDDFLEMEKLACLNADSAATTSNSPNNKTSEVANRDASGEISLQKENTLSEEKHNLDPPVNHLSCNKDSSAIESGSDADLSSFMKLQLRISMLLDSGSKKADLGKILEDIKQVVQDAETGASCVSKEAHCSDATTHDRQTCPEDAGIMGEKEIELFQESKTAAQIMHTVSQELLPAISQIHDFVLLLGKEAMTVHDTSCDSIGLSQKIKEFSITFNKVLYSDRSLVDFVSDLAHILALASGLRFNVLGYKGNEAEISSPDCIDKIALPENKVVQKNSSVETYQNGCANISSPTSNPEVPDDGNLVLGYGSNTTSCKVSLEEFEELKSEKDNMAMDLARCTENFEMTKSQLHETEQLLAEVKSQLASAQKSNSLAETQLKCMTESYRSLETRAQELETEVNLLRLKTETLENVLQEEKKSHQGALTRCKELEEQLQTNESSTVTDIECKQEKEIAAAAEKLAECQETIFLLGKQLNSLCPQTEIMGSPYSERSQIGDVFAEDEPTTSGMNLQDFDQAEMDTGGLANIHKAGAESPINSYNHPCSPSDTESSLLRSPVASKPPKHGPTKSSSSAPMLEKHSRGFSRFFSSKGKNGY >Potri.005G237100.4.v4.1 pep chromosome:Pop_tri_v4:5:23500548:23507100:1 gene:Potri.005G237100.v4.1 transcript:Potri.005G237100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237100.v4.1 MDRRSWPWKKKSSDKTEKAAAAADSGGSQEEKDSYKKPSHVQISVESYTHLTSLEDQVKTYEEQVQTLEGEIKDLNEKLSATHSEMTTKENLVKQHAKVAEEAVSGWEKAEAEALALKNHLESVTLSKLTAEDRASHLDGALKECMRQIRNLKEEHEQRVQEIVLNKNKQLDKIKMDFEAKIATLDQELLRSAAENAALSRSLQEHSNMLIKISEEKSQAEAEIEHLKSNIESCEREINSHKYELHVISKELEIRNEEKNMSIRSAEAANKQHMEGVKKVAKLESECQRLRGLVRKKLPGPAALAQMKLEVESLGRDYGDSRLRRSPVKPPSPHSSSVTEFSLDNVQKFHKENEFLTERLFAMEEETKMLKEALAKRNSELQASRNLCAKTASKLQSLEAQFHISNQLKSSPKSIIQVPAEGYSSQNISNPPSLTNVSEDGNDDTQSCADSWATISISEFSNFKKYNHSEKLNKAENAKHLEFMDDFLEMEKLACLNADSAATTSNSPNNKTSEVANRDASGEISLQKENTLSEEKHNLDPPVNHLSCNKDSSAIESGSDADLSSFMKLQLRISMLLDSGSKKADLGKILEDIKQVVQDAETGASCVSKEAHCSDATTHDRQTCPEDAGIMGEKEIELFQESKTAAQIMHTVSQELLPAISQIHDFVLLLGKEAMTVHDTSCDSIGLSQKIKEFSITFNKVLYSDRSLVDFVSDLAHILALASGLRFNVLGYKGNEAEISSPDCIDKIALPENKVVQKNSSVETYQNGCANISSPTSNPEVPDDGNLVLGYGSNTTSCKVSLEEFEELKSEKDNMAMDLARCTENFEMTKSQLHETEQLLAEVKSQLASAQKSNSLAETQLKCMTESYRSLETRAQELETEVNLLRLKTETLENVLQEEKKSHQGALTRCKELEEQLQTNESSTVTDIECKQEKEIAAAAEKLAECQETIFLLGKQLNSLCPQTEIMGSPYSERSQIGDVFAEDEPTTSGMNLQDFDQAEMDTGGLANIHKAGAESPINSYNHPCSPSDTESSLLRSPVASKPPKHGPTKSSSSAPMLEKHSRGFSRFFSSKGKNGY >Potri.003G046850.1.v4.1 pep chromosome:Pop_tri_v4:3:5876505:5883806:1 gene:Potri.003G046850.v4.1 transcript:Potri.003G046850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046850.v4.1 MAIKQSDQKLSPFRTGTLVWSGETSASPKCDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFRTGTMVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKFWPFRTGSPVWSDETSASPTSDSTSTTRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTTDSTSPPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTRDSTSAPQKPTDETSASPSSDSTSTPRKPASGNSYKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTTDSTSPPRKPASGNSCKNLIAIKRSDQKLGPFRTGSLVWSDETSASPTRDSTSAPQKPTDETSASPSSDSTSTPRKPASGNSYKNLMAIKRSDQKLGPFRTGSLVWSDETSASRTSDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPATDSTSPPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSTSPTKDSTSAPQKPTDETSASPSSDSTSTPRKPASGNSYKNLMAIKRSDQKLGPFRTGSLVWSDDTSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPATDSTSPPRKPASGNSRKNLMAIKRSDQKLWPFRTGSPSHQKLSLFRTGTLVWSGETSASPSCDSTSTPRKPASGNYCKNLMAIKRSDQKLGPFRTGSLVWSDDTSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPATDSTSPPRKPASGNSRKNLMAIKRSDQKLWPFRTGSPSHQKLSLFRTGTLVWSGETSASPSCDSTSTPRKPASGNYCKNLMAIKRSDQKLGPFRTGSLVCSGETSASPTRDSTSTPRNLASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSTSPTKDSTSAPQKPTDETSASPSSDSTSTPQKPASGNSYKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSDETSASPATDSTSPPRKPASGNSCKNLMAIKRSDQKFWPFRTGSPVWSDETSASPTSDSTSTTQKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTTDSTSPPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTSVSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVCSGETSASPTTDSTSPPRKPASGNSCKNLIAIKRSDQKLGPFRTGSLVWSDETSASPTRDSTSAPQKPTEETSASPSSDSTSTPRKPASGNSYKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSDETSASPATDSTSPPRKPASGNSCKNLMAIKRSDQKLWPFRTGSPVWSDETSASSATDSTSPPRKPASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTRDSTSAPQKPTDETSASPSSDSTSTPRKPASGNSYKNLMAIKRSDQKLGPFRTGSLVWSDETSASRTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSDDTSASPATDSTSPPRKPASGNSCKNLMAIKRSDQKLGPFRTGPFRTGSLVWSDETSASPSSDSTSTPRKPASGNSYKNLMAIKRSDQKLGPFRTGSLVWSDDTSASPTSDSTSTPRKPASGNSCKNLIAIKRSDQKLGPFRTGSLVWSDETSASPATDSTSPPRKPASGNSSKNLMAIKRSDQKLWPFRTGSPSHQKLSLFRTGTLVWSGETSASPSCDSTSTPRKPASGNYCKNLMAIKRSDQKLGPFRTGSLVCSGETSASPTRDSTSTPRNLASGNSCKNLMAIKRSDQKLGPFRTGSLVWSDETSTSPTKDSTSAPQKPTDETSASPSSDSTSTPQKPASGNSYKNLMAIKRSDQKLGPFRTGSLVWSDETSASPTSDSTSTPRKPASGNSCKNLMAIKRSDQKLWPFRTGSLVWSDETSASPATDSTSPPRKPVSGNSCKNLMAIKRSDQKFWPFRTGSPVWSDETSASPTSDSTSTT >Potri.009G119700.1.v4.1 pep chromosome:Pop_tri_v4:9:10043558:10045093:1 gene:Potri.009G119700.v4.1 transcript:Potri.009G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119700.v4.1 MASSSGTSSGSSLIQNSGSEENLQALMDQRKRKRMISNRESARRSRMRKQKHLDDLVAQVAQLKKENHQIITSINITTQHYLNVEADNSILRAQVSELSHRLEFLNGIISLLNSSNGLFGDSSIFNEPAADSFLNPFNMSYLNQPISASADMFQY >Potri.010G063100.1.v4.1 pep chromosome:Pop_tri_v4:10:9232859:9233335:-1 gene:Potri.010G063100.v4.1 transcript:Potri.010G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063100.v4.1 MRPMQVDLFADMEEQGSTVAMDVDDVDTLEMFGEGVINMENKLADADFFNYFEDDFDDSDIN >Potri.001G202501.1.v4.1 pep chromosome:Pop_tri_v4:1:20283629:20284650:1 gene:Potri.001G202501.v4.1 transcript:Potri.001G202501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G202501.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAISGGGGGGQGGGGGGGSGSGLGSGYGSGSGFRSGEGYDADGRGGGGGGGSGGGGGGGSGGGNGSGSSYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGGGKGGKGSGGGGGGGGGGGGGGGGGGGGSGSGSGYGEGGKN >Potri.012G108900.3.v4.1 pep chromosome:Pop_tri_v4:12:12990382:12994433:-1 gene:Potri.012G108900.v4.1 transcript:Potri.012G108900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108900.v4.1 MVVGHRRPPISPSPSRAILQSKLTKLVSRHEQMKISYQQLKSQIDTGLHQAEEVFASLAIPLMKLVGLKTVEMASEGRFTTIVIDDSDLNQGENFASGAAIAGKEIIEKRKVQFVQLVKLLRQIESQVNSSQDEILQNLDHHHGFLQKLIQKSIGLVSTLDSENHDTTVITVRLLQTISHHVTTVLRSVMDGVDDLIQGLSQQMCNPMLEYAKGLKDDMKNGACMRLLAMADKMEKVMKDSRVELEDARRKARLAEERKIEALCKLKETVERVRKLKEHVTSLSEAKRGLRDSSFSEKFSDMEEDRTKDDKLLWGILKKKRKCKVPESPLGPEMLLYFGATKDNHKPKGVRPLSNHRPARRSCTAGLSPKTPFLNALIPLGSSPSAVNQPVTSLRHTAH >Potri.012G108900.6.v4.1 pep chromosome:Pop_tri_v4:12:12990167:12994514:-1 gene:Potri.012G108900.v4.1 transcript:Potri.012G108900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108900.v4.1 MVVGHRRPPISPSPSRAILQSKLTKLVSRHEQMKISYQQLKSQIDTGLHQAEEVFASLAIPLMKLVGLKTVEMASEGRFTTIVIDDSDLNQGENFASGAAIAGKEIIEKRKVQFVQLVKLLRQIESQVNSSQDEILQNLDHHHGFLQKLIQKSIGLVSTLDSENHDTTVITVRLLQTISHHVTTVLRSVMDGVDDLIQGLSQQMCNPMLEYAKGLKDDMKNGACMRLLAMADKMEKVMKDSRVELEDARRKARLAEERKIEALCKLKETVERVRKLKEHVTSLSEAKRGLRDSSFSEKFSDMEEDRTKDDKLLWGILKKKRKCKVPESPLGPEMLLYFGATKDNHKPKGVRPLSNHRPARRSCTAGLSPKTPFLNALIPLGSSPSAVNQPVTSLRHTAH >Potri.012G108900.7.v4.1 pep chromosome:Pop_tri_v4:12:12991650:12994443:-1 gene:Potri.012G108900.v4.1 transcript:Potri.012G108900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108900.v4.1 MVVGHRRPPISPSPSRAILQSKLTKLVSRHEQMKISYQQLKSQIDTGLHQAEEVFASLAIPLMKLVGLKTVEMASEGRFTTIVIDDSDLNQGENFASGAAIAGKEIIEKRKVQFVQLVKLLRQIESQVNSSQDEILQNLDHHHGFLQKLIQKSIGLVSTLDSENHDTTVITVRLLQTISHHVTTVLRSVMDGVDDLIQGLSQQMCNPMLEYAKGLKDDMKNGACMRLLAMADKMEKVMKDSRVELEDARRKARLAEERKIEALCKLKETVERVRKLKEHVTSLSEAKRGLRDSSFSEKEC >Potri.010G136700.1.v4.1 pep chromosome:Pop_tri_v4:10:15142441:15152094:-1 gene:Potri.010G136700.v4.1 transcript:Potri.010G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136700.v4.1 MWRTLAKYAPSKKLRLTSSSRNLFKESTVIEKFRCPDTKTCLNSTFSLLGSRQVGVLPQNDKLDNPSYGDLTNSFRLSPCFFKGYATAAAAEVISSTDESDLSGSDDFQGSMEKIDKNFRKLESQFMPQQKKMMAGMGIGKYTILKRRQVKMETEAWEQTAREYQEMLEDMCEQKLAPNLPYVKSLFLGWFEPLRDAILAEQELCKVNSRVPHRAYFNDLPADMMAVITMHKLMGLLMTGNGGTASIRVVQAASVVGEAIENEAKIHKFLEKTKKRKNLKEKISEGESVAAIYEGEKLTKEQEKLRKKVTTLMKKQKVHQVRRIVKGHDDSMPWGQEAHLKVGSRLIQLMIETAYIQPPIDQIGDGPPDIRPAFVHTLKTITKDTQKSSRRYGVIECDPLVRKGLEKSARHMVIPYMPMLVPPLNWTGYDQGAYFFLPSYVMRIHGAKQQRVAIKRASRNQLEPVFKALDTLGNTKWRVNKRILGVIDRIWASGGHLAGLVDREDVPLPEEPQTEDEAEIRKWRWKVKSVKKENSERHSQRCDVELKLAVARKMKDEEGFYYPHNVDFRGRAYPMHPYLNHLGSDVCRGILEFAEGRPLGKSGLRWLKIHLANLYAGGVDKLSYDGRISFTENHLDDIFDSADRPLEGQRWWLGAEDPFQCLAVCINLSEALRSPSPETAISHTPVHQDGSCNGLQHYAALGRDKLGAAAVNLVGGGKPADVYSGIAARVLDIMRRDAENDPAINPNSVHAKLLINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERCNIADDPQLYSAACYAAKTTLTALEEMFEGARGIMAWLGECAKVIASENQPVQWTTPFGLPVVQPYRQLGRQLIKTSLQVLTLQRETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKEAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYETPILENLLESFQSSFPNLKFPPLPERGDFDLRDVLQSTYFFN >Potri.006G139900.1.v4.1 pep chromosome:Pop_tri_v4:6:11691132:11696594:1 gene:Potri.006G139900.v4.1 transcript:Potri.006G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139900.v4.1 MSVYSLPSTDILCQSSRSSFHSTGMDFLDSRPIVCDNGSGLMKAGFAGDDVPCVVFPNLIGRPRNKHAMVSMGQKDMYFGDEAEARRGVLSLSYPIHHGIVRCWEGIESVWEHTFDQELRVSTEEHPVLLTEAPLNPKINREKMVEIMFEGFNIPATYIAIQAVLSLYASGRTTGIVMDSGEGVTHVVPIYEGYALPHAIHRLDLAGKDITDYLTKILAEDGYIFTSTAEKEIARDIKERISYVAMDFERELETSRKSAALDKQYELPDGQVITIGAAQFKCPEVLFDPSRVGMDSGGVHEIVVRSISRCDVDIRREMFGNVVLSGGTTVIPGLAERLAKEVSSLAPPGVRVRVVAPPERKYSVWIGGSILGSLSTFQQMWISKEEYMESGSSIVHMKCF >Potri.010G215100.1.v4.1 pep chromosome:Pop_tri_v4:10:20252945:20257818:-1 gene:Potri.010G215100.v4.1 transcript:Potri.010G215100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215100.v4.1 MGCFSCAGKSRKNGSNKKPDDQIPSSSDKTKSNMALDVKEASKDGSSEYIAAHTFTFRELANATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKKRLDWNTRMKVAAGAAKGLEYLHDSANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDETHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWARPLFKDRRKFAQMADPLLQGHYPMRGLYQALAVAAMCVQEQPNMRPLIADVVTALSYLASQNYDPETQPVQGSRTGPSTPGTRREQ >Potri.010G215100.8.v4.1 pep chromosome:Pop_tri_v4:10:20252947:20257859:-1 gene:Potri.010G215100.v4.1 transcript:Potri.010G215100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215100.v4.1 MALDVKEASKDGSSEYIAAHTFTFRELANATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHDLPPDKKRLDWNTRMKVAAGAAKGLEYLHDSANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDETHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWARPLFKDRRKFAQMADPLLQGHYPMRGLYQALAVAAMCVQEQPNMRPLIADVVTALSYLASQNYDPETQPVQGSRTGPSTPGTRREQ >Potri.018G132100.1.v4.1 pep chromosome:Pop_tri_v4:18:14190386:14192293:-1 gene:Potri.018G132100.v4.1 transcript:Potri.018G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132100.v4.1 MAKECRNSLKLALLVSCSLLVLAFSSFSVQALNIGVQTTDSAISLSKDCSRKCESEFCSVPPFLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDACVQAKNNDYLSQECSQNFINCMNNFRNSGAHTFKGNKCQVDEVIDVISVVMEAALLAGRALHKP >Potri.004G109166.1.v4.1 pep chromosome:Pop_tri_v4:4:9728497:9731648:1 gene:Potri.004G109166.v4.1 transcript:Potri.004G109166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109166.v4.1 MGSIDDFSRNSFPDDFVFGTSSSAYQYEGETNKHGRGPAIWDTFTVEHTERINDHSNGNVAVDFYHRYKEDVQRMKEMGMDAFRFSISWSRVLPHGRLSAGVNEEGIKFYNDLIDDLLKNGLQPYVTLFHWDTPQALEDKYGGFLSPNIVNDFRDFADLCFQNFGDRVKKWITLNEPWMFSVQGYDMGTMAPGRISVVVNDPHRSLNTGATEVYTVSHHLLLAHAAAVKLYKEKYQSCQGGQIGITLVSHWFEPYSNSEADQNATKRSLDFMLGWFMDPLTNGDYPRNMHDFVGGRLPEFTAEESKMLKGSYDFIGINYYTTYYAQNIDANYQSVGFMSDARANWTGERNGIPIGPQAGVKWLYIYPEGISRLLNYTKDLYGSPTIYITENGVDDVNNNASSLKEALNDPIREKSYKDHLKNVLRSINEHGVDVKGFFAWSLMDNFEWGSGYAVRFGLYYVDYKNDLKRYPKQSVKWFKQFLRRDSHSPIPHTYPLITSNETSKIEDSLVRDAKRPRNA >Potri.005G214500.1.v4.1 pep chromosome:Pop_tri_v4:5:21778930:21780785:1 gene:Potri.005G214500.v4.1 transcript:Potri.005G214500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214500.v4.1 MSCKKILGFCRTFTKLGLMGRFNITSFSIPFVLLFIKSIQSSHGLSVSCMIDYDNGGAPAVYESQECPRWVLSNGSLKNQNIKNCQFATLQGRREYQEDRVVCNLDMKLPLLKNNSLLDFEEDTVGIVAVFDGHGGKEASEMGSKLLFDYFKVHLVFLSYKLMGIYKGEMPLSDYKANKLGVLRESLLKTIFDIDLKFTQEAIKNGYFSGSTANVVLLYDGQILVANVGDSKALLMSEKIPSGDSRVNLSATELTYDHHPEREDEKARIKTAGGSVIVWGVPRVNGVLAMSRSIGDVALKRFGVIAEPEFTGWRVLTANDSYLVVASDGIFESLKPQDVAELIFEWNLIPERREESKLPLSCMFSESLAECIITIAYEKGSHDNLSAIVVPL >Potri.010G012400.2.v4.1 pep chromosome:Pop_tri_v4:10:2254071:2255992:-1 gene:Potri.010G012400.v4.1 transcript:Potri.010G012400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G012400.v4.1 MAFKSFLFVSLVGAAILAAPVAEAQLGLIGGLLGLIRIQGTLFCTANGNMGANGTATPVFPNALVQLQCGGNVVSTSTTNGSGIFSILLDPLNYILSSLLTNCNLKVDTPLTSCNSSLPALGGLLSSLQFIGNTPLGALLSVANIIPAGFRFLPSN >Potri.011G036600.1.v4.1 pep chromosome:Pop_tri_v4:11:2818661:2826240:-1 gene:Potri.011G036600.v4.1 transcript:Potri.011G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G036600.v4.1 MSKSQGPVCLIMEAEKLFLLFSLIMLQFLSCTSQESLKTNQTIKEGDLLISKGNIFALGFFSPGSSTNRYLGIWYHKIPEQTVVWVANRNDPIIGSSGFLFINQFGNLVLYRKDDQKLLVWSTNVSVEENDTCEAQLLDSGNLILVRKRSRKIVWQSFDYPTNIQLPGMKLGLDRKLGTDRFLTSWRSADDPGIGDFSIRINPNGSPQFFLYNGTKPITRAPPWPWRSQMGTFKSVFVNDPDEIYCQSTVPDGYYLVRLIVDHSGLLKMLTWRESDGRWKEYWKSPQLQCDYYGYCGAYSTCELANYNAFGCACLPGFEPKNPMEWSLRDGSGGCVRKRLQTSSVCDHGEGFVKVENVILPDTSAAAWVDMSKSRAEYCELECKRNCSCSAYAVIVIPGKGDGCLNWHKELVDIKYDRIESHDLYVRVDAYELAGNTRKLNGSREKTMQAILAPSIALLLFLISLTAYLRLKKGAKKGTELQINSNSTESECFKLSTIMAATNNFSPANELGQGGFGSVYKGLLANGLEVAIKRLSRSSRQGIEEFKNEVMVIAKLQHRNLVKLLGYCNQDGEQMLIYEYLPNKSLDSFLFHESRRLLLDWRKRFDIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDAEMNPKISDFGMAKIFEGNQTEDRTRRVVGTFGYMSPEYAVLGNFSVKSDVFSFGVVLLEIVSGKKNNRFYQQNPPLTLIGYVWELWREDKALEIVDPSLTELYDPREALKCIQIGLLCVQEDATDRPSMLAVVFMLSSETEIPSPKQPAFLFRKSDNNPDIALDVEDGQCSVNEVTISEIASR >Potri.011G036600.2.v4.1 pep chromosome:Pop_tri_v4:11:2818839:2826241:-1 gene:Potri.011G036600.v4.1 transcript:Potri.011G036600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G036600.v4.1 MSKSQGPVCLIMEAEKLFLLFSLIMLQFLSCTSQESLKTNQTIKEGDLLISKGNIFALGFFSPGSSTNRYLGIWYHKIPEQTVVWVANRNDPIIGSSGFLFINQFGNLVLYRKDDQKLLVWSTNVSVEENDTCEAQLLDSGNLILVRKRSRKIVWQSFDYPTNIQLPGMKLGLDRKLGTDRFLTSWRSADDPGIGDFSIRINPNGSPQFFLYNGTKPITRAPPWPWRSQMGTFKSVFVNDPDEIYCQSTVPDGYYLVRLIVDHSGLLKMLTWRESDGRWKEYWKSPQLQCDYYGYCGAYSTCELANYNAFGCACLPGFEPKNPMEWSLRDGSGGCVRKRLQTSSVCDHGEGFVKVENVILPDTSAAAWVDMSKSRAEYCELECKRNCSCSAYAVIVIPGKGDGCLNWHKELVDIKYDRIESHDLYVRVDAYELAGNTRKLNGSREKTMQAILAPSIALLLFLISLTAYLRLKKGAKKGTELQINSNSTESECFKLSTIMAATNNFSPANELGQGGFGSVYKGLLANGLEVAIKRLSRSSRQGIEEFKNEVMVIAKLQHRNLVKLLGYCNQDGEQMLIYEYLPNKSLDSFLFRKSLQVFIALIYSSCQILPV >Potri.011G036600.3.v4.1 pep chromosome:Pop_tri_v4:11:2824800:2826149:-1 gene:Potri.011G036600.v4.1 transcript:Potri.011G036600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G036600.v4.1 MSKSQGPVCLIMEAEKLFLLFSLIMLQFLSCTSQESLKTNQTIKEGDLLISKGNIFALGFFSPGSSTNRYLGIWYHKIPEQTVVWVANRNDPIIGSSGFLFINQFGNLVLYRKDDQKLLVWSTNVSVEENDTCEAQLLDSGNLILVRKRSRKIVWQSFDYPTNIQLPGMKLGLDRKLGTDRFLTSWRSADDPGIGDFSIRINPNGSPQFFLYNGTKPITRAPPWPWRSQMGTFKSVFVNDPDEIYCQSTVPDGYYLVRLIVDHSGLLKMLTWRESDGRWKEYWKSPQLQCDYYGYCGAYSTCELANYNAFGCACLPGFEPKNPMEWSLRDGSGGCVRKRLQTSSVCDHGEGFVKVENVILPDTSAAAWVDMSKSRAEYCELECKRNCSCSAYAVIVIPGKGDGCLNWHKELVDIKYDRIESHDLYVRVDAYELGTLFLLRNNFKGL >Potri.001G274004.1.v4.1 pep chromosome:Pop_tri_v4:1:28781354:28782462:1 gene:Potri.001G274004.v4.1 transcript:Potri.001G274004.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274004.v4.1 MGAFVPMLEAAMAVFPVEKLSVHFHDTYGQYLPNIYVSLQVGISTVDSSIAGLGGCPYAKGASGNVATEDVVYMLHGLGVITNVDLVKLLSAGDFICLQLGCPSGSKTAVALSQVTADASKI >Potri.001G274004.2.v4.1 pep chromosome:Pop_tri_v4:1:28781594:28783292:1 gene:Potri.001G274004.v4.1 transcript:Potri.001G274004.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274004.v4.1 MLEAAMAVFPVEKLSVHFHDTYGQYLPNIYVSLQVGISTVDSSIAGLGGCPYAKGASGNVATEDVVYMLHGLGVITNVDLVKLLSAGDFICLQLGCPSGSKTAVALSQVTADASKI >Potri.001G274004.3.v4.1 pep chromosome:Pop_tri_v4:1:28781759:28782462:1 gene:Potri.001G274004.v4.1 transcript:Potri.001G274004.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274004.v4.1 MLEAAMAVFPVEKLSVHFHDTYGQYLPNIYVSLQVGISTVDSSIAGLGGCPYAKGASGNVATEDVVYMLHGLGVITNVDLVKLLSAGDFICLQLGCPSGSKTAVALSQVTADASKI >Potri.004G089300.2.v4.1 pep chromosome:Pop_tri_v4:4:7592004:7596314:-1 gene:Potri.004G089300.v4.1 transcript:Potri.004G089300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G089300.v4.1 MAALHSTAFCFGGVALSSRQELCFRRGNVKASTFSCPPLVSIPRLSFQSKAFGGDGSPETKDSSLVVCFGEMLIDFVPTISGLSLSDAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLAEILKENNVNNEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLKEAELDLDLIRKAKIFHYGSISLITEPCKSAHIAAAKVAKDAGVFLSYDPNLRLPLWPSAESAREGILSIWDTADIIKISEEEISFLTKGEDPYDDAVVQKLFHPNLKLLLVTEGPEGCRYYTKEFSGRVKGLKVDAVDTTGAGDAFVAGILSQLAMDLSLLQNEDRLREALKFANACGALTVKERGAIPALPTREAVNSAIIQLVR >Potri.004G012100.1.v4.1 pep chromosome:Pop_tri_v4:4:741182:744975:-1 gene:Potri.004G012100.v4.1 transcript:Potri.004G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012100.v4.1 MALSVSSSSSISASGFSRSSQELKAPQFGSFKLLDRPTKFVNVSSQRRCAVKPLNAEPKRNGSVVPLAATIAAPEIAEKVEVEEDYEKLAKELANGSPLEIMDKALEKFGDDIAIAFSGAEDVALIEYAKLTGRPFRVFSLDTGRLNPETYHFFDQVEKHYGIRIEYMFPDAVEVQALVRNKGLFSFYEDGHQECCRVRKVRPLRRALKGLRAWITGQRKDQSPGTRSEIPVVQVDPVFEGLDGGAGSLIKWNPMANVEGQDVWKFLRTMDVPVNSLHSKGYISIGCEPCTRPVLPGQHEREGRWWWEDATAKECGLHKGNLKQGDEAQLNGNGNGAAHANGAATVADIFNSENLVNLSRPGIENLLKLENRKEPWLVVLYAPWCQFCQGMEASYVELADKLAGSGVKVGKFRADGDQKEFSKQELQLGSFPTILFFPKHSSRPIKYPSEKRDVDSLMTFVNALR >Potri.005G091600.3.v4.1 pep chromosome:Pop_tri_v4:5:6367322:6372400:1 gene:Potri.005G091600.v4.1 transcript:Potri.005G091600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G091600.v4.1 MGTPSSLCTSQALMSRPSRPAIFCTSGSVSFTGFSLKTQAFFRKKQTLRFVKASVAVEQQAQEAKLALIRIGTRGSPLALAQAHETRDKLMASHAELAEDGAIQIVIIKTTGDKILSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEMTILPCNLPREDVRDAFISMSATSLADLPAGSIVGTASLRRKSQILHRFPSLSVEENFRGNVQTRLRKLNEGVVKATLLALAGLKRLNMTENVTSILPIDDMLPAVAQGAIGIACRDSDDKMANYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYARRDENGDCIFKGLVASPDGTRVLKTSRKGPYAFDDMIAMGKDAGKELLSQAGPGFFDR >Potri.008G158000.1.v4.1 pep chromosome:Pop_tri_v4:8:10831459:10832534:-1 gene:Potri.008G158000.v4.1 transcript:Potri.008G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158000.v4.1 MATSTVMQTVLASPVASSLVKNRSRVSNLFSATYVPRLRGSAGKRLQCKAELDEQNMSAEPSPPPKPKVSTKFADVLAFSGPAPERINGRLAMIGFVAAMAVELSKGQDLFSQISNGGVSWFVGTSILLSVASLIPLFKGVSAESKSEGVMTSDAEMWNGRFAMLGLVALACTEYVSGGTLL >Potri.008G158000.3.v4.1 pep chromosome:Pop_tri_v4:8:10831465:10838206:-1 gene:Potri.008G158000.v4.1 transcript:Potri.008G158000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158000.v4.1 MATSTVMQTVLASPVASSLVKNRSRVSNLFSATYVPRLRGSAGKRLQCKAELDEQNMSAEPSPPPKPKVSTKFADVLAFSGPAPERINGRLAMIGFVAAMAVELSKGQDLFSQISNGGVSWFVGTSILLSVASLIPLFKGVSAESKSEGVMTSDAEMWNGRFAMLGLVALACTEYVSGGTLL >Potri.014G087600.1.v4.1 pep chromosome:Pop_tri_v4:14:5682973:5686183:1 gene:Potri.014G087600.v4.1 transcript:Potri.014G087600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087600.v4.1 MGLDDQVEKMEVRKSYRNVWHTNLLSTISADTPYCLFSLFCGPCVSYMLRKRALYNDMSRYVCCAGYLPCSGKCGESKCPELCLGTEVVCCFCNSVASTRFLLQDEFNIQTTQCDNCIIGFMFCLQQLACICSLVACITGNDEIGDLAQMLSCLSDLVYCSVCACIQTQHKVEMDKRDGKFGPQPAMAVPSVQQMSRIDQPIPPHAGYAPQQAYGQPYGYPPPPQTQGYPPAYPQPHAYPPHGYSR >Potri.016G133300.1.v4.1 pep chromosome:Pop_tri_v4:16:13753477:13756550:1 gene:Potri.016G133300.v4.1 transcript:Potri.016G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G133300.v4.1 METEMSESGSGSRILGQEKWSGSGSMKAEIDTSAPFESVKEAVSRFGGIGYWKPSQQKPEDMENIDIAKVEEQAVLLEKDLFVKERETLDILKELETTKGIVEELKLKLQKQAAEVNATLESSADARNETSNFDEEEKDNLENPKGEELQLKLQQQAAEVKAALELSADARNETFNFNDEKKDNLEDANHQNLMGGLSPLPSSAPGLILLELKQAKLNLSRTTNDLADTRTSVELLNKKLEKERISLEKTRERLTTNSSKISSLEEELNQTKEKLQRAKDADMNGGSDNPVDISRELQRLSSEAEQFKKMGDAAKSEVLRTLSEIEQTKGRIQTAEIRLIAARKMKEAARAVEAVALAEIKALSSHENSSAKSTQKPEGVTLTFEEYSSLTCKAREAEELSKTKVVDAMLQVDEANVSKMEVLKKVEEATEEIKTSKKALEEALNRVEAANKGKLAVEEALRRWRSEHGQKRRSIHNSAKFKNPHPSHHRRDSRLLDVNGLNLVSDGSTPVLKPTLSIGQILSRKLLLPEEFETGTMAEKGTVKRKVSLGQMLGKQNVDVLPSWKVDKENCQKQFSGKRKKFGFARFSLLLTKQSKKKKKPTPNLR >Potri.006G035300.4.v4.1 pep chromosome:Pop_tri_v4:6:2224712:2231093:-1 gene:Potri.006G035300.v4.1 transcript:Potri.006G035300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035300.v4.1 MTKISPEIEENMQGEVIFPVSADVSFASDGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETMQLSDQHKRLSVRDLACKFDKNLTAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRIGEALDEEERDAQNSKNQAADVEELVEEVQEARRIKLLHQPSKVMDMEHELRALRNQIREKSIVSVKLQKELAKSKRAEQNKSAPYVLDGSETLGSSLQLKPRSDGSPPLSKCSVQWYRVSSEGSQHEVISGADKTFYAPEPFDVGRVLQVDIVSNGQKVSVTTSGPIEPAAGLASHVENLLRKSSFEFSVVISQMNGQDHASHSVHVFNVGKMRIKLCRGWITKAREIYSMSMLLCGVRTNSNTAAKSLFWQPRKGLSFVLTFNSERDRNAAIMLARKYAYDCNGVILAGPEDQA >Potri.006G035300.1.v4.1 pep chromosome:Pop_tri_v4:6:2224675:2231253:-1 gene:Potri.006G035300.v4.1 transcript:Potri.006G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035300.v4.1 MTKISPEIEENMQGEVIFPVSADVSFASDGFPKYKLGPDNQILEEPKEDNKGPSLKEVVEQETMQLSDQHKRLSVRDLACKFDKNLTAAAKLAEEAKLREVASLEGHVLLKKLRDALESLRGRMAGRNKEDVEKAISMVEALAVKLTQNEGELIQEKFEVKKLANFLKQASEDAKKLVNQEKSFACAEIESARAVVQRIGEALDEEERDAQNSKNQAADVEELVEEVQEARRIKLLHQPSKVMDMEHELRALRNQIREKSIVSVKLQKELAKSKRAEQNKSAPYVLDGSETLGSSLQLKPRSDGSPPLSKCSVQWYRVSSEGSQHEVISGADKTFYAPEPFDVGRVLQVDIVSNGQKVSVTTSGPIEPAAGLASHVENLLRKSSFEFSVVISQMNGQDHASHSVHVFNVGKMRIKLCRGWITKAREIYSMSMLLCGVRTNSNTAAKSLFWQPRKGLSFVLTFNSERDRNAAIMLARKYAYDCNGVILAGPEDQA >Potri.003G180800.1.v4.1 pep chromosome:Pop_tri_v4:3:18650307:18653805:1 gene:Potri.003G180800.v4.1 transcript:Potri.003G180800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180800.v4.1 MEVTTSSSSSWDALRKQARKLEAQLDEQMSTFRKLASSKGSTKVDFPENDLESGIDRLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFHRLRSGMRAKQEHALLLEDFREFDRTRLDLEDGVGSADQALLREHASISRNTGQMDNVISQAQSTLGALVLQRSTFGGINSKLSNVSSRLPTVNQILSAIKRKKSMDAIILSLVASVCTFLIFIYWLTK >Potri.004G215400.1.v4.1 pep chromosome:Pop_tri_v4:4:22137543:22140349:-1 gene:Potri.004G215400.v4.1 transcript:Potri.004G215400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G215400.v4.1 MASTYSYMFFSFFLFLLITSQFSYARYPKGQLDLSASFLPRLHAETQIRGLNLFPKHAINVPAFDDNELLGTSSPSIVEKQFQFHLLGNPGPSVQEFGHYAGYYRLSHTKAARMFYYFFESRTNKNDPVVIWLTGGPGCSSELALFYENGPFNIANNLSLSWNDYGWDKASNIIFVDQPTGTGFSYTTEETDIRHDETGVSNDLYDFLQAFFKEHPQLTKNDFYITGESYAGHYIPALASRVHQGNKKKEGIHINLKGFAIGNGLTQPDVQYKAYTDYALDNKLIEKPDYDSINEMIPDCERAIKVCGTDGVSTCEDAFDVCNNIFQSILQVAGNINYYDIRKTCEGSLCYDFSNMETFLNQKTVRDALGVGDIEFVSCSSVVYDAMTRDWMRNLAVGVPALLEDGIKVLIYAGEEDLICNWLGNSRWVNGLAWSGQKDFGAAPTVPFVVEGREAGQLKSHGPLSFLKVHNAGHMVPMDQPKAALQMLKSWMQGKLAVTGTKDWIAPQ >Potri.014G083900.4.v4.1 pep chromosome:Pop_tri_v4:14:5388002:5392392:1 gene:Potri.014G083900.v4.1 transcript:Potri.014G083900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083900.v4.1 MAPVVQGQQVVPDNLRKQLAVAVRSVQWSYAVFWSQSTRQQGVLEWGDGYYNGDIKTRKVEAMELKADKIGLQRSEQLRELYESLLEGETGLQATRSSPALSPEDLSDEEWLPGRALANKQPIWLCNAQYADSKVFSRSLLAKSASIQTVVCFPYLEGVIELGVTELVTEDPGLIQHIKASLLDFSKPVCSDKSFSAAHNKDDDKDPMSTRISHEIVDTLALENLYTPTEDIESEQEGINYLHGNVCEEFNRNSPDDFSNGYEHNLVTEDSFMLEDLKEGASQVQSWHSMDDEFSDDVRDSMNSSDCISEVFVKQGKVVPSSKGKDISHLQLKVLQEGNHTKLSSLDPGADDDLHYRRTAFVILKSSSQLIENPCFQSGDYKSSFVGWKKGAADGYKPRIQQKMLKKILFAAPLMHGGHSIRSDKENAGKDCLKNLEGCETCKLHFESEKQKENEKYLALESIVASINEIDKASILSDTINYPRQLESRVAELESCTGSTDYEARSRSYMGMVDRTSDNHGIKKPWINKRKARDIDEAELELDEVAPKDGMPVDLKVCMKEKEILIEMRCPYREYMLLDILDEANKRQLDVLSVHSSTLDGIFTLTLKSKFRGAAPVSPEGMIKQALRKTVGKT >Potri.014G083900.5.v4.1 pep chromosome:Pop_tri_v4:14:5387962:5392392:1 gene:Potri.014G083900.v4.1 transcript:Potri.014G083900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083900.v4.1 MELKADKIGLQRSEQLRELYESLLEGETGLQATRSSPALSPEDLSDEEWYYLVCMSFVFNPGEGLPGRALANKQPIWLCNAQYADSKVFSRSLLAKSASIQTVVCFPYLEGVIELGVTELVTEDPGLIQHIKASLLDFSKPVCSDKSFSAAHNKDDDKDPMSTRISHEIVDTLALENLYTPTEDIESEQEGINYLHGNVCEEFNRNSPDDFSNGYEHNLVTEDSFMLEDLKEGASQVQSWHSMDDEFSDDVRDSMNSSDCISEVFVKQGKVVPSSKGKDISHLQLKVLQEGNHTKLSSLDPGADDDLHYRRTAFVILKSSSQLIENPCFQSGDYKSSFVGWKKGAADGYKPRIQQKMLKKILFAAPLMHGGHSIRSDKENAGKDCLKNLEGCETCKLHFESEKQKENEKYLALESIVASINEIDKASILSDTINYPRQLESRVAELESCTGSTDYEARSRSYMGMVDRTSDNHGIKKPWINKRKARDIDEAELELDEVAPKDGMPVDLKVCMKEKEILIEMRCPYREYMLLDILDEANKRQLDVLSVHSSTLDGIFTLTLKSKFRGAAPVSPEGMIKQALRKTVGKT >Potri.014G083900.3.v4.1 pep chromosome:Pop_tri_v4:14:5388039:5392392:1 gene:Potri.014G083900.v4.1 transcript:Potri.014G083900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083900.v4.1 MAPVVQGQQVVPDNLRKQLAVAVRSVQWSYAVFWSQSTRQQGVLEWGDGYYNGDIKTRKVEAMELKADKIGLQRSEQLRELYESLLEGETGLQATRSSPALSPEDLSDEEWYYLVCMSFVFNPGEGLPGRALANKQPIWLCNAQYADSKVFSRSLLAKSASIQTVVCFPYLEGVIELGVTELVTEDPGLIQHIKASLLDFSKPVCSDKSFSAAHNKDDDKDPMSTRISHEIVDTLALENLYTPTEDIESEQEGINYLHGNVCEEFNRNSPDDFSNGYEHNLVTEDSFMLEDLKEGASQVQSWHSMDDEFSDDVRDSMNSSDCISEVFVKQGKVVPSSKGKDISHLQLKVLQEGNHTKLSSLDPGADDDLHYRRTAFVILKSSSQLIENPCFQSGDYKSSFVGWKKGAADGYKPRIQQKMLKKILFAAPLMHGGHSIRSDKENAGKDCLKNLEGCETCKLHFESEKQKENEKYLALESIVASINEIDKASILSDTINYPRQLESRVAELESCTGSTDYEARSRSYMGMVDRTSDNHGIKKPWINKRKARDIDEAELELDEVAPKDGMPVDLKVCMKEKEILIEMRCPYREYMLLDILDEANKRQLDVLSVHSSTLDGIFTLTLKSKRGSASFTRRDDQTSTSENCRQDLSRHLSTYQPALERFLHSVEISS >Potri.014G083900.2.v4.1 pep chromosome:Pop_tri_v4:14:5387796:5392394:1 gene:Potri.014G083900.v4.1 transcript:Potri.014G083900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083900.v4.1 MAPVVQGQQVVPDNLRKQLAVAVRSVQWSYAVFWSQSTRQQGVLEWGDGYYNGDIKTRKVEAMELKADKIGLQRSEQLRELYESLLEGETGLQATRSSPALSPEDLSDEEWYYLVCMSFVFNPGEGLPGRALANKQPIWLCNAQYADSKVFSRSLLAKSASIQTVVCFPYLEGVIELGVTELVTEDPGLIQHIKASLLDFSKPVCSDKSFSAAHNKDDDKDPMSTRISHEIVDTLALENLYTPTEDIESEQEGINYLHGNVCEEFNRNSPDDFSNGYEHNLVTEDSFMLEDLKEGASQVQSWHSMDDEFSDDVRDSMNSSDCISEVFVKQGKVVPSSKGKDISHLQLKVLQEGNHTKLSSLDPGADDDLHYRRTAFVILKSSSQLIENPCFQSGDYKSSFVGWKKGAADGYKPRIQQKMLKKILFAAPLMHGGHSIRSDKENAGKDCLKNLEGCETCKLHFESEKQKENEKYLALESIVASINEIDKASILSDTINYPRQLESRVAELESCTGSTDYEARSRSYMGMVDRTSDNHGIKKPWINKRKARDIDEAELELDEVAPKDGMPVDLKVCMKEKEILIEMRCPYREYMLLDILDEANKRQLDVLSVHSSTLDGIFTLTLKSKFRGAAPVSPEGMIKQALRKTVGKT >Potri.004G185900.1.v4.1 pep chromosome:Pop_tri_v4:4:19939431:19947375:-1 gene:Potri.004G185900.v4.1 transcript:Potri.004G185900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185900.v4.1 MGSSNVWQEQRNFVKSLHEQGILDSHFDEILDLPRESPQFVIDLVSTFCSDAENAIAALIRYLNEPDINYSRVIDQVHQIRGASSCIGGHRMALACRELRYACEDKDKDRCLAAFGKTKDEYQILKEKFNIILQMERTMISGESKRGRQ >Potri.004G164066.1.v4.1 pep chromosome:Pop_tri_v4:4:18373436:18374337:1 gene:Potri.004G164066.v4.1 transcript:Potri.004G164066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164066.v4.1 MAPPPGPYSGTSTLALVARVSAFSLGLVYGSVKLKYLQAKAKSQKKAEAKAHH >Potri.006G098100.3.v4.1 pep chromosome:Pop_tri_v4:6:7504455:7506061:-1 gene:Potri.006G098100.v4.1 transcript:Potri.006G098100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098100.v4.1 MEEGEEDVKVGANRYGEGQPIGTAAQTQHGKDYTEPPPAPLYQPGEWLSWSFYRAGIAEFVATFLFLYITVLTVMGVARSSTKCSTVGIQGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLLLARKLTLTRAVFYMIMQCLGAICGAGVVKGFQKSPYEILGGGANTVSTGYSKGSGLGVEILGTFVLVYTVFSATDAKRSARDSHVPVLAPLPIGFAVFLVHLATIPITGTGINPARSLGAALIYNKDKAWDDHWIFWVGPFIGAALASLYHQIVIRAIPFKSK >Potri.006G053500.2.v4.1 pep chromosome:Pop_tri_v4:6:3753008:3758087:-1 gene:Potri.006G053500.v4.1 transcript:Potri.006G053500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053500.v4.1 MQKTLDRTVTTNYPLSSPSKPWWCSAGHHAIFSNVLGESTKNLSLQESTDDGLGTKASKPHGNVQMDGGTVAYKEKQLNAVSASDGKYGDHHHPQQAASIMIPAMGVYLGPSTQLELAGHSIVHSQYAGPNPSRMVLPHEMAEEPVYVNAKQYHGILRRRQSRAKAELERKLIKTRKPYLHESRHLHAMRRARGCGGRFLNTKKPDTTNNTAPDKHTSSDETVSRNFTSSSSSGPVLSHFSRNSDSSMSNDAEVIESLCQMHPHQTYLSKGCTPQFPRYHLSKFPSLSEKMVGERDNKLW >Potri.006G241500.2.v4.1 pep chromosome:Pop_tri_v4:6:24316421:24320483:1 gene:Potri.006G241500.v4.1 transcript:Potri.006G241500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241500.v4.1 MESLSTKETTTKDCNGDSVFENVVRAPDVPVYAVMVAYSKDPSPVKLNLGIGVYRTEDGKPHVLNVVRRVEKLLLDDVSAAKEYLPITGMAEFNKLSAQLVFGADSPAMKENRVTTVQCLAGCGSLRTGADFLAKHYHQHTVYLSQPTYGNHPNFFLAAGLTLKTYRYYDPITRGLDFQGMLDDLGSAPSGAIVLFQVCSHNPTGVDPTLHQWEQIRQLVRSKGLLPFFDNAYQGIVSGSLDMDAQSVRMFVTDGGECLVAHSYSKIMGLYGERVGALSIVCKTADVAKRVESQLKLVIRPMYSNPPIHGASIVTAILKDREMFDEWTVELKAMIDRIIHLRQQLYDALRDRGTPGDWSHIMKQVGMYTFSGLNAEQVAFMTKEYHIYMSSDGRINMAGLSAKTVPYLADAIHSAVTGINL >Potri.002G201300.1.v4.1 pep chromosome:Pop_tri_v4:2:16429326:16436739:1 gene:Potri.002G201300.v4.1 transcript:Potri.002G201300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201300.v4.1 MAMYIVSRRLSTGSTTWRYGNWLRSYSTAFREERDTFGPISVPADKLWGAQTQRSLQNFDIGGDRERMPEPIIRAFGILKKCAAKVNMQYGLDPSIGKAIMQAAHEVAEGKLSDHFPLVVWQTGSGTQSNMNANEVIANRAAEILGHKRGEKFVHPNDHVNRSQSSNDTFPTVMHIAAATEINSRLIPKLKTLHLTLHSKSVEFKDIVKIGRTHTQDATPLTLGQEFSGYTTQVKYGIDRVMCTLPHMYQLAQGGTAVGTGLNTKKGFDVKIASAVAEETNLPFVTAENKFEALAAHDAFVETSGALNTVATSLMKIANDIRLLGSGPRCGLGELILPENEPGSSIMPGKVNPTQCEALTMVCAQVMGNHVAITVGGSNGHFELNVFKPMIASGLLHSIRLLGDASASFEKNCVRGIQANRERISKLLHESLMLVTSLNPKIGYDNAAAVAKLAHKEGSTLKEAALKLGMLTSEEFDTLVVPEKMIGPTD >Potri.009G124000.1.v4.1 pep chromosome:Pop_tri_v4:9:10342549:10344772:1 gene:Potri.009G124000.v4.1 transcript:Potri.009G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124000.v4.1 MNAGDNEMVEGSISSVVDSLESNKNGDALSPEDVAWADSCLVKDDEISDGDWSSLKDVLLEILSLQPESHDSSEPGTDDLPRAADVLMLPSDEAVKLQSSVVIDNEVATINKELEMKSKGFPINEETDVSSSQLFQGDFSETSLKHAFSPNYKEDDDSKMSLPDGSGLDMAFSAYDTEPSTEDIFKVWDLGIPDEEDELVKQLNKALSENPVHSTPPSDDSGVLKDLKEESLDSLINGIAELSLDQHS >Potri.004G091200.1.v4.1 pep chromosome:Pop_tri_v4:4:7775735:7776338:1 gene:Potri.004G091200.v4.1 transcript:Potri.004G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091200.v4.1 MAPKAEKKPAEKKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGAAAGEKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.003G073500.3.v4.1 pep chromosome:Pop_tri_v4:3:10122290:10126628:1 gene:Potri.003G073500.v4.1 transcript:Potri.003G073500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073500.v4.1 MERDSSDEEDDREHLIEQNDRKHHQNGVPPTSSPTRRRSTTFDVESRIRHRFNFNKRYSLFAAAIIFLPLFILFLSFSTDIRNLFSTHLKVGDSLSIRMRESELRALYLLKKQQLSLFSLWNSTGNSTLLEKDLNSVSFEDLKSALLKQISLNKEIQQVLLAPHESGNVSSSSSDLDFSNAGGFVQRCEKVDQRFADRKTIEWKPKPNKFLFALCLSGQMSNHLICLEKHMFFAALLNRVLVIPSSRFDYQYNRVLDIEHVNDCLGRKVVVTFEEFVEIMKNKPHIDRFFCYFSDPTPCYVDEEHVKKLKGLGVSMGKLESPWKEDIKKPSKLTVKDVEGKFVSDDNVIAVGDVFFADVEEEWIMQPGGPIAHKCKTLIEPTRIIMLTAQRFIQTFLGSNFIALHFRRHGFLKFCNAKKPSCFYPVPQAADCIARVVERANAPVVYLSTDAAESETGLLQSLVVVNGRTVPLVTRPSRNAAEKWDALLYRHGLQEDAQVEAMLDKTICAMSSVFIGASGSTFTEDIFRLRKGWESASSCDEYLCQGELPNYIAENE >Potri.015G087200.6.v4.1 pep chromosome:Pop_tri_v4:15:11107738:11109298:1 gene:Potri.015G087200.v4.1 transcript:Potri.015G087200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087200.v4.1 MIDRLKASGLESLARQQVDGVVRRNEDGAEYFVESTIPSTCKHKITAHEGGCASILFEYNSGKLISGGQDRSIKMWDTNTGSLSHTLYGCLGSVLDLSITHDNRSIIAASSSNNLYVWDVTTGRVRHTLTGHTDKVCAVDVSKISTRHVVSAAYDRTIKVWDLQKGFCTNTIIFHSNCNSLCFSMDGQTICSGHVDGNLRLWDIQTGKLLSEVAAHSLAVTSISVSRNGSVVLTSGRDNLHNLFDMRSLEVCGTLRATGNRVASNWSRSCISPDDNYVAAGSADGSVHIWSISQGDIVSTLKEHTAPVLCCSWSGLGKPLASSDKNGIIYTWT >Potri.015G087200.3.v4.1 pep chromosome:Pop_tri_v4:15:11104455:11109437:1 gene:Potri.015G087200.v4.1 transcript:Potri.015G087200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087200.v4.1 MSQEEIGLEAIKQALKALKKRHLLEEGAHAPAFIALSRPIISQGSEWKEKAENLEVELQQCYKAQSLLSNQLVVEVAESRAAKTSLQEKEAAITDLQNEATQIRDECGQLREDFEEKIKALELVMSENQALRTQLEEMTLKAKNAEAENKMLIDRWMLQKMQDAERLNEANALYEEMIDRLKASGLESLARQQVDGVVRRNEDGAEYFVESTIPSTCKHKITAHEGGCASILFEYNSGKLISGGQDRSIKMWDTNTGSLSHTLYGCLGSVLDLSITHDNRSIIAASSSNNLYVWDVTTGRVRHTLTGHTDKVCAVDVSKISTRHVVSAAYDRTIKVWDLQKGFCTNTIIFHSNCNSLCFSMDGQTICSGHVDGNLRLWDIQTGKLLSEVAAHSLAVTSISVSRNGSVVLTSGRDNLHNLFDMRSLEVCGTLRATGNRVASNWSRSCISPDDNYVAAGSADGSVHIWSISQGDIVSTLKEHTAPVLCCSWSGLGKPLASSDKNGIIYTWT >Potri.018G145524.1.v4.1 pep chromosome:Pop_tri_v4:18:15241107:15242788:-1 gene:Potri.018G145524.v4.1 transcript:Potri.018G145524.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145524.v4.1 MVSVDNDAEKACVLLNGMVSNADFDEDEGAKFNSGFNKSLADDIADLSSTLEDALKDNDHNNDNNSIELREDVGVSSSVDAAANMKLILGHLKSIPVEPEWEEDDVYLSHRKNALRMMRLASQHSRAALMPF >Potri.009G020100.1.v4.1 pep chromosome:Pop_tri_v4:9:3215737:3223325:-1 gene:Potri.009G020100.v4.1 transcript:Potri.009G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020100.v4.1 MESRMDQYEIMEQIGRGAFGAAILVHHKSERKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFTQLLLAVEYLHGNFVLHRDLKCSNIFLTKEQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAARRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPNASEILKHPYLQTYVDQYRPSFSPPTSCSPEKPIPRSRESRRSMDESQTSNSSSSDKDSLPSSDRNIPAVVSNCDTKATDTDLASVGDEDGTKEPMPSEEERGPNVCIVKMNEQRVMKPSHNEHGCNVEPKQPKTIKGIMMALKEGKPRENSSSSRGNHTKSGSAPTQRSNIEASPKPLIPNALASGLKSNADTPTVAPAKAALDSAKQVQGSHPLKHQLPIIESSPKTKLRHDGTPPPGPIKHVDDGLAMKPRQRTPNLFRRSSFPGRTRQTGADVPNGNMKLSPTEKNQEPENTYQVPDGRPYFSKEVSQESQKALFRACKGKQAESSNSVSSSMSIQSFELCDDATTPFVAMPEQTLPNHEAVICTESLENHPPGCSPATTLHSGMSENLSWENSACAGCAHKSILCSEETSDVVTDLHKKTVGDGREGVSSALNLIVSSFEERFICKDTNTQLSRPSTGPDMVPQSNLISASGGDDKFTVRELLSPVSETTPSITSPMSTSQKNLQSEKAAILQNSTVEKPTAAHLSPAFDDVIHVIRHSSFRVGTEQPAMETVEMGIQNVDVGKLLNVVGDELEMRNVSTPMALKSSNCSEAVSLKSSLSDHFSIKEIDLRNISPLVPQFDLSELPKSNSPVTEEEAAARETLDVKSFRQRAEALEGLLELSADLLQQSRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGMMAEDSGRSS >Potri.009G020100.2.v4.1 pep chromosome:Pop_tri_v4:9:3215736:3223355:-1 gene:Potri.009G020100.v4.1 transcript:Potri.009G020100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020100.v4.1 MESRMDQYEIMEQIGRGAFGAAILVHHKSERKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFTQLLLAVEYLHGNFVLHRDLKCSNIFLTKEQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAARRPAFKAFDMAGLISKINRSSIGPLPSCYSPSLKTLIKGMLRKNPEHRPNASEILKHPYLQTYVDQYRPSFSPPTSCSPEKPIPRSRESRRSMDESQTSNSSSSDKDSLPSSDRNIPAVVSNCDTKATDTDLASVGDEDGTKEPMPSEEERGPNVCIVKMNEQRVMKPSHNEHGCNVEPKQPKTIKGIMMALKEGKPRENSSSSRGNHTKSGSAPTQRSNIEASPKPLIPNALASGLKSNADTPTVAPAKAALDSAKQVQGSHPLKHQLPIIESSPKTKLRHDGTPPPGPIKHVDDGLAMKPRQRTPNLFRRSSFPGRTRQTGADVPNGNMKLSPTEKNQEPENTYQVPDGRPYFSKEVSQESQKALFRACKGKQAESSNSVSSSMSIQSFELCDDATTPFVAMPEQTLPNHEAVICTESLENHPPGCSPATTLHSGMSENLSWENSACAGCAHKSILCSEETSDVVTDLHKKTVGDGREGVSSALNLIVSSFEERFICKDTNTQLSRPSTGPDMVPQSNLISASGGDDKFTVRELLSPVSETTPSITSPMSTSQKNLQSEKAAILQNSTVEKPTAAHLSPAFDDVIHVIRHSSFRVGTEQPAMETVEMGIQNVDVGKLLNVVGDELEMRNVSTPMALKSSNCSEAVSLKSSLSDHFSIKEIDLRNISPLVPQFDLSELPKSNSPVTEEEAAARETLDVKSFRQRAEALEGLLELSADLLQQSRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGMMAEDSGRSS >Potri.019G071900.1.v4.1 pep chromosome:Pop_tri_v4:19:11350092:11360571:1 gene:Potri.019G071900.v4.1 transcript:Potri.019G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071900.v4.1 MRTLLNSRPLTPLPPCLASNFNSSPFRSLFHYSLRTNKRFHFLSPCSSLKQKKKQQQTLRKTNAPQSVRWFLNTKGDDSEAEEGLEGDTAFKGTLLAGVLLVGVVGGFGAVGYIYKDQINAFLNQFSGFIEGYGPAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSLIGTIIVSISGTAAASIAFLIARYFARERILKLVEGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFIPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESELRLREGNSGLWTLGLGLLVTAIAATYVTRLAKDAVKDIE >Potri.008G224901.1.v4.1 pep chromosome:Pop_tri_v4:8:19138629:19139749:-1 gene:Potri.008G224901.v4.1 transcript:Potri.008G224901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224901.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQGGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPMHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSPSSAVRTSHVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQRAPGPVRGHPPKEQ >Potri.009G076500.1.v4.1 pep chromosome:Pop_tri_v4:9:7433718:7436813:1 gene:Potri.009G076500.v4.1 transcript:Potri.009G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076500.v4.1 MLLNLSLFLLFLTASNITVQAIGVNWGTTSSHPLPPDKVVELLKSNKITKVKLFDADPLVLQALSGSNIGVTVGIPNSMLKSLNSSKKVAESWVHDNVTRYVSSGNSGVRIEYVAVGDEPFQQSYGEQYHPFVIGAAMNIQTALARVSLANQVKVVVPCSYDTFQSESSLPSKGHFRPDLNKTMTELLTFLTKHHSPFFATISPFIISHRNKNISLDFSLFKETKHSRNDGHRTYKNSFDLGYDTLVSALSTAGFPEMDVVVAKIGWPTDGAANATPSAAETFMKGLMDHLHSKSGTPLRPRNPPIETYIFSLLDEDQRSIVNGNFERHWGVFTFDGQAKYNVDLGQGSKNLVNAQYVEYLSSKWCVVNNNKDLSNATASALDACSTADCSALSPGGSCFNISWPANISYAFNNYYQVHDQRADSCDFGGLGLITTVDPSVGNCRFPVELRTSHSESLYGTCLLQWMILLTINTILHDFL >Potri.003G189200.3.v4.1 pep chromosome:Pop_tri_v4:3:19326304:19335233:-1 gene:Potri.003G189200.v4.1 transcript:Potri.003G189200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189200.v4.1 MPLFSFSSIFLAIPSSMASLLHHLFFLSVLCLLIISSTASNQLPQHYVVYMGSSSSGNGGEAPEIAEAGHLQLLSSIIPSHESERISLIHHYSHAFKGFSAMLTENEASVLAGHDGIVSIFRDPILQLHTTRSWDFLEASSGMQNKHKHPPLSSDVIIGMIDTGIWPESPSFNDDGIGEIPSRWKGVCMEGYDFKKSNCNRKLIGARYYDSIQRTYSNNKTHMAKPDDSPRDFDGHGTHTTSIAAGAKVANVSYHDLAGGTARGGSPSSRIAIYKACTLDGCSGSTILKAIDDAIKDGVDIISISIGMSSLFQSDYLNDPIAIGSFHAQQMNIMVVCSGGNDGPDLYTIVNSAPWIFTVAASNIDRDFQSTVLLGNGKTFQGSAISFSNFNRSRNYPLAFGEDVAAKFTPISEARNCYPGSLDTQKVAGKIVVCTDDDLNIPRQIKKLVVEDARAKGLILVSEDETVVPFDSGTFPFAEVGNLSGLQIIKYINGTKKPTATILPTRDVPRYRPAPTVAYFSSRGPGQYTENILKPDIMAPGVAILAAVIPEKEAGSVPVGNKPSGYAIKSGTSMACPHVTGAAAFIKSFHHGWSTSMIKSALMTTATIYDNTGKPLQNSSHHFANPHEVGVGEINPLKALNPGLVFETTTEDFLQFLCYYGYSEKNIRSMSKTNFNCPRISIDRLISNINYPSISISNLDRHKPAQTIKRTVTNVGCPNATYISRVHAPVGLEVKVFPKKIVFIEGLTRVSFKVLFYGKEASSGYNFGSVTWFDGRHSVLLSFAVNVE >Potri.003G189200.5.v4.1 pep chromosome:Pop_tri_v4:3:19326311:19333362:-1 gene:Potri.003G189200.v4.1 transcript:Potri.003G189200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189200.v4.1 MQNKHKHPPLSSDVIIGMIDTGIWPESPSFNDDGIGEIPSRWKGVCMEGYDFKKSNCNRKLIGARYYDSIQRTYSNNKTHMAKPDDSPRDFDGHGTHTTSIAAGAKVANVSYHDLAGGTARGGSPSSRIAIYKACTLDGCSGSTILKAIDDAIKDGVDIISISIGMSSLFQSDYLNDPIAIGSFHAQQMNIMVVCSGGNDGPDLYTIVNSAPWIFTVAASNIDRDFQSTVLLGNGKTFQGSAISFSNFNRSRNYPLAFGEDVAAKFTPISEARNCYPGSLDTQKVAGKIVVCTDDDLNIPRQIKKLVVEDARAKGLILVSEDETVVPFDSGTFPFAEVGNLSGLQIIKYINGTKKPTATILPTRDVPRYRPAPTVAYFSSRGPGQYTENILKPDIMAPGVAILAAVIPEKEAGSVPVGNKPSGYAIKSGTSMACPHVTGAAAFIKSFHHGWSTSMIKSALMTTATIYDNTGKPLQNSSHHFANPHEVGVGEINPLKALNPGLVFETTTEDFLQFLCYYGYSEKNIRSMSKTNFNCPRISIDRLISNINYPSISISNLDRHKPAQTIKRTVTNVGCPNATYISRVHAPVGLEVKVFPKKIVFIEGLTRVSFKVLFYGKEASSGYNFGSVTWFDGRHSVLLSFAVNVE >Potri.002G144600.1.v4.1 pep chromosome:Pop_tri_v4:2:10889078:10892456:-1 gene:Potri.002G144600.v4.1 transcript:Potri.002G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144600.v4.1 MTKSSFKQEHDFEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSTIYDENKDEDGFLYVTYSGENTFGCHELP >Potri.019G124750.1.v4.1 pep chromosome:Pop_tri_v4:19:14883129:14883963:1 gene:Potri.019G124750.v4.1 transcript:Potri.019G124750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124750.v4.1 MKITNFLVLSFLLFAFTATSIFPRAVHAEAVIDVFGDEVRTGDRYIIGAASNDFAVTSSRIICNSDVMFSPMSDGLPVIFSPVVESNDSVIHEDSYLNVDFDAATCRMAGVSTMWKIELRPTARGFVVTTGGVAGLNRFKITKYEGGNNLYQLSYCPISEPICECSCVPLGQVVNRLAPSTVPFPVVFVPSDRASKIEYKMM >Potri.006G234000.2.v4.1 pep chromosome:Pop_tri_v4:6:23640588:23646376:1 gene:Potri.006G234000.v4.1 transcript:Potri.006G234000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234000.v4.1 MASSSSSSSHQTRVPFPSDPGGKPLDHDVPIHVVTEPSQLPVEFLNPSAAKQLIIGFDCEGVNLCRHGALCIMQLAFPDAIYLVDAINGGESLIKVCKPALESSYITKVIHDCKRDSEALYFQFGIKLHNVVDTQIAYSLIEEQEGRTRLPGDYISFVGLLADPRYCGVSYLEKEEVRVLLRQDPMFWTYRPLSEMMIRAAADDVRFLLCIYYKMMEKLNERSLWYLAVRGALYSRCFCINENNYADWPDIPPIPDNLNIEDNAPEEEILYVLDVPPGKMGRVIGRRGASILSIKQSCNAEIFFGGAKGPPDKAFIIGPVKQVRKAEAMLKGKMVDIY >Potri.014G135800.3.v4.1 pep chromosome:Pop_tri_v4:14:9182736:9193595:-1 gene:Potri.014G135800.v4.1 transcript:Potri.014G135800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135800.v4.1 MGSKPWLHPAPTYRTLETYWDSDDDAPGSRCAHTLTAVAATKSQGPRLILFGGATAIEGGASSAPGIRLAGVTNSVHSYDVLTRKWTRVQPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGQGPGPRYGHAMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYVWQRLNPEGDRPSARVYATASARSDGMFLLCGGRDSSGTPLGDAYGLLMHRNGQWEWTLAPGVSPSSRYQHAAVFVGARLHVTGGSLKGGRLVEGEAAVAVLDTAAGAWLDRNGLVTSSKTSKGHAEYDPSFELMRRCRHASASVGVRIYVYGGLKGDAVLDDFLVAENSPFQPDINSPTLTSERASTITSPRLNHSNLNSFGTSTPDGGPEIPLSGGISSMDRNSMEKLREASAAEAEAANAVWQAAQAASTNSAEETSVSDDNSQAAEATSDGSDNEADVRLHPRAVVVAKETVGNLGGMVRQLSLDQFENESRRMVPMNNDASNPAKKFTRQRSPQGLHKKIISTLLRPRNWKAPANRRFFLDSYEVGELCYAAEQIFMQEPTVLQLRAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETMTLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGESDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPEHVIDDTWMQELNIQRPQTPTRGRPQPDHDRSSLAYI >Potri.014G135800.4.v4.1 pep chromosome:Pop_tri_v4:14:9182641:9193602:-1 gene:Potri.014G135800.v4.1 transcript:Potri.014G135800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135800.v4.1 MGSKPWLHPAPTYRTLETYWDSDDDAPGSRCAHTLTAVAATKSQGPRLILFGGATAIEGGASSAPGIRLAGVTNSVHSYDVLTRKWTRVQPAGEPPSPRAAHAAAAVGTMVVFQGGIGPAGHSTDDLYVLDLTNDKFKWHRVVVQGQGPGPRYGHAMDLVAQRYLVTVSGNDGKRVLSDAWALDTAQKPYVWQRLNPEGDRPSARVYATASARSDGMFLLCGGRDSSGTPLGDAYGLLMHRNGQWEWTLAPGVSPSSRYQHAAVFVGARLHVTGGSLKGGRLVEGEAAVAVLDTAAGAWLDRNGLVTSSKTSKGHAEYDPSFELMRRCRHASASVGVRIYVYGGLKGDAVLDDFLVAENSPFQPDINSPTLTSERASTITSPRLNHSNLNSFGTSTPDGGPEIPLSGGISMDRNSMEKLREASAAEAEAANAVWQAAQAASTNSAEETSVSDDNSQAAEATSDGSDNEADVRLHPRAVVVAKETVGNLGGMVRQLSLDQFENESRRMVPMNNDASNPAKKFTRQRSPQGLHKKIISTLLRPRNWKAPANRRFFLDSYEVGELCYAAEQIFMQEPTVLQLRAPIKVFGDLHGQFGDLMRLFDEYGFPSTAGDITYIDYLFLGDYVDRGQHSLETMTLLLALKIEYPENVHLIRGNHEAADINALFGFRIECIERMGESDGIWAWTRFNQLFNYLPLAALIEKKIICMHGGIGRSIHSVEQIEKLERPITMDAGSIILMDLLWSDPTENDSIEGLRPNARGPGLVTFGPDRVTDFCKKNKLQLIIRAHECVMDGFERFAQGQLITLFSATNYCGTANNAGAILVVGRGLVVVPKLIHPLPPPLQSPETSPEHVIDDTWMQELNIQRPQTPTRGRPQPDHDRSSLAYI >Potri.004G033800.1.v4.1 pep chromosome:Pop_tri_v4:4:2616391:2619607:-1 gene:Potri.004G033800.v4.1 transcript:Potri.004G033800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033800.v4.1 MGQENPKQHQIFQESSPPKRSLRQAIEVISSLISYSLPIKVFAVKWQLIRNKLEELNSSLIAIEDCDSSQNPILSGMVSAVLASASDCYDLARRCVDLSYSGKLLMQSDLDVMVAKFDRHVKNLSGICTAGILSQGFAIVVSRPGVNACKDDMRFYVRDLLTRMKIGDLEMKRQALVNLYDVVVEDEKYVKIIVEVGDLVNILVSLLDSMEMELQQDAVKVVAVISGFDSYKSILIGAGIIGPLIRVLESRSEISKEGAARSLQKLTQNSDNAWSVSAYGGVTALLKICASVDSTAELISPACGVLRNLVGVDEIKRFMIEEGAVSTFIKLARSKDEGVQISSIEFLQNIASGDESVRQSVVKEGGIRALVRVFDPKIACSSKSREMALRAIENLCFSSASYISVLMSYGFMDQLLFFLRNGDVLVQELALKAAFRLSGTSEETKKAMGDAGFMSEFVKFLDAKSFEVREMAAVALNSLVSVPKNRKIFVQDDRNVGFLLQLLDQEETNSGSKKFLISILLSLTSCNSGRKKIANSGYLKNIEKLAEAEVSDAKRLVRKLSTNRFRSMLNGIWHS >Potri.005G123402.1.v4.1 pep chromosome:Pop_tri_v4:5:9162378:9162680:-1 gene:Potri.005G123402.v4.1 transcript:Potri.005G123402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123402.v4.1 MCVLLEIVQQLSLCVLMQNHYFIFLNALFDLESILMMPLNEKVKFKTCEVDPVWFNADNFFYYLPVQSFYQSIRFCSVLKKNKKIRGDDGLLGTIFFFFK >Potri.013G024400.1.v4.1 pep chromosome:Pop_tri_v4:13:1565350:1568052:-1 gene:Potri.013G024400.v4.1 transcript:Potri.013G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024400.v4.1 MGSRSLDSGKATVSGRKHNKCIILPANENMVAEALEKRNQNWMVRARVANDLIIQVGDSSFQLHKLAMVSKSEYLNRLVFQRRSNGAKDTLPKIEIDNFPGGSEIFELVVKFCYGCKVDLTASNIAPVHCAAHFLEMSDDLEQGNLISKTEAFLSFVLFSAWKDIFRILKSCESISLWAMKLQILQRCSDAIAWKACIDPKLFTLSEDDAISLNVLENDAENLKHKGIAENWWFEDVSCLRIDHFVEVIKSIKRKGVRSELVGSCVAYWTAKWISGPDNLPKHLTHHLLRVTTESLVRILPGEENSVSANFLLHLLKLGIMMRISSELLNEVEKRIALKLENCRVSDLLVMNYGNDDTVYDVGTVSMVVEAYASSMLNNPTPKLLVVGKLVDGYLAQVSWDDKLSVEQFRSLAEALPNDARYCHDNLYTAIDTYLKAHPRLTEEERISVCTAMDYHKLSQEGLKHATRNDRLPVNITTRLILLEQVNMARSLTSVGSNYQRTKTQAIIRVNRCVENEWMTSRNEIKIMRKEVENMKMQLSELQIYMQNADPEKSQGMPDIKENFRF >Potri.002G153700.1.v4.1 pep chromosome:Pop_tri_v4:2:11744815:11748699:1 gene:Potri.002G153700.v4.1 transcript:Potri.002G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153700.v4.1 MVEDRGKEVVVDIQSVEDWLCHAQELVPVAVDKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNSLCKEQLQAVSKTLKEAIELAILCMGENYCGKLRTQSDLDALSGKLDLNLRDCGLLIKTGVLGEATMPSAVAGSSTEPEAAIHSNTRELLARLQIGHLEAKHRALDTLVEVMKEDEKTVLAVMGRSNIAALVQLLTATSPCIREKTVTAICSLAESGSCENWLVSEGVLPPLIRLVESGSTVGKEKATISLQRLSMSTETARAIVGHGGVRPLIEICRTGDSVSQAAAACTLKNISAVPEVRQDLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLKRAVISEGGIRSLLVYLDGPLPQESAVGALRNLVSSISMEMLVSYCFLPRLVHVLRSGSLGAQQAAATAICRVCTSADMKKLVGEAGCIPLLIKLLEAKSNSVREVSAQAISSLVSLSHNRREVKRDDKSVPNLVQLLDPSPQNTAKKYAVASLASLASSKKRKRLMISYGAIGYLKKLTEMDIPGAKKLLERLEKRKLRSLFGKK >Potri.002G153700.2.v4.1 pep chromosome:Pop_tri_v4:2:11746663:11749529:1 gene:Potri.002G153700.v4.1 transcript:Potri.002G153700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153700.v4.1 MVEDRGKEVVVDIQSVEDWLCHAQELVPVAVDKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNSLCKEQLQAVSKTLKEAIELAILCMGENYCGKLRTQSDLDALSGKLDLNLRDCGLLIKTGVLGEATMPSAVAGSSTEPEAAIHSNTRELLARLQIGHLEAKHRALDTLVEVMKEDEKTVLAVMGRSNIAALVQLLTATSPCIREKTVTAICSLAESGSCENWLVSEGVLPPLIRLVESGSTVGKEKATISLQRLSMSTETARAIVGHGGVRPLIEICRTGDSVSQAAAACTLKNISAVPEVRQDLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLKRAVISEGGIRSLLVYLDGPLPQESAVGALRNLVSSISMEMLVSYCFLPRLVHVLRSGSLGAQQAAATAICRVCTSADMKKLVGEAGCIPLLIKLLEAKSNSVREVSAQAISSLVSLSHNRREVKRDDKSVPNLVQLLDPSPQNTAKKYAVASLASLASSKKRKRLMISYGAIGYLKKLTEMDIPGAKKLLERLEKRKLRSLFGKK >Potri.002G153700.3.v4.1 pep chromosome:Pop_tri_v4:2:11744814:11748708:1 gene:Potri.002G153700.v4.1 transcript:Potri.002G153700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153700.v4.1 MVEDRGKEVVVDIQSVEDWLCHAQELVPVAVDKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNSLCKEQLQAVSKTLKEAIELAILCMGENYCGKLRTQSDLDALSGKLDLNLRDCGLLIKTGVLGEATMPSAVAGSSTEPEAAIHSNTRELLARLQIGHLEAKHRALDTLVEVMKEDEKTVLAVMGRSNIAALVQLLTATSPCIREKTVTAICSLAESGSCENWLVSEGVLPPLIRLVESGSTVGKEKATISLQRLSMSTETARAIVGHGGVRPLIEICRTGDSVSQAAAACTLKNISAVPEVRQDLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLKRAVISEGGIRSLLVYLDGPLPQESAVGALRNLVSSISMEMLVSYCFLPRLVHVLRSGSLGAQQAAATAICRVCTSADMKKLVGEAGCIPLLIKLLEAKSNSVREVSAQAISSLVSLSHNRREVKRDDKSVPNLVQLLDPSPQNTAKKYAVASLASLASSKKRKRLMISYGAIGYLKKLTEMDIPGAKKLLERLEKRKLRSLFGKK >Potri.012G055700.2.v4.1 pep chromosome:Pop_tri_v4:12:5423179:5425195:1 gene:Potri.012G055700.v4.1 transcript:Potri.012G055700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055700.v4.1 MADFTADLQSFRPPFPFLDIDPSMVALSQFTEVSQAILDNPSVNNIHSFMPFTSDNFFSHQAPEFPGNLAEGFAGIFHQNDQNVMPVSQPFTTPGNESEFQESKKRKAMDVSESSSMNSSPQVSESGSKRRNSSRRGKGVKSNEDGKPKDVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASTFYDFNAETDAIETMQRAKAQEAKELQRAMREGSGGFAHIHSTWSL >Potri.012G055700.1.v4.1 pep chromosome:Pop_tri_v4:12:5423179:5425195:1 gene:Potri.012G055700.v4.1 transcript:Potri.012G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055700.v4.1 MADFTADLQSFRPPFPFLDIDPSMVALSQFTEVSQAILDNPSVNNIHSFMPFTSDNFFSHQAPEFPGNLAEGFAGIFHQNDQNVMPVSQPFTTPGNESEFQESKKRKAMDVSESSSMNSSPQVSESGSKRRNVNSSRRGKGVKSNEDGKPKDVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDIVPGCYKTMGMAVMLDEIINYVQSLQNQVEFLSMKLTAASTFYDFNAETDAIETMQRAKAQEAKELQRAMREGSGGFAHIHSTWSL >Potri.013G130900.1.v4.1 pep chromosome:Pop_tri_v4:13:13727554:13730459:-1 gene:Potri.013G130900.v4.1 transcript:Potri.013G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130900.v4.1 MMEPVGNIDGVGARSDGGSSSMSKGGDEGGEFQLKKGPWSAEEDAMLIEHVNKYGERNWNAVHKLSGLPRCGKSCRVRWTTHLRPNAKEGAFSAEEDRIIDELDAQISASNDDEGGGGELKKGPWTAAEDAILIEYVNKHGEGNWNALQKRSVLARCGKSCRLRWTNHLRPNLKKGNFSAEEERIIVELHAQFGNKWARMAARLPGRTDNDIKNFWNTRTKRLLRHRLPLYPPEILPQNPPSPQHDNNNKKSHSLPSTPTSSFTFPTTQLLSPTTPTNPQNNLTSPARAFTPPATPTHPPTAARPPTPAALHPSPPPFTAPPISPLSSPSTANFPTLPLFDFSLPRAPPILHIPPRFKNFSQSSSATSPNNTTIVNTPPTSHSITVPASYFSLPSSPLPAITSPSNTSPLSYEIPCCFTNLLSSNSSEFHKEMERENEKLCLLLASVTQTDHLPFAQLLSPTSLNWNSASSSIPTTTTNTTAVPAKIGRTRIRRMKNVTTSGKGKRNSIGFGATESSRIGLLQEDLMQEVKAALEVNEKDTSTKQSHLVFQDAQQKPKELMFEDFCGIQYSDLSSSLSLSSELTPTDGEVAEQLNSSMTDDLTKLLDIFPSSPLQLSDLYTDDISKGQTSVIIDDNNMDIDMQQQIALLFPTTATDQGGRTVGTGSWDSLPGIY >Potri.014G002900.2.v4.1 pep chromosome:Pop_tri_v4:14:200745:204966:1 gene:Potri.014G002900.v4.1 transcript:Potri.014G002900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G002900.v4.1 MAAELLLTFTMEETLTRVGSIAAEGIRLAWGLEGQLRKLKQSLIMIRDVLQDAARRSVTDDSVKGWLEKLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKEINGALDEIRKDAAVFQLTSLHVDRAQEVSWDQDRQTDSFLDSSEIVGREDDVSIVMDMLTSLTKHQHVLSVVPIVGMAGLGKTTLAKKVCAVVRERKHFDLTIWVCVSNDFNQVKILGAMLQMIDKTTGGLNSLDAILQNLMKELENKTFFLVLDDVWNEDHGKWDDLKERLLKINSKNGNAVVVTTRSKKVAGMMETSPGIQHEPGRLSADQCWSIIKQKVSRGGQETIPSDLESIGKQIAKKCGGIPILAKVLGGTLRQKETQEWKSILNSRIWDSPDGDKALRVLRLSFDYLSSPTLKKCFAYCSIFPKDFEIEREELVQLWMAEGFLRPSNGRMEDEGNKYFNDLLANSFFQDVDRNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRHLNLISRGDDEAALTAVDSRKLRTVFSMVDVFNRSWKFKSLRTLKLQESDITELPDSICKLRHLRYLDVSDTAIRELPESITKLYHLETLRFTDCNSLEKLPQKMRNLVSLRHLHFNDLNMVPAEVRLLTRLQTLPFFVVGPNHKIEELGCLNELRGALKISKLEQVRDREEAEKAKLREKRMNKLVLKWSDDEGNNSVNSEDALEGLQPHPDIKSLKIKGYGGEYFPSWMSALPLNNLTMLRLKDCSKCGQLPTLGCLPRLKILEIRGMPNVKRIRNEFYSSGDSATVLFPALKELTLGDMDGLEEWVVPGGEVVAVFPCLEKLSINKCGELRQLPTFGCLPRLKIVEISAMPNVKCIGKEFYSSSIGSAAELFPALEELTLQGMDGLEEWMVPGGEVVAVFPRLEKLSIRQCGKLESIPRCRLSSLVEFEIHGCDELRYFSGEFDGFKSLQILRILECPMLASIPSVQHCTALVQLRIHDCRELNSIPGDVRELKYSLKKLMVDGCKLGALPSGLQCCASLEELRVMDCSELIHISDLQELSSLRSLGIIRCDKLISIDWHGLRQLSSLVYLQIITCPSLREIPEDDCLGGLTQLELLGIGGFSKEMEAFPAGVLNSFQHLNLSGSLKYLNIYGWDKLKSVPHQLQHLTALETLHIGNFNGEEFEEALPEWLANLSSLQFLVIYNCKNLKYLPSSTAILSKLKTLYIRECRHLSENCRKENGSEWPKISHIPEIYIR >Potri.013G128500.10.v4.1 pep chromosome:Pop_tri_v4:13:13560759:13571963:1 gene:Potri.013G128500.v4.1 transcript:Potri.013G128500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128500.v4.1 MESPVGGSRLTSVVVATLDSGEVYIIASLSSRTDTQVIYVDPTTGLLRFSGKLGFDVFKSEDEALNYITNGSRWLCRSTTNAKAILGYAALGSFGLLLVATKLTASIPNLPGGGSVYTVTESQWIKISLQNPQQQGKGEVKSVLELTELDIDGKHYFCETRDITRPFPSRMPLENPDDEFVWNGWFSMPFKNIGLPEHCVTLLQGFAECRSFGSSGQLEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRTGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYKGSSQYYQRLSKRYDARSSDIAVGEGQKKKPSVLIACINLLRNGTGKSEALLVHHFEKSLSYIKSTGKLPYTRIHLINYDWHASVKLNGEQQTIEGLWKLLKAPTVAVGISEGDYLPSRQRLNDCRGEIIYTDDFAGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQCFVEQCRRLAISLDSDLTYGYQSVNNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWKHPCPDKPWKRFDMSFEEFKSSTILSPLSQLANLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSVAQNFQITLQRRYKNVLVDSSRQKQLEMFLGLRLFKHLPSVPVQPLNVPSRPSGFFLKPVPNITPSSNGGSSLLSFKRKDLIWVCPQGADVAELFIYLGEPCHVCQLLLTLSHGADDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCVKGTNLLIPLPGPINAEDMAVTGAGARLHAHNTSTLPFLYEFEEPEGELDFLTRIVAITFYPAVSGRSPLTLGEVEILGVSLPWRGVFSNEGPGARITELAKKTHEESNLFLSSTETNPFSSASLSNDITPSIQKSDSTNWLDLLTGDDMFSDPLSQPVMQYDVHEGSDNMFSHPLSQTVTQNNLHEENDLLGFLDQAVTEHRGTVADDKLSSSQDSSAQKYINCLKLFAGPQMGKKLNFVEAMRLEIERLRLNLSAAERDRALLPFGIDPAMINPNVLMDESYVDRLCKVSNALALLGQASLEDKLNASIGLGTVDNNVVDFWNVNGIGDHCSGGMCDVRAETTAPALAPSAVSSVGASKSILPCSECKRNVCKVCCAGRGALLLNNSGEGDSSTNRSVTLDSVVCKQCCSDIVLHALILDYIRVLISLRRRDRSNRAACKALDQVVGSSLRDFVPEKSQSSNNQQTVGILHHLLSGLESLAEFPFASFLHLVETAKDSAPFLSLLSPLSSGSRQSYWKAPPTVTSVDFVIVLGTLSDVSGVILLVSPCGYSVTDAPTVSAPVPSLLVRLGSR >Potri.013G128500.5.v4.1 pep chromosome:Pop_tri_v4:13:13560759:13575385:1 gene:Potri.013G128500.v4.1 transcript:Potri.013G128500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128500.v4.1 MESPVGGSRLTSVVVATLDSGEVYIIASLSSRTDTQVIYVDPTTGLLRFSGKSTTNAKAILGYAALGSFGLLLVATKLTASIPNLPGGGSVYTVTESQWIKISLQNPQQQGKGEVKSVLELTELDIDGKHYFCETRDITRPFPSRMPLENPDDEFVWNGWFSMPFKNIGLPEHCVTLLQGFAECRSFGSSGQLEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRTGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYKGSSQYYQRLSKRYDARSSDIAVGEGQKKKPSVLIACINLLRNGTGKSEALLVHHFEKSLSYIKSTGKLPYTRIHLINYDWHASVKLNGEQQTIEGLWKLLKAPTVAVGISEGDYLPSRQRLNDCRGEIIYTDDFAGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQCFVEQCRRLAISLDSDLTYGYQSVNNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWKHPCPDKPWKRFDMSFEEFKSSTILSPLSQLANLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSVAQNFQITLQRRYKNVLVDSSRQKQLEMFLGLRLFKHLPSVPVQPLNVPSRPSGFFLKPVPNITPSSNGGSSLLSFKRKDLIWVCPQGADVAELFIYLGEPCHVCQLLLTLSHGADDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCVKGTNLLIPLPGPINAEDMAVTGAGARLHAHNTSTLPFLYEFEEPEGELDFLTRIVAITFYPAVSGRSPLTLGEVEILGVSLPWRGVFSNEGPGARITELAKKTHEESNLFLSSTETNPFSSASLSNDITPSIQKSDSTNWLDLLTGDDMFSDPLSQPVMQYDVHEGSDNMFSHPLSQTVTQNNLHEENDLLGFLDQAVTEHRGTVADDKLSSSQDSSAQKYINCLKLFAGPQMGKKLNFVEAMRLEIERLRLNLSAAERDRALLPFGIDPAMINPNVLMDESYVDRLCKVSNALALLGQASLEDKLNASIGLGTVDNNVVDFWNVNGIGDHCSGGMCDVRAETTAPALAPSAVSSVGASKSILPCSECKRNVCKVCCAGRGALLLNNSGEGDSSTNRSVTLDSVVCKQCCSDIVLHALILDYIRVLISLRRRDRSNRAACKALDQVVGSSLRDFVPEKSQSSNNQQTVGILHHLLSGLESLAEFPFASFLHLVETAKDSAPFLSLLSPLSSGSRQSYWKAPPTVTSVDFVIVLGTLSDVSGVILLVSPCGYSVTDAPTVQIWASNKIQKEERSCMGKWDVQSLATSSSEIYGPEKSGAEDKVPRHVKFTFKNPVRCRIIWITLRLQRHGSSSVNFEKDFNLLSLDENPFAQANRRASFGGAVENDPCLHARRILVAGTPVKNETGLTSQSPDQMNFNSWLDRAPQLSRFKVPIEVERLFDNDLVLEQYLPPASPLLAGFRLDAFSAIKPRVSHSPYSDIDIWDTSVTFLEDRHISPAVLYLQVSALQEPNNMVIIGEYRLPEAKAGTAMYFDFPRQIQTRMVSIKLLGDVTAFTDDPAEVDDSSTRTSLAAGLSLANRIKLYYFADPYELGKWASLSAI >Potri.013G128500.7.v4.1 pep chromosome:Pop_tri_v4:13:13561808:13575466:1 gene:Potri.013G128500.v4.1 transcript:Potri.013G128500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128500.v4.1 MPLENPDDEFVWNGWFSMPFKNIGLPEHCVTLLQGFAECRSFGSSGQLEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRTGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYKGSSQYYQRLSKRYDARSSDIAVGEGQKKKPSVLIACINLLRNGTGKSEALLVHHFEKSLSYIKSTGKLPYTRIHLINYDWHASVKLNGEQQTIEGLWKLLKAPTVAVGISEGDYLPSRQRLNDCRGEIIYTDDFAGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQCFVEQCRRLAISLDSDLTYGYQSVNNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWKHPCPDKPWKRFDMSFEEFKSSTILSPLSQLANLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSVAQNFQITLQRRYKNVLVDSSRQKQLEMFLGLRLFKHLPSVPVQPLNVPSRPSGFFLKPVPNITPSSNGGSSLLSFKRKDLIWVCPQGADVAELFIYLGEPCHVCQLLLTLSHGADDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCVKGTNLLIPLPGPINAEDMAVTGAGARLHAHNTSTLPFLYEFEEPEGELDFLTRIVAITFYPAVSGRSPLTLGEVEILGVSLPWRGVFSNEGPGARITELAKKTHEESNLFLSSTETNPFSSASLSNDITPSIQKSDSTNWLDLLTGDDMFSDPLSQPVMQYDVHEGSDNMFSHPLSQTVTQNNLHEENDLLGFLDQAVTEHRGTVADDKLSSSQDSSAQKYINCLKLFAGPQMGKKLNFVEAMRLEIERLRLNLSAAERDRALLPFGIDPAMINPNVLMDESYVDRLCKVSNALALLGQASLEDKLNASIGLGTVDNNVVDFWNVNGIGDHCSGGMCDVRAETTAPALAPSAVSSVGASKSILPCSECKRNVCKVCCAGRGALLLNNSGEGDSSTNRSVTLDSVVCKQCCSDIVLHALILDYIRVLISLRRRDRSNRAACKALDQVVGSSLRDFVPEKSQSSNNQQTVGILHHLLSGLESLAEFPFASFLHLVETAKDSAPFLSLLSPLSSGSRQSYWKAPPTVTSVDFVIVLGTLSDVSGVILLVSPCGYSVTDAPTVQIWASNKIQKEERSCMGKWDVQSLATSSSEIYGPEKSGAEDKVPRHVKFTFKNPVRCRIIWITLRLQRHGSSSVNFEKDFNLLSLDENPFAQANRRASFGGAVENDPCLHARRILVAGTPVKNETGLTSQSPDQMNFNSWLDRAPQLSRFKVPIEVERLFDNDLVLEQYLPPASPLLAGFRLDAFSAIKPRVSHSPYSDIDIWDTSVTFLEDRHISPAVLYLQVSALQEPNNMVIIGEYRLPEAKAGTAMYFDFPRQIQTRMVSIKLLGDVTAFTDDPAEVDDSSTRTSLAAGLSLANRIKLYYFADPYELGKWASLSAI >Potri.013G128500.1.v4.1 pep chromosome:Pop_tri_v4:13:13560736:13575468:1 gene:Potri.013G128500.v4.1 transcript:Potri.013G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128500.v4.1 MESPVGGSRLTSVVVATLDSGEVYIIASLSSRTDTQVIYVDPTTGLLRFSGKLGFDVFKSEDEALNYITNGSRWLCRSTTNAKAILGYAALGSFGLLLVATKLTASIPNLPGGGSVYTVTESQWIKISLQNPQQQGKGEVKSVLELTELDIDGKHYFCETRDITRPFPSRMPLENPDDEFVWNGWFSMPFKNIGLPEHCVTLLQGFAECRSFGSSGQLEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRTGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYKGSSQYYQRLSKRYDARSSDIAVGEGQKKKPSVLIACINLLRNGTGKSEALLVHHFEKSLSYIKSTGKLPYTRIHLINYDWHASVKLNGEQQTIEGLWKLLKAPTVAVGISEGDYLPSRQRLNDCRGEIIYTDDFAGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQCFVEQCRRLAISLDSDLTYGYQSVNNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWKHPCPDKPWKRFDMSFEEFKSSTILSPLSQLANLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSVAQNFQITLQRRYKNVLVDSSRQKQLEMFLGLRLFKHLPSVPVQPLNVPSRPSGFFLKPVPNITPSSNGGSSLLSFKRKDLIWVCPQGADVAELFIYLGEPCHVCQLLLTLSHGADDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCVKGTNLLIPLPGPINAEDMAVTGAGARLHAHNTSTLPFLYEFEEPEGELDFLTRIVAITFYPAVSGRSPLTLGEVEILGVSLPWRGVFSNEGPGARITELAKKTHEESNLFLSSTETNPFSSASLSNDITPSIQKSDSTNWLDLLTGDDMFSDPLSQPVMQYDVHEGSDNMFSHPLSQTVTQNNLHEENDLLGFLDQAVTEHRGTVADDKLSSSQDSSAQKYINCLKLFAGPQMGKKLNFVEAMRLEIERLRLNLSAAERDRALLPFGIDPAMINPNVLMDESYVDRLCKVSNALALLGQASLEDKLNASIGLGTVDNNVVDFWNVNGIGDHCSGGMCDVRAETTAPALAPSAVSSVGASKSILPCSECKRNVCKVCCAGRGALLLNNSGEGDSSTNRSVTLDSVVCKQCCSDIVLHALILDYIRVLISLRRRDRSNRAACKALDQVVGSSLRDFVPEKSQSSNNQQTVGILHHLLSGLESLAEFPFASFLHLVETAKDSAPFLSLLSPLSSGSRQSYWKAPPTVTSVDFVIVLGTLSDVSGVILLVSPCGYSVTDAPTVQIWASNKIQKEERSCMGKWDVQSLATSSSEIYGPEKSGAEDKVPRHVKFTFKNPVRCRIIWITLRLQRHGSSSVNFEKDFNLLSLDENPFAQANRRASFGGAVENDPCLHARRILVAGTPVKNETGLTSQSPDQMNFNSWLDRAPQLSRFKVPIEVERLFDNDLVLEQYLPPASPLLAGFRLDAFSAIKPRVSHSPYSDIDIWDTSVTFLEDRHISPAVLYLQVSALQEPNNMVIIGEYRLPEAKAGTAMYFDFPRQIQTRMVSIKLLGDVTAFTDDPAEVDDSSTRTSLAAGLSLANRIKLYYFADPYELGKWASLSAI >Potri.013G128500.6.v4.1 pep chromosome:Pop_tri_v4:13:13560758:13575382:1 gene:Potri.013G128500.v4.1 transcript:Potri.013G128500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128500.v4.1 MESPVGGSRLTSVVVATLDSGEVYIIASLSSRTDTQVIYVDPTTGLLRFSGKLGFDVFKSEDEALNYITNGSRWLCRSTTNAKAILGYAALGSFGLLLVATKLTASIPNLPGGGSVYTVTESQWIKISLQNPQQQGKGEVKSVLELTELDIDGKHYFCETRDITRPFPSRMPLENPDDEFVWNGWFSMPFKNIGLPEHCVTLLQGFAECRSFGSSGQLEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRTGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYKGSSQYYQRLSKRYDARSSDIAVGEGQKKKPSVLIACINLLRNGTGKSEALLVHHFEKSLSYIKSTGKLPYTRIHLINYDWHASVKLNGEQQTIEGLWKLLKAPTVAVGISEGDYLPSRQRLNDCRGEIIYTDDFAGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQCFVEQCRRLAISLDSDLTYGYQSVNNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWKHPCPDKPWKRFDMSFEEFKSSTILSPLSQLANLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSVAQNFQITLQRRYKNVLVDSSRQKQLEMFLGLRLFKHLPSVPVQPLNVPSRPSGFFLKPVPNITPSSNGGSSLLSFKRKDLIWVCPQGADVAELFIYLGEPCHVCQLLLTLSHGADDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCVKGTNLLIPLPGPINAEDMAVTGAGARLHAHNTSTLPFLYEFEEPEGELDFLTRIVAITFYPAVSGRSPLTLGEVEILGVSLPWRGVFSNEGPGARITELAKKTHEESNLFLSSTETNPFSSASLSNDITPSIQKSDSTNWLDLLTGDDMFSDPLSQPVMQYDVHEGSDNMFSHPLSQTVTQNNLHEENDLLGFLDQAVTEHRGTVADDKLSSSQDSSAQKYINCLKLFAGPQMGKKLNFVEAMRLEIERLRLNLSAAERDRALLPFGIDPAMINPNVLMDESYVDRLCKVSNALALLGQASLEDKLNASIGLGTVDNNVVDFWNVNGIGDHCSGGMCDVRAETTAPALAPSAVSSVGASKSILPCSECKRNVCKVCCAGRGALLLNNSGEGDSSTNRSVTLDSVVCKQCCSDIVLHALILDYIRVLISLRRRDRSNRAACKALDQVVGSSLRDFVPEKSQSSNNQQTVGILHHLLSGLESLAEFPFASFLHLVETAKDSAPFLSLLSPLSSGSRQSYWKAPPTVTSVDFVIVLGTLSDVSGVILLVSPCGYSVTDAPTVQIWASNKIQKEERSCMGKWDVQSLATSSSEIYGPEKSGAEDKVPRHVKFTFKNPVRCRIIWITLRLQRHGSSSVNFEKDFNLLSLDENPFAQANRRASFGGAVENDPCLHARRILVAGTPVKNETGLTSQSPDQMNFNSWLDRAPQLSRFKVQNCLLGQFLTPD >Potri.013G128500.9.v4.1 pep chromosome:Pop_tri_v4:13:13563866:13575496:1 gene:Potri.013G128500.v4.1 transcript:Potri.013G128500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128500.v4.1 MTAAEAEIYVSDREPYKGSSQYYQRLSKRYDARSSDIAVGEGQKKKPSVLIACINLLRNGTGKSEALLVHHFEKSLSYIKSTGKLPYTRIHLINYDWHASVKLNGEQQTIEGLWKLLKAPTVAVGISEGDYLPSRQRLNDCRGEIIYTDDFAGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQCFVEQCRRLAISLDSDLTYGYQSVNNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWKHPCPDKPWKRFDMSFEEFKSSTILSPLSQLANLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSVAQNFQITLQRRYKNVLVDSSRQKQLEMFLGLRLFKHLPSVPVQPLNVPSRPSGFFLKPVPNITPSSNGGSSLLSFKRKDLIWVCPQGADVAELFIYLGEPCHVCQLLLTLSHGADDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCVKGTNLLIPLPGPINAEDMAVTGAGARLHAHNTSTLPFLYEFEEPEGELDFLTRIVAITFYPAVSGRSPLTLGEVEILGVSLPWRGVFSNEGPGARITELAKKTHEESNLFLSSTETNPFSSASLSNDITPSIQKSDSTNWLDLLTGDDMFSDPLSQPVMQYDVHEGSDNMFSHPLSQTVTQNNLHEENDLLGFLDQAVTEHRGTVADDKLSSSQDSSAQKYINCLKLFAGPQMGKKLNFVEAMRLEIERLRLNLSAAERDRALLPFGIDPAMINPNVLMDESYVDRLCKVSNALALLGQASLEDKLNASIGLGTVDNNVVDFWNVNGIGDHCSGGMCDVRAETTAPALAPSAVSSVGASKSILPCSECKRNVCKVCCAGRGALLLNNSGEGDSSTNRSVTLDSVVCKQCCSDIVLHALILDYIRVLISLRRRDRSNRAACKALDQVVGSSLRDFVPEKSQSSNNQQTVGILHHLLSGLESLAEFPFASFLHLVETAKDSAPFLSLLSPLSSGSRQSYWKAPPTVTSVDFVIVLGTLSDVSGVILLVSPCGYSVTDAPTVQIWASNKIQKEERSCMGKWDVQSLATSSSEIYGPEKSGAEDKVPRHVKFTFKNPVRCRIIWITLRLQRHGSSSVNFEKDFNLLSLDENPFAQANRRASFGGAVENDPCLHARRILVAGTPVKNETGLTSQSPDQMNFNSWLDRAPQLSRFKVPIEVERLFDNDLVLEQYLPPASPLLAGFRLDAFSAIKPRVSHSPYSDIDIWDTSVTFLEDRHISPAVLYLQVSALQEPNNMVIIGEYRLPEAKAGTAMYFDFPRQIQTRMVSIKLLGDVTAFTDDPAEVDDSSTRTSLAAGLSLANRIKLYYFADPYELGKWASLSAI >Potri.013G128500.8.v4.1 pep chromosome:Pop_tri_v4:13:13560762:13575468:1 gene:Potri.013G128500.v4.1 transcript:Potri.013G128500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128500.v4.1 MVFNAFQKHRASRALCHTPAECRSFGSSGQLEGIVALTARRSRLHPGTRYLARGINSCFSTGNEVECEQLVWVPKRTGQSVPFNTYIWRRGTIPIWWGAELKMTAAEAEIYVSDREPYKGSSQYYQRLSKRYDARSSDIAVGEGQKKKPSVLIACINLLRNGTGKSEALLVHHFEKSLSYIKSTGKLPYTRIHLINYDWHASVKLNGEQQTIEGLWKLLKAPTVAVGISEGDYLPSRQRLNDCRGEIIYTDDFAGAFCLRSHQNGVLRFNCADSLDRTNAASYFGALQCFVEQCRRLAISLDSDLTYGYQSVNNYGGYTAPLPPGWEKRSDAVTGKTYYIDHNTRTTTWKHPCPDKPWKRFDMSFEEFKSSTILSPLSQLANLFLLAGDIHATLYTGSKAMHSQILSIFNEEAGKFKQFSVAQNFQITLQRRYKNVLVDSSRQKQLEMFLGLRLFKHLPSVPVQPLNVPSRPSGFFLKPVPNITPSSNGGSSLLSFKRKDLIWVCPQGADVAELFIYLGEPCHVCQLLLTLSHGADDSTYPSTVDVRTGRYLDGLKLVVEGASIPQCVKGTNLLIPLPGPINAEDMAVTGAGARLHAHNTSTLPFLYEFEEPEGELDFLTRIVAITFYPAVSGRSPLTLGEVEILGVSLPWRGVFSNEGPGARITELAKKTHEESNLFLSSTETNPFSSASLSNDITPSIQKSDSTNWLDLLTGDDMFSDPLSQPVMQYDVHEGSDNMFSHPLSQTVTQNNLHEENDLLGFLDQAVTEHRGTVADDKLSSSQDSSAQKYINCLKLFAGPQMGKKLNFVEAMRLEIERLRLNLSAAERDRALLPFGIDPAMINPNVLMDESYVDRLCKVSNALALLGQASLEDKLNASIGLGTVDNNVVDFWNVNGIGDHCSGGMCDVRAETTAPALAPSAVSSVGASKSILPCSECKRNVCKVCCAGRGALLLNNSGEGDSSTNRSVTLDSVVCKQCCSDIVLHALILDYIRVLISLRRRDRSNRAACKALDQVVGSSLRDFVPEKSQSSNNQQTVGILHHLLSGLESLAEFPFASFLHLVETAKDSAPFLSLLSPLSSGSRQSYWKAPPTVTSVDFVIVLGTLSDVSGVILLVSPCGYSVTDAPTVQIWASNKIQKEERSCMGKWDVQSLATSSSEIYGPEKSGAEDKVPRHVKFTFKNPVRCRIIWITLRLQRHGSSSVNFEKDFNLLSLDENPFAQANRRASFGGAVENDPCLHARRILVAGTPVKNETGLTSQSPDQMNFNSWLDRAPQLSRFKVPIEVERLFDNDLVLEQYLPPASPLLAGFRLDAFSAIKPRVSHSPYSDIDIWDTSVTFLEDRHISPAVLYLQVSALQEPNNMVIIGEYRLPEAKAGTAMYFDFPRQIQTRMVSIKLLGDVTAFTDDPAEVDDSSTRTSLAAGLSLANRIKLYYFADPYELGKWASLSAI >Potri.008G015800.1.v4.1 pep chromosome:Pop_tri_v4:8:801220:801474:1 gene:Potri.008G015800.v4.1 transcript:Potri.008G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G015800.v4.1 MHRSASGTRASDEFLISLAPAADVSPLKNTAYSELPTHDPISDVTKKDLAWHHKSMGENAVHLIPVVLILCALTLWIFSYPYKL >Potri.017G028450.1.v4.1 pep chromosome:Pop_tri_v4:17:1926225:1928407:1 gene:Potri.017G028450.v4.1 transcript:Potri.017G028450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028450.v4.1 MLTKNLFFTMNYIYYCLAFFLSSFLVFKLVFQRSRNLPPSPFGFPIIGHLHLVSKPPMHKVLAILSNKCGPVFTLKLGSRNIVAVCSLSAAEECYIKNDIVFANRPQSIFVHYWSYNYAAFLFAPYGHLWRTLRRFSVTELFSRSCLDRSAAISEEVRTLVRLILSKVSDDGAKKVDLNYFFTITSLNVIMKMNAGKKWVEEEKAACIDSGKQCIEDVQKIFPSNPGTTVLDFFPFLKWFGYRGEEESVIKVYKERDEFLQGLIEEVKRKETSSVTSNPAEGVKDQTTVIGSLLALQKSDPELYTDEVVKGTMATLYLAGVDTVDFTTEWAMTFLLNHPERLERVKAEIDREVGHERLVQESDLPKLRYVRCVVNETLRLYPPAPLLLPHAPSEDCIVGGYKIPRGTIVMVNAWAIHRDPKLWEDPESFKPERFEGLNNEGEKQGFIPFGIGRRACPGNHMAMRRVMLALAALIQCFEWERVGKELVDMSIVDALISVQKAKPLEAICTPRPFTTTLISPP >Potri.008G049000.1.v4.1 pep chromosome:Pop_tri_v4:8:2864262:2867016:1 gene:Potri.008G049000.v4.1 transcript:Potri.008G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049000.v4.1 MARETGNVRYDEEFVSNSRGMKLFTCKWIPMNQEPKALIFICHGYAMECSITMNSTAIRLAKAGFAVYGVDYEGHGKSAGLQGYVENMDHVINDCSSHFTSICEKQENKGRMRYLLGESLGGAVALLLHRKKPDFWDGAVLVAPMCKIADDVRPPQMVISILRKLCSVIPTWKIIPTKDIVDAAFKLPEVRQQIRENQYCYNGKPRLNTGHELLRISLDLEQRLEEVSLPFLVLHGEEDKVTDKSVSEKLFSVASSSDKTIKLYPEMWHGLLYGEPVENRDIVFGDIIDWLGNRTHLGNTRLEMEQKRMNDAPSKSK >Potri.008G049000.2.v4.1 pep chromosome:Pop_tri_v4:8:2864262:2867032:1 gene:Potri.008G049000.v4.1 transcript:Potri.008G049000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049000.v4.1 MARETGNVRYDEEFVSNSRGMKLFTCKWIPMNQEPKALIFICHGYAMECSITMNSTAIRLAKAGFAVYGVDYEGHGKSAGLQGYVENMDHVINDCSSHFTSICEKQENKGRMRYLLGESLGGAVALLLHRKKPDFWDGAVLVAPMCKIADDVRPPQMVISILRKLCSVIPTWKIIPTKDIVDAAFKLPEIRENQYCYNGKPRLNTGHELLRISLDLEQRLEEVSLPFLVLHGEEDKVTDKSVSEKLFSVASSSDKTIKLYPEMWHGLLYGEPVENRDIVFGDIIDWLGNRTHLGNTRLEMEQKRMNDAPSKSK >Potri.002G037400.1.v4.1 pep chromosome:Pop_tri_v4:2:2457919:2459823:-1 gene:Potri.002G037400.v4.1 transcript:Potri.002G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037400.v4.1 MGSLPPSLDLPSPNAKSQQIDQTTLLNLFKSQQNHLNYFFRNLDLSQTLTFTQTLLHCNGTIFFSGVGKSGFVANKISQTLISLGIRAGFLSPLDALHGDIGALSASDILVLFSKSGNTEELLRLVPCAKAKGAYLVSVTSVEGNALTAVCDLNVRLPLERELCPFDLAPVTSTAIQMVFGDTVAIALMGARNLSKEEYAANHPAGRIGKSLIFKVKDVMKKQNELPICKEGDLIMDQLVELTSKGCGCLLVIDEEHHLIGTFTDGDLRRTLKASGEAIFKLTVGEMCNRNPRTIGPDAMAVEAMKKMESPPSPVQFLPVIKDDNILIGIVTLHGLVSAGL >Potri.015G038800.1.v4.1 pep chromosome:Pop_tri_v4:15:3413261:3415765:-1 gene:Potri.015G038800.v4.1 transcript:Potri.015G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038800.v4.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGQYIGKTDLQLERVNVYYNEASNGRYVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEFPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISGTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQIINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLSMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATTEEDIEYEEEDGVEN >Potri.007G076700.2.v4.1 pep chromosome:Pop_tri_v4:7:10100074:10106145:1 gene:Potri.007G076700.v4.1 transcript:Potri.007G076700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076700.v4.1 MYVVKRDGKQEAVHFDKITARLKKLSYGLSTDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTHKSFSETIKIMYNHFNEKSGLKAPLIADDVYEIIMKNAARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSALKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSVHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADVFEFLDLRKNHGKEEHRARDLFYALWVPDLFMERVQSNGQWSLFCPSEAPGLADCWGKEFEELYTRYERDGKAKKVVQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREMGVPIESHPSKVVGSRGSNRRYFDFEKLAEVTGVVTVNLNKIIDVNYYPVETARRSNFRHRPIGIGVQGLADAFMLLGMAFDSPEAQKLNKDIFETIYYHALKASSEIAAREGPYETYVGSPVSKGILQPDMWGVTPSSLWNWDALREMISKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPALKNKIIYEDGSVQKIPEISDDLKCIYKTVWEIKQKTLVDMAVDRGCFIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSQAAADAIKFTVDTSVFKEKKPELDDDAAADDTKIAQMVCSLTNREECMACGS >Potri.009G043100.9.v4.1 pep chromosome:Pop_tri_v4:9:5000638:5008750:1 gene:Potri.009G043100.v4.1 transcript:Potri.009G043100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043100.v4.1 MLETLRPITYISATSSTVKFQYCRLQSRVFHHRFIPINSSLTLPSINPKSFNFLSNTKIRDYKILARCQDSDSTEKTSTETEPQNNPPPSPPSSNSGSKQKREKQGKSQWWFSKKQNWKWQPLIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRQPTTFVSVPYSEFLGKISSNHVQKVEVDGVHIMFKLKDEGVSGQESSSEVVDSKFQDSESLLRSVTPTMKKILYTTTRPTDIKTPYEKMLENQVEFGSPDKRSGGFLNSALIALFYVAVLAGLLQRFPVTFSQHTAGQVRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYTRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKYRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHVSKKELPLGEDVNLSDIASMTTGLTGADLANLVNEAALLAGRKNKVLVEKFDFIQAVERSIAGIEKKTVKLQGSEKAVVARHEAGHAVVGTAVANILTGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDESGAAPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAHLEEKEKVEGEELQEWLKLVVAPKELALFVEGKQESFLPL >Potri.009G043100.5.v4.1 pep chromosome:Pop_tri_v4:9:5000615:5008730:1 gene:Potri.009G043100.v4.1 transcript:Potri.009G043100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043100.v4.1 MLETLRPITYISATSSTVKFQYCRLQSRVFHHRFIPINSSLTLPSINPKSFNFLSNTKIRDYKILARCQDSDSTEKTSTETEPQNNPPPSPPSSNSGSKQKREKQGKSQWWFSKKQNWKWQPLIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRQPTTFVSVPYSEFLGKISSNHVQKVEVDGVHIMFKLKDEGVSGQESSSEVVDSKFQDSESLLRSVTPTMKKILYTTTRPTDIKTPYEKMLENQVEFGSPDKRSGGFLNSALIALFYVAVLAGLLQRFPVTFSQHTAGQVRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYTRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKYRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHVSKKELPLGEDVNLSDIASMTTGLTGADLANLVNEAALLAGRKNKVLVEKFDFIQAVERSIAGIEKKTVKLQGSEKAVVARHEAGHAVVGTAVANILTGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDESGAAPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAHLEEKEKVEGEELQEWLKLVVAPKELALFVEGKQESFLPL >Potri.009G043100.8.v4.1 pep chromosome:Pop_tri_v4:9:5000638:5008750:1 gene:Potri.009G043100.v4.1 transcript:Potri.009G043100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043100.v4.1 MLETLRPITYISATSSTVKFQYCRLQSRVFHHRFIPINSSLTLPSINPKSFNFLSNTKIRDYKILARCQDSDSTEKTSTETEPQNNPPPSPPSSNSGSKQKREKQGKSQWWFSKKQNWKWQPLIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRQPTTFVSVPYSEFLGKISSNHVQKVEVDGVHIMFKLKDEGVSGQESSSEVVDSKFQDSESLLRSVTPTMKKILYTTTRPTDIKTPYEKMLENQVEFGSPDKRSGGFLNSALIALFYVAVLAGLLQRFPVTFSQHTAGQVRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYTRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKYRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHVSKKELPLGEDVNLSDIASMTTGLTGADLANLVNEAALLAGRKNKVLVEKFDFIQAVERSIAGIEKKTVKLQGSEKAVVARHEAGHAVVGTAVANILTGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDESGAAPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAHLEEKEKVEGEELQEWLKLVVAPKELALFVEGKQESFLPL >Potri.009G043100.6.v4.1 pep chromosome:Pop_tri_v4:9:5000608:5008732:1 gene:Potri.009G043100.v4.1 transcript:Potri.009G043100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043100.v4.1 MLETLRPITYISATSSTVKFQYCRLQSRVFHHRFIPINSSLTLPSINPKSFNFLSNTKIRDYKILARCQDSDSTEKTSTETEPQNNPPPSPPSSNSGSKQKREKQGKSQWWFSKKQNWKWQPLIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRQPTTFVSVPYSEFLGKISSNHVQKVEVDGVHIMFKLKDEGVSGQESSSEVVDSKFQDSESLLRSVTPTMKKILYTTTRPTDIKTPYEKMLENQVEFGSPDKRSGGFLNSALIALFYVAVLAGLLQRFPVTFSQHTAGQVRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYTRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKYRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHVSKKELPLGEDVNLSDIASMTTGLTGADLANLVNEAALLAGRKNKVLVEKFDFIQAVERSIAGIEKKTVKLQGSEKAVVARHEAGHAVVGTAVANILTGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDESGAAPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAHLEEKEKVEGEELQEWLKLVVAPKELALFVEGKQESFLPL >Potri.009G043100.7.v4.1 pep chromosome:Pop_tri_v4:9:5000623:5009263:1 gene:Potri.009G043100.v4.1 transcript:Potri.009G043100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043100.v4.1 MLETLRPITYISATSSTVKFQYCRLQSRVFHHRFIPINSSLTLPSINPKSFNFLSNTKIRDYKILARCQDSDSTEKTSTETEPQNNPPPSPPSSNSGSKQKREKQGKSQWWFSKKQNWKWQPLIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRQPTTFVSVPYSEFLGKISSNHVQKVEVDGVHIMFKLKDEGVSGQESSSEVVDSKFQDSESLLRSVTPTMKKILYTTTRPTDIKTPYEKMLENQVEFGSPDKRSGGFLNSALIALFYVAVLAGLLQRFPVTFSQHTAGQVRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYTRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKYRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHVSKKELPLGEDVNLSDIASMTTGLTGADLANLVNEAALLAGRKNKVLVEKFDFIQAVERSIAGIEKKTVKLQGSEKAVVARHEAGHAVVGTAVANILTGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDESGAAPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAHLEEKEKVEGEELQEWLKLVVAPKELALFVEGKQESFLPL >Potri.009G043100.10.v4.1 pep chromosome:Pop_tri_v4:9:5000638:5008729:1 gene:Potri.009G043100.v4.1 transcript:Potri.009G043100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043100.v4.1 MLETLRPITYISATSSTVKFQYCRLQSRVFHHRFIPINSSLTLPSINPKSFNFLSNTKIRDYKILARCQDSDSTEKTSTETEPQNNPPPSPPSSNSGSKQKREKQGKSQWWFSKKQNWKWQPLIQAQEIGVLLLQLGIVMFVMRLLRPGIPLPGSEPRQPTTFVSVPYSEFLGKISSNHVQKVEVDGVHIMFKLKDEGVSGQESSSEVVDSKFQDSESLLRSVTPTMKKILYTTTRPTDIKTPYEKMLENQVEFGSPDKRSGGFLNSALIALFYVAVLAGLLQRFPVTFSQHTAGQVRNRKSGGSGGSKVSEQGETITFADVAGVDEAKEELEEIVEFLRNPDRYTRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFARAKKEAPSIIFIDEIDAVAKSRDGKYRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHVSKKELPLGEDVNLSDIASMTTGLTGADLANLVNEAALLAGRKNKVLVEKFDFIQAVERSIAGIEKKTVKLQGSEKAVVARHEAGHAVVGTAVANILTGQPRVEKLSILPRSGGALGFTYTPPTNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDMAYKAVAEYGLNQTIGPVSLATLSGGGMDESGAAPWGRDQGHLVDLVQREVKALLQSALDVALSVVRANPTVLEGLGAHLEEKEKVEGEELQEWLKLVVAPKELALFVEGKQESFLPL >Potri.011G008000.1.v4.1 pep chromosome:Pop_tri_v4:11:605235:607201:-1 gene:Potri.011G008000.v4.1 transcript:Potri.011G008000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008000.v4.1 MASPAPLILLAFLVPYLCLTLPAYALDYKHYKPLSSYLPSNIKKTLNTIDSCWRAQSNWANNRRALADCAVGFGRGAMGGKYGAIYVVTTPNDDPVNPKPGMLRYGAIQSKPLWIVFAKDMVITLRNELIMNSYKTIDGRGAKVEIAYGPCITIQGVSHVIIHGISIHDCKPGKSGRVISTPTHVGKRGGSDGDAIAIFASSNVWIDHCYLARCTDGLIDVIHASTSITISNNYFSQHDKVMLLGHNDGYTADKVMKVTIAFNRFGSGLIERMPRVRFGYAHVANNRYDEWQMYAIGGSANPTIFSEGNYFLARNGNSKQVTKREAKNGWTNWKWRSSKDVFMNGAYFVQSGYGSCAPLYSKTQSFTVAPGSLVPALTSGAGPLNCFRGQPC >Potri.011G124128.1.v4.1 pep chromosome:Pop_tri_v4:11:15457408:15458837:-1 gene:Potri.011G124128.v4.1 transcript:Potri.011G124128.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124128.v4.1 MDVSIISRELIKPSSPSIHHLLPFKLSLLDQLLPTTYVPMVFFYPRNNNQDFKGLQISIQLKRSLSQTLSTFYPLSGRVRNNSIIDNYEKGAPFVETRVKGSLFDFLIQPQLNSLNKFLPCQPFGYQSDPEATPQVAIQVNTFDCGGTALGLCFSHKIIDVATAIAFLDSWAANTRGHYLEQINPALFEASSRFPPQNKFLVQFPLWVAENYLFKEGNVTKRFVFDADAIATLRAKAKSKRVPNPSRTETLTAFIWKSCTEACRSLCALPRPSVSLHAVNIRQRTKPSFSRYSIGNLWWRSLTACELADTKIELNDLVSLTRESFTNINDDLLKDFQGENGLQGISESLLKQLVGIGSRNPEIFLFSSWLNFDLNDVDFGWGKPIWVGLTGEVGRPSGWANATFFKQTGRNNEIEVWMTLNEKIMSVVERNPEFLEFSTPNPSIFMPHVSP >Potri.002G107200.1.v4.1 pep chromosome:Pop_tri_v4:2:7931715:7933892:1 gene:Potri.002G107200.v4.1 transcript:Potri.002G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107200.v4.1 MCNPTPAKPSSFLCPKKPQFGNKPNKTMDPYTDDDQEEQLHGWPTPSEALQEIKEIAKISGPTAMTGLVLYSRAMISMLFLGYLGELELAGGSLSIGFANITGYSVLSGLAMGMEPICGQAYGAKHWKILGLTLQRTVLLLLSTSVPISFMWLNMKSILLWCGQDKEISSVAHTFILFSIPDLFLLSLLHPLRIYLRTQSITLPITYCSAISVLLHVPLNFLLVVHFKMGIAGVAIAMVWTNLNLFLSLASFIYFSGVYKDSWVSPSMDCLRGWSSLLTLAVPTCVSVCLEWWWYEFMIMLCGLLINPKATVASMGILIQTTSLVYVFPSSLSLGVSTRVGNELGANRPAKARISMIVSLVCAVALGLMAMLFTTLMRHQWGRFFTNDAEILELTSIALPIAGLCELGNCPQTTGCGVLRGSARPTIGANINLGSFYLVGMPVAMFLGFVAKMGFAGLWLGLLAAQASCALLMLYALCTTDWMVQVERARLLTQTSGACTTPILPISSSKLEVMTTKEANSNKANLEDILCINDDLVKSASLETDPLISTTQTVH >Potri.014G080000.2.v4.1 pep chromosome:Pop_tri_v4:14:5164795:5166291:1 gene:Potri.014G080000.v4.1 transcript:Potri.014G080000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080000.v4.1 MAETSTATKRYAVVTGANKGIGLEICRQLTSHGIVVVLTARDEKRGLEAVQKMKDSGISDDLVVFHQLDVVDPDSIASLVEFVKTKFGKLDILVNNAAISGVVLNADAFKRAFELSGCWPDGEEVWNEIETQSFELAEQCIKTNYYGVRGMVEALTPLLQLSDSARIINVTSKLGLLKNIPNGRVKGLLNDVESLTGDRIDEILKEFLKDFKEGLLKTKGWPTQLSAYTVAKAAMNAYTRILAKRYPNFHANCVSPGYCKTDLSTNTGYFTAAEGAEGAVRLALLPDGGPSGFCFLQKEMLTYF >Potri.003G066800.2.v4.1 pep chromosome:Pop_tri_v4:3:9400869:9403112:-1 gene:Potri.003G066800.v4.1 transcript:Potri.003G066800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066800.v4.1 MELSTFAALLLATVAVITLFRHLTRPKLNLPPGPKPWPIIGNLNLLTGPLPHRNMHALVQKYGPIMQLKFGSFPVVVGSSVEMAEAVLKTNDVKLADRPKIAAGKYTTYNYSNITWSQYGPYWRQARKICLMEIFSPKRLDQFETVRVQELHALLRKLFVSAGKPINARDEFSDLSLSVISRLVLGKNYTVKTGNQKQYMSPKEFKEMIDELFLLNGVLDIGDSIPWLAFLDLQGYIKRMKAVGQLFDGFLEYTLNEHQQRRKGVKDYVPQDMMDILLQLSDDPNLEVQLDRTAVKAFTMDLIAGGTESSAVTTEWAMAELLKKPEYFKRANEELDRVIGRDRWIEEKDIVNLPFINAICKETMRLHPVSPFLVPRLAREDIQLGGYDIPKGTRVMVNVWTIGRDASIWEKPHEFCPERFIGKSIDVKGHNFELLPFGAGRRMCVGYSLGLKVIQASVANLLHGFKWKLPGDMKTEELNMQEIFGLSTPKQIALVAELEPRLPAHMYSM >Potri.008G220100.1.v4.1 pep chromosome:Pop_tri_v4:8:18231860:18233707:-1 gene:Potri.008G220100.v4.1 transcript:Potri.008G220100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220100.v4.1 MKKVFLKLDEHDEEGKQKAVKRVSSFSGTDSISMDMTVNGDADPVAVVNELRKDLNVDILTIVPEKEEENENGKKEEPAYTDDERQKAELDEQKKKAEIKKLLYESEDDSIYRHMASTSEERPNSCVIC >Potri.008G004800.1.v4.1 pep chromosome:Pop_tri_v4:8:199288:200363:-1 gene:Potri.008G004800.v4.1 transcript:Potri.008G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004800.v4.1 MTLTQFSSTLPNFTCSFKPNKPHSTSWPPNKPLKITTTPITDRVIDFGKYKGKMLGSLPSTYLKWVSKNLRAGDFEHWAKLADQVLQDPVYKDRLEWELADTALNGNNNRSISGSSSLSRNDDSAVSRLLEISERFGWDNEDKVGWSRVNFELLGTSKGGKIPRRSSSSSGVEEDKDLSRVENDKVLSESEERRRERRERAKLKKQVEKRKDKIEIVMKSKDGFGNRVDGDGGVGLEGSKPGRVDHQQDCKVENSSRFPGRESLLKKVLNRKNVL >Potri.001G266500.2.v4.1 pep chromosome:Pop_tri_v4:1:28129385:28131118:1 gene:Potri.001G266500.v4.1 transcript:Potri.001G266500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266500.v4.1 MAITEEPILSRLDRLDNMMRELAELGGCNRPPKSSSPCTPSSGTLAGEGKVSFVDLSPESLEKHCRPISNVMMETEVKGTLVERLDHLEERVLKLEGGLEADKHREEGRTEKRKHKKGLKGLVEKIVKGNKKHRDME >Potri.001G266500.1.v4.1 pep chromosome:Pop_tri_v4:1:28129385:28131094:1 gene:Potri.001G266500.v4.1 transcript:Potri.001G266500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266500.v4.1 MAITEEPILSRLDRLDNMMRELAELGGCNRPPKSSSPCTPSSGTLAGEGKVSFVDLSPESLEKHCRPISNVMMETEVKGTLVERLDHLEERVLKLCVQLEGGLEADKHREEGRTEKRKHKKGLKGLVEKIVKGNKKHRDME >Potri.009G063800.1.v4.1 pep chromosome:Pop_tri_v4:9:6485824:6493011:-1 gene:Potri.009G063800.v4.1 transcript:Potri.009G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063800.v4.1 MGSVDKKIRVNFSGDGAALLRDRVSEKLKEFMGDYTDDVLVEYVIVLLRNGRDKEEARNELNVFLGDDSESFVSWLWDHLATNLDLYVQPQETGTDEVARTNPTLVEQTGGNESHHMDSEPQKVKPDNSYRGRHKREWKGVVRDVNHRPPLRSSVVVNIHQEEKTHCEVSHARRSTSPQPPQQKKRSQHDERQHVKRDTVSQATSRAPRRLLQFAVRDAVRTLRPSGMVKEPLLKRLRSVVSTSTEDTSLVDRPRRLQSIARVPNPMATVLKAVQEAAEDVVKVKSSGSVFDRLGRDMDASLTTEQVTEFRDAAVEDDEYEELNGIQEQNHSNYPQRSKFCGHAGAMNMMEHEAGLTSDLMSDNEGYDDANVVDHRVMDVSHTGTSYGSKGEDALMSKYNLAKDQSAANTSLKIVNISVNVNTWRPPHYQEPRDAAMDNQKSVQNNEGNAGRFGAKLMKEVSKPVSVGNGNAKPAGGIQQEPQKPPSSASGPYTAGCPLEDADSRTIFVSNVHFAATKDSLSRHFNKFGEVLKVVIVTDAATGQPTGSAYVEFMHKEAADNALSLDGTSFMSRILKVMKRSSSNQEANLITTWPRIARGSTYTAGRFSRAAFPRGTPAAFRPRLHVKPGARSLQWKRDAQATPAGSSAAVSGSSVVSPSVRSLTYVRTEPKPDRYSGTAGV >Potri.010G096600.1.v4.1 pep chromosome:Pop_tri_v4:10:12023174:12026516:-1 gene:Potri.010G096600.v4.1 transcript:Potri.010G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096600.v4.1 MALFTAASTTRFSSTKLQSVHFNSIDGPIPTHSTRANFPQKRVIAMSTNLAVKASFTKGGTGVLERPTFDQSQFDPSSQALEGGDIGRLRDKRGVGSGDSYRVLLIDDARHSERLVAKVLPQAVPSVTPDDARKLFHESRENGVAVVIVTVKEHAEFYSQMMVRGGLRSIIEPESNTV >Potri.001G221700.1.v4.1 pep chromosome:Pop_tri_v4:1:23246369:23247800:-1 gene:Potri.001G221700.v4.1 transcript:Potri.001G221700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221700.v4.1 MSAGHCMDGLRTSGAQSVTFVFTNNCPYTVWPGTLTAAGGPSLSSTGFTLATGASSSLSVPVNWSGRLWARTQCSTDASGKFVCATADCASGVIECNGAGAIPPASLAEFTLRGDGGKDFYDISLVDGFNIPISVTPQGGSTGCPSTSCAANVNAACDPSLAVRGSDGTVIACKSACLAFNQPQFCCTGEYDSPEKCQPNQYSMTFKQQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.014G184100.3.v4.1 pep chromosome:Pop_tri_v4:14:14784593:14786937:-1 gene:Potri.014G184100.v4.1 transcript:Potri.014G184100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184100.v4.1 MTSNDQNLMNKLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYFAYRSDTRPETLAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDIGLSTSSQTQLLAAAAQPLVLAAATNTSTGTTNNNSSTSTSTTTGTGTSTSTSSSTNNISIRSGNGSNKVYLNRIFYLGLLYGFMGLSLICFFSFFLVLSWYPHTLFKG >Potri.005G247400.2.v4.1 pep chromosome:Pop_tri_v4:5:24178461:24183042:1 gene:Potri.005G247400.v4.1 transcript:Potri.005G247400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247400.v4.1 MAHLLQVPNQTATFSLAHHAFPLFISPSNLTSLFTRHLQNLILRSPPLSYSLLSVTKQQPQRRNVFNSEVCPRQRPRPRPMGSLSALDKPLQYPIARRDDAVIDDYHGVKIADPYRWLEDPDAEEVKGFVREQVKLTESVLNACDTREKLREKITKLFDHPRYDAPFKRGNKYFYFHNTGLQAQNVLYVQDSLEGEPDVLLDPNGLSEDGTVSLNSETLSVSEDAKYLAYGLSTSGSDWVTIKVMRVEDKIVEADTLNWVKFTGVSWTHDGKGFFYCRYPNPKEGENLDAGMETNSNLYHELYYHFLGTDQSEDILCWRDLENPKYMFEAGVTDDGKYLLLYIEESCDPVNKVYYCDMSAFSDGLEGFKGGNSLLPFIKLVDNFDAKYHEIANDGTLFTFLTNKDAPKYKIVRVDLKEPSSWIDVVPESEKDVLESAYAVHGDKMIVSYLNDVKHVLQIRDLKTGSLLHRLPIDIGSVTGISARREDSTVFIEFTSFLTSRIIYQCNLDTEVPDVKIFREISVPGFNRTEFQVNQVFVPSKDGTRIPMFIVAKKNITLDGSHPCLLYAYGGFNISITPSFSVSRIVLTRHLGSVVCIANIRGGGEYGEEWHKAGSLARKQNCFDDFISAAEYLVSAGYTQPKKLCIEGGSNGGLLVGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDYGCSDKKEEFDWLIKYSPLHNVRRPWEQHHEQPSQYPPTMLLTADHDDRVVPLHSLKLLATMQYILCTSLENSPQTNPIIGRIECKAGHGAGRPTKKKIDEAADTYSFMARMLDASWNE >Potri.017G152701.1.v4.1 pep chromosome:Pop_tri_v4:17:15051256:15051555:-1 gene:Potri.017G152701.v4.1 transcript:Potri.017G152701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152701.v4.1 MKKKMNGEEIMDNIKKIKNNDFPLWYWKGFFHRMGGQEFCSKDNLEESLSSRLRRSKGSSRNPQQVMEAVTAASTAEFPTESVQESWRIGFSSTYKSAT >Potri.016G066200.1.v4.1 pep chromosome:Pop_tri_v4:16:4634999:4635673:1 gene:Potri.016G066200.v4.1 transcript:Potri.016G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066200.v4.1 MQGSMGLGFMAAFAVSGSVVLIARQVHKRLLSDFMKKMEFELAGSRRSCQDRKRVRFADDVLEPSSNNKEYRKRHTKGDNEVLKMEDFVLDQSLMAQNC >Potri.001G024600.11.v4.1 pep chromosome:Pop_tri_v4:1:1863041:1866646:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.4.v4.1 pep chromosome:Pop_tri_v4:1:1862515:1866859:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.9.v4.1 pep chromosome:Pop_tri_v4:1:1863071:1866660:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.13.v4.1 pep chromosome:Pop_tri_v4:1:1862486:1866624:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.14.v4.1 pep chromosome:Pop_tri_v4:1:1862487:1866618:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.10.v4.1 pep chromosome:Pop_tri_v4:1:1863071:1866660:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.7.v4.1 pep chromosome:Pop_tri_v4:1:1862486:1866871:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.6.v4.1 pep chromosome:Pop_tri_v4:1:1862487:1867229:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.12.v4.1 pep chromosome:Pop_tri_v4:1:1862960:1866640:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.15.v4.1 pep chromosome:Pop_tri_v4:1:1863054:1866738:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.001G024600.8.v4.1 pep chromosome:Pop_tri_v4:1:1863054:1866679:-1 gene:Potri.001G024600.v4.1 transcript:Potri.001G024600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024600.v4.1 MENSDSRSISEIESEQQASTEEAVSLAKNLEFDREVFAEFAVLLDKFTPILISINDNEKLMDRPSVQKAVGSIEKELSRAKDLIEGACSRSPIKQIEVVTQELGRSLGLVLFASIDASTEVKQSIAALHRELMNVKFDTSFTPSPSPSLSPSPSPCANHGPRPNKESGFVSEQDSFINEIEEEKISLSIDDVVRHLKCGNDEEFRLALLVLGDLIRDQVIEKEWINDEDIIPILFNRLGSSKPHNRLTTIQILRILALENDENKDKMTDAVCLSVLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTTSQDAAKLLVALSSNPQNVLHMAEAGYFKPLVHCLKEGSDKSKILMATAVSRMELTDLCRASLGEDGAVEPLVKMFKSGKLEARLAALNALQNLSNLTENIKRLISSGIVVPLLQLLFSVTSVLMTLREPASAILARIAQSATILVKQDVAQQMLSLLNLSSPVIQYHLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNTNTRTAALNLLYTLSKDSPEEFMEHLGESYLSKIVSIISSPESESEKAAAIGILSNLPVGNKKSTEALKKLHFLPILISLMSSGASSSTTWLEESISGILIRFTVPSDKKLQLFSAELGIIPILVKLLSSESSVAKCRAATSLAQLSQNSLALQKSRKSRWICMPPSADTFCQVHDGYCVIKSTFCLVKAGAVPPLIQILEGKDREADEAALNALATLLQDEIRESGSLFIAKTSGVQAIIRVLESGTVKAQEKALWMLERIFRIEEHRSQYGESAQVVLIDLAQNGDPRLKSTIARVLAQLELLQAQSSYF >Potri.018G142400.1.v4.1 pep chromosome:Pop_tri_v4:18:14773380:14780430:-1 gene:Potri.018G142400.v4.1 transcript:Potri.018G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142400.v4.1 MGDSGKKSKQQDLHESSPSDTVNGSLLIKSLKIKKGGKLSHRKSEKPKTKPHLKTIINSSVSKKKVTPKKGIRNGSTSRRLIHRKILHKALDKKASRNGASSELQGKQLSTIDSEGNGKNADEGAIKKVKKRKPKKRQKDKVKLDEPPRLQRRARYLMIKMKLEQNLIDAYSGEGWKGKSREKIRPEKELLRARKQILKCKLGLREIIRQVDSLSTVGCIEDAVMAPDGSVSHEHIFCAKCKLNEVSQDNDIVLCDGTCNCAFHQKCLDPPLDTENIPPGDQGWFCKFCDCRMEIIEAMNAHLGTHFSEDSGWQDIFKEEAAVPDGGNMLLNPEEEWPSDDSEDDDYDPERRENVMSGAGTDDDASDDTSNSTRLSWSSDGEVFSGSRRWEVDGLDFRNNSIYSSLDSDETSDGEIICGRRQRRAVDYKKLYNEMFGKDAPAHEQPSEDEDWGPSKRKRREKESDAASTLMTLYESKRRCKNDATIEGMMKLPRDPQIRRPIFRLPPDAVEKLRQVFAENELPSRTVKENLSKELGLEPGKVSKWFKNSRYLALKSRKVEKGEQVHYSSSKVSAEPTLNVMKDKTADLSLLKDSQAETGVCTPENLKRILQRKKPRSISKSLKKNEQKRGSFESPTKSNEMNVEHNDDLSLKKLLKAKTKGVKKKGNRISAAAESDMEKLCRAKTRVENLKQKLVKLQTGKARKSSKIRPLDESVVYVPIAELREKK >Potri.008G051100.1.v4.1 pep chromosome:Pop_tri_v4:8:2995227:2995540:1 gene:Potri.008G051100.v4.1 transcript:Potri.008G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051100.v4.1 MINKLEAKKLLKDKKLWFASFLIAWAAALQGHMMWLRRQDSFKQKFGTLNEDNSDVAQE >Potri.010G123801.1.v4.1 pep chromosome:Pop_tri_v4:10:14143281:14145170:-1 gene:Potri.010G123801.v4.1 transcript:Potri.010G123801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123801.v4.1 MKLTFPKSTIQSHYTAHKIQPLHPVTISKPFNKPRPPPRGVRMVTDSSTAASAGVHHHKKDKILVIMGATGCGKTKVSIDLATRFHSEIINSDKIQVYKGLDIITNKIPVQDRLGVPHYLLGEFDPEDGELTLSEFRLAGGLAISGIVSRQRLPIVVGGSNSLVHALVVDRFNPELNVFDGSNPVSTQLRYNCCFLWVDVSLPVLCDYLCMRVDEMLDSGMFDELSEYYGSIDSASQTVLRKAIGVPEFDRYFKKYPPGSGCGRGIGGEWDQVRRGIYEECVREIKENTCQLAKRQIGKILRLKGAGWDLQRVDATESFREVMMVRTSDEDDHKKNTKKRKKNKRWVEVWRRDVMEPSMKIVKRFLDE >Potri.010G029200.1.v4.1 pep chromosome:Pop_tri_v4:10:4215980:4223954:1 gene:Potri.010G029200.v4.1 transcript:Potri.010G029200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029200.v4.1 MEQLVNFIIRPPRAEYNPKHDLLDQEFMLKGKWYQRKDVEVQNGRGDALQCSHYMPIVNPQEKPLPCVIYCHGNSGCRVDASEAAVILLPSNITVFTLDFSGSGLSGGDHVTLGWNEKDDLMAVVNYLRQDGNVSLIGLWGRSMGAVTSLMYGAEDPSIAGMVLDSPFSDLVDLMMELVETYKFRLPKFTVKFAIQYMRKSIQKKAKFDIMDLNTIKVAKSCFVPVLFGHAIDDDFIRPHHSQSIFEAYMGDKNIIKFEGDHNSPRPQFYFDSINIFFHNVLQPPEDEVGGTYFETMHDYFGKDSWSSLHKVGHNPESSVVYKEPSSSSTADAIEQVRSKTPMSRMEVPMDIQHKDHQSEVEHEEIGDDHLPSSSKMISFELSNGHPYGPHVPTTMDDNQYVEYQLEDLAGFPCDIEEEERMFMEAVIESLKDLEMRHPNAEGQPASVSPASVKYSQKDSGDASSIVEHGNPLNTPTSTSVKQMTESTSSLAVNDQNLATEGPSPATSAASVGTTFDTPSSIMGSESTTTSSRSDTSGSVHSSTDSDLSGNTKATLTVERNPAGHIMDGLLRRWDFNLFRNGR >Potri.001G050700.5.v4.1 pep chromosome:Pop_tri_v4:1:3838721:3848696:1 gene:Potri.001G050700.v4.1 transcript:Potri.001G050700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050700.v4.1 MDAAAPQLVYGGIQPRRRHYNLPNRIPVRRPSNRVFAVATEPKPTQTGSIESPSPSSSSPNTVNGSSKSPPPKPVNGVATKFSKSKPVNGVSTRMGEVSQEIKRVRAQMEENEELAILMRGLRGQNLRDTQFADDNIKLRLVEVDESSEFLPLVYEPSSISAYWGKRPRAVATRAVQLLSVAGGFLSRLAWDVINKKVKENEVARAIELREIVTSLGPAYVKLGQALSIRPDILSPAAMIELQKLCDKVPSFPDDVAMALINEELGQPWQNIYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLALRKFPQISVDVVGLVDEWAARFFEELDYINEGENGSLFAEMMRKDLPQVVVPNTYEKYTSRKVLTTEWIEGEKLSQSTESDVGELVNVGVICYLKQLLDTGLFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYGAIVKDFVKLGFISEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGESMNGDMAELGMLQSQTGYIFPGFLSSASQPTQPIQTRAALAFLLSEKGNFFREFLLDEIVKSIDAVAREQLVQIMAILGVGNAAPIFSMVPAPFKPAALLPTITEEDKVILNNVQKVAEFLTAGTSISSTSTQGVDVTRIVQELLPVLPGISVTILPEVVSRLSSRIAARIIRDVLL >Potri.001G050700.6.v4.1 pep chromosome:Pop_tri_v4:1:3838705:3848521:1 gene:Potri.001G050700.v4.1 transcript:Potri.001G050700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050700.v4.1 MDAAAPQLVYGGIQPRRRHYNLPNRIPVRRPSNRVFAVATEPKPTQTGSIESPSPSSSSPNTVNGSSKSPPPKPVNGVATKFSKSKPVNGVSTEIKRVRAQMEENEELAILMRGLRGQNLRDTQFADDNIKLRLVEVDESSEFLPLVYEPSSISAYWGKRPRAVATRAVQLLSVAGGFLSRLAWDVINKKVKENEVARAIELREIVTSLGPAYVKLGQALSIRPDILSPAAMIELQKLCDKVPSFPDDVAMALINEELGQPWQNIYSELSSSPIAAASLGQVYKGRLKENGDLVAVKVQRPFVLETVTVDLFIIRNLGLALRKFPQISVDVVGLVDEWAARFFEELDYINEGENGSLFAEMMRKDLPQVVVPNTYEKYTSRKVLTTEWIEGEKLSQSTESDVGELVNVGVICYLKQLLDTGLFHADPHPGNLIRTPDGKLAILDFGLVTKLTDDQKYGMIEAIAHLIHRDYGAIVKDFVKLGFISEGVNLEPILPVLAKVFDQALEGGGAKNINFQELASDLAQITFDYPFRIPPYFALIIRAIGVLEGIALVGNPDFAIVDEAYPYIAQRLLTDESPRLRNALRYTIYGKSGVFDAERFIDVMQAFENFITAAKSGGGESMNGDMAELGMLQSQTGYIFPGFLSSASQPTQPIQTRAALAFLLSEKGNFFREFLLDEIVKSIDAVAREQLVQIMAILGVGNAAPIFSMVPAPFKPAALLPTITEEDKVILNNVQKVAEFLTAGTSISSTSTQGVDVTRIVQELLPVLPGISVTILPEVVSRLSSRIAARIIRDVLL >Potri.002G202500.1.v4.1 pep chromosome:Pop_tri_v4:2:16646252:16650487:-1 gene:Potri.002G202500.v4.1 transcript:Potri.002G202500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202500.v4.1 MYPTRGRTKHLLFLLPISILVITLLSFKRPAKFSSKTIEIPRLHIHKHIQIAHSACKGTLYPELCVSTLVSLPDLASKSLQEFISATLNKTMDEVRASSADCNAIRNKMKLGPLEKVALKDCIELFDDTIAELKSAISNLALRKPTSKHYHDLQTLLSGAMTNQYTCLDGFARSKGKVRKAIKKGLYNISHHVSNSLAMLKKIPGVNASKSEVFPEYGNVKHGFPSWLSTKDRKLLQASANATKFDLIVAKDGTGNFTTISEAVRAAPNSSDTRFVIHIKAGAYFENVEVERKKKMLVFIGDGIGKTVVKANRSVVDGWTTFRSATVAVVGDGFIAKGITFENSAGPSKHQAVALRSGSDLSAFYQCSFVGYQDTLYVHTLRQFYRECDIYGTIDFIFGNAAVVFQNSNLYARKPNSNQKNIFTAQGREDPNQNTGISILNCKVAAAADLIPVKSSFQTFLGRPWKEYSRTVFLRSYIDDLVDPAGWLEWNASFALSTLYYREYMNRGPGSNTSARVTWPGYRIITNSTEASQFTVGAFIQGNTWLNSTDIPYFLNLN >Potri.017G117866.1.v4.1 pep chromosome:Pop_tri_v4:17:12475857:12476699:-1 gene:Potri.017G117866.v4.1 transcript:Potri.017G117866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117866.v4.1 MATLQKFKLLATQCAVATPTQSPTTSPVFHIRRRRKTLRMLLSKKSDARWRAPRREDQSEVEEDPLPEKGVRRKLKDLFVSSPPFEQERRGGERGGGEEVGLISGGGAALRRGGGVGALRPVAATFRYRLLRRAWRPVLVTIPE >Potri.001G436100.1.v4.1 pep chromosome:Pop_tri_v4:1:46210427:46215109:1 gene:Potri.001G436100.v4.1 transcript:Potri.001G436100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436100.v4.1 MVKFTKSFKPKQENKKERRKWSSWWLIGASLAVVLAVIAAGTVSPMNASKIGSLISSNYKSCQCSSAQDSGKYKGMIEDCCCDYESVDSVNGEVLHPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPFKKPFRRGLPADDLMCQEGKPQAAVDRTLDSRAFRGWIVTDNPWTNDDETDNGELTYVNLLLNPERYTGYAGSSARRIWDAVYSENCPKYASGEICQEKKVLYKLISGLHSSISIHIAADYLLDESTNKWGQNLELMYDRVLRYPDRVRNLYFTFLFVLRAMTKAADYLEQAEYDTGNNTEDLKTQSLVRQLLYNPKLQAACPLPFDEAKLWQGQSGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQNQPSESPQLQRNEVIALVNLLNRLSESVKFVREQGPSIEKIMERQISDSSEPKHGSKWQRAGQSLFQLW >Potri.001G325600.1.v4.1 pep chromosome:Pop_tri_v4:1:33461130:33463835:1 gene:Potri.001G325600.v4.1 transcript:Potri.001G325600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325600.v4.1 MERDLSEKHPPEKQLSNNSDSSDNEENATNITTNLNANHHDHPETETCDQLVSQSPKAGVKVEESDNTSHEIEEVHHEEKENDEKIGDTSPPEIHYTLETVSQDIDQLITSLSATPKDAKETIVEEEKDEKVPMEIPVFVEKFLDLVEEKVAKHELTEGKGKWGQNPEEDSSFLEAVNRISKLTSSLDGLRSDPNHAALISRIGGIQQRAMTCLEDEFRFTLEDIKHNDQDPNTDAKGKQHEADRCVLPESESAETDNFLGYSDDAVSKLNRIAKEMIGGGFESECCHVYMMIRGQAFDECFAEIGFEKISIDEVQKMQWEALEREIPLWIKAVREYASIYFVKELKLAEAIFSNYSSISSSLFSNLTRSVLIQLLNFAEAVAMTKRSAEKLFKFLDVYETLRDSLPAMGALFSEEYENELKTESTTARCRIGEAAICMFCDLENSIKSDTGKTPVPGGAVHPLTRYTMNYLKYAGEYIATLEQVFREHSKIERADSTSRPRYESESQNFNNDNDEENQSPFSNQLVRVMDLLDSNLEAKSKLYKDIALSCIFMMNNGRYIVQKIKGSTEIRQMMGDPWCRRKSSELRNYHKNYQRETWSKLLGCLGHEGLQVNGKVIKPVLKERFKSFNVLFDEIHKAQSSWVVSDEQLQSELRVSITAVVIPAYRSFMGRFSQYLTPGRQTEKYIKYQAEDLETYIDELFDGNSASGARKRP >Potri.010G164900.1.v4.1 pep chromosome:Pop_tri_v4:10:16896147:16900175:1 gene:Potri.010G164900.v4.1 transcript:Potri.010G164900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164900.v4.1 MKFGKEFTAQAVPEWQEAYMDYDFLKTLLKEIQRFRLRTKPPATNPGGLKRKLTLYRAFSGLTRRNGTNYTPSPSSPDLELHPILVNSVNLDGSQSYRTAFVMPFVPGGEYELVFFRRLDDEFNKVDKFYRSKVEEVLKEAAMLNEQMDALIAFRIKVENPAGWYDRVADMTRLASDVAASTAVLAASSPSGARERRRGLHLMDAIEEGQSLHAQSGESDNDKVEKESDNIDQKEEEEEKPKSMVRSTFRPAPLEILNRVKINNTLATPRSTIKSFLKVPQQTELKFTRENLRKVEEQLKGAFFEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITTRNASQVYMKMVDNSFLGSSDEVTKLMERVEATFIKHFSNSNRSNGMRVLRPKAKKERHRITFYMGFFSGCTVALIIALVLIVKARKIMKKPGRITYMQTMFPLYSLFGLIVLHVLMYAANIYFWKRYRVNYSFIFGFKRETELGYRQVLLLGFGIAALALCSVHLNLHMEMDPKTKEYGEFTELLPLNVLIFLLIILLWPFNMFYCSSRFFLLTCIFHCIAAPLYKVTLPDFFLADQLTSQVQSLRSLEFYICYYGWGDYKHRRSNCKESPVFTTFSFIVAVIPYLCRLLQCLRRLFEEKDPMQGYNGLKYFLTVVAVCLRTAYNINKGDNWKAIAWVFSSIAAIFGTYWDLVFDWGLLQRHSKNRWLRDKLLVPHKSVYFGAMVLNILLRFAWLQTVLNFRLTSLHKETMITLMASLEIIRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFNNVEDDDDDE >Potri.002G229600.2.v4.1 pep chromosome:Pop_tri_v4:2:21980022:21980336:1 gene:Potri.002G229600.v4.1 transcript:Potri.002G229600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229600.v4.1 MGTPGLAGRSIAPSGESMPQLSKYLAGCAAKLTGKCGAEIFLSFSGNNNNPSDSCCQKLVATGIDCHNAFTEFLEAKEPQENPSKISLRSLDIWNHCVAVAAKP >Potri.001G281400.1.v4.1 pep chromosome:Pop_tri_v4:1:29518505:29521112:-1 gene:Potri.001G281400.v4.1 transcript:Potri.001G281400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281400.v4.1 MAGRNHLQLREIPLSRAALLPHPTTDPHRLHARPHHLLLEDRITIQHREIQSLLLENERLAAAHFALKQELSLSQQDLRHLSTLAADVKAERDNQVREVYERSLKSDAELRSIDAMSAELVQVRTNVQKLTAQRQDMTAQLKGMNNEIVKAKTETQQVGVLKAEIETVQQEIQRGRAAIAYEKKTRAINLEQEKVLEKNMNLVIREIEKLRGEFANAEKRARAAAAAANPSPGYGGNYGSAEVGCGGSSYPDPYGLQQVQVGSDSGPTFASGVMSNGPYDTAHG >Potri.005G122100.6.v4.1 pep chromosome:Pop_tri_v4:5:9029405:9033150:-1 gene:Potri.005G122100.v4.1 transcript:Potri.005G122100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122100.v4.1 MFMPDSSVEEAGKAMRELLKQGNLGSQSMVNSEIKAIQLAASRLHITSRKAILIEKRSIKKQLDKDGGNKPGKRSILNYLMFLLKKYGNLLIEEQTETPKAQHEGLFSLKNPSDTSFHRQYNQVESCVGCEQYETQTDMFSRATPPEDFKCPISMRVMYDPVVIASGQTFERMWIQKWFDEGNDTCPKTKVKLAHCALIPNTTIKDLISKWCVKYGITIHDPSIRALRLLDISINSIASLSSSMNDLNLPLDISNISLGSLDASYSSDASRSKVASGSNLILVQDNDYSCECHSYTNMNQQDLKFLSGLAELPWDSQCNMVEDVKGCLQCNDQVCPSLSSENFVEPLFRFLRDAREQQDIGAQRVGFHLLLSFVSKNRSGISYLHEEAFNLLSSFLDSEVIEEVLAIFEVLSGYPYCRSKITAFGALVSIRKMLDSHNKEFQELAIKILHNLSSNDDICSQIASMECISKLVPLMKDGNLSRYSIVLLRNLCDLEVARVSVAETNGCIASIAELLESGSQEEQEHAVAILLLLCSQRLQYCQLVMDEGVIPSLVDISINGTDKGRASALELLRQLRDIEYDNDQESFCSDLVTDRDADHQTREKKSSPKTSGFLKNLSVFSIRSSVASKKKR >Potri.005G122100.2.v4.1 pep chromosome:Pop_tri_v4:5:9029162:9035353:-1 gene:Potri.005G122100.v4.1 transcript:Potri.005G122100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122100.v4.1 MGTDAAEVVETLPFPYSFKVHHSMCTELMKLVDKVSKTFLEIEAARPRCSSGIQALCLLNKALEKARQHLQYCCDSSKLYLAITGDAIVSKCQRSRNLLEQTLAQMQTMVPIMLAAEISQIIDDLRAAMFMPDSSVEEAGKAMRELLKQGNLGSQSMVNSEIKAIQLAASRLHITSRKAILIEKRSIKKQLDKDGGNKPGKRSILNYLMFLLKKYGNLLIEEQTETPKAQHEGLFSLKNPSDTSFHRQYNQVESCVGCEQYETQTDMFSRATPPEDFKCPISMRVMYDPVVIASGQTFERMWIQKWFDEGNDTCPKTKVKLAHCALIPNTTIKDLISKWCVKYGITIHDPSIRALRLLDISINSIASLSSSMNDLNLPLDISNISLGSLDASYSSDASRSKVASGSNLILVQDNDYSCECHSYTNMNQQDLKFLSGLAELPWDSQCNMVEDVKGCLQCNDQVCPSLSSENFVEPLFRFLRDAREQQDIGAQRVGFHLLLSFVSKNRSKITAFGALVSIRKMLDSHNKEFQELAIKILHNLSSNDDICSQIASMECISKLVPLMKDGNLSRYSIVLLRNLCDLEVARVSVAETNGCIASIAELLESGSQEEQEHAVAILLLLCSQRLQYCQLVMDEGVIPSLVDISINGTDKGRASALELLRQLRDIEYDNDQESFCSDLVTDRDADHQTREKKSSPKTSGFLKNLSVFSIRSSVASKKKR >Potri.005G122100.4.v4.1 pep chromosome:Pop_tri_v4:5:9029227:9035688:-1 gene:Potri.005G122100.v4.1 transcript:Potri.005G122100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122100.v4.1 MNKNFSHRDSLWGVNLHQHVLLLNIAVSLLCFSTPGLQGGYLGYLNSACNSRCSKDTVRIVYVFKQRKELFISVVVSKSLDPAYSLCMGTDAAEVVETLPFPYSFKVHHSMCTELMKLVDKVSKTFLEIEAARPRCSSGIQALCLLNKALEKARQHLQYCCDSSKLYLAITGDAIVSKCQRSRNLLEQTLAQMQTMVPIMLAAEISQIIDDLRAAMFMPDSSVEEAGKAMRELLKQGNLGSQSMVNSEIKAIQLAASRLHITSRKAILIEKRSIKKQLDKDGGNKPGKRSILNYLMFLLKKYGNLLIEEQTETPKAQHEGLFSLKNPSDTSFHRQYNQVESCVGCEQYETQTDMFSRATPPEDFKCPISMRVMYDPVVIASGQTFERMWIQKWFDEGNDTCPKTKVKLAHCALIPNTTIKDLISKWCVKYGITIHDPSIRALRLLDISINSIASLSSSMNDLNLPLDISNISLGSLDASYSSDASRSKVASGSNLILVQDNDYSCECHSYTNMNQQDLKFLSGLAELPWDSQCNMVEDVKGCLQCNDQVCPSLSSENFVEPLFRFLRDAREQQDIGAQRVGFHLLLSFVSKNRSGISYLHEEAFNLLSSFLDSEVIEEVLAIFEVLSGYPYCRSKITAFGALVSIRKMLDSHNKEFQELAIKILHNLSSNDDICSQIASMECISKLVPLMKDGNLSRYSIVLLRNLCDLEVARVSVAETNGCIASIAELLESGSQEEQEHAVAILLLLCSQRLQYCQLVMDEGVIPSLVDISINGTDKGRASALELLRQLRDIEYDNDQESFCSDLVTDRDADHQTREKKSSPKTSGFLKNLSVFSIRSSVASKKKR >Potri.005G122100.5.v4.1 pep chromosome:Pop_tri_v4:5:9027861:9035353:-1 gene:Potri.005G122100.v4.1 transcript:Potri.005G122100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122100.v4.1 MQTMVPIMLAAEISQIIDDLRAAMFMPDSSVEEAGKAMRELLKQGNLGSQSMVNSEIKAIQLAASRLHITSRKAILIEKRSIKKQLDKDGGNKPGKRSILNYLMFLLKKYGNLLIEEQTETPKAQHEGLFSLKNPSDTSFHRQYNQVESCVGCEQYETQTDMFSRATPPEDFKCPISMRVMYDPVVIASGQTFERMWIQKWFDEGNDTCPKTKVKLAHCALIPNTTIKDLISKWCVKYGITIHDPSIRALRLLDISINSIASLSSSMNDLNLPLDISNISLGSLDASYSSDASRSKVASGSNLILVQDNDYSCECHSYTNMNQQDLKFLSGLAELPWDSQCNMVEDVKGCLQCNDQVCPSLSSENFVEPLFRFLRDAREQQDIGAQRVGFHLLLSFVSKNRSGISYLHEEAFNLLSSFLDSEVIEEVLAIFEVLSGYPYCRSKITAFGALVSIRKMLDSHNKEFQELAIKILHNLSSNDDICSQIASMECISKLVPLMKDGNLSRYSIVLLRNLCDLEVARVSVAETNGCIASIAELLESGSQEEQEHAVAILLLLCSQRLQYCQLVMDEGVIPSLVDISINGTDKGRASALELLRQLRDIEYDNDQESFCSDLVTDRDADHQTREKKSSPKTSGFLKNLSVFSIRSSVASKKKR >Potri.005G122100.1.v4.1 pep chromosome:Pop_tri_v4:5:9025798:9035610:-1 gene:Potri.005G122100.v4.1 transcript:Potri.005G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122100.v4.1 MGTDAAEVVETLPFPYSFKVHHSMCTELMKLVDKVSKTFLEIEAARPRCSSGIQALCLLNKALEKARQHLQYCCDSSKLYLAITGDAIVSKCQRSRNLLEQTLAQMQTMVPIMLAAEISQIIDDLRAAMFMPDSSVEEAGKAMRELLKQGNLGSQSMVNSEIKAIQLAASRLHITSRKAILIEKRSIKKQLDKDGGNKPGKRSILNYLMFLLKKYGNLLIEEQTETPKAQHEGLFSLKNPSDTSFHRQYNQVESCVGCEQYETQTDMFSRATPPEDFKCPISMRVMYDPVVIASGQTFERMWIQKWFDEGNDTCPKTKVKLAHCALIPNTTIKDLISKWCVKYGITIHDPSIRALRLLDISINSIASLSSSMNDLNLPLDISNISLGSLDASYSSDASRSKVASGSNLILVQDNDYSCECHSYTNMNQQDLKFLSGLAELPWDSQCNMVEDVKGCLQCNDQVCPSLSSENFVEPLFRFLRDAREQQDIGAQRVGFHLLLSFVSKNRSGISYLHEEAFNLLSSFLDSEVIEEVLAIFEVLSGYPYCRSKITAFGALVSIRKMLDSHNKEFQELAIKILHNLSSNDDICSQIASMECISKLVPLMKDGNLSRYSIVLLRNLCDLEVARVSVAETNGCIASIAELLESGSQEEQEHAVAILLLLCSQRLQYCQLVMDEGVIPSLVDISINGTDKGRASALELLRQLRDIEYDNDQESFCSDLVTDRDADHQTREKKSSPKTSGFLKNLSVFSIRSSVASKKKR >Potri.007G030300.1.v4.1 pep chromosome:Pop_tri_v4:7:2301906:2303610:-1 gene:Potri.007G030300.v4.1 transcript:Potri.007G030300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030300.v4.1 MQNTKPHAALLSSPGMGHLIPVLELGKRLLTNHGFTVTIFVVTTDNSLSKSQLLKQSPCPDLLNIVLLPPVDVSSLITPTTGILAQLAIMMREALPKLRSAILAMEFCPTVLIVDFFGTEAMVIADEFNMLKYAFMTSTAWFLALTLHMPTIDKAIEDNHVKNQQALLIPGCKSLEFRDTFEPVLDRNDQMYIEYKRMGVEMQKFDGILVNTWQDLEGTTLGALEDEKRLGRVAQVPIYPVGPLVRAITPGPKSEMLEWLDMQPIESVIYVSFGSGGALSARQTTELACGLESSGQRFIWVVRPPIEGDSAATVFKTNHRTDDTPDFLPDGFLTRTRKMGLVVPMWAPQTEILSHPSVGGFVSHCGWNSTLESIVNGVPMITWPLYAEQGMNAAMLSEDIGVAIRSKSLPAKEVVAREEIETMVRTIMDKGDARRARAKTLKSSAEKALSKGGSSYNSLAHVANDCETAFKYLKAKAQGA >Potri.007G030300.2.v4.1 pep chromosome:Pop_tri_v4:7:2301905:2303511:-1 gene:Potri.007G030300.v4.1 transcript:Potri.007G030300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030300.v4.1 MGHLIPVLELGKRLLTNHGFTVTIFVVTTDNSLSKSQLLKQSPCPDLLNIVLLPPVDVSSLITPTTGILAQLAIMMREALPKLRSAILAMEFCPTVLIVDFFGTEAMVIADEFNMLKYAFMTSTAWFLALTLHMPTIDKAIEDNHVKNQQALLIPGCKSLEFRDTFEPVLDRNDQMYIEYKRMGVEMQKFDGILVNTWQDLEGTTLGALEDEKRLGRVAQVPIYPVGPLVRAITPGPKSEMLEWLDMQPIESVIYVSFGSGGALSARQTTELACGLESSGQRFIWVVRPPIEGDSAATVFKTNHRTDDTPDFLPDGFLTRTRKMGLVVPMWAPQTEILSHPSVGGFVSHCGWNSTLESIVNGVPMITWPLYAEQGMNAAMLSEDIGVAIRSKSLPAKEVVAREEIETMVRTIMDKGDARRARAKTLKSSAEKALSKGGSSYNSLAHVANDCETAFKYLKAKAQGA >Potri.011G057700.5.v4.1 pep chromosome:Pop_tri_v4:11:4723489:4734880:-1 gene:Potri.011G057700.v4.1 transcript:Potri.011G057700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057700.v4.1 MEEANSEGENHSKSKSNAMNSNEGQSKPKRQMKTPFQLQTLENAYATDTYPSDEMRAELSEKLGLSDRQLQMWFCHRRLKDRKENLVKHRKAAPLPGSPLEDMRIVRADSGSDYGSGSVSGSSPLSESRKVVLDDGHKVRRHYESSPRSVTELRAIACVEAQLGEPLREDGPILGMEFDPLPPDAFGEPIAAITEQPKRMGYSYEDKVYERRDAKSSKVDPASIRSDTYGPHIQSPYHDSPVDTLRGRASPFGVGQIPRARLLSQQDKRGHVFSSTQRDGDYLLQQDTFTKNRTSAQSISHPIMGPENPNVFSDAQTFHNDTELQLERKHKIDEPRTAREVEAYEIRTRKELEKQDILRRKNEERMKKEMERLDRERRKEEERLMREKQREEERSLREQKRELERREKFMQKEYLRTEKIRQKEELRREKEAVKRKAAMEKATARKIAKDSLDLIEDEQLELMELIAASKGLASIVNLNYDTLQNLDSCRDLLITFPSEAVQLKKSFGFQPWLDSEENIGNLLMVWKFFITFADVLGLWPFTLDEFVQAFHDYDSRLLGELHVALLKLIIKDIEDVARTPSSGLGINQYYTANPEGGHPQIVQGAHTWGFDIRNWQQHLNSLTWPEILRQLALSAGFGPRLKKKCATWAGLGDIDELQVKDCEDIVSIIRNGSAAENAFVLMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADRIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCVRAAFRKDPADAEAILAEARKKIRIFENGFLGGEDADDVERDEDSEGDADEDPEVDDLATPISSNKSTVHSSKVNALSGSGSGKVSNDASLTVQNKCEKGLSSFSLNGPKDAVAPSIIEQCVTHKDEGTNNADEENIEIDENNSGESWIQGLTEGEYSHLSVEERLSALVVLVGISNEGNSIRAVLEDRLEAANVLKKQMWAEAQLDRSRLKEEFISKLDFPSFTGGKVETQVTSSAVEGSQSPLVLVDGKNKEASPSNAEDQKPLPEDAENHGSCALSEKALVIQDLSLNPDNISAQQHGYASKRSRSQLKAYIAHLAEEMCIYRSLPLGQDRRRNRYWQFVASASRNDPCSGRIFVELHDGNWRVIDSEEAFDTLLSSLDTRGVRESHLCIMLQKIELSFKENVRRNLGSANIVCQSGTTDEKETAETDTADCPADDSPSSMVCVSSSDTLDAFSLFSIELGRNSAEKKGALKRYQDFQNWMWKECFNSSTLCAVKYGKKRCEQLLDTCDLCLDTYLSEDPHCLSCHQTFKFENKKFDFAEHEIQCKKKRKIDPGNACTCDSSLPPGTRLLTALLSCIEVSVPQEALESFWMGIPRKDWGMKLAMPSSTEELLQILTVFESAIKRERLSSNFEMTKELLGSSALSGSAAHDSASLGLVPVLPWMPKTTAAVALRLFELDASIIYVKNEKPKPSADKPVKLYMKLSSRYSPFKNEDVELKDTKQEEHIKEDIVDLRGKQSSYKRGRGRRDQGLATKWQRRMPGLKSDTGRKSSSRGTQNLNQGPRQQGKKTNLQATSRGRRTVRKRRVEKMQPKEPLLGRMTDKVASTSYLSKKSAVHNNYVKSFGNIGDEDWSVKKGRMNGDDNSNIMEEAESDDNVEEVYGQGNWEPGFSGISNGWNRNPMEVSDDDANVSNGIEAMGDDDSEGDMEMSDGSDRAANRVQSDEGMDSADSDEYSD >Potri.011G057700.1.v4.1 pep chromosome:Pop_tri_v4:11:4723852:4735496:-1 gene:Potri.011G057700.v4.1 transcript:Potri.011G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057700.v4.1 MEEANSEGENHSKSKSNAMNSNEGQSKPKRQMKTPFQLQTLENAYATDTYPSDEMRAELSEKLGLSDRQLQMWFCHRRLKDRKENLVKHRKAAPLPGSPLEDMRIVRADSGSDYGSGSVSGSSPLSESRKVVLDDGHKVRRHYESSPRSVTELRAIACVEAQLGEPLREDGPILGMEFDPLPPDAFGEPIAAITEQPKRMGYSYEDKVYERRDAKSSKDPASIRSDTYGPHIQSPYHDSPVDTLRGRASPFGVGQIPRARLLSQQDKRGHVFSSTQRDGDYLLQQDTFTKNRTSAQSISHPIMGPENPNVFSDAQTFHNDTELQLERKHKIDEPRTAREVEAYEIRTRKELEKQDILRRKNEERMKKEMERLDRERRKEEERLMREKQREEERSLREQKRELERREKFMQKEYLRTEKIRQKEELRREKEAVKRKAAMEKATARKIAKDSLDLIEDEQLELMELIAASKGLASIVNLNYDTLQNLDSCRDLLITFPSEAVQLKKSFGFQPWLDSEENIGNLLMVWKFFITFADVLGLWPFTLDEFVQAFHDYDSRLLGELHVALLKLIIKDIEDVARTPSSGLGINQYYTANPEGGHPQIVQGAHTWGFDIRNWQQHLNSLTWPEILRQLALSAGFGPRLKKKCATWAGLGDIDEVKDCEDIVSIIRNGSAAENAFVLMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADRIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCVRAAFRKDPADAEAILAEARKKIRIFENGFLGGEDADDVERDEDSEGDADEDPEVDDLATPISSNKSTVHSSKVNALSGSGSGKVSNDASLTVQNKCEKGLSSFSLNGPKDAVAPSIIEQCVTHKDEGTNNADEENIEIDENNSGESWIQGLTEGEYSHLSVEERLSALVVLVGISNEGNSIRAVLEDRLEAANVLKKQMWAEAQLDRSRLKEEFISKLDFPSFTGGKVETQVTSSAVEGSQSPLVLVDGKNKEASPSNAEDQKPLPEDAENHGSCALSEKALVIQDLSLNPDNISAQQHGYASKRSRSQLKAYIAHLAEEMCIYRSLPLGQDRRRNRYWQFVASASRNDPCSGRIFVELHDGNWRVIDSEEAFDTLLSSLDTRGVRESHLCIMLQKIELSFKENVRRNLGSANIVCQSGTTDEKETAETDTADCPADDSPSSMVCVSSSDTLDAFSLFSIELGRNSAEKKGALKRYQDFQNWMWKECFNSSTLCAVKYGKKRCEQLLDTCDLCLDTYLSEDPHCLSCHQTFKFENKKFDFAEHEIQCKKKRKIDPGNACTCDSSLPPGTRLLTALLSCIEVSVPQEALESFWMGIPRKDWGMKLAMPSSTEELLQILTVFESAIKRERLSSNFEMTKELLGSSALSGSAAHDSASLGLVPVLPWMPKTTAAVALRLFELDASIIYVKNEKPKPSADKPVKLYMKLSSRYSPFKNEDVELKDTKQEEHIKEDIVDLRGKQSSYKRGRGRRDQGLATKWQRRMPGLKSDTGRKSSSRGTQNLNQGPRQQGKKTNLQATSRGRRTVRKRRVEKMQPKEPLLGRMTDKVASTSYLSKKSAVHNNYVKSFGNIGDEDWSVKKGRMNGDDNSNIMEEAESDDNVEEVYGQGNWEPGFSGISNGWNRNPMEVSDDDANVSNGIEAMGDDDSEGDMEMSDGSDRAANRVQSDEGMDSADSDEYSD >Potri.011G057700.6.v4.1 pep chromosome:Pop_tri_v4:11:4723801:4734063:-1 gene:Potri.011G057700.v4.1 transcript:Potri.011G057700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057700.v4.1 MWFCHRRLKDRKENLVKHRKAAPLPGSPLEDMRIVRADSGSDYGSGSVSGSSPLSESRKVVLDDGHKVRRHYESSPRSVTELRAIACVEAQLGEPLREDGPILGMEFDPLPPDAFGEPIAAITEQPKRMGYSYEDKVYERRDAKSSKDPASIRSDTYGPHIQSPYHDSPVDTLRGRASPFGVGQIPRARLLSQQDKRGHVFSSTQRDGDYLLQQDTFTKNRTSAQSISHPIMGPENPNVFSDAQTFHNDTELQLERKHKIDEPRTAREVEAYEIRTRKELEKQDILRRKNEERMKKEMERLDRERRKEEERLMREKQREEERSLREQKRELERREKFMQKEYLRTEKIRQKEELRREKEAVKRKAAMEKATARKIAKDSLDLIEDEQLELMELIAASKGLASIVNLNYDTLQNLDSCRDLLITFPSEAVQLKKSFGFQPWLDSEENIGNLLMVWKFFITFADVLGLWPFTLDEFVQAFHDYDSRLLGELHVALLKLIIKDIEDVARTPSSGLGINQYYTANPEGGHPQIVQGAHTWGFDIRNWQQHLNSLTWPEILRQLALSAGFGPRLKKKCATWAGLGDIDEVKDCEDIVSIIRNGSAAENAFVLMREKGLLLPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADRIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCVRAAFRKDPADAEAILAEARKKIRIFENGFLGGEDADDVERDEDSEGDADEDPEVDDLATPISSNKSTVHSSKVNALSGSGSGKVSNDASLTVQNKCEKGLSSFSLNGPKDAVAPSIIEQCVTHKDEGTNNADEENIEIDENNSGESWIQGLTEGEYSHLSVEERLSALVVLVGISNEGNSIRAVLEDRLEAANVLKKQMWAEAQLDRSRLKEEFISKLDFPSFTGGKVETQVTSSAVEGSQSPLVLVDGKNKEASPSNAEDQKPLPEDAENHGSCALSEKALVIQDLSLNPDNISAQQHGYASKRSRSQLKAYIAHLAEEMCIYRSLPLGQDRRRNRYWQFVASASRNDPCSGRIFVELHDGNWRVIDSEEAFDTLLSSLDTRGVRESHLCIMLQKIELSFKENVRRNLGSANIVCQSGTTDEKETAETDTADCPADDSPSSMVCVSSSDTLDAFSLFSIELGRNSAEKKGALKRYQDFQNWMWKECFNSSTLCAVKYGKKRCEQLLDTCDLCLDTYLSEDPHCLSCHQTFKFENKKFDFAEHEIQCKKKRKIDPGNACTCDSSLPPGTRLLTALLSCIEVSVPQEALESFWMGIPRKDWGMKLAMPSSTEELLQILTVFESAIKRERLSSNFEMTKELLGSSALSGSAAHDSASLGLVPVLPWMPKTTAAVALRLFELDASIIYVKNEKPKPSADKPVKLYMKLSSRYSPFKNEDVELKDTKQEEHIKEDIVDLRGKQSSYKRGRGRRDQGLATKWQRRMPGLKSDTGRKSSSRGTQNLNQGPRQQGKKTNLQATSRGRRTVRKRRVEKMQPKEPLLGRMTDKVASTSYLSKKSAVHNNYVKSFGNIGDEDWSVKKGRMNGDDNSNIMEEAESDDNVEEVYGQGNWEPGFSGISNGWNRNPMEVSDDDANVSNGIEAMGDDDSEGDMEMSDGSDRAANRVQSDEGMDSADSDEYSD >Potri.010G153900.3.v4.1 pep chromosome:Pop_tri_v4:10:16320089:16322368:-1 gene:Potri.010G153900.v4.1 transcript:Potri.010G153900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153900.v4.1 MSLSFSSSLLPSPLPLPQNKNKTQQLKQNQNPLHPHKLLLRCAFSSSATAPPSSTFPKKKHWKQGEFPGFTDASRPRRTAIKNIKKKLDRKSKAKAWVNTVAEALTDCVLKKQWLQAIQVFEMLKEQPFYQPKEATYMKLLVLLGRCGQPQRAHQLFDEMVEEGIEPTTELYTALLAAYCRNNLIDEGFSIINQMKSLPRCQPDVYTYSTLLKACVDASRFELIDTLYQEMDERLISPNTVTQNVVLSGYGRVGMYDQMERVLSEMLESAACKPDVWTMNIILSVFGNEGQIDLMERWYEKFRNFGIEPETRTFNILIGAYGKKRMYDKMSSVMEYMRKVQFPWTTSTYNNVIEAFADVGDAKNMEYAFDQMRAESMKADTKTFCCLINGYANAGLFHKVISSVQLAAKFEIPENTSFFNAVISACAKADDLMEMERVFKRMKDKQCPPDSRTYSIMVEAYRKEGMNDKIYYLEQEKQEMIGDGTLK >Potri.010G228100.1.v4.1 pep chromosome:Pop_tri_v4:10:21116214:21117069:1 gene:Potri.010G228100.v4.1 transcript:Potri.010G228100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228100.v4.1 MKFLHIFFVLIIITSHVLDIASFPLEEDQVHYNIDNEVIESFSPRQNRGVSRFLARHKKPKNRKLTCNKFPRICHAKGSPGPHCCKKKCVNVLADRLNCGLCGKKCKYNEICCNGKCVNPSFNRRHCGACNNSCGGNGNLCVLGLCNYA >Potri.009G001100.1.v4.1 pep chromosome:Pop_tri_v4:9:612851:616861:1 gene:Potri.009G001100.v4.1 transcript:Potri.009G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G001100.v4.1 MGEPSDLQHQQSFFKKHWEGFTEFWGDRFSFVENYARFLRRDKPIPSWSDSDVQEFIASDHIHGPTLRTAREAVNFGLTGSVIGAVSTAGVAWKYSRSLHGAGLSFLAGGVFGWTFGHEVGNHWLQLYRLDTMAAQVKFIEWWEKKCGE >Potri.009G001100.2.v4.1 pep chromosome:Pop_tri_v4:9:612926:616741:1 gene:Potri.009G001100.v4.1 transcript:Potri.009G001100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G001100.v4.1 MGEPSDLQHQQSFFKKHWEGFTEFWGDRFSFVENYARFLRRDKPIPSWSDSDVQEFIASDHIHGPTLRTAREAVNFGLTGSVIGAVSTAGVAWKYSRSLHGAGLSFLAGGVFGWTFGHEVGNHWLQLYRLDTMAAQVKFIEWWEKKCGE >Potri.009G119650.1.v4.1 pep chromosome:Pop_tri_v4:9:10044039:10044164:1 gene:Potri.009G119650.v4.1 transcript:Potri.009G119650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119650.v4.1 MSPILSEIFISGCMINSTFRRRTHLVQSFSVVFLYWLYYVS >Potri.010G157600.5.v4.1 pep chromosome:Pop_tri_v4:10:16439232:16442031:-1 gene:Potri.010G157600.v4.1 transcript:Potri.010G157600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157600.v4.1 MGRGVSAGGGQSSLGYLFGSGEAPKPSTNNAQAAPSEVQPASNPPPPKPAAAPQPAEINREFPAGINSTSTNNYLRADGQNAGNFITDRPSTKVHAAPGGGSSLGYLFGGGSN >Potri.010G157600.6.v4.1 pep chromosome:Pop_tri_v4:10:16439375:16441870:-1 gene:Potri.010G157600.v4.1 transcript:Potri.010G157600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157600.v4.1 MGRGVSAGGGQSSLGYLFGSGEAPKPSTNNAQAAPSEVQPASNPPPPKPAAAPQPAEINREFPAGINSTSTNNYLRADGQNAGNFITDRPSTKVHAAPGGGSSLGYLFGGGSN >Potri.002G220300.1.v4.1 pep chromosome:Pop_tri_v4:2:20074055:20076702:-1 gene:Potri.002G220300.v4.1 transcript:Potri.002G220300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220300.v4.1 MMIHPSYRFVREEDFPPCLFNMNKVNHWFRRGFCCVCAEAKTQTSAPIEADTCTSLIKQCGTSLLSLKTFHASMLKSHLHRNLHFLTNLIAQYASLGSVSYAYSLFSSTPSADLFLWNVMIRGLVDNSHYHHAILLYKQMLRLGIQPDNFTFPFIIKACSCLRHFEFGIRVHQDVVKFGYQSQVFISNSLITMYGKCDKYELSRQVFDEMPDKNAVSWSAIIGACLQDDRCKEGFSLFRQMLSEGSRPSRGAILNAMACVRSHEEADDVYRVVVENGLDLDQSVQSAAAGMFARCGRVEVARKLFDGIMSKDLVTWATTIEAYVKADMPLEALGLLKQMMLQGIFPDAITLLGVIRACSTLASFQLAHIVHGIITTGFFYNQLLAVETALIDLYVKCGSLTYARKVFDGMQERNIITWSAMISGYGMHGWGREALNLFDQMKASVKPDHITFVSILSACSHSGLVAEGWECFNSMARDFGVTPRPEHYACMVDILGRAGKLDEACDFIERMPVRPNAAVWGALLGACRIHLNVDLAEMVARALFDLDPHNAGRYVILYNIYTLTGKRKEADSIRTLMKNRGVKKIAGYSVIEIKNKLYAFVAGDRSHPQTDLIYSELERLMDRIRQEGYTPDINFVLHDVDEETKESMLYLHSEKLAIVFGLLNLGPGSVIRIRKNLRVCGDCHTATKFISKVTGREIVVRDAHRFHHFKNGACSCRDYW >Potri.001G232100.1.v4.1 pep chromosome:Pop_tri_v4:1:25070625:25075248:1 gene:Potri.001G232100.v4.1 transcript:Potri.001G232100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232100.v4.1 MRNDVENDDDDDSYPKPVGRRCVFYYGVGHMLNDITAACWFTYLLLFLTEIGLSPRDAAIAMLAGQIADGFATVFAGELIDRFGHFKVWHGAGSILVAISFSSVFGGCLPCKILASCSSVVETVSYSTSAAIFNVGWAATQVSHMSMVNCISLNSSSRVVMTSCRNAFTMVANLSLYAVALVVFSSIKATTHADIENQYRWIAYTSIFIGCCFVGIFHLGTKEPRLKICVHGTSNARISWAYWFKKVLYYQVGLVYMLTRLVQNVSQVYLAFYVMDDLQMAKSAKALVPAMIYMSSFFVSVIMQEMSWTGQRLKAYYSAGGILWVFCGAGILFLPRNMSAFMYVISVLIGIANALMTVTGVSMQSILVGSDLNGCAFVYGSLSFLDKISCGLAVFALQSFQSSSPKTEEALSTDYFSVTRYGLGLLPAVCSLAGVAITYTMKLQTQDSKSLMEPLLE >Potri.001G232100.3.v4.1 pep chromosome:Pop_tri_v4:1:25070628:25075237:1 gene:Potri.001G232100.v4.1 transcript:Potri.001G232100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232100.v4.1 MRNDVENDDDDDSYPKPVGRRCVFYYGVGHMLNDITAACWFTYLLLFLTEIGLSPRDAAIAMLAGQIADGFATVFAGELIDRFGHFKVWHGAGSILVAISFSSVFGGCLPCKILASCSSVVETVSYSTSAAIFNVGWAATQVSHMSMVNCISLNSSSRVVMTSCRNAFTMVANLSLYAVALVVFSSIKATTHADIENQYRWIAYTSIFIGCCFVGIFHLGTKEPRLKICVHGTSNARISWAYWFKKVLYYQVGLVYMLTRLVQNVSQVYLAFYVMDDLQMAKSAKALVPAMIYMSSFFVSVIMQEMSWTGQRLKAYYSAGGILWVFCGAGILFLPRNMSAFMYVISVLIGIANALMTV >Potri.011G003200.1.v4.1 pep chromosome:Pop_tri_v4:11:220989:224757:1 gene:Potri.011G003200.v4.1 transcript:Potri.011G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003200.v4.1 MNLFKMATRADILASSCRRRRNTTVSDHRKYGTTPATPFLHWKLIQDGNKSRHSAVSSSGGSGGAGAGKRGGGVSCSGSGNSVSARKLAAGLWRLRLAGGGGGGGDKGLNLRKGKTDELGLEISSEYGAEKMNLLRTPEFVSNSNHGILCKIESPSLYTKHNMEGATKWDPRCSGICSDIFCFYSHPKLLENHVAMVPAFAMHAELVQARLRIHELEANCQSSKKKIKHLLKKLGEERTSSQSSEPQKIRAVIDVLDNQLSRERKKRQKLEILNSKLITELANVKSSAKQFKKDYEEQKRAREIMEKVCNELANKVAEDKAEVETFKTESIKIQEEMEEERKMLQVAEVWREERVQMKLIDAKLALEDKYFQMNKLIADLETFLRSRIATLDVMELRTAHSIRQAAKLVDVKEIKEFSYNPPKSSDIYSIYQELEQIEANEREIEECNKSSPASNASKLQFASSDFNEHYYHSLQQGPTIIIDDNCHLEEDARGHHAVNHAEDQGSSYSHDENHLSVNKLTQSKNDWQVARECDENAPRNSPDTQSSDVYLVPEESMCKASAISKLSRSGQYNNKCSEITADDSDGMVLSENISNKETYPRRKSWEDRLRHQDSVEQWSSPELVNPHVTHGIRERIKRPRATQKNSLKAKLLEARMESQKTQLRGVLKQKT >Potri.011G003200.2.v4.1 pep chromosome:Pop_tri_v4:11:220988:224759:1 gene:Potri.011G003200.v4.1 transcript:Potri.011G003200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003200.v4.1 MEGATKWDPRCSGICSDIFCFYSHPKLLENHVAMVPAFAMHAELVQARLRIHELEANCQSSKKKIKHLLKKLGEERTSSQSSEPQKIRAVIDVLDNQLSRERKKRQKLEILNSKLITELANVKSSAKQFKKDYEEQKRAREIMEKVCNELANKVAEDKAEVETFKTESIKIQEEMEEERKMLQVAEVWREERVQMKLIDAKLALEDKYFQMNKLIADLETFLRSRIATLDVMELRTAHSIRQAAKLVDVKEIKEFSYNPPKSSDIYSIYQELEQIEANEREIEECNKSSPASNASKLQFASSDFNEHYYHSLQQGPTIIIDDNCHLEEDARGHHAVNHAEDQGSSYSHDENHLSVNKLTQSKNDWQVARECDENAPRNSPDTQSSDVYLVPEESMCKASAISKLSRSGQYNNKCSEITADDSDGMVLSENISNKETYPRRKSWEDRLRHQDSVEQWSSPELVNPHVTHGIRERIKRPRATQKNSLKAKLLEARMESQKTQLRGVLKQKT >Potri.001G105200.1.v4.1 pep chromosome:Pop_tri_v4:1:8465119:8468057:-1 gene:Potri.001G105200.v4.1 transcript:Potri.001G105200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105200.v4.1 MASQSSAQSVHDFTVKDARENDVDLSIYKGKVLLIVNVASQCGLTNSNYTELTQLYDKYRDQGLEILAFPCNQFGSQEPGNNEQIVEFACTRFKADYPIFDKVDVNGKNAAPIYKFLKSSKGGLFGDSIKWNFSKFLVDKDGKVVDRYAPTTSPLSIEKDVKKLLGIA >Potri.011G133400.1.v4.1 pep chromosome:Pop_tri_v4:11:16658252:16661395:-1 gene:Potri.011G133400.v4.1 transcript:Potri.011G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133400.v4.1 MDSRLYRVAKSGNVYILLQLLNENPRLLTKLTPQGNTPLHIAVQFGHKGVVVEIYNRCGSLLTRPNSSGDSPLHVAARCGHFSIVDFLVKEILAAKRISTENGKTGKFDILRQGNNENNTVLHEAVRNGNMSVVKLLLRVDTKLACFENYAGESPLFLAAREGKKNLLNQILISTPASAHGGSEGQTALHAAVIERHSDIMEILLRAKPHLITEADHHGRTALHHAASLGDRRAVERLLEFDECTAYVLDKNGHSPLHVAASNGHADVIERIIHYCPDSGELLDLNGRSVLHFAVLSGKVNVVRCVVEIAELQWLINQADNGGNTPLHLAAIERQTRILRCLIWDERVDHRARNETGQSVFDIDGSIRESCFIYRCNIIECVWRKLIPVSNGIIGKKNPPCTDQEAIARIQTYKRMGNTLLMVATLIATVTFAAAFTLPGGFNNDFGSKLGVALLESSNHLRWFVFSDAIAMTSSMIAACIIFWVGVSNDESYVYYLASATVLTCIALQSAAIAFLSGIVAVLPDQPFVDSVIYIVGIAFNVSDFLFLLQLVRIFLVSEICQFLIFYFWKMKSRIKK >Potri.001G035400.1.v4.1 pep chromosome:Pop_tri_v4:1:2589486:2591166:1 gene:Potri.001G035400.v4.1 transcript:Potri.001G035400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035400.v4.1 MEKNLYQVIEDRKTRFTGAEIRNLCRQVFQGLDYMHKQGYFHRDLKPENFLVTRDAVKIADFGLAREINSKPPYTQYVCSRWYRAPENILHSYSYSSKVDMWAMGAIMAELFNLCPLFPGTSGANQMYRICSVRGTPNMDSWAEGNHLARTIKYKFPKFDGARLSAVIPSASKDAINLISMLISWNPCDRPTAEEALKHPFFRSGFYIPPSGHRLWLQFYQPEKAAN >Potri.004G094900.3.v4.1 pep chromosome:Pop_tri_v4:4:8122733:8125965:1 gene:Potri.004G094900.v4.1 transcript:Potri.004G094900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094900.v4.1 MEEAPLPNTEERTRASTTFVEELKKVTYIAAPMVVVTVSLHLLQVVSLMMAGHLGELSLSGVSIGGSFAGVTGFSLLFGLAGGLETLCGQAYGAGQYQKFGTYTYCAIISLLPICVPVSILWIFMDRILIAIGQDPEISTVACRYATCLIPALFAYAVLQSLLRYYQSQGLILPMLFSTCATLCFHIPLCWALIFKWELGSTGAALAIDVSYWLNVVFLALYMGFSSSCKKTRVIYWNHIFSSIKEFFRFALPSAVMVCLEWWTFELLILLAGLLPDSQLETSVLSICLATTSLHFYALSGIAAAGSAQVSNHLGAGNDKAAQVVVRAVLSISLVEAVIVSTNIFCFRHVFGYAFSNEKVVVDYVTEVAPLLCLSVIVDSLQTVLSGIARGCGWQHIGASINLGAYYFAGIPVAILLCFIFHLRGKGLWIGVLTGSTVQATLLGLITSLTNWKKQATKARERMLDGTASADNGLP >Potri.004G094900.2.v4.1 pep chromosome:Pop_tri_v4:4:8122721:8125965:1 gene:Potri.004G094900.v4.1 transcript:Potri.004G094900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094900.v4.1 MEEAPLPNTEERTRASTTFVEELKKVTYIAAPMVVVTVSLHLLQVVSLMMAGHLGELSLSGVSIGGSFAGVTGFSLLFGLAGGLETLCGQAYGAGQYQKFGTYTYCAIISLLPICVPVSILWIFMDRILIAIGQDPEISTVACRYATCLIPALFAYAVLQSLLRYYQSQGLILPMLFSTCATLCFHIPLCWALIFKWELGSTGAALAIDVSYWLNVVFLALYMGFSSSCKKTRVIYWNHIFSSIKEFFRFALPSAVMVCLEWWTFELLILLAGLLPDSQLETSVLSICLATTSLHFYALSGIAAAGSAQVSNHLGAGNDKAAQVVVRAVLSISLVEAVIVSTNIFCFRHVFGYAFSNEKVVVDYVTEVAPLLCLSVIVDSLQTVLSGIARGCGWQHIGASINLGAYYFAGIPVAILLCFIFHLRGKGLWIGVLTGSTVQATLLGLITSLTNWKKQATKARERMLDGTASADNGLP >Potri.004G094900.4.v4.1 pep chromosome:Pop_tri_v4:4:8123037:8125965:1 gene:Potri.004G094900.v4.1 transcript:Potri.004G094900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094900.v4.1 MEEAPLPNTEERTRASTTFVEELKKVTYIAAPMVVVTVSLHLLQVVSLMMAGHLGELSLSGVSIGGSFAGVTGFSLLFGLAGGLETLCGQAYGAGQYQKFGTYTYCAIISLLPICVPVSILWIFMDRILIAIGQDPEISTVACRYATCLIPALFAYAVLQSLLRYYQSQGLILPMLFSTCATLCFHIPLCWALIFKWELGSTGAALAIDVSYWLNVVFLALYMGFSSSCKKTRVIYWNHIFSSIKEFFRFALPSAVMVCLEWWTFELLILLAGLLPDSQLETSVLSICLATTSLHFYALSGIAAAGSAQVSNHLGAGNDKAAQVVVRAVLSISLVEAVIVSTNIFCFRHVFGYAFSNEKVVVDYVTEVAPLLCLSVIVDSLQTVLSGIARGCGWQHIGASINLGAYYFAGIPVAILLCFIFHLRGKGLWIGVLTGSTVQATLLGLITSLTNWKKQATKARERMLDGTASADNGLP >Potri.004G094900.5.v4.1 pep chromosome:Pop_tri_v4:4:8123414:8125965:1 gene:Potri.004G094900.v4.1 transcript:Potri.004G094900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094900.v4.1 MDRILIAIGQDPEISTVACRYATCLIPALFAYAVLQSLLRYYQSQGLILPMLFSTCATLCFHIPLCWALIFKWELGSTGAALAIDVSYWLNVVFLALYMGFSSSCKKTRVIYWNHIFSSIKEFFRFALPSAVMVCLEWWTFELLILLAGLLPDSQLETSVLSICLATTSLHFYALSGIAAAGSAQVSNHLGAGNDKAAQVVVRAVLSISLVEAVIVSTNIFCFRHVFGYAFSNEKVVVDYVTEVAPLLCLSVIVDSLQTVLSGIARGCGWQHIGASINLGAYYFAGIPVAILLCFIFHLRGKGLWIGVLTGSTVQATLLGLITSLTNWKKQATKARERMLDGTASADNGLP >Potri.004G228400.9.v4.1 pep chromosome:Pop_tri_v4:4:23147146:23157599:1 gene:Potri.004G228400.v4.1 transcript:Potri.004G228400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228400.v4.1 MEAIDELAQLSESMRQASALLADEDIDETTSSSSSSRRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIELSRDSSVSSKSIILQIDSKNQQVSASALRHSLQERLSKGSSGRSRDEIYLKLRTSTAPPLKLIDLPGVDQRIVDDSMISDYVQHNDAILLVVIPATQAPEISSSRALRIAKEYDAESTRTVGVISKIDQAATESKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASAQSASAPENSLETAWRAESESLKSILTGAPPSKLGRVALVDALAGQIRSRMKLRLPNLLSGLQGKSQIVQDELVGLGEQMVSSSEGTRALALELCREFEDKFLLHLMGGEGNGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSKLCVDEVHRVLVDIVSSAANATPGLGRYPPFKREVVAIASSALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREDELKNKSSKKAVDAEQSILNRATSPQTGVQQSGGSLKSMKDKSNQQDKDAQEGSALKTAGPGGEITAGFLLKKSGKTNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNIEEVSEEEETPSKSSKDKKANGPSSEKGPSLVFKITSRVQYKTVLKAHSAVVLKAESVADKVEWLNKLRNVIQSKGGQVIGESGPPMRHSMSDGSLDTIARRPADPEEELRWMSQEVRGYVEAVLNSLGANVPKAVVLCQVEKAKEDMLNQLYSSISAQSTARIEELLQEDQNAKRRRERYQKQSSLLSNLTRKLSIHDNRAAAASNWSDGGGGGAESSPRTNGPSSGEDWRNAFDAAANGPADSFGGPSRSHSRRNSDPAQNGDVNSNSSRRTPTRMPPVPPPSGSSYRY >Potri.004G228400.3.v4.1 pep chromosome:Pop_tri_v4:4:23147146:23157602:1 gene:Potri.004G228400.v4.1 transcript:Potri.004G228400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228400.v4.1 MEAIDELAQLSESMRQASALLADEDIDETTSSSSSSRRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIELSRDSSVSSKSIILQIDSKNQQVSASALRHSLQERLSKGSSGRSRDEIYLKLRTSTAPPLKLIDLPGVDQRIVDDSMISDYVQHNDAILLVVIPATQAPEISSSRALRIAKEYDAESTRTVGVISKIDQAATESKALAAVQALLLNQGPPKTSDIPWVALIGQSVSIASAQSASAPENSLETAWRAESESLKSILTGAPPSKLGRVALVDALAGQIRSRMKLRLPNLLSGLQGKSQIVQDELVGLGEQMVSSSEGTRALALELCREFEDKFLLHLMGGEGNGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSKLCVDEVHRVLVDIVSSAANATPGLGRYPPFKREVVAIASSALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMDRQRREDELKNKSSKKAVDAEQSILNRATSPQTGVQQSGGSLKSMKDKSNQQDKDAQEGSALKTAGPGGEITAGFLLKKSGKTNGWSKRWFVLNEKSGKLGYTKKQEERHFRGVITLEECNIEEVSEEEETPSKSSKDKKANGPSSEKGPSLVFKITSRVQYKTVLKAHSAVVLKAESVADKVEWLNKLRNVIQSKGGQVIGESGPPMRHSMSDGSLDTIARRPADPEEELRWMSQEVRGYVEAVLNSLGANVPKAVVLCQVEKAKEDMLNQLYSSISAQSTARIEELLQEDQNAKRRRERYQKQSSLLSNLTRKLSIHDNRAAAASNWSDGGGGGAESSPRTNGPSSGEDWRNAFDAAANGPADSFGGPSRSHSRRNSDPAQNGDVNSNSSRRTPTRMPPVPPPSGSSYRY >Potri.005G006800.1.v4.1 pep chromosome:Pop_tri_v4:5:497105:498790:1 gene:Potri.005G006800.v4.1 transcript:Potri.005G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006800.v4.1 MAPDITATLANNTNSLVKQASISSCAYVTFLAGDGDYWKGVVGLAKGLRKAKSNYPLVVAILPDVPEEHRKILASQGCIVREIEPVNPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDMPDGYFHAAMDCFCEKTWSNSPQFKIGYCQQCPDKVHWPAEMGPKPPLYFNAGMFVYEPNLSTYHDLLETLKVTTPTLFAEQDFLNMFFRDVYKPIPSDYNLVLALLWRHPENINLDKVKVVHYCAAGSKPWRYTGKEDNMDREDIKMLVNKWWDIYHDESLDYKNTVVAAAGAEVQPFLAALSEAGIAHYITAPSAA >Potri.001G036000.3.v4.1 pep chromosome:Pop_tri_v4:1:2623748:2628488:-1 gene:Potri.001G036000.v4.1 transcript:Potri.001G036000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036000.v4.1 MSRMTSESEDGIVSNNQIESPLGEEGNYCGSANGGVLKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRHQRAGLPLYPPEASLQALQESQRCLNIDRIEIRNKSQHDTLQSNSYGIPNVMFDNLTPHQSILPYVPELPDITASSMLMKGLSSFQYGSFMSPIMHRQKRLREATTLLSSFGGGMKNEFHLFDQFQDVDKASQSFGLPFPFDYDSTTKNPEFFGENQGSHTLANGNFSASKPTSEAVKLELPSLQYSETDLGGWGPSCSPSPLIESVDTFIQSPPTGTVESDCPSPRNSGLLDALLHEAKTLSSAKNQLSDKSSNSSTVTPGDDADSSALNICETEREDYGHPISPLGHTATSLFSECTPISANESSLDESPSSETFTGKHLFHMNLSRMIVIIYLFEAMSWVPPVIFYIILQAC >Potri.001G036000.1.v4.1 pep chromosome:Pop_tri_v4:1:2623744:2628500:-1 gene:Potri.001G036000.v4.1 transcript:Potri.001G036000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036000.v4.1 MSRMTSESEDGIVSNNQIESPLGEEGNYCGSANGGVLKKGPWTSAEDAILIEYVKKHGEGNWNAVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTQEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRHQRAGLPLYPPEASLQALQESQRCLNIDRIEIRNKSQHDTLQSNSYGIPNVMFDNLTPHQSILPYVPELPDITASSMLMKGLSSFQYGSFMSPIMHRQKRLREATTLLSSFGGGMKNEFHLFDQFQDVDKASQSFGLPFPFDYDSTTKNPEFFGENQGSHTLANGNFSASKPTSEAVKLELPSLQYSETDLGGWGPSCSPSPLIESVDTFIQSPPTGTVESDCPSPRNSGLLDALLHEAKTLSSAKNQLSDKSSNSSTVTPGDDADSSALNICETEREDYGHPISPLGHTATSLFSECTPISANESSLDESPSSETFTGSKRKFGRSAECYVKSEPVDQTWTADREKESSTWLDITSPDALLDSDWLEHDSAYGKDQVIVTDAMGTLLCDDSSSEYKQMAAGASVNHGWGHSSCSWNNMPAVYQMYELP >Potri.009G054800.3.v4.1 pep chromosome:Pop_tri_v4:9:5881590:5885526:1 gene:Potri.009G054800.v4.1 transcript:Potri.009G054800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054800.v4.1 MMILAFFLIFMEFYFQLRRRYASYLLINMILLITADQPFWGMEILTSSTASCCLVVNLRWKLAENGSNSSQLKLPTSRERKILFARTNQRNGSLRFSSVDKFLKKLNHGKGSRSLDSFGGLKNGNSKVFSGNSSSYVVGGEDDVGSITENGESPTKVLIPGLPDESNGEYSAPVSSCFWKWKPKLNVHYEKAGCENVNSPPVLFLPGFGVGSFHYEKQLKDLGRDYRVWAIDFLGQGMSLPVENPTLFSKDGAASEGKDSIWGFGDEIEPWANDLVFSMDLWQDQVHNFIEEVIGEPVYIVGNSLGGFVALYFAARYPHLVKGVTLLNATPFWGFLPNPIRSPRLARIFPWSGTFPLPANVRKLIAFFWQKISDPKSIAEILKQVYTDHSTNIDKVFSRILEITQHPAAAASFASIMFAPQGQLSFRETLARCKMSDTPICLVYGKEDPWVKPVWGLQVKQQVPEAPYYEISPAGHCPHDEVPEAVNYLLRGWIKNLESHGSVALPLHEDAEVVENSFAMDLEFVREGSRKSVIVRFFGSRFSIWNSFSSYIKSQFKETTSRILTP >Potri.009G054800.2.v4.1 pep chromosome:Pop_tri_v4:9:5881075:5885536:1 gene:Potri.009G054800.v4.1 transcript:Potri.009G054800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054800.v4.1 MEILTSSTASCCLVVNLRWKLAENGSNSSQLKLPTSRERKILFARTNQRNGSLRFSSVDKFLKKLNHGKGSRSLDSFGGLKNGNSKVFSGNSSSYVVGGEDDVGSITENGESPTKVLIPGLPDESNGEYSAPVSSCFWKWKPKLNVHYEKAGCENVNSPPVLFLPGFGVGSFHYEKQLKDLGRDYRVWAIDFLGQGMSLPVENPTLFSKDGAASEGKDSIWGFGDEIEPWANDLVFSMDLWQDQVHNFIEEVIGEPVYIVGNSLGGFVALYFAARYPHLVKGVTLLNATPFWGFLPNPIRSPRLARIFPWSGTFPLPANVRKLIAFFWQKISDPKSIAEILKQVYTDHSTNIDKVFSRILEITQHPAAAASFASIMFAPQGQLSFRETLARCKMSDTPICLVYGKEDPWVKPVWGLQVKQQVPEAPYYEISPAGHCPHDEVPEAVNYLLRGWIKNLESHGSVALPLHEDAEVVENSFAMDLEFVREGSRKSVIVRFFGSRFSIWNSFSSYIKSQFKETTSRILTP >Potri.010G072400.1.v4.1 pep chromosome:Pop_tri_v4:10:10076402:10077291:1 gene:Potri.010G072400.v4.1 transcript:Potri.010G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072400.v4.1 MEEPDKGKEKELKGREEVRYRGVRRRPWGKFAAEIRDPSRQGARLWLGTFDTAEEAARAYDRAAFSMRGHLAILNFPNDYLSQAIGSSPRRPPVSSSSSDVGASESFQRGSSSSAGQGKQVIEFEYLDDKILEELLETEEEKKKRQQD >Potri.002G051300.1.v4.1 pep chromosome:Pop_tri_v4:2:3446655:3448651:-1 gene:Potri.002G051300.v4.1 transcript:Potri.002G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051300.v4.1 MALVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAEELDKLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Potri.002G051300.3.v4.1 pep chromosome:Pop_tri_v4:2:3447112:3448555:-1 gene:Potri.002G051300.v4.1 transcript:Potri.002G051300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051300.v4.1 MFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAEELDKLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Potri.004G087000.1.v4.1 pep chromosome:Pop_tri_v4:4:7290114:7294503:-1 gene:Potri.004G087000.v4.1 transcript:Potri.004G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087000.v4.1 MATTVDMSLDDIIKKNRERGRGRGRASRGRDRGPGRFFNNGRMSGAVRRGPLSVNARPPKYSIAKPSRRIRSLPWQHDLLEDSIRAAGITGLEVGTKLYVSNLDYGVTNEDIRELFAEIGDLKRFAVHYDKNGRSTGSAEVMYTRRSDAFSALKKYNNVLLDGRPMKIEIVGANPEVPISARVNVTGANGKKKRTVVMMPGPGRGRGGVVNNHGSGQSRRGGGGPRNGMRNGRGRGRAQGQGRGRGRGKKQPAEKSVDDLDKELESYHAEAMQT >Potri.004G166600.4.v4.1 pep chromosome:Pop_tri_v4:4:18519228:18528774:-1 gene:Potri.004G166600.v4.1 transcript:Potri.004G166600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166600.v4.1 MELAKSQTLTLTQISTLTSINQRGHRQFIGCFGHNLIRPPSSAGLLLRYRGRKLRVQRNGNKRFVVKASLDANSVLVVVAVTAFSALSLAYYNRYINSNIKNSKKITSSSIQVLGSPSFAFSQLGRSIVNQITGSQFLHFGGLHREAHEVESQGSVEKASENSHEFEEKETHVQFQETASLHGSSLLIKAVESSGANFVAANVCDTVVVEESEVGDARVSPLPSVLSESGSALPLIFATQMTELTQEKSGEEIEFGSELSGSVEKVKSNAVLVPVDNESIEKAELSSYNGAISQSVREDLYTFYGANRSVVKSASNLGLKETSSHASLLKSKRFSSLKMNTGLETEDLSSQQPLQAADHVQKTMPPAHYEGGSFHKSKNLPGSKERKHPIQDSHSKLRQLPSPNGIPSKVKDHPPEEYNAYNRLLREGRLAECLDLLEDMERRGLLDMNKVYHVKFFKLCRSQKAVKEAFRFCKLVQNPTLSTFNMLMSVCATSQNSAGAFEVLQLAKAVGLKADCKLYTTLISTCAKSGKVDAMFEAGKFALIAVIYIKVFHEMVNAGVEPNVHTYGALIDGCARAGQVAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMTGEAQPIDPDHITVGALIKACTNAGQVDRAQEVYNMVHKYNIKGTPEVYTIAINSCSQIGDWEFACKVFDDMTRKGVVPDEMFLSALIDVAGHAGKMDAAFEIIQEAKAKGAQLGIIPYSSLMGACCNAKNWQKGLELYEDIKSMKIKPTVATMNALITALCDGDQLPKALEVLSEMKAWGLRPNTITYSILSVASERKDDLEAGLMLLSQAKKDCVAPTLIMSKCIISMCLRKFENACTLGEAVLSFNSGRAQIENKWTSVALMVYRGTIAAGEKPTIELVSQVLGCLQIPCDATLKNRLVENLGVTAVSSRYSNLCSLVDGFGEYDPRAFSLLEEAAALGIVPCVSFKESPITMDAKQLQIHIAEVYFLTILKGLKHRLAAGAKLPNVTILLPVEKAQVITLEGEKTINVAGRISRAVASLLRRLGLPYQGNESYGKIRINGISLRRWLQPKLDSPFSGKPGEWSTSLSRLGKGISFQQRNIRTGDFSLE >Potri.004G166600.1.v4.1 pep chromosome:Pop_tri_v4:4:18519284:18528831:-1 gene:Potri.004G166600.v4.1 transcript:Potri.004G166600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166600.v4.1 MELAKSQTLTLTQISTLTSINQRGHRQFIGCFGHNLIRPPSSAGLLLRYRGRKLRVQRNGNKRFVVKASLDANSVLVVVAVTAFSALSLAYYNRYINSNIKNSKKITSSSIQVLGSPSFAFSQLGRSIVNQITGSQFLHFGGLHREAHEVESQGSVEKASENSHEFEEKETHVQFQETASLHGSSLLIKAVESSGANFVAANVCDTVVVEESEVGDARVSPLPSVLSESGSALPLIFATQMTELTQEKSGEEIEFGSELSGSVEKVKSNAVLVPVDNESIEKAELSSYNGAISQSVREDLYTFYGANRSVVKSASNLGLKETSSHASLLKSKRFSSLKMNTGLETEDLSSQQPLQAADHVQKTMPPAHYEGGSFHKSKNLPGSKERKHPIQDSHSKLRQLPSPNGIPSKVKDHPPEEYNAYNRLLREGRLAECLDLLEDMERRGLLDMNKVYHVKFFKLCRSQKAVKEAFRFCKLVQNPTLSTFNMLMSVCATSQNSAGAFEVLQLAKAVGLKADCKLYTTLISTCAKSGKVDAMFEVFHEMVNAGVEPNVHTYGALIDGCARAGQVAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMTGEAQPIDPDHITVGALIKACTNAGQVDRAQEVYNMVHKYNIKGTPEVYTIAINSCSQIGDWEFACKVFDDMTRKGVVPDEMFLSALIDVAGHAGKMDAAFEIIQEAKAKGAQLGIIPYSSLMGACCNAKNWQKGLELYEDIKSMKIKPTVATMNALITALCDGDQLPKALEVLSEMKAWGLRPNTITYSILSVASERKDDLEAGLMLLSQAKKDCVAPTLIMSKCIISMCLRKFENACTLGEAVLSFNSGRAQIENKWTSVALMVYRGTIAAGEKPTIELVSQVLGCLQIPCDATLKNRLVENLGVTAVSSRYSNLCSLVDGFGEYDPRAFSLLEEAAALGIVPCVSFKESPITMDAKQLQIHIAEVYFLTILKGLKHRLAAGAKLPNVTILLPVEKAQVITLEGEKTINVAGRISRAVASLLRRLGLPYQGNESYGKIRINGISLRRWLQPKLDSPFSGKPGEWSTSLSRLGKGISFQQRNIRTGDFSLE >Potri.004G166600.5.v4.1 pep chromosome:Pop_tri_v4:4:18519282:18527790:-1 gene:Potri.004G166600.v4.1 transcript:Potri.004G166600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166600.v4.1 MTELTQEKSGEEIEFGSELSGSVEKVKSNAVLVPVDNESIEKAELSSYNGAISQSVREDLYTFYGANRSVVKSASNLGLKETSSHASLLKSKRFSSLKMNTGLETEDLSSQQPLQAADHVQKTMPPAHYEGGSFHKSKNLPGSKERKHPIQDSHSKLRQLPSPNGIPSKVKDHPPEEYNAYNRLLREGRLAECLDLLEDMERRGLLDMNKVYHVKFFKLCRSQKAVKEAFRFCKLVQNPTLSTFNMLMSVCATSQNSAGAFEVLQLAKAVGLKADCKLYTTLISTCAKSGKVDAMFEVFHEMVNAGVEPNVHTYGALIDGCARAGQVAKAFGAYGIMRSKNVKPDRVVFNALITACGQSGAVDRAFDVLAEMTGEAQPIDPDHITVGALIKACTNAGQVDRAQEVYNMVHKYNIKGTPEVYTIAINSCSQIGDWEFACKVFDDMTRKGVVPDEMFLSALIDVAGHAGKMDAAFEIIQEAKAKGAQLGIIPYSSLMGACCNAKNWQKGLELYEDIKSMKIKPTVATMNALITALCDGDQLPKALEVLSEMKAWGLRPNTITYSILSVASERKDDLEAGLMLLSQAKKDCVAPTLIMSKCIISMCLRKFENACTLGEAVLSFNSGRAQIENKWTSVALMVYRGTIAAGEKPTIELVSQVLGCLQIPCDATLKNRLVENLGVTAVSSRYSNLCSLVDGFGEYDPRAFSLLEEAAALGIVPCVSFKESPITMDAKQLQIHIAEVYFLTILKGLKHRLAAGAKLPNVTILLPVEKAQVITLEGEKTINVAGRISRAVASLLRRLGLPYQGNESYGKIRINGISLRRWLQPKLDSPFSGKPGEWSTSLSRLGKGISFQQRNIRTGDFSLE >Potri.015G091000.2.v4.1 pep chromosome:Pop_tri_v4:15:11394221:11400337:1 gene:Potri.015G091000.v4.1 transcript:Potri.015G091000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091000.v4.1 MPPLIDFKDIQDKLSTQFRPWQRSFQFWVRAADIYTGYKVFQLRVSLVKDVEKQEAMWERQHEFAADKIYAMCSDLGGFFLKVAQVIGKPDLAPAAWVRRLVTLCDRAPATPFDTVKLVLEKELGRSIEDIFERFDVEPLGSASIAQVHRARLKGGKSDIVVKVQHPGVQDLMMTDIYNLQAFALYMQKTDIKFDLYSVTKEMEKQIGYEFDFKREANAMERIRQFLYENNKASPVIVPRVLKDMVSRRALMMEYIDGTPILNLGDEIAKRGINPGGKIAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILICKGSKVALLDYGQVKDLPDKLRLGYANLVLAIADCDPIRAAESYRDLGIDTSSKCENELQELFRLAETMFDTKLPPGVAMLQPFAEDSSIKKVSVEAFPEELFSVLRTVHLLRGLSVGLGINYSCAEQWRPIAEEALYLAGRLKDTDLKTKHRKQRFFRRLLQSE >Potri.002G243300.1.v4.1 pep chromosome:Pop_tri_v4:2:23517133:23518368:1 gene:Potri.002G243300.v4.1 transcript:Potri.002G243300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243300.v4.1 MSTPSPSPSPPPPHSPPTNPTPLSSKKTQPLPWTHQETIHLIQAYQEKWYSLKRGQLKASQWEEVAVTVAARCGYDYNHPSKSAVQCRHKMEKLRRRYRDEKRVMALGGTCYWQYFDLMDSLERGPLPISAQPLARVPCQENYHTRNRNNGVLGEYDDDKGEDEDDDDDEDYGYRSKLRSRSINYILRKPSIVNRYAGGGPSGVSREAEKKRKREEVEEEEEEEEEEGEGVEMGLAGQIKAFSERMVKLERKKLEVMKETERSRMEMENKKLEMILDSHSKIVHMIGEAFGL >Potri.004G072100.1.v4.1 pep chromosome:Pop_tri_v4:4:6060656:6066401:-1 gene:Potri.004G072100.v4.1 transcript:Potri.004G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072100.v4.1 MPSPPEPPSQHQNHHHHHQLSTFLNSTTKSLVSLLSPHKTPPLPPPPPQSKICIPFQLPTSPLPLTQSTPSLFESTQPDSVSPSKSSSATVKGLSSAESNPGFPSAVRIGRVNSNGKGGGPAFVGQVFSMCDLSGTGLMAVSTHFDVPFISKRTPEWLKKIFAMVTKSERNGPVFRFFMDLGDAVAYVKRLNIPSGVVGACRLDLAYEHFKEKPQLFQFVPNEKQVKAAHQLLKTIPHSDGSRRVDGVPVFSAQNLDIAIATKDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHTQRRRDVIDDNVSAEVIEEMGDSLLEPPEVQEVLDEMGHPAIPLSVISKAAEIQLLYAVDKVLLGNRWLRKATGIQPKFPYLVDSFERRSASSLQRASESTSCLANCKTDDSTSEHKLKDKVTDHVQRKDLRLPFGDWFSHPWSKTLGKSEREPDTRKEAPSRDFLKQNLESNPFLPKVTMVGVSTGDAGQLSKASVKKTMEDLTKELEHTDQANDSGISNCSGEYKVEDRDPLFVANVGDYYSGMAKTGSPR >Potri.004G072100.6.v4.1 pep chromosome:Pop_tri_v4:4:6061068:6066379:-1 gene:Potri.004G072100.v4.1 transcript:Potri.004G072100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072100.v4.1 MQEKPQLFQFVPNEKQVKAAHQLLKTIPHSDGSRRVDGVPVFSAQNLDIAIATKDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHTQRRRDVIDDNVSAEVIEEMGDSLLEPPEVQEVLDEMGHPAIPLSVISKAAEIQLLYAVDKVLLGNRWLRKATGIQPKFPYLVDSFERRSASSLQRASESTSCLANCKTDDSTSEHKLKDKVTDHVQRKDLRLPFGDWFSHPWSKTLGKSEREPDTRKEAPSRDFLKQNLESNPFLPKVTMVGVSTGDAGQLSKASVKKTMEDLTKELEHTDQANDSGISNCSGEYKVEDRDPLFVANVGDYYSGMAKTGSPR >Potri.006G203500.3.v4.1 pep chromosome:Pop_tri_v4:6:21155486:21159491:1 gene:Potri.006G203500.v4.1 transcript:Potri.006G203500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203500.v4.1 MRKHHKKLVLALFSLFTVVFSATDPNDFAIIKAFREGLENPELLEWPADGDDDPCGQSWKHVFCSGSRVTQIQVQNMSLKGTLPQNLNQLTKLQRLGLQRNQFTGALPSLSGLSELQSVYLDFNQFDSIPSDCFDRLVSLQSLALDKNNFNASTGWSFPEGLQDSAQLTNLSCMFCNLAGPLPYFLGALSSLQNLRLSGNNLSGEIPASFKRSTSLQNLWLNDQNGGGLSGTLDVVTTMDSVNVLWLHGNQFTGTIPESIGNLTVLQDLNLNGNKLVGFVPDSLAKMPLEHLDLNNNQLMGPIPNFKATEVSYASNAFCQSTPGVPCAPEVMALLEFLGSLNYPSRLVSSWTGNDPCSWLGLACHNGNVNSIALPSSNLSGTLSPSVARLGSLIQIKLGSNNLSGQVPENWTSLTSLKTLDLSTNNISPPLPKFADTVNVVTVGNPLLTGGSPSNPNPSPGSGSSGSPPSNPSSPTKGTGSSPGDSSEPVKPKRSTLVAIIAPVASVVVVALLAIPLSIYCYKKRKDTFQAPSSLVIHPRDPSDSDNTVKIVVASNTNGSASTITGSGSASRNSSGVGESHVIEAGNLVISVQVLRNVTKNFASENELGRGGFGVVYKGELDDGTKIAVKRMESGVISSKAIDEFQAEIAVLSKVRHRHLVSLLGYSVEGYERILVYEYMPQGALSKHLFHWKSSKLEPLSWKRRLNIALDVARGMEYLHNLAHRSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSMVTRLAGTFGYLAPEYAVTGKITTKVDVFSFGIVLMELLTGLMALDEDRPEESQYLAAWFWRIKSDKQKLRAAIDPALDVKDETFESISIIAELAGHCTAREPNQRPDMGHAVNVLAPLVEKWKPMDDDTEDYCGIDYSLPLNQMVKGWQEAEGKDLSYVDLEDSKSSIPARPTGFAESFTSADGR >Potri.006G203500.2.v4.1 pep chromosome:Pop_tri_v4:6:21155364:21159554:1 gene:Potri.006G203500.v4.1 transcript:Potri.006G203500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203500.v4.1 MRKHHKKLVLALFSLFTVVFSATDPNDFAIIKAFREGLENPELLEWPADGDDDPCGQSWKHVFCSGSRVTQIQVQNMSLKGTLPQNLNQLTKLQRLGLQRNQFTGALPSLSGLSELQSVYLDFNQFDSIPSDCFDRLVSLQSLALDKNNFNASTGWSFPEGLQDSAQLTNLSCMFCNLAGPLPYFLGALSSLQNLRLSGNNLSGEIPASFKRSTSLQNLWLNDQNGGGLSGTLDVVTTMDSVNVLWLHGNQFTGTIPESIGNLTVLQDLNLNGNKLVGFVPDSLAKMPLEHLDLNNNQLMGPIPNFKATEVSYASNAFCQSTPGVPCAPEVMALLEFLGSLNYPSRLVSSWTGNDPCSWLGLACHNGNVNSIALPSSNLSGTLSPSVARLGSLIQIKLGSNNLSGQVPENWTSLTSLKTLDLSTNNISPPLPKFADTVNVVTVGNPLLTGGSPSNPNPSPGSGSSGSPPSNPSSPTKGTGSSPGDSSEPVKPKRSTLVAIIAPVASVVVVALLAIPLSIYCYKKRKDTFQAPSSLVIHPRDPSDSDNTVKIVVASNTNGSASTITGSGSASRNSSGVGESHVIEAGNLVISVQVLRNVTKNFASENELGRGGFGVVYKGELDDGTKIAVKRMESGVISSKAIDEFQAEIAVLSKVRHRHLVSLLGYSVEGYERILVYEYMPQGALSKHLFHWKSSKLEPLSWKRRLNIALDVARGMEYLHNLAHRSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSMVTRLAGTFGYLAPEYAVTGKITTKVDVFSFGIVLMELLTGLMALDEDRPEESQYLAAWFWRIKSDKQKLRAAIDPALDVKDETFESISIIAELAGHCTAREPNQRPDMGHAVNVLAPLVEKWKPMDDDTEDYCGIDYSLPLNQMVKGWQEAEGKDLSYVDLEDSKSSIPARPTGFAESFTSADGR >Potri.006G203500.1.v4.1 pep chromosome:Pop_tri_v4:6:21155127:21159553:1 gene:Potri.006G203500.v4.1 transcript:Potri.006G203500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203500.v4.1 MRKHHKKLVLALFSLFTVVFSATDPNDFAIIKAFREGLENPELLEWPADGDDDPCGQSWKHVFCSGSRVTQIQVQNMSLKGTLPQNLNQLTKLQRLGLQRNQFTGALPSLSGLSELQSVYLDFNQFDSIPSDCFDRLVSLQSLALDKNNFNASTGWSFPEGLQDSAQLTNLSCMFCNLAGPLPYFLGALSSLQNLRLSGNNLSGEIPASFKRSTSLQNLWLNDQNGGGLSGTLDVVTTMDSVNVLWLHGNQFTGTIPESIGNLTVLQDLNLNGNKLVGFVPDSLAKMPLEHLDLNNNQLMGPIPNFKATEVSYASNAFCQSTPGVPCAPEVMALLEFLGSLNYPSRLVSSWTGNDPCSWLGLACHNGNVNSIALPSSNLSGTLSPSVARLGSLIQIKLGSNNLSGQVPENWTSLTSLKTLDLSTNNISPPLPKFADTVNVVTVGNPLLTGGSPSNPNPSPGSGSSGSPPSNPSSPTKGTGSSPGDSSEPVKPKRSTLVAIIAPVASVVVVALLAIPLSIYCYKKRKDTFQAPSSLVIHPRDPSDSDNTVKIVVASNTNGSASTITGSGSASRNSSGVGESHVIEAGNLVISVQVLRNVTKNFASENELGRGGFGVVYKGELDDGTKIAVKRMESGVISSKAIDEFQAEIAVLSKVRHRHLVSLLGYSVEGYERILVYEYMPQGALSKHLFHWKSSKLEPLSWKRRLNIALDVARGMEYLHNLAHRSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSMVTRLAGTFGYLAPEYAVTGKITTKVDVFSFGIVLMELLTGLMALDEDRPEESQYLAAWFWRIKSDKQKLRAAIDPALDVKDETFESISIIAELAGHCTAREPNQRPDMGHAVNVLAPLVEKWKPMDDDTEDYCGIDYSLPLNQMVKGWQEAEGKDLSYVDLEDSKSSIPARPTGFAESFTSADGR >Potri.019G068300.2.v4.1 pep chromosome:Pop_tri_v4:19:10882975:10887428:-1 gene:Potri.019G068300.v4.1 transcript:Potri.019G068300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068300.v4.1 MASSFSASASIPARKYDVFISFRGEDTRDNFTSHLYAALCRKKVLTFVDNNLRRGDEICSSLLKAIEESKLSVIVFSENYASSKWCLDELVKILECKEMNGQTVIPVFYHVNPSHVRNQTETVGDSIGELELVTEKMEKVKRWRAALKEVATLTGWDSRNIRSESELIEAIAGDILNKLYKMSPGHSMNLVGIEEHIKRTESLLCMESQEVRIIGIWGMGGIGKTTIARAVFDRICNQFEGFHFLANVRENLRSYTAVDLRNKLLSKILDEDNLLEQPPSLAVAFTKDCLRRKKVLIVLDDVDNSRQLQELSLGVHDLFGPGSKILVTSRDKQVLIKNGVDAIYKVQGLNNHDALRLLSLNAFKKNCPKRDHIELLERMVDYAKGNPLALIVLGSSLYDRSKEKWYSALNKLGKVPNPEIQRVLRISYDGLDGEQQQIFLDIAFFFNGAECNHAVKVLDSCYSSLQFDLSILIDKSLITISQNTLEMHDILQEMAYSIVREESKNPGKRSRLCDHEDIYHVLKKKKGTEAVEGICLDISKMPEMHLESDTFARMNSLRFLKFYHPFYFMDSKDKVHLPLSGLKYLSDELKYLHWHRFPAKSLPQNFCAENIVDLTLHSSRVEQLWTGVQDLLNLRWIDLSRSTYLLEIPDLSRAKNLEYIDLSFCESLLEVHSSIQHLEKLEILILSGCKNLGIVPKRIESKFLRILDLSHCKKVRKCPEISGYLEELMLQGTAIEELPQSISKVKEIRILDLSGCSNITKFPQISGNIKQLRLLWTVIEEVPSSIEFLATLGVLEMNFCEQLSSLPTCICKLKCLERLELSYCPKLESFPEILEPMESLKCLDLSGTAIKELPSSIKFLSCLYMLQLNRCDNLVSLPSFIEKLPVLKYLKLNYCKSLLSLPELPPSVEFLEAVGCESLETLSIGKESNFWYLNFANCFKLDQKPLLADTQMKIQSGKMRREVTIILPGSEIPGWFCDQSMGSSVAIKLPTNCHQHNGFAFGMVFVFPDPPTELQCNRIFICECHARGENDEHHDVIFNLSTCAYELRSVESDQMLLLYNPCEFVKRDCISQYSGKEISFEFYLDEPSGLQNRCKVKRCGVYLLDE >Potri.011G044900.1.v4.1 pep chromosome:Pop_tri_v4:11:3508140:3511333:1 gene:Potri.011G044900.v4.1 transcript:Potri.011G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044900.v4.1 MPLNCSSLATKFPHTLGGLKTTATTTTIHVGRGGFFNLINKTHQNNSLYIASLSLNKRNSSQRYCLSSSSSSFVMEDTKDMGFSTLEPGKYSNELDIAVRAVQMACFLCQKVQESLISKTTSQVQAKDDNSPVTIADWSVQATVSWILSETLGSRNVAIIAEEDVQNLSKADSAGLLEAVVQTVNDCLAEAPRFGLKAPGTSLGSSEVLEAISRCNSTGGPNGRFWALDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMRKEWLSYHHRYHRIISKLTPPTSESWDKGCVIYTRRGSGEAWMQPLIQGHKKLVWPNSATPVKVSTIENPALATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEIFMKFARAGYKEKIWDHAAGVIIIQEAGGVVTDAGGRPLDFSKGMYLEGLDRGIIACAGAKLHEKIIRAVDASWNSSSL >Potri.014G182321.1.v4.1 pep chromosome:Pop_tri_v4:14:14299523:14302170:-1 gene:Potri.014G182321.v4.1 transcript:Potri.014G182321.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182321.v4.1 MVVLPYTVAFLLLSCLQTVKIANSQSFLGINYGQVADNLPPPSSNAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPGLASDSNFTKSWINKNVLPFYPASNIILITVGNEVMTSNDQNLMNKLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINTYPYFAYRSDTRPEILAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSPGIFKTDLTMVYDVGLSTSNQTQSPAASPQSSPTTTNTTNSTTTTTTTTSTTTSNNNSSTSTSTSTGTGTSTSTGTGTNTVTINISSSSDINKAGVPGLCLAWQHKHGQSEIEMDLVVLINVARGSSTSLMEAKSCG >Potri.009G136600.1.v4.1 pep chromosome:Pop_tri_v4:9:11006824:11008743:-1 gene:Potri.009G136600.v4.1 transcript:Potri.009G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136600.v4.1 MSKDVIEEGQTHTKDYVDPPPAPLFDVGELKLWSFFRALIAEFIATLLFLYVTVATVIGHKKNQDACGGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLLLARKVSLIRAVGYMVAQCLGAVCGVGLVKAFMKPYYNSLGGGANMVAPGYSTGTAVGAEIIGTFVLVYTVFSATDPKRSARDSHIPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIINDKKAWDDHWIFWVGPFVGALAAAAYHQYILRAGAIKALGSFRSHPTN >Potri.015G039900.2.v4.1 pep chromosome:Pop_tri_v4:15:3546222:3550286:-1 gene:Potri.015G039900.v4.1 transcript:Potri.015G039900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039900.v4.1 MGTVLDSHFLALTAIVTVGYQLLFFVITALLKFDKVTDFAGSTNFIILAVLTLVLKGTWHFRQVVLSFLVVSWGLRLGLFLLLRILQWGEDRRFDEMRSNLGKLAVFWIFQAVWVWTVSLPVTVVNGIDRDPSVQAADIIGWIMWSVGVSVEATADQQKLTFKNAPENRGKWCNVGLWNISRHPNYFGEILLWWGIFVASAPVLEGAEWLVILGPIFLTLLLLFVSGIPLLEQSADKKFGNVAAYRTYKRTTSPLIPLPQAVYRSLPSWFKSVFLFEFPLYSRNFPEEGSAWNRTRQGGGSDGSKIG >Potri.015G039900.3.v4.1 pep chromosome:Pop_tri_v4:15:3546143:3550274:-1 gene:Potri.015G039900.v4.1 transcript:Potri.015G039900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039900.v4.1 MGTVLDSHFLALTAIVTVGYQLLFFVITALLKFDKVTDFAGSTNFIILAVLTLVLKGTWHFRQVVLSFLVVSWGLRLGLFLLLRILQWGEDRRFDEMRSNLGKLAVFWIFQAVWVWTVSLPVTVVNGIDRDPSVQAADIIGWIMWSVGVSVEATADQQKLTFKNAPENRGKWCNVGLWNISRHPNYFGEILLWWGIFVASAPVLEGAEWLVILGPIFLTLLLLFVSGIPLLEQSADKKFGNVAAYRTYKRTTSPLIPLPQAVYRSLPSWFKSVFLFEFPLYSRNFPEEGSA >Potri.015G039900.1.v4.1 pep chromosome:Pop_tri_v4:15:3547061:3550228:-1 gene:Potri.015G039900.v4.1 transcript:Potri.015G039900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039900.v4.1 MGTVLDSHFLALTAIVTVGYQLLFFVITALLKFDKVTDFAGSTNFIILAVLTLVLKGTWHFRQVVLSFLVVSWGLRLGLFLLLRILQWGEDRRFDEMRSNLGKLAVFWIFQAVWVWTVSLPVTVVNGIDRDPSVQAADIIGWIMWSVGVSVEATADQQKLTFKNAPENRGKWCNVGLWNISRHPNYFGEILLWWGIFVASAPVLEGAEWLVILGPIFLTLLLLFVSGIPLLEQSADKKFGNVAAYRTYKRTTSPLIPLPQAVYRSLPSWFKSVFLFEFPLYSRNFPEEGSAW >Potri.014G038300.2.v4.1 pep chromosome:Pop_tri_v4:14:2465121:2470997:1 gene:Potri.014G038300.v4.1 transcript:Potri.014G038300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038300.v4.1 MFPAKIEQQQSVNHQQRTTNTVPADKVVIAVKAEKVISKTALAWALTHVVHPGDGITLLAVFTKEKSGKRFWNFPRLAGDCGSDQRKRLPDCVSEISENCSQMMLQFHNQIEVGVRIKVVSSTPGSVVAAEARRNGANWVVLDKKLKQELKHCIEELRCNIVVMKGSQAKVLRLNLGCSNEVQTPYYSAASSPEKDVGMLLGHRMKHSTPVSSPEEPSTPYSRTGEGSSSSSYDTEMPLFLVYEQNPLFQGLDKIKYTLKDDQNNYDDQLRAMYSDGERIVPLSTNPISAVSSGQKSVFWIPQNHIVDGKVSKTLNCRNTCKIKSPTSRTLLDKFVQSDHDALAGRLIQSHQKEIVSSGIRHAVSLGRTSSMPPPLCSLCQHKAPTFGKPPRQFSYEELEEATEGFSEMNFLAEGGFSKVYRGVLRDGQVVAVKLLKYGGSQADADFCREVRVLSCALHKNVVLLIGFCIDGKKRVLVYEYICNGSLDFHLHGNKRAPLDWNSRLKIAIGTARGLRYLHEDCRVGCVVHRDMRPNNILVTHNFEPLVADFGLARWHAECTIGSEERVIGTSGYVAPEYTSGGKITQTVDVFAFGLVLLELMTGQRISILQFYRGRNFLSDCFHPVTALEPSHVMESIYELLDPCLASEQLPEFACQLQAMGLAASLCLRQDPETRPPMSKVLGILEGGDLAVPLSLDVNSVGNRSGRLRGLSSGTQPDRRRGHSRKLSH >Potri.012G069200.1.v4.1 pep chromosome:Pop_tri_v4:12:9034684:9036410:1 gene:Potri.012G069200.v4.1 transcript:Potri.012G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069200.v4.1 MGESCIPTVDLSPCFREDDEDGMKKAKEIIGQACSEYGFFQVVNHGVPLGLMTRAIELSKTFFETLPNEEKLKCVPNSGAPLPAGYNRQPEQSPDKNEYLLMFPPASNLNVYPQNPPHFREVLEQIFAYFTKLGVLIESILSQCLGLPSNFLKEFNHDRSWDFMAGLHYFPATEAENNGITEHEDGNCITFVFQDEAGGLEVRRNGKWIPVIPTRGSLVVNVGDVIQVLSNNNFKSATHRVVRPKSKSRYSYAFFYNLHGDKWVEPLQQFTKDIGEAPKYRGFRYKEYQELRMRNKTHPPSTPEDVIRITHYALNTS >Potri.002G052500.1.v4.1 pep chromosome:Pop_tri_v4:2:3543770:3544664:1 gene:Potri.002G052500.v4.1 transcript:Potri.002G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052500.v4.1 MGSMKKTLAISCLMMALYGFSMASTVYQVGDSAGWTSMGGVDYQDWAADKNFHASDTLVFNYNIQFHNVKQVTSQDFETCNATFPIATYTSGSDAINLERLGHVYFICGFRGHCLAGQKIDILISPVTSGPSPAHWPLSSRSSASSDLYFNKLYWTLSVLVLCLSQFAY >Potri.001G200700.1.v4.1 pep chromosome:Pop_tri_v4:1:19999816:20001235:-1 gene:Potri.001G200700.v4.1 transcript:Potri.001G200700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200700.v4.1 MTDRVHPSTKPATNGTTATNPSFPATKAQLYGATRPVYRPQPNRKRSRGCFCSCVLWTAVVIFTLIVLAAIAGAIIYVLYRPHRPTFVVSGLKISSLNLTSTSHLTTNIDLNITTRNPNKKLVYTYNPITISVTTEKDGILVGSGLLPSFVHGTKNTTFLRAAITSSGLQLDDKSGSKLTSDLKSKDGVALKVELETKVKVKMGGLKTPKARIRVSCQGIKATVPSGKQATKASVSNAKCKVDLRIKIWKWTF >Potri.012G100200.4.v4.1 pep chromosome:Pop_tri_v4:12:12326057:12332286:1 gene:Potri.012G100200.v4.1 transcript:Potri.012G100200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100200.v4.1 MGRGKVELKRIENPTRRQVTFSKRRNGLLKKAFELSILCDAEVSLIVFSPTGKFYQFASHEMERTIARYRSEAGLSGPNDHSHTRSLEFWRREIEELQKTINETEAKLRHCIGEDIEMLGMKELKQLERQLKAGVERVRSKKLRIAAEHVNWLKGKQRSIQEENACLKKRLHELHGGNISSRIWEPNARKAIQLRTIDDGSHLH >Potri.012G100200.7.v4.1 pep chromosome:Pop_tri_v4:12:12326040:12332298:1 gene:Potri.012G100200.v4.1 transcript:Potri.012G100200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100200.v4.1 MGRGKVELKRIENPTRRQVTFSKRRNGLLKKAFELSILCDAEVSLIVFSPTGKFYQFASHESVVLLPPMMERTIARYRSEAGLSGPNDHSHTRSLEQRSIQEENACLKKRLHELHGGNISSRIWEPNARKAIQLRTIDDGSHLH >Potri.012G100200.6.v4.1 pep chromosome:Pop_tri_v4:12:12326272:12332200:1 gene:Potri.012G100200.v4.1 transcript:Potri.012G100200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100200.v4.1 MGRGKVELKRIENPTRRQVTFSKRRNGLLKKAFELSILCDAEVSLIVFSPTGKFYQFASHEMERTIARYRSEAGLSGPNDHSHTRSLEFWRREIEELQKTINETEAKLRHCIGEDIEMLGMKELKQLERQLKAGVERVRSKKLRIAAEHVNWLKGKDD >Potri.012G100200.3.v4.1 pep chromosome:Pop_tri_v4:12:12326040:12332298:1 gene:Potri.012G100200.v4.1 transcript:Potri.012G100200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100200.v4.1 MGRGKVELKRIENPTRRQVTFSKRRNGLLKKAFELSILCDAEVSLIVFSPTGKFYQFASHESVVLLPPMMERTIARYRSEAGLSGPNDHSHTRSLEFWRREIEELQKTINETEAKLRHCIGEDIEMLGMKELKQLERQLKAGVERVRSKKLRIAAEHVNWLKGKQRSIQEENACLKKRLHELHGGNISSRIWEPNARKAIQLRTIDDGSHLH >Potri.012G100200.8.v4.1 pep chromosome:Pop_tri_v4:12:12325881:12332298:1 gene:Potri.012G100200.v4.1 transcript:Potri.012G100200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100200.v4.1 MGRGKVELKRIENPTRRQVTFSKRRNGLLKKAFELSILCDAEVSLIVFSPTGKFYQFASHEMERTIARYRSEAGLSGPNDHSHTRSLEQRSIQEENACLKKRLHELHGGNISSRIWEPNARKAIQLRTIDDGSHLH >Potri.002G164700.1.v4.1 pep chromosome:Pop_tri_v4:2:12603222:12607705:1 gene:Potri.002G164700.v4.1 transcript:Potri.002G164700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164700.v4.1 MYETSSLADSTPDHVAGTWYSVPDLRLRDHRFTVPLDYSIDRNASPKISVFAREVVSVGKEEQLLPYLLYLQGGPGFECPRPTEASGWIHKTCEEFRVILMDQRGTGLSTPLTPSSMSQLGSAEEIAEYLKYFRADNIVNDAEFIRVRLVPEAGPWTVLGQSYGGFCSVTYLSFAPQGLKQVLLTGGIPPVGNGSTADAVYRACYPQVIHQNEKYYKRFPQDVEIVREVVKHLAESEGGGVPLPSGGFLTPRGLQILGLSGLGSSSGFERLHYMFERAWDPVIVPGSRKQISYYFLKAFENWLDFDTNPLYALLHESIYCQGDSSLWSAHRIRIEDDGIFDAIRAVKEGRPVFFTGEMVFPWMFEEFHALSKFKDAAHLLAEKKDWPPLYDIAVLNNNKVPVAAAVYYEDMYVNFKLAMETASQVAGIRLWITNEYMHSGLRDGGGQVLDHLLGMLNGRKPLF >Potri.002G164700.3.v4.1 pep chromosome:Pop_tri_v4:2:12603511:12607581:1 gene:Potri.002G164700.v4.1 transcript:Potri.002G164700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164700.v4.1 MYETSSLADSTPDHVAGTWYSVPDLRLRDHRFTVPLDYSIDRNASPKISVFAREVVSVGKEEQLLPYLLYLQGGPGFECPRPTEASGWIHKTCEEFRVILMDQRGTGLSTPLTPSSMSQLGSAEEIAEYLKYFRADNIVNDAEFIRVRLVPEAGPWTVLGQSYGGFCSVTYLSFAPQGLKQVLLTGGIPPVGNGSTADAVYRACYPQVIHQNEKYYKRFPQDVEIVREVVKHLAESEGGGVPLPSGGFLTPRGLQILGLSGLGSSSGFERLHYMFERAWDPVIVPGSRKQISYYFLKAFENWLDFDTNPLYALLHESIYCQGDSSLWSAHRIRIEDDGIFDAIRAVKEGRPVFFTGEVGRSYDIEL >Potri.006G226600.2.v4.1 pep chromosome:Pop_tri_v4:6:23103409:23106195:1 gene:Potri.006G226600.v4.1 transcript:Potri.006G226600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226600.v4.1 MGASGKWVKSIIGLKKSDKDQDQYEKVSGKSKKWKLWRSSSGDLGSSWKGFKGNHRAASEASGSSPLADPFTAAMATVVRAPPKDFRVVRQEWAAIRIQTAFRGFLARRALRALKGVVRLQALVRGRQVRKQAAVTLKCMQALVRVQAHVRARRVRMSLEGQAVQNMLNERRSKADLLKHAEEGWCDRKGTLEDVKSKLQMRQEGAFKRERAIAYSLAQKQWRSNPSSNTRPNNSVYSFKNEEFDKNSWGWSWLERWMAAKPWETRLMEQTHTDPSVTPPPKSCVDASTHSKSFEQSSVKVRKNNVTTRISARPPIGHVTRSSSSPSSEVRFDESSASSSICTSTTPISGNTGLASDKTEESGNSRPNYMNLTESTKAKQNTSSHLFHRIQRQSMDEFQFFKKSAAFSNGDSKSSAGSDPSVNLSKPLCLPTRFDKNSMKQIRGTDHLYA >Potri.013G035600.1.v4.1 pep chromosome:Pop_tri_v4:13:2317568:2328698:1 gene:Potri.013G035600.v4.1 transcript:Potri.013G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035600.v4.1 MGVPAFYRLLADRYPLSISDVIEEEPQEDSNGNSKPIDVSKPNPNGIEFDNLYLDMNGIIHPCFHPEGKPAPATYDDVFKSIFAYIDHLFALVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAQAEAEEERLRKEFEAEGELLSVKEKPETFDSNVITPGTQFMAALSTALQYYIQSRLNHNLGWQNTKVILSDSNVPGEGEHKIMSYIRLQRNLSGFNPNTRHCLYSLDADLIMLSLATREVHFSILREIVTLPGQQDKCFLCGQAGHLAAECRGKQGDDALDWHVVDDTPIHKKKYQFLNIWVLREYLQYDLDILNPPFAIDFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAISLLMHIYRREFSAMGGYLTLAGEVFLDKVEHFIQCVGVYEEQIFQKRTRIQQAFDNNEEMKLKARRESSEVIQAPVVDKVKLGEPGYKERYYAEKFELSNQEEIDKVRKEVVLKYVEGLCWVCHYYFQGVCSWQWFYPFHYAPFASDLKDLGEVELNFFIGEPFKPFDQLMGTLPAASSNALPKEYRKLMTNPSSPIHRFFPSDFEIDMNGKRFAWQGIAKLPFIDERKLLAQTKKLESTLTEEEQIRNRVMLDLLYIHPVHPLAQLVISYYQQNDHLSEGERFAWEIDTRASGGMNGCLWLYERNVRRSVVPSPILGLPALEGNQVLNITFLNPKNRAHIPEIPEGVVMPEKIVKPVDLKPFPTLWHEDNGRRQQGRERPQVQRAIAAPFLGDAAHRLVKNTLNIKPNGSSSRVFDQQLYHSIPGNYTFYRPRPAGPAGYGRGYWDDPNYHYAQHSNQQGLMSNPRYRSLSNGVQSNRHNFRTQDGVQYHQQYHNLSTGVSALTVEENIRSRAPAVISPRMPNPGNTPNLQNQAEQNTGLLSSPPTNWINKTAAGNTGMYFKQKSTSIGPNEKQVKQVYQVKTQVAQETPDIQAQETPDLKQQ >Potri.013G035600.7.v4.1 pep chromosome:Pop_tri_v4:13:2317606:2328580:1 gene:Potri.013G035600.v4.1 transcript:Potri.013G035600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035600.v4.1 MSYIRLQRNLSGFNPNTRHCLYSLDADLIMLSLATREVHFSILREIVTLPGQQDKCFLCGQAGHLAAECRGKQGDDALDWHVVDDTPIHKKKYQFLNIWVLREYLQYDLDILNPPFAIDFERIVDDFVFLCFFVGNDFLPHMPTLEIREGAISLLMHIYRREFSAMGGYLTLAGEVFLDKVEHFIQCVGVYEEQIFQKRTRIQQAFDNNEEMKLKARRESSEVIQAPVVDKVKLGEPGYKERYYAEKFELSNQEEIDKVRKEVVLKYVEGLCWVCHYYFQGVCSWQWFYPFHYAPFASDLKDLGEVELNFFIGEPFKPFDQLMGTLPAASSNALPKEYRKLMTNPSSPIHRFFPSDFEIDMNGKRFAWQGIAKLPFIDERKLLAQTKKLESTLTEEEQIRNRVMLDLLYIHPVHPLAQLVISYYQQNDHLSEGERFAWEIDTRASGGMNGCLWLYERNVRRSVVPSPILGLPALEGNQVLNITFLNPKNRAHIPEIPEGVVMPEKIVKPVDLKPFPTLWHEDNGRRQQGRERPQVQRAIAAPFLGDAAHRLVKNTLNIKPNGSSSRVFDQQLYHSIPGNYTFYRPRPAGPAGYGRGYWDDPNYHYAQHSNQQGLMSNPRYRSLSNGVQSNRHNFRTQDGVQYHQQYHNLSTGVSALTVEENIRSRAPAVISPRMPNPGNTPNLQNQAEQNTGLLSSPPTNWINKTAAGNTGMYFKQKSTSIGPNEKQVKQVYQVKTQVAQETPDIQAQETPDLKQQ >Potri.003G133600.1.v4.1 pep chromosome:Pop_tri_v4:3:15170928:15173867:1 gene:Potri.003G133600.v4.1 transcript:Potri.003G133600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133600.v4.1 MAEHDKEDSVIESVMEKIHDHDKSSDSDSDHGKPKSESDSLKSKIYRIFGREKPVHKVLGGGKPADIFLWRNKKISAGVLGGATAIWVLFELLECHLLTLVCYFLILALALLFLWSNASTFINKSPPHIPEVRIPEEPVLQIAAALRIEINWAFSVLRDIASGRDLKKFLTVIAGLWVLSIVGSWCNFLTLFYIAFVLLYTVPVFYEKYEDQVDAFAEKAMIEIKKQYAVFDAKVLSKIPMGPLKGKKKD >Potri.001G461300.1.v4.1 pep chromosome:Pop_tri_v4:1:48669872:48681002:1 gene:Potri.001G461300.v4.1 transcript:Potri.001G461300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461300.v4.1 MLAFAIHKPHVNLNKKLAFLSSFHNYMGSKFLLKPTTSTIPTPLPLLPSSNKQQYRTFKRLSPVFCSSKPTSKFNRSLLTQTNGLPFSPLPAPVYGLDPEVKKSKLEILRFKLAEVGIELDHFAPGQYNALTCPMCKGGGSKEKSFSLFISADGGNASWNCFRAKCGWNGGTKPFAGSKSTYGTSLKLSKVKEIREITEQSLELEPLCDELVCYFKERLISAETLARNQVMQKGYGDRGQVAIAFTYRRNGVLVSCKYRDINKRFWQEKDTKKVFYGLDDIKGADEIIIVEGEMDKLAMEEAGFRNCVSVPDGAPPSVSPKELPPNQEDTKYQYLWNCKEYLDKVSRIILATDGDPPGQALAEELARRLGRERCWRVKWPKKNTDEHFKDANEVLMFSGPLALRDIIENAELYPIRGLFQFSDYFPEIDAYYNRTLGYEFGASTGWTALNEIYNVMPGELTLVTGVPNSGKSEWIDALLCNLNESVGWKFALCSMENNVRQHARKLLEKHMKKPFFDARYGESAERMSAKELEEGKQWLSDTFYLIRCEDDALPNIKWVLDLARAAVLRHGVRGLVIDPYNELDHQRPPNMTETEYVSQMLTLIKRFAQHHACHVWLVAHPRQLQNWTGQPPNLYDISGSAHFVNKCDNGIVIHRNRNPNAGPIDQVQVLVRKVRNKVAGTIGDAFLSYNRVTGEFMNVDKSTGSDNQGFKPLRR >Potri.012G011600.2.v4.1 pep chromosome:Pop_tri_v4:12:1307923:1310457:1 gene:Potri.012G011600.v4.1 transcript:Potri.012G011600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011600.v4.1 MGGAAAAAAHAVKRIPRIKFPQRHAKSSSSGFVPQTQAASTDTEIHHFIMSSSEVPASPANIAEGGKASLQPKRTPVSEREIEAVQLGGIF >Potri.011G099500.2.v4.1 pep chromosome:Pop_tri_v4:11:12830077:12834021:-1 gene:Potri.011G099500.v4.1 transcript:Potri.011G099500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G099500.v4.1 MTCRNLDFGRAKLHLVACSFSSSDSIDRYSVRNYAKSVSKSCNRDYGFRRMVCCRRDIARNNISDHRVAEGPTGLLNLKKESREPVSLTNLFEVVADDLLNLKKNLRSIDAAENMVLMSAAEQIFGAGGKRMRPALVFLLSRATVEVVGDLTTEHQRLAEIIEMIHTASLIHDDLLDESGMRRGKETYWLGEFMFAQSSWYLANLENIELIKLISSVGLQLHSVYPSIYSPVSDITLEFLHYAIYYLILKNSYYKTASLIAASTKGAAIFSGVDSNVCVLIYEYGKNLGLSFQVVDDILDFTQSAELPGKPAGSDLAKGNLTAPVIFALEQSPKLREIIETEFRVSVSLDEATELVMDCGGIERAQELAKERADLAIQNLRSLPRGSYQPALEEMVLCNLERVH >Potri.008G118300.1.v4.1 pep chromosome:Pop_tri_v4:8:7590365:7595370:1 gene:Potri.008G118300.v4.1 transcript:Potri.008G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118300.v4.1 MYCHSSFLPRGEDINSRNQTRFADLGELHQPAPVFPHDDAVDLSSSSMFSLKSGNVTVVSPNLPYATALNTSIGPVEIATTGAGCLDTGQYMYQKGTGFGSSLGNWQSIETWGDSGMADNSQQTDTSTDVDADDKNQLYGVQHGTVVVVESVDQSKGKTGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLRLTQLEQELQRARQQGFFIASGFSGDHGHSIAGNEAFAFDLDYSRWLDEHHRLINDLRSAMNSHMSDDELRLLVDGVMAHYDEIFRLKSIGAKADVFHMLSGMWKTPAERCFMWLGGFKSSELLKILGNHLEPLTDQQLMGICNLQQSSQQAEDALSQGMEALQQSLVDTLSSTSLGPAGSGNVADYMGQMAIAMGKLATLENFLHQADLLRQQTLQQMHRILTTRQAARALLVISDYTSRLRALSSLWLARPRD >Potri.003G137900.2.v4.1 pep chromosome:Pop_tri_v4:3:15495812:15498215:1 gene:Potri.003G137900.v4.1 transcript:Potri.003G137900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137900.v4.1 MIPKPLRTLVTGTAIILGGVLALNITSSIAVNALRFATDLKLRRVALPCGACRGKGFYICKLCKGNASIHWSPLYDPVAINPCLCPTCDGNRVQRCLNCLGKGYN >Potri.002G071000.10.v4.1 pep chromosome:Pop_tri_v4:2:4981103:4984831:-1 gene:Potri.002G071000.v4.1 transcript:Potri.002G071000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071000.v4.1 MATTCTALSVASMAGGARACLFSHLPRTLAKTNISTSIVSSKWIYSSKMGLNPLQRECAINKTVPTRKLQVRAARTESQGVSLGFRAPSFELPEPLTGKTWKLEDFEPYPALLVMFICNHCPFVKHLKKDIVKLTNFYMKKGLAVVAISSNSVATHPQDGPELMAEDAKILNYPFPYLYDELQEVARDFGAVCTPEFFLFKKDGRRPFELVYHGQYDDSRPSNNVPITGRDLSLAIDCVLSGQPVSPVQKPSVGCGVKWHPGAK >Potri.002G071000.11.v4.1 pep chromosome:Pop_tri_v4:2:4981104:4984831:-1 gene:Potri.002G071000.v4.1 transcript:Potri.002G071000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071000.v4.1 MATTCTALSVASMAGGARACLFSHLPRTLAKTNISTSIVSSKWIYSSKMGLNPLQRECAINKTVPTRKLQVRAARTESQGVSLGFRAPSFELPEPLTGKTWKLEDFEPYPALLVMFICNHCPFVKHLKKDIVKLTNFYMKKGLAVVAISSNSVATHPQDGPELMAEDAKILNYPFPYLYDELQEVARDFGAVCTPEFFLFKKDGRRPFELVYHGQYDDSRPSNNVPITGRDLSLAIDCVLSGQPVSPVQKPSVGCGVKWHPGAK >Potri.002G071000.12.v4.1 pep chromosome:Pop_tri_v4:2:4981089:4984812:-1 gene:Potri.002G071000.v4.1 transcript:Potri.002G071000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071000.v4.1 MATTCTALSVASMAGGARACLFSHLPRTLAKTNISTSIVSSKWIYSSKMGLNPLQRECAINKTVPTRKLQVRAARTESQGVSLGFRAPSFELPEPLTGKTWKLEDFEPYPALLVMFICNHCPFVKHLKKDIVKLTNFYMKKGLAVVAISSNSVATHPQDGPELMAEDAKILNYPFPYLYDELQEVARDFGAVCTPEFFLFKKDGRRPFELVYHGQYDDSRPSNNVPITGRDLSLAIDCVLSGQPVSPVQKPSVGCGVKWHPGAK >Potri.005G033300.1.v4.1 pep chromosome:Pop_tri_v4:5:2198594:2200211:1 gene:Potri.005G033300.v4.1 transcript:Potri.005G033300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033300.v4.1 MKMPLESSINRIKLNSTNEEDEGAVSLRPEEKEAREDQTYDLGYLKKPRFALTGKTIKELKFSNKSDLSQEQIEALQEAWNHIRAGALDPEPVKKLEKIICDYILFDKRSTRVKGLNHGFFKHRGLTDNKGQNPGTKG >Potri.015G135300.1.v4.1 pep chromosome:Pop_tri_v4:15:14445138:14448255:1 gene:Potri.015G135300.v4.1 transcript:Potri.015G135300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135300.v4.1 MAEAEKAGRIAKRRKMGDRKAAEKWPLIKPKKNLQITRLKDTDLFTVQDFFSSAESKAFVKAAESIGFAHQGSLGPTHGEAYRDNDRLSVSDPVLANAVWESGLSKLFSDIKIRGKVAVGLNPNIRFYRYKAGQRFGRHIDESVNLGDGKRTHYTLLIYLSGATKAKTKTDLNSQKDSSSEPLVGGETVFYGPRNSVVADVAPVEGMALLHIHGDKCMLHEARNVTKGVKYIFRSDVCFA >Potri.019G027100.1.v4.1 pep chromosome:Pop_tri_v4:19:3966238:3967746:1 gene:Potri.019G027100.v4.1 transcript:Potri.019G027100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G027100.v4.1 MEEIRRAAGAYYENLPDEEKRNARFSFNEMDKNKDGKINLDEYVEYLKKDNNTVLPSLFTALDKDGNGTLDFDEAIVLYYIMQSGRAIICQSCKTFLAGAYFTCSQCFFNDDDSVSTFDVCCDCYGGKKFRHNDGHIFCDNYTLLCRSRSATQAAPIQKRTKVLNILKKGLQVAGITSSDLEGISTDIGDGIGDGEGSSKSNCSIM >Potri.006G152700.9.v4.1 pep chromosome:Pop_tri_v4:6:13538070:13543639:1 gene:Potri.006G152700.v4.1 transcript:Potri.006G152700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152700.v4.1 MTTKSNMASISSSNLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRRPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNYTDRSATLGEGSGDPSSRRDSGSGSCSSKEIIPQRDEISGTGPVAASISSCTALDIHQLKSDHFGFTPFRKSFDEVGIREASVAREASASGTCDEIQEQHQRPHHVAHDPHQQQQLQHHHHHQTVHHQIATAAFHISRPSHPISTIISPSPLHHTSIILDEDPYHVSRLMLQNEIQQQQHQQQQQHHKLGGRSASGLEELIMGCTSTDVKEESPITNPQEAEWLKYSSFWPDPDNQDHHG >Potri.006G152700.10.v4.1 pep chromosome:Pop_tri_v4:6:13538100:13543327:1 gene:Potri.006G152700.v4.1 transcript:Potri.006G152700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152700.v4.1 MRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRRPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNYTDRSATLGEGSGDPSSRRDSGSGSCSSKEIIPQRDEISGTGPVAASISSCTALDIHQLKSDHFGFTPFRKSFDEVGIREASVAREASASGTCDEIQEQHQRPHHVAHDPHQQQQLQHHHHHQTVHHQIATAAFHISRPSHPISTIISPSPLHHTSIILDEDPYHVSRLMLQNEIQQQQHQQQQQHHKLGGRSASGLEELIMGCTSTDVKEESPITNPQEAEWLKYSSFWPDPDNQDHHG >Potri.006G152700.4.v4.1 pep chromosome:Pop_tri_v4:6:13537623:13543669:1 gene:Potri.006G152700.v4.1 transcript:Potri.006G152700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152700.v4.1 MTTKSNMASISSSNLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRRPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNYTDRSATLGEGSGDPSSRRDSGSGSCSSKEIIPQRDEISGTGPVAASISSCTALDIHQLKSDHFGFTPFRKSFDEVGIREASVAREASASGTCDEIQEQHQRPHHVAHDPHQQQQLQHHHHHQTVHHQIATAAFHISRPSHPISTIISPSPLHHTSIILDEDPYHVSRLMLQNEIQQQQHQQQQQHHKLGGRSASGLEELIMGCTSTDVKEESPITNPQEAEWLKYSSFWPDPDNQDHHG >Potri.006G152700.11.v4.1 pep chromosome:Pop_tri_v4:6:13538070:13541333:1 gene:Potri.006G152700.v4.1 transcript:Potri.006G152700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152700.v4.1 MRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRRPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNYTDRSATLGEGSGDPSSRRDSGSGSCSSKEIIPQRDEISGTGPVAASISSCTALDIHQLKSDHFGFTPFRKSFDEVGIREASVAREASASGTCDEIQEQHQRPHHVAHDPHQQQQLQHHHHHQTVHHQIATAAFHISRPSHPISTIISPSPLHHTSIILDEDPYHVSRLMLQNEIQVFKLINLIINYMSSTFFQI >Potri.010G161200.1.v4.1 pep chromosome:Pop_tri_v4:10:16675186:16676125:-1 gene:Potri.010G161200.v4.1 transcript:Potri.010G161200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161200.v4.1 MDLLPKDKRTGHDRQPTTSELLSSAKLVAGAAQSSFGSEGDKIDRAKVAGAAEDLLEAASKYGKLDQEKGMGQLLEKAETYLHQYHSNTLPTATPGTTGSGDTAPVDKKESSAPPSTRVDDDQSGSGLGGAFKMAQGFFK >Potri.016G039601.1.v4.1 pep chromosome:Pop_tri_v4:16:2428689:2430431:1 gene:Potri.016G039601.v4.1 transcript:Potri.016G039601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039601.v4.1 MSANLQEIVISRHDITMSSTGQSHCGWHDSTIAHTSEEIHSGSLDSMMAATSEEINVSNRQTVPKFPKVPSTIRQIKQNEECYDPSLVSIGPYHHGKDELKEMEKLKVSFARQFVKDCVNDVNQERYKEKYQEMYRRVEQVASRVRKYYIENESSQLKNEEFAQMMFFDGCFILQFLFCLLKQPEKLKMSSHDVVLVARDLFLLENQLPFEVLNELTRLRFGGENMELFEAFFKHIRSMPTQRESCREKTKKILLTISNFFRRILPSTNPKGQESEMTAPRKPAAHLLELFHLTFVGSKDVPDASTRKSWYRDDSRKTWSGRYFPAKELRNVGIHFKPSKTSLFTDVEFRRTVLAGRLYIPPLSIDDSTKPLLLNLVAYEAFLGAPHDWVTSYVCFMDSLIDNPEDVNELRTKGILFSTLGNDKQVAELFNQISDYLVPNPYAYVEVKSAIESHYRNGFKRWILHYKGPIYSSVLKYSFIYGLIVSAIKAYVVIVPTNPDLGICKMPATNFTLNP >Potri.004G049500.1.v4.1 pep chromosome:Pop_tri_v4:4:4013042:4015100:-1 gene:Potri.004G049500.v4.1 transcript:Potri.004G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G049500.v4.1 MERMNMSSPELPPGFRFHPTDQELIIHYLKKKVSSSSYPEVSIIADVDIYKFNPWDLPGKALFGENEWFFFSPRDRKYPNGVRPNRAAGSGYWKATGTDKPILTSNGSQCLGVKKALVFYKGRPPKGTKTSWLMLEYRLLDDTHHLHRLRGSMRLDDWVLCRVRQKSNTQQNGESLCSSFGSFSPFISFGCLQGQEMFKKSNTLKDYYYDLQPCLLAPPESKEADEQELVEFQEVSLGYPISGVDSNSQPTMVSTVKERLEYIKKILSIGAFEELVPATPKKRLHVSSSNKAENECLFEETPSPPKKRLHISSSNNATSESIFEVSSPTVSASSQRSFQSS >Potri.010G119600.1.v4.1 pep chromosome:Pop_tri_v4:10:13805297:13812418:-1 gene:Potri.010G119600.v4.1 transcript:Potri.010G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119600.v4.1 MSGNKEESEVEVKSVFIGAGCNRVVNNVSWGASDLVSFGSQNAVAIFCPKTAQILTTLPGHKASVNCTHWIPGTKFAFKAKQLDRHYLLSGDTDGAIILWELTLAVKKWRQVLQLPQSHKKGVTCITGIMVSETDAIFASTSSDGTVYVWELVLPSTAGGECKLSCLETLFVGSKPMVALSLAELPGNSGHMVLAMGGLDNKIHLYCGERTGKFVHACDLKAHTDWIRSLDFSLPICNDEANSILLVSSSQDKGIRIWKMTLRGSLTNNQGTYRKEEISLASYIEGPVLVAGSSSYQISLESLLIGHEDWVYSVEWQPPSITSVEETTYHQPQSILSASMDKTMMIWQPERKTGIWMNVVTVGELSHSALGFYGGHWSRDGNAILAHGYGGAFHLWKNVGVDVDHWQPQKVPSGHFAAVTDIAWARSGEYMISVSLDQTTRIFAPWKNSAFLTDEESWHEIARPQIHGHDINCVAIIQGKGNHRFVGGADEKVARVFEAPLSFLKTLNLATCQKSSFPENLQVDVQILGANMSALGLSQKPIYVNTVQETPERNGNDGLDTLESIPDAVPVVFTEPPIEDQLAYHILWPESHKLYGHGNELFSLSCDHEGKLVASSCKAQSAMVAEIWLWQVGSWKAVGRLQAHSLTVTQMEFSRDDSMLLAVSRDRQFSVFAIKRTGTDEVSYQLLARQEAHKRIIWSCSWNPFGHQFATGSRDKTVKIWAVEQDSSVKQMMTLPQFSSSVTALSWVGIDRQSNHGLLAVGMENGLIELWSLTINKSAAANLAVRFDTSLCHVSSVNRLSWRNPEKSEECRRMQLASCGADQCVRVFDVITK >Potri.002G214050.1.v4.1 pep chromosome:Pop_tri_v4:2:19817223:19817426:-1 gene:Potri.002G214050.v4.1 transcript:Potri.002G214050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214050.v4.1 MVKILMGKLIRLLTRDQEFVRLNYVVSEEPGELSQVTALGFVDHFLSCTFLLELSSRTLSGRKFLLL >Potri.007G142100.1.v4.1 pep chromosome:Pop_tri_v4:7:15158314:15162066:-1 gene:Potri.007G142100.v4.1 transcript:Potri.007G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142100.v4.1 MEGLANQLKRGISRQFSTGSLKSLSRQFSRQSSLDPRRNNLRFSFGRQSSLDPIRRSPLHDHAQLSVPENLDSTMHLLFMASRGDVKGVEDLLDEGVDVNSIDLDGRTALHIAACEGHVEVVKLLLSRRANIDARDRWGSTVCADAKYYGNVEVYNILKARGAKAPKTTRKTPMAVANPREIPEYELNPLELQVRKADGIAKGMYQVAKWNGTKVAVKILEKERCADPESINSFKHELTLLEKVRHPNLIQFVGAVTQNFPLMIVAEYHSKGDLASYLQKKGRFPPSKALRLALDIARGINYLHECKPYPIIHCDLKPRNILLDDGGLLKVAGFGLIRLSNISPDKAKVAPGTIIDHSNVYMAPEIYKDEIFDRSVDAYSFGVVLYEMLEGVQPFYPKSPGEAVKLMCLENQRPPFKIKSRSYPPDLRELIDECWLSEPGGRPTFSEIITRLDRICSNCSKQGWLKDTFKLPWKNMEEDRRFSSKKSRVSELHITH >Potri.005G080200.1.v4.1 pep chromosome:Pop_tri_v4:5:5457403:5463389:-1 gene:Potri.005G080200.v4.1 transcript:Potri.005G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080200.v4.1 MLRRSLLELSSRRQSIRRIPRKITTQHVPLFLSSRKEFSTSFQKNASPNGGPNDKSERRGSLLVKSLGAVLVVGTCYYAGWLDPIIELIDKKKQSYVNSGGDGIDHKDVKVEEVVSPMSEEANKLSHFIEEDAQKVKRESDLPSVETKEEKVEIHADVPHFESKHKVETPTDLPHVEADQKFETQTDQPHHETLSETESDNQSQVHHGAISVEERHEPEFSHHTGSEGSLGMGIPGLKTTKEPNEGIQVTQVQPQATGVPVESEIKTVPTQNVTTENRSEAAFSEHSGISSLLGSYHLDDNGEKNITTEGLGEQAIVSAIEELNDGYITKDGKLVLDFLEAIHAAEKRQAELDALTFSEEKRALKEKYEKELRDSRARELMCAEEAAMLDKEIKREIAKAAAAIKMLQERMEEKLRVELEQKENESEMKLQRFQELAKAELSGAIASEKAAHIEKIAEANLNINALCMAFYARSEESRQIHSVHKLALGALALEDALSKGLPIQHELDALNAYLEGIDKDSLLHLVLSTLPEETRHHGTDTLLELNQKFNVMKGNLRHYILIPPGGDGILAHALAHVASWLRFKEVDPSGDGIESIISRVEDFLAEGKLAEAADALQKGVQGSQAEEIAGDWVRRARNRAIAEQALTVLQSHATCVGLTQ >Potri.006G168400.2.v4.1 pep chromosome:Pop_tri_v4:6:16851271:16852829:-1 gene:Potri.006G168400.v4.1 transcript:Potri.006G168400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G168400.v4.1 MGDYTNLHRDREQEHDRDRDRDQEEEEEEALSLCDFPLQGRDKESPEIAAHNSARPSSEPAEFFEFFSDVSSEMSSAEDIIFHGKLVPFIEPYFTPQNQSKEDQQRFSFRRRCDSLSELQSSASRSNSTKNNIALMRNSRSLDYRNLERFPSSKKFSPELDIERSSSLKSIHARGEVKRTTSKPRWYLLMFGVVKPPTEMDLSDIKSRQVRRNSSMTMFPPVDTDGKKAPVSQSSISKGSCRLLRVLSCKDPASVAVATSFLTPQV >Potri.018G092800.1.v4.1 pep chromosome:Pop_tri_v4:18:11340698:11342069:-1 gene:Potri.018G092800.v4.1 transcript:Potri.018G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092800.v4.1 MGDYSNIVLHHDREQEHGHEGEGEEEEEALSLCDFPLEGRNEESPNIAFHSGARSSSEPAEFFEFFSDLSSEMRSAEDIIFRGKLVPVKEPYFTPQNQSKEDKQRISFRRRCESLSELQSSVCRSSSSKNNIGLMRNSRSLDYRKLERFSSSKKCSSELDIERSSSSLKSIHAKSDVKKTGSKPRWYLLMFGVVKPPTEMNLSDIKSRQGRRNYSVSMFPPVDTDGKKAPVNQSCISKGSCRLLRVLSCKDPASVAVATSFLVPRV >Potri.014G104900.1.v4.1 pep chromosome:Pop_tri_v4:14:7044678:7045816:-1 gene:Potri.014G104900.v4.1 transcript:Potri.014G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104900.v4.1 MGNCVFKGFSLEVEEMIKVVTTNGGIMELYSPITAECITNEFPGHAIYRSRDLFSQPLFHNEELHGGQLYYLLPLNTSTTSLNNTNNNNSINSLSSSTSSSKLTPYRMSFDNQRMLKRSSTEAEVFPRYNSTGVWKVKLVICPEQLAEILAQETRTEELIESVRTVAKCGNGVSSSLANSDQSSLSSSRKGSSDQKFSRDI >Potri.006G178900.1.v4.1 pep chromosome:Pop_tri_v4:6:18508445:18511257:1 gene:Potri.006G178900.v4.1 transcript:Potri.006G178900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178900.v4.1 MVMIQPEEENEETVLQNLPKPQATASTSKTTTSDTTTTKENGSDSDGFETASERGVSDNEEEDIVNKGINPENSADDQQQQQHTQNDDELIQRGVEEGNEAKLEGNRLFGNGQYEEALLQYELALQVAPQDVPSSVELRSICHFNRGVCFLKLGKYEDTIKECSRALELNPSYTKALVRRGEAHEKLEHFEEAIADMKKTLEFDPSNDQAKKTIRRLEPLAAEKREKMKEEMIGKLKEMGNSLLGRFGMSIDNFKAVQDPNTGSYSISFQR >Potri.016G040900.1.v4.1 pep chromosome:Pop_tri_v4:16:2567776:2568914:-1 gene:Potri.016G040900.v4.1 transcript:Potri.016G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040900.v4.1 MQLIKKLSPKRWFSSKKDRSELSRSEPSSFSSGTASSDASDSSISNVKANSAAANAGFRTPTSVLPQISGDWSDMSTDFYFELTQAFKVIDRDNDGLVSRNELEALLTRLGAEPPSSQEMAVMLGEVDLISVEELASRLGSACEPAGGDELRDAFVFFDSDRDGKITAEELLNVYKAFGDEKCTLEDCKGMIAVVDKNGDGFVCFEDFCRMMELQR >Potri.004G059600.4.v4.1 pep chromosome:Pop_tri_v4:4:4971809:4978437:-1 gene:Potri.004G059600.v4.1 transcript:Potri.004G059600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059600.v4.1 MMESGAPICHTCGEQVGHDANGELFVACHECNYPMCKSCFEFEIKEGRKVCLRCGSPYDENLLDDVEKKGSGNQSTMASHLNDSQDVGIHARHISSVSTVDSEMNDEYGNPIWKNRVESWKDKKNKKKKSSPKTETEPAQVPPEQQMEDKPSAAASEPLSIVYPIPRNKLTPYRAVIIMRLVILGLFFHYRITNPVDSAFGLWLTSVICEIWFAFSWVLDQFPKWNPVNRETYIERLSARYEREGEPSQLAGVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLVETAEFARKWVPFCKKYTIEPRAPEFYFSLKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGNTGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCILMDPQVGRDVCYVQFPQRFDGIDKSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSLRKRKDSSSCFSCCCPSKKKPAQDPAEVYRDAKREDLNAAIFNLTEIDNYDEHERSMLISQLSFEKTFGLSSVFIESTLMENGGVPESANSPTLIKEAIHVIGCGYEEKTEWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGFGGGRLKWLQRLAYINTIVYPFTSLPLIAYCTIPAVCLLTGKFIIPTLSNLASMLFLGLFISIIGTAVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAAEDTEFGELYMVKWTTLLIPPTTLLIINIVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVNKVDNTLVAETCISIDC >Potri.004G059600.2.v4.1 pep chromosome:Pop_tri_v4:4:4971437:4978454:-1 gene:Potri.004G059600.v4.1 transcript:Potri.004G059600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059600.v4.1 MMESGAPICHTCGEQVGHDANGELFVACHECNYPMCKSCFEFEIKEGRKVCLRCGSPYDENLLDDVEKKGSGNQSTMASHLNDSQDVGIHARHISSVSTVDSEMNDEYGNPIWKNRVESWKDKKNKKKKSSPKTETEPAQVPPEQQMEDKPSAAASEPLSIVYPIPRNKLTPYRAVIIMRLVILGLFFHYRITNPVDSAFGLWLTSVICEIWFAFSWVLDQFPKWNPVNRETYIERLSARYEREGEPSQLAGVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLVETAEFARKWVPFCKKYTIEPRAPEFYFSLKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGNTGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCILMDPQVGRDVCYVQFPQRFDGIDKSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSLRKRKDSSSCFSCCCPSKKKPAQDPAEVYRDAKREDLNAAIFNLTEIDNYDEHERSMLISQLSFEKTFGLSSVFIESTLMENGGVPESANSPTLIKEAIHVIGCGYEEKTEWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGFGGGRLKWLQRLAYINTIVYPFTSLPLIAYCTIPAVCLLTGKFIIPTLSNLASMLFLGLFISIIGTAVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAAEDTEFGELYMVKWTTLLIPPTTLLIINIVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVNKVDNTLVAETCISIDC >Potri.004G059600.3.v4.1 pep chromosome:Pop_tri_v4:4:4971452:4978440:-1 gene:Potri.004G059600.v4.1 transcript:Potri.004G059600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059600.v4.1 MMESGAPICHTCGEQVGHDANGELFVACHECNYPMCKSCFEFEIKEGRKVCLRCGSPYDENLLDDVEKKGSGNQSTMASHLNDSQDVGIHARHISSVSTVDSEMNDEYGNPIWKNRVESWKDKKNKKKKSSPKTETEPAQVPPEQQMEDKPSAAASEPLSIVYPIPRNKLTPYRAVIIMRLVILGLFFHYRITNPVDSAFGLWLTSVICEIWFAFSWVLDQFPKWNPVNRETYIERLSARYEREGEPSQLAGVDFFVSTVDPLKEPPLITANTVLSILAVDYPVDKVSCYVSDDGAAMLSFESLVETAEFARKWVPFCKKYTIEPRAPEFYFSLKIDYLKDKVQPSFVKERRAMKRDYEEYKVRVNALVAKAQKTPEEGWTMQDGTPWPGNNTRDHPGMIQVFLGNTGARDIEGNELPRLVYVSREKRPGYQHHKKAGAENALVRVSAVLTNAPYILNLDCDHYVNNSKAVREAMCILMDPQVGRDVCYVQFPQRFDGIDKSDRYANRNVVFFDVNMKGLDGIQGPVYVGTGCVFNRQALYGYGPPSMPSLRKRKDSSSCFSCCCPSKKKPAQDPAEVYRDAKREDLNAAIFNLTEIDNYDEHERSMLISQLSFEKTFGLSSVFIESTLMENGGVPESANSPTLIKEAIHVIGCGYEEKTEWGKEIGWIYGSVTEDILSGFKMHCRGWRSIYCMPVRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYGFGGGRLKWLQRLAYINTIVYPFTSLPLIAYCTIPAVCLLTGKFIIPTLSNLASMLFLGLFISIIGTAVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGIDTNFTVTAKAAEDTEFGELYMVKWTTLLIPPTTLLIINIVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVVLWSVLLASVFSLVWVKINPFVNKVDNTLVAETCISIDC >Potri.013G122400.1.v4.1 pep chromosome:Pop_tri_v4:13:13162106:13163838:-1 gene:Potri.013G122400.v4.1 transcript:Potri.013G122400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G122400.v4.1 MELINEESASELLQAQTHVWNHIFYFINSMTLKCAVQLGIPDVIQKHGKPMTLSELVSALPIHPSKAQYVHRLMRILVHSGFFSQRNLNGVDNQEAYSLTQSTRLLLKDNPWSVRAHLLFVLDPVLTKPWDCLSTWFQNDDRTAFSVAHEKAPWEYVGQDPRINNLCNEVMARDSILACKLVVNKCKGIFEGVSSLVDVGGGIGTMAKGITEAFPHMDCTVLDLPHVVSDLQGCKNLKYVAGDMFQAVPPAEAILLKWMLHNWSDEDCVKILKRCKQAIMSKGQQKAGKVIIIDMVRENLNGDEGSIETQLFFDLQMMVVVGGMERNEKEWAKLFFDAGFLNYKIHPVLGTRALIELYP >Potri.001G193200.1.v4.1 pep chromosome:Pop_tri_v4:1:17844408:17846194:1 gene:Potri.001G193200.v4.1 transcript:Potri.001G193200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193200.v4.1 MKYQKRLRDEVERVLPEWKRQFICYKGLKKQLKLINPRSSRDRRMGDDRSGFATGRFLDVNNNIRERIGFTRLLHSELNKVNAFYFDKEEDYVIRLKEMQLRAGNLDSNEEKLQVQRDILNLHAEMVLLLHYSVLNFTGLVKIVKKHNKRTGTSFHFSSMPRVMQRPFFSTDLLYELMRECETMLDGLFLSKQP >Potri.011G095200.5.v4.1 pep chromosome:Pop_tri_v4:11:12278313:12285838:-1 gene:Potri.011G095200.v4.1 transcript:Potri.011G095200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095200.v4.1 MLEPRGADIPVLFLVLVVLPLVAYILLGKWSESAKKRERISLLAQLAAEEAFRAEVMATACIIPPMSTSKNGIHVCARCFSPATTRCSRCKSVRYCSGKCQIIHWRQAHKEECQRLETTSSCSSPMATSCDESIPEKLSINDGLDLFSLGYNSKQPAMDTGLSDNNVHPLTSTGACAAGNCPATVTSQEAMLHRRSPDKQVSCKSNKEMYCGSSSSLNAGKYGINAREIGTNFVFNVVDFSNAEASVDGEMAGPKCSYETPVTNGNVKANTALHPMGNKSLKSSKSKMKFSGDQSYFKIDGKGQLTDDSKVARMRNANPAAGTNGVTSIGIMKMMDLRKSSKLATQDITEVNATHKKLRMLFPYEEFVNIFNCEVIDLTPRGLVNCGNSCYANAVLQCLTCTKPLIVFLLHRSHSRACCEIDWCLMCELEQHVMMLRECGGPLSPSRILLHMRNINHQIGDGSQEDAHEFLRLLIASMQSICLEKLGGEDKVDPRLQETTFIQHTFGGRLRSKVKCLRCHHESERYENIMDLTLEIFGWVKSLEDALTQFTTPEELDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMIPFMTGTGDVPPLYMLYAVVVHLDTLNASFSGHYVAYVKDLQGSWFRIDDTEVHPVSMSQVMLEGAYILFYTRSCPRPQKAICEKASRQQVPLTLRHCMSRTQKPSRQGQSKCSSHFVGPEASLDVKPENGSSLASYANGIPRSSANKNTTQVMDFSDATSSDRSLFTSSDEASFTTESTRDSFSTIDYADACNADAFSSIFNDLYAPESSYQNSLCR >Potri.011G095200.4.v4.1 pep chromosome:Pop_tri_v4:11:12278312:12285973:-1 gene:Potri.011G095200.v4.1 transcript:Potri.011G095200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095200.v4.1 MLEPRGADIPVLFLVLVVLPLVAYILLGKWSESAKKRERISLLAQLAAEEAFRAEVMATACIIPPMSTSKNGIHVCARCFSPATTRCSRCKSVRYCSGKCQIIHWRQAHKEECQRLETTSSCSSPMATSCDESIPEKLSINDGLDLFSLGYNSKQPAMDTGLSDNNVHPLTSTGACAAGNCPATVTSQEAMLHRRSPDKQVSCKSNKEMYCGSSSSLNAGKYGINAREIGTNFVFNVVDFSNAEASVDGEMAGPKCSYETPVTNGNVKANTALHPMGNKSLKSSKSKMKFSGDQSYFKIDGKGQLTDDSKVARMRNANPAAGTNGVTSIGIMKMMDLRKSSKLATQDITEVNATHKKLRMLFPYEEFVNIFNCEVIDLTPRGLVNCGNSCYANAVLQCLTCTKPLIVFLLHRSHSRACCEIDWCLMCELEQHVMMLRECGGPLSPSRILLHMRNINHQIGDGSQEDAHEFLRLLIASMQSICLEKLGGEDKVDPRLQETTFIQHTFGGRLRSKVKCLRCHHESERYENIMDLTLEIFGWVKSLEDALTQFTTPEELDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMIPFMTGTGDVPPLYMLYAVVVHLDTLNASFSGHYVAYVKDLQGSWFRIDDTEVHPVSMSQVMLEGAYILFYTRSCPRPQKAICEKASRQQVPLTLRHCMSRTQKPSRQGQSKCSSHFVGPEASLDVKPENGSSLASYANGIPRSSANKNTTQVMDFSDATSSDRSLFTSSDEASFTTESTRDSFSTIDYADACNADAFSSIFNDLYAPESSYQNSLCR >Potri.011G095200.3.v4.1 pep chromosome:Pop_tri_v4:11:12278326:12286030:-1 gene:Potri.011G095200.v4.1 transcript:Potri.011G095200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095200.v4.1 MLEPRGADIPVLFLVLVVLPLVAYILLGKWSESAKKRERISLLAQLAAEEAFRAEVMATACIIPPMSTSKNGIHVCARCFSPATTRCSRCKSVRYCSGKCQIIHWRQAHKEECQRLETTSSCSSPMATSCDESIPEKLSINDGLDLFSLGYNSKQPAMDTGLSDNNVHPLTSTGACAAEVARMRNANPAAGTNGVTSIGIMKMMDLRKSSKLATQDITEVNATHKKLRMLFPYEEFVNIFNCEVIDLTPRGLVNCGNSCYANAVLQCLTCTKPLIVFLLHRSHSRACCEIDWCLMCELEQHVMMLRECGGPLSPSRILLHMRNINHQIGDGSQEDAHEFLRLLIASMQSICLEKLGGEDKVDPRLQETTFIQHTFGGRLRSKVKCLRCHHESERYENIMDLTLEIFGWVKSLEDALTQFTTPEELDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMIPFMTGTGDVPPLYMLYAVVVHLDTLNASFSGHYVAYVKDLQGSWFRIDDTEVHPVSMSQVMLEGAYILFYTRSCPRPQKAICEKASRQQVPLTLRHCMSRTQKPSRQGQSKCSSHFVGPEASLDVKPENGSSLASYANGIPRSSANKNTTQVMDFSDATSSDRSLFTSSDEASFTTESTRDSFSTIDYADACNADAFSSIFNDLYAPESSYQNSLCR >Potri.011G095200.6.v4.1 pep chromosome:Pop_tri_v4:11:12278274:12284882:-1 gene:Potri.011G095200.v4.1 transcript:Potri.011G095200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095200.v4.1 MLEPRGADIPVLFLVLVVLPLVAYILLGKWSESAKKRERISLLAQLAAEEAFRAEVMATACIIPPMSTSKNGIHVCARCFSPATTRCSRCKSVRYCSGKCQIIHWRQAHKEECQRLETTSSCSSPMATSCDESIPEKLSINDGLDLFSLGYNSKQPAMDTGLSDNNVHPLTSTGACAAGNCPATVTSQEAMLHRRSPDKQVSCKSNKEMYCGSSSSLNAGKYGINAREIGTNFVFNVVDFSNAEASVDGEMAGPKCSYETPVTNGNVKANTALHPMGNKSLKSSKSKMKFSGDQSYFKIDGKGQLTDDSKVARMRNANPAAGTNGVTSIGIMKMMDLRKSSKLATQDITEVNATHKKLRMLFPYEEFVNIFNCEVIDLTPRGLVNCGNSCYANAVLQCLTCTKPLIVFLLHRSHSRACCEIDWCLMCELEQHVMMLRECGGPLSPSRILLHMRNINHQIGDGSQEDAHEFLRLLIASMQSICLEKLGGEDKVDPRLQETTFIQHTFGGRLRSKVKCLRCHHESERYENIMDLTLEIFGWVKSLEDALTQFTTPEELDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMIPFMTGTGDVPPLYMLYAVVVHLDTLNASFSGHYVAYVKDLQGSWFRIDDTEVHPVSMSQVMLEGAYILFYTRSCPRPQKAICEKASRQQVPLTLRHCMSRTQKPSRQGQSKCSSHFVGPEASLDVKPENGSSLASYANGIPRSSANKNTTQVMDFSDATSSDRSLFTSSDEASFTTESTRDSFSTIDYADACNADAFSSIFNDLYAPESSYQNSLCR >Potri.006G215700.2.v4.1 pep chromosome:Pop_tri_v4:6:22164664:22167353:-1 gene:Potri.006G215700.v4.1 transcript:Potri.006G215700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215700.v4.1 MDFNFDEFLSSGIGDDNFEWLIKFLKGMLKPLAATAVVLMAVILSYMQKLGLEGEMVYSIIRSFLQLSVIGFVLQFIFNQDHAVWIILAYLFMVSVAGYTAGQRAKQVPRGKFVAGASILIGTAVTLVLLVVLNVFPFTPRYIIPVAGMMVGNAMTVTGVAMKRLRDDIKVQMNLVETALALGATPRQATLQQVKRALIIALSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSIMSTYLCWPAFFTKAYQLETKVFSTD >Potri.006G215700.1.v4.1 pep chromosome:Pop_tri_v4:6:22164664:22167353:-1 gene:Potri.006G215700.v4.1 transcript:Potri.006G215700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215700.v4.1 MDFNFDEFLSSGIGDDNFEWLIKFLKGMLKPLAATAVVLMAVILSYMQKLGLEGEMVYSIIRSFLQLSVIGFVLQFIFNQDHAVWIILAYLFMVSVAGYTAGQRAKQVPRGKFVAGASILIGTAVTLVLLVVLNVFPFTPRYIIPVAGMMVGNAMTVTGVAMKRLRDDIKVQMNLVETALALGATPRQATLQQVKRALIIALSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSIMSTYLCWPAFFTKAYQLETKVFSTD >Potri.003G025032.1.v4.1 pep chromosome:Pop_tri_v4:3:2651608:2654051:1 gene:Potri.003G025032.v4.1 transcript:Potri.003G025032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025032.v4.1 MDFLLLLSTHVGLCWPFVLVFAASVPVFSPSFASGFGGLFNGSSLLVLSLELSYIFSLSIRCFLFPLFLASIIALLSLFFVPCKFDCTSLYVLHVVICLPFDHAIFFFLCYFGLCCLAFDHGASVVTFSFLPLCMIYWSFFAYA >Potri.003G223100.2.v4.1 pep chromosome:Pop_tri_v4:3:21618762:21621784:1 gene:Potri.003G223100.v4.1 transcript:Potri.003G223100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223100.v4.1 MICMSYKKLAEDVQPGMVILCADGTLSFTVLSCDTEAGLVRCRCENSAVLGERKNVNLPGVIVDLPTLTEKDKEDILSWGVPNKIDMIALSFVRKGSDLVEVRKLLGKHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKAPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYGDVFKRTTKHSPVPMSPLESLASSAVRTANSVKASLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIQTDSFDWSCSDEAPARHSLIFRGLVPVLYAGSAKASHAETTEEALDFALQHAKGKGLCRTGDPVVALHRVGTASVIKIINVK >Potri.003G223100.1.v4.1 pep chromosome:Pop_tri_v4:3:21618486:21621830:1 gene:Potri.003G223100.v4.1 transcript:Potri.003G223100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223100.v4.1 MMISNGAEASAAAGVMEKRPNTKIVCTLGPASRSVPVIEKLLKAGMNVARFNFSHGSHDYHQETLDNLMAAMVNTGILCAVMLDTKGPEIRTGFLKDGKPIQLNQGQEITISTDYSLKGDENMICMSYKKLAEDVQPGMVILCADGTLSFTVLSCDTEAGLVRCRCENSAVLGERKNVNLPGVIVDLPTLTEKDKEDILSWGVPNKIDMIALSFVRKGSDLVEVRKLLGKHAKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMIYKCNIQGKPVVTATQMLESMIKAPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELAVQTMAKICVEAESTLDYGDVFKRTTKHSPVPMSPLESLASSAVRTANSVKASLILVLTRGGSTAKLVAKYRPGMPILSVVVPEIQTDSFDWSCSDEAPARHSLIFRGLVPVLYAGSAKASHAETTEEALDFALQHAKGKGLCRTGDPVVALHRVGTASVIKIINVK >Potri.017G111100.1.v4.1 pep chromosome:Pop_tri_v4:17:11878786:11879564:1 gene:Potri.017G111100.v4.1 transcript:Potri.017G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111100.v4.1 MLVAYISKMKAFLIVCFLLATIVFSPLSTCTARELAERDVGTRNPNKPVFSCGRGNRYCVPGPSPKRKCTSPYRRGCGRH >Potri.008G136401.2.v4.1 pep chromosome:Pop_tri_v4:8:9152069:9156146:-1 gene:Potri.008G136401.v4.1 transcript:Potri.008G136401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136401.v4.1 MMSNGIMERAQKSLGRGFDLTSDFRLKFCKGEKRLVFLNEAEKKELKVPGFGVIKDVSVDIKCDKGDRIRYQSDILEFHQMSELFNQKASVPGKIPSGLFNSMFGFEGCTWAADAANTKCLGLDGYFISLFSFRIDRYPLVLCDEVRKAVPSSWDPCALARFIEKYGTHIIVGLSIGGQDVVLVRQDKSSNLGSSELQRHLDDLGDQLFTGICNFTPKARDQKSKTPQAFNVFDPQPVAFDSFSSIRSTKDGITVLCAKKGGDTSVSSHCEWLPTVPSMPDAIHFSFIPITSLLKEVPGKGFLSHAINLYLRYKPPISDLHYFLDFQSLKIWAPVHNDFPLGPSTNLASSSSALHFYLLGPKLYVNTSQVTVGKRPVTGMRFYLEGMKCNRLAIHLQHLANTPSILANKIDDSIQLWRGTDETDNEGYFEAIHRKKFSHVCTAPVKYDPRWSTREDVAYIVTGAKLQIKNHNSKRVLHLRLLFSKVSYSLIVQSSWAQGSSGFSQRSGLFSAISTSVTGNPGKEKPKPVVVDSSVFPSGPPVPVQTQKLLKFVDISHLCRGPQDSPGHWLVTGARLDLDKGKISLQVKFSLLNI >Potri.008G136401.1.v4.1 pep chromosome:Pop_tri_v4:8:9152116:9156439:-1 gene:Potri.008G136401.v4.1 transcript:Potri.008G136401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136401.v4.1 MMSNGIMERAQKSLGRGFDLTSDFRLKFCKGEKRLVFLNEAEKKELKVPGFGVIKDVSVDIKCDKGDRIRYQSDILEFHQMSELFNQKASVPGKIPSGLFNSMFGFEGCTWAADAANTKCLGLDGYFISLFSFRIDRYPLVLCDEVRKAVPSSWDPCALARFIEKYGTHIIVGLSIGGQDVVLVRQDKSSNLGSSELQRHLDDLGDQLFTGICNFTPKARDQKSKTPQAFNVFDPQPVAFDSFSSIRSTKDGITVLCAKKGGDTSVSSHCEWLPTVPSMPDAIHFSFIPITSLLKEVPGKGFLSHAINLYLRYKPPISDLHYFLDFQSLKIWAPVHNDFPLGPSTNLASSSSALHFYLLGPKLYVNTSQVTVGKRPVTGMRFYLEGMKCNRLAIHLQHLANTPSILANKIDDSIQLWRGTDETDNEGYFEAIHRKKFSHVCTAPVKYDPRWSTREDVAYIVTGAKLQIKNHNSKRVLHLRLLFSKVSYSLIVQSSWAQGSSGFSQRSGLFSAISTSVTGNPGKEKPKPVVVDSSVFPSGPPVPVQTQKLLKFVDISHLCRGPQDSPGHWLVTGARLDLDKGKISLQVKFSLLNI >Potri.005G050800.2.v4.1 pep chromosome:Pop_tri_v4:5:3213563:3215769:-1 gene:Potri.005G050800.v4.1 transcript:Potri.005G050800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050800.v4.1 MMNHGHFLYMAAWMREAQEATKLVENIDSRIKNKDLAEEIMLRDIAQSKLREAGVKLDCLGSFLHNPPSKPNLTFTEVKKIRIFDEKAIGFTAKNKSTGSQSLRIHINKEVQLVSCPHSS >Potri.012G065500.1.v4.1 pep chromosome:Pop_tri_v4:12:8372283:8380188:1 gene:Potri.012G065500.v4.1 transcript:Potri.012G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065500.v4.1 MSSHNYNPASANDPRQPSASKSFLAPMVSPQDVPVDYSGFVAVILGVAGVMFRYKLCSWLALIFCAQSLSNMRNMENDLKQISMASMFAIMGLVTNYLGPARPASQS >Potri.012G081000.1.v4.1 pep chromosome:Pop_tri_v4:12:10563661:10564926:-1 gene:Potri.012G081000.v4.1 transcript:Potri.012G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081000.v4.1 MQPLITLSPSSPSFNSYSSNKLAEIAARVVQEFTNESEQVEGANNNIFSWQEQGGEEKSNHPQNDNEEGEEEEDDFEFAVLSRPEPQFPPISADDIFYNGQIRPFYPLFNTKLLLDDQEFLPRSKTATNSTQDAKKPNRLPLRKLFYEDRETFSCSSSEADDIDSLEPGTYCVWTPKKEEGSPGSCKKSSSTGSNSKRWKFKDFIHRSNSDGKDTFVFLMPNNKKSGLHHQRLDSDDQDGNHNKQGTEKRKEAKGAGGGLFQFQEHYYVRSKEGDKRRSYLPYRPDLVGFLSNVNGVGRNLHPF >Potri.014G057300.1.v4.1 pep chromosome:Pop_tri_v4:14:3637841:3641098:1 gene:Potri.014G057300.v4.1 transcript:Potri.014G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057300.v4.1 MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLKVLNSYWINEDSTYKYFEVILVDAAHNAIRNDPRINWICNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRATWKRNQTLSLRRYR >Potri.006G125900.1.v4.1 pep chromosome:Pop_tri_v4:6:10087669:10093005:-1 gene:Potri.006G125900.v4.1 transcript:Potri.006G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125900.v4.1 MVIYEQESDVIQWGLRLLDGDPPFYSGYYGEAIVQSDDGYHGHYVRDHYDITDCSHVENDEMIARTLQEEFSQLAVTEENGYSHGGEELSVTEENVYSHGGEEHLQTSVDEHDWHCTPMRNYRSDNECSHEESDDAVPSSSCSSPANGEEYSYSPEFTDEDGLDDEVGKRLNQLIPIRHVPRINGEIPSIDEATSDHERLLNRLQLFGFEELKVPGDGNCQFRALSDQIYNTPDRHKIVRRQVVYQLKSHPEIYEGYVPMEYGDYLRKMSKSGEWGDHVTLQAVADAYGVKILVMTSFKDTCYIEILPVSQKPKGVIFLSFWAEVHYNSIYFQGDTSSEFRKKKRWWNFGNKN >Potri.001G131800.1.v4.1 pep chromosome:Pop_tri_v4:1:10730785:10738422:-1 gene:Potri.001G131800.v4.1 transcript:Potri.001G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131800.v4.1 MFTCIACTKPAAEDGRGEEGGARGSGTPSTKEAVKSLTSQIKDMALKMSGAYKQCKPCTGPSSYKKGQRPYPDFDAASEGVPYPYFGGGSSSSTPAWDFTTPSHHRGARAESRFTSLYGGDRTPGRAESISAQSCDVVLENDDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRIMELYNVQRFNQQALHTPPRCEDEQRDSSYSRMESARESPMAPSFTPRNYYKPSGSKGYFPPDTMDQGGNQRYHPGSSGYMGPKGEASSIDASRTTTSSRDEPSISVSNASDVEAEWVEQDEPGVYITIRQLADGTRELRRVRFSREQFGEVHAKSWWEQNRERIQAQYL >Potri.008G135001.3.v4.1 pep chromosome:Pop_tri_v4:8:8980065:8982444:1 gene:Potri.008G135001.v4.1 transcript:Potri.008G135001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135001.v4.1 MKCTTKLASCAGSHFVLEEVLIEHVGRVSLVFWVSHSIFIVGRMSRYGVHVPRSAAIGVCILFMQWLECYLSMYMNDVHPHKHVSLTVFILQNLDEE >Potri.008G135001.2.v4.1 pep chromosome:Pop_tri_v4:8:8980065:8982444:1 gene:Potri.008G135001.v4.1 transcript:Potri.008G135001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135001.v4.1 MKCTTKLASCAGSHFVLEEVLIEHVGRVSLVFWVSHSIFIVGRMSRYGVHVPRSGNALFSVLIGLLLFIFVQYNAATPCHFVWEGAAMFLIAILAIASLLAFPSCQVVCFFIF >Potri.008G135001.1.v4.1 pep chromosome:Pop_tri_v4:8:8980065:8982444:1 gene:Potri.008G135001.v4.1 transcript:Potri.008G135001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135001.v4.1 MKCTTKLASCAGSHFVLEEVLIEHVGRVSLVFWVSHSIFIVGRMSRYGVHVPRSGNALFSVLIGLLLFIFVQYNAATPCHFVWEGAAMFLIAILAIASLLAFPSCQVVCFFIF >Potri.017G133666.1.v4.1 pep chromosome:Pop_tri_v4:17:13552594:13555718:1 gene:Potri.017G133666.v4.1 transcript:Potri.017G133666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133666.v4.1 MAHKLKNVREKLDAIANEKNKFNLTPQVGDIAADTYDGRLTSSLVNESEIYGRGKEKEELVNILLANADDLPIYAIWGMGGLGKTTLAQLVFNEERVTQQFSLRIWVCVSTDFDVKRLTRAIIESIDGASCNLQELDPLQRCLQQKLNGKKFLLVLDDVWDDYGDRWSKLKEVLRSGAKGSAVIVTTRIEMVALRMEPAFVQHMERLSEEDSWHLFQRLAIGMRRKEERARLENIGVSIVKKCGGVPLAIKALGNLMRLKESEDQWIAVKESEIWDLREEANEILPALRLSYTNLSPHLKQCFAYCAIFPKDHVMRREELVALWMANGFISGRREMNLHVMGIEIFNELVGRSFLQEVGDDGFGNITCKMHDLVHDLAQSIAAQECYTTEGDGELEIPKTARHVAFYNKSVASSYKVLKVLSLRSLLLRNDDLLNGWGKIPDRKHRALSLRNIPVENFPKSICDLKHLRYLDVSRSKIKTLPKSITSLQNLQTLDLRYCSELIQLPKGMKHMRNLVYLDITGCYSLRFMPAGMRQLICLRKLTLFIVGGENGRGMSELERLNNLAGELSIADLVKVKNLKDATSANLKLKTALLSLTLSWHGNGSYLFNPWSFVPPLQRKSVIQENNEEVLEGLQPHSNLKKLKIWGYGGSRFPNWMMNLNMTLPNLVEMELSAFPNCEQLPPLGKLQFLKSLVLRGMDGVKSIDSIVYGDGQNPFPSLETLAFQHMKGLEQWAACTFPSLRELKIEFCRVLNEIPIIPSVKSVHIRGVKDSLLRSVRNLTSITSLRIHRIDDVRELPDGFLQNHTLLESLEIWVMPDLESLSNRVLDNLSALKRLTIIFCGKLESLPEEGLRNLNSLEVLEIDGCGRLNCLPRDGLRGLSSLRDLVVGSCDKFISLSEGVRHLTALENLSLYNCPELNSLPESIQHLTSLQSLSIVGCPNLKKRCEKDLGEDWPKIAHIRKIRIN >Potri.002G103216.1.v4.1 pep chromosome:Pop_tri_v4:2:7549802:7550905:-1 gene:Potri.002G103216.v4.1 transcript:Potri.002G103216.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103216.v4.1 MDNYSFHDGNIYNLLESRYLDVRPDVDRSLQMHSSLIRRLSQERELEGHQGCVNSIAWMHQVSDFAFQVFVCCSLSDLSSCDLEDCRPRRREVHLYASECICCVIGFDWPEKKWDEVEENG >Potri.014G143200.2.v4.1 pep chromosome:Pop_tri_v4:14:9770391:9772022:1 gene:Potri.014G143200.v4.1 transcript:Potri.014G143200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX77 MGSTKFFVTLCVVPLLASSFCSAQLSATFYASTCPNLQTIVRNAMTGAVNGQPRLAASILRLFFHDCFVNGCDGSILLDDTATFTGEKNANPNRNSARGFEVIDTIKTRVEAACNATVSCADILALAARDGVVLRGGPSWTVPLGRRDARTASQSAANSQIPSPASSLATLISMFSAKGLSAGDMTALSGGHTIGFARCTTFRNRIYNDTNIDASFATTRRASCPASGGDATLAPLDGTQTRFDNNYYTNLVARRGLLHSDQELFNGGSQDALVRTYSTNGATFARDFAAAMVKMGNISPLTGRNGEIRRNCRVVN >Potri.016G027600.2.v4.1 pep chromosome:Pop_tri_v4:16:1540526:1541450:1 gene:Potri.016G027600.v4.1 transcript:Potri.016G027600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G027600.v4.1 MPLLLSNPLTSLSSLPPFSSPTLAFIATPVSRLDVKAFELKFKTWNGVRFQNPIRGNRIGAVIVRASSDIDGTAPTETSEPPVESKEEVVAVDKLPLESKLQEREEQKMKMKLARKIRLRRNRLVRKRRMRKKGRWPPSKMKKLKNV >Potri.005G101000.2.v4.1 pep chromosome:Pop_tri_v4:5:7305854:7308956:-1 gene:Potri.005G101000.v4.1 transcript:Potri.005G101000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101000.v4.1 MASSLIALLVFENNINKELVRSLHVYRLKDGKEKEVEREFVFSVDGPYVEMKAGPILRLRRFQVLELFEGQVIGLWRCIFAFHVNNPPHLSHSSFLLSVSRNPKLKSIPTLAKDIHFILKLSCKTDNEEPSRFSSKEICEVDRDNCHQSKKSLPVLDQDLNCLPNSVSPSELSKSEQIELCAAGVMEKKKKRAASEDIARIALEDVVKCFGLPIVEASRNLKVGLTVLKRKCRELGIPRWPHRKIKSLDSLICSLQEEAERHKQDNEDTTMAVAKRRRMLEREKETIEKKPFMEIQSETKRFRQDVFKRRHRARALGNQGL >Potri.002G042600.7.v4.1 pep chromosome:Pop_tri_v4:2:2785272:2788802:1 gene:Potri.002G042600.v4.1 transcript:Potri.002G042600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G042600.v4.1 MKGFLGSLCRLGGIRGYSGTFKRSQLRLEGVKDVIAVASGKGGVGKSTTAVNLAVALAKNCQLKVGLLDADVYGPSVPMMMKIDRKPDITEDKKMIPIENYGVKCMSMGFLVEKDAPIVWRGPMVMSALAKMTRGVDWGNLDILVVDMPPGTGDAQLTMTQNLQLSGALIVSTPQDIALMDARRGANMFSKVDVPILGFVENMSFFKCPHCGEPSFIFGKEGTRNAAASMGYKLIGEIPLEVDIRKGSDEGVPVVISAPDSVISKAYGDTAQNVVSKLEELAKEQTLHPEINL >Potri.006G063700.1.v4.1 pep chromosome:Pop_tri_v4:6:4546968:4554477:1 gene:Potri.006G063700.v4.1 transcript:Potri.006G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063700.v4.1 MPKVVETQSMLLQLILVFVIFLGFVKNGNAGITSAFIRSEWPSIDIPLDNEVFAVPKGYNAPQQVHITQGDYNGKAVIISWVTPDEPGTNKVQYGVSKKKYDFTAEGTVKNYTFYNYKSGYIHQCLVDGLEYETKYYYKIGSGDSSREFWFQTPPKINPDTPYKFGIIGDLGQTYNSLSTLEHYMQSGAQAVLFVGDLAYADRYKYNDVGIRWDSWGRFVERSAAYQPWMWSAGNHEIEYMPYMGEVIPFKSYLNRYPTPHLASKSSSPFWYAIRRASAHIIVLSSYSSFVKYTPQWEWLREELKRVDREKTPWLIVLMHIPIYNSNEAHFMEGESMRAVFEKWFVRYKVDVVFAGHVHAYERSYRVSNIHYNVSSGDRFPAADESAPVYITVGDGGNQEGLAGRFRDPQPDYSAFREASYGHSTLEIKNRTHAIYHWNRNDDGKKVPTDAFVLHNQYWGRNLRRKKLTQHHLRTVVGWVSSY >Potri.006G063700.7.v4.1 pep chromosome:Pop_tri_v4:6:4547521:4554146:1 gene:Potri.006G063700.v4.1 transcript:Potri.006G063700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063700.v4.1 MPKVVETQSMLLQLILVFVIFLGFVKNGNAGITSAFIRSEWPSIDIPLDNEVFAVPKGYNAPQQVHITQGDYNGKAVIISWVTPDEPGTNKVQYGVSKKKYDFTAEGTVKNYTFYNYKSGYIHQCLVDGLEYETKYYYKIGSGDSSREFWFQTPPKINPDTPYKFGIIGDLGQTYNSLSTLEHYMQSGAQAVLFVGDLAYADRYKYNDVGIRWDSWGRFVERSAAYQPWMWSAGNHEIEYMPYMGEVIPFKSYLNRYPTPHLASKSSSPFWYAIRRASAHIIVLSSYSSFVKYTPQWEWLREELKRVDREKTPWLIVLMHIPIYNSNEAHFMEGESMRAVFEKWFVRYKVDVVFAGHVHAYERSYRVSNIHYNVSSGDRFPAADESAPVYITVGDGGNQEGLAGRFRDPQPDYSAFREASYGHSTLEIKNRTHAIYHWNRNDDGKKVPTDAFVLHNQYWGRNLRRKKLTQHHLRTVVGWVSSY >Potri.014G177901.1.v4.1 pep chromosome:Pop_tri_v4:14:13451565:13451888:-1 gene:Potri.014G177901.v4.1 transcript:Potri.014G177901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177901.v4.1 MNIAIIPVRKGGSCHFLITPRAHTNIGHTRYSLHAAHIRLATVKIKQVYKSRITTTCMLVKNNNWLVLHVGFLGIKYACPSINERSNLSILASEFNMDTILDNETPY >Potri.015G070200.1.v4.1 pep chromosome:Pop_tri_v4:15:9570662:9572585:1 gene:Potri.015G070200.v4.1 transcript:Potri.015G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070200.v4.1 MQTQKMLTQRTNRSLLLYLRPPKSYPFTTATPTPPPPQQPLEAAALATLILTSSNPQALAQTLHSPTIQWTPQLVNTILKRLWNDGPKALQFFNLLSHHPSYSHHPSSYDHAIDISARLRDSPSLRSLVYRMRSARLGPTPKTFAIIAERYASAGKPHRAVKVFLSMHQFGCFQDLQSFNTILDVLCKSKRVEMAYNLFKVFKGKFRADCVSYNVMVNGWCLIKRTNKALEMLKEMVKRGLTPNLTSYNTMLKGYFRAGQINEAWDFFLEMKKRDCEIDVITYTTVIHGFGVAGEIKRARKVFDTMVKKGVLPSVATYNAFIQVLCKKDNVDNAIVIFEEMVVKGYVPNSITYNLVIRGLCHRGEMERAMEFMGRMRDDGCEPNVQTYNLVIRYFCDEGEIDKALDLFQKMTSGDCLPNLDTYNILISAMFVRKKSDDLLVAGNLLIEMVDRGFVPRKFTFNRVLNGLLLTGNQGFAKEILRLQSRCGRLTRKIKL >Potri.010G242200.2.v4.1 pep chromosome:Pop_tri_v4:10:21940513:21941335:1 gene:Potri.010G242200.v4.1 transcript:Potri.010G242200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242200.v4.1 MQKNDDYFQSFGICQRLFNFFMNNLIPRSSTKVSVDDSLRNGVGEQEVPLILKTTNGEEKLHHQGQERSKDTGSGSEIQVHFKQTEEELEHWTPVDKLGFSAHESTKGSQRTDDGDQPKMKNGESIPISLSGKGQLPDDKLAIQKRKKVTEPPHDKEELPLVQLNGAANGITARGKTQQETEPNMSTMAREKGPKKLDSIQDSKEEDRARKGKDIISENLTPAREEPEEVLPRHPISVAANINEKSDAFIRRKKEAWNQ >Potri.010G189000.1.v4.1 pep chromosome:Pop_tri_v4:10:18528093:18530478:1 gene:Potri.010G189000.v4.1 transcript:Potri.010G189000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189000.v4.1 MSTTTRLVSIALLWILVLFGTLAFIQNRLSDAAVSSDPKLVNNELGQENKSEGDLDLEGVTHRVYFDVEIAGKPMGRVVMGLFGKTVPKTAENFRALCTGEKGMGKSGKPLHFKGSTFHRIIPSFMIQGGDFTQGDGRGGESIYGEKFADENFKLKHTGPGMLSMANAGPDTNGSQFFITTVVTSWLDGHHVVFGKVLSGMDIIYKIEAEGKQNGVPRHKVVVLDSGEMPL >Potri.017G147000.1.v4.1 pep chromosome:Pop_tri_v4:17:14678185:14679021:1 gene:Potri.017G147000.v4.1 transcript:Potri.017G147000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147000.v4.1 MMKAVLKLDLHDDKDKKKAMRRVSGLPGVDSISMDMKDRKLTVIGDIDAVCIVGKLRKICHTDIISVGPAKEPEKKKEEPKKVEPKKPEDDKKDKDAVAAWVKAYQAYNPYLTTHYNVRSVEEDPNACVIS >Potri.006G228650.1.v4.1 pep chromosome:Pop_tri_v4:6:23244902:23245342:-1 gene:Potri.006G228650.v4.1 transcript:Potri.006G228650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228650.v4.1 MFTCICLEGLRPCCHCVPTWRRRTKITCVVRSNLTSILQNLRIMPSLVMVPSLLSSESLLLSSSRDGYMLTLHIIAYCSTTFADKTCIQSV >Potri.001G109500.1.v4.1 pep chromosome:Pop_tri_v4:1:8822157:8826687:-1 gene:Potri.001G109500.v4.1 transcript:Potri.001G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109500.v4.1 MARPNQEAIDTFISITGVAEDAAVLKLEEHGGDLNAAVNAHFSEGDRSAIRRPSIHAPQDDLMDIDGDPIEVAPPPRRNPQPLLSESVAMNRFPLLDPRFQRGVLGTGSDFTNQAPFVSQPREVREIPIEVKDGSEASGHSGHAPIIEDVTGTEHAQGPDIQGTVIIEDDDNDDISTYLNARATQRDSSRAGHLRPSAPEFDNLPYYSNDIEEEMVRAAIEASKREVQELTETGPQQRQPQVEDAELEHVVSLSLRAALQEKALREQRGKALREQEKASEVGSDAVEAEKGGIALLNGRPEAGSSSIHDEAEDVEEQPLIRHRSRQTSSGSMESAREAGVVEASPPSSPGRSNIGSHPLHNVDAFSDEWGGISSEEHDEAVMLEAAMFGGIPEGTGYRLPYAPHHFMQNENNYPRPVPRPPSPSLQAQRIIREQQDDEYLASLAADREKEMKAIEEAEAHRLQEEVARKAALEEERRKEEESRRQLEEAQEFERLLAEKEASLPHEPASDDENAVTLLVRMPDGSRRGRRFLKSDNLQAFFDFIDIGRVVKPGTYRLVRPYPRRAFSDGESALTLNELGLASKQEALFLESI >Potri.006G001600.1.v4.1 pep chromosome:Pop_tri_v4:6:151650:156017:-1 gene:Potri.006G001600.v4.1 transcript:Potri.006G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001600.v4.1 MRFPRVSILLLLIILFSLFQSPAFAIKKSYVVYLGSHAHGPQISKVDLDAVADSHQEFLASYLGSREKARDAIIYSYDRHINGFAAMLEEEEAAEIARHPNVVSVFLNQGRKLHTTHSWDFMLLEKDGVVDPSSLWKRARFGEDSIIANLDTGVWPESLSFSEEGIGPVPSKWKGTCENDTAVGVPCNRKLIGARYFNRGYIAYAGGLTSSDNSARDKDGHGTHTLSTAGGNFVPGANVFGLGNGTAKGGSPKARVASYKVCWPPVNGSECFDADIMKAFDMAIHDGVDVLSVSLGGEPTDYFNDGLAIGAFHAVKNGISVVCSAGNSGPMDGTVTNNAPWIITVGASTLDREFETFVELRNGKRLQGTSLSSPLPEKKFYPLITGEQAKAANASAADALLCKPKSLDHEKAKGKVVVCLRGETGRMDKGYQAALVGAAGMILCNDKASGNEIIADPHVLPAAQITYTDGLAVFAYINSTDHALGYISAPTAKLGTKPAPSIAAFSSRGPNTVTPEILKPDITAPGVNIIAAFSEAISPTDFDFDKRKSPFITESGTSMSCPHVAGAVGLLKTLHPDWSPAAIRSAIMTTARTRANTMTPMVDGRDGLEATPFSYGSGHIRPNRAQDPGLVYDLSINDYLDFLCASGYNSTMIEPFSDGPYKCPESTSIFDFNNPSITIRQLRNSMSVIRKVKNVGLTGTYAAHVREPYGILVSVEPSILTFENKGDEKSFKVTFEAKWDGVTEDHEFGTLTWTDGRHYVRSPIVVAFGGDY >Potri.015G127000.1.v4.1 pep chromosome:Pop_tri_v4:15:13867543:13868850:-1 gene:Potri.015G127000.v4.1 transcript:Potri.015G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127000.v4.1 MNVQILSRKLITPSSPTPHHLQNLKISWLDQFLSPHNYLPFIFYYPSTGDENNEERSKQLQNSLSEILTIFYPLAGRYIDNNLIIDCNDKGVEYTEAQVSGGLSLLLEGGELETELRNHLAPHPVQPDNSPLVLIQFNMFESGGVAIGLCVTHRVADAYTVFTFVRTWATACKLGVDEVLHAPSFQLPSFFPSRDTISSPNRFIGRNHHKIVVMKRFVFTGAALSKLKAVVSASVNGSHQPTRVEVVTAVIWKTLTMVAQAKHGRLRPSLLSHTFNMRGKIAMPIPDNSCGNFINVALSHFTADDETKVQLHDFVDRVYNGIKNMVSDCARVSSDDELFVMAEKIRIETIKAFTRSENMDLYMFNSWCRMPVYQADFGWGKPGWVSGLYVPGVEMVFLVDTKDGDGIEAWVSLEEDTMLLFQENPDIKAFTGQEL >Potri.007G128200.1.v4.1 pep chromosome:Pop_tri_v4:7:14326935:14328261:-1 gene:Potri.007G128200.v4.1 transcript:Potri.007G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128200.v4.1 MAATNSLQSLHHHHSLLKFLPSDTSPPSSLFLKPTTSISTATNISLSLSISTTTTAYSISPATSTAPSTSKTFSLNLLQQHLSNQNFREADEETRRLLIVLAGEAAQKRGYVFFSEVQFIPEQDLKDIDELWKKYSNNKFGYSVQKRIWRQKGNKDFTKFFIKVGWMKKLDTEVEQYNYRSFPNEFIWELNDDTPEGHLPLTNALRGIQLLKNILSHPAFEVDGEVEEDKVEGNENGGLKGLRDSSKPPLSNRVFKTDYSF >Potri.008G026100.1.v4.1 pep chromosome:Pop_tri_v4:8:1344418:1347602:1 gene:Potri.008G026100.v4.1 transcript:Potri.008G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026100.v4.1 MLGVLCARPKPNWILNSLFTHFHHQHHHHQSNDRLSLHLPHSFTAARRHHSSFCSADCGGGGAAAIWHVVQPADWRRRRGRRSVRGEGSWNVAWDGRPARWLHRPDSAWLLFGVCACLAPAIELFCDVNIEGGENVVVDVDHQEKERIDGGDLNASAVNSDDVKQDSSSSTAGSDYKVTGVLADGRCLFRAIAHMACLRNGEEAPDENRQRELADELRAQVVDELLKRREETEWFIEGDFDAYVKRIQQPYVWGGEPELLMASHVLKTMISVFMRDRTTGNLVNIANYGEEYRKDEVNPINVLFHGYGHYDILETTPGQSYKKVDL >Potri.009G084200.1.v4.1 pep chromosome:Pop_tri_v4:9:7908036:7909006:-1 gene:Potri.009G084200.v4.1 transcript:Potri.009G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084200.v4.1 MARKSRGRQKVEMVKMSKESNLQVTFSKRRSGLFKKASELSTLCGAEIAIIVFSPGNRVFSFGHPGVETVIDRYFTRNPPQNSGTMQLIEAHRNATVRELNMQLTQVVNQFEIEKKRGEELSQMRKAQSQCWWEAPVEELTLPQIEQLKVSLEGLKMNVTKQAQKLLIENPGPPQFFASSSSGGIFPYDRKVGGFNPNMVLPQYDYNNPGYRRGFF >Potri.002G099150.1.v4.1 pep chromosome:Pop_tri_v4:2:7229339:7229762:-1 gene:Potri.002G099150.v4.1 transcript:Potri.002G099150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099150.v4.1 MDGESDSLFLRSREASYFGIQCKITMKTKEKRRKTEKGSESKRIFSLMIASCLLISGTIVSCVKNYWTFVFIRSVCVCVCVHHT >Potri.001G060500.3.v4.1 pep chromosome:Pop_tri_v4:1:4621773:4623491:-1 gene:Potri.001G060500.v4.1 transcript:Potri.001G060500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060500.v4.1 MSAPMAPIIILVFLATLFALPLSLIARPATNPTSRISVVGVVYCDTCSTNTFSRHSYFLPGADVHIQCIFNANSPKTREKIEFSVNRTTDRYGIYKLEVPEVDGVDCEEGFAIESVCQASLIGSSSRVCNVPGLRISTNEISVKSKQNNLCIYSLNALSYRPSKKNITLCGSHKEELPNSLNSSKFFLPYFPPYGFPWPPLPNLPPLPNFPPLPFPPLPPFPSLPFPPLPSLPFPTLPPIPFLPFPHLPFPSPPSLPFPFPPLPPPPTLSTSPISVPSTTSTSI >Potri.018G044400.2.v4.1 pep chromosome:Pop_tri_v4:18:3730387:3733910:-1 gene:Potri.018G044400.v4.1 transcript:Potri.018G044400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G044400.v4.1 MEGNTALSGKVVSVATGEAHSLILTGDGRVYSWGKGMFGRLGTGSEVDELFPVQVKFETCVEKRLNFVGVAAGAYHSLALADDGSVWCWGYNIYGQLGVSGENYSMPHLMDQFLELGSLGSLIDHSETKSEGPLKICSIKAGGMMSLAIDDHGALWMWGNCPQQRNKTGSSISFESSFIPIPVRDFHGHSVVKVACGSEHVVALVSAGETYKGEDLVCYSWGNNNHGQLGLGDRESRVHPEIVEMFNKDSSWDVYEVACGAYHTALLTRKRRTVDPLESVCWTFGLGDNGQLGHGTTQSVLKPEPVKELPGQAYLISVDCGLFHTSVVSSVGDVWSWGMEKGLGLCPDASFTGTDAGDAASPLLMSGYGVDGPIFHNPIQVACGAAHTVLVANDGYKLWSWGRGTSGVLGNGKTINCFAPSFVLWPPLTEDFKQPEPKTVGEESNGSKAVAETDKRLSLAMEEIELLQSKLSIMEQYGGMLHGLVFGRPFTYQDIPISLQNSGNFDIAKEWESMLESADHSKLRRLELFYRDMRATIKDKIMKRRIQEIIKECLPSSTTAK >Potri.001G122600.1.v4.1 pep chromosome:Pop_tri_v4:1:10045004:10047336:-1 gene:Potri.001G122600.v4.1 transcript:Potri.001G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122600.v4.1 MSTLFLLLLICLSLHACNARFLRLTDKETKKQVLNKVLVQDVDKLNINRTTLAPSEMMPPVSEEQGAQGQEVVGRDETITRKISLLSATTMKKKDEEAFMKQEEGTKGATSGNKVIVSSQADLQQSSKIIIEGLKGRGRSMLGSTANDTEEAVRSEENDIAEDVAVMDYAQPHRKPPIHNKKP >Potri.002G176800.1.v4.1 pep chromosome:Pop_tri_v4:2:13749667:13752908:1 gene:Potri.002G176800.v4.1 transcript:Potri.002G176800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176800.v4.1 MTRLFRSKSCGLTEFNSFPPPTPFFHQYDTEGEYEEEEEEEEEGEDEEEELYSDASENPLSTPFIGSREGTGGNERGRNRNSNKEFAFLDIFVTALRKSLVTCSVERDDVSSSMDISWPTEVKHVSHVTFDRFNGFLGLPTELEPEVPRKVPSASANVFGVSAWSMQCSYDDKGNSVPTILLMMQKRLYVEGGLKAEGIFRINADNSQEAYVRNQLNKGVVPRGIDVHCLAGLIKAWFRELPSGVLDSLTPEQVMHCNTEDDCTQLVKQLPLTEAALLDWAINLMTDVVEHEQYNKMNVRNIAMVFAPNMTQMADPLTALIHAVQVMNLLKTLILKKFREREESSAKFRLLSACAASPSDKSDCHSNLNSKESCKISLNARAPEIPTTGKFSRSATVNRVESNAEEKYWSFQKKSDGEEEFKSASSSSPPFYEMDSLDSGCKGENDSGDWLSFSKGVRRLCRHPVFQLSKPVKKTRDPGIVNTRGGGGEAWT >Potri.010G062900.1.v4.1 pep chromosome:Pop_tri_v4:10:9222062:9225301:-1 gene:Potri.010G062900.v4.1 transcript:Potri.010G062900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062900.v4.1 MAMNITFQIGALAGTPIQAESITSASTGSDSSAAAAWKTPIPSIRCKIIKPETIEQKSQPTSPCRSPILSGSNGIRPDLSVACRAFATETLEFMTLDESKAAEEEKMYKEGKTEKEKGVPVYVMMPLDSVTMGNTLNRKKAMNASLQALKSAGVEGLMVDVWWGLVERDAPGVYNWGGYTELLEMAKRHGLKVQAVMSFHQCGGNVGDSCTIPLPKWAVEEIDKDQDLAYTDQWGRRNHEYISLGCDTLPVLKGRTPVQCYSDFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWRFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGEYNNWPEDTQFFRKEGGGWTSPYGEFFLTWYSQMLLDHGERILSSAKATFENIGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRFRDGYLPIARMLARHGAIFNFTCIEMRDHEQPQDALCAPEKLVRQVALATREADIPLAGENALPRYDEYAHEQILQASSLNIDESSDDKEMCAFTYLRMNPHLFQPDNWRRFVAFVKKMKEGKSTDRCWEEVEREAEHFVHVSQPLVQEAAVALMH >Potri.004G200300.2.v4.1 pep chromosome:Pop_tri_v4:4:21078042:21078398:1 gene:Potri.004G200300.v4.1 transcript:Potri.004G200300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200300.v4.1 MFHSFSKEMSRVGDSPMLDLELNLSPSRPNPLVESPKASISNSSSEILSAESSCVSSEPEDMTVNFPRSVVTTPMLVAGCPRCLMYVLLSEVDPKCPKCKSTVLLDFLSVENTKKTTS >Potri.004G200300.1.v4.1 pep chromosome:Pop_tri_v4:4:21077774:21078848:1 gene:Potri.004G200300.v4.1 transcript:Potri.004G200300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200300.v4.1 MSRVGDSPMLDLELNLSPSRPNPLVESPKASISNSSSEILSAESSCVSSEPEDMTVNFPRSVVTTPMLVAGCPRCLMYVLLSEVDPKCPKCKSTVLLDFLSVENTKKTTS >Potri.002G090200.1.v4.1 pep chromosome:Pop_tri_v4:2:6521203:6526335:1 gene:Potri.002G090200.v4.1 transcript:Potri.002G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090200.v4.1 MDLTQAAQSGLSLRFHSSSIPIQLQLLDQKQETHIDNEENQTEDEQFSILGHQVCIKRPRDNSSQSSSSSSTTSSSKRVAMDPGLESRRAMVRAWGNHRLPVADPEIHEIMEKEKQRQFKGIELIASENFVCRAVMEALGSHLTNKYSEGLPGSRYYTGNQYIDQIELICWSRALAAFGLDSDKWGVNVQPYSCTSANFSVFTGLLLPGDRIMGLDSPSGGHLSHGYYTPGGKNVSASSIFFESLPYKVNPQTGYIDYDKMEEKAMDFRPKILICGGSSYPREWDYARFRQVADKIGAVLMCDMAHISGLVAAKECVSPFEYCDIVTSTTHKSLRGPRGGIIFYRKGPKLRKQGMLLSHGDGISHYDFEEKINFAVHPSTQGGPHNNHIAALAIALKQVATPEYKAYMQQVRKNAQALASALLRRKCRLVTGGTDNHLLLWDLTTWGLTGKCYEKVCEMCHITLNKSAIFGDNGAICPGGVRIGAPAMTSRGCIEADFETIADFLLKAAQITTVVQREHGKKDFLKGLHNNRDIVELRNRVEIFASQFAMPGFDI >Potri.006G110200.1.v4.1 pep chromosome:Pop_tri_v4:6:8558539:8560800:-1 gene:Potri.006G110200.v4.1 transcript:Potri.006G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110200.v4.1 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYEATARSWTQKYAMG >Potri.005G232600.1.v4.1 pep chromosome:Pop_tri_v4:5:23150887:23156835:1 gene:Potri.005G232600.v4.1 transcript:Potri.005G232600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232600.v4.1 MGTSSVSKFLTLFLMVLIVGSKLIHCTVTYDKKAIIIDGQRRILISGSIHYPRSTPDMWEDLVQKAKDGGLDVIDTYVFWNVHEPSPGNYNFEGRFDLVRFIKTVQKGGLYVHLRIGPYVCAEWNFGGFPVWLKYVPGISFRTDNGPFKAAMQGFTQKIVQMMKDERLFQSQGGPIIFSQIENEYGPESRAFGAAGHSYINWAAQMAVGLKTGVPWVMCKEDDAPDPVINTCNGFYCDAFSPNKPYKPTMWTEAWSGWFTEFGGAFHHRPVQDLAFAVARFIQKGGSFVNYYMYHGGTNFGRSAGGPFITTSYDYDAPIDEYGLIREPKYGHLKELHRAIKLCEHELVSSDPTITLLGTYQQAHVFSSGKRSCSAFLANYHTQSAARVMFNNMHYVLPPWSISILPDCRNVVFNTAKVGVQTSHVQMLPTGSRFFSWESYDEDISSLGASSRMTALGLMEQINVTRDTTDYLWYITSVNINPSESFLRGGQWPTLTVESAGHALHVFINGQFSGSAFGTRENREFTFTGPVNLRAGTNRIALLSIAVGLPNVGVHYETWKTGILGPVMLHGLNQGNKDLTWQQWSYQVGLKGEAMNLVSPNRASSVDWIQGSLATRQQPLKWYKAYFDAPGGNEPLALDMRSMGKGQVWINGQSIGRYWLSYAKGDCSSCGYSGTFRPPKCQLGCGQPTQRWYHVPRSWLKPKQNLLVIFEELGGDASKISLVKRSTTSVCADAFEHHPTIENYNTESNGESERNLHQAKVHLRCAPGQSISAINFASFGTPTGTCGSFQEGTCHAPNSHSVVEKKCIGRESCMVAISNSNFGADPCPSKLKKLSVEAVCSTVSDTTQPNTRR >Potri.010G138600.4.v4.1 pep chromosome:Pop_tri_v4:10:15280837:15284571:1 gene:Potri.010G138600.v4.1 transcript:Potri.010G138600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138600.v4.1 MEVNMSFSNDMDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGILLEVVQVLTDLNLIITKAYISSDGGWFMDVFNVTDPDGNKVTDEAILDYITKSLGPESCFTSSMRSVGVKQSMDHTAIELTGSDRPGLLSEVSAVLTHLKCNVVNAEVWTHNMRAAAVMQVTDEETGSAIIDPEKLSRIKELLCNVLKGSNKSRGAKTVVSHGVTHTERRLHQMMFADRDYERANNDELDEKQRPNVSVVNWCEKDYSVVTITSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHIDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQAIGQTILKVKSSPEEQKPVSQESPTRFLFGGLFKSRSFVNFGLVRSYS >Potri.010G138600.5.v4.1 pep chromosome:Pop_tri_v4:10:15279370:15284463:1 gene:Potri.010G138600.v4.1 transcript:Potri.010G138600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138600.v4.1 MSFSNDMDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGILLEVVQVLTDLNLIITKAYISSDGGWFMDVFNVTDPDGNKVTDEAILDYITKSLGPESCFTSSMRSVGVKQSMDHTAIELTGSDRPGLLSEVSAVLTHLKCNVVNAEVWTHNMRAAAVMQVTDEETGSAIIDPEKLSRIKELLCNVLKGSNKSRGAKTVVSHGVTHTERRLHQMMFADRDYERANNDELDEKQRPNVSVVNWCEKDYSVVTITSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHIDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQAIGQTILKVKSSPEEQKPVSQESPTRFLFGGLFKSRSFVNFGLVRSYS >Potri.010G138600.1.v4.1 pep chromosome:Pop_tri_v4:10:15280932:15284462:1 gene:Potri.010G138600.v4.1 transcript:Potri.010G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138600.v4.1 MEVNMSFSNDMDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGILLEVVQVLTDLNLIITKAYISSDGGWFMDVFNVTDPDGNKVTDEAILDYITKSLGPESCFTSSMRSVGVKQSMDHTAIELTGSDRPGLLSEVSAVLTHLKCNVVNAEVWTHNMRAAAVMQVTDEETGSAIIDPEKLSRIKELLCNVLKGSNKSRGAKTVVSHGVTHTERRLHQMMFADRDYERANNDELDEKQRPNVSVVNWCEKDYSVVTITSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHIDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTTDRVGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQAIGQTILKVKSSPEEQKPVSQESPTRFLFGGLFKSRSFVNFGLVRSYS >Potri.002G208400.1.v4.1 pep chromosome:Pop_tri_v4:2:18380001:18380735:-1 gene:Potri.002G208400.v4.1 transcript:Potri.002G208400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX25 MDKVMGLASEKGVVIFSKSSCCLCYAVKILFQEIGVDPLVYEIDQDPEGREMEKALTRLGCNAPVPAVFIGGKLMGSTNEVMSLHLSGSLIPMLKPYQN >Potri.017G073200.2.v4.1 pep chromosome:Pop_tri_v4:17:8023879:8032769:1 gene:Potri.017G073200.v4.1 transcript:Potri.017G073200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G073200.v4.1 MESGGETVTQVEQWSVEDRVFRIYNLFANIPPVAQTTMLELQRDEHIIYLNEGLKQLGPSFVALDSSRPWLCYWIIHSMALLGESLDYQLENNAIDFLNRCQDPNGGFGGGPGQMPHLATTYAAVNSIVTLGGQKALSSINRDKLYNFLLRMKDPSGAFRMHDAGEIDVRACYTAISVASILNILDDELVRGVGNFILSCQTYEGGIAGEPGSEAHGGYTFCGLATMILINEVNHLDLAGLIDWVVFRQGVECGFQGRANKLVDGCYSFWQGGVLALLQRIDLVTGDRLSLFDSGEEDSTGNSTSEGEDTDGISSAAEETCHFKNGEQQDTSCSVNDTSSSHTRSLGNVELEPLFHSLALQQYILLCSQLENGGFRDKPGKPRDFYHTCYCLSGLSVCQHSCSKDYDSPSLPGQVLGPYSNLLEPVHPLYNVVLKQYREVREFFSRS >Potri.008G102800.5.v4.1 pep chromosome:Pop_tri_v4:8:6493728:6498993:-1 gene:Potri.008G102800.v4.1 transcript:Potri.008G102800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102800.v4.1 MAIRQDTVIAIRKNHVQKVLRIANVNDKYTECTYPVDPNQAIDLKNHKWGHYFICGYKGFYEFAKSKGVDMGDAVGLDVIVDGTVPTGSGLSSSAAFVCSATIAIMAAFDVNFPKKEIAQLTCECERHIGTQSGGMDQAISVMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESQKAVTAATNYNNRVVECRLASIVLGIKLGMKQQDAISNVKTLSDVEGLCVSFANSRGSSDPVIAVKELLKDKPYTTEEIEAITGESLQSIFKNSPSSLDVLKAAKHFKLHHRAAHVYSEAKRVHAFKDAVSSDLSDEDKLKKLGELMNESHYSCSVLYECSCPELEELVKICRDNDALGARLTGAGWGGCAVALVKEATVPQFILNLKEKFYQSRIDKGVISKNDLGLYVFASKPSSGAAIFKF >Potri.008G102800.1.v4.1 pep chromosome:Pop_tri_v4:8:6493764:6499761:-1 gene:Potri.008G102800.v4.1 transcript:Potri.008G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102800.v4.1 MAKHEETPIPCFSSLEAVYGDGSQLEEAKLRFDHLNSKFLQVFGHPPDVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTVIAIRKNHVQKVLRIANVNDKYTECTYPVDPNQAIDLKNHKWGHYFICGYKGFYEFAKSKGVDMGDAVGLDVIVDGTVPTGSGLSSSAAFVCSATIAIMAAFDVNFPKKEIAQLTCECERHIGTQSGGMDQAISVMAKTGFAELIDFNPIRATDVQLPAGGTFVIAHSLAESQKAVTAATNYNNRVVECRLASIVLGIKLGMKQQDAISNVKTLSDVEGLCVSFANSRGSSDPVIAVKELLKDKPYTTEEIEAITGESLQSIFKNSPSSLDVLKAAKHFKLHHRAAHVYSEAKRVHAFKDAVSSDLSDEDKLKKLGELMNESHYSCSVLYECSCPELEELVKICRDNDALGARLTGAGWGGCAVALVKEATVPQFILNLKEKFYQSRIDKGVISKNDLGLYVFASKPSSGAAIFKF >Potri.008G035900.1.v4.1 pep chromosome:Pop_tri_v4:8:1970981:1971148:-1 gene:Potri.008G035900.v4.1 transcript:Potri.008G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035900.v4.1 MKQQENTGFCSKHVRDPCRSFGRRCSSLVKEQRARFYILRRCVTMLVCWHDYGEP >Potri.014G019300.1.v4.1 pep chromosome:Pop_tri_v4:14:1174080:1175742:-1 gene:Potri.014G019300.v4.1 transcript:Potri.014G019300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019300.v4.1 MSCWWAGALGNNRKKFYDDDEASRDYKSVALVVGVTGIVGNSLAEILPLADTPGGPWKVYGVARGKRPNWNEDNPVEYIQCDISDRNQTQSKLSLLTDVTHIFYVTWANRETESENCKINGLMFRNVLEAVILNAHNLRHICLQTGTKHYVGPFQFFGKIEAHDPPFTEDLPRLEFPNFYYTLEDILFQEVAKKEGLTWSVHRPDNIFGFSPHSLMSIVRTLCVYAAICKHEGTLMRFPGVKEVWNCYAIASDADLIAEHEIWACVDPSAKNEAFNIHNGDVFKWKHLWKVLGEQFGLEEYGFVETEERISLAETMKEKGAVWEEIVRENQLLPTKLEEVGAWWFVDLIFGGEVSIPSMNKSKEHGFLGFRNSKKSFISWIEKMKASKVVP >Potri.015G018900.1.v4.1 pep chromosome:Pop_tri_v4:15:1313591:1318903:1 gene:Potri.015G018900.v4.1 transcript:Potri.015G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018900.v4.1 MEGGAGRGLECQKTMDGKVNNGNSSEKAIPSCCLKAKASAPELDAKCHSTVVSGWFSESHSCSGKASKRVYFNNPMWPGEAHTLEVKEIFYQGKSEYQEILVFESTSFGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLEELSRHSSVDLIDICEIDQMVIDVSKKFFPQLSVGFEDPRVRLHVGDAVEFLRSAPEGKDDAIIVDSLDPIGPAQELVEKPFFESIARALRPGGVLCNMAESTWLHTHLIQDMISICCETFKGSVRYAWASVPTYPSGVIGFVLCSTEGPPVDFLNPVNPIKKLEGATKHKKELKYYNSEVHSAAFALPRFLKREVSLLQDQGR >Potri.015G018900.3.v4.1 pep chromosome:Pop_tri_v4:15:1313592:1318935:1 gene:Potri.015G018900.v4.1 transcript:Potri.015G018900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018900.v4.1 MEGGAGRGLECQKTMDGKVNNGNSSEKAIPSCCLKAKASAPELDAKCHSTVVSGWFSESHSCSGKASKRVYFNNPMWPGEAHTLEVKEIFYQGKSEYQEILVFESTSFGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLEELSRHSSVDLIDICEIDQMVIDVSKKFFPQLSVGFEDPRVRLHVGDAVEFLRSAPEGKDDAIIVDSLDPIGPAQELVEKPFFESIARALRPGGVLCNMAESTWLHTHLIQDMISICCETFKGSVRYAWASVPTYPSGVIGFVLCSTEGPPVDFLNPVNPIKKLEGATKHKKELKYYNSEVHSAAFALPRFLKREVSLLQDQGR >Potri.015G018900.2.v4.1 pep chromosome:Pop_tri_v4:15:1313591:1318936:1 gene:Potri.015G018900.v4.1 transcript:Potri.015G018900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018900.v4.1 MQNATLQLFLGKASKRVYFNNPMWPGEAHTLEVKEIFYQGKSEYQEILVFESTSFGKVLVLDGIVQLTEKDECAYQEMITHLPLCSIPSPKTVLVVGGGDGGVLEELSRHSSVDLIDICEIDQMVIDVSKKFFPQLSVGFEDPRVRLHVGDAVEFLRSAPEGKDDAIIVDSLDPIGPAQELVEKPFFESIARALRPGGVLCNMAESTWLHTHLIQDMISICCETFKGSVRYAWASVPTYPSGVIGFVLCSTEGPPVDFLNPVNPIKKLEGATKHKKELKYYNSEVHSAAFALPRFLKREVSLLQDQGR >Potri.019G129400.1.v4.1 pep chromosome:Pop_tri_v4:19:15202938:15206244:-1 gene:Potri.019G129400.v4.1 transcript:Potri.019G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129400.v4.1 MTSLLHKPFFSIFLHVLIFLLLHMFYSSSFFVLADHTSSKTSIFGTATSAANSKVAGGNIKETEALLKWKASLDNQSQSLLSSWVGTSPCINWIGITCDGSGSVANLTFPNFGLRGTLYDFNFSSFPNLSILDLSNNSIHGTIPSHIGNLSKITQLGLCYNDLTGSIPSEIGSLKSITDLVLCRNLLSGSIPHEIGKLTSLSRLSLAVNNLTGSIPSSIGNLKKLSILFLWGNNLSGHIPSEIGQLKSLVSMSLANNKLHGPLPLEMNNLTHLKQLHVSENEFTGHLPQEVCHGGVLENLTAANNYFSGSIPESLKNCTSLHRLRLDGNQLTGNISEDFGIYPHLDYVDLSYNNFYGELSLKWEDYCNITSLKISNNNVAGEIPAELGKATQLQLIDLSSNHLEGTIPKELGGLKLLYSLTLSNNHLSGAIPSDIKMLSSLKILDLASNNLSGSIPKQLGECSNLLLLNLSNNKFTKSIPQEIGFLRSLQDLVLSCNFLAREIPWQLGQLQMLETLNVSHNVLSGLIPSSFKQLLSLTAVDISYNELQGPIPDIKAFLNAPFEAYRDNMGVCGNASGLKPCNLPKSSRTLKRKGNKLVILIVLPLLGSLLLVFVLIGAFFILHQRARKRKAEPGNIEQDRNLFTVLGHDGKLLYENIIAATEEFNSNYCIGEGGYGIVYKAVMPPERVVAVKKLHQSQTDKLSNFKAFETEVRVLANIRHRNIVKLYGFCSHAKHSFLVYELIERGSLRKIITSEEQAIELDWMKRLNVVKGMAGALSYLHHSCSPPIIHRDITSNNILLDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMMGRHPGDLISTISSQASSSSSSKPPISQQTLLKDVLDQRISLPKKGAAEGVVHIMKIALACLHPNPQSRPTMGRISSELATKWPSLPKEFDTLSLEDLFSHTVSVVD >Potri.004G017600.1.v4.1 pep chromosome:Pop_tri_v4:4:1251232:1252966:-1 gene:Potri.004G017600.v4.1 transcript:Potri.004G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017600.v4.1 MEVQLISRKLIKPSVQTPPHLQNLNISFLDQLAPSLNVPNIFYYTNNHHVNNIEDLEKSLSEILTLFYPLAGRYIEDNLSVDCNDEGVEFLEAKVDGVDLTQIIQQDPNSNLDLLDHFVPCVTESDTSRLLAIQINKFKCGGLAIGLLTSHRIADIPTISTFINAWATTFRERGISDQVRRPRFDCPFLFPQRDLRLNFRPAPKVQPKIVTKVFVFNKEAIDKLKSKIGGGTDSGVKYHHSRLEVVTALIWKALIGSVKAQHGQLRASSVRQIMTLRGKVSMPLPENACGNMYMPFISRFNANGESNRLELSDIASLLRDAKRKAISDCANAVNSDDVFSMVTKYHKELFEELNKGEVDSFKFSSWCRLGMEEANFGWGKPAWMSAMNFPIDVSILKDDKFGDGIEAWMTLKEIDMLHFQQDPDILALPSSPE >Potri.005G213650.1.v4.1 pep chromosome:Pop_tri_v4:5:21711703:21712002:-1 gene:Potri.005G213650.v4.1 transcript:Potri.005G213650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213650.v4.1 MCQIKSDLDYTRVTHPSYSYCNINNDVKLKETYQGTAHEFFLQSRLSLLVCFEVGMTRCVFKTFDIEGSSVWKIIGIFFFLEVCHHISRKVNYISEKEA >Potri.014G082100.1.v4.1 pep chromosome:Pop_tri_v4:14:5291490:5296803:-1 gene:Potri.014G082100.v4.1 transcript:Potri.014G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082100.v4.1 MDRRDAMAISGSASFYMHRGITSSGSMNVSSNINTLSNTNVAFQPNIGANTMGSTLPMEHPVAISPHGVNVGVPSTMPPSGEPVKRKRGRPRKYGPDGAVSLALSSSLSTHPGTITPSQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLRQPSTSGGTVTYEGRFEILCLSGSYLLTNDGGSRNRSGGLSVSLASPDGRVIGGGVGGVLIAASPVQVIVGSFLWGGGSKTKNKKVEGPEGARDSDHQTVENPVTPTSVQPSQNLTPTSSMGVWPGSRPVDMRSTHVDIDLMRG >Potri.014G082100.4.v4.1 pep chromosome:Pop_tri_v4:14:5294682:5296643:-1 gene:Potri.014G082100.v4.1 transcript:Potri.014G082100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082100.v4.1 MDRRDAMAISGSASFYMHRGITSSGSMNVSSNINTLSNTNVAFQPNIGANTMGSTLPMEHPVAISPHGVNVGVPSTMPPSGEPVKRKRGRPRKYGPDGAVSLALSSSLSTHPGTITPSQKRGRGRPPGTGRKQQLASLGKISL >Potri.014G082100.3.v4.1 pep chromosome:Pop_tri_v4:14:5293942:5295589:-1 gene:Potri.014G082100.v4.1 transcript:Potri.014G082100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082100.v4.1 MDRRDAMAISGSASFYMHRGITSSGSMNVSSNINTLSNTNVAFQPNIGANTMGSTLPMEHPVAISPHGVNVGVPSTMPPSGEPVKRKRGRPRKYGPDGAVSLALSSSLSTHPGTITPSQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEVCCFLFNNLFFFFFFCLPCSIYLKRAKSYSNTLFPL >Potri.014G082100.2.v4.1 pep chromosome:Pop_tri_v4:14:5293287:5295589:-1 gene:Potri.014G082100.v4.1 transcript:Potri.014G082100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082100.v4.1 MDRRDAMAISGSASFYMHRGITSSGSMNVSSNINTLSNTNVAFQPNIGANTMGSTLPMEHPVAISPHGVNVGVPSTMPPSGEPVKRKRGRPRKYGPDGAVSLALSSSLSTHPGTITPSQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAVCILSANGAVSTVTLRQPSTSGGTVTYEV >Potri.006G204700.1.v4.1 pep chromosome:Pop_tri_v4:6:21284903:21287296:-1 gene:Potri.006G204700.v4.1 transcript:Potri.006G204700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204700.v4.1 MASSRFLLLLSFLILISSSSSTPTPTRTPTSTITIPLSAPSSTKLIVSSKNPWGALNHLASLSLSRAHHIKSPKTKFSLLKTPLFPRSYGGYSISLNFGTPPQTTKFVMDTGSSLVWFPCTSRYLCSRCDFPNIEVTGIPTFIPKQSSSSNLIGCKNHKCSWLFGPKVQSKCQECDPTTQNCTQSCPPYVIQYGLGSTAGLLLSETLDFPHKKTIPGFLVGCSLFSIRQPEGIAGFGRSPESLPSQLGLKKFSYCLVSHAFDDTPASSDLVLDTGSGSDDTKTPGLSYTPFQKNPTAAFRDYYYVLLRNIVIGDTHVKVPYKFLVPGSDGNGGTIVDSGTTFTFMEKPVYELVAKEFEKQVAHYTVATEVQNQTGLRPCFNISGEKSVSVPEFIFHFKGGAKMALPLANYFSFVDSGVICLTIVSDNMSGSGIGGGPAIILGNYQQRNFHVEFDLKNERFGFKQQNCVS >Potri.014G077000.1.v4.1 pep chromosome:Pop_tri_v4:14:4976429:4977605:1 gene:Potri.014G077000.v4.1 transcript:Potri.014G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077000.v4.1 MNTTPPPAPLPDLILSLEQAALMAKQLPSTTDPNHLLQIYSSLHQAHHHLSSILSQNQLPSFPLPPPPPPQENSLSSATGADENGNEPMQVGDDDDYEENSNKVVSIDKVEVRMRDCFIKNKRPKRPLSPSTVAVAEERRLSDDGFRGGIMGFDPHGTRLRALDLIYQFHG >Potri.013G110800.1.v4.1 pep chromosome:Pop_tri_v4:13:11897314:11904542:-1 gene:Potri.013G110800.v4.1 transcript:Potri.013G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110800.v4.1 MDGTKEMGGLRNVSSVCSISEMDDFDLSRLLDKPKLNIERQRSFDERSLSELSIGLARGIDTFETTYSPGGRSGFNTPASSTRNSFEPHPMVADAWEALRRSLVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKHFLLKTLYLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKTDSLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAERPECQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRSASSMLKHDQEGNEFIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPIRGGYFIGNVSPARMDFRWFALGNCIAILSSLATHEQAMAIMDLIEARWEELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARKAIDLAETRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQMKPVLRRSSSWTC >Potri.013G110800.3.v4.1 pep chromosome:Pop_tri_v4:13:11897370:11904543:-1 gene:Potri.013G110800.v4.1 transcript:Potri.013G110800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110800.v4.1 MDGTKEMGGLRNVSSVCSISEMDDFDLSRLLDKPKLNIERQRSFDERSLSELSIGLARGIDTFETTYSPGGRSGFNTPASSTRNSFEPHPMVADAWEALRRSLVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKHFLLKTLYLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKTDSLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAERPECQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRSASSMLKHDQEGNEFIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPIRGGYFIGNVSPARMDFRWFALGNCIAILSSLATHEQAMAIMDLIEARWEELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPGLFLVLSV >Potri.013G110800.2.v4.1 pep chromosome:Pop_tri_v4:13:11897383:11904442:-1 gene:Potri.013G110800.v4.1 transcript:Potri.013G110800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110800.v4.1 MDGTKEMGGLRNVSSVCSISEMDDFDLSRLLDKPKLNIERQRSFDERSLSELSIGLARGIDTFETTYSPGGRSGFNTPASSTRNSFEPHPMVADAWEALRRSLVYFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPDIVKHFLLKTLYLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKTDSLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAERPECQKGMKLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRSASSMLKHDQEGNEFIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPIRGGYFIGNVSPARMDFRWFALGNCIAILSSLATHEQAMAIMDLIEARWEELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARKAIDLAETRLLKDGWPEYYDGKLGRYVGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDRQMKPVLRRSSSWTC >Potri.004G013900.8.v4.1 pep chromosome:Pop_tri_v4:4:862186:867504:-1 gene:Potri.004G013900.v4.1 transcript:Potri.004G013900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013900.v4.1 MKLAAAKAEQQSLLRGDIASGNLKRKYFMVIGINTAFSSRKRRDSVRTTWMPQGEARKKLEKEKGIVIRFVIGHSSTAGGILDKAIEAEEMVHGDFLRLEHVEGYLELSAKTKTYFSTAVALWDADFYIKVDDDVHVNLATLGTILAGHRKKRRVYVGCMKSGPVLSKRGVKYYEPEYWKFGEAGNRYFRHATGQLYAISKDLATYISENQHILHKYVNEDVSLGSWFIGLDVEHVDDKRICCGTPPDCEWKAQLGSVCAASFDWKCSGICRSVERMVEVHRTCGEDVNALEHASF >Potri.004G013900.2.v4.1 pep chromosome:Pop_tri_v4:4:862186:867504:-1 gene:Potri.004G013900.v4.1 transcript:Potri.004G013900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013900.v4.1 MSVKGRVGVGGGEMGSRNAVVSRNLALLLCFGSFSAGILFTNRIWTEPERTNLESENCNQKLKVENHTSINSLGQISNTQYDISALDSKISNIEMKLAAAKAEQQSLLRGDIASGNLKRKYFMVIGINTAFSSRKRRDSVRTTWMPQGEARKKLEKEKGIVIRFVIGHSSTAGGILDKAIEAEEMVHGDFLRLEHVEGYLELSAKTKTYFSTAVALWDADFYIKVDDDVHVNLATLGTILAGHRKKRRVYVGCMKSGPVLSKRGVKYYEPEYWKFGEAGNRYFRHATGQLYAISKDLATYISENQHILHKYVNEDVSLGSWFIGLDVEHVDDKRICCGTPPDCEWKAQLGSVCAASFDWKCSGICRSVERMVEVHRTCGEDVNALEHASF >Potri.004G013900.5.v4.1 pep chromosome:Pop_tri_v4:4:862186:867504:-1 gene:Potri.004G013900.v4.1 transcript:Potri.004G013900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013900.v4.1 MLNSKTKMSVKGRVGVGGGEMGSRNAVVSRNLALLLCFGSFSAGILFTNRIWTEPERTNLESENCNQKLKVENHTSINSLGQISNTQYDISALDSKISNIEMKLAAAKAEQQSLLRGDIASGNLKRKYFMVIGINTAFSSRKRRDSVRTTWMPQGEARKKLEKEKGIVIRFVIGHSSTAGGILDKAIEAEEMVHGDFLRLEHVEGYLELSAKTKTYFSTAVALWDADFYIKVDDDVHVNLATLGTILAGHRKKRRVYVGCMKSGPVLSKRGVKYYEPEYWKFGEAGNRYFRHATGQLYAISKDLATYISENQHILHKYVNEDVSLGSWFIGLDVEHVDDKRICCGTPPDCEWKAQLGSVCAASFDWKCSGICRSVERMVEVHRTCGEDVNALEHASF >Potri.008G222000.1.v4.1 pep chromosome:Pop_tri_v4:8:18583483:18586480:-1 gene:Potri.008G222000.v4.1 transcript:Potri.008G222000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G222000.v4.1 MPLLHRPAMAGTPCATFTFLSISTISIPDANPHRFRLKPLLCSTTSTSFPSKRIKRKNHLRPKILKTLTKPFPTAPLPQIETTPIQNDTFYDTPLKETLSSEELEADTVDEFNVSETVSSAVEHSGSVGKLSVKSVLKYSGYFLGVLLFQTICAVWLFGNTDSDGKERNFNEKGNVLLDVNGNEVYVNESELEEKISEIKVMAREARKRERRELIEGDKGSELEKEIGARLVKLEKRLNSKREKLPDSFMEYLGLFGDFEDGYGEDASDSKEENKTLTFKKKLRFKSPSMDARSAPKGFSGLKDDSGSNISDLNGVSRKTDVRYLKKDTGGKHGNVQLNSVKNEGNKFEKKRANLRKEMGSGTVQKIREGRSSNEVPDAGKSRDLETLNSESSTKENQETTIKVERPAATSSRNGSRDPGKRPLANKFGDKQSDVQKDLWWSNLPYVLAILMRRGSEHEESGGLYALRVASQADQHGDFSYTIAFEDRGDANNFCYLLESFFEDLGDFSADIVPLQIKELHDAVKSHSKKVIVVKRGQLKLYAGQPFSEVETALYSLLEQD >Potri.T013000.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:258105:261231:-1 gene:Potri.T013000.v4.1 transcript:Potri.T013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013000.v4.1 MNTYTSNSTSFMNNKSRRVKSSGWAAFDLKQRQKDGEVDGKDPFPAIGDLPVTGGLRRNDDVGGLSSKSFSSVLQPPASAGFPALKTQNVNNLTAKVADFSAGYRVSDKVIEEKNGGSVLLDLQRLKEIHGWADFSLIEDVMVSVDNDAEKACVLLNGMVSNADFDEDEGAKFNSGFNKSLADDIADLSSTLEDALKDNDHNNDNNSIELREDVGVSSSVDAAANMKLILGHLKSIPVEPEWEEDDVYLSHRKNALRMMRLASQHSRAATNAFLRRDHFSAQQHSLRAREKWSAAEQLNAKAAKEILSIRNSDNDPWKLDLHGLHAAEAGQALQEHLLKIETLVPNNRSISPCRIKTKNGILHSSPFDAFSTVDAENLDKQQATFRQRPTSLQVITGVGNHSRGQAALPTAVKSFLNDNGYRFDETRPGVITVRPKFRHR >Potri.001G256700.2.v4.1 pep chromosome:Pop_tri_v4:1:27270663:27275754:-1 gene:Potri.001G256700.v4.1 transcript:Potri.001G256700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256700.v4.1 MDKVSTITTLTSRRPKWQYPPAQPTPRILHLPRRPRRKQVPKSNASKPSSQRDRKGKLEVLFDQERGFARGAMPILMVGGIRGDQCLEEERRERVEERESVVMEEEKWRFQAEMLRAECNLLRMEREIAVKKMERRRVQMERILRSAVRALLSVRKGICDGKDVRMVLDEEIQELIEKLERLQRRSGVKDLEGRKCSNLDRQVSILRRRLEKFGGESDGICVKEIQEMAEASLSIKTNCSVYETSASNHSCNQMEMLRRKMEGLSNGSLLERMEDEYGSMLSTASSSATNSASTSKRKEFPDMPSSSTRQPCKETKPGEEKACSGRCKVILRRVIEQVRAETEQWSQMQGILGQVRKEMEELQASRDFWEDRALDSDFEIQSLNSAMQEWRQKALSSEAKENELQNQVAVLHVELERLRKASAKETSRSKNLPSVSLDAPNETEKRVLVCRLKENCNTNDDCRKQKAAFSDGRRKPHACTGGLDAPKRSPFGDIGNSSPLARQNSRAVFPLHYLAQEKFNF >Potri.001G256700.1.v4.1 pep chromosome:Pop_tri_v4:1:27270645:27275651:-1 gene:Potri.001G256700.v4.1 transcript:Potri.001G256700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256700.v4.1 MDKVSTITTLTSRRPKWQYPPAQPTPRILHLPRRPRRKQVPKSNASKPSSQRDRKGKLEVLFDQERGFARGAMPILMVGGIRGDQCLEEERRERVEERESVVMEEEKWRFQAEMLRAECNLLRMEREIAVKKMERRRVQMERILRSAVRALLSVRKGICDGKDVRMVLDEEIQELIEKLERLQRRSGVKDLEGRKCSNLDRQVSILRRRLEKFGGESDGICVKEIQEMAEASLSIKTNCSVYETSASNHSCNMEMLRRKMEGLSNGSLLERMEDEYGSMLSTASSSATNSASTSKRKEFPDMPSSSTRQPCKETKPGEEKACSGRCKVILRRVIEQVRAETEQWSQMQGILGQVRKEMEELQASRDFWEDRALDSDFEIQSLNSAMQEWRQKALSSEAKENELQNQVAVLHVELERLRKASAKETSRSKNLPSVSLDAPNETEKRVLVCRLKENCNTNDDCRKQKAAFSDGRRKPHACTGGLDAPKRSPFGDIGNSSPLARQNSRAVFPLHYLAQEKFNF >Potri.010G174400.1.v4.1 pep chromosome:Pop_tri_v4:10:17511064:17517794:1 gene:Potri.010G174400.v4.1 transcript:Potri.010G174400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174400.v4.1 MADSTPLLLSRPDPSNESKQPPSLDETIERCIGEFGLAQLLQAILVSFAWVFDAQQTFISVFTDAEPKWHCTSDSCTSVSDICHLPQNSWAWDSPKDTSIISEWGLECASSIIKGLPASSFFMGCLIGGLMLATLADSLLGRKNLLFLSCLMMSFSGLFTVFSNNIWIYSALKFVNGVGRATIGTCALVLSTELVGKRWRSQVGVIGFFCFTLGFLTLPAFAYMNRGSSWRTLYLWTSVPTIFYSILVHFLVRESPRWLFVRGRKEEAVTILKSIATAGSSGILMSFSNLEFGKESWNVDTYSAIKILLKKKWACRRLLTVMAVVFGIGMVYYGMPLGLGNLSFNLYLSVTFNALSELPASLVTFFLVDKLNRKDSLLVFTTLSGVCSILSVVMGETSANLQMGLELVSFFCACTAFNISLIHTLELFPTCVRNSAISMARQSLVFGGMFSPVLVAVGSKNRFLSYGIFGMVIGICGLFVIFLPETRGRTICDTMDEEEYNSTNNDLPVLG >Potri.004G070000.1.v4.1 pep chromosome:Pop_tri_v4:4:5939148:5941371:-1 gene:Potri.004G070000.v4.1 transcript:Potri.004G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G070000.v4.1 MEEAIVLYPSPPIGHLISMVELGKLLLTQKPSLSIHILITSVPYDSGSTAPYIANVAATIPSIKFHHLPTVTLPSTKTTHHEELTFEVLRLSNPHVREQLLSISKNYTIHGLVVDAFCCAALSVAKELNIPGYHFSSSGAGVLALFLYFPTIHNTTTKSLKDLKSLLHIPGVPPIPSSDMPIPVLDRDDKSYENFLDSSSSFPESAGIVVNTFASLEARAVKTLSEGLCVPNNRTPPIYCIGPLIATEGPKDDAGTRNGTTLECLTWLDSQPVGSVVFLCFGSLGLFSKEQLREIAFGLERSRHRFLWVVRNPPSDKKSVALSARPNIDLDSLLPEGFLDRTKERGLVLKSWAPQVAVLNHPSVGGFVSHCGWNSVLEAVCAGVPLVAWPLYAEQRLNRIFLVEEMKLALPMNESDNGFVSSAEVEERVLGLMESEEGKLIRERAIAMKIAAQAALKEGGSSRVALSQLVESYKEITTTPSHAR >Potri.003G117800.1.v4.1 pep chromosome:Pop_tri_v4:3:13984170:13989930:1 gene:Potri.003G117800.v4.1 transcript:Potri.003G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117800.v4.1 MEMEEEMEVEFMVFEAREVDLDYEFDAAMYFDFTLQESIAEAREAERWFDTALSYPPSPFVAKLVIGEDCLLENVNTSPKSKDDENMASLLNDDMGPKVSAIEVDDRECERTYRGIFTNIQSGNLQKVSNQRVELATGLTFCHQKSTDKSKPKVKSSVKPTFPRSSTLMKPTASQLAKQNQTPQVGGSRFQSQLGQKERGLCNSSAVDSHSAKRQKLEGGHLRKIGDGKQQTDFIHKTSKKDGSVDKISAHAKLRLTVPREPDLETAHRAQRTRPKDSIEQQHMTAPARRFKARPLNRKILEAPSLPLPKKSTPKLPEFQEFHLKTLERAMQHTSSVPSSLFQCNDLDKGLDKPSTISVAENVKTESRRPTTMDAPKQDGCCATHIFKARPLNKKIFSSKGEMGVFRNSKRETTVPMEFNFHTEKRFQHNPPIDLFSKLSLTSELQPNNRSQLQFPQPSNIYMKGSKENRLNPLQTGQKMLKERQPIFGGRQQIQYGTNTGSTNEVVNQLSRRNLGIR >Potri.010G078500.1.v4.1 pep chromosome:Pop_tri_v4:10:10504565:10508209:-1 gene:Potri.010G078500.v4.1 transcript:Potri.010G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078500.v4.1 MAHGGYGKRRVAERKPPVGRRSKGLGGDKKPKQKPKSVSLKNQIRSVERMLRKKELPPEVREAQEKRLEELKKQQEIHTRLALERKIFLRDRKIKFFERRKIERRTRRLEKLQRASSGQAAQDVDLADQLSKLKEDLEYVRFFPKTEKYVALFTGGEDPEIVDRRNRLRKQIKSNLIAAAASGKDLEETGSEDDGLLDLSEDDFFLTGTSSDEADADDEWTDKSTREPASSASGKAASGMSSDERNQTAARALMPPPRPSNNLHANSVHAQSKFGASSSKNSWKQRAEISTSSNTSSSRSRASFRAGGSSSTRTGHSSNLSSNLSSNSDAHKPRRKRRPKRKRQQA >Potri.010G078500.2.v4.1 pep chromosome:Pop_tri_v4:10:10504589:10508163:-1 gene:Potri.010G078500.v4.1 transcript:Potri.010G078500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078500.v4.1 MAHGGYGKRRVAERKPPVGRRSKGLGGDKKPKQKPKSVSLKNQIRSVERMLRKELPPEVREAQEKRLEELKKQQEIHTRLALERKIFLRDRKIKFFERRKIERRTRRLEKLQRASSGQAAQDVDLADQLSKLKEDLEYVRFFPKTEKYVALFTGGEDPEIVDRRNRLRKQIKSNLIAAAASGKDLEETGSEDDGLLDLSEDDFFLTGTSSDEADADDEWTDKSTREPASSASGKAASGMSSDERNQTAARALMPPPRPSNNLHANSVHAQSKFGASSSKNSWKQRAEISTSSNTSSSRSRASFRAGGSSSTRTGHSSNLSSNLSSNSDAHKPRRKRRPKRKRQQA >Potri.009G152800.9.v4.1 pep chromosome:Pop_tri_v4:9:12005984:12011910:1 gene:Potri.009G152800.v4.1 transcript:Potri.009G152800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152800.v4.1 MASHVVGYPRMGPKRELKFALESFWDGKSSAEDLQNVAAELRSSIWKQMSDAGIKFIPSNTFSCYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVIEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYQEVVAELKAAGATWIQFDEPKLVMDLGAHELQAFTHAYSALEASLSGLNVLIETYFADVPVEAYKTLISLKCVTGFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLSVLHALEGIVGKDKLVVSTSCSLLHTAVDLVNEPKLDKEIKSWLAFAAQKVVEVNALAKALSGQKDGAFFSANAAAQASRKSSPRVTNEAVQQAAAALKGSDHRRATNVSDRLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREYKAKKVSEEDYVEAIKKEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSLAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVEDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSEEEIADRVEKMLAVLECNILWVNPDCGLKTRKYAEVKPALSNMVAAAKRLRTKFASSQ >Potri.009G152800.5.v4.1 pep chromosome:Pop_tri_v4:9:12005896:12012152:1 gene:Potri.009G152800.v4.1 transcript:Potri.009G152800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152800.v4.1 MNQVSQHILAPFTSLRVISVGSLSFPSSFTPTNSNSPPFLLRYFSVRAMASHVVGYPRMGPKRELKFALESFWDGKSSAEDLQNVAAELRSSIWKQMSDAGIKFIPSNTFSCYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVIEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYQEVVAELKAAGATWIQFDEPKLVMDLGAHELQAFTHAYSALEASLSGLNVLIETYFADVPVEAYKTLISLKCVTGFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLSVLHALEGIVGKDKLVVSTSCSLLHTAVDLVNEPKLDKEIKSWLAFAAQKVVEVNALAKALSGQKDGAFFSANAAAQASRKSSPRVTNEAVQQAAAALKGSDHRRATNVSDRLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREYKAKKVSEEDYVEAIKKEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSLAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVEDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSEEEIADRVEKMLAVLECNILWVNPDCGLKTRKYAEVKPALSNMVAAAKRLRTKFASSQ >Potri.009G152800.8.v4.1 pep chromosome:Pop_tri_v4:9:12005931:12011933:1 gene:Potri.009G152800.v4.1 transcript:Potri.009G152800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152800.v4.1 MASHVVGYPRMGPKRELKFALESFWDGKSSAEDLQNVAAELRSSIWKQMSDAGIKFIPSNTFSCYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVIEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYQEVVAELKAAGATWIQFDEPKLVMDLGAHELQAFTHAYSALEASLSGLNVLIETYFADVPVEAYKTLISLKCVTGFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLSVLHALEGIVGKDKLVVSTSCSLLHTAVDLVNEPKLDKEIKSWLAFAAQKVVEVNALAKALSGQKDGAFFSANAAAQASRKSSPRVTNEAVQQAAAALKGSDHRRATNVSDRLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREYKAKKVSEEDYVEAIKKEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSLAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVEDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSEEEIADRVEKMLAVLECNILWVNPDCGLKTRKYAEVKPALSNMVAAAKRLRTKFASSQ >Potri.009G152800.6.v4.1 pep chromosome:Pop_tri_v4:9:12005896:12012152:1 gene:Potri.009G152800.v4.1 transcript:Potri.009G152800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152800.v4.1 MNQVSQHILAPFTSLRVISVGSLSFPSSFTPTNSNSPPFLLRYFSVRAMASHVVGYPRMGPKRELKFALESFWDGKSSAEDLQNVAAELRSSIWKQMSDAGIKFIPSNTFSCYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVIEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYQEVVAELKAAGATWIQFDEPKLVMDLGAHELQAFTHAYSALEASLSGLNVLIETYFADVPVEAYKTLISLKCVTGFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLSVLHALEGIVGKDKLVVSTSCSLLHTAVDLVNEPKLDKEIKSWLAFAAQKVVEVNALAKALSGQKDGAFFSANAAAQASRKSSPRVTNEAVQQAAAALKGSDHRRATNVSDRLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREYKAKKVSEEDYVEAIKKEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSLAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVEDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSEEEIADRVEKMLAVLECNILWVNPDCGLKTRKYAEVKPALSNMVAAAKRLRTKFASSQ >Potri.009G152800.7.v4.1 pep chromosome:Pop_tri_v4:9:12006646:12012055:1 gene:Potri.009G152800.v4.1 transcript:Potri.009G152800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152800.v4.1 MASHVVGYPRMGPKRELKFALESFWDGKSSAEDLQNVAAELRSSIWKQMSDAGIKFIPSNTFSCYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVIEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYQEVVAELKAAGATWIQFDEPKLVMDLGAHELQAFTHAYSALEASLSGLNVLIETYFADVPVEAYKTLISLKCVTGFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLSVLHALEGIVGKDKLVVSTSCSLLHTAVDLVNEPKLDKEIKSWLAFAAQKVVEVNALAKALSGQKDGAFFSANAAAQASRKSSPRVTNEAVQQAAAALKGSDHRRATNVSDRLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREYKAKKVSEEDYVEAIKKEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSLAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVEDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSEEEIADRVEKMLAVLECNILWVNPDCGLKTRKYAEVKPALSNMVAAAKRLRTKFASSQ >Potri.009G152800.10.v4.1 pep chromosome:Pop_tri_v4:9:12005984:12011910:1 gene:Potri.009G152800.v4.1 transcript:Potri.009G152800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152800.v4.1 MASHVVGYPRMGPKRELKFALESFWDGKSSAEDLQNVAAELRSSIWKQMSDAGIKFIPSNTFSCYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVIEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYQEVVAELKAAGATWIQFDEPKLVMDLGAHELQAFTHAYSALEASLSGLNVLIETYFADVPVEAYKTLISLKCVTGFGFDLVRGTKTLDLIKGGFPSGKYLFAGVVDGRNIWANDLASSLSVLHALEGIVGKDKLVVSTSCSLLHTAVDLVNEPKLDKEIKSWLAFAAQKVVEVNALAKALSGQKDGAFFSANAAAQASRKSSPRVTNEAVQQAAAALKGSDHRRATNVSDRLDAQQKKLNLPILPTTTIGSFPQTLELRRVRREYKAKKVSEEDYVEAIKKEINKVVKLQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSLAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVEDSTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSEEEIADRVEKMLAVLECNILWVNPDCGLKTRKYAEVKPALSNMVAAAKRLRTKFASSQ >Potri.001G396500.3.v4.1 pep chromosome:Pop_tri_v4:1:42189901:42199091:1 gene:Potri.001G396500.v4.1 transcript:Potri.001G396500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396500.v4.1 MDGEEFSMEDPSQLLQSASEFANYPGVPNDVAAKEFLDRFPLPVIINALQTKSEVPGLEATLVACLERIFKTKCGASLIPHYMPFVQVGLTADSQLVRCLACKTVSCLLKNIDETISAAQLIIDNGVYPLLLDCLINGNEQVATASMEAIEKLAGSQKGMEIVFPANNSDDMHLGNLSARCSSLGRVRVLSLIVKLFSVSRDVASAVYNSNLLSLLEAKIRDTDDTLVSLSVFELFYELAEVKHATEFLSKTTLVQLLSSTISNMSKEAILRSRAMMISGRLLSNDNIYMFIDESNLGVKAIISAIDGRLRLESQDLNECESALEALGQIGSSNQGATLLLTISPPAARHVIDAAFDKHARGKQLASLHSLANISGETRSDSNIILNGDAEESLRLLIYEAASKSSKLTPSGLFLSVLQQDSQVRLAAYRVLTGLVARPWCLMEICSKQEIINIVTGPNTETTKIGMEARYKCCVAIHRAFMSSSKLTGNPALAPIAAKLQEAVSRGPYLADKIYREAQPMVVTADRF >Potri.001G468400.1.v4.1 pep chromosome:Pop_tri_v4:1:49256447:49257217:-1 gene:Potri.001G468400.v4.1 transcript:Potri.001G468400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G468400.v4.1 MLPLPPPPPSPLPAEPSPQPEKQGFPVSLNQIVISKQARNQQSSSAETSNSDSKKLSKPPIFKHPRPRRTNPVIWCGAILCLIFSLVLIFFGIATLIIYLVIKPRNPVFDIPNANLSSIYFDSPEYFNGDLTFLADFSNPNQKIDVRFEYVDIELYFSDRLIGTQALQPFTQRSRETRLESVQIISSLVYLPQNLAFELQKQVQSNKVHYNMRVTFKVRSNLGLLHYSYWLHGRCEIEMTGPPTGVIVARSCKTKR >Potri.008G130600.1.v4.1 pep chromosome:Pop_tri_v4:8:8575751:8587066:1 gene:Potri.008G130600.v4.1 transcript:Potri.008G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130600.v4.1 MHAYNRIPSSGHSTPSPPQSPLRSPRYRHGSGGGGRSKPGRFTPSSYPPGRNLAHRLAWFLLSVLLRRQGIFLFAPLIYISGMLLYMGTVSFDVGPIIDHKPAPGSVYRSPQIYEKLRPEMDADNSSADALSTVWKNSYKSGEWRPCINKSSEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHYHSIWRDPSKFKDIYDEDYFISTLENIVRVVDKIPGYLMERYDNNMTNVHNFRVKAWAPVQYYRDVVLPRLLEERVIRISPFANRLSFDVPPAVQRLRCLANYEALRFSNPILTMGETLVARMKERSASHGGKYVSVHLRFEEDMVAFSCCVFDGGWQEAKDMKAARERGWKGKFTKPGRTIRPGAIRLNGKCPLTPLEVGLMLRGMGFDKNTYIFLASGKIYNSEKYMAPLLEMFPNLLTKDMLALEDELDPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRFLYGGHSKTIRPDKRKLAMLFDNLKIDWKSFKRHMLNMRSHSDSKGFEIKRPNDSIYSFPCPDCMCHVNRTEDSRSSSAT >Potri.008G130600.2.v4.1 pep chromosome:Pop_tri_v4:8:8575875:8587029:1 gene:Potri.008G130600.v4.1 transcript:Potri.008G130600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130600.v4.1 MHAYNRIPSSGHSTPSPPQSPLRSPRYRHGSGGGGRSKPGRFTPSSYPPGRNLAHRLAWFLLSVLLRRQGIFLFAPLIYISGMLLYMGTVSFDVGPIIDHKPAPGSVYRSPQIYEKLRPEMDADNSSADALSTVWKNSYKSGEWRPCINKSSEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGYLNATLLIPNFHYHSIWRDPSKFKDIYDEDYFISTLENIVRVVDKIPGYLMERYDNNMTNVHNFRVKAWAPVQYYRDVVLPRLLEERVIRISPFANRLSFDVPPAVQRLRCLANYEALRFSNPILTMGETLVARMKERSASHGGKYVSVHLRFEEDMVAFSCCVFDGGWQEAKDMKAARERGWKGKFTKPGRTIRPGAIRLNGKCPLTPLEVGLMLRGMGFDKNTYIFLASGKIYNSEKYMAPLLEMFPNLLTKDMLALEDELDPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRFLYGGHSKTIRPDKRKLAMLFDNLKIEYELIILLYS >Potri.002G091101.1.v4.1 pep chromosome:Pop_tri_v4:2:6593613:6593999:1 gene:Potri.002G091101.v4.1 transcript:Potri.002G091101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091101.v4.1 MGTFLDDEALGLFREAIRDGVVPNSKAFVFVLNLCSGRLDFELGRQVHARVVKGDWRNLIADGAIVYLYVQCGDLKSAFGVFDRMVEQDVVSWTTNFTTSGASKAFGEEKALKFERQMHGYSEEDIPR >Potri.015G019800.3.v4.1 pep chromosome:Pop_tri_v4:15:1370366:1373120:-1 gene:Potri.015G019800.v4.1 transcript:Potri.015G019800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019800.v4.1 MMMASRGGGVKLASSMMLVSRSFSTAISRGIIAKEAVTAKAVGFHGDVVRKNIGEFWVRGSVFGVRHGSTMSFGEKDQQKVEMKQTQPVAEGGDKEEKKEIASYWGVPPSRVTKEDGAEWKWNCFRPWETYSADLSIDLKKHHVPATVLDKMAFWIVKALRLPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKTLLDEAENERMHLMTFMEVAKPRWYERALVITVQGVFLNAYFLGYIISPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIENVPAPAIAVDYWRLPPNATLRDVVLVVRADEAHHRDVNHFASDIHYQGRELKEAPAPIGYH >Potri.002G207672.1.v4.1 pep chromosome:Pop_tri_v4:2:17403562:17405540:-1 gene:Potri.002G207672.v4.1 transcript:Potri.002G207672.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207672.v4.1 MVLKLYGAPMSTCTSRVLTCLHEKNLDFELVPVDLFAGEHKQPPFLAKNPFGQIPALEEDDLTLFESRAITSYIAEKFKGTGYDLIRHENLKEAASVKVWTEVESHRYNPAIAPIVFQFMVAPLRGNSPDQTIIDDNVEKLGKVLDIYEAKLSSTKYLAGDFYSLADLHHLPYTYYLMKTPAASVVNERPHVKAWWEDISSRPAFKKVAEGMNFVKK >Potri.011G142900.1.v4.1 pep chromosome:Pop_tri_v4:11:17263135:17267455:1 gene:Potri.011G142900.v4.1 transcript:Potri.011G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142900.v4.1 MAVSTALSIAGAGAGTRFSGDFQQLGRVETRLSQKPRKHSGWLCLYRHQLPHYYKCFWQESRTEKLSFKQILALRKDSDDGFLSNVEDTDEMFDDLFNKYGKVVFRSNDNKPPTAEVDDDAESLSFAVEMAKVASDVKASDIRVLFVKPLVYWTRFFIIATAFSRPQIDAINSRMRDLAEKKYGKVPSGDTKPNSWTLLDFGDVVIHIFLPPQRAFYNLEEFYGNATPIELPFENQPPFGR >Potri.001G452700.3.v4.1 pep chromosome:Pop_tri_v4:1:47973906:47977455:-1 gene:Potri.001G452700.v4.1 transcript:Potri.001G452700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452700.v4.1 MVAMVSMDSLPLGFRFRPTDEELISHYLRLKINGRDSEVEVIPEIDVCKWEPWDLPGKKEKKKNPFFLLLLLICFLGQSVIKTDDPEWFFFCPRDRKYPNGHRSNRATDAGYWKATGKDRTIKSRKSAGNTTLIGMKKTLVFYRGRAPKGKRTNWIMHEYRPTEEDLDGTGPGQVAFVLFRLFRKPEERTNGVKYDEIEQIGYSPTAAKSSPDNASSDLVQETATSDMHSGKQSEDIKMWLTESDNVTANSVGPVDICSNRHATSDIEDQVPEATAVEACPLLDESSLIHEPVSGELDREVFSPVQSHIPADLGCYIDSPYASDFGNDQNGFPFQDVSIEQDVSITELLDRFFNNHDECSGEETSKNNLGVGSETQLCGQIPPGNSYAPDNGIYSFMNNLQHVSLMRVPAWPSDQFCSNELFQMQPALGTLRAPTPVFYGELGRGNISHFGNNFVGQDAPSAKSAISSFGVLNSMEEATSQMTSVDNGSGVSGTGIRIRARQPRVRPQSDIFIAQGSGPRRILLQLQTKLLSESVGYCKVKDAGYIEDEDEVQSSVTEATGDAEKQTPTADYLQKESPLPSSKTNREIAEESSSDLRLRAKREGESESSQIASSAFPAAPPVYISIFLLLIAIVAFAGIWRSLMTVKFLWIQDGASDNL >Potri.001G452700.5.v4.1 pep chromosome:Pop_tri_v4:1:47973831:47977377:-1 gene:Potri.001G452700.v4.1 transcript:Potri.001G452700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452700.v4.1 MKKTLVFYRGRAPKGKRTNWIMHEYRPTEEDLDGTGPGQVAFVLFRLFRKPEERTNGVKYDEIEQIGYSPTAAKSSPDNASSDLVQETATSDMHSGKQSEDIKMWLTESDNVTANSVGPVDICSNRHATSDIEDQVPEATAVEACPLLDESSLIHEPVSGELDREVFSPVQSHIPADLGCYIDSPYASDFGNDQNGFPFQDVSIEQDVSITELLDRFFNNHDECSGEETSKNNLGVGSETQLCGQIPPGNSYAPDNGIYSFMNNLQHVSLMRVPAWPSDQFCSNELFQMQPALGTLRAPTPVFYGELGRGNISHFGNNFVGQDAPSAKSAISSFGVLNSMEEATSQMTSVDNGSGVSGTGIRIRARQPRVRPQSDIFIAQGSGPRRILLQLQTKLLSESVGYCKVKDAGYIEDEDEVQSSVTEATGDAEKQTPTADYLQKESPLPSSKTNREIAEESSSDLRLRAKREGESESSQIASSAFPAAPPVYISIFLLLIAIVAFAGIWRSLMTVKFLWIQDGASDNL >Potri.001G452700.4.v4.1 pep chromosome:Pop_tri_v4:1:47973769:47977459:-1 gene:Potri.001G452700.v4.1 transcript:Potri.001G452700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452700.v4.1 MVAMVSMDSLPLGFRFRPTDEELISHYLRLKINGRDSEVEVIPEIDVCKWEPWDLPGQSVIKTDDPEWFFFCPRDRKYPNGHRSNRATDAGYWKATGKDRTIKSRKSAGNTTLIGMKKTLVFYRGRAPKGKRTNWIMHEYRPTEEDLDGTGPGQVAFVLFRLFRKPEERTNGVKYDEIEQIGYSPTAAKSSPDNASSDLVQETATSDMHSGKQSEDIKMWLTESDNVTANSVGPVDICSNRHATSDIEDQVPEATAVEACPLLDESSLIHEPVSGELDREVFSPVQSHIPADLGCYIDSPYASDFGNDQNGFPFQDVSIEQDVSITELLDRFFNNHDECSGEETSKNNLGVGSETQLCGQIPPGNSYAPDNGIYSFMNNLQHVSLMRVPAWPSDQFCSNELFQMQPALGTLRAPTPVFYGELGRGNISHFGNNFVGQDAPSAKSAISSFGVLNSMEEATSQMTSVDNGSGVSGTGIRIRARQPRVRPQSDIFIAQGSGPRRILLQLQTKLLSESVGYCKVKDAGYIEDEDEVQSSVTEATGDAEKQTPTADYLQKESPLPSSKTNREIAEESSSDLRLRAKREGESESSQIASSAFPAAPPVYISIFLLLIAIVAFAGIWRSLMTVKFLWIQDGASDNL >Potri.015G045000.2.v4.1 pep chromosome:Pop_tri_v4:15:4358567:4359781:1 gene:Potri.015G045000.v4.1 transcript:Potri.015G045000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045000.v4.1 MVARLSLYQHHPIITTVFSVLIILVSTSYGDDDKDYTDCDKPFSCGLLPELSYPFWGGDRPEVCGHKGFKLKCEEGQLPIIASDTLEFRLLNLKQSSRLMALQLVNFEEYICPTQIRTNSSSGSDIHIFGYDLSLQNLNLLYNCSMSSSTVNRISFCNGYTGSSFYGNDDILANSSGLDVRNAM >Potri.014G101200.1.v4.1 pep chromosome:Pop_tri_v4:14:6693876:6695920:1 gene:Potri.014G101200.v4.1 transcript:Potri.014G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101200.v4.1 MVMGNEVSNILEWRINVPDGALEILQPESDLVHRIWLGLKGLLGEFILKIWKFLEKARNIAVAEPKKVIHCLKVGMELSIVSLFYYMRPLYEAVVVLENTAVARYIAGATLYKCTNRGIGTFRAGSLGVGVHWAANHSGVEPIILGISVFLLVLPAVLFVGALQQQPFRRFIPSVKARFDYGATIFILTFSLVSVSGYREDKVIDIAHQSLSAIAIGASLCILISMLFYPIWAGEELHNLIHRNLEKLADALDGCIAGYFTGSSDGDSCKKMEGYKFVLNSKAAEDSMAGFTRWEPAHGRFNFRHPWNQYLKAPEHLKRYLSDVSNTLSSYASHVLKELAVAVKTMKKSSKIDYSIGKMQHAVKELQKEPIRKTATPSVMEILRLATLVPMLTETTARIEEVADEVNELAKLADLKPPTSKKANQSQPRNKVDETINQ >Potri.002G026300.1.v4.1 pep chromosome:Pop_tri_v4:2:1748902:1751259:1 gene:Potri.002G026300.v4.1 transcript:Potri.002G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G026300.v4.1 MALSDFLILSVPIFLLFLLIKRNKTTKKACLPPGPDGLPFIGNLHQLGNSNLHQYLWKLSQKHGPLMHLRLGFKPALIVSSAKMAREILKTHDLEFCSRPALTATKKMTYNGLDLAFAPYGAYWREVKKICVVRVFSSIRAQSFRPIREDEVSRMIENISKSALASKPFNLTEELVSLTSTTICRVAFGKRYEIGGSDKNRFLELLDESQAMASSFFLSDYFPCLGWLVDKLTGLSYRLEKSFKEFDAFYKGIIDDNIDPNRPKPEREDTILDFLLQIHKEGSFKVQLTLDHIKAILTDIFLAGTDTGAVTVIWAMTFLMKNPKAMRKAQEEVRNLFGNKGFVHEDDVQQLPYLKAVVKETMRLQPPAPLLLPRETTKQCYVGGYEIPAKTLVYVSAWAVGRDPEAWENPYEFNPDRFLGSSIDLKGNDFELIPFGAGRRICPGIFIALATVELSLANLLHKFDWEMPSGVEDIDMDDVLPGIVPHMRDALCLVPKLVCDGEMGHKGTGAHDY >Potri.004G174100.1.v4.1 pep chromosome:Pop_tri_v4:4:18913721:18916465:1 gene:Potri.004G174100.v4.1 transcript:Potri.004G174100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174100.v4.1 MSSPKRNSLYPQVILSNPEAISATSSTPSSLYPTTGMKDLAENLFPEDDNNNDLGSPLGSNSEPHEEVLIKISGSIVHLIERDHSVELACGDFFIVSLKQGDTIVAVFARVGDDIQWPLANDEAAVKLDGSHYFFTLWVPGNESGRGELNKGEVELLNYGVTFASKGQEGLLKELDKILECYSSFSVQEVNESGGKWEVLDGSVAEKISPDELEKKKELTEKSSAAYWTVLAPNVEDYSSSIARLIAAGSGQLIKGIFWCGDVTVDRLKWGNEFFKIRIDKSSDSDISPGTLRRIKRVKKLTKMSEGVALGILTGVVKVSGFFTSPIVNSKVGKKFFSLMPGEIVLASLDGFNKVCDAVEVAGKNVMSTSSIVTTGLVSHRYGEEAGKATNEGFDAAGHAIGTAWAVFKIRKALNPKSVFKPTTLVKAAARANSTEMKPKNIPIKD >Potri.001G198000.4.v4.1 pep chromosome:Pop_tri_v4:1:19482909:19490514:1 gene:Potri.001G198000.v4.1 transcript:Potri.001G198000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198000.v4.1 MAYASHLINHSKKLRNVVSSLMRHDHASLARWLSNDSHLSADAWKVMEKRRYSSTANHAVTSFGASRKDVSRMIMKVGNPISGPLLSKDFSCSQVYWKRGFSSDSGLPPYQEIGMPSLSPTMTEGNIARWLKKEGDKISPGEVLCEVETDKATVEMECMEEGYLAKILKGDGSKEIKLGEVIAITVEDGEDIAKFKDYSPSTSGSGDTSAKEASSHAPPEKEEVEKPASPPEPKVSKPSAAPNGDRIFSSPLARKLAEDHNVPLSSIKGTGPDGHIVKADIEYYLASRGEEVPATKPVTKDTPVPTLDYVDIPHSQIRKVTASNLLFSKQTIPHYYLTVDTCVDKLMSLRSQLNLLQEASGGKRISLNDLVIKAAALALRKVPQCNSSWTDNYIRQYNNVNINVAVQTDNGLYVPVIKDADKKGLSKISDDVKNLAQKAKENRLKPEDYEGGTFTVSNLGGPFGIRQFCAIINPPQSGILAVGSAEKRVIPGSGHDDFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Potri.001G198000.5.v4.1 pep chromosome:Pop_tri_v4:1:19482878:19490421:1 gene:Potri.001G198000.v4.1 transcript:Potri.001G198000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198000.v4.1 MAYASHLINHSKKLRNVVSSLMRHDHASLARWLSNDSHLSADAWKVMEKRRYSSTANHAVTSFGASRKDVSRMIMKVGNPISGPLLSKDFSCSQVYWKRGFSSDSGLPPYQEIGMPSLSPTMTEGNIARWLKKEGDKISPGEVLCEVETDKATVEMECMEEGYLAKILKGDGSKEIKLGEVIAITVEDGEDIAKFKDYSPSTSGSGDTSAKEASSHAPPEKEEVEKPASPPEPKVSKPSAAPNGDRIFSSPLARKLAEDHNVPLSSIKGTGPDGHIVKADIEYYLASRGEEVPATKPVTKDTPVPTLDYVDIPHSQIRKVTASNLLFSKQTIPHYYLTVDTCVDKLMSLRSQLNLLQEASGGKRISLNDLVIKAAALALRKVPQCNSSWTDNYIRQYNNVNINVAVQTDNGLYVPVIKDADKKGLSKISDDVKNLAQKAKENRLKPEDYEGGTFTVSNLGGPFGIRQFCAIINPPQSGILAVGSAEKRVIPGSGHDDFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Potri.001G230000.20.v4.1 pep chromosome:Pop_tri_v4:1:24929347:24947371:-1 gene:Potri.001G230000.v4.1 transcript:Potri.001G230000.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230000.v4.1 MSNRRGSDQQPPQKRILRTQTAGNLGESMLDSEVVPSSLVEIAPILRVANQVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDTTMQGKTISDAREMQRFYLDYYQKYIQALRDAADKADRAQLTKAYQTAAVLFEVLRAVNTTEAVKVDDEVLEAQTEVEEKNRIYVPYNILPLDPESEHQVIMRYPEIKATVIALRNTRGLPWPKGHKKRVNEDILDWLQAMFGFQKDNVENQREHLILLLANVHIRQFPKPDQQPKLDDMAVTDIMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVLQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGENEAFLRKVVKPIYDTIAREAKMSKDGSSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCPSALGLRAEKDEVKKPVTGDRWIGKVNFVEIRSFWHIFRSFDRMWSFFILCLQAMIIIAWNGSGKLSSIFEGDVFKKVLSIFITSTILNFGQAVIDIILMWKARKTMPFYVKIRYVLKVLSAAAWVIILPVTYAYSWKNPPGLGQTIKKWFGSSPSSPSLFIMAILIYLSPNILSVLLFVFPLIRRVLERSNNKIVLFLMWWSQPRLYVGRGMHESSVSLIKYTMFWVLLLVSKLAFSFFVEIKPLVGPTKAVMKARIHDYQWHEFFPQAKSNIGVVISLWAPVVLVYFMDTQIWYAIYSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPPEKVETIKKRGLNAIFSRRNTGITESNKEKEEARFAQMWNKIITSFWEEDLIDNREMNLMLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNRNDRELKNRLASDNYMHCAVRECYASFKSIINFLVQGDGEKQVIEDIFARVDEYIEKDTLIQELNMSALPILNEQFVKLIDFLIINNKEDKNRVVILLLDMLEVVTRDILEDDIPSLMDSNHGGSYGNDEGMTPIDQQHTFLGKLGFPVPETEDWKERIRRLHLLLTVKESAMDVPSNLEARRRISFFSNSLFMEMPSAPKVRNMLSFTVLTPYYREEVNYSINLLEKQNDDGVSILFYLQKIFPDEWKNFLERVGCNSEEELRANDVLEEELRLWASYRSQTLTKTVRGMMYYRKALELQAFLDMANDEELMRGYKAAELNSEGPSKSDNSTWQQCQAIADLKFTYVVSCQEYGKHKRAGHPLAKDILRLMTTYPSLRVAYIDEVEETGKDKSKKMVEKVYYSTLVKVAPPTKPIDSSEPIQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEALQTIDMNQDNYMEEAFKVRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLASPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEKGLSTQRAIRDNKALQVALASQSFVQIGFLMALPMMMEIGLEKGFRNALSDFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGSAYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLLVFHIFGRSYRGVVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKILDDYTDWNKWINNRGGIGVHPDKSWESWWEKEQEHLRFSGKRGIIVEILLSLRFFIFQYGLVYHLSIVDKTKSFLVYGVSWIVIILVLFLMKAVAVGRRQLSANFQLLFRLIKGLIFITFISVFITLIALPHMTIRDVIVCILAFLPSGWGLLLIAQACKPLIQHAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPRKDRTSRNKE >Potri.001G230000.19.v4.1 pep chromosome:Pop_tri_v4:1:24929342:24949414:-1 gene:Potri.001G230000.v4.1 transcript:Potri.001G230000.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230000.v4.1 MSNRRGSDQQPPQKRILRTQTAGNLGESMLDSEVVPSSLVEIAPILRVANQVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDTTMQGKTISDAREMQRFYLDYYQKYIQALRDAADKADRAQLTKAYQTAAVLFEVLRAVNTTEAVKVDDEVLEAQTEVEEKNRIYVPYNILPLDPESEHQVIMRYPEIKATVIALRNTRGLPWPKGHKKRVNEDILDWLQAMFGFQKDNVENQREHLILLLANVHIRQFPKPDQQPKLDDMAVTDIMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVLQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGENEAFLRKVVKPIYDTIAREAKMSKDGSSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCPSALGLRAEKDEVKKPVTGDRWIGKVNFVEIRSFWHIFRSFDRMWSFFILCLQAMIIIAWNGSGKLSSIFEGDVFKKVLSIFITSTILNFGQAVIDIILMWKARKTMPFYVKIRYVLKVLSAAAWVIILPVTYAYSWKNPPGLGQTIKKWFGSSPSSPSLFIMAILIYLSPNILSVLLFVFPLIRRVLERSNNKIVLFLMWWSQPRLYVGRGMHESSVSLIKYTMFWVLLLVSKLAFSFFVEIKPLVGPTKAVMKARIHDYQWHEFFPQAKSNIGVVISLWAPVVLVYFMDTQIWYAIYSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPPEKVETIKKRGLNAIFSRRNTGIVVQTESNKEKEEARFAQMWNKIITSFWEEDLIDNREMNLMLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNRNDRELKNRLASDNYMHCAVRECYASFKSIINFLVQGDGEKQVIEDIFARVDEYIEKDTLIQELNMSALPILNEQFVKLIDFLIINNKEDKNRVVILLLDMLEVVTRDILEDDIPSLMDSNHGGSYGNDEGMTPIDQQHTFLGKLGFPVPETEDWKERIRRLHLLLTVKESAMDVPSNLEARRRISFFSNSLFMEMPSAPKVRNMLSFTVLTPYYREEVNYSINLLEKQNDDGVSILFYLQKIFPDEWKNFLERVGCNSEEELRANDVLEEELRLWASYRSQTLTKTVRGMMYYRKALELQAFLDMANDEELMRGYKAAELNSEGPSKSDNSTWQQCQAIADLKFTYVVSCQEYGKHKRAGHPLAKDILRLMTTYPSLRVAYIDEVEETGKDKSKKMVEKVYYSTLVKVAPPTKPIDSSEPIQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEALQTIDMNQDNYMEEAFKVRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLASPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEKGLSTQRAIRDNKALQVALASQSFVQIGFLMALPMMMEIGLEKGFRNALSDFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGSAYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLLVFHIFGRSYRGVVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKILDDYTDWNKWINNRGGIGVHPDKSWESWWEKEQEHLRFSGKRGIIVEILLSLRFFIFQYGLVYHLSIVDKTKSFLVYGVSWIVIILVLFLMKAVAVGRRQLSANFQLLFRLIKGLIFITFISVFITLIALPHMTIRDVIVCILAFLPSGWGLLLIAQACKPLIQHAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPRKDRTSRNKE >Potri.001G230000.4.v4.1 pep chromosome:Pop_tri_v4:1:24929351:24949509:-1 gene:Potri.001G230000.v4.1 transcript:Potri.001G230000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230000.v4.1 MSNRRGSDQQPPQKRILRTQTAGNLGESMLDSEVVPSSLVEIAPILRVANQVEGSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDTTMQGKTISDAREMQRFYLDYYQKYIQALRDAADKADRAQLTKAYQTAAVLFEVLRAVNTTEAVKVDDEVLEAQTEVEEKNRIYVPYNILPLDPESEHQVIMRYPEIKATVIALRNTRGLPWPKGHKKRVNEDILDWLQAMFGFQKDNVENQREHLILLLANVHIRQFPKPDQQPKLDDMAVTDIMKKLFKNYKKWCKYLGRKSSLWLPTIQQEVLQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHIKPAYGGENEAFLRKVVKPIYDTIAREAKMSKDGSSKHSQWRNYDDLNEYFWSADCFRLGWPMRADADFFCPSALGLRAEKDEVKKPVTGDRWIGKVNFVEIRSFWHIFRSFDRMWSFFILCLQAMIIIAWNGSGKLSSIFEGDVFKKVLSIFITSTILNFGQAVIDIILMWKARKTMPFYVKIRYVLKVLSAAAWVIILPVTYAYSWKNPPGLGQTIKKWFGSSPSSPSLFIMAILIYLSPNILSVLLFVFPLIRRVLERSNNKIVLFLMWWSQPRLYVGRGMHESSVSLIKYTMFWVLLLVSKLAFSFFVEIKPLVGPTKAVMKARIHDYQWHEFFPQAKSNIGVVISLWAPVVLVYFMDTQIWYAIYSTIFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNACLIPPEKVETIKKRGLNAIFSRRNTGITESNKEKEEARFAQMWNKIITSFWEEDLIDNREMNLMLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNRNDRELKNRLASDNYMHCAVRECYASFKSIINFLVQGDGEKQVIEDIFARVDEYIEKDTLIQELNMSALPILNEQFVKLIDFLIINNKEDKNRVVILLLDMLEVVTRDILEDDIPSLMDSNHGGSYGNDEGMTPIDQQHTFLGKLGFPVPETEDWKERIRRLHLLLTVKESAMDVPSNLEARRRISFFSNSLFMEMPSAPKVRNMLSFTVLTPYYREEVNYSINLLEKQNDDGVSILFYLQKIFPDEWKNFLERVGCNSEEELRANDVLEEELRLWASYRSQTLTKTVRGMMYYRKALELQAFLDMANDEELMRGYKAAELNSEGPSKSDNSTWQQCQAIADLKFTYVVSCQEYGKHKRAGHPLAKDILRLMTTYPSLRVAYIDEVEETGKDKSKKMVEKVYYSTLVKVAPPTKPIDSSEPIQNLDQVIYRIKLPGPAMLGEGKPENQNHAIIFTRGEALQTIDMNQDNYMEEAFKVRNLLQEFLKKHDGVRYPTILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLASPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEKGLSTQRAIRDNKALQVALASQSFVQIGFLMALPMMMEIGLEKGFRNALSDFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGSAYRATGRGFVVFHAKFADNYRLYSRSHFVKGIELMILLLVFHIFGRSYRGVVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKILDDYTDWNKWINNRGGIGVHPDKSWESWWEKEQEHLRFSGKRGIIVEILLSLRFFIFQYGLVYHLSIVDKTKSFLVYGVSWIVIILVLFLMKAVAVGRRQLSANFQLLFRLIKGLIFITFISVFITLIALPHMTIRDVIVCILAFLPSGWGLLLIAQACKPLIQHAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPRKDRTSRNKE >Potri.001G316700.3.v4.1 pep chromosome:Pop_tri_v4:1:32703787:32708983:1 gene:Potri.001G316700.v4.1 transcript:Potri.001G316700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G316700.v4.1 MVKKKVPDWLNSSLWSTTPSASSSSTATTDTNIFDHHSPTTASPPLESPINPPLPPAAIRDEQQQQPPRHHHPQKPEIKDKLSKSSIVDDDDNNNSIINDNYSDDNIINNKIIITNNDIRNVDDDESAAEDISKQALLLTELSKKVINMGELRRIASQGIPDGAGIRSTVWKLLLGYLAPDRSLWSSELAKKRSQYKHFKEDLLMNPSEIARRLEKTTVLNNDNGKSESRCVLSRSEITHGEHPLSLGKSSVWNQFFQDSEIIEQIDRDVKRTHPDLHFFSGDSSLAKSNQEALRNILTVFAKLNPGIRYVQGMNEILAPLFYIFKNDPDEEMAAFAEADTFFCFVELLSGFRDHFCQQLDNSVVGIRSTITRLSQLLKEHDEELWRHLEITTKVNPQFYAFRWITLLLTQEFNFADSLHIWDTLLSDPEGPQETLLRVCCAMLILIRRRLLAGDFTSILKLLQNYPPTNISHMLYVANKLRGQPSR >Potri.013G107950.2.v4.1 pep chromosome:Pop_tri_v4:13:11672829:11685673:-1 gene:Potri.013G107950.v4.1 transcript:Potri.013G107950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107950.v4.1 MAKSDNSKSKLPPYVDSSANFQPSNDPNSYSLEKFRLYETRQRFYLVGSDRKRKLFRVLKIDRSEPSDLNISEDLVVYSPQEINNLLQRIAEGNRATGGLNFVVKAYGIAGCIKFLESYYLILVTKRRQIGFICGHVIYGIDESQLITIPHVSVQTDLAHSKAEIRYKKLLSSVDLTKDFFFSYTYPVMQSLQKNVMSIGGDRMPYDNIFVWNAYLTQAIRSRCGNTIWTIALVHGNFKQIRLSIFGRGFSVSLVSRRSRHFAGTRYLKRGVNDMGRVANDVETEQIVLDEDAGCCKGKMSSVVQMRGSIPLFWSQEASQLSPKPDIILQKYDPTYQATKLHFEDLVKRYGNPIIVLNLIKTVEKRPREMMLRREFASAAGYLNTILPEEKQLQFIHWDFHKFAKSKSSNVLAVLGAVASQALDLTGFYYSGKPNTVKRRADHLSRTSTGRDASLRHLRASSGDLARIGSNNENLNSMINRDRESDSSQLKKQDKVGAEGPSFQSGVLRTNCIDCLDRTNVAQYAYGLAAFGRQLLVMGLTDMPKVDPDSTIASALMDMYRSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFIKSIKRYYSNTYTDGEKQDAINLFLGYFQPQEGKSPLWELDSDYYLHVYGSARGEVDPPFPDNCNSETNAKPVGVGITLAPIPAFREDFSRMKLTSFDKLIDRTCSAIKNVRLCREPDHRPGGSTGNSGVAPDAVEIQLKTPNWLFGQKKYEESGSASKAVKSEIENGASCKEIDVDGYSELNLLSSVGDNNEEDIFRRYLTMTSVDEASGCYGGTLLLGDPDESSEIYKHYTELCQEPAMEPFEHDLDKEKHYANALRMSTIDFVDDSGVEAEMEAALMDYERIGADLGILPQSCKSFATDPSWLTRWIIGEEKTAKV >Potri.013G107950.1.v4.1 pep chromosome:Pop_tri_v4:13:11673107:11685693:-1 gene:Potri.013G107950.v4.1 transcript:Potri.013G107950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107950.v4.1 MAKSDNSKSKLPPYVDSSANFQPSNDPNSYSLEKFRLYETRQRFYLVGSDRKRKLFRVLKIDRSEPSDLNISEDLVVYSPQEINNLLQRIAEGNRATGGLNFVVKAYGIAGCIKFLESYYLILVTKRRQIGFICGHVIYGIDESQLITIPHVSVQTDLAHSKAEIRYKKLLSSVDLTKDFFFSYTYPVMQSLQKNVMSIGGDRMPYDNIFVWNAYLTQAIRSRCGNTIWTIALVHGNFKQIRLSIFGRGFSVSLVSRRSRHFAGTRYLKRGVNDMGRVANDVETEQIVLDEDAGCCKGKMSSVVQMRGSIPLFWSQEASQLSPKPDIILQKYDPTYQATKLHFEDLVKRYGNPIIVLNLIKTVEKRPREMMLRREFASAAGYLNTILPEEKQLQFIHWDFHKFAKSKSSNVLAVLGAVASQALDLTGFYYSGKPNTVKRRADHLSRTSTGRDASLRHLRASSGDLARIGSNNENLNSMINRDRESDSSQLKKQDKVGAEGPSFQSGVLRTNCIDCLDRTNVAQYAYGLAAFGRQLLVMGLTDMPKVDPDSTIASALMDMYRSMGDALAQQYGGSAAHNTVFPERQGKWKATTQSREFIKSIKRYYSNTYTDGEKQDAINLFLGYFQPQEGKSPLWELDSDYYLHVYGSARGEVDPPFPDNCNSETNAKPVGVGITLAPIPAFREDFSRMKLTSFDKLIDRTCSAIKNVRLCREPDHRPGGSTGNSGVAPDAVEIQLKTPNWLFGQKKYEESGSASKAVKSEIENGASCKEIDVDGYSELNLLSSVGDNNEEDIFRRYLTMTSVDEASGCYGGTLLLGDPDESSEIYKHYTELCQEPAMEPFEHDLDKEKHYANALRMSTIDFVDDSGVEAEMEAALMDYERIGADLGILPQSCKSFATDPSWLTRWIIGEEKTAKV >Potri.017G058300.6.v4.1 pep chromosome:Pop_tri_v4:17:4672582:4678747:1 gene:Potri.017G058300.v4.1 transcript:Potri.017G058300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058300.v4.1 MTDRNNTTITAAATSTTNHSATKPIWMKQAEEAKLKSEAEKTAAAKAAFDATFKVLSDKAEKPADSDSEEEDAEEDLANKPVGPVDPNKCTAAGGGIAGGTACAPATFMVVTKDADGRKVPNGGAVIKVRVSPGVGVGGTEQEGNVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSANISGAFPALLGMTPGITSSASGGAILPGAGASLGEVCREYLYGRCAKTDCKLSHPPQSLLMTLLAPTTSMGTLSQVPMAPSAAAMAAAQAIVAAKALQAHAAQLQAQARSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECTIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVETAKSLPQKPILNSSFASSSLPMMMQQAVAMQQMQFQQALLMQQTMTAQQAANKAATMKSATELAAARAAEISKKLKDDGLVTGEGETKAESKSPPPPRARSRSKSRSPINYRRRMRSPSYSPPSRHNRDRRSRSPVRFRYHSRYNYERRSYRDRDSRDDGDRTRRRELDRSRDHHSPVSRRNRSRSASPRTRKSYRADSGSPKHRQESSAHRSRKASDSGSRSPRHHGGSRSSPRNNPDSKLRYRRRSRSRSKSVEEANDKVDEIREKKSKQHERRSRSLSVELKHHGRRPSPRSSNEDDSKHRSRSRSKSVEVKRHSNEKVDKTGDGKLKHRHRRSRSKSVDDRHHYKERGNETRDKKTKHQDRGRSRSITAEGKHHRSRSSPRGRDGSKSKHRRHSRSISPEGKRRSSHRVDQNKDEKSKHRHRRRSPSAEGKHGRSPRSSEENKSKHRRRPRSKSAERKRHSNDEKDIRRGENETHHEHGSDKTEDANEEKKYFMNEEMVDLKHKGCDSKELVEDMVTGSNGLPNSSNEEP >Potri.017G058300.8.v4.1 pep chromosome:Pop_tri_v4:17:4672472:4678281:1 gene:Potri.017G058300.v4.1 transcript:Potri.017G058300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058300.v4.1 MTDRNNTTITAAATSTTNHSATKPIWMKQAEEAKLKSEAEKTAAAKAAFDATFKVLSDKAEKPADSDSEEEDAEEDLANKPVGPVDPNKCTAAGGGIAGGTACAPATFMVVTKDADGRKVPNGGAVIKVRVSPGVGVGGTEQEGNVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSANISGAFPALLGMTPGITSSASGGAILPGAGASLGEVCREYLYGRCAKTDCKLSHPPQSLLMTLLAPTTSMGTLSQVPMAPSAAAMAAAQAIVAAKALQAHAAQLQAQARSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECTIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVETAKSLPQKPILNSSFASSSLPMMMQQAVAMQQMQFQQALLMQQTMTAQQAANKAATMKSATELAAARAAEISKKLKDDGLVTGEGETKAESKSPPPPRARSRSKSRSPINYRRRMRSPSYSPPSRHNRDRRSRSPVRFRYHSRYNYERRSYRDRDSRDDGDRTRRRELDRSRDHHSPVSRRNRSRSASPRTRKSYRADSGSPKHRQESSAHRSRKASDSGSRSPRHHGGSRSSPRNNPDSKLRYRRRSRSRSKSVEEANDKVDEIREKKSKQHERRSRSLSVELKHHGRRPSPRSSNEDDSKHRSRSRSKSVEVKRHSNEKVDKTGDGKLKHRHRRSRSKSVDDRHHYKERGNETRDKKTKHQDRGRSRSITAEGKHHRSRSSPRGRDGSKSKHRRHSRSISPEGKRRSSHRVDQNKDEKSKHRHRRRSPSAEGKHGRSPRSSEENKSKHRRRPRSKSAERKRHSNDEKDIRRGENETHHEHGSDKTEDANEEKKYFMNEEMVDLKHKGCDSKELVEDMVTGSNGLPNSSNEEP >Potri.017G058300.5.v4.1 pep chromosome:Pop_tri_v4:17:4672582:4679390:1 gene:Potri.017G058300.v4.1 transcript:Potri.017G058300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058300.v4.1 MTDRNNTTITAAATSTTNHSATKPIWMKQAEEAKLKSEAEKTAAAKAAFDATFKVLSDKAEKPADSDSEEEDAEEDLANKPVGPVDPNKCTAAGGGIAGGTACAPATFMVVTKDADGRKVPNGGAVIKVRVSPGVGVGGTEQEGNVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSANISGAFPALLGMTPGITSSASGGAILPGAGASLGEVCREYLYGRCAKTDCKLSHPPQSLLMTLLAPTTSMGTLSQVPMAPSAAAMAAAQAIVAAKALQAHAAQLQAQARSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECTIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVETAKSLPQKPILNSSFASSSLPMMMQQAVAMQQMQFQQALLMQQTMTAQQAANKAATMKSATELAAARAAEISKKLKDDGLVTGEGETKAESKSPPPPRARSRSKSRSPINYRRRMRSPSYSPPSRHNRDRRSRSPVRFRYHSRYNYERRSYRDRDSRDDGDRTRRRELDRSRDHHSPVSRRNRSRSASPRTRKSYRADSGSPKHRQESSAHRSRKASDSGSRSPRHHGGSRSSPRNNPDSKLRYRRRSRSRSKSVEEANDKVDEIREKKSKQHERRSRSLSVELKHHGRRPSPRSSNEDDSKHRSRSRSKSVEVKRHSNEKVDKTGDGKLKHRHRRSRSKSVDDRHHYKERGNETRDKKTKHQDRGRSRSITAEGKHHRSRSSPRGRDGSKSKHRRHSRSISPEGKRRSSHRVDQNKDEKSKHRHRRRSPSAEGKHGRSPRSSEENKSKHRRRPRSKSAERKRHSNDEKDIRRGENETHHEHGSDKTEDANEEKKYFMNEEMVDLKHKGCDSKELVEDMVTGSNGLPNSSNEEP >Potri.017G058300.7.v4.1 pep chromosome:Pop_tri_v4:17:4672473:4678652:1 gene:Potri.017G058300.v4.1 transcript:Potri.017G058300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058300.v4.1 MTDRNNTTITAAATSTTNHSATKPIWMKQAEEAKLKSEAEKTAAAKAAFDATFKVLSDKAEKPADSDSEEEDAEEDLANKPVGPVDPNKCTAAGGGIAGGTACAPATFMVVTKDADGRKVPNGGAVIKVRVSPGVGVGGTEQEGNVKDMGDGTYTVTYVVPKRGNYMVTIECNGKAIMGSPFPVFFSAGTSTGGLLGMAPTTTFPNLVNQTMPNMPNYSANISGAFPALLGMTPGITSSASGGAILPGAGASLGEVCREYLYGRCAKTDCKLSHPPQSLLMTLLAPTTSMGTLSQVPMAPSAAAMAAAQAIVAAKALQAHAAQLQAQARSAKDSSGSPDKARKEDALKKTLHVSNLSPLLTVEQLKQLFSFCGTVVECTIADSKHSAYIEYSKPEEATAALALNNMDVGGRPLNVETAKSLPQKPILNSSFASSSLPMMMQQAVAMQQMQFQQALLMQQTMTAQQAANKAATMKSATELAAARAAEISKKLKDDGLVTGEGETKAESKSPPPPRARSRSKSRSPINYRRRMRSPSYSPPSRHNRDRRSRSPVRFRYHSRYNYERRSYRDRDSRDDGDRTRRRELDRSRDHHSPVSRRNRSRSASPRTRKSYRADSGSPKHRQESSAHRSRKASDSGSRSPRHHGGSRSSPRNNPDSKLRYRRRSRSRSKSVEEANDKVDEIREKKSKQHERRSRSLSVELKHHGRRPSPRSSNEDDSKHRSRSRSKSVEVKRHSNEKVDKTGDGKLKHRHRRSRSKSVDDRHHYKERGNETRDKKTKHQDRGRSRSITAEGKHHRSRSSPRGRDGSKSKHRRHSRSISPEGKRRSSHRVDQNKDEKSKHRHRRRSPSAEGKHGRSPRSSEENKSKHRRRPRSKSAERKRHSNDEKDIRRGENETHHEHGSDKTEDANEEKKYFMNEEMVDLKHKGCDSKELVEDMVTGSNGLPNSSNEEP >Potri.010G025500.5.v4.1 pep chromosome:Pop_tri_v4:10:3676151:3680826:1 gene:Potri.010G025500.v4.1 transcript:Potri.010G025500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025500.v4.1 MRFLRDCISILLFCSTLLLIVEVATPVDTINTTLSIRDGDTIVSAGGTYELGFFSPGKSKNRYLGIWYGKISVQTAVWVANRESPLNDSSGVVRLTNQGLLVLVNRSGSIIWSSNTSTPARNPVAQLLDSGNLVVKEEGDNNPENSLWQSFEHPGNTLIPGMKIGRNRVTGMDWSLAAWKSLDDPSRGNITGILVPYGYPELVELEDSKVKYRSGPWNGLGFSGMPPLKPNPIYTYEFVFNEKEIFYREQLVNSSMHCRIVLAQNGDIQQLLWIEKTQSWFLYETENINNCARYKLCGANGICSINNSPVCDCLNGFVPRVPRDWERTDWSSGCIRKTALNCSGDGFQKVSGVKLPETRQSWFNKSMSLEECRNTCLKNCSCTAYANMDIRNGGSGCLLWFNDLIDILFQDEKDTIFIRRAASELGNGDSAKVNTKSNAKKRIVVSTVLSTGLVFLGLALVLLLHVWRKQQQKKRNLPSGSNNKDMKEELELPFFNMDELASATNNFSDANKLGEGGFGPVYKIIIICKRSCNMAYGL >Potri.012G097200.3.v4.1 pep chromosome:Pop_tri_v4:12:12105862:12107559:-1 gene:Potri.012G097200.v4.1 transcript:Potri.012G097200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097200.v4.1 MEHSLWNHLPLLVRANSKESVEYILQALWRTRQTGLDSADRLVISDILQLPNDTDLDPLLVCLRMLIRRCVYENVSKDDIQKLFPEEVLPELQRLLTLLLQKFQTEWRADVFKDQVGFPRLKAMTWNMANQDAAEGTDPVAVINLKLQDDTQSHSEVKFQLGKDTLETMVKSMYCIRDQLSDLGGASSRQLSQGTNIV >Potri.016G117200.1.v4.1 pep chromosome:Pop_tri_v4:16:12248047:12254887:-1 gene:Potri.016G117200.v4.1 transcript:Potri.016G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117200.v4.1 MGNCCRSPAAVAREDVKSSFSGQDHGKKNSTAKKTPQPIRVLTGVPKENIEERYLVDRELGRGEFGVTYLCIERDSRELLACKSISKRKLRTAVDIEDVRREVAIMKHLPKNSSIVSLKEACEDDNAVHLVMELCEGGELFDRIVARGHYTERAAAAVTRTIVEVVQLCHKHGVIHRDLKPENFLFANKKENSPLKAIDFGLSIFFKPGERFSEIVGSPYYMAPEVLKRNYGPEIDIWSAGVILYILLCGVPPFWAESEQGVAQAILRGIIDFKRDPWPNISESAKSLVRQMLEPDPKLRLTARQVIEHPWLQNAKKAPNVPLGDVVKSRLKQFSMMNRFKRKALRVIADFLSIEEVEDIKEMFMKMDTDGDGIVSVEELKTGLRNFGSQLAESEVQMLIEAVDTNEKGKLDYGEFVAVSLHLQRMANDEHIHKAFSYFDKDGNGYIEPDELRDALMEDGADDCTDVANDIFQEVDTDKDGRISYDEFVAMMKTGTDWRKASRHYSRGRFNSLSMKLMKDGSLNLGSE >Potri.005G024100.1.v4.1 pep chromosome:Pop_tri_v4:5:1518172:1521666:1 gene:Potri.005G024100.v4.1 transcript:Potri.005G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024100.v4.1 MMMMTMEGMMDKAVLDDIIRRLLEGKGGKQVQLSEGEIRQLCVNARQIFLSQPILLEIKAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGRQSLETICLLLAYKIRYPDKIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVAALIDEKILCMHGGLSPELEHLGQIKEIQRPTEIPDGGLLCDLLWSDPDARVEGWAESDRGVSCTFGPDKVAEFLNKNDLDLICRGHQVVEDGYEFFSKRRLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPILPASSSKLTLKKPPKAGKI >Potri.004G222500.4.v4.1 pep chromosome:Pop_tri_v4:4:22712053:22720554:-1 gene:Potri.004G222500.v4.1 transcript:Potri.004G222500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222500.v4.1 MLKGKAPHADFDPYVPYVDWFKWEDAKHPLSNAPEPKSRFIPSKWEAKKVLGLVRAIRKGYIKFDKPKEEPRFYMLWGDDASSSEKTGHLSYIPAPKPNLPGHEESYNPSLEYIPTQEEINSYQLMDEEDRPKFIPKRFTSLRSIPAYENAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPATCYLEYRGHKGAVMSISTEASGQWIASGSSDGTVRLWEVETCRCIKVWEFGEIVQYVAWNPLPELPILAVTVGQDVFLLNSGLGNEEIQRKVKELLQVETPKAPEDSGNKVSGWSWLQDDEHEGIRLRHFKAVFSVEWHRKGDYFSTVMPAGDSKAILIHQLSKKFTQRHPFKLHGLPVSAVFHPTRSIFFVSTKKNVRVYDLVKQKLIKKLETGLREVSSISIHPAGDNVIVGSREGKLCWFDMDLSSKPYKVLKCHPKDITNVAFHRSYPLFASCSDDCTAYVFHGTVYSDLNQNPLIVPLEILRGHASSNGRGVLDCKFHPRQPWLFTAGADSLIKLYCH >Potri.004G222500.3.v4.1 pep chromosome:Pop_tri_v4:4:22712059:22720424:-1 gene:Potri.004G222500.v4.1 transcript:Potri.004G222500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222500.v4.1 MVNPLEMRYMGIHNTGSSEGLAIDGQSEDSEPDLGAEESDSSEDEVAPRNTVGDVPLEWYRDEKHIGYDIAGKKIKKKERQDKLDSFLANVDDSKNWRKIYDEYNDEEVELTKDETKLVRRMLKGKAPHADFDPYVPYVDWFKWEDAKHPLSNAPEPKSRFIPSKWEAKKVLGLVRAIRKGYIKFDKPKEEPRFYMLWGDDASSSEKTGHLSYIPAPKPNLPGHEESYNPSLEYIPTQEEINSYQLMDEEDRPKFIPKRFTSLRSIPAYENAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLRPYPATCYLEYRGHKGAVMSISTEASGQWIASGSSDGTVRLWEVETCRCIKVWEFGEIVQYVAWNPLPELPILAVTVGQDVFLLNSGLGNEEIQRKVKELLQVETPKAPEDSGNKVSGWSWLQDDEHEGIRLRHFKAVFSVEWHRKGDYFSTVMPAGDSKAILIHQLSKKFTQRHPFKLHGLPVSAVFHPTRSIFFVSTKKNVRVYDLVKQKLIKKLETGLREVSSISIHPAGDNVIVGSREGKLCWFDMDLSSKPYKVLKCHPKDITNVAFHRSYPLFASCSDDCTAYVFHGTVYSDLNQNPLIVPLEILRGHASSNGRGVLDCKFHPRQPWLFTAGADSLIKLYCH >Potri.004G192900.1.v4.1 pep chromosome:Pop_tri_v4:4:20698737:20702502:-1 gene:Potri.004G192900.v4.1 transcript:Potri.004G192900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G192900.v4.1 MWVFLLMMSLLSCPAAASTASPDVKPGCQDKCGDVSVPYPFGIGEQSCAMNKPFFLNCTSGADGQPELLFGRNMPVRKISQLNGTVTVGVYTASDCYNETAWNRTESSVTLGSGPFMFSDTLNVFTGIGCDTSAQVINKDRTYGAACLSICTENVSLLENNPCSGSGCCQTSIPRGLKSLNISTSSYNNHANVSDFNPCGFAFLVDRSSLKLSDWPLSRKPKYGNDAYATDVVIEWVVKNETCEKANKTSAYACGSNANCTDSENQGYRCLCEKGFEGNPYLQDGCQDKDECKDPGKNPCEEGTCENVIGDYKCRCPLGKYGDGKTGCKGPGIVTIIAAVGASIFLVVICLLLYMICTKRKKEKNFQENGGKFLKNQRVRIFSEAELVKATNNYADDRKLGEGSFGSVYKGVLTDNTVVAVKKSKGVDKAQMNAEFQKEMSIVSQVNHKNVVKLLGLCLETKVPLLVYEFISNGTLSKHIHDKGSRILVSWTNRLRVASEAALALDYLHSLADPPVIHGDVKSVNILLDNNHTAKVADFGASVLMSPGQTDISATKIQGTLGYLDPEYLMTGILTVQSDVYSFGVVLVELLTGEMPNSISKSGEKRNVIQHFISALENNHLFKILDFQTADEDDMDEIEAVAELAKRCLNSMGVNRPAMKEVSDELAKLKALHQKSLAQQNSEETDYLLDESSQSFCENASHLMNQSQTVISLQMENYTNSN >Potri.011G067500.1.v4.1 pep chromosome:Pop_tri_v4:11:5901392:5903370:1 gene:Potri.011G067500.v4.1 transcript:Potri.011G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067500.v4.1 MEKMGPILMNRYELGRLLGQGTFAKVYHARNLQSGQSVAIKIIDKGKVLRSGLIDQIKREISVMRLVRHPNIVQLNEVMASRTKIYFVIEFVKGGELFNLVSKGKLKEDVARKYFQQLIGAVDFCHSRGVYHRDLKPENLLLDENGDLKITDFGLSALSESRRQDGLLHTTCGTPAYVAPEVINKKGYDGPKADIWSCGVILFVLLAGFLPFHDQNLMELYRKITKGEFRCPNWFHPEAKKLLSRILDPHPSSRTSIEKITKNCWFRKGYKQIETPPSPQGHARSNLIKDVHSAFDSASDNESNSRENVMVAPRSPLRPTCYNAFDIISLSKGFDLSGLFEKDKNRTQEARFTTTKSASMIMSKFEQIAMAESFSFKKKDGTLMLEGSREGRKGLLAIDAEICEVTPSFYVVEMKKKSGDSFEYKEFCDHELKPSLKDIVWAWQGSEQPQV >Potri.007G064800.1.v4.1 pep chromosome:Pop_tri_v4:7:8085732:8087695:-1 gene:Potri.007G064800.v4.1 transcript:Potri.007G064800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MATRLMFENSCEVGVFSKLTNAYCLVAIGGSENFYSTFEAELGDVIPVVKTSIGGSRIIGRLCAGNKNGILLPHTTTDQELQHLRNSLPDQVVVQRIEERLSALGNGVACNDHVALTHTDLDKETEEMISDVLGVEVFRQTVAGNVLVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGLTVNDWAAFCGADTTATELSVIESVFKLREAQPNAIVNEMRNSLIDSYV >Potri.018G077500.1.v4.1 pep chromosome:Pop_tri_v4:18:9530357:9531226:1 gene:Potri.018G077500.v4.1 transcript:Potri.018G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G077500.v4.1 MPRPVHDQELERWKAVKFPVPKLADYGFSEPNKILQKEFYTYAKEDNFNALFGLLSDKLEHVSSEEVLNVIFQHVAASGNSLLHVAASHGGEGVTQLLCHHFPLLITRKNFLGDNALHLAARAGRFDTIQNLVKHVKIHHKTLELASLLRMKNNKGNTPLHDRCSHQGLPRGGLFPRL >Potri.004G209600.1.v4.1 pep chromosome:Pop_tri_v4:4:21614478:21615233:1 gene:Potri.004G209600.v4.1 transcript:Potri.004G209600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209600.v4.1 MFSVLMCSHQSSGICMATIIFYTCILIPLRQIKQTLYSIIVLLTSSSSRLEPVENIEYCCSRRRSQQRRLLVACRFEKVQKKDVSCSICLVELEKEDAVSQLSRCMHVFHTDCIDKWMQRGHFTCPLCRTSIDY >Potri.001G006700.1.v4.1 pep chromosome:Pop_tri_v4:1:470183:475782:1 gene:Potri.001G006700.v4.1 transcript:Potri.001G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006700.v4.1 MMETKKSITEVGVDDIIKAGLSVEEEAKEFYRILKETIGEAKGLDPREVWRKVVDNRVLKPWHPHGVHQLVYYSVYSHWDSSTKGPPLYWFPSLHESRRANLGRVMEIHGPKLLGTEYKDPIKSFSLFQKFSVEHPEAYWSIVLKELSVVFHEPPKCILDTTDKSKPGGTWLPGSVLNIAECCLQPASHPSKKEDDVAIVWRDEMDESVLHHLKLKEFREQVMLVANALDTTFTKGDAIAIDMPMTVNAVIIYLAIVLAGFVVVSIADSFAAKEIATRLRVSNAKGIFTQDFILRGGRKFPLYSRVVEANSCKAIVIPVIGNDVGLQLRNQDQTWIDFLSSVNHLPRPNYYSPVYQPVDSLTNILFSSGTTGDPKAIGWTHLSPIRCSHDGWAHVDIQEGDVYCWPTNLGWVMGPILLYSTFLCGATLALFHGSPLSRGFGKFVQDAGVTVLGTVPSIVKAWKSTNCMEGLDWTKLKSFCSTGEASNVDDDLWLSSRAYYKPIIECCGGTELASSYIQGCPLQPQAFGAFSTASMTTGFVILDENGVSYPDDMPCVGEVGLSPLLMGASDRLLNADHDKVYFKGMPMYKGMQLRRHGDIIKRTVGGYFVVQGRADDTMNLGGIKTSSVEIERVCDRAEESIMETAAISVAPVDGGPELLVIFVVLKKGFDGEPDKLKMKFSKAIQNNLNPLFKVSFVKIVPEFPRTASNKLLRRVLRDQMKDELSVRSKI >Potri.001G012200.7.v4.1 pep chromosome:Pop_tri_v4:1:823164:833380:-1 gene:Potri.001G012200.v4.1 transcript:Potri.001G012200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012200.v4.1 MSFHVKLRFILKVVSAAAWVVVLPVTYAYTWDDKPPGFAQTIKGWFGNGFSSPSLFILAVVIYLAPNMLAAVLFLFPFIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIITKLTFSYYIEIRPLVVPTKAIMSVHITTFQWHEFFPRAKNNIGVVIALWAPIILVYFMDSQIWYAIFSTFFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPGDKSEPKKKGFKATLSRKFAEIPSNKEKEAARFAQLWNKIISSFREEDLISNKEMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEFDNYMSCAVRECYASFKNIILFLVQGKREKEVIDFIFSEVNIHIDGGDLISEYKMSALPFLYDHFVKLIKYLLANKPEDRDQVVILFQDMLEVVTRDIMMEDHISNLVDSIHGGSGHEGMTLHERQYQLFASSGAIKFPIEPVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYYTEDVLFSLLDLEVPNEDGVSILFYLQKIFPDEWNNFLERVDCSSEEELKGRDNLDEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDMAGDEDLMEGYKAIELSTDDQSKGGRSLLAQCQAVADMKFTYVVSCQKYGIHKRSGDPRAQDILRLMTTYPSLRVAYIDEVEETNPDRSKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRNPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYLLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPSEKSWESWWEEEQEHLRHSGKRGILAEILLSLRFFIYQYGLVYHLTITKKTKSFLVYGVSWLVIFLILFVMKTVSVGRRKFSANFQLAFRLIKGMIFLTFISILVTLIALPHMTVQDIFVCILAFMPTGWGMLLIAQACKPIVQRAGFWGSVQTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPRKDRSSRNKE >Potri.001G012200.1.v4.1 pep chromosome:Pop_tri_v4:1:823091:839311:-1 gene:Potri.001G012200.v4.1 transcript:Potri.001G012200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012200.v4.1 MSSTSRGGGMGMDQAAGGPLATPPQTQRRLTRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNTTQSIEVDREILEAQDKVAEKTQIYLPYNILPLDPDSANQAIMRYPEIQAAVVALRNTRGLPWPKDYKKKNDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLSKVVTPIYNMIAKEAERSKKGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLSDHHHFEKNGDNKPAYRDRWVGKVNFVEIRSFLHVFRSFDRMWSFFILCLQAMITVAWHGSGQPSVIFSGDVFKKVLSVFITAAILKLGQAILDVILNWKARQIMSFHVKLRFILKVVSAAAWVVVLPVTYAYTWDDKPPGFAQTIKGWFGNGFSSPSLFILAVVIYLAPNMLAAVLFLFPFIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIITKLTFSYYIEIRPLVVPTKAIMSVHITTFQWHEFFPRAKNNIGVVIALWAPIILVYFMDSQIWYAIFSTFFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPGDKSEPKKKGFKATLSRKFAEIPSNKEKEAARFAQLWNKIISSFREEDLISNKEMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEFDNYMSCAVRECYASFKNIILFLVQGKREKEVIDFIFSEVNIHIDGGDLISEYKMSALPFLYDHFVKLIKYLLANKPEDRDQVVILFQDMLEVVTRDIMMEDHISNLVDSIHGGSGHEGMTLHERQYQLFASSGAIKFPIEPVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYYTEDVLFSLLDLEVPNEDGVSILFYLQKIFPDEWNNFLERVDCSSEEELKGRDNLDEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDMAGDEDLMEGYKAIELSTDDQSKGGRSLLAQCQAVADMKFTYVVSCQKYGIHKRSGDPRAQDILRLMTTYPSLRVAYIDEVEETNPDRSKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRNPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYLLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPSEKSWESWWEEEQEHLRHSGKRGILAEILLSLRFFIYQYGLVYHLTITKKTKSFLVYGVSWLVIFLILFVMKTVSVGRRKFSANFQLAFRLIKGMIFLTFISILVTLIALPHMTVQDIFVCILAFMPTGWGMLLIAQACKPIVQRAGFWGSVQTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPRKDRSSRNKE >Potri.001G012200.6.v4.1 pep chromosome:Pop_tri_v4:1:823078:839311:-1 gene:Potri.001G012200.v4.1 transcript:Potri.001G012200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012200.v4.1 MSSTSRGGGMGMDQAAGGPLATPPQTQRRLTRTQTAGNLGESIFDSEVVPSSLVEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQSFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNTTQSIEVDREILEAQDKVAEKTQIYLPYNILPLDPDSANQAIMRYPEIQAAVVALRNTRGLPWPKDYKKKNDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECICYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLSKVVTPIYNMIAKEAERSKKGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLSDHHHFEKNGDNKPAYRDRWVGKVNFVEIRSFLHVFRSFDRMWSFFILCLQAMITVAWHGSGQPSVIFSGDVFKKVLSVFITAAILKLGQAILDVILNWKARQIMSFHVKLRFILKVVSAAAWVVVLPVTYAYTWDDKPPGFAQTIKGWFGNGFSSPSLFILAVVIYLAPNMLAAVLFLFPFIRRFLERSNYRIVMLMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIITKLTFSYYIEIRPLVVPTKAIMSVHITTFQWHEFFPRAKNNIGVVIALWAPIILVYFMDSQIWYAIFSTFFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPGDKSEPKKKGFKATLSRKFAEIPSNKEKEAARFAQLWNKIISSFREEDLISNKEMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEFDNYMSCAVRECYASFKNIILFLVQGKREKEVIDFIFSEVNIHIDGGDLISEYKMSALPFLYDHFVKLIKYLLANKPEDRDQVVILFQDMLEVVTRDIMMEDHISNLVDSIHGGSGHEGMTLHERQYQLFASSGAIKFPIEPVTEAWKEKIKRLFLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPTAPKVRNMLSFSVLTPYYTEDVLFSLLDLEVPNEDGVSILFYLQKIFPDEWNNFLERVDCSSEEELKGRDNLDEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDMAGDEDLMEGYKAIELSTDDQSKGGRSLLAQCQAVADMKFTYVVSCQKYGIHKRSGDPRAQDILRLMTTYPSLRVAYIDEVEETNPDRSKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRNPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFILMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRPTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYLLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWISNRGGIGVPSEKSWESWWEEEQEHLRHSGKRGILAEILLSLRFFIYQYGLVYHLTITKKTKSFLVYGVSWLVIFLILFVMKTVSVGRRKFSANFQLAFRLIKGMIFLTFISILVTLIALPHMTVQDIFVCILAFMPTGWGMLLIAQACKPIVQRAGFWGSVQTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGPRKDRSSRNKE >Potri.003G074700.1.v4.1 pep chromosome:Pop_tri_v4:3:10228740:10235635:1 gene:Potri.003G074700.v4.1 transcript:Potri.003G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074700.v4.1 MREPIETNNGSPPPLPPQALLERLKDYGQEDAFALWDELSTEERELLVKDIESLDLPRLDRIIRCSLRSQGLPAAAIEPVPENTVSTVEDRTIEERERWWKMGLKAISDGKLAVVLLSGGQGTRLGSSDPKGCFNIALPSGKSLFQLQAERILCVQRLAAQASSEGSGSSVSIHWYIMTSPFTHDSTRFFFENHKYFGLEADQVTFFQQGTIPCVSKDGRFIMETPFRVAKAPDGNGGVYSALKYSKLLEDMASRGIKYVDCYGVDNALVRVADPAFLGYFIDKGVAAAAKVVRKAYPQEKVGVFVRQGKGGPLTVVEYSELDQSLASAVNQQTGRLRFCWSNVCLHMFSLDFLNQVANGLEKDSIYHLAEKRIPSIHGDTMGLKLEQFIFDAFPYAPSTALFEVPREEEFAPVKNANGSNFDTPESARLLVLRLHSRWVVAAGGFLTHSVPLYATGVEVSPLCSYAGENLEAICRGRTFHAPCEITF >Potri.005G077200.1.v4.1 pep chromosome:Pop_tri_v4:5:5215329:5218392:-1 gene:Potri.005G077200.v4.1 transcript:Potri.005G077200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077200.v4.1 MTNQNVVVADTNSGINLAITVHVTNSSIFTTAAQKPPAAPGGYISISRKKLLKNLEISGGARFNAWVNSMRTSSPTHVKSTPSANDDQSSWILHHPSALEMFEQIIDASKGKQIVMFLDYDGTLSPIVDDPDRAFMSKQMRATVRKLARFFPTAIVSGRCRDKVYNFVRLAELYYAGSHGMDIKGPAKGSKYKKGSEGVVFQAGSEFLPMIDEVYKELVEKTKTTPGAKVENNKFCLSVHYRCVDEKKWSGLAQVVKSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKVLRERGQGFGILVSKFPKDTSASYSLQEPTQVMDFLQRLVQWKRLAFQGQSRV >Potri.017G078000.7.v4.1 pep chromosome:Pop_tri_v4:17:8662858:8665975:1 gene:Potri.017G078000.v4.1 transcript:Potri.017G078000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078000.v4.1 MGQAFGCLQVDQSNVAIKEQFGKFVDVLEPGCHCLPWCFGYQVAGGLSLRVQQLDVRCETKTKDNVFVTVVASIQYRAMAEKASDAFYKLSNTKAQIQAYVFDVIRASVPKLLLDDTFEQKNDIAKAVENELEKAMSAYGYEIVQTLIVDIEPDINVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDITSQIRDGLLQGNSAQ >Potri.017G078000.2.v4.1 pep chromosome:Pop_tri_v4:17:8662806:8665655:1 gene:Potri.017G078000.v4.1 transcript:Potri.017G078000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078000.v4.1 MGQAFGCLQVDQSNVAIKEQFGKFVDVLEPGCHCLPWCFGYQVAGGLSLRVQQLDVRCETKTKDNVFVTVVASIQYRAMAEKASDAFYKLSNTKAQIQAYVFDVIRASVPKLLLDDTFEQKNDIAKAVENELEKAMSAYGYEIVQTLIVDIEPDINVKRAMNEINAAARLRVAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLAFSENVPGTSAKDVMDMVLVTQYFDTMKEIGASSKSSSVFIPHGPGAVRDITSQIRDGLLQGNSAQ >Potri.006G054700.1.v4.1 pep chromosome:Pop_tri_v4:6:3849417:3854923:-1 gene:Potri.006G054700.v4.1 transcript:Potri.006G054700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054700.v4.1 MPVINITSIRTSSNGAWQGDNPLDHAFPLLIVQTTLIVVVSRLLAFLLKPLRQPKVIAEIAGGILLGPSAIGRNKEYLNWIFPKWSTPILESVASVGLLFFLFLVGLELDLSSIRRSGKRAFGIAVAGISLPFVCGVAVAFVLRKAIEGEDQVGYTQFLVFIGVALSITAFPVLSRILAELKLLTTQVGETAMAAAAFNDVTAWILLALAVALAGNGADGEHKSPLISIWVLISGGAFVAIMLTVIRPAMKWIARRCSSKNNMIDEAYICFTLTGVLVSGFITDLIGIHSIFGAFVFGLTIPKGGVFAERLIERIEDFVSALLLPLYFASSGLKTDVATIRNGRSWGLLVLVITTACAGKIIGTFVVAMMFMIPARESLTLGVLMNTKGLVELIVLDIGKEKRVLNDESFAILVLMALFTTFITTPTVMAIYKPARGGSSTSSHRKLGDLTTTKGTKDELRILACAHGSENVPPLVSLIESIRSTKKSQLKLYIMHLVELTERSSSIIMVQRVRKNGLPFINQSQSSEWNDRVTGAFQAYSQLGRISVRTMTSISNLTTTHEDICQVGENKKVTLIILPFHKQLRGEGDQIMDNVGHGWRGVNQRVLKNAPCSVAVLVDRGFGNDSQISEANTNITQRVCIMFFGGPDDREALELSGRMAEHPVVKVTTVRFVQKEGQEKNHVVLQLSPSERRSTELSYSFSTAIMNPEKEKACPLSFQTYCVCVCVCVCVCVCY >Potri.006G054700.2.v4.1 pep chromosome:Pop_tri_v4:6:3849623:3854924:-1 gene:Potri.006G054700.v4.1 transcript:Potri.006G054700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054700.v4.1 MPVINITSIRTSSNGAWQGDNPLDHAFPLLIVQTTLIVVVSRLLAFLLKPLRQPKVIAEIAGGILLGPSAIGRNKEYLNWIFPKWSTPILESVASVGLLFFLFLVGLELDLSSIRRSGKRAFGIAVAGISLPFVCGVAVAFVLRKAIEGEDQVGYTQFLVFIGVALSITAFPVLSRILAELKLLTTQVGETAMAAAAFNDVTAWILLALAVALAGNGADGEHKSPLISIWVLISGGAFVAIMLTVIRPAMKWIARRCSSKNNMIDEAYICFTLTGVLVSGFITDLIGIHSIFGAFVFGLTIPKGGVFAERLIERIEDFVSALLLPLYFASSGLKTDVATIRNGRSWGLLVLVITTACAGKIIGTFVVAMMFMIPARESLTLGVLMNTKGLVELIVLDIGKEKRVLNDESFAILVLMALFTTFITTPTVMAIYKPARGGSSTSSHRKLGDLTTTKGTKDELRILACAHGSENVPPLVSLIESIRSTKKSQLKLYIMHLVELTERSSSIIMVQRVRKNGLPFINQSQSSEWNDRVTGAFQAYSQLGRISVRTMTSISNLTTTHEDICQVGENKKVTLIILPFHKQLRGEGDQIMDNVGHGWRGVNQRVLKNAPCSVAVLVDRGFGNDSQISEANTNITQRVCIMFFGGPDDREALELSGRMAEHPVVKVTTVRFVQKEGQEKNHVVLQLSPSERRSTELSYSFSTAIMNPEKEKDLDETAIAEFKSKWEGTVEYTENVVSNIVERVLAIGRSGDYDLIFVGKGRFPSTMIAELAYRQAEHAELGPIGDILASSGHGVVSSVLVIQQHDSAHAKEAPVSKVVHSELEKSAEESWSVGEITKDAV >Potri.004G170901.2.v4.1 pep chromosome:Pop_tri_v4:4:20452918:20457227:1 gene:Potri.004G170901.v4.1 transcript:Potri.004G170901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170901.v4.1 MWLSKGHGTKKGGEGNGLVAVAVDKDKGSQNALKWTVENLLSKGQNLILIHVFYKSSSSFVTSHGSPGDYSSPGKQQLEKMAKDLFLTFRCYCTRKDVHCLDVAVESTDIAKAITEYVSHAAIETLVLGTPSRSGFMRKFKADVPSTVSRGAPDFCTVYVVSKGKVSTMRNASRPAPFASPLLHQIQNQQNQNSPRVDSSEALYKHVWSIKERTMPVKPRISVGETFRSPLGRGGQGHSVKSFADLMSETDISFVSSSRPSTDRMSSVTYDFMDSGATPRFSTSSDTSFASIQSGPKFFSPNYHQGFSSISQDSGRTSFTGSTHSLDDMESEMRRLKLELKQTMDLYNAACREALTAKRKATELNRWRIEEERRLEESRFSEEAALSIIEQEKARCREAIDAAEEAEKRAAIEAQRRLNIEKTLKEAAKTKRAKDNLSYHGIRYRRYSIEEIEVATQYFSESKKIGEGGYGPVYNCYLDQTPVAVKVLRPDATQGRSQFRREVEVLSLIRHPNMVLLLGACPEYGILVYEYMAKGSLEDCLFKRGNTAALSWQIRFRIAAEIATGLLFLHQTKPEPVVHRDLKPGNILLDNNYTSKISDVGWPGLFLLLLRM >Potri.013G083500.1.v4.1 pep chromosome:Pop_tri_v4:13:7498820:7499813:1 gene:Potri.013G083500.v4.1 transcript:Potri.013G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083500.v4.1 MANPAKSGKNLKPILFFFIIVSLSTSSSARPLYTTLLQSLTATESALPMENMDDVYVNPTMEKDSKHVLPCHMEIGEGSNIRSTRLAGKFGPMILNVLPKGTIPPSGPSKGGNSLND >Potri.004G221300.1.v4.1 pep chromosome:Pop_tri_v4:4:22643067:22643792:1 gene:Potri.004G221300.v4.1 transcript:Potri.004G221300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G221300.v4.1 MSQVLVSVRFLKTWAKASLISPENQLEMEHLFTKQLSPTDTTHRLTIPAANLGDFEFPMGEHAIDIEATDTIERQWIFRLSIRRENNPNPRPVFTGQWTQFVNEKGLRVGDRIIFSRQQVEGNGVQYSIRAERRIFNYWVNVE >Potri.003G161000.1.v4.1 pep chromosome:Pop_tri_v4:3:17074107:17075750:-1 gene:Potri.003G161000.v4.1 transcript:Potri.003G161000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161000.v4.1 MDFTQSTKTNSTPSPSKNKRKQQQQQQKNQNQQEQHEVRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSKARTNFVYSDMPPASSVTSIISPDESQHDISALFAPPPQNHAHQNDTNCQKLYFSQDQYPFNAYAYGNSNSNLLTGGEGWVQGCGAGAADGPGGSYEPNNAGSFGVATEPIYFSNKDNIELPPLPPDVNSSCYGSDMDHGFWNDAGFFGFQEEQKNNGNGLEISGSSLGFDSNDFGQHGSLFEIMPSVSDTVTDELDLGSSSTLYF >Potri.007G018600.2.v4.1 pep chromosome:Pop_tri_v4:7:1440061:1446475:1 gene:Potri.007G018600.v4.1 transcript:Potri.007G018600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018600.v4.1 MDKYKVTHRLLKQSIKSLVNRQLTSNNPIYRSISALPLGNGGRNPQLYRSFGSLATKVERNPSFSSLNSDDVSYFKGVLGEKNVVQDEDRLETANIDWMHKYKGSSKLLLLPRNTEEVSKILEYCNSRRLAVVPQGGNTGLVGGSVPVFDEVIINAGSMNKIIAFDKVSGILVCEAGCILENLISYLDNQGFIMPLDLGAKGSCQIGGNVSTNAGGLRFVRYGSLHGNVLGLEAVLANGDVLDMLGTLRKDNTGYDLKHLFIGSEGSLGIVTKVSILTPPKLSSVNIAFLACEDYLSCQKLLSEAKRKLGEILSAFEFLDSHAMDLVLNHLEGVRNPLPSAVHNFYVLIETTGSDESYDKEKLEAFLLHSMESGLISDGVLAQDINQASSFWRIREGVPEALMRAGPVYKYDLSIPVEKMYSLVEDMRLRLGQSANVVGYGHLGDGNLHLNISAPRYDDTILAQIEPYVYEWTSKHRGSISAEHGLGLMKANEIFYSKSHETVQLMASIKKLLDPNGILNPYKVLPHSLCSYS >Potri.003G048150.1.v4.1 pep chromosome:Pop_tri_v4:3:6982411:6982524:-1 gene:Potri.003G048150.v4.1 transcript:Potri.003G048150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048150.v4.1 MIENFLFRIVLGLIPITLAGLFVIAYLQYRCSGQLNL >Potri.007G044100.1.v4.1 pep chromosome:Pop_tri_v4:7:3808394:3813648:1 gene:Potri.007G044100.v4.1 transcript:Potri.007G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044100.v4.1 MWHEARRSEKKVHDMMDAARKRAQRRAVFLAKRRGDPMQSIQLIGNRSRMYRDDGLYQATHDQQGLIPWNGKQDVLIDRFDGRALLDFIRESGTRRALQKSEEEEELEEFVNFERYRDLIKHRRRGFTDDEGLQHVYQELEAKFTTPFASDSNSQTQQPPASKGPYSQVGFSYDGDGKEETHFSDGDDSESEDEDDDDDEDFNSDDSNDEGMETIAKEFGVKRYGWLVYMDKKAKEEEKRQKEVIKGDPAIRKMSRKERRKASQIEREREREAARITGTRVLHHDPYRESRRSPTYEAYSRSRRSRSRSRSYSPSHSRRYTRGGHLDEIHHSKSRTPKIEYITEFGGPCDRDEPKLGGYSPPPSPPSQADVLNRASSGHILEALHIDPASGVSPENDKSTKVSKPAVSASSGLAKLAKASTSGGPLKQQQGEKKETPQERLKRIMSKQLNKQIKKDTATEMAKKREQERHRQEKLAETNQLSRYRRRSHSRSRSPPRRHRSSRSPSRSRSSRKYHSRSRSPSRSRTRTHSRSHSHSRSPRVRSRSRY >Potri.009G081900.1.v4.1 pep chromosome:Pop_tri_v4:9:7783771:7788108:1 gene:Potri.009G081900.v4.1 transcript:Potri.009G081900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081900.v4.1 MEMEEESQCSKINGEEDFYEKIEAPKFVDLNAPDQYHPGDDRYWFCLRVGCDQKHEEEMDSEAIYKNFVLRVMAARSPNIRLRKALYRKDSGANIKCPRTAPAKSSKPRVSRLALISSISKKMVDQKVKVKPLAKRNATPNVREKQSSVASKALTTPRNKKRLSNPDAFRSVRNPKAMDIANSRVVAKALFRSPNKSVSTKTLIELDTTVNKICAGVKKFEVTDGKKHANTPLPPNALRKQPRGREVKSRVYDGPLSRNCKGKNLKQYHGPMPQKGAGNNFSDMEIQEKSRNVSRGVCSTAKCDEGNNPHEPSLVENKAEALSDANENTQSNYEERGSGENDVPKLLASSEEGNETSERHGEEDEMNSSLDKGTDGIMESNDRKHILISDDKENDSEAMESDNKENASASDDNRDMDLNTGHLKRQTLGKHESVKSTQMIAKAMRKPSKENFVTDATGVQGLKHGKPKPTNPKPFRLRTDERGILKEATLEKKLHAAPLKEITVKRFPGGSLQKKQKALLRNDKSLEQTELANDAQKACEKERNTTQKEQHQNQASILKNSKERVRRKLSSAPQRHTVSSQQKLVSPLKKYSHCKTTAQDLGNTLKRAKSPFVRNVVRPQETSSTTKETLRVTLPGQLGVIKEESPEILLRPKEVAKPSKSSASPETKASAVPRQSLQGRRSTTIPKEPNFHTIHTPKSCTRRVA >Potri.011G006050.1.v4.1 pep chromosome:Pop_tri_v4:11:505960:507747:1 gene:Potri.011G006050.v4.1 transcript:Potri.011G006050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006050.v4.1 MEVMNRILSESDVKNKQMEFPARSLSAFPLPDGQNSVQFVAFDMNEQQWSLKVSIRNEGRYSKPWLRGEWGDYVHQKGLQKGDKVILTMDEEESGERIYRIRAERKMFGFWYSIDDQQ >Potri.011G006050.2.v4.1 pep chromosome:Pop_tri_v4:11:506013:507732:1 gene:Potri.011G006050.v4.1 transcript:Potri.011G006050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006050.v4.1 MEFPARSLSAFPLPDGQNSVQFVAFDMNEQQWSLKVSIRNEGRYSKPWLRGEWGDYVHQKGLQKGDKVILTMDEEESGERIYRIRAERKMFGFWYSIDDQQ >Potri.003G015433.1.v4.1 pep chromosome:Pop_tri_v4:3:1713854:1714181:-1 gene:Potri.003G015433.v4.1 transcript:Potri.003G015433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015433.v4.1 MKSYLKSNRYHTAKHIDPTSLYVLFSSFFVSSYDNAYHNLVLKVKFRKPQAQFISIFPGCFCQGRDLFVGVGRSEWNSG >Potri.006G020600.4.v4.1 pep chromosome:Pop_tri_v4:6:1385687:1390714:-1 gene:Potri.006G020600.v4.1 transcript:Potri.006G020600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020600.v4.1 MMTERNHKMIDDMIDLQDNVPADDVVGGNIVGVVDVVHSRDVAVVDSPKRAVAMFEGDVNYELCDGIEFGSHEEAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGNSRRSTVKKTDCKASMHVKRRADGKWIIHEFVKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKMYVEMSRQSGGYQNFGLVKSEMNMQFEKGQHLALDEGDAQVVLEYFKRVKKENANFFYAIDLNEEQRLRNLFWVDAKSRADYISFNDAVCFETFYVKYHEKLPFAPFVGVNHHCQPILLGCAFIADESRSTFVWLMKTWLRAMGGQAPKVIVTDVDKTLKVAIEEVFPNTRHCFSLWHILERLPETLSHVIKRHENFLPKFNKCIFKSWTDDRFDMRWWKMVTRFELQDDEWIQSLYEDRKKWVPTYMGDTFLAGTSATQRSESMSAFFDKYIHRKITMKEFMKQYGTILQNRYEDESVADFDTSHKQPALKSPSPWEKQMSMVYTHAIFKKFQVEVLGVVGCHPKKESEDGTLVTFRVQDCEKDEHFLVTWNQTNSEVCCFCHSFEYKGFLCRHALIVLQICGLSNIPPHYILKRWTKDAKSRQPMAVGTERAQTRVQRYNDLCKLAIEMSEEGSLSEESYNIVLHTLVEALKNCVNVNNCNNSVAESSTYTLTHREAEEENQGSLVTKSSKKKNPVRKRKVQSDPDVMLVEAPDSLQQMENLSSEGINLGGYYGTQQNVQGLVQLNLMEPPHDGYYVNQQSMQGLGQLNSIAPSHDGFFGTQQSLHGLGQYDFRPPTGFSYSMQDDTHLRSSHMHGSASRHA >Potri.006G020600.1.v4.1 pep chromosome:Pop_tri_v4:6:1385668:1390722:-1 gene:Potri.006G020600.v4.1 transcript:Potri.006G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020600.v4.1 MMTERNHKMIDDMIDLQDNVPADDVVGGNIVGVVDVVHSRDVAVVDSPKRAVAMFEGDVNYELCDGIEFGSHEEAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGNSRRSTVKKTDCKASMHVKRRADGKWIIHEFVKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKMYVEMSRQSGGYQNFGLVKSEMNMQFEKGQHLALDEGDAQVVLEYFKRVKKENANFFYAIDLNEEQRLRNLFWVDAKSRADYISFNDAVCFETFYVKYHEKLPFAPFVGVNHHCQPILLGCAFIADESRSTFVWLMKTWLRAMGGQAPKVIVTDVDKTLKVAIEEVFPNTRHCFSLWHILERLPETLSHVIKRHENFLPKFNKCIFKSWTDDRFDMRWWKMVTRFELQDDEWIQSLYEDRKKWVPTYMGDTFLAGTSATQRSESMSAFFDKYIHRKITMKEFMKQYGTILQNRYEDESVADFDTSHKQPALKSPSPWEKQMSMVYTHAIFKKFQVEVLGVVGCHPKKESEDGTLVTFRVQDCEKDEHFLVTWNQTNSEVCCFCHSFEYKGFLCRHALIVLQICGLSNIPPHYILKRWTKDAKSRQPMAVGTERAQTRVQRYNDLCKLAIEMSEEGSLSEESYNIVLHTLVEALKNCVNVNNCNNSVAESSTYTLTHREAEEENQGSLVTKSSKKKNPVRKRKVQSDPDVMLVEAPDSLQQMENLSSEGINLGGYYGTQQNVQGLVQLNLMEPPHDGYYVNQQSMQGLGQLNSIAPSHDGFFGTQQSLHGLGQYDFRPPTGFSYSMQLQDDTHLRSSHMHGSASRHA >Potri.006G020600.5.v4.1 pep chromosome:Pop_tri_v4:6:1385688:1390709:-1 gene:Potri.006G020600.v4.1 transcript:Potri.006G020600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020600.v4.1 MMTERNHKMIDDMIDLQDNVPADDVVGGNIVGVVDVVHSRDVAVVDSPKRAVAMFEGDVNYELCDGIEFGSHEEAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGNSRRSTVKKTDCKASMHVKRRADGKWIIHEFVKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKMYVEMSRQSGGYQNFGLVKSEMNMQFEKGQHLALDEGDAQVVLEYFKRVKKENANFFYAIDLNEEQRLRNLFWVDAKSRADYISFNDAVCFETFYVKYHEKLPFAPFVGVNHHCQPILLGCAFIADESRSTFVWLMKTWLRAMGGQAPKVIVTDVDKTLKVAIEEVFPNTRHCFSLWHILERLPETLSHVIKRHENFLPKFNKCIFKSWTDDRFDMRWWKMVTRFELQDDEWIQSLYEDRKKWVPTYMGDTFLAGTSATQRSESMSAFFDKYIHRKITMKEFMKQYGTILQNRYEDESVADFDTSHKQPALKSPSPWEKQMSMVYTHAIFKKFQVEVLGVVGCHPKKESEDGTLVTFRVQDCEKDEHFLVTWNQTNSEVCCFCHSFEYKGFLCRHALIVLQICGLSNIPPHYILKRWTKDAKSRQPMAVGTERAQTRVQRYNDLCKLAIEMSEEGSLSEESYNIVLHTLVEALKNCVNVNNCNNSVAESSTYTLTHREAEEENQGSLVTKSSKKKNPVRKRKVQSDPDVMLVEAPDSLQQMENLSSEGINLGGYYGTQQNVQGLVQLNLMEPPHDGYYVNQQSMQGLGQLNSIAPSHDGFFGTQQSLHGLGQYDFRPPTGFSYSMQDDTHLRSSHMHGSASRHA >Potri.006G020600.3.v4.1 pep chromosome:Pop_tri_v4:6:1385669:1390717:-1 gene:Potri.006G020600.v4.1 transcript:Potri.006G020600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020600.v4.1 MMTERNHKMIDDMIDLQDNVPADDVVGGNIVGVVDVVHSRDVAVVDSPKRAVAMFEGDVNYELCDGIEFGSHEEAYSFYQEYAKSMGFTTSIKNSRRSKKSKEFIDAKFACSRYGVTPESDSGNSRRSTVKKTDCKASMHVKRRADGKWIIHEFVKEHNHELLPALAYHFRIHRNVKLAEKNNIDILHAVSERTRKMYVEMSRQSGGYQNFGLVKSEMNMQFEKGQHLALDEGDAQVVLEYFKRVKKENANFFYAIDLNEEQRLRNLFWVDAKSRADYISFNDAVCFETFYVKYHEKLPFAPFVGVNHHCQPILLGCAFIADESRSTFVWLMKTWLRAMGGQAPKVIVTDVDKTLKVAIEEVFPNTRHCFSLWHILERLPETLSHVIKRHENFLPKFNKCIFKSWTDDRFDMRWWKMVTRFELQDDEWIQSLYEDRKKWVPTYMGDTFLAGTSATQRSESMSAFFDKYIHRKITMKEFMKQYGTILQNRYEDESVADFDTSHKQPALKSPSPWEKQMSMVYTHAIFKKFQVEVLGVVGCHPKKESEDGTLVTFRVQDCEKDEHFLVTWNQTNSEVCCFCHSFEYKGFLCRHALIVLQICGLSNIPPHYILKRWTKDAKSRQPMAVGTERAQTRVQRYNDLCKLAIEMSEEGSLSEESYNIVLHTLVEALKNCVNVNNCNNSVAESSTYTLTHREAEEENQGSLVTKSSKKKNPVRKRKVQSDPDVMLVEAPDSLQQMENLSSEGINLGGYYGTQQNVQGLVQLNLMEPPHDGYYVNQQSMQGLGQLNSIAPSHDGFFGTQQSLHGLGQYDFRPPTGFSYSMQLQDDTHLRSSHMHGSASRHA >Potri.019G086500.1.v4.1 pep chromosome:Pop_tri_v4:19:12579211:12580531:-1 gene:Potri.019G086500.v4.1 transcript:Potri.019G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086500.v4.1 MEVTRVDVNLHTVNTDVFDPGNYDPMFLVQITLQRKLEMWLSVIDQEELLMAGQHQIDSESGCVFEVPIQEVAVPASFIHYLAQRISGFNFDASLCVDLASLIASHAYHLEGHCRGFYVSAHVDLVDVDVNEVAASEIRPILDSEGFTLPRGASETVLKKERLCKKQGGADSSSGSTCVVCLEDFSSSVKLTKLPCSHVFHDKCIFRWLLYSKSCPICRTEVE >Potri.002G154500.1.v4.1 pep chromosome:Pop_tri_v4:2:11792045:11796983:1 gene:Potri.002G154500.v4.1 transcript:Potri.002G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154500.v4.1 MEPDDEIYANGEAESSNSRNRPIISSEQLDIEAYAGLYTGRTKITRLLFIADQCGQNNNTAMQMEALRMAYEEIKKGENTQLFRDVVHKIDGRLGDNYGMDSAWCEMVDRRADQRKEKLENELNAYRTNLIKESIRMGYNDFGDYYYAHGSLADAFKSYVRTRDYCTTSKHVIHMCMSAILVSIEMGQFTHVTSYINKAEQTADALDPNTVSKLRCAAGLANLDAKKYKLAARKFLEVGPELGNSYNEVIAPQDVATYGGLCALASFDRMELKNKVIDSINFRNFLELVPEVRELIHDFYSSHYASCLDYLGNLKANLMLDIHLHDHVQTLYDQIRNKALIQYTHPFVSVDLHMMANAFKTTVASLEKELEALITDNQIQARIDSHNKILYARHADQRNATFQRVLQTGSEFDRDVRAMLLRANLIKLEYKASRKP >Potri.002G058400.1.v4.1 pep chromosome:Pop_tri_v4:2:4002533:4002745:-1 gene:Potri.002G058400.v4.1 transcript:Potri.002G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058400.v4.1 MAKKTWPSKKVMTGKDRLYPWKFSGIKWKRGLDFHLNIIDNLVFKVLYVAEAAVLVSTLCFFYLCCGCHI >Potri.015G057800.1.v4.1 pep chromosome:Pop_tri_v4:15:8046390:8050628:-1 gene:Potri.015G057800.v4.1 transcript:Potri.015G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057800.v4.1 MAVLVLAILMMAMAGRASCTWCVCKEMGTPVLQQTLDYACGAGADCVPIHQNGPCFLPNTVRAHCNYAVNSYFQKKGQAQGACDFKGAAMVSASDPSINGCSYPSSVSAAGTGTTPTPVGTTPTPVGTTPTTPVTTTPTNTTPSTATPSTTTTPYTATPTGVLGGIGTGVGPSGAGINTDITDAGFRLENTGLFSFFITVVVSSLMFWCG >Potri.007G123000.8.v4.1 pep chromosome:Pop_tri_v4:7:14006402:14012575:-1 gene:Potri.007G123000.v4.1 transcript:Potri.007G123000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123000.v4.1 MCNNKDILAGQQRLHTRKTLKINTITLFPHSSAVFPVLFNKTSPFQQHLVSWSFSSCDLIKMNDLLTSSFIGDSKGHHPIDGDIEMGIRGSRSNNPDMGMEAFNKKIQEVGKQLDKINGLLKNLKEANEDSKSVTKASAMKAIKKRMEKDVDEVGKIARNVKERIVAINKDNLDSRQKPGCEKGTGVDRARMNVTNAITKRFRDLMTEFQTLRQKIQDEYRELVERRVITVTGTRPDEKTIDHLIETGNSEQIFQKAIQEQGRGEVLNTLEEIQERHDAVKEIEKKLLELKEIFGDLAVLVDAQGEILDNIENQVTNAVDHVHNGTDALRTAKNLQKKSRKCMMIAIILVLIIAIIIVLSILKPWKKN >Potri.007G123000.7.v4.1 pep chromosome:Pop_tri_v4:7:14006402:14012575:-1 gene:Potri.007G123000.v4.1 transcript:Potri.007G123000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123000.v4.1 MCNNKDILAGQQRLHTRKTLKINTITLFPHSSAVFPVLFNKTSPFQQHLVSWSFSSCDLIKMNDLLTSSFIGDSKGHHPIDGDIEMGIRGSRSNNPDMGMEAFNKKIQEVGKQLDKINGLLKNLKEANEDSKSVTKASAMKAIKKRMEKDVDEVGKIARNVKERIVAINKDNLDSRQKPGCEKGTGVDRARMNVTNAITKRFRDLMTEFQTLRQKIQDEYRELVERRVITVTGTRPDEKTIDHLIETGNSEQIFQKAIQEQGRGEVLNTLEEIQERHDAVKEIEKKLLELKEIFGDLAVLVDAQGEILDNIENQVTNAVDHVHNGTDALRTAKNLQKKSRKCMMIAIILVLIIAIIIVLSILKPWKKN >Potri.001G098901.1.v4.1 pep chromosome:Pop_tri_v4:1:7876011:7879340:-1 gene:Potri.001G098901.v4.1 transcript:Potri.001G098901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098901.v4.1 MYDGDHRFAFPSYHFPCHLLPVDKPCKSKHLCLPRIGSFLPNGSIRQVPLICVEYIPLHQSDIDSILSGRLNLTWLRSCCSKMRLTLYIHGQLLSMKTLNIAGPTFYRVELKTINLESFRVSRFDPNCVFVGVPFLKKGSLLATMWSSSIARMLIATDLPRLQFLSLFFTSEVCEEKTMSFYGPRRQIYERALFTPTFKVEGN >Potri.012G022900.1.v4.1 pep chromosome:Pop_tri_v4:12:2324975:2326692:-1 gene:Potri.012G022900.v4.1 transcript:Potri.012G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022900.v4.1 MGYVLRVRLASFFAGAATASFAGLYLLYQDFKVAHDAISQQVESAHESLDRRISALEKLKQSEAPQPLQATE >Potri.019G061400.2.v4.1 pep chromosome:Pop_tri_v4:19:10136364:10142728:-1 gene:Potri.019G061400.v4.1 transcript:Potri.019G061400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061400.v4.1 MNSGSAAKIRFVRCPKCRQVLVEPQDIPVYKCGGCGTHLQVKIRKSNPEVATSGLHETDAAQKNRSDHISEAKESSSSNHEENFLSPGECSPDQLNGGDCAASGDFDLDHLSGANLPEELQRSGSDRNGSGDFDSKQPGGVNSSHNQKNEIDKNDPGDSDNEHVVGVGSSNEHQQNGSGQNETEDCVDLKVIGTSLSSDDQETGNDSNESPECDHEQPEIFNEVQIQQIESGDCNDEQLRGMGVISTEAQNDWSDRNDSSDCNVEQAGFSYKVCSPTKLDEEQSQLAAAKPKAEVNEGGIQQNESGAFSDEQLGSVNLSTEAEDDRSDQNDSLDFSIEQAGSDESSPLTVTKAELDAHSDSDGTSNDVCSPTRRAHLKNKEPPPLAGAKKEVEVSDESSPLTVTKAELDAHSDSDGTSNDVCSPTRRAHLKNKEPPPLAGAKKEVEVSDESSPLTVTKAELDAHSDSDGTSNDVCSPTRRAHLKNKEPPPLAGAKKEVEVSDGSSPLTVTKAELDAHSDSDGTSNDVCSPTRRAHLKNEEPSPLAASEVEVSDGSSQLAATKAELDAHSDSDGTSNDDCSLTKLALLENKELSSVEGEKSEAGASNESSQLAGAKALLDASKESGSDFIKSSIEKSVDKEGASVVAAQRPAGESISSDIFVTSPNEQLEKLHETGRHDFDRVQCTDTFKTMDLIDPSSELSDSLIDLSKSPATRSSRAYYDDTVSSYEGTDDQLPDRPKHPFRNTHKQANHAASNERPRSEKFVANSSLEMQHHLKNHTSIISDNNHRALKSSKLNHDELVEHTRVAHPARNWRRLEKDEYPSQAPFYQRDFLAGYDNGSPSNQNNNESRSNPYFHSREKAAYTEQEKMKLLEMIYELQDQVNVLNGKEKGRVAPGVTWKDHNPSYNDHLEQVIFDDLDYLSYPGRFRGGRNWHQQSKYSQIPFSAEVASNRNQVDHLCCCPQDCRLAQLPPPNLHHNRVFCKAQDHVEFYHSYGSCPSTPQRHADSEFSIYRRETLSDDHRRRDQEVKKYVTKKHHLAKRHLLPLAGGAPFITCFFCFKQLQLPADFLLSKRKYHQLRCGVCLEVLRFSLISRTHLVPYTPTADAPPPSEVDEHSGGLHRRISASSSHASNCPNMDPVSCSEDYGLPFCKSGSTDGDPVQTSLRSAESSLNERRKNLKEKHELSGPSSSMSKTKKVSSEIEELPRKGGGGSPLHRLMGYSSPSRLIYGYEPSCSSWYYPTED >Potri.006G189501.2.v4.1 pep chromosome:Pop_tri_v4:6:19652761:19658500:-1 gene:Potri.006G189501.v4.1 transcript:Potri.006G189501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189501.v4.1 MDVSQPIVLVDSKETHIVAYLDETPTLKPCNLNLTYEYSSDFLLGESSHRELGFCEEFEATPGAESSSKQMEEEEQKGSSFDSSSSEKEMDSDETANCRAGEEMLTAAFSPKKNSAFLSIGGMKLFTQDISDGGSDGESLDESSESQQVELSQSDDSEDASNSEFDVDDDVAEDYLEGIGGSSNILDVKWLVENVLDDSEEDSSSSGCFDETLEKLGGIALQEASRRYGMKKPQSKKNHASSARDVSQDLDDFMHVKDPRTVSAKKKHVARLPWSSQRSQNSRNFPGEKKKHHKEMIAVKRHQRMLSQGVDLEKINKVRRLAAVYRLHSGSQGSGKKSFVTGTRTQHTCMPSASDKLRLEKLIGAGVEDSDFAVNEGSKTKSVSADRNRKKKSTRGSSGRNGLYTNQPVSFVSSGVMQPGVVETTTLGAQEINETGENKDATSSSKYGAFEVHTKGFGSKMMEKMGFIQGGGLGKDGQGMAQPIEVIQRPKSLGLGVDFSNISGDSVKNKPQSLETGTATGKSGKHSKTPSFGAFEKHTKGFGSKMMTRMGFVEGKGLGKDSQGIVNPLVAVRRRKARGLGAKS >Potri.006G189501.1.v4.1 pep chromosome:Pop_tri_v4:6:19651893:19658512:-1 gene:Potri.006G189501.v4.1 transcript:Potri.006G189501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189501.v4.1 MAGGGKRRSNHNNKNRNKKIDNRNIRKDSGASSRSRRRSKELRNSLFVEGGVLADWSPIHSGRSSNVKSNSKSGSKPGNSNQGKACSGSKNAPRKASGNPFVYNYPCFDLQEGTSRDMDVSQPIVLVDSKETHIVAYLDETPTLKPCNLNLTYEYSSDFLLGESSHRELGFCEEFEATPGAESSSKQMEEEEQKGSSFDSSSSEKEMDSDETANCRAGEEMLTAAFSPKKNSAFLSIGGMKLFTQDISDGGSDGESLDESSESQQVELSQSDDSEDASNSEFDVDDDVAEDYLEGIGGSSNILDVKWLVENVLDDSEEDSSSSGCFDETLEKLGGIALQEASRRYGMKKPQSKKNHASSARDVSQDLDDFMHVKDPRTVSAKKKHVARLPWSSQRSQNSRNFPGEKKKHHKEMIAVKRHQRMLSQGVDLEKINKVRRLAAVYRLHSGSQGSGKKSFVTGTRTQHTCMPSASDKLRLEKLIGAGVEDSDFAVNEGSKTKSVSADRNRKKKSTRGSSGRNGLYTNQPVSFVSSGVMQPGVVETTTLGAQEINETGENKDATSSSKYGAFEVHTKGFGSKMMEKMGFIQGGGLGKDGQGMAQPIEVIQRPKSLGLGVDFSNISGDSVKNKPQSLETGTATGKSGKHSKTPSFGAFEKHTKGFGSKMMTRMGFVEGKGLGKDSQGIVNPLVAVRRRKARGLGAKS >Potri.003G159800.1.v4.1 pep chromosome:Pop_tri_v4:3:17004139:17009113:1 gene:Potri.003G159800.v4.1 transcript:Potri.003G159800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159800.v4.1 MIRGEDSRGAYSENNSDTESIASDRTAFSGPLGSGGGGALNKKRSSRKSARFNIPTETVINSGVPDDPSSYVEITLDIREDSVAVHSVQGANEDPELTLLAKTALEGNNSSSLRSSLFRNTSSRIRQVSQELKRFASLSRRTSTRRFDRNKSAAAHALKGLKFITTKTGNGWPAVEKRFQGLTASTSGLLPCSLFGECIGMNKDSKEFAGELFRALARRHNINSDLINKAQLRQFWDQISDESFDSRLQIFFDMVDKDADGRIAEEEVLEIITLSASANKLSNIQKQAKEYAALIMEELDPDNAGYIMIYNLETLLLQAPNQTVRVGDSKVLSQLLSQKLKPTQENNPLKRWYQKMKYFLMDNWQRVWIMMLWMGIVAGLFTYKFIQYRHKAAYDVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVAVPFDDNLNFHKVIAVGIAIGIGLHAGAHLTCDFPRLIHATEEEYEPMKPYFGDDQPENYWWFLKGVEGITGVVMVVLMAIAFTLATPWFRRNRINLPKPLKKLTGFNAFWYSHHLFVIVYTLLVVHGIYLYLTKTWYHKTTWMYLAVPVILYACERLIRAFRSSIRAVKILKVAVYPGNVLALHMSKPQGFKYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLKTVFSEVCQPPTAGKSGLLRADMQGGNNPSIPKILVDGPFGAPAQDYKKYDVVLLVGLGIGATPMISIVKDIINNMKIKDKDEGNNGALDALESGRATPNKNNKINSKSFKTRKAYFYWVTREQGSFEWFKGIMNEVAEMDDKQVIELHNYCTSVYEEGDARSALIAMLQSLHHAKSGVDVVSGTRVKSHFAKPNWRQVYKKIALQHPDSRIGVFYCGAPALTKELRQLALDFSRKTSTKFDFHKENF >Potri.006G047900.1.v4.1 pep chromosome:Pop_tri_v4:6:3280542:3283536:-1 gene:Potri.006G047900.v4.1 transcript:Potri.006G047900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047900.v4.1 MGSPSSASRRQNNLLFLVFIMILFFYSIPDKINLCSNQSSVSPSVIPYKSSSNISSLETLKLDGYFSFDNIDYAAKDFGNMYHFLPSAVLHPKSVSDISNTIKHIFKMGSTSQLTVAARGHSHSLQGQAQAHQGIVINMESLQGPEMQVHTGELPYVDASGSELWINILHETLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNIYQLEVVTGKGEAVTCSENKNADLFYGVLGGLGQFGIITRARISLEPAPKMVKWIRALYDEFSKFSSDQEHLISKNSFDYIEGLVIINRTGLLNNWRSSFKPKDPLQASQFISEGKTLYCLEIAKYFNPNESDAMNQETESLLSELSYIPSTLFLSEVSYVEFLDRVHLSEIKLRAKGLWDIPHPWLNLLIPKNKIFEFAQEVFGNILTDSSNGPILIYPVNKSKWDNRTSLITPEEDTFYLVAFLSSAMPSSTGRDGLFHILAQNQRILGFCSSTSLGAKQYLPHYSTQEEWQTHFGPKWEVFVLRKSTYDPMAILAPGQRIFRRK >Potri.003G208001.1.v4.1 pep chromosome:Pop_tri_v4:3:20702368:20703654:1 gene:Potri.003G208001.v4.1 transcript:Potri.003G208001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208001.v4.1 MDSGDHVSLDIAKLAESVEGKLKTLLPKRLRELNGKAYTPRVISIGPLHYGKEELIEMEEHKRLYLREFLELSKVRVRDFIAAIAESETRLRSCYAETFDKLSKEEFVEMVLLDCSFLIMFFLKAFPPVIQSRYIDRIFNKPWMLDEISIDLCLLENQLPFFIVEDLFNLSKLQHHCEEYSMIKLTYAFLLAAWQSWVSEEILEKINLLKVEHFVDFLRICQQPAQEMQPKKLATITTPSVAELHRAGIKFKLGSSINPLLIKFDDNKGTLEIPQLKIGEHAEILFRNLQAFEQCNYDANKYVCNYITMLSLLVPDAKDVEILVKEGIIENWLHDNDAVSRLFRNLSKENVINVNNFYFSGVVEDLNKYYSKRVHKWKAALKQKYFRNPWTIISVVAAAVIVILTIIQTVCSIIQVV >Potri.006G251600.1.v4.1 pep chromosome:Pop_tri_v4:6:25034060:25036376:-1 gene:Potri.006G251600.v4.1 transcript:Potri.006G251600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251600.v4.1 MATSTAAAAGTSTATTRRRSWVSNLTSYAARIYFFIIFLQVPLFRIPCRSGMCSTPIHVTSSQLIASEIFPVPVVKALLYPGAVVNGLVRNMTVPSWDKLLNIYNLTSAKEAPAVTDLQRLEVLAGSYFSVAGALVGILKPGRMSMFGTLLIIWGLVKEGILGKPANTDPAKAVYVYPTMLIALVCAFSAVKYDMKKVVRSAPPRPIAKPLQRSSKSKLK >Potri.006G043600.1.v4.1 pep chromosome:Pop_tri_v4:6:2954595:2959799:-1 gene:Potri.006G043600.v4.1 transcript:Potri.006G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043600.v4.1 MGGYSWGSAFDSKSGQMIMVALLLMVGSFYTGTLFGNNNASIYVSQLSSSSNSSSSHGIYTFTNKVALAYRQTPIVIPESGMNVCPLKFNEYIPCHDVAYVKTLFPSLDLSRREELERHCPPLEKRLFCLVPPPEDYKLPIKWPTSRDYVWRSNVNHTHLAEVKGGQNWVHEKDQLWWFPGGGTHFKHGAADYIERLGNMITDDTGDLRSAGVVQVLDVGCGVASFSAYLLPLDIQTMSFAPRDGHENQIQFALERGIGAMTAAISTKQLPYPSSSFEMVHCSRCRVDWHENGGILIKEVNRLLRDNGYFVYSSPPAYRKDKDYPLIWDKLVNLTSAMCWKLIARKVQTAIWVKQENESCLLHNAEMKQINICDTVDDMKPSWKTPLRNCIPRSAPTNPQKLPPRPERLSVYSKSLSKIGITEEEFSSDAIFWKNQAGHYWKLMNINETDIRNVMDMNAFIGGFAVALNSLPVWVMNIVPMSMNNTLSAIYDRGLIGAFHDWCEPFSTYPRTYDLLHANHLFTHYKDHGEGCLLEDIMLEMDRIIRPQGFIIIRDEESFTSRVQHLAPKFLWEVESHVLENKGKKTETVLICRKKFWALV >Potri.006G179800.1.v4.1 pep chromosome:Pop_tri_v4:6:18646675:18648011:-1 gene:Potri.006G179800.v4.1 transcript:Potri.006G179800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179800.v4.1 MSTPISETSEKNWDVDRAMQSDGEPRRHDQVREAFRSLSTSANWMDWFKFGPVGWRFNPSDIELLDYYLRARKYGGGAICEGIFGDFDLYKYDPQNLPQDKVHRHCNGRMYIFTPIYKLHINGARKGKRNTPNGHWKMNSSPIPIIENNDGQTIGTRSSLVFFDKDKRKTRWLMYEFRLVDPPTQTIENEGMLDHCVLAVVYRSKEDRLDTATNKNRWSHDYVAHTIGFDEFNSEPKRCHDQVLSDNKENHSMEPSHRKRKYAQDIGGTSTSNSNSITNFS >Potri.002G062700.1.v4.1 pep chromosome:Pop_tri_v4:2:4305457:4310142:1 gene:Potri.002G062700.v4.1 transcript:Potri.002G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062700.v4.1 MAAAVAVPVVTDQTAAVVAPAAETPAAEQFPNRSLYVGDLEHNVKEGQLFDLFSQVAPVVSTRVCRDQAGLTSLGYAYVNFSNPQDAAKAMEVLNFTPLNGKPIRIMFSHRDPTTRRSGHANVFIKNLDTKIDNKALYETFASFGPVLSCKVAVDNNGQSKGYGFIQFENEEDAQSAINRLNGMLVNDREVYVGPFVRRLERIEANGSPKFTNVYVKNLSETTSDEDLKKIFSSYGAITSAIVMKDQNGKSRGFGFVNFQSPDSAAAAVEKLNGMTFSDKVWYVGRAQRKGEREAELKAKFEQERNSRYEKMKAANLYLKNLGDTIDEERLKELFSEFGSITSCKVMLDQQGLSKGSGFVAFSTPEEASRALSEMNGKMIGKKPLYVAIAQRREERMARLQAHFSQIQAPGLPTLPSGLPGYHPGTPRLAPHQLYFGQGTPGMMPPQPAGYSFQPQLLPGMRAAVGPNFVMPYQLQRQGQQGQRMGMRPGGNHQQMQQQQLLHRNTNQGVRYVGNARNGIDSSVVPQGFVGPVMPLPFEASGMPITPSHAQPTTPVPISTLTTALASATPENRMMMLGEQLYPLVECLEPDHVAKVTGMLLEMDQTEVLHLIESPDALKKKVAEAMQVLQEAGASSVGDQPGSLALNE >Potri.004G127200.1.v4.1 pep chromosome:Pop_tri_v4:4:13155258:13158042:-1 gene:Potri.004G127200.v4.1 transcript:Potri.004G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G127200.v4.1 MSKSNLLMITTLGLIFTIAESMRFDLQSGHTKCISEDIMNNAVTVGKYNVVNPNEGYPLPVSHKVTVRVASPYGNNYHHRVNVDSGNFAFTAAEAGDYTTCFSAADHEPETKLAIEFEWKTGVAAKDWSKIAKKEKVEVMELELKKLLDAVKSIHEEMNYLRGREEEMQHLNQSTNSKMAGLSFLSIVVCLSVAGLQLWHLKSYFERKKLL >Potri.013G058601.1.v4.1 pep chromosome:Pop_tri_v4:13:4279945:4280577:1 gene:Potri.013G058601.v4.1 transcript:Potri.013G058601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058601.v4.1 MKVESPRMFDFDFEPEEKPGLWKVQDEPFTILKNRLGRVYAAPPEERLKAFYNTSPTKYEALDQGKELIFR >Potri.016G001000.1.v4.1 pep chromosome:Pop_tri_v4:16:43749:50780:1 gene:Potri.016G001000.v4.1 transcript:Potri.016G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001000.v4.1 MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLRCSHYLPSPLPEDTHLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGDHVSLGWHERDDLKVVVSYLRSNKQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKAAPKTFIPALFGHASEDKFIQPHHADLIFNSYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFFNILHPPQTSASSNKLERYYDLGDLKVSAGMDESLLYEIITGLRSACTDVASSSSVPLSIPTKKPVSELLYEIAPFANIVDSVANENAGPCSDEPSNLQGKPNGQSEDCCSYTSSNRESWGRCSSLGGSDEEYPSECRAVDNSHEMTLKAFATPLRSIQQKSPDPAKEEEKKEKKKKKKALTVPKKLKGDKFEKLEAFSKRLRHCILRRGNHQRHCSS >Potri.016G001000.3.v4.1 pep chromosome:Pop_tri_v4:16:43753:49683:1 gene:Potri.016G001000.v4.1 transcript:Potri.016G001000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001000.v4.1 MIDQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLRCSHYLPSPLPEDTHLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGDHVSLGWHERDDLKVVVSYLRSNKQISRIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLFDLMMELVDVYKIRLPKFTVKMAVQYMRRVIQKKAKFDIMDLNCLKAAPKTFIPALFGHASEDKFIQPHHADLIFNSYAGDKNIIKFDGDHNSSRPQFYYDSVSIFFFNILHPPQTSASSNKLERYYDLGDLKVSAGMDESLLYEIITGLRSACTDVASSSSVPLSIPTKKPVSELLYEIAPFANIVDSVANENAGPCSDEPSNLQGKPNGQSEDCCSYTSSNRESWGRCSSLGGSDEEYPSECRAVDNSHEVASLSLSCFSLGLTIGSFQHQLAPSRVTSFPCLYMLSNFIMKKKMKNGCHFS >Potri.011G122000.1.v4.1 pep chromosome:Pop_tri_v4:11:15241321:15244549:-1 gene:Potri.011G122000.v4.1 transcript:Potri.011G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122000.v4.1 MAVSLLAREISDLCLGKPALRSLSLTTTITEVLFALKNSDDNFLSVWSCEHTAKTNKDYRGNCEEDGCDVGECKCVGKVSMVDVICYLCKDENLLSPSDALKAPVSVLLPEIPGMVVHVEPTSSLLDAIDLILQGAKNLVVPIKTRYSSSSRRKQHQKLSITSPTIHNGREFCWLTQEDIIRFFLGSIGLFAPLPALSIDTLGIISTDYLTIDYHSPAISELEAISGSLADENSVAIIDSDGILIGELSPFTLACCDESVAAAITTLSSGDLMAYIDCGGPPDDLVNLVMTRLKGRGLEAMLQEFTNSSCYSTTSSCHSRSSSSSSDEESGSSTPFSALQRPGKYSRSMSYSARMVRRAEAIVCHPKSSLVAVMIQAIAHRLNYVWVIEDDCSLVGIVRFCDVLKVFRESIEDMA >Potri.005G123900.1.v4.1 pep chromosome:Pop_tri_v4:5:9200515:9207445:-1 gene:Potri.005G123900.v4.1 transcript:Potri.005G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123900.v4.1 MWRCVARGLRLPSSSSSTKILSNDSFRFHSTRFFSADSTGGGSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMRHNTQFFVEYFALDLLMNNDGSCQGVIALNMEDGTLHRFCAASTILATGGYGRAYFSATSAHTCTGDGNAMVARAGVPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTLKGDDPDAVVPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAKIHRPGEKQKPLEKDAGERTIAWLDKLRNSNGSLSTSHIRLNMQRTMQNNAAVFRTQETLEEGCHLIDKAWESFHDVKVKDRSLIWNSDLIETLELENLLINACVTMHSAEARKESRGAHAREDFTKRDDENWMKHTLGYWENEKVRLDYRPVHMNTLDDEIEPFPPKARVY >Potri.015G025900.1.v4.1 pep chromosome:Pop_tri_v4:15:1942204:1944056:-1 gene:Potri.015G025900.v4.1 transcript:Potri.015G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025900.v4.1 MVPYTSIIPVFLIVLLFPPSIVSLPIQGRFLKCLSINSESSFPFSSILYTPKNSSFTDVLLSTAQNLRFALPSVPQPKFIFTPLQESHVQAAVICSKALGIQIRIRSGGHDFEGISYTSVIDTPFIVVDLANLRSISVDIKHKSAWAQAGATVGELHFRISEKSKNLAFPAGACPSVGLGGHLSGGGYGPLFRKYGLSADNVIDAHIVDVHGRLLDRKSMGEDLFWAIRGGGGASFGIITAWKVKLVPVPSTVTVFRVLKFLDQGLTKLLYRWQQVAHKFDEDLYLIVGIRPGIASATGKKTVRTVYSGLFLGDTSRLLKVMAKSFPELNVTRKDCIEMDWISSVLYEAFFPANSTPEVLLQRKNLFPVYTKSKPDFARKLINETALEGLWDFFIQEDKLATLLVPYGGVMDRISKSETPFPHRKGVLFMLEYATSWNDPSESATHIDWARKVYEYMTPYVSKNPREAYLNHRDLDLGMNEKVNTSVEEARVWGAKYFKGNFNRLVKVKTRVDPDNFFRNEQSIPPRPRS >Potri.019G031800.1.v4.1 pep chromosome:Pop_tri_v4:19:4458756:4461228:-1 gene:Potri.019G031800.v4.1 transcript:Potri.019G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031800.v4.1 MFHLSHNLSKPNSITCSRFNLQPHNTSRLPISHITPPRKVTSFSKNPNILIPRCTPEDTISLSITTTRKDRADDGKFTKENEVIVLETPEGSKKNFWGAVSLIIGTAVGPGMLGLPTATIRSGSFTSTIAIILCWVYVIFSIILVAELSFAAMQEDGVAEVSFTGLATKALGSKFGAFVAVVYASLSFSLLVACVSGIGSIVSQWFPWMNVVLAHALFPVAAGIVIAFFPFKVIDTANRLLCLLMLFSITSLVAIGLSVARTNILTSLVPTSLSISTILPAIPVTVLTLGFHVITPFICKIAGNSVSEARKAVLIGGAVPLIMVLSWNLIVLGLAGAHTATSSNDPISLLLSVNPSALSAVQGFAFSALATSLIGYAVSFPRQLLDTLELIFTKTKSEKQIHTQCQIFANGDSAGRIGFVTFSGRHNLGNTGRASFDGTRCFAASEVKPPSSTAESNAFHHKFVMMLVLGVPILIGSFFRSTFSRALDFAGVYANCFLFGILPPAMAYVQQSRKKLRSSILPGGDITLLLLFSIAVILGIWH >Potri.008G010500.2.v4.1 pep chromosome:Pop_tri_v4:8:508002:514653:1 gene:Potri.008G010500.v4.1 transcript:Potri.008G010500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010500.v4.1 MESSDSRKDLPPPPAIIPADVVKTELGPTCEQTKKAATPKRVPMARRGYGAKGQRIQLLTNHFKVAVPKSNDHFYQYSVALFYEDGHPTDGKGIGRKVMDKVQETYDSELEGKQLAYDGEKTLFTTGSLPHNKLEFTVVLEDVSLTRGGDNDSSRGNGSPSESDQKRRKRPYHSKTIKVQISYATKIPVQAIAAVLQGQESEHFQEAVRVLDIVLRQNAARQGCLLVRQSFFHNNPRNFVELGGGVMGCRGFHSSFRAAQDGLSLNIDVSTTMIVKPGPVVDFLIMNQNVRDPYHIDWTKAKRMLKNLRIKTNHSNTEYKITGLTEKSCREQTFSLNQRSGRDGDGEVQTIEVTVYDYFVNHRNMGLQYSADFPCINVGKPKRPSYFPLELCNLVSLQRYTKALSSLQRASLVEKSRQKPQERMRSLTDALRSSNYDADPMLRSSGISISAQFTQVEGRVLSAPRLKVGNGEDFFPRNGRWNFNNKKLVDPVKIEKWAIVNFSARCDIRYLCNNLIKCGDMKGISISNPFEVFEESPQFRRESAPVRVERMFEAIKSKLPGPPQFLLCILPERKNSDIYGPWKRKNLSDLGIVTQCIAPTKVNDQYLTNVLLKINAKLGGMNSLLSIEHAPSIPLVSKLPTLILGMDVSHGSPGHSDVPSIAAVVSSRHWPLISRYRASVRTQSQKVEMIANLFKPVAGTREDQGIIRESLLDFYSSSGKRKPDQIIIFRDGVSESQFIQVLNIELEQIIEACKFLDENWCPKFMVIVAQKNHHTKFFQSGSPDNVPPGTVIDNKVCHPRNNDFYMCAHAGMIGTTRPTHYHVLHDELGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAASQMTQFIKFDDLSDTSSSHGGVTVPGAVPVPELPRLHNNVSSSMFFC >Potri.010G152100.3.v4.1 pep chromosome:Pop_tri_v4:10:16167755:16169655:1 gene:Potri.010G152100.v4.1 transcript:Potri.010G152100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152100.v4.1 MPSLQTALPPELANNVIRLYRECLRRAKYIGHQQHNTELLVNMVRQQFKRNKHETDPEKIQKLKDDAARGLINHILYESERLSGRKASKST >Potri.004G168700.2.v4.1 pep chromosome:Pop_tri_v4:4:18640504:18645542:1 gene:Potri.004G168700.v4.1 transcript:Potri.004G168700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168700.v4.1 MSIPKTVDSSLRSDSFTAILTDLENASLSLSLFLFAKKLKENHAWLVETLSLFKKLNAASRLPDTFWLCVSSLSDTFTYRGIQVAAARVLSMLFITAYYIEPNSSGNVCLGLDDKQIADIRHSVKSTLVKQLDQNGDLFVAKVNLLTAATHYQVATSLFIVHVMGKLVIGNAGSLSVSLVEKIRIAFNTCDTVFNWASNSLYLVNCLMSFVHFKVDSSLAIPSRVTLHLFSLGATVELEVKELKGLMLTDLYYHLQGKLEGCKIGPGPFKELSWYLVESNCLLSYQHKYGDEHYGKIFTYWKASKAITQTMLECLKDANLKVLIASSKLSALKAVLTALSMCEDNNKATTEVKIPDRLCFSCIDNMCKSFLTTVESLAPVLDIINFLAAKAELILNLMRSAQSNLSLSICTLFLKMSGSGLKLLNGFRSSVTGVKTIKLLLMLLLLALEIRLLPILCNCITATECSSLSLATIDLELRRFLTPNTRFPFIQKHLQLPHVILKLHDQSSFSSVPITLKFLLTLARVRGGVEMLLSAGFFSSLRALFAYSSGVVPSTIMTNDKVFIKHSDKTEKPQTIWGLGLAVVVAMIHSLGDSSYTEILDKVIPCVLSEKAELISYYISAPDFPSDSRDKKRPLAQRTQTSMSALKETEHTLMLMCVMKEMDSELLEKSIHLLAFIKELEFCKKPSFLKSRNGLFSLPPLCCASKPMSSAFSVITTATVGKGHSTKLPIQISFLLLKYLCMETEGAAKRSEEVGFADLTQIPELPMPEILHGLQDQAVAVVPELCGSNKSKYIDPEIQPVCPLLLPIVEMALYLEFCVLQICGISPVLGRVEEFSKEAK >Potri.006G170200.1.v4.1 pep chromosome:Pop_tri_v4:6:17206912:17209204:1 gene:Potri.006G170200.v4.1 transcript:Potri.006G170200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170200.v4.1 MCRIKRNPVFATLLAIAILIPTCKPAQGFYLGQRNLHIVNWLESGEKLMARCWSKDNSLGTRIVENKEEFKWKFYENIFFGQTKFECDLEFNDKGHPRRGRFVVYDNKQEVRRRNCYQNCMWGVGVYGLYAFDEKDKKWDYEIPWPSKNRFESAAE >Potri.001G237600.1.v4.1 pep chromosome:Pop_tri_v4:1:25533694:25534997:-1 gene:Potri.001G237600.v4.1 transcript:Potri.001G237600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237600.v4.1 MATATQLFTCALLISCTLFHVARPELIITLVNNCHFTIYPAIQPNAGHPVLEKGGFPLQTLTHRSFRAPDQLWSGRIWGRTGCAHSNGKFHCVTGDCNNRIECNGLGGATPATLAQITIHHGHKDFSSYGVSLVDGFNLPMTVTPHEGKGVCPVVGCRANLLATCPEKLQFRSLGRHGHVAGCKSGCEALGTDELCCRNHYNSPQTCRASTFSEFFKHACPATFTYAHDSPSLTHECSSPRELKVIFCH >Potri.016G048200.3.v4.1 pep chromosome:Pop_tri_v4:16:3101158:3105027:1 gene:Potri.016G048200.v4.1 transcript:Potri.016G048200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048200.v4.1 MNHLHKLQILKRVSPNFFGRCRNPIWQVGSLCYIVENPRFYGTKTTVQTENLDRNLIGNDQSISKISDAARREAQASTALLEYLHSTRTFQFLDAEHMSKYSPVFVKNLLKKVNIDADIRRSITRYLRYHPINEFEIFFESMGLKPQEYSSLLPRDLMYLSDDDLLLENYHVMCNYGIARNKMGKIYKEATEVFRYDYGVLALKLKAYEKLGLSSSFIAKVVVRSPDLLIGDANIDFIKILELLRKGGLEYRRIEKLLSDKSTYNWSQLLSLLNLFGKAGYNDEQLSELISQKPWILREDSVDRALLLIGFLLKFGSTMNQICSLFLQFPKVQVEKFASNLRHCFLFLNEINMEAYEIGKLFRSHPIFIGSFTLKKTNSLLSRLNAGKKRICEVIQENPEIMKKWVKGSKIEWLPDSGEELRSQMLKTKFFLDLGFVENSDEMKRALKVFRGRGAELQERFDCLVIAGLDRKDVCEMIKVSPQILNQKKEVIEMKIDFLINDLGFPISSLVRFPSYLSYTMQRAKLRLTMYNWLKEQGKVNPMLSFSTIVGCTDNVFLSQYVDRHPRGPEIWEDLKKEICSA >Potri.016G048200.4.v4.1 pep chromosome:Pop_tri_v4:16:3101085:3105076:1 gene:Potri.016G048200.v4.1 transcript:Potri.016G048200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048200.v4.1 MNHLHKLQILKRVSPNFFGRCRNPIWQVGSLCYIVENPRFYGTKTTVQTENLDRNLIGNDQSISKISDAARREAQASTALLEYLHSTRTFQFLDAEHMSKYSPVFVKNLLKKVNIDADIRRSITRYLRYHPINEFEIFFESMGLKPQEYSSLLPRDLMYLSDDDLLLENYHVMCNYGIARNKMGKIYKEATEVFRYDYGVLALKLKAYEKLGLSSSFIAKVVVRSPDLLIGDANIDFIKILELLRKGGLEYRRIEKLLSDKSTYNWSQLLSLLNLFGKAGYNDEQLSELISQKPWILREDSVDRALLLIGFLLKFGSTMNQICSLFLQFPKVQVEKFASNLRHCFLFLNEINMEAYEIGKLFRSHPIFIGSFTLKKTNSLLSRLNAGKKRICEVIQENPEIMKKWVKGSKIEWLPDSGEELRSQMLKTKFFLDLGFVENSDEMKRALKVFRGRGAELQERFDCLVIAGLDRKDVCEMIKVSPQILNQKKEVIEMKIDFLINDLGFPISSLVRFPSYLSYTMQRAKLRLTMYNWLKEQGKVNPMLSFSTIVGCTDNVFLSQYVDRHPRGPEIWEDLKKEICSA >Potri.004G152400.1.v4.1 pep chromosome:Pop_tri_v4:4:17353561:17370420:-1 gene:Potri.004G152400.v4.1 transcript:Potri.004G152400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152400.v4.1 MESLIELCDLISQNPAQFADKLTWLCNRCPQPEALLAGSPRVSHSQINAILAISRFLSKTLDHTDNRPKSLILTFFRSIPTSFHPSFWPQSFPNDSIASFFTDFLAYVSKSAELDPDFAVDVAGLVGEVVVAAIGNNAGENWESSAISRVFLIALTKNFVPILPEDGEKLITCLLDQFNLPVQVPSSPSERIGMNSGTSSSQSSPLSNNVNSHNSSYSAHNEISSMVNDLSQMSVSSSSASTTVVVNGSGVTWKSGLETMGVGLDGGGVLSRQQVASFEEESVEGLEKQEIAYKLIGLVLDCARIDNKLLDQVRLIAKKQLQSLSAFLKIRKRDWNEQGQLLKARVSAKLSVYQAAARMKVQSLASLDVDGKTSKRLVLETLALLMDAAEACLFSVWRKLRVCEELFSSLLGGIAQIAVTRGGQPMRVLLIRLKPLVLAACAQADTWGGSQGVMFEIVMKTSCQIIESGWTKDRAPVDTFISGLASSIRERNDYDEQVEKKQGVPAVQLNVIRLLADLTVSVNKSEVVDMILPLFIESLEEGEASTPGLLRLRLLDAVSRIASLGFEKSYRETVVLMTRSYLSKLSSVGSAESKILAAEATTERVETLPAGFLLIASRLENKKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLLAVAEICSDFNPAVDVEPSLLKLFRNLWFYVALFGLAPPIQKIQQPTKSVSTTLNSVGSMGTIALQAVGGPYMWNAQWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRASGNEKAASTQRSALSAALGGRVDIAAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGVASLSASRSSFSCVFEYLKTPNLIPAVFQCLTAIVHRAFEAAVFWLEDRITETGNEANVRESTLFSHACFLIKSMSQREEHIRDISVSLLTQLRDKFPQVLWNSSCLDSLLFSVHNDSPSTVINDPALIASIRSLYQRIVREWISISLSYAPCTSQGLLQEKLCKANTWQRTQHTTDVVSLLTEIQIGNGKNDWTGIRTANIPAVMAAAAAASGANFKSTEAFNLEVLSIGIVSATVKCNHTGEIAGMRRLYNSIGGFQSGGTPTGFGGGLQRLISGAFSQQPPAEDDAFNEMLLNKFVHLLQQFVSIAEKGGEVDKSQFRDTCSQATAFLLSNLASESKSNVEGFAQLLRLLCWCPAYISTPDSMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGVFAHEVKYSGPAAKLRPQLAPGEPESQPEIDPVEQIMAHRIWVGFFIDRFEVVRHNSVEQLLLLGRLLQGTTKSPWNFSCHPAATGTFFTIMLLGLKFCSCHSQGNLQNFKTGLQLLEDRIYRACLGWFAFEPEWFDANNVNFAHSEAQSVSLFVHYISNDGQSDARGRGHENGTYSVDMNDQYHPVWGQMENYAAGREKRRQLLLMLCQNEADRLEVWAQPTNSKENTSWPKISSEKWIEYARTAFSVDPRIALCLVSRFPTNTNLKAEVTQLVQSHILDLRCIPEALPYFVTPKAVDEDSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDDGRLVEGYLLRAAHRSDVFAHILIWNLQGETFTSESKEASSGKNVSFQAMLPVVRQHIIDGFTPKALDLFRREFDFFDKVTSISGVLYPLPKEERRAGIQRELEKIELEGEDLYLPTAPNKLVRGIRVDSGIPLQSAAKVPIMVTFNVVDRCGDRNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFEAVGVNLYLFPYDVLPTGPERGIVEVVPKTRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARKNFIISSAGYAVASLLLQPKDRHNGNLLFDNVGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWLQFVSLCVKGYLAARRYMDGIINTVMLMLDSGLPCFSRGDPIGNLRRRFHPEMSEREAANFMIRVCTDAYNKWTTAGYDLIQYIQQGIEK >Potri.006G096800.1.v4.1 pep chromosome:Pop_tri_v4:6:7366633:7374171:-1 gene:Potri.006G096800.v4.1 transcript:Potri.006G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096800.v4.1 MAQDDYSNDGTVDIKGNPANKKKTGNWKAGRFILGNECCERLAYYGMSTNLVNYLEDRLNQGNVAASNNVTNWSGTCYITPLIGAFLADSYLGRYWTIASFVVIYIIGMTLLTLSASVPGLESSCDKDSCHPTTGQTTAFFVALYFIALGTGGIKPCVSSFGADQFDETDETERKKKSSFFNWFYLSINIGALIASSVLVWIQMNVGWGWGFGIPAVAMAVAVVFFFLGSKLYRIQKPGGSPITRIVQVIVASFRKYHVQVPADKSLLYETAEEESQIQGSRKLEHTDKFKFFDKAAVETQTDNIKDLTNPWRLCTVTQVEELKSIVRLLPVWASGIVFATVYSQMSIMFVLQGNTMDQHMGPHFKIPSASLSLFGYLSVIFWAPVYDRIIVPYARKFTGHERGFTQLQRMGIGLVISIVSMIVAGVLEVVRLNFVRENNYYDLEYIPMTIFWQVPQYFLIGCAEVFTFIGQLEFFYDQAPDAMRSLCSALSLTTVALGNYLSTLLVTIVTKVTTRGGKLGWIPDNLNRGHLDYFYWLLAILSFLNFTAYLWISKWYAYKKATERPH >Potri.017G144181.2.v4.1 pep chromosome:Pop_tri_v4:17:14446710:14451364:-1 gene:Potri.017G144181.v4.1 transcript:Potri.017G144181.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144181.v4.1 MEKKLVPCLVSFVLILCSVSHVRSDASDHRYKVGDDVPLYVNKVGPFHNPSETYRYFDLPFCSSGPTKDKKEALGEVLNGDRLVTAPYKLDFLNDKDSEVACKNTLTKEQVAQFREAVSKDYYFQMYYDDLPIWGFLGKVDKERKNDPSEYKYYLFKHLHFTIFYNKDRVIEISAQSDLNNVVDLTEDKEVNVEFMYSVKWKETDITFEKRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSLLAAAVGSGTQLFTLTIFIFVLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPQLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYRSIKCE >Potri.017G144181.1.v4.1 pep chromosome:Pop_tri_v4:17:14446617:14451566:-1 gene:Potri.017G144181.v4.1 transcript:Potri.017G144181.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144181.v4.1 MEKKLVPCLVSFVLILCSVSHVRSDASDHRYKVGDDVPLYVNKVGPFHNPSETYRYFDLPFCSSGPTKDKKEALGEVLNGDRLVTAPYKLDFLNDKDSEVACKNTLTKEQVAQFREAVSKDYYFQMYYDDLPIWGFLGKVDKERKNDPSEYKYYLFKHLHFTIFYNKDRVIEISAQSDLNNVVDLTEDKEVNVEFMYSVKWKETDITFEKRMDKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSLLAAAVGSGTQLFTLTIFIFVLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPQLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYRSIKCE >Potri.012G034300.1.v4.1 pep chromosome:Pop_tri_v4:12:3086442:3093299:-1 gene:Potri.012G034300.v4.1 transcript:Potri.012G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034300.v4.1 MTSPITPSNGSGRALSLTSSARVLKTPLTDDKIWKRLKEAGFDEESVKRRDKAALIAYIANLEAEMFDLQYHMGLLILEKKEWTSKYDQMKSSAETADLMRRRDQASHLSALAEARKREESLKKALGVEKECISSMEKALHEMRAESAETKVAADSKLSEARDMVQDAQKKFLDAEAKLHAAEALQAEASRYHRAAERKLQEVEAREADLSRRMTAFKTDCDAKEKEIGLERQSLSERRKVLQQEQESLLDGQALLNQREDYVANKSQELNQLEKVLEVSKENIEKELRALNDEKSKLELTIASLSQREEAVIEREAQLSKREQELLVFQEKLASKESVEIQKVTASHENVLRTRNSEFEAELDKKRKLVEDEIEAKRRAWELREVDLKQREDLVLEKEHDLEVQSRALVDKEKDVTDKINFLDDKERSLNVVEKDIELRRALLLQEREEINKTKLDLQKSLDSLEDKRKQVDCAKEKLQTMTSETNEYAALEMKLKEEVDTLRAQKLELVDEEDRLKNEKGKFETEWELIDEKREELRKEAERVAEEREAVSRLLKEERDSLRLEKKEIRDQHKKDVESLNHEREDFMNKMEQERSEWFNRIQKEHSDFLLGIEMQKRELESSIDKRREEIESYLRDKEKAFELEKKSELQHIASLREKAEKELEQVTLEMKKLDAERMEINLDRERRDGEWAMLNKSIEELKGQTQKLEKQRQLLRGEREEIYVQIEQLKKLDNLKLALDDMEMEEMQLSNMESSRQKISTIRRLKQQTTVQDTDLASYGKVDAASNVGGLNSPTPKTSVASPTNSARFSWIKRCTELVFKNSPEKPSSRSEESGMSGHEDTSLTAGKLDSSNGYCGKKLKSVQIFDKSQPIRYAYGEPKVILEVPPKGDISKESCGVEYDIMEVANERLTFPISDLAPQAERKRRVDNSSLDNSVDSQHGKGQSNKRRRQEEIASAILPEDTVNDSVTSTQEAVCKDQHAAEEADVVIMDKIIKVSEVTCEITSTDTFAHQEISVQLQSSEKTSHHNTGIDKEVSEVLKE >Potri.012G034300.2.v4.1 pep chromosome:Pop_tri_v4:12:3086337:3093304:-1 gene:Potri.012G034300.v4.1 transcript:Potri.012G034300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034300.v4.1 MTSPITPSNGSGRALSLTSSARVLKTPLTDDKIWKRLKEAGFDEESVKRRDKAALIAYIANLEAEMFDLQYHMGLLILEKKEWTSKYDQMKSSAETADLMRRRDQASHLSALAEARKREESLKKALGVEKECISSMEKALHEMRAESAETKVAADSKLSEARDMVQDAQKKFLDAEAKLHAAEALQAEASRYHRAAERKLQEVEAREADLSRRMTAFKTDCDAKEKEIGLERQSLSERRKVLQQEQESLLDGQALLNQREDYVANKSQELNQLEKVLEVSKENIEKELRALNDEKSKLELTIASLSQREEAVIEREAQLSKREQELLVFQEKLASKESVEIQKVTASHENVLRTRNSEFEAELDKKRKLVEDEIEAKRRAWELREVDLKQREDLVLEKEHDLEVQSRALVDKEKDVTDKINFLDDKERSLNVVEKDIELRRALLLQEREEINKTKLDLQKSLDSLEDKRKQVDCAKEKLQTMTSETNEYAALEMKLKEEVDTLRAQKLELVDEEDRLKNEKGKFETEWELIDEKREELRKEAERVAEEREAVSRLLKEERDSLRLEKKEIRDQHKKDVESLNHEREDFMNKMEQERSEWFNRIQKEHSDFLLGIEMQKRELESSIDKRREEIESYLRDKEKAFELEKKSELQHIASLREKAEKELEQVTLEMKKLDAERMEINLDRERRDGEWAMLNKSIEELKGQTQKLEKQRQLLRGEREEIYVQIEQLKKLDNLKLALDDMEMEEMQLSNMESSRQKISTIRRLKQQTTVQDTDLASYGKVDAASNVGGLNSPTPKTSVASPTNSARFSWIKRCTELVFKNSPEKPSSRSEESGMSGHEDTSLTAGKLDSSNGYCGKKLKSVQIFDKSQPIRYAYGEPKVILEVPPKGDISKESCGVEYDIMEVANERLTFPISDLAPQAERKRRVDNSSLDNSVDSQHGKGQSNKRRRQEEIASAILPEDTVNDSVTSTQEAVCKDQHAAEEADVVIMDKIIKVSEVTCEITSTDTFAHQEISVQLQSSEKTSHHNTGIDKEVSEVLKE >Potri.013G029600.2.v4.1 pep chromosome:Pop_tri_v4:13:1918226:1918495:-1 gene:Potri.013G029600.v4.1 transcript:Potri.013G029600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029600.v4.1 MMEKYVIGEVDLTTVPTKRLYVAPGLGGTNPKDEKPGFLIKILQLLVPLLILGLALAVRTYTKKK >Potri.001G233900.1.v4.1 pep chromosome:Pop_tri_v4:1:25277322:25279523:-1 gene:Potri.001G233900.v4.1 transcript:Potri.001G233900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233900.v4.1 MASERQVSRMIKQGFLSSDPTLSFNLPSSTTPPQMKMVSNNGRSNRSLNNLSPPYTTTPVYPDHQNQTLFQIMSEEQNHQSKISKIMKEFEDLNCYGRGDVRLTVIGKDGHTRISMDVHKRVLLEKSGFFRDTLRGRDQGVVMQSVEISDCDDVEVYLETLVLMYSHDLKRRLMDEDVSRVLAFLKVSADIMFETGIESCLECLEAIPWSEDEEEKVVTQLSQLQLCDLAAEVLQRVSSEPSTSTRADDIFLRLLSGVMQAKDDKARREMRTLISRFFRENSSDHSNRLDVSKDSLYSLCHRCLSSLILCLSEVTGIDDCRVDRGVLMAQVAQEAENMRWIVDILIDRKIGDEFVKLWADQKELALLHSRIPTMYRHEISKITAQLCIAIGRGHILVPKDSRFSLLSTWLEALYEDFAWMTRSSRSVDKKLVEDGLSQTILTLPLLQQQTILLTWFDRFLSKGDDCPDIQKAFEVWWRRAFIRQYAAEQDSSHLQITVCDHPD >Potri.005G065700.1.v4.1 pep chromosome:Pop_tri_v4:5:4261596:4266100:1 gene:Potri.005G065700.v4.1 transcript:Potri.005G065700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065700.v4.1 MGNGESTFDDHQYDFQQQSPSYSERSIDDNYQPRQHPAYIADNFNSIDDNYQPRQHPAYIADNFNSLDQVISSLREAGLESSNLILGIDFTKSNEWTGRHSFHRKSLHAIGSTLNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKHVFSFYPDNRPCNGLEEALTRYREIVPHLKLSGPTSFAPIIDAAIDIVEKSGGQYHVLVVIADGQVTRGPDTPPGRFSPQEHATVNSIVAASKYPISIILVGVGDGPWDAMQQFDDNIPQRAFDNFQFVNFTKIMSDNTEASKKETAFALAALMEIPLQYRATQTLQRANYNSVGGPHTRLLPPPREVIDHDNAVKSIPHMTNFKPVQPTAPTASVEPVCPICLANPKDLAFGCGHMTCRDCGASISACPLCRQPITTRLRLFT >Potri.003G197333.1.v4.1 pep chromosome:Pop_tri_v4:3:19944718:19951565:1 gene:Potri.003G197333.v4.1 transcript:Potri.003G197333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197333.v4.1 MDIVVAPIMTRNGQEETKVVSALRKPTKGGWNSAIFIIFVEVSLRFAYYGLAGNLITYLTNDLHQSTSTAIKNVNTWVGVSAIFPIFGAIVADSLLGRFKTILLASAIYFLGMILLTLSVSVIPMRYREAVFFIALYILAVGEGGHKPCVQTFAADQFDEEKPEEKAAKSSFFNWWYLGIVAGGSSAVLLVIYIQDNVGWTAGFGMLTGALGVALFIFLAGIKRYRKQAPVKSPFTMVAQVFVAAMRKRRVIETHQGLGICYEAVGTDVEGQPRNSRTLAATNQYRFLDKAMIIDNLDASSKPRNPWRLCSLNQVEEVKLVLRLLPIWLSCFMFTAVLVQTHTLFIKQGSTMIRSIGPNFQVPPASFQSLVGLTILFTIPIYDRIFVPAARKLTGHRSGITMLQRIGIGLFLSIVEMVVAAQVEAKRVSIAREHGLMDTPKATIPMSVWWILPQYMISGISDVFTVVGLQELFYDQMPESMRSLGAAAHISVIGVGSFINTAIITAVQAITARSIGILLGDNLNRAHVDYFYWVMAALSALNFCVYLWIASGFVYKKVEGEEEPR >Potri.014G128900.6.v4.1 pep chromosome:Pop_tri_v4:14:8643574:8647631:1 gene:Potri.014G128900.v4.1 transcript:Potri.014G128900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128900.v4.1 MEEELIGAVPCSSLAVDSVLRVGTAGAIWGSCIGPYDARKRGLTGVAQASFVAKTIGKFGFQCGLVAGVFTATCCGIQRYRRQNDWVNPLIAGAVAGAAVAAGTRSWTQVVGMAGLVSAFSVAADYSKTF >Potri.014G128900.7.v4.1 pep chromosome:Pop_tri_v4:14:8643574:8646756:1 gene:Potri.014G128900.v4.1 transcript:Potri.014G128900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128900.v4.1 MEEELIGAVPCSSLAVDSVLRVGTAGAIWGSCIGPYDARKRGLTGVAQASFVAKTIGKFGFQCGLVAGVFTATCCGIQRYRRQNDWGQLLLLGQEVGHRSLGWLVWFLPLVLPLTIPKPFDLSAKK >Potri.014G128900.5.v4.1 pep chromosome:Pop_tri_v4:14:8643574:8647697:1 gene:Potri.014G128900.v4.1 transcript:Potri.014G128900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128900.v4.1 MEEELIGAVPCSSLAVDSVLRVGTAGAIWGSCIGPYDARKRGLTGVAQASFVAKTIGKFGFQCGLVAGVFTATCCGIQRYRRQNDWVNPLIAGAVAGAAVAAGTRSWTQVVGMAGLVSAFSVAADYSKTF >Potri.015G129300.2.v4.1 pep chromosome:Pop_tri_v4:15:14022043:14030070:1 gene:Potri.015G129300.v4.1 transcript:Potri.015G129300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129300.v4.1 MSALIRRYAAVLCHKRMLKPNYPTLVLTCHVSTWVAPQLNGAAATTGLYGFDHLKTPKGFQRFVDDAIERSGELVNYISSMPSSAEVLRAMDEISNTVCCVVDSAELCRNTHPDREFVEAAGKASMRINEYLHYLNTNHTLYAAVKRAEKDGHLLTKEAHRAANQLRIDFEKGGIHLPPEKLARVNQLHLSILQLSREFGENITIDPGYVDIFPASRIPKHIHHLLKPVHRFTSGSSSGSLGSWNNMKEKGLRITTDHRTLVSVMHWVSDEEVRKMAYIQGNSVPHANLEVLDKLAAARHELAQMMGYRSYAEFVVKPNLASSPEVVISFLHEMSKMVRPRADEEFEAIRNFKREKCGQRCIDLEPWDETYYTAMMKSSAHNLDSSIVASYFPLPQCIEGLKVLVNSLFGAMLRNIPMAPGESWHPDVLKMSLHHPEEGDLGYLYLDLYSRKGKYPGCANFAVKGGCRISETEYQLPVVALLCNFSGSPGSSTVRLNHGDVETLFHEFGHALHSLFSRTDYQHFSGTRVALDFAEMPSNLFEYYAWDYRVLRTFAKHYSTGEIIPEKLVKSMQGARDMFAATELQRQVFYALADQTLFGEQPASPNDMSSILAEFKMQHTSWKHVEGTNWQIRFSHLVNYGAGYYSYLYAKCFAATIWKKLCQEDPLSLTAGTALRTKVLQHGGSKEPAELLNDLVGEGILKHCDGGIVPDITCFLEESRLVVGSW >Potri.015G129300.1.v4.1 pep chromosome:Pop_tri_v4:15:14022043:14030099:1 gene:Potri.015G129300.v4.1 transcript:Potri.015G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129300.v4.1 MSALIRRYAAVLCHKRMLKPNYPTLVLTCHVSTWVAPQLNGAAATTGLYGFDHLKTPKGFQRFVDDAIERSGELVNYISSMPSSAEVLRAMDEISNTVCCVVDSAELCRNTHPDREFVEAAGKASMRINEYLHYLNTNHTLYAAVKRAEKDGHLLTKEAHRAANQLRIDFEKGGIHLPPEKLARVNQLHLSILQLSREFGENITIDPGYVDIFPASRIPKHIHHLLKPVHRFTSGSSSGSLGSWNNMKEKGLRITTDHRTLVSVMHWVSDEEVRKMAYIQGNSVPHANLEVLDKLAAARHELAQMMGYRSYAEFVVKPNLASSPEVVISFLHEMSKMVRPRADEEFEAIRNFKREKCGQRCIDLEPWDETYYTAMMKSSAHNLDSSIVASYFPLPQCIEGLKVLVNSLFGAMLRNIPMAPGESWHPDVLKMSLHHPEEGDLGYLYLDLYSRKGKYPGCANFAVKGGCRISETEYQLPVVALLCNFSGSPGSSTVRLNHGDVETLFHEFGHALHSLFSRTDYQHFSGTRVALDFAEMPSNLFEYYAWDYRVLRTFAKHYSTGEIIPEKLVKSMQGARDMFAATELQRQVFYALADQTLFGEQPASPNDMSSILAEFKMQHTSWKHVEGTNWQIRFSHLVNYGAGYYSYLYAKCFAATIWKKLCQEDPLSLTAGTALRTKVLQHGGSKEPAELLNDLVGEGILKHCDGGIVPDITCFLEESRLVVGSW >Potri.014G130500.2.v4.1 pep chromosome:Pop_tri_v4:14:8726645:8734965:-1 gene:Potri.014G130500.v4.1 transcript:Potri.014G130500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130500.v4.1 MSSSSWINSLSCSSYVVQSSGETSIPLIFQWLRFIFLSPCPQRALLSSVDLLFLLFLLGFAAQKLHSRFTSSGYSGSDINYPLVGNGNSRAHITTSIWFKLSLIVPVFLALCYIVVSILAFSQSTQLPRWKVLDGVFWLVQAITQLVVAILIIHEKRFHAVTHPLSLRIYWVANFIIISMFMSSGIIRLVALEHNLLFDDIVSAMAFTLSIVLFSVAIKGSTGITVIRHSESVMHDDTKLHEPLLGKSNVTGFATASIISKSFWLWMNPLLRKGYKSPLKIDDVPTLSPEHRAEKMSQLFESSWPKPHEKSNHPVRTTLLRCFWKEISFTAFLAILRLSVMYVGPMLIQSFVDYTSGKRTSPYEGYYLVLILLVAKFVEVLTDHQFNFNSRKLGMLIRCTLITSLYKKGLMLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQVGVGLALLYNALGTSAVTALIGTLGVIVFAVFSNKRNNKFQRNVMINRDSRMKATNEMLNYMRVIKFQAWEDHFNKRIQDFRDSEFGWISKFLYSISINTIVMWSTPLLVSTLTFGTALLLGVPLDAGTVFTTTSIFKMLQEPIRVFPQAMISLSQAMVSLARLDCYMLSKELVEESVERVDACDGRIAVEVKGGIFSWDDEAKGEVLNNINLEIKKGKLTAIVGTVGSGKSSLLASILGEMHKISGKIRICGTTAYVAQTSWIQNGTIEDNILFGLPMNKERYKEVLRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGTDIFKQCVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLASGLDFGALVAAHETSMELLEVSAEIPSENSPTPPKFSQGLSKIGEENDENKLLDQPKSDKGNSKLIEEEERATGNVGLHVYKQYCTEAFGWWGAVVALLLSLVWQASLMAGDYWLAFETADERAATFKPSLFISVYGIIAAVSVVFLIMRSLFFTLMGLKTAQNFFGGILRSILHAPMSFFDTTPSGRILSRASADQTNVDIFLPFMFSHAIAMYVTVFSIIVIVCQYTWPTVFLIIPLGWLNWWYRGYFLAASRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDRFCQENVSRVNANLCMDFHNNGSNEWLGFRLELIGSIILCASAMFLILLPSSIIRPENVGLSLSYGLSLNSVLFWCIYLSCFVENRMVSVERIKQFTNISSEAAWKIEDRVPPPNWPAIGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQYTDEEIWESLERCQLKDVVAAKPEKLDSPVTDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQKIIREEFADCTIISIAHRIPTIMDCDRVLVIDAGRSKEFDKPSRLLERPSLFGALVREYANRSAEL >Potri.014G130500.3.v4.1 pep chromosome:Pop_tri_v4:14:8726690:8734965:-1 gene:Potri.014G130500.v4.1 transcript:Potri.014G130500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130500.v4.1 MSSSSWINSLSCSSYVVQSSGETSIPLIFQWLRFIFLSPCPQRALLSSVDLLFLLFLLGFAAQKLHSRFTSSGYSGSDINYPLVGNGNSRAHITTSIWFKLSLIVPVFLALCYIVVSILAFSQSTQLPRWKVLDGVFWLVQAITQLVVAILIIHEKRFHAVTHPLSLRIYWVANFIIISMFMSSGIIRLVALEHNLLFDDIVSAMAFTLSIVLFSVAIKGSTGITVIRHSESVMHDDTKLHEPLLGKSNVTGFATASIISKSFWLWMNPLLRKGYKSPLKIDDVPTLSPEHRAEKMSQLFESSWPKPHEKSNHPVRTTLLRCFWKEISFTAFLAILRLSVMYVGPMLIQSFVDYTSGKRTSPYEGYYLVLILLVAKFVEVLTDHQFNFNSRKLGMLIRCTLITSLYKKGLMLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQVGVGLALLYNALGTSAVTALIGTLGVIVFAVFSNKRNNKFQRNVMINRDSRMKATNEMLNYMRVIKFQAWEDHFNKRIQDFRDSEFGWISKFLYSISINTIVMWSTPLLVSTLTFGTALLLGVPLDAGTVFTTTSIFKMLQEPIRVFPQAMISLSQAMVSLARLDCYMLSKELVEESVERVDACDGRIAVEVKGGIFSWDDEAKGEVLNNINLEIKKGKLTAIVGTVGSGKSSLLASILGEMHKISGKIRICGTTAYVAQTSWIQNGTIEDNILFGLPMNKERYKEVLRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGTDIFKQCVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLASGLDFGALVAAHETSMELLEVSAEIPSENSPTPPKFSQGLSKIGEENDENKLLDQPKSDKGNSKLIEEEERATGNVGLHVYKQYCTEAFGWWGAVVALLLSLVWQASLMAGDYWLAFETADERAATFKPSLFISVYGIIAAVSVVFLIMRSLFFTLMGLKTAQNFFGGILRSILHAPMSFFDTTPSGRILSRASADQTNVDIFLPFMFSHAIAMYVTVFSIIVIVCQYTWPTVFLIIPLGWLNWWYRGYFLAASRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDRFCQENVSRVNANLCMDFHNNGSNEWLGFRLELIGSIILCASAMFLILLPSSIIRPENVGLSLSYGLSLNSVLFWCIYLSCFVENRMVSVERIKQFTNISSEAAWKIEDRVPPPNWPAIGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQYTDEEIWESLERCQLKDVVAAKPEKLDSPVTDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQKIIREEFADCTIISIAHRIPTIMDCDRVLVIDAGRSKEFDKPSRLLERPSLFGALVREYANRSAEL >Potri.014G130500.4.v4.1 pep chromosome:Pop_tri_v4:14:8726633:8734200:-1 gene:Potri.014G130500.v4.1 transcript:Potri.014G130500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130500.v4.1 MSSSSWINSLSCSSYVVQSSGETSIPLIFQWLRFIFLSPCPQRALLSSVDLLFLLFLLGFAAQKLHSRFTSSGYSGSDINYPLVGNGNSRAHITTSIWFKLSLIVPVFLALCYIVVSILAFSQSTQLPRWKVLDGVFWLVQAITQLVVAILIIHEKRFHAVTHPLSLRIYWVANFIIISMFMSSGIIRLVALEHNLLFDDIVSAMAFTLSIVLFSVAIKGSTGITVIRHSESVMHDDTKLHEPLLGKSNVTGFATASIISKSFWLWMNPLLRKGYKSPLKIDDVPTLSPEHRAEKMSQLFESSWPKPHEKSNHPVRTTLLRCFWKEISFTAFLAILRLSVMYVGPMLIQSFVDYTSGKRTSPYEGYYLVLILLVAKFVEVLTDHQFNFNSRKLGMLIRCTLITSLYKKGLMLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQVGVGLALLYNALGTSAVTALIGTLGVIVFAVFSNKRNNKFQRNVMINRDSRMKATNEMLNYMRVIKFQAWEDHFNKRIQDFRDSEFGWISKFLYSISINTIVMWSTPLLVSTLTFGTALLLGVPLDAGTVFTTTSIFKMLQEPIRVFPQAMISLSQAMVSLARLDCYMLSKELVEESVERVDACDGRIAVEVKGGIFSWDDEAKGEVLNNINLEIKKGKLTAIVGTVGSGKSSLLASILGEMHKISGKIRICGTTAYVAQTSWIQNGTIEDNILFGLPMNKERYKEVLRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDIFSAVDAHTGTDIFKQCVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLASGLDFGALVAAHETSMELLEVSAEIPSENSPTPPKFSQGLSKIGEENDENKLLDQPKSDKGNSKLIEEEERATGNVGLHVYKQYCTEAFGWWGAVVALLLSLVWQASLMAGDYWLAFETADERAATFKPSLFISVYGIIAAVSVVFLIMRSLFFTLMGLKTAQNFFGGILRSILHAPMSFFDTTPSGRILSRASADQTNVDIFLPFMFSHAIAMYVTVFSIIVIVCQYTWPTVFLIIPLGWLNWWYRGYFLAASRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDRFCQENVSRVNANLCMDFHNNGSNEWLGFRLELIGSIILCASAMFLILLPSSIIRPENVGLSLSYGLSLNSVLFWCIYLSCFVENRMVSVERIKQFTNISSEAAWKIEDRVPPPNWPAIGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQYTDEEIWESLERCQLKDVVAAKPEKLDSPVTDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAVIQKIIREEFADCTIISIAHRIPTIMDCDRVLVIDAGRSKEFDKPSRLLERPSLFGALVREYANRSAEL >Potri.003G150200.1.v4.1 pep chromosome:Pop_tri_v4:3:16346017:16348990:1 gene:Potri.003G150200.v4.1 transcript:Potri.003G150200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150200.v4.1 MWPSKIPKKKKKKKKVKVEGGRSRAYMAEAAVSFVIQRLADSLDKLEFHTDVRREVERLQDELRRMRCFLRDADAKQDVDERVCNWVSDIRNVAYDAEDLIDTFTLKISPLKKKHFIRKYTSLVKEWKQRSKIAEGLAALRSRLQDISASRDTYGIQNIGEGTTTASETMRKLRRSSPRSEERDIIGLENDTAKLVEQLIQMGDRWSAVSIVGMGGIGKTTLGIKIYNHGAIRARFPSRAWIYVSQEFSARDILQRVIRQIASPRERLEALTDEELEDLVYENLRRKRYLVVLDDIWSTKAWDCLKKAFPADRSNGSRLLLTTRNKNAALHVDPQTTPYDLEFLSKQNSWELFCKKAFIDGSDTTCSPLLEEIGKEIVERCAGLPLAIIVIGGLLSRKRRPSEWERILNNLDAHFARDPNGVSAILALSYNDLPFYLKSCFFYLGHFPEDYSIHAHKLFRLWIAEGLIPHQGERMEDVAEDYLNELIQRNMVQAERMSVNGRVKQCRLHDLLRDLSTSKAKAQNFLQIPGDENFTSLARCRRHPIYSDSHLSSLGFFSPHLRSLLFFRVVTRVRYRYFIGRHVYGFYELSNANFDYISRNFRLLRILELEGISCGRIPSTIGDLIHLSYLGLKETNIQVLPSTLGSLCNLQTLDIARNLHLRIVPNVIWNMRNLRHLYMCGQSGGFLRIDNLKHLQTLSGIDVSRWKQNNSAHLTSLRKLKMRGNLSLDTIAIFDSISALLQLRSLYLRAEGAEFPTLSQLGSLHSLVKLHLKGGITRLPSLQEFPPNLSQLTLEYTHLEQVSIEVLEKLPKLSIFRLKAKSYSKEELGISANGFPQLEFLEFNSLESLTELKIEASALPRLEIFQIVNCKELRMLPEEMKLMTSLHELVVQDMPRFFVRRLQGVDLQKVQHIPLIRYM >Potri.008G137000.2.v4.1 pep chromosome:Pop_tri_v4:8:9194737:9201121:-1 gene:Potri.008G137000.v4.1 transcript:Potri.008G137000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137000.v4.1 MGMASANSGGSEGMELCIFDLRRGQQEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKEAEAIWRVEALREVLKEIHSLFMMFYGPVREMLEKDPSGGQIRAHLYPFVMDYLSDFLVGKKIQLPSFRDCLKECGTVQMLTVGREAAIEVQSLVRVLESGAGATHCYSLILFQDLLVSTTLSPDDTINLFAYAVQRLSPRALSSGVSSWSYLRRGSTPSHVVVGPTFATVSVSDQFHQLHATSPSQDNSYHVKRPLQHNRWSKGKDGFLVTDIWGTETGSLIPSTPTIWLQQTGERMYLCAYQYKSLTLILLVPLSSILNGEQGVSLVKQQVLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLIDDDRNVSRASPPAKVTTLAKESLLAMSKLREEVDLEKSRSKWDNADRDKDLEICIRAKNNAWVIARTTRGKELYMVLERANETLLYASDAFERFSDRYCGGAFSLD >Potri.008G137000.1.v4.1 pep chromosome:Pop_tri_v4:8:9194738:9201106:-1 gene:Potri.008G137000.v4.1 transcript:Potri.008G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137000.v4.1 MGMASANSGGSEGMELCIFDLRRGQQEGQELDKILFFFPADLPFSTQLSVIGLSEGLITFTRIFSPEAACEVIEAERHSHVFYEAEPDIWMVMVVEKSKEAEAIWRVEALREVLKEIHSLFMMFYGPVREMLEKDPSGGQIRAHLYPFVMDYLSACQKRSPLDYCCWDFLVGKKIQLPSFRDCLKECGTVQMLTVGREAAIEVQSLVRVLESGAGATHCYSLILFQDLLVSTTLSPDDTINLFAYAVQRLSPRALSSGVSSWSYLRRGSTPSHVVVGPTFATVSVSDQFHQLHATSPSQDNSYHVKRPLQHNRWSKGKDGFLVTDIWGTETGSLIPSTPTIWLQQTGERMYLCAYQYKSLTLILLVPLSSILNGEQGVSLVKQQVLENASLKILKVEEKLSKGWGGENAYHVSGYRYLLIDDDRNVSRASPPAKVTTLAKESLLAMSKLREEVDLEKSRSKWDNADRDKDLEICIRAKNNAWVIARTTRGKELYMVLERANETLLYASDAFERFSDRYCGGAFSLD >Potri.019G093200.2.v4.1 pep chromosome:Pop_tri_v4:19:13041467:13042294:1 gene:Potri.019G093200.v4.1 transcript:Potri.019G093200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093200.v4.1 MPSRATWTWSLSPAKWILHDWNDEECVKILKKCKEAIKDREGGKVMIIDMMMENQKGEDESIETQIFFDMLMMILVTGQERNEKEWAKLFSDAGFSNYKITPILGLRSIIEVFP >Potri.015G036100.2.v4.1 pep chromosome:Pop_tri_v4:15:3036695:3039669:-1 gene:Potri.015G036100.v4.1 transcript:Potri.015G036100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036100.v4.1 MASRGRSDTNNNLETLLDLDKLANRNGVAPEPRKMMPGNGLEFKNLSYSVMKKQKKDGVWITKEAYLLNDISGQAIRCEIMAIMGPSGAGKSTFLDAIAGRIAKGSLEGSVRIDGKPISTSHMKMISSYVMQDDQLFPALTVFETFMFAAEVRLPPSISRAEKKKRVYELLDQLGLTSTAHTYIGDEGRRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIARGGSIVLMTIHQPSFRIQMLLDRITVLARGRLVYMGSPAALPNHLSGFGRPVPDDENSIEYLLDVIKEYDESTVGLDPLVLYQRDGIQPDQVAQTPIRRIPKNLRTLPTSYMKTPLSKYAISLRSQGLSATGTMTSQADSGQFDYNDDDDDEEFDNSLERKSTRTPMSSGVYNPRLASQFYKDFPVWVYHGVKGTPRRAPSWTPARTPGRTPGKTPMNAPRSQPSSRYSTPQHVVPRPKTPVLSIPSLDPYSPSYTESSWDEEEPDEADHGPKFANPWLREVAVLSWRTVLNVVRTPELFLSREIVLAVMALILSSLFKNLGHPSFQDINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRETSHNSYRASSYVISSLIVYLPFFAIQGFTFAGITRFLLHLKSNLFNFWLILYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRLQIPIYWRWLHYLSAIKYPFEGMLSNEFKGLRCYSGKPSELSPGPLGEIRWSQLHNESIAKLEPNCMLVGQDVLFSMDITMDNIWYDIGILLAWGVLYRFFFYLVLRFYSNNQRK >Potri.002G149400.1.v4.1 pep chromosome:Pop_tri_v4:2:11258044:11266026:-1 gene:Potri.002G149400.v4.1 transcript:Potri.002G149400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149400.v4.1 MASSSSSSNSAFRNGSSSAQRPLKPLTASNLKSSSFKSRVHLSHAPPPSFRRSYPSTFSASDDGVPGRVRVAVRLRPRNAEELAADADFADYVELQPELKRLKLRKNNWDADTYEFDDVLTEFASQKRVYEAVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGHLGEDDTSSRGIMVRAMEDILAKISPETDSVSVSYLQLYMEAVQDLLVPANDNISIVEDPKTGDVSVPGATVAEIRDQQSIVELLRLGEAHRIAANTKLNTESSRSHAILMVQVKRSFTGREDALSSEIDNSSHLVKPSKPIVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSSHVPIRDSKLTRLLKDSFGGTARTSLIVTIGPSPCHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRKLEIQVDKLIAENERQQKAFDNEVERINQEAQNRIAEVERNFAEALEKERLKCQMEYMESVKELEEKLLANQQRHGRDDFINDNCNGEELGPVSEEVSDLRKLLQNEIQLRKAAEDEINKLKGQYEQFMQPGAGGDTEIIRLHKILEDEAYKKRKLEEEVVILQSQLLQLTFEADQAKRYLERSGSTNGFSGVDSLMSQARNSQFKETMNGQKAPTASLFEHVGLQKILSLLESEDANVRIHAVKVVANLAAEEANQERIVESGGLTSLLMVLRSFEDETIRRVAAGAIANLAMNEANQELIMVQGGISLLSMTAADAEDPQTLRMVSGAIANLCGNDKLQMKLRSEGGIRALLGMVRCGHPDVLSQVARGIANFAKCESRASTQGLKSGRSLLIEDGALPWIVQNANNEAAPIRRHIELALCHLAQQEVNAKEMISGGALWELVRISRYCSREDIRALAHRTLNSSSTFRSEMRRLRIEC >Potri.001G429430.7.v4.1 pep chromosome:Pop_tri_v4:1:45301516:45305097:-1 gene:Potri.001G429430.v4.1 transcript:Potri.001G429430.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429430.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLENEIGRNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLENSTKFTKVSDIAHPQPIEFLPSKEFTSSKSSEEAFEQIMDALKDDKVNMIGLCGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQHQMADKLGLNIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRRTNVCSSMKCQQKVSLRELPEKEAWDLFRINAGLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYRFMVKAGLGLKKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVGYGLNVPQRFFEGMKEIEVLSLEGGRLSLQSLELSTKLQLLVLIMCECKDLIWLRKLQRLKILSLKRCLSIEELPDEIGELKELRLLDVTSCEGLRRIPVNLIGRLKKLEELLIGDRSFKGWDVVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRISECGELKHIIREEDGEREIIPESPRFPKLKKINISFCSSLEYVFPVSMSPNALPRDGIIKFPRLRELSLWLQSNYSFLGPRNFDAQLPLQRLTIEGHEEVGNWLAQLQVRNLYFSTNLNCQLR >Potri.001G429430.2.v4.1 pep chromosome:Pop_tri_v4:1:45292387:45305097:-1 gene:Potri.001G429430.v4.1 transcript:Potri.001G429430.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429430.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLENEIGRNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLENSTKFTKVSDIAHPQPIEFLPSKEFTSSKSSEEAFEQIMDALKDDKVNMIGLCGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQHQMADKLGLNIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRRTNVCSSMKCQQKVSLRELPEKEAWDLFRINAGLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYRFMVKAGLGLKKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVGYGLNVPQRFFEGMKEIEVLSLEGGRLSLQSLELSTKLQLLVLIMCECKDLIWLRKLQRLKILSLKRCLSIEELPDEIGELKELRLLDVTSCEGLRRIPVNLIGRLKKLEELLIGDRSFKGWDVVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRISECGELKHIIREEDGEREIIPESPRFPKLKKINISFCSSLEYVFPVSMSPSLTNLGQMRIARADNLKQIFYGGEGDALPRDGIIKFPRLRELSLWLQSNYSFLGPRNFDAQLPLQRLTIEGHEEVGNWLAQLQQNGFLQRLEFVRVDDCGDVRPPFPAKLLRALKNLKEVIVWGCKSLEEVFELGEPYEGSSEEKELPLPSSLTRLQLLYQLPELKCIWKGPTSHVSLQSLADLHLDSLDKLTFIFTPSLVQSLPKLESLRISECGELKHIIREEDGEREIIPEPPCFPKLKTISIEKCGKLEYVLPVSVSPSLLNLEEMRIFNAHNLKQIFYSVEGDTLTRDGIIKFPKLRKLSISNCSFFAPKNFAAQLPSLQILEIDGHKELGNLCAQIQGLTNLKELSLRSLPDMSKLTTLEQNGFLQRLKFVRVDDCGDVRTPFPAKLLRALNNLKEVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLKRLYISKCGQLKHIIREEDGERETIPESPGQDGQASPINVEKEIVLPNLKELSLEQLSSIIRFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWTRNMGWKEDGDSCL >Potri.001G429430.6.v4.1 pep chromosome:Pop_tri_v4:1:45292332:45305097:-1 gene:Potri.001G429430.v4.1 transcript:Potri.001G429430.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429430.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLENEIGRNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLENSTKFTKVSDIAHPQPIEFLPSKEFTSSKSSEEAFEQIMDALKDDKVNMIGLCGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQHQMADKLGLNIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRRTNVCSSMKCQQKVSLRELPEKEAWDLFRINAGLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYRFMVKAGLGLKKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVGYGLNVPQRFFEGMKEIEVLSLEGGRLSLQSLELSTKLQLLVLIMCECKDLIWLRKLQRLKILSLKRCLSIEELPDEIGELKELRLLDVTSCEGLRRIPVNLIGRLKKLEELLIGDRSFKGWDVVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRISECGELKHIIREEDGEREIIPESPRFPKLKKINISFCSSLEYVFPVSMSPSLTNLGQMRIARADNLKQIFYGGEGDALPRDGIIKLTIEGHEEVGNWLAQLQQNGFLQRLKFVRVDDCGDVRTPFPAKLLRALNNLKEVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLKRLYISKCGQLKHIIREEDGERETIPESPGQDGQASPINVEKEIVLPNLKELSLEQLSSIIRFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWTRNMGWKEDGDSCL >Potri.001G429430.1.v4.1 pep chromosome:Pop_tri_v4:1:45292387:45305097:-1 gene:Potri.001G429430.v4.1 transcript:Potri.001G429430.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429430.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLENEIGRNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLENSTKFTKVSDIAHPQPIEFLPSKEFTSSKSSEEAFEQIMDALKDDKVNMIGLCGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQHQMADKLGLNIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRRTNVCSSMKCQQKVSLRELPEKEAWDLFRINAGLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYRFMVKAGLGLKKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVGYGLNVPQRFFEGMKEIEVLSLEGGRLSLQSLELSTKLQLLVLIMCECKDLIWLRKLQRLKILSLKRCLSIEELPDEIGELKELRLLDVTSCEGLRRIPVNLIGRLKKLEELLIGDRSFKGWDVVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRISECGELKHIIREEDGEREIIPESPRFPKLKKINISFCSSLEYVFPVSMSPSLTNLGQMRIARADNLKQIFYGGEGDALPRDGIIKFPRLRELSLWLQSNYSFLGPRNFDAQLPLQRLTIEGHEEVGNWLAQLQQNGFLQRLEFVRVDDCGDVRPPFPAKLLRALKNLKEVIVWGCKSLEEVFELGEPYEGSSEEKELPLPSSLTRLQLLYQLPELKCIWKGPTSHVSLQSLADLHLDSLDKLTFIFTPSLVQSLPKLESLRISECGELKHIIREEDGEREIIPEPPCFPKLKTISIEKCGKLEYVLPVSVSPSLLNLEEMRIFNAHNLKQIFYSVEGDTLTRDGIIKFPKLRKLSISNCSFFAPKNFAAQLPSLQILEIDGHKELGNLCAQIQGLTNLKELSLRSLPDMSKLTTLEMAVHGQQNGFLQRLKFVRVDDCGDVRTPFPAKLLRALNNLKEVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLKRLYISKCGQLKHIIREEDGERETIPESPGQDGQASPINVEKEIVLPNLKELSLEQLSSIIRFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWTRNMGWKEDGDSCL >Potri.001G429430.5.v4.1 pep chromosome:Pop_tri_v4:1:45292387:45305097:-1 gene:Potri.001G429430.v4.1 transcript:Potri.001G429430.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429430.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLENEIGRNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLENSTKFTKVSDIAHPQPIEFLPSKEFTSSKSSEEAFEQIMDALKDDKVNMIGLCGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQHQMADKLGLNIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRRTNVCSSMKCQQKVSLRELPEKEAWDLFRINAGLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYRFMVKAGLGLKKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVGYGLNVPQRFFEGMKEIEVLSLEGGRLSLQSLELSTKLQLLVLIMCECKDLIWLRKLQRLKILSLKRCLSIEELPDEIGELKELRLLDVTSCEGLRRIPVNLIGRLKKLEELLIGDRSFKGWDVVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRISECGELKHIIREEDGEREIIPESPRFPKLKKINISFCSSLEYVFPVSMSPNALPRDGIIKFPRLRELSLWLQSNYSFLGPRNFDAQLPLQRLTIEGHEEVGNWLAQLQQNGFLQRLEFVRVDDCGDVRPPFPAKLLRALKNLKEVIVWGCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLKRLYISKCGQLKHIIREEDGERETIPESPGQDGQASPINVEKEIVLPNLKELSLEQLSSIIRFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWTRNMGWKEDGDSCL >Potri.001G429430.4.v4.1 pep chromosome:Pop_tri_v4:1:45292387:45305097:-1 gene:Potri.001G429430.v4.1 transcript:Potri.001G429430.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429430.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLENEIGRNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLENSTKFTKVSDIAHPQPIEFLPSKEFTSSKSSEEAFEQIMDALKDDKVNMIGLCGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQHQMADKLGLNIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRRTNVCSSMKCQQKVSLRELPEKEAWDLFRINAGLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYRFMVKAGLGLKKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVGYGLNVPQRFFEGMKEIEVLSLEGGRLSLQSLELSTKLQLLVLIMCECKDLIWLRKLQRLKILSLKRCLSIEELPDEIGELKELRLLDVTSCEGLRRIPVNLIGRLKKLEELLIGDRSFKGWDVVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRISECGELKHIIREEDGEREIIPESPRFPKLKKINISFCSSLEYVFPVSMSPSLTNLGQMRIARADNLKQIFYGGEGDALPRDGIIKLTIEGHEEVGNWLAQLQQNGFLQRLEFVRVDDCGDVRPPFPAKLLRALKNLKEVIVWGCKSLEEVFELGEPYEGSSEEKELPLPSSLTRLQLLYQLPELKCIWKGPTSHVSLQSLADLHLDSLDKLTFIFTPSLVQSLPKLESLRISECGELKHIIREEDGEREIIPEPPCFPKLKTISIEKCGKLEYVLPVSVSPSLLNLEEMRIFNAHNLKQIFYSVEGDTLTRDGIIKFPKLRKLSISNCSFFAPKNFAAQLPSLQILEIDGHKELGNLCAQIQVRPLYFSNNCFPF >Potri.001G429430.3.v4.1 pep chromosome:Pop_tri_v4:1:45292322:45305097:-1 gene:Potri.001G429430.v4.1 transcript:Potri.001G429430.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429430.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLENEIGRNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLENSTKFTKVSDIAHPQPIEFLPSKEFTSSKSSEEAFEQIMDALKDDKVNMIGLCGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQHQMADKLGLNIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRRTNVCSSMKCQQKVSLRELPEKEAWDLFRINAGLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYRFMVKAGLGLKKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVGYGLNVPQRFFEGMKEIEVLSLEGGRLSLQSLELSTKLQLLVLIMCECKDLIWLRKLQRLKILSLKRCLSIEELPDEIGELKELRLLDVTSCEGLRRIPVNLIGRLKKLEELLIGDRSFKGWDVVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRISECGELKHIIREEDGEREIIPESPRFPKLKKINISFCSSLEYVFPVSMSPSLTNLGQMRIARADNLKQIFYGGEGDALPRDGIIKFPRLRELSLWLQSNYSFLGPRNFDAQLPLQRLTIEGHEEVGNWLAQLQQNGFLQRLKFVRVDDCGDVRTPFPAKLLRALNNLKEVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLKRLYISKCGQLKHIIREEDGERETIPESPGQDGQASPINVEKEIVLPNLKELSLEQLSSIIRFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWTRNMGWKEDGDSCL >Potri.008G090700.1.v4.1 pep chromosome:Pop_tri_v4:8:5668498:5671140:1 gene:Potri.008G090700.v4.1 transcript:Potri.008G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090700.v4.1 MITPRLNLYLLVIMTVIGLLSSPSKSLRFELESGHTKCISEDIKSNSMTVGKYSIVNPHDSQPLPESHKLTVRVTSSYGNSYHYSERVESGQFAFTAAEAGDYMACFWAADHKPTVTLSVDFDWKTGVAAKDWSNVAKKGSVDVMEMELKKMYDTVISIQEEMNYLREREDEMQDLNTSTNVKMAWLSFLSIIVCLSVAGLQVWHLKTFFQKKKLI >Potri.007G115200.7.v4.1 pep chromosome:Pop_tri_v4:7:13529190:13538221:1 gene:Potri.007G115200.v4.1 transcript:Potri.007G115200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115200.v4.1 MTRKKIQIKKIDNTAARQVTFSKRRRGLFKKAYELSTLCDAEIALMVFSATGKLFEYSNSSMGQVIERRNLHPKNINTLDQPSLEKQLDGGVHAMLIKEIAKKNRELRHMRGEDLQGLDLEELQKLEKIMEGSLRRLVEEKGGKIINEIDALKTKGEQLIEENQRLKQQVMSLLAGQGHLLEPGQSSDSLVTNISSMGSVDPRQDCDSSCAFLKLGLPFPD >Potri.002G129166.3.v4.1 pep chromosome:Pop_tri_v4:2:9784939:9787561:1 gene:Potri.002G129166.v4.1 transcript:Potri.002G129166.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129166.v4.1 MINPCRIGFLIGTCPPSFSNFSTDEDEFEETDGSAVYGHTLLIQRPSTVEWTPNLVSLVSFISTVDWYPIILRNMGGDFVCYTLLYARNPHDSNRWFRIQVETWDDMAKLCFQHLKPNDHTYVSGHLESYFKVSSKGNPKSSYKEESELKPVETLPEESESGTCQKFKEPESGACQKSMEPHSGAEIGMGKDKTHLCLWKALFSSLLGWWDNKKNRSYPTLSTRLVVMHFS >Potri.002G129166.9.v4.1 pep chromosome:Pop_tri_v4:2:9784938:9787561:1 gene:Potri.002G129166.v4.1 transcript:Potri.002G129166.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129166.v4.1 MINPCRIGFLIGTCPPSFSNFSTDEDEFEETDGSAVYGHTLLIQRPSTVEWTPNLVSLVSFISTVDWYPIILRNMGGDFVCYTLLYARNPHDSNRWFRIQVETWDDMAKLCFQHLKPNDHTYVSGHLESYFKVSSKGNPKSSYKIIANGLHYIAQHDHRPGCQSPE >Potri.002G129166.5.v4.1 pep chromosome:Pop_tri_v4:2:9784935:9787561:1 gene:Potri.002G129166.v4.1 transcript:Potri.002G129166.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129166.v4.1 MINPCRIGFLIGTCPPSFSNFSTDEDEFEETDGSAVYGHTLLIQRPSTVEWTPNLVSLVSFISTVDWYPIILRNMGGDFVCYTLLYARNPHDSNRWFRIQVETWDDMAKLCFQHLKPNDHTYVSGHLESYFKVSSKGNPKSSYKEESELKPVETLPEESESGTCQKFKEPESGACQKSMEPHSGGYEWLIVQLT >Potri.002G129166.4.v4.1 pep chromosome:Pop_tri_v4:2:9784939:9787562:1 gene:Potri.002G129166.v4.1 transcript:Potri.002G129166.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129166.v4.1 MINPCRIGFLIGTCPPSFSNFSTDEDEFEETDGSAVYGHTLLIQRPSTVEWTPNLVSLVSFISTVDWYPIILRNMGGDFVCYTLLYARNPHDSNRWFRIQVETWDDMAKLCFQHLKPNDHTYVSGHLESYFKVSSKGNPKSSYKEESELKPVETLPEESESGTCQKFKEPESGACQKSMEPHSGGYEWLIVQLT >Potri.002G129166.8.v4.1 pep chromosome:Pop_tri_v4:2:9784939:9787562:1 gene:Potri.002G129166.v4.1 transcript:Potri.002G129166.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129166.v4.1 MINPCRIGFLIGTCPPSFSNFSTDEDEFEETDGSAVYGHTLLIQRPSTVEWTPNLVSLVSFISTVDWYPIILRNMGGDFVCYTLLYARNPHDSNRWFRIQVETWDDMAKLCFQHLKPNDHTYVSGHLESYFKVSSKGNPKSSYKIIANGLHYIAQHDHRPGCQSPE >Potri.002G129166.2.v4.1 pep chromosome:Pop_tri_v4:2:9784938:9787561:1 gene:Potri.002G129166.v4.1 transcript:Potri.002G129166.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129166.v4.1 MINPCRIGFLIGTCPPSFSNFSTDEDEFEETDGSAVYGHTLLIQRPSTVEWTPNLVSLVSFISTVDWYPIILRNMGGDFVCYTLLYARNPHDSNRWFRIQVETWDDMAKLCFQHLKPNDHTYVSGHLESYFKVSSKGNPKSSYKEESELKPVETLPEESESGTCQKFKEPESGACQKSMEPHSGGACRLQSWNLLLAHHKVARYDCLGVWGSRMLITGRQRKSYCLIGPWFFI >Potri.002G129166.6.v4.1 pep chromosome:Pop_tri_v4:2:9784910:9787564:1 gene:Potri.002G129166.v4.1 transcript:Potri.002G129166.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129166.v4.1 MINPCRIGFLIGTCPPSFSNFSTDEDEFEETDGSAVYGHTLLIQRPSTVEWTPNLVSLVSFISTVDWYPIILRNMGGDFVCYTLLYARNPHDSNRWFRIQVETWDDMAKLCFQHLKPNDHTYVSGHLESYFKVSSKGNPKSSYKIIANGLHYIAQHDHRPGCQSPE >Potri.002G129166.7.v4.1 pep chromosome:Pop_tri_v4:2:9784910:9787564:1 gene:Potri.002G129166.v4.1 transcript:Potri.002G129166.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129166.v4.1 MINPCRIGFLIGTCPPSFSNFSTDEDEFEETDGSAVYGHTLLIQRPSTVEWTPNLVSLVSFISTVDWYPIILRNMGGDFVCYTLLYARNPHDSNRWFRIQVETWDDMAKLCFQHLKPNDHTYVSGHLESYFKVSSKGNPKSSYKIIANGLHYIAQHDHRPGCQSPE >Potri.017G013200.1.v4.1 pep chromosome:Pop_tri_v4:17:919695:920751:1 gene:Potri.017G013200.v4.1 transcript:Potri.017G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013200.v4.1 MLRFAGCCLLVLLASGLDIAYSESKCEPVFEYFPYCLDFLTGYYNKPSKRCCDHIYKLNRLAKRGLGAQLICWCIEYMVRGTEPQIRADRISELPTKCQTHLSFPISEWKDCNTIV >Potri.015G078900.2.v4.1 pep chromosome:Pop_tri_v4:15:10496516:10497829:-1 gene:Potri.015G078900.v4.1 transcript:Potri.015G078900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078900.v4.1 MGSLLSSSIKMSKQELDAALKKAMELASSAPVVVFSKTYCGYCNRVKQLLTQVGATYKVVELDEISDGSQLQSALAQWTGRGTVPNVFIGGKNIGGCDTVVEKHQRNELLPLLQDAAATAKNSAQL >Potri.014G079300.1.v4.1 pep chromosome:Pop_tri_v4:14:5121594:5125737:-1 gene:Potri.014G079300.v4.1 transcript:Potri.014G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079300.v4.1 MVEEVKEINSNSKTKGLVYWTGLVPHGGIRNAIQNQQGRMTGPTRRSKKWTGEEDKILADAVKRYNSKNWKKIAECVPDRTDVQCLHRWQKVLDPKLVKGPWKKEEDDLIRELVEKHGNKKWSQVAKHLTGRIGKQCRERWHNHLNPDINRTPWTKEEEAILIKAHGAYGNKWAEIAKLLHGRTENSIKNHWNCSVRKRIESYPARGLDFYSYKTKADGKKLEVGKQRNDRGVNLCSNVEPCSLDLVLGNVGRREGQLKTSDNEICKEWNDSAKSTGRTIGTKATDTCVLSSQDCRESASSAYTSESTDHSSVNQPGKICDISFGSLMRSPYSQERTHETTNTINSLPSEALSPSLDLSLSTPFSAHGYDETMDEHEKNRGAGGPNTTDKLHRGLCYESLQPKDLNVFLETGSFPGSDCYIRTVGGPGSFSTPISRNRVSPSCSSPESRLRSKARSFKSTPSIIRKRSSITLRQAGSSDKDDNGLRSLGSCSSDKDDDDDDVDLLNKKQLFLSPPKSKKLETPEEMKSVEKRLEYAFNIEG >Potri.014G079300.3.v4.1 pep chromosome:Pop_tri_v4:14:5121914:5125737:-1 gene:Potri.014G079300.v4.1 transcript:Potri.014G079300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079300.v4.1 MVEEVKEINSNSKTKGLVYWTGLVPHGGIRNAIQNQQGRMTGPTRRSKKWTGEEDKILADAVKRYNSKNWKKIAECVPDRTDVQCLHRWQKVLDPKLVKGPWKKEEDDLIRELVEKHGNKKWSQVAKHLTGRIGKQCRERWHNHLNPDINRTPWTKEEEAILIKAHGAYGNKWAEIAKLLHGRTENSIKNHWNCSVRKRIESYPARGLDFYSYKTKADGKKLEVGKQRNDRGVNLCSNVEPCSLDLVLGNVGRREGQLKTSDNEICKEWNDSAKSTGRTIGTKATDTCVLSSQDCRESASSAYTSESTDHSSVNQPGKICDISFGSLMRSPYSQERTHETTNTINSLPSEALSPSLDLSLSTPFSAHGYDETMDEHEKNRGAGGPNTTDKLHRGLCYESLQPKDLNVFLETGSFPGSDCYIRTVGGPGSFSTPISRNRVSPSCSSPESRLRSKARSFKSTPSIIRKRSSITLRQAGSSDKDDNGLRSLGSCSSDKDDDDDDVDLLNKKQLFLSPPKSKKLETPEEMKSVEKRLEYAFNIEG >Potri.005G140900.1.v4.1 pep chromosome:Pop_tri_v4:5:11224920:11226171:-1 gene:Potri.005G140900.v4.1 transcript:Potri.005G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L5 MESGVDKEMATRKRGGMGSERQYKGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPVAAARAYDTAVFYLRGPSARLNFPEFLAGENFSCGGSYGDMSAASIRKRATEVGARVDAFETALNHHHHRHHDDRQRNSSNSTSSNDNNETVVDSRELKSRPVDLNKVPDPEDSDGDEWERSLATGGNPMGC >Potri.014G017000.3.v4.1 pep chromosome:Pop_tri_v4:14:1039231:1045643:-1 gene:Potri.014G017000.v4.1 transcript:Potri.014G017000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017000.v4.1 MHNWSLAVINRGEDFDGVAMGTAKACIFGLVDICRTASLEAPTSAVIRGICSAVFQNVLSFFVSSFEGKDIFQIVDKETLKIQDDLKIFSELKQKFDDEDGISLVKLSKLCALSMLWILFSCPKDLFAACFELFKSTVPERVQERNFFLSQVTSIIADDAVPLANASDGTTSREGSVGPSAKSYDVSGELPLDGNHVSEDASSPKNCLLRLVLGNNASLRSWMFSKYKKLCNMTSFIAASDIRSALEGICKSFAEFNKLDDSQIDSDGDDSDPSKFVNRQFPVPRMSNEHEVSGEPAGTGHHKGGSRSMDFEMNHLGDSSHGRSSMPRDLSNQSVLSPATRTPLDFRSNSFDGRNFNVHVGKNPASNMEFSLPALRSPSGGISNSFSPKHHLAAPYGSIAETVWFCDGDPAAMDVFSASRQLWLGSLGPDASEAHMRYELERFGPIEQFVFFPVKGFALIEYRNIFDAIRAREYLRTHFPWWIKFMDIGLGARGAMNGVAVGSSCHVYVGHISSQWARDEILHESRKVIFKGPRMVTDLTNEGAVLMEFETPEEATAVMVHLRLHRKGQLHHVPALNEGSANAVLPQLDGTRSASAAIHADIRTNHSVSMFNSATESPRTQNVPQSPADNSRTRMSNLSSLLASLRTKYNINQNPNYFDNYVPGSSMAPSSRDADREPSSTLWICLPNVNSPSLNDDELMAVCNLAIANVGSIVKLTRANMHIGCGWFLECTNVDAAITVLKNLRSCHGTFFQIEFSQPEKNAAAFSIKPEGGSTELVSPQIKSENHATPVQGVHAFGVVDPSPGGGHAVPAAPEPMWMYKNNEIELLQPPVSISCAPTGTHGPPIPPPQQFQPPTFMRPVYLPPNNSWDPRGLNHVALNPISPATMPNSFQGSSVASPFIPASVTPLAQVQRAPVQHLDQMFPRSAVPPTLSSMPLQPEIPPPLPPSPPPAPPPPSSPPPPPPVAESTDAESSGNSMLYQWQGTLCKSGVHYCKIFAQRVDSDICKYSDAMSEPAGWPAKLDMTKRTVFRHVKSTFTSTPPHKREVCRLIPLSANDQKGFQDFISYLKQRECAGVIKIPSGKSIWTRILFILPYSQDTCSMLSIAPDTSNCLIGLVLPKETNFDWV >Potri.014G017000.1.v4.1 pep chromosome:Pop_tri_v4:14:1039165:1046269:-1 gene:Potri.014G017000.v4.1 transcript:Potri.014G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017000.v4.1 MDAAEQPLKKRKLYEQQPEEPPPKTLDESPTTLAPPPPPPLSQEEINARRRNRDEIKSVYETYKRLKFFVSQKEGRHMPDLEQSYLALITASRGCTSVQRIVADLIPRYASHCPTALEAATKVVINMHNWSLAVINRGEDFDGVAMGTAKACIFGLVDICRTASLEAPTSAVIRGICSAVFQNVLSFFVSSFEGKDIFQIVDKETLKIQDDLKIFSELKQKFDDEDGISLVKLSKLCALSMLWILFSCPKDLFAACFELFKSTVPERVQERNFFLSQVTSIIADDAVPLANASDGTTSREGSVGPSAKSYDVSGELPLDGNHVSEDASSPKNCLLRLVLGNNASLRSWMFSKYKKLCNMTSFIAASDIRSALEGICKSFAEFNKLDDSQIDSDGDDSDPSKFVNRQFPVPRMSNEHEVSGEPAGTGHHKGGSRSMDFEMNHLGDSSHGRSSMPRDLSNQSVLSPATRTPLDFRSNSFDGRNFNVHVGKNPASNMEFSLPALRSPSGGISNSFSPKHHLAAPYGSIAETVWFCDGDPAAMDVFSASRQLWLGSLGPDASEAHMRYELERFGPIEQFVFFPVKGFALIEYRNIFDAIRAREYLRTHFPWWIKFMDIGLGARGAMNGVAVGSSCHVYVGHISSQWARDEILHESRKVIFKGPRMVTDLTNEGAVLMEFETPEEATAVMVHLRLHRKGQLHHVPALNEGSANAVLPQLDGTRSASAAIHADIRTNHSVSMFNSATESPRTQNVPQSPADNSRTRMSNLSSLLASLRTKYNINQNPNYFDNYVPGSSMAPSSRDADREPSSTLWICLPNVNSPSLNDDELMAVCNLAIANVGSIVKLTRANMHIGCGWFLECTNVDAAITVLKNLRSCHGTFFQIEFSQPEKNAAAFSIKPEGGSTELVSPQIKSENHATPVQGVHAFGVVDPSPGGGHAVPAAPEPMWMYKNNEIELLQPPVSISCAPTGTHGPPIPPPQQFQPPTFMRPVYLPPNNSWDPRGLNHVALNPISPATMPNSFQGSSVASPFIPASVTPLAQVQRAPVQHLDQMFPRSAVPPTLSSMPLQPEIPPPLPPSPPPAPPPPSSPPPPPPVAESTDAESSGNSMLYQWQGTLCKSGVHYCKIFAQRVDSDICKYSDAMSEPAGWPAKLDMTKRTVFRHVKSTFTSTPPHKREVCRLIPLSANDQKGFQDFISYLKQRECAGVIKIPSGKSIWTRILFILPYSQDTCSMLSIAPDTSNCLIGLVLPKETNFDWV >Potri.004G077600.2.v4.1 pep chromosome:Pop_tri_v4:4:6417816:6423217:-1 gene:Potri.004G077600.v4.1 transcript:Potri.004G077600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077600.v4.1 MDKRTDRVKWEKVKGRGFLDLVFSWSIEDVLNKDLYKDQVEEIPNSFMSTAHYMKAFITPLHVETHADLLSSTESLAGAPTYRILRVRKSKDYKPPKDLFYEISMEETRGGYVPWVGDLIALTNVKLKCIDDLRKTQQSYHVAFVHAVKRGNRLTPSILSSKPIVDEEGLKNGTLFAVHLINLMTNLRIWRSLHLELEGRNMNVIEKVLQNNFNDDGDCTICSSRKKSDAASACIRDTLQSSNLNSSQEAAVLSCIHTARCWHQYTVKLVQGPPGTGKTKTASCLLHALLRMKCRTLTCAPTNIAVVEVAARVVSTVADLVEYETYGMGDIILFGNWERMKFDGDQNDLLHVFLDHRADILEKCFDPSTGWKRILASLISLLEDSEAQYHLYLQDNMGKEGLLTCEQFVWKRFDFSGKQLKFCIVNLYTHLPTTLISLQVMRIMTRALDLMTSLETLLLSLSAADEGLKQILGENEDEERKLHNRIKLINEKRECLNTLRLLSLKFQVPEFADKNAIEKFCLSNACLIFCTVSSSARLHSIRMAPLRCLVIDEAAQLKECESTIPLQLFGLHHAILIGDERQLPAIVNSEISGKAGFGRSLFERLVKLGCKSHLLNIQYRMHPSISLFPNTEFYGRQVLDAPNVQETGYRRRFLQGDMFESYSFINIAHGKEEFVEKQSFKNTVEAAAAADIVGRLFKDINGTGKKVSIGIISPYQAQVHAIQAKIGKFISDSDSALSVSVGTVDGFQGGEEDLIIISTVRSNENGSVGFVSNPQRANVALTRARYCLWILGNEATLVKSGSIWKEIVNDAKHRQCFYNAEEDESLAQAITESLIEHGRLDVLLRTHSPLFRNARWMVFFSDDFRRSVARVRNVRICKEVLSLLAKLSNGWRQHHSRKKRSLLVHNGISSPLIEQYKVSGQLNMIWTVDILQENSFCIQVLKVWDILPSSDIPKLAPRLDTLFRNYTEEQMNRCLYKCMEGNLVVPMRWTVDSSSDHQDSCGEADAVQLPKSLASLCLDDGQWTRLVIE >Potri.004G077600.1.v4.1 pep chromosome:Pop_tri_v4:4:6417710:6423113:-1 gene:Potri.004G077600.v4.1 transcript:Potri.004G077600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077600.v4.1 MDKRTDRVKWEKVKGRGFLDLVFSWSIEDVLNKDLYKDQVEEIPNSFMSTAHYMKAFITPLHVETHADLLSSTESLAGAPTYRILRVRKSKDYKPPKDLFYEISMEETRGGYVPWVGDLIALTNVKLKCIDDLRKTQQSYHVAFVHAVKRGNRLTPSILSSKPIVDEEGLKNGTLFAVHLINLMTNLRIWRSLHLELEGRNMNVIEKVLQNNFNDDGDCTICSSRKKSDAASACIRDTLQSSNLNSSQEAAVLSCIHTARCWHQYTVKLVQGPPGTGKTKTASCLLHALLRMKCRTLTCAPTNIAVVEVAARVVSTVADLVEYETYGMGDIILFGNWERMKFDGDQNDLLHVFLDHRADILEKCFDPSTGWKRILASLISLLEDSEAQYHLYLQDNMGKEGLLTCEQFVWKRFDFSGKQLKFCIVNLYTHLPTTLISLQVMRIMTRALDLMTSLETLLLSLSAADEGLKQILGENEDEERKLHNRIKLINEKRECLNTLRLLSLKFQVPEFADKNAIEKFCLSNACLIFCTVSSSARLHSIRMAPLRCLVIDEAAQLKECESTIPLQLFGLHHAILIGDERQLPAIVNSEISGKAGFGRSLFERLVKLGCKSHLLNIQYRMHPSISLFPNTEFYGRQVLDAPNVQETGYRRRFLQGDMFESYSFINIAHGKEEFVEKQSFKNTVEAAAAADIVGRLFKDINGTGKKVSIGIISPYQAQVHAIQAKIGKFISDSDSALSVSVGTVDGFQGGEEDLIIISTVRSNENGSVGFVSNPQRANVALTRARYCLWILGNEATLVKSGSIWKEIVNDAKHRQCFYNAEEDESLAQAITESLIEHGRLDVLLRTHSPLFRNARWMVFFSDDFRRSVARVRNVRICKEVLSLLAKLSNGWRQHHSRKKRSLLVHNGISSPLIEQYKVSGQLNMIWTVDILQENSFCIQVLKVWDILPSSDIPKLAPRLDTLFRNYTEEQMNRCLYKCMEGNLVVPMRWTVDSSSDHQDSCGEADAVQLPKSLASLCLDDGQWTRLVIE >Potri.013G096200.1.v4.1 pep chromosome:Pop_tri_v4:13:9975357:9977500:1 gene:Potri.013G096200.v4.1 transcript:Potri.013G096200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096200.v4.1 MFHQWSTCIYTHAPKKNIINVRTKKRKKAIKCKPRTQRAAKMDELKPSPVNSTPLTPIAFLERAAIAYADCPSIIYNDTTYTWSQTNRRCLQVASSLSSYGIETGHVVSVLAPNVPATYELQFAVPMAGAILHNINTRLDARNVCILLRHSESKLVFVDYLSRELILEAVSLFPPDTKRPTLVLITDDADADADQSSSSSLTGHFSCSYEDMVEKGDPGFKWVQPQSEWDPVVLNYTSGTTSSPKGVVQSHRGIFIVSAAALIDWGVPKQPVYLWALPIFHTNGWSHVWGMAAVGGTNICLRKVEASTIYSLIHRHGVTHMSGAPVVLNILTKSPDVEPLKNPVHIITAGAPPPAAVILRTESLGFVVSHGYGLTETAGLVVSCAWKPKWNTFPASERARLKARQGVTMAGFTEMDVVDPRTGESMRRDGVSVGEVVLRGGCLMLGYLKDPLGTSKCMKDGWFYTGDVGIMHQDGYLEIKDRSKDVIISGGENISSVEVESVLYTHPAVHEAAVVGRPDEFWGETPCAFVDLKDGLNQKPCEKDIIDFCRNNMPHFMVPKTVVFKDELPRTSTGKIQKFVLKEIAKGMGPKK >Potri.003G048800.1.v4.1 pep chromosome:Pop_tri_v4:3:7109627:7125597:1 gene:Potri.003G048800.v4.1 transcript:Potri.003G048800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048800.v4.1 MAEPSQLTQHIESFVDSSCSSTQQAASLDAIVSFVKNDVVTISSLVREMEMYLTTTDSIIRARGVLLLGEALKCLSSKPLDAATIHSLMSFFKERLADWRALRGALVGCLALVKRKSGGMVTSSDAKGVAESFLQNLQVQSLGQHDRKLCFELMECLLEYYPHAVASLGDDLIYGICEAIDGEKDPQCLMLAFHIMEVLVQVFPDPCGPIESFASDLFGILSSYFPIHFTHPKAEEDVQVKRDDLSRALMLAFSSSPLFEPSVIPLLLEKLSSSLSSAKVDSLKYLSYCTSKYGAERIAKHAGAIWSSLKDVIFTSGQSFVLSFTPESLGGLGCQENEIAAEALALLEKVVIQNNDLFSSMIVGDEEINMVLNSITGCQSYNEIPLQSTQKLYSVGRILYVSVKASVASCSRIFQYFFSCLMESMGLPVVNGSGTCSFNDDCIISKRPNHGSLYLCVELLGACRDLVISSGDLASQCVSANETWCCLLQRFSTSLSKIFSSTLATSTDKPAHDADVYLGVKGLQILATFPGGYLLVSKSTCESILMTFVSIITVDFNKTLLWKLSVKALVQIGLFIHGSNESEKSMSYMDIVVQKIVSMISSDNHDIPFQLQLEAISDIGTSGLQYMLKIVTGLQEVIRANLAEVYVQGNVKSAKVIIHLLECYSNELLPWIQKYEVFEEVLLQFVVSIWNQIENCMAFPDGIFEKELLDATMKVMKLAVASCSVESQNIIIDKAYTVLSSSTFLSTKDSLSSLQAQLEELEDTQETNKFSSRDEWIHSLFISVIIALHPQTRIPNIRTVLHFLMIVFLKGYVTAAQALGSLVNKLDLKTSGTEYSGGCTFEEAMDIIFGKNLSSSDHVSAGRSGITGYWSETGLTNLCLGAANSGLLEIHSIVGLAWIGKGLLMRGHEKVKDITIVFLECLQSNGRRGALPLEENNCNWDMRLSAMKCAADAFQVLMSDSELCLNRKFHAIIRPLYKQRFFSTIMPILQSLIIPSDSLLSRSMLYRAFANVVIGTPLIVILNDAKKLIPMVLDSLKLLSKDVLDKDIMYSLLLVLSGILTDKNGQEGVIENAHIIINYLIGFVTYPHTMLVRETTIQCLVAMSELPHTRIYPMRIQVLQAVSKALDDPKRAVRQEAVRCRQAWSVI >Potri.018G090050.1.v4.1 pep chromosome:Pop_tri_v4:18:11007273:11008258:1 gene:Potri.018G090050.v4.1 transcript:Potri.018G090050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090050.v4.1 MAILTDKTACEVLIRDTRQSPAQVLVGHPPSLSIPYRNWSLSWSHGTRRSTTKSLENSEGLFHRCKENF >Potri.001G091100.4.v4.1 pep chromosome:Pop_tri_v4:1:7213002:7216779:-1 gene:Potri.001G091100.v4.1 transcript:Potri.001G091100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091100.v4.1 MDDIPGRLNIVPDHFHVSTSSEDTPQNITSSIQQPRIDNSSSSNSRFWSGRKLRSAAFMLNLFNLQRLSWGSSADVQEKVELSVTELESLRSELAYLEEREAHLKAQLEHVDEVLRSARFSGYLYIRTRWTALPGEPPPLDDTDVDDWLPRFVVLNGPCIFFYLLSTDFSPQDSTLLADIVEVGSLPSFTREHEETLYSSYILTRQGLRYECSSVSEVQVDSWLKVLQTDIKLVSETKVPSWFQ >Potri.014G175900.4.v4.1 pep chromosome:Pop_tri_v4:14:13149176:13151421:1 gene:Potri.014G175900.v4.1 transcript:Potri.014G175900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175900.v4.1 MNPKSNPFSAAGSGLIRGGLGAYGEKIFGSGSEYVQSNISKCFSYPQYYFQVNDHYVRNKLKIVLLPFLNRGHWTRITEPVGGRLSYKPPINDINAPDLYIPCMAFATYLVLSGISLGLSGKFTPEALNWQFVKGMIGWFSEVMLLKVSILSLGGGEAPLLDMVAYAGYTFTGMCVAVLGRITLGYTYYLIILWTCLCMGIFLIKTMKRTLLSEVRSYDSSKHQYLLLCTALTQFPLMFCLSNTSGT >Potri.014G175900.3.v4.1 pep chromosome:Pop_tri_v4:14:13149165:13151421:1 gene:Potri.014G175900.v4.1 transcript:Potri.014G175900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175900.v4.1 MNPKSNPFSAAGSGLIRGGLGAYGEKIFGSGSEYVQSNISKCFSYPQYYFQVNDHYVRNKLKIVLLPFLNRGHWTRITEPVGGRLSYKPPINDINAPDLYIPCMAFATYLVLSGISLGLSGKFTPEALNWQFVKGMIGWFSEVMLLKVSILSLGGGEAPLLDMVAYAGYTFTGMCVAVLGRITLGYTYYLIILWTCLCMGIFLIKTMKRTLLSEVRSYDSSKHQYLLLCTALTQFPLMFCLSNTSGT >Potri.004G073900.1.v4.1 pep chromosome:Pop_tri_v4:4:6151125:6153736:-1 gene:Potri.004G073900.v4.1 transcript:Potri.004G073900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073900.v4.1 MEFCSKYRKYQVLQIIISTVFILGSSLAGSTLAEYHQNTVEYKAINCRKHSAVLTDFGAVGDGKTSNTKAFAEAIKKLSKYAPDGGAQLIVPAGKWLTGSFNLTSHFTLFLHKDAVLLASQDEDEWPLLTPLPSYGGVRENAVFGRPGGLIFASNVTDVIITGNNGTVDGQGAVWWEKFNEKKLKKLERPYLIEIMYSDQVQISNITLINSPQWHVHPIYSSNLWIQGVTILAPVDVPNTDGINPDSCTNVIIEDCYIVSGDDCIAVKSGLDQYGIKVGMPMKQLVIRRITCISPKSAAIALGSEMSGGIEDVRIEDITAINTESAVRVKTAVGRGGYVKDIFVRRMTLKTMKYVFWMIGSYSSHADEGYDPKALPEITNINFRDIAADNVTIPGKLEGLGEGNPFTGICISNVTMTLAEKHKEPAWNCTDVSGVSSNVTPMPCAALPKKSMDCPFPEDKLPIEKVRLQTCSATS >Potri.005G209200.1.v4.1 pep chromosome:Pop_tri_v4:5:21352593:21360074:-1 gene:Potri.005G209200.v4.1 transcript:Potri.005G209200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209200.v4.1 MIPLLSLHSPKPSLFLTHFLPHLSTPLPRFKSPPHRTHHFPIHSFPNNQQQQQPANQNLSNQQPRTLFPGGYKRPEIKVPNIVLQLDPEDVIRGGSEALDLIDKAVSKSVGIVILNGSIGGGGSGKSLYEAACLVNSVVRDRAYLLIGERVDIATAVNASGVVLSDQGLPALVARNMMMGSRTESVVLPLVARIVQTPNAALNASNSEGADFLIYVHGPEEDFDVEMSPGFGNVKIPIFVLNASRGEATLSVGASKFLKTGASGLVLSLEDLRLFSDDALSQMFDTLSATGKNFQDDLESFSKLKSMDMENDIHEKTTVAGFVKLEDREKQLIEKERSILLEAIDVIQKASPLMGELSLFIDAVSQIDEPFLLAIVGEFNSGKSTVINALLGKRYLNEGVVPTTNEITFLRYSKSDSEEQQRCERHPDGQYICYLPAPILKEMNIVDTPGTNVILQRQQRLTEEFVPRADLLLFVISADRPLTESEVSFLRYTQQWKKKVVFVLNKSDLYRNSSELEEAMLFIKENTRKLLKTNDVILYPISARSALEAKLSASSDLGKDYTELSVSKSHLKISRFYELEQFLYSFLDASTTTGMERVRLKLETPIAIAERLLSACETLVKQDSQLAKQDLTSATELIDSVKEYAIKMENESISWRRKTMSLIDATKSRVLELIESTLQLSNLDLVASYIFRGEKSATMPATLKIQNDIIGPALTDAQKLLGEYLKWLQSNSANGGKLYKEQFEKRWTSITYPTSQIHLETHDLAKKVDLSIRVIENLSAGATSKLFEKQIREAFLGTFGGLGAAGLSASLLTSVLPTTLEDLLALGLCSAGGFIAISTFPVRRQAIVDKVNKIADGLAREVEEAMQNDLMETVGNLENFVKTIGKPYQDAAQERLDKLLDLQEELSNVDKKLRTLRIEIQNVHLS >Potri.002G224400.1.v4.1 pep chromosome:Pop_tri_v4:2:21262553:21266664:1 gene:Potri.002G224400.v4.1 transcript:Potri.002G224400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224400.v4.1 MPDQMHPTTATPDPPQEQPPETLLNLITNVLSLLLLSTITVQSFIGRWQVLRPKLTSLQSSLSSLSDSPLWPQNPLLQTLLPSLLSTLQRLLSLSHQCSSTSSFLGGKLLFQSDLDIASSSLSNHLHDLGLLLRSGVLHQSNAIILSHPGPGSNKEELVFFIHDLFTRLQVGGAEFKRPALESLLQVLNEDQKLASLVAKEGNIGYLIGLLDFNNQPSIREQAVSAVSILASSDGESRKIIFEEGGLGHLLRVLETGSMPVREKAAIAIEAITDDPDNGWAISAYRGVSVLIEACQCGSQVTQTHAVGAIRNVAGLEDIRMALAEEGVVPVIFHLLVSGTSAAQEKAADCVAILASSGEYFRTLIIQEKGLQRLMYLIQDLSSTSCTIEHILRAISSLSVSDSVSQILSSSTALIVHLGELIKHGNIISQQISASLLANLSISDGNKRAIASCMGSLVKLMESPKPVGLQEAGALALLSLLTVRWNKKELARDEKGLMKLVKMLDPRCELIDKKFPVMVVDALLSGRSGGCRKRLLAAGVFQHLQKLAEMEVAGAKKALQRLSENRLKSMFSRTWRE >Potri.006G118900.1.v4.1 pep chromosome:Pop_tri_v4:6:9350763:9353301:-1 gene:Potri.006G118900.v4.1 transcript:Potri.006G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118900.v4.1 MADLKLSETRDLTRIERIGAHSHIRGLGLDSALEPRAVSEGMVGQTSARKAAGIILQMIKEGRIAGRAVLIAGQPGTGKTAIAMGMAKSLGLETPFAMISASEIFSLEMSKTEALMQSFRKAIAVRIKEETEVIEGEVVEIQIDRPAVAGAALKTGKLTMKTTEMEGVYDLGAKMIESLGKEKVQSGDVIAIDKPSGKVTKLGRSFSRSRDYDAIGPQVRFVQCPGGELQKRKEIVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILAVATNRGITTIRGTNYKSPHGIPIDLLDRLLIITTQPYTKDDIHKILDIRCQEEDVEIAEEAKALLTHIGVETSLRYAIHLITAAALACQKRKGKVVENEDITRVYNLFLDVKRSTQYLMEYQEQYMFSEASIVDGDEGGTSAKLP >Potri.013G141700.2.v4.1 pep chromosome:Pop_tri_v4:13:15587679:15588778:1 gene:Potri.013G141700.v4.1 transcript:Potri.013G141700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G141700.v4.1 MPRSRITGNLIDKTFSIVANILLRIIPTTSGEKEAFTYYRDGMSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSTL >Potri.013G141700.3.v4.1 pep chromosome:Pop_tri_v4:13:15588061:15588782:1 gene:Potri.013G141700.v4.1 transcript:Potri.013G141700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G141700.v4.1 MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSTL >Potri.013G141700.4.v4.1 pep chromosome:Pop_tri_v4:13:15588550:15589442:1 gene:Potri.013G141700.v4.1 transcript:Potri.013G141700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G141700.v4.1 MRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSTL >Potri.004G110681.1.v4.1 pep chromosome:Pop_tri_v4:4:10016679:10017628:-1 gene:Potri.004G110681.v4.1 transcript:Potri.004G110681.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110681.v4.1 MSRKVRGREKSVTESILAKTSPQQYFFIGILIITPGFVIHTNEENPEVSQHLEECHTKVTKRCAIEISNSIYTNKTPSEYCCQKHITTEKACHDDFIKLFISKVSKEKVT >Potri.017G009700.1.v4.1 pep chromosome:Pop_tri_v4:17:737966:742909:1 gene:Potri.017G009700.v4.1 transcript:Potri.017G009700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009700.v4.1 MASPDVDSPWLCAANESFGGCFNMQIEEIKSANVLNVLEAPSSSMSDTCNIPKPPPYPNKTSKAANNCKRILETCSVPREIKDTWDRLFKEAYGVDVYIITDSKSYIPAHCNVLSISSPVLGNILQRSKVKDGIRYIKILGVPCEAVYMFIRFLYSSCYEEDEMKKFVLHLMVLSHSYSIPSLKRVCIDFLEQDYLTKENVIDVLQLARSCNAPRLSFICVRMVVKDLKTISSTEGWKVMKRANPALEQELVESVVEADSRKQERLRKIEERKVYLQLYEAMEALLHICRDGCRTIGPSDKMLKGSQVPCNFPACKGLESLVRHFSNCKTRVPRGCVHCKRMWQLLELHSRMCNDPDYCKVPLCRHFKEKMQQQTKKDEAKWKLLVSKVIAAKNALGPFSARPVDLF >Potri.014G059000.4.v4.1 pep chromosome:Pop_tri_v4:14:3798280:3799401:-1 gene:Potri.014G059000.v4.1 transcript:Potri.014G059000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059000.v4.1 MAVGGTAPPRGSAAAAASMRRRRTTSGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVVGKLYLVRRDA >Potri.014G059000.5.v4.1 pep chromosome:Pop_tri_v4:14:3798397:3798645:-1 gene:Potri.014G059000.v4.1 transcript:Potri.014G059000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059000.v4.1 MAVGGTAPPRGSAAAAASMRRRRTTSGGASGGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVVGKLYLVRRDA >Potri.003G038450.1.v4.1 pep chromosome:Pop_tri_v4:3:4673107:4676321:-1 gene:Potri.003G038450.v4.1 transcript:Potri.003G038450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038450.v4.1 MGCLVLYPIFLLETSYTFLFTWIFNTIQSRFYLGEVGNGAAMKLIVNMIMGSMMATFSEGLLLSEKGGLDPNVLVEVVSLGAISAPMYSLKGPSMVKSLYPTAFPLKHQQKDMRLALGLAESVSQPTPIAAAANELYKVAKSHGLSDSDFSAVIEALKGKVQS >Potri.006G275700.2.v4.1 pep chromosome:Pop_tri_v4:6:26715806:26719170:1 gene:Potri.006G275700.v4.1 transcript:Potri.006G275700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275700.v4.1 MFSSSQFDATSAFSGGGFMPSQSTQLTDSTPSPAKSRNSLGVVPVTVKQISQASQSGDEKSSFVINGVDVTNVTVVGMVFNKAEKSTDVSFVIDDGTGRIGCRRWVTENFDKLEMEAVQDGMYVRVIGHLRVFQDVKQLVAFSVRPVTNFDEITFHFIDCIHSHLQNSKLQGGASTQLHMVESSMNTPVRNGQTFTSNLMSKQFDVDGLKDCDQLVLDRLQQSSSIGQEKGMHMDELCQQLKLPMEKIKESIRSLEDEGLIYSTIDEFHYKAT >Potri.007G120900.2.v4.1 pep chromosome:Pop_tri_v4:7:13884302:13888710:-1 gene:Potri.007G120900.v4.1 transcript:Potri.007G120900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120900.v4.1 MQSMMLDASFLLLVSCILHFYYLSKGQEVTYCDNSMNYTSGSAYQQNLNLTLTSLAANASLTGYYISTVGQNPNLVYGLINCPGFISNEVCKTCANSVTTKIIQLCPNQKSASVCNENCSLQYSDSQFFSTADSAIRLSVFSSQNADDPFLFRSQLGSLLGNISNNAAADTSRLAVGRTSYTSSIYINGFRVYTLSCNIRYEIYSFFSLSSLPPPPPPPPSTPTPPPSTPTPPPSVQPNSTATATNDSTSNDGKSPNTVGTVVISVAVTLAVITAILCGFLFWKKRKTKRVGDIVHHSRHDDSQPCSPGADEEGYTTIDSLSIGLNTLREATGNFCDEYKLGQGGFGPVYKGKLRNGTEIAVKRLSNSSRQGLEELKTEVLLVAKLLHRNLVWLLGFCLEEEEKLLVYEYLPNGSLDKVLFDQNKRCSLEWERRHEIIIGIARGLLYLHEDSQLRIIHRDLKASNILLDESMQPKISDFGLARLFSGSQTQGNTNRIAGTYGYMAPEYAKKGHFSTKSDVYSFGILVLEIVTGQKISSFRHTINLQSCAWQHWTNGTALELVDPTLGGQWPENEILNCIHIGLLCVQEAFADRPTMSQIVMMLNGYTMTSPAPSRPGFYVSKANSGSASGTDDSGSSPLPVSLQQSVNCVSITDLYPR >Potri.007G120900.3.v4.1 pep chromosome:Pop_tri_v4:7:13884302:13888710:-1 gene:Potri.007G120900.v4.1 transcript:Potri.007G120900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120900.v4.1 MQSMMLDASFLLLVSCILHFYYLSKGQEVTYCDNSMNYTSGSAYQQNLNLTLTSLAANASLTGYYISTVGQNPNLVYGLINCPGFISNEVCKTCANSVTTKIIQLCPNQKSASVCNENCSLQYSDSQFFSTADSAIRLSVFSSQNADDPFLFRSQLGSLLGNISNNAAADTSRLAVGRTSYTSSIYINGKSPNTVGTVVISVAVTLAVITAILCGFLFWKKRKTKRVGDIVHHSRHDDSQPCSPGADEEGYTTIDSLSIGLNTLREATGNFCDEYKLGQGGFGPVYKGKLRNGTEIAVKRLSNSSRQGLEELKTEVLLVAKLLHRNLVWLLGFCLEEEEKLLVYEYLPNGSLDKVLFDQNKRCSLEWERRHEIIIGIARGLLYLHEDSQLRIIHRDLKASNILLDESMQPKISDFGLARLFSGSQTQGNTNRIAGTYGYMAPEYAKKGHFSTKSDVYSFGILVLEIVTGQKISSFRHTINLQSCAWQHWTNGTALELVDPTLGGQWPENEILNCIHIGLLCVQEAFADRPTMSQIVMMLNGYTMTSPAPSRPGFYVSKANSGSASGTDDSGSSPLPVSLQQSVNCVSITDLYPR >Potri.007G120900.4.v4.1 pep chromosome:Pop_tri_v4:7:13884302:13890491:-1 gene:Potri.007G120900.v4.1 transcript:Potri.007G120900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120900.v4.1 MTTEESQSFDSNLHGAKVFFLLICYFQKLGKSPNTVGTVVISVAVTLAVITAILCGFLFWKKRKTKRVGDIVHHSRHDDSQPCSPGADEEGYTTIDSLSIGLNTLREATGNFCDEYKLGQGGFGPVYKGKLRNGTEIAVKRLSNSSRQGLEELKTEVLLVAKLLHRNLVWLLGFCLEEEEKLLVYEYLPNGSLDKVLFDQNKRCSLEWERRHEIIIGIARGLLYLHEDSQLRIIHRDLKASNILLDESMQPKISDFGLARLFSGSQTQGNTNRIAGTYGYMAPEYAKKGHFSTKSDVYSFGILVLEIVTGQKISSFRHTINLQSCAWQHWTNGTALELVDPTLGGQWPENEILNCIHIGLLCVQEAFADRPTMSQIVMMLNGYTMTSPAPSRPGFYVSKANSGSASGTDDSGSSPLPVSLQQSVNCVSITDLYPR >Potri.007G120900.1.v4.1 pep chromosome:Pop_tri_v4:7:13884302:13888696:-1 gene:Potri.007G120900.v4.1 transcript:Potri.007G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120900.v4.1 MQSMMLDASFLLLVSCILHFYYLSKGQEVTYCDNSMNYTSGSAYQQNLNLTLTSLAANASLTGYYISTVGQNPNLVYGLINCPGFISNEVCKTCANSVTTKIIQLCPNQKSASVCNENCSLQYSDSQFFSTADSAIRLSVFSSQNADDPFLFRSQLGSLLGNISNNAAADTSRLAVGRTSYTSSIYINGMAQCTRNLTGSECLLCLQIIISYITSLSSDSVGFRVYTLSCNIRYEIYSFFSLSSLPPPPPPPPSTPTPPPSTPTPPPSVQPNSTATATNDSTSNDGKSPNTVGTVVISVAVTLAVITAILCGFLFWKKRKTKRVGDIVHHSRHDDSQPCSPGADEEGYTTIDSLSIGLNTLREATGNFCDEYKLGQGGFGPVYKGKLRNGTEIAVKRLSNSSRQGLEELKTEVLLVAKLLHRNLVWLLGFCLEEEEKLLVYEYLPNGSLDKVLFDQNKRCSLEWERRHEIIIGIARGLLYLHEDSQLRIIHRDLKASNILLDESMQPKISDFGLARLFSGSQTQGNTNRIAGTYGYMAPEYAKKGHFSTKSDVYSFGILVLEIVTGQKISSFRHTINLQSCAWQHWTNGTALELVDPTLGGQWPENEILNCIHIGLLCVQEAFADRPTMSQIVMMLNGYTMTSPAPSRPGFYVSKANSGSASGTDDSGSSPLPVSLQQSVNCVSITDLYPR >Potri.007G138500.2.v4.1 pep chromosome:Pop_tri_v4:7:14941024:14942427:-1 gene:Potri.007G138500.v4.1 transcript:Potri.007G138500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138500.v4.1 MVRIVGFLILVVSGQAMAQLNFDSCKIISDKLSHCLDFLAGSYCKPSNQCCQSIYDLNAIARVVMGPRFICHCIENVARVLPTRIIPDRIGDLTVKCNTHNSFAISEYMDCNREPIQIDPPHD >Potri.003G068100.8.v4.1 pep chromosome:Pop_tri_v4:3:9538791:9543753:1 gene:Potri.003G068100.v4.1 transcript:Potri.003G068100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068100.v4.1 MIPRLFFSVLFLVLAASFPISSGSEEKEKTLAMIKPDGLLGNYTERIKEVIVDYGFSILREITAQLDQDSASSFYAEHSSRSFFPSLIKYMTSGPVLVMVLEKENAIADWRTLIGPTDACKAKITHPNSIRAMCGQDSEKNCVHGSDSLLSAQREISFFFEDVSSGETNTTHDEL >Potri.003G068100.9.v4.1 pep chromosome:Pop_tri_v4:3:9538779:9543753:1 gene:Potri.003G068100.v4.1 transcript:Potri.003G068100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068100.v4.1 MIPRLFFSVLFLVLAASFPISGSEEKEKTLAMIKPDGLLGNYTERIKEVIVDYGFSILREITAQLDQDSASSFYAEHSSRSFFPSLIKYMTSGPVLVMVLEKENAIADWRTLIGPTDACKAKITHPNSIRAMCGQDSEKNCVHGSDSLLSAQREISFFFEDVSSGETNTTHDEL >Potri.003G068100.12.v4.1 pep chromosome:Pop_tri_v4:3:9538779:9543753:1 gene:Potri.003G068100.v4.1 transcript:Potri.003G068100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068100.v4.1 MIPRLFFSVLFLVLAASFPISGSEEKEKTLAMIKPDGLLGNYTERIKEVIVDYGFSILREITAQLDQDSASSFYAEHSSRSFFPSLIKYMTSGPVLVMVLEKENAIADWRTLIGPTDACKAKITHPNSIRAMCGQDSEKNCVHGSDSLLSAQREISFFFEDVSSGETNTTHDEL >Potri.003G068100.6.v4.1 pep chromosome:Pop_tri_v4:3:9538786:9543753:1 gene:Potri.003G068100.v4.1 transcript:Potri.003G068100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068100.v4.1 MIPRLFFSVLFLVLAASFPISSGSEEKEKTLAMIKPDGLLGNYTERIKEVIVDYGFSILREITAQLDQDSASSFYAEHSSRSFFPSLIKYMTSGPVLVMVLEKENAIADWRTLIGPTDACKAKITHPNSIRAMCGQDSEKNCVHGSDSLLSAQREISFFFEDVSSGETNTTHDEL >Potri.003G068100.7.v4.1 pep chromosome:Pop_tri_v4:3:9538791:9543753:1 gene:Potri.003G068100.v4.1 transcript:Potri.003G068100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068100.v4.1 MIPRLFFSVLFLVLAASFPISSGSEEKEKTLAMIKPDGLLGNYTERIKEVIVDYGFSILREITAQLDQDSASSFYAEHSSRSFFPSLIKYMTSGPVLVMVLEKENAIADWRTLIGPTDACKAKITHPNSIRAMCGQDSEKNCVHGSDSLLSAQREISFFFEDVSSGETNTTHDEL >Potri.003G068100.5.v4.1 pep chromosome:Pop_tri_v4:3:9538788:9543753:1 gene:Potri.003G068100.v4.1 transcript:Potri.003G068100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068100.v4.1 MIPRLFFSVLFLVLAASFPISSGSEEKEKTLAMIKPDGLLGNYTERIKEVIVDYGFSILREITAQLDQDSASSFYAEHSSRSFFPSLIKYMTSGPVLVMVLEKENAIADWRTLIGPTDACKAKITHPNSIRAMCGQDSEKNCVHGSDSLLSAQREISFFFEDVSSGETNTTHDEL >Potri.003G068100.10.v4.1 pep chromosome:Pop_tri_v4:3:9538779:9543753:1 gene:Potri.003G068100.v4.1 transcript:Potri.003G068100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068100.v4.1 MIPRLFFSVLFLVLAASFPISGSEEKEKTLAMIKPDGLLGNYTERIKEVIVDYGFSILREITAQLDQDSASSFYAEHSSRSFFPSLIKYMTSGPVLVMVLEKENAIADWRTLIGPTDACKAKITHPNSIRAMCGQDSEKNCVHGSDSLLSAQREISFFFEDVSSGETNTTHDEL >Potri.003G068100.11.v4.1 pep chromosome:Pop_tri_v4:3:9538779:9543753:1 gene:Potri.003G068100.v4.1 transcript:Potri.003G068100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068100.v4.1 MIPRLFFSVLFLVLAASFPISGSEEKEKTLAMIKPDGLLGNYTERIKEVIVDYGFSILREITAQLDQDSASSFYAEHSSRSFFPSLIKYMTSGPVLVMVLEKENAIADWRTLIGPTDACKAKITHPNSIRAMCGQDSEKNCVHGSDSLLSAQREISFFFEDVSSGETNTTHDEL >Potri.001G330300.8.v4.1 pep chromosome:Pop_tri_v4:1:33901504:33905254:1 gene:Potri.001G330300.v4.1 transcript:Potri.001G330300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330300.v4.1 MESLHSFWQLGDELRGQSKVSEDHKWLVAASKLAEQTQAKGERMNNLDLSKGLPEMRPRDKLGFQENKFESFNFNMMNLDSKMTENVNKSSLRNSAYNMNAVYRKNNMNSIGDLTGSKYSGNSLISKEASNHSNININNDNNNAVDKRFKTLPATEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRTRIRKLYKALDEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSPA >Potri.001G330300.2.v4.1 pep chromosome:Pop_tri_v4:1:33901505:33905335:1 gene:Potri.001G330300.v4.1 transcript:Potri.001G330300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330300.v4.1 MESLHSFWQLGDELRGQSKVSEDHKWLVAASKLAEQTQAKGERMNNLDLSKGLPEMRPRDKLGFQENKFESFNFNMMNLDSKMTENVNKSSLRNSAYNMNAVYRKNNMNSIGDLTGSKYSGNSLISKEASNHSNININNDNNNAVDKRFKTLPATEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRTRIRKLYKALDEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSPA >Potri.001G330300.7.v4.1 pep chromosome:Pop_tri_v4:1:33901548:33905278:1 gene:Potri.001G330300.v4.1 transcript:Potri.001G330300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330300.v4.1 MESLHSFWQLGDELRGQSKVSEDHKWLVAASKLAEQTQAKGERMNNLDLSKGLPEMRPRDKLGFQENKFESFNFNMMNLDSKMTENVNKSSLRNSAYNMNAVYRKNNMNSIGDLTGSKYSGNSLISKEASNHSNININNDNNNAVDKRFKTLPATEMLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRTRIRKLYKALDEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSPA >Potri.007G034400.4.v4.1 pep chromosome:Pop_tri_v4:7:2686039:2688520:1 gene:Potri.007G034400.v4.1 transcript:Potri.007G034400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034400.v4.1 MARVASNPLCIHRFRPYLQASHSSPSLLKSTVHYQTKPRKFPAVSCQTNPDSSETSTPTEKEVLEPGPVSDDTKREDTGASQDSGLPEFPNKDVNKRIAVVSLLAAAGLFLSSRLDFGVSLKDLSVAALPYEEALSNGKPTVVEFYADWCEVCRELAPDVYKVEQQYKDRVNFVMLNVDNTKWEQELDEFGVEGIPHFAFLDREGNEEGNVVGRLPRKYLLENVEALARGEGSIPHARVVGQYSNAENRKVRSVDPRSHG >Potri.003G141050.1.v4.1 pep chromosome:Pop_tri_v4:3:15712871:15715097:-1 gene:Potri.003G141050.v4.1 transcript:Potri.003G141050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141050.v4.1 MAPQRVFWSPIISFLPAVTPSSTPFACNNSFFLLTTKHTQIHRKITPSLSTTTSISPSLSICGSFIKVSAGTARIQQLEVTKISNIRYEEESISNE >Potri.002G081600.2.v4.1 pep chromosome:Pop_tri_v4:2:5765833:5775006:-1 gene:Potri.002G081600.v4.1 transcript:Potri.002G081600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081600.v4.1 MSPPATRGKNKRNRQGDNVNITSEILRKIHASGRVTDGDVNQLYMIWKPVCQGCRVNTKDNPNCFCGLIPPPSGSRKSGLWQKMPDILQALGSDPVKDLRNTDETPAGLTNLGATCYANSVLQCLYMNASFREIVFSVEPDLLNEQPVLNQFARLFAQLHASKMAVIDPAPFIMTLELDNAVQQDGHEFLTLLLSLLERCLNNSKISKVKTVVQDLFRGSVSQVTTCSNCGRDSDASSKTEDFYELELNVKGLKSLDESLDQYLSVEQLHGENQYNCELCKSTVDATHRIRLRTLPDVLNFQLKRYEFLPKTTTRKKITSAFGFPGELDMGLRLSEPSQLEWIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWVFDDEHVSNLGHRPFGEGSSSSTAKVVHNDTVLPSCAGATPADTSRSSVDAVLPQSLESNVGSHKESFSSIDAYRLMYNLKRTRKNDDKRNHIANIIQLEGHKGLHNGFHLPPHLSEDIKDVNAVYLAVCEEYKLKKEREVHHVAERRQEVRSILSEAPVRSLEEPFYWVSTAWLRQWADNVIPGAIDNKPIQCSHGEVPVSKVGSMKRLSVKTWGILFSKYDGGPALSNSNCCMTCLIDSAQSVVSADSYRDQRTLMRDLANDVITKKCSDGTYFVSKTWLQQWVRRKNIDAPSEADAGPTASIRCRHGQLRPKQTGAKRLLVPEKLWLFLYKDAVAVKPDDPLGCTTFPSDSELCPECSDELSEVACFEDSIREMKLKHRQNHERLATGKSIPLSLNCTYYLMPSSWLTKWRNYTSASGKNTSSVEPEVLDPVIDALKCEQHSRLLERPPYLMNKRGMLIQKSSSTDALTIITENDWNSFCEEWGGNKEKGIMAIIESSDVTESNLSGCREDVSLCKDHPSSQDEASNGPEIRQPVIRTSPETQDLPLIRRGNGHWDLTSLVSNADMALMILLDL >Potri.002G081600.6.v4.1 pep chromosome:Pop_tri_v4:2:5766323:5774989:-1 gene:Potri.002G081600.v4.1 transcript:Potri.002G081600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081600.v4.1 MSPPATRGKNKRNRQGDNVNITSEILRKIHASGRVTDGDVNQLYMIWKPVCQGCRVNTKDNPNCFCGLIPPPSGSRKSGLWQKMPDILQALGSDPVKDLRNTDETPAGLTNLGATCYANSVLQCLYMNASFREIVFSVEPDLLNEQPVLNQFARLFAQLHASKMAVIDPAPFIMTLELDNAVQQDGHEFLTLLLSLLERCLNNSKISKVKTVVQDLFRGSVSQVTTCSNCGRDSDASSKTEDFYELELNVKGLKSLDESLDQYLSVEQLHGENQYNCELCKSTVDATHRIRLRTLPDVLNFQLKRYEFLPKTTTRKKITSAFGFPGELDMGLRLSEPSQLEWIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWVFDDEHVSNLGHRPFGEGSSSSTAKVVHNDTVLPSCAGATPADTSRSSVDAVLPQSLESNVGSHKESFSSIDAYRLMYNLKRTRKNDDKRNHIANIIQLEGHKGLHNGFHLPPHLSEDIKDVNAVYLAVCEEYKLKKEREVHHVAERRQEVRSILSEAPVRSLEEPFYWVSTAWLRQWADNVIPGAIDNKPIQCSHGEVPVSKVGSMKRLSVKTWGILFSKYDGGPALSNSNCCMTCLIDSAQSVVSADSYRDQRTLMRDLANDVITKKCSDGTYFVSKTWLQQWVRRKNIDAPSEADAGPTASIRCRHGQLRPKQTGAKRLLVPEKLWLFLYKDAVAVKPDDPLGCTTFPSDSELCPECSDELSEVACFEDSIREMKLKHRQNHERLATGKSIPLSLNCTYYLMPSSWLTKWRNYTSASGKNTSSVEPEVLDPVIDALKCEQHSRLLERPPYLMNKRGMLIQKSSSML >Potri.002G081600.5.v4.1 pep chromosome:Pop_tri_v4:2:5765834:5774009:-1 gene:Potri.002G081600.v4.1 transcript:Potri.002G081600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081600.v4.1 MIWKPVCQGCRVNTKDNPNCFCGLIPPPSGSRKSGLWQKMPDILQALGSDPVKDLRNTDETPAGLTNLGATCYANSVLQCLYMNASFREIVFSVEPDLLNEQPVLNQFARLFAQLHASKMAVIDPAPFIMTLELDNAVQQDGHEFLTLLLSLLERCLNNSKISKVKTVVQDLFRGSVSQVTTCSNCGRDSDASSKTEDFYELELNVKGLKSLDESLDQYLSVEQLHGENQYNCELCKSTVDATHRIRLRTLPDVLNFQLKRYEFLPKTTTRKKITSAFGFPGELDMGLRLSEPSQLEWIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWVFDDEHVSNLGHRPFGEGSSSSTAKVVHNDTVLPSCAGATPADTSRSSVDAVLPQSLESNVGSHKESFSSIDAYRLMYNLKRTRKNDDKRNHIANIIQLEGHKGLHNGFHLPPHLSEDIKDVNAVYLAVCEEYKLKKEREVHHVAERRQEVRSILSEAPVRSLEEPFYWVSTAWLRQWADNVIPGAIDNKPIQCSHGEVPVSKVGSMKRLSVKTWGILFSKYDGGPALSNSNCCMTCLIDSAQSVVSADSYRDQRTLMRDLANDVITKKCSDGTYFVSKTWLQQWVRRKNIDAPSEADAGPTASIRCRHGQLRPKQTGAKRLLVPEKLWLFLYKDAVAVKPDDPLGCTTFPSDSELCPECSDELSEVACFEDSIREMKLKHRQNHERLATGKSIPLSLNCTYYLMPSSWLTKWRNYTSASGKNTSSVEPEVLDPVIDALKCEQHSRLLERPPYLMNKRGMLIQKSSSTDALTIITENDWNSFCEEWGGNKEKGIMAIIESSDVTESNLSGCREDVSLCKDHPSSQDEASNGPEIRQPVIRTSPEICEDCIGERKSHELAKKLNYFNEDISVSLVRGKEAPRSILEASSATSETDRRASKRPRKTSYGTSVNLKVSGSTSLYRLKMMIWESLGVVKENQILHKGSVIIDQESATLADLSIFPGDKLWVQDSEIHEHRDIADEIADQKANAQDPEKGFWGTLLTTTTASPVV >Potri.002G081600.1.v4.1 pep chromosome:Pop_tri_v4:2:5765834:5775052:-1 gene:Potri.002G081600.v4.1 transcript:Potri.002G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081600.v4.1 MSPPATRGKNKRNRQGDNVNITSEILRKIHASGRVTDGDVNQLYMIWKPVCQGCRVNTKDNPNCFCGLIPPPSGSRKSGLWQKMPDILQALGSDPVKDLRNTDETPAGLTNLGATCYANSVLQCLYMNASFREIVFSVEPDLLNEQPVLNQFARLFAQLHASKMAVIDPAPFIMTLELDNAVQQDGHEFLTLLLSLLERCLNNSKISKVKTVVQDLFRGSVSQVTTCSNCGRDSDASSKTEDFYELELNVKGLKSLDESLDQYLSVEQLHGENQYNCELCKSTVDATHRIRLRTLPDVLNFQLKRYEFLPKTTTRKKITSAFGFPGELDMGLRLSEPSQLEWIYDLSAVLIHKGTAVNSGHYIAHIKDENTGQWWVFDDEHVSNLGHRPFGEGSSSSTAKVVHNDTVLPSCAGATPADTSRSSVDAVLPQSLESNVGSHKESFSSIDAYRLMYNLKRTRKNDDKRNHIANIIQLEGHKGLHNGFHLPPHLSEDIKDVNAVYLAVCEEYKLKKEREVHHVAERRQEVRSILSEAPVRSLEEPFYWVSTAWLRQWADNVIPGAIDNKPIQCSHGEVPVSKVGSMKRLSVKTWGILFSKYDGGPALSNSNCCMTCLIDSAQSVVSADSYRDQRTLMRDLANDVITKKCSDGTYFVSKTWLQQWVRRKNIDAPSEADAGPTASIRCRHGQLRPKQTGAKRLLVPEKLWLFLYKDAVAVKPDDPLGCTTFPSDSELCPECSDELSEVACFEDSIREMKLKHRQNHERLATGKSIPLSLNCTYYLMPSSWLTKWRNYTSASGKNTSSVEPEVLDPVIDALKCEQHSRLLERPPYLMNKRGMLIQKSSSTDALTIITENDWNSFCEEWGGNKEKGIMAIIESSDVTESNLSGCREDVSLCKDHPSSQDEASNGPEIRQPVIRTSPEICEDCIGERKSHELAKKLNYFNEDISVSLVRGKEAPRSILEASSATSETDRRASKRPRKTSYGTSVNLKVSGSTSLYRLKMMIWESLGVVKENQILHKGSVIIDQESATLADLSIFPGDKLWVQDSEIHEHRDIADEIADQKANAQDPEKGFWGTLLTTTTASPVV >Potri.001G029400.1.v4.1 pep chromosome:Pop_tri_v4:1:2193678:2196233:1 gene:Potri.001G029400.v4.1 transcript:Potri.001G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029400.v4.1 MRLSLCLKMKLSPSLLISLLPKRFIKTAPSPPTTPLPTRSNTTSSSPSSFTLQFLVNSCGLPLETALTASKKFHLNEKNIHKTQSLLHFLKSHHFVDTHIADLIKKMPAFLGCKVENNLKPKFEFLAANGVAGNLLPQLILSNPDILWTSLDSTIKPSFKFLKSFLGTNVKITAALKRCSWLLRVNRNRTMQTNIDLLIKEGLPLDRLAKLIISSPRSLLSKHDKIVYAVNSVKNLGLETNDTMFIYALGVKMKMTDTTWKKKIEVMKSLGWSEEEIFGTFKRCPQILQYSEKKIRITVDFFINSVDLGPEILLVYPSLFCLSVDKRVRPWYNVINVLKSKNLIKREKKFPSLLLMSEKKFLENYVDKYADDVPGLWEVYTGTANTKKKGT >Potri.001G029400.3.v4.1 pep chromosome:Pop_tri_v4:1:2193680:2196207:1 gene:Potri.001G029400.v4.1 transcript:Potri.001G029400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029400.v4.1 MRLSLCLKMKLSPSLLISLLPKRFIKTAPSPPTTPLPTRSNTTSSSPSSFTLQFLVNSCGLPLETALTASKKFHLNEKNIHKTQSLLHFLKSHHFVDTHIADLIKKMPAFLGCKVENNLKPKFEFLAANGVAGNLLPQLILSNPDILWTSLDSTIKPSFKFLKSFLGTNVKITAALKRCSWLLRVNRNRTMQTNIDLLIKEGLPLDRLAKLIISSPRSLLSKHDKIVYAVNSVKNLGLETNDTMFIYALGVKMKMTDTTWKKKIEVMKSLGWSEEEIFGTFKRCPQILQYSEKKIRITVDFFINSVDLGPEILLVYPSLFCLSVDKRVRPWYNVINVLKSKNLIKREKKFPSLLLMSEKKFLENYVDKYADDVPGLWEVYTGTANTKKKGT >Potri.013G001300.3.v4.1 pep chromosome:Pop_tri_v4:13:94089:99553:-1 gene:Potri.013G001300.v4.1 transcript:Potri.013G001300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001300.v4.1 MPLSELLYRMAKGKTDSSQEKNPACSTDLSFVRPENDFGELIWENGQIQYSRARKIQTCNSLPPKIRDKDIGNGTNTKTGKFGTMESTLNELLAVPAVEVRANQDDDMVPWLNYPLDEPLQHDYCSDFLPELSGVTVNEHSSQSNFPSFDKRSCNQSITDSHTVSVHNGLNLEQGDVVMNSSAGDIDAKRPRTSASQLYPSSSEQCKTSFPFFRSRDSTKKDDSTSNAVHHVIAPDSIRAPTSGGGFPSIKMQKQVPAPSPINSSLINFSHFARPAALVKANLQNVGMRASSGTSSMERMQNKDKGSIGLPKETDSHCRPNMMSSKVEVKPTEVKPAEGSVPAELPEEMSQEGDSKSDRNCHQNFGESAIKGLEDVEKTTEPLVASSSVGSGNSAERPSDDPTENLKRKHRDTEESEGPSEDAEEESVGAKKPASARAGNGSKRGRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGMYMPSMMLPPGMPHMHAAHMGQFLPMGVGMGMGFRMGMPDMNGGYSGCPMYQVPPMHGAHFPGSQMSGPSALHGMGGPSLQMFGLSGQGLPMSFPRAPLMPMSGGPPPKTNREPNACGVVGPMDNLDSATASSSKDAIQNINSQVMQNNVANRSMNQTSSQCQATNECFEQPAFAQNNGEGSEVAESGVLKSAGGTDITPSRATGCD >Potri.013G001300.2.v4.1 pep chromosome:Pop_tri_v4:13:93426:99539:-1 gene:Potri.013G001300.v4.1 transcript:Potri.013G001300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001300.v4.1 MPLSELLYRMAKGKTDSSQEKNPACSTDLSFVPENDFGELIWENGQIQYSRARKIQTCNSLPPKIRDKDIGNGTNTKTGKFGTMESTLNELLAVPAVEVRANQDDDMVPWLNYPLDEPLQHDYCSDFLPELSGVTVNEHSSQSNFPSFDKRSCNQSITDSHTVSVHNGLNLEQGDVVMNSSAGDIDAKRPRTSASQLYPSSSEQCKTSFPFFRSRDSTKKDDSTSNAVHHVIAPDSIRAPTSGGGFPSIKMQKQVPAPSPINSSLINFSHFARPAALVKANLQNVGMRASSGTSSMERMQNKDKGSIGLPKETDSHCRPNMMSSKVEVKPTEVKPAEGSVPAELPEEMSQEGDSKSDRNCHQNFGESAIKGLEDVEKTTEPLVASSSVGSGNSAERPSDDPTENLKRKHRDTEESEGPSEDAEEESVGAKKPASARAGNGSKRGRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGMYMPSMMLPPGMPHMHAAHMGQFLPMGVGMGMGFRMGMPDMNGGYSGCPMYQVPPMHGAHFPGSQMSGPSALHGMGGPSLQMFGLSGQGLPMSFPRAPLMPMSGGPPPKTNREPNACGVVGPMDNLDSATASSSKDAIQNINSQVMQNNVANRSMNQTSSQCQATNECFEQPAFAQNNGEGSEVAESGVLKSAGGTDITPSRATGCD >Potri.013G001300.5.v4.1 pep chromosome:Pop_tri_v4:13:93501:99324:-1 gene:Potri.013G001300.v4.1 transcript:Potri.013G001300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001300.v4.1 MESTLNELLAVPAVEVRANQDDDMVPWLNYPLDEPLQHDYCSDFLPELSGVTVNEHSSQSNFPSFDKRSCNQSITDSHTVSVHNGLNLEQGDVVMNSSAGDIDAKRPRTSASQLYPSSSEQCKTSFPFFRSRDSTKKDDSTSNAVHHVIAPDSIRAPTSGGGFPSIKMQKQVPAPSPINSSLINFSHFARPAALVKANLQNVGMRASSGTSSMERMQNKDKGSIGLPKETDSHCRPNMMSSKVEVKPTEVKPAEGSVPAELPEEMSQEGDSKSDRNCHQNFGESAIKGLEDVEKTTEPLVASSSVGSGNSAERPSDDPTENLKRKHRDTEESEGPSEDAEEESVGAKKPASARAGNGSKRGRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGMYMPSMMLPPGMPHMHAAHMGQFLPMGVGMGMGFRMGMPDMNGGYSGCPMYQVPPMHGAHFPGSQMSGPSALHGMGGPSLQMFGLSGQGLPMSFPRAPLMPMSGGPPPKTNREPNACGVVGPMDNLDSATASSSKDAIQNINSQVMQNNVANRSMNQTSSQCQATNECFEQPAFAQNNGEGSEVAESGVLKSAGGTDITPSRATGCD >Potri.013G001300.4.v4.1 pep chromosome:Pop_tri_v4:13:94128:100148:-1 gene:Potri.013G001300.v4.1 transcript:Potri.013G001300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001300.v4.1 MPLSELLYRMAKGKTDSSQEKNPACSTDLSFVPENDFGELIWENGQIQYSRARKIQTCNSLPPKIRDKDIGNGTNTKTGKFGTMESTLNELLAVPAVEVRANQDDDMVPWLNYPLDEPLQHDYCSDFLPELSGVTVNEHSSQSNFPSFDKRSCNQSITDSHTVSVHNGLNLEQGDVVMNSSAGDIDAKRPRTSASQLYPSSSEQCKTSFPFFRSRDSTKKDDSTSNAVHHVIAPDSIRAPTSGGGFPSIKMQKQVPAPSPINSSLINFSHFARPAALVKANLQNVGMRASSGTSSMERMQNKDKGSIGLPKETDSHCRPNMMSSKVEVKPTEVKPAEGSVPAELPEEMSQEGDSKSDRNCHQNFGESAIKGLEDVEKTTEPLVASSSVGSGNSAERPSDDPTENLKRKHRDTEESEGPSEEIIYVQDAEEESVGAKKPASARAGNGSKRGRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGMYMPSMMLPPGMPHMHAAHMGQFLPMGVGMGMGFRMGMPDMNGGYSGCPMYQVPPMHGAHFPGSQMSGPSALHGMGGPSLQMFGLSGQGLPMSFPRAPLMPMSGGPPPKTNREPNACGVVGPMDNLDSATASSSKDAIQNINSQVMQNNVANRSMNQTSSQCQATNECFEQPAFAQNNGEGSEVAESGVLKSAGGTDITPSRATGCD >Potri.004G174850.1.v4.1 pep chromosome:Pop_tri_v4:4:19014475:19015659:1 gene:Potri.004G174850.v4.1 transcript:Potri.004G174850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174850.v4.1 MMSLKLRNRTLSSLCVLTNFPSIVAHLEFFFTPFALLKNLQIGVVNIQPATKTRC >Potri.010G187300.3.v4.1 pep chromosome:Pop_tri_v4:10:18380158:18383551:-1 gene:Potri.010G187300.v4.1 transcript:Potri.010G187300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT5 MKPVSGTNRRCQSFPILIVTLFFLFFLGFFLYNDSMKFSAFQVPRPPSHQEMLREPVNSKVPTVQETPSRNKHDRDRNAEGGEDGDNQIINLSMTLGGPKMELPAVEKEDADEKIALQPEECDIFTGHWVLDNKTHPLYKEDGCEFLSEWVRCLRNGRRDSLYQNWRWQPRDCSLPKFEPKLLLEKLKGKRLMFVGDSIHFNQWQSLICLVQSAIPPGKKSLDYASYITVFKIEDYNATLEFYWAPFLVESNSDPPTMRDGKSDAIIMPESISKHGRNWKDVDYLIFNTYNWWLKYPTMKVLRGSFDEGTAEYDEIERHIAYERVLRTWAKWVEENVDPTRTSIFYSSLFPQHFRSLDWNSPDGINKPKT >Potri.010G187300.2.v4.1 pep chromosome:Pop_tri_v4:10:18380096:18383552:-1 gene:Potri.010G187300.v4.1 transcript:Potri.010G187300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT5 MKPVSGTNRRCQSFPILIVTLFFLFFLGFFLYNDSMKFSAFQVPRPPSHQEMLREPVNSKVPTVQETPSRNKHDRDRNAEGGEDGDNQIINLSMTLGGPKMELPAVEKEDADEKIALQPEECDIFTGHWVLDNKTHPLYKEDGCEFLSEWVRCLRNGRRDSLYQNWRWQPRDCSLPKFEPKLLLEKLKGKRLMFVGDSIHFNQWQSLICLVQSAIPPGKKSLDYASYITVFKIEDYNATLEFYWAPFLVESNSDPPTMRDGKSDAIIMPESISKHGRNWKDVDYLIFNTYNWWLKYPTMKVLRGSFDEGTAEYDEIERHIAYERVLRTWAKWVEENVDPTRTSIFYSSLFPQHFRSLDWNSPDGINCAKETMPILNRTTPVDVSTDRKDAHTSVYTARDGKLLSPEQRSNPGVYADCLHWCLPGVPDTWNELLYARIIALS >Potri.010G187300.1.v4.1 pep chromosome:Pop_tri_v4:10:18380158:18383551:-1 gene:Potri.010G187300.v4.1 transcript:Potri.010G187300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT5 MKPVSGTNRRCQSFPILIVTLFFLFFLGFFLYNDSMKFSAFQVPRPPSHQEMLREPVNSKVPTVQETPSRNKHDRDRNAEGGEDGDNQIINLSMTLGGPKMELPAVEKEDADEKIALQPEECDIFTGHWVLDNKTHPLYKEDGCEFLSEWVRCLRNGRRDSLYQNWRWQPRDCSLPKFEPKLLLEKLKGKRLMFVGDSIHFNQWQSLICLVQSAIPPGKKSLDYASYITVFKIEDYNATLEFYWAPFLVESNSDPPTMRDGKSDAIIMPESISKHGRNWKDVDYLIFNTYNWWLKYPTMKVLRGSFDEGTAEYDEIERHIAYERVLRTWAKWVEENVDPTRTSIFYSSLFPQHFRSLDWNSPDGINCAKETMPILNRTTPVDVSTDRQVFAIAANVARSMKVPVHFLNVTTLSEYRKDAHTSVYTARDGKLLSPEQRSNPGVYADCLHWCLPGVPDTWNELLYARIIALS >Potri.015G101600.1.v4.1 pep chromosome:Pop_tri_v4:15:12102452:12104425:-1 gene:Potri.015G101600.v4.1 transcript:Potri.015G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101600.v4.1 MALHLTWVFGFGLLGNFISCLVCLAPLPTFYRICKKKTSQGFHSIPYVIALFSAMLWLFYALFKEDALLLITINSFTFFMEIGYIFMYLLYATKKDKILTFKLLLLFNVFGFGLICVLTRFLTQRQKRVQVLGWICMTFSLCVFVAPLFIVRKVIRTKSVEFMPFSLSFFLTLSAVMWFFYGFLKKDQFVAVPNILGLLFGILQMVLYMIYRNSKKVVVVEPKLKLDISEHVVDLEKLGAAICSEIAIGIPKLMNDGGDGIIEDQNAKEQTKKIMKAMDVTNKL >Potri.006G027000.1.v4.1 pep chromosome:Pop_tri_v4:6:1758621:1761244:1 gene:Potri.006G027000.v4.1 transcript:Potri.006G027000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027000.v4.1 MAKSEDEKKMKILCLHGFRTSGNFLQKQISKWDPSIFSQFDLDFPDGVFPARGKSEIEGIFPPPYFEWFQFDKDFTEYTNLEECISYLCEYITTRGPFDGFLGFSQGATLSALLLGYQAQGKVLKDHPPFKLFVSVSGSKFRDPSICDVAYKDTIKVKSVHFIGAKDWLKEPSEDLATAFDSPLIIRHPQGHTVPRLDEAATEQLRAWATEILSYNNKILNGENHELENGETKVDDEEKKPEEVSNKIDTTQVQQDGIGIELKREVEVVKA >Potri.001G438800.1.v4.1 pep chromosome:Pop_tri_v4:1:46480744:46482987:1 gene:Potri.001G438800.v4.1 transcript:Potri.001G438800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438800.v4.1 MATSSVMAPSISLKPAPFTVKKPSSVRGLPTLARRSSFKVEASRTRKTNTDQPYGINGGMDLREGVDASGRKGKGKGVYQFVDKYGANVDGYSPIYNTNDWSPSGDVYVGGKTGLLIWAVTLAGLLAGGALLVYSTSALAQ >Potri.006G228700.1.v4.1 pep chromosome:Pop_tri_v4:6:23246738:23251247:-1 gene:Potri.006G228700.v4.1 transcript:Potri.006G228700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228700.v4.1 MVWVVNKQVQIGKGKRCHLWLGALLCWLCFMVVTPKITLSHKPLQFADMRNFLGVPNTLNVITNFPFLLVGVVGFVLSLQGCFFNISLKGEVWGWALFYGGIVGLAFGSAYYHLKPDDSRVMWDTLPMMIAYSSLFSSFMAERVGQRAGLSCLFGLLFAVLFSTAYARTFNDLRLCMMFQLIPCVAIPAMTFLYPPKYTHSRYWLWAAGVCLLAKFEDALDKKIYNANRYFISGHSLEHLCSAAIPVLFAIMLIHRTIRCQRLGDLKERPRP >Potri.012G042000.1.v4.1 pep chromosome:Pop_tri_v4:12:3743148:3743919:-1 gene:Potri.012G042000.v4.1 transcript:Potri.012G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042000.v4.1 MASGEVVERAECGHCGTREESAMEYAGWFQERFGGCGLCEEAIKDEQAKAWSRS >Potri.001G089700.5.v4.1 pep chromosome:Pop_tri_v4:1:7105664:7108769:-1 gene:Potri.001G089700.v4.1 transcript:Potri.001G089700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089700.v4.1 MKIYLFILSKRGAKLALFMHDIQLILIIHFPGNLTPDNSSIPFSSDLKDTTLRGDTPSHDAITSNNDTNGILLEVNPSTSSKNATVHTDLGNKKCPLPETNRLQEIILSALGYGSSVYKMRNPEELTTGKLKELPSGRPQHLTYLNFDEFWNIIRQEKGKVIPKQLANITHRLEPDGREYNYASLTKGAKVLAYNKEAKGACNILGKDHDKYLRNPCSVVEKFVVIELSEETLVDVVKIANFEHYSSNFKDFELSGSLNYTTKSWIPLGNFVAANVKHIQDFKLPEPKWVRYLKLNLRSHYGSGFYCTLSVVEVYGVDAIERMLEDFFVPSEEPLPIELPKPSLTAAPHLKPELNLTDKESSGKVRNGVDNAGMGAENLSDIQQSHADGKKSPESINIMAEPVTEVRQLPISRKPGDTLLKILMQKAKSLELSLTMLEGYIKETNQRKGDIMPKLEEELSGISLLVETTRTEIRDLMEWKENTDKVLMEYQSWKAGVSSSMDTLVRENTRLRLDIEKVANDQANLESKELAVLAMSLFFMCFSTVMLISAKVSKYLGAASNSDKACRTSRGWMMILVSSTMIVFITILSS >Potri.001G089700.1.v4.1 pep chromosome:Pop_tri_v4:1:7105684:7108937:-1 gene:Potri.001G089700.v4.1 transcript:Potri.001G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089700.v4.1 MKKPFSFLNNKNRSSNSRRRSLYELHLSLILLLWGLLFSFCAGHENQGNLTPDNSSIPFSSDLKDTTLRGDTPSHDAITSNNDTNGILLEVNPSTSSKNATVHTDLGNKKCPLPETNRLQEIILSALGYGSSVYKMRNPEELTTGKLKELPSGRPQHLTYLNFDEFWNIIRQEKGKVIPKQLANITHRLEPDGREYNYASLTKGAKVLAYNKEAKGACNILGKDHDKYLRNPCSVVEKFVVIELSEETLVDVVKIANFEHYSSNFKDFELSGSLNYTTKSWIPLGNFVAANVKHIQDFKLPEPKWVRYLKLNLRSHYGSGFYCTLSVVEVYGVDAIERMLEDFFVPSEEPLPIELPKPSLTAAPHLKPELNLTDKESSGKVRNGVDNAGMGAENLSDIQQSHADGKKSPESINIMAEPVTEVRQLPISRKPGDTLLKILMQKAKSLELSLTMLEGYIKETNQRKGDIMPKLEEELSGISLLVETTRTEIRDLMEWKENTDKVLMEYQSWKAGVSSSMDTLVRENTRLSRLDIEKVANDQANLESKELAVLAMSLFFMCFSTVMLISAKVSKYLGAASNSDKACRTSRGWMMILVSSTMIVFITILSS >Potri.001G089700.6.v4.1 pep chromosome:Pop_tri_v4:1:7105674:7108897:-1 gene:Potri.001G089700.v4.1 transcript:Potri.001G089700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089700.v4.1 MKKPFSFLNNKNRSSNSRRRSLYELHLSLILLLWGLLFSFCAGHENQGNLTPDNSSIPFSSDLKDTTLRGDTPSHDAITSNNDTNGILLEVNPSTSSKNATVHTDLGNKKCPLPETNRLQEIILSALGYGSSVYKMRNPEELTTGKLKELPSGRPQHLTYLNFDEFWNIIRQEKGKVIPKQLANITHRLEPDGREYNYASLTKGAKVLAYNKEAKGACNILGKDHDKYLRNPCSVVEKFVVIELSEETLVDVVKIANFEHYSSNFKDFELSGSLNYTTKSWIPLGNFVAANVKHIQDFKLPEPKWVRYLKLNLRSHYGSGFYCTLSVVEVYGVDAIERMLEDFFVPSEEPLPIELPKPSLTAAPHLKPELNLTDKESSGKVRNGVDNAGMGAENLSDIQQSHADGKKSPESINIMAEPVTEVRQLPISRKPGDTLLKILMQKAKSLELSLTMLEGYIKETNQRKGDIMPKLEEELSGISLLVETTRTEIRDLMEWKENTDKVLMEYQSWKAGVSSSMDTLVRENTRLRWETSIL >Potri.001G089700.3.v4.1 pep chromosome:Pop_tri_v4:1:7105663:7108835:-1 gene:Potri.001G089700.v4.1 transcript:Potri.001G089700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089700.v4.1 MKKPFSFLNNKNRSSNSRRRSLYELHLSLILLLWGLLFSFCAGHENQGNLTPDNSSIPFSSDLKDTTLRGDTPSHDAITSNNDTNGILLEVNPSTSSKNATVHTDLGNKKCPLPETNRLQEIILSALGYGSSVYKMRNPEELTTGKLKELPSGRPQHLTYLNFDEFWNIIRQEKGKVIPKQLANITHRLEPDGREYNYASLTKGAKVLAYNKEAKGACNILGKDHDKYLRNPCSVVEKFVVIELSEETLVDVVKIANFEHYSSNFKDFELSGSLNYTTKSWIPLGNFVAANVKHIQDFKLPEPKWVRYLKLNLRSHYGSGFYCTLSVVEVYGVDAIERMLEDFFVPSEEPLPIELPKPSLTAAPHLKPELNLTDKESSGKVRNGVDNAGMGAENLSDIQQSHADGKKSPESINIMAEPVTEVRQLPISRKPGDTLLKILMQKAKSLELSLTMLEGYIKETNQRKGDIMPKLEEELSGISLLVETTRTEIRDLMEWKENTDKVLMEYQSWKAGVSSSMDTLVRENTRLRLDIEKVANDQANLESKELAVLAMSLFFMCFSTVMLISAKVSKYLGAASNSDKACRTSRGWMMILVSSTMIVFITILSS >Potri.017G045900.1.v4.1 pep chromosome:Pop_tri_v4:17:3223979:3224329:1 gene:Potri.017G045900.v4.1 transcript:Potri.017G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045900.v4.1 MASFSCFILALFIALSISGGEAARQLLQLPPLPLVPNLPKPTLPPMPYIPTLPQPTLPTAQPSLPKPTLPPLPSLPTMPSLPKVTLPPLPSMPSIPTIPIPTTIPSIPFLSPPPGN >Potri.010G145501.1.v4.1 pep chromosome:Pop_tri_v4:10:15703809:15704320:1 gene:Potri.010G145501.v4.1 transcript:Potri.010G145501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145501.v4.1 MILGEKLRGSSEVGDDTNRYRYIYISISQSRDTTFGIPQYTVQIVNTCVFGCAPSNIHFHCGWLSYDDCLVNGGKALKTSQVVRFTYSNSFMYALQFKSAKLC >Potri.009G138700.1.v4.1 pep chromosome:Pop_tri_v4:9:11129901:11131097:-1 gene:Potri.009G138700.v4.1 transcript:Potri.009G138700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138700.v4.1 MDQCLEVSEGKASPKRDFRALRGRNHRSLKSNLLESIAQVNDGSVVSHTNEDEDGFVRMKLVVRKQDLKRMLELMRGCESSTNQSYSPASSLSLEQRLNLLRRKHLSRSNAAKGSCRRSWTPALQSIPEELLY >Potri.014G061800.1.v4.1 pep chromosome:Pop_tri_v4:14:3986708:3987601:1 gene:Potri.014G061800.v4.1 transcript:Potri.014G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061800.v4.1 MPENLRGNPAFERESIVTQHGIFALLVGTLTNQIQVKYQSLKGSPFDSHDVIMSVFLVALFIYATASVAEEMLRARESTYYTLVGNLRLFASALAAILLLVILAPVLGCIISVIWACLFMRVAYESSRELSDILSQLTNKLLDMFTRLIARVKEEPNQPRV >Potri.015G010200.1.v4.1 pep chromosome:Pop_tri_v4:15:663823:668880:1 gene:Potri.015G010200.v4.1 transcript:Potri.015G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010200.v4.1 MADWQHLLQSIFIGLLFSYLLAKLISIVVSFQNDNLHITRNPKPQSNDPAPAPAPAPAVHHYQHSHGDVDSVVAEQGSVRNESVSGSDGDGGGDGDDDDDDWEGVESTELDEMFSAATAFVAAAAADRVSLKVSSDLQLQLYGYYKIATEGPCTSAPPSALKMTARAKWQAWQKLGAMPPEDAMQKYIDIITELYPTWASGSAMSKDRDGVGPSKDGKGPMGPVFSTFVYEEESGTELKMDAIHAFAREGEVNNLIKCIDGGVSVNLKDSEGRTPLHWAVDRGHLNIAEVLVGKNADINAKDNEGQTPLHYAAVCEREAIAEYLVKQNADTDAKDNDGQSAHDLCESDWPCLQRPAA >Potri.001G072200.1.v4.1 pep chromosome:Pop_tri_v4:1:5428012:5430852:1 gene:Potri.001G072200.v4.1 transcript:Potri.001G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072200.v4.1 MERVAIRFLVATAVILAAAAVISGELVDETSATAGLLWSTGKDEPDLLSKAEPENSDDSSAAAVVNDHDDLDGGFSSLEGMLHWAIGHSDPTKLKENAEDVQRLSASELQKRQIELKELMEKMKMPSDAELMHMAIDDLNNSSSSLEDRQRALQELLILVEPLDNANDLNKLGGLAIVIQELDHPDHDIRRLSAWVLGKACQNNAAVQKQILELGALSKLIKMVKSSSIEEAIKALYAVSTLIQNHLAGQEFFYAEDGDAMLQEILSNSSNDIRLLRKAVSVVADLAEYQLENIIRAESPCFRNRFFLKSVVDLTASTDLDLQEKALVAVKNLLQLKTTDALVLKDLCNLDGSLERMRRQLLDLMAEEDHRDYAVDLETLRGEVEQIFHEKLGKVMKVPT >Potri.016G124100.3.v4.1 pep chromosome:Pop_tri_v4:16:12831535:12833599:1 gene:Potri.016G124100.v4.1 transcript:Potri.016G124100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G124100.v4.1 MQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNESFRLLYDTKGRFRLHSLREDEAKFKLCKVRSIQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGSKPWISLPKGKGIKLSIIEEAKKRLAASQAAA >Potri.016G124100.1.v4.1 pep chromosome:Pop_tri_v4:16:12831535:12834258:1 gene:Potri.016G124100.v4.1 transcript:Potri.016G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G124100.v4.1 MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVISILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNESFRLLYDTKGRFRLHSLREDEAKFKLCKVRSIQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGSKPWISLPKGKGIKLSIIEEAKKRLAASQAAA >Potri.010G007921.1.v4.1 pep chromosome:Pop_tri_v4:10:1400775:1401689:-1 gene:Potri.010G007921.v4.1 transcript:Potri.010G007921.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007921.v4.1 MARQADRLVKIGQEGFAAIDEHFGRAKRRPPVMKVPYAHPTYYYVHQANQIPATKLIDSNEAAQRYNGKVYIDYPKGKPVPLLSFPFVYI >Potri.013G161600.6.v4.1 pep chromosome:Pop_tri_v4:13:15534770:15537738:1 gene:Potri.013G161600.v4.1 transcript:Potri.013G161600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161600.v4.1 MEGNLNEFLVGQLPTVIYTPHFITQTEETHLLQKIYEAPLSKWKSLKNRRLQNWGGVVHEKGLLPQDLPPWLTMITQRISEESGLFPSAINHVLINEYLPDQGIMPHQDGPAYFPVVAILSLGSPVVMDFTPHSRLRTCTDTWKNEVDEQNFGREAKETETEQMDNPETSVLLMPQSLLIFKDNAYSDYLHGIKDSEVHHCDKAINEVEALAHDKLNEPSFGTESAAVQDVGSGDRNAIHRTAKRISLTCRVVLKVHKNIFKF >Potri.006G146400.6.v4.1 pep chromosome:Pop_tri_v4:6:12464473:12466936:1 gene:Potri.006G146400.v4.1 transcript:Potri.006G146400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146400.v4.1 MGDHSVTVTDNNNHQSTVIEIYPLGSYYFGSKDPIAFRDETIADRVQRMKSNFSARGLRTSVQAVMLVELFKHPHLLLLQVRNAFFKLPGGRLRPGESDIDGLQRKLSRMLSVNEDETDHWEVGDCLGMWWRSDFETLLYPYLPPNLKVPKECTKLYVVKLPASRKFIVPKNLKLLAVPLCQVHENHKTYGPVISGVPQLLSKFSFNINY >Potri.017G018000.2.v4.1 pep chromosome:Pop_tri_v4:17:1317209:1321967:1 gene:Potri.017G018000.v4.1 transcript:Potri.017G018000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018000.v4.1 MEGFQTISCLKGSLGHQFLLFIVWLSSFQVAVALQTLLEPNHVSSTSELGTPPISGLFGPIEISPSVFPRYPYPGENLAPMYPTFPTTYEPNLTGKCPVNFTAMSNVIDRTASDCSQPLAALVGNVICCPQLGSLLHIFQGYFSGNSDKLVLQNAVADDCFSDIISILASRGANITIPTLCSVKSLNLTGGLCPVKDVANFEKIVNTSKLLEACSTVDPLKECCRPICQSAIIEAALEISGTQLTINDNRDLVTVPHHNDPLSDCKGVVFSYISRKLSADAANSAFRILSACKVNKACPLNFTQPLEVIKACRNLAAPNPSCCSSLNTYIAGIQNQMLITNKQAIICATVLGSKLRQGGVMTNVYELCDIDLKDFSIQAYGQQGCLLRSLPADVILDNSTGFSFTCDLTDNIAAPWPSSSSVSSVSLCAPEMSLPALPTSQIRNPGNRGGELELLVPIFSFFIFSALLY >Potri.004G110901.1.v4.1 pep chromosome:Pop_tri_v4:4:10081179:10081544:-1 gene:Potri.004G110901.v4.1 transcript:Potri.004G110901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110901.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEDCHKKVTKRCAIEISNSIYTDKTASEYCCQKHVTTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.008G062200.1.v4.1 pep chromosome:Pop_tri_v4:8:3738430:3740951:1 gene:Potri.008G062200.v4.1 transcript:Potri.008G062200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062200.v4.1 MAEAPEDVVSWLPTKEKLLMDKENFNKICFNTELKPSLSFFSEFPYEFDSLGSPSALSSPVESSMGSSTETESSDEDDFLAGLTRRLTQQLAVKPEKWVMAGSPESTLSGLRSWSVSSNGSPNGVLSPPTTPFGAKNDTWDLIYAAAGEVARLKMSNNEGHKYNSSTNYQRSGLLGPARTQNPGLTSVKNQHAGFYPSHCSSTFGHNTSQVNQCQQLVRQEQQALKQQCSSIWERQQVKTSWQAQPRHHHHSHHHQIQSRGTSAGNENGRFVRSLGLPQSAWPPLQVHAQNQHSNSAGTRAVFPGGSGVKRECAGTGVFLPRRYSNPPEPKKKSAGCPAVLFPAKVVQALNLNFDDMDFNGLAQPRLNSNAAFPSDYDALMIRRSALVAQQKRNLRQESVLNHEIRLPQEWTY >Potri.008G062200.2.v4.1 pep chromosome:Pop_tri_v4:8:3738567:3740951:1 gene:Potri.008G062200.v4.1 transcript:Potri.008G062200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062200.v4.1 MAEAPEDVVSWLPTKEKLLMDKENFNKICFNTELKPSLSFFSEFPYEFDSLGSPSALSSPVESSMGSSTETESSDEDDFLAGLTRRLTQQLAVKPEKWVMAGSPESTLSGLRSWSVSSNGSPNGVLSPPTTPFGAKNDTWDLIYAAAGEVARLKMSNNEGHKYNSSTNYQRSGLLGPARTQNPGLTSVKNQHAGFYPSHCSSTFGHNTSQVNQCQQLVRQEQQALKQQCSSIWERQQVKTSWQAQPRHHHHSHHHQIQSRGTSAGNENGRFVRSLGLPQSAWPPLQVHAQNQHSNSAGTRAVFPGGSGVKRECAGTGVFLPRRYSNPPEPKKKSGCPAVLFPAKVVQALNLNFDDMDFNGLAQPRLNSNAAFPSDYDALMIRRSALVAQQKRNLRQESVLNHEIRLPQEWTY >Potri.007G048201.1.v4.1 pep chromosome:Pop_tri_v4:7:4453545:4454408:-1 gene:Potri.007G048201.v4.1 transcript:Potri.007G048201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048201.v4.1 MFISTSFAQRFFPFQMLWYCTLKRLCLGATTRDGKNWFLLCQRDSWRYPGFQGLIHGSVIQRIPTFN >Potri.001G439900.1.v4.1 pep chromosome:Pop_tri_v4:1:46544934:46546282:1 gene:Potri.001G439900.v4.1 transcript:Potri.001G439900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G439900.v4.1 MAQTNQKQAPEIYEPACQVNPPPAPPIGYPQGHYVAPPPIDYPTMDAPPIGYPQGHYVAPPPIGHPTMDDSGYPSQHTPETKMTGSIEIQCCCFVWRKS >Potri.001G439900.2.v4.1 pep chromosome:Pop_tri_v4:1:46545077:46545443:1 gene:Potri.001G439900.v4.1 transcript:Potri.001G439900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G439900.v4.1 MAQTNQKQAPEIYEPACQVNPPPAPPIGYPQGHYVAPPPIDYPTMDAPPIGYPQGHYVAPPPIGHPTMDDSGYPSQHTPETKMTGS >Potri.019G016104.1.v4.1 pep chromosome:Pop_tri_v4:19:2541504:2541978:-1 gene:Potri.019G016104.v4.1 transcript:Potri.019G016104.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016104.v4.1 MGAISWFLKFMFIYALIFSHVSGVASFSAIPSNAIDYKFKRLPIKMELRQPPSPPPPPQLATKRGPGH >Potri.016G020000.9.v4.1 pep chromosome:Pop_tri_v4:16:1066415:1070217:-1 gene:Potri.016G020000.v4.1 transcript:Potri.016G020000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020000.v4.1 MDYLDSRRKSRCAGKIIAAALCITVCIIILKQNQSPTYSSLSLFSQHELGVTHVLVTGGAGYIGSHAALRLLKDSYRVTKVDNLSRGNLGAVKVLQDLFPEPGRLQFIYADLGDAKAVNKIFAENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLVVLEAMAAHKVKTLIYSSTCATYGEPVKMPITEQTPQLPINPYGKAKKMAEDIIIDFSKTTDMAVMILRYFNVIGSDPEGRLGEAPQPELREHGRISGACFDAARGIIPGLKVKGIDYKTADGTCVRDYIDVTDLVDAHVKALAHAKPRKVGIYNVGTGKGRSVKEFVEACKKATGVDIKVEYLNRRPGDYAEVFSDPSKIKQELNWKAQYTDLKKSLQIAWKWQKSHLNGYSHS >Potri.016G020000.10.v4.1 pep chromosome:Pop_tri_v4:16:1066448:1069945:-1 gene:Potri.016G020000.v4.1 transcript:Potri.016G020000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020000.v4.1 MDYLDSRRKSRCAGKIIAAALCITVCIIILKQNQSPTYSSLSLFSQHELGVTHVLVTGGAGYIGSHAALRLLKDSYRVTKVDNLSRGNLGAVKVLQDLFPEPGRLQFIYADLGDAKAVNKIFAENAFDAVMHFAAVAYVGESTMEPLRYYHNITSNTLVVLEAMAAHKVKTLIYSSTCATYGEPVKMPITEQTPQLPINPYGKAKKMAEDIIIDFSKTTDMAVMILRYFNVIGSDPEGRLGEAPQPELREHGRISGACFDAARGIIPGLKQRITIQCWGYLPMILID >Potri.009G167500.1.v4.1 pep chromosome:Pop_tri_v4:9:12782451:12787761:-1 gene:Potri.009G167500.v4.1 transcript:Potri.009G167500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167500.v4.1 MGKLVEKTKKKKGRPSLLDLQKRSLNEQLNQQQQKKRNHLRNVSPSLSNSSNSTTTPNSSQIHKSATSTATPLRRSTRRNPTNDDTYQDSSDDEDNTELNGKRRREKKLKLVLKLPKPDTNSTSFSSTGEESNGEEEKNTGSNKKKRKINASEIEKGDQNSTTGTNPTSNAQDSGPSTPLPDKKLLLSILDRLQKKDTYGVFSEPVDLDELPDYLEVIEHPMDFGTVRKKLTNGAYGSLELFEEEVFLICTNAMQYNAPDTIYFRQARSIQELAKKNFENLRQDSDDNEAEPKVVRRGRPPSENFKKSPGRPSLDLAGSEFPTGRTLATGGENRSSEKSGFADSSGQFHGSRNEAYLSTDNRFERNDETAGSILKGKHIKKHLALDENRRNTYKQFHPSAGGRVPSVLTTFDAERKQLVAVGLLTEHGYARSIARFAANIGPFSWTIAVKRIEKSLAPGVKFGPGWVGENDIPPQKALFSSPMPSQLAPPPSLPPQKPFSVLESSAATATACGVKSKQGKLSAKPEKDIFPEKQVPSTRLSEAHFSSVPPSTSMTTSVSAVNKSEPFTERAESVPKLNSHSAFNVLNSSTGVMRQTAPSQLHQNPAIHPGTIGFNATYGFNLAAQMGKLIGVARPAGLGIQSSQMADKVSRTNSNLVRSANANSINSEKMKFPENSSSIKISGALPNSGNEAVEAPRSVDQEQPTWQGLYPNPRPDSGSSSHQKSDAVPPDLNVRYQSPGSPSSGCIDPAQPDLALQL >Potri.019G060168.1.v4.1 pep chromosome:Pop_tri_v4:19:9969994:9973269:-1 gene:Potri.019G060168.v4.1 transcript:Potri.019G060168.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060168.v4.1 MTTSLLNKPFFSFFLHILFLLLHIFNSSSFFALAEHTSSPTSLFGNNNTEAEALLQWKASLDNQSQSLLSSWVGISPCINWIGITCDNSGSVTNLTLESFGLRGTLYDFNFSSFPNLFWLDLQKNSLSGTIPREFGKLRNLSYLDLSINHLSGPIPSSIGNMTMLTVLALSHNNLTGSIPSFIGNFTSLSGLYLWSNKLSGSIPQEIGLLESLNILDLADNVLTGRIPYSIGKLRNLFFLGLSMNQLSGLIPSSIKNLTSVSEFYLEKNKLSSPIPQEIGLLESLHVLALAGNKFHGPLPSEMNNLTHLHGLALDGNEFTGHLPVDLCHGGVLKIFTASYNYFSGSIPESLKNCTGLYRVRLDRNQLTGNISEVFGIYPHLNYIDLSYNNFYGELSSKWGDCRNMTSLQISKNNVSGEIPPELGKATQLHLIDLSSNQLKGGIPKDLGGLKLLFKLILNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGEFLNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTRDIPRELGQLQKLETLNVSHNMLSGRIPSTFKDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPTSSKTVKRKSNKLVVLIVLPLLGSLLLVFVVLGALSILCKRARKRNTEPENEQDRNIFTILGHDGKKFYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHAKHSFLVYEFIERGSLRKIITSEEQAIEFDWTKRLNVVKGVGGALSYLHHSCSPPIIHRDITSNNILVDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHMMKITLACLHPNPQSRPTMEKISFELTTKWPPLPQAFGTISLGDLFS >Potri.001G294800.1.v4.1 pep chromosome:Pop_tri_v4:1:30614804:30615398:1 gene:Potri.001G294800.v4.1 transcript:Potri.001G294800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294800.v4.1 MEGLIPFLLHSIKKQKPHNSYRSLSMGSSRSYRLLMGGEGESVNGSSHRRTRSDYQPPPMESLELRANLDFLRSGSLRKRSVNSPPMTGGSKFDAYPHQMGKQVNNKANIRQ >Potri.004G232200.1.v4.1 pep chromosome:Pop_tri_v4:4:23747887:23748491:1 gene:Potri.004G232200.v4.1 transcript:Potri.004G232200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232200.v4.1 MVQSLVISFDVSVSQRLPFFDNTLDIVHSMHVLSNWIPDAMLEFTLYDIYRVLRPGGLFWLDRFFCLGSQLNQTYVPMLDRVGFRNLRWNAGMKLERGIDKNEWYFSALLEKPMT >Potri.003G094500.5.v4.1 pep chromosome:Pop_tri_v4:3:12076348:12082408:-1 gene:Potri.003G094500.v4.1 transcript:Potri.003G094500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094500.v4.1 MVSRSYSNLLDLASGDAPNFGRERKRLPRVATVAGILTDLDDENSVSSDAPSSVSQERMIIVGNQLPLRAHRSPDGSGGWCFSWDEDSLLLQLKDGLGEDVEVIYVGSLKEEIAPSEQDDVAQTLLETFKCVPAFIPPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVTELHDRFRGQTVMLGVDDMDIFKGISLKLLAMEQLLTQHPNKRGEVVLVQIANPARGRGRDVQEVQSETKAAVRRINETFGSPGYTPVVLIDSPLQFYERIAYYTIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPSAPRKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMNSALIVPEPEKQMRHEKHHRYVSTHDVAYWAHSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTMILPSSISRTPNMEAVGVLNSLCTDPKNVVFLVSGKDRETLTEWFSSCEKLGIAAEHGYFMRTNHDVEWETCVSVPDFDWKCIADPVMKLYTETTDGSSIETKESALVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQHIVEVKPQGVNKGLVAERLLEIMKQKGMLPDFVLCIGDDRSDEDMFEVIMSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASASEQVARSAPQSSQQVIIDRE >Potri.003G094500.1.v4.1 pep chromosome:Pop_tri_v4:3:12076160:12082518:-1 gene:Potri.003G094500.v4.1 transcript:Potri.003G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094500.v4.1 MVSRSYSNLLDLASGDAPNFGRERKRLPRVATVAGILTDLDDENSVSSDAPSSVSQERMIIVGNQLPLRAHRSPDGSGGWCFSWDEDSLLLQLKDGLGEDVEVIYVGSLKEEIAPSEQDDVAQTLLETFKCVPAFIPPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVTELHDRFRGQTVMLGVDDMDIFKGISLKLLAMEQLLTQHPNKRGEVVLVQIANPARGRGRDVQEVQSETKAAVRRINETFGSPGYTPVVLIDSPLQFYERIAYYTIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPSAPRKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMNSALIVPEPEKQMRHEKHHRYVSTHDVAYWAHSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTMILPSSISRTPNMEAVGVLNSLCTDPKNVVFLVSGKDRETLTEWFSSCEKLGIAAEHGYFMRTNHDVEWETCVSVPDFDWKCIADPVMKLYTETTDGSSIETKESALVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQHIVEVKPQGVNKGLVAERLLEIMKQKGMLPDFVLCIGDDRSDEDMFEVIMSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASASEQVARSAPQSSQQVIIDRE >Potri.003G094500.9.v4.1 pep chromosome:Pop_tri_v4:3:12076479:12082131:-1 gene:Potri.003G094500.v4.1 transcript:Potri.003G094500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094500.v4.1 MVSRSYSNLLDLASGDAPNFGRERKRLPRVATVAGILTDLDDENSVSSDAPSSVSQERMIIVGNQLPLRAHRSPDGSGGWCFSWDEDSLLLQLKDGLGEDVEVIYVGSLKEEIAPSEQDDVAQTLLETFKCVPAFIPPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVTELHDRFRGQTVMLGVDDMDIFKGISLKLLAMEQLLTQHPNKRGEVVLVQIANPARGRGRDVQEVQSETKAAVRRINETFGSPGYTPVVLIDSPLQFYERIAYYTIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPSAPRKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMNSALIVPEPEKQMRHEKHHRYVSTHDVAYWAHSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTMILPSSISRTPNMEAVGVLNSLCTDPKNVVFLVSGKDRETLTEWFSSCEKLGIAAEHGYFMRTNHDVEWETCVSVPDFDWKCIADPVMKLYTETTDGSSIETKESALVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQHIVEVKPQGVNKGLVAERLLEIMKQKGMLPDFVLCIGDDRSDEDMFEVIMSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASASEQVARSAPQSSQQVIIDRE >Potri.003G094500.7.v4.1 pep chromosome:Pop_tri_v4:3:12076282:12082220:-1 gene:Potri.003G094500.v4.1 transcript:Potri.003G094500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094500.v4.1 MVSRSYSNLLDLASGDAPNFGRERKRLPRVATVAGILTDLDDENSVSSDAPSSVSQERMIIVGNQLPLRAHRSPDGSGGWCFSWDEDSLLLQLKDGLGEDVEVIYVGSLKEEIAPSEQDDVAQTLLETFKCVPAFIPPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVTELHDRFRGQTVMLGVDDMDIFKGISLKLLAMEQLLTQHPNKRGEVVLVQIANPARGRGRDVQEVQSETKAAVRRINETFGSPGYTPVVLIDSPLQFYERIAYYTIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPSAPRKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMNSALIVPEPEKQMRHEKHHRYVSTHDVAYWAHSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTMILPSSISRTPNMEAVGVLNSLCTDPKNVVFLVSGKDRETLTEWFSSCEKLGIAAEHGYFMRTNHDVEWETCVSVPDFDWKCIADPVMKLYTETTDGSSIETKESALVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQHIVEVKPQGVNKGLVAERLLEIMKQKGMLPDFVLCIGDDRSDEDMFEVIMSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASASEQVARSAPQSSQQVIIDRE >Potri.003G094500.10.v4.1 pep chromosome:Pop_tri_v4:3:12076506:12082098:-1 gene:Potri.003G094500.v4.1 transcript:Potri.003G094500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094500.v4.1 MVSRSYSNLLDLASGDAPNFGRERKRLPRVATVAGILTDLDDENSVSSDAPSSVSQERMIIVGNQLPLRAHRSPDGSGGWCFSWDEDSLLLQLKDGLGEDVEVIYVGSLKEEIAPSEQDDVAQTLLETFKCVPAFIPPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVTELHDRFRGQTVMLGVDDMDIFKGISLKLLAMEQLLTQHPNKRGEVVLVQIANPARGRGRDVQEVQSETKAAVRRINETFGSPGYTPVVLIDSPLQFYERIAYYTIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPSAPRKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMNSALIVPEPEKQMRHEKHHRYVSTHDVAYWAHSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTMILPSSISRTPNMEAVGVLNSLCTDPKNVVFLVSGKDRETLTEWFSSCEKLGIAAEHGYFMRTNHDVEWETCVSVPDFDWKCIADPVMKLYTETTDGSSIETKESALVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQHIVEVKPQGVNKGLVAERLLEIMKQKGMLPDFVLCIGDDRSDEDMFEVIMSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASASEQVARSAPQSSQQVIIDRE >Potri.003G094500.8.v4.1 pep chromosome:Pop_tri_v4:3:12076281:12082182:-1 gene:Potri.003G094500.v4.1 transcript:Potri.003G094500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094500.v4.1 MVSRSYSNLLDLASGDAPNFGRERKRLPRVATVAGILTDLDDENSVSSDAPSSVSQERMIIVGNQLPLRAHRSPDGSGGWCFSWDEDSLLLQLKDGLGEDVEVIYVGSLKEEIAPSEQDDVAQTLLETFKCVPAFIPPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVTELHDRFRGQTVMLGVDDMDIFKGISLKLLAMEQLLTQHPNKRGEVVLVQIANPARGRGRDVQEVQSETKAAVRRINETFGSPGYTPVVLIDSPLQFYERIAYYTIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPSAPRKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMNSALIVPEPEKQMRHEKHHRYVSTHDVAYWAHSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTMILPSSISRTPNMEAVGVLNSLCTDPKNVVFLVSGKDRETLTEWFSSCEKLGIAAEHGYFMRTNHDVEWETCVSVPDFDWKCIADPVMKLYTETTDGSSIETKESALVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQHIVEVKPQGVNKGLVAERLLEIMKQKGMLPDFVLCIGDDRSDEDMFEVIMSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASASEQVARSAPQSSQQVIIDRE >Potri.003G094500.6.v4.1 pep chromosome:Pop_tri_v4:3:12076165:12082404:-1 gene:Potri.003G094500.v4.1 transcript:Potri.003G094500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094500.v4.1 MVSRSYSNLLDLASGDAPNFGRERKRLPRVATVAGILTDLDDENSVSSDAPSSVSQERMIIVGNQLPLRAHRSPDGSGGWCFSWDEDSLLLQLKDGLGEDVEVIYVGSLKEEIAPSEQDDVAQTLLETFKCVPAFIPPDLFSKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVMEVISPDDDYVWVHDYHLMVLPTFLRKRFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYYGRTVSIKILPVGIHIGQLQSVLNLPETESKVTELHDRFRGQTVMLGVDDMDIFKGISLKLLAMEQLLTQHPNKRGEVVLVQIANPARGRGRDVQEVQSETKAAVRRINETFGSPGYTPVVLIDSPLQFYERIAYYTIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGLNPSAPRKSMLVVSEFIGCSPSLSGAIRVNPWNIDAVTEAMNSALIVPEPEKQMRHEKHHRYVSTHDVAYWAHSFLQDLERACRDHVRRRCWGIGFGLGFRVIALDPNFRKLSVEHIVSAYKRTKNRAILLDYDGTMILPSSISRTPNMEAVGVLNSLCTDPKNVVFLVSGKDRETLTEWFSSCEKLGIAAEHGYFMRTNHDVEWETCVSVPDFDWKCIADPVMKLYTETTDGSSIETKESALVWNYQYADPDFGSCQAKELLDHLESVLANEPVTVKSGQHIVEVKPQGVNKGLVAERLLEIMKQKGMLPDFVLCIGDDRSDEDMFEVIMSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASASEQVARSAPQSSQQVIIDRE >Potri.007G025600.1.v4.1 pep chromosome:Pop_tri_v4:7:1946406:1947335:1 gene:Potri.007G025600.v4.1 transcript:Potri.007G025600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025600.v4.1 MATPQQQEDDSEDDLDPIKILLPDNQNGFSKIQHDTPAQEVQQHYIHSIKSTVVIRQFPSQGLSFQLWPAATTLFTLLDNHSTSPLSPILDTISNGSTHGALKILELGSGTGIVGIAAAVTLGAKVTVTDLPHVIPNLQFNVDANADVLASRGGAVDVAALRWGEDADVEAVGREFDLVLASDVVYYDYLYEPLLKTLRLLMLGEGKKMVFVMAHLRRWKKDSVFFKRAKKVFNVEVVHVDSPCEGSRIGVAVYRFAAKAQRLPAGLNRISL >Potri.011G052800.3.v4.1 pep chromosome:Pop_tri_v4:11:4226617:4236070:1 gene:Potri.011G052800.v4.1 transcript:Potri.011G052800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052800.v4.1 MASRFGFQSSTMIVTNTPGADLALTNLAYCSPSDLHNFAVPGTKLFLALVADSFVLSLSPHENIRTGQIALNSIQRRHARVSSGDTVSVRRFIPPEDFNLALLTLELEFVKKGTKNEQIDAVILANQLRKRFAKQVMTSGQKVTFEYHGNNYIFTVTQAAVEGREDSKDAERGMISSDTYIVFEASNSSGIKIVNQREAASSNIFRQKEFNLQSLGIGGLGAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGREPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTNGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQLSLDDLTKPVDEESIKVTMDDFLHALHDIVPAFGASTDDLERCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPMVTCLLEGPSGSGKTALAATVGIDSDFPYVKIISAETMIGLQESTKCARIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKGKRLLVLGTTSEVSFLDSVGICDAFSVTYLLPTLKAEDAKKVLKQLNVFAEDDISAAAEALDDMTIKKLYMLIEMAAQGEQGGDAEAIYSGKEKIKIAHFYDCFQDMVRF >Potri.011G052800.2.v4.1 pep chromosome:Pop_tri_v4:11:4226511:4235873:1 gene:Potri.011G052800.v4.1 transcript:Potri.011G052800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052800.v4.1 MASRFGFQSSTMIVTNTPGADLALTNLAYCSPSDLHNFAVPGTKLFLALVADSFVLSLSPHENIRTGQIALNSIQRRHARVSSGDTVSVRRFIPPEDFNLALLTLELEFVKKGTKNEQIDAVILANQLRKRFAKQVMTSGQKVTFEYHGNNYIFTVTQAAVEGREDSKDAERGMISSDTYIVFEASNSSGIKIVNQREAASSNIFRQKEFNLQSLGIGGLGAEFADIFRRAFASRVFPPHVTSKLGIKHVKGMLLYGPPGTGKTLMARQIGKMLNGREPKIVNGPEVLSKFVGETEKNVRDLFADAENDQRTNGDQSDLHVIIFDEIDAICKSRGSTRDGTGVHDSIVNQLLTKIDGVESLNNVLLIGMTNRKDLLDEALLRPGRLEVQVEISLPDENGRLQILQIHTNKMKENSFLSPDVNLQELAARTKNYSGAELEGVVKSAVSFALNRQLSLDDLTKPVDEESIKVTMDDFLHALHDIVPAFGASTDDLERCRLNGMVDCGDRHKHIYQRAMLLVEQVKVSKGSPMVTCLLEGPSGSGKTALAATVGIDSDFPYVKIISAETMIGLQESTKCARIVKVFEDAYKSPLSIIILDDIERLLEYVAIGPRFSNIISQTLLVLLKRLPPKGKRLLVLGTTSEVSFLDSVGICDAFSVTYLLPTLKAEDAKKVLKQLNVFAEDDISAAAEALDDMTIKKLYMLIEMAAQGEQGGDAEAIYSGKEKIKIAHFYDCFQDMVRF >Potri.008G183800.7.v4.1 pep chromosome:Pop_tri_v4:8:12742045:12746277:1 gene:Potri.008G183800.v4.1 transcript:Potri.008G183800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183800.v4.1 MANTVDEDADAVLSDVEGDEPVPIVMKSPSQEDISVEKFRELLDRERAAREAAETSKSEIQVSFNRLKALAHEAIKKRDECSRQRDEAMREKEEALKANEKLSNELIQVNRSKEETQKKFDDLQSETEKSRHMLVSGIEKISGKLSNFKNFAAEGLPRSQKYSGLPAVAYGVIKRTNEIVEELVRQIDVTAKSRNDAREQMEQRNYEIAIEVSQLEAAISGLRDEVAKKTTLIEGLEKSVVEKEGKVSEIEREMLEKMHLVEKEASEMRDLVGEYDDKLRNLESKMESHRPLLFDQLNLVAKIHDQLYDAIEIVNTSHLNSEVSESLFLPQQTDVEENIRASLAGMESIYDLSRIVAEKTRDLVEEKNHEVKNLNETVDRLMKEKEHIGTLLRSALSKRMKLDPSSKTNELFQVAENGLREAGIDFKFSKVLGDGEVSGDKGGSLEAESDEIYTLAGALENIVKASQLEIIELQHSVEELRAESSLLKEHIEIQAKELSHRLRRIEELEEKERVANESVEGLMTDIAAAEEEITRWKVAAEQEAAAGRAVEQEFVAQLSAVKQELEEARQAILESEKKLKFKEETAAAAMAAREAAEKSLSLADMRASRLRDRIEELSHQLEELETREDLRGRNGPRYVCWPWQWLGLDFVGYRNTETQLQSSNEMELSEPLL >Potri.008G183800.6.v4.1 pep chromosome:Pop_tri_v4:8:12742118:12747191:1 gene:Potri.008G183800.v4.1 transcript:Potri.008G183800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183800.v4.1 MANTVDEDADAVLSDVEGDEPVPIVMKSPSQEDISVEKFRELLDRERAAREAAETSKSEIQVSFNRLKALAHEAIKKRDECSRQRDEAMREKEEALKANEKLSNELIQVNRSKEETQKKFDDLQSETEKSRHMLVSGIEKISGKLSNFKNFAAEGLPRSQKYSGLPAVAYGVIKRTNEIVEELVRQIDVTAKSRNDAREQMEQRNYEIAIEVSQLEAAISGLRDEVAKKTTLIEGLEKSVVEKEGKVSEIEREMLEKMHLVEKEASEMRDLVGEYDDKLRNLESKMESHRPLLFDQLNLVAKIHDQLYDAIEIVNTSHLNSEVSESLFLPQQTDVEENIRASLAGMESIYDLSRIVAEKTRDLVEEKNHEVKNLNETVDRLMKEKEHIGTLLRSALSKRMKLDPSSKTNELFQVAENGLREAGIDFKFSKVLGDGEVSGDKGGSLEAESDEIYTLAGALENIVKASQLEIIELQHSVEELRAESSLLKEHIEIQAKELSHRLRRIEELEEKERVANESVEGLMTDIAAAEEEITRWKVAAEQEAAAGRAVEQEFVAQLSAVKQELEEARQAILESEKKLKFKEETAAAAMAAREAAEKSLSLADMRASRLRDRIEELSHQLEELETREDLRGRNGPRYVCWPWQWLGLDFVGYRNTETQLQSSNEMELSEPLL >Potri.018G085800.4.v4.1 pep chromosome:Pop_tri_v4:18:10354946:10359102:-1 gene:Potri.018G085800.v4.1 transcript:Potri.018G085800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085800.v4.1 MNSKLKLRQAIDTLYTNGPASHECYTRLALECFRASDVDQAKRLKSHMDLHLFKPNDTFIHNRLLNLYAKSGEISHARKLFDEMTQRDNFSWNAMLSLYAKSGLVEDLRVIFDNMPSRDSVSYNTVISGFAGNGRGGPALGVFFRMQKEGLKPTEYTHVSVLNACTQLLDLRRGKQIHGRIIICNLGGNVFVCNALTDLYARCGEIDQARRLFDRMVIRNVVTWNLMISGYLKNRQPEKCIDLFHEMQVSNLKPDQVTVSSVLGAYIQGGYIDEARNLFGEIREKDEVCWTIMIVGCAQNGKEEDALLLFSEMLLENARPDGYTISSVVRSCAKLASLYHGQVVHGKAFLMGVNDDLLVASALVDMYCKCGVTRDAWTIFSTMQTRNVVSWNSMIGGYALNGQDLEALSLYENMLEENLKPDSVTFVGVLSACVHAGLVEEGKEYFCSMSDQHGLEPTPDHYACMVNLFGRSGHMDKAVDLISSMSQEPNSLIWTTVLSVCVMKGDIKHGEMAARCLIELNPFNAVPYIMLSNMYAARGRWKDVASIRSLMKSKHVKKFSAYSWIEIDNEVHKFVADDRTHPDAKIIHVQLNRLIRKLQEAGFSPNTNLVLHDFGEDEKLESINYHSEKLALAYGLIKKPHGVTPIRIIKNIRTCADCHIFMKFVSNITRRPVILRDSNRFHHFVEGKCSCKDYW >Potri.011G081001.1.v4.1 pep chromosome:Pop_tri_v4:11:8764611:8765144:1 gene:Potri.011G081001.v4.1 transcript:Potri.011G081001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G081001.v4.1 MANSRIAKFITEAAPPQYISVMRHRTSKMLDTISEEDRDVAASDPFPMAPTSSTIVTTSATSVAAKNSTYFFKGIRRSFSLFAN >Potri.002G099800.3.v4.1 pep chromosome:Pop_tri_v4:2:7289784:7292211:1 gene:Potri.002G099800.v4.1 transcript:Potri.002G099800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099800.v4.1 MAVTPSAREENVYMAKLAEQAERYEEMVEYMEKVSASLENEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIRDYRAKIETELSSICDGILKLLDSRLIPTASAGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKPGDEQQ >Potri.002G099800.1.v4.1 pep chromosome:Pop_tri_v4:2:7289823:7291652:1 gene:Potri.002G099800.v4.1 transcript:Potri.002G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099800.v4.1 MAVTPSAREENVYMAKLAEQAERYEEMVEYMEKVSASLENEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIRDYRAKIETELSSICDGILKLLDSRLIPTASAGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKAAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDGADEIKEAAPKPGDEQQ >Potri.006G119800.6.v4.1 pep chromosome:Pop_tri_v4:6:9448409:9453557:1 gene:Potri.006G119800.v4.1 transcript:Potri.006G119800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119800.v4.1 MSLTFSVSSLHPLYKYKPISTTTLPPKPRFLSIKSSLDDETQKQTSWVSPDWLTSLTRSLSLGRNDDSGIPIASAKLEDVSDLLGGALFLPLFKWMNDYGPIYRLAAGPRNFVIVSDPAIAKHVLKNYGTKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHRKYLSVIVERVFCKCAERLVEKLQADALNGNAVNMEEKFSQLTLDVIGLSVFNYNFDSLTTDSPVIDAVYTALKEAEARATDLLPYWKIDALCKIIPRQIKAAKAVMVIRQTVEELIEKCKKIVEIEGEKINEEEYVNDNDPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDSSTLMKAQEEVDRVLQGRPPTYDDIKDLKYLTRCINESLRLYPHPPVLIRRAQVADVLPGNYKVNAGQDIMISVYNIHHSSKVWERAEEFVPERFGLDGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIFLQKINFELVPDQNIRMTTGATIHTTDGLYMKLSQRDT >Potri.006G119800.7.v4.1 pep chromosome:Pop_tri_v4:6:9448447:9453841:1 gene:Potri.006G119800.v4.1 transcript:Potri.006G119800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119800.v4.1 MSLTFSVSSLHPLYKYKPISTTTLPPKPRFLSIKSSLDDETQKQTSWVSPDWLTSLTRSLSLGRNDDSGIPIASAKLEDVSDLLGGALFLPLFKWMNDYGPIYRLAAGPRNFVIVSDPAIAKHVLKNYGTKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHRKYLSVIVERVFCKCAERLVEKLQADALNGNAVNMEEKFSQLTLDVIGLSVFNYNFDSLTTDSPVIDAVYTALKEAEARATDLLPYWKIDALCKIIPRQIKAAKAVMVIRQTVEELIEKCKKIVEIEGEKINEEEYVNDNDPSILRFLLASREEVSSVQLRDDLLSMLVAGHETTGSVLTWTLYLLSKDSSTLMKAQEEVDRVLQGRPPTYDDIKDLKYLTRCINESLRLYPHPPVLIRRAQVADVLPGNYKVNAGQDIMISVYNIHHSSKVWERAEEFVPERFGLDGPVPNESNTDFRFIPFSGGPRKCVGDQFALLEAIVALAIFLQKINFELVPDQNIRMTTGATIHTTDGLYMKLSQRDT >Potri.010G105400.1.v4.1 pep chromosome:Pop_tri_v4:10:12699679:12705181:-1 gene:Potri.010G105400.v4.1 transcript:Potri.010G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105400.v4.1 MDKTQQEIALTQLRKSVQKLGSSTDTYGDPTLMRFLISRSMDPAKAAKLFVEWQKWRASFVPNGSIPDSEVEDELGPRKVFLHGLSKDGYPVLLVKANKHFPSKDRLQFKKFVVHLLDKTIASSFKGREIGNEKLIAILDLQHISYKNIDARGMITGFQLLQSYYPDRLAKCFILSMPWFFVSFWRMISRFLEKGTLEKIVIVTNDEERKCFVKEIGEEVLPEELGGRATLVALQDVTVPPLEG >Potri.002G235600.1.v4.1 pep chromosome:Pop_tri_v4:2:22884084:22884407:1 gene:Potri.002G235600.v4.1 transcript:Potri.002G235600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235600.v4.1 MKKAIELTEQSNSKGIQVQIAKHLDGKKIARAEWVREGRVPLQTLRAKINYCSYTVRTIYRVLGIKIWIFIDEE >Potri.009G042900.2.v4.1 pep chromosome:Pop_tri_v4:9:4987276:4990023:-1 gene:Potri.009G042900.v4.1 transcript:Potri.009G042900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042900.v4.1 MLLICYQIDKFREVSDLGETMPELTLVDGSGVRRDLPAKLTWQVIVCTVISACGGLMFGYDIGISGGVTGMDMFLEKFFPEVYVKKHQAKANNYCKFNSQLLQLFTSSLYLAAIVACFIGSICCKKRGRKPTMQIASVFFLVGAILNAAALNIGMLIAGRLCLGAGIGFGNQAVPLFISEIAPARYRGGLNLCFQLLITIGILTANVINYATSKLHPYGWRISLGGAACPALLLLLGSLMIVETPTSLIERGKNEEGLYTLKKIRGVDNVDKEYEEISQAVEFSRQIRHPFKNLWKQSGRPQLVCGALIQIFQQFTGISVVMLYAPVLFQTMGLGENASLMSAIMTNTVKPIGTAFAIVVVDRFGRRALLIEAAIQMFISLGAIGVILAVHLHSTNVVAKHYAVLVIVLVCVFLAGFAWSWGPLGWLIPSEIFPIETRSAGFSVAVIMNFVFTFLVAQTFLTMLCHMRAGTFFLYCAMLAVMCLFAKYFLPETKGIPIDEMVERVWKQHWFWKRYYKDHDTGKGGLEIQDKPKENTIA >Potri.009G042900.1.v4.1 pep chromosome:Pop_tri_v4:9:4987276:4989876:-1 gene:Potri.009G042900.v4.1 transcript:Potri.009G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042900.v4.1 MPELTLVDGSGVRRDLPAKLTWQVIVCTVISACGGLMFGYDIGISGGVTGMDMFLEKFFPEVYVKKHQAKANNYCKFNSQLLQLFTSSLYLAAIVACFIGSICCKKRGRKPTMQIASVFFLVGAILNAAALNIGMLIAGRLCLGAGIGFGNQAVPLFISEIAPARYRGGLNLCFQLLITIGILTANVINYATSKLHPYGWRISLGGAACPALLLLLGSLMIVETPTSLIERGKNEEGLYTLKKIRGVDNVDKEYEEISQAVEFSRQIRHPFKNLWKQSGRPQLVCGALIQIFQQFTGISVVMLYAPVLFQTMGLGENASLMSAIMTNTVKPIGTAFAIVVVDRFGRRALLIEAAIQMFISLGAIGVILAVHLHSTNVVAKHYAVLVIVLVCVFLAGFAWSWGPLGWLIPSEIFPIETRSAGFSVAVIMNFVFTFLVAQTFLTMLCHMRAGTFFLYCAMLAVMCLFAKYFLPETKGIPIDEMVERVWKQHWFWKRYYKDHDTGKGGLEIQDKPKENTIA >Potri.003G177800.1.v4.1 pep chromosome:Pop_tri_v4:3:18426481:18431410:-1 gene:Potri.003G177800.v4.1 transcript:Potri.003G177800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177800.v4.1 MRMATSSKPKGKTLSSQASSAARPQQAVKFARRTSSGRIDSFSQDDDMDLSGEYSGQSDYINYTVVMPPTPDNQPAHPSSENKSDGTKSRLGSEAQHRSRQVGAREDGYGSHGGSNGGVKMERGMSIMKSNNKSMLLRSQTGDFDHNRWLFETKGTYGVGNAYWSEGDNYGQDTELSMSDFLDKPWKPLTRKIKVPAAILSPYRILIVIRLIVLFFFLKWRVQNPNPDATWLWGMSIVCEIWFAISWILDIFPKFNPINRSTDLAALRDKFEKPSPANPHGRSDLPGVDIFVSTADPEKEPPLVTSNTILSILAADYPVEKLSCYISDDGGAILTFEAMAEAVRYAEVWVPFCRKHDIELRNPDSYFSLKTDPTKNKKRPDFVKDRRWMKREYDEFKVRINGLPEAIRRRSKSLNSKEMKKAKSLAGEKNGGSLPPEGVDVPKATWMADGTPWPGTWLNPTDDHKKGDHAGILQVMSKVPANDPVMGHPDEKKLDFTGVDVRIPMFAYVSREKRPGFDHNKKAGAMNALVRASAILSNGPFILNLDCDHYFYNCQAIREGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGSMRALDGLQGPVYVGTGCMFRRHALYGFLPPRANEYLGMFGSTKKRAPGFKVQLEDESETQSLTSHPDLNLPRKFGNSAMFNESIAVAEYQGRPLADHKSVKNGRPPGALLLPRPPLDAPTVAEAIAVISCWYEDKTEWGDKIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNAFLGSRRLKFLQRIAYLNVGIYPFTSFFLVTYCFLPALSLFTGTFIVQSLDIAFLCYLLTITVSLTLISLLEIKWSGIGLEELWRNEQFWLIGGTSAHLAAVLQGLLKVTAGIEISFTLTSKSAGEDEDDVFADLYEVKWTSLFLVPLTILVVNIVAIVIGCSRTLYSVIPEWGKLMGGLFFSFWVLSHMYPFVKGLLGRRGRVPTIVYVWSGLVAITVSLLWISISSENRGNLQV >Potri.004G010000.4.v4.1 pep chromosome:Pop_tri_v4:4:579091:581272:-1 gene:Potri.004G010000.v4.1 transcript:Potri.004G010000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010000.v4.1 MKKVEIESSLNKGSPSSSLDIRVTKRGVAGSLQEKNMKSATVRPYVRSKMPRLRWAPDLHHCFVHAVEWLGGEDRATPKMVLQIMDVKGLTISHVKSHLQMYRSMKHEQMTQEAAMEAKKNGKEPKIHHSNYLTHTMCCQQNRQLNGKGLVNNNALLHQGRGAHNPANGLALRNASFLTSQRKDREGLWIGKKVNEPFLHEEKTSKEWEQKPDNYIIFKDLLKSCNGTVNSLLLVKY >Potri.004G010000.3.v4.1 pep chromosome:Pop_tri_v4:4:578584:581272:-1 gene:Potri.004G010000.v4.1 transcript:Potri.004G010000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010000.v4.1 MKKVEIESSLNKGSPSSSLDIRVTKRGVAGSLQEKNMKSATVRPYVRSKMPRLRWAPDLHHCFVHAVEWLGGEDRATPKMVLQIMDVKGLTISHVKSHLQMYRSMKHEQMTQEAAMEAKKNGKEPKIHHSNYLTHTMCCQQNRQLNGKGLVNNNALLHQGRGAHNPANGLALRNASFLTSQRKDREGLWIGKKVNEPFLHEEKTSKEWEQKPDNYIIFKDLLKSCNGTETNDQDKMSAGAAGCNKSNQSLEELDEIAQRIDGDGMTLSLKSKVSESFLKPSKAKNSSDVNDVSLELTLA >Potri.017G106500.1.v4.1 pep chromosome:Pop_tri_v4:17:11577961:11581990:-1 gene:Potri.017G106500.v4.1 transcript:Potri.017G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106500.v4.1 MAEVAVEYLVRKLKDRILFETDRRSAAELNSIKIEFEKLRPFLKNADWRKMMVADNTIVKWVTDVINLVYEIEDVVDKDCIGRKESYGSLSSLVDFVSEFFNYGTTSNKPQTSYKSLLVHVSDRIKELEATRKHLSLLGDITKAKHENLIQHSKSSHKLPLTNMIGRSKEFMMLKELLLHVVEADRPYLVAVTGNEGVGKTTLVLRVYESVQRHFDCSAWISVCGRSITGILRNMATDFSRSHSVMKLVSNLDKKGDKDLANMICDYLGGKNFLLVLDGLDTLDTFEDIKRALPARCRGKVVVTTCNSAIPAVICRHVLHLDPLLAEDGLRLLRGRALLQQYDLGEVPWPSSVKLVEKKILHICEGIPLSIATMGSLLSTINLEEVGNWNEVLHMLEEANQCMPQSNLIKKVITVCYFSLPAMLKCCFLYCGMFPRHCDIQCKRLIRLWVAEGFTGRQLFGITEEESAKYLLEELIQRNLLQVARVGVNGEVESCTLLQPVHDFICDMSQKDQIFSVYESTQQVWPLKASRFVAIQGEIDNRTPNSLPREIRSLHFFGGRPNQMAILLNLVLKVKLLHVLDLQNIPIDTLPDEIGDLVELRYLDLRNTRLHELPPSLQNLCELQTLDVRNTPVRALPSGFDSLKMLKHLLLADSYGNRVVKLDAEIMFLKDLQTLAGVKLTQNVAVGLNHLPKLLKLSVGEVEGGKNSLCLSESINQMKDLSSLTIKCAWRKEIQIQISNPLENLEKLRVGGWIRDLLRWISRLSSLKYLHLWDCMLNQDPISSLQHLPNLVVLSLSKAFKGKQISCDNIAGFPKLKRLSIFHFEELTEWTKIEEGSMEKLQILTIGWCRKLKLPPRGLESLKDLETLQITSMYPEFAEEAKVISRCSGLNFSVVEQVASQTRQQNLT >Potri.004G148600.2.v4.1 pep chromosome:Pop_tri_v4:4:17075722:17077152:1 gene:Potri.004G148600.v4.1 transcript:Potri.004G148600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G148600.v4.1 MFPPQSAVPLKPIPGSYGLPFFGAIKDRLDYFYNQGKDEFFSSRVEKYQSTVFKTNMPPGPFIAQNPKVIAVLDAISFPILFDTSKIEKFNVLDGTYLPSLSFTGGYRVCAYLDPSEPNHTSLKSFFMSVLASKHKDFVPLFRTCLSQMFIDIEDEMGSKRTANFNDSSDAMSFNFVFRLFCEKDPSETKLGSEGPAIVDKWVGLQLAPLATIGFPKFLKHFEDLLMHTFPIPFFLVKSDYKKLYDAFYASSSSVLDKAESFGIDRDEACHNLVFVAGEKLHRQLANEIRTVVKEEGGVTFQALEKMTLTKSVVFEALRIEPGVPFQYGKAKEDIVINSHDAAYEIKKGEMIFGYQPFATKDPKIFIILRRENLLKYVYWSNGRETEDPTVGNKQCPGKDLVVLLSRLLVVELFLRYDTFTVETAVLPFGSSVTLTSLIKATST >Potri.010G114400.1.v4.1 pep chromosome:Pop_tri_v4:10:13407346:13412786:1 gene:Potri.010G114400.v4.1 transcript:Potri.010G114400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114400.v4.1 MLSLSAAPTTAAPAAKLSRVPSAALHQKTTSAAFFSQKVQLNSLRSSCSAIKSMADSQTISVPKNEQQSPASGKKQALISLSDKKDLAFLGTGLEQLGYTIVSTGGTASALEGAGVSVTKVEQLTLFPEMLDGRVKTLHPHIHGGILARRDQKHHMEALSEHGIGSFDVVVVNLYPFYDKVTSTGGIEFEDGIENIDIGGPAMIRAAAKNHKDVLIVVDNEDYPGLLEFLKGDRDDQQFRRKLAWKAFQHCASYDSAVSEWLWKQTMGDKFPPSFTVPLELKSSLRYGENPHQNAAFYVDKSISEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFQNPTCVIVKHTNPCGVASRGDILEAYRLAVKGDPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTKKGLEILRGKSKTLRILEAKSNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNVVSDKSPAESELHDAEFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRISMRKAGDEVKGAALASDAFFPFAWNDAVEEACEAGIGVIAEPGGSIRDKDAIECCNKYGVSLLFTNVRHFRH >Potri.010G114400.5.v4.1 pep chromosome:Pop_tri_v4:10:13407374:13412787:1 gene:Potri.010G114400.v4.1 transcript:Potri.010G114400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114400.v4.1 MGDKFPPSFTVPLELKSSLRYGENPHQNAAFYVDKSISEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFQNPTCVIVKHTNPCGVASRGDILEAYRLAVKGDPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTKKGLEILRGKSKTLRILEAKSNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNVVSDKSPAESELHDAEFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRISMRKAGDEVKGAALASDAFFPFAWNDAVEEACEAGIGVIAEPGGSIRDKDAIECCNKYGVSLLFTNVRHFRH >Potri.010G114400.4.v4.1 pep chromosome:Pop_tri_v4:10:13407371:13412786:1 gene:Potri.010G114400.v4.1 transcript:Potri.010G114400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114400.v4.1 MIRAAAKNHKDVLIVVDNEDYPGLLEFLKGDRDDQQFRRKLAWKAFQHCASYDSAVSEWLWKQTMGDKFPPSFTVPLELKSSLRYGENPHQNAAFYVDKSISEVNAGGIATAIQHHGKEMSYNNYLDADAAWNCVSEFQNPTCVIVKHTNPCGVASRGDILEAYRLAVKGDPVSAFGGIVAFNIEVDEALAKEIREFRSPTDGETRMFYEIVVAPKYTKKGLEILRGKSKTLRILEAKSNEKGKLSLRQVGGGWLAQDSDDLTPQDIQFNVVSDKSPAESELHDAEFAWLCAKHVKSNAIVIAKNNCMLGMGSGQPNRLESLRISMRKAGDEVKGAALASDAFFPFAWNDAVEEACEAGIGVIAEPGGSIRDKDAIECCNKYGVSLLFTNVRHFRH >Potri.001G161400.9.v4.1 pep chromosome:Pop_tri_v4:1:13671953:13680654:1 gene:Potri.001G161400.v4.1 transcript:Potri.001G161400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161400.v4.1 MAEDLEKPLLNPESFNREGIDLERLPLEEVFEQLRTSHRGLSSEDAEARLMIFGPNKLEEKPENKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGQGPDWQDFVGIICLLIINSTISFIEENNAGNAASALMARLAPKTKVLRDGQWKEQDAAILVPGDIVSIKLGDIIPADSRLLEGDSLKIDQSALTGESLPVTKRTGDEVYSGSTCKQGEIEAVVIATGVNSFFGKAAHLVDSTEVVGHFQKVLTAIGNFCICSIAVGMILEIIIMFPVQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNKDMDKDMIVLLAARASRLENQDAIDAAIVNMLADPKEARENIREVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNMSKEKDEISGKVHAIIEKFAERGLRSLGVAFQEVPEKTRESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGRDRDENEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFALLALIWEYDFPPFMVLIIAILNDGTIMTISQDRVKPSPRPDSWKLEEIFATGIVIGTYLALVTVLFYWIVIDTNFFETHFHVRSISSNTEEVSSAVYLQVSIISQALIFVTRSQSWSFLERPGILLMCAFVVAQLVATIIAVYAHISFAYIRGIGWGWAGVIWLYSLVFYVPLDIIKFTIRYALSGEAWNLLFDRKTAFSTKKDYGKEDREAKWILSQRSLQGLMATDQDFNGRRSTLIAEQARRRAEIARLGEIHTLRGHVESVVRLKNLDLNLIQTAHTV >Potri.001G161400.8.v4.1 pep chromosome:Pop_tri_v4:1:13671769:13680709:1 gene:Potri.001G161400.v4.1 transcript:Potri.001G161400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161400.v4.1 MAEDLEKPLLNPESFNREGIDLERLPLEEVFEQLRTSHRGLSSEDAEARLMIFGPNKLEEKPENKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGQGPDWQDFVGIICLLIINSTISFIEENNAGNAASALMARLAPKTKVLRDGQWKEQDAAILVPGDIVSIKLGDIIPADSRLLEGDSLKIDQSALTGESLPVTKRTGDEVYSGSTCKQGEIEAVVIATGVNSFFGKAAHLVDSTEVVGHFQKVLTAIGNFCICSIAVGMILEIIIMFPVQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNKDMDKDMIVLLAARASRLENQDAIDAAIVNMLADPKEARENIREVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNMSKEKDEISGKVHAIIEKFAERGLRSLGVAFQEVPEKTRESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGRDRDENEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFALLALIWEYDFPPFMVLIIAILNDGTIMTISQDRVKPSPRPDSWKLEEIFATGIVIGTYLALVTVLFYWIVIDTNFFETHFHVRSISSNTEEVSSAVYLQVSIISQALIFVTRSQSWSFLERPGILLMCAFVVAQLVATIIAVYAHISFAYIRGIGWGWAGVIWLYSLVFYVPLDIIKFTIRYALSGEAWNLLFDRKTAFSTKKDYGKEDREAKWILSQRSLQGLMATDQDFNGRRSTLIAEQARRRAEIARLGEIHTLRGHVESVVRLKNLDLNLIQTAHTV >Potri.001G161400.1.v4.1 pep chromosome:Pop_tri_v4:1:13671664:13680745:1 gene:Potri.001G161400.v4.1 transcript:Potri.001G161400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161400.v4.1 MAEDLEKPLLNPESFNREGIDLERLPLEEVFEQLRTSHRGLSSEDAEARLMIFGPNKLEEKPENKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGQGPDWQDFVGIICLLIINSTISFIEENNAGNAASALMARLAPKTKVLRDGQWKEQDAAILVPGDIVSIKLGDIIPADSRLLEGDSLKIDQSALTGESLPVTKRTGDEVYSGSTCKQGEIEAVVIATGVNSFFGKAAHLVDSTEVVGHFQKVLTAIGNFCICSIAVGMILEIIIMFPVQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNKDMDKDMIVLLAARASRLENQDAIDAAIVNMLADPKEARENIREVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNMSKEKDEISGKVHAIIEKFAERGLRSLGVAFQEVPEKTRESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGRDRDENEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFALLALIWEYDFPPFMVLIIAILNDGTIMTISQDRVKPSPRPDSWKLEEIFATGIVIGTYLALVTVLFYWIVIDTNFFETHFHVRSISSNTEEVSSAVYLQVSIISQALIFVTRSQSWSFLERPGILLMCAFVVAQLVATIIAVYAHISFAYIRGIGWGWAGVIWLYSLVFYVPLDIIKFTIRYALSGEAWNLLFDRKTAFSTKKDYGKEDREAKWILSQRSLQGLMATDQDFNGRRSTLIAEQARRRAEIARLGEIHTLRGHVESVVRLKNLDLNLIQTAHTV >Potri.001G161400.10.v4.1 pep chromosome:Pop_tri_v4:1:13671953:13680650:1 gene:Potri.001G161400.v4.1 transcript:Potri.001G161400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161400.v4.1 MAEDLEKPLLNPESFNREGIDLERLPLEEVFEQLRTSHRGLSSEDAEARLMIFGPNKLEEKPENKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGQGPDWQDFVGIICLLIINSTISFIEENNAGNAASALMARLAPKTKVLRDGQWKEQDAAILVPGDIVSIKLGDIIPADSRLLEGDSLKIDQSALTGESLPVTKRTGDEVYSGSTCKQGEIEAVVIATGVNSFFGKAAHLVDSTEVVGHFQKVLTAIGNFCICSIAVGMILEIIIMFPVQHRSYRDGINNLLVLLIGGIPIAMPTVLSVTLAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNRLTVDRNLIEVFNKDMDKDMIVLLAARASRLENQDAIDAAIVNMLADPKEARENIREVHFLPFNPVDKRTAITYIDSDGNWYRASKGAPEQILNMSKEKDEISGKVHAIIEKFAERGLRSLGVAFQEVPEKTRESPGGPWTFCGLLPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIAKETGRRLGMGTNMYPSSTLLGRDRDENEALPVDELIEKADGFAGVFPEHKYEIVKILQEKKHVVGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFALLALIWEYDFPPFMVLIIAILNDGTIMTISQDRVKPSPRPDSWKLEEIFATGIVIGTYLALVTVLFYWIVIDTNFFETHFHVRSISSNTEEVSSAVYLQVSIISQALIFVTRSQSWSFLERPGILLMCAFVVAQLVATIIAVYAHISFAYIRGIGWGWAGVIWLYSLVFYVPLDIIKFTIRYALSGEAWNLLFDRKTAFSTKKDYGKEDREAKWILSQRSLQGLMATDQDFNGRRSTLIAEQARRRAEIARLGEIHTLRGHVESVVRLKNLDLNLIQTAHTV >Potri.014G070600.1.v4.1 pep chromosome:Pop_tri_v4:14:4488208:4498389:1 gene:Potri.014G070600.v4.1 transcript:Potri.014G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070600.v4.1 MASTEADSRLSQVVSPALEKIIKNASWRKHSKLGHECKSVLEILTSPEPQEQPPPTSTSDDSSPSESSLPAPLHDGGSHEYSLAESETILSPLINACNTQFLKIVDPAVDCIQKLIAHGYIRGEADPTGGAEAKLLAKLIESVCKCYDLGDDGVELLVLRTLLSAVTSISLRIHGDSLLQIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMEPMEKSDVDGSMAVFVQGFITKIMQDIDGVLNPGTPSKASMMGAHDGAFETTTSTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEGERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALTDPQLMRGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASSLMIIFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNYQQKIIVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGAPPGTATTLLPPQEVSMKLEAMKCLVGILKSMGDWMNKQLRIPDPHSTKKPDAAENSPEPGSLPMANGNGDEPVDGSDSHSETSTEASDVSTIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGHSAEEIAAFLKNASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFQDLEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFSSADTAYVLAYSVIMLNTDAHNPMVKSKMSADDFIRNNRGIDDGKDLPEEFLRSLFERISKSEIKMKEDNLDLQQKQSLNSNRILGLDSILNIVIRKRGEEKHMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILRFMVEVCWAPMLAAFSVPLDQSDDEVVIALCLEGIRCAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLMGEGAPPDATFFAFPQSDSEKSKQTKSTILPVLKKKGPGRMQYAAASVMRGSYDSAGIGGNTAGAVTSEQMNNLVSNLNMLEQVGSSEMSRIFTRSQKLNSEAIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSNAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCATKLAEGDLGFSSRNKDKEAPGKISIPSPRTGKDGKQENGEITDREDHLYFWFPLLAGLSELSFDPRPEIRKSALQILFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPTGGDAPEQGIDGDTGELDQDAWLYETCTLALQLVVDLFVKFYNTVNPLLRKVLLLLVSFIRRPHQSLAGIGIAAFVRLMSNAGDLFSEEKWLEVVLSLKEAANATLPDFSYIVSGEASVISHEQSDGEKSGDMPDGDSEGLMAHRLYSSISDAKCRAAVQLLLIQAVMEIYSMYRSHLSAKSALVLFDALHDVASHAHSINTNIALRSKLLEFGSMTQMQDPPLLRLENESYQICLTFLQNLILDRPPTYDEAQVESCLVNLCEEVLQFYIATAHAGQTSETSPSGQSQWLIPLGSGKRRELATRAPLIVATLQAICSLGDSLFEKNLAHFFPLLSSLISCEHGSNEVQVALSDMLSSSVGPVLLRSC >Potri.014G070600.2.v4.1 pep chromosome:Pop_tri_v4:14:4491426:4498323:1 gene:Potri.014G070600.v4.1 transcript:Potri.014G070600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070600.v4.1 MIIFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNYQQKIIVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGAPPGTATTLLPPQEVSMKLEAMKCLVGILKSMGDWMNKQLRIPDPHSTKKPDAAENSPEPGSLPMANGNGDEPVDGSDSHSETSTEASDVSTIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGHSAEEIAAFLKNASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFQDLEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFSSADTAYVLAYSVIMLNTDAHNPMVKSKMSADDFIRNNRGIDDGKDLPEEFLRSLFERISKSEIKMKEDNLDLQQKQSLNSNRILGLDSILNIVIRKRGEEKHMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILRFMVEVCWAPMLAAFSVPLDQSDDEVVIALCLEGIRCAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLMGEGAPPDATFFAFPQSDSEKSKQTKSTILPVLKKKGPGRMQYAAASVMRGSYDSAGIGGNTAGAVTSEQMNNLVSNLNMLEQVGSSEMSRIFTRSQKLNSEAIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIAHYNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLEREELANYNFQNEFMKPFVIVMRKSNAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTTAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLRFCATKLAEGDLGFSSRNKDKEAPGKISIPSPRTGKDGKQENGEITDREDHLYFWFPLLAGLSELSFDPRPEIRKSALQILFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPTGGDAPEQGIDGDTGELDQDAWLYETCTLALQLVVDLFVKFYNTVNPLLRKVLLLLVSFIRRPHQSLAGIGIAAFVRLMSNAGDLFSEEKWLEVVLSLKEAANATLPDFSYIVSGEASVISHEQSDGEKSGDMPDGDSEGLMAHRLYSSISDAKCRAAVQLLLIQAVMEIYSMYRSHLSAKSALVLFDALHDVASHAHSINTNIALRSKLLEFGSMTQMQDPPLLRLENESYQICLTFLQNLILDRPPTYDEAQVESCLVNLCEEVLQFYIATAHAGQTSETSPSGQSQWLIPLGSGKRRELATRAPLIVATLQAICSLGDSLFEKNLAHFFPLLSSLISCEHGSNEVQVALSDMLSSSVGPVLLRSC >Potri.014G070600.3.v4.1 pep chromosome:Pop_tri_v4:14:4488201:4498239:1 gene:Potri.014G070600.v4.1 transcript:Potri.014G070600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070600.v4.1 MASTEADSRLSQVVSPALEKIIKNASWRKHSKLGHECKSVLEILTSPEPQEQPPPTSTSDDSSPSESSLPAPLHDGGSHEYSLAESETILSPLINACNTQFLKIVDPAVDCIQKLIAHGYIRGEADPTGGAEAKLLAKLIESVCKCYDLGDDGVELLVLRTLLSAVTSISLRIHGDSLLQIVRTCYDIYLGSKNVVNQTTAKASLIQMLVIVFRRMEADSSTVPIQPIVVAELMEPMEKSDVDGSMAVFVQGFITKIMQDIDGVLNPGTPSKASMMGAHDGAFETTTSTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEGERDDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALTDPQLMRGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSASSLMIIFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRVLENVAQPNYQQKIIVLRFLDKLCVDSQILVDIFINYDCDVNSSNIFERMVNGLLKTAQGAPPGTATTLLPPQEVSMKLEAMKCLVGILKSMGDWMNKQLRIPDPHSTKKPDAAENSPEPGSLPMANGNGDEPVDGSDSHSETSTEASDVSTIEQRRAYKLELQEGISLFNRKPKKGIEFLINANKVGHSAEEIAAFLKNASGLNKTLIGDYLGEREDLSLKVMHAYVDSFDFQDLEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFSSADTAYVLAYSVIMLNTDAHNPMVKSKMSADDFIRNNRGIDDGKDLPEEFLRSLFERISKSEIKMKEDNLDLQQKQSLNSNRILGLDSILNIVIRKRGEEKHMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILRFMVEVCWAPMLAAFSVPLDQSDDEVVIALCLEGIRCAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLMGEGAPPDATFFAFPQSDSEKSKQTKSTILPVLKKKGPGRMQYAAASVMRGSYDSAGIGGNTAGAVTSEQMNNLVSNLNMLEQVGSSEMSRIFTRSQKLNSEAIIDFVKALCKVSMEELRSASDPRVFSLTKIVEIA >Potri.007G101900.3.v4.1 pep chromosome:Pop_tri_v4:7:12578606:12579109:-1 gene:Potri.007G101900.v4.1 transcript:Potri.007G101900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101900.v4.1 MSTTATTVRNAAAMRPPPPASATTTILENPTQQQPQTLTLRLNRPKKKVSWKEGTVDNEFMQKKSSKICCIFHKEKPFDEDDSDDDDCNHDHHHNDNKSDGACSSSQKNGGD >Potri.008G171400.6.v4.1 pep chromosome:Pop_tri_v4:8:11837429:11841661:-1 gene:Potri.008G171400.v4.1 transcript:Potri.008G171400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171400.v4.1 MDDTSANAQKDGQGKEDSLHSLNHGRELSGDNEPDCSQSKSERVMRRVYSHHYLHLKVKQLQRSKSCIKDSFLRGIHVLDNWIPKHITTIDEKYLRRCLEFIHASTSQSAPCNASMHLDWGNMGFFSDGLNIAKTGSENTYGLARFDFDCPLAGTGNVVISPAEQWIVGSIMGSKSMVNILKSPLLLRYGSYDGDANFEKVSSSEVKGPICFNLMNSPSGLRSYSPHKLDKEAQIPGSHKFGSETLHKRLISMSSSNSTCSDQSSSSPSASVTQGTLQCTWKGGNPHFVFSIDNQKLVYVANLYNVDSADDKALEYVYLFHSRKGSQKEHMNHDKESHLVGKMKVSTSFALCPKNSRITQREFVLFGYENYVGEWQSSSHDLRKNKGLSSRVAEVFRTSNSSKRRTNSRFGGSGAILENSSWEPFQERDNNIDALGGTNLLENHLPPNLELAAILVKDHLPEKRQEKAGGWGLNFLKKMAVTQAEDVKSSVLPACCAQDSGDCSTSIDILIPAGLHGGPRTRNGGPSSLIDRWISGGHCDCGGWDLGCPLTVLKSRSAHKEFSSQADTQGECKLVDLFIEGSENGAPPMSMVNVHDGLYFVHFQSTLSALQSFSIAVAFIHTQKVPVSNQNMYRS >Potri.018G034100.1.v4.1 pep chromosome:Pop_tri_v4:18:2595402:2602570:1 gene:Potri.018G034100.v4.1 transcript:Potri.018G034100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034100.v4.1 MHCPSSRQVKMNKENATAAKHEEPTTRITRARAKASGTSVGLFPASKPSFKQDQKHPLREKTKRAASDENKSCSTSVAGLKHKRRAVLKDVTNVLCENSHLNCNIATKQHTSKQARKCPRNKNAEVAAHISMEISPAQEDVKEMLAEELSKIRMGEAQDFTSPAKLEGKKQSDCHGTREGGVADPRLLVPLSTKTSGVERPLKKEESEISEKLDASVGVSVVDIDSNIKDLQLCSLYAPDIFNNIRAKELDQRPSIDYMEKLQHDISPSMRGILIDWLVEVSEEYTLVPDTLYLTVNLIDRFLSQNYIEKQRLQLLGVTCMLIASKYEEICAPRVEEFCFITDNTYTRGEVLKMESQVLNFLHFHLSVPTTKSFLRRFIQAAQASCKVPCVELEFLANYLAELTLVEYNFLKLLPSLIAASVVFLARWTLNQSDHPWNSTLEHYTSYTASELKTTVLALEDLQLNTDGCCLNAIRDKYRQQKFKCVATLTSVQRVSSLF >Potri.006G157800.2.v4.1 pep chromosome:Pop_tri_v4:6:14426341:14430067:1 gene:Potri.006G157800.v4.1 transcript:Potri.006G157800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157800.v4.1 MMIIMPLALPNKIGFSSPFLYKPSTTRGGGGGGGGSSCFAFTCRSVSSLTSFTWDGVVQASQADYAPNDSSDLSGFFEKIKYCNRGSSEIKSEFIPLVIEDQIVGYIHNGFFYNYLRRFKDVFVFVPSDSRFGTNVTLNKTLSTPEERTRVVGKVIECLAEEEKELIPGIRNELYPVAPSFGSPPYFSIERAAATYFGIKPHGMSCVANLIKECEEEAGIPLSLSNQAMSVGAVSYVDVDGYRYERGVLFCYDLELPGGFIPKNQDGEVESFKLIPLENVANVIRRTHFFKPNCSLVIMDFLFRHGYIGPECLGYLDLLQSLRSGDTS >Potri.006G157800.1.v4.1 pep chromosome:Pop_tri_v4:6:14426341:14430067:1 gene:Potri.006G157800.v4.1 transcript:Potri.006G157800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157800.v4.1 MMIIMPLALPNKIGFSSPFLYKPSTTRGGGGGGGGSSCFAFTCRSVSSLTSFTWDGVVQASQADYAPNDSSDLSGFFEKIKYCNRGSSEIKSEFIPLVIEDQIVGYIHNGFFYNYLRRFKDVFVFVPSDSRFGTNVTLNKTLSTPEERTRVVGKVIECLAEEEKELIPGIRNELYPVAPSFGSPPYFSIERAAATYFGIKAYGVHMNGFVKSDGEKFLWIGKRSPMKQTFPGMLNHLVAGGLPHGMSCVANLIKECEEEAGIPLSLSNQAMSVGAVSYVDVDGYRYERGVLFCYDLELPGGFIPKNQDGEVESFKLIPLENVANVIRRTHFFKPNCSLVIMDFLFRHGYIGPECLGYLDLLQSLRSGDTS >Potri.018G130000.1.v4.1 pep chromosome:Pop_tri_v4:18:14063028:14065304:1 gene:Potri.018G130000.v4.1 transcript:Potri.018G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130000.v4.1 MQKMEGEKGAADHPETRDTYKIAYIIHFLLGAGNLLPWNAFITAIDYFGYLYPTKHIEKVFSVAYMSSSVLVLLIVVSWGGWSKHLSYRLRMNMGFCIFVLSLMVAPLIDWSSSGSGPEGRSNVAYGVTVASVVVCGIADGLIGGSLIGAAGKLPKQYMQAVFAGTASSGVLISILRIITKASLPQNPQRLRTSAHFYFIVSAIILLCCALSSNLLYKLPVMEQHYKLAPDDSLFPKPKFRAVARKIRWPAFGVLMIYIVTLSIFPGFIAEDLSSKLLKDWYPVLLITIYNVADFTGKSLTAIYVLKSIKKATWGCILRLVFYPLFAACLNGPKWLKTEVPVAILTFMLGVTNGYLTSVLMILAPMAVSVSEAELSAIAMVVFLGIGLVGGSVIGWFWII >Potri.014G116166.1.v4.1 pep chromosome:Pop_tri_v4:14:7826614:7827426:-1 gene:Potri.014G116166.v4.1 transcript:Potri.014G116166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116166.v4.1 MMKSFGDAAATVNNQDNLMQQQQSVQVKDDDHFSIREVQLDKNKKRKFNDDDMKKTL >Potri.015G143600.1.v4.1 pep chromosome:Pop_tri_v4:15:14900244:14902489:-1 gene:Potri.015G143600.v4.1 transcript:Potri.015G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143600.v4.1 MAEEETKKVETETPSETTPPPPAEPEPEPEPVAEAPKDVAEEKTVIPPSVAEEKVEESEAVAVIETSESAEEKKEGSVNRDAVLARVATEKRISLVKAWEESEKSKAENKAHKKLSSIASWENSKKASVEAELMKIEEQLEKKKAECMEKMKNKIAMIHKEAEEKKAIVEAKRGEDLLKAEEMAGKYRATGSSPKKLLGIF >Potri.018G064450.1.v4.1 pep chromosome:Pop_tri_v4:18:7677943:7678422:-1 gene:Potri.018G064450.v4.1 transcript:Potri.018G064450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064450.v4.1 NRGAANQINKRHFKELIRKYHPSIIVLLETHVRFDRVVVFWKKQGFTAVAIVVEANGHASGIWILTNGGNCLISIIDAMTQCVTVLKITAGSFNWFFSAVYASPISSLWTHFWDQLITVRSLANGPWILIGDSNEVINYSFRNSWRCFLYDQSWKIKRG >Potri.015G079800.6.v4.1 pep chromosome:Pop_tri_v4:15:10600346:10609882:-1 gene:Potri.015G079800.v4.1 transcript:Potri.015G079800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079800.v4.1 MTSSMLTGDRRYAPARRGGGMTSLGKIAVPKPINLPSQRLENHGLDPNVEIVPKGTYSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTAGSDRTHDPIASAWGTNSRPSSASGALTSNQTSFTSLRPCSAETRPGSSQLSRFAEPLSDNSVAWVATGTAEKLGGTSSKNEGFSLTSGDFPTLGSEKENSGKNTESQDHDSYSRPGSSSGGVAPGKESAENSAGDASINTNAKMEPANSWRRENPMCGEDGLRPSMEKWHPDHQLYPNSNIRPQNYDSWHGPPVNNPPGGVWYRGPPGGPPFAPPIAPGGFPMEPFPYYCPQIPPTALANPQQGPPPGPGPRGPHPTNGDMYRPHMHDAFMRPGMPFRPGFYPGPVPYEGYYASHMGYCNSNDRDIQFMGMAVGPAPYNRFSGQNAPDPANSHGRPAGYGPPSGHTMVPEQLESGHPQDTRGPFKVLLKQHDGLEGKDKEQKWDDMMATNASYPGKAGHQRKSSWENGWSADEKNNKERNTRRIGEEFSSEANGNQGGVKVKPLEHVGNWKAADDSSVKKLEPAASGFPEVSTAPKDPSLIRKIEGLNAKARASDGRQEVKFSSSREEHKNRLQGGNARSNHSANEAGNSYASLERTHVCGISDTASHEDRISAADKSHEVTDAIGTASSRRSTHGMHGRPDHHGKGRFSTQEAEGWRRRSHVADLSSVLSSSHFESSNVHRQDHSPAEATEKSGSYHQGKDDGESVLPHPDPSDSQVQRAKMKELAIQRVKQREKEEEERARDQKAKALAKLAELNKRTKAAESLSEVLPGMPKATHKESVVIHDQLEPLQQDVSRADGDHPDNAPQTYDNRASKQKRVSYRQKQNGPLEKTCNDKLMTSIIEAPKNVTDVAANAPVSIEGATEMTTSPESTLPINPTATTESSVHHGRRKNRNGKNKYKVEEASSMAVVVTPTLSKEITALDISVESSKSKASESVSDPSSQTDSRDGNQSLDHRTSSPNEEVQGRVNNQWKSQYSRRMPRNPQANKSTEKFQSGDAVIWAPVRSHNKIEATDEASQKTLADAISEPMKSDQQVQNNTRNKRAEMERYIPKSVAKEMAQQGSSPHSAAPLINQITPDETAGRPESRSLGNESSQSPATGMGKVVSILESKNGDGRQNKSGKRNGSWRQRGSSESTMFFTSKNVQKSIEHQVQKPDVSSVKEQLGHYDEWSDSDGWNIPEKSEVPITVPAIKDHGATARARRPSYRGHKSSHDPDERRIHTGDAEKVHVQTLGSEMHQADSAATSKENRAVGERPASHWQPKSQAISATTNPGSRASGGQNTGSEVGRGNKKDSTSQNGMPVLPQPDKDIAAEAQSHPDGSLSARSNLEEDPSTGHQEVKKERKIASHKGHPAEPSPLNMDFQQRVSSGFRKNGNQNSRFGREHDSRGGEWSGPGKDNEHHNRERQRQNSHYEYQPVGPQYNNKANNYESSKDGSHNSVARSRERGQSHSRRGGGNSHGRQPGGARGDANYD >Potri.015G079800.9.v4.1 pep chromosome:Pop_tri_v4:15:10600238:10606725:-1 gene:Potri.015G079800.v4.1 transcript:Potri.015G079800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079800.v4.1 MEPANSWRRENPMCGEDGLRPSMEKWHPDHQLYPNSNIRPQNYDSWHGPPVNNPPGGVWYRGPPGGPPFAPPIAPGGFPMEPFPYYCPQIPPTALANPQQGPPPGPGPRGPHPTNGDMYRPHMHDAFMRPGMPFRPGFYPGPVPYEGYYASHMGYCNSNDRDIQFMGMAVGPAPYNRFSGQNAPDPANSHGRPAGYGPPSGHTMVPEQLESGHPQDTRGPFKVLLKQHDGLEGKDKEQKWDDMMATNASYPGKAGHQRKSSWENGWSADEKNNKERNTRRIGEEFSSEANGNQGGVKVKPLEHVGNWKAADDSSVKKLEPAASGFPEVSTAPKDPSLIRKIEGLNAKARASDGRQEVKFSSSREEHKNRLQGGNARSNHSANEAGNSYASLERTHVCGISDTASHEDRISAADKSHEVTDAIGTASSRRSTHGMHGRPDHHGKGRFSTQEAEGWRRRSHVADLSSVLSSSHFESSNVHRQDHSPAEATEKSGSYHQGKDDGESVLPHPDPSDSQRAKMKELAIQRVKQREKEEEERARDQKAKALAKLAELNKRTKAAESLSEVLPGMPKATHKESVVIHDQLEPLQQDVSRADGDHPDNAPQTYDNRASKQKRVSYRQKQNGPLEKTCNDKLMTSIIEAPKNVTDVAANAPVSIEGATEMTTSPESTLPINPTATTESSVHHGRRKNRNGKNKYKVEEASSMAVVVTPTLSKEITALDISVESSKSKASESVSDPSSQTDSRDGNQSLDHRTSSPNEEVQGRVNNQWKSQYSRRMPRNPQANKSTEKFQSGDAVIWAPVRSHNKIEATDEASQKTLADAISEPMKSDQQVQNNTRNKRAEMERYIPKSVAKEMAQQGSSPHSAAPLINQITPDETAGRPESRSLGNESSQSPATGMGKVVSILESKNGDGRQNKSGKRNGSWRQRGSSESTMFFTSKNVQKSIEHQVQKPDVSSVKEQLGHYDEWSDSDGWNIPEKSEVPITVPAIKDHGATARARRPSYRGHKSSHDPDERRIHTGDAEKVHVQTLGSEMHQADSAATSKENRAVGERPASHWQPKSQAISATTNPGSRASGGQNTGSEVGRGNKKDSTSQNGMPVLPQPDKDIAAEAQSHPDGSLSARSNLEEDPSTGHQEVKKERKIASHKGHPAEPSPLNMDFQQRVSSGFRKNGNQNSRFGREHDSRGGEWSGPGKDNEHHNRERQRQNSHYEYQPVGPQYNNKANNYESSKDGSHNSVARSRERGQSHSRRGGGNSHGRQPGGARGDANYD >Potri.015G079800.8.v4.1 pep chromosome:Pop_tri_v4:15:10600351:10609940:-1 gene:Potri.015G079800.v4.1 transcript:Potri.015G079800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079800.v4.1 MTSSMLTGDRRYAPARRGGGMTSLGKIAVPKPINLPSQRLENHGLDPNVEIVPKGTYSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTAGSDRTHDPIASAWGTNSRPSSASGALTSNQTSFTSLRPCSAETRPGSSQLSRFAEPLSDNSVAWVATGTAEKLGGTSSKNEGFSLTSGDFPTLGSEKENSGKNTESQDHDSYSRPGSSSGGVAPGKESAENSAGDASINTNAKMEPANSWRRENPMCGEDGLRPSMEKWHPDHQLYPNSNIRPQNYDSWHGPPVNNPPGGVWYRGPPGGPPFAPPIAPGGFPMEPFPYYCPQIPPTALANPQQGPPPGPGPRGPHPTNGDMYRPHMHDAFMRPGMPFRPGFYPGPVPYEGYYASHMGYCNSNDRDIQFMGMAVGPAPYNRFSGQNAPDPANSHGRPAGYGPPSGHTMVPEQLESGHPQDTRGPFKVLLKQHDGLEGKDKEQKWDDMMATNASYPGKAGHQRKSSWENGWSADEKNNKERNTRRIGEEFSSEANGNQGGVKVKPLEHVGNWKAADDSSVKKLEPAASGFPEVSTAPKDPSLIRKIEGLNAKARASDGRQEVKFSSSREEHKNRLQGGNARSNHSANEAGNSYASLERTHVCGISDTASHEDRISAADKSHEVTDAIGTASSRRSTHGMHGRPDHHGKGRFSTQEAEGWRRRSHVADLSSVLSSSHFESSNVHRQDHSPAEATEKSGSYHQGKDDGESVLPHPDPSDSQRAKMKELAIQRVKQREKEEEERARDQKAKALAKLAELNKRTKAAESLSEVLPGMPKATHKESVVIHDQLEPLQQDVSRADGDHPDNAPQTYDNRASKQKRVSYRQKQNGPLEKTCNDKLMTSIIEAPKNVTDVAANAPVSIEGATEMTTSPESTLPINPTATTESSVHHGRRKNRNGKNKYKVEEASSMAVVVTPTLSKEITALDISVESSKSKASESVSDPSSQTDSRDGNQSLDHRTSSPNEEVQGRVNNQWKSQYSRRMPRNPQANKSTEKFQSGDAVIWAPVRSHNKIEATDEASQKTLADAISEPMKSDQQVQNNTRNKRAEMERYIPKSVAKEMAQQGSSPHSAAPLINQITPDETAGRPESRSLGNESSQSPATGMGKVVSILESKNGDGRQNKSGKRNGSWRQRGSSESTMFFTSKNVQKSIEHQVQKPDVSSVKEQLGHYDEWSDSDGWNIPEKSEVPITVPAIKDHGATARARRPSYRGHKSSHDPDERRIHTGDAEKVHVQTLGSEMHQADSAATSKENRAVGERPASHWQPKSQAISATTNPGSRASGGQNTGSEVGRGNKKDSTSQNGMPVLPQPDKDIAAEAQSHPDGSLSARSNLEEDPSTGHQEVKKERKIASHKGHPAEPSPLNMDFQQRVSSGFRKNGNQNSRFGREHDSRGGEWSGPGKDNEHHNRERQRQNSHYEYQPVGPQYNNKANNYESSKDGSHNSVARSRERGQSHSRRGGGNSHGRQPGGARGDANYD >Potri.015G079800.10.v4.1 pep chromosome:Pop_tri_v4:15:10600351:10605886:-1 gene:Potri.015G079800.v4.1 transcript:Potri.015G079800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079800.v4.1 MEPANSWRRENPMCGEDGLRPSMEKWHPDHQLYPNSNIRPQNYDSWHGPPVNNPPGGVWYRGPPGGPPFAPPIAPGGFPMEPFPYYCPQIPPTALANPQQGPPPGPGPRGPHPTNGDMYRPHMHDAFMRPGMPFRPGFYPGPVPYEGYYASHMGYCNSNDRDIQFMGMAVGPAPYNRFSGQNAPDPANSHGRPAGYGPPSGHTMVPEQLESGHPQDTRGPFKVLLKQHDGLEGKDKEQKWDDMMATNASYPGKAGHQRKSSWENGWSADEKNNKERNTRRIGEEFSSEANGNQGGVKVKPLEHVGNWKAADDSSVKKLEPAASGFPEVSTAPKDPSLIRKIEGLNAKARASDGRQEVKFSSSREEHKNRLQGGNARSNHSANEAGNSYASLERTHVCGISDTASHEDRISAADKSHEVTDAIGTASSRRSTHGMHGRPDHHGKGRFSTQEAEGWRRRSHVADLSSVLSSSHFESSNVHRQDHSPAEATEKSGSYHQGKDDGESVLPHPDPSDSQRAKMKELAIQRVKQREKEEEERARDQKAKALAKLAELNKRTKAAESLSEVLPGMPKATHKESVVIHDQLEPLQQDVSRADGDHPDNAPQTYDNRASKQKRVSYRQKQNGPLEKTCNDKLMTSIIEAPKNVTDVAANAPVSIEGATEMTTSPESTLPINPTATTESSVHHGRRKNRNGKNKYKVEEASSMAVVVTPTLSKEITALDISVESSKSKASESVSDPSSQTDSRDGNQSLDHRTSSPNEEVQGRVNNQWKSQYSRRMPRNPQANKSTEKFQSGDAVIWAPVRSHNKIEATDEASQKTLADAISEPMKSDQQVQNNTRNKRAEMERYIPKSVAKEMAQQGSSPHSAAPLINQITPDETAGRPESRSLGNESSQSPATGMGKVVSILESKNGDGRQNKSGKRNGSWRQRGSSESTMFFTSKNVQKSIEHQVQKPDVSSVKEQLGHYDEWSDSDGWNIPEKSEVPITVPAIKDHGATARARRPSYRGHKSSHDPDERRIHTGDAEKVHVQTLGSEMHQADSAATSKENRAVGERPASHWQPKSQAISATTNPGSRASGGQNTGSEVGRGNKKDSTSQNGMPVLPQPDKDIAAEAQSHPDGSLSARSNLEEDPSTGHQEVKKERKIASHKGHPAEPSPLNMDFQQRVSSGFRKNGNQNSRFGREHDSRGGEWSGPGKDNEHHNRERQRQNSHYEYQPVGPQYNNKANNYESSKDGSHNSVARSRERGQSHSRRGGGNSHGRQPGGARGDANYD >Potri.015G079800.7.v4.1 pep chromosome:Pop_tri_v4:15:10600234:10609949:-1 gene:Potri.015G079800.v4.1 transcript:Potri.015G079800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079800.v4.1 MTSSMLTGDRRYAPARRGGGMTSLGKIAVPKPINLPSQRLENHGLDPNVEIVPKGTYSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTAGSDRTHDPIASAWGTNSRPSSASGALTSNQTSFTSLRPCSAETRPGSSQLSRFAEPLSDNSVAWVATGTAEKLGGTSSKNEGFSLTSGDFPTLGSEKENSGKNTESQDHDSYSRPGSSSGGVAPGKESAENSAGDASINTNAKMEPANSWRRENPMCGEDGLRPSMEKWHPDHQLYPNSNIRPQNYDSWHGPPVNNPPGGVWYRGPPGGPPFAPPIAPGGFPMEPFPYYCPQIPPTALANPQQGPPPGPGPRGPHPTNGDMYRPHMHDAFMRPGMPFRPGFYPGPVPYEGYYASHMGYCNSNDRDIQFMGMAVGPAPYNRFSGQNAPDPANSHGRPAGYGPPSGHTMVPEQLESGHPQDTRGPFKVLLKQHDGLEGKDKEQKWDDMMATNASYPGKAGHQRKSSWENGWSADEKNNKERNTRRIGEEFSSEANGNQGGVKVKPLEHVGNWKAADDSSVKKLEPAASGFPEVSTAPKDPSLIRKIEGLNAKARASDGRQEVKFSSSREEHKNRLQGGNARSNHSANEAGNSYASLERTHVCGISDTASHEDRISAADKSHEVTDAIGTASSRRSTHGMHGRPDHHGKGRFSTQEAEGWRRRSHVADLSSVLSSSHFESSNVHRQDHSPAEATEKSGSYHQGKDDGESVLPHPDPSDSQRAKMKELAIQRVKQREKEEEERARDQKAKALAKLAELNKRTKAAESLSEVLPGMPKATHKESVVIHDQLEPLQQDVSRADGDHPDNAPQTYDNRASKQKRVSYRQKQNGPLEKTCNDKLMTSIIEAPKNVTDVAANAPVSIEGATEMTTSPESTLPINPTATTESSVHHGRRKNRNGKNKYKVEEASSMAVVVTPTLSKEITALDISVESSKSKASESVSDPSSQTDSRDGNQSLDHRTSSPNEEVQGRVNNQWKSQYSRRMPRNPQANKSTEKFQSGDAVIWAPVRSHNKIEATDEASQKTLADAISEPMKSDQQVQNNTRNKRAEMERYIPKSVAKEMAQQGSSPHSAAPLINQITPDETAGRPESRSLGNESSQSPATGMGKVVSILESKNGDGRQNKSGKRNGSWRQRGSSESTMFFTSKNVQKSIEHQVQKPDVSSVKEQLGHYDEWSDSDGWNIPEKSEVPITVPAIKDHGATARARRPSYRGHKSSHDPDERRIHTGDAEKVHVQTLGSEMHQADSAATSKENRAVGERPASHWQPKSQAISATTNPGSRASGGQNTGSEVGRGNKKDSTSQNGMPVLPQPDKDIAAEAQSHPDGSLSARSNLEEDPSTGHQEVKKERKIASHKGHPAEPSPLNMDFQQRVSSGFRKNGNQNSRFGREHDSRGGEWSGPGKDNEHHNRERQRQNSHYEYQPVGPQYNNKANNYESSKDGSHNSVARSRERGQSHSRRGGGNSHGRQPGGARGDANYD >Potri.015G079800.5.v4.1 pep chromosome:Pop_tri_v4:15:10600319:10609938:-1 gene:Potri.015G079800.v4.1 transcript:Potri.015G079800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079800.v4.1 MTSSMLTGDRRYAPARRGGGMTSLGKIAVPKPINLPSQRLENHGLDPNVEIVPKGTYSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTAGSDRTHDPIASAWGTNSRPSSASGALTSNQTSFTSLRPCSAETRPGSSQLSRFAEPLSDNSVAWVATGTAEKLGGTSSKNEGFSLTSGDFPTLGSEKENSGKNTESQDHDSYSRPGSSSGGVAPGKESAENSAGDASINTNAKMEPANSWRRENPMCGEDGLRPSMEKWHPDHQLYPNSNIRPQNYDSWHGPPVNNPPGGVWYRGPPGGPPFAPPIAPGGFPMEPFPYYCPQIPPTALANPQQGPPPGPGPRGPHPTNGDMYRPHMHDAFMRPGMPFRPGFYPGPVPYEGYYASHMGYCNSNDRDIQFMGMAVGPAPYNRFSGQNAPDPANSHGRPAGYGPPSGHTMVPEQLESGHPQDTRGPFKVLLKQHDGLEGKDKEQKWDDMMATNASYPGKAGHQRKSSWENGWSADEKNNKERNTRRIGEEFSSEANGNQGGVKVKPLEHVGNWKAADDSSVKKLEPAASGFPEVSTAPKDPSLIRKIEGLNAKARASDGRQEVKFSSSREEHKNRLQGGNARSNHSANEAGNSYASLERTHVCGISDTASHEDRISAADKSHEVTDAIGTASSRRSTHGMHGRPDHHGKGRFSTQEAEGWRRRSHVADLSSVLSSSHFESSNVHRQDHSPAEATEKSGSYHQGKDDGESVLPHPDPSDSQVQRAKMKELAIQRVKQREKEEEERARDQKAKALAKLAELNKRTKAAESLSEVLPGMPKATHKESVVIHDQLEPLQQDVSRADGDHPDNAPQTYDNRASKQKRVSYRQKQNGPLEKTCNDKLMTSIIEAPKNVTDVAANAPVSIEGATEMTTSPESTLPINPTATTESSVHHGRRKNRNGKNKYKVEEASSMAVVVTPTLSKEITALDISVESSKSKASESVSDPSSQTDSRDGNQSLDHRTSSPNEEVQGRVNNQWKSQYSRRMPRNPQANKSTEKFQSGDAVIWAPVRSHNKIEATDEASQKTLADAISEPMKSDQQVQNNTRNKRAEMERYIPKSVAKEMAQQGSSPHSAAPLINQITPDETAGRPESRSLGNESSQSPATGMGKVVSILESKNGDGRQNKSGKRNGSWRQRGSSESTMFFTSKNVQKSIEHQVQKPDVSSVKEQLGHYDEWSDSDGWNIPEKSEVPITVPAIKDHGATARARRPSYRGHKSSHDPDERRIHTGDAEKVHVQTLGSEMHQADSAATSKENRAVGERPASHWQPKSQAISATTNPGSRASGGQNTGSEVGRGNKKDSTSQNGMPVLPQPDKDIAAEAQSHPDGSLSARSNLEEDPSTGHQEVKKERKIASHKGHPAEPSPLNMDFQQRVSSGFRKNGNQNSRFGREHDSRGGEWSGPGKDNEHHNRERQRQNSHYEYQPVGPQYNNKANNYESSKDGSHNSVARSRERGQSHSRRGGGNSHGRQPGGARGDANYD >Potri.005G045600.9.v4.1 pep chromosome:Pop_tri_v4:5:2890547:2894832:-1 gene:Potri.005G045600.v4.1 transcript:Potri.005G045600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045600.v4.1 MLLLFETPGGFALFKVLDEGKLSKVEDLGKEFSSPDSARKVVKLKAFSKFENTAEALEAATKIIESSTSKGLRKFLRANCDGETLGVADSKLGNAIKDKLKIECVHNNGVMELMRGVRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGCRDNAAKLDFSEILPEEVEAELKEAAMISMGSDVSDVDLMNIKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLLYHASLVGQAPPKLKGKISRSLAAKSALAIRYDALGDAQDDSMGLENRLKLEARLRNLEGKELGRSAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNPSADAVLGQTPDSTARKFEVEPAKEAPASGEEKKEKKKKKRAEEETTVPGDRNGTAEQDGEGEAKKEKKKKKKHQAENDGVQNEAENVEEGGKKKKKQKHSEAEQDEESEMPSKKKEKKKKKKSGD >Potri.005G045600.11.v4.1 pep chromosome:Pop_tri_v4:5:2890563:2894696:-1 gene:Potri.005G045600.v4.1 transcript:Potri.005G045600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045600.v4.1 MLLLFETPGGFALFKVLDEGKLSKVEDLGKEFSSPDSARKVVKLKAFSKFENTAEALEAATKIIESSTSKGLRKFLRANCDGETLGVADSKLGNAIKDKLKIECVHNNGVMELMRGVRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGCRDNAAKLDFSEILPEEVEAELKEAAMISMGSDVSDVDLMNIKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLLYHASLVGQAPPKLKGKISRSLAAKSALAIRYDALGDAQDDSMGLENRLKLEARLRNLEGKELGRSAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNPSADAVLGQTPDSTARKFEVEPAKEAPASGEEKKEKKKKKRAEEETTVPGDRNGTAEQDGEGEAKKEKKKKKKHQAENDGVQNEAENVEEGGKKKKKQKHSEAEQDEESEMPSKKKEKKKKKKSGD >Potri.005G045600.1.v4.1 pep chromosome:Pop_tri_v4:5:2890531:2894888:-1 gene:Potri.005G045600.v4.1 transcript:Potri.005G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045600.v4.1 MLLLFETPGGFALFKVLDEGKLSKVEDLGKEFSSPDSARKVVKLKAFSKFENTAEALEAATKIIESSTSKGLRKFLRANCDGETLGVADSKLGNAIKDKLKIECVHNNGVMELMRGVRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGCRDNAAKLDFSEILPEEVEAELKEAAMISMGSDVSDVDLMNIKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLLYHASLVGQAPPKLKGKISRSLAAKSALAIRYDALGDAQDDSMGLENRLKLEARLRNLEGKELGRSAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNPSADAVLGQTPDSTARKFEVEPAKEAPASGEEKKEKKKKKRAEEETTVPGDRNGTAEQDGEGEAKKEKKKKKKHQAENDGVQNEAENVEEGGKKKKKQKHSEAEQDEESEMPSKKKEKKKKKKSGD >Potri.005G045600.12.v4.1 pep chromosome:Pop_tri_v4:5:2890530:2893378:-1 gene:Potri.005G045600.v4.1 transcript:Potri.005G045600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045600.v4.1 MELMRGVRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGCRDNAAKLDFSEILPEEVEAELKEAAMISMGSDVSDVDLMNIKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLLYHASLVGQAPPKLKGKISRSLAAKSALAIRYDALGDAQDDSMGLENRLKLEARLRNLEGKELGRSAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNPSADAVLGQTPDSTARKFEVEPAKEAPASGEEKKEKKKKKRAEEETTVPGDRNGTAEQDGEGEAKKEKKKKKKHQAENDGVQNEAENVEEGGKKKKKQKHSEAEQDEESEMPSKKKEKKKKKKSGD >Potri.005G045600.10.v4.1 pep chromosome:Pop_tri_v4:5:2890549:2894743:-1 gene:Potri.005G045600.v4.1 transcript:Potri.005G045600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045600.v4.1 MLLLFETPGGFALFKVLDEGKLSKVEDLGKEFSSPDSARKVVKLKAFSKFENTAEALEAATKIIESSTSKGLRKFLRANCDGETLGVADSKLGNAIKDKLKIECVHNNGVMELMRGVRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIIQDNILYAKAVKLMGCRDNAAKLDFSEILPEEVEAELKEAAMISMGSDVSDVDLMNIKELCDQVLSLAEYRAQLYDYLKSRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTIQILGAEKALFRALKTKHATPKYGLLYHASLVGQAPPKLKGKISRSLAAKSALAIRYDALGDAQDDSMGLENRLKLEARLRNLEGKELGRSAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNPSADAVLGQTPDSTARKFEVEPAKEAPASGEEKKEKKKKKRAEEETTVPGDRNGTAEQDGEGEAKKEKKKKKKHQAENDGVQNEAENVEEGGKKKKKQKHSEAEQDEESEMPSKKKEKKKKKKSGD >Potri.005G045600.13.v4.1 pep chromosome:Pop_tri_v4:5:2890548:2891101:-1 gene:Potri.005G045600.v4.1 transcript:Potri.005G045600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045600.v4.1 MELPNRMAKGKLRKKRRKRRNIKLRMMVFKTKLKMLRREARRKRSRNIPKPNRMRNLKCQARKKRKRRRRRVGIEKIQKVEDDSAILEERDE >Potri.018G097700.1.v4.1 pep chromosome:Pop_tri_v4:18:11842469:11847292:-1 gene:Potri.018G097700.v4.1 transcript:Potri.018G097700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097700.v4.1 MITQSCKDSLDMISVNDFRGLERIPAVMNELGYEVGDEHGQGPVVSKQRRIIVANQLPIRGYRNEGTKGWFFEFDKDSLVLQLKDGFPANTEVWYVGMLKVDVEKEDQEEVAQLMFHKFRCVPVFLTVDQKNKFYHGFCKHYLWPLFHYMLPLSPSHGGVRFDKSLWEGYIVANQLFANKVAEILWPDKDSVWVHDYHLMVLPSILRNRYTRVKLGFFLHSPFPSSEIYRTIPVREQILRSLLNCDLIGFHTFDYARHFLSCCSRLLGIDYQCKRGYIGLDYCGKTINIKILPVGIHMGQLESDLNMEQTATLAKQLKEKFEGKVVMVGVDDLDMFKGISLKFSAMGRLLEMHPELIGSVVLVQIANPARSRGKDVQEVRLETSVIAQQINNKYGKEGYEPIVFINDPLSALEKAAYYAISECCVVNAVRDGMNLVSYKYTVCRQGSPVLDKALGINESDQRKSFLIVSEFIGCSPSLSGAYRVNPWDVNAVADAMYVGIHMKDEEKHLRHEKHYNYISSHDVAFWARSFDQDLDRACKEHHLKRYYNVGFGLNFRAAAVGKNFRMLTVETVVAAYNNTNSRLILLDYDGTMKPKSAVDKTPRNEVISILNCLCSDPKNIVFIVSGRGRDPLSKWFSPCEKLGISAEHGYFTRWTRDSQWETCSVAMDCDWKKTVEPVMEVYTATTDGSFIEHKESALVWHYQDADPDFGGCQAKELLDHLESVLANEPVVVKRGRQIVEVKPQGVSKGVVVEDLISSMRSKGKSPDFLFCIGDDRSDEDMFESIARLFDNPSLPPIAEVFACTVGHKPSKAKYYLDDTPDVIELLQGLATASVGPKVTHTLEEDI >Potri.014G151950.1.v4.1 pep chromosome:Pop_tri_v4:14:10603322:10604183:1 gene:Potri.014G151950.v4.1 transcript:Potri.014G151950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151950.v4.1 MGSRIPNKNHSSYTHSHQRHQDHTVSPVASLHPSTSHHPASTVNNPSTIKTEAAQDPPGIPVANKTEGVPQISVLCFFSCRTSSRTWITRAPTVQASQKPVACKAQASHLPWIPDRFSAFSVEVNYKTHLVNLLIANDFMDAAN >Potri.015G147900.1.v4.1 pep chromosome:Pop_tri_v4:15:15186370:15189445:1 gene:Potri.015G147900.v4.1 transcript:Potri.015G147900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147900.v4.1 MGCCFSKKNEVSSSLNASQPILNTLKVDKNEIQNNNMMVVEDKEVKKQVVEEGSFVKKEIFVIKHRKSQDRDKRIPPPNLNIAPLEEDGPAPTATASAAEILSGNSNTNVGAHNMVLRTSSCTKEEVDAILIQCGRLSRSNSSGAGKPPSSGIKYSGSKRSYDFDNNNNNNNDQDQDVESSTSADHYDFRKKGNDEDDGEVTAERRQHRNRRRQSSRPSPSPSSQGRRRTPSRERDQNQRPSSRERGSGSSGRRVSRSPGRRSETTQNTGVTAGNANATVNANNTGGPSNRPGKLVSVPATVSSLVVDKSNNGVEPQATAGIRRISVKRNVGEAALTCSRMVASPSSKSPARTNAKTSNENNQQPSLSRSNSRKADQSPYRRNPLSEIDLNSLQYSQPPANKATCTSNNRARIRNKDIEGQVVVKESFNLLNQTPMKKQNSEKNNRVNAQVTNCRGSSIVSLENKISKEQQMEEAKGQPTDMTTVVDLGVESLKPQTLTRSRSARRSRDLDLNPETLLNPTPSYTALLLEDIQNFHLKNTPSFSLPACVTKACSILEAVADLNSTTSSNLSCAFSYDRRSPPTVAAANLVGKKPPEAKDPFVESEVLASDDLIEPSFHKYVTVRRAGTLCGEDMDGQESSGSDSVVGGSQQHLGFSTSSWEPNSADSIDHWTSRSNWRDEDEKSPLGFQKHELSETWRDVEQARRPFSGQRSGIGRGRLGTSKNLHSTAILASAAST >Potri.003G145300.1.v4.1 pep chromosome:Pop_tri_v4:3:16029712:16033010:1 gene:Potri.003G145300.v4.1 transcript:Potri.003G145300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145300.v4.1 MDFGSLSEDGGGVFAEKDPTGRYIRNDEFLGKGAFKTVYKAFDEVDGIEVAWNQVNIEDVLQSSQQLERLYSEVHLLKSLKHENIIKFYSSWVDDKNKTINIITELFTSGSMRQYRKKHKTVDMKAIKNWARQILRGLHYLHTHSPPIIHRDLKCDNIFVNGNTGEVKIGDLGLAIVMQQPIARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTCEYPYSECKNPAQIYKKVSSGIKPASLGKVSDHQVKVFIEKCLVPASTRLPAIELLKDPFLATENSKELVSSSLQLPNLISRQVHLLQSESHLMDIDCKKLSVGSCTKSINESPQFSTLELSRFTENNEFRLRGAKNNDNTVSLTLRIADPCGRARNIHFTFYLDSDTAVLIAEEMVEQLDLLTEDVAVIAELIDNLIAKLVPSWNTSPSVRNGSSELENHSTSEAVKKPDFLPLTNMTDLETKQSVNSDISAEYNMAIASDSGTNKSLGSSDCCLQSNMYDLEFGMLEDGISKHNKSTRNSNDSYIGSFSGMSRNASMSSICSLSLADKDGSELKQELDSIDSHYNQCLQELMKMREEAIENAKKRGITKKISVM >Potri.010G007833.1.v4.1 pep chromosome:Pop_tri_v4:10:1181810:1182237:1 gene:Potri.010G007833.v4.1 transcript:Potri.010G007833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007833.v4.1 MKITKFLGLSFLLFAFAATSFPEGVHAKDPAAVLDFNGHEVQAGASYLIDEEDILVVNATINPICNSDVILSTGTEGLPVRFSPVINSTDGVIREGTLITVSFHANTCNTTAGVTPMISSSSN >Potri.014G129800.1.v4.1 pep chromosome:Pop_tri_v4:14:8696243:8697010:-1 gene:Potri.014G129800.v4.1 transcript:Potri.014G129800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129800.v4.1 MVRGGEALSAYRALLRATRKSFTGDSLMLKASASEVRKKFEENRDVSSETEIQKLLEEAREASHFIATMIVQAKLNDRGGYEVKPDKDHAGATLEIPSEEILRKSV >Potri.001G376700.1.v4.1 pep chromosome:Pop_tri_v4:1:39637739:39640165:1 gene:Potri.001G376700.v4.1 transcript:Potri.001G376700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT8 MTIKPSLDRRIQTLFPVALACLLVLGTARLVLDSLKSNQSSIFRVYGRQEGGEYKKPVFVLPEDRFEKGCDVFEGQWVWDNVSRPLYTEESCPYLVKQTTCQRNGRPDSFYQDWRWQPHACKLPRFDPLKLLDVLRGKRLMFIGDSVQRGQFESMVCMVQSVIPDGKKSFHRIPPMKIFKAEEYNASIEYYWAPFIVESISDHATNHTVLKRLVNLDSIAKHGKSWEGVDVLVFESYVWWMYKPLINATHGSTDDIQEYNVTTAYKLALETWAKWLESNINSIKQKVFFMSMSPTHLWSWEWRPGSDESCFNESYPIEGPYWGTGSNLQIMKIVDDILRESKINVTFLNITQLSEYRKDGHTTIYGERKGKLLTKEQRSDPKKFADCIHWCLPGVPDAWNEILYAYLLQNHQNFM >Potri.010G098700.1.v4.1 pep chromosome:Pop_tri_v4:10:12173042:12175160:1 gene:Potri.010G098700.v4.1 transcript:Potri.010G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098700.v4.1 MAISSSPDWSAPSINSCRKPHKIIFPQTCKTLHFFPLPCFNIPGCHVNSSSSCPSPILEEEPISTNFPVIQLDLKLEDTQRYPIPDHPDKLNDFLCGVLQDPKSEELAYEYYKKAKEKPEFRPQRPVLKLLIRYLIQSDKWGLVLSLADDFKKYNVFPDSFTFSTLVSSCIRARRFKIVENLLENFKSDSKIAVLAFDSAMKGYNKLHMYGSTISVHEKMILAGIPLDSRCYCQIMKAYYKLGDAEKVVALFNEFESRKLDSKPMILRQIFKILCLSLGRSGQAFQALEYSRDMRKKGILEDSSIYSSLICSFANIREVEVAEELFKEALEKRMLRDPEIVLRLVLMYIEEGQMEKTIEIVKVMKGTANLKVSDCIFCAIVNGFSKRRGFSAAVKVYEELKYDGCDPGQVTYASVINAYCRAGLYSKAEMVFSEMEEKGFDKCVVAYSSMISMYGKTGRARDATRLVAKMKLKGCEPNVWIYNSLLDMHGRAKNLRQVEKLWKEMKRRKVAPDKVTYSSVISAYNKSKEYEMCVRYYHEYRINGGVIDGAMAGIMAGVFSKISRIDELVKLLRDMKSEGTPIDERLYRSATNAMRDAGLDIQAKWLQDSFKAK >Potri.013G157200.1.v4.1 pep chromosome:Pop_tri_v4:13:15207533:15209931:1 gene:Potri.013G157200.v4.1 transcript:Potri.013G157200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157200.v4.1 MHVKNISRTSCLIMEYLAPTSFTTLLSFTASLLVLAIILFYFIQSHKNVKKHPLPPGPKRWPVVGCLPTMLRNKPVYRWIHNLMKEMNTEIACIRLGNVHVIPVICPDIACEFLKAQDNTFASRPHTMTTDLISRGYLTTALSPSGDQWNKMKKVLMTHVLSPKKHQWLYSKRVEEADHLVHYVYNQCKKSVHQGGIVNLRTAAQHYCANLTRKMLFNKRFFGEGMKDGGPGFEEEEYVDALFSCLNHIYAFCISDFLPSLIGLDLDGHEKVVMENHRIINKYHDPIIHERVQQWKDGAKKDTEDLLDILITLKDRHGNPLLSKDEIKAQITEIMVAAVDNPSNACEWAFAEMLNQPEILEKASEELDRVVGKERLVQESDFAHLNYVKACAREAFRLHPVAPFNVPHVSAADTTVANYFIPKGSYVLLSRLGLGRNPKVWDEPLKFKPERHLNEMEKVVLTENNLRFISFSTGKRGCIGVTLGTSMTTMLFARLLQAFTWSLPPSQSSIDLTIAEDSMALAKPLSALAKPRLPPQVYPGY >Potri.015G120600.1.v4.1 pep chromosome:Pop_tri_v4:15:13479074:13480019:1 gene:Potri.015G120600.v4.1 transcript:Potri.015G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120600.v4.1 MSHHNTYQPQGAYPPPSHQAHPPPPPPPQYSYPPPVQGYHQGPYVEPPAASHPTNNGAQQPPPPEKKKHKCWRRCLCCCLYCCCHCLSGI >Potri.005G154050.1.v4.1 pep chromosome:Pop_tri_v4:5:14031664:14032247:1 gene:Potri.005G154050.v4.1 transcript:Potri.005G154050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G154050.v4.1 MTVEEMLKLLTCYKRGIMGENSLLMHMNTSDSKDNLFTGKKWQEEETHGHLFFACAWTSTLWGKVKYWLRLTRNMANLTSAIRAMNNNKKGLQSRMKRVGLALVVYIIWEERNRRVFENAGKSIDVLFRKFQILFYIVLYFHDNNHLAYNVAD >Potri.016G014350.1.v4.1 pep chromosome:Pop_tri_v4:16:759956:761754:-1 gene:Potri.016G014350.v4.1 transcript:Potri.016G014350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014350.v4.1 MKKTQLVFVPAPGFGHLVPAVQLAKMVLERNDSFLITMLAIHNPFDGGISKNTESLASIHTEIRFIEIPETIPAPPPEALAVGPASAYTSYINDHKTLVKDTIVNLVMTHNPAPIASVVVDMFCTAFIDVAKELGVPSHVFYTSNAAFLATMLYLSDREDKGEPKFSPTDPDYIIPCYSNPVPYRVMPLLHTDVEYEAFANHGRQFKESNGIIVNTFSEAESHAVSALLARDDIPPIFNVGPLIIHHKGKSLSGSDAVKRDEILKWLDDQPEKSVVFLCFGSRGCFDEAQLKEIAIGLERSGQRFLWSVRLKPSKGKLQASIFDNYGEILPQGFLERTKNIGMLCGWAPQVEILAHKAVGAFVSHCGWNSTLEALWYAVPIITWPLYAEQHTNAFQLVKDLGLAVELTLDFRGDCPTDFVKAEVITKAVKTMMEQGGELRNKAKETSEMAKKAVMEGGSSYVALGNLIDQWLGSKP >Potri.001G186500.1.v4.1 pep chromosome:Pop_tri_v4:1:16648359:16650724:-1 gene:Potri.001G186500.v4.1 transcript:Potri.001G186500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186500.v4.1 MTAQTIFRTLLDRPNIISSKYQAKQLHAQILKLEPSSPIHLSRLISIYSNFNLLHESLLLFNALHSPPVLAYKSIIRCYVANGLLVQSVALFLQMRASGKKPDHHVFPSLLKSCALLSDLKLGESIHGCIIRLGMDFDLYTCNALMNMYGKFQKVFAAMDSFSIKFRIESAHDQNVTLDQTSYIFPKTNANSTILDQCYRKRNCSYMVEVNRRGSIMDSVKKVFEKMLKRDVVSWNTVIAGNAENGKYEEALMLVREMGNDNLKPDSFTLSSVLPIFAEYVDLHKGKEIHGYAMRHGFDNDVFIGSSLVGMYAKCARVEDALQVFNILPQRDSISWNSIIAGCVQNGLFDEGLRFFHQMLKAKVKPVPVSFSSIMPACANLIALHLGKQLHGFIIRVGYDDNMFVSSSLVDMYAKCGYIKVARWIFDRMDVHDMVSWTAIIMGYALHGQACHAVSLFEQMEMEGVRPNYVAFVAVLTACSHAGMMNEAWRYFNSMTQNYGIVPGLEHYACMADLLGRAGKLDEAFELISSMHRPVEGIWLSLLSACRVHKNVDLAEKVAEKIFEVDPENTGAYILLSNTYAAGQRWKDVAKLQYLMRNKGIKKSPAFSWIEVKNKAPAFVSGDKSHL >Potri.005G059200.4.v4.1 pep chromosome:Pop_tri_v4:5:3746690:3749524:1 gene:Potri.005G059200.v4.1 transcript:Potri.005G059200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059200.v4.1 MIMVPYNHTEFINIVTDLVNNNYISMDRIDDAVRRILQVKLTLGLFETPLADETLVDQLGSQAHRDLAREAVRKSLVLLKNGENADAPVLPLPKKASRILVAGSHANNLGYQCGGWTATWQGVDGNNYTAGTTILSGISAAVDPSTEIVYNNNPDADFVKSNNFSYAIVVVGETPYAETAGDSLNLTIVEPGPSTILNVCGNVKCVVVIISGRPVVIEPYESQIDALVATWLPGTEGQGVADVLFGDYGFTGKLPRTWFKIVDHY >Potri.013G020300.5.v4.1 pep chromosome:Pop_tri_v4:13:1289271:1290427:-1 gene:Potri.013G020300.v4.1 transcript:Potri.013G020300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020300.v4.1 MENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELSVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIMA >Potri.013G020300.4.v4.1 pep chromosome:Pop_tri_v4:13:1289283:1290495:-1 gene:Potri.013G020300.v4.1 transcript:Potri.013G020300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020300.v4.1 MVQWTRAEKRTFLRQRVEARLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELSVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIMA >Potri.013G020300.2.v4.1 pep chromosome:Pop_tri_v4:13:1289338:1290793:-1 gene:Potri.013G020300.v4.1 transcript:Potri.013G020300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020300.v4.1 MSTPYLPATTDSIDQALEAKNPSEGISILYRILENPSSSPESLRIKEQAITNLSDLLRQENRAEELRSLLTLLRPFFALIPKAKTAKIVRGVIDAVAKIPGTSDLQISLCKEMVQWTRAEKRTFLRQRVEARLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELSVDHVEKKLSQMILDKKFAGTLDQGAGCLVIFDDPKTDAIYPATLETISNVGKVVDSLYVRSAKIMA >Potri.003G005700.2.v4.1 pep chromosome:Pop_tri_v4:3:626417:634798:1 gene:Potri.003G005700.v4.1 transcript:Potri.003G005700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005700.v4.1 MKVGQSDKTKVVVRHLPPGVSQPMFVEQIDLAFSGRYNWLSYRPGKSSQKHQSCSRAYIDFKRPDDVIDFAEFFNGHLFVNEKGTQFKAIVEYAPSQHVPKQWSKKDGREGTILKDPEYLEFLEFIAKPVENLPSAEIQLERREAERAGVAKDAPIVTPLMEFIRQKRAAKSGPRRILSNGKPSRRAGGSGSPSSSSSKRGSEKKRASTTMYVLRDTVKGTSGKEKSIYAQVPKLDDRQLSKAVTLGSGSGTEVSEEETAVSGITGTGKKKILLLKGKEKEISLQQSISPSDRNIISSTALKSQRHESSGRVIKSILLNKDSRRIQSSGVQSEPQMQTSNLEKDKRPPRPPHALVLKDANGTPDDKVVGNDLHGFPNEKQERRTRNKDRPDRVVWTLRRSEGSYASDESLSSSAYLSTQSGFDSSQVNHGDVKADTLNLRSGEVKALGSGRSNHSSLDNGSHKHSGRRGPPHPVRDADGSTVEGKSLKRGGASGYGSHEKQVWVQKSSSGS >Potri.003G005700.3.v4.1 pep chromosome:Pop_tri_v4:3:626418:634971:1 gene:Potri.003G005700.v4.1 transcript:Potri.003G005700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005700.v4.1 MKVGQSDKTKVVVRHLPPGVSQPMFVEQIDLAFSGRYNWLSYRPGKSSQKHQSCSRAYIDFKRPDDVIDFAEFFNGHLFVNEKGTQFKAIVEYAPSQHVPKQWSKKDGREGTILKDPEYLEFLEFIAKPVENLPSAEIQLERREAERAGVAKDAPIVTPLMEFIRQKRAAKSGPRRILSNGKPSRRAGGSGSPSSSSSKRGSEKKRASTTMYVLRDTVKGTSGKEKSIYAQVPKLDDRQLSKAVTLGSGSGTEVSEEETVSGITGTGKKKILLLKGKEKEISLQQSISPSDRNIISSTALKSQRHESSGRVIKSILLNKDSRRIQSSGVQSEPQMQTSNLEKDKRPPRPPHALVLKDANGTPDDKVVGNDLHGFPNEKQERRTRNKDRPDRVVWTLRRSEGSYASDESLSSSAYLSTQSGFDSSQVNHGDVKADTLNLRSGEVKALGSGRSNHSSLDNGSHKHSGRRGPPHPVRDADGSTVEGKSLKRGGASGYGSHEKQVWVQKSSSGS >Potri.018G017500.12.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MRSTRLKFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKVVERYPLPTSPFQIKRTFRSSSWNACLSSVLKEEKQINTCKFVLQSSQFIHKCFLQ >Potri.018G017500.6.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MRQIYFMLCFFNHTRSIGFASTNYSELTHLYEKYKTEGFEILAFPCNQFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKKDIQKLIVECMFVISVKGRKTNQYM >Potri.018G017500.8.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MEVLALTHSGFASTNYSELTHLYEKYKTEGFEILAFPCNQFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKKDIQKLIVECMFVISVKGRKTNQYM >Potri.018G017500.2.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MRQIYFMLCFFNHTRSIGFASTNYSELTHLYEKYKTEGFEILAFPCNQFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKVVERYPLPTSPFQIKRTFRSSSWNACLSSVLKEEKQINTCKFVLQSSQFIHKCFLQ >Potri.018G017500.7.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MEVLALTHSGFASTNYSELTHLYEKYKTEGFEILAFPCNQFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKKDIQKLIVECMFVISVKGRKTNQYM >Potri.018G017500.16.v4.1 pep chromosome:Pop_tri_v4:18:1189050:1190424:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MRSTRLKFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKVVERYPLPTSPFQIKRTFRSSSWNACLSSVLKEEKQINTCKFVLQSSQFIHKCFLQ >Potri.018G017500.3.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191892:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MEVLALTHSGFASTNYSELTHLYEKYKTEGFEILAFPCNQFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKVVERYPLPTSPFQIKRTFRSSSWNACLSSVLKEEKQINTCKFVLQSSQFIHKCFLQ >Potri.018G017500.9.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MRSTRLKFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKVVERYPLPTSPFQIKRTFRSSSWNACLSSVLKEEKQINTCKFVLQSSQFIHKCFLQ >Potri.018G017500.4.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MEVLALTHSGFASTNYSELTHLYEKYKTEGFEILAFPCNQFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKVVERYPLPTSPFQIKRTFRSSSWNACLSSVLKEEKQINTCKFVLQSSQFIHKCFLQ >Potri.018G017500.11.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MRSTRLKFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKVVERYPLPTSPFQIKRTFRSSSWNACLSSVLKEEKQINTCKFVLQSSQFIHKCFLQ >Potri.018G017500.5.v4.1 pep chromosome:Pop_tri_v4:18:1188772:1191888:-1 gene:Potri.018G017500.v4.1 transcript:Potri.018G017500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017500.v4.1 MEVLALTHSGFASTNYSELTHLYEKYKTEGFEILAFPCNQFGGQEPGSNPEIKQFACARYKAEFPIFDKVGVNGPSTAPVYQFLKSSAGGFLGGLIKWNLEKFLVDKNSKVVERYPLPTSPFQIKRTFRSSSWNACLSSVLKEEKQINTCKFVLQSSQFIHKCFLQ >Potri.007G114525.1.v4.1 pep chromosome:Pop_tri_v4:7:13463690:13464516:-1 gene:Potri.007G114525.v4.1 transcript:Potri.007G114525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114525.v4.1 MANHIFPLFISPLIVIMSMSLIISQTNILVEARQLLEVTLPELPKPEFPELPKPELPKLPEFPIPELPKFEIPKLPELPKPEFPELPKPEFPKLPEFPKPELPKFEIPKLPELPPFPHFPDLTKPTLPTIPSHSTTSP >Potri.006G138700.1.v4.1 pep chromosome:Pop_tri_v4:6:11571825:11572896:1 gene:Potri.006G138700.v4.1 transcript:Potri.006G138700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L12 MVKFNTEKPVAERSDSKYKGVRKRKWGRWVSEIRLPNSRERIWLGSYDSAEKAAHAFDAALFCLRGNTMKFNFSENPPNIAGGGSLSPSEIQEAAARFANSEPQRGQSGKLEADQSTSVSESRPESPCLSAASDGTVRDMPFFDMAMSTSSSNHPTEYGIFPGFDDLHSGFFAPSFLPNLDHGEGFNLDGVLEEDSFLWNF >Potri.011G010200.1.v4.1 pep chromosome:Pop_tri_v4:11:946772:953357:-1 gene:Potri.011G010200.v4.1 transcript:Potri.011G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010200.v4.1 MEKKPKEARTPILTGFASINEDLVQNILKRTPASSFASAACVCKSWNQTCNQILSKPKLASAFSLNPDQKVASQEVVNKVLSEPIRPQFAIANVIGSGVDLSETLNFLAAKLGSKTPIIVSCANGIIGRDAVTDEHQEVMLEDFWADAASKNSGFGVLLTVGFLPGLQVEAIPLLRPRKAASRMALVDKFVMDIRNYAAYVSGSTSPALVIMFGGEKAEQKPVMEKLDHAMSRETFIAGDERAQFLYKSGIESRNVHGSGNEYISDAVVLVFARDRHRASDVGEIQFHSALSSGVSTIGPRYKVVSVKEIQPETDLTTCLKARREGEQEILGGQRIIDDINNELVNKTELFIGVSKQRQCVIGSENPKLLRSLAFHEVKGGDGEHLFVSGDGIGSGDYFHFYHSDSKAALSATSNVSKNFRNLKLDWSSSQLHAGGVGSKEVVGGLVFSCWGRGESFFGHSNVDSSPFLDNFPGIPMAGIFCYGEVGRGFTMLNADDHEDQEEKTSCCCLHVYSTIYVLVSYTPAPLKH >Potri.008G202133.1.v4.1 pep chromosome:Pop_tri_v4:8:14428899:14429322:1 gene:Potri.008G202133.v4.1 transcript:Potri.008G202133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202133.v4.1 MILEAQKPKDILWACGPELVVFFGIITVRKYFLIPKILITFMFYGYKLFNTTNFYFFYFGPRELFVLTAIILPVISIGIYPNFVFSL >Potri.010G247200.1.v4.1 pep chromosome:Pop_tri_v4:10:22164477:22169229:1 gene:Potri.010G247200.v4.1 transcript:Potri.010G247200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247200.v4.1 MKRSSSCSSSSSSSSSSCVASESIHKPKAKRIRKNQKSNQGKSQNAAAAAANNSHNSGKRSSIYRGVTRHRWTGRFEAHLWDKSSWNSIQNKKGKQVYLGAYDNEEAAAHTYDLAALKYWGSETTLNFPIETYTKEIEEMQKVTKEEYLASLRRQSSGFSRGVSKYRGVARHHHNGRWEARIGRVYGNKYLYLGTYNTQEEAAAAYDMAAIQYRGANAVTNFDVSNYIERLRKKGIPIDRILQEQQLLNNSVDSSVEVEVEQPTPPPQQQQEEQEQKIVSSSSQLQCSQLNSSLDGTPPMVIMDTIEEHELAWSFCMDSGLSLTMPDLPLENSCELPDLFDHTGFEDNIDLIFDACCYGKEANPAGYTLEDNSTGGVEEVGVTRSIDEESESGKDRLSSDSVSNSPTSSTTTSVSCNYSV >Potri.005G089700.1.v4.1 pep chromosome:Pop_tri_v4:5:6238664:6244039:1 gene:Potri.005G089700.v4.1 transcript:Potri.005G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089700.v4.1 MASRASRRLLKDFLLKRVTSTPVSSVRHFSSSSSSETAPKIPHFSKKGRLLTGATIGLVIAGGAYVSTVDEATFCGWLFNATKLVNPFFALLDAEFAHKLAVSAAARGWVPREKRPDPSVLGLEVWGRKFSNPIGLAAGFDKNAEAIDGLLGLGFGFVEVGSVTPVPQEGNPKPRIFRLRQEGAIINRCGFNSEGIVAVAKRLGAQHGKRKLDETSSASSTSNNDVKHGGKAGPGILGVNLGKNKTSEDAAADYVQGVHSLSQYSDYLVINVSSPNTPGLRMLQGRKQLKDLVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVALALRLDGLIISNTTISRPDSVNKSPVAEETGGLSGKPLLNLSTNILKEMFILTRGKIPLIGCGGVFSGEDAYKKVRAGATLVQLYTGFAYGGPALIPRIKAELAECLERDGFKSISEAVGADYR >Potri.014G003916.1.v4.1 pep chromosome:Pop_tri_v4:14:310428:310888:-1 gene:Potri.014G003916.v4.1 transcript:Potri.014G003916.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003916.v4.1 MLWIPWSFFLVGCEELSVLHFQLWSTMSSYTADEDFLNADAVFDCIGDPPEERFDLPFCGSLLEKQYVS >Potri.004G179400.1.v4.1 pep chromosome:Pop_tri_v4:4:19359548:19362608:1 gene:Potri.004G179400.v4.1 transcript:Potri.004G179400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179400.v4.1 MKEKIYHTLLFLYGLPCLITLLIYKWRRRHLSIYGNIEEFLQSHDHNLTLIRCSYSEIKKITHGFNDKLGEGGYDSVYKGKLRSEKANEREFINEVATIGKIYHCNMVQLIGFTVEGSKRALIYEFMPNESLEKYIFSRQGSILLSNQKIYEISLGILHFDIKPHNILLDENFTPKVLDFGLAKLYPTNDSVVSLTMARGTMGYMAPELFYKSIGGVSYKADVYSFGMLLMEMVGRMKNLNALANHSSQKYFPSWIYDQVNERKDILEDQMIIVALWCIQLKPIDRPSMHRVVQMLEADIESLQMSPKPFLVPQQTSNDDKINLANPTITNHDYLCKLTLVENTCWVGSLGNFLNL >Potri.006G252732.1.v4.1 pep chromosome:Pop_tri_v4:6:25117500:25117856:-1 gene:Potri.006G252732.v4.1 transcript:Potri.006G252732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252732.v4.1 MHACRQLSKATRKFCGEIVLGTGVFGSVYKGVVSSYPPMILAVKNISETSRQGEKAYLAEICTRGHMRHKNIVQLQGWCQERQQLLLV >Potri.016G009500.1.v4.1 pep chromosome:Pop_tri_v4:16:447226:451000:-1 gene:Potri.016G009500.v4.1 transcript:Potri.016G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009500.v4.1 MTSSSQTSREMDPESLEVGLLGPAIPAPRNVLRSAILVLRAGHVFFSKAYNDKKETLDSPRVLLSSPSHRNLVVAEIEEISPTHQVSLDATHNDEVGNATSDIKLQHENIANIVKGRDLDSLHAFGGVRGIAEAFETDLENGITGEIEDLSRRRTNAIYKTTVPAARNFLELLMKYSNRHTIFLLIVSAALSLGFGITEEGPRTGWYEGVLIILAIIILVIVPAVRDFLGENSENLLGEQRQRRKREMEVNVLRGGKRLKVRALDLVIGDIVSLEWGCPIPGDGLFVSGEYLKLDDSFPSIVNKHNPFLFYGSKVIEGQGNMLVTSMGLNTTLGEMISKASKSRRLPVQLDKVSKHTEIAGLATSILILVVLFLRFKLGKEKEDLSLPEIKGEHKTKEVMELIKRIVWKPSGKISTLTTCLTTFLVGVVEGVPFFISLAIYYWNKKIPSTKAVVQEQLTGVTMGSVTTICFDKTSWLTMNLQEFEVDECWIDETVIRENSAIHEQVKDAFCIGISTSSGNDQESLISWCERKFGINMESLKQSYTIIGMKELSPGDEGNGVLVREKEGNETKKFLYWKGLAPKILKMCSRHYNSEGKLVDMDTEKRSAFEKIINDMQSKHLKTIALAYKTTDDENPEDDRLILIGLLGLKDKCWKETIEAVEACRNAGVNILLVSEDSESVIEDIAQKYGMLSGPGILEHGGETFRSFSDEERKDVVNKICVMGNSLPSDKLLLVRCLKQQGHIVAFVGVRTDDAPSLKEADVGIVTGTGSRELVNGSAELIILDGNLGYLVWILKGGRCIYGNIHKYIQVEVTITISGLVISTVTTIFFGYAPMTAIQMIWVNLVVAVLGGLALLTEPPSQKLMQRPPIRPTEPFITEAMWRNIIIQASYQVSILLAFQFKGQAILNINEDVSKAMIFSSFLLCQLSNQFNASEQKLKNLVKGVQQNLWFWVASVLTVVLQVVFIEISHDIFGFARLNGPQWGICFLIGALSCVTDGAANITWCVIKVKLRRSSSLAGSELPQSTSILELPLIAENSSPTAS >Potri.009G027000.4.v4.1 pep chromosome:Pop_tri_v4:9:3849104:3851352:1 gene:Potri.009G027000.v4.1 transcript:Potri.009G027000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027000.v4.1 MAPAKDSLKKADAKAQAVKAARAVKSGPTFKKTKKIRTKVTFHRPRTLKKERNPKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Potri.009G027000.3.v4.1 pep chromosome:Pop_tri_v4:9:3849224:3851355:1 gene:Potri.009G027000.v4.1 transcript:Potri.009G027000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027000.v4.1 MAPAKDSLKKADAKAQAVKAARAVKSGPTFKKTKKIRTKVTFHRPRTLKKERNPKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDLRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Potri.010G096850.1.v4.1 pep chromosome:Pop_tri_v4:10:12035429:12036705:1 gene:Potri.010G096850.v4.1 transcript:Potri.010G096850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096850.v4.1 METKPNLSSFLLFWLFFLTLLKSALFNWQGPFPVRFSMFLPFQMTTRSYFGLFKSKKTILHIHLPSFFFSKSNSCTVHKFKSISKRGNFRSV >Potri.001G165360.1.v4.1 pep chromosome:Pop_tri_v4:1:14067808:14074086:1 gene:Potri.001G165360.v4.1 transcript:Potri.001G165360.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165360.v4.1 MKKTMALPIKQIKKYNFYPSLRARACRVWIAKFNGQPSSFNCVFVDNQGGAIQALAKTRDLPTFAATIIE >Potri.012G049300.2.v4.1 pep chromosome:Pop_tri_v4:12:4640945:4645057:1 gene:Potri.012G049300.v4.1 transcript:Potri.012G049300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G049300.v4.1 MLQEDVDIMKMLNFDAYRFSISWSRIFPDGTGKVNWLGVAYYNRLINYMIEKGITPYANLYHYDLPLALEKKYKGLLSYQVVKDFADYADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGLFAPGRCSKAFGNCTAGDSATEPYIVAHHLILSHAAAVQRYREKYQEKQKGRIGILLDFVYYEPLTSSKADNLAAQRARDFHIGWFIHPIVYGEYPKTMQNIVGNRLPQFTKEEVKMVKGSMDFVGINQYTTFYMYDPHQPKPKYLGYQQDWNVGFAYKKNGVPIGPRANSNWLYNVPWGMYKAVMYIKERYGNPTIILSENGMDDPGNFTRSKALHDTTRVGYFKAYLTQLKKAADEGANLAGYFAWSLLDNFEWRSGYTSRFGIVYVDYTNLKRYPKMSAYWFKKLLERNKH >Potri.012G049300.1.v4.1 pep chromosome:Pop_tri_v4:12:4640327:4646876:1 gene:Potri.012G049300.v4.1 transcript:Potri.012G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G049300.v4.1 MRAKALPFLIFCLSIVQCISHAAESNGASEKPETVSFETAGGLRHSFPKGFVFGTATSAYQVEGMAEKDGRGPSIWDEFVKIPGIVANNATGEVAVDQYHHYKEDVDIMKMLNFDAYRFSISWSRIFPDGTGKVNWLGVAYYNRLINYMIEKGITPYANLYHYDLPLALEKKYKGLLSYQVVKDFADYADFCFKTFGDRVKNWMTFNEPRVVAALGYDNGLFAPGRCSKAFGNCTAGDSATEPYIVAHHLILSHAAAVQRYREKYQEKQKGRIGILLDFVYYEPLTSSKADNLAAQRARDFHIGWFIHPIVYGEYPKTMQNIVGNRLPQFTKEEVKMVKGSMDFVGINQYTTFYMYDPHQPKPKYLGYQQDWNVGFAYKKNGVPIGPRANSNWLYNVPWGMYKAVMYIKERYGNPTIILSENGMDDPGNFTRSKALHDTTRVGYFKAYLTQLKKAADEGANLAGYFAWSLLDNFEWRSGYTSRFGIVYVDYTNLKRYPKMSAYWFKKLLERNKH >Potri.010G001700.2.v4.1 pep chromosome:Pop_tri_v4:10:197844:203786:1 gene:Potri.010G001700.v4.1 transcript:Potri.010G001700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001700.v4.1 MMMVNKPLVLTYLYLLIYVLLSSGVILYNKWVLSPKYFNFPFPITLTMIHMGFSGAVAFFLIRVFKVVSPVKMTLEIYITCVVPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFIMAVMCGTDKGRCDVFSNMLLVSVGVVISSYGEIHFNGVGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLCAPWYVLEKPGMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTVIFPESTITGLNIIGYAIALCGVVMYNYLKVKDVRASQLPESIPDRMTKDWKLEKKSSDIFTPKNSSDNTGGGGGGNMNSSSDTNVDEEAPLISSRLSHVGRTQLSK >Potri.016G022600.2.v4.1 pep chromosome:Pop_tri_v4:16:1246973:1248265:1 gene:Potri.016G022600.v4.1 transcript:Potri.016G022600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022600.v4.1 MFSDKICLETSIDSVPSMKDTQLKDIPFARSTDPNDIMLDFAMEAIESAVKAPTITLHTFDELEPSVLRDLSMIYPCVYAVGPFQLLLNRIQEDDLKSIGYNLWEEESECLQWPDLVTGNSKILPPGFAAEIQKRGLIASWCPQEEVLNHPSVGGFLTHCGWGSTIESLSAEVPMRKLNRSGWDSTVGRFAAGVPMICWPFFADQQMNCRYICNEWGVGIEMDNNAKREEVEKLVRELMEGENDKKMREKVMD >Potri.003G194950.1.v4.1 pep chromosome:Pop_tri_v4:3:19743574:19745018:1 gene:Potri.003G194950.v4.1 transcript:Potri.003G194950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194950.v4.1 MQQSVHSYRPHIFFQSLQKKRNFVIQVDSMVIDGWLRSGARLQCLLELDFIMNGIAFSTRAWEKSIGHVGQCFHDLGFSAFFGFWCSILETLIISLFYLFIFTVQASYHIFLFLMDH >Potri.008G017701.1.v4.1 pep chromosome:Pop_tri_v4:8:892100:892533:-1 gene:Potri.008G017701.v4.1 transcript:Potri.008G017701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017701.v4.1 MNAFALSSSADFMIPQGEADQISFLFNLLYMIHLLQILIDSKQHYKFLGRNIDLISLIKLYIEDGKVVRHEDWWYKKPIGNRETNRFPLVGHLMETLRRGSMLAAHSLMGFGKDPST >Potri.012G071100.3.v4.1 pep chromosome:Pop_tri_v4:12:9393651:9400822:-1 gene:Potri.012G071100.v4.1 transcript:Potri.012G071100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071100.v4.1 MKMVLLLWIFSVISSVTLLSTCSLALSEDGLTLLEIMSTWNDSRNILTNWQATDESPCKWTGISCHPQDQRVTSINLPYMELGGIISPSIGKLSRLQRLALHQNSLHGIIPYEISNCTELRAIYLMANYLQGGIPADIGNLSHLNILDLSSNLLKGAIPSSIGRLTRLRHLNLSTNSFSGEIPDFGSLSTFGNNSFIGNSDLCGRQVHKPCRTSLGFPAVLPHAASDEAAVPPKRSSHYIKGLLIGVMSTMAITLLVLLIFLWICLVSKKERAAKKYTEVKKQVDQEASAKLITFHGDLPYHSCEIIEKLESLDEEDIVGSGGFGTVFRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSINHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDFLHEHGQEERLLNWSARLRIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGIATEKSDVYSFGVLLLELVTGKRPTDPAFVKRGLNVVGWMNTLLRENRLEDVVDTRCKDTDMETLEVILEIATRCTDANPDDRPTMNQALQLLEQEVMSPCPSDFYESHSDYC >Potri.012G071100.1.v4.1 pep chromosome:Pop_tri_v4:12:9393659:9400689:-1 gene:Potri.012G071100.v4.1 transcript:Potri.012G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071100.v4.1 MKMVLLLWIFSVISSVTLLSTCSLALSEDGLTLLEIMSTWNDSRNILTNWQATDESPCKWTGISCHPQDQRVTSINLPYMELGGIISPSIGKLSRLQRLALHQNSLHGIIPYEISNCTELRAIYLMANYLQGGIPADIGNLSHLNILDLSSNLLKGAIPSSIGRLTRLRHLNLSTNSFSGEIPDFGSLSTFGNNSFIGNSDLCGRQVHKPCRTSLGFPAVLPHAASDEAAVPPKRSSHYIKGLLIGVMSTMAITLLVLLIFLWICLVSKKERAAKKYTEVKKQVDQEASAKLITFHGDLPYHSCEIIEKLESLDEEDIVGSGGFGTVFRMVMNDCGTFAVKRIDRSREGSDQVFERELEILGSINHINLVNLRGYCRLPMSKLLIYDYLAMGSLDDFLHEHGQEERLLNWSARLRIALGSARGLAYLHHDCCPKIVHRDIKSSNILLDENLEPHVSDFGLAKLLVDEDAHVTTVVAGTFGYLAPEYLQSGIATEKSDVYSFGVLLLELVTGKRPTDPAFVKRGLNVVGWMNTLLRENRLEDVVDTRCKDTDMETLEVILEIATRCTDANPDDRPTMNQALQLLEQEVMSPCPSDFYESHSDYC >Potri.002G020900.6.v4.1 pep chromosome:Pop_tri_v4:2:1295456:1299232:1 gene:Potri.002G020900.v4.1 transcript:Potri.002G020900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020900.v4.1 MEGIEQWKTEAQQWLQQGIEYAHQLPPTQLYAAVAVLLFTTLLLLTIRLLKRTKSNTIVLSGLSGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFLLHSESAKKGKIKPVHVVDVPGHSRLRPKLDDFLPQAAGIVFVVDALEFLPNLSAVTEYLYDILTKASVVKRKLPVLICCNKTDKVTAHTKEFIRKQLEKEIEKLRVSRSGVSDADIANDYTLGIPGEVFSFSQCINKVTIGEASGLTGEISQVEEFIRAHVK >Potri.002G020900.7.v4.1 pep chromosome:Pop_tri_v4:2:1295463:1299215:1 gene:Potri.002G020900.v4.1 transcript:Potri.002G020900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020900.v4.1 MEGIEQWKTEAQQWLQQGIEYAHQLPPTQLYAAVAVLLFTTLLLLTIRLLKRTKSNTIVLSGLSGSGKTVLFYQLRDGSSHQGTVTSMEPNEGTFLLHSESAKKGKIKPVHVVDVPGHSRLRPKLDDFLPQAAGIVFVVDALEFLPNLSAVTEYLYDILTKASVVKRKLPVLICCNKTDKVTAHTKEFIRKQLEKEIEKLRVSRSGVSDADIANDYTLGIPGEVFSFSQCINKVTIGEASGLTGEISQVEEFIRAHVK >Potri.006G210500.1.v4.1 pep chromosome:Pop_tri_v4:6:21769471:21773287:1 gene:Potri.006G210500.v4.1 transcript:Potri.006G210500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210500.v4.1 MATFSLCSYHHRFLSPNLISSKTFSPSHGNPRLSFLSPKHDNSPSRLLRSSPPTNSSDSLPSNDNYSSNFCIIEGPETVQDFVQMQMQEIQDNIRSRRNKIFLLMEEVRRLRVQQRIKNLKVVDESGEEDADEMPDMPSSIPFLPHVTPKTLRQLYLTSFSFISGIILFGGLIAPTLELKLGLGGTSYEDFIRSMHLPLQLSMVDPIVASFVGGAVGVISSLMLIEVNNVEQQEKKRCKYCHGTGYLACARCSASGVCLSIDPISLSSASDRPLQVPATQRCPNCSGAGKVMCPTCLCTGMVMASEHDPRFDPFD >Potri.002G038500.1.v4.1 pep chromosome:Pop_tri_v4:2:2534021:2535864:-1 gene:Potri.002G038500.v4.1 transcript:Potri.002G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038500.v4.1 MVRAPCCEKMGLKRGPWTAEEDRILINHIQLYGHGNWRALPKQAGLLRCGKSCRLRWINYLRPDIKRGNFSREEEDTIIKLHEMLGNRWSAIAARLPGRTDNEIKNVWHTHLKKRLEQNHFAPEINGRSVDVSRFNHELKTGPEIVSSSNVVAAGCDQSKEHRPISPQQCSSDVSSVTTVDTSNDMCTKVAESDDFLEMDEIFWSEVLSADNSSMMSDYSAISTEPQLQFPFSPLIIDVEQVQATNSNMYDGMDFWHNLFTRAGELPELTEI >Potri.002G134400.2.v4.1 pep chromosome:Pop_tri_v4:2:10129628:10132008:-1 gene:Potri.002G134400.v4.1 transcript:Potri.002G134400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134400.v4.1 MLKADIVSISEKNAELKKQVRELNEKLQLAEQGQDHAQKQVLLLGKQQKAGPFGTVKGLRTNPTVVPDESVNARLAKLLAEVAVHKELIVALANSNVKAMLEVWFTNIKKAGIRNYLVVALDDHIVDFCKSNDVPVYKRDPDSGIDSVARTGGNHAVSGLKFHILREFLQLGYSVLLSDIDIIYLQNPFDHLYRDSDVESMSDGHDNMTAYGFNDVFDEPAMGWARYAHTMRIWVYNSGFFYIRPTLPSIELLDRVAGRLSREPNSWDQAVFNEELFYPSHPGYDGLHAAKRTMDIFLFMNSKVLFKTVRKDPALKKLKPVIVHVNYHPDKLRRMQAVVEFYVNGKKDALDPFPDGSDW >Potri.002G134400.1.v4.1 pep chromosome:Pop_tri_v4:2:10129137:10132117:-1 gene:Potri.002G134400.v4.1 transcript:Potri.002G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134400.v4.1 MAVPRRDKGQSLSGSRIALAVVVGVLLGFVFSVLYPHGFFSSDLANPHRRIANSNLQTGSSSCESPERIKMLKADIVSISEKNAELKKQVRELNEKLQLAEQGQDHAQKQVLLLGKQQKAGPFGTVKGLRTNPTVVPDESVNARLAKLLAEVAVHKELIVALANSNVKAMLEVWFTNIKKAGIRNYLVVALDDHIVDFCKSNDVPVYKRDPDSGIDSVARTGGNHAVSGLKFHILREFLQLGYSVLLSDIDIIYLQNPFDHLYRDSDVESMSDGHDNMTAYGFNDVFDEPAMGWARYAHTMRIWVYNSGFFYIRPTLPSIELLDRVAGRLSREPNSWDQAVFNEELFYPSHPGYDGLHAAKRTMDIFLFMNSKVLFKTVRKDPALKKLKPVIVHVNYHPDKLRRMQAVVEFYVNGKKDALDPFPDGSDW >Potri.014G052300.2.v4.1 pep chromosome:Pop_tri_v4:14:3354588:3359129:1 gene:Potri.014G052300.v4.1 transcript:Potri.014G052300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052300.v4.1 MHQKKSEIQIGKESTGISSDFSTTLNPQLLFLHHFQQQNDPITPPSSSSSPTPYKRPLLTTTTHHSQTPHRSNSLFKSPTIYHFATTHQPQSFFSVTTAVKAAAFRFFRRRLARLKVHLRLILLLSLPFFYFLVSHPSHSFLLDFLSAFAFSAALLLSLNLALPRLPSIRLFLSRSFAINKLRNSASRSPIPVFWSIGSRSKPEKRAASGCFVQVYSNGDVYEGEFHKGKFSGSGVYYYYMSGRYEGDWVDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQTHGCGVHTCEDGSRYVGEFKWGVKHGHGHYHFRNGDTYAGEYFADKMHGFGVYHFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGIHDVPSTQNTSYPVSPVAVYHSKVLNAVQEARTASEEAYDVAKVDERVNRAVAAANRAANAARVAAVKAVQKQMHRNNNNGNIPIV >Potri.010G204600.1.v4.1 pep chromosome:Pop_tri_v4:10:19610030:19612064:1 gene:Potri.010G204600.v4.1 transcript:Potri.010G204600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G204600.v4.1 MGLTKIKHSSHSEHFLLLKYPREPYECNGCKGLGLGPCYECEHEDCSFYLHEECANATPSAFHSFSKCSLRFHSRAPQGGRRFCDACGQDVLGFVYQCKHKKPHDYHPSCLKLQRTLTAEDGTGLHLREKLPSKCLNCGNRKTWNGIKGWSYVSSCGQYCYHVACVKDMILKKWKKGYFLQDGNVNETDNYLALQSAIPSRELELPSRKSSSKAKKTWIRKAKKAIMLIISALFGDPTTLISLLVQQLLSD >Potri.013G127400.2.v4.1 pep chromosome:Pop_tri_v4:13:13498365:13500889:-1 gene:Potri.013G127400.v4.1 transcript:Potri.013G127400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127400.v4.1 MADHIAAMEAQMVSERMRRKLSEVNSAAQAQLSPVQDHINFTLQQAYFKCAYECFDRRRKQEEISNCVEHCSVPVLNAQNHFENEMAKFQERLNRSLMVCQDRFEAAKAQQLGSDAVNVLESCVDQSIQDNMKTLPHLVGRMKQSLAIRDEAK >Potri.009G118900.5.v4.1 pep chromosome:Pop_tri_v4:9:9977167:9978897:-1 gene:Potri.009G118900.v4.1 transcript:Potri.009G118900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118900.v4.1 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSVLSDRMRISGSLARKAIRELMARGSIRMVSSHASQQIYTRATNT >Potri.010G141300.2.v4.1 pep chromosome:Pop_tri_v4:10:15437767:15442030:-1 gene:Potri.010G141300.v4.1 transcript:Potri.010G141300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141300.v4.1 MADCNSSENFYGSQDIEPASATKKYGGLAPKKKPLISKDHERAFFDSADWALCKQGAGVNQKSTVAIETLRPKLQRTPHHPLPPRRPACTSGSNAGLD >Potri.010G141300.9.v4.1 pep chromosome:Pop_tri_v4:10:15437768:15441546:-1 gene:Potri.010G141300.v4.1 transcript:Potri.010G141300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141300.v4.1 MADCNSSENFYGSQDIEPASATKKYGGLAPKKKPLISKDHERAFFDSADWALCKQGAGVNQKSTVAIETLRPKLQRTPHHPLPPRRPACTSGSNAGLD >Potri.012G060700.2.v4.1 pep chromosome:Pop_tri_v4:12:6189917:6191479:-1 gene:Potri.012G060700.v4.1 transcript:Potri.012G060700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060700.v4.1 MAHKTKLHLSIFLLLIVTFCEQDHVHGEPKVPCYFIFGDSLVDSGNNNNLETIAKVNYPPYGIDFPGGPTGRFTNGRTIADIMGELLGFDDFIPSFLTVNSSEILRGVNYASGSAGIRQETGKQLGVNLELSKQLENHQTIVSRIVDMLGSKSAANHLNKCFYWSVIGSNDYLNNYFMPQYYNTSSQYTPDKYAEALIKQYSQQIMDTKGSLCVDYMNDAVNYFNSRLISLVNQLNNDLADARFIYLNAIGFGSEYTASPGFSFELNGCCKVNEHGQCVPNETPCSFRTLNLFWDLFHPTEISNKLSATSSYLTLNNIL >Potri.018G121800.1.v4.1 pep chromosome:Pop_tri_v4:18:13435273:13437689:1 gene:Potri.018G121800.v4.1 transcript:Potri.018G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121800.v4.1 MADNLFPTKIESKSKSVQELVMNNEEPPGNYFYEDGVNGVLDRSLPVLEMPVIDISRLTSPSTSREEVEKLHSALISCGCFMSINHGITGVFLDQVRSVTAQFFALPMEEKLKYSRAVDSTEGYGNDMILSEDQILDWTDRLYLIVSPEDQRQFKFWPEKPEIFREILQEYTTKLKVIVEVVLKAMARSLNLEDNCFLDKYGERALMQARFNFFPPCPRPDRSLGLKPHADGSAITIVLQDKEVEGLQFLKDDQWFRVPIQLPHALLINVGDQSEVMSNGFFKSPVHRVVTNSERERTSVAVFCSPDPDNDIEPVDGAVSETRPRLYKKVQDYVSKYFQYYQEGKRPIEAVKI >Potri.012G109700.2.v4.1 pep chromosome:Pop_tri_v4:12:13022341:13023400:1 gene:Potri.012G109700.v4.1 transcript:Potri.012G109700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109700.v4.1 LHAPTRLPATKTSPSPCLLSLTGNNVGLRGPTDRFALKSSFFSPSLHLLITSYKHQQQPLAPAAPRFSMRAAAKQAYICRDCGYIYNDRKPLKSYLIITSALFVVLLKGDLGNTCLLWQKMIMTQTFEKHVKHRFREMKQLGEHCLSQSLLEL >Potri.001G209200.1.v4.1 pep chromosome:Pop_tri_v4:1:21406401:21408660:-1 gene:Potri.001G209200.v4.1 transcript:Potri.001G209200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209200.v4.1 MLGKLVVTGISLILVVGVIIGVVATVQRSGGSVEHTESLSPQMKAVSTLCQPTYYKEACTNTLSAVNSTDPKELIKGGILAISDSLKKSSNLTDDLVVKNNSDEPRAKMALNDCKELLQDASEQLQDTLSKVGGIDLQSLSDHADDYRTWLSSIIAYQEMCLDGFEENSPLKAQVQNSTDYGSQLTDNVLNILAGLSQVLGSLGLKFNAPSTSRRLLQADGYPSWMSAADRKLLASRGNGGARPNAVVAHDGSGKFKTINAALAAYPKGLKGRYVIYVKAGIYREYVTVTKDKPNVFIYGDGARRTIVTGNKNFAKDGIGTWKTATFIVEANGFIAKNMGFSNTAGPDGHQAVAIRVNSDMSAFYNCRLDGYQDTLCYQAGRQFYRNCVLSGTVDFLFGYGSVVIQNSMIVVRRPNPSQFNTVTADGRKERGQPGGIVIHNCRIVPEQKLVPVRFNIKTYLGRPWKAFSRTVVMETQLADFIQPDGWAPWSGNQFLDTLYYAEYANTGPGAATKRRVRWKTLHFLRRNEALQFTAGAFLRGGQWIRNTGVPALLGLRR >Potri.011G162856.1.v4.1 pep chromosome:Pop_tri_v4:11:18744275:18746158:1 gene:Potri.011G162856.v4.1 transcript:Potri.011G162856.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162856.v4.1 MTSMSSSMLPFLICLLFSLSCVTSARPHEDFLQCLSLHSEDSTAISKVIYTPKNSSYSSILHFAIRNPRFNSSELKPFVIVTPTDASHIQATIHCSQKHKLEIRIRSGGHDFEGLSYMSTVPFVIVDLINLRSITVDATNKTAWVQAGATIGELYYRIAEKSRTLAFPAGSCPTVGVGGHFSGGGYGTISRKYGLASDNVIDAQLIDAKGRILDRESMGEDLFWAIRGGGGQSFGVVIAWRIKLVEVPPKVTVFTAARTLEQNATKLIHRWQYVANQLPEDIIIDVLVNRVNSSEEGKSTIQAAFFSLFLGEVDQLLLLMQESFPELGLAKDECTEMSWIESVIYIIGFPSNASLNVLLDRTPQPPSLQFKAKSDYVQEPIPEIAFEGIWKRFFEKDIEVPEFFMLPYGGKMDEISESSTPFPHRAGNRYIFVPVVSWSEETKEASQRHLAWIRRLYRYMTPYVSKNPRAAYVNYRDLDLGVNNLGYTSYKQASIWGRKYFKNNFDRLVRVKTEVDPTNFFRNEQSIPPLSSW >Potri.005G248500.1.v4.1 pep chromosome:Pop_tri_v4:5:24250239:24256006:-1 gene:Potri.005G248500.v4.1 transcript:Potri.005G248500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248500.v4.1 MADNNNLTIDPRSGFCKSNSVFYSKRKPIPLPLTDFLDVTTFISSRPHHGKTAFIDAATGRHLSFKDLWKAVDSVSTCLYDMGIRKGHVILLLSPNSIFFPIVCLSVMSLGAVITTTNPLNTPREIAKQIANSKPSLAFTTPELLAKLTESNSNLTIILIDDGITDASTKTNAKIVTTLSEMVKKEPSGIRVREQVNQDDTATLLYSSGTTGESKGVVSSHKNLIAMVQTIVERFRLNEGDHKFICTVPMFHIYGLAAFATGILAAGSTVIVLSKFEMGEMLSTIVKYRATYLPLVPPILVALINGADQLRERYDLSSLNFVLSGGAPLSKEMVEGFSEKYPGVTILQGYGLTESAGIGASTDTLEESRRYGTAGLLSPNTEAKIVDPESGNALLVNQTGELWLRAPSVMKGYFSNAEATSSTIDSEGWLRTGDLCYIDDDGFIFVVDRLKELIKYKGYQVPPAELEALLLTHPEISDAAVIPFPDEQVGQFPMAYVVRKAGSKLSEKAVMDFIAGQVAPYKRIRRVAYVAAIPKNPSGKILRKDLIKLATSKL >Potri.014G072000.1.v4.1 pep chromosome:Pop_tri_v4:14:4577554:4580035:1 gene:Potri.014G072000.v4.1 transcript:Potri.014G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072000.v4.1 MGILFTIFTVTAAGLLFIIISFLYLTFQTYSGKSIKNPNYPPVNGTVFGQLFYFNRLYDHQTEVARKQKTFRLLAPGQSELYTTDIRNIEHVLKTKFDKYTKGKYNQDIATDLFGKGIFAVDGDKWRQQRKLASFEFSTRVLRDFSCSVFRRNAAKLVRVVSEMAIADQIFDMQDTLMRCTLDSIFKVGFGVELNCLEGSNKEGIEFMKAFDDSNALVYRRYVDPLWKLKRYFNICSEASLKKNIKIIDDFVTNLIGTKRKLQAEERLYNDKEDILSRFLVESKKDAEEMNDKYLRDIILNFMIAGKDTSANTLSWFFYMLCKNPLIQEKVAQEVRDVTSSQDDVVNVEEFIANITDTTLEQMHYLHAALTETLRLYPAVPVDGRCAEVDDILPDGFRMKKGDGLYYMAYAMGRMPYIWGDDAEDFRPERWLNNGIFQPESPFKFIAFHAGPRICLGKDFAYRQMKILSIALLRFFRFKLADDTRKITYRTMFTLHIEGSLHLRAIGRTKS >Potri.012G030125.2.v4.1 pep chromosome:Pop_tri_v4:12:2967287:2970264:-1 gene:Potri.012G030125.v4.1 transcript:Potri.012G030125.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G030125.v4.1 MGFSPLSLSQSLSFIMFLFHFHSTISSSHFCAPDQSLSLLQFKESFSINSSASGRCQHPKTESWKEGTDCCLWDGVSCDMKTGHVTALDLSCSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNNSHISPRFGQFSNLALLNLNSSVFAGQVPSEISHLSKLVSLDLSGNYDPSLEPISLAKLVRNLTELRELDLSRVNMSLVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPKLESLDMSYNNRLTGSFPSSNLSNVLSSLDLSNTRISVYLENDLISNLKSLEYMYLRNSNIIRSDLAPLGNLTQLILLDFSSNNFIGEIPSLLGNLVQLRYLKLDSNKFMGQIPDSLGSLLNLRTLSLYGNLFNGTIPSFLFALPSLQYLDLHNNNLIGNISELQHDSLVYLDLSNNHLLGPIPSSIFKQENLEVLILASNSKLTGEISSSICKLRFLRLLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKNNSLEYLNLNGNELEGKIPPSIISCTLLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTTYNSFSKLQIFDISDNNFSESLPTGYFNSLEAMMTLDQNMIYMGAINYSSYVYSIEMIWKGVKTKFMKIQSTIRVLDLSNNNFTGEIPKVIEKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLEGPIPSGEQFNTFNANLFEGNLGLCGFQVLKECYDDEALSLSPSSFNEEDDSTLFGEGFGWKAVTMGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLNSKKTKKNVGRYGARRN >Potri.012G030125.1.v4.1 pep chromosome:Pop_tri_v4:12:2967287:2970264:-1 gene:Potri.012G030125.v4.1 transcript:Potri.012G030125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G030125.v4.1 MGFSPLSLSQSLSFIMFLFHFHSTISSSHFCAPDQSLSLLQFKESFSINSSASGRCQHPKTESWKEGTDCCLWDGVSCDMKTGHVTALDLSCSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNNSHISPRFGQFSNLALLNLNSSVFAGQVPSEISHLSKLVSLDLSGNYDPSLEPISLAKLVRNLTELRELDLSRVNMSLVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPKLESLDMSYNNRLTGSFPSSNLSNVLSSLDLSNTRISVYLENDLISNLKSLEYMYLRNSNIIRSDLAPLGNLTQLILLDFSSNNFIGEIPSLLGNLVQLRYLKLDSNKFMGQIPDSLGSLLNLRTLSLYGNLFNGTIPSFLFALPSLQYLDLHNNNLIGNISELQHDSLVYLDLSNNHLLGPIPSSIFKQENLEVLILASNSKLTGEISSSICKLRFLRLLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKNNSLEYLNLNGNELEGKIPPSIISCTLLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTTYNSFSKLQIFDISDNNFSESLPTGYFNSLEAMMTLDQNMIYMGAINYSSYVYSIEMIWKGVKTKFMKIQSTIRVLDLSNNNFTGEIPKVIEKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLEGPIPSGEQFNTFNANLFEGNLGLCGFQVLKECYDDEALSLSPSSFNEEDDSTLFGEGFGWKAVTMGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLNSKKTKKNVGRYGARRN >Potri.010G142500.3.v4.1 pep chromosome:Pop_tri_v4:10:15533335:15534164:1 gene:Potri.010G142500.v4.1 transcript:Potri.010G142500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142500.v4.1 MGNMEVAGNNRVYRNEIYHHAAEYTQVLQDVASDPALPRTKSVCCAVCGYGEAVFLQATAGDEGMTMFYVCCNPNCGHRWRD >Potri.010G142500.2.v4.1 pep chromosome:Pop_tri_v4:10:15531939:15534369:1 gene:Potri.010G142500.v4.1 transcript:Potri.010G142500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142500.v4.1 MQKILLYACRSCDHQEVAGNNRVYRNEIYHHAAEYTQVLQDVASDPALPRTKSVCCAVCGYGEAVFLQATAGDEGMTMFYVCCNPNCGHRWRD >Potri.014G087100.1.v4.1 pep chromosome:Pop_tri_v4:14:5641849:5645148:1 gene:Potri.014G087100.v4.1 transcript:Potri.014G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087100.v4.1 MPPKTKTLLTKSLSLLPPTRLRSLTTVPESTHLTDPHLTHSFCSRALNFSAKMGFLREGKQVHSHVIKLGFCNVLSLQNQILNVYIKCKDFNYAHRLFDEMHVRNVVTWNTVICGLVDCRGSDYESSVYMGFCYFRKMLLDKVGFDAITLNGLLRACLELNDVEIGRELHCFIVKLGFAVNSFVNSALVDLYGKCGLVKEARRAFDEVYCRDLVLWNVMLSCYAMNCLAEEASGFFKLMQEENFMTDGFTFSSMLNSCGTLGSCNLGRQIHGLSIKLSFDLDVLVASGLVDMYAKSENIDDARKAFDGMAARNVVSWNTMVVGYGRLGDGGEAMKLLIGMFQEDLRPDEITLASIIRSCSSALTSCEIMQVNAYVLKNGFHAFLSIANALINAYSKGGSIAMALQCFNTVLEPDLVTWTSLIGAYAFHSLPKNSIDTFEEMLADGVWPDQIVFLEVLSACSHAGLVNEGLHYFSLMKDYHILPGLEHYTCLIDLLGRAGLLDEAFNILNSMSIGYSSDTLGAFIGACKIHGDVKLAKWAAEKLFEMEPNKPVNYTLMSSVFASEGHWHDVARIHKLMRDRCGHGVPGCSWMEYAGTIDEVPVKI >Potri.008G028700.1.v4.1 pep chromosome:Pop_tri_v4:8:1509874:1511842:-1 gene:Potri.008G028700.v4.1 transcript:Potri.008G028700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028700.v4.1 MNSTMAARCFVVLVFVSFLVMSVSAIPSKRRRGSFSTIKQLNRKGPFIGLITVYSPEEEAFFRSGAFKPDAKHPFVDLSGRRYRVGKINGKKVVFVRCGVGMTNAAAVTQQMLDLFDVTGIVHFGISGNVNDSMSIGDVTIPKQFAHTGLWNWLNPNGTMDPADVAYLEVGSYNVPEGDGVNLLGQIGYSTEQLFSVSREPNTAVSLWWMEVSQQWLQLARSLEGMELEKCVNSSLCLPEKPKLVVGLKGATSNIFLDNAAYRDFLFQTFEVSSSDMESSAVVMTCLSNGFPVIVIRGLSDLAGAESGDNAIHTFGSLAALNAAKAVLEFISKLPGYNSPLQQHYM >Potri.008G028700.3.v4.1 pep chromosome:Pop_tri_v4:8:1509982:1511866:-1 gene:Potri.008G028700.v4.1 transcript:Potri.008G028700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028700.v4.1 MNSTMAARCFVVLVFVSFLVMSVSAIPSKRRRGSFSTIKQLNRKGPFIGLITVYSPEEEAFFRSGAFKPDAKHPFVDLSGRRYRVGKINGKKVVFVRCGVGMTNAAAVTQQMLDLFDVTGIVHFGISGNVNDSMSIGDVTIPKQFAHTGLWNWLNPNGTMDPADVAYLEVGSYNVPEGDGVNLLGQIGYSTEQLFSVSREPNTAVSLWWMEVSQQWLQLARSLEVRHSNPAPQFSPSANFTFLKVTIKEICWLLFNASFTISYRSLFCSRLHLQFITLQGMELEKCVNSSLCLPEKPKLVVGLKGATSNIFLDNAAYRDFLFQTFEVSSSDMESSAVVMAS >Potri.003G031200.1.v4.1 pep chromosome:Pop_tri_v4:3:3505620:3510295:1 gene:Potri.003G031200.v4.1 transcript:Potri.003G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031200.v4.1 MIEIEEGSQNSEQILEEEGDDLEFDSNDLEIEGDDPGIDDNDLGIEDNNLELEDKNLENEGHDLPEGNDLEDNCEQLFDIEYHVLENNRDDATVEDVRNGDFLGKDYPPPFVGMQFESYDDAYNYYNCYAKDLGFAIRVKSSWTKRNSKEKRGAVLCCNCEGFKTSKEVHSRRKETRTGCLAMLRLRLVESNRWRVDEVKLEHNHLFDPERAQNSKSHKKMDAGAKRKVEPTVDVEVRTIKLYRTAAVDPLGYGSTNSNEGESSQHVDRSKRLKLKKGDAQIIHNYFCQVQLTNPNFFYLMDLNDEGFLRNVFWIHYRSRAAYGYFSDVVIFDTTCLLNKYEIPLFAFVGVNQHGQSILLGCGLLVDETFETYIWLFRVWLTCMLGRPPQTIITDQCKAMQGAIAEVFPRAHHRFCLSRVAHKILDNLGMLQDYEGFQRTLNVTIHDSLKVDEFEMAWEDMIQRFGIADNEWLRTCYEDRERWVPVYSKDTSFAGISTFLKDESTQFFNGYVSQQTTLKEFFDMNELVLQKKYQKEALDDFESRNSSPILRTGSFYELQLSRVYTNEIFRRFQDEVVMMSSCFGITQGHTSGPLVTYVIKERQGEENSRDIKNFEVMYDKRGAEVRCICSCFNFKGYLCRHALCILNYNGVEEIPPLYILARWRKDLKRFYVPDGGSNNVDIANPVQWFDHLYRRAMQVVEEGMISQDRYMVAWQAFKESLNKVRLVAEKHL >Potri.008G107800.1.v4.1 pep chromosome:Pop_tri_v4:8:6814666:6816558:1 gene:Potri.008G107800.v4.1 transcript:Potri.008G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107800.v4.1 MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQHPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKVRFAESKKELDALLSDESLANVPFLILGNKIDIPYAASEDELRYDLGLNNFTTGKGKVNLVDSNVRPLEVFMCSIVRKMGYGDGFKWMSQYIK >Potri.014G051500.4.v4.1 pep chromosome:Pop_tri_v4:14:3311691:3318319:-1 gene:Potri.014G051500.v4.1 transcript:Potri.014G051500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051500.v4.1 MPPRTLKRGAAAAAKKRTVRKVQNQQQPETAEEALNLEEKQTVVDEKPVAMEEEEIVVVEDKLFTEEKKDGEDEIEADVDANGSASLKKEDGVKEPVDEYEKDERLDFDDNEPEYEHEEYGGVDYDDKEIEQEDVQEVEDEFEEEHEENAGEEEEGNLVEEELEEVPEELEGEEDDEHAGEEVERAEMADVEEEDEHHEVFKERRKRKEFEIFVGGLDKDATEDDLRKVFSRVGEVTEARLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVENVEDLTLVEDSNNLGMNRGFAFLEFSSRSDAMNAFKRLQKRDVLFGVDRPAKVSFADSFIGPGDEIMAQVKTLFIDGLPASWDEDRFRVLLKKYGNIEKIELARNMPSARRKDFGFVTFDTHDAAVACAKSINNVELGEGDNKVKVRARLSRPLQRGKGKHASRGDFQSGHGASRVVRGPWVRPLQHSYSTRAPPVRGIATRAPPVSLKRSPGLRERRPPVMSMPARSRPLAPHSRSYDRRPPPPSYPKGSFKREYVRHEELPSPRSRPAVDYGPRSVPERRPSYREDYSSRGTSYSDLPRSTSRTSARRAYADDGYNQRYERPPPSYHEGRSRDYDSVAGSKRPYSAIDDIPPRYADAGARHSRPRLDYELGSRASQYGDAYSDRLGRSAVGYGGSRNSISSQDSHGMYSSRQGMGYGGSYGGSDGGMYQSSYSGDYMSRGGDVGGSSYSSMYSSRGMGSSNYMGTGSSGSYY >Potri.014G051500.5.v4.1 pep chromosome:Pop_tri_v4:14:3313316:3318426:-1 gene:Potri.014G051500.v4.1 transcript:Potri.014G051500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051500.v4.1 MPPRTLKRGAAAAAKKRTVRKVQNQQQPETAEEALNLEEKQTVVDEKPVAMEEEEIVVVEDKLFTEEKKDGEDEIEADVDANGSASLKKEDGVKEPVDEYEKDERLDFDDNEPEYEHEEYGGVDYDDKEIEQEDVQEVEDEFEEEHEENAGEEEEGNLVEEELEEVPEELEGEEDDEHAGEEVERAEMADVEEEDEHHEVFKERRKRKEFEIFVGGLDKDATEDDLRKVFSRVGEVTEARLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVENVEDLTLVEDSNNLGMNRGFAFLEFSSRSDAMNAFKRLQKRDVLFGVDRPAKVSFADSFIGPGDEIMAQVKTLFIDGLPASWDEDRFRVLLKKYGNIEKIELARNMPSARRKDFGFVTFDTHDAAVACAKSINNVELGEGDNKVKVRARLSRPLQRGKGKHASRGDFQSGHGASRVVRGPWVRPLQHSYSTRAPPVRGIATRAPPVSLKRSPGLRERRPPVMSMPARSRPLAPHSRSYDRRPPPPSYPKGSFKREYVRHEELPSPRSRPAVDYGPRSVPERRPSYREDYSSRGTSYSDLPRSTSRTSARRAYADDGYNQRYERPPPSYHEGRSRDYDSVAGSKRPYSAIDDIPPRYADAGARHSRPRLDYELGSRASQYGDAYSDRLGRSAVGYGGSRNSISSQDSHGMYSSRQGSYGGSDGGMYQSSYSGDYMSRGGDVGGSSYSSMYSSRGMGSSNYMGTGSSGSYY >Potri.014G051500.1.v4.1 pep chromosome:Pop_tri_v4:14:3311578:3318428:-1 gene:Potri.014G051500.v4.1 transcript:Potri.014G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051500.v4.1 MPPRTLKRGAAAAAKKRTVRKVQNQQQPETAEEALNLEEKQTVVDEKPVAMEEEEIVVVEDKLFTEEKKDGEDEIEADVDANGSASLKKEDGVKEPVDEYEKDERLDFDDNEPEYEHEEYGGVDYDDKEIEQEDVQEVEDEFEEEHEENAGEEEEGNLVEEELEEVPEELEGEEDDEHAGEEVERAEMADVEEEDEHHEVFKERRKRKEFEIFVGGLDKDATEDDLRKVFSRVGEVTEARLMMNPQTKKNKGFAFLRFATVEQAKRAVTELKNPVINGKQCGVTPSQDSDTLFLGNICKTWTKEALKEKLKHYGVENVEDLTLVEDSNNLGMNRGFAFLEFSSRSDAMNAFKRLQKRDVLFGVDRPAKVSFADSFIGPGDEIMAQVKTLFIDGLPASWDEDRFRVLLKKYGNIEKIELARNMPSARRKDFGFVTFDTHDAAVACAKSINNVELGEGDNKVKVRARLSRPLQRGKGKHASRGDFQSGHGASRVVRGPWVRPLQHSYSTRAPPVRGIATRAPPVSLKRSPGLRERRPPVMSMPARSRPLAPHSRSYDRRPPPPSYPKGSFKREYVRHEELPSPRSRPAVDYGPRSVPERRPSYREDYSSRGTSYSDLPRSTSRTSARRAYADDGYNQRYERPPPSYHEGRSRDYDSVAGSKRPYSAIDDIPPRYADAGARHSRPRLDYELGSRASQYGDAYSDRLGRSAVGYGGSRNSISSQDSHGMYSSRQGMGYGGSYGGSDGGMYQSSYSGDYMSRGGDVGGSSYSSMYSSRGMGSSNYMGTGSSGSYY >Potri.001G140900.1.v4.1 pep chromosome:Pop_tri_v4:1:11508081:11509717:1 gene:Potri.001G140900.v4.1 transcript:Potri.001G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140900.v4.1 MSSNSSSYPTTLSIGSPAIALLVTVILLVLFFIGFFSICFYRFFMEGIVHGWHLRQSSLGLVNPASSKENPGLDSSQIQLFPTFTYSSVKDFRREQHGLECAICLAEFSDEDLVRLLTVCYHVFHQECIDLWLESHKTCPVCRRDLDLPKEALEKARIGDHRADINVHDTNETNVLLEHAISIHVSEDSGEEGREGHGRGSSTQDVDRQNEGHEKILGMSRSHSTGHSILATREEEDRYTLRLMERVKVKITRGHCATGSCITFGDYSGPMNDGHGGFSGWSHGGIKRE >Potri.004G109666.1.v4.1 pep chromosome:Pop_tri_v4:4:9761375:9761740:-1 gene:Potri.004G109666.v4.1 transcript:Potri.004G109666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109666.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEECHKKVTKRCAIEISNSIYTNKTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.010G215600.3.v4.1 pep chromosome:Pop_tri_v4:10:20287272:20291717:1 gene:Potri.010G215600.v4.1 transcript:Potri.010G215600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215600.v4.1 MSTTQNEKCKGKHFTWSKPVSHMLLEILAEEALKGNKPSSTFRAESFVKVATEISQKFNVQCEPKHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKAHPNHDKYLNKKLDMYEAMTIVVGKDMATGNYAKSYADVNLEENTEEQSISIENEGEYEETSKGKETSSSSMQKRQHRKRNRMYEDDGVEKLSKQIGDVAVAIQSLSKNQLMLMRCMRKS >Potri.010G215600.2.v4.1 pep chromosome:Pop_tri_v4:10:20287272:20291717:1 gene:Potri.010G215600.v4.1 transcript:Potri.010G215600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215600.v4.1 MSGKCFPFLILRKTLSFLFNKTCTLLKYNKSLNSHSLPNNLPRSIGSISHSFFPEMSTTQNEKCKGKHFTWSKPVSHMLLEILAEEALKGNKPSSTFRAESFVKVATEISQKFNVQCEPKHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKAHPNHDKYLNKKLDMYEAMTIVVGKDMATGNYAKSYADVNLEENTEEQSISIENEGEYEETSKGKETSSSSMQKRQHRKRNRMYEDDGVEKLSKQIGDVAVAIQSLSKNQLMLMRCMRKS >Potri.018G132600.1.v4.1 pep chromosome:Pop_tri_v4:18:14220367:14222362:1 gene:Potri.018G132600.v4.1 transcript:Potri.018G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132600.v4.1 MDGVAPYLSSSSSSSSLRILKRKEVDEVSDDFSDFSLSAPARKTRRLVLDAELPTIIEEEEGSMMPGEFGEEEGDCGLISNEQRKNQGVQIEELVMPESSSATSDNEERAIVLFKPVNNLHLLHRSPNNFSVSLDSNIISGFRNQFLWSSQSGGVRSVEEEEEEAGARRDNSMAVVPWVPSQTQPAFLQEIMYSNASVAQTELMDSEEMGEAAMDIEEDNNDQNYSGSVGLGQAQGNQAFGFGGIRAGSDGLPQWQQQHCLVPQIPQNPNPTPVTWLP >Potri.011G078900.2.v4.1 pep chromosome:Pop_tri_v4:11:8468605:8472557:1 gene:Potri.011G078900.v4.1 transcript:Potri.011G078900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078900.v4.1 MEDLAAKKCVPCNSKDLQAMTEESANDLLSKVAGWNLVNENGTLKLNRSWKVKSFTKGLELFKLVGNVAEAEGHHPDLHLVGWNNITIEIWTHAVGGLTENDFILAAKINGLNLHHLLRKKAAA >Potri.007G049400.1.v4.1 pep chromosome:Pop_tri_v4:7:4669869:4670537:-1 gene:Potri.007G049400.v4.1 transcript:Potri.007G049400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049400.v4.1 MARERKLLGFFFVLLLLLQGSLTQARPFNILKSDRGRIGGTGFFDGLSLGAIKKSGPSPGDGNKFKDSGIYGGINSGPSDGGGH >Potri.004G056900.1.v4.1 pep chromosome:Pop_tri_v4:4:4720807:4721286:-1 gene:Potri.004G056900.v4.1 transcript:Potri.004G056900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056900.v4.1 MASQEEGIKLFGATITLHDKQEGNKEDPNKENPTTDKRPEKVIPCPRCKSMETKFCYFNNYNVNQPRYFCKGCQRYWTAGGALRNVPVGAGRRKTKPPGRVGLDGYSEGCLYDGSGGVHRFELDGMVLEEWHLATTHGSSRHVFPVKRRRSGGSGGHTC >Potri.001G213700.3.v4.1 pep chromosome:Pop_tri_v4:1:22065979:22071642:-1 gene:Potri.001G213700.v4.1 transcript:Potri.001G213700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213700.v4.1 MPAYDGRKSLYTAGALPFEAKEFVVKLVEKNDPASSSSSVKKERQFNVAIKYASKVDMHHLKEFLSGRQKDVPQETIQILDIVLRASPSEKYVTVGRSFFSLDLGKKGELGNGIEYWRGYYQSLRPTQMGLSLNIDVSARSFYEPILVTEFVAKYFNLRDLSRPLSDQDRVKVKRALRGIKVEISYRDYARSFKVTGISNLPVDKTMFTLDDKKTKVSVHQYFWDRYNIGLKYTSLPPLQAGTDAKPIYLPMELCKIAGGQRYTKKLNERQVTALLRATCQRPSARENSIKEMVAHNDYSRNVLVRNEFGIQVKEELTSVDARVLPPPMLKYHDTGREARVDPHLGQWNMINKKMVNGGKIDFWTCVNFSTRVQRDLPFEFCWQLMDMCNSKGMEFHPDPIIQIHSADSRHIEKALHDVHKKCTAKLANQKGKQLQLLIIILPDFSGSYGKIKRICETELGIVSQCCQPQQAKKLSKQYLENVALKINVKAGGRNTVLNDAIQRRIPNVTDLPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPEVTKYRGLVSAQAHREEIIQDLYKKYQDPQKGLVHSGMIRELFIAFRRSTGQKPHRIIFYRDGVSEGQFSQVLLHEMQAIREACGTLEEGYCPPVTFVVVQKRHHTRFFPADHSKRDLTDRSGNILPGTVVDTKICHPTEFDFYLNSHAGIQGTSRPTHYHVLFDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGETSDSGSTGATGRSVEARSLPVVKENVKDVMFYC >Potri.001G213700.1.v4.1 pep chromosome:Pop_tri_v4:1:22065800:22072647:-1 gene:Potri.001G213700.v4.1 transcript:Potri.001G213700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213700.v4.1 MSRRGGGRRSSEPRPDQGSSTPSPSFQRGGGGDRGRGRGRRGGFTPAPSPTPVRAPPPAASGYLSATAGPSTSSTPQEMASSSNAPPPSASSSASMEELSQEIAKKLSFGSTSATGGSVPVSSKAIVPPPRPQLGRIGRKCTIRANHFVVEVSDRDLFHYDVAITPEITSKKVNRDVISQLVRSYRESHLGNRMPAYDGRKSLYTAGALPFEAKEFVVKLVEKNDPASSSSSVKKERQFNVAIKYASKVDMHHLKEFLSGRQKDVPQETIQILDIVLRASPSEKYVTVGRSFFSLDLGKKGELGNGIEYWRGYYQSLRPTQMGLSLNIDVSARSFYEPILVTEFVAKYFNLRDLSRPLSDQDRVKVKRALRGIKVEISYRDYARSFKVTGISNLPVDKTMFTLDDKKTKVSVHQYFWDRYNIGLKYTSLPPLQAGTDAKPIYLPMELCKIAGGQRYTKKLNERQVTALLRATCQRPSARENSIKEMVAHNDYSRNVLVRNEFGIQVKEELTSVDARVLPPPMLKYHDTGREARVDPHLGQWNMINKKMVNGGKIDFWTCVNFSTRVQRDLPFEFCWQLMDMCNSKGMEFHPDPIIQIHSADSRHIEKALHDVHKKCTAKLANQKGKQLQLLIIILPDFSGSYGKIKRICETELGIVSQCCQPQQAKKLSKQYLENVALKINVKAGGRNTVLNDAIQRRIPNVTDLPTIIFGADVTHPQPGEDSSPSIAAVVASMDWPEVTKYRGLVSAQAHREEIIQDLYKKYQDPQKGLVHSGMIRELFIAFRRSTGQKPHRIIFYRDGVSEGQFSQVLLHEMQAIREACGTLEEGYCPPVTFVVVQKRHHTRFFPADHSKRDLTDRSGNILPGTVVDTKICHPTEFDFYLNSHAGIQGTSRPTHYHVLFDENNFTADGLQTLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARYYIEGETSDSGSTGATGRSVEARSLPVVKENVKDVMFYC >Potri.016G093200.2.v4.1 pep chromosome:Pop_tri_v4:16:7947340:7953512:1 gene:Potri.016G093200.v4.1 transcript:Potri.016G093200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093200.v4.1 MVTGGGHLEDGDRHPSSAATRGGGGGGATTGSWVSGQSVSTSGSVGSPSSRSEHAMATPASDNTFLRLNHLDIHADDAATQDAAANKKKKRGQRAAGGADKSGRGLRQFSMKVCEKVESKGTTTYNEVADELVAEFADPSNSVSTPDQQQYDEKNIRRRVYDALNVLMALDIISKDKKEIQWKGLPRTSLSDIEELKAERLGLRNRIEKKAAYLQELEEQFMGLQNLIQRNEQLYSSGNAPSGGVSLPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNYVLKAMKFCERHQSDNMAAPNPAADGGEGSSMSIMYQPQIRTSPRTNNPVRLPTSPPLPGIIKARVKHEH >Potri.011G036100.1.v4.1 pep chromosome:Pop_tri_v4:11:2769740:2773364:-1 gene:Potri.011G036100.v4.1 transcript:Potri.011G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G036100.v4.1 MEAEKLFLLFSLLMLQFSSCTSHDSLKMNQTIKEGDLLISEGNIFALGFFSPGSSSNRYLGIWYHKIPEQTVVWVANRNDPIIGSLGFLFIDQYGNLVLYGNDDQKLPVWSTNVSVEENDTCEAQLMDSGNLILVSRKTVWQSFDYPTNILLPGMKLGLDRKLGIDRFLTSWRSAEDPGIGDFSVRINPNGSPQFFVYNGTKPIIRSRPWPWRNQMGLYKCTFVNDPDEKYCVCTVLDDSYLLRSILDHSGHVKALTRRESDGQWKEYWKSPQFQWDYYGHCGAYSTCELANLNEFGCACLPGFEPKYPLEWSARDGSGGCVRKRLHTSSVCQHGEGFVKVENVILPESSAAVWVDMSKSLADCEVQCKRNCSCSAYAIIAIPGKNYGCLTWYKELVDVKYDRSDSHDLYVRVDAYELADTKRKSNDSREKTMLAVLAPSIALLWFLIGLFAYLWLKKRAKKGNELQVNSTSTELEYFKLSTITAATNDFAPANKLGQGGFGSVYKGLLPNGMEVAIKRLSRSSGQGAEEFKNEVMVIAMLQHRNLVKLLGYCTQDGEQMLIYEYLPNKSLDSFLFDESRRLLLDWRKRFDIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDADMNPKISDFGMAKIFEGNRTEDRTTRVVGTYGYMSPEYVVFGNFSAKSDVFSFGVMLLEIVSGRKNNRFYQQNPPLTLIGYVWELWREEKALEIVDPSLTELYDPREALKCVQIGLLCVQEDATDRPSMLAVVFMLSNETEIPSPKQPAFLFRKSDNNPDIALDVEDGQCSLNEVTITEIACR >Potri.002G015200.1.v4.1 pep chromosome:Pop_tri_v4:2:925601:926962:-1 gene:Potri.002G015200.v4.1 transcript:Potri.002G015200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015200.v4.1 MATPVKVYGPPLSTAVSRVLVTLLEKDVPFQIIPVDMSKGEHKKPDYLKIQPFGQVPAFQDESISLFESRSICRYVCEKYADRGDKGLYGTNPLERASIDQWVEAEGQSFGPSSGALVFQLAFAPRMNIPQDQGVIKQNEEKLGKVLDIYEQRLGESRFLAGDEFTFADLSHLPNGDYLVNATDKGHLFTSRENVGRWWNEISDRESWKKVIEMRKSG >Potri.006G195200.8.v4.1 pep chromosome:Pop_tri_v4:6:20352993:20356075:-1 gene:Potri.006G195200.v4.1 transcript:Potri.006G195200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195200.v4.1 MGTREVYEQKLRTGNLYHDPTIKPGLGSPRCPRCLSLLNPISAKGEWTITSILHDATAVAGSGIGGMLSAVHGFNTGIPFLQNRLKGPKWLPFLVGLPPLLAVSAASAAFGGYVLPKFAQLTVTSYYASSSVSHYGISLLTRHIEESYTSRAQQEKLR >Potri.006G195200.4.v4.1 pep chromosome:Pop_tri_v4:6:20352977:20356121:-1 gene:Potri.006G195200.v4.1 transcript:Potri.006G195200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195200.v4.1 MGTREVYEQKLRTGNLYHDPTIKPGLGSPRCPRCLSLLNPISAKGEWTITSILHDATAVAGSGIGGMLSAVHGFNTGIPFLQNRLKGPKWLPFLVGLPPLLAVSAASAAFGGYVLPKFAQLTVTSYYASSSVSHYGISLLTRHIEESYTSRAQQEKLR >Potri.011G129300.2.v4.1 pep chromosome:Pop_tri_v4:11:16243675:16252831:1 gene:Potri.011G129300.v4.1 transcript:Potri.011G129300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129300.v4.1 MDMILAFVFIITKLLLFLFKFSTALDSISPSEFMIDGKTLVSEKGTFELGFFSPGISKKSYLGIWYKNIPVRTIVWVANRRNPINDSSGLLKVDNCSDIVLLSNNTNTVVWSSNSTKKASSPILQLLDSGNLVLRDKNDGRSGLLWQSFDYPCDTMLPGMKIGWDLRAGFDWRLSSWKSSDDPSPGDFTMGIERESNPEVVAWKGSKKHYRSGPWNGVGFSGSTEVKPNPVFYFTFVSNNIEVYYIFNLKSESTVITRLVLNHTTSDRQCYTWNEETQTWVLQVSVPRDHCDNYGLCGANANCIFNAIPVCQCLEKFKPKSPEEWNKMDWSQGCVRNKELDCQKGDGFIKFDGLKLPDATHSWVNKDMNLKECKAKCLGNCSCMAYSNLDIRGGGSGCANWFGDLMDIRLVPGGGQELYIRMHASEIGDREAKANMKIAAIATAVVGLILGTLTISYHVSKEKAKSAENTSSERTENDWKNDTNNGGQKEDMELPLFAFSAIADATNNFSVNNKLGEGGFGPVYRGKLEDGLEIAVKRLSRCSGQGFSEFKNEVILINKLQHRNLVKLLGCCSQREEKMLIYEYMPNRSLDFFIFDETKGRLLDWSRRFNIISGIARGLLYLHQDSRLRIIHRDLKASNVLLDDHMNPKISDFGLARMFVADQTEGDTSRVRLHGTRIRYRWSILSEIRCL >Potri.008G184500.2.v4.1 pep chromosome:Pop_tri_v4:8:12803534:12804497:-1 gene:Potri.008G184500.v4.1 transcript:Potri.008G184500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G184500.v4.1 MFWHPETNPHFIETLLQQLEGQDSQTPPSPGVSLRQDQQNQLPHGPLASQTRTRGHGSKTKGASVVQPKHEGQFHCTWITPQQQTDQHPESPFPQHDRKFQSPWVLPPQPQGHPRQIVQSMTGNPIPTVQRVPQAQFSGMPRPVRTKPITCLGAVFCTNFCIVIFLGGLSVLIVYLVYRPRSPRKVSVDFSYLIIDLYYGRTLIASQASEQISRNGVLLDVKGVFRVRSKLGSLLWYS >Potri.005G233900.1.v4.1 pep chromosome:Pop_tri_v4:5:23237328:23238156:-1 gene:Potri.005G233900.v4.1 transcript:Potri.005G233900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233900.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVSALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.011G163700.2.v4.1 pep chromosome:Pop_tri_v4:11:18866881:18872037:-1 gene:Potri.011G163700.v4.1 transcript:Potri.011G163700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163700.v4.1 MKLLRLLLLSLFFLSAMGQLPSQDILALLEFKKGIKHDPTGYVLESWNEESIDFNGCPSSWNGIVCNGGNVAGVVLDNLGLSADVDLSVFANLTLLVKVSMANNSITGEIPDNIGDFKSLQFMDVSNNLFSSSLPPGIGKLGSLRNLSLAGNNLSGSLPDSISGLASIQSLDLSRNSFSGSLPTSLTRLNNLVYLNLSSNGFGKRIPKGFELNSNLQVLDLHGNMFDGHLDGMFFLLTNASHVDLSGNMLVSSSSQKLLPGMSESIKVLNLSHNQLSGSLLNGSDLQLFASVKVLDLSYNQLTGELPGFDFAYELQVLKLSNNKFSGSIPNDLLKGDSLLLTELDLSANNLSGPISMIMSTTLSVLDLSSNALVGELPLVTGSCAVLDLSNNRFEGNLTRMVKWGNIEYLDLSQNRLTGPIPEVAPQFLRLNYLNLSHNSFTSPLPKVITQYPKLRVLDLSSNQLDGSLLTELLMSPTLQEIHLENNLLNGAIEFSPPSTTQSNLQVIDLSHNQLDGFFPGRFDSLSGLQVLNLAGNNLSGSLPSSMADMSSLSSLDLSQNHFTGPLPNNLSESIGSFNVSYNDLSGVVPENLRRFPTSSFYPGNNRLRLPAVPPGSNNLPGRNSGRRPINTIVKVVVIVACVIALIILIMLAIFILCIRIRRRNPPGQVTNKGIRRHTQTNPSGTSGTGSGGALIVSAEDLVASKKGSSSEIISPDEKMAAVTGFSPSKHGHLSWSPESGDSFPAETFARLDVRSPDRLVGELYFLDDTITMTPEELSRAPAEVLGRSSHGTSYRATLDNGVFITVKWLREGVAKQRKDFSKEAKKFANIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLTNFLYDRPGRKGPPLTWAQRLKIAVDVARGLNYLHFDRAVPHGNLKATNVLLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELASSKKPLPSFKSDVYAFGVMMLELLTGRCAGDVITGEGGSVDLTDWVRLRVTEGRGTDCFDPALLPEIVNPTVDKGMKEVLGIALRCIRSVSDRPGIKTIYEDLSSI >Potri.002G263200.1.v4.1 pep chromosome:Pop_tri_v4:2:25050032:25054497:-1 gene:Potri.002G263200.v4.1 transcript:Potri.002G263200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G263200.v4.1 MEGAFAGASAITDQRQKIEQYKHILSSVFSSNDIVQSKKFIDHMLSDDVPLVVSRQLLQTFAHELGRLEPETEKEIAMYTLAQIQPRVVSFEEQVLIIREKLAELFESEQQWSKAAQMLSGIDLDSGMRIIDDTFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKVCYARILDLKRKFLEAALRYYNISQIEKRQIGDETIDEEALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFSEELKAHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFDELGTLLGIPPHKAEKIASRMIYEDRMRGTIDQVEAVIHFEDDTEELQQWDQQIVGLCQALNDVLDSMAKKGLSVPV >Potri.010G067900.4.v4.1 pep chromosome:Pop_tri_v4:10:9619781:9630451:-1 gene:Potri.010G067900.v4.1 transcript:Potri.010G067900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067900.v4.1 MSRMRVAVVGAGISGLVSAYVLAKAGAEVVLYEKEDSLGGHAKTVCFDGVDLDLGFMVFNRVTYPNMMEFFENLGIDMELSDMSFSVSLDQGKGCEWGSRNGFSGLFAQKKNALNPYFWKMLREIVKFKDDVLSYLEVLENDPVVDRNETLGQFVKSRGYSELFQKAYLVPVCGSIWSCPSEGVMNFSAFSVLSFCRNHHLLQLFGRPQWLTVRRRSHSYVNKVREKLESWGCQIRTGCEVQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALGLLGEQATFDETRILGAFQYMYSEIFLHRDKTYMPQNSAAWSAWNFLGSTENKVCLTYWLNVLQNIDETGLPFLVTLNPDNAPDHTLLKWSTGRPVPSVAATKASLELDHIQGKRRIWFGGAYQGYGFYEDGLKSGMVAAHGLLGKSCDILRNPKHMVPSMLETGARLFVTRFLGHHISTGCLTLLEDGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVDKDEGLINLFMILIVNRDADNSTSKLNKKRGWWTPLLFTAGIASAKFFIQHVSRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCALFKKEDEDLKAAQIRKISLLIEKARVNKDHEVLEIGCGWGTLAIEVVQRTGCKYTGITLSEEQLKYAELKVKEAGLQDSIKFHLCDYRQLPKTHKYDTIISCEMIEAVGHEYMEEFFGCCESVLAENGLFVLQFISIPEERYDEYRKSSDFIKEYIFPGGCLPSLTRITSAMASSSRLCVEHVENIGIQYYQTLRYWRKNFLENQREILSLGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYRGFPSAY >Potri.010G067900.5.v4.1 pep chromosome:Pop_tri_v4:10:9619793:9630586:-1 gene:Potri.010G067900.v4.1 transcript:Potri.010G067900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067900.v4.1 MSRMRVAVVGAGISGLVSAYVLAKAGAEVVLYEKEDSLGGHAKTVCFDGVDLDLGFMVFNRVTYPNMMEFFENLGIDMELSDMSFSVSLDQGKGCEWGSRNGFSGLFAQKKNALNPYFWKMLREIVKFKDDVLSYLEVLENDPVVDRNETLGQFVKSRGYSELFQKAYLVPVCGSIWSCPSEGVMNFSAFSVLSFCRNHHLLQLFGRPQWLTVRRRSHSYVNKVREKLESWGCQIRTGCEVQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALGLLGEQATFDETRILGAFQYMYSEIFLHRDKTYMPQNSAAWSAWNFLGSTENKVCLTYWLNVLQNIDETGLPFLVTLNPDNAPDHTLLKWSTGRPVPSVAATKASLELDHIQGKRRIWFGGAYQGYGFYEDGLKSGMVAAHGLLGKSCDILRNPKHMVPSMLETGARLFVTRFLGHHISTGCLTLLEDGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVDKDEGLINLFMILIVNRDADNSTSKLNKKRGWWTPLLFTAGIASAKFFIQHVSRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCALFKKEDEDLKAAQIRKISLLIEKARVNKDHEVLEIGCGWGTLAIEVVQRTGCKYTGITLSEEQLKYAELKVKEAGLQDSIKFHLCDYRQLPKTHKYDTIISCEMIEAVGHEYMEEFFGCCESVLAENGLFVLQVMKIWEKIVLHSCAHFNCKVMGLMA >Potri.010G067900.1.v4.1 pep chromosome:Pop_tri_v4:10:9619899:9630445:-1 gene:Potri.010G067900.v4.1 transcript:Potri.010G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067900.v4.1 MSRMRVAVVGAGISGLVSAYVLAKAGAEVVLYEKEDSLGGHAKTVCFDGVDLDLGFMVFNRVTYPNMMEFFENLGIDMELSDMSFSVSLDQGKGCEWGSRNGFSGLFAQKKNALNPYFWKMLREIVKFKDDVLSYLEVLENDPVVDRNETLGQFVKSRGYSELFQKAYLVPVCGSIWSCPSEGVMNFSAFSVLSFCRNHHLLQLFGRPQWLTVRRRSHSYVNKVREKLESWGCQIRTGCEVQAVSTTDEAGCAVLCRDGLLEMYSGCIMAVHAPDALGLLGEQATFDETRILGAFQYMYSEIFLHRDKTYMPQNSAAWSAWNFLGSTENKVCLTYWLNVLQNIDETGLPFLVTLNPDNAPDHTLLKWSTGRPVPSVAATKASLELDHIQGKRRIWFGGAYQGYGFYEDGLKSGMVAAHGLLGKSCDILRNPKHMVPSMLETGARLFVTRFLGHHISTGCLTLLEDGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVDKDEGLINLFMILIVNRDADNSTSKLNKKRGWWTPLLFTAGIASAKFFIQHVSRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCALFKKEDEDLKAAQIRKISLLIEKARVNKDHEVLEIGCGWGTLAIEVVQRTGCKYTGITLSEEQLKYAELKVKEAGLQDSIKFHLCDYRQLPKTHKYDTIISCEMIEAVGHEYMEEFFGCCESVLAENGLFVLQFISIPEERYDEYRKSSDFIKEYIFPGGCLPSLTRITSAMASSSRLCVEHVENIGIQYYQTLRYWRKNFLENQREILSLGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYRGFPSAY >Potri.010G067900.2.v4.1 pep chromosome:Pop_tri_v4:10:9619900:9629851:-1 gene:Potri.010G067900.v4.1 transcript:Potri.010G067900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067900.v4.1 MSRMRVAVVGAGISGLVSAYVLAKAGAEVVLYEKEDSLGGHAKTVCFDGVDLDLGFMVFNRVTYPNMMEFFENLGIDMELSDMSFSVSLDQGKGCEWGSRNGFSGLFAQKKNALNPYFWKMLREIVKFKDDVLSYLEVLENDPVVDRNETLGQFVKSRGYSELFQKAYLVPVCGSIWSCPSEGVMNFSAFSVLSFCRNHHLLQLFGRPQWLTVRRRSHSYVNKVREKLESWGCQIRTGCEVQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALGLLGEQATFDETRILGAFQYMYSEIFLHRDKTYMPQNSAAWSAWNFLGSTENKVCLTYWLNVLQNIDETGLPFLVTLNPDNAPDHTLLKWSTGRPVPSVAATKASLELDHIQGKRRIWFGGAYQGYGFYEDGLKSGMVAAHGLLGKSCDILRNPKHMVPSMLETGARLFVTRFLGHHISTGCLTLLEDGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVDKDEGLINLFMILIVNRDADNSTSKLNKKRGWWTPLLFTAGIASAKFFIQHVSRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCALFKKEDEDLKAAQIRKISLLIEKARVNKDHEVLEIGCGWGTLAIEVVQRTGCKYTGITLSEEQLKYAELKVKEAGLQDSIKFHLCDYRQLPKTHKYDTIISCEMIEAVGHEYMEEFFGCCESVLAENGLFVLQFISIPEERYDEYRKSSDFIKEYIFPGGCLPSLTRITSAMASSSRLCVEHVENIGIQYYQTLRYWRKNFLENQREILSLGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYRGFPSAY >Potri.010G067900.3.v4.1 pep chromosome:Pop_tri_v4:10:9619795:9630582:-1 gene:Potri.010G067900.v4.1 transcript:Potri.010G067900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067900.v4.1 MSRMRVAVVGAGISGLVSAYVLAKAGAEVVLYEKEDSLGGHAKTVCFDGVDLDLGFMVFNRVTYPNMMEFFENLGIDMELSDMSFSVSLDQGKGCEWGSRNGFSGLFAQKKNALNPYFWKMLREIVKFKDDVLSYLEVLENDPVVDRNETLGQFVKSRGYSELFQKAYLVPVCGSIWSCPSEGVMNFSAFSVLSFCRNHHLLQLFGRPQWLTVRRRSHSYVNKVREKLESWGCQIRTGCEVQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALGLLGEQATFDETRILGAFQYMYSEIFLHRDKTYMPQNSAAWSAWNFLGSTENKVCLTYWLNVLQNIDETGLPFLVTLNPDNAPDHTLLKWSTGRPVPSVAATKASLELDHIQGKRRIWFGGAYQGYGFYEDGLKSGMVAAHGLLGKSCDILRNPKHMVPSMLETGARLFVTRFLGHHISTGCLTLLEDGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVDKDEGLINLFMILIVNRDADNSTSKLNKKRGWWTPLLFTAGIASAKFFIQHVSRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCALFKKEDEDLKAAQIRKISLLIEKARVNKDHEVLEIGCGWGTLAIEVVQRTGCKYTGITLSEEQLKYAELKVKEAGLQDSIKFHLCDYRQLPKTHKYDTIISCEMIEAVGHEYMEEFFGCCESVLAENGLFVLQFISIPEERYDEYRKSSDFIKEYIFPGGCLPSLTRITSAMASSSRLCVEHVENIGIQYYQTLRYWRKNFLENQREILSLGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYRGFPSAY >Potri.019G048200.1.v4.1 pep chromosome:Pop_tri_v4:19:7683466:7687191:1 gene:Potri.019G048200.v4.1 transcript:Potri.019G048200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048200.v4.1 MPLFTEINLLSGKAIVIMGVSGAGKSTIGELLAKALDCSFLDADDFHPQSNKDKMHQGIPLTEEDRIPWLEILQDALRESLISGKTVVLSCSALQKQYREILRSADSDYHHGSFNSAVKFVLLDAKAEVLAERLDKRAAEGKHFMPAKLLQSQLELLQIDDSEAICKVDATLNPQALVNAIKTLIFGPRKPIAL >Potri.019G048200.5.v4.1 pep chromosome:Pop_tri_v4:19:7683432:7687066:1 gene:Potri.019G048200.v4.1 transcript:Potri.019G048200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048200.v4.1 MHQGIPLTEEDRIPWLEILQDALRESLISGKTVVLSCSALQKQYREILRSADSDYHHGSFNSAVKFVLLDAKAEVLAERLDKRAAEGKHFMPAKLLQSQLELLQIDDSEAICKVDATLNPQALVNAIKTLIFGPRKPIAL >Potri.019G048200.11.v4.1 pep chromosome:Pop_tri_v4:19:7683567:7686847:1 gene:Potri.019G048200.v4.1 transcript:Potri.019G048200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048200.v4.1 MASDLQGKAIVIMGVSGAGKSTIGELLAKALDCSFLDADDFHPQSNKDKMHQGIPLTEEDRIPWLEILQDALRESLISGKTVVLSCSALQKQYREILRSADSDYHHGSFNSAVKFVLLDAKAEVLAERLDKRAAEGKHFMPAKLLQSQLELLQIDDSEAICKVDATLNPQALVNAIKTLIFGPRKPIAL >Potri.019G048200.12.v4.1 pep chromosome:Pop_tri_v4:19:7683567:7686847:1 gene:Potri.019G048200.v4.1 transcript:Potri.019G048200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048200.v4.1 MASDLQGKAIVIMGVSGAGKSTIGELLAKALDCSFLDADDFHPQSNKDKMHQGIPLTEEDRIPWLEILQDALRESLISGKTVVLSCSALQKQYREILRSADSDYHHGSFNSAVKFVLLDAKAEVLAERLDKRAAEGKHFMPAKLLQSQLELLQIDDSEAICKVDATLNPQALVNAIKTLIFGPRKPIAL >Potri.019G048200.6.v4.1 pep chromosome:Pop_tri_v4:19:7683523:7686957:1 gene:Potri.019G048200.v4.1 transcript:Potri.019G048200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048200.v4.1 MGVSGAGKSTIGELLAKALDCSFLDADDFHPQSNKDKMHQGIPLTEEDRIPWLEILQDALRESLISGKTVVLSCSALQKQYREILRSADSDYHHGSFNSAVKFVLLDAKAEVLAERLDKRAAEGKHFMPAKLLQSQLELLQIDDSEAICKVDATLNPQALVNAIKTLIFGPRKPIAL >Potri.019G048200.10.v4.1 pep chromosome:Pop_tri_v4:19:7683925:7686956:1 gene:Potri.019G048200.v4.1 transcript:Potri.019G048200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048200.v4.1 MASDLQGKAIVIMGVSGAGKSTIGELLAKALDCSFLDADDFHPQSNKDKMHQGIPLTEEDRIPWLEILQDALRESLISGKTVVLSCSALQKQYREILRSADSDYHHGSFNSAVKFVLLDAKAEVLAERLDKRAAEGKHFMPAKLLQSQLELLQIDDSEAICKVDATLNPQALVNAIKTLIFGPRKPIAL >Potri.019G048200.13.v4.1 pep chromosome:Pop_tri_v4:19:7683567:7686847:1 gene:Potri.019G048200.v4.1 transcript:Potri.019G048200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048200.v4.1 MGVSGAGKSTIGELLAKALDCSFLDADDFHPQSNKDKMHQGIPLTEEDRIPWLEILQDALRESLISGKTVVLSCSALQKQYREILRSADSDYHHGSFNSAVKFVLLDAKAEVLAERLDKRAAEGKHFMPAKLLQSQLELLQIDDSEAICKVDATLNPQALVNAIKTLIFGPRKPIAL >Potri.009G096800.1.v4.1 pep chromosome:Pop_tri_v4:9:8714893:8717124:1 gene:Potri.009G096800.v4.1 transcript:Potri.009G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096800.v4.1 MAALAQVSASLSLSIHDVSAVRPSRTRLPISRLPTSSLARNGTAFATGSPLLISRTSRQKKAAGRATFVSVRCEKSTQEGSGVDVWIGRLAMIGFAGVVSVEIATGKGLLENFGLTAPLPTVALAVTGLMGVLTAVFIFQSASEN >Potri.008G075700.2.v4.1 pep chromosome:Pop_tri_v4:8:4682466:4686102:-1 gene:Potri.008G075700.v4.1 transcript:Potri.008G075700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075700.v4.1 MGKKAKTSRKGKKAWRANISTEDIDNFFEKSTKDALTGGSLAHVETDSLFFVDKSKDLSVKRKIEKHREKVLRCDSVLHKNPFVQVVPSSSLKRCKKNNKNKKDSEAKDATQDGSKDGAVSGSEMADIWEKEGECDAIARKISKPSVIPAVEVEPPGCSFNPSFEAHQDSLAQAVATEMQKVYQNELGPQPVPLTVPGQVIDEEDMYFLDADNGNDGDGDDTDEEILNENEDSAQEQRPTQTKRVTRVELNKRARRKEQEKKEAAVKKKQKLSKGIDSLPDIIKEIAKEDEEKHKRNIRRIVSKQERLKARPPRLGRHKFEPAPIQVPLSEEITGSLRKIKGCCTLVKDRFKSLEKRGLVVPTAKTKTKRK >Potri.005G237600.1.v4.1 pep chromosome:Pop_tri_v4:5:23565163:23567585:1 gene:Potri.005G237600.v4.1 transcript:Potri.005G237600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237600.v4.1 MENQQQRQPSMKSRFRRVCVFCGSSPGKNPNYQHAAIQLGKQLVERNIDLVYGGGSIGLMGLVSQAVYDGGRHVLGVIPKTLMPREITGDTVGEVKAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFITPAARHIIVSANTAQELMCLLEDYEAEHSGVASKLSWEMGQQLGYTVKSDISR >Potri.010G063600.2.v4.1 pep chromosome:Pop_tri_v4:10:9252154:9260130:-1 gene:Potri.010G063600.v4.1 transcript:Potri.010G063600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063600.v4.1 MAAVTEAEPRVSSKQSNNKKGKRKRTHIDPEIDRLDSLPWNSSISQDDPFSVIAGSHELEGGFLSLEEIDEGDYGLEIPGLDKKVKKERKNKSKKQKDSDADADGVEEEVEEEGINVEDKKKRKKRKKKKKAKESSRVDETTSVSNNKDDVEGESVDETEFYGWNELRLHPLLMKSIYRLGFKEPTPIQKACIPAAAHQGKDVVGAAETGSGKTLAFGLPILQRLLEEQDKASNMGDNVGEEAERFAPKGLLRALIITPTRELAIQVTDHFKEAAHGINIRVVSIVGGMSTEKQERLLKARPEIIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMIENGHFRELQSIIDMLPMASGSIGGQSQSSENCQTLSNMQIKKRQTFVFSATIALSADFRKKLKRGSLKSKQSMADGLNSIEMLSERAGMRANAAIIDLTNASILANKLEESFIECKEEDKDACLYYILSVHGKGRTIVFCTSIAALRHTSALLRILGINVWTLHAQMQQRARLKAIDRFRSNEHAILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARACTDGCSIALISSNDTSKFASLCKSFSKESFQRFPLEESYMQEVMRRLSLARQIDKITRKDSQEKAKKTWFERNAESIELMVENDDSEEERVNNHKQKRVTSMQLKNLQQELNTLLSRPLQPKSFSHRYLAGAGISPLLQHQFEELTRQKLDQGVNLGDNKRRKLVVIGQDCVEPLQALRSSGQEVRMDVKETAEKRRDLENLRRKRKGEKKRLRDQRRQQKKRLKEVDE >Potri.010G063600.4.v4.1 pep chromosome:Pop_tri_v4:10:9252492:9260156:-1 gene:Potri.010G063600.v4.1 transcript:Potri.010G063600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063600.v4.1 MAAVTEAEPRVSSKQSNNKKGKRKRTHIDPEIDRLDSLPWNSSISQDDPFSVIAGSHELEGGFLSLEEIDEGDYGLEIPGLDKKVKKERKNKSKKQKDSDADADGVEEEVEEEGINVEDKKKRKKRKKKKKAKESSRVDETTSVSNNKDDVEGESVDETEFYGWNELRLHPLLMKSIYRLGFKEPTPIQKACIPAAAHQGKDVVGAAETGSGKTLAFGLPILQRLLEEQDKASNMGDNVGEEAERFAPKGLLRALIITPTRELAIQVTDHFKEAAHGINIRVVSIVGGMSTEKQERLLKARPEIIVGTPGRLWELMSGGEKHLVELHSLSFFVLDEADRMIENGHFRELQSIIDMLPMASGSIGGQSQSSENCQTLSNMQIKKRQTFVFSATIALSADFRKKLKRGSLKSKQSMADGLNSIEMLSERAGMRANAAIIDLTNASILANKLEESFIECKEEDKDACLYYILSVHGKGRTIVFCTSIAALRHTSALLRILGINVWTLHAQMQQRARLKAIDRFRSNEHAILVATDVAARGLDIPGVRTVVHYQLPHSAEVYVHRSGRTARACTDGCSIALISSNDTSKFASLCKSFSKESFQRFPLEESYMQEVMRRLSLARQIDKITRKDSQEKAKKTWFERNAESIELMVENDDSEEERVNNHKQKRVTSMQLKNLQQELNTLLSRPLQPKSFSHRYLAGAGISPLLQHQFEELTRQKLDQGVNLGDNKRRKLVVIGQDCVEPLQALRSSGQEVVRMDVKETAEKRRDLENLRRKRKGEKKRLRDQRRQQKKRLKEVDE >Potri.007G016800.1.v4.1 pep chromosome:Pop_tri_v4:7:1292168:1293901:-1 gene:Potri.007G016800.v4.1 transcript:Potri.007G016800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016800.v4.1 MGSLKFASCSLVLLITVTGMLVGAESKTFWGDIEVLKEVKSAVDRNSVNPGSCLSSWDFTVDPCDSLFSESFTCGFRCDIVVSESSRVTELSLDQAGYSGSLASISWNLPYLQTLDLSNNFFYGQIPESVSNLTQLSRLGLSRNMFSGEIPTSIGSLSRLEELYLDNNNLQGIIPASFNGLVSLKRLEIQANKLAGEFPELGSLENLSFLDASENAISGNVPLTLPASLVQISMRNNSLLGKLDPRSFKNLALLQVLDLSHNNLSDSVPLPLFTHPSIQQLTLSFNSFTSVQSPPFPSTTVLKSEVIAIDLSNNELRGFLPYFMALMPKLSALSLENNKFSGMIPTQFAIKTVLPGSGLSPFGRLLLGGNYLYGPIPGPLMELQPGFADVRLNDNCLYRCPVSFFFCQGGDQKSHMECKSFSPFIPREMLIN >Potri.016G031800.2.v4.1 pep chromosome:Pop_tri_v4:16:1786188:1788114:1 gene:Potri.016G031800.v4.1 transcript:Potri.016G031800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031800.v4.1 MEVLSLQILLILLFISLFFYLYALFPSKKKPANKGFKFYPIVGALPEFLRNRHRFLEWTTETLSHCPTNTAVFHRPGKVHGIITANPLNVEYILKTNFENYPKGDRFINLLEDFLGRGIFNSDGELWRVQRKTASYEFNTKSLRNFVMENVEVEISTRLVPILAKASKTKQVLDLQDILERFAFDNICKVAFNVDPACLGGDGTSGGEFMRAFEDAATLSSGRFMYVLPVFWKINRFFNVGTEKTLRESVKIVHEFADEMIRSRMELKTEKNDEDFLSRFIGKDENSTEFLRDIVISFILAGRDTTSSALSWFFWLLSLNPDVEGNILKELETIRSSNGKNPR >Potri.014G190900.2.v4.1 pep chromosome:Pop_tri_v4:14:16585631:16591123:1 gene:Potri.014G190900.v4.1 transcript:Potri.014G190900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G190900.v4.1 MSSRAQNYEFQEWWNKHREFLDKPENTAFLTVEIHSPTVDKGHTRSARQLSWLWLLKFQQLATSLAWLTDGFIDLVRTANRRIAASKTDSPFDSSISSRLYRIIKYFLFLVILLLCFELITYLKGWHFSPPSVESAEAVVERAYAKWLEIRVNYLAPPLQSLTNLCIILFLIQSVDRIALILGCFWIKFWKLRPVAAAEYVGRENVEDYPMVLVQIPMCNEREVYQQSIAACCIQDWPKERMLIQVLDDSDELDAQLLIKAEVQKWQQRGVHILYRHRLIRTGYKAGNPKSAMSCDYVKDYEFVAIFDADFQPGPDFLKRTIPHFKGKDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFVDILRAKVSFGKKANMIFLFFLLRKLILPFYSFTLFCIILPLTMFLPEAQLPAWVVCYVPGIMSILNILPGPRSFPFIVPYLLFENTMSVTKFNAMISGLFRLGSSYEWVVTKKLGRSSEADLVAFAEKE >Potri.015G122000.2.v4.1 pep chromosome:Pop_tri_v4:15:13550645:13552217:1 gene:Potri.015G122000.v4.1 transcript:Potri.015G122000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122000.v4.1 MYDNIEKFLQSHDNDLMPVRYSYSDIKKITNGFKDKLGEGGFGLVYKGKLRSGGFAAVKILSKSKANGQDFINEVATIGRIYHVNVVRLIGFTVEGSKRALIYEFMPNGSLDKYIVSRQGSISLSNEKMYEISLGVARGIEYLHQGCDMQILHFDIKPHNILLDEKFIPKLSDFRLAKLYPTDNSIVPLTAARGTIGYMAPELFYKNIGSVSHKSDVYSFGMLLMEMIGRRKNLNALADHSSQIYFPSWIYDQVSEGKDVELGDHATEQGKETTKKMIIVALWCIQLRPNDRPSMHDVVKMLESDAESLQMPPKPFLTPHHMPKDDDTANPIKLSDPPSDCIDSCILKKYNYTRFLFEFFIYQN >Potri.001G258100.1.v4.1 pep chromosome:Pop_tri_v4:1:27358056:27360751:1 gene:Potri.001G258100.v4.1 transcript:Potri.001G258100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G258100.v4.1 MVGALSVMGSSVVDPHTSPCLCLDSLPTTNIGLKNSGDLALQRSSIKRKQLTRPGSLELGSSFVDSWHDWRLSSKAISGIVSKSSRKQRKDRGLVIVSELAGQYEDSFEDVKTQLLNYFTYKAVRTVLNQLYEMNPTQYTWFYNFVAHNKPGDGKRFLRALVKEKQDLAERVMVTRLHLYGKWIKKCDHAEIYKEISDENLELMRERLKETVIWPSDDTNTEKIG >Potri.001G123900.1.v4.1 pep chromosome:Pop_tri_v4:1:10202438:10204982:-1 gene:Potri.001G123900.v4.1 transcript:Potri.001G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123900.v4.1 MHSFKSSLFIFLLSLSMHAICNARNIGVVNEGFHAKVLFSAKVGEKVEHSTDTVHGTNDREGAIRGKSSAPGAAIMTRESKDSKAITKSSGIQILKSLNGLVVSLKAMNIEGYSTRRALSVAGFGSNNVKKAMESGENEVEEDAEVIDYEPPHRTPPIHNRKTLVIAV >Potri.011G025900.1.v4.1 pep chromosome:Pop_tri_v4:11:1914885:1915826:1 gene:Potri.011G025900.v4.1 transcript:Potri.011G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025900.v4.1 MGVITLENEFAVAVAPAKLFKAYCLETDTLLPKILPEHIKSCEIIEGNGGPGTIRKITFAEGKDLSYAKQKIEAIDEENLTYSFSLIEANVWKDAVEKVTYEHKFVPTPEGGSICKRTSTYYIKGDAEINKDQIKDVYGKKTAGLFKAVEAYFLANPDA >Potri.003G093800.3.v4.1 pep chromosome:Pop_tri_v4:3:11999141:12001576:1 gene:Potri.003G093800.v4.1 transcript:Potri.003G093800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093800.v4.1 MSEPRNPPSGLRISMTEPIRSFLLSASKDGDVPRELQDLALNLSSQNNLHYKSFRSIWIRSPPSTRPPDLIRLFSGSNFILSSPKPREKSEELKARLRKLEEMAERKAYAELVKDITPQKNTDEPFSSYKDQMGFGLHVVLIMFTGYLVGYAAFRALFGHSPAMSAAGGILGLVFGMLVETFLFIIRTSNPDPRSSTPNTSKLKKNQ >Potri.019G001000.1.v4.1 pep chromosome:Pop_tri_v4:19:708023:713386:1 gene:Potri.019G001000.v4.1 transcript:Potri.019G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001000.v4.1 MRSAKKAAEEAIEAIGLGYDLGFDLRLKYCKKNSPRLIGINDDGKQVHNMVIPGGFSIPNVPKSIKCDKGERLRFSSDVLSFQQMSEQFNQELSLSGKIPSGHFNSAFEFSGVWQKDAANTKALAFDGVNITLYSIALDKSQVVLCDHVKEAVPSSWEPAALASFIEKYGTHVIVGIKMGGKDMICMKQQHSSPLPPVDVQKKLKDMADKMFVDGVRSTMNSDKFYDREKVLQLQGLPFMDQLPSSSYSQTEHIKFISKRKGGNKNLPHKEWCQTVQTEPDVISMSFVPITSLLSGINGSGFLTHAINLYIRYKPPLDELHQFLEFQLPRQWAPVFGELALGPDRKQQSNASLQFSLMGPKLYVNTTPVDVGKKPVTGLRLYLEGKRSNCLAIHLQHLSSLPKTFQLADERGGNISDFSSDRRYYEKVKWKSFSHICTAPVESEDDLSIVTGAQFEVGESGLKKVLFLRLQFSRVIGTTTLRRSEWDGSPALNQKSGIMSTLISTRFSSAQKQPPPQPVVNINSAVYPGGPPVAAQTPKLLKFVDTTEMTRGPQDAPGYWAVSGAKLNVDKGKISLRVKYSLLPLVLPDDDVSIEM >Potri.001G127600.1.v4.1 pep chromosome:Pop_tri_v4:1:10464679:10468612:-1 gene:Potri.001G127600.v4.1 transcript:Potri.001G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127600.v4.1 MAPSSSLVSFKVRHRDPELVVPAKPVPYEQKQLSDVDDQEALRYQIPFIMFYDSNSNPCMEGEDQVKIIRAALAEALVYYYPLAGRLKEGPDGKLLVDCTGEGVLFLEADADTTLELLEDTIQPPCPYLDQLLYNVPGSTGIVGCPLLLIQVTRLMCGGFVFAIRWSHIIADAVGMSKFLNTIAEMVPGATKPSFLPVWQRELLNARDPPRATYEHHEFDEVNDTDFGTMNDDTIIVHKSFFFGPREMSSIRKHLPPHLRASSSFLVLTACLWKCRTIATQLDPNEIVRVSYMVTASGKEGLKLPAGYYGNAFTFPVALSEAGLLCKNPLEYGLELVKEIKNRLSEEYTRSAIDLLVIKGKKQYRTVRDFVIADTTRVPFGEIDLGWGKPVYGGPAGAIKDVSFFAKFKNGKGEDGIVVQVSLPWQIMERFQKELAKMAGNSSNDQCCRNATEVARSKL >Potri.001G353700.11.v4.1 pep chromosome:Pop_tri_v4:1:36749427:36757749:-1 gene:Potri.001G353700.v4.1 transcript:Potri.001G353700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353700.v4.1 MPSLKMKTNLNMSSLREKKCLSVCQKSKILSKKSCSQVSVSRQAAESDKCVQKCQDGYVASSMEIYTQGEDIKTDEAVDQQDLPRDGNSQFLKQPPTSVDSGTTGDMESIYNFASNLETIFSPVLELIEVHSVANIYDDAGSNSDLNVPGLGIDDSDDNRSSCDYQTCNISDFFISDMIIASLPFDGSTVVNDFTDANPFPDYKYAEPSMLFDVAEECMILPFLEDTAKMSDSDDMKSCEEATIDSDNSSLYLAINQIRSCDRESDLNIDSDQAEDFDPQFFIKNLPELSDVVSNFLPSIHPKESCRRKSVTLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHIVYVKQRPHLHTFLERVAEMFEVVIFTASQSIYAAQLLDILDPDRKLISQRLYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFTDPSDCALISLLPFLETLVDADDVRPIIAKRFALGDWTLSFSPLSSQLHGVLFLIFPKGSCSECLPFILLYS >Potri.001G353700.13.v4.1 pep chromosome:Pop_tri_v4:1:36749390:36757755:-1 gene:Potri.001G353700.v4.1 transcript:Potri.001G353700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353700.v4.1 MPSLKMKTNLNMSSLREKKCLSVCQKSKILSKKSCSQVSVSRQAAESDKCVQKCQDGYVASSMEIYTQGEDIKTDEAVDQQDLPRDGNSQFLKQPPTSVDSGTTGDMESIYNFASNLETIFSPVLELIEVHSVANIYDDAGLGIDDSDDNRSSCDYQTCNISDFFISDMIIASLPFDGSTVVNDFTDANPFPDYKYAEPSMLFDVAEECMILPFLEDTAKMSDSDDMKSCEEATIDSDNSSLYLAINQIRSCDRESDLNIDSDQAEDFDPQFFIKNLPELSDVVSNFLPSIHPKESCRRKSVTLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHIVYVKQRPHLHTFLERVAEMFEVVIFTASQSIYAAQLLDILDPDRKLISQRLYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFTDPSDCALISLLPFLETLVDADDVRPIIAKRFGNKE >Potri.001G353700.4.v4.1 pep chromosome:Pop_tri_v4:1:36749263:36757755:-1 gene:Potri.001G353700.v4.1 transcript:Potri.001G353700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353700.v4.1 MPSLKMKTNLNMSSLREKKCLSVCQKSKILSKKSCSQVSVSRQAAESDKCVQKCQDGYVASSMEIYTQGEDIKTDEAVDQQDLPRDGNSQFLKQPPTSVDSGTTGDMESIYNFASNLETIFSPVLELIEVHSVANIYDDAGSNSDLNVPGLGIDDSDDNRSSCDYQTCNISDFFISDMIIASLPFDGSTVVNDFTDANPFPDYKYAEPSMLFDVAEECMILPFLEDTAKMSDSDDMKSCEEATIDSDNSSLYLAINQIRSCDRESDLNIDSDQAEDFDPQFFIKNLPELSDVVSNFLPSIHPKESCRRKSVTLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHIVYVKQRPHLHTFLERVAEMFEVVIFTASQSIYAAQLLDILDPDRKLISQRLYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFTDPSDCALISLLPFLETLVDADDVRPIIAKRFGNKE >Potri.001G353700.14.v4.1 pep chromosome:Pop_tri_v4:1:36749230:36757755:-1 gene:Potri.001G353700.v4.1 transcript:Potri.001G353700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353700.v4.1 MTISSLISFSFVGSNSDLNVPGLGIDDSDDNRSSCDYQTCNISDFFISDMIIASLPFDGSTVVNDFTDANPFPDYKYAEPSMLFDVAEECMILPFLEDTAKMSDSDDMKSCEEATIDSDNSSLYLAINQIRSCDRESDLNIDSDQAEDFDPQFFIKNLPELSDVVSNFLPSIHPKESCRRKSVTLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHIVYVKQRPHLHTFLERVAEMFEVVIFTASQSIYAAQLLDILDPDRKLISQRLYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFTDPSDCALISLLPFLETLVDADDVRPIIAKRFGNKE >Potri.001G353700.1.v4.1 pep chromosome:Pop_tri_v4:1:36749307:36757738:-1 gene:Potri.001G353700.v4.1 transcript:Potri.001G353700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353700.v4.1 MPSLKMKTNLNMSSLREKKCLSVCQKSKILSKKSCSQVSVSRQAAESDKCVQKCQDGYVASSMEIYTQGEDIKTDEAVDQQDLPRDGNSQFLKQPPTSVDSGTTGDMESIYNFASNLETIFSPVLELIEVHSVANIYDDAGSNSDLNVPGLGIDDSDDNRSSCDYQTCNISDFFISDMIIASLPFDGSTVVNDFTDANPFPDYKYAEPSMLFDVAEECMILPFLEDTAKMSDSDDMKSCEEATIDSDNSSLYLAINQIRSCDRESDLNIDSDQAEDFDPQFFIKNLPELSDVVSNFLPSIHPKESCRRKSVTLVLDLDETLVHSTLEHCDDADFTFTVFFNMKEHIVYVKQRPHLHTFLERVAEMFEVVIFTASQSIYAAQLLDILDPDRKLISQRLYRESCIFSDGSYTKDLTVLGVDLAKVAIIDNSPQVFRLQVNNGIPIKSWFTDPSDCALISLLPFLETLVDADDVRPIIAKRFALGDWTLSFSPLSSQLHGVLFLIFPKGHLRKHTRLSANGKYRYVGLFCYFSLLLCRYGTLLQNGLC >Potri.004G197900.2.v4.1 pep chromosome:Pop_tri_v4:4:20974195:20986348:1 gene:Potri.004G197900.v4.1 transcript:Potri.004G197900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197900.v4.1 MSNIQAVLQVAEERQITVDMWRFWLDEVRDRAYQAQDVLDELGYEIIQRQLETQDSMKGKVCSFFSLSNPIAFGLRLTQELQKINECFDELREIATSYGRIVLSADTTPQPRRHSMTDSFFFLSEVVEGRGDDVSKIINLLISSCSQRVLSVIPIVGMARLGKTTVAKMVHREVKDRKLFDVTFWICVSDSFDDEWILGEMLLTLDKNTDGITGMDAIMTHLREELETKTFLLILDDVWNEDYERWKILKDCLLKISGNNRNVVVVTTRSRLTASIMESQTACSHELKQLSNNECWSIIREIVSRNGESIPSELEAIGIDIAKKCGGVPVVARVLGSMLFREKDKDKWSSIRDSDAVEMSRHYDQVLQLLKLSFDHLPSTSLQRCFSYCSIFPKDFEIEKEKLIQLWMAEGFLGSSVHWEMEDEGDRNFNVLLARSFFQDFQTDELGNVMCCKMPNLVHDLALMVTKSETVIQKPGSAIDGTFIRHLNLISSDERNGPTFLYDGRKLRTLFSRFLDKSWEFRALRSLTLNDARMTELPDSICRLKHLRYLDVSRTDIKALPKSITKLYHLQTLRFSDCGSLIKLPNKMEYLVGLRHIDFSHTPADVGCLTGLRSLPFFEVGQDKGHKIEELGCLKELRGRLRIVNLEHVRDKEEAKGANLSGKENINTLVFVWSSERESSSSSINYKDVLEGLQPHPDIRSLEIENYQGDEFPPWFLMPILNNLVVLKLKGCKKLPPAGHPSHLQILEIEGMDVVEIIGEEFYSSGGMEVAFPCLEELNINGCPKLESIPSMSHLSSKLVRLTIRDCDALSHISGEFQASAKSLKYLTIRRCSNLSSIPSLQSCIALEALSISTCYNLVSPVFLESRSLTSVFIGWCGKASVRISWPLSYANMKELNIEICGELIFSDDLHGREVWPSRFQSLVIRCCDQFKSVPDGLKRRLHSLVRLDISWCRNLSHIPEDFFCGLNQLKGLLVVSRRNWRHFLE >Potri.004G227500.1.v4.1 pep chromosome:Pop_tri_v4:4:23088887:23091392:-1 gene:Potri.004G227500.v4.1 transcript:Potri.004G227500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227500.v4.1 MHPPLTLHRHPMCVEIIEQFQKCHLDHPIAKFFGECTELKIKLDRCFREEKAVKRKANFEQSKKLKERLQALRKEAAEGSPEKSNFV >Potri.011G062500.3.v4.1 pep chromosome:Pop_tri_v4:11:5182492:5189927:1 gene:Potri.011G062500.v4.1 transcript:Potri.011G062500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062500.v4.1 MSDPAASATATDDASHIEKLYEFGERLSESKDKSQNVKDYQGIMDAAKTSIKAKQLAAQLIPRFFKFFPELSSQAVETQIDLIEQEELGVRVQAIRGLPLFCKDTPEYLSKIVDILVQLLAAEEIVERDAVLKALMSLLRQDVKASLSALFKHIGTVEEPSTDELIREKVLSFVRDKVFPLKGELLRPQEEMEHHITDLIKKSSEDVTGAEFRMFMDFLKKLSIFGDKAPSERMKELVGIIEGQADLDSAFDVTHVSDTDHIDRLISCLYMALPFFLRGASSSRFLNYLNKHIIPVFDKFPEERKLDLLKALAEISPFTLPQDSRQILPSVVQLLKKYMPRRKSGEEMNFTYVECLLYAFHHLAHKAPNATNSLCGYKIVTGQPSDHLGEDFSEFYTDLTERLSSVEDLTRATMKKLTQGMAEHNKAMAAAKSDEAKDSIKTQKQNTTTGLRTCNNILAMTKPLHSKTPLFIGDKSINLSWKEVAKPSVPSTTVSAGGKRPAATANGSGNMEKKGRWAGGVQNQLVNRALEGISYGGRGSPRGRGRGWGGRGRGRSFR >Potri.010G103300.1.v4.1 pep chromosome:Pop_tri_v4:10:12577214:12579935:1 gene:Potri.010G103300.v4.1 transcript:Potri.010G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103300.v4.1 MAPFFLFFAFLLLSNPSPSTSQRQQNMTSFSSSDSPWLPMQNKILLSPNSTFAAGFYPVDNSSNHFNFSIWYYKLPRNITTTVWSANKHDSPLSTNASLVITATRELRLTDSSSRSNLWPGAPKSTNSNSTRLVLNEDGSLVYDKWKSFNFPTDTFLPDQDINGTELVSQNGKFRFLNSSSLSFNYSDNYWTSDNVFAQLRSDGSVNQGNSVSIISADYGVARMRRLTLDNDGNLRVYSYDESLGQWFIAWQALQESCKVHGLCGPNAICLTDGSNSMSCVCPPGFRQSTTSREACERKRKLTSNTKFVQLDYVNFTGGSNQTSLNVRNLTTCRANCLAHPNCLGFMFKYDGQGYCVLQLDRLLYGYWSPGTEVVMFLRVDSSETDETNFTGMTRVLDTTCPVRISLPFPPQESNTTTRNIAIICTLFAAELISGILFFWAFLKKYIKYRDMAQTLGLEFLPAGGPKRFTYAELKAATNDFSNAIGKGGFGDVYRGELPDKRIVAVKCLKHVTGGDAEFWAEVTIIARMHHLNLVRLWGFCAEKGQRILVYEYVPNGSLDRFLFPAGRVPSSGTEVEMGLVAIDGRKPMLDWGIRYRIALGVARAIAYLHEECLEWVLHCDIKPENILLGDDFCPKISDFGLAKLRKKEDMVSMSRIRGTRGYMAPEWIKSDPITPKADVYSFGMVLLEIVTGSRNFETQGSLMDSEDWYFPRWAFDKVFKEMKVEDILDRQIKHCYDGRVHFDMVDRMVKTAMWCLQDRPDMRPSMGKVAKMLEGTVEITEPTKPTIFFLED >Potri.014G095600.1.v4.1 pep chromosome:Pop_tri_v4:14:6235588:6236325:1 gene:Potri.014G095600.v4.1 transcript:Potri.014G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095600.v4.1 MEKMLGAKKDGLERKQEEKTLAIWDLGSPLYDSYEVVSLTHLIERQLMTLPSLGGSKRLSSKIFSPACDDHAVIPAALLESNMGSKRETKRGRSSMLNNLTEFVRRQLRRSRSWIGSNNRKDESEKLKAGQCVLVFGLVCEGNSQK >Potri.T125106.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:85505:87234:1 gene:Potri.T125106.v4.1 transcript:Potri.T125106.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125106.v4.1 MARDSCLARVTAGVAVGGAVGGAVGAVYGTYEAIRNRVPGLLKVRYIGQTTVGSAAIFGLFLGAGSLIHCGKSY >Potri.005G186500.6.v4.1 pep chromosome:Pop_tri_v4:5:19407577:19412209:1 gene:Potri.005G186500.v4.1 transcript:Potri.005G186500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186500.v4.1 MSLVGSAELSARAYGNNKLYSLKGSNDNSGLSAKIFGPDKRQNMYMTDSYSCESYEKFFLDSPTEEIIQPSSSDISGNSAHPQGASSYQPRKSSGSTMFPQDPYDASFNLTTPCDGYPFISESDYLDIESPYQLDYDEYKMKLKLQELERALLEDNEEDGMFGNSQSMEMDVEWSDPIQNGMLHDSPKESSSSDSNLSSFSSNKEVSQLSPRTPRRLLFECANAISEGNIEKASALINELRQLVSIQGDPPQRIAAYMVEGLAAHMAESGIYLYKALKCKEPPSDDRLAAMQILFEICPCFKFGFMAANGAMIEAFKGERRVHIIDFDINQGSQYITLIQTLANQPGKLPNLRLTGVDDPESVQRPVGGLRNIGRRLEKLAEALKVPFEFHAVASKTSVVSPSMLNCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMAKSLNPKLVTVVEQDVNTNTAPFFPRFTEAYNYYSAVFDSLDATLPRESQDRLNVEKQCLARDIVNIVACEGEERIERYEVAGKWRARMKMAGFTSCSISPSVVDLIRKLIKQYSDRYMLKEEVGALHFGWEDKSLVFASAWK >Potri.005G186500.7.v4.1 pep chromosome:Pop_tri_v4:5:19408206:19411521:1 gene:Potri.005G186500.v4.1 transcript:Potri.005G186500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186500.v4.1 MSLVGSAELSARAYGNNKLYSLKGSNDNSGLSAKIFGPDKRQNMYMTDSYSCESYEKFFLDSPTEEIIQPSSSDISGNSAHPQGASSYQPRKSSGSTMFPQDPYDASFNLTTPCDGYPFISESDYLDIESPYQLDYDEYKMKLKLQELERALLEDNEEDGMFGNSQSMEMDVEWSDPIQNGMLHDSPKESSSSDSNLSSFSSNKEVSQLSPRTPRRLLFECANAISEGNIEKASALINELRQLVSIQGDPPQRIAAYMVEGLAAHMAESGIYLYKALKCKEPPSDDRLAAMQILFEICPCFKFGFMAANGAMIEAFKGERRVHIIDFDINQGSQYITLIQTLANQPGKLPNLRLTGVDDPESVQRPVGGLRNIGRRLEKLAEALKVPFEFHAVASKTSVVSPSMLNCKPGEALVVNFAFQLHHMPDESVSTVNERDQLLRMAKSLNPKLVTVVEQDVNTNTAPFFPRFTEAYNYYSAVFDSLDATLPRESQDRLNVEKQCLARDIVNIVACEGEERIERYEVAGKWRARMKMAGFTSCSISPSVVDLIRKLIKQYSDRYMLKEEVGALHFGWEDKSLVFASAWK >Potri.018G072500.1.v4.1 pep chromosome:Pop_tri_v4:18:9157038:9160668:-1 gene:Potri.018G072500.v4.1 transcript:Potri.018G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072500.v4.1 MASLAPGILLKLLQSMNSAARVTGDHRSPLLQVIGIVPALAGSDLWPNQGFYVQLSDSLNSTYVSLSERDTDLILTNRLQLGQFVYIDRFDFDSPVPRVSGIRPIAGRHSFVGTPEPLIARISASKKEFVIQPVADSEYSVDPIAVYLSNNKKFDEFPRNDHNKKGEVTAKVTRQALAPRDNVMVDETATAKRFSSPATAKRFSSPATAKRFSSPATAKRSVSVGKKNAALVERDPSPAAKGKRSASPVPSKCMVPSLLAAKEENRKVAREPAIIVPSRYRQPSPSGRKQPSPNARRASISPGKRLSGVKLSPAVSDSVGKKKIANIVAGISKVSEALVGSAKSSRKNWDEIPAAVGSGEMKEKGEAKKKPDLQAILRTQAALSRRLSDANSRQSNQDETSSYEKTKPSSPEGCLDNKNPTCAALGFVVHEKKWTDGSVPLDAVSSELAKFGKEAMQRRALASTAAAEALEEAIATESVVRSLSIFSELASSSKAGNPLPTIDQFISIYDDVVRYSSIAESVAASHCSDHDTTATEKSKSASLWVEAALATDLEIVSLLSNQKNEPPTALRRSLSKRPPQKASSLPTFDPIVGVWTRGHGMKETVELSMKLQVEMQMWFVKFIEESLDAGFRVLGECANNGSKSLPLNSSSIAAVLSQLKRVNEWLDRVALKGDELLTGKIEKLKKKIYGFVIQHVGTTFDNSSTPV >Potri.019G014350.1.v4.1 pep chromosome:Pop_tri_v4:19:2201175:2201573:1 gene:Potri.019G014350.v4.1 transcript:Potri.019G014350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014350.v4.1 MEGFQPSFMEEVDNMTWNQVDFQSEEDTFDMSELLSPPPEVPVNQGSISCNDQGGQNNVINNSIQQTTPFPTSFPETMVSTHAYSNLLQCPLN >Potri.012G092200.2.v4.1 pep chromosome:Pop_tri_v4:12:11696404:11698331:-1 gene:Potri.012G092200.v4.1 transcript:Potri.012G092200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092200.v4.1 MYPPVNDQPRYPVDVHQGPMLVSGAPTPNPMYTYALHMAVGHAPGVARKWSTGLCHCCDDPANCLITCFCPCITFGQIAEIVNRGSTTCFISGAVYALLLGFACLYSCCYRSKLRGQYDLEEAPCVDCLVHFCCETCALSQEYRELKNRGFDMGIGWEANMARFQQRGITMAPIAPPGMTR >Potri.016G112200.1.v4.1 pep chromosome:Pop_tri_v4:16:11495365:11499509:1 gene:Potri.016G112200.v4.1 transcript:Potri.016G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112200.v4.1 MGSKTESKRWMLESIEIDRMRGVPVNDEPKATLPPKEPADAFIKRSASNLGASLRRTTSSALRKSGVLSSKPPLPKIERTASSASRGLKSLRFLDRTMTGKEMDAWRSIERRFDQFAVHERLPKDKFGICIGLGDSKEFAGEIFHAIARRKNICPANGITKDELKLFWEDMTKQDLDSRLGIFFDMCDKNGDGRLSELEVREVIELSASANKLTNLKQHAAVYAALIMEELDPDHLGYIGLWELETLLRGMVNNEDQTTKLDEKTHNLTNAMIPRRYRTPVTKFLTVSVEYIHENWRRIWVIALWLAVNFVLIFWKFKEFEKSPLFKISSYCVCLAKASAESLKFNMALILLPVCRRTLTKLRSSFLGTFIPFDDNISFHKTIALAIVIGTLAHTLAHVLCNIPLLSSCPEGKFMLFAGPLFHYQQPTYWFFMRSIVGVTGILMILIMGFSFTLATHHFRKNVVKLPGVFHRLAGFNAFWYAHHLLALAYLLCILHGYFLIFEKPWYAKTTWMYLIGPVLFYATERVFTKNQERFHRVDVIKAIIYTGNVLALYMGKPPGFKYESGMYLFIKCPDLSKFEWHPFSITSAPGDNNLSVHIRTVGDWTTELKNLFAKVCEPPRDTKQNQGRLKRMETKALSNSNFDQIQATFPKILIKGPFGAPAQNYKKFDILLLIGLGIGATPFISILKDLLNQIKSNAAESRRDQRMGSTDKKGPERAYFYWVTREQSSFDWFKGVMDDIADYDDNNIIEMHNYLTSVYEEGDARSALIAMVQKLQHAKNGLDVVSQSRIRTHFSRPNWRKVFTQMAETHKFSRIGVFYCGSALLVKPLRELCQEFTLNSSTRFQFHKENF >Potri.010G054600.1.v4.1 pep chromosome:Pop_tri_v4:10:8595403:8599807:1 gene:Potri.010G054600.v4.1 transcript:Potri.010G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054600.v4.1 MALNFSHRPLFPRLSEDNLVSPMRISIQEKVEDCFDYGRDRSGSQESVHKDILDLLPSDPFGMDISTTFTAVTGWLEDLEVDYGGYGRDRVSTRDGNYQLFADLNFICNNAMWFQQFPGNMGFDLSNVVGGYGDERSEANEVGNAPHQEAPGSDCDMEDVLSPGNEIIDNETVGVVDESSGEFQNGHLVCSEGDKEAPHAAFAFALGYLGVRDLLVVETVCRSLRSTVQSDPLLWRSIHIDQPLNEKITDDVLLQLTNRAQGNLQCLSLVECPRITDDGLKHVLENNPRLTKLSVPACTRLSIEGIVTSLKAFKATGTHGVKHLRIGGLYGVTQKHFEELMFLLGPDSHIQQNAHKPHFYHRGNLYLSCEDDRAIDIEMCPRCQNLRLIYDCPVEGCQGKEHPSQACRACSLCIARCVQCGRCINDSEYEETFCLELLCSDCWKQLLKC >Potri.001G307200.1.v4.1 pep chromosome:Pop_tri_v4:1:31678966:31683128:1 gene:Potri.001G307200.v4.1 transcript:Potri.001G307200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307200.v4.1 MAKSPEVEHPHKAFGWAAKDSSGVLSPFHFSRRDNGVEDVTIKILYCGVCHSDLHAAKNEWGFSRYPLVPGHEIVGIVTKIGSNVKKFKVDDQVGVGVLVNSCKSCEYCDQDLENYCPKMIFTYNAQNHDGTKTYGGYSDTIVVDQHFVLRIPDSMPADGAAPLLCAGITVYSPMKYYGMTEPGKHLGIVGLGGLGHVAVKIGKAFGLKVTVISSSSRKESEALDRLGADSFLVSSDPEKMKAAFGTMDYIIDTVSAVHALAPLLSLLKTNGKLVTLGLPEKPLELPIFPLVLGRKLVGGSDIGGVKETQEMLDFCAKHNITSDVEVIRMDQINTAMDRLAKSDVRYRFVIDVANSLSQSQL >Potri.002G254200.1.v4.1 pep chromosome:Pop_tri_v4:2:24294453:24296520:-1 gene:Potri.002G254200.v4.1 transcript:Potri.002G254200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254200.v4.1 MENMFRLADHEDFFSRRCIWVNGPVIVGAGPSGLATAACLRDQGVPFAVLEKEDCIASLWQKRTYDRLKLHLPKQFCQLPKLPFPEDFPEYPTKKQFVEYLESYAKHFEINPKFNEYVQSARYDETSGLWRVKTVSTSGSNRTEVEYICRWLVVATGENAECVMPEIEGLAEFGGEVMHACQYKSGEKFSGKNVLVVGCGNSGMEVSLDLCNYNASPSMVVRSSVHVLPREIMGKSTFELAVLLMSWLPLWLVDKLMLIMAWLVLGNTDKYGLKRPSMGPLTLKNTMGKTPVLDIGALEKIRSGDINVVPGIKRFSRGQVELVNGEILDIDSVILATGYRSNVPYWLQEGEFFSKNGFPKAPFPNGWKGNAGLYAVGFTRKGLSGASSDAIRIAQDIGKVWKEETKQTKRATACHRRCISQF >Potri.011G154700.1.v4.1 pep chromosome:Pop_tri_v4:11:18166206:18170397:1 gene:Potri.011G154700.v4.1 transcript:Potri.011G154700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154700.v4.1 MNIFKKKTSPKEALRTSKRDMVVATRGIEREIASLQLEEKKLVAEIKQTAKTGNEAATKILARQLVRLRQQITNLQGSRAQIRGVATHTQALYASTSISTGMKGATKAMVAMNKQMAPAKQAKVIKEFQKQSAQMDMTIEMMSEAIDETLDKDEAEEETEELTNQVLDEIGVDIASQLSSAPKGRIASKNAPPNAVTSPESTNVEDLEKRLASLRRI >Potri.011G147900.2.v4.1 pep chromosome:Pop_tri_v4:11:17580063:17580874:1 gene:Potri.011G147900.v4.1 transcript:Potri.011G147900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147900.v4.1 MRPAPLETTAAADISHLSPPPPLPSRPPSCDPQVHSCKWWPYSNSNDAGANTATILMILLCALICALAFNTAIRWFLRSDNDSSSDHLRELEEQRKPNKESDMALLVLATTQVYYAGMKLAGAEADCAICLSEFVEGEGIRVLGRCNHGFHVHCVEKWLASHSSCPTCRCSCLASSLSSPEPANCCARNGLDNNSSQSAEPQRAPANLSANENIPV >Potri.001G457700.1.v4.1 pep chromosome:Pop_tri_v4:1:48337068:48339430:1 gene:Potri.001G457700.v4.1 transcript:Potri.001G457700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457700.v4.1 MPLYKTRRGLFIHICVLILVFFSVSSFSMETSSGSSDNSMSSAAPSGPSVKNLMLSVANLSLTEGRTGTKNRQGFSPRNCLLAANGGSLQGIQETRINGLPQYQAGFAKSTLVPPPLFPGTIWGSNSMGDGWDFQGSRLQSGAKSFVPNLNYSPSGSVVDEGASIVGSNTGLSDYSVVKNQEKPNNVRNFICLLQGDRFVNYCLDKDGSRTLHGLLSLRDPEITCQICKKVLDLSSRGIPIFFELMLNQHGWQVFSELIDSLNHQQLKLITYVITKDLSIFIALTFHTHGSNLIKKVIRILRRSHLISFVTNNLCAAFLLIMTNRIGSYVVSECLNHLRAEDNKALYEAAITWCLDLAIDHEGSIALIRVINTIQGLQRYRLLNILSRNAVFLSQDPEGNYVIQKVISLNNPLFTQNVCHLLIGHYETISLQKGGSHIVEKCLDTEWKGWIIENFLSNTNTLLHVAKDAFGNYVIQKALKVTKKSGSPLYHKLLSRLKPHLSILQSGYGRNVFNLITGGQSVTKV >Potri.010G043700.2.v4.1 pep chromosome:Pop_tri_v4:10:7543617:7548212:-1 gene:Potri.010G043700.v4.1 transcript:Potri.010G043700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043700.v4.1 MTKAIQSIPYQRIFFCFFGYVLLRERVVVGHPRSQTVQVMCGRQLDHNATIFVPNFVATMENISEQMSASGFGVAVSGSGPDINYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNGGRIHLDGCFIRAENYSFYEEYLGPGDKAVCGNTTRKNSTFAESTRQAVSQAVTSASNNQGYARVQLTVPGTNESAYVLANCWKTLNASSCRACLENATASILGCLPWSEGRALYTGCFMRYSDIDFLNDELGNGGSRGSIVIIVVSVISSLVVLGLGVSIGVHIWKRRYIMKKRRGSNEAQKVARTLNDSSLNFKYSTLEKATGSFDDTNKLGQGGFGSVYKGALPDGREIAVKRLFFNNRHRAKDFYNELNMISSVEHKNLVRLLGCSCSGPESLLVYEFLPNRSLDRFIFDQNKGKELTWEKRYEIITGTAEGLAYLHMNSSIRIIHRDIKASNILLDSRLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYGFGVLLLEIVTGRQNNRSKNSEYTESLVILTWKKFQAGTVEELYDPNLMLHNHHDNNVKNDVKRAVHVGLLCTQKIPSLRPTMSKALQMLTTEEHLPRPSNPPFIDEMTMELNDTCEDPCYPLNSGTSASIATIENSSFHPR >Potri.010G043700.1.v4.1 pep chromosome:Pop_tri_v4:10:7543617:7548215:-1 gene:Potri.010G043700.v4.1 transcript:Potri.010G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043700.v4.1 MTKAIQSIPYQRIFFCFFGYVLLRERVVVGHPRSQTVQVMCGRQLDHNATIFVPNFVATMENISEQMSASGFGVAVSGSGPDINYGLAQCYGDLSLLDCVLCYAEARTVLPQCYPYNGGRIHLDGCFIRAENYSFYEEYLGPGDKAVCGNTTRKNSTFAESTRQAVSQAVTSASNNQGYARVQLTVPGTNESAYVLANCWKTLNASSCRACLENATASILGCLPWSEGRALYTGCFMRYSDIDFLNDELGNGGSRGSIVIIVVSVISSLVVLGLGVSIGVHIWKRRYIMKKRRGSNEAQKVARTLNDSSLNFKYSTLEKATGSFDDTNKLGQGGFGSVYKGALPDGREIAVKRLFFNNRHRAKDFYNELNMISSVEHKNLVRLLGCSCSGPESLLVYEFLPNRSLDRFIFDQNKGKELTWEKRYEIITGTAEGLAYLHMNSSIRIIHRDIKASNILLDSRLRAKIADFGLARSFQDDKSHISTAIAGTLGYMAPEYLAHGQLTEKVDVYGFGVLLLEIVTGRQNNRSKNSEYTESLVILTWKKFQAGTVEELYDPNLMLHNHHDNNVKNDVKRAVHVGLLCTQKIPSLRPTMSKALQMLTTEEHLPRPSNPPFIDEMTMELNDTCEDPCYPLNSGTSASIATIENSSFHPR >Potri.019G007802.1.v4.1 pep chromosome:Pop_tri_v4:19:1629298:1631853:1 gene:Potri.019G007802.v4.1 transcript:Potri.019G007802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007802.v4.1 MAISGVSSVKSKKRSWSISAALASAALEWLLMCMLFINAIFSYLITKFACQWELQTPCLLCSRLDHILGSKNLKYYWDLICGNHKLEISSLVFCHAHNNLVNVHGMCENCLFSFATTNKSNAETYRLLVGKLGEDSSFVLDQDSPLDDHSSVTRQCSCCNEPWIPRGYCQKLMRAISVDSGAADLDVPLSGAIKHDCSNLKKSKQSIPIISTRQKTSGFDHLSHVGYTELKFNSDTESEVMLSDDDGKNAVHEDISVGYVKPEPCTISLLDDSFTEKLIDPVSSPEPSILASKVQSDAINSHTVHSIASRCSSITERINPDKISQESELISLDFLPSTNGAETPVQGLKESCVSREEEAWQTSVTGGRTDTASEINPSSSDSGQQFGNLLDLSDAYKLAVGNRGRQLSGVLAEQRSVKDSSRLSEDLKLLLSQLSAAREQSMNDMSPRVPMSPRVPISPKLSINSDEVKTSDASSIIGMQILQKRITLERNESGLSLDGSIVEHDKKLLSALYKELEEERNASTIAVNQAMAMITRIQEEKATLHMEALQSLRMMEEQAEYDMEALQKTNDLLTEKEKEVQDLEEELEFYRSTRADHSEAGCTEDSASTSRNSAEEKQVEGTNTSLADKNIITVNSSFLDFEDEKSYITRSLKKLKRSFIYFLIMD >Potri.010G251700.4.v4.1 pep chromosome:Pop_tri_v4:10:22408312:22412421:-1 gene:Potri.010G251700.v4.1 transcript:Potri.010G251700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G251700.v4.1 MDTPPITPTKRSQTEPTQIRILCPSLKTGAVIGKGGSTVRHIQSLTGAKIRLLDDPHIPYCEDRIILITLNSGKVPKSDDSNNATSDHNKEDANDGECSSGGGGGEEEGWGPVKKAVVRVFEKIVKGDSEEELSEAVVVTCKVLIGSGGSNQGGSFSKVLEKIRVESGAQVRVSNREQIPACASPGDELILITGSFSAVKKALLSVSSCIQDSPRAETANFGAAGFHGNAMPAQGDLHQWGYGPGNHAADYHPRGYSPNFEEDVVFRLLCQADKVGSLIGKGGSVVRALQNETGASIKIAEGVSDSDERVVVISARENSEQRHSPAQDAVIRVQSRIAEIGFERGARIVARLLVHPQQIGVLLGKGGKIINEMRHVTGASICIFPKEQASKYGSQTEEVVQVLGSLQAVRDALFQITSRLRDTIFPVKPPFSNTAPLPYPPPPFPEMHPPQFRPRPNPTSPGPYPSPVGPFQGMDRSAIPSHPLDHQPPFSYGMDRSGPPNLDRAPYSYGSDRPGHGPPFESSPRSWTAQPFSNGNLRGGADLGSGSVARNGHPASGNLAPSMAGTSVEVIIPQKLLTHVYGESNSNLTQIRQISGANVLIHDPKPGATEGLVVVSGTSDQMRAAQSLIHAFILYGQTIH >Potri.010G251700.1.v4.1 pep chromosome:Pop_tri_v4:10:22408286:22412407:-1 gene:Potri.010G251700.v4.1 transcript:Potri.010G251700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G251700.v4.1 MDTPPITPTKRSQTEPTQIRILCPSLKTGAVIGKGGSTVRHIQSLTGAKIRLLDDPHIPYCEDRIILITLNSGKVPKSDDSNNATSDHNKEDANDGECSSGGGGGEEEGWGPVKKAVVRVFEKIVKGDSEEELSEAVVVTCKVLIGSGGSNQGGSFSKVLEKIRVESGAQVRVSNREQIPACASPGDELILITGSFSAVKKALLSVSSCIQDSPRAETANFGAAGFHGNAMPAQGDLHQWGYGPGNHAADYHPRGYSPNFEEDVVFRLLCQADKVGSLIGKGGSVVRALQNETGASIKIAEGVSDSDERVVVISARENSEQRHSPAQDAVIRVQSRIAEIGFERGARIVARLLVHPQQIGVLLGKGGKIINEMRHVTGASICIFPKEQASKYGSQTEEVVQVLGSLQAVRDALFQITSRLRDTIFPVKPPFSNTAPLPYPPPPFPEMHPPQFRPRPNPTSPGPYPSPVGPFQGMDRSAIPSHPLDHQPPFSYGMDRSGPPNLDRAPYSYGSDRPGHGPPFESSPRSWTAQPFSNGNLRGGADLGSGSVARNGHPASSGNLAPSMAGTSVEVIIPQKLLTHVYGESNSNLTQIRQISGANVLIHDPKPGATEGLVVVSGTSDQMRAAQSLIHAFILYGQTIH >Potri.018G069800.1.v4.1 pep chromosome:Pop_tri_v4:18:8410399:8413123:1 gene:Potri.018G069800.v4.1 transcript:Potri.018G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069800.v4.1 MSKKRGLSLEEKREKILQIFYDSQDFFLLKELEKLGPKKGVISQSVKDVVQSLVDDDLASKDKIGTSVYFWSLPSCAGNQMRTVCRKLDSDLQSSKKRHAELVDQCDALKKGREESDEREEALAELKTIEMKYNELKEEMEKYADNDPAAVQAMKEAIEVAHVAANRWTDNIFTLRQWCSNNFPQAKEQLENMYQEAGITDEFDYLEPLPVISVDAVPDQMLEGAP >Potri.015G074450.8.v4.1 pep chromosome:Pop_tri_v4:15:10031454:10032191:1 gene:Potri.015G074450.v4.1 transcript:Potri.015G074450.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074450.v4.1 MIGMRHLNSKDGFDFHSIYFVQFFYAVASHLRLLLFQIDDRKDVCLVAVAPPIEKLQRLSMQSFFGSFLSISAVRITILGSI >Potri.015G074450.6.v4.1 pep chromosome:Pop_tri_v4:15:10030472:10037732:1 gene:Potri.015G074450.v4.1 transcript:Potri.015G074450.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074450.v4.1 MIGMRHLNSKDGFDFHSIYFVQFFYAVASHLRLLLFQIDDRKDVCLVAVAPPIEKLQRLSMQSFFGSFLSISAVRITILGRCSNGVYNLFWWRRAIFHSGVKTLSVIEHFLIVG >Potri.015G074450.1.v4.1 pep chromosome:Pop_tri_v4:15:10031454:10037488:1 gene:Potri.015G074450.v4.1 transcript:Potri.015G074450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074450.v4.1 MIGMRHLNSKDGFDFHSIYFVQFFYAVASHLRLLLFQIDDRKDVCLVAVAPPIEKLQRLSMQSFFGSFLSISAVVALTAYIISFGGVVQFFTVVLKPSR >Potri.015G074450.7.v4.1 pep chromosome:Pop_tri_v4:15:10031454:10031995:1 gene:Potri.015G074450.v4.1 transcript:Potri.015G074450.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074450.v4.1 MIGMRHLNSKDGFDFHSIYFVQFFYAVASHLRLLLFQIDDRKDVCLVAVAPPIEKWLSFFFSQLLTYQQFNFVLFFVWWFSSPSFIYY >Potri.015G074450.5.v4.1 pep chromosome:Pop_tri_v4:15:10030472:10037732:1 gene:Potri.015G074450.v4.1 transcript:Potri.015G074450.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074450.v4.1 MIGMRHLNSKDGFDFHSIYFVQFFYAVASHLRLLLFQIDDRKDVCLVAVAPPIEKLQRLSMQSFFGSFLSISAVRITILGNVAIILATFTSLGRCSNGVYNLFWWRRAIFHSGVKTLSVIEHFLIVG >Potri.011G079800.4.v4.1 pep chromosome:Pop_tri_v4:11:8585199:8591069:1 gene:Potri.011G079800.v4.1 transcript:Potri.011G079800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079800.v4.1 MSDSGGNSITIPDNVKKTIQSIREITGKKHSDEDVYSVLQDCSMDPDDTAQKLLYLDTFHEVKRKCDWRKGTRGRGTRGGQGNFSDAVGGRNVATRRENGVVRMADRSASNSLHSVQKKNNTAVNPVTKDLTTTSCDPSTLSNRSSIRSHGPQLPAAADAGSSAHTVKSDAFLPPAACTTLPMQVSVSVMLSKERKSTTFFNGLPASTTLASVSGSVSSSSDPILATSMTRNPGAVGTIKCEVGCQRKAAEQNNIQGNKKISLSKSKAVGNNQLSESLQPSTLSTYNDSLVVRSSANDSHSSEELAKSLKTAVLSEDAQAKVSSQLLPEPSITIGHVKFPTHFKVPEALKSSLTFGSFESNSGPGKEYINGGLTFGSFDSNSGPETKCSNAIDGDINSTLAVELTHSTVENARPSSNDSGSSPMQVDHSYQPESPQPVVEKVLISEDNDAPGADSKVVQSKQDAMLLPECHQNSTVQISPSYGFGIMPPLQAAHPVPFIGHETQAPDVSQLSGFVGENSMATSTSSLSQSMQHSVAASPHPLLFRPPYPPNYLQYGHYFNPYFLPPMHQFLSHNGLPQQPSTGNAFLTSAPAAAGVKFPLPLPQFKPGTTARNPTPVALPTLYGSYGSSPMGFNPGPAVSSGSSAGNDDLSAFQLKERNIYTTGSLSEVSSWIPPPGQDISSLQLSSLYQLHPQGQHLTFSPPQAGHGTFPGFYPPVQTMAAPSTVNQLMQQSQATPATVEPVVPPSGPYQQSQLTQINWNS >Potri.011G079800.5.v4.1 pep chromosome:Pop_tri_v4:11:8585195:8592016:1 gene:Potri.011G079800.v4.1 transcript:Potri.011G079800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079800.v4.1 MSDSGGNSITIPDNVKKTIQSIREITGKKHSDEDVYSVLQDCSMDPDDTAQKLLYLDTFHEVKRKCDWRKGTRGRGTRGGQGNFSDAVGGRNVATRRENGVVRMADRSASNSLHSVQKKNNTAVNPVTKDLTTTSCDPSTLSNRSSIRSHGPQLPAAADAGSSAHTVKSDAFLPPAACTTLPMQVSVSVMLSKERKSTTFFNGLPASTTLASVSGSVSSSSDPILATSMTRNPGAVGTIKCEVGCQRKAAEQNNIQGNKKISLSKSKAVGNNQLSESLQPSTLSTYNDSLVVRSSANDSHSSEELAKSLKTVLSEDAQAKVSSQLLPEPSITIGHVKFPTHFKVPEALKSSLTFGSFESNSGPGKEYINGGLTFGSFDSNSGPETKCSNAIDGDINSTLAVELTHSTVENARPSSNDSGSSPMQVDHSYQPESPQPVVEKVLISEDNDAPGADSKVVQSKQDAMLLPECHQNSTVQISPSYGFGIMPPLQAAHPVPFIGHETQAPDVSQLSGFVGENSMATSTSSLSQSMQHSVAASPHPLLFRPPYPPNYLQYGHYFNPYFLPPMHQFLSHNGLPQQPSTGNAFLTSAPAAAGVKFPLPLPQFKPGTTARNPTPVALPTLYGSYGSSPMGFNPGPAVSSGSSAGNDDLSAFQLKERNIYTTGSLSEVSSWIPPPGQDISSLQLSSLYQLHPQGQHLTFSPPQAGHGTFPGFYPPVQTMAAPSTVNQLMQQSQATPATVEPVVPPSGPYQQSQLTQINWNS >Potri.011G079800.7.v4.1 pep chromosome:Pop_tri_v4:11:8585203:8591107:1 gene:Potri.011G079800.v4.1 transcript:Potri.011G079800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079800.v4.1 MSDSGGNSITIPDNVKKTIQSIREITGKKHSDEDVYSVLQDCSMDPDDTAQKLLYLDTFHEVKRKCDWRKGTRGRGTRGGQGNFSDAVGGRNVATRRENGVVRMADRSASNSLHSVQKKNNTAVNPVTKSSIRSHGPQLPAAADAGSSAHTVKSDAFLPPAACTTLPMQVSVSVMLSKERKSTTFFNGLPASTTLASVSGSVSSSSDPILATSMTRNPGAVGTIKCEVGCQRKAAEQNNIQGNKKISLSKSKAVGNNQLSESLQPSTLSTYNDSLVVRSSANDSHSSEELAKSLKTVLSEDAQAKVSSQLLPEPSITIGHVKFPTHFKVPEALKSSLTFGSFESNSGPGKEYINGGLTFGSFDSNSGPETKCSNAIDGDINSTLAVELTHSTVENARPSSNDSGSSPMQVDHSYQPESPQPVVEKVLISEDNDAPGADSKVVQSKQDAMLLPECHQNSTVQISPSYGFGIMPPLQAAHPVPFIGHETQAPDVSQLSGFVGENSMATSTSSLSQSMQHSVAASPHPLLFRPPYPPNYLQYGHYFNPYFLPPMHQFLSHNGLPQQPSTGNAFLTSAPAAAGVKFPLPLPQFKPGTTARNPTPVALPTLYGSYGSSPMGFNPGPAVSSGSSAGNDDLSAFQLKERNIYTTGSLSEVSSWIPPPGQDISSLQLSSLYQLHPQGQHLTFSPPQAGHGTFPGFYPPVQTMAAPSTVNQLMQQSQATPATVEPVVPPSGPYQQSQLTQINWNS >Potri.011G079800.6.v4.1 pep chromosome:Pop_tri_v4:11:8585097:8591236:1 gene:Potri.011G079800.v4.1 transcript:Potri.011G079800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079800.v4.1 MSDSGGNSITIPDNVKKTIQSIREITGKKHSDEDVYSVLQDCSMDPDDTAQKLLYLDTFHEVKRKCDWRKGTRGRGTRGGQGNFSDAVGGRNVATRRENGVVRMADRSASNSLHSVQKKNNTAVNPVTKDLTTTSCDPSTLSNRSSIRSHGPQLPAAADAGSSAHTVKSDAFLPPAACTTLPMQVSVSVMLSKERKSTTFFNGLPASTTLASVSGSVSSSSDPILATSMTRNPGAVGTIKCEVGCQRKAAEQNNIQGNKKISLSKSKAVGNNQLSESLQPSTLSTYNDSLVVRSSANDSHSSEELAKSLKILSEDAQAKVSSQLLPEPSITIGHVKFPTHFKVPEALKSSLTFGSFESNSGPGKEYINGGLTFGSFDSNSGPETKCSNAIDGDINSTLAVELTHSTVENARPSSNDSGSSPMQVDHSYQPESPQPVVEKVLISEDNDAPGADSKVVQSKQDAMLLPECHQNSTVQISPSYGFGIMPPLQAAHPVPFIGHETQAPDVSQLSGFVGENSMATSTSSLSQSMQHSVAASPHPLLFRPPYPPNYLQYGHYFNPYFLPPMHQFLSHNGLPQQPSTGNAFLTSAPAAAGVKFPLPLPQFKPGTTARNPTPVALPTLYGSYGSSPMGFNPGPAVSSGSSAGNDDLSAFQLKERNIYTTGSLSEVSSWIPPPGQDISSLQLSSLYQLHPQGQHLTFSPPQAGHGTFPGFYPPVQTMAAPSTVNQLMQQSQATPATVEPVVPPSGPYQQSQLTQINWNS >Potri.011G079800.8.v4.1 pep chromosome:Pop_tri_v4:11:8585201:8591096:1 gene:Potri.011G079800.v4.1 transcript:Potri.011G079800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079800.v4.1 MSDSGGNSITIPDNVKKTIQSIREITGKKHSDEDVYSVLQDCSMDPDDTAQKLLYLDTFHEVKRKCDWRKGTRGRGTRGGQGNFSDAVGGRNVATRRENGVVRMADRSASNSLHSVQKKNNTAVNPVTKSSIRSHGPQLPAAADAGSSAHTVKSDAFLPPAACTTLPMQVSVSVMLSKERKSTTFFNGLPASTTLASVSGSVSSSSDPILATSMTRNPGAVGTIKCEVGCQRKAAEQNNIQGNKKISLSKSKAVGNNQLSESLQPSTLSTYNDSLVVRSSANDSHSSEELAKSLKILSEDAQAKVSSQLLPEPSITIGHVKFPTHFKVPEALKSSLTFGSFESNSGPGKEYINGGLTFGSFDSNSGPETKCSNAIDGDINSTLAVELTHSTVENARPSSNDSGSSPMQVDHSYQPESPQPVVEKVLISEDNDAPGADSKVVQSKQDAMLLPECHQNSTVQISPSYGFGIMPPLQAAHPVPFIGHETQAPDVSQLSGFVGENSMATSTSSLSQSMQHSVAASPHPLLFRPPYPPNYLQYGHYFNPYFLPPMHQFLSHNGLPQQPSTGNAFLTSAPAAAGVKFPLPLPQFKPGTTARNPTPVALPTLYGSYGSSPMGFNPGPAVSSGSSAGNDDLSAFQLKERNIYTTGSLSEVSSWIPPPGQDISSLQLSSLYQLHPQGQHLTFSPPQAGHGTFPGFYPPVQTMAAPSTVNQLMQQSQATPATVEPVVPPSGPYQQSQLTQINWNS >Potri.001G045600.1.v4.1 pep chromosome:Pop_tri_v4:1:3318496:3319230:-1 gene:Potri.001G045600.v4.1 transcript:Potri.001G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045600.v4.1 MPVDTSSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPDCVNLMLCICADLLDYESLKEAIQGCDGVFHTASPVTDDPEQMLEPAVNGTKNVIMAAAEAKVRRVVFTSSIGTVYMDPNRSPDVVVDESCWSDLEFCKNTKVFN >Potri.006G262200.6.v4.1 pep chromosome:Pop_tri_v4:6:25828765:25845822:-1 gene:Potri.006G262200.v4.1 transcript:Potri.006G262200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262200.v4.1 MSSLVERLRVRSERRPVYNLDESDDDDYVSGKAKNPQEKIERFVRDDAKEDSCQACGESENLLNCETCTYAYHSKCLLPPLKAPFPSNWRCPECVSPLNDIDKLLDCEMRPTVADDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLKTKVNNFNRQMASNNNSEDEFVAIRPEWTTVDRILACRGDEDEKEYLVKYKELPYDECYWEFESDVSAFQPEIEKFNKIQSRSHKPSKQKSSLQDATDSKKKSKEFQQCDHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLREEGISPYLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYYPKNHKKIKKKKSGQVVTESKQDRIKFDVLLTSYEMINLDSTSLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYCSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQILRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDTNESFRQLLETSGKLQLLDKLMVRLKEQGHRVLIYSQFQHMLDLLEDYCTHKKWMYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQLTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDREQVGDEETSLDDEEEDGFLKAFKVANFEYIDEAEAAAEEEAQKAAMETRSTINNSERTEKTNFWEELLKDSYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDDNYEAELTDGETTSSGVVQTVRRPYKKKARVDNTEPIPLMEGEGRSFRVLGFKQNQRAAFVQILMRFGVGDYDWKEFASRLKQKTYEEVENYGRLFLTHIAEDLTDSPNFSDGVPKEGLRIQDVLVRIAVLLLIRDKARFASENPGSALFTDDIILRYPGLKSGKFWKQEHDSLLLHAVLKHGYGRWQAIVDDKDLKVQEIICKELNLPCIRLPVLGQGVAQAQNGSTSNIANAEAPSTQAQANVAGNDVAADVAQGTIDAANPALSYRDSSILFHFRDMQRRQVEFIKKRVLLLERGLNAEYQKIYFGGDIKPNEITSEEADGETKAADSSSLGSIEINAQMIDQLPQMEPIGSEEISAAACDDNPDRLALAEHYNKMCTVLEQNVHETIQISLTNHPASLKLRQGLQPLEMIFEQMNQILSPLQQKSTSEQGTLGPNKHVQAESQSNQAKLHSPSDQQKENNDNAAAVEDVEMKEATTEPKLEETIASSDEEAPHSADPVTPPKEPMCSPGTSEKDVQMVDTSNGTDTNTDAVSNENETTEKSNSGVIVLD >Potri.006G262200.7.v4.1 pep chromosome:Pop_tri_v4:6:25828756:25845353:-1 gene:Potri.006G262200.v4.1 transcript:Potri.006G262200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262200.v4.1 MSSLVERLRVRSERRPVYNLDESDDDDYVSGKAKNPQEKIERFVRDDAKEDSCQACGESENLLNCETCTYAYHSKCLLPPLKAPFPSNWRCPECVSPLNDIDKLLDCEMRPTVADDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLKTKVNNFNRQMASNNNSEDEFVAIRPEWTTVDRILACRGDEDEKEYLVKYKELPYDECYWEFESDVSAFQPEIEKFNKIQSRSHKPSKQKSSLQDATDSKKKSKEFQQCDHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLREEGISPYLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYYPKNHKKIKKKKSGQVVTESKQDRIKFDVLLTSYEMINLDSTSLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYCSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQILRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDTNESFRQLLETSGKLQLLDKLMVRLKEQGHRVLIYSQFQHMLDLLEDYCTHKKWMYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQLTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDREQVGDEETSLDDEEEDGFLKAFKVANFEYIDEAEAAAEEEAQKAAMETRSTINNSERTEKTNFWEELLKDSYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDDNYEAELTDGETTSSGVVQTVRRPYKKKARVDNTEPIPLMEGEGRSFRVLGFKQNQRAAFVQILMRFGVGDYDWKEFASRLKQKTYEEVENYGRLFLTHIAEDLTDSPNFSDGVPKEGLRIQDVLVRIAVLLLIRDKARFASENPGSALFTDDIILRYPGLKSGKFWKQEHDSLLLHAVLKHGYGRWQAIVDDKDLKVQEIICKELNLPCIRLPVLGQGVAQAQNGSTSNIANAEAPSTQAQANVAGNDVAADVAQGTIDAANPALSYRDSSILFHFRDMQRRQVEFIKKRVLLLERGLNAEYQKIYFGGDIKPNEITSEEADGETKAADSSSLGSIEINAQMIDQLPQMEPIGSEEISAAACDDNPDRLALAEHYNKMCTVLEQNVHETIQISLTNHPASLKLRQGLQPLEMIFEQMNQILSPLQQKSTSEQGTLGPNKHVQAESQSNQAKLHSPSDQQKENNDNAAAVEDVEMKEATTEPKLEETIASSDEEAPHSADPVTPPKEPMCSPGTSEKDVQMVDTSNGTDTNTDAVSNENETTEKSNSGVIVLD >Potri.006G262200.4.v4.1 pep chromosome:Pop_tri_v4:6:25828646:25845828:-1 gene:Potri.006G262200.v4.1 transcript:Potri.006G262200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262200.v4.1 MSSLVERLRVRSERRPVYNLDESDDDDYVSGKAKNPQEKIERFVRDDAKEDSCQACGESENLLNCETCTYAYHSKCLLPPLKAPFPSNWRCPECVSPLNDIDKLLDCEMRPTVADDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEKEFLKAFKSNPRLKTKVNNFNRQMASNNNSEDEFVAIRPEWTTVDRILACRGDEDEKEYLVKYKELPYDECYWEFESDVSAFQPEIEKFNKIQSRSHKPSKQKSSLQDATDSKKKSKEFQQCDHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLREEGISPYLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYYPKNHKKIKKKKSGQVVTESKQDRIKFDVLLTSYEMINLDSTSLKPIKWECMIVDEGHRLKNKDSKLFLSLKQYCSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQILRLHKMLAPHLLRRVKKDVMKELPPKKELILRIELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDTNESFRQLLETSGKLQLLDKLMVRLKEQGHRVLIYSQFQHMLDLLEDYCTHKKWMYERIDGKVGGAERQVRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVLIYRLITRGTIEERMMQLTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIDRLLDREQVGDEETSLDDEEEDGFLKAFKVANFEYIDEAEAAAEEEAQKAAMETRSTINNSERTEKTNFWEELLKDSYEVHKVEEFNALGKGKRSRKQMVSVEDDDLAGLEDVSSDGEDDNYEAELTDGETTSSGVVQTVRRPYKKKARVDNTEPIPLMEGEGRSFRVLGFKQNQRAAFVQILMRFGVGDYDWKEFASRLKQKTYEEVENYGRLFLTHIAEDLTDSPNFSDGVPKEGLRIQDVLVRIAVLLLIRDKARFASENPGSALFTDDIILRYPGLKSGKFWKQEHDSLLLHAVLKHGYGRWQAIVDDKDLKVQEIICKELNLPCIRLPVLGQGVAQAQNGSTSNIANAEAPSTQAQANVAGNDVAADVAQGTIDAANPALSYRDSSILFHFRDMQRRQVEFIKKRVLLLERGLNAEYQKIYFGGDIKPNEITSEEADGETKAADSSSLGSIEINAQMIDQLPQMEPIGSEEISAAACDDNPDRLALAEHYNKMCTVLEQNVHETIQISLTNHPASLKLRQGLQPLEMIFEQMNQILSPLQQKSTSEQGTLGPNKHVQAESQSNQAKLHSPSDQQKENNDNAAAVEDVEMKEATTEPKLEETIASSDEEAPHSADPVTPPKEPMCSPGTSEKDVQMVDTSNGTDTNTDAVSNENETTEKSNSGVIVLD >Potri.004G184000.1.v4.1 pep chromosome:Pop_tri_v4:4:19778961:19780089:1 gene:Potri.004G184000.v4.1 transcript:Potri.004G184000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184000.v4.1 MSKKVVAESPLQRNSLASLDQKLAMAKRCSHEGVVAGAKAAVLATIATAIPTMASARMLPWARANLNHTAQALIISTVAGAAYFIVADKTVLATARKNSFKSPSSNIEA >Potri.001G318100.1.v4.1 pep chromosome:Pop_tri_v4:1:32818311:32821311:1 gene:Potri.001G318100.v4.1 transcript:Potri.001G318100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318100.v4.1 MKSKRETTVIEIEDTDDDDFLSQVAQAESEALSASNIKRRKITTVGFNENQENTKTTTTTIKVKDEYKNEGDYMAALKGSKSTLWQQRIKAVGSSKLPPPDYNARGGGGGGSGAVAPEKVCPCGLGVCNVFTANTERNRGRDFYKCPQRQESGGCGFFQWCDESPAANNGGGFGGGGSHGSVSNSVFPELQCSCGAGSCLILTSRKGDNNGKQFYKCPENQGGCGFFKWCDDNTASAGLQASAPKVYINMNDSSNKSFGARTGSSCFKCGKEGHWAKDCGMSTSDSPATFGAGSGSSGTCYKCGKPGHWARDCTSSQYKNVPQQY >Potri.001G460801.1.v4.1 pep chromosome:Pop_tri_v4:1:48624391:48625180:-1 gene:Potri.001G460801.v4.1 transcript:Potri.001G460801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G460801.v4.1 MKNTFFYVIFLLSFIFFLANMNEAAVPCSTVDEKAAACVGFATGKSPKPTPACCTGLQELAKT >Potri.010G080400.1.v4.1 pep chromosome:Pop_tri_v4:10:10779178:10781403:1 gene:Potri.010G080400.v4.1 transcript:Potri.010G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080400.v4.1 MADEKKQNLENKSVLSQPLPERKKNNLPNFFLSVQLKYVKLGYHYLVSNATYLMLMPVLCVIFAHLSTFTVDELWKQLKFNFVTVVLSSTSIVFTATLYFMSRPRQVYLVDFSCYKPGPAHIASRELFMQLSAASEIFTEQSLAFQKKILEKSGYGEMTYAPIGLMRVPPDQSMAESLRETEMVMFGAIDDLLAKTRVKPRDIGILVVNSSLFNPTPSLSARVVNHYKLRGNILSYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVVSTENISRNWYFGNDRSMLVTNCLFRMGAAAVLLSNRTFDRRRSKYQLIRTVRTHKGADDKSFNCVLQREDLDTQRVGVSLSKDLMAIAGEALKTNITTLGPLVLPVSEQLLFFVTLVAKKIFKMKIKPYIPDFKLAFEHFCIHAGGRGVLDELEKNLELTEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRIWQIGFGSGFKCNSAVWRAIRAIDPAKEKNPWMDEIDDFPVRVPRVAPLVY >Potri.002G001900.10.v4.1 pep chromosome:Pop_tri_v4:2:118235:123112:-1 gene:Potri.002G001900.v4.1 transcript:Potri.002G001900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001900.v4.1 MSGPDRRSFSSSCPSISHPSSSSVPDSDMLNGVLNMSPKLSSLSNSIAKLEITVSREASLNWDSENTVGCSSIISIPSDHTPTPKSSAVLRKEIATLEAEILHLERYLLSLYRTAFNEQLPALSNVTKNHLQYKTGSPLQVQSPHNLKVHQQTGDIIHHDQSSPAHGWSGPYSQSCTASLQSTSTREQKNVDSGRHSLADHLRASCLVNDLGIPDRLSEDIVRCISSIYCRLCNPLHSQLGLAASPTSSLSSSSIFSSRNPSDNWSPHCNGDAMFQRQLQGLKGESGPYDTMLEVLNIYLDDASFNYAATMLKNFRSLVQRLEKVDPRKLKREEKLAFWINIHNALVMHAYLAYGTHNRVKSASILKAAYNVGGQCINACVIQSSILGIRSHYSEPWLQALFSPGRKSKTGNIRHVYALEYPEPLVHFALCSGAYSDPAVRVYTAKSIFQELKVAKEEFIQSKVYVHKESKIFLPKILWYFGKDMSIDADGVIEVISECLTEGQLKAMRKCTRGKANKSIHWLSQSSSFRYVIHGELAKGRTMV >Potri.002G001900.11.v4.1 pep chromosome:Pop_tri_v4:2:118235:122708:-1 gene:Potri.002G001900.v4.1 transcript:Potri.002G001900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001900.v4.1 MSGPDRRSFSSSCPSISHPSSSSVPDSDMLNGVLNMSPKLSSLSNSIAKLEITVSREASLNWDSENTVGCSSIISIPSDHTPTPKSSAVLRKEIATLEAEILHLERYLLSLYRTAFNEQLPALSNVTKNHLQYKTGSPLQVQSPHNLKVHQQTGDIIHHDQSSPAHGWSGPYSQSCTASLQSTSTREQKNVDSGRHSLADHLRASCLVNDLGIPDRLSEDIVRCISSIYCRLCNPLHSQLGLAASPTSSLSSSSIFSSRNPSDNWSPHCNGDAMFQRQLQGLKGESGPYDTMLEVLNIYLDDASFNYAATMLKNFRSLVQRLEKVDPRKLKREEKLAFWINIHNALVMHAYLAYGTHNRVKSASILKAAYNVGGQCINACVIQSSILGIRSHYSEPWLQALFSPGRKSKTGNIRHVYALEYPEPLVHFALCSGAYSDPAVRVYTAKSIFQELKVAKEEFIQSKVYVHKESKIFLPKILWYFGKDMSIDADGVIEVISECLTEGQLKAMRKCTRGKANKSIHWLSQSSSFRYVIHGELAKGRTMV >Potri.002G001900.8.v4.1 pep chromosome:Pop_tri_v4:2:118183:123729:-1 gene:Potri.002G001900.v4.1 transcript:Potri.002G001900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001900.v4.1 MSGPDRRSFSSSCPSISHPSSSSVPDSDMLNGVLNMSPKLSSLSNSIAKLEITVSREASLNWDSENTVGCSSIISIPSDHTPTPKSSAVLRKEIATLEAEILHLERYLLSLYRTAFNEQLPALSNVTKNHLQYKTGSPLQVQSPHNLKVHQQTGDIIHHDQSSPAHGWSGPYSQSCTASLQSTSTREQKNVDSGRHSLADHLRASCLVNDLGIPDRLSEDIVRCISSIYCRLCNPLHSQLGLAASPTSSLSSSSIFSSRNPSDNWSPHCNGDAMFQRQLQGLKGESGPYDTMLEVLNIYLDDASFNYAATMLKNFRSLVQRLEKVDPRKLKREEKLAFWINIHNALVMHAYLAYGTHNRVKSASILKAAYNVGGQCINACVIQSSILGIRSHYSEPWLQALFSPGRKSKTGNIRHVYALEYPEPLVHFALCSGAYSDPAVRVYTAKSIFQELKVAKEEFIQSKVYVHKESKIFLPKILWYFGKDMSIDADGVIEVISECLTEGQLKAMRKCTRGKANKSIHWLSQSSSFRYVIHGELAKGRTMV >Potri.002G001900.9.v4.1 pep chromosome:Pop_tri_v4:2:118204:123623:-1 gene:Potri.002G001900.v4.1 transcript:Potri.002G001900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001900.v4.1 MSGPDRRSFSSSCPSISHPSSSSVPDSDMLNGVLNMSPKLSSLSNSIAKLEITVSREASLNWDSENTVGCSSIISIPSDHTPTPKSSAVLRKEIATLEAEILHLERYLLSLYRTAFNEQLPALSNVTKNHLQYKTGSPLQVQSPHNLKVHQQTGDIIHHDQSSPAHGWSGPYSQSCTASLQSTSTREQKNVDSGRHSLADHLRASCLVNDLGIPDRLSEDIVRCISSIYCRLCNPLHSQLGLAASPTSSLSSSSIFSSRNPSDNWSPHCNGDAMFQRQLQGLKGESGPYDTMLEVLNIYLDDASFNYAATMLKNFRSLVQRLEKVDPRKLKREEKLAFWINIHNALVMHAYLAYGTHNRVKSASILKAAYNVGGQCINACVIQSSILGIRSHYSEPWLQALFSPGRKSKTGNIRHVYALEYPEPLVHFALCSGAYSDPAVRVYTAKSIFQELKVAKEEFIQSKVYVHKESKIFLPKILWYFGKDMSIDADGVIEVISECLTEGQLKAMRKCTRGKANKSIHWLSQSSSFRYVIHGELAKGRTMV >Potri.003G112800.1.v4.1 pep chromosome:Pop_tri_v4:3:13531571:13534603:-1 gene:Potri.003G112800.v4.1 transcript:Potri.003G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112800.v4.1 MSSSSTLSLDLLPSSEQLCYVHCNICDTVLAVSVPCTSLFKTVTVRCGHCTNLLPVNMRGLLLPSANQFHLGHSFFSPSHNLLDEIPNPTPNFLINQTNVNDFSVPVRGMADHELPRPPVINRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQMVKKTNVRQQEGEDVLMKDGFFSSANAGVPTPY >Potri.001G075201.1.v4.1 pep chromosome:Pop_tri_v4:1:5664869:5666078:1 gene:Potri.001G075201.v4.1 transcript:Potri.001G075201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075201.v4.1 MASDVGSPYPTSLTILFFLLIMSHTTMAIKEHRFLLGTSRDGEYIKKNDMEYFAHRRHDMGNAKTVSKANIIHIPPPSSRRRGRFRAHRSPLPWQEGVFNDSAHEVPSGPNPISNR >Potri.009G157800.1.v4.1 pep chromosome:Pop_tri_v4:9:12273319:12276279:-1 gene:Potri.009G157800.v4.1 transcript:Potri.009G157800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157800.v4.1 MAKRLQTRTTSSRRRAAMVFLYLLLAILMSSIGLVHGQFLPNTPSVMENGSHVSALYLMGDSSVDCGKNSLFYPLLRRNLSLLPCNGSDSTLLPHLLAQKMGLPNSQPFNSQNGSIEGLIKGVNYGSAHATIISPSSQSHSSFNQQLRQVYETFQLLQLQLGQDIAQDFIKSSMFYLSFGKDDYVDLFLRNSSGVMLKYSGQEFARILVNQMVHAIRTLYDANVRKIISTGILPLGCTPRVVWEWYNSTAIHHGMGCVEEINELVLQYNTMLNEHIVELNVELPDAKIIFCDVYQGMMEVITNPTLFGFRDTKNACCGLGHHGAEIGCVSAETACNQSSAHVWWDLYNPTQALNSLLADSAWSGHPLPGICRPITVQELVSTSHLITGVPSK >Potri.001G221150.1.v4.1 pep chromosome:Pop_tri_v4:1:23118328:23119694:-1 gene:Potri.001G221150.v4.1 transcript:Potri.001G221150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221150.v4.1 MGNRCWRDAIRQDLVSWNHLCTLSGYHDRTIFSVHWSREGIIASGAADDALRFFVESKDGLVDGPSYKLLLKREKAHEMDINSVQWGPGVRFVLDMCY >Potri.001G403200.1.v4.1 pep chromosome:Pop_tri_v4:1:42916026:42919290:1 gene:Potri.001G403200.v4.1 transcript:Potri.001G403200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403200.v4.1 MGDRSFPNEMPDYIPETTTTAVDETVTERNNKDSLTNLLSLPYNILSQRLKASALDVKDTVVRETWGMSGKRVKDYSLYTGALGTAYLLFKAYQVTKNQNDLNLCSDIIKACDSVSRDSGRVTFICGRAGVCALGAVAAKHAGDGRLLDHYLAQFKEIKLPSDLPYELLYGRAGFLWACSFLNKHIGKETISTSRKRAVVDEIIKAGRLTRKGKCPLLYEWHGKRYWGAAHGLAGIMLVLMDLELTPDEVEDVKGTLHYMIKNHFPSGNYPSSEGNKSDCLVHWCHGAPGIALTLAKAAEVFGDKEFLQAAMEAGEVVWNRGLLKRVGICHGISGNTYVFLSLYRLTGNVEYLYRAKAFACFLHDRAQKLVSEGKMHGGDHPYSLFEGFGGMAYLFLDILEPSEARFPAYEL >Potri.004G080100.1.v4.1 pep chromosome:Pop_tri_v4:4:6605168:6606576:1 gene:Potri.004G080100.v4.1 transcript:Potri.004G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080100.v4.1 MLTLKPLPANTKPSFLHSLTPFRPKTQTLTKPFKPHSNPAIQSVLQWNRKPELAGETPRVVVITSGKGGVGKTTTTANVGLSLARLGFSVVSVDADVGLRNLDLLLGLENRVNYTLVEVMNGDCRLDQALVRDKRWSNFELLCISKPRSKLPLGFGGKALVWLVESLKSRQEGCPHFILIDCPAGIDAGFITAITPANEAVLVTTPDITSLRDADRVVGLLECDGIRDIKMIVNRVRTDMIKGEDMMSVLDVQEMLGLALLGVIPEDTEVIRSTNRGYPLVLNKPPTLAGLAFEQAAWRLVEQDSMKAVMVEEEPKKRGFFSFFGV >Potri.001G344600.5.v4.1 pep chromosome:Pop_tri_v4:1:35563696:35567732:-1 gene:Potri.001G344600.v4.1 transcript:Potri.001G344600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344600.v4.1 MESFVNGIESSSQTQNSASKVGSHPLSTSVMSRPSRPPTLKQSRHEGASSGACYASSSNHGIKTVHHSNGSVIYPKNSYKTAHDGIRHEEFLSTAKYFFSPHKGKSEFASSTEVVSQSAESSPENKVSMAENNSCIKPPMDDPKSSNSSGSLESGSIVSGPSEADAGQLNNHIVSQSALSFCPSPSNSIYTATLYAEAKQSFTNTEVSEEASIVEKSVESGEVSNSYDNESRKMSLYRGSTDSDISDESSSSSLSSALYKPHKANDIRWEAIQAVRSHAGALGFNHFKLLKRLGCGDIGSVYLSELSGTRTCFAMKVMDKAALAARKKLLRAQTEREILQSLDHPFLPTLYSHFETEKFSCLLMEFCPGGDLHALRQRQPGKYFPEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVCPTLVKSSNTSSESKNSAYCVQPACIEPTCVIQPDCIQPACFGPRFFSTKAKKGKKSKSKNEMNHQVNPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVVGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSINWALIRCTNPPEVPKQAIMEFLMRADSPKAPTDVNVPGVDVKPSGNYLEIDFF >Potri.001G344600.1.v4.1 pep chromosome:Pop_tri_v4:1:35563274:35567818:-1 gene:Potri.001G344600.v4.1 transcript:Potri.001G344600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344600.v4.1 MESFVNGIESSSQTQNSASKVGSHPLSTSVMSRPSRPPTLKQSRHEGASSGACYASSSNHGIKTVHHSNGSVIYPKNSYKTAHDGIRHEEFLSTAKYFFSPHKGKSEFASSTEVVSQSAESSPENKVSMAENNSCIKPPMDDPKSSNSSGSLESGSIVSGPSEADAGQLNNHIVSQSALSFCPSPSNSIYTATLYAEAKQSFTNTEVSEEASIVEKSVESGEVSNSYDNESRKMSLYRGSTDSDISDESSSSSLSSALYKPHKANDIRWEAIQAVRSHAGALGFNHFKLLKRLGCGDIGSVYLSELSGTRTCFAMKVMDKAALAARKKLLRAQTEREILQSLDHPFLPTLYSHFETEKFSCLLMEFCPGGDLHALRQRQPGKYFPEHAARFYVAEVLLALEYLHMLGIIYRDLKPENVLVREDGHIMLSDFDLSLRCAVCPTLVKSSNTSSESKNSAYCVQPACIEPTCVIQPDCIQPACFGPRFFSTKAKKGKKSKSKNEMNHQVNPLPELMAEPTNARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLYELLFGKTPFKGAGNRATLFNVVGQPLRFPESPTVSFAARDLIRGLLVKEPQHRLAYRRGATEIKQHPFFQSINWALIRCTNPPEVPKQAIMEFLMRADSPKAPTDVNVPGVDVKPSGNYLEIDFF >Potri.019G043000.1.v4.1 pep chromosome:Pop_tri_v4:19:5943671:5946464:-1 gene:Potri.019G043000.v4.1 transcript:Potri.019G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043000.v4.1 MVSSPPPPYSSGNMDPNPTRRILGLGFWIQGLRCLPWMAINFFLKDGLHVDPSTLQLLQNSANLPMVGKPLYGVVSDAVYISGQHRIPYIAIGAFLQAVSWLAIAILSPSGISIVTLSLCLLLSNLGASIAEVANDAIVAEIGKQPALPPKNSQSYSSGELQSFVWIASSAGGVLGNLLGGIAINIYGPQAMFLFFSLAVAVQLFIIITVRESSLNLPKSSSRVGIRKQLSELSVALQKPEIAYSITWLAASNAIIPSLTGTMFFYQTQYLNINSSVLGISKVFGQAAMLLWSVIYNRFLKSVPSKKLIAAIQGVMAAFMLSDVLFVKGVYRSMGVPDLLYVIVFSGLLEVLFFFKILPFNILIAQLCPSGCEGSLMALVASATALSFIVSGYLGVALSSFVGVTGSDFSGFPCALLIQAVCTLLPIYWSSCIPDDKKPESRSKNE >Potri.003G120400.1.v4.1 pep chromosome:Pop_tri_v4:3:14153831:14157261:-1 gene:Potri.003G120400.v4.1 transcript:Potri.003G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120400.v4.1 MAKNVGILAMHIYFPPTCVQQEALEAHDGASKGKYTIGHGQDCMGFCTEVEDVISMSLTAVSSLLEKYNVDPKQIGRLEVGSETVIDRSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESCSWDGRYGLVVCTDSSVYAEGPARPTGGAAAIAMLIGPDAPITFESKFRGSHMSHAYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKKFCAKYEKSTGKQFFISDAAYFVFHSPYNKVN >Potri.009G145000.1.v4.1 pep chromosome:Pop_tri_v4:9:11525260:11528005:1 gene:Potri.009G145000.v4.1 transcript:Potri.009G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G145000.v4.1 MELGSILQFLENKTILVTGATGYLAKIFVEKILRVQPNVKRFYLLLRAADAKSATERLRDEVIGKDLFRVLREKHGASLHSFISEKVTPVPGDISYEDLGVKDSSLKDEMWREIDVVLNFAATTNFDERYDVALGINTLGALHVLNFAKKCVKIKTLVHVSTAYVCGEDAGLILEQPYHMGMAKRGDEKIDINFEKKMVQEKINELKLQDVPEKEITSAMKDFGIERARLFGWPNTYVFTKAMGEMLLVNFKDSLPLLIIRPTMVASTYKEPFPGWIEGVRTIDSVIVGYGKGRVTCFISGPRSTLDVIPADMVVNAIIVAMVARAKQHSEIIYHLGSSFRNPVNISNLHDFIFRYFSEHPWINKEGESVKIGKGIVLSSMSKFYTYMAIRFLLPLKALQLFNILLFKKYQDVYTVLDRRVKLVMRLADLYKPYVFFEGIFDDLNSEKLRIISKETCQETDIFDFDPMNIDWEDYMINVHIPGLVKYVM >Potri.018G049100.1.v4.1 pep chromosome:Pop_tri_v4:18:4365245:4367335:-1 gene:Potri.018G049100.v4.1 transcript:Potri.018G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049100.v4.1 MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPMTPLPDLVTIHPPKEDEEYVAPPVMTANIEIPVV >Potri.003G034900.13.v4.1 pep chromosome:Pop_tri_v4:3:4045359:4051209:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.18.v4.1 pep chromosome:Pop_tri_v4:3:4045513:4051190:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.10.v4.1 pep chromosome:Pop_tri_v4:3:4045367:4051368:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.14.v4.1 pep chromosome:Pop_tri_v4:3:4045358:4051207:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.12.v4.1 pep chromosome:Pop_tri_v4:3:4045367:4051215:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.17.v4.1 pep chromosome:Pop_tri_v4:3:4045513:4051193:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.15.v4.1 pep chromosome:Pop_tri_v4:3:4045403:4051201:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.11.v4.1 pep chromosome:Pop_tri_v4:3:4045699:4051216:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.1.v4.1 pep chromosome:Pop_tri_v4:3:4045367:4051215:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.003G034900.16.v4.1 pep chromosome:Pop_tri_v4:3:4045419:4051193:1 gene:Potri.003G034900.v4.1 transcript:Potri.003G034900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034900.v4.1 MSREQRRGNQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSQSPGRRLWGFPRFAGDCANGHRKSHLGATSDQKFDLTDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLRHEEKRCMEELQCNIVVMKKSQAKVLRLNLVGSSKEPEVVGSSPSNLDEASEKHSKNKNDSPGSIRGPVVTPTSSPEAGTPFTVTEAGTSSVSSDPGTSPFFISETNGELKKEEPLVIVENRDLDESSSDTDSEHLSSVSSLRFEPWVGELLSSHIHSSRHIEDGSQRSNSLAQTSTTIALLEKFSKLDQQTGIGKSNYRTDLDLSGNMREAISLSRNAPLGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDIEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGRHREPLEWSARQKIAAGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGETGVETRVIGTFGYLAPEYAQSGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEFAIDELIDPQLGNHYSEQEVYCMLHAASLCIRRDPHSRPRMSQVLRILEGDMLVDANYMATPGYDVGNRSGRIYIEQQQQQPPQHCGGPLPINEAREGFSGKLSF >Potri.011G011101.1.v4.1 pep chromosome:Pop_tri_v4:11:1003987:1009387:-1 gene:Potri.011G011101.v4.1 transcript:Potri.011G011101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G011101.v4.1 MEGGGGGDGGSSTTSCMMAFGDNSNGLCPMMMMTPLMSSSSSAHHQHPHHHHRANEGDSSISNTLFLPLPPTNNQGHNRIHSNASGSSSMIIDDHNRNHNNNTVTATGCYFMDNNDGSSSSVKAKIMAHPHYHRLLAAYANCQKVGAPPEVVARLEEACASAASIAPANTGCIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKALTLSSPISGCGDGNDRNVSSEEEVDVNNNFIDPQAEDQELKGQLLRRYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAGHPHYYMDNVLGNPFPMDISPTLL >Potri.009G119800.1.v4.1 pep chromosome:Pop_tri_v4:9:10055703:10060517:1 gene:Potri.009G119800.v4.1 transcript:Potri.009G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119800.v4.1 MVKDTAFYDILGVSVDASSAEIKKAYYLKAKVVHPDKNPGDPKAADNFQILGEAYQILSDPQKREGYDKYGKEGITEEIMLDPSAVFGMLFGSELFEDYVGQLALATLSSFENEDDIQDKEMQQQRNQEKMKVLQKERDEKLITNLKIRLETFVEGQENEFTNWAQSEARRLSTAAFGEAMLHTIGYIYTRKAARELGKDRRYMKVPFLAEWVRDKGHLMKSQVMAASGAVSLIQIRDELKKLNGVENQEESMQKILEDKKDSMLQSLWQINVLDIESTLSRVCQAVLKDPIVSRDVLKSRAKGLKKLGTIFQGAKAAYSRANSLRHEDDKAITTGSTS >Potri.003G046750.5.v4.1 pep chromosome:Pop_tri_v4:3:5639207:5643251:1 gene:Potri.003G046750.v4.1 transcript:Potri.003G046750.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046750.v4.1 MRNTHVLMKENKLESILGRRDRLLCSISSSVRLVVFKGNYKLHCSCSSVLVPSNLLFAFMLNMFLIAANC >Potri.003G046750.4.v4.1 pep chromosome:Pop_tri_v4:3:5639060:5641475:1 gene:Potri.003G046750.v4.1 transcript:Potri.003G046750.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046750.v4.1 MLVFSHMFSWTVNMRNTHVLMKENKLESILGRRDRLLCSISSSVRLVVFKGNYKLHCSCSSVLVPSNLLFAFMLNMFLIGF >Potri.003G046750.2.v4.1 pep chromosome:Pop_tri_v4:3:5639168:5641167:1 gene:Potri.003G046750.v4.1 transcript:Potri.003G046750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046750.v4.1 MLVFSHMFSWTVNMRNTHVLMKENKLESILGRRDRLLCSISSSVRLVVFKGNYKLHCSCSSVLVPSNLLFAFMLNMFLIVLPPLVASVLVQIC >Potri.003G046750.3.v4.1 pep chromosome:Pop_tri_v4:3:5639168:5643251:1 gene:Potri.003G046750.v4.1 transcript:Potri.003G046750.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046750.v4.1 MLVFSHMFSWTVNMRNTHVLMKENKLESILGRRDRLLCSISSSVRLVVFKGNYKLHCSCSSVLVPSNLLFAFMLNMFLIAANC >Potri.002G067700.1.v4.1 pep chromosome:Pop_tri_v4:2:4665782:4667415:1 gene:Potri.002G067700.v4.1 transcript:Potri.002G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067700.v4.1 MELEPGLKIIRTRDDISSFTDLRIAKDHAGPLFFSRETENMFTLIGYLKGFRKENTDIKINEDGDRISISGKKPVQEMVLIGWIMHKKEVELRSFRKAFRIPDGVVLDKIKARFDDEESTLTIILPKLVKGILDVELEEVKGEEVDKGRGEATQAVADKAPEGESREPELKRVEQSDQALQNKRVVEQKADAAEIVPERVVDTTLQKKLEPKDQSELEEATPEKAEPPSTTTAATYQETVIKKPKLVLPEKEIEHQESKKAAPAEETRSEELPGLKEQGKKQETPEAKSTREETLEKHPHGPELNQLTEAVMDQETKPPEVSNQPSAQADQGHTEEANHVVKTEISHESEKLETEKNVQEPTIPEPDQEKKLAETPHPAYKSRNNEAQGSKESHGIGNDIKEAATNRKNPVSRRTKLCPPLVVAGSAILVSIVVFVIGWIRAKKR >Potri.015G052800.1.v4.1 pep chromosome:Pop_tri_v4:15:6876785:6881140:1 gene:Potri.015G052800.v4.1 transcript:Potri.015G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G052800.v4.1 MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDAQDLLIECCVEFINLVSSESNEVCSREDKRTIAPEHVLKALEVLGFGEYIEEVYAAYEQHKLETMHDSLKGGKWSNGAAMTEEEAAAAQQRMFDEARARMNGGVTAPKQPETNQSLKS >Potri.006G167500.1.v4.1 pep chromosome:Pop_tri_v4:6:16723208:16724131:1 gene:Potri.006G167500.v4.1 transcript:Potri.006G167500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167500.v4.1 MDSVGDLCRDAIYEVLTRSSMETVGKCRLLSKEYNKLTYESLFIKLHSQRTNIVSGFLIQSMIRKEYQFSFVSTNGLNTHTQIPFDFLPDHVEIVSSTNQGILLCRAHNKSCYYVGDLSIQQWQKIPNPKTQYDTIESGLMIERSKPLRYKIVRFLKPKFRLHKEFYMYHYIRVELFESATRKWKLLDEVKLPHEESLHRMTKVSVNGSLHWLTWKRNVFAFDVKRESHCLLPLPLPASEGNDNKDVRLTKYKGKLVMTCIDRERNFMEVWIMEDHDRKKRSKRHSINIGVLTRKEPHISPFGLLQC >Potri.001G174350.1.v4.1 pep chromosome:Pop_tri_v4:1:14977443:14978018:-1 gene:Potri.001G174350.v4.1 transcript:Potri.001G174350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174350.v4.1 MLSLSLVSQREGKVKITDPTSIAREGLFRV >Potri.004G206900.1.v4.1 pep chromosome:Pop_tri_v4:4:21459435:21463639:1 gene:Potri.004G206900.v4.1 transcript:Potri.004G206900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206900.v4.1 MRLFATQSEYADRLAVALESENHCTSQSRLLIDQISIHQSNIVSLQEQNRRQAEECRQLKALLDDLERKGVRKVVDKAQAPVAAVVIMACNRADYLQRTIESILKYQSSVASKYPLFVSQDGSDPNVRSKAMSYDQLMYIQHLDSEPVQTERPGELIAYYKIARHYKWAMDQLFYKHNFSRVIILEDDMEIAPDFFDYFEAAAALLEKDKSIMAVSSWNDNGQKQFVHDPYELYRSDFFPGLGWMLTKSIWDELSPKWPKAYWDDWLRLKENHKGRQFIRPEVCRTYNFGEHGSSLGQFFQQYLQPIKLNNVKVDWKAKDLSYLTKDNYTKHFADIVRKAKPVHGTDAVLKAYNIEGDVRIQYRDQPDFEWIAHQFGIFEEWKDGIPRTSFKGVVVFRYHTTRRIFLVGPESLRQLGIEDA >Potri.004G206900.4.v4.1 pep chromosome:Pop_tri_v4:4:21459322:21464838:1 gene:Potri.004G206900.v4.1 transcript:Potri.004G206900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206900.v4.1 MRKIRCDYRCLLLIAAVVAFFYIQMRLFATQSEYADRLAVALESENHCTSQSRLLIDQISIHQSNIVSLQEQNRRQAEECRQLKALLDDLERKGVRKVVDKAQAPVAAVVIMACNRADYLQRTIESILKYQSSVASKYPLFVSQDGSDPNVRSKAMSYDQLMYIQHLDSEPVQTERPGELIAYYKIARHYKWAMDQLFYKHNFSRVIILEDDMEIAPDFFDYFEAAAALLEKDKSIMAVSSWNDNGQKQFVHDPYELYRSDFFPGLGWMLTKSIWDELSPKWPKAYWDDWLRLKENHKGRQFIRPEVCRTYNFGEHGSSLGQFFQQYLQPIKLNNVKVDWKAKDLSYLTKDNYTKHFADIVRKAKPVHGTDAVLKAYNIEGDVRIQYRDQPDFEWIAHQFGIFEEWKDGIPRTSFKGVVVFRYHTTRRIFLVGPESLRQLGIEDA >Potri.019G020000.1.v4.1 pep chromosome:Pop_tri_v4:19:3189876:3194891:-1 gene:Potri.019G020000.v4.1 transcript:Potri.019G020000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020000.v4.1 MLFQVGGQGTRPTFFEMAAAQQLPASLRAALTYSIGVLALRRPFLHKVLDHEDEFFSLLMLVLETHSLRTTDASFSESLYGLRRRTVKIRVKKEDVRPNSGDGIQHSGLEKHQRILSVVFLVVLPYFKSKLYSIYNKEREARLQASLWGNGDETVEDAEYFSGGEASLVSRETTIRARLMKKIQKILFVCYPWLHASNEGMSFAYQLLYLLDATGFYSLGLHALGIHVCRATGQELMDTTSRISKIRSNERERLHGPPWLKALQGALLSCGYTMLDYAQTGLIAAVFIFKMMEWWYQSAEERMSAPTVYPPPPPPPAPKVAKEGIPLPPDRTICPLCSQKRANPSVVTISGFVFCYACIFKYLSQYNRCPVTLMPANVDQIRRLFHDM >Potri.003G180700.1.v4.1 pep chromosome:Pop_tri_v4:3:18645071:18648595:-1 gene:Potri.003G180700.v4.1 transcript:Potri.003G180700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180700.v4.1 MAEAEPLEMAYISEVILKKRKHKEESIALTRKTQLELGQHGGRKRKVEDIKRPEQLVREFRDRELDLIRMKQRTKRAKSALSTPNSKLLFVIRLHGKNDMHPKTRKILYNLRLTRIFHGVFLKASPGVLELLQKVEPYVTYGYPNVKNVSDLIYKKGYGKIDNKRVPLIDNNIIEQALGKYGILCLEDIVHEVANAGPHFREILNFLGSFSLNKPKEGLQGKRALFKDGGDTGNRENQINDLIDKMN >Potri.003G142301.1.v4.1 pep chromosome:Pop_tri_v4:3:15792071:15792603:1 gene:Potri.003G142301.v4.1 transcript:Potri.003G142301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142301.v4.1 MFVSLTLAAIINLISFSQGLVEVFRGNNLEGLFVQMFISGFAVVNSWPIYEAIALRNDNGKMPVKTTIMATLLAGAFYAASSFICR >Potri.003G142301.2.v4.1 pep chromosome:Pop_tri_v4:3:15792266:15792631:1 gene:Potri.003G142301.v4.1 transcript:Potri.003G142301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142301.v4.1 MTMGKCLSKPPLWQHFWQVHSMQHLLSSAGKNMRAFDSCCKGSDGFTLFADLQQHSLPRMYF >Potri.009G146400.1.v4.1 pep chromosome:Pop_tri_v4:9:11598525:11605996:-1 gene:Potri.009G146400.v4.1 transcript:Potri.009G146400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146400.v4.1 MEEEPKNGNSETPVENDEDAVIGPGPAPKARAKRPLQFEQAYLDSLPSANMYEKSYMHRDVVTHVAVSAADFFITGSVDGHLKFWKKKPIGIEFAKHFRSHLGPIEGLAVSLDGLLCCTISNDQSVKIYDVVNYDMMAMIHLPYVPGSAEWVYKQGDVKARLAISDRNSLVVHIYDARAGSNEPIISKEIHLEPVKVMKYNPVFDTVISVDAKGLIEYWSPTTLKFPESEVKFRLKSDTNLFEIVKCKTSVSTMEVSPDGKQFSITSPDRRIRVFWFRTGKLRRVYDESLEVAQDLQRSDAPMYRLEAIDFGRRMAVDKEIEKTETAPQPNAIFDESSNFLIYATLLGIKVVNLQTNKVARILGKVENNDRFLQIALYQGDRSSKKVRKIPTAAANVNESKDPLTDPTLLCCAFKKHRIYLFSRREPEEPEDATKGRDVFNEKPPPDELLAVSDIGKAATTSLPDTVILHTTMGDVHMRLYPEECPKTVENFTTHCRNGYYDNLIFHRVIKGFMIQTGDPLGDGTGGQSIWGREFEDEFHKSLRHDRPFTVSMANAGPNTNGSQFFITTVATPWLDNKHTVFGRVAKGMDVVQAIEKVKTDRGDTPYQDVKILNVTVPKS >Potri.012G040600.1.v4.1 pep chromosome:Pop_tri_v4:12:3596554:3598183:1 gene:Potri.012G040600.v4.1 transcript:Potri.012G040600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G040600.v4.1 MVKFEIMDEDNSLNIRNWGYYEPTSVKGNLGLQLLSPTMAEKPFLGARSNAIMTNVNGGFHHRDIGVSQPMFPMEYMRDVWIGHREKLLSMLPENHNYEALLPETASTHHVQVFQPPDSENDEMLDQVEESGFVEKENGPNKKRQRANAPKSPKAKKGTRAPRVPKPEGSPSVQRVRTAKKTAEIMINGINMDMSVIPIPVCSCTGNPQQSYRWGCGGWQSACCTTCISMYPLPMSTKRRGARIAGRKMSSGAFKKVLEKLADEGYDFSNPIDLRTHWAKHGTNKFVTIR >Potri.012G118000.1.v4.1 pep chromosome:Pop_tri_v4:12:13641521:13643315:-1 gene:Potri.012G118000.v4.1 transcript:Potri.012G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118000.v4.1 MAIMLNNITTFLFFLLVNSLLFYSIQSLARPRNPNSLILGLTPASRASLPTHPKASTSSRKKLTDVLDMMEPLREVRDGYLISLSIGTPPQVIQVYMDTGSDLTWAPCGNISFDCIECDDYRNNRMMASFSPSHSSSSHRDSCTSPFCIDVHSSDNPLDPCTMAGCSLSTLVKATCSWPCPPFAYTYGAGGVVAGTLTRDTLRVHGRNLGVTQEIPRFCFGCVASSYREPIGIAGFGRGALSLPSQLGFLRKGFSHCFLAFKYANNPNISSPLIIGDIALTSKDDMQFTPMLKSPMYPNYYYVGLEAITVGNVSATEVPSSLREFDSLGNGGMLVDSGTTYTHLPEPFYSQVLSVLQSIINYPRATDMEMRTGFDLCYKVPCQNNSILTGDLLPSITFHFLNNASLVLSRGSHFYAMSAPSNSTVVKCLLFQSMDDGDYGPAGVLGSFQQQDVEVVYDMEKERIGFRPMDCASAASFQGFNKT >Potri.017G088100.1.v4.1 pep chromosome:Pop_tri_v4:17:10112716:10114039:-1 gene:Potri.017G088100.v4.1 transcript:Potri.017G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088100.v4.1 MGDVEIPPLVKQIVRGLRGLAFLATILATSFMAASHERAIFPFDYKADYTDLMLFKAFLGANIAASLYSFFFVCLPPKSLLWRLAIVLDVIMFGLLVAMDSAAIAAAYLHKHGDSQAFWPPICSQVPTYCYRVILAISIGFGGVFMFLLIIIISISVILNPLLV >Potri.019G124100.2.v4.1 pep chromosome:Pop_tri_v4:19:14847651:14848277:1 gene:Potri.019G124100.v4.1 transcript:Potri.019G124100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124100.v4.1 MTSQALPISTTETTSSTTTTPTLTTLRTFLTRLFSSLRTTLSHRRPWLELVDRTAFSRPLSLSDATTRVRKNFSYFKINYLTILAIVLAFSLLSHPFSLLTLLSLVAAWLGLYTFRPSDQPLVVLGRTMSNREVLGILVLVTVIVVFLTSVGSLIITAVLVGVGIVCVHGAFRDPEDLFMDDQDTAGSTGLFSFIGGPSVGSNVIPLV >Potri.005G072200.4.v4.1 pep chromosome:Pop_tri_v4:5:4782120:4789285:1 gene:Potri.005G072200.v4.1 transcript:Potri.005G072200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072200.v4.1 MAKKENDDVSKTFHSLVESADRKFARVRDLPLYGRSPQNHYFQKVFKAYMRLWKYQQDNRSKLVDSGLNRWEIGEIASRIGQLYYNQYMRSNEARFLVEAYVFYEAILERKYFDAGGSGKAKVDVGVRFKELRFYARFLLVALILSKMDMVRLLAERFKALVDDCKTNFRETNFKEWKLVVQEIFRFMEVDSAFTNVRPLRYCALFDSHAASRPYLARFHARKIVKFRDALLTSYHKNEVKFAELTLDTYRMMQCLEWEPSESFYQKRPVESVYKKHPVELSENGTLIDHSGASGLININLAADLTDPSLPSNPRKAVLYRPSVTYLLAVMATICEELPPESIALIYLSASGKAARSNVFQVESSGESKKSSKDRVVSGAFSEQKIHALESHCNGKRESSDYHDNCLWLGPRGNGGSNALYPGDIIPFTRRPLFLIIDSDNSHAFKAGPAWCRKGRASCFASFTFEASIQESICC >Potri.005G072200.1.v4.1 pep chromosome:Pop_tri_v4:5:4781982:4789445:1 gene:Potri.005G072200.v4.1 transcript:Potri.005G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072200.v4.1 MAKKENDDVSKTFHSLVESADRKFARVRDLPLYGRSPQNHYFQKVFKAYMRLWKYQQDNRSKLVDSGLNRWEIGEIASRIGQLYYNQYMRSNEARFLVEAYVFYEAILERKYFDAGGSGKAKVDVGVRFKELRFYARFLLVALILSKMDMVRLLAERFKALVDDCKTNFRETNFKEWKLVVQEIFRFMEVDSAFTNVRPLRYCALFDSHAASRPYLARFHARKIVKFRDALLTSYHKNEVKFAELTLDTYRMMQCLEWEPSESFYQKRPVESVYKKHPVELSENGTLIDHSGASGLININLAADLTDPSLPSNPRKAVLYRPSVTYLLAVMATICEELPPESIALIYLSASGKAARSNVFQVESSGESKKSSKDRVVSGAFSEQKIHALESHCNGKRESSDYHDNCLWLGPRGNGGSNALYPGDIIPFTRRPLFLIIDSDNSHAFKVLHGAERGEPAALLLSPLRPAFKNPSAVDTTHNGSQFTFFLTAPLQAFCQMVGITSDSDMDSYNDAEEILSLAFSEWEVILCTSKGLDLVWAQVLSDPFLRRLILRFIFCRSVLSVFCPPEDDEQYLPVCLPHLPSSVSARSEVVQSAIIQLANHLKVADCFQFDDKEAR >Potri.010G136800.1.v4.1 pep chromosome:Pop_tri_v4:10:15153002:15159615:-1 gene:Potri.010G136800.v4.1 transcript:Potri.010G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136800.v4.1 MAPVLSRSLATSASLISLSSSIRHPNNKVFNLRSVFLPQNNGLRKGFSCSGLKWKPEKRSDQVSIRCKAAVAEKESTDTSGEKFEYQAEVSRLLDLIVHSLYSHKEVFLRELVSNASDALDKLRFLSVTEPSLLGDAGDLEIRIRSDPDNGIITITDTGIGMTKEELVDCLGTIAQSGTSKFLKALKENKDVGADNDLIGQFGVGFYSAFLVSEKVVVSTKSPKSDKQYVWESEADSSSYVIKEETDPEKILRRGTEITLYLKEDDKYEFSEAVRIQGLVKNYSQFVAFPIYTWVEKSRTVEVEEEEEPKGEEVPEGEKKITKKTKTEKYWDWELANETKPIWMRNPKEVEKDEYQEFYKKTFNEFLDPLAYTHFTTEGEVEFRSVLYIPGMGPLNNEEVINPKTKNIRLHVKRVFISDDFDGELFPRYLSFVRGVVDSDDLPLNVSREILQESRIVRIMRKRLVRKAFDMVQDLSESENKEDYKKFWENFGKFLKLGCVEDSGNHKRITPLLRFYTSKSEEELTSLDEYVENMGENQKAIYYLATDSLKSAKSAPFLEKLLQKDIEVLYLIEPIDEVAIQNLQTYKEKKFVDISKEDLELGDDDEVKDRETKQEYNLLCDWIKQQLGEKVAKVQVSKRLSSSPCVLVSGKFGWSANMERLMKAQTLGDQSSLEFMRGRRILEINPDHPIIKDMNAACKNAPDSDDAKRAVDLLYDTALISSGFTPDSPAELGGKIYEMMAMALGGRWGRSDGDKEEAAEGNAAESDANASEVSEPQVIEPSEVRTESDPWQD >Potri.011G061300.1.v4.1 pep chromosome:Pop_tri_v4:11:5056403:5058453:-1 gene:Potri.011G061300.v4.1 transcript:Potri.011G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G061300.v4.1 MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTRNEFSLESKSTIGVEFATRSIRVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANNVIMLVGNKADLRHLRAVATEDAKGFAERESTFFMETSALESMNVENAFTEVLTQIYRVVSRKALDVGDDSTYLPKGQTINVGSRDDVSAVKKAGCCSS >Potri.017G145550.1.v4.1 pep chromosome:Pop_tri_v4:17:14604590:14607488:-1 gene:Potri.017G145550.v4.1 transcript:Potri.017G145550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145550.v4.1 MATSVQRFFSTLCRVTGCVKLHLYFTKAVFFILGLISSHSFVNNAVVFLWMVYLIDLGQLLPLATIAVNVQEGLYVICTFLIANIADAYTGPYKAIVFSTASYAFGLLLWFLAIRFWGNSPSTEIILLYLMMVLANVGKASQDPCLKAFLRDQCWAISRTQTHTIYVVRQLAYSTELLVCINEAYKQYKQRRRR >Potri.001G424000.1.v4.1 pep chromosome:Pop_tri_v4:1:45099692:45101934:-1 gene:Potri.001G424000.v4.1 transcript:Potri.001G424000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G424000.v4.1 MHDFCFTIPYGLVLVIGGVIGYLKKGSMASLGGGAGTGLVLIFAGYLSLKAFEKRKNSFLGLAIETVCAAILTFVMGQRYMQTSKIMPAGIVAGISALMTLFYLYKIAAGGNHIPAKAE >Potri.005G256700.5.v4.1 pep chromosome:Pop_tri_v4:5:24737545:24743395:-1 gene:Potri.005G256700.v4.1 transcript:Potri.005G256700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256700.v4.1 MAYQAIPGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPDAARRACADPTPIIDGRRANCNLASLGRPRPPLPYGRPRPAAPYITSVQTPRGTYVGSFGYQQPVSYGYQQGLMYNPYGYAAYGPEYVYQQGAYNPYATGHQYLQIYGVPGTVNSGMYPYGQLSQNVPGGHGYTSMPGYAVPGHQIVQFGGPSVNAITTSSMPTIQAPYHTGIAAAVPAQSQFIVPAPSPQYMQGSGSDQTTG >Potri.005G256700.6.v4.1 pep chromosome:Pop_tri_v4:5:24741850:24742657:-1 gene:Potri.005G256700.v4.1 transcript:Potri.005G256700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256700.v4.1 MAYQAIPGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPDAARRACADPTPIIDGRRANCNLASLGRPRPPLPYGRPRPAAPYITSVQTPRGTYVGSFGYQQPVSYGYQQGLMYNPYG >Potri.008G095500.4.v4.1 pep chromosome:Pop_tri_v4:8:5957308:5960627:1 gene:Potri.008G095500.v4.1 transcript:Potri.008G095500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095500.v4.1 MGFSGIPSSIILPTEGIIGIALKMYVVESKGGAIACMLLALFFLGTWPAVMTLLERRGRLPQHTYLDYSITNLLAAVIIAFTFGEIGKSTHEAPNFLTQLSQLSDNWPSVMFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINNAKILFPGVGCFLIAVCLASAVHSSNAADNRAKLSCLSNDDKLRSVATDISTSREAFPEKERRAKFGTAGFLVELENRRAIKVFGKSTLIGLAITFFAGICFSLFSPAFNLASNDQWHTLKKGVPKLVVYTAFFWFSVSCFVLAIILNVTFLYHPVLDLPRSSLKAYINDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRRTYVFLVSMLLMFVAAVAVLMASAGHRK >Potri.008G095500.5.v4.1 pep chromosome:Pop_tri_v4:8:5957532:5960559:1 gene:Potri.008G095500.v4.1 transcript:Potri.008G095500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095500.v4.1 MLSKCHFACRFLHALLFYTFFFDFLFPDFLLLTTTTLYRQPDDFFFLKKNRRNHFRFAQYGLLRGTTQNEWLNLFLCLKGFSGIPSSIILPTEGIIGIALKMYVVESKGGAIACMLLALFFLGTWPAVMTLLERRGRLPQHTYLDYSITNLLAAVIIAFTFGEIGKSTHEAPNFLTQLSQLSDNWPSVMFAMAGGVVLSLGNLSTQYAWAFVGLSVTEVITASITVVIGTTLNYFLDDKINNAKILFPGVGCFLIAVCLASAVHSSNAADNRAKLSCLSNDDKLRSVATDISTSREAFPEKERRAKFGTAGFLVELENRRAIKVFGKSTLIGLAITFFAGICFSLFSPAFNLASNDQWHTLKKGVPKLVVYTAFFWFSVSCFVLAIILNVTFLYHPVLDLPRSSLKAYINDWNGRGWAFLAGLLCGFGNGLQFMGGQAAGYAAADAVQALPLVSTFWGILLFGEYRKSSRRTYVFLVSMLLMFVAAVAVLMASAGHRK >Potri.001G217950.1.v4.1 pep chromosome:Pop_tri_v4:1:22780313:22780863:-1 gene:Potri.001G217950.v4.1 transcript:Potri.001G217950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217950.v4.1 MRFKDKEGLSDIYSWNFFRSGSPPCSSQSKQAKLMQTNCIDFFINPSYLSLKKSTKNLLLFFFFFAELNSEKGMSTEIKKVVVIEGGIGGSLLSLSNPMLMLPSVCV >Potri.008G057732.1.v4.1 pep chromosome:Pop_tri_v4:8:3407020:3408111:-1 gene:Potri.008G057732.v4.1 transcript:Potri.008G057732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057732.v4.1 MVECSESFKGVKRYQRNNSHFENGKMNFLPPVMVDIGYGCVFYKHFQVDGGVELTGP >Potri.004G166100.1.v4.1 pep chromosome:Pop_tri_v4:4:18509248:18509544:1 gene:Potri.004G166100.v4.1 transcript:Potri.004G166100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166100.v4.1 MGIRLPSMIHNVKHIIKGKSLHCRNQPDVPKGHVAIYVGEMQRKRFVVPISYLSHPSFQDLLNRAEEEFGFNPPMGCLTIPCREEAFINLASTLQASS >Potri.014G012000.1.v4.1 pep chromosome:Pop_tri_v4:14:638784:642445:-1 gene:Potri.014G012000.v4.1 transcript:Potri.014G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012000.v4.1 MAAERFLTFAMEETLKRVISIAAEGIGLAWGLEGQLLKLEESLTMIQAVLQDAARRPVTDKSAKLWLEKLQGAAYNAEDVLDEFAYEILRKDQKKGKVRDFFSSHNPAAFRLNMGRKVQKINEALDEIQKLATFFGLGIASQHVESAPEVIRDIDRQTDSLLESSEVVVGREDDVSKVMKLLIGSIGQQVLSVVPIVGMAGLGKTTIAKKVCEVVTEKKLFDVIIWVCVSNDFSKRRILGEMLQDVDGTTLSNLNAVMKTLKEKLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKNGNAVVVTTRIKEVADTMKTSPGSQHEPGQLSDDQCWSIIKQKVSRGGRETIASDLESIGKDIAKKCGGIPLLAKVLGGTLHGKQAQEWKSILNSRIWDSRDGDKALRILRLSFDHLSSPSLKKCFAYCSIFPKDFEIEREELVQLWMAEGFLRPSNGRMEDEGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHILHLNLISRGDVEAAFPVGDARKLRTVFSMVDVFNGSWKFKSLRTLKLKKSDIIELPDSIWKLRHLRYLDVSDTAIRALPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFSDPKLVPDEVRLLTRLQTLPLFVVGPNHMVEELGCLNELRGALKICKLEEVRDREEAEKAKLRQKRMNKLVLEWSDDEGNSGVNSEDVLEGLQPHPNIRSLTIEGYGGENFSSWMSTILLHNLMELRLKDCSKNRQLPTLGCLPRLKILEMSGMPNVKCIGNEFYSSSGSTAVLFPALKELTLSKMDGLEEWMVPGGEVVAVFPCLEKLSIEKCGKLESIPICRLSSLVKFEISDCEELRYLSGEFHGFTSLQILRIWRCPKLASIPSVQRCTALVKLDISWCSELISIPGDFRELKCSLKELFIKGCKLGALPSGLQCCASLEDLRINDCGELIHISDLQELSSLRRLWIRGCDKLISFDWHGLRQLPSLVYLEITTCPSLSDFPEDDWLGGLTQLEELSIGGFSKEMEAFPAGVLNSIQHLNLSGSLKSLRIDGWDKLKSVPHQLQHLTALNALSIYDFNGEEFEEALPEWLANLSSLHFLTITNCKNLKYLPSSTAIQRLSKLKTLRILRGCPHLKENCRKENGSEWPKISHIPSIYIRGARG >Potri.016G129400.2.v4.1 pep chromosome:Pop_tri_v4:16:13256572:13258222:1 gene:Potri.016G129400.v4.1 transcript:Potri.016G129400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129400.v4.1 MDNMGSSLESDPSRRPMRRRSHTRKTNTQDRVINMAEARREIAHALHLHRSSSASSPSSASSGASRRDPTILLGNNYSNYGINNKSCFARNSQYLCYSLTDTLPTPEPVWSTTTTTTVLTTPPPMESTEFEWGENQAASYSWWLGFLKTLDGNSNTRPCLKDEAEMISDPRVFGQCEINVSGLEESSHDQLTSISTDEWLTFPNNEDQDERQLVP >Potri.001G213650.1.v4.1 pep chromosome:Pop_tri_v4:1:22062889:22063451:1 gene:Potri.001G213650.v4.1 transcript:Potri.001G213650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213650.v4.1 MKNLSLFPVFSMLVLFFSLGAIGGEAVTCGYSPIKILNCSKLACVQECVDKYGGFTNGACIGIDSCCCRVISP >Potri.011G130666.1.v4.1 pep chromosome:Pop_tri_v4:11:16432331:16434252:1 gene:Potri.011G130666.v4.1 transcript:Potri.011G130666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130666.v4.1 MCLSTGEADVFNKYKDDKGNLKENLTKDVKGLLSLYEASYLSAHGEIILDEALVFTETHLKSMVARLVSPLADQVTHALNRPAHGGIVKYEQWYSISFYEQDELHIEPVLKPANSNFNTLQKLYQEELRNLSKWWKELEFTTKLPFARDRLIECYIVVLGLFIQPQYALARQILTKSTMLYPYWMTYMMYMILTKSTMLVSILDDIYDVHGTIEELEQFTKMIERWDTSMEDLPDYTKVWFEALFVSLNHVPTLEEYRKNGVFSCTYPLLTVSSLCGMGKIASKEVFDWLFTHPKILASTSDLLRLIDDVASHERPCVECCMKQHGVSKQDAHDELTKLVERTLKESDWKDINEEPLGVPKKVLQVFLNFARVADVFYSDYDAFTESITMAKDMLAAFLINPMPIE >Potri.012G089500.6.v4.1 pep chromosome:Pop_tri_v4:12:11470867:11473223:-1 gene:Potri.012G089500.v4.1 transcript:Potri.012G089500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089500.v4.1 MYSSATATISSIKTTISPNPKPTKKNPLFPFEKTKLLSFSSFKSLKCIHSPPSNPKPSNSSPLTCTAVTFSPSQTTELVPSKLHHLITEFKSLSQPIDRVKRLLHYATLLSPLPDSSRVDSNRVMGCTALVWLEAQLDKYGKMMFWADSDSEITRGFCACLIWVLDGALPEEVLKVTTEDLAALNIGLHGGARSRVNTWHNVLVSMQKRVRILVAERDGKKDFDPFPSLTVSSDGVQAKGSYAEAQARYLFPDESKVQEIVNVLKEKKIGVVSLSYMDPEVQGILTAAQKHWPHIHISDTLVMADSAVEMAEGGCKFITVLGVDFMSENVRATLDQAGFGEVGVYRMSNERIGGSLADAASTPA >Potri.012G089500.3.v4.1 pep chromosome:Pop_tri_v4:12:11470512:11473313:-1 gene:Potri.012G089500.v4.1 transcript:Potri.012G089500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089500.v4.1 MYSSATATISSIKTTISPNPKPTKKNPLFPFEKTKLLSFSSFKSLKCIHSPPSNPKPSNSSPLTCTAVTFSPSQTTELVPSKLHHLITEFKSLSQPIDRVKRLLHYATLLSPLPDSSRVDSNRVMGCTALVWLEAQLDKYGKMMFWADSDSEITRGFCACLIWVLDGALPEEVLKVTTEDLAALNIGLHGGARSRVNTWHNVLVSMQKRVRILVAERDGKKDFDPFPSLTVSSDGVQAKGSYAEAQARYLFPDESKVQEIVNVLKEKKIGVVSLSYMDPEVQGILTAAQKHWPHIHISDTLVMADSAVEMAEGGCKFITVLGVDFMSENVRATLDQAGFGEVFHSCCSFFLYRFPWSFLYWLVCTGCQMSALVVL >Potri.009G025001.1.v4.1 pep chromosome:Pop_tri_v4:9:3676626:3677030:-1 gene:Potri.009G025001.v4.1 transcript:Potri.009G025001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025001.v4.1 MDLMVYKGLLAITVYFERESASTFTFELWTMSGHGHSYAETSLNLLGIWNDKEIMVLNRNGSWISFVYYGGGRNKNIPTGRLTFFFSSSSHQLTWFHLLETAEYVYEEGQNATSPCVYVKTQTLSYYQPHLSCC >Potri.001G273700.1.v4.1 pep chromosome:Pop_tri_v4:1:28753447:28755184:1 gene:Potri.001G273700.v4.1 transcript:Potri.001G273700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273700.v4.1 MAFTVDRCEEMVFTVESQKAVPAPFLTKTYQLVDDPLTDHIVSWGDDETSFVVWRPPEFSRDLLPNYFKHNNFSSFVRQLNTYGFKKVVADRWEFANEYFRKGAKHLLSEIHRRKTSQHHHQHYPEQPPQFFQPEDGFSWIDPPFQSPKSSTDILTALSEDNQRLRRKNCMLLSELSHMKNLYNDIIYFIQNHVKPMPYEQKAYNAAPKLIELGSSCQDQTICSSIQRAKNGTVLGQHSLTLSTEESTSPVKLFGVPLSGNKRLHPEVID >Potri.002G075200.1.v4.1 pep chromosome:Pop_tri_v4:2:5255508:5258751:-1 gene:Potri.002G075200.v4.1 transcript:Potri.002G075200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075200.v4.1 MDLDLQNLARFLQSLNTPHSIHQGKQLHILFFKKGLIQSTLSLANRLLQMYTRCGSMTNAHKLFDEMPHRNCFSWNTMIEGYMKSGNKERSIRLFDMMSNKNDYSWNVVFSGFAKAGEMEIARRLFNEMPNRNGVVWNSMIHSYARNGSPREAVRLFKELNLDPLDKSCCDTFVLATVIGACTDLGEIQCGKQIHARILIDNMELDSVLTSSLINLYGKCGDLDSAHCVLNTMEEPDDFSLSALITGYANHGRMNDARRAFYRKSNSCVVVWNSMISGYVTNNEEIEAFLLFNDMQKKGLKVDFSTLATILSACSSLCNSQHGKQMHAYACKVGLICDNVVASAFIDAYSKCGSLNDACKLFSELKTYDTILLNSMITVYSNSGKIEDAKQIFNTMPSKSLISWNSMIVGLSQNGCPVDALDLFCMMNKLDLRMNRFNLTSVISACASISSLELGEQIFARATVVGLDSDEVISTSLVDFYCKCGFIEIGRKLFDTMMKSDEISWNSMLMGYATNGHGLEALTLFNEMRHAGVRPTEITFTGVLSACDHCGLVEEGWRWFNIMQYDYHIDPGIEHYSCMVDLFARAGYLEEAMNLIKRMPFEADASMWSSVLRGCMAHGEKDLGEKVAQQIIELDPENSGAYVQLSSIFATSGDWESSALVRKVMQERQVQKYPGYSWANC >Potri.002G075200.2.v4.1 pep chromosome:Pop_tri_v4:2:5255505:5258169:-1 gene:Potri.002G075200.v4.1 transcript:Potri.002G075200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075200.v4.1 MELDSVLTSSLINLYGKCGDLDSAHCVLNTMEEPDDFSLSALITGYANHGRMNDARRAFYRKSNSCVVVWNSMISGYVTNNEEIEAFLLFNDMQKKGLKVDFSTLATILSACSSLCNSQHGKQMHAYACKVGLICDNVVASAFIDAYSKCGSLNDACKLFSELKTYDTILLNSMITVYSNSGKIEDAKQIFNTMPSKSLISWNSMIVGLSQNGCPVDALDLFCMMNKLDLRMNRFNLTSVISACASISSLELGEQIFARATVVGLDSDEVISTSLVDFYCKCGFIEIGRKLFDTMMKSDEISWNSMLMGYATNGHGLEALTLFNEMRHAGVRPTEITFTGVLSACDHCGLVEEGWRWFNIMQYDYHIDPGIEHYSCMVDLFARAGYLEEAMNLIKRMPFEADASMWSSVLRGCMAHGEKDLGEKVAQQIIELDPENSGAYVQLSSIFATSGDWESSALVRKVMQERQVQKYPGYSWANC >Potri.013G084800.6.v4.1 pep chromosome:Pop_tri_v4:13:7685571:7692374:1 gene:Potri.013G084800.v4.1 transcript:Potri.013G084800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084800.v4.1 MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKDYEEARAKGVDSYDVELENVIDKLIVECDKKIGRALKRLEDEDAKAAIAISVTEVTQTPEVIELSKQIKEKLKEADKYDLEGTTDFKIQALEEVEKLRSERAEKQSAQLLEAFNKDRASLPQPMPNPPPSAPMPLPTPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPTVDSSKYSAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQVWKMKKIACLDYLSIDAFSFTACFFRLIVQKLEICVLNIPKPSQLLMVMKLICSKFCCSLRIKSNG >Potri.013G084800.5.v4.1 pep chromosome:Pop_tri_v4:13:7685572:7692667:1 gene:Potri.013G084800.v4.1 transcript:Potri.013G084800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084800.v4.1 MDAMRKQLDVLMGANRNGDVREVNRKYYDRDVCRLYLVGLCPHELFQLTKMDMGPCPKVHSLQLRKDYEEARAKGVDSYDVELENVIDKLIVECDKKIGRALKRLEDEDAKAAIAISVTEVTQTPEVIELSKQIKEKLKEADKYDLEGTTDFKIQALEEVEKLRSERAEKQSAQLLEAFNKDRASLPQPMPNPPPSAPMPLPTPDPRTQEMINEKLKKAEDLGEQGMVDEAQKALEEAEALKKLPARQEPTVDSSKYSAADVRITDQKLRVCDICGAFLSVYDSDRRLADHFGGKLHLGYMQIREKLTELQENKHRKGDRYDDRRSKERSRDRDRESSRDQDRGDSRDRGRDYDRRSRDHDRYNDRDRGYDREPERSHSYDSRSLRRSRSRSREHTRDYDRHRRYDRY >Potri.004G157400.1.v4.1 pep chromosome:Pop_tri_v4:4:17832361:17837980:-1 gene:Potri.004G157400.v4.1 transcript:Potri.004G157400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157400.v4.1 MSGDSVANLSNGNANTQQPDPRKTYQVVVAATKDMGIGKDGKLPWKLPSDLKFFKDLTLTTMDSGKKNAVIMGRKTWESIPLEHRPLPGRLNVVLTRSGSFDIATAENVVICGSMGSALELLAASPYCLSIEKVFVIGGGQILRESLNAPGCDAIHITEIETDFDCDTFIPAIDTSVFQPWYSSFPKVENDIRHCFTTYVRVRSSTIESHSQNNGENSDGNSENSKFEVKKFSFLPKMVFERHEEYLYLRMVQDIISDGNLKDDRTGTGTLSKFGCQMRFNLRKNFPLLTTKKVFWRGVVEELLWFISGSTSAKVLQEKGIHIWDGNASREYLDSIGLKDREEGDLGPVYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIKNNPNDRRIIISAWNPSDLKLMALPPCHMFAQFYVANGELSCQMYQRSADMGLGVPFNIASYALLTCIIAHVCDLIPGDFVHVIGDAHVYHTHIRPLQEQLRKLPKPFPILKINSEKKDIDTFVAADFKLIGYDPHQKIEMKMAV >Potri.001G232600.1.v4.1 pep chromosome:Pop_tri_v4:1:25124879:25128797:1 gene:Potri.001G232600.v4.1 transcript:Potri.001G232600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232600.v4.1 MTSNLVISSRCSLFLTLFYLLVSASLTATSAQWVWPKPRTLSWPIPLATILSPNFTISSPYHQHLSPAVNRYRLQILTEHHLPLVPPPFNLSNSSPPLQALTITVKDLAAPLQHSVDESYALAIPTASSTANLTAETVWGAMRGLETFSQLVWGLKPLLVPVGLDVWDSPLFEHRGIILDTSRNYYPVDDILRTIKAMSANKLNVFHWHITDSHSFPLVLPSEPALADKGSYGNDMLYSPADVATIVRFGLEHGVRVLPEIDSPAHTGSWAEAYPDIVTCANMFWWPAESKWADRLASEPGTGQLNPLNPNTYQVLKNVIGDAVALFPEPFFHAGGDEIIPGCWKADPAIQSFLSKNGTLSQLLEKFVNSTFPYIVSLNRTVVYWEDILLDANVKVDPSFLPPEHTILQTWNNGPNNTKLIVSSGYRAIVSSSEFYYLDCGHGGFLGNDSQYDPPPTSGGSGNGGSWCAPFKTWQTIYNYDIAYGLTPEETKLVLGGEVALWSEQADPTVLDVRIWPRASAMAETLWSGNRDESGKKRYAEAMDRLNEWRHRMVNKGIRAEPLQPLWCIKNPGMCNTVHPSD >Potri.013G007500.1.v4.1 pep chromosome:Pop_tri_v4:13:483779:484583:1 gene:Potri.013G007500.v4.1 transcript:Potri.013G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007500.v4.1 MAGRWSTSTFRQWLGLDLSFFTSSLWLSSFSVLRWWPSQLTASPSSWWVTRRLLRWPELDFSIVDDVVWSLVTAFESVALISMLALYLLFCGCTV >Potri.009G110200.1.v4.1 pep chromosome:Pop_tri_v4:9:9474733:9477103:1 gene:Potri.009G110200.v4.1 transcript:Potri.009G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110200.v4.1 MDDVPGSVGTSASFSLRLGQTIFSSASLLFMSLGVEFYSYTAFCYLVTIMGLAIPWSFTLAIVDGYSVLVKCPIRQPGILLIIVLGDWVLSTLILAAACSTASVVDLLLHSNGSHCPPKICSRYQISAAMAFLSWFLSMASSLFNLWLLPSL >Potri.015G038700.4.v4.1 pep chromosome:Pop_tri_v4:15:3379638:3383531:1 gene:Potri.015G038700.v4.1 transcript:Potri.015G038700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038700.v4.1 MISLLDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNDPYNMNLRFITADSLQKIIVLVVLALWTKLSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQVVVLQCIIWYTLMLFMFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAAIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGRNSNFGASDVYGLSASRGPTPRPSNFEEEHGGSNKPRFHHYHAPGGATHYPAPNPGMFSPTTAASKGVSANANNTAAAAAKKPNGQAQQKAEDGRDLHMFVWSSSASPVSDVFGGHDYGAHDLKDVRVAVSPGKEGQRENQEDYNLERDDFSFGNRGLDRERNSHEGEKVGFDGKPKPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWNVKMPLIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSIAAFSMAVRFLTGPAIMAAASIAVGIRGTLLHIAIVQAALPQGIVPFVFAKEYNVHPEILSTGVIFGMLIALPITLVYYILLGL >Potri.015G038700.1.v4.1 pep chromosome:Pop_tri_v4:15:3379682:3383610:1 gene:Potri.015G038700.v4.1 transcript:Potri.015G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038700.v4.1 MISLLDFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFISTNDPYNMNLRFITADSLQKIIVLVVLALWTKLSKRGCLEWTITLFSLSTLPNTLVMGIPLLKGMYGDYSGSLMVQVVVLQCIIWYTLMLFMFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAAIKEDGKLHVTVRKSNASRSDIFSRRSQGLSSTTPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMAAGRNSNFGASDVYGLSASRGPTPRPSNFEEEHGGSNKPRFHHYHAPGGATHYPAPNPGMFSPTTAASKGVSANANNTAAAAAKKPNGQAQQKAEDGRDLHMFVWSSSASPVSDVFGGHDYGAHDLKDVRVAVSPGKVEGQRENQEDYNLERDDFSFGNRGLDRERNSHEGEKVGFDGKPKPMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLVSFRWNVKMPLIIAKSISILSDAGLGMAMFSLGLFMALQPRIIACGNSIAAFSMAVRFLTGPAIMAAASIAVGIRGTLLHIAIVQAALPQGIVPFVFAKEYNVHPEILSTGVIFGMLIALPITLVYYILLGL >Potri.007G076800.1.v4.1 pep chromosome:Pop_tri_v4:7:10115946:10117390:1 gene:Potri.007G076800.v4.1 transcript:Potri.007G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076800.v4.1 MLPDSSREREMSIMVTALTRVVAGNVPADDSDSSTAFSNNQGDCIHGGLSAKREREEEGGCSEENERLCRAFGDGFLHGDDSSAGRATGISVVTTSTVTPASTQMTFTPVYEHNETRRDEPQRKYRGVRQRPWGKWAAEIRDPIKAARVWLGTFDTPEAAARAYDEAALRFRGSKAKLNFPENVKLRPSPPPSPTANQLTVSDSPSSGLLSLSTSSELLLHSQALHHTQNREISREQVSQPQLILGVGGYQKQPVSLYDQMFLSSSFVSSNSSSSSTKSSGRMLFPAQKPGEFRPATSSQSSGNDCQLPAWSGYSHHTSSSG >Potri.004G135050.1.v4.1 pep chromosome:Pop_tri_v4:4:15642933:15644120:-1 gene:Potri.004G135050.v4.1 transcript:Potri.004G135050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135050.v4.1 MLHCRLSIILRFSNVTQLRPSLLMWKPRHCKKCKVFGHKCHTQASKKVKGDALPVASTTADPTLTTSPAPLEPSMQAPQEPQELGLNNIKRRACWEKTTSPKGTGSVHY >Potri.008G015700.1.v4.1 pep chromosome:Pop_tri_v4:8:796674:800904:1 gene:Potri.008G015700.v4.1 transcript:Potri.008G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G015700.v4.1 MVVKMMRWPPWPPLSSRKFEAKVIIHKLQGLNLVQDVEQNDDESKKRFVVEMKWKGQKGIALRRSAKRNFTEEGGFCGDGVFEWNEEFKSVCNLSGNKDGVFLPWEIAFAVFSGMKQGPRNKVILVGTATLNLAEYASTAKEREAKIDVPLTVHNGTVEGTPLLHLSLKLMELRTIQEPLQAPQRVIETAPPSPSSLETLSPRRDELSVLKAGLRKVKSFQLTKKACHEENNYDRCCVRSEDAEDNYPFDTDSLDNDGESEESNWDSSAQLPFNYETLGHANKAGGSFYSNAITNAEDESWIYYKHRKQDMGSLYVESSTASDHEQSLRQSSIRGILAWRKRKLSFISAKPKSKGEPLLKKDCGEGGDDIDFDRRQLSSSDESSSGWNKLEEGSSTSRSSFSEFGDDKFAVGSWEAKEVISRDGHMKLQAQVFFASIDQRSERAAGESACTALVAVIANWLQSNQYEVPIKSEFDSLIRVGSLEWRNLCEKEDYRQRFPDKHFDLETILQAKIRPLSVVQEKSFIGFFHPEGLEEGDFDFLHGAMSFDSIWQEINHHGLDLSSNCDPFIYIVSWNDHFFVLKVEQDAYYIIDTLGERLYEGCNQAYVLKFDKDTIIRKLPMETKESDEKTSGNKVQPSSSKEKTRAERRSPSSPNECEKTLMEEEIVCKGKESCKEYIKSFLAAIPIRELRADMKKGLMASTPLHHRLQIEFHYTQLTQPVDENSSRDVTIC >Potri.018G113700.1.v4.1 pep chromosome:Pop_tri_v4:18:13071522:13072208:1 gene:Potri.018G113700.v4.1 transcript:Potri.018G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113700.v4.1 MATGSADGFFRHVYDGCLSSGDMGIDRRPYHRNCRCALHKSKENCPHALPRCKNVSYPIKRSWSEGSLALMIANSSSSSCHSSPSSSPSLQAGKSTSTPSHQRRLSHDLEDKLAL >Potri.010G228600.1.v4.1 pep chromosome:Pop_tri_v4:10:21158122:21162601:1 gene:Potri.010G228600.v4.1 transcript:Potri.010G228600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228600.v4.1 MRSMNSRFLLIDLQSSCYSARQIPLSTTLTYLSTITSSFRQTRGVQRRIASSKSPTPSVVRAPEIRRPGDRYRTGNGSVIDLENSASTSSLVNSNSELDLFLELLPLRMKGELSGHREIGELIEVVLDLGRKPIARFPSGDFVICEQPVRHEDLKHAISKVGDFSDDNRSGIDSSLHRISAIRNRKMQIIGLTCRVGRAVSGSAEIIRDLVEGGSSILVIGRPGVGKTTLIREIARMLADDQRKRVVIVDTSNEIGGDGDVPHAGIGRARRMQVPNVNMQHNIMIEAVENHMPETIIIDEIGTELEALAASTIAQRGVQLVGTAHGMTIDNIIKNPSLQILVGGIESVTLGDEEARKRKVQKTILERKGPPTFTCAVEMITRTECRVHHRLDATVDAILAGKSPLFEIRHVDTEGDDSLKLIPILQENLIEESDDSLKSISILQENYVEETEVIISDKERCDEVESDEEDEDYCPKQSKTWKSSGTERKRNSPVCVYTCKIVDSDLLQVAKVMGIENEIDVTDDIGAADAILASSSEMKQNPWIRGVAKYHHLPVFVIKSNTMAQMVKALRMILGMESLGSSLQQPLKSSFDIEIEDDAPKRKPTLEEIDALEEVRLAIEYIVIPGGEPVELLPRRSEIIARQLELVESYQLTAENSGTEVNPRLQILPMRTNKKTTSRPPKSSSTSQAVTSLESLTGSGGTSVTRLPLLPE >Potri.013G151366.1.v4.1 pep chromosome:Pop_tri_v4:13:14767664:14768842:-1 gene:Potri.013G151366.v4.1 transcript:Potri.013G151366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151366.v4.1 MKQQHSIFSFSMLLLSLCYINTFAQSPTAAPAQAPAVVVAQPPVATPTQAAAPHGITNVTKILEKAGHFTIFIRLLRSTQEENHLFSALNDSNTGLTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVVPTFLSTSQFQTVSNPLGTWAGTGSRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFGSNAPAPAPVQAPAREKPTKAVPAANVESPVAPVDISSAVTFMHNNVVVGSLVIVAAAMFACHVEGF >Potri.012G055800.3.v4.1 pep chromosome:Pop_tri_v4:12:5438694:5445602:1 gene:Potri.012G055800.v4.1 transcript:Potri.012G055800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055800.v4.1 MATPTVSKTRSMLEGLVREGSFKWLLGKRSSFNEELEEMERSPSAGRNWIAELSPLANLVVRRCSKILGVSASELQESYNAEASDSLKHHSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWETPAVASQPLLNVDEDFTVGLEAFSRIAPAVPIIANVIISENLFEVLTVGTDGRLQFSIYEKYLSGLERAIKKMKTQSDSSLLSTVRLSRREKILEVDGTVTTQPVLEHVGISTWPGRVTLTDHALYYEALRVVSYDKPKTYDLADDLKQIVNPELTGPWGTRLFDKAVLYKSISLSEPAVIEFPELKGHTRRDYWLAVIREILFVHRFIKKFKISGVERDEALSLAVLGILRLQAIQEIISVNSVHCETLLMFNLCDQLPGGDLILETLANISSLRKLDRTNSDKTGGGMHSISARAMVSNLGFMLGTSSTDLNEAGLVVGETSVGEMSSLEKVVKESQNSFKKAVLAQETVDGVKVDGIDTNLAVMKELLLPVMEVGTWLISLIHWDDPMKSLVFCLVLTYVIWRGWLGYAFGLMIIFLAIFMVLTRFCNQGRPVEEIKVTAPPPMNTVEQLLAVQDAISQAEQYIQDGNIVLLKFRGLLLSIFPQASEKFAFTLLGVALILAFMPSKYIILLTFLETFTRYSPPRTASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.012G055800.5.v4.1 pep chromosome:Pop_tri_v4:12:5438643:5445591:1 gene:Potri.012G055800.v4.1 transcript:Potri.012G055800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055800.v4.1 MATPTVSKTRSMLEGLVREGSFKWLLGKRSSFNEELEEMERSPSAGRNWIAELSPLANLVVRRCSKILGVSASELQESYNAEASDSLKHHSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWETPAVASQPLLNVDEDFTVGLEAFSRIAPAVPIIANVIISENLFEVLTVGTDGRLQFSIYEKYLSGLERAIKKMKTQSDSSLLSTVRLSRREKILEVDGTVTTQPVLEHVGISTWPGRVTLTDHALYYEALRVVSYDKPKTYDLADDLKQIVNPELTGPWGTRLFDKAVLYKSISLSEPAVIEFPELKGHTRRDYWLAVIREILFVHRFIKKFKISGVERDEALSLAVLGILRLQAIQEIISVNSVHCETLLMFNLCDQLPGGDLILETLANISSLRKLDRTNSDKTGGGMHSISARAMVSNLGFMLGTSSTDLNEAGLVVGETSVGEMSSLEKVVKESQNSFKKAVLAQETVDGVKVDGIDTNLAVMKELLLPVMEVGTWLISLIHWDDPMKSLVFCLVLTYVIWRGWLGYAFGLMIIFLAIFMVLTRFCNQGRPVEEIKVTAPPPMNTVEQLLAVQDAISQAEQYIQDGNIVLLKFRGLLLSIFPQASEKFAFTLLGVALILAFMPSKYIILLTFLETFTRYSPPRTASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.012G055800.11.v4.1 pep chromosome:Pop_tri_v4:12:5438723:5445600:1 gene:Potri.012G055800.v4.1 transcript:Potri.012G055800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055800.v4.1 MATPTVSKTRSMLEGLVREGSFKWLLGKRSSFNEELEEMERSPSAGRNWIAELSPLANLVVRRCSKILGVSASELQESYNAEASDSLKHHSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWETPAVASQPLLNVDEDFTVGLEAFSRIAPAVPIIANVIISENLFEVLTVGTDGRLQFSIYEKYLSGLERAIKKMKTQSDSSLLSTVRLSRREKILEVDGTVTTQPVLEHVGISTWPGRVTLTDHALYYEALRVVSYDKPKTYDLADDLKQIVNPELTGPWGTRLFDKAVLYKSISLSEPAVIEFPELKGHTRRDYWLAVIREILFVHRFIKKFKISGVERDEALSLAVLGILRLQAIQEIISVNSVHCETLLMFNLCDQLPGGDLILETLANISSLRKLDRTNSDKTGGGMHSISARAMVSNLGFMLGTSSTDLNEAGLVVGETSVGEMSSLEKVVKESQNSFKKAVLAQETVDGVKVDGIDTNLAVMKELLLPVMEVGTWLISLIHWDDPMKSLVFCLVLTYVIWRGWLGYAFGLMIIFLAIFMVLTRFCNQGRPVEEIKVTAPPPMNTVEQLLAVQDAISQAEQYIQDGNIVLLKFRGLLLSIFPQASEKFAFTLLGVALILAFMPSKYIILLTFLETFTRYSPPRTASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.012G055800.12.v4.1 pep chromosome:Pop_tri_v4:12:5438720:5445586:1 gene:Potri.012G055800.v4.1 transcript:Potri.012G055800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055800.v4.1 MATPTVSKTRSMLEGLVREGSFKWLLGKRSSFNEELEEMERSPSAGRNWIAELSPLANLVVRRCSKILGVSASELQESYNAEASDSLKHHSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWETPAVASQPLLNVDEDFTVGLEAFSRIAPAVPIIANVIISENLFEVLTVGTDGRLQFSIYEKYLSGLERAIKKMKTQSDSSLLSTVRLSRREKILEVDGTVTTQPVLEHVGISTWPGRVTLTDHALYYEALRVVSYDKPKTYDLADDLKQIVNPELTGPWGTRLFDKAVLYKSISLSEPAVIEFPELKGHTRRDYWLAVIREILFVHRFIKKFKISGVERDEALSLAVLGILRLQAIQEIISVNSVHCETLLMFNLCDQLPGGDLILETLANISSLRKLDRTNSDKTGGGMHSISARAMVSNLGFMLGTSSTDLNEAGLVVGETSVGEMSSLEKVVKESQNSFKKAVLAQETVDGVKVDGIDTNLAVMKELLLPVMEVGTWLISLIHWDDPMKSLVFCLVLTYVIWRGWLGYAFGLMIIFLAIFMVLTRFCNQGRPVEEIKVTAPPPMNTVEQLLAVQDAISQAEQYIQDGNIVLLKFRGLLLSIFPQASEKFAFTLLGVALILAFMPSKYIILLTFLETFTRYSPPRTASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.012G055800.13.v4.1 pep chromosome:Pop_tri_v4:12:5438723:5445602:1 gene:Potri.012G055800.v4.1 transcript:Potri.012G055800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055800.v4.1 MATPTVSKTRSMLEGLVREGSFKWLLGKRSSFNEELEEMERSPSAGRNWIAELSPLANLVVRRCSKILGVSASELQESYNAEASDSLKHHSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWETPAVASQPLLNVDEDFTVGLEAFSRIAPAVPIIANVIISENLFEVLTVGTDGRLQFSIYEKYLSGLERAIKKMKTQSDSSLLSTVRLSRREKILEVDGTVTTQPVLEHVGISTWPGRVTLTDHALYYEALRVVSYDKPKTYDLADDLKQIVNPELTGPWGTRLFDKAVLYKSISLSEPAVIEFPELKGHTRRDYWLAVIREILFVHRFIKKFKISGVERDEALSLAVLGILRLQAIQEIISVNSVHCETLLMFNLCDQLPGGDLILETLANISSLRKLDRTNSDKTGGGMHSISARAMVSNLGFMLGTSSTDLNEAGLVVGETSVGEMSSLEKVVKESQNSFKKAVLAQETVDGVKVDGIDTNLAVMKELLLPVMEVGTWLISLIHWDDPMKSLVFCLVLTYVIWRGWLGYAFGLMIIFLAIFMVLTRFCNQGRPVEEIKVTAPPPMNTVEQLLAVQDAISQAEQYIQDGNIVLLKFRGLLLSIFPQVSLCHSPSRIACLRFSNFYLLCIS >Potri.012G055800.10.v4.1 pep chromosome:Pop_tri_v4:12:5438707:5445605:1 gene:Potri.012G055800.v4.1 transcript:Potri.012G055800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055800.v4.1 MATPTVSKTRSMLEGLVREGSFKWLLGKRSSFNEELEEMERSPSAGRNWIAELSPLANLVVRRCSKILGVSASELQESYNAEASDSLKHHSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWETPAVASQPLLNVDEDFTVGLEAFSRIAPAVPIIANVIISENLFEVLTVGTDGRLQFSIYEKYLSGLERAIKKMKTQSDSSLLSTVRLSRREKILEVDGTVTTQPVLEHVGISTWPGRVTLTDHALYYEALRVVSYDKPKTYDLADDLKQIVNPELTGPWGTRLFDKAVLYKSISLSEPAVIEFPELKGHTRRDYWLAVIREILFVHRFIKKFKISGVERDEALSLAVLGILRLQAIQEIISVNSVHCETLLMFNLCDQLPGGDLILETLANISSLRKLDRTNSDKTGGGMHSISARAMVSNLGFMLGTSSTDLNEAGLVVGETSVGEMSSLEKVVKESQNSFKKAVLAQETVDGVKVDGIDTNLAVMKELLLPVMEVGTWLISLIHWDDPMKSLVFCLVLTYVIWRGWLGYAFGLMIIFLAIFMVLTRFCNQGRPVEEIKVTAPPPMNTVEQLLAVQDAISQAEQYIQDGNIVLLKFRGLLLSIFPQASEKFAFTLLGVALILAFMPSKYIILLTFLETFTRYSPPRTASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.002G153800.2.v4.1 pep chromosome:Pop_tri_v4:2:11750654:11754332:1 gene:Potri.002G153800.v4.1 transcript:Potri.002G153800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153800.v4.1 MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVSQGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERVDLIGRISLFCWMGSSICTTLVEVGELGRLSVSMKKLEKELKDGEKHHNEQYRAKLKKSNERSLALVKSAMDIVVAVGLLQLAPKKVTPRVTGAFGVVTSLISCYQLLPSPQKPKTN >Potri.002G153800.1.v4.1 pep chromosome:Pop_tri_v4:2:11750642:11754177:1 gene:Potri.002G153800.v4.1 transcript:Potri.002G153800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153800.v4.1 MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVSQGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERVDLIGRISLFCWMGSSICTTLVEVGELGRLSVSMKKLEKELKDGEKHHNEQYRAKLKKSNERSLALVKSAMDIVVAVGLLQLAPKKVTPRVTGAFGVVTSLISCYQLLPSPQKPKTN >Potri.005G122600.2.v4.1 pep chromosome:Pop_tri_v4:5:9081078:9083308:-1 gene:Potri.005G122600.v4.1 transcript:Potri.005G122600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122600.v4.1 MVNLVAAQTPLLHGLMKMAGVQPHRVEIEPGTVMNFWVPNETVKKPQKGEKNKTPTLTKPNKPVIVLVHGFAAEGIVTWQFQVGALTKKYSVYIPDLLFFGSSITDKTDRSPTFQAETLVKGLKKFGIEKCIVVGFSYGGMVAFKMAELYPDLVQAMVISGSILAMTDSISEATLSELGFKSSSELLLPDSVKGLKTLLSVATYKKLWFPNRLHKDYLEVMFTNRKERAELLEGLVINNKDPTIPRFVQKIHLLWGENDQIFNLGLAQNMKGQLGETATFQGIQKAGHLVHLERPCVYNRCLKRFLTSLLENGVQK >Potri.005G060500.1.v4.1 pep chromosome:Pop_tri_v4:5:3820771:3822472:1 gene:Potri.005G060500.v4.1 transcript:Potri.005G060500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060500.v4.1 MDPQPKRVAVCGGGVIGVCTAYFLAKKGAAVTLIEKSSVACAASGKSGGFLALDWCDSGPLSSLARASFNLHRSLSEELNGTESYGYRPLTTLSLTITESGKQSQSSRVQNLPSWVDGPARDLRAIGSTETTAQVHPQMFTKTLLSKAVEKYGVEVVIGKVDSVGVEGGRVDSVVLEGGRVIESDSVVLALGPWSGKFEMLSSLFRVYGLRAHSIVLEPKEPDAITPHALFLSYYPAQGGKPMDPEVYPRPSGEVYLCGMSSEVEVPEDPEQIVGDPESLEVLKRVASTVSSHLVEGEALLKAEQACFLPCTDDSVPVIGEIPGVKGCYVATGHNCWGILNGPATGAAMAELIVDGQSTIVDLAQFSPARFVGRRRKG >Potri.010G029350.1.v4.1 pep chromosome:Pop_tri_v4:10:4235713:4236117:-1 gene:Potri.010G029350.v4.1 transcript:Potri.010G029350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029350.v4.1 MLWRNLVFFDKLFFQSDNVLVLKFLLHFVKRETELHSQDVTNLPESQILFLNFFHKFGRKDPFFQRNSLFGFCYLPLLTSSMPEPNLVFVALQLGGLSWEMHATSFFLVKDLYFHPLVLLFFFPGQQKYQKNGD >Potri.002G028200.1.v4.1 pep chromosome:Pop_tri_v4:2:1871271:1872756:-1 gene:Potri.002G028200.v4.1 transcript:Potri.002G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028200.v4.1 MKIQCDVCNKEEASVFCTADEAALCDTCDHRVHHANKLASKHQRFSLLHPSSKNFPICDICQEKRAFLFCQQDRAILCRECDGPIHTANEHTQKHNRFLLTGVKLSATSAVYISSSSVTNSGGDLVPDSKSQQQQQQQQSIKKPVFDAPVNSNPPTVPSTLSTNTEVNKGGDNLVTNEGFGSTTSSTISEYLMETLPGWHVEDFLDSSTTPFGFCKIDDGLLPFMDAHDLESNMSSFSSESLGLWVPQAPSTPYTSQQYYYPQLVGQSGFKEIKETTNMKANRRLADDVFTVPQISLPANISSKRSRPLW >Potri.005G109500.2.v4.1 pep chromosome:Pop_tri_v4:5:7957458:7959634:1 gene:Potri.005G109500.v4.1 transcript:Potri.005G109500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109500.v4.1 MLSPPNCEGTSYNNKTKSLRKVSSSISSKSSSTSSSPSIFSPSNNLHCQAQQKAETMEEVWKDISLASLHDHTSTDQELSMTPRLHNISHHHRHHHHHHNNNSPNFILQDFLARPFNKDPPTRMVSIIRDSTPFGSSAPPPATVLSLNSGPGFDFLENSDHPQRPDSQLQSNPISNISSFTSPFEGLDSSPGLPSFCKKRTQESDGSSGDRRHKRMIKNRESAARSRARKQAYTNELENEVEQLLKENARLKRQQEELYLAAAAQLPKKHTLQRTSTAPF >Potri.005G109500.3.v4.1 pep chromosome:Pop_tri_v4:5:7957448:7959040:1 gene:Potri.005G109500.v4.1 transcript:Potri.005G109500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109500.v4.1 MLSPPNCEGTSYNNKTKSLRKVSSSISSKSSSTSSSPSIFSPSNNLHCQAQQKAETMEEVWKDISLASLHDHTSTDQELSMTPRLHNISHHHRHHHHHHNNNSPNFILQDFLARPFNKDPPTRMVSIIRDSTPFGSSAPPPATVLSLNSGPGFDFLENSDHPQRPDSQLQSNPISNISSFTSPFEGLDSSPGLPSFCKKRTQESDGSSGDRRHKRMIKNRESAARSRARKQESPFLFKDSFSYMYIDWC >Potri.004G033700.1.v4.1 pep chromosome:Pop_tri_v4:4:2609125:2613612:1 gene:Potri.004G033700.v4.1 transcript:Potri.004G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033700.v4.1 MQRSPFVFVITLFMTIFVGVSQAVNCIRGTGGSKVARTIVVDPSGKGNFKTIQGAIDSIPENNNNWIKVQVNAGIYIEQVNIPIEKPCIFLEGNGRRQTTVTYNAHQDTAASATFTSLPSNIVVKGITFENSFNRRLIQNTYNGIKSPGVLPALSARIYGDKSAFYDCAFLGVQDTLWDVNGRHHFSNCYIEGSVDFIYGAGQSFYEGCIINVTGGGFITAQGKEFHNDTNGFVFSRCYVTGVKGVKAYLGRAYRGYSTVIYYNTYLSDVVQPEGWFAWDYVGHEDNITYMEANCKGPGANTLNRVKWEKKLTIQQLSRFSKSSFIDQDGWLTKLPL >Potri.014G135700.2.v4.1 pep chromosome:Pop_tri_v4:14:9168615:9181587:1 gene:Potri.014G135700.v4.1 transcript:Potri.014G135700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135700.v4.1 MRLAKEELSMAEQVIDLISAVKELHGLSSQELNKLLRDSENFTIHFHSEKGSTTKIDVEKLAGFLPLHLIAVLMSSDRDESLLRYLLCGIRLLHSLCDLGPRNSKLEQVLLDDVKVSEQLLDLVFYLLIVLGGYRQENLNSCSLLLVHSALVASSLHLLSGCISSQWQDLVQVLLAHPKVDIFMDAAFGAVHVAIRFLQVKLSDQHTGLHVKSPTAEQIVNYICQQCEASLQTLQSLCQQKMFRERLLRNKELCGRGGVLFLAQAILKLNITPPFVDSFTVVAAVSRLKAKVLSILLHLCEAESISYLDEVASSPWSLDLAKSVVLEILELLKAALSKDPNHLSPCSDRTFPMGLLRLNAMRLADIFSDDSNFRSYITTCFTKVLTAIFSLPHGDFLSIWCSSEFPPREEDGTLEYDAFTAAGWFLDTFAAANQSNAINLEITLIPSNMPQAMYAHQRTSLFVKLIANLHCFVPNICEEQERNLFLHKFLECMRMDPSKSLPGFSFTSGALRAVTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFFNQLQSLINPTDFEENQVQEIKSERSISLDKFCRLTIDEHLQEAQSTGAYGSPMVMKEPSHLYNRTDIQKEEMSENSAIQEEEKPNFKNRNQAEDAIKEDKAKPGACVSDVLREIDRDAHTVETSGSDTSSTRGKTYAGQVVNGDFLKSSEHIKRNGCQGVCGGEKVESPHFEEKQPRKRKRTIMNDHQITLMEKALLDEPEMQRNAAALQSWADKLSLHGSEVTPSQLKNWLNNRKARLARAGKDVRAPMEVDNTFPEKQVGQAQQQDTPESPSEDNITSSARGLQNTSEIGVFGDPEAGIGLADFVDIGASEFVQCKPGQFVVLVDGQGEEIGKGKVYQVQGKWYGRILEESEMCVVDVTELKTEKWVRLPYPSETTGMSFYEAEQKIGVMRVLWDSNKIYMSRPQC >Potri.014G135700.3.v4.1 pep chromosome:Pop_tri_v4:14:9168692:9181587:1 gene:Potri.014G135700.v4.1 transcript:Potri.014G135700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135700.v4.1 MLFVTCAFSTCGIQSTFIVRMYIFTMARSCSSIACSPQAFGAVHVAIRFLQVKLSDQHTGLHVKSPTAEQIVNYICQQCEASLQTLQSLCQQKMFRERLLRNKELCGRGGVLFLAQAILKLNITPPFVDSFTVVAAVSRLKAKVLSILLHLCEAESISYLDEVASSPWSLDLAKSVVLEILELLKAALSKDPNHLSPCSDRTFPMGLLRLNAMRLADIFSDDSNFRSYITTCFTKVLTAIFSLPHGDFLSIWCSSEFPPREEDGTLEYDAFTAAGWFLDTFAAANQSNAINLEITLIPSNMPQAMYAHQRTSLFVKLIANLHCFVPNICEEQERNLFLHKFLECMRMDPSKSLPGFSFTSGALRAVTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFFNQLQSLINPTDFEENQVQEIKSERSISLDKFCRLTIDEHLQEAQSTGAYGSPMVMKEPSHLYNRTDIQKEEMSENSAIQEEEKPNFKNRNQAEDAIKEDKAKPGACVSDVLREIDRDAHTVETSGSDTSSTRGKTYAGQVVNGDFLKSSEHIKRNGCQGVCGGEKVESPHFEEKQPRKRKRTIMNDHQITLMEKALLDEPEMQRNAAALQSWADKLSLHGSEVTPSQLKNWLNNRKARLARAGKDVRAPMEVDNTFPEKQVGQAQQQDTPESPSEDNITSSARGLQNTSEIGVFGDPEAGIGLADFVDIGASEFVQCKPGQFVVLVDGQGEEIGKGKVYQVQGKWYGRILEESEMCVVDVTELKTEKWVRLPYPSETTGMSFYEAEQKIGVMRVLWDSNKIYMSRPQC >Potri.009G001600.2.v4.1 pep chromosome:Pop_tri_v4:9:701691:704233:-1 gene:Potri.009G001600.v4.1 transcript:Potri.009G001600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G001600.v4.1 MEGMQHWKIEMQEWLQRGIEYAHQIPLLLLPCTQFNCECWVYRGCKAQCTINYWYNIIVLAGLSASGKTLRDLSVHRGTVTSMEPNEDTFLLHSETTKIGKMKSVHVVDIPGHSRLRPKLDEFLPQAAGMVFVVDALEFLPNLIISILYDILTKASVVTKKVPVLICCNKTDKVTAHTKEFIR >Potri.011G127000.4.v4.1 pep chromosome:Pop_tri_v4:11:15626942:15632987:1 gene:Potri.011G127000.v4.1 transcript:Potri.011G127000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127000.v4.1 MQFLEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERANSYWEAELPPNYDRVGIENFIRAKYEEKRWVSKDGRAQSPPSGLDERSLHQRPGERSGHGRTSSSENLFEEKKNSQVSSSRNSATATRISVPVPPRGPEQVTPAKPQQVVEKAEPMVEATEAAKKVADAAPAVSAPKVDFATDLFNLLSMDGPSENGSEVASNDDNGWAGFQSAAVAEELSTTGKAVPRKAVENNTQSNSGIEDLFKDSPSLAIPSVSEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQQLLMAAAAKSAGGDPKVMNQQQLAMLTQQQQLMAAAAKSVGGDLKLSGSIQQQGPNGINIPAQNWPNMGYQIPGLMMPVAGQGDLQKLMQIGNIGLTHPGGSAIQYPPSSFFDMGQVNPANGGTSTGASKTQSAAPVSSGGTKMQTGKDYDFSSLTQGMFSKQ >Potri.011G127000.1.v4.1 pep chromosome:Pop_tri_v4:11:15626870:15633006:1 gene:Potri.011G127000.v4.1 transcript:Potri.011G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127000.v4.1 MNQKANVSKELNARHRKFLEGLLKLPENRECADCKAKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQVAFIQSMGNERANSYWEAELPPNYDRVGIENFIRAKYEEKRWVSKDGRAQSPPSGLDERSLHQRPGERSGHGRTSSSENLFEEKKNSQVSSSRNSATATRISVPVPPRGPEQVTPAKPQQVVEKAEPMVEATEAAKKVADAAPAVSAPKVDFATDLFNLLSMDGPSENGSEVASNDDNGWAGFQSAAVAEELSTTGKAVPRKAVENNTQSNSGIEDLFKDSPSLAIPSVSEKPQKDVKNDIMSLFEKSNMVSPFAMHQQQLAMLAQQQQLLMAAAAKSAGGDPKVMNQQQLAMLTQQQQLMAAAAKSVGGDLKLSGSIQQQGPNGINIPAQNWPNMGYQIPGLMMPVAGQGDLQKLMQIGNIGLTHPGGSAIQYPPSSFFDMGQVNPANGGTSTGASKTQSAAPVSSGGTKMQTGKDYDFSSLTQGMFSKQ >Potri.010G237667.1.v4.1 pep chromosome:Pop_tri_v4:10:21737185:21738854:-1 gene:Potri.010G237667.v4.1 transcript:Potri.010G237667.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237667.v4.1 MQIVGCLAHYKVAKGAIKLAIRQSFLVSTDMAPGVHPDFMEKHGENQWSEMQQDLLSISLFFGCSVRERDAQRKIYRHYVQVFQGILS >Potri.006G084200.1.v4.1 pep chromosome:Pop_tri_v4:6:6274262:6275974:1 gene:Potri.006G084200.v4.1 transcript:Potri.006G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084200.v4.1 MDFSSIPAYLDPANWQQQPNHQTGVSSSTATQHLPPPPPPPPQPHGSGGAGSIRPGSMADRARMANIPLPEPALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKRSKESSSKSPISGYRQTGSCSSSTVSSNSGTSDTFGLGPQVPPMRFMAPLHHHTEFASGNIGLNYGALSKTLVGGASDLNFQMGSALASAGLGGNGGAGGSLLSMGGFDQWRMQQGQQLPFLGGLDSSASGLYPFEGGAEPSGYGGGADHIRPKISSSTATPLASVKMEDNQELNMSRQFLGIPGNDQYPEWYFMDRSF >Potri.003G021500.2.v4.1 pep chromosome:Pop_tri_v4:3:2236157:2241018:-1 gene:Potri.003G021500.v4.1 transcript:Potri.003G021500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021500.v4.1 MADLSKTLEEGERIVTPSRRPDGTLRKPIRIRAGYTPQDEVAVYQSKGALWRKETQTQEVPPGYDPVVPTKPKTKSVKRNERKKDKRLQAAVEKGKNSEAMEDGNMEKGALPAEDLGCASESFESLASQMNELAVSSNSSVDLADSSNMESQLQDIDKRIRALKKKIRLAEAQQQKTMSQEMKPEQMEKLTKLEGWRQELKLLEVKNAEEASS >Potri.017G117633.2.v4.1 pep chromosome:Pop_tri_v4:17:12463599:12467395:-1 gene:Potri.017G117633.v4.1 transcript:Potri.017G117633.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117633.v4.1 MGGVTSTIAAKFAFFPPNPASYTVVTDDSSSAVSGGSTTRLYIPEVPRKDDVDVLKLRTRRGNEIVAVHIKHPRASATLLYSHGNAADLGQMFELFVELSNRLRINLMGYDYSGYGQSSGKPTECNTYADIDAAYKCLKEQYGVKDDQLILYGQSVGSGPTVDLASRLPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGMVNCRVLIIHGTSDEVVDYSHGKQLWELCKEKYEPLWINGGKSKTATNGPKTTAESENQNKPSESASSDTFELGDLPEISRNSLDSRLEKSKKPNKPEKSRMSTDRVDRFRRRKGLVW >Potri.017G117633.1.v4.1 pep chromosome:Pop_tri_v4:17:12463599:12467669:-1 gene:Potri.017G117633.v4.1 transcript:Potri.017G117633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117633.v4.1 MGGVTSTIAAKFAFFPPNPASYTVVTDDSSSAVSGGSTTRLYIPEVPRKDDVDVLKLRTRRGNEIVAVHIKHPRASATLLYSHGNAADLGQMFELFVELSNRLRINLMGYDYSGYGQSSGKPTECNTYADIDAAYKCLKEQYGVKDDQLILYGQSVGSGPTVDLASRLPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGMVNCRVLIIHGTSDEVVDYSHGKQLWELCKEKYEPLWINGGGHCNLELYPEFIKHLKKFVLTIGKSKTATNGPKTTAESENQNKPSESASSDTFELGDLPEISRNSLDSRLEKSKKPNKPEKSRMSTDRVDRFRRRKGLVW >Potri.001G148200.2.v4.1 pep chromosome:Pop_tri_v4:1:12230732:12231394:-1 gene:Potri.001G148200.v4.1 transcript:Potri.001G148200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148200.v4.1 EIDIAGQTLKIHELGEVYDSLTGRAFTGSWIWDSALLLSRWLATSQFDLRDKSVIELGAGAGLPGLTAALLGASRVLLTDIAPLLPGLVKNVEANELEDRVEVRELVWGSEESLSRIGELRRFDVVLLSDVFFDLEEMAALGRTLKKVSGNKTRILAASEVRFWTGECLNELVSQGFKVVEVPIQEDGSDGGRDIFAVYNIIPPDEEDCHNNMSGSIIRR >Potri.012G047000.2.v4.1 pep chromosome:Pop_tri_v4:12:4288010:4291162:-1 gene:Potri.012G047000.v4.1 transcript:Potri.012G047000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047000.v4.1 MDTPWEEALDLKDSSDSDSDLPPLRPLKRHQNFTPSTATTGGTGGPNHTLSQPFLGRCSQNTQNNPPPPTTTYSKIPGPAGTVQAAMHRRKSQINEHLMEEEEPIPTQEYIRRAVEDSGCAEDDDFTRDAWLSAVDFVRHQGLVVDGDGAIGIPLSVIKRWASFDRVAQVVAIVKSCRPNGLGDMMVTLKDPTGTIDASIHHKVLAEGDFGKDISIGAVMIVQKVAVFCPTRLARYLNITLSNMVKVITKDGRASPEQNCSARDLTVKNAAVVSDITGQGERSQMTQNLSLSQGRTEGIMNSLRQHAMAVACIDEQMEEDAPIRSRCHSIGNNRNENALPMEDHLLARQDLDSGRRERAVEPDTSDNDKGSVTSEKLNNYSKAGIDHILEGTEYGTAAADSVGALDHQEKRNYNGTEDGQPPTSRAALPQWTDEQLEELFAFD >Potri.012G047000.3.v4.1 pep chromosome:Pop_tri_v4:12:4288010:4291162:-1 gene:Potri.012G047000.v4.1 transcript:Potri.012G047000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047000.v4.1 MDTPWEEALDLKDSSDSDSDLPPLRPLKRHQNFTPSTATTGGTGGPNHTLSQPFLGRCSQNTQNNPPPPTTTYSKIPGPAGTVQAAMHRRKSQINEHLMEEEEPIPTQEYIRRAVEDSGCAEDDDFTRDAWLSAVDFVRHQGLVVDGDGAIGIPLSVIKRWASFDRVAQDPTGTIDASIHHKVLAEGDFGKDISIGAVMIVQKVAVFCPTRLARYLNITLSNMVKVITKDGRASPEQNCSARDLTVKNAAVVSDITGQGERSQMTQNLSLSQGRTEGIMNSLRQHAMAVACIDEQMEEDAPIRSRCHSIGNNRNENALPMEDHLLARQDLDSGRRERAVEPDTSDNDKGSVTSEKLNNYSKAGIDHILEGTEYGTAAADSVGALDHQEKRNYNGTEDGQPPTSRAALPQWTDEQLEELFAFD >Potri.003G024966.1.v4.1 pep chromosome:Pop_tri_v4:3:2651325:2651898:1 gene:Potri.003G024966.v4.1 transcript:Potri.003G024966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024966.v4.1 MGWLLQLLGWPFITCFFRIDGLWRVFVWLWLNFCCQCRIKPFFPALFLLCSALSRLSWLLQLWDPWAAFLLGLLQVVLASLLVFLFASSLAWLNLFIERVALRLWLSF >Potri.011G101750.1.v4.1 pep chromosome:Pop_tri_v4:11:12956838:12957215:1 gene:Potri.011G101750.v4.1 transcript:Potri.011G101750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101750.v4.1 MLNRDVHEEIKLGNLLLPAGVQISLPTILLHQDHELWGDDASEFKPERFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRCSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.019G117566.1.v4.1 pep chromosome:Pop_tri_v4:19:14391734:14392410:-1 gene:Potri.019G117566.v4.1 transcript:Potri.019G117566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117566.v4.1 MRSLHKHAKNNMRSISQARKLSSSSFAMVSSKLRESSQSEESLRKVMYLSCWGPN >Potri.007G142600.2.v4.1 pep chromosome:Pop_tri_v4:7:15184792:15192330:1 gene:Potri.007G142600.v4.1 transcript:Potri.007G142600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142600.v4.1 MTEPDSSRSRPQGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIQTFRDDDELPRGKEISQHLLEAIQESKISIVVFSKGYASRWCLDELVEIIKCKYRKTGHIALPIFYDIDPSDVRKQTGSFAEAFVKHEERSKEKVKEWREALEEAGNLSGWNLKDMANGHEAKFIQEIIKDVLTKLDPKYLHVPKHLVGIDPLAHNIFHFLSTATDDVRIVGLHGMPGIGKTTIAKVVFNQLCYDCGYGFEGISFLLNVKEKSEPNDLVLLQQQLLHDILRQNTEKINNVDRGKVLIKERLRHKRVLVVFDDVDRPDRLLDLMGEPRWLGPESRVIITTTDESLLLEADQRYQVQELNRGDSLQLFCRHAFRDTKPAKDYVELSNDVVEYCGGLPLALKVLGSCLYGKNQARWESVIDRLRKFPNSEIQKKLRISFDTLDESTLKNTFLDIACFFIGRKKEYVAKVLEGRYGYNPEDDFGTLIERSLIKVDDSGTIDMHDLLRGMGREIVKEESPENPAQRSRIWSQEDAWIVLKMQMGTEVVKGLTLDVRRSEDKSLSTGSFTKMKNLKLLQIDGAELTGSFERLSKVLTWICWLECPLEFLPSDFSLDYVVVIDMQYSNIRELWKKKKMFNNLKILDLSYSKNLVKTPNLYSSSLEKLLLEGCSSLVEVHQSIGHSKSLVCLNIWGCSQLKELPECMGDIESFTELLADGINNEQFLSSVGHLKCVRKLSLRGHWKGDWNLPYRPSPNSSWISAFRITPTSIIWRVLGKLKLDGYGLSERATNCVDFGGLSALEELDLSRNEFFSLPSGIGILSKLRLLTVQECGNLVSIPELPSNLENLDTFGCKSMQWVRLPIQAKKYLSLFLIGCPDLMEIEGMEGLSNHGWFISYITKNKLSNNYKKSLAEALCYGGYAYHMFFNRWHTFSHRDKFTMIPNWFSY >Potri.013G026900.3.v4.1 pep chromosome:Pop_tri_v4:13:1744174:1747207:-1 gene:Potri.013G026900.v4.1 transcript:Potri.013G026900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026900.v4.1 MAYTSEHYGFSNGALRVVLALVAMFFVVYIVGPGLFSKGSSNDAQASCFCDCNCFEVDLSLTLEFINNSYPECGKHDPEISEEMNKGVADLISEEIDLQKRVANETLEQTRNLVTTARRISLQYQTEAQKCSAHTETCEAGRERAEAGLVEERKLTALWEQRALELGWGENITYADNNTIHSLKKTNPIGEVRH >Potri.008G225001.1.v4.1 pep chromosome:Pop_tri_v4:8:19156652:19157072:1 gene:Potri.008G225001.v4.1 transcript:Potri.008G225001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G225001.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.001G221800.1.v4.1 pep chromosome:Pop_tri_v4:1:23267586:23268964:-1 gene:Potri.001G221800.v4.1 transcript:Potri.001G221800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221800.v4.1 MESRMPIAIITFTLVIFFYGAQSVTFDFKNNCPYTVWPGTLTAAGGPSLSSTGFTLATGASSSLSVPVNWSGRLWARTQCSTDASGKFVCATADCASGVIECNGAGAIPPASLAEFTLSGDGGKDYYDISLVDGFNLPISVTPQGGSTGCPSTSCAANVNAVCDPSLAVKGSDGTVIACKSACLAFNQPQYCCTGDHNTAETCPPTQYSMTFKQQCPQAYSYAFDDKSSTFTCPSGGNYLITFCP >Potri.009G029300.1.v4.1 pep chromosome:Pop_tri_v4:9:4039726:4041687:1 gene:Potri.009G029300.v4.1 transcript:Potri.009G029300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029300.v4.1 MTSFSELGIGLGLVFGCLLLAIVAELYYLLWWKKRISNREVEEDDGYSSNYAKGFFHLICWKKPSFFRSNITQDVVRDPEAPCQEPDQELGTSKDLLIKAFGEESAESEIMRLHNLCGPPRFLFTIKEETKEDLESDDVKSRGDRSRKGSRTKSLSDLMVTIDTPFLSPLASPRLKSPPVNVLDSYHHHGFNPLFESSVEAELSRLRSSPPPRFKFLRDAEAKLFRRLMEEAEKRASKNCVSVQDSSEIKVSNSTMITEETEGSFLGFIVGKNKESEILHHLPQYHSSSSQVLPLASSPTTFRPLDKKPVMH >Potri.010G119100.1.v4.1 pep chromosome:Pop_tri_v4:10:13755903:13760267:-1 gene:Potri.010G119100.v4.1 transcript:Potri.010G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119100.v4.1 MGLPTHTAQNGNGSLDTGNARLKELGYKQELKRDLSVFSNFAFSFSIISVLTGITTLYNTGLNFGGPVSLQYGWFIAGGFTMIVGLSMAEICSSYPTSGGLYYWSAKLAGPNWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYQASKYVVIAIHGGILLLHAALNSLPISVLSFFGQLAAAWNLVGVLVLMILIPLVATERASAKFVFTHFNTDNTDGINSKAYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISVIFGWFYIIGITFAVTNISSLLSEDNDAGGYAIAEIFYQAFKGRYGNGVGGIICLGVVAVAIFFCGMSSVTSNSRMVYAFSRDGAMPLSSLWHKVNNQEVPINAVWLSAVISFCMALTYLGSEVAFQAMVSIATIGLYIAYALPIFFRVTLARKSFIPGPFNLGRYGVLVGWIAVLWVATISILFSLPVTYPITNETLNYTPVAVGGLLILTISSWILWARHWFKGPITNVES >Potri.009G063700.2.v4.1 pep chromosome:Pop_tri_v4:9:6482935:6483927:1 gene:Potri.009G063700.v4.1 transcript:Potri.009G063700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063700.v4.1 MASVVISRRLSHKLLKPSPSSSISSLFISHGPQNHPSLDSNSSLSQQPTLNTNLNISNSFSSFPARYSTLEHQSLIDPEPPNLTLYFIRSYSCSSTKTRGHGFTRSSFFSASDIGKAQNPAKTPDFNQKGSIFTKSKDSSLDSELKNPRFIRLLNLKPRYFSSSNSPSESDKSQNQSEHPSQIPDLKHQEIEGPTVERDLSALANETREVLESMMKNIYGLSRAVAVLGLVQLGLGALISYVTKATPMTEVSIQSFVAFGFPFTLAFMLRQSLKPMYFFKKMEELGRLQILTLTLQVAKNLNIFFVRVRGVSFLCIAGMSVGLLITLLSR >Potri.010G182300.1.v4.1 pep chromosome:Pop_tri_v4:10:18054875:18057172:-1 gene:Potri.010G182300.v4.1 transcript:Potri.010G182300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182300.v4.1 MTVYGVPPVSVVGENYCAPYPLELIVKKKIKKLSNAQFEVFDLSGNLLLQVDGGVWNFQLKRVLRDPAGFPILTIRGKVLTLWHKWKAHAGESTDDSNVLFTVKQSHPLQIKKAINVFLTNNSKKKEPDFHISGSYTSLSFKVYEGRRLIAEVKHNFTLESFCKGKERYKVKVYPEVDYAFVVALLVILDENDTP >Potri.004G119400.3.v4.1 pep chromosome:Pop_tri_v4:4:11289577:11291097:-1 gene:Potri.004G119400.v4.1 transcript:Potri.004G119400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G119400.v4.1 MQLVPLKCLFQLGQANEWFFFSTKSKDDDITVIDGGYYEIDPDGAAPITWEGKIVGHVKTLFFYQGSPPNGTDTEWMVEEFRINPEFVPVDKADHNTQEKITNLVVCKIYRMRPLPEP >Potri.004G119400.2.v4.1 pep chromosome:Pop_tri_v4:4:11289577:11290982:-1 gene:Potri.004G119400.v4.1 transcript:Potri.004G119400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G119400.v4.1 MSSGVPVAAGYKFCPMDDDLVVYYLKRKILGLFQLGQANEWFFFSTKSKDDDITVIDGGYYEIDPDGAAPITWEGKIVGHVKTLFFYQGSPPNGTDTEWMVEEFRINPEFVPVDKADHNTQEKITNLVVCKIYRMRPLPEP >Potri.004G119400.1.v4.1 pep chromosome:Pop_tri_v4:4:11289224:11291095:-1 gene:Potri.004G119400.v4.1 transcript:Potri.004G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G119400.v4.1 MSSGVPVAAGYKFCPMDDDLVVYYLKRKILGEQLPANLIPTIDVYASSPDKLPLGLFQLGQANEWFFFSTKSKDDDITVIDGGYYEIDPDGAAPITWEGKIVGHVKTLFFYQGSPPNGTDTEWMVEEFRINPEFVPVDKADHNTQEKITNLVVCKIYRMRPLPEP >Potri.001G101000.2.v4.1 pep chromosome:Pop_tri_v4:1:8120391:8121215:1 gene:Potri.001G101000.v4.1 transcript:Potri.001G101000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101000.v4.1 MGFPVGYSELLLPKLLLHALSVLGFFRKLINTLFHYLGLPDFLEPNTSSSSTNNIPPFGVPEFHNHSVSALLIREILPVVKFSELVDPSADCCAVCLYEFKEVDEIRRLANCRHIFHKCCLDRWMGYDQITCPLCRTPVIPDDMQESFNERLWAASGIPDFYGEYSQTPDF >Potri.008G004700.8.v4.1 pep chromosome:Pop_tri_v4:8:196267:198057:1 gene:Potri.008G004700.v4.1 transcript:Potri.008G004700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004700.v4.1 MASNGAKQSFLSGLVDPTSQRIDDCSPNRKRFRSLQSTLAEFVPSDVGGKWPIPSYEFLFGGLHQSLKTLAMILAVYVSAGTMCFYAVRDDIKGKTSNPILDSLYFCIVTMTTVGYGDLVPNSASVKLAVCVFVFIGMALVGLILSKAGDYLVEKQEILLVEALHMHQKLGPAAFLKETDIYKVKYKCYLAVAILSGLMMIGSVFLYMIEDLDVVDSFYCVCSTISTLGYGDKSFSTGYGRMFAVVWIMTGTVGLGQLYMYIVELFTESRQRKLVNWVLTKMMANSDFEAANIDDDAVGTAELILYKLKEMGKISQEDIMLAQTTPMKK >Potri.008G004700.9.v4.1 pep chromosome:Pop_tri_v4:8:196267:198107:1 gene:Potri.008G004700.v4.1 transcript:Potri.008G004700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004700.v4.1 MASNGAKQSFLSGLVDPTSQRIDDCSPNRKRFRSLQSTLAEFVPSDVGGKWPIPSYEFLFGGLHQSLKTLAMILAVYVSAGTMCFYAVRDDIKGKTSNPILDSLYFCIVTMTTVGYGDLVPNSASVKLAVCVFVFIGMALVGLILSKAGDYLVEKQEILLVEALHMHQKLGPAAFLKETDIYKVKYKCYLAVAILSGLMMIGSVFLYMIEDLDVVDSFYCVCSTISTLGYGDKSFSTGYGRMFAVVWIMTGTVGLGQLYMYIVELFTESRQRKLVNWVLTKMMANSDFEAANIDDDAVGTAELILYKLKEMGKISQEDIMLAQTTPMKK >Potri.008G004700.4.v4.1 pep chromosome:Pop_tri_v4:8:196267:198046:1 gene:Potri.008G004700.v4.1 transcript:Potri.008G004700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004700.v4.1 MASNGAKQSFLSGLVDPTSQRIDDCSPNRKRFRSLQSTLAEFVPSDVGGKWPIPSYEFLFGGLHQSLKTLAMILAVYVSAGTMCFYAVRDDIKGKTSNPILDSLYFCIVTMTTVGYGDLVPNSASVKLAVCVFVFIGMALVGLILSKAGDYLVEKQEILLVEALHMHQKLGPAAFLKETDIYKVKYKCYLAVAILSGLMMIGSVFLYMIEDLDVVDSFYCVCSTISTLGYGDKSFSTGYGRMFAVVWIMTGTVGLGQLYMYIVELFTESRQRKLVNWVLTKMMANSDFEAANIDDDAVGTAELILYKLKEMGKISQEDIMLAQTTPMKK >Potri.002G047750.1.v4.1 pep chromosome:Pop_tri_v4:2:3168393:3170096:-1 gene:Potri.002G047750.v4.1 transcript:Potri.002G047750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047750.v4.1 MRKGRRPLLGVVISSDQQFLHGFRSSDSFLNQGEMQGDQLHRAAILVRKRKCGFLSYSRMCNSILLLLQMVMARWRGFVWPGASLGLIV >Potri.002G047750.2.v4.1 pep chromosome:Pop_tri_v4:2:3168292:3170096:-1 gene:Potri.002G047750.v4.1 transcript:Potri.002G047750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047750.v4.1 MRKGRRPLLDSFLNQGEMQGDQLHRAAILVRKRKCGFLSYSRMCNSILLLLQMVMARWRGFVWPGASLGLIV >Potri.008G032901.2.v4.1 pep chromosome:Pop_tri_v4:8:1787143:1789454:-1 gene:Potri.008G032901.v4.1 transcript:Potri.008G032901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032901.v4.1 MVVAGPGGGGGIGGVADMKSLTTQIVTGRWFMVFASLLIMSAAGATYMFALYSPDIKDALGYDQTTLNLLSFFKDVGSNVGVLSGLINEVTPPWVVLSMGAVLNFFGYFMIWLAVTKKITGVQVWHMCLYICIGANSQSFANTGSLVTCVKNFPESRGSVLGILKGYVGLSGAIITQFFHAFYGGDTKALILLIGWLPAAISFAFLRTIRIMKVIRQPNELKVFYNFLYISLALAGFLMIVIILEKTLSFTRGEYGGSAAVVILMLFVPLGVVIVEEYKIWNSKRQALNDPSPLKIVTEKPPPSQEIPAPSPPPPSTSSDKKLVTVESSPSTTDNSNVSCWKTAFRPPDRGEDFTILQALFSVDMLILFLATICGIGGTLTAIDNLGQIGTSLGYPKKSISTFVSLVSIWNYLGRVVSGFGSEHVLKKYKFSRPLLFTLTLLLSCVGHLLIAFNVSGGLYVASVIIGFCFGAQWPLLFAIISELFGLKYYSTLYNFGAAASPVGLYLLNVRLTGHLYDKEAGKQLAAKGLKRKHGDALDCVGTECFRLSFIIITAATLFGTFVSLILVHRTRKFYKSDIYKKFREAAEEAETEMAVSGNGVGRAETKNG >Potri.005G131800.1.v4.1 pep chromosome:Pop_tri_v4:5:10182154:10184535:-1 gene:Potri.005G131800.v4.1 transcript:Potri.005G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131800.v4.1 MNPLSLTTLIQKCTTITSLRKAYQLHALILTTTISTSYAQCPYLNNNILSMYARCGSLFNAKKVFDKMPQRNIVSYNALISAFSRDSNHGFLSLKLFALMGTQGLTPNGSTFSSSLQACCLLEDWFMGSLIHGQSVKHGFFNDVFVQTSLLGMYSNCGDLESANKVFGCVVQKDAVLWNSMIFGNLKNEKLEEGVLLFGKLVRHGIVPTEFTYSMILNACGKLGDCRWGQVIHAQVIVLNVLADLPLQNALLDMYCSCGDTETGFNVFNKIENPDLVSWNSMISGYAENGEGADAMNLFLQLVGVFLPKPDEYTFAAVISATSAFSATCYGKPLHAQVLKVGSERSVFIGTTLLSMYLKNGDIESAEQVFNMIEGKDVVLWTEMIMGHSRLGGGESAIKLFSMMCHEGYKIDSFALSGALSACADLATLNQGEMIHTQTVKRGCDAEISVCGSLVHMYAKNGDLHAARSIFSQVSNPDLKCWNSMLGGYSQHGMAEEAMIIFAKILVNGQRPDQVTFLSLLSACSHSGLVEEGKLLWSHIKKNDVIPGPKHYACMVSLLSRAGLLDEAEELIIKSTYSKDHLELWRTLLSSCVNKRNLKIGVRAAEEILQLEPEDSATHILLSNLYAAAGRWEAVAEMRRKISGLMIEKDPGLSWIEGKK >Potri.019G023900.4.v4.1 pep chromosome:Pop_tri_v4:19:3521706:3523438:1 gene:Potri.019G023900.v4.1 transcript:Potri.019G023900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023900.v4.1 MATKKEKLQFPPQTQPQQPGKEYPMHPLPQHINPDYKPSNKLQVHHCSALQFFCLLIVFQSEQQMKYLLYIQFRGRERLLCSLSFCTRGAFTYVEGIEDRDKVDALKLILGAIPSDVSIEDNCKSVVDHVVREYGRIDILVNNAAVQHYSTTLEEVTEAWLERLFRTNIFGYFFLTKWCYCQCLILLAALLARHGSMVVYCRNDR >Potri.019G023900.3.v4.1 pep chromosome:Pop_tri_v4:19:3522211:3522960:1 gene:Potri.019G023900.v4.1 transcript:Potri.019G023900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023900.v4.1 MATKKEKLQFPPQTQPQQPGKEYPMHPLPQHINPDYKPSNKLQVHHCSALQFFCLLIVFQSEQQMKYLLYIQFRGRERLLCSLSFCTRGAFTYVEGIEDRDKVDALKLILGAIPSDVSIEDNCKSVVDHVVREYGRIDILVNNAAVQHYSTTLEEVTEAWLERLFRTNIFGYFFLTKHCLKHMKAGSFIINTTSLAAYGGSAELLDYSSTEGATVSFMLIYLFVCF >Potri.009G125900.1.v4.1 pep chromosome:Pop_tri_v4:9:10448686:10449535:1 gene:Potri.009G125900.v4.1 transcript:Potri.009G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125900.v4.1 MSKCNKIRHIVRIQQMLKRWRRKARVTAGATSSRTAAAPSDVPVGHVAICVGASCKRFVVRATYLNHPIFKNLLVEAEEVYGFKNTGPLTIPCDEAVFEEIIRVVSISDPIQSGRFLNLDEIKRCCHVGLRGNIELLGESTPLLHG >Potri.006G022000.4.v4.1 pep chromosome:Pop_tri_v4:6:1492666:1496780:1 gene:Potri.006G022000.v4.1 transcript:Potri.006G022000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022000.v4.1 MDFLDSRRKSNSAGKVIAVAFFIAVCIVMLKQVYSPSYTSPDMFSQHELGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFAENAFDAVMHFAAVAYVGESTIEPLRYYHNITSNTLVVLEAMAAHNVKTLIYSSTCATYGEPIKMPIREETPQLPINPYGKAKKMAEDIIIDFSNTTDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGITPGLKVKGTDYKTADGTCVRDYIDVTDLVDAHVKALAHAKPRKVGIYNVGTGKGRSVKEFVDACKKATGVDIKVEYLDRRPGDYAEVFSDPSKIKQELSWTAQYTDLQKSLQIAWKWQKSHLNGY >Potri.006G022000.3.v4.1 pep chromosome:Pop_tri_v4:6:1492584:1496781:1 gene:Potri.006G022000.v4.1 transcript:Potri.006G022000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022000.v4.1 MDFLDSRRKSNSAGKVIAVAFFIAVCIVMLKQVYSPSYTSPDMFSQHELGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFAENAFDAVMHFAAVAYVGESTIEPLRYYHNITSNTLVVLEAMAAHNVKTLIYSSTCATYGEPIKMPIREETPQLPINPYGKAKKMAEDIIIDFSNTTDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGITPGLKVKGTDYKTADGTCVRDYIDVTDLVDAHVKALAHAKPRKVGIYNVGTGKGRSVKEFVDACKKATGVDIKVEYLDRRPGDYAEVFSDPSKIKQELSWTAQYTDLQKSLQIAWKWQKSHLNGY >Potri.006G022000.2.v4.1 pep chromosome:Pop_tri_v4:6:1492537:1496824:1 gene:Potri.006G022000.v4.1 transcript:Potri.006G022000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022000.v4.1 MDFLDSRRKSNSAGKVIAVAFFIAVCIVMLKQVYSPSYTSPDMFSQHELGVTHVLVTGGAGYIGSHAALRLLKDSYRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGDAKAVNKIFAENAFDAVMHFAAVAYVGESTIEPLRYYHNITSNTLVVLEAMAAHNVKTLIYSSTCATYGEPIKMPIREETPQLPINPYGKAKKMAEDIIIDFSNTTDMAVMILRYFNVIGSDPEGRLGEAPRPELREHGRISGACFDAARGITPGLKVKGTDYKTADGTCVRDYIDVTDLVDAHVKALAHAKPRKVGIYNVGTGKGRSVKEFVDACKKATGVDIKVEYLDRRPGDYAEVFSDPSKIKQELSWTAQYTDLQKSLQIAWKWQKSHLNGY >Potri.003G133100.1.v4.1 pep chromosome:Pop_tri_v4:3:15104897:15109933:1 gene:Potri.003G133100.v4.1 transcript:Potri.003G133100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133100.v4.1 MDASVELNYPVDVVVSAAAAAAKKNKSFVMGSDEVFGRDNNNDNNDNIRVSTLVGKEVVEVEESILVNPRAAAASIQHCSSLFAQKDETSSLTALESISWEKVLPLEHREDVIKKPSSPIGDISKQLNCSGSNGPSWIPRSEEVQVQRRGGKVTRSSSGCSKRPRLSPFEDSTGPAGVADPKDSSDKLGSHPTEIDCNEKTQSAKKKNNFGRKRGDRRHSKVTLKTKFDSFSVKAGLASFGSAGGGNSYFGLCGLKTDDHDITKLVDHISLNDVLDGTYECPSLGKDKGKKAINATENILHSVVKACFILHFSRPAQLQNFAETDVYSNEKMPPCPSYSVSIVENGDSSATDISSFTKDSCNKPETPANLLDFSFDQPKDTLDRLALPPPKDLESLLLDATKLAASSRHAPDPRPGKQTSRQASLPAFPWSHTFSGQHSRTNSDAVKCSPSRSTCQGRWVRIGDSFNSPGCASDTLTNLESCAYDETLVPSQVTKLAVLGNNVDSLKPWCGWGLSSSQASMTSHVLLESEDDLKSQGRVERCPRLLEAAQTLYDIATHVARLNQDGILRRPKELLQKAMKARRTKSIEKPDDVSAASTSSMGSDHKSRSGMDQIKPTKRPKPSTIRDKKDLDHIDSVRKGPINWSAPKSRRASPIKLIRDSIAESRHSAAYILKEACMMPPPPAKVLNRTCNGQQKVRKLMQMD >Potri.013G035100.1.v4.1 pep chromosome:Pop_tri_v4:13:2260337:2286389:-1 gene:Potri.013G035100.v4.1 transcript:Potri.013G035100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035100.v4.1 MAFMAVLESDLRSLSSEARRRYPAVKDGAEHAILKLRSLSSPSEIADNEDILRIFLMACEVRTVKLSVIGLSCLQKLISHDAVAPSALKEILSTLKTHAEMADESVQLKTLQTILIIFQSRLHPESEANMAQALHICLRLLENNRSSDSVRNTAAATIRQAVALIFDHVVHVESLPVGKFGSGGHISRSSSVTGDVNRSINNSESWEHEIVSRGQSLMRETLTNAGKLGLRLLEDLTALAAGGSAIWLHVNSLQRIFALDILEFILSNYVVIFKVLVPYEQVLRHQICSLLMTSLRTNAELEGEAGEPSFRRLVLRSVAHIIRLYSASLITECEVFLSMLVKVTSLDLPLWHRILVLEILRGFCVEARTLRTLFQNFDMHPKNTNVVEGMVKALARVVSNVQVQETSEESLAAVAGMFSSKAKGIEWILDNDASNAAVLVASEAHAITVAVEGLLGVIFTVATLTDEAVDVGELDSPRYEYDPVERYSGKTTVLCIAMVDSLWLTILDALSLILSRSQGEAIVLEILKGYQAFTQACGVLHAVEPLNSFLASLCKFTINFPNEAEKRSAGLSPGSKRSEALVEQRDSIVLTQKNVQALRTLFNVAHRLHNVLGPSWVLVLETLAALDRTIHSPHATTQEVSMPVPKLTRESSGQYSDFSILSSLNSQLFESSAMMHISAVKSLLSALCQLSHQCMLGTSSGVGLAVSQKIGSITFSVERMISILVNNLHRVEPLWDHVVGHFLELADNPNQHLRNMALDALDQSICAVLGSEQFQDYVSSRLQETSHEMEAGDSQLKLLECSVISPLRVLYSSTQSIDVRAGSLKILLHVLERHGEKLHYSWLNILEMLRSVADASEKDLVTLGFQNLRVIMNDGLTSIPADCLHVCVDVTGAYSAQKTELNISLTAIGLLWTTTDFIVKGLLHGPTEGKETGFHDEHSVMKQINGDLGETLSSELPDKVNDRAATINIIDCDKLLFSVFSLLQTLGADDRPEVRNAAVRTLFQTLGSHGQKLSKSMWEDCLWNYVFPAVDRASHMAATSSKDEWQGKELGTRGGKAVHMLIHHSRNTVQKQWDETLVLVLGGIARLLRSFFPLLSDLSNFWSGWESLLLLLRNSILNGSKEVAIAAINCLQTTVHSHCSKGNLPLPYLNSILDVYGHILQKSPNYNDNAASKVKQEILHGLGELYVQAQKMFDAKMFSQLLGTIDLAVKEATLTNDNFETEFGHVPPVLRTILEILPLLCPTEYISSMWPILLRELLQYLPKSYSSLQKEEADARQASITDKSPDNNIRKQNEILNGTTSVSPKKAGDPSQGSGSSTTIVAGIPSYLFAEKLVPVLLDLLLKAPTIEKHIVFPEIIQTLGRCMTTRRDNPDGSLWRVAVEGFNRIIVDDVSGFTLNCGTDSKISKTASMRIWKEVADVYEIFLVGYCGRAIPSNSLSSEALRADEALEMTILNILGDKILKSPIDAPSEILQRLVLTMDRCASRTCSLPVETVELMPLHCSRFSLACLRTLFSLSSCDEASDWNMTRCEVSKISIVVLLTRCEDIFKRFLIDENDLGERPLPTTRLEEIIYALQELANLIIHSETASVLPLHPYLRSGLSDDEDHEKRPHLLALFPSFCELIITREARVRELVQVLMRHITRELALEKVNIAS >Potri.004G146800.2.v4.1 pep chromosome:Pop_tri_v4:4:16909828:16912115:-1 gene:Potri.004G146800.v4.1 transcript:Potri.004G146800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146800.v4.1 MMIKTMLRHKIATQMIVKVMVMLMMMMMMMIAINAADTNEVFDPCSDAMVQKFDGFTFGLAFSDKDSFFSNQVQLSPCDSRLALSKKAQLAVFRPQVDEISLLTINSSPSDPGAPGPLGSYMVAFAGRKHAARSFPVMISDNNTIITSFTLVLEFQKGTLQTLYWKKFGCQSCSKDFVCLGGEDCALPISKCKSNGGAHDCNLSIQLTFSGTDKNLQALNSWYEVSNLRQYSLYGLYSNLRDSVVGHFDGLF >Potri.008G201600.4.v4.1 pep chromosome:Pop_tri_v4:8:14349608:14359458:1 gene:Potri.008G201600.v4.1 transcript:Potri.008G201600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G201600.v4.1 MAISMQNTIWDSVLEITKVAQEKGSDPLIWALQVSSSLSSSGVGLPSPELANVLVSYIFWDNNMPILWKLLEKALALRIVPPLMVLALLSDRVVPCRRSRPVAYRLYMELLKTFAFALKGQINVPNYEMVMKSIDGVLHLSHNFGLEATSPGILVVEFLYSIVSQLLDASLDDEGLLELIPEMKSRWATKPQEMEIDANDNYNQMQTEYHEKLYKMNTIMAIEMIGKFLQDKSTSRILDLVRQNFPTHWIRFFQRLQLLGTNSSALRNSKILTAEDLLQLTTGSGSNIVLSRESKTSSLQKFHSVMAFGSLVSSSGLCQGASHSALWLPLDLALEDAMDGYQVNATSAIEIITGSVKALQAINGTTWHETFLGLWVAALRLVQREREPIEGPIPRLDARLCILLSITTLVVADLIAEDENTPIDESECGSTNHWKEKKLSGKRRIDLVSSLQLLGDYQTLLSPPQSVVSSANQAVAKAMLFVSGINVGSTYSECISMKDLPINCCLVLLLSAGNMRHLIVEACIARGLLDTSAYFWPGYVNGCINQIPHSMPAQVPGWSSFMKGVPLSLSMVNALVSSPASSLAELEKIFELAVKGSDDEKISAATVLCGASLLRGWNIQEHTAHFITRLLSPPVPAEYSGSESHLIRYAPILNVLIVGIATVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPDVSWTLPTGEDISAHAVFSNAFALLLKLWRFNHPPLERGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNVLKDQNKRRLSAVATSSSAQPIFLDSFPKLKVWYRQHQKCLAATLSDLVHGTPVHQIVNVLLNMMFRKINRGSQSLTTVTSVSSGSSGPGTDDSTPRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGVWKPVFMNGTDWPSPAANLSIVEEKIKKILAATGVDVPSLAAGVSSLATIPLPLAAFVSLTITYKIDKASERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNNDAVFQLLKSCFSATLGPNAAAISSNGGVGALLGHGFGSHFSGGISPVAPGILYLRVYRSIRDIVSLMEDIISLMMLSVREIACTGLPRERLEKLKRSKNGLRCGQFSLTAAMTRVKLAASLGASLIWLSGGLGLVQALFKETLPSWFIAVHRSEQEEGSKGMVAMLGGYALAFFSVHCGALAWGVDSSSKRRPKVLGVHMEFLASALDGKISLGCDCTTWRAYVSGFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEKDLALALLETGGVETMGEAAELIIEDQ >Potri.008G201600.1.v4.1 pep chromosome:Pop_tri_v4:8:14349661:14359525:1 gene:Potri.008G201600.v4.1 transcript:Potri.008G201600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G201600.v4.1 MAISMQNTIWDSVLEITKVAQEKGSDPLIWALQVSSSLSSSGVGLPSPELANVLVSYIFWDNNMPILWKLLEKALALRIVPPLMVLALLSDRVVPCRRSRPVAYRLYMELLKTFAFALKGQINVPNYEMVMKSIDGVLHLSHNFGLEATSPGILVVEFLYSIVSQLLDASLDDEGLLELIPEMKSRWATKPQEMEIDANDNYNQMQTEYHEKLYKMNTIMAIEMIGKFLQDKSTSRILDLVRQNFPTHWIRFFQRLQLLGTNSSALRNSKILTAEDLLQLTTGSGSNIVLSRESKTSSLQKFHSVMAFGSLVSSSGLCQGASHSALWLPLDLALEDAMDGYQVNATSAIEIITGSVKALQAINGTTWHETFLGLWVAALRLVQREREPIEGPIPRLDARLCILLSITTLVVADLIAEDENTPIDESECGSTNHWKEKKLSGKRRIDLVSSLQLLGDYQTLLSPPQSVVSSANQAVAKAMLFVSGINVGSTYSECISMKDLPINCSGNMRHLIVEACIARGLLDTSAYFWPGYVNGCINQIPHSMPAQVPGWSSFMKGVPLSLSMVNALVSSPASSLAELEKIFELAVKGSDDEKISAATVLCGASLLRGWNIQEHTAHFITRLLSPPVPAEYSGSESHLIRYAPILNVLIVGIATVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPDVSWTLPTGEDISAHAVFSNAFALLLKLWRFNHPPLERGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNVLKDQNKRRLSAVATSSSAQPIFLDSFPKLKVWYRQHQKCLAATLSDLVHGTPVHQIVNVLLNMMFRKINRGSQSLTTVTSVSSGSSGPGTDDSTPRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGVWKPVFMNGTDWPSPAANLSIVEEKIKKILAATGVDVPSLAAGVSSLATIPLPLAAFVSLTITYKIDKASERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNNDAVFQLLKSCFSATLGPNAAAISSNGGVGALLGHGFGSHFSGGISPVAPGILYLRVYRSIRDIVSLMEDIISLMMLSVREIACTGLPRERLEKLKRSKNGLRCGQFSLTAAMTRVKLAASLGASLIWLSGGLGLVQALFKETLPSWFIAVHRSEQEEGSKGMVAMLGGYALAFFSVHCGALAWGVDSSSKRRPKVLGVHMEFLASALDGKISLGCDCTTWRAYVSGFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEKDLALALLETGGVETMGEAAELIIEDQ >Potri.008G201600.5.v4.1 pep chromosome:Pop_tri_v4:8:14349610:14359412:1 gene:Potri.008G201600.v4.1 transcript:Potri.008G201600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G201600.v4.1 MAISMQNTIWDSVLEITKVAQEKGSDPLIWALQVSSSLSSSGVGLPSPELANVLVSYIFWDNNMPILWKLLEKALALRIVPPLMVLALLSDRVVPCRRSRPVAYRLYMELLKTFAFALKGQINVPNYEMVMKSIDGVLHLSHNFGLEATSPGILVVEFLYSIVSQLLDASLDDEGLLELIPEMKSRWATKPQEMEIDANDNYNQMQTEYHEKLYKMNTIMAIEMIGKFLQDKSTSRILDLVRQNLYVSFTLPTHWIRFFQRLQLLGTNSSALRNSKILTAEDLLQLTTGSGSNIVLSRESKTSSLQKFHSVMAFGSLVSSSGLCQGASHSALWLPLDLALEDAMDGYQVNATSAIEIITGSVKALQAINGTTWHETFLGLWVAALRLVQREREPIEGPIPRLDARLCILLSITTLVVADLIAEDENTPIDESECGSTNHWKEKKLSGKRRIDLVSSLQLLGDYQTLLSPPQSVVSSANQAVAKAMLFVSGINVGSTYSECISMKDLPINCSGNMRHLIVEACIARGLLDTSAYFWPGYVNGCINQIPHSMPAQVPGWSSFMKGVPLSLSMVNALVSSPASSLAELEKIFELAVKGSDDEKISAATVLCGASLLRGWNIQEHTAHFITRLLSPPVPAEYSGSESHLIRYAPILNVLIVGIATVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPDVSWTLPTGEDISAHAVFSNAFALLLKLWRFNHPPLERGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNVLKDQNKRRLSAVATSSSAQPIFLDSFPKLKVWYRQHQKCLAATLSDLVHGTPVHQIVNVLLNMMFRKINRGSQSLTTVTSVSSGSSGPGTDDSTPRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGVWKPVFMNGTDWPSPAANLSIVEEKIKKILAATGVDVPSLAAGVSSLATIPLPLAAFVSLTITYKIDKASERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNNDAVFQLLKSCFSATLGPNAAAISSNGGVGALLGHGFGSHFSGGISPVAPGILYLRVYRSIRDIVSLMEDIISLMMLSVREIACTGLPRERLEKLKRSKNGLRCGQFSLTAAMTRVKLAASLGASLIWLSGGLGLVQALFKETLPSWFIAVHRSEQEEGSKGMVAMLGGYALAFFSVHCGALAWGVDSSSKRRPKVLGVHMEFLASALDGKISLGCDCTTWRAYVSGFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEKDLALALLETGGVETMGEAAELIIEDQ >Potri.008G201600.3.v4.1 pep chromosome:Pop_tri_v4:8:14349610:14359390:1 gene:Potri.008G201600.v4.1 transcript:Potri.008G201600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G201600.v4.1 MAISMQNTIWDSVLEITKVAQEKGSDPLIWALQVSSSLSSSGVGLPSPELANVLVSYIFWDNNMPILWKLLEKALALRIVPPLMVLALLSDRVVPCRRSRPVAYRLYMELLKTFAFALKGQINVPNYEMVMKSIDGVLHLSHNFGLEATSPGILVVEFLYSIVSQLLDASLDDEGLLELIPEMKSRWATKPQEMEIDANDNYNQMQTEYHEKLYKMNTIMAIEMIGKFLQDKSTSRILDLVRQNFPTHWIRFFQRLQLLGTNSSALRNSKILTAEDLLQLTTGSGSNIVLSRESKTSSLQKFHSVMAFGSLVSSSGLCQGASHSALWLPLDLALEDAMDGYQVNATSAIEIITGSVKALQAINGTTWHETFLGLWVAALRLVQREREPIEGPIPRLDARLCILLSITTLVVADLIAEDENTPIDESECGSTNHWKEKKLSGKRRIDLVSSLQLLGDYQTLLSPPQSVVSSANQAVAKAMLFVSGINVGSTYSECISMKDLPINCCFLIVGLVLLLSAGNMRHLIVEACIARGLLDTSAYFWPGYVNGCINQIPHSMPAQVPGWSSFMKGVPLSLSMVNALVSSPASSLAELEKIFELAVKGSDDEKISAATVLCGASLLRGWNIQEHTAHFITRLLSPPVPAEYSGSESHLIRYAPILNVLIVGIATVDCVQIFSLHGLVPQLACSLMPICEVFGSCVPDVSWTLPTGEDISAHAVFSNAFALLLKLWRFNHPPLERGVGDVPTVGSQLTPEYLLSVRNSHLVSSGNVLKDQNKRRLSAVATSSSAQPIFLDSFPKLKVWYRQHQKCLAATLSDLVHGTPVHQIVNVLLNMMFRKINRGSQSLTTVTSVSSGSSGPGTDDSTPRPKLPAWDILEAVPFVVDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVSRGVWKPVFMNGTDWPSPAANLSIVEEKIKKILAATGVDVPSLAAGVSSLATIPLPLAAFVSLTITYKIDKASERFLNLAGPALESLAAGCPWPCMPIVASLWTQKAKRWFDFLVFSASRTVFLHNNDAVFQLLKSCFSATLGPNAAAISSNGGVGALLGHGFGSHFSGGISPVAPGILYLRVYRSIRDIVSLMEDIISLMMLSVREIACTGLPRERLEKLKRSKNGLRCGQFSLTAAMTRVKLAASLGASLIWLSGGLGLVQALFKETLPSWFIAVHRSEQEEGSKGMVAMLGGYALAFFSVHCGALAWGVDSSSKRRPKVLGVHMEFLASALDGKISLGCDCTTWRAYVSGFVSLMVGCTPSWVLEVDADVLKRLSKGLRQWNEKDLALALLETGGVETMGEAAELIIEDQ >Potri.006G226100.2.v4.1 pep chromosome:Pop_tri_v4:6:23055624:23058521:-1 gene:Potri.006G226100.v4.1 transcript:Potri.006G226100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226100.v4.1 MEEGNTRSSKFKRVCVFCGSNSGNRQVFSDAAIELGDELVKRKIELVYGGGSVGLMGLISQKVYDGGCHVLGVIPKALMPLEISGQTVGEVRTVVDMHERKAVMAKESDAFIALPGGYGTMEELLEMITWSQLGIHKKPVGLLNVDGYYNCLLALFDNGVEQGFIKPGARDIVVSAPTAKELMEKMELYTPSHKQVAPRESWNMEQLGDYPKQQNAQ >Potri.006G226100.4.v4.1 pep chromosome:Pop_tri_v4:6:23055521:23058528:-1 gene:Potri.006G226100.v4.1 transcript:Potri.006G226100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226100.v4.1 MEEGNTRSSKFKRVCVFCGSNSGNRQVFSDAAIELGDELVKRKIELVYGGGSVGLMGLISQKVYDGGCHVLGVIPKALMPLEISGQTVGEVRTVVDMHERKAVMAKESDAFIALPGGYGTMEELLEMITWSQLGIHKKPVGLLNVDGYYNCLLALFDNGVEQGFIKPGARDIVVSAPTAKELMEKMELYTPSHKQVAPRESWNMEQLGDYPKQQNAQ >Potri.001G050800.2.v4.1 pep chromosome:Pop_tri_v4:1:3848810:3850578:1 gene:Potri.001G050800.v4.1 transcript:Potri.001G050800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050800.v4.1 MAEQDNTQIDGEIQNLVNKLDKCIANFDGKRQVFDNAIGEKIQSLKKDRGEARELRLSLHQESGDTRSKKIDVVNQWLNQKALISGDPDYIRRAMMSYGAQKQFIIDVQEAKNGREAVYLHLGGASFDIILMDSQMPVMKGHEAVKKLRQMGVKSRIIGVSYQFEKPAFRGSSINKWIKKPLNLEKIAAIFC >Potri.001G050800.1.v4.1 pep chromosome:Pop_tri_v4:1:3848810:3850578:1 gene:Potri.001G050800.v4.1 transcript:Potri.001G050800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050800.v4.1 MAEQDNTQIDGEIQNLVNKLDKCIANFDGKRQVFDNAIGEKIQSLKKDRGEARELRLSLHQESGDTRSKKIDVVNQWLNQKALISGDPDYCDLSVLIVDDDRAVRDSIRRAMMSYGAQKQFIIDVQEAKNGREAVYLHLGGASFDIILMDSQMPVMKGHEAVKKLRQMGVKSRIIGVSYQFEKPAFRGSSINKWIKKPLNLEKIAAIFC >Potri.006G270500.1.v4.1 pep chromosome:Pop_tri_v4:6:26369643:26375495:-1 gene:Potri.006G270500.v4.1 transcript:Potri.006G270500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270500.v4.1 MVCEMENDQMEDMDIEALSSMWPEDIDSSKPYVEKPVGDQDMLEEVTIVEGPTIVDFHHLVELTNYTDRGSSQLAHLVQHWEYKQANAVRLLREELDILNKQREEVELKKLEILEDFRFEEERYSGDKRQISILDEIFDIYQDIPRRKSDIIVQSKRVDIDAEFDTVAYWKQRVVHLEKLLEASVHREELLTEKLQESIQNLEKQSSPVEELTQILKRADNFLHFVLQNAPVVIGHQDKDLRYRFIYNHFPRLQEEEIIGKTDVEIFSGAGVKESQDFKKEVLDKGLPAKREITFETELFGSKTFLIYVEPVFSKSGETIGINYMGMDVTDQVRKREKMARLREEIAVQKAKETELNKTIHITEETMRAKQMLATMSHEIRSPLSGVVSMAEILSTTNLDREQRQLLNVMISSGDLVLQLINDILDLSKVESGVMKLEATKFRPREVVKHVLQTAAASLQKILTLEGRVADDVPIEVIGDVLRIRQILTNLISNAIKFTHEGKVGIKLYVVSDPCYGKAERKHQKSSADQSTTNEPKEGKPTSSSQSSSDRKSFHSTKYSEGPYPNHLPSNEPQTPVKNGNTMDGDKGEEPEVPGTTVWLCCDVYDTGIGIPDNALPTLFKKYMQVSADHARKYGGTGLGLAICKQLVELMGGRLTVSSKVKCGSTFTFVLPYKVSSTCDSSDDPDDLSEMADHDAPLEDETAGFFQFQPRTLGSLFSSNGSTRTQKLLPHSIGFSNSPILNGFSEDSCSFPSGNVRLKETTSVEDACSMVEVAETLSEPESSFSHSPDRDNENVVCRRKRCPDETKSKIPNGTKNCNNHKEVSGDPPGSCQAQEKSATSSQCTSSSIPQEPESKPKPKILLVEDNKINVMVTKSMMKQLGHTMDVVNNGVEAVRAVQSYSYDLILMDVCMPVMNGLQATQLIRSFEETGNWDAAIKAGIEPCAPSSASVLDGQSSIHDHKRIPIIAMTANALSESAEECYANGMDSFVSKPVTFQKIKECLEQYLP >Potri.010G000301.1.v4.1 pep chromosome:Pop_tri_v4:10:43357:43836:-1 gene:Potri.010G000301.v4.1 transcript:Potri.010G000301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G000301.v4.1 MFANYASSFNNRCGGRKYNSGQGQNYTPNTSYYTYRGRGHGGRYGQNGRHNSTNSEKPQCQLYGKFGHTVQVCYLKFDIFYQSSQNSCTPFSNAGNQNSIPAMIASSNNLVDDTWYLDFRASHHLTQNVENITSSTPYMGTNKVTIGNGKHLSISNTGS >Potri.008G144300.3.v4.1 pep chromosome:Pop_tri_v4:8:9793769:9800768:-1 gene:Potri.008G144300.v4.1 transcript:Potri.008G144300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144300.v4.1 MDYERIEKPQGGGGGLSPGKLRSMILGVEKKRNQQEQEEELESSNAFRSQLNHLDETGGGSSDNCKDVDVVNVLPEFPASTTADSRAASQMVSDGSRLKDQSFVNSRIRSQEVPSFDYDKSNETAIVLSSISDFQKAERAPQRVPLAPFSKPAPSKWDDAQKWIASPTWNRPKTGHAQVQGGQGPRKTGNALSRQSSTKVVVEVPEQKVVTFEEPDTKRVDTNQAKKETCLQKLQSWEADSYPIVDSYGKPVLMNENSAGQSAISLSRHDSSLAIHSATTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPIRSPTSSRPSSPGRTAPASSPTNPPNDHLDPNRGLSEKELKLKTKREIMVLGTQLGKMNIAAWASKEGEDKDASTSLKIIAADQQSKNVIETRAAAWEEAEKAKYMARFKREEMKIHAWENHQKAKTEAEMRKIEVEVERIRGQAQDRLMNKLAAARHKAEEKRATAEAKSNRQAAKTDKQAEYIRRTGRVPSSFTFCGWCW >Potri.008G144300.1.v4.1 pep chromosome:Pop_tri_v4:8:9793769:9800152:-1 gene:Potri.008G144300.v4.1 transcript:Potri.008G144300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144300.v4.1 MYIGGGKQGGGGGLSPGKLRSMILGVEKKRNQQEQEEELESSNAFRSQLNHLDETGGGSSDNCKDVDVVNVLPEFPASTTADSRAASQMVSDGSRLKDQSFVNSRIRSQEVPSFDYDKSNETAIVLSSISDFQKAERAPQRVPLAPFSKPAPSKWDDAQKWIASPTWNRPKTGHAQVQGGQGPRKTGNALSRQSSTKVVVEVPEQKVVTFEEPDTKRVDTNQAKKETCLQKLQSWEADSYPIVDSYGKPVLMNENSAGQSAISLSRHDSSLAIHSATTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPIRSPTSSRPSSPGRTAPASSPTNPPNDHLDPNRGLSEKELKLKTKREIMVLGTQLGKMNIAAWASKEGEDKDASTSLKIIAADQQSKNVIETRAAAWEEAEKAKYMARFKREEMKIHAWENHQKAKTEAEMRKIEVEVERIRGQAQDRLMNKLAAARHKAEEKRATAEAKSNRQAAKTDKQAEYIRRTGRVPSSFTFCGWCW >Potri.005G193200.2.v4.1 pep chromosome:Pop_tri_v4:5:20080528:20081856:-1 gene:Potri.005G193200.v4.1 transcript:Potri.005G193200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193200.v4.1 MERSYSHLLLFLALVSLKSFNLLCSAADPTDGFTPVPLTEANFVLQKPYNVPLNERYSYEDGIRHLWVYATDKPHDPSSNTQPRTEVRITGLDYSSGVWQFEGYGFVPNGTSGVTVAQIHGASHGATTLIIRIYDGNMRLTVFIDGEERYSTHDQGPGDLYFKCGVYAAPRNISYYMESRWRDIKIYKK >Potri.005G193200.1.v4.1 pep chromosome:Pop_tri_v4:5:20080528:20081856:-1 gene:Potri.005G193200.v4.1 transcript:Potri.005G193200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193200.v4.1 MERSYSHLLLFLALVSLKSFNLLCSAADPTDGFTPVPLTEANFVLQKPYNVPLNERYSYEDGIRHLWVYATDKPHDPSSNTQPRTEVRITGLDYSSGVWQFEGYGFVPNGTSGVTVAQIHGASHGATTLIIRIYDGNMRYYSGDLVATDLYDKWFRLNIIHDVDGGRLTVFIDGEERYSTHDQGPGDLYFKCGVYAAPRNISYYMESRWRDIKIYKK >Potri.009G136100.1.v4.1 pep chromosome:Pop_tri_v4:9:10979982:10980666:-1 gene:Potri.009G136100.v4.1 transcript:Potri.009G136100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136100.v4.1 MAAAAAKGGRFRELLKKYGKVALGVHFSVSAVSITGLYIAIKNNVDVESLFDKWHLPGFSNQEPTQQDLQELKSQDGFLENDGYNDRSMVVIGEEKKTKRNRTAELVASTGGALTLAVLCNKALFPIRVPITIAVTPPLARFLARRGIIKNGV >Potri.019G040900.1.v4.1 pep chromosome:Pop_tri_v4:19:5654472:5657267:-1 gene:Potri.019G040900.v4.1 transcript:Potri.019G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040900.v4.1 MSLQHLMSDKYVFNGYQDMNFLPSPPPSHLSLSHAFGVMGQPGETGTSNPQMGFQILSHPMGQDRKPRSIQQLESRGADMSDVDFGVQKKSLSLNPGEEEELKTSALGRNGHTKLCARGHWRPAEDAKLKELVAQYGPQNWNLIAENLEGRSGKSCRLRWFNQLDPGINRKAFTEEEEERLLAAHRLYGNKWAMISRLFPGRTDNAVKNHWHVIMARKHRENSSVYRRRKPTSSSQILPKGSLNVTLQNNACSESTTITSTIEESGSTCTDLSLTPSSTKVPARLFTRFSPQGSQRGLSAEKEATVGNVDFDEFYGSSNGFYQNGPMMVVTRVDQSGQSDSNSEDSATGSVVTNRANLCENRNERINMPFIDFLGVGAT >Potri.009G043600.1.v4.1 pep chromosome:Pop_tri_v4:9:5029447:5029719:-1 gene:Potri.009G043600.v4.1 transcript:Potri.009G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043600.v4.1 MASLPIVFASPSVRVYAATASGGSKEEKGLLDWILGSLQKEDQFYETDPILKKVEEKNSGGTTSGRRNSVAVPQKKKKNGGFGGLFAKKD >Potri.007G061560.1.v4.1 pep chromosome:Pop_tri_v4:7:6863232:6863543:1 gene:Potri.007G061560.v4.1 transcript:Potri.007G061560.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061560.v4.1 MNSTISIARRKEWLTQSVAVGVGGAAAGGGFVLSLRNPPTVRRFHSFYSTYKVCCLVHLLARLLLLVLASWLLRHKENVQRSEWVVFEDLDFDGDLGITHAYE >Potri.001G286200.1.v4.1 pep chromosome:Pop_tri_v4:1:29897801:29898448:1 gene:Potri.001G286200.v4.1 transcript:Potri.001G286200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286200.v4.1 MALRTNLFFPNTILQSYFCPSPTTTHFRTRILCTHKKCRSDADLASDLASEVAKINIHLVQREEAMKKSRELLFAELCKYLALDKDEVKRKWSKMDQEEKRVLIKGFVEEWGVNFHPLSARSVKEMIEEYLHEGKPPSHSSGSMLFPGLKRIMGFSQ >Potri.012G125000.1.v4.1 pep chromosome:Pop_tri_v4:12:14200301:14201477:-1 gene:Potri.012G125000.v4.1 transcript:Potri.012G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125000.v4.1 MATTAPSELDPNLLLPAAPSESESESLLSSLVYDTSQQFQMAMGNMLKMITEIDQTTGGIMEEIGKYKDTAFERKKDLEEEKERFQKAAYAVLGMLNDT >Potri.012G125000.2.v4.1 pep chromosome:Pop_tri_v4:12:14187357:14201636:-1 gene:Potri.012G125000.v4.1 transcript:Potri.012G125000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125000.v4.1 MATTAPSELDPNLLLPAAPSESESESLLSSLVYDTSQQFQMAMGNMLKMITEIDQTTGGIMEEIGKYKDTAFERKKDLEEEKERFQKAAYAVLGMLNDT >Potri.002G023000.1.v4.1 pep chromosome:Pop_tri_v4:2:1434868:1436807:1 gene:Potri.002G023000.v4.1 transcript:Potri.002G023000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023000.v4.1 MAAEGVIVLKRAEIDTRAPFRSVKEAVTLFGERVLAGELYANKLKEMHVGGTEYGQGPSRLGTVTAELEETKQRLEKAREQRTLMASCLCSLQEELERTKRELQQLKEREVERHMIESEIEDVKIVEDTTKFEARMQTFHEEAETEFQKKRYVTFANPPSLTRVIIPQVVEALERNPSLRKKKKKPLIPLIGGIFSKKKGKGSASP >Potri.002G023000.2.v4.1 pep chromosome:Pop_tri_v4:2:1434868:1436807:1 gene:Potri.002G023000.v4.1 transcript:Potri.002G023000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023000.v4.1 MAAEGVIVLKRAEIDTRAPFRSVKEAVTLFGERVLAGELYANKLKEMHVGGTEYGQGPSRLGTVTAELEETKQRLEKAREQRTLMASCLCSLQEELERTKRELQQLKEREVERHMIESEIEDVKIVEDTTKFEARMQTFHEEAETEFQKKRYVTFANPPSLTRVIIPQVVEALERNPSLRKKKKKPLIPLIGGIFSKKKGKGSASP >Potri.017G122900.4.v4.1 pep chromosome:Pop_tri_v4:17:12779893:12781906:-1 gene:Potri.017G122900.v4.1 transcript:Potri.017G122900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122900.v4.1 MENEETKTVPKSWAMVGGDGPRSYAQNSSYQRGGLVIVNELMNEGIKDKLEFISPCSDSSNICTFRIADFGCSVGPNTFLAMEKIIEAVEQKYHAQFQNSPVPLEFQVFFNDVTTNDFNTLFKTLPLYQKYFAAGVPGTFYGRLFPKSTLHLAYSSYSLQWLSKVPEEVVDTKSPAWNKGSIQCSGTAKEVAKAYSAQFKTDMDNFLNARAQEIIGGGLMVIIILGLPDGILLSQTVAGKSYELFGSCLIDMAKLGVISEEKVDSFNLPLYYSSAKELEEIIKNNGHFCIERMNMLNNPMMKRKLDVQFVISQFRAIFQGLLEEHFGRDDVDKIFEYFAKKFAENYDSVFNGATPQHVDHFILLKRNIN >Potri.003G046600.2.v4.1 pep chromosome:Pop_tri_v4:3:5479949:5486332:1 gene:Potri.003G046600.v4.1 transcript:Potri.003G046600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046600.v4.1 MKAVTVPSPYGASSSSCHHYNNYRVVILMAKSKKSQPASSPNKGSESAPPRITSNVKQNLQFLKLWKEFQKRKSSTPKPSTSYRRKKVEKEDLPEDTELYRDPTLSLYYTNQIVETGVPVLLVDGYNVCGYWAKLKKHFVNGRLDIARQKLIDELVAFSLARDVKVVVVFDAIMSGLPTHKENFAGVDVIFTGESCADAWIEKEVVALKEDGCPKVWVVTSDHIHQHAAHGAGAFIWSCKALVSEVKASQQEVERALQELRPTSFQGRLLKHNLDSEVVDALKDLRKKLAENESKSR >Potri.007G054500.3.v4.1 pep chromosome:Pop_tri_v4:7:5683171:5687211:1 gene:Potri.007G054500.v4.1 transcript:Potri.007G054500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G054500.v4.1 MLSLLPFCFLEPLRSESKLLLYQKQTYDNAQRLYFSACKEKLECARSMKFFSSAIIAVYRRSFSTKSSRTTKYAQSVCQNRIKKETNRIPKDTKTHQPLFEWAQEQKNIFSHVHRGASVFITGSAGTGKTVLLKHIIDILKDVHGKSKVFVTASTGIAACALQGQTLHSFASIGIQNADSGTLLDKVKMNKHACKRWKKAKALVIDEISMISADLFESLEYIARDIRGSEVVWGGIQLIVCGDFFQLPPVIKQQKLSGKEFAFEADCWNASFDLQVELTQVFRQSDPRLIKMLQGIRKGETDAEDLQLLEESCSMNKQDSLVVWLYPRINDVEKVNEERMKSLGESTVTYAAADSGLEHRKKQLNQGIVPDQLVLCVGARVMLIMNLNIERNLCNGATGTVTGFVPVEDKNARKSDILFPQVKFDRGPEILIEPQKFEIFEGDIVVAWRYQIPLILAWAISIHKCQGMTLDHAQTDLSRAFGYGMVYVALSRVRSLEGLHLSGFTPSKIKAHPKVLLFYKSFTSSNLSHTEFSLTHRVRK >Potri.007G054500.4.v4.1 pep chromosome:Pop_tri_v4:7:5683171:5686321:1 gene:Potri.007G054500.v4.1 transcript:Potri.007G054500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G054500.v4.1 MLSLLPFCFLEPLRSESKLLLYQKQTYDNAQRLYFSACKEKLECARSMKFFSSAIIAVYRRSFSTKSSRTTKYAQSVCQNRIKKETNRIPKDTKTHQPLFEWAQEQKNIFSHVHRGASVFITGSAGTGKTVLLKHIIDILKDVHGKSKVFVTASTGIAACALQGQTLHSFASIGIQNADSGTLLDKVKMNKHACKRWKKAKALVIDEISMISADLFESLEYIARDIRGSEVVWGGIQLIVCGDFFQLPPVIKQQKLSGKEFAFEADCWNASFDLQVELTQVFRQSDPRLIKMLQGIRKGETDAEDLQLLEESCSMNKQDSLVVWLYPRINDVEKVNEERMKSLGESTVTYAAADSGLEHRKKQLNQGIVPDQLVLCVGARVMLIMNLNIERNLCNGATGTVTGFVPVEDKNARKSDILFPQVKFDRGPEILIEPQKFEIFEGDIVVAWRYQIPLILAWAISIHKCQGMTLDHAQTDLSRAFGYGMVYVALSRVRSLEGLHLSGFTPSKIKAHPKVLLFYKSFTSSNLSHTEFSLTHRVRK >Potri.011G057800.2.v4.1 pep chromosome:Pop_tri_v4:11:4737331:4741383:-1 gene:Potri.011G057800.v4.1 transcript:Potri.011G057800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057800.v4.1 MESRVLSRATTVAHVRRPLRESNGNASCSFLSIKPIGAVSEGGNLIWGTQLRPSLLLEASYPVTARKEILWPVMAAASSPAEGSDSSGDGKVAPVGFFDKYPALVTGFFFFMWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWAVGLPKRAPMDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFILGQQIPITLWLSLAPVVLGVSVASLTELSFNWTGFISAMISNISFTYRSIYSKKAMTDMDSTNIYAYISIIALIVCIPPAIILEGPQLIKHGFSDGIAKVGLTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSIVIFGNKISTQTGIGTAVAIAGVATYSYIKAKLEEEKRQGKAA >Potri.016G032666.1.v4.1 pep chromosome:Pop_tri_v4:16:1844323:1845466:-1 gene:Potri.016G032666.v4.1 transcript:Potri.016G032666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032666.v4.1 MVATLLNLMDGTRRTDGMLDSIEPALRRPGRLGRETEVGNTNRLPGKLKSWDTLVCLKRYARSEKSDYSSHSKGSSIAYEGCSDSVVKECDCSTGVGDMLRYSADSAFSSTSYLPVSVENPPSSCFNGRVSEIEDKIENGIIACPEEELMVEEESMLIIVPEDFEMAMMKVVTYHLMRRCNQET >Potri.016G086500.4.v4.1 pep chromosome:Pop_tri_v4:16:6801173:6804281:-1 gene:Potri.016G086500.v4.1 transcript:Potri.016G086500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086500.v4.1 MITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCTKMDATTPKYSKARYEEIVKEVSSYLKKVGYNPEKIPFVPISGFEGDNMIERSTNLDWYKGPTLLESLDQINEPKRPSDKPLRLLLQDVYKIGGIGTVPVGRVATGILKPGMVVTFGPSGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDAPAREAANFTSQVIIMNHPGQIGNGYAAWNGCDLWPLWADH >Potri.012G126400.1.v4.1 pep chromosome:Pop_tri_v4:12:14277901:14282710:1 gene:Potri.012G126400.v4.1 transcript:Potri.012G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G126400.v4.1 MAFFKFSSTSFFLLIHIALFSSFSLAADPTVYYDFKLSYITVSPLGVPQKVIAINGQFPGPLVNATTNNNVVIKVYNDLDEDLLMTWPGIQMRRNSWQDGVLGTNCPIPPTWDFNYTFQVKDQIGSFFYFPSLNLQRASGGFGPFVINNRAVIQIPFAQPDGDFTLLIGDWYTRNHTALRADLDSGKDLGMPDGVLINGKGPYRYNTTLVPDGLPYETIKVDPGKTYRFRVHNVGTSTSLNFRIQGHNLLLVETEGYYTVQQNYTSFDIHVGQSSSFLVTMDQNATSDYYIVASARFVNESIWRKVSGVGILHYSNSKGPATGPLPEAPSDIYNQWSAMNQPKAIRQNTSASGARPNPQGSYHYGSINVTDTYILRSLPPTTIDGKLRATLNGISFVNPGTPIRLADLNKVKGSYKLDFPSAPLNRTFHRDTSVINATYKGFTEIILQNNDTRMQSFHMNGYSFFVVGMDWGIWSENSRGSYNKWDAISRSTVEVYPGGWTAVLASLDNAGVWNLRVENLDRWYLGQETYMRIINPEENGETEMPPPNNVLYCGALASKQQDLSHKSGASILHGNPNLFFTLLMALCAVFIFS >Potri.009G020600.1.v4.1 pep chromosome:Pop_tri_v4:9:3308512:3312581:1 gene:Potri.009G020600.v4.1 transcript:Potri.009G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020600.v4.1 MDSPPPPTPPPLQQLPFQVLPRRSELSSQNIQFLDQNLVTHQDLLVRAPLLLSDLTKERSNFDAHLLNLRRKLTELAVSWISRSFSAKSSLSKVNFMLENLSLQTSQYGIGSWKVGKVLVEEIPKLAKQVQRIENILKYIDTALQLEALVGDLEDGVFCVGGLHARNLFSEKRQTSLKSMDFGPKLERILEAIKTMNNIEEVLVNIKKFQAQWHRLLESVDARVDKILVVVRPQVLADHRALLSSLGWPPKLLTPKIDSGDIAGLSYPLVLMQGDKSKCYSQTFLALCSLQHLQRRREDRQHNIIEQRECGIGLWAIDELVSPIASRMEYHFSKWAEQPELIFALVYKITKDFIVGVDDVLQPLIDKARLRSCSAKEAWVSAMVQMLSGFLAKSVFSVHAERYKDKQVRSEVSTSWLHLIDHIVSFDKRMQSLLSSETPFFLEEPKRFEGLSRGLSVLTIFCDRPEWLKIWSTIELKDAWKKIKPVLKDERAWIIDKEECDVVVGTESKHFVLSSRGDHKAPIVAESALKIAWEMIERCQTLPSLQHRIRFIRSTAARFFWYFLNGLVLRCKNTDFSLENMDASLIKVCGSINAARYIESKLQEWSDDVNFLEMRIAEKDFDIDGNNEVLGDSCFFGEEIKSLEELMTNWLMEIITALLHHFETLSWEYLQNGRFFVQERYVNLNRVPAVTDLAVSFGIVQALDALKSQLHLGKTGLNPKDFLDLWRSVADALDQFVSRSIFTSGIRFSNEGINQFDSDMQALFHVFQPFCSRPEAFFPSIREILKLLKMSKEEAKLLLVALSKNKNGTKCLHSLGISHLSFDQVDKVLSNRRCRSWS >Potri.004G131300.1.v4.1 pep chromosome:Pop_tri_v4:4:14879480:14883111:-1 gene:Potri.004G131300.v4.1 transcript:Potri.004G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131300.v4.1 MDLNELQNFYHVRNASNKPCFVVNITAEHGIWQSENPLTQSLPLLAMQLAATIFVTRAVYYLLRPLAVPRIVTDFLGGVLMGPSALSLFPKFRDMFPLRSIVTVETVAYWALCCHLFLIGLEFDMSVIENLTRTSKKAIHIAIAGVLIPFIMGIGLFFLMNQVPGGGYNPTGCVYVGLSLAVTGYPIVARVLNDVKLLHSDIGRMAMSASLVTDLISWILVIIGIAFSNQTSGGASVAVLSTVGFALFCIFVVRPALAIIISKTSKENDYSENYLCFVLVSISAFAVVTDVLGTNSIVGAFIFGFIMPNRILAAAFLDKFEEFVTGYLLPLFFTICGIRIDIWSLFDKKAHLAMGSVVVVLSCSAKILSTLLVSFYENVPARDGFSLGIVLNTKGILAILILQLGNSGEFLNKRDYTVMAIAILLMTGVVAPVTASIYRPAKHLSNYKRRTIQKGRQDGELRILACFQNFRNVSGMISLIDSSNATRESPLTVFALHVLELTGRASAMLIVHSTGGKSSGYSRHGRKNSHSEKIVTALETYQTLNDNVNIHALTAMSPHASMHEDICSLAEEKHTSLLVIPFHKLPSKDGKLEAEDNTSFRGVNLNVLANAPCSVGIFVDRGFGVSENGESSLTMRQILMLFVGGPDDREALAYAWRMGGTEGIGLTVVRFLPGDQVEEIQPKDLLPVGEAHKMLTSITYVDSNRKLDDEYVNEFRLKTAGEQFVSYQEKVVNNDEEIVLALQEMHHIYDLYVVGRGEGIVSPLTESLADWCEYPELGPIGDLLITSSFAQGSVLVVQQYVGSKDGISIADMLSADNSSLGNHHFSSWEHSSLEDGGLEMEPFAHHKGKEDVDA >Potri.009G162500.3.v4.1 pep chromosome:Pop_tri_v4:9:12510282:12514571:-1 gene:Potri.009G162500.v4.1 transcript:Potri.009G162500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G162500.v4.1 MKKYGLLLRQPQQKKPPRPPPPTALGFGDDDEDDVEKEISRQAAKNKSLKDIEEQHKKALEEDPSVFDYDGVYDEMKQKIAQPKALDRQKRESKYIKTLMGKAEERKRQHDVIFEKNLAKERSKEDHLFADKDKFVTAAYKRKLAEQEKWMEEERLRELREEKEDVTKKSDLSDFYFNLGKNVALGAKDLLSKKQEKQDKQTEFRKPEKPYDKVAGETSDGNHALTDSKFELDSSSVKEAHQKETSPPRRSSEPLDPEPVSDKPISGTSTEVKNPAEQSSANQPNPDHHKRNQDALAAAKERFLARKKAKQQKVSVSFLDCMFSRG >Potri.018G011300.3.v4.1 pep chromosome:Pop_tri_v4:18:701480:704990:-1 gene:Potri.018G011300.v4.1 transcript:Potri.018G011300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011300.v4.1 MEAEEDSVRCVNCGNSGSKTCSRCKSVRYCSQGCQTKHWKAGHRLKCKDFRASSSRTAKSNSKPIIKKPGVTNPNFWEDPVRGDSYNGWGATVASTHSAASGSGWMEEAPREDYNGWGVPNMGPSGMSQGHVQTHDDIPHVFETCSGNNPSAPPIPDGAFDEELIHHPSIDFSPLDLSVPAIEHGASVTSDVNEGGTSSCIICWEAPVEGACIPCGHMAGCMACLSEIKAKKGVCPVCRSNINQVVRLYAV >Potri.009G054700.3.v4.1 pep chromosome:Pop_tri_v4:9:5871838:5875144:-1 gene:Potri.009G054700.v4.1 transcript:Potri.009G054700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054700.v4.1 MGNGAAHLLLLLVLCYGVQMAVGLYRGEKEDWRGDRDETQIDREEEWLLLQDSKRVVKTDAGEMMVLRNYGGRIIDRPMHIGFITMEPRTLFVPQYIDSSLILFIRTGEAKVGLIYKDELAERRLKIGDIYRIPAGSAFYLMNAEEGQRLHIICSIDPSESLGLGFFQSFYIGGGTYPPSILAGFELETLSAAFNVTADEVREIMTRQQEGPIVFIGDSRAPRPSLWTKFLQLKEQDRLQHLKRMVKFQQQPSQGEEQRTWSWRKLLNSIFGQENKKKGEKVGKSPDSYNIYDRRPDFRNNYGWSIALDESDYQPLKYSGIGVYLVNLTAGSMLAPHVNPTATEYGIVLRGSGRIQIVFPNGTQAMDATVKEGDVFWVPRYFPFCQIAARSGPFEFFGFTTSARENRPQFLVGANSILQTLRSPELAAAFGVSEDRINRVIKAQREAVILPSASAAPPDEEEGVAKFERVQKVIKSFVNQVIMGFD >Potri.009G054700.2.v4.1 pep chromosome:Pop_tri_v4:9:5871838:5875144:-1 gene:Potri.009G054700.v4.1 transcript:Potri.009G054700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054700.v4.1 MGNGAAHLLLLLVLCYGVQMAVGLYRGEKEDWRGDRDETQIDREEEWLLLQDSKRVVKTDAGEMMVLRNYGGRIIDRPMHIGFITMEPRTLFVPQYIDSSLILFIRTGEAKVGLIYKDELAERRLKIGDIYRIPAGSAFYLMNAEEGQRLHIICSIDPSESLGLGFFQSFYIGGGTYPPSILAGFELETLSAAFNVTADEVREIMTRQQEGPIVFIGDSRAPRPSLWTKFLQLKEQDRLQHLKRMVKFQQQPSQGEEQRTWSWRKLLNSIFGQENKKKGEKVGKSPDSYNIYDRRPDFRNNYGWSIALDESDYQPLKYSGIGVYLVNLTAGSMLAPHVNPTATEYGIVLRGSGRIQIVFPNGTQAMDATVKEGDVFWVPRYFPFCQIAARSGPFEFFGFTTSARENRPQFLVGANSILQTLRSPELAAAFGVSEDRINRVIKAQREAVILPSASAAPPDEEEGVAKFERVQKVIKSFVNQVIMGFD >Potri.002G118600.1.v4.1 pep chromosome:Pop_tri_v4:2:8972733:8975875:-1 gene:Potri.002G118600.v4.1 transcript:Potri.002G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118600.v4.1 MAVFKEFRVTMQFRVNQKVEIRTQESGFHGSWMRATVIDSSKQSYHVQYDNLLQLEDGAEKLVEVVTLTPTNTVSSSRLRPLPPMVSFGVFDLSYGLCVDVYDKGSWLEGVIFDHEDGSNERIVLLLESGGERKIKIESLRIGQEWDVFTGVWKLKGTWPLIGLFEGCEKDQSVLYGTRMIVDSIPRENPLIDHEGGGMVMNAVDSDDVVLANSDKDSCNRVDMVTDCKRMDVVDSDGVFKDGSQVVITSKNPANGDCMSIGDSNGEGLLGANGKRLGKRGRKSAQKGFGWVPAGPDLLPAAEFCPGAITRYASHGNQRPTEPLLIDVRKHLAYVGWKIECKKYGSAYKFRYTSPDGSKTYFSLRLLCLDMRDPTIENSSLISQDLINDVKKSSGIDCPRKSKRTDEFSQFPSRADSQGRNDDVGLLGDSELRHQQDQNASLPRPRREKTIETLKKLRDYQKSHQEQNASPLKQRRGKVIETLEKPRDGQKRQSSRTAMQGVTPRSSKCKPRCALSWMIDNNLVSPGEKVSYRGSKGPGELTRGRITREGIECNCCQKIFTLTGFESHAGSTNHRPAANIILEDGRSLLDCQRKKKPRIKMQRVTREAKWKGRQNQHQGETDYICSVCHDGGDLIVCDHCPSTFHKNCVGLEDIPEGEWFCPPCCCGICGENKFKYNVQEPKDSRLLSCDQCERKYHIGCLRNKGVVKLKRKDPKDSWFCSNKCEDIFIGLQTLLGKSVVVGPDNLTWTLWKFMDSDSCDVEAPTGKHSKLDLAVEVIHECFEPATETYTGRDIAEDVIFSRECNLNRLNFRGFYTVLLERNDELIAVANVRVFGDKVAEIPLVGTRFLFRRLGMCKILMDELEKQLMNLGVERLMLPAVPSVLYTWINGFGFSKLTDAEKMQYLDHTFLDFPGTIKCQKVLL >Potri.004G214833.1.v4.1 pep chromosome:Pop_tri_v4:4:22106332:22106460:1 gene:Potri.004G214833.v4.1 transcript:Potri.004G214833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214833.v4.1 MHANMLPLSAFAPASFAFVLFLTPQTKSSSVDTVCGTIRYGN >Potri.016G106650.3.v4.1 pep chromosome:Pop_tri_v4:16:11147516:11157995:-1 gene:Potri.016G106650.v4.1 transcript:Potri.016G106650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106650.v4.1 MFVGVHFLSKAASKFRRICFLFLHEAEITKMLAGEIYLLYEIEHSNIVRPQIANLIERFYDPIKGKALLNGAPLVEISHENLHRKVLPLPATSPKFISLKQLDLFIRPCIDSDLLTVIYLLNASPLLEILQLKTGHECEGRSNGERREYSRHTHSHLKEVKMEGFRDKWNAMELAIYLLKTPLHLSEWWL >Potri.002G229100.1.v4.1 pep chromosome:Pop_tri_v4:2:21915613:21922772:-1 gene:Potri.002G229100.v4.1 transcript:Potri.002G229100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229100.v4.1 MAEPKTKYDRQLRIWGEQGQTALEKASICLLNCGPTGSETLKNLVLGGVGSITVIDGSKVELGDLGNNFMVDESCVGQSKAKCVCTFLQELNDAVKAKFIEEYPEALVDSNPSFFSQFTLVVATQLAEDSMVKLEKICREANVLLIFARSYGLTGFVRNSVKEHAVIESKPDHFLDDLRLNNPWPELKRFAESIDLKVADPVAHKHTPYVVILVKMAEEWAKAHGGALPSTRDEKKEFKELLKAGMVAIDEDNYKEAIEASFKVFAPRGISSDLLQIIHDSCSEVDSNSSDFWVMVAALKEFIVNEGGGEAPLEGSIPDMTSSTELYVNLQKIYLAKAEADFLVIQQRVKSILKRIGRDPDSISKAVIKSFCKNARKLKVCRYRLIEDEFSNPAVPEVQKYLTDEEYSVAMGFYILLRAVDRFSANYNSFPGQFEGEMDEDISRLKTAVVGLLNDLGCNGSTVTEDLINEMCRFGASELHAVAAFIGGIASQEVIKLITKQFVPMVGTFIFNGIDQKSQLLVL >Potri.015G067500.1.v4.1 pep chromosome:Pop_tri_v4:15:9312583:9317275:-1 gene:Potri.015G067500.v4.1 transcript:Potri.015G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067500.v4.1 MEDSYCPDCKRLTEIVFDHSAGDTICSECGLILEAHSVDETSEWRTFSNESSDHDPNRVGGPLNPLLADGGLSTTISKTNGGSNELLSCSLGKWQSRGANPDRNRIQAFKSIAAMADRLGLVTTIKDRANEIYKKVEDQKPLKGRNQDAILAACLYIACRQENKARTVKEICSVVNGTTKKEIGRAKEFIVKHLEVEMGHSMEMGTIHAADYLRRFCSNLGMTNQAVKAAHEAVQKSEELDIRRSPISIAAAVIYIIIQLSDDKKPLKDISVVTQVAEGTIKNSYKDLSPHLSQIIPSWFAKEEDIKNLHS >Potri.004G095000.9.v4.1 pep chromosome:Pop_tri_v4:4:8151753:8157512:1 gene:Potri.004G095000.v4.1 transcript:Potri.004G095000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095000.v4.1 MEIDLGKVPFTMDFHPSDNLVTAGLITGDLHLYRFNADSSPQRLLEIHAHSEACRAARFINDGQAIITGSSDRSILATDLETGSPIARLENAHEDAIFSLINLTESTIATGDDQGCIKVWDTRQRSCCNSFNVHEDYVSDMTFASDSMKLLSTSGDGTLSVCNLRSNKIQSQSEFSEEELLSVVIMKNGWKVICGSQNGTLLLYSWGFFKDCSDRFTGLSPNSIDALLKLDEDRVITGSENGLISLLGILPNRIIQPLAEHSEYPIEHLAFSHDRKFLGSISHDQVLKMWDLDDLLQNSVNAQNDQAAVSDSDSDEMDVDTKPPKSRKGAKRKNENANGAASSFFADL >Potri.004G095000.8.v4.1 pep chromosome:Pop_tri_v4:4:8151667:8157694:1 gene:Potri.004G095000.v4.1 transcript:Potri.004G095000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095000.v4.1 MEIDLGKVPFTMDFHPSDNLVTAGLITGDLHLYRFNADSSPQRLLEIHAHSEACRAARFINDGQAIITGSSDRSILATDLETGSPIARLENAHEDAIFSLINLTESTIATGDDQGCIKVWDTRQRSCCNSFNVHEDYVSDMTFASDSMKLLSTSGDGTLSVCNLRSNKIQSQSEFSEEELLSVVIMKNGWKVICGSQNGTLLLYSWGFFKDCSDRFTGLSPNSIDALLKLDEDRVITGSENGLISLLGILPNRIIQPLAEHSEYPIEHLAFSHDRKFLGSISHDQVLKMWDLDDLLQNSVNAQNDQAAVSDSDSDEMDVDTKPPKSRKGAKRKNENANGAASSFFADL >Potri.002G164800.3.v4.1 pep chromosome:Pop_tri_v4:2:12611281:12614810:1 gene:Potri.002G164800.v4.1 transcript:Potri.002G164800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164800.v4.1 MRRSLFTSLALSLVTKRGFCAKPEKIVASVLFERLPVVIPKIDPVVYAFTEFSFRWRQQFRRRYPDEFLDKSNSSGKGDYQIEYEPAPRITEADKTNDKKSLQRALDRKLYLLLYGKAYGSPSDKPVWHFPEKVYESEETLRKCAESALQSVLGELSHTYFAGNAPMGHMVIQPTDDAQESSYKRFFFKSQVTAMDKFKIGKCEDFVWVTKDELLGYFPEQTEYLNKMIIS >Potri.002G164800.1.v4.1 pep chromosome:Pop_tri_v4:2:12611372:12614713:1 gene:Potri.002G164800.v4.1 transcript:Potri.002G164800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164800.v4.1 MRRSLFTSLALSLVTKRGFCAKPEKIVASVLFERLPVVIPKIDPVVYAFTEFSFRWRQQFRRRYPDEFLDKSNSSGKGDYQIEYEPAPRITEADKTNDKKSLQRALDRKLYLLLYGKAYGSPSDKPVWHFPEKVYESEETLRKCAESALQSVLGELSHTYFAGNAPMGHMVIQPTDDAQESSYKRFFFKSQVTAMDKFKIGKCEDFVWVTKDELLGYFPEQTEYLNKMIIS >Potri.001G436600.1.v4.1 pep chromosome:Pop_tri_v4:1:46246819:46248564:-1 gene:Potri.001G436600.v4.1 transcript:Potri.001G436600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436600.v4.1 MAGDQVTLLDFWSSPFGMRVRIALAEKGVKYEYSEQDLRNKSDLLLQMNPVHKKIPVLVHDGKPVCESLIIVQYIDEVWKDKAPLLPSDPHERAQSRFWADFVDKKMYDFGRKIWTTKGEDQEAAKKDFIDSLKLLEGELGDKPYFGGETLGFVDVALLPFYCWFYAYETIGNFNIEADCPKLIAYCKRCLQKESVSKSLKDPQKVYDFVVMLRKMFGLE >Potri.001G325100.1.v4.1 pep chromosome:Pop_tri_v4:1:33407355:33409058:-1 gene:Potri.001G325100.v4.1 transcript:Potri.001G325100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325100.v4.1 MERPNFVENGGLRLPIGYRFHPTDEELVVHYLKRKVLGLPLPAAVIPEFDVFQTDPWSLPGNLKEKRYFFSQKKLNDFGTKCKRSAGYIPSGYWKPVGKGKQIVASGSNQAVGIRKTLVFRERNHSSKTRTQWVMHEYCLVGLPTDPKTTQMKVGDWVANSIFHRKRKPKNHVVMISNPSNINKRQNVEIISPSFMDFMMEQSSDEAGAPSQCSSGVTEVSSNEFDQEEISSFISLFTYPCKRKRT >Potri.001G120000.3.v4.1 pep chromosome:Pop_tri_v4:1:9764201:9770029:1 gene:Potri.001G120000.v4.1 transcript:Potri.001G120000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120000.v4.1 MNTFSHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCKLGTEDQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGIPQEEGWVVCRVFKKRMPTMRKVGDYDSPCWYDDQVSFMPEIDSPRRISQPYAPYHHHYHCKQELELQYNMPHDPFLQLPQLESPKVPQSAATASCNSVIAYGFDRTNGNTLQSSTLTQEEKMQQCHQQNLNSLHNNNNSEQAVDQVTDWRVLDKFVASQLSHEDASKGTNNFSSTATFNAAEQMNMLANESKRSEIAQQYASTSTSSCQIDLWK >Potri.001G120000.5.v4.1 pep chromosome:Pop_tri_v4:1:9764374:9770029:1 gene:Potri.001G120000.v4.1 transcript:Potri.001G120000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120000.v4.1 MNTFSHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCKLGTEDQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGIPQEEGWVVCRVFKKRMPTMRKVGDYDSPCWYDDQVSFMPEIDSPRRISQPYAPYHHHYHCKQELELQYNMPHDPFLQLPQLESPKVPQSAATASCNSVIAYGFDRTNGNTLQSSTLTQEEKMQQCHQQNLNSLHNNNNSEQAVDQVTDWRVLDKFVASQLSHEDASKGTNNFSSTATFNAAEQMNMLANESKRSEIAQQYASTSTSSCQIDLWK >Potri.001G120000.4.v4.1 pep chromosome:Pop_tri_v4:1:9764201:9770029:1 gene:Potri.001G120000.v4.1 transcript:Potri.001G120000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120000.v4.1 MNTFSHVPPGFRFHPTDEELVDYYLRKKVASKRIDLDVIKDVDLYKIEPWDLQELCKLGTEDQNEWYFFSHKDKKYPTGTRTNRATKAGFWKATGRDKAIYSRHSLVGMRKTLVFYKGRAPNGQKSDWIMHEYRLETNENGIPQEEGWVVCRVFKKRMPTMRKVGDYDSPCWYDDQVSFMPEIDSPRRISQPYAPYHHHYHCKQELELQYNMPHDPFLQLPQLESPKVPQSAATASCNSVIAYGFDRTNGNTLQSSTLTQEEKMQQCHQQNLNSLHNNNNSEQAVDQVTDWRVLDKFVASQLSHEDASKGTNNFSSTATFNAAEQMNMLANESKRSEIAQQYASTSTSSCQIDLWK >Potri.001G200800.1.v4.1 pep chromosome:Pop_tri_v4:1:20032356:20037142:-1 gene:Potri.001G200800.v4.1 transcript:Potri.001G200800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200800.v4.1 MASSVANQLFLFFFLWLYTLSSSQAGHHYSEFRYPFIRKASSFPSSSSSFSSSGGGDHAYDYIVVGGGTAGCPLAATLSQRFSVLLLERGGVPFANANVSFSKNFHIALADTSSTSASQYFISTDGVLNARARVLGGGTCINAGFYTRASKRFIHKVGWDAKLVNKSYPWVEKQIVHRPKVAPWQVVVRDSLLDLGVAPFNGFTYDHIYGTKFGGTIFDQFGRRQTAAELLASADPRKLTVLVHATVQKVLFDISGKRPKAVGVLFKDENGNQHQAFLSNSQRSEIILSCGAIGTPQMLLLSGIGPKDELEEKKISVVLHNKFVGKGMADNPMNAIFVPFKRPVQQSLIQTVGITKMGVYIEASSGFGQSKDSIQCHHGIMSAEIGQLSTLPPKQRTPEAIQAYIKRKKDIPHEAFKGGFILEKIANPISTGQLRLISTNVEDNPSVTFNYFKHPRDLQRCVDGIRMATKMVQSEHFRNFTQCDKQTTDKILNMSVSANVNLVPKHTNDTKSLEQFCKDTVITIWHYHGGCHVGKVVNSDYKVLGVNRLRIVDGSVFDESPGTNPQATVMMMGRYMGLKILRDRLGKGAGV >Potri.001G200800.2.v4.1 pep chromosome:Pop_tri_v4:1:20032485:20034922:-1 gene:Potri.001G200800.v4.1 transcript:Potri.001G200800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200800.v4.1 MRSKFVMKPLEKLKKLFFCRFIHKVGWDAKLVNKSYPWVEKQIVHRPKVAPWQVVVRDSLLDLGVAPFNGFTYDHIYGTKFGGTIFDQFGRRQTAAELLASADPRKLTVLVHATVQKVLFDISGKRPKAVGVLFKDENGNQHQAFLSNSQRSEIILSCGAIGTPQMLLLSGIGPKDELEEKKISVVLHNKFVGKGMADNPMNAIFVPFKRPVQQSLIQTVGITKMGVYIEASSGFGQSKDSIQCHHGIMSAEIGQLSTLPPKQRTPEAIQAYIKRKKDIPHEAFKGGFILEKIANPISTGQLRLISTNVEDNPSVTFNYFKHPRDLQRCVDGIRMATKMVQSEHFRNFTQCDKQTTDKILNMSVSANVNLVPKHTNDTKSLEQFCKDTVITIWHYHGGCHVGKVVNSDYKVLGVNRLRIVDGSVFDESPGTNPQATVMMMGRYMGLKILRDRLGKGAGV >Potri.001G024100.1.v4.1 pep chromosome:Pop_tri_v4:1:1831328:1835073:-1 gene:Potri.001G024100.v4.1 transcript:Potri.001G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024100.v4.1 MKKKMKRSRSIKLSDIESLKSSSPLRKSMSQPGKPPPPLNAPTTEATPQKQLMIRTTDGSPNYMKSTSSSEARKERSLVSPLNTQAGSNGKNLHRRNSASSKFSPASSNKQARTLSKTSSLKLVRTPSFKPMRGTARKCSRVALCADVSAQTTTCSSTLKDSKFPPYLMLNPGGTESEGTSVKKVCPYTYCSLNGHHHKPVPPLKCFLKARRHSLKVQKSMKWEVLSPRRARPSGEGTEEIHGDKPMIQETGKDFFIEIFSKNTEDSAFSGEPERRINESCFYTSHEGAAEQDSNNQVSESLSDESQESEIDFEENSNNTNEPEMDVTGVEDERDGDTDCSSTLSEEEAIMGSCENRSDIEGECQASMDEDDNISEATDMEWEEGQLSTSEVVTEADDLNKPDEDEFCTKVEGTPGIKKLDWHEDSEIIISDNAVSNCTEEILADEILQELFAEETAYIDMQCSDSDSESDGILHYWEILESIQGARDLAYDQESSAEDASEALKTEEAREKIQEEGVHETENDVNETHPQLGDDENDCTTDISAEVLNGHQEDTSLQTDHATMRLQNQIADSPQISDKMDMVGTNKEDSIDQQIEVAENNQDFAIAELPYGEAGDATEDREQVANAELTFEIHVSDSPQSFSEADQDDAELNDDGNHMTTEACQLDVTVEDSSSSQDLVAHSTPTEPHNHQLDEHDETSNVLESQNLSEEDQDDANKIKILTAMDFEAQSDSRMQKINLTRDDTRDVEKTEVEVCKESDTAETLLSANNGTSTGSKRPFLYTRGNPDQELHDTCNNRKWTFGDKKPIVDLEEEREFNPREPNFLPVVPDPEEEKVDLRHQMMDERKNSEEWMIDYALRQTVTKLAPARKRKVALLVEAFEKVLPTPKYETRIRHAPAAFSHTRSIQACS >Potri.009G161300.1.v4.1 pep chromosome:Pop_tri_v4:9:12455988:12459674:1 gene:Potri.009G161300.v4.1 transcript:Potri.009G161300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161300.v4.1 MASGEVSRETQMSIEATSMFPGFRFSPTDVELISYYLKRKIEGAEKCVEVISEIEICKHEPWDLPAKSVIQSENEWFFFSARGRKYPNGSQSKRATELGYWKATGKERNVNSGSDVIGTKRTLVFHVGRAPKGERTEWIMHEYCMKGQSQDSLVVCRLRKNAEFRLSDTSNQGDVNERHLSTTHNSSNAVSDGGIDQGGVPASEKAAECSKSCESYSIEQLSSASESELKLSNDVALAESSSHQKDSDNDEDFYADILKDDIIKLDETPFFAPPGLRSLVASNSGAGTRPEQPVEIFMSEALPLRPVPSQGTENQPVEIFMSEALRLSSISSQGTENQPVEIFMSEALPLSPISWQGTENQPVEMFMSEALPLHSILGTENQPVEMFMSEALPSPSILGTENQPAEIFMSEALPSPSISSQGTANRRIKLRRQMPGMSQAEMHVNNVGEEGKLPCSKEPPKCVLSLLSAKTGKLRHISTVFIILTLLVVFVYLLGGFK >Potri.013G152200.1.v4.1 pep chromosome:Pop_tri_v4:13:14812595:14813927:-1 gene:Potri.013G152200.v4.1 transcript:Potri.013G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152200.v4.1 MASCSHWWHAPVYFIASAVLAFIAISTAMNSPSNNATRPTRPTSNYLSLNASRTLRESGFNIMATLLLISPEMFFLSPNTTIFAIKDSSLVNTSLPPWFLKNLLQYHTSPLKLSMEDVFKKPQGSCFPTLVDRKKLAVTKIDAKERLAEINHVLVSHPDMVLERRITIHGVLAPFSSLRSKDVYFGWESIQAPICDANSSLVSDANGPRIILEWTRIIHLLSSHRFVSFAIGLNSVLDRILADHKNLSSVTIFAPPELEFVASSSPMLEKIVRLHILPQRATYIELAALPDKQRLRTLLPDEDLKITKGVGVTQGLAINGVEIAAPEIFSSKEFIVHGITQAFKIAKFPNASR >Potri.003G000500.7.v4.1 pep chromosome:Pop_tri_v4:3:322358:334522:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.003G000500.13.v4.1 pep chromosome:Pop_tri_v4:3:322364:334400:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.003G000500.14.v4.1 pep chromosome:Pop_tri_v4:3:322358:334399:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.003G000500.10.v4.1 pep chromosome:Pop_tri_v4:3:322358:334569:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.003G000500.8.v4.1 pep chromosome:Pop_tri_v4:3:322358:334590:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.003G000500.12.v4.1 pep chromosome:Pop_tri_v4:3:322358:334435:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.003G000500.1.v4.1 pep chromosome:Pop_tri_v4:3:322358:334573:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.003G000500.11.v4.1 pep chromosome:Pop_tri_v4:3:322358:334439:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.003G000500.9.v4.1 pep chromosome:Pop_tri_v4:3:322358:334573:1 gene:Potri.003G000500.v4.1 transcript:Potri.003G000500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000500.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADADLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIVSEVTAISLAQSILVTLSPQLIKGITSPGLNTEIKCECLDILCDVLHKFGNLMADDHEVLLNALLSQLNSNQATIRKKTVSCIASLASSLSDDLLGKATVEVVRKLRSKGAKPEMIRTNIQMIGSLSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYSLQALESFLLRCPRDIYSYCHEILHLTLEYLSYDPNFTDNMEEDTDDESLEEEEEDESANEYTDDEDASWKVRRAAAKCLAALIVSRPEVLANLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGQIDMDESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLKELVVVLPDCLAEHIGSLIPGIEKALNDKSSTSNLKIEALIFTRLVLASHSPSVFHLYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFRPYVHPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKAELPVCLPVLVDRMGNEITRLTAVKAFAVIAASPLLIDLSCVLENVIAELTAFLRKANRALRQATLGTLNSLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMADRKSSPNVGLAVRNKVLPQALTLINSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSRAKPSPQSGGVAKQALHSIAQCVAVLCLAAGDKKCSSTVDMLTDILKDDSSTNSAKQHLALLCLGEIGRRKDLSMHANIETIIIESFQSSFEEIKSAASYALGNIAVGNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSSVEKILKLLFNHCESDEEGVRNVVAECLGKIALIEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERLEKIDEIIYPEISSFLMLIKDHDRHVRRAAVLALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDGCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVEPLQKTVNFKPKLDAVKQEVDRNEDMIRSALRAIASLNRTSGGDCSLKFKNLMSEISKSQTLWDKYYSIRNE >Potri.011G046400.6.v4.1 pep chromosome:Pop_tri_v4:11:3632605:3643666:1 gene:Potri.011G046400.v4.1 transcript:Potri.011G046400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046400.v4.1 MEILEMERRRTCQWMSACFILFLKKNYVLLRVVKGIFWLRICRLSQCRILEMAESGIYKVMIEGADGGDGSVDYVANSIHNPEGSDASVGHLGGSFDYAGKQMSSSMHAYSGSNREFCLPFQEDQGTMRDGVLKSEIASCSTASTTFADGVSSCIADHARGLNLNPLLDENGNQLRHVEGNFKSTDAAHGSWMDSSDEKFGSGDAFVKNSLEILEPENDIDRSMDMQLMNTDVFSHDMISPKSDVWHYPDFHTEFSNNHSAMQFGMNEYDTHYTDSPQCDFSSAFNFGLSHNNQEINDFQPESACSGSETSMMPYSDVNMMNVKYEGIDYMPPISGNFSSSAEDGLFNDKASVMQSSYIQLGISGDQTVRVGDEKTDGSAVCRNMTWQSGGVTEALDRKCSWSDGNGAFVDEDKKQSSSGFLSSVQSQKHVIYTKDERGCVTIGSSRDQVEGVVGRFPLDSAYLNLNASEQYFPVAQTFNISNKQLSCGKDEELGIPIQSKALGSHLSIVSPESIESNSSGSKSHVDDDPDICILDDISQPAYSNQSFASIKSIVPLQRPTYNDSPHHSAVEGTRFRANDERLVLRVALQDLAQPNSEAVPPDGVLAVPLMRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPSHRADAVAVKKEECETLNLDDDDDGVTEIDRMKKGADGSQVTSNHSSTKSLNSSGQSKGRPAAGTLIVCPTSVLRQWDDELRKKVTTEANLSVLVYHGSNRTKDPSELAKYDVVITTYSIVSMEVPRQPLADEDDEEKRRMEGDDAPRLGFSYSKKRKNPPSFGKKGSKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTHVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAGYKLFCSAIKVPIQKNEQKGYKKLQAVLKTVMLRRTKGTLLDGEPIINLPPRVVELKKVDFTEEEREFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPRLVSGLDSSSLGSSSVEMAKKLPREKQLCLLNCLEASLASCGICSDPPEDAVVSVCGHVFCRQCVFEHLTGDDSQCPMSNCKVRLNVSSVFSKATLNSSLSDEPGQDCSDSELVAAVSSSSDNRPHDSSKIRVALEILQSLTKPKDCLPTGNLLENSVDENVACYDTSSGSRDSVKDGMDKRCLPIKAVGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVTARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRAVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.011G046400.8.v4.1 pep chromosome:Pop_tri_v4:11:3633985:3643679:1 gene:Potri.011G046400.v4.1 transcript:Potri.011G046400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046400.v4.1 MDSSDEKFGSGDAFVKNSLEILEPENDIDRSMDMQLMNTDVFSHDMISPKSDVWHYPDFHTEFSNNHSAMQFGMNEYDTHYTDSPQCDFSSAFNFGLSHNNQEINDFQPESACSGSETSMMPYSDVNMMNVKYEGIDYMPPISGNFSSSAEDGLFNDKASVMQSSYIQLGISGDQTVRVGDEKTDGSAVCRNMTWQSGGVTEALDRKCSWSDGNGAFVDEDKKQSSSGFLSSVQSQKHVIYTKDERGCVTIGSSRDQVEGVVGRFPLDSAYLNLNASEQYFPVAQTFNISNKQLSCGKDEELGIPIQSKALGSHLSIVSPESIESNSSGSKSHVDDDPDICILDDISQPAYSNQSFASIKSIVPLQRPTYNDSPHHSAVEGTRFRANDERLVLRVALQDLAQPNSEAVPPDGVLAVPLMRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPSHRADAVAVKKEECETLNLDDDDDGVTEIDRMKKGADGSQVTSNHSSTKSLNSSGQSKGRPAAGTLIVCPTSVLRQWDDELRKKVTTEANLSVLVYHGSNRTKDPSELAKYDVVITTYSIVSMEVPRQPLADEDDEEKRRMEGDDAPRLGFSYSKKRKNPPSFGKKGSKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTHVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAGYKLFCSAIKVPIQKNEQKGYKKLQAVLKTVMLRRTKGTLLDGEPIINLPPRVVELKKVDFTEEEREFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPRLVSGLDSSSLGSSSVEMAKKLPREKQLCLLNCLEASLASCGICSDPPEDAVVSVCGHVFCRQCVFEHLTGDDSQCPMSNCKVRLNVSSVFSKATLNSSLSDEPGQDCSDSELVAAVSSSSDNRPHDSSKIRVALEILQSLTKPKDCLPTGNLLENSVDENVACYDTSSGSRDSVKDGMDKRCLPIKAVGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVTARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRAVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.011G046400.9.v4.1 pep chromosome:Pop_tri_v4:11:3635731:3643678:1 gene:Potri.011G046400.v4.1 transcript:Potri.011G046400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046400.v4.1 MRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPSHRADAVAVKKEECETLNLDDDDDGVTEIDRMKKGADGSQVTSNHSSTKSLNSSGQSKGRPAAGTLIVCPTSVLRQWDDELRKKVTTEANLSVLVYHGSNRTKDPSELAKYDVVITTYSIVSMEVPRQPLADEDDEEKRRMEGDDAPRLGFSYSKKRKNPPSFGKKGSKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTHVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAGYKLFCSAIKVPIQKNEQKGYKKLQAVLKTVMLRRTKGTLLDGEPIINLPPRVVELKKVDFTEEEREFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPRLVSGLDSSSLGSSSVEMAKKLPREKQLCLLNCLEASLASCGICSDPPEDAVVSVCGHVFCRQCVFEHLTGDDSQCPMSNCKVRLNVSSVFSKATLNSSLSDEPGQDCSDSELVAAVSSSSDNRPHDSSKIRVALEILQSLTKPKDCLPTGNLLENSVDENVACYDTSSGSRDSVKDGMDKRCLPIKAVGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVTARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRAVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.011G046400.4.v4.1 pep chromosome:Pop_tri_v4:11:3632605:3643678:1 gene:Potri.011G046400.v4.1 transcript:Potri.011G046400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046400.v4.1 MAGGSTRSMMPGGEIVAVGDGNTGDGEEEDLPMDVGLFYTILEEELRASPAEPVPDTGNGGIWHLQSGSQMIEGADGGDGSVDYVANSIHNPEGSDASVGHLGGSFDYAGKQMSSSMHAYSGSNREFCLPFQEDQGTMRDGVLKSEIASCSTASTTFADGVSSCIADHARGLNLNPLLDENGNQLRHVEGNFKSTDAAHGSWMDSSDEKFGSGDAFVKNSLEILEPENDIDRSMDMQLMNTDVFSHDMISPKSDVWHYPDFHTEFSNNHSAMQFGMNEYDTHYTDSPQCDFSSAFNFGLSHNNQEINDFQPESACSGSETSMMPYSDVNMMNVKYEGIDYMPPISGNFSSSAEDGLFNDKASVMQSSYIQLGISGDQTVRVGDEKTDGSAVCRNMTWQSGGVTEALDRKCSWSDGNGAFVDEDKKQSSSGFLSSVQSQKHVIYTKDERGCVTIGSSRDQVEGVVGRFPLDSAYLNLNASEQYFPVAQTFNISNKQLSCGKDEELGIPIQSKALGSHLSIVSPESIESNSSGSKSHVDDDPDICILDDISQPAYSNQSFASIKSIVPLQRPTYNDSPHHSAVEGTRFRANDERLVLRVALQDLAQPNSEAVPPDGVLAVPLMRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPSHRADAVAVKKEECETLNLDDDDDGVTEIDRMKKGADGSQVTSNHSSTKSLNSSGQSKGRPAAGTLIVCPTSVLRQWDDELRKKVTTEANLSVLVYHGSNRTKDPSELAKYDVVITTYSIVSMEVPRQPLADEDDEEKRRMEGDDAPRLGFSYSKKRKNPPSFGKKGSKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTHVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAGYKLFCSAIKVPIQKNEQKGYKKLQAVLKTVMLRRTKGTLLDGEPIINLPPRVVELKKVDFTEEEREFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPRLVSGLDSSSLGSSSVEMAKKLPREKQLCLLNCLEASLASCGICSDPPEDAVVSVCGHVFCRQCVFEHLTGDDSQCPMSNCKVRLNVSSVFSKATLNSSLSDEPGQDCSDSELVAAVSSSSDNRPHDSSKIRVALEILQSLTKPKDCLPTGNLLENSVDENVACYDTSSGSRDSVKDGMDKRCLPIKAVGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVTARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRAVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.011G046400.1.v4.1 pep chromosome:Pop_tri_v4:11:3632605:3643667:1 gene:Potri.011G046400.v4.1 transcript:Potri.011G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046400.v4.1 MAGGSTRSMMPGGEIVAVGDGNTGDGEEEDLPMDVGLFYTILEEELRASPSGKGDILVENLQAEPVPDTGNGGIWHLQSGSQMIEGADGGDGSVDYVANSIHNPEGSDASVGHLGGSFDYAGKQMSSSMHAYSGSNREFCLPFQEDQGTMRDGVLKSEIASCSTASTTFADGVSSCIADHARGLNLNPLLDENGNQLRHVEGNFKSTDAAHGSWMDSSDEKFGSGDAFVKNSLEILEPENDIDRSMDMQLMNTDVFSHDMISPKSDVWHYPDFHTEFSNNHSAMQFGMNEYDTHYTDSPQCDFSSAFNFGLSHNNQEINDFQPESACSGSETSMMPYSDVNMMNVKYEGIDYMPPISGNFSSSAEDGLFNDKASVMQSSYIQLGISGDQTVRVGDEKTDGSAVCRNMTWQSGGVTEALDRKCSWSDGNGAFVDEDKKQSSSGFLSSVQSQKHVIYTKDERGCVTIGSSRDQVEGVVGRFPLDSAYLNLNASEQYFPVAQTFNISNKQLSCGKDEELGIPIQSKALGSHLSIVSPESIESNSSGSKSHVDDDPDICILDDISQPAYSNQSFASIKSIVPLQRPTYNDSPHHSAVEGTRFRANDERLVLRVALQDLAQPNSEAVPPDGVLAVPLMRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPSHRADAVAVKKEECETLNLDDDDDGVTEIDRMKKGADGSQVTSNHSSTKSLNSSGQSKGRPAAGTLIVCPTSVLRQWDDELRKKVTTEANLSVLVYHGSNRTKDPSELAKYDVVITTYSIVSMEVPRQPLADEDDEEKRRMEGDDAPRLGFSYSKKRKNPPSFGKKGSKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTHVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAGYKLFCSAIKVPIQKNEQKGYKKLQAVLKTVMLRRTKGTLLDGEPIINLPPRVVELKKVDFTEEEREFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPRLVSGLDSSSLGSSSVEMAKKLPREKQLCLLNCLEASLASCGICSDPPEDAVVSVCGHVFCRQCVFEHLTGDDSQCPMSNCKVRLNVSSVFSKATLNSSLSDEPGQDCSDSELVAAVSSSSDNRPHDSSKIRVALEILQSLTKPKDCLPTGNLLENSVDENVACYDTSSGSRDSVKDGMDKRCLPIKAVGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVTARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRAVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.011G046400.7.v4.1 pep chromosome:Pop_tri_v4:11:3632605:3643677:1 gene:Potri.011G046400.v4.1 transcript:Potri.011G046400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046400.v4.1 MEILEMERRRTCQWMSACFILFLKKNYVLLRLSQCRILEMAESGIYKVMIEGADGGDGSVDYVANSIHNPEGSDASVGHLGGSFDYAGKQMSSSMHAYSGSNREFCLPFQEDQGTMRDGVLKSEIASCSTASTTFADGVSSCIADHARGLNLNPLLDENGNQLRHVEGNFKSTDAAHGSWMDSSDEKFGSGDAFVKNSLEILEPENDIDRSMDMQLMNTDVFSHDMISPKSDVWHYPDFHTEFSNNHSAMQFGMNEYDTHYTDSPQCDFSSAFNFGLSHNNQEINDFQPESACSGSETSMMPYSDVNMMNVKYEGIDYMPPISGNFSSSAEDGLFNDKASVMQSSYIQLGISGDQTVRVGDEKTDGSAVCRNMTWQSGGVTEALDRKCSWSDGNGAFVDEDKKQSSSGFLSSVQSQKHVIYTKDERGCVTIGSSRDQVEGVVGRFPLDSAYLNLNASEQYFPVAQTFNISNKQLSCGKDEELGIPIQSKALGSHLSIVSPESIESNSSGSKSHVDDDPDICILDDISQPAYSNQSFASIKSIVPLQRPTYNDSPHHSAVEGTRFRANDERLVLRVALQDLAQPNSEAVPPDGVLAVPLMRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPSHRADAVAVKKEECETLNLDDDDDGVTEIDRMKKGADGSQVTSNHSSTKSLNSSGQSKGRPAAGTLIVCPTSVLRQWDDELRKKVTTEANLSVLVYHGSNRTKDPSELAKYDVVITTYSIVSMEVPRQPLADEDDEEKRRMEGDDAPRLGFSYSKKRKNPPSFGKKGSKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTHVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAGYKLFCSAIKVPIQKNEQKGYKKLQAVLKTVMLRRTKGTLLDGEPIINLPPRVVELKKVDFTEEEREFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPRLVSGLDSSSLGSSSVEMAKKLPREKQLCLLNCLEASLASCGICSDPPEDAVVSVCGHVFCRQCVFEHLTGDDSQCPMSNCKVRLNVSSVFSKATLNSSLSDEPGQDCSDSELVAAVSSSSDNRPHDSSKIRVALEILQSLTKPKDCLPTGNLLENSVDENVACYDTSSGSRDSVKDGMDKRCLPIKAVGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVTARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRAVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.011G046400.5.v4.1 pep chromosome:Pop_tri_v4:11:3632823:3643659:1 gene:Potri.011G046400.v4.1 transcript:Potri.011G046400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046400.v4.1 MIEGADGGDGSVDYVANSIHNPEGSDASVGHLGGSFDYAGKQMSSSMHAYSGSNREFCLPFQEDQGTMRDGVLKSEIASCSTASTTFADGVSSCIADHARGLNLNPLLDENGNQLRHVEGNFKSTDAAHGSWMDSSDEKFGSGDAFVKNSLEILEPENDIDRSMDMQLMNTDVFSHDMISPKSDVWHYPDFHTEFSNNHSAMQFGMNEYDTHYTDSPQCDFSSAFNFGLSHNNQEINDFQPESACSGSETSMMPYSDVNMMNVKYEGIDYMPPISGNFSSSAEDGLFNDKASVMQSSYIQLGISGDQTVRVGDEKTDGSAVCRNMTWQSGGVTEALDRKCSWSDGNGAFVDEDKKQSSSGFLSSVQSQKHVIYTKDERGCVTIGSSRDQVEGVVGRFPLDSAYLNLNASEQYFPVAQTFNISNKQLSCGKDEELGIPIQSKALGSHLSIVSPESIESNSSGSKSHVDDDPDICILDDISQPAYSNQSFASIKSIVPLQRPTYNDSPHHSAVEGTRFRANDERLVLRVALQDLAQPNSEAVPPDGVLAVPLMRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPSHRADAVAVKKEECETLNLDDDDDGVTEIDRMKKGADGSQVTSNHSSTKSLNSSGQSKGRPAAGTLIVCPTSVLRQWDDELRKKVTTEANLSVLVYHGSNRTKDPSELAKYDVVITTYSIVSMEVPRQPLADEDDEEKRRMEGDDAPRLGFSYSKKRKNPPSFGKKGSKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTHVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYDPYAGYKLFCSAIKVPIQKNEQKGYKKLQAVLKTVMLRRTKGTLLDGEPIINLPPRVVELKKVDFTEEEREFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPRLVSGLDSSSLGSSSVEMAKKLPREKQLCLLNCLEASLASCGICSDPPEDAVVSVCGHVFCRQCVFEHLTGDDSQCPMSNCKVRLNVSSVFSKATLNSSLSDEPGQDCSDSELVAAVSSSSDNRPHDSSKIRVALEILQSLTKPKDCLPTGNLLENSVDENVACYDTSSGSRDSVKDGMDKRCLPIKAVGEKAIVFSQWTGMLDLLEACLKNSSIQYRRLDGTMSVTARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRAVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.019G117200.1.v4.1 pep chromosome:Pop_tri_v4:19:14368841:14369326:-1 gene:Potri.019G117200.v4.1 transcript:Potri.019G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117200.v4.1 MSSASKAWLVAAAVGGVEALKDQGFCRWNYTLRSLHQHAKNHVGSASQAKKLSSSSSAMISNKIKEVKAKQSEESLRKVMYLSCWGPY >Potri.004G132500.10.v4.1 pep chromosome:Pop_tri_v4:4:15272993:15281214:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQQITGASLRTCMLHYASFKNIFPLWALGEYRKRVHLRRL >Potri.004G132500.14.v4.1 pep chromosome:Pop_tri_v4:4:15273026:15285962:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQFLFIC >Potri.004G132500.13.v4.1 pep chromosome:Pop_tri_v4:4:15273026:15285962:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQFLFIC >Potri.004G132500.7.v4.1 pep chromosome:Pop_tri_v4:4:15273013:15280533:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQDFQFHVNH >Potri.004G132500.12.v4.1 pep chromosome:Pop_tri_v4:4:15273013:15281214:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQQITGASLRTCMLHYASFKNIFPLWALGEYRKRVHLRRL >Potri.004G132500.6.v4.1 pep chromosome:Pop_tri_v4:4:15273013:15280533:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQDFQFHVNH >Potri.004G132500.8.v4.1 pep chromosome:Pop_tri_v4:4:15273026:15278107:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQTSC >Potri.004G132500.2.v4.1 pep chromosome:Pop_tri_v4:4:15272970:15281214:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQQITGASLRTCMLHYASFKNIFPLWALGEYRKRVHLRRL >Potri.004G132500.4.v4.1 pep chromosome:Pop_tri_v4:4:15273014:15280532:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQDFQFHVNH >Potri.004G132500.11.v4.1 pep chromosome:Pop_tri_v4:4:15273013:15281214:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQQITGASLRTCMLHYASFKNIFPLWALGEYRKRVHLRRL >Potri.004G132500.5.v4.1 pep chromosome:Pop_tri_v4:4:15273014:15280532:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQDFQFHVNH >Potri.004G132500.3.v4.1 pep chromosome:Pop_tri_v4:4:15273026:15281214:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKVQEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQAEKDPAPLHQAARLLINSQMENGEFPQQQITGASLRTCMLHYASFKNIFPLWALGEYRKRVHLRRL >Potri.004G132500.9.v4.1 pep chromosome:Pop_tri_v4:4:15273026:15278107:1 gene:Potri.004G132500.v4.1 transcript:Potri.004G132500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132500.v4.1 MWRLEVAEGDGPWLFSTNKFVGRQIWRFEPNVWTPEEQAQVEMAREKFRLNRFYTKASSDVLKNFQLIKENQIDLRIPPVRLGNGEEISREKVETALRKAVRFTSAIQASDGHWPAEFSGPLFLMPPLIMVLYLSRSLDTVLSSEHKKEIIRYIYNHQNEDGGWGFHIESHSTMLGTALNYVALRLLGEGPEGGGDGAVTKARKWVLDHGGATMIPAWGKVYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKVWCHLRTVYTPMSYLYGKKFVGPITDLILQLRGELYIQPYEEIDWNKARHLCLKEDLYTSRSIAQNLLLDGVHYLSERLLKQWPFSKLREQALQEAIKHIHYEDESTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDILWLAEDGMKTQSIGSQLWDAAFATQAIIASNLVDEYGSTLRKAHEFLKLSQIQENAYGDFRSMYRHISKGAWTLSVKDHGWQVSDCTAEALRALLLLSQMPAEIVGETIDTERLHNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTQAFGDVMVETEYVECTASAIQVLVLFQRLHPGYRSKEIEVSVANASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTYRNSRTVRKACEFLLSKQHNSGGWGESYLSCANSKYTEIEGNKSNVVQTAWAMMGLIYAGQTSC >Potri.005G198000.1.v4.1 pep chromosome:Pop_tri_v4:5:20439643:20444018:1 gene:Potri.005G198000.v4.1 transcript:Potri.005G198000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198000.v4.1 MDQKPTPHFLLFCSFLIIMLTMTKTFFVASLSSDGEALLSLISAADPSAKASSSILSSWNPSSLTPCSWQGITCSPQNRVISLSLPNTFLNLSSLPSQLSSLSSLQLLNLSSTNISGSIPPSFGLFIHLRLLDLSSNSLSGPIPQEIGQLSSLQFLYLNSNKLSGRMPPQLANITSLQVLCLQDNLFNGSIPSQLGSLVSLQEFRVGGNPYLTGEIPTQLGLLTNLTTFGAAATGLSGQIPPTFGNLINLQTLSLYDTEVFGSIPPELGLCSELRNLYLHMNKLTGSIPPQLGKLQELTSLLLWGNALSGAIPAELSNCSSLVVLDASANDLSGEIPRDLGKLGVLEQLQLSDNSLTGPIPWQLSNCTGLTALQLDKNQLSGTIPWQVGDLKYLQSFFLWGNSVSGTIPASFGNCTELYALDLSRNKLTGSIPEEIFSLKKLSKLLLLGNSLSGGLPRSVAYCESLVRLRLGENQLSGQIPKEIGQLQNLVFLDLYMNQFSGGLPLEIANITVLELLDVHKNYITGEIPSQLGELVNLEQLDLSRNSFTGEIPWSFGNFSYLNKLILNNNMLAGAIPRSIQNLQKLTLLDLNSNSLSGPIPLEIGYVTSLTISLDLSSNGFTGELPETMSSLTQLQSLDLSHNLLYGKIKVLGSLTSLTSLNISYNNFSGPIPVTPFFRTLSSNSYLQNPRLCDSTDGYSCSPRTNQRNGLKSAKTIALISVILTSVTIIVIASWVIVMRNHRYVMEKSSGALATSSRAEDFSYPWTFIPFQKLNFTIDNILDCLKDENVIGKGCSGIVYKADMPNGQLIAVKKLWKAKQDEDPVDSFAAEIQILGHIRHRNIVKLLGYASNRSVKLLLYNYISNGNLQQLLQGNRNLDWETRYKIAVGSAQGLAYLHHDCVPAILHRDVKCNNILLDSKYEAYLADFGLAKLMNSPNYHHAMSRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEIISGRSAVEPQVGDGLHIVEWVKKKMGSFEQAVSILDAKLQGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSPPEEWGKTSQPLIK >Potri.007G039100.2.v4.1 pep chromosome:Pop_tri_v4:7:3180972:3185754:1 gene:Potri.007G039100.v4.1 transcript:Potri.007G039100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039100.v4.1 MIFQHKEIELPDFRSEEDAANHLERLFKQIGPDPILLVLDDVWPVSKYILDKLKFRIENYKILVTSRYEFQSFGSTYKLETLNLADAMTLFQKLALPLDQQSYAPDQKSLEEMVKCCRGFPLVISVVGKSLCRKSAAEWRKRLRECSKPASILYDNEILDCLQSSVEAFNDNVVAKECFMDLGSFPEDQRIPATTLIDMWAELYNLDEDDAIANLHELSDRNLIEVVVTRKDANEDDGSYNEHFVSQHDLLRELAIRESNSGKIEHRKRVLLEIIENKIPEWLMEQDQLSIRAKLLSISTDETFSSSWSTMQAPEVEVLLLNFQTEKYSLPEFIERMNKLKVLVLHNYGFVPAELSNFPLLGSLSNLKRIRLEKVSIPSLFLTSMKWRKLEKMSLVMCNIHQAFNKSTNKISDAFPKLVDLTIDYCNDLEELPTGFCDLVLLRKLSITNCHKLLALPEDMGNLLDLEVLRLNSCIELTELPGTIGRLHKLQILDLSECLSVTELPEQIGQLG >Potri.007G039100.1.v4.1 pep chromosome:Pop_tri_v4:7:3180972:3185631:1 gene:Potri.007G039100.v4.1 transcript:Potri.007G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039100.v4.1 MAGQIAVSAVAGAGFEIIFGDFLKMVLKAQKNNSQFEPSLKRLEEMLKDMAPNIKKIESFNAELDQPKQLERLKGLVTKGNDLVNKCSKIQKYNYLKRPIYNKKLLKLEKDIRDHISSVLQLQVVADTKEILHTQNSNLVAVKDVSFGVRQLNDQIGKLSMTLSNGSRVDSSKSYSNTILAGVCSPPLLKVDPVGLKIPLSDLEIKLLNDETSQHIVLSAPGGCGKTTLATALCQHGNVKDKFKSNIFFINVSKLRNLLVIVKMIFQHKEIELPDFRSEEDAANHLERLFKQIGPDPILLVLDDVWPVSKYILDKLKFRIENYKILVTSRYEFQSFGSTYKLETLNLADAMTLFQKLALPLDQQSYAPDQKSLEEMVKCCRGFPLVISVVGKSLCRKSAAEWRKRLRECSKPASILYDNEILDCLQSSVEAFNDNVVAKECFMDLGSFPEDQRIPATTLIDMWAELYNLDEDDAIANLHELSDRNLIEVVVTRKDANEDDGSYNEHFVSQHDLLRELAIRESNSGKIEHRKRVLLEIIENKIPEWLMEQDQLSIRAKLLSISTDETFSSSWSTMQAPEVEVLLLNFQTEKYSLPEFIERMNKLKVLVLHNYGFVPAELSNFPLLGSLSNLKRIRLEKVSIPSLFLTSMKWRKLEKMSLVMCNIHQAFNKSTNKISDAFPKLVDLTIDYCNDLEELPTGFCDLVLLRKLSITNCHKLLALPEDMGNLLDLEVLRLNSCIELTELPGTIGRLHKLQILDLSECLSVTELPEQIGQLG >Potri.011G003350.1.v4.1 pep chromosome:Pop_tri_v4:11:239809:239934:1 gene:Potri.011G003350.v4.1 transcript:Potri.011G003350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003350.v4.1 MMGIRLQNVLRIGIFFSGPSHGANEADRRPHVPFLPISSIK >Potri.003G169500.1.v4.1 pep chromosome:Pop_tri_v4:3:17812544:17812997:1 gene:Potri.003G169500.v4.1 transcript:Potri.003G169500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169500.v4.1 MEAKHRGSIRGFVRGKLVKSLFRVTKPSKPVQSGSKVSPSTCTLNGTHVDFPTRQSYYANPPSFQKVSTTKLRSFPDSGGDENVDMKAATYISYVRERFELERADFEAL >Potri.008G051600.4.v4.1 pep chromosome:Pop_tri_v4:8:3027598:3033433:-1 gene:Potri.008G051600.v4.1 transcript:Potri.008G051600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051600.v4.1 MAWFRAGASVARLAIRRTLSQGGSYATRSRVIPSQSRYFHSTVTKSKEQTAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLLRAYQVNGHMKAKLDPLGLEEREIPDELDPALYGFTEADLDREFFLGVWKMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTPMQYNRQRHEVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDMEAVVRVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYKKKLLESGQVTEEDIHRIQEKVLSILNEEFLASKDYVPKRRDWLASHWSGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEKYCPLDHVTINQNEEMFTVSNSSLSEFGVLGFELGYSMESPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMSDDNPFVIPEMEPTFRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLVVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEERRKVEAKDIAICRVEQLCPFPYDLIQRELKRYPSAEVVWCQEEPMNMGAYSYIAPRLSTAMKALGRGTMDDIKYAGRGPSAATATGFYQMHVKEQAELLQKAMQPEPIQIPN >Potri.008G051600.1.v4.1 pep chromosome:Pop_tri_v4:8:3027067:3033614:-1 gene:Potri.008G051600.v4.1 transcript:Potri.008G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051600.v4.1 MAWFRAGASVARLAIRRTLSQGGSYATRSRVIPSQSRYFHSTVTKSKEQTAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLLRAYQVNGHMKAKLDPLGLEEREIPDELDPALYGFTEADLDREFFLGVWKMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTPMQYNRQRHEVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDMEAVVRVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYKKKLLESGQVTEEDIHRIQEKVLSILNEEFLASKDYVPKRRDWLASHWSGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEKYCPLDHVTINQNEEMFTVSNSSLSEFGVLGFELGYSMESPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMSDDNPFVIPEMEPTFRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLVVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEERRKVEAKDIAICRVEQLCPFPYDLIQRELKRYPSAEVVWCQEEPMNMGAYSYIAPRLSTAMKALGRGTMDDIKYAGRGPSAATATGFYQMHVKEQAELLQKAMQPEPIQIPN >Potri.008G051600.5.v4.1 pep chromosome:Pop_tri_v4:8:3027609:3033429:-1 gene:Potri.008G051600.v4.1 transcript:Potri.008G051600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051600.v4.1 MAWFRAGASVARLAIRRTLSQGGSYATRSRVIPSQSRYFHSTVTKSKEQTAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLLRAYQVNGHMKAKLDPLGLEEREIPDELDPALYGFTEADLDREFFLGVWKMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTPMQYNRQRHEVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDMEAVVRVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYKKKLLESGQVTEEDIHRIQEKVLSILNEEFLASKDYVPKRRDWLASHWSGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEKYCPLDHVTINQNEEMFTVSNSSLSEFGVLGFELGYSMESPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMSDDNPFVIPEMEPTFRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLVVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEERRKVEAKDIAICRVEQLCPFPYDLIQRELKRYPSAEVVWCQEEPMNMGAYSYIAPRLSTAMKALGRGTMDDIKYAGRGPSAATATGFYQMHVKEQAELLQKAMQPEPIQIPN >Potri.008G051600.3.v4.1 pep chromosome:Pop_tri_v4:8:3027630:3033478:-1 gene:Potri.008G051600.v4.1 transcript:Potri.008G051600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051600.v4.1 MAWFRAGASVARLAIRRTLSQGGSYATRSRVIPSQSRYFHSTVTKSKEQTAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLLRAYQVNGHMKAKLDPLGLEEREIPDELDPALYGFTEADLDREFFLGVWKMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTPMQYNRQRHEVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDMEAVVRVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYKKKLLESGQVTEEDIHRIQEKVLSILNEEFLASKDYVPKRRDWLASHWSGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEKYCPLDHVTINQNEEMFTVSNSSLSEFGVLGFELGYSMESPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMSDDNPFVIPEMEPTFRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLVVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEERRKVEAKDIAICRVEQLCPFPYDLIQRELKRYPSAEVVWCQEEPMNMGAYSYIAPRLSTAMKALGRGTMDDIKYAGRGPSAATATGFYQMHVKEQAELLQKAMQPEPIQIPN >Potri.008G051600.2.v4.1 pep chromosome:Pop_tri_v4:8:3026980:3033739:-1 gene:Potri.008G051600.v4.1 transcript:Potri.008G051600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051600.v4.1 MAWFRAGASVARLAIRRTLSQGGSYATRSRVIPSQSRYFHSTVTKSKEQTAPVPRPVPLSKLTDNFLDGTSSVYLEELQRAWEADPNSVDESWDNFFRNFVGQAATSPGISGQTIQESMRLLLLLRAYQVNGHMKAKLDPLGLEEREIPDELDPALYGFTEADLDREFFLGVWKMAGFLSENRPVQTLRSILTRLEQAYCGSIGYEYMHIADREKCNWLRDKIETPTPMQYNRQRHEVILDRLIWSTQFENFLATKWTAAKRFGLEGGETLIPGMKEMFDRSADLGVESIVIGMPHRGRLNVLGNVVRKPLRQIFSEFSGGTKPVDEVGLYTGTGDVKYHLGTSYDRPTRGGKRIHLSLVANPSHLEAVDPVVVGKTRAKQYYSNDSDRTKNMGILIHGDGSFAGQGVVYETLHLSALPNYTTGGTIHIVVNNQVAFTTDPRAGRSSQYCTDVAKALNAPIFHVNGDDMEAVVRVCELAAEWRQTFHSDVVVDLVCYRRFGHNEIDEPSFTQPKMYQVIRNHPSALEIYKKKLLESGQVTEEDIHRIQEKVLSILNEEFLASKDYVPKRRDWLASHWSGFKSPEQLSRVRNTGVKPEILKNVGKAITTLPDNFKPHRAVKKVYDQRAQMIETGEGIDWAVGEALAFATLLVEGNHVRLSGQDVERGTFSHRHSVIHDQETGEKYCPLDHVTINQNEEMFTVSNSSLSEFGVLGFELGYSMESPNSLVIWEAQFGDFANGAQVIFDQFLSSGESKWLRQTGLVVLLPHGYDGQGPEHSSGRLERFLQMSDDNPFVIPEMEPTFRKQIQECNWQVVNVTTPANYFHVLRRQIHRDFRKPLVVMAPKNLLRHKECKSNLSEFDDVQGHPGFDKQGTRFKRLIKDQNDHSDLEEGIRRLVLCSGKVYYELDEERRKVEAKDIAICRVEQLCPFPYDLIQRELKRYPSAEVVWCQEEPMNMGAYSYIAPRLSTAMKALGRGTMDDIKYAGRGPSAATATGFYQMHVKEQAELLQKAMQPEPIQIPN >Potri.010G096100.1.v4.1 pep chromosome:Pop_tri_v4:10:11982790:11987158:-1 gene:Potri.010G096100.v4.1 transcript:Potri.010G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096100.v4.1 MGVGSKLGEVAPFTVMVIMEGCTIALTIMAKTVMSRGMSPFVFVVYTNALGTLILLPYSVLYHRERAEQPLFTLPVFLRFFFLGLTGIALSQNLAFVGLSYSSPIVVCAMGLLIPAFSFILSVVLRRSKVEWRCSSFQFKVIGTLVSIMGAISVVIYKGPYIRPSSSSQKLQHSEHQLFVFYSSPDNWILGCMLLAASFFCVSLWNIIQLGTIKLYPQVMKIASFYSLAGTIQCAIFSLIVERDLNAWKLRLNMELLLIVITAIFGSVVRSSVQISCTRMKGPFYVPLFQPFRIFWATFFGVGFFVNGLHYGSVIGAVISGVGYYTVSWGQMRGDEKQEESVRSSDEKNPLLQEDSEV >Potri.007G126300.1.v4.1 pep chromosome:Pop_tri_v4:7:14165073:14168257:1 gene:Potri.007G126300.v4.1 transcript:Potri.007G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126300.v4.1 MTAANKNHHPSSSKPPKNHHSTPKATTPQTNTTQNSNPTTNPNPAANPSPLSPSLKDQVLSRATHITRQELLKRRSHKLKQLSKCFKDYYWALMEELKVLYREYYWKYGVSPFKEDHHNTLQKVEQHKQGGGFGVLERENGEGEANIEVIGENNNNFSDLKSNHRCLFVGCKLKAMALTSFCHLHILSDAKQKLYKPCGYVIKSAQAGPITCGKPILRSTAPALCTVHFQKAQKHVTQALRKAGLNVSSSSKLAPKFHVIVTEYVRQIQAKRKAAK >Potri.007G126300.2.v4.1 pep chromosome:Pop_tri_v4:7:14165131:14165784:1 gene:Potri.007G126300.v4.1 transcript:Potri.007G126300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126300.v4.1 MTAANKNHHPSSSKPPKNHHSTPKATTPQTNTTQNSNPTTNPNPAANPSPLSPSLKDQVLSRATHITRQELLKRRSHKLKQLSKCFKDYYWALMEELKVLYREYYWKYGVSPFKEDHHNTLQKVEQHKQGGGFGVLERENGEGEANIEVIGENNNNFSDLKSNHRCLFVGCKLKAMALTSFCHLHILSDAKQKLYKPCGYVIKRFDVASLLSLISGS >Potri.001G336900.3.v4.1 pep chromosome:Pop_tri_v4:1:34541151:34543190:-1 gene:Potri.001G336900.v4.1 transcript:Potri.001G336900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MFDILVSADLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSYALAAMDFQVGLMDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGVEVLGVVENMSGLCQRLTDFRFAKLMENGEQNDITERVLGYMREKAPEMLDLIACSEVFDSSGGGATQMCQEMGVPFLGKVPLDPQLCKAAEEGRSCFADQKCGVSAPVLKSIIEKLLVMNQWREELQQIGE >Potri.001G336900.1.v4.1 pep chromosome:Pop_tri_v4:1:34541151:34545162:-1 gene:Potri.001G336900.v4.1 transcript:Potri.001G336900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NBP35 MENGEIPENANEHCPGPQSDSAGKSDSCQGCPNQQDCATAPKGPDPDLVAIAERMATVKHKILVLSGKGGVGKSTFSAQLSYALAAMDFQVGLMDIDICGPSIPKMLGLEGQEIHQSNLGWSPVYVESNLGVMSIGFMLPNPDEAVIWRGPRKNGLIKQFLKDVYWGELDFLVVDAPPGTSDEHISIVQYLQATGIDGAIIVTTPQQVSLIDVRKEVSFCKKVGVEVLGVVENMSGLCQRLTDFRFAKLMENGEQNDITERVLGYMREKAPEMLDLIACSEVFDSSGGGATQMCQEMGVPFLGKVPLDPQLCKAAEEGRSCFADQKCGVSAPVLKSIIEKLLVMNQWREELQQIGE >Potri.019G108100.1.v4.1 pep chromosome:Pop_tri_v4:19:14116095:14118120:-1 gene:Potri.019G108100.v4.1 transcript:Potri.019G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108100.v4.1 MKSVNLNGKNVKSLCLGYLKQYGWNGKDLKICAPNVHSLCLWGEMDFEGYMIEDRSCTFLERVELGFELKIENLVDDEALKKMLRIAGDLSEVKVLSVKYYCLQIH >Potri.019G108100.5.v4.1 pep chromosome:Pop_tri_v4:19:14116095:14118120:-1 gene:Potri.019G108100.v4.1 transcript:Potri.019G108100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108100.v4.1 MKSVNLNGKNVKSLCLGYLKQYGWNGKDLKICAPNVHSLCLWGEMDFEGYMIEDRSCTFLERVELGFELKIENLVDDEALKKMLRIAGDLSEVKVLSVKYYCLQCVRRLRCNPPSQVANV >Potri.019G108100.4.v4.1 pep chromosome:Pop_tri_v4:19:14116095:14118120:-1 gene:Potri.019G108100.v4.1 transcript:Potri.019G108100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108100.v4.1 MKSVNLNGKNVKSLCLGYLKQYGWNGKDLKICAPNVHSLCLWGEMDFEGYMIEDRSCTFLERVELGFELKIENLVDDEALKKMLRIAGDLSEVKVLSVKYYCLQVCLLICGKVTLHIPCMHFLCVSFLRTVAA >Potri.019G108100.3.v4.1 pep chromosome:Pop_tri_v4:19:14116094:14118120:-1 gene:Potri.019G108100.v4.1 transcript:Potri.019G108100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108100.v4.1 MKSVNLNGKNVKSLCLGYLKQYGWNGKDLKICAPNVHSLCLWGEMDFEGYMIEDRSCTFLERVELGFELKIENLVDDEALKKMLRIAGDLSEVKVLSVKYYCLQKLG >Potri.019G108100.2.v4.1 pep chromosome:Pop_tri_v4:19:14116095:14118120:-1 gene:Potri.019G108100.v4.1 transcript:Potri.019G108100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108100.v4.1 MKSVNLNGKNVKSLCLGYLKQYGWNGKDLKICAPNVHSLCLWGEMDFEGYMIEDRSCTFLERVELGFELKIENLVDDEALKKMLRIAGDLSEVKVLSVKYYCLQMFSVCETIKM >Potri.019G014310.2.v4.1 pep chromosome:Pop_tri_v4:19:1896193:1897253:1 gene:Potri.019G014310.v4.1 transcript:Potri.019G014310.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014310.v4.1 MDSEFLAIKWLSKQLILSFISINASTNIWKCYNMESLVSSSWLCSAPPSSPSYHGIFLFLKSLICDSLQQIGITNCQMLKRLAIHLPLLENGQLSHPPSLRVMEYIQKNGWNP >Potri.019G014310.1.v4.1 pep chromosome:Pop_tri_v4:19:1896193:1897253:1 gene:Potri.019G014310.v4.1 transcript:Potri.019G014310.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014310.v4.1 MDSEFLAIKWLSKQLILSFISINASTNIWKCYNMESLVSSSWLCSAPPSSPSYHGIFLFLKSLICDSLQQIGITNCQMLKRLAIHLPLLENGQLSHPPSLRVMEYIQKNGESQWWNGSILMLRMSFVPLLFK >Potri.015G142900.3.v4.1 pep chromosome:Pop_tri_v4:15:14852319:14858733:-1 gene:Potri.015G142900.v4.1 transcript:Potri.015G142900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142900.v4.1 MGKQKQQIISRFFAPKSKPTTTSPSPSPPQTAPSSSSSPKITTTVAFSPSKRNLLSTRITSTPKRPKLSPHTQNPLPSLHKKFVDKLLEPQTPQTPQPQNTQNTQKFTPLEQQVVDLKQRYPDVLLMIEVGYKFRFFGEDAEIAARVLGIYAHKDHNFMTASVPTFRLNVHVRRLVSEGYKVGVVKQTETAAIKAHGENKSGPFCRGLSALYTKATLEAAENVGGEEEECGGESNYLCCVVEKGLDCGVEGGVFDVRVGVVAVEISTGDVVYGEFNDGFMRSGLEAFVLSLAPAELLLGDPLSKQTEKLLLAYSGPSSNVRVERVSRDCFSDGGALADVMSLYENMIEDNLGDNEKQMTDAKEQGSCHLAIEGVIKMPDLAVEALALTVRHLKQFGFDRMLCLGASFRPFSSNMEMNLSANTLQQLEVLRNNSDGSESGSLLHIMNHTLTIYGSRLLRHWVTHPLCDRNMISARLDAVSEIAECMGFSKDSQRVSELDEDDSEVAIVQPDLYYLLSAVLTALGRSPDIERGITRIFHRTATASEFIAVFQAILAAGKQLKRLCIQEEHNYDGVGSKTVKSVLLKRLILAASSSSVVGNAAKLLSTLNKEAAEQGDLTNLIIISDDQFPEVARAREAVQFAKEKLDSLIGLYRKQLQMRNLEFMSVSGTTHLIELPLDFKVPLNWVKVNSTKKMIRYHPPEVLTALDQLLLANEELMIVSRAAWDSFLRGFGIYYAEFRGAVQALATLDCLFSFATLLKNKNYVRPMFVDDSEPLQINICSGRHPVLETILQDNFVPNDTNLCADKEYCQIVTGPNMGGKSCYIRQVALIALMAQHCQQNCMC >Potri.015G142900.1.v4.1 pep chromosome:Pop_tri_v4:15:14852408:14858950:-1 gene:Potri.015G142900.v4.1 transcript:Potri.015G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142900.v4.1 MGKQKQQIISRFFAPKSKPTTTSPSPSPPQTAPSSSSSPKITTTVAFSPSKRNLLSTRITSTPKRPKLSPHTQNPLPSLHKKFVDKLLEPQTPQTPQPQNTQNTQKFTPLEQQVVDLKQRYPDVLLMIEVGYKFRFFGEDAEIAARVLGIYAHKDHNFMTASVPTFRLNVHVRRLVSEGYKVGVVKQTETAAIKAHGENKSGPFCRGLSALYTKATLEAAENVGGEEEECGGESNYLCCVVEKGLDCGVEGGVFDVRVGVVAVEISTGDVVYGEFNDGFMRSGLEAFVLSLAPAELLLGDPLSKQTEKLLLAYSGPSSNVRVERVSRDCFSDGGALADVMSLYENMIEDNLGDNEKQMTDAKEQGSCHLAIEGVIKMPDLAVEALALTVRHLKQFGFDRMLCLGASFRPFSSNMEMNLSANTLQQLEVLRNNSDGSESGSLLHIMNHTLTIYGSRLLRHWVTHPLCDRNMISARLDAVSEIAECMGFSKDSQRVSELDEDDSEVAIVQPDLYYLLSAVLTALGRSPDIERGITRIFHRTATASEFIAVFQAILAAGKQLKRLCIQEEHNYDGVGSKTVKSVLLKRLILAASSSSVVGNAAKLLSTLNKEAAEQGDLTNLIIISDDQFPEVARAREAVQFAKEKLDSLIGLYRKQLQMRNLEFMSVSGTTHLIELPLDFKVPLNWVKVNSTKKMIRYHPPEVLTALDQLLLANEELMIVSRAAWDSFLRGFGIYYAEFRGAVQALATLDCLFSFATLLKNKNYVRPMFVDDSEPLQINICSGRHPVLETILQDNFVPNDTNLCADKEYCQIVTGPNMGGKSCYIRQVALIALMAQVGSFVPALSAKLHVLDGIYTRMGASDSIQQGRSTFLEELSEASHILHKCTAQSLVIIDELGRGTSTYDGEAIAYATLYHLLDQKRCMVLFVTHYPKIVEIKTEFPGSVGAYHVSYLTSEKSEGAIESTCDTEDVTYLYKLVPGVSEKSFGFKVAQLAELPPSCIRRATIMAARLEAVLSSRLGNEQLLETLPVQQQEEAQENMLRSDVRIEKSEDSTVAYREFFSNLKSAMFDDDVARSSQFLEKARSIAKEFLAK >Potri.014G184600.2.v4.1 pep chromosome:Pop_tri_v4:14:14910639:14914076:-1 gene:Potri.014G184600.v4.1 transcript:Potri.014G184600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184600.v4.1 MDSKSNKSSISDYCFNPNDSQAFIGINYGQVADNLPPPSSTAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNEVMTSNDQNLMNKLLPAMQNVQNTLNDESLGGKIKVSTIHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYYAYRSDTTPETLAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPYKGDDNEVGPSIDNAKAYNGNLIAHLRSLVGTPLMPGESVDTYLFAFYDEDLIKPGPGSERSSGLFKTGVTMVYDVGLSTSSQIQAPAAAPQPPPAAAAATTTTSNNNSSTSTSTGTGTRVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGK >Potri.011G045000.1.v4.1 pep chromosome:Pop_tri_v4:11:3514999:3515427:1 gene:Potri.011G045000.v4.1 transcript:Potri.011G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045000.v4.1 MKTLTIFFLLALLLVSCSLFTETRPDPKTSTKGKPINQNNKASGNDGGVFGSGSGIPGFDYGWGNVGGGYGGGFGGPKGGSSKGGVIKPSVVCKEKGPCYKKKLTCPAKCFTSYSRSGKGYGGGGGGGGCTIDCKKKCVAYC >Potri.003G172800.2.v4.1 pep chromosome:Pop_tri_v4:3:18087844:18090002:1 gene:Potri.003G172800.v4.1 transcript:Potri.003G172800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172800.v4.1 MAVEDNTQIELESKMLFSELDHFIAKSQEKSDRCSKEIIAAKSFKIEIEASVITRNNLDQQVRAKIDKARQWLNQKIDEFKTKEGSHEDIGLIAASPISSNSKQNFFQCLPSDPEAMAADHRKSSLQGGTEQLMSTALGISEDTLPGSWNPLPEEIERVKASVREGLDKARERSFVYKEALSDLDKFLSKSLPSKTTDIDESKKEDNGNAFSHSGEQAMADEDYTQLDPEIHNLVKKLDECIAKIDQKRQVLENAIESEIEALKKDQNETRELRLNLLHESGATSSNIEAGSRKIANVKQWLNQKALISGDTSGDHDQGNNYSVLIVHDDRDARDIIWRYVMVVGTEKHFTLEFQEARNGKEAVYLHLAGASFDLIIMDDQMPIMTGIQATQLLRKMGVKSQIIGFASESVQQAFIDAGADECLQMPLDTE >Potri.006G152800.1.v4.1 pep chromosome:Pop_tri_v4:6:13582102:13583708:1 gene:Potri.006G152800.v4.1 transcript:Potri.006G152800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152800.v4.1 MMEKSWLLAFSLFLQIIPREAAFGIGGGVGVGIGNAGGGVWIGGGIRSSPTPSPGSSVSNPNSAYAALQSWKSAITDDPLKVLDTWVGTDVCAYKGVFCADPQDDGPGSVVVGIDLNHANLQGTLVKEISVLTDLSLLHLNSNRFSGTLPDTFKDLISLQELDLSNNHFSGPFPTVTLYIPNLMYLDLRFNSFSGPIPEDVFNKKLDAIFLNNNQFDSQIPQNLGSSPASVINLANNKLSGNIPASFGLMSSKVKEILFLNNQLTGCIPQGVGLFTEMQVLDVSFNSLMGHLPDTISCLNQIEVLNLAHNKLSGQVPELVCSLRSLVNLTVASNFFSGFSQDCAKLFFRNVGFDFSLNCIPGRDMQRPQPECSVIPGGGLSCLRIPSAQPLICGSLPKTLEANISPSSP >Potri.008G220600.1.v4.1 pep chromosome:Pop_tri_v4:8:18289040:18298249:1 gene:Potri.008G220600.v4.1 transcript:Potri.008G220600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220600.v4.1 MESLIFYIGVIGNVISVLMFLSPVGTFWRIIKHRSTEDFESLPYVCTLLNSSLWTYYGIIKPGAYLVATVNGFGILVEIIYVSLFLIYAPVKMRNKTAILAGILDVGVLAAAILAARLALHGQVRIDAIGFICAGLNIIMYGSPLAAMKTVVTTKSVEYMPFFLSFFFFLNGGIWTFYAILTRDYFLGVPNGAGFLLGIAQLVLYAIYMNVKPSINVSNRLEEGCEQESLISSLNYSN >Potri.006G213600.3.v4.1 pep chromosome:Pop_tri_v4:6:21983016:21984005:1 gene:Potri.006G213600.v4.1 transcript:Potri.006G213600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213600.v4.1 MESIAKQPLNLSLNPHRPSFPNPIVSLTFKTPPPSSSPFKLSTSIRASSSSRITPLNQSLTTIIKTTSITLTAAAVLFFTRFNSKPAIASPVAASSSTADPTKESSKENVSFEEQERALQDHLAQNPSDVEALRSLMEVRIKSKKLQEAIEVVDRLIELEPNEDEWPLLKSQIYTYSGDFESAKDGFEAVLQKDPLRVEAYHGLVMANSESGGSLEVVLKRIESAMNKCKKEKKNSDLRDFKLLIAQVRVMEEKYFDALKVYEELVKEEPRDFRPYLCQGMIYTLLRKKDEAEKKFEQFKKLVPKNHPYREYLVENMFATNFFSEKVER >Potri.015G124800.1.v4.1 pep chromosome:Pop_tri_v4:15:13770260:13773845:-1 gene:Potri.015G124800.v4.1 transcript:Potri.015G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124800.v4.1 MSERLKIRTPKSPNSSKLPRIMARKSSLLKQTLIFSACTILIIYAFFNTFLSSSTAAATTGISATTSSLFAEQISRENLLEFPEKATENEKKVRVFMYDLPKKFTTGIIENHALARGSSDLSKVSYPGHQHMGEWYMYLDLSRPDLDRVGSPVVKVNDPEEADLFYVPVFSSLSLIVNPARAGTVPGSDPVYSDEKMQEELVEWLEEQEYWRRNNGRDHVVFAGDPNALYRVLDRVKNVVLLLSDFGRVRSDQGSLIKDVIVPYSHRINVYNGDIGVEERKTLLFFMGNRYRKDGGKIRDLLFQMLEKEEDVVIRHGTQSRENRRTATRGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPLIVSDSIELPFEDVIDYRKIAIFVDTESSLKPGYLVRMLRAVSTEKILEYQKQMREVKRYFVYSDSNGTVNEIWREVAQKLPLIQLMINRDKRLVKKDSTEPDCSCLCTNQSAHITSL >Potri.015G124800.4.v4.1 pep chromosome:Pop_tri_v4:15:13770150:13773834:-1 gene:Potri.015G124800.v4.1 transcript:Potri.015G124800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124800.v4.1 MSERLKIRTPKSPNSSKLPRIMARKSSLLKQTLIFSACTILIIYAFFNTFLSSSTAAATTGISATTSSLFAEQISRENLLEFPEKATENEKKVRVFMYDLPKKFTTGIIENHALARGSSDLSKVSYPGHQHMGEWYMYLDLSRPDLDRVGSPVVKVNDPEEADLFYVPVFSSLSLIVNPARAGTVPGSDPVYSDEKMQEELVEWLEEQEYWRRNNGRDHVVFAGDPNALYRVLDRVKNVVLLLSDFGRVRSDQGSLIKDVIVPYSHRINVYNGDIGVEERKTLLFFMGNRYRKDGGKIRDLLFQMLEKEEDVVIRHGTQSRENRRTATRGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPLIVSDSIELPFEDVIDYRKIAIFVDTESSLKPGYLVRMLRAVSTEKILEYQKQMREVNSTCIYL >Potri.015G025701.1.v4.1 pep chromosome:Pop_tri_v4:15:1934035:1934470:-1 gene:Potri.015G025701.v4.1 transcript:Potri.015G025701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025701.v4.1 MCLLLAYFTPKIQYFLQSQHLQFWRVSVEMSDPRHASPYPAQVGFSLEDHSTIDLCHEEFFFFPFEFSSFHNLFHYHDNTSAI >Potri.017G109000.8.v4.1 pep chromosome:Pop_tri_v4:17:11723032:11731644:-1 gene:Potri.017G109000.v4.1 transcript:Potri.017G109000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109000.v4.1 MEKKKIDEYEPGPPPSPRPLDRFGFVKQEVNNSPHGLTKGRSAYEFEREERRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQI >Potri.017G109000.1.v4.1 pep chromosome:Pop_tri_v4:17:11723380:11731538:-1 gene:Potri.017G109000.v4.1 transcript:Potri.017G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109000.v4.1 MEKKKIDEYEPGPPPSPRPLDRFGFVKQEVNNSPHGLTKGRSAYEFEREERRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLFQFDCLMKEHMPKLGEHFTQEIINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYCHDDLVKLPFEKLVHALRNFPEGAMDPDTVLPMANSIKVSRRLEELKQEYDKKNGKLSHSTEINRNQEQLQ >Potri.014G159700.1.v4.1 pep chromosome:Pop_tri_v4:14:11382535:11385469:1 gene:Potri.014G159700.v4.1 transcript:Potri.014G159700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159700.v4.1 MANSLAFVLTLTLFCGLAVGSSRTKLFSDKSQNGMAAALAPSVGDGVCASMIEPQDYICEEHTVTTEDGYILSLQRIPVGRSGGTRGNRPPVLLQHGLLMDGITWLLLPPDQSLAFLLADNGFDVWIANTRGTKYSRGHVSLSPDDSAYWDWTWDELVTYDLPATFQYVHHQAGQNLHYVGHSLGTLIALAAFSKSQLVNSLRSAALLCPIAYVGQMSSPLARNAAKDFLAEALHWLGLNEFDPRGEAVVKLLKIICNKPGVDCTDLLTSFTGQNCCLNSSIVDVFLSHEPQSTATKNMIHISQMIREGTIAMYDYDDDEKNREHYGQTSPPVYNMTSIPNDLPLFLCYGGADALSDINDVQLLLDSLKDHDGDKLVKQYRVDYAHADYVMSANAKQDVYDPLIAFFRLQ >Potri.013G041000.2.v4.1 pep chromosome:Pop_tri_v4:13:2811930:2816667:1 gene:Potri.013G041000.v4.1 transcript:Potri.013G041000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041000.v4.1 MAGNPPPEGLGDDFLEQILAAQPPGYGGGGEVMGSTSLPMMGLQLGSCAGNVGLLRSNANNNMGMMPLGLNLEHHGFLRQQQDDSGSSLDTNNSNNINNTPPSIKSARILGRDSVHMTSLFPTFGHLQIHSSIRPTPPPPGPPQIHQINSHPNPGAVSAVPQPPGIRPRVRARRGQATDPHSIAERLRRVRITERVKALQELVPTCNKTDRAAMLDEIVDYVKFLRLQIKVLSMSRLGAAGAVAQLVADVPLSSVQIKGEGNEGGANQQSWENWSNDDTEQEVAKLMEEDVGAAMQFLQSKALCIMPISLASAIFRARPPNASTLINTESNTPS >Potri.013G041000.3.v4.1 pep chromosome:Pop_tri_v4:13:2811909:2816697:1 gene:Potri.013G041000.v4.1 transcript:Potri.013G041000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041000.v4.1 MAGNPPPEGLGDDFLEQILAAQPPGYGGGGEVMGSTSLPMMGLQLGSCAGNVGLLRSNANNNMGMMPLGLNLEHHGFLRQQQDDSGSSLDTNNSNNINNTPPSIKSARILGRDSVHMTSLFPTFGHLQIHSSIRPTPPPPGPPQIHQINSHPNPGAVSAVPQPPGIRPRVRARRGQATDPHSIAERLRRVRITERVKALQELVPTCNKTDRAAMLDEIVDYVKFLRLQIKVLSMSRLGAAGAVAQLVADVPLSSVQGEGNEGGANQQSWENWSNDDTEQEVAKLMEEDVGAAMQFLQSKALCIMPISLASAIFRARPPNASTLINTESNTPS >Potri.013G041000.4.v4.1 pep chromosome:Pop_tri_v4:13:2811921:2816667:1 gene:Potri.013G041000.v4.1 transcript:Potri.013G041000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041000.v4.1 MAGNPPPEGLGDDFLEQILAAQPPGYGGGGEVMGSTSLPMMGLQLGSCAGNVGLLRSNANNNMGMMPLGLNLEHHGFLRQQQDDSGSSLDTNNSNNINNTPPSIKSARILGRDSVHMTSLFPTFGHLQIHSSIRPTPPPPGPPQIHQINSHPNPGAVSAVPQPPGIRPRVRARRGQATDPHSIAERLRRVRITERVKALQELVPTCNKTDRAAMLDEIVDYVKFLRLQIKKATLIPISL >Potri.013G041000.1.v4.1 pep chromosome:Pop_tri_v4:13:2811930:2816667:1 gene:Potri.013G041000.v4.1 transcript:Potri.013G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041000.v4.1 MHSLSLSRRTNTKQRNPPPEGLGDDFLEQILAAQPPGYGGGGEVMGSTSLPMMGLQLGSCAGNVGLLRSNANNNMGMMPLGLNLEHHGFLRQQQDDSGSSLDTNNSNNINNTPPSIKSARILGRDSVHMTSLFPTFGHLQIHSSIRPTPPPPGPPQIHQINSHPNPGAVSAVPQPPGIRPRVRARRGQATDPHSIAERLRRVRITERVKALQELVPTCNKTDRAAMLDEIVDYVKFLRLQIKVLSMSRLGAAGAVAQLVADVPLSSVQGEGNEGGANQQSWENWSNDDTEQEVAKLMEEDVGAAMQFLQSKALCIMPISLASAIFRARPPNASTLINTESNTPS >Potri.017G060000.3.v4.1 pep chromosome:Pop_tri_v4:17:4897343:4902425:-1 gene:Potri.017G060000.v4.1 transcript:Potri.017G060000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060000.v4.1 MATGTEVVSDNGHGCCKKGPGYATPLEAMSGPRESLLYVTCVYSGTGIEKPDYLATVDVDPNSPTYSKVIHRLPMPNVGDELHHTGWNSCSSCHGDPSAARRYLVLPSLISGRIYAIDTLKDPRAPSLHKVVEPADIVNKTGLAYPHTSHCLASGDVMVSCLGDKDGNAEGNGFLLLDSEFNVKGRWEKPGHSPTFGYDFWYQPRHNIMISSSWGAPAAFTKGFNLQHVADGLYGRHLNVYSWPNGELKQTLDLGDTGLLPLEIRFLHDPSKDSGFVGCALTSNMVRFFKTPDGSWSHEVAISVKPLKVQNWILPEMPGLVTDFLISLDDRFLYFVNWLHGDVRQYSIEDPEKPVLKGQVWVGGLIQKGSSVVAEGEDGKTWQYDVPEIQGHRLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPELMEKGSHMLQIDVDTEKGGLAINPNYFVDFAAEPDGPSLAHEMRYPGGDCTSDIWI >Potri.017G060000.1.v4.1 pep chromosome:Pop_tri_v4:17:4897295:4902314:-1 gene:Potri.017G060000.v4.1 transcript:Potri.017G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060000.v4.1 MATGTEVVSDNGHGCCKKGPGYATPLEAMSGPRESLLYVTCVYSGTGIEKPDYLATVDVDPNSPTYSKVIHRLPMPNVGDELHHTGWNSCSSCHGDPSAARRYLVLPSLISGRIYAIDTLKDPRAPSLHKVVEPADIVNKTGLAYPHTSHCLASGDVMVSCLGDKDGNAEGNGFLLLDSEFNVKGRWEKPGHSPTFGYDFWYQPRHNIMISSSWGAPAAFTKGFNLQHVADGLYGRHLNVYSWPNGELKQTLDLGDTGLLPLEIRFLHDPSKDSGFVGCALTSNMVRFFKTPDGSWSHEVAISVKPLKVQNWILPEMPGLVTDFLISLDDRFLYFVNWLHGDVRQYSIEDPEKPVLKGQVWVGGLIQKGSSVVAEGEDGKTWQYDVPEIQGHRLRGGPQMIQLSLDGKRLYVTNSLFSTWDRQFYPELMEKGSHMLQIDVDTEKGGLAINPNYFVDFAAEPDGPSLAHEMRYPGGDCTSDIWI >Potri.006G187400.1.v4.1 pep chromosome:Pop_tri_v4:6:19435715:19447564:1 gene:Potri.006G187400.v4.1 transcript:Potri.006G187400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187400.v4.1 MEDDDEFGDLYTDVLRPFSSSSSSTPQPTQPLSAPSYLHRPIDINDAVKDDDDEILHGNPPDPTNQNSIQITSFSAPRIRVLGDAESPIKASIGDDTEVSFDIEEVNTGILEDSGPIIPGLTEDDSRKMEASAEISGGGGDWQDEEESDSEDDLQIVLNDNTHPGGTMGIDREIGDDDDDDEDGDPLVIVADGDGPNQAIEEQDWGGGEDGVAAAGGGAEGERKEGGEAVGKGNAVVGPKIGYSNHVYHHHPFHSQFKYVRPGAAPMPAATSVGPGGTPGQVRPPMNMGAMAGRGRGDWRPVGIKGAPQKNFHPGFGGSAWGAGRGFGSGMEFTLPSHKTIFDFDIDGFEEKPWKYPGVDISDYFNFGLNEESWKDYCKQLEQYRLETTMQSKIRVYESGRAEQEYDPDLPPELAAATGFHATADNSNAGKSDIGQSDLAKGSARMRPQIPTGRAIQVETGYGERIPSIEGRAPRLRDSDAIIEIVCQGSLEDSPPRDGVQDGAHNDPQKDDFKVSDASEDDMEQTENEYAGGFPQAYNGRKGGRRTPYMNSAHNMSEGDVLPIHPKAPAPYHQTGSRGHPPSYPGRESGTPHEERRMQGRSCDSSPHLTPSQNSRDKKFLDDVEEESTESMDDKLSPRISSPITVRDARELSSEEKDDVEPLQAEESSRLGRDEMTENEETTNDKDGNVHHSTRKQKVSSHVEQPALQQLDDEEDSKAARSSENSKARSGSSKDYQKWQDGVEEEVVQDRRSTRSGSIRRHLDENEQNFQRKDRDVRREMERNRGVIRGREDSYPHRDLDPSLPHHLHMKHESYDKRKERENPDISWQQRDEDPHSRKHRTEDRKREHGDEMGSRHRGKIRETERSDKDEHLHSRKQLENGSYRIHHDKDGSSRHRERDDNLKSRFEMVDDYHSKRRKDEEYVKREYADKEEILHGHRENTSRRRHERDDQQRIRDNLDGYHSVKHKDEVWLQRERGERQRQREREREELYRVKQSSEENLPKREREEGRASARSGRVVDDKAWAGHAWGKDEYKVSDKEYQLKDTVRISEHQKRRDRMEDESLSHHRGQDDVYARGNQFSNEERRSRQERSSSRVDRTVDTSVSQRVHEKKHKENPRKNKESDGDHGTWGPSKRNQDNLNGHSDETVLKRSREPGSREAEILMQLNSSKRLKKNASSDDEQQDSRRGRSKLERWTSHKERDYNISKASASLKFKETDRNNNGGSLQGSKLSDEPPKKVETVEKQAKIETVEKHCTGEEKDVADAENKDTDTKPSGDRHLDTVEKLKKRSERFKLPMPSEKDAFSVKKMESEAVPSVKPETPADSEIKPERPPRKRRWISN >Potri.006G217900.1.v4.1 pep chromosome:Pop_tri_v4:6:22313919:22316719:1 gene:Potri.006G217900.v4.1 transcript:Potri.006G217900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217900.v4.1 MRARSSKQETKEGMETGKLRKLKEEPHLSGAYIRSLVKQLTSSRTKDPMNPKGHGSADSDGFSGKNMADYSEGLSKNQKSQQPQEPQPHKKQVRRRLHTSRPYQERLLNMAEARREIVTALKFHRAAMKQANEQQQEQKNQQEQEQQQKQQQQQQSSLPVQLLPPPCFEQEGKIKSRRNPRIYPSNSTDFSNYLDNFSYKPFTPPPPCPPPYPFSWPSSSILDPTTAENINFPLPNQTLGLNLNFHDFNNIDTTLYYSSDNPPSVYSSSSPSSSSFPSPFIATEEIPSVSNTCEGMPPAAFDETDSYGGAGLHQVMDDEEMAEIRSIGEQHQMEWNDTMNLVTSAWWFKFMKTTGLDPEVKSTEDDGCHPFEQVMEFPAWLNANDQQHFNDHFSQDYFHDAALPCMDIGEIEGIDGEWLA >Potri.010G193200.1.v4.1 pep chromosome:Pop_tri_v4:10:18836393:18836704:-1 gene:Potri.010G193200.v4.1 transcript:Potri.010G193200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193200.v4.1 MVSETKKLAEWMPMVERCTDLAGEEGVPGYVRAVSGFMFPQRDGDRSWIKERLVAMDSTSHSHVYKMEVSNVGLDGSINTLKLVDCGDDSTLVNWSLISDSII >Potri.004G054200.1.v4.1 pep chromosome:Pop_tri_v4:4:4412087:4414454:1 gene:Potri.004G054200.v4.1 transcript:Potri.004G054200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054200.v4.1 MKAAVLRSSRAALRPCTCRHLVGRSYSSTESSPESKVAILGAAGGIGQPLALLMKLNPLISSLSLYDIANTPGVAADVSHINSRAQVAGYAGEEQLGEALDGSDIVIIPAGVPRKPGMTRDDLFKINAGIVKSLCTAIAKYCPNALVNMISNPVNSTVPIAAEVFKKAGTFDERKLFGVTTLDVVRAKTFYAGKVKVPVAEVNVPVVGGHAGITILPLFSQAAPKSSNLSDDDIKALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAVFADACLKGLNGVPDIVECSFVQSSITDLPFFASKVRLGKNGVEEVFGLGPLSDYEQQGLESLKPELKASIEKGVEFANQN >Potri.016G042500.1.v4.1 pep chromosome:Pop_tri_v4:16:2666141:2669384:1 gene:Potri.016G042500.v4.1 transcript:Potri.016G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042500.v4.1 MKRHAAPLTVLSFSCLLILLLPILTVGFADRLQAYDPPLSLLKTALQPEQKPEEIKSLRVREGAPFKIALFADLHFGENAWTDWGPQQDVNSIKVMSSVLDDESPDFVIYLGDVITANNIPIANASLYWDKAISPTRARGIPWASIFGNHDDAPFEWPMEWFSSPGIPPINCPAPNASSCSGESYCSFRGTQRIELMKKEIEHNLLTLSKNGPKDLWPSISNYVLQLSSSDDPESPVLFMYFLDSGGGSYPEVISNAQAEWFQHVSEEINPDSRVPEVIFWHIPSKAYKNVAPRLRIHKPCVGSMNKEKVAAQEAELGIMDMLVKRSSVKAVFAGHNHGLDWCCPYKKLWLCYARHTGYGGYGNWPRGARILEINDQPFYIKSWIRMEDGNEHSQIILSP >Potri.013G008200.1.v4.1 pep chromosome:Pop_tri_v4:13:526111:530000:1 gene:Potri.013G008200.v4.1 transcript:Potri.013G008200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008200.v4.1 MGSLGGETAKKKAMWLYPKVLGFNPSERWGHSACYSHGIVYVFGGCCGGLDFSDVLMLNLDTMLWNTMATTGQGPGPRDSHSAVLVGRQMIVFGGTNGSKKVNDLHVLDLGTKEWMSPECKGNPPSPRESHTATLIGDDKIMIFGGSGEGEANYLNDLHVLDLKSMRWTSPEVKGSIPAARDSHSAVAIGSKLFVYGGDRGDRFHGDVDVLDTDTMTWTKLAVQGSAPGVRAGHTAVNIGTKVYVIGGVGDKHYYNDVWVLDVSACSWTKLDISGQQPQGRFSHTAVVTDLNIAIYGGCREDERPLNQLLVLQLEAEHPNGRYNISMCKIFGNHWNQEKRRFLRGAANNSTMFPGNNEIVGKGSQESEESKQPFQFSSDTLHPTKKRTTNLKAWEIDSEQEEHSLSLSQHSSPSQSDQEQFPVHRSVDSLTSCQGLNFFRQLNKIPRNCRADDVASNQKQPITIVERTPYSLQISRENKRAEQYVHAGLGRQGTPFPPMEHRPVEAGSIQNLVGAEVRGKVDGAFDSGLLMTATVNGKIFRGVLFAPAPCVAPGRAILAQNHASQGTQIHTVQQFPNSNHIDSLKPSHHPTTFPKPESGQSFRQTQMTRTYPVIRAAPSLGKEPKPRSDLQGVILTLGGPASGHVGQV >Potri.013G017100.1.v4.1 pep chromosome:Pop_tri_v4:13:1062409:1066142:-1 gene:Potri.013G017100.v4.1 transcript:Potri.013G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017100.v4.1 MGSPSFCSFRFAIFLSLSLTASSSSPSLLPFKSPSPSSIPKATPSDLLSLLGPPTQSSKINPLISQQLSSCLKFLVPFTPSKPKTSKYNSISDCYIKQRSLRLRSNSVISVERSKSEENELIWWPPEPVLELARLALDSGGDPASIHRALDPTVLPVPDVEGCQENKCGLTRTPYGRRFISEELNSYIKFLFELIVARGPSVGFNVSLNRYDLFHGHVFIARETGRLGILFHAREYPAYDKEVFPYNMGYCQKGSTVTYDDSMNLRNILVLLPMPSNSTKAWVAPGVLVVLDARPDGIIYRDLIPEYVNIARTIYEDDLGEVVVDVNYLNVGDTVPDYQIFVC >Potri.003G082600.1.v4.1 pep chromosome:Pop_tri_v4:3:10928989:10935463:1 gene:Potri.003G082600.v4.1 transcript:Potri.003G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082600.v4.1 MQTHSSNMRLALIDSLATATTSTESDLQEKAWHILGLLLSIGNPTPALELASHCTLFNASPDLIESLCSIPNSPITLTFNSDDCSNSFLVTISPLGLFALNQFVSNLSLIDAFATWIRTAICRPKVPLEDAVRMYFRKRKRIGYDPADVYEKEQGVSTSSKRIRNGCKTLTFHSSEDVNRINVEPTYMPIQPGNLILAPDLFVKTIVGELSCKLRDIEHEEGERNTRAIVESGKDKRIMACEIDEESALPIIRSDNEAVFQEAKVNEIDLESRADVNATCCLDDVKWSSRLLLEFTSVNGACNAINDSRVETVRTETREELVIDYGKTEGEGINHFQQSNTAFDTPQERNFRRNELNSLDKETITTHMKNQVEASTSELSVPPKLSKDPKPSNKIKVTTGVAVSPRQQTLCQSLDQRKAVNPPKENQHRKKDQMKNSTAQKSKQACNDTHTKERKKDCALNAPKDWGSKDFPRFDSYIVEKEEGSGGYGTVYRATRKHDGTTVAIKCPHENAHRHHVINELKMLERFGGKNFVIKYEGCLKNQNSDCLVLAYVDHDRPEVLKKEIDVFQLRWYGYCMFRALATLHKQGVVHRDVKPGNFLFSCKASKGYLIDFNLALDLHQKLGAINKSKAENDISFNNVAVSNAKYIPPSKSRRFPGTKFLDAVDVGAIKGWNSTLEAKNVKRKPDSSMKSQGADGSGVTSVKDATSARTPSAERLKEPLPCQGRKELISLLHEAMQSPNHEASSVPASMRKRIAAPPGKIDGRHIYLTPMPLHSTDITVAGIGLVKNKGDGKNKKEGPCVGTKGFRAPEVLFRSLYQGPKVDIWSAGVTILYLIIGKTPFYGDPEQNIKDIAKLRGSEDLWEISKLHDRESSFPVDLYNMQSLPPTTLWEWCKLNSKRQDFLDAVPSSLIDLVDKCLTVNPRLRISAEDALKHEFFAPCHESLRKQKLLRQGLSLDSRTNNIPSHGQSIARPIKIYQRQP >Potri.019G052100.2.v4.1 pep chromosome:Pop_tri_v4:19:8711963:8728156:1 gene:Potri.019G052100.v4.1 transcript:Potri.019G052100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052100.v4.1 MTSLVMAAEKFRDLSQAIDVPLLDATVAAFYGTGSKEERAAADRILQDLQSNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRMERLYVNKLNVTLVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIQATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTLQCLTEVAALNFGDFYNMQYIKMYNFFMVQLQAILPSTTKIPEAYANGSSEEQAFIQNLALFFTSFYKSHIRVLESSQENISALLMGLEYLINISFVDDTEVFKVCLDYWNSLVLELFEPHHNLDTPAATVNMMGLQMPLLHGMVDGLGSQILQRRQLYAAPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPTTVADLEPHQIHTFYESVGHMIQAESDLQKRDEYMQRLMDLPNQKWAEIIGQAHQSVDFLKDQEVIRTVLNILQTNTSVANSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCSAESGALSEPLWDTTTVPYPYLNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESKNNLSIFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.019G052100.6.v4.1 pep chromosome:Pop_tri_v4:19:8716624:8728432:1 gene:Potri.019G052100.v4.1 transcript:Potri.019G052100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052100.v4.1 MQYIKMYNFFMVQLQAILPSTTKIPEAYANGSSEEQAFIQNLALFFTSFYKSHIRVLESSQENISALLMGLEYLINISFVDDTEVFKVCLDYWNSLVLELFEPHHNLDTPAATVNMMGLQVMPLLHGMVDGLGSQILQRRQLYAAPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPTTVADLEPHQIHTFYESVGHMIQAESDLQKRDEYMQRLMDLPNQKWAEIIGQAHQSVDFLKDQEVIRTVLNILQTNTSVANSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCSAESGALSEPLWDTTTVPYPYLNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESKNNLSIFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.019G052100.7.v4.1 pep chromosome:Pop_tri_v4:19:8716602:8728106:1 gene:Potri.019G052100.v4.1 transcript:Potri.019G052100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052100.v4.1 MQYIKMYNFFMVQLQAILPSTTKIPEAYANGSSEEQAFIQNLALFFTSFYKSHIRVLESSQENISALLMGLEYLINISFVDDTEVFKVCLDYWNSLVLELFEPHHNLDTPAATVNMMGLQMPLLHGMVDGLGSQILQRRQLYAAPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPTTVADLEPHQIHTFYESVGHMIQAESDLQKRDEYMQRLMDLPNQKWAEIIGQAHQSVDFLKDQEVIRTVLNILQTNTSVANSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCSAESGALSEPLWDTTTVPYPYLNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESKNNLSIFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.019G052100.3.v4.1 pep chromosome:Pop_tri_v4:19:8714436:8728356:1 gene:Potri.019G052100.v4.1 transcript:Potri.019G052100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052100.v4.1 MKNYISEVIVQLSSNEASFRMERLYVNKLNVTLVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIQATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTLQCLTEVAALNFGDFYNMQYIKMYNFFMVQLQAILPSTTKIPEAYANGSSEEQAFIQNLALFFTSFYKSHIRVLESSQENISALLMGLEYLINISFVDDTEVFKVCLDYWNSLVLELFEPHHNLDTPAATVNMMGLQMPLLHGMVDGLGSQILQRRQLYAAPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPTTVADLEPHQIHTFYESVGHMIQAESDLQKRDEYMQRLMDLPNQKWAEIIGQAHQSVDFLKDQEVIRTVLNILQTNTSVANSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCSAESGALSEPLWDTTTVPYPYLNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESKNNLSIFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.019G052100.1.v4.1 pep chromosome:Pop_tri_v4:19:8712227:8728412:1 gene:Potri.019G052100.v4.1 transcript:Potri.019G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052100.v4.1 MTSLVMAAEKFRDLSQAIDVPLLDATVAAFYGTGSKEERAAADRILQDLQSNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRMERLYVNKLNVTLVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIQATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTLQCLTEVAALNFGDFYNMQYIKMYNFFMVQLQAILPSTTKIPEAYANGSSEEQAFIQNLALFFTSFYKSHIRVLESSQENISALLMGLEYLINISFVDDTEVFKVCLDYWNSLVLELFEPHHNLDTPAATVNMMGLQVMPLLHGMVDGLGSQILQRRQLYAAPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPTTVADLEPHQIHTFYESVGHMIQAESDLQKRDEYMQRLMDLPNQKWAEIIGQAHQSVDFLKDQEVIRTVLNILQTNTSVANSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCSAESGALSEPLWDTTTVPYPYLNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESKNNLSIFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.019G052100.5.v4.1 pep chromosome:Pop_tri_v4:19:8715832:8728059:1 gene:Potri.019G052100.v4.1 transcript:Potri.019G052100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052100.v4.1 MPSYRNLTLQCLTEVAALNFGDFYNMQYIKMYNFFMVQLQAILPSTTKIPEAYANGSSEEQAFIQNLALFFTSFYKSHIRVLESSQENISALLMGLEYLINISFVDDTEVFKVCLDYWNSLVLELFEPHHNLDTPAATVNMMGLQVMPLLHGMVDGLGSQILQRRQLYAAPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPTTVADLEPHQIHTFYESVGHMIQAESDLQKRDEYMQRLMDLPNQKWAEIIGQAHQSVDFLKDQEVIRTVLNILQTNTSVANSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCSAESGALSEPLWDTTTVPYPYLNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESKNNLSIFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.019G052100.4.v4.1 pep chromosome:Pop_tri_v4:19:8715012:8728110:1 gene:Potri.019G052100.v4.1 transcript:Potri.019G052100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052100.v4.1 MVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIQATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTLQCLTEVAALNFGDFYNMQYIKMYNFFMVQLQAILPSTTKIPEAYANGSSEEQAFIQNLALFFTSFYKSHIRVLESSQENISALLMGLEYLINISFVDDTEVFKVCLDYWNSLVLELFEPHHNLDTPAATVNMMGLQVMPLLHGMVDGLGSQILQRRQLYAAPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLSGLPTTVADLEPHQIHTFYESVGHMIQAESDLQKRDEYMQRLMDLPNQKWAEIIGQAHQSVDFLKDQEVIRTVLNILQTNTSVANSLGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQPQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIAAHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLLEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCSAESGALSEPLWDTTTVPYPYLNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESKNNLSIFKNHIRDFLVQSKEFSAQDNKDLYAEEAAVQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.010G131800.1.v4.1 pep chromosome:Pop_tri_v4:10:14790448:14802105:1 gene:Potri.010G131800.v4.1 transcript:Potri.010G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131800.v4.1 MTDITDDIAEEISFQGFDDYCKLLKNLLNDVLQREVGTEFVDKLERNLTLAQSACNLRLAGIEDTAELLEKQLASEISKMTLEEALTLARAFSHYLNLMGIAETHHRARKTRNLANLSKSCDEVFNQLLHGGKSGDELYASVCMQEVEIVLTAHPTQINRRTLQYKHVRIAHLLEYNDRPDLTQEDREILIEDLVREITSIWQTDELRRHKPTPVDEARAGLHIVEQSLWKAVPHFLRRVSNALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIREVDSLRFELSMTRCSDKLSREAHEILERETSPEDRHESWNQPTSRNQTKLHQHAPPLPTQLPARADLPACTECGDDGGSHPKLELPGTDYMPLSRQDVQGSSNSESSFHKSGHGSSKSIANGSIANSNGHQSAPSPRGSFTSSQLLAQRKCFAESKIGRSSFQKLLEPSPPERPGIAPYRIVLGHVKDKLMKARRRLELLLEDLPCEHEPWDYYETTDQLLEPLLLCYESLQSCGAGVLADGRLVDLIRRVATFGMVLMKLDLRQESGRHSEALDAITKYLDMGTYSEWDEEKKLEFLTRELKSKRPLVPPTIQVAPDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVSGELGRPCPRGTLRVVPLFETVKDLRGAGSVIRKLLSIDWYSEHIVKNHNGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVAAACKDHKVKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPHTAVRQLEIYTTAVLLATLKPPELPREEKWRNLMDEISTISCQSYRSTVYENPEFLAYFHEATPQAELGFLNIGSRPTRRKSSTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGVCEKGHTQELKAMYKEWPFFQSTIDLIEMILGKADIHIAKHYDEVLVSDKKRRELGAELRRELLTTEKCVLVVSGHEKLSENNRSLRRLIESRLPYLNPMNLLQVEILKRLRSDDDNHKLRDALLITINGIAAGMRNTG >Potri.005G182300.3.v4.1 pep chromosome:Pop_tri_v4:5:18900042:18907330:1 gene:Potri.005G182300.v4.1 transcript:Potri.005G182300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182300.v4.1 MEEGSSRGGGESSSSRLTPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKVNSGSAPPAPDPPPVNAHPNLESRIAPIILFQAPVTENEVNDDPPPNRFSAVIEKIERLYTGKDSSDEEDLMDVPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPPVVPNEKPKKRQRKDLLKAPNDSDDGRISNKPAKLGKSTVEKLAPPPGKNSSNLSQNLTMISDQYEKFQSQSNSPGNSSKKKSAETKMKLDPSLSVRGSNGDAYASLAEPQDIEKSKTGGLQPKNLTSKPKDASGLSDSSNQKSHEKSAYVQPKLQTAKTVYNAEDLESSARSKEKNGVRELPDLNLNISDGKIYTQAAKTSHVHRKDGSSVRPKSSILEKAIRELEKMVAESRPPAMENQETDTSGQGIKRRLPTEIKLKLAKVARLAASQGKMSKELLNRLMSILGHLIQLRTLKRNLKIMINTGLSVKQEKDDRFQQIKKEVAEMITTRIPSVESNALVQQAGASDDFQEIGSEEKGALKKKFSMDVVLEDKICDLYDLFVEGLDEDSGPQVRKLYVELAQLWPSGLMDNHGIKRAICRAKERRRVVYCRNKDLEKMKSKKMLTLKQEEGVRAESGLVAQPHVQERVAMEMAGPVLALASKPVSNSAAASVRLPSPSANGLVVDKLKEKPKGSSSNSMDESKMGVDGALTKKKVKRKPEQELDETHLRSEKLHPQSSGERHKSLKHASVLPPQKLNLPSTAPSSFEPSS >Potri.005G182300.5.v4.1 pep chromosome:Pop_tri_v4:5:18899981:18907041:1 gene:Potri.005G182300.v4.1 transcript:Potri.005G182300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182300.v4.1 MEEGSSRGGGESSSSRLTPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKVNSGSAPPAPDPPPVNAHPNLESRIAPAPVTENEVNDDPPPNRFSAVIEKIERLYTGKDSSDEEDLMDVPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPPVVPNEKPKKRQRKDLLKAPNDSDDGRISNKPAKLGKSTVEKLAPPPGKNSSNLSQNLTMISDQYEKFQSQSNSPGNSSKKKSAETKMKLDPSLSVRGSNGDAYASLAEPQDIEKSKTGGLQPKNLTSKPKDASGLSDSSNQKSHEKSAYVQPKLQTAKTVYNAEDLESSARSKEKNGKTSHVHRKDGSSVRPKSSILEKAIRELEKMVAESRPPAMENQETDTSGQGIKRRLPTEIKLKLAKVARLAASQGKMSKELLNRLMSILGHLIQLRTLKRNLKIMINTGLSVKQEKDDRFQQIKKEVAEMITTRIPSVESNALVQQAGASDDFQEIGSEEKGALKKKFSMDVVLEDKICDLYDLFVEGLDEDSGPQVRKLYVELAQLWPSGLMDNHGIKRAICRAKERRRVVYCRNKDLEKMKSKKMLTLKQEEGVRAESGLVAQPHVQERVAMEMAGPVLALASKPVSNSAAASVRLPSPSANGLVVDKLKEKPKGSSSNSMDESKMGVDGALTKKKVKRKPEQELDETHLRSEKLHPQSSGERHKSLKHASVLPPQKLNLPSTAPSSFEPSS >Potri.005G182300.4.v4.1 pep chromosome:Pop_tri_v4:5:18899878:18907045:1 gene:Potri.005G182300.v4.1 transcript:Potri.005G182300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182300.v4.1 MEEGSSRGGGESSSSRLTPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKVNSGSAPPAPDPPPVNAHPNLESRIAPAPVTENEVNDDPPPNRFSAVIEKIERLYTGKDSSDEEDLMDVPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGKLERINEPPVVPNEKPKKRQRKDLLKAPNDSDDGRISNKPAKLGKSTVEKLAPPPGKNSSNLSQNLTMISDQYEKFQSQSNSPGNSSKKKSAETKMKLDPSLSVRGSNGDAYASLAEPQDIEKSKTGGLQPKNLTSKPKDASGLSDSSNQKSHEKSAYVQPKLQTAKTVYNAEDLESSARSKEKNGVRELPDLNLNISDGKIYTQAAKTSHVHRKDGSSVRPKSSILEKAIRELEKMVAESRPPAMENQETDTSGQGIKRRLPTEIKLKLAKVARLAASQGKMSKELLNRLMSILGHLIQLRTLKRNLKIMINTGLSVKQEKDDRFQQIKKEVAEMITTRIPSVESNALVQQAGASDDFQEIGSEEKGALKKKFSMDVVLEDKICDLYDLFVEGLDEDSGPQVRKLYVELAQLWPSGLMDNHGIKRAICRAKERRRVVYCRNKDLEKMKSKKMLTLKQEEGVRAESGLVAQPHVQERVAMEMAGPVLALASKPVSNSAAASVRLPSPSANGLVVDKLKEKPKGSSSNSMDESKMGVDGALTKKKVKRKPEQELDETHLRSEKLHPQSSGERHKSLKHASVLPPQKLNLPSTAPSSFEPSS >Potri.009G141400.2.v4.1 pep chromosome:Pop_tri_v4:9:11288994:11291054:1 gene:Potri.009G141400.v4.1 transcript:Potri.009G141400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141400.v4.1 MLDFSSSFCDILKNRMAVRMAVFLCFLFLIISSATGCDRCVHQSKVAYFSKASALSTGACGYGSMAIGFNSGHLAAAVSSLYKDGAGCGACFQIRCKNTALCSSRGTTVIVTDLNNNNQTDFVLSSRAFMAMANKGMGQDVLKHGILDVEYKRVPCEYKNQNLAVRVEESSKKPNYLAIKLLYQGGQTEVVAMDVAKVGSSNWGFMSRNHGAVWDTDRVPAGALQFRFVVTAGFDGKWIWAQKVLPEDWKPGMTYDSGVQITDIAQEGCSPCGDGIWK >Potri.001G365366.1.v4.1 pep chromosome:Pop_tri_v4:1:38199363:38200682:-1 gene:Potri.001G365366.v4.1 transcript:Potri.001G365366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365366.v4.1 MQVGAGFNISDLFPSIKLLPWITGMRSRMERLHQEADRILESIIKEHRARKAEGNSSNESKVDDLVDVLLDLQEHGNLDFSLTTDNIKAVILDLFIAGTETSSTILEWAMSELLKHPEVMEKAQTEVREVFGKDGSVGELNYLKMVIRETMRLHPPLPLLIPRECREECGINGYNIPIKSRVLVNVWAIGRDSNYWVEAERFQPERFLDSSIDYKGVNFEFTPFGAGRRMCPGILFGISNVDLLLANLLYHFDWKLPGDMKPESLDMSEAFGATVRRKNALHLTPILHHPHPVRS >Potri.001G242200.1.v4.1 pep chromosome:Pop_tri_v4:1:26004179:26006993:1 gene:Potri.001G242200.v4.1 transcript:Potri.001G242200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242200.v4.1 MDRQDHHSSSFEQSYRCYPVSFIDKAHLEKGDKIIMPPSALDRLATLHIDYPMLFELHNPSAGRTSHCGVLEFIADEGMIYLPYWMMENMLLQEGDIVQLRNTSLEKGTFVKLQPHTKDFLDISNPKAILETSLRNYSCLTTGDTIMVAYNNKKYYIDIVEAKPSSAISIIETDCEVDFAPPLDYKEPEKPKSIPRSNKIPPEGMEEPAAKMPRFSAFTGSARRLDGKPATQPTASTICPALKQHQPEAENNGSKLLSSLSHQQSGKLVFGSTSNQPQNETPKVPLKKSTQEPPQKVEDPKFQAFTGKKYSLKG >Potri.007G074042.1.v4.1 pep chromosome:Pop_tri_v4:7:9679432:9681466:1 gene:Potri.007G074042.v4.1 transcript:Potri.007G074042.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074042.v4.1 MASSKGVATSPAAARASDMEKMSIEQLKAVKEQTDLEFNLLQDSLNNIKTATGRLEVAATSLNDLALRPQGKKMLVPLTASLYVPGTLDDADRVLVDIGTGYFVEKTMNEGKDYCERKINLLKSNFDQLIELATKKKTVADEAGAILQAKLRQLAPTT >Potri.012G142700.1.v4.1 pep chromosome:Pop_tri_v4:12:15413797:15418519:1 gene:Potri.012G142700.v4.1 transcript:Potri.012G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142700.v4.1 MSILCGVPLLECVYCLACARWAWKRCLHTAGHDSETWGLATAEEFEPIPRLCRYILAVYEDDLRHPLWEPPGGYGIKPDWLILRRTYEDTHGRAPPYILYLDHDHADIVLAVRGLNLARESDYAVLLDNKLGKRKIDGGYVHNGLLKAAGWVLDAECDILKELVEKYPNYTLTFTGHSLGSGVAAMLALVVVLHHDKLGNIDRRRIRCYAVAPARCMSLNLAVRYADVINSVVLQDDFLPRTATPLEDIFKSLFCLPCLLCLRCMRDTCIPDEKMIKDPRRLYAPGRLYHIVERKPFRLGRIPPVVRTAVPVDGRFEHIVLSCNATSDHAIIWIEREAQRAMDIMVEKDHIMEIPAKQRMERQETLAREHSEEYRAALQRAVTLSVPHAYSPSKYGTFDEVEEGEDSQRSSGESSFGSSKTGKIRENWDELIERLFDKDVSGHMALKKSQRDG >Potri.006G065600.1.v4.1 pep chromosome:Pop_tri_v4:6:4703251:4712632:-1 gene:Potri.006G065600.v4.1 transcript:Potri.006G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065600.v4.1 MDARDSTSNRDVSSSGGSGTTTGGGGGEEDPILSVTAALAKDAWLHFNSRRFNECLEVLYQLKQKKEDDPKVLHNIAIAEYCRDGYPDPKKLLEVLNNIERKSKELAHTSGEQVETVSNLGNKGISGSKGSGAMTLQASATNSTSVVYMDEFDPTVARLNIAIIWYHLHEYSKALSVLEPLYHNIEPIEERTALHVCLLLLDVALACQDASKSADVLLYLEKAFGFGCVGQSENGNTAQQQSASLVAKSLSVPSSSSGMDANSDLASSENALEKSLSRTLSLSDETLEYESMFSLDISGQDLARPAGLSFSTDLSRTPIDRSFSPSEMKLKLHLYKVQFLLLTRNLKQAKREVKLAINIARVRDSPMALLLKSQLEYARSNHRKAIKLLMAASNRTEMGISSMFNNLGCIYYQLGKYHTASVLFSKALSSSSSLQKDKPWKLLTFLQDKSLLIVYNCGVQHLACGKPLLAARCFEKASLVFYNRPLLWLRLAECCLVALERGLLKASRVLSDKSDVTVHVFGKGKWRHLAIENGISRNGYVDSAEKEDMFLGSDGQLKLSVPLARQCLLNALHLLDYSGLNHLKPGLPSNLSLDENEMSEAGSMKSSNHKNLTGSDSKTSTGGLGQVNANGDAKEQKGGTSQESMQNSISFHEDIRRRENQLLKQALLANLAYVELELENPEKALSTARSLLELPVCSRIYIFLGHLYAAEALCMLNKPKEAAEHLSIYLSGGNNVELPFSQEDFEQWRVEKAFDYEEMNGGSVATKNSSPEESQGIVFLNPEEARGTLYTNFAVLCAAQGDLERAHHFVTQALSLVPNHPQATLTAVYVDLMLCNSQAAIGKLKQCSRVRFLPSGVQLSKC >Potri.006G008800.1.v4.1 pep chromosome:Pop_tri_v4:6:604425:607176:1 gene:Potri.006G008800.v4.1 transcript:Potri.006G008800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008800.v4.1 MSRRYDSRTTIFSPEGRLYQVEYAMEAIGNAGSAIGILSKDGVVLVGEKKVTSKLLQTSTSTEKMYKIDDHVACAVAGIMSDANILINTARIQAQRYTFAYQEPMPVEQLVQSLCDTKQGYTQFGGLRPFGVSFLFAGWDKNYGFQLYMSDPSGNYSGWKAGAIGANNQAAQSMLKQDYKDEITREEAVQLALKVLSKTMDSTSLTSEKLELAEVFLSPSGNVKYQVCSPDVLSKLLVKFGVTQPAAETS >Potri.004G201900.2.v4.1 pep chromosome:Pop_tri_v4:4:21165937:21171266:1 gene:Potri.004G201900.v4.1 transcript:Potri.004G201900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201900.v4.1 MPSTKGNASAAAEEAESEKPVESDEKVDFDEDYDPEEMMDEEVEYEVEEIVEEVEEEEIIEEEVEVEEEEEENASNANGGDIQSSHQGDEAKVEDEDEKKKHAELLARPPHGSEVYIGGVPNDASEEDLKDFCESVGEVTEVRMMKGKDSSDNKGFAFVTFRSVDLATKAIGELNNTEFKGKRIKCSTSQAKHRLFLSNIPRSWGEEDLSKFVAEVGPGTTNVQLVKEKSSSNNRGYAFVEYYNNACAEYSRQKMIDPKFKLGDNAPSVSWADPKNADSSTSSQVKAIYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELNGQSVECALAKPQSEQKPAGGSNLQRAGLLPAYPPGVGYGMMGSAYGALGAGYVATGFTQPLIYGSGPAPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGRGNKMVGSSSRGRQRSDASHGRRFRPY >Potri.004G201900.3.v4.1 pep chromosome:Pop_tri_v4:4:21165128:21171239:1 gene:Potri.004G201900.v4.1 transcript:Potri.004G201900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201900.v4.1 MPSTKGNASAAAEEAESEKPVESDEKVDFDEDYDPEEMMDEEVEYEVEEIVEEVEEEEIIEEEVEVEEEEEENASNANGGDIQSSHQGDEAKVEDEDEKKKHAELLARPPHGSEVYIGGVPNDASEEDLKDFCESVGEVTEVRMMKGKDSSDNKGFAFVTFRSVDLATKAIGELNNTEFKGKRIKCSTSQAKHRLFLSNIPRSWGEEDLSKFVAEVGPGTTNVQLVKEKSSSNNRGYAFVEYYNNACAEYSRQKMIDPKFKLGDNAPSVSWADPKNADSSTSSQVKAIYVKNLPKTVTQDQLKKLFERHGKITKVVLPPAKSGQEKNRIGFVHFAERSSAMKALKDTEKYELNGQSVECALAKPQSEQKPAGGSNLQRAGLLPAYPPGVGYGMMGSAYGALGAGYVATGFTQPLIYGSGPAPAGMAMMPMLLPDGQFGYVLQQPGVQLHSPTSYQRNDSRSGSGRGNKMVGSSSRGRQRSDASHGRRFRPY >Potri.005G157100.3.v4.1 pep chromosome:Pop_tri_v4:5:14967968:14968592:1 gene:Potri.005G157100.v4.1 transcript:Potri.005G157100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157100.v4.1 MKMKIKIKSPSIILLFQSPPSIAAGLNLGAIPFGGFSDLEVPAFKAAIEAHQRKITEAILEHALEICHEKKVTFVSVVSAS >Potri.005G157100.2.v4.1 pep chromosome:Pop_tri_v4:5:14967968:14968592:1 gene:Potri.005G157100.v4.1 transcript:Potri.005G157100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157100.v4.1 MKMKIKIKSPSIILLFQSPPSIAAGLNLGAIPFGGFSDLEVPAFKAAIEAHQRKITEAILEHALEICHEKKENVKIQGVMGDSKEKMCEVVENLHSDLLVMGCRSFGPY >Potri.004G068000.1.v4.1 pep chromosome:Pop_tri_v4:4:5781996:5783815:-1 gene:Potri.004G068000.v4.1 transcript:Potri.004G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068000.v4.1 MSMKGQFADKFNGSPLMINKTFETTPERSSSLSEPVSLLQSPYSSQGSPSSSKTSSTKWDSQPGKTKTLGRKSSTFAYRIHDHVKLGATFSETVKGKLRLGAKIIQEGGRENIFKQVFGVREGEELLKASQCYLSTTAGPLPGLLFISTEKVAFCSERSITFPSPNGQFVRKPYKVVIPVRKIERANRSENMDKPQQKYIEIVTQDNFEFWFMGFLRYEKAFKNLHKAISLANSAS >Potri.010G060500.1.v4.1 pep chromosome:Pop_tri_v4:10:9060492:9070981:-1 gene:Potri.010G060500.v4.1 transcript:Potri.010G060500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060500.v4.1 MALRLSSRDVAGFKFLFFLATIFSLISVLVYSTIHMKFIKPLEIDAPLDRFSEARAIQHVAVLTKDGRQEGRPGLRKAAVYIKEQLEMLKERAESNIRIEVEEATVNGTFNMMVLGHSMSFSYRNHINIVARISSADSQETDPSVLINGHFDSPLGSPGAGDCGSCVASMLELARVTAESGWIPPRPIIFLFNGAEELFMLGAHGFMKTHKWRDSIGASINVEASGTSGPDLVCQSGPGSWPSQLYAESAVYPMAHSAVQDVFHAIPGDTDYRIFSHDHGNIPSLDIIFLLGGYYYHTSYDTLDKLLPGIMQARGDNLFSILKAFTNSSKLQSAREREYLKASINDYKDERAVFFDFLSWFIIFYSRRVALVLHSIPIVIFLVMPFLLHFWDSRSRSCFATFYDFLKGMLFHAAGIILAIIFPVIFATVRLFFTSYAMSWFARPYLAFLMFVPSSLVGLLIPRTVWGCSPPSQDVSVINKSEALSEEARFWGAFGFYACITSAYLVAGLGGGFLTFIVLASMLPAWIFFSLSVKSYDHHQSPRPAVFYVIPLIPCLTYLAYFSGSVIQFLIEKMGMIGFLPPPYGYYVADVFVAATIGVATGLCVGPIIPVCSHWLARSSILQLLLHVSVLALALSSQFFPYSNLAPKRVVFQHTLVTTDANRIVNSSYGFSVLDSNSLSFLFKYAPEVAKGLHMGQELSFETASMSPRETWLGIFPVSLLFSQSLKFPARSDGVFKQYRYFPYLSTYKPHTISSDRSRRVYLEFSLGDLEEVWVAVLNITGPLSSWSFADNMLPDPETVEGGPPSYILRLSGTSQANWTFWLEASSSDDLRVEVAVVDQVLDDEAQRLKGLFPDWAGVTAYSSFMSSYIF >Potri.018G056000.5.v4.1 pep chromosome:Pop_tri_v4:18:5523329:5524789:-1 gene:Potri.018G056000.v4.1 transcript:Potri.018G056000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056000.v4.1 MPKKSSSKKPTQEQENADVQQAKPSSTPEKSGNEIDDIFSGKKRKKPEQIKADKANVNGEEKPKSMKKKKKKKKSKEDEERRFTDPPSKSRKKTEDGFSIYTEDELGFNNSSGGGTPLCPFDCDCCF >Potri.018G056000.2.v4.1 pep chromosome:Pop_tri_v4:18:5523329:5524788:-1 gene:Potri.018G056000.v4.1 transcript:Potri.018G056000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056000.v4.1 MPKKSSSKKPTQEQENADVQQAKPSSTPEKSGNEIDDIFSGKKRKKPEQIKADKANVNGEEKPKSMKKKKKKKKSKEDEERRFTDPPSKSRKKTEDGFSIYTEDELGFNNSSGGGTPLCPFDCDCCF >Potri.005G063750.2.v4.1 pep chromosome:Pop_tri_v4:5:4108691:4116342:1 gene:Potri.005G063750.v4.1 transcript:Potri.005G063750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063750.v4.1 MWFVWLPRICKGSLPRSRILQNMNLVRVKLFGFSYMGRPLERSKACADYSTLADVNDNIDKGTGKMTLAKDLAFVVDESSKADERKAKSRMELKRSLELRIKKRVKEQYFNGKFKDLMTKVIANQETLHDAYNCIRINANVDIALDNDNISFESMEKELSGGCFDVGGNTFSIATKGARNEILVLPKLKLKVVQEAIRIVLEVVYRPYFSKISHGCRSGRGHHSALKYITKDISNPDWWFTLIISRKLDTCILNKLFSIMGEKIQDPCLFDMIQGMFDAQVLNMEFGGYLKGHGLPQEGVLSPILMNIYLDVFDHELHRLSMKYEALNPDVHFGGEQLHSKLRSWFRRQLKGNDIKNSSKEGSRIHSCRFMDELFFAVSGSKDVAIDFKSDVVNYLHNCLHLDVDSEAEILPSAGPQAICFLGTLIRRTVKESPAIRAAHKLKEKVKLFASQKQEAWDVGTVRIGKKWLAHGLRKVKESEIKHLADRSSLLNQISCFRKAGMETDHWYKFLLKVWMKDIKTKAAESEEFVLSKYVAEPAVPQELRESFYEFQKHAEEYVKSETAMTLALLPRSSSSSETITEIIAPVNAIKKRLLRYGLTSSQGHSRAIIQLILQDNIQIIDWFSGIVCRWLRWFSDYQNFAELELIIRNQVRKSCIRTLAAKYRVHESAIEKRFDLELSRIPSTQEEEQEMENEKPHSLAFDNDEALMYGISYSGLCLLSLTRMVSQSRPCGCFVMGCPAAAPSVYTIHVMERQKFPGWKTGFSTCIHPSLNKRRIGLCKQHLTDLYVGQISLQSIDFSSWK >Potri.005G063750.1.v4.1 pep chromosome:Pop_tri_v4:5:4108695:4116342:1 gene:Potri.005G063750.v4.1 transcript:Potri.005G063750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063750.v4.1 MWFVWLPRICKGSLPRSRILQNMNLVRVKLFGFSYMGRPLERSKACADYSTLADVNDNIDKGTGKMTLAKDLAFVVDESSKADERKAKSRMELKRSLELRIKKRVKEQYFNGKFKDLMTKVIANQETLHDAYNCIRINANVDIALDNDNISFESMEKELSGGCFDVGGNTFSIATKGARNEILVLPKLKLKVVQEAIRIVLEVVYRPYFSKISHGCRSGRGHHSALKYITKDISNPDWWFTLIISRKLDTCILNKLFSIMGEKIQDPCLFDMIQGMFDAQVLNMEFGGYLKGHGLPQEGVLSPILMNIYLDVFDHELHRLSMKYEALNPDVHFGGEQLHSKLRSWFRRQLKGNDIKNSSKEGSRIHSCRFMDELFFAVSGSKDVAIDFKSDVVNYLHNCLHLDVDSEAEILPSAGPQAICFLGTLIRRTVKESPAIRAAHKLKEKVKLFASQKQEAWDVGTVRIGKKWLAHGLRKVKESEIKHLADRSSLLNQISCFRKAGMETDHWYKFLLKVWMKDIKTKAAESEEFVLSKYVAEPAVPQELRESFYEFQKHAEEYVKSETAMTLALLPRSSSSSETITEIIAPVNAIKKRLLRYGLTSSQGHSRAIIQLILQDNIQIIDWFSGIVCRWLRWFSDYQNFAELELIIRNQVRKSCIRTLAAKYRVHESAIEKRFDLELSRIPSTQEEEQEMENEKPHSLAFDNDEALMYGISYSGLCLLSLTRMVSQSRPCGCFVMGCPAAAPSVYTIHVMERQKFPGWKTGFSTCIHPSLNKRRIGLCKQHLTDLYVGQISLQSIDFSSWK >Potri.010G109500.2.v4.1 pep chromosome:Pop_tri_v4:10:13001615:13011388:-1 gene:Potri.010G109500.v4.1 transcript:Potri.010G109500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109500.v4.1 MVLFNVSRVETTPFDGQKPGTSGLRKKVKVFKQPNYLENFVQSTFNALTPQKVRGATLVVSGDGRYFSKDAIQIIMKMAAGNGVRRVWVGQNGLLSTPAVSAVIRERVGVDGSRATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEGITDEIYENTKAIKEYLTADLPDVDITAIGVTSFGGPDGQFDVEVFDSASDYVKLMKSIFDFESIQKLLSSPNFTFCYDALHGVAGAYAKRIFVEELGAQESSLMNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSNSEVEPPEFGAAADGDADRNMILGKRFFVTPSDSVSIIAANAVEAIPYFSAGLKGVARSMPTSSGLDIVAKNLNLKFFEVPTGWKFFGNLMDAGLCSICGEESFGTGSDHIREKDGIWAVLAWLSILAFKNKENLGGGELVTVEDIVRNHWATYGRHYYTRYDYENVDAGAAKELMAYLVKLQSSLAEVNEIVGGIRSDVSKVVHADEFEYKDPIDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKTGRDSQDALAPLVAVALGLSKMQEFTGRSAPTVIT >Potri.005G228600.1.v4.1 pep chromosome:Pop_tri_v4:5:22849517:22850671:1 gene:Potri.005G228600.v4.1 transcript:Potri.005G228600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228600.v4.1 MGSLMAGWDSPVPDPRSMQYRRNRSLTRGEIDAYWRLKKRIEEDHLKAISGLSSSSQDGVDEDHGIEFQRSSSLPAATTKEGFMDMETDRASLEQLIKKNGWWASSNWAFLNEPPVLERSSNNYTPQFHVASLATSKSNTGINAQ >Potri.019G087300.1.v4.1 pep chromosome:Pop_tri_v4:19:12638505:12639752:1 gene:Potri.019G087300.v4.1 transcript:Potri.019G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087300.v4.1 MASALQNATASLQSKTPPSARLPTNFTSPKPLNLSFSATFPSLNLSTTATTTNRRRGGSALGTKMSATAAGSYASALADVAISNNTLDATASDIDKIERLFSNPAANDFFTNPTIDLEKKRQVVDEFAKSSALQPLTANFINILIDSKRVDLVKDIVVEFEKVYNKLTDTQLAVVSSVVALESQHLAQIAKQVQKLTGAKNVRIKTVIDPSLVAGFTVRYGSSGSKMIDMSVKKQLEEITAQLDLSDIELAA >Potri.010G122600.1.v4.1 pep chromosome:Pop_tri_v4:10:14052741:14053598:-1 gene:Potri.010G122600.v4.1 transcript:Potri.010G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122600.v4.1 MRRKRLPIFHKVSNLLRIFVAKMRKPTIPKLILIKKSRKRRRFKLLKHYNGFLEEYEFSPSSTSLAHHPRKQFKFRSYKDNIYTMLFLCKCLGGLKADQGGEGRYRLSMDSTLPVATISTGDFLEPLDYLVDEEDSVDQRAERFIERFYQDMRLQRQESI >Potri.016G101700.1.v4.1 pep chromosome:Pop_tri_v4:16:10327246:10331410:1 gene:Potri.016G101700.v4.1 transcript:Potri.016G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101700.v4.1 MAVNDRNDKEQEDGKMEEGKKATVYMWGYLPGVSPEKSPILNPISVNYPDADDSWKDVCGGGCGFAMAISEGGKLVTWGSADDENQSYVTCGKHGETPEAFLLPSEASILKAAAGWAHCVSVTDTGELYTWGWKECVPLGKFPRDGASWGALQKDNAAKQNVLSTEQVSSASSDDKRNGEEIVKRRRVSLKEENENSEYGDEYFTVTPAIVSLGPGVRITSVAAGGRHTLALSDTGQVWGWGYGGEGQLGLGSRVKMVSSPHLIPFTEQPTTGKHRSSVVHNGSTNLPAQVSNFPGSYVKEIACGGRHSAVVTDAGTLLTFGWGLYGQCGHGSTNDQLRPTSLPSLSGIQIERIAAGLWHTMCITIDGRVYVFGGNQFGQLGTGASQGETLPRLLEDPCLESKLVKMVSCGARHSAILLEDGQVFSWGWNKYGQLGVGDSIDRNTPTQVPIEGCRPKMVACGWWHTLLLAETPLKS >Potri.007G003301.1.v4.1 pep chromosome:Pop_tri_v4:7:233558:235307:1 gene:Potri.007G003301.v4.1 transcript:Potri.007G003301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003301.v4.1 MNVQAHLSGQISGQVQNQLQPQQNGNQQMQNLSAPTTGGVAAAGAHSVNVYNAEPELHRYRLYMQQKIFSIILQKQSQPVGDQQKQRFKEFAKRLEEGLFKAAQTKDDYLNMNTLESRLSSLLKRPPANSQNQRHPQLVNSSSSIGTMIPTPGMSNSGNSNMMTSSVDTMMISSSGCDSIAPIAANTGGLLPSSGMHNGSFGRPDGNLSNGYQQSPANFSISSGGNMSSMGVQRMESQMIPTPGFSNNNNNNNNNNNQSYMNVESSNISGGFSTADSAMVSQTQQPKQYIGSQNSRILANFGSQMGSNIRTGLQQKSYGFANGP >Potri.009G089600.1.v4.1 pep chromosome:Pop_tri_v4:9:8287705:8289355:1 gene:Potri.009G089600.v4.1 transcript:Potri.009G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089600.v4.1 MSCNGCRILRKGCSEDCVLRQCIEWINSPQAQANATVFVAKFFGRAGLMSFISSVPKSQGPSLFRSLLFEAVGRTVNPVTGAVGLLWTGNWHVCQKAVRTVLRRGTVEPQPELEGGVFGPEFDNVSECDSFRPPSDHVSSGSKTLKRKRDVDAAKRGPMRTDLDLRLMYQRVDTPPEESETTTLESGSGNNCRFHDGGEPKLLRLFV >Potri.009G089600.2.v4.1 pep chromosome:Pop_tri_v4:9:8287705:8289355:1 gene:Potri.009G089600.v4.1 transcript:Potri.009G089600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089600.v4.1 MSCNGCRILRKGCSEDCVLRQCIEWINSPQAQANATVFVAKFFGRAGLMSFISSVPKSQALFRSLLFEAVGRTVNPVTGAVGLLWTGNWHVCQKAVRTVLRRGTVEPQPELEGGVFGPEFDNVSECDSFRPPSDHVSSGSKTLKRKRDVDAAKRGPMRTDLDLRLMYQRVDTPPEESETTTLESGSGNNCRFHDGGEPKLLRLFV >Potri.001G062900.7.v4.1 pep chromosome:Pop_tri_v4:1:4877387:4879660:-1 gene:Potri.001G062900.v4.1 transcript:Potri.001G062900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062900.v4.1 MAAFPYQHQHLAFLVDEFQPNPNTENDVSSLLQEQETIASGAIFSPNLTHEYLQESTLHAERILETIHGDENFSEKVHFTSTLNYSSAIVNHNSSSPSMVVGLDYSEEDQVTQVMTPTMGQMRKQSLPTKKVKKESKREKRNPKSSVSTNLERQRPTKRQKKAPPLEHPTGYVHVRARRGEATDSHSLAERVRRERISAKMKLLQSLVPGCDQELFSSELQLPSDLESGSSQLSPFVGTSDAPTSVLQPHLTKPATLKGHERLFSSVD >Potri.001G062900.5.v4.1 pep chromosome:Pop_tri_v4:1:4877388:4879660:-1 gene:Potri.001G062900.v4.1 transcript:Potri.001G062900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062900.v4.1 MAAFPYQHQHLAFLVDEFQPNPNTENDVSSLLQEQETIASGAIFSPNLTHEYLQESTLHAERILETIHGDENFSEKVHFTSTLNYSSAIVNHNSSSPSMVVGLDYSEEDQVTQVMTPTMGQMRKQSLPTKKVKKESKREKRNPKSSVSTNLERQRPTKRQKKAPPLEHPTGYVHVRARRGEATDSHSLAERVRRERISAKMKLLQSLVPGCDQITGKALILDEIIRYVQSLKDRIGELFSSELQLPSDLESGSSQLSPFVGTSDAPTSVLQPHLTKPATLKGHERLFSSVD >Potri.001G062900.4.v4.1 pep chromosome:Pop_tri_v4:1:4877388:4879669:-1 gene:Potri.001G062900.v4.1 transcript:Potri.001G062900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062900.v4.1 MAAFPYQHQHLAFLVDEFQPNPNTENDVSSLLQEQETIASGAIFSPNLTHEYLQESTLHAERILETIHGDENFSEKVHFTSTLNYSSAIVNHNSSSPSMVVGLDYSEEDQVTQVMTPTMGQMRKQSLPTKKVKKESKREKRNPKSSVSTNLERQRPTKRQKKAPPLEHPTGYVHVRARRGEATDSHSLAERVRRERISAKMKLLQSLVPGCDQITGKALILDEIIRYVQSLKDRIGSLEAELVLVNEMVINDFKVNYNMETQAWQELFSSELQLPSDLESGSSQLSPFVGTSDAPTSVLQPHLTYSQQTTF >Potri.001G062900.3.v4.1 pep chromosome:Pop_tri_v4:1:4877388:4879660:-1 gene:Potri.001G062900.v4.1 transcript:Potri.001G062900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062900.v4.1 MAAFPYQHQHLAFLVDEFQPNPNTENDVSSLLQEQETIASGAIFSPNLTHEYLQESTLHAERILETIHGDENFSEKVHFTSTLNYSSAIVNHNSSSPSMVVGLDYSEEDQVTQVMTPTMGQMRKQSLPTKKVKKESKREKRNPKSSVSTNLERQRPTKRQKKAPPLEHPTGYVHVRARRGEATDSHSLAERVRRERISAKMKLLQSLVPGCDQITGKALILDEIIRYVQSLKDRIGSLEAELVLVNEMVINDFKVNYNMETQAWQELFSSELQLPSDLESGSSQLSPFVGTSDAPTSVLQPHLTKPATLKGHERLFSSVD >Potri.001G062900.6.v4.1 pep chromosome:Pop_tri_v4:1:4877388:4879669:-1 gene:Potri.001G062900.v4.1 transcript:Potri.001G062900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062900.v4.1 MAAFPYQHQHLAFLVDEFQPNPNTENDVSSLLQEQETIASGAIFSPNLTHEYLQESTLHAERILETIHGDENFSEKVHFTSTLNYSSAIVNHNSSSPSMVVGLDYSEEDQVTQVMTPTMGQMRKQSLPTKKVKKESKREKRNPKSSVSTNLERQRPTKRQKKAPPLEHPTGYVHVRARRGEATDSHSLAERVRRERISAKMKLLQSLVPGCDQITGKALILDEIIRYVQSLKDRIGELFSSELQLPSDLESGSSQLSPFVGTSDAPTSVLQPHLTYSQQTTF >Potri.005G181500.1.v4.1 pep chromosome:Pop_tri_v4:5:18808157:18810508:1 gene:Potri.005G181500.v4.1 transcript:Potri.005G181500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181500.v4.1 MGETENTSAERHQVFDVSIGMHQQGSSKWFDDDGRQKRAGTVWTASAHIITAVIGSGVLSLAWAIAQLGWIAGPAFMFLFSIVTCYTSSLLSVCYRSGDPITGKRNYTYMDAVRSNLGGVKVKICGFVQYLNLFGVAVGYTIASSISMMAIKRSNCFHQSGGKDPCRMNANPYMIGFGIAEILLSQIPGFDQLQWLSLVAAVMSFTYSSIGLGLGIGKVIENGKISGSLTGIGIGTVTPTQKVWRSFQALGDIAFAYSYSMILIEIQDTVKSPPSEAKTIRKATLLSVAVTTLFYMFCGCFGYAAFGDMSPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVFCQPLFAFVEKEAVARFPDSEIITKDIKVAVPGFRPFNINLFRMIWRTLFVIFTTVISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYIAQRRIPKWSTRWLGLQVLSIACLIITIAAAAGSIAGVVVDLKSIKPFQTSY >Potri.013G059100.2.v4.1 pep chromosome:Pop_tri_v4:13:4316771:4318656:1 gene:Potri.013G059100.v4.1 transcript:Potri.013G059100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059100.v4.1 MAAQSPLLSLPLQDRVAIVTGSSRGIGKAIAIHLASLGAKLVINYTSNKEQADLVANDINSSCVDNTPRAIVAQANVSDPAQVKFLFDEAERVFGSQLHILVNCAGVLDPKYPSIPNTSLEDFDHIFSVNTRGAFLCCKEAANRLKHGGGGRIIVLSSSMVGGLMPGFGAYAASKAAVETIIRILAKELKGTGITANCVAPGPIATEMYFAGKTEEQIKNNIESSPLGRLGETKDIAEVVGFMATDASEWINGQVIRANGGYV >Potri.005G165000.1.v4.1 pep chromosome:Pop_tri_v4:5:16418462:16419303:1 gene:Potri.005G165000.v4.1 transcript:Potri.005G165000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G165000.v4.1 MRLFTHNMLSSNIKGVSNGFPLRIEVEQVLEKQVDFNPDFVKNLFPKLEWKALVDGARTLGYAELPEEADSSMLESEEFLRKVHHALLELHLEEGALTCPETGRKFPVTKGIPNMLLHEDEV >Potri.014G197500.1.v4.1 pep chromosome:Pop_tri_v4:14:12900581:12902718:1 gene:Potri.014G197500.v4.1 transcript:Potri.014G197500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197500.v4.1 MMASSVISIIFFTICGLAFFVNSQVDSCNSNLNINSLPFDSASLHCVSAWSSQDFILRYAQTSSNLWSFVLSAPDANSYIAIGFSSNGVMIGSSAVVGWISATDGSPTVKKYFLGGQNSKEVVLDGGNLVINTSMIVTQSSRLYLAFQLNTDQPAPRIIYALGPTGVMPSSPSFSLTRHADMVSTTLNYVTGQTSNINVRPQSRLRKSHGALNMVGWGILMIIGAIVARHFRQWDPVWFYVHICIQSLGFLLGIAGVICGIILENRLGADVSTHKGLGVFLLVLGCLQVMAFLARPEKSSKVRKYWNWYHYSVGRILIIFAVANVFYGIHLGKEGREWKGGYGGVLAILFVIALILEVRMWMKK >Potri.007G088426.1.v4.1 pep chromosome:Pop_tri_v4:7:11370007:11374182:1 gene:Potri.007G088426.v4.1 transcript:Potri.007G088426.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088426.v4.1 MAATSTSTSYRLGFRLHQQLAPCSGSHPQTSGAVSFLSGSHNFSFKSLETTRRSQLSRISVVVKAESRSEEMQLDISLSPRVNAVKPSKTVAITDQATALVQAGVPVIRLAAGEPDFDTPVVIAEAGINAIREGFTRYTPNAGTQELRVAICHKLKEENGISYTPDQILVSNGAKQSIYQAMLAVCSPGDEVIIPAPFWVSYPEMARLADATPVILPTSISENFLLDPKLLESKLSAKSRLLILCSPSNPTGSVYSKKLLEEIARIVAKHPRLLVLSDEIYEHIIYAPATHISFASLPGMWERTLTVNGFSKAFAMTGWRLGYIAGPKHFVAACNKIQSQFTSGASSISQKAGVAALGLGYAGGEAVSTMVKAFMERRDFLIRSFGEMEGVRMSEPQGAFYLFIDTSSYYGTEAEGFGKIEDSDSLCRYLLDQAQVALVPGVAFGDDSCIRISYAASLTTLQEAVGRIKKALLPLKSAVPV >Potri.007G002400.1.v4.1 pep chromosome:Pop_tri_v4:7:161410:163756:-1 gene:Potri.007G002400.v4.1 transcript:Potri.007G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002400.v4.1 MESFFLCSLILFVVFISIPLLLLICIHKSNVSHPNLPPGKMGFPIIGESLEFLSSGRKGYPEKFIYDRIAKYSSQVFKTCILGQPTTVVCGAAGNKFLFSNENKLVASWWPDSVNKIFPSSTQTSSKEESKKMRKLLPNFFKPESLQRYVGMMDTIAQRHLEDSWEGKQQVTVLPLAKMYTFGIACKVFLSIDDPKHAAKLAHPFNDLASGIISIPIDLPGTSFNRGIKASKWIRKELVSIIKQRKVDLAENRALRTQDMLSHMLLTTDEDGQYMKETDIADKILGLLIGGHDTASAVITFIVKYLAELPHIYQEVLKEQMEIAKWKRPRELLNWNDIQKMKYSWNVACEVLRLAPPLQGAFREAINDFNFAGFHVPKGWKLYWSASSTHRNPECFQDPEKFDPARFEGTGPAPYTYVPFGGGPRMCPGKEYARLEILVFMHNLVRRFRWEKLIPDEKIIVDPILIPAKQLPIRLFHRQKNINTRIH >Potri.001G290600.4.v4.1 pep chromosome:Pop_tri_v4:1:30277415:30280540:1 gene:Potri.001G290600.v4.1 transcript:Potri.001G290600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290600.v4.1 MSQFPQFISGLDLANLVVSADLLQLSCGAIKDLHAETNPNQQLSVRHKLVSQSNCTTIAFATSPLCTKDHILQGGDLVSSSALKEQGFPLFESLCSKGNPSFSIHRAAITLFKACFRELSLLRTQIHDSKTGELLLNSQLIVTGHSLGGSIASLFTLWLLDNIKRTSNRNKLPLCITFGSPLLGDQGLQRAISEHSKWNSCFLHVAANKDLFPRIFTTSQPSPRCKPFGTFFFCSELGCNCVDDPEVVSMLLRSTINQVSAEEMGIDDYSGIVKRLKSRLILREDSQLGQPVLPSLRLGIILQLKAIGVEITAEQQQQNNSINDLISELESHENRMAQQMKGIDGIEKLNRVKIKMACLEWYKKDCKAKGIGYYDSYKNLYFCSDNDVTKHKKVLTNYWRNLVEDAERKPQKEGAYMRETWLYAGTNYRRMVEPLDIAEYYRQEGKRDYQTNGRSKHYILLEQWQKEHTEKLAGAPNDKKKQNVAGSLTEDSCFWMNVEEALISCKQLKDGSNVEKQSARERLNMFEQYVMDQINNYAVSPDIFLEKSSFMNWWKDFQEIIETSHDSPLRGFMKNCRRQYEKGQF >Potri.004G075950.1.v4.1 pep chromosome:Pop_tri_v4:4:6330917:6331975:1 gene:Potri.004G075950.v4.1 transcript:Potri.004G075950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075950.v4.1 MLGNMTAPPNFPSQENLEDYAGSSKKHLGGDDVGPAPALPKKQCLSIPSPEKINSPDNQLFNHKQLHHSYFL >Potri.005G166400.1.v4.1 pep chromosome:Pop_tri_v4:5:16644601:16646049:-1 gene:Potri.005G166400.v4.1 transcript:Potri.005G166400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G166400.v4.1 MKGREIRRAPSADLLVCFPSRAHLTLMPKPICSPARPSETSKPRQNRHHHQQQRHHHLKKSSTRGVGIRASPLLWAKAKQMGSEVSEPTSPKVTCAGQIKVRHKESSCKNWQSVMEEIEKIHNSRKHTKKSTWIDSLGFKKDIMHFLTCLRNIRFDFRCFGSFPAHSDITSDDDEVDEEYEGYQENHVGVEGRNDNEDSRTIFSKWFMMLQENQNSTTGLFKEEIKQKQRSCNDESVAAPSVPPPNALLLMRCRSAPAKSWQEEEEDEKEEEEEEDRKEEEKKGKNLKALMEEEKRNSKKENLVVMSYDTDFNKLSTDIAKETWVVGGMRDPLSRSRSWKR >Potri.012G001500.2.v4.1 pep chromosome:Pop_tri_v4:12:1126564:1129184:1 gene:Potri.012G001500.v4.1 transcript:Potri.012G001500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001500.v4.1 MMMASREGASKLVSSMMLVSRSFSTATARGIVAKEAVPAKAVGFNGDVVRRNIGEFWVRGSVFGLRHGSTASYSGKDQQQEEVKHSQPVAEGGDKAEEKNAIVSYWGVPPSRVTKEDGTEWKWNCFRPWETYSADLSINLTKHHAPVTFLDKMAYWTVKSLRWPTDLFFQRRYGCRAMMLETVAAVPGMVGGMLLHCKSLRRFEHSGGWIKALLEEAENERMHLMTFMEVANPRWYERALVITVQGVFFNAYFLGYLISPKFAHRMVGYLEEEAIHSYTEFLKELDKGNIKNVPAPAIAIDYWRLPPNSTLRDVVEVVRADEAHHRDVNHFASDIHYQGRELKEAPAPIGYH >Potri.008G190000.1.v4.1 pep chromosome:Pop_tri_v4:8:13343778:13346735:1 gene:Potri.008G190000.v4.1 transcript:Potri.008G190000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190000.v4.1 MHILHPITLLLSLSFVSLLNVNHAAVGSGDSPNGESPFTPKASLVRYWNKEIHTVLPKSGFFISKASPLSPVDLATFAKLASQNALSAQLPAFCSSAELFCFPDLSPSLEKHDHDSHFAIYNNKNFSNYGTDRAGGADSFKNYSDGDNIPVDSFRRYGRDAAGHGELFSSYAPDSNVVDQSFNTYGAGATGGAGEFKGYNVEVNNPNLRFVSYSDSANGKGQKFSTYTENANAGPGQAFTSYGKNGNGAPNEFSGYGTSSNVVGSDFTNYGETANGANDTFKSYGVDGNVPQNNFVNYGDGGNAGVDSFATYREKSNVGDDSFQTYAKNSNAEKANFANYGKSFNEGTDKFSGYGNGAMGQQIGFKIYGVNTTFKDYANKKGVTFAGYTSASTADASMKVNSDSVVKNNKWIEPGKFFRESMLKKGSVMPMPDIRDKMPKRSFLPRSIISKLPFSTSKIDQLKEIFHASDNSSMERIILDALEECERSPSPGETKRCAGSAEDMIDFATSVLGRNVAVRTTDNVNGSKKNIMIGSIKGINGGRVTRSVSCHQTLYPYLLYYCHSVPKVRVYEADLLDPNSKATINHGVAICHLDTSSWSPTHGAFLALGSGPGRIEVCHWIFENDMTWTIAEA >Potri.008G037700.1.v4.1 pep chromosome:Pop_tri_v4:8:2068301:2069302:-1 gene:Potri.008G037700.v4.1 transcript:Potri.008G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037700.v4.1 MAAAAPPPPPTPAPSAPTGLPDSDSTPVGHPLFARIRLATPLDIPHIHKLIHQMAIFEKLTHLFTATESSLASTLFKSPPFLSFTIFLLEVSSHPFEKDSNFHSQSFTPIERIFNLDHSLIDPEAETFKNGINGDVVVAGFVLFFPNFSTFLGKPGFYVEDLFVRECYRRKGLGKLLLSAVASQAVKMGYGRVEWVVLDWNVNAIKFYEEMGANVLPEWRICRLTGPALEAYRDAI >Potri.002G006900.3.v4.1 pep chromosome:Pop_tri_v4:2:378145:382267:-1 gene:Potri.002G006900.v4.1 transcript:Potri.002G006900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006900.v4.1 MLKVSGAQIVAITVFFVLSVAFYAFFAPFLGKVIYEYVAIGVYSVLALSVFILYVRCTAIDPADPGILLEADETAGHKSENDTDLPGNSAEEPSKIRLKNGGKSYKYGSSWCSRLGGFFCCFLVKQDCRKDEDILQEESGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFVSLMATSLVWLVVEFGVGVAVLVRCFVDRKAMDHQIVEKLGIGFSRPPFATVVALCTFLSLLATVPLGELFFFHLILIRKGITTYEYVVAMRTQSEPPGLSVEGGEQQSLPSSPTSSAVTAVSGRSSIGMSLQFKGAWCTPPRIFMDHQDEIIPHLERGRLPSTVDPDAIQEADKAKKLPQRPIRISAWKLAKLDSSEAIKAAAKARASSSVLRPIGSRYNPYDADHLSSSNFSGRSSPISTDQGFQNKNARAVMPGLSPSMTNSYPASNASHASRDDIGSWHQSLGNFSSANVSNLATSPIQQQTSNRDHFNPIYQTSADESPWSARQSEVNGNPSHENAAQIPMRRNLGAAENMRTTVYWDPEAGRFVSSTRGAGSSSQVRGTELLYTDQSIFFGGPLVNEQLSRGRTGSSLTLSQDRGSTSSHFQQGRSQRGGQLPVFVPSDSQQNLYSSRSP >Potri.002G006900.1.v4.1 pep chromosome:Pop_tri_v4:2:378145:383051:-1 gene:Potri.002G006900.v4.1 transcript:Potri.002G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006900.v4.1 MARRHGWQLPVHTFQIVAITVFFVLSVAFYAFFAPFLGKVIYEYVAIGVYSVLALSVFILYVRCTAIDPADPGILLEADETAGHKSENDTDLPGNSAEEPSKIRLKNGGKSYKYGSSWCSRLGGFFCCFLVKQDCRKDEDILQEESGEDALFCTLCNAEVRKFSKHCRSCDKCVDGFDHHCRWLNNCVGRKNYITFVSLMATSLVWLVVEFGVGVAVLVRCFVDRKAMDHQIVEKLGIGFSRPPFATVVALCTFLSLLATVPLGELFFFHLILIRKGITTYEYVVAMRTQSEPPGLSVEGGEQQSLPSSPTSSAVTAVSGRSSIGMSLQFKGAWCTPPRIFMDHQDEIIPHLERGRLPSTVDPDAIQEADKAKKLPQRPIRISAWKLAKLDSSEAIKAAAKARASSSVLRPIGSRYNPYDADHLSSSNFSGRSSPISTDQGFQNKNARAVMPGLSPSMTNSYPASNASHASRDDIGSWHQSLGNFSSANVSNLATSPIQQQTSNRDHFNPIYQTSADESPWSARQSEVNGNPSHENAAQIPMRRNLGAAENMRTTVYWDPEAGRFVSSTRGAGSSSQVRGTELLYTDQSIFFGGPLVNEQLSRGRTGSSLTLSQDRGSTSSHFQQGRSQRGGQLPVFVPSDSQQNLYSSRSP >Potri.011G082400.1.v4.1 pep chromosome:Pop_tri_v4:11:8959507:8960985:-1 gene:Potri.011G082400.v4.1 transcript:Potri.011G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082400.v4.1 MGDINNQAAHLVLIHGSSAGAWVWYKVKPMLEAAGHSITALDMSASGVNTKTLEEVRTFDQYNEPLIEFMANLPENEKVVLVGHSLGGLNLAFAMEKFPEKISLAVFVTAILPDTQHQPSYMLEKFIESISGADEEQDTAVVSSTPFQLTPIEDLTLQALLNRPGSMFVESLSKANKFTEDRYGSVPRVYIVCTEDILLSPSLQRYMIEQNEVKEVMEIPADHMAVFSKPKELSQCILELAQKHA >Potri.008G153400.1.v4.1 pep chromosome:Pop_tri_v4:8:10525835:10526654:-1 gene:Potri.008G153400.v4.1 transcript:Potri.008G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153400.v4.1 MALSSLSIKLPLTQPPSKPTHSNPTLQFKPPPLSFNIFQSHPKILTITHSKTKERRGCFAIKAVEKESTESEAVEKPGMESEPESSVTGELGAEIKKAMMERKSKEEGNFWSGVAEEIQEIEWPAFGKVLGTTGVVIGVIVGSSVVLLTVNAVLAELSDRVFAGRGLQDFFS >Potri.008G094100.1.v4.1 pep chromosome:Pop_tri_v4:8:5871213:5875484:-1 gene:Potri.008G094100.v4.1 transcript:Potri.008G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094100.v4.1 MEKEEDDAPLAVELEETVPVKPSSSHSKQPQGEDVPVGVTVITGYLGSGKSTLVNHILNTQHGKRIAVILNEFGEEIGVERAMINEGEDGALVEEWVELANGCVCCTVKHSLVQALEQLVQMKERLDHILLETTGLANPAPLASVLWLDDQLESAVKLDSIITVVDAKNLHYQLNELQNSSSFPEASLQIAFADVIILNKVDLVSLGGSGEALKELENEIHKINSLANIIHSVRCQVDLSKILNCRAYDSKHFGHLEVLLEESKSLSTSDLHDSSLRTLCICESQKVDLDKVRLWLEEILWDKKDGMDVYRCKGVLYVRNSDELHTLQAVRELYDIVPARKWRSDENQINKIVFIGHNLKEDVLINSFRDCIVTT >Potri.001G147500.2.v4.1 pep chromosome:Pop_tri_v4:1:12163457:12170233:-1 gene:Potri.001G147500.v4.1 transcript:Potri.001G147500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147500.v4.1 MATMESLISLVNRIQRACTILGDYGGDSGGAAAASLPTLWESLPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEPGITEYAEFLHKQRERFTDFAMVRKEIQDETDKITGKSKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVKDIENMVRLYVEKPNCIILAITPANQDIATSDAIKLAREVDPAGERTFGVLTKLDLMDKGTNAQDVLEGRAYPLQHPWVGIVNRSQADINKNVDMIAARRREREFFSTSPDYGHLAGRMGSEYLAKLLSKHLESVIKTRIPGITSLINRSIDDLESELDHLGRPVAIDAGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYGVFDYQLPTALRKLPFDRHLSLQNVRKVVSEADGYQPHLIAPEQGYRRLIDGALNYFRGPAEASVDAVHFILKEIVRRSIGETQELKRFPTLQAEIASAAYDALERFREDSKKTTLRLVEMESSYLTVDFFRKLPLEAEKGGDPTVSNVDRYAEGHFRRIGSNVSSYAGMVSQTLRNSIPKAVVHCQVKEAKRSLLDHFYTQVGKKEGKQLAALLDEDPALMERRQKCARRLELYKNARDEIDSVSWAA >Potri.017G144800.1.v4.1 pep chromosome:Pop_tri_v4:17:14519739:14523284:1 gene:Potri.017G144800.v4.1 transcript:Potri.017G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144800.v4.1 MAIQDQCGGTRSNLVLPAGNGILPSATLQKATDHQLKEQFSCGSDYSPKARKPYTITKQRERWTEEEHKKFLDALKLYGRAWRRIEEHLGTKTAVQIRSHAQKFFSKVARESGGSNTSSEEPIEIPPPRPKRKPMHPYPRKLAHPLERDLLILENPLRSSSPNFSISEQENQSPTSVLSAVGSDALGSTDSDMPSHSLSPVSSAGGVRHVDSSPEDNGSPSPPTASSAPDEQFPKVQKLDSFPKENVSSEEPITLKLFGRTLLVTECHKPSYPNMGTSKLSLPDATEEKLVQPLTLNITAAKLQSRNEESTWSPLPHGSHGALYQIQFKKENSSPTENDSAALMPWWGSYEGMPFPFIPFHKHEPAVENLDSNGDEVQDKEIHKEVSWTGSNSGSVNEGENVDKIMDAETESHQFSYEEKEPSPFLELKPKKKSASSGSKAFNEKCTKGFVPYKKRTAERDSQSSTITGEEREEQRIRLCL >Potri.014G117700.5.v4.1 pep chromosome:Pop_tri_v4:14:7926397:7927816:-1 gene:Potri.014G117700.v4.1 transcript:Potri.014G117700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117700.v4.1 MASLASTALPKILPSCPKIPSIISRPCPPQSLTTKQRIPLVGIGRRIFQKTAIKATAPTGSSSPGLHSAQQFELNPQNVDLVLEEVRPYLISDGGNVDVVSVEDGVITLKLQGACGNCASSETTMKMGIERVLKEKFGDAVQDIRQLSFEEPKETTVEAVNDHLDILRPAIKNFGGSVEVLSVENGGCRVEYTGPESIGSGIKAAIKEKFPDIVDVVFVG >Potri.011G031100.1.v4.1 pep chromosome:Pop_tri_v4:11:2535780:2537627:-1 gene:Potri.011G031100.v4.1 transcript:Potri.011G031100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031100.v4.1 MESEATNKSRVVMVESGESWDFYISQATTQTCPIAVHFTASWCMPSVAMNPIFEDLASAHPDILFLTVDVDAVKIFVSAIFTKIRLQIFGDLIPSHEAGNEHRVVSSGNFILIVIDMKDHH >Potri.001G078500.2.v4.1 pep chromosome:Pop_tri_v4:1:6232192:6236863:1 gene:Potri.001G078500.v4.1 transcript:Potri.001G078500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078500.v4.1 MVGSTYAAVPLYRRFCQATGYGGTVQRRESVEEKIARHANDGTVATREIAVQFNADVGDGMPWKFAPTQREVRVKPGESALAFYTAENRSSTPITGVSTYNVTPMKAAVYFNKIQCFCFEEQRLLPGEQIDMPVFFYIDPEFETDPRMDGINNIILSYTFFKVSEE >Potri.006G258800.1.v4.1 pep chromosome:Pop_tri_v4:6:25534799:25538722:1 gene:Potri.006G258800.v4.1 transcript:Potri.006G258800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258800.v4.1 MGCGDLFFSLLITFSAALITYNILISANAPLKQELPGPSSRSSLLVDPIIKMPFGRSSFGKKRLFHTAVTASDSVYNTWQCRVMYYWYKKHKDGPNSEMGGFTRILHSGKPDKFMEEIPTFIAQPLPSGMDQGYIVLNRPWAFVQWLQKADIKEDYILMAEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYESVLRKYFPEDKGPITTIDPIGNSPVIVGKESLKKIAPTWMNISLAMKKDPETDKAFGWVLEMYAYAVSSALHGVGNILYKDFMIQPPWDTEIGKKFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYDNVAPPRNLPLPPPGVPDSVVTLVKMVNEATSNIPNWGS >Potri.003G106400.6.v4.1 pep chromosome:Pop_tri_v4:3:12937144:12941703:-1 gene:Potri.003G106400.v4.1 transcript:Potri.003G106400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106400.v4.1 MTYNGLESCIINNQSYENESGTSRGEGCLSESFDDDGCSSCSSSKDAFGSFSSKWLRTKRDEHGLDDWEVAGGPRHFYAKEKPCYSLQYSDVETMKEKFAKLLLGEDFTGGRNGLSPALALSNAITNLAATVFGELWKLQPLPEERKTKWRREMDWLLSPTNYMVELVPAKQNCANGRALEIMTPKARADIHVNLPALQKLDSMLIDTLDSMVNTEFWYSEVGSRAEGRTKSAKESKRWWLPLPQVPTNGLSDSGRTKLLNQSKVVYQVFKAAKSINETILLEMPVPTIIKDALPKSGKANLGEELYKLLTAESNTAEEMLDSLNLQSEHSALEAINKLEAAAFAWKDRMTAQVGVKSPVRTPWSFVKDPVSEFEKMESLLDRTESLLQQLKSRYPNLPQTFLDSTKIQFGKDVGHAILEAYSRVLGNLAFSILSRIADIMQEDSLTNPSSPAATCCFPGINSSGYVETPAMSYISDTHSSNRLNKVDVKHRKSNASHISDQELSCSEARTSSVIATPSRSRVWCIGGDACRSLSPKKSPKH >Potri.003G106400.4.v4.1 pep chromosome:Pop_tri_v4:3:12937140:12943186:-1 gene:Potri.003G106400.v4.1 transcript:Potri.003G106400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106400.v4.1 MMMRRWLACCARDTEISLDFDEQERIMTYNGLESCIINNQSYENESGTSRGEGCLSESFDDDGCSSCSSSKDAFGSFSSKWLRTKRDEHGLDDWEVAGGPRHFYAKEKPCYSLQYSDVETMKEKFAKLLLGEDFTGGRNGLSPALALSNAITNLAATVFGELWKLQPLPEERKTKWRREMDWLLSPTNYMVELVPAKQNCANGRALEIMTPKARADIHVNLPALQKLDSMLIDTLDSMVNTEFWYSEVGSRAEGRTKSAKESKRWWLPLPQVPTNGLSDSGRTKLLNQSKVVYQVFKAAKSINETILLEMPVPTIIKDALPKSGKANLGEELYKLLTAESNTAEEMLDSLNLQSEHSALEAINKLEAAAFAWKDRMTAQVGVKSPVRTPWSFVKDPVSEFEKMESLLDRTESLLQQLKSRYPNLPQTFLDSTKIQFGKDVGHAILEAYSRVLGNLAFSILSRIADIMQEDSLTNPSSPAATCCFPGINSSGYVETPAMSYISDTHSSNRLNKVDVKHRKSNASHISDQELSCSEARTSSVIATPSRSRVWCIGGDACRSLSPKKSPKH >Potri.003G106400.5.v4.1 pep chromosome:Pop_tri_v4:3:12937144:12943798:-1 gene:Potri.003G106400.v4.1 transcript:Potri.003G106400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106400.v4.1 MMMRRWLACCARDTEISLDFDEQERIMTYNGLESCIINNQSYENESGTSRGEGCLSESFDDDGCSSCSSSKDAFGSFSSKWLRTKRDEHGLDDWEVAGGPRHFYAKEKPCYSLQYSDVETMKEKFAKLLLGEDFTGGRNGLSPALALSNAITNLAATVFGELWKLQPLPEERKTKWRREMDWLLSPTNYMVELVPAKQNCANGRALEIMTPKARADIHVNLPALQKLDSMLIDTLDSMVNTEFWYSEVGSRAEGRTKSAKESKRWWLPLPQVPTNGLSDSGRTKLLNQSKVVYQVFKAAKSINETILLEMPVPTIIKDALPKSGKANLGEELYKLLTAESNTAEEMLDSLNLQSEHSALEAINKLEAAAFAWKDRMTAQVGVKSPVRTPWSFVKDPVSEFEKMESLLDRTESLLQQLKSRYPNLPQTFLDSTKIQFGKDVGHAILEAYSRVLGNLAFSILSRIADIMQEDSLTNPSSPAATCCFPGINSSGYVETPAMSYISDTHSSNRLNKVDVKHRKSNASHISDQELSCSEARTSSVIATPSRSRVWCIGGDACRSLSPKKSPKH >Potri.004G051900.1.v4.1 pep chromosome:Pop_tri_v4:4:4201342:4203628:-1 gene:Potri.004G051900.v4.1 transcript:Potri.004G051900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051900.v4.1 MHSRVPMVTVLALMALMPLFSGAVDIRQLRQLTAKHNVTCVLVFGDSSVDPGNNNRLPTTVKGNFPPYGKDFFDRRPTGRFSNGRLATDFIAEAIGYTKIIPAFLDPNLKPTDLLHGVSFASAASGYDDLTANLSQVLPVSKQLEYLKHYKLHLSRLVGVKKAQNIVNNAIFLLSMGTNDFLQNYYLEPNRPKQFNVEQYQNFLASRMFEDIKEMNRLGATRVVVVGVPPLGCMPLVRTLAGQNTCVESYNQVAWSLNAKIKEKLAILKKTIGIKDAYVDCYGVIQNAINTPKKFGLVETSKGCCGSGTIEYGDTCKGMTTCADPSKYAFWDAVHPTEKMYRILADEAIASLDGALIG >Potri.001G174400.1.v4.1 pep chromosome:Pop_tri_v4:1:14985370:14987274:1 gene:Potri.001G174400.v4.1 transcript:Potri.001G174400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174400.v4.1 MPNISFLPLSPCKLNAMYRATVLLVSLCITWQVALSVTDGLLPNGDFEYGPKPSEMKGTVVTAKNAIPNWEISGFIEYIKSGHKQGDMLLVVPVGAYAVRLGNEASIKQKVKVTQGEFYSLTFNVARTCAQEEKLNLSVSPNSEPRDWGILPMQTMYSSNGWDAYAWAFHADGPEVEISIHNPGVEEDAACGPLVDSVALKLLSNPKRARDNLLKNGNFEEGPYMFPNTDWGVLIPPHIEDDQCPLPGWIVDSLKAVKYIDREHFTVPEGKRAVELVAGKESAISQTVKTIINKIYVLTFYVGDTSNSCVGSMVVEVYAGKDRTQVPYESNGKGGFKRAKFVFKAVSNHTRITFLSSFYTMKSDNSGSLCGPVLDDVKLVSVRNPRRHL >Potri.008G164400.2.v4.1 pep chromosome:Pop_tri_v4:8:11310073:11315502:1 gene:Potri.008G164400.v4.1 transcript:Potri.008G164400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164400.v4.1 MLKALAPGLLLILSLLISASANDNGFSRCNCEDEGSLWIIESILESQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLINGMTYGPHTFQLMLALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEMKTLMDLTHELNRGNYLSSDNPSIPITDPDVVRIKRSEAVNILRPDSALAAASHGESGEPGPVAAIRMPMLHVSNFKGGTPEIVQACYAILVLVLPGGQPRSWTNQEVEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASKARGAFQKVMSDGMKRPMHSILGLISLIQDGNLSGEQRIIVDAMMRTSNVLSTLINDVTEISIKDSGRFSLDMRSFGLHAMIKEAACLAKCLCIYRGFGFSIEVDKSLPDNVMGDERRVFQVILHMVGNLLDHNNGGGFVVLRFFSENGSQERNDQRWTTWRPCMSDGDVYIRFEIAINNSGSESEGSASMLQHSGKRFASDGVEEGLSFSICKKLVHLMQGKIWMMPNSQGFAESMGFVLRFQLRPSIAVAISESGESSENPHSNSFFKGLQVLLADADDLNRAVTRKLLERLGCNVATVASGFECLSALGPAASFQVVLLDLQMPELDGYEVAVRIRKFRSRSWPLIIAMTASSDDDVWDKCLQIGINGVIQKPVVLKGISYELRRVLANKVV >Potri.008G164400.3.v4.1 pep chromosome:Pop_tri_v4:8:11310707:11314196:1 gene:Potri.008G164400.v4.1 transcript:Potri.008G164400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164400.v4.1 MLKALAPGLLLILSLLISASANDNGFSRCNCEDEGSLWIIESILESQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLINGMTYGPHTFQLMLALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEMKTLMDLTHELNRGNYLSSDNPSIPITDPDVVRIKRSEAVNILRPDSALAAASHGESGEPGPVAAIRMPMLHVSNFKGGTPEIVQACYAILVLVLPGGQPRSWTNQEVEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASKARGAFQKVMSDGMKRPMHSILGLISLIQDGNLSGEQRIIVDAMMRTSNVLSTLINDVTEISIKDSGRFSLDMRSFGLHAMIKEAACLAKCLCIYRGFGFSIEVDKSLPDNVMGDERRVFQVILHMVGNLLDHNNGGGFVVLRFFSENGSQERNDQRWTTWRPCMSDGDVYIRFEIAINNSGSESEGSASMLQHSGKRFASDGVEEGLSFSICKKLVHVRIINFVC >Potri.008G164400.1.v4.1 pep chromosome:Pop_tri_v4:8:11309913:11315411:1 gene:Potri.008G164400.v4.1 transcript:Potri.008G164400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164400.v4.1 MLKALAPGLLLILSLLISASANDNGFSRCNCEDEGSLWIIESILESQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLINGMTYGPHTFQLMLALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEMKTLMDLTHELNRGNYLSSDNPSIPITDPDVVRIKRSEAVNILRPDSALAAASHGESGEPGPVAAIRMPMLHVSNFKGGTPEIVQACYAILVLVLPGGQPRSWTNQEVEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQAKMNAMMASKARGAFQKVMSDGMKRPMHSILGLISLIQDGNLSGEQRIIVDAMMRTSNVLSTLINDVTEISIKDSGRFSLDMRSFGLHAMIKEAACLAKCLCIYRGFGFSIEVDKSLPDNVMGDERRVFQVILHMVGNLLDHNNGGGFVVLRFFSENGSQERNDQRWTTWRPCMSDGDVYIRFEIAINNSGSESEGSASMLQHSGKRFASDGVEEGLSFSICKKLVHLMQGKIWMMPNSQGFAESMGFVLRFQLRPSIAVAISESGESSENPHSNSFFKGLQVLLADADDLNRAVTRKLLERLGCNVATVASGFECLSALGPAASFQVVLLDLQMPELDGYEVAVRIRKFRSRSWPLIIAMTASSDDDVWDKCLQIGINGVIQKPVVLKGISYELRRVLANKVV >Potri.008G068500.3.v4.1 pep chromosome:Pop_tri_v4:8:4147090:4147353:1 gene:Potri.008G068500.v4.1 transcript:Potri.008G068500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068500.v4.1 MSSAHSHHQHRQLFSESVTTTLQISQTQFSNPIMSHFSRSIKPSDPTWSSTSLCCAVFDLIWYRILDPPILRSLRSSSLHAPPCLPR >Potri.008G068500.2.v4.1 pep chromosome:Pop_tri_v4:8:4146906:4153103:1 gene:Potri.008G068500.v4.1 transcript:Potri.008G068500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068500.v4.1 MLTWITRDFITPPLVRSSMPAEPNPTPVPLLQSPAPQILKCPLPTATINTDSCSPNPSPPLCRSHKLNFQILSCLISLEASNHPIQPGLPLLYAAPFSTLSGIESWTRQSSDLSALRASTRLPASPDKAAESSTCICPKCRSEYSISQIPRSYLCFCGKVENPPHDNPRILTHSCCEICYRPLKNNCGYFCLLLCHPVPSHLARNLSKRHVFVAKRWMLSCSYKLFSCNNVCNKSLDCGIHNCKQICHDGTCLLCNGRGVYKCLCGREVEERGVL >Potri.003G036200.3.v4.1 pep chromosome:Pop_tri_v4:3:4656728:4659503:-1 gene:Potri.003G036200.v4.1 transcript:Potri.003G036200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G036200.v4.1 MKVSSSPKVSKHVECLRHLQSQHDELEAQFLEERKALEAKYHKLYQPLYTKRYEIVNGLKEVDGVMSAELDSIKEDQAIEEKGVPEFWLTAMKTHEVLAEEIKGQDEGALKFIKDIKWSRLQNPEGFELEFYFNPCPYFKNSVLTKTYHIIDESDPFLSQAIGSEIEWYPEKCLTTKVVKRKQRTVSKKTKTTMTIKNCECFFTFFNPPQIPENEDDLDDDDYDELQDRLKQGYNLGNIIRDKIIPHAVSWFTGEAIEEDELDGIDYDGNDDDDDDDEDYEDDDGDEEDNERDKEAEQEEKRKQGVKKDIKKDYDEDEDEENERKWGLKKDDDDDDDEAGDEENDDGDEEDNERDKEAEQEEKRKQGVKKDIKKDYDEDEDEEKERNWDLKKDDDDEAEDDEYDDGDEEDNASDKEAEQEEKRKQGVKKDIKEDNDEDGDEEKERKWGLKKDVEDEDEEEEEEKQVWKKKIGGAQIQKEHPPECKQQ >Potri.003G036200.1.v4.1 pep chromosome:Pop_tri_v4:3:4656728:4659503:-1 gene:Potri.003G036200.v4.1 transcript:Potri.003G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G036200.v4.1 MKVSSSPKVSKHVECLRHLQSQHDELEAQFLEERKALEAKYHKLYQPLYTKRYEIVNGLKEVDGVMSAELDSIKEDQAIEEKGVPEFWLTAMKTHEVLAEEIKGQDEGALKFIKDIKWSRLQNPEGFELEFYFNPCPYFKNSVLTKTYHIIDESDPFLSQAIGSEIEWYPEKCLTTKVVKRKQRTVSKKTKTTMTIKNCECFFTFFNPPQIPENEDDLDDDDYDELQDRLKQGYNLGNIIRDKIIPHAVSWFTGEAIEEDELDGIDYDGNDDDDDDDEDYEDDDGDEEDNERDKEAEQEEKRKQGVKKDIKKDYDEDEDEENERKWGLKKDDDDDDDEAGDEENDDGDEEDNERDKEAEQEEKRKQGVKKDIKKDYDEDEDEEKERNWDLKKDDDDEAEDDEYDDGDEEDNASDKEAEQEEKRKQGVKKDIKEDNDEDGDEEKERKWGLKKDVEDEDEEEEEEKQVWKKSSDGHKKIGGAQIQKEHPPECKQQ >Potri.004G110700.1.v4.1 pep chromosome:Pop_tri_v4:4:10061308:10062738:1 gene:Potri.004G110700.v4.1 transcript:Potri.004G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110700.v4.1 MAPQDSVKILDLCQVAPAYNSPESITDFSLPLTFLDIAWFKFPPAQQIIFYELTESSPTFFNLVILPRLKKSLSQTLFHFLPLAGHLVWPENSPKPILLYTPNDAISLTIAESNADLSHLSGNETRQAIESFPYIPELPTSDAKASVIALQITVFPNKGFSISIVCHHGILDGKSATTFLKAWAYICKHLEYDQQPSLPSELTPFLDRGVIKDAYGLEMIFLNQWLALTRPDTKSDSRSLKLVSNMAVSPDVVRATFQLTREDIEILRETISSQLEKVLQEELNPTKQMDYMSTFVLTCAYTVVCMVKARGGDSNRKIYFIFSADCRGRLDPPIPQNYIGNCISSQHIVIKAGVSMEECGVAMIAQRISGMIKGLEKGLFEGAKERLLELASIEPGTEIIGVTGSTRFEDYSWDFGWGRPNKVEFTGNARGGVISLARSREGTGGVEIGLALKMHEMENFVSFFVNNLKNFRQISK >Potri.009G103400.4.v4.1 pep chromosome:Pop_tri_v4:9:9097873:9102250:-1 gene:Potri.009G103400.v4.1 transcript:Potri.009G103400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103400.v4.1 MRFCKMHLPKNDTTVFLENESGEEYILNYIAERTALSGGWKAFCAANNLHEGDVLVFHLVKPSRFKVYIVKGNGSSQGDGEFGIPSSGAYGKEIISEPAEKDVKSSKKAEPLKLLPVGHQEENNQENGLIAVENNKGYVADQSENESEDRASETLRVIKLSGPTVDFNNVKGIENFRILLNGFAIDSELSEHHRTKYYELCRSQSSFLHSNLLNSINHKLAVEIIIGTVDISEGIRSSKLSSSQADYGVWDKTLKGFELLGMKVGFLRERLSRLMSLALESEEAMESECREVRLEQARVDEEMKSLELRLLKLKETRERLDDEMEILKENAEKHELTFQEAVNAPW >Potri.009G103400.3.v4.1 pep chromosome:Pop_tri_v4:9:9097876:9102250:-1 gene:Potri.009G103400.v4.1 transcript:Potri.009G103400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103400.v4.1 MDAKEDQNTSPKPQLPISNPVPLNTYPRIRRRKPNMSKIKLTQIHEKSPEPEYKTGHELVLYDKSGESREPRSRKRKKDAFSVFYDSTETKSPAMELAEEIRTNLEAAYPSFAKTLVRSNVTVGFWMHLPMRFCKMHLPKNDTTVFLENESGEEYILNYIAERTALSGGWKAFCAANNLHEGDVLVFHLVKPSRFKVYIVKGNGSSQGDGEFGIPSSEPAEKDVKSSKKAEPLKLLPVGHQEENNQENGLIAVENNKGYVADQSENESEDRASETLRVIKLSGPTVDFNNVKGIENFRILLNGFAIDSELSEHHRTKYYELCRSQSSFLHSNLLNSINHKLAVEIIIGTVDISEGIRSSKLSSSQADYGVWDKTLKGFELLGMKVGFLRERLSRLMSLALESEEAMESECREVRLEQARVDEEMKSLELRLLKLKETRERLDDEMEILKENAEKHELTFQEAVNAPW >Potri.009G103400.2.v4.1 pep chromosome:Pop_tri_v4:9:9097941:9102321:-1 gene:Potri.009G103400.v4.1 transcript:Potri.009G103400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103400.v4.1 MDAKEDQNTSPKPQLPISNPVPLNTYPRIRRRKPNMSKIKLTQIHEKSPEPEYKTGHELVLYDKSGESREPRSRKRKKDAFSVFYDSTETKSPAMELAEEIRTNLEAAYPSFAKTLVRSNVTVGFWMHLPMRFCKMHLPKNDTTVFLENESGEEYILNYIAERTALSGGWKAFCAANNLHEGDVLVFHLVKPSRFKVYIVKGNGSSQGDGEFGIPSSGAYGKEIISEPAEKDVKSSKKAEPLKLLPVGHQEENNQENGLIAVENNKGYVADQSENESEDRASETLRVIKLSGPTVDFNNVKGIENFRILLNGFAIDSELSEHHRTKYYELCRSQSSFLHSNLLNSINHKLAVEIIIGTVDISEGIRSSKLSSSQADYGVWDKTLKGFELLGMKVGFLRERLSRLMSLALESEEAMESECREVRLEQARVDEEMKSLELRLLKLKETRERLDDEMEILKENAEKHELTFQEAVNAPW >Potri.019G005400.1.v4.1 pep chromosome:Pop_tri_v4:19:1159818:1167300:1 gene:Potri.019G005400.v4.1 transcript:Potri.019G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G005400.v4.1 MADKIKVWCFLLFLLRLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRTVVDIIGELLGFNQFIPPFATARGRDILVGVNSASGASGIRDESGRQLGDRISLNEQLQNHAATLSRLTQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYIQQIKLLYHLGARKIALPGLRPIGSIPYSFSTLCRNNVSCVTNINNAVLPFNAGLVSLVDQLNRELNDARFIYLNSTGMSSGDPSVLGFRVTNVGCCPARSDGQCIQDPCQNRTEYAFWDAIHPTEALNQFTARRSYNAILPSDAYPTDISHLIS >Potri.011G073441.1.v4.1 pep chromosome:Pop_tri_v4:11:7536440:7542862:1 gene:Potri.011G073441.v4.1 transcript:Potri.011G073441.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073441.v4.1 MPGPEFQLPNSPIERQFLVLRNINLTGTIPENAWKVEKTLDLTFNKLVGEIPPNTIRRRQFTFLSGNKLTGTVQDSFLQNSQNLDVWYNNFSRSPRCSSSNENNINWFRSSSSNNKLRDLLPCSEISRCPKYYRSFHINCGGQDVKNGKILYEGDQDSGSNAAARCYNRSGSNWGFSSTGDFMDDENFYDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLETGNYTVRLHFAEIEFTYEKLYNKVARRVFYIYIQGIQVQKDFNFTKEAQGSNRSFTRAYNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGIISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSALMLDWTTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTMPMYCKKREI >Potri.005G096100.1.v4.1 pep chromosome:Pop_tri_v4:5:6795543:6796368:1 gene:Potri.005G096100.v4.1 transcript:Potri.005G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096100.v4.1 MADQSANPSSIMEPKQQHPLHQIAETPTHKLLLKQWLKEEELIFNRISLKETQIDSVCKEITQLYIFFFLFHSISLLLLFNASSKDPFGAKGSESCKRSWIPSLCSLLCSLGIIWAVRYKTDVECHLEKLLEREKEDGQLLAKCVEELKKKGGEFDLLKEVDALRRAKSLRVETKVVRKWSTRDFVTLFFFSVSCLVLGLTRIILCN >Potri.018G030700.1.v4.1 pep chromosome:Pop_tri_v4:18:2270735:2273540:-1 gene:Potri.018G030700.v4.1 transcript:Potri.018G030700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G030700.v4.1 MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGNCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVETPALAPPEVPIDLVAQAQHEAELAAAASQPLPDDDDDAFE >Potri.011G123500.1.v4.1 pep chromosome:Pop_tri_v4:11:15406956:15408882:1 gene:Potri.011G123500.v4.1 transcript:Potri.011G123500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123500.v4.1 MESTDSSTGGAGGGGGGSQQPNLPPGFRFHPTDEELVVHYLKKKTTSAPLPMAIIAEVDLYKFDPWELPAKATFGEQEWYFFSPRDRKYPNGTRPNRAATSGYWKATGTDKPILTLGGTQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLADNKVKNKPPGCDLGNKKNSLRLDDWVLCRIYKKNNTHRPIDHDKDDYMDDILGSIPPSILVGNHQNGTLHLAKGTNYETLLDNDHNLFEGIISSNDGIRSSSSMSHVASSVSKPDHLPMLPLKRTLPSLYWTDEDMAVPSSSKRFQGDINGDESVVGNDGNSSIVTLLSQLPQTSQLHQHTMLGSMGNGIFRPPYQIPGMNWYS >Potri.001G025500.1.v4.1 pep chromosome:Pop_tri_v4:1:1917301:1920168:-1 gene:Potri.001G025500.v4.1 transcript:Potri.001G025500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025500.v4.1 MAATTETFNGNGASFHGVLDRDRCLTDFVDEGSAQSYRYYISRRTVLEMLKDRGYDVLDSELNRSLTEFRSVFGNSPDLDSLRFSVSLRSNPHKKTLVMFLGTDEIKTANIRTVYGQILNKESLHGLILILQSKMNHFAKKELEKFPFKVEVFQITDLLVNITKHVLQPQMDILTAEQKQQVMNKYKLEDKQLPRMLESDAIVQYYGLQKGQMVKITYSGEIVDHLVTYRCVT >Potri.001G025500.2.v4.1 pep chromosome:Pop_tri_v4:1:1917301:1920168:-1 gene:Potri.001G025500.v4.1 transcript:Potri.001G025500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025500.v4.1 MAATTETFNGNGASFHGVLDRDRCLTDFVDEGSAQSYRYYISRRTVLEMLKDRGYDVLDSELNRSLTEFRSVFGNSPDLDSLRFSVSLRSNPHKKITDLLVNITKHVLQPQMDILTAEQKQQVMNKYKLEDKQLPRMLESDAIVQYYGLQKGQMVKITYSGEIVDHLVTYRCVT >Potri.004G190500.2.v4.1 pep chromosome:Pop_tri_v4:4:20275532:20277163:-1 gene:Potri.004G190500.v4.1 transcript:Potri.004G190500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190500.v4.1 MIRQGLLLRSPSSSQRLQPLLKEKTGSKMVPGGERRERNLARAKTQSFGEVAGGTAAGCAAVCCCCPCTVINLLVLAVYKVPVSLCRKAKKRQRLRRKQKERSLLSRASSGLSRDEGWEKEAREIMEKGKCCDQHNHDPNGETDAVDLEKEMWDQFNNTGFWRSPSQIGT >Potri.010G230000.3.v4.1 pep chromosome:Pop_tri_v4:10:21253123:21255327:-1 gene:Potri.010G230000.v4.1 transcript:Potri.010G230000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230000.v4.1 MAVAEQTTSPATAKYTKTSSRLHSSQLSHLSTSPSFHFRRSFRPIPRAQNFKITCSVNQVPAPVAVQTEEAKNKSERFGVFCQTYDLEATEAELLPEKRCVAHLTGEVYGVAFRDLPEDTKLPGET >Potri.010G230000.2.v4.1 pep chromosome:Pop_tri_v4:10:21253123:21255327:-1 gene:Potri.010G230000.v4.1 transcript:Potri.010G230000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230000.v4.1 MAVAEQTTSPATAKYTKTSSRLHSSQLSHLSTSPSFHFRRSFRPIPRAQNFKITCSVNQVPAPVAVQTEEAKNKSERFGVFCQTYDLEATEAELLPEKRCVAHLTGEVYVLPHHCFLVLILPHQLLTALLFSGCCFPRFTRGHKASRGNVIRHIVNRYSKWSKKP >Potri.010G230000.1.v4.1 pep chromosome:Pop_tri_v4:10:21253123:21255327:-1 gene:Potri.010G230000.v4.1 transcript:Potri.010G230000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230000.v4.1 MAVAEQTTSPATAKYTKTSSRLHSSQLSHLSTSPSFHFRRSFRPIPRAQNFKITCSVNQVPAPVAVQTEEAKNKSERFGVFCQTYDLEATEAELLPEKRCVAHLTGEGVAFRDLPEDTKLPGET >Potri.003G120350.1.v4.1 pep chromosome:Pop_tri_v4:3:14152284:14152752:-1 gene:Potri.003G120350.v4.1 transcript:Potri.003G120350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120350.v4.1 MEGVSMDLRQSYQMKKLKNYQTSKVLCSVIPLFQTWINLWNKIKYILSGSSSSPVVIF >Potri.010G198000.1.v4.1 pep chromosome:Pop_tri_v4:10:19114374:19117577:1 gene:Potri.010G198000.v4.1 transcript:Potri.010G198000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198000.v4.1 MDWGNVTAEDLIGALKEIDWTSPPRPLNEFLSKFTIPRSYSKWSSRLKCNLYYYRTNYFILILLILGVACILRPLAILATALSALAIAFFNDSFAASFSEKVTRTVRKFSPHLAAKMRPPHMPVIRGRPSAKKSVYICGQPRLLFVLLFSAASFLLWYSSGSLLYVSWAYAISIFVTVLHASFRTPNLKARLNTFREEFRAVWRNYSEL >Potri.016G036800.1.v4.1 pep chromosome:Pop_tri_v4:16:2197276:2201249:1 gene:Potri.016G036800.v4.1 transcript:Potri.016G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036800.v4.1 MSCSCINVKSKALEKMSKEQSTVFRAWEATIRKTQAAKKRANSIFGTISIAHAEDDNDDDNDNRNLAEPYHAEKILPNGDYYTGQWYDNFPHGQGKYLWTDGCMYVGEWYKGKTMGRGRFSWPSGATYEGEFKSGYMDGIGTYTGSNGDTYRGQWVMNMKHGHGLKHFSNGDWYDGEWRRGFQEGNGKYEWKNGNHYVGEWKNGLMCGKGGFVWTNGNKFDGNWEDGLPKGNGTFKWLDGSFYVGNWSKDPSEQNGTYYPSESSVEGLEWDPQDVYKVHLSDSQVCPGERVSVLPSQKKLAVWNSSKGGGGEKPRRMSVDGRVSVGIERAFDRMNMWEGEDNTSTAGDRGRFSDADLLGLNAEEGIPKMMPIMRLPKACKRQGETISKGHKNYELMLNLQLGIRHSVGRPAPSASLDLKASAFDPKEKVWTRFPPEGSKYTPPHQSTEFKWKDYCPLVFRTLRKLFKVDPADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTMKKSEAKVLIRMLPAYYNHVRSYENTLVTKFYGLHCVKLNGTAQKKVRFIIMGNLFCSEYTIHRRFDLKGSSLGRTTDKPESEIEATTILKDLDLNFIFRLQKAWFQEFCRQVDRDCELLEQERIMDYSLLVGLHFREVSPNGDLITSGMRTPPGETESDDGPRLSRADMDQLLLDPDMWSRIKLGINMPARAERTVRRSDCEYLLVGEPTGELYEVVMFFGIIDILQDYDISKKLEHAYKSIQYDPTSISAVDPKQYSRRFRDFLLKIFEEEI >Potri.015G054000.1.v4.1 pep chromosome:Pop_tri_v4:15:7196763:7197738:1 gene:Potri.015G054000.v4.1 transcript:Potri.015G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054000.v4.1 MASLYSEPPTIAITSLVLLLLISLPPTILSQNPPIPTDPTVTDCTPRLLPLAPCAPFVQGIAQTPVQPCCDNLNQLYQEQPGCICLLLEDTNLSSFPINRTLALELPALCNVQINIAACSGTPQVLSSPPASQVYPGAPSNSSVGRHTDYSFAASPVVEGEPRSSIMGIGFHRSTGVKLEAEGSLMLLVTLAVVSLSKSISLGLG >Potri.006G114300.4.v4.1 pep chromosome:Pop_tri_v4:6:8862397:8869538:-1 gene:Potri.006G114300.v4.1 transcript:Potri.006G114300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114300.v4.1 MKGYHNNHNQGKRRWRCLVIGVLFLVLLSMLVPLVFLLGLYHNGFHSTGHPSDPQTSSSASYTDQSNHVKQLIENFAPSLPNIHQVINFTSGAENKTTSSGSIHGAPAVPPAVPQPPLRRNNAVTTGTDEITKHKRSAFEESEKCELRFGGYCHWCDEHRESMKDFMVNKLKDQLFVARAYYPTIAKLLSQEKLTNEMRQNIQELERILSESSTDADLPPQIQKNLQKMENVIAKAKTFPVDCNNVDKKLRQILDLTEEETNFHMKQSAFLYQLAVQTMPKGLHCLSMRLLVEYFKSSVHDKELPLSERYSNPSLQHYVILSTNVLAASVVINSTAVHARESGNLVFHVLTDGLNYFAMKLWFLRNTYKEAAVQVLNVENVTLKYHDKEALKSMSLPLEYRVSFHTVNNPPATHLRTEYVSVFSHTHYLIPSIFEKLKRVVVLDDDVVVQRDLSDLWNIDMGGKVNGALQLCSVQLGQLRNFLGKGSFDENSCAWMSGLNVIDLVRWRELDLTKTYWKLGQEVSKGTGSAEAVALSTSLLTFQDLVYPLDGVWALSGLGHDYGIDVQAIKKAAVLHFNGQMKPWLELGIPKYKQYWKRFLNRDDLFLGECNVNP >Potri.003G057250.1.v4.1 pep chromosome:Pop_tri_v4:3:8414460:8416404:1 gene:Potri.003G057250.v4.1 transcript:Potri.003G057250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G057250.v4.1 MCYRVGIEIPTVEVRFEHLNVETEVYLGSRALPTIFNSFANIVEGSLNYLRMLPTRKKRMHILNDVSGIIKPCRMTLLLGPPGSGKTTLLLALAGKLPNNLEYSGRVTYNGHEMNEFVPQRTAAYISQHDLHLAEMTVRETLSFSARCQGTGARYEMLAELLRREKAAGIKPDPDLDVFMKAASVGGQETSVITDYILKVLGLEACADTMLGDELLRGVSGGQKKRVTTGEMLVGSAKVLLMDEISTGLDSSTTFQIMNSLKQCICILNGTAFISLLQPVPETYDLFDDIILLSDGHIVYQGPRGHVLEFFESMGFKCPERKGVADFLQEVTSRKD >Potri.007G011800.4.v4.1 pep chromosome:Pop_tri_v4:7:929206:935606:1 gene:Potri.007G011800.v4.1 transcript:Potri.007G011800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011800.v4.1 MSVLGDDGLGYDLARKLETLGMWRAWLGDSLYSNFLHSLSSPASWQSFMRTDDSKSKSHFQLQLRARALLFDKASVSLFLRSNTVAAVSNLNPNYLQLHGDDVYFTLEDEDQRREGGGVGATTKRYKNEELPETWYTQFMEKRKLKRPYRLSFGDRESDKRSPEQMSTYFRLVARHKRRCQYLGSGNSNLESTSNMRSGSVLDGSHSVDDDFVFFPETMFMFNCVPDSAIPPIIRARDIQKIEFRGAFDSLPQTRNPVMIERLGISVEQGGSLNRGKNGSEGHKKLSEEQALQMSQKVVACLLTRVGFDGASEIPMEVFSQLLRCHISKLGRILRVLADSYRKQCSAVELLKMFLQTAGFSNLVHLMKIVKEGARNTAEPTHQQAHGIQSQFHSQHQNLLRLPQQIPRQMHPQMQPMVHSQNLTFQQQQQHFERLRRRHTSTPRPGMDVDKDKPLVQVKVENPPELPLDNNAVNAFHSRQPQMQMRHQQIAAMSNLHAQPNNQLRQLASLQVPQMQTSNMGMVRAPPVKVEGFQELMGGDAALKHDTEENKLTSPSSK >Potri.007G011800.3.v4.1 pep chromosome:Pop_tri_v4:7:929213:935466:1 gene:Potri.007G011800.v4.1 transcript:Potri.007G011800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011800.v4.1 MSVLGDDGLGYDLARKLETLGMWRAWLGDSLYSNFLHSLSSPASWQSFMRTDDSKSKSHFQLQLRARALLFDKASVSLFLRSNTVAAVSNLNPNYLQLHGDDVYFTLEDEDQRREGGGVGATTKRYKNEELPETWYTQFMEKRKLKRPYRLSFGDRESDKRSPEQMSTYFRLVARHKRRCQYLGSGNSNLESTSNMRSGSVLDGSHSVDDDFVFFPETMFMFNCVPDSAIPPIIRARDIQKIEFRGAFDSLPQTRNPVMIERLGISVEQGGSLNRGKNGSEGHKKLSEEQALQMSQKVVACLLTRVGFDGASEIPMEVFSQLLRCHISKLGRILRVLADSYRKQCSAVELLKMFLQTAGFSNLVHLMKIVKEGARNTAEPTHQQAHGIQSQFHSQHQNLLRLPQQLQIPRQMHPQMQPMVHSQNLTFQQQQQHFERLRRRHTSTPRPGMDVDKDKPLVQVKVENPPELPLDNNAVNAFHSRQPQMQMRHQQIAAMSNLHAQPNNQLRQLASLQVPQMQTSNMGMVRAPPVKVEGFQELMGGDAALKHDTEENKLTSPSSK >Potri.003G105000.9.v4.1 pep chromosome:Pop_tri_v4:3:12843246:12851658:1 gene:Potri.003G105000.v4.1 transcript:Potri.003G105000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105000.v4.1 MSICPMRFQRPWLLYILHLYILVNKSGAITPDGEALLSFRSAIVSSDGILPLWRPEDTDPCNWRGVTCDQKTKRVIYLNLKNHKLSGPISPDLGKLEHLRVLALYNNNFYGTIPSELGNCTELQGIFLQGNYLSGPIPSELGNLTVLQNLDISSNSLSGSIPSSLGKLNKLITFNVSNNFLIGPIPSDGVLINFADNSFTGNRDLCGKQINRTCRDDSGGSGTDGQPPSGQNQGGKKKYSGRLLISTSATIGALLLVALMCFWGCFLYKKFGKNESNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMYDGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDGESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGGLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.003G105000.11.v4.1 pep chromosome:Pop_tri_v4:3:12843246:12851583:1 gene:Potri.003G105000.v4.1 transcript:Potri.003G105000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105000.v4.1 MSICPMRFQRPWLLYILHLYILVNKSGAITPDGEALLSFRSAIVSSDGILPLWRPEDTDPCNWRGVTCDQKTKRVIYLNLKNHKLSGPISPDLGKLEHLRVLALYNNNFYGTIPSELGNCTELQGIFLQGNYLSGPIPSELGNLTVLQNLDISSNSLSGSIPSSLGKLNKLITFNVSNNFLIGPIPSDGVLINFADNSFTGNRDLCGKQINRTCRDDSGGSGTDGQPPSGQNQGGKKKYSGRLLISTSATIGALLLVALMCFWGCFLYKKFGKNESNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMYDGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDGESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGGLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.003G105000.12.v4.1 pep chromosome:Pop_tri_v4:3:12843246:12851569:1 gene:Potri.003G105000.v4.1 transcript:Potri.003G105000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105000.v4.1 MSICPMRFQRPWLLYILHLYILVNKSGAITPDGEALLSFRSAIVSSDGILPLWRPEDTDPCNWRGVTCDQKTKRVIYLNLKNHKLSGPISPDLGKLEHLRVLALYNNNFYGTIPSELGNCTELQGIFLQGNYLSGPIPSELGNLTVLQNLDISSNSLSGSIPSSLGKLNKLITFNVSNNFLIGPIPSDGVLINFADNSFTGNRDLCGKQINRTCRDDSGGSGTDGQPPSGQNQGGKKKYSGRLLISTSATIGALLLVALMCFWGCFLYKKFGKNESNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMYDGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDGESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGGLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.003G105000.6.v4.1 pep chromosome:Pop_tri_v4:3:12843262:12852046:1 gene:Potri.003G105000.v4.1 transcript:Potri.003G105000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105000.v4.1 MSICPMRFQRPWLLYILHLYILVNKSGAITPDGEALLSFRSAIVSSDGILPLWRPEDTDPCNWRGVTCDQKTKRVIYLNLKNHKLSGPISPDLGKLEHLRVLALYNNNFYGTIPSELGNCTELQGIFLQGNYLSGPIPSELGNLTVLQNLDISSNSLSGSIPSSLGKLNKLITFNVSNNFLIGPIPSDGVLINFADNSFTGNRDLCGKQINRTCRDDSGGSGTDGQPPSGQNQGGKKKYSGRLLISTSATIGALLLVALMCFWGCFLYKKFGKNESNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMYDGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDGESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGGLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.003G105000.10.v4.1 pep chromosome:Pop_tri_v4:3:12843246:12851619:1 gene:Potri.003G105000.v4.1 transcript:Potri.003G105000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105000.v4.1 MSICPMRFQRPWLLYILHLYILVNKSGAITPDGEALLSFRSAIVSSDGILPLWRPEDTDPCNWRGVTCDQKTKRVIYLNLKNHKLSGPISPDLGKLEHLRVLALYNNNFYGTIPSELGNCTELQGIFLQGNYLSGPIPSELGNLTVLQNLDISSNSLSGSIPSSLGKLNKLITFNVSNNFLIGPIPSDGVLINFADNSFTGNRDLCGKQINRTCRDDSGGSGTDGQPPSGQNQGGKKKYSGRLLISTSATIGALLLVALMCFWGCFLYKKFGKNESNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMYDGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDGESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGGLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.003G105000.13.v4.1 pep chromosome:Pop_tri_v4:3:12843246:12851566:1 gene:Potri.003G105000.v4.1 transcript:Potri.003G105000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105000.v4.1 MSICPMRFQRPWLLYILHLYILVNKSGAITPDGEALLSFRSAIVSSDGILPLWRPEDTDPCNWRGVTCDQKTKRVIYLNLKNHKLSGPISPDLGKLEHLRVLALYNNNFYGTIPSELGNCTELQGIFLQGNYLSGPIPSELGNLTVLQNLDISSNSLSGSIPSSLGKLNKLITFNVSNNFLIGPIPSDGVLINFADNSFTGNRDLCGKQINRTCRDDSGGSGTDGQPPSGQNQGGKKKYSGRLLISTSATIGALLLVALMCFWGCFLYKKFGKNESNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMYDGFDRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTIIMGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDGESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASFIEKGLNIVGGLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.013G003000.3.v4.1 pep chromosome:Pop_tri_v4:13:210689:214363:-1 gene:Potri.013G003000.v4.1 transcript:Potri.013G003000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003000.v4.1 MGGGRGGGGGGGGAEAQYMTAKISVWWDIENCHVPMGCDPHAIAQNISSALVKMNYCGPVSISAYGDTHRIDSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNPAPGNYLLISGDRDFSNALHQLRMRRYNILLAQPQKTSASLLAAAKSVWLWTSLLAGGPPLTEGELQKLCSNNYMSTSDTKQIPVSDAAQIKESVDSYSEKPYVANQKSPYTPRGHDNKQKGKNIQRNPSQINTSKTTSSPLWTQEDHHNSNSHQPGPSFPRVPPSGPGLDFVPGNNNFTWSDNTHINGNYQNHYTQQLRPNNPGMRPEFAAGGLYPPHPNLHPRAPPPMPVRPNGTSFTSAPYTSAPDIGILNIPGHPVNFNPQRRNPELKHDPKKKLPRSVSLSNSQNGNMAHNSPSVYRDEKPNHRYPGGPEYPPSSSHAMGASVAPGSDKWGSQGCPKPSEYVQGLIGVVLLALNTLKSERIMPTETNIADCIRYGDLKHRNTDIKKALESAIKHQMVATRSLGAMQLYVGKNEKLWKCVNPVGGKAKQIQKATWNEIHQFLRSSAGRSAILASECRYEAGTILKSKCLKEHVLGDVLQILNMVIGYKKWIIPHQSGWRPLSITIAEKANSDSGSTEGTFGSTESTFGWDTGSTEGTFGWDTGSTEGTFGWDTGSTEGTFGGDSGTTEGTFGCEWG >Potri.009G091800.5.v4.1 pep chromosome:Pop_tri_v4:9:8387765:8389879:1 gene:Potri.009G091800.v4.1 transcript:Potri.009G091800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091800.v4.1 MSASSLVADTVWKTIESTQSVTEEQLSILHFLFGKNLERATKIVDQRGVKKISGQPSGRSIFQVVGESRRKEEYFCFPEHYCACYSFFYDIVNRGEQLCCKHQLAARLAAALGAFIDVKVSDEQLALLLIKL >Potri.009G091800.6.v4.1 pep chromosome:Pop_tri_v4:9:8387817:8389862:1 gene:Potri.009G091800.v4.1 transcript:Potri.009G091800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091800.v4.1 MSASSLVADTVWKTIESTQSVTEEQLSILHFLFGKNLERATKIVDQRGVKKISGQPSGRSIFQVVGESRRKEEYFCFPEHYCACYSFFYDIVNRGEQLCCKHQLAARLAAALGAFIDVKVSDEQLALLLIKL >Potri.009G091800.2.v4.1 pep chromosome:Pop_tri_v4:9:8387731:8390035:1 gene:Potri.009G091800.v4.1 transcript:Potri.009G091800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091800.v4.1 MSASSLVADTVWKTIESTQSVTEEQLSILHFLFGKNLERATKIVDQRGVKKISGQPSGRSIFQVVGESRRKEEYFCFPEHYCACYSFFYDIVNRGEQLCCKHQLAARLAAALGAFIDVKVSDEQLALLLIKL >Potri.001G299300.1.v4.1 pep chromosome:Pop_tri_v4:1:31028864:31031276:1 gene:Potri.001G299300.v4.1 transcript:Potri.001G299300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299300.v4.1 MAGRESFFEGNHLVPEGNPPSFSELLFSNDDDFVGVDVAHAFHFSSVEKSPTMLCFGGYNYRNESEIVMFSEETKTAPQPQISGVTCSDSSSASSCNNNCSNVDVISTISKSNRKRNGSSQEVPMKCTNTVAKTPFLSQRNSKRTETKNPGSTANAKVKREKLGDRIAALQELVSPFGKTDTASVLHEAMGYIRFLQDQVKVLCTPYLQNLPEGGENGGEESIKNLRSRGLCLVSVDCTLPVANSNGADFWSPATMGN >Potri.009G080300.10.v4.1 pep chromosome:Pop_tri_v4:9:7686171:7690246:-1 gene:Potri.009G080300.v4.1 transcript:Potri.009G080300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080300.v4.1 MDSGPGPSLYPLHRCKTIHLVRHAQGLHNVEGEKNYKAYLNPEYLDAPLTQLGWQQVDNLRKHVHASGLSKRVELVVTSPLFRTLQTAVGVFGGEGYTDGANPLPLMVANAGSSGRAAISSHNSPPFIAVEDCREHFGVHPCDKRHNVSDYQFLFPAVDFSLIETDEDLLWKADVRESTEELAARGLKFLNWLWTRKEKEIAIVTHSGFLVHTLRAFGNDCVPSVKKEMCTRFANCELRSMVIVDRSMIGSDVSTTNYPGKVPPGSDLPSDDAEEEAPNSI >Potri.009G080300.5.v4.1 pep chromosome:Pop_tri_v4:9:7686267:7689404:-1 gene:Potri.009G080300.v4.1 transcript:Potri.009G080300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080300.v4.1 MDSGPGPSLYPLHRCKTIHLVRHAQGLHNVEGEKNYKAYLNPEYLDAPLTQLGWQQVDNLRKHVHASGLSKRVELVVTSPLFRTLQTAVGVFGGEGYTDGANPLPLMVANAGSSGRAAISSHNSPPFIAVEDCREHFGVHPCDKRHNVSDYQFLFPAVDFSLIETDEDLLWKADVRESTEELAARGLKFLNWLWTRKEKEIAIVTHSGFLVHTLRAFGNDCVPSVKKEMCTRFANCELRSMVIVDRSMIGSDVSTTNYPGKVPPGSDLPSDDAEEEAPNSI >Potri.004G110610.1.v4.1 pep chromosome:Pop_tri_v4:4:9939301:9940356:-1 gene:Potri.004G110610.v4.1 transcript:Potri.004G110610.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110610.v4.1 MSRKVRGREKSVTESSFVIHTNEENPELSQHLEECHTKVTKRCAIEISNSIYTNKTSSEYCCQKHITTEKACHDDFIKLFISKVSKEKVTFIVAKGDQIWNYCAAIVALAPVTSTFSFLP >Potri.001G324500.1.v4.1 pep chromosome:Pop_tri_v4:1:33352365:33353510:-1 gene:Potri.001G324500.v4.1 transcript:Potri.001G324500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324500.v4.1 MEMTDGAGVLTQKNDYSVWAIPPEDVRERLKKLMGGLRSEFGGPEIFQPHITVVGAMSLTEQEALEKFRSACEGLKPYHAKADSVVAGAFPSQCLYLLFQSTPEVMDASAHCCRHFGYKRSNQYMPRLSLLYGTLTKEEKKKAQEKAYLLDESINGMNFQVSRLALWITDREDKGNLETWKLIAECSLSPN >Potri.015G074300.1.v4.1 pep chromosome:Pop_tri_v4:15:10024376:10027091:1 gene:Potri.015G074300.v4.1 transcript:Potri.015G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074300.v4.1 MTDTGTVRTIVGIVGNVISFLLFLSPIPTFVRIIKEKAVKDFKSDPYVATLLNCAMWIFYGLPFITHDNTLVVTINGIGFVIECIYVAIFFIFSPGKKKTRIIIELLIEVIFMVIVILITVFAFHTMKTRALFIGILCIIFNVFMYSSPLTVMRMVIKTKSVKYMPFYLSLANFTNGLIWVIYGLLDFDINLVLPNGLGALSGLIQLILYGIYCRSTKSDDDDDVSGNRSVVELSST >Potri.006G009900.1.v4.1 pep chromosome:Pop_tri_v4:6:647342:648757:-1 gene:Potri.006G009900.v4.1 transcript:Potri.006G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009900.v4.1 MTVSPPQCFLCPHHLHIMHAATYAEKLNVTHPEFQFLGLPSVTPPPLEDVLACPEHFVSIFIADHRDHVKDAIFNNVLSNKSPGLVLDLFCTPFVDVAKDLGVPSYIFFASGAAFLGSMLYLPDRFDKGGITFKPTDPDSIIPGYINPVPSKVLPSLLFHDGGYSTFVGHARKFKEVKGIIVNTFAELESHPVNYLDGEASVPPVNLHWGQWFVTRATVQKLMGTNVMTDEFMKFVDAQPEKSLVFLCFGSQGSFSEPQLKEIAL >Potri.010G006700.2.v4.1 pep chromosome:Pop_tri_v4:10:624972:625340:-1 gene:Potri.010G006700.v4.1 transcript:Potri.010G006700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006700.v4.1 MNSKFDQVKNNNSHPPVKDSSHATVFTQQDFRNHNAYLYQKDTYHDLAPTVMLCNQDGNSAEEEATTMQSATVMSCNQDGNLAPTVMLCNQNGNLAEEGATTMQSAMVVFCNQDGNSCNLQR >Potri.017G118700.1.v4.1 pep chromosome:Pop_tri_v4:17:12529649:12530211:-1 gene:Potri.017G118700.v4.1 transcript:Potri.017G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118700.v4.1 MKMASFFMIVMVVATMLLAEAQVSQAQTCNPGQLSPCLGAIMSSTPPSTTCCSKLKEQKPCLCGYLKDPSLKQFVSSPGARKVASDCGVPYPSC >Potri.011G106600.2.v4.1 pep chromosome:Pop_tri_v4:11:13506662:13515987:-1 gene:Potri.011G106600.v4.1 transcript:Potri.011G106600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106600.v4.1 MSVERSFEAWEEVQRHGQDLADRLAQGFSGLIQSHMTYPPTFSWPNSPKSKPFDLEFPGHGFSKNDFGVLTDNSGIDGVSAILDIGNRIGQAGADFGAALNGLVHQFFRRLPVPFKQEESVGAGLRMDGKRSEMGLCLEGELGLVRERLRDFGFVEDNSGVGSRGVDELLEEEIGGFNLKGVGFLGKPQGTINITSTYDSRTHNIEGSLVARGDLWRVEASHGSSTSRNDNSSMFLVQLGPLLIVRDSTFLLPVHLSKQHLLWYGYDRKTGMHSLCPAVWSKHRRWLLMSMLCLNPLACSFVDLQFPNGQLTYVSGEGLSTSAIVPLCGGLLQAQGQYPGEMRFSFSHKNKWGTRITPMVQWPDKSFTLGFAHSLAWQRSGLMVRPTVQFR >Potri.011G106600.1.v4.1 pep chromosome:Pop_tri_v4:11:13506457:13515989:-1 gene:Potri.011G106600.v4.1 transcript:Potri.011G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106600.v4.1 MSVERSFEAWEEVQRHGQDLADRLAQGFSGLIQSHMTYPPTFSWPNSPKSKPFDLEFPGHGFSKNDFGVLTDNSGIDGVSAILDIGNRIGQAGADFGAALNGLVHQFFRRLPVPFKQEESVGAGLRMDGKRSEMGLCLEGELGLVRERLRDFGFVEDNSGVGSRGVDELLEEEIGGFNLKGVGFLGKPQGTINITSTYDSRTHNIEGSLVARGDLWRVEASHGSSTSRNDNSSMFLVQLGPLLIVRDSTFLLPVHLSKQHLLWYGYDRKTGMHSLCPAVWSKHRRWLLMSMLCLNPLACSFVDLQFPNGQLTYVSGEGLSTSAIVPLCGGLLQAQGQYPGEMRFSFSHKNKWGTRITPMVQWPDKSFTLGFAHSLAWQRSGLMVRPTVQFSLCPTFGGSNPGLHAELIHSVNDHLNLICGCAATTHPSAFASLSIGRSKWNGNVGSSGLVVRLDTPLSNVGQPSFSVQINSGVEF >Potri.002G212600.1.v4.1 pep chromosome:Pop_tri_v4:2:19429843:19432287:-1 gene:Potri.002G212600.v4.1 transcript:Potri.002G212600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G212600.v4.1 MALKERSPETPKTSPKNPRVAVRSIDTYAAQCDKCLKWRVIPTEEEYEEIRSKMEENPYVCDRKPGVSCDDPADIEYNATRTWVIDRPGVPKTPEGFKRSLVLRRDFSKMDAYYITPTGKKLRTRNEIAAFIEANPKYKDVNLSAFNFTSPKVMEDTIPEDAVRKVPSSANGNKKKALKDSA >Potri.003G114000.4.v4.1 pep chromosome:Pop_tri_v4:3:13624381:13628295:1 gene:Potri.003G114000.v4.1 transcript:Potri.003G114000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114000.v4.1 MMDATKLEELKQFIEQCKSNPSILADPSLSFFHDYLESLGAKLPACAHKHDDSKSSYVVEESDEEMEKEESQGEPEVEEEEEEIIESDVELEGETVEPDNDPPQKMGDPSVEVTEESRDASQEAKAKAMEAISEGKLEEAIEHLTEAISLNPTSAIMYATRATVYIKMKRPNAAIRDANAALEINLDSAKGYKSRGMARAMLGQWEDAAKDLHLASKLDYDEEISAVLKKVEPNAHRIEEHRRKYERLHKEREDRKAERERQRRRAKAQAEYEKAKKQEQSSSSRKPGGMPSGFPGGFPGGMPGGMPGGMPGGMPGGMPGGMPGGMPGGMPGGFPGAMPGGMPGGFPGAMPGGMPGNVDFSKILNDPELMAAFSDPEIMAALQDVMKNPANLAKHQGNPKVAPIIAKMMGKFAGPK >Potri.003G114000.1.v4.1 pep chromosome:Pop_tri_v4:3:13624440:13628414:1 gene:Potri.003G114000.v4.1 transcript:Potri.003G114000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114000.v4.1 MMDATKLEELKQFIEQCKSNPSILADPSLSFFHDYLESLGAKLPACAHKHDDSKSKSYVVEESDEEMEKEESQGEPEVEEEEEEIIESDVELEGETVEPDNDPPQKMGDPSVEVTEESRDASQEAKAKAMEAISEGKLEEAIEHLTEAISLNPTSAIMYATRATVYIKMKRPNAAIRDANAALEINLDSAKGYKSRGMARAMLGQWEDAAKDLHLASKLDYDEEISAVLKKVEPNAHRIEEHRRKYERLHKEREDRKAERERQRRRAKAQAEYEKAKKQEQSSSSRKPGGMPSGFPGGFPGGMPGGMPGGMPGGMPGGMPGGMPGGMPGGMPGGFPGAMPGGMPGGFPGAMPGGMPGNVDFSKILNDPELMAAFSDPEIMAALQDVMKNPANLAKHQGNPKVAPIIAKMMGKFAGPK >Potri.004G215200.1.v4.1 pep chromosome:Pop_tri_v4:4:22133341:22134746:1 gene:Potri.004G215200.v4.1 transcript:Potri.004G215200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G215200.v4.1 MGSKAAAISSPVPVAWYPTLAVFMLAIGLIVTASFFIYEATSSRRNRSLAKELTTGAIASLFLGFGSLFLLLASGVYV >Potri.004G215200.2.v4.1 pep chromosome:Pop_tri_v4:4:22133370:22134726:1 gene:Potri.004G215200.v4.1 transcript:Potri.004G215200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G215200.v4.1 MKGSKAAAISSPVPVAWYPTLAVFMLAIGLIVTASFFIYEATSSRRNRSLAKELTTGAIASLFLGFGSLFLLLASGVYV >Potri.002G256900.1.v4.1 pep chromosome:Pop_tri_v4:2:24553487:24556047:1 gene:Potri.002G256900.v4.1 transcript:Potri.002G256900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256900.v4.1 MSQATMLLRSSSTPVLGSLLSSFSDSPNNSSSNHHHDINPTFKHSPIHHQSINKLPYHQTGCFCLSTISCNSSPISPSISEFSGRSHQGFRRAQSEGNLQGLLDYSSNNNDAQHYNPNQTRKVSGRSKCLMLETIPSFSYSTLRGRYEDEDEEDEDQSDVQDEEERAELEENVAAENGHFGLSNKMENMVLTEEVRVMDRIWSVNFEGKREWISEEMHLARGPGIDYGSNGNGGGGGYGGRSGGGSGDEFDSGGGDMHGTEEYYKKMVQENPGNPLFLRNYAQFLYQTKRDLQGAEEYYSRAILADPKDGEILSQYGKLVWELHQDQDRASSYFERGVQASPEDCHVHAAYASFLWETEDDDDDVECKVPPKDFDAKPPHFHEGAVAFARGQAVN >Potri.002G256900.6.v4.1 pep chromosome:Pop_tri_v4:2:24553753:24555989:1 gene:Potri.002G256900.v4.1 transcript:Potri.002G256900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256900.v4.1 MSQATMLLRSSSTPVLGSLLSSFSDSPNNSSSNHHHDINPTFKHSPIHHQSINKLPYHQTGCFCLSTISCNSSPISPSISEFSGRSHQGFRRAQSEGNLQGLLDYSSNNNDAQHYNPNQTRKVSGRSKCLMLETIPSFSYSTLRGRYEDEDEEDEDQSDVQDEEERAELEENVAAENGHFGLSNKMENMVLTEEVRVMDRIWSVNFEGKREWISEEMHLARGPGIDYGSNGNGGGGGYGGRSGGGSGDEFDSGGGDMHGTEEYYKKMVQENPGNPLFLRNYAQFLYQTKRDLQGAEEYYSRAILADPKDGEILSQYGKLVWELHQDQDRASSYFERGVQASPEDCHVHAAYASFLWETEDDDDDVECKVPPKDFDAKPPHFHEGAVAFARGQAVN >Potri.004G182800.1.v4.1 pep chromosome:Pop_tri_v4:4:19666839:19671313:-1 gene:Potri.004G182800.v4.1 transcript:Potri.004G182800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182800.v4.1 MGCCSSSFLSETHPEKDHKKTHQQQPPRNPSGSAVLDPATGVPSFCEFSFSDLKTATNNFSSDNIVSESGEKAPNLVYKGRLQNRRWIAVKKFTKMAWPDPKQFAEEAWGVGKLRHKKLANLIGYCCDGDERLLVAEYMPNDTLAKHLFHWENQTIEWAMRLRVALYIAEALDYCSSEGRPLYHDLNAYRVLFDEDGDPRLSCFGLMKNSRDGKSYSTNLAYTPPEYLRNGRVTPESVIYSFGTVLLDLLSGKHIPPSRAIDMIRGKNIILLMDSHLEGNFSTEEATLVVGLASQCLQYEPRERPSTKDLVTTLAPLQTKPDVPSYVMLGISKHEEAPATPQRPLSPMGEACSRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLESRKRGDFAFRDKDFKTAIDCYSQFIDVGTMVSPTVFARRSLCYLLCDQPDAALRDAMQAQCVYPDWPTAFYMQSVALAKLDMHNDAADMLNEAAALEEKKQRGGKGS >Potri.016G003300.1.v4.1 pep chromosome:Pop_tri_v4:16:168712:170577:-1 gene:Potri.016G003300.v4.1 transcript:Potri.016G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003300.v4.1 MEEVAVIIVGAGPSGIATSACLNRLEIPNIVLEREGCCGSLWKKRAYDRLTLHIPKQYCELPYMSYPSNAPTFVPRNGFIAYLDEYLSHFGVTPRFNRSVGLAFYDVDAGKWRLEVTNVCSHVKEVYVAQFLVVATGENAEGVIPDIPGLGGFAGECMHASQFSNGRKYRGKDVLVVGCGNSGMEISYDLCQSNARTSIVNRSPVHVVTKEMVSLAMFLLKFLSVTSVDKILAKLCKLRFDDLSEYGIQRPKEGPFYLKTTQGRSPTIDVGCVDRIKQGKIKVFPSMANIEGKKIEFMNGESNQFDVIIFATGYRSTVGRWLKGGEELFDDNGFPKQDLVNKWKGSNGLYCVGFARNGLLAISSDAKNVSQDISTLILNCQIC >Potri.006G105300.1.v4.1 pep chromosome:Pop_tri_v4:6:8093164:8095923:-1 gene:Potri.006G105300.v4.1 transcript:Potri.006G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105300.v4.1 MASSASASSTTTINSLSNLSLPTQIMTFSSPSFTDLVSGNNKYMDNLSWGLYEYGTNDRNGIEIPNFKSFQPPSLPLSPPPVSPSSYWAIPPRLSPTELLDSPVLFPTSYALASPTSGFFAGQTFNWRSNSNDNQRGVSGEEKDCSDFSFQTQTRPPTISSSSSSLFQSSSNSVVVEKSLKRKHEEWKFNQSKQTDFSLDQKTGVKSVSAPVQSFSSELVPLQANMQNSTAPQPSYNQYNQAGHYMRENGRSEDRYKWRKYGQKQVKGSENPRSYYKCTYPNCTTKKKVERSLDGQITEIVYKGSHNHSKPQSTRRSSSQSVYPSGGANSEISYQSGAPMESGMMQEDSSISLGEDDIDHSSPISNSGGEDNENEPDAKRWLGQNENESILGAGSKTVRESRIVVQTTSDIDILDDGYRWRKYGQKVVRGNPNPRSYYKCTSAGCPVRKHVERASHDLRSVITTYEGKHNHDVPAARGRGNVNKAPSNANSTANAPIPIRPSVMASHSNQTRYHPNSLHSTRLLPTSGSQAPFTLQMLQSQGSFEY >Potri.016G120700.1.v4.1 pep chromosome:Pop_tri_v4:16:12550915:12553268:1 gene:Potri.016G120700.v4.1 transcript:Potri.016G120700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120700.v4.1 MAVELSIRSDQGGLCNGKMTWFVVLSCMMAAMGGVIFGYDIGITGGVTSMEPFLEKFFPKVYRKMKEDTEISNYCKFDSQLLTSFTSSMYVAGFIASFFASSITKAFGRKPSILLGGAAFLAGAALGGAAFNVYMLIFGRVLLGVGVGFANQAVPLYLSEMAPPRYRGAINNGFQFSIGIGALSANLINYGTEKIKGGWGWRISLALAAVPATILTLGAVFLPETPNSLIQLTDDTERAKLMLQRVRGTEDVQAELDDLIKASSISKTVEHPFKKIIKRKYRPQLVMAIAIPFFQQVTGINVIAFYAPILFRTIGLGESASLMSSVVTGIVGTGSTFISMLVVDKLGRRALFIFGGVQMLVSQIMVGGIMAAQLGDHGGIGGGYAYVVLILICIYVAGFSWSWGPLGWLVPSEIFPLEIRSAGQSIVVAVSFLFTFIVAQTFLAMLCHFKSGIFFFFGGWVVVMTAFVYYFLPETKNTPIEKMDRVWREHGFWNKIVGEMDEQTKIEAA >Potri.002G145500.2.v4.1 pep chromosome:Pop_tri_v4:2:10985435:10986721:-1 gene:Potri.002G145500.v4.1 transcript:Potri.002G145500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145500.v4.1 MAARIVLTYFLVPFLLSSTIVGYNSDEVMSWCSKTPNPQPCEYFLSHDHRNTPITHESDFLKISMQLALDRAMQGKVNTYSLGSKCRNGLEKAAWEDCLELYEDSILWLTKTTSSKCTDYDAQTWLSTALTNLETCRTGFTEFGMTDFILPLMSNNVSKLISNTLAINKVPYSEPSYKEGFPSWVRPGDRKLLQSSSPASQANIVVATDGSGNVKTIKEAIDAASKRSGSGRYVIYVKAGTYNENVEVGKKVKNVMFVGDGIGKTIVTGSKSAGGGTTTFKSATFGEYP >Potri.002G145500.1.v4.1 pep chromosome:Pop_tri_v4:2:10983378:10986723:-1 gene:Potri.002G145500.v4.1 transcript:Potri.002G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145500.v4.1 MAARIVLTYFLVPFLLSSTIVGYNSDEVMSWCSKTPNPQPCEYFLSHDHRNTPITHESDFLKISMQLALDRAMQGKVNTYSLGSKCRNGLEKAAWEDCLELYEDSILWLTKTTSSKCTDYDAQTWLSTALTNLETCRTGFTEFGMTDFILPLMSNNVSKLISNTLAINKVPYSEPSYKEGFPSWVRPGDRKLLQSSSPASQANIVVATDGSGNVKTIKEAIDAASKRSGSGRYVIYVKAGTYNENVEVGKKVKNVMFVGDGIGKTIVTGSKSAGGGTTTFKSATFAVVGDNFIARDMTFRNTAGAKNHQAVALRSGSDFSVFYKCSFEGYQDTLYVYSQRQFYRECDIYGTVDFIFGNAAVVFQNCNIYARSPPNKIITITAQGRTDPNQNTGISIHNCRVTAASDLKPVQGSVKTYLGRPWKQYSRTVFMKTFLDSLINPAGWSPWNGNFALDTLYYGEYMNTGPGSSTANRVNWKGYRVITSSTVASQFTVGSFISGNNWLPATNVPFTAGL >Potri.010G039466.1.v4.1 pep chromosome:Pop_tri_v4:10:6931210:6934656:1 gene:Potri.010G039466.v4.1 transcript:Potri.010G039466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039466.v4.1 MGSWDSAPAAILAVWALLSSIHALDIDHTTENGGLGRRVLLSFKETPHGTNLTFDCSPSGPCVPCAYSEKSDEKYRCSETGHRIPFKCVEINHDTENEKGKQHSPNGRSAVEISDDVNPHVMLQETTASNEGRTLLDDSSTAKGGSQAYITYRSCISVNTEKLSVLGFEGIILCLLLASGSVVYFRRKQTATVVAGAGAGAGRIQMNRFEL >Potri.007G055100.4.v4.1 pep chromosome:Pop_tri_v4:7:5723087:5726519:-1 gene:Potri.007G055100.v4.1 transcript:Potri.007G055100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G055100.v4.1 MDNISEEFALVAKKGAPVPPPSRGPPTTSYHQKGLFINASTLRGYSCVRTATRDLWDQLFDEGYRADVTISTDNGGSIYAHASVLGMASQVMKGMLKQAKGRGRRRSISIHGVPHNAVRVFIRLLYSSCYEKEEMEDYVLHLLVLSHVFVVPALKQICIQQLEHGFLTSENVVDIFQLALLCDAPRLSLICHRMILKNFQEISITEGWKVMKKSHPSLERELLESLDDEENVRNLFSVQHLWPLMFKAANLNGPNHLQMQRERIRKSNERKIYFQLYEAMEALVHICRDGCQTIGPHDKDFRDDQAPCNYSACKGLEMIVRHFASCKLRVPGGCIHCKRMWQLLELHSRLCVDSEACRVPLCRNFKERTKKQSKKDEIRWRILVKNILKTKSIGGSPFFSSAFSMSS >Potri.007G055100.1.v4.1 pep chromosome:Pop_tri_v4:7:5723008:5726544:-1 gene:Potri.007G055100.v4.1 transcript:Potri.007G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G055100.v4.1 MDNISEEFALVAKKGAPVPPPSRGPPTTSYHQKGLFINASTLRGYSCVRTATRDLWDQLFDEGYRADVTISTDNGGSIYAHASVLGMASQVMKGMLKQAKGRGRRRSISIHGVPHNAVRVFIRLLYSSCYEKEEMEDYVLHLLVLSHVFVVPALKQICIQQLEHGFLTSENVVDIFQLALLCDAPRLSLICHRMILKNFQEISITEGWKVMKKSHPSLERELLESLDDEENMQRERIRKSNERKIYFQLYEAMEALVHICRDGCQTIGPHDKDFRDDQAPCNYSACKGLEMIVRHFASCKLRVPGGCIHCKRMWQLLELHSRLCVDSEACRVPLCRNFKERTKKQSKKDEIRWRILVKNILKTKSIGGSPFFSSAFSMSS >Potri.004G063200.3.v4.1 pep chromosome:Pop_tri_v4:4:5336664:5347682:1 gene:Potri.004G063200.v4.1 transcript:Potri.004G063200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063200.v4.1 MTMKFSPSVEKNPDKNRLCNLLSIFWVVQMSFSLRYAFLVSVLTFICLETPRLAAARLPQDEVDALNLITKKMGANGWNFNADSCGEYLPHVQLTDPDRNVTCDCEFANNTCHITSLKFKRFSLAGELPPELIQLRYLESIDLSYNELGGSIPSQWASLQLKMIALLANRLSGNIPSYLGNFTSLAYLDLELNQFSGMIPRELGNLVNLETLILSSNKLDGNLPKELAELKNLTDFRINDNNFNGSIPDFVQNWKQLKRLEMVASGLEGPIPSSISALKTLTDLRITDINFTNQSFPDLSNIVGLSRLLLRNCNISGEIPPYIWEMSKLRILDLSFNKLHGNLPNAITTEALVFIFLSGNRLTGNIPMFRKGMSVDLSYNNFSQQSSGQPACQQGMDVTLNLFRSSSMGNDIGGACMDDLTCDKYWHSMYINCGGQNVKTNGSTYEGDAAASSGAAIFYRSEDEWGISSTGDFMDDNDFQNRAYIENMPSLNINELYQTARVSPISLTYYHRCLENGNYTVSLHFAEIRFKNDNTYNSLGRRLFDVYIQNNLVEKDFNIEVEAAGVAKPVTKIHNATVTNNILDIHLYWAGKGTTRIPVSGVYGPLISAISVYPNFKPRFSGGGKTKTVPIILGVVGFCLVFSALAIFWWKCYFRVQKKRQKGLEGIEIQTVSFTLKQIKAATGNFNPANKIGEGGFGPVYKGLLPDGTVIAVKQLSSKSSQGNREFLNEIGVISCMQHPHLVKLHGCCIEGDQLLLVYEYMENNSLSRALFGPENQLHLDWKTRQKICIGIAKGLSFLHEESRLKIVHRDIKVTNVLLDKDLNPKISDFGLAKLDEREKTYISTRVAGTVGYMAPEYALWGRLTYKADVYSFGIVALEIVSGKHNKSCGPDDQFSCLLDWACHLEQNGNLIEIVDQKLGSEFNKVEAERLIKVALLCANASPSLRPIMSEVVSMIEGTRIIPDVIPEPNSEDLRFKAIRGPDERIRSSLKGNQNSSSILDRSDNNSSHVYTDDDDPYETDKELNARFDTRSKHYKQPESRSEVSTSVLSETAVSSTSVHDPFDINISS >Potri.017G153100.3.v4.1 pep chromosome:Pop_tri_v4:17:15070351:15071197:1 gene:Potri.017G153100.v4.1 transcript:Potri.017G153100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153100.v4.1 MEKIFVLVCVFFLRSIISSHAISSCDGPCNTLNDCDGQLICIKGKCNDDPDVGTHICEGGGSSPSSPPPSGNCPLSGERSCKEPSFPAKYKCSPPVTSTTKAKLTLNDFSEGGEGGAPSECDERYHAKTERVVALSTGWYDGGSRCGRMIKITASNGKSVTAKVVDECDTLHGCDSEHGFLPPCENNIVDGSDAVWEALGLNKDLGIVPVTWSMA >Potri.002G237950.1.v4.1 pep chromosome:Pop_tri_v4:2:23045166:23063765:1 gene:Potri.002G237950.v4.1 transcript:Potri.002G237950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237950.v4.1 MVEQCVSNGPERKTVAKNGRKERKKTETQRRTSDRIKSRQREEKEQLVRKRVQILDGQEDKGNPRKRPNVNATEDEKEKEVAMAERMVNQVLGSTEVQKEVTLGDASGAGGALPDKSATVKVKDTLRLFNKFYLQLVQEEELRCAKAKVDKKVSQGSKKFLKGSKKSADKSKKEKPSEDGDKKKAKRPDLKAITKMFEANATMYPEKRIGDLPGISVGHRFYSRAEMVAVGFHSHWLNGIDYMGQSYRKGVYHNYTFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGRVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRMEGQPILTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYCKSLQIAKNVKLPANVSGCNCQGTCVDPRTCACAKLNGSDFPYVQINGGRLIEARAVVFECGPSCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFIPSGAPVCEYIGALVRTEDTDHVCENNYIFDIDCLQTMRGLGGRERRLGDVSVSAINSFDGDDQKSESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSSHHDVKLARVMLFAADNIPPMQELTYDYGYALDSVSGPSGKIKQMPCYCGAADCRKRLF >Potri.017G094400.1.v4.1 pep chromosome:Pop_tri_v4:17:10696314:10700398:1 gene:Potri.017G094400.v4.1 transcript:Potri.017G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094400.v4.1 MGSSYFLSSVIKWQSFTKLKLFSLFCAFSLSLNGVASFDSDKSVLLQFKNSVSDPSGLISGWNLISTNHCHWNGVSCDANSRVVSLNITGNGNYRGKKSGGGGAILCSGDSIELSLYGFGIRRDCKGSKGILMGKLVPLIARLSELRVLSLPFNGFLGLIPSEIWGMEKLEVLDLEGNLVSGSLPVSFSGLRNLRVLNLGFNRIEGEIPDSLSRCDGLEILNIAGNRINGTIPGFAGRFKGVYLSLNQLGGSLPEDFGYNCEKLEHLDLSGNFLVGGIPSNLGNCGNLRTLLLYSNMFEEIIPRELGKLGKLEVLDVSRNSLSGSVPPELGNCSALSVLVLSNMFDPYQDVNGTRGNGLLDHLSSMDEDFNFFQGGIPADVMTLPKLRMLWAPSATLGGMLLSNWDSCDSLEMINLSHNFFKGEIPHGFSRCNKLRYLDLSSNGLYGELLEEFRVPCMTVFDVSGNALSGSIPSFYSSSCPPVPSTIEYPLNIYDPSSAYISFFAYKAKAGSPTMSLGRNGEISVFHNFGDNNFTGTLQSLPISPVRLGKQTAYTFLAGDNKLSGPFPGILFENCDGLNMMIVNVSNNRMSGQIPANMGPMCRSLKLLDASKNQIAGTIPPSVGELVSLVYLDMSWNLLQGQIPSSLSQISGLKYLSLTGNRIVGSIPSSIGKLQTLEVLDLSSNLLSGEIPNDLVRLRNLTALLLNNNKLSGQIPSGLANVTLLSIFNVSFNNLSGPLPSSNNLMNCSSVLGNPYLHPCHVFSLASPSPDSPGRASEAQSYTSPSGQSQKNRSGGFTSIEIASIASASAIFSVLLALIFLFIYTRKWSPKSKIMGSARKEVTIFTDIGVPLTFENVVRATGSFNASNCIGNGGFGSTYKAEISPGVLVAIKKLAVGRFQGIQQFHAEIKTLGRLHHPNLVTLIGYHASETEMFLVYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWACMLLRQGRAKEFFTAGLWDAGPHDDLVEVLHMAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Potri.017G094400.2.v4.1 pep chromosome:Pop_tri_v4:17:10697914:10700399:1 gene:Potri.017G094400.v4.1 transcript:Potri.017G094400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094400.v4.1 MINLSHNFFKGEIPHGFSRCNKLRYLDLSSNGLYGELLEEFRVPCMTVFDVSGNALSGSIPSFYSSSCPPVPSTIEYPLNIYDPSSAYISFFAYKAKAGSPTMSLGRNGEISVFHNFGDNNFTGTLQSLPISPVRLGKQTAYTFLAGDNKLSGPFPGILFENCDGLNMMIVNVSNNRMSGQIPANMGPMCRSLKLLDASKNQIAGTIPPSVGELVSLVYLDMSWNLLQGQIPSSLSQISGLKYLSLTGNRIVGSIPSSIGKLQTLEVLDLSSNLLSGEIPNDLVRLRNLTALLLNNNKLSGQIPSGLANVTLLSIFNVSFNNLSGPLPSSNNLMNCSSVLGNPYLHPCHVFSLASPSPDSPGRASEAQSYTSPSGQSQKNRSGGFTSIEIASIASASAIFSVLLALIFLFIYTRKWSPKSKIMGSARKEVTIFTDIGVPLTFENVVRATGSFNASNCIGNGGFGSTYKAEISPGVLVAIKKLAVGRFQGIQQFHAEIKTLGRLHHPNLVTLIGYHASETEMFLVYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSSYGNGFNIVAWACMLLRQGRAKEFFTAGLWDAGPHDDLVEVLHMAVVCTVDSLSTRPTMKQVVRRLKQLQPPSC >Potri.019G118500.1.v4.1 pep chromosome:Pop_tri_v4:19:14453220:14455772:-1 gene:Potri.019G118500.v4.1 transcript:Potri.019G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118500.v4.1 MASSTLSPATPSQLCSSKSGMFSPTHAVFVKPTRTNMVLKERGMRISCQATSVPADDRVPDMGKRELMNLLLLGALSLPTAGMLVPYTYFFVPTGLGGGGGGTVAKDALGNDIVAEQWLNTHGPGDRTLSQGLKGDPTYLVVEKDRVLATYGINAVCTHLGCVVPWNQAEKKFICPCHGSQYNDQGRVVRGPAPLSLALAHCDVDDGKVVFVPWVETDFRTGDAPWWS >Potri.016G143700.1.v4.1 pep chromosome:Pop_tri_v4:16:14549562:14557123:1 gene:Potri.016G143700.v4.1 transcript:Potri.016G143700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143700.v4.1 MASTEGLVPITRIFLASYYDKYPFTPLPDDVSRLSSEIRSMTSDLLKDSPPSSQDESLLLKESEGESPHKIDENMWKNREHMEEIIFLLHESRCPQPLQDDSELSTVFNNIRYKFQKTLNVLQDFQAVNSDHVFNTVMTYMPQDFRGTLIRQQRERSERNKQAEVDALVNSGGSIRDRYALLWRQQMDRRRQLAQLGSATGVYKTLVKYLVGVPQVLLDFIRQINDDNGPMEEQRQRYGPSLYSLTAMVLLIRLFIQLAWGRFEAKKLTRDQVAVLEQAVDVYTYEFRRFITFISEVFANSPFFISAEAAGALEARNNDDYKEINVPAGKTHEVSLSVESVNSYIAWDFSLIQGKINMDIGFSVECTDPTGKKTLILPYRRYESDQGNFSTCVSGNYKLIWDNSYSAFFKKVLRYKVDCIPPVVEPVQSAGEMEE >Potri.006G045700.1.v4.1 pep chromosome:Pop_tri_v4:6:3096408:3101536:1 gene:Potri.006G045700.v4.1 transcript:Potri.006G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045700.v4.1 MARKRASEPTTIKTTKEAIVTSDKETKPPPPPPRETSNDQPIAPPKKGFIFKLSLLLIAPYFYLLLFHYQIQHDLIKPILINAGLSLAGFFLTVKLIPVASKYVLKRNLFGYDINKKGTPQGTVKVPESLGIVVAVVFMVLTIVFQFLNFAPDSNWLVEYNAALASICFMTLLGFIDDVLDVPWRVKLLLPSIAALPLLMAYAGHTTIIIPKPLVPYIGLKVLDLGFIYKIYMWFLAIFCTNCINIHAGINGLEAGQTVVIASAILIHNVMQIGASADPEYQQAHAFSVYLVQPLLATSLALLSYNWYPSSVFVGDTYTYFAGMTMAVVGILGHFSETLLIFFLAQVLNFLLSVPQLFGFRHCPRHRLPRFDPQTGLLTGTNDGTLVNFYLRMFGRKTENSLCVHLLLVQALGCCICFGLRYLLTGWYK >Potri.012G076100.1.v4.1 pep chromosome:Pop_tri_v4:12:9950918:9953859:-1 gene:Potri.012G076100.v4.1 transcript:Potri.012G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G076100.v4.1 MLVWRCKPPAVPFPLSLGTFVKHFSVIEPKAFPLHRIKAKSLTTAAAIGTHNFSCFDSFSCRQKKQIRLYVDTLLQWNQKMNLTAVTDVDEVMERHIEDSLAILPPIQDSYVSHCNASIDSIKLVDVGTGAGLPGLVLAIACPAWKVTLLESMNKRCDFLEHAISVTGLSNVEVVRGRAENLGQNVSFREKFDVAVARAVAEMRILAEYCLPLVRVGGLFIAAKGHDPQEEVRNAERSLKLMGSSTLQLCSVESRSPYGQRTAIICSKDRPTPRKYPRDPGTPAKLPL >Potri.014G124300.1.v4.1 pep chromosome:Pop_tri_v4:14:8356886:8359237:1 gene:Potri.014G124300.v4.1 transcript:Potri.014G124300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124300.v4.1 MVERSNCSISKVQNKIKLLPPFLLKLSPKDMKSQLSSNDEDECQLSRLGYCWWRSAAKFDECVRLKLDLPHIASLTPRLRVLKELERLALIAHEGLNELRYKLQMYRSGDFWVPTGGLKKEEMDIPPVITILLVGFSGSGKSSLVNLMYSVFGRSGLIPFARTSSGGATKYTTMYMEEHNVMRSMQGGFCVYDSRGFNYGKIGDALEELSSWMSDGIHHNQLCLRSGDDVLLEADTETVGLRSSSKFVQRTVNIPMVVVNIAEVHKASKASDSKPLEATRELFHSPALRKCNENPILILTHGDLLTTEERMDMRLRLCERLGISETNGVYDIVCLTEYGFLAEESDPVTAYALAEAVYRALLISDRGHSPKKNLQDWALFVLSWLMCFMGALFSFLADLCSKLGQRDRLKH >Potri.012G020500.1.v4.1 pep chromosome:Pop_tri_v4:12:2155191:2158867:-1 gene:Potri.012G020500.v4.1 transcript:Potri.012G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020500.v4.1 MEAFYYLVFGALSAVVLGIELSKTTKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYFLYTTYGFGKGDIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYRILMIGRILGGIATSLLFSSFESWLVAEHNKRGFEQQWLSLTFSKAIFLGNGLVAILSGLFGNLLVDTFQLGPVAPFDAAACFLAIGMAIIMSSWSENYGDPSENKDLLAQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSLASRLMARSSPRVESYMQIVFVVSSASLLLPIVSSFLVPPPKEKAEGISFSSCLQILGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAFPITIMFGMCSIFLFVASILQRRLMMIADKTKTEERSMKERDTEAEPLNI >Potri.012G038900.2.v4.1 pep chromosome:Pop_tri_v4:12:3471080:3476703:1 gene:Potri.012G038900.v4.1 transcript:Potri.012G038900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038900.v4.1 MLCYRCFYSSPNYSLIPSPTISNKNKNLLFKTFPFLSSQKPNFTSSLYCSHSPSQIMDLDNKKDQESRSLFDFLSQKAYTPPSWASLLNPIPSHIFSLGHLPTPIHKWNLPNLPTNTEVYLKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRASKVVVDKDPGLTGNLLVERLVGANVQLISKEEYAQIGSVNLTNDLKEKLVKEGRKPYVIPVGGSNSLGTWGYIEAIREIEQQVQATTGRIKFDDIVVACGSGGTIAGLSLGSWLGTLKAKVHAFAVCDDPDYFYNFVQDLIDGLKAGVDSHDIVNIQNAKGLGYAINTSEELKFVKEIATATGVVLDPVYSGKAAYGMMKDMAENPKNWEGRKVLFIHTGGLLGLFDKVDQMSSLVENWGRMEVQESVPRKDGIGKMF >Potri.012G038900.4.v4.1 pep chromosome:Pop_tri_v4:12:3471077:3476633:1 gene:Potri.012G038900.v4.1 transcript:Potri.012G038900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038900.v4.1 MLCYRCFYSSPNYSLIPSPTISNKNKNLLFKTFPFLSSQKPNFTSSLYCSHSPSQIMDLDNKKDQESRSLFDFLSQKAYTPPSWASLLNPIPSHIFSLGHLPTPIHKWNLPNLPTNTEVYLKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRASKVVVDKDPGLTGNLLVERLVGANVQLISKEEYAQIGSVNLTNDLKEKLVKEGRKPYVIPVGGSNSLGTWGYIEAIREIEQQVQATTGRIKFDDIVVACGSGGTIAGLSLGSWLGTLKAKVHAFAVCDDPDYFYNFVQDLIDGLKAGVDSHDIVNIQNAKGLGYAINTSEELKFVKEIATATGVVLDPVYSGKAAYGMMKDMAENPKNWEGRKVLFIHTGGLLGLFDKVDQMSSLVENWGRMEVQESVPRKDGIGKMF >Potri.012G038900.7.v4.1 pep chromosome:Pop_tri_v4:12:3471079:3476569:1 gene:Potri.012G038900.v4.1 transcript:Potri.012G038900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038900.v4.1 MLCYRCFYSSPNYSLIPSPTISNKNKNLLFKTFPFLSSQKPNFTSSLYCSHSPSQIMDLDNKKDQESRSLFDFLSQKAYTPPSWASLLNPIPSHIFSLGHLPTPIHKWNLPNLPTNTEVYLKRDDLSGMQLSGNKVRKLEFLMADAVAQGADCIITIGGIQSNHCRATAVAAKYLNLDCYLILRASKVVVDKDPGLTGNLLVERLVGANVQLISKEEYAQIGSVNLTNDLKEKLVKEGRKPYVIPVGGSNSLGTWGYIEAIREIEQQVQATTGRIKFDDIVVACGSGGTIAGLSLGSWLGTLKAKVHAFAVCDDPDYFYNFVQDLIDGLKAGVDSHDIVNIQNAKGLGYAINTSEELKFVKEIATATGVVLDPVYSGKAAYGMMKDMAENPKNWEGRKVLFIHTGGLLGLFDKVDQMSSLVENWGRMEVQESVPRKDGIGKMF >Potri.007G067000.1.v4.1 pep chromosome:Pop_tri_v4:7:8605058:8606943:1 gene:Potri.007G067000.v4.1 transcript:Potri.007G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067000.v4.1 MIIMFFVKPGLETKEACEQAYFKMDNALIDDRRIHVGFSQSVAKLLSQDRRKDNQPAGRGCFKCGSPDHMARDCTGDPANKHHPLKNILKDDDMQHGGDNNSRYEMVFDGDTPESPRPEKRGTGIVTEIGDMERTDDVLKVKEVNILRSDLIEKSIWIEEKNEEMEEETMEITERKIQIVKEGGNDHKDDQDYRKRSSDSHRDHDSHKKRDERDHRHRSAESDNDRECHRDRSNRDDKSSRALR >Potri.007G067000.4.v4.1 pep chromosome:Pop_tri_v4:7:8605058:8606943:1 gene:Potri.007G067000.v4.1 transcript:Potri.007G067000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067000.v4.1 MIIMFFVKPGLETKEACEQAYFKMDNALIDDRRIHVGFSQSVAKLLSQDRRKDNQPGRGCFKCGSPDHMARDCTGDPANKHHPLKNILKDDDMQHGGDNNSRYEMVFDGDTPESPRPEKRGTGIVTEIGDMERTDDVLKVKEVNILRSDLIEKSIWIEEKNEEMEEETMEITERKIQIVKEGGNDHKDDQDYRKRSSDSHRDHDSHKKRDERDHRHRSAESDNDRECHRDRSNRDDKSSRALR >Potri.007G067000.2.v4.1 pep chromosome:Pop_tri_v4:7:8605058:8606942:1 gene:Potri.007G067000.v4.1 transcript:Potri.007G067000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067000.v4.1 MIIMFFVKPGLETKEACEQAYFKMDNALIDDRRIHVGFSQSVAKLLSQDRRKDNQPGKAGRGCFKCGSPDHMARDCTGDPANKHHPLKNILKDDDMQHGGDNNSRYEMVFDGDTPESPRPEKRGTGIVTEIGDMERTDDVLKVKEVNILRSDLIEKSIWIEEKNEEMEEETMEITERKIQIVKEGGNDHKDDQDYRKRSSDSHRDHDSHKKRDERDHRHRSAESDNDRECHRDRSNRDDKSSRALR >Potri.007G067000.3.v4.1 pep chromosome:Pop_tri_v4:7:8605058:8606942:1 gene:Potri.007G067000.v4.1 transcript:Potri.007G067000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067000.v4.1 MIIMFFVKPGLETKEACEQAYFKMDNALIDDRRIHVGFSQSVAKLLSQDRRKDNQPGKGRGCFKCGSPDHMARDCTGDPANKHHPLKNILKDDDMQHGGDNNSRYEMVFDGDTPESPRPEKRGTGIVTEIGDMERTDDVLKVKEVNILRSDLIEKSIWIEEKNEEMEEETMEITERKIQIVKEGGNDHKDDQDYRKRSSDSHRDHDSHKKRDERDHRHRSAESDNDRECHRDRSNRDDKSSRALR >Potri.015G068200.1.v4.1 pep chromosome:Pop_tri_v4:15:9363316:9364476:-1 gene:Potri.015G068200.v4.1 transcript:Potri.015G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068200.v4.1 MISMPPFHLLCTLIGLLLLPLHINSADPDLLQDFCVADLKAPLSVNGFPCKPEAKVTSDDFFFDGLSKEGNTTNIFGWSVTAANVLAFPGLNSLGISMNRVDFAPGGLNPPHSHPRATETGVIIEGKLLVGFVTTSNVFHSKVLTVGQMFVVPRGLVHFQLNVGEGKALLFTAFNSHLPGSAVVPTTLFASRPSIPDDVLTKAFQVGNDVIDNIKSKFSS >Potri.013G024200.8.v4.1 pep chromosome:Pop_tri_v4:13:1543444:1546967:-1 gene:Potri.013G024200.v4.1 transcript:Potri.013G024200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024200.v4.1 MDPIKPSQVIIAEDCFQLSNIPNDRLGQVLVKSVEKIYGGHVLKHTVLGDYVKEKVPSLKHFMSNEIKELEHNIPSLAALSNAMRSLERYEFKDNHGEWITAVKPELGPAISERVWEAVRTTGENVDACHSVKTELHAALATLLQDFGILAIPTVSGLPPKLQTDPTTLKIFRAKAFGLLSIAGVSGFCQVSIPLGMYDNLPVSVSLLAKQGSDAFLLNVVESLYGTLKEQVEITEK >Potri.013G024200.5.v4.1 pep chromosome:Pop_tri_v4:13:1543491:1547001:-1 gene:Potri.013G024200.v4.1 transcript:Potri.013G024200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024200.v4.1 MARDPDYGAFVDKFVLKLTSSAHDQPLQGLTFAVKDIFDMEGYVTGFGHPDWARTHSAATSTAPAVLAVLRGGATCVGKTVMDEMAYSIDGENIHYGTPINPCAPDRVPGGSSSGSAVAVGAKIVDFSLGTDTGGSVRVPASYCGILGFRPSYDAVPSAGVVPLAQSFDTVGWFARDPVILSRVGHILLQSPVMDPIKPSQVIIAEDCFQLSNIPNDRLGQVLVKSVEKIYGGHVLKHTVLGDYVKEKVPSLKHFMSNEIKELEHNIPSLAALSNAMRSLERYEFKDNHGEWITAVKPELGPAISERVWEAVRTTGENVDACHSVKTELHAALATLLQDFGILAIPTVSGLPPKLQTDPTTLKIFRAKAFGLLSIAGVSGFCQVSIPLGMYDNLPVSVSLLAKQGSDAFLLNVVESLYGTLKEQVEITEK >Potri.008G056600.6.v4.1 pep chromosome:Pop_tri_v4:8:3335819:3339648:1 gene:Potri.008G056600.v4.1 transcript:Potri.008G056600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056600.v4.1 MRRYSPPHYSSPRRGHGGRGRSPPRRGRGGGGGRYGRSKDQDSGSLLVRNIPRDCRPEELRGMFERFGVVRDVYIPKDYHTGEPRGFGFVQFVEPSDAMEAQHHMNGQVFAGRQMFVVVAAETRKRPEEMRHRTRVRGPADHGGRSSYSRRSRSRSFSRSPRHYHVSRSRYRSRSYSPAPQRRDYSPSPERRYADHLRSPRGPPPERDGGHNRRLYSPHYGHGDDLNKNNNGFGEKLAYDFVEARAWRPSPGRPSSSPSGSRTTSADLSPRHAR >Potri.008G056600.2.v4.1 pep chromosome:Pop_tri_v4:8:3335516:3339710:1 gene:Potri.008G056600.v4.1 transcript:Potri.008G056600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056600.v4.1 MRRYSPPHYSSPRRGHGGRGRSPPRRGRGGGGGRYGRSKDQDSGSLLVRNIPRDCRPEELRGMFERFGVVRDVYIPKDYHTGEPRGFGFVQFVEPSDAMEAQHHMNGQVFAGRQMFVVVAAETRKRPEEMRHRTRVRGPADHGGRSSYSRRSRSRSFSRSPRHYHVSRSRYRSRSYSPAPQRRDYSPSPERRYADHLRSPRGPPPERDGGHNRRLYSPHYGHGDDLNKNNNGFGEKLAYDFVEARAWRPSPGRPSSSPSGSRTTSADLSPRHAR >Potri.008G056600.4.v4.1 pep chromosome:Pop_tri_v4:8:3335523:3339692:1 gene:Potri.008G056600.v4.1 transcript:Potri.008G056600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056600.v4.1 MRRYSPPHYSSPRRGHGGRGRSPPRRGRGGGGGRYGRSKDQDSGSLLVRNIPRDCRPEELRGMFERFGVVRDVYIPKDYHTGEPRGFGFVQFVEPSDAMEAQHHMNGQVFAGRQMFVVVAAETRKRPEEMRHRTRVRGPADHGGRSSYSRRSRSRSFSRSPRHYHVSRSRYRSRSYSPAPQRRDYSPSPERRYADHLRSPRGPPPERDGGHNRRLYSPHYGHGDDLNKNNNGFGEKLAYDFVEARAWRPSPGRPSSSPSGSRTTSADLSPRHAR >Potri.008G056600.1.v4.1 pep chromosome:Pop_tri_v4:8:3335523:3339693:1 gene:Potri.008G056600.v4.1 transcript:Potri.008G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056600.v4.1 MRRYSPPHYSSPRRGHGGRGRSPPRRGRGGGGGRYGRSKDQDSGSLLVRNIPRDCRPEELRGMFERFGVVRDVYIPKDYHTGEPRGFGFVQFVEPSDAMEAQHHMNGQVFAGRQMFVVVAAETRKRPEEMRHRTRVRGPADHGGRSSYSRRSRSRSFSRSPRHYHVSRSRYRSRSYSPAPQRRDYSPSPERRYADHLRSPRGPPPERDGGHNRRLYSPHYGHGDDLNKNNNGFGEKLAYDFVEARAWRPSPGRPSSSPSGSRTTSADLSPRHAR >Potri.016G082300.1.v4.1 pep chromosome:Pop_tri_v4:16:6341842:6343201:1 gene:Potri.016G082300.v4.1 transcript:Potri.016G082300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082300.v4.1 MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRKNHSLEGLQANIQRLKTYKAKLVVFPRHARKFKAGDSAPEELATATQVQGHIMPIACEKPSVELVKVTEEMKSFKAYDKLRVERTNARHVGARLKRAAEAEKEEKK >Potri.016G082300.2.v4.1 pep chromosome:Pop_tri_v4:16:6341161:6343202:1 gene:Potri.016G082300.v4.1 transcript:Potri.016G082300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082300.v4.1 MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRKNHSLEGLQANIQRLKTYKAKLVVFPRHARKFKAGDSAPEELATATQVQGHIMPIACEKPSVELVKVTEEMKSFKAYDKLRVERTNARHVGARLKRAAEAEKEEKK >Potri.004G103800.3.v4.1 pep chromosome:Pop_tri_v4:4:9110714:9113239:-1 gene:Potri.004G103800.v4.1 transcript:Potri.004G103800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G103800.v4.1 MAVPVIKFPTLMVLVRLMGVMVSALVLTWTVHYRGGLALVSDNKDLIFNVHPVLMVIGLVLLNGEAMLAYKTVSGTKSFKKLVHLTLQFLAFCLSLIGLWAAWKFHNDKGINNFYSLHSWLGLACLLLFGIQWAAGFVTFWYPGGSRNSRATLLPWHVFFGVYIYALAVATATTGILEKATFLQTNKVISHYSAEALLVNLLGILMIALGGLVVLATITSLNSKGDIPRNATE >Potri.004G103800.1.v4.1 pep chromosome:Pop_tri_v4:4:9110712:9113273:-1 gene:Potri.004G103800.v4.1 transcript:Potri.004G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G103800.v4.1 MAVPVIKFPTLMVLVRLMGVMVSALVLTWTVHYRGGLALVSDNKDLIFNVHPVLMVIGLVLLNGEAMLAYKTVSGTKSFKKLVHLTLQFLAFCLSLIGLWAAWKFHNDKGINNFYSLHSWLGLACLLLFGIQWAAGFVTFWYPGGSRNSRATLLPWHVFFGVYIYALAVATATTGILEKATFLQTNKVISHYSAEALLVNLLGILMIALGGLVVLATITSLNSKGDIPRNATE >Potri.014G068600.1.v4.1 pep chromosome:Pop_tri_v4:14:4334180:4336538:-1 gene:Potri.014G068600.v4.1 transcript:Potri.014G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068600.v4.1 MGRMHSKGKGISASALPYKRTSPSWLKISPQDVDDNICKFAKKGLTPSQIGVILRDSHGIAQVKTVTGNQILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESTTASTLVA >Potri.011G041400.9.v4.1 pep chromosome:Pop_tri_v4:11:3208377:3215672:1 gene:Potri.011G041400.v4.1 transcript:Potri.011G041400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041400.v4.1 MEALPDHLRCKRTDGRQWRCNRRVMEDKKLCEIHHLQGRHRQYRRKVPENLKLQRKKSKKSATSSSNAETLIRVSSKEGKLGKFKKKGKKLKRGDLQLDLIRMVLQKEMEKRKSKKRKSFSEKVSVDNGDDNDNVNDCSESEEGEGEELMRNLPNGFMAISPAKSFGNGNVGCSSSHCDIKIGGDVFNGASTARRCFRSKNVEPMPIGKLQVLPYKRDGVRLRKGKRKKCHWCRSSTRTLIRCSSCRKEYYCLDCIKEQYLETQEEVRRECPMCRGTCSCKVCSAIQCRDIACKDLSKEKSEVDNVLHFHYLICMLLPILKQINQDQSIELEIEAKIKGQKPSEVQIQQAEVSCNKQCCCNNCKTSIVDFHRSCPECSYNLCLSCCRDIFHGGVHGGVKTLLCKCPNGRKACIPGKQLSEMKSLCATKQSYGSKYLGSTFSPCRSAVDRNGGIPCPSRKFGGCGGSLLDLSCIFPLCWTKDLEVNAEELVGCYELPETLDVRSCCSLCVGMDCESNGIEQLQEAAAREDSGDNLLYYPTIIDVRSDNLEHFQKHWGRGQPVIVRNVLQSTSDLSWDPIVMFCNYLKNNAARSQNGQATDCSDWFEVEIGIRQMFMGSFKGLTNANIWHEKLKLKGLLSSYLFQEHFPVHYSHVLQALPLPEYMDPISGVLNIAADLGQETSKSDLGPCLYISYGSGENLSQADSVTKLRYNSYDVVNILAHATDVPVSTKQLNYIRKLMTKHKDQNKQSGEAPLDEENIEVELHDMFREDMQVNKKVARVSWFSAARHETHASNLKDRDVFHDGDSGSDSDSDSDSHTDTDTEVSKFFFGPVKSSRSSDNLKFHGNHSETSNHFISESCGAQWDVFRKQDVPKLVEYLRRHSNEFTHTYGFQKHMVHPILDQNFFLDAYHKMRLKEEFKIEPWSFDQHVGEAVIVPAGCPYQIRNLKSCVSVVLDFLSPENVTECIQLMDELRQLPENHKAKVDSLEVKKMALHSISRAVRKIHELTRAE >Potri.011G041400.8.v4.1 pep chromosome:Pop_tri_v4:11:3208554:3215836:1 gene:Potri.011G041400.v4.1 transcript:Potri.011G041400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041400.v4.1 MEALPDHLRCKRTDGRQWRCNRRVMEDKKLCEIHHLQGRHRQYRRKVPENLKLQRKKSKKSATSSSNAETLIRVSSKEGKLGKFKKKGKKLKRGDLQLDLIRMVLQKEMEKRKSKKRKSFSEKVSVDNGDDNDNVNDCSESEEGEGEELMRNLPNGFMAISPAKSFGNGNVGCSSSHCDIKIGGDVFNGASTARRCFRSKNVEPMPIGKLQVLPYKRDGVRLRKGKRKKCHWCRSSTRTLIRCSSCRKEYYCLDCIKEQYLETQEEVRRECPMCRGTCSCKVCSAIQCRDIACKDLSKEKSEVDNVLHFHYLICMLLPILKQINQDQSIELEIEAKIKGQKPSEVQIQQAEVSCNKQCCCNNCKTSIVDFHRSCPECSYNLCLSCCRDIFHGGVHGGVKTLLCKCPNGRKACIPGKQLSEMKSLCATKQSYGSKYLGSTFSPCRSAVDRNGGIPCPSRKFGGCGGSLLDLSCIFPLCWTKDLEVNAEELVGCYELPETLDVRSCCSLCVGMDCESNGIEQLQEAAAREDSGDNLLYYPTIIDVRSDNLEHFQKHWGRGQPVIVRNVLQSTSDLSWDPIVMFCNYLKNNAARSQNGQATDCSDWFEVEIGIRQMFMGSFKGLTNANIWHEKLKLKGLLSSYLFQEHFPVHYSHVLQALPLPEYMDPISGVLNIAADLGQETSKSDLGPCLYISYGSGENLSQADSVTKLRYNSYDVVNILAHATDVPVSTKQLNYIRKLMTKHKDQNKQSGEAPLDEENIEVELHDMFREDMQVNKKVARVSWFSAARHETHASNLKDRDVFHDGDSGSDSDSDSDSHTDTDTEVSKFFFGPVKSSRSSDNLKFHGNHSETSNHFISESCGAQWDVFRKQDVPKLVEYLRRHSNEFTHTYGFQKHMVHPILDQNFFLDAYHKMRLKEEFKIEPWSFDQHVGEAVIVPAGCPYQIRNLKSCVSVVLDFLSPENVTECIQLMDELRQLPENHKAKVDSLEVKKMALHSISRAVRKIHELTRAE >Potri.011G041400.7.v4.1 pep chromosome:Pop_tri_v4:11:3208377:3215836:1 gene:Potri.011G041400.v4.1 transcript:Potri.011G041400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041400.v4.1 MEALPDHLRCKRTDGRQWRCNRRVMEDKKLCEIHHLQGRHRQYRRKVPENLKLQRKKSKKSATSSSNAETLIRVSSKEGKLGKFKKKGKKLKRGDLQLDLIRMVLQKEMEKRKSKKRKSFSEKVSVDNGDDNDNVNDCSESEEGEGEELMRNLPNGFMAISPAKSFGNGNVGCSSSHCDIKIGGDVFNGASTARRCFRSKNVEPMPIGKLQVLPYKRDGVRLRKGKRKKCHWCRSSTRTLIRCSSCRKEYYCLDCIKEQYLETQEEVRRECPMCRGTCSCKVCSAIQCRDIACKDLSKEKSEVDNVLHFHYLICMLLPILKQINQDQSIELEIEAKIKGQKPSEVQIQQAEVSCNKQCCCNNCKTSIVDFHRSCPECSYNLCLSCCRDIFHGGVHGGVKTLLCKCPNGRKACIPGKQLSEMKSLCATKQSYGSKYLGSTFSPCRSAVDRNGGIPCPSRKFGGCGGSLLDLSCIFPLCWTKDLEVNAEELVGCYELPETLDVRSCCSLCVGMDCESNGIEQLQEAAAREDSGDNLLYYPTIIDVRSDNLEHFQKHWGRGQPVIVRNVLQSTSDLSWDPIVMFCNYLKNNAARSQNGQATDCSDWFEVEIGIRQMFMGSFKGLTNANIWHEKLKLKGLLSSYLFQEHFPVHYSHVLQALPLPEYMDPISGVLNIAADLGQETSKSDLGPCLYISYGSGENLSQADSVTKLRYNSYDVVNILAHATDVPVSTKQLNYIRKLMTKHKDQNKQSGEAPLDEENIEVELHDMFREDMQVNKKVARVSWFSAARHETHASNLKDRDVFHDGDSGSDSDSDSDSHTDTDTEVSKFFFGPVKSSRSSDNLKFHGNHSETSNHFISESCGAQWDVFRKQDVPKLVEYLRRHSNEFTHTYGFQKHMVHPILDQNFFLDAYHKMRLKEEFKIEPWSFDQHVGEAVIVPAGCPYQIRNLKSCVSVVLDFLSPENVTECIQLMDELRQLPENHKAKVDSLEVKKMALHSISRAVRKIHELTRAETSMDLNG >Potri.011G041400.1.v4.1 pep chromosome:Pop_tri_v4:11:3208247:3215784:1 gene:Potri.011G041400.v4.1 transcript:Potri.011G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041400.v4.1 MEALPDHLRCKRTDGRQWRCNRRVMEDKKLCEIHHLQGRHRQYRRKVPENLKLQRKKSKKSATSSSNAETLIRVSSKEGKLGKFKKKGKKLKRGDLQLDLIRMVLQKEMEKRKSKKRKSFSEKVSVDNGDDNDNVNDCSESEEGEGEELMRNLPNGFMAISPAKSFGNGNVGCSSSHCDIKIGGDVFNGASTARRCFRSKNVEPMPIGKLQVLPYKRDGVRLRKGKRKKCHWCRSSTRTLIRCSSCRKEYYCLDCIKEQYLETQEEVRRECPMCRGTCSCKVCSAIQCRDIACKDLSKEKSEVDNVLHFHYLICMLLPILKQINQDQSIELEIEAKIKGQKPSEVQIQQAEVSCNKQCCCNNCKTSIVDFHRSCPECSYNLCLSCCRDIFHGGVHGGVKTLLCKCPNGRKACIPGKQLSEMKSLCATKQSYGSKYLGSTFSPCRSAVDRNGGIPCPSRKFGGCGGSLLDLSCIFPLCWTKDLEVNAEELVGCYELPETLDVRSCCSLCVGMDCESNGIEQLQEAAAREDSGDNLLYYPTIIDVRSDNLEHFQKHWGRGQPVIVRNVLQSTSDLSWDPIVMFCNYLKNNAARSQNGQATDCSDWFEVEIGIRQMFMGSFKGLTNANIWHEKLKLKGLLSSYLFQEHFPVHYSHVLQALPLPEYMDPISGVLNIAADLGQETSKSDLGPCLYISYGSGENLSQADSVTKLRYNSYDVVNILAHATDVPVSTKQLNYIRKLMTKHKDQNKQSGEAPLDEENIEVELHDMFREDMQVNKKVARVSWFSAARHETHASNLKDRDVFHDGDSGSDSDSDSDSHTDTDTEVSKFFFGPVKSSRSSDNLKFHGNHSETSNHFISESCGAQWDVFRKQDVPKLVEYLRRHSNEFTHTYGFQKHMVHPILDQNFFLDAYHKMRLKEEFKIEPWSFDQHVGEAVIVPAGCPYQIRNLKSCVSVVLDFLSPENVTECIQLMDELRQLPENHKAKVDSLEVKKMALHSISRAVRKIHELTRAETSMDLNG >Potri.008G109966.1.v4.1 pep chromosome:Pop_tri_v4:8:6974911:6978921:-1 gene:Potri.008G109966.v4.1 transcript:Potri.008G109966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109966.v4.1 MNTLFSSKILFPCFLFFFVSLDCISCTDKMLYQDGLENYFQLALPAVVGPESIAFDCNGKGPYVSVSDGRILKWQGAKLGWIEFSVSSPQRDRHMCDGSTNTKLEPVCGRPLGLKFNSATCDLYIADAYYGLLVVGPEGGVATQLAASAEGVPFRFMNALDVDSRTGVVYFTDSSIYFQRREYLLAIISADKTGRLMKYDPNSKKVTVLLKGLAFPNGVAISKDNSFILVAESFTMRILKFYLVGSEIHGQETFIQLGRFPDNIKRTANGEFWVALNTGRGKIRRLDSTKLQQETSIDWFVDDPVAVRLTSGGKVVNVLDGNGGNALDSVSEVEEYSGLLWLGSSMKPYVGYIKNKK >Potri.001G152100.2.v4.1 pep chromosome:Pop_tri_v4:1:12740657:12747393:-1 gene:Potri.001G152100.v4.1 transcript:Potri.001G152100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152100.v4.1 MTTGGDKPFISVHPDDLKFIFELEKQSFCDLKVVNNTEHHVAFKVKTTSPKKYFVRPNTGVIQPWDSFVIKVTLQAQREYPLDMQCKDKFLLQSTIVPPPANVDELPPDTFNKDSDGKVIQEMKLRVVYMPTSTTQGNLEDEVLLKGSEKSPDANSAVQHLEDERDAAVRQTQLLQQELDLLRRRRYRKTDPGFSLMFAFVVGLVGILVGFLLNLSLSSPSTE >Potri.003G158701.1.v4.1 pep chromosome:Pop_tri_v4:3:16930587:16934959:-1 gene:Potri.003G158701.v4.1 transcript:Potri.003G158701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158701.v4.1 MERVVLRFLLASAVILTAATVISGERVNETSATAELVWSKGKLESDLLSKPQPGNSDYLSAGADVDDHDGGFSSLDGVLRWTIGHSDPAKLKESAEDVQRLSAKLIEKMNMPSDAQLMQIAIDDLNNSSSSLEDRQRALQELLILVEPLDNANDLNKLGGLAIVIQELNHPDPDIRRLSAWVLGKAYQNNPVVQKQILELGALTKLIKMVKSNSIEEAIKALYAVSALIRNNLSGQELFYAEAGDTMLQEIWRGKWF >Potri.003G158701.2.v4.1 pep chromosome:Pop_tri_v4:3:16930614:16934938:-1 gene:Potri.003G158701.v4.1 transcript:Potri.003G158701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158701.v4.1 MERVVLRFLLASAVILTAATVISGERVNETSATAELVWSKGKLESDLLSKPQPGNSDYLSAGADVDDHDGGFSSLDGVLRWTIGHSDPAKLKESAEDVQRLSAKLIEKMNMPSDAQLMQIAIDDLNNSSSSLEDRQRALQELLILVEPLDNANDLNKLGGLAIVIQELNHPDPDIRRLSAWVLGKAYQNNPVVQKQEIWRGKWF >Potri.013G000900.1.v4.1 pep chromosome:Pop_tri_v4:13:71446:75611:1 gene:Potri.013G000900.v4.1 transcript:Potri.013G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000900.v4.1 MKLFGFWTAAAVLCLFGAWEVNGILEINEEELFYTETNASYYNESKAVFGNNALLVGLTLIKSAAAKGAVCLDGTLPGYHWHRGYGSGANSWLIQLEGGGWCNTVRACVYRKKTRRGSSNYMEKQVAFTGILSNKPEENPDFFNWNRVKLRYCDGASFTGDSEHKAAQLQFRGQRIWSAAMEDLMSSGMRYANQALLSGCSAGGLASILHCDEFRDLFPRTTRVKCLSDAGLFLDVVDVSGGRTLRNVYSGVVGLQGVQNNLPRICTNHLDPTSCFFPQNIIGNVKAPLFILNTAYDSWQIQSSLAPPSADPHGYWSNCRKDHSKCSASQLQFLQGFRNQMLNAIKGFSNSRQNGLFINSCFAHCQSERQDTWFADDSPVLGSRPIALAVGDWYFDRAGEKAIDCPYPCDNSCHNLVFR >Potri.014G132900.5.v4.1 pep chromosome:Pop_tri_v4:14:8897289:8907135:-1 gene:Potri.014G132900.v4.1 transcript:Potri.014G132900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132900.v4.1 MISLGRRDGREDLGIGFGSEREMEDTELEEGEACSYHNINNNEDDYDASMDPDIALSYIDEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPGWSHPRTSPKIQHRNASRSPNNLQLEGGRRSSVSSSTASQSLRLEPSSTVLKTTSSLNESVKQEACVPSTHFAEELVPRDECVNRKSASLQKMLKVRIKVGSDNLSTQKNAAIYSGLGLDVSPSSSMDDSPSESEGMSHDPQDAHLESPNYILQIMTSFPVHGALLLSPLPDDLLHLKEMEKFIKDSGCLSVPRFGPENCCIVVNGSSSVKGDGTMFGEKKIKSMARNELSAESKSDINKDSGIGVGVISKEIELDTFACEELVSNTLKLPLLSNSYSAVVGTSKGMGRASNVSKGVMSDKGFSGLTKEELPVPVFTQENGSIKNSKSKSSGKVWEDRKAISLGSDSVSPRKDGHRKGEKPHESVKIDSNVSKGRKAQNQAPTEPAKQNADEKAMLYEQEGMKLPHAKESSSEGKKKLKGSQSHGTVVAEAPKESFRLNSSLAPKNKKSSYADNYTTKVESEDLKLQKNSGKAGDRYREFFGDMEPEQEEFGMSTLVKSYEDRLEDFEMVELGTHGTNSTSKERSSSKKVDNLLTSEAFPKAASTGALHNGDGPITDTAPAEDNWVCCDKCQTWRLLPPRTNPDDLPEKWLCSMLDWLPGMNRCNFSEDETTLATRSLKQNTSGGDISKETVAGVWHPDQSHQNFGSHAALPGGRKKHGSKELSNMMYKEDGPIQLSNHTKKSLHAPVTNRGLNDVKPALVVSEPDSLKPSKSNLAAEKHKHKPKDKHRGLDNFSDRGGGSKRSKGKGKRDPDQDCFRASKKIRTEGFPEDWTSDHGGAIEKVGPPSSNGLAMASSGKNPPKYNDCTSKNMKHDQKDWAQLSSKNPKEDVRASLDNGSVDMANCDDRDTKKRKVKESHDAQLYRDSLPNTGHHLQDSNIMAKEEFSENDYRKVKKPRVSRSEGKEASGSKSNGRTDKKGSHRKNQQLRHDLGSTLSQRSLDGVDSLKRDSGSLHVAANSSSSKVSGSHKTKSNFPDAKGSPVESVSSSPMRVSKPEKLASARKNVTKKDASVDAGFFAPGGPRRFSDGEDDGGNDQSGTARKAKTLVHIVPSPDIADGHLSNDVDFLSQNTPHRSKPAALDPCHDNERRNENHHLVNGSRPRKSGKGSSSRSKDKTRKFNSEFENEVKVSNSFNAEAPSYEVRPTNCKNKTEVKLGIKPEENEDRYVDKKDYQGQVLSDNSKRVNQLNVRGPNGSDVEVGATRNHDAVSTPKQSVLIDNEKVSDRGTTQSLPSSGGAQNETLAGSPHPNSLSHQGNSANMLVVNASAGENTEMKELKQSRKVNDPNGIDHHHHSSSRNASSNGHRVRDLDGPSSVKRDSSSQAANNALKEAKNMKHMADRVKNAGSNLESTRLYFEAALKFLHGASLLEICSGESAKNGEPMQVYSSTAKLCEFCAHEYEKSKDMAAAALAYKCMEVAYMRAIYSSHTTANRDRHELQMALQIIPPGESPSSSASDIDNLNHTTIADKVPLTKGVSSPQVTGSHIIAARNRPSFVRLLRFVSIFRFLC >Potri.014G132900.1.v4.1 pep chromosome:Pop_tri_v4:14:8897126:8907274:-1 gene:Potri.014G132900.v4.1 transcript:Potri.014G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132900.v4.1 MISLGRRDGREDLGIGFGSEREMEDTELEEGEACSYHNINNNEDDYDASMDPDIALSYIDEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPGWSHPRTSPKIQHRNASRSPNNLQLEGGRRSSVSSSTASQSLRLEPSSTVLKTTSSLNESVKQEACVPSTHFAEELVPRDECVNRKSASLQKMLKVRIKVGSDNLSTQKNAAIYSGLGLDVSPSSSMDDSPSESEGMSHDPQDAHLESPNYILQIMTSFPVHGALLLSPLPDDLLHLKEMEKFIKDSGCLSVPRFGPENCCIVVNGSSSVKGDGTMFGEKKIKSMARNELSAESKSDINKDSGIGVGVISKEIELDTFACEELVSNTLKLPLLSNSYSAVVGTSKGMGRASNVSKGVMSDKGFSGLTKEELPVPVFTQENGSIKNSKSKSSGKVWEDRKAISLGSDSVSPRKDGHRKGEKPHESVKIDSNVSKGRKAQNQAPTEPAKQNADEKAMLYEQEGMKLPHAKESSSEGKKKLKGSQSHGTVVAEAPKESFRLNSSLAPKNKKSSYADNYTTKVESEDLKLQKNSGKAGDRYREFFGDMEPEQEEFGMSTLVKSYEDRLEDFEMVELGTHGTNSTSKERSSSKKVDNLLTSEAFPKAASTGALHNGDGPITDTAPAEDNWVCCDKCQTWRLLPPRTNPDDLPEKWLCSMLDWLPGMNRCNFSEDETTLATRSLKQNTSGGDISKETVAGVWHPDQSHQNFGSHAALPGGRKKHGSKELSNMMYKEDGPIQLSNHTKKSLHAPVTNRGLNDVKPALVVSEPDSLKPSKSNLAAEKHKHKPKDKHRGLDNFSDRGGGSKRSKGKGKRDPDQDCFRASKKIRTEGFPEDWTSDHGGAIEKVGPPSSNGLAMASSGKNPPKYNDCTSKNMKHDQKDWAQLSSKNPKEDVRASLDNGSVDMANCDDRDTKKRKVKESHDAQLYRDSLPNTGHHLQDSNIMAKEEFSENDYRKVKKPRVSRSEGKEASGSKSNGRTDKKGSHRKNQQLRHDLGSTLSQRSLDGVDSLKRDSGSLHVAANSSSSKVSGSHKTKSNFPDAKGSPVESVSSSPMRVSKPEKLASARKNVTKKDASVDAGFFAPGGPRRFSDGEDDGGNDQSGTARKAKTLVHIVPSPDIADGHLSNDVDFLSQNTPHRSKPAALDPCHDNERRNENHHLVNGSRPRKSGKGSSSRSKDKTRKFNSEFENEVKVSNSFNAEAPSYEVRPTNCKNKTEVKLGIKPEENEDRYVDKKDYQGQVLSDNSKRVNQLNVRGPNGSDVEVGATRNHDAVSTPKQSVLIDNEKVSDRGTTQSLPSSGGAQNETLAGSPHPNSLSHQGNSANMLVVNASAGENTEMKELKQSRKVNDPNGIDHHHHSSSRNASSNGHRVRDLDGPSSVKRDSSSQAANNALKEAKNMKHMADRVKNAGSNLESTRLYFEAALKFLHGASLLEICSGESAKNGEPMQVYSSTAKLCEFCAHEYEKSKDMAAAALAYKCMEVAYMRAIYSSHTTANRDRHELQMALQIIPPGESPSSSASDIDNLNHTTIADKVPLTKGVSSPQVTGSHIIAARNRPSFVRLLRFAQDVNSAMEASRKSRLAFAAANVSLGEARCGEGISSIKTALDFNFQDVEGLLRLVRLAIEAISR >Potri.001G410400.1.v4.1 pep chromosome:Pop_tri_v4:1:44255025:44257647:1 gene:Potri.001G410400.v4.1 transcript:Potri.001G410400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410400.v4.1 MPEAPKNTLKTSDYNLAEKNKISLQFIEDVTSNADEVQKKVLEEILSRNAHVEYLQRHGLNGQTNRETFKKAVPVITYEDIQPDINRIANGDTSQILCSKPISEFLTSSGTSGGERKLMPTIEEELGRRSLLYSLLMPVMTQFVPGLEKGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSTHFKDRPYDPYTNYTSPNETILCPDSYQSMYSQMLCGLCQHKEVLRVGAVFASGFIRAIRFLEKHWKLLANDIRTGIIDPQITDPSVREAVMKILKSDPKLADFIEAECSKESWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPICKPSEVSYTLIPTMAYFEFLPVHRNNGVINSVSMPKSLNEKEQQELVDLVDVKLDQEYELVVTTYAGLYRYRVGDVLRVVGFKNKAPRFSFICRKNVVLSIDSDKTDEVELQSAVKNAVNHLIPFDATLAEYTSYADTTTIPGHYVLFWELSLNGSTPIPPSVFEDCCLTIEESLNSVYRQGRASDKSIGPLEIKIVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRVVTCYFSPKCPKWAPGHKQWSKN >Potri.015G027700.1.v4.1 pep chromosome:Pop_tri_v4:15:2103427:2105092:-1 gene:Potri.015G027700.v4.1 transcript:Potri.015G027700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G027700.v4.1 MEDTIVLYPSPGRGHLFSMVELGKQILEHHPSISITIIISAMPTESISIDDPYFSTLCNTNPSITLIHLPQVSLPPNTSFSPLDFVASFFELPELNNTNLHQTLLNLSKSSNIKAFIIDFFCSAAFEFVSSRHNIPIYFFYTTCASGLSMFLHLPILDKIITKSLKDLDIIIDLPGIPKIPSKELPPAISDRSHRVYQYLVDTAKLMIKSAGLIINTFELLERKALQAIQEGKCGAPDEPVPPLFCVGPLLTTSESKSEHECLTWLDSQPTRSVLFLCFGSMGVFNSRQLRETAIGLEKSGVRFLWVVRPPLADSQTQAGRSSTPNEPCLDLLLPEGFLERTKDRGFLVNSWAPQVEILNHGSVGGFVTHCGWNSVLEALCAGVPMVAWPLYAEQRMNRIFLVEEMKVALAFREAGDDHFVNAAELEERVIELMNSKKGEAVRERVLKLREDAVVAKSDGGSSCIAMAKLVDCFKKG >Potri.015G027700.2.v4.1 pep chromosome:Pop_tri_v4:15:2103424:2104902:-1 gene:Potri.015G027700.v4.1 transcript:Potri.015G027700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G027700.v4.1 MFLHLPILDKIITKSLKDLDIIIDLPGIPKIPSKELPPAISDRSHRVYQYLVDTAKLMIKSAGLIINTFELLERKALQAIQEGKCGAPDEPVPPLFCVGPLLTTSESKSEHECLTWLDSQPTRSVLFLCFGSMGVFNSRQLRETAIGLEKSGVRFLWVVRPPLADSQTQAGRSSTPNEPCLDLLLPEGFLERTKDRGFLVNSWAPQVEILNHGSVGGFVTHCGWNSVLEALCAGVPMVAWPLYAEQRMNRIFLVEEMKVALAFREAGDDHFVNAAELEERVIELMNSKKGEAVRERVLKLREDAVVAKSDGGSSCIAMAKLVDCFKKG >Potri.018G083100.3.v4.1 pep chromosome:Pop_tri_v4:18:10069022:10073980:-1 gene:Potri.018G083100.v4.1 transcript:Potri.018G083100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083100.v4.1 MGMGRNNPNVRPREGDWICTDPLCGNLNFARRDFCNNCKRPRYRSGGSPRRGYPGPPPLHAPPRHFPGLSLDLSPGRTMNGYRSPPRDWARDRPRDYGSGGPPPRQGGRFAFSDQDMRRDRSDYADDEYRGRNKFDRPMPMDWGHNDHGRDSFFHERKGFERQPPSPPLPPPSLPQRGRWGRKGRDRSRSPISTTKRVHNMYMEQGRRDDRHRVGRGRMRDVY >Potri.018G083100.2.v4.1 pep chromosome:Pop_tri_v4:18:10069054:10073977:-1 gene:Potri.018G083100.v4.1 transcript:Potri.018G083100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083100.v4.1 MPYSSTLGYRLRAGSGSPVRRRDADHRYNSDFDNSGADHRYNSDFDNSGGPSRGRDFSNRKYHGRFQDSSPPYARGRGFDGPGLGPGPHRGEDMGMGRNNPNVRPREGDWICTDPLCGNLNFARRDFCNNCKRPRYRSGGSPRRGYPGPPPLHAPPRHFPGLSLDLSPGRTMNGYRSPPRDWARDRPRDYGSGGPPPRQGGRFAFSDQDMRRDRSDYADDEYRGRNKFDRPMPMDWGHNDHGRDSFFHERKGFERQPPSPPLPPPSLPQRGRWGRKGRDRSRSPISTTKRVHNMYMEQGRRDDRHRVGRGRMRDVY >Potri.018G083100.1.v4.1 pep chromosome:Pop_tri_v4:18:10069009:10074065:-1 gene:Potri.018G083100.v4.1 transcript:Potri.018G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083100.v4.1 MGSRDKDQTTPHHQPLLSSLVVRPSVRDGGDGAGGGGLTGGSDYEPGEFLREPPSYSRPERYSDDPGYRLRAGSGSPVRRRDADHRYNSDFDNSGADHRYNSDFDNSGGPSRGRDFSNRKYHGRFQDSSPPYARGRGFDGPGLGPGPHRGEDMGMGRNNPNVRPREGDWICTDPLCGNLNFARRDFCNNCKRPRYRSGGSPRRGYPGPPPLHAPPRHFPGLSLDLSPGRTMNGYRSPPRDWARDRPRDYGSGGPPPRQGGRFAFSDQDMRRDRSDYADDEYRGRNKFDRPMPMDWGHNDHGRDSFFHERKGFERQPPSPPLPPPSLPQRGRWGRKGRDRSRSPISTTKRVHNMYMEQGRRDDRHRVGRGRMRDVY >Potri.002G107400.12.v4.1 pep chromosome:Pop_tri_v4:2:7964903:7976101:-1 gene:Potri.002G107400.v4.1 transcript:Potri.002G107400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107400.v4.1 MQPEMDSSVSLSKASPLPVVTSVENPPEPYISNSKIEDKSLEGLLVSKTDQVSMSEEVICRPEEIVPILEAKASSDQAFSPPHTSEEGDVVLKLSDFEVASGADTLSVMEPEQLSPDVSNISVPEEICQVDLPQLPPYVELTEEQQKTVRLLAVERIIESYKHLSGTECSQTRMALLARLVAQIDADDDVVVMLQKHVLVDYRQHKGQELVLHFLYHLHSLTILDSVGSASYAAVLYEKFLLVVARSLLDAFPASDKSFSKLLGEVPFLPESAFKLLDDLCHCDIFDSHGKEVRDGERVTQGLGAVWGLILGRPNNRQAFLDIALKCAVHSQDDIRSKAIRLVANKLYQLNYISQNIEQFATNMLLSVVEQHASDIKPSQSVSTDQREGEVVSQEVSVSGSQVSETGNCENDSMKGAQPLVQSVSTMSFPEVQRHISLFFALCTKNPGLLQIVFDIYGQAPKTVKQAVHRHIPVLIRALGSSYSELLRIISDPPEGCENLLMLVLQILTQETTPSANLITTVKHLYETKLEDATILIPILSSLSKNEVLPIFPRLVGLPIEKFQMALAHILQGSAHTGPALTPAEVLVAIHDINPNKDGLPLKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMEILSKLVSRQVWKMPKLWVGFLKCVSQTRPHSFQVLLQLPPPQLESALNKHANLRGPLATYASQPSTKTSLPRSTLAILGLVNERHMQQLPISSLHPSSTSSSAHGANTA >Potri.002G107400.11.v4.1 pep chromosome:Pop_tri_v4:2:7965497:7984541:-1 gene:Potri.002G107400.v4.1 transcript:Potri.002G107400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107400.v4.1 MASMDEALSLLTAANSHGDLTVKLSSLKQAKDVLLSLEPSLAAELFPSLVELQYSPEGIVRQKLVEVIEEIGLKAMENCSILIPVLLGLLRDSDSVVARESIVSGTHLYCGVLEEMALQCHRRGKVERWLEGLWIWMLKFKDAVFAIALEPGPVGIKLLALKFLETYILLFTTETTDSDRLVAEGSRRLFNISWVAGGHPVLDPVSLMSDANKTLVILLDFLWSPGSLPGALMIAVVNCLAAVARKRPLHYETILSALLDFDPKVEKGCHAASIQYSLRTAFLGFLRCTYPTILESRDKLLRALRAMNAGDAAEQAIRQVDKMIKNKERTSREVRFSRDDQPTSQLPVSGDQLRKRSVPMDNEEQANGHEMAQKRSRYGPNILSTTPIQINESGPDSVFDNGVSANVHLSDSDLTPAEQMIAMIGALLAEGERGAESLELLISNIHPDLLADIVITNMKHLPKSSPPLTRLGSLPVTLQNCSSSSPAQAVAPSAPVSSAQGPIPVVTAGNLSLSDAPIVNNFPVDSKRDPRRLLSQDPRRLDPRRTATSVGVPSVAIVDDHGGMQPEMDSSVSLSKASPLPVVTSVENPPEPYISNSKIEDKSLEGLLVSKTDQVSMSEEVICRPEEIVPILEAKASSDQAFSPPHTSEEGDVVLKLSDFEVASGADTLSVMEPEQLSPDVSNISVPEEICQVDLPQLPPYVELTEEQQKTVRLLAVERIIESYKHLSGTECSQTRMALLARLVAQIDADDDVVVMLQKHVLVDYRQHKGQELVLHFLYHLHSLTILDSVGSASYAAVLYEKFLLVVARSLLDAFPASDKSFSKLLGEVPFLPESAFKLLDDLCHCDIFDSHGKEVRDGERVTQGLGAVWGLILGRPNNRQAFLDIALKCAVHSQDDIRSKAIRLVANKLYQLNYISQNIEQFATNMLLSVVEQHASDIKPSQSVSTDQREGEVVSQEVSVSGSQVSETGNCENDSMKGAQPLVQSVSTMSFPEVQRHISLFFALCTKNPGLLQIVFDIYGQAPKTVKQAVHRHIPVLIRALGSSYSELLRIISDPPEGCENLLMLVLQILTQETTPSANLITTVKHLYETKLEDATILIPILSSLSKNEVLPIFPRLVGLPIEKFQMALAHILQGSAHTGPALTPAEVLVAIHDINPNKDGLPLKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMEILSKLVSRQVWKMPKLWVGFLKCVSQTRPHSFQVLLQLPPPQLESALNKHANLRGPLATYASQPSTKTSLPRSTLAILGLVNERHMQQLPISSLHPSSTSSSAHGANTA >Potri.002G107400.1.v4.1 pep chromosome:Pop_tri_v4:2:7964904:7984649:-1 gene:Potri.002G107400.v4.1 transcript:Potri.002G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107400.v4.1 MASMDEALSLLTAANSHGDLTVKLSSLKQAKDVLLSLEPSLAAELFPSLVELQYSPEGIVRQKLVEVIEEIGLKAMENCSILIPVLLGLLRDSDSVVARESIVSGTHLYCGVLEEMALQCHRRGKVERWLEGLWIWMLKFKDAVFAIALEPGPVGIKLLALKFLETYILLFTTETTDSDRLVAEGSRRLFNISWVAGGHPVLDPVSLMSDANKTLVILLDFLWSPGSLPGALMIAVVNCLAAVARKRPLHYETILSALLDFDPKVEKGCHAASIQYSLRTAFLGFLRCTYPTILESRDKLLRALRAMNAGDAAEQAIRQVDKMIKNKERTSREVRFSRDDQPTSQLPVSGDQLRKRSVPMDNEEQANGHEMAQKRSRYGPNILSTTPIQINESGPDSVFDNGVSANVHLSDSDLTPAEQMIAMIGALLAEGERGAESLELLISNIHPDLLADIVITNMKHLPKSSPPLTRLGSLPVTLQNCSSSSPAQAVAPSAPVSSAQGPIPVVTAGNLSLSDAPIVNNFPVDSKRDPRRDPRRLDPRRTATSVGVPSVAIVDDHGGMQPEMDSSVSLSKASPLPVVTSVENPPEPYISNSKIEDKSLEGLLVSKTDQVSMSEEVICRPEEIVPILEAKASSDQAFSPPHTSEEGDVVLKLSDFEVASGADTLSVMEPEQLSPDVSNISVPEEICQVDLPQLPPYVELTEEQQKTVRLLAVERIIESYKHLSGTECSQTRMALLARLVAQIDADDDVVVMLQKHVLVDYRQHKGQELVLHFLYHLHSLTILDSVGSASYAAVLYEKFLLVVARSLLDAFPASDKSFSKLLGEVPFLPESAFKLLDDLCHCDIFDSHGKEVRDGERVTQGLGAVWGLILGRPNNRQAFLDIALKCAVHSQDDIRSKAIRLVANKLYQLNYISQNIEQFATNMLLSVVEQHASDIKPSQSVSTDQREGEVVSQEVSVSGSQVSETGNCENDSMKGAQPLVQSVSTMSFPEVQRHISLFFALCTKNPGLLQIVFDIYGQAPKTVKQAVHRHIPVLIRALGSSYSELLRIISDPPEGCENLLMLVLQILTQETTPSANLITTVKHLYETKLEDATILIPILSSLSKNEVLPIFPRLVGLPIEKFQMALAHILQGSAHTGPALTPAEVLVAIHDINPNKDGLPLKKITDACSACFEQRTVFTQQVLAKALNQMVDQTPLPLLFMRTVIQAIDAFPSLVDFVMEILSKLVSRQVWKMPKLWVGFLKCVSQTRPHSFQVLLQLPPPQLESALNKHANLRGPLATYASQPSTKTSLPRSTLAILGLVNERHMQQLPISSLHPSSTSSSAHGANTA >Potri.015G108800.1.v4.1 pep chromosome:Pop_tri_v4:15:12657164:12661388:1 gene:Potri.015G108800.v4.1 transcript:Potri.015G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108800.v4.1 MINLPEKWQRLWNSSGNMVLLLVLSILAFDVSCTQAFLENGNKIKSRTFLSPEFMLGPGSVESKTYDDIDFPRGHIALKSFNAEVVDQAGNPVPLYETYIHHWLVGKYYEHLPSGRNFSRNSGLCQGQILGQYFGLGSETRKTVTHIPDPFGIEIGNPAEIPEGYQEKWYLGVHAIETRGVEDRLGCIECWCDLYNVTNDEDGNPIRPDYKGGLFCCYGQTQCKVRQGFQGGKRSLYLRYTVKWIDWDSSTIPVEIFVLDVTDTGKRLLGSTGISPENGCQVEYAVESCTATDAAGNGCIDIKRNSLTMPTGGYVIYAVAHQHAGGIGSTLYGQDGNVLCTSIPIYGNGNEAGNEDGYIVGMSSCYPEPGSVKITAGENLTLESNYNSTNKHTGVMGLFYIYIAEQAPNVTFSQAPVQMHESIKVTTYAWSIVVFIGLAVTIAVAVAVHSWLKKRREGGYKPVPK >Potri.015G108800.5.v4.1 pep chromosome:Pop_tri_v4:15:12658802:12661407:1 gene:Potri.015G108800.v4.1 transcript:Potri.015G108800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108800.v4.1 MLGPGSVESKTYDDIDFPRGHIALKSFNAEVVDQAGNPVPLYETYIHHWLVGKYYEHLPSGRNFSRNSGLCQGQILGQYFGLGSETRKTVTHIPDPFGIEIGNPAEIPEGYQEKWYLGVHAIETRGVEDRLGCIECWCDLYNVTNDEDGNPIRPDYKGGLFCCYGQTQCKVRQGFQGGKRSLYLRYTVKWIDWDSSTIPVEIFVLDVTDTGKRLLGSTGISPENGCQVEYAVESCTATDAAGNGCIDIKRNSLTMPTGGYVIYAVAHQHAGGIGSTLYGQDGNVLCTSIPIYGNGNEAGNEDGYIVGMSSCYPEPGSVKITAGENLTLESNYNSTNKHTGVMGLFYIYIAEQAPNVTFSQAPVQMHESIKVTTYAWSIVVFIGLAVTIAVAVAVHSWLKKRREGGYKPVPK >Potri.005G063600.1.v4.1 pep chromosome:Pop_tri_v4:5:4090247:4094314:1 gene:Potri.005G063600.v4.1 transcript:Potri.005G063600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063600.v4.1 MGACKAPISNLLLPSPPPSPPTPNQNATTRRLLLFSLPLLTTATTTNNLPLSTTGAKIASANSRIQLNSCWALAESYDPVSQAEKEASTAISRRVSEAVELLEKGRELQARGDFNEALLYFTLMVENYKDFAFSDYGRVGRALSLYEVGNREEAIAEMEDVSISLKGYPEIHAALAAALYADKHALLLAENQFTIATMLDPHYTDLSYVKETKHWPPSLVSSLQQFITLS >Potri.008G185100.2.v4.1 pep chromosome:Pop_tri_v4:8:12847218:12855843:-1 gene:Potri.008G185100.v4.1 transcript:Potri.008G185100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185100.v4.1 MDTVRLRLVLDNALNTTTEGLRKCWILLKPQHKTISDLSSHIFHVFDLHNACPHGLLLSMEGFALPPFESTSILKDKDIIRVKKKGSTSTEIIKIDDGLNDSLNVVEIIDKPPVTKGTNLLANEEFEKQSDGYETEPEEDVAEEVEDVENSPEVKTVSKKRKASKDLRCPKRKKTKSASAGKCLEVPENVGTNVCAEQNGTLSIVNADKKSNKSRKSTPDTKKRKKNKSAIAEKCLEVSENAGTSVCTGQNGTLTILKSDERSSKRTEATCNAKKSCQPEQNGNGSVDALHTLSGSKKFPSRSARRKKAKRQWLKEQLKAEKKEQNQMQLFSKINQQSSKRDNQNVAEKSPELGSHKLSEEKLREDNQLGEQDSDVEGDVVPIVIRPGHIRFEPLKKGGDDQAVLQNHIPTESFHWNGITSKKKGQKWGKEKAVSCKRNDYNNFRKESSSSLSIEEQTPVYDCTNFEELPLYASLPKEGDVIAYRVVELSLSWTPELSSYRVGKISKYDLESNIVILVQVPGYPVVPEKIDDEASAALPETSPYGEDGSLEIKFSTLHEVRLVRHGNLESAKSIASGSNEVHVRDQDSGTGFSPNNNHEANTSAQENEKRNPWEEINQALTAKKELLSQEDGWKKTESSSRSPWSYKALRGSSLGRTMALLRAQNEI >Potri.008G185100.1.v4.1 pep chromosome:Pop_tri_v4:8:12847268:12855820:-1 gene:Potri.008G185100.v4.1 transcript:Potri.008G185100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185100.v4.1 MDTVRLRLVLDNALNTTTEGLRKCWILLKPQHKTISDLSSHIFHVFDLHNACPHGLLLSMEGFALPPFESTSILKDKDIIRVKKKGSTSTEIIKIDDGLNDSLNVVEIIDKPPVTKGTNLLANEEFEKQSDGYETEPEEDVAEEVEDVENSPEVKTVSKKRKASKDLRCPKRKKTKSASAGKCLEVPENVGTNVCAEQNGTLSIVNADKKSNKSRKSTPDTKKRKKNKSAIAEKCLEVSENAGTSVCTGQNGTLTILKSDERSSKRTEATCNAKKSCQPEQNGNGSVDALHTLSGSKKQNQMQLFSKINQQSSKRDNQNVAEKSPELGSHKLSEEKLREDNQLGEQDSDVEGDVVPIVIRPGHIRFEPLKKGGDDQAVLQNHIPTESFHWNGITSKKKGQKWGKEKAVSCKRNDYNNFRKESSSSLSIEEQTPVYDCTNFEELPLYASLPKEGDVIAYRVVELSLSWTPELSSYRVGKISKYDLESNIVILVQVPGYPVVPEKIDDEASAALPETSPYGEDGSLEIKFSTLHEVRLVRHGNLESAKSIASGSNEVHVRDQDSGTGFSPNNNHEANTSAQENEKRNPWEEINQALTAKKELLSQEDGWKKTESSSRSPWSYKALRGSSLGRTMALLRAQNEI >Potri.008G185100.4.v4.1 pep chromosome:Pop_tri_v4:8:12847353:12855812:-1 gene:Potri.008G185100.v4.1 transcript:Potri.008G185100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185100.v4.1 MDTVRLRLVLDNALNTTTEGLRKCWILLKPQHKTISDLSSHIFHVFDLHNACPHGLLLSMEGFALPPFESTSILKDKDIIRVKKKGSTSTEIIKIDDGLNDSLNVVEIIDKPPVTKGTNLLANEEFEKQSDGYETEPEEDVAEEVEDVENSPEVKTVSKKRKASKDLRCPKRKKTKSASAGKCLEVPENVGTNVCAEQNGTLSIVNADKKSNKSRKSTPDTKKRKKNKSAIAEKCLEVSENAGTSVCTGQNGTLTILKSDERSSKRTEATCNAKKSCQPEQNGNGSVDALHTLSGSKKFPSRSARRKKAKRQWLKEQLKAEKKEQSSKRDNQNVAEKSPELGSHKLSEEKLREDNQLGEQDSDVEGDVVPIVIRPGHIRFEPLKKGGDDQAVLQNHIPTESFHWNGITSKKKGQKWGKEKAVSCKRNDYNNFRKESSSSLSIEEQTPVYDCTNFEELPLYASLPKEGDVIAYRVVELSLSWTPELSSYRVGKISKYDLESNIVILVQVPGYPVVPEKIDDEASAALPETSPYGEDGSLEIKFSTLHEVRLVRHGNLESAKSIASGSNEVHVRDQDSGTGFSPNNNHEANTSAQENEKRNPWEEINQALTAKKELLSQEDGWKKTESSSRSPWSYKALRGSSLGRTMALLRAQNEI >Potri.019G089000.3.v4.1 pep chromosome:Pop_tri_v4:19:12775979:12777995:1 gene:Potri.019G089000.v4.1 transcript:Potri.019G089000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089000.v4.1 MGLLNWLAELVINLNSQMDKASLLAEVIAHLKELKRQATEASEGLLMPLDIDEVRVEQQEDGLLSAPYVIRASICCDCKPGILSDLRQALDALHLIIMKAEIATLEGRMKNVFVMSSCKEGDSGDAKVHQFLAGSIHQAFRSILDKFSASQEFLLKSTLSNKRRRVDSFKPSLSSSSGDLW >Potri.019G089000.1.v4.1 pep chromosome:Pop_tri_v4:19:12775935:12778080:1 gene:Potri.019G089000.v4.1 transcript:Potri.019G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089000.v4.1 MEPCSWNSTRVQASDFEGMSDGFLVNTGLQAKTRTGPSSTSSLVLDNERGELVEATVRMERKGVAAERSIAALKNHSEAEKKRRARINAHLDTLRSLVPGTRKMDKASLLAEVIAHLKELKRQATEASEGLLMPLDIDEVRVEQQEDGLLSAPYVIRASICCDCKPGILSDLRQALDALHLIIMKAEIATLEGRMKNVFVMSSCKEGDSGDAKVHQFLAGSIHQAFRSILDKFSASQEFLLKSTLSNKRRRVDSFKPSLSSSSGDLW >Potri.010G237600.1.v4.1 pep chromosome:Pop_tri_v4:10:21736870:21737055:1 gene:Potri.010G237600.v4.1 transcript:Potri.010G237600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237600.v4.1 MEKLNSQLYLQNCYIIQQNEMLRKKAQRLNQENQALLSELKQKLSKASSSSTTNPVNSDKP >Potri.010G237600.2.v4.1 pep chromosome:Pop_tri_v4:10:21735941:21737184:1 gene:Potri.010G237600.v4.1 transcript:Potri.010G237600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237600.v4.1 MEKLNSQLYLQNCYIIQQNEMLRKKAQRLNQENQALLSELKQKLSKASSSSTTNPVNSDKP >Potri.004G009201.2.v4.1 pep chromosome:Pop_tri_v4:4:533832:535031:-1 gene:Potri.004G009201.v4.1 transcript:Potri.004G009201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009201.v4.1 MLLFGFGYLGPFGHFLHLMLEKMFKGKKDTATVAKKVAVEQLTASPWNNLVFMIYYGMVIDGKSVSAHLRLTTPQSRNPI >Potri.004G009201.1.v4.1 pep chromosome:Pop_tri_v4:4:533248:534576:-1 gene:Potri.004G009201.v4.1 transcript:Potri.004G009201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009201.v4.1 MLEKMFKGKKDTATVAKKVAVEQLTASPWNNLVFMIYYGMVIDGRPWMQVKTKLKKEYPAMQFTSWTGLLFSHYNQCDLLH >Potri.003G129700.1.v4.1 pep chromosome:Pop_tri_v4:3:14827784:14831095:-1 gene:Potri.003G129700.v4.1 transcript:Potri.003G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129700.v4.1 MSSPSKRREMDLMKLMMSDYKVEMINDGMQEFYVEFNGPKESLYQGGVWKIRVELPDAYPYKPPSIGFINKIYHPNVDEMSGSVCLDVINQNWSPMFDLVNVFEVFLPQLLLYPNALDPLNGEAAALMMRDGTAYDQRVKEYCEKYAKPEDIGARPEEKSSDEELSEDDYNSDDDQVAGKADP >Potri.T005901.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1549:30534:31920:1 gene:Potri.T005901.v4.1 transcript:Potri.T005901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T005901.v4.1 MACHRRNAFSDLKETAPLGPIQPLRTSSKYDKIVATSTASSLNSVGQQTFSPQHNSGPNLNFLPALKGFYNVGGKVRNLECPLIQPETFISLPPFVDGRVTVGVVYKYIKKEKVFISYLERNTSS >Potri.004G223000.1.v4.1 pep chromosome:Pop_tri_v4:4:22768395:22771983:1 gene:Potri.004G223000.v4.1 transcript:Potri.004G223000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223000.v4.1 MTRPVSLLYFLPLLLLLLLSLFYHVLADSHFEGFEAEEEDDVVEETIDPNAFKLPDLPLTLSESPPISTPTPDPKEPDPKPSKPSPPPSTTNFEFWDEDEFEGLPSHQPHIESVVITESATPDATVQTPDPKPSTVSKKKQSFLVEIVCVSFLIMFVINYFTGKRENENLALSWASKFATKDSIFEKNFSLLGVGEGDDSPLLLKEGQNVFKFYASGRRYCQGLLATMELKSRHDLLSRIYNMVVPCKDEISIEVYMNDDAMDHVVFALARKKMAKAMQKELRDLQRFAGSVVQPPNGRKWVAEELAVVSESKEVAGDLITEAVLEQVFGEKSFEKHGKGFISMHVSDQLPGTQKKMLLFKFALPDAKNMADMTRLVALVPYYIDLVGRYKLSSQARSKTEAARAKAAQEAYKELQGARQEALQKKKAERKKMLEEAEAKLGAEAIRKKEEKERARQMKKAMPKVKMTRGH >Potri.T125408.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:100366:101349:-1 gene:Potri.T125408.v4.1 transcript:Potri.T125408.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125408.v4.1 MHARLHFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVHRLQKELDSANADLIRYACNEIPTALPAPPGASSIQSMAPRQRPVEYNNRRMGNEGGYYQAPGMPIPYTLPWNDNPSGDSNEGGGEGNM >Potri.003G163300.2.v4.1 pep chromosome:Pop_tri_v4:3:17285841:17288504:1 gene:Potri.003G163300.v4.1 transcript:Potri.003G163300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163300.v4.1 MEKRRYSLRVSNSKVTASLLFLFVLCLYYASVQCQESSKVTPPSPSTPTQSKNGLKRILVSIFLGVLTGLIGAVVFAFVVRFLVRYMKRTPILKGPVIFSPKITPKSLQSALENENQLLGSSSNGKYYRTALDNGLTIAVKRFEPFEIGSPERQSKSVKRRIQQELEMLASLRHRNLMSLRAYVREPDRFSLVYDYVPTGSLEDAMNRVRENELQLGWEVRLRIAVGVIKGLRYLHFECAPQILHYNLKPRNVILDAEFEPRLADFGLAKLTPNLDRATSGYSAPECFQDCRYSDKSDVFSFGMILGVLLTGRDPTDPFFGETASGGSLGRWLRHLQQAGEAREALDKSLLGEEVEEDEMLMAVRIAVVCQSEMPADRPSSDELVPMLSQLHSF >Potri.003G163300.1.v4.1 pep chromosome:Pop_tri_v4:3:17285519:17289521:1 gene:Potri.003G163300.v4.1 transcript:Potri.003G163300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163300.v4.1 MEKRRYSLRVSNSKVTASLLFLFVLCLYYASVQCQESSKVTPPSPSTPTQSKNGLKRILVSIFLGVLTGLIGAVVFAFVVRFLVRYMKRTPILKGPVIFSPKITPKSLQSALENENQLLGSSSNGKYYRTALDNGLTIAVKRFEPFEIGSPERQSKSVKRRIQQELEMLASLRHRNLMSLRAYVREPDRFSLVYDYVPTGSLEDAMNRVRENELQLGWEVRLRIAVGVIKGLRYLHFECAPQILHYNLKPRNVILDAEFEPRLADFGLAKLTPNLDRATSGYSAPECFQDCRYSDKSDVFSFGMILGVLLTGRDPTDPFFGETASGGSLGRWLRHLQQAGEAREALDKSLLGEEVEEDEMLMAVRIAVVCQSEMPADRPSSDELVPMLSQLHSF >Potri.016G019100.2.v4.1 pep chromosome:Pop_tri_v4:16:1024748:1025439:1 gene:Potri.016G019100.v4.1 transcript:Potri.016G019100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019100.v4.1 MLQSEVQAVTITKTIRKGKRLLPGQSYLSNPTDSIIDSWLLEAVQQLLTQDLIPPCRVEQKRLQLWFEKPA >Potri.001G462466.1.v4.1 pep chromosome:Pop_tri_v4:1:48829158:48829983:1 gene:Potri.001G462466.v4.1 transcript:Potri.001G462466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462466.v4.1 MLRSKMFALLSVFLLSISWEATSDLDHENFLHCLLNHSQPSYPISTAIYTPNNESYSSVLQSYIRNLRFNMSTTPKPLLILTALHESHVQAAISYAREHNLQMKIRSGGHDYEGVSYVSDVPFFVLDMFNLRSIDVDVASETAWIQVGATLGEVYYRVSEKSQAHGFPASVEPTVGVGGHFGGGGYGNMMRKYGLSVDNIIDAKMVDVKGRLLDRKSMGEDLFWVSSPNFG >Potri.006G200100.1.v4.1 pep chromosome:Pop_tri_v4:6:20765280:20766612:1 gene:Potri.006G200100.v4.1 transcript:Potri.006G200100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200100.v4.1 MIINITNKTNANNQPSMSFPSSQSLIRSRPLLGHANSSSSSSCSGRLGELAGGTTAECAAICCCCPCGLVNLLVLTMYKVPVGICRRALRRKRRKKLIKKGLLPPRTRSCSCDYDGTELQIHPMACVEDSLREFDEEAALKEEEAMVKLEKEMWETFCGTGFWRSSSQRELPFKRSVSSPREAPKARI >Potri.006G024800.2.v4.1 pep chromosome:Pop_tri_v4:6:1654423:1656366:-1 gene:Potri.006G024800.v4.1 transcript:Potri.006G024800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024800.v4.1 MFQWRFGRSKLSNALSTVELLVHMDCEGCEKRIRRAISKIDGVDSLEIDMDKQKVTVKGYVDQRKVLKVVRRTGRRAEFWPFPYDSEYYPYASQYLDETTYMTSYNYYRHGFNESVHGYFPDQAYCTVPDDTVHLFSDDNVHAYCSIM >Potri.004G091400.1.v4.1 pep chromosome:Pop_tri_v4:4:7780878:7781715:1 gene:Potri.004G091400.v4.1 transcript:Potri.004G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091400.v4.1 MIMAPKAEKKPAEKKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGAAAGEKKKRRVKKITETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.002G088800.2.v4.1 pep chromosome:Pop_tri_v4:2:6421670:6422029:-1 gene:Potri.002G088800.v4.1 transcript:Potri.002G088800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088800.v4.1 MNTAILRFPALKIQHHRANSLDCYFVIVCCGENKFLMSTCSTLQVFASVYIFSGRVVTCYSVRAIAVRIGKGAVFVHIIIIVANVSIILVLPLLVFLCILYPKNNDLLEYPLKLRFSPQ >Potri.015G087400.1.v4.1 pep chromosome:Pop_tri_v4:15:11114421:11116890:1 gene:Potri.015G087400.v4.1 transcript:Potri.015G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087400.v4.1 MEMKKFLFVALSLALVLGITESLDFHEKDLESEESLWDLYERWRSHHTVSTSLDEKHKRFNVFKENVMHVHKTNKMGKPYKLKLNKFADMTNHEFRSVYAGSKVKHHRMFRGTTRGNGSFMYGKVEKVPTSVDWRKKGAVTAVKDQGQCGSCWAFSTIVAVEGINYIKTNELVSLSEQELVDCDTTENQGCNGGLMEYAFEFIKKKRGITTESTYPYKAEDGHCDAAKENNPAVSIDGYEKVPENDEDALLKAAANQPVSVAIDAGGSDFQFYSEGVFIGECGTELDHGVAVVGYGTTLDGTKYWIVRNSWGPEWGEKGYIRMQRGISDKEGLCGIAMEASYPIKNSSTNPSGTKSSPKDEL >Potri.004G228566.1.v4.1 pep chromosome:Pop_tri_v4:4:23172482:23174523:1 gene:Potri.004G228566.v4.1 transcript:Potri.004G228566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228566.v4.1 MLKFLSKVKIEFNALDPRVASCMEFLAQCNARKAKESNPACQLLVKRRTDDFPPQITVTFVNGVEEAFDATSTPAQAIRTMILEKGQLLETEQMFREAGEKWPVIIPEEELHQFAPGTKPRKAEEKKQ >Potri.002G078501.3.v4.1 pep chromosome:Pop_tri_v4:2:5481140:5484363:-1 gene:Potri.002G078501.v4.1 transcript:Potri.002G078501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078501.v4.1 MGSGVEHVSMDQTAFGIDSAEKRLNELGYKQELRREMTFFKTLAITFSSMAVFIGTPLYGSSLRYAGPASLIWGWVVVTFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFASWFCAWLETIGAVSGIGGQVIGGVAVIVILPLVAQQTQSASFVFTHFETSPEATGISSKPYAVILSVLLSNYCLYGYDTAAHLTEETKGADRTGPAAILSSIGIISVFGWAYYLALTFSIQDFNYLYDVNNETAGALVPAQIIYDAFQGRYHNSTGAVVFLCIIWGSFFFCGLSVTTSAARVVYALSRDNGIPFSPIWRRIHPKYKVPTNAVWLCAAISIILGLPILKLDVVFTAIISINTIGWVGGYAVPIFARLVMDEKNFKPGPFYLGRARRPIYLVAFLWICYTCSAFLLPTLYPIQWKTFNYAPIAIGIFLTLIMLWWAFDARKWFKGPVRNIDLQNGHS >Potri.005G215600.1.v4.1 pep chromosome:Pop_tri_v4:5:21908615:21913144:-1 gene:Potri.005G215600.v4.1 transcript:Potri.005G215600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215600.v4.1 MASSKPSHIDCSTLLVNTFKKAQKRWRIAYLTIRSVRAMLSLVREIVSETNSHQFSGVLHSVSYAALDTEPSSSPHHRKGNESTINIPNIDQTKLTEMVKEKDLIALNHLGGVEGVATVLGTNSKIGITGHDQEVSRRREMFGSNTYHKPPPKGFLFFVMEAFRDTTILILLVCAALSLGFGIKQHGIKEGWYEGGSIFVAVFLVIVVSAFSNYRQETQFDKLSKISNNIKVDVLRNERRQQISIFDIVVGDVVFLKIGDQIPADGLFLDGHSLEVDESSMTGESDHVAANTKENPFLFSGSKIADGYARMLVTSVGMNTAWGEMMSSINRDSDERTPLQARLDKLTSSIGKVGLSVAFIVLVVMLVRYFTGNTKDGNGKKEYIGSKTNTDDVLNAVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTLNQMKVAKFWLGQEPIEEDTYKAIAPSILELLHQGVSLNTTGSVYKSASGSGPEFSGSPTEKAILSWAVSELGMDMEELKQSCTILHVETFNSEKKRSGVSIRKMADDTVHVHWKGAAEMILALCSSYYESSGIIKSMDEDERSKIGKIIQGMAASSLRCIAFAHKRVTEEGMKDDDGESHQRLQEDGLTFLGLVGLKDPCRIGAKKAVELCKAAGVSVKMITGDNIFTAKAIATECGILELNNYVDNEEVVEGVVFRNYTNEQRMEKVDKIRVMARSSPFDKLLMVQCLRQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTDELMEMTPVGRTEPLITNIMWRNLLAQAFYQIAILLTLQFAGESIFNVSAEVNDTLIFNTFVLCQVFNEFNARSMEKQNVFKGIHRNHLFLGIIAITIVLQVVMVEFLKKFASTERLNWWQWVTCIVIAAVSWPIGWFVKLIPVSGKPLFSYLKKPIATFKRVMHSMCFRGTSSPGLGTGCGRR >Potri.005G215600.2.v4.1 pep chromosome:Pop_tri_v4:5:21908685:21913046:-1 gene:Potri.005G215600.v4.1 transcript:Potri.005G215600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215600.v4.1 MASSKPSHIDCSTLLVNTFKKAQKRWRIAYLTIRSVRAMLSLVREIVSETNSHQFSGVLHSVSYAALDTEPSSSPHHRKGNESTINIPNIDQTKLTEMVKEKDLIALNHLGGVEGVATVLGTNSKIGITGHDQEVSRRREMFGSNTYHKPPPKGFLFFVMEAFRDTTILILLVCAALSLGFGIKQHGIKEGWYEGGSIFVAVFLVIVVSAFSNYRQETQFDKLSKISNNIKVDVLRNERRQQISIFDIVVGDVVFLKIGDQIPADGLFLDGHSLEVDESSMTGESDHVAANTKENPFLFSGSKIADGYARMLVTSVGMNTAWGEMMSSINRDSDERTPLQARLDKLTSSIGKVGLSVAFIVLVVMLVRYFTGNTKDGNGKKEYIGSKTNTDDVLNAVVRIVAAAVTIVVVAIPEGLPLAVTLTLAYSMKRMMADQAMVRKLSACETMGSATVICTDKTGTLTLNQMKVAKFWLGQEPIEEDTYKAIAPSILELLHQGVSLNTTGSVYKSASGSGPEFSGSPTEKAILSWAVSELGMDMEELKQSCTILHVETFNSEKKRSGVSIRKMADDTVHVHWKGAAEMILALCSSYYESSGIIKSMDEDERSKIGKIIQGMAASSLRCIAFAHKRVTEEGMKDDDGESHQRLQEDGLTFLGLVGLKDPCRIGAKKAVELCKAAGVSVKMITGDNIFTAKAIATECGILELNNYVDNEEVVEGVVFRNYTNEQRMEKVDKIRVMARSSPFDKLLMVQCLRQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVATVLRWGRCVYNNIQKFIQFQLTVNVAALVINFIAAVSAGEVPLTAVQLLWVNLIMDTLGALALATERPTDELMEMTPVGRTEPLITNIMWRNLLAQAFYQIAILLTLQFAGESIFNVSAEVNDTLIFNTFVLCQVFNEFNARSMEKQNVFKGIHRNHLFLGIIAITIVLQVVMVEFLKKFASTERLNWWQWVTCIVIAAVSWPIGWFVKLIPVSGKPLFSYLKKPIATFKRVMHSMCFRGTSSPGLGTGCGRR >Potri.003G219900.1.v4.1 pep chromosome:Pop_tri_v4:3:21431356:21433339:-1 gene:Potri.003G219900.v4.1 transcript:Potri.003G219900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G219900.v4.1 MRKPTSSTATAEAAAAGGGPAAKSTPCCIKVGLKRGPWTPEEDELLANYIKKEGEGRWRTLPKKAGLLRCGKSCRLRWMNYLRPSVKRGQISPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLINPQSFDQSKPSSSKANHLQESLKEPIKIASSGLEETTSGSAHIISNKENGYFQNNSNAHLGDQYYTDHFIASRGYTSLLNYDGSFGTDLRGNQRLGNGEAEDINSGTEDVFSFLNSLINEEAFQQHQIFNEPNVNSAPASTDPLFPIAAATSFGLSTGWESTLMPSALDQNDSK >Potri.003G189400.2.v4.1 pep chromosome:Pop_tri_v4:3:19338227:19342142:1 gene:Potri.003G189400.v4.1 transcript:Potri.003G189400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189400.v4.1 MTPVCPFVKASRPDDGSPRKPGECPIKHGAEHEGGGKAKKESGGESATVSPKCPFGYDSQTFKLGPLSCMICQALLFDCSKCVPCSHVYCKVCISRFKDCPLCGADVEKIEADTDLQSVVDRFIDGHARIKRSHVDMDKEGEAGENKKVIYEDVSLERGAFLVQQAMRAFRAQNVESAKSRLSLCAEDIRGRIETVGNTSELCSQLGAVLGMLGDCCRAVGDAGSAVTYFEESVEFLSKLAAADLEITHTHSVSLNKIGDLKYYDGDLEAARSYYMRSLNVRRDAIKHHPSVSSQTLDVAVSLAKVADADRSIGNEDAALDRFHEAIKLLESLTLKPEEAGLEQRRLSVLEFLNNQLAEKQSDCTSSSLIS >Potri.003G189400.5.v4.1 pep chromosome:Pop_tri_v4:3:19338282:19342022:1 gene:Potri.003G189400.v4.1 transcript:Potri.003G189400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189400.v4.1 MTPVCPFVKASRPDDGSPRKPGECPIKHGAEHEGGGKAKKESGGESATVSPKCPFGYDSQTFKLGPLSCMICQALLFDCSKCVPCSHVYCKVCISRFKDCPLCGADVEKIEADTDLQSVVDRFIDGHARIKRSHVDMDKEGEAGENKKVIYEDVSLERGAFLVQQAMRAFRAQNVESAKSRLSLCAEDIRGRIETVGNTSELCSQLGAVLGMLGDCCRAVGDAGSAVTYFEESVEFLSKLAAADLEITHTHSVSLNKIGDLKYYDGDLEAARSYYMRSLNVRRDAIKHHPSVSSQTLDVAVSLAKVADADRSIGNEDAALDRFHEAIKLLESLTLKPEEAGLEQRRLSVLEFLNNQLAEKQSDCTSSSLIS >Potri.019G062332.2.v4.1 pep chromosome:Pop_tri_v4:19:10245876:10246328:1 gene:Potri.019G062332.v4.1 transcript:Potri.019G062332.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062332.v4.1 MITKGCKPNVFSYNILINGYCKAERIDEAKQLFNEMIHQGLTPNIVSYNTHGFCQLGKLREAQELNKNMHTNGNLLDLCTYSILLDGFCKQGYLGKALRIFRAMQSTYMKPNLVVYNILVDAMCKSRNHKAARKLFSELFVQGLQRDLIR >Potri.014G177700.1.v4.1 pep chromosome:Pop_tri_v4:14:13440416:13444928:-1 gene:Potri.014G177700.v4.1 transcript:Potri.014G177700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177700.v4.1 MPSIAPCSCTYVVLVLCLVTGSFAGDPYVFFDWTVSYLTASPLGIKQQVIGINRQFPGPILNVTTNWNVVVNVKNDLDEPLLITWNGVQHRKNSWQDGVLGTNCPIPAGWNWTYQFQVKDQIGSFFYFPSLNFQRSAGGYGGVIINNRDVIPLPFAVPDADVVLFISDWYTKSHKKLRKDVENGEDLGVPDGILINGFGPYRYDEALVQGGIAYQIINVEPGSTYRFRVHNVGISTSLNFRIQNHNLLLVETEGSYTVQQNYTNMDIHVGQSYSFLVTMDQTASSDYYIVASPRYVNSSKASGVAILHYANSQGPASGPLPDPPNEYDSFFSMNQARSIRWNVSAGAARPNPQGSFKYGQITVTDVYVIVNRPAELIDGKWCTTLNGISYLPPSTPLKLAQQYNIPGVYKLDFPNAQMNRPPKVDTSLINGTFKGFMEIIFQNNDTAVQSYHMDGYAFFVVGMDFGVWTENSRSTYNKWDGVARSTTQVFPGAWTAILVSLDNAGIWNLRSENLDSWYLGQEVYLSIMNPEIDKSEAPLPDNTIYCGILSSLQKDQARRFSFSGAPSLASPSRTFLVVLIMTLYLRVLLG >Potri.001G331900.1.v4.1 pep chromosome:Pop_tri_v4:1:34055051:34059437:-1 gene:Potri.001G331900.v4.1 transcript:Potri.001G331900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331900.v4.1 MPLCLLPNRLLPLPYCPLITCHASPAALRSSPSSPPPTLPNLLNNTTPVPSLSCALQCPHFQSCSGCTHELNLHRPIIVDEADQFFKSVGVSDFDFDSSRLWGWRSRAKLAVRGSSMKPLIGLYEEGTHNVVDIPHCKAHHPNINAAVELLRQGVTKLNIEPYDEDEGTGELRYVQMAVTTYNTSLPAPERYKNGKVQVSLVWNSRNENSHNFEKLNALANFLWRNGGPKSDVRFIHSVWANFQTSTNNIIFGNRWRHLLGESGFWEHAGGIDVSLSPSSFGQANTRAFDILLRKLQKYVPYGASVTDLYAGAGAIGLSLAATRKCRSVKCVEVNKESKLSFEKTVERLPNYVDSSISWHLADTSVEPLSWLMGSEVVVVDPPRKGLDASLLDVLRTISSLERKAKSAPESSYSKVKDEKRPWVLRAMKDSVQIGSKPALEGSESLPQTLIYISCGWESFKEDCKSLLSSKKWRLEKAHGFNFFPGTQSIEILAVFKRGQRAGLKKKKSGKKKKRL >Potri.010G091100.4.v4.1 pep chromosome:Pop_tri_v4:10:11601652:11604937:1 gene:Potri.010G091100.v4.1 transcript:Potri.010G091100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091100.v4.1 MSAGFSEMDATESKSQPRPFSIKLWPPSQNTRQMLVVRVTDNLTTKSIFTQKYGSLSKEEAEENAKKIEDGAFSTANEHYEKEPDGDGSSAVQLYAKECSKQILEVLKRGPSSKDDKEVLVSEEVSAPRDTVFDISKGARSFIEEKEAEETLEPLKEPGNSYTKICFSNRSFGLEAARVAEPILASIKNQLKEVDLSDFIAGRPEAEALEVMNIFSTALEGSILKSLNLSNNALGEKGVRAFGALLRSQSCLEELYLMNNGISEEAAEAVCELIPLTEKLRVLQFHNNMTGDKGALAISKVVKRSPLLEDFRCSSTRVGSEGGVALSEALDTCTHLKKLDLRDNMFGVEAGVALSKALSKYAGLTEVYLSYLNLEDEGAMAIARALKESAPSLEVLDIAGNDITAEAAPIVAACIAEKQHLTKLNLAENELKDEGAIQISKVLEEGHLQLKEVDMSINSIRRAGARVLARVVVQKPEFKFLNIDGNFISDEGIDEVKEMFEKFPDRLGSFDENDPEGGDDEEESGEGEGDEHELETKLEKLEVNKEE >Potri.010G091100.1.v4.1 pep chromosome:Pop_tri_v4:10:11601654:11604937:1 gene:Potri.010G091100.v4.1 transcript:Potri.010G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091100.v4.1 MDATESKSQPRPFSIKLWPPSQNTRQMLVVRVTDNLTTKSIFTQKYGSLSKEEAEENAKKIEDGAFSTANEHYEKEPDGDGSSAVQLYAKECSKQILEVLKRGPSSKDDKEVLVSEEVSAPRDTVFDISKGARSFIEEKEAEETLEPLKEPGNSYTKICFSNRSFGLEAARVAEPILASIKNQLKEVDLSDFIAGRPEAEALEVMNIFSTALEGSILKSLNLSNNALGEKGVRAFGALLRSQSCLEELYLMNNGISEEAAEAVCELIPLTEKLRVLQFHNNMTGDKGALAISKVVKRSPLLEDFRCSSTRVGSEGGVALSEALDTCTHLKKLDLRDNMFGVEAGVALSKALSKYAGLTEVYLSYLNLEDEGAMAIARALKESAPSLEVLDIAGNDITAEAAPIVAACIAEKQHLTKLNLAENELKDEGAIQISKVLEEGHLQLKEVDMSINSIRRAGARVLARVVVQKPEFKFLNIDGNFISDEGIDEVKEMFEKFPDRLGSFDENDPEGGDDEEESGEGEGDEHELETKLEKLEVNKEE >Potri.010G091100.3.v4.1 pep chromosome:Pop_tri_v4:10:11601716:11604928:1 gene:Potri.010G091100.v4.1 transcript:Potri.010G091100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091100.v4.1 MSAGFSEMDATESKSQPRPFSIKLWPPSQNTRQMLVVRVTDNLTTKSIFTQKYGSLSKEEAEENAKKIEDGAFSTANEHYEKEPDGDGSSAVQLYAKECSKQILEVLKRGPSSKDDKEVLVSEEVSAPRDTVFDISKGARSFIEEKEAEETLEPLKEPGNSYTKICFSNRSFGLEAARVAEPILASIKNQLKEVDLSDFIAGRPEAEALEVMNIFSTALEGSILKSLNLSNNALGEKGVRAFGALLRSQSCLEELYLMNNGISEEAAEAVCELIPLTEKLRVLQFHNNMTGDKGALAISKVVKRSPLLEDFRCSSTRVGSEGGVALSEALDTCTHLKKLDLRDNMFGVEAGVALSKALSKYAGLTEVYLSYLNLEDEGAMAIARALKESAPSLEVLDIAGNDITAEAAPIVAACIAEKQHLTKLNLAENELKDEGAIQISKVLEEGHLQLKEVDMSINSIRRAGARVLARVVVQKPEFKFLNIDGNFISDEGIDEVKEMFEKFPDRLGSFDENDPEGGDDEEESGEGEGDEHELETKLEKLEVNKEE >Potri.010G091100.2.v4.1 pep chromosome:Pop_tri_v4:10:11601598:11605007:1 gene:Potri.010G091100.v4.1 transcript:Potri.010G091100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091100.v4.1 MSAGFSEMDATESKSQPRPFSIKLWPPSQNTRQMLVVRVTDNLTTKSIFTQKYGSLSKEEAEENAKKIEDGAFSTANEHYEKEPDGDGSSAVQLYAKECSKQILEVLKRGPSSKDDKEVLVSEEVSAPRDTVFDISKGARSFIEEKEAEETLEPLKEPGNSYTKICFSNRSFGLEAARVAEPILASIKNQLKEVDLSDFIAGRPEAEALEVMNIFSTALEGSILKSLNLSNNALGEKGVRAFGALLRSQSCLEELYLMNNGISEEAAEAVCELIPLTEKLRVLQFHNNMTGDKGALAISKVVKRSPLLEDFRCSSTRVGSEGGVALSEALDTCTHLKKLDLRDNMFGVEAGVALSKALSKYAGLTEVYLSYLNLEDEGAMAIARALKESAPSLEVLDIAGNDITAEAAPIVAACIAEKQHLTKLNLAENELKDEGAIQISKVLEEGHLQLKEVDMSINSIRRAGARVLARVVVQKPEFKFLNIDGNFISDEGIDEVKEMFEKFPDRLGSFDENDPEGGDDEEESGEGEGDEHELETKLEKLEVNKEE >Potri.007G122500.1.v4.1 pep chromosome:Pop_tri_v4:7:13979478:13980191:-1 gene:Potri.007G122500.v4.1 transcript:Potri.007G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122500.v4.1 MRVVVEILTGTLFYIQVGNDATVADLKKEIEAQQKLPQDRLILFLSNKRSHLINEEGDGAALVDCGVQDGSHIYLFFDPVDNHDESTDHLVFTWPHSFLEQA >Potri.001G399000.3.v4.1 pep chromosome:Pop_tri_v4:1:42455281:42462608:1 gene:Potri.001G399000.v4.1 transcript:Potri.001G399000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399000.v4.1 MNNSNNRIEPLPRCIVLDIEGTTTPITFVADVLFPYARDNVGRHLSATYDTAETKDDINLLRTQVEDDLAQGVDGAIPIPTDDAGKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGYENNELEGVVYDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGKTNYGDLRKYLSGFFDTTVGNKKETRSYIEISESLGVDKPSDILFVTDVFQEAFAAKGAGLDVMISIRPGNAPLPENHGFKTITSFAEI >Potri.001G399000.2.v4.1 pep chromosome:Pop_tri_v4:1:42456283:42462609:1 gene:Potri.001G399000.v4.1 transcript:Potri.001G399000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399000.v4.1 MIKGIQGHGYYDELVVPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLHQIGLDWSTPNHGPIQNVKVKAGMNNSNNRIEPLPRCIVLDIEGTTTPITFVADVLFPYARDNVGRHLSATYDTAETKDDINLLRTQVEDDLAQGVDGAIPIPTDDAGKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGYENNELEGVVYDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGKTNYGDLRKYLSGFFDTTVGNKKETRSYIEISESLGVDKPSDILFVTDVFQEAFAAKGAGLDVMISIRPGNAPLPENHGFKTITSFAEI >Potri.001G399000.1.v4.1 pep chromosome:Pop_tri_v4:1:42454078:42462608:1 gene:Potri.001G399000.v4.1 transcript:Potri.001G399000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399000.v4.1 MAAAPPAVAVNGGGMAAAKVASQAYLESKAVKDTRVLIADLCKQFYTLGWVSGTGGSITIKAHDDSIPKRQQLILMSPSGVQKERMEPEDMYVLATNGSILSSPSPKPYPYKPPKCSDCAPLFLKAYDMRNAGAVIHSHGMESCLVTMINPLSKEFRITHMEMIKGIQGHGYYDELVVPIIENTAYENELTDSLAKAIEAYPKTTAVLVRNHGIYIWGDSWISAKTQAECYHYLFDAAIKLHQIGLDWSTPNHGPIQNVKVKAGMNNSNNRIEPLPRCIVLDIEGTTTPITFVADVLFPYARDNVGRHLSATYDTAETKDDINLLRTQVEDDLAQGVDGAIPIPTDDAGKEEVIAALVANVEAMIKADRKITALKQLQGHIWRTGYENNELEGVVYDDVPEALEKWHALGIKVYIYSSGSRLAQRLIFGKTNYGDLRKYLSGFFDTTVGNKKETRSYIEISESLGVDKPSDILFVTDVFQEAFAAKGAGLDVMISIRPGNAPLPENHGFKTITSFAEI >Potri.003G081133.1.v4.1 pep chromosome:Pop_tri_v4:3:10827123:10828257:1 gene:Potri.003G081133.v4.1 transcript:Potri.003G081133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081133.v4.1 MHSTLNHSTSRTFVSWNMVMVLTFLVDQWNMKRISCDPRGAGHRAQLQAKKVVVPLMCLLVVNQVAHGILESGIIQLESGICHHFLSF >Potri.005G141300.1.v4.1 pep chromosome:Pop_tri_v4:5:11301528:11303150:1 gene:Potri.005G141300.v4.1 transcript:Potri.005G141300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141300.v4.1 MKNNNNNNTKLILLHPYIQKQGGSNRLWLLAFVSFFTIAFLLTLIYTRETLPIKTITTTMATGSASSSTFGNNAPLPTTVINTLLHYASRSNDSFHMSHAEIKPISDVLRKCSSPCNFLVFGLTHETPLWKALNHNGRTVFIEENRYYAAYYEELHPEIDVFDVQYTTKMKEMRELIASTKEQIKNECRPVQNLLFSECKLGINDLPNHVYEVDWDVILIDGPRGDGPEGPGRMTPIFTSGVLARSKKASNAKTHIFVHDYYRNVERIYGDEFLCRENLVESNDMLAHFVVEKMDENSFQFCRNHTAASSP >Potri.004G029100.6.v4.1 pep chromosome:Pop_tri_v4:4:2262304:2265494:-1 gene:Potri.004G029100.v4.1 transcript:Potri.004G029100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029100.v4.1 MAEEFQAGICGENWWMNSSKSVFMGGLSPCSTVSITSDHMGTYGSWTTADMVDLKARSISCKESNHITTSVSDASIPFLNSQKPQQADSDSGGSSILIDSTLQMMGYGLSSSSSSSSDWNQALLPGNGRTESYNSMMQEEMNSGGLNSSQIQKDWSPKSYTSTAEDFSLDQQRLNPVNSSGNSPPTCQGFSTGFSMEPSASYGYPSTLIQSLFEPEYPQPQQAQSLFNNRFMNYLSPTAPNYGTNMTELSSPSWPKVSPLIKPLPKQQPSTLHFTNNTPYWNASPTGINDIRANLLPSSQSQFLVPAFEEKSNCSNLTTKPSKEVRDSVSVVKKGSEPAFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSVLSTPYMKNGNPIHHQQAPADKLNDLEGPKQDLRSRGLCLVPVSSTFPVANETTADFWTPTFGGTFR >Potri.004G029100.5.v4.1 pep chromosome:Pop_tri_v4:4:2262304:2265440:-1 gene:Potri.004G029100.v4.1 transcript:Potri.004G029100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029100.v4.1 MAEEFQAGICGENWWMNSSKSVFMGGLSPCSTVSITSDHMGTYGSWTTADMVDLKARSISCKESNHITTSVSDASIPFLNSQKPQQADSDSGGSSILIDSTLQMMGYGLSSSSSSSSDWNQALLPGNGRTESYNSMMQEEMNSGGLNSSQIQKDWSPKSYTSTAEDFSLDQQRLNPVNSSGNSPPTCQGFSTGFSMEPSASYGYPSTLIQSLFEPEYPQPQQAQSLFNNRFMNYLSPTAPNYGTNMTELSSPSWPKVSPLIKPLPKQQPSTLHFTNNTPYWNASPTGINDIRANLLPSSQSQFLVPAFEEKSNCSNLTTKPSKEVRDSVSVVKKGSEPAFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVLSTPYMKNGNPIHHQQAPADKLNDLEGPKQDLRSRGLCLVPVSSTFPVANETTADFWTPTFGGTFR >Potri.004G029100.1.v4.1 pep chromosome:Pop_tri_v4:4:2262304:2265494:-1 gene:Potri.004G029100.v4.1 transcript:Potri.004G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029100.v4.1 MAEEFQAGICGENWWMNSSKSVFMGGLSPCSTVSITSDHMGTYGSWTTADMVDLKARSISCKESNHITTSVSDASIPFLNSQKPQQADSDSGGSSILIDSTLQMMGYGLSSSSSSSSDWNQALLPGNGRTESYNSMMQEEMNSGGLNSSQIQKDWSPKSYTSTAEDFSLDQQRLNPVNSSGNSPPTCQGFSTGFSMEPSASYGYPSTLIQSLFEPEYPQPQQAQSLFNNRFMNYLSPTAPNYGTNMTELSSPSWPKVSPLIKPLPKQQPSTLHFTNNTPYWNASPTGINDIRANLLPSSQSQFLVPAFEEKSNCSNLTTKPSKEVRDSVSVVKKGSEPAFKRPRIETPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQVSVLSTPYMKNGNPIHHQQAPADKLNDLEGPKQDLRSRGLCLVPVSSTFPVANETTADFWTPTFGGTFSVVSIQPRWKEMIRTSI >Potri.003G041600.3.v4.1 pep chromosome:Pop_tri_v4:3:4792513:4798461:1 gene:Potri.003G041600.v4.1 transcript:Potri.003G041600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041600.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLEEERIALLQLKDSLNHPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLEALYLSGNRIAGWVEKKGGYELQRLRNLDYLDLGSNSLDNSILSFVEGFPSLKLLYLYYNRLEGLIDLKESLSSLEVLGLSGNNINKLIASRGPSNLTTLYLHNITTYESSFQLLQSLGSFPNLTTLHLSYNDFRGRILGDDELQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLSLFALGSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLDLKNLEYLDLSYNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLNLKNLELLDLSSNTLDNSIFQTIGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHSLSPKFKLESISLSSRGQGAGAFPKFLYHQFSLQSLHLTNIQIKGEFPNWLIENNTYLHDLSLENCSLSGPFLLPNNSHVNLSFLSISMNYFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQVLDLSNNSLQGQIPRWIGNMSSLEFLDLSENNFSGRLPPRFNTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGSIPRWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHLSGNILSWMISTHHFPQQYNSYNDLSLSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLTELFSLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSSTPTSTNNEDDGGFMDMEVFYVTFGVAYIMVLLVVGAILYINPYWRRAWFYFIEIPSNDPNTISYGFPSIFLIKSQASFRSPARAQRSTMQE >Potri.003G041600.9.v4.1 pep chromosome:Pop_tri_v4:3:4792513:4797220:1 gene:Potri.003G041600.v4.1 transcript:Potri.003G041600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041600.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLEEERIALLQLKDSLNHPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLEALYLSGNRIAGWVEKKGPSNLTTLYLHNITTYESSFQLLQSLGSFPNLTTLHLSYNDFRGRILGDDELQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLSLFALGSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLDLKNLEYLDLSYNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLNLKNLELLDLSSNTLDNSIFQTIGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHSLSPKFKLESISLSSRGQGAGAFPKFLYHQFSLQSLHLTNIQIKGEFPNWLIENNTYLHDLSLENCSLSGPFLLPNNSHVNLSFLSISMNYFQGQIPSEIGARLPGLDQLTLIDLSHNHLSGNILSWMISTHHFPQQYNSYNDLSLSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLTELFSLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSSTPTSTNNEDDGGFMDMEVFYVTFGVAYIMVLLVVGAILYINPYWRRAWFYFIEVSINNCYYFLMDNLPILSKF >Potri.003G041600.4.v4.1 pep chromosome:Pop_tri_v4:3:4792513:4797166:1 gene:Potri.003G041600.v4.1 transcript:Potri.003G041600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041600.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLEEERIALLQLKDSLNHPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLEALYLSGNRIAGWVEKKGGYELQRLRNLDYLDLGSNSLDNSILSFVEGFPSLKLLYLYYNRLEGLIDLKESLSSLEVLGLSGNNINKLIASRGPSNLTTLYLHNITTYESSFQLLQSLGSFPNLTTLHLSYNDFRGRILGDDELQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLSLFALGSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLDLKNLEYLDLSYNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLNLKNLELLDLSSNTLDNSIFQTIGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHSLSPKFKLESISLSSRGQGAGAFPKFLYHQFSLQSLHLTNIQIKGEFPNWLIENNTYLHDLSLENCSLSGPFLLPNNSHVNLSFLSISMNYFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQVLDLSNNSLQGQIPRWIGNMSSLEFLDLSENNFSGRLPPRFNTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGSIPRWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHLSGNILSWMISTHHFPQQYNSYNDLSLSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLTELFSLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSSTPTSTNNEDDGGFMDMEVFYVTFGVAYIMVLLVVGAILYINPYWRRAWFYFIEVSINNCYYFLMDNLPILSKF >Potri.003G041600.5.v4.1 pep chromosome:Pop_tri_v4:3:4792513:4798461:1 gene:Potri.003G041600.v4.1 transcript:Potri.003G041600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041600.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLEEERIALLQLKDSLNHPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLEALYLSGNRIAGWVEKKGPSNLTTLYLHNITTYESSFQLLQSLGSFPNLTTLHLSYNDFRGRILGDDELQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLSLFALGSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLDLKNLEYLDLSYNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLNLKNLELLDLSSNTLDNSIFQTIGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHSLSPKFKLESISLSSRGQGAGAFPKFLYHQFSLQSLHLTNIQIKGEFPNWLIENNTYLHDLSLENCSLSGPFLLPNNSHVNLSFLSISMNYFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQVLDLSNNSLQGQIPRWIGNMSSLEFLDLSENNFSGRLPPRFNTSSNLRYVYLSRNKLQGPIAMTFYNSSEIFALDLSHNNLTGSIPRWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHLSGNILSWMISTHHFPQQYNSYNDLSLSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLTELFSLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSSTPTSTNNEDDGGFMDMEVFYVTFGVAYIMVLLVVGAILYINPYWRRAWFYFIEIPSNDPNTISYGFPSIFLIKSQASFRSPARAQRSTMQE >Potri.003G041600.6.v4.1 pep chromosome:Pop_tri_v4:3:4792513:4798461:1 gene:Potri.003G041600.v4.1 transcript:Potri.003G041600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041600.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLEEERIALLQLKDSLNHPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLEALYLSGNRIAGWVEKKGGYELQRLRNLDYLDLGSNSLDNSILSFVEGFPSLKLLYLYYNRLEGLIDLKESLSSLEVLGLSGNNINKLIASRGPSNLTTLYLHNITTYESSFQLLQSLGSFPNLTTLHLSYNDFRGRILGDDELQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLSLFALGSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLDLKNLEYLDLSYNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLNLKNLELLDLSSNTLDNSIFQTIGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHSLSPKFKLESISLSSRGQGAGAFPKFLYHQFSLQSLHLTNIQIKGEFPNWLIENNTYLHDLSLENCSLSGPFLLPNNSHVNLSFLSISMNYFQGQIPSEIGARLPGLDQLTLIDLSHNHLSGNILSWMISTHHFPQQYNSYNDLSLSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLTELFSLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSSTPTSTNNEDDGGFMDMEVFYVTFGVAYIMVLLVVGAILYINPYWRRAWFYFIEIPSNDPNTISYGFPSIFLIKSQASFRSPARAQRSTMQE >Potri.003G041600.8.v4.1 pep chromosome:Pop_tri_v4:3:4792513:4798461:1 gene:Potri.003G041600.v4.1 transcript:Potri.003G041600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041600.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLEEERIALLQLKDSLNHPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLEALYLSGNRIAGWVEKKGPSNLTTLYLHNITTYESSFQLLQSLGSFPNLTTLHLSYNDFRGRILGDDELQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLSLFALGSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLDLKNLEYLDLSYNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLNLKNLELLDLSSNTLDNSIFQTIGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHSLSPKFKLESISLSSRGQGAGAFPKFLYHQFSLQSLHLTNIQIKGEFPNWLIENNTYLHDLSLENCSLSGPFLLPNNSHVNLSFLSISMNYFQGQIPSEIGARLPGLDQLTLIDLSHNHLSGNILSWMISTHHFPQQYNSYNDLSLSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLTELFSLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSSTPTSTNNEDDGGFMDMEVFYVTFGVAYIMVLLVVGAILYINPYWRRAWFYFIEIPSNDPNTISYGFPSIFLIKSQASFRSPARAQRSTMQE >Potri.003G041600.7.v4.1 pep chromosome:Pop_tri_v4:3:4792513:4797220:1 gene:Potri.003G041600.v4.1 transcript:Potri.003G041600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041600.v4.1 MGLFLQMLTVFVTTVSLQGWLPLGCLEEERIALLQLKDSLNHPNGTSLPSWIKADAHCCSWERIECSSSTGRVTELYLEETRNEELGDWYLNTSLFLPFQQLEALYLSGNRIAGWVEKKGGYELQRLRNLDYLDLGSNSLDNSILSFVEGFPSLKLLYLYYNRLEGLIDLKESLSSLEVLGLSGNNINKLIASRGPSNLTTLYLHNITTYESSFQLLQSLGSFPNLTTLHLSYNDFRGRILGDDELQNLSSLESLYLDGCSLDEHSLQSLGALPSLKNLSLFALGSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLDLKNLEYLDLSYNTLNNSIFQAIRMMTSLKTLILRSCKLDGQIPIAQGFLNLKNLELLDLSSNTLDNSIFQTIGLCDLNHLQELSMYDNDLNGFLPLCLANLTSLQQLDLSSNHLKIPMSLSPLYNLSKLKYFDGSDNEIYTEEDDHSLSPKFKLESISLSSRGQGAGAFPKFLYHQFSLQSLHLTNIQIKGEFPNWLIENNTYLHDLSLENCSLSGPFLLPNNSHVNLSFLSISMNYFQGQIPSEIGARLPGLDQLTLIDLSHNHLSGNILSWMISTHHFPQQYNSYNDLSLSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLTELFSLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSSTPTSTNNEDDGGFMDMEVFYVTFGVAYIMVLLVVGAILYINPYWRRAWFYFIEVSINNCYYFLMDNLPILSKF >Potri.001G466250.1.v4.1 pep chromosome:Pop_tri_v4:1:49131746:49132185:-1 gene:Potri.001G466250.v4.1 transcript:Potri.001G466250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466250.v4.1 MKKSSFKLTFLVFALLIIASCLEVGEARGPVITFRCQKDSDCVGEKCWCDKCYCQSSKRCVCKLQSSLSDAIIGAQVGKPEH >Potri.006G277900.2.v4.1 pep chromosome:Pop_tri_v4:6:26858131:26860790:-1 gene:Potri.006G277900.v4.1 transcript:Potri.006G277900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277900.v4.1 MLHCPPFSIADCLSLPLCPITPSLLLLQAAAMTASYLPATTDSIALASKAEDPFEAISILHKILENPSSSPDAIRIKEQAITILSDLFTQHNMAEDLHALLSQLMPFFAVIPKAKTAKIVRGIIDSVSKIPGTSDVQIALCKELVLWARAEKRALLRQRVETRLAALLVETKEYSEALALLTNLVKEVKGVDHKRLLVEILLLESKLHYLLKDRHKARTALTAAKKAANAVYMPPTQQGAIDLHSGILFAEDKEYTTAYSYFFEAFEAFKAVEDPRAIFSLKYMLLCKIMTNQSKDVAGLISSKAGLKYFGPELNVMKAVADAHSNRSLRSFEKVLRDYRYQLETDPTIYNHLSSRYEALVELNLCRYIEPFSRVEIPHIAGLIDLPVDFVEKKLAQMILDRKFVGILDQGAGHIIIFDEPKKDALLPAALKTISSIGKVVDSLFVKSSKIVH >Potri.006G277900.3.v4.1 pep chromosome:Pop_tri_v4:6:26858131:26860790:-1 gene:Potri.006G277900.v4.1 transcript:Potri.006G277900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277900.v4.1 MTASYLPATTDSIALASKAEDPFEAISILHKILENPSSSPDAIRIKEQAITILSDLFTQHNMAEDLHALLSQLMPFFAVIPKAKTAKIVRGIIDSVSKIPGTSDVQIALCKELVLWARAEKRALLRQRVETRLAALLVETKEYSEALALLTNLVKEVKGVDHKRLLVEILLLESKLHYLLKDRHKARTALTAAKKAANAVYMPPTQQGAIDLHSGILFAEDKEYTTAYSYFFEAFEAFKAVEDPRAIFSLKYMLLCKIMTNQSKDVAGLISSKAGLKYFGPELNVMKAVADAHSNRSLRSFEKVLRDYRYQLETDPTIYNHLSSRYEALVELNLCRYIEPFSRVEIPHIAGLIDLPVDFVEKKLAQMILDRKFVGILDQGAGHIIIFDEPKKDALLPAALKTISSIGKVVDSLFVKSSKIVH >Potri.006G277900.4.v4.1 pep chromosome:Pop_tri_v4:6:26858130:26860626:-1 gene:Potri.006G277900.v4.1 transcript:Potri.006G277900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277900.v4.1 MTASYLPATTDSIALASKAEDPFEAISILHKILENPSSSPDAIRIKEQAITILSDLFTQHNMAEDLHALLSQLMPFFAVIPKAKTAKIVRGIIDSVSKIPGTSDVQIALCKELVLWARAEKRALLRQRVETRLAALLVETKEYSEALALLTNLVKEVKGVDHKRLLVEILLLESKLHYLLKDRHKARTALTAAKKAANAVYMPPTQQGAIDLHSGILFAEDKEYTTAYSYFFEAFEAFKAVEDPRAIFSLKYMLLCKIMTNQSKDVAGLISSKAGLKYFGPELNVMKAVADAHSNRSLRSFEKVLRDYRYQLETDPTIYNHLSSRYEALVELNLCRYIEPFSRVEIPHIAGLIDLPVDFVEKKLAQMILDRKFVGILDQGAGHIIIFDEPKKDALLPAALKTISSIGKVVDSLFVKSSKIVH >Potri.007G109600.1.v4.1 pep chromosome:Pop_tri_v4:7:13171140:13171976:1 gene:Potri.007G109600.v4.1 transcript:Potri.007G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109600.v4.1 MAKANHNSALFLSSVLVLSLLLIFSMAESRFLAVGGGLLKPRTPECDEVFGVRSDDTCFGITQSFNLTTTLFDSINPNLNCTALFVGQWLCVAGSA >Potri.016G066400.1.v4.1 pep chromosome:Pop_tri_v4:16:4662148:4666731:1 gene:Potri.016G066400.v4.1 transcript:Potri.016G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066400.v4.1 MEVLEMDEAKKPEVHMTSAAAFVEGGIQDSCDDACSICLEEFCESDPSTVTNCKHEFHLQCILEWCQRSSECPMCLQSISLKDPTSQELFEAVERERKIRAAPSRNATIFHHPTLGDFELQHLPVGVSDSELEERIIQHLAAAAAMGRTRHFGRREGQRNRTSSHGRPHFLVFSTHPGAQPSGHVSSSLTQLGGENEPAPVSVASPSTQLTSVGDEPPQQALQSPSVLTDQSSSASGSTAMPANNQGVSFNNRSASSQSSLPNQDRAGPSELQSFSESLKSRLNAVSMRYKESISRSTRGWKERLFSRNSSMSDISSDVRREVNAGIATVSRMMERLETRDDGGDNQVSVSNHLTDRSNVERSNQNTAATHIESPLNEGSTPAACAASSAST >Potri.016G066400.7.v4.1 pep chromosome:Pop_tri_v4:16:4662148:4667437:1 gene:Potri.016G066400.v4.1 transcript:Potri.016G066400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066400.v4.1 MEVLEMDEAKKPEVHMTSAAAFVEGGIQDSCDDACSICLEEFCESDPSTVTNCKHEFHLQCILEWCQRSSECPMCLQSISLKDPTSQELFEAVERERKIRAAPSRNATIFHHPTLGDFELQHLPVGVSDSELEERIIQHLAAAAAMGRTRHFGRREGQRNRTSSHGRPHFLVFSTHPGAQPSGHVSSSLTQLGGENEPAPVSVASPSTQLTSVGDEPPQQALQSPSVLTDQSSSASGSTAMPANNQGVSFNNRSASSQSSLPNQDRAGPSELQSFSESLKSRLNAVSMRYKESISRSTRGWKERLFSRNSSMSDISSDVRREVNAGIATVSRMMERLETRDDGGDNQVSVSNHLTDRSNVERSNQNTAATHIESPLNEGSTPAACAASSAST >Potri.016G066400.5.v4.1 pep chromosome:Pop_tri_v4:16:4662148:4667444:1 gene:Potri.016G066400.v4.1 transcript:Potri.016G066400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066400.v4.1 MEVLEMDEAKKPEVHMTSAAAFVEGGIQDSCDDACSICLEEFCESDPSTVTNCKHEFHLQCILEWCQRSSECPMCLQSISLKDPTSQELFEAVERERKIRAAPSRNATIFHHPTLGDFELQHLPVGVSDSELEERIIQHLAAAAAMGRTRHFGRREGQRNRTSSHGRPHFLVFSTHPGAQPSGHVSSSLTQLGGENEPAPVSVASPSTQLTSVGDEPPQQALQSPSVLTDQSSSASGSTAMPANNQGVSFNNRSASSQSSLPNQDRAGPSELQSFSESLKSRLNAVSMRYKESISRSTRGWKERLFSRNSSMSDISSDVRREVNAGIATVSRMMERLETRDDGGDNQVSVSNHLTDRSNVERSNQNTAATHIESPLNEGSTPAACAASSAST >Potri.016G066400.8.v4.1 pep chromosome:Pop_tri_v4:16:4662148:4666650:1 gene:Potri.016G066400.v4.1 transcript:Potri.016G066400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066400.v4.1 MEVLEMDEAKKPEVHMTSAAAFVEGGIQDSCDDACSICLEEFCESDPSTVTNCKHEFHLQCILEWCQRSSECPMCLQSISLKDPTSQELFEAVERERKIRAAPSRNATIFHHPTLGDFELQHLPVGVSDSELEERIIQHLAAAAAMGRTRHFGRREGQRNRTSSHGRPHFLVFSTHPGAQPSGHVSSSLTQLGGENEPAPVSVASPSTQLTSVGDEPPQQALQSPSVLTDQSSSASGSTAMPANNQGVSFNNRSASSQSSLPNQDRAGPSELQSFSESLKSRLNAVSMRYKESISRSTRGWKERLFSRNSSMSDISSDVRREVNAGIATVSRMMERLETRDDGGDNQVSVSNHLTDRSNVERSNQNTAATHIESPLNEGSTPAACAASSAST >Potri.007G060600.1.v4.1 pep chromosome:Pop_tri_v4:7:6481456:6487705:-1 gene:Potri.007G060600.v4.1 transcript:Potri.007G060600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060600.v4.1 MAVEEEEDVESCGSRAVESYSAIANPPSRHHHQRQKLEVYNEVLKRIQDSNFEETNLPGFDDNLWLHFNRLPARYALDVNVERAEDVLMHKRLLQLAEDPANRPAFEVHLVQVYPALNGNSYASVHLDPAMKEDAQTSYFSNKQGMHPPPTFGSSPNLEAFQDIMLKMEMVLQILRHVFLSLCTRSPFQLLIGQNFLVRTNMVKIFQIISGFQLLTSLLAEIGLNIQEAHAFSTVDGFSLDVFVVDGWLREETEELRNALEKEILKSKEQCFSKQLSVSLVGEQNKTGVESLPDCVEIPSDGTDVWEIDTSLLKVENKVASGSYGDLYRGTYCSQEVAIKVLKPERVSGEMLREFSREVYIMRKVRHKNVVQFIGACDRSPNLCIVTEFMAKGSLYNFLHKQKGVFKLPCLIKVAIDVSKGMNYLHQNNIIHRDLKTANLLMDENEVVKVADFGVARVQTQSGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVAWELLTGELPYSYLTPLQAAVGVVRKGLRPTIPKHTHPKLAELLETCWQQDPNQRPNFSQIIDILQQIVKEVGDEREDRCKDKSSGSFFSALIKGHH >Potri.011G038901.1.v4.1 pep chromosome:Pop_tri_v4:11:3015894:3016391:-1 gene:Potri.011G038901.v4.1 transcript:Potri.011G038901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038901.v4.1 MLLSSHNRILGITTLIFVNKRRSYSHAICNGYDAATDTITSSQPIKDPETVSAGNIFEMGFFSPVNLTNRYVGIWYNNVSATKPEWVPNGNNPIADSSGAVTISEDGNLVVLKGHIKRFFGHQMFQIESETPLHRF >Potri.017G107300.1.v4.1 pep chromosome:Pop_tri_v4:17:11627708:11628270:-1 gene:Potri.017G107300.v4.1 transcript:Potri.017G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107300.v4.1 MRPATNPSVGGGGAHGGFWHWNSPVAYVFVGLALMLGLITVALIILACSYRKSLSNSSRREAELDEKPAKQVEIQVDFEPKVVVIMAGDENPTYLAKPVSCNCKIGEKA >Potri.005G204600.1.v4.1 pep chromosome:Pop_tri_v4:5:20966582:20969076:-1 gene:Potri.005G204600.v4.1 transcript:Potri.005G204600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204600.v4.1 MLSRSFIVLLLFLLFSGAFEAIAGIHDHGKNVKSNISTLAGIELPDHMSFNAVSSSTTNTGCNLDTSKKVKQSQTIVSKEDFDLLEDDDDDDEGGEEEKEAKQTVKLHLKHRSKDRKSEGKESFVESTNRDLARIQTLHTRIIEKKNQNDISRLKKDKERPEKQIKTVVATAASPESYGTGLSGQLMATLESGVTLGSGEYFMDVFIGTPPKHYSLILDTGSDLNWIQCVPCHDCFEQNGPYYDPKESSSFRNIGCHDPRCHLVSSPDPPLPCKAENQTCPYFYWYGDSSNTTGDFATETFTVNLTSPTGKSEFKRVENVMFGCGHWNRGLFHGASGLLGLGRGPLSFSSQLQSLYGHSFSYCLVDRNSDTNVSSKLIFGEDKDLLNHPELNFTTLVGGKENPVDTFYYVQIKSIMVGGEVLNIPESTWNMTSDGVGGTIVDSGTTLSYFTEPAYQIIKDAFAKKVKGYPIVQDFPILDPCYNVSGVEKIDLPDFGILFADGAVWNFPVENYFIRLDPEEVVCLAILGTPRSALSIIGNYQQQNFHVLYDTKKSRLGYAPMNCADV >Potri.001G281200.2.v4.1 pep chromosome:Pop_tri_v4:1:29493840:29500671:-1 gene:Potri.001G281200.v4.1 transcript:Potri.001G281200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281200.v4.1 MASNENPGSESNNNGGAASSSSTSKDGETPTQIQPFRMPTIEEVRAQDVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPIMQDEMTGRQQFIYTAKQMGRRSWSSCKTFAIMGLVFSAAECVTEKARAKHDTTNTVVAGCVTGGTMSAKGGPKAACVGCAGFAAFSVLIEKFLDRHT >Potri.010G029400.7.v4.1 pep chromosome:Pop_tri_v4:10:4236090:4239057:-1 gene:Potri.010G029400.v4.1 transcript:Potri.010G029400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029400.v4.1 MFRRLYFSLSRPNPTLFSPISHHENTSLIINHSKPTSIYERFTSTPPRFFASYTKTSDTQKPKFTIPPPDKASKPEMLRALEFSMGSSFSTNPICPPPNPLIIVISGPSGVGKDAVIKKLRQVRESLHFVVTATSRPTREGEVDGKDYYFVSEEEFLSMVERNELLEYALVYGDYKGIPKKQIREFMAKGHDIVLRVDVQGAETLRKILGNSAVFIYLVAESELELVERLIDRKTEASEALLVRIATAREEVKHVRDFDYVVVNGEGKLDNAVKLVGSIIDAEKAKVQQRRAVI >Potri.013G082000.1.v4.1 pep chromosome:Pop_tri_v4:13:7251722:7257973:-1 gene:Potri.013G082000.v4.1 transcript:Potri.013G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082000.v4.1 MNEMAGSSSSQSQAPKILLAKPPGLVTSGPAVSAAAAKFGREDETAPHHHHRSRLPSITSLNLLSDSWDFHIDRFLPFLTENTDFTVVGIIGPPGVGKSTILNELYGFDGTSPGMLPPFTVQSEDNRAMARHCSVGIEPRISAERLILLDTQPVFSPSVLAEMMRPDGSSTISVLSGENLSTELAHELTAIQLGVLLASICHVLLVVSDGVYDDSMRHLMLTVDLLKHGIPDPSSLNSAYLQSSNAGTEKENKDKVSEAEEYMATPLFVHTKLQDKDFTPCNFVELRKELAQYFSSSSFIKEKGGSMEKEQISYSVPSNSHDNDPDSKSINLFVIPLKDKDDSLKAQYKSYISALWKLRDQVLSMNGLSFARTVSERDWLKNSAKIWELVKGSAIIAEYGQALQGSGMFRR >Potri.001G187300.1.v4.1 pep chromosome:Pop_tri_v4:1:16724008:16728163:-1 gene:Potri.001G187300.v4.1 transcript:Potri.001G187300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187300.v4.1 MERIGPYRVELDESYRPLPAVYLALLFIWFLSACSWTFNTYKNRHFSTINLQWTLASVPLIKALQLTLSFLFWYSCFYHQICSLWMSFGVYVTGVLFQTAYFVSFILISHGYCIMYEHLSISDRRTTAALGCVYYLTLVGYRASVPYFTVLLLLNYLISFSMIFRQISQNLSVLREQLTVVEEEDVQAMYDAVYMKYTMFKKFQGAMQIVVMAETVIHFNVDTSSVNYWLRLLVREWAQFCIFLYIGWTFRSQDRAPRFSVMPKSKGELMVPPIYSIEMDAETFKEFSCREWHIGVPTSATYDRGLSDPIVVVVQHPHPHRLPTGTTSS >Potri.005G001700.1.v4.1 pep chromosome:Pop_tri_v4:5:198833:203233:1 gene:Potri.005G001700.v4.1 transcript:Potri.005G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001700.v4.1 MATETAPSHQTPSDHEPMEKVTEEVKPVEPEIVSVAKKTEEEEDLKPNELASQSTPLAKSEGEAEDEAEPPTVEVKMIDDAPIVDVPVDDEKELEKDSIPGSHTSSVLAPVTSVVDGESVAPAIEFVPKEAEEQPLSSSLEELPHEEPKTVDVPVSLEEAIEKPHEPSEVLIIKESEPIVAKNTEDSGVLKEVDKQELVIPEVEVKLQEKSEVGKQVEKPKTEVIEKPEEPLEVIPIKSEALLVKDAEDSEPVFKEDDKPESELVIPEMEVKLEEQSEVIKKVEPEEKSVEVIAKTQELEVLPTKESEAVLVKDIDGSQELSKEADKVEAVAPEPREVKMESEVTEQVEKTGEKSVEAIEKTQDSSEVHPIKESEAVAVKDINDSVAVPEVDKPESAVPEVEVKQEKQSEVIEQVEKPESAVPQVEVKLVEQPEVTEQVEKPESLGPEVEVKPEEQPEVTTHTEKQKKHEVELKTEARSEVSEQVEIKPTNEKPEEVAGITQEAEVDVKEDIGKSSLPEVVGKVGPEDEKREAEGTDPVEVPVKEVVVEAEKGGEEKEAQTTKDEGENIASETLKEELAHPIKVEDVSDAVSNAEVTEKSFEGEKTVENVVPAVEDKKEEIPAGKETEKDQKIEGKLDEATTIGSETVKESQDSGLEAKEEESAKNNKENSEQEKVDEIAKSDTQNLEPSTTKDADDIKVSQDLPREVPAKPTQKHSNNILTKVKQSLVKAKKAIIGKSPTPKTVSSDTKGDVKVNN >Potri.008G134800.1.v4.1 pep chromosome:Pop_tri_v4:8:8951091:8961610:-1 gene:Potri.008G134800.v4.1 transcript:Potri.008G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134800.v4.1 MSWEEEVVIRDVTNAGIVVSDRIGREVAAQIDLEEALEASRYASHPYSTHPREWPPLIEVEDTQELPPVLVERYNAAGGEATALCGIFPEVRRAWASVDNSLFLWRFDKWDGQCPEYSEEQAICAVGLAKSKPGVFVEAIQYLLVLSTPVELVLVGVCCSGSGDGADPYAEVSLQPLPEYTVPSDGVTMTCIVCTDRGRIFLSGRDGHIYELHYTTGSGWHKRCRKVCLTAGLGSVISRWVVPNVFKFGAVDPIVEMVVDNERQILYARTEEMKLQVYLLESNGDGPLKKVAEERNLFSQRDAHYGGRPSAGPRVPSRSAKPSIACISPLSTLESKWLHLVAVLSDGRRMYISTSPSSGNNGAVGGLGGFGTNHQKPNCLKVVTTRPSPPLGVSGGLAFGAISLANRTPNEDLTLKVETASYSAGTLVLSDSSPPTTSSLVIVSKDSSSQTSVSGSLGTSSRGSRALRETVSSVPVEGRMLFVADVLPLPDTAAMLQSLYSELDCFGFQSACEPCEKASIKLWARGDLAMQHVLPRRRVIIFSTMGMIEVVFNRPVDILRRLFESNSPRSILEDFFNRFGSGEAAAMCLMLAARIVHSENLISNQVAEKAAETYEDPRVVGMPQLEGSNVLSNTRTATGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLLPVWELPVFVSKGDVGPSDASFENGVVGCRLSVGAMQILENKVRSLEKFLKSRRNQRRGLYGCVAGLGDLTGSILYGAGSDSGTGDRSMVRNLFGTYPQSVEANGGGATNKRQRLPYSPAELAAMEVRAMECIRQLLLRSGEALFLLQLLSQHHITRVVQGLDASIRQSLVQLTFHQLVCSEEGDRLATMLIAVLMEYYTGPDGRGTVDDISGKLREGCPSYFKESDYKFFLAVECLERAAATPDPVEKENIAREAFNFLSKVPESADLRTVCKRFEDLRFYEAVVRLPLQKAQALDPAGDAFNEQLDAATREYALAQREQCYEIITSALHSLKGEASQKEFGSPVRPASTRPALDQASRKKYVCQIVQLAVQSPDRVFHEYLYWTMIDLGLENELLEYGGPDLVPFLQRAGREPLQKVHAVSAITPASSPIGHSGAPIASNQAKCFDLLARYYVLKRQHILAAHVLLRLAERRSTDAGDAPSLEQRRQYLSNAVLQAKNASDSGVVVGSTRGAMDTGLLDLLEGKLAVLRFQIKIKDELEAIASRLQSSSDMSEAVQNGSAHDSNAEAEQAKIAREKAKELSLDLKSITQLYNEYAVPFELWEICLEMLYFANYSGDADSSIVRETWARLIDQALSRGGVVEACSVLKRVGSYMYPGDGALLPLDTLCLHLEKAALERLESGVETVGDEDIARALLAACKGAIEPVLNTYDQLLSNGAILPSPNLRLRLLRSVLVVIREWAMSVFAQRMGTSAAGASLILGGSFSVEQTAVINQGIRDKITSAANRYMTEVRRLPLPQGRTEAVYQGFRELEESLISPFSFDRF >Potri.008G134800.2.v4.1 pep chromosome:Pop_tri_v4:8:8951133:8958948:-1 gene:Potri.008G134800.v4.1 transcript:Potri.008G134800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134800.v4.1 MTCIVCTDRGRIFLSGRDGHIYELHYTTGSGWHKRCRKVCLTAGLGSVISRWVVPNVFKFGAVDPIVEMVVDNERQILYARTEEMKLQVYLLESNGDGPLKKVAEERNLFSQRDAHYGGRPSAGPRVPSRSAKPSIACISPLSTLESKWLHLVAVLSDGRRMYISTSPSSGNNGAVGGLGGFGTNHQKPNCLKVVTTRPSPPLGVSGGLAFGAISLANRTPNEDLTLKVETASYSAGTLVLSDSSPPTTSSLVIVSKDSSSQTSVSGSLGTSSRGSRALRETVSSVPVEGRMLFVADVLPLPDTAAMLQSLYSELDCFGFQSACEPCEKASIKLWARGDLAMQHVLPRRRVIIFSTMGMIEVVFNRPVDILRRLFESNSPRSILEDFFNRFGSGEAAAMCLMLAARIVHSENLISNQVAEKAAETYEDPRVVGMPQLEGSNVLSNTRTATGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLLPVWELPVFVSKGDVGPSDASFENGVVGCRLSVGAMQILENKVRSLEKFLKSRRNQRRGLYGCVAGLGDLTGSILYGAGSDSGTGDRSMVRNLFGTYPQSVEANGGGATNKRQRLPYSPAELAAMEVRAMECIRQLLLRSGEALFLLQLLSQHHITRVVQGLDASIRQSLVQLTFHQLVCSEEGDRLATMLIAVLMEYYTGPDGRGTVDDISGKLREGCPSYFKESDYKFFLAVECLERAAATPDPVEKENIAREAFNFLSKVPESADLRTVCKRFEDLRFYEAVVRLPLQKAQALDPAGDAFNEQLDAATREYALAQREQCYEIITSALHSLKGEASQKEFGSPVRPASTRPALDQASRKKYVCQIVQLAVQSPDRVFHEYLYWTMIDLGLENELLEYGGPDLVPFLQRAGREPLQKVHAVSAITPASSPIGHSGAPIASNQAKCFDLLARYYVLKRQHILAAHVLLRLAERRSTDAGDAPSLEQRRQYLSNAVLQAKNASDSGVVVGSTRGAMDTGLLDLLEGKLAVLRFQIKIKDELEAIASRLQSSSDMSEAVQNGSAHDSNAEAEQAKIAREKAKELSLDLKSITQLYNEYAVPFELWEICLEMLYFANYSGDADSSIVRETWARLIDQALSRGGVVEACSVLKRVGSYMYPGDGALLPLDTLCLHLEKAALERLESGVETVGDEDIARALLAACKGAIEPVLNTYDQLLSNGAILPSPNLRLRLLRSVLVVIREWAMSVFAQRMGTSAAGASLILGGSFSVEQTAVINQGIRDKITSAANRYMTEVRRLPLPQGRTEAVYQGFRELEESLISPFSFDRF >Potri.008G134800.3.v4.1 pep chromosome:Pop_tri_v4:8:8951138:8958070:-1 gene:Potri.008G134800.v4.1 transcript:Potri.008G134800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134800.v4.1 MVVDNERQILYARTEEMKLQVYLLESNGDGPLKKVAEERNLFSQRDAHYGGRPSAGPRVPSRSAKPSIACISPLSTLESKWLHLVAVLSDGRRMYISTSPSSGNNGAVGGLGGFGTNHQKPNCLKVVTTRPSPPLGVSGGLAFGAISLANRTPNEDLTLKVETASYSAGTLVLSDSSPPTTSSLVIVSKDSSSQTSVSGSLGTSSRGSRALRETVSSVPVEGRMLFVADVLPLPDTAAMLQSLYSELDCFGFQSACEPCEKASIKLWARGDLAMQHVLPRRRVIIFSTMGMIEVVFNRPVDILRRLFESNSPRSILEDFFNRFGSGEAAAMCLMLAARIVHSENLISNQVAEKAAETYEDPRVVGMPQLEGSNVLSNTRTATGGFSMGQVVQEAEPVFSGAHEGLCLCSSRLLLPVWELPVFVSKGDVGPSDASFENGVVGCRLSVGAMQILENKVRSLEKFLKSRRNQRRGLYGCVAGLGDLTGSILYGAGSDSGTGDRSMVRNLFGTYPQSVEANGGGATNKRQRLPYSPAELAAMEVRAMECIRQLLLRSGEALFLLQLLSQHHITRVVQGLDASIRQSLVQLTFHQLVCSEEGDRLATMLIAVLMEYYTGPDGRGTVDDISGKLREGCPSYFKESDYKFFLAVECLERAAATPDPVEKENIAREAFNFLSKVPESADLRTVCKRFEDLRFYEAVVRLPLQKAQALDPAGDAFNEQLDAATREYALAQREQCYEIITSALHSLKGEASQKEFGSPVRPASTRPALDQASRKKYVCQIVQLAVQSPDRVFHEYLYWTMIDLGLENELLEYGGPDLVPFLQRAGREPLQKVHAVSAITPASSPIGHSGAPIASNQAKCFDLLARYYVLKRQHILAAHVLLRLAERRSTDAGDAPSLEQRRQYLSNAVLQAKNASDSGVVVGSTRGAMDTGLLDLLEGKLAVLRFQIKIKDELEAIASRLQSSSDMSEAVQNGSAHDSNAEAEQAKIAREKAKELSLDLKSITQLYNEYAVPFELWEICLEMLYFANYSGDADSSIVRETWARLIDQALSRGGVVEACSVLKRVGSYMYPGDGALLPLDTLCLHLEKAALERLESGVETVGDEDIARALLAACKGAIEPVLNTYDQLLSNGAILPSPNLRLRLLRSVLVVIREWAMSVFAQRMGTSAAGASLILGGSFSVEQTAVINQGIRDKITSAANRYMTEVRRLPLPQGRTEAVYQGFRELEESLISPFSFDRF >Potri.018G016300.1.v4.1 pep chromosome:Pop_tri_v4:18:1119161:1121372:1 gene:Potri.018G016300.v4.1 transcript:Potri.018G016300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016300.v4.1 MGHRHVHHQATDSLVNLFTKANNDLTLVQLKLEREFQQIYPDNANPVKLVNRIKKVQGDLTVLKDQCQELLAAKQDLIDKARTVLVGNRNLIQRMEVSIGVDVTGDAEDPAFVNFNQIIDEWTTQVRARTGDEKHDSDAEDINKLLFSAIVQNN >Potri.016G057100.1.v4.1 pep chromosome:Pop_tri_v4:16:3869294:3873582:1 gene:Potri.016G057100.v4.1 transcript:Potri.016G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057100.v4.1 MGDAFCSDCKRHTEVVFDHSAGDTVCSECGLVLESHSIDETSEWRTFANESGDNDPVRVGGPTNPLLTDGGLSTVIAKPNGASGEFLSSSLGRWQNRGSNPDRGLITAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLETGQSVEMGTIHAGDFMRRFCSNLGMSNHTVKAATEAVKTSEQFDIRRSPISIAAAVIYIITQLSDDKKPLRDISLATGVAEGTIRNSYKDLYPHVSKIIPSWYASEEDLKNLCSP >Potri.005G179100.1.v4.1 pep chromosome:Pop_tri_v4:5:18513637:18518785:-1 gene:Potri.005G179100.v4.1 transcript:Potri.005G179100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179100.v4.1 MGCGGSKVDDLPLVTLCRERKEEIKAASDHRYALAAAHVAYFHSLREVGDAIRRFVDEGLVIASSSTSPASPVLTLPPREGKSRHKSKDSSASTSLSHSADSKSKDDEVEDSHLHLSSGSDTESDSSGHIRFHDTPEEEEEAVREVPPTSYNNNFTDYQQPQGNWGFPNYSGDNPYYNQYPYPYPHENSYSNTFYMKRSATPAKKVVYEDPSVNGSSGYYGGGYFGYPMMGSPARQPSPEKPPPVPPSPPKVSTWDYFNVFDAYDNGGSGGYPGYYPNARYGYGSSTSSLDSKEVREREGIPDLEDEAEQEAMKEVHKEKKKAMKEVHKEKKKASEDMDLNGKMKFNEEMKRNYGEGTSKSGHIASSSESLESIKGKGIKSSMSPDTIQSPDSIVSKSPGEGTVRKKGVTFEVEDASNVTMDVESSKHSSVATSTTTLSAHGTRDLQDVVKEISDEFETAYDYGKEVALMLEVSKLPYQHQRRSTLLKVILSRILYLGSSHPPARPSARISSRTMKLAKAYAAEPGNDFDPRHRNLSSTLQEIYAWEKKLYKEVKDEERLRVMYEKQCKRLKILDDRGAESSKIDATQASVRKLLTKINVCIRAVDAISSKIHRLRDEELEPQITKLIHGLIRMWKSMHKCHQKQFQAIMESKVRSLKAQRDSGLKATVELEMELINWCTCFNNWINTQKSYVGSLNRWLLRCLNQEPEETPDGIAPFSPSRIGAPPIFVICNDWYQAIGRVSEEGVENAMLGFASSLHQLWERQGEEQRQRIKAEYLTKDFEKRLKTLRMEKERIEHEHDASLDKSTSKVPSDSGISPLDDLKVDLDSMRKKLEEERARHKEAAKLVHDAASSSLQAGLVPIFEALGSFTSEFLKAHEEIRLQDAGRP >Potri.005G179100.4.v4.1 pep chromosome:Pop_tri_v4:5:18513638:18518789:-1 gene:Potri.005G179100.v4.1 transcript:Potri.005G179100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179100.v4.1 MGCGGSKVDDLPLVTLCRERKEEIKAASDHRYALAAAHVAYFHSLREVGDAIRRFVDEGLVIASSSTSPASPVLTLPPREGKSRHKSKDSSASTSLSHSADSKSKDDEVEDSHLHLSSGSDTESDSSGHIRFHDTPEEEEEAVREVPPTSYNNNFTDYQQPQGNWGFPNYSGDNPYYNQYPYPYPHENSYSNTFYMKRSATPAKKVVYEDPSVNGSSGYYGGGYFGYPMMGSPARQPSPEKPPPVPPSPPKVSTWDYFNVFDAYDNGGSGGYPGYYPNARYGYGSSTSSLDSKEVREREGIPDLEDEAEQEAMKEVHKEKKKAMKEVHKEKKKASEDMDLNGKMKFNEEMKRNYGEGTSKSGHIASSSESLESIKGKGIKSSMSPDTIQSPDSIVSKSPGEGTVRKKGVTFEVEDASNVTMDVESSKHSSVATSTTTLSAHGTRDLQDVVKEISDEFETAYDYGKEVALMLEVSKLPYQHQRRSTLLKVILSRILYLGSSHPPARPSARISSRTMKLAKAYAAEPGNDFDPRHRNLSSTLQEIYAWEKKLYKEVKVWGIEASAFFFGCHDFIFRIFI >Potri.017G047700.1.v4.1 pep chromosome:Pop_tri_v4:17:3391308:3393391:-1 gene:Potri.017G047700.v4.1 transcript:Potri.017G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047700.v4.1 MESASGFSTKDLNEIKHLHNGGIGLNSDIILVLKLPDSRVMRVVSRSLFFVMIILALPFMGSILRELKSSYYLDVSGSASIDLEFFDLLLQNLAKEGLIKKGDKALIVFSGVGAVVDSSRLLNVNDIDFVSESDLEEESLFPNATFDFALTLHIKDAKFLDRIVKVGGILVAQLSNDPSNAFQKMSNYRVVYLRRYDSTIVAMRKTSLVNQIVSSVAKRRPLQLALDAKKEALQGLEDILLEPPRKTPEKSRMYLKGFKYLPDLLGDSLEGFSRRFFIDAGSQEEENGAMLWFNENYPTRNQDFEFHSINMLSEGVPGRVASPADVSNWLMKNVREDEFVVMKAEAEVAEEMMKRKTIGLVDELFLECNNQWQKGQRKKSRRAYWECVALYGRLRDEGVAVHQWWD >Potri.005G247200.2.v4.1 pep chromosome:Pop_tri_v4:5:24163246:24169520:-1 gene:Potri.005G247200.v4.1 transcript:Potri.005G247200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247200.v4.1 MAINPQSFLGFLSVTNKITRYRLPHLLRSSIPFSTLDPPQFATSQDNIKLQYNLGEDYVLNELSNLLPISPKPPIPHPYNHDRSISNKQVEIRPVFDRFLSPEEKLRGVFVQKIKGKSGIERALTECSVDLSLDVVAKVLNRGNLGGEAMIMFFNWAIKQPMISKDVDSYNVVIRALGRRKFIDFMVKFLHELRVEGVSMNSETLSIVIDSLVRARRVYKAIQMFGNLEEEFGFERDAESLNVLLQCLCRRSHVGAANSYFNSVKGKIPFNCMTYNVIIGGWSKFGRVSEMQRVFEEMEEDGFSPDCLSFSYLLEGLGRAGKIEDAVMIFGSLEEKGCVPDTNVYNAMISNFISVGNFDECMKYYRCLLSKNCDPNIDTYTRMISGLIKASKVADALEMFDEMLDRGMVTKTGTVTSFIEPLCSFGPPHAAMVIYTKARKVGCKISLSAYKLLLMRLSRFGKCGMMLKIWDEMQESGYSSDMEVYEYLISGLCNIGQFENAVLVMEESMRKGFCPSRFICSKLNNKLLASNKVERAYRLFLKIKHARHSENARRCWRSNGWHF >Potri.005G247200.3.v4.1 pep chromosome:Pop_tri_v4:5:24165778:24169686:-1 gene:Potri.005G247200.v4.1 transcript:Potri.005G247200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247200.v4.1 MAINPQSFLGFLSVTNKITRYRLPHLLRSSIPFSTLDPPQFATSQDNIKLQYNLGEDYVLNELSNLLPISPKPPIPHPYNHDRSISNKQVEIRPVFDRFLSPEEKLRGVFVQKIKGKSGIERALTECSVDLSLDVVAKVLNRGNLGGEAMIMFFNWAIKQPMISKDVDSYNVVIRALGRRKFIDFMVKFLHELRVEGVSMNSETLSIVIDSLVRARRVYKAIQMFGNLEEEFGFERDAESLNVLLQCLCRRSHVGAANSYFNSVKGKIPFNCMTYNVIIGGWSKFGRVSEMQRVFEEMEEDGFSPDCLSFSYLLEGLGRAGKIEDAVMIFGSLEEKGCVPDTNVYNAMISNFISVGNFDECMKYYRCLLSKNCDPNIDTYTRMISGLIKASKVADALEMFDEMLDRGMVTKTGTVTSFIEPLCSFGPPHAAMVIYTKARKVGCKISLSAYKLLLMRLSRFGKCGMMLKIWDEMQESGYSSDMEVYEYLISGLCNIGQFENAVLVMEESMRKGFCPSRFICSKLNNKLLASNKVERAYRLFLKIKHARHSENARRCWRSNGWHF >Potri.010G089700.3.v4.1 pep chromosome:Pop_tri_v4:10:11471517:11478688:-1 gene:Potri.010G089700.v4.1 transcript:Potri.010G089700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089700.v4.1 MDRNREARRVGMAASNGLSRRRHRSSSLRDSPEDDGPVELQETTKLRDRRKDRDRDRDRDRDRDRDRDRDREKDRDRDRISGRSKRRRGERLMHGSNKEDGERDETSDEESVNDDEDEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPQAKVFRAAPTMTNTTAAVTPWKATDEMIGVSVPRKARSASTKRSHECWTSSGGVGSEQIHRQASISPVRSSGPAMLASASASPAAPVSPPSSSNASVKKKMKPNGPKQRPPKSSSKSTSAQDEIEFEIAEVLYGLLRQPQGATKQEIMGNDSIKFDFREANHNKTTSDAKSRVSSPISNSQSTVPQPSSIPPSNSSSSAAPMSAIAPKRKRPRPVKYDDEHPTNFPARNSSILSIAKVDVDQPARIDSSNLENSGSAAENGGVSHDLLANQAAPAMTEAQLQEAVKLENHPISDSKPTTEESECRDLGGLIEETRSPKKESTPSLRLGDDCESLTANKANLMVSEIDSQREEKFQIDLMSQAPPPSRSSPERDSEIDFVAVDPKSMVTYGETEKKPVMVKEDEKALKVVKEDINVEPVEKKTKVIGEQVESQKPIVNKERNIDLQLDPGKGDRDSATVTISRNKLLQHVQQQQQPNTEKIAQSSSLPLPMSMTGWPGGLPHMGYMAPLQGVVSMDGSTVSSAAIQPPHLIFSQPRPKRCATHCYIARNIHCYQQFTRMNPFWPPAAGSALQYGAKACNMNVVPSADLHAGRGVNSAQEKGQSLAIFPGPCGKEKNSQGANIAEAAQRKQILLQQALPPGAPSNIMHGPTFIFPLNQQQAAVAAAAAAAAASVRPGSVKSPPAAGSVASSSVSSSASMSSTASAIAGPTPMSFNYPNLPGNETQYLAIMQNGAFPIPIPAHVGAAAAYRGTHPQAMPLFNGSFYSSQMLHPSQLQQQQPSTKTQQSQQGHQNPSITSGSSSSQKHLQNQQQRLYGSGVGGDGGNLQGFPGPKNQLPHSLPNQQRQQMQNQNVSHQARQLESEFGGEDSPSTADSQVSRPNMSHYGQNLMPIHPANFALMNPTPMSGAHSASGNTSEKKPQQPQTQISKAGAEPSTSQAFAMSFTSINGTTASPGLDFSSIAHDHALLQSLPEAARHGYHLIAAAQAAQQKKNYRVSEEGKTGGNDTSNVEEERKAIAGVKAPLTAGQSIVFSRADLTDSPISTMPVNNVIDSSARTLNLGTTPARTSGSVMSATISGANAPSIQQQMQRNQQQQQQQQQILQLQKQQHQFVAAASSRSKTPATSNGSAYPDHISSSSAMATKFPNPLSAFPQNFVQNSSSPAQSPQWKNSVRTTTSQVPSPSLTPASPTLKNLPQQQGRTQGGHTQISFAANQKPSASPQGQPNPSSNQSPSPPMMVGSPTTSISKSAGGSPRTSASTGNKGGQSSTLSSQQSNSASVPVQKSSPVGGRNVPSILGHPHNTSSSNSGTKPQMSHQQPLSKHALQQAQAQLMYTNGYMQAHAASSTNTTPAGGGFYLQRHRSDQQQQQSQGTSATSSTGMLSLCPPVTLAFTSSTDPAKAAANNMKGGGLPSQGLIHAQFAAAHPAGKPHQILPAGFSYVHPVPTVVQVKPAEKKQPAGE >Potri.010G089700.4.v4.1 pep chromosome:Pop_tri_v4:10:11471099:11478755:-1 gene:Potri.010G089700.v4.1 transcript:Potri.010G089700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089700.v4.1 MDRNREARRVGMAASNGLSRRRHRSSSLRDSPEDDGPVELQETTKLRDRRKDRDRDRDRDRDRDRDRDRDREKDRDRDRISGRSKRRRGERLMHGSNKEDGERDETSDEESVNDDEDEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPQAKVFRAAPTMTNTTAAVTPWKATDEMIGVSVPRKARSASTKRSHECWTSSGGVGSEQIHRQASISPVRSSGPAMLASASASPAAPVSPPSSSNASVKKKMKPNGPKQRPPKSSSKSTSAQDEIEFEIAEVLYGLLRQPQGATKQEIMGNDSIKFDFREANHNKTTSDAKSRVSSPISNSQSTVPQPSSIPPSNSSSSAAPMSAIAPKRKRPRPVKYDDEHPTNFPARNSSILSIAKVDVDQPARIDSSNLENSGSAAENGGVSHDLLANQAAPAMTEAQLQEAVKLENHPISDSKPTTEESECRDLGGLIEETRSPKKESTPSLRLGDDCESLTANKANLMVSEIDSQREEKFQIDLMAPPPSRSSPERDSEIDFVAVDPKSMVTYGETEKKPVMVKEDEKALKVVKEDINVEPVEKKTKVIGEQVESQKPIVNKERNIDLQLDPGKGDRDSATVTISRNKLLQHVQQQQQPNTEKIAQSSSLPLPMSMTGWPGGLPHMGYMAPLQGVVSMDGSTVSSAAIQPPHLIFSQPRPKRCATHCYIARNIHCYQQFTRMNPFWPPAAGSALQYGAKACNMNVVPSADLHAGRGVNSAQEKGQSLAIFPGPCGKEKNSQGANIAEAAQRKQILLQQALPPGAPSNIMHGPTFIFPLNQQQAAVAAAAAAAAASVRPGSVKSPPAAGSVASSSVSSSASMSSTASAIAGPTPMSFNYPNLPGNETQYLAIMQNGAFPIPIPAHVGAAAAYRGTHPQAMPLFNGSFYSSQMLHPSQLQQQQPSTKTQQSQQGHQNPSITSGSSSSQKHLQNQQQRLYGSGVGGDGGNLQGFPGPKNQLPHSLPNQQRQQMQNQNVSHQARQLESEFGGEDSPSTADSQVSRPNMSHYGQNLMPIHPANFALMNPTPMSGAHSASGNTSEKKPQQPQTQISKAGAEPSTSQAFAMSFTSINGTTASPGLDFSSIAHDHALLQSLPEAARHGYHLIAAAQAAQQKKNYRVSEEGKTGGNDTSNVEEERKAIAGVKAPLTAGQSIVFSRADLTDSPISTMPVNNVIDSSARTLNLGTTPARTSGSVMSATISGANAPSIQQQMQRNQQQQQQQQQILQLQKQQHQFVAAASSRSKTPATSNGSAYPDHISSSSAMATKFPNPLSAFPQNFVQNSSSPAQSPQWKNSVRTTTSQVPSPSLTPASPTLKNLPQQQGRTQGGHTQISFAANQKPSASPQGQPNPSSNQSPSPPMMVGSPTTSISKSAGGSPRTSASTGNKGGQSSTLSSQQSNSASVPVQKSSPVGGRNVPSILGHPHNTSSSNSGTKPQMSHQQPLSKHALQQAQAQLMYTNGYMQAHAASSTNTTPAGGGFYLQRHRSDQQQQQSQGTSATSSTGMLSLCPPVTLAFTSSTDPAKAAANNMKGGGLPSQGLIHAQFAAAHPAGKPHQILPAGFSYVHPVPTVVQVKPAEKKQPAGE >Potri.010G089700.1.v4.1 pep chromosome:Pop_tri_v4:10:11471100:11478675:-1 gene:Potri.010G089700.v4.1 transcript:Potri.010G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089700.v4.1 MDRNREARRVGMAASNGLSRRRHRSSSLRDSPEDDGPVELQETTKLRDRRKDRDRDRDRDRDRDRDRDRDREKDRDRDRISGRSKRRRGERLMHGSNKEDGERDETSDEESVNDDEDEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPQAKVFRAAPTMTNTTAAVTPWKATDEMIGVSVPRKARSASTKRSHECWTSSGGVGSEQIHRQASISPVRSSGPAMLASASASPAAPVSPPSSSNASVKKKMKPNGPKQRPPKSSSKSTSAQDEIEFEIAEVLYGLLRQPQGATKQEIMGNDSIKFDFREANHNKTTSDAKSRVSSPISNSQSTVPQPSSIPPSNSSSSAAPMSAIAPKRKRPRPVKYDDEHPTNFPARNSSILSIAKVDVDQPARIDSSNLENSGSAAENGGVSHDLLANQAAPAMTEAQLQEAVKLENHPISDSKPTTEESECRDLGGLIEETRSPKKESTPSLRLGDDCESLTANKANLMVSEIDSQREEKFQIDLMAPPPSRSSPERDSEIDFVAVDPKSMVTYGETEKKPVMVKEDEKALKVVKEDINVEPVEKKTKVIGEQVESQKPIVNKERNIDLQLDPGKGDRDSATVTISRNKLLQHVQQQQQPNTEKIAQSSSLPLPMSMTGWPGGLPHMGYMAPLQGVVSMDGSTVSSAAIQPPHLIFSQPRPKRCATHCYIARNIHCYQQFTRMNPFWPPAAGSALQYGAKACNMNVVPSADLHAGRGVNSAQEKGQSLAIFPGPCGKEKNSQGANIAEAAQRKQILLQQALPPGAPSNIMHGPTFIFPLNQQQAAVAAAAAAAAASVRPGSVKSPPAAGSVASSSVSSSASMSSTASAIAGPTPMSFNYPNLPGNETQYLAIMQNGAFPIPIPAHVGAAAAYRGTHPQAMPLFNGSFYSSQMLHPSQLQQQQPSTKTQQSQQGHQNPSITSGSSSSQKHLQNQQQRLYGSGVGGDGGNLQGFPGPKNQLPHSLPNQQRQQMQNQNVSHQARQLESEFGGEDSPSTADSQVSRPNMSHYGQNLMPIHPANFALMNPTPMSGAHSASGNTSEKKPQQPQTQISKAGAEPSTSQAFAMSFTSINGTTASPGLDFSSIAHDHALLQSLPEAARHGYHLIAAAQAAQQKKNYRVSEEGKTGGNDTSNVEEERKAIAGVKAPLTAGQSIVFSRADLTDSPISTMPVNNVIDSSARTLNLGTTPARTSGSVMSATISGANAPSIQQQMQRNQQQQQQQQQILQLQKQQHQFVAAASSRSKTPATSNGSAYPDHISSSSAMATKFPNPLSAFPQNFVQNSSSPAQSPQWKNSVRTTTSQVPSPSLTPASPTLKNLPQQQGRTQGGHTQISFAANQKPSASPQGQPNPSSNQSPSPPMMVGSPTTSISKSAGGSPRTSASTGNKGGQSSTLSSQQSNSASVPVQKSSPVGGRNVPSILGHPHNTSSSNSGTKPQMSHQQPLSKHALQQAQAQLMYTNGYMQAHAASSTNTTPAGGGFYLQRHRSDQQQQQSQGTSATSSTGMLSLCPPVTLAFTSSTDPAKAAANNMKGGGLPSQGLIHAQFAAAHPAGKPHQILPAGFSYVHPVPTVVQVKPAEKKQPAGE >Potri.010G089700.5.v4.1 pep chromosome:Pop_tri_v4:10:11471187:11478686:-1 gene:Potri.010G089700.v4.1 transcript:Potri.010G089700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089700.v4.1 MHGSNKEDGERDETSDEESVNDDEDEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPQAKVFRAAPTMTNTTAAVTPWKATDEMIGVSVPRKARSASTKRSHECWTSSGGVGSEQIHRQASISPVRSSGPAMLASASASPAAPVSPPSSSNASVKKKMKPNGPKQRPPKSSSKSTSAQDEIEFEIAEVLYGLLRQPQGATKQEIMGNDSIKFDFREANHNKTTSDAKSRVSSPISNSQSTVPQPSSIPPSNSSSSAAPMSAIAPKRKRPRPVKYDDEHPTNFPARNSSILSIAKVDVDQPARIDSSNLENSGSAAENGGVSHDLLANQAAPAMTEAQLQEAVKLENHPISDSKPTTEESECRDLGGLIEETRSPKKESTPSLRLGDDCESLTANKANLMVSEIDSQREEKFQIDLMAPPPSRSSPERDSEIDFVAVDPKSMVTYGETEKKPVMVKEDEKALKVVKEDINVEPVEKKTKVIGEQVESQKPIVNKERNIDLQLDPGKGDRDSATVTISRNKLLQHVQQQQQPNTEKIAQSSSLPLPMSMTGWPGGLPHMGYMAPLQGVVSMDGSTVSSAAIQPPHLIFSQPRPKRCATHCYIARNIHCYQQFTRMNPFWPPAAGSALQYGAKACNMNVVPSADLHAGRGVNSAQEKGQSLAIFPGPCGKEKNSQGANIAEAAQRKQILLQQALPPGAPSNIMHGPTFIFPLNQQQAAVAAAAAAAAASVRPGSVKSPPAAGSVASSSVSSSASMSSTASAIAGPTPMSFNYPNLPGNETQYLAIMQNGAFPIPIPAHVGAAAAYRGTHPQAMPLFNGSFYSSQMLHPSQLQQQQPSTKTQQSQQGHQNPSITSGSSSSQKHLQNQQQRLYGSGVGGDGGNLQGFPGPKNQLPHSLPNQQRQQMQNQNVSHQARQLESEFGGEDSPSTADSQVSRPNMSHYGQNLMPIHPANFALMNPTPMSGAHSASGNTSEKKPQQPQTQISKAGAEPSTSQAFAMSFTSINGTTASPGLDFSSIAHDHALLQSLPEAARHGYHLIAAAQAAQQKKNYRVSEEGKTGGNDTSNVEEERKAIAGVKAPLTAGQSIVFSRADLTDSPISTMPVNNVIDSSARTLNLGTTPARTSGSVMSATISGANAPSIQQQMQRNQQQQQQQQQILQLQKQQHQFVAAASSRSKTPATSNGSAYPDHISSSSAMATKFPNPLSAFPQNFVQNSSSPAQSPQWKNSVRTTTSQVPSPSLTPASPTLKNLPQQQGRTQGGHTQISFAANQKPSASPQGQPNPSSNQSPSPPMMVGSPTTSISKSAGGSPRTSASTGNKGGQSSTLSSQQSNSASVPVQKSSPVGGRNVPSILGHPHNTSSSNSGTKPQMSHQQPLSKHALQQAQAQLMYTNGYMQAHAASSTNTTPAGGGFYLQRHRSDQQQQQSQGTSATSSTGMLSLCPPVTLAFTSSTDPAKAAANNMKGGGLPSQGLIHAQFAAAHPAGKPHQILPAGFSYVHPVPTVVQVKPAEKKQPAGE >Potri.010G089700.6.v4.1 pep chromosome:Pop_tri_v4:10:11471741:11478675:-1 gene:Potri.010G089700.v4.1 transcript:Potri.010G089700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089700.v4.1 MVTYGETEKKPVMVKEDEKALKVVKEDINVEPVEKKTKVIGEQVESQKPIVNKERNIDLQLDPGKGDRDSATVTISRNKLLQHVQQQQQPNTEKIAQSSSLPLPMSMTGWPGGLPHMGYMAPLQGVVSMDGSTVSSAAIQPPHLIFSQPRPKRCATHCYIARNIHCYQQFTRMNPFWPPAAGSALQYGAKACNMNVVPSADLHAGRGVNSAQEKGQSLAIFPGPCGKEKNSQGANIAEAAQRKQILLQQALPPGAPSNIMHGPTFIFPLNQQQAAVAAAAAAAAASVRPGSVKSPPAAGSVASSSVSSSASMSSTASAIAGPTPMSFNYPNLPGNETQYLAIMQNGAFPIPIPAHVGAAAAYRGTHPQAMPLFNGSFYSSQMLHPSQLQQQQPSTKTQQSQQGHQNPSITSGSSSSQKHLQNQQQRLYGSGVGGDGGNLQGFPGPKNQLPHSLPNQQRQQMQNQNVSHQARQLESEFGGEDSPSTADSQVSRPNMSHYGQNLMPIHPANFALMNPTPMSGAHSASGNTSEKKPQQPQTQISKAGAEPSTSQAFAMSFTSINGTTASPGLDFSSIAHDHALLQSLPEAARHGYHLIAAAQAAQQKKNYRVSEEGKTGGNDTSNVEEERKAIAGVKAPLTAGQSIVFSRADLTDSPISTMPVNNVIDSSARTLNLGTTPARTSGSVMSATISGANAPSIQQQMQRNQQQQQQQQQILQLQKQQHQFVAAASSRSKTPATSNGSAYPDHISSSSAMATKFPNPLSAFPQNFVQNSSSPAQSPQWKNSVRTTTSQVPSPSLTPASPTLKNLPQQQGRTQGGHTQISFAANQKPSASPQGQPNPSSNQSPSPPMMVGSPTTSISKSAGGSPRTSASTGNKGGQSSTLSSQQSNSASVPVQKSSPVGGRNVPSILGHPHNTSSSNSGTKPQMSHQQPLSKHALQQAQAQLMYTNGYMQAHAASSTNTTPAGGGFYLQRHRSDQQQQQSQGTSATSSTGMLSLCPPVTLAFTSSTDPAKAAANNMKGGGLPSQGLIHAQFAAAHPAGKPHQILPAGFSYVHPVPTVVQVKPAEKKQPAGE >Potri.010G089700.8.v4.1 pep chromosome:Pop_tri_v4:10:11471080:11475893:-1 gene:Potri.010G089700.v4.1 transcript:Potri.010G089700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089700.v4.1 MAPLQGVVSMDGSTVSSAAIQPPHLIFSQPRPKRCATHCYIARNIHCYQQFTRMNPFWPPAAGSALQYGAKACNMNVVPSADLHAGRGVNSAQEKGQSLAIFPGPCGKEKNSQGANIAEAAQRKQILLQQALPPGAPSNIMHGPTFIFPLNQQQAAVAAAAAAAAASVRPGSVKSPPAAGSVASSSVSSSASMSSTASAIAGPTPMSFNYPNLPGNETQYLAIMQNGAFPIPIPAHVGAAAAYRGTHPQAMPLFNGSFYSSQMLHPSQLQQQQPSTKTQQSQQGHQNPSITSGSSSSQKHLQNQQQRLYGSGVGGDGGNLQGFPGPKNQLPHSLPNQQRQQMQNQNVSHQARQLESEFGGEDSPSTADSQVSRPNMSHYGQNLMPIHPANFALMNPTPMSGAHSASGNTSEKKPQQPQTQISKAGAEPSTSQAFAMSFTSINGTTASPGLDFSSIAHDHALLQSLPEAARHGYHLIAAAQAAQQKKNYRVSEEGKTGGNDTSNVEEERKAIAGVKAPLTAGQSIVFSRADLTDSPISTMPVNNVIDSSARTLNLGTTPARTSGSVMSATISGANAPSIQQQMQRNQQQQQQQQQILQLQKQQHQFVAAASSRSKTPATSNGSAYPDHISSSSAMATKFPNPLSAFPQNFVQNSSSPAQSPQWKNSVRTTTSQVPSPSLTPASPTLKNLPQQQGRTQGGHTQISFAANQKPSASPQGQPNPSSNQSPSPPMMVGSPTTSISKSAGGSPRTSASTGNKGGQSSTLSSQQSNSASVPVQKSSPVGGRNVPSILGHPHNTSSSNSGTKPQMSHQQPLSKHALQQAQAQLMYTNGYMQAHAASSTNTTPAGGGFYLQRHRSDQQQQQSQGTSATSSTGMLSLCPPVTLAFTSSTDPAKAAANNMKGGGLPSQGLIHAQFAAAHPAGKPHQILPAGFSYVHPVPTVVQVKPAEKKQPAGE >Potri.010G089700.7.v4.1 pep chromosome:Pop_tri_v4:10:11471316:11475990:-1 gene:Potri.010G089700.v4.1 transcript:Potri.010G089700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089700.v4.1 MVKEDEKALKVVKEDINVEPVEKKTKVIGEQVESQKPIVNKERNIDLQLDPGKGDRDSATVTISRNKLLQHVQQQQQPNTEKIAQSSSLPLPMSMTGWPGGLPHMGYMAPLQGVVSMDGSTVSSAAIQPPHLIFSQPRPKRCATHCYIARNIHCYQQFTRMNPFWPPAAGSALQYGAKACNMNVVPSADLHAGRGVNSAQEKGQSLAIFPGPCGKEKNSQGANIAEAAQRKQILLQQALPPGAPSNIMHGPTFIFPLNQQQAAVAAAAAAAAASVRPGSVKSPPAAGSVASSSVSSSASMSSTASAIAGPTPMSFNYPNLPGNETQYLAIMQNGAFPIPIPAHVGAAAAYRGTHPQAMPLFNGSFYSSQMLHPSQLQQQQPSTKTQQSQQGHQNPSITSGSSSSQKHLQNQQQRLYGSGVGGDGGNLQGFPGPKNQLPHSLPNQQRQQMQNQNVSHQARQLESEFGGEDSPSTADSQVSRPNMSHYGQNLMPIHPANFALMNPTPMSGAHSASGNTSEKKPQQPQTQISKAGAEPSTSQAFAMSFTSINGTTASPGLDFSSIAHDHALLQSLPEAARHGYHLIAAAQAAQQKKNYRVSEEGKTGGNDTSNVEEERKAIAGVKAPLTAGQSIVFSRADLTDSPISTMPVNNVIDSSARTLNLGTTPARTSGSVMSATISGANAPSIQQQMQRNQQQQQQQQQILQLQKQQHQFVAAASSRSKTPATSNGSAYPDHISSSSAMATKFPNPLSAFPQNFVQNSSSPAQSPQWKNSVRTTTSQVPSPSLTPASPTLKNLPQQQGRTQGGHTQISFAANQKPSASPQGQPNPSSNQSPSPPMMVGSPTTSISKSAGGSPRTSASTGNKGGQSSTLSSQQSNSASVPVQKSSPVGGRNVPSILGHPHNTSSSNSGTKPQMSHQQPLSKHALQQAQAQLMYTNGYMQAHAASSTNTTPAGGGFYLQRHRSDQQQQQSQGTSATSSTGMLSLCPPVTLAFTSSTDPAKAAANNMKGGGLPSQGLIHAQFAAAHPAGKPHQILPAGFSYVHPVPTVVQVKPAEKKQPAGE >Potri.006G086900.2.v4.1 pep chromosome:Pop_tri_v4:6:6520212:6522410:-1 gene:Potri.006G086900.v4.1 transcript:Potri.006G086900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086900.v4.1 MEYDERYLQAQTPKYSCLLFDLDDTLYPLSSGIAAACGKNIKDYMVERLGIEESKLAELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPVLRGLLLSLPVRKVIFTNADKVHARKVLRKLGLEDCFEGIICFETLNPTHKNTVSDDEDDIEFVGSVVTPSTTNGSYTTTTSAPEIFDIVGHFAQPNPNSVLPKTPIVCKPSEAAIERALKIANINPQRTLFFDDSVRNIQAGKRVGLQTVLVGYSQRVKGADFALESIHNIRQALPELWETDIKSEVGYPGKVTVETPVTA >Potri.006G086900.3.v4.1 pep chromosome:Pop_tri_v4:6:6520196:6523106:-1 gene:Potri.006G086900.v4.1 transcript:Potri.006G086900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086900.v4.1 MEYDERYLQAQTPKYSCLLFDLDDTLYPLSSGIAAACGKNIKDYMVERLGIEESKLAELGNLLYKNYGTTMAGLRAIGYDFDYDEYHSFVHGRLPYENLKPDPVLRGLLLSLPVRKVIFTNADKVHARKVLRKLGLEDCFEGIICFETLNPTHKNTVSDDEDDIEFVGSVVTPSTTNGSYTTTTSAPEIFDIVGHFAQPNPNSVLPKTPIVCKPSEAAIERALKIANINPQRTLFFDDSVRNIQAGKRVGLQTVLVGYSQRVKGADFALESIHNIRQALPELWETDIKSEVGYPGKVTVETPVTA >Potri.016G065000.1.v4.1 pep chromosome:Pop_tri_v4:16:4544593:4548555:1 gene:Potri.016G065000.v4.1 transcript:Potri.016G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065000.v4.1 MGSLPHVVEDCGGVVQLFSDGTIYRSKDIGFPIPIINDQSIVFKDCLFDKTNNLHLRLYKPTSMSPSSPAKKFSVILFLHGGGFCVGTRDWPNFHNCCLKLASGLNALVVAPDYRLAPEHRLPAAMEDGYSALQWLQAQVLSDKGDAWVNGGEVDYDQVFILGDSSGGNIAHHLAVQIGAGSTGLAPVRVRGYILMAPFFGGVARTKSEEGPSEHLLNLEILDRFWRLSMPAGASRDHPLANPFGPGSLNIELVALDPILVIVGSCELLRDRSEDYARRLKEMGKKIEYVEFEGKQHGFFTNDPYSEASEEVIQGMKRFMIENSC >Potri.001G422800.15.v4.1 pep chromosome:Pop_tri_v4:1:45193709:45203356:-1 gene:Potri.001G422800.v4.1 transcript:Potri.001G422800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422800.v4.1 MAIESVGGSIISKIAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKDVEAAERNAEEIKKVVKKWMEDANSKIEGAKPLENEIGRNGKCFPWCPNCMRQFKLSKALAKKSETFRELLEKKPTKVSYRAHPQPIEFLPSKDFTPSESSKEALEQIMKALKDDTVNMIGLYGMGGVGKTTLVKEVGRRAKELQLFDEVLMATVSQNPNVTDIQHQMADKLGLDIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPIGDDHRGCKILLTTRRTNVCSSMKCQQKVFLRELPEKEAWDLFRINADLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYGFMVKAGLGLEKWPMSDTSFEGCTTISLMGNQLAELPEGLVCPKLKVLLLEVDSGLNVPERFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLVLISCNCKDLIRLRKLQRLKILGLVSCSSIEELPDEIGELKELRLLDVTGCERLRRIPVNLIGRLKKLEELLIGHRSFDGWDDVGCDSTGGMNARLTELNSLSQLAVLSLRIPKVECIPRDFVFPDSLRKYDIILGNADGYYRMFRDRYPTSLISTAGTSLNAKTFEQLFLHKLESVQVSSCGDVFTLFPARLRQGFKNLKEVIVDSCKSLKEVFELGEADEGSSEEKEMPLLSSLTELKLKILPELKCIWKGPTRHVNLQSLINLELYALDKLTFIFTPSLAYSLPKLQRLYISDCGELKHIIREEDGEREIIPESPCFPQLKNIYIYFCDKLEYVFPVSLSHNRDGIIKFPQLRELSLGLRSNYSFLGPRNFDAQLPLQYLAIQGHEEVGNWLAQLQQNGFLQRLEFVRVDDCGDVRPPFPAKLLRALKNLKSVEIEDCKSLEEVFELGEPDEGSSEEKELLSSLTMLDLQRLPEVKCIWKGPTRYVSLQSLNILKLRSLDKLTFIFTPSLARSLPKLAGLYINNCAELQHIIREEAGEREIIQESPGFPELKTIIIEECGKLEYVFPVSVSPSLLNLEEMRIFKAHNLKQIFYSVEGDALTTDGIIKFPKLRKLSISNCSFFGPKNFAAQLPSLQYLKIDGHKELGNLSAQIQGLTNLKTLRLKSLPDMSCIWKGLVLSKLTTLEMAVHGQQNDFLQRLKYVEVDDCGDVRAPFPAKLLRALKILSSVQIEDCKSLEEVFELGEPYEGSSEEKELPLPSSLTWLRLYQLPELKCIWKGPTSHVSLQSLAYLYLNSLDKLTFIFTPSLVQSLPQLESLHINKCGELKHIIREEDGEREIIPEPPCFPKLKTISIKECGKLEYVFPVSVSPSLLNLEEMQIFEAHNLKQIFYSGEGDALTRDAIIKFPKLRRLSLSNCSFFATKNFAAQLPSLQILEIDGHKELGNLFAQLQGFTNLKELRLHHLPDTRCVWKGLSKLTTLKQNGFLQRLKFVRVDDCGDVRTPFPAKLLRALNNLKKVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLERLYISKCGQLKHIIREENGEKEIIPESPGQDDQASPINVEKEIVLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVHQCPRLTTKFATTPDGSMSAQSEVSEVAEYSSFKREWTRNNGWKEVEEEEEEEEDDDDVGSEEEEEEEEEEEDDDDDC >Potri.001G422800.16.v4.1 pep chromosome:Pop_tri_v4:1:45193743:45202800:-1 gene:Potri.001G422800.v4.1 transcript:Potri.001G422800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422800.v4.1 MAIESVGGSIISKIAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKDVEAAERNAEEIKKVVKKWMEDANSKIEGAKPLENEIGRNGKCFPWCPNCMRQFKLSKALAKKSETFRELLEKKPTKVSYRAHPQPIEFLPSKDFTPSESSKEALEQIMKALKDDTVNMIGLYGMGGVGKTTLVKEVGRRAKELQLFDEVLMATVSQNPNVTDIQHQMADKLGLDIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPIGDDHRGCKILLTTRRTNVCSSMKCQQKVFLRELPEKEAWDLFRINADLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYGFMVKAGLGLEKWPMSDTSFEGCTTISLMGNQLAELPEGLVCPKLKVLLLEVDSGLNVPERFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLVLISCNCKDLIRLRKLQRLKILGLVSCSSIEELPDEIGELKELRLLDVTGCERLRRIPVNLIGRLKKLEELLIGHRSFDGWDDVGCDSTGGMNARLTELNSLSQLAVLSLRIPKVECIPRDFVFPDSLRKYDIILGNADGYYRMFRDRYPTSLISTAGTSLNAKTFEQLFLHKLESVQVSSCGDVFTLFPARLRQGFKNLKEVIVDSCKSLKEVFELGEADEGSSEEKEMPLLSSLTELKLKILPELKCIWKGPTRHVNLQSLINLELYALDKLTFIFTPSLAYSLPKLQRLYISDCGELKHIIREEDGEREIIPESPCFPQLKNIYIYFCDKLEYVFPVSLSHNRDGIIKFPQLRELSLGLRSNYSFLGPRNFDAQLPLQYLAIQGHEEVGNWLAQLQQNGFLQRLEFVRVDDCGDVRPPFPAKLLRALKNLKSVEIEDCKSLEEVFELGEPDEGSSEEKELLSSLTMLDLQRLPEVKCIWKGPTRYVSLQSLNILKLRSLDKLTFIFTPSLARSLPKLAGLYINNCAELQHIIREEAGEREIIQESPGFPELKTIIIEECGKLEYVFPVSVSPSLLNLEEMRIFKAHNLKQIFYSVEGDALTTDGIIKFPKLRKLSISNCSFFGPKNFAAQLPSLQYLKIDGHKELGNLSAQIQGLTNLKTLRLKSLPDMSCIWKGLVLSKLTTLEMAVHGQQNDFLQRLKYVEVDDCGDVRAPFPAKLLRALKILSSVQIEDCKSLEEVFELGEPYEGSSEEKELPLPSSLTWLRLYQLPELKCIWKGPTSHVSLQSLAYLYLNSLDKLTFIFTPSLVQSLPQLESLHINKCGELKHIIREEDGEREIIPEPPCFPKLKTISIKECGKLEYVFPVSVSPSLLNLEEMQIFEAHNLKQIFYSGEGDALTRDAIIKFPKLRRLSLSNCSFFATKNFAAQLPSLQILEIDGHKELGNLFAQLQGFTNLKELRLHHLPDTRCVWKGLSKLTTLKQNGFLQRLKFVRVDDCGDVRTPFPAKLLRALNNLKKVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLERLYISKCGQLKHIIREENGEKEIIPESPGQDDQASPINVEKEIVLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVHQCPRLTTKFATTPDGSMSAQSEVSEVAEYSSFKREWTRNNGWKEVEEEEEEEEDDDDVGSEEEEEEEEEEEDDDDDC >Potri.001G422800.17.v4.1 pep chromosome:Pop_tri_v4:1:45193864:45202606:-1 gene:Potri.001G422800.v4.1 transcript:Potri.001G422800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422800.v4.1 MAIESVGGSIISKIAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKDVEAAERNAEEIKKVVKKWMEDANSKIEGAKPLENEIGRNGKCFPWCPNCMRQFKLSKALAKKSETFRELLEKKPTKVSYRAHPQPIEFLPSKDFTPSESSKEALEQIMKALKDDTVNMIGLYGMGGVGKTTLVKEVGRRAKELQLFDEVLMATVSQNPNVTDIQHQMADKLGLDIKEKSTNAGRADRLWQRLKQVEKMLIILDDVWKYIDLKEIGIPIGDDHRGCKILLTTRRTNVCSSMKCQQKVFLRELPEKEAWDLFRINADLLDGHPTLNTVAREVARECQGLPIALVTVGRALRDQSALQWELACRQLKESQFARMEQIDEKNNAYTCLKLSYDYLKLQETKSCFVLCCLFPEDYDIPIQDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIHIASEEYGFMVKAGLGLEKWPMSDTSFEGCTTISLMGNQLAELPEGLVCPKLKVLLLEVDSGLNVPERFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLVLISCNCKDLIRLRKLQRLKILGLVSCSSIEELPDEIGELKELRLLDVTGCERLRRIPVNLIGRLKKLEELLIGHRSFDGWDDVGCDSTGGMNARLTELNSLSQLAVLSLRIPKVECIPRDFVFPDSLRKYDIILGNADGYYRMFRDRYPTSLISTAGTSLNAKTFEQLFLHKLESVQVSSCGDVFTLFPARLRQGFKNLKEVIVDSCKSLKEVFELGEADEGSSEEKEMPLLSSLTELKLKILPELKCIWKGPTRHVNLQSLINLELYALDKLTFIFTPSLAYSLPKLQRLYISDCGELKHIIREEDGEREIIPESPCFPQLKNIYIYFCDKLEYVFPVSLSHNRDGIIKFPQLRELSLGLRSNYSFLGPRNFDAQLPLQYLAIQGHEEVGNWLAQLQQNGFLQRLEFVRVDDCGDVRPPFPAKLLRALKNLKSVEIEDCKSLEEVFELGEPDEGSSEEKELLSSLTMLDLQRLPEVKCIWKGPTRYVSLQSLNILKLRSLDKLTFIFTPSLARSLPKLAGLYINNCAELQHIIREEAGEREIIQESPGFPELKTIIIEECGKLEYVFPVSVSPSLLNLEEMRIFKAHNLKQIFYSVEGDALTTDGIIKFPKLRKLSISNCSFFGPKNFAAQLPSLQYLKIDGHKELGNLSAQIQGLTNLKTLRLKSLPDMSCIWKGLVLSKLTTLEQNDFLQRLKYVEVDDCGDVRAPFPAKLLRALKILSSVQIEDCKSLEEVFELGEPYEGSSEEKELPLPSSLTWLRLYQLPELKCIWKGPTSHVSLQSLAYLYLNSLDKLTFIFTPSLVQSLPQLESLHINKCGELKHIIREEDGEREIIPEPPCFPKLKTISIKECGKLEYVFPVSVSPSLLNLEEMQIFEAHNLKQIFYSGEGDALTRDAIIKFPKLRRLSLSNCSFFATKNFAAQLPSLQILEIDGHKELGNLFAQLQGFTNLKELRLHHLPDTRCVWKGLSKLTTLKQNGFLQRLKFVRVDDCGDVRTPFPAKLLRALNNLKKVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLERLYISKCGQLKHIIREENGEKEIIPESPGQDDQASPINVEKEIVLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVHQCPRLTTKFATTPDGSMSAQSEVSEVAEYSSFKREWTRNNGWKEVEEEEEEEEDDDDVGSEEEEEEEEEEEDDDDDC >Potri.006G220201.1.v4.1 pep chromosome:Pop_tri_v4:6:22566092:22570746:-1 gene:Potri.006G220201.v4.1 transcript:Potri.006G220201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220201.v4.1 MVEDAQGNEAPIQRLADSIAGPFVYSVMTISAVTFSFWYYTGSHFFPDVLLNDIAGPDGDPLLLSLKLSVDVLIVSCPCALGLATPTAILVGTLLRAKQGLLIRGGDVLERLASINYVALDKTGTLTEGKPAVSAVSSISYKDSEILQMAAAVEKTALHPIARAIVNKAESLKLTIPVTRGQLTEPGFGTLAEVDGSLVAVGSLDWVQERFQRRTSMSDLKDLETEVMYQSSEGMSSSSYSKTVVYVGREGEGIIGAIAISDGRLQQNGINMVLLSGDREEAVATIAKRSWDR >Potri.006G232700.1.v4.1 pep chromosome:Pop_tri_v4:6:23552352:23554112:1 gene:Potri.006G232700.v4.1 transcript:Potri.006G232700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232700.v4.1 MGICISSASSEIHQADDGLENVMHVQEDIVSHGIEKRGSLYSKEGSKGVNQDAAVVHQGYGMEHGAFCGVFDGHGKNGHIVSRTVRNRLPSLLLNQKNALEKIKTVRDHNNEKADGGLAPLPSESFHKWKEACICAFKVMDKEIKLQEGLDCSCSGTTAVVVVRQGEDLIIANLGDSRAVLGRTNDQKGIMPVQLTTDLKPGVPSEARRIRQCNGRVLALKEEPHVHRVWLPHEDSPGLAMSRAFGDFLLKNHGIIALPDISYHRVTSKDQFIILASDGVWDVLSNKEVVSIVSAADSEQAAAKAVVEAATAAWKRKFTSSKVDDCTVVCLFLQTRK >Potri.007G040700.1.v4.1 pep chromosome:Pop_tri_v4:7:3349656:3352684:1 gene:Potri.007G040700.v4.1 transcript:Potri.007G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040700.v4.1 MSALSRASDTTTCLSLRLPSSPLHSNLTNTVPLCSFKFHINHRCRHRHSLISPHLLKTPNPFPSMAHSNNPSSDTVDPTCAEIIVVRHGETVWNVDGRLQGHIDVELNEVGREQAAVVADRLSREFKVSAVYSSDLKRAFETAEKIAATCGIAEVIKDPDLRERHLGDLQGLVLQEAAKVSAVAYRAFKSHRTNQDIPGGGESLDKLYDRCTSSLERIAEKHTGERVVVVTHGGVIRELYQRACPNGKSGGRVLNTSINIFHISDGDRWTIKTWGDVSHLNETGYLKSGFGGDKTSG >Potri.015G014300.1.v4.1 pep chromosome:Pop_tri_v4:15:951796:960979:1 gene:Potri.015G014300.v4.1 transcript:Potri.015G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014300.v4.1 MIIKRNLKSQMPSLKRCNKLGDYSACEEDDNSPLSRRKKRKLKSNSHHGSSGYYPLNLLREVAAGVIPVSLKSLNGFAAAASWCTEVSCSPPESNARDSMKMRAVNDNGNCNSNRTVEVSRPPLVRTSRGRVQVLPSRFNDSVIEIWRKESKTNLHDYSFGDNDNDNDEDVVDDDDDDVDYDIQFNSNSSRKVKVKVKMGFGLRRMGRNVSKVKKQSRHCAGKYVDTCEEEEEKEDDEVKFKGGFDMKKYYSSCSRSTLTSVHENLVVDDTECGGVLDSSSGERKEDELFGPEDFYSGDIVWAKSGNKYPFWPAIVIDPMTQAPELVLRSCIADAACVMFFGCSGNDGNQRDYAWVQRGMIFPFMDFLDRFQEQSELDDFNGDFQMAFEEAFLAEQGFTEKLIQDMNTAAGNPIYDESVYRCLQEATGSNQDQDFHSPNQDMIWKNKDKGPCEGCGTSLSLKTAKKMKCSNPGGQFLCKKCARLTKSKHFCGICKKVWNHSDSGSWARCDGCKVWIHAECDRISSNHFKDLGGIDYYCPTCKAKFNFELSDSEKSQLKCKSNKIKGQPALPNKVTVICSGMEGTYFPSLHMVVCKCGFCGSEKQALSEWEQHTGSKIKNWRISIRVKDSMLLLEQWMMQLAEYHAHASSTKPQKRPSIKERKQKLLAFLQVRYDPVFTKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVQDFTSWVCKACETPDVRRECCLCPVKGGALKPTDVESLWVHVTCAWFQPEVSFASDEKMEPALGILSIPSNSFVKICVICQQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQTTRMISYCACHRAPNPDTVLIIQTPAGVFSAKSLVQNKKSAGTRLISSNRIKLEEESMEEATKSEPHSAARCRVFKRVNSNKKRTEEEAIYHRLTRPCHHPFLEIQSLNAFRVVEEPKSFSSFRERLYHLQRTENDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRGSIADLREVRYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDNESRIVLIAKTNVPAGDELTYDYLFDPDEPDEFKVPCLCKAPNCRKFMN >Potri.003G061700.1.v4.1 pep chromosome:Pop_tri_v4:3:8822370:8832577:1 gene:Potri.003G061700.v4.1 transcript:Potri.003G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PSD2 MGHGSSKELPGTESTNSEGGSTRFSRMKQKLHFHSKDQHHPHLRRIFRRISVSRGNGTSSSSSTSALKLVSAEDFAGIALLTLISADMQFKDRWLACVSLGEQTFRTHISHQTHKPVWNSEKKLMLEKNGPHLARISVFETNRVSKNKLVGYCEIDLLEFLAQDSDSDFEVFELFDPASAGKVVGRISLSCSVEDPIETEKNFARRILGIVDYNEDGQLSFSEFSDLIKAFGNQVADKKKEELFKVADKNGDGVVSMDELAELLAIQQESVPLINCCPVCGEVLEVSDKLNTLVHLSLCFDEGTGNQVMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLNSGSSASHILVFDRKTKRLVEELIDKKIVLSMRAIYQSKIGLGLMDKGAKEILQSISEKQGREMNTIESARDIPKFVAFFKDQINLAEIKYPLEHFKTFNEFFIRELKPGARPIASVEHDDVAICAADCRLMAFKSVEDSLRFWIKGRKFSIQGLLGNEIYSSAFADGTLVIFRLAPQDYHRFHSPVSGTIDKFVKIPGCLYTVNPIAVNSKYCNVFTQNKREVSIISTAHFGKVAFVAIGATMVGSITFSKKAGDHVKKGDELGYFSFGGSTVICVFEKDVIKIDEDLLANSARSLETLVTVGMSLGVATKKQTKVGMP >Potri.005G136800.1.v4.1 pep chromosome:Pop_tri_v4:5:10647175:10647816:-1 gene:Potri.005G136800.v4.1 transcript:Potri.005G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136800.v4.1 MAQMKLLCAFVFLVLFFSQELKFIEGRHLKTRTSNKFLQKELVENNSKLHVNEKSDKTVNATPMSPSSPPTPVVSEPHPSPPGHVDDFRPTTPGHSPGVGHSLQN >Potri.006G154000.3.v4.1 pep chromosome:Pop_tri_v4:6:13726361:13729083:1 gene:Potri.006G154000.v4.1 transcript:Potri.006G154000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154000.v4.1 MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGKKPVPQKRTAEEEELANLQAEMAL >Potri.006G154000.8.v4.1 pep chromosome:Pop_tri_v4:6:13726103:13729160:1 gene:Potri.006G154000.v4.1 transcript:Potri.006G154000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154000.v4.1 MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGKKPVPQKRTAEEEELANLQAEMAL >Potri.006G154000.9.v4.1 pep chromosome:Pop_tri_v4:6:13726108:13729144:1 gene:Potri.006G154000.v4.1 transcript:Potri.006G154000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154000.v4.1 MFNRLFGKPKQETNALTTLDKLNETLEMLEKKEKVLLKKAAAEVEKAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGAAAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGAAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVQVPAGKKPVPQKRTAEEEELANLQAEMAL >Potri.010G072200.16.v4.1 pep chromosome:Pop_tri_v4:10:10048013:10049030:1 gene:Potri.010G072200.v4.1 transcript:Potri.010G072200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072200.v4.1 MQITSLLVLFVGVVVLATPSFADYYKPPKYEPKPPYFKPPKVEKPFPEHKPPVYKPPKIEKPPVYKPPPVYKPPIEKPPVYKPPPVYKPPIEKPPVYKPPPVYKPPIEKPPVYKPPPVYKPPIEKPPVYKPPIEKPPVYKPPIEKPPVYKPPIEKPPVYKPPKIEKPPVYKPPKIEKPPVYKPPKIEKPPPFHKPLPPYGHYPGHPPVENAEYIKPKN >Potri.003G174000.1.v4.1 pep chromosome:Pop_tri_v4:3:18173135:18177181:-1 gene:Potri.003G174000.v4.1 transcript:Potri.003G174000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174000.v4.1 MSSFVDEFQANLEALPNILQKKYSLLRDLDKSLQEIQRQNEQRCEQEIEDIKRGVKAGNITPNTSLIRFSDEALDEQKHSIRIADEKMALAVQAYDLVDAHIQQLDQFLKLCDEDNRRERDTAAAAPALLASSLDGGTKSGRGSESGRGGRKKTRLVAAEEATETEVAVATTFANTTGMQLDLPVDPNEPTYCFCNQVSYGDMIACDNPDCKIEWFHFGCVGLKEKVKGKWYCSDCAPLKNRRRGR >Potri.001G106000.2.v4.1 pep chromosome:Pop_tri_v4:1:8515956:8518976:1 gene:Potri.001G106000.v4.1 transcript:Potri.001G106000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106000.v4.1 MEPNSEITKEKYGVDISSIREAQVRIDPYIHKTPVFTSGSLNDMCRRKLFFKCECFQKGGAFKFRGACNAIFSLNKDQADKGVVTHSSGNHAAALALAADLRDIPAYVVIPNNAPNCKIQNVIRYSGQITYSQPTLQSRETTTTKIMQETGAVLIHPYNDVRIISGQGTIALELLEQAPEIDTIIVPISGGGLISGVALAAKSINPSIRILAAEPCGANDAALSKAAGEIVKLPETNTIADGLRASLGSLT >Potri.001G106000.1.v4.1 pep chromosome:Pop_tri_v4:1:8515956:8519040:1 gene:Potri.001G106000.v4.1 transcript:Potri.001G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106000.v4.1 MEPNSEITKEKYGVDISSIREAQVRIDPYIHKTPVFTSGSLNDMCRRKLFFKCECFQKGGAFKFRGACNAIFSLNKDQADKGVVTHSSGNHAAALALAADLRDIPAYVVIPNNAPNCKIQNVIRYSGQITYSQPTLQSRETTTTKIMQETGAVLIHPYNDVRIISGQGTIALELLEQAPEIDTIIVPISGGGLISGVALAAKSINPSIRILAAEPCGANDAALSKAAGEIVKLPETNTIADGLRASLGSLTWPVVRDLVDAVITVEDQEIIEATKLCYEVLKVVVEPSGAIGLAAVLSDSFLNNPAWKDSNHVGIVLSGGNLDLGVLWDSICGL >Potri.019G125500.2.v4.1 pep chromosome:Pop_tri_v4:19:14936567:14937892:1 gene:Potri.019G125500.v4.1 transcript:Potri.019G125500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125500.v4.1 MTGFEPESSMEGVKYVVSSVETARERKEHKKTYLGRNDSRTASKNDVNSNPPLLIFNFSQLLSIYRSHGLDFQDVVVVSASHSTGLARCVTLCDRDLQWPWYRVLQFCSLLEVKNCPQSGGDHVTKPFDSTTSFDTKYFMDLMMKKALLHSAQQLFGLNLLSEL >Potri.013G114600.2.v4.1 pep chromosome:Pop_tri_v4:13:12297707:12300321:1 gene:Potri.013G114600.v4.1 transcript:Potri.013G114600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114600.v4.1 MEPKDRPSLDAWANPSSSSNGLPRKMALENPSFTNFNLQQQEQKWDDTSILDYGIRIEPPFREFNQASESEYLLSSNCNNQPKVLDQEDGQNNEALQTSKLQDWDPRSMLNNLSFLEQKIHHLQDLVHLIVGRKGQALGGQDQLVTQQQQLITADLTSIIVQLISTAGSLLPSVKHTFSTGTPNGQLGQLGGILFPPLAGMNCVPQPQHGSGSKVSDQCNQMDVTGNCGTEPNHSIEEHEMKEEEDADEGENLPPGSYDILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKEPSSEPVIIKRYSCPFAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYICSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEETKGPYVSCDKVDGNEASNKVGNTNFSLGSNAGSGSGAQNVIEVKEDADDPASYFSPLSFDTCNFGGFHEFPRPPFDDSESSFSFLEAP >Potri.013G114600.1.v4.1 pep chromosome:Pop_tri_v4:13:12297410:12300328:1 gene:Potri.013G114600.v4.1 transcript:Potri.013G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114600.v4.1 MEPKDRPSLDAWANPSSSSNGLPRKMALENPSFTNFNLQQQEQKWDDTSILDYGIRIEPPFREFNQASESEYLLSSNCNNQPKVLDQEDGQNNEALQTSKLQDWDPRSMLNNLSFLEQKIHHLQDLVHLIVGRKGQALGGQDQLVTQQQQLITADLTSIIVQLISTAGSLLPSVKHTFSTGTPNGQLGQLGGILFPPLAGMNCVPQPQHGSGSKVSDQCNQMDVTGNCGTEPNHSIEEHEMKEEEDADEGENLPPGSYDILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKEPSSEPVIIKRYSCPFAGCKRNKDHKKFQPLKTILCVKNHYKRTHCDKSYICSRCNTKKFSVMADLKTHEKHCGKDKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEETKGPYVSCDKVDGNEASNKVGNTNFSLGSNAGSGSGAQNVIEVKEDADDPASYFSPLSFDTCNFGGFHEFPRPPFDDSESSFSFLEAP >Potri.013G148100.1.v4.1 pep chromosome:Pop_tri_v4:13:14478311:14478953:-1 gene:Potri.013G148100.v4.1 transcript:Potri.013G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148100.v4.1 MSSASKAWAVATSIAAVEALKDQGFCRWNYTIRSLHQHAKNQVRSFSLAKKLSSQTSRAVPGKLRENQKSKQSEESLRKVMYLSSWGPY >Potri.013G021900.1.v4.1 pep chromosome:Pop_tri_v4:13:1411964:1416782:1 gene:Potri.013G021900.v4.1 transcript:Potri.013G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021900.v4.1 MDPQLPMEKVSFGLNSTEENNKINVVLVATGSFNPPTFMHLRLFELARDALQSEGYHVIAAYMSPVNDAYKKAGLISGEHRLQMCSLACETSDFVMVDQWEVNQSTYQRTLTILQRVESSFTNGMKMSRESLKVMLVCGSDLLQSFSIPGFWNRDHVRTICSNYGVVCIRREGQDIKKIVSDDEILNENKGNVKVTDDLVPNQISSTRVRECISRGLSIKYLTADGVIDYIREKGLYLN >Potri.013G021900.2.v4.1 pep chromosome:Pop_tri_v4:13:1415270:1416019:1 gene:Potri.013G021900.v4.1 transcript:Potri.013G021900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021900.v4.1 MLVCHCHSDIMDPQLPMEKVSFGLNSTEENNKINVVLVATGSFNPPTFMHLRLFELARDALQSEGYHVIAAYMSPVNDAYKKAGLISGEHRLQMCSLACETSDFVMVDQWEVNQSTYQRTLTILQRVESSFTNGMKMSRESLKVMLVCGSDLLQSFSIPGFWNRDHVRTICSNYGVVCIRREGQDIKKIVSDDEILNENKGNVKVTDDLVPNQISSTRVRECISRGLSIKYLTADGVIDYIREKGLYLN >Potri.003G070100.4.v4.1 pep chromosome:Pop_tri_v4:3:9711472:9714339:1 gene:Potri.003G070100.v4.1 transcript:Potri.003G070100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070100.v4.1 MNRDPGRGRGRDLGRGRGRDRGRGQWRSPGGGEHWVAKGRSSDPSFHNTYSVGADESSSDEKPFSNRGTNSQRNSRGRFPSNHNSAGNNFCQKEPLENKSLEDSMSSTGTILHDQSAPSCSSIGSNQSDCSVASNSVNKGDSALMPASSGSGDMKSDIVSAPLEKGAKDGAMHPDFCLKLNSTVPLQNQNESHLSFQAGANDQLSQEKDPNIVVSAGDSGYSEQQAVVEPFDICLPKTGTTLKLKPSLLVKNREKRNDVRRAADGVNGRILRSGMVLLKNYLSLHDQIKIIKLCRDIGLGPGGFYQPVYRDGGRMHLKMMSLGRSWDPDRGKYLEHRPVDGAKAPIFPSDFHPLVERAIKDSHALIEINCKSTAAEDILPPLSPNICVVNFYSESGRLGLHQVCSVNFLVLVAVFSYTFYVALCIVLY >Potri.003G070100.1.v4.1 pep chromosome:Pop_tri_v4:3:9711464:9714383:1 gene:Potri.003G070100.v4.1 transcript:Potri.003G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070100.v4.1 MNRDPGRGRGRDLGRGRGRDRGRGQWRSPGGGEHWVAKGRSSDPSFHNTYSVGADESSSDEKPFSNRGTNSQRNSRGRFPSNHNSAGNNFCQKEPLENKSLEDSMSSTGTILHDQSAPSCSSIGSNQSDCSVASNSVNKGDSALMPASSGSGDMKSDIVSAPLEKGAKDGAMHPDFCLKLNSTVPLQNQNESHLSFQAGANDQLSQEKDPNIVVSAGDSGYSEQQAVVEPFDICLPKTGTTLKLKPSLLVKNREKRNDVRRAADGVNGRILRSGMVLLKNYLSLHDQIKIIKLCRDIGLGPGGFYQPVYRDGGRMHLKMMSLGRSWDPDRGKYLEHRPVDGAKAPIFPSDFHPLVERAIKDSHALIEINCKSTAAEDILPPLSPNICVVNFYSESGRLGLHQDKDESPESLRTGLPVVSFSIGDIGEFLYGDQRDVEKAEKVKLESGDVLIFGGMSRHIFHGVSSVQPKTAPAALLDETNLRPGRLNLTFREY >Potri.006G050100.1.v4.1 pep chromosome:Pop_tri_v4:6:3477430:3479328:1 gene:Potri.006G050100.v4.1 transcript:Potri.006G050100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050100.v4.1 MGIMAKSKSCKNSGENWGMGFLLVFFPEDTSSSSSSPTATPTVPFSSSSSSPTPPKTTSSPNCNSSKIIRRTNSNNPIITKTQSTISICALLLLLTLLLFTLSTFEPTIPYPSTTISINKTPRRFLSQKPQNKLKTAKASYFSMFSRRPEDNKGSEKFRSLFALQGMGKLYRRGTRAMSDLVVAHVVEETNEAEFRLFLRVLHRSGLTARADVVFVFPSSLFASRFESLIQEENDSFLKLVNYYKKLNGTSHDSVSASSFDVSQFLKSEKKQVGEPLWGKRIRVNGDGNFSESGEGEGELTWFRYGSVVGFEASELDPENSLAGFLDHLPMSLRRWACYPMLLGRVRRNFKHVMLVDVKNLVLFSDPLGRVRNRSPESVYIRTKQESGSSKHNRKISEKAQSHSQVNSAILMGGARGIRRLSIAMLTEIARVAMQHKKKSSVTESGILSQLVGNVHVLKNIDLITTTESIPGMSSLTGSNSSLWNNYSIIQRGGNSNHDINSIIMKQICSREAESSAYRDC >Potri.013G142336.1.v4.1 pep chromosome:Pop_tri_v4:13:15594917:15595219:1 gene:Potri.013G142336.v4.1 transcript:Potri.013G142336.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps14 MARKSLIQREKKRQKLEQKYHLIRRSSKKEISKVPSLSDKWEIHGKLQSPPRNSAPTRLHRRCFLTGRPRANYRDFGLSGHILRAKVHACLLPGATRSSW >Potri.003G026500.1.v4.1 pep chromosome:Pop_tri_v4:3:127489:136290:-1 gene:Potri.003G026500.v4.1 transcript:Potri.003G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026500.v4.1 MSVERSFEAWEEVQRHGQDFADRLAQGFTGLIQSHITYPPAFSWPNPPKSKLFDVEFPGHGFSKGDFGVLTDNSGINGFSAILDVGNRIGQAGADFGAALNGMVHQFFRRLPVPFKQDETGGVGVRMDGKRSEVGLGLEGELGLVSERLRDFGFVEDNGGGGGVDGLLEEEIGGLNLKGVGYLGKPQGTINITSTYDSRTHNIESSLVARGDLWRVEASHGSSTSENDNSSLFLVQLGPVLILRDSTFLLPVHLSKQHLLWYGYDRKSGMHSLCPAVWSKHRRWLLMSMLCLNPIACSFVDLQFPNGQLTYVSGEGLSTSAFAPLCGGLLQAQGQYPGEMRFSFSCKNKWGTRITPMVQWPDKSFTLGFAQSLAWQRSGLMVRPTAQFSLCPTFGGSNPGLHAELIHSVNDHLNLICGCAATTHPSAFASLSIGRSKWNGNVGSSGFVLRLDAPLSNVGQPSFSVQINSGIEF >Potri.003G026500.3.v4.1 pep chromosome:Pop_tri_v4:3:127608:127808:-1 gene:Potri.003G026500.v4.1 transcript:Potri.003G026500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026500.v4.1 MIRGSVGVIYSSLSNIHFFYVALLQIGRSKWNGNVGSSGFVLRLDAPLSNVGQPSFSVQINSGIEF >Potri.002G048666.1.v4.1 pep chromosome:Pop_tri_v4:2:3235766:3250558:-1 gene:Potri.002G048666.v4.1 transcript:Potri.002G048666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048666.v4.1 MIVTSSSNPAPQVGTSNSAIPTPQVVAASSNPQVVTSSSNPAPQVGTSSANPTPQVVAASSNPQVVPSSSRETPQVGTSSANPTPRVVAASSNPQVVPSSSRATPQVEDEKTVYLPVFEVGNYEAWSKKMVDVLTSQHLWDIVQCGYKPPKDTTELAKWENSQKDGYREAQNKNAKAWMLIHRAVGVKIMEEVLFASDKSTKNITGILKSFDQKRYADKPNNELIGEVLREPHMVELVATSHDVWKMLKTYSDKHKENQKTTPEESKPVQLKTSPVDLHLEDTTRSSLFQYAMSDGWDKVIEIYTRKSVAHCAKITNSGNTALHIAVMDGKKTTVEQLVSLMSIEEAAKALRVKNERGNTPLHLAAFVGNASLCDCLASKIYLDEEFRNSSRNEQDKNNQNSSDKIGAGYEKYCILGERNKENQTPLFLAAVMGKTDAFLCLHSHVLHRYRESYYTGGKSFYTGNKGDTILHVAISGEYFDLAFQIIHLYPKLVDMKNERGMSPLHCLASRHTAFKSGVHLRPYHNIIYHCTFVDRLKWTKDEGEAYRKQPGTTNRPSQNRNNNRCPDNYQTCFHFFTSMCRAFICIAGIQRQVKQGELLPTTSQASHDTNNSLSPIKKSSSWLKKVLGEDQEQVNLRSSREGRLVPPNYDTIVELLKLGSKAMLVILGLGSMEIRKIGLKKEKHTWSVQVMNELLREGKLYKFESGEISGSPKLRSELSDSKAIKQSEGSEKGEPASSKLEKPETPLLIAARNGITEIMEKILHDFPHAVHDEDTHKKNVVLLAVQYRQPHVYQFLLKRRKKNEELDRIFLQFDDQGNSARHLAAATIGDYKPWRIPGAALQLQWEIKWYKYVKNSMPQNFFRRLNYRSETPKEIFNKSHQELVKSGGAWLTNTSQSCSVVAALIATVAFATSANVPGGNAEQTGTPFFANHIAFKVFAVSSLVALCFSITSVIMFLAILTSRYEAKDFGEDLPTKVLLGLTSLFVSIAAILLCFCAGHFFVLSDELKFAAFPLYGVTCLPVTFFAIAQFPLYIDLIKATLATVPQRRYVVDTAERDTNSSPKSDGSNQPSSHKSLPDQGNGG >Potri.007G069300.1.v4.1 pep chromosome:Pop_tri_v4:7:8986300:8996526:1 gene:Potri.007G069300.v4.1 transcript:Potri.007G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069300.v4.1 MASTILTKSLLGHRLIHSSFSLSHKTPQIFTSLFPGRTFQKRADPIHTSYKPSQWVRCVVGTRANFSTQSLSLNEPVVSVDWLHANLREPDMKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDVDGIADQTTNLPHMLPSEEAFAAAVSALGIENKDGLVVYDGKGIFSAARVWWMFRVFGHEKVWVLDGGLPRWRASGYDVESSASGDAILKASAASEAIEKVYQGQTVGPITYQTKFQPHLVWTLEQVKSNIEDRTHQHVDARSKARFDGAAPEPRKGIKSGHVPGSKCIPFAQLLDSSQTLLPADELKKRFDQEGISLKSPVVTSCGTGVTACILALGLHRLGKSDVAVYDGSWTEWGANPETPVDSS >Potri.006G090066.1.v4.1 pep chromosome:Pop_tri_v4:6:6874012:6874968:1 gene:Potri.006G090066.v4.1 transcript:Potri.006G090066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090066.v4.1 MDHGGNASILFIIAVWKASEGPLPQGCYENSTDGDHFIGCSAACRKQDKRSEKKGWAVGMESHFFKAPTRTRQRHPCSGGWKMVGFCSFVFAFFSGPPSPFSTC >Potri.017G125700.1.v4.1 pep chromosome:Pop_tri_v4:17:12973784:12976001:1 gene:Potri.017G125700.v4.1 transcript:Potri.017G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125700.v4.1 MVSLLGVRKGAWTEEEDILLRKCVEKYGEGRWHQVPSKTGLNRCRKSCRLRWLNYLKPNIKRGQFSVDEVDLIIRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTNLRKKVVSSTEDAQTKPEAKSITKDNIIKPRPRNLKNLCWSRAGKGTPYINVASQYGDDLCQPYSTTALPPSETDEVERMWWESLLDDKEINLTNNSSCLGSGSAVNQDPIKSLFVEDNAAGGIMIGDVFCEQGQSSWAGISFDANLRNQIVTEIYRQGPEGL >Potri.007G023700.1.v4.1 pep chromosome:Pop_tri_v4:7:1807550:1816215:-1 gene:Potri.007G023700.v4.1 transcript:Potri.007G023700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023700.v4.1 MGTDAAEAVETLPCPYSFKVHHSMCTELLKLVDKVSKIFPKIEAARPCCSLGIQALCSLNNALEKAKHHLQYCCDSSKLYLAITGDVVVSRCQRSRNLMEQSLGQIQTMVPVILAAEISHVVDDLRAAMFMLESSEEEAGKAIRELLQQSRESDSVVNSEIKAIQLAASRLHITSRKAILIEKRSIKNQLDKVGGNDPRKKSILNYLMLLLKKHGDLLIEEQGETPKSQHEGFFSLKNPNDTFLHRQYNQVAGIGCGKSETQTELFSRATPPEEFKCPISMRVMYDPVVIASGQTFEKMWIQKWFDEGNDTCPKTKVKLTHRALTPNTCIKDLISKWCVKYGITIPDPCIQASKLLDISVNSIASLGSSMSDLHLPLDISNISLGSIDGSYSSESAQSKSNLMPIQNNDDSYRHHSYVNINQQDLKSLSGLAELPWESQCKMVEDVKSCLQCNDQLCHSLSSENFVEPLFRFLRDAHDQQDIGAQRFGYQLLLSFASKNRSGISYLHEDVYVLLSSFPDSEVIEEVLAIFEVLSGHPYCQSKITASGALVSIRRILDSHSTEFQKQAIKILHNLSSNNDICSQIVLMECIPKLVPLLKNGNLSSYSVVLLRNLCDIEEARVSVAETNGCIASIAELLESGSREEQEHAAAILLSLCSQRLHYCQLVMEEGVIPSLVDISINGTDKGRAIALELLRQLRDITEYDNEHECFVSDIDADRDASHQTIEKKSVVDADRDASHETIKKKSSPKTYGVFKNLSVFSRRSSVASKKKR >Potri.009G131100.1.v4.1 pep chromosome:Pop_tri_v4:9:10677680:10678579:-1 gene:Potri.009G131100.v4.1 transcript:Potri.009G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G131100.v4.1 MKWLRLKNLGQGSYGTVYLAISTFTNELFAVKNANLEDSSTLQKERRIFERFPGTDEVVECYGYCVSKEGGVLKYNILLEYAPMGSLLNLMRDCGGRILESHVRKYTKMLLKGLSCIHSSGHVHCDLKPANILVFPRQVDGLSDIQLKIADFGLAKEPGEDDSDKLFHMYQYRGTPCYMSPESVQFAEITPALDIWSLGCIVIEMITGRVAWGNLDSKELFNKLVYGNESPKIPEYMSESGKDFLRRCFELDHRERWTADTLLTRPFVDDAPLQPVETNTEELLSSCFACAVNSVLCEN >Potri.019G074400.2.v4.1 pep chromosome:Pop_tri_v4:19:11588342:11589047:1 gene:Potri.019G074400.v4.1 transcript:Potri.019G074400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G074400.v4.1 MKGVLERYSSIGSKLRQEVSRVVGVAKESDFCNCMKPTDGCTSCLRQRVVNLLTQKGFEASLCTSKWKNTRKHPGGKHEYIEIIAATMGRKKPIPYLIELEFRDQFEIAKASDEYRNLVARLPEYYVGKADYLNAIVGILCDAAKRSMKEKKIHMGPWRKRSFMQMKWSNCSERRSVDKSSSKSFPSSRQAHESCLHLSAAPALTVT >Potri.019G074400.1.v4.1 pep chromosome:Pop_tri_v4:19:11585882:11589400:1 gene:Potri.019G074400.v4.1 transcript:Potri.019G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G074400.v4.1 MDSLEEKVSVFFHEDSADESSGRSMEEEDDYESDNNLHDPMETALYWESQDALLQGVLERYSSIGSKLRQEVSRVVGVAKESDFCNCMKPTDGCTSCLRQRVVNLLTQKGFEASLCTSKWKNTRKHPGGKHEYIEIIAATMGRKKPIPYLIELEFRDQFEIAKASDEYRNLVARLPEYYVGKADYLNAIVGILCDAAKRSMKEKKIHMGPWRKRSFMQMKWSNCSERRSVDKSSSKSFPSSRQAHESCLHLSAAPALTVT >Potri.001G334200.2.v4.1 pep chromosome:Pop_tri_v4:1:34251323:34256200:1 gene:Potri.001G334200.v4.1 transcript:Potri.001G334200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334200.v4.1 MATVESLVAQIQGLSSSAGDLSLLLTHLKQADEFLHNESTRLLPFLEQLDPTLHSLGYLYILEACTSWPVTNDQARRLVLILSRFLTSCVADQIRLAPEKFIAVCKSFKDQVLMLEAPIRGVVPLLEAVKKLRSSEHLTTLHPDFLQLCLLAKCYKTGLSILEDDIFEVDQPRDFYLYCYYGGMICIGQKRFQKALELLHNVVTAPMSSINAIAVEAFKKYVLVSLIYNGQFSTSLPKYTSSAAQRNLKTICQPYIELANTYSIGKISELEPYIQTNREKFDSDNNLGLVKQVVTSMYKRNIQRLTQTYLTLSLQDIANTVQLSSPKEAEMHVLQMIQDGEIYATINQRDGMVRFLEDPEQYKTCEMIEHIDSSIQRIMTLSKKLTAMDELISCDPLYLAKVGRERQRFDFDDFDPVPQKFNI >Potri.001G334200.3.v4.1 pep chromosome:Pop_tri_v4:1:34251334:34256210:1 gene:Potri.001G334200.v4.1 transcript:Potri.001G334200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334200.v4.1 MATVESLVAQIQGLSSSAGDLSLLLTHLKQADEFLHNESTRLLPFLEQLDPTLHSLGYLYILEACTSWPVTNDQARRLVLILSRFLTSCVADQIRLAPEKFIAVCKSFKDQVLMLEAPIRGVVPLLEAVKKLRSSEHLTTLHPDFLQLCLLAKCYKTGLSILEDDIFEVDQPRDFYLYCYYGGMICIGQKRFQKALELLHNVVTAPMSSINAIAVEAFKKYVLVSLIYNGQQFSTSLPKYTSSAAQRNLKTICQPYIELANTYSIGKISELEPYIQTNREKFDSDNNLGLVKQVVTSMYKRNIQRLTQTYLTLSLQDIANTVQLSSPKEAEMHVLQMIQDGEIYATINQRDGMVRFLEDPEQYKTCEMIEHIDSSIQRIMTLSKKLTAMDELISCDPLYLAKVGRERQRFDFDDFDPVPQKFNI >Potri.009G040500.1.v4.1 pep chromosome:Pop_tri_v4:9:4813297:4819074:-1 gene:Potri.009G040500.v4.1 transcript:Potri.009G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040500.v4.1 MGFDNECIPNIQSLSGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLIESNNVLAETIGKITVHCLYHRSGCPWQGPLSECTSHCSGCAFGNSPVVCNRCGIQIVHSQVQEHAQNCPGVQPQAQPAEGAQDATSTGAPTTGDQAQAAIQTGTTTPQAQTSMTSTPGKDTTQQINATTQAQTAVQAAVPTAEQWYQQQQQYQQYYQQYPGYDPYQQHYQQYYPYQQQAVSQYQQPQVSMHAQVPQPQLQTQPQPQVPVAAQPQNQVQVNPQQQTHLTVQQQSLTQLQAHPPAHGYPPPQAQSNPQPHPIQPLPQHVPQYQHPQLQVQHPQPQIQAQTNSQLHPQKHPVPQPHVQAQPQTLQPLPQSLASQPSQTVNPNLQTQPQHSSVNAVTGHHSYQQPQIHQQMQTGALKHSQGGPQPHSQQPVQMQSQFPQQSSLWPQPQYHAAVQNLQQPGLLPSQGQVPNIPPALQQPIHSHAHQPGLPVQQRPGMQPTPQPMHQQYAQHQQPFSGQPWGAVHNQAHQQGPYVQQQQQQLHPLTQLRPQGLPQSFQQPSHAYPHPQQNVLLPHGAHPHQAKSLAVGPGLPAQSYPQSASGMQVRSIQIGANQQSGNILKTNNQVELSSDQQSGVSSRQRQGDIEKGAEGELSAQKTIKKELNDLDAGLAADASEMKTIKSESDLKQVDDKNKPTGEAKDVPESLAAANGESSIKQVKEEHRDGADEQNDVSNADHEKVELSVSEHKDGPLLETAPSHLEEQIMKLQKDKTPTYQSFGGFPPNGHVQSQSVSAVDQGKLEPLPIHHGPSAAQQRPVGPSLVQASPLGPPHHMQLPGHPPTQHGRLGPGHVPSHYGPPQGAYPHAPAPPSQGERTPSHVHEATMFANQRPKYPDGRQGTYSNVVGMNGAQGPNSDRFSSLPDEHLNPFPRGPAHHNVHQGEFEEDLKHFPRPSHLDTEPVPKSSSHFPSSRPLDRGPRGFGVDGAPRPLDKGSHGFNYDSGLNMEPLGGSAPPRFFPPYHHDKALHPSDAEVSLGYHDSLAGRSDFARTRPGFLGPPIPGYDHRHMDNLAPRSPVRDYPGMPTRRFGALPGLDDIDGRDPHRFGDKFSSSLRDSRFPVFPSHLRRGELEGPGNLHMGEHLSGDLMGHDGRPAHLRRGEHLGPRNLPSHLWVGEPGNFGAFPGHARMGELAGPGNFYHHQLGEPGFRSSFGGNYAGDLQFFDNSRKRKPSMGWCRICKVDCETVEALDLHSQTREHQKMALDMVVTIKQNAKKHKSTPSHHSSLEDKSKSRNASFEGRGNKH >Potri.009G040500.4.v4.1 pep chromosome:Pop_tri_v4:9:4806584:4817648:-1 gene:Potri.009G040500.v4.1 transcript:Potri.009G040500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040500.v4.1 MHAQVPQPQLQTQPQPQVPVAAQPQNQVQVNPQQQTHLTVQQQSLTQLQAHPPAHGYPPPQAQSNPQPHPIQPLPQHVPQYQHPQLQVQHPQPQIQAQTNSQLHPQKHPVPQPHVQAQPQTLQPLPQSLASQPSQTVNPNLQTQPQHSSVNAVTGHHSYQQPQIHQQMQTGALKHSQGGPQPHSQQPVQMQSQFPQQSSLWPQPQYHAAVQNLQQPGLLPSQGQVPNIPPALQQPIHSHAHQPGLPVQQRPGMQPTPQPMHQQYAQHQQPFSGQPWGAVHNQAHQQGPYVQQQQQQLHPLTQLRPQGLPQSFQQPSHAYPHPQQNVLLPHGAHPHQAKSLAVGPGLPAQSYPQSASGMQVRSIQIGANQQSGNILKTNNQVELSSDQQSGVSSRQRQGDIEKGAEGELSAQKTIKKELNDLDAGLAADASEMKTIKSESDLKQVDDKNKPTGEAKDVPESLAAANGESSIKQVKEEHRDGADEQNDVSNADHEKVELSVSEHKDGPLLETAPSHLEEQIMKLQKDKTPTYQSFGGFPPNGHVQSQSVSAVDQGKLEPLPIHHGPSAAQQRPVGPSLVQASPLGPPHHMQLPGHPPTQHGRLGPGHVPSHYGPPQGAYPHAPAPPSQGERTPSHVHEATMFANQRPKYPDGRQGTYSNVVGMNGAQGPNSDRFSSLPDEHLNPFPRGPAHHNVHQGEFEEDLKHFPRPSHLDTEPVPKSSSHFPSSRPLDRGPRGFGVDGAPRPLDKGSHGFNYDSGLNMEPLGGSAPPRFFPPYHHDKALHPSDAEVSLGYHDSLAGRSDFARTRPGFLGPPIPGYDHRHMDNLAPRSPVRDYPGMPTRRFGALPGLDDIDGRDPHRFGDKFSSSLRDSRFPVFPSHLRRGELEGPGNLHMGEHLSGDLMGHDGRPAHLRRGEHLGPRNLPSHLWVGEPGNFGAFPGHARMGELAGPGNFYHHQLGEPGFRSSFGGNYAGDLQFFDNSRKRKPSMGWCRICKVDCETVEALDLHSQTREHQKMALDMVVTIKQNAKKHKSTPSHHSSLEDKSKSRNASFEGRGNKH >Potri.012G016100.6.v4.1 pep chromosome:Pop_tri_v4:12:1822662:1838513:-1 gene:Potri.012G016100.v4.1 transcript:Potri.012G016100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016100.v4.1 MFGYGGPIATMHLGRRALVSSKTKESRKVFTLHLEREALLRSSGSEPTWKTSGGMRDPSEDEIGKSPQGSFTKVEILEPKVRDLDRFQLQCKLKDIYFPYIQCDEVSKTGKTTRPVEFQVNGIDLAEIDGGEVSITNLHSCNGPEFVFQLCFSIKQDVASTRSSGSRASQEANARIKCVYFPISEGKESIEKILENLEDQGCGNGESFETFTRVSIRRLGRLLPDARWACLPFMEFKQKKGDKAHILKRCCLRVKCFIETDAGFNPTPSKTDLAHCNPFTIALKNFSHKMPEKEKEVNVDISRNGKLLSPSHLEKEYEDWILEMHSQYDTEVSAGEDDGVLVVGPTNKIPGISSDVVRVRDTLTRKGAIWKRGQKIKVLKGAGPGFHNKNVYLTLEHFLIEGVQGDAGGDARIICRPLDIAEENGCVLSVKDEIARFDIRSSISIPISMIDSGKCQTIESSEWNCQLQKQSQKAPSTIEVLGRKHCQELEIDGGFPAESTVEAGCTPPTEIVAVVRPGCYVSSSHSKILDQKYIVKTNLEMSIEVKIRKSAEECQNVGHIYSARIGPSSHKGFDGLYIFSLGCKFPNLFKEAGVYTFLFTLSDNNCKKYEKRLMVKASREVGKWKLLGDIQGKPCVRVGSRFPSLSIGCLDIYGNQIPFKSVPEITVRLDSIMGVLAEIDKFKKGLSSDKLALKVQNMLIVSDKLDRIRPEYEATLVICPVDGLVSVSIPCQVMPGSVQHITGQPPIQEKHLLPGFVVKELVLKMLDAHGNHIKKGLEVQLNVDGFHILDKEGSKRKVDKDGCIDLSGVLKVTAGFGRIVSYSVSYQDKVVFKQELQTEKRELRIASKLPEFLTAGSDLENIVFEVVDSQGDVDPRIHNEEKAGQCHSLTIKSDSFNLQDAIQYTLRHGRCAILAIRIPPIEGSFCFIAAHSCYSELQLRVILPVMKAPIVECDENLSPYSSRKVPLLRDSLSLEHTESLMTPIENNEKGLVDDIEKYGERIGNSERQLKVLNEKKTEIEEYVSGLQASMERTLNNSNYVLTKEEILVQIESRNHSAASILCHCYRDLSSQVPQNHFMEGIFGLVALLGTVRTNKLSRILAEFLGEDQMLAVVCRSKEAASAFGKSICGRFLVICLEDIRPYTGELECGDPQRKLKLQDPTLQCGNVPSGFIGYAANMINIDTRDMNISTASGYGLRETLFYRLFGELQVYDTKEHMNEAGACIKHGAVSLDGGINRVTENGIMSLGCWDSEICFPVGTLENEMSPAPERMKIQMQLEAGMEMLQDITGQIELVTRLRENALKKLKKKSKNYSKLMDHVEAVESLRNRK >Potri.001G035200.1.v4.1 pep chromosome:Pop_tri_v4:1:2581611:2583400:-1 gene:Potri.001G035200.v4.1 transcript:Potri.001G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035200.v4.1 MASNVPLKNLLSLVQNRSLKTSTVPPNTSSPSSFTVQHLLSSSGLHLESVHSVSQKLQIDESDLQNPHYVIGFLKAHDFKDAHIAKLIHKWPAVLHCKVEHNLKPKFEFFIENGFVGEILPELIVSNPDVLRRALDSRIIPCFELLKSVLGCSEKAASAFKRCSVSMMSAMEPNIDLLIKEGVPVDRIAKLIMLQPRTIQQKHQRMVYAVKALKDLEIDSKTTVFIHALRVMLQMSESTWNKKVEVLKSLGWTEEEILQAFKRCPFCFTCSEEKIRSVVDFLVNTLKMELRTVIGRPEFLMLSVDKRIRPRYNVLKILESKKLVIGKKNMKQLLTMRENNFFQNYVIKYADKVPGLLEAYEVPKQ >Potri.002G162000.1.v4.1 pep chromosome:Pop_tri_v4:2:12397365:12409574:1 gene:Potri.002G162000.v4.1 transcript:Potri.002G162000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162000.v4.1 MYMAYGWPQVIPLEQGLCPSQQRIIYFKVINRLFLVVSPSRLELWSSSQHKVRLGKYKRDAESLEREGENIQAVWSPDTKLIAILTTSFFLHIFKVQFSEKRIQIGGKQPSGLFLANITLVLNEQVPFADKELTVSNFVSDNKHMLLGLSNGSLYSISWKGEFYGAFEINPYSRDSSDTSISPHSLGNGFASGRASSGSVSNHNITRKTAIVQLELCLPMRLLFVLYSDGQLVSCSVSKRGLKQVEYIKPEKKLGSDDAVCTSVASDQQILAVGTRRGVVELYNLAESASLIRSVSLSDWGYSMDETGPVSCIAWTPDNSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSLSSPKVKPNQECKYEALMNGTSLMQWDEYGYKLYVIEEESLERVIAFSFGKCCLSRGVSGMTYVRQVIYGEDRLLVVQSEDTDELRILHLNLPVSYISQNWPVQHVAASKDGMHLAVAGLHGLILYDIQLKKWRVFGDITQEQKIQCKGLLWLGKIVVVCNYIDSSNTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQDHILVTYRPFDVHIFHVRLLGELTPSSTPDLQLSTVRELSIMTAKSHPAAMRFIPDRLPRELASDNHISSSEFLDTEPARCLILRTNGELSLLDLDDGHERELTDSVELFWVTCGQSEEKASLIEEVSWLDYGHRGMQVWYPCPGADPFKQEDFLQLDPELEFDREVYPLGLLPNAGLVVGVSQRMSFSACTEFPCFEPSPQAQTILHCLLRHLLQRDKTEEALRLAQLSAEKPHFSHCLELLLFTVFDAEISRQNVIKNQVSVPKHAGNCSLLEKTCDLIRNFSEYLDVVVSVARKTDGRYWADLFSAAGRSTELFEECFLRRWYRTAACYILVIAKLEGPAVSQYCALQLLQATLDESLYDLAGELVRFLLRSGKEYDQTSTDSDMPSPRFLGYFLFHSSYKKPSLDKSNSLKEQSAHIASVKSILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGMLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRAEVLFDLFQHDIRLWKAYSITLQSRPAFSEYHDLLEGLEERLSSVSNLQEK >Potri.002G233700.3.v4.1 pep chromosome:Pop_tri_v4:2:22667747:22672746:-1 gene:Potri.002G233700.v4.1 transcript:Potri.002G233700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233700.v4.1 MSYYQRLEEMNYMAEEAEMVDFVDEMDGGAAAAAAGGVEDVDADEYELITKVTDTSSGQARNGQDIQGIPWERLNISRENYRSTRLDQYKNYENIPLSGEAVDKECKQMEKGGHYYEFFYNTRSVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSISGNLSEVIDFAGHVAPSEKHAGSLLEGFTQTQISTIAVKDNFLVAGGFHGELTCKRLDKQGVSFCTRTTYDDNAITNAIEIYDSMRGGIRFMSSNNDGGLREYDLETFQLLNHFRFPWPVNHTSVSPDRRLIAVVGDNLDGLLVDSQSGKTVSTVEGHLDYSFASAWHPDGNVFATGNQDKTCRVWDIRKLSSPTAILKGNLGAVRSICFSSDGQFMYVAEPADFVHVYSTQDDYRRRQEIDFFGEISGVALSPDDESLYIGIWDRTYASLLQYNKRHTYGYLDSYL >Potri.002G233700.1.v4.1 pep chromosome:Pop_tri_v4:2:22667742:22672750:-1 gene:Potri.002G233700.v4.1 transcript:Potri.002G233700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233700.v4.1 MSYYQRLEEMNYMAEEAEMVDFVDEMDGGAAAAAAGGVEDVDADEYELITKVTDTSSGQARNGQDIQGIPWERLNISRENYRSTRLDQYKNYENIPLSGEAVDKECKQMEKGGHYYEFFYNTRSVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSISGNLSEVIDFAGHVAPSEKHAGSLLEGFTQTQISTIAVKDNFLVAGGFHGELTCKRLDKQGVSFCTRTTYDDNAITNAIEIYDSMRGGIRFMSSNNDGGLREYDLETFQLLNHFRFPWPVNHTSVSPDRRLIAVVGDNLDGLLVDSQSGKTVSTVEGHLDYSFASAWHPDGNVFATGNQDKTCRVWDIRKLSSPTAILKGNLGAVRSICFSSDGQFMYVAEPADFVHVYSTQDDYRRRQEIDFFGEISGVALSPDDESLYIGIWDRTYASLLQYNKRHTYGYLDSYL >Potri.002G233700.5.v4.1 pep chromosome:Pop_tri_v4:2:22667747:22672751:-1 gene:Potri.002G233700.v4.1 transcript:Potri.002G233700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233700.v4.1 MSYYQRLEEMNYMAEEAEMVDFVDEMDGGAAAAAAGGVEDVDADEYELITKVTDTSSGQARNGQDIQGIPWERLNISRENYRSTRLDQYKNYENIPLSGEAVDKECKQMEKGGHYYEFFYNTRSVKPTILHFQLRNLVWATSKHDVYLMSNYSVMHWSSISGNLSEVIDFAGHVAPSEKHAGSLLEGFTQTQISTIAVKDNFLVAGGFHGELTCKRLDKQGVSFCTRTTYDDNAITNAIEIYDSMRGGIRFMSSNNDGGLREYDLETFQLLNHFRFPWPVNHTSVSPDRRLIAVVGDNLDGLLVDSQSGKTVSTVEGHLDYSFASAWHPDGNVFATGNQDKTCRVWDIRKLSSPTAILKGNLGAVRSICFSSDGQFMYVAEPADFVHVYSTQDDYRRRQEIDFFGEISGVALSPDDESLYIGIWDRTYASLLQYNKRHTYGYLDSYL >Potri.017G063900.2.v4.1 pep chromosome:Pop_tri_v4:17:5430477:5432478:1 gene:Potri.017G063900.v4.1 transcript:Potri.017G063900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063900.v4.1 MPAIPEEPLLAENPDRFCMFPIQYPSIWEMYKKAEASFWTAEEVDLSSDIRHWENLTPDEKHFISHVLAFFAASDGIVLENLAGRFMKEVQVSEARAFYGFQIAIENIHSEMYSLLLETYIKDSEEKNRLFHAIETVPCVAKKARWALRWIDGSESFAERLVAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKKLSEEQVKGIVKDAVDIEREFVVDALPCALVGMNGELMSQYIEFVADRLLGALGCGKVYNVANPFDWMELISLQGKTNFFEKRVGEYQKASVMSSINGNGGNHVFKMDEDF >Potri.004G228200.1.v4.1 pep chromosome:Pop_tri_v4:4:23126668:23131060:1 gene:Potri.004G228200.v4.1 transcript:Potri.004G228200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228200.v4.1 MWRQRVLVSSGVRLYSRVAPQVVRVTAKNVAKLGSPKEGPKPRQLLSLPPFPGFPLPGKKSLNACHVTAISWVKYYFDEIQDSVIQSHFNKSLVQIECPSSSDSVEMEGLGKPMRKIKPSDVMEVGARIHIPVSVAETAISKRFDTIPSGTLYPNADEIEYLQRLVKYKDSALLVLNKPPKVPVKGNMPIHNSMDALAAAALSYGYDEGPKLVHRLDRESSGLLLMGRTKESIAHLQLLFSYINKARSKCQAWNDACEATYQRYWALVIGSPKDKEGLISARLSKVLLDDGKTERVVLAQHSSLEPSRDAVTEYRVLGPTINGCSWIELRPLTSRKHQLRVHCAEALGTPIVGDYKYGWFVHRRWKQMPRVDIEPSSGKPYKLRWPEGVDIQKGSVLAKVPLLHLHCRELVLPNIAKFLDVLDGKSENVHPALSTRPDLLRFVASMPSHMKISWNLMSSFLV >Potri.010G163501.1.v4.1 pep chromosome:Pop_tri_v4:10:16820903:16821815:-1 gene:Potri.010G163501.v4.1 transcript:Potri.010G163501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163501.v4.1 MLITLSGVITPAEIEGNLLSSVFFFAALFSFTKREYANQFFYVAAEMPFCCFPFCCGKKSKSRHQKTVHPIYSTHPVDPGTKNTHVERGYGVEGGQIPEPIIPPLQVNSQADKNIGCSN >Potri.019G005913.1.v4.1 pep chromosome:Pop_tri_v4:19:100255:103511:-1 gene:Potri.019G005913.v4.1 transcript:Potri.019G005913.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G005913.v4.1 MCLPSEYFSYLLLLVNKTDTIVHPKTLHEELITPLARMSCINPWMLLHGQLFFFLIENIADCFHLILVICSRWILAHKKLHFSINVCYDKLWSLHEDINYVFILFINLLR >Potri.006G221100.2.v4.1 pep chromosome:Pop_tri_v4:6:22649679:22651665:-1 gene:Potri.006G221100.v4.1 transcript:Potri.006G221100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221100.v4.1 MSASTSLSFFSSSLFLSSSHNKIPKLTSLSTTKPQYSLKSLSISCQVATLPILSFTGEKIGETYLDLKSAPPETARAVVHRGIITDQQNKRRGTASTLTRGEVRGGGRKPYPQKKTGRARRGSMRSPLRPGGGVIFGPKPRDWSIKINRKEKRLAMSTALSSAASESESVICVEEFGDKFEKPKTKEFIEAMNRWGLDPKEKVMFLMMDVSDNVGLSSRNIGTLRMLTPRTLNLFDILNSDKLVLTPDTVDYLNGRYGVDFEVETDEDDEEDDQDETEDTEGNENADAAD >Potri.008G206600.1.v4.1 pep chromosome:Pop_tri_v4:8:15300427:15301206:-1 gene:Potri.008G206600.v4.1 transcript:Potri.008G206600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206600.v4.1 MKRERDHQFECGICGAEDRYLLHNVRHRTPSYRRLCTNCLLKDHRGLFCPFCFSVYEEPLPIDRSMCNKCPSISHKPCIPSNYPHHTPFICPSCSSPNFSFFNPTTNGDSPSGRIIDRDSARALVAAAKIAAVSMTKAAAMAKVEAEKRVKEATYAKKRAREALERLAYLAAKEKEIMEGKGGGSNYNGLYLAPPPPPPQITGKVEK >Potri.011G003400.1.v4.1 pep chromosome:Pop_tri_v4:11:248477:254734:1 gene:Potri.011G003400.v4.1 transcript:Potri.011G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003400.v4.1 MGCCGSKGNAPTPDVNGYRGPATGYPRQTNQQQQPQYHPSQQKVTVPQIQTQTPPTRPQQTQQQTPTRPAPDTILGKPFEDIKQHYTLGKELGRGQFGVTYLCTENSTSHTYACKSILKRKLVNKNDKEDMKREVHIMQDLSGQPNIVEFRGAYEDRQSVHLVMELCAGGELFDRIIAKGHYSERDAAKICREIVNVVHACHFMGVMHRDLKPENFLLSSKAEGAKLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAENEKGIFDAILQGDIDFESDPWPSISNSAKDLVRRMLTQDPKKRITSTQVLEHPWIKEGGADKPLDSAVLSRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKTMFTNMDTDKSGTITYEELKTGLARLGSKLSEAEVKQLMEAADVDGNGSIDYIEFISATMHRYKLERDEHLYKAFQYFDKDSSGYITRDELESAMKEYGMGDEATIKEIIAEVDADNDGKINYEEFCAMMRSGTQHAGKLF >Potri.001G105400.3.v4.1 pep chromosome:Pop_tri_v4:1:8471752:8476878:-1 gene:Potri.001G105400.v4.1 transcript:Potri.001G105400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105400.v4.1 MMSNIKLGVEVVSAHNLLPKDEHGSSSAFVELDFDGQRFRTTIKEKDLHPVWNESFYFNVSDPSNLHYLTLDAHVYCNIRATNSRSFLGKVCLTGNSFVLHSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDASIKSSTPLPAVESLPAKDPGLTHTEAPVVHPMTNSVPHKRVERHTFHHLPNPNHQQNQHQNHSSAPAISHHVPKYVADEMKAAETQPPKLVRMYSASSSQPVDYALKETSPFLGGGRVVGGRVIHGDKTASTYDLVERMYFLYVRVVKARDLPAMDVTGSLDPFVEVRIGNYRGITKHFEKKQNPEWNQVFAFSRERMQASVLEVVIKDKDLVKDDFVGVIRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKIKGELMLAVWIGTQADEAFPDAWHSDAATPVDSTPASSTVIRSKVYHAPRLWYVRVNVVEAQDLVPSEKNRFPEVYVKVQIGNQVLKTKTYQARTFSALWNEDLLFVAAEPFEDHLVLSVEDRVGPGKDEIIGRVIIPLSSVEKRADDRIIHSCWFNLEKPVAVDVDQLKKDKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGMLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTLIDNLSPKYNEQYTWEVFDPATVLTVGVFDNNQLGEKGSSGKDLKIGKVRIRISTLETGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCISFANMLYQYSRPLLPKMHYIRPFTVMQLDMLRHQAVNIVALRLGRAEPPLRKEVVEYMSDVDAHLWSMRRSKANFFRLMTIFSGLFAAGKWFGDICMWKNPITTVLVHVLYLMLACFPELILPTVFLYMFLIGIWNYRYRPRYPPHMNTKISQAEVVHPDELDEEFDTFPTSRSPELVRMRYDRLRSVSGRIQTVVGDIATQGERFQALLSWRDPRATAIFVIFCLVAALVLFVTPFQVIAALAGFYMMRHPRFRYRTPSVPINFFRRLPSRTDSML >Potri.001G105400.2.v4.1 pep chromosome:Pop_tri_v4:1:8471868:8475613:-1 gene:Potri.001G105400.v4.1 transcript:Potri.001G105400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105400.v4.1 MMSNIKLGVEVVSAHNLLPKDEHGSSSAFVELDFDGQRFRTTIKEKDLHPVWNESFYFNVSDPSNLHYLTLDAHVYCNIRATNSRSFLGKVCLTGNSFVLHSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDASIKSSTPLPAVESLPAKDPGLTHTEAPVVHPMTNSVPHKRVERHTFHHLPNPNHQQNQHQNHSSAPAISHHVPKYVADEMKAAETQPPKLVRMYSASSSQPVDYALKETSPFLGGGRVVGGRVIHGDKTASTYDLVERMYFLYVRVVKARDLPAMDVTGSLDPFVEVRIGNYRGITKHFEKKQNPEWNQVFAFSRERMQASVLEVVIKDKDLVKDDFVGVIRFDINEVPLRVPPDSPLAPEWYRLEDKKGEKIKGELMLAVWIGTQADEAFPDAWHSDAATPVDSTPASSTVIRSKVYHAPRLWYVRVNVVEAQDLVPSEKNRFPEVYVKVQIGNQVLKTKTYQARTFSALWNEDLLFVAAEPFEDHLVLSVEDRVGPGKDEIIGRVIIPLSSVEKRADDRIIHSCWFNLEKPVAVDVDQLKKDKFSSRIHLRVCLDGGYHVLDESTHYSSDLRPTAKQLWRPPIGMLELGILNAVGLHPMKTRDGRGTSDTYCVAKYGHKWVRTRTLIDNLSPKYNEQYTWEVFDPATVLTVGVFDNNQLGEKGSSGKDLKIGKVRIRISTLETGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCISFANMLYQYSRPLLPKMHYIRPFTVMQLDMLRHQAVNIVALRLGRAEPPLRKEVVEYMSDVDAHLWSMRRSKANFFRLMTIFSGLFAAGKWFGDICMWKNPITTVLVHVLYLMLACFPELILPTVFLYMFLIGIWNYRYRPRYPPHMNTKISQAEVVHPDELDEEFDTFPTSRSPELVRMRYDRLRSVSGRIQTVVGDIATQGERFQALLSWRDPRATAIFVIFCLVAALVLFVTPFQVIAALAGFYMMRHPRFRYRTPSVPINFFRRLPSRTDSML >Potri.004G141800.1.v4.1 pep chromosome:Pop_tri_v4:4:16449975:16454320:-1 gene:Potri.004G141800.v4.1 transcript:Potri.004G141800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141800.v4.1 MDAKEDQNTSPKPRLPISDPVPLNTYPRIRRRKPNMSKLKLTQIHEKSPEPEYKTGHELVLYDKSEESREPRSRKRKKDAFSVFYDSTETKSPAMELAEEIRANLEAAYPSFAKTLVRSNVTVGFWMHLPMRFCKMHLPKNDTTVFLENESGEEYILNYIAERTALSGGWKAFCAANNLHEGDVLVFHLLKPSRFKVYIVKGNGSSQADGEFGIPSSDAYGKEIISKPDEKDVQGGKKAKHLELLPAGHLEENNQENGLIVVDNNKGYAASQSENESEDRASETLGVIKLSGSTVDFDNFEGIENFSVLLNGFAIDSELSEHHRIKYYELCRSQNSFLHSNLLNSINHKLAAEIIIGTVDISEEIRSSRLSSSHADYGVWDKTLKGFEMFGMNVGFLRERLSRLMSLALESEEAMESECREVKLEQARIDSEMKSLELRLLKLKETRERLDDEIEALKENAEKHELMFQEAVSAPW >Potri.017G067600.2.v4.1 pep chromosome:Pop_tri_v4:17:6382505:6386069:-1 gene:Potri.017G067600.v4.1 transcript:Potri.017G067600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067600.v4.1 MRASSTSFHFLESTSLSRGPVDISRSQLSIPRKLFFCRAKFDGSFSSRVRVVPATLVAAEKEEAKAVLNLFLKKQGLSNAVAARTINKSDIFIDHLVSRLHSVHKSRYLVGRELTTLEIRDALIPYLESLREEHGSILVDLLENFPNPPGKEKPIGHVSPSHLTPESKKQRAVSRVSETGPAGQLPPHILYLIDLGMDLEQIKGITRKFPAFAYYSLERKIKPVVEFLLDLGIPKSDLPTVLTKRPQLCGISLSENLIPTMTFLENLGVDKRQWAKVIYRFPALLTYSRQKVEVTVDFLSEMGLSAESIGKILTRYPNIVSYNVDDKLRPTAEYFRSLGVDIAILLHRCPQTFGLSIEANLKPVTEFFLERGYSIEDIGTMISRYGALYTFSLAENVIPKWEFFLTMDYPKQELVKFPQYFGYSLEARIKPRYALVKEAGVKLLLNQVLSLSYHNFDKALKTKMKKMHSYRASSDTNSCQDLQPGIE >Potri.017G067600.1.v4.1 pep chromosome:Pop_tri_v4:17:6382532:6386139:-1 gene:Potri.017G067600.v4.1 transcript:Potri.017G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067600.v4.1 MRASSTSFHFLESTSLSRGPVDISRSQLSIPRKLFFCRAKFGVSYKAIIINADSGIDGSFSSRVRVVPATLVAAEKEEAKAVLNLFLKKQGLSNAVAARTINKSDIFIDHLVSRLHSVHKSRYLVGRELTTLEIRDALIPYLESLREEHGSILVDLLENFPNPPGKEKPIGHVSPSHLTPESKKQRAVSRVSETGPAGQLPPHILYLIDLGMDLEQIKGITRKFPAFAYYSLERKIKPVVEFLLDLGIPKSDLPTVLTKRPQLCGISLSENLIPTMTFLENLGVDKRQWAKVIYRFPALLTYSRQKVEVTVDFLSEMGLSAESIGKILTRYPNIVSYNVDDKLRPTAEYFRSLGVDIAILLHRCPQTFGLSIEANLKPVTEFFLERGYSIEDIGTMISRYGALYTFSLAENVIPKWEFFLTMDYPKQELVKFPQYFGYSLEARIKPRYALVKEAGVKLLLNQVLSLSYHNFDKALKTKMKKMHSYRASSDTNSCQDLQPGIE >Potri.012G054550.1.v4.1 pep chromosome:Pop_tri_v4:12:5194072:5202255:1 gene:Potri.012G054550.v4.1 transcript:Potri.012G054550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054550.v4.1 MAAHLLFLLYRHHPIITIFLVLILASTSCGEVDNKDYRDCEKHFNCGVLSNLSYPFWGGDRPEVCGHKGFELKCEEGQLPIIPSDTLEFRLFRLDQSSRLMTLQLVNSQYYICPSQTLTNSSTKSDIHVFGYDLNLKNLNLLYNCTVPSSTLEQNRISRSYCSEYSGRSFYGSDDILESSSGLDQTQCSIRFKIPIPAESFRRLTGDKPELEQVLREEFNVSYKYDQGPSICDGCMASKGICGTNLTDPNREFLCLCRDHPYPFVCKGHNLKDRRRMASIGTNGVKKVGGKLGDILKDKRLRIAAIGIASGSAGILIIRIILCARRKASSSSPQVFLKKTHDQDLKDLIRHHVPPPLKEYSFSDVEKMTNFFNDKLGKGGYGTVYKGRLTDSHLVAVKVLVASEGNGEEYVNEVASISRTSHVNVVTLIGFCLERDKRFLIFDFMPNGSLEKFINHENAFEASQQLGWEKLYQIVIGVARGLEYLHRGCNTRIVHFDIKPHNILLDADFCPKISDFGLAKSCTGKESNVSLLEARGTIGYIAPEVFSRNFGRVSYKSDVYSYGMMVLEMVGGKKNHEAEISSGSEKYFPEWIYKHLEVDDESGINGVPTSEQADSVRKIIIVGLWCIQTNPADRPSMSKVLEMLEGPIGALNIPPRPFLSSPPRLIPYSTTTSLT >Potri.001G114400.2.v4.1 pep chromosome:Pop_tri_v4:1:9262736:9268705:-1 gene:Potri.001G114400.v4.1 transcript:Potri.001G114400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114400.v4.1 MEMEEKMEVEFMVFEAREIDLDYEFDAPKYFDFTSLESIAEAREVQRWFDTAPSCPPSPFVAKFVYSLLENVNTSPKSKDEENRAPLLNDDMGPEVSAIEVDDTGLTFYHQKSTDKSNPKVKSMVKPTFPRSSTLMKPTASQLAKQNRPTQIGVSRFQILLGQKERSLCNSSALESHSAKRQKLEGGHVCKIGDGKQKTDFIHKTSKKDGFVDKISSHAKLRLTVPREPDLVTAHRAQRMRPKEQQYMTAPARRFKARPLNQKILEAPSFPLPKKSTPKLPEFQEFHLKTLERAMQHNSSVSSSSFQCNDSNKGLDKPSTISESVKTESRRPTTKNPPKQDGCGATHTFKACPLNKKIFSSKGEMGVFQTSIRETTVPMEFNFHTEKRFQHNPPIDLFSKLSLTSELQPNNKSQLQFPQPSYLSTKGPKENRLNPLQTEQKMVHLVKERQSIFGGKQQIQYGSNMGTNEVRNQSIRRSLGIR >Potri.010G161400.7.v4.1 pep chromosome:Pop_tri_v4:10:16683775:16686039:1 gene:Potri.010G161400.v4.1 transcript:Potri.010G161400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161400.v4.1 MKTLSQPLFQISSTKFIVCENPKINHRKRIETRLGFNETPKNLSWFASLPSCQVTHKSLPLVVSVALLLSATPAKAGIMSGFSGLESIPGPQLPQIDFLNRFNEENQKKYAEADEKFKSSPILKELLERSKLNKEKNRQEIQDKYCIRGAEWGVGDCSAEGMSPEDRENFIAMLKQKAGMK >Potri.001G018500.1.v4.1 pep chromosome:Pop_tri_v4:1:1368768:1370563:1 gene:Potri.001G018500.v4.1 transcript:Potri.001G018500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018500.v4.1 MVKAYGQEHVYKHPWERVTSASWRKFSDPENKRTLSHIVEVDTLNHKLDPESGKLYTTRAITVHAPGPWFVRKIIGQDICHCVESTVVDARTKSMQLTTCNISLQKFLEVEEKIRYDPHPDNPNEWTVCRQETSIRIKPLSALASMAEKVEQKCAEKFMQNSAKGREVMERMCKYLEAESRGIAM >Potri.014G074150.1.v4.1 pep chromosome:Pop_tri_v4:14:4738456:4740118:1 gene:Potri.014G074150.v4.1 transcript:Potri.014G074150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074150.v4.1 MDIAKKAGCLLSCDPNLRLPQWPSEEAARKDITSHGMKLTLLRPFKLRVGSCATYYIFTSFQAERHSTPFRAARNNQLTSLRNVKQEFKGKVSGVKVDAVDTTGTGDALLNILASDIDLFKAMDFILSSRIYVHTVGLKRDVYI >Potri.014G074150.2.v4.1 pep chromosome:Pop_tri_v4:14:4738456:4740118:1 gene:Potri.014G074150.v4.1 transcript:Potri.014G074150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074150.v4.1 MDIAKKAGCLLSCDPNLRLPQWPSEEAARKDITSHGMKLTLLRRPFKLRVGSCATYYIFTSFQAERHSTPFRAARNNQLTSLRNVKQEFKGKVSGVKVDAVDTTGTGDALLNILASDIDLFKAMDFILSSRIYVHTVGLKRDVYI >Potri.001G006901.1.v4.1 pep chromosome:Pop_tri_v4:1:483148:484792:-1 gene:Potri.001G006901.v4.1 transcript:Potri.001G006901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006901.v4.1 MAPTLAVSFNDSSDITDFVLNKGNGVKGLSEMGLESLPKQYIQPLEERMCGTKIMSHESIPIIDMSKWDDPKVAEAICEAAEKWGFFQIINHGVPIEVLENVKEATHQFFRLPAEEKRKYLKEFSPSNNVRFGTSFSPEAEKALEWKDYLSLFYVSEDEASALWPAVCKDQVLEYMKRSETVIRKLLDVLMKNLNVTEIDETKESLLMGSKRTNLNYYPICPNPELTVGVGRHSDVSTLTFLLQDDIGGLYVRGNNDSWIHVPPVSCSIVINVGDALQIMSNGRYKSIEHRVIANGSNNRISVPIFINPMPSDKISPFPEVLAGGEKAVYKEVLYSDYVKHFFRKAHDGKKTIDLAKI >Potri.004G170700.1.v4.1 pep chromosome:Pop_tri_v4:4:20552512:20556437:1 gene:Potri.004G170700.v4.1 transcript:Potri.004G170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170700.v4.1 MAAELFLTFTMEATLTRVSSIAAEGIRLAWGLEGQQQRLNKYLTMIQAKLQDAARKPVTDVSVKLWLQRLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDFFSLHNPVAFRLNMVKKVKEINGSLDEIHELAKVHFGPGNVSQRVEGGPEGARHTDAILGISEVVVGREDDVSKVMKLLICSIGQQVLSVVPIVGMGGLGKTTIAKKVCEVAREKKLFDVTIWVCVSNEFSKLRILGEMLQGVGGSKLSNLNEIMKRLKENLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKKGNAVVVTTRIKEVADTMETSPGIQHEPGRLSDDQCWSIIKVKVSSGGGATIASDLESIGKDIAKKCGGIPLLANVLGGTLHGKQAQEWKSILSSRIWDSQVGNKALLILRLSFDYLSSPTLKKCFAYCSIFPKDFQIEREDLVQLWMAEGFLGPSNGRMEDEGNKYFNDLLANSFFQDVKRNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRRLNLISRGYVEAAFQEVDARKLRTVFSMVDVFKVSRKFKSLRTLKLRRSDITELPDSIWKLRHLRYLDVSRTSIRALPESITKLYHLETLRFTDCKSLKKLPKKMRNLVRLRHLHFDDPKLVPAEVRLLTRLQTLPFFVVGPDHMVEELGCLKKLRGALKICKLEQVRDREEAEKAELSGKGMNKLVFEWSYDEGNSSVNSEDVLEGLQPHPDLRSLEIKGYRGENFSSWMSTILLNNLMELRLKDCSKCRQLPTLGCLPRLKILEMSGMPNVKCIGKEFYSSSSGSAAVLFQALKELALSSMGGLEEWVVPGGEAVAVFPRLEKLSIKRCGKLESIPRCCLSSLVEVEIDGCDELRYFSGEFDGFKSLQILKIFECPKLESIPSVHRCTTLVQLIIGDCRELISIPGDFGELKYSLKTLRVNGCKLGALPSGLQCCASLEELTVIDCSELIRFSGLQELSSLRSLGIIRCDKLISIDDWHGLRQLSSLVSLAITTCPSLRDIPEDDWLGGFTQLQSLSIGGFSEEMEAFPAGVLNSIQHLNLSGSLKYLWIYGWDKLKSVPHQLQHLTALEELFIHDFKGEEFEEALPDWLGNLSSLQSLWIDDCKNLKYMPSSTAIQRLSKLKLLYIWYCPHLSENCREENGSEWPKISHIPKIYIR >Potri.003G218800.1.v4.1 pep chromosome:Pop_tri_v4:3:21362735:21366512:1 gene:Potri.003G218800.v4.1 transcript:Potri.003G218800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218800.v4.1 MGISLSCPFSEYGDVDTGLESVIVKSISFGDNEAKTPVRSVSFGSQDFEPTILKSLGSGKMVVERSVSFKGGELERMVSIRASPLDKEKDASTKLVSINSKEMDNQSVISDDSLETIRKLAIFDPTSPKHQAAVKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKRSSISFFDIEKHESAISRWSRARTRAAKVGKGLSKNDKAQKLALQHWLEAIDPRHRYGHNLHFYYLKWLHSKSREPFFYWLDIGEGKEVNLEKCPRSKLQQQCIKYLGPMERKAYEVVIEDGKLLYKESRELLHTTEDAKWIFVLSTSMNLYIGKKLKGKFQHSSFLAGGVATAAGRLVVEGGVLKAVWPHSGHYRPTEENFQDFLSFLRENNVDLTDVKTSPTDEEDNALYKQRSSKHLRNNSSDEDLSQAVNDLETEEINVQEMTPENTDSVEEETSSVVEKQRSRRLSNFSRILSNLEVPEKSELVEKMESENHMVGSRVSNTSSPVEDGYESAEEGLGTEQHSLEPNDNLFDEEQGEDEQNVPDEAIIERINSKKGIASYQLGKQLSCKWTTGAGPRIGCVRDYPSELQFRALEQVNLSPRSFLHSRSTGLMNRKVSTPTTNFSGELRPITGLPVVAGEEVLQRTHPLSKAQSLPHGLQ >Potri.002G185300.1.v4.1 pep chromosome:Pop_tri_v4:2:14698838:14700915:-1 gene:Potri.002G185300.v4.1 transcript:Potri.002G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185300.v4.1 MGSLGNLLKMDAAGRANGPKYECLLFDMDDTLYPLSLGLNMACRKNIEEFMLHQLHIEESEVPRMCLELYREHGTTMAGLKALGYEFDNDEFHAFVHGRLPYETLKPDPVLRNILLSVPQRKIIFTNADKAHAAEVLKRMGFEDCFEGVICFETLNPPLEIANNMDALDNDAMIAGGEPEPSGFDGTIATGNKNKIKNDLDNGISSKSRILCKPSLEAIEAAIQIANVDPRKTIFFDDSARNIASGKAAGLHTVIVGSSVLVPGADNALRSIHNIKEAIPEIWEDEGEEMELVIQSTTVETMILA >Potri.012G083300.1.v4.1 pep chromosome:Pop_tri_v4:12:10871427:10875820:1 gene:Potri.012G083300.v4.1 transcript:Potri.012G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083300.v4.1 MAMLFNIFSLKNLLVLSLALNLSLVLRVLYEKDFEVNNGRSVDTQKDALTTTDRVLSEARATQRARPSMPSSSSTVDSDGGDTIINLDHGDPTMYERFWQQAGDKSTIVIPGWQSMSYFSDAGSLCWFLEPEFAKEIIRLHKTVGNAVTEDRYIVVGTGSTQLYQAVLYALSPQDAVEPLSVVSAAPYYSSYPLITDCLKSGLYKWAGDARSFNKEGPFIELVTSPNNPDGYVRQSVVNKSGGILVHDLAYYWPQYTPIASAANHDIMLFTVSKSTGHAGMRIGWALVKDEEVAKKMVKFVELNTIGVSKDSQLRAAKVLQVVIHSCQYPTSLGSLFDFSAHLMEERWKLLRAAVRQSGLFTLPEFSPGSCSFLNRSFAPQPAFAWLKCQEPMEDCEGFLRSNNIITRSGKHFGVSPQYVRISMLDRDENFYIFVERLSTIHQRQSVQVDETYGGIE >Potri.018G095900.1.v4.1 pep chromosome:Pop_tri_v4:18:11589268:11591239:1 gene:Potri.018G095900.v4.1 transcript:Potri.018G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095900.v4.1 MGRAPCCDKNNVKKGPWSPEEDAKLKAYIDQFGTGGNWIALPQKVGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSSNNRLSSTNPDANGVEDSSSSQALSNSALERLQLHMQLQSLQNPISFYNNPALWPKLHPFQEKILLQSLSEISNPLMQYAFPSTQQGDAQKVDIYGQLVDPDTLQQGHPKFSNSNVVSLENSMNVITSSDSPLPFTNGKNVMDLTIVPRAGMADQSDAAVQPVSNFQSELENFLNNKTSGFTAQGDQIGEFDCFKEMNGSRDNMNWWSNDFETKSASSNSWDSTSVLQSEGMFQDYELGYNM >Potri.008G223500.1.v4.1 pep chromosome:Pop_tri_v4:8:18834286:18836321:-1 gene:Potri.008G223500.v4.1 transcript:Potri.008G223500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G223500.v4.1 MEHQFASTILVTILVTSISYVILWIWKKSKVRNSNLNLPPVPSQLPLIGNMHNLVGSLPHHRFRDMAKKYGPVMHLRLGEVTHVLISSAETAKEVMKTHDLIFAQRPAPIAAKILSYNCMDIAFAPYGDYWRMLRKLCVLELLSAKRVRSFRSIREEEVWRVVRSISSSAWSPVNFSRMISSLTYCITSRAAFGKICKGEDVFIPAVKEANKAAGGYSLADLYPSIKLLSVISGMRLTLEKIHARLDKILQEIINEHRSKKEMAAKTGADEEEHDLVDVLLGIQDQGDTEFSLTDNNIKAIILDLFVAGTDTSSTTVVWAMSEMVKHPRVMKKAQEEVRQVFGDKGTVDEAGLHELNYLKLAIKETFRLHPPVPLLLPRESREDCKINGYDIPIKSKVIVNVSAIGRDPTYWNEPERFYPERFLDNSIEYKGTDFELLPFGAGRKMCPGILFGTVNVELPLAQLLFHFDWNLPKGPKPEDLDMSEVFGAVVTRKNDLCLIPIPHHPLPGN >Potri.008G193900.1.v4.1 pep chromosome:Pop_tri_v4:8:13683323:13689786:1 gene:Potri.008G193900.v4.1 transcript:Potri.008G193900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193900.v4.1 MEFFTKTKAIKLRSHLYKYLIADFDQETVRQTRHGISRKAVIWFVELVDGKSHVIRLRNCYGKYLAASDLPFFLGVTGKKILQTVPEDITDICKMEWEPVRDGSQVKFKSWCGNFLRANGGAPPWRNAVTHDEPPTGSTQKWIFWDIEAVQVPENDSLVDYLSSMSSFSTVPDDVLVAISGDYNSPERASQLSIVPAARIPRLTLAKSMFPRLFSSPNNKTKSNHFRSGMDFFLNAKTVRLRSHHDKYLLAEEDEDSVTQDRNGSSKIARWTVEPVPGSDSIIRLKSCNGKYLTASNEPFLLGMTGRKVLQTVPRRFDSSVEWEPVREGGQVKLKTRYGNFLRANGGLPPWRNSVTHDIPHRSATQDWILWDVDVVETRALQSPTGHAHYLQKIVSQSDSLDSESTSPPSISIKSGDYLRQGSSDSNASSPRKSDGRTIYYHVADESGEVDDDATERCSLNFKGNGVDGLTQKLKEDTGLEDIVVCTRSPLNGELYPLRLQLPPNNADMHVILVQPSSKVASDFAEQGTPL >Potri.008G193900.2.v4.1 pep chromosome:Pop_tri_v4:8:13686774:13689501:1 gene:Potri.008G193900.v4.1 transcript:Potri.008G193900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193900.v4.1 MDFFLNAKTVRLRSHHDKYLLAEEDEDSVTQDRNGSSKIARWTVEPVPGSDSIIRLKSCNGKYLTASNEPFLLGMTGRKVLQTVPRRFDSSVEWEPVREGGQVKLKTRYGNFLRANGGLPPWRNSVTHDIPHRSATQDWILWDVDVVETRALQSPTGHAHYLQKIVSQSDSLDSESTSPPSISIKSGDYLRQGSSDSNASSPRKSDGRTIYYHVADESGEVDDDATERCSLNFKGNGVDGLTQKLKEDTGLEDIVVCTRSPLNGELYPLRLQLPPNNADMHVILVQPSSKVASDFAEQGTPL >Potri.019G129532.1.v4.1 pep chromosome:Pop_tri_v4:19:15220790:15221020:-1 gene:Potri.019G129532.v4.1 transcript:Potri.019G129532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129532.v4.1 MKFLASETVVYVLQWFKKENVPIIVAAVVVVLLFRSFYRCLFKSAKTMRAPGRNYRIPRSSFEANPSAYFRNLRER >Potri.006G168200.1.v4.1 pep chromosome:Pop_tri_v4:6:16832419:16837890:1 gene:Potri.006G168200.v4.1 transcript:Potri.006G168200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G168200.v4.1 MSCLALSLQPSNGSDILLQTREWFPPARALIATSAFRQTRLAFSATKHQPTATTNPSNLSSDDYSLSAASVDSIASLGDDPLAASSGQLIVGVESRYRVVYRLVNGIYILGVTIADGDNSVNVFECINIVNQAVSVIVTACRGVDVTPEKLSRKYAEIYMALDIVLRGVSNIRLAAMLTSMHGDGIAKMVHSALDTENKIRGADSWSNLEVQASEQQASIEAFSNASFELPPETIAAGDEVAASLAPVVSEQDQKLEKLEEPEGPKDPFAASEAVNKPEELAGEFKKDKTQSKDLTLALAGLEVTTLPPAEATQATHISVEGFEGDYGGIEFSNEQASLGETFEGFGDAWGGGLDASEFVGPKKIPKQQGLGGLELLQTGDSGDAAAAAAAKAKAASGATGTPLENLLVQKTEMKGPEMYIVEEISAEFRESLLARVGLMGLVYLRTLPPKTAADKETEFSFQVDNTSAVKRFVMQGSKVSSLGNGMFHVRTAPSDEPIPILKYSLLPRLTPLPLRVRLTQRHSGTLLSLMIQYVSNPDLPAPLTDVTFILKLPVDPTLLKVSPKAVLNRPERELKWHVPEIPLKGSPGRLKARMPVDSNEGEVDEEIEVFGYAKFSMQGKTSLSGICLRPASEGKTDFYEVSHRYESGVYMCN >Potri.003G027520.1.v4.1 pep chromosome:Pop_tri_v4:3:2926799:2927858:-1 gene:Potri.003G027520.v4.1 transcript:Potri.003G027520.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G027520.v4.1 MLEDNISSRTGNLVVPDLGAEVMNDVNSSSEPVRLEDLQRILSNIGSGGVFCSDSASDHILVCCT >Potri.005G043700.1.v4.1 pep chromosome:Pop_tri_v4:5:2755711:2758861:-1 gene:Potri.005G043700.v4.1 transcript:Potri.005G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043700.v4.1 MAGCLVLLLFLALLSFSGNADVSVDCGASDSYADENSIVWIGDDDLFKNGQSEVVQPSNPASHVMSTLRVFTTLKKNCYSITADKGSLVLVRASFFYGNYDKRSSPPSFDLLFDGNNWATVKTSLDQLVYYEVMYVVKSDTTSICLAQTQPNQFPFISALEVRNLDSKMYGDVDPNYALFLRSRIAYGANTTVRFPDDGYDRIWVPERVGSGLVSVASDAILIDVANAPDNPPPEVLQNAITTSNTSASITLNPGFPDQDVSVYMNLYFSEVTELDATQKRSFNAYIDNIKSSEPIIPPYEAAKEVSANFTASANTSISLVSTTDSTLPPLINAMEVFFVSDRLTDGTNSKDVEGLGELQNTFSVLQEYWSGDPCLPSPYTWERISCSNDAIPRVTALDLSSLDLSGPLPDFSSMDALVTIDLHNNSITGPIPDFLGALPNLKDLNLADNSFSGPIPQSISSNKKLKFVASGNPDLCVSGKSCQPTSTDGTVITSTPSGGRKKSNKLPVILGTIIPIFVFFWAIVGFLVHHKRRTAAIAAITAGKTGGANRPSVANTMTGQAGEAVINEIRVNIQDQTASENGDQSVPQQ >Potri.017G051200.1.v4.1 pep chromosome:Pop_tri_v4:17:3665621:3667092:1 gene:Potri.017G051200.v4.1 transcript:Potri.017G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051200.v4.1 MSPAKVQAIHLLEGEWGKPGCTICWNFCIDGAPKVAKEVIEDIDNTKLSTTFKVIEGDLMEAYKSFKVIVQATPKGHGSVVHWTLIFEKLNENIPAPTAFLDNAVDFTKDINAHLTQAKA >Potri.015G145700.2.v4.1 pep chromosome:Pop_tri_v4:15:15028520:15034085:1 gene:Potri.015G145700.v4.1 transcript:Potri.015G145700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145700.v4.1 MVSSMAATCSPTSLQLRLAMNCHNRRISPPTQTRPWMRNKEVGSGSFRFLFLPQNERRFDGGSWIGSSSAADNFAGWSDSDHDSDQSIENQRKKWLKGIVGAGVAGVILFAGLTFAALSLSKWSISRPKQHMEPFTTQQEVSLASDKEDDKVEESKSEDRNDSDLESKTDIQTDLSSFPELNEAPNENVLGDSTETSTVDNVDYATRVSGTGNNDSFQEDLQYESSFDDKSVAPEMTPSSENLPSSEINASSPVSTFEVDKNPVNVEPSNVPDITNLNTDLQSELPVSKINENSDPSSDSFTSTVLEPKEPMGVNISDSSPMDTSSEPQIVPEDDTEAVASLLTKENLDLSNTTQNSAERNSSSLEVNYLDESDFSGTVSDFANQAIIANNEMKESEPFFELPTPEISFSSAGIPAPSAVSAALQVLPGKVLVPAVVDQLQGQTFAALQVLKVIEADVQPSDLCTRREYARWLVAASSVLSRSTVSKVYPAMYIENVTELAFDDITPDDPDFSSIQGLAEAGFISSKLSNHDLLSSSVENQGPFYFAAESPLSRQDLVSWKMALDKRQLPEADKKMLYKLSGFRDIDKINPDAWPALVADLSAGDQGIISLAFGCTRLFQPDKPVTKAQAAVALATGEASDTVSEELARIEAESVAENAVSAHNALVAQAEQDINASFEKELSMEREKINAVEKMAEEARCELERLRAEREKDGVALMKERIAIESEMEVLSKLRREVEEQLQSLLSNKLEISYEKERISKLQKEAESEKQEISRLQYDLEVERKALSMARAWAEDEAKRAREQAKALEEARYRWEKHGIKVVVDSSLDEESSTGVTWLTAGKQVSSVEGTVNRAENLVDKLKLMADNVKGKSREVIDKIIQKVQVLISILREWVAKAYAQTKELKEATISKTRGSIQELQQNTTEFSFAIKEKARGSMQELRQHTADFSLAVKESTKRVAEDCREGVEKLTQKFKS >Potri.002G089900.3.v4.1 pep chromosome:Pop_tri_v4:2:6508723:6513523:1 gene:Potri.002G089900.v4.1 transcript:Potri.002G089900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089900.v4.1 MPQPQLTELSRVDPRFWRACAGSSVQIPAVNSRVYYFPQGHFEQSSSSTAPHPPFLTNLALSKPSIPCQISAVDFLADPVTDEVFTRLLLIPLDNPFSNLPLSFLEPCRSEGEGANDVDDDERKILAFSKILTPSDANNGGGFSVPRFCADSIFPPLNYQAEPPVQTLTVADIHGVSWDFRHIYRGTPRRHLLTTGWSKFVNNKKLIAGDSVVFMRNLKGEMFIGVRRAVRFNNSARWREQVSDSGGDGKVKVEEGFSRSWRGRLSQEAVVEAVERAAKGLPFEVVYYPRAGWYSDFVVRAEVVEAALCVFWTAGMRVKMAVETEDSSRMTWFQGTVSGTGLPDCGAWRGSPWRMLQITWDEPEVLQNVKRVSPWQVEFVATTLPIQDASPPMKKLRYPNDSGFLTNGELFFPMSDLTNSRTGHMNASMLNYSTFPAGMQGARQDPFSTFSLSNLISENASQVFGDKVFGNNLVPKMKRMPSEMNIGSLQSGDLSPESQSSAHSFGKDFTGNRSFNPKKVGISSIQLFGKIIHMNQPVENGFDSVGFMDNSSKGCNETEGVNALELSLTSSYTELLNRIDVQCQSASAVEACSA >Potri.002G089900.1.v4.1 pep chromosome:Pop_tri_v4:2:6508702:6513519:1 gene:Potri.002G089900.v4.1 transcript:Potri.002G089900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089900.v4.1 MPQPQLTELSRVDPRFWRACAGSSVQIPAVNSRVYYFPQGHFEQSSSSTAPHPPFLTNLALSKPSIPCQISAVDFLADPVTDEVFTRLLLIPLDNPFSNLPLSFLEPCRSEGEGANDVDDDERKILAFSKILTPSDANNGGGFSVPRFCADSIFPPLNYQAEPPVQTLTVADIHGVSWDFRHIYRGTPRRHLLTTGWSKFVNNKKLIAGDSVVFMRNLKGEMFIGVRRAVRFNNSARWREQVSDSGGDGKVKVEEGFSRSWRGRLSQEAVVEAVERAAKGLPFEVVYYPRAGWYSDFVVRAEVVEAALCVFWTAGMRVKMAVETEDSSRMTWFQGTVSGTGLPDCGAWRGSPWRMLQITWDEPEVLQNVKRVSPWQVEFVATTLPIQDASPPMKKLRYPNDSGFLTNGELFFPMSDLTNSRTGHMNASMLNYSTFPAGMQGARQDPFSTFSLSNLISENASQVFGDKVFGNNLVPKMKRMPSEMNIGSLQSGDLSPESQSSAHSFGKDFTGNRSFNPKKVGISSIQLFGKIIHMNQPVENGFDSVGFMDNSSKGCNETEGVNALELSLTSSYTELLNRIDVQCQSASAVEACSA >Potri.004G161100.3.v4.1 pep chromosome:Pop_tri_v4:4:18070991:18080998:-1 gene:Potri.004G161100.v4.1 transcript:Potri.004G161100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161100.v4.1 MASSSRARSSSPFSYRKPSSPYSSASSTTSYNNRLMPRSCSTSASSFFGSRSVTPSRDRSDSMHYGLSNGVGAYGGSLNPVGFGSEELIAEPIDQPRNGGDSISVTIRFRPLSEREFQRGDEIAWSADGDKIVRNEYNPATAYAFDKVFGPHTASQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSSIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGIRRKEGSYINKSLLTLGTVIGKLSEGRASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISSLKQELDQLRHGMLAGVSHEEILSLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGLTDVPGHQPSHSVGEDDKSDVLREGALLAENENQKDSPSSASLIASDLTYEFKHRRSSSMWNEELSPASSTVTESTQSYELMGTSKLAPGGMTQDQMDLLVEQVKMLAGEIAFSTSTLKRLVEHSVNDPDNSKTQIQNLEREIWEKKRQMRVLEQRIIESGEASIANASLVDMQQTVMRLMTQCNEKAFELEIKSADNRILQEQLQNKCSENKELQDKVTLLEHRLASLSGDKASVNSEHNMSEEYVDELKKKVQSQEIENEKLKIGQVQISEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESVHSRGAGMQSVNGVNRKFNDGIRHGRKGRFSGRGNDFSGMHSDDFESWNLDPDDLKRELQARKQREAALEAALAEKEFIEDEYRKKCEEAKKREGALENDLANMWVLVAKLKREDSAIFGMNADERHSDGIDHTSDPKTNGVEVDRNSILKEREDLDASQVDETPKEEPLVVRLKARIQEMKEKELKQLGNGDANSHVCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFT >Potri.004G161100.4.v4.1 pep chromosome:Pop_tri_v4:4:18070989:18080999:-1 gene:Potri.004G161100.v4.1 transcript:Potri.004G161100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161100.v4.1 MASSSRARSSSPFSYRKPSSPYSSASSTTSYNNRLMPRSCSTSASSFFGSRSVTPSRDRSDSMHYGLSNGVGAYGGSLNPVGFGSEELIAEPIDQPRNGGDSISVTIRFRPLSEREFQRGDEIAWSADGDKIVRNEYNPATAYAFDKVFGPHTASQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSSIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGIRRKEGSYINKSLLTLGTVIGKLSEGRASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISSLKQELDQLRHGMLAGVSHEEILSLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGLTDVPGHQPSHSVGEDDKSDVLREGALLAENENQKDSPSSASLIASDLTYEFKHRRSSSMWNEELSPASSTVTESTQSYELMGTSKLAPGGMTQDQMDLLVEQVKMLAGEIAFSTSTLKRLVEHSVNDPDNSKTQIQNLEREIWEKKRQMRVLEQRIIESGEASIANASLVDMQQTVMRLMTQCNEKAFELEIKSADNRILQEQLQNKCSENKELQDKVTLLEHRLASLSGDKASVNSEHNMSEEYVDELKKKVQSQEIENEKLKIGQVQISEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESVHSRGAGMQSVNGVNRKFNDGIRHGRKGRFSGRGNDFSGMHSDDFESWNLDPDDLKRELQARKQREAALEAALAEKEFIEDEYRKKCEEAKKREGALENDLANMWVLVAKLKREDSAIFGMNADERHSDGIDHTSDPKTNGVEVDRNSILKEREDLDASQVDETPKEEPLVVRLKARIQEMKEKELKQLGNGDANSHVCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFT >Potri.004G161100.5.v4.1 pep chromosome:Pop_tri_v4:4:18070989:18080991:-1 gene:Potri.004G161100.v4.1 transcript:Potri.004G161100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161100.v4.1 MASSSRARSSSPFSYRKPSSPYSSASSTTSYNNRLMPRSCSTSASSFFGSRSVTPSRDRSDSMHYGLSNGVGAYGGSLNPVGFGSEELIAEPIDQPRNGGDSISVTIRFRPLSEREFQRGDEIAWSADGDKIVRNEYNPATAYAFDKVFGPHTASQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSSIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGIRRKEGSYINKSLLTLGTVIGKLSEGRASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISSLKQELDQLRHGMLAGVSHEEILSLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGLTDVPGHQPSHSVGEDDKSDVLREGALLAENENQKDSPSSASLIASDLTYEFKHRRSSSMWNEELSPASSTVTESTQSYELMGTSKLAPGGMTQDQMDLLVEQVKMLAGEIAFSTSTLKRLVEHSVNDPDNSKTQIQNLEREIWEKKRQMRVLEQRIIESGEASIANASLVDMQQTVMRLMTQCNEKAFELEIKSADNRILQEQLQNKCSENKELQDKVTLLEHRLASLSGDKASVNSEHNMSEEYVDELKKKVQSQEIENEKLKIGQVQISEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESVHSRGAGMQSVNGVNRKFNDGIRHGRKGRFSGRGNDFSGMHSDDFESWNLDPDDLKRELQARKQREAALEAALAEKEFIEDEYRKKCEEAKKREGALENDLANMWVLVAKLKREDSAIFGMNADERHSDGIDHTSDPKTNGVEVDRNSILKEREDLDASQVDETPKEEPLVVRLKARIQEMKEKELKQLGNGDANSHVCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFT >Potri.004G161100.1.v4.1 pep chromosome:Pop_tri_v4:4:18070989:18081061:-1 gene:Potri.004G161100.v4.1 transcript:Potri.004G161100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161100.v4.1 MASSSRARSSSPFSYRKPSSPYSSASSTTSYNNRLMPRSCSTSASSFFGSRSVTPSRDRSDSMHYGLSNGVGAYGGSLNPVGFGSEELIAEPIDQPRNGGDSISVTIRFRPLSEREFQRGDEIAWSADGDKIVRNEYNPATAYAFDKVFGPHTASQEVYEVAAKPVVKAAMEGVNGTVFAYGVTSSGKTHTMHGDQNSPGIIPLAIKDVFSSIQDTPGREFLLRVSYLEIYNEVINDLLDPTGQNLRVREDAQGTYVEGIKEEVVLSPGHALSFIAAGEEHRHVGSNNFNLFSSRSHTIFTLMIESSAHGDEYDGVIFSQLNLIDLAGSESSKTETTGIRRKEGSYINKSLLTLGTVIGKLSEGRASHVPYRDSKLTRLLQSSLSGHGHVSLICTVTPASSNMEETHNTLKFASRAKRVEIYASRNKIIDEKSLIKKYQKEISSLKQELDQLRHGMLAGVSHEEILSLRQKLEEGQVKMQSRLEEEEEAKAALMSRIQRLTKLILVSTKNTIPGLTDVPGHQPSHSVGEDDKSDVLREGALLAENENQKDSPSSASLIASDLTYEFKHRRSSSMWNEELSPASSTVTESTQSYELMGTSKLAPGGMTQDQMDLLVEQVKMLAGEIAFSTSTLKRLVEHSVNDPDNSKTQIQNLEREIWEKKRQMRVLEQRIIESGEASIANASLVDMQQTVMRLMTQCNEKAFELEIKSADNRILQEQLQNKCSENKELQDKVTLLEHRLASLSGDKASVNSEHNMSEEYVDELKKKVQSQEIENEKLKIGQVQISEENSGLRVQNQKLSEEASYAKELASAAAVELKNLAGEVTKLSLQNAKLEKELLAARESVHSRGAGMQSVNGVNRKFNDGIRHGRKGRFSGRGNDFSGMHSDDFESWNLDPDDLKRELQARKQREAALEAALAEKEFIEDEYRKKCEEAKKREGALENDLANMWVLVAKLKREDSAIFGMNADERHSDGIDHTSDPKTNGVEVDRNSILKEREDLDASQVDETPKEEPLVVRLKARIQEMKEKELKQLGNGDANSHVCKVCFESPTAAILLPCRHFCLCKSCSLACSECPICRTKIADRLFAFT >Potri.008G197600.5.v4.1 pep chromosome:Pop_tri_v4:8:13982460:13983944:-1 gene:Potri.008G197600.v4.1 transcript:Potri.008G197600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197600.v4.1 MKGFLDAQFQQLQLLQDESNPDFVAEVVSLFFEDSERLLTDLTSALEQQNIDFKKVDAHVHQFKGSSSSIGALRVKNDCIAFRNFCEEQNIEGCLRCLQQLKQDYYLVKSKLEALIRLEQQIVAACGTIPMEELSS >Potri.008G197600.2.v4.1 pep chromosome:Pop_tri_v4:8:13982349:13984209:-1 gene:Potri.008G197600.v4.1 transcript:Potri.008G197600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197600.v4.1 MEVGQMQRAWVEYTKSLFREGFLDAQFQQLQLLQDESNPDFVAEVVSLFFEDSERLLTDLTSALEQQNIDFKKVDAHVHQFKGSSSSIGALRVKNDCIAFRNFCEEQNIEGCLRCLQQLKQDYYLVKSKLEALIRLEQQIVAACGTIPMEELSS >Potri.005G251700.4.v4.1 pep chromosome:Pop_tri_v4:5:24457413:24462363:1 gene:Potri.005G251700.v4.1 transcript:Potri.005G251700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251700.v4.1 MMEDGVLSPGMMLGAAVDSAMDFDYMDELLLEGCWVETTDGSEFLNPSLSNSAALFDPSFMWPSPEMNNGNPASSLSQKGSQEVSHIPLLPGNSPSDIQSRSPVGEIAVSAAGWEYNATEGSELGKRWWIGPAPNPSPGTTVKRRLIKAVECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFALDPSSERLASYRDISVKYQFSAEKDSKDSVGMPGRVFLGKVPEWTPDVRFFRNDEYPRVNHAQQCDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYLPELESVCKALEAVDLRSSEVPSIQNLKACDMSYQAALPEIQKVLRAACETHRLPLAQTWVPCIQQGKGGCRHSNENYYHCVSTVDDACCVGDPAFQGFLEACSEHHLLKGQGVVGEAFMTNQPCFSGDVTLYGKTEYPLSHHARIFGLCAAVAIRLRSMYTGTTDFVLEFFLPVDCRDPQEQKTMLTSLSIIIQRVCQTLRVVTVKELEEETDLPVSEVLVPSDGRSSGEETSTVKESYSERNARDNSPWTACLLKVQQSESNASLSEKDKEKVMCEKSFESRHNQEDYSLRGSTKYGGDSTSAEGSFSSVCKTKPGEKRRAKTEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVSHSLQKLQCVIDSVEGAPGSVQIGSFYENFPELASPNSSRNSSLSTLNPSSHPKPSGIQLEGGTFSSHVAEPKSPSPSCSLSSSSSHSYSSTTQQYPSAITVSASEDPKLGENLGSGVLKKIRSNAELHASILEERKLMLRSQSHTTLTELGNRPPLPKDSSRLSQEMDGHRVKVSFRNDKIRLRMPNNWVFKDLLQEIIRRFNLDDMHRYDLKYLDDDSEWVLLACDDDLEECIDVCGSGDNQTIKLLIEVSPHP >Potri.005G251700.5.v4.1 pep chromosome:Pop_tri_v4:5:24456620:24462369:1 gene:Potri.005G251700.v4.1 transcript:Potri.005G251700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251700.v4.1 MMEDGVLSPGMMLGAAVDSAMDFDYMDELLLEGCWVETTDGSEFLNPSLSNSAALFDPSFMWPSPEMNNGNPASSLSQKGSQEVSHIPLLPGNSPSDIQSRSPVGEIAVSAAGWEYNATEGSELGKRWWIGPAPNPSPGTTVKRRLIKAVECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFALDPSSERLASYRDISVKYQFSAEKDSKDSVGMPGRVFLGKVPEWTPDVRFFRNDEYPRVNHAQQCDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYLPELESVCKALEAVDLRSSEVPSIQNLKACDMSYQAALPEIQKVLRAACETHRLPLAQTWVPCIQQGKGGCRHSNENYYHCVSTVDDACCVGDPAFQGFLEACSEHHLLKGQGVVGEAFMTNQPCFSGDVTLYGKTEYPLSHHARIFGLCAAVAIRLRSMYTGTTDFVLEFFLPVDCRDPQEQKTMLTSLSIIIQRVCQTLRVVTVKELEEETDLPVSEVLVPSDGRSSGEETSTVKESYSERNARDNSPWTACLLKVQQSESNASLSEKDKEKVMCEKSFESRHNQEDYSLRGSTKYGGDSTSAEGSFSSVCKTKPGEKRRAKTEKTITLQVLRQYFAGSLKDAAKSIGGKLYSIIVYFIFYYNECLLEFMFWVASVPQRN >Potri.005G251700.1.v4.1 pep chromosome:Pop_tri_v4:5:24456736:24462364:1 gene:Potri.005G251700.v4.1 transcript:Potri.005G251700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251700.v4.1 MMEDGVLSPGMMLGAAVDSAMDFDYMDELLLEGCWVETTDGSEFLNPSLSNSAALFDPSFMWPSPEMNNGNPASSLSQKGSQEVSHIPLLPGNSPSDIQSRSPVGEIAVSAAGWEYNATEGSELGKRWWIGPAPNPSPGTTVKRRLIKAVECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFALDPSSERLASYRDISVKYQFSAEKDSKDSVGMPGRVFLGKVPEWTPDVRFFRNDEYPRVNHAQQCDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYLPELESVCKALEAVDLRSSEVPSIQNLKACDMSYQAALPEIQKVLRAACETHRLPLAQTWVPCIQQGKGGCRHSNENYYHCVSTVDDACCVGDPAFQGFLEACSEHHLLKGQGVVGEAFMTNQPCFSGDVTLYGKTEYPLSHHARIFGLCAAVAIRLRSMYTGTTDFVLEFFLPVDCRDPQEQKTMLTSLSIIIQRVCQTLRVVTVKELEEETDLPVSEVLVPSDGRSSGEETSTVKESYSERNARDNSPWTACLLKVQQSESNASLSEKDKEKVMCEKSFESRHNQEDYSLRGSTKYGGDSTSAEGSFSSVCKTKPGEKRRAKTEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVSHSLQKLQCVIDSVEGAPGSVQIGSFYENFPELASPNSSRNSSLSTLNPSSHPKPSGIQLEGGTFSSHVAEPKSPSPSCSLSSSSSHSYSSTTQQYPSAITVSASEDPKLGENLGSGVLKKIRSNAELHASILEERKLMLRSQSHTTLTELGNRPPLPKDSSRLSQEMDGHRVKVSFRNDKIRLRMPNNWVFKDLLQEIIRRFNLDDMHRYDLKYLDDDSEWVLLACDDDLEECIDVCGSGDNQTIKLLIEVSPHP >Potri.005G251700.3.v4.1 pep chromosome:Pop_tri_v4:5:24456841:24462485:1 gene:Potri.005G251700.v4.1 transcript:Potri.005G251700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251700.v4.1 MMEDGVLSPGMMLGAAVDSAMDFDYMDELLLEGCWVETTDGSEFLNPSLSNSAALFDPSFMWPSPEMNNGNPASSLSQKGSQEVSHIPLLPGNSPSDIQSRSPVGEIAVSAAGWEYNATEGSELGKRWWIGPAPNPSPGTTVKRRLIKAVECIKDLTKNKDVLIQIWVPVNRGGRRVLTTHDQPFALDPSSERLASYRDISVKYQFSAEKDSKDSVGMPGRVFLGKVPEWTPDVRFFRNDEYPRVNHAQQCDVRGTLALPVFEQGSRTCLGVIEVVTTSQKIKYLPELESVCKALEAVDLRSSEVPSIQNLKACDMSYQAALPEIQKVLRAACETHRLPLAQTWVPCIQQGKGGCRHSNENYYHCVSTVDDACCVGDPAFQGFLEACSEHHLLKGQGVVGEAFMTNQPCFSGDVTLYGKTEYPLSHHARIFGLCAAVAIRLRSMYTGTTDFVLEFFLPVDCRDPQEQKTMLTSLSIIIQRVCQTLRVVTVKELEEETDLPVSEVLVPSDGRSSGEETSTVKESYSERNARDNSPWTACLLKVQQSESNASLSEKDKEKVMCEKSFESRHNQEDYSLRGSTKYGGDSTSAEGSFSSVCKTKPGEKRRAKTEKTITLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRQHGINRWPSRKIKKVSHSLQKLQCVIDSVEGAPGSVQIGSFYENFPELASPNSSRNSSLSTLNPSSHPKPSGIQLEGGTFSSHVAEPKSPSPSCSLSSSSSHSYSSTTQQYPSAITVSASEDPKLGENLGSGVLKKIRSNAELHASILEERKLMLRSQSHTTLTELGNRPPLPKDSSRLSQEMDGHRVKVSFRNDKIRLRMPNNWVFKDLLQEIIRRFNLDDMHRYDLKYLDDDSEWVLLACDDDLEECIDVCGSGDNQTIKLLIEVSPHP >Potri.012G069400.1.v4.1 pep chromosome:Pop_tri_v4:12:9060268:9063188:-1 gene:Potri.012G069400.v4.1 transcript:Potri.012G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069400.v4.1 MASNIGMMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKVLQDVFNKLKIEKHIEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPVERRSKGGKDRNSKGSQKTTKSLQANNLHNSASSDTVDLNKMSGPKQGRGSAVAGGATYSEEIQALSKEVTNLKLSVDHLEKERDFYFAKLRDIEILCQIPEMEDLPMTVAIKKILYADDAKESALEEAQEYLSEAINTVETEVESEA >Potri.009G006600.1.v4.1 pep chromosome:Pop_tri_v4:9:1357906:1360074:-1 gene:Potri.009G006600.v4.1 transcript:Potri.009G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006600.v4.1 MASLLYLPLILLIIPLMITTAQNTPSPGYYPGSRISSIGFDQGYSNLWGAQHQRVEQGTVTIWLDSSSGSGYKSLHPYRSGYFGAAIKLQPGYTAGVITSFYLSNNEAHPGDHDEIDIEFLGTTPDKPYTLQTNVYIRGSGDRNIIGREMKFHLWFDPTQDFHNYAILWTPSEIIFLVDDVPIRRYPRKSDATFPLRPMWVYGSIWDASSWATEDGKYKADYNYQPFIGRYKNFKIGGCTADGPAACSPPSASPSGSGGLSQQQSSVMEWVQRNYLVYDYCRDGKRDHTQTPEC >Potri.012G100400.1.v4.1 pep chromosome:Pop_tri_v4:12:12341135:12345389:1 gene:Potri.012G100400.v4.1 transcript:Potri.012G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100400.v4.1 MATTLARIARKSLTTTTAFSLNRHFGTEAVAAATASTKSITPSADRVKWDYRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVYDGASVWNGAVLRGDLNKITVGFCSNVQERCVVHAAWNSPTGLPAETSIERYVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVVPPGRRIPTGELWAGNPARFVRTLTHEETLEIPKLAVAINDLSKTHFFEFLPYSTVYLEVEKLKKKLEIKV >Potri.018G148432.1.v4.1 pep chromosome:Pop_tri_v4:18:15954672:15958426:-1 gene:Potri.018G148432.v4.1 transcript:Potri.018G148432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148432.v4.1 MAAVELTVFQVILLFWSLRTAESQVMTLPGCESYCGDISIPYPFGMKEGCYLDERFKILCNSSSGVPKLTVNGTDLEVNNISVDDSTIAVMFPIVFANCSGKDGNTVVDLEGSPFVFSSENYFIARGCGNLALMNQNQSAIGGCVSLCDKNRDSMMASCSGIDCCQTRIPSFLKVFNVTMKGLEDGKGSRGENECRYAFLIDERWTNYGGYYYDYYFGGNFDFYYDKRERDHVPVVLDWGIDRRVFESLVKNGSFYNSSYTSTCEFPSPSITSTNQSSTVKCSCKPGFEGNPYLSGICQEGRTYVHYRRKIKAKMAGLGVGVGFGALFLLIGLWWLYKVFKRKRSEKLKRKYFKRNGGLLLQEQLSSGEVNVEKIKMFPSKELDKATDHYNVNRTLGQGGQGTVYKGMLADGKIVAVKKSKVIDEGNLRQFINEVVLLSQINHRNVVKLLGCCLETELPLLVYEFIPNGTLFQFLHDPNEDFPLTWEMRLRIAAEVAGALFYLHSAASLPIFHRDIKSTNILLDEKYRAKVADFGTSRSVSIDQTHVTTRVQGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLTGQKPISFTRSEEQGRSLATYFIMAMESNCLFDILDPQVVKQGEREDVLMVASLARSCLRLNGKERPTMKGVTMVLERIKKSENLIVQQENEYDRKEVMGAPWDVTSAPTISSFDICAPSSLDEKPLFHTY >Potri.014G108300.1.v4.1 pep chromosome:Pop_tri_v4:14:7280580:7281878:1 gene:Potri.014G108300.v4.1 transcript:Potri.014G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108300.v4.1 MLYRRDLAFRSEWPSEDVQAIFFKCVRWQVEDTMDPINCPYHYYCDSTYPGNHPPYVDVLAFLFTTALYLVTLAIMVVDISRRGRTYLRESKRYLQPSGPVSLPLILLVLAKGSRINTVFPLSCFGPAILQLLQVSALTFDSRIEKDVRYAFLQASTISGILHASLYLDSIILPYYTGFDALVSSTFSGECPTCVCRKEVLVVGGRLIRYRGWSLTTFLVIGVLCSRILCRVTGENKSKIMSIKTLLESLGLILITVDCIYLIRRSPEQSLMRIAAFGGVLVLICLQMIKKMSAQMIQWHSAHVKLDR >Potri.001G297000.1.v4.1 pep chromosome:Pop_tri_v4:1:30765854:30768005:1 gene:Potri.001G297000.v4.1 transcript:Potri.001G297000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297000.v4.1 MTSASELFYQRRSRVSRADSDLGLEPSLSDRSFYQNYNRRHHHNHNHNHRHDLDGCDPLRRPPHVRTPCHRLSSPSERASARLDQDTDQFVPSNNLTAETLSRPRVTGNGRLPGAVLLARARLLERLRGVSLSVNRRSGPASFGIYNREYTLGDELRVVDAGAWGTDISTGLFAGVSPFNDSTFQTERPHTVQESCKKKPPGLTQDALQCLQSEVFSCLGKGIEGGSSPVSRDCSICLESFSEGDELIRLPCDHRFHSACLDPWVRTCGDCPYCRRDIVVSND >Potri.001G098700.6.v4.1 pep chromosome:Pop_tri_v4:1:7864219:7871489:1 gene:Potri.001G098700.v4.1 transcript:Potri.001G098700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098700.v4.1 MFLEIGEAGEMQSAQHDNFCGHFAVWNSRGSAIVYIVSYLNNVFKSETLWEIPAASYPADVRLLFSFIQLNNYLLRIESVCFDDEEPLQWKPHVTIWSLCRKHDNHGKSSQQRKMLGESDFFADWVSNSSLLGINNQGVGKMRITSAQSSVPNSRTENNKHADESFGFVCNGKTVSSSMVVSENHFFPYAVVYGFFNGEIEVVRFDMLLEPDSHGESPRNDVDSPVSRQYFSGHTGAVLCLAAHRMLGAARGWSFSHVLVSGSMDCTVRIWDLDTGNLITVMHQHIASVRQIIFPSARTERPWGDCFLSVGEDSCVALTSLETLRVERMFPGHPSYLEKVVWDGARGYIACLCQSHLGLSDTVDALYIWDVKTGARERVLHGTASHSMFDHFCKEISVHSISGSILNGNTSVSSLLLPVIEDETFSQSHSKLLEKKVSSPRMMSNMKNAMDPTASQGQVKKGILPTTPSFLQMNKHAIGCTCPFPGIAALSFDLASLMFPFQKHEPAANGVVKQENIDVKEQGTSTPRTQDMNFDGGSDKNGTSTDTIEEHDWIRSLEEYSLRFSLSFLHLWNLDSELDKLLVTEMKLNRPENLIIASGLQGDKGSLTLSFPGLSSILELWKSSSEFCAMRSLTMVSIAQRMISLSRCSSPVTSALAAFYTRSFADKIPDIKPPLLQLLVSFWQDESEHVRMAARTLFHCAASRSIPLPLCGKKMNAHRKLVRSLSEIRDNEAEVSNVGGTSTNDLASDMSPEPQATPQAVEFPDKSLEKQGITEAARSKILDWLESFEMQDWISCVGGTSQDAMTSHVIVAAALAVWYPSLVKPSIATLVAHPLIKLVMDMNETYSSTAAELLAEGMESTWEACISSEIPRLIGDIFYQIECVSGQSANSAGHHSSVPSFIRETLVGILFPSLAMADIPGFLTVIEGQIWSTASDSPVHLVSLTTLIRVVRGSPRHLAQYLDKICRWLASFYTLWILATQSCAKLVFKVQ >Potri.001G098700.2.v4.1 pep chromosome:Pop_tri_v4:1:7863023:7871379:1 gene:Potri.001G098700.v4.1 transcript:Potri.001G098700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098700.v4.1 MKCRSVACIWPDTPPSHKVTASASLNHPPTLYTGGSDGSILCWNLSSSDSNTEIKPVAMLCGHAAPIADLSICCPMVVTGEDTKTKCSSNGDGSSASDTYDALISACKFGVLCVWSRGSGHCRRRRKLPPWVGSPCFVRTLPTSSRYVCIGCCFIDAAHSSDRHSIDSLEGGEVSVDKGCLPGKHPKSTVVIVDTYSLTIVQSVFHGNLSIGRLDFMDVVLLGEDGEKHSVFIADSSGKVELVPILKESNPVGDGGSGLRKSSQLEVVNWGNGSSKEGQVVSSATRGNLIALVLKTRCIFRLLTSETTIGETSFAEDILCVEDHFAQSHVLGGMFLEIGEAGEMQSAQHDNFCGHFAVWNSRGSAIVYIVSYLNNVFKSETLWEIPAASYPADVRLLFSFIQLNNYLLRIESVCFDDEEPLQWKPHVTIWSLCRKHDNHGKSSQQRKMLGESDFFADWVSNSSLLGINNQGVGKMRITSAQSSVPNSRTENNKHADESFGFVCNGKTVSSSMVVSENHFFPYAVVYGFFNGEIEVVRFDMLLEPDSHGESPRNDVDSPVSRQYFSGHTGAVLCLAAHRMLGAARGWSFSHVLVSGSMDCTVRIWDLDTGNLITVMHQHIASVRQIIFPSARTERPWGDCFLSVGEDSCVALTSLETLRVERMFPGHPSYLEKVVWDGARGYIACLCQSHLGLSDTVDALYIWDVKTGARERVLHGTASHSMFDHFCKEISVHSISGSILNGNTSVSSLLLPVIEDETFSQSHSKLLEKKVSSPRMMSNMKNAMDPTASQGQVKKGILPTTPSFLQMNKHAIGCTCPFPGIAALSFDLASLMFPFQKHEPAANGVVKQENIDVKEQGTSTPRTQDMNFDGGSDKNGTSTDTIEEHDWIRSLEEYSLRFSLSFLHLWNLDSELDKLLVTEMKLNRPENLIIASGLQGDKGSLTLSFPGLSSILELWKSSSEFCAMRSLTMVSIAQRMISLSRCSSPVTSALAAFYTRSFADKIPDIKPPLLQLLVSFWQDESEHVRMAARTLFHCAASRSIPLPLCGGTSTNDLASDMSPEPQATPQAVEFPDKSLEKQGITEAARSKILDWLESFEMQDWISCVGGTSQDAMTSHVIVAAALAVWYPSLVKPSIATLVAHPLIKLVMDMNETYSSTAAELLAEGMESTWEACISSEIPRLIGDIFYQIECVSGQSANSAGHHSSVPSFIRETLVGILFPSLAMADIPGFLTVIEGQIWSTASDSPVHLVSLTTLIRVVRGSPRHLAQYLDKVVSFILHTMDPGNSIMRKTCLQSSMTALKEMVQAFPMVALNDTSTRLAVGDAIGMINNATISVYDMQSVTKIKVLDACGPPGLPNLLSGASEMAVITVISALSFAPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRNLAPVQCTKLIFVPPWEGFSPNSSRSSIMASILGHDNQANLQEKARDSTYADNLKLLIHNLDLSYQLQWVGERKVLLSRHGLELGAFPL >Potri.001G098700.1.v4.1 pep chromosome:Pop_tri_v4:1:7863055:7871347:1 gene:Potri.001G098700.v4.1 transcript:Potri.001G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098700.v4.1 MKCRSVACIWPDTPPSHKVTASASLNHPPTLYTGGSDGSILCWNLSSSDSNTEIKPVAMLCGHAAPIADLSICCPMVVTGEDTKTKCSSNGDGSSASDTYDALISACKFGVLCVWSRGSGHCRRRRKLPPWVGSPCFVRTLPTSSRYVCIGCCFIDAAHSSDRHSIDSLEGGEVSVDKGCLPGKHPKSTVVIVDTYSLTIVQSVFHGNLSIGRLDFMDVVLLGEDGEKHSVFIADSSGKVELVPILKESNPVGDGGSGLRKSSQLEVVNWGNGSSKEGQVVSSATRGNLIALVLKTRCIFRLLTSETTIGETSFAEDILCVEDHFAQSHVLGGMFLEIGEAGEMQSAQHDNFCGHFAVWNSRGSAIVYIVSYLNNVFKSETLWEIPAASYPADVRLLFSFIQLNNYLLRIESVCFDDEEPLQWKPHVTIWSLCRKHDNHGKSSQQRKMLGESDFFADWVSNSSLLGINNQGVGKMRITSAQSSVPNSRTENNKHADESFGFVCNGKTVSSSMVVSENHFFPYAVVYGFFNGEIEVVRFDMLLEPDSHGESPRNDVDSPVSRQYFSGHTGAVLCLAAHRMLGAARGWSFSHVLVSGSMDCTVRIWDLDTGNLITVMHQHIASVRQIIFPSARTERPWGDCFLSVGEDSCVALTSLETLRVERMFPGHPSYLEKVVWDGARGYIACLCQSHLGLSDTVDALYIWDVKTGARERVLHGTASHSMFDHFCKEISVHSISGSILNGNTSVSSLLLPVIEDETFSQSHSKLLEKKVSSPRMMSNMKNAMDPTASQGQVKKGILPTTPSFLQMNKHAIGCTCPFPGIAALSFDLASLMFPFQKHEPAANGVVKQENIDVKEQGTSTPRTQDMNFDGGSDKNGTSTDTIEEHDWIRSLEEYSLRFSLSFLHLWNLDSELDKLLVTEMKLNRPENLIIASGLQGDKGSLTLSFPGLSSILELWKSSSEFCAMRSLTMVSIAQRMISLSRCSSPVTSALAAFYTRSFADKIPDIKPPLLQLLVSFWQDESEHVRMAARTLFHCAASRSIPLPLCGKKMNAHRKLVRSLSEIRDNEAEVSNVGGTSTNDLASDMSPEPQATPQAVEFPDKSLEKQGITEAARSKILDWLESFEMQDWISCVGGTSQDAMTSHVIVAAALAVWYPSLVKPSIATLVAHPLIKLVMDMNETYSSTAAELLAEGMESTWEACISSEIPRLIGDIFYQIECVSGQSANSAGHHSSVPSFIRETLVGILFPSLAMADIPGFLTVIEGQIWSTASDSPVHLVSLTTLIRVVRGSPRHLAQYLDKVVSFILHTMDPGNSIMRKTCLQSSMTALKEMVQAFPMVALNDTSTRLAVGDAIGMINNATISVYDMQSVTKIKVLDACGPPGLPNLLSGASEMAVITVISALSFAPDGEGLVAFSEHGLMIRWWSLGSVWWEKLSRNLAPVQCTKLIFVPPWEGFSPNSSRSSIMASILGHDNQANLQEKARDSTYADNLKLLIHNLDLSYQLQWVGERKVLLSRHGLELGAFPL >Potri.001G098700.5.v4.1 pep chromosome:Pop_tri_v4:1:7863081:7871321:1 gene:Potri.001G098700.v4.1 transcript:Potri.001G098700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098700.v4.1 MKCRSVACIWPDTPPSHKVTASASLNHPPTLYTGGSDGSILCWNLSSSDSNTEIKPVAMLCGHAAPIADLSICCPMVVTGEDTKTKCSSNGDGSSASDTYDALISACKFGVLCVWSRGSGHCRRRRKLPPWVGSPCFVRTLPTSSRYVCIGCCFIDAAHSSDRHSIDSLEGGEVSVDKGCLPGKHPKSTVVIVDTYSLTIVQSVFHGNLSIGRLDFMDVVLLGEDGEKHSVFIADSSGKVELVPILKESNPVGDGGSGLRKSSQLEVVNWGNGSSKEGQVVSSATRGNLIALVLKTRCIFRLLTSETTIGETSFAEDILCVEDHFAQSHVLGGMFLEIGEAGEMQSAQHDNFCGHFAVWNSRGSAIVYIVSYLNNVFKSETLWEIPAASYPADVRLLFSFIQLNNYLLRIESVCFDDEEPLQWKPHVTIWSLCRKHDNHGKSSQQRKMLGESDFFADWVSNSSLLGINNQGVGKMRITSAQSSVPNSRTENNKHADESFGFVCNGKTVSSSMVVSENHFFPYAVVYGFFNGEIEVVRFDMLLEPDSHGESPRNDVDSPVSRQYFSGHTGAVLCLAAHRMLGAARGWSFSHVLVSGSMDCTVRIWDLDTGNLITVMHQHIASVRQIIFPSARTERPWGDCFLSVGEDSCVALTSLETLRVERMFPGHPSYLEKVVWDGARGYIACLCQSHLGLSDTVDALYIWDVKTGARERVLHGTASHSMFDHFCKEISVHSISGSILNGNTSVSSLLLPVIEDETFSQSHSKLLEKKVSSPRMMSNMKNAMDPTASQGQVKKGILPTTPSFLQMNKHAIGCTCPFPGIAALSFDLASLMFPFQKHEPAANGVVKQENIDVKEQGTSTPRTQDMNFDGGSDKNGTSTDTIEEHDWIRSLEEYSLRFSLSFLHLWNLDSELDKLLVTEMKLNRPENLIIASGLQGDKGSLTLSFPGLSSILELWKSSSEFCAMRSLTMVSIAQRMISLSRCSSPVTSALAAFYTRSFADKIPDIKPPLLQLLVSFWQDESEHVRMAARTLFHCAASRSIPLPLCGKKMNAHRKLVRSLSEIRDNEAEVSNVGGTSTNDLASDMSPEPQATPQAVEFPDKSLEKQGITEAARSKILDWLESFEMQDWISCVGGTSQDAMTSHVIVAAALAVWYPSLVKPSIATLVAHPLIKLVMDMNETYSSTAAELLAEGMESTWEACISSEIPRLIGDIFYQIECVSGQSANSAGHHSSVPSFIRETLVGILFPSLAMADIPGFLTVIEGQIWSTASDSPVHLVSLTTLIRVVRGSPRHLAQYLDKICRWLASFYTLWILATQSCAKLVFKVQ >Potri.015G060900.1.v4.1 pep chromosome:Pop_tri_v4:15:8500710:8502212:1 gene:Potri.015G060900.v4.1 transcript:Potri.015G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060900.v4.1 MPISLPSMDQDDIPMEETGSKILRKSIFTFLQNYQYFTSTAALLAFPFSASILLAHLLLDSSSSLLPVIYHRLTSLFQAAGFPPSSELLNLVNLKLSQTISLSILTLPFALTFLLIMKASVIQALNNNHKKPPSFYCVFSIFNPLLLTYICNSLLILSANATAFSLLFFTSNLFLENIGFGFHLFLSAAGAVVYSIILSHTIIICNLALVLSGVERIGGYLAILKACVMIRGRASTALSLALAVNIALAGVEALFRYRIVRTYRHIREAPSSLSIISEGTLIIYLYSILVVLETIVSCIFFKSCKATYSCIDQQGKYAYRINEIEEELENGGYIISLKV >Potri.005G054600.1.v4.1 pep chromosome:Pop_tri_v4:5:3451404:3452935:-1 gene:Potri.005G054600.v4.1 transcript:Potri.005G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054600.v4.1 MANLRLCYLQISNSLCTEPWYAGRGSRFYHGSWKTVSNRKKVSQPIERPVGWSGSCIVQCCSTSSTTSAAEATEQVFLEDRSSIEEKEEQFEYLASEFGWKVRRLAENRDEMREVAQIQAEAFHTPVALFDDLFFEFFKAEVLSGLLYKLKNSPPDRYACLVAEPAAERKLVGIVDVTALRDKDVLQHLEGADEYLYISGIAVSKSFRRRKIGSVLLKACDVLSHLWGFECLALRAYEDDMGARKLYTNAGYKVVSSDPQWVTWIGRKRRVIMIKRSNMLD >Potri.017G127651.1.v4.1 pep chromosome:Pop_tri_v4:17:13127142:13131420:1 gene:Potri.017G127651.v4.1 transcript:Potri.017G127651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G127651.v4.1 MTSAEERGRLKEIGVAIVNKCGGIPLAIRALGSLMRSKKTVREWLNVKESEIWDLPNEGSRILHALRLSYMNLKPSVKQCFAFCSIFPKDYVMLKERLVALWMANGFISCNGKIDLHDRGEEIFHELVGRSFFQEVNDYGLGNIACKLHDLVHDLAQFIMNGECHWIEDDTKLPIPKTVRHVGGASERSLLCAPEYKDFKHTSLRSIILPETVRHGSDNLDLCFTQQKHLRALDINIYDQNTLPESISNLKHLRFLDVSYTLIQKLPESTTSLQNLQTLNLRSCLKLVKLPKGMKHMKNLVYIDIRACYSLRFMPCGMGELTCLRKLGIFIVGKEDGRGIEELGRLNNLAGELSITYLDNVKNSTDARSANLNLKTALLSLTLSWNLEGDYNSHSGQSIPNNVHLEVLDRLQPHSNLKKLRIDGYGGLKFPNWMMNLMLPNLVEMELRDCYNCEQLPPFGKLQLLKNLQLYRMDGVKCIDSHVYGDAQNPFPSLETLTIYSMKRLEQWDACSFPRL >Potri.007G071800.2.v4.1 pep chromosome:Pop_tri_v4:7:9375718:9377814:-1 gene:Potri.007G071800.v4.1 transcript:Potri.007G071800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071800.v4.1 MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQSCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >Potri.T011200.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:120744:121309:1 gene:Potri.T011200.v4.1 transcript:Potri.T011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011200.v4.1 MEKKCYGLFLLLLIALASQEMMVPAEARVCLSQSHSFKGPCVRGHNCASVCKTEGFPGGECKGFRRRCFCAKPC >Potri.011G073666.1.v4.1 pep chromosome:Pop_tri_v4:11:7763825:7765089:-1 gene:Potri.011G073666.v4.1 transcript:Potri.011G073666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073666.v4.1 MKTENQWIGNVFFFPVFLRRRCISQSPAKQPNNTNSSQFSPFLDLPRPPFFLSIFYFSLLSLGPTPPLLVAIWMVEDRRRSCRGWWRVGGLRSCWWQRWLALLLSSVGSTGGGRSVNRWVLVWQLLWPRQKEKNFGRRLDFQREGFGRWLRESRFSCLGERPFGREPAEERGKSVCRGGRSVWLREAALVFGEVEMGALVL >Potri.005G146450.1.v4.1 pep chromosome:Pop_tri_v4:5:12179729:12181003:1 gene:Potri.005G146450.v4.1 transcript:Potri.005G146450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146450.v4.1 MELNTLPKFQKLSNKELNTHDSPALEDNRSLTSLTVSITATASTSSSSFTIATTVLVLAESSGTTCVSQEQQQAFLFSQEQPQHQHRSGTTTKVFSRKQ >Potri.010G023550.1.v4.1 pep chromosome:Pop_tri_v4:10:3471175:3471973:1 gene:Potri.010G023550.v4.1 transcript:Potri.010G023550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023550.v4.1 MAPVPISPINVGHIDDVQELRKARPATIPERFVRDMTERPTLATALQPPDTVPIIDFSRLVKGNKDEYKSEMLQLTRACEEWGFFQVINHGIDLSLLESIEKVARDFFVLPLEEKQKYPMLPGTVQGYGQAFVFSEDQKLDWCNMFALGLEPHFIRVPKLWPAKPLKFR >Potri.005G103300.2.v4.1 pep chromosome:Pop_tri_v4:5:7489139:7493967:1 gene:Potri.005G103300.v4.1 transcript:Potri.005G103300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G103300.v4.1 MGKFPPSFRSAISSTSLIKNTPSQQQQQPHYFPKKLTKKNSPKPHETETPPPHKSLFKTSSLNEAKSLFNSFISTTKAPLLDNLRLHNSFLQSYTSISTLDDSISLLDHMVKTLPSLSPDRSTYHVLLSQSCREPDSSLSSAQKVLNLMINKGFKPNQFTVDVAIRSLCSAGRVDDAILLVKEFSSKHSKPDTFTYNFLVKCLCKSRIFNSVYSFIDEMKSSFDIKPDLVTYTILIDNVCNAKNIREADRLVAVLKECGLKPDAFLYNTIMKGYCLLNKGIEAVRIYKQMKEEGVEPDLVTYNTLIFGLSKCGRVSEAKKLLKIMVESGHFPDAVTYTSLMNGMCREGDVLGAAALLEEMELKGCSPNSCTYNTLLHGFCKGRRLNKGVELYGVIKKGGMKLETASYATFVRALCREGRVAEAYEVFDYAVESKSLTDVAAYTTLESTLKWLKKAREQGLAV >Potri.005G103300.1.v4.1 pep chromosome:Pop_tri_v4:5:7489129:7492553:1 gene:Potri.005G103300.v4.1 transcript:Potri.005G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G103300.v4.1 MGKFPPSFRSAISSTSLIKNTPSQQQQQPHYFPKKLTKKNSPKPHETETPPPHKSLFKTSSLNEAKSLFNSFISTTKAPLLDNLRLHNSFLQSYTSISTLDDSISLLDHMVKTLPSLSPDRSTYHVLLSQSCREPDSSLSSAQKVLNLMINKGFKPNQFTVDVAIRSLCSAGRVDDAILLVKEFSSKHSKPDTFTYNFLVKCLCKSRIFNSVYSFIDEMKSSFDIKPDLVTYTILIDNVCNAKNIREADRLVAVLKECGLKPDAFLYNTIMKGYCLLNKGIEAVRIYKQMKEEGVEPDLVTYNTLIFGLSKCGRVSEAKKLLKIMVESGHFPDAVTYTSLMNGMCREGDVLGAAALLEEMELKGCSPNSCTYNTLLHGFCKGRRLNKGVELYGVIKKGGMKLETASYATFVRALCREGRVAEAYEVFDYAVESKSLTDVAAYTTLESTLKWLKKAREQGLAV >Potri.003G007900.1.v4.1 pep chromosome:Pop_tri_v4:3:769749:771869:1 gene:Potri.003G007900.v4.1 transcript:Potri.003G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G007900.v4.1 MEEDYSTLQRLCYSLLLPCFVFLALSTKFLLQKRKQGKISNLPPSPFALPIVGHLHLLKQPIQRTLHSLSEKHGPIFSLKLGSRLAVVISSPSAVEECFTKNDIVLANRPPFLITKYLNYNNNTMGTVEYGDHWRNLRRISALEIFSPARLTSLFSIRRDEVMGLLRRLHSVSKHGNYAKVELRSMLLDLTSNIIMRMVAGKRYYGVDVKEIEEARIFREILEEFFAYIAMINVGDLIPMLQWVDITGHLKKLDRLSKKMDVLLQGLVDEHRDDRDRNTMINRFLALQEEQPEYYTDDIIKGHVLELFLGGTETSATAMEWALANLLNHPDVLKKAKAEVDAQVGDRLIEESDFAKLHYLQSIISENLRLCPVTPLIPPHMPSSDCTIGGYHVPAGTILFVNAWSLHRDPTLWDEPTSFKPERFESAGRVDACKFIPFGMGRRACPGDGLANRVMTLTLGSLIQCLEWERVGENKIDMTEKTAMTMFKVEPLELMCRARPILDMLLSLSGQKI >Potri.019G065000.1.v4.1 pep chromosome:Pop_tri_v4:19:10508386:10510029:1 gene:Potri.019G065000.v4.1 transcript:Potri.019G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065000.v4.1 MAKLAVPLLIFFFLLSCIPSQAAPPLQTPLQTPIQKDHSTSQYIITAYLKTPLMPTKLVLDLGATYSWVNCDDYISSTYQHVPCNSSIANSLSAYGCEDICDGPPGPNCANNSFLFLLDKPLETVDYKKVNSLNDALVDYLALLNNLGSLSSIDNFIFSCARTGFLKGLAKGVTGLASLGNSNLSIPVQINKAFSSSPNCFAMCLSGSISQPGVALFGSKGPYNFLHGIDLSKSLLYTPLIFNPLGRDSDSNTHRLSPEYYVGLTAIKVNGKMVAFNKALLAIDDQSGSGGTRISTVVPYTKLQSSIYKAFTLAFLKEAASSAFNLTTTKPVKPFRVCYPADAVKTTQMGPAVPIIELVLDRQDVVWKMFGSNSMVRVTKKSVDLWCLGFVDGGIDGPSIMIGGLQLEDNLLQFDLQSQKLGFSSSILSKGTNCADYEFPTRKV >Potri.019G081500.1.v4.1 pep chromosome:Pop_tri_v4:19:12171142:12172929:1 gene:Potri.019G081500.v4.1 transcript:Potri.019G081500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081500.v4.1 MGRSPCCEKAHTNKGAWTKEEDQRLIDYIRVHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDEIIIKLHSLLGNKWSLIAGKLPGRTDNEIKNYWNTHIKRKLVSRGIDPQTHRPLNEKTTTTTTATSTTKTTQLNFKNTPPQSLAEISILKSQLDSKYNSTKSNDFLSSLYSSFKPKKIETASLEENNCTSCSTTTDEEQQQKRESHHDQDINLDLTIGLAPTTKGESTHTSSNSAESRLQQPVSSRQLFGSVSTGPGCLCWQSVGAGWESCRDCQNHRYYCWK >Potri.008G169100.1.v4.1 pep chromosome:Pop_tri_v4:8:11675324:11679707:-1 gene:Potri.008G169100.v4.1 transcript:Potri.008G169100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169100.v4.1 MASVSSPLHPPVFSLSPPPPAAKCKLPSKVHTVQRTSPSPHETRTGRDSSYTHHRQRSVVLRSALDEIYVLDPPPSSPYEEGKTEPIASLKLKLLSVVSGLNRGLAASEDDQQKADAAAKDLEAAGGLVDLSKDIDKLQGRWKLIYSSAFSSRTLGGSRPGPPTGRLLPITLGQVFQRIDVLSKDFDNIVELELGAPWPLQPVEVTATLAHKFELIGSAKIKITFEKTTVKTTGNLSQLPPLEVPRIPDALRPPSNTGSGEFEVTYLDADTRVTRGDRNELRVFVLS >Potri.018G132951.1.v4.1 pep chromosome:Pop_tri_v4:18:14242478:14243504:-1 gene:Potri.018G132951.v4.1 transcript:Potri.018G132951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132951.v4.1 MRVLAVLVMALSLLIFGSIGRSDAVPKTTFLSPVDGSNKKKSSSNMSSSSSSLEAVNTLFSNSSKNKNITLDEMRVVPTGPNPLHNRSRASAYTRANLGKYMYT >Potri.001G247200.1.v4.1 pep chromosome:Pop_tri_v4:1:26382731:26385041:1 gene:Potri.001G247200.v4.1 transcript:Potri.001G247200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247200.v4.1 MATISLKASVDKKSNRVVFVESDEFFVDILFSFLTMPMGTIIHLISNLSPTNGFVCMNNLYKSVENIDAMYFRTEACKQMLLHPHNAAAAYCKSLKLKIDDIDTSSFFCCESSDCTHSGYKLWSHYKNLYCGCGRPMSRNLNLSCRAPSNSGSDARNRGVFVEGLTRFVVSDYFQVTPASISASIALLTKLGVMDTDNIEERIFDIGVTEVLELLECSLVSRTPLTEVLLARKEVPELRNEDSLQRISLMHEILEHQSERNAEMSVRLVVCKSKKVVCYAEASKDFVDLLFSFLTIPLGYLMNEKHGGKSKGCIHHLYDSVIDLDARTYLKSNDIKEILLNPEIAPGSGYKNQPLGVKEAVDNQQYYYEWHFPASIRTESICPHGSTLQLLTIMDPKSPYKEGTEGDGFLLDPAMFTVSDDLVVTPISPVSELSLLEKLKIPFNDIYVCEVQVGREEASRLLAASFVSESALTDTFIRKMPKDALISDVLKE >Potri.003G197466.1.v4.1 pep chromosome:Pop_tri_v4:3:19958053:19959555:1 gene:Potri.003G197466.v4.1 transcript:Potri.003G197466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197466.v4.1 MATLATLATETQFHVLAVDDSLIDRKLIERLLKTSSYQVTAVDSGSKALEFLGLSGDDEQRDSNPSSVSPDHHHQHIEINMIITDYCMPGMTGYDLLKKIKESKYFKDIPVVIMSSENVPSRINRCLEEGAEEFFLKPVQLSDVNKLRPHLMKGRCKEEDQPSNKRKGMEEIVNSPGRTRSRYSEGLEVVLSQ >Potri.009G086900.1.v4.1 pep chromosome:Pop_tri_v4:9:8109517:8111051:1 gene:Potri.009G086900.v4.1 transcript:Potri.009G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086900.v4.1 MSYYNQQQPPVGVPPPQGYPPEGYPKDAYPPPGYPPQGYPQGYPPQGYPPQGYPPPPPYAPQYGQPPRQQQGSSGPGCMEGCLAALCCCCLLDACF >Potri.016G038600.1.v4.1 pep chromosome:Pop_tri_v4:16:2381972:2383625:-1 gene:Potri.016G038600.v4.1 transcript:Potri.016G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX58 MGCYASRPNTLLTENHHPEETLSYASPAKDKSMFSPQYSSQSVPRAFSFRTPLVHHPPSRKGDSHHLVSLTSSTYGSLVLVDPKKNTPKPFDQPQSPRKSTKKINKAQNNRDPCEPLSPDSVINTWELMDGLDEDDGLDFEINYSFKPRSSHSDRAIEFTSKASSLHHPGSDGCVKKLHASCDSVKFEVVAEKPVSLSKPLWKHFSEESLLSKMDPNVAASYTRALSSRQLGCHKESKDATPVDSSPMSCTLSSKHGNFLNDKKGKIVLYFTSLRGIRKTYEDCCAVRMIFRGFRVAVDERDISMDSTYKKELQSLLKGKPMSLPQVFFRGNHIGGMEEIRQLNEAGVLAKLLEGLPVLDPTLVCETCGDARFVPCPNCSGSKKVFDEEQEQLRRCPDCNENGLIRCPGCCS >Potri.001G179100.4.v4.1 pep chromosome:Pop_tri_v4:1:15643463:15646854:-1 gene:Potri.001G179100.v4.1 transcript:Potri.001G179100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179100.v4.1 MGDAENSLPPSKKRAAGREISRDNPGLDDDDSVEQETGTFKRASEEVLAGRRIVKVRRNQTTSTPSSNPFASIRLVPPTEPIAGTAVATTEVVSTRQQISEEGKSDVCEDVEKGQGEKTEQSESEIDKPVAESAEDKKNAVDKEKSKEPEGKTDEPVAETAMDKESVEDKGNTKDEPVTEIATDKEKGEESEGKVDEPVAGTAIDKESAEDKGNNSTVNEATELKVDNEKPLEDETEKEKATGDDDAENQDKKDNGSENVDPSSEGAPLNSFQQLSSSQNAFTGLAGTGFSTTSFTFGSFPKDGSVMGGGSGSLFGQKNEQPSFGFGLSNNGSSSLASIVSKTEGTGFPSMQEVPVETGEENERVVFSADSVVFEFLDGGWKERGKGELRVNVSTTGAERARLLMRARGNFRLILNANIYPDMKLTNMDKRGITFACMNSIGEGKDSLSTFALKFKDSSIVEEFRTAVTAHRDKAPVALKTPENSPKASDE >Potri.001G179100.1.v4.1 pep chromosome:Pop_tri_v4:1:15643457:15647013:-1 gene:Potri.001G179100.v4.1 transcript:Potri.001G179100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179100.v4.1 MGDAENSLPPSKKRAAGREISRDNPGLDDDDSVEQETGTFKRASEEVLAGRRIVKVRRNQTTSTPSSNPFASIRLVPPTEPIAGTAVATTEVVSTRQQISEEGKSDVCEDVEKGQGEKTEQSESEIDKPVAESAEDKKNAVDKEKSKEPEGKTDEPVAETAMDKESVEDKGNTKDEPVTEIATDKEKGEESEGKVDEPVAGTAIDKESAEDKGNNSTVNEATELKVDNEKPLEDETEKEKATGDDDAENQDKKDNGSENVDPSSEGAPLNSFQQLSSSQNAFTGLAGTGFSTTSFTFGSFPKDGSVMGGGSGSLFGQKNEQPSFGFGLSNNGSSSLASIVSKTEGTGFPSMQEVPVETGEENERVVFSADSVVFEFLDGGWKERGKGELRVNVSTTGAERARLLMRARGNFRLILNANIYPDMKLTNMDKRGITFACMNSIGEGKDSLSTFALKFKDSSIVEEFRTAVTAHRDKAPVALKTPENSPKASDE >Potri.001G179100.3.v4.1 pep chromosome:Pop_tri_v4:1:15643478:15646966:-1 gene:Potri.001G179100.v4.1 transcript:Potri.001G179100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179100.v4.1 MGDAENSLPPSKKRAAGREISRDNPGLDDDDSVEQETGTFKRASEEVLAGRRIVKVRRNQTTSTPSSNPFASIRLVPPTEPIAGTAVATTEVVSTRQQISEEGKSDVCEDVEKGQGEKTEQSESEIDKPVAESAEDKKNAVDKEKSKEPEGKTDEPVAETAMDKESVEDKGNTKDEPVTEIATDKEKGEESEGKVDEPVAGTAIDKESAEDKGNNSTVNEATELKVDNEKPLEDETEKEKATGDDDAENQDKKDNGSENVDPSSEGAPLNSFQQLSSSQNAFTGLAGTGFSTTSFTFGSFPKDGSVMGGGSGSLFGQKNEQPSFGFGLSNNGSSSLASIVSKTEGTGFPSMQEVPVETGEENERVVFSADSVVFEFLDGGWKERGKGELRVNVSTTGAERARLLMRARGNFRLILNANIYPDMKLTNMDKRGITFACMNSIGEGKDSLSTFALKFKDSSIVEEFRTAVTAHRDKAPVALKTPENSPKASDE >Potri.015G043501.1.v4.1 pep chromosome:Pop_tri_v4:15:4217310:4223165:-1 gene:Potri.015G043501.v4.1 transcript:Potri.015G043501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043501.v4.1 MANSLIVSSSPAALRLHWDVFLSFRGEDTRHGFTKNLYDSLSKQDFRVFLDDSGMTQGDEIAPTLMEAIEDSALSIIILSPRYANSHWCLEELARICELKRLILPVFYQVDPSHVRRQKGPLEQDFMNHMERFGEEKVGKWREAMNKVGGISGFVFDTRSEDQLIRRLGNRILTELRKTPVGIANYTVGLDSRVEGFKKRFIDDKSNRVQVLGLHGMGGIGKTTLATALFNKLVGHFESRSFILNVKDISKEDGGLVKLQNKLLRDLSPNWPLVNNIDKGVAAIKMLVHEKRVLIVLDDVDDVSELNALAGNRSWYGEGSRVIVTTRNKAVLAEHLVNEFYDVRELGYPEALQLFSYHALRKDKPTEEYMNISKEIVSLTGGLPLALEVFGSTLFNERGLNRWEDALKKLQRIRPHNLQDVLQISYDELDEDEKHVFLDIACFFIKMGMKREEAIDILKGCGFSAETVITVLTSKCLIKIREDDELWMHDQLRDMGRQIVQHENLADPGGRSRLWDRGEIMSTLMRKKGTEIVHGIVMDFEKKNVRELDGLKSVRFFFGKDKRMER >Potri.014G180800.1.v4.1 pep chromosome:Pop_tri_v4:14:14068265:14073326:1 gene:Potri.014G180800.v4.1 transcript:Potri.014G180800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180800.v4.1 MEIVFASASTITDQRQKIEQYKHILSSVISSNDIVQAKKFIDHMLSDDVPLVVSRQLLQTFAHELGRLEPETQKEIAHYTLAQIQPRVVSFEEQVLIIREKLAELYESEQQWSKAAQMLSGIDLDSGMRVIDDSFRLSKCVQIARLYLEDDDAVNAEAFINKASFLVSNSQHEVLNLQYKVCYARILDLKRKFLEAALRYYDISQIEKRQIEDETIDEEALEQALSAAVTCTILAAAGPQRSRVLATLYKDERCSKLKIYPILQKVYLERILRKPEIDAFSEELKAHQKALLPDNFTVLDRAMIEHNLLSASKLYTNISFEELGTLLGIPPHKAEKIASRMIYEDRMRGSIDQVEAVIHFEDDTVELQQWDQQIVGLCQALNDVLDSMAKKGLSIPV >Potri.006G122700.1.v4.1 pep chromosome:Pop_tri_v4:6:9747925:9750798:-1 gene:Potri.006G122700.v4.1 transcript:Potri.006G122700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122700.v4.1 MSRGISYITGSQLLSLRRLPNIAIIDVRDDERSYDGHIAGSLHYASDTFTDRISNLIQEVKGKDTLVFHCALSQVRGPTCARRLANYLEEVKEDGGIKNIMVLERGFNGWEAAGRPVCRCTGIPCKDESALISD >Potri.001G259212.1.v4.1 pep chromosome:Pop_tri_v4:1:27494738:27496829:-1 gene:Potri.001G259212.v4.1 transcript:Potri.001G259212.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259212.v4.1 MASPSRRHLVHAMVFGLLAINVAANIYDNEEPPRPPHDHEDPPLPYNHKNSLFLPSGLLHRGTPPPPPRSPPPPNKKKTPPPPPKKKKTQSPPPPAKSPPPPPPAKSPPLPSASPPTSSSAPILPPLPSKISPVTPPSKVPPSPSPASNLSPSPPYTSPASPPRIPPIPSPSPTPNLSPSHPYTPPTSSPKVSPSPSPTPNLSPSHPYTPPTSPPRISPIPSLSLTPNLSPLHPYTPPTSSPKVSRFPSPSPTPNSSPSHPYTPPTSPPRISPIPSPSPSPSPTPNLSPSHPYTPPTSSPKVSPPPSPTPNLSPSHPYTPPTSPPRISPIPSPSPSPSPTPNLSPSHPYTPPTSSPKVSPSPSPTPNLSPSHPYTPPTSPPRISPIPSPSPSPSPTPNLSPSHPYTPPTFPPKISPIPSPPYTSPPPPPPILKSPPPPRFTLPPFFHFKSPPPPSPSPPPPFLKSPPPPRFTLPPLFHFKSPPPPSPSPPPPILKSPPPPRFTLPPFFYFKSPPRPSPSPPPHILKFPPPSRFTLPPFFHFKSKSPPPLSPSPPSSNN >Potri.017G042650.1.v4.1 pep chromosome:Pop_tri_v4:17:3001868:3002754:1 gene:Potri.017G042650.v4.1 transcript:Potri.017G042650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042650.v4.1 MPMVADQGLNAKLLFEKGNGFQVQSNEDGAHNQDSIAMSLRFVIADQEGQHLRFRAAEMQTIFANQDLHDNYTEEFVEFIFNHKKRQDLSFHGKASWGKTSV >Potri.013G012100.1.v4.1 pep chromosome:Pop_tri_v4:13:780716:790553:-1 gene:Potri.013G012100.v4.1 transcript:Potri.013G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012100.v4.1 MSSLSRELVFLILQFLEEEKFKESVHKLEKESGFYFNMKYFEEKVLAGEWDEVEKYLAGFTKVDDNRYSMKIFFEIRKQKYLEALDRQDKAKAVEILVGDLKVFLTFNEELYKEITQLLTLNNFRENEQLSKYGDTKTARSIMLVELKKLIEANPLFRDKLAFPTLKSSRLRTLINQSLNWQHQLCKHPRSNPDIKTLFIDHTCSPTNGPLAPAPVSLPVAAVAKPAPYTSLGAHGPFPATGAAANAGALAGWMANASASSSVQAAVVTASSIPIPQNQVSVLKRQRTPPTAPGIVDYQNPDHELMKRLRPAQSVEEATYPASRQQASWSLEDLPRTVAFALHQGSTVMSMDFHPSHHTLLLVGSVNGEITLWELISRERLFSKPFKIWDLQGCSLQFQASGFKDASISVTRVAWSPDGNFVGAAFNKHLIHLYAYNGPNDLRQHLEIDAHVGGVNDLAFAHPNKQLCVVTCGDDKLIKVWDLTGRKLFNFEGHEAAVYNICPHHKENIQFIFSTAIDGKIKAWLYDNIGSRVDYDAPGHWCTTMLYSADGSRLFSCGTSKEGDSYLVEWNESEGSVKRSFLGFRKKSAGVVQFDTTQNHFLAAGDDGQIKFWDMENISFITTTDADGGLQTLPRLKFNKEGNLLAVTTADNGFKILANAAGLRSLRAVETHSFEALRSPMESAAIKVSGTSNIVNASPVNLKVERSSPVRPSPILNGVDPMNRSMEKPRTVDDVIDKTKPWQLAEIVDPGECRLVTLPDSTDTSSKVVRLLYTNSGVGMLALGANGIQKLWKWPRNEQNPSGKATANVVPQHWQPNSGLLMTNDVSGVNLEEAVPCIALSKNDSYVMSATGGKVSLFNMMTFKVMTTFMSPPPASTFLAFHPQDNNIIAIGMEDSTIHIYNVRVDEVKSKLKGHQKRVTGLAFSTNLNILVSSGADAQLCIWSIDTWEKRKSVAIQIPTGKSPTGDTRVQFHSDQTRLLVVHETQLAIYDASKMERIHQWVPQDAISAPISYAAYSCNSQLIYATFSDGNVGVFDADHLRLRCRIAPSAYNGSQTAHPLVVATHPLDPNQLAVGLTDGSVKVIEPTESEKKWGTSPPVDNGVLNGRTTSSSTTSNHTPDQLQR >Potri.003G166000.4.v4.1 pep chromosome:Pop_tri_v4:3:17531733:17535257:1 gene:Potri.003G166000.v4.1 transcript:Potri.003G166000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166000.v4.1 MYKSCCWLLLYIELLLLLLIYSPFTTKRKKEVSLLPPFTPKTLSSSFLFRVSLFLADKNMVTHNSKTKETVPCDFCSEQTAVLYCRADSAKLCLFCDQHVHSANLLSRKHVRAQICDNCNSELVSVRCATDNLVLCQECDWDAHGSCSVSASHDRTIIEGFSGCPTALDLASIWGFDLEEKKQEPLIEKWSTNSCGVVHDLVNEPWVYNKSSGNFSFQDLMVPNENSNNGNRNVDNVMVFGNVSKSPSCGKYKHVIYKQLVELLKRDLMGGGGGGGEGGGGGDDEGGDFGDGEGCDFGGGDGREGENLVPETQSRSAWREGVGFGNGNGNGNGGRFGNDNVGGGGSDGNVRGEQLLHEQMPFTSLLMLPTEVGVKSNGKLIGEDIMWDSNANAHGTQIWDFHLGQLRSHDESGQLEIEYGANDAGFVIKNFSEPMKETSLTNTKMLGNMYQMNCSIVHDDMASFNNNLNNNLTSSQGPATSESNNLPIARVLSGTAFCKPKCSGSSKDVQFMEQSILVRGEGFKTEAATKVDMELLVRNRGDAMQRYKEKKKTRRYDKHIRYESRKARADSRKRVKGRFVKTTEAPDC >Potri.003G087600.3.v4.1 pep chromosome:Pop_tri_v4:3:11416816:11423449:1 gene:Potri.003G087600.v4.1 transcript:Potri.003G087600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087600.v4.1 MGNKHNSAGFKTRSPLSIFIVICLCCFFYILGAWQKSGFGKGDGIAVQMSKQTDCQIFPDLNFETHHNDVEIIEPSKPKAKVFKPCDVKYTDYTPCQEQDRAMTFPRENMIYRERHCPREEEKLHCLIPAPKGYTTPFPWPKGRDYVHYANVPHKSLTVEKAVQNWVQFQGDVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYLMKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIHLPYPSRAFDMAQCSRCLIPWAANDGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKADLQAEQRRIEELAESLCWEKKYEKGDIAIFRKKANNKNCRRKSANICESKDADDVWYKEMEACKTPLPEVNSANEVAGGELKKFPERLFAIPPRVAKGLVKGVTAESFQEDNKLWKKHINAYKRNNKLIGTTRYRNIMDMNAGLGGFAAALESPKSWVMNVVPTIAKNTLGVIYERGLVGIYHDWCEGFSTYPRTYDFIHANGVFSLYQNKCNLEDILLEMDRILRPEGTVIFRDEVDVLNKVKKIAGGMRWDTKMMDHEDGPLVPEKILVVVKQYWVGGTGNSTSSDQ >Potri.003G087600.2.v4.1 pep chromosome:Pop_tri_v4:3:11416759:11423418:1 gene:Potri.003G087600.v4.1 transcript:Potri.003G087600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087600.v4.1 MGNKHNSAGFKTRSPLSIFIVICLCCFFYILGAWQKSGFGKGDGIAVQMSKQTDCQIFPDLNFETHHNDVEIIEPSKPKAKVFKPCDVKYTDYTPCQEQDRAMTFPRENMIYRERHCPREEEKLHCLIPAPKGYTTPFPWPKGRDYVHYANVPHKSLTVEKAVQNWVQFQGDVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYLMKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIHLPYPSRAFDMAQCSRCLIPWAANDGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKADLQAEQRRIEELAESLCWEKKYEKGDIAIFRKKANNKNCRRKSANICESKDADDVWYKEMEACKTPLPEVNSANEVAGGELKKFPERLFAIPPRVAKGLVKGVTAESFQEDNKLWKKHINAYKRNNKLIGTTRYRNIMDMNAGLGGFAAALESPKSWVMNVVPTIAKNTLGVIYERGLVGIYHDWCEGFSTYPRTYDFIHANGVFSLYQNKCNLEDILLEMDRILRPEGTVIFRDEVDVLNKVKKIAGGMRWDTKMMDHEDGPLVPEKILVVVKQYWVGGTGNSTSSDQ >Potri.003G087600.4.v4.1 pep chromosome:Pop_tri_v4:3:11417338:11423419:1 gene:Potri.003G087600.v4.1 transcript:Potri.003G087600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087600.v4.1 MGNKHNSAGFKTRSPLSIFIVICLCCFFYILGAWQKSGFGKGDGIAVQMSKQTDCQIFPDLNFETHHNDVEIIEPSKPKAKVFKPCDVKYTDYTPCQEQDRAMTFPRENMIYRERHCPREEEKLHCLIPAPKGYTTPFPWPKGRDYVHYANVPHKSLTVEKAVQNWVQFQGDVFKFPGGGTMFPQGADAYIDELASVIPIADGSVRTALDTGCGVASWGAYLMKRNVLAMSFAPRDNHEAQVQFALERGVPAVIGVLGSIHLPYPSRAFDMAQCSRCLIPWAANDGMYLMEVDRVLRPGGYWILSGPPINWKTYYQTWKRSKADLQAEQRRIEELAESLCWEKKYEKGDIAIFRKKANNKNCRRKSANICESKDADDVWYKEMEACKTPLPEVNSANEVAGGELKKFPERLFAIPPRVAKGLVKGVTAESFQEDNKLWKKHINAYKRNNKLIGTTRYRNIMDMNAGLGGFAAALESPKSWVMNVVPTIAKNTLGVIYERGLVGIYHDWCEGFSTYPRTYDFIHANGVFSLYQNKCNLEDILLEMDRILRPEGTVIFRDEVDVLNKVKKIAGGMRWDTKMMDHEDGPLVPEKILVVVKQYWVGGTGNSTSSDQ >Potri.019G129350.1.v4.1 pep chromosome:Pop_tri_v4:19:15200862:15202403:1 gene:Potri.019G129350.v4.1 transcript:Potri.019G129350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129350.v4.1 MAFLHAFVPHDREARTPLFLREETGAENLLPSVQFLFLRLVVFPVLLPLCKQGNGDVMEDWWASCFLPPLLVASLRGLLCFFEKKQRNESFFLFFPRFCVSLVAFRLCHFPPPLPVLLLWFL >Potri.019G057100.1.v4.1 pep chromosome:Pop_tri_v4:19:9584705:9585781:-1 gene:Potri.019G057100.v4.1 transcript:Potri.019G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057100.v4.1 MGFSHIFLRNKTKITPVEIVRETQRLLLCISSDHGLKQEEKKKKADLKQENKAAAALAKNIRALKSIAYGNDESSAVSRACAQLTEEFFRENTLPLLIVCLPKLTLEAQRDATQVVANLLRHKVQSRLIASEYLEKNTDVLDLLVAGCGNMDMAIQYGEILRACLRHQSAARYVLESPAHLKKFFDYIQLRYFDIASNAALTLKELLTRHKYSLVPEFLSKNYEWFFADFNSKLLESSDYFTRIQATKLLGHMLLDHSNSAVMARYVSSRNNLRIVMNLLRDSSKCIRIKAFHVFKLFAANQNRPFDIITVLVANRSKLLRFLADFKTDKEDECFEADKAQVMKEIVALDPRDHESYE >Potri.004G226300.1.v4.1 pep chromosome:Pop_tri_v4:4:23026950:23030576:-1 gene:Potri.004G226300.v4.1 transcript:Potri.004G226300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226300.v4.1 MALVLYAGKTNKNAYKALIAAEYSGVDVKLAENFEMGVTNKTPEFLKMNPLGKVPVLETPDGPIFESNAIARYVTRLKADNPLYGSSLIDYAHIEQWMDFAATEIDAGISRWLYPRMGFQPYLPPAEEAAISALKRALGALNLHLASNTYLVGHSVTLADIILTCNLFLGFAHVMTKSFTSEFPHVERYFWTMINQPNVKKVMGEVKQAEVVVPITKKPGPPKESAKSKAKEEPKKEAKEKEPAKPKAEPAVEEEEAPKPKPKNPLDLLPPSKMILDDWKRLYSNTKTNFREVAIKGFWEMYDPEGYSLWFCNYKYNEENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSEPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWTKVDISDEAQKERASQMIEDCEPFEGEALLDAKCFK >Potri.001G001700.1.v4.1 pep chromosome:Pop_tri_v4:1:123608:129304:-1 gene:Potri.001G001700.v4.1 transcript:Potri.001G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001700.v4.1 MKGESSSSFFRLFPPIVYALLCTTASIAASAVVPINPFLGIPPQDENYYKASSPTIKCKDGSATFTKAHLNDDFCDCPDGTDEPGTSACPGGQFYCRNAGHDPVFLFSSRVNDGICDCCDGSDEYDGEVKCPNTCWEAGKVARDKLKKKIATYKEGVALRKKEVEQAKMAFAKDVAELSKLKNEEKILKGLVEQLKELKEQIEKTEEKERLQKEKEEKQRKEAEEKANGEKSAVKGGANLEKGHAEEKIDNEDKDMENKHDEIGVLDDSPAYQDVVDEFADHGAEDESGDVSKIEGSPVSEVEKHEGQEDEEPVTTKIKDESTLVPETGHDAGNEVSHAQPMEEEKDESTDAKGLSKEELGRLVGSRWTGNSEKQTEEVRDTKDNDHGDHEEMAHDTHDEKYDGYASETADETGKDDDVDGEDDVDETYEEEVHDDVDDAPYKSDSDDEVEFSDTTSPGNPSWLENIQQTFRSILEAFKLFQTPVDKSEAARVRKEYDESSAKLSKIQSRISRLTQKLEHDFGTEKEFYSFYDRCFESKQNKYVYKVCSFKQASQSEGHSTTRLGRWEKFEDSYRVMVFSNGDTCWNGPDRSLKVRLRCGLDNEVTDVDEPSRCEYVALLSTPALCIEGKLKELENKLELMNKQQPRSHDEL >Potri.001G001700.2.v4.1 pep chromosome:Pop_tri_v4:1:123502:129302:-1 gene:Potri.001G001700.v4.1 transcript:Potri.001G001700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001700.v4.1 MKGESSSSFFRLFPPIVYALLCTTASIAASAVVPINPFLGIPPQDENYYKASSPTIKCKDGSATFTKAHLNDDFCDCPDGTDEPGTSACPGGQFYCRNAGHDPVFLFSSRVNDGICDCCDGSDEYDGEVKCPNTCWEAGKVARDKLKKKIATYKEGVALRKKEVEQAKMAFAKDVAELSKLKNEEKILKGLVEQLKELKEQIEKTEEKERLQKEKEEKQRKEAEEKANGEKSAVKGGANLEKGHAEEKIDNEDKDMENKHDEIGVLDDSPAYQDVVDEFADHGAEDESGDVSKIEGSPVSEVEKHEGQEDEEPVTTKIKDESTLVPETGHDAGNEVSHAQPMEEEKDESTDAKGLSKEELGRLVGSRWTGNSEKQTEEVRDTKDNDHGDHEEMAHDTHDEKYDGYASETADETGKDDDVDGEDDVDETYEEEVHDDVDDAPYKSDSDDEVEFSDTTSPGNPSWLENIQQTFRSILEAFKLFQTPVDKSEAARVRKEYDESSAKLSKIQSRISRLTQKLEHDFGTEKEFYSFYDRCFESKQNKFTTLLLLVYIPFLFQL >Potri.005G187300.3.v4.1 pep chromosome:Pop_tri_v4:5:19468461:19471994:-1 gene:Potri.005G187300.v4.1 transcript:Potri.005G187300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187300.v4.1 MDVLHFLFGVFGNATALFLFLAPTITFKRIIRSKSIEQFSGIPYVMTLLNCLLSAWYGLPFVSKNNVLVSTINGAGSAIETIYVLIFIIYAPKKEKAKVLGLLTLVITIFTGVALVSLFALHGNARKLFCGCAAAVFSIIMYGSPLSIMRTVIKTKSVEYMPFFLSLFVFLCGTSWFVYGLLGRDPFVAVPNGVGCGLGALQLILYFIYRNNKGEAKKPISTHSLEIGPGKVHQEKKLVANGSHDERV >Potri.013G121550.1.v4.1 pep chromosome:Pop_tri_v4:13:13083843:13084118:-1 gene:Potri.013G121550.v4.1 transcript:Potri.013G121550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121550.v4.1 MDVRNNPWIMFLVIFFCFPLNSHVSLGADTISANSSLSGDQTIVSARKVFELGFFHPGNSLSQTTNIGMWYCRDKVSEQTIVWVANRDTRF >Potri.014G191300.1.v4.1 pep chromosome:Pop_tri_v4:14:16639153:16640754:-1 gene:Potri.014G191300.v4.1 transcript:Potri.014G191300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191300.v4.1 MRQICKVDKMIYDVSSPLFRSFLSQKGGFSDKKKMEEQKPKEQRPKASENKPAMTE >Potri.005G212601.1.v4.1 pep chromosome:Pop_tri_v4:5:21631688:21632116:-1 gene:Potri.005G212601.v4.1 transcript:Potri.005G212601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212601.v4.1 METFCYLQSKWRCNLCILSIISDSTILLLACIFFSSSIPCWQMQPLVAQNNTILVILIRMHLLSPGAF >Potri.003G083900.1.v4.1 pep chromosome:Pop_tri_v4:3:11051264:11054377:-1 gene:Potri.003G083900.v4.1 transcript:Potri.003G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083900.v4.1 MGRRQNDSEPSRFISLSFLLVGLISCALVYTVLSVVLNPNISSKGSNFESLALTEESSDGGCCRGIEKLELWGAAVKWGSDFKFNSSKECCQACKAMCTGIDGPCLCDTWVFCGNKKACGSKFGECWLKKQKDVFAPDRQEAGDPVIWTSGIVFGKGEGIVGLETEFGTLHIKLFPDCAPHSVAYILELLTLRHCAGCQFHRAEGRCQLWDAEGNHIKKAPFGPPFAMIQGTLEAQGTSFKKIPTEECPYIRRGSVAWVGSGPEFFISLANHQEWKKAYTVFGSVLPEDMEIAEKIAQLPTKSDVWNNINVSVLEKPLPLLVRRLKARQGNLNKM >Potri.016G047500.1.v4.1 pep chromosome:Pop_tri_v4:16:3046458:3050942:1 gene:Potri.016G047500.v4.1 transcript:Potri.016G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047500.v4.1 MSADFNVICGLYEAEAASQKDTSVDCNGDKNDDGPVNAGADQVGELKGDGVESESKTGVRDDQENIQSAEEEREEKPVEILEDPDVEGNKQELINHDELSNAVAEAQEYQSTSVDVAESEQNRSSNDEEESNFNLSIDVKGHEDSQAVVIDGVHNYLGLHLDQQSDPVELNKTDDVAESEPNQYRNDDKKIEESKLGSKDSQTEVSKGLHNSLDLYRENKPKELTNGDDFTESKPKPSSNDGEKVGLEEKSKLDSAIQLDEDKLSQPVVINGVHNNLDLNQEKEPSELINDVPLKDSGEASGDSLEQNSETAPVVADEILEAESDEGLLSDGNREGLSAGHAQDTVAETQVVDSLVDAKQNLSESSSENVELGATSDAETGKSFPIASDNGTSGDATNHILKDAVQSEVLAANGLDIHEEDLLVNLESASQTVLVNDLVHASQMTSEHSHTLEISMEVSSDNVASVKSCESFPISPSNDDMVAELAVGINDSLSVEDTKLCDIARTETEVDSLHADDSGITEVDAKVEAGDDNCPAYDVKPDIGTVSPSIEPEEKVSSSSPANDVKPDIGTVSHSIEPEEKVSSSSPANDVKPDIGTVSPSIGSEEKLSSSSPANDVKPDIGTVSPSIEPEEKVSLSSPANDVEPDIGTVSHSIQPEEKIDIVAAEVGKGALKVDVAEVRKGALKVDVVAEVRKGAFDVTKFPRYDENNKEEVKHDKFKVEKSSKSRDAIQPQIQMKKFGDQKNQTAKTKGHAKPAALENGSLTVGGKYWIEEPRQDENKLIKAEEELARKARQDENKRIKAEDKLARKAEELRKEKEAAMLKEQRRLEENAKAKEAMERKKRMADRAQARAALREQREAEQKEKEREKKARKKERKKAAVEDTKDIDEIESSPSSETPIEMEESERTEKPVTKRPQNPSEFAKQTKYKSMPLPLRNRGKRKRQTWMWALLSLLVVIALFFVGNSGFFHVVLQRSGI >Potri.006G256600.1.v4.1 pep chromosome:Pop_tri_v4:6:25382114:25384119:-1 gene:Potri.006G256600.v4.1 transcript:Potri.006G256600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256600.v4.1 MTEANQYNISISKKKKKLILAIFASFLLVATIIAIVTGVNPKKNSTRNDAADYAKLVKSTFQKNDFLLQVNRSIDAAQSNKVALSKKLAKSMKLDVRQRTAINDCWENNDRVVTDLKKVFGNVKVDTTNADQDLNTKFASCMTGVNSCLDGFSHSKQDKKVREALSDLIDVRGNCTKALEMIKSKPTADTATGLKTTNRKLKEDSDSNEGGAEWLSVTDRRLFQLSSLTPDVVVAADGSGNYKTVSAAVAAAPKYSSKRYIIRIKAGVYRENVEVPKEKSNIMFLGDGRKTTIITGSRNVVGGSTTYHSATVAVEGQGFLARDITFQNTAGPSKYQAVALRVESDFAAFYKCGMLGYQNTLYVHSNRQFFRNCFIAGTIDFIFGNAAAVFQDCDIRARRPNPGQTITITAQGRSDPTQNTGIVIQKCRIGVTSDLHPVRSNFSAYLGRPWKEYARTVIMQSSISDVIHPAGWNGLKGRFALSTLSFAEYENSGAGAGTSKRVTWEGYKMITSATEAQSFTPRNFIGGSSWLKSTTFPFSLDL >Potri.003G165400.1.v4.1 pep chromosome:Pop_tri_v4:3:17451776:17453789:1 gene:Potri.003G165400.v4.1 transcript:Potri.003G165400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165400.v4.1 MTSTPQETEAQRVSYPTVQSSPEAKEAEFHEATSSTTVTEESQPNNQPPASDEETKKLGTHIMGAPAAPNVHSENQQAALWNASEHQQIYEYPYLVYTPVEKSEKSAQKSLEPVIQKFQEWGKKTETIARNIWHNLKTGPSVPQAAWGKVNLTAKAITEGGFESLFKQIFETGPNEKLMKTFACYLSTSTGPVAGTLYLSTARVAFCSDRPLCYTAPSGEEAWNYYKVMIPLGKISTVNPVIMKESPPEKYIQIVTIDGHEFWFMGFVNFEKASHHLLEKASNLREAEYAIQPVVV >Potri.001G204600.1.v4.1 pep chromosome:Pop_tri_v4:1:20758464:20759415:1 gene:Potri.001G204600.v4.1 transcript:Potri.001G204600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204600.v4.1 MDWFSWLSKTGLEPSLVYEYGLAFAHNELEEEDIPYFNHEFLQSMGISIAKHRLEILKLARKEKGKSPRAMARVLVAIKRTKRCLAKYIRTWIRSEESALVLLQRPGYGTRWRGTMLKRNKKLMMSKQGRLLLTNGSFSNTPMISAGPTLDSFSSPVIYDLNKEKLDQGGDEGYWTTGVEEIRWDTMFQNLKPT >Potri.001G392300.2.v4.1 pep chromosome:Pop_tri_v4:1:41540488:41542252:-1 gene:Potri.001G392300.v4.1 transcript:Potri.001G392300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392300.v4.1 MVSLQNLPNTKTVLSVVASLAASAVLIPTAANLRIFAHLFRPQFTLVIEEYGPDYFCDELFLAAETYLGTKSAPSIRRIKACKKEKEKKPAISLDRDQEILDVFENIEVKWRMVIRENSEVRNYTLVARLRSYELVFHKKHKEKVLGSYLPFILRQAKAIQEENKVRQLNSLGGLSWLTSTIIDHPMTFETIAMDERLKEEIIGDLNTFVKSKEYYRKIGKARKRGYLIHGPPGTGKSSLIAAMANHLNYSIHDLDLQDDNFLTSYDIRSVLLHHLDKTILVIKDIDSTVTVSCRRRRFEPRKVVEVQKQAMLLRLLQFIDGLLAASHQ >Potri.018G113901.1.v4.1 pep chromosome:Pop_tri_v4:18:13077736:13079715:1 gene:Potri.018G113901.v4.1 transcript:Potri.018G113901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113901.v4.1 MFIAASDIMFRCVFDGCISVDNMEIERRPYHRNCSCALHKMEGGSSTGFPLPRNMFYPKKQSWRRCSLSLATPSILLAYQLKKRLKKLWYSISIFLLNSQPLSHCITKSTPIFSAPYWEEDPISILTMSNEVQVIARVLLWAACSTLLVQSGLAQLPLLPKIPGLPDITLPPIPGLPLLPGLPQIPGLPKIPLPPIPGLPLIPGLPQIPGLPLLPPLPQIPGLPSIPGLTSVPGPPPRSQIPGGPPRSQIPGRPLLPGPPQVPGLPPPPPPPQIPGVPTPGTPVGIANAGHQ >Potri.013G062301.1.v4.1 pep chromosome:Pop_tri_v4:13:4617308:4619065:-1 gene:Potri.013G062301.v4.1 transcript:Potri.013G062301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062301.v4.1 MAGIMHKIEETLNIGGKKDERKGETQGGYNQQDHRGAAQGQRKEGFADQMKDKIPGGGGGVHKGETQGGYNHQDQGGYNQQEHRGGAQGERQEGFVGQMKDKLPGGGGGGGMTQGGYNQQEHRGCAQGERQEGFVGQMKDKLPGGGGGGGMTQGGYNQQEHRGGAQGERQEGFVGQMKDKLPGGGGGDGGGMTQGGYNQQEHRGCAQGERQEGFVGQMKDKLPGGGMTQGGYNQKEHRGGAQGERQEGFVGQMKDKLPGGGGGGGMTQGGYNQQEHRGGAQGDRQEGFVGQMKDKLPGGGGGMTQGGYNQQEHRGGGGVSGMTQGGYNQQEHRGGGGVGVGGMTQGGYNQQEHRGDAQGERKEGFVDKIKGKLPGGGRASGVRGEGGEK >Potri.012G007300.1.v4.1 pep chromosome:Pop_tri_v4:12:301104:303263:-1 gene:Potri.012G007300.v4.1 transcript:Potri.012G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007300.v4.1 MGEEAKQEQAKAEAKPEEKKKENAEEKKEEKPAEEEEKEEPKPPSPFVLFVDLHCVGCAKKIERSIMKIRGVEGVAMDMAQNQVTIKGIVEPQAVCNKIMKKTKRRAKVLSPLPENEGEPMPQVVTSQVSGLTTVELHINMHCEACAEQLKKKILKMRGVQTAVTDFSSSKVTVTGTMEANKLVEYVYIRTKKQARIVPQPEPEPEPAKEEEKKEEEKPAEEAKPAEEKKEEEKPAEEGGGGGENPEKNEKKEDEGKKEGVENIDIINVDEENMKRMMHYYHPLYVIERIPPPQLFSDENPNACCIS >Potri.012G007300.4.v4.1 pep chromosome:Pop_tri_v4:12:301104:303263:-1 gene:Potri.012G007300.v4.1 transcript:Potri.012G007300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007300.v4.1 MGEEAKQEQAKAEAKPEEKKKENAEEKKEEKPAEEEEKEEPKPPSPFVLFVDLHCVGCAKKIERSIMKIRGVEGVAMDMAQNQVTIKGIVEPQAVCNKIMKKTKRRAKVLSPLPENEGEPMPQVVTSQVSGLTTVELHINMHCEACAEQLKKKILKMRGVQTAVTDFSSSKVTVTGTMEANKLVEYVYIRTKKQARIVPQPEPEPEPAKEEEKKEEEKPAEEAKPAEEKKEEEKPAEEGGGGGENPEKNEKKEDEGKKEGVENIDIINVDEENMKRMMHYYHPLYVIERIPPPQLFSDENPNACCIS >Potri.017G081700.2.v4.1 pep chromosome:Pop_tri_v4:17:9163127:9165510:1 gene:Potri.017G081700.v4.1 transcript:Potri.017G081700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081700.v4.1 MKRSMSFSGMEKCEEVGHGEDDLSDDGSQIGEKKKRLNLEQVKALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYEVLKKQFDALKADNDALQAQNKKLHAELLSLKSRESNEVNLKKETEGSWSNGSENSCDVNLDISRNPVASSPVSSQLTTKHLFSAPIRPATITQLLQGSSRSDLQCLKVDQLIHQDENFCSMFNGIEEQQGFWPWPEQQHATFSLNQAQFSNN >Potri.017G081700.1.v4.1 pep chromosome:Pop_tri_v4:17:9163127:9165510:1 gene:Potri.017G081700.v4.1 transcript:Potri.017G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081700.v4.1 MNERSFALSDHQHHMAFPPHHGFMFHSHEDNDHHPSPSSLNSLPSCPPQLFPGSGGQFLMKRSMSFSGMEKCEEVGHGEDDLSDDGSQIGEKKKRLNLEQVKALEKSFELGNKLEPERKMQLAKALGLQPRQIAIWFQNRRARWKTKQLEKDYEVLKKQFDALKADNDALQAQNKKLHAELLSLKSRESNEVNLKKETEGSWSNGSENSCDVNLDISRNPVASSPVSSQLTTKHLFSAPIRPATITQLLQGSSRSDLQCLKVDQLIHQDENFCSMFNGIEEQQGFWPWPEQQHATFSLNQAQFSNN >Potri.010G200150.1.v4.1 pep chromosome:Pop_tri_v4:10:19273024:19273694:1 gene:Potri.010G200150.v4.1 transcript:Potri.010G200150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200150.v4.1 MVNHDFQQRMMRGVSTSEEKTTIFADHIAICNLIRRGTRAGVIIMTNILA >Potri.002G009600.2.v4.1 pep chromosome:Pop_tri_v4:2:583551:597015:1 gene:Potri.002G009600.v4.1 transcript:Potri.002G009600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009600.v4.1 MELSSRTMKLFLLSIFLLQVFYAVSIVSAERSDARSLKTRNAVSGERHSEEYCAMYDICGAREDGKVVNCPFGSPSVKPDDLLSQKIQSLCPTITGNVCCSEAQFETLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPHQSMFINVTSTDKVEGNLTVSGIDFYVYDSFGEGLYESCKDVKFGTMNSRALNFIGAGAKNFTEWYAFIGRRAPLNVPGSPYAMTFKPSAPESSGMKPMNVSTYSCGDISLGCSCGDCPQSPVCANTDPPPHHEGASCAVRIGSLKAKCVDFILTILYVILVSIFLGWGLFHRKRERDQSSRMNPVSNIKDSGEVTGKKDENLPMQMLEDSPQTGSRVQLSIVQGYMSKFYRCYGTWVARNPILVLSLSLAVILLLCLGLIRFKVETRPEKLWVGPGSKVAEEKRFFDTHLAPFYRIEQLILATVPEAGAQKRPSIVTENNIKLLFEIQKKVDGIHANYSGTMVSLPDICLKPLDKDCATQSVLQYFQMDPQNLDNYGGVEHVNYCLQHYSSADTCRSAFKAPLDPSTALGGFSGNNYSEASAFIVTYPVNNVIDKEGNETDKAVAWEKAFIQLVKNELLPMVQSKNLTLSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDTPHLSSFYISSKVLLGLSGVLLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPMELPLEGRISNALVEVGPSITLASLSEVLAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVAFIVFDFLRAEDKRIDCIPCQKISSSSADSDKGIGGRRPGLLARYMKEIHAPILSLWGVKIVVIAIFAAFTLSSIALSTRVQPGLEQKIVLPRDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESSQTNQLCSISQCDSNSLLNEIARASLTPESSYIAMPAASWLDDFLVWISPEAFGCCRKFTNGTYCPPDDQSPCCSSDTGSCGLGGICKDCTTCFRHSDLNSDRPSTSQFKEKLPWFLNALPSADCAKGGHGAYTSSIDLQGYENGVIQASSFRTYHTPLNKQIDYVNSMRAAREFSSRASDSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFVVCLVITCSLWSSAIILLVLAMIVVDLMGVMAILNIQLNAVSVVNLVMSVGIGVEFCVHLTHAFSVSSGDKDQRVRDALGTMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCKLVEKQEDRPSVSLRP >Potri.006G091150.1.v4.1 pep chromosome:Pop_tri_v4:6:6935650:6936480:-1 gene:Potri.006G091150.v4.1 transcript:Potri.006G091150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091150.v4.1 MEPFVKPLVIFVILVCSMLVFCGLLTTFLRRYGGRLNNTGGGGRRRGSGGGGGGGGGGGGGDIEDGGPDCTPDTGGVGGGCDFGGGGWGGGGDGGGGGGGGGGGWGGGGDGGGGGGGGGGGGGGDGGGGGGGGGGGGGGGGC >Potri.002G160600.4.v4.1 pep chromosome:Pop_tri_v4:2:12267444:12271853:-1 gene:Potri.002G160600.v4.1 transcript:Potri.002G160600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160600.v4.1 MSEVDMAVVKPEMMRSYIWIQTTDGAVQQVEQEVAMFCPMICQEVILKGMGSSKNYAISLPQRVGTSMFSLILDFCRFHQVPGRSNKERKSFDEKFVRMDTKRLCELTSAAESLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKNVEAEEEHVDDRSVDDLLSFINGGDGDSKGMKTSKNRKKQQKRKDRQKCAPEMHKKESNVFSSLCHNSKDDHELQSSLREKLNLQDAADVIFSPTVEFEDVDIDGEIDPALKEEIDREVEDFARILNSDWPERMQELLSLGQERRPAQLSINGNGNLKRYGKPP >Potri.002G160600.1.v4.1 pep chromosome:Pop_tri_v4:2:12267444:12271853:-1 gene:Potri.002G160600.v4.1 transcript:Potri.002G160600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160600.v4.1 MSEVDMAVVKPEMMRSYIWIQTTDGAVQQVEQEVAMFCPMICQEVILKGMGSSKNYAISLPQRVGTSMFSLILDFCRFHQVPGRSNKERKSFDEKFVRMDTKRLCELTSAAESLQLKPLVDLTSRALARIIEGKTPEEIREIFHLPDDLTEEEKLEPLKNTTDDPRIRLLNRLYAKKRKELKEREKLKNVEAEEEHVDDRSVDDLLSFINGGDGDSKGMKTSKNRKKQQKRKDRQKCAPEMHKKESNVFSSLCHNSKDDHELQSSLREKLNLQDAADVIFSPTVEFEDVDIDGEIDPALKEEIDREVEDFARILNSDWPERMQELLSLGQERRPAQLSINGNGNLKRYGTLFPEP >Potri.010G209200.1.v4.1 pep chromosome:Pop_tri_v4:10:19866194:19869549:1 gene:Potri.010G209200.v4.1 transcript:Potri.010G209200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209200.v4.1 MATESSDSPYDKAKPFLAVVLMQFGYAGMSIISKHALNEGMSQHVLVVYRHAVATIVIAPFAFIFDRKVRPKMTLSIFFKIMLMGLLEPTIDQNLYYTGMKYTTATFASAMCNILPAFAFLMAWALRLEKVNIRKMHSQAKIIGTIVTVGGAMLMTLVKGTQLDLPWTKGYDQHASTGGLTKQDPIKGALMITTGCACWASFIILQAITLKSYPVELSLTAWICFMGTIEGTVLAVVMERGNPSAWSIALDYKLLAAVYSGVFCSGLAYYVQGLIMKRRGPVFVTAFNPLSMVIVAILGSFFLKEILYLGRVFGAVVIVTGLYLVLWGKSKDEPPSNSSNDHKVAASATQMATKTQERTETLNQDFVALDLTKVRPNDESD >Potri.006G182400.1.v4.1 pep chromosome:Pop_tri_v4:6:18894826:18895152:1 gene:Potri.006G182400.v4.1 transcript:Potri.006G182400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182400.v4.1 MTQMRHAFALLLFSLLLLSQSLPGSAVGRQLDKALTKSQLPALTKSQLPEGRQNDVISSLQARKLGVYIRKKARVYPRSGRKSSAIRTQVPSSHVIGSVFAFLSFFLL >Potri.002G022500.1.v4.1 pep chromosome:Pop_tri_v4:2:1409456:1410490:1 gene:Potri.002G022500.v4.1 transcript:Potri.002G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022500.v4.1 MQSNKEFETKLKALVSSGDYTLAMAISKLLIASLVVSLLVLQKVNASPAAGSIPGKNIDCGGACKDRCSLSSRPHLCNRACGTCCARCKCVPKGTSGNLDTCPCYATMTTHGGRRKCP >Potri.006G212900.1.v4.1 pep chromosome:Pop_tri_v4:6:21936862:21939104:-1 gene:Potri.006G212900.v4.1 transcript:Potri.006G212900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212900.v4.1 MAPQPSYSFIAIALLLAVASASATDQTQASALEFSYAGSNNGPANWGSLNLKFSACTSGETQSPVNIIKKEAVKNKKLTPLTRDYKRANATLVNNGFNIGLSYEGNPGVLIVDHQNYTLKEMHWHSPSEHQIDGIQYAAELHLVHKKDSGSVAVVSILYELGDADPFINKIKDKLDALAKEVCAGNEEARIPVGVLDNKLLRKNTRKYYRYVGSFTSPPCTENVIWNILGKVRTISKEQLEALRAPLGDDYKQNSRPVQSLKGRTIELYNEFTD >Potri.001G141300.3.v4.1 pep chromosome:Pop_tri_v4:1:11532359:11535176:-1 gene:Potri.001G141300.v4.1 transcript:Potri.001G141300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141300.v4.1 MLRFFNFLPKFLSLTVDLSDFPMWFLFLCVEMQIIKQKNGRSRGFAFVTMTTGEEAQAAIDKFNSLEVSGRIIRVEFAKRLRRPPSPRLPGTPADIPAGETRHKLYISNLAWKVRGSHLREFFSTNCNPVSSRVVFDGPAGRSSGYGFVSFATREEAVAAISAFSGKELMGRPIRLKFSEDKADESGTEKKEEETSEVQLEEK >Potri.001G141300.1.v4.1 pep chromosome:Pop_tri_v4:1:11532294:11535205:-1 gene:Potri.001G141300.v4.1 transcript:Potri.001G141300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141300.v4.1 MAASILEAATLSFFSTHHPSYSRFFLSPKSPPLSLNLHISNPTPLISHNFPQPSLTQNHPRSKSLCFQLCSTVQEVTVEITPEEEEIQEANLKRKLFVVNLPWSFSVVDIKDLFGQCGTVSDVEIIKQKNGRSRGFAFVTMTTGEEAQAAIDKFNSLEVSGRIIRVEFAKRLRRPPSPRLPGTPADIPAGETRHKLYISNLAWKVRGSHLREFFSTNCNPVSSRVVFDGPAGRSSGYGFVSFATREEAVAAISAFSGKELMGRPIRLKFSEDKADESGTEKKEEETSEVQLEEK >Potri.001G142500.2.v4.1 pep chromosome:Pop_tri_v4:1:11686458:11687069:-1 gene:Potri.001G142500.v4.1 transcript:Potri.001G142500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142500.v4.1 MTDPAQQEPTTYTTHHVTIPPSLTQSEFDELNPLITEFHNYRIRPGQCSSLLAQRINAPNDLVWSLARRFDKPQTYKHFIKSCSVAPGFTMTVGSTRDVNVISGLPAATSTERLDILDDERQVTGFSIIGGEHRLKNYRSVTTVHGFEREGKIWTVVLESYVVDVPEGNTEEDTRLFADTVVKLNLQKLASVAEGLARDGDGK >Potri.014G036400.1.v4.1 pep chromosome:Pop_tri_v4:14:2285968:2289693:-1 gene:Potri.014G036400.v4.1 transcript:Potri.014G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036400.v4.1 MAIRVTFSFSGYVAQNLGVRVGNCRYLNECFIRSRIFASPATTTTTHNSDIEPPGPRTGTDFRRRNLKRNYSNSAAMYSTMAGEIFGDNCKGSAIAVGLVSLMKSTAGVSCSNMGACGISPFKAVSILPFLQGSRWLPCNEAVLGSRSPEVDRGGTGTVKSVEKVSESKSSTSVSFQINGKEFERTGSWFSRVFNVCSEDAKAMFTAATVSLLFRSTLAEPRSIPSSSMSPTLDVGDRILAEKVSYVFRKPEVSDIVIFKAPPILQEFGFSSGDVFIKRIVAKAGDYVEVREGKLYVNGVVQDEEFIKEPLAYEMELVLVPEGYVFVMGDNRNNSFDSHNWGPLPIKNIVGRSVFRYWPPSKVSDTIYDPHVAKNAIS >Potri.002G021300.1.v4.1 pep chromosome:Pop_tri_v4:2:1332904:1335753:-1 gene:Potri.002G021300.v4.1 transcript:Potri.002G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021300.v4.1 MAVAGELSWRAVMARQALPLFENFSHCLCSATKASLSQAHAHILKTGISLPETIQIFSKLNHFGHVIRVFSYMLTQGIVPDSRVLPTVIKTCAALSALQTGKQMHCFALVSGLGLDSVVLSSLLHMYVQFDHLKDARNVFDKLPQPGVVTSSALISRFARKGRVKETKELFYQTRDLGVELNLVSWNGMISGFNRSGSYLDAVLMFQNMHLEGLKPDGTSVSSVLPAVGDLDMPLMGIQIHCYVIKQGLGPDKFVVSALIDMYGKCACASEMSGVFNEMDEVDVGACNALVTGLSRNGLVDNALEVFKQFKGMDLNVVSWTSMIASCSQNGKDMEALELFREMQIEGVKPNSVTIPCLLPACGNIAALLHGKAAHCFSLRNGIFNDVYVGSALIDMYAKCGRMLASRLCFDMMPNRNLVSWNSLMAGYAMHGKTFEAINIFELMQRCGQKPDHVSFTCVLSACTQGGLTEEGWFYFDSMSRNHGVEARMEHYSCMVTLLGRSGRLEEAYAMIKQMPFEPDSCVWGALLSSCRVHNRVDLGEIAAKRVFELEPRNPGNYILLSNIYASKAMWVEVDMVRDMMRSRGLKKNPGYSWIEIKNKVHMLLAGDSSHPQMPQIIEKLAKLTVEMKKSGYVPHTDFVLQDVEEQDKEQILCGHSEKLAVVLGLLNTKPGFPLQVIKNLRICRDCHAVIKFISDFEKREIFVRDTNRFHQFKGGVCSCGDYW >Potri.014G106200.1.v4.1 pep chromosome:Pop_tri_v4:14:7118771:7122361:1 gene:Potri.014G106200.v4.1 transcript:Potri.014G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106200.v4.1 MEAQNPQHGHPVEISVDILDGEMSGGSKGCGEAPCGFSDTGNNSKNAKERSASMRKLWISVALCIVFMSAEVAGGIEANSLAILTDAAHLLSDVAGFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSMQLIWLLAGILVYETIIRLIHGTSEVNGFLMFLVAAFGLLVNIIMALVLGHDHGPDHDHKHGTGHSHGTTVSTHNHHHVEHPKHDDNHHDHSNNEHHHAHEDHVEPLLDKGEAMHEKKQRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLGTTIKMLRNILEVLMESTPREIDATKIEKGLLEMEEVMAIHELHIWAITVGKILLACHVKIMPEANADMVLDNVISYLRREYNISHVTIQIER >Potri.014G106200.3.v4.1 pep chromosome:Pop_tri_v4:14:7118705:7122304:1 gene:Potri.014G106200.v4.1 transcript:Potri.014G106200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106200.v4.1 MEAQNPQHGHPVEISVDILDGEMSGGSKGCGEAPCGFSDTGNNSKNAKERSASMRKLWISVALCIVFMSAEVAGGIEANSLAILTDAAHLLSDVAGFAISLFSLWAAGWEATPRQSYGFFRIEILGALVSMQLIWLLAGILVYETIIRLIHGTSEVNGFLMFLVAAFGLLVNIIMALVLGHDHGPDHDHKHGTGHSHGTTVSTHNHHHVEHPKHDDNHHDHSNNEHHHAHEDHVEPLLDKGEAMHEKKQRNINVQGAYLHVLGDSIQSIGVMIGGAIIWYKPEWKIIDLICTLIFSVIVLGTTIKMLRNILEVLMESTPREIDATKIEKGLLEMEEVMAIHELHIWAITVGKILLACHVKIMPEANADMVLDNVISYLRREYNISHVTIQIER >Potri.014G112700.3.v4.1 pep chromosome:Pop_tri_v4:14:7595055:7596743:1 gene:Potri.014G112700.v4.1 transcript:Potri.014G112700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112700.v4.1 MEGAKDPRNGGDSSPKQVSKKPRTSGHITEQEIHEEFSHHNLNVARINNGSFGSCPGSVLAAQKNWQLQFLQQPDDFYFNTLRKGILHSRTVIKNLINADDVDEISLVDNATTAAAIVLQQIGRAFAEGKFAKNDTVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVNSNEEIIAEFKRGLGKGKANGRKIRLAIIDHITAMPCVVIPVKELVKICREEGVEQVFVDAAHAIGSVDINVKEIGADFYVSNLHKWFFCPPSVSFLYCKKASLEFDVHHPVVSHEYGNGLPIESAWVGTRDYSSQLVVPAALEFVNRFEDGIHGIMKRNHEEVVKMGKMLAESWRTNLGSPPEMCAGMIMVGLPSRLSVSSEDDASRLRSHLRDCHGVEVPIHYQGLRDGEEGVKDKDGVITAYARISHQIYNKFEDYCRFRDAVNHLAENRQIRRTISPE >Potri.014G112700.1.v4.1 pep chromosome:Pop_tri_v4:14:7594869:7598724:1 gene:Potri.014G112700.v4.1 transcript:Potri.014G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112700.v4.1 MEGAKDPRNGGDSSPKQVSKKPRTSGHITEQEIHEEFSHHNLNVARINNGSFGSCPGSVLAAQKNWQLQFLQQPDDFYFNTLRKGILHSRTVIKNLINADDVDEISLVDNATTAAAIVLQQIGRAFAEGKFAKNDTVLMLHCAYEAVKKSIQAYVTRAGGSVIEVQLPFPVNSNEEIIAEFKRGLGKGKANGRKIRLAIIDHITAMPCVVIPVKELVKICREEGVEQVFVDAAHAIGSVDINVKEIGADFYVSNLHKWFFCPPSVSFLYCKKASLEFDVHHPVVSHEYGNGLPIESAWVGTRDYSSQLVVPAALEFVNRFEDGIHGIMKRNHEEVVKMGKMLAESWRTNLGSPPEMCAGMIMVGLPSRLSVSSEDDASRLRSHLRDCHGVEVPIHYQGLRDGEEGVKDKDGVITAYARISHQIYNKFEDYCRFRDAVNHLAENRQIRRTISPE >Potri.006G099302.1.v4.1 pep chromosome:Pop_tri_v4:6:7600164:7609626:-1 gene:Potri.006G099302.v4.1 transcript:Potri.006G099302.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099302.v4.1 MFIKCLPRCMCHPKMAMVIDDRLKVWEDMDQPRVHVVPAFTPYYVPQAETANAIPVLCVARNVACNVRGCFFKEFVEILIRRMSEVFYEDEVKSLPPPPDVSNYMMAEDSGFVPNGNSNALFSEGMSDIEAERRLHQSDDKNVMDPVTHSITNSAEVRSEIPQPPVAIIPNIVGLTLSARLLPSQKPSLLGAPVRQDLSNQSSGQPPLLSRVPVAISSSTLQLQGCWLVEEDIGKAESNYRPSAIAQELDSLKSDKLRGTQNPFAHGASAYASSGFVSPASESKDEEALAGNDMHKQYLPAGEVGVSQNHVSSSSREFQAEAGKFNLLPSHLSIGVLQEIGQGCRSKVEFKSVVSTSKDLQFSVEVLFTGEKIGVGMGTTRKDAQQQAAENALRSLAEWICMGHYKSWIK >Potri.008G116400.1.v4.1 pep chromosome:Pop_tri_v4:8:7422979:7432485:1 gene:Potri.008G116400.v4.1 transcript:Potri.008G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G116400.v4.1 MSWRRVAKSSQGLIAHALLFSFTLLLSFKLDRAVSYYWWIVFAPLWLFHAVVARGRFSLPAPSMPHDRHWAPCHAVMATPLLVAFEILLCIHLESIYVVNLKIVFLPLLAFETAILIDNIRMCRALMPGDEESMSDEAIWETLPHFWVAISMVFFVAATIFTLLKLCGDLVVLGWWDLFINYGIAECFAFLVCTKWYNPVIHRHSRNGGPSSSSTSRYVDWNRGLMVSDEDDHQSSGICNPQEIGGHFLKIPFIGFQIMLFMRLEGTPPGAEHIPFLILFAPLLLIQGAGVLFAAYRLVEKIVLLLSIGAGSGRYFAVTSKARDYFEFLYRGSRLLGWWSIDEGSSEERARLYCAGGPGYNTFSAEIVKKKPKTELVDEIRRLHALLIEQTEITNFSQEEYERLQNDKILCRICFEGQINVVLLPCRHHALCSTCCEKCKKCPICRVPIEERLPVYDV >Potri.010G140900.1.v4.1 pep chromosome:Pop_tri_v4:10:15410813:15416184:1 gene:Potri.010G140900.v4.1 transcript:Potri.010G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140900.v4.1 MLKRDRSFGIEKMGIEKEGSKSGGGYVGGFFQLFDWTAKSRKKLFSSKSDLQELSKQGKRNGGSLRMTRLRLMDDDDTGAGSSIRGGSDYSCASSVTDDEGYGARAPGVVARLMGLDSMPTSNFLEPNSTPFFDTQSLRDASRGKRNFDYYHDHQITYSGNLLNKEDGPPRNLVKSKPQKVLSRPIEKFQTEILPPKSAKSIPTTHHKLLSPIKSPGFIPSKTAAHIMEAAAKIIEPSPLAVAKAKMPALGSSSLPLKVRDLKEKLEVAQKMPLVGSSSAAIRTREAKEKVEASHKTSRLAETSRRPVESSAAKHLKGQSLNKSWNGSDDTSYRAFSETDEDSSSSKTKVKSISLAIQAKFNVQRREGLNASSSQGFVGQKEQAEVSSSQPFKSHPNFQKSSQKRSPILKASGALRQNNQKQNCMMDKDKLPSKPLVSNLQGKKVLSGNPPARHKTFCKTFGSKNGSRKLASDSREVEKGTSNYSTRSNPRKKRSIDGNLHLEKNQVADKLLIDRNRKAVETNPVIDRHFSWVEESKRKGMDVVSFTFTAPLTRSMPGSETPTRVVQEKSGSCTDNRSKRLLLDTDSMNLSSGGYNVIGGDALSTLLEQKMRELTKTVESSSSLSTFSSGGTAPRLHDNKDESVSCIDRSDSCYDCHFLSTDPAALRLKRILQGVDEMDCSSKSNDSRKFLDCRRPSPVSVLEHSFSTESSSSLDSADSCITEGSRHCSSIQVQEVHGLSSSKKFHFVDVDTELSDSASSSSTGTVDRKHANMLAVTGLARSTKWEIEYVKKILCNIELMFQDFALGRASKIINPHLFHQLERRKDMFESDGVDARLERKVLFDCASECLDLRCRRYAGGGYKAWVKGTTMVRRKEWLGEDVCKEILEWSSMGDCMVDELVDKDMSSQYGRWLDFEIDAFAFGVEVESQIFNSLVNEVVADILLF >Potri.010G140900.2.v4.1 pep chromosome:Pop_tri_v4:10:15412112:15416228:1 gene:Potri.010G140900.v4.1 transcript:Potri.010G140900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140900.v4.1 MGLDSMPTSNFLEPNSTPFFDTQSLRDASRGKRNFDYYHDHQITYSGNLLNKEDGPPRNLVKSKPQKVLSRPIEKFQTEILPPKSAKSIPTTHHKLLSPIKSPGFIPSKTAAHIMEAAAKIIEPSPLAVAKAKMPALGSSSLPLKVRDLKEKLEVAQKMPLVGSSSAAIRTREAKEKVEASHKTSRLAETSRRPVESSAAKHLKGQSLNKSWNGSDDTSYRAFSETDEDSSSSKTKVKSISLAIQAKFNVQRREGLNASSSQGFVGQKEQAEVSSSQPFKSHPNFQKSSQKRSPILKASGALRQNNQKQNCMMDKDKLPSKPLVSNLQGKKVLSGNPPARHKTFCKTFGSKNGSRKLASDSREVEKGTSNYSTRSNPRKKRSIDGNLHLEKNQVADKLLIDRNRKAVETNPVIDRHFSWVEESKRKGMDVVSFTFTAPLTRSMPGSETPTRVVQEKSGSCTDNRSKRLLLDTDSMNLSSGGYNVIGGDALSTLLEQKMRELTKTVESSSSLSTFSSGGTAPRLHDNKDESVSCIDRSDSCYDCHFLSTDPAALRLKRILQGVDEMDCSSKSNDSRKFLDCRRPSPVSVLEHSFSTESSSSLDSADSCITEGSRHCSSIQVQEVHGLSSSKKFHFVDVDTELSDSASSSSTGTVDRKHANMLAVTGLARSTKWEIEYVKKILCNIELMFQDFALGRASKIINPHLFHQLERRKDMFESDGVDARLERKVLFDCASECLDLRCRRYAGGGYKAWVKGTTMVRRKEWLGEDVCKEILEWSSMGDCMVDELVDKDMSSQYGRWLDFEIDAFAFGVEVESQIFNSLVNEVVADILLF >Potri.008G032700.1.v4.1 pep chromosome:Pop_tri_v4:8:1768429:1773345:1 gene:Potri.008G032700.v4.1 transcript:Potri.008G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032700.v4.1 MKLSNFCVLLICHFTSFIPFIIETTAFNLTLPHQHPDPEAVAEDVKRRVNASLSRRNLLSIQEKDQCQTGNPIDDCWRCDPNWANNRQRLADCAIGFGQGSLGGRGGQIYLVTDSSDHNPANPTPGTLRYAVIQDQPLWIIFSSDMVIKLKHELIFNSYKTIDGRGANVHITGNGCITLQHVTHIIIHNIHVHHCKPSGNTNIASSPTHVGQRGESDGDGISISGSQKIWIDHCSLSYCTDGLIDAILGSTAITISNNHFTHHNEVMLLGHNDKYVLDSGMQVTIAFNHFGVGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPADDNAKEVTKRVDTNEGEWADWNWRTDEDILVNGAFFVPSGAGLSEQYSKASSVEPRSARLITELTMNAGVFGDPRDDSGGISNPGFSGAGTSTVTPTTGTQGTGAGGGTDGDYFGMIFGSGSSLPRPPTSISLIFLSLLIILALSTITNNGALLSFPLLSL >Potri.008G032700.3.v4.1 pep chromosome:Pop_tri_v4:8:1768765:1773556:1 gene:Potri.008G032700.v4.1 transcript:Potri.008G032700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032700.v4.1 MKLSNFCVLLICHFTSFIPFIIETTAFNLTLPHQHPDPEAVAEDVKRRVNASLSRRNLLSIQEKDQCQTGNPIDDCWRCDPNWANNRQRLADCAIGFGQGSLGGRGGQIYLVTDSSDHNPANPTPGTLRYAVIQDQPLWIIFSSDMVIKLKHELIFNSYKTIDGRGANVHITGNGCITLQHVTHIIIHNIHVHHCKPSGNTNIASSPTHVGQRGESDGDGISISGSQKIWIDHCSLSYCTDGLIDAILGSTAITISNNHFTHHNEVMLLGHNDKYVLDSGMQVTIAFNHFGVGLVQRMPRCRRGYIHVVNNDFTAWEMYAIGGSANPTINSQGNRYTAPADDNAKEVTKRVDTNEGEWADWNWRTDEDILVNGAFFVPSGAGLSEQYSKASSVEPRSARLITELTMNAGVFGDPR >Potri.010G213700.3.v4.1 pep chromosome:Pop_tri_v4:10:20167533:20178402:-1 gene:Potri.010G213700.v4.1 transcript:Potri.010G213700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213700.v4.1 MMMMNQGVNAQTIASVDPNLLEGRYVVDANQGKKSYIPSVNGSETAPWTTHRVDNHSTENGILSNSSYHHDQQTQQPARNAQDSLSTTSLSSSSTQGTTNGVQQDYSSYAAYNPTDPYGSTGYSTSYYNNGYQQQTNHSYSQQQPNHSYSQQQPSHSYSQQQQPSHSYSQQQQPSHSYSQQQQPSHSYSSTVGAYQNTGAPYQPLSSFQNTGSYTGTTSYSTTYYNPGDYQTAGGYPSSGYNNHTTLWNDPNYANYTSQQYSTYAPDTTSAYSSGTAASTSMNNEQRYKQWADYYSQTEVICAPGTEHLSAASTSNQGSAVSGVYPTSSTQPPSSFTPTSQRTESASSDLPSLQTSATISSAHDGWKQGAPSFQIHHASPTQPHFQYSLDSKASYDNFQEQQQTAHQGPNSQFPAAHQVTQSYQSPLPNAPSLDTRRVNMMQIPTNPRIASNLALGLSKTDKDGSVTNAAAKPAYISVSMPKPNNKVLSNDTTISMLKPGMFPKSLCHYVERAFDLCKDDTQRVACQAIMKEIITKATANGTLNTLDWDAEPLFAIPNSEAVNVESSQYSTPVSSVPKYKRSPSRRSKSRWEPLPEEKSVDKSVSTSNDIVKYGGWDRKAPSVNSESKENAVNNVKFSLSEQKRPSKNNQRPVKRQHLADGLDAAYNDASSDSDKEQGLTAYYSSAISIANTPEEKKRRESRSKRFEKGQGYRTEVNYFKPKNAGAGNLYSRRASASMLSKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLALEAGDLPEYNQCQSQLKTLYAEGIEGRHMEFAAYNLLCVILHSNNNRDLVSSMSRLTEGTKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSWSYRPTIPVSYIAQVLGFSSASDGNDEKDSDGSGLEECVEWMKAHGACLTTDNNGEMQLDTKASSSSLYMPEPEDAVAHGDANLAVNDFLTRTSL >Potri.010G213700.2.v4.1 pep chromosome:Pop_tri_v4:10:20167561:20178453:-1 gene:Potri.010G213700.v4.1 transcript:Potri.010G213700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213700.v4.1 MNNEQRYKQWADYYSQTEVICAPGTEHLSAASTSNQGSAVSGVYPTSSTQPPSSFTPTSQRTESASSDLPSLQTSATISSAHDGWKQGAPSFQIHHASPTQPHFQYSLDSKASYDNFQEQQQTAHQGPNSQFPAAHQVTQSYQSPLPNAPSLDTRRVNMMQIPTNPRIASNLALGLSKTDKDGSVTNAAAKPAYISVSMPKPNNKVLSNDTTISMLKPGMFPKSLCHYVERAFDLCKDDTQRVACQAIMKEIITKATANGTLNTLDWDAEPLFAIPNSEAVNVESSQYSTPVSSVPKYKRSPSRRSKSRWEPLPEEKSVDKSVSTSNDIVKYGGWDRKAPSVNSESKENAVNNVKFSLSEQKRPSKNNQRPVKRQHLADGLDAAYNDASSDSDKEQGLTAYYSSAISIANTPEEKKRRESRSKRFEKGQGYRTEVNYFKPKNAGAGNLYSRRASASMLSKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLALEAGDLPEYNQCQSQLKTLYAEGIEGRHMEFAAYNLLCVILHSNNNRDLVSSMSRLTEGTKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSWSYRPTIPVSYIAQVLGFSSASDGNDEKDSDGSGLEECVEWMKAHGACLTTDNNGEMQLDTKASSSSLYMPEPEDAVAHGDANLAVNDFLTRTSL >Potri.010G213700.6.v4.1 pep chromosome:Pop_tri_v4:10:20167516:20178336:-1 gene:Potri.010G213700.v4.1 transcript:Potri.010G213700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213700.v4.1 MMMMNQGVNAQTIASVDPNLLEGRYVVDANQGKKSYIPSVNGSETAPWTTHRVDNHSTENGILSNSSYHHDQQTQQPARNAQDSLSTTSLSSSSTQGTTNGVQQDYSSYAAYNPTDPYGSTGYSTSYYNNGYQQQTNHSYSQQQPNHSYSQQQPSHSYSQQQQPSHSYSQQQQPSHSYSQQQQPSHSYSSTVGAYQNTGAPYQPLSSFQNTGSYTGTTSYSTTYYNPGDYQTAGGYPSSGYNNHTTLWNDPNYANYTSQQYSTYAPDTTSAYSSGTAASTSMNNEQRYKQWADYYSQTEVICAPGTEHLSAASTSNQGSAVSGVYPTSSTQPPSSFTPTSQRTESASSDLPSLQTSATISSAHDGWKQGAPSFQIHHVTQSYQSPLPNAPSLDTRRVNMMQIPTNPRIASNLALGLSKTDKDGSVTNAAAKPAYISVSMPKPNNKVLSNDTTISMLKPGMFPKSLCHYVERAFDLCKDDTQRVACQAIMKEIITKATANGTLNTLDWDAEPLFAIPNSEAVNVESSQYSTPVSSVPKYKRSPSRRSKSRWEPLPEEKSVDKSVSTSNDIVKYGGWDRKAPSVNSESKENAVNNVKFSLSEQKRPSKNNQRPVKRQHLADGLDAAYNDASSDSDKEQGLTAYYSSAISIANTPEEKKRRESRSKRFEKGQGYRTEVNYFKPKNAGAGNLYSRRASASMLSKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLALEAGDLPEYNQCQSQLKTLYAEGIEGRHMEFAAYNLLCVILHSNNNRDLVSSMSRLTEGTKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSWSYRPTIPVSYIAQVLGFSSASDGNDEKDSDGSGLEECVEWMKAHGACLTTDNNGEMQLDTKASSSSLYMPEPEDAVAHGDANLAVNDFLTRTSL >Potri.010G213700.5.v4.1 pep chromosome:Pop_tri_v4:10:20167535:20178354:-1 gene:Potri.010G213700.v4.1 transcript:Potri.010G213700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213700.v4.1 MMMMNQGVNAQTIASVDPNLLEGRYVVDANQGKKSYIPSVNGSETAPWTTHRVDNHSTENGILSNSSYHHDQQTQQPARNAQDSLSTTSLSSSSTQGTTNGVQQDYSSYAAYNPTDPYGSTGYSTSYYNNGYQQQTNHSYSQQQPNHSYSQQQPSHSYSQQQQPSHSYSQQQQPSHSYSQQQQPSHSYSSTVGAYQNTGAPYQPLSSFQNTGSYTGTTSYSTTYYNPGDYQTAGGYPSSGYNNHTTLWNDPNYANYTSQQYSTYAPDTTSAYSSGTAASTSMNNEQRYKQWADYYSQTEVICAPGTEHLSAASTSNQGSAVSGVYPTSSTQPPSSFTPTSQRTESASSDLPSLQTSATISSAHDGWKQGAPSFQIHHVTQSYQSPLPNAPSLDTRRVNMMQIPTNPRIASNLALGLSKTDKDGSVTNAAAKPAYISVSMPKPNNKVLSNDTTISMLKPGMFPKSLCHYVERAFDLCKDDTQRVACQAIMKEIITKATANGTLNTLDWDAEPLFAIPNSEAVNVESSQYSTPVSSVPKYKRSPSRRSKSRWEPLPEEKSVDKSVSTSNDIVKYGGWDRKAPSVNSESKENAVNNVKFSLSEQKRPSKNNQRPVKRQHLADGLDAAYNDASSDSDKEQGLTAYYSSAISIANTPEEKKRRESRSKRFEKGQGYRTEVNYFKPKNAGAGNLYSRRASASMLSKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLALEAGDLPEYNQCQSQLKTLYAEGIEGRHMEFAAYNLLCVILHSNNNRDLVSSMSRLTEGTKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSWSYRPTIPVSYIAQVLGFSSASDGNDEKDSDGSGLEECVEWMKAHGACLTTDNNGEMQLDTKASSSSLYMPEPEDAVAHGDANLAVNDFLTRTSL >Potri.010G213700.4.v4.1 pep chromosome:Pop_tri_v4:10:20167535:20178336:-1 gene:Potri.010G213700.v4.1 transcript:Potri.010G213700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213700.v4.1 MMMMNQGVNAQTIASVDPNLLEGRYVVDANQGKKSYIPSVNGSETAPWTTHRVDNHSTENGILSNSSYHHDQQTQQPARNAQDSLSTTSLSSSSTQGTTNGVQQDYSSYAAYNPTDPYGSTGYSTSYYNNGYQQQTNHSYSQQQPNHSYSQQQPSHSYSQQQQPSHSYSQQQQPSHSYSQQQQPSHSYSSTVGAYQNTGAPYQPLSSFQNTGSYTGTTSYSTTYYNPGDYQTAGGYPSSGYNNHTTLWNDPNYANYTSQQYSTYAPDTTSAYSSGTAASTSMNNEQRYKQWADYYSQTEVICAPGTEHLSAASTSNQGSAVSGVYPTSSTQPPSSFTPTSQRTESASSDLPSLQTSATISSAHDGWKQGAPSFQIHHASPTQPHFQYSLDSKASYDNFQEQQQTAHQGPNSQFPAAHQVTQSYQSPLPNAPSLDTRRVNMMQIPTNPRIASNLALGLSKTDKDGSVTNAAAKPAYISVSMPKPNNKVLSNDTTISMLKPGMFPKSLCHYVERAFDLCKDDTQRVACQAIMKEIITKATANGTLNTLDWDAEPLFAIPNSEAVNVESSQYSTPVSSVPKYKRSPSRRSKSRWEPLPEEKSVDKSVSTSNDIVKYGGWDRKAPSVNSESKENAVNNVKFSLSEQKRPSKNNQRPVKRQHLADGLDAAYNDASSDSDKEQGLTAYYSSAISIANTPEEKKRRESRSKRFEKGQGYRTEVNYFKPKNAGAGNLYSRRASASMLSKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLALEAGDLPEYNQCQSQLKTLYAEGIEGRHMEFAAYNLLCVILHSNNNRDLVSSMSRLTEGTKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSWSYRPTIPVSYIAQVLGFSSASDGNDEKDSDGSGLEECVEWMKAHGACLTTDNNGEMQLDTKASSSSLYMPEPEDAVAHGDANLAVNDFLTRTSL >Potri.009G024800.1.v4.1 pep chromosome:Pop_tri_v4:9:3655523:3659054:1 gene:Potri.009G024800.v4.1 transcript:Potri.009G024800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024800.v4.1 MASLTPGLLSKLLESAGNKDVRVTGEHRSALLQVIEIVPSLSGAPNDPWQSQGFFVKVSDSLHSAYASISDEDLDLIYSDKIQLGQFVYVSRFEVSASGSPVPVLRGLKPVPNKRRPCVGNPKDLVSSDSLPSSGISYVTSTDFSKDKEKKKLISRQRLSKISNIVKKSDIVVEDSKHMKRDSLDQTRRLSLDSARRIWEHQTPTPKTVPLNKSSKTVRSDKKVPSKIDSSHKRLSLSLSPLKTKNAISSSIPTVKPLKKDLKSATDHVIPSRLVQVPLISKTWSKYRISWDAIPPAIHHLGKETLCCKNAAVLAAARALEEASAADNVIHCMQSFAELHESAQSASSGPLVEQYLDLYQNIQRSAKIVNSLLSDASLLETKASNYDSLQRVFPDVRKSSRNTNAESWVHAAIQTNLSKFSLLKKPEKSGVLDIDKCYYVILDNSLQELNSENQLPQNKPCLRNHSNYIPDLSAKRVPSSKRHLASVKKVNPERRDCPRGSGLKETASLAEKLLLDSREWFLRYMEDSLNVGFGLCEGKISEIAGFLGQLRRVNQWLDDLVGGGLKVDARIEGLKKKLYGFLLEYVDSATVTGK >Potri.001G067900.1.v4.1 pep chromosome:Pop_tri_v4:1:5109070:5111862:1 gene:Potri.001G067900.v4.1 transcript:Potri.001G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067900.v4.1 MLRVAGRRLSSLPWRSSQSPTTSAFVSRNTAFVSDDGRDSAASSRPILSPYQFSFPPDLIRGFSSGALTSGHDMGIISDLPPTVAAVKNPTSKIVYDEHNHERYPPGDPSKRAFAYFVLTGGRFVYASLIRLLVLKFVLSMSASKDVLAMASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEDDIKLANSVDLASLRDPQEDAARVKNPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPYNLEVPTYSFMEENKLLVG >Potri.018G013000.1.v4.1 pep chromosome:Pop_tri_v4:18:864068:868936:-1 gene:Potri.018G013000.v4.1 transcript:Potri.018G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013000.v4.1 MAQNTTFIPVNVGLVLDLDYLEANIALSCINMALSDFYATHGDYKTRMVLTTRDSKKDVVAAAAAALDLIKNVEAQAILGPTTSMQANFVIGLGEKAQVPIISFSASSDSLTSTRSPYFFRATQNDSSQVNGIGALVQAFGWKEAVPIYMDNEYGEGVIPYLTDALQAVDAHVPYRSVISPSATDDQIAEELYKLMTMQTRVFIVHMFASLGARLFVKAKEIGMMSKGYVWIMTDGPTVGLSTSPDPSVIDSMQGVLGIKPYIPKTTELGNFRLRWKRKFQQDNADMVDAELNIYALQAYDATVALAMAIEKAGTTNLGFREANVSNTSVTDLENLGISQNGPNLLRALSNTSFKGVTGDFFFINGQLQPSAFEIVNMNGNGERRIGFWIPRKGLAKTLNSIANTTSTYSTSKSSLAPVIWAGDSTSIPKGWEIPTNGKKLRIGVPRSSTFGQFVKVTTDLNSNMTTVTGYCIAIFEAAVDALPYAITYEYIPFVKPDGRSAGTYNDLVYQVYLKSYDAVVGDTTIIANRSKYVDFTLPYTESGVSMIVPIKDSRNKNAWVFLKPLTWDLWVTSFCFFVLIGFVVWVLEHGINEDFQGPPSHQIGTSFWFSFSTMVFAHRERVVSNLARIVVTIWCFVVLILTQSYTASLSSVLTVQQLQPTVTNVDELIKKGEFVGYQPNSFVLGILKNLGFDDSRLMAYNTPEECDELFSRGSGNGGIAAAFDEVPYMKFFLSMYCSKYTIIEPTFKTGGFGFVFPKGSPLVADVSRAVLNVTEGDKMKEIEDAWLGTQSSCQESSTSVSSNSLSLKSFWGLFLITGIASISALMIFTTIFVYEHRAVLLQPSDLRATTVEQSSCFVQNLHSNGLNIQ >Potri.019G019100.2.v4.1 pep chromosome:Pop_tri_v4:19:3114232:3132583:-1 gene:Potri.019G019100.v4.1 transcript:Potri.019G019100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019100.v4.1 MMQQQQQTGDGIADLAQLQSTMHAIELACSSIQMHINPAAAEATILSLNQSPQPYKACQFILGNSQMGMAKFQAAAAIRDAAIREWSLLTSDDKRSLISFCLCYVMQHAGSPEGYVLAKVSSVAAQLMKRGWIDFTAAEKETFFYQINQAILGIHGVDVQFSGVNFLESLVSEFSPSTSTAMGLPREFHEQCRMLLEKDYVKTFYCWAQNAAASVTRRITDSNTEVPEVKVCTAALRLMLQILNWDFRYNTTGTKGGRNAFLPGVRGHSSPKKSDCTIVQPGPAWRDVLISSGHVGWLLGLYATLREKFSRGGYWLDCPLAVSARKLIIQFCSLTGTIFLSDDGQMQEHHLLQLLSGIIQWIDPPDAVSQAIEDGKSESELLDGCRALLSIATVTNPIVLDNLLKSIRPFGTLAFLSTLMCQVIKVLLTKNCEEGTWSWEARDILLDTWTTLLVDSTGGTELLPPEGINAAANLFALIVDSELRVASTSAMSGDDDDDLYYLQASITAMDERLNSYAFIARAAIDVAIPLLTRLFSERFAALHQGRGIVDPTPTLEELYSLLLITGHVLADEGEGETPLVPNTIQTHFLDTVEADKHPVVVLSISIINFAQQSLDPEMRASVFSPRLMEAVIWFLARWSRTYLLSEEIRDSTLNSGYQQQQQHSRKALLSFFGETYQGKFVLDIIVRISVTTLLSYPGEKDLQELTCSKLLHALVRRKNICGQLVQLGSWRELANAFANEQTFFVLNSSNQRSLAQTLVLSASGMGNSGASNQYVRNLMGHMTKYLVELSNKRDLKNVAQQPDVILQVSCLLERLRGAASASEPRTQRALYEMGLSVMNPILVLLEVYKHESAVVYLLLKFVVDLVDGQISYLESQETAVVVDFCMRLLQLYSSHNIGKISLSLSSSLLSEAKTEQYKDLRALLQLLSSLCSKDLVDFSSDSVETPGTNISEVVYFGLHIVTPLISLELLKYPKLCHDYFSLLSHLLEVYPETVAQLNNEAFGHVLGTLDFGLRHQDTEVVNMCLSALKALACYHYKETGAGKTGLGSHASGVEDSSGNTQEGILSRFLQLLMQLLLFEDYSPDLVGPAADALFPLILCEQVLYQKLAKELIERQTNPTLRSRMASALQSLTSANQLSSTLDRMNYQRFRKNVNNFLIEVRGFLRTI >Potri.014G192500.2.v4.1 pep chromosome:Pop_tri_v4:14:16906805:16914277:-1 gene:Potri.014G192500.v4.1 transcript:Potri.014G192500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192500.v4.1 MPTNPRVVKAFRAMKALGISEKQVKPVLKKMLKLYEKNWELIEEENYRALADAIFDEEESKVPDENDDATEGTFEEKTRISNEPERPFKRLRRGQDGQGSSPPNNSDLVLAGSPSRKPKVQGKVLPVAKSQQQSLETRNSQPRPISLQNPAGNMSSQTVSPGCLAVQEHSSQSDLSDMDGTLLSDSLLSWKQRSYKGKEPLLPAAAPQEKRPTLKGSSQAVHFKDPVVQPSAFLSPKQKVPHSRALIKPKDEPFTGDMPFEDAMQSIAIIRPDSASKEQSLIQRVSSRKQHHQEPPASQFLAGEDNVPVSSSPARDSCELATIPEDSPASLEIATSALGEVKISLSCNSMLGRPDFHMPSQDELLQSMQDKCLRSYKILDPNFSVMQMLKDMCECFLDLATDSSHEHESQERILNVTPALDLLKKSVGVGGIKENNHVPAYVARVSVDARHFDEVAAFQIPRPLQPPNVLEVVQVSEEAIENGCSGSGKVNEFRDAEFGSLIVVPQSQLTPDEFRSLHYRTDITKGEEMVEIPWLNEVNSEFPPFFNYIPRNLIFQNAYVNFTLSQIRAENCCLACIGNCLLSSTPCVCSSDTEHGFAYTLEGLVKEDFLEDCISLTRDPQRQCLSYCRDCPLERSKNDEILEPCKGHVKRKYIEECWSKCGCHKQCGNRVVQRGIRCKLQVFFTPEGKGWGLRTLEILPKGTFVCEYVGEILTNKELYERKMQRTSSSKTEKHAYPVLLDADWCMKGVVKDEEALCLDATFYGNIARFINHRCLDANMIEIPVKIETPDHHYYHLAFFTTRGVNALEELTWDYGIDFDDTDQPVEVFPCRCGSKFCRNMKRSNRSNSAAR >Potri.017G099100.1.v4.1 pep chromosome:Pop_tri_v4:17:11114275:11117438:1 gene:Potri.017G099100.v4.1 transcript:Potri.017G099100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099100.v4.1 MVEPATDTKSAAKVVPLLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSKIIKVPEGFDYELYNRNDINKILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAINALEQHIKNILSPSTPFFFNTLYDPYRDGADFVRGYPFSLREGVPTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTIPKGTLFPMCGMNLCFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEEIIPFFQAATLSKDCTTVQKCYIELSKQVKEKLGKVDPYFDKLADAMVTWIEAWDELNPSGASAKATNGKA >Potri.007G137200.1.v4.1 pep chromosome:Pop_tri_v4:7:14868383:14876889:1 gene:Potri.007G137200.v4.1 transcript:Potri.007G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137200.v4.1 MAPETNKASGSGGATKNDEPVKAPPSKKKEEKKDDDLSEEDLALKQQLELYVERVQDPEPGVQKLALESLRQEIRSSTSSMTSVPKPLKFLRPHYGTLKAHYEKMSEADLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEYTKRQSEEASNDDLIELVQQIVAFHMKHNAEPEAVDLLMEVEDLNILSKYVDKTNFKRTCLYLTSAAKYLPGPDDLVVLKIAYEIYIKFTEYASALQIALFLNDLQYIKQVYTSCDDVQQKKQFSYILARHGTAFELDDDIASDDIDREVLEEIINNTKLSEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGSSVDSAKQNLAATFVNAFVNAGFGQDKLMTPPTDSSSGGSGNWLFKNKEHGKTSAAASLGMILMWDVDSGLAQLDKYFHSNDNHVISGALLGVGIVNCGIRNDCDPALALLDDFVDKEEPSIRIGAIMGLGIAYAGTQNEQICRKLSLVLNDAKAPLDVIAFAAISLGLVCVGSCNEVVAHAIILSLMERSKSDLQDPLTRFLPLGLGLLYLGKQESVEATAEVSKTFDEKIRKYCYMTLLSCAYAGTGNVLKVQNLLGRCAEILEKGETHQGPAVLGIAMIAMAEELGLEMAIRSLEHLLQYGQQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVISLGLMGAGTNNARIAGMLRNLSSYYYKDSSLLFCVRIAQGLVHLGKGLLTLNPYHSDRFLLSPTALAGLITMLHACLDMKAIIFGKYHYVLYFLVLAMQPRMLLTVDENLKPLPVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLTAILEGFVILKENPDYREDQ >Potri.015G138000.2.v4.1 pep chromosome:Pop_tri_v4:15:14608161:14615238:-1 gene:Potri.015G138000.v4.1 transcript:Potri.015G138000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138000.v4.1 MLSQMLQLLLVACGRKGYLILGKGIHGLIIERGFGLGLEVSNALMDMYVKCESLPEEKQVFDELPEKDIVSWTSIICGMVQCKFPKEVLELFCDVQSSGIEPDGIILTSVLSARASPGALDYGRLVREYIDHKAIKWDIQIGTAVVDMYAKCGCIEMAMQIFNVMPHKNVLTWNAMLNGLAMHGHGQKALQLFEEMVREGMRPNEVTFLVTLTACCHCGFVGEGRRYFHWMKSQQYNLPPRLEHYGCMVDLLCRAGLLDEALELTKAMPMLPDVRIMGALLSTCKANGNVELPREILDRLVEFDSRDSGVYVLLSNILAINQRWADVTRIRRLMKMGIEKTPGSSVIEVDGKAHEIIVGDLRYPQDKHIRLFLKSLSDTQIKSFLKSILNGHS >Potri.008G073600.2.v4.1 pep chromosome:Pop_tri_v4:8:4565904:4568159:-1 gene:Potri.008G073600.v4.1 transcript:Potri.008G073600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DREB2 MGTLIQGSNATSMSMDSTKKRKRASDKSVAETLQKWKEYNEHLDAQGDGNNKPVRKVPAKGSKKGCMKGKGGPENSVCNYRGVRQRTWGKWVAEIREPNRGPRLWLGTFPTAYEAALAYDNAARAMYGSCARLNIPEVVNSTSSSKDNFSAVTPSYYSSAASPADSVTTSTHSEVCAYEDPNQNVLSQAEDWMTNISSQAEVCEQNVSSQAEVYEQNVSSQHIEDCSRGVEKNSKLSQDELKIQSENPSWTNDWHSYGWDEIFSVEELLGDIDSGMTGAEGYFSLGF >Potri.008G149800.1.v4.1 pep chromosome:Pop_tri_v4:8:10233170:10237895:1 gene:Potri.008G149800.v4.1 transcript:Potri.008G149800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149800.v4.1 MGKKQKKAEAETDAERVENDKAENELELTNGDSHKKKKKKKNKERNESDKEVIKAKEIPTVSVAISGSIINNAQSLELATRLAGQIARAATIFRIDEVVVFDNKSNYEKEDRTLTTDNYSDENESGAAFFVRILRYLETPQYLRKALFPKHCNLRFVGMLPPLDAPHHLRKHEWAPFREGVTLNEKVPNSGETLVDVGLSKNVSINQVLEPGIRVTVAMGTNRNLDSDSPRQVVSLLKPREEAGMYWGYRVRYASNISSVFKDCPYKGGYDHLIGTSEHGLIINSSELSLPAFRHLLIAFGGLAGLEECIEEDSNLKGKNVREVFDSYLNTCPHQGSRTIRTEEAIFISLQYFQEPINRALHRVQL >Potri.011G165600.2.v4.1 pep chromosome:Pop_tri_v4:11:19000868:19003449:1 gene:Potri.011G165600.v4.1 transcript:Potri.011G165600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165600.v4.1 MADKQAGKQVDFGKGEDKEKWVKHYSSKHQILLVGEGDFSFSWCLARSFGSGSKIVASSLDSYDAVIQKYKKAKSNLESLKELGASTLYGVDATKMKHHLPLRMQKFDRIIFNFPHAGFYLKEDNNLMIEMHKELVGNFFGNANDMLQAYGEIHVTHKTSSPFCHWNILELARRNSLEFIGRDDFKMEDYPGYSNKRGEGDRCDQPFPLGECSTFKFRSSHTDKQIYGVINNSDSALKRSRQIQGNPMEIWKRQKITFDRGIPQTTFSMNSKDFSDYRLTPLAVDITMEDASHSFGTCGRGFTHWSNSVNEVPMSEFGRHMVRFSEIFMHGNPYTSHGLQRINQPFSNGDSLSQHREIVRRYGHHPEGTRGFV >Potri.011G108800.1.v4.1 pep chromosome:Pop_tri_v4:11:13861904:13869815:1 gene:Potri.011G108800.v4.1 transcript:Potri.011G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108800.v4.1 MREEQIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTDDPADIYMQAQALFLGRHYRRAYHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLLMLGDAKVDEHGNVYDTKDCNVMYLDKDSEDREINISSAICFLRGRAYEALENRALARHWYKAAIKADPLCYEALECLIENHMLTFEEETSLLSSLQFGPEDRWLSSFYSCLIKKYDKECVIEAKFREVEKESCNSNPSSPSFMHTLKNNTDLLACKAEYFNQCGEYQKCFELTSDLLEKDPFHLKCTLVHLAAAVELGNSNELYLMASNLVKDYPQKTLSWFAVGCYYYCIKKYDQSRRYFSKATSLDGTFAPAWIGFGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSYKLAEQFFMQAKTICPSDPLVYNELGVVAYNMKEYNKAVLWFEKTLKHIPSLSQLWEPTVINLAHAYRKLKIYHEAISCYERALALSTRSLSTYAGLAYTYHLQDNFTAAITCYHKALWLKPDDQFCTEMLSLALVDEGRRGIDPKIEFR >Potri.016G089000.2.v4.1 pep chromosome:Pop_tri_v4:16:7142669:7147025:-1 gene:Potri.016G089000.v4.1 transcript:Potri.016G089000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089000.v4.1 MGNISSNNVNGRRRHGSRQSHPPPPPPAPPQPEITPSRYVFTAATPYPSQYPNPNPPPYYQYPGYYPPAMPVQSPAPYDHRNRVDHPAAHWVGGRYPVMPQPAPYVEHQKTVTIRSDVNLKKESLRLEPDEENPGSYLVTFTFDATVAGSITIIFFAKEGEDCVLTPTKADLPPVTVNFPQGLGQKFRQPSGTGIDFTLFEGKELLKEGEMDAYPLAVKAEASPANHNGTEGNQMSEPMNSQVTQAIFEKEKGEYQVRVMKQILWVNGRRYELQEIYGIGNSVEGDVDANDPGKECVICLSEPRDTTVLPCRHMVSLSLPVCVCVHAKGKYKEVMLFTHSSYFRELLFNQGLFIVLEFCSFKL >Potri.016G089000.1.v4.1 pep chromosome:Pop_tri_v4:16:7140928:7146984:-1 gene:Potri.016G089000.v4.1 transcript:Potri.016G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089000.v4.1 MGNISSNNVNGRRRHGSRQSHPPPPPPAPPQPEITPSRYVFTAATPYPSQYPNPNPPPYYQYPGYYPPAMPVQSPAPYDHRNRVDHPAAHWVGGRYPVMPQPAPYVEHQKTVTIRSDVNLKKESLRLEPDEENPGSYLVTFTFDATVAGSITIIFFAKEGEDCVLTPTKADLPPVTVNFPQGLGQKFRQPSGTGIDFTLFEGKELLKEGEMDAYPLAVKAEASPANHNGTEGNQMSEPMNSQVTQAIFEKEKGEYQVRVMKQILWVNGRRYELQEIYGIGNSVEGDVDANDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRHPVDRLLEIKVNNAPDE >Potri.009G118651.4.v4.1 pep chromosome:Pop_tri_v4:9:9958002:9961522:1 gene:Potri.009G118651.v4.1 transcript:Potri.009G118651.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118651.v4.1 MSHWTQTSCRKENEAPKRLGDDSGEVSHGRKHHQSLSGPEMETCSSKLVGGLGELIEGKGIDFMKENRTMSSKKLRNEVFEGQSSFPVFKPSQDRDSVLSLKNGVSLGRTADYLSLMPGQAPPEVEIQRRKPQFQTEDINLAPEKQVKSNSLLERSSRVVSTPIQDDFVRSTAVTVPCEFEGRRAPIQSFFYGLDHINEPGCASLVHKKKMNKNDGLLFCDPSTSNNQLRDFFGKSFQTVPNHSDFELFSSQISPRDNIKLEKLYHGSYALPSLPSVHDVGTMRMRATIDSTEEFSRGPPKFTQTTHRFFITKKTDVNLPDGAQMFRESATSTEFKGKMVTELLALSPDFGFHVKQGEQMQPLGSSTESEGKENTGNVKASAVVKENDSSADGIENTENVKTSAVDEENDSSADGIENTENVKTSAVDEENDLSAETDAMDIHAFCENHISGVASLQSHKDISEGQKSPASQAGVPPVRQETKGRQMNTELPDIKQELPVLPGVARSPDDMETSTSRTQSLDVECFLPHPEHSTNSKSSDCHNAPSRLDPYSRWVKRLKPSASDSFGYGTKSSKVEEASSRRKFNKLISKMPRHRKSISEPKKSKSCGKEQAVTDQTAESPRNAKSYSTYSARKSQEITLSHAWVQRWCHKPSASPKKKPKAVVVSEPECSVATLDLQKKQFASIAAMALMGKAMNGFRPCEFRKRGSSVIWNTRGFRDELS >Potri.009G118651.5.v4.1 pep chromosome:Pop_tri_v4:9:9958016:9961882:1 gene:Potri.009G118651.v4.1 transcript:Potri.009G118651.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118651.v4.1 MSHWTQTSCRKENEAPKRLGDDSGEVSHGRKHHQSLSGPEMETCSSKLVGGLGELIEGKGIDFMKENRTMSSKKLRNEVFEGQSSFPVFKPSQDRDSVLSLKNGVSLGRTADYLSLMPGQAPPEVEIQRRKPQFQTEDINLAPEKQVKSNSLLERSSRVVSTPIQDDFVRSTAVTVPCEFEGRRAPIQSFFYGLDHINEPGCASLVHKKKMNKNDGLLFCDPSTSNNQLRDFFGKSFQTVPNHSDFELFSSQISPRDNIKLEKLYHGSYALPSLPSVHDVGTMRMRATIDSTEEFSRGPPKFTQTTHRFFITKKTDVNLPDGAQMFRESATSTEFKGKMVTELLALSPDFGFHVKQGEQMQPLGSSTESEGKENTGNVKASAVVKENDSSADGIENTENVKTSAVDEENDSSADGIENTENVKTSAVDEENDLSAETDAMDIHAFCENHISGVASLQSHKVTFTVFLSLSLCPSSAFLVLTTFSKTCEGKNIILCTLFKDNKSDLLIYIGLGQQFYTAVLVATCYSRNLVDFYLGL >Potri.009G118651.1.v4.1 pep chromosome:Pop_tri_v4:9:9958016:9961914:1 gene:Potri.009G118651.v4.1 transcript:Potri.009G118651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118651.v4.1 MSHWTQTSCRKENEAPKRLGDDSGEVSHGRKHHQSLSGPEMETCSSKLVGGLGELIEGKGIDFMKENRTMSSKKLRNEVFEGQSSFPVFKPSQDRDSVLSLKNGVSLGRTADYLSLMPGQAPPEVEIQRRKPQFQTEDINLAPEKQVKSNSLLERSSRVVSTPIQDDFVRSTAVTVPCEFEGRRAPIQSFFYGLDHINEPGCASLVHKKKMNKNDGLLFCDPSTSNNQLRDFFGKSFQTVPNHSDFELFSSQISPRDNIKLEKLYHGSYALPSLPSVHDVGTMRMRATIDSTEEFSRGPPKFTQTTHRFFITKKTDVNLPDGAQMFRESATSTEFKGKMVTELLALSPDFGFHVKQGEQMQPLGSSTESEGKENTGNVKASAVVKENDSSADGIENTENVKTSAVDEENDSSADGIENTENVKTSAVDEENDLSAETDAMDIHAFCENHISGVASLQSHKDISEGQKSPASQAGVPPVRQETKGRQMNTELPDIKQELPVLPGVARSPDDMETSTSRTQSLDVECFLPHPEHSTNSKSSDCHNAPSRLDPYSRWVKRLKPSASDSFGYGTKSSKVEEASSRRKFNKLISKMPRHRKSISEPKKSKSCGKEQAVTDQTAESPRNAKSYSTYSARKSQEITLSHAWVQRWCHKPSASPKKKPKAVVVSEPECSVATLDLQKKQFASIAAMALMGKAMNGFRPCEFRKRGSSVIWNTRGFRDELS >Potri.009G118651.2.v4.1 pep chromosome:Pop_tri_v4:9:9958002:9961912:1 gene:Potri.009G118651.v4.1 transcript:Potri.009G118651.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118651.v4.1 MSHWTQTSCRKENEAPKRLGDDSGEVSHGRKHHQSLSGPEMETCSSKLVGGLGELIEGKGIDFMKENRTMSSKKLRNEVFEGQSSFPVFKPSQDRDSVLSLKNGVSLGRTADYLSLMPGQAPPEVEIQRRKPQFQTEDINLAPEKQVKSNSLLERSSRVVSTPIQDDFVRSTAVTVPCEFEGRRAPIQSFFYGLDHINEPGCASLVHKKKMNKNDGLLFCDPSTSNNQLRDFFGKSFQTVPNHSDFELFSSQISPRDNIKLEKLYHGSYALPSLPSVHDVGTMRMRATIDSTEEFSRGPPKFTQTTHRFFITKKTDVNLPDGAQMFRESATSTEFKGKMVTELLALSPDFGFHVKQGEQMQPLGSSTESEGKENTGNVKASAVVKENDSSADGIENTENVKTSAVDEENDSSADGIENTENVKTSAVDEENDLSAETDAMDIHAFCENHISGVASLQSHKDISEGQKSPASQAGVPPVRQETKGRQMNTELPDIKQELPVLPGVARSPDDMETSTSRTQSLDVECFLPHPEHSTNSKSSDCHNAPSRLDPYSRWVKRLKPSASDSFGYGTKSSKVEEASSRRKFNKLISKMPRHRKSISEPKKSKSCGKEQAVTDQTAESPRNAKSYSTYSARKSQEITLSHAWVQRWCHKPSASPKKKPKAVVVSEPECSVATLDLQKKQFASIAAMALMGKAMNGFRPCEFRKRGSSVIWNTRGFRDELS >Potri.009G118651.3.v4.1 pep chromosome:Pop_tri_v4:9:9958252:9961903:1 gene:Potri.009G118651.v4.1 transcript:Potri.009G118651.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118651.v4.1 MSHWTQTSCRKENEAPKRLGDDSGEVSHGRKHHQSLSGPEMETCSSKLVGGLGELIEGKGIDFMKENRTMSSKKLRNEVFEGQSSFPVFKPSQDRDSVLSLKNGVSLGRTADYLSLMPGQAPPEVEIQRRKPQFQTEDINLAPEKQVKSNSLLERSSRVVSTPIQDDFVRSTAVTVPCEFEGRRAPIQSFFYGLDHINEPGCASLVHKKKMNKNDGLLFCDPSTSNNQLRDFFGKSFQTVPNHSDFELFSSQISPRDNIKLEKLYHGSYALPSLPSVHDVGTMRMRATIDSTEEFSRGPPKFTQTTHRFFITKKTDVNLPDGAQMFRESATSTEFKGKMVTELLALSPDFGFHVKQGEQMQPLGSSTESEGKENTGNVKASAVVKENDSSADGIENTENVKTSAVDEENDSSADGIENTENVKTSAVDEENDLSAETDAMDIHAFCENHISGVASLQSHKDISEGQKSPASQAGVPPVRQETKGRQMNTELPDIKQELPVLPGVARSPDDMETSTSRTQSLDVECFLPHPEHSTNSKSSDCHNAPSRLDPYSRWVKRLKPSASDSFGYGTKSSKVEEASSRRKFNKLISKMPRHRKSISEPKKSKSCGKEQAVTDQTAESPRNAKSYSTYSARKSQEITLSHAWVQRWCHKPSASPKKKPKAVVVSEPECSVATLDLQKKQFASIAAMALMGKAMNGFRPCEFRKRGSSVIWNTRGFRDELS >Potri.007G007400.5.v4.1 pep chromosome:Pop_tri_v4:7:510676:514757:1 gene:Potri.007G007400.v4.1 transcript:Potri.007G007400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007400.v4.1 MKSMNNDDGNNNHNADWLGFSLSPQMNMEVPSGTDHHQQTRSASAAVPTAIPTNLFHSQLPHLNCGLYYGVEGENGGFYSPLHVMPLKSDGSPCMMGALTRTQPQATMVTTSTPKLEDFFVGATMGTHHYESNDREAMALSLDSSTTMYYHQDTDHEPNNQICLNHLEQNPRQQHHHHIQVQQYPYYSNFRNNEMSVGEDAKQMTQASDCNLLLPNMGDDGITGMKNWVSRNHQSNHVMEQKMLRCVVENGGESGPNISAMTYGDLQCLSLSMSPGSQSSCVTGTSQQISPSVTDCAAMETKKRGPGKGDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINSPLENYQKEIEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVSAVTNFDITRYDVERIMASNTLLAGELARRNKDIGPCNDATPNHNPSTHKSENESDWKMVFCQSSQQLDHKASNAVDNYKTQAFSLSPENVIANDSIFSLHQQQVEDSSNMGTHMSNASSLVTSLCSSREGSPDRANLPVLFGMPPSAASKLYTSPICDVNSWIPAAAAAAAQLRPAAVSLPHTPVFAAWTDA >Potri.007G007400.4.v4.1 pep chromosome:Pop_tri_v4:7:510676:514757:1 gene:Potri.007G007400.v4.1 transcript:Potri.007G007400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007400.v4.1 MKSMNNDDGNNNHNADWLGFSLSPQMNMEVPSGTDHHQQTRSASAAVPTAIPTNLFHSQLPHLNCGLYYGVEGENGGFYSPLHVMPLKSDGSPCMMGALTRTQPQATMVTTSTPKLEDFFVGATMGTHHYESNDREAMALSLDSSTTMYYHQDTDHEPNNQICLNHLEQNPRQQHHHHIQVQQYPYYSNFRNNEMSVGEDAKQMTQASDCNLLLPNMGDDGITGMKNWVSRNHQSNHVMEQKMLRCVVENGGESGPNISAMTYGDLQCLSLSMSPGSQSSCVTGTSQQISPSVTDCAAMETKKRGPGKGDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINSPLENYQKEIEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTSRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVSAVTNFDITRYDVERIMASNTLLAGELARRNKDIGPCNDATPNHNPSTHKSENESDWKMVFCQSSQQLDHKASNAVDNYKTQAFSLSPENVIANDSIFSLHQQQVEDSSNMGTHMSNASSLVTSLCSSREGSPDRANLPVLFGMPPSAASKLYTSPICDVNSWIPAAAAAAAQLRPAAVSLPHTPVFAAWTDA >Potri.007G007400.6.v4.1 pep chromosome:Pop_tri_v4:7:510778:514757:1 gene:Potri.007G007400.v4.1 transcript:Potri.007G007400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007400.v4.1 MVTTSTPKLEDFFVGATMGTHHYESNDREAMALSLDSSTTMYYHQDTDHEPNNQICLNHLEQNPRQQHHHHIQVQQYPYYSNFRNNEMSVGEDAKQMTQASDCNLLLPNMGDDGITGMKNWVSRNHQSNHVMEQKMLRCVVENGGESGPNISAMTYGDLQCLSLSMSPGSQSSCVTGTSQQISPSVTDCAAMETKKRGPGKGDQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINSPLENYQKEIEEMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDVAAIKFRGVSAVTNFDITRYDVERIMASNTLLAGELARRNKDIGPCNDATPNHNPSTHKSENESDWKMVFCQSSQQLDHKASNAVDNYKTQAFSLSPENVIANDSIFSLHQQQVEDSSNMGTHMSNASSLVTSLCSSREGSPDRANLPVLFGMPPSAASKLYTSPICDVNSWIPAAAAAAAQLRPAAVSLPHTPVFAAWTDA >Potri.008G077900.2.v4.1 pep chromosome:Pop_tri_v4:8:4820941:4823811:1 gene:Potri.008G077900.v4.1 transcript:Potri.008G077900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077900.v4.1 MPPQKKEIFKSLENWAEDNLLTLLKPVEKCWQPQDFLPEPESDGFYEQVKELRERARELPDDYFVVLVGDMITEEALPTYQTMINTLDGGIRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKHIEKTIQYLIGSGMEPKTENNPYLGFIYTSFQERATFISHGNTARLAKGHGDMKLAQICGIIAADEKRHETAYTKIVEKLFEIDPDATILALADMMRKKISMPAHLMFDGQDDNLFENYSTVAQRIGVYTAKDYADILEFLVGRWKVEKLTGLSGEGRRAQDFVCGLPPRIRRLEERAQGRVKDASSTAPFSWVFGRELKL >Potri.008G077900.1.v4.1 pep chromosome:Pop_tri_v4:8:4817879:4823861:1 gene:Potri.008G077900.v4.1 transcript:Potri.008G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077900.v4.1 MALKLNQITFPSIHNLPSQPRSVRSHRVFMASTLNSISTKEVQNLKKPYFPPQEVHVQVTHSMPPQKKEIFKSLENWAEDNLLTLLKPVEKCWQPQDFLPEPESDGFYEQVKELRERARELPDDYFVVLVGDMITEEALPTYQTMINTLDGGIRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKHIEKTIQYLIGSGMEPKTENNPYLGFIYTSFQERATFISHGNTARLAKGHGDMKLAQICGIIAADEKRHETAYTKIVEKLFEIDPDATILALADMMRKKISMPAHLMFDGQDDNLFENYSTVAQRIGVYTAKDYADILEFLVGRWKVEKLTGLSGEGRRAQDFVCGLPPRIRRLEERAQGRVKDASSTAPFSWVFGRELKL >Potri.014G035000.2.v4.1 pep chromosome:Pop_tri_v4:14:2208495:2209985:1 gene:Potri.014G035000.v4.1 transcript:Potri.014G035000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035000.v4.1 MPPVHSSPYFQMDNQAILSLLRHTASEKRSKSSSGGLLKMFKLFPMLTSGCKMVALLGRPRKPLLKDHATTGTIFGYRKGRVSLAIQEDPHCAPMFVIELPMHSSLFHKEMASDIVRIALESETKTHKKKLLEEFVWAVYCNGRKVGYCIRRKQMSDDELHVMQLLRGVSMGAGVLPCPNNEKESADGELTYIRARFERVVGSKDSEALYMINPDGAAGPELSIFFARAR >Potri.011G027700.2.v4.1 pep chromosome:Pop_tri_v4:11:2064759:2067139:1 gene:Potri.011G027700.v4.1 transcript:Potri.011G027700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027700.v4.1 MLALKFSIFLLSFAALMTINITEAQEINYRYHFCQNTTTFVRNSTYQANLKILLSSLAFNATRNDINGFYNASAGQDIDEVYGLFLCRGDVSVEVCLKCVKLATNYVVEFCPIEKELAIVWYDECFLLYSNSNNFSSLNQRPLVSMPNVENIIVDVELNQLLVKTISDAAKEAASAPLGVKYFAAKKVLYTSHEFLYVLVQCTPVLSTSDCNRCLEQAIPQVQICCNNTRGGRVLNPSCSIADGKGDGSKSMWIKVGAGLSAVIAVLFFSACTYTMRRRTNLRTEEIGNIREDQLLDWAGRATVGDDYSDKDIQGEVTSQDLPLIRLDVINEATKQFSDENKLGQGGFGPVYRGTLEDGKEVAVKRLSRTSGQGQREFLNEVVLIARLQHRNLVRLLGCCLEKNEKLLIYEYMPNNMSICPTKALINGVLLDWQRRLSIINGIARGLLYLHEDSRLRIIHRDLKTSNILLDYEMNPKISDFGMARIFGGNPSEANTNRIVGT >Potri.005G152100.3.v4.1 pep chromosome:Pop_tri_v4:5:12967737:12971039:-1 gene:Potri.005G152100.v4.1 transcript:Potri.005G152100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G152100.v4.1 MAWTTTFLLKVFESFFDILSWPSFTLVCPLYASFLAVKSDSCSKNQQCLTFWVLFALFTILEQALSKLLLWLPFWPYVKGVATVLLVIPYFGGASYIYMYFVRPYLSENSMKWINLSLPRNNCFPSWRHNDFVDVADTNMIGDGHEELEKSVFQIFEPNHDLVEEEIAGPTSPKKVQKEWSCAVCLFSTSSEIFLKKHFQGKEHETNEENLRAEELARDTSKSLLTAKREPRMVFLGNLANLETWSDLLGPVARSIRWCQWKRPDFGWIKLNTDGSIDSENAGIGGLFRDYEGNAICGFVSKASGHDIFLVELWAIWRGLVLALNLHIQVLWVESDSLSVVNTINRQQPYSGKADACLKQIRLLLKKFKKHKVSHSWRETNRAADYLAKMVVERDVVLWPADFPTSLNNIIKDDAEGMVYCRR >Potri.005G152100.1.v4.1 pep chromosome:Pop_tri_v4:5:12968186:12970920:-1 gene:Potri.005G152100.v4.1 transcript:Potri.005G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G152100.v4.1 MAWTTTFLLKVFESFFDILSWPSFTLVCPLYASFLAVKSDSCSKNQQCLTFWVLFALFTILEQALSKLLLWLPFWPYVKGVATVLLVIPYFGGASYIYMYFVRPYLSENSMKWINLSLPRNNCFPSWRHNDFVDVADTNMIGDGHEELEKSVFQQIFEPNHDLVEEEIAGPTSPKKVQKEWSCAVCLFSTSSEIFLKKHFQGKEHETNEENLRAEELARDTSKSLLTAKREPRMVFLGNLANLETWSDLLGPVARSIRWCQWKRPDFGWIKLNTDGSIDSENAGIGGLFRDYEGNAICGFVSKASGHDIFLVELWAIWRGLVLALNLHIQVLWVESDSLSVVNTINRQQPYSGKADACLKQIRLLLKKFKKHKVSHSWRETNRAADYLAKMVVERDVVLWPADFPTSLNNIIKDDAEGMVYCRR >Potri.006G166300.1.v4.1 pep chromosome:Pop_tri_v4:6:16368072:16376066:1 gene:Potri.006G166300.v4.1 transcript:Potri.006G166300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166300.v4.1 MEWDSNSDLSGDEDEEGFMLNDGGGGGLLPFPVENLLQTAPCGFVVTDALEPDHPLIYVNTVFEMATGYRAEEVLGRNCCFLQCRGPFAKRRHPLVDSTVVSEIRRCLDEGIEFQGELLNFRKDGSPLMNRLQLTPIYGDDDTITHVIGIQFFTETNIDLGPVPGSFVKESAKLADRFRSGLSTYRPFPAGDRNVCRGICGILQLSDEVLYLKVLSRLTPRDIASIGSVCRQLYALTKNEDLWRMVCQNAWGSETASVLETVPGAKRLGWGRLARELTTLEAAAWRKLTVGGAVEPSRCNFSACAVGNRVVLFGGEGVDMQPMNDTFVLDLNSSSPEWQHVQVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFVLDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFSMDLSEEEPCWRCVTGSGMPGAGNPGGIAPPPRLDHVAMSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSVI >Potri.011G008740.1.v4.1 pep chromosome:Pop_tri_v4:11:745668:748618:-1 gene:Potri.011G008740.v4.1 transcript:Potri.011G008740.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008740.v4.1 MAGGKYQESSSSRFSNCKHQVFLSFRGEDTRRKFTDHLYTALVQAGIHTFRDDDEIQRGHNIELEIQKAIQQSKISIIVFSIDYARSRWCLDELVMIMERKRTTNSIVLPVFYDVDPSQVRNQTGSFAAAFVEHEKRFKEEMERVNGWRIALKEVADLGGMVLGDGYEAQFVQSIVENVSKTLDRKIFHLPFHFIGRDSVIQYINSWLQNRSHSAAIALLYGIGGVGKTAIAKNVYNQNFYKFEGKSFLSNFRERSKEFKGLVCLQRQLLSDIIKKSVDEINDVDEGILKIKDVICCRRTLIVLDDVEERDQFNAIVGMQNWLCKGSKIIVTTRNKGLLSANDEWVKCKVEPLDNGKSLELFSWHAFGQAYPVEGFVEDSWKIVNHCNGLPLALRVIGSSLSGKSREVCESAFQEMEVIPNCEVQKILRISYDSLDDDYQKNLFLDIACFFNGMDYNYAVRILDGLGIGARFRIDNLIDRCLVEIVEINSDKRLWMHQLVRDMGREISRQESPQCQRIWHHMEAFTVLKETSDAEKLRGLTIDMHALMEDNCTKAICGDSMVRHKHNFFQHYRLPTFPSREWLSDLFFGEQVQSGQASLLPVLSTDALRKMQNVKFLQLNYLKFHGSYEHFPKNLVWLSWHGFSLRSIPNQLCLEKLVVLDLSRSSLVDTWKGKLSLPKLKILDLRHSHGLIRTPDLSGLPRLENLILEDCIHLAQIHESIGDLHCLMMLNLNNC >Potri.010G127600.1.v4.1 pep chromosome:Pop_tri_v4:10:14453180:14454547:-1 gene:Potri.010G127600.v4.1 transcript:Potri.010G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127600.v4.1 MRTRRMAAAKVGSSLSHKIGRDCHQHGVVAEEIDGLIKAYKDGRVERPQIVPCVTSTLAPGLGVTSRDTVIDNFTNIWARFYVPIKFQGKLPLLVYFHGGGFCVGSAAWSCYHDFLARLAAKANCIIMSVNYRLAPENPLPAAYDDGIKALKWLKQQALSVCTDNWWTSQWNFSDVFLAGDSAGANIAFNVITRLDSFNAGQAAAAIKPLTLKGIILIQPFFGGEARTHSEKHSVQSPRSALNLAASDTYWRLALPCGASRDHPWCNPLAKGSVKLEDFGRFPIMVCISEMDILKDRSLEFVASLGRAGKRVEHVVHKGVGHAFQILSKSQLSQTRTLEIMARIKGFISGI >Potri.015G041400.2.v4.1 pep chromosome:Pop_tri_v4:15:3808896:3814320:-1 gene:Potri.015G041400.v4.1 transcript:Potri.015G041400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041400.v4.1 MGVKDLLKFMKPYIEPVHIKKYNGKRVGIDAYSWLHKGAYSCSMEICLNSNSEKKLRYLDYFMHRINLLRHYKITPVVVFDGGNIPCKAGTEQDRYRKRKANRELAMEKLKEGNANAATEFFQRAISITPLMAHQLIQVLSVLKLEKGRQMPEDYSKSFIEALAVFQHARIYDSNNKRLEHMKPVPQNLLESLEGKLDFLGPEIPPSVATAIAEGNLDPTTMEAFACFRSPGRLPDPIFIQNPSQLQKPKANVVSIQMNSSMAFLSYKSEGDSSGMVLKQEPLSTESMYISEALALQKLISPPKVNITETAMVPNDVPLKVPKNPFRIQQVEEINLDQKDSSTGQISVVIDHEKMNIPWATPDDIQSEVHDKSPPKKRKLNKVHSDQGASITAQASVVTKAENSDNLCVAESQENVNSMPKMTSNGKGKNEKAKRSKHMSLENTKNTILNFFSRA >Potri.015G041400.1.v4.1 pep chromosome:Pop_tri_v4:15:3808896:3814320:-1 gene:Potri.015G041400.v4.1 transcript:Potri.015G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041400.v4.1 MGVKDLLKFMKPYIEPVHIKKYNGKRVGIDAYSWLHKGAYSCSMEICLNSNSEKKLRYLDYFMHRINLLRHYKITPVVVFDGGNIPCKAGTEQDRYRKRKANRELAMEKLKEGNANAATEFFQRAISITPLMAHQLIQILRSENIEFLVAPYEADAQLAHLASLEAERGGIAAVITEDSDLLAYGCQATIFKMDRYGNGEEIVLDKVFDAAARKPSFQCFDKELFMGMCVLAGCDFLPSVPGIGISKAHSYVSKYRNLDRVLSVLKLEKGRQMPEDYSKSFIEALAVFQHARIYDSNNKRLEHMKPVPQNLLESLEGKLDFLGPEIPPSVATAIAEGNLDPTTMEAFACFRSPGRLPDPIFIQNPSQLQKPKANVVSIQMNSSMAFLSYKSEGDSSGMVLKQEPLSTESMYISEALALQKLISPPKVNITETAMVPNDVPLKVPKNPFRIQQVEEINLDQKDSSTGQISVVIDHEKMNIPWATPDDIQSEVHDKSPPKKRKLNKVHSDQGASITAQASVVTKAENSDNLCVAESQENVNSMPKMTSNGKGKNEKAKRSKHMSLENTKNTILNFFSRA >Potri.001G311700.1.v4.1 pep chromosome:Pop_tri_v4:1:32225501:32226556:-1 gene:Potri.001G311700.v4.1 transcript:Potri.001G311700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G311700.v4.1 MASNYYLATLAFLFCFISPSLSALVQEQPLVLKYHNGILLKGNITVNLIWYGEFTPIQRSIIVDFINSLNSKGAPLPSTSSWWKTTEMYRGGSSSLTVGHQILHEELTLGKILKSQHLIALASKTHFTVNSINVVLTAKDVAVDGFCMNRCGTHGSTKSGSGRGTYIWVGNSDVQCPGQCAWPFHQPLYGPQTPPLVAPNGDVGVDGMIINLATLLANTVTNPFNSGYFQGPPTAPLEAVSACTGIFGSGSYPGYPGRVLVDKVTGASYNAHGVNGRKYMLPAMWDPKSSACKALV >Potri.019G082300.1.v4.1 pep chromosome:Pop_tri_v4:19:12253555:12254469:-1 gene:Potri.019G082300.v4.1 transcript:Potri.019G082300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082300.v4.1 MSTVREGKKKEKIAAEPAAISWKEKKDLADKEEEALVKDIEDLRAWTDKIDAMNDEQLKEYLKNRPEELKTVKIQKSKPRQKVQRLGKAKSSASMGIMASVWKFHKEDNDDSVRPDV >Potri.001G103300.1.v4.1 pep chromosome:Pop_tri_v4:1:8313797:8318930:-1 gene:Potri.001G103300.v4.1 transcript:Potri.001G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103300.v4.1 MAFTFTLQSHTFLSQNPNLLSPKPTFFKPRNLFPSLPKTPSFLSLHGTKHSLFAIGPDGKFYPNTADNDPPEAPEDTAHGVSKWEQVHIQASRARKAQEEDFKKNQSTFLKAIADTEVNPNSLNSDGDDLFGEIDKAIVMERQELVKQGLLKPKDIKGSSDVMEGVEELEPEEVVDLEEIDELTGLTVIDTDSDEDGSSGFDVGVGEKSGKSNAGASLDEKSFDLDFDSIGKLKVNIVEPKFRMSLAELLDESKVVPVSVLGDLEVEITGIQDDSRVVSAGDLFVCRVGMKTDGHLYLSEADKRGAVAVVASKEVDIEETLGCKALVIVEDTNAVLPALAAAFYKFPSKNMAVIGITGTNGKTTTANLVKGMYEAMGLRTGMLSTVAYYIHGDNKLEAPNTIPGAILVQNLMAKMLHNGTEAVVMEATSQGLALGRCDEVDFDIAVFTNLTRDHLDFHGTEEEYKNAKAKLFARMVDPERHRKVVNVDDPNAPFFIAQGNQEVPVVTFAMENKNADVHPLKYELSLFETQVLVNTPHGILEISSGLLGKHNIYNILAAVAVGIAVGAPLEDIVRGIEEIDAVPGRCELIDEEQAFGVIVDYAHTPDALSRLLDSVRELRPKRIITVIGCGGERDRGKRPIMTKIATDKSDMTILTSDNPRGEDPLDILDDMLAGVGWSMQEYLKHGENDYYPPLPNGHRLFLHDIRRVAVRCAVAMGEEGDMVVVAGKGHETYQIEGDKKEFFDDREECREALQYVDELHQAGIDTSEFPWRLPESH >Potri.002G181501.1.v4.1 pep chromosome:Pop_tri_v4:2:14380359:14381056:1 gene:Potri.002G181501.v4.1 transcript:Potri.002G181501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181501.v4.1 MFSAVALAFFLYSLAGLRKIRSKEGARREGFLFSSFFHKNKNTKIRRRRRRRRRNRRQKERKLRA >Potri.001G020700.3.v4.1 pep chromosome:Pop_tri_v4:1:1603653:1605701:-1 gene:Potri.001G020700.v4.1 transcript:Potri.001G020700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020700.v4.1 MSINKKRRSKQQFLRSRRERQKPRENQKMSLVDYASSSDEDATDNIEEEEKQKDQEEQEPKPQNEPQVAKPQNKLSSGLSLSRPQVAGPSLLPSISKLPDASMLLNTPTVGLDGSGSDHALRVSAAMAENASRKRELNVGSSRSGKVARGNLVANKNVPDTGGGLLVPPQLKGRSNVVTEDIGKLFVRRHAEPSSH >Potri.011G005300.2.v4.1 pep chromosome:Pop_tri_v4:11:424645:425513:1 gene:Potri.011G005300.v4.1 transcript:Potri.011G005300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005300.v4.1 MEVMNKILSESDAKNKRLEFPARSLSAFPMPDGQNSVQFVAFDMQEHQWRLKVSIRNEGRYPKPWLKGEWHDYVHQKGLKKGDKVILTMDEEENGERIYRIRAEKKHFGFWYSIDDQQ >Potri.010G059200.2.v4.1 pep chromosome:Pop_tri_v4:10:8933842:8937026:-1 gene:Potri.010G059200.v4.1 transcript:Potri.010G059200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059200.v4.1 MSGFTSKKRFLDTSMSFCTLITHENHQVYSKSNLLLASRGSDDIDSSILPGLPDDVAKYCLALVPRRYLPAMGAVCKKWRSFLKTKEFITVRKLAGLLEEWLFVLTMDSEGKESHWVVLDCLGLKRQLLPPMPGSTKAGFGVVVLNGKLLVMAGYSVIEGTGTASADVYEYDCYLNSWSKLSSMNVARYDFACAEVNGKVYAAGGYGTDRDSLSSVEMYDPETDRWTLIESLRRPRWGCFACGFEGKLYVMGGRSTFTIGNSRFVEVYNPEKHTWCEMKNGRVMVTAHAVLGKKLFCMEWKNQRKLSIFNPEDSSWKTVAVPLTGNSIIDFRFGILDGKLLLFSLEEEPGYRTLLYDPNASPGSEWCTSEIKPSARCLCCVTIKA >Potri.010G059200.1.v4.1 pep chromosome:Pop_tri_v4:10:8933886:8938103:-1 gene:Potri.010G059200.v4.1 transcript:Potri.010G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059200.v4.1 MSGFTSKKRFLDTSMSFCTLITHENHQVYSKSNLLLASRGSDDIDSSILPGLPDDVAKYCLALVPRRYLPAMGAVCKKWRSFLKTKEFITVRKLAGLLEEWLFVLTMDSEGKESHWVVLDCLGLKRQLLPPMPGSTKAGFGVVVLNGKLLVMAGYSVIEGTGTASADVYEYDCYLNSWSKLSSMNVARYDFACAEVNGKVYAAGGYGTDRDSLSSVEMYDPETDRWTLIESLRRPRWGCFACGFEGKLYVMGGRSTFTIGNSRFVEVYNPEKHTWCEMKNGRVMVTAHAVLGKKLFCMEWKNQRKLSIFNPEDSSWKTVAVPLTGNSIIDFRFGILDGKLLLFSLEEEPGYRTLLYDPNASPGSEWCTSEIKPSARCLCCVTIKA >Potri.017G117120.2.v4.1 pep chromosome:Pop_tri_v4:17:12420128:12434402:-1 gene:Potri.017G117120.v4.1 transcript:Potri.017G117120.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117120.v4.1 MVLPLARMNSSAFSFLSDIVLVLLLFIQVPSSLGNDAMFGTACSKKFVCGDIFVDFPFWGNDRSPTCGVPDLELRCEYNIAKMKINQVAYRVLEINQDARILRIAREDYFVGLCTPQFTSTTFNPKVFEPVEGSKNLTFIYGCKDAPDPTIHGPGIPFTCKINEVNDQRGYIQEGDTGPGECNRSVLVPVSTTDLPPFWNRLALEEHLEKGFQVRWKVDGDACWECSTSSESSWSRGQLHIAVGISAAVAAIIIFSIIIICLTRRKGSFSAVIAKIIRPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFVNILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISDKGSPLTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQRKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGITEEEEEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRSSVQEHSSTVSSLLGVSSQGDEVNRLPADERDL >Potri.017G117120.1.v4.1 pep chromosome:Pop_tri_v4:17:12426737:12431364:-1 gene:Potri.017G117120.v4.1 transcript:Potri.017G117120.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117120.v4.1 MSWLILLLTIALVCGSAPVFADDDERYLNCMKSFDCGDIKDVGYPFSGSDRPDYCGYPGFELGCSNQDPEITIMQSTYKLLGINNQSRTLNVSRSDYTENLCPTLLSNTSLNPNLLSSTSDHAEVTLYYGCPSPSPPALSSQFTCNINDTVMVGNFITVNFSVLSITDPSLISYLTTCNNSVKFPAHQSAIVPILVSPNVSEFLEAINQGFELEWSANDSLCDTCESSGGQCGYNKTTTAFTCYCAGQPQDFECSSSPQAPSQSTKSSWSRGQLHIAVGISAAVAAIIIFSIIIICLTRRKGSFSAVIAKIIRPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFVNILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISDKGSPLTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQRKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGITEEEEEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRSSVQEHSSTVSSLLGVSSQGDEVNRLPADERDL >Potri.014G093700.1.v4.1 pep chromosome:Pop_tri_v4:14:6083453:6087602:1 gene:Potri.014G093700.v4.1 transcript:Potri.014G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093700.v4.1 MMRGSNSDLFDPRTEMESDSTRGGSASDGDFGFAFNDSNFSDRLLRIEIMGGSAESRADGEGCTSIIDWARHRKRRREDIKKDNNNGDLSVGAEEQILGSNQPDMDDCVVGDNQDEEGEAMVEVSPSDDEAGDGNESSWSMDCSTVVRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELMNFMYSNTLTASQAPQLLDVLMAADKFEVASCMRYCSRQLRNLSMTPESALLYLELPSSVLMAEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQVASEDAVYDFVLKWARAQYPKLEERREVLGARLARYIRFPYMTCRKLKKVLTCTDFEHDAASKLVLEALFFKGEPPHRQRTLAAEESATSNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRL >Potri.014G093700.3.v4.1 pep chromosome:Pop_tri_v4:14:6083455:6087575:1 gene:Potri.014G093700.v4.1 transcript:Potri.014G093700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093700.v4.1 MDDCVVGDNQDEEGEAMVEVSPSDDEAGDGNESSWSMDCSTVVRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELMNFMYSNTLTASQAPQLLDVLMAADKFEVASCMRYCSRQLRNLSMTPESALLYLELPSSVLMAEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQVASEDAVYDFVLKWARAQYPKLEERREVLGARLARYIRFPYMTCRKLKKVLTCTDFEHDAASKLVLEALFFKGEPPHRQRTLAAEESATSNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECANLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSLYFINGVLHLRAELTIRL >Potri.004G195700.1.v4.1 pep chromosome:Pop_tri_v4:4:20818149:20820531:1 gene:Potri.004G195700.v4.1 transcript:Potri.004G195700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G195700.v4.1 MSRIGTHEEDLVELVRKVESMIVLKQREIDRKAQMSQKIENLMVELTLEKEKNFKLKMELETIREGTVEKGLAELKGQVESMNVLLRREADGKAQMVQKIENLMEEMILEKEKNFKLKTILETINFVSSGATTAASIV >Potri.002G259600.4.v4.1 pep chromosome:Pop_tri_v4:2:24764035:24768775:1 gene:Potri.002G259600.v4.1 transcript:Potri.002G259600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259600.v4.1 MRGFLNKLVSRSLSVAGKWQHQQLRRLNIHEYQGAGLMGKYGINVPKGVAVASLHHVQKALQYVFPSESELVVKSQVLAGGRGLGKFKNGFQGGVHIVKTDKVEETAGKMLGQILVTKQTGSQGKIVSKVYLCEKLSLVNEMYFAIMLDRTTAGPLIIACKKGGTSIEDLAEKFPDLIIKVPIDVFKGITDEDAVKVVDGLAPKVADRNDSIEQVKKLYKLFCESDCTLLEINPIAETSDKQLVAADAKLNFDDNAAFRQKEIFALRDPTQEDPREVAAAKADLNYIGLDGEIGCMVNGAGLAMATMDIIKLHGGTPANFLDVGGNASEEQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAEKAVKASAS >Potri.001G355600.1.v4.1 pep chromosome:Pop_tri_v4:1:36956446:36960342:1 gene:Potri.001G355600.v4.1 transcript:Potri.001G355600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355600.v4.1 MMLRIKRVPTVVSNYQKEDGNEGSRRGGGCGRNCLQNCCLQDACLPLYAFKKVDSIVSKKKGVGVFEYDKGEPPVAFLDSLLLGEWEDRVQRGLFRYDVTACETKVIPGQHGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEEVLFQFGASEDGEVQFFPDAPIDPENSPSMVAINVSPIEYGHVLLIPRVLDCLPQRIDRDSFMLAIYMAAEAGNPYFRLGYNSLGAFATINHLHFQAYYLAVPFPIEKAPTKEITTSDGGVKISELVNYPVRGLVFEGGNALLDLSNGVSDACICLQENNIPYNVLIADCGNRIFLLPQCYAEKQALGEVSPELLDTQVNPAVWEISGHMVLKRKKDYEEASEENAWRLLAEVSLSEERFQEVTALIFEAISYRSCVIGTDSENLLEDVNVEHQLVEEVNAINESSHQAMVTGNQDCLVLH >Potri.002G171800.1.v4.1 pep chromosome:Pop_tri_v4:2:13225048:13227149:-1 gene:Potri.002G171800.v4.1 transcript:Potri.002G171800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171800.v4.1 MDIIATLFSLLLFLVIVANFILRWGNLKSQHKSKRLPPGPPRLPVFGNLLQLGQQPHRDLASLCDKYGPLVYLRLGSVDAITTNDPEIIREILVRQDEVFASRPRTLAAVHLAYGCGDVALAPLGPHWKRMRRICMEQLLTTRRLESFANHRADEAQHLVMDVWSRTQTGKPLSLREVLGAFSMNNVTRMLLGKQYFGAESAGPQEAMEFMHITHELFRLLGVIYLGDYLPFWRWIDPHGCEKKMREVEKRVDDFHNKIIEEHRKTRKTKRKETGEEDKDMDFVDVLLSLPGENGKEHMDDVEIKALIQDMIAAATDTSAVTNEWAMAEVIKHPRVLSKIQQELDSVVGPNRMVTESDLAHLNYLRCVVRETFRMHPAGPLLIPHESLRATTINGYHIPDKTRVFINTHGLGRNTKLWADVEEFRPERHWLADGSRVEISHGADFKILPFSAGKRKCPGAPLGVTLVLMALARLFHCFDWTPPEGLSPEDIDTTEVYGMTMPKAKPLLAMARPRLAEHMYH >Potri.012G064850.2.v4.1 pep chromosome:Pop_tri_v4:12:8191226:8192290:1 gene:Potri.012G064850.v4.1 transcript:Potri.012G064850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G064850.v4.1 MGVFLLPVYLCEELRRMMNSYWWGTNQEHGRGIIWQGWDRLCRKKDIGGLGFINLLCFNMALLGMLGSKLVVKPHSLVSRIIKARYFPRGDFLHATPGHDPSYVWRSILSSQEVLRKGTIWRIGTGQKVSVCSEAWLKNDCNSFSNHSVLWSLYNCLLTLGQDNAKVLDLFKSVIITTCRLQKFSVLFNLSEFAD >Potri.005G098700.1.v4.1 pep chromosome:Pop_tri_v4:5:7093476:7095096:1 gene:Potri.005G098700.v4.1 transcript:Potri.005G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MDSLCRILLLIKIIVVLELQHLRNSLPDQVVVQRVDERLSALGNCVACNDHVALTHTDLDKGTEEMIADVLGVEVFRQTIAGNVLVGSYCAFSNKGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGLTVNDWTAFCGSDTTATELSVIESVFKLREAQPNAIVNEMRNSLIDSYV >Potri.005G098700.2.v4.1 pep chromosome:Pop_tri_v4:5:7093476:7095096:1 gene:Potri.005G098700.v4.1 transcript:Potri.005G098700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MDSLCRILLLIKRVDERLSALGNCVACNDHVALTHTDLDKGTEEMIADVLGVEVFRQTIAGNVLVGSYCAFSNKGGLVHPHTSIEDLDELSTLLQVPLVAGTINRGSEVIAAGLTVNDWTAFCGSDTTATELSVIESVFKLREAQPNAIVNEMRNSLIDSYV >Potri.001G054600.1.v4.1 pep chromosome:Pop_tri_v4:1:4112803:4115491:-1 gene:Potri.001G054600.v4.1 transcript:Potri.001G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054600.v4.1 MGVSFLPSPAFLGLLLFSFVTLSLHPKPAVATTRHYKLDVMLQNVTRLCHTKSMVTVNGKFPGPRIVAREGDRLLIKVVNHVQNNISIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRSTLYGPLIILPKLGTPYPFVKPYKEVPIIFGEWFNADPEAIINQALQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRLINAALNDELFFSIANHTFTVVEADAVYVKPFDTKTLLIAPGQTTNVLLKTKPHHPNAKFFMTARPYVTGQGTFDNSTVAGILEYEESHKTIQSSHSTKRLPLFKPNLPPLNDTSFATKFTSKLRSLANAQFPANVPQKVDRQFFFTVGLGTHSCPQNQTCQGPNGTMFAASVNNVSFAMPTTALLQAHHFGQSNGVYTPDFPINPLTPFNYTGNPPNNTMVSNGTKLVVLPFNTTVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPNKDPANFNLIDPIERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVLDGKLPNQKLLPPPADLPRC >Potri.011G109900.3.v4.1 pep chromosome:Pop_tri_v4:11:13947754:13948657:-1 gene:Potri.011G109900.v4.1 transcript:Potri.011G109900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109900.v4.1 MSSNKGKDIAEGSSRGGANAPDQQSPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANQVLDFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNPTKANDESSSFHMQ >Potri.011G109900.2.v4.1 pep chromosome:Pop_tri_v4:11:13946276:13948542:-1 gene:Potri.011G109900.v4.1 transcript:Potri.011G109900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109900.v4.1 MSSNKGKDIAEGSSRGGANAPDQQSPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANQPEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNPTKANDESSSFHMQ >Potri.011G109900.1.v4.1 pep chromosome:Pop_tri_v4:11:13947985:13948515:-1 gene:Potri.011G109900.v4.1 transcript:Potri.011G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109900.v4.1 MSSNKGKDIAEGSSRGGANAPDQQSPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANQVLDFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNPTKANDESSSFHMQ >Potri.017G130200.1.v4.1 pep chromosome:Pop_tri_v4:17:13306977:13314144:-1 gene:Potri.017G130200.v4.1 transcript:Potri.017G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130200.v4.1 MFWKMESLFVLTLLVLVAEVSFVAADDEAFIGVNIGTDLSDMPHPTQVVALLKAQQIWHVRLYDADRGMLVALANTGIQVMVSVPNDQLLGIGQSNSTAANWVSHNIVAHYPATNITAICVGSEVFTTIPNAAPVLVNAMKYIQSALVASNLDRQIKVSTPLSSSIILDSFPPSQAFFNRSWNPVLIPMLNFLQSTGSHLMLNIYPYYDYMQSNGVIPLDYALMKPLAPTKEAVDANTLVHYSNVFDAMVDAAYFAMNFLNFTNIPVMVTESGWPSKGDTNEPDATLDNANTYNSNLIRHVLNKTGTPNHPGIAVSTYIYELYNEDLKPGPVSEKNWGLFNANGEPIYILHLTGAGLVLANDTTNQTYCTAKEGADPKMLQAALDWACGPGKVNCSAILQGEPCYEPDNVIAHATYAFNSYYSRMGKAPGTCDFNGVAAITTTNPSHGTCLFPGSTGKINGFGNITAPSMNSTSSAPPAQNLYNLGSMSFLLLRVLICSIVFL >Potri.017G000400.4.v4.1 pep chromosome:Pop_tri_v4:17:21039:27374:-1 gene:Potri.017G000400.v4.1 transcript:Potri.017G000400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000400.v4.1 MLLEAMPAAKKNLDQRITLAFNKLLKEIGNQVEFELPDAFNKSKSTAYTLIKRNIYLTKKIKRRLEDDGIFCSCSASLGSSRVCDRDCHCGMLLSSCSSGCKCGSSCSNKPFQHRPLKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDNTCEERLWKMKHRGETNFYLCEINRNMVIDATYKGNKSRYINHSCSPNTEMQKWIIDGETRIGIFATHDIRKGEHLTYDYQFVQFGADQDCHCGASGCRKKLGVKPSKPKMSSDAALKLVACQVAVSSPKLKAMLSAKDVYQNGGLHIGSSQHAFSSLPTYSCNCIGEVVRLCSRTDQIYFGIIKQYDEYSRKHSIMFEDGTVKFLDMSKEDWEFVTL >Potri.018G070900.5.v4.1 pep chromosome:Pop_tri_v4:18:8655136:8657532:1 gene:Potri.018G070900.v4.1 transcript:Potri.018G070900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070900.v4.1 MENLKLLVPVVAKSVSDMLEQWSAMTNSDEVEIEVSEWFQTLTEDVITRTAFGSSYEDGKAIFQLQAQQMVLAAVAFRRVLIPGYRFFPTRRNINSWRLDKEIKKSLMKLIERRREKSSVNKTHQDSCPKDLLGLMIQASNSCTDVTVHDIVEECKSFFFAGQHTTSNLLTWTTVLLAMHPQWQAQAREEVLRVCGSRDTPTKDDVAKLKTLAMILNESLRLYPPTIATIRRSKADVELGGYKVPSGTEILIPILALHHDQSIWGNDANEFNPARFSGGVARAAKHHVAFIPFGLGVRTCIGQNLAILQAKLTLAILLQRFSFRLAPSYQHAPTVLMLLYPQYGAPIIFQHLSNP >Potri.018G070900.1.v4.1 pep chromosome:Pop_tri_v4:18:8653706:8657532:1 gene:Potri.018G070900.v4.1 transcript:Potri.018G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070900.v4.1 MKELFLYYYWLIKLLAISFLALVFLIKIVVLLWCRPRRIEHHFSKQGIRGPPYRFFIGNVKEIVEMMLKASSQPMPFSHNILPRVLSFYHHWKKIYGATFLVWFGPTVRLTVSDPDLIREIFTSKSELYEKVEAHPLVKQLEGDGLLSLKGEKWALHRKIITPTFHMENLKLLVPVVAKSVSDMLEQWSAMTNSDEVEIEVSEWFQTLTEDVITRTAFGSSYEDGKAIFQLQAQQMVLAAVAFRRVLIPGYRFFPTRRNINSWRLDKEIKKSLMKLIERRREKSSVNKTHQDSCPKDLLGLMIQASNSCTDVTVHDIVEECKSFFFAGQHTTSNLLTWTTVLLAMHPQWQAQAREEVLRVCGSRDTPTKDDVAKLKTLAMILNESLRLYPPTIATIRRSKADVELGGYKVPSGTEILIPILALHHDQSIWGNDANEFNPARFSGGVARAAKHHVAFIPFGLGVRTCIGQNLAILQAKLTLAILLQRFSFRLAPSYQHAPTVLMLLYPQYGAPIIFQHLSNP >Potri.018G070900.4.v4.1 pep chromosome:Pop_tri_v4:18:8654767:8657532:1 gene:Potri.018G070900.v4.1 transcript:Potri.018G070900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070900.v4.1 MENLKLLVPVVAKSVSDMLEQWSAMTNSDEVEIEVSEWFQTLTEDVITRTAFGSSYEDGKAIFQLQAQQMVLAAVAFRRVLIPGYRFFPTRRNINSWRLDKEIKKSLMKLIERRREKSSVNKTHQDSCPKDLLGLMIQASNSCTDVTVHDIVEECKSFFFAGQHTTSNLLTWTTVLLAMHPQWQAQAREEVLRVCGSRDTPTKDDVAKLKTLAMILNESLRLYPPTIATIRRSKADVELGGYKVPSGTEILIPILALHHDQSIWGNDANEFNPARFSGGVARAAKHHVAFIPFGLGVRTCIGQNLAILQAKLTLAILLQRFSFRLAPSYQHAPTVLMLLYPQYGAPIIFQHLSNP >Potri.018G070900.2.v4.1 pep chromosome:Pop_tri_v4:18:8654454:8657532:1 gene:Potri.018G070900.v4.1 transcript:Potri.018G070900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070900.v4.1 MMKLLVLAIRIVSVVFLPPFFCICSLSCATFLVWFGPTVRLTVSDPDLIREIFTSKSELYEKVEAHPLVKQLEGDGLLSLKGEKWALHRKIITPTFHMENLKLLVPVVAKSVSDMLEQWSAMTNSDEVEIEVSEWFQTLTEDVITRTAFGSSYEDGKAIFQLQAQQMVLAAVAFRRVLIPGYRFFPTRRNINSWRLDKEIKKSLMKLIERRREKSSVNKTHQDSCPKDLLGLMIQASNSCTDVTVHDIVEECKSFFFAGQHTTSNLLTWTTVLLAMHPQWQAQAREEVLRVCGSRDTPTKDDVAKLKTLAMILNESLRLYPPTIATIRRSKADVELGGYKVPSGTEILIPILALHHDQSIWGNDANEFNPARFSGGVARAAKHHVAFIPFGLGVRTCIGQNLAILQAKLTLAILLQRFSFRLAPSYQHAPTVLMLLYPQYGAPIIFQHLSNP >Potri.018G070900.3.v4.1 pep chromosome:Pop_tri_v4:18:8654864:8657532:1 gene:Potri.018G070900.v4.1 transcript:Potri.018G070900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070900.v4.1 MLSELMVVMFPSYFFLRICHLIATRPCATFLVWFGPTVRLTVSDPDLIREIFTSKSELYEKVEAHPLVKQLEGDGLLSLKGEKWALHRKIITPTFHMENLKLLVPVVAKSVSDMLEQWSAMTNSDEVEIEVSEWFQTLTEDVITRTAFGSSYEDGKAIFQLQAQQMVLAAVAFRRVLIPGYRFFPTRRNINSWRLDKEIKKSLMKLIERRREKSSVNKTHQDSCPKDLLGLMIQASNSCTDVTVHDIVEECKSFFFAGQHTTSNLLTWTTVLLAMHPQWQAQAREEVLRVCGSRDTPTKDDVAKLKTLAMILNESLRLYPPTIATIRRSKADVELGGYKVPSGTEILIPILALHHDQSIWGNDANEFNPARFSGGVARAAKHHVAFIPFGLGVRTCIGQNLAILQAKLTLAILLQRFSFRLAPSYQHAPTVLMLLYPQYGAPIIFQHLSNP >Potri.008G021100.1.v4.1 pep chromosome:Pop_tri_v4:8:1046797:1051649:1 gene:Potri.008G021100.v4.1 transcript:Potri.008G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021100.v4.1 MAEKTWVRMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVAVSPSFDQDRMWLNGKEISLSGGRYQNCLREIRARACAVEDKEKGIKIAKKDWEKLHLHVASYNNFPTAAGLASSAAGFACLVFALAKLMNAKEDNSELSAIARQGSGSACRSLFGGFVKWIMGKAEDGSDSLAVQLVDEKHWDELVIIIAVVSSRQKETSSTTGMRDSVETSLLLQHRAKEVVPKRIKQMEEAIKNRDFGSFAQLSCADSNQFHAVCLDTCPPIFYMNDTSHRIISCVEKWNCSEGTPQVAYTFDAGPNAVLIAHNRKAATQLMQKLLFCFPPSSDADLNSYVIGDKSILKDAGIEDIKDVEALPPPPEIKDAQRCKGDVSYFICTKPGRGPALLSDESQALLHPETGLPK >Potri.008G021100.2.v4.1 pep chromosome:Pop_tri_v4:8:1046764:1051653:1 gene:Potri.008G021100.v4.1 transcript:Potri.008G021100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021100.v4.1 MAEKTWVRMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVAVSPSFDQDRMWLNGKEISLSGGRYQNCLREIRARACAVEDKEKGIKIAKKDWEKLHLHVASYNNFPTAAGLASSAAGFACLVFALAKLMNAKEDNSELSAIARQGSGSACRSLFGGFVKWIMGKAEDGSDSLAVQLVDEKHWDELVIIIAVVSSRQKETSSTTGMRDSVETSLLLQHRAKEVVPKRIKQMEEAIKNRDFGSFAQLSCADSNQFHAVCLDTCPPIFYMNDTSHRIISCVEKWNCSEGTPQVAYTFDAGPNAVLIAHNRKAATQLMQKLLFCFPPSSDADLNSYVIGDKSILKDAGIEDIKDVEALPPPPEIKDAQRCKGDVSYFICTKPGRGPALLSDESQALLHPETGLPK >Potri.006G006600.3.v4.1 pep chromosome:Pop_tri_v4:6:464268:466739:1 gene:Potri.006G006600.v4.1 transcript:Potri.006G006600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006600.v4.1 MQGECTCDAGGGGGDRNKSEALKYKAVAIASILFAGAVGVCLPILGKTSIPALSPEKNIFFIIKAFAAGVILSTGFIHVLPDAFDSLTSPCLGENPWGNFPFTGFVAMVSAIGTLMVDCLATSYFTRFHLIKAQSEESGDKEKAAVEAHEGHVHTHATHGHSHGIVDSSGSGPSQLIRHRVITQVLELGIVVHSVIIGVSLGASESPKTIRPLVAALSFHQFFEGMGLGGCITQAKFKTKSIVIMTLFFSLTTPVGIATGIGITNVYNESSPNALIVEGIFNAASAGILIYMALVDLLAADFMHPKVQSNGALQFGVNVSLLLGAGCMSLVAKWA >Potri.009G112430.1.v4.1 pep chromosome:Pop_tri_v4:9:9585445:9587545:-1 gene:Potri.009G112430.v4.1 transcript:Potri.009G112430.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112430.v4.1 MGGHVSKRPAEASSSSINLNNNLQYTTGLSSYEAACLLDKDLQSFDTTLQARTNHVINTLAVGVEVRALSFDSLKEVTECLLEMNQEVVKVILECKRDIWKNQELFELVEEYFENSLQTLDFCAALEKCLKRARDSQLLILVALQQFEEESEAGGSKYVKTLEELKSFKAAGDPFTEEFFQIFQSVYRQQITMLEKLQLRKNKLDKKLKCIHAWRKVSSIIFVATFATVLICSVVAAAMAAPPVAAALAAASSIPLGSMGKWIDSMWKNYENALKGQKEVISTMQVGTYVAIKDLDTIRVLINRLEIEIEALMQTTDFAIEHDAVKLAIEEIKKKLGVFMKNVEDLGLQADTCSRDIRRARTVVLQRIIKNPQN >Potri.001G251700.1.v4.1 pep chromosome:Pop_tri_v4:1:26790349:26792988:-1 gene:Potri.001G251700.v4.1 transcript:Potri.001G251700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251700.v4.1 MARSGLLAVTLLWTLVLLGTLAVTQAKKSKEDLKEITHKVYFDVEIDGKPAGRITMGLFGKTVPKTAENFRALCTGEKGIGKDGKPLHYKGNVFHRIIPSFMIQGGDFTLGDGRGGESIYGENFADENFKLKHTGPGTLSMANAGRDTNGSQFFITTVTTSWLDGRHVVFGKVMSGMDVVYKMEAEGRQNGTPKSKVVIADSGELPL >Potri.001G043700.1.v4.1 pep chromosome:Pop_tri_v4:1:3156263:3158821:-1 gene:Potri.001G043700.v4.1 transcript:Potri.001G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043700.v4.1 MPSRPLSPSFDHPRPSFLTKTRILFLTLTISASVVLIFAIVYFLYHLWCTLLNRSRTIPFDSSAPLRLQRFSYKELKIATDDFDDANTIGKGGTATVFRGIARDGKLYAIKRLDNLSLQSEREFQNELQILGGLRSPFLVILLGYCVEKNKRLLVYEYVPNKSLQELLFGDGHLSICWERRISIILDVAKALEFLHRECDPPVIHGDIKPSNVLLDFDLKAKISDFGLSRIKGEGDFGVDLFSQDLGKSQELWKSQELSGNMTSETPANGTPVESCHEVDFALALQASSSSKNNRTCYNVKALNLNSLNDNANIVSESVVKVRNGKGKEVSSVDIGWGDWNCKFVPYDDDFCSNDHSKELNCNSSSVVDDIASTKQWGKDWWWRQDGSGELCSKDYVMEWIGSQDCPSANLDWDDEKRSTPGRKELRRMVPLDKLDDANEPPRLKEFKFENHVSGFEKKEHEGRKNCRKKNRKMQEWWKDEQHLDGINQKGSKLKNIETKRKGGFRIPHFDLGRRFRFHRRREKMGEQNQNDTDQNGEFSFRRGWKKKNARSTGSDMWSGDLFSRELSSTTSMRGTLCYVAPECGGCGYLMEKADIYSLGVLILVIVSGRRPLHVLASPMKLEKANLISWCRQLAQTGNILELVDERVKDEYNKEQASLCINLALSCLQRMPELRPAIGEIVKILKGEMDLPPLPFEFSSSPPSKLCSRSRRKQGSNAE >Potri.011G145700.2.v4.1 pep chromosome:Pop_tri_v4:11:17429456:17434688:-1 gene:Potri.011G145700.v4.1 transcript:Potri.011G145700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145700.v4.1 MGLWQLFVVALMPVVKVLLITAVGVFLATERMDILGTDARKHLNSLVFYVLNPALVGSNLAKFITLKSIVMLWFMPLNILITFIAGSALGWLLIKITKAPIHLRGLILGCCAAGNLGNMPLIIIPAACEEKGNPFGDASICKMHGLAYATLSLAIGSILLWSYVYNIVRIYSSTDSDETKPDALPEGIESAREITPGPMLFLKEPSIDEGMENFELDRAVSKGKAKVPFPENIKQGFQKVLKKLNLKRLLSPSINGAIVGFIAGTIPPFRKVLIGDSAPLRVVEDSAYFVGESAITITTLILGANLLKGFRGSKVPISVIIGITAVRYIILPILGVGFIKCAVHFGAVNSDPLYKFVLLLQFALPPAINIGTMTQLFGAGEAEYSVIMLRTYALASVSVMLWSAFFMWLVK >Potri.011G145700.4.v4.1 pep chromosome:Pop_tri_v4:11:17429533:17431995:-1 gene:Potri.011G145700.v4.1 transcript:Potri.011G145700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145700.v4.1 MPLIIIPAACEEKGNPFGDASICKMHGLAYATLSLAIGSILLWSYVYNIVRIYSSTDSDETKPDALPEGIESAREITPGPMLFLKEPSIDEGMENFELDRAVSKGKAKVPFPENIKQGFQKVLKKLNLKRLLSPSINGAIVGFIAGTIPPFRKVLIGDSAPLRVVEDSAYFVGESAITITTLILGANLLKGFRGSKVPISVIIGITAVRYIILPILGVGFIKCAVHFGAVNSDPLYKFVLLLQFALPPAINIGTMTQLFGAGEAEYSVIMLRTYALASVSVMLWSAFFMWLVK >Potri.011G145700.5.v4.1 pep chromosome:Pop_tri_v4:11:17429533:17434467:-1 gene:Potri.011G145700.v4.1 transcript:Potri.011G145700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145700.v4.1 MGLWQLFVVALMPVVKVLLITAVGVFLATERMDILGTDARKHLNSLVFYVLNPALVGSNLAKFITLKSIVMLWFMPLNILITFIAGSALGWLLIKITKAPIHLRGLILGCCAAGNLGNMPLIIIPAACEEKGNPFGDASICKMHGLAYATLSLAIGSILLWSYVYNIVRIYSSTDSDETKPDALPEGIESAREITPGPMLFLKEPSIDEGMENFELDRAVSKGKAKVPFPENIKQGFQKVLKKLNLKRLLSPSINGAIVGFIAGTIPPFRKVLIGDSAPLRVVEDSAYFVGESAITITTLILGANLLKGFRGSKVPISVIIGITAVRYIILPILGVGFIKCAVHFGAVNSDPLYKFVLLLQFALPPAINIGTMTQLFGAGEAEYSVIMLRTYALASVSVMLWSAFFMWLVK >Potri.002G245200.1.v4.1 pep chromosome:Pop_tri_v4:2:23640643:23643129:1 gene:Potri.002G245200.v4.1 transcript:Potri.002G245200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245200.v4.1 MRTLSWPKRLKHLLLACKDEAPVTQIHALIITTGLFFANSNFNGLVIASYARIGGITSARHLFDKLPQRGVDAWNAMIVAYSRRYHLTEVLNLYHQMVNEGGKPDSSTFTVAIKASSSLKDLEAGERIWRRAVDFGYGCDVFVGSSVLNLYVKCGKIDEAKLVFDKMVKRDVVCWATMITGLVQNGNVLEAVDMFRRMRKEGIEGDGVLMLGLVQACANLGELKLGLSVHGHAVRREMLMDDVILQTSLVDMYAKIGDLELASRVFEQMPRKNAVSWGALISGFAQNGFAEYALDLLVEMQSLEFKPDTAVLVSALLACSQVGHLKLGKSIHGYIVRRLGFELVLGTALIDMYAKCGSLSCAHAIFDRVDSRDVILWNTMIASYGIHGDGKEVLSLFLKMKEANISPDHATFASLLSALSHSGQVDVGQYWFNAMVNECKIPPSEKHYACMVDLLSRAGRVEEAYQLIESMNTEPGLAIWVALLSGCHNYRNLLFGEVAAKKILDLNPDDLGIYALVSNFFSLARMWDRVSILRKIMKETGMKKVPGYSAVEVNGKHEAFLVEDKNHHQYEEILQMLDSLDNEMRVIRHVPDSLHDVEEVVI >Potri.001G455900.1.v4.1 pep chromosome:Pop_tri_v4:1:48234174:48235674:1 gene:Potri.001G455900.v4.1 transcript:Potri.001G455900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455900.v4.1 MAAATLLCWCNSDLIIHGSCSRLFVRNKVMDSNQSTVKSRGSYYAYQKQKKFFVCAATEGSAKSSKSEETIPSWAKPDSDEPPPWAKGEGKENSSKQNFEVPFFVYLLASAITAIAAIGSIFEYVNQRPVFGVVNPDSIFYAPLLGFFAFTGIPFSAFLWFKSVQAANKEAEEQDRRDGFF >Potri.001G080400.1.v4.1 pep chromosome:Pop_tri_v4:1:6395325:6399859:-1 gene:Potri.001G080400.v4.1 transcript:Potri.001G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080400.v4.1 MTPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKSDLTANKVVSYETAKAFADEIGIPFMETSAKNAINVEQAFMAMAADIKNRMASQPAANNARPPTVQIRGQPVNQNTGCCSS >Potri.009G168200.2.v4.1 pep chromosome:Pop_tri_v4:9:12816736:12819803:1 gene:Potri.009G168200.v4.1 transcript:Potri.009G168200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168200.v4.1 MRGWNIPRRYWIVILTFVCTCVCYIERVGFSIAYTIAADAAGVNQSSKGTILSTFYYGYACSQVPGGWAAQKFGGRKVLLLSFLLWSSTCFLIPLDPNRVVLLVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLVLPSLVKFKGPQSVFLAEAILGLSWSVLWLRFASDPPRSEHPKATAAGFGESLLPLKASQKAKMENGGTAVRTARIPWKRIFVSLPIWAIVVNNFTFHYALYVLMNWLPTYFEQGLQLSLQEMGSSKMMPYFNMFIFSNIGGVVADHLITKRILSVTRTRKLLNTVGFLVASLALIALPIFRTSSGAVFCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGKILEAATTTYSDLSSPESWRSVFVIPGLLCIFSTFVFLLFSTGERIFD >Potri.009G168200.1.v4.1 pep chromosome:Pop_tri_v4:9:12816696:12819803:1 gene:Potri.009G168200.v4.1 transcript:Potri.009G168200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168200.v4.1 MRGWNIPRRYWIVILTFVCTCVCYIERVGFSIAYTIAADAAGVNQSSKGTILSTFYYGYACSQVPGGWAAQKFGGRKVLLLSFLLWSSTCFLIPLDPNRVVLLVVARLLVGVAQGFIFPSIHTVLAQWVPPHERSRSVSLTTSGMYLGAAMGMLVLPSLVKFKGPQSVFLAEAILGLSWSVLWLRFASDPPRSEHPKATAAGFGESLLPLKASQKAKMENGGTAVRTARIPWKRIFVSLPIWAIVVNNFTFHYALYVLMNWLPTYFEQGLQLSLQEMGSSKMMPYFNMFIFSNIGGVVADHLITKRILSVTRTRKLLNTVGFLVASLALIALPIFRTSSGAVFCSSVALGFLALGRAGFAVNHMDIAPRYAGIVMGVSNTAGTLAGIIGVDLTGKILEAATTTYSDLSSPESWRSVFVIPGLLCIFSTFVFLLFSTGERIFD >Potri.004G221450.1.v4.1 pep chromosome:Pop_tri_v4:4:22653771:22654356:-1 gene:Potri.004G221450.v4.1 transcript:Potri.004G221450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G221450.v4.1 MEIFMKKLISVDIERHLELPNDTRREALPPFQGARENVIPIMFEQAGMKVDVHCSCRSGRLAFTKGWIGIARHLRLNAGDVVTLHREDQGGYKMTVRSAS >Potri.014G013500.5.v4.1 pep chromosome:Pop_tri_v4:14:785744:790675:-1 gene:Potri.014G013500.v4.1 transcript:Potri.014G013500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013500.v4.1 MVKKHSKLLGKTTVEEEDAADVEMDSRFWHDVLDLYFIRGKESRRKQDDDLVFFVRKRNTQGYGFNDSVESAASYFVRRWAPKLDNLVSESSAEVDWRRSFYLNLIAHTSYTVTVAICSQQVLRNHQAGQDTQLSPIYKVVKTVYASPSRVYFHLDSKKEVETTPAYPDICFAVDDFDSTFDAVVLTESDHCYCVLLNAHDGAAFPSDKELQDGSSSSNFCQKNDTSPGKEKNSKLTLFSGFVSYQMVREAYDAGKSRFGSLLGQSPGKTDRLYMKGPGGRGEVEVAVSGVADQSQQDVGPFSPVTSKKGFGIGSVFLKAASVASVAAKHAFAAASSSVSSDDEMLPLKCCLMSISLPWEYIAYDLLFKGSPPVNM >Potri.014G013500.1.v4.1 pep chromosome:Pop_tri_v4:14:785738:790780:-1 gene:Potri.014G013500.v4.1 transcript:Potri.014G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013500.v4.1 MLGDGGETPSRSELLSMVKKHSKLLGKTTVEEEDAADVEMDSRFWHDVLDLYFIRGKESRRKQDDDLVFFVRKRNTQGYGFNDSVESAASYFVRRWAPKLDNLVSESSAEVDWRRSFYLNLIAHTSYTVTVAICSQQVLRNHQAGQDTQLSPIYKVVKTVYASPSRVYFHLDSKKEVETTPAYPDICFAVDDFDSTFDAVVLTESDHCYCVLLNAHDGAAFPSDKELQDGSSSSNFCQKNDTSPGKEKNSKLTLFSGFVSYQMVREAYDAGKSRFGSLLGQSPGKTDRLYMKGPGGRGEVEVAVSGVADQSQQDVGPFSPVTSKKGFGIGSVFLKAASVASVAAKHAFAAASSSVSSDDEMLPLKCCLMSISLPWEYIAYDLLFKGSPPVNM >Potri.014G013500.6.v4.1 pep chromosome:Pop_tri_v4:14:785368:790862:-1 gene:Potri.014G013500.v4.1 transcript:Potri.014G013500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013500.v4.1 MLGDGGETPSRSELLSMVKKHSKLLGKTTVEEEDAADVEMDSRFWHDVLDLYFIRGKESRRKQDDDLVFFVRKRNTQGYGFNDSVESAASYFVRRWAPKLDNLVSESSAEVDWRRSFYLNLIAHTSYTVTVAICSQQVLRNHQAGQDTQLSPIYKVVKTVYASPSRVYFHLDSKKEVETTPAYPDICFAVDDFDSTFDAVVLTESDHCYCVLLNAHDGAAFPSDKELQDGSSSSNFCQKNDTSPGKEKNSKLTLFSGFVSYQMVREAYDGISSINYSKWFCFLSVVSSEVNLR >Potri.010G045600.1.v4.1 pep chromosome:Pop_tri_v4:10:7743981:7750536:-1 gene:Potri.010G045600.v4.1 transcript:Potri.010G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045600.v4.1 MATAQEKATPTSFASAAGKAAAADGVLQSWTDPSQDPITKRSPTSVSLIRPVSSLSPDPNTKGITIMTRAQTCHPLEPLTAAEISVAVATVRAAGATPEVRDSMRFVEVVLVEPVKHVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRRARLVVYSKRSNETSIWIVELAEVRATTRGGHHRGKVISSEVVPNVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIDDMDLVMVDPWCAGYHSDSDAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVIEFEDRKLIPLPPADPLRNYTSGETRGGVDRSDIKPLQIIQPEGPSFRVSGHFIEWQKWNFRIGFTPREGLVIYSVAYVDDGRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLSVSFVCTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALPPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCRPGETFNQVVEVNVKIEEPGKDNVHNNAFYAEEELLRSELQAMRDCNPLSARHWIIRNTRTVNRSGQLTGYKLMPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYARDEMYPGGEFPNQNPRVGEGLATWVKQNRSLEETDVVLWYVFGVTHIPRLEDWPVMPVERIGFMLMPYGFFNSSPAVDVPPSASDLDLKDNVVAAKPIQNGLLAKL >Potri.010G045600.4.v4.1 pep chromosome:Pop_tri_v4:10:7744028:7750536:-1 gene:Potri.010G045600.v4.1 transcript:Potri.010G045600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045600.v4.1 MTRAQTCHPLEPLTAAEISVAVATVRAAGATPEVRDSMRFVEVVLVEPVKHVVALADAYFFPPFQPSLLPRTKGGPVIPTKLPPRRARLVVYSKRSNETSIWIVELAEVRATTRGGHHRGKVISSEVVPNVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIDDMDLVMVDPWCAGYHSDSDAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMVVIEFEDRKLIPLPPADPLRNYTSGETRGGVDRSDIKPLQIIQPEGPSFRVSGHFIEWQKWNFRIGFTPREGLVIYSVAYVDDGRGRRPVAHRLSFVEMVVPYGDPNDPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGYIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLSVSFVCTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALPPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCRPGETFNQVVEVNVKIEEPGKDNVHNNAFYAEEELLRSELQAMRDCNPLSARHWIIRNTRTVNRSGQLTGYKLMPGSNCLPLAGSEAKFLRRAAFLKHNLWVTPYARDEMYPGGEFPNQNPRVGEGLATWVKQNRSLEETDVVLWYVFGVTHIPRLEDWPVMPVERIGFMLMPYGFFNSSPAVDVPPSASDLDLKDNVVAAKPIQNGLLAKL >Potri.004G115500.6.v4.1 pep chromosome:Pop_tri_v4:4:10695695:10703097:-1 gene:Potri.004G115500.v4.1 transcript:Potri.004G115500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115500.v4.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTSNMLKTLERYQKCSYGAEEVNKPAKELESSYREYLKVKARFEALQRTQRNLLGEDLGPLNTKELEQLERQLESSLNQVRSTKTQYMLDQLADLQNKEHLLLEANRGLTIKLDEISARNSLRPSWEGDDQQNMSYGHQHAQSQGLFQALECNPTLQIGYNPVGSDQMTATTHATQQVHGFIPGWML >Potri.004G115500.7.v4.1 pep chromosome:Pop_tri_v4:4:10695695:10703097:-1 gene:Potri.004G115500.v4.1 transcript:Potri.004G115500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115500.v4.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSTSNMLKTLERYQKCSYGAEEVNKPAKELESSYREYLKVKARFEALQRTQRNLLGEDLGPLNTKELEQLERQLESSLNQVRSTKTQYMLDQLADLQNKEHLLLEANRGLTIKLDEISARNSLRPSWEGDDQQNMSYGHQHAQSQGLFQALECNPTLQIGYNPVGSDQMTATTHATQQVHGFIPGWML >Potri.007G085300.4.v4.1 pep chromosome:Pop_tri_v4:7:10996074:10998894:-1 gene:Potri.007G085300.v4.1 transcript:Potri.007G085300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085300.v4.1 MEEEANSWIRRTNFSHTVYHRLDSSRMASFPINIQTERIVGLKSRPGTGTASPKQKSQQSFFNQNSQHSFPNQKSLSFPSQKSSVSNNSQIQRNPLSNKQRSLSPLPKTILPDTFKEARSVNKRFSTPLPRRKDQDMGIIGKFLHKELKETKEKLNTSPLRHLALMKGGHEKSRSKKESAWTKYFDHGGGKVNAVEAADECSVDLSKLFLGLRFAHGAHSRLYHGLYKDEPVAVKLIRVPDDDENGNLAIRLENQFNREVMLLSHLHHPNVIKFVAACRKPPVYCVITEYLSEGSLRAYLHKLEHKTLSLGKLMTIALDIARGMEYIHSQGVIHRDLKPENVLIDQEFHLKIADFGIACGDAYCDSLADDPGTYRWMAPEMIKKKSYGRKVDVYSFGLILWEMVAGTIPYEDMTPIQAAFAVVNKNSRPVIPRDCPAAMGALIEQCWSLQPDKRPEFWQIVKVLEQFESSLAHDGTLNLVPNPACQDHKKGMLHWIQKLGTVNPNSTPMPKPKFT >Potri.001G379601.2.v4.1 pep chromosome:Pop_tri_v4:1:39991605:39994929:-1 gene:Potri.001G379601.v4.1 transcript:Potri.001G379601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379601.v4.1 MLLTANLKGKKHLEHLQLRWHGDTDDAAHERDVLEQLQPHTNVESISIIGYAGPTFPEWVGDSSFSNIVSLTLSECKRCSSFPPLGQLASLKYHVVQAFDGVVVIGTEFYGSCMNPFGNLEELRFERMPHLHEWISSEGGAFPVLRELYIKECPNVSKALPSHLPSLTTLEIERCQQLAAALPTTPPICRLKLDDISRYVLVTKLPSGLHGLRVDAFNPISSLLEGMERMGAPSTNLEEMEIRNCGSLMSFPLQMFSKLKSFQISECPNLESLVAYERSHGNFTRSCLNSVCPDLTLLRLWNCSNVKSLPKCMLSLLPSLEILQLVNCPELV >Potri.001G379601.1.v4.1 pep chromosome:Pop_tri_v4:1:39990804:39993538:-1 gene:Potri.001G379601.v4.1 transcript:Potri.001G379601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379601.v4.1 MLLTANLKGKKHLEHLQLRWHGDTDDAAHERDVLEQLQPHTNVESISIIGYAGPTFPEWVGDSSFSNIVSLTLSECKRCSSFPPLGQLASLKYHVVQAFDGVVVIGTEFYGSCMNPFGNLEELRFERMPHLHEWISSEGGAFPVLRELYIKECPNVSKALPSHLPSLTTLEIERCQQLAAALPTTPPICRLKLDDISRYVLVTKLPSGLHGLRVDAFNPISSLLEGMERMGAPSTNLEEMEIRNCGSLMSFPLQMFSKLKSFQISECPNLESLVAYERSHGNFTRSCLNSVCPDLTLLRLWNCSNVNLCPNVSSPSSLRLRFCNLLTVQNLCHSQKGAYLPKLQSLQIRNCRKLIAGRMEWNLQALQCLSHFSFGEYEDIESFPEKTLLPTTLIIVGIWDLQNLKSLDYEGLQHLTSLTQMRISHCPNLQSMPGGAAIFSFFFNNLSMPFTGTKVSA >Potri.018G035500.2.v4.1 pep chromosome:Pop_tri_v4:18:2749914:2759111:-1 gene:Potri.018G035500.v4.1 transcript:Potri.018G035500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035500.v4.1 MSGSETRSSLPFPFRRRSSGDVTKNLASVSSSLLPAFGTVVDDGYLHLKKYVIAPYDRRYRWWQTFLVVLVVYSAWASTFELAFKKAATGALLPVDLVVDAFFAADIVLTFFVAYLDNSTYLLVDDHKKIAARYITRLLFPMDIASTLPFQIIYRIITGEMHEGETFGFLNLLRLWRLRRVAELYKRLEKDTRFSYFYTRLIKLISVTLFAVHSAGCFYYWLAAHHKTSGNTWIGVQVKDFKHRSIWLGYTYSIYWSIVTLSTVGYGDLHAVNTGEKIFNMFYMLFNIGLTAYIIGNMTNLIVHAAVRTFAMRNAINQILRYASKNRLPEGLKEQMLAHMQLKFKTAELQQEEVLEDLPKAIRTSIALHLFHGVVASTYLFKGVSEDLLTQLVTEMKAEYFPPKVEIILQNEIPTEFYILVSGTLDVLVYKNGTDQVLSKIGPSDVAGEIGVVFNIPQPFTMRTKRLSQVIRLSHHHLKQMVQPHSEDGKTIISNFTQYMKDLKQEMQQEIPSLKELLGDTTVEQATANEELPSSDAVNSQRETNLEGTPEDSTSLASKYPTRVIIHGHHPNESPTGDTNGKLIHLPDSIEDLFNVAEKKFGKRGNKILMEDGSEVEELDALRENDRLFIFES >Potri.008G133500.4.v4.1 pep chromosome:Pop_tri_v4:8:8869746:8872693:-1 gene:Potri.008G133500.v4.1 transcript:Potri.008G133500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133500.v4.1 MSRTITMAPLISLLTIALLTTPAISSVDTFVYGGCSQVKYTPGSPYESNVNSLLTSLVNSATFTIYNNFTIKSPTSQDTLYGLFQCRGDLSNGDCASCVARAVSQLGTLCLDSTGGALQLDGCFVKYDNTTFLGVEDKTEVLKKCGPLIAYDSDELNRRDAVMDYLGTSDGSSKPFRIGGSGDISAVAQCVQDLSASECQDCLSEVVGRLKTYCGAAASGDMYLAKCYVRFSKAGAHSHGGNVDHDENDEVEKTLAILVGLIAGVALLIVFLAFLRKACGKGKCGK >Potri.008G133500.1.v4.1 pep chromosome:Pop_tri_v4:8:8870181:8872603:-1 gene:Potri.008G133500.v4.1 transcript:Potri.008G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133500.v4.1 MSRTITMAPLISLLTIALLTTPAISSVDTFVYGGCSQVKYTPGSPYESNVNSLLTSLVNSATFTIYNNFTIKSPTSQDTLYGLFQCRGDLSNGDCASCVARAVSQLGTLCLDSTGGALQLDGCFVKYDNTTFLGVEDKTEVLKKCGPLIAYDSDELNRRDAVMDYLGTSDGSSKPFRIGGSGDISAVAQCVQDLSASECQDCLSEVVGRLKTYCGAAASGDMYLAKCYVRFSKAGAHSHGGNVDHDENDEVEKTLAILVGLIAGVALLIVFLAFLRKACGKGKCKLLVYLSLHLYSSLYCVP >Potri.008G133500.5.v4.1 pep chromosome:Pop_tri_v4:8:8871322:8872640:-1 gene:Potri.008G133500.v4.1 transcript:Potri.008G133500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133500.v4.1 MSRTITMAPLISLLTIALLTTPAISSVDTFVYGGCSQVKYTPGSPYESNVNSLLTSLVNSATFTIYNNFTIKSPTSQDTLYGLFQCRGDLSNGDCASCVARAVSQLGTLCLDSTGGALQLDGCFVKYDNTTFLGVEDKTEVLKKCGPLIAYDSDELNRRDAVMDYLGTSDGSSKPFRIGGSGDISAVAQCVQDLSASECQDCLSEVVGRLKTYCGAAASGDMYLAKCYVRFSKAGAHSHGGNGKLLRHRPAGWWFKVFCFWLNVSWFKLMSN >Potri.008G133500.2.v4.1 pep chromosome:Pop_tri_v4:8:8869746:8872577:-1 gene:Potri.008G133500.v4.1 transcript:Potri.008G133500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133500.v4.1 MSRTITMAPLISLLTIALLTTPAISSVDTFVYGGCSQVKYTPGSPYESNVNSLLTSLVNSATFTIYNNFTIKSPTSQDTLYGLFQCRGDLSNGDCASCVARAVSQLGTLCLDSTGGALQLDGCFVKYDNTTFLGVEDKTEVLKKCGPLIAYDSDELNRRDAVMDYLGTSDGSSKPFRIGGSGDISAVAQCVQDLSASECQDCLSEVVGRLKTYCGAAASGDMYLAKCYVRFSKAGAHSHGGNVDHDENDEVEKTLAILVGLIAGVALLIVFLAFLRKACGKGKCGK >Potri.005G114400.1.v4.1 pep chromosome:Pop_tri_v4:5:8334793:8336405:1 gene:Potri.005G114400.v4.1 transcript:Potri.005G114400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114400.v4.1 MNREEGGLLVVNGRAEIDTRAPFKSVREAVVLFGERVLAGEIYANKLKEMRTGGRENGQAAPSRFGALTAELEETKQSLQKAREEGNLMTYSIKSLREELEETKKELQRLRARERMHKKQPVLDDPEIEDIKFVENATKMEMIKNHTGIEEPTDFQKKRSVKFASPPSLAKVIVSKEEVLERPHSFKRMARKRSLIPLLGWLFSKKKGTQDDESPR >Potri.012G086000.1.v4.1 pep chromosome:Pop_tri_v4:12:11156044:11157317:-1 gene:Potri.012G086000.v4.1 transcript:Potri.012G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086000.v4.1 MKNRSEHEDDDKHELEIFKGVAQSWHAHSGSSRSTSCEYDKYRQNFQSKPSRFKLEAMNKSSAKRVERGNWDFKQSLWDSYEIVNVYKRLERGLVLDDSFSGVDAQRRVHRKKRAKKSLTNLFNLISSRRFKEAEDPREDNNQY >Potri.005G105400.3.v4.1 pep chromosome:Pop_tri_v4:5:7585078:7587245:-1 gene:Potri.005G105400.v4.1 transcript:Potri.005G105400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105400.v4.1 MSQWAHLPKELLDMISKCLDSRVDLVCFRAVCTSWRYSASSPSFDQEIPRLILKLPSPIHADAILMQTAVCRMELISKYPNSFSSFSKSWLVKVGESKYGKQQLLNPLTNYKIKYSPISVNFLDFKFVQLNKAFLLQQSRRFLVFGIIKVVPFPISASCSNMDGFGILAIYHEGKLGYWKYGDKEWTLLDYKNFQYDDIIVYREQFYVIDRRGTVYWIDSSLKVIQYSPPLYGCGGQKNLVESRGDLYVVDRYLDGERRTWKDYENVMDYSDNPFRVRKLRKQSRPRAVDLRVYKLDEELATWVDVKSLDDQIFVLGTDCSFSISCREFNGGKGNCIYFVDGDDHAGRGLSASSIHVFQLEDRSIGKLDVMPEFSDIFWPPNMFDSSIQNNPGLDL >Potri.005G105400.2.v4.1 pep chromosome:Pop_tri_v4:5:7585080:7587283:-1 gene:Potri.005G105400.v4.1 transcript:Potri.005G105400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105400.v4.1 MSQWAHLPKELLDMISKCLDSRVDLVCFRAVCTSWRYSASSPSFDQEIPRLILKLPSPIHADAILMQTAVCRMELISKYPNSFSSFSKSWLVKVGESKYGKQQLLNPLTNYKIKYSPISVNFLDFKFVQLNKAFLLQQSRRFLVFGIIKVVPFPISASCSNMDGFGILAIYHEGKLGYWKYGDKEWTLLDYKNFQYDDIIVYREQFYVIDRRGTVYWIDSSLKVIQYSPPLYGCGGQKNLVESRGDLYVVDRYLDGERRTWKDYENVMDYSDNPFRVRKLRKQSRPRAVDLRVYKLDEELATWVDVKSLDDQIFVLGTDCSFSISCREFNGGKGNCIYFVDGDDHAGRGLSASSIHVFQLEDRSIGKLDVMPEFSDIFWPPNMFDSSIQNNPGLDL >Potri.005G105400.1.v4.1 pep chromosome:Pop_tri_v4:5:7585104:7587283:-1 gene:Potri.005G105400.v4.1 transcript:Potri.005G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105400.v4.1 MSQWAHLPKELLDMISKCLDSRVDLVCFRAVCTSWRYSASSPSFDQEIPRLILKLPSPIHADAILMQTAVCRMELISKYPNSFSSFSKSWLVKVGESKYGKQQLLNPLTNYKIKYSPISVNFLDFKFVQLNKAFLLQQSRRFLVFGIIKVVPFPISASCSNMDGFGILAIYHEGKLGYWKYGDKEWTLLDYKNFQYDDIIVYREQFYVIDRRGTVYWIDSSLKVIQYSPPLYGCGGQKNLVESRGDLYVVDRYLDGERRTWKDYENVMDYSDNPFRVRKLRKQSRPRAVDLRVYKLDEELATWVDVKSLDDQIFVLGTDCSFSISCREFNGGKGNCIYFVDGDDHAGRGLSASSIHVFQLEDRSIGKLDVMPEFSDIFWPPNMFDSSIQNNPGLDL >Potri.018G018200.1.v4.1 pep chromosome:Pop_tri_v4:18:1239770:1240682:-1 gene:Potri.018G018200.v4.1 transcript:Potri.018G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018200.v4.1 MASFQRAAVFSLVLMSLLWGSSQAKDLLVGGKTDAWKIPSSESDSLNKWAGKARFLIGDSLVWKYDGQKDSVLQVTKEAYAACNTTNPIEEYKDGNTKVKLDKSGPFYFISGAEGHCEKGQKIVVVVLSQKHKQVGYVGSPAPSPVEFVGPAVARTSSASNLRGGLLVALGVLVLGLF >Potri.007G022950.1.v4.1 pep chromosome:Pop_tri_v4:7:1758923:1760321:-1 gene:Potri.007G022950.v4.1 transcript:Potri.007G022950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022950.v4.1 MSTEENGSTRYRGPHPHLVNVLKQRRSGYEPSDTETDWQDSPRRDQKNGAFGPESPIQLDLPRNVSPLKNSRRFSSRFDDYSPKKDSVSSPPRRRHSSKSPYKPQRDNRSSEPIPNQRNVDPLLRRQISPYKAQRDEGGSVSPTSSRRNLDNLLKSESGRQVSPCKAQREEGCAVSPESSQANVSSLSKPDQRRQVSPYKAQREEGGAVSPESSQRNASPLSKPDQRRQVSPYKAQRGGGAVSPKPRQRNVSPLSKPDKGRQISPFKSGRKEHGMHEDGEIVSSNRRKNQRMPTREERSTQLQFDEDSRLSERQNASRRMAAAPKQRVWDKEQVSSHDHKEQKGGRSVG >Potri.017G116100.1.v4.1 pep chromosome:Pop_tri_v4:17:12330088:12331199:-1 gene:Potri.017G116100.v4.1 transcript:Potri.017G116100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116100.v4.1 MADTNGSLNQTYQQNPSMQSLFQALDPISLILSQNSADSDHPVPLRLTTESYIMERGPRYGAYADLRETKLRMKMLRQQEYEENDIRQTPPKKQVKFLENLRQQQCEENDFKRTPPKKQVKFQENLGNSRKGLSVLAQSVPDFSATLRKENRKPRQELTPPSKNVLKMNNGLLSNSRGSKSANAGEKRNGGLLMARKSYVSVEELKGLSLAAANAINGENRGNRGGRGIGTGKTVLGYRQF >Potri.005G035200.1.v4.1 pep chromosome:Pop_tri_v4:5:2268321:2272553:-1 gene:Potri.005G035200.v4.1 transcript:Potri.005G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035200.v4.1 MPSLLLILILLLLSCILKLTLSMIWVPLRIQAHFKKQGISGPKYRFFFGNSAEIRRLFAAAAESKSTCINHDVLHRVAPLYYEWSRKYGKTFLYWFGSKPRLAMSDPDMIKEILMNTGGSFEKIPFNPQSKVLFGGGLVGLDGDKWALHRRITNQAFNMERVKGWVPEIVGSVAKMLEKWEGIRGGRDEFEMDVHKELHDLSADIISRTAFGSSYQEGKRIFTLQEQQMHLVSQALRSVYIPGFRFVPTKKNRERRRLENETREAIRMLIKNNSRARENSMNLLSSLMSSYKNQEGKEDTLGVEEIIDECKTFYFAGKETTANLLTWSLILLALHQEWQNKAREEVFSVCGGNDLPVAENLNDLKIVNLILNETLRLYPPATMLMRQASKKVKLGTLDIPAGTQFYLSLTAVHHDTDIWGEDVSEFNPLRFNESRNHLASFFPFGIGPRICVGQNLAIVEAKIVLAMLIKHYSFIVSPTYVHAPMLFISLQPQYGAQILFRRISN >Potri.006G040500.1.v4.1 pep chromosome:Pop_tri_v4:6:2702580:2709299:-1 gene:Potri.006G040500.v4.1 transcript:Potri.006G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040500.v4.1 MGLCHGKPVELQQNQSKNNTLSIETDSTKPPNSHTRKNSNFPFYSPSPLSSLFKTSPAVSSVRSTPLRIFKRPFPPPSPAKHIRALLARRHGSVKPNEASIPEGNEIDIGLDKNFGFSKQFASHYELGEEVGRGHFGYTCSAKANKGSLKGQNVAVKVIPKSKMTTVVAIEDVRREVKILRALTGHKNLVQFYDAYEDDDNVYAIMELCEGGELLDRILSRGGKYSEEDARTVMVQILSVVAYCHLQGVVHRDLKPENFLFTTKEDNSTLKAIDFGLSDYVKPDERLNDIVGSAYYVAPEVLHRSYGTEADMWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDEAPWPSLSPEAIDFVKRLLNKDYRKRLTAAQALSHPWLANHHDIKIPLDMIVYKLAKAYIYSSSLRKSALRALAKTLTVAQIAFLREQFTLLGPSKNGFISMQNFKTAVIKHSTDAMKDSRVLDYVNMVSSLQYSKLDFEEFSAVAISVHQLEGMDCWEQNVRRAYELFEKDGNRPIMFEELASEMRHSDGKLTFLGFVKLLHGVSSRIFQKA >Potri.006G131100.4.v4.1 pep chromosome:Pop_tri_v4:6:10691960:10695963:1 gene:Potri.006G131100.v4.1 transcript:Potri.006G131100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131100.v4.1 MGRKGKWFSSVKKALSPDSKEKKDQKSNKSKKKWFGKQQLDSDSTSLENVTMRSPPPPQPDEVKLIETTNEENQHTYSVPVVTAAVAEHAPITVQTTTEVFQPTKVNKYAGKSKEEVAAIKIQTAFRGYMARRALRALRGLFRLKSLMEGPTIKRQATHTLHCMQTLARVQSQIHTRRIRMSEENQALQRQLLHEHAKEFESLQIGEEWDDSLQSKEQIEAKLLNKFEAAVRRERALAYSFSHQQAWKISSRAVNPMFMSGNPSWGWSWLERWMAAHPWESRSMTEKELNNDHSSLKSASRSITGGDISKSYARYQLNSDKLTPRESERPTKTANLQFQSTPNKPAASTVARKLKSASPRSGIGGLDDESKSVVSVQSDHSRRHSIAGSFVRDDESLGSSPPLPSYMVPTESARAKSRLQNPLGAEMNGAPEKEKGSLGSAKKRLSYPPSPAKARRYSGPPKLESSLKAENSEAAGEGI >Potri.006G131100.5.v4.1 pep chromosome:Pop_tri_v4:6:10692397:10695941:1 gene:Potri.006G131100.v4.1 transcript:Potri.006G131100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131100.v4.1 MRSPPPPQPDEVKLIETTNEENQHTYSVPVVTAAVAEHAPITVQTTTEVFQPTKVNKYAGKSKEEVAAIKIQTAFRGYMARRALRALRGLFRLKSLMEGPTIKRQATHTLHCMQTLARVQSQIHTRRIRMSEENQALQRQLLHEHAKEFESLQIGEEWDDSLQSKEQIEAKLLNKFEAAVRRERALAYSFSHQQAWKISSRAVNPMFMSGNPSWGWSWLERWMAAHPWESRSMTEKELNNDHSSLKSASRSITGGDISKSYARYQLNSDKLTPRESERPTKTANLQFQSTPNKPAASTVARKLKSASPRSGIGGLDDESKSVVSVQSDHSRRHSIAGSFVRDDESLGSSPPLPSYMVPTESARAKSRLQNPLGAEMNGAPEKEKGSLGSAKKRLSYPPSPAKARRYSGPPKLESSLKAENSEAAGEGI >Potri.006G131100.3.v4.1 pep chromosome:Pop_tri_v4:6:10691960:10695964:1 gene:Potri.006G131100.v4.1 transcript:Potri.006G131100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131100.v4.1 MGRKGKWFSSVKKALSPDSKEKKDQKSNKSKKKWFGKQQLDSDSTSLENVTMRSPPPPQPDEVKLIETTNEENQHTYSVPVVTAAVAEHAPITVQTTTEVFQPTKVNKYAGKSKEEVAAIKIQTAFRGYMARRALRALRGLFRLKSLMEGPTIKRQATHTLHCMQTLARVQSQIHTRRIRMSEENQALQRQLLHEHAKEFESLQIGEEWDDSLQSKEQIEAKLLNKFEAAVRRERALAYSFSHQQAWKISSRAVNPMFMSGNPSWGWSWLERWMAAHPWESRSMTEKELNNDHSSLKSASRSITGGDISKSYARYQLNSDKLTPRESERPTKTANLQFQSTPNKPAASTVARKLKSASPRSGIGGLDDESKSVVSVQSDHSRRHSIAGSFVRDDESLGSSPPLPSYMVPTESARAKSRLQNPLGAEMNGAPEKEKGSLGSAKKRLSYPPSPAKARRYSGPPKLESSLKAENSEAAGEGI >Potri.006G131100.2.v4.1 pep chromosome:Pop_tri_v4:6:10691966:10695953:1 gene:Potri.006G131100.v4.1 transcript:Potri.006G131100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131100.v4.1 MGRKGKWFSSVKKALSPDSKEKKDQKSNKSKKKWFGKQQLDSDSTSLENVTMRSPPPPQPDEVKLIETTNEENQHTYSVPVVTAAVAEHAPITVQTTTEVFQPTKVNKYAGKSKEEVAAIKIQTAFRGYMARRALRALRGLFRLKSLMEGPTIKRQATHTLHCMQTLARVQSQIHTRRIRMSEENQALQRQLLHEHAKEFESLQIGEEWDDSLQSKEQIEAKLLNKFEAAVRRERALAYSFSHQQAWKISSRAVNPMFMSGNPSWGWSWLERWMAAHPWESRSMTEKELNNDHSSLKSASRSITGGDISKSYARYQLNSDKLTPRESERPTKTANLQFQSTPNKPAASTVARKLKSASPRSGIGGLDDESKSVVSVQSDHSRRHSIAGSFVRDDESLGSSPPLPSYMVPTESARAKSRLQNPLGAEMNGAPEKEKGSLGSAKKRLSYPPSPAKARRYSGPPKLESSLKAENSEAAGEGI >Potri.004G104500.3.v4.1 pep chromosome:Pop_tri_v4:4:9171881:9177182:-1 gene:Potri.004G104500.v4.1 transcript:Potri.004G104500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104500.v4.1 MHGKLHWSAGAVLYQYGYSLGCRKGVKDCSFSSSPVVDRCEASLYISNNAGELYSLSLRSPHFNWVQDLSSFDKNFTVTPGNNGRLYVTVPPLSLVLTLDVLKGNILWQTSIGPLGSAECEPVVDSNGWVSIGSLDGFLYSISPTGALKKYSKASEQDYVIQVSPHLDCSGNAVYMSQTEMEGKVVHTVGESTCVSAMKPKGVLFTMLVPATGVIHWSESYPGKVSSMLSQSDLKNFVLDEGLLLSFIAASRTGKPLPCRSKHQKLLSTCSQARPRHHSIYTGNERTILLFLLLESMLLVILAGLVRFCCIFWTKKKLQDQGLGSFLEKRRSLQLKKKEFDRTITQLEKKAANEAVAHEVIEEIGDLVRERESISRKLSTTYSLGRDGKGLRSESLLPVYDGKSRSYSFQSARKESVTIFHTLSNTSSGESSKEKDSDRDFHEENQSSAKGKGKARAPVETESSSDDDIFEKGYHRSSSEPTSSSKRYANPFFMEQELTEEELNDEGKVVESATGSGRTRSMWLKRRKTLSSTN >Potri.004G104500.2.v4.1 pep chromosome:Pop_tri_v4:4:9171881:9177181:-1 gene:Potri.004G104500.v4.1 transcript:Potri.004G104500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104500.v4.1 MAVETLLFLLLVIASVFTESQNYPREGPSVRTDLRLSRPLIGEDGRIYICSEKTLYAFESNGSIAWTSYLSYACNASMAPVHGTVGKLYLVAESRVLRINFSDVRSSPPAVEVFFGPEKGQAGAGDIIGLAASTLSSSVFINIKNRGLFAYEMHGKLHWSAGAVLYQYGYSLGCRKGVKDCSFSSSPVVDRCEASLYISNNAGELYSLSLRSPHFNWVQDLSSFDKNFTVTPGNNGRLYVTVPPLSLVLTLDVLKGNILWQTSIGPLGSAECEPVVDSNGWVSIGSLDGFLYSISPTGALKKYSKASEQDYVIQVSPHLDCSGNAVYMSQTEMEGKVVHTVGESTCVSAMKPKGVLFTMLVPATGVIHWSESYPGKVSSMLSQSDLKNFVLDEGLLLSFIAASRTGKPLPCRSKHQKLLSTCSQARPRHHSIYTAGLVRFCCIFWTKKKLQDQGLGSFLEKRRSLQLKKKEFDRTITQLEKKAANEAVAHEVIEEIGDLVRERESISRKLSTTYSLGRDGKGLRSESLLPVYDGKSRSYSFQSARKESVTIFHTLSNTSSGESSKEKDSDRDFHEENQSSAKGKGKARAPVETESSSDDDIFEKGYHRSSSEPTSSSKRYANPFFMEQELTEEELNDEGKVVESATGSGRTRSMWLKRRKTLSSTN >Potri.004G104500.4.v4.1 pep chromosome:Pop_tri_v4:4:9171881:9177182:-1 gene:Potri.004G104500.v4.1 transcript:Potri.004G104500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104500.v4.1 MHGKLHWSAGAVLYQYGYSLGCRKGVKDCSFSSSPVVDRCEASLYISNNAGELYSLSLRSPHFNWVQDLSSFDKNFTVTPGNNGRLYVTVPPLSLVLTLDVLKGNILWQTSIGPLGSAECEPVVDSNGWVSIGSLDGFLYSISPTGALKKYSKASEQDYVIQVSPHLDCSGNAVYMSQTEMEGKVVHTVGESTCVSAMKPKGVLFTMLVPATGVIHWSESYPGKVSSMLSQSDLKNFVLDEGLLLSFIAASRTGKPLPCRSKHQKLLSTCSQARPRHHSIYTAGLVRFCCIFWTKKKLQDQGLGSFLEKRRSLQLKKKEFDRTITQLEKKAANEAVAHEVIEEIGDLVRERESISRKLSTTYSLGRDGKGLRSESLLPVYDGKSRSYSFQSARKESVTIFHTLSNTSSGESSKEKDSDRDFHEENQSSAKGKGKARAPVETESSSDDDIFEKGYHRSSSEPTSSSKRYANPFFMEQELTEEELNDEGKVVESATGSGRTRSMWLKRRKTLSSTN >Potri.004G104500.1.v4.1 pep chromosome:Pop_tri_v4:4:9171881:9177181:-1 gene:Potri.004G104500.v4.1 transcript:Potri.004G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104500.v4.1 MAVETLLFLLLVIASVFTESQNYPREGPSVRTDLRLSRPLIGEDGRIYICSEKTLYAFESNGSIAWTSYLSYACNASMAPVHGTVGKLYLVAESRVLRINFSDVRSSPPAVEVFFGPEKGQAGAGDIIGLAASTLSSSVFINIKNRGLFAYEMHGKLHWSAGAVLYQYGYSLGCRKGVKDCSFSSSPVVDRCEASLYISNNAGELYSLSLRSPHFNWVQDLSSFDKNFTVTPGNNGRLYVTVPPLSLVLTLDVLKGNILWQTSIGPLGSAECEPVVDSNGWVSIGSLDGFLYSISPTGALKKYSKASEQDYVIQVSPHLDCSGNAVYMSQTEMEGKVVHTVGESTCVSAMKPKGVLFTMLVPATGVIHWSESYPGKVSSMLSQSDLKNFVLDEGLLLSFIAASRTGKPLPCRSKHQKLLSTCSQARPRHHSIYTGNERTILLFLLLESMLLVILAGLVRFCCIFWTKKKLQDQGLGSFLEKRRSLQLKKKEFDRTITQLEKKAANEAVAHEVIEEIGDLVRERESISRKLSTTYSLGRDGKGLRSESLLPVYDGKSRSYSFQSARKESVTIFHTLSNTSSGESSKEKDSDRDFHEENQSSAKGKGKARAPVETESSSDDDIFEKGYHRSSSEPTSSSKRYANPFFMEQELTEEELNDEGKVVESATGSGRTRSMWLKRRKTLSSTN >Potri.013G158500.1.v4.1 pep chromosome:Pop_tri_v4:13:15318506:15320296:-1 gene:Potri.013G158500.v4.1 transcript:Potri.013G158500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158500.v4.1 MHLLFPNELQPMFTSNATMSVQPKVKFTEHVFITSKLIENQQLHPSSRTRQRLVRIIHTDPDATDSSSDEEEEEQERKYVKRVKRQVSEISLLEQETTAPAAPSSTTSNDDQEPRRKRPSSRLPLPDVSRQKKFRGVRQRPWGKWSAEIRDPTRRKRVWLGTFDTAEEAATVYDRAALKLKGPDAVTNFPTNSVITEKAHVNSGSSDVKCESRESPSIRGTANVMASPALVLRYVDLTPSDAVLTEKAKVVDGQRESCESPLSGVHDMSSPTSVLPYEELSPFDSLGYGDVDAFGFEIDVPLSLPNSMLSGNFFDKEEFVDLDDFLVDAIC >Potri.002G169300.3.v4.1 pep chromosome:Pop_tri_v4:2:12995330:12997576:1 gene:Potri.002G169300.v4.1 transcript:Potri.002G169300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169300.v4.1 MEPKLLFSSSIASLSTSLPFFLSQKSSYFLERPKLSVRTNLSVSPFQLENSQFLGNGLVFVERNGSFSGKFRKTRLPFEPVRAAGVKWRKERPFDNVIDRDKKLKLVMKIRKILVNQPNRIMLLRELGKFRRELGLTKKRRFIALLKKFPAVFEIVEEGVYSLQFRLTPEAERLYLEELKVRNEMEDLLVLKLRKLLMMSMDKRILLEKIAHLKTDFGLPFEFRDTICHRYPQYFRVVATGRGPALELTHWDPELAVSAAELADEENRAKELQEKDLIIDRPLKFNRVKLPKGLQLSKSEMRRVCQFRDIPYISPYSDFSHLRSGSKEKEKHACGVVHEILSLTVEKRILVDHLTHFREEFRFSQQLRGMLIRHPDLFYVSLKGDRDSVFLREAYCDSHLVEKDRLLLIKEKLRSLVMVPRFPRRGAPKTDSVAGEDGTSGHEDGIIKEAEDWSDIDSYLSGDELNDDEDDDYEDDWSDEDDDMPPDFEDDDDDGTVRIRLSKSIKQTDTVTNDKEKVLTPVFPDGRPRELW >Potri.003G095100.5.v4.1 pep chromosome:Pop_tri_v4:3:12116696:12119352:-1 gene:Potri.003G095100.v4.1 transcript:Potri.003G095100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095100.v4.1 MNSTSSANSSVSTAAIIGGGGGSVNNVVLDDFHFPSDLISIQDRKDEAMLALKTDLMAALNKEVKSLDDDNWKFEGPRSHINLISRPGADKKYWNHF >Potri.003G095100.4.v4.1 pep chromosome:Pop_tri_v4:3:12116498:12119138:-1 gene:Potri.003G095100.v4.1 transcript:Potri.003G095100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095100.v4.1 MNSTSSANSSVSTAAIIGGGGGSVNNVVLDDFHFPSDLISIQDRKDEAMLALKTDLMAALNKEVKSLDDDNWKFEGPRSHINLISRPGEKVSKKLEIATHKNLALPK >Potri.004G138200.1.v4.1 pep chromosome:Pop_tri_v4:4:16003305:16006383:-1 gene:Potri.004G138200.v4.1 transcript:Potri.004G138200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138200.v4.1 MSQEQDVVVVTDVPQAEKTAPVPPTMPVVVEKEPPVPVPETEEEPMKPKQVEEGAVETQVLKPSGGDDEKMPQLVSFKEESTKVADLLDSEKKALQEFKQLVQEALNKHEFSALTTPPASAKKEEKKEDVVVVAEEEKKPAQEEETSAVTEDKEKVETEAAAEKEEKNEVVESEVLDDQGKVATAVASDTTAGTSVDDDGAKTVEAIEETIVAVSSSVASQEETQAQATKEPEGETKAASSLDEEAKEVESETVEVTPEEVSIWGIPLLADDRSDVILLKFLRARDFKVKDAFTMLKSTIRWRKEFGIDELLEQDLGFDDLGKVVFMHGLDKEGHPVCYNVYGEFQNKELYKNSFSDEEKRQRFLRWRIQFLEKSIRTLDFSPGGISTIVQVNDLKNSPGPAKRELRQATRQALQLLQDNYPEFVAKQIFINVPWWYLTVNRMISPFLTQRTRSKFVFVGPSKSAETLIRYIAAEQIPVKYGGLSKDGEFGSADAVTEITVKPAAKHTVEFPVTETCLLTWEVRVAGWDVSYSAEFVPSAEDSYTVIIQKARKVAATEEPVVCNSFKIGEPGKVVLTIDNSTSKKKKKLLYRLKTKPASSD >Potri.012G025700.2.v4.1 pep chromosome:Pop_tri_v4:12:2487807:2489852:-1 gene:Potri.012G025700.v4.1 transcript:Potri.012G025700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G025700.v4.1 MLHGTLHSNNSLFSLHRLQKLVLSYNDFNFSNISSQFGQFSNLMHLNLTHSNFGQVPSEISHLSKLVSLDISNKHLSLETISFDNIVQNLTKLRVLYLDYIDMSLVAPNSLTNLSSSLTLLSLVGCGLQGEFPRNIFLLPNLDSLILADNEGLTGSFPSSNVSNVLWQLVLSDTRISVHLENDFISKLKSLEYMWLRNCDIRSEIPSSFENLSNLESLYLFSNLFNGTIPSFLFALPSLGYLDLHNNHFIGHISEFQHNSLEYLDLNLSNNSLSGSIPQCLSNFSNTLSILHLGMNNLQGTISLAFSEGNSLGYLSLNDNELEGEIPSSIINCTMLEVLDLGNNKIKDTFPHFLERLPKLQVLVLKSNKLQGFVKDPTTYNSFSKLQIFYISSNNLSGPLPTGFFNSLEAMMTSHQNMIYMTSNNYYGFADIYAYSVEMTWKGLEFEFVKIQSILRVLDLSSNSFTGEIPKLIGKLKGLQQLNLSHNYFTGHIQSSLGILTNLESLDLSSNLFTGRIPIQLVDLTFLQVLDLSHNRLEGPIHKGKQFNTFDHRSFEGNSGLCGFPMPEECSNGEAPPLPPSMIQHCLKMGLDGKLWQLGMDVGLCLGS >Potri.002G000300.2.v4.1 pep chromosome:Pop_tri_v4:2:26521:33778:1 gene:Potri.002G000300.v4.1 transcript:Potri.002G000300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000300.v4.1 MVKETEYYDVLGVSPTATEAEIKKAYYIKARQVHPDKNPNDPLAALNFQVLGEAYQVLSDPAQRQAYDANGKSGISTEAIIEPAAIFAMLFGSELFEVYIGQLAMASMASLDIFTEGEQLDTKKLQEKMRVVQREREEKLAEILKDRLNQYVQGNKEEFINHAEAEVARLSNAAYGVDMLNTIGYIYARQAAKELGKKVIYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEEMKKQLSAEGNYTEEELEAYILSHKKLMTDSLWKLNVADIEATLSRVCQMVLQDNSVKKEELRARAKGLKTLGTIFQRVKLANGGEGETVLGGSLHQLNGREPSSDAFSPNTSPKSKSPEEASYSTLASQSPYVEAPHFDGAQFNHNFPRPTAPPGAQRHSSTGSS >Potri.002G000300.1.v4.1 pep chromosome:Pop_tri_v4:2:26349:33778:1 gene:Potri.002G000300.v4.1 transcript:Potri.002G000300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000300.v4.1 MVKETEYYDVLGVSPTATEAEIKKAYYIKARQVHPDKNPNDPLAALNFQVLGEAYQVLSDPAQRQAYDANGKSGISTEAIIEPAAIFAMLFGSELFEVYIGQLAMASMASLDIFTEGEQLDTKKLQEKMRVVQREREEKLAEILKDRLNQYVQGNKEEFINHAEAEVARLSNAAYGVDMLNTIGYIYARQAAKELGKKVIYLGVPFIAEWFRNKGHFIKSQVTAATGAIALIQLQEEMKKQLSAEGNYTEEELEAYILSHKKLMTDSLWKLNVADIEATLSRVCQMVLQDNSVKKEELRARAKGLKTLGTIFQRVKLANGGEGETVLGGSLHQLNGREPSSDAFSPNTSPKSKSPEEASYSTLASQSPYVEAPHFDGAQFNHNFPRPTAPPGAQRHSSTGSS >Potri.002G123700.1.v4.1 pep chromosome:Pop_tri_v4:2:9372261:9374141:-1 gene:Potri.002G123700.v4.1 transcript:Potri.002G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123700.v4.1 MDSEEKQIHVMFLPYLAPGHMMPMVDMARLLAGNGLKVTIITTTMNAAQFKNAIDRDIKSGRQISLATLRFPSIEAGLPEGCENLSSTTTPEMTLKLFHGIELLQPHIKMILQKHRPDCIASDYLFPWTVDVAIELGIPRLAFNGSGFFNLCVANSIDCHQPHNNVTSETEQFVIPGLPDKVTITRSQLPDIVKGENEVFSALFDKLKEAERKSFGVLMNSFYELEPAYADHFRKVTGIKAWHLGPVSLFNRNADDRLERGGKTSIRKHSCLDWLESKKPKSVLYICFGSLTRFSKIQISEIASALEESRHSFIWAVGKILKSDNEDNNLDRQQDWWLPEEYEDRLKNSGKGLIIKGWAPQLLILEHPAIGGFLTHCGWNSILEGVCAGQPMVTWPIFAEQFYNEKLITQVLKLGVPVGNETWKVWANEDSPLINRDKIEKAVRIVMGDTDEAIEMRKQASRLAAFAKMTIEEGGSSSNDLKALIEDIRTYKHATGRGESR >Potri.013G054400.1.v4.1 pep chromosome:Pop_tri_v4:13:3960739:3963105:1 gene:Potri.013G054400.v4.1 transcript:Potri.013G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054400.v4.1 MTTCMHASCGKPRHLASMLRLTRPCFVYFTNKTIARTAKASLCFLTSQRLFTTIKQEEQKLSHLLTLLLQNPTKTHQPTLQVHSFLLSTGLLSHSILLFNTLLRLYSFGDQPHLAFLLYKQMQEVYFHSSSLPPPSFDSFTYFFLVNTSTNCSCPILGTQFHSRIFKVGFQYHVYVQTALLNMYLSSGVLGDAMILFDEMPKRNVVTWNVMITGLVKWGKLEFASSLFDEMPEKNVVSWTGIIDGYIRNNKYSEGLSLFRRMVVCEGIKPTEITILAILPAISNMGELKSCSLIHGYAEKRGFNAFDIRVANSIIDCYSKCGCIASAFKFFEDISVERKNLVSWTSIISGFAMHGMWKEAVEYFERMEKAGLKPNRVTFLSVLNACSHGGLVDEGLRCFYKMVNEHGVLPDIKHYGCVVDMLGRTGRLEEAENMALEIPSEIVNVVIWRTLLGACSFHGNVEMGERVTRKIMEMERGYGGDYVLMYNIFAGAGRYEDAERLRKLMNKRNAFKLPGNSLV >Potri.004G187800.1.v4.1 pep chromosome:Pop_tri_v4:4:20052691:20054721:-1 gene:Potri.004G187800.v4.1 transcript:Potri.004G187800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187800.v4.1 MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDTTFEFERKRNRPERYDRNLAENTLKAIKKIDKVRSDRAASHIEKRLKVRKGKERREAQKELEQSIHLVKAPQVLRQDQSLTLPKIKVEVSQPKSEKNQAMEE >Potri.015G106750.1.v4.1 pep chromosome:Pop_tri_v4:15:12522225:12523244:1 gene:Potri.015G106750.v4.1 transcript:Potri.015G106750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106750.v4.1 MISLQIQRRLQILLQQHHLARSCSTRLCICLVKLAYSFSIASWICETCSWEIKER >Potri.009G050100.5.v4.1 pep chromosome:Pop_tri_v4:9:5541970:5547786:1 gene:Potri.009G050100.v4.1 transcript:Potri.009G050100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050100.v4.1 MAFVMIARQALFQLKSSTSVKACVKECKHDNSNSNVVQLPEKTRNSSVLVLGGTGRVGGSTATALSKFCPDLRIVIGGRNREKGAAMVGQLGRNSEFTEVNIENVDSLGAALKDVDLVVHAAGPFQQAAKCTVLEAAIETKTAYVDVCDDTSYALRAKSFKDKALAANIPAITTGGIYPGVSNVMAAELVRAAKTESKGKPERLRNLPEVRSAHDVLGIPTVSARFGTAPFFWNWGMSAMTNLLSPEFLKDRTKVQQLVQLFDPLVRAVDGIAGERVSMRVDLECTDGRNTLGLFSHRKLSVSVGNATAAFALAVLEGSTQPGVWFPEEPEGIAIEARELLLNRATEGTINFIMNKPPWMVETDPKELGLGIYV >Potri.009G050100.2.v4.1 pep chromosome:Pop_tri_v4:9:5541971:5547789:1 gene:Potri.009G050100.v4.1 transcript:Potri.009G050100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050100.v4.1 MAFVMIARQALFQLKSSTSVKACVKECKHDNSNSNVVQLPEKTRNSSVLVLGGTGRVGGSTATALSKFCPDLRIVIGGRNREKGAAMVGQLGRNSEFTEVNIENVDSLGAALKDVDLVVHAAGPFQQAAKCTVLEAAIETKTAYVDVCDDTSYALRAKSFKDKALAANIPAITTGGIYPGVSNVMAAELVRAAKTESKGKPERLRFYYYTAGSGGAGPTILATSFLLLGEEVVAYNKGEKIKLKPYSGMLNIDFGKGIGKRDVYLLNLPEVRSAHDVLGIPTVSARFGTAPFFWNWGMSAMTNLLSPEFLKDRTKVQQLVQLFDPLVRAVDGIAGERVSMRVDLECTDGRNTLGLFSHRKLSVSVGNATAAFALAVLEGSTQPGVWFPEEPEGIAIEARELLLNRATEGTINFIMNKPPWMVETDPKELGLGIYV >Potri.019G060252.1.v4.1 pep chromosome:Pop_tri_v4:19:9989177:9992533:-1 gene:Potri.019G060252.v4.1 transcript:Potri.019G060252.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060252.v4.1 MSVLNKDFFFKQNCQRFMPSRNLILMPSLIREMSISYGDHFLHNQSQSLLSSWVGISPCINWIGITCDNSGSVTNLTLESFGLRGTLYDLNFSSFPNLFWLDLADNSLSGSIPSSIGNLKSLSVLYLSDNKLSGPIPSSIGNFTSLSKLSLHSNKLSGSIPQEIGLLESLNELELSNNVLTSRIPYSIGKLRNLSFLGRAKNQLSGPIPSSIENLTSLSDLYLLDNKLSGPIPSSIGNLTSLFMLVLWGNKLSGSIPQEIGLLESLNRLELSNNFLTGRIPYSIRQLRNLSLLNLSHNKLSDPVPSIGNMTMLTGLGLNRNNLSGCVPSEIGQLKSLVEMALQENKFHGPFPSDMNNLTHLKYLSLAANEFTGHLPLDLCHGGVLEIFTASYNYFSGSNPESLKNYTSLYRVRLDWNQLTGNISEVFGVYPQLDYIDLSNNNFYGELSSKWGDCRNMTSLKISNNNVSGEIPPELGKATQLQLIDLSSNQLKGAIPKGLGGLKLLYKLLLNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTREIPRQLGQLQSLETLNVSHNMLSGRIPSTFKDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPTSRKTVKRKSNKLVLLIVLPLLGSLLLVFVVIGALFILCKRARKRNTEPENEQDRNIFTILGHDGKKLYKNIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVRVLANIRHRNIVKMHGFCSHVKHSFLVYEFVERGSLRKIISSEEQAIEFDWMRRLNVVKGMGGALSYLHHSCSPPIIHRDITSNNILLDLEYEAHISDFGTARLLMPDSSNLLAYTMKVTEKCDIYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHTPLKDGVVHMMKIALACLHPNPQSRPTMEKISFELTTKWPPLPKAFCTISLGDLLIS >Potri.005G226800.1.v4.1 pep chromosome:Pop_tri_v4:5:22736492:22738504:1 gene:Potri.005G226800.v4.1 transcript:Potri.005G226800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226800.v4.1 MMKGAYEVVHGALNMIQPHETWDYASVGFPAPISNPFPKPAVIENRCLNLERNELSEWVEHVTKQLIDDLPDIATDHDESLQTDTTTVYGGNDIVSSLLGEFRPKKYMRRSYFDGNGEELQWSHELGVHQTNISEKEGSTRSPSMSRIDENGLSLITLLLECAVAISVDNLGEAHRMLLELTQMASPYGPSCAERVVAYFSKAMGSRVINSWLGICSPLINHKSIHGAFQVFNNASPFIKFAHFTSNQSILEAFHRRDRVHVIDLDIMQGLQWPALFHILATRIDGPPQVRMTGMGTSMELLLETGRQLSNFAKRLGMSFEFHPIAKKFGEIDASMVPLRRGETVAVHWLQHTLYDATGPDWKTLRLLEAVAPRVITLVEQDISHGGSFLDRFVGSLHYYSTLFDSLGAYLPCDDPGRHRIEHCLLYREINNILAIGGPARSGEDKFRQWRSELARSSFMQVPMSGNSMAQAQLILNMFPPAHGYNLEQGEGTLRLGWKDTSLFTASAWTTRASRWPLIN >Potri.018G117981.1.v4.1 pep chromosome:Pop_tri_v4:18:13332278:13334725:1 gene:Potri.018G117981.v4.1 transcript:Potri.018G117981.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G117981.v4.1 MTGEFPSWLLHNNTKLEELYLVNNSLSGSFQLANHSLVRLSHLDISRNHIHNQIPTEIGACFPRLVFLNLSRNDFDGSIPSSISNMSLLEVLDLSNNGLSGNIPEQLVENCLSLGVLMLSNNYLKGQLFWKNFNLTYLTELILRGNQLTGILPNSLSNCSALQALDVSLNNLSGKIPRWIGYMSSLQYLDLSENNLFGSLPSNFCSSMMMIEVYLSKNKLEGSLIGALDGCLSLKRLDLSHNYFRGGIPESIGSLLELSFLLLGYNNLEAEIPRQMCELKKLSLIDLSHNNLCGRILPCLHPRSEWYREWESAPMPLEYPTVSKYVEITTKSISHVDKFVEITMKSISYPVNGIILNLISGIDLSCNNLTGEIPFELGNLNNIELLNLSHNSLTGPIPPTFSNLKEIETLDLSYNNLNGEIPPQLLDLNFLSAFSVAHNNLSGKTPEMVAQFSTFNKSCYEGNLLLCGPPLAKNCTGAIPPSPVPRSQTHKKEENGVIDMEAFYVTFSVAYIIVLLAIGAVLYINPQWRQAWFYFIGESINNCYYFLVDNLPVPARFRRFQPCV >Potri.012G044150.1.v4.1 pep chromosome:Pop_tri_v4:12:3963354:3963782:-1 gene:Potri.012G044150.v4.1 transcript:Potri.012G044150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044150.v4.1 MFKDIETSRQQIFPALVAWNTMIGSYVSCGKFKEALGMFSRMMELGVEPDEATLVETFSAGSSLGALDFGRWDHSCISNTDHGSIIEVNYSLLNMYAKCGALEEAYETFDGMSKKNTVTWNTMILGLAVMALQMMHWFSFPC >Potri.018G007100.1.v4.1 pep chromosome:Pop_tri_v4:18:540340:542883:-1 gene:Potri.018G007100.v4.1 transcript:Potri.018G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007100.v4.1 MADLSENQEKTEEKHVEPLIPGLPDEVAELCLLYLPYPYQALVRSVSSSWNRAITDPAFLVSKKTLSLALPHVFVLAFHKSTARIQWQALDPRSGRWFVLPPMPCPKTVCPPAFACTSLPRQGKLLVLGGMRSDTETSMDSTFIYRSSTNQWSIGSPMLTPRSFFATGNVKGKIIAVGGSASGISDSITAVECYNSESGKWGPAAKMRMGLARYDSAVVGNRMYVTEGWTWPFMFSPRAGIYDADKDTWQEMSNGMREGWTGLSVVLGDRLFVISEHGDCPMKVYVPDLDTWQYVGGDRFPREAMQRPFAVNGVEGKVYVVSCGLNVAVGSVYEADKGEFCVKWQVLVAPRAFHDFAPSNCQVLYA >Potri.002G183400.5.v4.1 pep chromosome:Pop_tri_v4:2:14557549:14562122:-1 gene:Potri.002G183400.v4.1 transcript:Potri.002G183400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183400.v4.1 MGSVLREWIGFQQFPTATQSKLVELFAKLKEEGVDKLTILVMGKGGVGKSSTVNSLFGERAVNVSSFQSEGFRPVMVSRNRAGFTLNIIDTPGLVEGGYVSYQALDMIKRFLLNKTIHVLLYVDRLDAYRVDDLDKQIIGAISDSFGKEIWSKSLLVLTHAQLCPPDDLSYDVFCARRSEAVLKTIRMGARIRKRDFEDSAIPVGLVENSGRCNKNENDEKILPNGDAWIPSLVKEIILVATNGKKALVVDEKLVNGSESNDRGKIFIPLILGIQWLLVKWIQREIKKDIAKGGKYL >Potri.006G023500.1.v4.1 pep chromosome:Pop_tri_v4:6:1585664:1596466:-1 gene:Potri.006G023500.v4.1 transcript:Potri.006G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023500.v4.1 MVFLHQQNPSRKRPSSFIPPFPQPKIPKSSTTTTKKPPQSQNEAVEDNQVSSSSSSSSVDKLVSILADAGCTLINPSGPPCLPSDHHKFRNHLNRLFSSSDTAPALRSDFLSGFSSYINLQHNFRRVLAFSKRDGFGAKRSESLVRQLLLVPAIQLDLQIMLLEKLPEYFDTNSVDSRTTLSLEDDVARLIIDQFRWLDFVVDPNTFTDKLLQVVSICPLYLKKEIIGSLPEIIGDQSSNNAVVDSLDQMLQEDSEIIVPVLDAFSNLNLDEMMQEQVISTALSCIRTIDGEHIPNLLSFLLLSATPQNVRRIISQIRQQLKFVGMSNSSRSSQQRKLKGKSLVDNTEASILDALRSSLLFKNMLCQEVLKELSSIEKPQDHKVIDIWLLILIYMNGKSMQKSVEKILKKKIVESCIREDMIDQCICGNKELVQDYFPWFLSLAEHLLACKEQKARDFGIHIYICLFGEFGDTYFRQEVLGALVTHVGSGVSFEVNSSLNTMALLASKYPQELIPLSAHINGILDYLEGFCMEHLHKVYEVFSKLALAARSSTYCFGSSIADELFMIIRKQVSHPDMKYKKMGLIGTLKVVSCLGDINNATGASPSQKSNCEEALELLRTSLDSCKQLCLPLVLFYDELTTIMLHKKLQPEIVEWVGKHAGEFESKFLSDLQGGQLPGEDSYCGLEGELWMNLDGDISPICLSILSLSSSSLQSTSSLQVLPANFLLLSAVERLTNQGSLSGIDALLGCPLHLPSSKYFSEAGWQSLTAKQKQIVCLSLYYAANWIRELLNAFCTQVTGRFECTSQATKMDIIVKLLKRLRNLVFLENLLNNCIKCHPLSLPELHLQVDNSGTLLLNKANNRVHFEKNGEHKKTQDNKHKKTSKESSSDPNGKLRQPTILDVLRKKGAVTSQDVSNEESTSQSSKGQTFIPADQDSCNSTGLISLEVSAVAKALEAQRFKFRPLHVQCYSLLMFSNNQKSCCLDPAVELPLYLYLLRDLHNKLDYFMPPGKQFSATCLSAPAGFTRMTLQEFLSNVRPLFPNLRRHFDNAIFVLKEGDGTCEDHWNVHSASAGNPEVASIVFSKSVVSTLVFKEVLHSFSKMLNLIDVQMHKSVLSDLLEAFQPREVSENVFSGLQHSPSPGTIEYLYLGASSFIEDALDMACSFSFMLASESLLTLESLVTSVQTVLDKSETNGKGIHSPSIHRILPNLRSRLGTSAQKLLSHNWDSEHLENSWKNKGEIVQKILQIYLENSESKSDLLDKLACSILPVVSSSGTTVEDDHHGFPTLCNATFVVWCRVLHEGNIAILNKLVKEIALFMKPRAAVQIEAVEKHLIKIQKSVNVVVSLVNLCRTHDKVTVRAMSVKYGGKFVDSFLKVFDFLQAHFQTHNELIIQLVTELQKATRTIQTLCSEAKGSKQTAITSKIPATKRSMERFLFRVKALLHSTSSGCTFWMGNLKHKDLTGQVVSSQAYADDQNNNVDEDLAEAVEEDQPVSVASEDRETE >Potri.016G125500.1.v4.1 pep chromosome:Pop_tri_v4:16:12913901:12916688:1 gene:Potri.016G125500.v4.1 transcript:Potri.016G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT11 MATTKQLMVPATWGIRSSFHSLIALLVAFLVIASIYVTQNSGVLVEDRTKSKSSGDLLSRCNLFSGKWVFDNKSYPLYKEKECTFMSDQLACEKFGRKDLNYQNWRWQPHQCDLPRFNATVLLERLRNRRLMFVGDSLNRGQWVSMVCLVDSVIPPGLQSMHQYRNGSLHIYKATEYNATIEFYWSPLLVESNSDDPVYHRVDDRTVRVQGIEKHARHWTDADILVFNTYLWWRRAQMTVMWGSFERPDGIYKRVQMPRVYEMALKTWSDWLEVHVNRTKTQMFFISMSPTHEKALEWGGDEGQNCYSETEPIFKEGYRGEASCPEIMRVVEKTLDDLKTRGLNVQMINITQLSDYRKEGHQSIYRKQWEPLKEEQISKPSSYADCIHWCLPGVPDVWNELLYAHIINL >Potri.002G213900.3.v4.1 pep chromosome:Pop_tri_v4:2:19771655:19773266:-1 gene:Potri.002G213900.v4.1 transcript:Potri.002G213900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G213900.v4.1 MERMKKSVDVSSFLLVEATGDSEVDSDPNMTIIDLVDDDDGDAESCSCDTSYHSCVNGVYSEVEEYHVNYNVVDEEQHQHQHQQQEEEEEEEEEEQQQEKGVHVYQSRVDHGHAGLPVKQKSCVSVDSANESMNEKEENRQTT >Potri.002G213900.2.v4.1 pep chromosome:Pop_tri_v4:2:19771655:19773266:-1 gene:Potri.002G213900.v4.1 transcript:Potri.002G213900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G213900.v4.1 MERMKKSVDVSSFLLVEATGDSEVDSDPNMTIIDLVDDDDGDAESCSCDTSYHSCVNGVYSEVEEYHVNYNVVDEEQHQHQHQQQEEEEEEEEEEQQQEKGVHVYQSRVDHGHAGLPVKQKSCVSVDSANESMNEKEENRLFWEACLAS >Potri.005G106000.1.v4.1 pep chromosome:Pop_tri_v4:5:7624068:7626646:-1 gene:Potri.005G106000.v4.1 transcript:Potri.005G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106000.v4.1 MNFPQGLNPDEGSPEWMNKADSAWQLTATTMVCLQSVPGLVILYGSMVKKKWAVNSAFMAFYAFAAVLVCWVLLAHQMSFGAKMSPLVGRPGSALSEKLLLGPSRRGLVPVADFVFYQFAFAAITVILLAGSLLGRMNFYAWMLFVPLWLFFSYTVGASTIWGDGFLERRIIDYAGGYVIHLSSGVAGFTAAYWVGPRHSHDRQNFPPNNIIHMLGGAGFLWLGWTGFNGGSPFAAGLVASLAIFNTHLCTATSLLVWVSLDMLFYRKSSVIGAVQGMITGLVCITPGAGIVEPWAALLMGVMSGSIPWYTMMVLHRRFAFFQSVDDTLAVFHTHAVAGLLGGILSGIFAKPALLKLMYPDTTYHTGLIYSFSGGRHADGFKQMGIQLLGAAFITAWNGGATSLICILISRIVDLRMKEDDLEIGDDAVHGEEAYALWGDGERMPKPLRLHMHPRLPYFCRQRF >Potri.002G140700.1.v4.1 pep chromosome:Pop_tri_v4:2:10565940:10570099:-1 gene:Potri.002G140700.v4.1 transcript:Potri.002G140700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140700.v4.1 MQHIRRCSGPLRSQKGLSLFLGRKILKEVSDVGLAAFSTPMVAQRGALGNSVTRSPKSDYVRHFSANANHLTKDILRHNGIPAIYGGQPNREYLVSLRSSFQIMETHGESTINICFARSFASKASKKETHKQSETKKDVSTVEDPFDAPTYNIPEKPVTFTEGASYSIIILAGLAVAAAAAYAVFKELIFEPKEYKIFNKALKRIQDDSQVRVRIGSPITGYGQESRNRAARQRIPNRIFTDEDGVEHVQINFYIRGPHGAGKVSAEMFKDKVDKQWKYTYLIVEVMQPSRSQLILESYMPAPVAT >Potri.002G140700.4.v4.1 pep chromosome:Pop_tri_v4:2:10565723:10570095:-1 gene:Potri.002G140700.v4.1 transcript:Potri.002G140700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140700.v4.1 METHGESTINICFARSFASKASKKETHKQSETKKDVSTVEDPFDAPTYNIPEKPVTFTEGASYSIIILAGLAVAAAAAYAVFKELIFEPKEYKIFNKALKRIQDDSQVRVRIGSPITGYGQESRNRAARQRIPNRIFTDEDGVEHVQINFYIRGPHGAGKVSAEMFKDKVDKQWKYTYLIVEVMQPSRSQLILESYMPAPVAT >Potri.001G136800.1.v4.1 pep chromosome:Pop_tri_v4:1:11165794:11168376:-1 gene:Potri.001G136800.v4.1 transcript:Potri.001G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136800.v4.1 MGRTTSKDAQALIHSLCSAYAATPTSLKIIDLYVGFAVFAAIIQVVYMAIVGSFPFNSFLSGVLSCIGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Potri.012G078700.1.v4.1 pep chromosome:Pop_tri_v4:12:10288355:10293505:1 gene:Potri.012G078700.v4.1 transcript:Potri.012G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078700.v4.1 MAARRISSLLSRSLSASASFLLSRGKNPSRGRSIYRFITAKALEEPITPPVQISYTQHFINGKFVDAASGKTFPAYDPRTGEVIAHVAEGDNEDVNRAVAAARKAFDEGPWPKMSAYERSLIMLRFADLVDKHRDELAALESWNSGKPYEQSAKSELPSFARLFRYYAGWADKIHGLTVPADSNHYVQTLHEPIGVAGQIIPWNFPLIMLAWKVGPALACGNTIVLKSAEQTPLTALHAAKLFQEAGLPPGVLNVVSGYGPSAGAALASHMNVDKLAFTGSTETGKIILELAAKSNLKSVTLELGGKSPFIVCEDADVDKAVELAHHALFFNQGQCCCAGSRTYVHERVYDEFIEKAKARALRRVVGDPFKKGVEQGPQIDSDQFEKVLRYIRSGVESNATLECGGQRFGSKGYFIQPTVFSNVEDDMLIAQDEIFGPVQSILKFKNVDEVIRRSNSTRYGLAAGIFTKNVDTANTLSRALRVGTVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLNNYLQVKAVVTPLKNPAWL >Potri.008G099400.1.v4.1 pep chromosome:Pop_tri_v4:8:6216112:6218012:1 gene:Potri.008G099400.v4.1 transcript:Potri.008G099400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099400.v4.1 MGKVKSFKQESTFDDRLGESKNIIFKYPDRVPVIIERYSRTDLPEMEKRKYLVPRDMTIGQFIHILSSRLELTPGKALFIFVKNTLPQTASQMDSIYESYKDDDGFLYMCYSSEKTFG >Potri.008G128000.1.v4.1 pep chromosome:Pop_tri_v4:8:8327446:8332459:1 gene:Potri.008G128000.v4.1 transcript:Potri.008G128000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128000.v4.1 MGAEKRWLFTLFSSAFLSLLFLLVYSISAFSSSKQFPSIIHHGTHYPPAFAYYISGGRGDKDRILRLLLAVYHPRNRYLLHLGAEASDEERMRLVGAVNAVPAIRSFGNVDVIGMPSRLTYMGSSNLAAMLRAAAILLRMDAGWTWFVSLSAMDYPLITQDDLAHVFSCVSRDLSFIDHTSELGWKESQRIQPIVVDPGIYLARRSQIFHATEKRPTPDGFKLFTGSPWVILSRPFLEFCILGWDNLPRTLLMYFNNVVLSEESYFHSVICNAPEFKNTTVNSDLRYMVWDNPPKMEPHFLNTSDYDLMIQSGVAFARQFQRDDPVLDKVDEKILKRGHKRAAPGAWCTGRRTWWMDPCSQWGDVNVVKPGPQAKKFEETIKNLLDEWNSQMNQCK >Potri.001G178950.1.v4.1 pep chromosome:Pop_tri_v4:1:15609860:15610874:1 gene:Potri.001G178950.v4.1 transcript:Potri.001G178950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178950.v4.1 MLQSIGEHNCGLTGGIFLLKLAVFLWLGFLMKLVRIACGLLKKLYTKKMKKERRIIVLKVLARW >Potri.005G147500.1.v4.1 pep chromosome:Pop_tri_v4:5:12307888:12312166:-1 gene:Potri.005G147500.v4.1 transcript:Potri.005G147500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147500.v4.1 MTAGKLSQQLQQQQQVQQSMCSLKGSLRTLAILTLVSFTYLSFNSLHSSYFSSSSSISASSVSLAPATKKTTILVKDYDDDEISDLYHSPRVFKLNYEEMERNFKIFIYPDGDPNTFYQTPRKLTGKYASEGYFFQNIRESRFQTQDPDQAHLFFIPISCHKMRGKGISYENMTIIVDNYVESLKSKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLIKNAIRVVCSPSYDVGFIPHKDIALPQVLQPFALPAGGNDVEKRTTLGFWAGHRNSRIRVILARVWENDTELDISNNRINRATGHLVYQKRFYGSKYCICPGGSQVNSARIADSIHYGCIPVILSNYYDLPFNDILDWHKFSVILKEQDVYRLKQILKDIPDNKLVSLHKNLVKVQKHFQWNSPPVKYDAFHMVMYDLWLRHHVIKY >Potri.002G043701.1.v4.1 pep chromosome:Pop_tri_v4:2:2855477:2855836:1 gene:Potri.002G043701.v4.1 transcript:Potri.002G043701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043701.v4.1 METTDCLFVEMEWEECSNQVAFLGNNMAIQIAAFPFLCRLFTYKHYHKECTLTLQHFIVFFGAFELPCLQALSQGN >Potri.001G275600.1.v4.1 pep chromosome:Pop_tri_v4:1:28910806:28915658:-1 gene:Potri.001G275600.v4.1 transcript:Potri.001G275600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275600.v4.1 MIGRKNMGRASPLLLVLLALGFFFAMYNLLTLVIQYKDSSSGAGSGNPDPVIRMPTNLRKLGKSNLKFHVALTATDAPYSQWQCRIMYYWYKKMKNMPGSDMGKFTRVLHSGKGDHLMDEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFANPLPNLAHGDNPAGFPFFYIKPTEHEKIVQKFYPEEKGPVTDVDPIGNSPVIIKKSLLEEISPTWVNVSLRMKDDPETDKAFGWVLEMYAYAVASALHGVRHILHEDFMLQPPWDLEVGKRFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLSGPPPKNISLPPPGVPESVVRLVTMVNEATANIPGWDSLNSG >Potri.001G275600.2.v4.1 pep chromosome:Pop_tri_v4:1:28910806:28915341:-1 gene:Potri.001G275600.v4.1 transcript:Potri.001G275600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275600.v4.1 MIGRKNMGRASPLLLVLLALGFFFAMYNLLTLVIQYKDSSSGAGSGNPDPVIRMPTNLRKLGKSNLKFHVALTATDAPYSQWQCRIMYYWYKKMKNMPGSDMGKFTRVLHSGKGDHLMDEIPTFVVDPLPEGLDRGYIVLNRPWAFVQWLEKATIEEEYILMAEPDHIFANPLPNLAHGDNPAGFPFFYIKPTEHEKIVQKFYPEEKGPVTDVDPIGNSPVIIKKSLLEEISPTWVNVSLRMKDDPETDKAFGWVLEMYAYAVASALHGVRHILHEDFMLQPPWDLEVGKRFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLSGPPPKNISLPPPGVPESVVRLVTMVNEATANIPGWDSLNSG >Potri.007G106900.1.v4.1 pep chromosome:Pop_tri_v4:7:12978592:12979269:-1 gene:Potri.007G106900.v4.1 transcript:Potri.007G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106900.v4.1 MAQGNRISAFVLSSILVLSFLLIISMAESRILGTAGFFAKSTPECDEVVGAASGDTCFTIAQSFNLTAASFDAINPNLNCTALFVGQWLCVAGSV >Potri.019G041300.2.v4.1 pep chromosome:Pop_tri_v4:19:5713022:5717241:1 gene:Potri.019G041300.v4.1 transcript:Potri.019G041300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041300.v4.1 MQAIQLNQKSKPTPKRFIKSQIPDSILNDPSLNASISLLPSNYNFEIHKCIWRVRSTNAKRIALQLPEGLLMYSLILSDIFTAFADVTHCFVLGDVTYGACCVDDLSALALDADLLIHYGHSCLIPIDATKIPCLYVFVEIKIDVSRLINTISLNFGTHKRNIVLAGTIQFASAIREAKPELENNGFVVLIPQSKPLSAGEVLGCTAPKMSKNVVGNLGFSEEEMVLVFVADGRFHLEAFMIANPGIKAFRYDPYLGKLFLEEYDHKGMKEVRKKAIERAKGARSWGVVLGTLGRQGNPRILERLEKKMGEKGFDYMVVLMSEISPGRIALFEDSVDAWIQIACPRLSIDWGDAFKKPLLTPFEAEIALGDLAGWWEKSLVVNSGCGGGGSGLGCGQKSDGSCCECSNGDAKGVEKDFGGEYPMDYYAQDGGEWNSSYVKKPTRPVRRNVVSSIRNDPAS >Potri.019G041300.4.v4.1 pep chromosome:Pop_tri_v4:19:5713071:5715397:1 gene:Potri.019G041300.v4.1 transcript:Potri.019G041300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041300.v4.1 MQAIQLNQKSKPTPKRFIKSQIPDSILNDPSLNASISLLPSNYNFEIHKCIWRVRSTNAKRIALQLPEGLLMYSLILSDIFTAFADVTHCFVLGDVTYGACCVDDLSALALDADLLIHYGHSCLIPIDATKIPCLYVFVEIKIDVSRLINTISLNFGTHKRNIVLAGTIQFASAIREAKPELENNGFVVLIPQSKPLSAGEVLGCTAPKMSKNVVGNLGFSEEEMVLVFVADGRFHLEAFMIANPGIKAFRYDPYLGKLFLEEYDHKGMKEVRKKAIERAKGARSWGVVLGTLGRQGNPRILERLEKKMGEKGFDYMVVLMSEISPGRIALFEDSVDAWIQIACPRLSIDWGDAFKKPLLTPFEAEIALGDLAGWWEKSLVVNSGCGGGGSGLGCGQKSDGSCCECSNGDAKGVEKDFGGEYPMDYYAQDGGEWNSSYVKKPTRPVRRNVVSSIRNDPAS >Potri.018G128750.1.v4.1 pep chromosome:Pop_tri_v4:18:13959535:13967445:1 gene:Potri.018G128750.v4.1 transcript:Potri.018G128750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128750.v4.1 MDISSSYSYSCLCMTILNRFWGLQARHNIRWEKNWKGLAGGWSNQDSLLLTLSVYESSIAKTALLKRTNVIGTLS >Potri.001G166400.5.v4.1 pep chromosome:Pop_tri_v4:1:14170454:14173619:1 gene:Potri.001G166400.v4.1 transcript:Potri.001G166400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166400.v4.1 MEPPENYSTSTSFAEPFEDAQKIILRWDSTASEEARERMIFGGDRQEVDLYLQAVDEIQKSMSSTSISPPSSHHHDQDSNSNKVNSAIQIAMARLEDEFRNILINHTSPVEVDSLFIPDHAPAPASSLNHNTSSVGSSNEFDQEEVGGGGGDHVDALDPIQRADSSNSSASYRSTSSIREIDLIPQEAVADLQSIAKRMISAGYLRECIQVYGSVRKSAVDASFRRLGIEKLSIGDIQRLEWEALETKIRRWIRAAKVCVRILFASEKKLCEEIFYGIGTAIDDACFMETVKGPAIQLFNFAEAISIGRRSPEKMFKILDLHDALMDLLPDIEVVFESKSADSIRVQAAEILSRLAEAARGILSEFESAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLIELIMSKPSTGSRYSGDPTTPDMEFAELEGKTPLALHLIWIIVILQFNLEGKSKHYKDASLAHLFIMNNVHYIVQKIKGSPELREMIGDDYLRKLTGKFRQAATSYQRATWVSVLYCLRDEGLHVSGSFSSGVSKSALRERFKTFNAMFEEVHRTQATWLIPDSQLREELRISMSEKLIPAYRSFLGRFRSHIESGKHPENYIKYSVEDLESAVLDFFEGYPVSQHLRRRSQ >Potri.001G166400.4.v4.1 pep chromosome:Pop_tri_v4:1:14170131:14173684:1 gene:Potri.001G166400.v4.1 transcript:Potri.001G166400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166400.v4.1 MEPPENYSTSTSFAEPFEDAQKIILRWDSTASEEARERMIFGGDRQEVDLYLQAVDEIQKSMSSTSISPPSSHHHDQDSNSNKVNSAIQIAMARLEDEFRNILINHTSPVEVDSLFIPDHAPAPASSLNHNTSSVGSSNEFDQEEVGGGGGDHVDALDPIQRADSSNSSASYRSTSSIREIDLIPQEAVADLQSIAKRMISAGYLRECIQVYGSVRKSAVDASFRRLGIEKLSIGDIQRLEWEALETKIRRWIRAAKVCVRILFASEKKLCEEIFYGIGTAIDDACFMETVKGPAIQLFNFAEAISIGRRSPEKMFKILDLHDALMDLLPDIEVVFESKSADSIRVQAAEILSRLAEAARGILSEFESAVLREPSRVPVPGGTIHPLTRYVMNYISLISDYKQTLIELIMSKPSTGSRYSGDPTTPDMEFAELEGKTPLALHLIWIIVILQFNLEGKSKHYKDASLAHLFIMNNVHYIVQKIKGSPELREMIGDDYLRKLTGKFRQAATSYQRATWVSVLYCLRDEGLHVSGSFSSGVSKSALRERFKTFNAMFEEVHRTQATWLIPDSQLREELRISMSEKLIPAYRSFLGRFRSHIESGKHPENYIKYSVEDLESAVLDFFEGYPVSQHLRRRSQ >Potri.017G101500.1.v4.1 pep chromosome:Pop_tri_v4:17:11288019:11289842:-1 gene:Potri.017G101500.v4.1 transcript:Potri.017G101500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101500.v4.1 MERKPSGRRRVFVQTKTGIVLGMELDRSDNAHTVKRKLQLALNVPTEERSLIFGEKVLKNDLSAGRNDSPLLLTKNSMHRSSSTPCLSSSGKDLQQSDWSGPIELLGFSEHFAKVKQMVNDIVKALRIGVDPIPIHSGLGGTYYFKNCHGENIAIVKPTDEEPYAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAYLLDYNHFANVPSTALVKMTHSIFNVNDGNKNQRRNHVSKIASDYGTSSFPVTAVHRIGILDIRILNTDRHAGNLLVKKVDGIGRFGQVELIPIDLGLCLPESLEDPYFEWIHWPQASIPFSEDELEYINNLDPFQDSDMLRRELPMIREACLRVLVVCTIFLKEAAAFGLCLAEIGEMMSREFRSHGEEPSELELICIKARSLLGEMEYLVDEVKLEDNEEFQFDIDCEELRLTSNIGDKLEMRPHCFLSKAKNVLNPLSKLEESAEEEEEGEADIEEEELNLSRDEYAGSTEDKITNISRMSMLLKKISGGENSWRHEGEIRRSGYLAGSSSGNRSVNEQLPSSMSFVTLADLDEGEWVLFLENFKKLLHPAFTNCESGSAGQRQRQRLGTSCQF >Potri.013G049500.3.v4.1 pep chromosome:Pop_tri_v4:13:3552948:3557553:-1 gene:Potri.013G049500.v4.1 transcript:Potri.013G049500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049500.v4.1 MRFYNSSKNLHHHPPTLLLILYALFLTSSIPFASSEIKDTYIFDDSRPIIMFEQFGFTEGGRVAISIKDVSWKSRSRKAELNPSSMGFFLARDSSFSTIFTNDSLQSKDESFCVLSSRYVKLLFNFNDLSMNTSAYNGSAFIDEADEYSLVFGNCQPEFEVSMYVHTEMYNLQDGAKNFLPIGQTFLPKFFLSMFLIYTCFFGIWSFVCFKQRPTVDMIHLIMGALLFVKALKMICASEDEMYVSKTGTPHGWDVAFYIFGFFKGIMLFTVIILIGTGWSFLKPYLQEREKNVLMIVIPLQVLENIAYVVISETGPATKDWWTWNQIFLLIDVICCCAVFFPIVWSIRNLKEASKSDGKAARNLEKLTLFKNFYICLVMYLYFTRVVVSSMEGILDYRYEGFTYVLSEGASLAFYVFIFYNFQPTERNPYLVIDEEEELAAEQILQDDDSFEL >Potri.013G049500.2.v4.1 pep chromosome:Pop_tri_v4:13:3552197:3557553:-1 gene:Potri.013G049500.v4.1 transcript:Potri.013G049500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049500.v4.1 MRFYNSSKNLHHHPPTLLLILYALFLTSSIPFASSEIKDTYIFDDSRPIIMFEQFGFTEGGRVAISIKDVSWKSRSRKAELNPSSMGFFLARDSSFSTIFTNDSLQSKDESFCVLSSRYVKLLFNFNDLSMNTSAYNGSAFIDEADEYSLVFGNCQPEFEVSMYVHTEMYNLQDGAKNFLPIGQTFLPKFFLSMFLIYTCFFGIWSFVCFKQRPTVDMIHLIMGALLFVKALKMICASEDEMYVSKTGTPHGWDVAFYIFGFFKGIMLFTVIILIGTGWSFLKPYLQEREKNVLMIVIPLQVLENIAYVVISETGPATKDWWTWNQIFLLIDVICCCAVFFPIVWSIRNLKEASKSDGKAARNLEKLTLFKNFYICLVMYLYFTRVVVSSMEGILDYRYEGFTYVLSEGASLAFYVFIFYNFQPTERNPYLVIDEEEELAAEQILQDDDSFEL >Potri.002G102400.2.v4.1 pep chromosome:Pop_tri_v4:2:7483768:7485502:-1 gene:Potri.002G102400.v4.1 transcript:Potri.002G102400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102400.v4.1 GAAPYRSREGLSTRPVASSDEIQLRIDPIHWDLDDEITGLRSQVRQLRNVAQEIESEAKYEKDFLETLQMTVMKAQVGVKNNLRKLNKSIIKNGGNHIVHVVVFALFGFLVVYLWSKMSRR >Potri.010G232600.2.v4.1 pep chromosome:Pop_tri_v4:10:21416541:21418106:1 gene:Potri.010G232600.v4.1 transcript:Potri.010G232600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232600.v4.1 MALSSCTLTLSHSSLRRHVHKYTTTIHLAPPPSPPPHWNLPNPPVLPFRRLVSFPPYIPCSSLISATAPLLQDAGATATVLAGAYSLVRTFDTLTQRNLIQQSLSRKLVHILSGLLFAACWPIFSTSTQARYLASVVPLVNCLRLIVNGFSLVTDEGLIKSVTREGNPQELLRGPLYYVLILILCALVFWRESPTGVISLAMMCGGDGVADIIGRRFGSLKLPYNQHKSWAGSISMFICGFLISIGMLFYYSALGYFQLDWTWTIQRVALVALVATVVESLPITEVVDDNITVPLVSMVVSMLSFGY >Potri.018G073000.3.v4.1 pep chromosome:Pop_tri_v4:18:9011025:9015300:1 gene:Potri.018G073000.v4.1 transcript:Potri.018G073000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G073000.v4.1 MENVVIQPSVAVLISFVIAIKAYRRKSLDVTGAVAGFIVMTLHFAISYRFGAILLVFFFSSSKLTKVGEDKKRRFDAEFKEGGQRNWIQVLANSGIASVLVLAVGRLAGWEDKCLDGKESAVITSLIGGIIGQYSCCNGDTWSSELGVLSDAQPRLITTFKPVRRGTNGGVTLAGLLAAAAAGGVIGLAFVLIGFFTTKCVFDVALKQLLVIPVAALAGLCGSLIDSLLGATLQFSGFCTVRNKVVGKPGPTVRKISGLDILDNNAVNLVSILLTTLLTSVGCAYIF >Potri.008G175000.1.v4.1 pep chromosome:Pop_tri_v4:8:12113346:12114504:-1 gene:Potri.008G175000.v4.1 transcript:Potri.008G175000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175000.v4.1 MADVKLHGSWVSPFNYRVIWALKLKGVEFEHIVEDLTNKSELLLKYNPVYKKIPVLVHGGKPIAESLVILEYIEETWPENPLLPTDPYERAMARFWIQYGATKTAAFGALFRASGEELEKAAKEVVEVLRVLQEQGLGDKKFFGGDSINLVDISFGLFTCWLEAIEEAAGVKVLEPSTLPRLHAWAQNFIEVPLIKENIPDYDKLLLHMKGVREKMMNK >Potri.005G138600.9.v4.1 pep chromosome:Pop_tri_v4:5:10898524:10903714:-1 gene:Potri.005G138600.v4.1 transcript:Potri.005G138600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138600.v4.1 MSQKLVILGIPWEIDTEGLRQYMSKFGELEDCIVMKERSSGRSRGFGYVTFVSAEDAKAVLSGEHFLGKRMLEIKVATPKEEMRAPTKKATRIFVARIPPSVTETTFRSHFEKYGEIIDLYMPKDHSSKAHRGIGFITYASADSVDSLMAETHELGGSTVVVDRATPKEDDFRPIARTAPGGYGAYNAYISAATRYAALGAPTLYDHPGPFYGRGESSRGMGKKIFVGRLPQEASTEDLRQYFGRFGHIIDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMSAPEPFGGYGGPMRNFGRMYGTLDYDDAGAYMVPSKWGYGMGSARPSRADWRYRPY >Potri.005G138600.3.v4.1 pep chromosome:Pop_tri_v4:5:10898521:10903696:-1 gene:Potri.005G138600.v4.1 transcript:Potri.005G138600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138600.v4.1 MSQKLVILGIPWEIDTEGLRQYMSKFGELEDCIVMKERSSGRSRGFGYVTFVSAEDAKAVLSGEHFLGKRMLEIKVATPKEEMRAPTKKATRIFVARIPPSVTETTFRSHFEKYGEIIDLYMPKDHSSKAHRGIGFITYASADSVDSLMAETHELGGSTVVVDRATPKEDDFRPIARTAPGGYGAYNAYISAATRYAALGAPTLYDHPGPFYGRGESSRGMGKKIFVGRLPQEASTEDLRQYFGRFGHIIDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMSAPEPFGGYGGPMRNFGRMYGTLDYDDAGAYMVPSKWGYGMGSARPSRADWRYRPY >Potri.005G138600.1.v4.1 pep chromosome:Pop_tri_v4:5:10898527:10903696:-1 gene:Potri.005G138600.v4.1 transcript:Potri.005G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138600.v4.1 MSKFGELEDCIVMKERSSGRSRGFGYVTFVSAEDAKAVLSGEHFLGKRMLEIKVATPKEEMRAPTKKATRIFVARIPPSVTETTFRSHFEKYGEIIDLYMPKDHSSKAHRGIGFITYASADSVDSLMAETHELGGSTVVVDRATPKEDDFRPIARTAPGGYGAYNAYISAATRYAALGAPTLYDHPGPFYGRGESSRGMGKKIFVGRLPQEASTEDLRQYFGRFGHIIDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMSAPEPFGGYGGPMRNFGRMYGTLDYDDAGAYMVPSKWGYGMGSARPSRADWRYRPY >Potri.005G062900.2.v4.1 pep chromosome:Pop_tri_v4:5:4026136:4031530:1 gene:Potri.005G062900.v4.1 transcript:Potri.005G062900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062900.v4.1 MKSHENDEIDLEGGKLVKDKDKPTRSKGVIRIQNQALFSGIAYCISSCSMILVNKYVLSSYDFNAGISLMLYQNFISVIIVSTLRFLGVISTEPLTWRLIKVWLPVNFIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGEMYLFQKDHDSRVWAALFLMIISAISGGITDLSFHAVGYAWQILNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVMLNNTLSLPLGLILIFVFNEVDYLSRTPLLRLPTFWLVVTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFHVPTSLQNSASILFGLLAGVIFARAKMRERS >Potri.005G062900.1.v4.1 pep chromosome:Pop_tri_v4:5:4023501:4031615:1 gene:Potri.005G062900.v4.1 transcript:Potri.005G062900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062900.v4.1 MGTRSISLDSPDSLYERNLIDDSDKVNRNEERILKLHESNGVVEQTSSPLKREVINRLSYSFSMKSHENDEIDLEGGKLVKDKDKPTRSKGVIRIQNQALFSGIAYCISSCSMILVNKYVLSSYDFNAGISLMLYQNFISVIIVSTLRFLGVISTEPLTWRLIKVWLPVNFIFVGMLITSMFSLKYINVAMVTVLKNVTNVITALGEMYLFQKDHDSRVWAALFLMIISAISGGITDLSFHAVGYAWQILNCFLTASYSLTLRRVMDTAKQVTKSGNLNEFSMVMLNNTLSLPLGLILIFVFNEVDYLSRTPLLRLPTFWLVVTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGILLFHVPTSLQNSASILFGLLAGVIFARAKMRERS >Potri.006G089600.1.v4.1 pep chromosome:Pop_tri_v4:6:6809601:6810494:-1 gene:Potri.006G089600.v4.1 transcript:Potri.006G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089600.v4.1 MEQARYWMLTKQKHSLNSHFQASTNPSFDDSWEEQAFAEDAAGPLGGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQPPSPHNEILQQEHQSPHSHLQNPYKSFGFQYPSQLCNLVYSPNPNSDPGPIASPPSSSRVSTTPTQENCRIKGFFPPFSSFIVEEQQKRSPRSSPPPRTKLATERCYHISDLSTEGEQNSRKVESGCTGKVNRVKTDLSVSLNLVVRRTRPSITDSEEDPSSCKKRRIDKSSLPFSLKSNSVDKSEVLEISPCTVEELDLELRLGDRPKVK >Potri.001G181500.1.v4.1 pep chromosome:Pop_tri_v4:1:16009688:16010977:-1 gene:Potri.001G181500.v4.1 transcript:Potri.001G181500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181500.v4.1 MEGRNSTAHHGGIASSYTGVRKRKWGKWVSEIREPEKKTRIWLGSFETPEMAAAAYDVAALHFRGCEAKLNFPELAGKLPMPASSSADHIRMAAHQAAVSLRPSTVDSSQGGSSSSNVGPITVRLSPSQIQAIHESPLDSPKMWMQMAEIATLEESSMMFSDDIEDDEWDNNQTDSLWGP >Potri.001G165000.1.v4.1 pep chromosome:Pop_tri_v4:1:14033155:14039244:1 gene:Potri.001G165000.v4.1 transcript:Potri.001G165000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165000.v4.1 MADSSFEIDYHSTGRSRNYHQRRQLRHPSTPVNSQYDSRSFTQFSFTNTPNRLRQTPSTPFATDNDTSWQDELSWQFQPTGWNDTRSLGAALSPWAASTPSNRHIFQRSANDYYLSRTHGGFRTFTNPYYDQSSYGAVPAGRLELQSYAARNNERSVVHVRDYSSAAYSKSHHGISRPISQAIKGGARRNASPLVDQDELSMIDYDSEDVEKQGELLQTDTNLHGDKDSRWISVSHAYMEDDGVSPLYHSTPHGGHDHHGHELSRSRHDDLLSAYEANRSTSRDYVPGKYPYDDIDQASEYEDEDYDEEDDDNEEAARREVGLFSLFKYSTKWDMVLVFLGCLGALINGGSLPWYSYFFGDFVNRIAKHSDDNMMKEVERICLLMTGVAALVVVGAYLEITCWRLVGERSAHRIRNLYLSAVLRQDITFYDTKVSTSDIMHGISSDVAQIQEVMGEKMAHFIHHIFTFICGYWVGFLRSWKVSLVVLSVTPLTMFCGIAYKAIYVGLATKEEVSYRKAGGVAEQAISSIRTVFSFVAEDKLARKYADLLMKSVPIGAKIGFAKGAGMGVIYLVTYSTWALAFWYGSILVARKEISGGDAIACFFGVNVGGRGLALSLSYFAQFAQGTVAATRVYEIIDRIPDIDPYSPHGRILSTVGGRIEIKGVTFAYPSRPETVILRSLNLVIPSAKTLALVGASGGGKSTVFALIERFYDPINGVVTLDGNDLRTLQVKWLRGQIGMVGQEPVLFATSILENVMMGKENATKKEAINACIAANAHSFISGLPFGYDTQVGDRGTQLSGGQKQRIALARAMIKNPRILLLDEPTSALDQESESVVQQAIDKISTGRTTIVIAHRLATVRNANTIAVLDQGSVVEIGDHRQLMENAGAYYDLVKLATEAVSKSALKQEDAAKDMEFSIYEKSVDLRSKNAFETSKSRYLKSMQAENQQEEEMQESAKPRKYQLSEIWGLQRPEIVKLLLGFLLGMHAGAILSVFPYLLGEALTIYFEDNKFKLKRDVGRLCLILVGLGFGCIISMTGQQGLCGWAGTKLTVRIRDLLFRSILKQEPGWFDFEENSVGVLVSKLSIDCISFRSVLGDRLSVLLMGLSSAAVGLGLSFYLQWRLALLAAALTPFTLGASYLSLIINVGPKLDNSSYAKASTIAAGAVSSIRTVATFSAQDQIVESFDRALAEPKKKSVKRSQVLGLTLGFSQGAMYGAYTLTLWFGAYLVKQGETNIGVVYKIFLILVLSSFSVGQLAGLAPDTSMAAPAIAAIFDIIHRKPLIRSDRDRGKKIDRSNLLDIELKMVTFAYPSRPEIIVLRDFCLKVKGGSTVALVGGSGSGKSTVVWLIQRFYDPNQGKVTMGGVDLRDFNVKWLRSQTALVGQEPALFSGSIRENIAFGNPNASRAEIEEAASEAYIHKFICSLPQGYETQVGESGVQLSGGQKQRIAIARAILKRSRVLLLDEASSALDLESEKNVQEALRKISKRATTVIVAHRLSTIREADMIAVVKDGAVVEYGSHDALLNSHRNGLYASMVRAETETNAFA >Potri.008G017900.1.v4.1 pep chromosome:Pop_tri_v4:8:905439:907858:1 gene:Potri.008G017900.v4.1 transcript:Potri.008G017900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017900.v4.1 MAICRSVFLHSPLLHHPPQPQVLSKPTFFSPNYPPKFSKFTKLPLLHQNPKPHNTTISSLGCTSSASSSMESPPEGYRKNVGICLISPSKKIFAASRLDMPNAWQMPQGGIDENEDPKVAVIRELKEETGVSSAEVLAEAPSWLAYDFPPEVREKLKHQWGSDWKGQAQKWFLLKFTGNEEEINLLGDGSEKPEFGKWSWMSPEQIIDLAVDFKKPVYEEVLAVFAPYFQ >Potri.002G032200.1.v4.1 pep chromosome:Pop_tri_v4:2:2122586:2125212:1 gene:Potri.002G032200.v4.1 transcript:Potri.002G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032200.v4.1 MLKLPELPDCFYQNQPTLIFPSSPTPKHSLYLSNLDDQKFLRFSIKYLYLFKKSISLDILKYSLSKVLVHYYPLAGRLRASTEVDQKLEVDCNGEGAVFAEAFMDITAEEFLDLSMKPNKSWRKLLYRVEAQSFLDIPPLVVQVTTLRCGGMIVCTSINHCVCDGIGTSQFLHAWAQITTKRNLDLPILPLHSRHVLKPRNPPEITFTHPEYVRIAPGENGHLDMDINHFLRSQPLVPSSLTFTASHILHLKKQCVPSLKCTTFEVLASHTWRSWVRALDLSPSLNVKLLFSVNVRKKLIPEIPQGYYGNGFVLGCAQSCAQDLVTSNMYHGVKLVQQAKSSLTDDYVRSMIDLLEDKSVKTDLPMSLVISQWSKLGLEDLDFGEGKPLHMGPLTSDIYCLFLPVAGDFDAVRVLLSVPESVHEKFEYYMKECLGNAANGDAKGSHVEENGFC >Potri.001G360100.1.v4.1 pep chromosome:Pop_tri_v4:1:37665196:37672922:-1 gene:Potri.001G360100.v4.1 transcript:Potri.001G360100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360100.v4.1 MSSYMGVHVSDQWLQSQFTQAELRSLKSKFIAMKNQNGQVTVGDMPLLMVKLNAFNSMFNEEEIAGILNESHADLSNEIDFEAFLKAYLDLQGLATAKSGASKQSSSFLKATTTTLLHTISESEKASYVAHINSYLGDDPFLKQFLPIDPATNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLLLGLISQIIKIQLLADLSLKKTPQLVELVDANNDVEELLGLAPEKVLLKWMNFHLKKAGYEKPVSNFSSDLKDGKAYAYLLNVLAPEHCSPSTLDSKDPKERAKLVLDHAERMDCKRYLKPEDIVEGLPNLNLAFVAQIFHQRNGLTTDSKKISFAEMMTDDVQTSREERCFRLWINSLGIVTYVNNVFEDVRNGWILLEVLDKVSPGSVNWKQASKPPIKMPFRKVENCNQVIRIGRQMKFSLVNVAGNDFVQGNKKLILAFLWQLMRYNMLQLLKNLRSHSQGKEITDADILKWANNKVKHTGRTSKIVNFKDQSLSSGIFFLELLSAVEPRVVNWNLVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLAASIMYWSLQKAVEDGESSPSPSNGTCTATPDASPAPSVNGEDEISSLGGEVSNLNIDDVASDTTVSSQLENEEFTAVE >Potri.001G360100.2.v4.1 pep chromosome:Pop_tri_v4:1:37665426:37672133:-1 gene:Potri.001G360100.v4.1 transcript:Potri.001G360100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360100.v4.1 MSSYMGVHVSDQWLQSQFTQAELRSLKSKFIAMKNQNGQVTVGDMPLLMVKLNAFNSMFNEEEIAGILNESHADLSNEIDFEAFLKAYLDLQGLATAKSGASKQSSSFLKATTTTLLHTISESEKASYVAHINSYLGDDPFLKQFLPIDPATNDLFNLAKDGVLLCKLINVAVPGTIDERAINTKRVLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEGRPHLLLGLISQIIKIQLLADLSLKKTPQLVELVDANNDVEELLGLAPEKVLLKWMNFHLKKAGYEKPVSNFSSDLKDGKAYAYLLNVLAPEHCSPSTLDSKDPKERAKLVLDHAERMDCKRYLKPEDIVEGLPNLNLAFVAQIFHQRNGLTTDSKKISFAEMMTDDVQTSREERCFRLWINSLGIVTYVNNVFEDVRNGWILLEVLDKVSPGSVNWKQASKPPIKMPFRKVENCNQVIRIGRQMKFSLVNVAGNDFVQGNKKLILAFLWQLMRYNMLQLLKNLRSHSQGKEITDADILKWANNKVKHTGRTSKIVNFKDQSLSSGIFFLELLSAVEPRVVNWNLVTKGESDEEKRLNATYIISVARKLGCSIFLLPEDIMEVNQKMILTLAASIMYWSLQKAVEDGESSPSPSNGTCTATPDASPAPSVNGEDEISSLGGEVSNLNIDDVASDTTVSSQLENEEFTAVE >Potri.011G063000.1.v4.1 pep chromosome:Pop_tri_v4:11:5310641:5313329:-1 gene:Potri.011G063000.v4.1 transcript:Potri.011G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063000.v4.1 MIVPLETEDTAWIFLKPFNLEMWMVSGAIFIYTMLIIWFLEHQTNPEFRGPRKYQFGTALWFTFSSLFFAQRERLYSNFTRVVVVAWLCVVFILTSSYTASLTSMLTVQRMKPNFSEFEKLKNDKLNVGCNNESFIQEYVKDVLGLDHDKIKIYNPENDYTSTDFERNNIAAAFLELPYERLFLNQHCKSCTSTKAAYRFGGFGFAFQKGSPFAADFSREILCLSEDGNITRLEEKWFAPSPECSTSATNNNFESLSVRSFKGIYIVSAAVSTICFLLFLFRLLRSSRPHQEADGGHLTPGGKSGSSSGDRITKCFYNGEKTRVLRRVSTFAQALHKDEWGSTKWEYVSNSDNLENN >Potri.003G038300.3.v4.1 pep chromosome:Pop_tri_v4:3:4240789:4249035:-1 gene:Potri.003G038300.v4.1 transcript:Potri.003G038300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038300.v4.1 MSRYDSRSGDPTSYRDRRSGSGFGGASSYGGGSTRPSSERREHGRGDSPAKSDLDGLTPFEKNFHVESPSVAAMSERDVEEYRLRREITVEGRDVPKPVKSFHDVGFPDYVLQEISKAGFTEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIIHVNAQPFLAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMMESHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQSLYNPYKVIIGSPDLKANHAIRQHVDIVSENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAGNARFAKELVTILEEAGQKVSPELTAMARGAPPLLSGHGGFRDRGRGYSGGRSWN >Potri.003G038300.1.v4.1 pep chromosome:Pop_tri_v4:3:4240789:4249058:-1 gene:Potri.003G038300.v4.1 transcript:Potri.003G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038300.v4.1 MSRYDSRSGDPTSYRDRRSGSGFGGASSYGGGSTRPSSERREHGRGDSPAKSDLDGLTPFEKNFHVESPSVAAMSERDVEEYRLRREITVEGRDVPKPVKSFHDVGFPDYVLQEISKAGFTEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAIIHVNAQPFLAPGDGPIVLVLAPTRELAVQIQQEAAKFGASSRIKNTCIYGGVPKGPQVRDLQKGVEIVIATPGRLIDMMESHHTNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPKEVEQLARQSLYNPYKVIIGSPDLKANHAIRQHVDIVSENQKYNKLVKLLEDIMDGSRILIFMDTKKGCDQITRQLRMDGWPALSIHGDKSQAERDWVLSEFKAGKSPIMTATDVAARGLDVKDVKYVINYDFPGSLEDYVHRIGRTGRAGAKGTAYTFFTAGNARFAKELVTILEEAGQKVSPELTAMARGAPPLLSGHGGFRDRGRGYSGGRSWN >Potri.014G133600.2.v4.1 pep chromosome:Pop_tri_v4:14:8957664:8958587:1 gene:Potri.014G133600.v4.1 transcript:Potri.014G133600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133600.v4.1 MRISLSGMRSAFPFSRLVRQLEQEMETVVKVLQPGPLGIVEHNFSAMEMRQANAIVRTAVDNWRRNANLEKRSSVLRDFIQK >Potri.019G101700.1.v4.1 pep chromosome:Pop_tri_v4:19:13765176:13768194:1 gene:Potri.019G101700.v4.1 transcript:Potri.019G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101700.v4.1 MYPVEINVQENLTSRNDDQNVLMDRFLYEYVKEDNIVTFKSCVQKHSPDKLVTPSGNSLLHVAVSYGSDKIAAYLAEEFPSLITSRNDQEDTILHVAAREGRLSNTIKTLVGSNPSLVRLENRKGNIPLHDAVIRGNKEAVAWLVCKDPGAAFYNNNTQKSPLYLAVESGHKNGILDDLLNIEASSGALQKGKSPVHAAIEQRNKDILEKIGKAKPELLGFKDEGLGNSLHYASSMGYLDGARFLLQKFPDGANERDQEGNYPIHLACKNDSVDLVKELMKVFPYPKEFLNAKGQNILHVAAENGQGKVVRHILKQDQKLIEPLLNGIDEDGNTPLHLATQSGQSNAAFALVRDTRVERSIVNNANKTPYDIAEEQSKIAVNQYEKTDEMLAEERKQFDSKNNTPADGTQDKAVDPKKQDKKKPSKDYKLLDYYGAMTTLSILHFHARPKKSLQQPFSSSQGKPPRKEETKSRIENLLVVAVLVAGVTFSGAIQLPQLKNISNSNEHHHDFNITSTVFHNNTAFDSPTGSSLLDGYLCLDVWALNTSVVAAIILLWTNLNDVKFAPFVVWFSSLMVGGAIYMMCLAFFFAVSIALGGSDYGVLAIIIIVVGVVFFLAQTLLYIQWILPPSVNQILEGMLSYYVYFLSFLFLFYSWRWLPDKLSELKRGRTSNERNSG >Potri.004G035600.3.v4.1 pep chromosome:Pop_tri_v4:4:2788928:2794624:1 gene:Potri.004G035600.v4.1 transcript:Potri.004G035600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035600.v4.1 MSISKELYPSQDDLLYEEEILRNPFSLKLWWRYLIARRESPFKKRFIIYERALRALPGSYKLWHAYLVERLDIVRNLPITHPQFETLNNTFERALVTMHKMPRIWIMYLQSLIRQKLVTKTRRAFDRALCALPVTQHDRIWELYLSFVSQEGFPIETSLRVYRRYLMYDPSHIEDFIEFLLNSGLWQEAAERLASVLNDNQFYSIKGKTKHSLWLELCDLMTRHAKEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRELFEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESMVAIKMEKMDLSDDEENEVEENGIELDEDVRLDWSSKFEKKLLNGFWLDDDNDVDLMLARLEYLMDRRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYEDHNDLVNARVIFDKAVQVNYKTVDNLASVWCEWAEMEIRHRNFKGALELLRRATAEPSVEVKRRVAADGDEPVQIKVHKSLRLWAFYVDLEEGLGTLESTRAVYERILDLRIATPQIIINYAWLLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKTKLERARELFEHAIEMAPADSVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLSMYEIYIARAAEIFGVPKTREIYEQAIESGLPDKDVKTMCLKYADLEKNLGEIDRARGIYVFASQFADPRSDLDFWNQWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQRLNIDDAKDKLKQAGLPEDEMAALERQLAPAINKTTARDSSRTVGFVSAGVQSQSDGGMQVTANQEDIELPEESDSEDDEKVEIAQKDVPSAVFGGLAGKREEPEKDDAKDGGSRLGALERIKRLKRGG >Potri.004G035600.1.v4.1 pep chromosome:Pop_tri_v4:4:2788985:2794614:1 gene:Potri.004G035600.v4.1 transcript:Potri.004G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035600.v4.1 MSISKELYPSQDDLLYEEEILRNPFSLKLWWRYLIARRESPFKKRFIIYERALRALPGSYKLWHAYLVERLDIVRNLPITHPQFETLNNTFERALVTMHKMPRIWIMYLQSLIRQKLVTKTRRAFDRALCALPVTQHDRIWELYLSFVSQEGFPIETSLRVYRRYLMYDPSHIEDFIEFLLNSGLWQEAAERLASVLNDNQFYSIKGKTKHSLWLELCDLMTRHAKEVSGLNVDAIIRGGIRKFTDEVGRLWTSLADYYIRRELFEKARDIFEEGMTTVVTVRDFSVIFDAYSQFEESMVAIKMEKMDLSDDEENEVEENGIELDEDVRLDWSSKFEKKLLNGFWLDDDNDVDLMLARLEYLMDRRPELANSVLLRQNPHNVEQWHRRVKLFEGNPTKQILTYTEAVRTVDPMKAVGKPHTLWVAFAKLYEDHNDLVNARVIFDKAVQVNYKTVDNLASVWCEWAEMEIRHRNFKGALELLRRATAEPSVEVKRRVAADGDEPVQIKVHKSLRLWAFYVDLEEGLGTLESTRAVYERILDLRIATPQIIINYAWLLEEHKYFEDAFKVYERGVKIFKYPHVKDIWVTYLSKFVKRYGKTKLERARELFEHAIEMAPADSVKPLYLQYAKLEEDYGLAKRAMKVYDQATKAVPNNEKLSMYEIYIARAAEIFGVPKTREIYEQAIESGLPDKDVKTMCLKYADLEKNLGEIDRARGIYVFASQFADPRSDLDFWNQWHEFEVQHGNEDTFREMLRIKRSVSASYSQTHFILPEYLMQKDQRLNIDDAKDKLKQAGLPEDEMAALERQLAPAINKTTARDSSRTVGFVSAGVQSQSDGGMQVTANQEDIELPEESDSEDDEKVEIAQKDVPSAVFGGLAGKREEPEKDDAKDGGSRLGALERIKRLKRGG >Potri.001G371700.3.v4.1 pep chromosome:Pop_tri_v4:1:38923871:38928742:1 gene:Potri.001G371700.v4.1 transcript:Potri.001G371700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL5 MLRCVEGLITSLLRCFDLDLYKQSRGLEDPELLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILGFEEFARALSVFHPNAPIDDKIAFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Potri.001G371700.5.v4.1 pep chromosome:Pop_tri_v4:1:38927759:38928842:1 gene:Potri.001G371700.v4.1 transcript:Potri.001G371700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL5 MVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Potri.011G165750.1.v4.1 pep chromosome:Pop_tri_v4:11:19012079:19012795:1 gene:Potri.011G165750.v4.1 transcript:Potri.011G165750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165750.v4.1 MPVVRAAAQKLDRLASTSPVERKNLCKCFVGAIKRFPVNLEKVQRLPKLCKLHVTLLSNPNVDCSKF >Potri.015G022700.1.v4.1 pep chromosome:Pop_tri_v4:15:1676244:1680818:-1 gene:Potri.015G022700.v4.1 transcript:Potri.015G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022700.v4.1 MMGAEITQNQWEINNDSSSSNGHDLMALDGNHDHGGFSERGFCDAQVQQESNSAYTTTTTDVSLGIGERAVSAAGAAFLSAIIVNPLDVVKTRLQTQAAGVAYSHPLSNIISRMAYFGPTMMFADLRCSPSCTHAGVHGTVSICPPDCFQYKGTLDVFYKIIRQEGISRLWRGTNAGLALAVPTVGIYLPCYDLFRNWLEDLTAQNIPGATPYVPLVAGSLARSLACATCYPIELARTRMQAFKAAQTVKPPGVWKTLVEVLSHVRSTNNVQNVRGYRILWTGMGAQLARDVPYSAICWSTLEPTRRRLLSLVGEDPNAFKVFGANFSAAFVAGSLAAAATCPLDVAKTRRQIEKDPVRALRMTTRQTLMEVWRDGGMRALFTGVGPRVGRAGPSVGIVVSFYEVVKHLLHHRYATSE >Potri.001G157100.1.v4.1 pep chromosome:Pop_tri_v4:1:13215089:13216552:1 gene:Potri.001G157100.v4.1 transcript:Potri.001G157100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157100.v4.1 MNPSSSNRKKKQPQQVQQEPGTGLRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGSRARTNFVYSDMPAGSFVTSIISPDEQQSLQQQQHQQHSDNNNNLSSLFINVPPSHDHQPDSTPIFNQDFSSQCHLEEGFSSMTSGGGFWSCSSNNTYNQQLKHVIQNNVLPHDFPSNISHSSDYNMSQCSWTDSSTSGLMGFEDQTTMTSGFESVGSSSGSYFGFDSGEYVHSPLFSRMPPVSDMVPDGFDLGSSAYFF >Potri.006G122650.2.v4.1 pep chromosome:Pop_tri_v4:6:9746917:9747590:-1 gene:Potri.006G122650.v4.1 transcript:Potri.006G122650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122650.v4.1 MLRLYDLLVYPLRDGYVKNIWRSMGFSVAVVDSGGW >Potri.005G189000.1.v4.1 pep chromosome:Pop_tri_v4:5:19670550:19671503:1 gene:Potri.005G189000.v4.1 transcript:Potri.005G189000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G189000.v4.1 MAKSAFSRPMPVTVMTLLVATLLLMSSEVANATLNYDGAAALTLGRRALLAETTGRGGYNENPNGRGGYNENPNGRGGYNEDPTGRGGYN >Potri.009G065600.2.v4.1 pep chromosome:Pop_tri_v4:9:6632142:6635646:1 gene:Potri.009G065600.v4.1 transcript:Potri.009G065600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065600.v4.1 MHPANPTAHSSDPDDFDLKDTNPKLGERWPSGGAYGGRGWMNGERYASTFDLVEQMSYLYVRVVKAKDLPPSSITASCDPYVEVKLGNYKGRTRHFEKKMNPEWNQVFAFSKERMQSLVLEVFVKDKEMVGRDDYLGRVVFDLNEVPTRVPPDSPLAPQWYRLEDRRGEGKVRGDIMLAVWMGTQADEAFPEAWHSDAASVYGEGALNVRSKVYVSPKLWYLRVNVIEAQDVVSNDRGRFPEVFVKVQVGNQVLRTKIHPTKTANPLWNEDLVFVVAEPFEEQVFLTIEDRVTPLKDDVLGKISLPLNIFEKRLDHRPVHSRWFNLEKFGFGVLEADRRRELQFSSRIHLRVCLEGGYHVLDESTMYISDQRPTARQLWKQPVGILEVGILGAQGLLPMKMKDGRGSTDAYCVAKYGQKWVRTRTILDTFNPKWNEQYTWEVYDPCTVITLGVFDNCNLRGGEKPNAANAARDSRIGKVRIRLSTLEAYRIYTHSYPLLVLHPHGLKKMGELQLAVRFTTLSLANMIYVYGHPLLPKMHYLHPFTVNQVDSLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMSLISGLFTMNNWFVDICQWRNPITSVLVHILFLILIWYPELILPTLFLYMFLIGLWNYRFRPRHPSHMDTKLSWAEAVHPDELDEEFDTFPTSKSHDIVRMRYDRLRGVAGRIQTVVGDIATQGERFQSLLSWRDPRATSLFIVFCLCAAVVLYATPFRAVALVAGLYYLRHPRFRSKLPSVPSNFFKRLTAQTDSLL >Potri.010G148200.13.v4.1 pep chromosome:Pop_tri_v4:10:15905787:15908941:1 gene:Potri.010G148200.v4.1 transcript:Potri.010G148200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148200.v4.1 MDHIAPRDRDFEVDLESGVRNIVEDLNKDASLGVKAPTKSLLVKVCGAFSDGKANGEERVNLCGNVSNPGGGSADHAKLEGEMSVDQVEKKIVEEKRKKTSNKKPPRPPRGPSLDAADQKLIKEISELAMLKRARIERMKALKKVKATKASSNSNLFAMVFTILFCLVILFQGMSSRATSTNSLGSPLSSEAADEGLISVQYFGNPSSSESNGPGSGSPNFIESVAGSDPPKNPRRAVR >Potri.010G148200.8.v4.1 pep chromosome:Pop_tri_v4:10:15905773:15909046:1 gene:Potri.010G148200.v4.1 transcript:Potri.010G148200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148200.v4.1 MDHIAPRDRDFEVDLESGVRNIVEDLNKDASLGVKAPTKSLLVKVCGAFSDGKANGEERVNLCGNVSNPGGGSADHAKLEGEMSVDQVEKKIVEEKRKKTSNKKPPRPPRGPSLDAADQKLIKEISELAMLKRARIERMKALKKVKATKASSNSNLFAMVFTILFCLVILFQGMSSRATSTNSLGSPLSSEAADEGLISVQYFGNPSSSESNGPGSGSPNFIESVAGSDPPKNPRRAVR >Potri.018G053300.6.v4.1 pep chromosome:Pop_tri_v4:18:5239633:5241581:-1 gene:Potri.018G053300.v4.1 transcript:Potri.018G053300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053300.v4.1 MSNSSCGSSELEICRDHSSALILKLIAIASILFGGIIGIAIPIIGKHSHFLKTDGSLFVSAKAFAAGVILATGFVHMLSAASEALSDPCLPEYPWKKFPFSGFFAMTASLLTLLLDFVGTQYYERKQGLNKASEEQVRVGSVDANPGHESGIVPIIEVKELNGSSGKVFGEEEHGGMHIVGMHAHAAHHRHNHPHGQDACDGLVSSRDHGHAHGSGHEHGHEHGESDVTDVESGLRHVVVSQILELGILSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKTLSTTIMACFFAITTPAGIGIGTAISSFYNPNSPRALAAEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVVSYCMLFLGAGLMSSLAVWA >Potri.018G053300.4.v4.1 pep chromosome:Pop_tri_v4:18:5239066:5242270:-1 gene:Potri.018G053300.v4.1 transcript:Potri.018G053300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053300.v4.1 MLFNEDLWELLYLDHFQSQAQAFSDVLFEAISKSMSNSSCGSSELEICRDHSSALILKLIAIASILFGGIIGIAIPIIGKHSHFLKTDGSLFVSAKAFAAGVILATGFVHMLSAASEALSDPCLPEYPWKKFPFSGFFAMTASLLTLLLDFVGTQYYERKQGLNKASEEQVRVGSVDANPGHESGIVPIIEVKELNGSSGKVFGEEEHGGMHIVGMHAHAAHHRHNHPHGQDACDGLVSSRDHGHAHGSGHEHGHEHGESDVTDVESGLRHVVVSQILELGILSHSVIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFKTLSTTIMACFFAITTPAGIGIGTAISSFYNPNSPRALAAEGILDSLSAGILVYMALVDLIAADFLSKRMSCNFRLQVVSYCMLFLGAGLMSSLAVWA >Potri.001G119100.14.v4.1 pep chromosome:Pop_tri_v4:1:9685093:9687468:1 gene:Potri.001G119100.v4.1 transcript:Potri.001G119100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119100.v4.1 MASLTLSGFSMTVRTRPITRTNHKPCRISCVKWDPEGLYGPPQTGHLARREINRRLEKDAEFREALEMQAREEKQRRHALRQSRVIPDTSEKLIEYFLDTEAQEIEFEIARLRQRLNHEFFSQLQFELGQLRFAVSKTEEMEDRLIELEALQKALKEGTEAYDKMQGELVKAKKSLTKILTSKDVKATLLEMVEQNELNRSLLTLLDENIASANQGKQKEAAAFMEKLRAAVLKYMTV >Potri.001G119100.13.v4.1 pep chromosome:Pop_tri_v4:1:9685098:9688257:1 gene:Potri.001G119100.v4.1 transcript:Potri.001G119100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119100.v4.1 MASLTLSGFSMTVRTRPITRTNHKPCRISCVKWDPEGLYGPPQTGHLARREINRRLEKDAEFREALEMQAREEKQRRHALRQSRVIPDTSEKLIEYFLDTEAQEIEFEIARLRQRLNHEFFSQLQFELGQLRFAVSKTEEMEDRLIELEALQKALKEGTEAYDKMQGELVKAKKSLTKILTSKDVKATLLEMVEQNELNRSLLTLLDENIASANQGKQKEAAAFMEKLRAAVLKYMTV >Potri.008G155300.4.v4.1 pep chromosome:Pop_tri_v4:8:10615742:10616611:1 gene:Potri.008G155300.v4.1 transcript:Potri.008G155300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155300.v4.1 MSWWPSLPVSAPPDRVTDTATSQRCDALSKAFNSGDGNCFCYLIRQPLIFGFPLNEPRVIALPSVCSLSSPVSLDLLCSGSPALPPFTAQQLQILQVLMIFH >Potri.008G155300.2.v4.1 pep chromosome:Pop_tri_v4:8:10615742:10616703:1 gene:Potri.008G155300.v4.1 transcript:Potri.008G155300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155300.v4.1 MSWWPSLPVSAPPDRVTDTATSQRCDALSKAFNSGDGNCFCYLIRQPLIFGFPLNEPRVIALPSVCSLSSPVSLDLLCSGSPALPPFTAQQLQSPDDLSLAPSLPPESVDGSPRSPVSPLAPAEKHNSNS >Potri.006G237700.1.v4.1 pep chromosome:Pop_tri_v4:6:23987843:23989431:1 gene:Potri.006G237700.v4.1 transcript:Potri.006G237700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237700.v4.1 MEAPELYGTTGFCSSQFTSNEKHHSLDSNKSIGGGDHFIVEDLLDFSNEDEDAMVTDPSNNNIVTPTTNSTDSSTVTFVDSCNSSSFSGCEPSGFNGDIGSHYTNNFSHHDVHLGSELCVPYDDLAELEWLSNFVEESFSSEDLQRLQLISGMKARPDESSETRHFQSDDNNNGNVSNICNNNTMFNPEMAVPAKARSKRSRAAPGNWASRLLVLSRTTSSSEPEIIPGSTQHPNSGKKTIKGAVGLKKRDGDVEGGDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMLTKHSNSHRKVLELRRQKEMVRAQQQHQHHQYLHHHQNMVFDVSNGGDDYLIHQHVGPDFRRMI >Potri.001G340800.1.v4.1 pep chromosome:Pop_tri_v4:1:35106143:35109014:-1 gene:Potri.001G340800.v4.1 transcript:Potri.001G340800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340800.v4.1 MAETRLMSVYRTTNTVFDTSIKSPFISITSKPIKVVFSTSFPSWVSLKTSNFSTFNTIPLVAQTSDWAQQDEEDTVTLGEESFGDGSEETFPEPPEEAKLYVGNLPYDVNSENLAQLFDQAGTVEVAEIIYNRETDQSRGFGFVTMSTVDEAEKAIEKFHRYDLNGRFLTVNKAAPRGSRPERPSVFKIAYRIYVGNLPWQVDDARLEQVFSEHGQVVNARVVCDRETGRSRGFGFVTMSSETELNDAIAALDGQSLDGRAITVNIAQERPRRGSF >Potri.006G038000.1.v4.1 pep chromosome:Pop_tri_v4:6:2488754:2489791:1 gene:Potri.006G038000.v4.1 transcript:Potri.006G038000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038000.v4.1 MPRYYSTRSIMFMVVVTLILLMLPPVLPPLPPPPLCLLFVPVMIMSVLVMLALSPSFQDQLLCCMAPLL >Potri.002G210000.1.v4.1 pep chromosome:Pop_tri_v4:2:17724657:17727847:1 gene:Potri.002G210000.v4.1 transcript:Potri.002G210000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G210000.v4.1 MSEKAKFFSRSLFFLITISIFLLILSSFSLVQFPGTHFIPRSVFKLILVNSTSFFLKPNAQTELVKFPFFSSTDTNLSMRCGSLSCPNTNMGASRCYRNKTCGRNQALRKLKVFVYDLPPEFHFGLLGWKGNTNQTWPNVDSHSRIPPYPGGLNLQHSVEYWLTLDLLASNTPKVGTAVRVQNSSQADIVFVPFFSSLSYNRHSKLHGKEKVSVNKMLQTKLVQFLTARDEWKRFGGNDHLIVAHHPNSMLHARKKLGSAMFVLADFGRYPVEIANLGKDIIAPYKHVVRTIPSGESAQFDRRPILMHFQGAIYRKDGGAIRQELYYLLKDEKDVHFTFGTYRGNGIKKAAQGMASSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPFEDVLDYSEFCLFVRASDAVKKGYLLDLLRGIEKDQWTKLWERLKEIAPHFEYSYPSQPGDAVDMVWKAVLRKTSSVQFKRHRKNRYARPEAFLKRI >Potri.006G141400.1.v4.1 pep chromosome:Pop_tri_v4:6:11903848:11906522:1 gene:Potri.006G141400.v4.1 transcript:Potri.006G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G141400.v4.1 MQNPVSVTPPPNTTTNLISTMEAFSLVVLVLAWVSALLYLPKFFKSLRNPLKLPPGPKPWPIIGNFDLLGPLPHQSLHQLSLKYGKTMQLQFGSYPVFVTSSLDIAKQILKTYDHMFASRPQTAAGKYTTYEYSDLAWAPYGPYWRQGRKIYLTELFSAKRLESYEYMRIEEMREFTRRLYRNCGKTIELKDYLSHYTLSIISRIVLGKKYFSASESEKEIVTLEEFQEMLDELFLLNGVLNIGDWIPWLDFLDMQGYVKRMKELKVRFDRFHDHVIDEHNAKRKATKNWQPKDMVDLLLQLADDPELEVKLTRDNIKGLTQDLIAGGTDTAATMGDWSMSELLKKPQLFKRVTDELDRVVGRDRWVEEKDIPQLPYIEAIMKEAMRMHPSAVMLAPHLALQDSKVGGYDIPKGTRIFINTWSMGRDPDLWEDPEDFRPERFIGKGIDIKGHNFELLPFGSGRRMCPGYPLGTKMILVSLANMLHGFTWELPPGMKPQDVKRDEVFGLATQRKYPTVAVAKPRLPLHLYN >Potri.013G087000.1.v4.1 pep chromosome:Pop_tri_v4:13:8143689:8146285:-1 gene:Potri.013G087000.v4.1 transcript:Potri.013G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087000.v4.1 MIGWEDVYKVVVAMVPLYVALVLGYGSVRWWKVFTPEQCGAINRFVCYFTLPLFTFEFTAHVDPFKMNYRFIGADAVSKVIIVVVLAFWAKCSSKGSYSWSITSFSLCTLTNSLVVGVPIIKAMYGPAAVDLVVQSSVIQAIIWLTLLLLVLEFRRTGLGFSSNNSDKDLEGSVDNTESSRPAFWCLMRTVWVKLAMNPNSYACIIGLVWAFISNRWHFEMPAMMEGSILIMSKAGTGTAMFSMGIFMALQEKVISCGASLAVIGMILRFIAGPAAMAIGSIAVGLQGDVLRVAIIQAALPQSITSFIFAKEYGLHAEVLSTAVIFGMLAALPVLITYYAILEFVP >Potri.001G182300.2.v4.1 pep chromosome:Pop_tri_v4:1:16102905:16109285:1 gene:Potri.001G182300.v4.1 transcript:Potri.001G182300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182300.v4.1 MNNGMGRQRSHHQRQYSSSDNNSNSNGNGNGIFLENGRWLQSAGLQHLQNNNSSSSSSSSLIPPLQDYNLYGGGGGGQALRMYGNAQSSFSRGNEFYSEPTTPPVSSRASSQRKNGEDSPNEFSPGLLDLHSFDTELLPELQVPGLYDGSSLFHPVRGRSFDDSYPYISNNKQTGRAPGLPDNNLLKSFVADKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIDTHSNSLTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYHETVEPIVPIIFQRTKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRNQGFQLFVSFFEIYGGKLYDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVETIKDLIEKGSATRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPPRPIGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNASKKDVLSSTLNLKESTTVPLSSVLPVASTFEDDANDAWPEQDERDDFDASEEDSYEQEKPIWKENGKLEPYNLSISEDKIQKPNGQTKWRDMPKSNLKNSHSDNDLNVLLQEEEDLVNAHRKQVEETMNIVREEMNLLVEADQPGNQLDDYVAKLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSSGN >Potri.009G127700.2.v4.1 pep chromosome:Pop_tri_v4:9:10517635:10518331:1 gene:Potri.009G127700.v4.1 transcript:Potri.009G127700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127700.v4.1 MGILSFPSVAHNAKKILKHQSLLGRNHSNLPEGHVAVYVGEFQKKRFVVPISYINHPSFLALLNQSEEEFGFNHPMGGLTIPCKEDAFTDLTSRLHDS >Potri.018G104600.1.v4.1 pep chromosome:Pop_tri_v4:18:12416968:12417871:1 gene:Potri.018G104600.v4.1 transcript:Potri.018G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104600.v4.1 MKRSMLAWIFFMICFTLLSYYACESSFTPRFNKPLQRENQNKINEMHLEAGSLQRRARGVYGGGDLLRPRTGHRNGAGSLLLRPSSFLSTLSRHVAVGLIIFFFLIF >Potri.008G059900.1.v4.1 pep chromosome:Pop_tri_v4:8:3592443:3596383:1 gene:Potri.008G059900.v4.1 transcript:Potri.008G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059900.v4.1 MKLPPHRLLLAAILLLRCAMCTFSAKNCPNCGTTPVPYPFSTAPACGDPQYKIRCNSGTLLFDTLNSSYPVMSINPSIQRLVIQPASLLPNTCVTSDYIYEGISLNESLPFNITSDNTIMFLNCTESLLRSPLNCTSTSLCHVYVNSTKGEAPCQAAPICCTFRAGGSSTAHRIMVRDTGCRAYTSFVDLDSVLPVDKWSNPGLAIEWVLPQEPVCGSQADCDGNSTCGPAANLSGVRRCYCTGGLHWDPIQGICAHDATCQNDGSCGGSTKTALIAGLTSGIGLTLLVIVISVLLYKRRRRIKEAQERLAREREEILNAGGSRAAKIFTGKQIKKATNNFSKDRLLGAGGYGEVFKGILDDGTVVAVKCAKLGNTKGTDQVLNEVRILCQVNHRSLVCLLGCCVELQQPILVYEYIENGNLLDRLQGLKPDGKSQLSWLHRLQIAHDTADGLAYLHFSAVPPIYHRDVKSSNILLDEKLNAKVSDFGLSRLAHSDLSHISTCAQGTLGYLDPEYYRKYQLTDKSDVYSFGVVLLELLTSQKALDFTRPEDDINLAVYVQRMMEEEKLMDVIDPMLKVKASSLHLETVKALAFLALSCIEEKRQNRPSMKEVAEEIEYITTIATAREVEN >Potri.008G059900.2.v4.1 pep chromosome:Pop_tri_v4:8:3592487:3596384:1 gene:Potri.008G059900.v4.1 transcript:Potri.008G059900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059900.v4.1 MKLPPHRLLLAAILLLRCAMCTFSAKNCPNCGTTPVPYPFSTAPACGDPQYKIRCNSGTLLFDTLNSSYPVMSINPSIQRLVIQPASLLPNTCVTSDYIYEGISLNESLPFNITSDNTIMFLNCTESLLRSPLNCTSTSLCHVYVNSTKGEAPCQAAPICCTFRAGGSSTAHRIMVRDTGCRAYTSFVDLDSVLPVDKWSNPGLAIEWVLPQEPVCGSQADCDGNSTCGPAANLSGVRRCYCTGGLHWDPIQGICAHDATCQNDGSCGGSTKTALIAGTSLVIIQDG >Potri.016G052100.1.v4.1 pep chromosome:Pop_tri_v4:16:3378659:3379155:1 gene:Potri.016G052100.v4.1 transcript:Potri.016G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052100.v4.1 MARFGGSFTRIVMAMVVVALLRICGVMAQDIAPTPAMDTGAGLDLPLSMAVISSSMIFSLLALMLH >Potri.017G102300.6.v4.1 pep chromosome:Pop_tri_v4:17:11347580:11349201:-1 gene:Potri.017G102300.v4.1 transcript:Potri.017G102300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102300.v4.1 MEDNIWAMLPEDLLNEILLRVPPFMIFRLRSVCKRWNSILQDSCFLKFHSQVPSHGPCLLTFWKNLQTPQCSVFSLPLKAWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPGMHYNQQRQLIMVVDRIDCSFKVIATGDIFGDRSLPTEVYDSKLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDPGYWEHIPARFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKITWVEISRMPPKYFRALLRLSAERFECVGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Potri.017G102300.5.v4.1 pep chromosome:Pop_tri_v4:17:11347574:11350560:-1 gene:Potri.017G102300.v4.1 transcript:Potri.017G102300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102300.v4.1 MDGSPGESSESGSIVSNSHSLKNGGLHDDDGCPRQVSPIRIGGSRNTSPIGCVGSRNTSPSRQRVIKTKPRGLDEETVATFGKVVHPDVQMEDNIWAMLPEDLLNEILLRVPPFMIFRLRSVCKRWNSILQDSCFLKFHSQVPSHGPCLLTFWKNLQTPQCSVFSLPLKAWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPGMHYNQQRQLIMVVDRIDCSFKVIATGDIFGDRSLPTEVYDSKLDRWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDPGYWEHIPARFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKITWVEISRMPPKYFRALLRLSAERFECVGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSYNSQVCFYEPRFDASIY >Potri.007G135201.1.v4.1 pep chromosome:Pop_tri_v4:7:14745603:14746558:-1 gene:Potri.007G135201.v4.1 transcript:Potri.007G135201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135201.v4.1 MKIHTQIANMYKTSSHTQLDYCFYNQSIMISSLLFFCRFSTTSRVFSSSLFRSTPFLIFLSILINLVSGHVINPFFNSFPYSMSLHIFVSIPRFLLCRIQHSK >Potri.012G064100.2.v4.1 pep chromosome:Pop_tri_v4:12:7676590:7680964:-1 gene:Potri.012G064100.v4.1 transcript:Potri.012G064100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G064100.v4.1 MATASVAFKSREDHRKQIELEEARKAGLAPAEVDEDGKEINPHIPQYMSSAPWYLNAEKPSLKHQRKWKSDPNYTKSWYDRGAKVFQAEKYRKGACINCGAMTHDAKSCVERPRKVGAKWTNTHIAPDEKIETIELDYDGKRDRWNGYDPSSYAHVVERYEARDAARRKHMKEQQLKKLEEKNGNQNVEDGVSDVDNDEDDLRVDEAKVDESKQMDFAKVEKRVRTTGGGSTGTVRNLRIREDTAKYLLNLDVDSAHYDPKTRSMREDPLPDADPNEKFYGGDNRYRNSGQALEFKHLNIHAWEAFDKGQDIHMQAAPSQAELLYKNHKVIKDKLKTRTKDTIMEKYGNAASEEEIPRELLLGQSERQVEYDRAGRIIKGQETVLPRSKYEEDVFINNHTTVWGSWWKDHQWGYKCCKQMIRNSYCTGAAGIKAAEAATDLMKTNIDRKEATEEAPAPVEERRLATWGTEVPEDLVLDEKLLAEALRKEDQRKREEKDERKRKYNVRWNDEVTTEDMEAYRMKKVHHDDPMKDFLH >Potri.017G103401.1.v4.1 pep chromosome:Pop_tri_v4:17:11397809:11397952:-1 gene:Potri.017G103401.v4.1 transcript:Potri.017G103401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103401.v4.1 MILANTTSAGMEPRIASEHYSLVFNTIYTPKLASLLREAQEAGSTIV >Potri.019G042500.2.v4.1 pep chromosome:Pop_tri_v4:19:5875176:5876750:1 gene:Potri.019G042500.v4.1 transcript:Potri.019G042500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042500.v4.1 MTATNGEVHSVTANGGFTNEKPFKIFVGYDPCEDVAYEVCRHSILKRSSIPVDITPIVQSELRTKGLYWRERGQLESTEFSFSRFLTPHLANYDGWAMFVDCDFLYLADIKELRDLIDDKYAIMCVHHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNTQTGAFLHRFQWLEDEEIGSIPFVWNFLEGHNKVVEGDTTTFPKAIHYTRGGPWFEAWKHCEFAEMWLKEKDECVKEADK >Potri.019G042500.1.v4.1 pep chromosome:Pop_tri_v4:19:5875070:5876750:1 gene:Potri.019G042500.v4.1 transcript:Potri.019G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042500.v4.1 MTATNGEVHSVTANGGFTNEKPFKIFVGYDPCEDVAYEVCRHSILKRSSIPVDITPIVQSELRTKGLYWRERGQLESTEFSFSRFLTPHLANYDGWAMFVDCDFLYLADIKELRDLIDDKYAIMCVHHDYAPKETTKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNTQTGAFLHRFQWLEDEEIGSIPFVWNFLEGHNKVVEGDTTTFPKAIHYTRGGPWFEAWKHCEFAEMWLKEKDECVKEADK >Potri.001G323800.2.v4.1 pep chromosome:Pop_tri_v4:1:33292116:33300386:1 gene:Potri.001G323800.v4.1 transcript:Potri.001G323800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323800.v4.1 MAVNGACFFAAGFPTTVRCCAKPSSSGKFKRNPAVANTIRRFAVSTEESITTETTTDFVEDGRLKKKVVDGEKMNPYVLELEKTELEYKSSRKGLNDYFEESRNFIKSSGGGVGGPPRWFSPLDCGSRLDNSPLLLYLPGIDGVGLGLSTHHSTLGKIFDIWCLHIPVKDRTSFIGLVKLVERTVRSENHRSPSKPIYLVGESLGACLALAVAARNPDIDLVLVLVNPATCFEKSQLQRLMPLLEIMPIQHQFGLPYILSLMTGDPLKMVIDNARKGVPLLEIVEGLSQDAVVIPSNLNVLAAILPRETLLWKLNMLKVASKFANSHLHAVKAQTLVLSSGRDQLLPSEEEGQRLNRVLPKCQNRSYSGSGHFLLLEDVFDLATLIKGSAFYRRGAHQDYVSDYVPPTPLEFRQIYEPNRLFLLAISSVMLSTLEDGKIVRGLAGIPSEGPVLFVGYHMLMGFELAPMVSNFLLERNIFLRGLAHPVLFIRTKKERLLPPLSQFDTFRAMGAVPVSGTNLYKLLASKAHVLLYPGGGREASHRKGEQYKLFWPEQSEFVRMAARFGAKIVPFGVVGEDDFGEVVFDYDDQMRIPFLRDYIEAVTDELGVRVRTEEDGEVGNQNMHRLGILPKFPGRFYYYFGKPIETEGRRHELRDREQAHELYLQIKSEVEKCIGFLKEKRETDPYRNMLARLSYQATNGSTSQVPTFDI >Potri.003G216600.1.v4.1 pep chromosome:Pop_tri_v4:3:21226743:21228266:1 gene:Potri.003G216600.v4.1 transcript:Potri.003G216600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216600.v4.1 MGTLVGHVAPGFAFLALGLWHLFSHIKLHAQQPNSYTSSPWFPTSRLRYLELFLIMLGSSISVSMELFIGPRNHQPFDTDGTIPSNHLHNFEHSSISITFFVYAAFAILLDRIGPKAQYGLTQFLGALAFGQQLFLFHLHSADHMGVEGQYHLLLQIVIVVSLATALMGIGLPKSFMVSFVRSASITFQGVWFIVMGYALWTPSLIPKGCFLNLEEGHQVVRCHGEEALHRAKSLVNIQFSWLLIAIIIFVMSFYLFLVKLYGDEAEYSTLTKENLLYEEDSDDVESQKGSKVGEKKSFMEIGRGFVPIDMER >Potri.014G190701.1.v4.1 pep chromosome:Pop_tri_v4:14:16552140:16555064:-1 gene:Potri.014G190701.v4.1 transcript:Potri.014G190701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G190701.v4.1 MQVYQQSIAACCIQDWPKERMLIQVLDDSDELDAQLLIKAEVQKWQQRGVHILYRHRLIRTGYKAGNPKSAMSCDYVKDYEFVAIFDADFQPGPDFLKRTIPHFKGKDDLALVQARWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEDCGGWLERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFVDILRAKVLKFSPPLAIIYIW >Potri.011G124800.1.v4.1 pep chromosome:Pop_tri_v4:11:15552144:15552873:1 gene:Potri.011G124800.v4.1 transcript:Potri.011G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124800.v4.1 MVCFCFLVDQTRKVRRFKPVAGSCSRCGHGASVADMRTSTRFCYVPFYWDSWRAVICTFCGAILKSYR >Potri.007G127500.1.v4.1 pep chromosome:Pop_tri_v4:7:14263979:14266663:1 gene:Potri.007G127500.v4.1 transcript:Potri.007G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127500.v4.1 MDAEEHKLRLSQDPEWADVTPIPQDDGPNPVVPIDYKPDFIETMGYFRAVYKANEFSPRALQLTHQAILLNPGNYTVWHFRRLILDALGIDLNEELNFMSGISESNPKNYQIWHHRRWIAEKLGTDAASKELEFTRRMLSLDAKNYHAWSHRQWVLQALGGWENELDYCHQLLEKDVFNNSAWNQRYFVVTRSPFLGGLEATRESEVKYTIETILGNPGNESPWRYLRGLYKNDPKSWISDPQVSSVCLKVLSAEANHVFALSTLLDLLSHGFQANQEFRDAVDSLRPSNSDPADSDIAKTICSILRHVDPMRVNYWTWRKSKLPSSVSV >Potri.001G448300.1.v4.1 pep chromosome:Pop_tri_v4:1:47440359:47441384:1 gene:Potri.001G448300.v4.1 transcript:Potri.001G448300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448300.v4.1 MGCVSSNLLNNEDEFAQLGSSALSHHIVSLTSTTYGLLNLDPLPPPTPPPQTTTTPPTPSHRFTLGSIFPTPLTEPKSKPEIIDSWELMSGLDTDSFRFSPIIKKDQESSHFSSFFKKHQETPLFSKENTSPKLLLKDSSLVTKKCQESPLSSQENKNPNFLLKDSTGLIDKFERLCPPSGEDRVVIYTTTLRGIRKTFEACNVVRAAFEGFGVLICERDVSMDKGFKEELMELMRGKEREAMVPPRVFVKGRYMGGAEEVMRLVEEGIMGDVLEGLPKKGVKGVCEGCGDVRFLPCFSCNGSCKMVMVVKEELGQKQGRTVVLRCPDCNENGLVLCPICS >Potri.017G139800.1.v4.1 pep chromosome:Pop_tri_v4:17:14076537:14080156:1 gene:Potri.017G139800.v4.1 transcript:Potri.017G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139800.v4.1 MLIMLGGVQIGVLAACVVLFVPMGMAGYHLSRNRMLFFSGALFITLAVGVHLTPYFPSVSDFVSSVKSVVVYDNREDSCINLVNEVVWDVKPRIISSSGDGTVDYDKIWDWSKNGKVKGCDFEKLGRGDVKDLLNGSWVVVAGDSQARLTVQSLLSLILDEKRMGMIKGDLYKKHSDYEIVVDEIGMKLDFVWAPYVVNLTSLMMGFKQNRTYPDVLVMGAGLWHMLHVNNASDYDVALENLRSSVVSLLPFSPELDTDGPVTGSVSVRSPHLFWLGMPILINGMLNTEEKREKMNDEMCRAYDSALHNSRLLRRYGGPLLLLDIQSLSWNCGPRCTIDGMHYDGTVYEAAVHILLNALLIESHQKLGSS >Potri.018G147200.1.v4.1 pep chromosome:Pop_tri_v4:18:15887182:15890429:-1 gene:Potri.018G147200.v4.1 transcript:Potri.018G147200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147200.v4.1 MLNLRENAERRRGRERATAVAIDKDKSSQSALIWAADNLVTRDLVLKLVHVKDRQNAVSNDHDAPEEQQTDSQKMDFFLPFRCFCRRRRVRCETVLLEDSDVARALIGYVYRYGVDTLLLGGGSRNGLSRLFKTVDIPGTVLKRAPNFCTVYVVSKGKVSGLRNATRPVPARYAAGGALLVQRNSSVDLPTAIAESWYDELSPDHPDSPTESSERPSTDTTFFAFYENLGNSELTENLQKDLDMDERDFESTHSGSSSPVELRGIPEFKIFDSHAEPWLELNEEGHEDEVRRLKKELKQTIDMYHASLKEALAAKQKAMELEHWKIIEEKRILEANLIQETALKMVEWEKAKSRAANEAAEAAQMRVEIEVQKRIKAEKKVLKETEEKKKVLDALGQSHIVVKHQSLFHMIVVVLLFYFYFSVFK >Potri.013G094900.1.v4.1 pep chromosome:Pop_tri_v4:13:9765565:9766395:-1 gene:Potri.013G094900.v4.1 transcript:Potri.013G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094900.v4.1 MSPMHNTVHYCCVAKGNRTLYVYSGADIEIENVAALCLERPPSYHKWYFETIAKKTFGFFIEDGFVYFTIVDEGLGNPGVLQFLEHVRDEFKKVARKGSRGSFSGMNSINIQEQLVPVIHRLITSLEHVSSNGWAGESSSSDNLGLSPSPVNANGQIEVVSSTKAPLLGKSNKQDKRKSKDHVITIRDIELEEHRKSTDRGAKLDSTTLDSNNQAGVGSSISLQKDLGSMRIRTSSQSIRKKWWRQVRIVLAIDVAICLILFVIWLSICGGFGCTH >Potri.006G272900.2.v4.1 pep chromosome:Pop_tri_v4:6:26546441:26549908:1 gene:Potri.006G272900.v4.1 transcript:Potri.006G272900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272900.v4.1 MAESVKSDGKGDDRIENLIAARKSLKLSLEKSKSLGLALKKAGPILDEMKQRLPSLEAAVRPIRADKEALVAAGGHINRAIGPAAAVLKVFDAVHGLEKSLLSDPRNDLPGYLSVIKRLEEALRFLGDNCGLAIQWLEDIVEYLEDNVMADERHLLNLKKSLKGLRELQSDDERAHLDGGLLNAALDKLEGEFWRLLTEHSVPLPMPSSSTLGEQAVIAPSQLPVSVIHKLQAILGRLRTNNRLEKCISIYVEVRSSNVRASLQALDLDYLEISIAEFNDVQSIEGYIAQWGKHLEFAVKHLFEAEYKLCNDVFERLGLDVWMGCFSKIAAQAGILAFLQFGKTVTESKKDPIKLLKLLDIFASLNKLRLDFNRLFGGAACIEIQNLTRDLIRRVIDGAAEIFWELLVQVELQRQIPPPPDGNVPILVSIITEYCNKLLGDNYKPILSQVLVIHRSWKHEKFQERILVGEVLNIIKAIELNLETWTKAYEDTILANLFAMNNHYHLYKHLKGTKVGDLLGDSWFKEHEQCKDYYATIFLRDSWGKLPGHLSREGLILFSGGRATARDLVKKRLKTFNEAFDEMYKKQSSWVVPDRDLREKICQQIVQAVVPIYRSYMQNYGPLVEQDGSSNKYAKYSVQALEQMLSSLFLPKPGRYASFKGRQLSDKFNNGVADLRRTTSAVV >Potri.011G068200.1.v4.1 pep chromosome:Pop_tri_v4:11:5965048:5969160:1 gene:Potri.011G068200.v4.1 transcript:Potri.011G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068200.v4.1 MAADPKPEEISHPPMDQLQGLEYCIDSNPSWGEAIGLGFQHYILALGTAVMIPSFLVPLMGGNHGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIISIIHDPSLMRIPDDHLRFLSTMRAVQGALIVSSSIQIILGYSQLWAICSRFFSPLGMVPVIALVGFGLFDRGFPVAGQCVEIGFPMLILFVICSQYLKNFQTKQVPILERFALLLSITVIWAYAHLLTASGAYKHRPEITQKNCRTDQAYLISSAPWIKIPYPLQWGAPTFDAGHCFGMMAAVFVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGLFGTMTGSTVSVENIGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFPIFAAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVAFFLGLSVPEYFREYTTKAYHGPAHTRAGWFNDYLNTIFFSSPTVALIVAVFLDNTLDYKESARDRGMPWWVKFRTFKGDSRNEEFYTLPFNLDRFFPPS >Potri.017G120500.1.v4.1 pep chromosome:Pop_tri_v4:17:12648516:12651456:-1 gene:Potri.017G120500.v4.1 transcript:Potri.017G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120500.v4.1 MDQTFLLKSTTEEKRWVLTWDAFVEELRRVSCLAAPMMVVSVTLYLLQVVSMIMAGHLSELSLSGVSMATSFTNVTGFSLLAGFSGGLETLCGQTYGAEQYKKFGSYTYCAIISLIVVSIPVSVLWTFTDRLLIAVGIDSEISTVACKYAIWLIPALFAFAILQPLLRYFQSQSLIYPILVSTCAALCFHIPLCWALVYKWELGNIGGALAIGVSYWLNVILLVLYMVFSSSCEKTRRLYWDDIFSSINKFFRFAFPSAVMICLEWWTYELVILLAGLLPDPKLQTSVLSICLATATLHYYVQYGIGAAGSTRVSNELGAGNPQAAQVAVQVVLIMSLVEVVTVSLILFFCRHIFGYAFSSEKRVVDYVAELAPLMCLSIIMEGLQAVLSGIARGCGWQHIGAFINLGAYYLVATPLAVVLCFVLHLGSRGLWMGLLIGKTVQALCFASITALTNWQKQATEAKERILGRSLLADNGLA >Potri.002G086600.3.v4.1 pep chromosome:Pop_tri_v4:2:6206157:6208038:1 gene:Potri.002G086600.v4.1 transcript:Potri.002G086600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086600.v4.1 MAYVERGVLKSKRSIWRLRTITDFFWAIVNLIGVFFSTMFSMEKTDAYRKGSGSSKKWDGGPGGPGSGPYGGGPRGPPRGLDNVRGIDHSSLPACGSCCG >Potri.017G138900.4.v4.1 pep chromosome:Pop_tri_v4:17:14008272:14012376:-1 gene:Potri.017G138900.v4.1 transcript:Potri.017G138900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138900.v4.1 MTVALFWVGSANPSTEVSNCLRFLDSVRVLDTTKFGNSRDRSLFFKLGEKKGRKQKWKSCSVNPDLGYLGGRSNGSKLPVISSMVASHAGEMAVLSSEEMVYNVVLKQAALVKKELKSRGDLDVKPDVVLPGTLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDLFQGRPFDMMDAALADTVGKFPVDIQPFKDMIQGMRMDLRKSRYQNFDELYLYCYYVAGTVGLMSVPVMGIAPESQASTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDDDIFAGKVTDKWRNFMKNQIKRARMFFDEAEKGVTELSAASRWPVWASLLLYRQILDEIEANDYNSFTKRAYVRKAKKIVALPVAYAKSLISPSSRVPSPLAKT >Potri.017G138900.8.v4.1 pep chromosome:Pop_tri_v4:17:14008273:14012001:-1 gene:Potri.017G138900.v4.1 transcript:Potri.017G138900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138900.v4.1 MTVALFWVGSANPSTEVSNCLRFLDSVRVLDTTKFGNSRDRSLFFKLGEKKGRKQKWKSCSVNPDLGYLGGRSNGSKLPVISSMVASHAGEMAVLSSEEMVYNVVLKQAALVKKELKSRGDLDVKPDVVLPGTLSLLSEAYDRCGEVCAEYAKTFYLGTLLMTPERRRAIWAIYVWCRRTDELVDGPNASHITPTALDRWEARLEDLFQGRPFDMMDAALADTVGKFPVDIQPFKDMIQGMRMDLRKSRYQNFDELYLYCYYVAGTVGLMSVPVMGIAPESQASTESVYNAALALGIANQLTNILRDVGEDARRGRVYLPQDELAQAGLSDDDIFAGKVTDKWRNFMKNQIKRARMFFDEAEKGVTELSAASRWPVWASLLLYRQILDEIEANDYNSFTKRAYVRKAKKIVALPVAYAKSLISPSSRVPSPLAKT >Potri.008G140200.1.v4.1 pep chromosome:Pop_tri_v4:8:9433224:9435311:-1 gene:Potri.008G140200.v4.1 transcript:Potri.008G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MDDDALNMHNWGYYEPSYKEPFGLQWMPSMVDRDTKHFLPRRDPINIMIGANGAYLPHDCVVSDAPEHMNYMRDSWINREKFLNILPPNPNYVVPQQTSGAHSMQMLQPPNSSRDERLSRIEEPSVSNEGNQLKRRQVGGTSPKTPKAKKPRKPKDGNNNTVQRAKPAKKSVDVVINGIDMDISGIPIPTCSCTGTPQQCYRWGCGGWQSACCTTNVSVYPLPMSTKRRGARIAGRKMSQGAFKKVLEKLAAEGYNFANPIDLRTHWARHGTNKFVTIR >Potri.017G062600.1.v4.1 pep chromosome:Pop_tri_v4:17:5205394:5206451:-1 gene:Potri.017G062600.v4.1 transcript:Potri.017G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G062600.v4.1 MEGLESFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKTGIWNKLVSETGVGWNSELGTISASDEWWKQKIQEIRGAKKFRHVGIEQSLKNKFDRMYSNIVATGAYAWAPSSGVPIDSDVDPSTSNAGIAHDGLEEGSGVNMSSSSNTKSGDKRKERDHYDVRGRKKKASGIQLLSRCNQLLESISTKSDSTSVILDREGCSIREVMAELHSIPGVSIEDEFHDFATEYLSLRRKREMWASMGDKEQKLRWLQRMYACTKRA >Potri.018G014101.1.v4.1 pep chromosome:Pop_tri_v4:18:950662:953000:1 gene:Potri.018G014101.v4.1 transcript:Potri.018G014101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014101.v4.1 MAKDTMPRPWFLDLVPLMVVLLAVAHVLALAYWIYRLATEKQPQRNKRH >Potri.018G078200.1.v4.1 pep chromosome:Pop_tri_v4:18:9595387:9601197:1 gene:Potri.018G078200.v4.1 transcript:Potri.018G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G078200.v4.1 MQLKIDMPRPVHDQELERWKAVKFPVPKLADYGFSEPNKILQKEFYTYAKEDNFNALFGLLSDKLEHVSSEEVLNVIFKHVAASGNSLLHVAASHGSEGVTQLLCHHFPLLITRKNFLGDNALHLAARAGRFDTIQNLVKHVKIDPHKTLELASLLRMKNNKGNTPLHDAVIKGCREVACFLVNEDLEVSYHKNKEDKSPLYLAVERCDEKMIVSLIEAMPDGNLEKLADGKPDTMLPEDKKGGSLLHLAASMGFLFGARLLVSRCPVAASQRNEEGNLPIHVACQKGHLEVVRELLTYWFDPMDFLNEKGQNILHVAAESGQMKLVEEILGNRDLEALINEKDYDGNTPLHLAAMYGRSEIVQALVRDKRVDTRIVNNEKLKPSGVVVKLLQGGRFEAPKSDGMNKLIDTKHEDDAARGVWNNSQEAEVRKMRKVFKVLVEADDKTEFDNNLISNLTRTTLTTEELNRGVGNLLVVAVLVVGVTFAGAITVPGSCRDPKSGSSKNLMRAFIYFDMLAMNFSLIAAIILCQISLGRASDVTSSMKNATYYNFYALFCMGIAFTFILLITVQEHTGFFLTIITSHAYLFFIQLVCSYGFMLSTANKIRSFLRANPFKFMARMKRRMDVRTSLMGRE >Potri.009G045700.1.v4.1 pep chromosome:Pop_tri_v4:9:5185532:5187735:-1 gene:Potri.009G045700.v4.1 transcript:Potri.009G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045700.v4.1 MAAEESNNGAEAAADCVAIIFGVTGLVGREIARRLISKNKWKVYGVARRYESFPILSPNYHFISCDLLNPQETEIKLSMVQDVTHMFWVTWTGEFPLDSRECCEQNEAMVSNALNVILAKSKALKHVSLQTGMKHYLSLRGPFDVKQVSVYDEKCPRTSEGYNFYYALEDLLKKRLAGKVAWSVLRPGLLTGSSNTALYNIMGCLAIYGAICKHLNLPFVFGGTRECWEEVFIDGSDARLVAEQHIWAATDDGISSTDGQAFNAINGPSFTWKEIWPVLGKKFGAEVPEEMFSNDFWFAKAMSDKKEAWQEIVVKEGLVHTEMEDLANWEFLDILFRFPMKMLGTRGKADRLGFTMRCKTLESILYWVDFMREEKMIP >Potri.016G118500.1.v4.1 pep chromosome:Pop_tri_v4:16:12380223:12381524:-1 gene:Potri.016G118500.v4.1 transcript:Potri.016G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118500.v4.1 MAEVKLHGFWSSPFSCRVIWALKLKGVEYEYIEEDLLNKSELLLKYNPIYKKIPVLVHDGKPIAESLVILEYIEETWPENPLLPRDLYDRAMARFWIQYGATKCADAFGAFFVASEEEKEKAAKEIMEVLKILEDQALGDKKFFGGDNINLVDISYGPCAYWLPAMEEAVGVKVLEPSTLPKLHAWAKNFIEVPVIKENIPDYDKMLAYMRVAREKLGKN >Potri.002G155100.1.v4.1 pep chromosome:Pop_tri_v4:2:11841509:11844831:-1 gene:Potri.002G155100.v4.1 transcript:Potri.002G155100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155100.v4.1 MHKSRFLNISRDYLHSAAKSNGFSYSRLESNRVLKDLSKRGRIDDARNLFDKMLDRDEFSWNTMVAGYANSGRLTEAKKLFYETPMKSSITWTSLLSGYCRYGFENEAFELFLEMQLEGQRPSQYTLGSVLGLCSTNGLLQKGEQIHGYAIKTWFDWNVFVVTSLVDMYGKCKCVFEAECLFEMVADCKYNAVWSAMLAGYSHYGYGYKAIECFRNMRNEGVESNQFTFPSILRACGAVMACDFGSQVHGCIVRTGFGANIFVQSALVDMYAKCRDLNSAKRVLGNMEFDDEVSWNSLILGCVREGFEEDALSFFQKMRSRDMKIDEYTLPSVLNSFASMKVMQNAISVHCLIIKTGFEAYKLVNNALIDMYAKQGKLDCAIMVFSKMVDKDVVSWTSLVTGYSHNGSYEEAIKLFCKMRISGVYPDQIAVASVLSACAELTVMDFGQQIHATLVKSGLESSLSVDNSLVTMYAKCGSIVDANRAFDNMPTRDVISWTALIVGYAQNGRGKHSLQFYDQMIATGTKPDYITFIGLLFACSHNGLLGSGRAYFEAMDKVYGIKPGPEHYACMIDLLGRSGKLAEAKGLLNQMVVAPDAVVWKALLAACRVHKELELGEMAAKNLFELEPMNSMPYVMLSNMYSAAGKWEDAARIRRLMRSRGICKEPGYSWIETNSKVSTFMSEDRNHPLRNEIYSKIDEIIMLIKEAGYVPDMSFALHDTDDEVKELGLAYHSEKLAVAFGLLTVPQGAPIRIFKNLRVCGDCHTAMKYTSKVYARHIILRDSNCFHHFTEGRCSCGDYW >Potri.012G098500.1.v4.1 pep chromosome:Pop_tri_v4:12:12216218:12220971:-1 gene:Potri.012G098500.v4.1 transcript:Potri.012G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098500.v4.1 MDNTNTNTNHQPQSTAYPPHSTTTTPPPPPPGAPSSAPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKEEAAGLGGIVGATASGVPYYYPPMGQPAAAAAAGGMMIGRPAVDPATGVYVQPPSQAWQSVWQTAAAEDGSYGSGGASGGQG >Potri.012G053100.2.v4.1 pep chromosome:Pop_tri_v4:12:5003434:5004473:-1 gene:Potri.012G053100.v4.1 transcript:Potri.012G053100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053100.v4.1 MYFLSYHYFMVLVLCAFAFFIQKYLVYADRWVSRKSKGKMSPPEASSIEPNQTQSMFPLLHLPLGPIHA >Potri.013G146700.4.v4.1 pep chromosome:Pop_tri_v4:13:14393336:14395084:-1 gene:Potri.013G146700.v4.1 transcript:Potri.013G146700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146700.v4.1 MDQLHITNQVPAERTWLESIKIELAHLNPLSNWSIWRVPNNLLAVNKDAYSPHIISIGPLHHGEQNVLAMEVHKLHYMLSLLARTPDPAKSLDECGKAILRFDKHIRACYAEPIDKYKENDLVKMLLVDGCFILELFLRFSMADLRLQDDPVFNTSWMVLTLRRDLALLENQIPFFALEWLFKFTVKPSAIGQSLPTLPELAFDFFKSSLYINRGTLAVSRRIVPHLLGLIHNCYLPFSSRPNPRGRGGWEFIHYSSVLLQAGIEFERDTTSSLFDLKFENGVFKIPPLRIHDSTVSLFQNLIAYEQRFHGGQQYITSYFLLMDRLIDTPNDVELLVQRRIIENDFGGWEDISAFFNSICKQIVLQDFYYAGLCEDVNAYYNKQCYRYKADFRRDHCKNPWAIISLVAGFVLLGFTALQTVYSVLAYYK >Potri.008G058800.2.v4.1 pep chromosome:Pop_tri_v4:8:3516685:3519070:1 gene:Potri.008G058800.v4.1 transcript:Potri.008G058800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058800.v4.1 MALLNVYLCTKIPPKPHLSITKPSLSIPHLPSLSFSHCDKPQALIPNKQLVEDFAKTGFLAILSVSLFFTDPALAFKGGGPYGSEVTRGQDLTGKDFSGRTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRSADFSLTNVTKANLSNANLEGALATGNTSFRGSNITGADFTDVPLREDQREYLCKFADGVNPTTGNATRDTLLCN >Potri.008G058800.4.v4.1 pep chromosome:Pop_tri_v4:8:3516685:3519085:1 gene:Potri.008G058800.v4.1 transcript:Potri.008G058800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058800.v4.1 MALLNVYLCTKIPPKPHLSITKPSLSIPHLPSLSFSHCDKPQVQALIPNKQLVEDFAKTGFLAILSVSLFFTDPALAFKGGGPYGSEVTRGQDLTGKDFSGRTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRSADFSLTNVTKANLSNANLEGALATGNTSFRGSNITGADFTDVPLREDQREYLCKFADGVNPTTGNATRDTLLCN >Potri.007G045500.2.v4.1 pep chromosome:Pop_tri_v4:7:4029167:4035315:-1 gene:Potri.007G045500.v4.1 transcript:Potri.007G045500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045500.v4.1 MAGQGSKSNAFPGKFYLGYGPNGINLLCNQNVDSDNSSSEGTHSEVNIAPSDNNSQLTNSNGPSATMAASPSVLPRNIDLNAAYEGNGMGDNQELGGGSDTNKNLLVSDSSNSNAIMISSGIAGYVLEENEGGQDSASGGRRLSCKRRATEDASRQFSLGESSRSVKLGDIPEENAPKSFNLPSSLNSNPNSARLSVGVRIAPSPYQPSSAAASEFVTGTGTSSEVHQNPSVVGQAENTQRYTRLRRSASRLDSAPGHNSSFWTIRNPRVQSSGQQPVFYQFNHLPSSNTAATTGMVNVASPTQPFMHTPNPSQPLQPFQWNGVTAERTSGPSTHAMNGGNTLHQDRSLRNDPRNDMFFSEFQRTHMPNMPTNLHFANGRYFPGSMSPISQPHNPIWYVQGNMEEQYHGRMPNLVNRTEPQGQVCYRPFNLVASSDTIERGLQEGSGNIRPSQMPLIPGLEMRSERQTVTREMARRALTAVQRRNRLATEVRNALTLVRRRGSLQFGNVMLIDRSALFGDSDDEEADELEDMRLDVDNMSYEQLLALEEQMGNVSTGLSEDAIVATLKHWKYQAVADGSDSEDEPCCICQEAYADEDDLGKLKCGHDFHFNCIKRWLVEKNNCPICKKAAVDV >Potri.014G066800.1.v4.1 pep chromosome:Pop_tri_v4:14:4191671:4196359:-1 gene:Potri.014G066800.v4.1 transcript:Potri.014G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066800.v4.1 MTDTNLELQESGWEELRREARKIEGDLDVKLSSYAKLGSRFTQGGGGGGYVETGSPTVGSSRSWKSMEMEIQSSLEKLLDINDAMSRCAASAAPATSVTQKLARHRDILHDFTQEFRRIKGNINSMREHSELLSSVRDDISEYKASGSMSPRVQLLRERAAIHGSISHIDDVINQAQTTRAVLGSQRAFFGDVQGKVKLLGDKFPIIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >Potri.003G080000.2.v4.1 pep chromosome:Pop_tri_v4:3:10727460:10731065:1 gene:Potri.003G080000.v4.1 transcript:Potri.003G080000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080000.v4.1 MIIEELRVGEVERGEEEKELRAVGANKKESEGVIAWNAKRVFIGAGARALFYPTLLYNVVRNKVQAEFRWWDRVHEFILLGAVPFPSDVPCLKGLGVGGVITLNEPYETLVPTSLYHAYGIDHLVIPTRDYCFAPSLNDICQAVAFIHENVSSGRTTYVHCKAGRGRSTTIVICYLVHHMQMTPNAAYNYVRSIRPRVLLASAQWQAVQEYYYLKVDTSDHNFKLADLVFRTPRPALLQGIVPFDDGSVVVVTEADLDGYNPSIESGPVGSEIWADLSVVCRVRVAGQAALARISCLWLRCQAHQKIVGEQLNRKNSCSIRADHLGAISVDIHVY >Potri.002G195066.1.v4.1 pep chromosome:Pop_tri_v4:2:15796659:15801096:1 gene:Potri.002G195066.v4.1 transcript:Potri.002G195066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195066.v4.1 MEVLGLAQFDTKGSAIRKKRSHISRRPKDSQTFTDNHDYSSLSPSPPSDDGNKGSSYKNADNSRRKEFNLNQSVSRVFPATRTESKNAICNSNSGKSVINNKRFSEGVLAPANWRSASNLKECMDVESTTANMYSGRNGGSWSSEQSGVSLDALGNESKVKNVKRKVGGVARTINPNSTTNGVSSTENLDSQTLPGQGRNLVFRGI >Potri.019G132201.1.v4.1 pep chromosome:Pop_tri_v4:19:15432678:15435736:1 gene:Potri.019G132201.v4.1 transcript:Potri.019G132201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132201.v4.1 MESCGVGNSGEIYRNSSLGSSSNISNGGGDAGGGGGGGNNSRRGNNSNFSQEREHLIPSPSTAAAGGRRGGHQEVTIRIIGSAENDRDDESNASPNVINVNSVSTVSDGSSGIDIDSSLPSSSSSSYQRYDIQNLARWMEHVLPFSLLLLLVFVRQHLQGFGVALWIAAFLFKSNYILRKQTALKGGREKSVLIGMTWHRNGDLLYLLVLLLPTTIPPLWHAVFIGIVNDGLVREVAMVFKMLLLYYKNGRGHNYRKQGQMPTLVEYLLILYRAVLPTPVWYLFFLNKEYGSFFSSLITGLYLTVKLTTVLWKVQLFFTAWRTLPLKEMYYGSFATSEQVKAVGDLCAICQEKTPERPFHYVVNTFCEDCVSEWFDRERTCPLCRALIKPADIKSYSDGSTSLFFQIF >Potri.019G003300.1.v4.1 pep chromosome:Pop_tri_v4:19:915428:917340:-1 gene:Potri.019G003300.v4.1 transcript:Potri.019G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003300.v4.1 MELMEDVVIVGAGIAGLATAVALKRVGVRALVLERSEGLRATGTALTLSPNAWLALDALGVSHKLIPLYTPSPKGYVTNVSTGEVREVLYPRQGIRTLHRKVLLEALAEELATDSIRFSSRLVAFQSLEQGGDASMAAVHLEDGTTIKSKVLIGCDGVHSFVARWLGLAELVHSGRSTVRGLAVFPQGHGFKQEFLFFMDESDKAGFVPLNDRELYWFFSSQGEKMSGEAEKMQRDVLEKCTEKFPSEYLDVVRHADLSSLSWAPLMFRPPWGIIFGKLSKGNVTVAGDAMHPMTPDLGNGGGASLEDAVVLGRHIGNSFINNGALIVPGDMAKAIDDYVKERRWRAAMVVTASYLSGRMQQGDKWWIKFLRDRALYKYFFGWLSRLVFVYDCGRLPAISFGAMDLSSKKD >Potri.019G120700.2.v4.1 pep chromosome:Pop_tri_v4:19:14663789:14667855:-1 gene:Potri.019G120700.v4.1 transcript:Potri.019G120700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120700.v4.1 MTSLLNKPFFSFFLPILFFLPHIVNFSSFFALAEHTSSTTSLFGKNTEAEALLEWKVSLDNQSQSLLSSWVGMSPCINWIGITCDNSGSVTNLSLADFGLRGTLYDFNFSSFRNLFVLDLSNNSLSGTIPHEIGKLTSLFVISLAQNNLTGLIPFSVGNLTNLSIFYLWGNKLFGSIPQEIELLEFLNELDLSNNVLTGRIPYSIGKLRNLSFLVLSSNQLSGPIPSSIGNLTSLSKLYLWGNKLSGSIPQEIGLLESLNELDLSSNVLTGRITYSIGKLRNLSFLGLSKNQLSGPIPSSIGNLTMLIEVSLEQNNITGLIPFSVGNLTNLSILYLWGNKLSGSIPQEIGLLESLNQLDLSINVLIGKIPYSIGKLRNLSFLSLFRNHLSGPIPSSIGNLTNLSKLYLLDNKLSGSIPQEIGLLESLNELGLSSNVLTSRIPYSIGKLRNLFFLVLSNNQLSGHIPSSIGNLTSLSKLYLGSNKLSGSIPQEIGLVESLNELDLSSNVLTGEISYSIEKLKNLFFLSVSENQLSGPIPSSVGNMTMLTSLVLSQNNLSGCLPSEIGQLKSLENLRLLGNKLHGPLPLEMNNLTHLKVLSLDINEFTGHLPQELCHGGVLETLTAAYNYFSGPIPKRLKNCTGLHRVRLDWNQLTGNISEVFGVYPHLDYIDLSYNNFYGELSSKWGDCRSMTSLKISNNNVSGEIPPELGKATQLHLIDLSSNQLKGAIPKDLGGLNLLYKLILNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTREIPRQLGQLQKLETLNVSHNMLSGRIPSTFKDMLSLTAVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPKSRTTVKRKSNKLVILIVLPLLGSLLLVFVVIGALFIILRQRARKRKAEPENEQDRNIFTILGHDGKKLYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVRVLANIRHRNIVKMYGFCSHAKHSFLVYEFVERGSLRKIITSEEQAIELDWMKRLIVVKGMAGALSYLHHSCSPPIIHRDITSNNVLLDLEYEAHVSDFGTARMLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHVMKIALACLHPNPQSRPTMEKIYLDLTAEWPPLPMAFCTISLGDLFS >Potri.009G105300.1.v4.1 pep chromosome:Pop_tri_v4:9:9197957:9203003:1 gene:Potri.009G105300.v4.1 transcript:Potri.009G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105300.v4.1 MQQSNGPDQNPSPQHQPHHPHQQQWVPHMQQQQQQQQQQQQQWMAAMQYPAAAMVMMQQQMMMYPQQQHHHYMAYYHQQQQQYQKQQQQYHQKQQKQLQQQGSNEEAKTVWVGDLLHWMDETYLHNCFSHTGEVSSVKIIRNKQTGQLEGYGFVEFYSHAAAEKVLQSYSGSMMPNTDQPFRLNWASFAGERRADAGSDLSIFVGDLAADVTDAMLQETFATKYASVKGAKVVADSNTGRSKGYGFVRFGDENEKTRAITEMNGAYCSSRPMRIGVATPKKPSAYQQQYSSQALVLAGGHASNGTMAQGSQSDGDSNNTTIFVGGIDSDVTDEDLRQPFSQFGEVVSVKMPTGKGCAFVQFANRKNAEDALQSLNGTTIGKQTVRLSWGRTPANKQWRGDHGNQWHGAYFGGQGYAGYGYAMPPNQDPGMYVAAAASGAS >Potri.006G268500.2.v4.1 pep chromosome:Pop_tri_v4:6:26294547:26297474:1 gene:Potri.006G268500.v4.1 transcript:Potri.006G268500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G268500.v4.1 MQTRVFIVHMYPSLGTRLFTKAKEIGMMSEGYVWIMTDGLSVDFLSSPNHSVTDTIQGVLGIKPYVPKTKGLEYLRARWKRKFLRDNPNNIDAELNIYGLLAYDAATALALAVEKAGTTNFDFQKANVPSNSSTDLATLGISLNGPNILQALSTTSFKGLTGDYLFVDGQLQSPAFQIVNVNGNAGRRIGFWTPTTEGLVKTLNPRINKRMNSTSTSRVSTVIFPGDTTVVPKGWEIPTNEKKLKIGVPVKSGFSEFVAVTKDPGSNTATFTGFCIDVFDAVVKALPYALPYEYTPFANSDGEPAGTYNDLAYQVYLKNYDAVVGDITIVYNRSLYIDYTLPFTESGVSMIVPIADNNSKNAWVFMQPLTWDLWVSSFLFFVFIAFVVWVLEHRINEDFRGSASDQAGTSFWFSFSTMVFAQRERVVSNLSRAVIIIWCFVVLILTQSYTASLASLLTVEQLQPTVTDVRELIKKGEYVGYQNGSFVLGLLLDLGFDKSKLKVYGSPEECHRLFSKGSGNGGIAAAFDELAYIKLILSRYCSKYTMIDPKFKTGGLGFVFPKGSPLMPDISRAILNVTEGDKMKRIEDSWFGKKGTCPESSSSITSNSLSLKSFWGLFLIAGLAALLALIIFIVMFVYRERNVLRSSDSTASIWSRIENFFRIFIQRDSTSSTYRQSDLNDRNGISLPTMSAPSPSDYSVDTEYPANRSSSSYDSSPNREAPQEVVIDIDQLTNRNQERLAALEIDHENN >Potri.018G025200.2.v4.1 pep chromosome:Pop_tri_v4:18:1853235:1856596:1 gene:Potri.018G025200.v4.1 transcript:Potri.018G025200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025200.v4.1 MADLVYSTPCILCPLILLVLLCYSFNSFSTKTSASLSNNLSNTSEMISPIPSVVKDDHFYNTTNCSNSVQKELHKKSRIETMEEGLARARAAIRKATRMRNYTSYKKESFVPRGSIYINPYAFHQSHIEMEKRFRVWTYREGEQPLFHRGPMNDIYSIEGQIIDELDSGKSPFSAKNPDEALAFFIPVSIASILHFIYRPYVTYSRKQIQDIAEDYIGLISSKYPYWNRSSGADHFMISCHDWAPDVSAANPDLYRNFIRVLCNANSSEGFKPARDVSLPEFKLPRGKLEPEHILQPCDNNRSILAFFAGGSHGSVRKILFKHWKEKDNDIQVYKYLPETLNYTEQMSKSRYCLCPSGWEVASPRVVEAIYSGCVPVIISDYYVLPFSDVLDWIKFSVHIPVSGIPEIKTILQSIPVEEYLEKQKRVLQVQQHFKLHRPAKPFDVVHMVMHSVWLRRLNIRLPR >Potri.008G123200.1.v4.1 pep chromosome:Pop_tri_v4:8:8004028:8010805:-1 gene:Potri.008G123200.v4.1 transcript:Potri.008G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123200.v4.1 MDSKKTESTSAPPPVLRKDPYEVLSVSRDSTDQEIKSAYRKLALKYHPDKNASNPEASELFKEVTYSYSILSDPEKRRQYDSAGFEAVDVESVDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALSGTVTVRPLPVGTSVSGKVDKQCAHFFGVTIDEQQAEAGIVVRVTSTAQSKFKLLYFEQEANGGYGLALQEDSEKTGKVTSVGMYFLHFQVYRMDSTVNALAIAKDPDAAFFRRLEGLQPCEVSELKAGTHIFAVYGDNFFKTASYTIEALCSKTYEDTTEKLKDVEGQILRKRNELRQFETEYRKALARFQEVTNRYSHEKQSVDELLKQRDSIHASFTVTRTVSYLSNGSTSKLLGDDSKAGSPVEDGISDGKDKSSKKKWFNLSLKGSEKK >Potri.010G077200.1.v4.1 pep chromosome:Pop_tri_v4:10:10429468:10431745:1 gene:Potri.010G077200.v4.1 transcript:Potri.010G077200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077200.v4.1 MFGEVEVCSTVRIIAENLDRNGLVPQRSIVTHLLKDLLSMKASKDHGYFLAVTNLKSIGKGEVVNKSGDVLFHVEFKCRTFMPMKGEILQGVVHRTFRHGVLLRCGPVKYIFLSARKMPNYQYTSEENPVFLNDELARIENNVLVRFSVLDVRWIEKMWDMRRDFMMLASLVGDSLGPISLCGSDELDL >Potri.010G077200.2.v4.1 pep chromosome:Pop_tri_v4:10:10429480:10431903:1 gene:Potri.010G077200.v4.1 transcript:Potri.010G077200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077200.v4.1 MFGEVEVCSTVRIIAENLDRNGLVPQRSIVTHLLKDLLSMKASKDHGYFLAVTNLKSIGKGEVVNKSGDVLFHVEFKCRTFMPMKGEILQGVVHRTFRHGVLLRCGPVKYIFLSARKMPNYQYTSEENPVFLNDELARIENNVLVRFSVLDVRWIEKMWDMRRDFMMLASLVGDSLGPISLCGSDELDL >Potri.015G106600.6.v4.1 pep chromosome:Pop_tri_v4:15:12513118:12514063:-1 gene:Potri.015G106600.v4.1 transcript:Potri.015G106600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106600.v4.1 MGSGIMVRAFAQAYRQALANASKSGVAQETVQNIRRGSKMMAEPEARQVLGITEHSTWEEILQKYDKLFENNAKNGSFYLQSKVHRAKECLEEVYQKKAEGNS >Potri.015G106600.3.v4.1 pep chromosome:Pop_tri_v4:15:12512872:12516481:-1 gene:Potri.015G106600.v4.1 transcript:Potri.015G106600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106600.v4.1 MAARLLANLLVMGSGIMVRAFAQAYRQALANASKSGVAQETVQNIRRGSKMMAEPEARQVLGITEHSTWEEILQKYDKLFENNAKNGSFYLQSKVHRAKECLEEVYQKKAEGNS >Potri.015G106600.4.v4.1 pep chromosome:Pop_tri_v4:15:12512864:12516481:-1 gene:Potri.015G106600.v4.1 transcript:Potri.015G106600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106600.v4.1 MAARLLANLLVMGSGIMVRAFAQAYRQALANASKSGVAQETVQNIRRGSKMMAEPEARQVLGITEHSTWEEILQSKVHRAKECLEEVYQKKAEGNS >Potri.015G106600.5.v4.1 pep chromosome:Pop_tri_v4:15:12512872:12516481:-1 gene:Potri.015G106600.v4.1 transcript:Potri.015G106600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106600.v4.1 MGSGIMVRAFAQAYRQALANASKSGVAQETVQNIRRGSKMMAEPEARQVLGITEHSTWEEILQKYDKLFENNAKNGSFYLQSKVHRAKECLEEVYQKKAEGNS >Potri.009G160900.1.v4.1 pep chromosome:Pop_tri_v4:9:12445005:12448509:1 gene:Potri.009G160900.v4.1 transcript:Potri.009G160900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160900.v4.1 MEAKSREQQHCKVRKRGNSSSSSSSLVQKYRFKRAILVGKRGGSTTPVPTWMTSSKSPTLAMLNAESTKCTPPQNGSKAKEVSVSARKLAATLWEINGMPSPRVKKNLEDKKEFRSREKVARLPHLSDPSYTPFSERMEKSRGHSHRARTSAVTKRLQLTDYHLGGLDSASSDSLMEAIESHPKGKSRTIGFKTCLKDVSDGITTSKELLKVLNHVCGLDEKHPSGLSLVSALRIELNRACILVNQLIKEHRSNHNEIEYRIKHFEEEKAAWKSKERDRIRNAIACIAEELEVERKLRRQTERLNKKLGKELADTKVSLSKAVKELESEKRAKEILEQVCDELARGIGEDRAAVEEMKKESAKVREEVEKEREMLQLADVLREERVQMKLCEAKYHFEEKNAAVERLRNELETYLKEKAGAENGDGSPNYERIKELEAYLKEIQFGSCQQDEREENEGGAIGNGEVHDGDDSADSDLHSIELNMDNNSRSYKWCYVSEGDAPDNPKWFSGGKDFKGRKSISDNIQWGNICLQTRNSNGIDGPDCDLINENQGSLNTLYRERLSDLSFHYPVQDHEDEIKKYKICQDS >Potri.005G044333.1.v4.1 pep chromosome:Pop_tri_v4:5:2812690:2813264:-1 gene:Potri.005G044333.v4.1 transcript:Potri.005G044333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044333.v4.1 MEKASVKFAFFVALLLIASCLPNEANAREFSIKQQQRQCRVPSDCTSLCHGCTVTQCTAGRCVCNCASTIRSTS >Potri.003G184100.1.v4.1 pep chromosome:Pop_tri_v4:3:18891063:18895117:-1 gene:Potri.003G184100.v4.1 transcript:Potri.003G184100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184100.v4.1 MIFIRTFLLLLLQHLFLMSPFAVSGSHHHRNHSLLADKAALLAFKKTIVFDPKSMLATWTEDGDVCSFAGVRCDKHRHSVVKLNLSRSELTGPLSPIISNLSGLRNLSLSENSFYGIIPPEFSSLQHLHSLLLDSNNLHGPFPEFLSILPNLTVLSLNGNHLTGALPPSFFSNCTSLANIDLSQNLLTGRIPEEIGNCPGIWNLNLYNNQFTGELPASLANISELYNIDVEYNNLTGELPANIIGKLYSVVSLHLSYNNMVSHDRNTNLEPFFTALANCTELEELEMAGMNLGGRLPSSIGRLSVNLDTMLMQENRISGMIPSEIAHLSNLTVLNLTSNSLNGTIPAEINQMSSLEQLFLSHNLLTGAIPAALCQLPRLGLLDLSNNQLSGEIPATLGNLVRLSFLFLNNNLLSGTIPPTLGQCTDLSKLDLSYNKLTGSIPTEISGIREIRRFLNLSHNHLDGPLPIELSKLENVEEIDVSSNNLSGSVFFQISSCIAVKLINFSHNSIEGHLPDSIGDLKNLESFDVSGNHLSGGIPTSLNKIQSLSFLNLSFNNFAGVIPSGGVFNSVTDKSFLGNRHLCGTVYGMPKCSRKRNWFHSRMLIIFVLVTFASAILTTICCVIGIRRIKATVSSGNSVDEELARKQKTPELIHNFPRITYRELLEATEGFEEQRLLGTGGYGRVYKGLLQDGTAIAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLDSRLYPHSETGLGSGSSDLTLLQRVRICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMTVAGGNGGAVENMGNSTANLLCGSVGYIAPEYGFGSNTSTKGDVYSFGVLVLEILTRKRPTDDMFVDGLNLHKWVKTHYHGRVERVVDSSLMRASRDQSPEVKRMWEVAIGELAELGILCTQESPTTRPTMLDAADDLDRLKRYLSGDTTATFASSLGMSSSTLSDD >Potri.003G184100.2.v4.1 pep chromosome:Pop_tri_v4:3:18891718:18895786:-1 gene:Potri.003G184100.v4.1 transcript:Potri.003G184100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184100.v4.1 MIFIRTFLLLLLQHLFLMSPFAVSGSHHHRNHSLLADKAALLAFKKTIVFDPKSMLATWTEDGDVCSFAGVRCDKHRHSVVKLNLSRSELTGPLSPIISNLSGLRNLSLSENSFYGIIPPEFSSLQHLHSLLLDSNNLHGPFPEFLSILPNLTVLSLNGNHLTGALPPSFFSNCTSLANIDLSQNLLTGRIPEEIGNCPGIWNLNLYNNQFTGELPASLANISELYNIDVEYNNLTGELPANIIGKLYSVVSLHLSYNNMVSHDRNTNLEPFFTALANCTELEELEMAGMNLGGRLPSSIGRLSVNLDTMLMQENRISGMIPSEIAHLSNLTVLNLTSNSLNGTIPAEINQMSSLEQLFLSHNLLTGAIPAALCQLPRLGLLDLSNNQLSGEIPATLGNLVRLSFLFLNNNLLSGTIPPTLGQCTDLSKLDLSYNKLTGSIPTEISGIREIRRFLNLSHNHLDGPLPIELSKLENVEEIDVSSNNLSGSVFFQISSCIAVKLINFSHNSIEGHLPDSIGDLKNLESFDVSGNHLSGGIPTSLNKIQSLSFLNLSFNNFAGVIPSGGVFNSVTDKSFLGNRHLCGTVYGMPKCSRKRNWFHSRMLIIFVLVTFASAILTTICCVIGIRRIKATVSSGNSVDEELARKQKTPELIHNFPRITYRELLEATEGFEEQRLLGTGGYGRVYKGLLQDGTAIAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLDSRLYPHSETGLGSGSSDLTLLQRVRICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMTVAGGNGGAVENMGNSTANLLCGSVGYIAPEYGFGSNTSTKGDVYSFGVLVLEILTRKRPTDDMFVDGLNLHKWVKTHYHGRVERVVDSSLMRASRDQSPEVKRMWEVAIGELAELGILCTQESPTTRPTMLDAADDLDRLKRYLSGDTTATFASSLGMSSSTLSDD >Potri.003G184100.3.v4.1 pep chromosome:Pop_tri_v4:3:18891718:18895716:-1 gene:Potri.003G184100.v4.1 transcript:Potri.003G184100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184100.v4.1 MIFIRTFLLLLLQHLFLMSPFAVSGSHHHRNHSLLADKAALLAFKKTIVFDPKSMLATWTEDGDVCSFAGVRCDKHRHSVVKLNLSRSELTGPLSPIISNLSGLRNLSLSENSFYGIIPPEFSSLQHLHSLLLDSNNLHGPFPEFLSILPNLTVLSLNGNHLTGALPPSFFSNCTSLANIDLSQNLLTGRIPEEIGNCPGIWNLNLYNNQFTGELPASLANISELYNIDVEYNNLTGELPANIIGKLYSVVSLHLSYNNMVSHDRNTNLEPFFTALANCTELEELEMAGMNLGGRLPSSIGRLSVNLDTMLMQENRISGMIPSEIAHLSNLTVLNLTSNSLNGTIPAEINQMSSLEQLFLSHNLLTGAIPAALCQLPRLGLLDLSNNQLSGEIPATLGNLVRLSFLFLNNNLLSGTIPPTLGQCTDLSKLDLSYNKLTGSIPTEISGIREIRRFLNLSHNHLDGPLPIELSKLENVEEIDVSSNNLSGSVFFQISSCIAVKLINFSHNSIEGHLPDSIGDLKNLESFDVSGNHLSGGIPTSLNKIQSLSFLNLSFNNFAGVIPSGGVFNSVTDKSFLGNRHLCGTVYGMPKCSRKRNWFHSRMLIIFVLVTFASAILTTICCVIGIRRIKATVSSGNSVDEELARKQKTPELIHNFPRITYRELLEATEGFEEQRLLGTGGYGRVYKGLLQDGTAIAVKVLQLQSGNSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLDSRLYPHSETGLGSGSSDLTLLQRVRICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMTVAGGNGGAVENMGNSTANLLCGSVGYIAPEYGFGSNTSTKGDVYSFGVLVLEILTRKRPTDDMFVDGLNLHKWVKTHYHGRVERVVDSSLMRASRDQSPEVKRMWEVAIGELAELGILCTQESPTTRPTMLDAADDLDRLKRYLSGDTTATFASSLGMSSSTLSDD >Potri.012G018700.1.v4.1 pep chromosome:Pop_tri_v4:12:2025411:2026824:1 gene:Potri.012G018700.v4.1 transcript:Potri.012G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018700.v4.1 MDTATQWAQGIGAVNPMEGSRPDVLERRARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTAGGSLRNVPVGGGSRKNKRSSSTASTSAAGAAASKKFPLDLTQPNLPHSASQNPKIHEGQDLNLAYPPSADDYSNLSEFVEIPFDTESNKTHHQNPNPSSTSPSHHHHHHVSPMEFLKSTAMNSRGFSAFMSIPPLSDSNNTMFSTGFPLQEFKSTQNFSLEGLESGYSNTQGVHETCGSARLLFPIEDLKQQVPSNTEFEQNTREQRDNAPVGYWNGMLGGGSW >Potri.012G018700.2.v4.1 pep chromosome:Pop_tri_v4:12:2025810:2027296:1 gene:Potri.012G018700.v4.1 transcript:Potri.012G018700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018700.v4.1 MVFHFQGIGAVNPMEGSRPDVLERRARPQKDQALNCPRCNSTNTKFCYYNNYSLSQPRYFCKTCRRYWTAGGSLRNVPVGGGSRKNKRSSSTASTSAAGAAASKKFPLDLTQPNLPHSASQNPKIHEGQDLNLAYPPSADDYSNLSEFVEIPFDTESNKTHHQNPNPSSTSPSHHHHHHVSPMEFLKSTAMNSRGFSAFMSIPPLSDSNNTMFSTGFPLQEFKSTQNFSLEGLESGYSNTQGVHETCGSARLLFPIEDLKQQVPSNTEFEQNTREQRDNAPVGYWNGMLGGGSW >Potri.009G009900.3.v4.1 pep chromosome:Pop_tri_v4:9:1928701:1934639:1 gene:Potri.009G009900.v4.1 transcript:Potri.009G009900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009900.v4.1 METKSFQPETHVAQRSRRDKLRFQQSLTSVQHLEDFGNDLDQLAVDPLLTPDLVQVRHSRNGNMLYDPTFLSSAMLDFATSSNVLSAQRGAMVDQELGPAHLHSPIAAEDSSFTNMPHPVLSNLNASPTASNGDAQGCGNWTKLGSEQGYDLTVDYTGGSVVGERNQKLMSAVEVLSNNARVTDISTYTQYFKPSYNEYRDFELQSSLADPSDEFSSQDNQKQLRERQFTTHPLHQNTLQDVVTSGLVGRTREIILHPSFENQSSTLHFNDPNAWIRRPNENSHQWSCELGLITRKSSQELRTIPNDANTQGLSLSLSSNQSSKVNETRFGEAYESECLQSKNGLSKEPHHVSKVSKASYMCPLPRPSILSKGCGKSLHDLPGDATNILRNAGPLGPFTGYATILSSSRFLKPAQELMDEFCGVKGLGLIRTSELPKRIGGEASPPALGDSVNEADTGDEANDDNNLGASPFTSRRSNEEIGHCGVGNSSSKPYMPEYQQMKAKLLYLQDEVLRRYKQYHQQMEMVASFFESVAGLSAATQYISMAVKAVSGNFRSIKHCISDQLKHVTKALGENLFSPNTFGSRTAGSLRYKDQSFQKNNSGGPNVGYLEPQEHIWRPQRGLPERAVVILRAWLFEHFLHPYPTDTDKHMLATQTGLSRYQVSNWFINARVRLWKPMVEEIHTLETKGLLENNRSSGKNGGNSAEGASQPDGDHRASKELGTSYMPSKQLECSSNIGSSGGSRDQLDAEHWNQEKRSRVESQAPIHADRSLMNFMLYQKPGSENGGLGAVSLTLGLRHGAENAQHEQLQQ >Potri.009G009900.1.v4.1 pep chromosome:Pop_tri_v4:9:1928556:1934627:1 gene:Potri.009G009900.v4.1 transcript:Potri.009G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009900.v4.1 METKSFQPETHVAQRSRRDKLRFQQSLTSVQHLEDFGNDLDQLAVDPLLTPDLVQVRHSRNGNMLYDPTFLSSAMLDFATSSNVLSAQRGAMVDQELGPAHLHSPIAAEDSSFTNMPHPVLSNLNASPTASNGDAQGCGNWTKLGSEQGYDLTVDYTGGSVVGERNQKLMSAVEVLSNNARVTDISTYTQYFKPSYNEYRDFELQSSLADPSDEFSSQDNQKQLRERQFTTHPLHQNTLQDVVTSGLVGRTREIILHPSFENQSSTLHFNDPNAWIRRPNENSHQWSCELGLITRKSSQELRTIPNDANTQGLSLSLSSNQSSKVNETRFGEAYESECLQSKNGLSKEPHHVSKVSKASYMCPLPRPSILSKGCGKSLHDLPGDATNILRNAGPLGPFTGYATILSSSRFLKPAQELMDEFCGVKGLGLIRTSELPKRIGGEASPPALGDSVNEADTGDEANDDNNLGASPFTSRRSNEEIGHCGVGNSSSKPYMPEYQQMKAKLLYLQDEVLRRYKQYHQQMEMVASFFESVAGLSAATQYISMAVKAVSGNFRSIKHCISDQLKHVTKALGENLFSPNTFGSRTAGSLRYKDQSFQKNNSGGPNVGYLEPQEHIWRPQRGLPERAVVILRAWLFEHFLHPYPTDTDKHMLATQTGLSRYQVSNWFINARVRLWKPMVEEIHTLETKGLLENNRSSGKNGGNSAEGASQPDGDHRASKELGTSYMPSKQLECSSNIGSSGGSRDQLDAEHWNQEKRSRVESQAPIHADRSLMNFMLYQKPGSENGGLGAVSLTLGLRHGAENAQHEQLQQ >Potri.009G009900.4.v4.1 pep chromosome:Pop_tri_v4:9:1928701:1934630:1 gene:Potri.009G009900.v4.1 transcript:Potri.009G009900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009900.v4.1 METKSFQPETHVAQRSRRDKLRFQQSLTSVQHLEDFGNDLDQLAVDPLLTPDLVQVRHSRNGNMLYDPTFLSSAMLDFATSSNVLSAQRGAMVDQELGPAHLHSPIAAEDSSFTNMPHPVLSNLNASPTASNGDAQGCGNWTKLGSEQGYDLTVDYTGGSVVGERNQKLMSAVEVLSNNARVTDISTYTQYFKPSYNEYRDFELQSSLADPSDEFSSQDNQKQLRERQFTTHPLHQNTLQDVVTSGLVGRTREIILHPSFENQSSTLHFNDPNAWIRRPNENSHQWSCELGLITRKSSQELRTIPNDANTQGLSLSLSSNQSSKVNETRFGEAYESECLQSKNGLSKEPHHVSKVSKASYMCPLPRPSILSKGCGKSLHDLPGDATNILRNAGPLGPFTGYATILSSSRFLKPAQELMDEFCGVKGLGLIRTSELPKRIGGEASPPALGDSVNEADTGDEANDDNNLGASPFTSRRSNEEIGHCGVGNSSSKPYMPEYQQMKAKLLYLQDEVLRRYKQYHQQMEMVASFFESVAGLSAATQYISMAVKAVSGNFRSIKHCISDQLKHVTKALGENLFSPNTFGSRTAGSLRYKDQSFQKNNSGGPNVGYLEPQEHIWRPQRGLPERAVVILRAWLFEHFLHPYPTDTDKHMLATQTGLSRYQVSNWFINARVRLWKPMVEEIHTLETKGLLENNRSSGKNGGNSAEGASQPDGDHRASKELGTSYMPSKQLECSSNIGSSGGSRDQLDAEHWNQEKRSRVESQAPIHADRSLMNFMLYQKPGSENGGLGAVSLTLGLRHGAENAQHEQLQQ >Potri.017G111225.1.v4.1 pep chromosome:Pop_tri_v4:17:11895200:11895916:1 gene:Potri.017G111225.v4.1 transcript:Potri.017G111225.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111225.v4.1 MKAFLIACILLATIVFSPLSTCTARELAERDASRGAVDPGKVPTYPSDPFPPVYGCPNPYKRNCGRH >Potri.002G063601.1.v4.1 pep chromosome:Pop_tri_v4:2:4358809:4359881:1 gene:Potri.002G063601.v4.1 transcript:Potri.002G063601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063601.v4.1 MCTVPLNTDLQFSKGKFEGIEQYARNKRIQVCNFCMLELHRSSPVMNSLDVVILWISPVFLMRMLFFGSFLIIFKFVSRFRFRN >Potri.005G098650.1.v4.1 pep chromosome:Pop_tri_v4:5:7089742:7090614:1 gene:Potri.005G098650.v4.1 transcript:Potri.005G098650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098650.v4.1 MVITDSPCSRLLSSSDVDHYREERNMEHADVTMRAWESIERVAGRFTRRKIKLVVGMGRRAVLACVVKLKRKVCRILNAKNRSSNERHVFLNYDMCSYTKNFDDGKWQQEEVEYYRTRSFAFRYGQANSLAL >Potri.013G033800.6.v4.1 pep chromosome:Pop_tri_v4:13:2178615:2188973:-1 gene:Potri.013G033800.v4.1 transcript:Potri.013G033800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033800.v4.1 MHRVGSAGNTNNSVRPRKEKRLTYVLSDADDTKHCAGINCLKVLKSTVSDGRDYLFTGSRDGTLKRWALSEDSATCSATFESHVDWVNDAVLAGDRTLVSCSSDTTLKAWNCLSDGTCTKTLRQHSDYVICLAAAEKNSNVVASGGLGGEVFIWDVEAALTPVSKSGDAMEDDCSNGVNGSANSLPVTSLRTISSSNSISAHTSQSHGYVPVGAKGHKESVYALAMNDSGTRLVSGGTEKVVRVWDPRTGSKAMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGMQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLVTRESLLLCTKEHPILQLALHDDSIWAATTDSSVHRWPAEAHNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTIPGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGIVIEDYGKVSFEEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIAGKPEDDKVNLARETLKGLLAHWLAKRRHRLGSPTSANGDVLSGKDFAHRSLGHSRVEVDGGAENDSKVYPPFEFSTVSPPSVVTEGSQGGPWRKKITDLDGSEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAFQILTQGKLSAPRILRIHKVVNYVVEKLVLDKPLDNVNTDGTFAPGIGGPLQHSVVGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKPEDLTLNYRVVQGR >Potri.013G033800.8.v4.1 pep chromosome:Pop_tri_v4:13:2178616:2187873:-1 gene:Potri.013G033800.v4.1 transcript:Potri.013G033800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033800.v4.1 MHRVGSAGNTNNSVRPRKEKRLTYVLSDADDTKHCAGINCLKVLKSTVSDGRDYLFTGSRDGTLKRWALSEDSATCSATFESHVDWVNDAVLAGDRTLVSCSSDTTLKAWNCLSDGTCTKTLRQHSDYVICLAAAEKNSNVVASGGLGGEVFIWDVEAALTPVSKSGDAMEDDCSNGVNGSANSLPVTSLRTISSSNSISAHTSQSHGYVPVGAKGHKESVYALAMNDSGTRLVSGGTEKVVRVWDPRTGSKAMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGMQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLVTRESLLLCTKEHPILQLALHDDSIWAATTDSSVHRWPAEAHNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTIPGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGIVIEDYGKVSFEEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIAGKPEDDKVNLARETLKGLLAHWLAKRRHRLGSPTSANGDVLSGKDFAHRSLGHSRVEVDGGAENDSKVYPPFEFSTVSPPSVVTEGSQGGPWRKKITDLDGSEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAFQILTQGKLSAPRILRIHKVVNYVVEKLVLDKPLDNVNTDGTFAPGIGGPLQHSVVGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKPEDLTLNYRVVQGR >Potri.013G033800.3.v4.1 pep chromosome:Pop_tri_v4:13:2178547:2188962:-1 gene:Potri.013G033800.v4.1 transcript:Potri.013G033800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033800.v4.1 MHRVGSAGNTNNSVRPRKEKRLTYVLSDADDTKHCAGINCLKVLKSTVSDGRDYLFTGSRDGTLKRWALSEDSATCSATFESHVDWVNDAVLAGDRTLVSCSSDTTLKAWNCLSDGTCTKTLRQHSDYVICLAAAEKNSNVVASGGLGGEVFIWDVEAALTPVSKSGDAMEDDCSNGVNGSANSLPVTSLRTISSSNSISAHTSQSHGYVPVGAKGHKESVYALAMNDSGTRLVSGGTEKVVRVWDPRTGSKAMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGMQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLVTRESLLLCTKEHPILQLALHDDSIWAATTDSSVHRWPAEAHNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTIPGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGIVIEDYGKVSFEEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIAGKPEDDKVNLARETLKGLLAHWLAKRRHRLGSPTSANGDVLSGKDFAHRSLGHSRVEVDGGAENDSKVYPPFEFSTVSPPSVVTEGSQGGPWRKKITDLDGSEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAFQILTQGKLSAPRILRIHKVVNYVVEKLVLDKPLDNVNTDGTFAPGIGGPLQHSVVGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKPEDLTLNYRVVQGR >Potri.013G033800.4.v4.1 pep chromosome:Pop_tri_v4:13:2178616:2188994:-1 gene:Potri.013G033800.v4.1 transcript:Potri.013G033800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033800.v4.1 MHRVGSAGNTNNSVRPRKEKRLTYVLSDADDTKHCAGINCLKVLKSTVSDGRDYLFTGSRDGTLKRWALSEDSATCSATFESHVDWVNDAVLAGDRTLVSCSSDTTLKAWNCLSDGTCTKTLRQHSDYVICLAAAEKNSNVVASGGLGGEVFIWDVEAALTPVSKSGDAMEDDCSNGVNGSANSLPVTSLRTISSSNSISAHTSQSHGYVPVGAKGHKESVYALAMNDSGTRLVSGGTEKVVRVWDPRTGSKAMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGMQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLVTRESLLLCTKEHPILQLALHDDSIWAATTDSSVHRWPAEAHNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTIPGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGIVIEDYGKVSFEEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIAGKPEDDKVNLARETLKGLLAHWLAKRRHRLGSPTSANGDVLSGKDFAHRSLGHSRVEVDGGAENDSKVYPPFEFSTVSPPSVVTEGSQGGPWRKKITDLDGSEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAFQILTQGKLSAPRILRIHKVVNYVVEKLVLDKPLDNVNTDGTFAPGIGGPLQHSVVGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKPEDLTLNYRVVQGR >Potri.013G033800.7.v4.1 pep chromosome:Pop_tri_v4:13:2178547:2188994:-1 gene:Potri.013G033800.v4.1 transcript:Potri.013G033800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033800.v4.1 MHRVGSAGNTNNSVRPRKEKRLTYVLSDADDTKHCAGINCLKVLKSTVSDGRDYLFTGSRDGTLKRWALSEDSATCSATFESHVDWVNDAVLAGDRTLVSCSSDTTLKAWNCLSDGTCTKTLRQHSDYVICLAAAEKNSNVVASGGLGGEVFIWDVEAALTPVSKSGDAMEDDCSNGVNGSANSLPVTSLRTISSSNSISAHTSQSHGYVPVGAKGHKESVYALAMNDSGTRLVSGGTEKVVRVWDPRTGSKAMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGMQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLVTRESLLLCTKEHPILQLALHDDSIWAATTDSSVHRWPAEAHNPQKVFQRGGSFLAGNLSFSRARVSLEGSTPVPVYKEPTLTIPGTPAIVQHEILNNRRHVLTKDTAGSVKLWEITRGIVIEDYGKVSFEEKKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIAGKPEDDKVNLARETLKGLLAHWLAKRRHRLGSPTSANGDVLSGKDFAHRSLGHSRVEVDGGAENDSKVYPPFEFSTVSPPSVVTEGSQGGPWRKKITDLDGSEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAFQILTQGKLSAPRILRIHKVVNYVVEKLVLDKPLDNVNTDGTFAPGIGGPLQHSVVGDGSFRSGLKPWQKLKPSIEILCNNQVLSPEMSLATVRAYIWKKPEDLTLNYRVVQGR >Potri.011G072966.1.v4.1 pep chromosome:Pop_tri_v4:11:7095820:7115371:-1 gene:Potri.011G072966.v4.1 transcript:Potri.011G072966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072966.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGKAVDEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNNTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVKKIDFARNYLYGTIPVEWASMKNLSSISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFIGSWSQLQNLELYATGLQGPIPLEIFHLDKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLNGTIPENAWKVETTLDLTFNNLVGEIPPTTIRRQFTFLSGNKLTGTVSDSFLQNSQNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMGDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNKNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPKRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELTDGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKVTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVLNMLEGQTSIPEVTSDPSIYDNDLQSKRVKGNYQQVTDQSLNSTQGLFPPSDKSWIGNSSTSAHDLYPMNPESISLNLSETSSLI >Potri.011G072966.5.v4.1 pep chromosome:Pop_tri_v4:11:7095820:7115371:-1 gene:Potri.011G072966.v4.1 transcript:Potri.011G072966.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072966.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGKAVDEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNNTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVKKIDFARNYLYGTIPVEWASMKNLSSISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFIGSWSQLQNLELYATGLQGPIPLEIFHLDKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLNGTIPENAWKVETTLDLTFNNLVGEIPPTTIRRQFTFLSGNKLTGTVSDSFLQNSQNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMGDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNKNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPKRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELTDGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGNHSSIC >Potri.011G072966.4.v4.1 pep chromosome:Pop_tri_v4:11:7095855:7115343:-1 gene:Potri.011G072966.v4.1 transcript:Potri.011G072966.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072966.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGKAVDEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNNTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVKKIDFARNYLYGTIPVEWASMKNLSSISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFIGSWSQLQNLELYATGLQGPIPLEIFHLDKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLNGTIPENAWKVETTLDLTFNNLVGEIPPTTIRRQFTFLSGNKLTGTVSDSFLQNSQNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMGDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNKNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPKRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKVTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVLNMLEGQTSIPEVTSDPSIYDNDLQSKRVKGNYQQVTDQSLNSTQGLFPPSDKSWIGNSSTSAHDLYPMNPESISLNLSETSSLI >Potri.011G072966.3.v4.1 pep chromosome:Pop_tri_v4:11:7095820:7115371:-1 gene:Potri.011G072966.v4.1 transcript:Potri.011G072966.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072966.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGKAVDEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNNTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVKKIDFARNYLYGTIPVEWASMKNLSSISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFIGSWSQLQNLELYATGLQGPIPLEIFHLDKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLNGTIPENAWKVETTLDLTFNNLVGEIPPTTIRRQFTFLSGNKLTGTVSDSFLQNSQNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMGDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNKNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPKRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELTDGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKVTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVLNMLEGQTSIPEVTSDPSIYDNDLQSKRVKGNYQQVTDQSLNSTQGLFPPSDKSWIGNSSTSAHDLYPMNPESISLNLSETSSLI >Potri.011G072966.2.v4.1 pep chromosome:Pop_tri_v4:11:7095820:7115371:-1 gene:Potri.011G072966.v4.1 transcript:Potri.011G072966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072966.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGKAVDEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNNTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVKKIDFARNYLYGTIPVEWASMKNLSSISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFIGSWSQLQNLELYATGLQGPIPLEIFHLDKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLNGTIPENAWKVETTLDLTFNNLVGEIPPTTIRRQFTFLSGNKLTGTVSDSFLQNSQNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMGDNNFNDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNKNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPKRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELTDGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKVTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVLNMLEGQTSIPEVTSDPSIYDNDLQSKRVKGNYQQVTDQSLNSTQGLFPPSDKSWIGNSSTSAHDLYPMNPESISLNLSETSSLI >Potri.003G135800.1.v4.1 pep chromosome:Pop_tri_v4:3:15345142:15351517:-1 gene:Potri.003G135800.v4.1 transcript:Potri.003G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G135800.v4.1 MENDPHVDTSKILYEPLQGEEGNDTGEISSNENVTPFAKAGFFSTMSFWWLNSLMKKGKTKILEDEDIPQLRQADQAQTWYLMYMEQMSKLNEKGSSNPPSMWSMIFSCHQKQILISGVFALIKVITVSTGPLLLKAFIEVAERKAAFAYEGYALTMALFLAKCLESLSERQWNFRTRLIGVQVRSMLSAAIYQKQLRLSNDAKMNHSPGEIVNYVTIDAYKLGEFPYWFHQIWTTSLQLCLALFVVYYSVGLATASALAAIILTVLASSPLAKLQHKYQTKLMEQQDTRLKAISEALANMKVLKLYAWETHFRKVIEASRKEELRSLSIVLFQRGCQMILFWSSPIVVSVVTFWSCYILGIPLYASNVFTFLASLRIVQEPVRLIPDVATMFIEAEVSLDRITKFLEAPELQNKHTRQKGNDLELNLSVFIRCAEISWDTDPSSKATLRSINLEVKPGDKVAICGELGSGKSTLLAAVLGEVPRVNGIVHVHGEVAYVSQTAWIQTGTIRENILFGSTKDQVRYQEVLKRCSLLKDIDLLPFGDLTEIGERGVNLSGGQKQRVQLARALYRNADIYLLDDPFSAVDAHTATSLFNDYVMEALSEKTVLLVTHQVEFLPAFNSILLMSAGEILQAATYDELMASCQEFRELVDAHNDTVGSERNREYASVKTTTGVSKEEIQKTCIREQQTEASGDQLIKREERETGDTGLKPYIQYLSHRKGFLFCFLTVCLHFLFVVGQLIQNYFLAADIQNPYVSKVELFTIYSVIGFILAVLLLFRSFCLVRLGCDAAESISSTLVNSLFRAPMSFYDSTPLGRILSRVSSDLNTVDLDVAFKLAVSLGSTLNAYTSLGILAILTWPVLFLIIPMVYLCIAVQRYYFSTAKELIRISGTTKSSVVNHLAESIAGAMTIRAFGEEDRFFSHSLDLIDANASPYFHSFSANEWLIQCLEIPCALVLSASALAMTLFPLGASSSGFIGMALSYGLSLNVFLIISVQYQCFRAESIISVERLEQYMHLPSEAPEIIESSRPQSNWPTVGKVEIRNLKVRYQHNAPLVLRGISCVIEGGHKIGIVGRTGSGKTTLISTLFRLVEPTEGKIIIDGLDISTIGLHDLRAHFGIIPQDPTLFRGSVRYNLDPLSEHTDLQIWEVLEKCQLQEAIRQKDEGLNAKVAQDGSNWSVGQRQLFCLGRALLKRSRILVLDEATASIDNATDAILQKTIRTEFSDCTVITVAHRIPTVMDCTKVLAIRDGKLAEYDVPLNLMNKEGSLFGQLVKEYWSRSTNNGTY >Potri.006G080300.1.v4.1 pep chromosome:Pop_tri_v4:6:5969927:5972832:1 gene:Potri.006G080300.v4.1 transcript:Potri.006G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080300.v4.1 MDPCKSSAPPKKVRQGRNSGTYRGVRMRTWGKWVSEIRVPKTGQRIWLGSYDAPEKAARAYDAAQYCIRGERGQFNFPAERRPQLPSGPVDALSKKEIKAIAFNFASSNASSVSPSVITPVEMVEPSLPNLQVSSATGNAGNVEGYVPASASVPDLCSIDNLQLDDLLMLDIEWIDSL >Potri.003G046951.1.v4.1 pep chromosome:Pop_tri_v4:3:6446828:6447394:1 gene:Potri.003G046951.v4.1 transcript:Potri.003G046951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046951.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWICWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSN >Potri.010G120000.4.v4.1 pep chromosome:Pop_tri_v4:10:13827427:13827785:1 gene:Potri.010G120000.v4.1 transcript:Potri.010G120000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120000.v4.1 MDMVQVSNVKIIPCFHEEILKMGTSSEILIFRSAVMWLSIFKTIRLLYLI >Potri.001G432960.1.v4.1 pep chromosome:Pop_tri_v4:1:45842704:45843624:-1 gene:Potri.001G432960.v4.1 transcript:Potri.001G432960.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432960.v4.1 MTIMLIPRLEVLSFIQISWLNAVREWQEEFVGNRNCREFVETITRDLLGGCVFVFSPRGEVKLCINLH >Potri.006G091800.1.v4.1 pep chromosome:Pop_tri_v4:6:6974827:6976555:1 gene:Potri.006G091800.v4.1 transcript:Potri.006G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091800.v4.1 MLEGKAVLRETDMPEQMQSHAMELAYQALDLHEVSDCQSIAHYIKQKFDDVYGPAWHCVVGKDFGCCITHLSGSFIFFRVEMMEFLIFKDGGDFSESKEEAIGVLQQFQKSDT >Potri.004G130900.1.v4.1 pep chromosome:Pop_tri_v4:4:14809115:14809699:1 gene:Potri.004G130900.v4.1 transcript:Potri.004G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130900.v4.1 MEGKQTRGRQKIAIKRIENEDDRLITFSKRRSGIYKKASELVTLCGAEVAVLVFSPAGKAFSFGHPSIESVANRFLGQNIAPNDNTHPLVEAHRKVRINELNQQHNELLSEMEAERDRGKVLKEGTSEKSSQGWWEAPIDELSLQELKQMNVMLEEFHKNLHKTINELRRNGGASSSSFQVHTGHATNIPFCQL >Potri.005G037201.1.v4.1 pep chromosome:Pop_tri_v4:5:2400433:2403058:1 gene:Potri.005G037201.v4.1 transcript:Potri.005G037201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037201.v4.1 MMLELIGFAILLLSIFLIFTNRPRHACFPPGPRSLPIIGHLHLLGPLIHHSFRDISSRYGPLIFLRLGSAPCVVASSPELAKEFLKIHDVIFSSREMDSRAIKLLTYNSSFAFAPYGPLWKFLKRLSTFELLSSRALNHFQPIRKIELQQFLQNLLTKSKISESVNVTQELLNLSNNIISQMMLSIRCSGSDSQGEDAKTLAREVTQIFGEFNVSDFIWLCRNFDFQGSRKKSEDVHTRFDALLDNIITNRELERKQSGGKVQARDLLDMMLDTLEAQNSEIEFTRDHIKALVLDFLTAGTDTTAASTEWALAELINHPKILEKARQEIDAVVGNKRLVEESDFPNLPYLQAIFKETFRLHPPIPMISRKSTQECKINGYTIPANSLLFVNMWSIGRDSKYWTNPSEFEPERFLKPNGDMCNESASVDFKGQHYQLLPFGTGRRSCPGLALAMQELSTTLPAMIQCFEWKVAGSQGEKINGNVAVDMTERPGLTVPRAHDLVCIPVPRQPDIIQAFIKSGLR >Potri.011G150100.1.v4.1 pep chromosome:Pop_tri_v4:11:17721799:17724334:1 gene:Potri.011G150100.v4.1 transcript:Potri.011G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150100.v4.1 MAPTAKLLLADLASSGVKQIPSNFIRPISDRPNLSDVQISDGSIPLIDLRGLDGPNHSTIIEQIGQACQRDGFFQVKNHGIPEEMISIILNIARQFFKLPESERLKNYSDDPTKTTRLSTSFNIKTEQVSSWRDFLRLHCYPLEDYVHEWPSNPPSFRKDVAEYCTSVRGLVLRLLEAISESLGLERDYIDKKLGGHGQHMAMNYYPPCPQPELTYGLPGHTDPNLITILLQDHVPGLQVLRNGKWIAVNPIPNTFIVNIGDQMQVLSNDRYKSVLHRAVVNSDKDRISIPTFYCPSPDAVIGPPKELVDDEHPAVYRDFTYGEYYEKFWNKGLVKECCLDLFKPSNNTT >Potri.011G054000.1.v4.1 pep chromosome:Pop_tri_v4:11:4389741:4398320:-1 gene:Potri.011G054000.v4.1 transcript:Potri.011G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G054000.v4.1 MENVFPTSSTNSGNTTTTTNASSVMMGCPQNSQFSSIYGPLSYPSTPSSSLTQSNYFAPQYYTTPPCETTQFPSTDSLDQRSQYTPAADPFYPVMVGQNAIEMQRSCILQPNALQLEQERRALDAYKTKVARCMRKLARQRRLGRASSGASSTRITDASRLALHGAGTDGQNSRTNTSKDLFEFLTPDNKKLRALLRKDLKNSDVGSLGRIVLPKREVEENLPPLHDKEGILLVLRDIYSNQEWGLKLKFWTNNKSRMYVLENTGEFVKRHGLETGDSLTLYEDESKNLYFSITKVQRPESALAQTPQPKSHNCNHLTEHMWQDGDEEYTSLALLTEQLENKEQEEANSLGAVPMDFSCSYTNELELNNNPFNNISTYTQPASAAMQTSPPNGKMKAVDDSHDVDDCYTGLGMLPDVHSYNFSL >Potri.019G036800.2.v4.1 pep chromosome:Pop_tri_v4:19:5018352:5020418:-1 gene:Potri.019G036800.v4.1 transcript:Potri.019G036800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036800.v4.1 MNVQAHLSGQISGQVQNQLQPQQNGNQQMQNLSAPTTGGVAAAGAHSVNVYNAEPELHRYRWLMQQKIFSIILQKQSQPVGDQQKQRFKEFAKRLEEGLFKAAQTKDDYLNMNTLESRLSSLLKRPPANSQNQRHPQLVNSSSSIGTMIPTPGMSNSGNSNMMTSSVDTMMISSSGCDSIAPIAANTGGLLPSSGMHNGSFGRPDGNLSNGYQQHQHLHLLNNDAFGQSLLISDPSSQVKREPGMEHHNDVLHSQTSDHFQISELQNQFQQIVLGDHSRNAQNPPHPDRQHDMSS >Potri.019G036800.1.v4.1 pep chromosome:Pop_tri_v4:19:5018427:5021233:-1 gene:Potri.019G036800.v4.1 transcript:Potri.019G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036800.v4.1 MEKEMNVQAHLSGQISGQVQNQLQPQQNGNQQMQNLSAPTTGGVAAAGAHSVNVYNAEPELHRYRWLMQQKIFSIILQKQSQPVGDQQKQRFKEFAKRLEEGLFKAAQTKDDYLNMNTLESRLSSLLKRPPANSQNQRHPQLVNSSSSIGTMIPTPGMSNSGNSNMMTSSVDTMMISSSGCDSIAPIAANTGGLLPSSGMHNGSFGRPDGNLSNGYQQHQHLHLLNNDAFGQSLLISDPSSQVKREPGMEHHNDVLHSQTSDHFQISELQNQFQQIVLGDHSRNAQNPPHPDRQHDMSS >Potri.018G151700.5.v4.1 pep chromosome:Pop_tri_v4:18:16187249:16197863:1 gene:Potri.018G151700.v4.1 transcript:Potri.018G151700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151700.v4.1 MDDEDQLVYTLDDALASAGFGKFQFLVLAYAGLGWFAEAMEIMILSFVGPAVKSQWNLSSTQESLLSTVVFAGMLVGAYSWGLFSDYCGRRKGFLGITIITSAAGFLSTFSPNYVSLLILRCLVGVGLGGGPVFSSWFLEFVPASHRGTWMVVFSTFWTFGTIFEAALAWIVLPRLNWRWLLAFSSLPSIAQLFFYWIVPESPRYLSMKGRITEAHNILEKIAQLNQSKLPPGMLVSDSTIGLDEESAPSKYTPLLSSTRNLVSDFKSGFSSFVMLFSSKKGFLGATLLTCGAGLLSAFSPNYASLVILRCLVGSGLGGGSVFSSWILEFVPASHRGKRMLLLSWFWAFGTIFEALLAWMVMPRLSWRWLLAVSCLPAFALLLFYSHVPESPRYLCMKGRINDAYNILEKIALLNQSKLPPGELVPDSTIGLDEESATSEYTPLLSTTEKMDLDFRSGFQSFLMLFSSKLIRTTLLLWELLFGNVFSYYGIILLTSELSSGQSRCGSNLLKSENPDSLYINVFISNLAELPGILLSATIVDRIGRKLTVAFTFVLAGIFLLPLVYHQSATLTMSFLFGARMSTKAAYSVATIYVQELYPTSVRATGAGAANAAGKVGGMICPLVAVGLVTSCQITEAIILFEVLMAISAVCVMFIPVDTKGQKLCDSIDVSDSKQFKSQELKMFISSAVEYLLS >Potri.009G114300.1.v4.1 pep chromosome:Pop_tri_v4:9:9689643:9691024:-1 gene:Potri.009G114300.v4.1 transcript:Potri.009G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114300.v4.1 MTVDVSWRIILCALLVLLFAEDSSAREITRIRWKEVDDDTKGQQHNPEIPDLQQLSKDNHLPIKHNIDDDHQKHAHAHAHLSSHMDHMDPSDKIFFTIKDLKVGNAIPIYFSHGDPSTSPHLISREEANSIPFSLAKLPYLLEFFSLSKESPQAKAMEYTLTQCEVEPMEGETKLCATSLESMLDFAQATFGIDTQVKALTTNHLRKSVAPLQNYTLLEEPKEILAPKMIGCHTMPYPYVVYYCHIQEGGNRLFEISLGGEHGDRVQATGVCHMDTSKWDPDNPSFRVLKIKPGTAPVCHIFPADNIVWVPLIS >Potri.010G024100.2.v4.1 pep chromosome:Pop_tri_v4:10:3511646:3514905:-1 gene:Potri.010G024100.v4.1 transcript:Potri.010G024100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024100.v4.1 MVMPYTAIQFAVLHKLKTFAAGSSKTEDHIQLSPYLSYASGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMRSAFVDIVRTRGFRGLYAGLSPTLVEIVPYAGLQFGTYDTFKRWTMAWNHHKSSSTSSISTDDNLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGGRVEHRAYRNMFDALRRILQTEGWAGLYKGIVPSTVKAAPAGAVTFVAYEFTSDWLESILT >Potri.010G024100.3.v4.1 pep chromosome:Pop_tri_v4:10:3511118:3516225:-1 gene:Potri.010G024100.v4.1 transcript:Potri.010G024100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024100.v4.1 MRMEGEEPATADGGGQIKRALIDATAGAIAGGISRTVTSPLDVIKIRFQVQLEPTSLWALVRSNVTAPSKYTGMLQATKDIFREEGLPGFWRGNVPALLMVMPYTAIQFAVLHKLKTFAAGSSKTEDHIQLSPYLSYASGALAGCAATVGSYPFDLLRTILASQGEPKVYPTMRSAFVDIVRTRGFRGLYAGLSPTLVEIVPYAGLQFGTYDTFKRWTMAWNHHKSSSTSSISTDDNLSSFQLFICGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPKYGGRVEHRAYRNMFDALRRILQTEGWAGLYKGIVPSTVKAAPAGAVTFVAYEFTSDWLESILT >Potri.010G200800.1.v4.1 pep chromosome:Pop_tri_v4:10:19312976:19314322:1 gene:Potri.010G200800.v4.1 transcript:Potri.010G200800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200800.v4.1 MSTSSHQFLLFFLCMIISLSITDGTELIIANNCKDSVWPGILGTAGHVTPREGGFLLLSGEQTVLQVPDKWSGRIWPRQGCCFDETTGRGSCQTGDCAGLLQCRGVGGVPPATLVEMTLGTSESALHYYDVSLVDGFNVPVSMMPVGGGAGCGIAACETDLNVCCPSTLVVKRQGKVVGCKSACLAAKTDRYCCTGEYANPKSCKPTIFSHLFKAICPKAYSFAFDDASGLKTCKASRYAITFCPPN >Potri.016G006000.1.v4.1 pep chromosome:Pop_tri_v4:16:292748:296175:1 gene:Potri.016G006000.v4.1 transcript:Potri.016G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006000.v4.1 MIRCFSPHSFLSSAVIFPLGRNTLQSSAVCKVHSVLVFGIWVRARIAVGASSMAGLVCSKSGMEQVLLENEVQQVKLLDSVNDDFGGVIVELSEAMDLKVFASMLKASIALWRSQSKRGVWIKVPIQLVNLVEAAVKEGFWFHHAEPKYLMLAFWIPEGSHTLPANASHRVSIGAFVMNKKREVLVVQEKCGIFRGTGIWKLPTGAVDEGEDICAGAIREVKEETAIDTEFVEVLAFWQSHKSFFGKSDLFFVCMLRPLSFDIQKQESEIEDAQWMPWDDYVAQPFVQKHELSKQLVDICKAKEDETYFGFSPVPIASKLPDQKSFLYLNDRDLEGSEV >Potri.001G292000.1.v4.1 pep chromosome:Pop_tri_v4:1:30389160:30390306:1 gene:Potri.001G292000.v4.1 transcript:Potri.001G292000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292000.v4.1 MSSISQSVLMALTVTFNKFASSNVNAVHKKESKRAAAATTAKAASRAADIGRRGVLLSTVVGVYSVNDSRIELLKKYLKKSEDNKTKNDKERMDSYYKRNYKDYFDFVEGSLKGKNEQDLTESEKGILDWLKKNK >Potri.004G108920.1.v4.1 pep chromosome:Pop_tri_v4:4:9679173:9681972:-1 gene:Potri.004G108920.v4.1 transcript:Potri.004G108920.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108920.v4.1 MAAPTKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRSTSKVDETVERAKKEGDLPLYGFHDPESFVKSIQKPRVIIMLVKAGSPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSMMPGGSFEAFKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELRSVFAEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDILTGQVVDKKQLIDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAVFLDWIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCVAISSGISTPGMSSSLAYFDTYRRGRLPANLVQAQRDYFGAHTYERVDVEGSFHTEWFKIARQLKN >Potri.004G108920.2.v4.1 pep chromosome:Pop_tri_v4:4:9679366:9682217:-1 gene:Potri.004G108920.v4.1 transcript:Potri.004G108920.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108920.v4.1 MAAPTKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRSTSKVDETVERAKKEGDLPLYGFHDPESFVKSIQKPRVIIMLVKAGSPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSMMPGGSFEAFKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELRSVFAEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDILTGQVVDKKQLIDDVRQALYASKICSYAQGMNLIRAKSIEKGWDLKLGELARIWKGGCIIRAVFLDWIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCVAISSGISTPGMSSSLAYFDTYRRGRLPANLVQAQRDYFGAHTYERVDVEGSFHTEWFKIARQLKN >Potri.004G084700.1.v4.1 pep chromosome:Pop_tri_v4:4:7011534:7015018:-1 gene:Potri.004G084700.v4.1 transcript:Potri.004G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084700.v4.1 MSCKACGLRTLIRDDATENMICDSCGTVQDFANYQERTFNINGPTGVFISIGSSGCGSVLNYREKKIYEANNLIDDIVFKVGLTGGSKVSEIRDMISKVTDGEFGSSESRWFSIFVGACVYVVMRNGDKSLSIEEVSSVVGCDIHELGRMVVRVVEHLGMKLPGFDIVGSFERVVRSLSISDRVEGDVLERMRKQGIFLVQCAVKWFLTTGRRPLPVVVAVLALVAELNGVEGVKIEEVAREVHVAVSTCRLRYKELLEVIAKVAQEILPWGKDVNVKNAVKNAPFVIRYLEMKCMSKSGGEKKGLESTEFDLGEVVGEYFSKNVVEDSLECDDLRYFELEDAIGFDKTGIDEADKLQLSHECLSMVYDKFLMDGGCGRYMEESGKVLGRKRERELEIQATEWWNGKSELSKKLLLKQLLEKDIGFSVMPPSFVNGCTTIKKRRAKINAAKLRIDRIMNPWNTDSGSGKVCTVEGAHDRKRKRKSLVKDIDWEDFVIETLLLHQVKEEEIEKGYYNTLMDLHVFNSGTM >Potri.008G209900.1.v4.1 pep chromosome:Pop_tri_v4:8:16088332:16089595:-1 gene:Potri.008G209900.v4.1 transcript:Potri.008G209900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G209900.v4.1 MSCMCETKREGHVLVAFFGDGSYGWLDPTELVQFDSHYVEKSKQTNAKVFLIKAVEEADDDIRRRAAYGLLCPCRSLYSFRPMHVDGVLQVDICGYEAGGLYTVEQIRSARAAFQSVEMLDFKQMALMPCMNFKRRVPWIKNVATLLAYRREVFEEHDETHFKALAWIANCIPCQFKGGLGTEG >Potri.001G096100.1.v4.1 pep chromosome:Pop_tri_v4:1:7600245:7603855:-1 gene:Potri.001G096100.v4.1 transcript:Potri.001G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096100.v4.1 MILPGADLFDPRSDMDSYVSPVESRLDYDFSFAFNDSNFSDRVLKIEIVAGLPDVKSAGDGCSGITEWARNRKRRREDVKKDKAVEIVGQSEDEQALTCNIPDTEDTVAYENQDVEAVAMVEGSPTDAQLDFHQRGDAAGPSSDSSWSMDCSTVLRVKSVHISSAILAAKSLFFHELFLNGIKDSAQRDVTIQIHASEEEALMDLLNFMYSNNLSASRATALLDVLLAADKFKVASCMRYCSKLLRNIPMTCESALLYLDLPSSILMAEAAQPLTDAAKKFLSVRYKDIYKFQEEVLGLPLAGIEAVLSSDYLQAASEDTIYDLVLKWAHTHYPKLEERKEVLAKQLVQLIRFPYMTCRKLKKVLNCNDLHPEFASKVVLEALFFKAETPHRQHALAVDVPNAVNRRFVERAYKYRPVKVVDFELPYQQCVVYLDLKREECAQLFPTGRVYSQAFHLGGQGFFLSAHCNMDQQGTYHCFGLFLGMQEKGSASFAVDYEFAARSKPTEGYVNKYKGNYTFTGGKAVGYRNLFSVHWEAFMEDDSNYFINGILHLRAELTIRQ >Potri.016G135200.1.v4.1 pep chromosome:Pop_tri_v4:16:13938395:13939708:-1 gene:Potri.016G135200.v4.1 transcript:Potri.016G135200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G135200.v4.1 MAIKAMQDFVFVQLYLVLSFLLHGIYGDYGGWQVGHATFYGGSDASGTMGGACGYGNLYSQGYGTSTAALSTALFNNGLSCGACFQIRCNNDPKWCHSGTITVTATNFCPPNYALSNDNGGWCNPPLKHFDLAQPAFLQIAQYRAGIVPVLFRRVPCVKKGGIRFTINGHSYFNLVLITNVAGAGDVHAVSIKGSRTGWQTMSRNWGQNWQSNSYLNGQRLSFRVTTSDGKTVTWYNVVPANWQFGQTFAGGQL >Potri.013G091200.1.v4.1 pep chromosome:Pop_tri_v4:13:9320597:9326437:1 gene:Potri.013G091200.v4.1 transcript:Potri.013G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091200.v4.1 MRAIHGDPLTKKFKDKTQPLTLSGKRKRKLFKKWRREQKEAVDKGLVTMQDVEMAFAPGEVTTKDAKRTPTRFHMNKGKKLKRLKR >Potri.004G175500.1.v4.1 pep chromosome:Pop_tri_v4:4:19077053:19078701:1 gene:Potri.004G175500.v4.1 transcript:Potri.004G175500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175500.v4.1 MAIQAQFPYCGGSQDLMDYGCGGLNQYFNVQQQKQQLQQQCNTHQLLNYQLQRNQNLFFDSTLASASKNNNSDHLSMGTMASYDEKQRQEIDHYIRLQNERLRLVLQEQKRQQLSLLLKKVESKALPILKQKDEEIAQAVKRTVELEDFLKKLEFENQTWQRMALENEAKVISLNNTIEQLRENASSCFNNGAEDAESCCDVSREEEWFLDDADDTARKMVMVCKRCNSRNSCILFLPCRHLCSCKACDACLDACPVCQTPKKASIEALMV >Potri.014G073500.1.v4.1 pep chromosome:Pop_tri_v4:14:4685514:4686651:1 gene:Potri.014G073500.v4.1 transcript:Potri.014G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073500.v4.1 MENQEEQQDNTSDQNHKPQDLPQVLLLKPPPVLSVIGEQPFLSKKYQFLKAWESPLPLLQFLTAHADSIQAILCSGAAPVTDDLLQLLPSVRLVVTASAGTNHIDLEACHRRGISVTNAGNVFSDDGADAAVGLLIDVLRKITASDRYVRQGLWVNKGDYPLGSKLRGKRVGIVGLGGIGLEIAKRLEAFGCNVLYNSRKKKAHLSYPFYSDVRQLAANSDALIICCALTNQTRHMIDKDVFSALGKEGVIVNIGRGAIVDEKEMVRCLVHGEIAGAGLDVFENEPDVPKELFELDNVVLSPHRAVFTSESFMALCELVVGNLEAFFSNTPLLSPVIDV >Potri.006G103400.3.v4.1 pep chromosome:Pop_tri_v4:6:7955542:7962096:-1 gene:Potri.006G103400.v4.1 transcript:Potri.006G103400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103400.v4.1 MQHNIFTTMRSVKLSEGCKVTQVYAFNPTGGEAGGGGYGGKVGGKFLQHLQDLRANSIRTKWSRNSHPPTNHNQTTRTNVSVESLLPAGLPTVDLIEPKIEPCLKSVDFVESLADVYTKVENSSQLEKSDRFLEQCAVFKGLSDPKLFRNSLRSARQHAVDVHSKVVLASWLKFERGEDELIGLSAMDCCGRNLECPRACLMSGYDPDSVKDSCVCLRGQPEEDVLMGDEEYLTFDADEGGGGDDDCDMSFCIGDDEIRCVRYNVASLSRPFRAMLYGEFKESRREKINFTQNGISAEGMRGAMVFSQTKRLGTFDPKIVLELLSLANRFCCEELKSACDAHLASLVCDMESAVILIEYGLEEGANLLVAACLQVFLRELPFSMNNPYVMRLLCGSEGRERLASVGHASFLLYYFLSQIAMEEEMKSNNTVMLLERLGECATEDWQKQLAYHLLGVVMLERKEYKDAQNWFEEAVEAGHIYSSVGVARAKYHRGHKYSAYKMMNSLISDHSPVGWMYQERSLFCTGKEKLMDLNTATELDPTLPFPYMCRAVLLVQENKLEPAISELNKIIGFKVSPDCLELRAWISMALEDFEGALRDVRALLTLDPNHTMFYGKKHGDQLVELLRPLVQQCSQADCWMQLYDRWSSVDDIGSLAVVHQMLANGPWKSLLRFRQSLLLLRLNCQKAAMRSLRLARNYSTSDHERLVYEGWILYDTGNHEEALSKAEESISIQRSFEAFFLKAYALADSSLDPESSKYVIQLLEEALRCPSDGLRKGQALNNLGSVYVDCEKLDLAADCYMSALEIKHTRAHQGLARVHHLKNQRKAAYDEMTKLIEKARNNASAYEKRSEYCDRDMAKSDLSMATQLDPLRTYPYRHRAAVLMDDHKEVEAIKELTRVIAFKPDLQLLHLRAAFYDSMGDNGSTLRDCEAALCLDPNHKGTIELYKRIWRGNEQEK >Potri.010G143000.2.v4.1 pep chromosome:Pop_tri_v4:10:15581869:15585873:-1 gene:Potri.010G143000.v4.1 transcript:Potri.010G143000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143000.v4.1 MAVALTVRAVHVPLKKVLPKSTRNNNLNYRKKKMMPIVSSFPIRTEQNVNNRKLPILLFDIMDTIVRDPFYHDVAPFFGMSFEELIESKHPTAWIEFEEGRVDEMELARKFFKDKRSFDLEGLKNCMRRGYSYIDGIEELLCNLKENNYEMHAFTNYPIWYRLIEEKLNISTYLSWTFCSCMIGKRKPDPDFYLEVIRHLKVDPTSCIFIDDRLRNVKAAIEIGINGLQFKNANLLRQDLSKMGIDISTDDG >Potri.016G005400.6.v4.1 pep chromosome:Pop_tri_v4:16:260392:272974:-1 gene:Potri.016G005400.v4.1 transcript:Potri.016G005400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005400.v4.1 MGEEKKLEEKLKEVGSKLETLPSTKDGVVKLLKQAATCLSEMDQSPPASVSESMQPFLDAIVKPELLKHQDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTGGPSFGRRVVILETLAKYRSCVVMLDLECNDLVNKMFSTFFTVASDDHQESVLSSMQTIMVVLIEESEDFREDLLLVILSVLGRNRSDISMSARKLAMKVIELCAGKLEAGIKQFLISLMSGDSRLANSKIDYHEVIYDVYRCAPQILSGVVPYLTGELLTDQLDTRLKAVGLVGDLFSLPGSAITEAFQPIFSEFLKRLSDRVVTIRMCVLECVKGCLLSNPFRAEAAQIISALCDRLLDYDENVRKQVVDVICDVACHALNSVPVETIRLVAERLRDKSQLVKRYTMERMAEIFRVYCVKSSDGSINPGEYDWIPGRILRCLYDKDFRSDTIESVLCGSLFQTEFAVKDRCKYWVRIFSVIDKVEVKALEKILEQKQRLQQEMQRYLLLRQSHQDRDTPEIQKKVLFCFRIMSRSFAEPAKAEENFHIVDQLKDANIWKILTNLLDPSTTFHQACTGRDDLLKILGEKHRLYDFLSSLSMKCSYLLFNKEHVKEILSDVNTHNSAGNMHFTRSCMDLLVILARFSPLLLGGSGEELINFLKDDNEIIKEGALHVLAKAGGTIREQLAESSSAIDLILERLCLEGSRRQAKYAVHALATITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAQAAMPVFETRENEIEKFIKNKILECSSKSEDNTKACWDDKSELCLLKIYGIKTLVNSYLPVKDVQLRRGIDSHLEILRNILLFGEISKDIESSSVDKAHLRLASAKAVLRLSKHWDHKISVDLLHLTLRTPEIAFPQARKLFLSKVHQYIKDRVLDPKYACAFLFNMTGSKPLDFEEENQNLADIIQMLQQAKTRHVPVQSDANPLSVYPEYILPYLVHALAHQSCPNVDECKDIKAFEPIYRQLYLILSMLVHKDEGVKLEAGINKEKEKEKENEKEKDNDKDKDKDKETNSLIVSIFQSIKCSEDVVDREKSKNSHAISELGLSIIKRLAPKEDDLQTLPSPVSLPPLLYKIYEYKECEDAVANEGKTWLAEESVLTHFDSLKFETNGTSFLSHQASSDIAGDEVLNDSEREANEVTLGKMIKQLKSQGNKGGKTKKNKSSAAKVKDAENDVDILKMVREINLDNMGLSNMFESSNGHKDLSGKIKSESEHQKVKKGNVSDMTPVPVPKRRRSSSAHNASRFPRSLLKDPSRASEDDSSPDLKGKKSKSKSAGSELLVSGIQKKKNVSSKLKGKSSELGDNGKENEVGESDKDNLMPGVLMETDKVNTTNSPQSLTGSMKKRRRSVAGLAKCTTKKSGINIEEIMGYRIKVWWPMDKKFYEGTIKSYDPLKRKHVILYDDGDIEVLRLEKERWELVDNGPKRTKKSNSFKRTPSKDVSPAQKNRTSSSLSQNKKSVTIVKKKRTPSKNLKRVHKEPKNKVDSDVSSPEHTMASGGDKLKSDDSEGDHAERLSQGMMDVDESDKEVVSISKGKHLEDTEERSNHSEESDGEVKSNYEAEVSEDMESIPEDDKKGDPREESHSEEKDVDESSEALGVEVNEDKSDSEGNRDVDVRKPSRKSKKLRKKSSNPVNEEDAEISDDETLSNWKHKVGKSASRRAR >Potri.016G005400.2.v4.1 pep chromosome:Pop_tri_v4:16:260394:273136:-1 gene:Potri.016G005400.v4.1 transcript:Potri.016G005400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005400.v4.1 MGEEKKLEEKLKEVGSKLETLPSTKDGVVKLLKQAATCLSEMDQSPPASVSESMQPFLDAIVKPELLKHQDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTGGPSFGRRVVILETLAKYRSCVVMLDLECNDLVNKMFSTFFTVASDDHQESVLSSMQTIMVVLIEESEDFREDLLLVILSVLGRNRSDISMSARKLAMKVIELCAGKLEAGIKQFLISLMSGDSRLANSKIDYHEVIYDVYRCAPQILSGVVPYLTGELLTDQLDTRLKAVGLVGDLFSLPGSAITEAFQPIFSEFLKRLSDRVVTIRMCVLECVKGCLLSNPFRAEAAQIISALCDRLLDYDENVRKQVVDVICDVACHALNSVPVETIRLVAERLRDKSQLVKRYTMERMAEIFRVYCVKSSDGSINPGEYDWIPGRILRCLYDKDFRSDTIESVLCGSLFQTEFAVKDRCKYWVRIFSVIDKVEVKALEKILEQKQRLQQEMQRYLLLRQSHQDRDTPEIQKKVLFCFRIMSRSFAEPAKAEENFHIVDQLKDANIWKILTNLLDPSTTFHQACTGRDDLLKILGEKHRLYDFLSSLSMKCSYLLFNKEHVKEILSDVNTHNSAGNMHFTRSCMDLLVILARFSPLLLGGSGEELINFLKDDNEIIKEGALHVLAKAGGTIREQLAESSSAIDLILERLCLEGSRRQAKYAVHALATITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAQAAMPVFETRENEIEKFIKNKILECSSKSEDNTKACWDDKSELCLLKIYGIKTLVNSYLPVKDVQLRRGIDSHLEILRNILLFGEISKDIESSSVDKAHLRLASAKAVLRLSKHWDHKISVDLLHLTLRTPEIAFPQARKLFLSKVHQYIKDRVLDPKYACAFLFNMTGSKPLDFEEENQNLADIIQMLQQAKTRHVPVQSDANPLSVYPEYILPYLVHALAHQSCPNVDECKDIKAFEPIYRQLYLILSMLVHKDEGVKLEAGINKEKEKEKENEKEKDNDKDKDKDKETNSLIVSIFQSIKCSEDVVDREKSKNSHAISELGLSIIKRLAPKEDDLQTLPSPVSLPPLLYKIYEYKECEDAVANEGKTWLAEESVLTHFDSLKFETNGTASSDIAGDEVLNDSEREANEVTLGKMIKQLKSQGNKGGKTKKNKSSAAKVKDAENDVDILKMVREINLDNMGLSNMFESSNGHKDLSGKIKSESEHQKVKKGNVSDMTPVPVPKRRRSSSAHNASRFPRSLLKDPSRASEDDSSPDLKGKKSKSKSAGSELLVSGIQKKKNVSSKLKGKSSELGDNGKENEVGESDKDNLMTDKVNTTNSPQSLTGSMKKRRRSVAGLAKCTTKKSGINIEEIMGYRIKVWWPMDKKFYEGTIKSYDPLKRKHVILYDDGDIEVLRLEKERWELVDNGPKRTKKSNSFKRTPSKDVSPAQKNRTSSSLSQNKKSVTIVKKKRTPSKNLKRVHKEPKNKVDSDVSSPEHTMASGGDKLKSDDSEGDHAERLSQGMMDVDESDKEVVSISKGKHLEDTEERSNHSEESDGEVKSNYEAEVSEDMESIPEDDKKGDPREESHSEEKDVDESSEALGVEVNEDKSDSEGNRDVDVRKPSRKSKKLRKKSSNPVNEEDAEISDDETLSNWKHKVGKSASRRAR >Potri.016G005400.1.v4.1 pep chromosome:Pop_tri_v4:16:260380:272966:-1 gene:Potri.016G005400.v4.1 transcript:Potri.016G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005400.v4.1 MGEEKKLEEKLKEVGSKLETLPSTKDGVVKLLKQAATCLSEMDQSPPASVSESMQPFLDAIVKPELLKHQDRDVKLLVATCICEITRITAPEAPYSDDVLKDIFHLIVGTFSGLSDTGGPSFGRRVVILETLAKYRSCVVMLDLECNDLVNKMFSTFFTVASDDHQESVLSSMQTIMVVLIEESEDFREDLLLVILSVLGRNRSDISMSARKLAMKVIELCAGKLEAGIKQFLISLMSGDSRLANSKIDYHEVIYDVYRCAPQILSGVVPYLTGELLTDQLDTRLKAVGLVGDLFSLPGSAITEAFQPIFSEFLKRLSDRVVTIRMCVLECVKGCLLSNPFRAEAAQIISALCDRLLDYDENVRKQVVDVICDVACHALNSVPVETIRLVAERLRDKSQLVKRYTMERMAEIFRVYCVKSSDGSINPGEYDWIPGRILRCLYDKDFRSDTIESVLCGSLFQTEFAVKDRCKYWVRIFSVIDKVEVKALEKILEQKQRLQQEMQRYLLLRQSHQDRDTPEIQKKVLFCFRIMSRSFAEPAKAEENFHIVDQLKDANIWKILTNLLDPSTTFHQACTGRDDLLKILGEKHRLYDFLSSLSMKCSYLLFNKEHVKEILSDVNTHNSAGNMHFTRSCMDLLVILARFSPLLLGGSGEELINFLKDDNEIIKEGALHVLAKAGGTIREQLAESSSAIDLILERLCLEGSRRQAKYAVHALATITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAQAAMPVFETRENEIEKFIKNKILECSSKSEDNTKACWDDKSELCLLKIYGIKTLVNSYLPVKDVQLRRGIDSHLEILRNILLFGEISKDIESSSVDKAHLRLASAKAVLRLSKHWDHKISVDLLHLTLRTPEIAFPQARKLFLSKVHQYIKDRVLDPKYACAFLFNMTGSKPLDFEEENQNLADIIQMLQQAKTRHVPVQSDANPLSVYPEYILPYLVHALAHQSCPNVDECKDIKAFEPIYRQLYLILSMLVHKDEGVKLEAGINKEKEKEKENEKEKDNDKDKDKDKETNSLIVSIFQSIKCSEDVVDREKSKNSHAISELGLSIIKRLAPKEDDLQTLPSPVSLPPLLYKIYEYKECEDAVANEGKTWLAEESVLTHFDSLKFETNGTASSDIAGDEVLNDSEREANEVTLGKMIKQLKSQGNKGGKTKKNKSSAAKVKDAENDVDILKMVREINLDNMGLSNMFESSNGHKDLSGKIKSESEHQKVKKGNVSDMTPVPVPKRRRSSSAHNASRFPRSLLKDPSRASEDDSSPDLKGKKSKSKSAGSELLVSGIQKKKNVSSKLKGKSSELGDNGKENEVGESDKDNLMPGVLMETDKVNTTNSPQSLTGSMKKRRRSVAGLAKCTTKKSGINIEEIMGYRIKVWWPMDKKFYEGTIKSYDPLKRKHVILYDDGDIEVLRLEKERWELVDNGPKRTKKSNSFKRTPSKDVSPAQKNRTSSSLSQNKKSVTIVKKKRTPSKNLKRVHKEPKNKVDSDVSSPEHTMASGGDKLKSDDSEGDHAERLSQGMMDVDESDKEVVSISKGKHLEDTEERSNHSEESDGEVKSNYEAEVSEDMESIPEDDKKGDPREESHSEEKDVDESSEALGVEVNEDKSDSEGNRDVDVRKPSRKSKKLRKKSSNPVNEEDAEISDDETLSNWKHKVGKSASRRAR >Potri.016G005400.7.v4.1 pep chromosome:Pop_tri_v4:16:260382:270439:-1 gene:Potri.016G005400.v4.1 transcript:Potri.016G005400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005400.v4.1 MQTIMVVLIEESEDFREDLLLVILSVLGRNRSDISMSARKLAMKVIELCAGKLEAGIKQFLISLMSGDSRLANSKIDYHEVIYDVYRCAPQILSGVVPYLTGELLTDQLDTRLKAVGLVGDLFSLPGSAITEAFQPIFSEFLKRLSDRVVTIRMCVLECVKGCLLSNPFRAEAAQIISALCDRLLDYDENVRKQVVDVICDVACHALNSVPVETIRLVAERLRDKSQLVKRYTMERMAEIFRVYCVKSSDGSINPGEYDWIPGRILRCLYDKDFRSDTIESVLCGSLFQTEFAVKDRCKYWVRIFSVIDKVEVKALEKILEQKQRLQQEMQRYLLLRQSHQDRDTPEIQKKVLFCFRIMSRSFAEPAKAEENFHIVDQLKDANIWKILTNLLDPSTTFHQACTGRDDLLKILGEKHRLYDFLSSLSMKCSYLLFNKEHVKEILSDVNTHNSAGNMHFTRSCMDLLVILARFSPLLLGGSGEELINFLKDDNEIIKEGALHVLAKAGGTIREQLAESSSAIDLILERLCLEGSRRQAKYAVHALATITKDDGLKSLSVLYKRLVDMLEEKRHLPAVLQSLGCIAQAAMPVFETRENEIEKFIKNKILECSSKSEDNTKACWDDKSELCLLKIYGIKTLVNSYLPVKDVQLRRGIDSHLEILRNILLFGEISKDIESSSVDKAHLRLASAKAVLRLSKHWDHKISVDLLHLTLRTPEIAFPQARKLFLSKVHQYIKDRVLDPKYACAFLFNMTGSKPLDFEEENQNLADIIQMLQQAKTRHVPVQSDANPLSVYPEYILPYLVHALAHQSCPNVDECKDIKAFEPIYRQLYLILSMLVHKDEGVKLEAGINKEKEKEKENEKEKDNDKDKDKDKETNSLIVSIFQSIKCSEDVVDREKSKNSHAISELGLSIIKRLAPKEDDLQTLPSPVSLPPLLYKIYEYKECEDAVANEGKTWLAEESVLTHFDSLKFETNGTASSDIAGDEVLNDSEREANEVTLGKMIKQLKSQGNKGGKTKKNKSSAAKVKDAENDVDILKMVREINLDNMGLSNMFESSNGHKDLSGKIKSESEHQKVKKGNVSDMTPVPVPKRRRSSSAHNASRFPRSLLKDPSRASEDDSSPDLKGKKSKSKSAGSELLVSGIQKKKNVSSKLKGKSSELGDNGKENEVGESDKDNLMTDKVNTTNSPQSLTGSMKKRRRSVAGLAKCTTKKSGINIEEIMGYRIKVWWPMDKKFYEGTIKSYDPLKRKHVILYDDGDIEVLRLEKERWELVDNGPKRTKKSNSFKRTPSKDVSPAQKNRTSSSLSQNKKSVTIVKKKRTPSKNLKRVHKEPKNKVDSDVSSPEHTMASGGDKLKSDDSEGDHAERLSQGMMDVDESDKEVVSISKGKHLEDTEERSNHSEESDGEVKSNYEAEVSEDMESIPEDDKKGDPREESHSEEKDVDESSEALGVEVNEDKSDSEGNRDVDVRKPSRKSKKLRKKSSNPVNEEDAEISDDETLSNWKHKVGKSASRRAR >Potri.008G192600.1.v4.1 pep chromosome:Pop_tri_v4:8:13556487:13558259:1 gene:Potri.008G192600.v4.1 transcript:Potri.008G192600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192600.v4.1 MVHFRLHAGVLFFTVVVLFPLLCFGIRSIPSREGNSGAVEVLNGFRFAEAPEYRNGRDCPVLTSNGRLVSSCDPSLVHIAMTLDSEYLRGSIAAVHSVLKHASCPESIFFHFIAAEFDPASPRVLSQLVRSTFPSLNFKVYIFREDTVINLISSSIRQALENPLNYARNYLGDMLDLCVDRVIYLDSDVVVVDDIHKLWTTTLSGARVIGAPEYCHTNLTKYFTDVFWSDPVMSGTFTSARRKPCYFNTGVMVMDLVRWREGNYRGRIEKWMEVQRKTRIYELGSLPPFLLVFAGDVEAMDHQWNQHGLGGDNVRGTCRSLHPGPVSLLHWSGKGKPWVRLDAKRPCKVDHLWEPYDLFIRNNKGYSYSRNDHRRSSG >Potri.009G109001.1.v4.1 pep chromosome:Pop_tri_v4:9:9408670:9410701:-1 gene:Potri.009G109001.v4.1 transcript:Potri.009G109001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109001.v4.1 MHSSQWHFVKHFAPKITPSLISTTIINLHKTPDLSFQFVIHIGFNGVDIRSRYLAMAATSHAPNPKPTLQLLKETNNNGAYSIREVFNELGVARDVLGGKSYVFYDLLIRACCELKRSDDAFECFDMMKGKGVIPCVHACNDILSLFLKLNTTEKARVLYA >Potri.015G140500.2.v4.1 pep chromosome:Pop_tri_v4:15:14740169:14742877:1 gene:Potri.015G140500.v4.1 transcript:Potri.015G140500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140500.v4.1 MKGVKWNEDNLGEIEANKPVRQKITEPKTPYHPMIDVDDDSLSPRGGSFNEVIEDAMRAEDLRSALDIMASSSRNPAKRSSGWTSSEDEADPMEQDEEDSETDRSSSFRELRRAHYDEFRKVKELRRKGSFLEDEDDEENGAEKEGTSSLTAGVRDIEIEEGAATSHKNSSPPANGV >Potri.005G174400.1.v4.1 pep chromosome:Pop_tri_v4:5:17986689:17988913:1 gene:Potri.005G174400.v4.1 transcript:Potri.005G174400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174400.v4.1 MSAYENVIGGRLKLKGKALDVKAGGIIKKKKNLRYKKHRYQDQSAADGNTALATDHARDMNETDKCDEQGQTAKYDDHLTPAEKRYLQQWEKIDTQRMVKMASKSHRDRIQEFNQYLANLSEHYDIPKVGPG >Potri.015G031100.1.v4.1 pep chromosome:Pop_tri_v4:15:2377246:2382558:-1 gene:Potri.015G031100.v4.1 transcript:Potri.015G031100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031100.v4.1 METTIEPEGGEENAWEEVIRRMLPAGAPLPDEDHLDYSIAIEYEGPPVSYEVPRVDPLNLNSLSIRTSSLASTEESKSRPSIPVASPVSRFTRFSNHNGNARRFYPARVEVEEGNYEEENDRTSEGGRRGNVVTFNTPKDSETEEGEDEEDGYSSTQSDLTTTRREESKGVKRKGGVCSRCGKKNRLKERETCLACEKRYCSNCLLKAMGSMPEGRKCVSCIGKPIEESKRSSLGKCSRILARVCSPLEVRQIMKAEKECAANQLRPEQLIVNGRQLRQEELAEVLGCALPPQKLKPGKYWYDKDSGLWGKEGEKPDRTISSKLNVGGKLRPDASNGNTKVYINGREITTIELRVLKLANVQCPRDTHFWVYDDGSYEEEGQNNIKGNIWGKASTRFICSIFSLPVPPGNPNRPKEDPTTFSGRSMPEYLEQGRIQKLLLFGLEGSGTSTIFKQGKFLYGNKFTPKELQDIKLMIQSNMYRYLSILLEGRERFEEEALLEKSTATINSEECASGKREVEPGENCIYSINQRFKHFSDWLLDIMATGDLDAFFPAATREYAPIVDEIWKDHAIQETYKRREELHHLLDVAKYFLDRAIEISSNEYEPTEKDILYAEGVTQNNSLAFMDFSFDDRSPMSEIYNENIDHPPPLTKYQLIRINSKGLRDGCKWLEMFEDVRAIIFCVALSDYDQMWAHGAGPLCNKMIASRDMFESLVGHPCFRDTPFVLLLNKYDAFEDKISQVPLSTCEWFEDFSPLRPHLKSQSLAQQAYYYVAVKFKELYSSISGQKLFVTQTRARERASVDEAFKYIREVLKWDEEKNDNMYGITGDDSFYSTEMSSSPFIRQE >Potri.005G078500.1.v4.1 pep chromosome:Pop_tri_v4:5:5324333:5327570:1 gene:Potri.005G078500.v4.1 transcript:Potri.005G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078500.v4.1 MAYYLLVFPLLLLLCAPSPSLAQPFKAVNLGNWLVNEGWMDPSLYDGMPNNDLLDGTQVRFFSTRLQKYLCSENGGGTILVANRPSASDWETFRLWRINETYFNFRVFNKQFVGLEDQGNKVTAFSDTAGNRETFQIIRKNDDRSIVRLQASNGQFLQAISETLVTADYVGSGWDDGDPSVFKMTIVNPNAIRGEYQLTNGYGPDRAPQVLQDHWNSYITDEDFRFMSANGLNAVRIPVGWWIACDPPPKPFVSGSLKALDNAFTWAQEYGMKVIVDLHAIQGSQNGNGHSGTRDGYQEWGDSNIQDTVAVIDFLAERYANNTSLAAIELMNEPMAPGISLDTLKKYYQAGYDAVRKYTQNAYVILSNRLGNADAKELLSFASSLHCVAIDVHYYNLFSDSFSNMNAQQNIDFIHNQRSSDLDTVTTANGPSIFVGAWTGEWEVNGASMEDYQNFAKAQIEVYGRAQFGWAYWAYKCAANYWSLKWMIENNYIKL >Potri.011G144433.1.v4.1 pep chromosome:Pop_tri_v4:11:17344734:17345269:1 gene:Potri.011G144433.v4.1 transcript:Potri.011G144433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144433.v4.1 MCDEKLWGGGCNDSQCHAACVQKRPAEGARGFCLTIENPNDSCICRYPC >Potri.002G134200.3.v4.1 pep chromosome:Pop_tri_v4:2:10114060:10117602:-1 gene:Potri.002G134200.v4.1 transcript:Potri.002G134200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134200.v4.1 MKFGSKNGWKSIMPLRLKGKSATRFCLFPKPRSANYGPGDTPVYLNVYDLTPMNGYAYWAGLGIFHSGVEVHGVEYAFGAHDYPTSGVFEVEPRQCPGFKFRKSIFIGTTCLDPIQVREFMERHAARYHGDTYHLIVKNCNHFCKDVCYKLTGKSIPKWVNRLAKIGSTCNCILPQSLKISAVRHDPCGQPYDSERRRLRTAFSCLSSISMRQKQLSTSSLLLQSPLKGCLPWELRRSMNGSLKER >Potri.008G224237.1.v4.1 pep chromosome:Pop_tri_v4:8:19022460:19022759:1 gene:Potri.008G224237.v4.1 transcript:Potri.008G224237.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224237.v4.1 MGNQVRRNLMLGCYVLCYGRLEMDLEGLAERLRHEMELIIETELVLGSTALSHSYFLQVENLKGLTAMGSQKPRARKRFRNHVWAVLFVKGSTSQGTAR >Potri.005G164900.1.v4.1 pep chromosome:Pop_tri_v4:5:16390842:16392323:-1 gene:Potri.005G164900.v4.1 transcript:Potri.005G164900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164900.v4.1 MGRSPCCDENGLKKGPWTPEEDQKLVDYIQKHGHGSWRALPKLADLNRCGKSCRLRWTNYLRPDIKRGKFSQDEEQTILHLHSIIGNKWSTIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPRTDFFDSLPQLIALANLRDLMEHRPLDEHAMRLQEEAVQLAKLQYLQYLLQSAASIPSTNSYGQNGITDMEVLNLLNSIPLIEENLVLNSSELENQGSNHFANATSQLLHHPSVLSQLSDPQVPFSYQPSLNTEMGQAPFLTTMPSQEGNNPTDSSWVLPSPTPVLATVTDTSISNPGDASSTTSSYGGGTSSYWPEHFFEDPIMHEIS >Potri.002G108500.1.v4.1 pep chromosome:Pop_tri_v4:2:8100328:8103834:1 gene:Potri.002G108500.v4.1 transcript:Potri.002G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108500.v4.1 MSILYNTPLMPLPSPTLPLSLNNNLSFTAISFSISKPTRNLRPPLQRHPSSHPSSLPRCFSSLSEMDGTVGQCLYPLHRTKTIHLVRHAQGIHNVEGEKDHNAYMSEELFDAHLTPLGWRQVDNLRKHVYEFGINKKIELVIVSPLLRTMQTAVGVFGGEGYTDGINAPPLMVENAGKSNHPAISCLHSPPFIAVELCREHLGVHPCDRRRSISEYRSIFPAIDFSLIESDEDILWRADIREKDEEVAARGQKFLKWLWTRKEKEIAVVSHSGFLYHTLSAFGNYCYPSVKSEICMHFANCELRSVVLVDRGMIGSDTAITNYPGKKPQGLDLPSDLAEEINPS >Potri.013G050300.1.v4.1 pep chromosome:Pop_tri_v4:13:3574922:3578554:-1 gene:Potri.013G050300.v4.1 transcript:Potri.013G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G050300.v4.1 MPCLPLLLQPLPTLSSSSPTLLFLQNPHHVPSVTSLKKPKKILRSKPLKIPPFALTESSDSPQSIDPSPQPLLQELSDCFDLPPDYFQQLPRDLRLDLNDAAFDLSNGPVLDECGKELGELLLNLSRAWELGDTSTSQALASNLPMLESSLTNNAKSAFGKRLVSAGRRFQSMGQYGQGELQKIAQTMITTGKLLSASSIPMETDEELKKETKVFKFGELQVEITPQKANIGAVIGLVFGIVSWELAQGIQNIPESSLEYANDNALLLAKSLRGALLALCYSSAFLSACTTVALFLLARQLKSKED >Potri.013G050300.2.v4.1 pep chromosome:Pop_tri_v4:13:3574922:3578561:-1 gene:Potri.013G050300.v4.1 transcript:Potri.013G050300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G050300.v4.1 MPCLPLLLQPLPTLSSSSPTLLFLQNPHHVPSVTSLKKPKKILRSKPLKIPPFALTESSDSPQSIDPSPQPLLQELSDCFDLPPDYFQQLPRDLRLDLNDAAFDLSNGPVLDECGKELGELLLNLSRAWELGDTSTSQALASNLPMLESSLTNNAKSAFGKRLVSAGRRFQSMGQYGQGELQKIAQTMITTGKLLSASSIPMETDEELKKETKVFKFGELQVEITPQKANIGAVIGLVFGIVSWELAQGIQNIPESSLEYANDNALLLAKVLEKCTMDFNSLCSS >Potri.005G029200.2.v4.1 pep chromosome:Pop_tri_v4:5:1869522:1872404:1 gene:Potri.005G029200.v4.1 transcript:Potri.005G029200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029200.v4.1 MDYEIAGLVLAVLLWVAWAVVTQRRYRRSEEQGQLPPGPRPLPVVGNIFLLGWAPHESFANLARVHGPIMTIWLGSMCNVVISSSEVAREMFKNHDAVLAGRKIYEAMRGDFGNEGSIITAQYGPHWRMLRRLCTTEFFVTSRLDAMQGARTRCIDGMLLYIEDGSANGTSAIDLGRYIFLMAFNLIGNLMFSKDLLDPKSEKGAKFFQHAGKVMELAGKPNMADFLPILRWLDPQGIRRKTQFHVARAFEIAGGFIKERTESTQKENSRDDKRKDYLDVLLEFRGDGVEEPSRFSSTTINAIVLEMFTAGTDTTTSTLEWAMAELLRNPKVMKTVQSELRSTIGLNKKLEDKDIENLPYLKAVIRETLRLHPPLPFLVPHMAMNPCKMLGYYIPKETTILVNVWAIGRDSKTWDDPLVFKPERFLEPNMVDYKGRHFEFIPFGSGRRMCPAMPLASRVLPLALGSLLLSFDWILPVGLKPEDMDMTEKIGITLRKSVPLKVIPTPYKGSSNHYGF >Potri.014G159800.1.v4.1 pep chromosome:Pop_tri_v4:14:11394051:11396839:1 gene:Potri.014G159800.v4.1 transcript:Potri.014G159800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159800.v4.1 MAETLATQILAILFCLSAAAAAAGTKVYSFNSQNSTSVSSLNANDGICKSVVEPLGYACQEHTVTTKDGYILSLQRMPSGLSGQAADKPPVLLQHGLIVDGVTWLMSLPDESLAFILADNGYDVWISNGRGTRFSRGHTSLDPYDSAYWDWTWDELAAYDLPATFQYVHDQTGQNLHYVGHSQGTLIALAAFSQGKLLNMLRSAVLLCPIAYLNHLTSPFARALVDLFIAEDLYWLGQHEFSLNGQVVNKLLEVICSNPGIDCSDLLTAITGPNCCLNSSRTKVFLDNEPQSTATKNMIHLAHMIRTGTIAMYDYGNENDNMDHYGQPTPPMYNMTSIPNDLPLFLAYGGKDYLSDVKDVQVLLDNLKDHDGDKLVVQYTDEYAHADFVLGVNANQIVYDPVIAFFKIN >Potri.015G124700.4.v4.1 pep chromosome:Pop_tri_v4:15:13764716:13768170:1 gene:Potri.015G124700.v4.1 transcript:Potri.015G124700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124700.v4.1 MAAIGSFSLLRNCAVTTRAFSNVRVSPRATSSFNSIKFLHPHHSQRSLFCTNAIHDEEASAKAAAASADSGAPTIFDKIIAKEIPSSIVYEDEKVLAFRDINPQAPVHVLVIPKARDGLTTLGKAEARHGEVLGQLLYAARIVAEKEGILDGFRVVINNGPGACQSVYHLHLHVLGGRQMKWPPG >Potri.001G209400.2.v4.1 pep chromosome:Pop_tri_v4:1:21453927:21458119:-1 gene:Potri.001G209400.v4.1 transcript:Potri.001G209400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209400.v4.1 MGMNSYIFLLILTFLLKPGNASIHVYNQESFNEVGNSYLLSGGSEGISSSSHSRSFVRFDNITFRRPKSTAKSRGLIQVVLFEAADRNNIGGSAYGGQRSICCTSDLSKLQGCKQGEVIRIPSANDLNWPIILKLHFSRNSLSTSMKNHEEISISKTGMYNLFFIACDPKLKGVVMSGKTVWKNSDGYLPGRMAPLMRFYVFMSLVYFLLAAIWFTQYIRFRKDILQLQHCISAVVGLGFFQMILWYFEYANFNSTGMRPVVLTTWVVTVGAVRKTIARLLVLCVSMGYGVVRPTLGGLTSKVLLLGITYFLASELLDITEYVGTINDLSGRARLFLVLPDALLDAFLILWIFTALSKTLEQLQVKRSAVKLDIYRKFSNALAAVVFASVAWIAYELYFKATDPFSERWQSAWIITAFWDILAFALLCVICYLWAPSQSSQRYAYSEELGEEFDEEEAQSLTREKPDGDISLVEKKEKSVGGTRVFDEDGEAEEDKRE >Potri.004G211000.1.v4.1 pep chromosome:Pop_tri_v4:4:21718805:21719903:-1 gene:Potri.004G211000.v4.1 transcript:Potri.004G211000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211000.v4.1 MVSIASFFLFCLSSLTILFIARAQDRAPHGLVYEKPVAFSPSAVEFFHPKTQEPNTENPCAASSSCSPFPLAAQLEDTDQTQGKISTSQRGGNQLGAGGIAGVILGLAFAVLLTMGVYYVTITRKANINRANSDQPNA >Potri.013G127200.5.v4.1 pep chromosome:Pop_tri_v4:13:13472389:13478201:1 gene:Potri.013G127200.v4.1 transcript:Potri.013G127200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127200.v4.1 MGKKGSWFSAIKRVFLPNSKDKLANESDKRSAKEKKKKGRGKLRHGETTSFIPLFREPSSIEKILDEAEREHKLIFRPPTPPEQPTTPPFVPPRSASPRVASQRVTSPRAASPRAASPRVASPRAASPRNAQRHKEIYYRPEPTLRNHHASATKIQAAYRGYVARRSFRALKGLVRLQGVIRGQNVKRQTMNAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQNKNDKEVDGTLGKWGQSPEAGNSEDWDDSVLTKEEIDARLQRKVEAVVKRERAMAYSYSHQLWKASPKSAQSSLMDIRSNGFPWWWNWLERQLPPTNPPESQALKNFQLTPPRPHSEIKPSPRPPSSSHKQQHLGFDNMDTPTPRSSKSTAFVSTRPARTPLLRTPQANSPSLSRYSRARASGGNSPFDLPLKDDDSLTSCPPFSVPNYMTPTASAKAKTRAYSNPKERFPGTPNSEKRRLSFPLTQGIGSFKWNKGSFFTSKDSSSQRGLDKHQSLQSIGDLSVDSTVSMPATVGRRPFNRFV >Potri.013G127200.4.v4.1 pep chromosome:Pop_tri_v4:13:13472978:13478181:1 gene:Potri.013G127200.v4.1 transcript:Potri.013G127200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127200.v4.1 MGKKGSWFSAIKRVFLPNSKDKLANESDKRSAKEKKKKGRGKLRHGETTSFIPLFREPSSIEKILDEAEREHKLIFRPPTPPEQPTTPPFVPPRSASPRVASQRVTSPRAASPRAASPRVASPRAASPRNAQRHKEIYYRPEPTLRNHHASATKIQAAYRGYVARRSFRALKGLVRLQGVIRGQNVKRQTMNAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQNKNDKEVDGTLGKWGQSPEAGNSEDWDDSVLTKEEIDARLQRKVEAVVKRERAMAYSYSHQLWKASPKSAQSSLMDIRSNGFPWWWNWLERQLPPTNPPESQALKNFQLTPPRPHSEIKPSPRPPSSSHKQQHLGFDNMDTPTPRSSKSTAFVSTRPARTPLLRTPQANSPSLSRYSRARASGGNSPFDLPLKDDDSLTSCPPFSVPNYMTPTASAKAKTRAYSNPKERFPGTPNSEKRRLSFPLTQGIGSFKWNKGSFFTSKDSSSQRGLDKHQSLQSIGDLSVDSTVSMPATVGRRPFNRFV >Potri.013G127200.6.v4.1 pep chromosome:Pop_tri_v4:13:13473491:13478180:1 gene:Potri.013G127200.v4.1 transcript:Potri.013G127200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127200.v4.1 MGKKGSWFSAIKRVFLPNSKDKLANESDKRSAKEKKKKGRGKLRHGETTSFIPLFREPSSIEKILDEAEREHKLIFRPPTPPEQPTTPPFVPPRSASPRVASQRVTSPRAASPRAASPRVASPRAASPRNAQRHKEIYYRPEPTLRNHHASATKIQAAYRGYVARRSFRALKGLVRLQGVIRGQNVKRQTMNAMKYMQLLVRVQSQIQSRRIQMLENQARRQAQNKNDKEVDGTLGKWGQSPEAGNSEDWDDSVLTKEEIDARLQRKVEAVVKRERAMAYSYSHQLWKASPKSAQSSLMDIRSNGFPWWWNWLERQLPPTNPPESQALKNFQLTPPRPHSEIKPSPRPPSSSHKQQHLGFDNMDTPTPRSSKSTAFVSTRPARTPLLRTPQANSPSLSRYSRARASGGNSPFDLPLKDDDSLTSCPPFSVPNYMTPTASAKAKTRAYSNPKERFPGTPNSEKRRLSFPLTQGIGSFKWNKGSFFTSKDSSSQRGLDKHQSLQSIGDLSVDSTVSMPATVGRRPFNRFV >Potri.001G362000.1.v4.1 pep chromosome:Pop_tri_v4:1:38045465:38046517:1 gene:Potri.001G362000.v4.1 transcript:Potri.001G362000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G362000.v4.1 MGRQSCDPAIIHSSIALLQERFRQLERAKEMRQQRELLKLFSEADHVQSAMAYESSKLLHSELTLPPRQPIQASLSFQPTMQTEHNDLLVNTTPVVANLCSTDSVMNLTYNFDESDVDTSLHL >Potri.012G039700.1.v4.1 pep chromosome:Pop_tri_v4:12:3533509:3543894:1 gene:Potri.012G039700.v4.1 transcript:Potri.012G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039700.v4.1 MAETSSVDVILDFLRRNRFTRAEAALRSELSKRPDLKGFLQKLTLEDNDLGKVVEEENGGKLASHTPGSGSQNSGEISKELIVKEIECGVDRNGPESKWRNSASVGERGSKNNEPIDSDDTLLDLYSWNFNPSNGPSNPYKNDVGTSTSNFSARANAKSGEEIIFPGENKSPWLGNNSTINVNVESKYNKIQANELKELDRELRPTVAFSADNPWSKNEEPTSSSSDLWKDYSVKTVFPFPKGDVLTSYGITSSSDKRDGKKKADTSDVRAAIKEQVDEVGRTLFIGKSQGSTEQNNLSGLGFSLASDIPKEEYPRLPPVKLKSEDKPLINWQEKFERDGPSSKVISADNSYLIGSYLDVPVGQEINSSGGKRIAGGSWLSVSQGIAEDTSDLVSGFATVGDGLSESIDYPNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEVDYPSDNEKGTGHGSVPDPQDRVPTKDEDDDQSFAEEDSYFSGEQLFQEKNVEPVTASDDPIGLSVAEMYGRTNESDLIAQYDGQLMDEEELNLMRAEPVWQGFVTQTNELIMIGDGKVLDECGRPRLDDICMDDDQHGSVRSIGVGINSDAADIGSEIRESLVGGSSEGDLEYFHDHDVGVGGSRSSHHDSEKKYVDKQNRDKKKLDKYDSSKYVVGSDRDVRAQGKNHTDGGFSFPPPLRGEQLPQKGSSKSLWSNNCNVAASEETNDHLNALMGPDDMHGTWQRKSSDSSTVKSSRDENNMNAVGSANSSPSSLSNYGYAEPECAMKEQDEKIGSVREEDPGASFEDEEAAAVQEQVRQIKAQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGIDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDPADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITTQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGLPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQNMLAKGRDTYKYFTKNHMLYERNQDTSRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEVNPKKRPSASEALKHPWLSYPYEPISA >Potri.017G119900.1.v4.1 pep chromosome:Pop_tri_v4:17:12599620:12602356:1 gene:Potri.017G119900.v4.1 transcript:Potri.017G119900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119900.v4.1 MEITQPPPSGSKPATQTAAQSPPFDYHQANDQDQFASNFTSLYLSIFPPKPLLLPNSLSFTPSTTASPTSSSAADEIATENRLRQARLILEYQDLCDHYNVSLSRLQTLTNELELIRQENADLRVANSELLKLINLSSQAAAMQHQGRAFGISRDVAIERRNSVNNVERERVTLPKSISVRSSGYVKVNQAVSGNVSTNGGGRDGASSNSSRSRVASQLDQLVSGSCMQMQQRVYVPGGGGGKRSEEEIAAGMELEVFNQGMWKTELCNKWQETGTCPYDNNCQFAHGIGELRPVIRHPRYKTQACRMVLAGGVCPYGHRCHFRHSLTDQDRLLLGPR >Potri.014G074700.1.v4.1 pep chromosome:Pop_tri_v4:14:4797714:4805801:1 gene:Potri.014G074700.v4.1 transcript:Potri.014G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074700.v4.1 MDNNYGSSYPYNNPYPYPHQPPPPPSYGYPPSDPYYSPQPYPYGPYPPYGAYQYPPPPSAYTATPPHSITHSGSVDYSHQKPSAPYPTSHSGPLDYSHHLQPSPHPTTDSGPLGFNRLHSGPLTYSSPSSPYAEYPPAPHVSNSILQNNGSFHNYPYVQSQSSQYPSPDSISQAPSRDDSFSDHHRQDSSSSLGIGSSSSNPDKVDAAVIGTSSAYPPLDDLVSNMHLNDRNNHPTAPASPPAPSVPPVPDSPQSYQGSSFGYGPPREFYGFPNDSFSSNWEENYASKVDSSGHYPGSAYAHTSSFNGSKHGQGMEIVPVSGGKGSLRVLLLHGNLDICVYDAKNLPNMDMFHKTLGDMFNKYTGIVSSKIEGQAFTKITSDPYVSISVADAVIGRTFVISNSENPVWMQQFYVPVAHRAAEVHFVVKDNDVVGSQLIGVVAIPVEHICSGERIEGVYPILNNNGKQCKPGAALRISIQYIPMEQLSVYRHGVGAGPDYHGVPGTYFPLRKGGTVTLYQDAHVPDGRLPNVQLDDGVPYLHGKCWQDIFDAIRQARRLIYITGWSVWHKVTLVRDGGQHSGVTLGDLLRSKSQEGVRVLLLVWDDPTSRSVLGYKTDGIMATHDEETRRFFKHSSVQVLLCPRNAGKKHSWVKQREVGTIYTHHQKTVIVDADAGNNRRKIIAFVGGLDLCDGRYDTPDHPLFRTLQNVHKDDYHNPTFTGSVANCPREPWHDLHSRIDGPAAYDVLTNFEERWMKAAKPKGLKKLKTSYDDALLRIDRIPDIIGVFETPVSEDDPEAWHVQIFRSIDSNSVKDFPKDPKDATKKNLVCGKNVLIDMSIHTAYVMAIRAAQHFIYIENQYFIGSSYNWSSYKDLGANNLIPMEIALKIANKIRAHERFAAYIVVPMWPEGVPTGAATQRILFWQHKTMQMMYETIYKALVEVGLEEAFSPQDFLNFFCLGNRESVDGFNSSCMPSPPSSHTPQALSRKSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQHTWARKQSNPLGQIHGYRMSLWAEHTGVIEDCFTKPESLECVRRIKAMGEMNWKQFASEEISEMTGHLLKYPVEVDRKGKVRPIPGSETFPDVGGNIIGSFLAIQENLTI >Potri.004G073801.1.v4.1 pep chromosome:Pop_tri_v4:4:6149046:6150997:1 gene:Potri.004G073801.v4.1 transcript:Potri.004G073801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073801.v4.1 MQHIIYLTTRYQSTPHTCFDCCPYCSLLVIIVAWIIYLCRRKSKPFKPHFRLSNPLSTKDFKNSCTTPSMDKRFLSHRISEVEMKNAKLDRQLLIWSSSHSSVVPDTWRGDRIALKEIEVVADGFADKNLIGSGNCGVVYRGVMLDATRVVLNFESLIYTKLLFISIFCLFLPSIRILVSDYVDNGNLHQWLYGFSEQVSPLTWAIRMSIIRGIAKGLAYLPEDIEPKIIHQNLKSSNIMLDRQCNPKINYFGITNNSLNGNIWESCPGYCNYLAQANKSAGVLSEKSDVYSFGILVMEIICARVPVDHNRPQVFLVDWLTSMIANKQIALVVDPKLPDMPPSKELKRMLLLALRYVIHMHEPRDLLLDDARTKNIVFLKLQNTLVLLVRLYDAGSSKRRDGSSRRKKQQESHTVAKFGEGDFSTHEKERKKGRKKERLIYTRKSRRCKKILFLKDHG >Potri.001G441400.2.v4.1 pep chromosome:Pop_tri_v4:1:46689530:46692754:1 gene:Potri.001G441400.v4.1 transcript:Potri.001G441400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G441400.v4.1 MLSSMARRIYRFLLFCFCASHVLAADTLYQGGDSLNSSSTLVSKNGLFTLGFTRLGSAESNASYLGIWYNNDTSHPFWLANRDKPISDTSGVLAIDGSGNMKLIYSGGDPVEFYSSQSSATNITAILEDSGNFVLKDENSGSQQVLWQSFDFPTDTFLPGMKLGINHRTGQTWSLMSWLSDLAPTPAGAFTFEWDTNGKELVIKRRDVIYWTTGPLRSNTSFEIPFLDAAVLDFSFINDSNADEDYFMFTVSANQFTPQGQRNFSMWQLEYDGSIVDNTTSRTYGGSTCKGNNTDGGCERWSGPACRSNRNSFELRRGYFVNTVPIKVDDNSSLSISDCMDICWKDCQCVGVPTVGNNANDTGCTFYYGSFTQDLSGNAIQYHIIVQGSTGKRNWIWIILASVGFVSLMGLAGLLWYLRRRRLREKYLNELLTLDSTNDTLELENDGNKGHNLKVYTAATIMAATNSFSADNKLGQGGFGPVYKGKLPDGREIAVKRLSRNSGQGLVEFKNELILIAKLQHMNLVRLLGCCIQGEEKMLVYEYMPNKSLDTFIFDQSKRELIDWKKRFEIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDENLNPKISDFGMARIFKINDLEGNTNQIVGTRGYMSPEYVMEGIFSVKSDVFSFGVLLLEIVSGRRIQGLLEIDGRPLNLVGYAWELWKAGSPFELVDPILRESCSKDQVLRCIHVGLLCVEDNAVDRPIMSDVISMLTSEAQLPLPKQPAFSSARSVMEGKSFSNPAETGSKNYVSVSTMDAR >Potri.001G441400.3.v4.1 pep chromosome:Pop_tri_v4:1:46689644:46692730:1 gene:Potri.001G441400.v4.1 transcript:Potri.001G441400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G441400.v4.1 MLSSMARRIYRFLLFCFCASHVLAADTLYQGGDSLNSSSTLVSKNGLFTLGFTRLGSAESNASYLGIWYNNDTSHPFWLANRDKPISDTSGVLAIDGSGNMKLIYSGGDPVEFYSSQSSATNITAILEDSGNFVLKDENSGSQQVLWQSFDFPTDTFLPGMKLGINHRTGQTWSLMSWLSDLAPTPAGAFTFEWDTNGKELVIKRRDVIYWTTGPLRSNTSFEIPFLDAAVLDFSFINDSNADEDYFMFTVSANQFTPQGQRNFSMWQLEYDGSIVDNTTSRTYGGSTCKGNNTDGGCERWSGPACRSNRNSFELRRGYFVNTVPIKVDDNSSLSISDCMDICWKDCQCVGVPTVGNNANDTGCTFYYGSFTQDLSGNAIQYHIIVQGSTGKRNWIWIILASVGFVSLMGLAGLLWYLRRRRLRAEKYLNELLTLDSTNDTLELENDGNKGHNLKVYTAATIMAATNSFSADNKLGQGGFGPVYKGKLPDGREIAVKRLSRNSGQGLVEFKNELILIAKLQHMNLVRLLGCCIQGEEKMLVYEYMPNKSLDTFIFDQSKRELIDWKKRFEIIEGIAQGLLYLHKYSRLRIIHRDLKASNILLDENLNPKISDFGMARIFKINDLEGNTNQIVGTRGYMSPEYVMEGIFSVKSDVFSFGVLLLEIVSGRRIQGLLEIDGRPLNLVGYAWELWKAGSPFELVDPILRESCSKDQVLRCIHVGLLCVEDNAVDRPIMSDVISMLTSEAQLPLPKQPAFSSARSVMEGKSFSNPAETGSKNYVSVSTMDAR >Potri.012G142800.1.v4.1 pep chromosome:Pop_tri_v4:12:15419245:15421981:1 gene:Potri.012G142800.v4.1 transcript:Potri.012G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142800.v4.1 MASFVYLLLFMVLTLTTQFSLCFGKSSRKELRNKEAHLETMIQFGSSIQTNWVDPSRVVTVSWQPRVFVYKGFLTDEECDHLISLAQGTKETSEGKDDDSGRIERNRLFASSTSLLNMDDNILSRIEERVSAWTLLPKENSKPLQVMHYGIEDAKNYFDYFGNKSAIISSEPLMATLVFYLSNVTQGGEIFFPKSEVKNKIWSDCTKISDSLRPIKGNAILFFTVHPNTSPDMGSSHSRCPVLEGEMWYATKKFYLRAIKVFSDSEGSECTDEDENCPSWAALGECEKNPVYMIGSPDYFGTCRKSCNAC >Potri.011G126201.1.v4.1 pep chromosome:Pop_tri_v4:11:16128338:16131971:-1 gene:Potri.011G126201.v4.1 transcript:Potri.011G126201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126201.v4.1 MRTTMDRIAPIFLFLLLIIDTSTAIDTINTTQSIRDGDTILSANGAYELGFFSPGNSANRYLGIWYAKISVMTVVWVANRETPVLNDSSGVLRLTNQGILVLSNRNGSIVWSSQSSRPATNPTAQLLDSGNLVVKEEGDDNLESSLWQSFEHPADTLLPEMKLGRNRITGMDSYITSWKSPDDPSRGNVSEILVPYGYPEIIVVENSIVKHRSGPWNGLRFSGMPQSKPNPKYSVEFVFNEKEIFYRYHVLSNSMPWRVTVTQGGDVQRFTWIEQTRSWLLYLTLNTDNCERYALCGANGICSINSSPMCGCLNGFVPKVQSEWELMDWSSGCVRRTPLNCSGDGFQKVSAVKLPQTKTSWFNRSMNLEECKNTCLNNCSCTAYSNLDIRDGGSGCLLWFDDLLDVRILVENEPDIYIRMAASELDNGYGAKIETKANEKKRIILSVVLSTGILFLGLALVFYVWKRHQMKNRKMTGVSGISSNNNHKNKDLELLLFTIDTLASATNNFSLNNILGEGGFGHVYKGTLKDGLEIAVKRLSKSSRQGLDEFKNEVRHIVNLQHRNLVKLLGCCIEGEEKMLIYEFLPNKSLDFFIFDDTRSMLLDWPKRYNIINGIARGLLYLHQDSRLRVIHRDLKASNILLDYNMHPKISDFGLARGVEGNETESKTRKVVGTYGYISPEYAFHGLYSLKSDVFSFGVLVLEIVSGNRNRGFYHPDHQLNLLGHAWTLFNEGRPLELIAKSTIETCNLSEVLRVIQVGLLCVQESPEDRPSISYVVLMLGNEDELPQPKQPGYFTARDVIESSNLPSHSKRYSTNDCSISLVEAR >Potri.003G196300.1.v4.1 pep chromosome:Pop_tri_v4:3:19842389:19850072:1 gene:Potri.003G196300.v4.1 transcript:Potri.003G196300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196300.v4.1 MNRYTFLSATLVLAIAVLSLNIPPQDWLSLGLKRLSTKKLAADLIVKNAVIFTSDASMPVADSMAIQNGRILRVGNYSSLQDLVGGGTKELNVEGKVLVPGFIDSHVHLIPGGLQMGRVELRGVNQKEEFVRRVKEAAGNVKQGSWVLGGGWNNDLWGGELPMASWLDDFTADNPVWLTRMDGHMGLANSLALKLAGINNSSIDPSGGTIAKSTHGEPTGLLIDAAMKLVLPSIPEVSVDERREAFLRASNLALTRGVTTVVDFGRYFPGASVEHSWEDLSDVYQWADSSGKMIIRVCLFFPMETWSRLLELIKKTGRALSDWIYLGGVKAFADGSLGSNSAFFFEPYADEPHNYGLQVTDPESLFNMTAASDKLGLQVAIHAIGDRANEMVLEMYRSVALTNGMRDRRFRIEHAQHLAPGMAARFGEQGVVASVQPDHLHDDADSAAKKLGVDRAQQGSYLFHSLLASNARLALGSDWPVANINPVGSIKTAIQRIPHGWKNAWMSSECLSLNDALIAHTISAAYACFLDSELGSLSPGKLADFVILSTSTLDDLAEGSVTVEATYVAGVQAYP >Potri.010G247400.1.v4.1 pep chromosome:Pop_tri_v4:10:22173582:22176034:-1 gene:Potri.010G247400.v4.1 transcript:Potri.010G247400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247400.v4.1 MGQETRKLLDEYEVSDILGRGGFSVVRRGILKTSGDRRQVAIKTLKRLGPSTPSGIPRSRGDGERGIASFKFPTWRQVSVSDALLTNEILVMRKIVENVSPHPNVIDLYDVYEDQNGVHLVLELCSGGELFDRIVARDRYSESEAAAVVRQIAEGLGALHRANIVHRDLKPENCLFLNENDDSTLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGRISSKTDMWSLGVILYILLSGYPPFIAQSNKQKQQVILAGDFTFYEKTWKNITSSAKQLITDLLQVDPERRPSAQDVLNHPWVIGDSAKEEQMDPEIVSRLQSFNARRKFRAAAIASVWSSTIFLRTKKLKSLLGSHDLKEDEIEKLRLHFSKLCAKGDNATLSEFEEVLKAMNMSLLIPMAPRIFDLFDNNRDGTVDMREILCGFSSLRNSQGDDALRLCFQMYDTDRSGCITKEEVASMLRALPEDCLPADIAEPGKLDEIFDRMDANSDGKVTFDEFKAAMKRDSSLQDVVLSSLRQQ >Potri.011G010400.1.v4.1 pep chromosome:Pop_tri_v4:11:956835:963759:-1 gene:Potri.011G010400.v4.1 transcript:Potri.011G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010400.v4.1 MRTKMRLNNDPSKLSLSPLVSNGISQMMSNPPRNEGSSAVSSIKSSAFGNHTERNFDVVKDSMGLAISLNKTESLDAVLDDFSEGYFSLSNENRKKLLLVLAKEYDLNRNQVRELLKQYLGLELQSGNEGESSNVDDGSVLFSAFYQIEKNLRQALKPTYDVLFERFNNHTGGLKFLSILRADILSILEHENIVSLRALEYFLKEKLSTWLSPAALELHQITWDDSASLLEKIVAYEAVHPINNLLDLKRRLGIGRRCFGYLHTSIPGEPLIFIEVALLKNVAQTIQEVLWDDPSIPEPEATCALFYSISSTQPGLAGINLGKFLVKRVITLVKRDMPQVSTFATLSPIPGYMQWLLSKLASQSVLAKGDNTEQPAGGSGSTFQENLLEPDEERMLMNSAPETCAGKNGMEVMLNLLTATNYEWTSSAELVSALKPPLMRLCARYLLQEKKGGKALDSVANFHLQNGAMVERLNWMADRSEKGLRQSGGIMVNYMYRVEHIEEYAQSYFSTGHIHASSDVRLYTMPQKEHEATAD >Potri.014G161000.2.v4.1 pep chromosome:Pop_tri_v4:14:11543393:11545874:-1 gene:Potri.014G161000.v4.1 transcript:Potri.014G161000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161000.v4.1 MGITGELVRSVFSRNRSDGTHESNARITPGDHRKRWSSVRLYLCGEELNSVLAEEDSASVKSSEATVTQPMLEDTEDKGDVQNEETKEDMPKEKHNSTSKLFRQEDAAIIIQSAFRDFLARHKREETTSKEDGQELALGTESPSRDSLGTSIEVQTGNSVEVLSVREENESVHHRVQKRARTQVFRLKEDWDDSTVISNISKKRIQSRLEATNRRERALAYAFSQQLRICSKKKQTKSDGTQPNMSWSWLERWMATRVPECSVESCTGKQLEPVNNNYRFDFGERFSDVAVEEKESCGSNEVSVQFDSLSIASNNKDGFKHTKSRLKATRTISRRKTAPSYQCPTEQAKVIKKGCSKETEQDKEQKPKPPSSGGKEMKRSASS >Potri.005G096600.1.v4.1 pep chromosome:Pop_tri_v4:5:6868367:6871889:-1 gene:Potri.005G096600.v4.1 transcript:Potri.005G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096600.v4.1 MGKGRAPCCDKTQVMRGPWSPAEDLRLITFIQKHGHENWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFSKEEEDTIIKLHQTLGNKWSKIASHFPGRTDNEIKNVWNTHLKKRLTLKDDDERPKGDELKESSSTSSSSSTSSTIVSSGKRNLEMELYQQKNQGSSTKKPRILEKAKDSSPREVSNQYRPTKKPKELSSSSISSNNSNITNSSQVDVSKSEDDQIGSLLNFRGLYDVHSSSEEVNKPEEITAEIPLESDYDFWNMLDSLSYLQSNEVQLENVEACQISKFGDAYNIGEVDQNKKWLRYLENELGLDVTKDENQESSLKNAAETLVPENFQYEMPLKPAEVHPGTIENFHLWPSL >Potri.002G103066.1.v4.1 pep chromosome:Pop_tri_v4:2:7544126:7546409:-1 gene:Potri.002G103066.v4.1 transcript:Potri.002G103066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103066.v4.1 MLIDGISVAPGDSYSGNALCVRFEECCDPFLLEKLIRHPAIFLRSSLVLCVQTGDYVASGSDDGRWFVWEKQTGRLIKMLLGDEAVVNCVQCHPFDCVVATSGIDSTIKIWTPSASVPSIVAWGAAGPEISNVLEAMESNQRRLCHNREAILPFELLERFRMHEFTEGTLHPFECAQS >Potri.015G078801.1.v4.1 pep chromosome:Pop_tri_v4:15:10492473:10496439:1 gene:Potri.015G078801.v4.1 transcript:Potri.015G078801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078801.v4.1 MAASLSATSLSMISQRPKSPIRDFEDKNCCFWTRPSCYLKQRNIIRNVSGGTSRKGTTLVSALLSTISRTSASTIPAFNELIESLIIKVDLSESEAEASLDYLLDDASEAVIIAFLVLLRAKGETFEELSLVHLLLLQVGKYIPSVSPLKDCWIGKGNV >Potri.016G139500.1.v4.1 pep chromosome:Pop_tri_v4:16:14272004:14276437:-1 gene:Potri.016G139500.v4.1 transcript:Potri.016G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139500.v4.1 MMMSSSNNNNNGNYRSVNGSLRESLVGGRNIPVGSQYHRRGHSLTGGGVFSKDTHNKDENLDLFSKNRRSLSVASSDESSDVSVKLGRLSVGSAKLVRSGIDDLLSSEGGKHDYDWLLTPPGTPLFPSSEGSESQPTLVAPRSSSLARSASTTKAASTLSVSQSESYHSSRPARSSSVTRPSISSSQYSTYSSNRSSSILNTSSASVSSYTRPSSPVSRTPSIARPSTPSARPTPSRSSTPSRARPAPTSSSIDKTRPSQNSRPSTPSSRGQIPANLSTAPTRSNSRPSTPTRRNPAPSSSTASSPSTSAGRVLSNNRIPGPTSRPNSPSPRVRPQQPVVPPDFPLDTPPNLRTTLPDRPLSAGRSRPNVHATMKGNPETVGSVIAPRRHSSPIVSRGRLTEPSGKGRVHSNGHIADAPEPRKVSHVSELGMRKPVKSSSTASESTGFGRTISKKSLDMAIRHMDLRNGTGSTRSLSSTTLFPQSIRSATPKTHPARSRSAPESINNGNLQNGDVLENESYFSRATEIRREANDGQRYSAKLSEVVDICESSRYDAILLKEDLKNTDWLHSVDDKSDQGPFFDNGFEPLPEPFGLL >Potri.011G031600.1.v4.1 pep chromosome:Pop_tri_v4:11:2556180:2559197:1 gene:Potri.011G031600.v4.1 transcript:Potri.011G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031600.v4.1 MSSSSVYYQWKSFEEDEDRPEKPRRFGVTEMRGPQYTLLSQNMLQDVFETMGQFVDGLKFSGGSHSLMPKSFIKEVVDMAHKHDVYVSTGDWAEHLLHKGPTAFKEYVEECKSMGFDTIELNVGSLGVPEETLLRYVRLIKSGGLKAKPQFAVKFNRSDIPIGGHRAFGAYVPPTPRSSELVEDVNLLINMAERCLEAGADMIMIDADDICKDVDSLRADIVAKVIGRLGLEKTMFEASNTKTADWFIKRYGPKVNLFVDHSQVIGLECLRGGHNMGRRQASALGSSYFLF >Potri.017G015300.1.v4.1 pep chromosome:Pop_tri_v4:17:1086138:1091259:1 gene:Potri.017G015300.v4.1 transcript:Potri.017G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015300.v4.1 MQFSGLLAFYYHHSLSQNHPHPLAKIHQGSCFPLLFFSHVFPPSPFLQKSTMALELIGGSFLSPVIQVLVDRLASRQVLGFFKSQKLDDGLLEKLNETLNTVNGLLDDAEEKQITNRAVKNWLNDVKHAVYEAEDILEEIDYEYLRSKDIDAPRPDSNWVRNLVPLLNPANRRMKGMEAELQRILEKLERLLKRKGDLRHIEGTGGWRPLSEKTTPLVNESHVYGRDADKEAIMEYLLTKNNINGANVGVIPIVGMGGVGKTTLAQLIYKDRRVEECFELKAWVWTSQQFDVARIIKDIIKKIKARTCPTKEPDESLMEAVKGKKLLLVLDDAWNIEYNEWDKLLLPLRYVEHGSKIVVTTRDEDVAKVTQTVIPSHRLNVISDEDCWKLFARDAFSGVNSGAVSHLEAFGREIVRKCKGLPLAAKTLGGLLHSVGDVKQWEKISKSRMWGLSNENIPPALTLSYYYLPSHLKRCFAYCAIFSKGYKFEKDGLITEWMAQGFLVQSRGVEEMEDIGEKYFDDLVSRSFFQQSLYAQSDFSMHDIISDLAEYASGEFCFKLGINESGSGFEGEHSCTLPERTRYLSITSAEAYDEGPWIFRSIHGVQHLRALFPQNIFGEVDTEAPNDILPNSKRLRMISLCHLEHISSQLLNSIGNLKHLRHLDLSQTLIKRLPESVCTLYYLQTLLLTECQHLIELPANISNLVDLQHLDIEGTNLKGMPPKMGKLTKLRTLQYYVVGKESGSGMKELGKLSHIRKELSIRNLRDVANTQDALDANLKGKKKIEELRLIWDGNTDDTQHEREVLERLEPSENVKQLVITGYGGTRLPGWLGKSSFSNMVALTLSGCKNCIRLPSLGQLPSLEELQIEGFDGVVEVSSEFYGSDSSMEKPFKSLKKLKFEGMKNWQKWNTDVDGAFPHLAELCIRHCPKLTNALPSHLRCLLKLFIRECPQPVSEGDESRIIGISETSSHRRCLHFRRDPQLKGMEQMSHLGPSSCFTDIKIEGCSSFKCCQLDLLPQVSTLTIEHCLNLDSLCIGERPLAALCHLTISHCRNLVSFPKGGLAAPDLTSLVLEGCSSLKSLPENMHSLLPSLQNLQLISLPEVDSFPEGGLPSNLNTLWIVDCIKLKVCGLQALPSLSYFRFTGNEVESFDEETLPSTLTTLEINRLENLKSLDYKELHHLTSLQKLSIEGCPKLESISEQALPSSLEFLYLRNLESLDYMGLHHLTSLYTLKIKSCPKLKFISEQMLRSSHEYQGLHHLISLRNLRIESFPKLESISELALPSSLEYLHLCKLESLDYIGLQHLTSLHRLKIESCPKLESLLGLPSSLEFLQLLDQQERDCKKRWCFTSHGKMKIRRSLKLESFQEGTFPCSLVDLEIWVLEDMEYSSPKLESVPGEGLPFSLVSFKISARINLKSLTGLLHPPSLRELIVRSLCTCPEKRCPNLLLDIR >Potri.007G113300.3.v4.1 pep chromosome:Pop_tri_v4:7:13395968:13416713:-1 gene:Potri.007G113300.v4.1 transcript:Potri.007G113300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113300.v4.1 MGGGGYESGSASGDDDDEEYDEVGGNHFLGFMFGNVDNSGDLDADYLDEDAKEHLAALADKLGSSLTEIDLSVKSPQTSTDAAEQDYDAKAEDAVDYEDFDEQYEGPEIQAVSEEDYLLSKKDYMLSESTLQPPISDDEDYDEGVKEELEKEPVVSDKKLEVQTASLSGQQDVGVVSGELVSVGFESSDVEFVDIHEEETDTVKGSLDKGHTPLPILCIEDGMEILRFSEIFSIHEPLKKGEKRDHRYSILKEKYTSMDVSDIVEEDEEAFLKDSGQMLPSHLHVNQHDISIFSEDASELARFGSMHGAIQMSVQIEEQRRNSYLSAEPLNKDVVWKSPLDSKFNPLDQHDWEERILWDNSPVISDNSVESCDQSGSELGSSFVIETEQVTSPPNLHSEHPVELNENLDNCFWNRSYVLLESFGSGDYSEPGNLPLLESRCHPQLLRLESRLEEDSSNHVNDRRENNAVELHKSDALRRFSKLTLQNRDLMEGSWLDDIIWEPCEANIKPKLILDLQDEQMLFEILDHRDSKHLQLHAGAMIITRPLKQKVSHELLGCGNRSGWQFNIANDKFYMNRKNSQRLQSNSNKRTAYGIKIHHSAPAIKLQTMKLKLSNKDLANFHRPKALWYPHDHEVAVKERGKLPTAGPMKIILKSLGGKGSKVHVDAEENISSVKAKASKKLDFKPSETVKLFYLGKELEDHKSLSAHNVQPNSLLHLVRTKIHLWPRAQKIPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLSLSNAGMGANLRTYYQKLSPSDQTGILLRNEKSSLGNVVILEQTDKSPFLGDIKAGCRQSSLETNMYKAPLFPHKVPPTDYLLVRSAKGKLSIRRIDRVAVVGQQEPLMEVLTPASKNLQAYILNRQLLYLYREFRAAEKRGMLPWIRADELSAHFPNISETILRKKLKECTILRKNANGHLFWAKKRDFIIPSEEELKKMVLPENVCAYESMQAGLYRLKHLGITWLTLPTSVSTAMSQLPDEAIALAAASHIERELQITPWSLSSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRTAPKAPISNAVVKKKAGAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPDEQIAKQTRWHRIAMIRKLSSEQASCGVKVDPTTISKYARGQRMSFLQLHQQTREKCQEIWDRQVQSLSALDGDEIESDSEANSDLDSFAGDLENLLDAEEFEGDENNYESKHDKGDGVKGIKMRRRPSQAQAEEEFEDEAAEAAELCRLLMDDDEAEQKRKKKTRNVGVDAVVTPTKPNFVDNVHWGKKMNKTQPNGSYALKQNNIRDLKELETLSIKGKMSEKVKTVKKNGAFNTPPLKAKVIMADGLNHIFKEKKSARERFVCGACGQLGHMKTNKNCPKYGKEPETPSETIDLEKSSRKSTSQDLLNVSQHKLQKKKMISKNSTKIEAAEGEKSSLAKSLPVKFKCGSTEKFSDKPSDGAADTSNQPTTSNVRPVSSDIDTGSRATSKVSKIKIFNKVKPENVQVESHKPSIVIRPPMDTERGQSESHKPSIVIRPPTYMDRDHVDPHKPSIVIRPPAEKDRKKTQKKIVIKQPKEIIDLDQVSQDGSPGYEHRKTKKIVELSSFEKPGKTMRFSGESAKRKAREDRRWWEEEEKQRAAERQREDRARRIFAEEMRSREEREEREKLAEIERYTETIRWDWEEEERQKAKKKTKKKKKKPEISDHLDDFRADRNERRMPERDRGAKRRPVVDVGNYGADYTPATKRRRVGEVGLANILEGIVDALKDRLEVSYLFLKPVLKKEAPDYLHIIKRPMDLSTIKDKARKMEYKNRNEFRHDMWQIAYNAHLYNDGRNPGIPPLADQLLEICDFLLMEKQDSLSEAEAGI >Potri.007G113300.1.v4.1 pep chromosome:Pop_tri_v4:7:13396026:13416735:-1 gene:Potri.007G113300.v4.1 transcript:Potri.007G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113300.v4.1 MGGGGYESGSASGDDDDEEYDEVGGNHFLGFMFGNVDNSGDLDADYLDEDAKEHLAALADKLGSSLTEIDLSVKSPQTSTDAAEQDYDAKAEDAVDYEDFDEQYEGPEIQAVSEEDYLLSKKDYMLSESTLQPPISDDEDYDEGVKEELEKEPVVSDKKLEVQTASLSGSLLGQQDVGVVSGELVSVGFESSDVEFVDIHEEETDTVKGSLDKGHTPLPILCIEDGMEILRFSEIFSIHEPLKKGEKRDHRYSILKEKYTSMDVSDIVEEDEEAFLKDSGQMLPSHLHVNQHDISIFSEDASELARFGSMHGAIQMSVQIEEQRRNSYLSAEPLNKDVVWKSPLDSKFNPLDQHDWEERILWDNSPVISDNSVESCDQSGSELGSSFVIETEQVTSPPNLHSEHPVELNENLDNCFWNRSYVLLESFGSGDYSEPGNLPLLESRCHPQLLRLESRLEEDSSNHVNDRRENNAVELHKSDALRRFSKLTLQNRDLMEGSWLDDIIWEPCEANIKPKLILDLQDEQMLFEILDHRDSKHLQLHAGAMIITRPLKQKVSHELLGCGNRSGWQFNIANDKFYMNRKNSQRLQSNSNKRTAYGIKIHHSAPAIKLQTMKLKLSNKDLANFHRPKALWYPHDHEVAVKERGKLPTAGPMKIILKSLGGKGSKVHVDAEENISSVKAKASKKLDFKPSETVKLFYLGKELEDHKSLSAHNVQPNSLLHLVRTKIHLWPRAQKIPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLSLSNAGMGANLRTYYQKLSPSDQTGILLRNEKSSLGNVVILEQTDKSPFLGDIKAGCRQSSLETNMYKAPLFPHKVPPTDYLLVRSAKGKLSIRRIDRVAVVGQQEPLMEVLTPASKNLQAYILNRQLLYLYREFRAAEKRGMLPWIRADELSAHFPNISETILRKKLKECTILRKNANGHLFWAKKRDFIIPSEEELKKMVLPENVCAYESMQAGLYRLKHLGITWLTLPTSVSTAMSQLPDEAIALAAASHIERELQITPWSLSSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRTAPKAPISNAVVKKKAGAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPDEQIAKQTRWHRIAMIRKLSSEQASCGVKVDPTTISKYARGQRMSFLQLHQQTREKCQEIWDRQVQSLSALDGDEIESDSEANSDLDSFAGDLENLLDAEEFEGDENNYESKHDKGDGVKGIKMRRRPSQAQAEEEFEDEAAEAAELCRLLMDDDEAEQKRKKKTRNVGVDAVVTPTKPNFVDNVHWGKKMNKTQPNGSYALKQNNIRDLKELETLSIKGKMSEKVKTVKKNGAFNTPPLKAKVIMADGLNHIFKEKKSARERFVCGACGQLGHMKTNKNCPKYGKEPETPSETIDLEKSSRKSTSQDLLNVSQHKLQKKKMISKNSTKIEAAEGEKSSLAKSLPVKFKCGSTEKFSDKPSDGAADTSNQPTTSNVRPVSSDIDTGSRATSKVSKIKIFNKVKPENVQVESHKPSIVIRPPMDTERGQSESHKPSIVIRPPTYMDRDHVDPHKPSIVIRPPAEKDRKKTQKKIVIKQPKEIIDLDQVSQDGSPGYEHRKTKKIVELSSFEKPGKTMRFSGESAKRKAREDRRWWEEEEKQRAAERQREDRARRIFAEEMRSREEREEREKLAEIERYTETIRWDWEEEERQKAKKKTKKKKKKPEISDHLDDFRADRNERRMPERDRGAKRRPVVDVGNYGADYTPATKRRRVGEVGLANILEGIVDALKDRLEVSYLFLKPVLKKEAPDYLHIIKRPMDLSTIKDKARKMEYKNRNEFRHDMWQIAYNAHLYNDGRNPGIPPLADQLLEICDFLLMEKQDSLSEAEAGI >Potri.007G113300.5.v4.1 pep chromosome:Pop_tri_v4:7:13397042:13416740:-1 gene:Potri.007G113300.v4.1 transcript:Potri.007G113300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113300.v4.1 MGGGGYESGSASGDDDDEEYDEVGGNHFLGFMFGNVDNSGDLDADYLDEDAKEHLAALADKLGSSLTEIDLSVKSPQTSTDAAEQDYDAKAEDAVDYEDFDEQYEGPEIQAVSEEDYLLSKKDYMLSESTLQPPISDDEDYDEGVKEELEKEPVVSDKKLEVQTASLSGSLLGQQDVGVVSGELVSVGFESSDVEFVDIHEEETDTVKGSLDKGHTPLPILCIEDGMEILRFSEIFSIHEPLKKGEKRDHRYSILKEKYTSMDVSDIVEEDEEAFLKDSGQMLPSHLHVNQHDISIFSEDASELARFGSMHGAIQMSVQIEEQRRNSYLSAEPLNKDVVWKSPLDSKFNPLDQHDWEERILWDNSPVISDNSVESCDQSGSELGSSFVIETEQVTSPPNLHSEHPVELNENLDNCFWNRSYVLLESFGSGDYSEPGNLPLLESRCHPQLLRLESRLEEDSSNHVNDRRENNAVELHKSDALRRFSKLTLQNRDLMEGSWLDDIIWEPCEANIKPKLILDLQDEQMLFEILDHRDSKHLQLHAGAMIITRPLKQKVSHELLGCGNRSGWQFNIANDKFYMNRKNSQRLQSNSNKRTAYGIKIHHSAPAIKLQTMKLKLSNKDLANFHRPKALWYPHDHEVAVKERGKLPTAGPMKIILKSLGGKGSKVHVDAEENISSVKAKASKKLDFKPSETVKLFYLGKELEDHKSLSAHNVQPNSLLHLVRTKIHLWPRAQKIPGENKSLRPPGAFKKKSDLSVKDGHVFLMEYCEERPLSLSNAGMGANLRTYYQKLSPSDQTGILLRNEKSSLGNVVILEQTDKSPFLGDIKAGCRQSSLETNMYKAPLFPHKVPPTDYLLVRSAKGKLSIRRIDRVAVVGQQEPLMEVLTPASKNLQAYILNRQLLYLYREFRAAEKRGMLPWIRADELSAHFPNISETILRKKLKECTILRKNANGHLFWAKKRDFIIPSEEELKKMVLPENVCAYESMQAGLYRLKHLGITWLTLPTSVSTAMSQLPDEAIALAAASHIERELQITPWSLSSNFVACTNQDRENIERLEITGVGDPSGRGLGFSYVRTAPKAPISNAVVKKKAGAGRGGSTVTGTDADLRRLSMEAAREVLLKFNVPDEQIAKQTRWHRIAMIRKLSSEQASCGVKVDPTTISKYARGQRMSFLQLHQQTREKCQEIWDRQVQSLSALDGDEIESDSEANSDLDSFAGDLENLLDAEEFEGDENNYESKHDKGDGVKGIKMRRRPSQAQAEEEFEDEAAEAAELCRLLMDDDEAEQKRKKKTRNVGVDAVVTPTKPNFVDNVHWGKKMNKTQPNGSYALKQNNIRDLKELETLSIKGKMSEKVKTVKKNGAFNTPPLKAKVIMADGLNHIFKEKKSARERFVCGACGQLGHMKTNKNCPKYGKEPETPSETIDLEKSSRKSTSQDLLNVSQHKLQKKKMISKNSTKIEAAEGEKSSLAKSLPVKFKCGSTEKFSDKPSDGAADTSNQPTTSNVRPVSSDIDTGSRATSKVSKIKIFNKVKPENVQVESHKPSIVIRPPMDTERGQSESHKPSIVIRPPTYMDRDHVDPHKPSIVIRPPAEKDRKKTQKKIVIKQPKEIIDLDQVSQDGSPGYEHRKTKKIVELSSFEKPGKTMRFSGESAKRKAREDRRWWEEEEKQRAAERQREDRARRIFAEEMRSREEREEREKLAEIERYTETIRWDWEEEERQKAKKKTKKKKKKPEISDHLDDFRADRNERRMPERDRGAKRRPVVDVGNYGADYTPATKRRRVGEVLTNSNLIISMWFVLCLYCFLFYHHPSFLLCNGLEDLYWSNCLL >Potri.006G127800.1.v4.1 pep chromosome:Pop_tri_v4:6:10360537:10364310:-1 gene:Potri.006G127800.v4.1 transcript:Potri.006G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127800.v4.1 MGGERKKILVGLMVAMILGFAVYFRLWTIDYAISSDDTELIRRQFDLANREALDESAEWRMKYDEEVERAAKCDKQLVEIKQKVGDAASVNQQLVMLQKENMALVGRMEVLKNKLEATKLKCRSKLDR >Potri.015G088500.2.v4.1 pep chromosome:Pop_tri_v4:15:11197319:11201458:-1 gene:Potri.015G088500.v4.1 transcript:Potri.015G088500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088500.v4.1 MASSSTTTISLSLATLSSTTATASSSITQTKTSSICSFRSHERTLSSSLLGTRIRVYNKTTRTRVVEKSSTSNGTTCLASSSVLPSALLFDCDGVLVDTEKDGHRISFNDTFNERELGVTWDVDLYGELLKIGGGKERMTAYFNKTRWPEKAPKSEVERKEFIASLHKRKTELFMALIEKKLLPLRPGVAKLIDQALGKGVKVAVCSTSNEKAVSAIVSFLLGAERAEKIKIFAGDVVPRKKPDPAIYTLAANTLGVDPSSCVVVEDSAIGLAAAKAAGMKCIVTKSGYTADEDFLNADAVFDCIGDPPEECFDLAFCGSLLEKQYVS >Potri.012G076600.1.v4.1 pep chromosome:Pop_tri_v4:12:10018383:10027026:1 gene:Potri.012G076600.v4.1 transcript:Potri.012G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G076600.v4.1 MSICRFLKWVVLLLVMFPSLFDPSLSHFDSAKKKQMREKVRKMFYHAYENYMTHAFPHDELKPLTRSFTDSLSELGNLKLEHLPQNYNGTALTLIESLSSLVILGNYTEFERAVLWLSENLSFDVDARVNLFEGNIRVLGGLVSAHILATDSKNRLVQGSYKNQLLDLAEDLGQRFLPAFDTPTGLPYAWINLKYGVMKNETTETSTSGCGSLILEMGALSRLTGDPKYESASLRALRKLWSMRSSLNLLGTTLDVETGEWIEHSSGIGAGVDSFYEYLFKAHILFGKEDFWRMFHSAYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIEAANSSHREFVHVWKKFGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATEDPWYIEVGEAIVDSLNLYTKVEGGFASIRDVTTMQTEDHQHSFFLAETCKYLYLLFDDSFLVDRNYIFTTEGHPLPVLSAWHERLPEIYIPSNWTYVKKEKPKRASAMSLQVCPALSLNSRHGEQLVESACHIPDARNDHKCFSDEECGVDSTNCRRRSCSLGGYCGLWLII >Potri.012G076600.3.v4.1 pep chromosome:Pop_tri_v4:12:10018383:10027026:1 gene:Potri.012G076600.v4.1 transcript:Potri.012G076600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G076600.v4.1 MSICRFLKWVVLLLVMFPSLFDPSLSHFDSAKKKQMREKVRKMFYHAYENYMTHAFPHDELKPLTRSFTDSLSELGNLKLEHLPQNYNGTALTLIESLSSLVILGNYTEFERAVLWLSENLSFDVDARVNLFEGNIRVLGGLVSAHILATDSKNRLVQGSYKNQLLDLAEDLGQRFLPAFDTPTGLPYAWINLKYGVMKNETTETSTSGCGSLILEMGALSRLTGDPKYESASLRALRKLWSMRSSLNLLGTTLDVETGEWIEHSSGIGAGVDSFYEYLFKAHILFGKEDFWRMFHSAYLAVQKYFRHGPWYHEADMRTGKATYWQLTSLQAFWPGLQVLVGDIEAANSSHREFVHVWKKFGVLPERYLLDHQMLHPTEKYYPLRPELAESTFYLYQATEGLDCFILQFFSFSFSLEVLDFHFISFRIISLKCLGQVNVCIHIPFATASNIRGKLPFTRPFKRRKFARPSDPWYIEVGEAIVDSLNLYTKVEGGFASIRDVTTMQTEDHQHSFFLAETCKYLYLLFDDSFLVDRNYIFTTEGHPLPVLSAWHERLPEIYIPSNWTYVKKEKPKRASAMSLQVCPALSLNSRHGEQLVESACHIPDARNDHKCFSDEECGVDSTNCRRRSCSLGGYCGLWLII >Potri.007G023150.1.v4.1 pep chromosome:Pop_tri_v4:7:1772891:1773441:-1 gene:Potri.007G023150.v4.1 transcript:Potri.007G023150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023150.v4.1 MNFPAMIFLVLLSSRLSSNNLDKVVNARWKYLFMCLHWMSCFWLVATLIINFN >Potri.009G170400.2.v4.1 pep chromosome:Pop_tri_v4:9:12939482:12941818:1 gene:Potri.009G170400.v4.1 transcript:Potri.009G170400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170400.v4.1 MASSSQFRILKLHSLSLTSLFQILRRFSSSVKGRATTSTGVAAAAGFNFNDEEERKTQIQNQNQKPPDPIPNRPLRGERPNFNNNYSNRGGGGGRGGGGEPNYNANNPRRPQPSHPPPTTSQPQTDDFNNISDDASCHVKGYCGSIGLAKILL >Potri.009G170400.1.v4.1 pep chromosome:Pop_tri_v4:9:12939482:12941818:1 gene:Potri.009G170400.v4.1 transcript:Potri.009G170400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170400.v4.1 MASSSQFRILKLHSLSLTSLFQILRRFSSSVKGRATTSTGVAAAAGFNFNDEEERKTQIQNQNQKPPDPIPNRPLRGERPNFNNNYSNRGGGGGRGGGGEPNYNANNPRRPQPSHPPPTTSQPQTDDFNNISDDASYWIFATHVLMNVFDQQLSC >Potri.002G016000.1.v4.1 pep chromosome:Pop_tri_v4:2:970193:971209:1 gene:Potri.002G016000.v4.1 transcript:Potri.002G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016000.v4.1 MATVTSAAVSIPSFTGLKAASASNAKVSASAKVSASPLPRLSIKASLKEVGAAVVATAASAMIASNAMAVDVLLGSDDGSLAFVPSEFSVPAGEKIVFKNNAGFPHNVLFDEDAVPSGVDVSKISMSEEDLLNAKGETFEVALSDKGEYTFYCSPHQGAGMVGKVIVN >Potri.006G196000.4.v4.1 pep chromosome:Pop_tri_v4:6:20423242:20428784:-1 gene:Potri.006G196000.v4.1 transcript:Potri.006G196000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196000.v4.1 MRTSWADSVANSASENAASGPRPTRATYIPPHLRNRPPSSDFLAPPPAAPSLGNDRVGYGGPAGGSRWGGGGGSASKPDSGRLGYGSGGRGGGGGGGGGGGWNNRMERGREREVNPFDEDGDAEPAFGVQENTGINFDAYEDIPVETSGQNVPPPVNTFAEIDLGEAVNQNIRRCKYVKPTPVQRNAIPILLAGRDLMACAQTGSGKTAAFCFPIIAGIMREQYVQRPHGGRTVYPLALILSPTRELSCQIHDEAKKFAYQTGVKVVVVYGGAPVNQQLRELERGVDVLVATPGRLVDLVERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPCGRRQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVHETDKRSHLMDLLHAQRETEVNGKHSLTLVFVETKKGADSLEHWLYVNKFPATSIHGDRSQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGKTGLATAFFNENNLSLARPLADLMQEANQEVPAWLTRYASRVSFSGGKNRRSGGARFGGRDMRRDGSFNRGVDYYGGNSSSGGYGVSAGYGGGYNPAVASAWD >Potri.008G088200.4.v4.1 pep chromosome:Pop_tri_v4:8:5504512:5510108:1 gene:Potri.008G088200.v4.1 transcript:Potri.008G088200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088200.v4.1 MGRVKLKIKKLENTNGRQATYAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKKLSYWTNPDEISSLEHLGQLESSLRESLNRIRSHKEHLGKQHLMSLECHSQFQNAMHVPFRMGVEQQLPPISWIPNTDSQHIMLPEDPNLLPHRDAECSATTSFGSYSGYFGAGKNSELSSSGQESGMNGILDEFNGTASLRLQMAGQYPYLPGSYNLNLLNDSKFQPAAEMKTQKSPEDFHVNGSFEAPKPGYDSTPCGWASTPGSCAVTMFDDHLYSQQPH >Potri.008G088200.5.v4.1 pep chromosome:Pop_tri_v4:8:5505229:5510108:1 gene:Potri.008G088200.v4.1 transcript:Potri.008G088200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088200.v4.1 MGRVKLKIKKLENTNGRQATYAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKKLSYWTNPDEISSLEHLGQLESSLRESLNRIRSHKEHLGKQHLMSLECHSQFQNAMHVPFRMGVEQQLPPISWIPNTDSQHIMLPEDPNLLPHRDAECSATTSFGSYSGYFGAGKNSELSSSGQESGMNGILDEFNGTASLRLQMAGQYPYLPGSYNLNLLNDSKFQPAAEMKTQKSPEDFHVNGSFEAPKPGYDSTPCGWASTPGSCAVTMFDDHLYSQQPH >Potri.008G088200.1.v4.1 pep chromosome:Pop_tri_v4:8:5504511:5510112:1 gene:Potri.008G088200.v4.1 transcript:Potri.008G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088200.v4.1 MGRVKLKIKKLENTNGRQATYAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKKLSYWTNPDEISSLEHLGQLESSLRESLNRIRSHKEHLGKQHLMSLECHSQFQNAMHVPFRMGVEQQLPPISWIPNTDSQHIMLPEDPNLLPHRDAECSATTSFGSYSGYFGAGKNSELSSSGQESGMNGILDEFNGTASLRLQMAGQYPYLPGSYNLNLLNDSKFQPAAEMKTQKSPEDFHVNGSFEAPKPGYDSTPCGWASTPGSCAVTMFDDHLYSQQPH >Potri.008G088200.2.v4.1 pep chromosome:Pop_tri_v4:8:5504512:5510108:1 gene:Potri.008G088200.v4.1 transcript:Potri.008G088200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088200.v4.1 MQSYHICNPFSLFFFFPSLSTFSWFPHLNILFYHPNSTRRLICSLRMGRVKLKIKKLENTNGRQATYAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKKLSYWTNPDEISSLEHLGQLESSLRESLNRIRSHKEHLGKQHLMSLECHSQFQNAMHVPFRMGVEQQLPPISWIPNTDSQHIMLPEDPNLLPHRDAECSATTSFGSYSGYFGAGKNSELSSSGQESGMNGILDEFNGTASLRLQMAGQYPYLPGSYNLNLLNDSKFQPAAEMKTQKSPEDFHVNGSFEAPKPGYDSTPCGWASTPGSCAVTMFDDHLYSQQPH >Potri.008G088200.3.v4.1 pep chromosome:Pop_tri_v4:8:5504511:5510110:1 gene:Potri.008G088200.v4.1 transcript:Potri.008G088200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088200.v4.1 MQSYHICNPFSLFFFFPSLSTFSWFPHLNILFYHPNSTRRLICSLRMGRVKLKIKKLENTNGRQATYAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKKLSYWTNPDEISSLEHLGQLESSLRESLNRIRSHKEHLGKQHLMSLECHSQFQNAMHVPFRMGVEQQLPPISWIPNTDSQHIMLPEDPNLLPHRDAECSATTSFGSYSGYFGAGKNSELSSSGQESGMNGILDEFNGTASLRLQMAGQYPYLPGSYNLNLLNDSKFQPAAEMKTQKSPEDFHVNGSFEAPKPGYDSTPCGWASTPGSCAVTMFDDHLYSQQPH >Potri.005G009101.1.v4.1 pep chromosome:Pop_tri_v4:5:773236:779833:-1 gene:Potri.005G009101.v4.1 transcript:Potri.005G009101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009101.v4.1 MKSREESFVMIANGTRISKNEKVRRLSIHENSEEVQSDMRFPYLWSFLSFSSHHSFEHGFRNYNLLIVLNLDRAPLSSFLPELIELIHLRYLSLRWTMIRELPESIRKLKYLEILDLKGSPVSSLPAGITQLTCLCQLRNYRHSFQSSSFFPDTHGMRVPSGIGRLTSLQKLGSVEVNEDYELVRELGKLTQLRRLGILKLREEQGMDLCYTLDRLKHLTAFYLVSLNNTEFLQFDSLSSPPKYLQRLNLKGSLPGLPGSITSLQYISKLVLQYSSLKCDPLKALQKLPSLVVLELRQAYAGEELCCDPSGFSKLKKLGLHELERLRRIRIAKGSMPGLERLDITACTVLETVPDGIENLKNIGDLVLWYMPSTFIKTIERYRGEDFWRVQHITTITRIYQRLGRRVSETLL >Potri.011G022800.1.v4.1 pep chromosome:Pop_tri_v4:11:1700591:1706125:1 gene:Potri.011G022800.v4.1 transcript:Potri.011G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022800.v4.1 MASSCFHAFRLRRPKSKTLTIPSSSKSHLNSEMENLERKRFDSMESWSMILESENVETWEASKEDEEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPNQMDETKTLLEQEFKCEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCQETKGNKGTYRWMAPEMIKEKHCSRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKNERPPLPASCQPALAHLIKRCWAANPSKRPDFSHIVSALEKYDECVKEGLPLASHSGLVSRNAILERLTGCVSMSSSVPVHA >Potri.011G022800.5.v4.1 pep chromosome:Pop_tri_v4:11:1701494:1702917:1 gene:Potri.011G022800.v4.1 transcript:Potri.011G022800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022800.v4.1 MASSCFHAFRLRRPKSKTLTIPSSSKSHLNSEMENLERKRFDSMESWSMILESENVETWEASKEDEEEWTADLSQLFIGNKFASGAHSRIYRGIYKQRAVAVKMVRIPNQMDETKTLLEQEFKCEVALLSRLFHPNIVQFIAACKKPPVYCIITEYMSQGTLRMYLNKKEPYSLSTETILRLALDISRGMEYLHSQGVIHRDLKSNNLLLNDEMRVKVADFGTSCLETQCQETKGNKGTYRWMAPEMIKEKHCSRKVDVYSFGIVLWELTTALLPFQGMTPVQAAFAVAEKVCRLFLFDSTRIC >Potri.017G153600.1.v4.1 pep chromosome:Pop_tri_v4:17:15087941:15088810:-1 gene:Potri.017G153600.v4.1 transcript:Potri.017G153600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153600.v4.1 MRAAILALSFLLFALAANQYLPRVAATAAPEPVLDVTGKILRTGTSYYILSVIRGRGGGLKMASTVRRTCPLDVVQDRYEASNGLPLKFTPVNTKKGVVRVHTDLNIRFSAGSICHQSTAWKLDNYDEWTKQWFVTTDGVEGNPGPETTNNWFKIEKFEDKYKLVFCPTVCQHCKVMCKDIGIYVDAKGVRRLALTNVPLKVMFKKT >Potri.019G131000.3.v4.1 pep chromosome:Pop_tri_v4:19:15343497:15347939:-1 gene:Potri.019G131000.v4.1 transcript:Potri.019G131000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131000.v4.1 MASPMVPSKNFKGLQTRKSHSWWWDSHISPKNSKWHTENLEEMDQSVKRMLKLIEEDGDSFAKKAEMYYQKRPELISHVEEFYRMYRSLAERYDHVTEELRKSIPSDLQSQGSGISDVIFEPPSPARELKPSRLKPGPRAAGFDFFLGSGGSGDRHHKEVDELSTLTDSESESDDSSVNNYSGLSGNSGDQGLSRRIIDLEIELRETKEKLRMQQDESVDGSFRGVRNEDSEDVLAEITGCERDLTIANEELRLSEEEVTRLNTELQKCRSSEVSDGLQSEFASPAESKVTTREVELEFEVNQASHLQQRIGGSEAETLDSNVKIQALMEELRIAKERLHVSEKEITTLKKQLEGGGPSEKINNLQDQLALAHKEINTLKNKLNAEKREVSKLQERTARLKTNLSDRDSEVRDLKLAVSDAELKIFPEKAQIKAEISRLIEEGTCLEERLKEQESRCRSLEDGIRMFQAEKAEMQETLEREIQKLKEDTAERDSRIKSERDELNEKAITLKAEVTSRDNPVNQMDKHLQQLRMEHVKLLAGAEEARKLMDELRSKAKDLEGEVERQRILILEGAEEKREAIRQLCLTLEHYRNGYHTLRQAFAGHKGVPVLAT >Potri.019G131000.5.v4.1 pep chromosome:Pop_tri_v4:19:15343660:15347926:-1 gene:Potri.019G131000.v4.1 transcript:Potri.019G131000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131000.v4.1 MDQSVKRMLKLIEEDGDSFAKKAEMYYQKRPELISHVEEFYRMYRSLAERYDHVTEELRKSIPSDLQSQGSGISDVIFEPPSPARELKPSRLKPGPRAAGFDFFLGSGGSGDRHHKEVDELSTLTDSESESDDSSVNNYSGLSGNSGDQGLSRRIIDLEIELRETKEKLRMQQDESVDGSFRGVRNEDSEDVLAEITGCERDLTIANEELRLSEEEVTRLNTELQKCRSSEVSDGLQSEFASPAESKVTTREVELEFEVNQASHLQQRIGGSEAETLDSNVKIQALMEELRIAKERLHVSEKEITTLKKQLEGGGPSEKINNLQDQLALAHKEINTLKNKLNAEKREVSKLQERTARLKTNLSDRDSEVRDLKLAVSDAELKIFPEKAQIKAEISRLIEEGTCLEERLKEQESRCRSLEDGIRMFQAEKAEMQETLEREIQKLKEDTAERDSRIKSERDELNEKAITLKAEVTSRDNPVNQMDKHLQQLRMEHVKLLAGAEEARKLMDELRSKAKDLEGEVERQRILILEGAEEKREAIRQLCLTLEHYRNGYHTLRQAFAGHKGVPVLAT >Potri.019G131000.4.v4.1 pep chromosome:Pop_tri_v4:19:15343499:15347939:-1 gene:Potri.019G131000.v4.1 transcript:Potri.019G131000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131000.v4.1 MASPMVPSKNFKGLQTRKSHSWWWDSHISPKNSKWHTENLEEMDQSVKRMLKLIEEDGDSFAKKAEMYYQKRPELISHVEEFYRMYRSLAERYDHVTEELRKSIPSDLQSQGSGISDVIFEPPSPARELKPSRLKPGPRAAGFDFFLGSGGSGDRHHKEVDELSTLTDSESESDDSSVNNYSGLSGNSGDQGLSRRIIDLEIELRETKEKLRMQQDESVDGSFRGVRNEDSEDVLAEITGCERDLTIANEELRLSEEEVTRLNTELQKCRSSEVSDGLQSEFASPAESKVTTREVELEFEVNQASHLQQRIGGSEAETLDSNVKIQALMEELRIAKERLHVSEKEITTLKKQLEGGGPSEKINNLQDQLALAHKEINTLKNKLNAEKREVSKLQERTARLKTNLSDRDSEVRDLKLAVSDAELKIFPEKAQIKAEISRLIEEGTCLEERLKEQESRCRSLEDGIRMFQAEKAEMQETLEREIQKLKEDTAERDSRIKSERDELNEKAITLKAEVTSRDNPVNQMDKHLQQLRMEHVKLLAGAEEARKLMDELRSKAKDLEGEVERQRILILEGAEEKREAIRQLCLTLEHYRNGYHTLRQAFAGHKGVPVLAT >Potri.014G117800.2.v4.1 pep chromosome:Pop_tri_v4:14:7933531:7940470:1 gene:Potri.014G117800.v4.1 transcript:Potri.014G117800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117800.v4.1 MAGLDSNPQPQQNPHQQNQTSTVFFNKGEEVEVSSEQEGFRGAWYLATILDFPTPSQPQSASEKKRKAIVQYKTLVTEDGPAPLLEQVDPQLIRPLPPQDSLKNGGVFQENEAIDASLRYGWWSGVVKKVLDRGARYMVYFDNPPDVLDFDAKDLRIHLDWVDGKWVRPEMQQEVRILSDSRGQFGSTNNNKNPDVAELHKSSSASEDKTKEKTVSTSIRNMPEQSTHLGEKSAKKPKPTLFNCSGMRSNPSSMLIEIDATEAPLSVSALQSRNIPIEMSSNEMLCGFTSSKTGGKRTRCIEKLVDAQPSNKTENSSAGKATMQKTKQLKVLELDCQKVEIITRIGRVTKSPFRSPNASAAVKDGNAVDVAVQGISEIDFKTKEIEVPFIMGLKATEGIYQDDKETLKLLRDQKKSLNDSAKDKNLEHVGSSQRRKRGRPCKLTINSKASVTSREDLGSGDIADEVVQVVVKDLTTNEVEWLTQARMEPKVSQNSSRERTSEVSKTDFMSREVDAAVAAASKNVADDDQPLSTWFGGVHASTSLGELRSSTGRAASGGSEAREKQAVAVESCTIDAKGNDTLVENQSVPFVKRSPVWNTIESMEVFRAIPQKLHFHPLTECKEEYREGSAIGIMVTFASLFDKITSLQFDDCRSILESTLESLLDLEKHGFDITVPRGRLNELLSIKDGQGEVLNESKDAEGKLRVHTDEKRKLEEKRNDIEKKITELQEELALTKAKMGIKNLDLSKLQSHANAINERIKNARDHFGKVASAPWNPP >Potri.014G117800.1.v4.1 pep chromosome:Pop_tri_v4:14:7933531:7940538:1 gene:Potri.014G117800.v4.1 transcript:Potri.014G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117800.v4.1 MAGLDSNPQPQQNPHQQNQTSTVFFNKGEEVEVSSEQEGFRGAWYLATILDFPTPSQPQSASEKKRKAIVQYKTLVTEDGPAPLLEQVDPQLIRPLPPQDSLKNGGVFQENEAIDASLRYGWWSGVVKKVLDRGARYMVYFDNPPDVLDFDAKDLRIHLDWVDGKWVRPEMQQQATGSVFSSGTEVEVNLEKDNVRDIWLPAVVVKENEDRTFLVKCQSSWNSDEAGTMKTIVDSLHIRPTPPHADRNYELLERVDAHYGSGWRSGVITKLLAGRRYNVFFKQGNEDRELSQSKIRPHMEWVDGKWISKKEVRILSDSRGQFGSTNNNKNPDVAELHKSSSASEDKTKEKTVSTSIRNMPEQSTHLGEKSAKKPKPTLFNCSGMRSNPSSMLIEIDATEAPLSVSALQSRNIPIEMSSNEMLCGFTSSKTGGKRTRCIEKLVDAQPSNKTENSSAGKATMQKTKQLKVLELDCQKVEIITRIGRVTKSPFRSPNASAAVKDGNAVDVAVQGISEIDFKTKEIEVPFIMGLKATEGIYQDDKETLKLLRDQKKSLNDSAKDKNLEHVGSSQRRKRGRPCKLTINSKASVTSREDLGSGDIADEVVQVVVKDLTTNEVEWLTQARMEPKVSQNSSRERTSEVSKTDFMSREVDAAVAAASKNVADDDQPLSTWFGGVHASTSLGELRSSTGRAASGGSEAREKQAVAVESCTIDAKGNDTLVENQSVPFVKRSPVWNTIESMEVFRAIPQKLHFHPLTECKEEYREGSAIGIMVTFASLFDKITSLQFDDCRSILESTLESLLDLEKHGFDITVPRGRLNELLSIKDGQGEVLNESKDAEGKLRVHTDEKRKLEEKRNDIEKKITELQEELALTKAKMGIKNLDLSKLQSHANAINERIKNARDHFGKVASAPWNPP >Potri.001G385300.3.v4.1 pep chromosome:Pop_tri_v4:1:40399480:40405475:1 gene:Potri.001G385300.v4.1 transcript:Potri.001G385300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385300.v4.1 MEIHEHVNLFPNSLPCLRSLIVNRLTGPIPLEIGNITTMEELVLDDNLLGGSLPPELGNLTSLKRLVLSSNNFTGSIPETLGNLKNLTEFMIDGSELSGKIPEFIGNWINIEKLDLQGTSMEGPIPSSISLLTSLKSLRISDLNGSSSTFPNLQAMKNLENLILRNCLITDSIPDYIVNMSSLNILDLSFNKLTGRISNFTNLESRTVFLNNNLLTGEVPNWALNNRKQLDLSYNNFTWSALEGSALGGCQQLKQNLNLVSSHLSNNNTDEWCFTKGLPCTQNPEYRSLFINCGGGSGPFNDDTYEGDLTDGGPVAFSFLPGKWGYSSTGTYMENNSVQTSIAKNDFNLGVTGVYETARLAPQSLKYYALCLPKGKYKVQLHFAEIMYSNDQTYRSLGRRIFDISIQGITLRKNFNIMEKAGGVGIGIIEVFDNIIVNGGTLEIHLYWAGKGTTFVPNRGVHGPLISAITVTPKFKNGSGLSVGAVIGIVAASCVLAALFLLLLRSKGYLGGKELVDKELRGLDLQTGYFTLRQIKHATNNFDTANKIGEGGFGPVYKGVLSDGAVIAVKQLSSKSRQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGREEHQLQLDWQTRRKILLGIAKGLSYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLHERNNLLELVDPRLGSSYSKEEAMKMLNLALLCTNLSPSLRPAMSSVVRMLEGKIPVQAPIINRGSMDQEARFKAFELLSQDSVSTLSQSSQVQSRSISRDGPWVDSSYSLQSNDETKDLYPINAD >Potri.001G385300.1.v4.1 pep chromosome:Pop_tri_v4:1:40395140:40405464:1 gene:Potri.001G385300.v4.1 transcript:Potri.001G385300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385300.v4.1 MALWPSLLSKTLSVLVSGFVVLNCFAVDKFGSHAQVVAPLLPQDEVQTLQNISNKLNISNWATINRTSCGSAQWNQTIDSKTESIVTCNCTFENGSVCHVTSISVKGFNLNGVLPEELGDLPHLLEIDLARNYISGTIPPRLAQLPNLKILSLIVNRLTGPIPLEIGNITTMEELVLDDNLLGGSLPPELGNLTSLKRLVLSSNNFTGSIPETLGNLKNLTEFMIDGSELSGKIPEFIGNWINIEKLDLQGTSMEGPIPSSISLLTSLKSLRISDLNGSSSTFPNLQAMKNLENLILRNCLITDSIPDYIVNMSSLNILDLSFNKLTGRISNFTNLESRTVFLNNNLLTGEVPNWALNNRKQLDLSYNNFTWSALEGSALGGCQQLKQNLNLVSSHLSNNNTDEWCFTKGLPCTQNPEYRSLFINCGGGSGPFNDDTYEGDLTDGGPVAFSFLPGKWGYSSTGTYMENNSVQTSIAKNDFNLGVTGVYETARLAPQSLKYYALCLPKGKYKVQLHFAEIMYSNDQTYRSLGRRIFDISIQGITLRKNFNIMEKAGGVGIGIIEVFDNIIVNGGTLEIHLYWAGKGTTFVPNRGVHGPLISAITVTPKFKNGSGLSVGAVIGIVAASCVLAALFLLLLRSKGYLGGKELVDKELRGLDLQTGYFTLRQIKHATNNFDTANKIGEGGFGPVYKGVLSDGAVIAVKQLSSKSRQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGREEHQLQLDWQTRRKILLGIAKGLSYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLHERNNLLELVDPRLGSSYSKEEAMKMLNLALLCTNLSPSLRPAMSSVVRMLEGKIPVQAPIINRGSMDQEARFKAFELLSQDSVSTLSQSSQVQSRSISRDGPWVDSSYSLQSNDETKDLYPINAD >Potri.003G148000.1.v4.1 pep chromosome:Pop_tri_v4:3:16203155:16211427:1 gene:Potri.003G148000.v4.1 transcript:Potri.003G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148000.v4.1 MELSVKLLYSSALAYYFCLLPFIVLLSTFHLSSAQNATTDPSEVSALNSLFEQWDTQAVGLWNLSGEPCSGSAIDQTDFEDPDNNPAIKCECTQTTCHITQLRVYALNKRGVIPEVLTALKYLTFLKIDQNYFTGPLPAFIGNLSALKGLSIAHNAFSGTIPKELGNLKELTLLSFGVNNFSGTLPPELGNLVNLEELYINSCGLGGEIPSTFANLQRLRVLWASDDSFTGNIPDFIGNWTGLTSLRFQGNSFEGPIPLSFSNLTSLNSLRISDLSNMSSTLDFIKNLKNLTDLNLRNALINGSIPSDIGELQTLNRLDLSFNNLTGQVPSALFRMSSLEYLFLGNNSLSGTLPEQKSDTLQTIDLSYNYLTGTFPSWVASNVQLNLVANNFTFDNSNISVLPGLNCLQRNFPCNRDTPRYANFSIKCGGPEMRTADGTVYEAENSSLSAASFSVTSTEKWAVSNVGLFADRRSPDYVENTLTQVLSTNIPELYQTSRISPGSLRYFGLGLENGPYTVHLLFAETAFADRSSQTWESLGRRVFDIYIQGSRQLKDFDISKEAGGVERSITRIFNVTVSENHLEIHLFWAGKGTCCTPVQGYYGPIISALNVVPGFTPTVSGIPPSTRKEKSRTGMVVGISVSAGVVCLTLIFAVVYIMRKKDSEDEEVFPGMGPGPNTFSYAQLRGATDDFSPSNMLGEGGFGAVYKGLLSDGRAVAVKQLSVASNQGMSQFITEIATISAVQHCNLVKLYGCCIEGNRRLLVYEYLENKSLDKNLFGKDGMHLDWPTRFNICLGTARGLAYLHEESSPRIIHRDVKASNILLDAELCPKISDFGLAKLYYDKKTHISTRVAGTIGYLAPEHAMRGHLTEKADVFGFGVVALEIISGRANSDYSLDDERVYLLEWAWTLYESRQSLLLMDPSVTEFDENEALRVIGVALLCTQASPAMRPTMSRVVAMFTGDIEVSTVTSKPSYLTDMDFKDINGSFSTENTPASASTDDSKSKNKSQHHNSIDLSPGGDQIHSPLNITEPRLSDLIGDGR >Potri.003G148000.4.v4.1 pep chromosome:Pop_tri_v4:3:16205202:16211444:1 gene:Potri.003G148000.v4.1 transcript:Potri.003G148000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148000.v4.1 MSSTLDFIKNLKNLTDLNLRNALINGSIPSDIGELQTLNRLDLSFNNLTGQVPSALFRMSSLEYLFLGNNSLSGTLPEQKSDTLQTIDLSYNYLTGTFPSWVASNVQLNLVANNFTFDNSNISVLPGLNCLQRNFPCNRDTPRYANFSIKCGGPEMRTADGTVYEAENSSLSAASFSVTSTEKWAVSNVGLFADRRSPDYVENTLTQVLSTNIPELYQTSRISPGSLRYFGLGLENGPYTVHLLFAETAFADRSSQTWESLGRRVFDIYIQGSRQLKDFDISKEAGGVERSITRIFNVTVSENHLEIHLFWAGKGTCCTPVQGYYGPIISALNVVPGFTPTVSGIPPSTRKEKSRTGMVVGISVSAGVVCLTLIFAVVYIMRKKDSEDEEVFPGMGPGPNTFSYAQLRGATDDFSPSNMLGEGGFGAVYKGLLSDGRAVAVKQLSVASNQGMSQFITEIATISAVQHCNLVKLYGCCIEGNRRLLVYEYLENKSLDKNLFGKDGMHLDWPTRFNICLGTARGLAYLHEESSPRIIHRDVKASNILLDAELCPKISDFGLAKLYYDKKTHISTRVAGTIGYLAPEHAMRGHLTEKADVFGFGVVALEIISGRANSDYSLDDERVYLLEWAWTLYESRQSLLLMDPSVTEFDENEALRVIGVALLCTQASPAMRPTMSRVVAMFTGDIEVSTVTSKPSYLTDMDFKDINGSFSTENTPASASTDDSKSKNKSQHHNSIDLSPGGDQIHSPLNITEPRLSDLIGDGR >Potri.017G144700.1.v4.1 pep chromosome:Pop_tri_v4:17:14504089:14510131:-1 gene:Potri.017G144700.v4.1 transcript:Potri.017G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144700.v4.1 MATDTAKISQLKSAVANLNQISESEKTGFVNLVSRYLSGEAQQVEWSKIQTPTDEVVVPYDTLAPTPEDPEETKKLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIENLNKKYGCSVPLLLMNSFNTHDDTQKIVEKYSNSNIEIHTFNQSQYPRLVVDDFVPLPSKGHTDKDGWYPPGHGDVFPSLKNSGKLDALLSKGKEYVFVANSDNLGAVVDLKILNHLIRNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDQHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALEMEIIPNPKEVDGVKVLQLETAAGAAIKFFDHAIGINVPRSRFLPVKATSDLLLVQSDLYTLVDGFVIRNPARTIPANPSIDLGPEYKKVANFLSRFKSIPSIIELDSLKVAGDVWFGAGITLKGKVSIVAKSGVKLEIPDGAILQNKEINGPEDL >Potri.017G136950.1.v4.1 pep chromosome:Pop_tri_v4:17:13827626:13834580:1 gene:Potri.017G136950.v4.1 transcript:Potri.017G136950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136950.v4.1 MMALKDFLNKMGSNNVWCEGNGPSPNADLRSGYIMNCGISGLENADVFLLVGTQPRVEAAMVNARIRKTVRGSNAKVAYVGPPTEFNYDCEHLGTGPETLTEIAEGRHPFCSTLSNAKNPAIVVGAGLFERSDKDAIFSAVETIAKNGNVVRPDWNGFNVLLLNAAQAAALDLGLVPESIQSIESAKFVYLMGADDVDLEQLPSDAFVVYQGHHGDRGVYRANVILPASAFSEKEGI >Potri.005G110300.2.v4.1 pep chromosome:Pop_tri_v4:5:8015281:8018694:-1 gene:Potri.005G110300.v4.1 transcript:Potri.005G110300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110300.v4.1 MADHHHHHHQHRPNRLSVPPRTATTTFTSISSATPTKSRPYPYTSTTPIPTPSKHRLSSLPSKSSSNFSFYFLFLLFSLRSLYSLLPFLQSSPSSFNIFPFAFLVCLLSFLLSLSFSFFFSPSSSSKTPLHFRTNPNQPIFSLSSISQSQHRLLFSKSLLLTVVFLIRFQALRYCGTAAMILAELSGSVAAARFVTDRRRKNSNFGVSTHKLPGFISLFVGLFLLSISWDRIDCFPFSIGFIDKYGISVFPRENCFRIWPMVLPFVSGFLGFYERVSMNWGTIRALDQKRVRLISLFYTTIILFIPAAVSFIVFESGNGDGKGVSIASLGWPLANTVVFGVLLSENCSDDGKLVGSKDFRREFFVTFVCTVVLELFYFPELSLWGLLICGFLLYFGVKNLDSIHSHYPELGMDTPPKVFSGFIMKPIRHILSERKSRKIALFLLINTGYMVVEFVAGFMSNSLGLISDACHMLFDCAALTIGLYASYISRLPANNQFNYGRGRFEVLSGYVNAVLLVLVGVLIVLESFERILDPQEISTNSLLTVSIGGLVVNLVGLVFFHEEHHHAHGGSGSCSHSDSHADSHADSHCHHHHHDSHDQEKHDEHASVSHECHEKSLASHDEHHEHHLCDHQSIIDSCKIGSSNSHDPHDNAHDHDHSSHAHHQHEHCDHSPDHRCHDHHHHANPQELQYLDSKSDSSLGKSGSQQRTPVSGQEEPKKHHHHHIDHNMEGIFLHVLADTMGSVGVVLSTLLIKYKGWLVADPACSIFISVLIVSSVIPLLRNSAEILLQRIPRTHEQDLKEAINDTMKIKGLRGIQNLHFWSFTNTDIVGTLHLHVSDKIDTESVKAQVLNTFQDVGIKDLTVQVERGK >Potri.007G049200.1.v4.1 pep chromosome:Pop_tri_v4:7:4653028:4654217:1 gene:Potri.007G049200.v4.1 transcript:Potri.007G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049200.v4.1 MKHGFTSFKSTDIVWYSGECLFCNPNVEQYCEKRILTCNATDRDGRTTQGVYSSAMVVHQKFVVRIPDKLYPQQAAPLLCAGVTTYSPSKQFCSYSKVIKAGILGLGGVGHVGVLIAKAMGHHVIFLSPSYKKMEEALEHLGADVFLASSNATEMEKAANTLDYILDAVPVFEGKLIVVGAVPKPLQFIASDLITKSISGSLVGIIIERVEMDSVNKAFERMERNGARHRFVLDVAGSNLRMIQPLFSSSNYHFLGHSMR >Potri.003G070750.1.v4.1 pep chromosome:Pop_tri_v4:3:9796629:9797583:-1 gene:Potri.003G070750.v4.1 transcript:Potri.003G070750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070750.v4.1 MARPVINECDSPTSLKAKLKSSFCCFPNMEMINQREMLHQDHDQEGSNRMKLQPQTPRSPYAWLKSTAHDLEFKYKYRCFIGKRGKNRKRHCSEEFRYDPESYSLNFEDDVHKEDELPLKSRLPATPERLVAAPPPVRRTELQMRS >Potri.003G101200.1.v4.1 pep chromosome:Pop_tri_v4:3:12607417:12611143:-1 gene:Potri.003G101200.v4.1 transcript:Potri.003G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101200.v4.1 MADKEAEKQVMIEEDKEKWLKHYSSNHQILLVGDGDFSFSLSLALSFGSGSNIVASSLDTSDVLIKKYKKAKSNLENLAKLKASTLHGVDATKMKLHPDLRMRKFDRIIFNFPHAGFHGKEDNIKLIEKHRNLVRGFFRNAKSMLRADGEIHVNHKTTAPFCHWNIEELARRNSLVLIERVEFKIEDYPGYNNKRGDSNRCDEPFPLGECSTFKFRFSHAAKMSKATSHLGFAGKRCPQLHDNPIKMYHQPSLFNHMHPQPTVFNYKHRQPTLFKHTHPQPILFEHKCPQPTSFNRMHPQPALFNQVYSQTSLATNMNGFPGYLQSTSTISIEKADDFNGYHNHTLEPHGRTVNHVDCSYNDYKIYMPEAPGRTLEGDLYVSPELQHLSNMRSALWRRLVLTHGQHPEASTVV >Potri.003G101200.2.v4.1 pep chromosome:Pop_tri_v4:3:12607420:12611071:-1 gene:Potri.003G101200.v4.1 transcript:Potri.003G101200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101200.v4.1 MKLHPDLRMRKFDRIIFNFPHAGFHGKEDNIKLIEKHRNLVRGFFRNAKSMLRADGEIHVNHKTTAPFCHWNIEELARRNSLVLIERVEFKIEDYPGYNNKRGDSNRCDEPFPLGECSTFKFRFSHAAKMSKATSHLGFAGKRCPQLHDNPIKMYHQPSLFNHMHPQPTVFNYKHRQPTLFKHTHPQPILFEHKCPQPTSFNRMHPQPALFNQVYSQTSLATNMNGFPGYLQSTSTISIEKADDFNGYHNHTLEPHGRTVNHVDCSYNDYKIYMPEAPGRTLEGDLYVSPELQHLSNMRSALWRRLVLTHGQHPEASTVV >Potri.008G037800.1.v4.1 pep chromosome:Pop_tri_v4:8:2070267:2078781:-1 gene:Potri.008G037800.v4.1 transcript:Potri.008G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037800.v4.1 MGNVEIPKWLKGLPLAPEFRPTDTEFADPVAYISKIEKEASAFGICKIIPPLPKPSKRYVFSNLNRSLSKCPELGDDVDLSNVCSSSNGGLRDGGNDGENRAVFTTRQQELGQCVKKAKGMVKENLQSGVHKQVWQSGEAYTLEQFESKSKAFARSLLGMLKEVNPLVIEALFWKAASEKPIYVEYANDVPGSGFGEPESHSRYFPRRRRKRASYQSYRRSRESPVCSTNDMDDVKNSHNDEVKGVSIKNVPSLCLETTPRSSMASLTSFAEDNLMYSKQKSVTATNDMEGTAGWKLSNSPWNLQVIARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNFLHTGSPKTWYAVPGDYVFSFEEVIRTEAYGGNIDRLAALSLLGEKTTLLSPKAIISSGIPCCRLVQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLKVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGARSSRLRDRQREERELSVKEAFLEDMLKENDILSAFLEKNSTCHAVIWNPDLLPCASKESHLLNITSTITTTPKQNASHNNFDVNRNCNENDLFKEMSLYMETLDDLYMEEDDLSCDFQVDSGTLACVACGILGFPFMSVLQPHEKASIELMPGEEPRVTRIDNVQPSLDSDSTGKGSVSDDHGPVKDYSVPLKDLPMPTGWNTSHKFLRPRIFCLEHGVQIEELLQSKGGANLLIICHSDYQKIKAHAYAIAEEIESPFNYNEVPLEAALKEDLNLINLAIDDEDHHECGEDWTSKLGINLRYCVKIRKNSPSKKVQHALALGGLFSDRSLTDFLNIKWQSRRSRSRIKLNQPFHPKPCKIIEPDKDEMSGNKSDGLTVKKEEKLVQYTRRKYKVKIDYSTNGLEGCSRRCFAEEVSGASGDDPDKYTEQTTVIYPCNIGITRSGSAGFGFSPIEDPEMLHEVKVLEAAGGLTLNSAPSQDACSVLTATVAVKSVGGQIEDQLLKESKNARNICNVKASGTSEIEHQINASGGTSEKQDFYATKCCSPFITVANERFEMQREDQVLGNVNMGETCNMVSEGQQRVLDDGDASVDEVSDLANVASLHVSPPPIGLRADVVVENSFINNEVSPPVTLDDEVKKELVTKNRTNGDQCSSSDDTLMNQPTTSLDERCGHEQETRAVQNKTQKEAEIKNGSNDEIVPSNVISVTNLVPIDESSEFHRELHATVNLCNGMAFENGKQLVFQTTNDSNKELISCSVAQMEINSSTASSEFSKLHRQAYAENDLCSGSTLDTIVPPEIPTTDIRTVEELASNSATINQELSEASKEICAIQDSYACMDLEPEVEKEIHSSDGVTRDSEVQKIHQGTSLINEDIHVSARVILVNQPPTPSPVIKCSNIDDKSCVGESMVTCNKFFSSQEIESIESAVVDSRPTAGKGRKRKGEVEQLTENKFDSNGFIRSPCEGLRPRAGKDVGKSAEENPIPKRLKKPSNVSVSRSKRKEITQRSYKCDLEGCRMRFETKAELQLHKGNRCTYDGCGKKFSSHKYAIVHQRVHEDDRPLKCPWKGCTMSFKWAWARIEHIRVHTGEKPYQCKVDGCGLSFRFVSDFSRHRRKTGHYLNTPD >Potri.008G037800.3.v4.1 pep chromosome:Pop_tri_v4:8:2070050:2074847:-1 gene:Potri.008G037800.v4.1 transcript:Potri.008G037800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037800.v4.1 MSLYMETLDDLYMEEDDLSCDFQVDSGTLACVACGILGFPFMSVLQPHEKASIELMPGEEPRVTRIDNVQPSLDSDSTGKGSVSDDHGPVKDYSVPLKDLPMPTGWNTSHKFLRPRIFCLEHGVQIEELLQSKGGANLLIICHSDYQKIKAHAYAIAEEIESPFNYNEVPLEAALKEDLNLINLAIDDEDHHECGEDWTSKLGINLRYCVKIRKNSPSKKVQHALALGGLFSDRSLTDFLNIKWQSRRSRSRIKLNQPFHPKPCKIIEPDKDEMSGNKSDGLTVKKEEKLVQYTRRKYKVKIDYSTNGLEGCSRRCFAEEVSGASGDDPDKYTEQTTVIYPCNIGITRSGSAGFGFSPIEDPEMLHEVKVLEAAGGLTLNSAPSQDACSVLTATVAVKSVGGQIEDQLLKESKNARNICNVKASGTSEIEHQINASGGTSEKQDFYATKCCSPFITVANERFEMQREDQVLGNVNMGETCNMVSEGQQRVLDDGDASVDEVSDLANVASLHVSPPPIGLRADVVVENSFINNEVSPPVTLDDEVKKELVTKNRTNGDQCSSSDDTLMNQPTTSLDERCGHEQETRAVQNKTQKEAEIKNGSNDEIVPSNVISVTNLVPIDESSEFHRELHATVNLCNGMAFENGKQLVFQTTNDSNKELISCSVAQMEINSSTASSEFSKLHRQAYAENDLCSGSTLDTIVPPEIPTTDIRTVEELASNSATINQELSEASKEICAIQDSYACMDLEPEVEKEIHSSDGVTRDSEVQKIHQGTSLINEDIHVSARVILVNQPPTPSPVIKCSNIDDKSCVGESMVTCNKFFSSQEIESIESAVVDSRPTAGKGRKRKGEVEQLTENKFDSNGFIRSPCEGLRPRAGKDVGKSAEENPIPKRLKKPSNVSVSRSKRKEITQRSYKCDLEGCRMRFETKAELQLHKGNRCTYDGCGKKFSSHKYAIVHQRVHEDDRPLKCPWKGCTMSFKWAWARIEHIRVHTGEKPYQCKVDGCGLSFRFVSDFSRHRRKTGHYLNTPD >Potri.018G002600.1.v4.1 pep chromosome:Pop_tri_v4:18:183086:186016:-1 gene:Potri.018G002600.v4.1 transcript:Potri.018G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002600.v4.1 MAHKVACWHLMMLLFYFLVTASQFFVTSHGASTDAEILVNFKNSLSTNSLLYDWNASGIPPCTGGTDNWVGLRCNNDSTIDKLLLENMGLKGTIDIDILMQLPTLRTLSFMNNSFEGPMPEVKKLSSLRNLYLSNNNFSGKIDKDAFDGMSSLKEVYLAHNEFTGEIPRSLVLVQKLTKLSLEGNQFDGNLPDFPQENLTVFNAAGNNFKGQIPTSLADFSPSSFAGNQGLCGKPLPACKSSRKKTVVIIVVVVVSVVALSAIVVFACIRSRQNKTLKFKDTKKKFGDDKKEAQSSDQFGDGKMGDSGQNLHFVRYDRNRFDLQDLLRASAEVLGSGTFGSSYKAVLLDGPAMVVKRFRHMSNVGKEGFHEHMRKLGTLSHPNLLPLVAYYYRKEEKLLVSDFVGNGSLASHLHGKRSPGKPWIDWPTRLRIIKGVAKGLAYLYKEFPTLALPHGHLKSSNVLLDDTFEPLLTDYALVPVVNKDHSQQVMVAYKSPECSQSDRPNRKTDVWSLGILILEILTGKFPENYLTQGKGGDADLATWVNSVVREEWTGEVFDMDMMRTKNCEGEMLKLLKIGMCCCEWNLERRWDLKVAVAKIEELKERDNDNDDFSNSYASEGEVYSSRAVTDDDFSFSVNG >Potri.002G015400.2.v4.1 pep chromosome:Pop_tri_v4:2:932241:940421:-1 gene:Potri.002G015400.v4.1 transcript:Potri.002G015400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015400.v4.1 MGDTESCSSRAVDFVPRNQRQKLDVFNDVLCHLKESNDKEATRPGFENELWTHFCRLPARYAMDVNAERAQDVIMHKRLLQMARNPATRPAIEVRLVQVPSAYNGHSGDSVDSDPPRKLQLQYFDYLEKQSIHPPPAFGSLTDFELLHKNQNDDITAFTRLMHEITISTNDKPKLLSQLTSLLSEIGLNIQEAHAFSTVDGYSLDVFVVNNWEPEDTERLRSMLVKEIPKIEKNAVYPVAEQDQRGIRLVSSHMNVPADSIDVWEIDAHRLLFERKIATGSSGDLYKGTFCSQDVAIKVLRGEHLDDKLQSEFVQEVSIMRKVRHKNVVQFIGSCTRPPSLCIVTEFMSGGSMYDFLHKQKGSLNLQSLLRVAIDVSKGMHCLNQNHIIHRDLKSANILMDENGVVKVADFGVARVQDQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEQLSPLQAAVGVVQQGLRPSIPSHSHPKLVGLLKRCWQRDPFLRPEFSEILELLQQLERTVADERDDKQKGKSPRRAVIAN >Potri.012G113400.4.v4.1 pep chromosome:Pop_tri_v4:12:13291072:13294750:1 gene:Potri.012G113400.v4.1 transcript:Potri.012G113400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113400.v4.1 MASSKETDNNPDTPSSPKKIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEHVKFIMYPHCLYFLELLQNANFRNAMAHPGNKELAHRQQFFFWKNYRNNRLKHILPRPLPEPVTAPPALAPPPQTPVQPVPPVSAATLGMPAAPGAVPLPMPPGSAFGKSDIRNSGSDRRKRKKEV >Potri.012G113400.1.v4.1 pep chromosome:Pop_tri_v4:12:13291072:13294750:1 gene:Potri.012G113400.v4.1 transcript:Potri.012G113400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113400.v4.1 MASSKETDNNPDTPSSPKKIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEHVKFIMYPHCLYFLELLQNANFRNAMAHPGNKELAHRQQFFFWKNYRNNRLKHILPRPLPEPVTAPPALAPPPQTPVQPVPPVSAATLGMPAAPGAVPLPMPPGSAFGKSDIRNSGSDRRKRKKEV >Potri.012G113400.5.v4.1 pep chromosome:Pop_tri_v4:12:13291072:13294750:1 gene:Potri.012G113400.v4.1 transcript:Potri.012G113400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113400.v4.1 MASSKETDNNPDTPSSPKKIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLQYWQRPEHVKFIMYPHCLYFLELLQNANFRNAMAHPGNKELAHRQQFFFWKNYRNNRLKHILPRPLPEPVTAPPALAPPPQTPVQPVPPVSAATLGMPAAPGAVPLPMPPGSAFGKSDIRNSGSDRRKRKKEV >Potri.006G195400.1.v4.1 pep chromosome:Pop_tri_v4:6:20376872:20378602:1 gene:Potri.006G195400.v4.1 transcript:Potri.006G195400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195400.v4.1 MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDNVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEINKQVGNRIIRKRIHVRVEHLLPSRCTEEFRLRKKKNDQLKAEAKARGEVISTKRQPQGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Potri.001G206032.1.v4.1 pep chromosome:Pop_tri_v4:1:20991273:20994172:-1 gene:Potri.001G206032.v4.1 transcript:Potri.001G206032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206032.v4.1 MSLSLIQGDSSVEEEEAAATDEEEEEEDYQKLSEEEGHSSSRLKISYKAPSNGSSSSILPSAIFQGRSSFLVILWESMVLELIIIRISKGSTKRYGEITIINRRTRRKGRHNFLLCVVRNVGAVVELKPLLVGIHERVRSDIVAHEPPSSVPSTIEEGGKRVPTATNPSAEDAAELLSTQDIL >Potri.001G206032.3.v4.1 pep chromosome:Pop_tri_v4:1:20991273:20994069:-1 gene:Potri.001G206032.v4.1 transcript:Potri.001G206032.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206032.v4.1 MSLSLIQGDSSVEEEEAAATDEEEEEEDYQKLSEEEGHSSSRLKISYKAPSNGSSSSILPSAIFQGRSSFLVILWESMVLELIIIRISKGSTKRYGEITIINRRTRRKGRHNFLLCVVRNVGAVVELKPLLVGIHERVRSDIVAHEPPSSVPSTIEEGGKRVPTATNPSAEDAAELLRHSLVHVEWSVLFVVIAHPMTLATNPRRRDLLSKTRKKVRG >Potri.001G206032.2.v4.1 pep chromosome:Pop_tri_v4:1:20991273:20994172:-1 gene:Potri.001G206032.v4.1 transcript:Potri.001G206032.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206032.v4.1 MDPPLPFFPLLCTLSPKFQGRSSFLVILWESMVLELIIIRISKGSTKRYGEITIINRRTRRKGRHNFLLCVVRNVGAVVELKPLLVGIHERVRSDIVAHEPPSSVPSTIEEGGKRVPTATNPSAEDAAELLSTQDIL >Potri.003G031400.1.v4.1 pep chromosome:Pop_tri_v4:3:3523854:3527129:1 gene:Potri.003G031400.v4.1 transcript:Potri.003G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031400.v4.1 MAEAGCSNERLTNGELNEKEKELDDGTEEKKEFVAPAVGMEFESYDDAYNYYNCYAKEVGFRVRVKNSWFKRNSREKYGAVLCCSSQGFKRIKDVNRLRKETRTGCPAMVRMRLADSKRWRVLEVMLEHNHSLGAKIYRPVKKVSTGNKRKSLSSNSDAEGRTIKLYRALVIDSEGNGNSSLNARDVMNFSELPDQLNLKRGDAQAIYNYFCRMQLTNPNFFYLMDLNDEGHLRNVFWVDARSRASCGYFGDVVYIDNTYLSSKFEIPLVAFVGTNHHSQSVLLGCGLLAGETTESYIWLFKAWITCMSGCSPQTIITDRCRTLQTAIAEAFPRAHHCFGLSHIMKRVPEKLGGLRHYDAIKKAFMKAVYETLKVIEFEVAWGFMVQRFGVGDHEWLQSLYEDRVRWAPVYLKDTVFAGMSASRSGEILNPFFERYVHKQTPLKEFLDKYELALQKKHKEETIADIESRSVGPALKTRCSFELQLSKLYSKEIFKKFQFEVEEMYSCFSTTQIHVDGPIIIFLVKERVLGESNRREIRDFEVLYNRSAGEVRCICSCFNFYGYLCRHALCVLNFNGVEEIPCKYILPRWKKDYKRLYIPDHSSNDVDSTDHMQWFNQLYRSALQVVEEGVISLEHYSVALEAFEESQNRVREVEEKQA >Potri.001G084300.1.v4.1 pep chromosome:Pop_tri_v4:1:6739265:6742967:1 gene:Potri.001G084300.v4.1 transcript:Potri.001G084300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084300.v4.1 MLMQQKPLMKALRRSHTPSSSSSSPSNSSSPSSSSSWIHLRSILLVVASSSSSSSSSSSSPVSTNRGGLKSPWSRRRRKQALLPKQWKSLSMPDGKLCDGGVKFLKKARSGGIDPSLRPEVWPFLLGIYDVNSSKEERDCIRDQKRKEYENLRKQCRRKLKRNDRSLKAKEAAEISSAEVSGDLSQVMDSPRLEDVASSRRSPSAEQGNLAAEDSDCPDQAPQDSDSILEGDGGSVVTYEDGLAGDTESSDSDSSEEPEIAESFLATEFTGENDFHLPSWGNSSPSETESKLKLQKDEDFATWQRIMRVDAVRANGEWIMYSPSQAAVPEMKARRLAESVGLQDYDHLEPNRIFHAARLVTILEAYALYDPEIGYCQGMSDLLSPIIAVMEEDFLAFWCFVGFMKKARHNFRLDEVGIRRQLGIVSKIIKCKDSHLYKHLEKLQAEDCFFVYRMVVVLFRRELNLDQTLCLWEVMWADQAAIRAGIARSAWGRMRLRAPPSDDLLLYAIAACVLQRRKLIIEKYSSMDEIMRECNSMAGQLDVWKLLDDAHDLVVNLHDKI >Potri.011G106100.1.v4.1 pep chromosome:Pop_tri_v4:11:13458660:13463607:1 gene:Potri.011G106100.v4.1 transcript:Potri.011G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106100.v4.1 MAMLCASSSFTTSTKPSSSYSTKNGTSISPSPFVVGFSFSQSWFSLSNSRRGFEVRCEKKDKSVATRVPLDQRWLFEESEINGPDIWNTTWYPKAADHINTEKTWYIVDATDKILGRLASTIAIYVRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYTGPIHPHEAQKPIELPIRDKRIQMER >Potri.012G112301.2.v4.1 pep chromosome:Pop_tri_v4:12:13161353:13166331:-1 gene:Potri.012G112301.v4.1 transcript:Potri.012G112301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G112301.v4.1 MFFLPSLSFFFLHPTQCFLAFQVLQQLVCCDGQRVASITRSRQCTRKADALTVTAKFELKPPPYPMDDLEPHMSKDTFEYHRGKHHRAYVDNLNKQIDGTERDDMSLDDVVLVTYNKGGPLPAFNNAAQAWNHEFFLESMKPGGGGKASGELLHLIERDFGSFDRFVQEFKSAAATQFGSGWAWLVSTPYN >Potri.018G029500.1.v4.1 pep chromosome:Pop_tri_v4:18:2186784:2189098:-1 gene:Potri.018G029500.v4.1 transcript:Potri.018G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029500.v4.1 MQEQTTSSIPANSLPSSSERSSSSAPQLEVKEGMESDEEIRRVPEMSGEPAGTSASGRETGSNAGPDRVQPTGEGQSQRKRGRSPADKENKRLKRLLRNRVSAQQARERKKAYLTELETRVKDLEKKNSELEERLSTLQNENQMLRQILKNTTASRKGGSSGTNADGS >Potri.010G080200.1.v4.1 pep chromosome:Pop_tri_v4:10:10707860:10710039:1 gene:Potri.010G080200.v4.1 transcript:Potri.010G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080200.v4.1 MADEKKQNLENKPALSPPLPERKKNTLPNFLLSVQLKYVKLGYHYLVSNAMYLMLMPALCVIFAHLSTFTVDELWNQLKFNFVTVVLSSTSIVFTATLYFMSRPRKVYLVDFSCYKPGPAHKASRELFMQLSAASEVFTEQSLAFQKKILEKSGYGEMTYAPKGLMRVPPDQSMAESWRESEMVMFGAIDDLLAKTRVKPRDIGILVVNSSLFNPTPSLSARVVNHYKLRGNILSYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVVSTENITRNWYFGNDRSMLVTNCLFRMGAAAVLLSNRTFDRRRSKYQLIRTVRTHKGADDKSFNCVLQREDLDTQRVGVSLSKDLMAIAGEALETNITTLGPLVLPVSEQLLFFVTLVAKKIFKMKVKPYIPDFKLAFEHFCIHAGGRGVLDEIEKNLELTEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRIWQIGFGSGFKCNSAVWRAIRAIEPAKEKNPWMDEIDDFPVRVPRVAPLVY >Potri.019G016900.1.v4.1 pep chromosome:Pop_tri_v4:19:2745073:2749321:-1 gene:Potri.019G016900.v4.1 transcript:Potri.019G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016900.v4.1 MENTNQQNNSRGKADFPPSLWGCSFASFSFPQTEFESYSRQVEELKENVKDMLMASKKDPVEHIEFINLLCRLGVSYHFDKEIENNLKEIFDDLPNLLEKHDFDLYTLSLLFRVLRQHGFKMPCVVFDKFKDNNGEFKKTIINDVKGILSLYEASFLSVHGEQVLDEALVLTKTNLESLAMQSSPRLAHHIRYALIRPFHKGVPRIEARKYISFYEEEESRNDTLLKFAKIDFNRVQLLHRQELSILSRWWNDLNFSEEFPYARDRIVEIYFWANAIHFEPQYAFSRMMVTKYTKIVSLLDDTYDAYASFEEIQHFSDAIERCCMDAIDQLPAEYLKVLYRALLNLFNETESDMGKQGRSYASYYVKEAFKELTRGYQVEAQWADVGHVPPFDEYVPNGLETTGYGVIMAASFVEMDEVAGEEEYKWLKSNPQIMKAAKMIGRLMNDIVGHEDEQKRGDCASGVECYMKQYDVSDKKAIEEIQKMVANGWKDINEDCMRPTNAPMLLLQHIVNLARVTDVVYGDDDDAYTIPLSLKDYVTLLYVEQVPMCE >Potri.003G019300.1.v4.1 pep chromosome:Pop_tri_v4:3:2008653:2011599:1 gene:Potri.003G019300.v4.1 transcript:Potri.003G019300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019300.v4.1 MAARGKMVLGCILGVLICSSNLFTVSQGVWLNLPPTGTKCVSEEIHNNVVVLSDYVVVSDDHSHIPTISVKVTSPYGNTLHQTENVTHGQFAFTTHEAGNYLACFWVDGHVQGDVSVNIDWKTGIAAKDWDSVARKEKIEGVELELRKLEGAVEAIHENLLYLKTREAEMRSVSETTNTRIALFSVMSLGVCIAVSALQVWHLKHYFQKKKLI >Potri.003G019300.2.v4.1 pep chromosome:Pop_tri_v4:3:2008658:2011165:1 gene:Potri.003G019300.v4.1 transcript:Potri.003G019300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019300.v4.1 MAARGKMVLGCILGVLICSSNLFTVSQGVWLNLPPTGTKCVSEEIHNNVVVLSDYVVVSDDHSHIPTISVKVTSPYGNTLHQTENVTHGQFAFTTHEAGNYLACFWVDGHVQGDVSVNIDWKTGIAAKDWDSVARKEKIEGVELELRKLEGAVEAIHENLLYLKTREAEMRSVSETTNTRIALFSVMSLGVCIAVSALQVWHLKHYFQKKKLI >Potri.006G065100.1.v4.1 pep chromosome:Pop_tri_v4:6:4659658:4668268:1 gene:Potri.006G065100.v4.1 transcript:Potri.006G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065100.v4.1 MSGGTQKSLRKALGALKDTTTVSLAKVNSDYKELDVSIVKATNHYERPARERHIRAIFAAVSATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDPTFYEEIINYGRTRSHMLNMAHFKDDSSPNAWDYSAWVRAYALFLEERLECFRVLKYDVEMDRPRTKDLDTVEILEQLPALQQLLFRVLGCQPQGAAVNNFVIQLALQLVSSESIRVYQAITDGTANLVDKFFEMTRLDALKALEIYRRACQQAERLSEFYEICKSMDIGRGERFIKIEQPPSSFLQTMEEYVRDAPRMSIARKDQFVDNKIAAPKEILAIEYKKEPGVEEECPPSPPPPEPVKVEEPVAQPPDLLGLGDPLPVASELDEKNALALAIVPVAEQQSTAIPSHANGTTGWELALVTAPSSNESAAAASKLAGGLDKLTLDSLYDDAIRRSNQPVSYNPWEPAPMANPMMQTAVHDPFFASNMVAAPHSVQMAQMASQQQAFMLQQQQQQQQQMMMMMGQQQQPSNPFDNPYGSSVHPYGSGRPPVQAYNPYSGLI >Potri.004G089450.1.v4.1 pep chromosome:Pop_tri_v4:4:7610522:7611203:1 gene:Potri.004G089450.v4.1 transcript:Potri.004G089450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G089450.v4.1 MKQHIEFLSVQKKKKNKGNVNLQMSIFLLPGKTADSPCEMSVLQGGEEIKSRRIRVYSEGLRK >Potri.010G226901.1.v4.1 pep chromosome:Pop_tri_v4:10:21035063:21035266:1 gene:Potri.010G226901.v4.1 transcript:Potri.010G226901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226901.v4.1 MRDCRYIATIDGAVSKRSAISEGTVIDSVHCTPDSVELLPQQPDISRPRLCIMVCILFLFSQLELFS >Potri.017G096900.3.v4.1 pep chromosome:Pop_tri_v4:17:10787354:10792640:1 gene:Potri.017G096900.v4.1 transcript:Potri.017G096900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096900.v4.1 MGNLCTCFSPKTPVKNKKPTKRLQGNPQTAPNSSNRWTRIRSTRKDNHDELIHEHALAAAILFRQHQQQNGSASGSFPFDRSVSLRHPNGSGSKKSQLPRSSSSRARSLTDPLLQPHQLVNQDIKLDDLETNHFVLVHGGGFGAWCWYKTIALLEEGGFKVTAVDLTGSGIHSFDTNGITSLSQYVKPLTDFLDKLVDGEKTILVGHDFGGACISYAMELFPHKVSKAIFVAAAMLTNGQSTLDMFSQKAAGSSDLMQQAQIFVYANGNNNPPTAINLDKSILRDLLFNQSPGKVCLHL >Potri.017G096900.2.v4.1 pep chromosome:Pop_tri_v4:17:10787354:10792640:1 gene:Potri.017G096900.v4.1 transcript:Potri.017G096900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096900.v4.1 MGNLCTCFSPKTPVKNKKPTKRLQGNPQTAPNSSNRWTRIRSTRKDNHDELIHEHALAAAILFRQHQQQNGSASGSFPFDRSVSLRHPNGSGSKKSQLPRSSSSRARSLTDPLLQPHQLVNQDIKLDDLETNHFVLVHGGGFGAWCWYKTIALLEEGGFKVTAVDLTGSGIHSFDTNGITSLSQYVKPLTDFLDKLVDGEKTILVGHDFGGACISYAMELFPHKVSKAIFVAAAMLTNGQSTLDMFSQKAAGSSDLMQQAQIFVYANGNNNPPTAINLDKSILRDLLFNQSPGKVFKNEASC >Potri.017G096900.1.v4.1 pep chromosome:Pop_tri_v4:17:10787354:10792640:1 gene:Potri.017G096900.v4.1 transcript:Potri.017G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096900.v4.1 MGNLCTCFSPKTPVKNKKPTKRLQGNPQTAPNSSNRWTRIRSTRKDNHDELIHEHALAAAILFRQHQQQNGSASGSFPFDRSVSLRHPNGSGSKKSQLPRSSSSRARSLTDPLLQPHQLVNQDIKLDDLETNHFVLVHGGGFGAWCWYKTIALLEEGGFKVTAVDLTGSGIHSFDTNGITSLSQYVKPLTDFLDKLVDGEKTILVGHDFGGACISYAMELFPHKVSKAIFVAAAMLTNGQSTLDMFSQKAAGSSDLMQQAQIFVYANGNNNPPTAINLDKSILRDLLFNQSPGKDVALASVSIRPIPFPPVLEKLSLSDLKYGTVRRFYIETPEDNAIPITLQESMINSSPPEKVFRLKGADHSPFFSKPQALHKLLVEISKIPST >Potri.004G110800.1.v4.1 pep chromosome:Pop_tri_v4:4:10071694:10072059:-1 gene:Potri.004G110800.v4.1 transcript:Potri.004G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110800.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEDCHKKVTKRCAIEISNSIYTDKTASEYCCQKHVTTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.003G077000.1.v4.1 pep chromosome:Pop_tri_v4:3:10435577:10439536:-1 gene:Potri.003G077000.v4.1 transcript:Potri.003G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077000.v4.1 MADQHDDLEQLLDSALDDFQNLNLAPASQRSGDGNGENSNQKEKQTCLPSLPTGVQGLGMGLPDLKSKKKGKQKVSKESHVEEALDKLREQTREAVKGLESVTAASKPDDSSQDAFMDDWVKQFEELAGSQDMESIVETMMQQLLSKEILHEPMKEIGERYPKWLEEHKASLSKEDHERYSHQYELIKDLNDVYENDPNNHTKIFDLMQKMQECGQPPNDIVQELAPDIDFANLGQLSPEMLESQGNCCIM >Potri.013G017600.2.v4.1 pep chromosome:Pop_tri_v4:13:1104621:1107068:1 gene:Potri.013G017600.v4.1 transcript:Potri.013G017600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017600.v4.1 MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDASGHYTGQFTTFALCGFVRAQGDADSAVDRLWQKKKTELRQQ >Potri.013G017600.5.v4.1 pep chromosome:Pop_tri_v4:13:1104621:1107068:1 gene:Potri.013G017600.v4.1 transcript:Potri.013G017600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017600.v4.1 MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDASGHYTGQFTTFALCGFVRAQGDADSAVDRLWQKKKTELRQQ >Potri.003G082100.2.v4.1 pep chromosome:Pop_tri_v4:3:10895598:10897945:1 gene:Potri.003G082100.v4.1 transcript:Potri.003G082100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082100.v4.1 MAMSQISSEFVHKVQIEGVQTVAPSKVTDPRETCLVSVKDPVSSDIFRGCLSIVLCYNKAVEEDSGWLVAGWIKESLGRALQDQPMLSGRLRRVEDGNGELEMVSNDTGVRLVEAKITMTLQEFLGLEENEKAEAELVSWMDIDEQNPQFSPLLYVQVTNFQCGGYSIGISSSLLLADHLIMDNFLPRWSGIQKKLLLNNNALEKPIFYLPNLRNTSLSPSNTTRSTPSKQSGQTTIFKIAGDSEIEGVQNELCKRAVSHSIQEAEHKQGSEMSSEFSLFVKESPKVKRVENCKKNELVKPNLNFRCQVITLDDLGIKELAFHDGNEPTHVSCWIGSAVAMAIPSSTENTSDVSVIVTIPAGN >Potri.006G258700.2.v4.1 pep chromosome:Pop_tri_v4:6:25528000:25532952:-1 gene:Potri.006G258700.v4.1 transcript:Potri.006G258700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258700.v4.1 MSCHSLLSFTLLLLTFVFFTSSTSIPFSALPPAVARRENEAKYEVEFSWGARRSVVEAPISEPVEDSPVLVLAPKRTYRKDPLNDFKRYTGGWNISDRHYWASVGFTAAPLFAIAAIWFLVFGLCLLCGCLCHFCCKRQSYGYSRTAYAISLVFLILFSICAIVGCVVLYAAQERFHKSTTETLEYVVNQADTTVNKLKIVSDFIASAKLIGVDQVFLPSNVQTDIDQIGIRINSSANVLADKTVDNSDDIRDLLDSVRMALITAAAIMLLLTFLGFLFSIFGMQFLVYILVIVGWIIVAGTFIFCGTFLLLHNVAGDTCAAMDHWVHSPTAHTALDDILPCVDKATTQDTLLKSKEITTQLVEVVNQVITNVSNLNFSPNFKPVYINQSGPLVPILCNPFYANLTIRPCSAGEVDLTNATQVWSSYVCQVSPTGICTTTGRLTPTFYSQMSAAVNVSYGLNNYAPFLVDLGDCTFAKETFEDICRDHCPSLRRYSRWIYIGLVMVSTAVMLSLIFWVIYGRERRHRVYSKQLVSESAQGPEREKNS >Potri.006G258700.10.v4.1 pep chromosome:Pop_tri_v4:6:25528020:25532917:-1 gene:Potri.006G258700.v4.1 transcript:Potri.006G258700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258700.v4.1 MLLSILHKHKHAHTGMHALTHASIFCRETNVEFFGYSVGCVVLYAAQERFHKSTTETLEYVVNQADTTVNKLKIVSDFIASAKLIGVDQVFLPSNVQTDIDQIGIRINSSANVLADKTVDNSDDIRDLLDSVRMALITAAAIMLLLTFLGFLFSIFGMQFLVYILVIVGWIIVAGTFIFCGTFLLLHNVAGDTCAAMDHWVHSPTAHTALDDILPCVDKATTQDTLLKSKEITTQLVEVVNQVITNVSNLNFSPNFKPVYINQSGPLVPILCNPFYANLTIRPCSAGEVDLTNATQVWSSYVCQVSPTGICTTTGRLTPTFYSQMSAAVNVSYGLNNYAPFLVDLGDCTFAKETFEDICRDHCPSLRRYSRWIYIGLVMVSTAVMLSLIFWVIYGRERRHRVYSKQLVSESAQGPEREKNS >Potri.012G136500.1.v4.1 pep chromosome:Pop_tri_v4:12:15049982:15050541:-1 gene:Potri.012G136500.v4.1 transcript:Potri.012G136500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136500.v4.1 MKGNQYGNDSNYWDTLESRLFERFLADPDRSSDSYRHYGTYSQYESYEDDGNIASYYRGSYENRNQGQRSGQAAGGSWLDNEVMARQLQEMSIYGEDSGSTTS >Potri.003G115900.3.v4.1 pep chromosome:Pop_tri_v4:3:13784999:13790171:-1 gene:Potri.003G115900.v4.1 transcript:Potri.003G115900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115900.v4.1 MATSNTTTTPPPQHQQVDTATAEEITAKAAHKRYEGLMMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSVPKPISSISPNTALLPSPSSSGGVGGGATTVVHGSSNRKRPVVSSSGLSGSGGVASSAYPVTAVGSTYQVSPLAIVDPSRFSGEMAMLPQQPHLMLSGGKEDLGALAMLEDSVKRLKSPKTSPGQALSKTQIDCALDYLADWVYESCGSVSFSSLEHPKFRAFLNQVGLPVVSRRDFVGGRLDVKYEEARAESEARIRDAMFFQIASDGWKLKSNGSSGDVNFVNLTVNLPNGTSLYRRAVFASGSVPSKYAEEVFWETITGICGSLVQQCVGIVADRFKAKALRSLENQNHWMINLSCQLQGFTSLIKDFSKELPLFRTVSENCFKLASFVNNKTPVRNSFHKYQLQEYGNAGLLRMPLREYEKMDFGPVYTMLEDVLSSARALQLVLHDESYKIVSMEDPTAREVAEMIGDVRFWNDMDAVHSLVKLIKEMAQEIEAERPLVGQCLPLWDELRAKVKAWCSKFHIAEGAVEKVIETRFKKNYHPAWAAAYILDPLYLLRDNSGKYLPPFKCLTSEQEKDVDKLITRLVSREEAHIVLMELMKWRTEGLDPVYAKAVQMRERDPITGKMRIVNPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVYAHGQSRAGMDKVQKLIFIAAHSKLDRREFLSDEDKDADLFALANGEDDVLNEVLVDASSVRARQRYC >Potri.003G115900.4.v4.1 pep chromosome:Pop_tri_v4:3:13787002:13790164:-1 gene:Potri.003G115900.v4.1 transcript:Potri.003G115900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115900.v4.1 MATSNTTTTPPPQHQQVDTATAEEITAKAAHKRYEGLMMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSLCDAVFSASNPSRTASEHLKRGTCPNFNSVPKPISSISPNTALLPSPSSSGGVGGGATTVVHGSSNRKRPVVSSSGLSGSGGVASSAYPVTAVGSTYQVSPLAIVDPSRFSGEMAMLPQQPHLMLSGGKEDLGALAMLEDSVKRLKSPKTSPGQALSKTQIDCALDYLADWVYESCGSVSFSSLEHPKFRAFLNQVGLPVVSRRDFVGGRLDVKYEEARAESEARIRDAMFFQIASDGWKLKSNGSSGDVNFVNLTVNLPNGTSLYRRAVFASGSVPSKYAEEVFWETITGICGSLVQQCVGIVADRFKAKALRSLENQNHWMINLSCQLQGFTSLIKDFSKELPLFRTVSENCFKLASFVNNKTPVRNSFHKYQLQEYGNAGLLRMPLREYEKMDFGPVYTMLEDVLSSARALQLVLHDESYKIVSMEDPTAREVAEMIGDVRFWNDMDAVHSLVKLIKEMAQEIEAERPLVGQCLPLWDELRAKVKAWCSKFHIAEGAVEKVIETRFKKNYHPAWAAAYILDPLYLLRDNSGKYLPPFKCLTSEQEKDVDKLITRLVSREEAHIVLMELMKWRTEGLDPVYAKAVQMRERDPITGKMRIVNPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVYAHGQSRAGMDKVQKLIFIAAHSKLDRREFLSDEDKDADLFALANGEDDVLNEVLVDASSV >Potri.001G403400.3.v4.1 pep chromosome:Pop_tri_v4:1:42922752:42930814:-1 gene:Potri.001G403400.v4.1 transcript:Potri.001G403400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403400.v4.1 MPPSLSLNAISILNNDPSTTPQKMSRNDTITDKNDNSNGEEQLVEEKEEDEHFYESLDRIASSSCSCSTSNSDSDPDPTRSNSPRLLASNYHVWISQPESISERRQRLLLQMGLSSDPSLSRSKPETAHNGDFYFNRSLSSDRLIGEKLHGLCPSDSTAVARSKSESGGSVDHDNDDDEFNSCYSSSVYCSPSSIFLQDSINVNSNDSNYSCSDNNINNNRHSNNGLFVAGCGKKCKSKNGSSPKEGSVSVDVVSPNKPPSGKQHCRKMEVNRSDSANSNGDLNGSLSVGSSVEFAEELAEELECNGGDTDGAVVDEGGSRVCTIKNLDNGKEFVVNEIREDGMWNKLKEVGTGRQLTMEEFEMSVGHSPIVQELMRRQIVEDGTRENLDADANGGIGGGVSKFKKKGSWFRSIKSVANSVTGNKERRSSDERDTGSEKGGRRSSSATDDSQDVSFHGPERVRVRQYGRPSKELSALYKSQEIQAHNGSIWSIKFSLDGRYLASAGEDCVINIWQVVESERKGELLMEKPYDGGLNLLLMANGSPEPNLLSPLVDTHQEKKRRGRSSISRKSLSLDHIIMPETVFALTDKPICSFEGHLDDVLDLSWSKSQHLLSSSMDKTVRLWHLSSNTCLKIFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEIVTAACYTPDGQGALVGSYKGSCCLYNTCENKLQQKCQINLQNKKKKAHLKKITGFQFAPGSSSEVIVTSADSRIRVIDGVDLVHKFKGFRNTNSQISASLTANGKYVVSASEDSYVYVWKHEADSRLSRSKGVTVTSSYEHFHCQDASVAIPWPGMGDTWELQDTLSGEQSGLDNHLDEVSIVNHPPTPVEEASIEGSQSLSGCTNSPLNGIISSATNGYFFDRISATWPEEKLNLATRTRSPHASVDISNGLSESVSAYGMVIVTAGLRGEIRTFQNFGLPVRI >Potri.013G013900.4.v4.1 pep chromosome:Pop_tri_v4:13:906466:912225:1 gene:Potri.013G013900.v4.1 transcript:Potri.013G013900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013900.v4.1 MLKKVHIKSLLLCVFIPSRTSLRSIALFMASLSFFVGIMGNIISLLLFVSPIKTFWGVVKKKSTENYKGVPYITTLLSTSLWTFYGLIKPDILVVSVNGVGAIFQFIYVTLFLIYAPKDTKVTMAKFVAILNVGFLGAVIMVALLAIHGNLRITFVGILCAALTIGMYAAPLSAMRRVIKTKSVEYMPFLLSFFLFLNGGVWSAYSVLVKDFYIGVPNVVGFVLGSAQLILYLMYKNKSASAKTMKAIEEDGSVQLVKGSVDILVHRDKDDEDDGGIDEGNLKNRSLSKGKSLPKPSVLNREYSYTKDHEDTLSE >Potri.013G013900.3.v4.1 pep chromosome:Pop_tri_v4:13:906466:912225:1 gene:Potri.013G013900.v4.1 transcript:Potri.013G013900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013900.v4.1 MLKKVHIKSLLLCVFIPSRTSLRSIALFMASLSFFVGIMGNIISLLLFVSPIKTFWGVVKKKSTENYKGVPYITTLLSTSLWTFYGLIKPDILVVSVNGVGAIFQFIYVTLFLIYAPKDTKVTMAKFVAILNVGFLGAVIMVALLAIHGNLRITFVGILCAALTIGMYAAPLSAMRRVIKTKSVEYMPFLLSFFLFLNGGVWSAYSVLVKDFYIGVPNVVGFVLGSAQLILYLMYKNKSASAKTMKAIEEDGSVQLVKGSVDILVHRDKDDEDDGGIDEGNLKNRSLSKGKSLPKPSVLNREYSYTKDHEDTLSE >Potri.015G117300.10.v4.1 pep chromosome:Pop_tri_v4:15:13167150:13172069:1 gene:Potri.015G117300.v4.1 transcript:Potri.015G117300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117300.v4.1 MASLNQLLAEEGFEHRSFLRNRAQVKPRDRLIRPEESVILPIHVCHDQKRPHSSKKKTDKASTRKGSSIFSSRRVSSDTERLQSKSLLRGEDPAIDEIAIRAVVSILSGYIGRYTKDVSFREMIREKCNSCLVGRSMGSDDGIFGNMESGMESIEKLVEEQATRKEVKVESLKNPIQLLNIVASLNSKKSGNGSTCGVPNSHLSACAQLYLSIVYKLEKNDRTSARHLLHVFCDAPFLARTHLLPDLWEHFLLPHLLHLKVWYHEELEFLSGSQHVEMERKVKTLSKVYNDQMDMGTIQFALYYKEWLKVGAKAPSVPAIPLPSRSSYAPSMRRSSDSYNSRSSINTNLYRAVFGPTLERRSMDFDSRNRASMDTWSIEEEKVCIDEYKDSNYATYKKTRNPRRPSSQNYGISKNDIWHEPQKSDYFRLFSCQSVLSECLVNGNIIVRSNSIRNEETIHLPPSDLSRAISTICSSDSLAECEIAIHVTAKAWLDSPGSNVIEGALSKVPVIEGLLEVLFASTDDQVLELAISILAQLVTRNEANRLIVLNADPQLKIFMKLLKSSSLFLKAAVLLYLSKPKAKQMVPIEWVALVLRVLEFGGQLQTLFTVRCMPQKAAMYFLDQLLTGFDEDRNLENASQVVSLGGLSLLARTFEVGDVIERNHAATLMLCCIRANGSCRNYLADNLNKTSLLELIVLGIQKNYNGCAFNLLAELLCLSRRTRIVKFLTGLNNGWGGLNTMHIFLVYLQRSSPEERPLVAAVLLQLELLGDLSKSSLYREEAVEAITESLDCPSTKVQEQSSKALLMLGGCFSYNGEATAEDWLLQQAGFHERLRGSFRQKEMFDGNLNEEEDAMEDWQRKVAVVLLNSGSKSFLAALSNSIANGIPNLVQSSLFTVAWMSRILLPVTNENSISKFQPQLLELPHYDKALIERVSPYFSPQHLIKSSEYLSMLSTLNKELIDPLRNPL >Potri.008G212300.1.v4.1 pep chromosome:Pop_tri_v4:8:17482142:17483268:-1 gene:Potri.008G212300.v4.1 transcript:Potri.008G212300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212300.v4.1 MEVLTFTEEFSSPAAAKRLFTAMVLEADTLIPKLVPQAVKSIETIKGNGGPGTIKKLTFAEGKYAKTRIDAVDKVNLTHSYTTIEGVPLLGKFESIAYDMKFEATPEGGCKTKVVCKYFPKPGAEIKEEEIKEGKEKAAAVYKAVETYVVANPQAYA >Potri.009G091700.2.v4.1 pep chromosome:Pop_tri_v4:9:8386315:8386746:1 gene:Potri.009G091700.v4.1 transcript:Potri.009G091700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091700.v4.1 MAAELLGGADVGAVFGELLEAVLNEINKAAFKTRLRQIEKTLQSNSPILQDMEKLNQALNRRNEETETIIEVIRKGKTLVVVCSKTRRYNCWRRQKYTDKLVKLEESSAQVILSNCYAKANSKGQSRNSVGAKRPTAQVQKEN >Potri.007G011700.2.v4.1 pep chromosome:Pop_tri_v4:7:917824:922273:-1 gene:Potri.007G011700.v4.1 transcript:Potri.007G011700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011700.v4.1 MALEESETEESPEMETNDDMTKKTEPPILEDPSPRCVLEIPISGTDSDHSSSSSSSSCSSGSTEKLVPERTGILRESNGIQWKQILDSFKKKSVRRFSVIPLISTYETIARKNLRRKLARIQHSADVFIDCEGIMAPKPSWRNFDYLELEAATDNFSSENLIGEGGHAKVYKGCLSDGQVVAVKKLMKTEKQEEDRVGDFLSELGIIAHINHPNAARLLGFSIDSGLHLVLEFLPHGSLASVLHGGSDCLEWKKRFKVALGIAEGLQYLHHDCPRRIIHRDIKASNILLNANFEAQISDFGLAKWLPENWIHHIVFPIEGTFGYLAPEYFMHGIVDEKTDVFAYGVLLLEIITGRRAVDSSRQSLVMWAKPLLEANNAKELADPRLGDDYDPIEMKHAMFTALMCIHHLPNTRPHMIRVVQLLRGEGAPVEFKQKTNAGRAVLLDGCDLQDYTCTTYLKDLNRHMQLVME >Potri.007G011700.3.v4.1 pep chromosome:Pop_tri_v4:7:917978:921720:-1 gene:Potri.007G011700.v4.1 transcript:Potri.007G011700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011700.v4.1 METNDDMTKKTEPPILEDPSPRCVLEIPISGTDSDHSSSSSSSSCSSGSTEKLVPERTGILRESNGIQWKQILDSFKKKSVRRFSVIPLISTYETIARKNLRRKLARIQHSADVFIDCEGIMAPKPSWRNFDYLELEAATDNFSSENLIGEGGHAKVYKGCLSDGQVVAVKKLMKTEKQEEDRVGDFLSELGIIAHINHPNAARLLGFSIDSGLHLVLEFLPHGSLASVLHGGSDCLEWKKRFKVALGIAEGLQYLHHDCPRRIIHRDIKASNILLNANFEAQISDFGLAKWLPENWIHHIVFPIEGTFGYLAPEYFMHGIVDEKTDVFAYGVLLLEIITGRRAVDSSRQSLVMWAKPLLEANNAKELADPRLGDDYDPIEMKHAMFTALMCIHHLPNTRPHMIRVVQLLRGEGAPVEFKQKTNAGRAVLLDGCDLQDYTCTTYLKDLNRHMQLVME >Potri.001G059400.1.v4.1 pep chromosome:Pop_tri_v4:1:4533002:4534153:-1 gene:Potri.001G059400.v4.1 transcript:Potri.001G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G059400.v4.1 MKIKNKGKVYPSPSSSSSSVGDNGDRDVLSVLKLLPAAILALASVLSLEDREVLAYMITRSLKTTTTNNPSPSLQDSKKKSSKKPQNNNNSQRSNHIAPIFDCDCFDCYISYWFRWDSSPNRELIHQVIEAFEEHLTSDEMSRKHTRGKRRDKAGRRVGEKSVLDVPGQPEMIPVLETSNTTSHESSSSCSVADVVNVNVGCPDNGLSPEKVAEREEMEECVKLYDEVPEEVVVAETAAAAHGVAVCSHHKGLARKVLPDVLGLLNSRLWNLWSPNV >Potri.001G059400.2.v4.1 pep chromosome:Pop_tri_v4:1:4531857:4534041:-1 gene:Potri.001G059400.v4.1 transcript:Potri.001G059400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G059400.v4.1 MKIKNKGKVYPSPSSSSSSVGDNGDRDVLSVLKLLPAAILALASVLSLEDREVLAYMITRSLKTTTTNNPSPSLQDSKKKSSKKPQNNNNSQRSNHIAPIFDCDCFDCYISYWFRWDSSPNRELIHQVIEAFEEHLTSDEMSRKHTRGKRRDKAGRRVGEKSVLDVPGQPEMIPVLETSNTTSHESSSSCSVADVVNVNVGCPDNGLSPEKVAEREEMEECVKLYDEVPEEVVVAETAAAAHGVAVCSHHKGLARKVLPDVLGLLNSRLWNLWSPNVI >Potri.014G029000.4.v4.1 pep chromosome:Pop_tri_v4:14:1819883:1822651:1 gene:Potri.014G029000.v4.1 transcript:Potri.014G029000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029000.v4.1 MDGYRGSESRTSSETSELTGYESGELAQQDSPVIASMASEWTDEKHNLYLKSMEASFVNQLHNSMDLLGWRSLKEGSVPNLSREVNCRTCTPSGEFKVHRRGNWQKINFRRPESQISSAKESRGFLTSPWIQHFTSARKPEDVASPTLQECANQSRATISNGKKRCQIRTLLMKISKVKRQAVH >Potri.014G029000.1.v4.1 pep chromosome:Pop_tri_v4:14:1819804:1822665:1 gene:Potri.014G029000.v4.1 transcript:Potri.014G029000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029000.v4.1 MDGYRGSESRTSSETSELTGYESGELAQQDSPVIASMASEWTDEKHNLYLKSMEASFVNQLHNSMDLLGWRSLKEGSVPNLSREVNCRTCTPSGEFKVHRRGNWQKINFRRPESQISSAKESRGFLTSPWIQHFTSARKPEDVASPTLQECANQSRATISNGKKAMLCCPAISSKHYHLSNSFSYHHDLVDSNTEMSDQNFVDEDIKGEKASSSLSSKKMKTLKTDASGSDQVVPHGKPPVAEEVTEECISAAK >Potri.007G139501.1.v4.1 pep chromosome:Pop_tri_v4:7:14997801:15009258:1 gene:Potri.007G139501.v4.1 transcript:Potri.007G139501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139501.v4.1 MCRTVHKFFSTVYNTASDFSSSIIKSCLIEDDKTPIRSGQHGVEFLPAGIETMTFSLDHIKQIKSKLGVTLNDVISGTIFLGTRLYMETLSPGSINANSTSLVLLNTRMFVGSYKSIEEMVEPNADSPWGNHFAFLNIPVPKLRDAAGAENPLQFVFKARQIIRRKRMSSFAVYLTAKYLQLVSKFRGAREEKDFIDPRKLKSHIEHAFDMIFKAACSRRTSPPAN >Potri.007G139501.2.v4.1 pep chromosome:Pop_tri_v4:7:14997801:15009258:1 gene:Potri.007G139501.v4.1 transcript:Potri.007G139501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139501.v4.1 MCRTVHKFFSTVYNTASDFSSSIIKSCLIEDDKTPIRSGQHGVEFLPAGIETMTFSLDHIKQIKSKLGVTLNDVISGTIFLGTRLYMETLSPGSINANSTSLVLLNTRMFVGSYKSIEEMVEPNADSPWGNHFAFLNIPVPKLRDAAGAENPLQFVFKARQIIRRKRMSSFAVYLTAKYLQLVSKFRGAREEGLHRSPEAQVTHRTCI >Potri.016G036700.3.v4.1 pep chromosome:Pop_tri_v4:16:2190577:2193251:-1 gene:Potri.016G036700.v4.1 transcript:Potri.016G036700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036700.v4.1 MINANSGPGLLFPKGGWETDETVEEAAAREALEEAGVRGDLLHFLGQYEFKSKTLQDKFSPEGLCKASMFALLVKEELQCWPEQNTRQRSWLTIPEAGECCRYKWMKDALEERFTKWLDDQMISRSKKANDVNSSASSPEEH >Potri.016G036700.2.v4.1 pep chromosome:Pop_tri_v4:16:2190577:2192791:-1 gene:Potri.016G036700.v4.1 transcript:Potri.016G036700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036700.v4.1 MINANSGPGLLFPKGGWETDETVEEAAAREALEEAGVRGDLLHFLGQYEFKSKTLQDKFSPEGLCKASMFALLVKEELQCWPEQNTRQRSWLTIPEAGECCRYKWMKDALEERFTKWLDDQMISRSKKANDVNSSASSPEEH >Potri.006G213100.1.v4.1 pep chromosome:Pop_tri_v4:6:21956043:21956825:1 gene:Potri.006G213100.v4.1 transcript:Potri.006G213100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213100.v4.1 MGNCLVLQANVIKVMKPDGKILEYQAPIKVQQVLSDFSGHAIADSLQAFQHLPPDTSLLGGDHLYYLVPLQLPSPQAKKKKVRFSIPEEEAKDVQEKTSTVVRIKLVISKQELQEMLRKGGVSVDDMVSHLQGQQRVQKVDISGSDSEHKGWKPELESIPERSH >Potri.002G254400.1.v4.1 pep chromosome:Pop_tri_v4:2:24343007:24346754:1 gene:Potri.002G254400.v4.1 transcript:Potri.002G254400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254400.v4.1 MAMTSKEKLVVEVVAAHNLMPKDGEGSSSPFVEVEFENQRLRTQVKYKDLNPIWNQKLVFHIKDVADLSYRAIEVNVFNERRSSNSRNFLGKVRVSGSSVAKQGEEVVQLHTLDKRSLFSHIRGEISLKLYVSTREEVKEVGGFGNGEVVSSTPGSSNSSKKNKKTQQQNPVILQQPQQLSKEVINNNKQAQEQGQNNINAKSVETNPGGIKPVVTTTALGPGSLVSSSGGGIVGPAGGAGLGGISVHSNGSSEFSLKETSPHLGGGRLNKDKTSSTYDLVELMQYLYVRVVKAKYNMLFGGGEVVAEVKLGNYRGVTKKVIGSSNVEWDQVFAFSKDCIQSSMVEVFVKQGNKDDYLGRVWFDLNEVPRRVPPDSQLAPQWYRMEDKKGDKSKGGELMVSIWFGTQADEAFAEAWHSKAANVHFEGHCSIKSKVYLSPKLWYLRVAVIEAQDIVPGEKGLGMMRFPELFVKVQVGNQILRTKIAGPNPNRSMINPYWSEELMFVVAEPFEDFLFLSVEDRVGPGREEAVGRVMLPVAAIERRHDDKQVVSRWFNLDNQFGSAVESKLVTRFGSKIHLRLSLDGGYHVLDESTMYSSDVRPTAKQLWKPHIGVLEMGILGATGLMPTKLKEGKRESIDAYCVAKYGQKWVRTRTVVDSFSPKWNEQYTWEVFDPCTVITVGVFDNCRTDKNVFNNTGARDSRIGKVRVRLSTLESDRVYTHSYPLLVLHTTGVKKMGELHLAVRFSCANMANMLHMYTLPLLPQMHYVHPLSVNQLDAMRYQAMNVVASRLSRAEPPLGREVVEYMLDHDSHMWSMRRSKANFARLISVLSVLVAMARWVESMRNWHKPVYSTLFVLAFLLWVAMPELIIPSLLLYMAFVGLWRYRTRPRHPPHMDTKLSHVVSVYSDELDEEFDSFPTSRSAETVRMRYDRLRSVAGRIQTVVGDMASQGERFQALLGWRDPRATFLFVVMCLFAAVGFYAVPIRVVVALWGLYVMRPPKFRNKLPPRALSFFRRLPTKADSLL >Potri.013G032950.1.v4.1 pep chromosome:Pop_tri_v4:13:2120200:2121201:1 gene:Potri.013G032950.v4.1 transcript:Potri.013G032950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032950.v4.1 MKGVRTSSFIENLGSPVQMSDVRFTCTMRGCSLRTKIAEYMVSPNKNKGERKRIRESLLLCLPVKYIVVNHFNQQPRKRKEQTSGETETWEV >Potri.012G092400.1.v4.1 pep chromosome:Pop_tri_v4:12:11719020:11728483:1 gene:Potri.012G092400.v4.1 transcript:Potri.012G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092400.v4.1 MAAPSGLSPEPPQPPTSTEVCQWLKNLPLAPEYRPTQAEFQDPIAYIFKIEKEASQYGICKIIPPVLPSAKKTTLSNLNRSLSARNGDSSAPTFTTRQQQIGFCPRKPRPVQKPVWQSGETYTFQEFETKAKSFEKNYLKKFSKKGGLSPLEIENLYWKATLDKPFAVEYANDMPGSAFSPRKKEGQGGVVGEGMYVGETEWNMRSVSRAKGSLLRFMKEEIPGVTSPMVYIGMIFSWFAWHVEDHDLHSLNYMHMGAGKTWYGVPREAAVAFEEVVRVHGYGGENNPLVTFAVLGEKTTVMSPEVFISAGVPCCRLVQNAGEFVVTFPRAYHSGFSHGFNCGEAANIATPEWLTVAKDAAIRRASINYPPMVSHFQLLYDLALEFCTRIPVNISAKPRSSRLKDKQKGEGETLVKEQFVKNMMQNNDLLHILGKGSSVVLLPRSSSDISVCSNLRVGSQLRDNPTLGLSSQKDFMKSSKSSGSDDILMDENQEINQVKGFFSVKAKFASLCERNRFSTINGNECTQSMNMSTERGSPIHGDKLSDQRLFSCVTCGILSFDCLAIIQPKEAASRYLMSADCSFFNDWVVGSGVTRDVFTVAGGIANIPEQNSSMRWVRKNTVAGLYDVPVQSLNYQIQMADQSVEVASSSAKQMESSALGLLALNYGNSSDSEDDQVEAGLSCHDETNFTNCSLESKYQGQSSACPSYKQKYYDAETGGHPLSPSKHDQRGDVPFKAIDMYPEHGDRPDNFKDRSDDTLGCSFGFPANNPACIESNGLDGRYRDPVSIPHMSLNCSPIVHDTEKTKFNRPTVPIENPDMPFTQRSDRDSSCLHVFCLEHAVEIEQQLRQIGGVHIFLLCHPEYPRIEAEAKSVSEELGIDHLWNDITFRDAAKEDEERIQSALDTEEAIPGNGDWAVKLGINLFYSANLSRSPLYSKQMPYNSVIYNAFGRASPVSSPPKFKVYGRRSGKPKKVVAGKWCGKVWMSNQVHPFLVKRDFVYQNQEQEQERSFHALATPDEKLEKKPQTIHRNETSRKSGRKRKIIAGSRTVKKVKCLEAEEADSEDSVGDNSHRQHMRIHNRKNTEDIEREISYDLVEDSNQQHGSYRRKWAKSVESDDAVSDDPLAEHVRQQYRRMRRSKQAKSIKRENTVSYASVENKFRKQLRRVHRSDQAKCSERRCVASDDSLDDNSDQWHGRAPRSTLAKYTEREDANSDDSPEESSRWQHGRVSRSKLLRYVKKESAISDDSLENGFKQHNKRISRGKRAQVIKRDDVVSDDSLDESAFQQLPRFSRIKLAKLIEREDAFSDGSLEENIHQQHGRILRSKQSKFVEREDAISDDSLEDSTHWQHRRIPRSKMAKFVEREDAASDDLQEDDGHQHHRRIPKRKKANSIESEDVVSDDLWQNNIHRHLRKTPRGKQPNIVEREEAVSDDLLENSSDQQDRIILRSKQKKSSMKQGIPRQLKQSAPKMIKKETPQLMKQERQIKPETPQLRFGKSDMNARQFDSHAEEERGGPCMRLRKRLSKAPKQSLTRLKEKQNIKKKVKDATAVKAPAGRKNVKMKDEEAEYQCDIDGCRMSFVSKQELALHKRNICPVKGCGKKFFSHKYLVQHRRVHIDDRPLKCPWKGCKMTFKWAWARTEHIRVHTGARPYVCAEEGCGQTFRFVSDFSRHKRKTGHLAKKGRG >Potri.016G112400.2.v4.1 pep chromosome:Pop_tri_v4:16:11560594:11562432:1 gene:Potri.016G112400.v4.1 transcript:Potri.016G112400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112400.v4.1 MPSSSNTTIVSRCTIYPDQKSSTIKALKLSVSDLPMLSCQYIQKGVLLTYPPYSINDLITFLKHSLSVALSHFPALAGRLQTDPTGHVHIVCNDAGVDFIQAKARHLSIHTILSPTHVPECFKGFFAMDKTLSYSGHSKPLVAVQVTELKDGIFIGCTVNHAVTDGTSFWNFFNTFAEICKGSKKISKSPDFSRNTMLNSPAVLKFPPGGPKVTFSGDEPLRERIFHFSRDAILKLKLRANNSNFRSNSAEIFGKQSNDSWKIVNGESNGNNGLSNGEVDPLFLKNNETAEISSFQSLCAQLWRSVTRARKLSPSKMTTFRMAVNCRHRLEPRLDPYYFGNAIQSIPTVALAGKLLSKDLSFGADLLHKNVVAHVDGTVRKGIANWEKEPRLFPLGNLDGASITMGSSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGRDGNGSVDLEVVLSPDTMTGLENDDEFMQYVSEIV >Potri.001G307400.1.v4.1 pep chromosome:Pop_tri_v4:1:31716448:31723603:1 gene:Potri.001G307400.v4.1 transcript:Potri.001G307400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307400.v4.1 MSEIPNTDPEGIDSVRMTWNNWPRTKVEASKCVIPLAASISPIRPHSEIPTLTYPPLRCKTCTSIMNCFSRVDFTAKIWICPFCYQRNHFPPHYSMISETNLPAELYSQYTTIEYTLGDKNHNPVGEIDAKSAFVFVLDTCMIEEEFEYVKSEVKRAIGLLPENAMVGFVSFGTQVQVHELGFSDMSKVYVFRGTKEISKDQVMEQLGIGVAGRRNVPGAGVGGYQQQKGMHVQNSGVTRFLLPASDCEFTLNSLLDELQTDQWPVAPGTRASRCTGVALSVAAGLLGACLPGTGARIVALVGGPCTEGPGTIISKDLSDPVRSHKDLDKDAAPYFKKAVKFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLSESFGHSVFKDSFKRVFENGEHSLGLCFNGTLEINCSKDIKIQGIIGPCTSMEKKGPSVADTVIGEGNTTAWKMCGLDKSTCLTVFFDLSSSEKSNNPGAMNPQLYLQFLTSYQNPEGLMLLRVTTVTRRWVDSAANSEELVQGFDQETAAVVMARLTSLKMEAEEGFDATRWLDRNLIRVCSRFGEYRKDDPTSFTLNSFFSFFPQFLFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASIGADRILLLDSYFSVVIFHGMTIAQWRNLGYQNQPEHQAFAQLLQAPKEDAQMIIHDRFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMAAGSDIIFTDDVSLQVFFEHLQRLAVQS >Potri.008G094600.1.v4.1 pep chromosome:Pop_tri_v4:8:5907354:5909871:1 gene:Potri.008G094600.v4.1 transcript:Potri.008G094600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094600.v4.1 MALVVICGQPCSGKSTAAFFLAEALKESESNSTIRIIDEASFHLDRNQSYANMTAEKNLRGALRSEVDRSVSKDNIIIVDSLNSIKGYRYELWCLARAAGIRYIVLFCDVEETQCKKWNEQRMEKCEATYDDTIFEDLARRFERPDRRNRWDSPLFELSPYKDGIQKSSAAIVDAVSYLTKKVDSKTRDVKILHPTIATQSTRFSEANSLYELDRATQEVTNVIVEAQSQSIGGPLNGISLGQGLPTLNMSRSVGLPELRRLRRTFIKLTGQTSLSGPPPPSDAESAKRMFVDYLNRELGTT >Potri.016G120800.1.v4.1 pep chromosome:Pop_tri_v4:16:12561067:12561866:-1 gene:Potri.016G120800.v4.1 transcript:Potri.016G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120800.v4.1 MDYYSTTNPSSSGSSSAPKNGKEKKKGGRKSSGGVKLSTDPQSVAARERRHRISDRFKILQSLVPGGTKMDTVSMLEEAINYVKFLKTQVLLHQTIMNFVDDERSLDHYLPADYSTALPTEQPSYLDGNFAPVVHPSISVLPCPDSYLLGENYMQYDAFDIKN >Potri.004G182700.1.v4.1 pep chromosome:Pop_tri_v4:4:19663707:19665070:1 gene:Potri.004G182700.v4.1 transcript:Potri.004G182700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182700.v4.1 MAASSNHKNSFHARSNSLPSRENPIITQLDEHLCRLRASEDASTSSSFSGKLSRLQDLHDCVSKVLLLPLTQQAIAQENNEKWVDELLDGSLQVLDLCNTAKDSLLQTKECMHELQSILRRRGYGEIGLTNEVKKYLASRKVVRRAIHKALKVMQNKCTFATFNGDHETTTMFNMLKEAQTTSLNVTESLLFFVSGPKTKSVGWSLVSKLVHHKTVASADQTDRNEFAEMDAALIGLVEHRTSKSDNIKVVQSQLENLELCIQDLEEGLECLFRHLIKTRVSLLNILNH >Potri.013G045200.1.v4.1 pep chromosome:Pop_tri_v4:13:3138361:3139489:1 gene:Potri.013G045200.v4.1 transcript:Potri.013G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045200.v4.1 MDSSFFHYQNSQFSPESSSSFNSLDSSSCNAQNFYSQPLPFNENDSQEMLLLGLLNEAPINSFDTTSSTNSNYDEVSSRADYQGEQPREISYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDTAEAAALAYDQAAFAMRGSMAVLNFPAQKVYESLKETGYGFQEGQSPILAMKKRHSMNRKAESRKRKEKEIRTEVENVVVLEDLGAEYLEELLAISESASPW >Potri.003G143200.1.v4.1 pep chromosome:Pop_tri_v4:3:15855405:15858945:-1 gene:Potri.003G143200.v4.1 transcript:Potri.003G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143200.v4.1 MPGLVSVKTPPDAPPLHISVPEILPGSSTRPEQQPPPRTPTPKKPPSPSPSRSKPSPARSTKKPPPDSPNPNSLLSDPSLDNPDLGPFLLKLARDTIASGEGPIKALDYAIRASNSFERVAIEKGEEPSLDLVMSLHVLGAIYCSLGRFEEAVPVLERAIKVPDFSKGMDHALSGFSGYMQLGDTYSMLGQVDKSIECYEKGLQIQIESLGEIDPRVGETCRYLAEAHVQAMNFDKAEELCKKTLEIHRAHSEPASIEEAADRRLMALICEAKGDYEPALEHLVLASMAMIANEQDNEVAAIDVSVGNIYMSLCRFDEAIFSYQKALTVFKSSKGDNHPSVASVFVRLADLYHRTGKLRESKSYCENALRIYAKPVPGTTTEEIAGGLTEISAIFESVGEPEEALKLLLKAMKLLEDKPGQQSTIAGIEARMGVMFYVVGRYEEARNSFEGAVTKLRTSGERKSAFFGVVLNQMGLACVQLFKIDEACELFEEARAILEQECGPCHQDTIGVYSNLAATYDAMGRVEDAIEILEYVLKLREEKLGIANPDFEDEKSRLAELLKEAGKARNKKAKSLENLIDPNSRRNKKESTKKWGFRI >Potri.004G140301.1.v4.1 pep chromosome:Pop_tri_v4:4:16280278:16285452:1 gene:Potri.004G140301.v4.1 transcript:Potri.004G140301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140301.v4.1 MVVYRYSLLLLGLLAFIAMLLHLTMADCPLDLSGSNFTLAASMCSSKDGRGKCCRYINTFIAVSIARYANATSNLGVASNLSDICLNSISQTMQLYGVPSDATVFCGFGTKIPVTYECKGRSTVTQMLGSPKFVDVAQNCRLPLLLESDCKRCLNAGIIYLHHLVGTDNNLTLSTCRDATFIALASQFDDVSAVEIASCFFGVQGLNIPLEPPPSAVIPEAPPNPLTAAGPNQAVLGLPLKANHHPYHLTLVPIIGIAVTAAALVMLIVLIFLIRRKNREIEESENINKTFSRAFPPPRPSRKFQGPASMLRKFSYKETMKATDNFNTIIGQGGFGTVYKAEFSDGLVAAVKQMNKVSEQVEQEFCREIELLARLHHRHLVALRGFCIKKNERFLMYEHMANGSLKDHLHSPGSPLSWQVRIQIAIDVANALEYLHFYCDPPLCHRDIKSSNILLDENFVAKVADFGLAHASKDGSICFEPVETDIRGTPGYMDPEYVVTQELTEKSDIYSYGVVLLEIVTARRAIQESKNLVEWSQIFMASDSRLPELVDPRIRDSFDLDQLQTIVTIVRWCTQKEGRARPSIKQVLRILYESSDPMHSEFARAVEDEECEGSEGGGRTSKEKSNKSDAIFQSGDGRYLASSSSTSRSYCSRSFLLETGSPQSPSSMLSV >Potri.005G072400.3.v4.1 pep chromosome:Pop_tri_v4:5:4801318:4804636:1 gene:Potri.005G072400.v4.1 transcript:Potri.005G072400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072400.v4.1 MQQDKGQVEGELRNLKQRLDVAERERHRARDELKEMKKVADESNSRLKEAMSNGKVADIFVELNSVVESLSKSNQELKIKEMAITSLKAEVGKLREADNSLEKLNKELSNVKSTEARTLDLLSHSKKRIQELEAEVQKGNEAETKLLDSFAAQTKQLEQTKILLEESKLEITSLRKQVEELEKHDGDKVSLQKELESLKSELHLERQNRTHAQEGEKHSASKTKSLLEEMELLKRELKLANEAEENSKKAMDGLAMALTEVATESTQTREKLKLTQEELERFKKEAEILQENINSFEDKHRTLLNEERKEADRYKKTAERLQIEAEESLLAWNAKETGFVDCIKRAEEEKSYACEENNKLLELLRTAENMNKIAKQENQKVRDILKQALNEANVAKEAAGIARDENSQLKDVLAEKDNALVFITQENENLRINEAATLEQIKELKQFLSEASERELKVEDKENQLKEKPQNAEEKQDKDGKKLGRTCSFSFKELIIPNKHRDVDENNKTTDKQNNNDEDEDSENPDLLRGSIFDKQAESPTAAVPRKKKFTDVGKETKPGDYDHIDGAHTNDPESERNSTRKRAYIRRFGDILLRRGGSHRRGQSVGGE >Potri.005G072400.2.v4.1 pep chromosome:Pop_tri_v4:5:4801318:4804636:1 gene:Potri.005G072400.v4.1 transcript:Potri.005G072400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072400.v4.1 MQQDKGQVEGELRNLKQRLDVAERERHRARDELKEMKKVADESNSRLKEAMSNGKVADIFVELNSVVESLSKSNQELKIKEMAITSLKAEVGKLREADNSLEKLNKELSNVKSTEARTLDLLSHSKKRIQELEAEVQKGNEAETKLLDSFAAQTKQLEQTKILLEESKLEITSLRKQVEELEKHDGDKVSLQKELESLKSELHLERQNRTHAQEGEKHSASKTKSLLEEMELLKRELKLANEAEENSKKAMDGLAMALTEVATESTQTREKLKLTQEELERFKKEAEILQENINSFEDKHRTLLNEERKEADRYKKTAERLQIEAEESLLAWNAKETGFVDCIKRAEEEKSYACEENNKLLELLRTAENMNKIAKQENQKVRDILKQALNEANVAKEAAGIARDENSQLKDVLAEKDNALVFITQENENLRINEAATLEQIKELKQFLSEASERELKVEDKENQLKEKPQNAEEKQDKDGKKLGRTCSFSFKELIIPNKHRDVDENNKTTDKQNNNDEDEDSENPDLLRGSIFDKQAESPTAAVPRKKKFTDVGKETKPGDYDHIDGAHTNDPESERNSTRKRAYIRRFGDILLRRGGSHRRGQSVGGE >Potri.005G072400.4.v4.1 pep chromosome:Pop_tri_v4:5:4801675:4804587:1 gene:Potri.005G072400.v4.1 transcript:Potri.005G072400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072400.v4.1 MQQDKGQVEGELRNLKQRLDVAERERHRARDELKEMKKVADESNSRLKEAMSNGKVADIFVELNSVVESLSKSNQELKIKEMAITSLKAEVGKLREADNSLEKLNKELSNVKSTEARTLDLLSHSKKRIQELEAEVQKGNEAETKLLDSFAAQTKQLEQTKILLEESKLEITSLRKQVEELEKHDGDKVSLQKELESLKSELHLERQNRTHAQEGEKHSASKTKSLLEEMELLKRELKLANEAEENSKKAMDGLAMALTEVATESTQTREKLKLTQEELERFKKEAEILQENINSFEDKHRTLLNEERKEADRYKKTAERLQIEAEESLLAWNAKETGFVDCIKRAEEEKSYACEENNKLLELLRTAENMNKIAKQENQKVRDILKQALNEANVAKEAAGIARDENSQLKDVLAEKDNALVFITQENENLRINEAATLEQIKELKQFLSEASERELKVEDKENQLKEKPQNAEEKQDKDGKKLGRTCSFSFKELIIPNKHRDVDENNKTTDKQNNNDEDEDSENPDLLRGSIFDKQAESPTAAVPRKKKFTDVGKETKPGDYDHIDGAHTNDPESERNSTRKRAYIRRFGDILLRRGGSHRRGQSVGGE >Potri.001G226650.1.v4.1 pep chromosome:Pop_tri_v4:1:24524616:24525321:1 gene:Potri.001G226650.v4.1 transcript:Potri.001G226650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226650.v4.1 MGRFSAIFSFFVSSSSSRVADEARNKTEKTKSKSKSSGAPIVVSYFPVNSSLSRL >Potri.008G211500.1.v4.1 pep chromosome:Pop_tri_v4:8:17619146:17622724:1 gene:Potri.008G211500.v4.1 transcript:Potri.008G211500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211500.v4.1 MKMPVAVLLLLALSIAIRIDAEESSEVCGFKPSLKPRPHSVSILEFGAVGDGKTLNTLAFQNAIFYLKSFTDKGGAQLYVPPGKWLTGSFSLTSHLTLFVEKGAVILGSQDPSHWDLVDPLPSYGRGIELPGKRYQSLINGDMLHDVVVTGDNGTIDGQGSVWWDWFESHSLNYSRPHLVEFTSSDYVVVSNLTFLNAPAYSIHPVYCSNVVVQNISVSAPGESPNTIGIVPDSSNNVCIEDSRIEVGYDAISLKSGWDEYGIAYDRPTTDVYIRRVYLQSSSGSSVAFGSEMSGGISNVHVEQVHIYNSFSGIEFRTTKGRGGYIKRIIISDVELKNTNTAFGAIGDCGSHPDDNFDPNAIPVLDQITLQGVIGSNITMAGNFTGLAESPFTSLCLFNVSLAIRNTLSPWTCSNVVGFSESVSPEPCPELESSSVCYSLLNSYGKSTDI >Potri.009G146200.1.v4.1 pep chromosome:Pop_tri_v4:9:11590842:11591729:1 gene:Potri.009G146200.v4.1 transcript:Potri.009G146200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146200.v4.1 MKVVAAYLLAVLGGNTCPTAEDLKNILGSVGADADDDRIELLLSSVKGKDITELIASGREKLASVPSGGGVAVSAGAAPAAAGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Potri.010G006800.1.v4.1 pep chromosome:Pop_tri_v4:10:637716:639756:-1 gene:Potri.010G006800.v4.1 transcript:Potri.010G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006800.v4.1 MCGGAIISDFVSVKRGRKPTTEDLWSELDSLSDFLGLDHRSMNNINNGSKKENLSNLKLAQKPRQPNQVITERVEKPSQATEQEAGKKKVQRTRKNVYRGIRQRPWGKWAAEIRDPHKGVRVWLGTYNTADEAAKAYDEAAKRIRGDKAKLNFPPQPPPTSEAAPPPPPKSEAAPPPAKKRCILGPETAAMASFEQILNLESFHGLETKQTAAQLSCDGGGSGDYNCDSVDPWMLDDLINISLIISKI >Potri.013G059600.1.v4.1 pep chromosome:Pop_tri_v4:13:4354449:4356291:1 gene:Potri.013G059600.v4.1 transcript:Potri.013G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059600.v4.1 MDFCRNATVSTEYHQQEKVLASPPPCSKLGAAAAALTATTSPLDDPFSAQNTEVDFSSEWLSVFVEDCLSSTGNYLPAPTVEAQKPNTEENPPKNWQRKPQDQEDPSSLKKLVIPGKSRSKRRRLPGDKTRNPLTSWCYTNQAFNNLTSSDPPLLQQTYWLADSELIMPIKEDSNNTDMDNEVQEESGVGVHDEDIGKVVAVVGSNGSKDSLGVLESNNGQQQPRRCTHCLAQRTPQWRAGPLGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMAGFNT >Potri.009G089100.1.v4.1 pep chromosome:Pop_tri_v4:9:8233456:8238646:-1 gene:Potri.009G089100.v4.1 transcript:Potri.009G089100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089100.v4.1 MVVCKCRKATKLYCFVHKVPVCGDCICFPEHQICVVRTYSEWVIDGEYDWPPKCCSCQAVLQEGDGPQTTRLGCLHAIHTNCLVSHIKSFPPHTAPAGYVCPSCSTPIWPPKSVKDSGSRLHSKLKEAIMQTGLEKNLFGNHPISLPAPPPAFTSDPLVAISSSPSVTSETGTGPSKISVSDIKEIESPSSVVNYMKNSSTGIPVATTRKVGVQVERQNSELSYFADDEDGNHKKYSRRGPFRHKFLRALLPFWSSALPTLPVTAPPRKDALNADDASEGRTRNQKHSRMDPRKILLMIAIMACMATMGILYYRIAQRGFGEEQPGDEKQ >Potri.003G104900.3.v4.1 pep chromosome:Pop_tri_v4:3:12836885:12841387:1 gene:Potri.003G104900.v4.1 transcript:Potri.003G104900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104900.v4.1 MATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENAAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIIKKIPIPERNFTSPPNMIVIRSFDVNKPGYEVDEIRGGVAGGSIFRGVLKVNQFIEIRPGIIVKDDAGNMKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGDVGSLPEIFGELEVNFFLLRRLIGVRTKGSEKQGKVSKLTKGEILMLNIGSMSSGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGMIQAGTTIEVPPCPL >Potri.003G104900.1.v4.1 pep chromosome:Pop_tri_v4:3:12836771:12841074:1 gene:Potri.003G104900.v4.1 transcript:Potri.003G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104900.v4.1 MARKGLMEQDLSKLDVTKLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPKCYKAYGSGKEDNPVCDVDPKHCRMNLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENAAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIIKKIPIPERNFTSPPNMIVIRSFDVNKPGYEVDEIRGGVAGGSIFRGVLKVNQFIEIRPGIIVKDDAGNMKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGDVGSLPEIFGELEVNFFLLRRLIGVRTKGSEKQGKVSKLTKGEILMLNIGSMSSGARVIAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGMIQAGTTIEVPPCPL >Potri.001G176900.1.v4.1 pep chromosome:Pop_tri_v4:1:15326566:15332268:-1 gene:Potri.001G176900.v4.1 transcript:Potri.001G176900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G176900.v4.1 MVGSASGSSTATATAALRGLQDHLKLAREYALGGLYDTSIIFFDGAIAQIIKHLTTLDDPLIRTKWMNLKKSLSEETQAVKELDAERRALKEAPASRRVASPPIHPKSSFLFQPLDEYPSPSAAPIDDPDVWRPPSRDTASRRPARSAQAGIRKSPQDGVWARGASTRTSTTGRGAKTGGSGRVNSGVRASTTGKRGTSTSTVTGKPGKGDSANGDAEDGKKRPQYEGPDPDLAEMLERDVLETSPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNSSTGEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPKFESRKELIRINLKTVEVATDVNVDEVARRTEGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMPKDEISKDPVAMCDFEEALRKVQRSVSQTDIEKHEKWFSEFGSA >Potri.006G019000.3.v4.1 pep chromosome:Pop_tri_v4:6:1202189:1205110:-1 gene:Potri.006G019000.v4.1 transcript:Potri.006G019000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019000.v4.1 MAYNLCSSPSSLYKPLCNTPSSSSRDSKSRNLNNNFYDSSKINTLSSQSRATSLQITNVSLQDEELPRQETSKDSNFKEKTGSSSKSYIWVNPKSSRASILRKSSYDAKYTSLVNAAKYLNSCSPNKDDVFNVLSKFDGKLLEQDAVVILNNMSNPDTALLALKFFQERLKFNREVVVYNVTLKVFRKGRDLDKAEKLFDEMLERGVKPDNFTFSTIISCARLCNLADKAVEWFEKMPSFGLEPDDVTLSTMIDSYGRAGNVEKALSLYDRARTGEWRLDATAFSTLIRIYKVAGNFDGCLNVYEEMKALGVKPNLVIYNILLDAMGRAKRPWQVKKFYQDIIDNGLSPSFVTYAALLHAYGRARYGEDAFKIYREMKEKGLGLNVVLYNSILAMCADLGHVDKAVEIFEDMKSSGIKPDSWTFSSMITIFSCCGKVSEAENTLNEMFEAGFQPNIFILTSLIQCYGKAQRIDDVVNTFNRIFELVITPDDRFCGCLLNVMTQTPNEELSKLVKCAERANPKLGYVVKLLVEEQDSEGNFKNEATDLFDSISTEVKKAYCNCLIDLCIKLNMLERACELLDLGLTLEIYTNIMSRTSTQWSLNLKSLSPGAAMTALHIWMNDLSKVLEAGEQLPPLLGINTGHGKHKYSEKGLANVFESYLKELNSPFHEAPDKVGWFLTTKVAAESWLESRKSTDAAAA >Potri.006G019000.4.v4.1 pep chromosome:Pop_tri_v4:6:1202645:1205083:-1 gene:Potri.006G019000.v4.1 transcript:Potri.006G019000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019000.v4.1 MAYNLCSSPSSLYKPLCNTPSSSSRDSKSRNLNNNFYDSSKINTLSSQSRATSLQITNVSLQDEELPRQETSKDSNFKEKTGSSSKSYIWVNPKSSRASILRKSSYDAKYTSLVNAAKYLNSCSPNKDDVFNVLSKFDGKLLEQDAVVILNNMSNPDTALLALKFFQERLKFNREVVVYNVTLKVFRKGRDLDKAEKLFDEMLERGVKPDNFTFSTIISCARLCNLADKAVEWFEKMPSFGLEPDDVTLSTMIDSYGRAGNVEKALSLYDRARTGEWRLDATAFSTLIRIYKVAGNFDGCLNVYEEMKALGVKPNLVIYNILLDAMGRAKRPWQVKKFYQDIIDNGLSPSFVTYAALLHAYGRARYGEDAFKIYREMKEKGLGLNVVLYNSILAMCADLGHVDKAVEIFEDMKSSGIKPDSWTFSSMITIFSCCGKVSEAENTLNEMFEAGFQPNIFILTSLIQCYGKAQRIDDVVNTFNRIFELVITPDDRFCGCLLNVMTQTPNEELSKLVKCAERANPKLGYVVKLLVEEQDSEGNFKNEATDLFDSISTEVKKAYCNCLIDLCIKLNMLERACELLDLGLTLEIYTNIMSRTSTQWSLNLKSLSPGAAMTALHIWMNDLSKVLEAGEQLPPLLGINTGHGKHKYSEKGLANVFESYLKELNSPFHEAPDKVGWFLTTKVAAESWLESRKSTDAAAA >Potri.014G158900.3.v4.1 pep chromosome:Pop_tri_v4:14:11243135:11244596:1 gene:Potri.014G158900.v4.1 transcript:Potri.014G158900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158900.v4.1 MQPGLDEITMTAWKNLKQRLSFKGLGSCCGSTSWSSRSATPTMPFIDIEQEEEEEPIMQNQAQRGGGAAAAAAAPGAGMNLAMALAAERNLGDSNVKTLMSLIEETDGVDWRKKNNSNDKSRRDKEQEQKQEEEKDWVCCVCMERNKGAAFIPCGHTFCRVCSREMWVNRGCCPICNRSILDILDIF >Potri.014G158900.2.v4.1 pep chromosome:Pop_tri_v4:14:11243079:11244870:1 gene:Potri.014G158900.v4.1 transcript:Potri.014G158900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158900.v4.1 MQPGLDEITMTAWKNLKQRLSFKGLGSCCGSTSWSSRSATPTMPFIDIEQEEEEEPIMQNQAQRGGGAAAAAAAPGAGMNLAMALAAERNLGDSNVKTLMSLIEETDGVDWRKKNNSNDKSRRDKEQEQKQEEEKDWVCCVCMERNKGAAFIPCGHTFCRVCSREMWVNRGCCPICNRSILDILDIF >Potri.006G045800.1.v4.1 pep chromosome:Pop_tri_v4:6:3101540:3105853:-1 gene:Potri.006G045800.v4.1 transcript:Potri.006G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045800.v4.1 MAAEEENPALSNLPEESSAVVPDDELMIDNSSPIQPTPPIIPPVIPSSIPVLPSIAPIPIVPPRPLAPLPIRPPATRPPGVQNGEMRTSDSDSDQEELSPTGTTPGSTGGYEISEASRLVRERQQKAMQEFMMKKRAAALAVPTNDMAVRTRLRRLGEPITLFGEREMERRDRLRMLMAKLDSEGQLEKLMKVHEEEEAASTAAAEDAEEEFVQYPFYTEGSKELLDARIDIAKYSISKAALRLQRARRKRDDPDEDEDAEIDWSLNQAESLSLNCSELGDDRPLSGCSFSCDGEMLATCSLSGVAKIWSVPQVTKVSNLKGHMERATDVAFSPVHNHLATASADRTARLWNTDGSLLMKFEGHLDRLARVAFHPSGKYLGTTSFDKTWRLWDIDSGVELLLQEGHSRSIYGIAFHHDGSLAASCGLDALARVWDLRTGRSIMAFEGHVKPLLGISFSPNGYHLATGGEDNTCRIWDLRKKKSLYVIPAHSNLVSQVKFEPQEGYYLVTSSYDMTAKVWSGRDFKHVKTLSAHEAKVTSLDISADGRLIATVSHDRTIKLWSSRSNEKDAMEVE >Potri.001G290100.11.v4.1 pep chromosome:Pop_tri_v4:1:30246424:30251548:1 gene:Potri.001G290100.v4.1 transcript:Potri.001G290100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290100.v4.1 MHLTVLLQLQPPSRILLAECLKTKTRGKNTLRTNPETLLSVAVFYLSKLAAAYFLFGQMQALNPLQPGFTKLFNRQLLKPLNLRAFSSSSDDYSNQSRGGLPRFFSEELPASKGGVVRVQGDEFRHMTKVLRLGTNDRVELFNGKGGLIEGCIERIDRTGLDFVALEDPKLVPPLSTKWHVYAAFGTLKGDRADWLLEKCTELGAHSVTPLLTERSPSISENRVDRFRRVILAATKQSQRLHEMILNPPTKIVGLLPLLAQSKLSFLATAGATPVVSVLTSSRKESSGLMIVGPEGG >Potri.001G290100.9.v4.1 pep chromosome:Pop_tri_v4:1:30246341:30251851:1 gene:Potri.001G290100.v4.1 transcript:Potri.001G290100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290100.v4.1 MHLTVLLQLQPPSRILLAECLKTKTRGKNTLRTNPETLLSVAVFYLSKLAAAYFLFGQMQALNPLQPGFTKLFNRQLLKPLNLRAFSSSSDDYSNQSRGGLPRFFSEELPASKGGVVRVQGDEFRHMTKVLRLGTNDRVELFNGKGGLIEGCIERIDRTGLDFVALEDPKLVPPLSTKWHVYAAFGTLKGDRADWLLEKCTELGAHSVTPLLTERSPSISENRVDRFRRVILAATKQSQRLHEMILNPPTKIVGLLPLLAQSKLSFLATAGATPVVSVLTSSRKESSGLMIVGPEGDFTEKEVNMMMKAGASAVGLGPHRLRVETATMALLATLMLWSDSQ >Potri.001G290100.13.v4.1 pep chromosome:Pop_tri_v4:1:30246424:30250101:1 gene:Potri.001G290100.v4.1 transcript:Potri.001G290100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290100.v4.1 MHLTVLLQLQPPSRILLAECLKTKTRGKNTLRTNPETLLSVAVFYLSKLAAAYFLFGQMQALNPLQPGFTKLFNRQLLKPLNLRAFSSSSDDYSNQSRGGLPRFFSEELPASKGGVVRVQGDEFRHMTKVLRLGTNDRVELFNGKGGLIEGCIERIDRTGLDFVALEDPKLVPPLSTKWHVYAAFGTLKGDRADWLLEKCTELGAHSVTPLLTERSPSISENRVDRFRRVILAATKQSQRLHEMILNPPTKIVGLLPLLAQSKLSFLATAGATPVVSVLTSSRKESSGLMIVGPEGG >Potri.001G290100.14.v4.1 pep chromosome:Pop_tri_v4:1:30246424:30250935:1 gene:Potri.001G290100.v4.1 transcript:Potri.001G290100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290100.v4.1 MHLTVLLQLQPPSRILLAECLKTKTRGKNTLRTNPETLLSVAVFYLSKLAAAYFLFGQMQALNPLQPGFTKLFNRQLLKPLNLRAFSSSSDDYSNQSRGGLPRFFSEELPASKGGVVRVQGDEFRHMTKVLRLGTNDRVELFNGKGGLIEGCIERIDRTGLDFVALEDPKLVPPLSTKWHVYAAFGTLKGDRADWLLEKCTELGAHSVTPLLTERSPSISENRVDRFRRVILAATKQSQRLHEMILNPPTKIVGLLPLTLRRKK >Potri.001G290100.10.v4.1 pep chromosome:Pop_tri_v4:1:30246424:30250101:1 gene:Potri.001G290100.v4.1 transcript:Potri.001G290100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290100.v4.1 MHLTVLLQLQPPSRILLAECLKTKTRGKNTLRTNPETLLSVAVFYLSKLAAAYFLFGQMQALNPLQPGFTKLFNRQLLKPLNLRAFSSSSDDYSNQSRGGLPRFFSEELPASKGGVVRVQGDEFRHMTKVLRLGTNDRVELFNGKGGLIEGCIERIDRTGLDFVALEDPKLVPPLSTKWHVYAAFGTLKGDRADWLLEKCTELGAHSVTPLLTERSPSISENRVDRFRRVILAATKQSQRLHEMILNPPTKIVGLLPLLAQSKLSFLATAGATPVVSVLTSSRKESSGLMIVGPEGDFTEKEVNMMMKAGASAVGLGPHRLRVETATMALLATLMLWSDSQ >Potri.001G290100.12.v4.1 pep chromosome:Pop_tri_v4:1:30246424:30251548:1 gene:Potri.001G290100.v4.1 transcript:Potri.001G290100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290100.v4.1 MHLTVLLQLQPPSRILLAECLKTKTRGKNTLRTNPETLLSVAVFYLSKLAAAYFLFGQMQALNPLQPGFTKLFNRQLLKPLNLRAFSSSSDDYSNQSRGGLPRFFSEELPASKGGVVRVQGDEFRHMTKVLRLGTNDRVELFNGKGGLIEGCIERIDRTGLDFVALEDPKLVPPLSTKWHVYAAFGTLKGDRADWLLEKCTELGAHSVTPLLTERSPSISENRVDRFRRVILAATKQSQRLHEMILNPPTKIVGLLPLLAQSKLSFLATAGATPVVSVLTSSRKESSGLMIVGPEGG >Potri.001G290100.15.v4.1 pep chromosome:Pop_tri_v4:1:30246424:30250935:1 gene:Potri.001G290100.v4.1 transcript:Potri.001G290100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290100.v4.1 MHLTVLLQLQPPSRILLAECLKTKTRGKNTLRTNPETLLSVAVFYLSKLAAAYFLFGQMQALNPLQPGFTKLFNRQLLKPLNLRAFSSSSDDYSNQSRGGLPRFFSEELPASKGGVVRVQGDEFRHMTKVLRLGTNDRVELFNGKGGLIEGCIERIDRTGLDFVALEDPKLVPPLSTKWHVYAAFGTLKGDRADWLLEKCTELGAHSVTPLLTERSPSISENRVDRFRRVILAATKQSQRLHEMILNPPTKIVGLLPLTLRRKK >Potri.016G079600.1.v4.1 pep chromosome:Pop_tri_v4:16:6068870:6071223:-1 gene:Potri.016G079600.v4.1 transcript:Potri.016G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079600.v4.1 MRAHLQNMAPQLSSSFISIALLFAVAFANFGQQAHGSVFEFSYAGLHGPANWGSLNPKFSTCSSGKLQSPINIIKNEAVENKSLTPLTRVYKRGNAILVNNGFNIGLHYEGNCGVLIVDGKNYTFKQMHWHSPSEHQIDGVQYAAELHLVHLSDSGAIAVVSMLYELGDADPFISKITNKLGDLAKDACAGNEEAQIPIGALDNKLLRKNTRKYYRYIGSITSPPCTENVIWNVLGKVRTISKEQVEALKAPLGADYKQNSRPLQSLNGRKVELYNEFSD >Potri.001G138100.3.v4.1 pep chromosome:Pop_tri_v4:1:11286603:11288826:-1 gene:Potri.001G138100.v4.1 transcript:Potri.001G138100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138100.v4.1 MELADRAVGFLLSLTSISIFTYYTFWVIILPFVDSDHFVHQYFLPQEYAILVPVFAGMVLLCFLCVFVGFVMLKSKKKKA >Potri.010G011550.1.v4.1 pep chromosome:Pop_tri_v4:10:2119224:2120546:-1 gene:Potri.010G011550.v4.1 transcript:Potri.010G011550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011550.v4.1 MATSLGPEPSLMELFVEMHVRSEDRQKGVQQFIDNRAQYFVETYNSRLGERYEDDPSTHPNFDPDLWMEVGSFGEPDKNWVYGLSNTTAENLRAANSVSTVGSSQ >Potri.010G122100.2.v4.1 pep chromosome:Pop_tri_v4:10:13978496:13986496:-1 gene:Potri.010G122100.v4.1 transcript:Potri.010G122100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122100.v4.1 MATYNLALILGNPLNDDEFLLAKQTPPPKFGIEEYDSFVDSDLWDLPSKKLDLVEGELEPGSFVIEGIERTSLRKFDFDSAINKVLEQVGFKANDGGEWRFLKHLEEAEFGPGLPVHTVYISGKLLNRNQNLPGLCKWMSIQSCLSWLVDVKPSSDRVGPLVVLGLINDSVHSSEKVNTALDYQEYPPGVIIVPMRSRTAKPFHTTNLVVFAPESVKNKCEDYNFVAHGDALIVDPGCRANFHKELLKVVAPLSKKLVVFVTHHHRDHVDGLSVIQKCNPNATLLAHKNSMRRIGKGDWSLNHISVSGGEDICIGGQRLNIIFAPGHTDGHLALLHVDTHSLIVGDHCVGQGSALLDVTSGGNMTDYFQSTYKFIELAPHVLIPMHGRVNLWPKRMLCGYLKNRRSRELSILEAIENGAKTLIDIVANVYSEVDRSLWFPASSNVRLHVEHLAQQNKLPKEFSIQKFQRTCGVHFISRWIWAYLAAEFLSRSKNVHIPKILIGGAVVSFAVFYSLKYKFT >Potri.007G092300.1.v4.1 pep chromosome:Pop_tri_v4:7:11804979:11805824:1 gene:Potri.007G092300.v4.1 transcript:Potri.007G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092300.v4.1 MTCLSCEIEILQAKNVEFKSHGSLFVRYYLSTGNSKRIQLNSREISAKANLFWNESFSLECSGTEDSINNLKQQTVVFELRWRSTNPILGKIGGSQLLGRAEVPWKTVVESPKLEMERWVTMIPKKGSVPDDVKPPAVQIAMRVRVPAMAEMQEKKRRNGRLKRWDECGCCKDSGCRCEDYDIFVLVAALEAL >Potri.015G055900.1.v4.1 pep chromosome:Pop_tri_v4:15:7695836:7697452:1 gene:Potri.015G055900.v4.1 transcript:Potri.015G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055900.v4.1 MVRVSNTILAIFNCITLLIGLVIMGLGLYIFARGSATGCDKALQNPMIIVGAAISAISLFGLIGSCCRVNFALTLYLILLSLLLLCLIGFTVFAILVTNESIGKAFSKTKIMDFHNWLRDNLGDEKHWNDIIKSCAVQTKICHENNHKKLSDIQSGCCQPPVSCGFVSNNATLWNRPKTSPAVKYSGDCAAWSNRQDTLCFNCESCKAAYVVTSRKQWGQLAIANACFIAFTVIFYSIGCCARSNNQQDSHHRYRGYP >Potri.005G228100.3.v4.1 pep chromosome:Pop_tri_v4:5:22823213:22828224:1 gene:Potri.005G228100.v4.1 transcript:Potri.005G228100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228100.v4.1 MGKDRAKDVELPSCFSVKVHRSMCLELKNFVDRISQIYPAIESARPRCSSGVQALCSLVVNMDTAKLLIQHCANSSKLYLAITAERILLKCKRIQNALDLCLGQIQNVVPPFLAAKISGIIEDLRSAKFHLESSEEEAGKVVLALIRRGIPASDCIENSELEALRLAASSLKITSRLALLAEKRSIKRLLDKVHQTGLTKETILKCLLSLLRKYGELIQQDQTKNNLSMHKEKKCQSTYPEAFVDWYETRTQADGTPKPPIEFECPISTRLMYDPVIIASGKTYERVWIEKWFSEGHETCPMTNIRLENLSLTPNVAMKGLISKWCSLHEIIVSDPRQRSKFSPVSSLKCTSPESVTSFGSSMNDLRLQVSNVSLQSSDTNCGSHLIDDDGNIRSSARLPRMKEEMCTRHSSTNGCSIGLASLTKLASLPWKSQCKTVQDVKEELNKNNQACDCVFSDTSMKSLIKFLKVAHDLCDVRAQKDSVDVILAVLSEDRVEMPAFHGDSIYVLASLLDSKISGKALAILELLSHHQFYKSAVIASGVLPSILKILDSQNTESLELAMKILCNVSYDSDIAYHIVYLDFIPSLVPFLCDLNLSRYCRTVLKNLCRIEEGRIAIVETDSCITSMAQLLETGSELEQETTIEVLSLCYEELDCCQLIKGGSIIQSLFCISVNGTSRGKAIAMELLQLLGHTTEGVSFDLTMDISSGSSNHNKGEKSSSIFGYLGRKISGFLH >Potri.005G228100.4.v4.1 pep chromosome:Pop_tri_v4:5:22823213:22828220:1 gene:Potri.005G228100.v4.1 transcript:Potri.005G228100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228100.v4.1 MGKDRAKDVELPSCFSVKVHRSMCLELKNFVDRISQIYPAIESARPRCSSGVQALCSLVVNMDTAKLLIQHCANSSKLYLAITAERILLKCKRIQNALDLCLGQIQNVVPPFLAAKISGIIEDLRSAKFHLESSEEEAGKVVLALIRRGIPASDCIENSELEALRLAASSLKITSRLALLAEKRSIKRLLDKVHQTGLTKETILKCLLSLLRKYGELIQQDQTKNNLSMHKEKKCQSTYPEAFVDWYETRTQADGTPKPPIEFECPISTRLMYDPVIIASGKTYERVWIEKWFSEGHETCPMTNIRLENLSLTPNVAMKGLISKWCSLHEIIVSDPRQRSKFSPVSSLKCTSPESVTSFGSSMNDLRLQVSNVSLQSSDTNCGSHLIDDDGNIRSSARLPRMKEEMCTRHSSTNGCSIGLASLTKLASLPWKSQCKTVQDVKEELNKNNQACDCVFSDTSMKSLIKFLKVAHDLCDVRAQKDSVDVILAVLSEDRVEMPAFHGDSIYVLASLLDSKISGKALAILELLSHHQFYKSAVIASGVLPSILKILDSQNTESLELAMKILCNVSYDSDIAYHIVYLDFIPSLVPFLCDLNLSRYCRTVLKNLCRIEEGRIAIVETDSCITSMAQLLETGSELEQETTIEVLSLCYEELDCCQLIKGGSIIQSLFCISVNGTSRGKAIAMELLQLLGHTTEGVSFDLTMDISSGSSNHNKGEKSSSIFGYLGRKISGFLH >Potri.009G012300.1.v4.1 pep chromosome:Pop_tri_v4:9:2235511:2237598:-1 gene:Potri.009G012300.v4.1 transcript:Potri.009G012300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012300.v4.1 MERVAKSKREMKKKRSSSKEVVVVTKSKQEKKKSKSKTGNSSPREPVVLLLSSSSSSSSDSQELIEIEGEDDETNSGIKNRSMKSSKIKSANNAKRKGKDDDLDGEGEEDGTACRFPMARIKRIIKSEDSESLLSQDVVFLVNKATEKFLEQFSDEAYDYSVQDRKKSLAYKHLSTVVSKRRRFDFLSDFVPEKLNAKDALADRTLAMTGQG >Potri.009G110400.2.v4.1 pep chromosome:Pop_tri_v4:9:9477477:9480362:-1 gene:Potri.009G110400.v4.1 transcript:Potri.009G110400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110400.v4.1 MLRSKGISPSISTCNTLICEVSRGKGSFAGYGVFKEVFGLGSCELEGKMRRGFRNTPNVHSFNESVVGFYKDGEVQMVEEIWSEMGRFGCVANGFSFGVFNGFYFFCEGGRLSEAEKLWEEMRVKGIVPDIVAYNTIIGGFCKVGEVEKAEGLFREMGLSGIESTCVTFEHLSKGYCRIGGVDSAILVYKNMCRRGLC >Potri.002G076000.1.v4.1 pep chromosome:Pop_tri_v4:2:5310480:5314357:-1 gene:Potri.002G076000.v4.1 transcript:Potri.002G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076000.v4.1 MRIRGMALQFTITGVLLAAVTAATELPIAKPGCQEDRCGNVSIPYPFGTGEDCYYDPQFLITCNHTFNPPKAFLGNGNLSVTEITLDGKLRLMQYIAKDCYNRAGARTTRNIPWINLPVQGPYVFSDTDNMFVAIGCDTYAGLLGIREDTNDTYLVGCISECSNKTVVPNTCSGVGCCQTSIAKGMKYFEVRLSSETNHTGIWEFNPCSFAFMIEKKQFSFFPSNLSDLEQVRKVPIIVDWSIGRNKCETLEKNKMSNACQGQSKCHDPENGSGYICKCLDGYQGNPYLPNGCQNINECSDPKVARNCSHNCIDTEGNYTCSCPKGYHGDGRIDGERCIRNRSSVIQVAVGIGAGLTSLLMGITWLYWGYSKWKLMKLKEKFFRQNGGLMLEQQLSRREGPVTETAKIFSAAELEKATDKYHESRILGRGGFGTVYKGTLTDGRTVAIKKSKTIDHSQIEQFINEVVVLYQINHRNVVKLLGCCLETEVPLLVYEYVANGTLYDHIHDKSKVSALTWEIRLKIASETAGVLSYLHSAASVPIIHRDVKSTNILLDNSYTAKVSDFGTSRLIPLDQVELSTMVQGTLGYLDPEYLHTSQLTDKSDVYSFGVVLVELLTGMKAISFHKPEGERNLSSYFLCALKEDRLVHILQDCMVNQDNIRQLKGVANIAKKCLRVKGEERPYMKNVAMELEGLRTSAKHPWTNDKSDVEETEYLLGESVETVRSEEMAGTSAGYHSLYLMQSQGDGR >Potri.012G016600.11.v4.1 pep chromosome:Pop_tri_v4:12:1876690:1880690:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.012G016600.3.v4.1 pep chromosome:Pop_tri_v4:12:1876668:1880654:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELAITADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.012G016600.9.v4.1 pep chromosome:Pop_tri_v4:12:1876585:1880690:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.012G016600.14.v4.1 pep chromosome:Pop_tri_v4:12:1875855:1880630:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.012G016600.10.v4.1 pep chromosome:Pop_tri_v4:12:1877416:1880687:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELAITADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.012G016600.13.v4.1 pep chromosome:Pop_tri_v4:12:1876683:1880641:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.012G016600.8.v4.1 pep chromosome:Pop_tri_v4:12:1876530:1880690:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.012G016600.12.v4.1 pep chromosome:Pop_tri_v4:12:1876168:1880653:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.012G016600.6.v4.1 pep chromosome:Pop_tri_v4:12:1875853:1880668:1 gene:Potri.012G016600.v4.1 transcript:Potri.012G016600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016600.v4.1 MDGKEVSGSYLMVSEGKSDSFYPMYFGVSCAFLALKVLTRPDKEDDRWSELCDKMLQGSAQLLGLLVWKIQRGGANGQCELLHKLETAKKEIMELKKIRCEDAKANEKVVSIYASQEQNWLIERKKLRQHIGALMNELRFLEKKNEEAISELNEKLNEMELLVQSKDKAVEEEEYKRKELEEKLAKTEKIAEELRETAKREAQEHSTDLWKHKTAFLELVSNHRQLEAEMGRALRQLEAKRQELDSVLEQKEESVLLTQKLSMEVVKMRKDLEQKDKILSAMLRKSKMDTTEKELLLKEVKLSKAKRKQAELERERWKSVSESKHERHSLRSMFSHHANLRSDDPPIETGASQAVNGRSQSIDYDIEYENPEFQKNSEAFSPLSNLYSPGGNDELADVKRLEGWVRSEAQKYAAAIEKKHHLEIGAFAEQMRLKDEKLEAFRWRTLSMEIESKRLQSHIEGLNRDVSQIRHESMKLEALLLERQEEITELKRQLKVQVKPQFCQKANLSSSLEDPAVAHDAICSNAKNVMKEPTENDQGTKVHQMETSREMDPEKEEDDEEGLHNQFKNVVKTVQSPEKEFEEEKDVASHGGTQEESASPVVVDTVEKLALTSQSSMKTNNSPWRMDLHALGVSYKIKRLKQQLLMLERLAGKQDSGEHIGNSDEAKTGIKGFKLLMSLLNKQVNRYQSLQGKTDELCKRMHDNDVDMSRGDSNTSTARKKEETKTLEHFLEETFQVQRYMVATGQKLMEVRSKIASGFVEVPEELEKSAGSFDIKRFAENIKILFQEVQRGLEVRISRIIGDLEGTLACEGMIRMRR >Potri.001G466300.1.v4.1 pep chromosome:Pop_tri_v4:1:49139405:49140721:-1 gene:Potri.001G466300.v4.1 transcript:Potri.001G466300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466300.v4.1 MLASSVKLTDLCDFVENPDGSLTRNSPFPDVPPTEQITPGSKELSLSKDIPLNPNNKTFLRLFRPLNPPQNTRLPLIIYYHGGGFVLYSAATLAFHQTCSDMASHFPALVLSVDYRLAPEHRLPAAYQDAMESIKWVQNQVLDINGPSCEPWFKEYLDFSRSFLMGMSAGGNIAYHANLLALNIDIKPLKIIGLILNVPYFSAVTRTESEKRLINDPVLPLATSDRMWALSLPEDTDRDHEYCNPIVGGSLEKNKIKRLPRCFFRGYGGDPLVDKQKELVKMLESRGVDVVAMFDEDGFHGVEVFDPAKAKAFYDYVKEFVYTTV >Potri.003G129800.1.v4.1 pep chromosome:Pop_tri_v4:3:14840066:14844980:-1 gene:Potri.003G129800.v4.1 transcript:Potri.003G129800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129800.v4.1 MAGWLILTNGSSLDCPDDIIYRYKGGGGCPDINHPMKDEIKECIVVDDSDEDDCDCEDKVVCLFDEVVSIFLNEAADGGCIRPIPALLGDGQSLDLFKLFWVVRKRGGFDLVNGFWSFVAKELGLDLRFSPSVKLIYIKYLYELEKCMKICSDEKLRKEMHQCDGNLSFSLLELEMQFRSLLLLRCDRKQGDGKFASLGYKVNGRYIETDTGKRKIGLLGTKDVHRIHNDVGDRNGDDDEKFHGEKENYCDDSDDDVVILDPSIAKKEFNSRKRKRESLTRMLNWVIQIAKCPDDPSIRVIHRGDELWSQAIRAREALLQRRHVNPNIEQSLVQVCNMNLQNNQKMHPSMFEDVSFLSEQSAERSRCSKRHPAIVKPHLCYCCNSCSAHQSKSASLLKCENACKEQEHDLKQELVIDDLSSKHTTLSGSGDKHVRRHVAVGPLFQAEVPEWIGVVSESASKWLGTRLWPLECENNNAPVAMDPIGKGGISLCGCQLPGSVGCVRFHIAEKRMKLKLELGPLFYHWRFDHMGEEVSLRWTTEEEKRFKDMVRFNPQSAGKCFWDNKRKYFPRKTREELISYYFNAYLVQRRSYQNRVTPKYIDSDDDETKFGSLSDAYGHEALTVPGANMLICSENKQCTDFT >Potri.003G129800.3.v4.1 pep chromosome:Pop_tri_v4:3:14840153:14844756:-1 gene:Potri.003G129800.v4.1 transcript:Potri.003G129800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129800.v4.1 MAGWLILTNGSSLDCPDDIIYRYKGGGGCPDINHPMKDEIKECIVVDDSDEDDCDCEDKVVCLFDEVVSIFLNEAADGGCIRPIPALLGDGQSLDLFKLFWVVRKRGGFDLVNGFWSFVAKELGLDLRFSPSVKLIYIKYLYELEKCMKICSDEKLRKEMHQCDGNLSFSLLELEMQFRSLLLLRCDRKQGDGKFASLGYKVNGRYIETDTGKRKIGLLGTKDVHRIHNDVGDRNGDDDEKFHGEKENYCDDSDDDVVILDPSIAKKEFNSRKRKRESLTRMLNWVIQIAKCPDDPSIRVIHRGDELWSQAIRAREALLQRRHVNPNIEQSLVQVCNMNLQNNQKMHPSMFEDVSFLSEQSAERSRCSKRHPAIVKPHLCYCCNSCSAHQSKSASLLKCENACKEQEHDLKQELVIDDLSSKHTTLSGSGDKHVRRHVAVGPLFQAEVPEWIGVVSESASKWLGTRLWPLECENNNAPVAMDPIGKGGISLCGCQLPGSVGCVRFHIAEKRMKLKLELGPLFYHWRFDHMGEEVSLRWTTEEEKRFKDMVRFNPQSAGKCFWDNKRKYFPRKTREELISYYFNAYLVQRRSYQNRVTPKYIDSDDDETKFGSLSDAYGHEALTVPGANMLICSENKQCTDFT >Potri.003G129800.2.v4.1 pep chromosome:Pop_tri_v4:3:14840066:14844908:-1 gene:Potri.003G129800.v4.1 transcript:Potri.003G129800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129800.v4.1 MAGWLILTNGSSLDCPDDIIYRYKGGGGCPDINHPMKDEIKECIVVDDSDEDDCDCEDKVVCLFDEVVSIFLNEAADGGCIRPIPALLGDGQSLDLFKLFWVVRKRGGFDLVNGFWSFVAKELGLDLRFSPSVKLIYIKYLYELEKCMKICSDEKLRKEMHQCDGNLSFSLLELEMQFRSLLLLRCDRKQGDGKFASLGYKVNGRYIETDTGKRKIGLLGTKDVHRIHNDVGDRNGDDDEKFHGEKENYCDDSDDDVVILDPSIAKKEFNSRKRKRESLTRMLNWVIQIAKCPDDPSIRVIHRGDELWSQAIRAREALLQRRHVNPNIEQSLVQVCNMNLQNNQKMHPSMFEDVSFLSEQSAERSRCSKRHPAIVKPHLCYCCNSCSAHQSKSASLLKCENACKEQEHDLKQELVIDDLSSKHTTLSGSGDKHVRRHVAVGPLFQAEVPEWIGVVSESASKWLGTRLWPLECENNNAPVAMDPIGKGGISLCGCQLPGSVGCVRFHIAEKRMKLKLELGPLFYHWRFDHMGEEVSLRWTTEEEKRFKDMVRFNPQSAGKCFWDNKRKYFPRKTREELISYYFNAYLVQRRSYQNRVTPKYIDSDDDETKFGSLSDAYGHEALTVPGANMLICSENKQCTDFT >Potri.015G108700.2.v4.1 pep chromosome:Pop_tri_v4:15:12650532:12655204:1 gene:Potri.015G108700.v4.1 transcript:Potri.015G108700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108700.v4.1 MIRLLEKWQKLLNSSGNMVLLLVLLMLVFDVSYTQAFQENGNKIKSRTYLSPEFMLGPGSVESKTYDDIDFPRGHIALKSFNAEVVDQDGNPVPLYETYIHHWLVGKYYENRPSQRNFSRNSGLCQGQILGQYFGLGSETRKTATHIPDPFGIEIGNPAEIPEGYQEKWYLGIHAIETRGAEDRLGCIECWCDLYNVTNDEYGNPIRPDYKGGLFCCYGQTQCKVRQGFQGGKRSLYLRYTVKWIEWDSSTIPVEIFVLDATDTGKRFLGSTGISPEDGCQVEYEVESCTSTDAAGNGCIDIKRNSVTMPTGGYVVYAVAHQHAGGIGSTLYGQHKGTFFNLSSV >Potri.015G108700.1.v4.1 pep chromosome:Pop_tri_v4:15:12650532:12655204:1 gene:Potri.015G108700.v4.1 transcript:Potri.015G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108700.v4.1 MIRLLEKWQKLLNSSGNMVLLLVLLMLVFDVSYTQAFQENGNKIKSRTYLSPEFMLGPGSVESKTYDDIDFPRGHIALKSFNAEVVDQDGNPVPLYETYIHHWLVGKYYENRPSQRNFSRNSGLCQGQILGQYFGLGSETRKTATHIPDPFGIEIGNPAEIPEGYQEKWYLGIHAIETRGAEDRLGCIECWCDLYNVTNDEYGNPIRPDYKGGLFCCYGQTQCKVRQGFQGGKRSLYLRYTVKWIEWDSSTIPVEIFVLDATDTGKRFLGSTGISPEDGCQVEYEVESCTSTDAAGNGCIDIKRNSVTMPTGGYVVYAVAHQHAGGIGSTLYGQDGNVICASIPIYGNGNEAGNEDGYIVGMSTCYPEPGSVKITAGENLTLESNYDSTNKHTGVMGFFYIYIAEQAPNVTFSHAPVQMHESIKVKTCAWSIVVFIGLAVTAAVSVHSWLKKRREGGYKLVPE >Potri.004G157200.1.v4.1 pep chromosome:Pop_tri_v4:4:17818688:17820490:-1 gene:Potri.004G157200.v4.1 transcript:Potri.004G157200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157200.v4.1 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSVLSDRMRISGSLARKAIRELMARGSIRMVSSHASQQIYTRATNT >Potri.001G099900.3.v4.1 pep chromosome:Pop_tri_v4:1:7983660:7987875:1 gene:Potri.001G099900.v4.1 transcript:Potri.001G099900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099900.v4.1 MATTSTTKESNSSGSTSEGGGAVHRNGSEIKGLLTHGGRYVQYNVYGNLFEVSSKYVPPVRPIGRGAYGIVCAAVNSETHEEVAIKKIGNAFDNIIDAKRTLREIKLLCHMDHENVIAMRDIIRPPKMEAFNDVYIVYELMDTDLHQIIRSEQSLNDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTAETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITEVPLTMLALAFCEVIMPEDMLDSFRNTKRKFSQLRFPICLLELLIYWRKCLCLIPVIASLLMRPFVTNICHLSMISMMSLSAPGLFILILSNHHVPKST >Potri.001G099900.4.v4.1 pep chromosome:Pop_tri_v4:1:7984367:7987874:1 gene:Potri.001G099900.v4.1 transcript:Potri.001G099900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099900.v4.1 MLVSVCFSGIMMNCGAAVNSETHEEVAIKKIGNAFDNIIDAKRTLREIKLLCHMDHENVIAMRDIIRPPKMEAFNDVYIVYELMDTDLHQIIRSEQSLNDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTAETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSNNARRYVRQLPQYKKKIFSATFPNMSPGAVDLLEKMLVFDPSNRITVDEALCHQYLSSLHDINDEPVCPRPFHFDFEQPSCTEEHIKELIWRESMKFNPNPSC >Potri.001G099900.5.v4.1 pep chromosome:Pop_tri_v4:1:7984367:7987874:1 gene:Potri.001G099900.v4.1 transcript:Potri.001G099900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099900.v4.1 MDHENVIAMRDIIRPPKMEAFNDVYIVYELMDTDLHQIIRSEQSLNDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTAETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSNNARRYVRQLPQYKKKIFSATFPNMSPGAVDLLEKMLVFDPSNRITVDEALCHQYLSSLHDINDEPVCPRPFHFDFEQPSCTEEHIKELIWRESMKFNPNPSC >Potri.001G099900.6.v4.1 pep chromosome:Pop_tri_v4:1:7983708:7987874:1 gene:Potri.001G099900.v4.1 transcript:Potri.001G099900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099900.v4.1 MRDIIRPPKMEAFNDVYIVYELMDTDLHQIIRSEQSLNDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTAETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSNNARRYVRQLPQYKKKIFSATFPNMSPGAVDLLEKMLVFDPSNRITVDEALCHQYLSSLHDINDEPVCPRPFHFDFEQPSCTEEHIKELIWRESMKFNPNPSC >Potri.001G099900.2.v4.1 pep chromosome:Pop_tri_v4:1:7983652:7987973:1 gene:Potri.001G099900.v4.1 transcript:Potri.001G099900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099900.v4.1 MATTSTTKESNSSGSTSEGGGAVHRNGSEIKGLLTHGGRYVQYNVYGNLFEVSSKYVPPVRPIGRGAYGIVCAAVNSETHEEVAIKKIGNAFDNIIDAKRTLREIKLLCHMDHENVIAMRDIIRPPKMEAFNDVYIVYELMDTDLHQIIRSEQSLNDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTAETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITELIGSPDDASLGFLRSNNARRYVRQLPQYKKKIFSATFPNMSPGAVDLLEKMLVFDPSNRITVDEALCHQYLSSLHDINDEPVCPRPFHFDFEQPSCTEEHIKELIWRESMKFNPNPSC >Potri.001G099900.7.v4.1 pep chromosome:Pop_tri_v4:1:7984367:7987875:1 gene:Potri.001G099900.v4.1 transcript:Potri.001G099900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099900.v4.1 MDHENVIAMRDIIRPPKMEAFNDVYIVYELMDTDLHQIIRSEQSLNDDHCQYFLYQLLRGLKYVHSANVLHRDLKPSNLLLNSNCDLKIGDFGLARTTAETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTREPLFPGKDYVHQLRLITEVPLTMLALAFCEVIMPEDMLDSFRNTKRKFSQLRFPICLLELLIYWRKCLCLIPVIASLLMRPFVTNICHLSMISMMSLSAPGLFILILSNHHVPKST >Potri.008G206100.3.v4.1 pep chromosome:Pop_tri_v4:8:15226929:15227363:-1 gene:Potri.008G206100.v4.1 transcript:Potri.008G206100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206100.v4.1 MSLVDFFFCQFSHTFPYLYISLICFFVVVAKKINIESNPYQESINSRKPVLSYKEKNNDPIDTAPISLRTRGVSAPRDGIIKGTPGTLSPKVTSLPPTTEVIHLEKDALLEAVIAASLRTLTFPAFRIKLVDQVQKVTLVPMKV >Potri.006G188500.1.v4.1 pep chromosome:Pop_tri_v4:6:19557649:19558037:1 gene:Potri.006G188500.v4.1 transcript:Potri.006G188500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188500.v4.1 MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Potri.015G027800.1.v4.1 pep chromosome:Pop_tri_v4:15:2114744:2116524:-1 gene:Potri.015G027800.v4.1 transcript:Potri.015G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G027800.v4.1 MEDTIVLYPSPGRGHLFSMVELGKQILEHHPSISITIIVSAMPTESISIDDPYFSTLCNTNPSITLIHLPQVSLPPNTSFSPLDFVSSFFELPELNNTNLHQTLLNLSKSSNIKALITDFFCSAAFEFVSSRHNIPIYFFYTSDASGLCTFLHLPILDKIITKSFKDLDIIIDLPGIPKIPSKELPPAISDRSHRVYQYFVDTAKLMIKSAGLIINTFEFLERKALQAIQEGKCGAPDEPVPPLFCVGPLLTTSESKSEHECLTWLDSQPTRSVLFLCFGSMGVFNSRQLRETAIGLEKSGVRFLWVVRPPLADSQTQAGRSSTPNEPCLDLLLPEGFLERTQDRGFLVNSWAPQVEILNHGSVGGFVTHCGWNSVLEALCAGVPMVAWPLYAEQRMNRIFLVEEMKVALAFREAGDDQFVNAAELEERVIELMNSKKGEAVRERVLKLREDAVVAKSDGGSSCIAMAKLVDCFKKG >Potri.002G028400.1.v4.1 pep chromosome:Pop_tri_v4:2:1897928:1900112:-1 gene:Potri.002G028400.v4.1 transcript:Potri.002G028400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028400.v4.1 MARGKIQIKKIENSTNRQVTYSKRRNGLFKKAHELTVLCDAEVSLVMVSCTDKVHDYTSPSTTTKRIFDQYQQTKGIDLWSSHYEIMKENLEKLKEVNMKIRREMRQRMGQCLNGLSFQDLQSLESDMESAWRVIHDRADRVLTNQIETSKKKARNVEQINRKLQVELEAMDQDPYGLVDNGGDYNSVMGF >Potri.002G124500.1.v4.1 pep chromosome:Pop_tri_v4:2:9475129:9477761:1 gene:Potri.002G124500.v4.1 transcript:Potri.002G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124500.v4.1 MATRDSVSLYKLWISVITILPFTQTLSQPENYIVHMDLSVMPKAFSGQYHWYLSTLASIIDVSDNSTTRATSATLTASSKLLYSYTHVINGFSASLTPSELEVLKNSPGYISSIKDLPVKQDTTHSSKFLGLTPQSLAWKVSNYGEGIIIGLVDSGVSPESQSYNDHGMPEIPERWKGECESGTQFNSSLCNKKLIGARFFNKGLIAMYPNITISMNSTRDTDGHGTHTSSTAAGNYVEGASYFGYAPGTANGVAPRAHVAMYKVFFDEAGASTTDIIAAIDQAISDGVDVLSLSFGLDGIPLNEDPIALATFAAVEKNVFVSTSAGNEGPFYETLHNGIPWVLTVAAGTLDREFNAVLTLCNGISITGSSLYLGTTYFYEVPIVFMDGCHKVSELNKIGPKIVVCQGGNDGNDLSDQVENVIKANVTAGVFITNFTDTEEFIQSQFPVVLLNQKDGKTIIDYIKNSNKPQASVEFRKTNLGIKSAPSVTGYSSRGPSTSCPLVMKPDIMTPGSLILASWPQNVAVALNNSQPLFSNFNILSGTSMACPHAAGVAALLRKAHPDWSPAAIRSAMMTTADIMDHTMKPINDIGFGNKTQLASPLAMGAGQVNPNKALDPGLIYDVNSNDYVRLLCALKFTEKQIQAITRSSSTNCSNPSTDLNYPSFIAYFNAKDSPSNLTTVREFQRTVTNVGAEMSTYTVNVTPMIGLKVSVIPDKLEFRAKYEKLSYKLIIEGPALLDETVTFGYLSWVDVGGKHIVRSPIVSTSLSPQLS >Potri.006G084400.2.v4.1 pep chromosome:Pop_tri_v4:6:6298824:6300869:-1 gene:Potri.006G084400.v4.1 transcript:Potri.006G084400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084400.v4.1 MVMEAQQQEDGWPLGLRPLNARVGLVRNRDFNGSISFSTLLTASNSSFTDSSSDLDTESTGSFFHDKSLTLGSLIGVSSILELSRRSTRGRTTETLREQKNYKSKPWMFSICSRLSPDVVNTNNNAPSLGHFLEVERRAAANIHRRNMIYGPRNFSPILPNSGVNSQFLGDQIAPQSSASLGVDGGRRSNTELLQHGTGYGASLVLSCLCGQLIE >Potri.006G084400.4.v4.1 pep chromosome:Pop_tri_v4:6:6298824:6301056:-1 gene:Potri.006G084400.v4.1 transcript:Potri.006G084400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084400.v4.1 MVMEAQQQEDGWPLGLRPLNARVGLVRNRDFNGSISFSTLLTASNSSFTDSSSDLDTESTGSFFHDKSLTLGSLIGVSSILELSRRSTRGRTTETLREQKNYKSKPWMFSICSRLSPDVVNTNNNAPSLGHFLEVERRAAANIHRRNMIYGPRNFSPILPNSGVNSQFLGDQIAPQSSASLGVDGGRRSNTELLQHGTGYGASLVLSCLCGQLIE >Potri.003G209000.1.v4.1 pep chromosome:Pop_tri_v4:3:20780372:20783362:1 gene:Potri.003G209000.v4.1 transcript:Potri.003G209000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G209000.v4.1 MESGRPSSTNHELSHQVSLDIDRLAQSVTEELEISNPFSDTCCIYKVPERLRELNEKAYTPRLVSIGPIHHGNDKLKAMEDHKRMYLKEFIARTKVSVKGFIELIKEKETRLRNCYAETNGFSSEYFIKMILMDAAFVIMLLLKWTYTDFRGSRDSIFYPPYKSVDVRVDICLLENQLPFFILEELCGLSPIFGNSPKATLIGLTHWFFSYEWGSWAVGEYLGGVDFSEVKHLVDFLTIYHRPTEQQQYEELEVLTAPSVKELHQAGVKFVLSSSKHLLDIKFDRNKGRLEFPRLQLDDRTEIIIRNMQAFEQCHGLTHGYVGDYIFLMGLFVSASKDVEMLVENRIIENWLPSNEEVVQLFYNLNKQNSVWSGTFLFKGLIKDLNAFCERPWNKWKANLKQNYFNTPWAAVSVSGAVILLILTVIQSVCSILQVV >Potri.019G004599.1.v4.1 pep chromosome:Pop_tri_v4:19:88082:92431:-1 gene:Potri.019G004599.v4.1 transcript:Potri.019G004599.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G004599.v4.1 MASVSFESHSSSSSSSRHGSTYDVFLSFRGADTRNNFTDHLYAALDQAGIYTFRDGNELPPGQEISSQLSRAIRESRISVVVFSKGYASSRWCLDELVKILECRHAMGQLLVPIFYDIDPSYVRKQKWNVGEALKRKEEDFEIEMERLKRWREALDEAGNISGWILKDMANGYESKFIQKIVEDLLHKLGPKCLDVAKYPVGIESRVDYIIDLLSIHSNDVRVVGVYGMPGIGKTTIAKAVFNQLCHGFEGSSFISNVKEKTVEQLQEQLLCDILKPNTWKIDNVSKGVNLMKDRFRNKRVLVVLDDFDQLKQLEALVRERNCFGPGSRIVITTRDEHLLTQIEVDGKYHVKELHQHESLQLFSLHAFKDTHPEEDYVELSNAIVDYAGGVPLALEVLGSYLFRRNISVWKSAIKKLRKIPNRQIQKTLRISFDTLDDDKVKAMFLDIACFFIGWDKEYVVEILDGRGFFPDIGIDILIQRSLLSINDENELNMHDLIRDMGREIAREVSYDHPGKRNRIWLLEDALDVLNNQTGTDAVEGLALDVRASTVASLSTKSFTNMRRLKLLQINGAHLAGSYKLLPNELIWLCWLECPMKSLPSDLQLNNLVVLDLQHSNIEELWKGTKILNKLKILNLSYSKLLVKTPNFQGLPSLEILKLTACTSLAKVHPSIGHLKRLVSLNLEGCCRLKTLPESICNLKSIETLNISLCSQLEKLPEFLGDMESLTELLANGTAIKQLPASTGYLKKLTRLSLVGDSYKHDLQSKSWFSRFSSWLSWRSCSTSIAMLPTPLTGLTSLKELDISYCGLHEASSSIDIGSLSCLEKLNLSGSKFSNLPSSIGHLLNLKDLWVRRCPNLFLSTSELPLSLTRLYACICSTMERVPVLGEKRLLVTSVGCLNLIEFRHMELLDTSSSILNFDDCNDLSNNYKETLVQELFKGEMCEISFSASEIPEWFSLRGEGSSLSFHLPSVLGSYGNQLQGLLIGVVYATSLEGSFAPCRTLLRNKSNGKVMFERSSSIKFDPSSTRNSWILSLPLIGGYRCAVKGVEELELNVEISSSGVEQCGVHLITKNNAVSNIGKLDRDIYSLARTDTMIVPSYHHQAVASSSPNEWLESCLTRELQRWKIYSAIKVSFGMDFIY >Potri.001G195300.1.v4.1 pep chromosome:Pop_tri_v4:1:18587616:18595776:-1 gene:Potri.001G195300.v4.1 transcript:Potri.001G195300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G195300.v4.1 MAMAAAIVATMPALKHLIRITFTPPFPRKLQKFHSLASLSPNFTQEGPQQQRLEQEQQNQLTNNNNTSRSSSSTSYFPKRGQTLELVCESLAFKGKGVCKVAGTGFVVMCDRALPGERFIGRVTRRKGSYAEVTKVETITPHWDLVDAPCEYAPYCGGCKTQNLSYESQLRAKEQQVRELTIHVGKFSDKVPEFCSIMKPIVPCVIQFHYRNKMEFSFGSKKWLPKELLGEKQVGNEKYALGLHAPGFFDKVLNVDKCLLQSEPANMVLAAIQDCWRDSQFGLTPYDVHSHTGFLKHLMLRTGRNAETGLPELMVNFVTSSYKPELLKPLVEKISAIPEVVSIMNNVNTSVGNTSVGEEEYTLYGKSTITEILRGLTFQISANSFFQTNTHQAEVLYKLIEDCAGLRGDGSQIVLDLFCGTGTIGLTLARRAKHVYGYEVVPQAISDAHRNAKLNRISNATFVQGDLNKIDESFGNNFPKPDIVISDPNRPGMHMKLLKFLIKLKAPRIVYVSCNPATCARDLDYLCHGVKEKNIEGCYKLKSLQPVDMFPHTPHIECICLLELF >Potri.004G103700.1.v4.1 pep chromosome:Pop_tri_v4:4:9105280:9109709:1 gene:Potri.004G103700.v4.1 transcript:Potri.004G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G103700.v4.1 MDSRRAPRTASDPKVRKVGFFTAPPDRSLSGPPDHPIFSFPTSPPPVVDNSSPSAGNSLSPVMIPPPRHSSATLSPLRRDSAELFPPSVSPTSPASFSDDVAAAVMGRGAVAASSSLPGGLGFDIAAVKSAASSVPASGLTTVSVVNNLPIGISEKGGGVAVEMQNDQSTRSKSLKEKTTKAERRALQEAQRAAKAAAKAEGGKTPAAASGAAASVNTKSTKSVKPPPSQKNDNATVAASEKKGGDRPPEKDRKKEVPQPRMQYDDKSRVEKAKRRAVVKQTEARNRVELFRHLPQYERGTQLPELESKFFELDPMHPAVYKVGLQYLSGDICGGNARCIAMLQAFQEAIKDYSTPPEKTLPRDLTAKIGSYVSFLIECRPLSISMGNAIRFLKNRIAKLPLSLSESEAKTNLHSDIDRFINEKIIIADKVIVKHAVTKISDGDVLLIYGSSSAVEMVLLHAHELGKQFRVVVVDSRPKLEGQLLLRRLVGKGINCTYTHINAISYIMHEVTRVFLGASSVLSNGTVYSRVGTACVAMVAHSFHVPVLVCCEAYKFHERVQLDSICSNELGDPDVISKVHGREDINFLDGSDNSAILQLLNLIYDATPSDYVSMIITDYGMVPPTSVPVIVREYRREHLWI >Potri.005G039500.5.v4.1 pep chromosome:Pop_tri_v4:5:2528354:2533930:1 gene:Potri.005G039500.v4.1 transcript:Potri.005G039500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039500.v4.1 MSQQENSSRDKRWSLQGMTALVTGGTKGLGYAIVGELAALGAIVHTCGRSQDQLNENLREWKAKGFKVTGSVCDLSSDAEREKLMEEVSSLFDGKLDILINNAGGNIHKGTLDYTTEDFVSIMNINLGSAFHLSQLAHPLLKASGAGRIVFMSSITSVVSINPQYPIYSATKGGMNQLTRNLACEWAKDNIRVNAVAPWSIRTPLTEQFLDDEKFLKEVFNRTPMKRLGEPGDVSSVVAFLCFPAPGFLTGQVICVDGGMSVNGFSMG >Potri.004G020300.1.v4.1 pep chromosome:Pop_tri_v4:4:1459451:1466466:1 gene:Potri.004G020300.v4.1 transcript:Potri.004G020300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020300.v4.1 MQPTKLLNPKAATKAAAAAAVTTTMPNELLAQKPSASSVLDKFRSLLKQRQGSAVEDDGGGDGASLRLEDVVEIYETVLNELTFNSKPIITDLTIIAGEQREHGEGIADVLCARIVEAPVDQKLPSLYLLDSIVKNIGREYIRHFSSRLPEVFCEAYRQVDPSLYPSMRHLFGTWSSVFPSSVLHKIETQLHFSPQVNDQSSSLTSFRASESPRPPHGIHVNPKYLRQLDHSTADNNVQHAKGTSSNLKIYGKKPTVGYDEYESDQAEAISSQVGVGRTSLTLGSNKLQPSSTSRLARRLSPSTTGAERPLSSEIDDFAVGNSPRRFVEALSPSHPLFDYGHSRAIVRDEEANELRRNNYSDDNHNRFEPSARYRLSNGLEHQGPRALIDAYGDDRGKRITSSKPLHIEQLAVNGVHNKVASRSWQNTEEEEFDWEDMSPTLSERGRSNDFLPSSIPPFGSVVPRPAFGRLSAIHAESDIRSNRSSLAPMAFVDGSSNIAEEAVSILGSGRGSTSKIPGFRTERNQISGSRHHQEAWNFPPHIHQSAHLLNSKGRGRDFQMPLSGSGVSSLGGENYSPLAEKLPDIDAQLNRPPAIASRWGSNIDSTSSGTWSSVAPPSSGVWPPVNARKSLPPPVHRIFPPPEQSRSQFDPINASSTVINQVLQKGSVMPEQPFNSFENKDYNSMKPTPMSNQHAALNQQNQAHVNPFQPQQLPSHEARENFHPSGVTSMPPRPLAPPLNHGYNTHGHSTAISMVPSNALPAVQLPLPVNNIPNMLHSQVGVRPPLPPGPPPQTMPFPQNASSGVPGQPSGSAFSGLFNSLMAQGLISLTKQTPVQDSVGLEFNADLLKLRYESAISALYGDLPRQCTTCGLRFKCQEEHSTHMDWHVTKNRMSKNRKQKSSRNWFVSASMWLSGAEALGTDAAPGFLPTETTVEKKDDDEMAVPADEEQSTCALCGEPFDDFYSDETEEWMYRGAVYLNSSNGSTAGMDRSQLGPIVHAKCRSDSSVVPPEDFGHDEGGNSEEGNQRKRMRS >Potri.004G020300.5.v4.1 pep chromosome:Pop_tri_v4:4:1459387:1466536:1 gene:Potri.004G020300.v4.1 transcript:Potri.004G020300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020300.v4.1 MQPTKLLNPKAATKAAAAAAVTTTMPNELLAQKPSASSVLDKFRSLLKQRQGSAVEDDGGGDGASLRLEDVVEIYETVLNELTFNSKPIITDLTIIAGEQREHGEGIADVLCARIVEAPVDQKLPSLYLLDSIVKNIGREYIRHFSSRLPEVFCEAYRQVDPSLYPSMRHLFGTWSSVFPSSVLHKIETQLHFSPQVNDQSSSLTSFRASESPRPPHGIHVNPKYLRQLDHSTADNNVQHAKGTSSNLKIYGKKPTVGYDEYESDQAEAISSQVGVGRTSLTLGSNKLQPSSTSRLARRLSPSTTGAERPLSSEIDDFAVGNSPRRFVEALSPSHPLFDYGHSRAIVRDEEANELRRNNYSDDNHNRFEPSARYRLSNGLEHQGPRALIDAYGDDRGKRITSSKPLHIEQLAVNGVHNKVASRSWQNTEEEEFDWEDMSPTLSERGRSNDFLPSSIPPFGSVVPRPAFGRLSAIHAESDIRSNRSSLAPMAFVDGSSNIAEEAVSILGSGRGSTSKIPGFRTERNQISGSRHHQEAWNFPPHIHQSAHLLNSKGRGRDFQMPLSGSGVSSLGGENYSPLAEKLPDIDAQLNRPPAIASRWGSNIDSTSSGTWSSVAPPSSGVWPPVNARKSLPPPVHRIFPPPEQSRSQFDPINASSTVINQVLQKGSVMPEQPFNSFENKDYNSMKPTPMSNQHAALNQQNQAHVNPFQPQQLPSHEARENFHPSGVTSMPPRPLAPPLNHGYNTHGHSTAISMVPSNALPAVQLPLPVNNIPNMLHSQVGVRPPLPPGPPPQTMPFPQNASSGVPGQPSGSAFSGLFNSLMAQGLISLTKQTPVQDSVGLEFNADLLKLRYESAISALYGDLPRQCTTCGLRFKCQEEHSTHMDWHVTKNRMSKNRKQKSSRNWFVSASMWLSGAEALGTDAAPGFLPTETTVEKKDDDEMAVPADEEQSTCALCGEPFDDFYSDETEEWMYRGAVYLNSSNGSTAGMDRSQLGPIVHAKCRSDSSVVPPEDFGHDEGGNSEEGNQRKRMRS >Potri.004G020300.7.v4.1 pep chromosome:Pop_tri_v4:4:1459408:1466490:1 gene:Potri.004G020300.v4.1 transcript:Potri.004G020300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020300.v4.1 MQPTKLLNPKAATKAAAAAAVTTTMPNELLAQKPSASSVLDKFRSLLKQRQGSAVEDDGGGDGASLRLEDVVEIYETVLNELTFNSKPIITDLTIIAGEQREHGEGIADVLCARIVEAPVDQKLPSLYLLDSIVKNIGREYIRHFSSRLPEVFCEAYRQVDPSLYPSMRHLFGTWSSVFPSSVLHKIETQLHFSPQVNDQSSSLTSFRASESPRPPHGIHVNPKYLRQLDHSTADNNVQHAKGTSSNLKIYGKKPTVGYDEYESDQAEAISSQVGVGRTSLTLGSNKLQPSSTSRLARRLSPSTTGAERPLSSEIDDFAVGNSPRRFVEALSPSHPLFDYGHSRAIVRDEEANELRRNNYSDDNHNRFEPSARYRLSNGLEHQGPRALIDAYGDDRGKRITSSKPLHIEQLAVNGVHNKVASRSWQNTEEEEFDWEDMSPTLSERGRSNDFLPSSIPPFGSVVPRPAFGRLSAIHAESDIRSNRSSLAPMAFVDGSSNIAEEAVSILGSGRGSTSKIPGFRTERNQISGSRHHQEAWNFPPHIHQSAHLLNSKGRGRDFQMPLSGSGVSSLGGENYSPLAEKLPDIDAQLNRPPAIASRWGSNIDSTSSGTWSSVAPPSSGVWPPVNARKSLPPPVHRIFPPPEQSRSQFDPINASSTVINQVLQKGSVMPEQPFNSFENKDYNSMKPTPMSNQHAALNQQNQAHVNPFQPQQLPSHEARENFHPSGVTSMPPRPLAPPLNHGYNTHGHSTAISMVPSNALPAVQLPLPVNNIPNMLHSQVGVRPPLPPGPPPQTMPFPQNASSGVPGQPSGSAFSGLFNSLMAQGLISLTKQTPVQDSVGLEFNADLLKLRYESAISALYGDLPRQCTTCGLRFKCQEEHSTHMDWHVTKNRMSKNRKQKSSRNWFVSASMWLSGAEALGTDAAPGFLPTETTVEKKDDDEMAVPADEEQSTCALCGEPFDDFYSDETEEWMYRGAVYLNSSNGSTAGMDRSQLGPIVHAKCRSDSSVVPPEDFGHDEGGNSEEGNQRKRMRS >Potri.004G020300.6.v4.1 pep chromosome:Pop_tri_v4:4:1459395:1466491:1 gene:Potri.004G020300.v4.1 transcript:Potri.004G020300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020300.v4.1 MQPTKLLNPKAATKAAAAAAVTTTMPNELLAQKPSASSVLDKFRSLLKQRQGSAVEDDGGGDGASLRLEDVVEIYETVLNELTFNSKPIITDLTIIAGEQREHGEGIADVLCARIVEAPVDQKLPSLYLLDSIVKNIGREYIRHFSSRLPEVFCEAYRQVDPSLYPSMRHLFGTWSSVFPSSVLHKIETQLHFSPQVNDQSSSLTSFRASESPRPPHGIHVNPKYLRQLDHSTADNNVQHAKGTSSNLKIYGKKPTVGYDEYESDQAEAISSQVGVGRTSLTLGSNKLQPSSTSRLARRLSPSTTGAERPLSSEIDDFAVGNSPRRFVEALSPSHPLFDYGHSRAIVRDEEANELRRNNYSDDNHNRFEPSARYRLSNGLEHQGPRALIDAYGDDRGKRITSSKPLHIEQLAVNGVHNKVASRSWQNTEEEEFDWEDMSPTLSERGRSNDFLPSSIPPFGSVVPRPAFGRLSAIHAESDIRSNRSSLAPMAFVDGSSNIAEEAVSILGSGRGSTSKIPGFRTERNQISGSRHHQEAWNFPPHIHQSAHLLNSKGRGRDFQMPLSGSGVSSLGGENYSPLAEKLPDIDAQLNRPPAIASRWGSNIDSTSSGTWSSVAPPSSGVWPPVNARKSLPPPVHRIFPPPEQSRSQFDPINASSTVINQVLQKGSVMPEQPFNSFENKDYNSMKPTPMSNQHAALNQQNQAHVNPFQPQQLPSHEARENFHPSGVTSMPPRPLAPPLNHGYNTHGHSTAISMVPSNALPAVQLPLPVNNIPNMLHSQVGVRPPLPPGPPPQTMPFPQNASSGVPGQPSGSAFSGLFNSLMAQGLISLTKQTPVQDSVGLEFNADLLKLRYESAISALYGDLPRQCTTCGLRFKCQEEHSTHMDWHVTKNRMSKNRKQKSSRNWFVSASMWLSGAEALGTDAAPGFLPTETTVEKKDDDEMAVPADEEQSTCALCGEPFDDFYSDETEEWMYRGAVYLNSSNGSTAGMDRSQLGPIVHAKCRSDSSVVPPEDFGHDEGGNSEEGNQRKRMRS >Potri.004G020300.2.v4.1 pep chromosome:Pop_tri_v4:4:1459422:1466537:1 gene:Potri.004G020300.v4.1 transcript:Potri.004G020300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020300.v4.1 MQPTKLLNPKAATKAAAAAAVTTTMPNELLAQKPSASSVLDKFRSLLKQRQGSAVEDDGGGDGASLRLEDVVEIYETVLNELTFNSKPIITDLTIIAGEQREHGEGIADVLCARIVEAPVDQKLPSLYLLDSIVKNIGREYIRHFSSRLPEVFCEAYRQVDPSLYPSMRHLFGTWSSVFPSSVLHKIETQLHFSPQVNDQSSSLTSFRASESPRPPHGIHVNPKYLRQLDHSTADNNVQHAKGTSSNLKIYGKKPTVGYDEYESDQAEAISSQVGVGRTSLTLGSNKLQPSSTSRLARRLSPSTTGAERPLSSEIDDFAVGNSPRRFVEALSPSHPLFDYGHSRAIVRDEEANELRRNNYSDDNHNRFEPSARYRLSNGLEHQGPRALIDAYGDDRGKRITSSKPLHIEQLAVNGVHNKVASRSWQNTEEEEFDWEDMSPTLSERGRSNDFLPSSIPPFGSVVPRPAFGRLSAIHAESDIRSNRSSLAPMAFVDGSSNIAEEAVSILGSGRGSTSKIPGFRTERNQISGSRHHQEAWNFPPHIHQSAHLLNSKGRGRDFQMPLSGSGVSSLGGENYSPLAEKLPDIDAQLNRPPAIASRWGSNIDSTSSGTWSSVAPPSSGVWPPVNARKSLPPPVHRIFPPPEQSRSQFDPINASSTVINQVLQKGSVMPEQPFNSFENKDYNSMKPTPMSNQHAALNQQNQAHVNPFQPQQLPSHEARENFHPSGVTSMPPRPLAPPLNHGYNTHGHSTAISMVPSNALPAVQLPLPVNNIPNMLHSQVGVRPPLPPGPPPQTMPFPQNASSGVPGQPSGSAFSGLFNSLMAQGLISLTKQTPVQDSVGLEFNADLLKLRYESAISALYGDLPRQCTTCGLRFKCQEEHSTHMDWHVTKNRMSKNRKQKSSRNWFVSASMWLSGAEALGTDAAPGFLPTETTVEKKDDDEMAVPADEEQSTCALCGEPFDDFYSDETEEWMYRGAVYLNSSNGSTAGMDRSQLGPIVHAKCRSDSSVVPPEDFGHDEGGNSEEGNQRKRMRS >Potri.017G055000.1.v4.1 pep chromosome:Pop_tri_v4:17:4231083:4233925:-1 gene:Potri.017G055000.v4.1 transcript:Potri.017G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055000.v4.1 MKLNCFCIILPEDFEEIKPFDQPQIRPPVHDDVKKRQHCGCGRQILHVLGDSLRRLHESKWIGCFQDDKPSKQQSGPFHDLEGIQISEKVGGDNPRIFSYAELYIGSKGFCENEVLGSGGFGKVYRAVLPSDGTVVAVKCLAERGEQFEKTFEAELVAVAQLRHRNLVRLRGWCAHEDQLFLVYDYMPNRSLDRVLFRRPENLKAEPLAWERRRKIVSGLAAALHYLHEQLETQIIHRDVKTSNVMLDSHYNARLGDFGLARWLEHELEYQIRTPSMKNHQFRLAESTRIGGTIGYLSPESFQKRSVATAKSDVFSFGIVVLEVASRRRAVDLTYPDDRIILLDWIRGLSDEGKLLQAADNRLPDGSFGLSDIERLIHLGLLCTLHNPQLRPNMKWVVEALSGNILGKLPPLPSFRSHPRYIAISPASTSISKTNTTATTSVPSSDMTISFTSSAYVTATEETMYATAEFESSNKLSSSKSNNRSHRQNAFFMVETPREISYKEIISATNNFSDSQRVAEVDFGTAYYGILEDGHQVLVKRLGMTQCPAIRVRFSTELLNLGRLRHRNLIQLRGWCTEHGEMLVVYDYSASRLMSHLLFHHDNRIGHSILHWRHRYNIIKSLAAAILYLHEEWDEQVIHRNITTSSIILDPDMNPRLGNFALAEFLARNDHAHKAAAKENKSVRGIFGYMSPEYMESGEATPMADVYSYGVVVLEVVSGQMAVDFRRPEVLLVLRVHEFETQKRPMEDLADIRLNREYDHEELIRIVKLGIACTRSNPELRPSIRQIVRILDGNDQWFMEGGKRKESREEWRQNNASSLSLIRRIQALGIK >Potri.009G131600.1.v4.1 pep chromosome:Pop_tri_v4:9:10701526:10702832:1 gene:Potri.009G131600.v4.1 transcript:Potri.009G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G131600.v4.1 MGSQISKQIERRKEISTEKKVLVDLEESSGETYPGSDYRPSDGKNWMAGFNPEKLHINQIVWPGTHDSASNRIGIPLITRPFAQCQSLSIYRQLCVGTRVFDIRVQEDRRVCHGILTTYSVDVVIRDLKKFLLETESEIVILEVRTEFGHEDPPEFDKYLEEQLGEYLIHQDDSVFGKTIAELLPKRVICVWKPRKSPAPKHGSPLWSAGYLKDNWIDTDLPSTKFESNMKYLGEQPPVSSRKYFYRVENTVTPQADNPIVCVKPVTNRIHGYARLFITQCFSRGCADKLQIFSTDFIDEDFVDACVGLTRARVEGKA >Potri.003G070600.2.v4.1 pep chromosome:Pop_tri_v4:3:9775155:9778903:-1 gene:Potri.003G070600.v4.1 transcript:Potri.003G070600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070600.v4.1 MANGGEDKWKSNDLYQVLGLNKECTDTELRSAYKKLALRWHPDRCSASGNSEFVEEAKKKFQAIQQAYSVLSDTNKRFLYDVGVDDSDDDENGMGDFLNEMAVMMSQTKPSENMEESLEELQELFDEMFQEDLHSFGIDSQAAPSCPPSYVSYSESSNSNNKRVSADMNLGKTKVDDSSSFNSHFEKFCLGTGGTAATFQEGEGGSKRRNSRRSQRQTKARQETKSFFGL >Potri.003G070600.1.v4.1 pep chromosome:Pop_tri_v4:3:9774359:9778959:-1 gene:Potri.003G070600.v4.1 transcript:Potri.003G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070600.v4.1 MANGGEDKWKSNDLYQVLGLNKECTDTELRSAYKKLALRWHPDRCSASGNSEFVEEAKKKFQAIQQAYSVLSDTNKRFLYDVGVDDSDDDENGMGDFLNEMAVMMSQTKPSENMEESLEELQELFDEMFQEDLHSFGIDSQAAPSCPPSYVSYSESSNSNNKRVSADMNLGKTKVDDSSSFNSHFEKFCLGVEQQQPFKKVKVGVRGGIQGGASGRRRQDKKQRVSSGYNVSSHDYGISAS >Potri.013G010000.1.v4.1 pep chromosome:Pop_tri_v4:13:642319:645652:1 gene:Potri.013G010000.v4.1 transcript:Potri.013G010000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010000.v4.1 MFLLDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKKELDALLSDEALANVPFLVLGNKIDIPDAASEDELRFYLGLTNFTTGKGKVNLVDSNVRPLEVFMCSIVRKMGYGEGFRWLSQYIK >Potri.014G177800.3.v4.1 pep chromosome:Pop_tri_v4:14:13448858:13449656:1 gene:Potri.014G177800.v4.1 transcript:Potri.014G177800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177800.v4.1 MKKMLRLICFLSFLLISVPTVCSDKNQSIYDVLKAHGLPMGLLPTGVKEFNIDETGRFEVHLDQACNAKFESELHYDMNVTGSLSFGQIGALSGISAQELFLWFPVKGIRVDVPSSGLIYFDVGVVFKQFSLSLFEMPRDCVAVRDEEIEAVRHGKFIADAVAKSQSGKLRYGLDQENFGRDFL >Potri.013G148600.1.v4.1 pep chromosome:Pop_tri_v4:13:14516365:14518146:1 gene:Potri.013G148600.v4.1 transcript:Potri.013G148600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148600.v4.1 MGRAPCCDKNGLKKGPWTPEEDQKLTAYIQLQGPGNWRSLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEESIIQLHSILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHASRLDLLDLSSILSSALCNPSSLLTLSTLVGNTQALLNPDIFRLSTTLSSLKQENSDLFLQQLQENELCSSLLQNQAIPTLSSQSNQFQNQAELIPACTTTTSTGTFLNQTTPLMLANVEGFSPNTTSTFSCQNSQENSVPLNEGLVSQPNFYCNSTTTTNPTVPELEEYSGFQSANNGYRNFSIGSVISTRFTSPDPLNSPSTYVNSSSTEDDRECYSSLLKFEIPESFDIDDFL >Potri.005G170300.2.v4.1 pep chromosome:Pop_tri_v4:5:17417182:17419959:-1 gene:Potri.005G170300.v4.1 transcript:Potri.005G170300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170300.v4.1 MLSLTACVLPNTSLLQQSSPFNIQPPPSFTEHKRASKKGNNNNYSNSHCFSCKSTSDSPSASYFEYPSTTNSPGYKGTPGLHFSKTESASEFVDSSLLALWLQSCYRVKDIRRIHAVFLKCLRDSGTYVNNNLLSGYLKLGELLEARKVFDAMPERNVVSWTAMINGYFKFGLDDEALSYFSQAIKDGVVPNSKTFVCVLNLCSRRLDFELGRQVHARVVKGNWRNLIVDSAVVYFYVQCGDLKSAFCVFDRMVERDVVSWTTMITACSQQGRCGEAFGMFTQMLNGGFLPNGFTASGILKACGEEKALKFGKQIHGAIVKKMYKDDVFVGTSLVDMYAKCGEVSDSSKVFNGMRRRNTVTWTSIIAGYARKGLGEEAICLFRLMMRRRVVSNNLTIVSMLRACGLIGALLAGREVHAQIIKNCSQSNEYLGSTLVWFYCKCGESRTASKVLQQMPFRDVVSWTAIISGHACLGHESEALEFLKEMMEEGVEPNSFTYSSALKACANLETVLQGKLIHSSANKTPASSNVFVGSALIHMYARCGYVSEAIQVFDSMPERNLVTWRAMIMGYVRNGLCQEALKLMYRMQAEGIQVDDYISAKVLGACGEIEWDAGHSSEYCLRTS >Potri.015G048300.1.v4.1 pep chromosome:Pop_tri_v4:15:5013310:5014886:1 gene:Potri.015G048300.v4.1 transcript:Potri.015G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048300.v4.1 MQDTHSIGGGGRLFSGGGGGDRRLRPHHHQNHQALKCPRCDSLNTKFCYYNNYNLSQPRHFCKSCRRYWTKGGVLRNVPVGGGCRKTKRSKLKQNTPSTTSDAITTSSTRPQELQEQHQRRDHMSSNSHSSSESSSLTATNNTNANTAVEAVSALSVNSVSNNLLNGIVESKIFPRGDMNPSFEPALLEQGSDCGIFSEIGSFTSLITSTNDLSFGFSNTTNQQQQQGLEHHVQNNQNQQQWQNQHQEMTGGGLIDQTIHAELSALPSSSRSTENTGGGFGALDWQLGSGDQAGGFFYLPNTVDQAYWSQSQWNDMTAYLP >Potri.006G050600.2.v4.1 pep chromosome:Pop_tri_v4:6:3517787:3518059:-1 gene:Potri.006G050600.v4.1 transcript:Potri.006G050600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050600.v4.1 MNQRSLHRSLLSLELKIKESIETLGGAVFPKLNWSTPKDCMDSPSGTLHCTSSSETALCCDHRTHLSMICVMPMIHAVTKPFQVLFPFLA >Potri.014G049900.1.v4.1 pep chromosome:Pop_tri_v4:14:3218146:3219761:-1 gene:Potri.014G049900.v4.1 transcript:Potri.014G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049900.v4.1 MEQTFIMIKPDGVQRGLVGEIISRFEKKGFTLKGLKLLTVEQSFAEKHYEDLATKPFFSGLVEYIISGPVVAMIWEGKGVVATGRKIIGATNPSASEPGTIRGDFAIHVGRNVIHGSDSVESATKEIGLWFPEGPTNWQSSLHQWIYE >Potri.007G019601.2.v4.1 pep chromosome:Pop_tri_v4:7:1486078:1489435:1 gene:Potri.007G019601.v4.1 transcript:Potri.007G019601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019601.v4.1 MEPAIEIWDLDIIDEVQPAVVLGGIEEKKKKKKGKKVSIKYKEGSHTDSVLGLAWNKHFRNILASASADKQVKIWDVDAGKCDITMEHHTDKVQAVAWNHHEPQVLLSGSFDRSVVMKDGRLPSDPGFKWSVTADVESLAWDPHDKHLFVVSLEDGTVQGFDIRAAKSGSASDLKPSFTLHAHDKAVCTISYNPLAPNLLATGSTDKMVKLWDLSNNQPSCLVSKNPKAGAIFSVSFSEDNPFLLAIGGSKGTLELWDTLSEAEVARRFGTTAS >Potri.007G019601.1.v4.1 pep chromosome:Pop_tri_v4:7:1481656:1489435:1 gene:Potri.007G019601.v4.1 transcript:Potri.007G019601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019601.v4.1 MIAAVSWVPKGVAKSVPGVADPPSKEEIEELIKASDLERSGEDSGSEEDDQEMDVGAAKQTGEVSQALAVANALGRSSKVNKSETKFDDIADGLKELDMDNYDDEEDGIELFSTGLGDLYYPSNSMDPYIKDEDDDDSEDLEDMAIKPKDAVIVCACNKDEFSNLEVWILDETSDGDSNIYVHHEVPLSAFPLCTAWLDCPLKGGEKGNFIAVGSMEPAIEIWDLDIIDEVQPAVVLGGIEEKKKKKKGKKVSIKYKEGSHTDSVLGLAWNKHFRNILASASADKQVKIWDVDAGKCDITMEHHTDKVQAVAWNHHEPQVLLSGSFDRSVVMKDGRLPSDPGFKWSVTADVESLAWDPHDKHLFVVSLEDGTVQGFDIRAAKSGSASDLKPSFTLHAHDKAVCTISYNPLAPNLLATGSTDKMVKLWDLSNNQPSCLVSKNPKAGAIFSVSFSEDNPFLLAIGGSKGTLELWDTLSEAEVARRFGTTAS >Potri.017G056400.1.v4.1 pep chromosome:Pop_tri_v4:17:4403688:4406803:1 gene:Potri.017G056400.v4.1 transcript:Potri.017G056400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056400.v4.1 MEEPLSYTRTSIMLLPDDCLSIVFQFLVCSFDHESFGLTCHRWLNIQNTHRRSLQFHSSLALPNVSSLSQRGLVVSAYHLHRLLTRFQHLHYLSLSGCSELPDSCLTFLQSYPSKLLHLNLDCCFGITDNGLSLVAAGCSSLEAISLYRCNITDAGLETLANGCSALKHINLSYCSLVSDGGLRALSQSCCHLEAVKISHCSGVNGTGFKGCSPTLTHIDADSCNLDPEGIMGIVSGGGLEYLNVSRVNWWRSGDTLAVIGAGFATRLKILNLWLCRTVGDESIAAIARGCPLLQEWNVALCHGVRIAGWQSIGINCNKLEKLHVNRCRNLCDLGLQALREGCKRLLVLYIGRTWKLSATAIELFKLCRGNVEISKEEIMLIGPSN >Potri.017G056400.2.v4.1 pep chromosome:Pop_tri_v4:17:4403779:4406803:1 gene:Potri.017G056400.v4.1 transcript:Potri.017G056400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056400.v4.1 MEEPLSYTRTSIMLLPDDCLSIVFQFLVCSFDHESFGLTCHRWLNIQNTHRRSLQFHSSLALPNVSSLSQRGLVVSAYHLHRLLTRFQHLHYLSLSGCSELPDSCLTFLQSYPSKLLHLNLDCCFGITDNGLSLVAAGCSSLEAISLYRCNITDAGLETLANGCSALKHINLSYCSLVSDGGLRALSQSCCHLEAVKISHCSGVNGTGFKGCSPTLTHIDADSCNLDPEGIMGIVSGGGLEYLNVSRVNWWRSGDTLAVIGAGFATRLKILNLWLCRTVGDESIAAIARGCPLLQEWNVALCHGVRIAGWQSIGINCNKLEKLHVNRCRNLCDLGLQALREGCKRLLVLYIGRTWKLSATAIELFKLCRGNVEISKEEIMLIGPSN >Potri.002G075400.4.v4.1 pep chromosome:Pop_tri_v4:2:5268953:5271113:1 gene:Potri.002G075400.v4.1 transcript:Potri.002G075400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075400.v4.1 MLDPGTKFPDNLQVHSRDSFNYHGVLSYGVLTRKTAETYYNHHGWNDMGMLGAQRRDVQGEDSGVCSPPLWRTSPPRSPQHRRNHYRSLSPSSRAQAIARGQKELTEMVSRMPEGCYELSLRDLVEQPMVVDDVKKESLSEDMLRRGKEKKKKIEKKVQMNRSGSINNEGFLLKMVFPFSFGSRKKKKNINSPQTINNSSKDGKVSPRPFLFDNGSEKTVDEEWWKNKFRQSKDSENGAGLTTSNSGSSKSSGSSSSSSRRQQQERKRWLLAFHFLQERQSSRMNDIILHGSECVYMKCFWRPFYF >Potri.010G166200.1.v4.1 pep chromosome:Pop_tri_v4:10:16978912:16980491:1 gene:Potri.010G166200.v4.1 transcript:Potri.010G166200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G166200.v4.1 MQGKTNSEQLPPGFRFHPTDEELIMYYLRNQATSRPCPASIIPEVDIYKFDPWQLPEKADFGENEWYFFTPLDRKYPNGVRPNRATVSGYWKATGTDKAIHSGSKYVGVKKALVFYKGRPPKGTKTDWIMQEYRLNDSNKPASKQNGSMRLVLCRIYRKRHAIRHLEEKTENPVHAHLDVTPDNDAREQQMMKISGTCSLSRLLEMEYLGSISQLLSGDTYNSDFDSQNLMSDALTDHVIKIQLGEMSPEHTDNGNFQGNQRGSTSLINQPLVVNPMMYGFQ >Potri.004G043300.1.v4.1 pep chromosome:Pop_tri_v4:4:3427397:3428374:1 gene:Potri.004G043300.v4.1 transcript:Potri.004G043300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043300.v4.1 MAKIKKIFTNFLRLLALAATVVAIVFMVTSHDSAQVLNLTFTVKYSNTPVFKYFVIAEAIAGGYTVISVLLSFKSLFWRLLVILDMVTAVLLTSSISAALAIAQVGKKGNTHAGWLPVCEQVPDFCDQVTIALIAGFAAAIIYFVLLLCSLYVVLSPIFVVTP >Potri.002G088700.2.v4.1 pep chromosome:Pop_tri_v4:2:6415964:6419799:-1 gene:Potri.002G088700.v4.1 transcript:Potri.002G088700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088700.v4.1 MVKVNKVRCKADSRQHRVTPHALSSCSRKAVKSCHLKKKHSKTSEKKDWEGATCSICLEHPHNAVLLLCFSYKKGCRPYMCATSRRFSNCLEQYKKAYTKITPTEGAQQESNSMDHSRPALHVEPANESAEVPELLCPLCRGQVKGWTVVEPARKHLNAKKRTCLQDKCSFAGTYKQLRKHVKAKHPLAQPRAVDPLLKEKWKKFECERERNDVISTIMSSTPGALVLGDYVIEPGYRGIHNDYDSDSDSSLDDGFLSLGSFDRGQSSGFRFRNGYHLDYDSLDEDDYGTRRPGPPGSAAITGRGFHRILLERPRRRWYRGSRGRSY >Potri.002G088700.4.v4.1 pep chromosome:Pop_tri_v4:2:6416058:6419626:-1 gene:Potri.002G088700.v4.1 transcript:Potri.002G088700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088700.v4.1 MVKVNKVRCKADSRQHRVTPHALSSCSRKAVKSCHLKKKHSKTSEKKDWEGATCSICLEHPHNAVLLLCFSYKKGCRPYMCATSRRFSNCLEQYKKAYTKITPTEGAQQESNSMDHSRPALHVEPANESAEVPELLCPLCRGQVKGWTVVEPARKHLNAKKRTCLQDKCSFAGTYKQLRKHVKAKHPLAQPRAVDPLLKEKWKKFECERERNDVISTIMSSTPGALVLGDYVIEPGYRGIHNDYDSDSDSSLDDGFLSLGSFDRGQSSGFRFRNGYHLDYDSLDEDDYGTRRPGPPGSAAITGRGFHRILLERPRRRWYRGSRGRSY >Potri.014G145300.4.v4.1 pep chromosome:Pop_tri_v4:14:9932034:9938325:1 gene:Potri.014G145300.v4.1 transcript:Potri.014G145300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145300.v4.1 MSILPTQVQGSASSSSLESPPLNPSYSSHGSPPQSRSPLSLQSQIQPQSQHLSGESAPVTEDSAGSSAEVNELGTPISKRSWAHQNALTNSPSQSGGGGVGCVQSKGNMLGNIASPQSQQSVGSVNSRGGTTHASGRRAQMTNANHLLNFHYDPISRPQPRAPPPRRPQKKRAYNKDLFLQANYKFVVLDTGSYAPESMDPDKMLKWEDIICVRYSTTFPVQCPICLEYPLCPQITSCGHIFCFPCILRYLLMGEEDHKGDCFKRCPLCFVMISPKDLYTIYIEKVKQYCIGETIEFMLLTRQKHSFVPSMKIEPEADTELCSNDNIYDPFSKFTFTSDVELSVRKAISDLDSWLVRADSGLVDDLEKLPYVCAAMEQLEKRKKYWNEQKACHDDRFNVSNSQKGSQGLLSSLTTAKGEHKACSSRSATPSIDINNKNKGSDNVMGDVVESPEDEDSALSSSYEENKNFQTHANGYRDVKDKESYNFYQAIDGQHLILHPLNMKCLLHHYGSYDLLPHRVSGTILQLETITQSEAMRRRYRYLSHFSLTTTFQLCEIDLNAALPPNALLPFMDEIKKREKLRKQLANKERKEKIKAEASASMPTLPSFGQSSYDTSPNFSMEDFEALGISSSMSSSPPVAGERILFSNVARFGFAAGHDSPALKIEENVPLQNNMPGNNSSNLNGSRNSGPSSFANVTARPKPEENVDQPKMNEVSKKGKKPNRVLLSTTGGRRY >Potri.014G145300.6.v4.1 pep chromosome:Pop_tri_v4:14:9932034:9938396:1 gene:Potri.014G145300.v4.1 transcript:Potri.014G145300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145300.v4.1 MSILPTQVQGSASSSSLESPPLNPSYSSHGSPPQSRSPLSLQSQIQPQSQHLSGESAPVTEDSAGSSAEVNELGTPISKRSWAHQNALTNSPSQSGGGGVGCVQSKGNMLGNIASPQSQQSVGSVNSRGGTTHASGRRAQMTNANHLLNFHYDPISRPQPRAPPPRRPQKKRAYNKDLFLQANYKFVVLDTGSYAPESMDPDKMLKWEDIICVRYSTTFPVQCPICLEYPLCPQITSCGHIFCFPCILRYLLMGEEDHKGDCFKRCPLCFVMISPKDLYTIYIEKVKQYCIGETIEFMLLTRQKHSFVPSMKIEPEADTELCSNDNIYDPFSKFTFTSDVELSVRKAISDLDSWLVRADSGLVDDLEKLPYVCAAMEQLEKRKKYWNEQKACHDDRFNVSNSQKGSQGLLSSLTTAKGEHKACSSRSATPSIDINNKNKGSDNVMGDVVESPEDEDSALSSSYEENKNFQTHANGYRDVKDKESYNFYQAIDGQHLILHPLNMKCLLHHYGSYDLLPHRVSGTILQLETITQSEAMRRRYRYLSHFSLTTTFQLCEIDLNAALPPNALLPFMDEIKKREKLRKQLANKERKEKIKAEASASMPTLPSFGQSSYDTSPNFSMEDFEALGISSSMSSSPPVAGERILFSNVARFGFAAGHDSPALKIEENVPLQNNMPGNNSSNLNGSRNSGPSSFANVTARPKPEENVDQPKMNEVSKKGKKPNRVLLSTTGGRRY >Potri.013G114100.1.v4.1 pep chromosome:Pop_tri_v4:13:12238927:12245451:-1 gene:Potri.013G114100.v4.1 transcript:Potri.013G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114100.v4.1 MAEADKGISTDNIKGLVLALSSSFFIGASFIVKKKGLKKAGASGLRAGAGGYAYLHEPLWWIGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAVLAHVMLQEKLHIFGVLGCVLCVVGSTSIVLHAPQEREIESVKEVWDLATEPAFLLYAAIVITAVIVIIIRVIPRYGQTHVMVYISVCSLMGSLSVMSVKALGIALKLTFSGMNQLLYPQTWTFTLVVLACVITQINYLNKALDTFNTVVVSPIYYVMFTTLTILASVIMFKDWDGQNASQIVTEICGFVTILSGTFLLHKTKDMVEGSSQSLSLRLPKHEEEDGVLDHEGIPLRRLDSLRPK >Potri.006G024700.1.v4.1 pep chromosome:Pop_tri_v4:6:1651748:1653855:-1 gene:Potri.006G024700.v4.1 transcript:Potri.006G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024700.v4.1 MDYYKVLGINRTARKEEIKEAYRRLALELHPDKHARSSNPVREKATLKFKQVSEAYEILRNDKKRADYNFRSSYSSSNNHTGRNYYSNKSYYNRGGYGYYHNYDNNDYDSRFNRYRNSGWSSKLENLIKFMTTRAFLLNAAFACALLGGSVVVDMGKEALWNMHNSGKSFEDAVESIKKARAHRDEDEDEA >Potri.014G151101.1.v4.1 pep chromosome:Pop_tri_v4:14:10501383:10506258:1 gene:Potri.014G151101.v4.1 transcript:Potri.014G151101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151101.v4.1 MTGSSGGSLWGRLWPQLAVALVILFVSSNVGSVSGDAYVYSSPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPHPPPTYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYEYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYHYNSPPPPTHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSKSSPPPYYYKSPPPPTKSPPPPTPYYYKSPPPPSHPLPPPYYYKSPPPPKALPSPYYYASPPPPIPYPHNHHHDLIVKVVGKVYCYRCYDWGYPVKSHDKKHLKGAVVEVTCKAGTEKVKAYGKTKINGKYSITVKGFNYKKYGGKECKAKLHAAPKGSSCNIPTGLHWGNKGASLKVKSKTKYEVVLSAKSFAYAPKTPYKECEKHKPTPAPYYYKSPPPPPPAYIYKSPPPPPPTYIYKSPPPPPYLYKSPPPPTYIYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPQPYYYKSPPPPSPSPPPPYYYKSPPSPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYHYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYHYSSPPPPSSSLPPPYHYSSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPLYYYHSPPPPMKSPPPPVYIYASPPPPIHY >Potri.014G151101.2.v4.1 pep chromosome:Pop_tri_v4:14:10501383:10506245:1 gene:Potri.014G151101.v4.1 transcript:Potri.014G151101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151101.v4.1 MTGSSGGSLWGRLWPQLAVALVILFVSSNVGSVSGDAYVYSSPPPPYVYKSPPPPSPSPPPPYEYKSPPPPSPHPPPTYVYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYEYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYYYKSPPPPSSSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYHYNSPPPPTHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSKSSPPPYYYKSPPPPTKSPPPPTPYYYKSPPPPSHPLPPPYYYKSPPPPKALPSPYYYASPPPPIPYPHNHHHDLIVKVVGKVYCYRCYDWGYPVKSHDKKHLKGAVVEVTCKAGTEKVKAYGKTKINGKYSITVKGFNYKKYGGKECKAKLHAAPKGSSCNIPTGLHWGNKGASLKVKSKTKYEVVLSAKSFAYAPKTPYKECEKHKPTPAPYYYKSPPPPPPAYIYKSPPPPPPTYIYKSPPPPPYLYKSPPPPTYIYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPQPYYYKSPPPPSPSPPPPYYYKSPPSPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPDPSPPPPYHYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYHYSSPPPPSSSLPPPYHYSSPPPPSPSPPPPYYYKSPPPPSPSPPPPYVYKSPPPPSPSPPPLYYYHSPPPPMKSPPPPVYIYASPPPPIHY >Potri.003G003100.1.v4.1 pep chromosome:Pop_tri_v4:3:482223:491847:-1 gene:Potri.003G003100.v4.1 transcript:Potri.003G003100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003100.v4.1 MKALNCASAPPDPKKGSCLCSLLIAVSMICSIYFVGSAFVGKQYKERLNAWKLIEAMQKSDECKDRCRPSGSAALPQGIVNEKSNYKMQPLWGPSLNDDNPQPSASLLAIAVGIKQKEIVDRIVTKFPLSDFVVMLFHYDGVVDKWRDLSWSDSAIHVSAVNQTKWWFAKRFLHPDIVAEYNYIFLWDEDLGVENFNPRRYLSIVKEEGLEVSQPALDPARSTIHNPITTRTRNSRAHRKILKLKGNGRCYNNSTLPPCTGWVEMMAPVFSKAAWRCTWYMIQNDLIHAWGLDRKLGHCAQGDWTKNVGVVDAEYVVHLGLSTLGVFNGSEVLLSESKEVDKRPQVRTQSYVEMNIFHKRWEDAVNEDRCWVDPYQQFANQTRY >Potri.013G105100.1.v4.1 pep chromosome:Pop_tri_v4:13:11413227:11420862:-1 gene:Potri.013G105100.v4.1 transcript:Potri.013G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105100.v4.1 MRRVLFLVAAVLAVIGSGSAVRGGDVTYDGRSLIIDGQRKIVFSGSIHYPRSTPEMWPSLIAKAKEGGLDAIETYVFWNVHEPQPGHYDFSGGHDIVRFIKEVQAQGLYACLRIGPFIQSEWSYGGLPFWLHDIPGIVFRSDNEPFKVYMQNFTAKVVSMMQSENLYASQGGPIILSQIENEYGTVQKAYGQEGLAYVQWAAQMAEGLQTGVPWVMCKQNNAPGHVINSCNGMKCGQTFVGPNSPNKPSIWTENWTTHYQVYGEDAPLRSAEDIAFHVTLFIAAKKGSFVNYYMYHGGTNFGRTASAFVTTSYYDQAPLDEYGLTTQPKWGHLKELHAAIKLCSTPLLSGVQVNLYLGPQQQAYIFNAVSGECAAFLINNDSSNAASVPFRNASYDLPPMSISILPDCKNVSFNTAKVSTQYTTRTMGRGEVLDAADVWQEFTEAIPNFDSTSTRSETLLEQMNTTKDSSDYLWYTFRFQHESSDTQAILDVSSLGHALHAFVNGQAVGSVQGSRKNPRFKFETSVSLSKGINNVSLLSVMVGMPDSGAFLENRAAGLRTVMIRDKQDNNDFTNYSWGYQIGLQGETLQIYTEQGSSQVQWKKFSNAGNPLTWYKTQVDAPPGDVPVGLNLASMGKGEAWVNGQSIGRYWPSYRTPNGSAQTWYHVPRSFLKPTGNLVVLQEEEGGNPLQVSLDTVSISQVCGHVTASHLAPVSSWIEHNQRYKNPAKVSGRRPKVLLACPSKSKISRISFASYGTPLGNCRNSMAVGTCHSQNSKAVVEEACLGKMKCSIPVSVRQFGGDPCPAKAKSLMVVAECR >Potri.016G010300.2.v4.1 pep chromosome:Pop_tri_v4:16:488255:491190:-1 gene:Potri.016G010300.v4.1 transcript:Potri.016G010300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010300.v4.1 MAFYSEDDKAEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKSDLKDAREVPTVEGKDLAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVILSQELNKPGAPELGNGKTVVLQGDGNQEGNAETKKGCCSS >Potri.016G010300.1.v4.1 pep chromosome:Pop_tri_v4:16:488256:491378:-1 gene:Potri.016G010300.v4.1 transcript:Potri.016G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010300.v4.1 MAFYSEDDKAEDYLFKIVLIGDSAVGKSNLLARFARDEFYPNSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSVGRWLNELHTHSDMNVVTILVGNKSDLKDAREVPTVEGKDLAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVILSQELNKPGAPELGNGKTVVLQGDGNQEGNAETKKGCCSS >Potri.011G073166.1.v4.1 pep chromosome:Pop_tri_v4:11:7282236:7306310:1 gene:Potri.011G073166.v4.1 transcript:Potri.011G073166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073166.v4.1 MFTMLQPPHGLLQFCRVMVVVITLFSSATLVVSYQLHPDEETALKQIVQTLAQGGQPLEVGDACNQSGTLHDMNLSEQDSEANSTLVCNCTLNLNNDSYCHITSLFIKTLSLRGKLPLEMANLTYLENLDLTRNYISGNIPEEWASMKHLTYLSLTSNRLSGNIPGYLGNFRSLTYLSLEANQFSGTIPFQLGDLVNLTDLILSSNQLEGNLPNTLAKLNLTDFRASDNNLGGRIPDFIGNWSNLDRLELYASGLEGPIPPAILSVEKLTDLRITDMSGPEFNLTNIPPRVKKLVLRNINLAGVIPRDVWTSGSLKTLDLTFNKLEGEIPPDAKTNEFMFLSGNNLTGSVPDSFFRKGKNIDFSYNNFSRLPICQDALGTNTYRSSFIKNNLSGLLPCSSIHECPKSYRSFHVNCGGPDVTSGSILYEGDVSIESDAAMIYSKQGSNWGFSNTGDFMDDDGQGPGYTLPSNYSYFPTDTVYSTARRAAISLTYYGYCLENGMYTVKLDFAEIQFTDDESYKRVGKRFFDIYIQGKLEIKDFNIKEAAKGSNKTYSIEFKANVTDNTLEIRLYWNGKGTTCIPQRGNYGPIISAITVCSGQSTYCPEPGEASKIPIVVGVVTSALLLVFLVMGVICWKFYFRDKFMREQDLKGLDLKTGSFTLRQLRAATNNFDSADKIGEGGFGSVYKGKLSDGTLIAVS >Potri.014G094500.1.v4.1 pep chromosome:Pop_tri_v4:14:6142654:6144238:1 gene:Potri.014G094500.v4.1 transcript:Potri.014G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094500.v4.1 MFSPKNQTHKRLDCIKKMDRFSTKYTEHKTVTNKLVRWEDSKATRIVRISVTDGNATDSSGDENEEPKIQHPRIKKHINEIRMTNCSDREAEDAKKSPGQQVVKKNSRDQYYYPEGKKYRGVRQRPWGRWAAEIRDPYRRTRVWLGTYDTAEEAAMVYDQAAIRIKGPDAQTNFTNTLVSKQHTPDVDINVNISGYDSGKESHNSLCSPTSVLRFHSTGEPGPESQAAVQSDCCWRQNQEVVQEEILKGGDDECLVMDPLCLKEFWDFESPAPIFFEECSVPGTVLREDYADMPVHLDCDFGSCLWDVDKYFEA >Potri.013G024350.2.v4.1 pep chromosome:Pop_tri_v4:13:1559882:1560721:-1 gene:Potri.013G024350.v4.1 transcript:Potri.013G024350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024350.v4.1 MKGKGVCSSGSETSNPWPYRIKLTTDSMRWDSLKISPSGEFNNHVLENMSEANRDALDMWKPIEVSIYDVDTQETYKVSLAKKEAFWFEPFPDVGQRKEKSASSNTTMEHYIYEFERRRKYFFYSLQPLRHIIKKRGLRYDQEIGFRCSGNAIDTIDFSVIYTPWLELHSFRF >Potri.010G055500.1.v4.1 pep chromosome:Pop_tri_v4:10:8652859:8655889:1 gene:Potri.010G055500.v4.1 transcript:Potri.010G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055500.v4.1 MNRVQVLRSCLRNARVVFSRADYCSLAVSSSSSSCVSPPQLPSVLTSTTVFDYQDNCLDSRNQSTVNLVAAKARVGSSPDEILLSLADEQVCDNIEVSNDLVDKLLLRFKDDWKSALGVFRWAGLRPGYKHRPEAYDMMVDILGKMKQMDQMRELLEEMNRNHLVTLNTVGKAMRRFSGAGKWEDAVRMFDELGTFGLEKNAESMNLLLDTLCKEGKVEQARAIFLELKSHILPNAHTFNIFIHGWCKANLVDEAHWTLQEMKGHAFRPCVISYSTIILFYCRQHNFSKVYELLDEMEAQGCPPNVVTYTTIIVFLAKSQNTEEALQLTQRMKSAGCKPDTPFFNSLIYILGRAGRFQEAVDVFEKEMPNAGVSRDTSTYNSMIAMLCHHGHVSKALSLLREMETSAPFKLDGQTFYPLLKSCLRTGDMNLLSQLLDDMVKKHQLSLDRSAYALLIHGLCRANKCQWAYHLFEEMISKDIVPKYQTCHMLLEEVKLKSMYDTAEKIEDFMKKL >Potri.010G055500.2.v4.1 pep chromosome:Pop_tri_v4:10:8653463:8655883:1 gene:Potri.010G055500.v4.1 transcript:Potri.010G055500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055500.v4.1 MMVDILGKMKQMDQMRELLEEMNRNHLVTLNTVGKAMRRFSGAGKWEDAVRMFDELGTFGLEKNAESMNLLLDTLCKEGKVEQARAIFLELKSHILPNAHTFNIFIHGWCKANLVDEAHWTLQEMKGHAFRPCVISYSTIILFYCRQHNFSKVYELLDEMEAQGCPPNVVTYTTIIVFLAKSQNTEEALQLTQRMKSAGCKPDTPFFNSLIYILGRAGRFQEAVDVFEKEMPNAGVSRDTSTYNSMIAMLCHHGHVSKALSLLREMETSAPFKLDGQTFYPLLKSCLRTGDMNLLSQLLDDMVKKHQLSLDRSAYALLIHGLCRANKCQWAYHLFEEMISKDIVPKYQTCHMLLEEVKLKSMYDTAEKIEDFMKKL >Potri.017G028300.1.v4.1 pep chromosome:Pop_tri_v4:17:1935358:1938117:1 gene:Potri.017G028300.v4.1 transcript:Potri.017G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028300.v4.1 MKIQCDVCEKAPATVICCADEAALCAKCDIEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCRDCDEPIHSAGSLSANHQRFLATGIRVALSSSCSKDTQTNSSGPPNQSAQQTPMKIPAQQTSSFATSWAVDDLLQFSEFESSTDKKEQLELGEFEWLADMGLFGEQLPQEALAAAEVPQLPISPPTNVNSCRPTKSSMPHKKPRIEISDDEDEYLTVPDLG >Potri.009G006100.3.v4.1 pep chromosome:Pop_tri_v4:9:1268090:1270794:-1 gene:Potri.009G006100.v4.1 transcript:Potri.009G006100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006100.v4.1 MIANSKIIELSGNKLRDLRMNYQKLQAQNWNLAQSNSQMLAELNLGREKLKALQHEIVCKEALLKVKNLGPQGKADMNCQNVVSQEVEKIEEEECVPGAANNDIKPCSRSRRRTARSRSMGPSTTNRQTVEKEKAETKRRCVRRQSAASRSQEREPAENLFEIEDVRFPVSNSSDKSMKENGQTSSSITKEEICKPSNEAQVSHRSSIGRPSRRAAEKVQSYKEVPLNVKMRRAE >Potri.009G006100.1.v4.1 pep chromosome:Pop_tri_v4:9:1268076:1271158:-1 gene:Potri.009G006100.v4.1 transcript:Potri.009G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006100.v4.1 MKGERMAKRSSFGNIMRKRLSDITNTQAQHKLVGLIVEQSQISESNEDLINQLLQVKQEKEMLLKLVEERNKIIELSGNKLRDLRMNYQKLQAQNWNLAQSNSQMLAELNLGREKLKALQHEIVCKEALLKVKNLGPQGKADMNCQNVVSQEVEKIEEEECVPGAANNDIKPCSRSRRRTARSRSMGPSTTNRQTVEKEKAETKRRCVRRQSAASRSQEREPAENLFEIEDVRFPVSNSSDKSMKENGQTSSSITKEEICKPSNEAQVSHRSSIGRPSRRAAEKVQSYKEVPLNVKMRRAE >Potri.008G080900.4.v4.1 pep chromosome:Pop_tri_v4:8:5027925:5043325:-1 gene:Potri.008G080900.v4.1 transcript:Potri.008G080900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080900.v4.1 MGVESDPENAILERLTFKDINGLVEQNVQLRSLVRNLSDQIEDRETVFKEKIEMELKKHTDEAASKVAAVLQRAEEQGHMIESLHTSVAMYKRLYEEEHKLRSSYSRSSDAAPVEEDGRRNRLLLLEDSQEATKKAQEKAAERLRSLEEDLAKSKSDIILLRSERDKMALDAKFARERLDSYMKEFEHQRNEMNGVLSRNVEFSQLIVDHQRKLRESSENLVASEELSRKLNMEVSVLKLEKEILSNAEKRACDEVRSLSERVYRLQATLDTIQSAEEAREEARAAEKRKQEEYVKKIEREWTEAKKELQQERDNVRALTSDREQTLKNAMRQIDDMGKELANTLHAVSAAETRAAVAETKLSELEKKMKVSDAKTASMNDSGISSSISATEVVTDLLMAKDEIQKLKEEARASKEHMLQYKSIAQVNETALKQMEDAHENFKKESEKLKESLENELLSLRGRISELDSEFSKKSEEVASAAVGKAEAFASALAEITCLKEENCSKTSQIVALESQISALKEDLEKEHERWRAAQANYERQVILQSETIQELTKTSQALSLLQQEASDLRKLVDAQKSANDELKSKWEVEKSMIEESKNQAKKKYDELNEQNKLLHSRLEAIHIQLAEKDRNAAGISSGSNAPGLGSDAGLQNVVNYLRRSKEIAETEISLLKQEKLRLQSQLDGALKAAETAQASLHTERANSRTLLFSEEEIKSLQLQVRELTLLRESNMQLREENKHNFEECQKLREVAQNTKAQSDKLESLLRERQIEVEACKKEIEMDKVEKDHLEKRMSELLERCRNIDVEDYNRMKDDLRQMEEKLREKDAEMEGIKNLVSEQQEKILKLEQDLAKSESELNQRERRISDILQTEASLRSELEKQKKLSVQWKKKSEILSKEKEEFSKEKQALIKQIEDLKQGKRLLGNVTGEQVLKEKEEKEHRIQILEKTVERLREELKREREDLRTEKSKRQITEKAVLDSYKNVEQTKTKLEDKLELHKQVLKRISDELEKLKHAEGNLPEGTSVVQLLSGTILDDLAATYVSAIENFERVALSVSSELGAGVQSVENPLIPDASATVTPGQAVPSQATIVSPVAPHAHLPTKMAEEKERKVPVPKPNVETRKAGRKLVRPRLVRPEEPPSDVEMSEVDGSTSVAKLTPASESETQHNITLFSQPIARKRLASSSSDLNEQPLNQGETSSDVPPPVLKRPKGTDSVQEGSEGQAATPSETLVTLPAVEESAVADLSQGEEEAVAEKEEVETSGEKAEPPKESEQLDDTTQVEPENETNEVAEEILDKPSESGMEIYDGSKDHATAEDNQQLPVEFENEREEGELVAEVEEGADMSNMAGSPETGEVLPDTTPVASPARIDDEAMVPVGMESGEINSPEMITDEKNDEGDIVEEIGEGSDKSNDGGDQIAVETDQSPEAASVAGERTTATANTEMDASKQASSSGAEAEEVRQVSPASNTSTVVNLAERARQRAMLRQGGGGAPAVLSPPSGRGRGRVLRGRIARGARGGRGGRSGRGQTPGQQG >Potri.008G080900.5.v4.1 pep chromosome:Pop_tri_v4:8:5027898:5037355:-1 gene:Potri.008G080900.v4.1 transcript:Potri.008G080900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080900.v4.1 MEDAHENFKKESEKLKESLENELLSLRGRISELDSEFSKKSEEVASAAVGKAEAFASALAEITCLKEENCSKTSQIVALESQISALKEDLEKEHERWRAAQANYERQVILQSETIQELTKTSQALSLLQQEASDLRKLVDAQKSANDELKSKWEVEKSMIEESKNQAKKKYDELNEQNKLLHSRLEAIHIQLAEKDRNAAGISSGSNAPGLGSDAGLQNVVNYLRRSKEIAETEISLLKQEKLRLQSQLDGALKAAETAQASLHTERANSRTLLFSEEEIKSLQLQVRELTLLRESNMQLREENKHNFEECQKLREVAQNTKAQSDKLESLLRERQIEVEACKKEIEMDKVEKDHLEKRMSELLERCRNIDVEDYNRMKDDLRQMEEKLREKDAEMEGIKNLVSEQQEKILKLEQDLAKSESELNQRERRISDILQTEASLRSELEKQKKLSVQWKKKSEILSKEKEEFSKEKQALIKQIEDLKQGKRLLGNVTGEQVLKEKEEKEHRIQILEKTVERLREELKREREDLRTEKSKRQITEKAVLDSYKNVEQTKTKLEDKLELHKQVLKRISDELEKLKHAEGNLPEGTSVVQLLSGTILDDLAATYVSAIENFERVALSVSSELGAGVQSVENPLIPDASATVTPGQAVPSQATIVSPVAPHAHLPTKMAEEKERKVPVPKPNVETRKAGRKLVRPRLVRPEEPPSDVEMSEVDGSTSVAKLTPASESETQHNITLFSQPIARKRLASSSSDLNEQPLNQGETSSDVPPPVLKRPKGTDSVQEGSEGQAATPSETLVTLPAVEESAVADLSQGEEEAVAEKEEVETSGEKAEPPKESEQLDDTTQVEPENETNEVAEEILDKPSESGMEIYDGSKDHATAEDNQQLPVEFENEREEGELVAEVEEGADMSNMAGSPETGEVLPDTTPVASPARIDDEAMVPVGMESGEINSPEMITDEKNDEGDIVEEIGEGSDKSNDGGDQIAVETDQSPEAASVAGERTTATANTEMDASKQASSSGAEAEEVRQVSPASNTSTVVNLAERARQRAMLRQGGGGAPAVLSPPSGRGRGRVLRGRIARGARGGRGGRSGRGQTPGQQG >Potri.008G080900.6.v4.1 pep chromosome:Pop_tri_v4:8:5028079:5032931:-1 gene:Potri.008G080900.v4.1 transcript:Potri.008G080900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080900.v4.1 MEGIKNLVSEQQEKILKLEQDLAKSESELNQRERRISDILQTEASLRSELEKQKKLSVQWKKKSEILSKEKEEFSKEKQALIKQIEDLKQGKRLLGNVTGEQVLKEKEEKEHRIQILEKTVERLREELKREREDLRTEKSKRQITEKAVLDSYKNVEQTKTKLEDKLELHKQVLKRISDELEKLKHAEGNLPEGTSVVQLLSGTILDDLAATYVSAIENFERVALSVSSELGAGVQSVENPLIPDASATVTPGQAVPSQATIVSPVAPHAHLPTKMAEEKERKVPVPKPNVETRKAGRKLVRPRLVRPEEPPSDVEMSEVDGSTSVAKLTPASESETQHNITLFSQPIARKRLASSSSDLNEQPLNQGETSSDVPPPVLKRPKGTDSVQEGSEGQAATPSETLVTLPAVEESAVADLSQGEEEAVAEKEEVETSGEKAEPPKESEQLDDTTQVEPENETNEVAEEILDKPSESGMEIYDGSKDHATAEDNQQLPVEFENEREEGELVAEVEEGADMSNMAGSPETGEVLPDTTPVASPARIDDEAMVPVGMESGEINSPEMITDEKNDEGDIVEEIGEGSDKSNDGGDQIAVETDQSPEAASVAGERTTATANTEMDASKQASSSGAEAEEVRQVSPASNTSTVVNLAERARQRAMLRQGGGGAPAVLSPPSGRGRGRVLRGRIARGARGGRGGRSGRGQTPGQQG >Potri.008G080900.3.v4.1 pep chromosome:Pop_tri_v4:8:5027869:5050398:-1 gene:Potri.008G080900.v4.1 transcript:Potri.008G080900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080900.v4.1 MHIFITDDDLARHSNDASYVAAKADEFIRGLQSELENVRAAADAASITAEQTCSLLEQKFLALSTEFSKLESQNAQLQSSLDDRLSELAQAQAQKHQLHLQSIGKDGEIERLTMEVSELHKSKRQLIELVEQKDLEISEKNATFNGYLDKIVNLTDRAANREARISELEAELARSQATCTRLLQEKELIERHNAWLNDELTAKVDTLMELRRRHADLEEDVSTKLADAERRFNESSSSSKRSMERVKELELKLTSVQEELCSSRDAAAANEERLSAELSTVNKLVELYKESSEEWSQKAGELEGVIKALETHLSQVENDYKERLEKEISARKQLEKEAGDLKDKLERCEADIESSRKTNELSLLPLNSYTTERWMDPLNNDDLADGNSMVVSKIPVGVSGTALAASLLRDGWSLAKMYAKYQEAVDALRHEQLGRKESEAVLQRVLCELEEKAGVILDERVEYERMVESYSVINQKLQHSFSEQANLEKTIQELKADLRRHERGYSFAQKEIVDLQKQVTVLLKECRDIQLRCGSSGHDQVDNSKAIAPVGMGVESDPENAILERLTFKDINGLVEQNVQLRSLVRNLSDQIEDRETVFKEKIEMELKKHTDEAASKVAAVLQRAEEQGHMIESLHTSVAMYKRLYEEEHKLRSSYSRSSDAAPVEEDGRRNRLLLLEDSQEATKKAQEKAAERLRSLEEDLAKSKSDIILLRSERDKMALDAKFARERLDSYMKEFEHQRNEMNGVLSRNVEFSQLIVDHQRKLRESSENLVASEELSRKLNMEVSVLKLEKEILSNAEKRACDEVRSLSERVYRLQATLDTIQSAEEAREEARAAEKRKQEEYVKKIEREWTEAKKELQQERDNVRALTSDREQTLKNAMRQIDDMGKELANTLHAVSAAETRAAVAETKLSELEKKMKVSDAKTASMNDSGISSSISATEVVTDLLMAKDEIQKLKEEARASKEHMLQYKSIAQVNETALKQMEDAHENFKKESEKLKESLENELLSLRGRISELDSEFSKKSEEVASAAVGKAEAFASALAEITCLKEENCSKTSQIVALESQISALKEDLEKEHERWRAAQANYERQVILQSETIQELTKTSQALSLLQQEASDLRKLVDAQKSANDELKSKWEVEKSMIEESKNQAKKKYDELNEQNKLLHSRLEAIHIQLAEKDRNAAGISSGSNAPGLGSDAGLQNVVNYLRRSKEIAETEISLLKQEKLRLQSQLDGALKAAETAQASLHTERANSRTLLFSEEEIKSLQLQVRELTLLRESNMQLREENKHNFEECQKLREVAQNTKAQSDKLESLLRERQIEVEACKKEIEMDKVEKDHLEKRMSELLERCRNIDVEDYNRMKDDLRQMEEKLREKDAEMEGIKNLVSEQQEKILKLEQDLAKSESELNQRERRISDILQTEASLRSELEKQKKLSVQWKKKSEILSKEKEEFSKEKQALIKQIEDLKQGKRLLGNVTGEQVLKEKEEKEHRIQILEKTVERLREELKREREDLRTEKSKRQITEKAVLDSYKNVEQTKTKLEDKLELHKQVLKRISDELEKLKHAEGNLPEGTSVVQLLSGTILDDLAATYVSAIENFERVALSVSSELGAGVQSVENPLIPDASATVTPGQAVPSQATIVSPVAPHAHLPTKMAEEKERKVPVPKPNVETRKAGRKLVRPRLVRPEEPPSDVEMSEVDGSTSVAKLTPASESETQHNITLFSQPIARKRLASSSSDLNEQPLNQGETSSDVPPPVLKRPKGTDSVQEGSEGQAATPSETLVTLPAVEESAVADLSQGEEEAVAEKEEVETSGEKAEPPKESEQLDDTTQVEPENETNEVAEEILDKPSESGMEIYDGSKDHATAEDNQQLPVEFENEREEGELVAEVEEGADMSNMAGSPETGEVLPDTTPVASPARIDDEAMVPVGMESGEINSPEMITDEKNDEGDIVEEIGEGSDKSNDGGDQIAVETDQSPEAASVAGERTTATANTEMDASKQASSSGAEAEEVRQVSPASNTSTVVNLAERARQRAMLRQGGGGAPAVLSPPSGRGRGRVLRGRIARGARGGRGGRSGRGQTPGQQG >Potri.005G054100.2.v4.1 pep chromosome:Pop_tri_v4:5:3433854:3437460:1 gene:Potri.005G054100.v4.1 transcript:Potri.005G054100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054100.v4.1 MPTAERGQRSQYSLYSNIPNQYTPLPLTFPLSREIETGTLDTSLKIELPESYCFLFHIVLFEDMDERSQEKEMVEQVVRVKRKKLEACMTCPLCNHLLNEATTISLCLHTFCRKCIYKKLTHEKIDCCPICNMHLGRLPTEKLRPDNYLQDMRAKIFPFKRREVKAFEVVPSVALPKKKKREQRERARDLQTSRVDDLVPQGCGQDDGESLGSQMFTAYSISLEEELQDSKVIPESEESIQDLGLVPQVVSQSGKGSLALPDEVSDIPTTTEPHKNESSSNSEAFNTMAITPLASNSPIAGVLLKLSLNL >Potri.005G054100.3.v4.1 pep chromosome:Pop_tri_v4:5:3434010:3437446:1 gene:Potri.005G054100.v4.1 transcript:Potri.005G054100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054100.v4.1 MDERSQEKEMVEQVVRVKRKKLEACMTCPLCNHLLNEATTISLCLHTFCRKCIYKKLTHEKIDCCPICNMHLGRLPTEKLRPDNYLQDMRAKIFPFKRREVKAFEVVPSVALPKKKKREQRERARDLQTSRVDDLVPQGCGQDDGESLGSQMFTAYSISLEEELQDSKVIPESEESIQDLGLVPQVVSQSGKGSLALPDEVSDIPTTTEPHKNESSSNSEAFNTMAITPLASNSPIAGSNALINTYFSHSPFCII >Potri.005G193400.2.v4.1 pep chromosome:Pop_tri_v4:5:20090773:20093593:1 gene:Potri.005G193400.v4.1 transcript:Potri.005G193400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193400.v4.1 MAISSLSASTIPSLNTRNSTSNYSSKLSSFSSLQFPAQLHRLQFRNRGVSSHSRQRNLPLVAAKKQTFSTFDELLQNSDKPVFVDFYATWCGPCQFMAPILDEVGAVLKDTVQVVKIDTEKYPSIADKYKIEALPTFIIFKDAEPYDRFEGALTKDQLIQRIESSLNVKQ >Potri.015G095400.2.v4.1 pep chromosome:Pop_tri_v4:15:11714146:11718503:1 gene:Potri.015G095400.v4.1 transcript:Potri.015G095400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G095400.v4.1 MAPPQDTRRPFKRLAISDQQRRRDISLQRQAQSRRDAQHQARCLASTVLSLQHQTTPEPESNIELELVPESEESGASSQDLDVRHAARLKGAEARKWFAKQLMLHEWMIDVPDRLSDDWYVFARPAGKRCFVVSTNGTTVSRQRNGSILHRFPSALPNGAKKRDGSGPNQSYCILDCIFHELDQTYYVIDMVCWRGYSLYDCAAEFRFFWLNSKLGETGACDPPSFYHKYRFSTVPVYNCDQNGLFSAYSGDVPYVKDGLLFYNKHAHYQTGNTPLALVWKDQNCSQYVIDTDNKGEVPTQQQVVLELQDDGKLVTSDDPPVVFGCLDSDFIQKSGLCSGNLLRFAISDGGLSSVDGKLVKADLHYLEKPNRARAFADSYSKIMFQHTVRHCPLKIDDLLASISSPDDQQNRPCDIEMVS >Potri.006G232800.3.v4.1 pep chromosome:Pop_tri_v4:6:23556806:23557427:-1 gene:Potri.006G232800.v4.1 transcript:Potri.006G232800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232800.v4.1 MEEGENILEAILDDDDDDDNLEDVDMVDVEEGEFVVDDNSHTDLGLNNGSHDGAGENVGNAEPQSKSQRRRRGGNKKKRKSKKRGGGGPGSSFTDVNKFVLDTCRRLKEKKSYMVYTAVGCLGVSALSDLVKEVMFLYSPKKIICLLFDQ >Potri.006G232800.1.v4.1 pep chromosome:Pop_tri_v4:6:23554464:23558113:-1 gene:Potri.006G232800.v4.1 transcript:Potri.006G232800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232800.v4.1 MEEGENILEAILDDDDDDDNLEDVDMVDVEEGEFVVDDNSHTDLGLNNGSHDGAGENVGNAEPQSKSQRRRRGGNKKKRKSKKRGGGGPGSSFTDVNKFVLDTCRRLKEKKSYMVYTAVGCLGVSALSDLVKEVYAIQSCGGQMTVDGRRFRTGGGILWNIMKTREPMAYKEIMKKAKEFEKQFRQQPIRRAPEQNNECSSQIAASGFIDDTPVSVPDSSQKELAPQYQHEQSSADEKRKSVHERIRVPVSYDDLLGDDLKNDEA >Potri.018G109400.4.v4.1 pep chromosome:Pop_tri_v4:18:12738519:12739448:1 gene:Potri.018G109400.v4.1 transcript:Potri.018G109400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109400.v4.1 MAMENYASRNIDKIHTDVLSQSRQACYKARDAFFACLEKESGKKPTEIGSVGLQYPAECKNSRAEFEKNCRPAWVKHFDRLHCRNKTSQRLLEDKETRRGPLLLPQPYTFKPTSST >Potri.006G156038.1.v4.1 pep chromosome:Pop_tri_v4:6:14841145:14846512:1 gene:Potri.006G156038.v4.1 transcript:Potri.006G156038.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G156038.v4.1 MPTPNSSMNPTQVNFNSPRKFRTTLKSKCKETFFPDDPFRQFKNEKPLGKAKKTLQYFVPIFEWLPQYNLKMFRFDLLAGITITSLAIPQGISYAKLAEIPPIIGLYSSFVPALVYAILGSSKHVAVGTVAACSLLIADTIGSKVSSKDDPTLYLHLVFTAAFITGVFQAALGFLRLGILVDFLSHSTITGFMGGTAIIICLQQLKGLLGVSHFTTKTDVVSVLHAVFKNRNEWKWETAVVGMAFLVFLLFTRYLRQRKPKLFWVSAMAPMVVVVLGCLLAYFTRDSKYSIQTVGKLHKGLNPISIEYLNFDAEYLPYTLKAGIITGIIALAEGIAIGRSFAIMNNEQVDGNKEMIAFGFMNIVGSCFSCYLTTGPFSKTAVNYNSGCKTAASNLVMAIGMMLTLLFLAPLFSYTPLVALSAIIMSAMLGLIKYEEAYHLFKVDKFDFCICLAAFFGVAFITMDMGLMISVALALLRALLYVARPAACKLGKLPDSTLYRDTEQYAEASGPPGILAIQLGSPIYYANGNYIRERILRWIRNDEGNGKAVKHVLLDLTGVTSIDTTGIETLAEVLRILEVKHIKMKIVNPRLDVLEKMMKSKFVDKIGKESIFLCMEDAVEASYDFSATTEKQGFEEQRSGVA >Potri.006G156038.2.v4.1 pep chromosome:Pop_tri_v4:6:14841145:14846512:1 gene:Potri.006G156038.v4.1 transcript:Potri.006G156038.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G156038.v4.1 MPTPNSSMNPTQVNFNSPRKFRTTLKSKCKETFFPDDPFRQFKNEKPLGKAKKTLQYFVPIFEWLPQYNLKMFRFDLLAGITITSLAIPQGISYAKLAEIPPIIGLYSSFVPALVYAILGSSKHVAVGTVAACSLLIADTIGSKVSSKDDPTLYLHLVFTAAFITGVFQAALGFLRLGILVDFLSHSTITGFMGGTAIIICLQQLKGLLGVSHFTTKTDVVSVLHAVFKNRNEWKWETAVVGMAFLVFLLFTRYLVGKLHKGLNPISIEYLNFDAEYLPYTLKAGIITGIIALAEGIAIGRSFAIMNNEQVDGNKEMIAFGFMNIVGSCFSCYLTTGPFSKTAVNYNSGCKTAASNLVMAIGMMLTLLFLAPLFSYTPLVALSAIIMSAMLGLIKYEEAYHLFKVDKFDFCICLAAFFGVAFITMDMGLMISVALALLRALLYVARPAACKLGKLPDSTLYRDTEQYAEASGPPGILAIQLGSPIYYANGNYIRERILRWIRNDEGNGKAVKHVLLDLTGVTSIDTTGIETLAEVLRILEVKHIKMKIVNPRLDVLEKMMKSKFVDKIGKESIFLCMEDAVEASYDFSATTEKQGFEEQRSGVA >Potri.014G014700.2.v4.1 pep chromosome:Pop_tri_v4:14:845393:868858:-1 gene:Potri.014G014700.v4.1 transcript:Potri.014G014700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014700.v4.1 MEDAYARSITEVLDFFGVDPGKGLSDSQVALHSKIYGKNVLPEETRTPFWKLVLKQFDDLLVKILIAAAAVSLVLALINGETGLAAFLEPFVILLILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKVPADMRMIEMLSNQLRVDQAILTGESCSVEKELESTIATNAVYQDKTNIIFSGTVVVVGRARAVVVGVGANTAMGNIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICILVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICAVHSVHRGPTIAEYSVSGTSYAPEGMIFGSSGLQIEFPAQLPCLLHIAMCSAVCNESILQYNPDRGIYEKIGESTEVALRVLAEKVGLPGFDSMPSALHMLTKHERASYCNQYWESQFKKVSVLEFSRDRKMMSVLCSRKQTKIMFSKGAPESIVSRCSNILCNDDGSTVPLSVAVRDELESRFHSFAGKETLRCLSLAFKQMPIGQQTLSFEDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCNKIGAFDHLEDFAGRSYTASEFEELPALQQTLALQRMALFTRVEPSHKRMLVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPAIAIGFNKQDSDVMKVKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVYSDTGPKLPYKELMNFDSCSTRETTYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIVLTMLLHILILYVHPLSILFSVTPLSWAEWKVVLYLSFPVIIIDEILKFFSRNSTGLRLGLRFRRPDLLPKRELRDK >Potri.014G014700.4.v4.1 pep chromosome:Pop_tri_v4:14:845409:868801:-1 gene:Potri.014G014700.v4.1 transcript:Potri.014G014700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014700.v4.1 MEDAYARSITEVLDFFGVDPGKGLSDSQVALHSKIYGKNVLPEETRTPFWKLVLKQFDDLLVKILIAAAAVSLVLALINGETGLAAFLEPFVILLILAANAAVGVITETNAEKALEELRAYQADIATVLRNGCFSILPATELVPGDIVEVSVGCKVPADMRMIEMLSNQLRVDQAILTGESCSVEKELESTIATNAVYQDKTNIIFSGTVVVVGRARAVVVGVGANTAMGNIRDSMLRTDDEATPLKKKLDEFGTFLAKVIAGICILVWIVNIGHFRDPSHGGFLRGAIHYFKIAVALAVAAIPEGLPAVVTTCLALGTKRMARLNAIVRSLPSVETLGCTTVICSDKTGTLTTNMMSVSKICAVHSVHRGPTIAEYSVSGTSYAPEGMIFGSSGLQIEFPAQLPCLLHIAMCSAVCNESILQYNPDRGIYEKIGESTEVALRVLAEKVGLPGFDSMPSALHMLTKHERASYCNQYWESQFKKVSVLEFSRDRKMMSVLCSRKQTKIMFSKGAPESIVSRCSNILCNDDGSTVPLSVAVRDELESRFHSFAGKETLRCLSLAFKQMPIGQQTLSFEDEKDLTFIGLVGMLDPPREEVRNAMLSCMTAGIRVIVVTGDNKSTAESLCNKIGAFDHLEDFAGRSYTASEFEELPALQQTLALQRMALFTRVEPSHKRMLVEALQHQNEVVAMTGDGVNDAPALKKADIGIAMGSGTAVAKSASDMVLADDNFASIVAAVAEGRAIYNNTKQFIRYMISSNIGEVVCIFVAAVLGIPDTLAPVQLLWVNLVTDGLPAIAIGFNKQDSDVMKVKPRKVNEAVVSGWLFFRYLVIGAYVGLATVAGFVWWFVYSDTGPKLPYKELMNFDSCSTRETTYPCSIFDDRHPSTVSMTVLVVVEMFNALNNLSENQSLLVIPPWSNLWLVASIVLTMLLHILILYVHPLSILFSVTPLSWAEWKVVLYLSFPVIIIDEILKFFSRNSTGLRLGLRFRRPDLLPKRELRDK >Potri.010G089800.1.v4.1 pep chromosome:Pop_tri_v4:10:11496499:11501195:1 gene:Potri.010G089800.v4.1 transcript:Potri.010G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089800.v4.1 MPVGGLAPAKAGGVEFEAKITPIVILSCIMAATGGLMFGYDVGVSGGVTSMPDFLEKFFPDVYGKTQDPNLNSNYCKYDNQNLQMFTSSLYLAGLVATFFASWTTRNLGRKPTMLIAGCFFLVGVVINAAAQDLAMLIIGRVLLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTIGILFANLVNYGTAKIKGGWGWRLSLGLAGFPALLLTAGALLVLETPNSLIERGRLDEGKTVLRKIRGTDKIEPEFLELVEASRVAKEVKHPFRNLLKRRNWPQLAITIALQIFQQFTGINAIMFYAPVLFDTVGFGSDASLYSAVIIGAVNVLSTCVSIYSVDKIGRRMLLLEAGVQMFFSQVVIAILLGIKVKDNSNDLHRGFAVLVVLMVCTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLIFTFVMAQSFLSMLCTLKFGIFLFFSSWVLIMSIFVVFLLPETKNIPIEEMTERVWKKHWFWKRFMDNNEEVAATGTNGDHSPKKGHSNGLDPASQL >Potri.001G148400.4.v4.1 pep chromosome:Pop_tri_v4:1:12266366:12277374:-1 gene:Potri.001G148400.v4.1 transcript:Potri.001G148400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148400.v4.1 MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVQLVEQELWQREEKGLIGILPVRDAAAATAETASVGPTLSHSAGSDTSEKSSRTPASTSSDNVKLDGKHQQEVFHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNLHELSTRGAYYTARISPLEMTKAELEQVDQDPDFVALSRHFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDLKARLSKANELVDQHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAAIERKMQSAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSHVYLELLADLPWQTGSEQLELDLKAAKKRLDNDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGIKDEADIRGHRRTYIGSMPGRLIDGIKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANKLQPIPPPLLDRMEVIELPGYTPEEKLRIAMQYLIPRVLDQHGLSSEFLQIPEAMVELVIQRYTREAGVRNLERNLAALARAAAVRVAEQEQTVPLSKDMHQLASPLLENRLSEGAEVEMEVIPMNENNHEISNTFSIASPLVVDEPMLEKVLGPPRFDDREAAERVAAPGISVGLVWTAFGGEVQFVEASSMVGKGELHLTGQLGDVIKESAQIALTWVRARATDLKLAAADETNLLEDRDVHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKRVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPEKNMKDLVEVPAAVLGSLEILLAKRMEDVLEQAFEGGCPWKQHSKL >Potri.001G148400.2.v4.1 pep chromosome:Pop_tri_v4:1:12266369:12277371:-1 gene:Potri.001G148400.v4.1 transcript:Potri.001G148400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148400.v4.1 MAESVELPSRLAILPFRNKVLLPGAIIRIRCTSPSSVQLVEQELWQREEKGLIGILPVRDAAAATAETASVGPTLSHSAGSDTSEKSSRTPASTSSDNVKLDGKHQQEVFHWHNRGVAARALHLSRGVEKPSGRVTYIVVLEGLCRFNLHELSTRGAYYTARISPLEMTKAELEQVDQDPDFVALSRHFKATAMELISVLEQKQKTGGRTKVLLETVPVHKLADIFVASFEISFEEQLSMLDSVDLKARLSKANELVDQHLQSIRVAEKITQKVEGQLSKSQKEFLLRQQMRAIKEELGDNDDDEDDVAAIERKMQSAGMPSNIWKHAQRELRRLKKMQPQQPGYNSSHVYLELLADLPWQTGSEQLELDLKAAKKRLDNDHYGLVKIKQRIIEYLAVRKLKPDARGPVLCFVGPPGVGKTSLASSIAAALGRKFVRISLGGIKDEADIRGHRRTYIGSMPGRLIDGIKRVGVCNPVMLLDEIDKTGSDVRGDPASALLEVLDPEQNKTFNDHYLNVPFDLSKVIFVATANKLQPIPPPLLDRMEVIELPGYTPEEKLRIAMQYLIPRVLDQHGLSSEFLQIPEAMVELVIQRYTREAGVRNLERNLAALARAAAVRVAEQEQTVPLSKDMHQLASPLLENRLSEGAEVEMEVIPMNENNHEISNTFSIASPLVVDEPMLEKVLGPPRFDDREAAERVAAPGISVGLVWTAFGGEVQFVEASSMVGKGELHLTGQLGDVIKESAQIALTWVRARATDLKLAAADETNLLEDRDVHIHFPAGAVPKDGPSAGVTLVTALVSLFSQKRVRADTAMTGEMTLRGLVLPVGGIKDKILAAHRYGIKRVILPEKNMKDLVEVPAAVLGSLEILLAKRMEDVLEQAFEGGCPWKQHSKL >Potri.004G110150.1.v4.1 pep chromosome:Pop_tri_v4:4:9878043:9878733:1 gene:Potri.004G110150.v4.1 transcript:Potri.004G110150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110150.v4.1 MDNFEWGSGYAVRFGLYYVDYKNDLKRYPKQSVKWFKQFLRRDSHSPIPHTYPLITSNETSKIEDSLVRDAKRPRNA >Potri.015G096000.4.v4.1 pep chromosome:Pop_tri_v4:15:11749295:11751286:-1 gene:Potri.015G096000.v4.1 transcript:Potri.015G096000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096000.v4.1 MSNSMEQTLEGEDDNNNNNNRGSEQNPNQSQEWETMARLWLSAFSGVKAVSTMEVETWIDSNYSSLPSDLQSMPRSDLIDRLLSIQKYMRLPTQNQITETNQVDVPHARFQRTDQWLPVYSWLESLDKDEVVKSKDISDWLTENPEIREQLFSRHSRYHLMHYIKKCHVKILKRRERKKGVQLTGNPTSPKFQKNVEVKELAPVPMINPLNNIPKDSELYVAKRNEALQKYEILLELEKKLTPYFSKCQAVNN >Potri.017G047800.1.v4.1 pep chromosome:Pop_tri_v4:17:3400359:3402276:1 gene:Potri.017G047800.v4.1 transcript:Potri.017G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047800.v4.1 MGLKGFAEGGIASIIAGASTHPLDLIKVRMQLQGESHVPNPSSVQSYRPAFALSSTANISLPTTLEPPPPPRVGPLSIGVRIIQSEGAAALFSGVSATILRQTLYSTTRMGLYDVLKHKWTDPDTNTMPLVRKIVAGLISGAVGAAVGNPADVAMVRMQADGRLPIEQRRNYKSVVDALSQMSKQEGVASLWRGSSLTVNRAMIVTASQLASYDQAKEMILEKGLMSDGIGTHVSASFLAGFVASVASNPIDVIKTRVMNMKVEPGVEPPYKGAFDCAMKTIKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Potri.001G044500.1.v4.1 pep chromosome:Pop_tri_v4:1:3206235:3208071:-1 gene:Potri.001G044500.v4.1 transcript:Potri.001G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044500.v4.1 MDNSSWVDTSLDLNINPLRVKSDAPVDAESFGVPRKMKPTFMFQTKPSAKEETGAIEEELNRVSEENRKLTEMLTVMCENYNALRNQLMDCMCKNGEKELHGPSKKRKSASNNNNDNNIAMNGNSESSSTDEELSKKPREEVIKAKTSRAYVKTEAGDKSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSIDDQSVLVATYEGEHNHPHPSMEATSGSSHGLTLGSVPCSASLASSGKTNITLDLTKSKSSNDAKSSKPKTDAPEVRQFLVEQMASSLTKDPNFTAALAAAISGRMLQQNHTKW >Potri.001G469401.1.v4.1 pep chromosome:Pop_tri_v4:1:49329640:49330643:-1 gene:Potri.001G469401.v4.1 transcript:Potri.001G469401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469401.v4.1 MCCGGRMCMLCTCLILLVILIGLSFGFGVFKNGFHKLKDHLDVCDPNAIGSFCGGGGGGKASRPFLGFPAPPPGSF >Potri.011G070400.1.v4.1 pep chromosome:Pop_tri_v4:11:6197543:6198716:1 gene:Potri.011G070400.v4.1 transcript:Potri.011G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070400.v4.1 MLWLSCYSLILSFVSRRFYEMDVPKSTSTSSSSSPSLPNPSSSPKKIQLVSKFVSDRLLDKFFDASEFDFDYEQSGLWSPPIRRSAFLSSPGRIFTEEEMLGRLRKVMDARRDTRHKACWNVVWCF >Potri.002G056300.1.v4.1 pep chromosome:Pop_tri_v4:2:3833587:3834354:-1 gene:Potri.002G056300.v4.1 transcript:Potri.002G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056300.v4.1 MASPALLTPASKLKPLSPIKPKPNSTAPLSPPPTPQQQQPRNHHARRHFLSLATAILTSPFVLPITPAFAGSDEEYVKDTEDVINKVRTTVNMDKNDPNVADAVANLRETSNSWVAKYRREKALLGRASFRDMYSALNAVTGHYVSFGPTAPIPSKRRARILEEMDTAEKALSRGR >Potri.018G039200.2.v4.1 pep chromosome:Pop_tri_v4:18:3110882:3114042:1 gene:Potri.018G039200.v4.1 transcript:Potri.018G039200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039200.v4.1 MQASRARLFKEYKEVQREKVADPDIQIACDDTNIFKWTALIKGPSETPFEGGVFQLVFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAASPKKG >Potri.001G345500.1.v4.1 pep chromosome:Pop_tri_v4:1:35667112:35672919:-1 gene:Potri.001G345500.v4.1 transcript:Potri.001G345500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345500.v4.1 MAGLEELKKKLTPLFDSEKGFSSDSTLDPSDSYVLSDGGTVNLLSRSYGVYNINELGLQKCTTSPEDETDHSEKTYRCASHEMRIFGAIGSGASSVVQRALHIPMHRILALKKINIFEKEKRQQLLTEIRTLCEAPCYEGLVEFHGAFYTPDSGQISIALEYMDGGSLADILRLRKRIPEPVLSHMFQKLLIGLSYLHGVRHLVHRDIKPANLLVNLKGEPKITDFGISAGLENSVAMCATFVGTVTYMSPERIRNDSYSYPADIWSLGLALFECGTGEFPYTANEGLVNLMLQILEDPSPSPSKNNFSPEFCSFIDACLQKDPDTRPTAEQLLSYPFITKYAHTDVDLAAFVQSVFDPTQRMKDLADMLTIHYYLLFDGPEELWQHTKAFYNEGSIFSFSGKQSVGSNDIFATLTNIRSTLAGDWPPERLVHVVEKLQCRAHGEDAVAIRVSGSFVIGNQFLICGDGVQCEGLPNFKDLSIDIPSKRMGTFKEQFIMEPGNVIGRYFIAKQELYIFQENN >Potri.017G075300.1.v4.1 pep chromosome:Pop_tri_v4:17:8296166:8298094:-1 gene:Potri.017G075300.v4.1 transcript:Potri.017G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075300.v4.1 MKSPYFLTVSLCLLPLLAFPPLSYASVETSKTGAFTKAFDPTRAAQLSWQPRAFVYKGFLSDEECDHLINLAKGKLVKSMVANDETGESMESQERTSSGMFIFKTEDEIVNGIEARIAAWTFLPEENGEPIQILRYEHGQKYEAHIDYFVDKANQEEGGHRAATVLMYLSDVKKGGETVFPTSEAEGSQAKDDSWSDCAKKGYAVKPNKGDALLFFSLHPDATPDPGSLHASCPVIEGEKWSATKWIHVRSFSEPVKRTKMGKLY >Potri.018G133801.1.v4.1 pep chromosome:Pop_tri_v4:18:14283427:14287933:1 gene:Potri.018G133801.v4.1 transcript:Potri.018G133801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133801.v4.1 MGNFWSCLSGKSNQAIASAAGDPVLEPIPDPDPAPSTIDNLKDGGISFLGGTGYISGSNWFSMATTNFTVWLSQASGVLAAIWGEDNEFLPEIDGGFLPDDGALPDGGSASDSKVRAFTFDQLKAATFNFRSDIVLGKGGFGNVYKGWLKEKVPSQDTRKWPIAVKRLDASSKQGYRQWQAEVGFLARLSHPNIVKLVGYCREKEEYLIAYEFMQKGSLNYHLFGKGSKRLIPWEKRAKIAKGMAQGLNYLHTLEKPIIFRDFKSSNILLDESYAPKISDFGLAKWAPATGDSYITGQVVGTKGYAAPEYVRNGKLYIKSDVYSFGVVLVEMLTGLRVIDINRPPAQHNLVKWIKPKLSERSQLKHIMDPRLEGKYPPRLASRMALIAVPCLCDEAQFRPSMKEVSDMLEGIVKSQETKKM >Potri.002G249500.1.v4.1 pep chromosome:Pop_tri_v4:2:23989271:23991740:-1 gene:Potri.002G249500.v4.1 transcript:Potri.002G249500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249500.v4.1 MGREQEEGEGGEEYLFKIVVIGDSAVGKSNLLSRFARNEFDSNSKATIGVEFQTQVVDIDGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDITRRTSFDSVKRWLDELGTHCDTAIARMLVGNKCDLDSIREVSRDEGKSLAEEESLFFMETSALDSTNVEAAFEVVIREIYNNMSRKILNSDSYKAELTANRVSLVNGDTSSKKYGFSCCNT >Potri.005G001800.1.v4.1 pep chromosome:Pop_tri_v4:5:203152:208548:-1 gene:Potri.005G001800.v4.1 transcript:Potri.005G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001800.v4.1 MPLSELLYRMAKGKIDFSQEKDPSCSTDLSFVPENDFGELIWENGQIQSSRARKIQPCSTLPCQNPKIRYKDIGNGTDIRTGKFGMMESTLNELPMSVPAVEMGVNQDDDMVPWLNYPLDESPQHDYCSEFLPELSGVTVNGHSSQSNFPSFGKKSFSQSVRDSRTVSVHNGLSLEQGDVAKNSSAGDTEANRPRTSASQLYLSSSEHCQTSFPYFRSRVSAKNGDSTSNAAHHVVSVDSIRAPTSGGGFPSIKMQKQVPAQSTTNSSLMNFSHFARPAALAKANLQNIGMRAGTGISNMERTQNKDKGSIASSSNPAECTPINSCSGLLKETSSHCLPVLMPPKVDAKPSEAKPAEGFVPAELPEATIPEGDSKSDRNCRQNFCESAIKGVADVEKTKEPVVASSSVGSDNSVERASDDPTENLKRKHRDTEESEGPSEDVEEESVGAKKQAPARAGNGSKRNRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPSMMLPPGMPHMHAAHMGQFLPMGVGMGMRMGMGMGFGMSMPDVNGGSSGCPMYQVPPMHGPHFSGQPMSGLSALHRMGGSNLQMFGLSGQGFPMSFPCAPLVPMSGGPPLKTNMEPNACGVVGATDNLDSATACSSHEAIQKINSQVMQNNVVNSSMNQTSSQCQATNECFEQPALVQNNAQDSGVADNRALKSVGGNDNVPSSEAGEHLQ >Potri.005G001800.3.v4.1 pep chromosome:Pop_tri_v4:5:203150:208281:-1 gene:Potri.005G001800.v4.1 transcript:Potri.005G001800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001800.v4.1 MPLSELLYRMAKGKIDFSQEKDPSCSTDLSFVPENDFGELIWENGQIQSSRARKIQPCSTLPCQNPKIRYKDIGNGTDIRTGKFGMMESTLNELPMSVPAVEMGVNQDDDMVPWLNYPLDESPQHDYCSEFLPELSGVTVNGHSSQSNFPSFGKKSFSQSVRDSRTVSVHNGLSLEQGDVAKNSSAGDTEANRPRTSASQLYLSSSEHCQTSFPYFRSRVSAKNGDSTSNAAHHVVSVDSIRAPTSGGGFPSIKMQKQVPAQSTTNSSLMNFSHFARPAALAKANLQNIGMRAGTGISNMERTQNKDKGSIASSSNPAECTPINSCSGLLKETSSHCLPVLMPPKVDAKPSEAKPAEGFVPAELPEATIPEGDSKSDRNCRQNFCESAIKGVADVEKTKEPVVASSSVGSDNSVERASDDPTENLKRKHRDTEESEGPSEDVEEESVGAKKQAPARAGNGSKRNRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPSMMLPPGMPHMHAAHMGQFLPMGVGMGMRMGMGMGFGMSMPDVNGGSSGCPMYQVPPMHGPHFSGQPMSGLSALHRMGGSNLQMFGLSGQGFPMSFPCAPLVPMSGGPPLKTNMEPNACGVVGATDNLDSATACSSHEAIQKINSQVMQNNVVNSSMNQTSSQVC >Potri.005G001800.2.v4.1 pep chromosome:Pop_tri_v4:5:202493:208802:-1 gene:Potri.005G001800.v4.1 transcript:Potri.005G001800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001800.v4.1 MPLSELLYRMAKGKIDFSQEKDPSCSTDLSFVPENDFGELIWENGQIQSSRARKIQPCSTLPCQNPKIRYKDIGNGTDIRTGKFGMMESTLNELPMSVPAVEMGVNQDDDMVPWLNYPLDESPQHDYCSEFLPELSGVTVNGHSSQSNFPSFGKKSFSQSVRDSRTVSVHNGLSLEQGDVAKNSSAGDTEANRPRTSASQLYLSSSEHCQTSFPYFRSRVSAKNGDSTSNAAHHVVSVDSIRAPTSGGGFPSIKMQKQVPAQSTTNSSLMNFSHFARPAALAKANLQNIGMRAGTGISNMERTQNKDKGSIASSSNPAECTPINSCSGLLKETSSHCLPVLMPPKVDAKPSEAKPAEGFVPAELPEATIPEGDSKSDRNCRQNFCESAIKGVADVEKTKEPVVASSSVGSDNSVERASDDPTENLKRKHRDTEESEGPSEDVEEESVGAKKQAPARAGNGSKRNRAAEVHNLSERRRRDRINEKMRALQELIPNCNKVDKASMLDEAIEYLKTLQLQVQIMSMGAGLYMPSMMLPPGMPHMHAAHMGQFLPMGVGMGMRMGMGMGFGMSMPDVNGGSSGCPMYQVPPMHGPHFSGQPMSGLSALHRMGGSNLQMFGLSGQGFPMSFPCAPLVPMSGGPPLKTNMEPNACGVVGATDNLDSATACSSHEAIQKINSQVMQNNVVNSSMNQTSSQCQATNECFEQPALVQNNAQDSGVADNRALKSVGGNDNVPSSEAGCD >Potri.018G094000.1.v4.1 pep chromosome:Pop_tri_v4:18:11416448:11417671:-1 gene:Potri.018G094000.v4.1 transcript:Potri.018G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094000.v4.1 MKSTSKPDRHRFILSSLFFIFFLCALATINGIRFDSLLSFGRCALSNIPSQSSAPSSNNFLAMNSSTSSDDIRILIGVLTLPDQYQRRHFLRLIYGTQSPVGAQIDVKFVFCNLTKEDQKVLVALEIMRYDDIIILDCKENMNKGKTYTYFSSLPEMLNDTDKPYPPYHYVMKTDDDTYFRLDNLVESLKPLPREDLYYGYVIPCPSMDPFVHYMSGMGYMISWDIVEWIRDSEVPKNHLEGPEDKVFGDWIREGHRAKNRYNAKWSMYNFPEPPTQCTHELWPNTTAVHLLKNQEKWIQTLKYFNVTSNLKPSKLYHIP >Potri.004G001300.1.v4.1 pep chromosome:Pop_tri_v4:4:103562:106009:-1 gene:Potri.004G001300.v4.1 transcript:Potri.004G001300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001300.v4.1 MAEGGISSFWGPVTSTTECCEKNYAYSSYIAEFYNTLSNIPCILLALIGLINALRQRFEKRFSVLHISNMILAIGSMIFHATLQHVQQQSDETPMVWEMLLYMYILHSPDWHYRSTMPTFLFLYGAVFAAVHSVVRFGIGFKVHYAILCILCIPRMYKYYIYTQDVSAKRLAKMYVATLLIGTLCWLFDRIFCKEISSWPINPQGHALWHVFMGFNSYFANTFLMFCRAQQRGWSPKVVHFMGVLPYVKIEKPKAQ >Potri.004G001300.2.v4.1 pep chromosome:Pop_tri_v4:4:102911:106800:-1 gene:Potri.004G001300.v4.1 transcript:Potri.004G001300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001300.v4.1 MAEGGISSFWGPVTSTTECCEKNYAYSSYIAEFYNTLSNIPCILLALIGLINALRQRFEKRFSVLHISNMILAIGSMIFHATLQHVQQQSDETPMVWEMLLYMYILHSPDWHYRSTMPTFLFLYGAVFAAVHSVVRFGIGFKVHYAILCILCIPRMYKYYIYTQDVSAKRLAKMYVATLLIGTLCWLFDRIFCKEISSWPINPQGHALWHVFMGFNSYFANTFLMFCRAQQRGWSPKVVHFMGVLPYVKIEKPKAQ >Potri.004G001300.3.v4.1 pep chromosome:Pop_tri_v4:4:103564:104595:-1 gene:Potri.004G001300.v4.1 transcript:Potri.004G001300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001300.v4.1 MVWEMLLYMYILHSPDWHYRSTMPTFLFLYGAVFAAVHSVVRFGIGFKVHYAILCILCIPRMYKYYIYTQDVSAKRLAKMYVATLLIGTLCWLFDRIFCKEISSWPINPQGHALWHVFMGFNSYFANTFLMFCRAQQRGWSPKVVHFMGVLPYVKIEKPKAQ >Potri.T046300.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:636447:640640:-1 gene:Potri.T046300.v4.1 transcript:Potri.T046300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046300.v4.1 MHAPVLVLRDSLKRESGRKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLGGEMLHVAEAFIEKNYHPTVICRAYSKALEDAIAVIDKIAMSIDVNDRPTMLGLVKSCIGTKFTSQFGDLIADLAIDATSIVGVDLGQGMREVDIKKYIKVEKVPGGQLEDSKVLKGVMFNKDVVAPGKMKRKIVNPRIILLDCPVEYKKGENQTNAELVREEDWEVLLKMEEEYIENMCAQILKLKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGATIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMSVARNILKNPKLLPGGGATELTVSAALKQKSSSIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGEITDMKERKIWDAYNVKAQTFKTAIESACMLLRIDDIVSGIKKKQAPGAQGPSKPKIETEADADSEQILPD >Potri.001G226700.1.v4.1 pep chromosome:Pop_tri_v4:1:24532512:24532679:1 gene:Potri.001G226700.v4.1 transcript:Potri.001G226700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226700.v4.1 MGHFSAIFSCFVPSASSRVIDEAHKKAEIPKSKSKSSGAPIVVSYFPMNSYLSRL >Potri.015G019901.1.v4.1 pep chromosome:Pop_tri_v4:15:1381408:1381836:1 gene:Potri.015G019901.v4.1 transcript:Potri.015G019901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019901.v4.1 MAGLSVSCFHQMRHKIKTLRGSHFLFLKKEIDKIRVQGRVFWEVIPCLSYKTELRPPLAREEKKKRRGPESFKFLTASEDINQPLLHHYLTVSLSFQPFLTYGSKTDLATVAIITNSITIYQPLLLPSAHPMFSHLKRSFKE >Potri.005G012400.1.v4.1 pep chromosome:Pop_tri_v4:5:985244:986966:-1 gene:Potri.005G012400.v4.1 transcript:Potri.005G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012400.v4.1 MASNQLNCDMSSQQEQNQRRKKRRKLTHETTESHIQNDSKNQLTVRWRTEAERRIYSSKLLEALRRSSRTSSHPGKRTREVRETANRVLAVAARGRTQWSRAILAKRARLLRVRKVKKQKLNSDRRLQEREKRRKLPAVEKKVKVLSRLVPGCRKVSFVNLLEEASDYIAALEMQIKVMTTLSEILTAAGGEVGGGAGVDGGLSS >Potri.001G285000.4.v4.1 pep chromosome:Pop_tri_v4:1:29796140:29799529:1 gene:Potri.001G285000.v4.1 transcript:Potri.001G285000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285000.v4.1 MALLLRKTWRFIFPSSRLPPLSPSAAREFRSDAALEAISKANEEKTPIAVLYNYPSFSGAFSALFAHLFHSRLNLPCLILPFSSVEPFRVEDFRIEGLERCYLLDFIGPRGFASTLSRQSNCQVICFDHRKSVLSRVQSKEDCGEKVSFSVDVEKSSSTSVYEYFSKKILDNNGGVEGLLKAEDQDRVEMVLKYIEDMDLRRRSLPDIRAFNVGIGEWRSKFNYVTNPYMFEELLEISPVDIIEKGNSYISSRWTAASKLMDKVFKVRLGRGVYGECLGVRADGNSHLSDEIGKELSVKSAAAGLRPIGAVVYMLRNDLKMCLRSIDSATDTSEVAKAYGGGGSPSSSSFIIRMDEYNQWLSVNAS >Potri.001G285000.5.v4.1 pep chromosome:Pop_tri_v4:1:29796161:29800812:1 gene:Potri.001G285000.v4.1 transcript:Potri.001G285000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285000.v4.1 MALLLRKTWRFIFPSSRLPPLSPSAAREFRSDAALEAISKANEEKTPIAVLYNYPSFSGAFSALFAHLFHSRLNLPCLILPFSSVEPFRVEDFRIEGLERCYLLDFIGPRGFASTLSRQSNCQVICFDHRKSVLSRVQSKEDCGEKVSFSVDVEKSSSTSVYEYFSKKILDNNGGVEGLLKAEDQDRVEMVLKYIEDMDLRRRSLPDIRAFNVGIGEWRSKFNYVTNPYMFEELLEISPVDIIEKGNSYISSRWTAASKLMDKVFKVRLGRGVYGECLGVRADGNSHLSDEIGKELSVKSAAAGLRPIGAVVYMLRNDLKMCLRSIDSATDTSEVAKVVHFD >Potri.001G285000.1.v4.1 pep chromosome:Pop_tri_v4:1:29796140:29800989:1 gene:Potri.001G285000.v4.1 transcript:Potri.001G285000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285000.v4.1 MALLLRKTWRFIFPSSRLPPLSPSAAREFRSDAALEAISKANEEKTPIAVLYNYPSFSGAFSALFAHLFHSRLNLPCLILPFSSVEPFRVEDFRIEGLERCYLLDFIGPRGFASTLSRQSNCQVICFDHRKSVLSRVQSKEDCGEKVSFSVDVEKSSSTSVYEYFSKKILDNNGGVEGLLKAEDQDRVEMVLKYIEDMDLRRRSLPDIRAFNVGIGEWRSKFNYVTNPYMFEELLEISPVDIIEKGNSYISSRWTAASKLMDKVFKVRLGRGVYGECLGVRADGNSHLSDEIGKELSVKSAAAGLRPIGAVVYMLRNDLKMCLRSIDSATDTSEVAKAYGGGGSPSSSSFIIRMDEYNQWLSVNAS >Potri.001G285000.6.v4.1 pep chromosome:Pop_tri_v4:1:29796140:29800951:1 gene:Potri.001G285000.v4.1 transcript:Potri.001G285000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285000.v4.1 MALLLRKTWRFIFPSSRLPPLSPSAAREFRSDAALEAISKANEEKTPIAVLYNYPSFSGAFSALFAHLFHSRLNLPCLILPFSSVEPFRVEDFRIEGLERCYLLDFIGPRGFASTLSRQSNCQVICFDHRKSVLSRVQSKEDCGEKVSFSVDVEKSSSTSVYEYFSKKILDNNGGVEGLLKAEDQDRVEMVLKYIEDMDLRRRSLPDIRAFNVGIGEWRSKFNYVTNPYMFEELLEISPVDIIEKGNSYISSRWTAASKLMDKVFKVRLGRGVYGECLAHRSCCVHATK >Potri.001G285000.2.v4.1 pep chromosome:Pop_tri_v4:1:29796140:29800990:1 gene:Potri.001G285000.v4.1 transcript:Potri.001G285000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285000.v4.1 MALLLRKTWRFIFPSSRLPPLSPSAAREFRSDAALEAISKANEEKTPIAVLYNYPSFSGAFSALFAHLFHSRLNLPCLILPFSSVEPFRVEDFRIEGLERCYLLDFIGPRGFASTLSRQSNCQSFFFPGRVICFDHRKSVLSRVQSKEDCGEKVSFSVDVEKSSSTSVYEYFSKKILDNNGGVEGLLKAEDQDRVEMVLKYIEDMDLRRRSLPDIRAFNVGIGEWRSKFNYVTNPYMFEELLEISPVDIIEKGNSYISSRWTAASKLMDKVFKVRLGRGVYGECLGVRADGNSHLSDEIGKELSVKSAAAGLRPIGAVVYMLRNDLKMCLRSIDSATDTSEVAKAYGGGGSPSSSSFIIRMDEYNQWLSVNAS >Potri.001G285000.3.v4.1 pep chromosome:Pop_tri_v4:1:29796140:29800930:1 gene:Potri.001G285000.v4.1 transcript:Potri.001G285000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285000.v4.1 MALLLRKTWRFIFPSSRLPPLSPSAAREFRSDAALEAISKANEEKTPIAVLYNYPSFSGAFSALFAHLFHSRLNLPCLILPFSSVEPFRVEDFRIEGLERCYLLDFIGPRGFASTLSRQSNCQVICFDHRKSVLSRVQSKEDCGEKVSFSVDVEKSSSTSVYEYFSKKILDNNGGVEGLLKAEDQDRVEMVLKYIEDMDLRRRSLPDIRAFNVGIGEWRSKFNYVTNPYMFEELLEISPVDIIEKGNSYISSRWTAASKLMDKVFKVRLGRGVYGECLGVRADGNSHLSDEIGKELSVKSAAAGLRPIGAVVYMLRNDLKMCLRSIDSATDTSEVAKAYGGGGSPSSSSFIIRMDEYNQWLSVNAS >Potri.010G214500.2.v4.1 pep chromosome:Pop_tri_v4:10:20216212:20219280:-1 gene:Potri.010G214500.v4.1 transcript:Potri.010G214500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214500.v4.1 MDGGEDSQESPSVNAAAASSSDNAEPSASQTGAQRNSLEKCKQNANEIEVDESCTSKKRPHNSFIEYYLSKGLDGERENRGKKKLKAPKNVAKQWKSLPEEEKRPFQDAVKDAWKNHVKERGERPEAPKKAYLDSRCSLRKFAAVVKMFDQDQIQAVNALGLAGLLHIKKQKRKFQVIEDLAARFDIQSCELEVHGGRLKLTPGGVEWLLGLPSSGLSVEQFIGNDEDVRMMYNLGSDRIHAGQLGDGLASIPASPEFQAKFLLYAISTVIRPSTSVYVSPSCFGILKNLSIVCALNWAKYALEGLVSGIKKYRETDFAPSKAKRLTGCLLILEIFYLEHVNVGQIKLPRASGLAPRICDWDEDAKSLVFEKVYKLGGMDSDKVELVTRGVSAMASHSVTVAKNKELAELRKDMVKLREDVMFYMEKMSADMARFKETVLSAIAGKQVCLLVPSEHIQPSHPNDDSREAEQEDATEVPTEAVPEQTVQTPPGQTPTDEHSHKDKLGVVLCSGQPRLGALKDSVDSHAKGNIASHGAGEKTVLTFDLSVGRIDC >Potri.001G261500.1.v4.1 pep chromosome:Pop_tri_v4:1:27773708:27775144:-1 gene:Potri.001G261500.v4.1 transcript:Potri.001G261500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261500.v4.1 MHKRNNSQFKASQESMTEEPQAAGTSLVGKLICCCLLNPSPVMDTRNEEISSRSRDVENRACGERHHRSAGKRTPTTSLLDRSKVYGRKKDKEFILELLLEREVASNGRVCVVAIGGEEGVGKTTLAQLVYNDSTVANAFDLRAWVFDSEDFDVRSITGTILQEVTKDQACKLSGDLNFLQVKLRERLSGKRSLIVLDDACNVGYDQWDLLRQPFAGSEVKIVVTTRNNSVPRIMAAISTRHLEVLSDDDCLSVFLDHAPPELKFVDADPKQQAIVAKIASKCKGLPQAAKYLGGRLRSAHCTQWEKIYISNCEIYI >Potri.008G067400.3.v4.1 pep chromosome:Pop_tri_v4:8:4064159:4067360:1 gene:Potri.008G067400.v4.1 transcript:Potri.008G067400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067400.v4.1 MKTAKGKGAARTEKKEVSLPVEDRKIGKRKAALKATESSKKRAAKEKITKKDPDKPKRPPSSFFVFLEEFRKIYKQEHPNMKAVSAVGKAGGEKWKSMSAAEKAPYEAKAAIKKSDYGKLMTAYSKKQETDDGGADEEDDYKHSHRSKSEVDGQDDSDESVGEDEDDEDDD >Potri.008G067400.8.v4.1 pep chromosome:Pop_tri_v4:8:4064059:4067501:1 gene:Potri.008G067400.v4.1 transcript:Potri.008G067400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067400.v4.1 MKTAKGKGAARTEKKEVSLPVEDRKIGKRKAALKATESSKKRAAKEKITKKDPDKPKRPPSSFFVFLEEFRKIYKQEHPNMKAVSAVGKAGGEKWKSMSAAVLQKPGTVAPTGPGRAPRTCSMTLELCLVNHTNAGVV >Potri.008G067400.4.v4.1 pep chromosome:Pop_tri_v4:8:4064059:4067637:1 gene:Potri.008G067400.v4.1 transcript:Potri.008G067400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067400.v4.1 MKTAKGKGAARTEKKEVSLPVEDRKIGKRKAALKATESSKKRAAKEKITKKDPDKPKRPPSSFFVFLEEFRKIYKQEHPNMKAVSAVGKAGGEKWKSMSAAEKAPYEAKAAIKKSDYGKLMTAYSKKQETDDGGADEEDDYKHSHRSKSEVDGQDDSDESVGEDEDDEDDD >Potri.006G200600.3.v4.1 pep chromosome:Pop_tri_v4:6:20812400:20816922:-1 gene:Potri.006G200600.v4.1 transcript:Potri.006G200600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200600.v4.1 MGNNNCIGSRVSRDGIFQTISSSVWWARSKDCLITYNKKENVDGLSLNRVQEPPFHAQNKPPEQMKIAKEEIINQVPSPPKPKENATVASEIIMEVEESRPAKPASDKEVKKPAEPTRPSKPLVTRTPSAGLQVDSVLKTRTGHLKDYYNLGRKLGRGQFGTTFLCVEKATGKEYACKSIAKRNLLTADDVEDVRREIQIMHHLAGHPNVISINGAYEDAVAVHVVMELCAGGELFDRIIKRGHYTERKAAQLTRTIVGVIEACHSLGVMHRDLKPENFLFVNEREDSPLKAIDFGLSVFFKPGEILNDVVGSPYYVAPEVLRKRYGPEADVWSAGVMVYILLCGVPPFWAEKEHDIFEEVLHGHLDFTSNPWPKVSASAKDLIRRMLVRDPKKRLTAHEVLCHPWVRDDGVAPDKPLDPAVLSRLKQFSAMNKIKKMALRIIAENLSEEEIAGLKEIFNMIDTDNSGQITFEELKVGLRRFGANLTEAEIYSLLRAADVDNSGTIDYKEFIAATLHLHKVEKEDHLFAAFSYFDKDDSGYITIDELQQACNEFGMDDVHLEEMIREVDQDNDGRIDYNEFVAMMQRGNTELVKNGLQGKNFSIGFREALSVY >Potri.010G088900.1.v4.1 pep chromosome:Pop_tri_v4:10:11395238:11400069:1 gene:Potri.010G088900.v4.1 transcript:Potri.010G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088900.v4.1 MVLNSELSGASFVKAASMTMNLLLLVFILQCCLVASLYHPLDPLNPNEIDRIRLIIQNSSLGNLPNLTFHFVDLEEPEKGDVLKWLSSSEKNKSIPPRHAKVVIRVRRETHELIVDLATGTITSDRLYSGHGYPPLAFIELFRASKLPLRYPKFIESIMKRGLNLSQVSCVPFTVGWYGQNVTKRALRIACFYRGGSVNVFARPIEGVSMLVDVDSMQITMYTDRFKAPLPKAEGTDFRSKKQPQSIASNVSDSGFTVHGHRVKWANWDFHVAFDARAGITISTASIFDANVKKFRRVLYKGHISETFVPYMDPTSDWYFRTFMDIGEFGFGRSADTLQPLVDCPANAFYLDGYVTGADGQAQKMPNVICIFERHSGDIAWRHTEINVPGKVIRSGEPEISLVVRMVATVGNYDYVLDWEFKKSGSIKVGVDLTGILEMKATSYTNNHQITEEVYGTLVTENTIAINHDHFLTYYLDLDVDGDGNSFVKAKQQTARVPAFNAPSPRKSYWTVVRETAKTEAEARIQLGLEPADLLIANPNKKTRLGNQVGYRLITGQPVNSLLSDDDYPQIRNAYTKYQVWVTAYNKSERWAGGFYADRNRGEEGLAVWTRRNRAIENKDIVLWYIVGFHHIPYQEDFPVMPALHGGFELRPANFFESNPLLRQE >Potri.003G061800.5.v4.1 pep chromosome:Pop_tri_v4:3:8841767:8845148:-1 gene:Potri.003G061800.v4.1 transcript:Potri.003G061800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061800.v4.1 MFDGSLAMPFHTLNPCHLLGHVNTHRALHKSRLQPVLAIPPSSILLHTDESGKFPDSKKGSGLRGRDSSDSLLSQANTVGIIGGISVNSALNFLKKLVQGSSKEGKDCFPFVLCSDPVLNKELLSHERNSCPCPRRHNEKSPSDHSAIAENLQNKRVFLEKSGVQCIVMPCHILHSWHDEVSKGCSLPFLHMGECVARELKEAKLKPLEAGSPLRIGLLASNATLAAGFYQEKLQNEVISFWGSFSLMYQIILP >Potri.003G061800.4.v4.1 pep chromosome:Pop_tri_v4:3:8841985:8849297:-1 gene:Potri.003G061800.v4.1 transcript:Potri.003G061800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061800.v4.1 MASSKRWASIISSIASFLHFFIIIFQVPVFRVPCRTGTCTSPIEVMSSHLIATELYPAFGPKALLYPGAIARSYSKNRTFPSYSKLSKLYNLTNLRKTSKSTDLQHLEILAGSYLAVAGAVLGLIRLGRTSLFGTLLILWGFVREVILKNSANMNSARSIHIYPVTMCIALLCAFLTIRKDVRKLIRCCRTRRGAKLRELKLRFPDKMFDGSLAMPFHTLNPCHLLGHVNTHRALHKSRLQPVLAIPPSSILLHTDESGKFPDSKKGSGLRGRDSSDSLLSQANTVGIIGGISVNSALNFLKKLVQGSSKEGKDCFPFVLCSDPVLNKELLSHERNSCPCPRRHNEKSPSDHSAIAENLQNKRVFLEKSGVQCIVMPCHILHSWHDEVSKGCSLPFLHMGECVARELKEAKLKPLEAGSPLRIGLLASNATLAAGFYQEKLQNEGFEVVLPDKATMEHTIIPAIEALDRNDMEGAQNLLRIALQVLLVRAVNTVILASEEMRDVLPEDDPLLKKCIDPMDALARSTIKWAQAADKGT >Potri.005G215100.1.v4.1 pep chromosome:Pop_tri_v4:5:21822118:21827343:1 gene:Potri.005G215100.v4.1 transcript:Potri.005G215100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215100.v4.1 MFPAAMSNSTSLSEEASVSSGTRVQEFGSLNPLASNFSPLQHQQQQQKIIKKKRSLPGNPDPDAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEIKKKAYVCPEPTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFVTHRAFCDALAEESARLSAHQLISTDPNAQALLLQNALQAHPISLFSAPNPTHQQQISLASPWDPPRHHNPSSNNHQNPVHIKPETHNHFQIPPLLQEPPPPALPSHKGLLASTFHSLSNAVTSSAASHHLSATALLQKAASVGAAQTSVGHSQMTQLDMGELGSAGQVHVNSASHVAQGPNYNLNSLATWQKSDRLTRDFLGLTGECEDHHGHAASNSNGSSGGVNASMNVREILTYTGGVGFHQQQYNERDHSLLKPHGGFGFAQPSASKTWGDC >Potri.005G136700.1.v4.1 pep chromosome:Pop_tri_v4:5:10618337:10619989:-1 gene:Potri.005G136700.v4.1 transcript:Potri.005G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136700.v4.1 MAETCKCALFILALITCFQILFTEGRPIKSTNKQELVSVGKDSIEDVAKQGLNTKSHYNNAKNQKVSLPAPPITHNPSVHHSKAGRNEMPHPAVPSFGNSAAVYKDDFRPTTPGVSPGVGHPKTIGTNSNNEHSLTDFKDDFQPTTPGHSPGAGHALANDDDNEEVSPKAPGPSIERSGTAFKPTTPGHSPGAGHALANDDDNEEVSPKAPGSSIERSGTAFKPTTPGHSPGIGHLFSENDSEDIDPKAPDTSSSSGDSVTASKPKTTGNSRGISHTLSADKNEITASKASSIEHSVTGVTDDFRPTVPGHSPGIGHVLQNTNEERKA >Potri.001G077400.10.v4.1 pep chromosome:Pop_tri_v4:1:5833063:5840665:-1 gene:Potri.001G077400.v4.1 transcript:Potri.001G077400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077400.v4.1 MVDLDASKTGDSIPQEGGRGILDENPMNLLQGGERTVAGVSPEQKVKKRKTSKCGKRVKKRKSKRMVHLLRAIAEENEKIKSGNDILKQKLQLRQDGIEIQKTELCVSLNKTITVQENLVEALSQEPSVQSLMYAMLFPNADGDVRLPSKANQSFNDITELKHRFMVSTNKIELLCQMIIK >Potri.001G077400.14.v4.1 pep chromosome:Pop_tri_v4:1:5833060:5840690:-1 gene:Potri.001G077400.v4.1 transcript:Potri.001G077400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077400.v4.1 MVDLDASKTGDSIPQEGGRGILDENPMNLLQGGERTVAGVSPEQKVKKRKTSKCGKRVKKRKSKRMVHLLRAIAEENEKIKSGNDILKQKLQLRQDGIEIQKTELCVSLNKTITVQENLVEALSQEPSVQSLMVGSDHLNVAQYAMLFPNADGDVRLPSKANQSFNDITELKHRFMGRSW >Potri.001G077400.13.v4.1 pep chromosome:Pop_tri_v4:1:5833052:5840690:-1 gene:Potri.001G077400.v4.1 transcript:Potri.001G077400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077400.v4.1 MVDLDASKTGDSIPQEGGRGILDENPMNLLQGGERTVAGVSPEQKVKKRKTSKCGKRVKKRKSKRMVHLLRAIAEENEKIKSGNDILKQKLQLRQDGIEIQKTELCVSLNKTITVQENLVEALSQEPSVQSLMVGSDHLNVAQYAMLFPNADGDVRLPSKANQSFNDITELKHRFMVSTNKIELLCQMIIK >Potri.007G040800.1.v4.1 pep chromosome:Pop_tri_v4:7:3367681:3372954:1 gene:Potri.007G040800.v4.1 transcript:Potri.007G040800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040800.v4.1 MGICTSKPSPNSTLSSPIAIQANDNSIPTKDTPRPDPRTPNVNDQNNSTGLESVKKSPFNFFSPSPAHYFFSKKSSPARSPARNAASANSTPKRLFKRPFPPPSPAKHIRAVLARRHGSVKPNEATIPEGGEAEAAGLVKSFGFSKHFGNKYELGDEVGRGHFGYTCQAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVNILRALNGHNNLVQFYDAYEDHDNVYIVMELCEGGELLDRILARGGKYTEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDEDSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDETPWPSLSPEAKDFVKRLLNKDPRKRLTAAQALSHPWIKSSNEVKVPLDILILKLMKAYMRSSSLRKAALWALSKTLTVDELFYLKEQFVLLEPNKNGTISLENIKTTLMKNSTDAMKESRIPDFLASLNALQYRRMNFEEFSAAALSVHQLEALDRWEQHARCAYELFEKAGNRAIVIEELASELGLGPSVPVHAVLHDWIRHTDGKLSFLGFVKLLHGASSRTLAKPQ >Potri.008G185600.7.v4.1 pep chromosome:Pop_tri_v4:8:12898564:12905614:1 gene:Potri.008G185600.v4.1 transcript:Potri.008G185600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185600.v4.1 MGIFSRSAAGRKPNETIKIILTTFLGVAFGFLIGVSFPTLSLTKLNISPNFIPSIDISYKEGLTPQNTRSSKGNNSGSMQAPNLNNTSKIWVPSNPRGAERLPPRIVAAESDLYLRRLWGNPNEDLTSTPKYLVTFTVGYDQRMNIDACVKKFSENFTILLFHYDGRIAEWDEFEWSTRAIHVSVRRQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVEHFNAEEYIKLVKKHGLEISQPGLEPSKGLTWQMTKRRDDREVHKITDEKPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWYMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQGVPSLGSQGESQNGKAPWQGVRERCRKEWTMFQTRLTSAENAYFRAAGLDPSNSNGH >Potri.008G185600.3.v4.1 pep chromosome:Pop_tri_v4:8:12898407:12905629:1 gene:Potri.008G185600.v4.1 transcript:Potri.008G185600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185600.v4.1 MGIFSRSAAGRKPNETIKIILTTFLGVAFGFLIGVSFPTLSLTKLNISPNFIPSIDISYKEGLTPQNTRSSKGNNSGSMQAPNLNNTSKIWVPSNPRGAERLPPRIVAAESDLYLRRLWGNPNEDLTSTPKYLVTFTVGYDQRMNIDACVKKFSENFTILLFHYDGRIAEWDEFEWSTRAIHVSVRRQTKWWYAKRFLHPDIVARYDYIFIWDEDLGVEHFNAEEYIKLVKKHGLEISQPGLEPSKGLTWQMTKRRDDREVHKITDEKPGWCTDPHLPPCAAFVEIMAPVFSRDAWRCVWYMIQNDLIHGWGLDFALRKCVEPAHEKIGVVDSQWIVHQGVPSLGSQGESQNGKAPWQGVRERCRKEWTMFQTRLTSAENAYFRAAGLDPSNSNGH >Potri.005G063100.1.v4.1 pep chromosome:Pop_tri_v4:5:4050928:4052824:1 gene:Potri.005G063100.v4.1 transcript:Potri.005G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063100.v4.1 MEASQPNTTTDTANPNPIPNNTNSILSSTPVWPTIDGPLGLTEDESLTYARRFYKFGFALLPWLWAVNCFYFWPVLFNSRSFPRIRPYVVRSAVGFTVFTTVLCSWALTFAIGGEQLFGPVWDKLVMYNVADRLGLTGWI >Potri.004G141700.8.v4.1 pep chromosome:Pop_tri_v4:4:16429535:16438305:-1 gene:Potri.004G141700.v4.1 transcript:Potri.004G141700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141700.v4.1 MRSSQSALGSSGMWNWTCSVFSALTAASSLASGSFHIPSEDQHVQTNLKATLAGISILLSFQDDDQEDLYGQKSDQNAVDLEVHCLGAECKDIFVVLQVCPQEKRFEGTVKCIEVADYLYNKNDAMNLHLRDYSSDSNSGTVLIQNLQAEVQGALPPFPYLDESSTLVVPGVPSGNATKVKLLGTSGVTRCQFTVSSNSSDKSFTGTKSLSVQLPLLIFWVNYGSVNMILSLLKDAEKSVEMSAQRSGFPSVNKKREFSHGNMKKGSSSGVSTLTCTENLQGSISIPCARVILCFPFASGGDVGGHSSWNQFIAFDISSPLTLEEGKVLENSLTSNSCSWKRQAPRATGSLHLNVGNLEVYLVNPACKNDGISSSTVTPRRKFCAQKIVSVSNRAGSLCAIKMLWQEDPVAGPSIAEIAKSLAAPESRRKFMVKGYEFASATAVKDLGDLNSRTREEIILSSAFFLHVHLFSVMVDVSTSQYSNLHCLLDQMINGLPGMACDAVSVGELPSVSRTSILVECESVDFSIRPDTKDDIKSSLQSELPGSWHCLKLKIRKFEMLSVSNIGGIRGANFFWLAHGEGKLWGSITGVPDREFLLISCSNSTRKRGDGGGSNALSSRLAGSEIIHIWDPKRSHDFTSVTVRCATIIAVGGRLDWLDAISSFFTLPSPEVEKASDGSLAKGDLNAPSETYFILKLVDIGISYEPHLKNSVVGALHSEIGSLYSKEETGEPHVACVLAASLFSLSNTTMEDSIDSDYKIRVQDVGLLLGAAHDHGGTYSVEYLHKMGYAKVAHEALFEAILRTDCKNGLLWELECSKSHIYVETCHDTTYGLIRLSAQFQQLFAPDLEESVVHLQNRWNSVRQAQERNKLNDEGGISNHDCVPSTSQVHDPTADTKSKLGVAGLMDEICEDAFHLHGTQACQLDSNGSEIHVSLDESLLGEACSLSVETPDFFSDDLSYDGSVPLAGLESSQTTFLQSGSFPEFIEGYYLPDLCPLPELSIGRQTPSEKLKCKSKNFDDADHGRGNGGWYGDAPLSIFENHISGASGEASVNQVLEDQLPTMYSDDFGKATGRVLFKNINVSWRMYAGSDWQVHKRNGDPSSHTCGRETTVYLELALSGMQFQYDVFPVGGIYASKLCLSVQDFHLYDGSKTAPWKRILGYYHSKDHPRESTSKAFKLDLEAVRPDPLIPLEEYRLRIAFLPVLLHLHQSQLDFLISFFGPKSLSAGQSSDQDQNSDGAKTSATNSSNLAGHTIANEALLPFFQKFDIWPIILRIDYSPHHVDLAALSSGKYVELVNLVPWKGVELQLKHVHAVGVYGWGSVCETIIGEWLVEISQNQIHKILQGLPTIRSFVAVGSSAAKLVSLPVESYRKDHKIIKGMQRGTFAFLKRISLEAVGLGVHLAAGAHDILLQAECILTGAPSAPVSWSLPGKTKANVRCNQPKDAQEGIQHAYESLSDGLGKSASALVRAPFKKYQLGASAGSALATAVQAVPAAAIAPVSACAGAAHCALLGLRNSLDPEHKKESMEKYLGSTKPND >Potri.004G141700.6.v4.1 pep chromosome:Pop_tri_v4:4:16429510:16440433:-1 gene:Potri.004G141700.v4.1 transcript:Potri.004G141700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141700.v4.1 MFSWNFAKSAEAVLSRLAVKRLCKFVLKKKLGQFILGDIDLDQLDVQISEGTIQLSDLALNVDCLNEKFGVAASVMIKEGSIGSLSVKMPRKGKGFQVELDELELVLAPCLKKCNTPAGDETGSCSQDSRHRQKDVGKFGNDLMENAAKSSHVDVHEGVKTIAKMVKWFLTSFHVKVKKLIVAFEPYFEKDEKKVGCQETLVLRVPEIECGTCVSEDPNLSSDHGVENFLGISHLTNFVEFQGAVLELLQTDGVDNQSCSPCVSDSSFSEQFFGRCRSNPTTPILTGKKGGFSGNLKLSIPWKNGSLDIRKLDAEVCLDPIELRLQPSTIKWFLLSWETCKHIDKDGGGDAHYRSTESVCFNSSSHFHSSLSSPTVFAIEKSIPVHGSFTSAFSSFTGKESISEAMVSGSHLISDWVPNSIENEKDGIQEELDLGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSALTAASSLASGSFHIPSEDQHVQTNLKATLAGISILLSFQDDDQEDLYGQKSDQNAVDLEVHCLGAECKDIFVVLQVCPQEKRFEGTVKCIEVADYLYNKNDAMNLHLRDYSSDSNSGTVLIQNLQAEVQGALPPFPYLDESSTLVVPGVPSGNATKVKLLGTSGVTRCQFTVSSNSSDKSFTGTKSLSVQLPLLIFWVNYGSVNMILSLLKDAEKSVEMSAQRSGFPSVNKKREFSHGNMKKGSSSGVSTLTCTENLQGSISIPCARVILCFPFASGGDVGGHSSWNQFIAFDISSPLTLEEGKVLENSLTSNSCSWKRQAPRATGSLHLNVGNLEVYLVNPACKNDGISSSTVTPRRKFCAQKIVSVSNRAGSLCAIKMLWQEDPVAGPSIAEIAKSLAAPESRRKFMVKGYEFASATAVKDLGDLNSRTREEIILSSAFFLHVHLFSVMVDVSTSQYSNLHCLLDQMINGLPGMACDAVSVGELPSVSRTSILVECESVDFSIRPDTKDDIKSSLQSELPGSWHCLKLKIRKFEMLSVSNIGGIRGANFFWLAHGEGKLWGSITGVPDREFLLISCSNSTRKRGDGGGSNALSSRLAGSEIIHIWDPKRSHDFTSVTVRCATIIAVGGRLDWLDAISSFFTLPSPEVEKASDGSLAKGDLNAPSETYFILKLVDIGISYEPHLKNSVVGALHSEIGSLYSKEETGEPHVACVLAASLFSLSNTTMEDSIDSDYKIRVQDVGLLLGAAHDHGGTYSVEYLHKMGYAKVAHEALFEAILRTDCKNGLLWELECSKSHIYVETCHDTTYGLIRLSAQFQQLFAPDLEESVVHLQNRWNSVRQAQERNKLNDEGGISNHDCVPSTSQVHDPTADTKSKLGVAGLMDEICEDAFHLHGTQACQLDSNGSEIHVSLDESLLGEACSLSVETPDFFSDDLSYDGSVPLAGLESSQTTFLQSGSFPEFIEGYYLPDLCPLPELSIGRQTPSEKLKCKSKNFDDADHGRGNGGWYGDAPLSIFENHISGASGEASVNQVLEDQLPTMYSDDFGKATGRVLFKNINVSWRMYAGSDWQVHKRNGDPSSHTCGRETTVYLELALSGMQFQYDVFPVGGIYASKLCLSVQDFHLYDGSKTAPWKRILGYYHSKDHPRESTSKAFKLDLEAVRPDPLIPLEEYRLRIAFLPVLLHLHQSQLDFLISFFGPKSLSAGQSSDQDQNSDGAKTSATNSSNLAGHTIANEALLPFFQKFDIWPIILRIDYSPHHVDLAALSSGKYVELVNLVPWKGVELQLKHVHAVGVYGWGSVCETIIGEWLVEISQNQIHKILQGLPTIRSFVAVGSSAAKLVSLPVESYRKDHKIIKGMQRGTFAFLKRISLEAVGLGVHLAAGAHDILLQAECILTGAPSAPVSWSLPGKTKANVRCNQPKDAQEGIQHAYESLSDGLGKSASALVRAPFKKYQLGASAGSALATAVQAVPAAAIAPVSACAGAAHCALLGLRNSLDPEHKKESMEKYLGSTKPND >Potri.004G141700.7.v4.1 pep chromosome:Pop_tri_v4:4:16429535:16440345:-1 gene:Potri.004G141700.v4.1 transcript:Potri.004G141700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141700.v4.1 MFSWNFAKSAEAVLSRLAVKRLCKFVLKKKLGQFILGDIDLDQLDVQISEGTIQLSDLALNVDCLNEKFGVAASVMIKEGSIGSLSVKMPRKGKGFQVELDELELVLAPCLKKCNTPAGDETGSCSQDSRHRQKDVGKFGNDLMENAAKSSHVDVHEGVKTIAKMVKWFLTSFHVKVKKLIVAFEPYFEKDEKKVGCQETLVLRVPEIECGTCVSEDPNLSSDHGVENFLGISHLTNFVEFQGAVLELLQTDGVDNQSCSPCVSDSSFSEQFFGRCRSNPTTPILTGKKGGFSGNLKLSIPWKNGSLDIRKLDAEVCLDPIELRLQPSTIKWFLLSWETCKHIDKDGGGDAHYRSTESVCFNSSSHFHSSLSSPTVFAIEKSIPVHGSFTSAFSSFTGKESISEAMVSGSHLISDWVPNSIENEKDGIQEELDLGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSALTAASSLASGSFHIPSEDQHVQTNLKATLAGISILLSFQDDDQEDLYGQKSDQNAVDLEVHCLGAECKDIFVVLQVCPQEKRFEGTVKCIEVADYLYNKNDAMNLHLRDYSSDSNSGTVLIQNLQAEVQGALPPFPYLDESSTLVVPGVPSGNATKVKLLGTSGVTRCQFTVSSNSSDKSFTGTKSLSVQLPLLIFWVNYGSVNMILSLLKDAEKSVEMSAQRSGFPSVNKKREFSHGNMKKGSSSGVSTLTCTENLQGSISIPCARVILCFPFASGGDVGGHSSWNQFIAFDISSPLTLEEGKVLENSLTSNSCSWKRQAPRATGSLHLNVGNLEVYLVNPACKNDGISSSTVTPRRKFCAQKIVSVSNRAGSLCAIKMLWQEDPVAGPSIAEIAKSLAAPESRRKFMVKGYEFASATAVKDLGDLNSRTREEIILSSAFFLHVHLFSVMVDVSTSQYSNLHCLLDQMINGLPGMACDAVSVGELPSVSRTSILVECESVDFSIRPDTKDDIKSSLQSELPGSWHCLKLKIRKFEMLSVSNIGGIRGANFFWLAHGEGKLWGSITGVPDREFLLISCSNSTRKRGDGGGSNALSSRLAGSEIIHIWDPKRSHDFTSVTVRCATIIAVGGRLDWLDAISSFFTLPSPEVEKASDGSLAKGDLNAPSETYFILKLVDIGISYEPHLKNSVVGALHSEIGSLYSKEETGEPHVACVLAASLFSLSNTTMEDSIDSDYKIRVQDVGLLLGAAHDHGGTYSVEYLHKMGYAKVAHEALFEAILRTDCKNGLLWELECSKSHIYVETCHDTTYGLIRLSAQFQQLFAPDLEESVVHLQNRWNSVRQAQERNKLNDEGGISNHDCVPSTSQVHDPTADTKSKLGVAGLMDEICEDAFHLHGTQACQLDSNGSEIHVSLDESLLGEACSLSVETPDFFSDDLSYDGSVPLAGLESSQTTFLQSGSFPEFIEGYYLPDLCPLPELSIGRQTPSEKLKCKSKNFDDADHGRGNGGWYGDAPLSIFENHISGASGEASVNQVLEDQLPTMYSDDFGKATGRVLFKNINVSWRMYAGSDWQVHKRNGDPSSHTCGRETTVYLELALSGMQFQYDVFPVGGIYASKLCLSVQDFHLYDGSKTAPWKRILGYYHSKDHPRESTSKAFKLDLEAVRPDPLIPLEEYRLRIAFLPVLLHLHQSQLDFLISFFGPKSLSAGQSSDQDQNSDGAKTSATNSSNLAGHTIANEALLPFFQKFDIWPIILRIDYSPHHVDLAALSSGKYVELVNLVPWKGVELQLKHVHAVGVYGWGSVCETIIGEWLVEISQNQIHKILQGLPTIRSFVAVGSSAAKLVSLPVESYRKDHKIIKGMQRGTFAFLKRISLEAVGLGVHLAAGAHDILLQAECILTGAPSAPVSWSLPGKTKANVRCNQPKDAQEGIQHDGTVQERYTFNREKHIANPTSLRSWLFYCEGHFTSMRFRK >Potri.004G141700.5.v4.1 pep chromosome:Pop_tri_v4:4:16429906:16440411:-1 gene:Potri.004G141700.v4.1 transcript:Potri.004G141700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141700.v4.1 MFSWNFAKSAEAVLSRLAVKRLCKFVLKKKLGQFILGDIDLDQLDVQISEGTIQLSDLALNVDCLNEKFGVAASVMIKEGSIGSLSVKMPRKGKGFQVELDELELVLAPCLKKCNTPAGDETGSCSQDSRHRQKDVGKFGNDLMENAAKSSHVDVHEGVKTIAKMVKWFLTSFHVKVKKLIVAFEPYFEKDEKKVGCQETLVLRVPEIECGTCVSEDPNLSSDHGVENFLGISHLTNFVEFQGAVLELLQTDGVDNQSCSPCVSDSSFSEQFFGRCRSNPTTPILTGKKGGFSGNLKLSIPWKNGSLDIRKLDAEVCLDPIELRLQPSTIKWFLLSWETCKHIDKDGGGDAHYRSTESVCFNSSSHFHSSLSSPTVFAIEKSIPVHGSFTSAFSSFTGKESISEAMVSGSHLISDWVPNSIENEKDGIQEELDLGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSALTAASSLASGSFHIPSEDQHVQTNLKATLAGISILLSFQDDDQEDLYGQKSDQNAVDLEVHCLGAECKDIFVVLQVCPQEKRFEGTVKCIEVADYLYNKNDAMNLHLRDYSSDSNSGTVLIQNLQAEVQGALPPFPYLDESSTLVVPGVPSGNATKVKLLGTSGVTRCQFTVSSNSSDKSFTGTKSLSVQLPLLIFWVNYGSVNMILSLLKDAEKSVEMSAQRSGFPSVNKKREFSHGNMKKGSSSGVSTLTCTENLQGSISIPCARVILCFPFASGGDVGGHSSWNQFIAFDISSPLTLEEGKVLENSLTSNSCSWKRQAPRATGSLHLNVGNLEVYLVNPACKNDGISSSTVTPRRKFCAQKIVSVSNRAGSLCAIKMLWQEDPVAGPSIAEIAKSLAAPESRRKFMVKGYEFASATAVKDLGDLNSRTREEIILSSAFFLHVHLFSVMVDVSTSQYSNLHCLLDQMINGLPGMACDAVSVGELPSVSRTSILVECESVDFSIRPDTKDDIKSSLQSELPGSWHCLKLKIRKFEMLSVSNIGGIRGANFFWLAHGEGKLWGSITGVPDREFLLISCSNSTRKRGDGGGSNALSSRLAGSEIIHIWDPKRSHDFTSVTVRCATIIAVGGRLDWLDAISSFFTLPSPEVEKASDGSLAKGDLNAPSETYFILKLVDIGISYEPHLKNSVVGALHSEIGSLYSKEETGEPHVACVLAASLFSLSNTTMEDSIDSDYKIRVQDVGLLLGAAHDHGGTYSVEYLHKMGYAKVAHEALFEAILRTDCKNGLLWELECSKSHIYVETCHDTTYGLIRLSAQFQQLFAPDLEESVVHLQNRWNSVRQAQERNKLNDEGGISNHDCVPSTSQVHDPTADTKSKLGVAGLMDEICEDAFHLHGTQACQLDSNGSEIHVSLDESLLGEACSLSVETPDFFSDDLSYDGSVPLAGLESSQTTFLQSGSFPEFIEGYYLPDLCPLPELSIGRQTPSEKLKCKSKNFDDADHGRGNGGWYGDAPLSIFENHISGASGEASVNQVLEDQLPTMYSDDFGKATGRVLFKNINVSWRMYAGSDWQVHKRNGDPSSHTCGRETTVYLELALSGMQFQYDVFPVGGIYASKLCLSVQDFHLYDGSKTAPWKRILGYYHSKDHPRESTSKAFKLDLEAVRPDPLIPLEEYRLRIAFLPVLLHLHQSQLDFLISFFGPKSLSAGQSSDQDQNSDGAKTSATNSSNLAGHTIANEALLPFFQKFDIWPIILRIDYSPHHVDLAALSSGKYVELVNLVPWKGVELQLKHVHAVGVYGWGSVCETIIGEWLVEISQNQIHKILQGLPTIRSFVAVGSSAAKLVSLPVESYRKDHKIIKGMQRGTFAFLKRISLEAVGLGVHLAAGAHDILLQAECILTGAPSAPVSWSLPGKTKANVRCNQPKDAQEGIQHAYESLSDGLGKSASALVRAPFKKYQLGASAGSALATAVQAVPAAAIAPVSACAGAAHCALLGLRNSLDPEHKKESMEKYLGSTKPND >Potri.018G083600.8.v4.1 pep chromosome:Pop_tri_v4:18:10118400:10124785:-1 gene:Potri.018G083600.v4.1 transcript:Potri.018G083600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083600.v4.1 MPMWTEGERSVDFLSFSGASKSKYSSPSYPQRVSPETIKNISCRRSTAFPTSLNSDSEPELSLEDNMASSGASSSCCSESEAEIEENNRKMALFEPTQSETQKQKQATVADFSSTSSEHSMADTDSSPGGGKHTPPKDFVCPITSHIFDDPVTLETGQTYERRAIQEWLERGNSTCPITRQKLNCTQLPKTNYVLKRLIASWKEQNPAGMVSIPPETQQKKTEPSFMSKEIPSSTSPNSVIIQTTIDGTISELRLAITNLCMSEILNESEMAVLQIERFWLEAAMEFDIQSMLSKPPVINGFVEVLLNSADPLVLKATIFLLSELGSRDKGVIHTLTRVDSDVDCIVALFKKGLLEAVVLIYLLRPPTMSLLEMDMVESLLTAIKNKEDDMLKMCLKPKTASVLLLGQILGSSEDSIISSIASAIISTKVIESIIDSLQAEQTERIAAVGILLKCMLEDGKCRNTVADKAELAPVLDSFMSASDGERFEIVQFLYELVKLNRRTFNEQILHIIKDEGEFCSMHIFLSYLQVTLPDQSPVVAGLLLQLDLLVEPRKMSIYREEAIESLISSLRNSEFPAAQIAAAETIVSLQGRFTVSGKSLTRAFLLKQAGHGKIYKNLMRMEQLGKLSGEIEENLEEEKAAEEWERKMAFALVSYEFGLLFEALAEGMRSRCAELRSACFVSATWLVHMLGILPDTGIRAAARVCFLKLLIEIFTSSKDIEHKVLSLVALNSFIKDPEGLHDLTSSMKDIKKDLRELRKSSSLAVEILKVLSAGHDSSIAELWTHNELVQVDCSGNGEVLSITFYNDKIFSGHSDGTIKVWTGKGSILHLIQEIREHTKAVTSLAVLQPGEKLYSGSLDRTARVWSIGNESLTCVQVHDMKDQVHNLVVANGICCFIPQGAGVKVHSWNGGSKLLNPNKYVKCLSLVHGKLYCGCQDSSIQEIDLTTGTLATIQHGSRKLLGKTNPIHALQVQNGLIYSASSPFDGAAVKIWNASNYGLVGSLPSILEVRSMAISSDLIYVGCKAGTVEIWDRKKQQNRVEILQTGTNDKVLCMALNANEDVLVIGTSTGQIQAWGLS >Potri.018G083600.3.v4.1 pep chromosome:Pop_tri_v4:18:10118248:10126837:-1 gene:Potri.018G083600.v4.1 transcript:Potri.018G083600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083600.v4.1 MATTTASQILHHTTAFLSETLSQPHDHRHHLLSTLRREAPSSNKTTTIKPLNLAVENLENAISTTNPSIRSSSLRLAQKVLLSYPDSLLSSLLLSLIYTLNNRPTNASISLLNIFHLDPSLARSQIAPVLFEELFLVHLLPVLRWFNEQRSRILSSLTLDWGYDSDENSIGDVSIVVPCTKLLSKMSGDQALELKELESIYEEVIDENCKVFAKYFKEVVTNGDENRMITPPSVILKELRKVDKSEVSDEISKMEELGFMNGRYNPMWTEGERSVDFLSFSGASKSKYSSPSYPQRVSPETIKNISCRRSTAFPTSLNSDSEPELSLEDNMASSGASSSCCSESEAEIEENNRKMALFEPTQSETQKQKQATVADFSSTSSEHSMADTDSSPGGGKHTPPKDFVCPITSHIFDDPVTLETGQTYERRAIQEWLERGNSTCPITRQKLNCTQLPKTNYVLKRLIASWKEQNPAGMVSIPPETQQKKTEPSFMSKEIPSSTSPNSVIIQTTIDGTISELRLAITNLCMSEILNESEMAVLQIERFWLEAAMEFDIQSMLSKPPVINGFVEVLLNSADPLVLKATIFLLSELGSRDKGVIHTLTRVDSDVDCIVALFKKGLLEAVVLIYLLRPPTMSLLEMDMVESLLTAIKNKEDDMLKMCLKPKTASVLLLGQILGSSEDSIISSIASAIISTKVIESIIDSLQAEQTERIAAVGILLKCMLEDGKCRNTVADKAELAPVLDSFMSASDGERFEIVQFLYELVKLNRRTFNEQILHIIKDEGEFCSMHIFLSYLQVTLPDQSPVVAGLLLQLDLLVEPRKMSIYREEAIESLISSLRNSEFPAAQIAAAETIVSLQGRFTVSGKSLTRAFLLKQAGHGKIYKNLMRMEQLGKLSGEIEENLEEEKAAEEWERKMAFALVSYEFGLLFEALAEGMRSRCAELRSACFVSATWLVHMLGILPDTGIRAAARVCFLKLLIEIFTSSKDIEHKVLSLVALNSFIKDPEGLHDLTSSMKDIKKDLRELRKSSSLAVEILKVLSAGHDSSIAELWTHNELVQVDCSGNGEVLSITFYNDKIFSGHSDGTIKVWTGKGSILHLIQEIREHTKAVTSLAVLQPGEKLYSGSLDRTARVWSIGNESLTCVQVHDMKDQVHNLVVANGICCFIPQGAGVKVHSWNGGSKLLNPNKYVKCLSLVHGKLYCGCQDSSIQEIDLTTGTLATIQHGSRKLLGKTNPIHALQVQNGLIYSASSPFDGAAVKIWNASNYGLVGSLPSILEVRSMAISSDLIYVGCKAGTVEIWDRKKQQNRVEILQTGTNDKVLCMALNANEDVLVIGTSTGQIQAWGLS >Potri.018G083600.9.v4.1 pep chromosome:Pop_tri_v4:18:10118308:10124755:-1 gene:Potri.018G083600.v4.1 transcript:Potri.018G083600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083600.v4.1 MWTEGERSVDFLSFSGASKSKYSSPSYPQRVSPETIKNISCRRSTAFPTSLNSDSEPELSLEDNMASSGASSSCCSESEAEIEENNRKMALFEPTQSETQKQKQATVADFSSTSSEHSMADTDSSPGGGKHTPPKDFVCPITSHIFDDPVTLETGQTYERRAIQEWLERGNSTCPITRQKLNCTQLPKTNYVLKRLIASWKEQNPAGMVSIPPETQQKKTEPSFMSKEIPSSTSPNSVIIQTTIDGTISELRLAITNLCMSEILNESEMAVLQIERFWLEAAMEFDIQSMLSKPPVINGFVEVLLNSADPLVLKATIFLLSELGSRDKGVIHTLTRVDSDVDCIVALFKKGLLEAVVLIYLLRPPTMSLLEMDMVESLLTAIKNKEDDMLKMCLKPKTASVLLLGQILGSSEDSIISSIASAIISTKVIESIIDSLQAEQTERIAAVGILLKCMLEDGKCRNTVADKAELAPVLDSFMSASDGERFEIVQFLYELVKLNRRTFNEQILHIIKDEGEFCSMHIFLSYLQVTLPDQSPVVAGLLLQLDLLVEPRKMSIYREEAIESLISSLRNSEFPAAQIAAAETIVSLQGRFTVSGKSLTRAFLLKQAGHGKIYKNLMRMEQLGKLSGEIEENLEEEKAAEEWERKMAFALVSYEFGLLFEALAEGMRSRCAELRSACFVSATWLVHMLGILPDTGIRAAARVCFLKLLIEIFTSSKDIEHKVLSLVALNSFIKDPEGLHDLTSSMKDIKKDLRELRKSSSLAVEILKVLSAGHDSSIAELWTHNELVQVDCSGNGEVLSITFYNDKIFSGHSDGTIKVWTGKGSILHLIQEIREHTKAVTSLAVLQPGEKLYSGSLDRTARVWSIGNESLTCVQVHDMKDQVHNLVVANGICCFIPQGAGVKVHSWNGGSKLLNPNKYVKCLSLVHGKLYCGCQDSSIQEIDLTTGTLATIQHGSRKLLGKTNPIHALQVQNGLIYSASSPFDGAAVKIWNASNYGLVGSLPSILEVRSMAISSDLIYVGCKAGTVEIWDRKKQQNRVEILQTGTNDKVLCMALNANEDVLVIGTSTGQIQAWGLS >Potri.018G083600.1.v4.1 pep chromosome:Pop_tri_v4:18:10118305:10124848:-1 gene:Potri.018G083600.v4.1 transcript:Potri.018G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083600.v4.1 MWTEGERSVDFLSFSGASKSKYSSPSYPQRVSPETIKNISCRRSTAFPTSLNSDSEPELSLEDNMASSGASSSCCSESEAEIEENNRKMALFEPTQSETQKQKQATVADFSSTSSEHSMADTDSSPGGGKHTPPKDFVCPITSHIFDDPVTLETGQTYERRAIQEWLERGNSTCPITRQKLNCTQLPKTNYVLKRLIASWKEQNPAGMVSIPPETQQKKTEPSFMSKEIPSSTSPNSVIIQTTIDGTISELRLAITNLCMSEILNESEMAVLQIERFWLEAAMEFDIQSMLSKPPVINGFVEVLLNSADPLVLKATIFLLSELGSRDKGVIHTLTRVDSDVDCIVALFKKGLLEAVVLIYLLRPPTMSLLEMDMVESLLTAIKNKEDDMLKMCLKPKTASVLLLGQILGSSEDSIISSIASAIISTKVIESIIDSLQAEQTERIAAVGILLKCMLEDGKCRNTVADKAELAPVLDSFMSASDGERFEIVQFLYELVKLNRRTFNEQILHIIKDEGEFCSMHIFLSYLQVTLPDQSPVVAGLLLQLDLLVEPRKMSIYREEAIESLISSLRNSEFPAAQIAAAETIVSLQGRFTVSGKSLTRAFLLKQAGHGKIYKNLMRMEQLGKLSGEIEENLEEEKAAEEWERKMAFALVSYEFGLLFEALAEGMRSRCAELRSACFVSATWLVHMLGILPDTGIRAAARVCFLKLLIEIFTSSKDIEHKVLSLVALNSFIKDPEGLHDLTSSMKDIKKDLRELRKSSSLAVEILKVLSAGHDSSIAELWTHNELVQVDCSGNGEVLSITFYNDKIFSGHSDGTIKVWTGKGSILHLIQEIREHTKAVTSLAVLQPGEKLYSGSLDRTARVWSIGNESLTCVQVHDMKDQVHNLVVANGICCFIPQGAGVKVHSWNGGSKLLNPNKYVKCLSLVHGKLYCGCQDSSIQEIDLTTGTLATIQHGSRKLLGKTNPIHALQVQNGLIYSASSPFDGAAVKIWNASNYGLVGSLPSILEVRSMAISSDLIYVGCKAGTVEIWDRKKQQNRVEILQTGTNDKVLCMALNANEDVLVIGTSTGQIQAWGLS >Potri.001G286400.1.v4.1 pep chromosome:Pop_tri_v4:1:29903843:29907159:-1 gene:Potri.001G286400.v4.1 transcript:Potri.001G286400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286400.v4.1 MAAAAGGLATSSASSTQSNGNLRSQAVPCPQIQSQTFYKPLNSRTTSASNQRLCLKCESASQNLNSIHKEESKRSNVIALEQLKASAIDRYTKEKSSIIVIGLNVHTAPVDVREKLAIPEAQWPRAISDLCALNHIEEAAVLSTCNRMEIYAVALSQHRGVKEVTEWMSKISGVPVSELCQHRFMLYNKDATRHLFEVASGLDSIVLGEGQILAQVKQVKKLGQGVDGFNRKIGGLFEHAIITGKRVRTETNISSGSVSVSSAAVELALTKLPESSYATVRVLVIGAGKMGKLVIKHLAANGCKEMVVMNRTEEKVAVIREELKDIQIVYKPLSEMMGCAAEADVIFTCTASETPLFLKEHVQTFPADTEMARRLFIDISVPRNVEPCVSDLETVEIYNVDNLKDVVAANKEDRLRKAIEAQAIISEELQNFEAWKDSLEAVPTIKKLRAYLERIRASELDKCLSKMGDITDKQKKAIYDLSMGMMKKFLHGPMQQLRCDGRSDCQKPEEMLEIMHAVNRMFDLETEIILETVRTKMERTKK >Potri.010G237100.3.v4.1 pep chromosome:Pop_tri_v4:10:21713414:21717004:1 gene:Potri.010G237100.v4.1 transcript:Potri.010G237100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237100.v4.1 MYSNFMEHGIEYAKQAVKEDDTGNYSKAFQLYMNALEYFQAQLKYEKNQQIEKTIRERCLGYLKRAEEIRAVLDNGGSVPASNGDASVAAQPKSSPKPKDGGGKDKEDPEKAKLKAGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGSDDHKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEKLAQKTEGFSGSDISVCVKDVLFEPVRKIQDAEYFMKSSDGMWVPCEPKQRGAVKTTLQELDAQDLASKVLLPPITRADFDKVLARQKPTVSKADLEVHERFTMEFGEEG >Potri.010G237100.6.v4.1 pep chromosome:Pop_tri_v4:10:21713439:21716937:1 gene:Potri.010G237100.v4.1 transcript:Potri.010G237100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237100.v4.1 MYSNFMEHGIEYAKQAVKEDDTGNYSKAFQLYMNALEYFQAQLKYEKNQQIEKTIRERCLGYLKRAEEIRAVLDNGGSVPASNGDASVAAQPKSSPKPKDGGGKDKEDPEKAKLKAGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDSAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGSDDHKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHNLTESDFEKLAQKTEGFSGSDISVCVKDVLFEPVRKIQDAEYFMKSSDGMWVPCEPKQRGAVKTTLQELDAQDLASKVLLPPITRADFDKVLARQKPTVSKADLEVHERFTMEFGEEG >Potri.011G022150.1.v4.1 pep chromosome:Pop_tri_v4:11:1659712:1660420:-1 gene:Potri.011G022150.v4.1 transcript:Potri.011G022150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022150.v4.1 MGLYSVFWAVILLASANSVHGSDACTGLPGLLNCAPFLLGSVSSPDAKCCKSLKWLSQHAINREDKRELCKCLKIEDLKHKGVILDRAKALPRLCKVQLPVPLIPDNIDCDKIKVADAE >Potri.001G116900.7.v4.1 pep chromosome:Pop_tri_v4:1:9498438:9508905:-1 gene:Potri.001G116900.v4.1 transcript:Potri.001G116900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116900.v4.1 MSLSDSDSSSSYGSDYKNLRQISRERLLHEMLRSAKTGNSKSTWKVLIMDRLTVKIMSYSCKMADITQEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMSGKSPLYKKAFVFFSSPISRELVSHIKKDSSVLTRIGALREMNLEYFAIDSQGFITDNERALEELFVDEEDSRKGDACLNVMASRIATVFASLREFPFVRYRAAKSLDVTTMTTFRDLIPTKLAARIWDCLIQYKQKTEHFPQTETCELLILDRSIDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVLSKAGGPPEKKDVLLEEHDPVWLELRHAHIADASERLHEKMTNFVSKNKAAKIQHGSRDGGELSTRDLQQMVQALPQYSEQIDKISLHVEIAGKINRIIRESGLRELGQLEQDLVFGDAGMTDVIKFLTTKEDATRENKLRLLMILAAIYPEKFEGEEGHNIMKVVRLPQDDMNAVNNMRLLAAASETKKSSTGAFSLKFDIHKKKRAARKDRTGAEETTWQLSRFYPMIEELIDKLNKGELSKDEYPCMNDPSPTFHGTSQSTPMHQAPAPHSMRSRRTPTWARPRNSDDGYSSDSVLRHASSDFKKMGQRIFVFIVGGATRSELRVCHKLTSKLQREVILGSSSLDDPPHFITKLKLLTANELSLDDLQI >Potri.001G116900.8.v4.1 pep chromosome:Pop_tri_v4:1:9498443:9508916:-1 gene:Potri.001G116900.v4.1 transcript:Potri.001G116900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116900.v4.1 MSLSDSDSSSSYGSDYKNLRQISRERLLHEMLRSAKTGNSKSTWKVLIMDRLTVKIMSYSCKMADITQEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMSGKSPLYKKAFVFFSSPISRELVSHIKKDSSVLTRIGALREMNLEYFAIDSQGFITDNERALEELFVDEEDSRKGDACLNVMASRIATVFASLREFPFVRYRAAKSLDVTTMTTFRDLIPTKLAARIWDCLIQYKQKTEHFPQTETCELLILDRSIDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVLSKAGGPPEKKDVLLEEHDPVWLELRHAHIADASERLHEKMTNFVSKNKAAKIQHGSRDGGELSTRDLQQMVQALPQYSEQIDKISLHVEIAGKINRIIRESGLRELGQLEQDLVFGDAGMTDVIKFLTTKEDATRENKLRLLMILAAIYPEKFEGEEGHNIMKVVRLPQDDMNAVNNMRLLAAASETKKSSTGAFSLKFDIHKKKRAARKDRTGAEETTWQLSRFYPMIEELIDKLNKGELSKDEYPCMNDPSPTFHGTSQSTPMHQAPAPHSMRSRRTPTWARPRNSDDGYSSDSVLRHASSDFKKMGQRIFVFIVGGATRSELRVCHKLTSKLQREVILGSSSLDDPPHFITKLKLLTANELSLDDLQI >Potri.001G116900.9.v4.1 pep chromosome:Pop_tri_v4:1:9498444:9508908:-1 gene:Potri.001G116900.v4.1 transcript:Potri.001G116900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116900.v4.1 MSLSDSDSSSSYGSDYKNLRQISRERLLHEMLRSAKTGNSKSTWKVLIMDRLTVKIMSYSCKMADITQEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMSGKSPLYKKAFVFFSSPISRELVSHIKKDSSVLTRIGALREMNLEYFAIDSQGFITDNERALEELFVDEEDSRKGDACLNVMASRIATVFASLREFPFVRYRAAKSLDVTTMTTFRDLIPTKLAARIWDCLIQYKQKTEHFPQTETCELLILDRSIDQIAPIIHEWTYDAMCHDLLNMEGNKYVHEVLSKAGGPPEKKDVLLEEHDPVWLELRHAHIADASERLHEKMTNFVSKNKAAKIQHGSRDGGELSTRDLQQMVQALPQYSEQIDKISLHVEIAGKINRIIRESGLRELGQLEQDLVFGDAGMTDVIKFLTTKEDATRENKLRLLMILAAIYPEKFEGEEGHNIMKVVRLPQDDMNAVNNMRLLAAASETKKSSTGAFSLKFDIHKKKRAARKDRTGAEETTWQLSRFYPMIEELIDKLNKGELSKDEYPCMNDPSPTFHGTSQSTPMHQAPAPHSMRSRRTPTWARPRNSDDGYSSDSVLRHASSDFKKMGQRIFVFIVGGATRSEVRRC >Potri.018G087900.1.v4.1 pep chromosome:Pop_tri_v4:18:10572022:10573318:1 gene:Potri.018G087900.v4.1 transcript:Potri.018G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087900.v4.1 MGTPETSREPCPDRILDDIGGAFGMGAVGGSVFHFIKGVHSSPTGTRLIGGTQAVRMNAPRVGGSFAVWGGLFSAFDCSMVYLRQKEDPWNSIIAGASTGGFLSMRQGLGASARSAMFGGVLLALIEGAGIMLNKVMSAQQDMPVIIEDPVPAMAGGPGFPMGQPHAQTQEGASVSGSESGSWLGGWFGGGKKKESEASSSGSKTEILESFDAPPVPNFEYK >Potri.011G111500.2.v4.1 pep chromosome:Pop_tri_v4:11:14147447:14153013:-1 gene:Potri.011G111500.v4.1 transcript:Potri.011G111500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G111500.v4.1 MMSHSPKPSIGGGNLDLNSLTDQLRDSLSSFEANKPDFRELDLGSPVSPLRTRGGLITTTTATATAATTTTTTTSSSSSSSGSASGAQNPLHKPNNSNHSGELSNSSESSPTAGAKKGQPGHSRSDSLTYSGQITSQSAVNSPATGNVLPTGNICPSGRILKTGMGMANRSAKADVLGSGTANYGHGSIMRGGGSAKCANLDVVNSASRNAWSVRAGSVDPEEVKRTGNEMYKKGCFGEALGLYDKAIALAPGNAAYRSNRAAALMGLGRVVEAVKECEEAVRLDPNYWRAHQRLGVLLIRLGLVESARKHLCFPGQHPDPVELQKLQLVEKHLSKCSDARKVNDWNGTLREAEASIAAGADYCPQLFMCRAEALLKLHQLEDAESCLLKVPKLEPHATCSQARFFGMLSEAYPFLVQAQIEMALGRFENAVAAAEKAGQIDSRNVEVAVLLKNVRLVARARTRGNDLFKSERFTEACSAYGEGLRLDPSNSVLYCNRAACWFKLGSWERSIDDCNQALRIQPNYTKALLRRAASNSKLERWADAVRDYEVLRRELPDDNGVAESLFHAQVALKKSRGEEVYNMKFGGEVEEVLGFEQFRAAISLPGVSVVHFKSSSNVHCKQISPFVDTLCGRYPSINFLKVDVEEHPAIANAEDVRIVPTFKIYKNGNRVKEIVCPSHDVLEHSVRHYSF >Potri.002G233800.2.v4.1 pep chromosome:Pop_tri_v4:2:22676065:22686967:-1 gene:Potri.002G233800.v4.1 transcript:Potri.002G233800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233800.v4.1 MLRGRESLIRLVGKRRRFLPNRKSLLSDSTSIISHSHPPQTPLNLGKTDENEDGIIMSMESEQKSKSPQSSDSVTCPVCSSKLAAQDHIINSHLDACLTRGTKRKLTQRTLFQLNFCSQPMVCSRSSDVKKLGTGNVQEDAAVGFDNSTAVDENEGNLGTLVPMGEAVLGTSMDGSPMKQKLIDDDGINSRVDSSLLNLRSEFTKCIEAVTVDDISGETALGTSAGGSTMKQKLIDDDRINGQVDPSLLNLRSEVMKSIEAVPVGDISGVFLETFIVGRRFSVEKELNLGANICLLRETDNAKDPNAIQVLLADSRCCKVLGYLPRELAQYLSPLIDKYSLTFKGCITSVPKHYLDVVPIQIECCEVMLQNNKDHTEIEDFTCSWKNVLHVAESAKNYPPSMTKYQQNFWVLIQEVLKSNPHLFTNDEKMFLESFISLSDDSQRLFVRLYTRKGPWFRMSNISYPEVTDSQQAVKDLSAMGYMCSFKGVDELQENDMEKILNLLTVSELREIASMSKNGTRVTRKQDLIASVFSSYEDGVCPFLPIAILDRTGICIKISSKAESLIWRTERLFFLNGEQDLSAFLLVDLGIIKYPAYHCIISEQIFSARSDLIAYEEAIEVAQMMDESLDENKSESVLRCIKIAESRMSHTKASHSTASELVTAFFSCFSASWVYSKVVFLGVSFLERERRYKDAINLLKRLLFNFTCDGRRGNWTLRLSIDLEHMGCPNESLLVAEDGLLDPGVRAGSRIALQRRVLRLGKPPRRWKAPSFSSFIKRKIREVHIQGRPLNCEAGIKSRFYGEDGAQCGVEQLALQYYAGEGGGWQGVHTESGIWLTIFALLMWDIIFSDLPSVFRNRFQTAPLDLETDNFYPARKSLIESQLQKIYDGTAEMILITSWELHSGTACRGVNWDRHSLPELRAAVTCVGGPCLASLCRHLAQDYRSWSSGMPDLLLWRFHGEYKGEAKLVEVKGPRDCLSEQQRAWLLLLMDCGFNTEVCKVSIMPLST >Potri.002G197300.3.v4.1 pep chromosome:Pop_tri_v4:2:15998082:16001369:1 gene:Potri.002G197300.v4.1 transcript:Potri.002G197300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G197300.v4.1 MAASTSPCPSMNEKKHWWLSNRKIVDKYIKDARNLIASEEQSEIASALKLLDAALALSPRLEVALELKARSLLYLRRFKEVADMLQDYIPSLKMANDDSGSISSSDSSSQQLSRERVKLLPSDNSDPSFKCFSVSDLKKKVMAGLCKNCDKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLTTAAFRRQSISWSEDSFSLSNFPISSDISTSTAPPTPPRNLTESESVTQLLAHIKLLLRRRTAALAALDAGLYSEAIRHFTKILEGRRGAPQGFLAECYMHRAYAYKASGRIAESIADCNKTLALEPACIQALDTRASLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPAWKRHKVRYREIPGKLCALTTKIQELKKRVASGETRNVDYYALIGLRRGCSRSELERAHLLLSLRHKPDKSINFVERCDFANDMDLESVKDRAKMSALLLYRLLQKGYSNVMSTIMDEEAAEKQRKKAAAIQTQQTTQNAKMESNPSAVEISGPNRINSNENKVASASSGSNTASVFQGVFCRDLAAVGNLLSQAGFNRPLAVKFEALSC >Potri.008G056100.1.v4.1 pep chromosome:Pop_tri_v4:8:3299712:3302959:-1 gene:Potri.008G056100.v4.1 transcript:Potri.008G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056100.v4.1 MMCAATATGDWWARGIGGQIGGAFSHESEHDLALMVSDFLENGCSSGADSWCSSDSDSGLSDLHHLADKISFYKRTVAQYESDLLLIVHSLVQSIKDTDLHRVKSGPCNASCINFSLVKLLRLSGYDAAVCVSKWQGSGKVPGGDHEYIDVVNCINAGSSERVIIDVDFRSHFEIARAVDTYDRILKSLPAIYVGSLTRLKRYLQVMAEAARSSLKQNSMPLPPWRSLAYLQAKWYSPYQRQFGPDNQNFSSVDSSYHKQCGGHLKRLQSSLQFETEGERLMKPINSDNNRGMKFERRKHSLFRAL >Potri.006G017300.3.v4.1 pep chromosome:Pop_tri_v4:6:1076699:1082009:1 gene:Potri.006G017300.v4.1 transcript:Potri.006G017300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017300.v4.1 MGRSRGNFHSNDEDPTQRSRRKKNAASGDNSESLLAGQGSGDGKRALYHCNYCNKDITGKTRIKCAMCPDFDLCLECFSVGAEVTPHKSNHPYRVMDNLSFPLICPDWNADEEILLLEGIEMYGLGNWAEIAEHVGTKSKDTCIEHYNSVYMQSQYFPLPDMSLVVGKNRKELLAMAKGYSEDKKGAAMLGDLTLKEESPFSPSRVKVEEMHKGGSSGRLSTLNSEVESAGRPTTTNSAATAANKKASSIARVKDGPNVVKVEDPQVDRNAKGKKPNSSGSEGPSLMELSGYNPKRQEFDPEYDNDAEQLLAEMEFKDTDTEEERELKLRVLHIYSKRLDERKRRKDFILERNLLQPSPFEKDLTPEERALCRRYDPFMRFHSKEEHEELLQVVIEEHRMLKRIEELKEAQAAGCRTAAEADRYLEQKRKKEAEENSSRLKDNALVGPSNHGAPNAFIPSESVRKDSSTRPVGQGSASYANGLDTTGFYETQLLSETEKRLCREIHLPPPVYLKMQEVMTKEIFSGNITKKLDAHPLFKIEASKVDRVYDILVKKGIAQP >Potri.014G068100.1.v4.1 pep chromosome:Pop_tri_v4:14:4301430:4304776:1 gene:Potri.014G068100.v4.1 transcript:Potri.014G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068100.v4.1 MDGLDGSNAILQQEQQQQSLNPKDWIAVDPQNAWDLLHEERSLMTRLTTSCADLDDILGGGISCKQVTEIGGVPGIGKTQLGIQLAVNVQMPSFCGGLGGKAIYIDTEGSFMGERAQEIAEACVEDISEYKRFLHKDSQACQGEIQGKDVLQNIYFFRICSYTEQIALINYLEEFISDHKDVKIVIIDSVAFHFRQGFEDLALRTRILGEMALKLVKLAKMCNLAVVLLNQVTTRYMEGSFQLSFSLGDRWSRCCTNRIILYWNRNERYAYIDKSPYLRPAAAPYSVTGRGIRNSAPHCKRSKLV >Potri.009G072300.2.v4.1 pep chromosome:Pop_tri_v4:9:7088036:7090383:-1 gene:Potri.009G072300.v4.1 transcript:Potri.009G072300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072300.v4.1 MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Potri.007G023800.1.v4.1 pep chromosome:Pop_tri_v4:7:1832180:1833664:1 gene:Potri.007G023800.v4.1 transcript:Potri.007G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023800.v4.1 MSSNSLTSWTPKQNKLFEKALALHDKDTPDRWHNVAKAVGGKSAEEVKRHYEILIKDVREIESGRVPFPNYRSSGNGN >Potri.017G125800.1.v4.1 pep chromosome:Pop_tri_v4:17:12997697:13000134:1 gene:Potri.017G125800.v4.1 transcript:Potri.017G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125800.v4.1 MVSSLGVRKGAWTEEEDILLRKCVEKYGEGRWCQIPLQAGLNRCRKSCRMRWLNYLKPNVNRGQFSVGEVDLIIRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTNLRKKVVSSTREAQTEPEPKAITKDNIIKPRPRNFKNLCWLRAGKGTPFINVGSQYGDDLCKPYSTIAFPPSDTDEVERMWWESLLDDKEINLTNRNSCQNSCLGSGSTANQEPINSLFVEANPPGGIMIGDVFSDQGQNRWGDISFDADLWSLIDTEIDQQ >Potri.001G152600.1.v4.1 pep chromosome:Pop_tri_v4:1:12785225:12788539:1 gene:Potri.001G152600.v4.1 transcript:Potri.001G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152600.v4.1 MAIASASLLSLDSHVSSASSLHRSPHRARPFSRRKSWLLVRARNKKTNSLSVIDAKISTDDVSEEDIVIVGAGIAGLATAVSLQRLGVRSLVLEQAESLRTGGTSLTLFKNGWRVLDAIGVGSDLRSQFLEIQGMVVKSDDGRELRSFTFKDEDESQEVRAVERKILLETLAIKLPSAAVQFSSGLARMERRENGKMLLELVDGTRLLAKIVIGCDGIRSPVAKWMGFSEPRYVGHCAFRGLGFYANGQPFEPRVNYVYGRGLRAGYVPVSPTKVYWFICFNSPSPGPKTIDPSVLKKQAKELVKNWPSELLNLIDLTPDETISKTPLVDRWLWPAISPPPSTGTTVLVGDAWHPMTPNLGQGACCALEDAVVLARKLANAINSGPTSVEDAMQSYGIERWPRVFPLTVRANLVGSLLQWENPVVCSFRNNVVIPKLVRLGPILEHTNFECEPSLKRQTSEVPKQQ >Potri.005G251800.3.v4.1 pep chromosome:Pop_tri_v4:5:24463873:24476347:-1 gene:Potri.005G251800.v4.1 transcript:Potri.005G251800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251800.v4.1 MYDICGAREDGKVLNCPYGSPSVKPDDLLSQKIQSLCPTITGNVCCSEAQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPHQSTFINVTTTAKVKGNLTVSGIDFYASDAFGEGLYESCKDVKFGTMNTRALNFIGAGAQNFTEWYAFIGRRAPLDVPGSPYAMIFKPTAPESSGIKPMNVSTYSCGDISLGCSCGDCPQSPVCANTAPPPHHEGGSCAVRIGSLKAKCVDFALTILYIILISMFLGWGLFHRKRERNQTSRMNPLSDIKDSGEVIRKKDENLPAQMVEDSPQTGSRVQLSIVQGYMSKFYRRYGTWVARNPILVLSLSLAVILLLCVGLIRFKVETRPEKLWVGPGSKVAEEKRFFDTHLAPFYRIEQLILATVPDAGAQKLPSIVTEDNIKLLFEIQKKVDGIRANYSGSMVSLTDICMKPLDKDCATQSVLQYFQMDPQNLENYGGVEHVNYCLQHYTSADTCRSAFKAPLDPSTSLGGFSGNNYSEASAFIVTYPVNNVIDKEGNETDKAVAWEKAFIQLVKNELLPMVQSKNLTLSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDAPHLSSFYISSKVLLGLSGVMLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEGRISNALVEVGPSITLASLSEVLAFAAGSFIPMPACRVFSMFAELAVLLDFLLQVTAFVALIVFDFLRAEDKRVDCIPCMKISSSYADTPKGIGGRRPGLLARYMREIHAPILSLWGVKIAVISIFAAFTLACIALTTRVEPGLEQQIVLPQDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESSHTNQLCSISQCGSKSLLNEIARASLTPESTYIAMPAASWLDDFLVWISPEAFGCCRKFTNGSYCPPDDQFPCCSSDTGSCGLGGVCKDCTTCFRHSDLNNDRPSTSQFKEKLPLFFNALPSADCAKGGHGAYTSSIDLQGYENGVIQASSFRTYHTPLNKQIDYVNSMRAAREFSSRVSDSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFVVCLVITCSLWNSAIILLVLAMIVVDLMGVMAILNIQLNAVSVVNLVMSVGIGVEFCVHITHAFSVSCGDRDQRVRDALGTMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCKLVEKQEDRLSVSLRP >Potri.005G251800.1.v4.1 pep chromosome:Pop_tri_v4:5:24463892:24477105:-1 gene:Potri.005G251800.v4.1 transcript:Potri.005G251800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251800.v4.1 MTLIAMEFSSKTMKLLLLWISFFQVLFVVSIVRGERSDTRLLLTRNAVSRERHSEEYCAMYDICGAREDGKVLNCPYGSPSVKPDDLLSQKIQSLCPTITGNVCCSEAQFDTLRSQVQQAIPFLVGCPACLRNFLNLFCELTCSPHQSTFINVTTTAKVKGNLTVSGIDFYASDAFGEGLYESCKDVKFGTMNTRALNFIGAGAQNFTEWYAFIGRRAPLDVPGSPYAMIFKPTAPESSGIKPMNVSTYSCGDISLGCSCGDCPQSPVCANTAPPPHHEGGSCAVRIGSLKAKCVDFALTILYIILISMFLGWGLFHRKRERNQTSRMNPLSDIKDSGEVIRKKDENLPAQMVEDSPQTGSRVQLSIVQGYMSKFYRRYGTWVARNPILVLSLSLAVILLLCVGLIRFKVETRPEKLWVGPGSKVAEEKRFFDTHLAPFYRIEQLILATVPDAGAQKLPSIVTEDNIKLLFEIQKKVDGIRANYSGSMVSLTDICMKPLDKDCATQSVLQYFQMDPQNLENYGGVEHVNYCLQHYTSADTCRSAFKAPLDPSTSLGGFSGNNYSEASAFIVTYPVNNVIDKEGNETDKAVAWEKAFIQLVKNELLPMVQSKNLTLSFSSESSIEEELKRESTADVITILISYLVMFAYISLTLGDAPHLSSFYISSKVLLGLSGVMLVMLSVLGSVGFFSAIGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQPLELPLEGRISNALVEVGPSITLASLSEVLAFAAGSFIPMPACRVFSMFAELAVLLDFLLQVTAFVALIVFDFLRAEDKRVDCIPCMKISSSYADTPKGIGGRRPGLLARYMREIHAPILSLWGVKIAVISIFAAFTLACIALTTRVEPGLEQQIVLPQDSYLQGYFNNVSEYLRIGPPLYFVVKNYNYSSESSHTNQLCSISQCGSKSLLNEIARASLTPESTYIAMPAASWLDDFLVWISPEAFGCCRKFTNGSYCPPDDQFPCCSSDTGSCGLGGVCKDCTTCFRHSDLNNDRPSTSQFKEKLPLFFNALPSADCAKGGHGAYTSSIDLQGYENGVIQASSFRTYHTPLNKQIDYVNSMRAAREFSSRVSDSLKMEIFPYSVFYMFFEQYLDIWRTALINLAIAIGAVFVVCLVITCSLWNSAIILLVLAMIVVDLMGVMAILNIQLNAVSVVNLVMSVGIGVEFCVHITHAFSVSCGDRDQRVRDALGTMGASVFSGITLTKLVGVIVLCFSRTEVFVVYYFQMYLALVLLGFLHGLVFLPVVLSMFGPPSRCKLVEKQEDRLSVSLRP >Potri.006G059300.2.v4.1 pep chromosome:Pop_tri_v4:6:4190101:4194874:-1 gene:Potri.006G059300.v4.1 transcript:Potri.006G059300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059300.v4.1 MDPSEMRYLEDDDTPMMKTIKGATMGLVSGTIWGTIVATWHDVPRVEKSVALPGLIRTIKMMGNHGVTFAAIGGVYIGVEQLVQHYRMKRDFINGAVGGFVAGSTILGFKGRNIKNAIAAGAALAVTSAIIDAGGQTTRMDTGKEYYPYTTKKRSAVEG >Potri.015G145800.1.v4.1 pep chromosome:Pop_tri_v4:15:15034695:15036147:-1 gene:Potri.015G145800.v4.1 transcript:Potri.015G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145800.v4.1 MAPKQPNTGLFVGLNKGHVVTKKDLAPRPSDRKGKSSKRVLFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRSAGGGEKKK >Potri.019G038100.3.v4.1 pep chromosome:Pop_tri_v4:19:5249780:5252516:-1 gene:Potri.019G038100.v4.1 transcript:Potri.019G038100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038100.v4.1 MYKSKLQQLSQQRGWEIPKYEVTKEGQEHSPHFYATVTVDATLFSTPFPSSSTKKAQNDAAKLAYKYFSDHPRPSSSSPLNDCSGGSAGENTRPSPEGKLDAKPTPLSNEAGAVAKTDESFGGMLHLFKNQLQTYAQKRNFTLPVYSCERMGPPHAIRFKCKFTINGQTYESR >Potri.005G179600.1.v4.1 pep chromosome:Pop_tri_v4:5:18585200:18586153:-1 gene:Potri.005G179600.v4.1 transcript:Potri.005G179600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179600.v4.1 MESDSIEMDGQHSVSSLEEPKREESVVAETSSFIPRTRPNNFLSKHRMVAAITQLQNQINFIQEELDQLDTLGESSIVCEELLSSVESIPDPLLPSTQGPVNASWDRWFKGNQNSRRRWI >Potri.019G076900.2.v4.1 pep chromosome:Pop_tri_v4:19:11778821:11782540:1 gene:Potri.019G076900.v4.1 transcript:Potri.019G076900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076900.v4.1 MGCCCFLGSTLSLLVLILNLGFTLTNGSSSFSFLSSSSAAAAKKYVSAIGDPGMKNPNVRVALEAWNFCNEVGFEAPSMGSPRLADCADLYCPVTSGAKLLDNRSRCEVHHKVKNSDNSLSAGDKFPISDFESYEDPDLFAVQKELYLATLCAVDEPPKPWQFWMVMLKNGNFDKNTTLCPENGKRVSKIITGRNFPCFGKGCMNQPLVYHNYSQLVFSGEQMVSLSGGYFGTYDLDADLSKGVGNNSFFSVFWQKNLSTGSWIFTHKLTTSAKYPWLMLYLRSDATEGFNGGYHYNGSGIMRKLPDSPNFKVKLTLNITRGGGGNSQFYLIDIGSCWKNNGDPCDGDVLTDVTRYSEMIINPATSSWCRPDNQVSCPPYHVSLTGEKIYRNETSRFPYSAYHLYCSPGNAKYLEKPYDICDPYSNPQAQELVQILPHREWSVHGYPEKQGDGWVGDPRTWELDTGALSSRLYFYQDPGTKPARRVWSSINVGTEIYVSRAGETAEWTVSDFDVLVPEDIANDGHSSY >Potri.019G076900.6.v4.1 pep chromosome:Pop_tri_v4:19:11778542:11782518:1 gene:Potri.019G076900.v4.1 transcript:Potri.019G076900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076900.v4.1 MSQFSDSLGAKLLDNRSRCEVHHKVKNSDNSLSAGDKFPISDFESYEDPDLFAVQKELYLATLCAVDEPPKPWQFWMVMLKNGNFDKNTTLCPENGKRVSKIITGRNFPCFGKGCMNQPLVYHNYSQLVFSGEQMVSLSGGYFGTYDLDADLSKGVGNNSFFSVFWQKNLSTGSWIFTHKLTTSAKYPWLMLYLRSDATEGFNGGYHYNGSGIMRKLPDSPNFKVKLTLNITRGGGGNSQFYLIDIGSCWKNNGDPCDGDVLTDVTRYSEMIINPATSSWCRPDNQVSCPPYHVSLTGEKIYRNETSRFPYSAYHLYCSPGNAKYLEKPYDICDPYSNPQAQELVQILPHREWSVHGYPEKQGDGWVGDPRTWELDTGALSSRLYFYQDPGTKPARRVWSSINVGTEIYVSRAGETAEWTVSDFDVLVPEDIANDGHSSY >Potri.019G076900.5.v4.1 pep chromosome:Pop_tri_v4:19:11778821:11782464:1 gene:Potri.019G076900.v4.1 transcript:Potri.019G076900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076900.v4.1 MGCCCFLGSTLSLLVLILNLGFTLTNGSSSFSFLSSSSAAAAKKYVSAIGDPGMKNPNVRVALEAWNFCNEVGFEAPSMGSPRLADCADLYCPVTSGAKLLDNRSRCEVHHKVKNSDNSLSAVDEPPKPWQFWMVMLKNGNFDKNTTLCPENGKRVSKIITGRNFPCFGKGCMNQPLVYHNYSQLVFSGEQMVSLSGGYFGTYDLDADLSKGVGNNSFFSVFWQKNLSTGSWIFTHKLTTSAKYPWLMLYLRSDATEGFNGGYHYNGSGIMRKLPDSPNFKVKLTLNITRGGGGNSQFYLIDIGSCWKNNGDPCDGDVLTDVTRYSEMIINPATSSWCRPDNQVSCPPYHVSLTGEKIYRNETSRFPYSAYHLYCSPGNAKYLEKPYDICDPYSNPQAQELVQILPHREWSVHGYPEKQGDGWVGDPRTWELDTGALSSRLYFYQDPGTKPARRVWSSINVGTEIYVSRAGETAEWTVSDFDVLVPEDIANDGHSSY >Potri.019G076900.7.v4.1 pep chromosome:Pop_tri_v4:19:11778627:11782517:1 gene:Potri.019G076900.v4.1 transcript:Potri.019G076900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076900.v4.1 MSQFSDSLGAKLLDNRSRCEVHHKVKNSDNSLSAGDKFPISDFESYEDPDLFAVQKELYLATLCAVDEPPKPWQFWMVMLKNGNFDKNTTLCPENGKRVSKIITGRNFPCFGKGCMNQPLVYHNYSQLVFSGEQMVSLSGGYFGTYDLDADLSKGVGNNSFFSVFWQKNLSTGSWIFTHKLTTSAKYPWLMLYLRSDATEGFNGGYHYNGSGIMRKLPDSPNFKVKLTLNITRGGGGNSQFYLIDIGSCWKNNGDPCDGDVLTDVTRYSEMIINPATSSWCRPDNQVSCPPYHVSLTGEKIYRNETSRFPYSAYHLYCSPGNAKYLEKPYDICDPYSNPQAQELVQILPHREWSVHGYPEKQGDGWVGDPRTWELDTGALSSRLYFYQVCF >Potri.019G076900.1.v4.1 pep chromosome:Pop_tri_v4:19:11778591:11782551:1 gene:Potri.019G076900.v4.1 transcript:Potri.019G076900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076900.v4.1 MGCCCFLGSTLSLLVLILNLGFTLTNGSSSFSFLSSSSAAAAKKYVSAIGDPGMKNPNVRVALEAWNFCNEVGFEAPSMGSPRLADCADLYCPVTSDSLGAKLLDNRSRCEVHHKVKNSDNSLSAGDKFPISDFESYEDPDLFAVQKELYLATLCAVDEPPKPWQFWMVMLKNGNFDKNTTLCPENGKRVSKIITGRNFPCFGKGCMNQPLVYHNYSQLVFSGEQMVSLSGGYFGTYDLDADLSKGVGNNSFFSVFWQKNLSTGSWIFTHKLTTSAKYPWLMLYLRSDATEGFNGGYHYNGSGIMRKLPDSPNFKVKLTLNITRGGGGNSQFYLIDIGSCWKNNGDPCDGDVLTDVTRYSEMIINPATSSWCRPDNQVSCPPYHVSLTGEKIYRNETSRFPYSAYHLYCSPGNAKYLEKPYDICDPYSNPQAQELVQILPHREWSVHGYPEKQGDGWVGDPRTWELDTGALSSRLYFYQDPGTKPARRVWSSINVGTEIYVSRAGETAEWTVSDFDVLVPEDIANDGHSSY >Potri.003G079300.2.v4.1 pep chromosome:Pop_tri_v4:3:10633774:10634811:1 gene:Potri.003G079300.v4.1 transcript:Potri.003G079300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL7 MKDSTKQVSQNSSKRNQDPNNYESDKQAKRRGNAGRHPSYRGVRMRQWGKWVSEIRQPKKKSRIWLGTFSTPEMAARAHDVAALTIKGHSAHLNFPELAHEFPRPASSSPKDIQAAAALAATLSCKTSQKGRETEAEAELMLPRSLDSTLASNETQEYSLNSPLRDDDDDTFIDLPDILQGISHQFDEFCYLSPWQPVGTETSDVGFWHHEEPSLWEYQSSRNIY >Potri.003G218700.1.v4.1 pep chromosome:Pop_tri_v4:3:21343131:21346327:1 gene:Potri.003G218700.v4.1 transcript:Potri.003G218700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218700.v4.1 MEEQFILRVTPSIAEKLDRLLSDTASSSEEQSLDLSFSEDGRGGTFVIGNEHFPASLLDLPCVVESYKTYDDCALVKTADIGQMIMVREAGDTVPDVVEYRHGLTPPMRDARKRRFRREPYLNPELVQRVEKDLLNIMAGGTVENAEAEANEQEEDGEQNARKANKKPEPAPEAKPDVPETTANAEEPERSDSDESDDSM >Potri.004G096200.2.v4.1 pep chromosome:Pop_tri_v4:4:8287831:8288714:1 gene:Potri.004G096200.v4.1 transcript:Potri.004G096200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096200.v4.1 MINSQLDKLGSKEEANQTKPIYLSTYVLVYAYTMVCMLEAKGVNSNDKIKIVIPVDCRARLNPPLPKNYIGNCVSSFDVVVEREDLMKENGVAYVAKRLTEMIKGLENRSVIEGAKERIPYTDWEKFTQTVRAVGTNRFGMYGADFGWGKPSNVEVTTIARTGAFSIMESKDEGGGVQVGLVLKEHEMKLFGSLFTRVKISQSTC >Potri.002G206300.1.v4.1 pep chromosome:Pop_tri_v4:2:17146907:17151621:-1 gene:Potri.002G206300.v4.1 transcript:Potri.002G206300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G206300.v4.1 MAAFALNQQLLCFSNSHSRTTTKPCSAWLLSSKTSVFSIPRKKGRFSLTSCNSQSSSEANTQTAESCVNLGLSLFSKGRVKDALVQFETALDLDPTPREAQAALYNKACCHAYLREGKKAADCLRTALREYNLKFGTVLNDPDLASFRALPEFKELQEEARLGGEDIGYGFRRDLKLISEVQAPFRGVRRFFYVAFSAAAGISLLFTIPRLFLAIKGGGDAPDLWETAGNAATNIGGIIVLVALFLWDNKKEEEQLAQITRDETLSRLPLRLSTNRVVELVQLRDTVRPVILAGKKDTVSLAMQKAERFRTELLRRGVLLVPVIWGESRAPDVEKKGFGARQKAAASLPSIGEDFEKRTQSVIAKSKLKAEIRFRAEVVSPAEWERWIRDQQKSEGVTPGEDVYVILRLDGRVRRSGKGMPDWPQIVNELPPMEAFLSKLER >Potri.009G052000.2.v4.1 pep chromosome:Pop_tri_v4:9:5701075:5702625:1 gene:Potri.009G052000.v4.1 transcript:Potri.009G052000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052000.v4.1 MDMVAINPAMVIGPLLQPTLNTSAAAILSLIKGAQTFPNASFGWINVKDVANAHIQAFELSSASGRYCLVERVAHYSEVVKILHELYPDLQLPEKCADDKPYVPIYQVSKEKAKSLGVEFIPLEASVKETVESLKEKGFVSF >Potri.009G052000.1.v4.1 pep chromosome:Pop_tri_v4:9:5696621:5702864:1 gene:Potri.009G052000.v4.1 transcript:Potri.009G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052000.v4.1 MSSGAGKIVCVTGASGYIASWLVKLLLSRGYTVKASVRDPNDPKKTEHLRALNGAQERLQLFKANLLEEGSFDSIVEGCEGVFHTASPFYHDVKDPQVELLDPAVKGTLNVLGSCAKHPSIRRVVLTSSVAAVAYNGKPRTPDVVVDETWFSDPNLCRESKVWYVLSKTLAEDAAWKFAKEKDMDMVAINPAMVIGPLLQPTLNTSAAAILSLIKGAQTFPNASFGWINVKDVANAHIQAFELSSASGRYCLVERVAHYSEVVKILHELYPDLQLPEKCADDKPYVPIYQVSKEKAKSLGVEFIPLEASVKETVESLKEKGFVSF >Potri.001G212101.1.v4.1 pep chromosome:Pop_tri_v4:1:21801365:21802472:-1 gene:Potri.001G212101.v4.1 transcript:Potri.001G212101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212101.v4.1 MKPHNRKLQVPYNDAPFEHPITPTFQTSNSTIPPPPFKEPTTIPPPTTTLQLQQQQQFYSRTGFEPSVALIILFLLIALFFMGFFSIYTRKFSVNPSPSSSSSSSSSEDFYNSHRQRSTGTPGPASTSSRPSRASTSSRITRGLDPQVVNSLPVYSYYHGDVKYQIECAICLGEFEEKEAVKMIPKCHHVFHLQCIDTWLEMHVTCPVCRGAQFVQEKGGGNDGLGVIQERVDQGASQPEARSEVGNGDTSLEVRGEVIGVQA >Potri.006G155900.1.v4.1 pep chromosome:Pop_tri_v4:6:14802886:14803989:1 gene:Potri.006G155900.v4.1 transcript:Potri.006G155900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155900.v4.1 MPRRTAQVPNSFYLINTLHIFKESIRVMLLHPTHFHSISIFLFSPLPISLFISHFLTRSSPQLPFSTTSITDHLLEHYGVLPQLASKTLIHIIICFPSSITFGLLGQAATVQLVSDSYNGINLNGRRLLVRSGAAWIKLLRTCFWELLILLALWVIFVATLVSVPGTLFAYGICSRMLGLRVILGFLGAPFCLAFAHLVVVANLAKVLAVLESECCGFKSLVKASNMMAGRQQTALVMALLSNMGLGLVQCLFEFKMSKGISFWEGPLLVSMYSTVLVFDTVTTVVFYYACKP >Potri.011G112300.4.v4.1 pep chromosome:Pop_tri_v4:11:14230265:14234975:-1 gene:Potri.011G112300.v4.1 transcript:Potri.011G112300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112300.v4.1 MNTCPICASISTFPRVYDSAALQISVSVRETTGSSKHFHSKISLDSAEKQTLIKEVQLDGYRDTAESINDLPKKGKGKKKHGNKGRIPWNKGRKHTSETRALIKQRTIEALTNPQVRKKMSGHPHAHSEAIRAKISSSLRQIWGKRLKWKRLREKFFLSWSKSIARAAKEGGIDQQELDWDGYDKIEEEITLKQLQGAIEKAKAEERAKRTVEREAKEREEKMARVAQKREKREEKVKAREEAKRKAHRESKRKTEESSSVARKLTLKRRLTKIRKRKSINDQMIRQGASLTSHSRAWEKIDVEIKKSEKIQREGSLAEQIRDAKNKRTESITREALAEPSTQHLFAG >Potri.011G112300.3.v4.1 pep chromosome:Pop_tri_v4:11:14230265:14234975:-1 gene:Potri.011G112300.v4.1 transcript:Potri.011G112300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112300.v4.1 MPFFHLSLSVPHLPCNHVSNNLLWPTLGYVLEHTHFQPNVQQSNHRNSIVMNTCPICASISTFPRVYDSAALQISVSVRETTGSSKHFHSKISLDSAEKQTLIKEVQLDGYRDTAESINDLPKKGKGKKKHGNKGRIPWNKGRKHTSETRALIKQRTIEALTNPQVRKKMSGHPHAHSEAIRAKISSSLRQIWGKRLKWKRLREKFFLSWSKSIARAAKEGGIDQQELDWDGYDKIEEEITLKQLQGAIEKAKAEERAKRTVEREAKEREEKMARVAQKREKREEKVKAREEAKRKAHRESKRKTEESSSVARKLTLKRRLTKIRKRKSINDQMIRQGASLTSHSRAWEKIDVEIKKSEKIQREGSLAEQIRDAKNKRTESITREALAEPSTQHLFAG >Potri.011G112300.2.v4.1 pep chromosome:Pop_tri_v4:11:14230265:14234975:-1 gene:Potri.011G112300.v4.1 transcript:Potri.011G112300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112300.v4.1 MIYPVCCFLLQNSLKGFIRLTSWSLSVPHLPCNHVSNNLLWPTLGYVLEHTHFQPNVQQSNHRNSIVMNTCPICASISTFPRVYDSAALQISVSVRETTGSSKHFHSKISLDSAEKQTLIKEVQLDGYRDTAESINDLPKKGKGKKKHGNKGRIPWNKGRKHTSETRALIKQRTIEALTNPQVRKKMSGHPHAHSEAIRAKISSSLRQIWGKRLKWKRLREKFFLSWSKSIARAAKEGGIDQQELDWDGYDKIEEEITLKQLQGAIEKAKAEERAKRTVEREAKEREEKMARVAQKREKREEKVKAREEAKRKAHRESKRKTEESSSVARKLTLKRRLTKIRKRKSINDQMIRQGASLTSHSRAWEKIDVEIKKSEKIQREGSLAEQIRDAKNKRTESITREALAEPSTQHLFAG >Potri.011G112300.6.v4.1 pep chromosome:Pop_tri_v4:11:14230287:14234749:-1 gene:Potri.011G112300.v4.1 transcript:Potri.011G112300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112300.v4.1 MGTVTLQKVSMTFPKREKERKNMGTKGEFPGTKAENILQTRALIKQRTIEALTNPQVRKKMSGHPHAHSEAIRAKISSSLRQIWGKRLKWKRLREKFFLSWSKSIARAAKEGGIDQQELDWDGYDKIEEEITLKQLQGAIEKAKAEERAKRTVEREAKEREEKMARVAQKREKREEKVKAREEAKRKAHRESKRKTEESSSVARKLTLKRRLTKIRKRKSINDQMIRQGASLTSHSRAWEKIDVEIKKSEKIQREGSLAEQIRDAKNKRTESITREALAEPSTQHLFAG >Potri.016G053900.2.v4.1 pep chromosome:Pop_tri_v4:16:3550134:3550487:1 gene:Potri.016G053900.v4.1 transcript:Potri.016G053900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053900.v4.1 MAPSNTRRSTRCKRKQIVPKCEEPSRDLPCSHSTTSTSSKESCTVNINDQEGDKDIVGCSTPKAQRFKIPEILSCPPAPMKRRATTKCSSKKSPIVFFAPPDIELFFFFAFRDNIPA >Potri.013G073900.2.v4.1 pep chromosome:Pop_tri_v4:13:6168603:6177206:1 gene:Potri.013G073900.v4.1 transcript:Potri.013G073900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073900.v4.1 METSSFLLKQLPSGNCLSLPRLPRYVAISSPQFLTHKSHFHSVSTFQQSLTIWNSSSNGSTKPRTPTKKRRSLNRSKSSSSSNSTFIDITKPYTTGSCGISTSNIHAPAPASAKQVVVEKQEKKMSVCTLKENGDPLGRKDLGKSVVKWISQAMRAMAREFASAEAQGEFTELRQRMGPGLTFVIQAQPYLNAVPMPLGLEAICLKACTHYPTLFDHFQRELREVLQDLKRKGLVQDWQKTESWKLLKELANSAQHRAIARKATQSKPLQGVLGMNLEKAKAIQGRINEFTNQMSELLRIERDAELEFTQEELNAVPTLDESSDSSKPIEFLVSHGQGQQELCDTICNLYAVSTSTGLGGMHLVLFRVEGNHRLPPTTLSPGDMVCVRICDSRGAGATSSLQGFVNNLGEDGCSISVALESRHGDPTFSKLSGKSVRIDRIHGLADAVTYERNCEALMLLQKKGLHKKNPSIAVVATLFGDKEDVAWLEENDLASWDEADFDEHLGKPFDDSQRRAITLGLNKKRPFLIIQGPPGTGKSGLLKELIALAVGKGERVLVTAPTNAAVDNMVEKLSNIGLNIVRVGNPARISSAVASKSLGDIVNSKLAAFRTEFERKKSDLRKDLSHCLKDDSLAAGIRQLLKQLGKTLKKKEKETVREVLSSAQVVLATNTGAADPLIRRLDAFDLVVMDEAGQAIEPSCWIPILQGKRCILAGDQCQLAPVILSRKALEGGLGVSLLERASTLHEGVLATKLTTQYRMNDAIASWASKEMYSGLLKSSSTVASHLLVDTPFVKPTWITQCPLLLLDTRMPYGSLSVGCEEHLDPAGTGSFYNEGEADIVVQHVSSLIFSGVRPTAIAVQSPYVAQVQLLRERLDELPEADGVEIATIDSFQGREADAVIISMVRSNTLGAVGFLGDSKRTNVAITRARKHVAVVCDSSTICHNTFLARLLRHIRYFGRVKHAEPGSFGGSGFDMNPMLPSIS >Potri.003G175900.1.v4.1 pep chromosome:Pop_tri_v4:3:18282285:18287466:-1 gene:Potri.003G175900.v4.1 transcript:Potri.003G175900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175900.v4.1 MTMAVPQKWVCVFSAVIVLLFVGVVATSRPDVVGISALTRNVEAEKEQSSSNSTMAARSQEEADAFNEKAVAADPEEVVSMVEMNIRNSTERRRLGYFSCGTGNPIDDCWRCDPNWHKNRKRLADCGIGFGRNAIGGRDGRFYVVTDSSDHDPVNPRPGTLRHAVIQDAPLWIVFKRDMVIQLKQELIMNSFKTIDGRGVNVHIANGGCITIQFVTNVIIHGLHIHDCKPTGNAMVRSSPSHYGWRTMADGDAISIFGSSHIWVDHNSLSNCADGLVDAVMGSTAITVSNNHFTHHNEVMLLGHSDSYTRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSAEPTINSQGNRYNAPANPFAKEVTKRVDTAPGKWKSWNWRSEGDLLANGAYFTPSGAGASASYARASSLGAKSSSMVGAMTANSGVLGCRRGHQC >Potri.006G246500.1.v4.1 pep chromosome:Pop_tri_v4:6:24677217:24680442:-1 gene:Potri.006G246500.v4.1 transcript:Potri.006G246500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246500.v4.1 MAMALLCSPSHFTTHHHNPFFRNQSRILLSQKKCKLKEKIMACSCACSSSDPSLQNGFCRRDLVLFGLSSSLSIAFPSSEILAEEDLKMASVVDEINAYTYSYPAELPSKKFLFKWVESRKPERYSSAAPLSSDARLRIVSERVDIIDNLILSVSIGPPNLQFVKSKDKNTWAAKDVADSVLSDKSSLRVTSTQRLSESSILDAHANEIDGEPYWFYEYIVRKSPTKNAQESNLFRRYIASTAERDGYLYSLSASTLSKQWDKMGPYLEKTVASFRLLPPTGDYVPPYKDPWRFW >Potri.016G057600.1.v4.1 pep chromosome:Pop_tri_v4:16:3900106:3901619:-1 gene:Potri.016G057600.v4.1 transcript:Potri.016G057600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057600.v4.1 MARSNIAGKSPSMISIMLLFGLLLASLDTTGAQIGVCYGMNGNLPPAQEVIDLYNQRGIRRMRLYDPNQDALRALGGTNIELMLGLPNSDLERIASSQTNANAWVQRNVRSFGNVRFRYIAVGNEVRPFDSYAQFLVPAMKNIRNALYSAGLGNIKVSTAIDNGVIEDDSSPPSKGSFRGDHRPFLDPIIRFLLNNQAPLLVNLYPYLSYTGNSEDIRLDYALFTAPSSLVSDPPLNYQNLFDAILDTVYAALEKSGGGSLDIVVSESGWPTAGGTGTSVDNARIYNNNLVQHVKRGSPKKPGKPIETYIFSMFDENYKNPELEKHWGLFLPNKQPKYNINLR >Potri.016G057600.2.v4.1 pep chromosome:Pop_tri_v4:16:3900220:3901585:-1 gene:Potri.016G057600.v4.1 transcript:Potri.016G057600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057600.v4.1 MNGNLPPAQEVIDLYNQRGIRRMRLYDPNQDALRALGGTNIELMLGLPNSDLERIASSQTNANAWVQRNVRSFGNVRFRYIAVGNEVRPFDSYAQFLVPAMKNIRNALYSAGLGNIKVSTAIDNGVIEDDSSPPSKGSFRGDHRPFLDPIIRFLLNNQAPLLVNLYPYLSYTGNSEDIRLDYALFTAPSSLVSDPPLNYQNLFDAILDTVYAALEKSGGGSLDIVVSESGWPTAGGTGTSVDNARIYNNNLVQHVKRGSPKKPGKPIETYIFSMFDENYKNPELEKHWGLFLPNKQPKYNINLR >Potri.005G204100.1.v4.1 pep chromosome:Pop_tri_v4:5:20919796:20927749:-1 gene:Potri.005G204100.v4.1 transcript:Potri.005G204100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204100.v4.1 MANSSGEVQEGQKVVVQEEEAHPYAFHVSGPRNVASPNWRDLINSSWKNENHKRTVFSCFIQAVYLLETDRQENRTEENALAPNWWRSFKYKLTQTLVDERDGSIFGAVLEWDRAAALADMVLIRPSGAPKAVLALRGTLLKGPTILRDIEDDLRFLAWESLKGSVRFKVALEALRSVAEMYGSRNVCVAGHSLGAGFALQVGKALAKEGMYVEAHLFNPPSVSMAMSLRNIGERAGFAWNRFKSMLPSSSETQATSDEGETANTVGLRSWIPLSGYKTSMGLKNWVPNLYVNNSDYICCSYTDPDGTENKQADKENAGPGNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDVQLQQALHNSKLMSRQLKSLYTFPASQPTQRTPQ >Potri.005G204100.4.v4.1 pep chromosome:Pop_tri_v4:5:20924821:20927746:-1 gene:Potri.005G204100.v4.1 transcript:Potri.005G204100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204100.v4.1 MICVYRKNENHKRTVFSCFIQAVYLLETDRQENRTEENALAPNWWRSFKYKLTQTLVDERDGSIFGAVLEWDRAAALADMVLIRPSGAPKAVLALRGTLLKGPTILRDIEDDLRFLAWESLKGSVRFKVALEALRSVAEMYGSRNVCVAGHSLGAGFALQVGKALAKEGMYVEAHLFNPPSVSMAMSLRNIGERAGFAWNRFKSMLPSSSETQATSDEGETANTVGLRSWIPLSGYKTSMGLKNWVPNLYVNNSDYICCSYTDPDGTENKQADKENAGPGNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDVQLQQALHNSKLMSRQLKSLYTFPASQPTQRTPQ >Potri.005G204100.2.v4.1 pep chromosome:Pop_tri_v4:5:20924646:20927834:-1 gene:Potri.005G204100.v4.1 transcript:Potri.005G204100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204100.v4.1 MANSSGEVQEGQKVVVQEEEAHPYAFHVSGPRNVASPNWRDLINSSWKNENHKRTVFSCFIQAVYLLETDRQENRTEENALAPNWWRSFKYKLTQTLVDERDGSIFGAVLEWDRAAALADMVLIRPSGAPKAVLALRGTLLKGPTILRDIEDDLRFLAWESLKGSVRFKVALEALRSVAEMYGSRNVCVAGHSLGAGFALQVGKALAKEGMYVEAHLFNPPSVSMAMSLRNIGERAGFAWNRFKSMLPSSSETQATSDEGETANTVGLRSWIPLSGYKTSMGLKNWVPNLYVNNSDYICCSYTDPDGTENKQADKENAGPGNGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDVQLQQALHNSKLMSRQLKSLYTFPASQPTQRTPQ >Potri.005G020800.1.v4.1 pep chromosome:Pop_tri_v4:5:1319022:1323000:-1 gene:Potri.005G020800.v4.1 transcript:Potri.005G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020800.v4.1 MATRNRTLIFRKYRDALKSVRVPSSLSPSTSSSGGGGGGPVIELASTSLLNPNRKYTPLSTEDPGNSSKGALTVGLPPAWVDVSEEISSNVQRARMKMVELAKAHAKALMPSFGDGKEDQRMIEGLTQEITGLIRKSEKKLQRLAAAGPSEDSNIRKNVQRSLATDLQNLSMELRKKQSTYLQRLRQQKEGQDGVDLEMNLNGGRSIIDDDNLDDMVFNEHQMAKMKRSEAFTVEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNAATTVEEGLKQLQKAERTQKRGGMVMCATVLVIMCFIMLVLLVLKTIVF >Potri.007G071700.1.v4.1 pep chromosome:Pop_tri_v4:7:9363263:9365964:-1 gene:Potri.007G071700.v4.1 transcript:Potri.007G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071700.v4.1 MALSFKITELNPLNPNKIPNSSQTLKPRYPSLNFSKSNPKPKSTAMKALFSSAKLITSTTKTKPSFLLTPKISTTRAYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPIDDDTSHVVVAQLLFLESENPSKPIHMYLNSPGGQVTAGLAIYDTMQYIRSPVTTICLGQAASMASLLLAAGAKGERKALPNATIMIHQPSGGYSGQAKDMTIHTKQIVRVWDALNQLYCKHTGQPLDVIQKNMDRDYFMTPEEAKEFGIIDDVIDQRPMTLVTDAVDDEGKQKDSS >Potri.014G027300.1.v4.1 pep chromosome:Pop_tri_v4:14:1700695:1702315:1 gene:Potri.014G027300.v4.1 transcript:Potri.014G027300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027300.v4.1 MEIDLLKSATEDQTEMMMMIDKFPEFYGACNDVADHLSPTDQFLAASVSDSSVPHFNTDNPHIANLPPFMNLPSTLSFNSNNTPIQDQSPRAFISNPSTSRWRGVGELPGTANDYATPSRKKNSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAQANRPTATTGIGFPVAMTSGSYLPVGKGCHQQPAHHHHHQNVQHYGDA >Potri.014G027300.2.v4.1 pep chromosome:Pop_tri_v4:14:1700695:1702315:1 gene:Potri.014G027300.v4.1 transcript:Potri.014G027300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027300.v4.1 MLLIIYPQLISFLLPVNNTPIQDQSPRAFISNPSTSRWRGVGELPGTANDYATPSRKKNSMAAMREMIFRIAAMQPIHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERAQANRPTATTGIGFPVAMTSGSYLPVGKGCHQQPAHHHHHQNVQHYGDA >Potri.003G159901.1.v4.1 pep chromosome:Pop_tri_v4:3:17017468:17018872:-1 gene:Potri.003G159901.v4.1 transcript:Potri.003G159901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159901.v4.1 MPVYVGIHTITLAFCLPYAVFFEAGASLLFGFLPAVLCVFSAKLLGASLSFWIGRLVFRSSSSAMEWVQSNKYFHLLSRGVERDGWKFVFLHASHPCPPML >Potri.011G162101.1.v4.1 pep chromosome:Pop_tri_v4:11:18689254:18692579:-1 gene:Potri.011G162101.v4.1 transcript:Potri.011G162101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162101.v4.1 MLANSSGHPACHCEERSALLQFKESFILSRSASKFPFAYPKVESSKLDGNGSDCCLWDGVECDEDTGHVIELDSTLVVSMVPLTPTGLWLLNLSNNIFSGFIPSSIGNLAKLEALDLSQNKLSGNIPKQLVQLTFLQFFNASHNHLTGPIPRGNQFNTFQKDSFDGNSGLSGEPLSNKCGSLKALPAPAPATGDELLGLDWKFVLIGYGSGFVIGAAIGHFVTKRKHDWLETFRIQRESHNKFNGPDFSNLYIFLTATTTFFSHFLPAKHKHRQTKTKTPQLWSGCGGFLLFKEKKGRNPLKIKLHN >Potri.013G152400.1.v4.1 pep chromosome:Pop_tri_v4:13:14829415:14832802:-1 gene:Potri.013G152400.v4.1 transcript:Potri.013G152400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152400.v4.1 MAVSAFKMLGFFVGFSLMVGLVSSVKFDELFQPSWAQDHLAYEGELLRLKLDSYSGAGFQSKSKYMFGKVTVQIKLVEGDSAGTVTAFYMSSEGTNHNEFDFEFLGNTTGEPYLVQTNVYVNGVGNREQRLSLWFDPTKDFHSYSIFWNQRHVVFLVDDTPIRLHTNMENKGIPFPRDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFVASYKGFEINACECPASIAADDNAKKCSSSGEKRYWWDEPTLSALNVHQSHQLLWVRANHMTYDYCSDTARFPVTPLECLHHRHQ >Potri.004G029600.1.v4.1 pep chromosome:Pop_tri_v4:4:2302159:2303099:1 gene:Potri.004G029600.v4.1 transcript:Potri.004G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029600.v4.1 MSLTQVSFLPFFLSLIFLLSTITTTTSTLQNLLQSHGLPGGLFPNNVKSYSLDQDGRLEVQLDGLCMTKYETRVVFDSVVRANLSYGGLMGLEGLIQEELFLWLPVKGFEVNDPSSGLISVDIGLAHKQLSRSLFEVPPVCKPQGAADLLKNFGRKIGVQFQR >Potri.001G098800.1.v4.1 pep chromosome:Pop_tri_v4:1:7873128:7875641:1 gene:Potri.001G098800.v4.1 transcript:Potri.001G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098800.v4.1 MGVIELKKIMGHSGVLWGVVLYCVLVGLAMESEEPCSRATSYGDALGKAILFFEGQRSGELPSDQRVKWRGNSAISDGKPEKVNLTGGYYDAGDNVKFGWPMAFTVTLLSWAGIEYQKEISSVNQLGYLHTAIRWGTNFILECHTSSTAFYTQVGDGNADHQCWERPEDMDTPRTLYKITPNSPGTEAAAEASAALSAASIVFKAVDPSYSTKLLQQSQSLFDLADNYRSSFKDSCPFYCSFSGYQDELLWAATWLYKASGENKYLSYISSNKGWSQAVSEFSWDNKFAGAQTLLAKEFYGGKQDFDKFKSDAESFVCALMPGSSSVQIKTTPGGLLYTRDSSNLQYVTSSSMLLFIYSNTLTAAHVSGIQCGSAHFSALQIKAFAKSQVDYILGSNPMKMSYMVGFGSKYPTQMHHRGASIPSVQALPSKVGCNDGYSSYYFASQPNPNTHVGAIVGGPDSNDQYKDLRSDYSHAEPTTYMNAAFVGSVAALVAESKADCPSQLWQLTGNKKVADYM >Potri.011G046200.10.v4.1 pep chromosome:Pop_tri_v4:11:3617113:3620986:-1 gene:Potri.011G046200.v4.1 transcript:Potri.011G046200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046200.v4.1 MNKLVMNFLVTEGYVDAAEKFQMESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRFIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGGLLDISQRLKTASEVNAAILTSQSREKDPKLPSLLKMLLWAQNQLDEKAVYPRINDLSTAMLEDPAV >Potri.011G046200.5.v4.1 pep chromosome:Pop_tri_v4:11:3617091:3621022:-1 gene:Potri.011G046200.v4.1 transcript:Potri.011G046200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046200.v4.1 MESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRFIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGGLLDISQRLKTASEVNAAILTSQSREKDPKLPSLLKMLLWAQNQLDEKAVYPRINDLSTAMLEDPAV >Potri.011G046200.8.v4.1 pep chromosome:Pop_tri_v4:11:3617101:3621010:-1 gene:Potri.011G046200.v4.1 transcript:Potri.011G046200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046200.v4.1 MMSLFWIVIRQLAEIEAMATSKKVITREEWEKKLNDVKIRKEDMNKLVMNFLVTEGYVDAAEKFQMESGTEPDIDLATITDRMAVKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRFIELIRNGKVEEALEFAQEELAPRGEENQSFLEELERTVALLAFEDVSNCPVGGLLDISQRLKTASEVNAAILTSQSREKDPKLPSLLKMLLWAQNQLDEKAVYPRINDLSTAMLEDPAV >Potri.006G273100.1.v4.1 pep chromosome:Pop_tri_v4:6:26567732:26569837:1 gene:Potri.006G273100.v4.1 transcript:Potri.006G273100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273100.v4.1 MEVLVGPTFSIGGDVSSNGSSFVVPPPPQEKHQVGVAPPFLFLKEEDGDGDESPISSRGGSGIHTDDLSESSSSIGAPDDSEEEDDDDDDEEDGVVSSKKNNVLGSLNSLEDALPIKRGLSNHFSGKSKSFTNLSEVNTVNTVKELEKPENPFNKRRRILMVNKWSRKSFYSWSNPKSMPLLALHEDDDDDDDDDPRLGAAQAQAQDQENKENPSGEALTQGIIARKLHERRFAKFGMKSQSCFSLSDLQEDEEDDDA >Potri.003G130500.1.v4.1 pep chromosome:Pop_tri_v4:3:14888147:14890304:-1 gene:Potri.003G130500.v4.1 transcript:Potri.003G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130500.v4.1 MSSDLYALDSSFYHQSTPEIVSPDGADLFFSDPFSPFCDSSIDILQESSNSQNNQCPLENSNSVDSFSPSILSSSPPSHQLENLSLYQTNHLQALANGENLASGYTNFPGLDALEVKTEECQLSFKSTYNQHSFMPHSYSSVENVAKMMQRSYSSNSFEGKPGFLFQPRFDTLLESPNYQSQALSSPENNFLAGQLRRVYSTGDLQNINRTTHATERSLSSPLATESSFIEESNFKVGRYSAEERKERISKYRAKRTQRNFTKTIKYACRKTLADNRPRIRGRFARNDETGEIPKVACSTRDEDEEELWFDGLHEEEDEGAIRGSSGGFVDSFLQPQFQYYGTY >Potri.004G002500.6.v4.1 pep chromosome:Pop_tri_v4:4:157166:160130:-1 gene:Potri.004G002500.v4.1 transcript:Potri.004G002500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002500.v4.1 MNPNDPEENPEAFMYARGGMEDDVDFASGAFEGTNSEKQNIEGLKGHNAHSMSPSDHRKNARHNNLTSESGSEKSSSDHSLLQAQKTGLAVDGSTHPFDHEGHHRAASIPKFGAWDETDPRSGEGFTVIFNRVKEEKQIASTTFPSVPTQPVSLRNKGNSSSRSKVIKVLANSLHMINFITITYYIFCSMQQMY >Potri.004G002500.2.v4.1 pep chromosome:Pop_tri_v4:4:157166:160130:-1 gene:Potri.004G002500.v4.1 transcript:Potri.004G002500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002500.v4.1 MSQRSHVPKFGGWDKDNVPYTAYFDNARKGKSGVRMNPNDPEENPEAFMYARGGMEDDVDFASGAFEGTNSEKQNIEGLKGHNAHSMSPSDHRKNARHNNLTSESGSEKSSSDHSLLQAQKTGLAVDGSTHPFDHEGHHRAASIPKFGAWDETDPRSGEGFTVIFNRVKEEKQIASTTFPSVPTQPVSLRNKGNSSSRSKFCCCCFPKGEMND >Potri.004G002500.3.v4.1 pep chromosome:Pop_tri_v4:4:157166:160130:-1 gene:Potri.004G002500.v4.1 transcript:Potri.004G002500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002500.v4.1 MSQRSHVPKFGGWDKDNVPYTAYFDNARKGKSGVRMNPNDPEENPEAFMYARGGMEDDVDFASGAFEGTNSEKQNIEGLKGHNAHSMSPSDHRKNARHNNLTSESGSEKSSSDHSLLQAQKTGLAVDGSTHPFDHEGHHRAASIPKFGAWDETDPRSGEGFTVIFNRVKEEKQIASTTFPSVPTQPFCCCCFPKGEMND >Potri.004G002500.4.v4.1 pep chromosome:Pop_tri_v4:4:157166:160130:-1 gene:Potri.004G002500.v4.1 transcript:Potri.004G002500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002500.v4.1 MSQRSHVPKFGGWDKDNVPYTAYFDNARKGKSGVRMNPNDPEENPEAFMYARGGMEDDVDFASGAFEGTNSEKQNIEGLKGHNAHSMSPSDHRKNARHNNLTSESGSEKSSSDHSLLQAQKTGLAVDGSTHPFDHEGLNGDGSITGQHQFPNLEHGMKQTPGQGKALL >Potri.004G002500.5.v4.1 pep chromosome:Pop_tri_v4:4:157166:160130:-1 gene:Potri.004G002500.v4.1 transcript:Potri.004G002500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002500.v4.1 MSQRSHVPKFGGWDKDNVPYTAYFDNARKGKSGVRMNPNDPEENPEAFMYARGGMEDDVDFASGAFEGTNSEKQNIEGLKGHNAHSMSPSDHRKNARHNNLTSESGSEKSSSDHSLLQAQKTGLAVDGSTHPFDHEGHHRAASIPKFGAWDETDPRSGEGFTVIFNRVKEEKQIASTTFPSVPTQPFCCCCFPKGEMND >Potri.014G150200.1.v4.1 pep chromosome:Pop_tri_v4:14:10378468:10380895:1 gene:Potri.014G150200.v4.1 transcript:Potri.014G150200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150200.v4.1 MRASFYRNFLKRLYPPTTTKASNSIHRIRNIMSESDQSIGFDTPRLVTKKILAKLQHEGDGAVVRRGIGRSEQKFLDPFLMLDDFSVSPPAGFPDHPHRGFETVTYMLQGSITHQDFAGHKGTIHTGDVQWMTAGRGIIHSEMPAGEGAQKGLQLWINLSSQDKMIEPRYQELLSDDIKRAEKDGAEVRIIAGESMGVRSPVYTRTPTMYLDFTLKPRAQVHQNIPESWNGFVYVIEGEGVFGKPNSSSSTAHHVLVLGPGDGLSVWNRSSKPLRFVLIAGQPINEPVVQYGPFVMNTEAEIDQTIEDYHYSKNGFEMAKYWRSQ >Potri.017G071050.2.v4.1 pep chromosome:Pop_tri_v4:17:7713815:7714682:-1 gene:Potri.017G071050.v4.1 transcript:Potri.017G071050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071050.v4.1 MFLSTYLTTSLHQLLRRQAVIHCSSFLIHTVQPPSSPFLECLVAKDNSFLLPLAQAGLVDVTLLLRCCCFFFLLCKHFCRQQWNNYSKCFWNTVINQKKRKRKRLKSDSEK >Potri.017G071050.1.v4.1 pep chromosome:Pop_tri_v4:17:7713816:7714681:-1 gene:Potri.017G071050.v4.1 transcript:Potri.017G071050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071050.v4.1 MFWESEDLGFRFSIQTSLHQLLRRQAVIHCSSFLIHTVQPPSSPFLECLVAKDNSFLLPLAQAGLVDVTLLLRCCCFFFLLCKHFCRQQWNNYSKCFWNTVINQKKRKRKRLKSDSEK >Potri.007G060100.6.v4.1 pep chromosome:Pop_tri_v4:7:6403610:6413721:-1 gene:Potri.007G060100.v4.1 transcript:Potri.007G060100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060100.v4.1 MRDLNEKNSLYRRSSMLSKQPASSKSGSFVITVEGSQSERNIPGSNVTSWTKLVLDMYPPGTSIRNLKCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGRGNHCRFWWYIFEETALCLWTGILYITCLKANISRVWWKDAIMILLLVTLSIALIFLLLLLIFHSYLILTYQTTYELVRRRRIPYLRGIPERVYPFSKGVCRNLYDFCFARSSIYSLERLPTAMELEEKSRPYTCLDFLTGRCC >Potri.007G060100.2.v4.1 pep chromosome:Pop_tri_v4:7:6403610:6415164:-1 gene:Potri.007G060100.v4.1 transcript:Potri.007G060100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060100.v4.1 MSIFNFCYRIVPCLADPARRSSLGLKAALVVLHIVYAGTLFLFYSDLIEKTKEEPWYTAIYLLLFVAALIQYFVTSCSSPGYVLDAMRDLNEKNSLYRRSSMLSKQPASSKSGSFVITVEGSQSERNIPGSNVTSWTKLVLDMYPPGTSIRNLKCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGRGNHCRFWWYIFEETALCLWTGILYITCLKANISRVWWKDAIMILLLVTLSIALIFLLLLLIFHRGIPERVYPFSKGVCRNLYDFCFARSSIYSLERLPTAMELEEKSRPYTCLDFLTGRCC >Potri.007G060100.3.v4.1 pep chromosome:Pop_tri_v4:7:6403610:6415164:-1 gene:Potri.007G060100.v4.1 transcript:Potri.007G060100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060100.v4.1 MSIFNFCYRIVPCLADPARRSSLGLKAALVVLHIVYAGTLFLFYSDLIEKTKEEPWYTAIYLLLFVAALIQYFVTSCSSPGYVLDAMRDLNEKNSLYRRSSMLSKQPASSKSGSFVITVEGSQSERNIPGSNVTSWTKLVLDMYPPGTSIRKIVRDHAKYLPITNLSKFTPPRAKHCHDCDKCVLQFDHHCVWLGTCIGRGNHCRFWWYIFEETALCLWTGILYITCLKANISRVWWKDAIMILLLVTLSIALIFLLLLLIFHSYLILTYQTTYELVRRRRIPYLRGIPERVYPFSKGVCRNLYDFCFARSSIYSLERLPTAMELEEKSRPYTCLDFLTGRCC >Potri.007G060100.4.v4.1 pep chromosome:Pop_tri_v4:7:6403610:6415164:-1 gene:Potri.007G060100.v4.1 transcript:Potri.007G060100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060100.v4.1 MSIFNFCYRIVPCLADPARRSSLGLKAALVVLHIVYAGTLFLFYSDLIEKTKEEPWYTAIYLLLFVAALIQYFVTSCSSPGYVLDAMRDLNEKNSLYRRSSMLSKQPASSKSGSFVITVEGSQSERNIPGSNVTSWTKLVLDMYPPGTSIRKIVRDHAKYLPITNLSKFTPPRAKHCHDCDKCVLQFDHHCVWLGTCIGRGNHCRFWWYIFEETALCLWTGILYITCLKANISRVWWKDAIMILLLVTLSIALIFLLLLLIFHRGIPERVYPFSKGVCRNLYDFCFARSSIYSLERLPTAMELEEKSRPYTCLDFLTGRCC >Potri.007G060100.5.v4.1 pep chromosome:Pop_tri_v4:7:6405554:6415164:-1 gene:Potri.007G060100.v4.1 transcript:Potri.007G060100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060100.v4.1 MSIFNFCYRIVPCLADPARRSSLGLKAALVVLHIVYAGTLFLFYSDLIEKTKEEPWYTAIYLLLFVAALIQYFVTSCSSPGYVLDAMRDLNEKNSLYRRSSMLSKQPASSKSGSFVITVEGSQSERNIPGSNVTSWTKLVLDMYPPGTSIRNLKCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGRGNHCRFWWYIFEETALCLWTGILYITCLKANISRVWWKDAIMILLLVTLSIALIFLLLLLIFHRSRRSPVNKPPKLLPFQKHPELKFPCRIVLACKFDTQSFCRHSKKNQN >Potri.007G060100.1.v4.1 pep chromosome:Pop_tri_v4:7:6403610:6415164:-1 gene:Potri.007G060100.v4.1 transcript:Potri.007G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060100.v4.1 MSIFNFCYRIVPCLADPARRSSLGLKAALVVLHIVYAGTLFLFYSDLIEKTKEEPWYTAIYLLLFVAALIQYFVTSCSSPGYVLDAMRDLNEKNSLYRRSSMLSKQPASSKSGSFVITVEGSQSERNIPGSNVTSWTKLVLDMYPPGTSIRNLKCSYCNVEQPPRAKHCHDCDKCVLQFDHHCVWLGTCIGRGNHCRFWWYIFEETALCLWTGILYITCLKANISRVWWKDAIMILLLVTLSIALIFLLLLLIFHSYLILTYQTTYELVRRRRIPYLRGIPERVYPFSKGVCRNLYDFCFARSSIYSLERLPTAMELEEKSRPYTCLDFLTGRCC >Potri.003G041100.1.v4.1 pep chromosome:Pop_tri_v4:3:4739208:4741129:1 gene:Potri.003G041100.v4.1 transcript:Potri.003G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041100.v4.1 MATKNLIRAGASLMNRLIISKNPILHPNAVSTHQTLTPHLFPSSVSNFQPTDFLSITKLAVANQDFLHPSGLPSLEFFLPDGDSSTEPMLLFPKRTYQPSVIRRKRKHGFFARKATKGGRRVIARRIAKGRSRVTA >Potri.007G109100.7.v4.1 pep chromosome:Pop_tri_v4:7:13139904:13142185:1 gene:Potri.007G109100.v4.1 transcript:Potri.007G109100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109100.v4.1 MGRNSSLPPGFRFHPTDVELVKYYLKRKVLGKKFHFQAIAEIEINKYAPWDLPNKSCLRTRDLKWYFFCPTEKKYASGVRKKRATDIGYWKTTGKDRSVQYKNEVVGMIKTLVFHIGKPPRGERTDWVMYEYRLEEKELADKGIAQDEYVLCQIFKKDGPGPKNGAQYGAPFNEDEWDDGDDEVEKEVNAQPAILPALMDAPAFMLPNNPNMTIATSSCVPESMCTGPLISCPSQAPSTACTTLPRVSNDDVASTKALQFVHGGVNGGEADSFAGMSAPAFQQPCNPNSSILASSSSYVPENTCSAYIPSVGATQVEAPQIVDDNDDILSMLAVFYNDNPEVCLFVLLTQCI >Potri.007G109100.1.v4.1 pep chromosome:Pop_tri_v4:7:13138745:13142225:1 gene:Potri.007G109100.v4.1 transcript:Potri.007G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109100.v4.1 MGRNSSLPPGFRFHPTDVELVKYYLKRKVLGKKFHFQAIAEIEINKYAPWDLPNKSCLRTRDLKWYFFCPTEKKYASGVRKKRATDIGYWKTTGKDRSVQYKNEVVGMIKTLVFHIGKPPRGERTDWVMYEYRLEEKELADKGIAQDEYVLCQIFKKDGPGPKNGAQYGAPFNEDEWDDGDDEVEKEVNAQPAILPALMDAPAFMLPNNPNMTIATSSCVPESMCTGPLISCPSQAPSTACTTLPRVSNDDVASTKALQFVHGGVNGGEADSFAGMSAPAFQQPCNPNSSILASSSSYVPENTCSAYIPSVGATQVEAPQIVDDNDDILSMLAVFYNDNPESADFGGIAKPETDIFQNLGDLPTLEGGGDSIPISQYFMDPTLPGSADFGGIAKPGTDIFQNLGDLPTLEGGADFLELMDLETPLYHSWGK >Potri.001G240670.1.v4.1 pep chromosome:Pop_tri_v4:1:25828728:25831074:1 gene:Potri.001G240670.v4.1 transcript:Potri.001G240670.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240670.v4.1 MGEDEDLDIRAKMDTVRQELKEKEEELRGLEELNQALIFQERKINDELQEALKELINEIINEDDENLRILKRALEFQGEKEGNTE >Potri.014G143400.1.v4.1 pep chromosome:Pop_tri_v4:14:9792673:9798384:1 gene:Potri.014G143400.v4.1 transcript:Potri.014G143400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143400.v4.1 MAQLISPVCTDALKIKKPSNVFHISSRGKTALYHNNSRSVLNSKRRDFGRIRVAANSSASADAVADDYYAVLGLLPDATPEQIKKAYYSCMKACHPDLSGNDTDTTNFCMFINEVYAVLSDPLQRMIYDEIHGFALTAMNPFFDDSSPKDHAFVDEFSCIGCKNCANVAPEVFGIEEDFGRARVYSQCGNLQLVQQAIESCPVDCIHWTSAAQLSLLEDEMRRVERINVALMLSGMGSAGADVFRMACSRWEKRQAKILDQAKIRMMKQKDVDKKGSYWSDLWGNPKENQSSEEETKERARRAAAAARRWREYSRKGVDKPPAYKLPEAISNNEK >Potri.004G045400.1.v4.1 pep chromosome:Pop_tri_v4:4:3590500:3592717:1 gene:Potri.004G045400.v4.1 transcript:Potri.004G045400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045400.v4.1 MEKDKQSDISSAQAVLLGALAPGVNGPTWNTLKSAFLLLGLCLAMMLVLAFSSSDSSLVIHVGFLVTITATLFLLLSWFLSQTGLVSIEHQMREMDLVPEDQGAKQKET >Potri.002G073300.3.v4.1 pep chromosome:Pop_tri_v4:2:5150329:5152569:1 gene:Potri.002G073300.v4.1 transcript:Potri.002G073300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G073300.v4.1 MLNGETTSLKDVQILSSHFFTVAYCIQEGSLKLVYKETILVGQVDSLLAFTHVVFWPDFGSPPSFVSRKLLTVLSECGKTSSLIDDISIVNLYASGSSHSFPVSSGEEALLKVRKEVMNDRVHFVWTQFSELNSYFKKQAEDEGKLNGKLAEMISLLTCEKKSAHRKGMKCSLTSELKEIPTQMDAWVRCLYSTLPTNTMLIICTGHGDTAIVHRLRKILVEQKETAISLEKIVQVLEELQAQAEVALCFVGVKNRGHAR >Potri.001G291033.1.v4.1 pep chromosome:Pop_tri_v4:1:30320326:30321770:1 gene:Potri.001G291033.v4.1 transcript:Potri.001G291033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291033.v4.1 MNCMKGMIIELLQNSNSKISFFFLQKCSSCPRSQLTKHYILLEQWQKEHTEKLAVAPNDKKKQNVAGSLTEDSCFWMNVEEALISCKQLKDGSNVEKQSARERLNMFEQYVMDQINNYAVSPDIFLEKSSFMNWWKDFQEIIETSHDSPLRGFMKICRYRQYEKGKF >Potri.003G201500.5.v4.1 pep chromosome:Pop_tri_v4:3:20155539:20157412:-1 gene:Potri.003G201500.v4.1 transcript:Potri.003G201500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201500.v4.1 MRYLVLDCCEGDLQDDGGSLWDDSVEELDRESDLDREWQRRHDQFHTIGYRDGLIAGKEDSAQEGFNVGFKQSVLVGYNWGLVRGVTSVVACLPDDLKEKLIETQEKRNKFQGLYESVHSISTVDALKFFHDDILRKKAMEQRELEKASSDVARMNKDDSSCCSNLENYVGELQSLLLDSPTIKSHLSVKQLLYGTE >Potri.003G201500.1.v4.1 pep chromosome:Pop_tri_v4:3:20155516:20157523:-1 gene:Potri.003G201500.v4.1 transcript:Potri.003G201500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201500.v4.1 MEGSFAKELYSESLQLSNAELGSMPIGNGDLQDDGGSLWDDSVEELDRESDLDREWQRRHDQFHTIGYRDGLIAGKEDSAQEGFNVGFKQSVLVGYNWGLVRGVTSVVACLPDDLKEKLIETQEKRNKFQGLYESVHSISTVDALKFFHDDILRKKAMEQRELEKASSDVARMNKDDSSCCSNLENYVGELQSLLLDSPTIKSHLSVKQLLYGTE >Potri.003G201500.4.v4.1 pep chromosome:Pop_tri_v4:3:20155540:20157305:-1 gene:Potri.003G201500.v4.1 transcript:Potri.003G201500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201500.v4.1 MEGSFAKELYSESLQLSNAELGSMPIGNGDLQDDGGSLWDDSVEELDRESDLDREWQRRHDQFHTIGYRDGLIAGKEDSAQEGFNVGFKQSVLVGYNWGLVRGVTSVVACLPDDLKEKLIETQEKRNKFQGLYESVHSISTVDALKFFHDDILRKKAMEQRELEKASSDVARMNKDDSSCCSNLENYVGELQSLLLDSPTIKSHLSVKQLLYGTE >Potri.003G201500.3.v4.1 pep chromosome:Pop_tri_v4:3:20155540:20157519:-1 gene:Potri.003G201500.v4.1 transcript:Potri.003G201500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201500.v4.1 MEGSFAKELYSESLQLSNAELGSMPIGNDCCEGDLQDDGGSLWDDSVEELDRESDLDREWQRRHDQFHTIGYRDGLIAGKEDSAQEGFNVGFKQSVLVGYNWGLVRGVTSVVACLPDDLKEKLIETQEKRNKFQGLYESVHSISTVDALKFFHDDILRKKAMEQRELEKASSDVARMNKDDSSCCSNLENYVGELQSLLLDSPTIKSHLSVKQLLYGTE >Potri.006G122800.2.v4.1 pep chromosome:Pop_tri_v4:6:9753205:9760172:1 gene:Potri.006G122800.v4.1 transcript:Potri.006G122800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122800.v4.1 MAEPYITDEQDYEAMQLLEIGLELSESEYSEEDEDFIPVVELDSSPRFLLNSDQATTTTAAAAAENLNFQEEEVDVEEERNKRRRVEKLGSGGDICYRNGGDDAGGESSQNSQWNRSEFDGLFCPICMEAWTNEGDHHICCLPCGHLFGLSCIEKWLRQRGRLAKCPQCNRKGTLRDIRKLFVPRIAVVDEESQKRIRFLEEKCASLVKKDVDLCKKESEWKRREAALQLEVQKCKERTIYLEHLLGDLQSRPSGVATAYRGSQGHEFGNSIYSDFNRQGSSSCFMLQRELRVDGARLFDVDASGKILLLVRRVPMMGGSHVLTKMSLIPPHESEDIFLPPATKIIKDLHISPTNGSLALFASLGKKLSVLSMESNNVILSYDLPAAAWSCSWDLNCSHYVYAGLQNGSLLVFDMRITGSPVESRLGHTTDPIHTVQSLQHISTLPSGVKTVVSASSVGMCQWNFGGEEERPFLIPETTNQGVCVSLAYCASSDDIVVSYRPRVEMSNEVVSQTLFKPSLATGQGVLGSHLHLKRAGSNYEKLGIACSTVSGIRLPKSSIIDRQNQKPLFATGDEVSCGLILQELPSFTATQRLKSHQHFIYDVKYTSTHSQGLLGCLSEDTVQLFSTKLS >Potri.006G122800.4.v4.1 pep chromosome:Pop_tri_v4:6:9753156:9760198:1 gene:Potri.006G122800.v4.1 transcript:Potri.006G122800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122800.v4.1 MAEPYITDEQDYEAMQLLEIGLELSESEYSEEDEDFIPVVELDSSPRFLLNSDQATTTTAAAAAENLNFQEEEVDVEEERNKRRRVEKLGSGGDICYRNGGDDAGGESSQNSQWNRSEFDGLFCPICMEAWTNEGDHHICCLPCGHLFGLSCIEKWLRQRGRLAKCPQCNRKGTLRDIRKLFVPRIAVVDEESQKRIRFLEEKCASLVKKDVDLCKKESEWKRREAALQLEVQKCKERTIYLEHLLGDLQSRPSGVATAYRGSQGHEFGNSIYSDFNRQGSSSCFMLQRELRVDGARLFDVDASGKILLLVRRVPMMGGSHVLTKMSLIPPHESEDIFLPPATKIIKDLHISPTNGSLALFASLGKKLSVLSMESNNVILSYDLPAAAWSCSWDLNCSHYVYAGLQNGSLLVFDMRITGSPVESRLGHTTDPIHTVQSLQHISTLPSGVKTVVSASSVGMCQWNFGGEEERPFLIPETTNQGVCVSLAYCASSDDIVVSYRPRVEMSNEVVSQTLFKPSLATGQGVLGSHLHLKRAGSNYEKLGIACSTVSGIRLPKSSIIDRQNQKPLFATGDEVSCGLILQELPSFTATQRLKSHQHFIYDVKYTSTHSQGLLGCLSEDTVQLFSTKLS >Potri.006G122800.5.v4.1 pep chromosome:Pop_tri_v4:6:9753205:9760198:1 gene:Potri.006G122800.v4.1 transcript:Potri.006G122800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122800.v4.1 MEEMMLVERALKIVNGTGVNLMGFFALFVWKHGPTKATIISGAFYIYIYIYIYIYIYIYIYIYIYIYILCNFNFLQFLDFFNLLVFIYLFLFSCLPCGHLFGLSCIEKWLRQRGRLAKCPQCNRKGTLRDIRKLFVPRIAVVDEESQKRIRFLEEKCASLVKKDVDLCKKESEWKRREAALQLEVQKCKERTIYLEHLLGDLQSRPSGVATAYRGSQGHEFGNSIYSDFNRQGSSSCFMLQRELRVDGARLFDVDASGKILLLVRRVPMMGGSHVLTKMSLIPPHESEDIFLPPATKIIKDLHISPTNGSLALFASLGKKLSVLSMESNNVILSYDLPAAAWSCSWDLNCSHYVYAGLQNGSLLVFDMRITGSPVESRLGHTTDPIHTVQSLQHISTLPSGVKTVVSASSVGMCQWNFGGEEERPFLIPETTNQGVCVSLAYCASSDDIVVSYRPRVEMSNEVVSQTLFKPSLATGQGVLGSHLHLKRAGSNYEKLGIACSTVSGIRLPKSSIIDRQNQKPLFATGDEVSCGLILQELPSFTATQRLKSHQHFIYDVKYTSTHSQGLLGCLSEDTVQLFSTKLS >Potri.001G463000.1.v4.1 pep chromosome:Pop_tri_v4:1:48885896:48888748:1 gene:Potri.001G463000.v4.1 transcript:Potri.001G463000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463000.v4.1 MDKLFIITLLGLLILANGVAENMVFNNFAILEELESFEIDEENDVVLFDIPSWTSERGGKVLVNVDSFGAVGDGISDDTQAFVKAWETACATPESVFLVPSEHHYLVNATRFKGPCEDRFVIQIDGTIVAPDEPKNWDPDHARQWLEFSKLNGVLFQGNGVIDGSGSKWWASSCKKNKTNPCRGAPTALTIDSSSSVKVKGLTIKNSQQMHFVISKSASVRISQVSVSAPGDSPNTDGIHITQSTNVVLQDCKIGTGDDCISIVNGSSGIKMKRIYCGPGHGVSIGSLGKDNSTGIVAKVVLDTAFISETTNGVRIKTWQGGNGYVRGVRFENVRMDNVDNPIIIDQFYCDSPASCQNKTSAVKISEIMYRNISGTTKSEKAMTFACSDSVPCSNIVLSNVNLEMEDSTVETYCNSAEGFGYGIVHPSADCLTSHDKETELSQNYILKEETEIDELEDSNSDHIVHTEL >Potri.014G180700.1.v4.1 pep chromosome:Pop_tri_v4:14:14054201:14061422:-1 gene:Potri.014G180700.v4.1 transcript:Potri.014G180700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180700.v4.1 MDNTGANPQGIVVPPVEGVAGGGTAYGWNDGGGVHFSNSSPRGSIDPSEVLTSDLVHVWCLPSTANVGPQEIPSRHLEPINLLAARNERESVQIALRPKATWGGSGSAGVVQVQCSDLTSTSGDRLVVGQSITLRRVVSILGVPDALVPLDLPVSQINLAPGETTALWVSIDVPSAQPQGQYEGEFFITAIKAEAESPSQRLGKADRHQLYSELRNCLDIMEPVEGKPLDEVVERAKSVTTSLRRVLLSPVFSEFSTDNGPVDMMDEDAISNLTVRVKLNLTVWDFVLPATPSLPAVFGISDTVIEDRFGVEHGSDEWYEALDQHFKWLLHYRISPYFCRWGGNMRVLTYTCPWPADHPKSDEYFSDPRLAAYAVPYSQAVPGAAQDYLQKEIDILRTKSHWKKAYFYLWDEPLNLEQYDMVRSMASKIHTYAPDARVLTTYYCGPSDAPLGPTPFEAFVKVPKFLRPHTQIYCTSEWVLGDREDLAKEIVSELQPENGEEWWTYVCLGPSDPHPNWHIGMRGTQHRAVFWRVWKEGATGFLYWGANCYEKATVPSAEISFRRGLPPGDGVLYYPGEVFSSSHQPVASVRLERILSGLQDLEYLQLYTSRYGRGEGLALLEKTGMYLGPERYTLEHTPIDVMRGEIFNTCRS >Potri.011G096000.1.v4.1 pep chromosome:Pop_tri_v4:11:12400444:12407120:1 gene:Potri.011G096000.v4.1 transcript:Potri.011G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096000.v4.1 MGNKLGRRRQVVDEMYTRPQGLYAHKDVDHKKLRKLILESKLAPCFPGDEDSCYDHEECPICFLYYPSLNRSRCCMKCVCTECFLQMKNPNSTRPTQCPFCKTANYAVEYRGVKTKEEKGLEQIEEQRVIEAKIRMRQQELQDDKERTQKRSEVSSSSTSIAPGELECGPAAVPSDRAPVESEDIVSSQYSIRHPLHSGGNRDDEFDLDLEDIMLMEAIWLSIQENGRQKNPLCGDAAPSEQFIMEARYASPAKAPLAGSSSPSGGLACAIAALAERQQMGGESLVHNNVNMPSFNMLPSTSSFYNRHVQDADDYSPAQSSSNVLPDCRMIVTTDDGDWGADRGSDAAEAGTSYASSETAEDAGGISALLPPPPPDEIGGSFQNVYGAIESFEEQMMLATAISLAEARAMTSEPQSAWQ >Potri.015G070400.2.v4.1 pep chromosome:Pop_tri_v4:15:9594189:9594551:1 gene:Potri.015G070400.v4.1 transcript:Potri.015G070400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070400.v4.1 MKLKFTVLNIYENNFLSWILDVEIHLEAMNLGETIKEENNVFLQDRIKAMIFIHYHLYKGLKIEWQNLRERYDHQKFIILSQARYDWLHLRLQDFKSVSEYNSAPFKITS >Potri.006G202000.1.v4.1 pep chromosome:Pop_tri_v4:6:20940438:20942701:1 gene:Potri.006G202000.v4.1 transcript:Potri.006G202000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202000.v4.1 MAASTITSSPLHTKLSNLSFTHSPLPLPSHFSSKPLLKPLKPPQLNNHDSNSLSLLSLPHFHGIFAASSDSFESGTEENTTALDDPQSETDEFDQEIVEEEGDVEAIKATEEGKLYVGNLPYSMTSSELTEVFEEAGRVFSAEVIYDRVTDRSRGFGFVTMGSVEEAKKAIQMFNGTQFGGRSVRVNFPEVPRGGEREVMGPRIQSGYKGFIDSEHKIYAGNLGWRLTSEGLRDAFANQPGVLSAKVIYERDSRRSRGFGFVSFESAENAEAALEAMNGEEVEGRPMRLNLAGERSPPPSAKENNTENNLESGELLSSFGT >Potri.005G148400.1.v4.1 pep chromosome:Pop_tri_v4:5:12482437:12486932:-1 gene:Potri.005G148400.v4.1 transcript:Potri.005G148400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148400.v4.1 MKSMSNNNNGSNNNWLGFSLSPHMKMEAASDPQHHHQYHHQTQTHAPTADSVSAAVPTSFYLSTSLFNSSGICYGVGENGGFHSPLSVMPLKSDGSLCIMEALTRSQPEGMVSSPSPKLEDFLGGATMESHQYSSHEREAMALSLDSIYYQQSSEPETNRQHSLNLYEPYRQQDQQFSVQTHPYYSGIACQGLYQAPLEGETKGTQLADCNSPIPLMGDDELPCLKNWAARHYSSQNVLEQQINSGMVNDGGASCSASAVGCGDLQSLSLSMSPGSQSSCITAPRQISPAGTECVAIETKKRGPVKVGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARSYDLAALKYWGPSTHINFPLENYQEELEEMKNMGRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFNITRYDVDRIMASNTLLAGELARRNRDKESSIEAIDYNTSTQNNCVLYQSPQEQPNASGESLNQKSMSAGNYRSTSFSVAVQDLMGIDHSVNSSQPVVDESAKLGGTHLSNPSSLVTSLSSSREASPDKTGTPVLFAKPPRASKFIGPTTSVTPWIQAAAQLRPAGISMAHLPVFAAWNDT >Potri.010G045100.4.v4.1 pep chromosome:Pop_tri_v4:10:7705536:7711126:1 gene:Potri.010G045100.v4.1 transcript:Potri.010G045100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045100.v4.1 MAPRALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIAKAKHYLAMTTGGLGAYSDSRGMPGVRKEVADFIERRDGYPSDPELIFLTDGASKGVMQILSTIIRGESDGVLVPVPQYPLYSAAISLFGGSLVPYYLEETENWGLDVNDLRQSVAQARYKGITVKAMVIINPGNPTGQCLSEANLREILRFCYQENLALLGDEVYQQNIYQDERPFISSRKVLMGMGPPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKVASVSLSPNVPAQIFMGLMVNPLKPGNISYEQFIRESKGIIESLRRRARMMTDGFNSCKNVICNFTEGAMYSFPQIRLPPKAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMASFKKFNNEFMEQYDDRSGYSRL >Potri.010G045100.1.v4.1 pep chromosome:Pop_tri_v4:10:7705198:7711304:1 gene:Potri.010G045100.v4.1 transcript:Potri.010G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045100.v4.1 MAPRALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIAKAKHYLAMTTGGLGAYSDSRGMPGVRKEVADFIERRDGYPSDPELIFLTDGASKGVMQILSTIIRGESDGVLVPVPQYPLYSAAISLFGGSLVPYYLEETENWGLDVNDLRQSVAQARYKGITVKAMVIINPGNPTGQCLSEANLREILRFCYQENLALLGDEVYQQNIYQDERPFISSRKVLMGMGPPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKVASVSLSPNVPAQIFMGLMVNPLKPGNISYEQFIRESKGIIESLRRRARMMTDGFNSCKNVICNFTEGAMYSFPQIRLPPKAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMASFKKFNNEFMEQYDDRSGYSRL >Potri.010G045100.2.v4.1 pep chromosome:Pop_tri_v4:10:7705778:7711177:1 gene:Potri.010G045100.v4.1 transcript:Potri.010G045100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045100.v4.1 MAPRALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIAKAKHYLAMTTGGLGAYSDSRGMPGVRKEVADFIERRDGYPSDPELIFLTDGASKGVMQILSTIIRGESDGVLVPVPQYPLYSAAISLFGGSLVPYYLEETENWGLDVNDLRQSVAQARYKGITVKAMVIINPGNPTGQCLSEANLREILRFCYQENLALLGDEVYQQNIYQDERPFISSRKVLMGMGPPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKVASVSLSPNVPAQIFMGLMVNPLKPGNISYEQFIRESKGIIESLRRRARMMTDGFNSCKNVICNFTEGAMYSFPQIRLPPKAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMASFKKFNNEFMEQYDDRSGYSRL >Potri.010G045100.3.v4.1 pep chromosome:Pop_tri_v4:10:7705778:7711142:1 gene:Potri.010G045100.v4.1 transcript:Potri.010G045100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045100.v4.1 MAPRALDYESLNENVKKVQYAVRGELYLRASELQKEGKKIIFTNVGNPHALGQKPLTFPRQVVALCQAPFLLDDPNVGLLFPADAIAKAKHYLAMTTGGLGAYSDSRGMPGVRKEVADFIERRDGYPSDPELIFLTDGASKGVMQILSTIIRGESDGVLVPVPQYPLYSAAISLFGGSLVPYYLEETENWGLDVNDLRQSVAQARYKGITVKAMVIINPGNPTGQCLSEANLREILRFCYQENLALLGDEVYQQNIYQDERPFISSRKVLMGMGPPISKEVQLVSFHTVSKGYWGECGQRGGYFEMTNIPPKTVDEIYKVASVSLSPNVPAQIFMGLMVNPLKPGNISYEQFIRESKGIIESLRRRARMMTDGFNSCKNVICNFTEGAMYSFPQIRLPPKAIEAAKKAGKVPDVFYCLKLLEATGISTVPGSGFGQKEGVFHLRTTILPAEEEMPEIMASFKKFNNEFMEQYDDRSGYSRL >Potri.001G193400.1.v4.1 pep chromosome:Pop_tri_v4:1:17883367:17890269:-1 gene:Potri.001G193400.v4.1 transcript:Potri.001G193400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193400.v4.1 MLCDSFITAVTSSTATTMPAAAAAASSHVLPIRYDHDQHRRWRRRNGTSIVSASASPLSINQMNMDSSSRRLKNYQEVVKSARDKFTQEISFQSKDKDISLAKALLYIAAEDEAFISLNQEMDACSLLNERRDVSAALNSQEWDCVEQMPLAGKTTSQWVSELDNITKDVEAELVSRDIGCHLVELLDAVNLVLFELRGFKRSPVVVDSKYSYLHTVLSTRCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQMGNPEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTGNSLLGLEIATKRDIIGIALANLIRLHWKRASRSNPGLMLTSPLRRAPNTDEKLNRIHNSSIPLLRPHDLRLAIMASERSLILQPHNWALRRDHGMMLYYNRKYGQAVQELSICMAFAPEEEAKVLEAFVEKLHLLRLESSWKSLGHTGQLTVP >Potri.001G193400.7.v4.1 pep chromosome:Pop_tri_v4:1:17884801:17885176:-1 gene:Potri.001G193400.v4.1 transcript:Potri.001G193400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193400.v4.1 MHTMLNYRILYRLAIMASERSLILQPHNWALRRDHGMMLYYNRKYGQAVQELSICMAFAPEEEAKVLEAFVEKLHLLRLESSWKSLGHTGQLTVP >Potri.001G193400.6.v4.1 pep chromosome:Pop_tri_v4:1:17884615:17889987:-1 gene:Potri.001G193400.v4.1 transcript:Potri.001G193400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193400.v4.1 MDACSLLNERRDVSAALNSQEWDCVEQMPLAGKTTSQWVSELDNITKDVEAELVSRDIGCHLVELLDAVNLVLFELRGFKRSPVVVDSKYSYLHTVLSTRCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQMGNPEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTGNSLLGLEIATKRDIIGIALANLIRLHWKRASRSNPGLMLTSPLRRAPNTDEKLNRIHNSSIPLLRPHDLRLAIMASERSLILQPHNWALRRDHGMMLYYNRKYGQAVQELSICMAFAPEEEAKVLEAFVEKLHLLRLESSWKSLGHTGQLTVP >Potri.001G193400.3.v4.1 pep chromosome:Pop_tri_v4:1:17884625:17890213:-1 gene:Potri.001G193400.v4.1 transcript:Potri.001G193400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193400.v4.1 MDACSLLNERRDVSAALNSQEWDCVEQMPLAGKTTSQWVSELDNITKDVEAELVSRDIGCHLVELLDAVNLVLFELRGFKRSPVVVDSKYSYLHTVLSTRCGSAILLSIIYIEVCRRLGLTIVGSRVGEDFLIWPQMGNPEELFKVTSGHSLFAIVNGRCVEDPRSKASDLTGNSLLGLEIATKRDIIGIALANLIRLHWKRASRSNPGLMLTSPLRRAPNTDEKLNRIHNSSIPLLRPHDLRLAIMASERSLILQPHNWALRRDHGMMLYYNRKYGQAVQELSICMAFAPEEEAKVLEAFVEKLHLLRLESSWKSLGHTGQLTVP >Potri.014G034900.1.v4.1 pep chromosome:Pop_tri_v4:14:2197614:2199026:1 gene:Potri.014G034900.v4.1 transcript:Potri.014G034900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034900.v4.1 MLKLKVAIPAPGMDFDFNEARPLPFLSAPSTPKRFGDYTLSAPTSPSRAADFYSYFDSLIDSNEEGTGVPFHWEDRPGTPKSPRANFNTNGEDDFAFDFSAELENKSLSADELFDEGKIRSLKLPPRLQVDDSTQRSPLSSPRSPIHKGKKMIREAFSPRKKKDSDPFATAVENTRKRTDKNERGRERERGSGLTSSSSRRASRSLSPYRVSEYPWEQDKQLQKFTNQSAPNPKASVPSNSSSSKSSSKKWRLRDFFLFRSASEGHAHDKDYLRKYSGSFKKHEDGKDPSFRSTDSSGSVSSKRKVPVSAHELHYTVNKAVSENMKKKTFLPYKQGIFGRLAFNPAAHALANGFGNITR >Potri.008G218433.1.v4.1 pep chromosome:Pop_tri_v4:8:17922075:17922579:-1 gene:Potri.008G218433.v4.1 transcript:Potri.008G218433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G218433.v4.1 MARQADRLIKIGLEGFAAIDESFGRAKRPPVLKIPNPHPPSQIPVTKVIDSDEAAQLYGGRGYINYRKGKPVPF >Potri.001G054100.1.v4.1 pep chromosome:Pop_tri_v4:1:4089223:4090463:-1 gene:Potri.001G054100.v4.1 transcript:Potri.001G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054100.v4.1 MECTCIQNLHPQYPRLPVYLYTHIEMFKEPSASILLALLIALAQYPAAICAAVDPVAATGEEPILELYMHDILGGSNPTARPITGLLGNIYSGQVPFARPIGFVPPNGVAIPNANGVIPTVNGINGIPLGTGLAGTTFAGQPNGQNLNGNPQTQLGPDGLGLGFGTITVIDDILTSSPELGSQSVGKAQGVYVASSADGSTQMMAFTAMFEGGEYGDSLNFYGMYKIGSTMSRLSVTGGTGKFKNARGFAEVRSLIPSGQHFIDGAETLLRFTVHLSY >Potri.008G021600.1.v4.1 pep chromosome:Pop_tri_v4:8:1066288:1070936:-1 gene:Potri.008G021600.v4.1 transcript:Potri.008G021600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021600.v4.1 MAGRIQTMILTPRPTQTPSFRHRQLNFPATRTTAYCTRSVLFNRSRSISSPVRIRANQRPDQIDDNGSGGAKTLGKSLLNFADNNFLPLALIGGVVSGLANPTLGCLADKYYLSKFSTFAIFITSGLTLRNGEIGDAIEAWPVGVLGICSILLFTPFLSKIILQIQLQPQEFVTGLAIFCCMPTTLSSGVALTQLAGGNSALALVMTVVSNLLGILIVPFSISRFIAAGIGVSVPTKELFRSMVITLLIPLILGKIFRESFKGLADYVDRNRKLFSKMNAVFLSLAPFIQVSRSRSMLLMVKPSVFLVAVGMGVLLHLILLSFNVLAIQGFSTVSGSNQLASAKKKNATAYVLVASQKTLPVMVAVVEQLGGAFGESGLLVLPCVAAHLNQIIMDSFLVNFWLRKDLASKSAKVA >Potri.002G228101.1.v4.1 pep chromosome:Pop_tri_v4:2:21756037:21756525:1 gene:Potri.002G228101.v4.1 transcript:Potri.002G228101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228101.v4.1 MDNTLAETKWTTDTGASNHMTGQSGMLTNLRKYKGADSIIIGNGSSIPILGIGDTQIKQQKIALPLRDVLLVPTLTKNLLSVSQLTKQFPVNCEFSNVDFYVKERKTGQPVITGTRKGDLYVLPTSPKLYFSTRFRTGSAEVWHQRLGHPQFLALQLLKIRA >Potri.012G128300.3.v4.1 pep chromosome:Pop_tri_v4:12:14409877:14411881:1 gene:Potri.012G128300.v4.1 transcript:Potri.012G128300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128300.v4.1 MAAAYGAMKAQKPGLEETQEQIHKIRITLSSKDVKNLEKVCTDLVRGAKDKRLRVKGPVRIPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSADVVKQITSITIEPGVEVEVTIAD >Potri.012G128300.1.v4.1 pep chromosome:Pop_tri_v4:12:14409850:14412029:1 gene:Potri.012G128300.v4.1 transcript:Potri.012G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128300.v4.1 MAAAYGAMKAQKPGLEETQEQIHKIRITLSSKDVKNLEKVCTDLVRGAKDKRLRVKGPVRIPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSADVVKQITSITIEPGVEVEVTIAD >Potri.006G006100.1.v4.1 pep chromosome:Pop_tri_v4:6:430526:434201:-1 gene:Potri.006G006100.v4.1 transcript:Potri.006G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006100.v4.1 MGEEKKEEEKKEEAKEEEKKEEKKEEEPPEIVLKVDMHCEACARKVARALKGFEGVEEVSTDSKASKVVVKGKAADPSKVCERLQKKSGRKVELISPLPKPPEEKKEEAKDPPKEEEKKDEPPPVVTVVLNVRMHCEACAQVLQKRVRKIQGVESVETNLANDQVIVKGVVDPSKLVDDVYKKTRKQASIVKDEEKKEEEKKEEKKEEKEGEKKDGEEAKAEDDKNLDIKRSEYWPSKYYSEFAYAPQIFSDENPNACSVM >Potri.001G367000.1.v4.1 pep chromosome:Pop_tri_v4:1:38326048:38329954:1 gene:Potri.001G367000.v4.1 transcript:Potri.001G367000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367000.v4.1 MASVRTTGAFVAAISMSIVLFSYFLSNYRSKRKTPSPLSKNKKPRNGLIHAVGNTPLIRINSLSEATGCEILGKCEFLNPGGSVKDRVAVKIIEEALESGQLVCGGVVTEGSAGSTAISLATVAPAYGCKCHVIIPDDVAIEKSQILEALGATVERVRPVSITHRDHYVNIARRRALEANELASKLRKTEKIDGKVLEQINGCISDGEKKGSIFSSYCSGGFFADQFENLANFRAHYQGTGPEIWEQSGCSLDSFVAAAGTGGTVAGISSFLQEKNPNIKCFLIDPPGSGLFNKVTRGVMYTREEAEGKRLKNPFDTITEGIGINRLTQNFKMAKLDGAYRGTDKEAVEMSRYLLKNDGLFLGSSSAMNCVGAVRVAQSLGPGHTIVTILCDSGMRHLSKFHDAQYLSEHGLTPTATGLEFLGI >Potri.008G114900.4.v4.1 pep chromosome:Pop_tri_v4:8:7329866:7336157:1 gene:Potri.008G114900.v4.1 transcript:Potri.008G114900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114900.v4.1 MAAGENSMNTASTSRSNSTADSYIGSLISLTSKSEIRYEGILYNINTEESSIGLKNVRSFGTEGRKKDGPQILPSDKVYEYILFRGSDIKDLQVKSSPPVQSFPPINNDPAIIQSHYPRPVATSTSLPSAVSGSLTDLGSNNGPGGLPGSNFQGGLPLYQPGGSLGAWGVSPPPPNANGNGLAMPMYWQSYYGPPNGIPHLHQSLLRPPPGLAMPPSMQQPMQYPNFNTSLPTGALNLASSTLPPLNLPASTLPPLNLPASTLPPSNLLVSTLPASLPDVPLPLFPGITSSLNFTSHSSVPSTLPSTVPLIPAASLPSETLPSLIPNKVTISALPTTNLGVTFPVLSPVSTSSSDLNTIVPPISNKPSSISGPTMPYQSVTQSASSAVLASNSLRTETPTPTPSLVTPDQLLQSGPTIVPSPQPVQTAHKDVEVVKVSPAAAAAAPSPEPSVPVATQAQPPILPLPVPSRASHKPNGATFHARHGYRGRERGRGSGSSRPVTKFTEDFDFIAMNEKFKKDEVWGHLGKNNKSHSKDREDGNASGEDDSQDEDENELAKIEVKPVYNKDDFFDTISCNALGNDSQNGRTRFSEQMKLDTETFGDFTRYRGGRGGRGPPRGGRSRGSYYGRGYGYGYVGRGRGRGAPNHVS >Potri.008G114900.1.v4.1 pep chromosome:Pop_tri_v4:8:7329927:7336129:1 gene:Potri.008G114900.v4.1 transcript:Potri.008G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114900.v4.1 MAAGENSMNTASTSRSNSTADSYIGSLISLTSKSEIRYEGILYNINTEESSIGLKNVRSFGTEGRKKDGPQILPSDKVYEYILFRGSDIKDLQVKSSPPVQSFPPINNDPAIIQSHYPRPVATSTSLPSAVSGSLTDLGSNNGPGGLPGSNFQGGLPLYQPGGSLGAWGVSPPPPNANGNGLAMPMYWQSYYGPPNGIPHLHQSLLRPPPGLAMPPSMQQPMQYPNFNTSLPTGALNLASSTLPPLNLPASTLPPLNLPASTLPPSNLLVSTLPASLPDVPLPLFPGITSSLNFTSHSSVPSTLPSTVPLIPAASLPSETLPSLIPNKVTISALPTTNLGVTFPVLSPVSTSSSDLNTIVPPISNKPSSISGPTMPYQSVTQSASSAVLASNSLRTETPTPTPSLVTPDQLLQSGPTIVPSPQPVQTAHKDVEVVKVSPAAAAAAPSPEPSVPVATQAQPPILPLPVPSRASHKPNGATFHARHGYRGRERGRGSGSSRPVTKFTEDFDFIAMNEKFKKDEVWGHLGKNNKSHSKDREDGNASGEDDSQDEDENELAKIEPVYNKDDFFDTISCNALGNDSQNGRTRFSEQMKLDTETFGDFTRYRGGRGGRGPPRGGRSRGSYYGRGYGYGYVGRGRGRGAPNHVS >Potri.008G114900.5.v4.1 pep chromosome:Pop_tri_v4:8:7329950:7334983:1 gene:Potri.008G114900.v4.1 transcript:Potri.008G114900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114900.v4.1 MAAGENSMNTASTSRSNSTADSYIGSLISLTSKSEIRYEGILYNINTEESSIGLKNVRSFGTEGRKKDGPQILPSDKVYEYILFRGSDIKDLQVKSSPPVQSFPPINNDPAIIQSHYPRPVATSTSLPSAVSGSLTDLGSNNGPGGLPGSNFQGGLPLYQPGGSLGAWGVSPPPPNANGNGLAMPMYWQSYYGPPNGIPHLHQSLLRPPPGLAMPPSMQQPMQYPNFNTSLPTGALNLASSTLPPLNLPASTLPPLNLPASTLPPSNLLVSTLPASLPDVPLPLFPGITSSLNFTSHSSVPSTLPSTVPLIPAASLPSETLPSLIPNKVTISALPTTNLGVTFPVLSPVSTSSSDLNTIVPPISNKPSSISGPTMPYQSVTQSASSAVLASNSLRTETPTPTPSLVTPDQLLQSGPTIVPSPQPVQTAHKDVEVVKVSPAAAAAAPSPEPSVPVATQAQPPILPLPVPSRASHKPNGATFHARHGYRGRERGRGSGSSRPVTKFTEDFDFIAMNEKFKKDEVWGHLGKNNKSHSKDREDGNASGEDDSQDEDENELAKIEPVYNKDDFFDTISCNALGNDSQNGRTRFSEQMKLDTETFGDFTRYRGGRGGRGPPRGGRSRGSYYGRGYGYGYVGRGRGRGAPNHVS >Potri.004G046000.1.v4.1 pep chromosome:Pop_tri_v4:4:3628733:3629856:1 gene:Potri.004G046000.v4.1 transcript:Potri.004G046000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046000.v4.1 MLNLAKAFFPQPPSLFPISSPKVSRVCFTTSASKYNEGRHAAEDDRDRAADYAKRAKETASETFDKTKERAVKAKESSNEMKEKAKGSAEEMKEMAKGSAEETNESARDMAQSAAEKVKEGNYKAAATAERTEEKVKEYAYEAKEKAKEGTERAAGTAGVAGDMAKEGAGKVVETVEIVGEMAKETVKGAWGAAEETTQKIKETAVGKDDDDNDDYKREKSLEYRRRAASGE >Potri.017G139650.1.v4.1 pep chromosome:Pop_tri_v4:17:14068085:14071024:1 gene:Potri.017G139650.v4.1 transcript:Potri.017G139650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139650.v4.1 MLQNIALLFLTNRYKLLHPQPYTPPLISNLRNLGRVLPWLVVCKFKPDNVFLLDPCWIFELSLCHTLGGAQKGIVWQ >Potri.001G355100.1.v4.1 pep chromosome:Pop_tri_v4:1:36880605:36888490:-1 gene:Potri.001G355100.v4.1 transcript:Potri.001G355100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355100.v4.1 MEPATLGKSLLVPCVQELAKESPATVPTRYLRLDQDPPIINNNEVASLPQIPVIDMRRLVSEEQMDLELDKMDRACKEWGFFQLINHGVDDSLVNKVKEGIQELFNLPMEEKSKYWQRPEEMEGFGQAFVVSEEQKLDWGDIFYMITLPKHARKPHLFPMLPQPLRDTLEAYSAELKNLAMKILYLMAKALRMKPDEIKDMFEEGSQMMRMNYYPPCPQPELVMGLNSHSDAIGLTILLQVSEVEGLQIRKSGKWIPVQPLPNAFVINIGDMLEIVTNGIYRSTEHRATVNSEKERISVATFYSLNLDGELGPAPSLVTPETPAAYRRMIVADFLKGFLSRKLVGKSYVDVMRIQNGGDKDN >Potri.017G090300.5.v4.1 pep chromosome:Pop_tri_v4:17:10346155:10349044:1 gene:Potri.017G090300.v4.1 transcript:Potri.017G090300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090300.v4.1 MILTKQYRCVHSSSCQCIKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDFAKRVLWKAFCRARAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNNVHIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGDEGDVGFFRGIFKSFSMSKVRKMLIKRGAQLHPTEVCPYCKTKLWSMQQAEMIPQSASCRLGAYDDCIEYYVCLNGHVLGICTLLPLSDSEEVSELE >Potri.017G090300.6.v4.1 pep chromosome:Pop_tri_v4:17:10346104:10349069:1 gene:Potri.017G090300.v4.1 transcript:Potri.017G090300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090300.v4.1 MILTKQYRCVHSSSCQCIKGHLSEDVIFLVFQQLNWNPKLIATLSCVCKWFDDFAKRVLWKAFCRARAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNNVHIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGDEGDVGFFRGIFKSFSMSKVRKMLIKRGAQLHPTEVCPYCKTKLWSMQQAEMIPQSASCRLGAYDDCIEYYVCLNGHVLGICTLLPLSDSEEVSELE >Potri.012G075932.2.v4.1 pep chromosome:Pop_tri_v4:12:9927418:9937279:-1 gene:Potri.012G075932.v4.1 transcript:Potri.012G075932.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075932.v4.1 MDSPPVAAVSLVLLASFLLFFSFAQSSTIGVGYISKILEIQDRERALPSVQVAAARGVLQRLLPSHSSSFEFRIVSKEQCGGESCFIIKNHPSFTRRGAPQILISGVTGVEVLAGLHWYLKYWCGSHISWDKTGGVQLNSIPKLGSLPRLQDDSILVQRPVPWNYYQNAVTSSYSFAWWDWKRWEKEIDWMALQGINLPLAFTGQEAIWQKVFQKFNISKEDLDDFFGGPAFLAWSRMANLHRWGGPLPQSWFDQQLVLQKKILARMYELGMTPVLPAFSGNVPAALRNIFPSAKITRLGNWFSVRSDVRWCCTYLLDATDPLFIEIGRAFIEQQLTEYGSTSHIYNCDTFDENTPPVDDPEYISSLGGSIFEGMQSGDSNAVWLMQGWLFSYDPFWRPPQTKALLHSVPIGRLVVLDLFAEVKPIWNTSEQFYGVPYIWCMLHNFAGNLEMYGYLDSVASGPVEARTSENSTMVGVGMSMEGIEQNPVVYDLMSEMAFQKNKVDVKEWIDLYSARRYGRSVPTIQNAWNILYHTVYNCTDGAYDKNRDVIVAFPDVNPNLVSMLQGRHHTDVKLVSRRAALIKNTDSYEHPHLWYSTTEVVRALELFIAGGDELSGSSTYSYDLVDLTRQVLAKYANELFLKVIEAYRLKDSHGVAHQSQMFLDLVEDIDTLLACHEGFLLGPWLESAKQLAQDEEQQIQFEWNARTQITMWYDNTEVEASLLRDYGNKYWSGLLKDYYGPRAAIYFNFLTQSLENGHGFQLKAWRREWIKLTNKWQKSRKIFPVESNGNALNISRWLYHKYLGNPDTYDH >Potri.018G007600.1.v4.1 pep chromosome:Pop_tri_v4:18:567404:567965:1 gene:Potri.018G007600.v4.1 transcript:Potri.018G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007600.v4.1 MSPSNKLMALGLCMLMACTLFAGNAEATRSINYGAIVKGDHEPFCGPKHPCVKTPANRYSRGCETFYRCHGWWDR >Potri.009G024700.1.v4.1 pep chromosome:Pop_tri_v4:9:3650735:3651696:-1 gene:Potri.009G024700.v4.1 transcript:Potri.009G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024700.v4.1 MGSDRGNNIPSKPRYDITMSRRTRKPLMNLKETNQNPTTGAPREGNAAGDVGHDRGADSVLTKECGHEEVESRKSSLKQLIMKNAGNEESEVSRLLVGETRVGSDNENIESKLLLGGKNSLGQHFKGEEKQQLQIVVTRKQVKEGMEGLKLKGMVGRYVKMVSHLIRVKRDTHIHNGSRKKPLLRLPM >Potri.010G213800.4.v4.1 pep chromosome:Pop_tri_v4:10:20181427:20188311:-1 gene:Potri.010G213800.v4.1 transcript:Potri.010G213800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213800.v4.1 MPVSGNEEAGAKPLAWQSSLNIAGVPIKKRRFIRPPSPPQEEQSVPLVEKDSVQKEPGRTFVESTLSNASVTASSDLCNPCEDSVPEENKNRLDGIVLMNIANCSVVKVQELNQTIQSDSLAEFGKEEKLVVAEKSGKAQLISAKNELNIEDSKGKEIHSQQISEGKCKSETPIVSETSQFSLGLKEHDVLSFECYSNVGSQNHENVGAVSSNLSLSKGETGIQHKMYNILATGSTDLRTNRSNWDLNTTADTWDGSTSDEHAAQVTADAWNRVGVIHDITTGVIGTGIAKERQLLDGSECRSSFPQTFSECAKECTSEDSLHLRLSPSFPSINLSKESSSSSANKESRVIPNTSLPGVLLSAGNATMDSSRTIKSEPFDGSLEHDLRGAKVNPFDFFVKRELVEKGSPETSKSSAFISLKLAGQGFIKPEPFPDGKPEIPRMIEGVSIQPDKQVLQGQDTGGQAPCSTSKQVLQGQDTGEPSCSTNDWAREGQDILAKPTCSTGLSISGNASECLEHTTCAEGVLLRKEIVKEACESAGQVSSEMVCIPVGHSGNELNASVMIDTAITEGRNVDIPEQCELNFTEEVSARSHGNGEGSVTDEEKINLSGDMLEEDTYGSGYESDGHSMAMDIEEEHREHEYEDGEVRDLHLQAVTECQKFEGKDVSHGNCGNSEHEKVNSELAGDDHHISSHVEENDSKIKVSENNEDTVKECITTTTEDADNAIMKKSSTVEIPSCGEDQERATTIIQIKSLDTSGQKDDLMGQGADLSPGQDITGGQETLVSIEQGSDKNIKTIDVEKNELPEVEASLNGRDMAKDVSSGRSRIINLSRASNSSSPGKTRSISGRTLPSHRERLPDELLEGGKLHPRGRDENYIDGPRRFSRERHQEHFPRNSRMNFVCGRGRMSSRIDTLRGDRDSERDYASEFYGSSDFAVRRHKYASAVGEADSSVNYNTGPDGAFVGTARGGRKLLDDETPVFRHVPSRRRSPRGRYGPAVRGTQMLHRVPRNVGEDGSEVTGVRHAENMRGFPDDSTDQAFTRPQPSYEGLDGHFVQGTRNYSSVQRRTPPQIRSKSPIRSRSPCPWSSARRRSPDGFGATSEFSSRRSPIYRIGRVRSPDHPGFPREMVVRRNGSPPFLSRPNDTREMDLGRDHGHPRSIISNRDQTGRVLLRNGRRFGITDLRERRDGDEFFGGPMHSGRFQELGGDGNVEDRRRFSERRGPVRTFKPFNGADGENFRLNPVDGPRPLRFFPEDDPEFHERANLREREFDGRIKNCPGNAPRRPRSIEERAGNYRHGGHVLCDDGFDDISRMKRKRF >Potri.010G213800.7.v4.1 pep chromosome:Pop_tri_v4:10:20182603:20188309:-1 gene:Potri.010G213800.v4.1 transcript:Potri.010G213800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213800.v4.1 MNIANCSVVKVQELNQTIQSDSLAEFGKEEKLVVAEKSGKAQLISAKNELNIEDSKGKEIHSQQISEGKCKSETPIVSETSQFSLGLKEHDVLSFECYSNVGSQNHENVGAVSSNLSLSKGETGIQHKMYNILATGSTDLRTNRSNWDLNTTADTWDGSTSDEHAAQVTADAWNRVGVIHDITTGVIGTGIAKERQLLDGSECRSSFPQTFSECAKECTSEDSLHLRLSPSFPSINLSKESSSSSANKESRVIPNTSLPGVLLSAGNATMDSSRTIKSEPFDGSLEHDLRGAKVNPFDFFVKRELVEKGSPETSKSSAFISLKLAGQGFIKPEPFPDGKPEIPRMIEGVSIQPDKQVLQGQDTGGQAPCSTSKQVLQGQDTGEPSCSTNDWAREGQDILAKPTCSTGLSISGNASECLEHTTCAEGVLLRKEIVKEACESAGQVSSEMVCIPVGHSGNELNASVMIDTAITEGRNVDIPEQCELNFTEEVSARSHGNGEGSVTDEEKINLSGDMLEEDTYGSGYESDGHSMAMDIEEEHREHEYEDGEVRDLHLQAVTECQKFEGKDVSHGNCGNSEHEKVNSELAGDDHHISSHVEENDSKIKVSENNEDTVKECITTTTEDADNAIMKKSSTVEIPSCGEDQERATTIIQIKSLDTSGQKDDLMGQGADLSPGQDITGGQETLVSIEQGSDKNIKTIDVEKNELPEVEASLNGRDMAKDVSSGRSRIINLSRASNSSSPGKTRSISGRTLPSHRERLPDELLEGGKLHPRGRFSRERHQEHFPRNSRMNFVCGRGRMSSRIDTLRGDRDSERDYASEFYGSSDFAVRRHKYASAVGEADSSVNYNTGPDGAFVGTARGGRKLLDDETPVFRHVPSRRRSPRGRYGPAVRGTQMLHRVPRNVGEDGSEVTGVRHAENMRGFPDDSTDQAFTRPQPSYEGLDGHFVQGTRNYSSVQRRTPPQIRSKSPIRSRSPCPWSSARRRSPDGFGATSEFSSRRSPIYRIGRVRSPDHPGFPREMVVRRNGSPPFLSRPNDTREMDLGRDHGHPRSIISNRDQTGRVLLRNGRRFGITDLRERRDGDEFFGGPMHSGRFQELGGDGNVEDRRRFSERRGPVRTFKPFNGADGENFRLNPVDGPRPLRFFPEDDPEFHERANLREREFDGRIKNCPGNAPRRPRSIEERAGNYRHGGHVLCDDGFDDISRMKRKRF >Potri.010G213800.5.v4.1 pep chromosome:Pop_tri_v4:10:20181508:20188311:-1 gene:Potri.010G213800.v4.1 transcript:Potri.010G213800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213800.v4.1 MNIANCSVVKVQELNQTIQSDSLAEFGKEEKLVVAEKSGKAQLISAKNELNIEDSKGKEIHSQQISEGKCKSETPIVSETSQFSLGLKEHDVLSFECYSNVGSQNHENVGAVSSNLSLSKGETGIQHKMYNILATGSTDLRTNRSNWDLNTTADTWDGSTSDEHAAQVTADAWNRVGVIHDITTGVIGTGIAKERQLLDGSECRSSFPQTFSECAKECTSEDSLHLRLSPSFPSINLSKESSSSSANKESRVIPNTSLPGVLLSAGNATMDSSRTIKSEPFDGSLEHDLRGAKVNPFDFFVKRELVEKGSPETSKSSAFISLKLAGQGFIKPEPFPDGKPEIPRMIEGVSIQPDKQVLQGQDTGGQAPCSTSKQVLQGQDTGEPSCSTNDWAREGQDILAKPTCSTGLSISGNASECLEHTTCAEGVLLRKEIVKEACESAGQVSSEMVCIPVGHSGNELNASVMIDTAITEGRNVDIPEQCELNFTEEVSARSHGNGEGSVTDEEKINLSGDMLEEDTYGSGYESDGHSMAMDIEEEHREHEYEDGEVRDLHLQAVTECQKFEGKDVSHGNCGNSEHEKVNSELAGDDHHISSHVEENDSKIKVSENNEDTVKECITTTTEDADNAIMKKSSTVEIPSCGEDQERATTIIQIKSLDTSGQKDDLMGQGADLSPGQDITGGQETLVSIEQGSDKNIKTIDVEKNELPEVEASLNGRDMAKDVSSGRSRIINLSRASNSSSPGKTRSISGRTLPSHRERLPDELLEGGKLHPRGRDENYIDGPRRFSRERHQEHFPRNSRMNFVCGRGRMSSRIDTLRGDRDSERDYASEFYGSSDFAVRRHKYASAVGEADSSVNYNTGPDGAFVGTARGGRKLLDDETPVFRHVPSRRRSPRGRYGPAVRGTQMLHRVPRNVGEDGSEVTGVRHAENMRGFPDDSTDQAFTRPQPSYEGLDGHFVQGTRNYSSVQRRTPPQIRSKSPIRSRSPCPWSSARRRSPDGFGATSEFSSRRSPIYRIGRVRSPDHPGFPREMVVRRNGSPPFLSRPNDTREMDLGRDHGHPRSIISNRDQTGRVLLRNGRRFGITDLRERRDGDEFFGGPMHSGRFQELGGDGNVEDRRRFSERRGPVRTFKPFNGADGENFRLNPVDGPRPLRFFPEDDPEFHERANLREREFDGRIKNCPGNAPRRPRSIEERAGNYRHGGHVLCDDGFDDISRMKRKRF >Potri.010G213800.6.v4.1 pep chromosome:Pop_tri_v4:10:20180635:20187118:-1 gene:Potri.010G213800.v4.1 transcript:Potri.010G213800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213800.v4.1 MNIANCSVVKVQELNQTIQSDSLAEFGKEEKLVVAEKSGKAQLISAKNELNIEDSKGKEIHSQQISEGKCKSETPIVSETSQFSLGLKEHDVLSFECYSNVGSQNHENVGAVSSNLSLSKGETGIQHKMYNILATGSTDLRTNRSNWDLNTTADTWDGSTSDEHAAQVTADAWNRVGVIHDITTGVIGTGIAKERQLLDGSECRSSFPQTFSECAKECTSEDSLHLRLSPSFPSINLSKESSSSSANKESRVIPNTSLPGVLLSAGNATMDSSRTIKSEPFDGSLEHDLRGAKVNPFDFFVKRELVEKGSPETSKSSAFISLKLAGQGFIKPEPFPDGKPEIPRMIEGVSIQPDKQVLQGQDTGGQAPCSTSKQVLQGQDTGEPSCSTNDWAREGQDILAKPTCSTGLSISGNASECLEHTTCAEGVLLRKEIVKEACESAGQVSSEMVCIPVGHSGNELNASVMIDTAITEGRNVDIPEQCELNFTEEVSARSHGNGEGSVTDEEKINLSGDMLEEDTYGSGYESDGHSMAMDIEEEHREHEYEDGEVRDLHLQAVTECQKFEGKDVSHGNCGNSEHEKVNSELAGDDHHISSHVEENDSKIKVSENNEDTVKECITTTTEDADNAIMKKSSTVEIPSCGEDQERATTIIQIKSLDTSGQKDDLMGQGADLSPGQDITGGQETLVSIEQGSDKNIKTIDVEKNELPEVEASLNGRDMAKDVSSGRSRIINLSRASNSSSPGKTRSISGRTLPSHRERLPDELLEGGKLHPRGRDENYIDGPRRFSRERHQEHFPRNSRMNFVCGRGRMSSRIDTLRGDRDSERDYASEFYGSSDFAVRRHKYASAVGEADSSVNYNTGPDGAFVGTARGGRKLLDDETPVFRHVPSRRRSPRGRYGPAVRGTQMLHRVPRNVGEDGSEVTGVRHAENMRGFPDDSTDQAFTRPQPSYEGLDGHFVQGTRNYSSVQRRTPPQIRSKSPIRSRSPCPWSSARRRSPDGFGATSEFSSRRSPIYRIGRVRSPDHPGFPREMVVRRNGSPPFLSRPNDTREMDLGRDHGHPRSIISNRDQTGRVLLRNGRRFGITDLRERRDGDEFFGGPMHSGRFQELGGDGNVEDRRRFSERRGPVRTFKPFNGADGENFRLNPVDGPRPLRFFPEDDPEFHERANLREREFDGRIKNCPGNAPRRPRSIEERAGNYRHGGHVLCDDGFDDISRMKRKRF >Potri.010G213800.3.v4.1 pep chromosome:Pop_tri_v4:10:20181490:20188159:-1 gene:Potri.010G213800.v4.1 transcript:Potri.010G213800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213800.v4.1 MPVSGNEEAGAKPLAWQSSLNIAGVPIKKRRFIRPPSPPQEEQSVPLVEKDSVQKEPGRTFVESTLSNASVTASSDLCNPCEDSVPEENKNRLDGIVLMNIANCSVVKVQELNQTIQSDSLAEFGKEEKLVVAEKSGKAQLISAKNELNIEDSKGKEIHSQQISEGKCKSETPIVSETSQFSLGLKEHDVLSFECYSNVGSQNHENVGAVSSNLSLSKGETGIQHKMYNILATGSTDLRTNRSNWDLNTTADTWDGSTSDEHAAQVTADAWNRVGVIHDITTGVIGTGIAKERQLLDGSECRSSFPQTFSECAKECTSEDSLHLRLSPSFPSINLSKESSSSSANKESRVIPNTSLPGVLLSAGNATMDSSRTIKSEPFDGSLEHDLRGAKVNPFDFFVKRELVEKGSPETSKSSAFISLKLAGQGFIKPEPFPDGKPEIPRMIEGVSIQPDKQVLQGQDTGGQAPCSTSKQVLQGQDTGEPSCSTNDWAREGQDILAKPTCSTGLSISGNASECLEHTTCAEGVLLRKEIVKEACESAGQVSSEMVCIPVGHSGNELNASVMIDTAITEGRNVDIPEQCELNFTEEVSARSHGNGEGSVTDEEKINLSGDMLEEDTYGSGYESDGHSMAMDIEEEHREHEYEDGEVRDLHLQAVTECQKFEGKDVSHGNCGNSEHEKVNSELAGDDHHISSHVEENDSKIKVSENNEDTVKECITTTTEDADNAIMKKSSTVEIPSCGEDQERATTIIQIKSLDTSGQKDDLMGQGADLSPGQDITGGQETLVSIEQGSDKNIKTIDVEKNELPEVEASLNGRDMAKDVSSGRSRIINLSRASNSSSPGKTRSISGRTLPSHRERLPDELLEGGKLHPRGRDENYIDGPRRFSRERHQEHFPRNSRMNFVCGRGRMSSRIDTLRGDRDSERDYASEFYGSSDFAVRRHKYASAVGEADSSVNYNTGPDGAFVGTARGGRKLLDDETPVFRHVPSRRRSPRGRYGPAVRGTQMLHRVPRNVGEDGSEVTGVRHAENMRGFPDDSTDQAFTRPQPSYEGLDGHFVQGTRNYSSVQRRTPPQIRSKSPIRSRSPCPWSSARRRSPDGFGATSEFSSRRSPIYRIGRVRSPDHPGFPREMVVRRNGSPPFLSRPNDTREMDLGRDHGHPRSIISNRDQTGRVLLRNGRRFGITDLRERRDGDEFFGGPMHSGRFQELGGDGNVEDRRRFSERRGPVRTFKPFNGADGENFRLNPVDGPRPLRFFPEDDPEFHERANLREREFDGRIKNCPGNAPRRPRSIEERAGNYRHGGHVLCDDGFDDISRMKRKRF >Potri.008G032800.5.v4.1 pep chromosome:Pop_tri_v4:8:1774955:1783907:-1 gene:Potri.008G032800.v4.1 transcript:Potri.008G032800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032800.v4.1 MSSKGKGKAVATGGDKRKRGDVDDDKTGGGKMKRNRAVLQFFEDEADHSDYESDDSDLNFDIEDFMDEEYDVELKVKNDPPKTQNVPIVPKEEQMDGEEFDKMMEERFKNNPRFRFAEDADEAKRSMERNYLEPSAKDPTIWKVKCMVGRERHSAFCLMQKFVDLKSLGTKLQIISAFSIDHVKGYIYIEADKQIDIIEACKGLCSIYSSRMAPVPKNEVSHLLSIRKSCNQVSEGMWARVKNGNYKGDLAQIVAVNDVRKKATVKLIPRIDLQALAQKFGGGLAKKKAAIPAPRLISSSELEEFRPLIQYRRDRDTGKMFEVLDGLMLKDGYLYKRVSIDSLSCLSVLPSEEELLKFKSSENNESENLEWLAQIYVGQKKKRIIGNEKGGEKGEGSSASGQNRFELYDLVCFGRKDFGLIVGMEKDESYKILKHGPEKPDVVTVALRDLKNGPTDMKFTALDHHKKTMSVNDTVKVLEGPLKDRQGIVKQIYRGIIFIYDQNETEDCGYFCSKAQMCEKIKLSFDACYGKDSESGSLGFEDFPSSPKPPLSPKKPWQAKENNRGCMHFNPGDKDGLFSIGQTLRIRVGPLKGYLCQVLAIRYSDVTVKLGSQQKVLTVKSEHLSELRAKSSAMSVSDDPRSSSFKPFDLLGNEGGSGGWTGGAGTSTEGDGWNVGGLSTERTSWSSPGFTLQPETNPVNPSSSVDNEPNKDDTWGSQAKAKQTSSRGAAAADSWNKAASNIGSSSGASVGWGKATLSNEDLPGSSRGSGDNWGQGILRDEKSSFDAAASAWDKGKTVIGNQNGSWGEAATGKNQVGSWGKCNDAVEAGSWEKNKSSGTGEDCLSNKTTGWNQQKSQDGGDPWGKAAEEQDKGAAQNDSWGKAAEKRESKNGAEKPTEGWGKAGRSSTQPEADKGSGWMKDKADSAGQTSSWGNGKFFSEDATEWNKDGSSNQNQTDSWNKPKAFGSDRGSWNKQGESSWGKQEGGSWGNGNRPDGDQEFGGWNKTSDGGHGSGGSRGRGGGRGGRDQFGRGRSSGDGQSSGWKGGENNSTGNDQGGGWGKSKGFEGSREGGWKSVSSGGDSGSGWNKSGEADKETGGSVDKWNSGNKSSWNNDQTQGHNGSKGFVSNLSSEGQNDGASWRAPKSSGMNSSSGWNSASAVDEVPGGSWGGGSKWNSGKASTGDNTTGWKTGMSGAGTQPSDWGAPKASKGDQSSSWDNKTGHVDANQSSGWGSKSCWNQKSPELEKDSEIDGNRNSSWGKKSNLKSESSDVGGNADSDWGKKGNWNSESNNADGNQDSGWANKSNWNSGSKDANQGSSWAKKSNWNSGSSDVNQESGWDKKSSWSSRYGDGNQDASVACDDENQTETCGNRAGGGNWRGGFGGRDGSDRGGFRGRGDRGGFGGRNGSDRGGFGGRGRSDRGGFGGRGGPDRGGFRGRGDRGGFGGRGRGRRDQNGGWSDNNSAEDKTFDWKNGANNSSGGWKNNGGGSSWNRGGGDRGHQQNSWNSGSGGTSNEGGGWSSQGSGWNQSRTAKDSGGSDLAGGWNKGTCANSDVAWGQGNSWKSSNPSGEGWSKSSKEIKGSEDQGGGWNKGPSGANSDAAWGQGNSWKSSNPSGEGWSQSSKEIKGSEDQGGGWNKGPGSSAQGGGWGTKGAGSGEAGMTGGDAMTWNQSGASGRGQSSGWSGSTEGKEGTNTGGELTDPCGKASSTSSWNQSSKDVEGSDDQGSGWNKGPSSNAQAGGWGDKGAGLGDGGDAKTWNQSSAFGGGQSSGWGQSSEVKGANETGKPADPWGNKASTSSWGNEGNDGSSKGGW >Potri.008G032800.3.v4.1 pep chromosome:Pop_tri_v4:8:1774931:1783911:-1 gene:Potri.008G032800.v4.1 transcript:Potri.008G032800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032800.v4.1 MSSKGKGKAVATGGDKRKRGDVDDDKTGGGKMKRNRAVLQFFEDEADHSDYESDDSDLNFDIEDFMDEEYDVELKVKNDPPKTQNVPIVPKEEQMDGEEFDKMMEERFKNNPRFRFAEDADEAKRSMERNYLEPSAKDPTIWKVKCMVGRERHSAFCLMQKFVDLKSLGTKLQIISAFSIDHVKGYIYIEADKQIDIIEACKGLCSIYSSRMAPVPKNEVSHLLSIRKSCNQVSEGMWARVKNGNYKGDLAQIVAVNDVRKKATVKLIPRIDLQALAQKFGGGLAKKKAAIPAPRLISSSELEEFRPLIQYRRDRDTGKMFEVLDGLMLKDGYLYKRVSIDSLSCLSVLPSEEELLKFKSSENNESENLEWLAQIYVGQKKKRIIGNEKGGEKGEGSSASGQNRFELYDLVCFGRKDFGLIVGMEKDESYKILKHGPEKPDVVTVALRDLKNGPTDMKFTALDHHKKTMSVNDTVKVLEGPLKDRQGIVKQIYRGIIFIYDQNETEDCGYFCSKAQMCEKIKLSFDACYGKDSESGSLGFEDFPSSPKPPLSPKKPWQAKENNRGFNPGDKDGLFSIGQTLRIRVGPLKGYLCQVLAIRYSDVTVKLGSQQKVLTVKSEHLSELRAKSSAMSVSDDPRSSSFKPFDLLGNEGGSGGWTGGAGTSTEGDGWNVGGLSTERTSWSSPGFTLQPETNPVNPSSSVDNEPNKDDTWGSQAKAKQTSSRGAAAADSWNKAASNIGSSSGASVGWGKATLSNEDLPGSSRGSGDNWGQGILRDEKSSFDAAASAWDKGKTVIGNQNGSWGEAATGKNQVGSWGKCNDAVEAGSWEKNKSSGTGEDCLSNKTTGWNQQKSQDGGDPWGKAAEEQDKGAAQNDSWGKAAEKRESKNGAEKPTEGWGKAGRSSTQPEADKGSGWMKDKADSAGQTSSWGNGKFFSEDATEWNKDGSSNQNQTDSWNKPKAFGSDRGSWNKQGESSWGKQEGGSWGNGNRPDGDQEFGGWNKTSDGGHGSGGSRGRGGGRGGRDQFGRGRSSGDGQSSGWKGGENNSTGNDQGGGWGKSKGFEGSREGGWKSVSSGGDSGSGWNKSGEADKETGGSVDKWNSGNKSSWNNDQTQGHNGSKGFVSNLSSEGQNDGASWRAPKSSGMNSSSGWNSASAVDEVPGGSWGGGSKWNSGKASTGDNTTGWKTGMSGAGTQPSDWGAPKASKGDQSSSWDNKTGHVDANQSSGWGSKSCWNQKSPELEKDSEIDGNRNSSWGKKSNLKSESSDVGGNADSDWGKKGNWNSESNNADGNQDSGWANKSNWNSGSKDANQGSSWAKKSNWNSGSSDVNQESGWDKKSSWSSRYGDGNQDASVACDDENQTETCGNRAGGGNWRGGFGGRDGSDRGGFRGRGDRGGFGGRNGSDRGGFGGRGRSDRGGFGGRGGPDRGGFRGRGDRGGFGGRGRGRRDQNGGWSDNNSAEDKTFDWKNGANNSSGGWKNNGGGSSWNRGGGDRGHQQNSWNSGSGGTSNEGGGWSSQGSGWNQSRTAKDSGGSDLAGGWNKGTCANSDVAWGQGNSWKSSNPSGEGWSKSSKEIKGSEDQGGGWNKGPSGANSDAAWGQGNSWKSSNPSGEGWSQSSKEIKGSEDQGGGWNKGPGSSAQGGGWGTKGAGSGEAGMTGGDAMTWNQSGASGRGQSSGWSGSTEGKEGTNTGGELTDPCGKASSTSSWNQSSKDVEGSDDQGSGWNKGPSSNAQAGGWGDKGAGLGDGGDAKTWNQSSAFGGGQSSGWGQSSEVKGANETGKPADPWGNKASTSSWGNEGNDGSSKGGW >Potri.017G073400.2.v4.1 pep chromosome:Pop_tri_v4:17:8099388:8101549:1 gene:Potri.017G073400.v4.1 transcript:Potri.017G073400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G073400.v4.1 MSSFRYSHEGPEKLVRAKILETQQQKPKPPKKNEHQDTGAGIDHVVTDLITQTPSTHDSWSSDDSPLQLKTRSSYYSSPSSSTAEIEAFNPNPKDEEFVRKLKSPLVFEIEEGLISLRNTTRAREDDTKLQLCTSRLLSVLQPLIISRYTNIQVNSVACLVNLSLEKNNKIKIVRSGILPLLIHVLKGGFPEAKEHACGAIFSLALDDRNKTAIGVLGALPPLLHLLRSAESDRTRHDSSLALYHLSLVQSNITKLVKLGSVPILLEMVKSGRMESRVLLILCNLALSPDGRHAMWDSGGVEVLVGLLRRSELKSESTQDICVSVLYGLSHGGLRFKGLARAAGAVEVLMQVEKTGNERTKEKVRRIFKMMTEIRMEKEDVNWEEVLEDSGSTRCRFGGGKDGLSTNA >Potri.018G003900.1.v4.1 pep chromosome:Pop_tri_v4:18:299667:301180:1 gene:Potri.018G003900.v4.1 transcript:Potri.018G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003900.v4.1 MLENEANPTISKSTGLPRKRFYRARAHSNPLSDSHFPVPISPSHVDFSLHYPQFFSLSCEVGCIKMVQFADIGCGFGGLLVSLSTLFPETLMIGMELRDKVAEYVKERILALRTTNPGQYQNVSVVRTNSMKYLPNYFEKGQLTKMFFLFPDPHFKEKNHRRRVISPHLLDEYAYVLEVGGIIYTITDVEELGEWMKTCLDNHPMFEALTEEELQADPAVKLLCTATEEGQKVARNGGQTFQAVYRRIALSL >Potri.002G217100.1.v4.1 pep chromosome:Pop_tri_v4:2:20423932:20425286:1 gene:Potri.002G217100.v4.1 transcript:Potri.002G217100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217100.v4.1 MLEKLLKAHKLSPFARSDLPKPANPTTPEKLEIASSALQIIHAGGRVECYYMAIPAARILEKYPSHALAKPEVFRRPWNSVVRPEKILTPGHKFLLVPHHAVRKLRRKIGKPSEESSSSSVSLTSQASNDAWTDMVSRQNNNDVSSRSFFSESDISTGVSRDSSCSFRSALRKKTGVKKYVRFAGIAVKHKGGHNSTNSEKKGNKVDHDTSLKSSSTTVSRSNRRNRRPRNSAAWRPSLTVISESKGD >Potri.019G064900.1.v4.1 pep chromosome:Pop_tri_v4:19:10491771:10495883:-1 gene:Potri.019G064900.v4.1 transcript:Potri.019G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064900.v4.1 MLIFVQSIERAEELYGELKFDSIRVGVIHSNLSQEQRESVIDDFRAGKTWVLIATDVLGRGMDFKGVKCVINYDFPDCAASYIHRIGMFLNLWIHSYVYM >Potri.011G048300.2.v4.1 pep chromosome:Pop_tri_v4:11:3861524:3862947:1 gene:Potri.011G048300.v4.1 transcript:Potri.011G048300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G048300.v4.1 MESSLPSSQILDSDGNAERKNEAKNYNEVMSTLPKVKGLRGDDYYLYQGFWYAPFFLERLMSVQEHFHPQSTDIFVASFPKTGTTWLKALTFAIFTRSRLSGSTTSSLLSKMPHDCVPFLNYNLAQNPSNRDLTIPLLSTHVPYSCLPKSIISSCCKIIYICRDAKDAFVSLWYFHATLQRSKNVEPLPLEEAFELFCNGIANFGPYWNHVLGYWRASLEFPEKILFLTYEEMKKDTAAHVKKLAEFMGCSFTLEEEEEGEVQKIIGMCSFEKLSNLEVNKNGKCLLDISIPIPNSIFFRKGEIGDWANHLTPEMGARLDDIMERKLKGSGLKLPR >Potri.009G050600.1.v4.1 pep chromosome:Pop_tri_v4:9:5571269:5575894:-1 gene:Potri.009G050600.v4.1 transcript:Potri.009G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050600.v4.1 MDTHEITNILLTKLKSLDPENAARIMGFILIQVPSEKDLLRLAFGPETLLQNVVFKAKIHFGLSTNTFSTPSTPSSPLPLNPIARPCNSTNPFSLSSPRVTNNDSFLDFGKNPPPNPWPVHGLPNNSNSNTSISPKSSPFLSYDNIRSGSVLVPPFSRNGGNDCGDCSNNSVDFPDEYQLDDYLSFLDDPSSKNEGFMDQRVQLGGYPVDNGDSHLHRRRFSESDACFGAEDGGFGLGYKPCLYFARGFCKNGESCKFVHGGENMAEVNGGGVLVGSPREMEELYLQQQEELMRMKAAQQQQQQRMAYNKYMNFLLQQQNKTDRLGAAAAMMGDEFYNFSRLRGGRNGFFAMGMAEKANSASRQIYLTFPADSSFKDEDVSNYFCSFGPVQDVRIPYQQKRMFGFVTFVHPETVKEILAKGNPHYICESRVLVKPYKEKGKVANKMQQLLERGNFSPTSSPSGFDPRELRDIHLGARMLYNTPEIMLRRKLEEQAELQQAIELQGRRLINLQLPDLRGDYVHRHQRSLSVGAPISLHTHHSPINQTDILTSNGKNEITLEDEGNLSGATKSTYIAAIEQNLQDELNAAYIQNNDNVNCKVESFTESHGSNVEPDNLTKSSENQHSDLFPYAKAKESNELSVSSWSENDASVPTTSTSDEASY >Potri.013G080100.1.v4.1 pep chromosome:Pop_tri_v4:13:6912025:6913759:1 gene:Potri.013G080100.v4.1 transcript:Potri.013G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G080100.v4.1 MVTNGIERQQILRMASSLPSGPLVLSLYLLLFPVCLATDSASITYHGGPLLTGNLHLTLIWYGQFGRVHKNVIRAFVESLHYNAGANLQPQVSSWWNVVESYQEVAGKGSSPINVKVVKQVTDLKYSAGKVVTSEFIQKVLRKATGGDSNTIPVILTARDVQMQGLCFTKCSQHGMLGDHQQPYIVVGNPESECPGSCAWPFQKPDKGPLSITLNPPNGNLGVDAMVIAFARALVEAVTNPYKTGFFQDNSNNANKTVEAASACWGIFGSGAFDGYTGKVRVDPETGGGFNGHGSRGRKFLIPAVWNPKTKSCWTLL >Potri.001G170300.4.v4.1 pep chromosome:Pop_tri_v4:1:14598815:14603997:1 gene:Potri.001G170300.v4.1 transcript:Potri.001G170300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170300.v4.1 MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTKELESVGLRLNKKPPRIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNFDRLLAKMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCMVEDFCNHIHRNLIKDVKYVLVWGTSARHHPQHCGLGHSLHDEDMVQIVKRKEKEEGGRGRFKSHSTDPARISDREKKAPLKT >Potri.001G170300.3.v4.1 pep chromosome:Pop_tri_v4:1:14598814:14605433:1 gene:Potri.001G170300.v4.1 transcript:Potri.001G170300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170300.v4.1 MGIIERIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTKELESVGLRLNKKPPRIYFKKKKTGGISFNSTLPLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNFDRLLAKMWEEMGLVRVYTKPQGQQPDFTDPVVLSTDRGGCMVEDFCNHIHRNLIKDVKYVLVWGTSARHHPQHCGLGHSLHDEDMVQIVKRKEKEEGGRGRFKSHSTDPARISDREKKAPLKT >Potri.019G129200.1.v4.1 pep chromosome:Pop_tri_v4:19:15181042:15182020:-1 gene:Potri.019G129200.v4.1 transcript:Potri.019G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129200.v4.1 MELPTFLSTNINNLYSLIKKFLVSDAVSEYIRSPFDLTKKFIASDAVSDLTHKLSDQIKKFLAPETVVYVLRWFKKENVPIMVAVVVIVLLFRGCCGGSAKNVKTMKAPGRNSRIPRRNFEASPSAYFRGLRKR >Potri.013G139112.1.v4.1 pep chromosome:Pop_tri_v4:13:15610537:15611880:1 gene:Potri.013G139112.v4.1 transcript:Potri.013G139112.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G139112.v4.1 MIWHVQNENFILDSTRIFMKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDQKDMPWLYFISSTSLVMSITALLFRWREEPMISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVRFVRKIPTSLSISEMLGFFKTPWTCRREMLSPLGPRHNFYLFK >Potri.013G139112.2.v4.1 pep chromosome:Pop_tri_v4:13:15610587:15611882:1 gene:Potri.013G139112.v4.1 transcript:Potri.013G139112.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G139112.v4.1 MKAFHLLLFDGSFIFPECILIFGLILLLMIDSTSDQKDMPWLYFISSTSLVMSITALLFRWREEPMISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISIALIFITVGIGFKLSPAPSHQWTPDVYEGVRFVRKIPTSLSISEMLGFFKTPWTCRREMLSPLGPRHNFYLFK >Potri.006G055550.1.v4.1 pep chromosome:Pop_tri_v4:6:3934072:3937954:1 gene:Potri.006G055550.v4.1 transcript:Potri.006G055550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055550.v4.1 MSEAHLASFLFCCLGIAPKLGNNILLTHKKRFHSSHDSRQHIRFFNNGSLDAASTSCFRKP >Potri.008G009300.1.v4.1 pep chromosome:Pop_tri_v4:8:449312:453426:-1 gene:Potri.008G009300.v4.1 transcript:Potri.008G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009300.v4.1 MNLFLRHFFLLLWCCFVSVCTNSVSGLNYDGLALMSLLRKWDSVPTSITSSWNSSDSTPCSWLGIGCDHRSHCVVSLNLSGLGISGPLGPETGQLKQLKTVDLNTNYFSGDIPSQLGNCSLLEYLDLSANSFTGGIPDSFKYLQNLQTLIIFSNSLSGEIPESLFQDLALQVLYLDTNKFNGSIPRSVGNLTELLELSLFGNQLSGTIPESIGNCRKLQSLPLSYNKLSGSLPEILTNLESLVELFVSHNSLEGRIPLGFGKCKNLETLDLSFNSYSGGLPPDLGNCSSLATLAIIHSNLRGAIPSSFGQLKKLSVLDLSENRLSGTIPPELSNCKSLMTLNLYTNELEGKIPSELGRLNKLEDLELFNNHLSGAIPISIWKIASLKYLLVYNNSLSGELPLEITHLKNLKNLSLYNNQFFGVIPQSLGINSSLLQLDFTDNKFTGEIPPNLCHGKQLRVLNMGRNQLQGSIPSDVGGCLTLWRLILKENNLSGALPEFSENPILYHMDVSKNNITGPIPPSIGNCSGLTSIHLSMNKLTGFIPSELGNLVNLLVVDLSSNQLEGSLPSQLSKCHNLGKFDVGFNSLNGSVPSSLRNWTSLSTLILKENHFIGGIPPFLSELEKLTEIQLGGNFLGGEIPSWIGSLQSLQYALNLSSNGLFGELPSELGNLIKLEQLQLSNNNLTGTLAPLDKIHSLVQVDISYNHFSGPIPETLMNLLNSSPSSFWGNPDLCVSCLPSGGLTCTKNRSIKSCDSQSSKRDSFSRVAVALIAIASVVAVFMLVGLVCMFILCRRCKQDLGIDHDVEIAAQEGPSSLLNKVMQATENLNDRHIVGRGTHGTVYKASLGGDKIFAVKKIVFTGHKGGNKSMVTEIQTIGKIRHRNLLKLENFWLRKDYGLILYAYMQNGSVHDVLHGSTPPQTLEWSIRHKIALGTAHGLEYLHYDCNPPIVHRDIKPENILLDSDMEPHISDFGIAKLLDQSSASAQSFLVAGTIGYIAPENALSTIKSKESDVYSYGVVLLELITRKKALDPLFVGETDIVEWVRSVWSSTEDINKIADSSLREEFLDSNIMNQAIDVLLVALRCTEKAPRRRPTMRDVVKRLVKRDASIRGKRS >Potri.018G044200.1.v4.1 pep chromosome:Pop_tri_v4:18:3707579:3714470:1 gene:Potri.018G044200.v4.1 transcript:Potri.018G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G044200.v4.1 MASLFRELSLGHSKRDSIPPPLKPPPLSIMLSKPTITTTDLGSPLGQLGTQLSDSDLRSTAYEIFVAVCRTSSGKPLTYTPNSNSDSPTNHSTHSPNSPALQRSLTSAAASKMKKALGLKSPGSGSKKSPGSGQGKIRRGLTVGELMRAQMRVSETVDSRIRRALLRIAAGQVGRRIESIVLPLELLQQLKLLDFTDQQEYEMWQKRTMKVLEAGLLLHPHVPLDKSNPTSQRLQQILHGAMDRPIETGKNNESMQVLRSAVMSLASRSDGSLSEICHWADGIPLNLRLYEMLLQACFDVNDETSIIDEIDELMEHIKKTWTILGMNQMLHNLCFTWVLFHRFVATGQVETDLLDAADGQLAEVAKDAKTTKDPQCSKILSSTLSSILGWAEKRLLAYHDTFDRGNAQTMQGIVSLGVLAAKILVEDISNEYRRKRKSEVDVARTRIETYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPILAILAKDVGELAVNEKQVFSPILKRWHPFSAGVAVATLHACYGNEIKQFISSIVELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEAEVAIANLVKGWIKARLDRLKEWVDRNLQQEVWNPQANQEGYAPSAVEVLRIIDETLDAYFQLPIPMHPALLPDLMAGLDRCLQYYATKAKSGCGSRNKYVPNMPALTRCTAGSKFVWKKKDKLPNTQKRNSQVVTMNGDNSFGVPQLCVRINTLHRIRSELDVLEKRIITHLRNSESAHAEDFTNGLAKKFELTPAACIEGVQQLSEAVAYKIIFHDLSHVLWDGLYVGELSSSRIEPFTQELERNLLIISNTIHERVRTRIVTDIMRASFDGFLFVLLAGGPSRAFTLQDSQIIEDDFNSLKDLFWANGDGLPADLIDKFSTTVRSILPLLKTDTESLVERYRRVTLETYGSSARSKLPLPPTSGQWNPTDPNSLLRVLCYRNDEAASKFLKKNYNLPKKL >Potri.002G241000.2.v4.1 pep chromosome:Pop_tri_v4:2:23304599:23308506:1 gene:Potri.002G241000.v4.1 transcript:Potri.002G241000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241000.v4.1 MSGISASASASPPSHCFYNLQSKIQTKQELSFVNPPLISRSSSSSSSHIKLKTHLGLRFETALRGCHKINVRCSSSSGPGGPGSASGDSDSRSVLDAFFLGKAVAEALNERVESAVGEFLSTIGRLQAEQQKQIQDFQEDVLGRAKKAKEQAAREAMEGQGIIPKPTTVETTSVNQGVSQTPSPSTANAVKTDSNPATKGPVLGVSSDD >Potri.002G241000.3.v4.1 pep chromosome:Pop_tri_v4:2:23304687:23306282:1 gene:Potri.002G241000.v4.1 transcript:Potri.002G241000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241000.v4.1 MSGISASASASPPSHCFYNLQSKIQTKQELSFVNPPLISRSSSSSSSHIKLKTHLGLRFETALRGCHKINVRCSSSSGPGGPGSASGDSDSRSVLDAFFLGKAVAEALNERVESAVGEFLSTIGRLQAEQQKQIQDFQVVNYMYCYQSILNLFIVKEYFLFTFLLLLMDGSLSKFVLLCSGWRMFNCVPPVFRFKNCHSRYSLKTFS >Potri.017G152900.1.v4.1 pep chromosome:Pop_tri_v4:17:15060566:15062995:1 gene:Potri.017G152900.v4.1 transcript:Potri.017G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152900.v4.1 MSHRQISPPQYEQTGTPSSSTHPGAGTPSSSNHPGAAEDVDAAGGSSSTIANYFDRVRSAGLLDTMKFEAKKIRKGGRRNIFKQKFDLRAGEKLLKASHCFFSIETGAVAGLLFISTEKIAFCSQRSIAFNFPILQQNQTVEQFEIPLRNIRWSNYGHPQQKILQIRTEDNSEFLFMDFLRYEKARQNFEKAMRKLYQPR >Potri.011G072691.1.v4.1 pep chromosome:Pop_tri_v4:11:6770726:6776053:-1 gene:Potri.011G072691.v4.1 transcript:Potri.011G072691.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072691.v4.1 MLEIRFYFAGKGTTRIPDRGVYGPIISAISVFSDLKVCSSGKKKGTVYVVVGAVGASCLVAIILVILWWKGNLPGKLRRKRDVKGLDFPKGTFSLKQIRAATNDFDASNKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCLQHPNLVKLHGCCIESDQLLLVYEYMENNSLARALFRHENNQLNLDWPTRLKICIGIARGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLARLDEEEKSHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIISGKNNNNYMPSNSSCVCLLDWACHLQQSGSFIELVDETLGSEVHIEEAETMVKVALLCTNASPTVRPTMSEVVSMLEGRMAVPNTLPELSSYTEDLMFKAMRDLRQHEQSHRFSGSQTQKSTSIQTFSSSSISENSSYENP >Potri.002G053000.1.v4.1 pep chromosome:Pop_tri_v4:2:3584998:3587809:-1 gene:Potri.002G053000.v4.1 transcript:Potri.002G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053000.v4.1 MATLAPPSSSSLTFSGPSLKLSHLSLLCSSRLSLSSLSQLSPLSSSLSISPSFAAQPICSRRLFTSSFTVKASAAEKKKVLIVNTNSGGHAVIGFYFAKELLGSGHEVTILTVGEESSDKMKKPPFSRFSEIVSAGGKTVWGNPAEVGKVVEGAAFDVVLDNNGKDLDAVRPVVDWAKSAGVKQFLFISSAGIYKATDEPPHVEGDVVKADAGHVGVEKYIAEIFSSWAIFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNIAHARDLSSMLTLAVENPEAASGRIFNCVSDRAVTLDGMAKLCAQAAGLPVEIVHYDPKVVGIDAKKAFPFRNMHFYAEPRAAKEILGWQGTTNLPEDLKERFDDYVKIGRDKKPMQFEIDDKILESLKVPVAA >Potri.015G093300.2.v4.1 pep chromosome:Pop_tri_v4:15:11574616:11578913:-1 gene:Potri.015G093300.v4.1 transcript:Potri.015G093300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093300.v4.1 MGKGNGSDEVLVVCSDRNMGTGIAMWDMETGDRIMHIPTCASPLHGLLCLRDQFLVASQVNKHGSVGGGAIFTWSLNKPQQPLRSYPLEAIGPLGCTKDGLFLAGGSPSGNVYLWEVAGGRLLKTWRAHSRSLKCLIFSNDDSLLISGSEDGVVCVWSMVSLLDTEDFGSSCSLLHYSSEHTSSVTGLLTTSGIANSTFISSSLDATCKAWDVFSGRLIQTQDYPLGITAIVTDPAEQLLFAGSMDGRIFVSVLDIGLLEDPFAVAGDEPVVLKGHNGSIMALTFSSVGLISASEDCTVCLWDVVGQVIIRRFNHKKGAVTNLVVIPRSLLHSASNHRRVSNQFRISVLSKCPQPANSSNGILTLLHTCSSPKDHQASVDLRRTNSLDQQIFEMEQEQTPAAMQMKVETSMDHRTWARRMTNHVMEMNSHLQSRLLDLMQTRLLLATENDSPTTGKSKKLKIESPPLQQQEKISQPPS >Potri.005G195300.1.v4.1 pep chromosome:Pop_tri_v4:5:20232290:20237469:-1 gene:Potri.005G195300.v4.1 transcript:Potri.005G195300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G195300.v4.1 MAKRVFEVWKGSNKFILGGRLIFGPDARSLIVTLLLIIVPVIIFCVFVVRHLRHEFSPDNAGYAILVVAIIFTIYVLVLLFLTSARDPGIIPRNSHPPEEEFRYDSSVSVEGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSSTLLCIYVFSISALYIKVLMDDYHSTVWKAMKESPASVILMAYSFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADSRINVYNRGCFDNFLEVFCTKVKPSRNNFRAFVEEEVQQRPTLPSTQETDVEDSVGDPRSKVEDDLDIGEDILKISQRRNVEEIDEDICSRGSNAPPHNTLEVDLALSSDHRAPTIRSDTRHSSWGRRSGSWEIAPEILANSTVTESRAFTTPKEPYQ >Potri.007G128400.1.v4.1 pep chromosome:Pop_tri_v4:7:14351233:14354895:-1 gene:Potri.007G128400.v4.1 transcript:Potri.007G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128400.v4.1 MRNSSYSVRDFFIRVLMIGKWNGGYLVHFVVLSDLWWLVSGLGSMSSIAISYGENGPVFCGLKSDGSHLVNCYGSNSAIIYGTPAHFHFIGLTAGDGFVCGLLLESNQPYCWGSSGYLRPGVPRPMMEEAEYVEISAGDYHLCGLRKPSTGRSRNLSLIDCWGYNMTRNHVFDGQIQSISAGSEFNCGLFSENRTVFCWGDEANSRVISLIPQEMRFQKIAAGGYHVCGILEGVNSRAFCWGRSLGLEEEISVISAAYLNQGNVDFPPSDPMLSVVGGKFHACGIKSYDREVICWGYIVKRSTPTPSAIKVYEIAAGNYFTCGILAEKSLLPVCWGLEFPSSLPLAVSPGLCETTPCPPGSYEFFDANPPCKSPDSHACLPCSNGCPAEMYQKMECTLKSDRQCDYNCSSCYSAECFSNCSSLYSNNAKGKNRFWSLELPVVIAEIGLAVFLVIVVTTTAILYVHYRLRNCQCSAKQLKPKKNNGGGTSVSKDNGKIRTDMDEIKLRRARMFTYEELEGATSGFKEESIVGKGSFSCVYKGVLKNGTVVAVKKAIVCTDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLYQHLHGKNPALIEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPADSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSSRKAIDMQYEEGNIVEWAVPLIKAGDISAILDPALKPPSDPEALKRIANVACKCVRMRGKERPSMDKVTTALERALAQLMGSPCNDQPILPTEVVLGSSRMHKKSSQRSSNQSAVSETDVVEGEDQRIEFRAPSWITFPSVTSSQGRKSSASDADVDGKSSARNLGYVASVGDALRSLEEEIGPASPQERLFLQHNF >Potri.007G128400.2.v4.1 pep chromosome:Pop_tri_v4:7:14351231:14353960:-1 gene:Potri.007G128400.v4.1 transcript:Potri.007G128400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128400.v4.1 MSSIAISYGENGPVFCGLKSDGSHLVNCYGSNSAIIYGTPAHFHFIGLTAGDGFVCGLLLESNQPYCWGSSGYLRPGVPRPMMEEAEYVEISAGDYHLCGLRKPSTGRSRNLSLIDCWGYNMTRNHVFDGQIQSISAGSEFNCGLFSENRTVFCWGDEANSRVISLIPQEMRFQKIAAGGYHVCGILEGVNSRAFCWGRSLGLEEEISVISAAYLNQGNVDFPPSDPMLSVVGGKFHACGIKSYDREVICWGYIVKRSTPTPSAIKVYEIAAGNYFTCGILAEKSLLPVCWGLEFPSSLPLAVSPGLCETTPCPPGSYEFFDANPPCKSPDSHACLPCSNGCPAEMYQKMECTLKSDRQCDYNCSSCYSAECFSNCSSLYSNNAKGKNRFWSLELPVVIAEIGLAVFLVIVVTTTAILYVHYRLRNCQCSAKQLKPKKNNGGGTSVSKDNGKIRTDMDEIKLRRARMFTYEELEGATSGFKEESIVGKGSFSCVYKGVLKNGTVVAVKKAIVCTDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLYQHLHGKNPALIEQLDWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPADSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSSRKAIDMQYEEGNIVEWAVPLIKAGDISAILDPALKPPSDPEALKRIANVACKCVRMRGKERPSMDKVTTALERALAQLMGSPCNDQPILPTEVVLGSSRMHKKSSQRSSNQSAVSETDVVEGEDQRIEFRAPSWITFPSVTSSQGRKSSASDADVDGKSSARNLGYVASVGDALRSLEEEIGPASPQERLFLQHNF >Potri.014G076702.1.v4.1 pep chromosome:Pop_tri_v4:14:4961909:4963428:-1 gene:Potri.014G076702.v4.1 transcript:Potri.014G076702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076702.v4.1 MAEPETLRNSPTVTTTKVQATKGPTLRRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDTPEEAARAYDEAARALRGENARTNFASVSPNLNQSGSSPSSNGGLNMSESDERHGLSFSSLKAKLSKNLQSIMARTTENKSTKNRVSDHFTFANIFHFRGHQYQNPVDMKSIEKVVQPSIIVPHVSDHKPSYSWETSCVSDCSNEWIGFRQHGFDSDGSDIGEVLSTVNADQMIGWVDSPDINTCCGDPCSRSKRLKVSSSVVVPPTFNGSPSFCASPSFSGSPSFCASPSFSGSPSFCGSPFHGEN >Potri.009G084400.1.v4.1 pep chromosome:Pop_tri_v4:9:7922381:7926550:1 gene:Potri.009G084400.v4.1 transcript:Potri.009G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084400.v4.1 MRRSFSGSPFSKPSIISNQGGGGFNPNTPVNSPSDYPRRNSISRDNIVVTLRDHEDKENGKDQTWKSVRIRSPAKGTKNFMSPTISAASKINASPKKKILADRNEQIRTSISFADAKSPLMEDLDSNPDKGLNQKKEVSFDSTVIYLADNNDSKSEKRVDLMVDSSAKDDLDLSSKKLTVEKDCVNLDSSFKINPRVSSSLPSPALAPLDADPSMPPYDPKTNYLSPRPQFLHYRPNPRVELYLNKERDGQSLDEIFASESSETEVSEAEDSHSDDLQKESDASLANEVKEEEESEELLLISEPNPISTFVEEEKEELLVSEPNSISTSVEKAREKRVSKSHFFTRRKFDALLFVLTVGFLYASFSKSPVMDPSVLNNLTFPEPYVPPELSEYARQSFEALAHKVQLWLHQCICYTHNLINSFRGGHNLGSLQYANLTILVEDGIVGGQFAFDQSILGSKVKYEEKVSAPISAAEVDIKLVDEWDQPTTADEDIKEVAGDNNDGYFDSVPDPEEVSVPESEEVNLLPQSEVTGPGKEVIQESAETAANVVKLQSNIDLEDQFVLIPQAAEIQPEILNYMQCQGRDAISSADIESPAAEDNFEILEGAATENPRSSEEVNLFPQSEVSGPGKSTQEAIQESAETAANVVKLQSNIDLEDQFVLIPKAAEIQPEILNSMQSQGRDDISSADIESLVAEDNFEILEGAATENPRSSELVNTHSAQRMVGISLIVFSLLGTVFIYMKSRTPTAQNATFTVDQVPITKKLDFCPLSVAAEHEDIAGESCSSEMSSFSLSYSKKGQKGKSEAQNSKPRKSNHRRESMASSDYSVGSMGSPSYGSFTTYEKIPSKHGNADEEVITPVRRSSRIRHQVISP >Potri.003G095600.1.v4.1 pep chromosome:Pop_tri_v4:3:12150472:12152866:1 gene:Potri.003G095600.v4.1 transcript:Potri.003G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095600.v4.1 MVLWEITLGTAYFLGLKRTYRLAVRIQRRLISPKYPRIRQFVQRRTRAVFDVALKVHLNIQQRDIEVGRHLGNRILRWLDRMKPSAQIRGPPPVKPTNGASSNTNVTKQVTNNTSHLKAPSIGQTSRHQESDRHLFTSARNMWSKPFPSIAMMMRPSRPAGTFTQYRHLSIQCPEMSRPNYNIGGGSGYQGVFRKDIMQYILQN >Potri.007G024500.2.v4.1 pep chromosome:Pop_tri_v4:7:1880178:1881811:-1 gene:Potri.007G024500.v4.1 transcript:Potri.007G024500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024500.v4.1 MYPVTSPSSSVDCTLSLGTPSTRLSEDDEKRMRHDQRRSGSCMSNFCWDILQTKNNSTPYPPQAHKTSRGSSNSSHNSNNNLANNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAASANNASASGAMEQHYGYHQNNSWVQTQKMPCFSPANEFRFIEDNDRDSDTGNNIPFLSWRLNVTDRPSQLVHDFTRY >Potri.007G024500.1.v4.1 pep chromosome:Pop_tri_v4:7:1879642:1882205:-1 gene:Potri.007G024500.v4.1 transcript:Potri.007G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024500.v4.1 MMHRCSSSHCNMVGPCSCSMYHTQSNSFSMLFSMPNHHKSFDETDMYPVTSPSSSVDCTLSLGTPSTRLSEDDEKRMRHDQRRSGSCMSNFCWDILQTKNNSTPYPPQAHKTSRGSSNSSHNSNNNLANNDPLLARRCANCDTTSTPLWRNGPRGPKSLCNACGIRFKKEERRATAASANNASASGAMEQHYGYHQNNSWVQTQKMPCFSPANEFRFIEDNDRDSDTGNNIPFLSWRLNVTDRPSQLVHDFTRY >Potri.011G027800.1.v4.1 pep chromosome:Pop_tri_v4:11:2072267:2078886:1 gene:Potri.011G027800.v4.1 transcript:Potri.011G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027800.v4.1 MGHNFLSDANTIQLLLLLYFSIATLSQHSSNFLTHPPYKFCSNTSLYEANSPFQNNLQTLMSYLASNASVSNQYHAYAGNDPDIVYAQYMCYNYIENCSACIYAASQDIMQLCPNNRNATVWEELCQLRFSNKNFIGQLDISGNILLANKETIENSGQYISVVNEKFSNLTKKAAFDPTQNMYATGKLALSDIDTLYTLGQCTTDLSSHDCNTCLQVAIQNISSCCYIGRGQRLLSQSCYFRFELYPFYEGTADSGETLTILKIVLGTCIPTVVLAFLTASCIIYFRRIRRKETDEEKSHLAFLQELRKSSGSTFAEGNKVSSEELPWMMDLSVIRAATDNFSVSNKLGQGGFGSVYKGILSDGSEVAVKRLSRSSEQGVKEFKTEVLLIMKLQHKNLVRLLGFCVEGEEKLLVYEFMPNSSLDVFLFDPTKRAELDWSSRIDIINGIAKGMLYLHEDSRLRIIHRDLKASNVLLDNEMNPKISDFGMARIFSSNEDEANTARIVGTYGYMAPEYAMEGLYSTKSDVFSFGVLLLEIISGRKKAGYHQSKCAPSLLAYQAWQLWNEGNKTELIDPMLSDSCNADEFSRYMHIGLLCVQEDASDRPTMSSVVLMLQSQNSFLPQPERPAFVGRFMDNLEAAASNFSVNEMTLSDVGPR >Potri.003G202300.3.v4.1 pep chromosome:Pop_tri_v4:3:20210685:20218454:-1 gene:Potri.003G202300.v4.1 transcript:Potri.003G202300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202300.v4.1 MQNQKRVLCSENEDLVSYMLQKRQELVESPKGLSENLEMTLSKAYSSVCCSTTPIKTLKDLSQIKGVGKWIVRLMEGFFDNGSGSSEPEDLTKKGKRAQVAKRYLPQRNSVSYALLITLYRETVNSKEFMHKQELIDAAEVSGLSRAPIVPDKGKGKPSQFGSSREWYSGWSCMTTLINKGLVVKSSCPAKYMLTDEGRETARECLIRSRMEDPGDNLANLEGSSDLNMLNTSDMESAHPDSARGATFTSVALSRQKKSIDVPLESLERFVRMGYSKEKVLLAFSEVSETSQYKEISLLWPAVLCHLREDLIYGVQSEPQTLTEDFRSTSTACTFSNGQVDLATKSNQMGSNCDGRNMPNLTSACSTSSSFSMRACSSSEHAMKKSSSDRLDTNMNVLSLPPLSLGERFEDVYEVILILDDREQFAIQCSRGRKLIEFICKEHKIKIQVRRLPVGDGIWIACHKYLLSEYVLDFIVERKKVDDLRSSIRDNRYRDQKLRLLRCGLKKLIYLVEGDPNSSEAAESIKTACFTTEILEGFDVQRTNSLRDTLKKYAHLTQSITQYYSLPLPEDQSKSTRVCPPFDEFIKRCQDLEKMTVSDVFAIQLMQVPQVTEETAVAVLNLYPTLLSLARAYSLLDGDVSAQEDMLRKQSSNAVSAVASRNIFQLVWGK >Potri.005G099900.1.v4.1 pep chromosome:Pop_tri_v4:5:7207803:7210096:-1 gene:Potri.005G099900.v4.1 transcript:Potri.005G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099900.v4.1 MASKLILSSPNSLLQNPKLQHHNHHLLLKPTTNMASFPNLTNKVKAFSIKCAATTATPLSQSQDLEERVFNFAAGPATLPEKVLKKAQSELYNWHGSGMSVMEMSHRGKEFLSIIQKAEADLRALLNISEDYAVLFLQGGATTQFAAIPLNLVKPEDSVDYVVTGSWGDKAFKEAQKYSKPKVIWSGKSEKYTKIPSFDSLERTPDSKYLHICANETIHGVEFKDYPDPKNGILVADMSSNFCSKPVDVSKFGVIYAGAQKNVGPSGVTIVIIRKDLIGNAQGITPVMLDYKIHAENNSLYNTPPCFGIYMCGLVFDDLLAQGGLKEVEKRNKKKGDLLYNTIDESKGFYRCPVDKSVRSLMNVPFTLEKSELEAEFIKEAAKEKMIQLKGHRSVGGMRASIYNAMPLAGVEKLVAFMKDFQARHA >Potri.004G098300.3.v4.1 pep chromosome:Pop_tri_v4:4:8479355:8485221:-1 gene:Potri.004G098300.v4.1 transcript:Potri.004G098300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098300.v4.1 MNETTGNPAGACLALTEKKAHRSGGCVGIFFQLFDWNRRFAKKKLFSRKLLPAARAKHPSKKFGGDEKMPKTKLHLIVDENKGGFPNVKKSGNCNNDIVVKKREMRAPSLVARLMGLDSLPAVHRDKHKKVSNSVACDVTEEKLVNDSHSESDRNDLNMEKGSTKVESRPQKLQKTGQFERQALTRFGADVLQINSVLSRSRRHHHPKLAPPVKSPRISSSKNASRTSRLIDAATRILEPGLQATNRSKSALTYPSSMNYCPRDEVLTEEIGIMLPNIVKQQDIGDCNEGEGTSFIGQTSCKNCGNLFDVMDSRPNVKERQFVCPSTPSNYMSSQESEMIKPRPPISTPEQERNVIYQRNWDQQSIAVREKDNTRVPSQTITVIKPVSPECQSQRQLRSQQCRPQQQESSSITYKQRIHTQNEMFISRDGTPPRAKLNNLQSRRASSAANGINEATDFVALNRSIISRGRTRASNLADNSTIDKDRKVCSRRDDSMSPLRSPARKRTVGVNAQVESTGLANPMSMGQRNTKSDSVSRKVVASSSLSMDRACIRSRSVNDGECNKNNGSRENDAISFTFNSPFRHRTFVSKGLKERSLQIDKNTSHQRRLVLDENDGKTPLQNQFPLRGDALGTILEQKLKELASQEQDELTSGGSKPMRSTAMILQELIFALTADQPMSPHAHMFNADKTYQEVKIRRNSVGISVDGDHLSPGSVLEASFSNDSCISSSLDESSGRRMLLDSMDCSYDQPQPVDTDADLLDCASSLIQGRTGSKTATDLLNHVSRILQSINLAGGRLTGNKLTHAKEVILNAELLFGKATLCNSDRMKRFLVGPFLLDGLETLAGALWKNLNCLPGFEESKEGNQLRSFLFDCVIECLDSKYTRCINTGFKTRKRVPSCMNAEMLIQEIGDEVRRWTDFAGMIPDEIIDSEMSHSLGKWTDFEIEGFETGAEIDSDILQTLVEEIAVDLWECRVDSF >Potri.004G098300.4.v4.1 pep chromosome:Pop_tri_v4:4:8479356:8484196:-1 gene:Potri.004G098300.v4.1 transcript:Potri.004G098300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098300.v4.1 MRAPSLVARLMGLDSLPAVHRDKHKKVSNSVACDVTEEKLVNDSHSESDRNDLNMEKGSTKVESRPQKLQKTGQFERQALTRFGADVLQINSVLSRSRRHHHPKLAPPVKSPRISSSKNASRTSRLIDAATRILEPGLQATNRSKSALTYPSSMNYCPRDEVLTEEIGIMLPNIVKQQDIGDCNEGEGTSFIGQTSCKNCGNLFDVMDSRPNVKERQFVCPSTPSNYMSSQESEMIKPRPPISTPEQERNVIYQRNWDQQSIAVREKDNTRVPSQTITVIKPVSPECQSQRQLRSQQCRPQQQESSSITYKQRIHTQNEMFISRDGTPPRAKLNNLQSRRASSAANGINEATDFVALNRSIISRGRTRASNLADNSTIDKDRKVCSRRDDSMSPLRSPARKRTVGVNAQVESTGLANPMSMGQRNTKSDSVSRKVVASSSLSMDRACIRSRSVNDGECNKNNGSRENDAISFTFNSPFRHRTFVSKGLKERSLQIDKNTSHQRRLVLDENDGKTPLQNQFPLRGDALGTILEQKLKELASQEQDELTSGGSKPMRSTAMILQELIFALTADQPMSPHAHMFNADKTYQKEVKIRRNSVGISVDGDHLSPGSVLEASFSNDSCISSSLDESSGRRMLLDSMDCSYDQPQPVDTDADLLDCASSLIQGRTGSKTATDLLNHVSRILQSINLAGGRLTGNKLTHAKEVILNAELLFGKATLCNSDRMKRFLVGPFLLDGLETLAGALWKNLNCLPGFEESKEGNQLRSFLFDCVIECLDSKYTRCINTGFKTRKRVPSCMNAEMLIQEIGDEVRRWTDFAGMIPDEIIDSEMSHSLGKWTDFEIEGFETGAEIDSDILQTLVEEIAVDLWECRVDSF >Potri.004G098300.1.v4.1 pep chromosome:Pop_tri_v4:4:8479340:8485375:-1 gene:Potri.004G098300.v4.1 transcript:Potri.004G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098300.v4.1 MNETTGNPAGACLALTEKKAHRSGGCVGIFFQLFDWNRRFAKKKLFSRKLLPAARAKHPSKKFGGDEKMPKTKLHLIVDENKGGFPNVKKSGNCNNDIVVKKREMRAPSLVARLMGLDSLPAVHRDKHKKVSNSVACDVTEEKLVNDSHSESDRNDLNMEKGSTKVESRPQKLQKTGQFERQALTRFGADVLQINSVLSRSRRHHHPKLAPPVKSPRISSSKNASRTSRLIDAATRILEPGLQATNRSKSALTYPSSMNYCPRDEVLTEEIGIMLPNIVKQQDIGDCNEGEGTSFIGQTSCKNCGNLFDVMDSRPNVKERQFVCPSTPSNYMSSQESEMIKPRPPISTPEQERNVIYQRNWDQQSIAVREKDNTRVPSQTITVIKPVSPECQSQRQLRSQQCRPQQQESSSITYKQRIHTQNEMFISRDGTPPRAKLNNLQSRRASSAANGINEATDFVALNRSIISRGRTRASNLADNSTIDKDRKVCSRRDDSMSPLRSPARKRTVGVNAQVESTGLANPMSMGQRNTKSDSVSRKVVASSSLSMDRACIRSRSVNDGECNKNNGSRENDAISFTFNSPFRHRTFVSKGLKERSLQIDKNTSHQRRLVLDENDGKTPLQNQFPLRGDALGTILEQKLKELASQEQDELTSGGSKPMRSTAMILQELIFALTADQPMSPHAHMFNADKTYQKEVKIRRNSVGISVDGDHLSPGSVLEASFSNDSCISSSLDESSGRRMLLDSMDCSYDQPQPVDTDADLLDCASSLIQGRTGSKTATDLLNHVSRILQSINLAGGRLTGNKLTHAKEVILNAELLFGKATLCNSDRMKRFLVGPFLLDGLETLAGALWKNLNCLPGFEESKEGNQLRSFLFDCVIECLDSKYTRCINTGFKTRKRVPSCMNAEMLIQEIGDEVRRWTDFAGMIPDEIIDSEMSHSLGKWTDFEIEGFETGAEIDSDILQTLVEEIAVDLWECRVDSF >Potri.004G102950.1.v4.1 pep chromosome:Pop_tri_v4:4:9027346:9027489:1 gene:Potri.004G102950.v4.1 transcript:Potri.004G102950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102950.v4.1 MKMMSQATMEESKKKMSCRRLGGYLRQQKGRLYIIRRCVVMLLCWHD >Potri.011G108700.3.v4.1 pep chromosome:Pop_tri_v4:11:13851795:13853470:-1 gene:Potri.011G108700.v4.1 transcript:Potri.011G108700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108700.v4.1 MENISEASPSVDSSSTGSSSLACPSIQMVSKSDSERLLGKYFDASQYGFDYEQSSLWSPLIPARRVFLASPAGHRCYEDEFFSKLKKAKRECRRRIACFNHTFWRTSRRQP >Potri.011G108700.4.v4.1 pep chromosome:Pop_tri_v4:11:13852351:13853470:-1 gene:Potri.011G108700.v4.1 transcript:Potri.011G108700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108700.v4.1 MENISEASPSVDSSSTGSSSLACPSIQMVSKSDSERLLGKYFDASQYGFDYEQSSLWSPLIPARRVFLASPAGHRCYEDEFFSKLKKAKRECRRRIACFNHTFWRTSRRQP >Potri.011G108700.1.v4.1 pep chromosome:Pop_tri_v4:11:13851771:13853494:-1 gene:Potri.011G108700.v4.1 transcript:Potri.011G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108700.v4.1 MENISEASPSVDSSSTGSSSLACPSIQMVSKSDSERLLGKYFDASQYGFDYEQSSLWSPLIPARRVFLASPAGHRCYEDEFFSKLKKAKRECRRRIACFNHTFWRTSRRQP >Potri.002G208200.2.v4.1 pep chromosome:Pop_tri_v4:2:18315768:18325183:-1 gene:Potri.002G208200.v4.1 transcript:Potri.002G208200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208200.v4.1 MREVAGSVCEGGSAAGFCEGEALCSQRKMSGVCSQLKADWPVGRLPLEGWSMEMGETVRLRGEVGEGCLLWFRWVFR >Potri.002G234500.1.v4.1 pep chromosome:Pop_tri_v4:2:22763959:22775399:1 gene:Potri.002G234500.v4.1 transcript:Potri.002G234500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234500.v4.1 MDSLRALLRSDFIYLTPTRNQFQSLHKVAPAGGTSTPFFNPRISIPIRRRIVCGVSSTQSREQEKAMRKSRSRLERGKVRLNVRVDHQVEFGEQIVILGSTKELGSWKKRVPMNWTENGWVCDLEMKGGGIVEFKFVIVSKDRSFVWESGDNRALRLPRGGSFAVVCKWDATGEAVNLLPLELEHNGEEVEDAGENGSASAGVLLEVETSPFVGQWQGKAISFMRSNEHRNREAERRWDTSGLQGFALKLVQGDLNARNWWRKLEVVRELLVGSLQSEDRLEVLVYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELERISSRKDTSPQEVLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRGDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITKNPGEYSEAFVEQFKIFHHELKDFFNAGSLAEQLVSIIESLDERGSSALTLFLDCKKNLDASEESHNIFELIKIMRSLNALRDIIVKGLESGLRNDAPDAAIAMRQKWRLCEIGLEDYLFVLLSRFLNALEAAGGAKWLADNVESKNISSWNDPLGALIVGVRQLGLSGWRPEECAAIGTELLAWQEKGLFEKEGSEDGKIIWALRLKATLDRARRLTEDYSEALLQIFPQRVQILGKALGIPENSVRTYTEAEIRAGVIFQVSKLCTLLLKAVRSTLGSHGWDILVPGSAIGTLVQVESIVPGSLPSTVEGPIVLVVNKADGDEEVTAAGSNIVGVVLLQELPHLSHLGVRARQERVVFVTCEDDDEVAAMQKLTGKYVRLEASLTGVNLTLSSSNDIVAEDLSRNDSSTVELPGSHNPSWSAVKTHSSQGVSAGGVILLADADADAQTSGAKAAACGRLASLAAVSRKVSSDQGVPASFQVPKGVVIPFGSMELALEHSKSMETFMSFLEEIETAKLDGGELDKLCFKLQELISSLQLPKDIVDGIGRMFPDNARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPIVFANAVSQVWASLYTRRAVLSRRAAGVPQKNAAMAVLVQEMLSPELSFVLHTLSPTDRDQNSVEAEIAPGLGETLASGTRGTPWRLSCGKFDGHVRTLAFANFSEEMLVSGAGPADGDVNRLTVDYSKKPLTIDPIFRHQLGQRLCSIGFFLERKFGCPQDVEGCVVGKDIFVVQTRPQPQ >Potri.008G149700.4.v4.1 pep chromosome:Pop_tri_v4:8:10219189:10226067:1 gene:Potri.008G149700.v4.1 transcript:Potri.008G149700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149700.v4.1 MINWNADKNKWELVDMGSLNGTFLNSQLISHPDSGSRHRGDPVELSSGDIITLGTTSNVHVHVTSKSECQTPFGIGIASDPMAFRRGGKKLAMEDVCYYQWPLPGIPQFGVFGICDGHGGVAAAKSASKMLPEKVASILSDSLIRERVLSQCDASDVLRVAFYQTEANMNNYYEGCAATVLLVWADSNENLFAQCANVGDSACFMNVDGKQIKMTEDHRVSSYSERLRLNETGVPLRDGETRLYGLNLARMLGDKFLKQQEPRFSSEPYISEAIHINQESGAFALLASDGFWDVISLKKAAQLVTQAKERYFEEGGNISEKVANFLLSEAKTLRTKDNTSILFLEFDRKFRISCKVDS >Potri.008G149700.1.v4.1 pep chromosome:Pop_tri_v4:8:10219125:10226132:1 gene:Potri.008G149700.v4.1 transcript:Potri.008G149700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149700.v4.1 MAMILQGSIVGVLLLLLMLLLILLLILLACKPWRFFSSLSSPSRALKVGDLERPLVLDDANAHGQSNESTRSNDLEGACGQNEGLLHSPRMHDLVYKQRLPSASLQLNEGDSVVLNSDPIEDLSVGQTIRFLSLTERLAEVQTHVRQEDQNPNLKNDLLQDLAPKAIADQRSCLSLEVISGPSCGLRCSVQPTSASRLPLTLGRVSSDLLLKDSEVSGKHAMINWNADKNKWELVDMGSLNGTFLNSQLISHPDSGSRHRGDPVELSSGDIITLGTTSNVHVHVTSKSECQTPFGIGIASDPMAFRRGGKKLAMEDVCYYQWPLPGIPQFGVFGICDGHGGVAAAKSASKMLPEKVASILSDSLIRERVLSQCDASDVLRVAFYQTEANMNNYYEGCAATVLLVWADSNENLFAQCANVGDSACFMNVDGKQIKMTEDHRVSSYSERLRLNETGVPLRDGETRLYGLNLARMLGDKFLKQQEPRFSSEPYISEAIHINQESGAFALLASDGFWDVISLKKAAQLVTQAKERYFEEGGNISEKVANFLLSEAKTLRTKDNTSILFLEFDRKFRISCKVDS >Potri.002G090400.2.v4.1 pep chromosome:Pop_tri_v4:2:6543945:6547627:-1 gene:Potri.002G090400.v4.1 transcript:Potri.002G090400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090400.v4.1 MLPAKPNCHNEVSFKRFLSVGTHKTKKITQSCCRRKMEEKEDDTKISIADPIQDHQETTFSFDFRTEFLQDENKTPFFKPRSRRRRRDTCVIFAFVIIHLVVFLITMAVNDCGYNSHGDCAFKALGRMSFQPLLENPFLGPSASALDKMGAIRKTLLAEHQTWRLFMCPLLHAGVFHFMINLLCIIFLGIYLEKEFGSIRTGIIYMLSAFSGTLVTAIFVRDSPAVCSSGALFGLLGATVSALTRNWKFYTNKVAALLTLFFVAGFNLMLGLLPYMDNYSSIGSMISGFLLGLVLFYTPKLRQVAQNKIGLCEYGVKSSFNWKQKLDRPVLRSASLILFSLLFVGLLVAVLLGINISHYCRWCRYIDCIPYKRWSCNDLTSSCETMGGDKELTLTCMGNGNFRVFPFTNISQERTQDLCTLICS >Potri.006G110400.1.v4.1 pep chromosome:Pop_tri_v4:6:8562033:8567361:1 gene:Potri.006G110400.v4.1 transcript:Potri.006G110400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110400.v4.1 MEEEKKKKRNKKKKSKQNNHTNNNKASEDDVSVAAATNNNSNGQNHDNVNDNQVIEVSSNGDVVDAEDFNGHYDKPNGAAPHSPWLKREAALQETIKHLQNETDSLTRTKDTFEETIKRLRDENDSHIQKEATLEETVKQLQNESALHTQKEASLEDTINQLRSVNNLCIQKEATFEDTIKQLKTENDSHLQKEADLEKRIVQLQSEKDFWLQKEAGFGEKLNHLQDEKAALVLKAASIGEKIRLLESDKDSWTISENTTKETIARMNIDVTRLRMQVVELEDSRNSLVKENQQLKESISNLKLQLQNIDTSVSFANTSELGKLGAEKEELNSQIEAACALVDKLITENADLVEKVNELYIKLDHQGTAASFSSATGRGVIVRNSELANGTHPMADSNANLTALGHKLESLEVEPAVVVQYSSEAGSGEIVQIPLDDNEVPDLEMQAAETVYKSGAVPLTDAPLIGAPFRLISFVAKYVSGGDLVNR >Potri.006G110400.3.v4.1 pep chromosome:Pop_tri_v4:6:8562024:8567347:1 gene:Potri.006G110400.v4.1 transcript:Potri.006G110400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110400.v4.1 MEEEKKKKRNKKKKSKQNNHTNNNKASEDDVSVAAATNNNSNGQNHDNVNDNQVIEVSSNGDVVDAEDFNGHYDKPNGAAPHSAALQETIKHLQNETDSLTRTKDTFEETIKRLRDENDSHIQKEATLEETVKQLQNESALHTQKEASLEDTINQLRSVNNLCIQKEATFEDTIKQLKTENDSHLQKEADLEKRIVQLQSEKDFWLQKEAGFGEKLNHLQDEKAALVLKAASIGEKIRLLESDKDSWTISENTTKETIARMNIDVTRLRMQVVELEDSRNSLVKENQQLKESISNLKLQLQNIDTSVSFANTSELGKLGAEKEELNSQIEAACALVDKLITENADLVEKVNELYIKLDHQGTAASFSSATGRGVIVRNSELANGTHPMADSNANLTALGHKLESLEVEPAVVVQYSSEAGSGEIVQIPLDDNEVPDLEMQAAETVYKSGAVPLTDAPLIGAPFRLISFVAKYVSGGDLVNR >Potri.010G065800.2.v4.1 pep chromosome:Pop_tri_v4:10:9432244:9434415:-1 gene:Potri.010G065800.v4.1 transcript:Potri.010G065800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065800.v4.1 MGFPSKPFFCSLFLSTFLFVSLSQSAPDYSTLIYKGCAKQAFQDPNGVYSQAISALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSNTDCYNCVSKLPILTDKLCGKTIAARIQLYGCYILYEVAGFAQISGMEMLYKTCGAKNVAGSGYEERRDTALSVMQNGVVSGHGFYATNYQSVYVLGQCEGDVGDSDCGECVKTAVQKAQVECGNAISGQIYLHKCFISYSYYANGVPRRSSSSSSSSNSISSGTGQNTRKTVAIIVGGAAGVGFLVIFLLFARGLIKKREDF >Potri.010G065800.3.v4.1 pep chromosome:Pop_tri_v4:10:9432251:9434696:-1 gene:Potri.010G065800.v4.1 transcript:Potri.010G065800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065800.v4.1 MGFPSKPFFCSLFLSTFLFVSLSQSAPDYSTLIYKGCAKQAFQDPNGVYSQAISALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSNTDCYNCVSKLPILTDKLCGKTIAARIQLYGCYILYEVAGFAQISGMEMLYKTCGAKNVAGSGYEERRDTALSVMQNGVVSGHGFYATNYQSVYVLGQCEGDVGDSDCGECVKTAVQKAQVECGNAISGQIYLHKCFISYSYYANGVPRRSSSSSSSSNSISSGSMY >Potri.010G065800.1.v4.1 pep chromosome:Pop_tri_v4:10:9432249:9434561:-1 gene:Potri.010G065800.v4.1 transcript:Potri.010G065800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065800.v4.1 MGFPSKPFFCSLFLSTFLFVSLSQSAPDYSTLIYKGCAKQAFQDPNGVYSQAISALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSNTDCYNCVSKLPILTDKLCGKTIAARIQLYGCYILYEVAGFAQISGMEMLYKTCGAKNVAGSGYEERRDTALSVMQNGVVSGHGFYATNYQSVYVLGQCEGDVGDSDCGECVKTAVQKAQVECGNAISGQIYLHKCFISYSYYANGVPRRSSSSSSSSNSISSAGTGQNTRKTVAIIVGGAAGVGFLVIFLLFARGLIKKREDF >Potri.017G116600.1.v4.1 pep chromosome:Pop_tri_v4:17:12365224:12369601:1 gene:Potri.017G116600.v4.1 transcript:Potri.017G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116600.v4.1 MEGDKYWQQFLDETTMFNNIVLRHLLPSSWWVTLPHFLQTWLRNFVAGTLLYFISGLLWCFYIYYLKRNVYVPKDAIPSNRAMLLQIYVAMKAMPWYTLLPTVSEYMIENGWTKCFFSISEVGWFAYITYLAMYLVIVEFGIYWMHRELHDIKRLYKHLHATHHVYNKQNTLSPFAGLAFHPLDGILQAVPHVIALFLVPIHFRSHIALLFIEAVWTANIHDCINGNLWPIMGAGYHTIHHTTYKHNYGHYTIWMDWMLGTLRDPEDDSCQKAQKVQ >Potri.006G280900.1.v4.1 pep chromosome:Pop_tri_v4:6:27033268:27035374:1 gene:Potri.006G280900.v4.1 transcript:Potri.006G280900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280900.v4.1 MAVTMKQMALAVTVLGLISFVFGIVAENKKPAAGTPIPGKGVVICKYSSDPTVPLGFLSVGFLVATTVVGYLSLFYPYKGKSVPNSALFQSTSFSIFVNIAVFTAGLAAALILWPTIQEHSHLSHTVHHDPDYQCPTAKTGLLGGGALVSLDSALFWLVALMLADNAREDYFDETEKDVKGGHHDEVLEGDFDAPAHLKGTA >Potri.002G160100.4.v4.1 pep chromosome:Pop_tri_v4:2:12212750:12218545:-1 gene:Potri.002G160100.v4.1 transcript:Potri.002G160100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160100.v4.1 MKLKINKACDLSSISVLPPQSRRSSSIPVGPQQASQIRSQQSQQSFSQGFSSQHGMFSQISQTSLDEALTNGQRFSSQERENSVKKPSCLPVVSYRREDSQLPISRSSSNLIRNWSAAPVPDHKYQINEELHHRIGMMETSLTKFGMILDSVQSDLMQVKKGIKEVSLETEGMLQKLIVLDTSLKLTNTGQEDVKFSLEGSLKSLSEQLSKDRYQDNLQQIFLVLSTLPKQMEMFLYKLQNELCTTFTKEIQAMACSVKTPLDQKSQSITVVLPKVTGNHVTPPRRTEPVKNPALPPKVSVHAKIVPKMETGGWKSVKVEQRSFTRAASLREQKRNKVSSDQQEKQSRVIIDSDEEIEGGFSCLIDVKETGIRNPILDVSKEETARILRKARRQKRKYCNPIIIN >Potri.002G160100.5.v4.1 pep chromosome:Pop_tri_v4:2:12212747:12218545:-1 gene:Potri.002G160100.v4.1 transcript:Potri.002G160100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160100.v4.1 MKLKINKACDLSSISVLPPQSRRSSSIPVGPQQASQIRSQQSQQSFSQGFSSQHGMFSQISQTSLDEALTNGQRFSSQERENSVKKPSCLPVVSYRREDSQLPISRSSSNLIRNWSAAPVPDHKYQINEELHHRIGMMETSLTKFGMILDSVQSDLMQVKKGIKEVSLETEGMLQKLIVLDTSLKLTNTGQEDVKFSLEGSLKSLSEQLSKDRYQDNLQQIFLVLSTLPKQMEMFLYKLQNELCTTFTKEIQAMACSVKTPLDQKSQSITVVLPKVTGNHVTPPRRTEPVKNPALPPKVSVHAKIVPKMETGGWKSVKVEQRSFTRAASLREQKRNKVSSDQQEKQSRVIIDSDEEIEGGFSCLIDVKETGIRNPILDVSKEETARILRKARRQKRKYCNPIIIN >Potri.002G009100.1.v4.1 pep chromosome:Pop_tri_v4:2:538294:543236:1 gene:Potri.002G009100.v4.1 transcript:Potri.002G009100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009100.v4.1 MGEEADPTMKKKKKKGRPSLLELQKRSLKQQQLQQTTPISLKNPNPLNSNSALPNRRSARRSSNSYAPEWIDGDDDEDDERKEKKHKLLRGLNSQKNNNQNSNSSSPSNLHGSDSNAGGGNQEDGIRRRKISAVRLGSDDLGEKVLKGTDTIHGSSVEPGPTTPLPDKKLLVFILDRLQKKDTYGVFSEPVDPEELPDYFDIVENPMDFSTVRKKLDEGAYAHLEQFEKDVLLICSNAMQYNPSDTIYFRQARAMQELAKKDFENLRQDSDDSEPQTKVARRGRPPALGKLKKALERSPIDRVGPEASSDATLATGGDHNNLSNGYNLRKSSSYKYQPGDAFVRASYSSENYSTWLSEWENEFPASVVKAVMKYGKKPFVLDENKRDTYKHPLGSHEPSILSTFEGELKQLVVVGLSSEHGYARSLARFAADLGPVVWRIASKKIESVLPTGLEFGPGWVGENKAMEKQKILNNLVSDNHLSRFQPAASSSREAAWNREGLPETVGGLNPQNELATLNSGAGGMKSMPSLQIQQKPIIHPDMNGFSGGFGYNSSPQPGMARTVAPTGKLNLEQTAVPSQMFGVVPTGNSAFISVPGNDFNTNKGMLSETSSGLLQPGISPAVGSSSDSRTFGNVGFGGKSSWQGFLPYQQQGTVPFPPDLNVGFLAPGSPTSSVPIGSPRQPDLALQL >Potri.001G269000.2.v4.1 pep chromosome:Pop_tri_v4:1:28376658:28377872:1 gene:Potri.001G269000.v4.1 transcript:Potri.001G269000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269000.v4.1 MASVVISRRLSPKSLKPSPPSISSLFISHRPQNHTLDSKPTLPQRPLNPNLNLSNSLSSYPAGSSILEHQSDPKPTNLTLNFIRSHSYSGTKTKDHDFIRSTMKNPRIFSAPSIGQAQNSVQNPGFKQKISIFAKSMNSSLDFKLKNPRYIGLLNPKHRYFSSSGSSSDSDEPQDQSEYPSQNPDFKDQEIEGLTVERDLSALANETREVLERTMKNIYGLSRAVAVLGLVQLGLGAWISYVTKATPMTEVSIQSFVAFGFPFTLAFKLRQTLKPMLFFRKMEELGRLQILTLTLQITKNLNIFFVRVRGVSFLCIAGMSVGLLFTLLSR >Potri.001G302400.1.v4.1 pep chromosome:Pop_tri_v4:1:31280897:31282621:-1 gene:Potri.001G302400.v4.1 transcript:Potri.001G302400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302400.v4.1 MDSKSYQLHVLFLPYMAPGHMIPIVDMARLFARRGVKATIISTPLNAPFFSKAIERDGQLGHDISIRIIKFPSAEAGLPEGCENLSSIISWDMHANFLKAMSMLQQPIEQLLEECHPHCLVADMTFTWATEVADKLRIPRLYFSGTSYFAMCVFDSLKRYEPHRRVDSDFEPFIVPGLPDQIKTTRQQLPDYLKQTTEHEFTKLVNQVSESELRSYGVLVNSFHELEPAYSEHYRKVMGRKAWHIGPLSLCNRNIEDKAERGNTASIGKHECLRWLDLKKPNSVLYICFGTLLDFPAAQLREIALALEASGQNFIWVVRKGELRKHEDKEEWLPEGFERRMEGKGLIIRGWAPQVLILDHKAVGGFMTHCGWNSTLEAVTAGLPLVTWPLYAEQFDNEKLITDVLKIGIGVGALEWSRYAKKILVMKDDIEKAIVHLMVGEEAEEIRNRARELQEMARNAMEEGGSSYSDLTALLEELRALETSKQESAVH >Potri.012G097400.1.v4.1 pep chromosome:Pop_tri_v4:12:12123817:12128741:-1 gene:Potri.012G097400.v4.1 transcript:Potri.012G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097400.v4.1 MKETVHHFPVNILATSSAVLVFWLLCFANLTFVQADIHGAGEQALAKIDIYKATLALDGSALITAYPRILGSLGEDSEWITVNIMNEKPSADDWVGVFSPANFNASTCPPQDDQWQESPYICTAPIKYKYANHSNPEYTKTGKGTLRFLLINQRADFAFVLFSGGLSYPKLVSVSNKLQFSNPEAPVYPRLAHGKSWDEMTVTWTSGYNIDEAVPFVEWGMKGETPKRSPAGTLTFKQNSMCGSPARTVGWRDPGFIHTSFLKDLWPNTVYAYRMGHILSDGSYVWSKVFSFKSSPYPGQDSLQRVIIFGDMGKAERDGSNEYSDYQPGSLNTTDQLIKDLDNFDIVFHIGDLPYANGYISQWDQFTAQVQPITSTVPYMIASGNHERDWPNSGSFYDTSDSGGECGVPAETMYYVPAENRAKFWYSTDYGMFHFCIADSEHDWREGTEQYKFIEKCLASVDRQKQPWLIFSAHRVLGYSSNSWYGLEGAFEEPMGRESLQKLWQKYRVDIAFFGHVHNYERTCPVYQNQCVSKEKHHYSGTMNGTIHVVVGGGGSHLSEYSSVIPNWSIYRDYDFGFVKLTAFNHSSLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDSCPATTLAT >Potri.005G098800.1.v4.1 pep chromosome:Pop_tri_v4:5:7095536:7098714:-1 gene:Potri.005G098800.v4.1 transcript:Potri.005G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098800.v4.1 MVMEKDQRRLKAQDCRAATGYYALCAVSGMLSAGTTHLAITPLDVLKVNMQVNPVKYYSIYSCFTTLLREQGPSAFWRGWAGKFFGYGAQGGCRFGLYEYFKTLYSNVLVDCNRSFIFFMSSASAEVFANLALCPFEAVKVRVQAQPHFAKGLADGFPKVYRTEGFLGFYRGLVPLWGRNLPFSMVMFSTFEHSVDFLYCNVIKRRKEDCSKAQQLGVTCLAGYTAGSVGSFISNPADNIVASLYSKKADSLILAVRKIGFSNLFTRSLPIRIMLVGPVVTLQWLFYDTIKVLSGLPTSGEVRTDVKVDGADKANEVR >Potri.017G095632.1.v4.1 pep chromosome:Pop_tri_v4:17:10828596:10829820:1 gene:Potri.017G095632.v4.1 transcript:Potri.017G095632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095632.v4.1 MLSQLRFRHLVSLIGYCNENNEMILVSDYMARGTLRDHLYRTDNPPLSWTQRLEICIGAARGLHYLHTGAKHTVIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTSTSKGHVSTVVKGSIGYLDPEYCQRQQLTEKSDVYSFGVVLFEVLCARPAINRSAVPASLAELARQSHSNGTINEIIDPYLDGKISPDCLKKFVDVAVRCLLENGIERPSMTDVVWGLEFALQLQGSADENVKGAQTEKEVDMVSPLKGSSIDDSSNLFSTGSELVVNSRILEMATTISSHGQSFLSNESEKMMSGAVFSEIMNPKGR >Potri.016G117400.1.v4.1 pep chromosome:Pop_tri_v4:16:12309832:12311976:-1 gene:Potri.016G117400.v4.1 transcript:Potri.016G117400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117400.v4.1 MSHSHNSGSGCFSGIVRLLLCRGSHQTHPSDQRVEPITPEFFNHVKKDPKTGIDANVEAPGSTTPGVVARLMGLDSLPDTNRVPRGRSNPESVTRSRSVNFMDYLLQLDLAQAQHRRVRTSVSFREVPALMNQENHDVYVLYLDDQDKKPKKMGSKQRKSEGISFGDQMKQKNYEERSKNKEGIVTREGAVKTEKNRHNNNMKVSTSKNEPKRVSSSRQFSSVGNCDGVQFSSGFVMPHKKDVCRKSRENPRARSPVKKPVNQKEVLVESKFMKRIKKRQAYKDSQSDCSSEDSSTISIFGLSEFLAHDAIPLAGDTWPVDLKFSKKISSPNPPDLSDNMLINGEDEPVGIKKNDFESCNNRNKEHYSEVLRKLCRLTEEDVKESRWVMKNTFDSECLEEMFTEFGQHILDLLLCQVVEELAESHMEEF >Potri.015G046200.1.v4.1 pep chromosome:Pop_tri_v4:15:4508834:4511047:-1 gene:Potri.015G046200.v4.1 transcript:Potri.015G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046200.v4.1 MSEDIIMSSLKKSMTSSKEDGSELRRGPWTLEEDTLLVHYIGRHGEGRWNLLAKRAGLRRTGKSCRLRWLNYLKPDVKRGNLTPQEQLLILDLHSKWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKQARHLKIDANSTAFENIIRWFWMPRLLQKIGGSSTSSSAMPSQRPAVVSQPNLNYAPQFSTFPPALPPLVQQQEAPLDMSVTMHYLEPHEQTSDSELGTSSCISSAESMNVSQMSQLSEYPTSPFQAIGNNSSEYSTLAKGCYYVDSNCYDMEAINLATMPVPGELGISAGDRHMEDGDWGAYDFGDTMWNMDELWQFRNLQGKEN >Potri.008G217300.1.v4.1 pep chromosome:Pop_tri_v4:8:16294268:16302914:1 gene:Potri.008G217300.v4.1 transcript:Potri.008G217300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217300.v4.1 MAINERKTIDLEQGWEFMQKGITKLKNILEGLQEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKASNWILDDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSGIFKQHVTAEGTALVKQAEDAASNKKADKKDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQIKTKHRKLTWIYSLGTCNLIGKFEQKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKIISPTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNLFRYLA >Potri.008G217300.5.v4.1 pep chromosome:Pop_tri_v4:8:16294304:16302841:1 gene:Potri.008G217300.v4.1 transcript:Potri.008G217300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217300.v4.1 MAINERKTIDLEQGWEFMQKGITKLKNILEGLQEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKASNWILDDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSGIFKQHVTAEGTALVKQAEDAASNKKADKKDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQIKTKHRKLTWIYSLGTCNLIGKFEQKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKIISPTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNLFRYLA >Potri.008G217300.4.v4.1 pep chromosome:Pop_tri_v4:8:16294393:16302907:1 gene:Potri.008G217300.v4.1 transcript:Potri.008G217300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217300.v4.1 MAINERKTIDLEQGWEFMQKGITKLKNILEGLQEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKASNWILDDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSGIFKQHVTAEGTALVKQAEDAASNKKADKKDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQIKTKHRKLTWIYSLGTCNLIGKFEQKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKIISPTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNLFRYLA >Potri.008G217300.6.v4.1 pep chromosome:Pop_tri_v4:8:16294287:16302793:1 gene:Potri.008G217300.v4.1 transcript:Potri.008G217300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217300.v4.1 MAINERKTIDLEQGWEFMQKGITKLKNILEGLQEPQFSSEDYMMLYTTIYNMCTQKPPHDYSQQLYDKYRESFEEYITSTVLPSLREKHDEFMLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDTAAYYSRKASNWILDDSCPDYMLKAEECLKREKDRVSHYLHSSSEPKLLEKVQHELLSVYATQLLEKEHSGCHALLRDDKVEDLSRMFRLFSKIPRGLDPVSGIFKQHVTAEGTALVKQAEDAASNKKADKKDVVGLQEQVFVRKVIELHDKYLAYVNDCFQNHTLFHKALKEAFEVFCNKGVAGSSSAELLATFCDNILKKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDKSANDDHERSILTKLKQQCGGQFTSKMEGMVTDLTLARENQTSFEEYLSNNPNANPGIDLTVTVLTTGFWPSYKSFDLNLPAEMVKCVEVFREFYQIKTKHRKLTWIYSLGTCNLIGKFEQKTMELIVTTYQASALLLFNSSDRLSYSEIMTQLNLTDDDVVRLLHSLSCAKYKILNKEPNTKIISPTDHFEFNSKFTDKMRRIKIPLPPVDEKKKVIEDVDKDRRYAIDASIVRIMKSRKVLGHQQLVMECVEQLGRMFKPDFKAIKKRIEDLITRDYLERDKENPNLFRYLA >Potri.006G256100.1.v4.1 pep chromosome:Pop_tri_v4:6:25353256:25353621:-1 gene:Potri.006G256100.v4.1 transcript:Potri.006G256100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256100.v4.1 KFIATILIFSLLFLSTFSSACDPCHPKPKPKPSPPTAPTCPKDTLKLGVCADLLGPVNVVAGTPPYSKCCSLLEGLADMEAASCLCTAIKANVLGTNLNVPVALSAIVSACGKSIPPGFQC >Potri.002G171700.1.v4.1 pep chromosome:Pop_tri_v4:2:13213292:13215244:-1 gene:Potri.002G171700.v4.1 transcript:Potri.002G171700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171700.v4.1 MEGKARNAPALLFFSFTCVFLSLSTTTLSTSPQFQTLTVNPLPNKPTLSWADTGPESEPETQTLTDSTSTEASTTTSLSVQLHHLDALSSDETPQDLFNSRLARDASRVKSLTSLAAAVGSTNRTRARGPGFSSSVTSGLAQGSGEYFTRLGVGTPARYVFMVLDTGSDVVWIQCAPCKKCYSQTDPVFNPTKSRSFANIPCGSPLCRRLDSPGCSTKKQICLYQVSYGDGSFTYGEFSTETLTFRGTRVGRVALGCGHDNEGLFIGAAGLLGLGRGRLSFPSQIGRRFSRKFSYCLVDRSASSKPSYMVFGDSAISRTARFTPLVSNPKLDTFYYVELLGVSVGGTRVPGITASLFKLDSTGNGGVIIDSGTSVTRLTRPAYVALRDAFRVGASNLKRAPEFSLFDTCFDLSGKTEVKVPTVVLHFRGADVSLPASNYLIPVDNSGSFCFAFAGTMSGLSIVGNIQQQGFRVVYDLAASRVGFAPRGCA >Potri.010G168300.1.v4.1 pep chromosome:Pop_tri_v4:10:17091172:17091994:-1 gene:Potri.010G168300.v4.1 transcript:Potri.010G168300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168300.v4.1 MASETEFPAEFSSMFERLLRHRDLSLFLPFILGFTSTNTTEQRDPDQEAPQTTDPNERIILINPFTQGMVVIEGAASLESLLRDIGNKKGQPPASKASIEAMPKVEIGEDNKDGECAICLEEWELGGVVKEMPCKHRFHGGCVEKWLKIHGNCPVCRYKMPVDEEELGKKRDEGDGGRERRVEREIWVSFAFNGSRRNGDSNENPSNDSSTEDQERFL >Potri.003G190900.2.v4.1 pep chromosome:Pop_tri_v4:3:19436158:19439894:1 gene:Potri.003G190900.v4.1 transcript:Potri.003G190900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190900.v4.1 MDHYDLHAHRREMKHKGRNVVWSIAMDKCLIEALAIQARNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVIRDMLSQDGFRWNPSTKMIECESDDLWKRYIAVHPDAKGIRGKQIDMYNELKIVCGNYQAPSRWAKVKDGGHPTRNFAEDSASLLSPSSEDASETDGTDSYSEQPEYMPDGNQDPLIQPVRPLPKAHGSETLQDAMSAVASSLRRLADAMELSKITINASELLQAVMEIDGLDEAKQMYAFEYLNADPIKARAFMTYDTRMRKIYLFRQFWWWK >Potri.003G190900.1.v4.1 pep chromosome:Pop_tri_v4:3:19436109:19439921:1 gene:Potri.003G190900.v4.1 transcript:Potri.003G190900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190900.v4.1 MDHYDLHAHRREMKHKGRNVVWSIAMDKCLIEALAIQARNGNKIDKCFNENAYTAACIAVNSRFNLNLNNQKVINRLKTIKKRYKVIRDMLSQDGFRWNPSTKMIECESDDLWKRYIAVHPDAKGIRGKQIDMYNELKIVCGNYQAPSRWAKVKDGGHPTRNFAEDSASLLSPSSEDASETDGTDSYSEQPEYMPDGNQDPLIQPVRPLPKAHGSETLQDAMSAVASSLRRLADAMELSKITINASELLQAVMEIDGLDEAKQMYAFEYLNADPIKARAFMTYDTRMRKIYLFRQFWWWK >Potri.004G195800.1.v4.1 pep chromosome:Pop_tri_v4:4:20821143:20822660:-1 gene:Potri.004G195800.v4.1 transcript:Potri.004G195800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G195800.v4.1 MAALTLTHNLLFAPTPPLKTLKPHQKPKPHNLTITATSISGRKLRAAVIGGGPAGSSAAEALAAGGVETFLFERSPSTAKPCGGAIPLCMIDEFSIPLHLVDRHVTRMKIISPSNLTVDFGSRTLKSHEFIPMLRREVLDSFLRSRAQSNGAHFITGLVTDIEVPDFFSSKPYVIHHTINNRKQSLAVDLIVGADGANSRVAKIIDAGNYSCAIAFQERIKLPDEKMEYYHDLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTVCARQNIKVYQRGIRERVREKIKGGKVIKVEAHPIPEHPRPRRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVKASEGGEKMISEEDLKREYLREWDRKYVNTFRFLDLLQKVFYGSDVGREALVELCGDEYVQRMTFDSYLYKKLATGDRWGDVKLVLNTFGSLMRCKVLGREMEALKL >Potri.004G195800.2.v4.1 pep chromosome:Pop_tri_v4:4:20820497:20822596:-1 gene:Potri.004G195800.v4.1 transcript:Potri.004G195800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G195800.v4.1 MIDEFSIPLHLVDRHVTRMKIISPSNLTVDFGSRTLKSHEFIPMLRREVLDSFLRSRAQSNGAHFITGLVTDIEVPDFFSSKPYVIHHTINNRKQSLAVDLIVGADGANSRVAKIIDAGNYSCAIAFQERIKLPDEKMEYYHDLAEMYVGNDVSPDFYAWVFPKCDHVAVGTGTVCARQNIKVYQRGIRERVREKIKGGKVIKVEAHPIPEHPRPRRVRGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCGEAIVKASEGGEKMISEEDLKREYLREWDRKYVNTFRFLDLLQKVFYGSDVGREALVELCGDEYVQRMTFDSYLYKKLATGDRWGDVKLVLNTFGSLMRCKVLGREMEALKL >Potri.001G204100.1.v4.1 pep chromosome:Pop_tri_v4:1:20660595:20662847:1 gene:Potri.001G204100.v4.1 transcript:Potri.001G204100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204100.v4.1 MKTTTTATITATIPTPYKRKQINVDGGFNMEEEEEKKIVGIMTDGVSNGFYAVDPFFLKWTVHDMVNVAKHHWLPCFFGFGLLFFMAVEYTLPMVPASSPPFDLGFVATHLLHGLLYSWPELNTLLAALNTVFVGMQTTYILWTWLVEGRPRATISALFMFTCRGILGYSTQLPLPEEFLGSGADFPVGNVSFFLFFSGHVAGSVIASLDMRRMQRWELAWTFDVLNVLQVIRLLGTRGHYTIDLVVGVGAGILFDSLAGKYQECIRRKSIAAKEAFFS >Potri.002G064000.1.v4.1 pep chromosome:Pop_tri_v4:2:4390379:4393786:1 gene:Potri.002G064000.v4.1 transcript:Potri.002G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064000.v4.1 MIKTRSLKHVLCLVCSIILNIFFLFKVGGEWNLSWSKTAATEAEAVAAIPCSGHGRAYLDGLVLDGNKGPVCECNTCYGGPDCSQFFPDCSANANGGDPLFLEPFWMQHAASSALLVAGWHRMSYSYDDQSTISKELERHIRKLHDIVGNAATEGRYVVFGAGSTQLLSAAVYALSPDNSSSPARVVASIPFYPVYEMQTDFFQSVDFHFQGDTSFWKNNSDTDTEIIEFVTSPNNPDGQLNTAVLHGPNVKEIYDHAYYWPHFTAIPAPADGDVMIFTLSKLTGHAGSRFGWAIIKDKDIYQRMLTYLTLNTLGVSKDSQLRALKLLKVVLATGGREIFEFGHETMRKRWEKLNKVISTSKRFSLQKITPKYCTYFQQIRGASPAYGWLKCENQEDKHCYAVLQADANITGRQGSIFFAEDRYVRLSLIRSQDDFDLLLDKLNGVVAGEEGARTM >Potri.013G012600.1.v4.1 pep chromosome:Pop_tri_v4:13:835610:837009:1 gene:Potri.013G012600.v4.1 transcript:Potri.013G012600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012600.v4.1 MEGFMDRHEFNILPEGCVSTILSFTSPQDACKSSLVSTIFQAAADSDVVWERFLPTDYQDIVSRSMVPFKFSSKKELFLLLCSSLLVDGGRKSFKLERSSGKKSFMLSARDLHITWSDESPYWHWASLPESRFSEVAVLRTMCWLEIVGMIKTQMLTPNTKYGAYLVLKITDRSYGLDLMPSEISVEVGNNQVSRNTAYLRLAKEHARKKQMESLFYGNRMQVLKSRVAEGEGRVPSERDDGWLEIELGEFFSGENDEEVKMSLMEVKGHHLKGGLIIEGIEVRPKH >Potri.018G134501.1.v4.1 pep chromosome:Pop_tri_v4:18:14345658:14347252:1 gene:Potri.018G134501.v4.1 transcript:Potri.018G134501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134501.v4.1 MFVFCAVFRRELKQVISLPFNIYKGLLKEKLLKGYTRKRRIAVKKLDSDSKQGLRQWQTEVGFLARVSHPNIVKLLGYCQENANKELLIVYQFMEKGSLNYHLFGKRSDRLLPWETRLKIITGMARALSYLHTIEGKKALNLFSKSDASFFLGTIASFNIWFCMATTNFLAQLSLSSGVFAAMRGNKVTEDREFPVSPDEEEP >Potri.003G087100.1.v4.1 pep chromosome:Pop_tri_v4:3:11360297:11362761:-1 gene:Potri.003G087100.v4.1 transcript:Potri.003G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087100.v4.1 MTINHKYYKHSLSLNKFLKSKKNLSKTPPKLFPNDPTPQQITQTISNSSNAPTLDLNHPILQKLEQSCTNIKQFNQIHTQLTVLGLFQHPFAASRYIKKLCACLNSVSHCVSLYNHIEEPDAFMCNTIMRSFVNVNDPFGALRFYYEKMIAKWVLPNHYTFPLVAKVCADIGSLREGQKVHALVVKFGFELDLFVRNSFIRFYSVCGRTSDARMVFDNGFVLDLVSWNSMIDGYVKNGELGLAREIFDEMYERDIFTWNSMISGYVGVGDMEAARGLFDKMPSRDVVSWNCMIDGFARIKDVSMAAKFFDEMPLRNVVSWNVMLALYLRCKKYSDCLRFFDMMVGGDFVPDEASLVSVLTACAELKMLDQGKWVHSYMKDNGIKPDMLLSTALLTMYAKCGAMDLAREVFDKMPEKSVVSWNSMIIGYGIHGHGDKALEMFREMEKGGPMPNDATFMSVLSACSHSGMVWNGWWYFDLMHRKYRIQPKPEHYGCLVDLLGQAGLKEPSEDLTRKTHTEVEPTLWGDLLSACRAHCISEPGEILAKQLIKLFPNHVVPYLLLSNTYVAEGRWDDVENLRMTLKNKTLNSKMVFTSRRHSMLSEAAAQIKLSNIDSIRT >Potri.008G111200.1.v4.1 pep chromosome:Pop_tri_v4:8:7066889:7073208:1 gene:Potri.008G111200.v4.1 transcript:Potri.008G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111200.v4.1 MAGGGKRKRLRLSKLYSFACGKTSLKGDQSQMGSPGFSRVVHCNEPDCFEAKIRKYSSNYVSTTKYNVATFLPKSLFEQFRRVANFYFLVVGVLAFTPLAPYTAVSAIFPLIVVVGATMVKEGIEDWKRAQQDIEMNSRKTRLHQGDGTFKSTGWKNLRVGDIVKVKKDEYFPADLLLLSSTYDDGICYVETMNLDGETNLKLKQALESTAFMHEDSYYRDFKALIKCEDPNTNLYSFVGTLDFEQNLYPLSPQRLLLRDSKLRNTEYIYGAVIFTGHDTKVMQNSTAPPSKRSKFEKQMDKIVYFLFFVLFMMAFIGSLVFGVATDNDLDGQRMKRWYLKPDESTIYFDPKRVVMASLYHFLTALMLYNYFIPISLYVSIEVVKVFQSSFINNDINLYYEPSDRPAHSRTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGHGVTEAERGMAMREGESVNGWDQSKDSSSTKPHVKGFNFKDERIMDGKWVHEPQAHIIEKFFRLLAICHTAIPDVDEETGKISYEAESPDEAAFVIAAREIGFEFYKRTQTSVAVREYNPETGRKVERVYTVLNVLEFNSARKRMSVIVRNEEGKLLLLSKGADSVMFERLAKSGRKFEEETRNHVNDYADSGLRTLILAYRELDEEEYRIFNQKFTEAKNSVNADRESLIDEVAEKVERNLILLGATAVEDKLQEGVPACIDKLAQAGIKIWVLTGDKMETAINIGFSCCLLRQGMKQIIINLENPEILSLEKTGDKDTIAKASRENVLRQITDGKALLTGPSGTAEIFALIIDGKSLAYALEDDMKHLFLDLAMSCASVICCRSSPKQKALVTRLVKIGTRKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAAMASDVAIAQFRYLERLLLVHGHWCYRRLSSMICYFFYKNIAFGFSIWLYEAYTSFSAQSVYSDWFLSFYNVFFTALPVAALGIFEQDVSAASCLKYPLLYQEGVKNLLFGWRRVLHWLGNGFYTAMVVFFFCTSALQHQAFTRDGKTVGMEVLGGTMYTCIVWAVNLQMALSVCYFTKIQRGLIIYCLCMLYIFFLAFGSLSPSMSKTAYKLFTEALAPAASYWFTIIFVIIAALLPFYAYSAIETRFFPMYHQMIQRLESGKHEDDPEYCDMMRQRLLRPPTSVGFSARLAARANKLRRKNKNQPR >Potri.008G111200.2.v4.1 pep chromosome:Pop_tri_v4:8:7066763:7073230:1 gene:Potri.008G111200.v4.1 transcript:Potri.008G111200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111200.v4.1 MAGGGKRKRLRLSKLYSFACGKTSLKGDQSQMGSPGFSRVVHCNEPDCFEAKIRKYSSNYVSTTKYNVATFLPKSLFEQFRRVANFYFLVVGVLAFTPLAPYTAVSAIFPLIVVVGATMVKEGIEDWKRAQQDIEMNSRKTRLHQGDGTFKSTGWKNLRVGDIVKVKKDEYFPADLLLLSSTYDDGICYVETMNLDGETNLKLKQALESTAFMHEDSYYRDFKALIKCEDPNTNLYSFVGTLDFEQNLYPLSPQRLLLRDSKLRNTEYIYGAVIFTGHDTKVMQNSTAPPSKRSKFEKQMDKIVYFLFFVLFMMAFIGSLVFGVATDNDLDGQRMKRWYLKPDESTIYFDPKRVVMASLYHFLTALMLYNYFIPISLYVSIEVVKVFQSSFINNDINLYYEPSDRPAHSRTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGHGVTEAERGMAMREGESVNGWDQSKDSSSTKPHVKGFNFKDERIMDGKWVHEPQAHIIEKFFRLLAICHTAIPDVDEETGKISYEAESPDEAAFVIAAREIGFEFYKRTQTSVAVREYNPETGRKVERVYTVLNVLEFNSARKRMSVIVRNEEGKLLLLSKGADSVMFERLAKSGRKFEEETRNHVNDYADSGLRTLILAYRELDEEEYRIFNQKFTEAKNSVNADRESLIDEVAEKVERNLILLGATAVEDKLQEGVPACIDKLAQAGIKIWVLTGDKMETAINIGFSCCLLRQGMKQIIINLENPEILSLEKTGDKDTIAKASRENVLRQITDGKALLTGPSGTAEIFALIIDGKSLAYALEDDMKHLFLDLAMSCASVICCRSSPKQKALVTRLVKIGTRKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAAMASDVAIAQFRYLERLLLVHGHWCYRRLSSMICYFFYKNIAFGFSIWLYEAYTSFSAQSVYSDWFLSFYNVFFTALPVAALGIFEQDVSAASCLKYPLLYQEGVKNLLFGWRRVLHWLGNGFYTAMVVFFFCTSALQHQAFTRDGKTVGMEVLGGTMYTCIVWAVNLQMALSVCYFTKIQRGLIIYCLCMLYIFFLAFGSLSPSMSKTAYKLFTEALAPAASYWFTIIFVIIAALLPFYAYSAIETRFFPMYHQMIQRLESGKHEDDPEYCDMMRQRLLRPPTSVGFSARLAARANKLRRKNKNQPR >Potri.003G072000.1.v4.1 pep chromosome:Pop_tri_v4:3:9976638:9977426:1 gene:Potri.003G072000.v4.1 transcript:Potri.003G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072000.v4.1 MGKLQIKNVMLLSKRSYGIATENLSKQKAAISVMRKARDVKSSEDLETGGSKEFWMRDPKTGNWIPESHFGDIDVAEMREKILSKKDQRF >Potri.010G174200.1.v4.1 pep chromosome:Pop_tri_v4:10:17495816:17499261:-1 gene:Potri.010G174200.v4.1 transcript:Potri.010G174200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174200.v4.1 MGSRARRKQRWSTQTLTPLLEGPDPDMQEEGNKKESSWEVIREWFRLQKGLPAGNSFSVSLHGSIPVKGQDLRLLLGVLGCPLAPIPLVNDPIHRIHIKNTPIENSAAHYIIQQYLAATGCLKQQKCMKNMYSTGSVKMIRCETEISSGKNVKSLGTRSGENGCFVLWQMLPGMWSLELVVGENKVIAGSDGKTVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKSTASLFAKAQCLGEKRIGEDDCFVLKVAADREAVMERSEGPAEVLRHVLYGYFCQKSGLLMYLEDSHLTRVQTPENETIYWETTIGSSIGDYRDVDGVLIAHQGRSIATVFRFEEVSVQHSRTRMEEVWRIDDVVFNVPGLSMDYFIPPADIYDASP >Potri.019G053900.10.v4.1 pep chromosome:Pop_tri_v4:19:9083048:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRYPV >Potri.019G053900.7.v4.1 pep chromosome:Pop_tri_v4:19:9083074:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRKIESSLVETNMPSRLVREPRVVVQVESEVDILDDGYRWRKYGQKVVKGNPNPSWQHLIIFPRHRQGIGIQSWCFSFTSQELL >Potri.019G053900.6.v4.1 pep chromosome:Pop_tri_v4:19:9083074:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGINPGAAASVKRESRNGDGNARGIVSTVERRAAKCGFKMKKSERINTARFRTTSPLNSPSATPFIIIPPGVSPTALLDTPVMLPNSHGQRMGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRYPV >Potri.019G053900.8.v4.1 pep chromosome:Pop_tri_v4:19:9083048:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRKIESSLVETNMPSRLVREPRVVVQVESEVDILDDGYRWRKYGQKVVKGNPNPRY >Potri.019G053900.2.v4.1 pep chromosome:Pop_tri_v4:19:9083074:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGINPGAAASVKRESRNGDGNARGIVSTVERRAAKCGFKMKKSERINTARFRTTSPLNSPSATPFIIIPPGVSPTALLDTPVMLPNSHGQRMGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRKIESSLVETNMPSRLVREPRVVVQVESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCSVRKHVERGSRNLKHVITTYEGKHDHKVPAEKQQSRILSW >Potri.019G053900.4.v4.1 pep chromosome:Pop_tri_v4:19:9083074:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRKIESSLVETNMPSRLVREPRVVVQVESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSPGCSVRKHVERGSRNLKHVITTYEGKHDHKVPAEKQQSRILSW >Potri.019G053900.11.v4.1 pep chromosome:Pop_tri_v4:19:9083048:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRYPV >Potri.019G053900.5.v4.1 pep chromosome:Pop_tri_v4:19:9083074:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGINPGAAASVKRESRNGDGNARGIVSTVERRAAKCGFKMKKSERINTARFRTTSPLNSPSATPFIIIPPGVSPTALLDTPVMLPNSHGQRMGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRYPV >Potri.019G053900.3.v4.1 pep chromosome:Pop_tri_v4:19:9083074:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGINPGAAASVKRESRNGDGNARGIVSTVERRAAKCGFKMKKSERINTARFRTTSPLNSPSATPFIIIPPGVSPTALLDTPVMLPNSHGQRMGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRKIESSLVETNMPSRLVREPRVVVQVESEVDILDDGYRWRKYGQKVVKGNPNPSWQHLIIFPRHRQGIGIQSWCFSFTSQELL >Potri.019G053900.9.v4.1 pep chromosome:Pop_tri_v4:19:9083048:9087112:1 gene:Potri.019G053900.v4.1 transcript:Potri.019G053900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053900.v4.1 MGGYGDGDRGSSNSSGLSFRFKPYGYGDALPGFCTSGNQGNDFDFEANILLDPAMDFQFPMGFSEEAALEKCTSGSRTDVNVLDSMIANATCSSDSPSNPIYVQSETIHEENIESHHHLLEEQKGTLPATAIGRTSDDGYNWRKYGKKLIKGSKHPRSYYKCNHENCLVKKKIECAHDGQITGILYKGTHNHPQPQPGSGAPPGPASSLYEMPEMGEGGNSVKVKGGSVQKNRESGSKDIKVHDGKVDGLERTSSTSVVTELSDPLSAAQVKSLGTSESTETPELSSILASHDDGVTQGSSFSVDVDDESESKRRKIESSLVETNMPSRLVREPRVVVQVESEVDILDDGYRWRKYGQKVVKGNPNPRY >Potri.014G031200.3.v4.1 pep chromosome:Pop_tri_v4:14:1958250:1963058:-1 gene:Potri.014G031200.v4.1 transcript:Potri.014G031200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031200.v4.1 MMAATREHQTVPLSVLLKRELANERVEKPEILYGQASQSKKGEDFTLLKTECQRIVGDGITTYSVFGLFDGHNGSAAAIYTKENLLNNIIAAMPPDLNRDEWVAALPRALVAGFVKTDKDFQERARTSGTTVTFAIIEGLFITVASVGDSRCILESAEGDIYYLSADHRLECNEEERERITASGGEVGRLNTGGGAEIGPLRCWPGGLCLSRSIGDVDVGEYIVPVPYVKQIKLSTAGGRLVISSDGVWDALSAEVALDCCRGMAAETAAAQIVKEAVQVKGLRDDTTCIVIDIVPPEKPAASLPQPKKLGRGVFKSMFRRKSSESSSQIDKEYLEPDVVEELYEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEIKPDEGISVHAGSSDFGKLRPWDGPFLCLSCQEKKEAMEGKRQSGDRHSSDSD >Potri.014G031200.1.v4.1 pep chromosome:Pop_tri_v4:14:1958107:1962628:-1 gene:Potri.014G031200.v4.1 transcript:Potri.014G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031200.v4.1 MMAATREHQTVPLSVLLKRELANERVEKPEILYGQASQSKKGEDFTLLKTECQRIVGDGITTYSVFGLFDGHNGSAAAIYTKENLLNNIIAAMPPDLNRDEWVAALPRALVAGFVKTDKDFQERARTSGTTVTFAIIEGLFITVASVGDSRCILESAEGDIYYLSADHRLECNEEERERITASGGEVGRLNTGGGAEIGPLRCWPGGLCLSRSIGDVDVGEYIVPVPYVKQIKLSTAGGRLVISSDGVWDALSAEVALDCCRGMAAETAAAQIVKEAVQVKGLRDDTTCIVIDIVPPEKPAASLPQPKKLGRGVFKSMFRRKSSESSSQIDKEYLEPDVVEELYEEGSAMLSERLDTKYPLCNMFKLFMCAVCQVEIKPDEGISVHAGSSDFGKLRPWDGPFLCLSCQEKKEAMEGKRQSGDRHSSDSD >Potri.006G267400.1.v4.1 pep chromosome:Pop_tri_v4:6:26201810:26203866:-1 gene:Potri.006G267400.v4.1 transcript:Potri.006G267400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267400.v4.1 MAVCVCSLPKKALKNLLMETTSVPFSARPHLCSFLALVLLYVVSSPCFASLFFNFYGASCPAAELIVSNKVRSASSSDPTIPGKLVRLVFHDCFVEGCDASVLLQGNGTERSDPGNRSLGGFQVIDSAKRNLEIFCPGTVSCADVVALAARDAVAISGGPQLQIPTGRRDGRVSAAANVRPNIIDTTFTMNEMISIFTAKGLSLEDLVVLSGAHTIGSAHCSAFRDRFQENSKGKLTLIDSSLDKNYANELTQRCPVDASDSITVVNDPETSLSFDNQYYRNLVAHKGLFQSDSVLLDDNRTRNLVEDLANDQGRFFESWSQSFLKLTSIGVKTGEEGEIRQSCSMTNG >Potri.017G143049.1.v4.1 pep chromosome:Pop_tri_v4:17:14348303:14351440:1 gene:Potri.017G143049.v4.1 transcript:Potri.017G143049.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143049.v4.1 MNPRKPPSMETNTLLLLSLALLSLICFSTSFSPTDNFLVNCGSNTNTSFTPTDSRIFLPDSTKQGPVSLSKGQSISLKNQNPSPNSPTLYSTARVFTTASSYQFNIKRNGTHLVRFHFSPFKAQGFDLSTAKFSILVNGNLLLSDFSTKVVVLKEYILRVDDNALEILFSPAGESSFGFVNAIEVFSAPKDFILDEGAKLVSANGIEVYKNLSSHVLETIHRINVGGSKLVPFNDTLWRTWIPDEDFLVLKSAAKRAVTTHVPNYQSGGASREIAPENVYMTAQQMNKDNNPLQSRFNITWNFPVGSGGVRHLVRLHFCDIVSTSLSQLYFDVYLNDYSAYNDLDLSSLTFHVLSSPMYIDFIVDSNDLGAVQVSIGPSAVSSLMKVNAILNGVEIMKMVNPSHLHSESKKITVWIVVASSIGGFVLCLAVFVVILACKCKKKKPKPTRVESAGWTPLRVYGGSTHSRMSEVTVNEYRSLKIPFADVQLATNNFDNSLIIGSGGFGMVFKGVLKDNTKVAVKRGVPGSRQGLPEFQTEITVLSKIRHRHLVSLVGYCEEQSEMILVYEYMEKGPLKKHLYGPGCSHLSWKQRLEICIGAARGLHYLHTGSAQGIIHRDIKSTNILLDENYLAKVADFGLSRSGPCLDETHVSTGVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEVLCARPAVDPLLAREQVNLAEWAMQWQKKGILEQIIDPHLMGQIKQNSLKKFGETAEKCLADYGVDRPSMGDVLWNLEYALQLQESDSKPSREPRDDSNANAPELTTPRIAPQAPSINTETETDSGDGPSEIRNSQVFSQLMTNDGR >Potri.003G051500.1.v4.1 pep chromosome:Pop_tri_v4:3:7551846:7557814:1 gene:Potri.003G051500.v4.1 transcript:Potri.003G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051500.v4.1 MAALNLRQPPPEDEWVHTYLKLLPQWKPLALSHQSMIPISISRVNQFDAARLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMDLRYRDERAMESRGKVRTGLEGPGLTIAQKIWYCIATVGGQYTWARLQSFSAFRRWGDSEQRPLARRAWILIQRVEGLYKAASFGNLLIFLYTGRFRNLIERVLQARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSVKKFLGPFSKDKSSSSKVDDDTCPICQAIPTIPFLSLPCQHRYCYYCLRTRCAAAPSFRCPRCGEPVVAMQRHGGLAGNTNPNQ >Potri.006G071500.1.v4.1 pep chromosome:Pop_tri_v4:6:5213791:5219547:1 gene:Potri.006G071500.v4.1 transcript:Potri.006G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071500.v4.1 MANSSSFNHRYTVITSLFLVSFLLTIFLFNKRALEPTLYFYRDFFPQTTTASHISLPNFTLPDSEEPVDSVIHQPISQNETIESKTGDDSRNMEVSTENEDDPDGGVDSGEDLIYNSTNVEVSSENKKDPDVDLESGGDLSGNGGDKLMESLKSCDLYRGTWVKDEEYPIYKAGSCPYVDEAFDCQGNGRKDSDYLKWRWKPNDCDLPRFNATDFLTRLRGKRLMLVGDSMNRNQFESMLCLLREGLPDKSKMFEIHGRRITKGRGYYVFKFVDFKCTVEFVRSHFLVKEGVRINAQGSSNPTLSIDVIDKTAGRWKRADILVFNTGHWWTHGKTARGKNYYKEGDYLYPKFDAVEAYRRALRTWGKWIDKNVNPKKQLVFYRGYSSAHFRGGDWDSGGTCNGETEPVLRGSILNNYPVKMKIVEEVIQEMKNPVTLLNVTSLTNFRKDGHPSIFGKNVTAGIKVSSRRQDCSHWCLPGVPDAWNELIYATLVQH >Potri.006G071500.2.v4.1 pep chromosome:Pop_tri_v4:6:5213818:5219357:1 gene:Potri.006G071500.v4.1 transcript:Potri.006G071500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071500.v4.1 MANSSSFNHRYTVITSLFLVSFLLTIFLFNKRALEPTLYFYRDFFPQTTTASHISLPNFTLPDSEEPVDSVIHQPISQNETIESKTGDDSRNMEVSTENEDDPDGGVDSGEDLIYNSTNVEVSSENKKDPDVDLESGGDLSGNGGDKLMESLKSCDLYRGTWVKDEEYPIYKAGSCPYVDEAFDCQGNGRKDSDYLKWRWKPNDCDLPRFNATDFLTRLRGKRLMLVGDSMNRNQFESMLCLLREGLPDKSKMFEIHGRRITKGRGYYVFKFVDFKCTVEFVRSHFLVKEGVRINAQGSSNPTLSIDVIDKTAGRWKRADILVFNTGHWWTHGKTARGKNYYKEGDYLYPKFDAVEAYRRALRTWGKWIDKNVNPKKQLVFYRGYSSAHFRGGDWDSGGTCNGETEPVLRGSILNNYPVKMKIVEEVIQEMKNPVTLLNVTSLTNFRKDGHPSIFGKNVTAGIKVSSRRQDCSHWCLPGVPDAWNELIYATLVQH >Potri.011G145800.4.v4.1 pep chromosome:Pop_tri_v4:11:17439674:17443289:1 gene:Potri.011G145800.v4.1 transcript:Potri.011G145800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145800.v4.1 MIMELEPLTMGNEVIEFDMMGLGDDTVDDIQHQSVDVGVDEVEEEEGGDGEVEGNHFLLNFYDPQSSDNSISGQVYIPQGDTNLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSMSRRSRRDGAIIQRSFVCAKEGFRIDKDKPARSDVRVKRPRAETRVGCKAMLVVKIQDSGRWVVSAFVREHNHELVPPDKVHCLRSHRHVSGSAKSLIDTLQGAGIGPSGIMSALIKEYGGISNVGFTERDCRNYMRSSRQRTLGGDTQHLLDYLRNKQAENSAFFYAVQGDEDQCMSNIFWADAKARANYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCALLVNESEASFLWLFKTWLMAMSERPPVSITTDHDRVICLAVNQVFPETRHRICKWHIFKEFQEKLSHVLSEHPNFEAELHKCVNLTDSVEEFESCWLSLLNRYNLREHEWLQAVYTDRRQWVPAYLRDTFFAEMSITQRSDSINSYFDGYINASTTLQLFVKQYEKALESRYEKEVKADYDSINTAPVLKTPSPMEKQVAELYSRKLFLKFQEELVETLTFLATKVVDDGAITTYRVAKFGENHKAYTVSFNVREMKASCSCLMFEFSGLLCRHILTVFRVTNVLTLPSHYVLKRWTRNAKSGVILEEHASDFLGSSRESLTFRYSNLRHEALKYVDNGIQSLEIYNVSMDALQEAANKVALARKNGGKVAIANRAGREEYPPQGSQANNNNQNQQQGLEQPASGDDQDKKIQKLHRKLDRARRKCEVYRANLLSVLKDIEEQKLQLSIKVQNIKLGMKD >Potri.011G145800.2.v4.1 pep chromosome:Pop_tri_v4:11:17439750:17443332:1 gene:Potri.011G145800.v4.1 transcript:Potri.011G145800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145800.v4.1 MIMELEPLTMGNEVIEFDMMGLGDDTVDDIQHQSVDVGVDEVEEEEGGDGEVEGNHFLLNFYDPQSSDNSISGQVYIPQGDTNLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSMSRRSRRDGAIIQRSFVCAKEGFRIDKDKPARSDVRVKRPRAETRVGCKAMLVVKIQDSGRWVVSAFVREHNHELVPPDKVHCLRSHRHVSGSAKSLIDTLQGAGIGPSGIMSALIKEYGGISNVGFTERDCRNYMRSSRQRTLGGDTQHLLDYLRNKQAENSAFFYAVQGDEDQCMSNIFWADAKARANYTYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCALLVNESEASFLWLFKTWLMAMSERPPVSITTDHDRVICLAVNQVFPETRHRICKWHIFKEFQEKLSHVLSEHPNFEAELHKCVNLTDSVEEFESCWLSLLNRYNLREHEWLQAVYTDRRQWVPAYLRDTFFAEMSITQRSDSINSYFDGYINASTTLQLFVKQYEKALESRYEKEVKADYDSINTAPVLKTPSPMEKQVAELYSRKLFLKFQEELVETLTFLATKVVDDGAITTYRVAKFGENHKAYTVSFNVREMKASCSCLMFEFSGLLCRHILTVFRVTNVLTLPSHYVLKRWTRNAKSGVILEEHASDFLGSSRESLTFRYSNLRHEALKYVDNGIQSLEIYNVSMDALQEAANKVALARKNGGKVAIANRAGREEYPPQGSQANNNNQNQQQGLEQPASGDDQDKKIQKLHRKLDRARRKCEVYRANLLSVLKDIEEQKLQLSIKVQNIKLGMKD >Potri.016G038100.14.v4.1 pep chromosome:Pop_tri_v4:16:2349597:2355623:-1 gene:Potri.016G038100.v4.1 transcript:Potri.016G038100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038100.v4.1 MSSGPVRRVLPKDIQVVQNLIERCLQLYMNQTEVVETLLAQAKIEPGFTELVWQKLEEENGEFFRAYYLRLKVKQQIEEFNKLLVQQAHLMHDLNSTGVAPMPPSNGFHISPLHQNTACYGPDHTGPTLKPESMHHPIGSSLTNAYTNGGSSLHSSMHAAVEISARANRIDAPPNMLSMQSSNIGLLQGMNGGMIKSEAGYSGTSPYMFGADGNVLEARPSIADASVASFSSVDSSSQALNESILDADTSSFGFLSQIPQVFSLSDLTADFTQSSEILENYSRSPFLAADNDNFPDSREREHPGDNRRLDSISEGMSYDDFGSE >Potri.016G038100.17.v4.1 pep chromosome:Pop_tri_v4:16:2349587:2355623:-1 gene:Potri.016G038100.v4.1 transcript:Potri.016G038100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038100.v4.1 MSSGPVRRVLPKDIQVVQNLIERCLQLYMNQTEVVETLLAQAKIEPGFTELVWQKLEEENGEFFRAYYLRLKVKQQIEEFNKLLVQQAHLMHDLNSTGVAPMPPSNGFHISPLHQNTACYGPDHTGPTLKPESMHHPIGSSLTNAYTNGGSSLHSSMHAAVEISARANRIDAPPNMLSMQSSNIGLLQGMNGGMIKSEAGYSGTSPYMFGADGNVLEARPSIADASVASFSSVDSSSQALNESILDADTSSFGFLSQIPQVFSLSDLTADFTQSSEILENYSRSPFLAADNDNFPDSREREHPGDNRRLDSISEGMSYDDFGSE >Potri.016G038100.2.v4.1 pep chromosome:Pop_tri_v4:16:2349531:2355623:-1 gene:Potri.016G038100.v4.1 transcript:Potri.016G038100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038100.v4.1 MSSGPVRRVLPKDIQVVQNLIERCLQLYMNQTEVVETLLAQAKIEPGFTELVWQKLEEENGEFFRAYYLRLKVKQQIEEFNKLLVQQAHLMHDLNSTGVAPMPPSNGFHISPLHQNTACYGPDHTGPTLKPESMHHPIGSSLTNAYTNGGSSLHSSMHAAVEISARANRIDAPPNMLSMQSSNIGLLQGMNGGMIKSEAGYSGTSPYMFGADGNVLEARPSIADASVASFSSVDSSSQALNESILDADTSSFGFLSQIPQVFSLSDLTADFTQSSEILENYSRSPFLAADNDNFPDSREREHPGDNRRLDSISEGMSYDDFGSE >Potri.001G179600.3.v4.1 pep chromosome:Pop_tri_v4:1:15730445:15736852:-1 gene:Potri.001G179600.v4.1 transcript:Potri.001G179600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179600.v4.1 MTMSFSMGSSYFLHSHFPSSTSSSSSSQPVSFHVKNNSNNNEMTGRRRVVSACMGQEDPMDIVFRKKRAILFLGISVLPFLQLRARALEGLVTKEATLMAPEEDQKEELAIQRYTPPNPFLSLLNGLGIFGTGVLGALYALAQKEKKATDKTVESMISKLKEKEATIESLEKNFESKLLNEQEEQMKQRKKAKEEQQFLLSQLNSANSIIAGLGQELKNEKRIIGELRVQADGLETNLSKAGEDKKALEQLLKEKLSMIEALQDKISLLSSEIKDKEYNAQNLSSSLAEKELELKNLNYTHEQTNGELAKACSEIKGLKDELLKNKKELEMRNSVVDELNSKISSLIVERDESSRQLNTIQEEYNDLKSSSEKKAALDATLLREREYELHVLKEKLEVALNEASGNQARIDDLTWERNDLRRMLDDEVSNAKNLKNELHNTQEALEKLREEASDLSEQLELSQNQCTELQAEVSRILAEFAEVTETLQKSVEKAKQSGDLLASELTAMKEQLRKTKEELQVMSQDLEMVTENRDSLQKELVDAYKKAEVAANELKAEKNIVSSLNKELQNLEKQMLKDKESRKSLETDLEDATKSLDEMNRNALILSGELEMANSRISSVEDEKQVLYKSLTEQKNAAKEAQENMEDAHNIVVRLGSEREGLDRKGKKLEGELASAKGEILRLRSKINSSNTAVNDQERQKTEAEDLLSRSKTTNSSSTVVNEEKSEGTEAEEKVTVNAKRSGRTRRRRASSQ >Potri.001G179600.7.v4.1 pep chromosome:Pop_tri_v4:1:15730533:15736676:-1 gene:Potri.001G179600.v4.1 transcript:Potri.001G179600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179600.v4.1 MTMSFSMGSSYFLHSHFPSSTSSSSSSQPVSFHVKNNSNNNEMTGRRRVVSACMGQEDPMDIVFRKKRAILFLGISVLPFLQLRARALEGLVTKATLMAPEEDQKEELAIQRYTPPNPFLSLLNGLGIFGTGVLGALYALAQKEKKATDKTVESMISKLKEKEATIESLEKNFESKLLNEQEEQMKQRKKAKEEQQFLLSQLNSANSIIAGLGQELKNEKRIIGELRVQADGLETNLSKAGEDKKALEQLLKEKLSMIEALQDKISLLSSEIKDKEYNAQNLSSSLAEKELELKNLNYTHEQTNGELAKACSEIKGLKDELLKNKKELEMRNSVVDELNSKISSLIVERDESSRQLNTIQEEYNDLKSSSEKKAALDATLLREREYELHVLKEKLEVALNEASGNQARIDDLTWERNDLRRMLDDEVSNAKNLKNELHNTQEALEKLREEASDLSEQLELSQNQCTELQAEVSRILAEFAEVTETLQKSVEKAKQSGDLLASELTAMKEQLRKTKEELQVMSQDLEMVTENRDSLQKELVDAYKKAEVAANELKAEKNIVSSLNKELQNLEKQMLKDKESRKSLETDLEDATKSLDEMNRNALILSGELEMANSRISSVEDEKQVLYKSLTEQKNAAKEAQENMEDAHNIVVRLGSEREGLDRKGKKLEGELASAKGEILRLRSKINSSNTAVNDQERQKTEAEDLLSRSKTTNSSSTVVNEEKSEGTEAEEKVTVNAKRSGRTRRRRASSQ >Potri.001G179600.1.v4.1 pep chromosome:Pop_tri_v4:1:15730409:15736770:-1 gene:Potri.001G179600.v4.1 transcript:Potri.001G179600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179600.v4.1 MTMSFSMGSSYFLHSHFPSSTSSSSSSQPVSFHVKNNSNNNEMTGRRRVVSACMGQEDPMDIVFRKKRAILFLGISVLPFLQLRARALEGLVTKRRNLGGEERRNWRETRGTENGETVKETNEIEEATLMAPEEDQKEELAIQRYTPPNPFLSLLNGLGIFGTGVLGALYALAQKEKKATDKTVESMISKLKEKEATIESLEKNFESKLLNEQEEQMKQRKKAKEEQQFLLSQLNSANSIIAGLGQELKNEKRIIGELRVQADGLETNLSKAGEDKKALEQLLKEKLSMIEALQDKISLLSSEIKDKEYNAQNLSSSLAEKELELKNLNYTHEQTNGELAKACSEIKGLKDELLKNKKELEMRNSVVDELNSKISSLIVERDESSRQLNTIQEEYNDLKSSSEKKAALDATLLREREYELHVLKEKLEVALNEASGNQARIDDLTWERNDLRRMLDDEVSNAKNLKNELHNTQEALEKLREEASDLSEQLELSQNQCTELQAEVSRILAEFAEVTETLQKSVEKAKQSGDLLASELTAMKEQLRKTKEELQVMSQDLEMVTENRDSLQKELVDAYKKAEVAANELKAEKNIVSSLNKELQNLEKQMLKDKESRKSLETDLEDATKSLDEMNRNALILSGELEMANSRISSVEDEKQVLYKSLTEQKNAAKEAQENMEDAHNIVVRLGSEREGLDRKGKKLEGELASAKGEILRLRSKINSSNTAVNDQERQKTEAEDLLSRSKTTNSSSTVVNEEKSEGTEAEEKVTVNAKRSGRTRRRRASSQ >Potri.001G043800.1.v4.1 pep chromosome:Pop_tri_v4:1:3164002:3166656:-1 gene:Potri.001G043800.v4.1 transcript:Potri.001G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043800.v4.1 MCMEREKQALLKLKDDLVDENDQLSSWGTSDDCCNWTGVRCNNRTGHVYSLQLNQQLDDSMQFKGDISSPLLELKHLAYLDMSEVRATSIPQFIGSLKHLMHLNMSFCDLTGTIPHQLGNLTRLVFLDLSYNNFNKVESLSWLSRLPALKHLDLSTADLSGTTDWFQAINSLPSLHNLYLSGCGLSSVISPPLFRSNYSPASLADIDLSQNTLKSSIFPWLLNFNNSLVHLKLYDNEFQGKIPKALGAMINLESLLLSGNHFEGEIPRALANLGRLESLDLSWNSLVGEVPDMKNLSFITRLFLSDNKLNGSWIENIRLLSDLAYLDISYNFMNGTISEINFLNLTELTHLDISSNAFVFNLSLNWTPPFQLDTLIMSSCKLGPSFPQWLRTQRRISELDISNAGIEDDISSRFGKLPFKLNYLNISHNQITGEAHKLPSVVGDSATVDMSSNFLHGSLPLPLNATILNLSKNLFSGTISNLCSIACERLFYLDLSDNCLSGEIPDCWMTCKELNILNLAGNNFSGRIPASLGSLVFIQTLNLKNNSFSGELPPSLANCTQLEILDLGENRLSGKIPSWIGENLSSLVVLRLRSNYLDGTLPLVLCHLAHLQILDLSHNNISDDIPHCFSNFSAMSKNGSTYEFIGHSNNHTLPFFIILYHDSVRVVLKGMELEYGKTLEQVKIMDLSSNNLSGEIPDGIAKLEGLVSLHLSNNRLTGIIPPRIGLMRSLESLDLSTNQLSGGLPNGLRDLNFLSSLNVSYNNLSGKIPLSTQLQTFDNNSFVANAELCGKPLSNECAAEQAHDPSISQGSKNVDIQDEDGFISRRFYLSMGIGFATGFWAVCGTLLLYRPWRHAFFRLMNHIEDWLHVTTVLIMARLQRRLRN >Potri.016G052750.1.v4.1 pep chromosome:Pop_tri_v4:16:3447559:3452207:-1 gene:Potri.016G052750.v4.1 transcript:Potri.016G052750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052750.v4.1 MSKSQQKTNTSSKTYPPPRKEETYWGTTSCSGPIKGQRKARPKKGGGGGEKKRTSKEKQRRTEKQRDRGNKKEPRTEEGIKDIEKDEEKWEHSGKTNERRETQETEETVGDRET >Potri.009G059300.1.v4.1 pep chromosome:Pop_tri_v4:9:6173631:6176644:1 gene:Potri.009G059300.v4.1 transcript:Potri.009G059300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059300.v4.1 MIVDCSSPLHLKRRRLTTVDVMEAEAAKAKFAAVKEKFGHDLHVFETSVVSSSLPQASNDEDTDDFYEFTTEDYYRLLPTKKEDKYLKTKKIREAEEAAHRSRITKTAIRIRFPDNHTLEVVFHPSEKIQSLFDLLSRVLAQPEVPFYLYTTPPKKQIKDLSQDFYSTGLIPGAIVYFSYDKPNGEDNAAFSSGPFLQEEVMSLKGLDVVPEPAEPVQTALEPVRTAPPPVPQEPKAAGKKPVKPKWLKM >Potri.011G119000.5.v4.1 pep chromosome:Pop_tri_v4:11:15006381:15008596:-1 gene:Potri.011G119000.v4.1 transcript:Potri.011G119000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119000.v4.1 MSGLPLQNASPSSAVSQVSLITTSGQESKPKKKICCACPETKKLRDECVVEHGEAACAKWIDAHRQCLRAEGFNI >Potri.014G101400.3.v4.1 pep chromosome:Pop_tri_v4:14:6700677:6707527:-1 gene:Potri.014G101400.v4.1 transcript:Potri.014G101400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101400.v4.1 MESRRERAFSRTKHKKVDITSILRKSWYHLRLSVRHPSRVPTWDAIVLTAASPEQAQLYEWQLNRAKRMGRIASSTITLAVPDPDAKRIGSGAATLNAIFALGRHYQSLGLHLGEQVETTENGSSGSSVPHEESNSADSTEPMVRFMEKKHILLLHAGGDSKRVPWANPMGKIFLPLPFLAADDPDGPVPLLFDHILAIASCARQAFKNEGGILTMTGDVLPCFDASTLVIPEDASCIITVPITLDVASNHGVIVASDTGILTESYTVSLVDNLLQKPSLEELVENEAILDDGRTLLDTGIIAARGKAWAELAMLASSCEPMIEELLKSRKEMSLYEDLVAAWVPAKHDWLRARPLGEEMVRSLGRQNMFSYCAYDLLFLHLGTSSEVLDHLSGASSELVGRRHLCSIPATTSSDIAASAVVLSSKIEPGVSVGEDSLIYDSFISSGIQIGSLSVVVGVNVPRDIGGMADDSFRFMLPDRHCLWEVPLVGCTERVIVYCGLHDNPKSSLSRDGTFCGKPWKKVLLDLGIQESDLWSSVGVQENCLWNAKLFPILSYLEMLHLASWLMGLSDQNSRTLLPLWKSSRRVSLEELHRSIDFLKMCTGSSNHQADLAAGIAKACINYGMLGRNLSQLCQEILQKEASGVKICEDFLELCPKLEEQNSKILPRSRAYQVQVDLLRACGDETTACHLEHKVWAAVVDETASAVRYGFRERVLESPSSTPTSADQNNHFDGYVDQPFCPRMVKVELPVRVDFAGGWSDTPPWSLERAGCVLNLAISLEGCLPIGTIIETTEKTGVLINDDAGNQLYVENLVSFAPPFDGNDPFRLVKSALLVTGLVHENILVSMGLQIKTWANVPRGSGLGTSSILAAAVVKGLLQITDGDESNENVARLVLVLEQLMGTGGGWQDQVGGLYPGIKFTTSFPGVPLRLQVIPLLASPQLILELQQRLLVVFTGQVRLAHQVLQKVVTRYLQRDNLLVSSIKRLTELAKIGREALMNCEVDELGKIMLEAWRLHQELDPYCSNEFVDKLFAFADPYCCGYKLVGAGGGGFALLLAKNAESGNELKNKLEDSSLNVKFYNWKIHLDN >Potri.013G056900.2.v4.1 pep chromosome:Pop_tri_v4:13:4170694:4174286:1 gene:Potri.013G056900.v4.1 transcript:Potri.013G056900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056900.v4.1 MATGSVKAVALITGDSIVRGSLHFIQEPNGATHVTGRITGLSPGLHGFHIHALGDTTNGCNSTGPHFNPLKKDHGAPSDKERHAGDLGNIIAGSDGVAEVSIKDLQIPLSGMHSILGRAVVVHADPDDLGKGGHELSKTTGNAGARVGCGIVGLKSSV >Potri.002G123800.1.v4.1 pep chromosome:Pop_tri_v4:2:9378634:9381304:-1 gene:Potri.002G123800.v4.1 transcript:Potri.002G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123800.v4.1 MLVLLNVLLAGGAVVVASMLFALVVWRCYCFKDRRGIVDTIRNNRSASLQDGIAKLHQGSLHHQPDQLETKRRGNYYVFRRGVLTRPLFNWADHPVLITEAVENGWSRFGFTSYMSSPSTRSSLLGLCAAGDYGRETDTEISWEICQGSADFMQKIRLNSGLEKVNVSYPSLSAASVIRTTLPLPGPPLGNSSFPQEAYFEITVLYCHSNDQESVGKAKEGERAKLIQEKSNGKANSESLVHVNSSHRISKIEELKLAGKDDCQGSAVLLSVGLTIGGSLPLKLPGSYPGSIGFNSNGSLYLDGMELVFESEKADWARTDKVIGCGFDPRQKQVFFTVDGELLHVVHCKSEEFGTPLYPAIAANNDILVLVNFGQSAFSYAQANAQRTPNPCFIGPPAKSPSLGYEDSKELFSMGRLDSQWLNRSTTTKGSHVNEANNQGVDFDDESEADLFEIVLDNGTGRSPNTRI >Potri.006G072300.1.v4.1 pep chromosome:Pop_tri_v4:6:5256782:5257504:-1 gene:Potri.006G072300.v4.1 transcript:Potri.006G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072300.v4.1 MGLEVSGEFRPVTPIRTVSAARICGASTSLGAKLCEAKEADAKENLGFDEDDQECSSPSTPKSPAHVLKSPLVCPPAPKKPRPPKRKLSPPPQGFFKIPVHDLDSVCMGFTACNSKKIRTA >Potri.019G061200.1.v4.1 pep chromosome:Pop_tri_v4:19:10116918:10119179:1 gene:Potri.019G061200.v4.1 transcript:Potri.019G061200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061200.v4.1 MKGGRKNMKRAAVAEEHNLSLEGGQSIMQVVSLRGSNLIEVMDARGGKSLALFPAKFQKSMWIKRGSFVVVDESGKEKALESGSKVACIVSQVLFYEHVRVLQRSPEWPEIFKSTALDDSSGSLNITNGQLEENELESSDDDGLPPLEANMNRIKPPEWEQSDTESNSGSDTDS >Potri.019G014398.1.v4.1 pep chromosome:Pop_tri_v4:19:2406423:2409918:-1 gene:Potri.019G014398.v4.1 transcript:Potri.019G014398.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014398.v4.1 MATRSEHLCNTMFVNGSDEELITILSIDGGGVRGIIPGTVLAFLESKLQELDPENKDVRIADYFDYIAGTSTGGLIAAMITAPNDQKRPLFAAKDINDFYHKNAAVIFPQKTEPDVGTLLGELVTTLKESNQIPNAAKDIAKFNLEESEQILQFVETYNGKSSGDKLMRSSFPDIIRSIWSLILTLWYPRYDGGHLRDIIQKLLKETMLSESLTNVIIPSFDIKLLQPTVFCTSKAKHEKSMDVQLSEVCLGSSAAPTYLPPRYFSTTTELKQVCHGTSIFDRHPPRTLKTSREYNLVDGGVAVNNPTFLAICEAMKEKKINARKLLVLSLGTGSSKGTNKLEVGSPDTAWGLVNWFFGPEQSRPLTDVLMAGSNEMVEIYTSSFFQFSGLEDNYIRIQVDNLTYAEASMDNSSKENLDNLEKIGKELVEANKEKLIKLAERLSAIRHASRSSLSG >Potri.019G014398.2.v4.1 pep chromosome:Pop_tri_v4:19:2406423:2409968:-1 gene:Potri.019G014398.v4.1 transcript:Potri.019G014398.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014398.v4.1 MATRSNTMFVNGSDEELITILSIDGGGVRGIIPGTVLAFLESKLQELDPENKDVRIADYFDYIAGTSTGGLIAAMITAPNDQKRPLFAAKDINDFYHKNAAVIFPQKTEPDVGTLLGELVTTLKESNQIPNAAKDIAKFNLEESEQILQFVETYNGKSSGDKLMRSSFPDIIRSIWSLILTLWYPRYDGGHLRDIIQKLLKETMLSESLTNVIIPSFDIKLLQPTVFCTSKAKHEKSMDVQLSEVCLGSSAAPTYLPPRYFSTTTELKQVCHGTSIFDRHPPRTLKTSREYNLVDGGVAVNNPTFLAICEAMKEKKINARKLLVLSLGTGSSKGTNKLEVGSPDTAWGLVNWFFGPEQSRPLTDVLMAGSNEMVEIYTSSFFQFSGLEDNYIRIQVDNLTYAEASMDNSSKENLDNLEKIGKELVEANKEKLIKLAERLSAIRHASRSSLSG >Potri.009G017300.1.v4.1 pep chromosome:Pop_tri_v4:9:2921635:2924362:-1 gene:Potri.009G017300.v4.1 transcript:Potri.009G017300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017300.v4.1 MPETSSTNCPNSIKIGPIMFTTQFLLVFSLLLSLPILFLLAPRIFPPHNPSIPISPSDEQDDLYLFRKAAAAAASSSFVTHYPSAHTHFTSKSKKLKIAFLFLTNTDLFFAPLWEQFFKSADKNLFNIYVHADPYSNVTKAKGVFSSQFIPNAKRTYRASPTLISATRRLLATAILDDPTNTFFAVLSQYCIPLHSFKYVYDSLISSKSFDFSSSESGPESTQYNVKIEYKSFVEIISKERRLWKRYVARGRYSMMPEVPFEKFRGGSQFFVITRRHALMVIEDRRLWNKFKQPCNREDECYPEEHYFPTLLSMQDPKGCTKYTLTRVNWTGTRNGHPYTYKASEISPVLIQELRKSNYSSSYLFARKFEPNCLKPLMKIADEVIFQD >Potri.009G017300.2.v4.1 pep chromosome:Pop_tri_v4:9:2921638:2924321:-1 gene:Potri.009G017300.v4.1 transcript:Potri.009G017300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017300.v4.1 MPETSSTNCPNSIKIGPIMFTTQFLLVFSLLLSLPILFLLAPRIFPPHNPSIPISPSDEQDDLYLFRKAAAAAASSSFVTHYPSAHTHFTSKSKKLKIAFLFLTNTDLFFAPLWEQFFKSADKNLFNIYVHADPYSNVTKAKGVFSSQFIPNAKRTYRASPTLISATRRLLATAILDDPTNTFFAVLSQYCIPLHSFKYVYDSLISSKSFDFSSSESGPESTQYNVKIEYKSFVEIISKERRLWKRYVARGRYSMMPEVPFEKFRGGSQFFVITRRHALMVIEDRRLWNKFKQPCNREDECYPEEHYFPTLLSMQDPKGCTKYTLTRVNWTGTRNGHPYTYKASEISPVLIQELRKSNYSSSYLFARKFEPNCLKPLMKIADEVIFQD >Potri.011G157000.6.v4.1 pep chromosome:Pop_tri_v4:11:18315267:18322593:1 gene:Potri.011G157000.v4.1 transcript:Potri.011G157000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G157000.v4.1 MESSRSWFKIFKQGSSNSKKKEAAAESVKKNNNGGVGVVNSGGKPPINDAPSHATKQKVDAAKQYIENHYKAQMKNLQDRKERRWMLERKLADADVSREEQMNILKKFEEKETEYMRRQRHKMGVDDFELLTIIGRGAFGEVRLCREKTTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSTCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDSSSFPNFREDDYAGGRNLKPSAEGNKPPTPRRTQQEQLVHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEEPMSTCRKIVNWRNHLKFPEEAKLSVEAKDLIRKLLCNVEQRLGTKGAHEIKLHTWFKGTEWDRLYQVEAAFKPEVKDELDTQNFEKFEELGTSLQSSSKSGPWRKMLPSKDVNFVGYTYKNYEIVNNDHDMPSVELRKKSTAPKRPTIKSLFEIPDSSDQHAQGSFLNLLPTQVEVSESPELSPRSTRTSQHHHNHKPIRR >Potri.011G157000.2.v4.1 pep chromosome:Pop_tri_v4:11:18315259:18322566:1 gene:Potri.011G157000.v4.1 transcript:Potri.011G157000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G157000.v4.1 MESSRSWFKIFKQGSSNSKKKEAAAESVKKNNNGGVGVVNSGGKPPINDAPSHATKQKVDAAKQYIENHYKAQMKNLQDRKERRWMLERKLADADVSREEQMNILKKFEEKETEYMRRQRHKMGVDDFELLTIIGRGAFGEVRLCREKTTGNVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSTCIVKLYCSFQDDEYLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGQTVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDSSSFPNFREDDYAGGRNLKPSAEGNKPPTPRRTQQEQLVHWQKNRRTLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSEEPMSTCRKIVNWRNHLKFPEEAKLSVEAKDLIRKLLCNVEQRLGTKGAHEIKLHTWFKGTEWDRLYQVEAAFKPEVKDELDTQNFEKFEELGTSLQSSSKSGPWRKMLPSKDVNFVGYTYKNYEIVNNDHDMPSVELRKKSTAPKRPTIKSLFEIPDSSDQHAQGSFLNLLPTQVEVSESPELSPRSTRTSQHHHNHKPIRR >Potri.002G058200.1.v4.1 pep chromosome:Pop_tri_v4:2:3988956:3991682:-1 gene:Potri.002G058200.v4.1 transcript:Potri.002G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058200.v4.1 MGKRVSQASTKISKNEKNPLNSFIKVLRPKVYITDISSFKRLVHELTGNGRTIPSPPPPPKSLKVLEIVEVVNVEAHGEPDQCSMETSLDASVDLFGFCNQLVSSTEELNQPCKYGESESSMETSFDESVNSLEFCNKLVSFTEELNQPCNHGEPDSCMETSFDASVDSFEFCNQVVSFTEELNLPYNHGEPENSMETSFDGSVDSFEFCNQLVSFTEELNQPYSHLYFDDISSDHDLTINKQTDMLTDQDFESLLLGIEQYPFSSSYSQIHQIEEVSIYDYELSGIF >Potri.007G097300.3.v4.1 pep chromosome:Pop_tri_v4:7:12225756:12232963:1 gene:Potri.007G097300.v4.1 transcript:Potri.007G097300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097300.v4.1 MLLMCDDSLQLVIVVDDEDRENEGDFIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLDRLELPLMVTHKKNEEKLCTAFTISVDAKCGTTTGVSARDRAITVLALASKDSIPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLTMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAENLKIISIADLIRYRRKRDRLVELAAAAPIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGDGKDILVRVHSECLTGDIFGSARCDCGKQLALAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMKLMTNNPAKYVGLKGYGLAVAGRVPLLTPITMENRRYLETKREKMGHVYGSDINGHVNGPISKNNNSTSGSPSDGSSKA >Potri.007G097300.1.v4.1 pep chromosome:Pop_tri_v4:7:12225257:12232966:1 gene:Potri.007G097300.v4.1 transcript:Potri.007G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097300.v4.1 MASVNLYSSSLSSSRLQGRYTGLHCVCPFMGRGHLTCLGYGNLGKKVCFSFKRDGRGRARASLISGEGDVLSYPNDNVVSGQDKFVNQSPAIELQPDATGFGTLSAEITPTTAGFLAANDEYDLDRPTEGFASIPEAIEDIRQGKLVIVVDDEDRENEGDFIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLDRLELPLMVTHKKNEEKLCTAFTISVDAKCGTTTGVSARDRAITVLALASKDSIPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLTMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAENLKIISIADLIRYRRKRDRLVELAAAAPIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGDGKDILVRVHSECLTGDIFGSARCDCGKQLALAMKQIEAAGRGVLVYLRGHEGRGIGLGHKLRAYNLQDDGRDTVEANEELGLPVDSREYGIGAQILRDLGVHTMKLMTNNPAKYVGLKGYGLAVAGRVPLLTPITMENRRYLETKREKMGHVYGSDINGHVNGPISKNNNSTSGSPSDGSSKA >Potri.009G079000.3.v4.1 pep chromosome:Pop_tri_v4:9:7595812:7609436:1 gene:Potri.009G079000.v4.1 transcript:Potri.009G079000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079000.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEIGVIIFSSTGKLYDYANTSMKSIIDRYNKQKEEQQQLLNPASEVKFWQREAASLRKELQYLQECHRQLMGEELSGLSAKDLQNLENQLEMSLKGVRMKKEQILTDEIKDLNRKGNLIYQENLELHKKVKLVSQENSELREVYGRQNVDEANRASQAPCTVGNGYDSHAPIQLQLSQPHPHNIEAPGKSMKLGLQLQ >Potri.009G079000.7.v4.1 pep chromosome:Pop_tri_v4:9:7596292:7597168:1 gene:Potri.009G079000.v4.1 transcript:Potri.009G079000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079000.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRNGLLKKAKELSILCDAEIGVIIFSSTGKLYDYANTRSDLSLSPLFYFYIFGLYSIYDLINSN >Potri.017G099600.3.v4.1 pep chromosome:Pop_tri_v4:17:11133266:11135949:1 gene:Potri.017G099600.v4.1 transcript:Potri.017G099600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099600.v4.1 MNNLTSSEVAGFGVGALLLCATISAPKIDSFISASQRSSLGMCKRCGDLRMIACSGCKGTGLVKEGGALSFTFIDDMYESLGGDSKVKTIRCSKCQARGRFCCPECSKAQSV >Potri.017G099600.4.v4.1 pep chromosome:Pop_tri_v4:17:11133266:11135917:1 gene:Potri.017G099600.v4.1 transcript:Potri.017G099600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099600.v4.1 MSINATSSLGMCKRCGDLRMIACSGCKGTGLVKEGGALSFTFIDDMYESLGGDSKVKTIRCSKCQARGRFCCPECSKAQSV >Potri.008G073100.1.v4.1 pep chromosome:Pop_tri_v4:8:4518979:4523667:-1 gene:Potri.008G073100.v4.1 transcript:Potri.008G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073100.v4.1 MDTTESPNPNRALTNTRFSDLKPSISEPVLEALTNSGFDYCTPVQAATIPLLCSYKDVAVDAATGSGKTLAFVVPLVEILRRSSSPKPHQVMGIIISPTRELSSQIYNVAQPFIATLSNFKSMLLVGGMDVKADVKMIEEEGANLLIGTPGRLFDIMDRVDVLDFRNLEVLILDEADRLLDMGFQKQLNSIISRLPKLRRTGLFSATQTEAVEELSKAGLRNPVKVEVRAETKSLNNSVSGQQLAPSKTPSGLLLEYLECEADKKPSQLVDLLVKNKSKKIIIYFMTCACVDYWGVVLPRLTVLNGFSLISLHGKMKQTAREKALTSFTSLTSGILLCTDVAARGLDIPGVDCIVQYDPPQDPNVFVHRVGRTARLGRQGSSIVFLLPKEEAYVEFLRIRRVPLLERKCADDAPDVVPQIRSAAKKDRDVMEKGLRAFVSYIRAYKEHHCSYIFRWKELEVGKLGMGYGLLQLPSMPEVKHHSLSTKDFTPVDDLNLEEIKYKDKSRQKQRRKNLQAKKEARQQESKPQKVSKTPSAAATAMRKKTAKQRRAAQTVEDEEELAREYRLLKKLKKGTIDESEFEKLTGTDELL >Potri.019G019600.2.v4.1 pep chromosome:Pop_tri_v4:19:3154989:3161082:1 gene:Potri.019G019600.v4.1 transcript:Potri.019G019600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019600.v4.1 MKVVALVSGGKDSCYAMMKCIQYGHEIVALANLMPADDSVDELDSFMYQTVGHQIIVSYAECMGLPLFRRRIQGSTRHQSLNYKTTPGDEVEDMFMLLNEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGILAITVKVAAIGLEPSKHLGKEIAFLNTHLHKLKELYGINVCGEGGEYETLTLDCPLFVNARIVLDEFQTVLHSPGSIASVGVIHPLTFHLENKETAISLSNNDKANYSSLGKNGSVFEVQGDCPQRNEATCQSNAERTNLVEVSDDRIYISRTKKDNIFSICCWLQDSCKNSAGSHEDLAIVLKHIESQLTGCGFGWEHVLYIHLYIADMNEFATVNETYVRFITQDKCPFGVPSRSTIELPMLQASLGRAYVEVLVANDNSKNVLHVQSISSWAPSCIGPYSQATLHKEILHMAGQLGLDPATMTLCNEGPSAELEQALENSEAVANVFNCSISTSAIVFTIYCSTNIPLPERLRIQEKQDSFLKQMRLLQLEKGSKCKILYPIFLYVLVPDLPKRAFVEVKPILFVPEDTETAVTSVQNPTSFTVANCWGFQHVQWHDSCIQSCVVSGKICAVILSITEDHVAKICSESLGVKEKDVDYHNSVSKGDMERVSRFCVYLLDKGIVENGFSWEDTMNLRIYFPTNSSIQLETLSLLFKNAMNELVEIDRRVQIGKEPIFNIVPVLGSGSSAASMNNIITCELFARKF >Potri.004G127400.1.v4.1 pep chromosome:Pop_tri_v4:4:14138436:14141676:1 gene:Potri.004G127400.v4.1 transcript:Potri.004G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G127400.v4.1 MPFFSRGDDDQVDDYDEYDPTPYGGGYDIALTYGRPIPPSDETCYPISSASGEIDYDRPNYSSYSEPSAYADEALETEYSSYARPKPRPGSTYGEPQPAYGFQPGMNRPGLEYESDGYVKPANEEYGRRPESEYGSGGYGKPQSEEYRSGYGRRPESEYESGGHVRPSEYGSGDGRRQESEYGSGNARPQSEEYGSGYGRRPEGEYESGGYEKPSEYGTGYGRRKESEYGSGYEKPQSDEYGSGYGRRPDSEYGSGYEKPTEYGSGYGRKSETEYGSGYEKPSEYGGGTVEYGSGYGRRPGSENEGSGSEYGSRYGRKESYGEEGEGHGGRSQYEKPSYGDDSPKRSSYARQEEGGEYERPSYGSRRSDEDDEDRHNKYRDGGEEGYGRKKYGDDNSDDDAEKKHRHHRKNYDDE >Potri.015G111500.1.v4.1 pep chromosome:Pop_tri_v4:15:12812482:12813122:-1 gene:Potri.015G111500.v4.1 transcript:Potri.015G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >Potri.001G157750.1.v4.1 pep chromosome:Pop_tri_v4:1:13318510:13319409:1 gene:Potri.001G157750.v4.1 transcript:Potri.001G157750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157750.v4.1 MSHMLLEILAEEALKGSKPSSTFKAESFVKVATEISQKFNVQCEPKHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEKVKAHPNHDKYLNKKLDMYEAMTIVVGKDMATGNYAKSYVDVNLEENTEEQSISIENEGEYEETSKGKKTSSSSTQKRQHRKRNRMYEDDGVEKLSKQIGDVALAIQSLSKNQLDVNALYAEVMKIEGFDEITLGEAFDHLVQNEMLAKAFMTKNANLRKIWVQNFVNQHYYRPAC >Potri.004G108440.1.v4.1 pep chromosome:Pop_tri_v4:4:9582321:9582641:1 gene:Potri.004G108440.v4.1 transcript:Potri.004G108440.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108440.v4.1 MRPASNSTTPAVHAGYQDRNSPIPYLFASLALMLALIALSLIILACSYRKSSSNSSSDPEAREKSGKQGEMRAEMEPKIVVIMAGDDNPTYLAEPVSCNCQSDEQV >Potri.011G165100.3.v4.1 pep chromosome:Pop_tri_v4:11:18968639:18976755:-1 gene:Potri.011G165100.v4.1 transcript:Potri.011G165100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165100.v4.1 MGAKSVEDMIEASSGVHFSGFHMNGLELRNTQVGQPTTLAAEEMHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHDLTEEELTRVHEYNFDHPDAFDTEQLLCAMEKLRHGQAVDIPKYDFKSYKNNVFPARRVNPSDVIILEGILIFHDPRVRELMNMKLFVDTDADVRLARRIRRDTVEKGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHICTKLGQHDMCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVTTPTGSVYTGVDFCKRLCGVSIIRRFSYDLNS >Potri.011G165100.1.v4.1 pep chromosome:Pop_tri_v4:11:18968669:18976634:-1 gene:Potri.011G165100.v4.1 transcript:Potri.011G165100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165100.v4.1 MGAKSVEDMIEASSGVHFSGFHMNGLELRNTQVGQPTTLAAEEMHKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHDLTEEELTRVHEYNFDHPDAFDTEQLLCAMEKLRHGQAVDIPKYDFKSYKNNVFPARRVNPSDVIILEGILIFHDPRVRELMNMKLFVDTDADVRLARRIRRDTVEKGRDIGTVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHICTKLGQHDMCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVTTPTGSVYTGVDFCKRLCGVSIIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISDRHVLLLDPILGTGNSAVQAISLLISKGVPESNIIFLNLISAPQGVHVVCKRFPRIKIVTSEIETGLNEDFRVIPGMGEFGDRYFGTDDDDELVVAHTQQRY >Potri.005G116900.4.v4.1 pep chromosome:Pop_tri_v4:5:8530245:8536670:-1 gene:Potri.005G116900.v4.1 transcript:Potri.005G116900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116900.v4.1 MGAADADGPMHGLSGGGEEKIFVSVRLRPLNAKEIARNDVSDWESINYDTVIYRNNLPVSERSMYPTAYTFDRVFGSDCSTKHVYGEGAKEVALSVVSGINSSVFAYGQTSSGKTYTMSGITEYTIADIYDYVEKHKEREFTLKFSAMEIYNESVRDLLGADATPLRLLDDPERGTVVERLTEESIRDWNHFKELLSVCEAQRQIGETSLNEASSRSHQILRLTVESSTQEFLGHYKSSTLAATVNFVDLAGSERASQSLSAGMRLKEGCHINRSLLTLGTVIRKLSKGKNGHVPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVVSDKTLVKQLQRELARMENELKNTRPDSVTSDSTIVLREKDLQIEKLMNEVAELTRRLDLAQSQIENLQQVSEGSRSSTVWADPDHHYNKLRVRNSFRTDNSVSYSLILEDPPSLDLGARSFDASQCSDVQSSRSSGANFIQFPVFEENFLPESFSTHHSTSTTNFVGNDLHEKKDADGQTNQNFDDNWKEVQCIEVEESSVSQYSNSNTSESRPYRFEESNMPSPDTKTDTLGLTKVGNEERTNQEVKSPPLKEQKELNGLQSTFIIPSPEKLSPWLLEAGLSESRSFLSRSRSCRATLMDNSPGFCFKKVEAYESTPLFGFEKDFPGRPEGFQRKLPALKYDPDIERLSRNVSRNSICSSAVNELKEESGGTSTDWRTASVGNSDMGLMYMADYLEQETTAEDAENIGDDGLDATQHNMSAKKVKDVGLDSICYNVSAKSVKDVGLDPIQDGASAKNVKDVGLDPIQDGVSAKNVKDVGLDPIQDDAESASKWPLEFKNMQSKIIELWHACSVSLVHRTHFLLLFKGDPADSFYLEVEIRRMSLLKETLSRGSRTIVHGQVLTSTSSKKALSHERQMLAREMQKRLTREERENLFLKWGVPLSGNNRRLQLVHRLWTKTTDMDHITESAALVAKLVGFIEQEQALKEMFGLLNFTPTYPSRRKSSIWKRSVLSFL >Potri.005G116900.3.v4.1 pep chromosome:Pop_tri_v4:5:8529804:8537408:-1 gene:Potri.005G116900.v4.1 transcript:Potri.005G116900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116900.v4.1 MGAADADGPMHGLSGGGEEKIFVSVRLRPLNAKEIARNDVSDWESINYDTVIYRNNLPVSERSMYPTAYTFDRVFGSDCSTKHVYGEGAKEVALSVVSGINSSVFAYGQTSSGKTYTMSGITEYTIADIYDYVEKHKEREFTLKFSAMEIYNESVRDLLGADATPLRLLDDPERGTVVERLTEESIRDWNHFKELLSVCEAQRQIGETSLNEASSRSHQILRLTVESSTQEFLGHYKSSTLAATVNFVDLAGSERASQSLSAGMRLKEGCHINRSLLTLGTVIRKLSKGKNGHVPFRDSKLTRILQSSLGGNARTAIICTMSPARSHVEQSRNTLLFASCAKEVTTNAQVNVVVSDKTLVKQLQRELARMENELKNTRPDSVTSDSTIVLREKDLQIEKLMNEVAELTRRLDLAQSQIENLQQVSEGSRSSTVWADPDHHYNKLRVRNSFRTDNSVSYSLILEDPPSLDLGARSFDASQCSDVQSSRSSGANFIQFPVFEENFLPESFSTHHSTSTTNFVGNDLHEKKDADGQTNQNFDDNWKEVQCIEVEESSVSQYSNSNTSESRPYRFEESNMPSPDTKTDTLGLTKVGNEERTNQEVKSPPLKEQKELNGLQSTFIIPSPEKLSPWLLEAGLSESRSFLSRSRSCRATLMDNSPGFCFKKVEAYESTPLFGFEKDFPGRPEGFQRKLPALKYDPDIERLSRNVSRNSICSSAVNELKEESGGTSTDWRTASVGNSDMGLMYMADYLEQETTAEDAENIGDDGLDATQHNMSAKKVKDVGLDSICYNVSAKSVKDVGLDPIQDGASAKNVKDVGLDPIQDGVSAKNVKDVGLDPIQDDAESASKWPLEFKNMQSKIIELWHACSVSLVHRTHFLLLFKGDPADSFYLEVEIRRMSLLKETLSRGSRTIVHGQVLTSTSSKKALSHERQMLAREMQKRLTREERENLFLKWGVPLSGNNRRLQLVHRLWTKTTDMDHITESAALVAKLVGFIEQEQALKEMFGLLNFTPTYPSRRKSSIWKRSVLSFL >Potri.005G077400.3.v4.1 pep chromosome:Pop_tri_v4:5:5265729:5272028:-1 gene:Potri.005G077400.v4.1 transcript:Potri.005G077400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077400.v4.1 MSGDNFTSKPRAALGDVTNLPAKGVFSLISGDLGLKSRDGYGKNVDNGDSGSAKQVCLGVEDLVKEKCGGIEKGCNSLTTSCEINVLEENVAGVSVVADRPSDTKETSNLIDGCIDLVKSGGAGTHSIGEISCASSGSVHTSSGPCTKDSDDEGKFTSNVMLINPVGEALVGGASANDDNDSGIGRLARERRGPVGWSRLPTSQGLKSFESEKCTTLKGDVCANLNAGADMLKACSCSFCLKAACIWSDLYYQDIKGRQSALRKSQKEAGILVNKYARGKQADIHSQVNSNKSLKLVSDLTDLWRSHFRHMEDMFANESSQLQAGYVTLKDLRDSCKMDLEMVTGMPSDTL >Potri.019G019710.2.v4.1 pep chromosome:Pop_tri_v4:19:334547:338310:-1 gene:Potri.019G019710.v4.1 transcript:Potri.019G019710.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019710.v4.1 MTEPESSRSRPVGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVLPIFYDIDPSDVRKQTGSFAEPFDKHEERFEEKLVKEWRKALEEAGKLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEDLIGMHRLARNIFDFLSTATDDVRIVGIHGMPGIGKTTIAQVVFNQLCNGFEGSCFLSNINEASKQFNGLALLQEQLLYDILKQDVANINCVDRGKVLIKERLCRKRVLVVADDVAHQDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTNRIEELEPDEALQLFSWHAFKDTKPAKDYIELSKKAVDYCGGLPLALNVIGALLYRKNRVTWESEIDNLSRIPNQDIQGKLLTSYHALDGELQRAFLDIACFFIGREKEYVAKQLGDRCGYNPEVVLETLHERSMIKVLGETVTMHDLLRDMGREVVRESSPKEPG >Potri.019G019710.3.v4.1 pep chromosome:Pop_tri_v4:19:334451:337747:-1 gene:Potri.019G019710.v4.1 transcript:Potri.019G019710.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019710.v4.1 MTEPESSRSRPVGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVLPIFYDIDPSDVRKQTGSFAEPFDKHEERFEEKLVKEWRKALEEAGKLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEDLIGMHRLARNIFDFLSTATDDVRIVGIHGMPGIGKTTIAQVVFNQLCNGFEGSCFLSNINEASKQFNGLALLQEQLLYDILKQDVANINCVDRGKVLIKERLCRKRVLVVADDVAHQDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTNRIEELEPDEALQLFSWHAFKDTKPAKDYIELSKKAVDYCGGLPLALNVIGALLYRKNRVTWESEIDNLSRIPNQDIQGKLLTSYHALDGELQRAFLDIACFFIGREKEYVAKQLGDRCGYNPEVVLETLHERSMIKVLGETVTMHDLLRDMGREVVRESSPKEPG >Potri.019G019710.1.v4.1 pep chromosome:Pop_tri_v4:19:334488:337739:-1 gene:Potri.019G019710.v4.1 transcript:Potri.019G019710.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019710.v4.1 MYQQLLIDCSLISAAAMTEPESSRSRPVGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVLPIFYDIDPSDVRKQTGSFAEPFDKHEERFEEKLVKEWRKALEEAGKLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEDLIGMHRLARNIFDFLSTATDDVRIVGIHGMPGIGKTTIAQVVFNQLCNGFEGSCFLSNINEASKQFNGLALLQEQLLYDILKQDVANINCVDRGKVLIKERLCRKRVLVVADDVAHQDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTNRIEELEPDEALQLFSWHAFKDTKPAKDYIELSKKAVDYCGGLPLALNVIGALLYRKNRVTWESEIDNLSRIPNQDIQGKLLTSYHALDGELQRAFLDIACFFIGREKEYVAKQLGDRCGYNPEVVLETLHERSMIKVLGETVTMHDLLRDMGREVVRESSPKEPG >Potri.002G140800.2.v4.1 pep chromosome:Pop_tri_v4:2:10570866:10574662:1 gene:Potri.002G140800.v4.1 transcript:Potri.002G140800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140800.v4.1 MARWDEILSIPVQNPPTLEFSASDIVWSKVGGWRDNLDRLALIPFARVDDFVRGEPANKDCPTRFHVEARRRRPPQTSYKQKVDGILEYILYWCSFGPDDHRKGGIVRPSRTTYVPKKKNAGRPNTKRGYTCHFIVKRLISEPSVALIIYNQDKHVDKKGLPCHGPQDKKAEGTHAMFAPYISEDLRLRVLSLLYVGVSVETIMQRHNESVERQGGPCNRDDLLTHRYVRRQEKSIRCSTFELDTDDAVSINMWVESHLNQVFFFEDFSDSEPFTLGIQTEWQLQQMIRFGNRSLVASDSRFGTNKLKYPVHSLVVFNSDNKAIPVAWIITPRFANADAHRRMRALYNRVRTKDPSWKLAGFIVDHPLTDILTIRDVFQCSVLTSFWRVRHAWLKNRIKRCMETELRVQISKWLGQTVYDICRGQATVGLFEVLMEDFLDGSSFMDYFKATWYPRIRSWTTAIKTLPLASQGTCAAMEFYHNQLKVRLLNEKKPGVYQRADWLVDKLGTKVHSYFWLDEYSEKDDFARYWKDEWVSGLTSWRKALKIPDSDVVMDGRCAKVTDQLYRDRVYVVWNPGSQFAICDCRWAEMGNLCEHVFKVIKLCHDKRSVSLAVSVQRKLDGLVNLDSCQTNAGTTWRKAVNSLEQLVVRGTNSSNQDRELVNENHHIDKDMSSPNENDYQERDRHGLSRGITGDFVEVASDESLENGDRIVNNAGSSTSKSPPSIDVLTDQGRHEHNSIMDVEPSSINIPPSVKGSMKQCAVTNQNIACNKDPKPSVISNTADASTDKASDSQMIDRIQTLTGTTDDEVMKPKSEGGSTKQ >Potri.001G136700.1.v4.1 pep chromosome:Pop_tri_v4:1:11163853:11165277:1 gene:Potri.001G136700.v4.1 transcript:Potri.001G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136700.v4.1 MASIPDFFSDHQFSPDDFSEITSIMAEEDQSYVRTDSFSSTYMSSCAISNFSCAVLGDHQDHGNFPVLYDHRNDDALDIFLGESEIMSPIPVTNSLPQPSGILDIDVLPKLMDYKMGGHRADIAKIQNFDAGFRLPDASGYREDFGEVMPNFTPVCPLSGEKWEVEYDQTASTKNSDTKNVVRYTAEERKERILRYLKKKNRRAYNNNVKYACRKTIAEGRPRVRGRFAKPGEVFEEETEVKTNDIILHKHQEKGTHCDGDAMRALLATIFEEE >Potri.017G026200.1.v4.1 pep chromosome:Pop_tri_v4:17:1733827:1738923:1 gene:Potri.017G026200.v4.1 transcript:Potri.017G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026200.v4.1 MASLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLQSASPVPILRGVRPVPGRHPCVGSPEDIVATQSPGFLNNNHVGKAKSPRRGVLSSTNVGEKDKSVGVRLNGNSNKDALSDKKTTLTRSKSQLSKLTLNLDSKKESVAKFKSTSSRSIPSSPTSCYSLPTSFEKFSNGVRLQAKVKGLDKGSPRIVEKASSVRGASPTARRVPVIKNVVQGIELGAKALRKSWEGNMEVKHRENSKLTAARHDSKPEARSISTPRKSTSSERLPSKEDYRAQVSAKSSKEENKIQISTKKNVANGSLDEQDKANKLRTSVGKKSSEHANNGLPGNLVKVSINSRRLTEGSVSWSSLPSSLAKLGKQEVVKHRDAAQMAAIEAIQEATAAESLLRCLSMYAELTCSAKEDNPKPAVEQFLTLHANLNNSRLIADSLFKITLVGSSPDSYDNPSEEALKVTSDRRKHAASWVQAALTTNLSSFSVFTKDSTTPTLGTKPTASNQSILVLENSSKNTSTKTQGKARPMVGSKLVATGAFRKPGDNSAITQKVPPQPPREWIKGNGLDEAVDLAEMLRMESQDWFLGFVERFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEIGLNKDEAEAPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQSSLAIRTVDTKTKR >Potri.017G026200.2.v4.1 pep chromosome:Pop_tri_v4:17:1733788:1738923:1 gene:Potri.017G026200.v4.1 transcript:Potri.017G026200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026200.v4.1 MASLVPGVLLKLLQHMNTDVKVAGEHRSSLLQVVSIVPALAGGELFPNQGFYLKVSDSSHATYVSLPDEHDDLILSDKIQLGQFIHVERLQSASPVPILRGVRPVPGRHPCVGSPEDIVATQSPGFLNNNHVGKAKSPRRGVLSSTNVGEKDKSVGVRLNGNSNKDALSDKKTTLTRSKSQLSKLTLNLDSKKESVAKFKSTSSRSIPSSPTSCYSLPTSFEKFSNGVRLQAKVKGLDKGSPRIVEKASSVRGASPTARRVPVIKNVVQGIELGAKALRKSWEGNMEVKHRENSKLTAARHDSKPEARSISTPRKSTSSERLPSKEDYRAQVSAKSSKEENKIQISTKKNVANGSLDEQDKANKLRTSVGKKSSEHANNGLPGNLVKVSINSRRLTEGSVSWSSLPSSLAKLGKEVVKHRDAAQMAAIEAIQEATAAESLLRCLSMYAELTCSAKEDNPKPAVEQFLTLHANLNNSRLIADSLFKITLVGSSPDSYDNPSEEALKVTSDRRKHAASWVQAALTTNLSSFSVFTKDSTTPTLGTKPTASNQSILVLENSSKNTSTKTQGKARPMVGSKLVATGAFRKPGDNSAITQKVPPQPPREWIKGNGLDEAVDLAEMLRMESQDWFLGFVERFLDADVDTSALSDNGQIAGMLTQLKSVNDWLDEIGLNKDEAEAPHVSSETVDRLRKKIYEYLLTHVESAAAALGGGSQSSLAIRTVDTKTKR >Potri.018G128100.1.v4.1 pep chromosome:Pop_tri_v4:18:13917305:13920852:-1 gene:Potri.018G128100.v4.1 transcript:Potri.018G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128100.v4.1 MFSLRVHSVDSNHPLTLEETTFISAAATTSTTAATTKFNERRGISHLYRNASQKSSLPNPNPNSRSTSLFVVAVPNYFSEDDFIRFCGSHIDHVHVLLFIRNDGMEDRYSVLIKLDNQVTADRFYYSFNEKRFSPSEAEICHILYVLSVEFTESAEIASTPPENFTELPACPICLERLDPDTSGIRNTLCDHSFQCSCTSKWTHLSCQVCRLCQQQDEKPACSVCGTSENLWVCLICGFVGCGRYKEGHAKRHWQDTQHCYSLDLRTQQIWDYVGDNYVHRLNQSKTDGKSIDTNSCCVSFEGDCGTCGCSEDSGISGALFSSKVEAIADEYNRLLATQLEAQRQHYESLIIEAKSKRQSSISEAVENAVTSTMQDIQNKLEKCELEKNAVADINRGLIKNQEIWRKKVKELEDRGTSSLSSRDERIHDLEEQIRDLTVYIEAQKTLHTMTDTDDGIKGGTLLPVPPKQSSPANSRKHTKLGRKRN >Potri.005G226700.2.v4.1 pep chromosome:Pop_tri_v4:5:22726930:22733095:1 gene:Potri.005G226700.v4.1 transcript:Potri.005G226700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226700.v4.1 MDSARSWFQKFQPREKFRSSSRRKEGGDEDNEDSKLEMDEEALSNVTKQKVAAAKQYIENHYKEQMKNLQERKERRTTLEKKLADADVSEEDQSNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRVCREKTTGHVYAMKKLKKSEMLRRGQVEHVKAERNLLAEVDSNCIVKLYCSFQDDEFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETILAIESIHKHNYIHRDIKPDNLLLDRHGHLRLSDFGLCKPLDCSTIQEGDFSVVNNNGNETTQNGERPAAPKRTQQEQLQHWQKNRRMLAYSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDDPMSTCRKIVNWRTHLKFPEEAKLSPEAKDIISKLLCNVSHRLGTNGADEIKAHPWFDGVEWDKLYQMEAAFIPEVKDDLDTQNFENFEESENQTQTTSKTGPWRKMLSSKDINFVGYTYKNFEIVNDYQVPGMADLKKKDTKPKRPSVKSLFEGESEASESSDIAPCDENAQGSFLNLLPPHLEVTRKQSKTAIRFH >Potri.001G454000.2.v4.1 pep chromosome:Pop_tri_v4:1:48034505:48036465:-1 gene:Potri.001G454000.v4.1 transcript:Potri.001G454000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454000.v4.1 MKTILSSETMDIPDGVKIKISARIIEVEGPRGKLSRNFKHLNLDFQLIKDEEGKRKLKIDAWFATRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSNTAIEIRNFLGEKKVRKVDMLDGVSILRSEKVKDELILDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVVEEE >Potri.008G046500.3.v4.1 pep chromosome:Pop_tri_v4:8:2693594:2698543:1 gene:Potri.008G046500.v4.1 transcript:Potri.008G046500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046500.v4.1 MGCFSCAGKSSKNDSKKKPDDQIPSSSDGVNFISDKTKSNGAPDVKEASKDGGSEHIAAHTFTFRELANATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLYDLPPDKKRLDWNTRMKIAAGAAKGLEHLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWARPLFKDRRKFAQMADPLLHGQYPARGLYQALAVAAMCVQEQPNMRPLIADVVTALSYLALQKYDPETHPVQGSRTGPSTPRTRREQ >Potri.008G046500.1.v4.1 pep chromosome:Pop_tri_v4:8:2693711:2698298:1 gene:Potri.008G046500.v4.1 transcript:Potri.008G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046500.v4.1 MGCFSCAGKSSKNDSKKKPDDQIPSSSDKTKSNGAPDVKEASKDGGSEHIAAHTFTFRELANATKNFRADCLLGEGGFGRVYKGRLESTNQVVAIKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLYDLPPDKKRLDWNTRMKIAAGAAKGLEHLHDKANPPVIYRDLKCSNILLGEGYHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSRAAGEHNLVAWARPLFKDRRKFAQMADPLLHGQYPARGLYQALAVAAMCVQEQPNMRPLIADVVTALSYLALQKYDPETHPVQGSRTGPSTPRTRREQ >Potri.004G187700.1.v4.1 pep chromosome:Pop_tri_v4:4:20048612:20052297:-1 gene:Potri.004G187700.v4.1 transcript:Potri.004G187700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187700.v4.1 MLSVSPPPSLPLLHHHNRSLIHHGNHRLLFTVRSSSSSSIQEAVEEKTSNEKNLLSSELYSTTPFPSIKAAKRVILVRHGQSTWNEEGRIQGSSDFSVLTKKGEAQAETSRQMLIDDSFDVCFSSPLIRSKRTAEIIWGSRKVNMITDSDLREIDLYSFQGLLKHEGKEKFGAAFRQWQVDASNFNIDGHFPVRELWGRARNCWNKILAHESRSVLVVAHNAVNQALVATAIGLGTEYFRILLQSNCGVSVLDFAPRVDGGDGSPYICLNRLNQTPNSPVAAGSSAGRKTSKRIILVSHGTTQGGTEATFSNSGNQPMSMLGIIQSQKTSELLLDLNVSTIVSSPKNASVEMATTISRVQEAADCLGADCVPRCVEMKQIQELDVRDILQLSNKDATEVPPLQPGFLNRFEDEALSALWEQSGKAWQSLLNELSDESKSEKIVVAVGDPAIHIALMGHCLNLTEDWMGLFHLDAGSISVLDFPDGPTGRGTIRCINYTAHLGRWSIPITRSTIDDEEF >Potri.008G007000.1.v4.1 pep chromosome:Pop_tri_v4:8:321974:322726:-1 gene:Potri.008G007000.v4.1 transcript:Potri.008G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G007000.v4.1 MAVKVCELCQREAGLYCDSDAAFLCFECDSNVHNANFVVSRHLRRVICSACNSLTGGSFSGTAPSLRRVTCLSCSPENKELDSISCSSSCSSTLSSACISTTETTRFENTRKGVETSCVTNIPARFSGGRLKRSRNLRSECVFVNWCERLGLNGNLVVQRATRAIALCFGRLVLPFRVSLAASFWFGVRSCGDKSVTTWQDLRRLEEVSGVPRKMISAVEMKIEHALRSRRLELHKNMEEGWADSTDCSA >Potri.010G096951.1.v4.1 pep chromosome:Pop_tri_v4:10:12043607:12044464:-1 gene:Potri.010G096951.v4.1 transcript:Potri.010G096951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096951.v4.1 MTTGLWMVFCSVLVVRMREGVVDRRWRTSDGDDGWFCWVSGVCWWWRKEWCGGAASDGIGN >Potri.008G208700.1.v4.1 pep chromosome:Pop_tri_v4:8:15753301:15754033:-1 gene:Potri.008G208700.v4.1 transcript:Potri.008G208700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G208700.v4.1 MNLFEVAHFISEKPIYEQGLILLPHLAILGWGVGPNGEILDTFPYFVSGVLHLISSVLLGFGSIYHALLGPKILEESFLFFGYVWKDRNKMTTILGIHLILLGIGVVLLVFKAFYFGGVYDTWAPRGGDVRKITNLTLSLSVIFGYLLKSPFRGDGWIVSVDDLEDIRGGHAWLGSMCIGGIWHILTKPFAWANRALLWSGEAYLS >Potri.019G093400.1.v4.1 pep chromosome:Pop_tri_v4:19:13056118:13058427:-1 gene:Potri.019G093400.v4.1 transcript:Potri.019G093400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093400.v4.1 MDASSSSFLSAIQTSKLLTGTMAMTIPKSAVTTTPSFLSRHLPSLNVSSVRIEEKPQNSTTRPTTSRTSRPASSTTTLPAATKPTPSTRKSPANDRRVVEPNQPTMMFNVLEGVINNFIDPPLRQSVDPRYVLSDNFAPVDELPPTECEVIHGSLPSCLDGAYIRNGPNPQYLPRGPYHLFDGDGMLHSIRISQGKATLCSRYVKTYKYTMERDAGAPLLPNVFSGFNGLAASAARGALSAARILAGQFNPANGIGLANTSLAYFGNRLYALGESDLPYAVRLTSNGDIETLGRHDFDRKLLMSMTAHPKVDLETGEAFAFRYGPVPPFLTYFHFDGNGNKQPDVPIFSMTRPSFLHDFGISSKYAIFADIQIGMNPMEMIFGGGSPVGSDPAKVSRLGIIPRYATDESEMKWFDVPGFNIIHAINAWDEEDAVVILAPNILSVEHTLERMDLIHALVEKVRIDLKTGIVTRNPVSARNLDFGVINPAYLGKKNRFVYAAIGDPMPKISGVVKLDVSKGERQECTVASRIFGPRCYGGEPFFVAREPENPEAEEDDGYVVSYVHDETAGESKFLVMDAKSPGLDIVAAVRLPRRVPYGFHGLFVKESDLKKL >Potri.002G149200.4.v4.1 pep chromosome:Pop_tri_v4:2:11226687:11234843:1 gene:Potri.002G149200.v4.1 transcript:Potri.002G149200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149200.v4.1 MIIFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRILENIVQPNFQQKIIVLRFLDKLCVDSQILVDIFINYDCDINSSNIFERMVNGLLKTAQGALPGTATTLVPPQEVTMKLEAMKSLVAILKSMGDWMNKQLRIPDPHSAKKSDAAENSPGPGSLPMTNGNGDEPVEGSDSHSETSTEASDVSAIEQRRAYKLEFQEGISLFNRKPKKGIEFLINANKVGNSAEEIAAFLKNASGLNKTLIGDYLGEREDFSLKVMHAYVDSFDFRGLEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFSSADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISKNEIKMKEYDLALQQKQSLNSNRVLGLDSILNIVIRKRGEEKNMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVVIALCLEGIRYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNNSEKSKQSKSTILPVLKKKGPGRMQHAAASVLRGSYDSAGIGGNAAGAVTSEQMNNLVSNLNKLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSVEELRSASDPRVFSLTKIVEIAHFNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLDREELANYNFQNEFMKPFVIVMRKSNAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTAAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLQFCATKLAEGDLGSSSRNKDKEVSVKISSPSPRTGKDGKQENGEIKDKEDHLYFWFPLLAGLSELSFDPRPEVRKSALQVLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPPGGNSPEQGIDGDMGELDQDAWLYGTCTLALQLVVDLFVKFYNTVNPLLRKVLSLLVSFIRRPHQSLAGIGIAAFVRLMSNAGDMFSEEKWLEVVLSLKDAANATLPDFSYIVSGESSVIADEQNNGETAGSDMPEDESEGLVTHHLYASISDAKCRAAVQLLLIQAVMEIYSMYRSQLSAKCALVLFDALHEVASHAHSINTNTTLRSKLQEFGSMTQMQDPPLLRLENESYQICLTFLQNLMMDRPPPFDEAEVESCLVNLCEEVLQFYVVTACSGQASETSTSGQCLWLIPLGSGKRRELAARAPLIVATLQAICSLGDSSFEKKLPHFFPLLSSLISCEHGSNEVQVALSDMLSSSVGPVLLRSC >Potri.002G149200.1.v4.1 pep chromosome:Pop_tri_v4:2:11224666:11234923:1 gene:Potri.002G149200.v4.1 transcript:Potri.002G149200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149200.v4.1 MASSEADSRLSQVVSPALEKIVKNASWRKHSKLAHECKSVLEILTSRKPQQQHPPTSPSDDSSSESSLPGPLHDGGSIEYSLAESESILSPLINACNTQFLKIVDPAVDCIQKLIAHGYLRGEADSTGGTEAKLLAKLIESVCKCYDLGDDGAELLVLKTLLSAVTSISLRIHGDCLLQIVRTCYDIYLGSKNVINQTTAKASLIQMLVIVFRRMEADSSTVPVQPIVVAELMEPVEKTDVDGSMAVFVQGFITKIMQDIDGVFNPGTPSKSSMTVAHDGAFETTTGTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEGEREDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSSSSLMIIFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRILENIVQPNFQQKIIVLRFLDKLCVDSQILVDIFINYDCDINSSNIFERMVNGLLKTAQGALPGTATTLVPPQEVTMKLEAMKSLVAILKSMGDWMNKQLRIPDPHSAKKSDAAENSPGPGSLPMTNGNGDEPVEGSDSHSETSTEASDVSAIEQRRAYKLEFQEGISLFNRKPKKGIEFLINANKVGNSAEEIAAFLKNASGLNKTLIGDYLGEREDFSLKVMHAYVDSFDFRGLEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFSSADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISKNEIKMKEYDLALQQKQSLNSNRVLGLDSILNIVIRKRGEEKNMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVVIALCLEGIRYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNNSEKSKQSKSTILPVLKKKGPGRMQHAAASVLRGSYDSAGIGGNAAGAVTSEQMNNLVSNLNKLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSVEELRSASDPRVFSLTKIVEIAHFNMNRIRLVWSSIWHVLSDFFVTIGCSENLSIAIFAMDSLRQLSMKFLDREELANYNFQNEFMKPFVIVMRKSNAVEIRELIIRCVSQMVLSRVNNVKSGWKSMFMVFTAAAYDDHKNIVLLAFEIIEKIIRDYFPYITETETTTFTDCVNCLIAFTNSRFNKDISLNAIAFLQFCATKLAEGDLGSSSRNKDKEVSVKISSPSPRTGKDGKQENGEIKDKEDHLYFWFPLLAGLSELSFDPRPEVRKSALQVLFETLRNHGHLFSLPLWERVFESVLFPIFDYVRHAIDPPGGNSPEQGIDGDMGELDQDAWLYGTCTLALQLVVDLFVKFYNTVNPLLRKVLSLLVSFIRRPHQSLAGIGIAAFVRLMSNAGDMFSEEKWLEVVLSLKDAANATLPDFSYIVSGESSVIADEQNNGETAGSDMPEDESEGLVTHHLYASISDAKCRAAVQLLLIQAVMEIYSMYRSQLSAKCALVLFDALHEVASHAHSINTNTTLRSKLQEFGSMTQMQDPPLLRLENESYQICLTFLQNLMMDRPPPFDEAEVESCLVNLCEEVLQFYVVTACSGQASETSTSGQCLWLIPLGSGKRRELAARAPLIVATLQAICSLGDSSFEKKLPHFFPLLSSLISCEHGSNEVQVALSDMLSSSVGPVLLRSC >Potri.002G149200.6.v4.1 pep chromosome:Pop_tri_v4:2:11224778:11231574:1 gene:Potri.002G149200.v4.1 transcript:Potri.002G149200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149200.v4.1 MASSEADSRLSQVVSPALEKIVKNASWRKHSKLAHECKSVLEILTSRKPQQQHPPTSPSDDSSSESSLPGPLHDGGSIEYSLAESESILSPLINACNTQFLKIVDPAVDCIQKLIAHGYLRGEADSTGGTEAKLLAKLIESVCKCYDLGDDGAELLVLKTLLSAVTSISLRIHGDCLLQIVRTCYDIYLGSKNVINQTTAKASLIQMLVIVFRRMEADSSTVPVQPIVVAELMEPVEKTDVDGSMAVFVQGFITKIMQDIDGVFNPGTPSKSSMTVAHDGAFETTTGTVESTNPADLLDSTDKDMLDAKYWEISMYKTALEGRKGELADGEGEREDDLEVQIGNKLRRDAFLVFRALCKLSMKTPPKEALADPQLMRGKIVALELLKILLENAGAVFRTSDRFLGAIKQYLCLSLLKNSSSSLMIIFQLSCSIFISLVSRFRAGLKAEIGVFFPMIVLRILENIVQPNFQQKIIVLRFLDKLCVDSQILVDIFINYDCDINSSNIFERMVNGLLKTAQGALPGTATTLVPPQEVTMKLEAMKSLVAILKSMGDWMNKQLRIPDPHSAKKSDAAENSPGPGSLPMTNGNGDEPVEGSDSHSETSTEASDVSAIEQRRAYKLEFQEGISLFNRKPKKGIEFLINANKVGNSAEEIAAFLKNASGLNKTLIGDYLGEREDFSLKVMHAYVDSFDFRGLEFDEAIRVFLQGFRLPGEAQKIDRIMEKFAERYCKCNPKVFSSADTAYVLAYSVILLNTDAHNPMVKNKMSADDFIRNNRGIDDGKDLPEEYLRSLFERISKNEIKMKEYDLALQQKQSLNSNRVLGLDSILNIVIRKRGEEKNMETSDDLIRHMQEQFKEKARKSESVYYAATDVVILRFMIEVCWAPMLAAFSVPLDQSDDEVVIALCLEGIRYAIHVTAVMSMKTHRDAFVTSLAKFTSLHSPADIKQKNIDAIKAIVTIADEDGNYLQEAWEHILTCVSRFEHLHLLGEGAPPDATFFAFPQNNSEKSKQSKSTILPVLKKKGPGRMQHAAASVLRGSYDSAGIGGNAAGAVTSEQMNNLVSNLNKLEQVGSSEMNRIFTRSQKLNSEAIIDFVKALCKVSVEELRSASDPRVFSLTKIVEIA >Potri.007G115400.4.v4.1 pep chromosome:Pop_tri_v4:7:13542991:13553564:1 gene:Potri.007G115400.v4.1 transcript:Potri.007G115400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115400.v4.1 MARSSQKFSVFEFDEEEEKVEKESARFVGKFRIQKRRRNGNNKDDDTSPRTKYKSLQCFGGCTGAVKIESSNEPIDIDDEPIDVDCGVAGETNSLCKGNSNEVVDIDPTDVEGQCQYSVSAPACMPQEDCSVKEISRLDRLFRFSNYENESVGRISDNDVGIEMSSSTSVSTLVENAGNQVLERGSVGHKIDYTNNTVAVFPDYILCGDVYGAEYCLTFSGSSIRMEGSTANGVKGIFNAEWTLDDIISIESEWCGMVTTAMVYICFKSKVSQGAGNTNDTSGVDKLKFSVCDPLWNEGEEAIKSLHVRYRDSWNVTSDSDWKNDGNAFFGHNGMVISKPYFPVLHETFEEVIYPKGDPDAVSISKRDVELLRPETFINDTIIDFYILYLKSKLKPGDKHRFHFFNSFFFRKLADLDKGPSNACGGRLAFQRVHKWTRKMNLFEKDYIFIPINYSLHWSLIVICHPGEVVHSRDEGRNSVKVPCILHMDSIRGSHRGLKNLIQSYLYEEWRERHNGTVDDTLSKFIHLRFVPLELPQQENSYDCGLFVLHYVERFLEEAPINFSPFRITEVSNFLNRNWFLPVEASLKRACIQKLIREILEDRSSTQFSDPYEEETGVEFLEEISSSVSGTGTDTDTGINISVTTKSPMRVAHQQQPGELGLNSRNLFKPGTSARSFSNEDCWQTGTIHGSSCMSPVEEIGERISDSSSNTGDYLQHTGLATEFPSTTFSHKNLRSLGSSSSNKKYMQIEEPYDDSSSEASISGSLKSSEIGVGVDEDHFFSQIEGSDHQTQTNCHELSSKSTESEELADCVVEDSEEGNSMHNDQVANDSPSSLHCNDLVASIDAIKATENILRKVWKPVCNVDSASDEQTRKDKLTSSDGA >Potri.007G115400.1.v4.1 pep chromosome:Pop_tri_v4:7:13542987:13553569:1 gene:Potri.007G115400.v4.1 transcript:Potri.007G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115400.v4.1 MARSSQKFSVFEFDEEEEKVEKESARFVGKFRIQKRRRNGNNKDDDTSPRTKYKSLQCFGGCTGAVKIESSNEPIDIDDEPIDVDCGVAGETNSLCKGNSNEVVDIDPTDVEGQCQYSVSAPACMPQEDCSVKEISRLDRLFRFSNYENESVGRISDNDVGIEMSSSTSVSTLVENAGNQVLERGSVGHKIDYTNNTVAVFPDYILCGDVYGAEYCLTFSGSSIRMEGSTANGVKGIFNAEWTLDDIISIESEWCGMVTTAMVYICFKSKVSQGAGNTNDTSGVDKLKFSVCDPLWNEGEEAIKSLHVRYRDSWNVTSDSDWKNDGNAFFGHNGMVISKPYFPVLHETFEEVIYPKGDPDAVSISKRDVELLRPETFINDTIIDFYILYLKSKLKPGDKHRFHFFNSFFFRKLADLDKGPSNACGGRLAFQRVHKWTRKMNLFEKDYIFIPINYSLHWSLIVICHPGEVVHSREDEGRNSVKVPCILHMDSIRGSHRGLKNLIQSYLYEEWRERHNGTVDDTLSKFIHLRFVPLELPQQENSYDCGLFVLHYVERFLEEAPINFSPFRITEVSNFLNRNWFLPVEASLKRACIQKLIREILEDRSSTQFSDPYEEETGVEFLEEISSSVSGTGTDTDTGINISVTTKSPMRVAHQQQPGELGLNSRNLFKPGTSARSFSNEDCWQTGTIHGSSCMSPVEEIGERISDSSSNTGDYLQHTGLATEFPSTTFSHKNLRSLGSSSSNKKYMQIEEPYDDSSSEASISGSLKSSEIGVGVDEDHFFSQIEGSDHQTQTNCHELSSKSTESEELADCVVEDSEEGNSMHNDQVANDSPSSLHCNDLVASIDAIKATENILRKVWKPVCNVDSASDEQTRKDKLTSSDGA >Potri.007G115400.2.v4.1 pep chromosome:Pop_tri_v4:7:13543075:13553564:1 gene:Potri.007G115400.v4.1 transcript:Potri.007G115400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115400.v4.1 MARSSQKFSVFEFDEEEEKVEKESARFVGKFRIQKRRRNGNNKDDDTSPRTKYKSLQCFGGCTGAVKIESSNEPIDIDDEPIDVDCGGETNSLCKGNSNEVVDIDPTDVEGQCQYSVSAPACMPQEDCSVKEISRLDRLFRFSNYENESVGRISDNDVGIEMSSSTSVSTLVENAGNQVLERGSVGHKIDYTNNTVAVFPDYILCGDVYGAEYCLTFSGSSIRMEGSTANGVKGIFNAEWTLDDIISIESEWCGMVTTAMVYICFKSKVSQGAGNTNDTSGVDKLKFSVCDPLWNEGEEAIKSLHVRYRDSWNVTSDSDWKNDGNAFFGHNGMVISKPYFPVLHETFEEVIYPKGDPDAVSISKRDVELLRPETFINDTIIDFYILYLKSKLKPGDKHRFHFFNSFFFRKLADLDKGPSNACGGRLAFQRVHKWTRKMNLFEKDYIFIPINYSLHWSLIVICHPGEVVHSREDEGRNSVKVPCILHMDSIRGSHRGLKNLIQSYLYEEWRERHNGTVDDTLSKFIHLRFVPLELPQQENSYDCGLFVLHYVERFLEEAPINFSPFRITEVSNFLNRNWFLPVEASLKRACIQKLIREILEDRSSTQFSDPYEEETGVEFLEEISSSVSGTGTDTDTGINISVTTKSPMRVAHQQQPGELGLNSRNLFKPGTSARSFSNEDCWQTGTIHGSSCMSPVEEIGERISDSSSNTGDYLQHTGLATEFPSTTFSHKNLRSLGSSSSNKKYMQIEEPYDDSSSEASISGSLKSSEIGVGVDEDHFFSQIEGSDHQTQTNCHELSSKSTESEELADCVVEDSEEGNSMHNDQVANDSPSSLHCNDLVASIDAIKATENILRKVWKPVCNVDSASDEQTRKDKLTSSDGA >Potri.017G013400.1.v4.1 pep chromosome:Pop_tri_v4:17:930375:931961:1 gene:Potri.017G013400.v4.1 transcript:Potri.017G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013400.v4.1 MSTICAEQHKPYTPHQLLSPKRPFRDLEILPGKLLTKKTHQETLDMSPYETNLQRFLPYNDYSNEKVLDGELDPYSSDHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDPRRFHYSGIVCPEFKRGGCSRGENCEFSHGVFECWLHPSRYRTEACKDGKNCKRKVCFFAHSPRQLRILPEVSSRNKSLASPCSSLNHSHCCVVCHSMTSSPTSTLLGMSHMSPPLSPSLSPPLSPVKHQSLSGFSPISRYNETLSKFRAGVVSYKDVLSELMSSLEAMNFNEGASVSSPMSLSTNHNRNVNSTTPWNIDVSFSGEDQPQFILSPSTPTPSSKFFNGDCSSNKGLFVDDKINDHNNIGDGGLACTSDPDLGWVNELLM >Potri.012G044700.1.v4.1 pep chromosome:Pop_tri_v4:12:4033721:4047324:-1 gene:Potri.012G044700.v4.1 transcript:Potri.012G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044700.v4.1 MVFKNKLFFSSSKKSETSSPDGSNNSPRSIGSNSPIRSEKKKPAKSKDSTPTTPASTASSNNSTCKQTQVKDGVKKKDSFFKGKETVSQPRTPTKPGISNSGSDLKSKKGGVLVDNKEKEAEKYSVSPILASSLGLNRIKTRSGPLPQETFFSFKGDKGSGVLGSSNLSRPSASSGDGGSSSNSSSLGSGKKKEGILGQSKLRVFQESGNGGDNSDSMSTGSGGQSREVSPNLQARTRLQSGESSSEAGQHNSSRGHSGGLRSSDAITPETYDCENPKESESPRFQAILRLTSAPRKRFPADIKSFSHELNSKGVRPFPFWKPRGLNNLEEILVVIRAKFDKAKEEVNSDLAIFAADLVGILEKNADSHPEWQETIEDLLVLARSCAMTSPGEFWLQCEVIVQELDDRRQELPPGILKQLHTRMLFILTRCTRLLQFHKERVLDENENVFGLRQSRLLHPVDKRIPSFVGRDGKVSSAAKKAASGRKSYSQEHKAALVRKSYSQEQRDWSREQDILPGKLLSLADNALKSDESPTGRDRISSWKPLPSPPGKSTKEVVPVEEQNDSKIEPLKTSNDRRGASDVHLAAAKVSDLPMVKDVHENSTKHQPKISWGNWGDQQNIADESSIICRICEEEVPTLHVEDHLRICAIADRCDQKGLSVNERLIRISETLEKMIVQKDIHHAVGSPDVAKVSNSSVTEESDVLSPKLSDWSHRGSEDMLDCFPEADNAVFMDDLKGLPSMSCKTRFGPKSDQGMATSSAGSMTPRSPLLTPKTSHIDLLLAGKSAFSEHDDLPQLNELADIARCVATTPLEDDRSTPYLLTCLGDLRVVIERRKFDALTVETFGTRIEKLIREKYLQLCELVEDEKVDIASTVIHEDTPLEDDVVRSLRTSPIHSSKDRTSIDDFEIIKPISRGAFGRVFLAKKRATGDLFAIKVLKKADMIRKNAVESILAERDILISVRNPFVVRFFYSFTCRENLYLVMEYLNGGDLYSLLRNLGCLDEDVARVYIAEVVLALEYLHSLRVVHRDLKPDNLLIAHDGHIKLTDFGLSKVGLINSTDDLSGPAVSGTSMLVDDEPQLSTSEHQRERRKKRSAVGTPDYLAPEILLGTGHGTTADWWSVGVILFELIVGIPPFNAEHPQTIFDNILNCKIPWPRVPEEMSPEAQDLIDRLLTEDPYQRLGAGGASEVKQHVFFKDINWDTLARQKAAFVPSSESALDTSYFTSRYSWNTSDDAIYPASDFEDSSDADSLSGSSSCLSNRHDEVGDECQGLAEFESGSGVNYSFSNFSFKNLSQLASINYDILSKGWKDDPPTTNL >Potri.001G110300.1.v4.1 pep chromosome:Pop_tri_v4:1:8882349:8884573:1 gene:Potri.001G110300.v4.1 transcript:Potri.001G110300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110300.v4.1 MQMEPMKSKIRGIFTQGNKEDSFVGRGTMNIVDEWEIRPGGMLVQKRTTADSNHNSVPVSTIKVRVKYGSSCHEISISSQASFGELKKMLAQHTGVHHEDQKLIYKKKERNSKAYLDTAGVKDGSKIVLTEDITSRQRRCLEMLKTAKIKKGSKSLQQITVDVDRLGEKVTSLETTSKGGKIAEKDVDELTAMLMEKLVALDGIFVEGDLKLQKRMQERRVQQYIETLDKLKLNYSTADSNGGKIPLQEQDNSMGKMPIPKQRPIQSEGRKIPLQPQDNSIGTTPIAMQKQSIQRNGGKIPLQKLENSTGKMPIPRQKESVQSKQQNATMQMPTQQQRPILRHSESFVVTTTWETFD >Potri.014G172800.2.v4.1 pep chromosome:Pop_tri_v4:14:12827869:12829267:-1 gene:Potri.014G172800.v4.1 transcript:Potri.014G172800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172800.v4.1 MASKLVSSRRRITCSAVQESSTSTVAADATEVKPVEKKAPAKPKKAPAKPLAQLMEEDVIPSLKATLEAQDDITELELSFTDNRLEGSFLQKGTPYSFWAFFPDGLTGPKGFSLSSYGSGVSTVEPFLIDEKKITEKHIVFWVEKRLAAQGIIPVWKG >Potri.014G172800.1.v4.1 pep chromosome:Pop_tri_v4:14:12827871:12829492:-1 gene:Potri.014G172800.v4.1 transcript:Potri.014G172800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172800.v4.1 MTTRGGAVGVSSFPSSPSCFRKNGRATSSPPLHLQMASKLVSSRRRITCSAVQESSTSTVAADATEVKPVEKKAPAKPKKAPAKPLAQLMEEDVIPSLKATLEAQDDITELELSFTDNRLEGSFLQKGTPYSFWAFFPDGLTGPKGFSLSSYGSGVSTVEPFLIDEKKITEKHIVFWVEKRLAAQGIIPVWKG >Potri.019G050000.2.v4.1 pep chromosome:Pop_tri_v4:19:8210199:8218518:-1 gene:Potri.019G050000.v4.1 transcript:Potri.019G050000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050000.v4.1 MSDNLMEKVSAFGERLKIGGAEVGRKMSAGMSSMSFKVKELLQGPNQADKLVEDATAETLDEPDWAMNLDICDMIDHEKVSSVELIRGIKKRIMIKNARVQYLALVLLETCAKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALLLIEAWGESTSELRYLPVFEETYKSLKSRGIRFPGRDNESLVPIFTPPRSVSAPEVDTSLARQIEYDIPLQSFTAEQTKEAFDVARNSIELLATVLSSSPEQDALQDDLTTTLVHQCRQSQLTVQRIIEKAGDNEALLFEALNVNDEIQKVLSKYEELKAPSVVPVIPEPAMIPVAVEPDSPVNAKEDALVRKPAGSRGGTHGGSNDDMMDDLDEMIFGKKGGGTSQVVHDPKKQQSSKDDLITF >Potri.019G050000.4.v4.1 pep chromosome:Pop_tri_v4:19:8210243:8218324:-1 gene:Potri.019G050000.v4.1 transcript:Potri.019G050000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050000.v4.1 MSDNLMEKVSAFGERLKIGGAEVGRKMSAGMSSMSFKVKELLQGPNQADKLVEDATAETLDEPDWAMNLDICDMIDHEKVSSVELIRGIKKRIMIKNARVQYLALVLLETCAKNCEKAFSEVAAERVLDEMVKLIDDPQTVVNNRNKALLLIEAWGESTSELRYLPVFEETYKSLKSRGIRFPGRDNESLVPIFTPPRSVSAPEVDTSLARQIEYDIPLQSFTAEQTKEAFDVARNSIELLATVLSSSPEQDALQDDLTTTLVHQCRQSQLTVQRIIEKAGDNEALLFEALNVNDEIQKVLSKYEELKAPSVVPVIPEPAMIPVAVEPDSPVNAKEDALVRKPAGSRGGTHGGSNDDMMDDLDEMIFGKKGGGTSQVVHDPKKQQSSKDDLITF >Potri.005G000066.1.v4.1 pep chromosome:Pop_tri_v4:5:65326:65814:-1 gene:Potri.005G000066.v4.1 transcript:Potri.005G000066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000066.v4.1 MASPRVLGTAFLVLLIVDLTLAGGGGGGCSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGVGGGDSGGGNGSGSGYGSGSGYGSGSGIGGGEGGGGSGGSGGGGGGGQGSGSGSGSGYGSGSGGGGGGGGGGGGGGGGGGGG >Potri.013G113550.1.v4.1 pep chromosome:Pop_tri_v4:13:12203368:12203803:-1 gene:Potri.013G113550.v4.1 transcript:Potri.013G113550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G113550.v4.1 MASKKVIIPLVLIAVLLLCQDYKNNIVAAQSQCCTEHPELGKCQPGVDDKSPDGKCWQYCMNNCDENKGGFCKLNNKKHHCHCYC >Potri.002G031550.1.v4.1 pep chromosome:Pop_tri_v4:2:2075690:2075929:1 gene:Potri.002G031550.v4.1 transcript:Potri.002G031550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031550.v4.1 MAEWSKAPDSSSGPRERAWVQIPLLTFSHIFFSIFSVIYNT >Potri.013G096400.1.v4.1 pep chromosome:Pop_tri_v4:13:9990777:9993419:1 gene:Potri.013G096400.v4.1 transcript:Potri.013G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096400.v4.1 MAISIILLFLPLIFFSSFSSSTIDRLSGASSLSVHADDVLTSPDGVFSAGFFPVGDNAYCFAIWFSEPYSEGNRTIVWMANRDEPVNGRKSELSLRKSGNVIITDAGRLTVWSTDTVSESSVFLYLHENGNLILQKSEGGVLWQSFDSPTDTLLPQQLLTKDMQLVSSRSQGNYSSGFYKLYFDNDNVLRLLYGGPEITVYWPDPELMSWEASRSTFNSSRIAFLDSLGYFSSSDNFTFMAADYGESVQRILKLDFDGNIRLYSRKYRMDKWTVSWQAMSQPCRIHGTCGPNSICSYVPHFGRKCSCLPGFKIRDRTDWSLGCIQEFNLTCTRNETGFLKLSNVEFYGYDYGFFPNYTFGMCENLCLQICDCKGFQFKFIKHNYRSNIPYCYPKTQLLNGQHSPNFQGDMYLKVPKTLPIQESGLDCSSTVVKQLNRTYTKHQENASLKFVVRFAMVVGSVELGVIFILWFVFIRTHRNSCAGTQNYPRITTGFRKFTLSELKKATQGFSKEIGRGAGGVVYRGMLSDHRIAAVKRLSDAYQGEAEFQAEVSTIGKLNHMNLTEMWGYCAEGKHRLLVYKYTEHGSLAEQLSSNSLGWERRFDIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVSDFGLSRPLKRGSQANKGFSKIRGTRGYMAPEWVFNLPITSKVDVYSYGMVLLEMISGKCPAEEIENRRLVTWVREKMKQATETSSWIEMIIDPKLEGKYDKGRMEILFEVALKCVAEDRDARPTMSQVVEMLLHQENDSELV >Potri.019G016116.1.v4.1 pep chromosome:Pop_tri_v4:19:2634579:2636132:1 gene:Potri.019G016116.v4.1 transcript:Potri.019G016116.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016116.v4.1 MENTNQRNNSRRKADFPPSLWGCSFASFSFPRTEFESYSRQVEELKENVKDMLMASKKDPVEHVEFINLLCRLGVSYHFDDEIENSLKQIFDDLPNLLEKHDFDLYTLSLLFRVLRQHGFKMPCVVFDKFKDTNGEFKKTIINDVKGILSLYEASFLSVYGEQILDDALVFTKANLESSAMQSSPRLGDHIRNALIWPFHKGVPRIEARKYISFYEADESRNDTLLKFAKIDFNRVQLIHQQELSILSR >Potri.001G371800.1.v4.1 pep chromosome:Pop_tri_v4:1:38929725:38930889:1 gene:Potri.001G371800.v4.1 transcript:Potri.001G371800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371800.v4.1 MVSFKEITLKVTAIYMQLSIIVMIIIVQDSKARELRPSDHGLEYQSMPPTGVRFPPDMKQFFGASSAATSTSSSGVALPKAMNSNDTSWWRAAGGGGGGGGGGDHVRHVLLVASLVCGVTGLALLVTSALIFYFMRHKNQSSPSSSTTNNNHRSIVVYAGKN >Potri.015G022800.1.v4.1 pep chromosome:Pop_tri_v4:15:1686723:1688270:-1 gene:Potri.015G022800.v4.1 transcript:Potri.015G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022800.v4.1 MSSSNLAVLNALDNARIQLYHVTAIIIAGMGFFTDAYDLFCITTVSKLLGRLYYYDPITGNPGKLPTNVNNVVTGVALVGTLSGQLVFGWAGDKLGRKKVYGVTLIIMVICAIGSGISFGSSTKSVIGTLCFFRFWLGFGIGGDYSLSATIMSEYANKKTRGKFIAAVFAMQGVGIIFAGLVSMILSKIFLSRYHAVPFSKDPILSTQPQADFLWRIVLMLGALPAMLTFYWRMKMPETGRYTALIEGNAKKAAVDMGRVLDIDIQEESDKLSEIRASNNYELLSWEFFDRHGYHLIGTMSTWFLLDIAFYSQNLTQKDIFPTMGLTKQAADVSALEEVYETSRAMFIVALLGTFPGYWFTVLFIESLGRFFIQVMGFIMMSSFMLLMGVFYDGLKEHKWLFALLYGLTFFFANFGPNSTTFVLPAELFPTRLRSTCHALSAAAGKAGAMIGAFVVQTYTLDGDVTKIKRALLALSFTNILGACFTFFLSETKGKSLEEISGEDGGQNRTRTAAS >Potri.012G053600.1.v4.1 pep chromosome:Pop_tri_v4:12:5101540:5106339:1 gene:Potri.012G053600.v4.1 transcript:Potri.012G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053600.v4.1 MATIPHHHLHQLAFIPSCPSSSSSSSSPSLLSLSTKHRSFKILCCLSIKESTNDHQITPQEPRTPTKNNLRVVLAAGGTGGHIIPAVAIADELRVSNPNIEILFIGTPNSMESTSIPSAGYPFTSIPPVKLFRPLVSLENLTLPIHLIHSIIHSFKLLKEFDPHVVIGTGGYVSFPTCLAALLQRTKIVIHEQNSVPGIANYVLSYFSHLVFLSYNSTIECFPKKHNCVVTGNPVRVSLRQFVSRAVARLEFFPMAGEEAKVILVLGGSLGANAINIALLNVYSQMLLEHKDWYIIWQTGVESYNEMESLVRNHSNLVLKPFLHSMDLAYAAADLIVSRAGAMTCSEILATGKSAILIPSPDVAEGHQFKNASLMADVAGTRVITEDELDSTTLGTAIEEILDDDALRAEMSERALRAAKPDASAQIAQHILSLVESSTKRK >Potri.002G155600.1.v4.1 pep chromosome:Pop_tri_v4:2:11882880:11885038:1 gene:Potri.002G155600.v4.1 transcript:Potri.002G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155600.v4.1 MPEGTIQVVLVGAKGLENTDFFTNIDPYVLLTCRSQEQRSSVASGQGSEPEWNETFVFTISEGTSELVLKIVDHDTLTDDDYLGKASIPLEPLFIEGNLPTTAYNVVKDEEYRGEIRVGLSFTPERRTSRTFDAGEESYGGWKESAYTD >Potri.001G365001.1.v4.1 pep chromosome:Pop_tri_v4:1:38143965:38144393:-1 gene:Potri.001G365001.v4.1 transcript:Potri.001G365001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365001.v4.1 MEQPQIPSCLVLLPSLLFIFMVLRMLKKSKTKDLTPNLPPGPRKLPVIGNLHQLFGSLPHHRLRDLAEKHGPIMHLQLGQVQTIVISSPETAEQVMKVHDINFAHRPHLLVGQIIFYNCTDIATAAYGDYWRQLRKISILEL >Potri.019G101800.1.v4.1 pep chromosome:Pop_tri_v4:19:13769466:13773694:1 gene:Potri.019G101800.v4.1 transcript:Potri.019G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101800.v4.1 MASVMRSCIQSILKLLNCVIGMVGIAMMLYAVWLIRVWQREIGDFPFFDDDDDFSPWFIYTFLGLGVTLSMITCLGHIAAETANGCCLYLYMFFVFLLLMLEAGVTADVFLNRDWEEDFPKDPSGSFDQFKGFIRSSFELCKWIGLSIVSVQGLSFLVAMILKAVGPHPCYDSDDDYASDRVPLLKDVVHPPPYVVVNPVTGSRNDAWSIRINEKANR >Potri.003G193000.3.v4.1 pep chromosome:Pop_tri_v4:3:19597200:19603325:-1 gene:Potri.003G193000.v4.1 transcript:Potri.003G193000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193000.v4.1 MGAVENPVDNKEYRIPGEAKEILKSLASKWEDVADASSLQVIPLKGAMTNEVFQIKWPTKTENVSHKVLVRIYGEGVEVFFDRENEIHTFEFISKQGQGPRLLGRFSNGRIEEFIHARTLSASDLRDPDMSALIAAKMKEFHGLEMPGPKNISLWDRLRNWLETAKRLCTPEEAKPFRLDSIEEEISLLEKELSGDRSIGFCHNDLQYGNIMIDEKTRVITIIDYEYASYNPIAFDIANHFCEMTADYHTDTPHILDYSKYPGLDERQRFLRVYLSSSGGQPSDNEVEQLIENVEKYKLASHLFWGLWGIISEHVNEIDFDYMEYARQRFGQYWLRKPALLGSLGTTPDVVSADD >Potri.003G193000.2.v4.1 pep chromosome:Pop_tri_v4:3:19598737:19603051:-1 gene:Potri.003G193000.v4.1 transcript:Potri.003G193000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193000.v4.1 MGAVENPVDNKEYRIPGEAKEILKSLASKWEDVADASSLQVIPLKGAMTNEVFQIKWPTKTENVSHKVLVRIYGEGVEVFFDRENEIHTFEFISKQGQGPRLLGRFSNGRIEEFIHARTLSASDLRDPDMSALIAAKMKEFHGLEMPGPKNISLWDRLRNWLETAKRLCTPEEAKPFRLDSIEEEISLLEKELSGDRSIGFCHNDLQYGNIMIDEKTRVITIIDYEYASYNPIAFDIANHFCEMTADYHTDTPHILDYSKYPGLDERQRFLRVYLSSSGGQPSDNEVEQLIENVEKYKLASHLFWGLWGIISEHVNEIDFDYMEYARQRFGQYWLRKPALLGSLGTTPDVVSADGNYPA >Potri.002G086100.1.v4.1 pep chromosome:Pop_tri_v4:2:6160796:6162589:-1 gene:Potri.002G086100.v4.1 transcript:Potri.002G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086100.v4.1 MAMAIDGTKFELDFSGYSSTTTTATTTPTISTENDHDHACNWNDWSPMVDWEALSGPHDDFQDLIDSMMDDGALMNQSSRLTPDTSNSMSTSDTVFVDEETSCSNDFKGLRLVHLLIAAAEALTGLNKNSDLARVILVRLKELVSPNDGTNMERLAAYFTDALQGLLEGTGGIHSNKHHSVTNNGPYHHHHRDDPQQHRHQNDALAAFQLLQDMSPYVKFGHFTANQAILEAVAEDRRIHIVDYDIMEGIQWASLMQALVSRKDGPPTPHLKITAMSRGGSSRRSIGTVQETGRRLVAFAASIGQPFSFHQCRLDSDETFRPSALKLVKGEALVMNCMLHLPHFSYRAPDSVASFLSGAKTLNPRLITMVEEEVGPIGDGGFVGRFMDSLHHYSAFYDSMEAGFPMQGRARALVERVILGPRISGSLARIYRARGEEVCPWWEWLAARGFQPVKVSFANNCQAKLLLGVFNDGYRVEELASNRLVLGWKSRRLLSASIWTSPSDPDL >Potri.012G104300.1.v4.1 pep chromosome:Pop_tri_v4:12:12639898:12641495:1 gene:Potri.012G104300.v4.1 transcript:Potri.012G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104300.v4.1 MADIETKSSQNQPLKTQNIFIGAQIFLRIVVIAASFASTWLMLTNKQTIDIGGFVLDANYSYSPEFKFLSYANIVVGAFSFVSLLFLVLVGRRSSNPTYYFILFLHDLALMSLVLGGCAAATVIGSLGKYGNSHTGWMQICDHFGKFCKRATTSVAFSYFSLVCLLILTITSASKSRQIQV >Potri.012G104300.2.v4.1 pep chromosome:Pop_tri_v4:12:12640100:12641310:1 gene:Potri.012G104300.v4.1 transcript:Potri.012G104300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104300.v4.1 MADIETKSSQNQPLKTQNIFIGAQIFLRIVVIAASFASTWLMLTNKQTIDIGGFVLDANYSYSPEFNSNPTYYFILFLHDLALMSLVLGGCAAATVIGSLGKYGNSHTGWMQICDHFGKFCKRATTSVAFSYFSLVCLLILTITSASKSRQIQV >Potri.006G170400.2.v4.1 pep chromosome:Pop_tri_v4:6:17227136:17239558:-1 gene:Potri.006G170400.v4.1 transcript:Potri.006G170400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170400.v4.1 MLFLHLRSSRHHSHLRIHIKFLSTISSQHLHLPLSFPTYMIWGSNTSLGKTLISTGLASSFLLSPRTTNKTPKFVYLKPIQTGFPSDSDSHFVFSKLSSLASLRSSPLSLSASNSVLNSSLSAASSLFGDDSKTKGRNLGIYNLNFTEENRFVKDGVNVVSELVCETLYAWKEAVSPHLAAEKENGVVEDSLVLETLEKCLRKEEDRKVEEMDVFCVVETAGGVASPGPSGTLQCDLYRPFRLPGVLMGDGRLGGISGTISAYESLKLRGYDIVAVVLEDHGLVNEVPLLSYLRNRVPVIVLPPVPQDMSNDLVEWFGDSDEAFNSLKEIMISAFSERILRLNDMAKKAVHTLWWPFTQHKLVPEEAVTVIDSRCGENFAIYKVQGNQFITQQFDGCASWWTQGPDATLQTELAREMGYTAARYGHVMFPENVYEPALECAELLLEGVGKGWASRTYYSDNGSTAIEIALKMAFRKFSFDNGLLLDFSNNNRTDKSVQLKVLALKGSYHGDTLGAMEAQAPSSYTGFLQQPWYTGRGLFLDPPTVSMSNNKWNLSLPEGMHCENMIKKDMNFSTRDEIFDKSRDKSDLTGVYSSYISQKLLQYPGLKESIHVGALIIEPVIQGAGGMLMIDPLFQRVLVSECRSRNIPVIFDEVFTGFWRLGTESAAELLGCLPDIACFAKLMTGGVIPLAATLASDAVFDSFLGESKLKALLHGHSYSAHAMGCSAAATSIKWFKDPQTNHNIISGRRLLRELWNAELVQQISSHPSVERVIALGTLFALELRAEGHNVGYASLYARSLLQKLREDGVYMRPLGNVIYLMCGPCTSPEICTSLLIKLYTRLEEFSQVQQASC >Potri.019G014328.1.v4.1 pep chromosome:Pop_tri_v4:19:2024312:2032561:1 gene:Potri.019G014328.v4.1 transcript:Potri.019G014328.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014328.v4.1 MSYNIITHLKNILNQMEGFPPSSAEDDVMINLTSNQFDIQSPEDSFNISELLSSPLEDLANQSFISCNDQVEQNFVVDNNVINDSIQQTTQFPPSSSQAIMEGVTASSIVDDVNLRSNESDGRPQLLLSRKEVSGNDQGGQNNVINDSIQQTTQFPLSFPQTMTTMGGFDGTSSSRTNQNEPNWPQTPSRDQQWTNYQLPMSNPVPAMLPGPQPPMNQWHHNSFIHQPQPGHGYMTPNATTSQHGGFNQFEPSFPALRSQYFSNQGQVDQAAVIPNKDNVQQENFVPRSQMDNLHVRGLQNQTARPNASNPGLDTSLQRQITGLNTQQVEMVGSNDPFRNYVEERADGGRMKCKFCPHTYAIKTSISRIKWHLSGEEGHGVAICRWVPKEVQEAAWKAMCGGNKRHKITASSINVNDCGISTCPQEQNIEVNMGGGIRRVQGEVQVVEPGVGEERISSQAIAGNDVVSMTGMRATEDGVSEGALESRPRTEPVDRALEQSNAVLGNLAGGAGRIQVGVQGMEQGAGEERIQLHLQAENGMENTPEGCFRHDASETIPRTEQVQLQEARGDSSQFCLDTGRYYDQLCAPSISKDVLMYDVQNMVRVRTEPVEEEGVENSGRLVLPGAGAGSSRSLKYNTSETRGVPLPTSSTKPAGQTFEENTNVIWSLLMDDEVSVIGIYGMGGVGKTKILQHIYNELLQIPDICDHVWWVTVSQDFSINRLQNLIAEHLDLDLSRKNVELHRAAKLSEELTKKQKWILILDDLWNNFELQEVGIPIPLKGCKLILTARSEMVCRRMACQHKIKVKPLSEGEAWTLFMEKLRCEKAFSPKLEGIAKAIARECAGLPLGIITVAGSLMGVDDLHEWSNTLKELSESKFRDMDENVFKLLRCSYDRLGDLALQQCLLYCALFPEDGCIEREELIGYLIDEGIIKGMRSWKDAFDKGHTMLNRLEYVCLLEGDKFKYGGGTFVKMHDLIRDMAIQIQLENSQVMVKAGAQLKVLPDAEEWTENLTRVSLMQNHIKEIPSSHSPRCPNLSTLFLNDNDWLGFIADSFFKQLHELKVLDLSGTSIKNLPDSVSDLVNLTTLLIKYCENLRHVPSLKKLRELKRLDLSLTMLEKMPEGMECLSKLRYLRMNGCGEKEFPSRILQKLSHLQVFVLEEASIDGRCAPISVKVEDVVSLRNLETLECYFEGFSDFVEYLRSRDGIQSLSTYKILVGMVDENYWADIDNFPSKIVGLGNLSINEDGDFQVKFLNGIQGLVCQFIDARSLCDVLSLENATELELINIYDCDIMESLVSSSWLCSAPLPLPSYNGMFSGLKELYCGGCNSMKKLFPLVLLPNLVNLERILVRDCEKMGEIIGTTDEESSSSNSITEVILPKLRTLELFMLPELKSICSAKLICNSLEVITVMGCKKLKRMPICLPLLENGQPSPPHSLRRMRIKPKKWWNTVVEWEHPNAKDVLRPFVKFR >Potri.001G171701.1.v4.1 pep chromosome:Pop_tri_v4:1:14750239:14750866:-1 gene:Potri.001G171701.v4.1 transcript:Potri.001G171701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171701.v4.1 MKNNRSIPPQHQGSTTFEARNNDFHTCKQDREAVRSHAVENKATNNKLASKPYQDVDASAEAFIKKFRQQLTIQRLESIENYEQMVARGL >Potri.014G169500.1.v4.1 pep chromosome:Pop_tri_v4:14:12387949:12390380:-1 gene:Potri.014G169500.v4.1 transcript:Potri.014G169500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169500.v4.1 MYGGIGLGFGKAVMSCGRHLHTTVSRDGATETLKRRVTELEKIRRSKKKSKKKDDIFVQVPESLSFLDTATMPMILTVVGTALFAKLLMMYDDSHSQEMIERKIKNAPVGQGTVRMLTREEWEEFREVRPRTPFESKLARPNARIRTEEPVRTDDLKDWTIDVLTDALTRVEESVKRNS >Potri.016G048400.1.v4.1 pep chromosome:Pop_tri_v4:16:3112351:3114616:1 gene:Potri.016G048400.v4.1 transcript:Potri.016G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048400.v4.1 MTHFGKFKIFNWVSSNFVGSNKTPLSSMMSLFNIAESPMFYRTKRIVQAENGQSINPVSRTAAQTALLEYLYVTRNIQFTDADNMSKNSPHFLEKLLAKVDIDADIGHSITRFLCFHPINEFEPFFESLGLKPRDYNPLLPRDLMFLCDDDLLLENYHVLCNYGIARSKIGKIYKEATEVFGYDYGVLVLKLKAYEELGLVQSFMMKLVVCSPYLLIGEVNADFIKVLEILRKEGVDISGIEEHLSEKSSYDWSKLLALLNLFRHAGYNEKQLGGLISQHLAIFFEDSVDRIYLLIGFLLKFGSTMNQICSMFLWFPQMEFEEFFSNLRHCFLFLNEIQMEAHEIQSIFRSHPLMLGSCRLKKPNTLRLALHAAEKRMCEVIQESPQVLKKWVMGSKVKRLPNLRLKSRKLKTKFLLDLGIVDNSNKIGKALKVFRGSGEKFQERFDCIVEAGVSRKDVCEMIKASPQILGQSKDVLEMKIDFLVNKVGYPVSYLVTFPSYLNFTTQRVELRLAMYNWLKDQGKSVPMLSLRSLISLSDKKFINEYVNSHPRGPEIWQNLKKEIYAE >Potri.016G048400.2.v4.1 pep chromosome:Pop_tri_v4:16:3112351:3114616:1 gene:Potri.016G048400.v4.1 transcript:Potri.016G048400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048400.v4.1 MFYRTKRIVQAENGQSINPVSRTAAQTALLEYLYVTRNIQFTDADNMSKNSPHFLEKLLAKVDIDADIGHSITRFLCFHPINEFEPFFESLGLKPRDYNPLLPRDLMFLCDDDLLLENYHVLCNYGIARSKIGKIYKEATEVFGYDYGVLVLKLKAYEELGLVQSFMMKLVVCSPYLLIGEVNADFIKVLEILRKEGVDISGIEEHLSEKSSYDWSKLLALLNLFRHAGYNEKQLGGLISQHLAIFFEDSVDRIYLLIGFLLKFGSTMNQICSMFLWFPQMEFEEFFSNLRHCFLFLNEIQMEAHEIQSIFRSHPLMLGSCRLKKPNTLRLALHAAEKRMCEVIQESPQVLKKWVMGSKVKRLPNLRLKSRKLKTKFLLDLGIVDNSNKIGKALKVFRGSGEKFQERFDCIVEAGVSRKDVCEMIKASPQILGQSKDVLEMKIDFLVNKVGYPVSYLVTFPSYLNFTTQRVELRLAMYNWLKDQGKSVPMLSLRSLISLSDKKFINEYVNSHPRGPEIWQNLKKEIYAE >Potri.017G062100.1.v4.1 pep chromosome:Pop_tri_v4:17:5145734:5147619:-1 gene:Potri.017G062100.v4.1 transcript:Potri.017G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G062100.v4.1 MATPENTTEKGFLKAKTPQGTSTPGQPQRSFLMAQITLRILAIAFTVAAIPIMVTAKEPVSFIGLTIAPRYSQSPAMKFLLGADATVCAFSVLSLLYLWALSRSGSQTTSYFLLYLHDMVMTVLMISGCAAATAVGYLSKYGQREAFWNPFCSYVTKFCHQMLISTVLSYLAFFCYLALNILAAYKLMSHATE >Potri.013G132500.3.v4.1 pep chromosome:Pop_tri_v4:13:13864666:13867690:-1 gene:Potri.013G132500.v4.1 transcript:Potri.013G132500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G132500.v4.1 MIMNLLSRSNPRPWLQQQHHQGYYFLYKVLRALSFSTSTQRKDSLKLRISRAGNPKVSIIPVIEQWLKEGNSIKQSDLQNFIKLFRRHRRFSHALQISQWMSDERGSEQSPGDFAVRLDLISKVHCLEQAEEYYNSIPDHLRGTQVYGALLNCYAHKRRLEKAEATMQKMRELGLVQTLSYNVMLSLYSHMGRYEKLEALVKEMEEKGVNSDIYTFNIRLHAYVATSNIEEMEKLLMKMETDSLINIDFHTFFAVANGYLKAGLLEKSIVMLKRAEELTVPMVGTTKAHAYEMLLTLYGSAGNKDGVYRVWNSYKNTGRIFNSTYICMINSLMRLGDIDGAEWISEEWVSRKTLYDIRIPNTMIRAYSRKGLWKKAEEYVNKIVESGMQLEASSWDHLATGYHFGGQMAKAVETLKKAISISKPGWKPNPYTLKTCLWYLESKGDEEAAEELLKFVSEHHPVSPGKNDI >Potri.002G107902.1.v4.1 pep chromosome:Pop_tri_v4:2:8022628:8026278:-1 gene:Potri.002G107902.v4.1 transcript:Potri.002G107902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107902.v4.1 MGTGWRRAFCTTIPRDRETTISDKQQTTSPSPSPSPRRCAKLGFFSSASNPSTPRLPSQNPNLRCRTNTVDSPSTNESPAFHCKTAPKITTTTTKNPKSLLSSNPSSPRSPLKLSLFKNSFKFRSSCGICLNSVKRGQGTAIYTAECAHAFHFPCIASYVRKHGSLVCPVCNSTWKDVPLLAIHKNLHQNDNNLEEDPSTNTITKVEEKKVVIVESSPRAIKTPTTPTPTPQQPQPRTPKYSDSRSYDDDEPLLSPTAGARFNPIPEADESVDDDDDGVEEFQGFFPTHSTSVVKSDEVSINDRDFSRNVQVRLLPEVAVISVGRGYETYAVALRVKAPPPLPSLTTRNSSNSTASLLDPSRRAPIDLITVLDVSASMTGAKLQMLKRAMRLVISSLGSADRLSIVAFSSSPKRLLPLKRMTPNGQRSARRIIDRLVCGQGSSVGEALRKATKVLEDRRERNPVASIMLLSDGQDERVSDNNSNHRHTSIHKSSTRFAHIEIPVHSFGFGQSGGNSQEPAEDAFAKCVGGLLSVVVQDLRIQLGFASSSAPAEIVAVYPCNSRPNVLGSGSVRLGDLYAEEERELLVELRVPQSAVGSHHVMSARCLYKDPATQEVVYDRDQSLLVPRPHALPSTGPKIQHLSNLFITTRALAEARRLVEHNEFTSAHHLLVSSRALILQSSLISADEYVRRLEAELAEVQWRKQHHQLQQQQQQQQQQMMMQRRREMVTMDENGEPLTPTSAWRAAEKLAKVATMKKSLNRVSDLHGFENARF >Potri.002G107902.2.v4.1 pep chromosome:Pop_tri_v4:2:8022567:8026278:-1 gene:Potri.002G107902.v4.1 transcript:Potri.002G107902.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107902.v4.1 MGTGWRRAFCTTIPRDRETTISDKQQTTSPSPSPSPRRCAKLGFFSSASNPSTPRLPSQNPNLRCRTNTVDSPSTNESPAFHCKTAPKITTTTTKNPKSLLSSNPSSPRSPLKLSLFKNSFKFRSSCGICLNSVKRGQGTAIYTAECAHAFHFPCIASYVRKHGSLVCPVCNSTWKDVPLLAIHKNLHQNDNNLEEDPSTNTITKVEEKKVVIVESSPRAIKTPTTPTPTPQQPQPRTPKYSDSRSYDDDEPLLSPTAGARFNPIPEADESVDDDDDGVEEFQGFFPTHSTSVVKSDEVSINDRDFSRNVQVRLLPEVAVISVGRGYETYAVALRVKAPPPLPSLTTRNSSNSTASLLDPSRRAPIDLITVLDVSASMTGAKLQMLKRAMRLVISSLGSADRLSIVAFSSSPKRLLPLKRMTPNGQRSARRIIDRLVCGQGSSVGEALRKATKVLEDRRERNPVASIMLLSDGQDERVSDNNSNHRHTSIHKSSTRFAHIEIPVHSFGFGQSGGNSQEPAEDAFAKCVGGLLSVVVQDLRIQLGFASSSAPAEIVAVYPCNSRPNVLGSGSVRLGDLYAEEERELLVELRVPQSAVGSHHVMSARCLYKDPATQEVVYDRDQSLLVPRPHALPSTGPKIQHLSNLFITTRALAEARRLVEHNEFTSAHHLLVSSRALILQSSLISADEYVRRLEAELAEVQWRKQHHQLQQQQQQQQQQMMMQRRREMVTMDENGEPLTPTSAWRAAEKLAKVATMKKSLNRVSDLHGFENARF >Potri.014G000500.9.v4.1 pep chromosome:Pop_tri_v4:14:68738:79442:-1 gene:Potri.014G000500.v4.1 transcript:Potri.014G000500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000500.v4.1 MNVQAHLSGQVSNQLPPQQNGNQQMQNLAASANAPANMYSIDPELRRARNYIHHKIFEIIMRRHSQPVDDTQKQKFKGIAKRLEEGLFKAAQTKEDYLNLNTLESRLSSLIKRSSTNSHNQRHPQLVNSSSSIGTMIPTPGMSNSGNSNMMTSSVDTMMITSSGCDTIAPPAVNTGSLLPSSGMHGSSFSRSDGNLSNGYQQSPANFSISSGGNMSSMGMPRMTSQMIPTPGYSNNNNNNQSYMNVESTANSGGFSTADSAMVSQTQQPKQYIGGQNSRILQNLGSQMGSNIRSGMQQKSYGFANGALNGGMGMLGNNLPLVNEPGTSDGYMTSTLYANSPKPLQQQFDQHQRQLMQGDGYGMSNADSFGSGNIYGAITSVGSMINAQNLSSASLQSMSKTNSSLVNNQSNLHVAPQAGHIKPQSLDQSEKMNFQSSLQQQQLPQHPHQQQQLQQQFQQQQFAQQHRLQKQQGQQQQHLLNNDAFGQSQLTPDPSSQVKLEPGMEHHNDILRSQTSEHFQMSELQNQFQQNVVGDHSKNAQNLSHPAGQHDMYLSLPQNSQQMQQMLHPHQLVSESQNNFNSLSVGTQSDSALQDQWHPQSQDRTCVPGSMSHEQHVQEDFHQRISGQGEAQRNNVASEGSIVSQTVPPRSTSELQNSSGVTYRSGNANRDRQFRNQQKWLLFLRHARRCPAPEGQCPDPNCTTVQNLLRHMDRCKSTPCPYPRCQHTRILIHHFRHCRDACCPVCIPVRKYLEAQIKIQMKTRTPPASDSGLPSKGTDNGENAARLISRTPIVESTEDLQPSPKRMKIEQSSQTLRPESEVSAVSASAVSDAHIAQDVQRQDHKHGDNRLPVKSEYMEVKLEVPASSRQGSPSDSEMKRDNMDDVSSQIPADESMVHDEPARLAKQESLKVEKETDPLKQENATKPPENPAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHFFCIPCYNEARGDTIVADGTTILKARLEKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRKLKQERQDRAKMHGKSFDDVPGAESLVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVADLINLYDHFFISSGESKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQADLFGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCSHCCNLMVSGTRWVCKQCKNFQICDKCYEAEQKREERERHPINQREKHALYPDEITDVPVDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNSCYQKDGGMDHPHKLTNHPSLAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Potri.014G000500.8.v4.1 pep chromosome:Pop_tri_v4:14:68750:79308:-1 gene:Potri.014G000500.v4.1 transcript:Potri.014G000500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000500.v4.1 MNVQAHLSGQVSNQLPPQQNGNQQMQNLAASANAPANMYSIDPELRRARNYIHHKIFEIIMRRHSQPVDDTQKQKFKGIAKRLEEGLFKAAQTKEDYLNLNTLESRLSSLIKRSSTNSHNQRHPQLVNSSSSIGTMIPTPGMSNSGNSNMMTSSVDTMMITSSGCDTIAPPAVNTGSLLPSSGMHGSSFSRSDGNLSNGYQQSPANFSISSGGNMSSMGMPRMTSQMIPTPGYSNNNNNNQSYMNVESTANSGGFSTADSAMVSQTQQPKQYIGGQNSRILQNLGSQMGSNIRSGMQQKSYGFANGALNGGMGMLGNNLPLVNEPGTSDGYMTSTLYANSPKPLQQQFDQHQRQLMQGDGYGMSNADSFGSGNIYGAITSVGSMINAQNLSSASLQSMSKTNSSLVNNQSNLHVAPQAGHIKPQSLDQSEKMNFQSSLQQQQLPQHPHQQQQLQQQFQQQQFAQQHRLQKQQGQQQQHLLNNDAFGQSQLTPDPSSQVKLEPGMEHHNDILRSQTSEHFQMSELQNQFQQNVVGDHSKNAQNLSHPAGQHDMYLSLPQNSQQMQQMLHPHQLVSESQNNFNSLSVGTQSDSALQDQWHPQSQDRTCVPGSMSHEQHVQEDFHQRISGQGEAQRNNVASEGSIVSQTVPPRSTSELQNSSGVTYRSGNANRDRQFRNQQKWLLFLRHARRCPAPEGQCPDPNCTTVQNLLRHMDRCKSTPCPYPRCQHTRILIHHFRHCRDACCPVCIPVRKYLEAQIKIQMKTRTPPASDSGLPSKGTDNGENAARLISRTPIVESTEDLQPSPKRMKIEQSSQTLRPESEVSAVSASAVSDAHIAQDVQRQDHKHGDNRLPVKSEYMEVKLEVPASSRQGSPSDSEMKRDNMDDVSSQIPADESMVHDEPARLAKQESLKVEKETDPLKQENATKPPENPAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHFFCIPCYNEARGDTIVADGTTILKARLEKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRKLKQERQDRAKMHGKSFDDVPGAESLVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVADLINLYDHFFISSGESKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQADLFGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCSHCCNLMVSGTRWVCKQCKNFQICDKCYEAEQKREERERHPINQREKHALYPDEITDVPVDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNSCYQKDGGMDHPHKLTNHPSLAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Potri.014G000500.1.v4.1 pep chromosome:Pop_tri_v4:14:68750:79544:-1 gene:Potri.014G000500.v4.1 transcript:Potri.014G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000500.v4.1 MNVQAHLSGQVSNQLPPQQNGNQQMQNLAASANAPANMYSIDPELRRARNYIHHKIFEIIMRRHSQPVDDTQKQKFKGIAKRLEEGLFKAAQTKEDYLNLNTLESRLSSLIKRSSTNSHNQRHPQLVNSSSSIGTMIPTPGMSNSGNSNMMTSSVDTMMITSSGCDTIAPPAVNTGSLLPSSGMHGSSFSRSDGNLSNGYQQSPANFSISSGGNMSSMGMPRMTSQMIPTPGYSNNNNNNQSYMNVESTANSGGFSTADSAMVSQTQQPKQYIGGQNSRILQNLGSQMGSNIRSGMQQKSYGFANGALNGGMGMLGNNLPLVNEPGTSDGYMTSTLYANSPKPLQQQFDQHQRQLMQGDGYGMSNADSFGSGNIYGAITSVGSMINAQNLSSASLQSMSKTNSSLVNNQSNLHVAPQAGHIKPQSLDQSEKMNFQSSLQQQQLPQHPHQQQQLQQQFQQQQFAQQHRLQKQQGQQQQHLLNNDAFGQSQLTPDPSSQVKLEPGMEHHNDILRSQTSEHFQMSELQNQFQQNVVGDHSKNAQNLSHPAGQHDMYLSLPQNSQQMQQMLHPHQLVSESQNNFNSLSVGTQSDSALQDQWHPQSQDRTCVPGSMSHEQHVQEDFHQRISGQGEAQRNNVASEGSIVSQTVPPRSTSELQNSSGVTYRSGNANRDRQFRNQQKWLLFLRHARRCPAPEGQCPDPNCTTVQNLLRHMDRCKSTPCPYPRCQHTRILIHHFRHCRDACCPVCIPVRKYLEAQIKIQMKTRTPPASDSGLPSKGTDNGENAARLISRTPIVESTEDLQPSPKRMKIEQSSQTLRPESEVSAVSASAVSDAHIAQDVQRQDHKHGDNRLPVKSEYMEVKLEVPASSRQGSPSDSEMKRDNMDDVSSQIPADESMVHDEPARLAKQESLKVEKETDPLKQENATKPPENPAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKAKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMYYTMGAGDTRHFFCIPCYNEARGDTIVADGTTILKARLEKKRNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYIAEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRKLKQERQDRAKMHGKSFDDVPGAESLVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAQFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLAMLRKAAKENIVADLINLYDHFFISSGESKAKVTAARLPYFDGDYWPGAAEDLIYQLNQEEDGRKQNKKGTTKKTITKRALKASGQADLFGNASKDLLLMHKLGETICPMKEDFIMVHLQHCCSHCCNLMVSGTRWVCKQCKNFQICDKCYEAEQKREERERHPINQREKHALYPDEITDVPVDTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNSCYQKDGGMDHPHKLTNHPSLAERDAQNKEARQLRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNSG >Potri.013G131300.3.v4.1 pep chromosome:Pop_tri_v4:13:13760909:13766570:-1 gene:Potri.013G131300.v4.1 transcript:Potri.013G131300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131300.v4.1 MSLRRPPPPNPARTESQPYNIIPIQNLLADHPSLRYPEVRAAAAALRTVGNLRKPPYAQWHPSMDLLDWLALLFGFQKDNVRNQREHLVLHLANAQMRLTPPPDNIDTLDAGVLRRFRRKLLKNYTNWCDYLNKKSNIWISDRSTDLRRELLYVSLYLLIWGESANLRFMPECICFIFHNMCFELNRVLEDYIDENTGQPVMPSISGENAFLNGVVKPIYETVRREVDRSFNGAAPHSAWRNYDDLNEYFWSKRCFERLKWPIDLGSNFFVTSGSRKKVGKTGFVEQRSFWNIVRSFDRLWVMLILFLQAGIIVAWEEKEYPWKALKSRDVQVRVLTVFFTWSGLRFLQSLLDVGTQYNLVSRETLGLGVRMILKSVVAVVWIIVFGAFYGRIWSQRNSDLRRSPRDLSWSSEADKKVVTFLEVALVFVAPEILALALFILPWIRNFLENTDWRIFRMMTWWFQSSSFIGRGLREGLVDNIKYTLFWAMVLATKFAFSYFMQIKPMVKPSKQMLKLKDVNYEWHEFFDHSNRFSVGLLWLPVVLIYLMDLQIWYAIYSSFVGAGVGLFQHLGEIRNIQQLRLRFQFFASAIQFNLMPEEQLLNARGTFKSKFKDAIHRLKLRYGFGHPYKKLESNQVEANKFALIWNEIIIIFREEDIISDKELELMELPQNSWNVRVIRWPSFLLCNELLLALSQAKELVDAPDKWLWYKICKNEYRRCAVIEAYDSVKHLLLEIIKTNTEEHSIITVLFQEIDHSLQIEKFTKTFKMTGLPNFHAKLIKLLELLNKPKRDLNQVVDTLQALYEIAVREFFREKRSTEQLMEDGLAPRDPAAMAGLLFGNAVQLPDASNETFYRQARRLHMILTSRDSMNTIPENLEARRRIAFFSNSLFMSMPHAPQVEKMMAFSVLTPYYNEEVLYSREQLRTENEDGVSTLYYLQTIYADEWKNFMQRMRREGMEKDGEIWTTKLRDLRLWASYRGQTLGRTVRGMMYYYRALKMLAFLDSASEMDIKEGSRELGSMRRDNGLDSFDSESSSKSLSRNSSSVNLLFKGHEYGTALMKYTYVVACQIYGAQKAKKDPHAEEILYLMKNNEALRVAYVDEVNTGRDEMEYYSVLVKYDQQLDKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGARKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFMTRGGISKASRVINISEDIFAGFNCTLRGGNITHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFFLNTMMVILTVYAFLWGRLYLALSGVEGSALADNSSNNKALGAILNQQFIIQLGLFTALPMIVENSLEHGFLEAIWDFLTMQLQLSSVFYTFSMGTRTHYFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGLILVVYAAYSPVAKDTFVYIAMTISSWFLVVSWIMAPFVFNPSGFDWLKTVYDFDDFMNWIWYQGGVFAKSEQSWERWWYEEQDHLRTTGLWGKLLDVILDLRFFFFQYGIVYQLGIAAGSTSIAVYLLSWIYVVVAFGFFLMVAYARNKYAAKEHIYYRMVQFLIIVLGIFVIIALLQFTSFKFTDVFTSLLAFIPTGWGILLIAQVLRPFLPAILWEAVVSVARLYDILFGVIVMVPVAFLSWMPGFQSMQTRILFNEAFSRGLRIFQLFTGKKS >Potri.013G131300.2.v4.1 pep chromosome:Pop_tri_v4:13:13757972:13766603:-1 gene:Potri.013G131300.v4.1 transcript:Potri.013G131300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131300.v4.1 MSLRRPPPPNPARTESQPYNIIPIQNLLADHPSLRYPEVRAAAAALRTVGNLRKPPYAQWHPSMDLLDWLALLFGFQKDNVRNQREHLVLHLANAQMRLTPPPDNIDTLDAGVLRRFRRKLLKNYTNWCDYLNKKSNIWISDRSTDLRRELLYVSLYLLIWGESANLRFMPECICFIFHNMCFELNRVLEDYIDENTGQPVMPSISGENAFLNGVVKPIYETVRREVDRSFNGAAPHSAWRNYDDLNEYFWSKRCFERLKWPIDLGSNFFVTSGSRKKVGKTGFVEQRSFWNIVRSFDRLWVMLILFLQAGIIVAWEEKEYPWKALKSRDVQVRVLTVFFTWSGLRFLQSLLDVGTQYNLVSRETLGLGVRMILKSVVAVVWIIVFGAFYGRIWSQRNSDLRRSPRDLSWSSEADKKVVTFLEVALVFVAPEILALALFILPWIRNFLENTDWRIFRMMTWWFQSSSFIGRGLREGLVDNIKYTLFWAMVLATKFAFSYFMQIKPMVKPSKQMLKLKDVNYEWHEFFDHSNRFSVGLLWLPVVLIYLMDLQIWYAIYSSFVGAGVGLFQHLGEIRNIQQLRLRFQFFASAIQFNLMPEEQLLNARGTFKSKFKDAIHRLKLRYGFGHPYKKLESNQVEANKFALIWNEIIIIFREEDIISDKELELMELPQNSWNVRVIRWPSFLLCNELLLALSQAKELVDAPDKWLWYKICKNEYRRCAVIEAYDSVKHLLLEIIKTNTEEHSIITVLFQEIDHSLQIEKFTKTFKMTGLPNFHAKLIKLLELLNKPKRDLNQVVDTLQALYEIAVREFFREKRSTEQLMEDGLAPRDPAAMAGLLFGNAVQLPDASNETFYRQARRLHMILTSRDSMNTIPENLEARRRIAFFSNSLFMSMPHAPQVEKMMAFSVLTPYYNEEVLYSREQLRTENEDGVSTLYYLQTIYADEWKNFMQRMRREGMEKDGEIWTTKLRDLRLWASYRGQTLGRTVRGMMYYYRALKMLAFLDSASEMDIKEGSRELGSMRRDNGLDSFDSESSSKSLSRNSSSVNLLFKGHEYGTALMKYTYVVACQIYGAQKAKKDPHAEEILYLMKNNEALRVAYVDEVNTGRDEMEYYSVLVKYDQQLDKEVEIYRVKLPGPLKLGEGKPENQNHALIFTRGDAVQTIDMNQDNYFEEALKMRNLLEEYRHYYGARKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKIRMHYGHPDVFDRFWFMTRGGISKASRVINISEDIFAGFNCTLRGGNITHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQTLSRDVYRLGHRLDFFRMLSFFYTTVGFFLNTMMVILTVYAFLWGRLYLALSGVEGSALADNSSNNKALGAILNQQFIIQLGLFTALPMIVENSLEHGFLEAIWDFLTMQLQLSSVFYTFSMGTRTHYFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAIELGLILVVYAAYSPVAKDTFVYIAMTISSWFLVVSWIMAPFVFNPSGFDWLKTVYDFDDFMNWIWYQGGVFAKSEQSWERWWYEEQDHLRTTGLWGKLLDVILDLRFFFFQYGIVYQLGIAAGSTSIAVYLLSWIYVVVAFGFFLMVAYARNKYAAKEHIYYRMVQFLIIVLGIFVIIALLQFTSFKFTDVFTSLLAFIPTGWGILLIAQVLRPFLPAILWEAVVSVARLYDILFGVIVMVPVAFLSWMPGFQSMQTRILFNEAFSRGLRIFQLFTGKKS >Potri.009G127800.5.v4.1 pep chromosome:Pop_tri_v4:9:10522843:10524534:1 gene:Potri.009G127800.v4.1 transcript:Potri.009G127800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127800.v4.1 MASGLKRDPIVILRIDGEDLLEFINGPDYEAEMVLLFSQLESPDGSLHDHIVKVLEQLTVDQGMPPSSDSWVMSNIVEPALQSCTGQDHDKPLSQETFLAEFRKVAESVAQHLKEQPVIVAHCENTFDGSGIRRLLSNKFELDKTLNTATENAPKDRNGKISNEYLRVALDAVAPSAGLPPIGAIQEMDEVISEVFKMMNADDGKLVKEDEFKKMLTEIMGSIMLQLESNPVSISSNSVVHEPLASSTTLLQPSS >Potri.009G127800.2.v4.1 pep chromosome:Pop_tri_v4:9:10520651:10524605:1 gene:Potri.009G127800.v4.1 transcript:Potri.009G127800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127800.v4.1 MEKSKGSSSGSTSTEKIKTSGGNGQVLDGSDIMELVGNEEVFSSFVDHKFQELDRDRDGKLSLKELEPAVADIGAALGLPAQGSSPDSDHIYTEVLNEFTHGKQERVSKTEFKEVLSDFLLGMASGLKRDPIVILRIDGEDLLEFINGPDYEAEMVLLFSQLESPDGSLHDHIVKVLEQLTVDQGMPPSSDSWVMSNIVEPALQSCTGQDHDKPLSQETFLAEFRKVAESVAQHLKEQPVIVAHCENTFDGSGIRRLLSNKFELDKTLNTATENAPKDRNGKISNEYLRVALDAVAPSAGLPPIGAIQEMDEVISEVFKMMNADDGKLVKEDEFKKMLTEIMGSIMLQLESNPVSISSNSVVHEPLASSTTLLQPSS >Potri.011G088500.1.v4.1 pep chromosome:Pop_tri_v4:11:11385254:11392124:1 gene:Potri.011G088500.v4.1 transcript:Potri.011G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088500.v4.1 MATANSPDASNNNNTDSDVEDTSPNPNLSINKNNGFLISSAESSSPSVCLLRFAGDSAAGAFMGSIFGYGSGLIKRKGFKGSFGEAGSCAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKQQPALAHSFSSRNKRDYHNVTCPVALHLAVPLPDELKRAFSFFCKSLRKPKSGNFPAVS >Potri.015G031600.1.v4.1 pep chromosome:Pop_tri_v4:15:2434069:2440389:1 gene:Potri.015G031600.v4.1 transcript:Potri.015G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031600.v4.1 MSQKGIFDKQSLNLIPDLSLHISLPNSAPSSICTGTNDGDSAFDIWRKDDGLIKSHSDSSIRVGSQISDTELSLANPTSTAALEAESPWRINYGGRGTGGLEDHHPDRARQRNLLLQRSSNGQMSHINHGISVLDVTGSKPIKGIPVYNSWNSSGDHNIDPRFSFNQMPYSPSCTPYSSSNSSADHHTSTLQAYRMGTSAPRFNGMSMESLRVPQYHQYHQYGAAAGVGGGGAELYGSSGMIRSRFMPKLHSKRNMRAPRMRWTSSLHSRFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKSTDCRPTASSDGSGDEDFVSGTACITQNATHLLNQKGGSKVLNLQHDNGCPNSPTTLWSNSSSKGGWMRSSSRDLDGVRVETLPSQQASGNKLEIIAESRSFPGYNQELKNPILEFSLGRPDGQNKEHD >Potri.006G193300.1.v4.1 pep chromosome:Pop_tri_v4:6:19990563:19993069:1 gene:Potri.006G193300.v4.1 transcript:Potri.006G193300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193300.v4.1 MRIRNRKVPLPLSSLSPIPLSDPQLSRSPVVQLQLHNIPHQNLFQEPQKDEYFDLQLPSNQLNQPIGGGSKGHDDCSHDAGPQEENKVLLQEGDEIKGGEVGEKSNDARKGSFLSEGVHVTVLQQSCSSHQGVGRWGEGDRAFPLKKRRGSFERRSNEETIMDTSKKMKTKMKTKMEKKCLQQNGDTEEDDKETKEGASSKVKTKARGGALLEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMNSVRSRSIATTVPKKDEYGALSSPSLLLSLKKEETKGDSLDDKVSGTAYNEDDKEKPLMISRRKMKFGMVKARSISSLLGQANNAIGVAEDNE >Potri.002G013300.2.v4.1 pep chromosome:Pop_tri_v4:2:816275:820215:1 gene:Potri.002G013300.v4.1 transcript:Potri.002G013300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013300.v4.1 MMSRKSYRDSHFLLLLAALAIIGVINGEYLIGVGSYDMTGPAAEVNMMGYASMEQNSAGVHFRLRARTFIVADQNDQRARFAFVNLDAGMASQLVTVKVLERLRSRYGTLYTKENLAISGIHTHAGPGGYLQYLLYHVTSLGFVQQSFDALVNAIEQSVVQAHENLKPGSVFINTGDVENAGINRSPSAYLLNPAEERARYPANVDKEMTLLKFVDSASGKSIGAFSWYATHGTSMSRDNKLISGDNKGAAARFFEDWFTSTESNSSRSVPTPSNISKLIKKVRSIKATGGKPCDNSTSRSFKARKSDGSQFVGAFCQSNVGDISPNVLGAFCTDSGKPCDFNHSSCHGDVTLCKGRGPGYPDDTLSTKIIGERQFNKAVDLFMSATKELTGKVDYRHVYQNFSEIEVELSGKTKVRTCPAALGPGFAAGTTDGPGMFGFQQGDTEINELWKKVRDLLKEPSQFQVECQKPKAVLLSSGEMFEPYAWTAASTLYGPHTLSAYIQEFNHLALAMAKGRGVLQPADLSPPDLSSKVLRLLADPFPDSLPGGKKFGDIKQDVSEPKGGSFKKGNRPSATFWSANARNDLLTEGTFAAVEMLQGQRWIPVYDDDDFCLYFKWKLDNSSLYTSLATIEWEVPEEASSGVYRLRHFGSSKKAQHSPIEYFTGASSAFTVS >Potri.002G013300.3.v4.1 pep chromosome:Pop_tri_v4:2:816949:820250:1 gene:Potri.002G013300.v4.1 transcript:Potri.002G013300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013300.v4.1 MTLLKFVDSASGKSIGAFSWYATHGTSMSRDNKLISGDNKGAAARFFEDWFTSTESNSSRSVPTPSNISKLIKKVRSIKATGGKPCDNSTSRSFKARKSDGSQFVGAFCQSNVGDISPNVLGAFCTDSGKPCDFNHSSCHGDVTLCKGRGPGYPDDTLSTKIIGERQFNKAVDLFMSATKELTGKVDYRHVYQNFSEIEVELSGKTKVRTCPAALGPGFAAGTTDGPGMFGFQQGDTEINELWKKVRDLLKEPSQFQVECQKPKAVLLSSGEMFEPYAWTPEILPIQILRLGKLIILSVPGELTTMAGRRLREAVKETLVSNGGGEFDDETHVVIAGLTNTYSQYVATFEEYEQQRYEAASTLYGPHTLSAYIQEFNHLALAMAKGRGVLQPADLSPPDLSSKVLRLLADPFPDSLPGGKKFGDIKQDVSEPKGGSFKKGNRPSATFWSANARNDLLTEGTFAAVEMLQGQRWIPVYDDDDFCLYFKWKLDNSSLYTSLATIEWEVPEEASSGVYRLRHFGSSKKAQHSPIEYFTGASSAFTVS >Potri.002G013300.1.v4.1 pep chromosome:Pop_tri_v4:2:816275:820215:1 gene:Potri.002G013300.v4.1 transcript:Potri.002G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013300.v4.1 MMSRKSYRDSHFLLLLAALAIIGVINGEYLIGVGSYDMTGPAAEVNMMGYASMEQNSAGVHFRLRARTFIVADQNDQRARFAFVNLDAGMASQLVTVKVLERLRSRYGTLYTKENLAISGIHTHAGPGGYLQYLLYHVTSLGFVQQSFDALVNAIEQSVVQAHENLKPGSVFINTGDVENAGINRSPSAYLLNPAEERARYPANVDKEMTLLKFVDSASGKSIGAFSWYATHGTSMSRDNKLISGDNKGAAARFFEDWFTSTESNSSRSVPTPSNISKLIKKVRSIKATGGKPCDNSTSRSFKARKSDGSQFVGAFCQSNVGDISPNVLGAFCTDSGKPCDFNHSSCHGDVTLCKGRGPGYPDDTLSTKIIGERQFNKAVDLFMSATKELTGKVDYRHVYQNFSEIEVELSGKTKVRTCPAALGPGFAAGTTDGPGMFGFQQGDTEINELWKKVRDLLKEPSQFQVECQKPKAVLLSSGEMFEPYAWTPEILPIQILRLGKLIILSVPGELTTMAGRRLREAVKETLVSNGGGEFDDETHVVIAGLTNTYSQYVATFEEYEQQRYEAASTLYGPHTLSAYIQEFNHLALAMAKGRGVLQPADLSPPDLSSKVLRLLADPFPDSLPGGKKFGDIKQDVSEPKGGSFKKGNRPSATFWSANARNDLLTEGTFAAVEMLQGQRWIPVYDDDDFCLYFKWKLDNSSLYTSLATIEWEVPEEASSGVYRLRHFGSSKKAQHSPIEYFTGASSAFTVS >Potri.002G013300.4.v4.1 pep chromosome:Pop_tri_v4:2:816949:820250:1 gene:Potri.002G013300.v4.1 transcript:Potri.002G013300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013300.v4.1 MTLLKFVDSASGKSIGAFSWYATHGTSMSRDNKLISGDNKGAAARFFEDWFTSTESNSSRSVPTPSNISKLIKKVRSIKATGGKPCDNSTSRSFKARKSDGSQFVGAFCQSNVGDISPNVLGAFCTDSGKPCDFNHSSCHGDVTLCKGRGPGYPDDTLSTKIIGERQFNKAVDLFMSATKELTGKVDYRHVYQNFSEIEVELSGKTKVRTCPAALGPGFAAGTTDGPGMFGFQQGDTEINELWKKVRDLLKEPSQFQVECQKPKAVLLSSGEMFEPYAWTAASTLYGPHTLSAYIQEFNHLALAMAKGRGVLQPADLSPPDLSSKVLRLLADPFPDSLPGGKKFGDIKQDVSEPKGGSFKKGNRPSATFWSANARNDLLTEGTFAAVEMLQGQRWIPVYDDDDFCLYFKWKLDNSSLYTSLATIEWEVPEEASSGVYRLRHFGSSKKAQHSPIEYFTGASSAFTVS >Potri.010G221700.2.v4.1 pep chromosome:Pop_tri_v4:10:20671874:20678760:-1 gene:Potri.010G221700.v4.1 transcript:Potri.010G221700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221700.v4.1 MEYNQSSEMQEPSIDTDKLSYEIFSILESKFLFGYDDQKLWIPKQVSPETIEAKPESQNPSVLTENNSSWLSAIKNQRGKICILSIDGGSSLKGIISGKALAYLENALKVKSGNPDARIADYFDVAAGTGIGGIFTAMLFGTKDHSRPILKAEETWKFLADQGKKFYTYGNGGFFKRFFRGGATGSTAATAGLEKAMKETFSDKGRNLTLKDTLKPVLIPCYDLSSTAPFLFSRADALETDSFDFRLWEVCRATSAEPGLFDPVLMGSIDGQTRCLAVDGGLAMSNPAAAAITHVLHNKQEFPFVRGVEDLLVLSLGTGQILEVSYEYEQVKNWRAKQWARPMARISGDGSADSVDQAVAMAFGQCRSSNYVRIQANGSNLGRCGPNVDTDPSPNNVKMLIGIAEEMLKQKNVESVLFGGKRIGEQSNFEKLDWFAEQLVLEHQRRSCRIAPTVAFKQAATKTT >Potri.006G237800.2.v4.1 pep chromosome:Pop_tri_v4:6:23996006:23997013:-1 gene:Potri.006G237800.v4.1 transcript:Potri.006G237800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237800.v4.1 MASRYRSLSKPTFSLLKSTTNKPTLQPKSTPSLLPARPSLTFSRPVPQLGAIQSLLPFHSAVSSARLTSCLSIDSRSSRSLSQVNVTSC >Potri.006G237800.3.v4.1 pep chromosome:Pop_tri_v4:6:23995602:23997018:-1 gene:Potri.006G237800.v4.1 transcript:Potri.006G237800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237800.v4.1 MASRYRSLSKPTFSLLKSTTNKPTLQPKSTPSLLPARPSLTFSRPVPQLGAIQSLLPFHSAVSSARLTSCLSIDSRSSRSLSQGMLCSANPGV >Potri.006G237800.4.v4.1 pep chromosome:Pop_tri_v4:6:23994860:23996997:-1 gene:Potri.006G237800.v4.1 transcript:Potri.006G237800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237800.v4.1 MASRYRSLSKPTFSLLKSTTNKPTLQPKSTPSLLPARPSLTFSRPVPQLGAIQSLLPFHSAVSSARLTSCLSIDSRSSRSLSQGMLCSANPGV >Potri.015G010400.2.v4.1 pep chromosome:Pop_tri_v4:15:677359:681835:1 gene:Potri.015G010400.v4.1 transcript:Potri.015G010400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010400.v4.1 MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLPGFLFSLSGELANDEKPVDSRKLAGLILKNALDAKEQHRKLELVQRWLSLDNNVKGQIKAFLLKTLASPVPDARSTASQVIAKIAGIELPQRQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDHVNKILTAVVQGMNASEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCESTLSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDDEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSEIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVQLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVDTPIITQANCQQIVTVLLQSMKDVANVAEKACGALYFLAQGYEEVSPSSPLTPYFQEIVQALLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHNTLEGQKLSSDEREKQGELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKTLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSSFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTADADDEITEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSMYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >Potri.015G010400.3.v4.1 pep chromosome:Pop_tri_v4:15:677335:681883:1 gene:Potri.015G010400.v4.1 transcript:Potri.015G010400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010400.v4.1 MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLPGFLFSLSGELANDEKPVDSRKLAGLILKNALDAKEQHRKLELVQRWLSLDNNVKGQIKAFLLKTLASPVPDARSTASQVIAKIAGIELPQRQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDHVNKILTAVVQGMNASEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCESTLSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDDEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSEIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVQLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVDTPIITQANCQQIVTVLLQSMKDVANVAEKACGALYFLAQGYEEVSPSSPLTPYFQEIVQALLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHNTLEGQKLSSDEREKQGELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKTLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSSFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTADADDEITEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSMYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >Potri.015G010400.6.v4.1 pep chromosome:Pop_tri_v4:15:677365:681791:1 gene:Potri.015G010400.v4.1 transcript:Potri.015G010400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010400.v4.1 MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLPGFLFSLSGELANDEKPVDSRKLAGLILKNALDAKEQHRKLELVQRWLSLDNNVKGQIKAFLLKTLASPVPDARSTASQVIAKIAGIELPQRQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDHVNKILTAVVQGMNASEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCESTLSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDDEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSEIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVQLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVDTPIITQANCQQIVTVLLQSMKDVANVAEKACGALYFLAQGYEEVSPSSPLTPYFQEIVQALLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHNTLEGQKLSSDEREKQGELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKTLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSSFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTADADDEITEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSMYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >Potri.015G010400.5.v4.1 pep chromosome:Pop_tri_v4:15:677468:681823:1 gene:Potri.015G010400.v4.1 transcript:Potri.015G010400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010400.v4.1 MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLPGFLFSLSGELANDEKPVDSRKLAGLILKNALDAKEQHRKLELVQRWLSLDNNVKGQIKAFLLKTLASPVPDARSTASQVIAKIAGIELPQRQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDHVNKILTAVVQGMNASEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCESTLSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDDEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSEIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVQLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVDTPIITQANCQQIVTVLLQSMKDVANVAEKACGALYFLAQGYEEVSPSSPLTPYFQEIVQALLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHNTLEGQKLSSDEREKQGELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKTLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSSFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTADADDEITEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSMYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >Potri.015G010400.4.v4.1 pep chromosome:Pop_tri_v4:15:677371:682070:1 gene:Potri.015G010400.v4.1 transcript:Potri.015G010400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010400.v4.1 MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLPGFLFSLSGELANDEKPVDSRKLAGLILKNALDAKEQHRKLELVQRWLSLDNNVKGQIKAFLLKTLASPVPDARSTASQVIAKIAGIELPQRQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDHVNKILTAVVQGMNASEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCESTLSPEVKIRQAAFECLVSISSTYYEKLAPYIQDIFNITAKAVREDDEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSEIPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVQLVMPFIEENITKPDWRQREAATYAFGSILEGPSPDKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVDTPIITQANCQQIVTVLLQSMKDVANVAEKACGALYFLAQGYEEVSPSSPLTPYFQEIVQALLTVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMMELHNTLEGQKLSSDEREKQGELQGLLCGCLQVIIQKLGSSEPTKYVFMQYADQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKTLPYCDGIMTQLLKDLSSNQLHRSVKPPIFSSFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTADADDEITEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSMYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >Potri.T124607.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:25885:27696:1 gene:Potri.T124607.v4.1 transcript:Potri.T124607.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124607.v4.1 MEKRFKIWVYKEGERPLVHGGPLNNIYGVEGQFLDEMEHGKSPFAASHPDEAHMFLLPISVAYIISYVYKPIVTYSRDELQRLVQDYVGVVADKYPYWNRSKGADHFLVSCHDWAPDISGANPDLYKNFIRVLCNANTSERFEPRRDVSIPEINIPNGKLGPPHKGLPPSKRSIFAFFAGGAHGYIRKVLLENWKDKDDEIQVHEYLDKKGTDYFELMGQSKFCLCPSGYEVASPRVVTAIQLGCVPVTISDNYTLPFSDVLDWSKFSVHIPSEKIPEIKTILKKISPQRYLMMQMRVIQVQRHFELNRPARPYDLLHMLLHSVWVRRLNVKVPYR >Potri.T124607.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:24416:27696:1 gene:Potri.T124607.v4.1 transcript:Potri.T124607.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124607.v4.1 METICNGSNVGFMLLPVVVFASLIVYFGSPLNQNTNTVGYFSSFSTSNTVYTKANELVFESSQPSPSQPHQLPTQIKRGREKIEDGLARARAAILDAARLKNYTSYKEGTYIPKGVIYRNPYAFHQSHTEMEKRFKIWVYKEGERPLVHGGPLNNIYGVEGQFLDEMEHGKSPFAASHPDEAHMFLLPISVAYIISYVYKPIVTYSRDELQRLVQDYVGVVADKYPYWNRSKGADHFLVSCHDWAPDISGANPDLYKNFIRVLCNANTSERFEPRRDVSIPEINIPNGKLGPPHKGLPPSKRSIFAFFAGGAHGYIRKVLLENWKDKDDEIQVHEYLDKKGTDYFELMGQSKFCLCPSGYEVASPRVVTAIQLGCVPVTISDNYTLPFSDVLDWSKFSVHIPSEKIPEIKTILKKISPQRYLMMQMRVIQVQRHFELNRPARPYDLLHMLLHSVWVRRLNVKVPYR >Potri.017G042800.1.v4.1 pep chromosome:Pop_tri_v4:17:3007528:3009410:1 gene:Potri.017G042800.v4.1 transcript:Potri.017G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042800.v4.1 MASKSHVFMFPWFAFGHILPYLEFSKQLAAKGIHISFISTPRNIKRLPSIPQNISGKFKFIEIQLPIVDGLPENCEATIDLSPEQIQYLKQAYDALKVPFESLVQKEAPEMILFDFAACWIPAIAARYGITSVFFSPLSAASSAYLGPPDELHSFRLRTRPEDYARAPEWIPFPSLVAYRPDQGTRYMQHVYIPDVSGISTGQRRAKTLAECDMVAVRSCREFEDSYLNVLEEIYQKPVLPIGLLPSNFVENKTSHPESSNFSSTFKWLDKQEQKSVVFVGFGSEYKMPVETIHELAYGIELSGLPFMWILKKPEGIDSQDLLPTGFVSRISDRGIVSFGWAPQLEILAHPSIGGCLFHSGWGSIIESLGFGHPLILMPMVNDQTLNAKLLVEKSAGFEVPRNKDGSFNRDMVAKSMRLVMVDKEGEPIRLKTSELQAIFSSQHLQDDYISKFIRYTSSFKKKEH >Potri.013G066640.1.v4.1 pep chromosome:Pop_tri_v4:13:5009267:5009891:1 gene:Potri.013G066640.v4.1 transcript:Potri.013G066640.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066640.v4.1 MTGLEPVTFCTQNKGQAALHPFQLVYGCHCREYLPCFPHGYFLYRNKMSLAISSFWSFLFIYSSSSRRSYQPTLTYMRYEKKKGLFNLEIISPLGSAHSR >Potri.012G019900.7.v4.1 pep chromosome:Pop_tri_v4:12:2101158:2105196:-1 gene:Potri.012G019900.v4.1 transcript:Potri.012G019900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019900.v4.1 MAREVIVNASIVSVSELLSHTVVSIFDTVHAAKEVLIQKENFKRFLTYLEKTAYFLKDLARFNLDHSENLNNAVEILNSETKVAKRLAVECSNKNKVYLLLNCRKIVKHLEACTKEIGRALSLIPLASLDVSLGVSNEISKLCKNMLDAEYRAAGLEEEVLGKIEWAIKEGNVDESYANNLLASIAEAVGISGDRSALKREFEEFKNEIENFKLRKDMAEAIQMEQISSFLGKADATTSYEERERKYLDKRNSLGRQTLEPLHSFFCPITQDVMVDPVETSSAKTFERSAIEKWFAEGHNLCPMTCTTLDTSVLRPNVTLRRSIEEWKERNNLVIIVSIKQKLQSNEDQEVLQSLGKLQDLMAEREMHQEWVMLENYVPVLTGLLGERNREIRIHTLSILCILAKGSDHNKEKIAEVDHALEFIVRSLARQIGERKLALQLLLELSRNNAVRDLIGNIQACIFLLVTTLNSEEVEAARDAGELLENLSFLDQNVIQMAKANYFKPLLRLLSSGPENVRMVMAETLAEIDLTDHNKLSLFKYGALEPLLRFLSNDDLEVKKVAVKALQNLSNVPENGLQMIREGAVGPLFEILYRHSLSSPSLREHVAAIIMNLAIATTCQEADHEQISLLESEEDIFKLFCLISLTGPEIQKTILRTFLAMCQSPSGVEIRAKLRQLSAVQVLVQLCEHDHSIVRANAMKLFCCLTEDGDNNIILEHVGQRCIETLVKVIMASTDVEEIAAAMGIISNLPDDPNITLWLVDAGAVQVISTCLTDESRNASHRKQITENAIKALCRFTENQEWQKRVAKVGIIPVLVQLLVSGTALMKQSAAISLKQLSESSSSLSSPVKKRGLFSCLAAPVTCCPVHLGICTVESSFCILEANALEPLVRMLGEADLGVCEASLDALLTLIDGQKLQSGSKVLAEANAIVQIIKLLNSPSARVQEKTLGALERIFRLFEFKQKYGNSAKMSLVDITQRGSSSMKSQAAKLLAQLNVLNEQSSYF >Potri.012G019900.6.v4.1 pep chromosome:Pop_tri_v4:12:2101166:2106559:-1 gene:Potri.012G019900.v4.1 transcript:Potri.012G019900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019900.v4.1 MAREVIVNASIVSVSELLSHTVVSIFDTVHAAKEVLIQKENFKRFLTYLEKTAYFLKDLARFNLDHSENLNNAVEILNSETKVAKRLAVECSNKNKVYLLLNCRKIVKHLEACTKEIGRALSLIPLASLDVSLGVSNEISKLCKNMLDAEYRAAGLEEEVLGKIEWAIKEGNVDESYANNLLASIAEAVGISGDRSALKREFEEFKNEIENFKLRKDMAEAIQMEQISSFLGKADATTSYEERERKYLDKRNSLGRQTLEPLHSFFCPITQDVMVDPVETSSAKTFERSAIEKWFAEGHNLCPMTCTTLDTSVLRPNVTLRRSIEEWKERNNLVIIVSIKQKLQSNEDQEVLQSLGKLQDLMAEREMHQEWVMLENYVPVLTGLLGERNREIRIHTLSILCILAKGSDHNKEKIAEVDHALEFIVRSLARQIGERKLALQLLLELSRNNAVRDLIGNIQACIFLLVTTLNSEEVEAARDAGELLENLSFLDQNVIQMAKANYFKPLLRLLSSGPENVRMVMAETLAEIDLTDHNKLSLFKYGALEPLLRFLSNDDLEVKKVAVKALQNLSNVPENGLQMIREGAVGPLFEILYRHSLSSPSLREHVAAIIMNLAIATTCQEADHEQISLLESEEDIFKLFCLISLTGPEIQKTILRTFLAMCQSPSGVEIRAKLRQLSAVQVLVQLCEHDHSIVRANAMKLFCCLTEDGDNNIILEHVGQRCIETLVKVIMASTDVEEIAAAMGIISNLPDDPNITLWLVDAGAVQVISTCLTDESRNASHRKQITENAIKALCRFTENQEWQKRVAKVGIIPVLVQLLVSGTALMKQSAAISLKQLSESSSSLSSPVKKRGLFSCLAAPVTCCPVHLGICTVESSFCILEANALEPLVRMLGEADLGVCEASLDALLTLIDGQKLQSGSKVLAEANAIVQIIKLLNSPSARVQEKTLGALERIFRLFEFKQKYGNSAKMSLVDITQRGSSSMKSQAAKLLAQLNVLNEQSSYF >Potri.008G192100.1.v4.1 pep chromosome:Pop_tri_v4:8:13500210:13504808:-1 gene:Potri.008G192100.v4.1 transcript:Potri.008G192100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192100.v4.1 MSPPQKPPAVTNDLKPRVIACLNKLSDRDTLLVAATELESIAKNLTTPDSFLHFLNCIHNTDSSSKSPVRKQCVSLLTLLSRSHGNSLSPHLSKMISTITRRLRDPDSAVRSACVEATSAMSSQITDPPFSTLSRPLIDLLTVDQDFNAQIGAALCLAAAIEAAPEPEVEHLRKVLPRLGKLVKGEGFKAKAALLSLIGSIVGVGGASSKGLLDWLVPCLVEFLSSEDWTARKAAAEALGKVASMEKKLAKEHKATCLTSLETRRFDKVKVVRETMNRTLELWKEVPGISEEISVPSQSTCSSIDNAVGICISTTSKNSKDIGFKTPLSKKTVPANRSPPPDASFMTAAKKQSPAKSNDSNSKTGTSHKLSHDRHSAWKIEIATPPGKACGDVTGHDSGVLGSGQNVDDTNSTPETKRVLFSSILVDKRHKYDGLKSGSRVVPIQGDENCYSKDVEVSSSTEDFYENHKDYEDLSLIREQLIQIENQQSSLLDLLQRFMGSSQSGINSLETRVHGLEMAVNEISYDLAVSSGRIPRTDSVENTCCKLPGAEFLSSKLWRRTECRSSTSRFSSSGNTQSLNSVCSIPVKNASIETYNPGSQRSQRQSMGGFVVDTLADVSSVNRQSSGLCTSQMANNRSRDEPKQQVSS >Potri.009G008700.3.v4.1 pep chromosome:Pop_tri_v4:9:1655329:1657341:-1 gene:Potri.009G008700.v4.1 transcript:Potri.009G008700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G008700.v4.1 MSNQFSEDNEDATVAGFEVPRSPDSSYNNAYPGNEDEVRDPPSVPPHLQHSLLSYPASADSSETLPLPQNVILNHLYIENRETPRSVVALGFTHRFHSKFVTVVLYKPVQRRGSTST >Potri.014G093150.1.v4.1 pep chromosome:Pop_tri_v4:14:6025627:6026473:1 gene:Potri.014G093150.v4.1 transcript:Potri.014G093150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093150.v4.1 METMCPPCSIIFQALGLAIPPRMHVDPSKSAAFYGIWPHVNDFGYSIPCHACCGHGNFTGYCSPTPPCSHFPPPQYQCSLDIYILHIMKLSLYNLFLVHIIRWTSQVLDMTRSSLETNIAVGAQVTHTHSRKMMKE >Potri.010G024600.1.v4.1 pep chromosome:Pop_tri_v4:10:3639014:3641394:1 gene:Potri.010G024600.v4.1 transcript:Potri.010G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024600.v4.1 MANSDSSTATLSAPLPLARKKENVTPIGSKIAELNESRTELLNRIQGLKQDLQSWRSKLDTQVKIYRDELSELKKSLNVEVVQLRTEFQELKNTLQQQQEDVTASLRNLGLQDSAGDDAQEAQEPKVDVEDQELHSSAEEDIEKKDEN >Potri.014G181301.1.v4.1 pep chromosome:Pop_tri_v4:14:14155198:14155539:-1 gene:Potri.014G181301.v4.1 transcript:Potri.014G181301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G181301.v4.1 MVLSGVTGRNGGGDGGGYVDRSRWFFLSSVSLLVSAFSVLSLSLHPQNSLVRSSLSHQKLPHVLIFLSAQKPPLTLSFASLFLQNFAPLIAGSSSSIYKQRRRGLPYPCHGAG >Potri.017G139700.1.v4.1 pep chromosome:Pop_tri_v4:17:14070313:14075440:-1 gene:Potri.017G139700.v4.1 transcript:Potri.017G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139700.v4.1 MMEAQKYVWEGAIPLQIHLHESEITSLPPPSPALILAPRIGYLPLLLPLIKPYFSSTLPPGQDTIWFDYKGLPLKWYIPTGVLFDLLCAQPERPWNLTVHFRGYPSNLLIPCEGEESVKWSFINSLKEADYIINGNCKNVMHMSQSDQVELWHSVMDGNLEKYIRASSKLKLGTVTTDEADMVGQVKAGKIPVRLYVWSVNEDFEDLEDAPEVDNWDQISYINRPLEIHKQGKHFTLHDALKNLLPEFFGGKSLINDEPCIEEGEDVQKVSSEDAGSSTGAEEGKEIFNQPVESCCNDAEIKLVRIQGIEPKMEIPFSWVVNSLKNPEHFLHICVCVKVPNVNAA >Potri.002G200900.1.v4.1 pep chromosome:Pop_tri_v4:2:16372715:16377078:1 gene:Potri.002G200900.v4.1 transcript:Potri.002G200900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200900.v4.1 MSSTDSHVHPRPNPKQCLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKLDVAANSFKGSLIVSSLEQIFRREGLRGMYRGLAPTVLALLPNWAVYFTIYEQLKSFLCSNDEGHHLSIGANMIAASGAGAVTAIFTNPLWVVKTRLQTQGMRAGVVPYRSTLSALRRIAYEEGIRGLYSGLVPALAGISHVAIQFPTYEKIKMYLATRDNTAMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGHHSEKRYSGVVDCIKKVFQQEGLPGFYRGCATNLIRTTPAAVITFTSFEMIHRFLVTLSPPDPQPQTL >Potri.011G124700.1.v4.1 pep chromosome:Pop_tri_v4:11:15545558:15549841:-1 gene:Potri.011G124700.v4.1 transcript:Potri.011G124700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124700.v4.1 MGENNYAKELDVAVRVVHMACSLCQRVQEGLVSSTSNDHVKSKDDDSLVTVADWSVQATVSLMLSASFSNQKVSIVAEEDVQTLSNSDSVGLLTAVVNTVNECLAEAPKYGLQSPKEALGTSQILEAISRCNSTGGRNGRHWVLDPVDGTLGFVRGDQYAVALALIEEGKVVIGVLGCPNYPRKKEWLNHHQSYQSMPKMSDTSDTWEKGCVLYAQRGSGEAWMQPLIHGNKKHTWSNSAQRVQVSAIDDPALATFCEPVEKANTNHSFTAGVAHSMGLNKQPLRVHSMVKYAAIARGDAEIFMKFAQSGYKEKIWDHAAGVIIVEEAGGVVTDAGGHPLDFSRGLYLEGLDRGIVACSGTTLHEKLIGAVYASWESSNL >Potri.005G209400.2.v4.1 pep chromosome:Pop_tri_v4:5:21369013:21370898:-1 gene:Potri.005G209400.v4.1 transcript:Potri.005G209400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209400.v4.1 MKEDSLLLLRGFDSIAQNLSQLSSNLDNALQGARYLAEPPTLREIFHSRLENSEFRQEDVEKEKIEEGKKTRLKRKFDPDDRSEEQGNDFHKENEQCLEDKKLKKAKNLAVSMATKAAALARELKSMKSDFCFMQERCALLEEENRRIRDGFCEGTRPEEDDLMRLQMEALLAEKSRLGNENANLKRENQCLHQLVEYHQITTQDLSASYEQVIRGTCLDFSSPTSSMMEAADNEDDSEVAKTTPNIFGFATSLDESCHEEARMSK >Potri.005G209400.1.v4.1 pep chromosome:Pop_tri_v4:5:21368657:21370909:-1 gene:Potri.005G209400.v4.1 transcript:Potri.005G209400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209400.v4.1 MAASVGSPSPAASLNKESTSLIVSSPLFCPASDKRFWSSLRGRIDTLLENRHRSVSIGQDQLNLDPSLGTNVRVGESDRAKRMKEDSLLLLRGFDSIAQNLSQLSSNLDNALQGARYLAEPPTLREIFHSRLENSEFRQEDVEKEKIEEGKKTRLKRKFDPDDRSEEQGNDFHKENEQCLEDKKLKKAKNLAVSMATKAAALARELKSMKSDFCFMQERCALLEEENRRIRDGFCEGTRPEEDDLMRLQMEALLAEKSRLGNENANLKRENQCLHQLVEYHQITTQDLSASYEQVIRGTCLDFSSPTSSMMEAADNEDDSEVAKTTPNIFGFATSLDESCHEEARMSK >Potri.018G021000.1.v4.1 pep chromosome:Pop_tri_v4:18:1501515:1504987:1 gene:Potri.018G021000.v4.1 transcript:Potri.018G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021000.v4.1 MNYNLLIILGFGFFLFNNFLFFVSNADPGQSCPKTSPFVGFKSEFSMVQHQVRGFLTITDDCSFTVSQFDMLSGSDVHFWGSIAPDFDNLTNGFIISDYKLNETYKNASFSVKLSRNATWDRIQVLSIWDLLTESDFGHVILSNGSDLAPAPSGNDSGGEEGKSGPFRVPTMFDNCKVLSNDYRIRWSLDEDFIDIGLEAAISIQNYMAFGWANPNANSEVMIGGDVAVAGFTEEGMPFVDDFYITRYSECTIDKDGSAHGVCPDTIYEGSDPVGLVNNTKLSYGHRRDGVSFIRYRRPLVSVDTKYDLPVNYTENMTVIWALGLMRPPDTIRPYYLPQNHGGRMSVTYGHLVLNVSDQVNECLGPLDAADKEDQDLIIADANKPLVVTTGPAVHYPNPPNPSKVLYINKKEAPVLKVERGVPVKFSVQAGHDVALYITSDLIGGNATLRNKTETIYAGGSEAEGVLASPMELIWEPDRNTPDQVYYHSLFQKKMGWRVQVVDGGLSDMYNNSVLLDDQQVTFFWTLSKDSISIAARGEKKSGYIAIGFGTGMVNSYAYVGWIDDIGKGHVNSFWIDGRDASSVHPTNENLTDIRCKSENGIVTFEFTRPLKPCSHNDRVECKNIIDPTTPLKVIWALGTKWSDEHLNEKNMHFETSHRPIQVLLMRGSAEAEQDLRPVLAVHGFMMFLAWGILLPGGIMAARYLKHVKGDSWYQTHVYLQYSGLAILLLGLLFAVAELRGLYVSSAHVKFGLAAIFLACVQPVNASMRPKKPANGEEVSSKRCLWEYLHFIVGRSAIIVGIAALFSGLKHLGDRYGDENVHGYLWALILWFAIGTMIVTYLEYQEKQRRSGRILGRSNWVLGNLEEEDSIDLLSPARVSAQKDAQHSGRMEVQLEPMNR >Potri.002G224200.1.v4.1 pep chromosome:Pop_tri_v4:2:21227183:21228393:-1 gene:Potri.002G224200.v4.1 transcript:Potri.002G224200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224200.v4.1 MNSLDGITMTGWKNLKQRLSFKGLGGCCGSTSWSSRSETPTMPFIDMEEEEEEESAIMQNQAQGGGFAAAPGAGMNLAMALAAERNSRASNVKTLMRLIEETDGVDWRTKNKTNKSRRDKEQEQGPENDWVCCVCMERKKGAAFIPCGHAFCRVCSREMWVNRGSCPICNRSILDILDIF >Potri.007G008500.5.v4.1 pep chromosome:Pop_tri_v4:7:653839:655548:-1 gene:Potri.007G008500.v4.1 transcript:Potri.007G008500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008500.v4.1 MIMTMFLQSLSLRKASVFLFFSFLAFPLHVSARPATFLQDFRVTWSDSHIRQIDGGRAIQLVLDQYSGCGFASKAKYLFGRVSMRIKLIPGDSAGTVTAFYMNSDTDAVRDELDFEFLGNRTGQPYTVQTNIFAHGKGDREQRVNLWFDPAADFHLYTIFWNHQHIVFFVDDVPIRVYKNNEAKGIPFPKLQPMGVYSTLWEADDWATRGGLEKINWSKAPFYAYYKDFDIEGCPVPGPATCASNRGNWWEGATYQALNAMEARKYRWVRMNHMIYDYCADKSRYPTTPPECVAGI >Potri.014G046600.1.v4.1 pep chromosome:Pop_tri_v4:14:2977476:2978481:1 gene:Potri.014G046600.v4.1 transcript:Potri.014G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046600.v4.1 MFGEKSLESGFDVLECNINTVASTSNVNAPTFYKSLSFSNIYSTESWGDLPLKQDDSEDMIVYNALRDAVNFGWLPSILSLQSNMTTTTEEFPQTAVPLEVAPRESGPQPLKEKGLQYKGVRRRPWGKYAAEIRDPKKNGARMWLGTYETPEDAALAYDRAAFKMRGSKAKLNFPHLIGSNNNWEPTRVTSKKRSSPEPSSSISISDYDSPRWTKQSKISSGICFH >Potri.001G369300.1.v4.1 pep chromosome:Pop_tri_v4:1:38694044:38698946:1 gene:Potri.001G369300.v4.1 transcript:Potri.001G369300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369300.v4.1 MASNRDDSLSYTNNLSLPCSPITASDLLEPYYLPDPSSSLFGSASNSYQNDSVLLIDPTTCSGSDAEFGFSRPDFRQSPLAGTVQLYHRHVFLCYKNPSVWPARIEAAEFDRLPRLLSAAVMARKGDMKNETRLTICEGHDGTETSNGDVLIFPDMIRYRRLTHFDVDTFVEEVLVKDGEWLPGTPEKLKGSYVFVCSHGSRDRRCGVCGPALVSRFEEEIELHGLQGKVSVSPCSHIGGHKYAGNVIILGSSFKGAVTGHWYGYVTPDDIQILLEQHIGKGEIVDRLWRGQMGLSEEEQIKSHELRLQQNGGTTENSKEITQRPVDKSNTSSSRSEVVSTGCCQQNGSSSCCQNPVLPEKDVVDANERTAKVTPEKKKSNNKKPLSRINSSKGSSARKVCAMPTWFESWERDDTYAALAVVCAVVSVAVAYKCYRQL >Potri.008G174900.1.v4.1 pep chromosome:Pop_tri_v4:8:12102513:12103710:-1 gene:Potri.008G174900.v4.1 transcript:Potri.008G174900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174900.v4.1 MADVKLHGFWASPFSYRVIWALKLKGVEFEYIEEDLANKSELLLKYNPVYKQIPVFVHGGKPIAESLLILEYIEETWPENPLLPTDPYERAMARFWIQYGATKSAAFGALFRASGEELEKAAKEVVEVLRVLQEQGLGDKKFFGGDSINLVDISYGLFVYWLAAIEDIVGVKVLEPSTLPRLHAWAQNFIEVPLIKENHPDNDKLLLHMKGVREKMMNK >Potri.001G323700.1.v4.1 pep chromosome:Pop_tri_v4:1:33282633:33284653:-1 gene:Potri.001G323700.v4.1 transcript:Potri.001G323700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323700.v4.1 MASPQNTSEKRFFQANSPGGMPTASQSQRSRILAQITLRFLAIAFTVTAIPVMITAKEPVSLLGLAITPSYKQSSAMKFLLGVNATVFAFTALSMLFVWPLRRSGSKPINYFFLHLHDMVMTLLLISGCAAATAVGYLSQYGQPETYWSPICDIVKKFCHQMLISTVLSYLAFFCYLALNILSVHKLMSRATE >Potri.009G148300.1.v4.1 pep chromosome:Pop_tri_v4:9:11703268:11704474:-1 gene:Potri.009G148300.v4.1 transcript:Potri.009G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148300.v4.1 MRDIVSCFSENAIDVSHSSCSSFSHNACISPGLTPSVQNAVSCFYKIILSTQKQLLATVSWSKNHTNQGLSIKFGNDPSTSFKLGTHNRFFRKLKGNKLIESDTSKIEVFWDLSSAKYELGPEPVEGFYVLVMVDSEIGLILGDVGEETMTKRFKTSSTSVAKVSLISRQEHCSGNTIYATKAQFCETGIQHDIVIKCSGETEGLKHPVLSVYIDKKTVIRVKRLQWNFRGNQTVFLDGLLVDLLWDVHDWFFNPGSGYAVFMFRTRSGMDSRLWLEEKLVQKEQERVEFSLLIYASKSP >Potri.011G150600.2.v4.1 pep chromosome:Pop_tri_v4:11:17771518:17774197:-1 gene:Potri.011G150600.v4.1 transcript:Potri.011G150600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150600.v4.1 MEVRNSEHGRIIDIHVDVPAVKTSLGGSRICAGATCGFSDAKTSSKDAKERGASMKKLGWAVVLCLIFMAVEIVGGIKANSLAILTDAAHLLSDVAAFAISLFSIWASGWEATPRRTYGYFRIEILGALISIQMIWLLAGILVYEAIARLIYDTGEVQGALMFAVSAVGLLVNIVMALLLGHDHGHAHGHGGHDHGHSDHDHSHEDHDHTHTNSLSGATHHNHHHHEGNSEDNGEHHDTHGAYLAEPLLSSHTEVENKTNGGHKQKKQRNINIQGAYLHVLGDSIQSFGVMLGGALIWYKPGWKIIDLICTLVFSIIVLGTTISMLRNILEVLMESTPREIDATTLEKGLCEMDEVVAVHELHIWAITVGKFLLACHVMIKPDADADMVLDKVIDYIKREHNISHVTIQIERQ >Potri.010G111900.1.v4.1 pep chromosome:Pop_tri_v4:10:13179977:13193575:-1 gene:Potri.010G111900.v4.1 transcript:Potri.010G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111900.v4.1 MDHEVVQRVFQEGGRDYFQQQPPTSSSSSSSSILQSLPLHVSFDHGYYLLVKSIQELREKKEGLVTVGIGGPSGSGKTSLAEKVASVIGCVVISMENYRMGFDDGSDLDSIDFDALIQNLEDLTKGKDTLTPMFDYQQKRRIGSKGIKSASSGVVVIDGAYALHARLRSLLDIRVAVVGGVHFSLLSKVQYDIGDSCSLDYLIDSIFPLFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPDGHPAYAFQGTAHTDNFIEMYLRPPSASEEALTNDWIKVRQSGIKYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASSSVSNGNLSLSLETIDTLGETFVVLRGMNRKTVGAEATRMGVNGPWITKSYLEMILQRKGVPRLNTPPLLPNTSMTSNQERVIVAPRPIRTSPNLVNRLEDLSQPWTRSPTKSKMEPIVATWHFISSDTSHGSSVIDSSSFRDNMKIAPMPDSYDLDRGLLLAVQAIQALLENKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFKSEQVKDFKYDDFSSLDLSLLSKNIGNIRNGRRTKVPMFDLETGARSGFKELEVSEDCGVIIFEGVYALHPEIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNDIMMTVFPIFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVASQDILKILDPVKLCSFVQNFIDIYLRLPGLPTNGQLAESDCIRFRICEGRFALLIREPIREGNFIIQPKVDFDISTSTVAGLLNLGYQAVACIEASAYIYQDGKILIEVDHLQDTPSPYLQIKGVNKEAVAAAGSTLKLDGSYTTKSYLQIILERLPAMQRSYSGIHAQQAARLQELVEFIQSQGSSSASESSPGRDAAPLEGVIEDMQARIKRLERWHAINTVLWTFLMSALVGYSLYQRKHQ >Potri.010G111900.5.v4.1 pep chromosome:Pop_tri_v4:10:13180013:13193618:-1 gene:Potri.010G111900.v4.1 transcript:Potri.010G111900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111900.v4.1 MYLRPPSASEEALTNDWIKVRQSGIKYYLSLGDQRIVDKNFIIRPKAEFEVGRMTLGGLLALGYNVVVSYKRASSSVSNGNLSLSLETIDTLGETFVVLRGMNRKTVGAEATRMGVNGPWITKSYLEMILQRKGVPRLNTPPLLPNTSMTSNQERVIVAPRPIRTSPNLVNRLEDLSQPWTRSPTKSKMEPIVATWHFISSDTSHGSSVIDSSSFRDNMKIAPMPDSYDLDRGLLLAVQAIQALLENKGPPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLESYFKSEQVKDFKYDDFSSLDLSLLSKNIGNIRNGRRTKVPMFDLETGARSGFKELEVSEDCGVIIFEGVYALHPEIRKSLDLWIAVVGGVHSHLISRVQRDKSRVGCFMSQNDIMMTVFPIFQQHIEPHLVHAHLKIRNDFDPVLSPESSLFVLKSNKQVASQDILKILDPVKLCSFVQNFIDIYLRLPGLPTNGQLAESDCIRFRICEGRFALLIREPIREGNFIIQPKVDFDISTSTVAGLLNLGYQAVACIEASAYIYQDGKILIEVDHLQDTPSPYLQIKGVNKEAVAAAGSTLKLDGSYTTKSYLQIILERLPAMQRSYSGIHAQQAARLQELVEFIQSQGSSSASESSPGRDAAPLEGVIEDMQARIKRLERWHAINTVLWTFLMSALVGYSLYQRKHQ >Potri.001G164900.8.v4.1 pep chromosome:Pop_tri_v4:1:14018581:14031763:1 gene:Potri.001G164900.v4.1 transcript:Potri.001G164900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164900.v4.1 MKSNTLLDYAVFELLPNLTRCDLFVSSNGNTEKLVSGPVKPFITHLKVAEEQASQAVQSIKLEVDRRRNAETWFTKGTLERFVRFVSSPEVLEMVNTFDAEMSQLEAARKIYSQGSGDQLSGALGRDGTGTTAGADATKKELLRAIDVRLAAVREDLATAYANASATGFNLDTVPDLQHFADRFGAHRLNEACTKFMLLCQRRPDLTNPWKPIVGDQVVRSSWGSDMSIDDPTEDESGSYLTRPHQNPFQNKHQQQQASQELQQIETTQTQFHLNQSKSSTYQQPNSSLATQQQTIQNENKEEEKKKEEAVTNSSTSLPSQSSRRLSVQDRINLFENKQKESSGGKPGAVGKSAELRRLSSDVSPATATATATEKAVLRRWSGASDMSIDLGNDKKDDNNIDSPLCTPSSSSVSGTKSNVFPVSSDDDKDQKGLNDTESAANLVKLETKSLSGLKDQGDLQTHGGGPARKEKEVNLKGKVNLKDQVGSLAQLRSSAGRGEESGVGDQVVLEKLKGTSGGEERTVGAKAQLSFQEKSRGFPDKVEIVAVKNQVDLQTQIGGFVGRVGNVASGNRIDDIKIRDQSSSQSRSGVSQTHTRSFSGQFEGGFGVKDKELPTKVTDLDLSASQTQQKLFKGEVDQARKEDTEQITEDDLEVSKMKVQKQPFLGPEQFRKLQGRRDESGSIHGSNKPSFPSKKYSESQESIGSQQVPSADQFQRVRQSKGNQELNDELKIKANELEKLFAEHKLRIPGDQSSSARRGKPSEVQSEQAASLQYRKPVAVEISPVQFQEKTVLERTGSSSDTGKFSTPPRKIVDHQDCGSSLRQSFSEISFSDDSRGKFYERYMQKRDAKLREEWGTKRLEKEAKLKAMQESLERSRAEMKAKFSCSADRQNSLSDTHRCAEKLRSFNFNSSTKREQPVDSIHSEEDEDLSEFPEQIYYGEDRSFNEVSLGGIASRSSQNKKLLLNRNSSSSTPRTTVVPVPRSSSKISNPSSGRRRVQSENPLAQSVPNFSDFRKENTKPLSGVSKAANRLQVRTYARSKSSSEEIPLAKEEKNQRSQSLRKSSAGPIEFKDLPPLNSDVVLAPLKFDKEQTEQIPYDKFSKNVESKPFLRKGNGIGPGSGATVAKLKAMVASETLKNEEFEESAFEAEDSVDESKEEEDEGLETTEIEDCANMDNGKPRLSLDSDKMGTSGSENDESLRSISQIDPSSVAELPASVPSTFHAVGSLQDSPGESPVSWNSRMQHPFSYPHETSDIDAYVDSPIGSPASWNSHSLTQTEADVARMRKKWGSAQKPILVANSSHNQSRKDVTKGFKRLLKFGRKSRGAEGLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFNEQVQALHSSIPAPPANFKLRDDHLSGSSIKAPRSFFSLSSFRSKGSDSKLR >Potri.001G164900.7.v4.1 pep chromosome:Pop_tri_v4:1:14018158:14031798:1 gene:Potri.001G164900.v4.1 transcript:Potri.001G164900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164900.v4.1 MKSNTLLDYAVFELLPNLTRCDLFVSSNGNTEKLVSGPVKPFITHLKVAEEQASQAVQSIKLEVDRRRNAETWFTKGTLERFVRFVSSPEVLEMVNTFDAEMSQLEAARKIYSQGSGDQLSGALGRDGTGTTAGADATKKELLRAIDVRLAAVREDLATAYANASATGFNLDTVPDLQHFADRFGAHRLNEACTKFMLLCQRRPDLTNPWKPIVGDQVVRSSWGSDMSIDDPTEDESGSYLTRPHQNPFQNKHQQQQASQELQQIETTQTQFHLNQSKSSTYQQPNSSLATQQQTIQNENKEEEKKKEEAVTNSSTSLPSQSSRRLSVQDRINLFENKQKESSGGKPGAVGKSAELRRLSSDVSPATATATATEKAVLRRWSGASDMSIDLGNDKKDDNNIDSPLCTPSSSSVSGTKSNVFPVSSDDDKDQKGLNDTESAANLVKLETKSLSGLKDQGDLQTHGGGPARKEKEVNLKGKVNLKDQVGSLAQLRSSAGRGEESGVGDQVVLEKLKGTSGGEERTVGAKAQLSFQEKSRGFPDKVEIVAVKNQVDLQTQIGGFVGRVGNVASGNRIDDIKIRDQSSSQSRSGVSQTHTRSFSGQFEGGFGVKDKELPTKVTDLDLSASQTQQKLFKGEVDQARKEDTEQITEDDLEVSKMKVQKQPFLGPEQFRKLQGRRDESGSIHGSNKPSFPSKKYSESQESIGSQQVPSADQFQRVRQSKGNQELNDELKIKANELEKLFAEHKLRIPGDQSSSARRGKPSEVQSEQAASLQYRKPVAVEISPVQFQEKTVLERTGSSSDTGKFSTPPRKIVDHQDCGSSLRQSFSEISFSDDSRGKFYERYMQKRDAKLREEWGTKRLEKEAKLKAMQESLERSRAEMKAKFSCSADRQNSLSDTHRCAEKLRSFNFNSSTKREQPVDSIHSEEDEDLSEFPEQIYYGEDRSFNEVSLGGIASRSSQNKKLLLNRNSSSSTPRTTVVPVPRSSSKISNPSSGRRRVQSENPLAQSVPNFSDFRKENTKPLSGVSKAANRLQVRTYARSKSSSEEIPLAKEEKNQRSQSLRKSSAGPIEFKDLPPLNSDVVLAPLKFDKEQTEQIPYDKFSKNVESKPFLRKGNGIGPGSGATVAKLKAMVASETLKNEEFEESAFEAEDSVDESKEEEDEGLETTEIEDCANMDNGKPRLSLDSDKMGTSGSENDESLRSISQIDPSSVAELPASVPSTFHAVGSLQDSPGESPVSWNSRMQHPFSYPHETSDIDAYVDSPIGSPASWNSHSLTQTEADVARMRKKWGSAQKPILVANSSHNQSRKDVTKGFKRLLKFGRKSRGAEGLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSQGHPSDDGFNESELFNEQVQALHSSIPAPPANFKLRDDHLSGSSIKAPRSFFSLSSFRSKGSDSKLR >Potri.019G070300.3.v4.1 pep chromosome:Pop_tri_v4:19:11073559:11077429:1 gene:Potri.019G070300.v4.1 transcript:Potri.019G070300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070300.v4.1 MPLIGPGSVLVVTSRDRQVLKNVVDEIYEVEELNSHEALQLFSLNAFKGNHPPKAYMELSITAINYAKGNPLALQVLGSYLFDKGRQFWESQLNEIESFPELNIYDLLRIGFDALRDNNTKSIFLDVACFFRGHRVDFVKRILDGCGFKTDTGFSVLIDRCLIKISDDKVEMHDLLQEMAHEVVRKESVDELGRQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKTREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPCNFRPQNLVELNLSSSNVKQLWRGDQNLVNLKDVNLSNCEHITLLPDLSKARNLERLNLQFCTSLVKVPLSIQHLDKLIDLDLRCCTSLINLPGRINSRCLKSLNLSGCSNLKKCPETARKLTYLNLNETAVEELPQSIGELGGLVALNLKNCKLLVNLPENMYLLKSLLIADISGCSSISRFPDFSRNIRYLYLNGTAIEELPSSIGDLRELIYLDLSGCSSITEFPKVSRNIRELYLDGTAIREIPSSIQLNVCVNFMNCTCETANNLRFFQAASVS >Potri.019G070300.2.v4.1 pep chromosome:Pop_tri_v4:19:11072188:11077418:1 gene:Potri.019G070300.v4.1 transcript:Potri.019G070300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070300.v4.1 MASSSSVAHKRKYDVFLSFRGEDTRNNFTSHLYDALCRKKIKTFIDDGLERGEEITPALLKKIEESRISVVIFSKNYASSPWCVDELVKILECKETCGQIVLPVFYHVDPSDVDEQTGSFGNAFSELENIFKGKMDKVPRWRADMTYAASISGWDSQVTSPESKLVTEVVQTIWKRLNRASRSKLRGLVGVDSRIEQINKLLSVVPSDVRRIGIWGMGAIGKTTIAEAFFYSISSQYEGCHFLPNIRQESEKGRLNDLRDELLSKLLEEENLRVGTPHIPTFIRDRLCQKKVLLVLDDVIDVRQFQHLIEMPLIGPGSVLVVTSRDRQVLKNVVDEIYEVEELNSHEALQLFSLNAFKGNHPPKAYMELSITAINYAKGNPLALQVLGSYLFDKGRQFWESQLNEIESFPELNIYDLLRIGFDALRDNNTKSIFLDVACFFRGHRVDFVKRILDGCGFKTDTGFSVLIDRCLIKISDDKVEMHDLLQEMAHEVVRKESVDELGRQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVSKTREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPCNFRPQNLVELNLSSSNVKQLWRGDQNLVNLKDVNLSNCEHITLLPDLSKARNLERLNLQFCTSLVKVPLSIQHLDKLIDLDLRCCTSLINLPGRINSRCLKSLNLSGCSNLKKCPETARKLTYLNLNETAVEELPQSIGELGGLVALNLKNCKLLVNLPENMYLLKSLLIADISGCSSISRFPDFSRNIRYLYLNGTAIEELPSSIGDLRELIYLDLSGCSSITEFPKVSRNIRELYLDGTAIREIPSSIQLNVCVNFMNCTCETANNLRFFQAASVS >Potri.007G034200.1.v4.1 pep chromosome:Pop_tri_v4:7:2670009:2673619:-1 gene:Potri.007G034200.v4.1 transcript:Potri.007G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034200.v4.1 MASEPSITAASETQASVEVTTTSHDVTADSTCNDNNGETSDPEKSLDFAVELLEKGSTALKENDFSEAVECFSRALEIRVLHHGELALECVNAYYHYGRALLYKAQEEADPLGMVPKKDSESKQNDDKDAACKNVLNGESSTTSASSNVGEDGGFNHPEASDGKDEEEDDEGSDDDDDLADADEEESDLDLAWKMLDVARAIAEKHPGDTMDKVDILSALAEVALEREDIETSLSDYQKSLSILERLVEPDSRHLAELNFRICLCLEIGSKSQEAIPYCQKAISVCKARLQRLINELKSSGESATTPAISELDEGVQQLSNMQADKSVTDKEAEIETLTGLSGELEKKLEDLQQLVLNPKSILSEILGMVAAKGKGGEKSVFPTAMNSSQMGTATSSGGFDSPTISTAHTNGASGVTDLGVVGRGVKRVLTSTGSTGSSPVKKPTPDPSSDKGDGKTF >Potri.011G055900.1.v4.1 pep chromosome:Pop_tri_v4:11:4526514:4530538:1 gene:Potri.011G055900.v4.1 transcript:Potri.011G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055900.v4.1 METSRAEGKRSLKEIEDEEEEEDDEDIAGGLGFVDDDKIKKKGKKGSSGGGSSSMLLVSCQADNCTSDLADAKRYHRRHKVCEFHAKAPFAPVNGLQQRFCQQCSRFHDLSEFDDSKRSCRRRLAGHNERRRKSSAEYQGEGSN >Potri.002G192300.6.v4.1 pep chromosome:Pop_tri_v4:2:15485417:15492937:1 gene:Potri.002G192300.v4.1 transcript:Potri.002G192300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192300.v4.1 MVVLDSNPEPQPQPQQNPRQQDQTILFNKGEEVEVSSDEEGFRGAWYLATILEFPKPQSQAAVKSASKKKRKAIVQYKTLVTEDGSAPLVEQVDPHLIRPLPPQYLLKNGGLFQENEAIDASLRDGWWSGVVKKVLDGGSRYMVYFDNPPDVVEFEAKDLRLHLDWVDGNWVQPQMQRQATGSVFSSGTEVEVNLEKDNVRDIWLPAVVIKENEDKTFLVKCLSARNSDEAGPMKTIVDFLHIRPTPPLYADRNYELLERVDTRYGFGWRSGVITKLLAGRRYNVFFKHGNEDKELSHSKIRPHLEWVDGKWISKSKEVRIVSDSQGQFVGTDSSDNPDVAVKLKSSSAAEDKTKEKTVSTNIRDPTEQSMHSGEKSVKKLKLTLYNGGGSCSSASSMLTDVDTTEAPLSVTVLQSRKIPIEMSSNEKLCGFTTSKTGGKRARCIEKHMVDAQPSNKTENFSAGKTTKTKLHKVLELDCPKVDIVTRKGRATKSPFRSPNSSAAVKDGDAVEVTVQGISESDVKTKEIEVPLIIGLKAIEGIYQDDKEMLKLMRDQKKGLNDSAKDKNMEYVGSSQRRKRGRPRKLIINSKALVASKDFGSVDLADEVVQVVIKDLTTNEVEWPTQARAEPKVSQNSSREKSSEISKTDFMSREADADAAASKNVADDDQPLSTWFGNMHGSAGLEELRLSTGRIASGVSEAREKKVVAVQSCTVDPKSNDTMVENQLVPFVKKSPVWNTIESMEVFQIIPQKPHFHPLTECKEEYREGSAIGIMVTFASLFEKISSLQFDDCRSILESTLESLVDLEKHGFDITVPRCRLNELLSIKDGQGEVINESKDAEEKIRVHTDEKRKLEEKMSDIEKKITELQEELALTKAKMDVKGLDISKMQSHADAINERIKNARDHFVKVASAPWKSP >Potri.002G192300.12.v4.1 pep chromosome:Pop_tri_v4:2:15485400:15492774:1 gene:Potri.002G192300.v4.1 transcript:Potri.002G192300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192300.v4.1 MVVLDSNPEPQPQPQQNPRQQDQTILFNKGEEVEVSSDEEGFRGAWYLATILEFPKPQSQAAVKSASKKKRKAIVQYKTLVTEDGSAPLVEQVDPHLIRPLPPQYLLKNGGLFQENEAIDASLRDGWWSGVVKKVLDGGSRYMVYFDNPPDVVEFEAKDLRLHLDWVDGNWVQPQMQRQATGSVFSSGTEVEVNLEKDNVRDIWLPAVVIKENEDKTFLVKCLSARNSDEAGPMKTIVDFLHIRPTPPLYADRNYELLERVDTRYGFGWRSGVITKLLAGRRYNVFFKHGNEDKELSHSKIRPHLEWVDGKWISKSKEVRIVSDSQGQFVGTDSSDNPDVAVKLKSSSAAEDKTKEKTVSTNIRDPTEQSMHSGEKSVKKLKLTLYNGGGSCSSASSMLTDVDTTEAPLSVTVLQSRKIPIEMSSNEKLCGFTTSKTGGKRARCIEKHMVDAQPSNKTENFSAGKTTKTKLHKVLELDCPKVDIVTRKGRATKSPFRSPNSSAAVKDGDAVEVTVQGISESDVKTKEIEVPLIIGLKAIEGIYQDDKEMLKLMRDQKKGLNDSAKDKNMEYVGSSQRRKRGRPRKLIINSKALVASM >Potri.002G192300.7.v4.1 pep chromosome:Pop_tri_v4:2:15485467:15492791:1 gene:Potri.002G192300.v4.1 transcript:Potri.002G192300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192300.v4.1 MVVLDSNPEPQPQPQQNPRQQDQTILFNKGEEVEVSSDEEGFRGAWYLATILEFPKPQSQAAVKSASKKKRKAIVQYKTLVTEDGSAPLVEQVDPHLIRPLPPQYLLKNGGLFQENEAIDASLRDGWWSGVVKKVLDGGSRYMVYFDNPPDVVEFEAKDLRLHLDWVDGNWVQPQMQRQATGSVFSSGTEVEVNLEKDNVRDIWLPAVVIKENEDKTFLVKCLSARNSDEAGPMKTIVDFLHIRPTPPLYADRNYELLERVDTRYGFGWRSGVITKLLAGRRYNVFFKHGNEDKELSHSKIRPHLEWVDGKWISKSKEVRIVSDSQGQFVGTDSSDNPDVAVKLKSSSAAEDKTKEKTVSTNIRDPTEQSMHSGEKSVKKLKLTLYNGGGSCSSASSMLTDVDTTEAPLSVTVLQSRKIPIEMSSNEKLCGFTTSKTGGKRARCIEKHMVDAQPSNKTENFSAGKTTKTKLHKVLELDCPKVDIVTRKGRATKSPFRSPNSSAAVKDGDAVEVTVQGISESDVKTKEIEVPLIIGLKAIEGIYQDDKEMLKLMRDQKKGLNDSAKDKNMEYVGSSQRRKRGRPRKLIINSKALVASKDFGSVDLADEVVQVVIKDLTTNEVEWPTQARAEPKVSQNSSREKSSEISKTDFMSREADADAAASKNVADDDQPLSTWFGNMHGSAGLSTGRIASGVSEAREKKVVAVQSCTVDPKSNDTMVENQLVPFVKKSPVWNTIESMEVFQIIPQKPHFHPLTECKEEYREGSAIGIMVTFASLFEKISSLQFDDCRSILESTLESLVDLEKHGFDITVPRCRLNELLSIKDGQGEVINESKDAEEKIRVHTDEKRKLEEKMSDIEKKITELQEELALTKAKMDVKGLDISKMQSHADAINERIKNARDHFVKVASAPWKSP >Potri.001G228100.4.v4.1 pep chromosome:Pop_tri_v4:1:24724820:24730052:1 gene:Potri.001G228100.v4.1 transcript:Potri.001G228100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228100.v4.1 MWRFKHFTQKEPAGLEGRYVDVGNLKIHVRNAIAEGGFSCVYLARDAVHASKQYALKHMIYNDEELSDLVMKEINVMKALKGHPNVVTLYAHTILDMGRTKEALLVMEFCEKSLVNVLESRGAAYFEEKQVLSIFRDVCNAVFAMHSQSLPIAHRDLKAENLLLGPDGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLLRRDLINEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPDLPKYSSPVTDLIRDMLQASPDDRPDITQVWFRVNEQLPVNMQKSLPDGPPEMQSAGIHGGIAKAANRSPPMPQRSPPPPPSSGGGGGGGQLGAFWSTPHAKDSLFVEDKSRPKFDEEPSHNTSQQDRNQSENRSFPKNASPAKQENVHNIVAGRRNVHNKSHVPEDGASKDFESTFSQKDMDHGMERPKASKPAATHLFQDEAFSTFVAEFDSNKLNSRVSNDKEGKEEALEAEIERLKEQLKQVNSEKAEITSKFEKLSAICRSQRQEIQELKQTLAARTPSPNKYQASSRIQPSASPPQEKSDWSTPSPESKSWQAFADDNKSRQKPVSKGNSPQSVRTRNAHQNKQAAELTSNIDSWGFGSESFTAVPAASSQISGSNTEAHSGGSKIMESKPATQPAGWAGF >Potri.001G228100.1.v4.1 pep chromosome:Pop_tri_v4:1:24724820:24729996:1 gene:Potri.001G228100.v4.1 transcript:Potri.001G228100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228100.v4.1 MWRFKHFTQKEPAGLEGRYVDVGNLKIHVRNAIAEGGFSCVYLARDAVHASKQYALKHMIYNDEELSDLVMKEINVMKALKGHPNVVTLYAHTILDMGRTKEALLVMEFCEKSLVNVLESRGAAYFEEKQVLSIFRDVCNAVFAMHSQSLPIAHRDLKAENLLLGPDGLWKLCDFGSTSTNHKRFEKPEEMGIEEDNIRKHTTPAYRAPEMWDLLRRDLINEKVDIWALGCLLYRICYFKSAFDGESKLQILNGNYRIPDLPKYSSPVTDLIRDMLQASPDDRPDITQVWFRVNEQLPVNMQKSLPDGPPEMQSAGIHGGIAKAANRSPPMPQRSPPPPPSSGGGGGGGQLGAFWSTPHAKDSLFVEDKSRPKFDEEPSHNTSQQDRNQSENRSFPKNASPAKQENVHNIVAGRRNVHNKSHVPEDGASKDFESTFSQKDMDHGMERPKASKPAATHLFQDEAFSTFVAEFDSNKLNSRVSNDKEGKEEALEAEIERLKEQLKQVNSEKAEITSKFEKLSAICRSQRQEIQELKQTLAARTPSPNKYQASSRIQPSASPPQEKSDWSTPSPESKSWQAFADDNKSRQKPVSKGNSPQSVRTRNAHQNKQAAELTSNIDSWGFGSESFTAVPAASSQISGSNTEAHSGGSKIMESKPATQPAGWAGF >Potri.001G153900.3.v4.1 pep chromosome:Pop_tri_v4:1:12876992:12877620:1 gene:Potri.001G153900.v4.1 transcript:Potri.001G153900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153900.v4.1 MLLSTLLFGPLFHFLMSEYLWVLNPQGNNGKLPKLSPDQFLKLKQLTVLTLSATNKILSYNELLEELEVCNVHELEDFLINECVYTASLPFSASATGNMYLPSLLINSE >Potri.001G153900.2.v4.1 pep chromosome:Pop_tri_v4:1:12876978:12894664:1 gene:Potri.001G153900.v4.1 transcript:Potri.001G153900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153900.v4.1 MLLSTLLFGPLFHFLMSEYLWVLNPQGNNGKLPKLSPDQFLKLKQLTVLTLSATNKILSYNELLEELEVCNVHELEDFLINECVYTASLPFSASATGNMSATSDSVFPLIEEKIDWASKICQFDMDHQQELQGRIDEVKKNIHFKV >Potri.001G153900.4.v4.1 pep chromosome:Pop_tri_v4:1:12876992:12877653:1 gene:Potri.001G153900.v4.1 transcript:Potri.001G153900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153900.v4.1 MLLSTLLFGPLFHFLMSEYLWVLNPQGNNGKLPKLSPDQFLKLKQLTVLTLSATNKILSYNELLEELEVCNVHELEDFLINEA >Potri.008G089401.1.v4.1 pep chromosome:Pop_tri_v4:8:5586526:5587133:1 gene:Potri.008G089401.v4.1 transcript:Potri.008G089401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089401.v4.1 MSSADQPDDEKTVMEEDTSVDPINKPKQEEESEAEEDPEEDPEECEEMDDPEEYEQMDDPGHDSSNEKKDEGKTSGDAEHEPRAGDERDKTEEAIEDKTDLKDAGTKPKSVADLSEKKDDKVETEERAVWEGGCD >Potri.004G035100.2.v4.1 pep chromosome:Pop_tri_v4:4:2751270:2755246:-1 gene:Potri.004G035100.v4.1 transcript:Potri.004G035100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035100.v4.1 MQTGYVERSNSMAAAARGKRGLDSSSGDDEGQPDRKRPALASVIVEALKVDSLQKLCSSLEPILRRVVSEEVERALAKLGPAKLTVRSSPKRLEGPDGRNLQLHFRSRLSLPLFTAGKVEGEQGAAIHIVLIDGNTGRVVTSGPESSVKLDVIVLEGDFNNEDDDNWTREEFESHVVKEREGKRPLLTGDLQVTLKEGVGTLGELTFTDNSSWIRSRKFRLGLMVASGCCEGIRVREAKTDAFTVKDHRGELYKKHYPPALTDEVWRLEKIGKDGSFHKRLNKAGIYSVEDFLRLVVRDSQRLRTILGSGMSNKMWDVLVEHAKTCVLSGKLYIYYPEDEKNVGVVFNNIYELSGLIANGQYYSADSLSDNQKVYVDSLVKKAYDNWMHAIEYDGKSLLDFKMNQGIDALQNEVPSVQQEFLNSYDHQVTLPTISVPVPSEQPVMDSGLTVGGYNDDMATRFSMHPQNGNFNTSFQFDATSLPSQNPLVHTSQQIQVPGSDNLLALGRPQTSTPGFQSFGSSNLNSYKGTEDFFSEEEIRTRSHEMLENDDMQHLLRIFNMGGQGLSSFNATEDGYPFSSTNMPTAPPNYSFGDDPSRSSGKAVVGWLKLKAALRWGIFVRKKAAERRAQLIELDDS >Potri.003G198200.1.v4.1 pep chromosome:Pop_tri_v4:3:20003145:20004016:-1 gene:Potri.003G198200.v4.1 transcript:Potri.003G198200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G198200.v4.1 MEVEVKLRLPDSNSHQKLSIILSSFHTKTLIQENIFFDTTNSKLSSNFAALRLRFYNLDSYCILSLKAKPIMSDGISRVEEQEEPIDPKIGRMCVAEPGQLLGLLESSKIIQRVRREFGVGENEVLVCLGMFRNVRQVFDWKGLKLELDETIYDFGTSYEIECESKEPEKDKKLIEGLLKDNGIEFSYSEANKFAVFRSGKLPR >Potri.013G146800.1.v4.1 pep chromosome:Pop_tri_v4:13:14397448:14399830:1 gene:Potri.013G146800.v4.1 transcript:Potri.013G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146800.v4.1 MMTGNRMRRLMNNKPIQEVDLISELPDPIIQHIMSSLPYKDAARMSILSKRFASAWTSFPIIFLDETLNMGSCLELTGKQKLNSFLSYVGAFLSRRRLDVSLEKFSFCFCLNNSSEQPNGGIENAICYAIENNVKELELDFVGKSFKCMAHYSLPMKVLSAQSVMVLSLKGFMLEPPQNLVLDFPFIKELRLEKCKGMQTLSVSSQTLKIVVLESCQRLEKVEIDASNLESFSFGGGANSSCSVDITACKSLEYLSLKNAEITDEWIKHEVAQFLRLEVFKVVGCRLLENFHVSNANLKTVELSDCSNLQKIEIYSRSLNTFVYGGQLMPSQVFIYSPSFHAKVSLSVDHPLPHDWFSSFRDFLSCFDHCKELEIACSIEMALIVPIDSRDSLLPPLYDLKYLKVVAKFPTKSEDLVGLLDSLLWFAPRLTVLSFVSGSKEKSLKFEYNIAVAIDEDLECCYSMPIKCWRHSLKKVTMENFEDREGAILQRFFAQKATRLEATSREDSASADASAEEGVSQGSGSVITRNIGDLGAIIMANFFDVNDTLEIMM >Potri.001G157600.1.v4.1 pep chromosome:Pop_tri_v4:1:13290051:13294251:1 gene:Potri.001G157600.v4.1 transcript:Potri.001G157600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157600.v4.1 MVWYRKKLILEDLVFIGGLVLVQFVYAGNSILLSYLMSLGLEPLTIVIFSTFATFLIISPLAVYFERSKLPKEFSLKLLIRLVLISFGGVTLFQSLFLKGIKLTSPAMATAMPNLAPGIIFIIAWTLRLERVKLSCIYSKVKIGGTLLCVVGALIMSLMSSTGTAKKFSSNPPTADMFDVHKIIGCLYLMAAVFVLSSNVVLQATTLVDFPAPMSLCAITSLIGVVITATVQLIQNHKVDFGWPVVRLGDLICYSVLGGAIGGVCVSFNGWAMKKRGPVLVSVFSPIATVISVVFSVIAFGDRINLGSLAGMFLMFSGLYFVLWAKSKEGFPSDDHLESEFDPQKPLLA >Potri.019G049201.1.v4.1 pep chromosome:Pop_tri_v4:19:8092649:8096959:-1 gene:Potri.019G049201.v4.1 transcript:Potri.019G049201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049201.v4.1 MTEPEPESPYSVAEAVPGTPGIREIRPEKGSENFRFCNEQNGNEGNQGTRKVGLRAEIDTSPPFGSVKEAVTRFGGSGPWVPYYYRIGESYGVEDIDIKKVEEQAAELEKHLIVKELETLDVLEELGTTKRVVEELKQQLQKEALRCMTVPDEPMSSPAIKEMNKGNYSFHVNNSEQRLISLSPCPTASPDLILMELKQAKLNLGKTINDLGVIQTSVESLNKKMKKEKTLLKKTRERLTSKFAGGLSLEEELKQARTKPHIADDVETDYKAGYLMKMDEVQKTEVLKGMLAENIKTNIRTAELRLLAAKKMEEAARAAEAVALAEIKALSTDESSSGYALPEPEKVPSFEARSPLNPKDQKAEELSQKKVETLKLPKQEVHFTKMSILNKLREATEEVKLSKQALEEALNKVEMANRKQVAVEEAIRKWMPEDDQVGQDAYYHTRLGNFHLHPSDQRQDSPLNEVNNPNLIGDGPKPVLRTTVSMRDVLSRKQIRAEEYVAARPAEGGTERQKVALSQMLHELREDLTFHPRVEKHGGEQKQQFYTQRRKFGFIHISLPMTKPGKKKMQDLNNMKMH >Potri.019G049201.2.v4.1 pep chromosome:Pop_tri_v4:19:8092617:8096509:-1 gene:Potri.019G049201.v4.1 transcript:Potri.019G049201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049201.v4.1 MTEPEPESPYSVAEAVPGTPGIREIRPEKGSENFRFCNEQNGNEGNQGTRKVGLRAEIDTSPPFGSVKEAVTRFGGSGPWVPYYYRIGESYGVEDIDIKKVEEQAAELEKHLIVKELETLDVLEELGTTKRVVEELKQQLQKEALRCMTVPDEPMSSPAIKEMNKGNYSFHVNNSEQRLISLSPCPTASPDLILMELKQAKLNLGGLSLEEELKQARTKPHIADDVETDYKAGYLMKMDEVQKTEVLKGMLAENIKTNIRTAELRLLAAKKMEEAARAAEAVALAEIKALSTDESSSGYALPEPEKVPSFEARSPLNPKDQKAEELSQKKVETLKLPKQEVHFTKMSILNKLREATEEVKLSKQALEEALNKVEMANRKQVAVEEAIRKWMPEDDQVGQDAYYHTRLGNFHLHPSDQRQDSPLNEVNNPNLIGDGPKPVLRTTVSMRDVLSRKQIRAEEYVAARPAEGGTERQKVALSQMLHELREDLTFHPRVEKHGGEQKQQFYTQRRKFGFIHISLPMTKPGKKKMQDLNNMKMH >Potri.004G232400.3.v4.1 pep chromosome:Pop_tri_v4:4:23765574:23773063:-1 gene:Potri.004G232400.v4.1 transcript:Potri.004G232400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232400.v4.1 MGSRILVFLVVALIQVCTTPAVTNNDDFNALKALKDVWENVPPTWVGADPCGSRWDGILCTNSRVTSITLASMRLKGTLSGDISNLSELQILDLSYNTELSGPLPPAIGNLKMLTSLILVGCRFSGPVPDSIGSLPRLTYLSLNSNGFTGTIPPSLGNLDNLYWLDLADNRLTGTIPVSTETTPGLDLLVHTKHFHFGFNQLSGQIPPKLFSSGMALIHVLLESNKLTGSIPSTLGLVKSLEVVRLDNNSLTGPVPSNINNLTSVSEMFLSNNGLTGPLPNLTGMDHLTYLDMSNNTFGATDFPPWFSTLQSLTTLVMERTQLQGQIPSDFFSLSNLQTVDARNNKLNGTLDIGTSSINQLSLIDLRQNQISGFTNRPGVEKVGVILVGNPVCQESGVTERYCSVPQTESSYSTPLNNCVASLCFANQISSPNCKCALPYTGLLKFRAPSFSNLGNNTYYTVLEKSLMDSFKLHQLPVDSVNLSHPRKDSSTYLVLNLQVFPFGHDRFNRTGVSSIGFALSNQTFKPPPQFGPFFFIGDAYLNFADEVTGSKKSSQTGVIVGAVAGGSVLLLLLLGAGLYAHRQKKRAEKATEQNNPFAQWESNKSIGGVPQLKGARNFSFEELRKYSNNFSETNDIGSGGYGNVYRGVLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLIGFCFDRGEQMLVYEFVPNGSLMESLSGKTGIRLDWVRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDERLNAKVADFGLSKPMGDSETGHLTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELLTGRRPIEKGKYVVREVKTALDRAKYLYNLGELLDSSIGLDTTLKGLDKFVDVALKCVEENGSDRPTMGEVVKEIENILHLAGLNPNADSASTSASYDDASKGNAKHPYIFSKDAFDYSGGFPASKVEPL >Potri.004G232400.2.v4.1 pep chromosome:Pop_tri_v4:4:23765616:23773237:-1 gene:Potri.004G232400.v4.1 transcript:Potri.004G232400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232400.v4.1 MGSRILVFLVVALIQVCTTPAVTNNDDFNALKALKDVWENVPPTWVGADPCGSRWDGILCTNSRVTSITLASMRLKGTLSGDISNLSELQILDLSYNTELSGPLPPAIGNLKMLTSLILVGCRFSGPVPDSIGSLPRLTYLSLNSNGFTGTIPPSLGNLDNLYWLDLADNRLTGTIPVSTETTPGLDLLVHTKHFHFGFNQLSGQIPPKLFSSGMALIHVLLESNKLTGSIPSTLGLVKSLEVVRLDNNSLTGPVPSNINNLTSVSEMFLSNNGLTGPLPNLTGMDHLTYLDMSNNTFGATDFPPWFSTLQSLTTLVMERTQLQGQIPSDFFSLSNLQTVDARNNKLNGTLDIGTSSINQLSLIDLRQNQISGFTNRPGVEKVGVILVGNPVCQESGVTERYCSVPQTESSYSTPLNNCVASLCFANQISSPNCKCALPYTGLLKFRAPSFSNLGNNTYYTVLEKSLMDSFKLHQLPVDSVNLSHPRKDSSTYLVLNLQVFPFGHDRFNRTGVSSIGFALSNQTFKPPPQFGPFFFIGDAYLNFADEVTGSKKSSQTGVIVGAVAGGSVLLLLLLGAGLYAHRQKKRAEKATEQNNPFAQWESNKSIGGVPQLKGARNFSFEELRKYSNNFSETNDIGSGGYGNVYRGVLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLIGFCFDRGEQMLVYEFVPNGSLMESLSGKTGIRLDWVRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDERLNAKVADFGLSKPMGDSETGHLTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELLTGRRPIEKGKYVVREVKTALDRAKYLYNLGELLDSSIGLDTTLKGLDKFVDVALKCVEENGSDRPTMGEVVKEIENILHLAGLNPNADSASTSASYDDASKGNAKHPYIFSKDAFDYSGGFPASKVEPL >Potri.004G232400.1.v4.1 pep chromosome:Pop_tri_v4:4:23765606:23772988:-1 gene:Potri.004G232400.v4.1 transcript:Potri.004G232400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232400.v4.1 MGSRILVFLVVALIQVCTTPAVTNNDDFNALKALKDVWENVPPTWVGADPCGSRWDGILCTNSRVTSITLASMRLKGTLSGDISNLSELQILDLSYNTELSGPLPPAIGNLKMLTSLILVGCRFSGPVPDSIGSLPRLTYLSLNSNGFTGTIPPSLGNLDNLYWLDLADNRLTGTIPVSTETTPGLDLLVHTKHFHFGFNQLSGQIPPKLFSSGMALIHVLLESNKLTGSIPSTLGLVKSLEVVRLDNNSLTGPVPSNINNLTSVSEMFLSNNGLTGPLPNLTGMDHLTYLDMSNNTFGATDFPPWFSTLQSLTTLVMERTQLQGQIPSDFFSLSNLQTVDARNNKLNGTLDIGTSSINQLSLIDLRQNQISGFTNRPGVEKVGVILVGNPVCQESGVTERYCSVPQTESSYSTPLNNCVASLCFANQISSPNCKCALPYTGLLKFRAPSFSNLGNNTYYTVLEKSLMDSFKLHQLPVDSVNLSHPRKDSSTYLVLNLQVFPFGHDRFNRTGVSSIGFALSNQTFKPPPQFGPFFFIGDAYLNFADEVTGSKKSSQTGVIVGAVAGGSVLLLLLLGAGLYAHRQKKRAEKATEQNNPFAQWESNKSIGGVPQLKGARNFSFEELRKYSNNFSETNDIGSGGYGNVYRGVLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLIGFCFDRGEQMLVYEFVPNGSLMESLSAGKTGIRLDWVRRLKVALGAARGLAYLHELANPPIIHRDIKSSNILLDERLNAKVADFGLSKPMGDSETGHLTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELLTGRRPIEKGKYVVREVKTALDRAKYLYNLGELLDSSIGLDTTLKGLDKFVDVALKCVEENGSDRPTMGEVVKEIENILHLAGLNPNADSASTSASYDDASKGNAKHPYIFSKDAFDYSGGFPASKVEPL >Potri.009G045800.2.v4.1 pep chromosome:Pop_tri_v4:9:5189086:5192251:-1 gene:Potri.009G045800.v4.1 transcript:Potri.009G045800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045800.v4.1 MDQYHSQVHQQVSSELENGDVNYKEGLNSNDIKGGFVNGKTPQNVSSSIIRKKSDPMLVSSNVRFEMLGYFLTNLQEVILGTKLAVLFPAIPLAIAADYYKFGRSWIFALSLLGLTPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATELIIAILALYQNKIHVLKYSLLGSILSNLLLVLGTSLLCGGLANLKKEQKYDRKQADVNSLLLLLGLLCHMLPLMFRYAIGEGTATAFSTLELSRVSSIIMLIAYVAYIFFQLKTHRQLFDAQEEDDEEEEKAVIGFWSAFTWLAGMTIIIALLSEYVVGTIEAASDSWGISVSFLSIILLPIVGNAAEHAGSVIFAFKNKLDISLGVALGSATQISMFVVPLCVVVAWTMNIHMDLDFSLLETGSLAFTIIITAFTLQDGTSHYMKGLLLFLCYIVIAACFFVHKIPQNQISQGKPFNGLFAA >Potri.019G079600.2.v4.1 pep chromosome:Pop_tri_v4:19:12041316:12045232:-1 gene:Potri.019G079600.v4.1 transcript:Potri.019G079600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079600.v4.1 MEAAEGTVNGSVDYKGNPVHRSASGGWRSASFIIAVEVAERFAYYGISSNLITYLTGPLGQSTASAAVNVNTWSGTATLLPLLGAFVADSFLGRYRTIIAASLIYILGLGLLTLAAKLTSVNLHDCRSTKDATLCAPPRFQVILFFFSVYLVAVGQGGHKPCVQAFGGDQFDGQDPKESKAKSSFFNWWYFAISVGITVTLIVLVYIQDNLSWALGFGIPCIVLVAALLVFLLGSRTYRYSAKENGKNPFMRIGRVIVRAIRNRHNTPSAMPSEEDACLWDRCSEQFKFLNKALLAPDGSLEDQNVCSVNDVEDTKALLKLVPIWITSLAYAIAFAQTSTFFTKQGATLDRKIASGFKVPAASLQTFIGFAIMIFIPVYDRIVVPISRGLTRKPSGITMLQRIGTGMVFSAISMVTAALVEMKRLETAKDHGLVDLPKVTVPMSIWWLVPQYILCGVADVLTIVGLQEFCYDQVPKELRSLGIALYLSIFGIGSFLSTFLISTINKATSGDGQESWFANNLNRAHLDYFYWLLAGLSALGFSAYLYFARSYVYNRERAI >Potri.007G099000.2.v4.1 pep chromosome:Pop_tri_v4:7:12385730:12389450:-1 gene:Potri.007G099000.v4.1 transcript:Potri.007G099000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099000.v4.1 METPKILLNVSGNCQNVPAYSNGIWNVAHGETILQHSLVRFHVQLLAMFLLSSSFHLLLRRFHLSRFTSEILTGIVLGPAIMGKYFNNASSKLFPPYEEIIISLSKFGYVLFAFLAGVRMDPTLIGKTGRTAFILGFMSSVTAFIIIESTGFLFPKGLKTGGSKNAKVMFARIYMACMIQTQFVGVSFILMQLKMINSQLGHIALASSLVNELLRLAFGFMSGFLFTFNVSERAGVQTIIFSLIFVVLILTLMKRLMFVVVRITPEGQPVKEIYATVTVATVFLISTWGDSIGLNYLAGPLILGLVLPARSPLAEILIAKFDTIVSGFLLPLMAMLYASKVDLWQIMKEFDSLLIFKISLIGFTMKVVATFFLAKFCKIPTRHAVALALILNAKGINELGTLGSYSTFRDIHSTSGIFLIFLLQALQPLVKMLYHPAEHYLSYKKMSVEHASGDAELKILTCAYRQEDAVAAIKLLEYSNPTQESPLSIHGLCLEELVSSFTPLLINHQLGQKNSSSKGSRSQPIVDIFRYLKSELKKSVQVQVFTAISPLKQMHEDICWLSFNKSCSLIVLPFHKKWNSKGKMVSNNNDLRNLNIKVLELAPCSVGILIDRSRAHGLSSIFITSATYRVATIFVGGPDDREALAYALRMARCPKVHLTVVRFIGHDDHAHQRWQFMIDEDLLRRLRTEMSGGSNINYIEKTVRDGSDTSSTIKSMVGDLDLIMVGRSSHGTESEALSGLSEWTDLPELGPIGDLLASEDTTCPVSVLVVQQQIRKESPKIAWKFW >Potri.007G099000.3.v4.1 pep chromosome:Pop_tri_v4:7:12385730:12389450:-1 gene:Potri.007G099000.v4.1 transcript:Potri.007G099000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099000.v4.1 METPKILLNVSGNCQNVPAYSNGIWNVAHGETILQHSLVRFHVQLLAMFLLSSSFHLLLRRFHLSRFTSEILTGIVLGPAIMGKYFNNASSKLFPPYEEIIISLSKFGYVLFAFLAGVRMDPTLIGKTGRTAFILGFMSSVTAFIIIESTGFLFPKGLKTGGSKNAKVMFARIYMACMIQTQFVGVSFILMQLKMINSQLGHIALASSLVNELLRLAFGFMSGFLFTFNVSERAGVQTIIFSLIFVVLILTLMKRLMFVVVRITPEGQPVKEIYATVTVATVFLISTWGDSIGLNYLAGPLILGLVLPARSPLAEILIAKFDTIVSGFLLPLMAMLYASKVDLWQIMKEFDSLLIFKISLIGFTMKVVATFFLAKFCKIPTRHAVALALILNAKGINELGTLGSYSTFRDIHSTSGIFLIFLLQALQPLVKMLYHPAEHYLSYKKMSVEHASGDAELKILTCAYRQEDAVAAIKLLEYSNPTQESPLSIHGLCLEELVSSFTPLLINHQLGQKNSSSKGSRSQPIVDIFRYLKSELKKSVQVQVFTAISPLKQMHEDICWLSFNKSCSLIVLPFHKKWNSKGKMVSNNNDLRNLNIKVLELAPCSVGILIDRSRAHGLSSIFITSATYRVATIFVGGPDDREALAYALRMARCPKVHLTVVRFIGHDDHAHQRWQFMIDEDLLRRLRTEMSGGSNINYIEKTVRDGSDTSSTIKSMVGDLDLIMVGRSSHGTESEALSGLSEWTDLPELGPIGDLLASEDTTCPVSVLVVQQQIRKESPKIAWKFW >Potri.005G138100.1.v4.1 pep chromosome:Pop_tri_v4:5:10816492:10817697:-1 gene:Potri.005G138100.v4.1 transcript:Potri.005G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138100.v4.1 MSLPYPRPPPPPREEQIEYQPPIRKQHQQQLLGPSPLYKQHSWSPDIYRDEAWLRRKGNYKKKKCKSVTDEDLDELKGCIELGFGFDSPEMDQRLSDTFPALELYYAVNKNYHDHSVSKPFTDTSSSSMASDCDSLSPLGSPHNIFGPGDNPQTVKTRLKQWAQVVACSVRQSSSSS >Potri.010G105200.1.v4.1 pep chromosome:Pop_tri_v4:10:12686642:12692890:-1 gene:Potri.010G105200.v4.1 transcript:Potri.010G105200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105200.v4.1 MAKTISLYGFPTVEPPDDIKEFLEEYSGEGTVVAVHVAQPKSAGSRTNAIVEFTTSEAAQNIKLKSLDDEGLWYGNSYLKARDVYRDTVPMRKACQTQYSMDNITLHFGCQVSKEKFSVLWTQKDVSVKFGVELRKFHFFLTHLSKNYKLELSYENICQIELHRPRGKTKKYLLVQMLGGPKIFKKDTRKLSNFKEATDDQWIRDVDFSPSYCIGQSSALCLELPPNSQLPNFQENFVCYKEDEGHFILEKGSTFCCKSDLVPILSAAQGSELPYDIVFKVNSLVQHGCLPGPALDARFFRLINPSKIRIAHAYIQHALEKLSHLKECCYDPARWLREQYQKYLTTGRLPTPPAIAVDDGLVFLRRVQITPTKLYFCGPEVNLSNRVLRKYPGDIDNFLRVSFVDEDLDKLFSTNISPRTFSAIEGRQTSIYQRILSVQRNGITIGSKKFEFLGFSQSQVRESSLWMFASRPGLTAADIREWMGDFREIKNVAKYAARLGQSFSSSRESFNIDRHEIEIIPDIEVKSGGVNYVFSDGIGKISAELADSIAQKLRLRSFTPSAFQIRYGGYKGVVAVDPTSSMKLSLRRSMSKYKSTNTSLDILDWTKYRACFLNREVITLLSTLGVEDQVFERKQKEAIAQLDAILTDPIKAQEALELMAGGENARVLKGMLACGYKPGAEPFLAMMLQTFRASKLLDMRTKSRIFFPEGRAMTGCLDETRTLEYGQAFVQYSRARLSKSYDHFKGGKTDQDTLIVKGKIVVAKNPCLHPGDVQILKAVDVPALHHMVDCIVFPQKGKRPHTNECSGSDLDGDVYFVCWDTDLIPPQKFPPMDYTAPQTTILDHDVTIEEVQEYFADYLLNDSLGIICTAHVVFADSEPDMARSEKCIELAQLSSIAVDFPKTGVPAKIPKELRVKEYPDFMEKPADKCTTYVSQRVLGKLFRAVKDIAPDTSPIKSFTKEVATWSYDPDMEVDGFKDYINEAFYYKSEYDNKLGNMMDYYGIKTEAEIIGSCIMRMGRSFDKKRDLEGINFSVSSLRKQARAWFNERGSEESPDDVYRKASAWYHVTYHPRFWGLYNEGMDRVHFLSFPWCVHDKLFEIKKGTTSLVHQLKRMASFHVEIGD >Potri.008G013100.1.v4.1 pep chromosome:Pop_tri_v4:8:661047:662329:1 gene:Potri.008G013100.v4.1 transcript:Potri.008G013100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013100.v4.1 MESMLLRLFFPPPLLIKAMSVVCVVSLGKVGLSEVRGKNLRYSKFLNIDEKKSNKKQIQVSSRTGMLIAYTPSFLTGAVSFGLFPNEDLRFLFVKSTLTFHFFKRILEVLFIHRYSGGVDVESLTPITLSYFTSSVFVIYAQHLTQGLPEPAVDLKYPGIVLFLIGIIGNFYHHRLLSKLRSKNDKEYKVPKGGLFDLVICPHYLFEILGILGISLTAQTLYAFSFFIGSTLYLMGRSYATRRWYLSQFKDFPRDVKALIPFVF >Potri.018G115100.5.v4.1 pep chromosome:Pop_tri_v4:18:13148073:13151884:-1 gene:Potri.018G115100.v4.1 transcript:Potri.018G115100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115100.v4.1 MQSLPLHGDLLQTQMENFDQDSSLISSSGGISVANSYPLESICEDRVVTERKQNLLTDFFPTLRSGEWSDIGGRPYMEDTHICISDLAKKFGYSLLSEHAISFYGVFDGHGGKTAAHFVREHLPRVIVEDADFPVKLEKVVTRSFIEIDAAFEKSCSLESGRSSGTTALTAMIFGRSLLVANAGDCRAVLSRGGGAKEMSEDHRPCCMKERTRIESLGGFIDDGYLNGQLAVTRALGDWHLEGMKKKGDRSGPLSAEPELKLVTLTKEDEFLIIGSDGIWDVYSNQNAVDFVRKRLQEHNDLKRCCREMVGEALKRGATDNLTVVIVSFHSEPPPPVVVQRARVRRSISAEGLQNLKCLLEGSA >Potri.005G176900.1.v4.1 pep chromosome:Pop_tri_v4:5:18266096:18271113:1 gene:Potri.005G176900.v4.1 transcript:Potri.005G176900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176900.v4.1 MESLNKSVNKDSMLVTYLRGGAGSPIISPGGDRFSSNYQRNRSGSSRSPLSPLENVKAPMMAEDEVLVMDGVLVSSVVGSGSSSNSSSSSGKSVHKKALCRVWEDLGHCRYAANCQFAHGKEELHPTHFPIKNKAAVHTCNSYVTSPRSSPYVPKCRILHPAMTKAVVAANQTAFSKIPGYTSISPVTISSEKFSKNSTTPFSTPDHFLRTYISTRPEHCNKSSAANIKSDSRMVFTATISSDYWSPQDDGIEIALPHQTDKCISRAEVDAYIHSVLYGPATKKRLPVFSEFCPG >Potri.018G082900.4.v4.1 pep chromosome:Pop_tri_v4:18:10048923:10056505:1 gene:Potri.018G082900.v4.1 transcript:Potri.018G082900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082900.v4.1 MAKPHVTMEVGNDGVAVVTLINPPVNALAIPIIAGLKEKFDEATRRNDVKALVLTGKGGRFSGGFDINVFQKVHATGDISLMPDVSVELVVNTIEDCKKPVVAAVEGLALGGGLELAMGCHARIAAPKTQLGLPELTLGVIPGFGGTQRLPRLLGLSKAIEIMLLSKPIMSEEGKKLGLIDAIVPSQELLKVSRQWALDISERRKPWLRSLHRTDKIGSLSEAREVLKAARQQAKKIAPNVPQHQALLDVMEEGIIHGGYNGVLKEAKVFKELVLTETSKGLVHVFFAQRMTSKIPKVTDVGLKPRHIKKVAVIGGGLMGSGIATALIVSNIHVVLKEINSEYLQKGTKTIEANVRSLVTRGKLTRDKADKALSMLKGALDYSDFKDVDMVIEAVIESVPLKQKIFSEIEKICPPHCILATNTSTIDLNLVGEKTSSQDRIIGAHFFSPAHIMPLLEIVRTEKTSAQAILDLMTVGKTIKKVPVVVGNCTGFAVNRAFFPYTQSALILVHLGVDVFRIDKLISSFGLPMGPYQLQDLSGYGVALAVGKEFANAFPDRTFQSPLIDLLVKSGRNGKTNGKGYYIYEKGSKPRPDPSVLPIIEESRRLANIMPNGKPINITDKEIVEMVLFPVVNEACRVLDEGVVVRASDLDTASVLGMSFPSYRGGIVFWADLVGPKHVYDSLKKWSQRFGDFYKPSKFLEERATGGIPLSAPASSSSGSRSRM >Potri.001G360600.1.v4.1 pep chromosome:Pop_tri_v4:1:37729239:37733492:-1 gene:Potri.001G360600.v4.1 transcript:Potri.001G360600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360600.v4.1 MNKTHSKLLKIVIFLFALNSLSLCLYFSSHHHNSNNKQEEYHSSLTSNDRSHTENNSNSNSNNVNLKAWPILPSYLPWTQNPNKVTVRSCEAYFGNGFGRRIDVLLSGGWFRCLYSETLRSSICEGGSVRMVPERIKMSRGGERIEEVIGRSEEEELPDFENGAFEILGSTARSKWLVDEGFLDAFVPDGNVMRHTMRGLIRSIRVVDNNSKEFHCDLWIEEPTLLVTRFEYANLFHTITDWYSAYVASRVTDLPYRPHLIFVDGHSRTPLEGTWKALFSSVRYAKEFSGSVCLRHAILVPLGYETALFKGLTEKINCQGASAHDLWQNPDDQKTARLSEFGEMIRAAFDFPVSRHRAEKPFSGHNVLFVRREDYLAHPRHVGKVESRLSNEQEVFDSLQTWVSNHLECKVNLINGLFAHMSMKEQVRAVQDASVIIGAHGAGLTHIVSATPKTIILEIISSYFRRPHFQLIAEWKGLEYHAINLDGSHAHPSVVIDRFSRIMKSLGC >Potri.010G035400.1.v4.1 pep chromosome:Pop_tri_v4:10:6424857:6429360:-1 gene:Potri.010G035400.v4.1 transcript:Potri.010G035400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G035400.v4.1 MEGGVKLDNWGYEVNTSSDACISAINSYYHQVLSYGRERRVILEATLHDKDCVLANILAAHFLCSSANPSRASFHIQAANSRLEEATPYEKAVFDALNSLICENRDDDVALQFHSKLLNDYPRDLVTLKRAQVLCFYMGRPDLSLDLVQQVLPRNQEEDYIYGMLAFSLLELGRMADAEEAARKGYEINKQDYWAQHAMCHVLQYQCRFKDAVDFMEECSSSWSSCLSFMLTHNWWHVALCYLEGHAPVRKVLEVYDQHIWKELEKADAVPPEVYLNALGLLLRVYLRGELDIFDDRLKTLASCITDQANWYLEWHLDVLILWALAKTGEPSKAEDLLEGLKSRIQKMSKKKQQRMQKVIRLAEALFEYGRGNDKQALDLLDSDFDANDCKMLGASDEQLDVFNEVWYSMLLNTGQAAKAIGVMEKQIKKREGTPFMWRLLERGYAMTGSQEATVAGEKARALEAAHFVQVA >Potri.019G040151.1.v4.1 pep chromosome:Pop_tri_v4:19:5538531:5539242:-1 gene:Potri.019G040151.v4.1 transcript:Potri.019G040151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040151.v4.1 MMTILVLRSFYYSGLSLWVSSFASVSLVLFPCLWFQRSRDSNDGGWLLLVFFLLLVRLILCYLLPVLPSSPSSLPLFLFILAVLFLSLSVSSFSFPSSSAFGFSSGFYSRRMRMFLVSRRASRW >Potri.012G018800.1.v4.1 pep chromosome:Pop_tri_v4:12:2032781:2035455:1 gene:Potri.012G018800.v4.1 transcript:Potri.012G018800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018800.v4.1 MTSQLLEPIACPPSPLNHVPNIPHNLTALSFQKLKQAHQPVNISQQKNRSNFSLLDNKPLNTSKYASVLDSCKCPKLGKQVHAHTIKTGFDADGFIDTKLLQMYARCGLLKDADFLFETMPMRNLHSWKAILSVYLDHGLFEEAFLLFQVLQFDGVELDFFVFPLVFKACSGLGSVELGRQLHGLVIKFRFCLNIYVSNALIDMYGKCGSLDDAKKVLVKMPERDSVTWNSVITACAANGMVYEALEFLEKMKSLDYSMPNVVSWSAVIGGFAQNGYDEEAIEMLFRMQVEGLVPNAQTLAGVLPACARLQRLDLGKQLHGYITRHDFISNPVVVNALVDVYRRCGDMGGAAKIFLKFSVKNVLSCNTMIVGYCESGDVSKAKELFDCMDVLGIERGLISWNSIISGYVRNFMFDEAFSMFQNMLMEEGIEPDSFTLGSVLTACADTISLRQGKEIHAQAIVKGLQSDTFVGGALVEMYSKCQDLTAAQVAFDEVMEKDVPTWNALISGYARSNQIERIQYLLEKMKGDGYHPNIYTWNSILAGLVENRQLDLTMQLFSEMQISKLRPDIYTVGIILPACSRLATLERGKQAHAHSIKCGYDTDVHIGAALVDMYAKCGSLKYAQLAYDRISNPNLVSHNAMLTACAMHGHGEEGISLFQTMLALGFIPDHVTFLSVLSSCVHVGSVETGCEFFDLMGYYNVKPTLKHYTSMVDLLSRSGQLHEAYELIKKMPVECDSVLWGALLGGCVTHGNIELGEIAAERLIELEPNNSGNYVLLANLHAYARRWTDLARVRGMMKDRGMHKSPGCSWIEDKNEIHSFLACDRSHKRAEEIYATLDYLALHMKTGIVHG >Potri.005G017400.1.v4.1 pep chromosome:Pop_tri_v4:5:1145889:1148228:-1 gene:Potri.005G017400.v4.1 transcript:Potri.005G017400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G017400.v4.1 MDFQKRRVLFLVFIVGTIALSITAEKCRQLVGDDYSSQSGKFTIFNCFDMGSGTLACAVKEGVKLYFYNIRSSHVERARNLAIERSLLDTIGQGMPAQDVAKTAQKEGAKAAKLAKRQTKRIIGPVISSGWDFFEALYYGGTVTEGFLRGSGTLAGAYAGGFFGEERLGRVGYLVGSHLGSWVGGRIGLMVYDVVNGVHYLLQFVQGEDGEVHETPTYENFEVSEDSQGYTSYKASEDSNVHESPPEESSESFEHSEFR >Potri.006G146200.1.v4.1 pep chromosome:Pop_tri_v4:6:12448004:12450857:1 gene:Potri.006G146200.v4.1 transcript:Potri.006G146200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146200.v4.1 MVWFQCEDCGENLKKPKLPNHFRMCSATKLSCIDCGETFGKQSVQGHTQCITEAEKYGPKGQGKASNGATPKSNKDAKQKPDVDINVGLSERPPWFCSLCNTKATSKQALLLHADGKKHRGKARAFQAAKQQPKQTEESALDSNAPTKVALIENKHGEEQKLQDTPNVDSADTNTEIENGKLPSKRKRKVDASGNDGTGNKTKDGAANEVGNGKSQVERKSDDVETQLKKAKHNALKDDKATSPKKEDNKKNIKWRKLVKSALKSNDGVLKIRKLKKLVLKSLQESGIAKDETELDNILEQKINSSSRFRVDDKYVHLAAKD >Potri.008G062400.1.v4.1 pep chromosome:Pop_tri_v4:8:3758909:3761061:-1 gene:Potri.008G062400.v4.1 transcript:Potri.008G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062400.v4.1 MENRSNPPPHVLIFPLPCQGHVNSMLKLAELLSLAGINITFLNSEHNHELLARYTNIEDRFTKYPGFQFQTIADGLPVDHPRSGGKLMELFEAMKLVTKPVFKKILLETKPPVNCIIGDGILGFVGDVAIEVGVPFIYFRTVSACSFWAYFSIFDIIEGGQLPIRGNEDMDRLITKVPGMETFLRCRDLPSFCRVSDMTHPNLLLVMNETRQSPRAKALILNTFEDLEGPLMSQIRTHCPEIYSIGPLHEHLQAKLSAKNEKSCQSSNSLREIDRSCMTWLDNQPEKSVLYVSFGSFTVMTRHQLLEFWYGLVSSKKRFLWVIRPDSIATKDGEFEKIPVEVEEGTKERGFIAGWVPQEEVLAHKAIAGFLTHSGWNSTLESIVAGVPMICWPYFADQQVNSRFVGEVWKLGLDMKDQCDREIVEKMVNDLMVERREEFVRSATRMAELSRKSVREGGSSYCNLNRLIEDIRTMSVRVHDI >Potri.001G072400.6.v4.1 pep chromosome:Pop_tri_v4:1:5441592:5446929:-1 gene:Potri.001G072400.v4.1 transcript:Potri.001G072400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072400.v4.1 MESCCVWGGHRHLIQSHHRFIGFSHHHHTQRVHLLAANGPRKCNNRSSRICCAYEDPIKKPPQASPSPSSSSSSAIQLYNQIERLLTETARQSQDSWGGSNDWSEVEGAWILKPKGSRPKSIVHFIGGVFVGAAPQLTYRLFLERLAEKGILVIATPYASGFDYFFTADEVQFKFDRCFRSLQETVQDIPTFGIGHSLGSVIHLLIGSRYAVQRSGNIFMAFNNKEASAAIPLFSPVLVPMAQSLGPFLSQIASSPTVRLGAEMTMKQLESLSPPIMKQVLPLVEQLPPLYMDLVNGREDFSPKPEETRRLIKSYYGVSRNLLIKFKDDVIDETPTLAQVLGSEAAISSMLDMSIRLLPGDHGLPLQQAFPDVPPAMADAVNQGSELFANLTVGTPWENVAKEVGSTLGVDSRILRAQASKDVDNLVDVIISWMASNSGPRLLRQ >Potri.001G072400.4.v4.1 pep chromosome:Pop_tri_v4:1:5442029:5446945:-1 gene:Potri.001G072400.v4.1 transcript:Potri.001G072400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072400.v4.1 MESCCVWGGHRHLIQSHHRFIGFSHHHHTQRVHLLAANGPRKCNNRSSRICCAYEDPIKKPPQASPSPSSSSSSAIQLYNQIERLLTETARQSQDSWGGSNDWSEVEGAWILKPKGSRPKSIVHFIGGVFVGAAPQLTYRLFLERLAEKGILVIATPYASGFDYFFTADEVQFKFDRCFRSLQETVQDIPTFGIGHSLGSVIHLLIGSRYAVQRSGNIFMAFNNKEASAAIPLFSPVLVPMAQSLGPFLSQIASSPTVRLGAEMTMKQLESLSPPIMKQVLPLVEQLPPLYMDLVNGREDFSPKPEETRRLIKSYYGVSRNLLIKFKDDVIDETPTLAQVLGSEAAISSMLDMSIRLLPGDHGLPLQQAFPDVPPAMADAVNQGSELFANLTVGTPWENVAKEVGSTLGVDSRILRAQASKDVDNLVDVIISWMASNSGPRLLRQ >Potri.004G026900.1.v4.1 pep chromosome:Pop_tri_v4:4:2059160:2060807:-1 gene:Potri.004G026900.v4.1 transcript:Potri.004G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G026900.v4.1 MKRCELCDSLAKMYCESDQASLCWDCDANVHSANFLVAKHSRTLLCHVCQSLTPWTGTGPKLGPTLSVCDNCVSNSSCREERSTEDDKDVDNDDDDDDDREDDDDDDDDREDDSGEDNENGDGGNDHGSEDDEENQVVPWSSTPPPPCFKFF >Potri.008G063301.1.v4.1 pep chromosome:Pop_tri_v4:8:3822735:3824385:1 gene:Potri.008G063301.v4.1 transcript:Potri.008G063301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063301.v4.1 MTLGYKRLHCLSLLFTKSTMDLSGVMAKLPLSQRVATTAGSEVVFLVREKMIISDLGRAADT >Potri.010G036000.2.v4.1 pep chromosome:Pop_tri_v4:10:6513591:6513900:-1 gene:Potri.010G036000.v4.1 transcript:Potri.010G036000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036000.v4.1 MLEAIVVVITISNGCCCLPQSLLDPTNKIKKGKEMTVAARGVLLNWWRCREDGGSQWRCSWLLELSSLV >Potri.013G119400.1.v4.1 pep chromosome:Pop_tri_v4:13:12781064:12783691:1 gene:Potri.013G119400.v4.1 transcript:Potri.013G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119400.v4.1 MKNNGEIIQVQGGHIVRSTGRKDRHSKVYTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKNSIDRLAELPPWQPPANNINANLEENQNAGSSEMAIAEEPESSGYSFQLHGQLTDHNPSNGSSFLAPTIDPDTIPDTMRSFFPTSSTNSSMNFQSYPTVISRTANHTEDLGLSLHSFQDQVLLHEQSQADTTHAPSTDQTLFEGSAPVGFDTNFQRMMAWSNDTNADNRVAGGFAFNPPPLTPHQAMLAQGSAFSQRGTLQSSFPISIRAWNDLHMASTDHHRTQELHQSLIFGSRFASEGLAGFSIPAQIHGENEHNVVSDRPPSSPNSQN >Potri.019G120800.2.v4.1 pep chromosome:Pop_tri_v4:19:14734476:14735246:-1 gene:Potri.019G120800.v4.1 transcript:Potri.019G120800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120800.v4.1 MKQQSISFFYFPSFPPMHLYLCPVTSCSPSARTSSGCDTTSSSNPSTGSCTAWHHQRNHLFSALNDSSTGLTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVIPTFLSTSQLQTVSNPLGTWARTGSRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFASHAPAPAPVAPAPEKPAKAVPAANVESPVAPVDISSAVWFMHNNVVGFMESVE >Potri.008G058700.10.v4.1 pep chromosome:Pop_tri_v4:8:3511003:3511370:-1 gene:Potri.008G058700.v4.1 transcript:Potri.008G058700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058700.v4.1 MVQSLCSICLLICYLMAKCHMMCFGVPLLVLPPLTNNVI >Potri.008G058700.9.v4.1 pep chromosome:Pop_tri_v4:8:3511152:3512331:-1 gene:Potri.008G058700.v4.1 transcript:Potri.008G058700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058700.v4.1 MANRWWAGNVAMSGGDPVSSIPSLHLRNLEEESTPPGSNRLGPRREQNFIDTNTNSPKTTTTTTATAPSAQNQSQNREEQEDSRDNTNNQESGDHIAHETIEPGSGSTSRRPRGRPAGSKNKPKPPVVITKESPNSLRSHVLEISSGSDIVDSIANFSHRRHHGVSILSGSGIVDNVTLRQPAAPGGVITLHGRFEILSLSGSFLPAPSPPGATRLTVYLAGAQGQVVGGTVMGELVAAGPVMVIAATFSNATYERLPLEEQEQEGMQLQQQVDSSGTNNGNAAAGGGNNSGTQSSQGLGEHGSIPVFNLPPNLLPNGQMPHDVFWGPPPRPPPSY >Potri.014G066700.1.v4.1 pep chromosome:Pop_tri_v4:14:4179052:4181971:-1 gene:Potri.014G066700.v4.1 transcript:Potri.014G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066700.v4.1 MASSSAAFSPDHLSSSDQLCYVHCNFCDTVLAVSVPCSSLFKTVTVRCGHCTNLFSVNMRSLLPAANQFYLGHGFFNPQINILEGMRSTGAPPSLMINQPNPNESVMPIRGVEEIPKPPVVNRPPEKRQRVPSAYNRFIKDEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMPDQPVKKTNVRQQEGEDVLMKDGFFAPANVGVTPY >Potri.013G157400.1.v4.1 pep chromosome:Pop_tri_v4:13:15232677:15234832:1 gene:Potri.013G157400.v4.1 transcript:Potri.013G157400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157400.v4.1 MEYLSATSFTALLSFPTSLLVLAIILFYFIQSHRNVKKHPLPPGPKPWPIVGCLPTMLRNKPVYRWIHNLMKEMNTEIACIRLGNVLVIPVICPDIACEFLKAQDNTFASRPNTMTTDLISRGYLATILSPSGDQWNKMKKVLMTHVLSPKKHQWLYSKRVEEADHLVHYVYNQCKKSVHQGGIVNLRTAARHYCANVTRKMLFNKRFFGEGMKDGGPGFEEEEYMDALFSCLKHIYAFCISDFLPSLIGLDLDGHEKVVMENHRIINKYHDPIIHERVQQWKDGAKKDTEDLLDILITLKDRNGNPLLSKDEIKAQITEIMVAAVDNPSNACEWAFAEMLNQPEILEKATEELDRVVGKERLVQESDFAHLNYVKACAREAFRLHPFAPFNVPHVSAADTTVANYFIPKGSYVLLSRLGLGRNPKVWDEPLKFKPERHLNEMEKVVLTENNLRFISFSTGKRGCKGVTLGTSMTTMLFARLLQAFTWSLPPRQSSIDLTIAEDSMALAKPLCALAKPRLRPQVYPGY >Potri.006G283000.1.v4.1 pep chromosome:Pop_tri_v4:6:27253514:27257488:-1 gene:Potri.006G283000.v4.1 transcript:Potri.006G283000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283000.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYKALVHAGFHTFRDDDEIRRGKNIRLELQKAIKQSKIAIIVFSKNYAWSKWCLDELVKIMERKRNAECIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEKMERVNGWRIALKEVANLAGMDLGDGIWIQKYFVPLHFIGRDALVQDINSWLQDGSHGAVIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDVVCLQRQLLFDILNKTVEINDPDEGILKIKHALCCRRTLIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSANYIQWIPYKVELLDDEKSLELFSWNAFGQANPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDVACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKFQRIWHHGDAFTVLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLFDFFDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCIRLVQIHESICDLQRLLILNLKNCTSLVELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQWRKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRFTSFSLPRFLESLDLSGTPICFLPESIKDLGLLRHLYLRNCKMLQALTEFPSHLDSLDVSFCYSLPRYENLNSWTEKDGCAHLVEFQDGIKQELLQKLDSQMFRIMETVSAQIQTSKFQITFMDGIFNVAVSVLDEDEMLRRFCAEGEEDKWLIQNEFVDNFSFKISSPPPAHRICGFNLFISCVTSAYRGFRNVYIEIRNNTSGQSLRRQVHVLDMRFDDEVRASQSLSHWKLGGDDPTFDSGDDVTISMVVTSASQIRTVGVQWLHEEEGKDDDFIQSKDGVINAHNNSDDDAAHVANVEIASRIFRNYYCAFHGKYSARNFTYWNFAKKGV >Potri.011G155001.1.v4.1 pep chromosome:Pop_tri_v4:11:18183343:18184245:-1 gene:Potri.011G155001.v4.1 transcript:Potri.011G155001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155001.v4.1 MELLQLPSFHFLIACHIIWFVKGVLSFFLALFHINYHMICVREDLFALFHKFVHFHGC >Potri.011G155001.2.v4.1 pep chromosome:Pop_tri_v4:11:18183343:18184250:-1 gene:Potri.011G155001.v4.1 transcript:Potri.011G155001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155001.v4.1 MELLQLPSFHFLIACHIIWFVKGVLSFFLALFHINYHMICVREDLFALFHKFVHFHG >Potri.004G007500.1.v4.1 pep chromosome:Pop_tri_v4:4:462185:464998:1 gene:Potri.004G007500.v4.1 transcript:Potri.004G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007500.v4.1 MDKGWGLTLASDPVSVFSSNNSNNSPVGSFLKVKRDFSSDHNMADSRNINNSMFQFPVSLSAGKEEVSSAAAHEVDFFKEMINRVDGHDSKSTSVIVKKENSLAEVAPRSSAALDVNTGLHLLTAYARSDQSTVDDGVSSDADDKRSKNVELAQLQVELQKMNAENQRLKDMLSQVTNNYSALQMHFVALIQQQQRNHGVESDNKQETVDAKSSEEKKHEMVPRQFMDLGPSAETDEISNSSSEERTRSVTPQNHFEAASTKNNGKLEMVPHDQENSSFRDGKRIGGDESPESESQGWNPNKVQKLNPASSANKAIEQSAEATMRKARVSVRARSEAPMISDGCQWRKYGQKMAKGNPCPRAYYRCTMAVGCPVRKQVQRCAEDKTILITTYEGNHNHPLPPAAMTMASTTTAAATMLLSGSMSSADGMMNPNLLARAILPGCSSSMATISASAPFPTVTLDLTQNTNPLQFQRPPTQFQVPFPGQPQNFALVTAPQLPQVFGQALYNQSKFSGLQLSQDIGSSQLGHQAQPQIFHPGQQPSLSHDTLSAATAAITADPNFTAALAAAISSIIGGANSSSNTTTNTNNNNSNSNATNTSNRN >Potri.019G036700.1.v4.1 pep chromosome:Pop_tri_v4:19:5009180:5016308:-1 gene:Potri.019G036700.v4.1 transcript:Potri.019G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036700.v4.1 MNDLLSESFEIPRGQGSRGGDIEMGMNSADLGLESFFKKVQEIEKQNEKLDKLLKKLQDAHEESKAVTKAPAMKGIKQRMEKDVDEVGKIARSIKSKLEELDKENLSNRQKPGCGKGTGVDRSRTSTTIALKKKLKDKMAEFQTLRENIHQEYREVVERRVFTVTGTRADEETIDTLIETGDSEQIFQKAIQEQGRGQITDTLAEIQERHDAVRDLERKLLDLQQIFLDMAVLVDAQGDMLDNIESQVSNAVDHVQSGNVALQKAKKLQRNSRKWMCIAIIILLIIVAIIVVTVLKPWNNNKGA >Potri.008G219000.4.v4.1 pep chromosome:Pop_tri_v4:8:18035635:18048756:1 gene:Potri.008G219000.v4.1 transcript:Potri.008G219000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219000.v4.1 MEYLEGRIFIDPKLPGLAPERRGAIYREIAKVLAALHSVDVDAIGLGIYGRRDHYCKRQVERWTKQYIASTCDSRYPSNPKMLELAQWLLQHIPSEDSSRASGGIVHGDFRIDNVVFHPNEDRVIGILDWELSTLGNQMTDVAYSCLAYNVDINLENQQAGKGFELTGIPEGIPSQAEFLAEYCSASGKPWPASVWKFYVAFAMFRGAAIFAGIYSRWLMGNASGGERARNSGKQANDLVDFAWAYIAKKSVLPDHPASVLIARDCMKQFGDGNASGRFVPSKRVLTLRNRLIKFVEDHIYPMENEFYKLAQSPSRWTVHPEEERLKELAKKEGLWNLWIPFDSAERARKLLFDGSGHMISNGEHDQFLGAGLSNLEYGYLCEIMGRSIWAPQVLNCGAPDTGNMEVLLRYGNKEHLLEWLVPLLQGKIRSGFAMTEPQVASSDATNIECSIRREGDSYIINGRKWWTSGAMDPRCKVLIVMGKTDFTAANHKQQSMILVDIHTPGVHIKRPLMVFGFDDAPHGHAEVVFDNVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMMVQRALSRRAFGKLIAEQGAFRSDVAKCRIELEKARLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALMVLDMAMQVHGAAGLSSDTVLAHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >Potri.008G219000.1.v4.1 pep chromosome:Pop_tri_v4:8:18035648:18048883:1 gene:Potri.008G219000.v4.1 transcript:Potri.008G219000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219000.v4.1 MASHTSALLRQVQVAHQFDYDSLLRYASVHVPGFPSSAPSSFTVKQFGHGQSNPTFLLEVENGGFVKRYVVRKKPPGKLLQSAHAVDREYQVLRALGESTKVPVPKVFCLCRDASVIGTDFYVMEYLEGRIFIDPKLPGLAPERRGAIYREIAKVLAALHSVDVDAIGLGIYGRRDHYCKRQVERWTKQYIASTCDSRYPSNPKMLELAQWLLQHIPSEDSSRASGGIVHGDFRIDNVVFHPNEDRVIGILDWELSTLGNQMTDVAYSCLAYNVDINLENQQAGKGFELTGIPEGIPSQAEFLAEYCSASGKPWPASVWKFYVAFAMFRGAAIFAGIYSRWLMGNASGGERARNSGKQANDLVDFAWAYIAKKSVLPDHPASVLIARDCMKQFGDGNASGRFVPSKRVLTLRNRLIKFVEDHIYPMENEFYKLAQSPSRWTVHPEEERLKELAKKEGLWNLWIPFDSAERARKLLFDGSGHMISNGEHDQFLGAGLSNLEYGYLCEIMGRSIWAPQVLNCGAPDTGNMEVLLRYGNKEHLLEWLVPLLQGKIRSGFAMTEPQVASSDATNIECSIRREGDSYIINGRKWWTSGAMDPRCKVLIVMGKTDFTAANHKQQSMILVDIHTPGVHIKRPLMVFGFDDAPHGHAEVVFDNVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMMVQRALSRRAFGKLIAEQGAFRSDVAKCRIELEKARLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALMVLDMAMQVHGAAGLSSDTVLAHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >Potri.008G219000.2.v4.1 pep chromosome:Pop_tri_v4:8:18035620:18048755:1 gene:Potri.008G219000.v4.1 transcript:Potri.008G219000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219000.v4.1 MASHTSALLRQVQVAHQFDYDSLLRYASVHVPGFPSSAPSSFTVKQFGHGQSNPTFLLEVENGGFVKRYVVRKKPPGKLLQSAHAVDREYQVLRALGESTKVPVPKVFCLCRDASVIGTDFYVMEYLEGRIFIDPKLPGLAPERRGAIYREIAKVLAALHSVDVDAIGLGIYGRRDHYCKRQVERWTKQYIASTCDSRYPSNPKMLELAQWLLQHIPSEDSSRASGGIVHGDFRIDNVVFHPNEDRVIGILDWELSTLGNQMTDVAYSCLAYNVDINLENQQAGKGFELTGIPEGIPSQAEFLAEYCSASGKPWPASVWKFYVAFAMFRGAAIFAGIYSRWLMGNASGGERARNSGKQANDLVDFAWAYIAKKSVLPDHPASVCYSLNYPVLIARDCMKQFGDGNASGRFVPSKRVLTLRNRLIKFVEDHIYPMENEFYKLAQSPSRWTVHPEEERLKELAKKEGLWNLWIPFDSAERARKLLFDGSGHMISNGEHDQFLGAGLSNLEYGYLCEIMGRSIWAPQVLNCGAPDTGNMEVLLRYGNKEHLLEWLVPLLQGKIRSGFAMTEPQVASSDATNIECSIRREGDSYIINGRKWWTSGAMDPRCKVLIVMGKTDFTAANHKQQSMILVDIHTPGVHIKRPLMVFGFDDAPHGHAEVVFDNVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMMVQRALSRRAFGKLIAEQGAFRSDVAKCRIELEKARLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALMVLDMAMQVHGAAGLSSDTVLAHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >Potri.008G219000.3.v4.1 pep chromosome:Pop_tri_v4:8:18035641:18048732:1 gene:Potri.008G219000.v4.1 transcript:Potri.008G219000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219000.v4.1 MASHTSALLRQVQVAHQFDYDSLLRYASVHVPGFPSSAPSSFTVKQFGHGQSNPTFLLEVENGGFVKRYVVRKKPPGKLLQSAHAVDREYQVLRALGESTKVPVPKVFCLCRDASVIGTDFYVMEYLEGRIFIDPKLPGLAPERRGAIYREIAKVLAALHSVDVDAIGLGIYGRRDHYCKRQVERWTKQYIASTCDSRYPSNPKMLELAQWLLQHIPSEDSSRASGGIVHGDFRIDNVVFHPNEDRVIGILDWELSTLGNQMTDVAYSCLAYNVDINLENQQAGKGFELTGIPEGIPSQAEFLAEYCSASGKPWPASVWKFYVAFAMFRGAAIFAGIYSRWLMGNASGGERARNSGKQANDLVDFAWAYIAKKSVLPDHPASDCMKQFGDGNASGRFVPSKRVLTLRNRLIKFVEDHIYPMENEFYKLAQSPSRWTVHPEEERLKELAKKEGLWNLWIPFDSAERARKLLFDGSGHMISNGEHDQFLGAGLSNLEYGYLCEIMGRSIWAPQVLNCGAPDTGNMEVLLRYGNKEHLLEWLVPLLQGKIRSGFAMTEPQVASSDATNIECSIRREGDSYIINGRKWWTSGAMDPRCKVLIVMGKTDFTAANHKQQSMILVDIHTPGVHIKRPLMVFGFDDAPHGHAEVVFDNVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGAAERGMQMMVQRALSRRAFGKLIAEQGAFRSDVAKCRIELEKARLLVLEAADQLDRLGNKKARGTIAMAKVAAPNMALMVLDMAMQVHGAAGLSSDTVLAHLWATARTLRIADGPDEVHLGTIAKLELQRAKL >Potri.005G203550.1.v4.1 pep chromosome:Pop_tri_v4:5:20882462:20883549:-1 gene:Potri.005G203550.v4.1 transcript:Potri.005G203550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203550.v4.1 MFSQRIVPLSYRLHGRVNPRRPLYEKILANISKRFVFFHLKYFSFLVLLRGSEGFLMPAFALVTCPYSYHEGVNVVPFSVNT >Potri.018G151800.1.v4.1 pep chromosome:Pop_tri_v4:18:16198146:16200054:-1 gene:Potri.018G151800.v4.1 transcript:Potri.018G151800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151800.v4.1 MADLYSTRKWIQNQQSDSLVGAVASGSITPATPRLPRVGSNRSASMVTGGVLDDDGVEDLEMLLEAYFMQLDGTRNKILSVSIAALTSK >Potri.005G059400.2.v4.1 pep chromosome:Pop_tri_v4:5:3753017:3754809:1 gene:Potri.005G059400.v4.1 transcript:Potri.005G059400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059400.v4.1 MRLVKVHLFLLCVAGVALLGHQCCDPSAKPQNGKFSPYWVDTLTPQSVAAIKERHPNVKALASLSGWSLGDEVLRWYNPENPQLWINNAFTSLTSLAKEYHLDGIDIDYENFPRNNASFAYCIGELITLLKNQSVISVATIAPFHTTVLPYIELFNKYGDVIDYVNHQFYTDKVTSPKGYLAAFRLRATQFDKDKLLPSYEVNGRGIQGDAFFDALNLLEANGFDVNGVMIFSADASSSNNYYYERKSQAFLTNSTSV >Potri.005G059400.1.v4.1 pep chromosome:Pop_tri_v4:5:3753018:3754808:1 gene:Potri.005G059400.v4.1 transcript:Potri.005G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059400.v4.1 MRLVKVHLFLLCVAGVALLGHQCCDAKVMMEYIGATGQPVTFNPVPVEDNIDFHFILSFAIDADPSAKPQNGKFSPYWVDTLTPQSVAAIKERHPNVKALASLSGWSLGDEVLRWYNPENPQLWINNAFTSLTSLAKEYHLDGIDIDYENFPRNNASFAYCIGELITLLKNQSVISVATIAPFHTTVLPYIELFNKYGDVIDYVNHQFYTDKVTSPKGYLAAFRLRATQFDKDKLLPSYEVNGRGIQGDAFFDALNLLEANGFDVNGVMIFSADASSSNNYYYERKSQAFLTNSTSV >Potri.002G111700.1.v4.1 pep chromosome:Pop_tri_v4:2:8422674:8426341:1 gene:Potri.002G111700.v4.1 transcript:Potri.002G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111700.v4.1 MYVHLSLSQCYHRNLLFIIKTKTAAPNDPLNHVDIYILLRFLISFSATTNLTTSSISPWLFLPPPPPPTQMAFQSIILLFVSLISLTYPIQTISTNPYQFFNLMKASLSGNVLSDWDVTGGKSYCNFTGVSCNSRGYVEMIDVTGWSISGRFPSGICSYFPDLRVLRLGHNSLHGDFLHSIVNCSFLEELNLSFLFATGTYPDFSPLKSLRILDVSYNRFTGEFPMSVTNLSNLEVLNFNENDGLHLWQLPENISRLTKLKSMILTTCVLHGPIPASIGNMTSLVDLELSGNFLSGHIPVELGLLKNLQQLELYYNYHLSGNIPEEFGNLTELVDLDISVNKLTGKIPESVCRLPKLEVLQLYNNSLSGEIPSAIASSTTLRILSVYDNFLTGEVPQDLGHLSAMIVVDLSENRLSGPLPSDVCRGGKLLYFLVLDNMFSGELPDSYAKCKTLLRFRLSHNHLEGSIPEGILGLPRVSIIDLSYNNFSGPISNTIGTARNLSELFVQSNKISGVIPPEISRAINLVKIDLSSNLLYGPIPSEIGYLKKLNLLILQGNKLNSSIPKSLSLLRSLNVLDLSNNLLTGSIPESLSELLPNSINFSNNLLSGPIPLSLIKGGLVESFSGNPGLCVPVYVDSSDQSFPMCSHTYNRKRLNSIWAIGISVAILTVGALLFLKRQFSKDRAVKQHDETTASSFFSYDVKSFHRISFDQREILEAMVDKNIVGHGGSGTVYRIELSSGEVVAVKRLWSRKSKDSGSEDQLLLDKELKTEVGTLGSIRHKNIVKLYCYFSSSDCNLLIYEYMPNGNLWDALHKGWIHLNWPTRHQIAVGVAQGLAYLHHDLLPPIIHRDIKSTNILLDANYRPKVADFGIAKVLQARGGKDSTTTVIAGTYGYLAPEYAYSSKATTKCDVYSFGVVLMELITGKKPVEADYGESKNIINLVSTKVDTKEGVMEVLDKRLSGSFRDEMIQVLRIAIRCTYKTPALRPTMNEVVQLLIEAGQNRVDSFRSSNKSKEASDVTKIKNQFEI >Potri.006G091700.3.v4.1 pep chromosome:Pop_tri_v4:6:6973001:6973624:1 gene:Potri.006G091700.v4.1 transcript:Potri.006G091700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091700.v4.1 HLLRDDLDDPITTNQEPQIEEDQESSSPQSTLSPIITVAPPRPSKTMVISTIFGNRRGHVWFCIQHDRLSTIPLLLLELSIPTHQLVKEMQCGLVRLALECNRSELNSVPLRAVPVWTVNCNGKKAGFALRRKASEQIRLMLKTVQSMTVAAGVIPARLGSSSDSEEIMYMRANYEHMVGRADSESFHLINPDECPGQELSVFLMRS >Potri.014G022300.1.v4.1 pep chromosome:Pop_tri_v4:14:1335280:1335842:1 gene:Potri.014G022300.v4.1 transcript:Potri.014G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022300.v4.1 MARLSMSSILFVGLLFVSSLLLIVSEARLLNVVESHGSVNKGIGAIFSDGLHIEEIKNSGPSEGGRGHASKNVNTQETPDGPSPGAGH >Potri.001G267300.1.v4.1 pep chromosome:Pop_tri_v4:1:28216115:28219495:-1 gene:Potri.001G267300.v4.1 transcript:Potri.001G267300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267300.v4.1 MRKPDLMARDRVPINNNMNRAKLRKGLWSPEEDEKLIKYMLTNGQGCWSEIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHSILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRFKINSTSTSSPNDSSDSSEPRDHVVGNIMPMHDHDVMTLCKDSSSSPSISMHGVVTGNQFDPFTVLSNRYDVSGAASLFDMSTCLTQVGMGDGFYGDHYGILEGNNKIGLESDLSLPPLESRSIEENNAVSNNRIGVKSSSNDNHHFDSTCFNNTDQRFKVEDMLGLENHWQGENVRMGEWDLEGLMENISSFPFLDFQVL >Potri.003G216100.1.v4.1 pep chromosome:Pop_tri_v4:3:21191877:21192627:-1 gene:Potri.003G216100.v4.1 transcript:Potri.003G216100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216100.v4.1 MARYYNHNSYLDYISYFSMPLHLFFFIFILFSILCFSWYINYENKLEELINQVKLLLMLSPLVLLLVVHCLSSKFPILMPFPERDSLHRAGGSPWGVALLLVFLLFMISYQSSFHERWFPLYTK >Potri.011G167101.1.v4.1 pep chromosome:Pop_tri_v4:11:19090011:19090355:-1 gene:Potri.011G167101.v4.1 transcript:Potri.011G167101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167101.v4.1 MVDLFNMRSITVQYHGAWMMSGAKLGEVYYRIAEKSKIHSYPAGVCPAVGVGSHLSGGGYGNLMSKYGFSVDNIVDAVVVDASGDGLDREREGGIFSELSEEVVELVLFHGKLD >Potri.002G050900.1.v4.1 pep chromosome:Pop_tri_v4:2:3421648:3424580:-1 gene:Potri.002G050900.v4.1 transcript:Potri.002G050900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050900.v4.1 METLVVVAQHRNQYYTKVRSNGPAKFGTSPSKHFKDINCRTFQSRDGILPTPFQASTTTVTKITSHVPPTSNSPKTPPPAIKSQFNSHPVDNGNFKTISKSSPITINVTVPRKETSFYEDMGFGNENLPFSERWAGPAYSNSPPPSSLPIPKFSMRPPKRTVSLDLPVNHGTDFNVQPTAKSAPASPSREHSPSMKDLFLSADSATKTLRRILNLDVADE >Potri.001G054850.1.v4.1 pep chromosome:Pop_tri_v4:1:4143252:4144733:-1 gene:Potri.001G054850.v4.1 transcript:Potri.001G054850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054850.v4.1 MIFFCRCRSTAFSYWYVVVGAKLADSWWTPFYEWREISLQLRTEEIVGVCMLKTYDLWPSRATMVGISPPFFKEGLSQTRSVCLFSIYLIDPWLFLHVLCLQFKSHFALKLAGTTPYTQLLIMS >Potri.003G012000.2.v4.1 pep chromosome:Pop_tri_v4:3:3267997:3268839:1 gene:Potri.003G012000.v4.1 transcript:Potri.003G012000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012000.v4.1 MQQFSCYEEQICTDRIGMPVISKGFYGGTLSWLVLTRSRHKCRPSQIAQGSSVCDHCSEAEIKSLCRILFKSTCF >Potri.011G117300.1.v4.1 pep chromosome:Pop_tri_v4:11:14849042:14852875:1 gene:Potri.011G117300.v4.1 transcript:Potri.011G117300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117300.v4.1 MLSNTSFEAAPLLERSISSVEEGEKRRLRWKKVLDVEEAKNQILFSLPMILTNVFYYLITLVSVMFAGHLGELELAGATLANSWATVTGFAFMVGLSGALETLCGQGFGAKMYRMLGIYLQASCIISFIFCITISVIWFYTEPILLLLHQDAHVSMTAALYMKYLIPGLFAYGIMQNILRFLQTQSVVMPPVVFSLVPLCIHIGIAYALVHYTALGFKGAPLAASISLWISVLMLAIYVICAKKFEHTWGGFSFESFHYILHDLKLALPSAAMVCLEYWAFEILVFLAGLMPSSEISTSLIAICVNTETVAYMLTYGLSAAASTRVSNELGEGNPERAKNAMAVTLKLSVLLALLVVLALAFGHNIWAGLFSSSPTIAKEFASMAPFLAISITLDSVQGVFSGVARGCGWQHLAVYANLATFYCIGMPVACVLGFKLKLYVKGLWIGLISGLCCQAGTLLLITIRTNWTATDLSITKEKENPIVV >Potri.011G117300.5.v4.1 pep chromosome:Pop_tri_v4:11:14849131:14852875:1 gene:Potri.011G117300.v4.1 transcript:Potri.011G117300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117300.v4.1 MYRMLGIYLQASCIISFIFCITISVIWFYTEPILLLLHQDAHVSMTAALYMKYLIPGLFAYGIMQNILRFLQTQSVVMPPVVFSLVPLCIHIGIAYALVHYTALGFKGAPLAASISLWISVLMLAIYVICAKKFEHTWGGFSFESFHYILHDLKLALPSAAMVCLEYWAFEILVFLAGLMPSSEISTSLIAICVNTETVAYMLTYGLSAAASTRVSNELGEGNPERAKNAMAVTLKLSVLLALLVVLALAFGHNIWAGLFSSSPTIAKEFASMAPFLAISITLDSVQGVFSGVARGCGWQHLAVYANLATFYCIGMPVACVLGFKLKLYVKGLWIGLISGLCCQAGTLLLITIRTNWTATDLSITKEKENPIVV >Potri.008G171200.5.v4.1 pep chromosome:Pop_tri_v4:8:11830682:11832569:-1 gene:Potri.008G171200.v4.1 transcript:Potri.008G171200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171200.v4.1 MSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Potri.008G171200.2.v4.1 pep chromosome:Pop_tri_v4:8:11830714:11833712:-1 gene:Potri.008G171200.v4.1 transcript:Potri.008G171200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171200.v4.1 MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Potri.013G072600.2.v4.1 pep chromosome:Pop_tri_v4:13:5954311:5956530:1 gene:Potri.013G072600.v4.1 transcript:Potri.013G072600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G072600.v4.1 MGQVLDKFHGKQWREKQIRLIADKAYNHIKKHSGSANLTFEDLYIAVLLVYNDINKRLPGPHFDPPSKEQVRAMMQACDMNLDGELNHEEFVKFMQQLTADTFIVVSQGLIITLVVAPTVAMATKKATEGVPGVGKVVRKLPTSIYASLVTLAIVWFQTGRQDVD >Potri.007G031900.1.v4.1 pep chromosome:Pop_tri_v4:7:2459226:2462042:1 gene:Potri.007G031900.v4.1 transcript:Potri.007G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031900.v4.1 METERASKSSKWFSNKGLRLSLHRRRSKSSSTSSSPNSPMSPCTPKKDSTKEDELREVFRCFDSDGDGRISALELRAYFGSIGEYMSHEEAQLAINDLDADQDNLLDFQDFLRLMKREANDNTDDLKMAFEMFEMEKGSGYITPKGLQRMLRRLGDAKSYDECVAMIQVFDIDGNGVLDFYEFNQMMA >Potri.008G086300.2.v4.1 pep chromosome:Pop_tri_v4:8:5393883:5398880:-1 gene:Potri.008G086300.v4.1 transcript:Potri.008G086300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086300.v4.1 MSDHVVLDVDRLIKPPVTEESVQAIVKEADAGPSCSRASEGTDGRVSGEEGEEEPLIQGGECRICQEEDSISNLETPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPDYTAPPHPPHSEDTAIDIGGGWTISGTQLDLRDPRLLAIAEAERHFLEAEYDDYATSNASGAAFCRSVALILMALLLLRHALTLTDSDADDDVSTFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQQRGLQFTIAPGPTVTPHQEPV >Potri.016G052600.1.v4.1 pep chromosome:Pop_tri_v4:16:3411057:3413255:1 gene:Potri.016G052600.v4.1 transcript:Potri.016G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052600.v4.1 MAIIDGFLFFSFMVSIWFFCTFILKSLKNHTSSGTKIRHPPSPPALPIIGHLHLLGSVLGTSLHSLAQRYGPFIQLRMGVSTCYVVSDAEIAKEVLKTNEMNFVSRLQFDTTDCNIYEGSGFITAPYNAYWRFMKKLCMTRLLNTSQINQLVHLREDEMKKLVESMISISERGESCDLRQAIMTMTNNVICRMSMSTRCLGDGANNEAREIKDLVLQVSLLGGKLSAGNVLGPLAKLDLFGYGRQLRIALDKFDRLVERIIKEHEEKEMEGTVRSEGMDLMDILLEISRDPNAEMKLTKKEIKAFFLDIMMAGTDTSAISVQWVIAELINHPKVFKKLRDEINSVVGPNRLVRESDIPNLPYLHTVVKETLRLHPPSPVVLRASIEDCQINGFDVKANTRMLVNVYTIQRDPNLWKDPEEFIPERFAANHNTNSSQMEMKGQIFNFFPFGSGRRGCPGVTLALAVVQSSVAVLVQCFDWKAKDGEKIDMQEGSGFSMGMAKPLVCYPITHMNPFELGLDMAEPE >Potri.001G161200.1.v4.1 pep chromosome:Pop_tri_v4:1:13661360:13661551:-1 gene:Potri.001G161200.v4.1 transcript:Potri.001G161200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161200.v4.1 MGEIKFQVYNKCSTGAKTAPATRRSKHSFTNKCAALVKEQRARIYILRRCATMLLCWYIQGDD >Potri.010G114200.1.v4.1 pep chromosome:Pop_tri_v4:10:13385493:13389200:-1 gene:Potri.010G114200.v4.1 transcript:Potri.010G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114200.v4.1 MERILVFNIFCLALLIVLPTIWAEDNLISPSKLEKFVDELPDMPKIQSFEVVNGVFKSKPLEIGMFKKQWKFHRDLPPTPVYAYGTSKRNATIPGPTIEAIHGIDAFVTWQNHLPSNHILPWDPTIPTAVPRTKKGIPTVVHLHGSIGEPESDGHAHSWFTRRFKEVGPTWTKKEYQYPNLQHPGNLWYHDHAMGLTRVNLLAGLIGAYIIRDRDVETPLRLPCGDEFDRTLMVFDRSFLTNGSIYMSSTGNNPSIHPQWQPEYFGDVIIVNGKAWPRLTVRRRKYRFRIINASNARFFRFFFSNGLKFIHVAADSAYLEKPVITNETLLAPSEIADVMVDFSKSKSDSAILGNDAVYPYPSGDAVNEANGKVMKFIIKKEPELDTWRVPKTLVKYPHADLSSASKTRYIAMYEYTSDIDEPTHLYINGKQFDEPVTETPRVGTSEIWNVINLTEDNHPLHIHLGLFKVIDQTKLVKEEEFKACMLKLNDAIKCKIDQHARGDKIEVPAHEKGWKNVYKMTPGFVTKILVRFAFIHSNESYPFDAAAQPGYVYHCHILDHEDNVMMRPLKLIS >Potri.008G204301.1.v4.1 pep chromosome:Pop_tri_v4:8:14885391:14886492:-1 gene:Potri.008G204301.v4.1 transcript:Potri.008G204301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204301.v4.1 MERVFLDPEVLDQDPPPGIVGPPGPPAPPPPPPPHTSFEPPRPPPPLPEGGLPCPPGPPPQLLLGFHKSLPFNYPDTRRELHGHGLEKLLRSGMSISTCDSSILRGKQCITITYFAGIIAHQRLL >Potri.018G104100.1.v4.1 pep chromosome:Pop_tri_v4:18:12391950:12399475:1 gene:Potri.018G104100.v4.1 transcript:Potri.018G104100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104100.v4.1 MQQGDQTVLSLRPGGGRGSRLFNPRLEQSSSSTSSSISFGDLPLLRPHGGATSFKTGDLRFEGRERVRYTRDQLLQLREAVVIFDEILKIKQEIEAELFGEDQSWSRGETNPPTQSQIRYSEPDNRDWRGRSAQFSPSGEERSRDSIRENRDFGGRYDSRQQEGNQSNRQDQLNSQFARAQISSHQGGPAPALVKAEVPWSTRRVNLSEKERVLKTVKGILNKLTPEKFDVLKGQLIDSGITSTDILKGVISLIFDKAVLEPTFCPMYAQLCSDLNEKLPSFPSDEPGGKEITFKRVLLNICQEAFEGADKLREELRQMTAPEQELERRDKEKLVKLRTLGNMRLIGELLKQSMVPEKIVHHIVQELLGPDNKGCPAEESVEAICQFFNTIGKQLDESPKSRRINDVYFGRLKELASNPQLAPRLKFMVRGVLDLRMNNWIPRREEVKAKTITEIHSEAEKTLGLRPGATASIRNNRGLISTAPGTTGPGGFPINRPGTGGMMPGMPGTRRMPGMPGIDNDNREIPRNRPMPRGDGPMQLGGRVQSPMFNKSSLNTRLLPEGSGGFIGGRSSALLHGSGGPPSRPSNSGFGAEPPAQASLPSKTLPAPSVPPVSERPVVSAARVNPDELKRKTVSLLKEYFSVLLLDEALQCVEELKSPGYHPEVVKEAIFIALEANPPCVEPVAKLLEYLFSKKVLTAKDIGTGCLLYGSMLDDIGIDLPKAPNNFGEIIGKLVLVGGLDFKMVIEVLKKMEDDMYRRAMFDAAIRVIISSPDGQLVLDTQASAIEACRSLF >Potri.013G006250.1.v4.1 pep chromosome:Pop_tri_v4:13:423023:426161:1 gene:Potri.013G006250.v4.1 transcript:Potri.013G006250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006250.v4.1 MDPIQPPVENYANPKTCFFHVLFKAGALAFYILSTLFFNSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFESLDQESLARMNKKDSWLFWWTLYLAAAAWIVLGIFSLIRFEPDYCLIVGVCLTLSIANIVGFTKCRKDAKKQFQQFATQTIASRVSSTIQSAFSVV >Potri.016G016900.1.v4.1 pep chromosome:Pop_tri_v4:16:880231:882020:1 gene:Potri.016G016900.v4.1 transcript:Potri.016G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016900.v4.1 MKIAELVFIPFPAMGHVVPAVETAKLLVEFDNRVSTTVLLMKPAIDSSTIKYTESLAASTLPDRMRFIELPSLDELRSRKGIWLDSLIEGQKPHVREFVSKIVSKSDLSPDSPRLAGFVFDAFCTGMKDLADEFGVPWYVFSSSGAAFLGCLSYLQVLHDEQNMDITEFKNSDAMLEIPSFVNPMAARLLPSMTFRKDSVLVLVGAARRLREASGIVVNTFIELESYAVNSLSKIGIPPLYPVGPIVNVGSDKSNDNREIMEWLDDQPPSSVVFLCFGSLGSFCVDQVKEIAYALEHSGKRFLWVLQKPSKGKTESASDFQETLPEGFLDRTTELGKVIGWAPQAEILAHRAIGGFVSHCGWNSILESIYFGVPIAAWPIYAEQQFNAFQLVIELGLGGEIKIDYIEGSNSDGYEIVSADSIKKGIEGIMEDDSEIRKRVKNMSQVSKQALTAGGSSHSSLGRLIADVMSNIP >Potri.009G065100.2.v4.1 pep chromosome:Pop_tri_v4:9:6590543:6592250:-1 gene:Potri.009G065100.v4.1 transcript:Potri.009G065100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065100.v4.1 MAAMTDVEYYFITFLLVLTSTFILQYILRRLTKHSTHLCLPPIQQHINACTLHNLCSKYGPLLYLRLGSFPVLLVSSASMANEIFKTHDLNFAYKPKSPFEDSILFGTSSFRHAPYGDYWRFMKKLCLTELLGARQLERSRGVRREELVRFLRKAFEKAKKKEVVDLSKEIMTLTNNITYRMVMSARCSGQDNDVEKCVGLVRESFQLVAKMTLANLLGPLRKVGVFFFGEQLLDVPRRFDELLERIMEEHEERARRDGGEIENKDLMDIVLEAHHDKDAEVKISRTQMKSFFLDLFFGGTSTTAHSMQWLMAEMINHPQVFKKLREEIDSLVGRNRLVEDSDIPSLHYLQAVVKETLRLHPPVKRLPLLWQMSHPPLCLVEFLVVASFMPQLVAYFKACLSHQS >Potri.004G084800.8.v4.1 pep chromosome:Pop_tri_v4:4:7016687:7020570:1 gene:Potri.004G084800.v4.1 transcript:Potri.004G084800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084800.v4.1 MYNSVRQAAEVHRQVRKYMKSILKPGMLMMDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMFDPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIIQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Potri.004G084800.9.v4.1 pep chromosome:Pop_tri_v4:4:7017862:7020556:1 gene:Potri.004G084800.v4.1 transcript:Potri.004G084800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084800.v4.1 MKSILKPGMLMMDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMFDPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIIQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Potri.004G084800.4.v4.1 pep chromosome:Pop_tri_v4:4:7016025:7020570:1 gene:Potri.004G084800.v4.1 transcript:Potri.004G084800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084800.v4.1 MMASEDLKTQVPVEENGTKEEEKNGNLEASTSNENGDSSDVSSVIQKEDEEGEEEETKEVTKKKKKKNKSKKKKESPKQTDPPSIPVVDLFPSGEFPEGEIQQYKDDNLWRTTSEEKRELERLEKPMYNSVRQAAEVHRQVRKYMKSILKPGMLMMDLCETLENTVRKLISENGLQAGIAFPTGCSLNWVAAHWTPNSGDKTVLQYDDVMKLDFGTHIDGHIVDCAFTVAFNPMFDPLLEASREATNTGIKESGIDVRLCDVGAAIQEVMESYEVEINGKVFQVKSIRNLNGHSIGPYQIHAGKSVPIVKGGEQTKMEEGEFFAIETFASTGKGYVREDLECSHYMKNFDVGHIPLRLPRAKQLLATINKNFSTLAFCRRYLDRLGETKYLMALKNLCDSGIIQPYPPLCDVKGSYVSQFEHTILLRPTCKEVISRGDDY >Potri.002G191500.1.v4.1 pep chromosome:Pop_tri_v4:2:15356414:15356974:-1 gene:Potri.002G191500.v4.1 transcript:Potri.002G191500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191500.v4.1 MASPLCFISLILPLAVICACCPSRTAAIRFETKADAALVQSICKESQDPDFCNRTLAVDPRVAAASMDGLAMLSISLTIDQLQTTSDNIASILGQTSDPVGRQRLGVCRTDYKDALGQFRRASSSSDARAYWDVIDRVRDGTNKVIDCENIYKRDPISVSPITTDNHNVIKLSELTLIIVDKILPH >Potri.006G011500.2.v4.1 pep chromosome:Pop_tri_v4:6:767288:772113:1 gene:Potri.006G011500.v4.1 transcript:Potri.006G011500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011500.v4.1 MAEDLVLDTAIRDWVLIPLTVVMILIGILRYFVSKLMRSQQNPDAKVVREGQVVIRARNLRAGANFIPSKSFRARRAYFSNEENGLLHVPKGQGQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMSGFGMDPTKNLSAEKDGLDIIQHEWALPKFEQRAEAALKKLLS >Potri.006G011500.4.v4.1 pep chromosome:Pop_tri_v4:6:767372:769322:1 gene:Potri.006G011500.v4.1 transcript:Potri.006G011500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011500.v4.1 MAEDLVLDTAIRDWVLIPLTVVMILIGILRYFVSKLMRSQQNPDAKVVREGQVVIRARNLRAGANFIPSKSFRARRAYFSNEENGLLHVPKGQGQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMSGFGMDPTKVQT >Potri.013G064200.1.v4.1 pep chromosome:Pop_tri_v4:13:4755436:4759634:1 gene:Potri.013G064200.v4.1 transcript:Potri.013G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064200.v4.1 MTIEATVEPRREPTAHVNRLGFAKRPTKRKRSKKTKKCAPTMALQDLYVSCKEVFKGPGTVPLHQDVKRLCHMLDNMKLEDFGLSCKLEFFNPKAAVRGTPRVTYTIVYECDKFSMCVFFLPATAVIPLHNHPGMTVFSKLLMGTMHVKSYDWVDPPATDEPDSPAQVRLAKLEADSVFTAPCHTSVLYPTTGGNIHQFTAITPCAVLDVLGPPYSNEDGRDCSYYKDFPYTAFPNGEMGSEEEEGDCYAWLEEITVPENLQMFVIKYLGPQVDDSSS >Potri.011G099800.2.v4.1 pep chromosome:Pop_tri_v4:11:12837556:12853245:1 gene:Potri.011G099800.v4.1 transcript:Potri.011G099800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G099800.v4.1 MTVTVSSILVSIVYVAVVRWAWRVLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKESSDMLKEARTKPIGLSDALLPRVMPFLHQLVKDYGKNSFMWVGPKPRVNIMNPDQIRDVFMKINEYKKPSHPLLKLIVCGLASHEGEKWAKHRKIINPAFHQEKLKLMIPAFYESCSGMINKWEKLVSVDEGPCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTNLTAHVIIKAIVIPGYRFLPIPSNRRLKAIDKEIKASLNALINKREKAMSAGEDAKKDLLGLLLESNFREIQEHGNTKSVGMSIEDVIDECKIFYFAGQETTLVLLTWTMILLAQYPNWQARAREEVVQVFGNKKPHFDGLNHLKVVTMILYEVLRLYPPVITLNRDVHEEIKLGNLLLPAGVQVSLPTILLHQDHELWGDDASEFKPERFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRYSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.013G129900.1.v4.1 pep chromosome:Pop_tri_v4:13:13650849:13652473:-1 gene:Potri.013G129900.v4.1 transcript:Potri.013G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G129900.v4.1 MAQVIARLPLNYRSFEENVGFSDDPAYFTDIVFGFLEEQEVSPDGSCASGGEYNEEDEDEISPCNVEENKKFWDEQNQLLQATLYRTSSLEAKIRQATKDTLKEIDVVGMHCLCGKLVAGDCRDCLQREISIRLQNEGYNCAICKSKWKRSEEIPSGEHTFLEVVGKLSSKKGEVRVVIELNFRAEFEMAKANQEYNQLISRLPEVFVGKAERLTALIKILCSAAKKCMKEKKMHLGPWRKHKYMQSKWIATCERTTPAPLPGGFSDRTAKPRASMLTYDLLETLPVLHCTAVEVL >Potri.009G126300.1.v4.1 pep chromosome:Pop_tri_v4:9:10475892:10476470:-1 gene:Potri.009G126300.v4.1 transcript:Potri.009G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126300.v4.1 MAIRLTGSLAKQIFRRSSKSFDVPKGFVAVYVGETEKKRFVVPVSYLNQPIFQDLLCKAEEEFGFDHPMGGLTIPCREDTFIHVTSSLSRS >Potri.018G006200.7.v4.1 pep chromosome:Pop_tri_v4:18:504395:511401:-1 gene:Potri.018G006200.v4.1 transcript:Potri.018G006200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006200.v4.1 MYGVSRPPNRLTEFKSGGKIVRPRRATTPRPTPYDRPTPRLSPDSTPQNPNWLSRLIFSPSRLLANGAGKVFSSVFGSESSDSSSGDEDDDEDSDAVSTSEGEMEDTIEDGDEGSASSLSGEKKNQTTEIVHYSKKDLPAVEWRTGTMRLIAQLLTQETFTREECDRLTHIIKSRVVDSPIIRGTEDGRLSEVLDKTAGDDVDTPDLRNTAVKEAKKWFEGKKLGPNSKPVECGICTLNTAPHVTEEEAGSPVDLAKSYMQARPLWASPSTNHIQLQSPSSMGKELFKEATPFSVGGKSLSPSKLNWDSPVTGSWNIQEELRKVRSRATEEMLRTRPSSKIDWSALASVYKGGPSLLCTGEVGGAKDKFSNFTQLVDVPLTWGSAATTSGLTDSQMAQDKLQNEAFPPNAATSVPEKSQDLGSTPTIECRAGLPDGSEAISSHVQQQQLSEEVIVKQSADANIAAPAPAPGLGDVEETSHPSSSMAETVRDSMLLEVNYIASKEVAGRDDAFTTNGCPSSAYSLPAVHYGEQKSMLSGKEHSLVGPDHDKVTRTAPGEETCELLSEASMEVPNDNEIVGFANDSEDSSSMYQGGLVQAVARPNPKRRSVSRTTGAKQQGRNVRSRDNRRGRGRGYIQLIEIASHAQINTCSSYVFFQASKEREKKVILIRAGPDSGTDPPYINLQPDRSLADQYNRHSMPSLT >Potri.018G012700.1.v4.1 pep chromosome:Pop_tri_v4:18:825256:828196:-1 gene:Potri.018G012700.v4.1 transcript:Potri.018G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012700.v4.1 MSMPLDLIKNVEVQAILGPTTSMQANFVIDLGEKAQVPIISFSASSDSLTSTRSPYFFRATQNDSSQVNGIGALVQAFGWNEAVPIYMDNEYGEGVIPYLTDALQAVDAHVPYRSVISPSATDDQIAEELYKLMTMQTRVFIVHMFASLGARLFVKAKEIGMMSKGYVWIMTDGPTVGLSTSPDPSVIDSMQGVLGIKPYIPKTTELGNFRLRWKRKFQQDNADMVDAELNIYALQAYDATVALAMAIEKAGTTNLGFREANVSNTSVTDLENLGISQNGPNLLRALSNTRFKGLTGDFFFINGQDSQKPLIANTTGTYSTSKSSLAPVIWAGDSTSIPKGWEIPTNGKKLRIGVPSSSNFGQFVKDTTDLNSNMTTVTGYCIAIFEAAVAALPYAITYEYIPFVKPGGKSAGTYNDLVYQVYLKSYDAVVGDTTIIANRSKYVDFTLPYTESGVSMIVPIKDSRNKNAWVFLKPLTWDLWVLEHGMNEDFQGPLSHQIGTSFWFSFSTMVFAHRERVVSNLARIVVTVWCFVVLILTQSYTASLSSVLTVQQLQPTVTNVDELIKKGEFVGYQQNSFVLGILKNLGFDDSRLMAYNTPEECDKLFSRGSGNGGIAAAFDEVPYMKFFLSMYCSKYTIIEPTFKTGGFGFVFPKGSPLVADVSRAVLNVTEGDKKKEIEDAWLGTQSSCQESSTSVSSNSLSLKSFWGLFLITGIASISALMIFTTIFVYEHRAVLLQPSDLRATTWSRVLVLFRIFIQMDLTSSRSELNPIHIAIPGDLPPADNDDDQDPNAQQPDQEEVQNINQLVLPNQEKL >Potri.014G132050.1.v4.1 pep chromosome:Pop_tri_v4:14:8828013:8828846:-1 gene:Potri.014G132050.v4.1 transcript:Potri.014G132050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132050.v4.1 MTFLMVSFSAKLSLLKGHISTCVSIGQAEYEYHFKHIVLPFPSLLMNLHMVLSQEQPNSLQCEAVYRIRIINYRYHLLIRFG >Potri.015G067700.1.v4.1 pep chromosome:Pop_tri_v4:15:9341312:9343786:1 gene:Potri.015G067700.v4.1 transcript:Potri.015G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067700.v4.1 MVRPPCCGKFNVKRGLWTADEDAKILAHVAKHGTGNWTAVPKKAGLQRCGKSCRLRWTNYLSPDLKHDNFTPQEEEMIIRLHAAIGSRWSIIAQQLPGRTDNDVKNCWNARLRKKLSEMGIDPVTHKPFSKILADYGNIGGLVKSGSRIGSLSRDLKNVFALKPEQYNSILPEGISNINSHLMTRMVPRKMEPVQECFLNKFNNDSTNSNHSLDLLDQLQAIKLVTEASSTCAEYQTMLVPNYNILDEGSLSSSTCSTETQENLPTSFRWCDFLLEDQFLPSDPQAEQENAAELSSKDLTNQTQNVIVTSQGQNPNTMKPQCDQIIAEASVGVNGVDLAVQNNSGFGDQVAPSSSQHSSFVETIIDGENKIFLDFPNLLEELFNY >Potri.017G108100.1.v4.1 pep chromosome:Pop_tri_v4:17:11682546:11685097:-1 gene:Potri.017G108100.v4.1 transcript:Potri.017G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108100.v4.1 MRHSWRILLYRSYPRSSLKFSNHFQVLQQHSPPPLRSLSSSLHTLSLQDSHFSKTPQKPNIYNKNPLFARNLSSEPSLVEPTKDPDLVLLVCDVFTKFEDSDDINKELELSSVVISHDLVLKVLKSLGSKPGVAKRFFDWVLKKDSERLSSKSYNWMLGILGVNGLVVEFWELVDKMKTKGYGVSGVTRDRVLEKFENEGLKGDIEKLKGVFATGSIDNSVEKIGLRMSRIVRSKFWGEDVEGEIKGLSAEFSSGLVKIVLEHLAIEPMKALIFFRWVEESELCKHDGGSYNAMARVLGSKDCIDRFWKVIDEMRSNGFEMEVETFDTVLAWFMRRKMIKEAVDLYEFAMNGANKPSSKYCTYLLRNIVVCKQLDIGLFSRIVKVFTENGNVLTDSMLDAVLKALRSVGRFKECNKILREMMVAGFVASGNLQRKIAFGLTSAGKNYEASEFVDHMESSGSDLDYKAWASLIEGHCVSGDLEKASDCFKTMVEKKGVTGAGYAVELLVNAYCLKNRAGDACNLLCDYVCQNQLHPWRTTYKVLISKLLAQGGFKDSLNLLGLMQSHGIPPYIDPFFEFVSKSGTGDDAIAFMNAMTTKKFPSISVSLRLFEAFFNAKRHSEAQDFLSKCPVFIRNHADVLTLFCSMKSSKDTAAATVSV >Potri.013G104150.1.v4.1 pep chromosome:Pop_tri_v4:13:11314038:11314172:-1 gene:Potri.013G104150.v4.1 transcript:Potri.013G104150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104150.v4.1 MQIVLYVLFILLFESVIGDLSHTRGIPFFPLLIPFISGMLEILP >Potri.005G023600.2.v4.1 pep chromosome:Pop_tri_v4:5:1495444:1498051:-1 gene:Potri.005G023600.v4.1 transcript:Potri.005G023600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023600.v4.1 MFKKFSSDEVSSQNQVKASVQRKIRQSIADEYPGLEPVLDDFLPKKSPLIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPNIMKKLQVDRGAIKFVLAGANIMCPGLTSPGGALDDEVDAETPVAIMAEGKQHALAIGFTKMSAKDIKSINKGIGVDNMHYLNDGLWKMERLD >Potri.002G052800.8.v4.1 pep chromosome:Pop_tri_v4:2:3574962:3575048:-1 gene:Potri.002G052800.v4.1 transcript:Potri.002G052800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052800.v4.1 MIQPLVLPRLQDEVTKPCQCIICNPTFV >Potri.002G052800.2.v4.1 pep chromosome:Pop_tri_v4:2:3574447:3577322:-1 gene:Potri.002G052800.v4.1 transcript:Potri.002G052800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052800.v4.1 MEDLSPDTGSADSEIYQTLGLKVLRKGVFKVPRVLMLLSSLLERSVQKNEILMEKTQIKDVVTIFHGLRPPPVSIQQYVDRIFKYSACSPSCFVVAHVYVDRFLQQTDIHLTSLNVHRLLITSVMIAAKFVDDAFFNNAYYARVGGVSKEELNRLEMKFLFSIDFRLQVNVNTFGKYCYRLEKESSDGLQIERPIQACRIKESWSSKDDSTTCASTIAR >Potri.002G052800.1.v4.1 pep chromosome:Pop_tri_v4:2:3574518:3577179:-1 gene:Potri.002G052800.v4.1 transcript:Potri.002G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052800.v4.1 MNQDSQYSLSFSLLCSVYLLRQRETSPQEFYMEDLSPDTGSADSEIYQTLGLKVLRKGVFKVPRVLMLLSSLLERSVQKNEILMEKTQIKDVVTIFHGLRPPPVSIQQYVDRIFKYSACSPSCFVVAHVYVDRFLQQTDIHLTSLNVHRLLITSVMIAAKFVDDAFFNNAYYARVGGVSKEELNRLEMKFLFSIDFRLQVNVNTFGKYCYRLEKESSDGLQIERPIQACRIKESWSSKDDSTTCASTIAR >Potri.004G016400.1.v4.1 pep chromosome:Pop_tri_v4:4:1188628:1192531:1 gene:Potri.004G016400.v4.1 transcript:Potri.004G016400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G016400.v4.1 MDSDTSRLSSKVSHHDETVSNELEEILTNNQSPYSKRLRLASWVELKLLFQLAAPAVIVYLLNNVISMSTQIFCGHLGNLELAAVSLGNTGIQVFAYGLLLGMGSAVETLCGQAYGANRYEILGIYLQRSTILLMLTALPLMVIYIFSKPILILLGEPVNIASAAAVFVRGLIPQIFAYAANFPIQKFLQSQSIIAPSAYISVGALVVHLFLTWLAVFKWDWGLLGASLVLSLSWWIIVVAQFAYIAMGKTCRNTWKGFSLQAFNGLWRFFKLSAASAVMLCLETWYFQILVLIAGLLKNAEVALDSLSVCTTISGWVFMISVGFNTAASVRVSNELGAGHPKSASFSVVVVTLCSFIISVIAAIIVMIFRDSMSYIFTEGEVVAKAASDLSPFLAATLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYLLGIPLGVLLGFKFDLGAKGIWSGMLGGTLLQTMILLWVTLRTDWNKEVENAKNRLNTWDDKRQPPLQE >Potri.004G016400.2.v4.1 pep chromosome:Pop_tri_v4:4:1188735:1192553:1 gene:Potri.004G016400.v4.1 transcript:Potri.004G016400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G016400.v4.1 MDSDTSRLSSKVSHHDETVSNELEEILTNNQSPYSKRLRLASWVELKLLFQLAAPAVIVYLLNNVISMSTQIFCGHLGNLELAAVSLGNTGIQVFAYGLLLGMGSAVETLCGQAYGANRYEILGIYLQRSTILLMLTALPLMVIYIFSKPILILLGEPVNIASAAAVFVRGLIPQIFAYAANFPIQKFLQSQSIIAPSAYISVGALVVHLFLTWLAVFKWDWGLLGASLVLSLSWWIIVVAQFAYIAMGKTCRNTWKGFSLQAFNGLWRFFKLSAASAVMLCLETWYFQILVLIAGLLKNAEVALDSLSVCTTISGWVFMISVGFNTAASVRVSNELGAGHPKSASFSVVVVTLCSFIISVIAAIIVMIFRDSMSYIFTEGEVVAKAASDLSPFLAATLILNGIQPVLSGNNL >Potri.015G125600.1.v4.1 pep chromosome:Pop_tri_v4:15:13811430:13812756:1 gene:Potri.015G125600.v4.1 transcript:Potri.015G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125600.v4.1 MDLETEELQFFTIPEILKESISIPKQSPKTFKLITLALIFPLSFAILAHSLFTHPLFSQIQDHPSRQHTHQWTLLLVFQFFYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSSTMSAIPRVFKRLFMTFLWVSLLMLVYYSVFFLFLVILIIGIDIQNALLVLFSLVVIGVLFLVVHVYITGLWHLASVVSVLEPIYGFAAMKKSYELLKGKIRVAGVLVFGYLSICGLVSVIFSTVVVHGGDNHGVFTRIIVGGFLVGVLVIVNLVGLLVQSVFYYVCKSYHHQGIDKTALHEHLGGYLGEYVPLKSSIQMENLDA >Potri.006G236300.1.v4.1 pep chromosome:Pop_tri_v4:6:23840166:23843589:-1 gene:Potri.006G236300.v4.1 transcript:Potri.006G236300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236300.v4.1 MDRKQLQHQVASTRRSLFDQGYLDDQFIQLEHLQDEANPNFVEEVVRLFYSDSVRLIQNIEQAMINKPNIDFGKLDDYMHQFKGSSSSIGAKKVMKECSKFREYCYAGNIEGCIKTFQLLKQEHATLRRKLETYFQLVKQAGLAESA >Potri.003G169700.6.v4.1 pep chromosome:Pop_tri_v4:3:17838557:17849838:1 gene:Potri.003G169700.v4.1 transcript:Potri.003G169700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169700.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGSLAKILKRHGSYFEEKTALSNGANDAELYHGKYEKKIKSFAELLQTVQRQVGNSNFEELTLSDLEQTEMQLDAALRRTRARKTELMLETINALNDKEKTLREENQRLQTQ >Potri.003G169700.2.v4.1 pep chromosome:Pop_tri_v4:3:17838558:17849837:1 gene:Potri.003G169700.v4.1 transcript:Potri.003G169700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169700.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGSLAKILKRHGSYFEEKTALSNGANDAELYHGKYEKKIKSFAELLQTVQSIHRQVGNSNFEELTLSDLEQTEMQLDAALRRTRARKTELMLETINALNDKEKTLREENQRLQTQVVAMNNDNETNGMMSGSFEPLFGLLKQ >Potri.003G169700.3.v4.1 pep chromosome:Pop_tri_v4:3:17838557:17849838:1 gene:Potri.003G169700.v4.1 transcript:Potri.003G169700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169700.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGSLAKILKRHGSYFEEKTALSNGANDAELYHGKYEKKIKSFAELLQTVQSIHRQVGNSNFEELTLSDLEQTEMQLDAALRRTRARKTELMLETINALNDKEKTLREENQRLQTQ >Potri.003G169700.4.v4.1 pep chromosome:Pop_tri_v4:3:17838558:17849837:1 gene:Potri.003G169700.v4.1 transcript:Potri.003G169700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169700.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGSLAKILKRHGSYFEEKTALSNGANDAELYHGKYEKKIKSFAELLQTVQRQVGNSNFEELTLSDLEQTEMQLDAALRRTRARKTELMLETINALNDKEKTLREENQRLQTQVVAMNNDNETNGMMSGSFEPLFGLLKQ >Potri.003G169700.5.v4.1 pep chromosome:Pop_tri_v4:3:17838558:17849837:1 gene:Potri.003G169700.v4.1 transcript:Potri.003G169700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169700.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGSLAKILKRHGSYFEEKTALSNGANDAELYHGKYEKKIKSFAELLQTVQRQVGNSNFEELTLSDLEQTEMQLDAALRRTRARKTELMLETINALNDKEKTLREENQRLQTQLLSSGKC >Potri.003G169700.1.v4.1 pep chromosome:Pop_tri_v4:3:17838558:17849837:1 gene:Potri.003G169700.v4.1 transcript:Potri.003G169700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169700.v4.1 MGRKKVELKRIEKKICRQITFSKRRNGLIKKARDLSLLCDVQVALLVFSSSGKLYEFSSAGSLAKILKRHGSYFEEKTALSNGANDAELYHGKYEKKIKSFAELLQTVQSIHRQVGNSNFEELTLSDLEQTEMQLDAALRRTRARKTELMLETINALNDKEKTLREENQRLQTQLLSSGKC >Potri.005G027900.1.v4.1 pep chromosome:Pop_tri_v4:5:1775535:1778752:1 gene:Potri.005G027900.v4.1 transcript:Potri.005G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027900.v4.1 MKMDADMMLNEKLKKIDDVITKKKMIMDGHPVIPFTPAEKMIAYECVYTLCTRKRRDSCSLVYEKYTNCLSERIQERVLPVLMDKHGTELLTEITRLWLEYKEFASFLSKTFADLDYFYIRRKRHPSLADFMRYYFCNLVCDELFSKLQEAMMRLIIQEREGGQIDRNLLKKVLDFFLGAGGNGTTDYYEKLEQIMLAEAAAYYSQLSLEWWFWGDSFSNYLRKVDRCLNQEEARAEFYLSQTTKTKLLDVMKYVLLERNAKKWAQKQNAEGMEAEDQELLSKYASLKLE >Potri.005G027900.3.v4.1 pep chromosome:Pop_tri_v4:5:1776291:1778717:1 gene:Potri.005G027900.v4.1 transcript:Potri.005G027900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027900.v4.1 MVLPVLMDKHGTELLTEITRLWLEYKEFASFLSKTFADLDYFYIRRKRHPSLADFMRYYFCNLVCDELFSKLQEAMMRLIIQEREGGQIDRNLLKKVLDFFLGAGGNGTTDYYEKLEQIMLAEAAAYYSQLSLEWWFWGDSFSNYLRKVDRCLNQEEARAEFYLSQTTKTKLLDVMKYVLLERNAKKWAQKQNAEGMEAEDQELLSKYASLKLE >Potri.005G027900.2.v4.1 pep chromosome:Pop_tri_v4:5:1776291:1778717:1 gene:Potri.005G027900.v4.1 transcript:Potri.005G027900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027900.v4.1 MKMDADMMLNEKLKKIDDVITKKKMIMDGHPVIPFTPAEKMIAYECVYTLCTRKRRDSCSLVYEKYTNCLSERIQERVLPVLMDKHGTELLTEITRLWLEYKEFASFLSKTFADLDYFYIRRKRHPSLADFMRYYFCNLVCDELFSKLQEAMMRLIIQEREGGQIDRNLLKKVLDFFLGAGGNGTTDYYEKLEQIMLAEAAAYYSQLSLEWWFWGDSFSNYLRKVDRCLNQEEARAEFYLSQTTKTKLLDVMKYVLLERNAKKWAQKQNAEGMEAEDQELLSKYASLKLE >Potri.004G158150.1.v4.1 pep chromosome:Pop_tri_v4:4:17901929:17902054:1 gene:Potri.004G158150.v4.1 transcript:Potri.004G158150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158150.v4.1 MSPILSEIFITGCMINSTFRRRTHLVQSFSVVFLYWLYYVS >Potri.003G171250.1.v4.1 pep chromosome:Pop_tri_v4:3:17967214:17967958:1 gene:Potri.003G171250.v4.1 transcript:Potri.003G171250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171250.v4.1 MSVPETAKKKGKDYPVGPVLLGFFMFVVTGSSALFKVIRTATGGGMA >Potri.013G025300.1.v4.1 pep chromosome:Pop_tri_v4:13:1610677:1613933:-1 gene:Potri.013G025300.v4.1 transcript:Potri.013G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025300.v4.1 MNKQEVMKMQTHILKVNIECHCDGCKKKIKKLLQKIEGVYTTTVNAEQGKVTVTGNVDPAKLVKKLEKSGKHAELWGGQKGSNNFQNLNNQFKNMQMGGGCGGGGGKDNKSGKGGKGQQGQQVQMMQQQLKGSKDPKMPQNKDQKSVKFNLNEDDFDASDDEFDDEFDDEFDDEFDDEFDEDEEEFGHGHGHGLPNKMMPMMGNGHGPNGMPINKMMPMMGNGHGPNGMPINKMMPMMGNGHGPHGMPINKMMPMMGNGHGPNGMPNKMMPMMGNGHGPHGMPNNMMAMMGNGHGPHGMPNKMMPMMGNGHGPHGMMSGPGFIDKKGGGGGGGGGGGKGKKGGGGGDDVFEIPVVMKGKGDNKDGKDGKGGKKGGGGDDKNGKSKGENKKQDGKDKKDSKRGGGFLGFGKKSKKEDDSSTHKTATNNGSAGVHGNNNGNGPKKGAGKNGGVHDINKMKQGFPEIDGAGNGGHKNMVHVGQMGPMGPMGPMGPMNTMGPMGNYARMGNVPTVQGLPAPGAMNGGYYQGMVGQGQGQGQGQGNPYNQQYMAMMMNQQRQQQGNEMFQPMMYARPQPAVNYMPPPMPPSMATDQYTHFFSDENTESCRIM >Potri.013G025300.5.v4.1 pep chromosome:Pop_tri_v4:13:1610677:1613914:-1 gene:Potri.013G025300.v4.1 transcript:Potri.013G025300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025300.v4.1 MNKQEVMKMQQTHILKVNIECHCDGCKKKIKKLLQKIEGVYTTTVNAEQGKVTVTGNVDPAKLVKKLEKSGKHAELWGGQKGSNNFQNLNNQFKNMQMGGGCGGGGGKDNKSGKGGKGQQGQQVQMMQQQLKGSKDPKMPQNKDQKSVKFNLNEDDFDASDDEFDDEFDDEFDDEFDDEFDEDEEEFGHGHGHGLPNKMMPMMGNGHGPNGMPINKMMPMMGNGHGPNGMPINKMMPMMGNGHGPHGMPINKMMPMMGNGHGPNGMPNKMMPMMGNGHGPHGMPNNMMAMMGNGHGPHGMPNKMMPMMGNGHGPHGMMSGPGFIDKKGGGGGGGGGGGKGKKGGGGGDDVFEIPVVMKGKGDNKDGKDGKGGKKGGGGDDKNGKSKGENKKQDGKDKKDSKRGGGFLGFGKKSKKEDDSSTHKTATNNGSAGVHGNNNGNGPKKGAGKNGGVHDINKMKQGFPEIDGAGNGGHKNMVHVGQMGPMGPMGPMGPMNTMGPMGNYARMGNVPTVQGLPAPGAMNGGYYQGMVGQGQGQGQGQGNPYNQQYMAMMMNQQRQQQGNEMFQPMMYARPQPAVNYMPPPMPPSMATDQYTHFFSDENTESCRIM >Potri.008G012050.1.v4.1 pep chromosome:Pop_tri_v4:8:613381:613464:-1 gene:Potri.008G012050.v4.1 transcript:Potri.008G012050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012050.v4.1 MPMMFFHFLKIIFDISTSKRSKMYKPY >Potri.004G132700.1.v4.1 pep chromosome:Pop_tri_v4:4:15316555:15319094:-1 gene:Potri.004G132700.v4.1 transcript:Potri.004G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132700.v4.1 MALFCIRIAIIHIFLLLFLTSSDNYGFLRGVTSLGINYGQVGNNLPQPEKVLDLLSSLKLTKARIYDTNPQVLTAFANSNVELIVTVENQMLAVLMDPQQALQWVSNHIKPYFPATRITGIAVGNEVFTDSDTTLLASVVPAIVSIHGALAQLGLDTYIQVSTPNSLAVLAESYPPSAGSFKTEVSGIMSQYLQFLSSTKAPFWINAYPYFAYKDKPDEVPLDYVLFNPNAGMVDPYTKLHYDNMLYAQVDAVLFAIARMGFGGIEVGVSETGWPSKGDADEVGAIVDNAAAYSKNILRRQLKNEGTPLRPNMKLEVYLFALFNEDMKPGPTSERNYGLFQPDCTMVYNVGISALSSPSSTSSASISDLASSATKDTSMESLVYLQFMIFLTFHVFMRRAY >Potri.003G123300.3.v4.1 pep chromosome:Pop_tri_v4:3:14382613:14386821:1 gene:Potri.003G123300.v4.1 transcript:Potri.003G123300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123300.v4.1 MSSTTTPISTATTTTPTRTGSSDDIIDTTPFLSPGQQNEPNPNSSRRSIRRQSLRDAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVILDIIWNFAFVAVAAGVLVLSRKENPGVPLRLWILGYGLQCVLHMVCVCVEYRRRRRRRVGFGGGGGGGDGGIGSDGNSSSGSRGDYGEYVSLAQLEDDGTSVAKHLESANTMFSFIWWIIGFYWVSTGGQALALGSPQLYWLCIVFLGFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASKEDIDQLAKFKFRRDGDIDKLTGDDQGCSGGIMTECGTDSPMEHVLSGEDAECCICLSAYEDGAELRQLPCGHHFHCTCVDKWLYINATCPLCKYDILKSTSQDREEV >Potri.003G123300.2.v4.1 pep chromosome:Pop_tri_v4:3:14382633:14386834:1 gene:Potri.003G123300.v4.1 transcript:Potri.003G123300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123300.v4.1 MSSTTTPISTATTTTPTRTGSSDDIIDTTPFLSPGQQNEPNPNSSRRSIRRQSLRDAARFLRRASSRRMMREPSMLVRETAAEQLEERQSDWAYSKPVVILDIIWNFAFVAVAAGVLVLSRKENPGVPLRLWILGYGLQCVLHMVCVCVEYRRRRRRRVGFGGGGGGGDGGIGSDGNSSSGSRGDYGEYVSLAQLEDDGTSSVAKHLESANTMFSFIWWIIGFYWVSTGGQALALGSPQLYWLCIVFLGFDVFFVVFCVALACVIGIAVCCCLPCIIAILYAVTDQEGASKEDIDQLAKFKFRRDGDIDKLTGDDQGCSGGIMTECGTDSPMEHVLSGEDAECCICLSAYEDGAELRQLPCGHHFHCTCVDKWLYINATCPLCKYDILKSTSQDREEV >Potri.014G075600.2.v4.1 pep chromosome:Pop_tri_v4:14:4886193:4891165:1 gene:Potri.014G075600.v4.1 transcript:Potri.014G075600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075600.v4.1 MVRPTQDTIETFMRITGASDSLAVRKLEEYGGNLDQAVNAHFIELEANARNQLSAASPQNNFADTRNQMQSGQRGILPFVSAARSFRPSLLLDPNYRRNLYSQIGASVFPTSRGPQFSPNGEINNGHGQLYHSGPGHGVGGVSGTSLTHGSQIHGRSTRDAESHHYGDDVEEEMIQMAIEASTQEGQLHVEDDEFARALELSLKTAEQEKAMRDQTLEDRKLQGVHGSSRRAEKTNHVREKKSKPESSTLKARAKHGQEQQLWGCISSKEFDEAMQLEEALFGEIPEETLSRRPLRQQGVPDKSKGLNQQLPLPSPSHVAQQLLNQQNDEYLASLLADGEKDVDVLKGAETSCSKEGESQNKMLQGEEFERLLAAKEASLKQEPAPDDKNAVNLLVRMPDGNRHGRRFLKSDKLQLLFDFIDVGRAVKPGTYRVVRPYPRRAFSVSDISLSLNELGLTNKQEALFLELI >Potri.014G075600.1.v4.1 pep chromosome:Pop_tri_v4:14:4885981:4891228:1 gene:Potri.014G075600.v4.1 transcript:Potri.014G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075600.v4.1 MVRPTQDTIETFMRITGASDSLAVRKLEEYGGNLDQAVNAHFIELEANARNQLSAASPQNNFADTRNQMQSGQRGILPFVSAARSFRPSLLLDPNYRRNLYSQIGASVFPTSRGPQFSPNGEINNGHGQLYHSGPGHGVGGVSGTSLTHGSQIHGRSTRDAESHHYGDDVEEEMIQMAIEASTQEGQLHVEDDEFARALELSLKTAEQEKAMRDQTLEDRKLQGVHGSSRRAEKTNHKSKPESSTLKARAKHGQEQQLWGCISSKEFDEAMQLEEALFGEIPEETLSRRPLRQQGVPDKSKGLNQQLPLPSPSHVAQQLLNQQNDEYLASLLADGEKDVDVLKGAETSCSKEGESQNKMLQGEEFERLLAAKEASLKQEPAPDDKNAVNLLVRMPDGNRHGRRFLKSDKLQLLFDFIDVGRAVKPGTYRVVRPYPRRAFSVSDISLSLNELGLTNKQEALFLELI >Potri.006G064800.4.v4.1 pep chromosome:Pop_tri_v4:6:4631276:4635514:1 gene:Potri.006G064800.v4.1 transcript:Potri.006G064800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064800.v4.1 MATLSCSPLSFLLLAALVSTLFFVCLSPFNYQTIKVSSRLSSFYTYNYTFERLQPLPSPVALQPPPNTTVGDDQEITNWRNKGKISERLQPSPAPISLPVQNSSVVFSGPGIPVITRHEKKIKTGFERIEEGLAIARAAIYKAVRSQNSSSYKKGSYVPRGAMYRNQYAFHQSYEEMEKRFKVWIYKEGELPVVHGGPVNDIYSIEGQFLDEMESGKSQFIARHPEEAQAFLLPVSVAYIIHYVYRPRITFSRDQLQRLVTDYVRVIADKHPYWNRTHGADHFSVSCHDWAPDVSRADPGLFKYFIRALCNANTSEGFQPQRDVSIPEIFLPVGKLGPPQEYAQPPSKRSILAFFAGGAHGHIRKILLERWKEKDDEIQVHEYLTRKNKKNSNLYFELMGQSKFCLCPSGHEVASPRVVTAIQLGCVPVTISDNYSLPFSDVLDWSKFSVDIPSEKIPDIKIILKGISVRRYLTMQRRVMQIRRHFTLNRPAQPYDMLHMILHSVWLRRLDVKLP >Potri.006G064800.1.v4.1 pep chromosome:Pop_tri_v4:6:4631273:4635413:1 gene:Potri.006G064800.v4.1 transcript:Potri.006G064800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064800.v4.1 MATLSCSPLSFLLLAALVSTLFFVCLSPFNYQTIKVSSRLSSFYTYNYTFERLQPLPSPVALQPPPNTTVGDDQEITNWRNKGKISERLQPSPAPISLPVQNSSVVFSGPGIPVITRHEKTGFERIEEGLAIARAAIYKAVRSQNSSSYKKGSYVPRGAMYRNQYAFHQSYEEMEKRFKVWIYKEGELPVVHGGPVNDIYSIEGQFLDEMESGKSQFIARHPEEAQAFLLPVSVAYIIHYVYRPRITFSRDQLQRLVTDYVRVIADKHPYWNRTHGADHFSVSCHDWAPDVSRADPGLFKYFIRALCNANTSEGFQPQRDVSIPEIFLPVGKLGPPQEYAQPPSKRSILAFFAGGAHGHIRKILLERWKEKDDEIQVHEYLTRKNKKNSNLYFELMGQSKFCLCPSGHEVASPRVVTAIQLGCVPVTISDNYSLPFSDVLDWSKFSVDIPSEKIPDIKIILKGISVRRYLTMQRRVMQIRRHFTLNRPAQPYDMLHMILHSVWLRRLDVKLP >Potri.004G049800.1.v4.1 pep chromosome:Pop_tri_v4:4:4030772:4031592:-1 gene:Potri.004G049800.v4.1 transcript:Potri.004G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX11 MQEAIPFRAYSPATTAGNRRLPGRDSCDHGGANSTSAGHVLIVTNGQESHVQKLVSENSIAIFGKRGCCMCHVVKKLLLGLGVNPPVFEVEEKEEDYVIKALSMIKGGKDADQVQFPVVFVGGKLFGGLERIIASHITGELVPILKDAGALWL >Potri.004G173600.1.v4.1 pep chromosome:Pop_tri_v4:4:18882803:18885838:1 gene:Potri.004G173600.v4.1 transcript:Potri.004G173600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173600.v4.1 MGSAEEANKPTSPYDSSSPSQPLLFKPPSTEEPNQPDQPESDPTQYLQISYNYGPRPFKDLPFLILFVLVVLCTFGFGIFCVFHKNPNYSNLSSYKYDLNSTSCVKGSNFNGFYESRFDFYVLSSSGSGFLKSLIWTLVVTLILSVPICFLLLLLLKHYTKQIVYVSLPFFIVIPIFFNVYWFVACTVSSSCTDAFPLVYRILVFVFVFLIIGIIVWIFVANWHRIELTVMIIGVASDALSKNLGLFVVIPLLTLGLVAYYVPIVVFLVFGRLNGKIVPKESNGEYRCAWKQDSWVPAYYTLAILTMLWSLTVLVEAQVYVISGTIAQWYFTGEDSKPRRSIRSSLRNAFGPSSGTVCLSGLLICVVRFVRAVVDSARQEDIPGMVNFVLRCCVNALLSAVDFLNKFTINFAAITGEAYCTSARMTYELLKRNLLSAVFVETVSTRLLAGIAFILSSIYAIVVCAILKGASNIGFYSYVVAVLAWVLLIIVLGSFVHVLDNVIETVYICYAVDRDRGEVYKPEVHEVYALLTSSRNHRSPTAPTAPLV >Potri.014G113000.4.v4.1 pep chromosome:Pop_tri_v4:14:7604389:7609153:-1 gene:Potri.014G113000.v4.1 transcript:Potri.014G113000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113000.v4.1 MATFIGGFSVAFYKGWLLAVVMLSAIPLLVLAGASMALFISKMAARGQNAYAEAANVVEQTIGGIRTVASFTGEKRAINIYNQLLVIAYRSGVQEGIFSGFGVGVVMLIVFCSYAVAVWFGAKMVLEKGYTGGEVINVIVAVLTGSMSLGQASPCMSAFSAGRAAAYKMFETINRQPEIDAYDKRGKVLDDFHGDIELRDVYFSYPARPDEPIFSGFSLSIPRGTTAALVGHSGSGKSTVISLLERFYDPLSGEVLIDGINIKELQLKWIREKTGLVSQEPVLFASSIKENIAYGKDGATNEEIRAAAELANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDNIMVDRTTVIVAHRLTTVRNADMIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEMNKGSEQAALESEITMESFRQSSQRRSIRRSISRGSSIGSSRHSFTLPFGLPTGFSVRDNVYDEPDDILPPEDAPDVPISRLASLNKPEIPVLIIGTIAACIHGTILPIYGTLMSKAIKTFFLPPHELRKDSKFWAVMFMVLGVAAFVVIPVRSYFFSVAGCKLIQRIRSMCFEKVVNMEVSWFDEPQHSSGAIGARLAADASIVRSLVGDQLASTVQNIATVTSAMIIAFTASWQLALVILALIPLIGINGVIQMKFMKGFSADAKMMYEEASQVANDAVCSIRTVASFCAEEKVMQLYLGKCRGPMKAGVRLGWVSGIGFGVSSFLLYCFYATSFYAGARLVDTGHITFQDVFQVFFALTLASVGISHSSTFTTDTTKAKGAAASVFSIIDRKSKIDPSDESGIILENVKGEIELRHVSFKYPTRPDIQIFRDINLFMRAGKTVALVGESGSGKSTVVALLQRFYDPDSGHITLDGTEIQKLQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGGDATEAEIISAAELANAHKFISGLQQGYNTGVGDRGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESERVVQSALERVMVNRTTVVVAHRLSTIRNADLIAVVKNGVIVEKGRHESLINIKDGYYASLVALHTNAKTA >Potri.014G113000.1.v4.1 pep chromosome:Pop_tri_v4:14:7604280:7611418:-1 gene:Potri.014G113000.v4.1 transcript:Potri.014G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113000.v4.1 MMENGLEGDARIHQATTSSPYNDDERHLGNSGIQEEPENSKEDEKSKSVPFFKLFSFSDSTDFLLMFLGTLGAIGNGLAMPLMTLLLGDVINAFGNNQLSKDMTDLVSKVSLKYVYLAVGSGIAACLQVTCWIVTGERQSSRIRSLYLKTILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFVQLMATFIGGFSVAFYKGWLLAVVMLSAIPLLVLAGASMALFISKMAARGQNAYAEAANVVEQTIGGIRTVASFTGEKRAINIYNQLLVIAYRSGVQEGIFSGFGVGVVMLIVFCSYAVAVWFGAKMVLEKGYTGGEVINVIVAVLTGSMSLGQASPCMSAFSAGRAAAYKMFETINRQPEIDAYDKRGKVLDDFHGDIELRDVYFSYPARPDEPIFSGFSLSIPRGTTAALVGHSGSGKSTVISLLERFYDPLSGEVLIDGINIKELQLKWIREKTGLVSQEPVLFASSIKENIAYGKDGATNEEIRAAAELANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDNIMVDRTTVIVAHRLTTVRNADMIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEMNKGSEQAALESEITMESFRQSSQRRSIRRSISRGSSIGSSRHSFTLPFGLPTGFSVRDNVYDEPDDILPPEDAPDVPISRLASLNKPEIPVLIIGTIAACIHGTILPIYGTLMSKAIKTFFLPPHELRKDSKFWAVMFMVLGVAAFVVIPVRSYFFSVAGCKLIQRIRSMCFEKVVNMEVSWFDEPQHSSGAIGARLAADASIVRSLVGDQLASTVQNIATVTSAMIIAFTASWQLALVILALIPLIGINGVIQMKFMKGFSADAKMMYEEASQVANDAVCSIRTVASFCAEEKVMQLYLGKCRGPMKAGVRLGWVSGIGFGVSSFLLYCFYATSFYAGARLVDTGHITFQDVFQVFFALTLASVGISHSSTFTTDTTKAKGAAASVFSIIDRKSKIDPSDESGIILENVKGEIELRHVSFKYPTRPDIQIFRDINLFMRAGKTVALVGESGSGKSTVVALLQRFYDPDSGHITLDGTEIQKLQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGGDATEAEIISAAELANAHKFISGLQQGYNTGVGDRGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESERVVQSALERVMVNRTTVVVAHRLSTIRNADLIAVVKNGVIVEKGRHESLINIKDGYYASLVALHTNAKTA >Potri.014G113000.5.v4.1 pep chromosome:Pop_tri_v4:14:7604221:7608714:-1 gene:Potri.014G113000.v4.1 transcript:Potri.014G113000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113000.v4.1 MLIVFCSYAVAVWFGAKMVLEKGYTGGEVINVIVAVLTGSMSLGQASPCMSAFSAGRAAAYKMFETINRQPEIDAYDKRGKVLDDFHGDIELRDVYFSYPARPDEPIFSGFSLSIPRGTTAALVGHSGSGKSTVISLLERFYDPLSGEVLIDGINIKELQLKWIREKTGLVSQEPVLFASSIKENIAYGKDGATNEEIRAAAELANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDNIMVDRTTVIVAHRLTTVRNADMIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEMNKGSEQAALESEITMESFRQSSQRRSIRRSISRGSSIGSSRHSFTLPFGLPTGFSVRDNVYDEPDDILPPEDAPDVPISRLASLNKPEIPVLIIGTIAACIHGTILPIYGTLMSKAIKTFFLPPHELRKDSKFWAVMFMVLGVAAFVVIPVRSYFFSVAGCKLIQRIRSMCFEKVVNMEVSWFDEPQHSSGAIGARLAADASIVRSLVGDQLASTVQNIATVTSAMIIAFTASWQLALVILALIPLIGINGVIQMKFMKGFSADAKMMYEEASQVANDAVCSIRTVASFCAEEKVMQLYLGKCRGPMKAGVRLGWVSGIGFGVSSFLLYCFYATSFYAGARLVDTGHITFQDVFQVFFALTLASVGISHSSTFTTDTTKAKGAAASVFSIIDRKSKIDPSDESGIILENVKGEIELRHVSFKYPTRPDIQIFRDINLFMRAGKTVALVGESGSGKSTVVALLQRFYDPDSGHITLDGTEIQKLQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGGDATEAEIISAAELANAHKFISGLQQGYNTGVGDRGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESERVVQSALERVMVNRTTVVVAHRLSTIRNADLIAVVKNGVIVEKGRHESLINIKDGYYASLVALHTNAKTA >Potri.014G113000.3.v4.1 pep chromosome:Pop_tri_v4:14:7604371:7610400:-1 gene:Potri.014G113000.v4.1 transcript:Potri.014G113000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113000.v4.1 MMENGLEGDARIHQATTSSPYNDDERHLGNSGIQEEPENSKEDEKSKSVPFFKLFSFSDSTDFLLMFLGTLGAIGNGLAMPLMTLLLGDVINAFGNNQLSKDMTDLVSKVSLKYVYLAVGSGIAACLQVTCWIVTGERQSSRIRSLYLKTILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFVQLMATFIGGFSVAFYKGWLLAVVMLSAIPLLVLAGASMALFISKMAARGQNAYAEAANVVEQTIGGIRTVASFTGEKRAINIYNQLLVIAYRSGVQEGIFSGFGVGVVMLIVFCSYAVAVWFGAKMVLEKGYTGGEVINVIVAVLTGSMSLGQASPCMSAFSAGRAAAYKMFETINRQPEIDAYDKRGKVLDDFHGDIELRDVYFSYPARPDEPIFSGFSLSIPRGTTAALVGHSGSGKSTVISLLERFYDPLSGEVLIDGINIKELQLKWIREKTGLVSQEPVLFASSIKENIAYGKDGATNEEIRAAAELANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDNIMVDRTTVIVAHRLTTVRNADMIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEMNKGSEQAALESEITMESFRQSSQRRSIRRSISRGSSIGSSRHSFTLPFGLPTGFSVRDNVYDEPDDILPPEDAPDVPISRLASLNKPEIPVLIIGTIAACIHGTILPIYGTLMSKAIKTFFLPPHELRKDSKFWAVMFMVLGVAAFVVIPVRSYFFSVAGCKLIQRIRSMCFEKVVNMEVSWFDEPQHSSGAIGARLAADASIVRSLVGDQLASTVQNIATVTSAMIIAFTASWQLALVILALIPLIGINGVIQMKFMKGFSADAKMMYEEASQVANDAVCSIRTVASFCAEEKVMQLYLGKCRGPMKAGVRLGWVSGIGFGVSSFLLYCFYATSFYAGARLVDTGHITFQDVFQVFFALTLASVGISHSSTFTTDTTKAKGAAASVFSIIDRKSKIDPSDESGIILENVKGEIELRHVSFKYPTRPDIQIFRDINLFMRAGKTVALVGESGSGKSTVVALLQRFYDPDSGHITLDGTEIQKLQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGGDATEAEIISAAELANAHKFISGLQQGYNTGVGDRGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESERVVQSALERVMVNRTTVVVAHRLSTIRNADLIAVVKNGVIVEKGRHESLINIKDGYYASLVALHTNAKTA >Potri.014G113000.2.v4.1 pep chromosome:Pop_tri_v4:14:7604287:7611417:-1 gene:Potri.014G113000.v4.1 transcript:Potri.014G113000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113000.v4.1 MMENGLEGDARIHQATTSSPYNDDERHLGNSGIQEEPENSKEDEKSKSVPFFKLFSFSDSTDFLLMFLGTLGAIGNGLAMPLMTLLLGDVINAFGNNQLSKDMTDLVSKVSLKYVYLAVGSGIAACLQVTCWIVTGERQSSRIRSLYLKTILRQDIAFFDKETNTGEVIGRMSGDTVLIQDAMGEKVGKFVQLMATFIGGFSVAFYKGWLLAVVMLSAIPLLVLAGASMALFISKMAARGQNAYAEAANVVEQTIGGIRTVASFTGEKRAINIYNQLLVIAYRSGVQEGIFSGFGVGVVMLIVFCSYAVAVWFGAKMVLEKGYTGGEVINVIVAVLTGSMSLGQASPCMSAFSAGRAAAYKMFETINRQPEIDAYDKRGKVLDDFHGDIELRDVYFSYPARPDEPIFSGFSLSIPRGTTAALVGHSGSGKSTVISLLERFYDPLSGEVLIDGINIKELQLKWIREKTGLVSQEPVLFASSIKENIAYGKDGATNEEIRAAAELANAAKFIDKLPQGFDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQEALDNIMVDRTTVIVAHRLTTVRNADMIAVIHRGKMVEKGTHSELLEDPDGAYSQLVRLQEMNKGSEQAALESEITMESFRQSSQRRSIRRSISRGSSIGSSRHSFTLPFGLPTGFSVRDNVYDEPDDILPPEDAPDVPISRLASLNKPEIPVLIIGTIAACIHGTILPIYGTLMSKAIKTFFLPPHELRKDSKFWAVMFMVLGVAAFVVIPVRSYFFSVAGCKLIQRIRSMCFEKVVNMEVSWFDEPQHSSGAIGARLAADASIVRSLVGDQLASTVQNIATVTSAMIIAFTASWQLALVILALIPLIGINGVIQMKFMKGFSADAKMMYEEASQVANDAVCSIRTVASFCAEEKVMQLYLGKCRGPMKAGVRLGWVSGIGFGVSSFLLYCFYATSFYAGARLVDTGHITFQDVFQVFFALTLASVGISHSSTFTTDTTKAKGAAASVFSIIDRKSKIDPSDESGIILENVKGEIELRHVSFKYPTRPDIQIFRDINLFMRAGKTVALVGESGSGKSTVVALLQRFYDPDSGHITLDGTEIQKLQLKWLRQQMGLVGQEPVLFNDTIRANIAYGKGGDATEAEIISAAELANAHKFISGLQQGYNTGVGDRGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESERVVQSALERVMVNRTTVVVAHRLSTIRNADLIAVVKNGVIVEKGRHESLINIKDGYYASLVALHTNAKTA >Potri.018G092500.1.v4.1 pep chromosome:Pop_tri_v4:18:11321049:11325816:-1 gene:Potri.018G092500.v4.1 transcript:Potri.018G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092500.v4.1 MANPSAPVSGEKGPITHVIFDMDGLLLDTEKFYTEVQEIILARYNKAFDWSLKAKMMGKKAIESARIFVEETGISDSLSAEDFLVEREAMLQSLFPTSDLMPGASRLIRHLHAKGIPIAVATGSHKRHFGLKTQRHSELFSLMHHIVLGDDPEVKQGKPSPDVFLAAARRFEGGPVDPMKILVFEDAPAGVLAAKTAGMSAVMVPDPRLDSSHHETADQVLSSLLDFNPSYWGLPPFEGVES >Potri.004G177900.1.v4.1 pep chromosome:Pop_tri_v4:4:19273333:19276084:-1 gene:Potri.004G177900.v4.1 transcript:Potri.004G177900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177900.v4.1 MGILRNSPKASPRKKSTSLQLLFDLEPNNSNGTKMFSSKSGKQSAFESEYGEILSIISHCRSIFTFTDPLESPLERDIKRLKLFQLLSFVKKPIKPLPEEITRPVVSMLSANLFRPLPPSSKQTVICELPEDEDLVSTLSPAWPHLQVAYDILLRLVLNIDPKILRCYVDEPFLVNLLSLFQSENPRERESLKNVYHRIYSRFTFYRAFMRKSMNHVFLHYVFETEKHSGIGELLEIWGSIINGFAVPLKEEHKLFLMRVLIPLHKGKGMQVYHRQLAYCINQFVQKEPMLGGVAVRGILKYWPATNCQKEVLLLGELEELVENIDPGHYRKLALPICAQISRCMNSLNSQVAERALYVWNNEQFVKMASSMMKEVFPVVVESVEKNLKWHWSESVKQLTENVKTMIEETDPNLYDSCLEEMAHKEYLAGQEDIKRREKWERLELAAAKNHQFFPATTMSRY >Potri.005G040600.1.v4.1 pep chromosome:Pop_tri_v4:5:2638914:2642134:-1 gene:Potri.005G040600.v4.1 transcript:Potri.005G040600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040600.v4.1 MAGSNGVNLNESKRVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANPVDGVFSFDVIIDRGTSLLSRIYRRADAQESQPNIVDLEKPVNSEVVPVIIFFHGGSFAHSSSNSAIYDTLCRRLVGLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRTWLQSKKDSKVHIYLAGDSSGGNIVHHVALRAVESGIDVLGNILLNPMFGGQERTESEKRLDGKYFVTLQDRDWYWRAFLPEREDRDHPACNPFGPKGKSLEGIKFPKSLVVVAGLDLVHDRQITYAEGLKKAGQDVKLLYLEQATIGFYLLPNNNYFHTVMDEISEFVSPNC >Potri.016G125901.2.v4.1 pep chromosome:Pop_tri_v4:16:12929183:12929422:-1 gene:Potri.016G125901.v4.1 transcript:Potri.016G125901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G125901.v4.1 MIAGVRNNGVIGDDLLVPRSLLVSKSGILKSQLVKEVDTDLLQPEAQYSAPKPPPFKFHTTGAGGRSFSVQGVFLVTQT >Potri.016G125901.1.v4.1 pep chromosome:Pop_tri_v4:16:12929183:12929574:-1 gene:Potri.016G125901.v4.1 transcript:Potri.016G125901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G125901.v4.1 MMEMHRFSIQDQDLSHSGMIAGVRNNGVIGDDLLVPRSLLVSKSGILKSQLVKEVDTDLLQPEAQYSAPKPPPFKFHTTGAGGRSFSVQGVFLVTQT >Potri.016G058300.2.v4.1 pep chromosome:Pop_tri_v4:16:3997152:3999211:1 gene:Potri.016G058300.v4.1 transcript:Potri.016G058300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058300.v4.1 MDEETSDTMNLDLNLGPGPEAESELEAPNDAVNLDDWVDDPIVRIREAVRFRARQHRRWRQFQLPLQSQSLSVELNQLMGNPGHVGTLQAGEGSVAAEERTNEAPKLCENNNVFLEDEVSEKKDDVEKTSDIDGSFFDCNICLDLATDPVVTCCGHLFCWPCLYQWLHVHSDAKECPVCKGEVTMKNVTPIYGRGCTTREPVEDTNLEIPIRPHARRVESLRQTASRHLYSFPVEEMLRRLGSRLDFAQDLSLPQDSNGSRGAADRTQSLLNRIMTYRGMRAEQNPIGPPDEIVDLTQTSPSSPVGGHARRLHDIVDLIHSGTASTETGSARRANVLLPRRSHPHSQRSSSHTAFSPLNSTEGLVETYFRTHTIGRNHEQPQPVDDRDSFSSIAAVINSESQMDTAAEIDSPVSLSTSSSRRRNNASRVSDVDSGDSRAPRRRRLI >Potri.016G058300.1.v4.1 pep chromosome:Pop_tri_v4:16:3997154:4000042:1 gene:Potri.016G058300.v4.1 transcript:Potri.016G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058300.v4.1 MDEETSDTMNLDLNLGPGPEAESELEAPNDAVNLDDWVDDPIVRIREAVRFRARQHRRWRQFQLPLQSQSLSVELNQLMGNPGHVGTLQAGEGSVAAEERTNEAPKLCENNNVFLEDEVSEKKDDVEKTSDIDGSFFDCNICLDLATDPVVTCCGHLFCWPCLYQWLHVHSDAKECPVCKGEVTMKNVTPIYGRGCTTREPVEDTNLEIPIRPHARRVESLRQTASRHLYSFPVEEMLRRLGSRLDFAQDLSLPQDSNGSRGAADRTQSLLNRIMTYRGMRAEQNPIGPPDEIVDLTQTSPSSPVGGHARRLHDIVDLIHSGTASTETGSARRANVLLPRRSHPHSQRSSSHTAFSPLNSTEGLVETYFRTHTIGRNHEQPQPVDDRDSFSSIAAVINSESQMDTAAEIDSPVSLSTSSSRRRNNASRVSDVDSGDSRAPRRRRLI >Potri.005G118000.2.v4.1 pep chromosome:Pop_tri_v4:5:8643223:8645205:-1 gene:Potri.005G118000.v4.1 transcript:Potri.005G118000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118000.v4.1 MGRGKIEIKKIENPTNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSNTNKFHEYISPSTTTKKIYDQYQKALGIDLWSAQYEKMQEQLRKLKDINHKLKKEIRQRIGEDLNELSIDHLRVLEQNMTEALNGVRGRKYHVIKTQTETYKKKVRSLEERHGNLWMEYEAKMEDPRYGLVDSEGDYESAAALVNGASNLYAFRLHQGHHHHHPNLHLAGGFGPHDLRLP >Potri.009G039100.15.v4.1 pep chromosome:Pop_tri_v4:9:4734039:4747401:1 gene:Potri.009G039100.v4.1 transcript:Potri.009G039100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039100.v4.1 MTKMASCHVVAVGKFWSSVIFHLKPLLYKLGRGRVMWQVRLLGLLKAKTLHGRGCMKEIAVERNFTRGRRTDQVQAACLYIACRENRKPYLLIDFSIYLQINIYVLGAVFLQLCKVLNLTEHAICQKLHDPSIFIHKYTASLSGGKNKEISDDALTIIASMNYHWIQTGRTPSALWGAALYISALSHGLNCSKSDILRLVHVCGKTLSKRLVEFENTESGSLTIEELNAKVEELKESSLPRRNFGEPSSSKELLCQHKGTNRPSFGFGLCKDCYAIVIGFDGGTDPPAFQNAESQRMKKSSIRHNFAKELNSQCESRDEERPTRERESAGAATGHLANDFDKLHGVGDMSSKAFDESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQAAKEAAAATLKKAWEENFKNCPEDLQAAKKLDAAVKADLAKSKKEIQQKRASEARNLAPAKSAAEAVHRMLTKKRLGSKINYDVLEKLFEDSEAKDAKKPRTESRSDSADKVLHTDGKDCRLDYTNENDGLGSLDENEDDAVEETCDFLYYENCSDDDYGNSLW >Potri.009G039100.12.v4.1 pep chromosome:Pop_tri_v4:9:4734075:4747387:1 gene:Potri.009G039100.v4.1 transcript:Potri.009G039100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039100.v4.1 MRSCGSCREKVRAYYDENGIVSCSRCGKVLEFSYLSSEASFVQTRSGESHVAGSFVRSVESENASRERLYERARDDMLNIKNGLGMGENLGIVNQAMVYYRIAVERNFTRGRRTDQVQAACLYIACRENRKPYLLIDFSIYLQINIYVLGAVFLQLCKVLNLTEHAICQKLHDPSIFIHKYTASLSGGKNKEISDDALTIIASMNYHWIQTGRTPSALWGAALYISALSHGLNCSKSDILRLVHVCGKTLSKRLVEFENTESGSLTIEELNAKVEELKESSLPRRNFGEPSSSKELLCQHKGTNRPSFGFGLCKDCYAIVIGFDGGTDPPAFQNAESQRMKKSSIRHNFAKELNSQCESRDEERPTRERESAGAATGHLANDFDKLHGVGDMSSKAFDESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQAAKEAAAATLKKAWEENFKNCPEDLQAAKKLDAAVKADLAKSKKEIQQKRASEARNLAPAKSAAEAVHRMLTKKRLGSKINYDVLEKLFEDSVCYCCLPFLLSYVHHPSSFLLLVEIKFSSYSVSLKEHVHLVIRNYNEGKVQIFMPL >Potri.009G039100.11.v4.1 pep chromosome:Pop_tri_v4:9:4733882:4747401:1 gene:Potri.009G039100.v4.1 transcript:Potri.009G039100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039100.v4.1 MRSCGSCREKVRAYYDENGIVSCSRCGKVLEFSYLSSEASFVQTRSGESHVAGSFVRSVESENASRERLYERARDDMLNIKNGLGMGENLGIVNQAMVYYRIAVERNFTRGRRTDQVQAACLYIACRENRKPYLLIDFSIYLQINIYVLGAVFLQLCKVLNLTEHAICQKLHDPSIFIHKYTASLSGGKNKEISDDALTIIASMNYHWIQTGRTPSALWGAALYISALSHGLNCSKSDILRLVHVCGKTLSKRLVEFENTESGSLTIEELNAKVEELKESSLPRRNFGEPSSSKELLCQHKGTNRPSFGFGLCKDCYAIVIGFDGGTDPPAFQNAESQRMKKSSIRHNFAKELNSQCESRDEERPTRERESAGAATGHLANDFDKLHGVGDMSSKAFDESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQAAKEAAAATLKKAWEENFKNCPEDLQAAKKLDAAVKADLAKSKKEIQQKRASEARNLAPAKSAAEAVHRMLTKKRLGSKINYDVLEKLFEDSEAKDAKKPRTESRSDSADKVLHTDGKDCRLDYTNENDGLGSLDENEDDAVEETCDFLYYENCSDDDYGNSLW >Potri.009G039100.13.v4.1 pep chromosome:Pop_tri_v4:9:4734039:4747401:1 gene:Potri.009G039100.v4.1 transcript:Potri.009G039100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039100.v4.1 MTKMASCHVVAVGKFWSSVIFHLKPLLYKLGRGRVMWQVRLLGLLKAKTLHGRGCMKEIAVERNFTRGRRTDQVQAACLYIACRENRKPYLLIDFSIYLQINIYVLGAVFLQLCKVLNLTEHAICQKLHDPSIFIHKYTASLSGGKNKEISDDALTIIASMNYHWIQTGRTPSALWGAALYISALSHGLNCSKSDILRLVHVCGKTLSKRLVEFENTESGSLTIEELNAKVEELKESSLPRRNFGEPSSSKELLCQHKGTNRPSFGFGLCKDCYAIVIGFDGGTDPPAFQNAESQRMKKSSIRHNVSDSNLFAKELNSQCESRDEERPTRERESAGAATGHLANDFDKLHGVGDMSSKAFDESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQAAKEAAAATLKKAWEENFKNCPEDLQAAKKLDAAVKADLAKSKKEIQQKRASEARNLAPAKSAAEAVHRMLTKKRLGSKINYDVLEKLFEDSEAKDAKKPRTESRSDSADKVLHTDGKDCRLDYTNENDGLGSLDENEDDAVEETCDFLYYENCSDDDYGNSLW >Potri.009G039100.14.v4.1 pep chromosome:Pop_tri_v4:9:4734037:4747401:1 gene:Potri.009G039100.v4.1 transcript:Potri.009G039100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039100.v4.1 MTKMASCHVVAVGKFWSSVIFHLKPLLYKLGRGRVMWQVRLLGLLKAKTLHGRGCMKEIAVERNFTRGRRTDQVQAACLYIACRENRKPYLLIDFSIYLQINIYVLGAVFLQLCKVLNLTEHAICQKLHDPSIFIHKYTASLSGGKNKEISDDALTIIASMNYHWIQTGRTPSALWGAALYISALSHGLNCSKSDILRLVHVCGKTLSKRLVEFENTESGSLTIEELNAKVEELKESSLPRRNFGEPSSSKELLCQHKGTNRPSFGFGLCKDCYAIVIGFDGGTDPPAFQNAESQRMKKSSIRHNFAKELNSQCESRDEERPTRERESAGAATGHLANDFDKLHGVGDMSSKAFDESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQAAKEAAAATLKKAWEENFKNCPEDLQAAKKLDAAVKADLAKSKKEIQQKRASEARNLAPAKSAAEAVHRMLTKKRLGSKINYDVLEKLFEDSEAKDAKKPRTESRSDSADKVLHTDGKDCRLDYTNENDGLGSLDENEDDAVEETCDFLYYENCSDDDYGNSLW >Potri.009G039100.10.v4.1 pep chromosome:Pop_tri_v4:9:4732456:4747401:1 gene:Potri.009G039100.v4.1 transcript:Potri.009G039100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039100.v4.1 MKNFFKLIGNARDVRRSSAIVYNTMDCLEGSSLAKLQQHCHVPIFAIGPIHKIVPAPSCSLLEEDTNCMSWLDRQAPSSVIYVSLGSLASMNEKDILEMAWGLANSKQPFLWVVRPGSVHGSERAESLPEGFREIAGEKGRVVKWAPQKEVLAHNAVGGFWSHCGWNSLLESISEGVPMICRPSFGDQKVTARYVSQVWRVGLHLEDELERGEIESVITRLMVDKEGDEMRQRAMDLKEKAELCIRTGGSSYNSLNKYTMRSCGSCREKVRAYYDENGIVSCSRCGKVLEFSYLSSEASFVQTRSGESHVAGSFVRSVESENASRERLYERARDDMLNIKNGLGMGENLGIVNQAMVYYRIAVERNFTRGRRTDQVQAACLYIACRENRKPYLLIDFSIYLQINIYVLGAVFLQLCKVLNLTEHAICQKLHDPSIFIHKYTASLSGGKNKEISDDALTIIASMNYHWIQTGRTPSALWGAALYISALSHGLNCSKSDILRLVHVCGKTLSKRLVEFENTESGSLTIEELNAKVEELKESSLPRRNFGEPSSSKELLCQHKGTNRPSFGFGLCKDCYAIVIGFDGGTDPPAFQNAESQRMKKSSIRHNFAKELNSQCESRDEERPTRERESAGAATGHLANDFDKLHGVGDMSSKAFDESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQAAKEAAAATLKKAWEENFKNCPEDLQAAKKLDAAVKADLAKSKKEIQQKRASEARNLAPAKSAAEAVHRMLTKKRLGSKINYDVLEKLFEDSEAKDAKKPRTESRSDSADKVLHTDGKDCRLDYTNENDGLGSLDENEDDAVEETCDFLYYENCSDDDYGNSLW >Potri.010G212000.1.v4.1 pep chromosome:Pop_tri_v4:10:20040730:20041642:-1 gene:Potri.010G212000.v4.1 transcript:Potri.010G212000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212000.v4.1 MKMGKLPSMLSLVCSLLCMNIINRSSFARSLGNSSPGQHHHHNHHKKITFLMQNVLNVTHPLPKPATTKVTSQIPFPKPLGYFPPSGGIPLQQPNAAVSGTGLSTQTIDVSNIGLSFPDRATLQELEFGSVTEIGEDLFVYGSLVVGKAQGLYVASSEDGTSHMMAMTVKFVKNKYKDGLRFFGVHKTDVPESHIAVIGGTGKYHSANGYAVINAVGVGSKSTAGEENRTKGNLLFNVYLS >Potri.008G033000.6.v4.1 pep chromosome:Pop_tri_v4:8:1794086:1799046:-1 gene:Potri.008G033000.v4.1 transcript:Potri.008G033000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033000.v4.1 MSGKEENRIFVGGLSWDITERQLENAFDRFGKIVECQVMLERDTGRPRGFGFITFADRRAMDDAIREMHGRDFGDRVISVNKAQPKMGGDDSDHGYRGGYSTGSRGGYGGGDRPAGQDECFKCGRSGHWARDCPSAGARGGGGGLLSSRSRFGGAGDRGDRFGGDRDRYMDDRYDGGRYGDRDRFESRDKYGGRDRYASDRYPPPNGDRFAGDRYSGSDRYAQNGYGKDRGYDRDGGPRGGNDRYGSGGPSRNDRSYKNRPGPYDRPSRGGRPSSFERY >Potri.008G033000.8.v4.1 pep chromosome:Pop_tri_v4:8:1794166:1798309:-1 gene:Potri.008G033000.v4.1 transcript:Potri.008G033000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033000.v4.1 MIALQLPPGFLTLIQLLAITLSGLVFLQVMLERDTGRPRGFGFITFADRRAMDDAIREMHGRDFGDRVISVNKAQPKMGGDDSDHGYRGGYSTGSRGGYGGGDRPAGQDECFKCGRSGHWARDCPSAGARGGGGGLLSSRSRFGGAGDRGDRFGGDRDRYMDDRYDGGRYGDRDRFESRDKYGGRDRYASDRYPPPNGDRFAGDRYSGSDRYAQNGYGKDRGYDRDGGPRGGNDRYGSGGPSRNDRSYKNRPGPYDRPSRGGRPSSFERY >Potri.008G033000.7.v4.1 pep chromosome:Pop_tri_v4:8:1794086:1799043:-1 gene:Potri.008G033000.v4.1 transcript:Potri.008G033000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033000.v4.1 MSGKEENRIFVGGLSWDITERQLENAFDRFGKIVECQVMLERDTGRPRGFGFITFADRRAMDDAIREMHGRDFGDRVISVNKAQPKMGGDDSDHGYRGGYSTGSRGGYGGGDRPAGQDECFKCGRSGHWARDCPSAGARGGGGGLLSSRSRFGGAGDRGDRFGGDRDRYMDDRYDGGRYGDRDRFESRDKYGGRDRYASDRYPPPNGDRFAGDRYSGSDRYAQNGYGKDRGYDRDGGPRGGNDRYGSGGPSRNDRSYKNRPGPYDRPSRGGRPSSFERY >Potri.008G033000.5.v4.1 pep chromosome:Pop_tri_v4:8:1794104:1799043:-1 gene:Potri.008G033000.v4.1 transcript:Potri.008G033000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033000.v4.1 MLERDTGRPRGFGFITFADRRAMDDAIREMHGRDFGDRVISVNKAQPKMGGDDSDHGYRGGYSTGSRGGYGGGDRPAGQDECFKCGRSGHWARDCPSAGARGGGGGLLSSRSRFGGAGDRGDRFGGDRDRYMDDRYDGGRYGDRDRFESRDKYGGRDRYASDRYPPPNGDRFAGDRYSGSDRYAQNGYGKDRGYDRDGGPRGGNDRYGSGGPSRNDRSYKNRPGPYDRPSRGGRPSSFERY >Potri.001G102126.1.v4.1 pep chromosome:Pop_tri_v4:1:8246187:8248587:1 gene:Potri.001G102126.v4.1 transcript:Potri.001G102126.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102126.v4.1 MLSLVADFQQGKPLVLNPKFVQGLRSILSDSNLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVRSFIRKQLASELKAEFLRTVWSKTIGAQKSICLTILTWPRRALKNIALAYLASLEDQELTELALHEYKTATNMTDQFAALAAIAQNPGKTCDEVLADFYTKWQDEFLVVNKWFALQAMSDVPGNVENVRSLLNHPAFDLRNPNKVYSLIGGFCSSLVNFHAKDGSGYKFLGEIVVQLDKINPQVASRMVSAFSRWKRYDETRQNLAKAQLEMIVSANGLSENVFEIASKSLAA >Potri.012G072200.1.v4.1 pep chromosome:Pop_tri_v4:12:9602702:9611550:1 gene:Potri.012G072200.v4.1 transcript:Potri.012G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072200.v4.1 MSREQPSPSPISQNDAQTPLNDDAQAQSQSQTPPVNRSNRPSRACTIRAAARLQQQAVIERKQKPKKQEQQQQLDKSSVQQKEQCSGGSSKIVTQLVAPPEPAQLPRWSLRSMWELASVLHFLNVFRHLLNITVEFSAEEFETALITPNDTLGDIHMPLLKAIPPVTRMALTRDTWVTVLCRKLRDWWHWVADGELPLVASHGVEVEVYKTLDPSVRVVILKALCDIRVEQEDIRNYIDNSLKHGIQLSLFRKERIGGDSQGINYWYEDDPTIGQRLYREIRKTEVKAKAKAKGSQIIPNVTYLWETVATNFEEFQDVSEKLFTSKNRTEASLGKKLKNDMLPEIEKVYKRKERLLKKQHRQALLLDNFLNIDGLAPGRSLRDRKPVTYTFDDYDRSINEAIKITKRKPPSPEPVHRREGFSKPEASTNGKWSGPSHASQHGTFSAASPNSLDYDDMDEDHKSEGLDRSNRRRQRPQRYSAKEFVEAVSDNEADFDSDDDIVGEAVYDDEYLRKRKQKRLSSSSEGDEEYQWDDENGEEEEEEDEEEGSLSVSEDSDEPQKFKKLPGRTRRETKLRSVDEIQSGLRRSRRATRNQINYRQYELSESETESIKREKSNASDEHSDASENGEYSARSQSGDSDGNDDEQNMKVDQPVEGDTVIAREEQNQPPEESNSPVQEEVEGVRKRRFLDLNELAPGSGFDDSPNTVMKDEDRNDF >Potri.003G140300.2.v4.1 pep chromosome:Pop_tri_v4:3:15667745:15669146:1 gene:Potri.003G140300.v4.1 transcript:Potri.003G140300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140300.v4.1 MGGAQALKRIPRIKFPQRHSTKSSGNGSQPEEALTSGSAYQAFFSSLKASTAVGGKASLQPKRTPVSNEEIEAILLGGCI >Potri.004G100100.1.v4.1 pep chromosome:Pop_tri_v4:4:8664705:8665927:1 gene:Potri.004G100100.v4.1 transcript:Potri.004G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100100.v4.1 MRMSCNGCRVLRKGCSENCSIRPCLQWIKSPESQANATVFLAKFYGRAGLMNLINAGPEHLRPAIFRSLLYEACGRIVNPIYGSVGLMWSGSWPLCQAAVEAVLRGASITQINSETAANAQGPPLKAYDIRHVSKDENSAASNDANRVRTRCRVRRVVKPKASKPACGGNGLGSIVVDMSATRDGLTRSPSHESSVSHQSELAMVDGESKETDESMMSVETAEDSLLFRSEPESKSDLATHDAASNEIAGLGLDLALGLEPVSRAHHVVPVKKRRIEALGSGDIDTCKMELGLDYAA >Potri.003G032100.4.v4.1 pep chromosome:Pop_tri_v4:3:3602526:3607603:-1 gene:Potri.003G032100.v4.1 transcript:Potri.003G032100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032100.v4.1 MAFASMFCCVKNSDRKERGKKQPTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSDKADMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSSECLLDWKRRMNIAIGSAEGIADVKASNVLLDSDFQAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELATGKKPLEKLSATVKRIITEWAQPLACERKFSELADPKLNGKYDEEELKRVVLVSLVCTQNQPERRPTMLDVVELLKGESKERLSELENDELFKPPQAVDYNDGISVAEDSSDFISEEKDANREVKEIVQENTHDS >Potri.003G032100.1.v4.1 pep chromosome:Pop_tri_v4:3:3602435:3607672:-1 gene:Potri.003G032100.v4.1 transcript:Potri.003G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032100.v4.1 MAFASMFCCVKNSDRKERGKKQPTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSDKADMEFAVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSSECLLDWKRRMNIAIGSAEGIAYLHHHATPHIIHRDVKASNVLLDSDFQAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELATGKKPLEKLSATVKRIITEWAQPLACERKFSELADPKLNGKYDEEELKRVVLVSLVCTQNQPERRPTMLDVVELLKGESKERLSELENDELFKPPQAVDYNDGISVAEDSSDFISEEKDANREVKEIVQENTHDS >Potri.006G044100.1.v4.1 pep chromosome:Pop_tri_v4:6:3005162:3007873:-1 gene:Potri.006G044100.v4.1 transcript:Potri.006G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044100.v4.1 MSEVELSRSEKKKHKKKTQETQTDANPTTDTDKDFMIKPQNFTPTIDTSQWPILLKNYDRLNVRTGHYTPLPSGHSPLKRPLAEYIRYGIMNLDKPANPSSHEVVAWIKRILRVEKTGHSGTLDPKVTGNLIVCIDRATRLVKSQQGAGKEYVCIARLHDKVPDVAKVARALETLTGAVFQRPPLISAVKRQLRIRTIYESKLLEYDADRHLVVFWISCEAGTYVRTMCVHLGLILGVGAHMQELRRVRSGILGEKDNMVTMHDVMDAQWVYDNYRDESYLRRAIMPLEVLLTSYKRLVVKDSAVNAICYGAKLMIPGLLRFENDIEAGEEVVLMTTKGEAVALGIAEMTTAVMATCDHGVVAKIKRVVMDRDTYPRKWGLGPRASIKKKLISEGKLDKHGKPNENTPHEWMRNLVLPPGGDSMVAGIAAAAAEPVVKEIGEEEKKKKNKDGEDGEGRKRKLNESSDSPAAQVPAKKTKTEVENEESVKDKKVKEEPVEGSDDEKKEKKKKKKKSKEGGEAEKEVPVEGSEDEKKEKKKKKKSKEDAEVGNLEEKETEKSEKKKKKKKDKGAEEAATVDNDKADGESDKSEKKKKKKKKDKDGEED >Potri.018G119450.1.v4.1 pep chromosome:Pop_tri_v4:18:13498966:13500356:-1 gene:Potri.018G119450.v4.1 transcript:Potri.018G119450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119450.v4.1 MSCTFSCLAAASGVPIPLRLIFLFSTAFNLCKLGDHIFFFQLCNHLPQTNTNVGEEIESNQDASPPDDTPT >Potri.007G020600.1.v4.1 pep chromosome:Pop_tri_v4:7:1570454:1573386:-1 gene:Potri.007G020600.v4.1 transcript:Potri.007G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020600.v4.1 MFSPTNMPQSVSTLFSAYAAFAGSVMLIRSMANELIPYELRSYLSTAIRYLFTPLSPNITLVIDEHCGMSRNQVYDAAEIYLKTKISPSTERLKIGKTPRQRTFSVAIEKGEVVTDVYENIKLKWAFVCTEPQNNSHSGEKKRFELSFNKKYKEKVMDRYLPHVLKRGKEIKDEEKVVKLYNRECPFNDEDGGDHGGMWGSINLEHPSTFDTLALDPELKKMIVDDLKRFLGRKDFYKKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELTSIYSNSDLRRVLLSTTNRSILVIEDIDCNMEMRDRQQGEDQYDGSNSRLTLSGLLNFIDGLWSSCGDERIIVFTTNHKDRLDSALLRPGRMDVHINMSYCTPQAFSILASNYLGIRDKNHYLYDEIEGLMESTNVTPAEVAEELMASENADVALEGLVNFLKRKYSEANEVKSEENGKVGDEEAKKLKTDVDEKKIVNKFINRNRILRAARGVRRRNCGRRMVPQNFFGI >Potri.010G030200.1.v4.1 pep chromosome:Pop_tri_v4:10:4455573:4461695:-1 gene:Potri.010G030200.v4.1 transcript:Potri.010G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030200.v4.1 MQLLNAVMATRMLTELGGQSNGDEIPFGSFSWFAYAGISCFLVLFAGIMSGLTLGLMSLGLVDLEILQRSGTSTEKKQAAAILPVVQKQHQLLVTLLLCNAASMEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQSICTRYGLAVGANFVWLVRILMILCYPISYPIGKVLDCVLGHNEALFRRAQLKALVSIHGLEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKVLARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKGKSKALPPTIDGKEHEGNKVTGKESQLTTPLLSMPNEKLDSVVVDMDRVSRPSRQPSLQRNDASIKGMTLLSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTANKGSGGHQSKPGQTLKKSENDSSATRLQGTAGEPFLGNKR >Potri.018G053720.1.v4.1 pep chromosome:Pop_tri_v4:18:5276682:5277850:1 gene:Potri.018G053720.v4.1 transcript:Potri.018G053720.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053720.v4.1 MSWPVPGTLMIEPTESESKAELDRFCVALISIREEIAEIEKEKADIHNNVLKGAPHPPSLVMGDAWTKPYSREYAAFSASCLRVAKFWPSSGRVDNVYGDRNLICTLLAVSQVVEEQAAATA >Potri.005G092200.1.v4.1 pep chromosome:Pop_tri_v4:5:6429090:6432205:1 gene:Potri.005G092200.v4.1 transcript:Potri.005G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092200.v4.1 MTSCYNYRQPYHMYNSPIPHHPNTMISPKNNSNNLTSLSSSLSSDIAGNFISPRLFFLQQIQVLELLLALVVFIAIHSLRQKRRCGLPVWPVLGMLPSLVSGLHCNMYEWMSDVLCDQNGTFRFKGPWFSSLNCVVTADPRNLEHLLKTKFPNYPKGQYFRDTVGDLLGGGIFNADDEKWQRQRKTASIEFHSTKFRQLTTESLLELVHSRLLPVLENALNNSMSIDIQDILLRLTFDNVCMIAFGVDPGCLRPGLPDIPFARAFEDATEATLLRFVTPTCIWKAMRYLDLGSEKKLKRSIKDVDEFAEDVIRTRKKELSIQSEDDKKKQGSDLLTVFMGLKDENGKPFSDRFLRDICVNFILAGRDTSSVAMSWFFWLLDSHPTVEEKILAEICKIVSEREELDTKTPLVFSPQEIKKMDYLQAALSEALRLYPSVPVDHKEVVEDDIFPDGTVLEKGTKVIYAIYAMGRMEAIWGSDCREFKPERWIRVVDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMKFTVASILFHYHVKVVKDHPVVPKLALTMYMKHGLKVNLVKREESMLQEYLNIN >Potri.010G100400.1.v4.1 pep chromosome:Pop_tri_v4:10:12328270:12329943:-1 gene:Potri.010G100400.v4.1 transcript:Potri.010G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100400.v4.1 MPSQKIETGHEDTVHDVAMDYYGKRIATASSDHSIKIVGVNNNSSQHLANLTGHQGPVWQVAWAHPKFGSLLASCSYDGRVIIWKEGNQNDWIQAHVFDDHKSSVNSIAWAPHELGLCLACGSSDGNISVFTARADGNWDTSRIDQAHPAGVTSVSWAPSTAPGALVGSGLLDPAQKLCSGGCDNTVKVWKLYNGNWKLDCFPALNMHADWVRDVAWAPNLGLPKSTIASASQDGKVIIWTVAKEGDQWEGKVLHDFKAPVWRVSWSLTGNILAVADGNSNVTLWKEAVDGEWQQVTTVDA >Potri.006G140000.1.v4.1 pep chromosome:Pop_tri_v4:6:11713165:11717392:1 gene:Potri.006G140000.v4.1 transcript:Potri.006G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140000.v4.1 MATTSEIVNKLNLQPHPEGGFYSETFRDTSVVLSSSQLPSEYKVDRAVSTSIYFLLPSGNVSLLHRIPCAETWHFYLGEPLTILELNEKTGQVKLTSIGSDLVGDNQQLQYTVPRNVWFGAFPTKDYNISPDSMVAKTAPRDAESHYSLVGCTCAPAFQFEDFELAKRSYLISRFPNYEPLISLLTLPE >Potri.003G026325.1.v4.1 pep chromosome:Pop_tri_v4:3:100195:111413:1 gene:Potri.003G026325.v4.1 transcript:Potri.003G026325.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026325.v4.1 MAFWPSLLSKTFSVLVFGFVVLNCFAVDKFGSHAQGVLSTPLLPLDEVQILQNISNKLNISNWATINRTSCGSAQWNQINDNEIESNVTCDCTFENGSVCHVTRIRVKKFNLNGVLPEELGDLPHLLEIDLTRNYISGTIPPRLAQLPKLQILSLIVNRLTGPIPPDIGNITTLEELVLEDNLLGGPLPPDLGNLTSLRRLLLSANNFTGTIPDTFGNLKNLNDFRIDGSELSGKIPDFIGNWTNITTLDLHGTSMEGPIPSAISLLKKLTILRISDLKGSSSTFPDLKDMTKMEKLILRNCSLTGSIQEYLGNMADLDTLDLSFNKLTGQIPGALESLKKNKKFMFLNNNLLTGEVPAWILGSRKDLDLSYNNFTGSAEQSCQQLPVNLVASHVSTGSNKISWCLNKDLVCPRKPQYHSLFINCGGSSETVGDNVYEDDTTPGGAADFASLSERWGYSSTGTYIGTENGAYKATNSYGLNVTGEGFYLTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVEANFNIMEQAGGVGIGITKVFDGIIVNGSTLEIHLYWSGKGTTAVPERGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCVLAALVLLVLRKKGYLGGKDLEDKELRALDLQTGYFSLRQIKHATNNFDPANKIGEGGFGPVYKGMLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLTYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKIEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNQDARFKAFELLSHDSQTNVSNGSQSSQIQKSISMDGPWTDSEFSIESKDEIIEYSSSTKLLKDLYHVNLD >Potri.018G091300.1.v4.1 pep chromosome:Pop_tri_v4:18:11184660:11187989:1 gene:Potri.018G091300.v4.1 transcript:Potri.018G091300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091300.v4.1 MGDRDRLTDRDRERDRDRDRDRERRRDKEDRDRDRTRITRSRTRSPDRMRSRNRRSRSRSPDRSHRSHRRHRHQRTPSSSPPRKRHRPESDDDREREKQRAAVFDFVDGIAREQLEKNESDNNDNGMGEGGGLMDEDEMEMMKKLGIPTGFDSTKGKPVPGADVSGVKAVTKRQPRQYMNRRGGFNRPLPLERNR >Potri.006G018600.1.v4.1 pep chromosome:Pop_tri_v4:6:1148288:1150371:-1 gene:Potri.006G018600.v4.1 transcript:Potri.006G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018600.v4.1 MAYNLCSSPSSLYKPLCNTPSSSSRDSKSRNLNNNFDHSSKINTLSSQSRATSLQITNVSLQDEEIPRQETSKDSNFKEKTGSSSKSYIWVNPKSSRASILRKRSYDAKYTSLVNAAKYLNSCSPNKDDVLDVLSEFDVFSREVVVYNVTLKVFRKARDLDKAEKLFDEMLERGVKPDNFTFSTIISCARLCNLADKAVEWFEKMPSFGLEPDDVTFSTMIDSYGRAGNVEKALSLYDRARTGEWRLDATPFSTLIRIYKVARNFDGCLNFYEEMKALGVKPNLVIYKILLDDMGRAKRPWQVKKFYQDIIDNGLSPSFVTYAALLHAKRGLGLNVVLYNSILAMCADLGHVDKAVEIFEDMKSSGIKPDSWTFSSMITIFSCCGKVSEAENTLNEMFEAGFQPNIFILTSLIQCYGKAQRIDDVVKTFNRIFDWVITPDDRFCGCLLNVMTQTPNEELSKLVKCVERANPKLGYVVKLLVEEQDSEGNFKNEATDLFDSISTEVKKAYCNCLIDLCIKLNMLERSWELLDLGLTLEIYTNIMSRTSTQWSLNLKSLSPGAALTALHIWMNDLSKVLEAGEQLPPLLGMNTGHGKRKYSEKGLANVLESYLKELNSPFHEAPDKVGWFLTTKVAAESWLESRKSTDAVAA >Potri.002G230600.1.v4.1 pep chromosome:Pop_tri_v4:2:22211028:22218108:1 gene:Potri.002G230600.v4.1 transcript:Potri.002G230600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230600.v4.1 MLSFKEFWTKKTLVALGLGQFLSLLITSTGFSSSELARRGINAPTSQSFLNYVFLAIVYGSIMFYRKQALKAKWYYYAILSLVDVEANFLVVKAYQYTSITSVMLLDCWSIPSVMVLTWFFLSTKYRFKKIAGVAVCVAGLVMVVFSDVHTGDRSGGSNPRKGDALVIAGATLYAISNVSEEFLVKNADRVELMSLLGFFGAIISAIQISILERNEVKSIHWSAGAALPFFGFSVAMFLFYSLVPILLKISGSTMLNLSLLTSDMWAVVIRIFAYHEKVDWMYFVAFAAVAVGLIVYSGGDKEEDQHHADAGGEDAERSKHFDEEACSGNRGQKTIPGSSKTWDSSKHDLASTSIADEQDIESKNVGKDVWGKKS >Potri.002G230600.2.v4.1 pep chromosome:Pop_tri_v4:2:22211028:22218070:1 gene:Potri.002G230600.v4.1 transcript:Potri.002G230600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230600.v4.1 MLSFKEFWTKKTLVALGLGQFLSLLITSTGFSSSELARRGINAPTSQSFLNYVFLAIVYGSIMFYRKQALKAKWYYYAILSLVDVEANFLVVKAYQYTSITSVMLLDCWSIPSVMVLTWFFLSTKYRFKKIAGVAVCVAGLVMVVFSDVHTGDRSGGSNPRKGDALVIAGATLYAISNVSEEFLVKNADRVELMSLLGFFGAIISAIQIILERNEVKSIHWSAGAALPFFGFSVAMFLFYSLVPILLKISGSTMLNLSLLTSDMWAVVIRIFAYHEKVDWMYFVAFAAVAVGLIVYSGGDKEEDQHHADAGGEDAERSKHFDEEACSGNRGQKTIPGSSKTWDSSKHDLASTSIADEQDIESKNVGKDVWGKKS >Potri.002G198600.3.v4.1 pep chromosome:Pop_tri_v4:2:16142538:16145844:1 gene:Potri.002G198600.v4.1 transcript:Potri.002G198600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198600.v4.1 MATTSIASNTELSRAIAASIRPSSNKPLATQQPKGRCTFNRFSDRFPLLSVRATSNSSMSKENSSKSGDGAGGGLTYKDAGVDIDAGSELVRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYYATSRLDVDLAEKVIKGIVDGCQQSDCTLLGGETAEMPGFYAEGEYDLSGFAVGIVKKESVIDGKNIAAGDVLIGLPSSGVHSNGFSLVRRVLAQSGLSLNDQLPGGSVTLGEALMAPTSIYVKQVLDLISKGGVKGIAHITGGGFTDNIPRVFPKGLGAFIYKDSWEVPTLFKWIQEAGRIEDAEMSRTFNMGIGMVLVMTEEASRRILEEGQHKAYRIGEVVHGEGVSYH >Potri.010G069400.1.v4.1 pep chromosome:Pop_tri_v4:10:9783538:9787570:1 gene:Potri.010G069400.v4.1 transcript:Potri.010G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069400.v4.1 MAPTPSSSSSKSNHPHVLKTPQSKHRINFSSTRTPNPNPSPNPNYTIKETPQDHPIEVISRIRDYPERKEKPTSILQVNPENNTLRVRADFGYRDFSFDGVSFSEEEDLDSFYKKFVESRINGVKLGAKCTIMMYGPTGSGKSHTMFGCSKQPGIVYRSLKGILGEGEEGSEGGEGEKLQLGTFVQVTVLEIYNEEIYDLLSTNGGGGIGIGWPKSGSGYKVRLEVMGKKAKNATFISGNEAGKISKEIQKVEKRRIIKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQSGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTICTLEYGAKAKCIVRGPHTPIKDKLGAEDSSVGILGSRIAAMDQFIYKLQMENKLREKERNDAHKQLMKKEEEVAVLRALIEEKGSQASEEEINLKVNERTEILKLQLEKKLEECQRMAEEFVEMERRRMEEKILQQQQEVEMLRRRLEEIEFELCRSRDENGGENGPRDIDGCSFARRLLGVYADEDPGMVKSMDLDMGDQEAFVRDVRFVGASAHQSSVIGTQSLSSYPHFSTLNQVVDHEDKVCLSTVFEEEEVEEEEEHKNKVEDEEVEKEVIEVTRIVDVSSPGINFGAGSLISSPLKFEALKDGSEDRHSVSGPLNEYENVKDRHSVSGPVNEYENVKDSASSRRVRIQNIFTLCGNNRELCQQFRTPIPAKKRVADTDPQPSPILTVGKDSTQKISNKENSPLQKNVPLIECGKNLSDMMALASKENYNPSVKITDSQIEVHVKWEASKGNSGNFITTLKVLKDATLADLRKLIEIYLAADNQAFTFLVLGDPTGAPVPKEKESTVQAIKLPICNYQSHGYLACLRPAKGTQDSNQLPSTPLPLTPLENKLPLTPMPCLSHQVSDLSPKLAAHLNSTPFAALQRH >Potri.017G053801.1.v4.1 pep chromosome:Pop_tri_v4:17:4061937:4071292:-1 gene:Potri.017G053801.v4.1 transcript:Potri.017G053801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053801.v4.1 MSPSPLDILLSDDSDGLKGSGEIMDDMSSNITDNDRFIFQARFKFFQVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQEIFSSTPGVGDHHGCPYRHP >Potri.016G078000.1.v4.1 pep chromosome:Pop_tri_v4:16:5898280:5903770:1 gene:Potri.016G078000.v4.1 transcript:Potri.016G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078000.v4.1 MSSQTLISPETVEPKGRAVGGTEHSWCRAVPGGTGIAVLAILTSKLPRNSGLENALHKLQNSHPILRSRLHSGSNTNTFSFITSLTPFIKLKAFNLSSTFKILENPLKPKNQSLSPLHLVLEHELNQNSWYNHNKAPSFINDIQDMFFATTYALPNEKWVLVLRLHASACDRTTAVSLLQELVVLVSEEEKGALQKEIANEEEVTSSIEDLVPNKKAKKGLWERGIDMLGYSVNSLRLTNLKFKDSKSPRSSQVVRLQMNQKDTEKIIAGCRSRGIKLCGALASAGLIAAHKSKSRVDKQRKYAVVTLTDCRSVLDPTLSNHHFGFYHSAILNAHVMKGGEKLWELAQKTYAAFASYKNCNRHFSDMADLNFLMCKAIDNPGLTPSSSLRTALVSVFEDSVVDDHAALQKEVGVEDYMGCASAHGIGPSIAIFDTIRDGRLDCVCVYPSPLHSREQMQEFIDSMKSVLVEGCNHV >Potri.004G004000.1.v4.1 pep chromosome:Pop_tri_v4:4:250926:256541:1 gene:Potri.004G004000.v4.1 transcript:Potri.004G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G004000.v4.1 MAVFSRFARATRSWRTIPSSSLRTLNPSRATSCDLPPPTPLFLRSFNHQIHSSSSEILRPRWASNANELPNSLFPALLASFIGVGMLQVAHADSDENASKPPLPSESSPSSYGDLEEIAKKERQRIEELLKSKGIKYGSFPRFTIAVKGQKVTIKFQIPPACDTPQLIANLVSNLGVKVDERGAGSDMSLRAWDSAVAWQLTLNYPEKQKEISGERGHSGDMKVPEGDLCILLFRSLISSDKPEVEFIKSGSLSTTELDAFVSVLQLAGSKLRPLDRKPAEGSARVPSADKSVASLESMGVRIYGLDEPLVNSSSNDISWENIAGYDQQKREIEDTILLALQSPEVYDDIARGTRRKFESNRPRAVLFEGPPGTGKTSCARVIATQAGVPLLYLPLEVVMSKYYGESERLLGKVFTLANEIPNGAIIFLDEVDSFAAARDSEMHEATRRILSVLLRQIDGFEQDKKVVVIAATNRKQDLDPALISRFDSMITFGLPDRQNRQEIAAQYAKHLTESELEEFARVTEDMSGRDIRDVCQQAERSWASKIIRGQASKDGGQGNLPPLAEYTESAMNRRKALASIAEQKSQGSIMRPQKPQLDTC >Potri.007G047900.1.v4.1 pep chromosome:Pop_tri_v4:7:4405593:4411542:1 gene:Potri.007G047900.v4.1 transcript:Potri.007G047900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047900.v4.1 MDPDPSTQPPVIESSNKDSRNLEQHSMAAATRNHSSSSNLETEGFGISAFSRFTSGFGSLLPNTEESSQGNATSTQSGVFESFTKGLVDSSRNAVKAMQVKARHVVSQNKRRYQEGGFDLDMAYITENIIAMGFPAGDISSGLFGFFEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASRFGGKVACFPFDDHNCPPLQLITSFCQSAYSWLKEDIQNVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCVDGKALVLPSQIRYVKYFERILTYFNGENQPERRCMLRGFRLHQCPYWIRPSITISNNSGILFSTRNHPKTKDLMPEDFWIRAPKKKMVVFALPMELGLTELAGDFKIHFHDRQGDFYCWLNTTMTENRKILNGSDLDGFDKRKLPSPGFQVEVVMIDYDGTLPARSEVDSACKGSDVTSSGHVAATDGGVAGHSNRSDIAENDDVFSDSEGEETGVSKSRQAQAASGIGLAHPDHASNTTTEQMRNLTQGAEQLSLRSHEPSQINASKEPTAGGAGNPAPGTEIRHLDSGGASDIKAIAADASVFTFGDEDYESE >Potri.007G047900.3.v4.1 pep chromosome:Pop_tri_v4:7:4405775:4411554:1 gene:Potri.007G047900.v4.1 transcript:Potri.007G047900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047900.v4.1 MDPDPSTQPPVIESSNKDSRNLEQHSMAAATRNHSSSSNLETEGFGISAFSRFTSGFGSLLPNTEESSQGNATSTQSGVFESFTKGLVDSSRNAVKAMQVKARHVVSQNKRRYQEGGFDLDMAYITENIIAMGFPAGDISSGLFGFFEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASRFGGKVACFPFDDHNCPPLQLITSFCQSAYSWLKEDIQNVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCVDGKALVLPSQIRYVKYFERILTYFNGENQPERRCMLRGFRLHQCPYWIRPSITISNNSGILFSTRNHPKTKDLMPEDFWIRAPKKKMVVFALPMELGLTELAGDFKIHFHDRQGDFYCWLNTTMTENRKILNGSDLDGFDKRKLPSPGFQVEVVMIDYDGTLPARSEVDSACKGSDVTSSGHVAATDGGVAGHSNRSDIAENDDVFSDSEGEETGVSKSRQAQAASGIGLAHPDHASNTTTEQMRNLTQGAEQLSLRSHEPSQINASKEPTAGGAGNPAPGTEIRHLDSGGASDIKAIAADASVFTFGDEDYESE >Potri.007G047900.2.v4.1 pep chromosome:Pop_tri_v4:7:4405592:4411555:1 gene:Potri.007G047900.v4.1 transcript:Potri.007G047900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047900.v4.1 MDPDPSTQPPVIESSNKDSRNLEQHSMAAATRNHSSSSNLETEGFGISAFSRFTSGFGSLLPNTEESSQGNATSTQSGVFESFTKGLVDSSRNAVKAMQVKARHVVSQNKRRYQEGGFDLDMAYITENIIAMGFPAGDISSGLFGFFEGFYRNHMEEVIKFFETHHKGKYKVYNLCSERLYDASRFGGKVACFPFDDHNCPPLQLITSFCQSAYSWLKEDIQNVVVVHCKAGMGRTGLMICSLLLFLKFFPTAEEAIDYFNQKRCVDGKALVLPSQIRYVKYFERILTYFNGENQPERRCMLRGFRLHQCPYWIRPSITISNNSGILFSTRNHPKTKDLMPEDFWIRAPKKKMVVFALPMELGLTELAGDFKIHFHDRQGDFYCWLNTTMTENRKILNGSDLDGFDKRKLPSPGFQVEVVMIDYDGTLPARSEVDSACKGSDVTSSGHVAATDGGVAGHSNRSDIAENDDVFSDSEGEETGVSKSRQAQAASGIGLAHPDHASNTTTEQMRNLTQGAEQLSLRSHEPSQINASKEPTAGGAGNPAPGTEIRHLDSGGASDIKAIAADASVFTFGDEDYESE >Potri.006G203800.6.v4.1 pep chromosome:Pop_tri_v4:6:21178205:21180051:1 gene:Potri.006G203800.v4.1 transcript:Potri.006G203800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203800.v4.1 MDQQHLDDDEAKHWLPSHMFLSEAIPSRYTANSHYLSSNMDHHLPALLHRPSAPEATPCSQCIRPVVPDVSVNHLLPAYHDLGFSRGVEVGQRLCGNGTGQLSTRFDPVYSFQVKQRVDDFYLETRARVLQRQQNRLLQNQIYPSQANVIGLGGGYMKESGGTGVFHPRIVNPTTRTSTNVLKKKQAAAGPRNRQESPLTQQRNDRKRVGVSKQEDCYYHLSPEMALPQDWAY >Potri.016G086700.2.v4.1 pep chromosome:Pop_tri_v4:16:6814868:6817978:-1 gene:Potri.016G086700.v4.1 transcript:Potri.016G086700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086700.v4.1 MSNTPLKAVTLTLVRYQKGDQIGHFLAWVSLIPVFISLGGFLTHFIFRRELHGMFFALGLLISQFINGIIKTFVKQARPETCALLDMCDSLGWPSSHSQYMFFFAVYFTLLTLDGIGFSEIKNNWAVNFFPWSLAVLTMYSRVYLGYHTFAQVFAGAVLGFFLGAGWYWVVTNVISEYFPMIEESMFGRMFYVKDSSHIRNVLKFEYENARAARKNMDAKAD >Potri.016G086700.1.v4.1 pep chromosome:Pop_tri_v4:16:6814853:6817978:-1 gene:Potri.016G086700.v4.1 transcript:Potri.016G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086700.v4.1 MSNTPLKAVTLTLVRYQKGDQIGHFLAWVSLIPVFISLGGFLTHFIFRRELHGMFFALGLLISQFINGIIKTFVKQARPETCALLDMCDSLGWPSSHSQYMFFFAVYFTLLTLDGIGFSEIKNNWAVNFFPWSLAVLTMYSRVYLGYHTFAQVFAGAVLGFFLGAGWYWVVTNVISEYFPMIEESMFGRMFYVKDSSHIRNVLKFEYENARAARKNMDAKAD >Potri.019G008402.2.v4.1 pep chromosome:Pop_tri_v4:19:1138173:1140503:1 gene:Potri.019G008402.v4.1 transcript:Potri.019G008402.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008402.v4.1 MVNRHYIWAFAGELLGFNQFIPPFATARGRDILVGVNYGSGAAGIRDESGRQLGDRISLNEQLQNHAATLSRLTQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYLLGARKIALPGLGAIGSIPYSFSTLCRNNLSCVTNINNAVLPFNAGLVSLVDQLNRELNDARFIYLNSTGMSSGDPSVLGFRVVDVGCCPARSDGQCIQDSTPCQNRTEYVFWDAIHPTEALNQFTARRSYNAFLPSDAYPTDISHLIS >Potri.019G008402.1.v4.1 pep chromosome:Pop_tri_v4:19:1138173:1140897:1 gene:Potri.019G008402.v4.1 transcript:Potri.019G008402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008402.v4.1 MAYKIKVCCLLLVLLKLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRTVVDIIGELLGFNQFIPPFATARGRDILVGVNYGSGAAGIRDESGRQLGDRISLNEQLQNHAATLSRLTQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYLLGARKIALPGLGAIGSIPYSFSTLCRNNLSCVTNINNAVLPFNAGLVSLVDQLNRELNDARFIYLNSTGMSSGDPSVLGFRVVDVGCCPARSDGQCIQDSTPCQNRTEYVFWDAIHPTEALNQFTARRSYNAFLPSDAYPTDISHLIS >Potri.015G111884.1.v4.1 pep chromosome:Pop_tri_v4:15:12825787:12828365:-1 gene:Potri.015G111884.v4.1 transcript:Potri.015G111884.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111884.v4.1 MDGISTSARCSSKLKRRRVDAIREFPKVCGIFPVVMDSRDGEDYIVDHVQPPQNPVTQAARRKYPPPRILKGSSVQRDFPSGFGQVRSAVKQNLFSVSHDISSHGCGKQNSFVSQENASENSYAGNQNFSSVSKKIFAQNKKKFIVADYRSMRSDGTLGNKETGGHRGSSASCDKVKEVLRLFHLTLAKLSKENLAKPKMEREFNVPRKAAILIKDHQKWIDTSKRVGPVLGVNIGDKFRFQAELNVIGLHCHFYNGIYYMKKNGISLATSIVVSERYPNNMESYDVLIYSGHGGNPTVRGRQAVKDQKLQLGNLALKHSMDCKTPVRVIYKVKLKSSKTFSLKGTGWSKNLNPIFVYDGLYIVEKFWEERGEFGKLVFKFKLKRNLDQPKLPQRLEKKLLLSR >Potri.007G043600.1.v4.1 pep chromosome:Pop_tri_v4:7:3739549:3741535:-1 gene:Potri.007G043600.v4.1 transcript:Potri.007G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043600.v4.1 MAVSFSPILHLPHSLPSPPPLKSSRTKISATASLSSSSSSSSSASQMDLHNQGRQKFIEFPFVSAPHRDLMVNLLSTVEDRLGSHLLPCTLPLDVQHCQNESGSAQASLHIRSGLQSSQVDFILGSWLHCKLPTGGALNITSLSAYLNPSTDAPNFMIELIQSSPTSLVFILDLPPRKDPVLSPDYLQTFYENTQLDTHRQMLAKLPEVQPYVSSSLYLRSVLSPTVVMIQIGAQAGGPERMEEIVKNHIDPTAQEVLGIWLDHCARGERLVGEEEKAYMMKRDELIKKKTIEIDLGTNFPRLFGPEVTDRVLGAIQKVYNI >Potri.009G054500.1.v4.1 pep chromosome:Pop_tri_v4:9:5848056:5851912:1 gene:Potri.009G054500.v4.1 transcript:Potri.009G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054500.v4.1 MEKLATVVLFMPFGMVFCLTGVLITIIQAACFLIIWPLSRNTYRRIVGAVTEILFLEMIFLMDWLAGLEVRLHTDLETYELIGKENALVMPNHICDADVLIMWLLAERFNCLRGALMVMKKSSKYLPIYGWANWFNGAVFLNRNWAKDEGKLKSSFQELKDFPGSFWLTIFVEGTRITPDKLLAAQEFAILKGLPVPKNVLIPRTKGFVTAVQYMRPFVSAVYDVTVAVPKGHPIPSVKRFFRRQPSVVHFHIKRYATKGLPESDEGVAQWCKDRFVVKDAMLEEFRANDTFEGKEIRDFRIRPKKSLIAVIFLACICSIGAIMFIQRFSLLSNWKGISSLAFAVAFDAILIYTFIEYTKLPEQGKAQATNGQVVKLH >Potri.010G083300.1.v4.1 pep chromosome:Pop_tri_v4:10:11076939:11085314:1 gene:Potri.010G083300.v4.1 transcript:Potri.010G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083300.v4.1 MATLLHSESRRLYSWWWDSHISPKNSKWLQENLTDMDAKVKAMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATVELRQAHRTMAEAFPNQVSYAPGDESPSGSFGPDGEPHTPEMPHPICAFLDPDGLHRDSFGLSMERNGGYPEESDSGINKKGLKQLDELFMSREAASQVSKVADGKMKKGLKVHEAAETEVQILKKALSEIQTEKEAALLQYQQSLQKLSSLERELKDVGGLDERASRAEIEIKILKETLAKLEAERDAGLLQYNKCLERISALENVISQTEEDSKGLNERAIKAEIEAQHLKQELSALEAEKEAGLLQYNQCLQLLSSLRKKIFIAEENSRMLNELTERAETEAKALEKALAKLKEEKEAAELQYELCLEKIAMMESEIFHAQEDVNRLNSEILTGAAKLKTVEEQCFLLERSNHSLQSEAENLAQKIATKDQELLEKENELEKLQASLQDEQSRFIQVEATLQTLQKLHSQSQEEQKALAFELQNRLQILKDLEISNHDLQENLQQVKEENQSLNELNSNSVISITNLKNEIFSLKEMKEKLEEDVSLQVAQSNSLQQEIYRLKQEIECSNTRYWALMEQVDLLGLSPECLGSSVKNLQDENSKLKEVCRKDSEEKEVLHEKLRAMDKLMEKNVALESSLSDLNRMLEGSREKVKELQESSQFLQGEKSSLVAEKSILLSQLQIMTENVQKLLEKNDLLENSLSGANIELEGLRTRSRSFEELCQTLKNEKSNLQDERSSLVLQLKNVEERLGNLERRFTRLEEKYTGLEKEKDSTLCQVKDLWGFLGVEKQERSCYIQSSESRLEDLENQVHQLKEKSRLSKKDFEEELDKAVNAQVEIFILQKFIKDLEEKNLSLLIECQKHVEASKFSNKLISELETENLEQQVEVEFLLDEIEKLRMGVRQVLRALQFDPVNEHEDGSLAHILDNIEDLKSLVLVKEDENQQLVVENSVMLTLLKQLGLDCVELESEESMLEHELKIMAEQHTMLETSNHELLEINRQLRLEMNKGEQQEEELKAQLETQLVNLTSLQGSYQQLKEENLKALGENRSLLQKVLDLKEETHVLEEENSSILQEAVAVSNISSVFESFATQKIKELEALSEDISSLNVINRDLKQKVELLGYKLQTKEAEGLHLNKRIENLQQELQEEKDLTDQLNCQILIETDFLQEKEKELFLAEQNIKATNNLNAEFCTTIEELKRQCEESKIARDIIEKRVLELSQVCTDQKIEIECLHEAKDNMESEMATLHKEIEERRTREDNLSLELQGRSNESELWEAEASSFYFDLQISSIHEVLLQNKVHELTAVCGILEVENATKDIEIEKMKERFGILESEIQRMKAHLSAYVPVINSLRENLEYLEHNALLRTSRGQTGVETTSQLHEKSPEELINDESTAETDGISDLLKMKSRIKVVGEAMIKEMDRLAAEKAVVKEMDKLKMPEMGNTEKPLIKGAERLQLRCWSAAEKDVRKEKVELANEPADASKPQNKKPEVSEVRNGILMKDIPLDQVSECSLYRSKREHPRKDDQTLELWESAERDCLDPMADKQNQEAASLENATARRQFKNAKRKSQDRSLELQIEKEVGVDKLEVSTSITTESNQEGNGGKILERLASDSQKLISLQTTVQNLKKKMELMKRSKRANDLEFERVKRQLQEVEEAVHQLVDADDQLTKDAEESPSYLEGNTSVEVEEHDSMRRKRVAEQARKRSEKIGRLQFEVQSIQSILLKLEDGKKSKSKRRFSGSRTGILLRDFIYSSGRRSSRRQRKGCFCGCARPSTEED >Potri.010G083300.5.v4.1 pep chromosome:Pop_tri_v4:10:11079429:11085886:1 gene:Potri.010G083300.v4.1 transcript:Potri.010G083300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083300.v4.1 MERNGGYPEESDSGINKKGLKQLDELFMSREAASQVSKVADGKMKKGLKVHEAAETEVQILKKALSEIQTEKEAALLQYQQSLQKLSSLERELKDVGGLDERASRAEIEIKILKETLAKLEAERDAGLLQYNKCLERISALENVISQTEEDSKGLNERAIKAEIEAQHLKQELSALEAEKEAGLLQYNQCLQLLSSLRKKIFIAEENSRMLNELTERAETEAKALEKALAKLKEEKEAAELQYELCLEKIAMMESEIFHAQEDVNRLNSEILTGAAKLKTVEEQCFLLERSNHSLQSEAENLAQKIATKDQELLEKENELEKLQASLQDEQSRFIQVEATLQTLQKLHSQSQEEQKALAFELQNRLQILKDLEISNHDLQENLQQVKEENQSLNELNSNSVISITNLKNEIFSLKEMKEKLEEDVSLQVAQSNSLQQEIYRLKQEIECSNTRYWALMEQVDLLGLSPECLGSSVKNLQDENSKLKEVCRKDSEEKEVLHEKLRAMDKLMEKNVALESSLSDLNRMLEGSREKVKELQESSQFLQGEKSSLVAEKSILLSQLQIMTENVQKLLEKNDLLENSLSGANIELEGLRTRSRSFEELCQTLKNEKSNLQDERSSLVLQLKNVEERLGNLERRFTRLEEKYTGLEKEKDSTLCQVKDLWGFLGVEKQERSCYIQSSESRLEDLENQVHQLKEKSRLSKKDFEEELDKAVNAQVEIFILQKFIKDLEEKNLSLLIECQKHVEASKFSNKLISELETENLEQQVEVEFLLDEIEKLRMGVRQVLRALQFDPVNEHEDGSLAHILDNIEDLKSLVLVKEDENQQLVVENSVMLTLLKQLGLDCVELESEESMLEHELKIMAEQHTMLETSNHELLEINRQLRLEMNKGEQQEEELKAQLETQLVNLTSLQGSYQQLKEENLKALGENRSLLQKVLDLKEETHVLEEENSSILQEAVAVSNISSVFESFATQKIKELEALSEDISSLNVINRDLKQKVELLGYKLQTKEAEGLHLNKRIENLQQELQEEKDLTDQLNCQILIETDFLQEKEKELFLAEQNIKATNNLNAEFCTTIEELKRQCEESKIARDIIEKRVLELSQVCTDQKIEIECLHEAKDNMESEMATLHKEIEERRTREDNLSLELQGRSNESELWEAEASSFYFDLQISSIHEVLLQNKVHELTAVCGILEVENATKDIEIEKMKERFGILESEIQRMKAHLSAYVPVINSLRENLEYLEHNALLRTSRGQTGVETTSQLHEKSPEELINDESTAETDGISDLLKMKSRIKVVGEAMIKEMDRLAAEKAVVKEMDKLKMPEMGNTEKPLIKGAERLQLRCWSAAEKDVRKEKVELANEPADASKPQNKKPEVSEVRNGILMKDIPLDQVSECSLYRSKREHPRKDDQTLELWESAERDCLDPMADKQNQEAASLENATARRQFKNAKRKSQDRSLELQIEKEVGVDKLEVSTSITTESNQEGNGGKILERLASDSQKLISLQTTVQNLKKKMELMKRSKRANDLEFERVKRQLQEVEEAVHQLVDADDQLTKDAEESPSYLEGNTSVEVEEHDSMRRKRVAEQARKRSEKIGRLQFEVQSIQSILLKLEDGKKSKSKRRFSGSRTGILLRDFIYSSGRRSSRRQRKGCFCGCARPSTEED >Potri.015G001900.1.v4.1 pep chromosome:Pop_tri_v4:15:94845:98444:-1 gene:Potri.015G001900.v4.1 transcript:Potri.015G001900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001900.v4.1 MWRRSSSSSISLINHGVRAFHHHLSSLSATTTPRTLLSSSNSPLFHPPSSHLAHNNRLSVRFLRTGRDPFTSYEITAPVNWGIRIVPEKKAFVIERFGKYLKTLPSGIHFLIPFVDRIAYVHSLKEEAIQIPDQSAITKDNVSILIDGVLYVKIVDPKLASYGVENPIYAVVQLAQTTMRSELGKITLDKTFEERDTLNEKIVESINVAATDWGLRCLRYEIRDISPPRGVKQAMEMQAEAERRKRAQILDSEGKRQADINIADGHKSAEILASQGEKQAIINKAQGEAEAIFAKAQATAKGIAIVSEHIKKSGGVEAASLKIAEQYVGAFGNIAKEGTTILLPSATGNPANIMAQAFTMYKSLLGNVSNGGRNGSSSLAETTSAESVDSTTVLEDNASSFAIKSTGESARDNGEPGFSLQSRKKGKAE >Potri.009G158400.1.v4.1 pep chromosome:Pop_tri_v4:9:12296733:12307019:1 gene:Potri.009G158400.v4.1 transcript:Potri.009G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158400.v4.1 MDVHVIDDEEGTSHRGVAYNGDAEPNDSGEANNGEHDEDGAAELHEPCVGMEFDSENAAKTFYDEYARRLGFSTKVAHFTRPKTDGAIAAREFVCGREGLKRRSADSCHAMLRIELKRGKWVVTHFVKEHNHSTVNPNKVHYLRPRRHFAGAAKSAAKTGQGVGVSPSGDGQAAVVAASGFSQGGGVVPSGVMYLSMDGHRTPVSETNHGVRNTTPAEPNRVVKTSTAVNYIARSSNQKRTLGRDAQNLLEYFKKMQAENPGFFYAIQLDDENRMANVFWADAKSRTAYTHFGDAVTFETSPRVNQYRVPFAPFTGLNHHGQTILFGCAILLDDSEASFVWLFKTFLTAMYDQQPASLITNQDKAIQTAVSQVFPDTRHCISKWHVLREGQEKLAHVCNAHPNFQLELYNCINLTETIEEFENSWIDILDKYDLRGHDWLQSLHDARAQWVPVYFRDSFFAVMCPNQGFDGTFFDGYVNQQTTLPMFFRQYERALDNWFERELEADFDTICTTPVLRTPSPMEKQAANLYTRKIFAKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDQRAYMVSLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPPHYILKRWTRNAKTGAGTDDRGVDLPGQESLTLRYNNLCREAIKYAEEGAIAVETYNAAMGALREGGKKVAAVKKNVAKVSPPGCQGGGTGNDDWKTSTSASDTTPFLWPLQDEVTRRFNLNDTGNPVQSVADLNLPRMAPVSLQRDDGPPGNMAVLPCLKSMTWVMENKSSTPGNRVAVINLKLQDYGKTPSTELEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSISVEPQAEPPSKKHRK >Potri.009G158400.2.v4.1 pep chromosome:Pop_tri_v4:9:12296754:12306891:1 gene:Potri.009G158400.v4.1 transcript:Potri.009G158400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158400.v4.1 MDVHVIDDEEGTSHRGVAYNGDAEPNDSGEANNGEHDEDGAAELHEPCVGMEFDSENAAKTFYDEYARRLGFSTKVAHFTRPKTDGAIAAREFVCGREGLKRRSADSCHAMLRIELKRGKWVVTHFVKEHNHSTVNPNKVHYLRPRRHFAGAAKSAAKTGQGVGVSPSGDGQAAVVAASGFSQGGGVVPSGVMYLSMDGHRTPVSETNHGVRNTTPAEPNRVVKTSTAVNYIARSSNQKRTLGRDAQNLLEYFKKMQAENPGFFYAIQLDDENRMANVFWADAKSRTAYTHFGDAVTFETSPRVNQYRVPFAPFTGLNHHGQTILFGCAILLDDSEASFVWLFKTFLTAMYDQQPASLITNQDKAIQTAVSQVFPDTRHCISKWHVLREGQEKLAHVCNAHPNFQLELYNCINLTETIEEFENSWIDILDKYDLRGHDWLQSLHDARAQWVPVYFRDSFFAVMCPNQGFDGTFFDGYVNQQTTLPMFFRQYERALDNWFERELEADFDTICTTPVLRTPSPMEKQAANLYTRKIFAKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDQRAYMVSLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPPHYILKRWTRNAKTGAGTDDRGVDLPGQESLTLRYNNLCREAIKYAEEGAIAVETYNAAMGALREGGKKVAAVKKNVAKVSPPGCQGGGTGNDDWKTSTSASDTTPFLWPLQDEVTRRFNLNDTGNPVQSVADLNLPRMAPVSLQRDDGPPGNMAVLPCLKSMTWVMENKSSTPGNRVAVINLKLQDYGKTPSTELEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVINLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSISVEPQAEPPSKKHRK >Potri.006G123000.1.v4.1 pep chromosome:Pop_tri_v4:6:9791818:9799941:1 gene:Potri.006G123000.v4.1 transcript:Potri.006G123000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123000.v4.1 MEIDKAIRESDDRRLKTKYNNAIYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKMEQKCSNGGLTSFPIRTIYFESSAAFPEINSFTYDTASSYGLQLDIISSDFKSGLEKLLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFILTCKVQYCSLYDQGYTSIGSIHDTVPNALLSISDSCCKEKFKPAYLLSDGRLERAGRAKKFSSSINAVGNGSGSVDSHKSSLLVASVIAVGEEILFGTVEDQLGPSLRRKIHSIGWSVSQTVVVQNDKDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTLAGVAKAFGVRLAPDEEFEEYLRHLISDHCTGDQNEMALLPEGITELLHHEKLAVPLIKCQNVIIFTATNATELDKEWDCLIELTRSCGFLPTMVPYVSKHLQTNLSDVETAQPLSKLCLEFPDLNIGCYRKSRKGPLIISFEGKDQTQIESAVESLCKLFHPGTFSGIH >Potri.006G123000.8.v4.1 pep chromosome:Pop_tri_v4:6:9791891:9800004:1 gene:Potri.006G123000.v4.1 transcript:Potri.006G123000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123000.v4.1 MEIDKAIRESDDRRLKTKYNNAIYVIKRALALYSIEEVAFSFNGGKDSTVLLHLLRAGYFLHKMEQKCSNGGLTSFPIRTIYFESSAAFPEINSFTYDTASSYGLQLDIISSDFKSGLEKLLKANPIRAIFLGVRIGDPTAVGQEQFSPSSPGWPPFMRVNPILDWSYRDVWAFILTCKVQYCSLYDQGYTSIGSIHDTVPNALLSISDSCCKEKFKPAYLLSDGRLERAGRAKKFSSSINAVGNGSGSVDSHKSSLLVASVIAVGEEILFGTVEDQLGPSLRRKIHSIGWSVSQTVVVQNDKDSVAEEVERRKSTNDMVFIYGGVGPLHSDVTLAGVAKAFGVRLAPDEEFEEYLRHLISDHCTGDQNEMALLPEGITELLHHEKLAVPLIKCQNVIIFTATNATELDKEWDCLIELTRSCGFLPTMVPYVSKHLQTNLSDVETAQPLSKLCLEFPDLNIGCYRKSRKGPLIISFEGKDQTQIESAVESLCKLFHPGTFSGIH >Potri.016G025000.2.v4.1 pep chromosome:Pop_tri_v4:16:1400257:1402744:-1 gene:Potri.016G025000.v4.1 transcript:Potri.016G025000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025000.v4.1 MAAEMALVKPISKFSSTPKFGNPRSFSYPKFSTIKMSATAQTTRSPKPKKKGNKGEIKETLLAPRFYTTDFDEMETLFNTDINKNLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLMENPDYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKLIAVNESQDNSFVKNFKRIPLIAALASELLAAYLMPPIESGSVDFAEFEPQLVY >Potri.005G006500.1.v4.1 pep chromosome:Pop_tri_v4:5:468375:471379:-1 gene:Potri.005G006500.v4.1 transcript:Potri.005G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006500.v4.1 MISHSPLLSQPLSHFLLLLLLPLFFTSVASQCKNPPIIFNFGDSNSDTGGLVAGLGFPVNLPNGRTFFHRSTGRLSDGRLLIDFLCQSLNASFLSPYLDSLGGSGFTNGANFAVVGSSTLPKYVPFSLNIQLMQFLHFKARTLELVTAGFGNFITDEGLRNALYIIDIGQNDIADSFSKNMSYAQVTKRIPSVILEIENAVKVLYNQGGRKFWIHNTGPLGCLPQKLSLVQKKDLDPIGCISDYNRAAGLFNEGLRRLCERMRSQLSGATIVYVDIYSIKYDLIANSSKYGFSSPLMACCGSGGPPYNYDIRLTCSQPGYQVCDEGSRYVNWDGIHYTEAANSIIASKVLSMAHSSPSIPFDFFCRN >Potri.007G037066.2.v4.1 pep chromosome:Pop_tri_v4:7:2937930:2951589:-1 gene:Potri.007G037066.v4.1 transcript:Potri.007G037066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037066.v4.1 MANLAENEKVVLVGHSLGGLNVAFAMEKFPEKISLAVFVTAFLPDTEHRPSYMLEKFIENSPAVADGWQSVVSSTAGYETFMKSTAFNLASPEDLSLQTLLKRSGSLFLESLAKANKFTKEKFGSVVRDYVVCTQDLLVVPSLQRFMIEHNEVKEVMEIPADHMAIASRPKELCQCLLEFARKHA >Potri.007G037066.1.v4.1 pep chromosome:Pop_tri_v4:7:2937928:2939308:-1 gene:Potri.007G037066.v4.1 transcript:Potri.007G037066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037066.v4.1 MANLAENEKVVLVGHSLGGLNVAFAMEKFPEKISLAVFVTAFLPDTEHRPSYMLEKFIENSPAVADGWQSVVSSTAGYETFMKSTAFNLASPEDLSLQTLLKRSGSLFLESLAKANKFTKEKFGSVVRDYVVCTQDLLVVPSLQRFMIEHNEVKEVMEIPADHMAIASRPKELCQCLLEFARKHA >Potri.001G462800.1.v4.1 pep chromosome:Pop_tri_v4:1:48879097:48881093:1 gene:Potri.001G462800.v4.1 transcript:Potri.001G462800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462800.v4.1 MVPFRCSILSIFVVLLLSPGLTFSLPIKDSFVQCLSQNSEFVIPASDFYTPDNSSSFNAVLESTAQNLRYLLPSVPKPEFIFTPLYEAHVQASVICCKQLGIHLRVRSGGHDYEGLSYATEIETQFIVVDLAKLRAVQVDIEDNSAWVQAGATIGELYYRIAEKSEAHGFPGGLCSSLGIGGHITGGAYGSMMRKYGLGADNVIDARIIDASGRVLERRAMGEDLFWAIRGGGGASFGIITAWKVKLVPVPSTVTVFTVTKTLEQGGTKLLYRWQQVADKLDEDLFIRVIIQAADGATKGKRTVTTSYNALFLGDAKRLLNVMEQGFPELGLTLKDCTETTWLKSVLYIAGYPANTPPEVLLQGKSTFKNYFKAKSDFVTEPIPETALEGIWERYFEEATPFMIWNPYGGMMSEISESSIPFPHRKGILFKIQYLTMWQNPAEDASKHIDWIRRLYNYMAPYVSMFPRQAYVNYRDLDLGINKESNTSFIEASAWGAKYFKDNFNRLIQVKTKVDPDNFFKHEQSIPPLPVSFRKRKGRGHHGIH >Potri.012G033899.1.v4.1 pep chromosome:Pop_tri_v4:12:3054442:3055544:1 gene:Potri.012G033899.v4.1 transcript:Potri.012G033899.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033899.v4.1 MMRCNLPIIFGIINFLGGQSSSRPLGDATLDGVDFDIETSSGQFWDDLARALSGFSQQRKVYLAAAPQCFFPDAKLDTAIKTGLFDYVWVQFYNNPQCQYTNDDANGLLKAWSQWTTVQANQVFLGLPAAPEAANSGGFIPADVLTSQVLPSVKNSPKYGGVMLWNKLFHNGYSAAIKGSV >Potri.012G091100.2.v4.1 pep chromosome:Pop_tri_v4:12:11603870:11605078:-1 gene:Potri.012G091100.v4.1 transcript:Potri.012G091100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091100.v4.1 MATHTTNPALDPDLDKPDNPNHEFAQFGAGCFWGVELAFQRLHGVVKTEVGYSQGNVPDPTYKLVCTKTTNHVEVVRVQFDPEVCPYTNLLSLFWSRHDPTTLNRQGGDVGTQYRSGIYYYNEAQAKLAQESKEAKQLGLKDNTVVTEILPAKRFYRAEEYHQKYLEKGGGRSAKQSAEKGCNDPIRCYG >Potri.003G021700.1.v4.1 pep chromosome:Pop_tri_v4:3:2250324:2272822:1 gene:Potri.003G021700.v4.1 transcript:Potri.003G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021700.v4.1 MAASVEESSALISKLESPSISTPIYSPFSNYLLPFTDLSKKPLNQNQTLTLTRSLAKKFLPFVNRCLSILPKRLSDLLNSPSFKQDDGGIPELVIEMFDAYRLCLDCLESVASQLAGKPYAVYRQRLRLACCLDAWGLYREGENEGFRVLERLRGLDSGPKSKNNRKKKLGEYLPVLLEDGDLDFAKMVVEVAVAILKCVALGQSKNDEDYKRVIGMVHEVKPWFRVLDANSHEKLHRMLVTYLRKCTQFLAGELMIFDGGTVCAFCTATLNEYAESSMKDQIYKFARHICSVFFLQVDRYSVKFDILMCVLDSLAQKCKVEVEIWGTELVELVAYCASKCHAATTISCSTFAECLNDLAGAFCQVMTPLEMIIRLYAIGLSFIDHNAKSMIGDVMPSKGAKDEHAVGILDGVTLCNLAPVLGSLRSYFYDNCEENCVLCGIDYQDLASDIHLDSHHGTLLNCTQKSREVYLLAYLNVLKFLCKPLSERVISQNKQIIFENDVASLSMMLCSIQEAFHQFSDIVLYFHRNKSKREAAGFDENKMILTVSVATFILSSRTKHKLQKSVHLIKQIIASEWIQPQGLKYISASLYSVGLLLYRNKQVNEALKPLKLCCRASWKCVKLLSEMSMQKSEGFVGDLSEDAILDFVTEACNQTVFLLDVLHKSGSLRVKKIIVNSLENWSVAEDLFRRLSGPVPLVKQWVKMQCENNKNMIVDDDAPTLYCLLSSSMRVSKRTIGKILQQELLAYEEMYAVHPEFCQRMQMEVIDILLKDVYVTDSHLQKSRVLIRKGRALRSCGSEGLEDCIQCLSEAISVINDESCSHGTPACHHLAVTYCLRALCTQEVEPNSKQVFQDIKAALDLWLSIPIPDYGIAYDEGIMSPDSALLFLYNIVDLLAMKGSMEFHNDIYKLMIRLFEWKNVQLEMCLSILWESRRLTHALCVSPVNDALIMTSPGFSGEQFRSIDSWIHCLKGSPPLLVGFQHNFSYLFTNFHCDPDNQKPYKSDITVDDVKEAAFKLISSVPATSYSFFIAGHLYYDLCERLLANGRLFEALSYAKEAHRLRTKLFKEKFMYTVEKQSENCTGAGSDMQKNTYGLSDVRMQKSVACEVWSFDTLPQDMDACYLSPWKILQCYLESTLQVGTIHELIGNGIEAEIFLRWGKDISRSQCLPLFIVAFSSVLGKLYCNKGSWDLSEKELRNAKHVLVHGCADFSCLKCGLMLEATIDQRLGDLSHSLFNTTRSIERLSLAESLYRSALDRLGHPEWKNSVSYSKDVEEIEGASVCFPTCQVGPKLKMESQKCRKTKKATKCLLKEQSSVTEHNTRLTRSRYHSFQNQKVDSSAEVQVGPLNQLKGNKTCDIVDPNGQRQWLSGRKSCMVDLGCEIICICNGKKCWFCLAREVKESGLLSNFIYLKWEFARRRLSIRLLSGIGKCLEIQGRFHEAHEIISQSISVLVSRNPFTHARIPFTFLLDLVGKELPGDVFSIERAAVLYDISWCSLKSYSSKDNGTICCDLYHVQVSKIVSWLMLAFVLCRQVPAVLQKVSRLLSAIFVLSSSSKTFSLSNYSKVLSESHWASFFHQASLGNNLNCQFLSNTTLKHKAQNFADDQGSCVTASAWEGAETCNLPRLAPESFQDLEQFVTEFYSGLPCTTVICISLIGGPCANLLKDLLQYPSCISAWMLLSRLKFKSQPIMMLLPVNKVLEETSDDDCAMSCTGEFLVSNNLDKHWHCPWGSTVVDDVAPTFRFILEENYLSSSKFPLEDTKENRNLWWTKRKELDHRLGKLLRKIEDSWLGPWRCVLLGDWFNYSRLDSIMKKLVHDLKSKCKINTNESFLKVILQGAGHSFNEEACISSLMSLKKGCFIAQAGYSEEKRCEIFSEVSEGAKKLSDLAVQLVYDAVNELQEEESTIREPVILVLDYEVQMLPWENIPILRNQEVYRMPSVGSICFTLDRSCRQQEQVEKITTAFPLIDPLDAFYLLNPGGDLSSTQVEFENWFIDQNLEGKAGSAPTSEELSSALKNHDLFIYFGHGSGAQYISQQEIQKLENCAATLLMGCSSGSLSLNGCYAPQGTALSYLLAGSPVIVANLWEVTDKDIDRFGKAMLDAWLKERSSVSLGCDQCNLVAKEFEAMNIKAGKGKAKKKVPKTKAAGTFDGGVVINSCDHRPKIGAFMGQAREACTLPFLIGASPVCYGIPTSIGIKKDL >Potri.009G063500.1.v4.1 pep chromosome:Pop_tri_v4:9:6468040:6469797:-1 gene:Potri.009G063500.v4.1 transcript:Potri.009G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063500.v4.1 MAKSSTVKVVEHCIVSPPPNSAPPTILPLTFLDIPWLFFSPSQPLTFYEYPHSTSHFLSTTIPSLKHSLSLALQHFFPFAGNLVFSPAGSTKPNIVYNDGDYVTLTVAESSGDFSYFTSNYARDVNGFYPLVPKLATTSTVATGTREEVVPLFAVQVTVFANCGICIGLAYHHVVADGRTFNNFIKAWAFLSAHDCSLSIASLPSYNRSVIKDEHGLEEIFLKELWKRKSSQEMVLGPEAQVDLPSMVRATFVVRLADMERIKNWIVVQCKSKNQPQPVHLSPYVLTCAFLWVCLVRAKIQRGGSENHCYSRGEDPIYLGFIAGGMTRIDFPVPTTYFGNCVGFGRSTATRNELMGEDGIIVAANVIGNTIRRLDKEIFGGAEKWISEWEVMFGSVIHVIVSGSPKLNLYETDFGWGRPKKIEDISIDKIRAISLLESRDEKGGIELGLAFPTGEMDAFKTLFIQELEALQ >Potri.014G118200.1.v4.1 pep chromosome:Pop_tri_v4:14:7967193:7969133:-1 gene:Potri.014G118200.v4.1 transcript:Potri.014G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118200.v4.1 MERREVVKVEKFDGSPAFSTTNDHVQTSYPFMQGQYGFNFVDGDKNALGFMELLGMQDFSPLLDMIQVPVPPAQAPNVQVVTESPPELLNQPATPNSSSISSASSEGLNDEPVNKSVDDEVEEQEKNKKELKPKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTASCNVKKRVERSFSDPSVVVTTYEGQHTHPSPVMPRPNFTGPASDSGFSSTAAFVMPMQRRLSFYQQHQSRQQQPPFVNSLSPLGFGYNGSNTNAAAINYLKYEKRFCSSSGSTLLNDHGLLQDLVPSHMLKEE >Potri.017G040900.1.v4.1 pep chromosome:Pop_tri_v4:17:2723836:2724938:-1 gene:Potri.017G040900.v4.1 transcript:Potri.017G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040900.v4.1 MVKIGGVLVCLLIVAMDVVAGILGIQADIAQNKVKHLRLWIFECRDPSQDAFKLGLAAAGVLGLAHVIANLLGGCMCICSQEELQRASPNRQLSVACFLFTWIILAVGLSMLVIGTMSNNKSRASCGFTHHHFFSIGGILCFVHGLFCVAYYVSATAAVGEEKHGGHA >Potri.008G004500.1.v4.1 pep chromosome:Pop_tri_v4:8:187259:190599:-1 gene:Potri.008G004500.v4.1 transcript:Potri.008G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004500.v4.1 MSDNVDNNTKVDYTPFGKLPDHLLVEIFVRVPVSEWAQISCVKRQWANVFRGECLWQAALTRTYPLAHQTKRWPGPIPRGLSRRRYTALYVSKRVFALDGEMDEIVGHAYLFLKEQLEFSDMPSTSSILHGTIIDQFIACGKSRDIAHELASQIWLAVLDNLEDNEHTFLILKRLALEGDVFLPYPYTKSIKVQWKVFEKLFTDFRDCFNHVDYYDVLGCAKNKFQPIPSAWLGY >Potri.008G108400.1.v4.1 pep chromosome:Pop_tri_v4:8:6869278:6870590:1 gene:Potri.008G108400.v4.1 transcript:Potri.008G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108400.v4.1 MAQYAITFRLWVLSAVLVGVSIFCCNLGKADEGEDVPQTGTGIIGGVPQTGTGTIGGVPQTGTGTGTIGGVPQTGTGTGIVDADPAEIVAKALLCFSDKYLYSSCEVAYRLTQTGKLNVPPEYADSYCGGPCLVETHLVLSCIENIMKHFVFYNKATIEDIRDTIKAGCGYGPERGNFDVSEHLQFEENNAFKTANQILLGVGFMFGGHALLL >Potri.011G149400.1.v4.1 pep chromosome:Pop_tri_v4:11:17649303:17649479:1 gene:Potri.011G149400.v4.1 transcript:Potri.011G149400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149400.v4.1 MILVAIMAELMEEYTALLTSVLEHLFNEAPFPRRVRFLILHNLPFASSAHPPLLRPPN >Potri.005G113200.1.v4.1 pep chromosome:Pop_tri_v4:5:8233823:8235374:-1 gene:Potri.005G113200.v4.1 transcript:Potri.005G113200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113200.v4.1 MDQIHHFSRQMLNGNLPRQEEQADQGGSIAGIGIASVMILILVVLISVSICKCSISLINFPSNNQQNPTTSNSCSSESDTQTHHELVALPVFVFGEQTPPSAPTLPQSSSSSSSSPFAFSDKSCAICLDDYAYGEFIRVLPRCKHMFHKDCIDNWLSSRTSSCPICRDQIIDKNVESTRIDSPNMVENVTGSFTLFPVSNSTIPN >Potri.005G113200.2.v4.1 pep chromosome:Pop_tri_v4:5:8234438:8235249:-1 gene:Potri.005G113200.v4.1 transcript:Potri.005G113200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113200.v4.1 MDQIHHFSRQMLNGNLPRQEEQADQGGSIAALPVFVFGEQTPPSAPTLPQSSSSSSSSPFAFSDKSCAICLDDYAYGEFIRVLPRCKHMFHKDCIDNWLSSRTSSCPICRDQIIDKNVESTRIDSPNMVENVTGSFTLFPVSNSTIPN >Potri.006G007350.1.v4.1 pep chromosome:Pop_tri_v4:6:528891:530656:-1 gene:Potri.006G007350.v4.1 transcript:Potri.006G007350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007350.v4.1 MKKAEVVLIPIPAMGHIVALVEVAKLLVQRDDRLSTTICIMHPVLDPSTTKYTESLAASTLPDRMRVVNLPSLESNTEDTKDRNWLTSMIESQKPHVEEYVSKMRAQSQLSPDSPQLAGFIFDTFATGMKDVANGFGVPWYVFSASGAAFICSMFYLQALHDDEGVNLTEFKNSDALLEVPGLANPLPAKLLPSMVFREDMLTIFLEHARTLKETRSILVNTFLEFESYAVHSLSNGKNPPVYPVGPMVKHVGDGRDLRSDESNKYRDIMEWLDDQPPLSVMFLCFGSWGSFCGKQVKEIACALEHCGHRFLWSLRKPSSQEGKIESPSDYLNFQEILPEGFLDRTLKIGKVIGWAPQVDILAHPAVGGFASHCGWNSILESVRFGVPVATWPLYAEQQFNAFQMVIDLGLAVEIQMDYRRDFLGDNEIIVSSDDIVKAIKHVMEEDGEVRKKVKEMSRISEKSLKDGGSSFSSLGRLIEDMIDNIS >Potri.008G038200.1.v4.1 pep chromosome:Pop_tri_v4:8:2094937:2099350:-1 gene:Potri.008G038200.v4.1 transcript:Potri.008G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038200.v4.1 MEFCQDSCTGNGSLGFNPNDPLNWGMVAESLKGSHLDEVKRMIDEYRKPVVKLGGESLTIGQVTAIASRDVGVKVELSEEARVGVKASSDWVMDSMNKGTDSYGVTTGFGATSHRRTKQGGELQKELIRFLNAGIFGNGTESTHTLPHSASRAAMLVRINTLLQGYSGIRFEILEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGETLAAAEAFTLAGINGGFFELQPKEGLALVNGTAVGSGLASMVLFEANVLAILSEVLSAIFAEVMQGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSSYVKAAQKLHEIDPLQKPKQDRYALRTSPQWLGPLIEVIRTSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDYYNNGLPSNLTGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISARKTAEAVEILKLMSTTWLVALCQAIDLRHIEENLKNTVKNTVSQVAKRVLTMGFNGELHPSRFCEKDLLKVVDREHAFTYIDDPCSATYPLMQKLRQVLVDHALMNGEKEHNSSTSIFQKIGAFEEELKTLLPKEVENARLELENGNPAIPNRITECRSYPLYKFVREELGTILLTGEKVGSPGEEFDKVFTAICAGKLIDPMLECLKEWNGAPLPLC >Potri.010G199100.2.v4.1 pep chromosome:Pop_tri_v4:10:19177121:19183311:-1 gene:Potri.010G199100.v4.1 transcript:Potri.010G199100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199100.v4.1 MNGEGKEEAVKMEVNNERLVFMWGYLPGALPQRSPISSPLAVRSTVYAWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGETPEAFPLPTEASIVEAAAGWAHCVAATDTGEVYTWGWKECIPSGKVFGDPSGAGGSAKDAFERQNLFFTEQVSPRSQGSRSSSGSGTFSGVDGRGSGDESTKRRRIASAKQAAESSSAGDETLSALPCLVTLNPGVRVATVAAGGRHTLALSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCIDSAYGKDRAVVLSRGGMTSEGQSFRVPGSHVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRIEAVAAGLWHTVCVSADGDVYSFGGNQFGQLGTGGDQAETLPRLLEAPSLENIHAKTVSCGARHSAIISEDGKVFCWGWNKYGQLGLGDVIDRNIPSQVTIDGCVPKTVACGWWHTLILAESPT >Potri.009G142600.1.v4.1 pep chromosome:Pop_tri_v4:9:11354921:11355938:1 gene:Potri.009G142600.v4.1 transcript:Potri.009G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142600.v4.1 MASSSNQKTGFHARSNSFPSRLNPAITQLDEHLCRSRASEGACTSSSLGGKLSSLQDLHDCVNKLLFLPLNQQAIAQENNGKLIEELLDGSLQVLDLCNTAKDALLQTKESVHELQSILRRRGCVETGLTSEVKKYLTSRKVVKRAIHKALKVIKKNSTFTAFNGDRETTIMFNMLKEVEVVSLKAFDSLLSFISGPEARTIGWSLVSKLVHHKKVASADEEADINEFARADAALLALVDQNTSKSDNIKGVQTQLENLELCIQDIEEGLEYLSRNLIKTRVSFLNILNH >Potri.007G029300.1.v4.1 pep chromosome:Pop_tri_v4:7:2233206:2236631:1 gene:Potri.007G029300.v4.1 transcript:Potri.007G029300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029300.v4.1 MDKKCHKPYFLVKHSVSHLKKLSASIPMALLGSNVVNEVGLRLLLCPLGSNIVVRTACCSVGIVIPVYHTFKAIERKDENEEQKWLMYWAAYGSFTLAEVFTDKLISWFPMYYHMKFAFLVWLQLPSAEGAKQLYMNHLRPFLSRHQARVDLIMGLAYGEMVKLISNHQAELQYAKRMLLKVMGSADQMLKDAPNHPEGHPEVPAIEEEQTRTILDTESDHAD >Potri.001G067501.1.v4.1 pep chromosome:Pop_tri_v4:1:5066879:5067190:-1 gene:Potri.001G067501.v4.1 transcript:Potri.001G067501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067501.v4.1 MLMSPVEEVGWLAEAAGGVSVTMCLRGGLFMMVISVVEAHRRYGRRHRRERLVFGLKMKGGSGVEELVVADEARGASLP >Potri.007G063700.1.v4.1 pep chromosome:Pop_tri_v4:7:7910262:7913057:1 gene:Potri.007G063700.v4.1 transcript:Potri.007G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063700.v4.1 MSSTQIMNLSLSLPPSSSVDGGDTDIPDPWYGNIQYLLNISTIGLFFCIFIFLFAKLRSDHRRMPVFSALTTKLLAVWHATGREIASHCGADAAQFLIIEGGSFAVVFSIGVLSTGVLLPLNVYGGSQVINDEFSKTTINHIEKGSSFLWIHFVFVVFVVLLVHFGMSLIEKRLKVTRFRDGNGNLSDPNANSTAAFTIMVQGLPKSIGDDRRVLQEYFQYRYPGKIYKVTVPVDLCAFDDLATELIKVRDEITWLVVKIDSRLLPEENEGRGGGDGFWEKLRRVVIWLWRNVKSRWEKMMDKLGYMDEEKLRILLELRVELETKLAEYKEGRAPGAGVAFVIFKDVYTAKQAVQDFCNEKKRRFGKFFSVMELRLQRNQWKVERAPLAPDIYWNHLGSSKLSMRLRRLFVNTCLLLMLVFFSSPLAVISALNSAGRIIDAEAMNNAQSWLDWVQSSSWLASLIFQFLPNVIIFVSMYIIIPSALSYLSKFERHLTVSEEQRAALLKMVCFFLVNLILLRGLVESSLESAILNMGRCYLDGEDCKRIEQYMSASFLSRSCFSSLAFLITSTFLGISYDLLAPIPWIKKKIQKFQKNDMLQLVPEQSEEYPLEGQAIDALQRPLIPDNVFDSPRSNQIDEEGQDLSTYPISGTSPIPKQTFDFAQYYAFNLTIFALTLIYSSFAPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVLCIMRFCVDLFLLSMLLFFSVQGDSMKLQAIFTLGLLVLYKLLPSDNDSFQPALLERIQNVDSIVEGPIDYEVFSQPRFDWDTYHS >Potri.008G087800.1.v4.1 pep chromosome:Pop_tri_v4:8:5470279:5473319:1 gene:Potri.008G087800.v4.1 transcript:Potri.008G087800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087800.v4.1 MSDLAIKLFGKTIPLQVNQQEDVSCAANKYESSSGTPPESEDCYDNTATATSSHENHNSHKERGEQEGNNNRETSGEEIANDKQEDVTSNQINKDSKGPTSSGISENPKTPSAERETSSLKSSKNEEQSETSISQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKNCQRYWTAGGTMRNVPVGAGRRKNKSSSASHYRHLMVSEALRTAQVHAMNGFHNPSLGNNGTVLTFGSDSPLCESVASVLNLSEKTQNSVRNGYHRPEHRILVSCGGTGGDGDDHSSVSSATASNSSEKGCNGTSREAVNKDYQSFPPQAPCFPGPPWPYPWNSAITPPTFCPSGFPVSFFPAPAYWGCTVPSPWNVPPCASSPSATLNHSTQSSSPTFPLGKHSRDGNILNPPCLEEPSRDGTKSETGVLVPKTLRIDDPSEAAKSSIWATLGITNEKSSSINGGGLFKGFQSKSEDRNYMAGTTSVLQANPAAFSRSLNFHENT >Potri.006G270700.11.v4.1 pep chromosome:Pop_tri_v4:6:26397199:26401322:1 gene:Potri.006G270700.v4.1 transcript:Potri.006G270700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270700.v4.1 MENRSEAEASPYKSLVAAVSYGIASMAMVFINKAILMQYGHSMTLLTLQQLATALLIHFGRRTGYTRARGVDMQTAKRLLPVSLFYNANVAFALASLRGVNIPMYIAIKRLTPLAVLIAGIFSGKGKPTTQVTLSVLLIAAGVIIAALGDFSFDLWGYGMALTSVFFQTMYLVLVERSGAEDGLSSVEIMFYNSFLSLPFLIFLIIITGEFPNSLALLFAKVRILTPHI >Potri.006G270700.10.v4.1 pep chromosome:Pop_tri_v4:6:26397388:26401320:1 gene:Potri.006G270700.v4.1 transcript:Potri.006G270700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270700.v4.1 MENRSEAEASPYKSLVAAVSYGIASMAMVFINKAILMQYGHSMTLLTLQQLATALLIHFGRRTGYTRARGVDMQTAKRLLPVSLFYNANVAFALASLRGVNIPMYIAIKRLTPLAVLIAGIFSGKGKPTTQVTLSVLLIAAGVIIAALGDFSFDLWGYGMALTSVFFQTMYLVLVERSGAEDGLSSVEIMFYNSFLSLPFLIFLIIITGEFPNSLALLFAKSNSLSFLVILVISLIMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTAGGLWYSYAKYQQKTSKPPKRISDVEARSK >Potri.006G270700.7.v4.1 pep chromosome:Pop_tri_v4:6:26397343:26401321:1 gene:Potri.006G270700.v4.1 transcript:Potri.006G270700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270700.v4.1 MENRSEAEASPYKSLVAAVSYGIASMAMVFINKAILMQYGHSMTLLTLQQLATALLIHFGRRTGYTRARGVDMQTAKRLLPVSLFYNANVAFALASLRGVNIPMYIAIKRLTPLAVLIAGIFSGKGKPTTQVTLSVLLIAAGVIIAALGDFSFDLWGYGMALTSVFFQTMYLVLVERSGAEDGLSSVEIMFYNSFLSLPFLIFLIIITGEFPNSLALLFAKSNSLSFLVILVISLIMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTAGGLWYSYAKYQQKTSKPPKRISDVEARSK >Potri.006G270700.6.v4.1 pep chromosome:Pop_tri_v4:6:26397229:26401320:1 gene:Potri.006G270700.v4.1 transcript:Potri.006G270700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270700.v4.1 MENRSEAEASPYKSLVAAVSYGIASMAMVFINKAILMQYGHSMTLLTLQQLATALLIHFGRRTGYTRARGVDMQTAKRLLPVSLFYNANVAFALASLRGVNIPMYIAIKRLTPLAVLIAGIFSGKGKPTTQVTLSVLLIAAGVIIAALGDFSFDLWGYGMALTSVFFQTMYLVLVERSGAEDGLSSVEIMFYNSFLSLPFLIFLIIITGEFPNSLALLFAKSNSLSFLVILVISLIMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTAGGLWYSYAKYQQKTSKPPKRISDVEARSK >Potri.006G270700.9.v4.1 pep chromosome:Pop_tri_v4:6:26397397:26401322:1 gene:Potri.006G270700.v4.1 transcript:Potri.006G270700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270700.v4.1 MENRSEAEASPYKSLVAAVSYGIASMAMVFINKAILMQYGHSMTLLTLQQLATALLIHFGRRTGYTRARGVDMQTAKRLLPVSLFYNANVAFALASLRGVNIPMYIAIKRLTPLAVLIAGIFSGKGKPTTQVTLSVLLIAAGVIIAALGDFSFDLWGYGMALTSVFFQTMYLVLVERSGAEDGLSSVEIMFYNSFLSLPFLIFLIIITGEFPNSLALLFAKSNSLSFLVILVISLIMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTAGGLWYSYAKYQQKTSKPPKRISDVEARSK >Potri.006G270700.8.v4.1 pep chromosome:Pop_tri_v4:6:26397326:26401322:1 gene:Potri.006G270700.v4.1 transcript:Potri.006G270700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270700.v4.1 MENRSEAEASPYKSLVAAVSYGIASMAMVFINKAILMQYGHSMTLLTLQQLATALLIHFGRRTGYTRARGVDMQTAKRLLPVSLFYNANVAFALASLRGVNIPMYIAIKRLTPLAVLIAGIFSGKGKPTTQVTLSVLLIAAGVIIAALGDFSFDLWGYGMALTSVFFQTMYLVLVERSGAEDGLSSVEIMFYNSFLSLPFLIFLIIITGEFPNSLALLFAKSNSLSFLVILVISLIMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVLLGGVEVHALNVTGLVINTAGGLWYSYAKYQQKTSKPPKRISDVEARSK >Potri.011G116800.1.v4.1 pep chromosome:Pop_tri_v4:11:14766329:14768438:-1 gene:Potri.011G116800.v4.1 transcript:Potri.011G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116800.v4.1 MWLVVLPTFFTFSSTHSSADLHLSTSSIPPHLARYHAIPQLCDILPERIMDAKTLEGKRNYLKEKMINKDDFPIEDDLEDDMEEDEGGGGCFGFTDDEKKKGVGKRGTGGGGASPPSCQVEKCGANLTDAKRYHRRHKVCEVHAKSPAVVVAGLRQRFCQQCSRFHELLEFDETKRSCRRRLAGHNERRRKNTAESYGEGSSRKGVGTQLKESPCRQADERGRYQMSIPSQGSSSYKRSQIR >Potri.003G081400.2.v4.1 pep chromosome:Pop_tri_v4:3:10839861:10850162:-1 gene:Potri.003G081400.v4.1 transcript:Potri.003G081400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081400.v4.1 MCHQNCGVEHLSVEEAIAADESLLIYCKPVELYNILRRRAQDNPSFLRRCLRYKIKERRKKRLRDGIVIFNYKDYKNMLRKTEATEDFSCPFCLMQCLSFKGLRYHLCSSHDLFNFDFWVTEEYQAVTVSVNIDRFISETVADGIEQRQQTFFFCSKPRTRKSINLDQNVKKVSIKFLELNSSEGTNNGFLGKEEGENASKSSSSEKDLLNMRDGTENYGSECPTATELMERVASSFSIPGVSIAQAQSSVDPECVKSQSGSEPSLPAALHVAKARKLTVERSDPKYRALLQKRQFYHSHRVQPMALEQVMSDRDSEDEVDDDIADFEDRRMLDDFVDVSKDEKQVMHLWNSFVRKQRVLADGHVPWACEAFSKLHGQELVISPALFWCWRLFMIKLWNHGLLDASTMNNCNMILERCRDEGSGAAKSERLED >Potri.003G081400.15.v4.1 pep chromosome:Pop_tri_v4:3:10839901:10850072:-1 gene:Potri.003G081400.v4.1 transcript:Potri.003G081400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081400.v4.1 MCHQNCGVEHLSVEEAIAADESLLIYCKPVELYNILRRRAQDNPSFLRRCLRYKIKERRKKRLRDGIVIFNYKDYKNMLRKTEATEDFSCPFCLMQCLSFKVTEEYQAVTVSVNIDRFISETVADGIEQRQQTFFFCSKPRTRKSINLDQNVKKVSIKFLELNSSEGTNNGFLGKEEGENASKSSSSEKDLLNMRDGTENYGSECPTATELMERVASSFSIPGVSIAQAQSSVDPECVKSQSGSEPSLPAALHVAKARKLTVERSDPKYRALLQKRQFYHSHRVQPMALEQVMSDRDSEDEVDDDIADFEDRRMLDDFVDVSKDEKQVMHLWNSFVRKQRVLADGHVPWACEAFSKLHGQELVISPALFWCWRLFMIKLWNHGLLDASTMNNCNMILERCRDEGSGAAKSERLED >Potri.003G081400.13.v4.1 pep chromosome:Pop_tri_v4:3:10839901:10850104:-1 gene:Potri.003G081400.v4.1 transcript:Potri.003G081400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081400.v4.1 MCHQNCGVEHLSVEEAIAADESLLIYCKPVELYNILRRRAQDNPSFLRRCLRYKIKERRKKRLRDGIVIFNYKDYKNMLRKTEATEDFSCPFCLMQCLSFKGLRYHLCSSHDLFNFDFWVTEEYQAVTVSVNIDRFISETVADGIEQRQQTFFFCSKPRTRKSINLDQNVKKVSIKFLELNSSEGTNNGFLGKEEGENASKSSSSEKDLLNMRDGTENYGSECPTATELMERVASSFSIPGVSIAQAQSSVDPECVKSQSGSEPSLPAALHVAKARKLTVERSDPKYRALLQKRQFYHSHRVQPMALEQVMSDRDSEDEVDDDIADFEDRRMLDDFVDVSKDEKQVMHLWNSFVRKQRVLADGHVPWACEAFSKLHGQELVISPALFWCWRLFMIKLWNHGLLDASTMNNCNMILERCRDEGSGAAKSERLED >Potri.003G081400.14.v4.1 pep chromosome:Pop_tri_v4:3:10839919:10850099:-1 gene:Potri.003G081400.v4.1 transcript:Potri.003G081400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081400.v4.1 MCHQNCGVEHLSVEEAIAADESLLIYCKPVELYNILRRRAQDNPSFLRRCLRYKIKERRKKRLRDGIVIFNYKDYKNMLRKTEATEDFSCPFCLMQCLSFKVTEEYQAVTVSVNIDRFISETVADGIEQRQQTFFFCSKPRTRKSINLDQNVKKVSIKFLELNSSEGTNNGFLGKEEGENASKSSSSEKDLLNMRDGTENYGSECPTATELMERVASSFSIPGVSIAQAQSSVDPECVKSQSGSEPSLPAALHVAKARKLTVERSDPKYRALLQKRQFYHSHRVQPMALEQVMSDRDSEDEVDDDIADFEDRRMLDDFVDVSKDEKQVMHLWNSFVRKQRVLADGHVPWACEAFSKLHGQELVISPALFWCWRLFMIKLWNHGLLDASTMNNCNMILERCRDEGSGAAKSERLED >Potri.003G081400.10.v4.1 pep chromosome:Pop_tri_v4:3:10839979:10850047:-1 gene:Potri.003G081400.v4.1 transcript:Potri.003G081400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081400.v4.1 MCHQNCGVEHLSVEEAIAADESLLIYCKPVELYNILRRRAQDNPSFLRRCLRYKIKERRKKRLRDGIVIFNYKDYKNMLRKTEATEDFSCPFCLMQCLSFKGLRYHLCSSHDLFNFDFWVTEEYQAVTVSVNIDRFISETVADGIEQRQQTFFFCSKPRTRKSINLDQNVKKVSIKFLELNSSEGTNNGFLGKEEGENASKSSSSEKDLLNMRDGTENYGSECPTATELMERVASSFSIPGVSIAQAQSSVDPECVKSQSGSEPSLPAALHVAKARKLTVERSDPKYRALLQKRQFYHSHRVQPMALEQVMSDRDSEDEVDDDIADFEDRRMLDDFVDVSKDEKQVMHLWNSFVRKQRVLADGHVPWACEAFSKLHGQELVISPALFWCWRLFMIKLWNHGLLDASTMNNCNMILERCRDEGSGAAKSERLED >Potri.002G202800.1.v4.1 pep chromosome:Pop_tri_v4:2:16710182:16712897:-1 gene:Potri.002G202800.v4.1 transcript:Potri.002G202800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202800.v4.1 MGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKINKPPLSLSRLITFMKGKEDKIAVVVGTITDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYIRSKGRKFEKARGKRNSKGFRV >Potri.001G034300.1.v4.1 pep chromosome:Pop_tri_v4:1:2531728:2542925:1 gene:Potri.001G034300.v4.1 transcript:Potri.001G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034300.v4.1 MKKAKRKLPFTLVDEDDEEEEVAVKEKRAQNVSVDDDEDGLDKFETDGFIVDYNEEEVEGDCDGKDEQMKKKMRKKKSSKNLVLDDDDLELLRENQKPGLFQTKRVGNKKFKRLKKAKGCALGKDSGLSDDDVSLYDDSAEEKEAMYDDDINDMTDFIVDDDERYEKRAPMRLWELKEKKSRPVTMASSSSLEEAGYVFGDADELLKRQVLVKIVKPDDYDNFELDHFMAERDDHVKKTDLPERMQVMSEEITCTALVGETRRQEESSWILNQLITDMYPLLCKKKAQEGNGVGLLKKINKEDIVRFLEMHDLEKYDIPFIAMYRKEKCLSMLEDLGEDGIQNECSNDTEGKPRLKWHKILWAINELDRKWLLLQKRKIMLEESYKKRYEEECNNIDDMARLSLINLHFDTIMKSLMLAETEKDIDDVSMKFSLHFPPTEEVMEGKFKRRERRSAYSDYIKAGLWELAVKFVYSSKQFCQHLRQAKMGMDFWEDLNESPEVIASKFTCASLETPEAVLKGARHIAALEISCEPSVRKHARGFFTDEALVSTRPTPKGAKEIDFCHQFSSVKWLRDKPLGKFQDAQWLRIQKAEEEKLLEVTIRLPEDSLNKLISYSHKIYLVGGDDGYTQLWDEQRKLILQDVFSNCLLPSLEKETRVLLTTRAKCLVLMEYGEQLWNRASVAPYPHKRNVAGLEEGTGPRVMACCWGPGKPPTTFVMLDSCGQLLDVLQSGSISLRSQNVTGLQRKKYDQLRVHKFIISHQPDVIVLGAANASCPRLKDDIKEIVLKIEESSIDADQVLNGIGVIYGDETLPQLYEKSEVSLRHLPGQEGIVKRAVALGRYLQNPLAMIATLCGVQKEIVSWKLTSLDHFLTPEEKYGMIEMLMVDITNQVGVDINAAVSQDWLSAPLQFVSGLGSQKAAFLQRELAAGKIVNNRKELAICGLTEKKIFFNAVGFLRVCCGEILSFGCEYDMLDGTRIHPESYGLAEKLVKDVYDDVAEAHPLKHVRNNPQLLKDFDINAYADNFEIEQGENKKLTLYDIKTELLHGFLDPRRPYEEPTQDEEFCLISGKDEDAFAEGRIVQAIVCRVLSQRAFCALDSGLIGMITKDDYSDEAADYSLTKRLREGDILTCKIKQIDKSRHQVLLTCKESELKSSRDQNLHELEPYYCEGQSSLVSHQEIACKEDLKNKHFISRMIIHPHYENMTQNQAVEFLADKDVGERVFRPSSRGLHYLILTVKVFNGLYVHKDIIEDGKNLKDFSSMLDLGKTLKIGDDIYKDLDEVISQYVDPLVTHLKAILSFRKFKKGSKAEVDELLKSEKSDYPMRIPYCFGVSYEHPGTFILFYIRTNLHHEYIGLHPKGFKFRKQTFRKVEQLVAYFQKHIDDLKHQPAQMTRPITGSSARASTECGNEGGWKGQLNSSKDELSTLVSAGKKDCTRDDGGTGGHGSGRGRGHPSGLPRPNCVYGHGNRGGFGNGRGGNNRNGSDSGNNTSYWHDSGGNSGAGSSYSWGNNTGGNASSWGNNAGGNGSSSSWGGSGHPVNAGGRNSGGSGDDCQGIPGSGPPNGSDWMGSNKEGGNNFWGTEGSSGGNLGGFNTNSESGNTGWGAGSRKSSLHPAGEDGWSGGGGW >Potri.001G034300.8.v4.1 pep chromosome:Pop_tri_v4:1:2531728:2542900:1 gene:Potri.001G034300.v4.1 transcript:Potri.001G034300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034300.v4.1 MKKAKRKLPFTLVDEDDEEEEVAVKEKRAQNVSVDDDEDGLDKFETDGFIVDYNEEEVEGDCDGKDEQMKKKMRKKKSSKNLVLDDDDLELLRENQKPGLFQTKRVGNKKFKRLKKAKGCALGKDSGLSDDDVSLYDDSAEEKEAMYDDDINDMTDFIVDDDERYEKRAPMRLWELKEKKSRPVTMASSSSLEEAGYVFGDADELLKRQVLVKIVKPDDYDNFELDHFMAERDDHVKKTDLPERMQMSEEITCTALVGETRRQEESSWILNQLITDMYPLLCKKKAQEGNGVGLLKKINKEDIVRFLEMHDLEKYDIPFIAMYRKEKCLSMLEDLGEDGIQNECSNDTEGKPRLKWHKILWAINELDRKWLLLQKRKIMLEESYKKRYEEECNNIDDMARLSLINLHFDTIMKSLMLAETEKDIDDVSMKFSLHFPPTEEVMEGKFKRRERRSAYSDYIKAGLWELAVKFVYSSKQFCQHLRQAKMGMDFWEDLNESPEVIASKFTCASLETPEAVLKGARHIAALEISCEPSVRKHARGFFTDEALVSTRPTPKGAKEIDFCHQFSSVKWLRDKPLGKFQDAQWLRIQKAEEEKLLEVTIRLPEDSLNKLISYSHKIYLVGGDDGYTQLWDEQRKLILQDVFSNCLLPSLEKETRVLLTTRAKCLVLMEYGEQLWNRASVAPYPHKRNVAGLEEGTGPRVMACCWGPGKPPTTFVMLDSCGQLLDVLQSGSISLRSQNVTGLQRKKYDQLRVHKFIISHQPDVIVLGAANASCPRLKDDIKEIVLKIEESSIDADQVLNGIGVIYGDETLPQLYEKSEVSLRHLPGQEGIVKRAVALGRYLQNPLAMIATLCGVQKEIVSWKLTSLDHFLTPEEKYGMIEMLMVDITNQVGVDINAAVSQDWLSAPLQFVSGLGSQKAAFLQRELAAGKIVNNRKELAICGLTEKKIFFNAVGFLRVCCGEILSFGCEYDMLDGTRIHPESYGLAEKLVKDVYDDVAEAHPLKHVRNNPQLLKDFDINAYADNFEIEQGENKKLTLYDIKTELLHGFLDPRRPYEEPTQDEEFCLISGKDEDAFAEGRIVQAIVCRVLSQRAFCALDSGLIGMITKDDYSDEAADYSLTKRLREGDILTCKIKQIDKSRHQVLLTCKESELKSSRDQNLHELEPYYCEGQSSLVSHQEIACKEDLKNKHFISRMIIHPHYENMTQNQAVEFLADKDVGERVFRPSSRGLHYLILTVKVFNGLYVHKDIIEDGKNLKDFSSMLDLGKTLKIGDDIYKDLDEVISQYVDPLVTHLKAILSFRKFKKGSKAEVDELLKSEKSDYPMRIPYCFGVSYEHPGTFILFYIRTNLHHEYIGLHPKGFKFRKQTFRKVEQLVAYFQKHIDDLKHQPAQMTRPITGSSARASTECGNEGGWKGQLNSSKDELSTLVSAGKKDCTRDDGGTGGHGSGRGRGHPSGLPRPNCVYGHGNRGGFGNGRGGNNRNGSDSGNNTSYWHDSGGNSGAGSSYSWGNNTGGNASSWGNNAGGNGSSSSWGGSGHPVNAGGRNSGGSGDDCQGIPGSGPPNGSDWMGSNKEGGNNFWGTEGSSGGNLGGFNTNSESGNTGWGAGSRKSSLHPAGEDGWSGGGGW >Potri.002G122000.1.v4.1 pep chromosome:Pop_tri_v4:2:9239539:9240316:1 gene:Potri.002G122000.v4.1 transcript:Potri.002G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122000.v4.1 MSDSETTTTVSTTAPPPEDDSSATDTKKQARNAISFSIWPLTQRTRDSVITRLIETLSTTSVLSKRYGTVPHDEASEVSRRIEEEAFSVATSSSSSEDDGLEVVQLYSKEISKRMLETVKARSESSANGDNSAAKTVSADVTPTSAASEEVLSSVETEAA >Potri.014G107100.1.v4.1 pep chromosome:Pop_tri_v4:14:7197834:7200534:1 gene:Potri.014G107100.v4.1 transcript:Potri.014G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107100.v4.1 MSDETKTQENEVVEAKRQAPRLNERILSSLSRRTVAAHPWHDLEIGPGAPHIFNVVVEITKGSKVKYELDKKTGLIKVDRILYSSVVYPHNYGFIPRTLCEDNDPLDVLVLMQEPVLPGCFLRARAIGLMPMIDQGEKDDKIIAVCADDPEYKHYTDIRELAPHRLSEIRRFFEDYKKNENKEVAVNDFLPSNSAVEAIQYSMDLYAEYILHTLRR >Potri.001G335300.1.v4.1 pep chromosome:Pop_tri_v4:1:34359749:34364587:-1 gene:Potri.001G335300.v4.1 transcript:Potri.001G335300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335300.v4.1 MGVETTNNDHGYTQKEDDEVARQKAIDDWLPITSSRNAKWWYSAFHNVTAMVGAGVLSLPYAMSELGWGPGVAVLILSWIITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEVGVCIVYMVTGGKSLKKFHDIVCSTCKPIKQTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWSAAVDKGVQPDVQYGYKATTKVGTVFNFFSALGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALIGYWIYGNSISDNILITLEKPVWLIAMANMFVVVHVIGSYQIYAMPVFDMMETVLVKKLNFRPTMILRFFVRNIYVAFTMFVGITFPFFGGLLGFFGGFAFAPTTYFLPCVMWLAIYKPKKFGLSWWANWICIVFGVILMIVSPIGGMRQIIIQAKDYKFYN >Potri.007G125900.1.v4.1 pep chromosome:Pop_tri_v4:7:14151172:14153658:1 gene:Potri.007G125900.v4.1 transcript:Potri.007G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125900.v4.1 MMKGSSKKAICLFYFMFIAELGASLNCTGSCGNRGLHIRFPFWIKDRQPEQCGYPGFDLSCNEKGDIVLELPTAVKLYIDKIDCKNQVIYATDPHGCLRSHHSNFYSSGFHIRFKMSRDNFTIFNCSLNNAISRPRIACLSTHQYDVLAVDSEQSIDDNELLLSCTKMYDLPVPQDIHLSWSSPNCGSCEATGKLCGLRKNSSTELETECIGMHRQNKGARKKNLTIGVTTGSIIFGVLVIAVYQIYSFRKSEEEYQAKVERFLDDYRAMNPTRYSHADLKKMTNQFRDELGQGAYGTVFKGKLTSEIPVAVKVLSNSSEKGEEFVNEMGTMARIHHVNVIRLIGFCADGFRRALVYEYLPNDSLQKFISSANAKNVFLGWERLHHIALGAAKGIEYLHQGCDQTSSTLISNHIISCWTMTSIPRLQISVWLSCVPSIKVLFP >Potri.002G239300.1.v4.1 pep chromosome:Pop_tri_v4:2:23181349:23183318:-1 gene:Potri.002G239300.v4.1 transcript:Potri.002G239300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239300.v4.1 MDSTSKQAFDLDETESCFVVENYDENEFVDDELSENIELCMDEVDKIIEQSSETLGSINDAMEPCIGMEFKSRDDAREFYIAYGRRTGFTVRIHHNRRSRINNMVIGQDFVCSKEGFREKKYVYRKDRVLRPPPVTREGCQAMLRLALKDGITWVVTKFIAEHNHALMSPSKVPWRGSAKSLVSEDEKDRRIRELTIELNNEKQRCKRRCAAYQEQLRMVLSYVEEHTIHLSNKVQDIVNNVKELENDMEDSDCKYV >Potri.004G199400.3.v4.1 pep chromosome:Pop_tri_v4:4:21019558:21021365:1 gene:Potri.004G199400.v4.1 transcript:Potri.004G199400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199400.v4.1 MAATFASPSAVVGLGSGSLSSPSRISSPKKICLSSGFVKSPVTARNPLGLAGTGGGKFMCFQGDWLRKDLNVIGFGLIGWLAPSSVPAIGGKSLTGLFFESIGTELAHFPTPPPLTSQFWLWLVTWHLGLFICLTFGQIGFKGRTEDYFQK >Potri.017G027600.1.v4.1 pep chromosome:Pop_tri_v4:17:1806777:1809012:-1 gene:Potri.017G027600.v4.1 transcript:Potri.017G027600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027600.v4.1 MEKKGSQRDGTLLQHPLLLVLALAISFVIMDPFRMGPLGDHDFKPFKHDLAPYKQVMENWPRDNRSRLGSGNLEFVDEVFGPESLEFDSLGRGPYAGLADGRVVRWMGQDVGWETFALVTTNWSEKLCARGVDSTTSKQWKHEKLCGRPLGLRLHKESGNLYIADAYYGLLVVGPEGGLATPLATHLGGDPILFANDLDIHKNGSIFFTDTSKRYDRVDHFFILLEGESTGRLLRYDPPTKTTHVVLDGLAFPNGVQLSRDQTFIVFTETTNCRLMKYWLEGPKTGRVELVANLPGFPDNVRLNDRGQFWVAIDCCRTAAQEVLTQNPWMKSVYFRLPIQMRYLARMMGMKMYTVVSLFNENGEILEVLEDPKGEVMKLVSEVREVEGKLWIGTVAHNHIATLPYP >Potri.008G095700.5.v4.1 pep chromosome:Pop_tri_v4:8:5965202:5970531:-1 gene:Potri.008G095700.v4.1 transcript:Potri.008G095700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095700.v4.1 MEEIVSANKETRSSSSSKFIIEEWNGSSSSKLFKTATITTSPYLSIQRSGSRFNHVWRRVLQAFVPEGFPSSVTPDYAPFQVWDSLQGLSTYIRTMLSTQALLSAIGVGEKSATVIGATFQWFLRDLTGMLGGILFTFYQGSDLDSNAKMWRLVADLMNDLGMLMDLLSPLFPSAFIFVVCLGSLSRSFTGVASGATRAALTQHFALQNNAADISAKEGSQETVATMIGMALGMILARITMGLPLAIWFSFLSLTVFHMYANYRAVGCLALTSLNIERSAILFQHFMETGQVLSPEQVSRMEHVLPTWITSWSSKKVKLLHANVRLGVRVSSLDHQEMKEVLLSAGSHYLKAKYLLVERKGIIDVIMHKNSTASDVLQSFIHALVMAKLMQKSSSVYLESQSWMDKHYEVFLQKLSSLGWKTGRLLSPPVIWKANWTDVSSDDKID >Potri.010G121400.7.v4.1 pep chromosome:Pop_tri_v4:10:13935021:13936123:1 gene:Potri.010G121400.v4.1 transcript:Potri.010G121400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121400.v4.1 MAPVPLLVFFALFNPLLVCASKDGLAFNRRLPFNCGKLGEIGFPFTNNTSPETCGPCVVDGCDEKSQRIQLVRGGKWFELHSISQAGCNNFSIYYTRNTSLPSPPPTCSILQLPVNNTESYGDIFRLLTATFSIEVLVTPFCYRCYLQRGECQIIEGQSKCIHSIKGMICMDTAQ >Potri.010G121400.6.v4.1 pep chromosome:Pop_tri_v4:10:13935022:13936227:1 gene:Potri.010G121400.v4.1 transcript:Potri.010G121400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121400.v4.1 MAPVPLLVFFALFNPLLVCASKDGLAFNRRLPFNCGKLGEIGFPFTNNTSPETCGPCVVDGCDEKSQRIQLVRGGKWFELHSISQAGTISITDKDLRGHLNSNSCDSFNNLSLPASLPYFSIQEISNLTLFNAIPVSIFLTILNLTIQDATTSASIILATPVYLVLHLPVQFFSSQ >Potri.010G121400.2.v4.1 pep chromosome:Pop_tri_v4:10:13935021:13939310:1 gene:Potri.010G121400.v4.1 transcript:Potri.010G121400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121400.v4.1 MAPVPLLVFFALFNPLLVCASKDGLAFNRRLPFNCGKLGEIGFPFTNNTSPETCGPCVVDGCDEKSQRIQLVRGGKWFELHSISQAGCNNFSIYYTRNTSLPSPPPTCSILQLPVNNTESYGDIFRLLTATFSIEVLVTPFCYRCYLQRGECQIIEGQSKCIHSIKENKKLRLKLGLDMSTIIFHSLENKTRFPIPQH >Potri.010G121400.4.v4.1 pep chromosome:Pop_tri_v4:10:13935014:13936272:1 gene:Potri.010G121400.v4.1 transcript:Potri.010G121400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121400.v4.1 MAPVPLLVFFALFNPLLVCASKDGLAFNRRLPFNCGKLGEIGFPFTNNTSPETCGPCVVDGCDEKSQRIQLVRGGKWFELHSISQAGTISITDKDLRGHLNSNSCDSFNNLSLPASLPYFSIQEISNLTLFNAIPVSIFLTILNLTIQDATTSASIILATPVYLVLHLPVQFFSSQ >Potri.010G121400.5.v4.1 pep chromosome:Pop_tri_v4:10:13935014:13936227:1 gene:Potri.010G121400.v4.1 transcript:Potri.010G121400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121400.v4.1 MAPVPLLVFFALFNPLLVCASKDGLAFNRRLPFNCGKLGEIGFPFTNNTSPETCGPCVVDGCDEKSQRIQLVRGGKWFELHSISQAGTISITDKDLRGHLNSNSCDSFNNLSLPASLPYFSIQEISNLTLFNAIPVSIFLTILNLTIQDATTSASIILATPVYLVLHLPVQFFSSQ >Potri.010G121400.1.v4.1 pep chromosome:Pop_tri_v4:10:13935059:13935989:1 gene:Potri.010G121400.v4.1 transcript:Potri.010G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121400.v4.1 MAPVPLLVFFALFNPLLVCASKDGLAFNRRLPFNCGKLGEIGFPFTNNTSPETCGPCVVDGCDEKSQRIQLVRGGKWFELHSISQAGTISITDKDLRGHLNSNSCDSFNNLSLPASLPYFSIQEISNLTLFNAIPVSIFLTILNLTIQDATTSASIILATPVYLVLHLPVQFFSSQ >Potri.010G121400.3.v4.1 pep chromosome:Pop_tri_v4:10:13935021:13938157:1 gene:Potri.010G121400.v4.1 transcript:Potri.010G121400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121400.v4.1 MAPVPLLVFFALFNPLLVCASKDGLAFNRRLPFNCGKLGEIGFPFTNNTSPETCGPCVVDGCDEKSQRIQLVRGGKWFELHSISQAGCNNFSIYYTRNTSLPSPPPTCSILQLPVNNTESYGDIFRLLTATFSIEVLVTPFCYRCYLQRGECQIIEGQSKCIHSIKENKKLRLKLGLV >Potri.015G047600.1.v4.1 pep chromosome:Pop_tri_v4:15:4755230:4758921:1 gene:Potri.015G047600.v4.1 transcript:Potri.015G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047600.v4.1 MNWLQQLTNTIIIAPSLPSRNHHHHHHHHQQQQSSLYRTNLKPHKSFNSLYPKSSLSLSNQTDSLPDQTNRPSFLQEIAALCETDNLTTALTLIQSHSQNAAFISLQAKEAIGLLLQACGNQKDIETGRRLHKFVSDSTHYRNDYVLNTRLIKMYAMCGYPLDSRFVFDNMETKNLIQWNALVSGYTRNGLYGDVVKVFMDLVSDTDFQPDNFTFPSVIKACGGILDVRLGEVIHGMVIKMGLVLDVFVGNALVGMYGKCGAVDEAMKVFDFMPETNLVSWNSMICAFSENGFSRDSFDLLMEMLGEEGLLPDVVTVVTILPVCAGEGEVDIGMGIHGLAVKLGLSEEVMVNNAMVYMYSKCGYLNEAQMSFVKNNNKNVVSWNTMISAFSLEGDVNEAFNLLQEMQIQGEEMKANEVTILNVLPACLDKLQLRSLKELHGYSFRHCFQHVELSNAFILAYAKCGALNSAEKVFHGIGDKTVSSWNALIGGHAQNGDPRKALHLLFQMTYSGQQPDWFTISSLLLACAHLKSLQYGKEIHGYVLRNGLETDFFVGTSLLSHYIHCGKASSARVLFDRMKDKNLVSWNAMISGYSQNGLPYESLALFRKSLSEGIQSHEIAIVSVFGACSQLSALRLGKEAHGYVLKALQTEDAFVGCSIIDMYAKSGCIKESRKVFDGLKDKNVASWNAIIVAHGIHGHGKEAIELYERMKKVGQMPDRFTYIGILMACGHAGLVEEGLKYFKEMQNFNLIEPKLEHYACLIDMLARAGRLDDALRLVNEMPEEADNRIWSSLLSSCRTFGALEIGEKVAKKLLELEPDKAENYVLLSNLYAGLGKWDGVRRVRQMMKEIGLQKDAGCSWIEVGGRVYSFVVGDSLQPKSAEIRVIWRRLEERISEIGYKPNTSSVLHEVGEEEKIDILRGHSEKLAISFGLLKTTKGTTLRIYKNLRICADCHNAAKLISKAVEREIVVRDNKRFHHFRDGLCSCCDYW >Potri.003G074051.1.v4.1 pep chromosome:Pop_tri_v4:3:10166689:10166850:-1 gene:Potri.003G074051.v4.1 transcript:Potri.003G074051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074051.v4.1 MFSSCLIFPFLVFGFMIWMCSSDDSSCTSSGEEFPCFSLSFLKALCYFITTAE >Potri.005G256500.10.v4.1 pep chromosome:Pop_tri_v4:5:24724242:24733388:-1 gene:Potri.005G256500.v4.1 transcript:Potri.005G256500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256500.v4.1 MPGSETGVMTSREPFSVTGLQQKTAVQSQPFIQNMRLDFGTDGTAVYKPISTVTTTSAVSPTYPPGGGEGPAGGAVVSPHGIDVNMGGGIGGESMKRKRGRPRKYGPDGTMALALASAPQSVAVTQPTSTATGGGFSSPPAQTHPLVSPPPPPPPPGSDIGIVGDAGVVSSSPVTLGGSVSPTGVKKARGRPPGSSKKQQLNALGSAGFGFTPHVITVKAGEDISSKVMSFSQHGPRAVCILSANGAISNVTLRQQATSGGTVTYEGRFEILALSGSYLPSENGGQRSRSGGLSVCLSGPDGRVLGGTVAGLLVAAAPVQVVVGSFIADGRKESKTANHTEPSSATSRLPPRGGSTGVSSPPSRGTLSESSGGPGSPLNQSTGACNNSNPQGMSSMPWE >Potri.005G256500.2.v4.1 pep chromosome:Pop_tri_v4:5:24724197:24733907:-1 gene:Potri.005G256500.v4.1 transcript:Potri.005G256500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256500.v4.1 MPGSETGVMTSREPFSVTGLQQKTAVQSQPFIQNMRLDFGTDGTAVYKPISTVTTTSAVSPTYPPGGGEGPAGGAVVSPHGIDVNMGGGIGGESMKRKRGRPRKYGPDGTMALALASAPQSVAVTQPTSTATGGGFSSPPAQTHPLVSPPPPPPPPGSDIGIVGDAGVVSSSPVTLGGSVSPTGVKKARGRPPGSSKKQQLNALGSAGFGFTPHVITVKAGEDISSKVMSFSQHGPRAVCILSANGAISNVTLRQQATSGGTVTYEGRFEILALSGSYLPSENGGQRSRSGGLSVCLSGPDGRVLGGTVAGLLVAAAPVQVVVGSFIADGRKESKTANHTEPSSATSRLPPRGGSTGVSSPPSRGTLSESSGGPGSPLNQSTGACNNSNPQGMSSMPWE >Potri.013G147300.1.v4.1 pep chromosome:Pop_tri_v4:13:14424034:14428715:1 gene:Potri.013G147300.v4.1 transcript:Potri.013G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147300.v4.1 MRGYYTFFERALRAFNDHSTVSKILVISSVSGGGLLAFSDANAFQSYAEGDGKKKKVVVLGTGWAGVSFLKNLKSSSYDVHIVSPRNYFAFTPLLPSVTNGTVEGRSIVEPIRNIARKKPFGVGFKEAECYKIDPVQKKIYCRSTDQGKTLGGNEEFTMDYDVLIVAMGAKSNTFNTPGVEEHAHFLKEIEDAQNIRRSIIDCYERASLPSISEEERKRIMHFVVVGGGPSGVEYAAELHDFAHDDLAKLYPSIKDYLRITLLEAGDHILNMFDSRITKFATEKFERDGIDVKTGSMVIKVSDKHISTKEIKTGQTVSIPYGMVLWSTGIATRPVIMDFMKHIGQGNRRVLATDEWLRVEGCDGVYALGDCATINQRKVMEDIAVIFSKADKNNSGTLDLKDFKDVVDHISERYPQVQIYMEKKKLKTFDALLKSAQGNDNKLIDIETFKNALHEVDSQMKNLPATAQVAAQQGEYLASCFNRMEQCEKYPEGPMRFRGTGCHRFRPFRYKHFGQFAPLGGEQTAAQLPGDWISVGYSTQWLWYAVYTSKLVSWRTRVLVVSDWLRRSIFGRDSSRI >Potri.001G042000.1.v4.1 pep chromosome:Pop_tri_v4:1:3054220:3059374:-1 gene:Potri.001G042000.v4.1 transcript:Potri.001G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042000.v4.1 MASEKPKQGDKLANPSSTPQRNEKLGALDLTNSPAGHNEILDDRLPPFPFFQTGDMQGMPQHYAQEMPLPAIRMMNDFSHFNFSDRTAFDHDYLYRPVPDISLLEGNVNEPVDCFPSCALTDVASKIYDGLQNSKIHRKVDSARSDVARGSEMHREAAEAKNSDVHRSSLIGERGASTPMATHNSTSGRGVINNIVNTSAAQSSHPQILDGSSLKLGVGSNAEPRYTSNVSSRYGTLKYNEAALPQSSALCGQKDDTSSLSSSSKMTGNFSTIQNNAGGFDNNASNDSGFSSLTQNVDGLSRVVRNAGRASQQVQNVVEFSNLLPNIGGFSNQMQSVDGISPQTPNVDGFSSQLQSVEQLPRLSQNEGGRTLSLLADRQHYHSISSNWSSGPKVNANARFSNVNPSTGFRGFPTEPLILHNRNQVGMPDYGHGETGLQSYYFIRNATQSSSDQRQYPHTGTFMNLSPDPSLVVPFVGFARSNRGQSQSGQVIPAANAPAQASSVPCRPSCKRGASESSLATPEALHRKFRVSRASSHLSTPNMAQIASPHAPPPLAWTPSLRPPSVQLARPCIIQSPPDVSQINAPALVQIPHLRPPPVQAAYRNLAQCASNVAQMTPPHSPLAWTPPNLALVQTDHPHLAQFALNLTRASPNAPLAGTPLSSQLLIQTVRPNLALKPPIRQLLAQKTSGRPPLSHHVPPLPSIKAPDHIKWQDPEKTPQLSGHQCFICKRDLSFTPEGPVEQPVNPQPVAVLPCHHHFHAFCLERITTGSDAENPPCIPCAMGDKN >Potri.001G042000.4.v4.1 pep chromosome:Pop_tri_v4:1:3054974:3059365:-1 gene:Potri.001G042000.v4.1 transcript:Potri.001G042000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042000.v4.1 MASEKPKQGDKLANPSSTPQRNEKLGALDLTNSPAGHNEILDDRLPPFPFFQTGDMQGMPQHYAQEMPLPAIRMMNDFSHFNFSDRTAFDHDYLYRPVPDISLLEGNVNEPVDCFPSCALTDVASKIYDGLQNSKIHRKVDSARSDVARGSEMHREAAEAKNSDVHRSSLIGERGASTPMATHNSTSGRGVINNIVNTSAAQSSHPQILDGSSLKLGVGSNAEPRYTSNVSSRYGTLKYNEAALPQSSALCGQKDDTSSLSSSSKMTGNFSTIQNNAGGFDNNASNDSGFSSLTQNVDGLSRVVRNAGRASQQVQNVVEFSNLLPNIGGFSNQMQSVDGISPQTPNVDGFSSQLQSVEQLPRLSQNEGGRTLSLLADRQHYHSISSNWSSGPKVNANARFSNVNPSTGFRGFPTEPLILHNRNQVGMPDYGHGETGLQSYYFIRNATQSSSDQRQYPHTGTFMNLSPDPSLVVPFVGFARSNRGQSQSGQVIPAANAPAQASSVPCRPSCKRGASESSLATPEALHRKFRVSRASSHLSTPNMAQIASPHAPPPLAWTPSLRPPSVQLARPCIIQSPPDVSQINAPALVQIPHLRPPPVQAAYRNLAQCASNVAQMTPPHSPLAWTPPNLALVQTDHPHLAQFALNLTRASPNAPLAGTPLSSQLLIQTVRPNLALKPPIRQLLAQKTSGRPPLSHHVPPLPSIKAPDHIKWQGISQELG >Potri.001G042000.3.v4.1 pep chromosome:Pop_tri_v4:1:3054199:3059373:-1 gene:Potri.001G042000.v4.1 transcript:Potri.001G042000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042000.v4.1 MHREAAEAKNSDVHRSSLIGERGASTPMATHNSTSGRGVINNIVNTSAAQSSHPQILDGSSLKLGVGSNAEPRYTSNVSSRYGTLKYNEAALPQSSALCGQKDDTSSLSSSSKMTGNFSTIQNNAGGFDNNASNDSGFSSLTQNVDGLSRVVRNAGRASQQVQNVVEFSNLLPNIGGFSNQMQSVDGISPQTPNVDGFSSQLQSVEQLPRLSQNEGGRTLSLLADRQHYHSISSNWSSGPKVNANARFSNVNPSTGFRGFPTEPLILHNRNQVGMPDYGHGETGLQSYYFIRNATQSSSDQRQYPHTGTFMNLSPDPSLVVPFVGFARSNRGQSQSGQVIPAANAPAQASSVPCRPSCKRGASESSLATPEALHRKFRVSRASSHLSTPNMAQIASPHAPPPLAWTPSLRPPSVQLARPCIIQSPPDVSQINAPALVQIPHLRPPPVQAAYRNLAQCASNVAQMTPPHSPLAWTPPNLALVQTDHPHLAQFALNLTRASPNAPLAGTPLSSQLLIQTVRPNLALKPPIRQLLAQKTSGRPPLSHHVPPLPSIKAPDHIKWQDPEKTPQLSGHQCFICKRDLSFTPEGPVEQPVNPQPVAVLPCHHHFHAFCLERITTGSDAENPPCIPCAMGDKN >Potri.015G043900.1.v4.1 pep chromosome:Pop_tri_v4:15:4271094:4275390:-1 gene:Potri.015G043900.v4.1 transcript:Potri.015G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043900.v4.1 MPVFAESSNTTIADQIKLRKHRELQPIATETDPNPHISRTSKSNTIISSLFHSHFTATPPDQTKKKGATFRGLGCTAGAAQQVSVPAVIRSSAGWEGKRVKKKKGHQKRKKESLKLSSDNNNNSNNSNGDGDLSGDGNLGNCMVMQDVWCGPGIGFSGADAVVGSVDCVVVRRNASSGRGKIDEGEKFNQRERERGRERERPCLSRRAAVNPETPSFLDTDPAFVTSRPEIEVFGTRYYRHIRHPSPDGLARMMMLQNSFIMGGRLDRFSNWRLDIDHMTYEQLLDLGDRIGYVNTGLKEDEISSCVKKINPSIIKELPSHLHMTLEKKCSICQDEFEEADELGKLDCGHGFHIQCIKKWLAQKNTCPVCKTEPVARA >Potri.005G145900.1.v4.1 pep chromosome:Pop_tri_v4:5:12024884:12029895:1 gene:Potri.005G145900.v4.1 transcript:Potri.005G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145900.v4.1 MSKARVYTDVNVLRPKEYWDYESLAVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCIIKILKPVKKKKIKREIKILQNLCGGPNVVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKVAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSRFINSDNQHLVSPEALDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRMRTQ >Potri.012G089700.3.v4.1 pep chromosome:Pop_tri_v4:12:11492189:11496123:-1 gene:Potri.012G089700.v4.1 transcript:Potri.012G089700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089700.v4.1 MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQNLGKHDKGSNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLRMIHTDLKPENILLVSSDYVKVPDYKNSSRSPKDISYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQHILKRVDRHAGKYVRRGRLDWPEGAASRESMKAVLKLPRLQNLVMQHVDQSAGDLIHLLQGLLRYDPLDRLTAREALRHPFLAKVQHRR >Potri.012G089700.6.v4.1 pep chromosome:Pop_tri_v4:12:11492642:11493816:-1 gene:Potri.012G089700.v4.1 transcript:Potri.012G089700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089700.v4.1 MHDLRMIHTDLKPENILLVSSDYVKVPDYKNSSRSPKDISYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQHILKRVDRHAGKYVRRGRLDWPEGAASRESMKAVLKLPRLQNLVMQHVDQSAGDLIHLLQGLLRYDPLDRLTAREALRHPFLAKVQHRR >Potri.012G089700.1.v4.1 pep chromosome:Pop_tri_v4:12:11492192:11496065:-1 gene:Potri.012G089700.v4.1 transcript:Potri.012G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089700.v4.1 MELEHVTVFPITHLDRPRKRARFAWDVPQAQVGIFCGQEVGNVASYASSGAISDHTSSLFVKGVARNGSPPWREDDKDGHYMFALGDNLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQNLGKHDKGSNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLRMIHTDLKPENILLVSSDYVKVPDYKNSSRSPKDISYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQHILKRVDRHAGKYVRRGRLDWPEGAASRESMKAVLKLPRLQNLVMQHVDQSAGDLIHLLQGLLRYDPLDRLTAREALRHPFLAKVQHRR >Potri.012G089700.5.v4.1 pep chromosome:Pop_tri_v4:12:11492196:11496094:-1 gene:Potri.012G089700.v4.1 transcript:Potri.012G089700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089700.v4.1 MIKGATVMHDLRMIHTDLKPENILLVSSDYVKVPDYKNSSRSPKDISYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQHILKRVDRHAGKYVRRGRLDWPEGAASRESMKAVLKLPRLQNLVMQHVDQSAGDLIHLLQGLLRYDPLDRLTAREALRHPFLAKVQHRR >Potri.012G089700.4.v4.1 pep chromosome:Pop_tri_v4:12:11492195:11496065:-1 gene:Potri.012G089700.v4.1 transcript:Potri.012G089700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089700.v4.1 MFALGDNLTSRYKIHSKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYREAAMIEIEVLQNLGKHDKGSNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDLVREIGRQLLECVAFMHDLRMIHTDLKPENILLVSSDYVKVPDYKNSSRSPKDISYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDIWSAGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQHILKRVDRHAGKYVRRGRLDWPEGAASRESMKAVLKLPRLQNLVMQHVDQSAGDLIHLLQGLLRYDPLDRLTAREALRHPFLAKVQHRR >Potri.006G128200.1.v4.1 pep chromosome:Pop_tri_v4:6:10377898:10379554:-1 gene:Potri.006G128200.v4.1 transcript:Potri.006G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128200.v4.1 MGKDVEVRGEFIAKDYHDPPPAPLIDAEELTQWSLYRAIIAEFIATLLFLYITVLTVIGYKSQTDTTKNSDACGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLVRAVLYMVAQCLGAICGCGLVKAFQKSYYTKYGGGANELATGFSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIYNKDKAWDDHWIFWVGPFIGAAIAALYHQYVLRAAAVKALGSFRSSSNI >Potri.011G012201.1.v4.1 pep chromosome:Pop_tri_v4:11:1127169:1129176:1 gene:Potri.011G012201.v4.1 transcript:Potri.011G012201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G012201.v4.1 MLQTLLEIPSSLVGLDVSYCYSLQRIANLIPFTIARDCDQLVHIQDWIKLELIQKVDSHLLRIMEMVSVQMQTWRFQIELQGNRFNVVLEYDENEMLEFYEEEGLIQKEFEEHLSFKISLPARHRICGFNLFTWFSATLVSNPYLHVYLEILNNTKDDPVVQIKRVGVRMLHEEEGTDDDSSSSNTSDDVHVAAKAEIASHIFRNYYCSDCYDFGNEIIMCFFEKKLNVKIMMG >Potri.003G073800.1.v4.1 pep chromosome:Pop_tri_v4:3:10149019:10152364:1 gene:Potri.003G073800.v4.1 transcript:Potri.003G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073800.v4.1 MAAATNTPTEFEENSNDDDKSEMNITNYLIVRPEKGGILDLLRYLVWADIGSGVKFLESSDEGIMGGEAVDHRWIILVSIIVRKIISLLGKPMEYTGFVADFFLNLLFQNGGIMGLFLNFLQGKVVTPQRDTETFISTIGHLDGRIDLYRDENLLEQLDNSVSAEKIATEEIGNRALMDLCIMASKLAYENAKVVQSIVVQHWKMHFVDFYNCWNDFQKEMSTQVFILCDKPKDANLILISFRGTEPFDADDWGTDFDYSWYEIPKLGRVHMGFLEALGLGNRADTATFHNHLQMKSTSFNHGYDGSGSLSSNTDSDMEENEWDQFSASEEGTAVGHKKFLSEKVKKTAYYAVRKKLKSILMEHKNAKFVVTGHSLGGALAVLFPTVLVLHQQTDIMKRLLGVYTFGQPRIGNLQLAKFMEAHLEYPVPKYFRVVYSYDLVPRLPCDDKTFLYKHFGVCLYYNSLYIEQKLDEEPDPNFYGLRNVVSAHLNSVWELIRSFVVGYTHGPMYKESWFMVFARIMGLALPGIAAHCPTDYVNSVRLGKERVVRMSSF >Potri.003G149600.3.v4.1 pep chromosome:Pop_tri_v4:3:16296429:16302157:1 gene:Potri.003G149600.v4.1 transcript:Potri.003G149600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149600.v4.1 MSRICVKNLPKYVAEDRLREYFSQKGEVTDAKIMRTADGKSRQFAFVGFRTEREAEDAIKYFNKSYLDTCRIVCEIARKVGDPDIPRPWSRYSKQKEEKLSEDENNVTGSKSLDVKGAKDEKKKNKDNEKGNEIDDPRLQEFLQVMQPRAKSKLWENDSIVSHTADINGEVGKKGSQGKKEGKEKLVPVEVEIDKGNSDTDEESNDPARDEAVSDMDYFRSRVKKELSDSESESGGSDDDDDDDKNDNCNDKDEDSDLSNESLQRGNVAQAEVAEDTHAEDHENPSSTLKDEKEEILETCRLFVRNLPYTAIEDELEEHFSKFGNISQVHLVVDKDTKRSKGLAYIHYTLPESAARLESAPPTLLHCRTSDLLSQGSNTLKQRRQEEKKAAEASGDTRAWNSFFFHHDTVIENIARRHGVSKSDLLDREADDLAVRVALGETQVIAETKKALTNAGVNITALEEIAAGKKDGMKRSNHVLLVKNLPYGSSEVELAEKFGKFGSLDKIILPPTKTLALVVFLEPSEARAAFKGLAYKQYKGVPLYLEWAPANILSQSSTSKSDEKSDAAVGEHDAKRVILEQSVEGISEMDIDPDRIESRSLFVKNLNFKTADESLKKHFSEHMKEGRIQSVRIKKHMKKGKNVSMGFGFIEFDSVETATNICRDLQGTVLDGHALILQLCHAKKDEHSVKKAGKDKSSTKLLVRNVAFEATEKDLRQLFGPFGQIKSLRLPMKFGNHRGFAFVEYVTKQEAQNALQALSSTHLYGRHLVLERAKEGESLEELRARTAAQFTDEQNGLQNPAKLSKKRKDITMLDEGSMKFQRVTD >Potri.003G149600.1.v4.1 pep chromosome:Pop_tri_v4:3:16296431:16302170:1 gene:Potri.003G149600.v4.1 transcript:Potri.003G149600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149600.v4.1 MSRICVKNLPKYVAEDRLREYFSQKGEVTDAKIMRTADGKSRQFAFVGFRTEREAEDAIKYFNKSYLDTCRIVCEIARKVGDPDIPRPWSRYSKQKEEKLSEDENNVTGSKSLDVKGAKDEKKKNKDNEKGNEIDDPRLQEFLQVMQPRAKSKLWENDSIVSHTADINGEVGKKGSQGKKEGKEKLVPVEVEIDKGNSDTDEESNDPARDEAVSDMDYFRSRVKKELSDSESESGGSDDDDDDDKNDNCNDKDEDSDLSNESLQRGNVAQAEVAEDTHAEDHENPSSTLKDEKEEILETCRLFVRNLPYTAIEDELEEHFSKFGNISQVHLVVDKDTKRSKGLAYIHYTLPESAARALEELDNSIFQGRLLHVMPAKQKILSNKQETSDLLSQGSNTLKQRRQEEKKAAEASGDTRAWNSFFFHHDTVIENIARRHGVSKSDLLDREADDLAVRVALGETQVIAETKKALTNAGVNITALEEIAAGKKDGMKRSNHVLLVKNLPYGSSEVELAEKFGKFGSLDKIILPPTKTLALVVFLEPSEARAAFKGLAYKQYKGVPLYLEWAPANILSQSSTSKSDEKSDAAVGEHDAKRVILEQSVEGISEMDIDPDRIESRSLFVKNLNFKTADESLKKHFSEHMKEGRIQSVRIKKHMKKGKNVSMGFGFIEFDSVETATNICRDLQGTVLDGHALILQLCHAKKDEHSVKKAGKDKSSTKLLVRNVAFEATEKDLRQLFGPFGQIKSLRLPMKFGNHRGFAFVEYVTKQEAQNALQALSSTHLYGRHLVLERAKEGESLEELRARTAAQFTDEQNGLQNPAKLSKKRKDITMLDEGSMKFQRVTD >Potri.004G121100.1.v4.1 pep chromosome:Pop_tri_v4:4:11550925:11552549:-1 gene:Potri.004G121100.v4.1 transcript:Potri.004G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121100.v4.1 MERSGFGSSMTVAVAVAVLVFAMMVMVPEVSATRWTVGSNMGWTTNVNYTMWAQDKHFYNGDWLYRNQMNVLEVNKTDFESCNSDHPLHNLTRGAGRDVVPLNVTRTYYFISGKGFCYGGMKLAVHVANPLPPPTAAPLNEKSGSSSSILKCQYVLSTVFAIGALWDAFVWFW >Potri.014G170900.2.v4.1 pep chromosome:Pop_tri_v4:14:12596704:12599238:1 gene:Potri.014G170900.v4.1 transcript:Potri.014G170900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170900.v4.1 MAYFCRKHSKVIVIAIASLAVVSVAVLTKNSINGFSWFHFFSSQWGWTSITTLGTTSSSSVFMIDQGKRKTLMNVGKVSKEKYALMRGGNRSDAKLERVEAGLAMARALIREAAEDNNCTSSLHDDLDYIPRGYIYRNACAFHRSYLLMEKLFKIFVYEEGEPPLFHYGTCKDIYSMEGVFLSLMETNTKFRTSNPDEAHVYFLPFSVVMIIEHLFHPIIRDKAVLERTVSDYVRIISHKYLYWNRSLGADHFMLSCHDWGPRATWYVRQLYYNSIRVLCNANTSEYFNPKKDASFPEINLKTGEITGLTGGLPPSNRTVLAFFAGKMHGKLRPALLQHWMGKDKDVQVYETLPQGISYHEMMKKSKYCICPSGHEVASPRIAEAIYAECVPVLISQHYIFPFSDVLNWDSFTIQVPVTEIPNLKNILEGIPEDQYLRMQERVRQVQRHFVVNNPPRRYDVFHMIIHSIWLRRLNVRFPG >Potri.006G053800.4.v4.1 pep chromosome:Pop_tri_v4:6:3790965:3794916:1 gene:Potri.006G053800.v4.1 transcript:Potri.006G053800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053800.v4.1 MCCRLERLKLAATPTLPTISSDENLPSNIVMNHLTVETEDTFASLLELAANNDVEGFKQSIERDLSCVDEIGLWYGRKKGSKQMVNEHRTPLMVASTYGSIDVIKVILSLSYVDVNRSCGVEKSTALHCAASGGAVNAVDVVKLLLAAGADCNLADANGHRPIDVIVVPPKLQDVRLVLKDLLAADGSHVEQNLRVSIATENSNSPPLSPSMENGSPLSGSDSPTKAKLNDAPLASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTSGATAMDFAAAMNLLSGSPSAASIMSPSPFTPPMSPSANGISHSSVAWPQPNVPALHLPGSNLQSSRLRSSLNARDIPADYNLLPDFDGQQQQLLSELSSLSQPSLNNNSMNHSGRLKTLTPSNLDDLFSAESSSPRYTGSSPRYADQALASAVFSPSHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQLQQLRSLSSRELGSNAAAIVGSSVNTWSKWGSSNGKPDWTLSTDELGKLCRSNSFEFGNGDGPDLSWVQSLVKESPTEMKDELKMPVSGSIAASASPSESSNMNSQIETIDLDTMVGSWVEPLQIDQLVAQQN >Potri.006G053800.2.v4.1 pep chromosome:Pop_tri_v4:6:3790760:3795061:1 gene:Potri.006G053800.v4.1 transcript:Potri.006G053800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053800.v4.1 MCCRLERLKLAATPTLPTISSDENLPSNIVMNHLTVETEDTFASLLELAANNDVEGFKQSIERDLSCVDEIGLWYGRKKGSKQMVNEHRTPLMVASTYGSIDVIKVILSLSYVDVNRSCGVEKSTALHCAASGGAVNAVDVVKLLLAAGADCNLADANGHRPIDVIVVPPKLQDVRLVLKDLLAADGSHVEQNLRVSIATENSNSPPLSPSMENGSPLSGSDSPTKAKLNDAPLASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTSGATAMDFAAAMNLLSGSPSAASIMSPSPFTPPMSPSANGISHSSVAWPQPNVPALHLPGSNLQSSRLRSSLNARDIPADYNLLPDFDGQQQQLLSELSSLSQPSLNNNSMNHSGRLKTLTPSNLDDLFSAESSSPRYTGSSPRYADQALASAVFSPSHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQLQQLRSLSSRELGSNAAAIVGSSVNTWSKWGSSNGKPDWTLSTDELGKLCRSNSFEFGNGDGPDLSWVQSLVKESPTEMKDELKMPVSGSIAASASPSESSNMNSQIETIDLDTMVGSWVEPLQIDQLVAQQN >Potri.006G053800.3.v4.1 pep chromosome:Pop_tri_v4:6:3790929:3795049:1 gene:Potri.006G053800.v4.1 transcript:Potri.006G053800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053800.v4.1 MCCRLERLKLAATPTLPTISSDENLPSNIVMNHLTVETEDTFASLLELAANNDVEGFKQSIERDLSCVDEIGLWYGRKKGSKQMVNEHRTPLMVASTYGSIDVIKVILSLSYVDVNRSCGVEKSTALHCAASGGAVNAVDVVKLLLAAGADCNLADANGHRPIDVIVVPPKLQDVRLVLKDLLAADGSHVEQNLRVSIATENSNSPPLSPSMENGSPLSGSDSPTKAKLNDAPLASEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTSGATAMDFAAAMNLLSGSPSAASIMSPSPFTPPMSPSANGISHSSVAWPQPNVPALHLPGSNLQSSRLRSSLNARDIPADYNLLPDFDGQQQQLLSELSSLSQPSLNNNSMNHSGRLKTLTPSNLDDLFSAESSSPRYTGSSPRYADQALASAVFSPSHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQLQQLRSLSSRELGSNAAAIVGSSVNTWSKWGSSNGKPDWTLSTDELGKLCRSNSFEFGNGDGPDLSWVQSLVKESPTEMKDELKMPVSGSIAASASPSESSNMNSQIETIDLDTMVGSWVEPLQIDQLVAQQN >Potri.006G241800.1.v4.1 pep chromosome:Pop_tri_v4:6:24338820:24342830:-1 gene:Potri.006G241800.v4.1 transcript:Potri.006G241800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241800.v4.1 MATPSLDEETTKEVLRQVEFYFSDSNIPRDNFLRNTIESSEDGLVSLALICSFKKMKGYLKLMDVKPEEIPEPTVQAVAETLRKSTSLKVSEDGKKVGRMAALLKPDEAIEQLDVRTVAVSPLKYNAKREELESFFGQHAKVTSVRMPRHVGDKRVFCGTALIEFSSEEDAEKILNQSLVFEGAELELKPKKEFDTERAQEEEEFENSRSSTGPHNKNSSNEEGNYPKGLIIAFALKSKLAGGSAEQNGAQEPANGDANACEADGGSSSSENTTKENEQKVPETVKTDDENNVDHVDGDNGSESTVIKTGEEKSSEDSNEKEEGKEKPNPAASKDDKNVVLREDLKAVFERFGTVKYVDFKMGDESGYLRFEEAEAAQKARAAAVLAKEEGLVVKNFIATLEPVTGEAEKEYWNQLRGQKERRFENKGNRGRGGKHYRGGGKHPRSRENNYGRPNKAQKVGAS >Potri.005G049300.1.v4.1 pep chromosome:Pop_tri_v4:5:3134777:3137085:-1 gene:Potri.005G049300.v4.1 transcript:Potri.005G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049300.v4.1 MEDASVAKDRTISVASAFSAHQQAVQDRDHKFLTRAVEEAYKGVECGDGGPFGAVVVQNDEIIMSCHNMVLKNTDPTAHAEVTAVREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRVKRLVYGAEAEAAIAIGFDDFIADALRGTGFYQKAHLEIKKADGSGAVIAEQVFEKTKAKFTMY >Potri.004G048900.4.v4.1 pep chromosome:Pop_tri_v4:4:3937503:3940922:-1 gene:Potri.004G048900.v4.1 transcript:Potri.004G048900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048900.v4.1 MWYFLNVIFNILNKKIYNYFPYPYFVSVIHLFVGVVYCLVSWTVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGQSIPITLWLSLLPVVLGVSMASLTELSFNWTGFISAMISNISFTYRSLYSKKAMTDMDSTNIYAYISIIALFVCIPPAILVEGPQLIKHGFNDAIAKVGLTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILIFGNKISTQTGIGTGIAIAGVATYSYIKAKMEEEKRRGKAA >Potri.004G048900.5.v4.1 pep chromosome:Pop_tri_v4:4:3937530:3941326:-1 gene:Potri.004G048900.v4.1 transcript:Potri.004G048900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048900.v4.1 MCLCFDGMFVDGVLCSFVSVIHLFVGVVYCLVSWTVGLPKRAPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGQSIPITLWLSLLPVVLGVSMASLTELSFNWTGFISAMISNISFTYRSLYSKKAMTDMDSTNIYAYISIIALFVCIPPAILVEGPQLIKHGFNDAIAKVGLTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILIFGNKISTQTGIGTGIAIAGVATYSYIKAKMEEEKRRGKAA >Potri.004G048900.6.v4.1 pep chromosome:Pop_tri_v4:4:3937545:3940344:-1 gene:Potri.004G048900.v4.1 transcript:Potri.004G048900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048900.v4.1 MHYLFAVYCVQPIDSNLLKLLIPVAVCHALGHVTSNVSFAAVAVSFTHTIKALEPFFNAAASQFVLGQSIPITLWLSLLPVVLGVSMASLTELSFNWTGFISAMISNISFTYRSLYSKKAMTDMDSTNIYAYISIIALFVCIPPAILVEGPQLIKHGFNDAIAKVGLTKFISDLFWVGMFYHLYNQLATNTLERVAPLTHAVGNVLKRVFVIGFSILIFGNKISTQTGIGTGIAIAGVATYSYIKAKMEEEKRRGKAA >Potri.011G081600.4.v4.1 pep chromosome:Pop_tri_v4:11:8834114:8841043:1 gene:Potri.011G081600.v4.1 transcript:Potri.011G081600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G081600.v4.1 MESQNSNICNNGSALIFLGTGCSSAVPNVRCLLQPSDPPCSVCSQALSVPPNQNPNYRCNTSLVIDHYSESDNAHSYILIDVGKTFREQVLRWFTLHNIPRIDSIILTHEHADAVLGLDDIRAVQPYSPINDIDPTPIYLSHHAMDSIAEKFPYLVQKQLKPGQEIRRVAQLDWHIIEEDHQRPFVASGIQFVPLPVMHGEDYISLGFLFGEKCRVAYISDVSRIPSSTEHVISKASAGQLDILILDTLYKNGSHNTHFCLPQTLETVKRLCPKRALLIGMTHEFDHYKDNDFLTEWSQREGIPVQLARDGLRIPVELS >Potri.015G004200.1.v4.1 pep chromosome:Pop_tri_v4:15:260024:263920:-1 gene:Potri.015G004200.v4.1 transcript:Potri.015G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004200.v4.1 MRKERRSRRINRIGSYAISSSMSMRDHRKQPCITCTTFNILAPIYKRLNVNNDKNQDSRESDYRAYWLVRNQKILDSLLRERSSIICLQEFWVGNEELVNMYEKRLGDAGYLNFKLARTNNRGDGLLIAVRKEHFRVIDHRELLFNDCGDRVAQLLHVELAAPYSPSRNNDTRQEILIVNTHLLFPHDSSLSLVRLNQVYKILQYVESYQKENKLSPTPIMLCGDWNGSKRGHVYKFLRSLGFVSSYDNAHQYTDADAHKWVSHLNHRGNICGVDFIWLLNPNRYRKLLKTSWSEAVFGMFKYLVRRASLTEEDAFALLKADNDSDCITYSGFCESLRQLNLTGHCYGLNDEETKDLWVQADIDGNGALDYKEFQQRIWNPTRSDQKDNDGILDDDHKGGEEQTIGFSVENAVLFPPEVEKGMWPENYSLSDHARLTVVFSPIRMPCSQLIS >Potri.019G088850.1.v4.1 pep chromosome:Pop_tri_v4:19:12760982:12761850:1 gene:Potri.019G088850.v4.1 transcript:Potri.019G088850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088850.v4.1 MRAGGRQKTFLFSREFAQDCRCWARDLPSLPQPTSLLLISMAWGVSFLLKFRRQQYAWTVGGRLWPGFQ >Potri.015G134400.1.v4.1 pep chromosome:Pop_tri_v4:15:14338730:14341984:1 gene:Potri.015G134400.v4.1 transcript:Potri.015G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134400.v4.1 MFPFQQSYDEFWSQISSNPYQEDIDQDQHQILGQDSLHGISNLNNSSVEEVPQAHTILATSNANDDSGNIRCDEKKVARKEIERQRRQQMSTLHASLRNLLPPDSIKGRRSISDHMSEAVKCIKHLKSNIQDLSVKRDKLKNLSSSSTFEHGTEISDHNLLDSVTVRHYLDGLEIVLTRGPGEEGILLSRVLEAVLEEGFDVVGCTSTQKGQRHYTTIQCQASNLNCIDADRLKGKLIDVISLSRYE >Potri.010G181700.3.v4.1 pep chromosome:Pop_tri_v4:10:18024646:18025059:1 gene:Potri.010G181700.v4.1 transcript:Potri.010G181700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181700.v4.1 MFMTTQLLPRVAGTWYFRFETADEAVRALHHAGAISVIKSIPDSSDEAENEKCLKYSQVKKSSDILERLGLTRVCIP >Potri.010G181700.2.v4.1 pep chromosome:Pop_tri_v4:10:18024646:18024858:1 gene:Potri.010G181700.v4.1 transcript:Potri.010G181700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181700.v4.1 MFMTTQLLPRVAGTWYFRFETADEAVRALHHAGAISVIKSIPDSSDEAENEKCKASLLKRFQDLRYDNSS >Potri.008G015500.2.v4.1 pep chromosome:Pop_tri_v4:8:786913:789474:-1 gene:Potri.008G015500.v4.1 transcript:Potri.008G015500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G015500.v4.1 MNPYGGSGQRMRGNAGAMSNSYGGGGRQDGYSSVEAEQHPGYKSSIAEGQWQWDRDSQNVHNQLPTHTFSEGQVGSGARSYYHGQPPDPKMGLESQSNKEAGGTQPHDQDMELGFEDTSLPMSFENLERKFFDEVTKLAKEQGDAEVAENARHRENIIEINTRYQDKLSALRAQQANRREEFLRKESQARLSQYQQASMSHYPNSGLQDARGYSGAAATGPISAGETHRAYASSQFESYQGRPQYGGGGRAQGNEGRIPYPEGRVYNNAGARHY >Potri.018G113500.1.v4.1 pep chromosome:Pop_tri_v4:18:13055824:13058502:-1 gene:Potri.018G113500.v4.1 transcript:Potri.018G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113500.v4.1 MNSFAHFKIWVLTLCMVFQSGHGFYLPGSYPHKHGIGDTLSVKVNSITSIETEMPFSYYSLPFCKPLEGVKDSAENLGEVLMGDRIENSPYKFKMYTNESDIFQCQTDPLSAENFKLLKKRIDEMYQVNLILDNLPAIRYAKKESYFLRWTGYPVGIKFQDAYYVFNHLKFTVLVHKYEEANVAHVMGTGDAAEVIPTIASGGSELPGYMVVGFEVVPCSVMHDAKSVKNLKPYEKYPSPVKCDPTTVAMPIKENEPIVFTYEVTFEESDIKWPSRWDAYLKMEGSKVHWFSILNSLMVITFLAGIVLVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFRAPTNAGLLCVMVGDGVQLLGMAVVTVMFAALGFMSPASRGTLIIGMILFYMILGISAGYVAVRLWRTIGCGDKKGWVSVSWKVACFFPGIAFFILTTLNFLLWGSHSTGAIPFSLFVVLIFMWFCISVPLTLVGGFFGAKAPHIEYPVRTNQIPREIPAQKYPSWLLVFGAGTLPFGTLFIELFFIMSSIWMGRVYYVFGFLLIVFILLVVVCAEVSLVLTYMHLCVEDWKWWWKSFFASGSVAIYIFLYSVNYLIFELKSLSGPISEVLFLGYSLLMALAIMFAMGSVGFLSSFWFVHYLFSSVKLD >Potri.013G007700.1.v4.1 pep chromosome:Pop_tri_v4:13:492977:494292:-1 gene:Potri.013G007700.v4.1 transcript:Potri.013G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007700.v4.1 MASNQSNCDVSSQQEPNQQRKKRRKLTHETTESHLQNDGTGETKNQPTVRWRTDTASRIYSSKLLEALRRSCRITSHRGEKTREVRETADRVLAVAARGKTRWSRAILAKRARLLRVKKVKKQRVARDRKSPGSEKRRKLPPVEKKVKVLSRLVPGCRKVSFVNLLEEASDYIAALEMQIKVMTNLSEILTVAGGGGGGGGSSS >Potri.019G056200.4.v4.1 pep chromosome:Pop_tri_v4:19:9486950:9492314:-1 gene:Potri.019G056200.v4.1 transcript:Potri.019G056200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056200.v4.1 MVGPMYDFVANPLGAVRLTFDKTIGSATDPSSFDGKDWGAVDLFRHFLFDQARLSQVPILNGATINWIKPNTLVRFRGMIQDMLGNELYVGAYKDGSVWRTNKFMDISQCPVELNSPDMRLWERRLLYCVPVPGLNSWAESTSEVAVNMCMDSTSEQRDKRRRMDIEAVDHNDFPVSGDESEDSPSAKRMREDPSSSQYLDPKNEGPCSSHVILPDVDRDSLPCLVKIYDSPESELKLNDVFEFVGVLTFDSELPSEKVDQDEFSNGLCDDVSVNLPPNKVPRLHCVIHRKLTVYDFLQNSPPTEPKPHLVKEAREALLRHLTSILGNDGVAAHFMLLHLLSRVHARADNVAVGKLSLNLTCISKEIASVFGTKLSIVIKNLLPFTKCIPLTVEYLNTASLAPKKDYQINRLIPGVLQLAEGSHLIFDETCLETGTLNSAGVENARLLKALTELQKVEYDFKYYKMEMMADVQMLILSEGKSNIMPADIIMPFQPSSAGSSDVVPAEVLEVWRWYLATVRSMPHLIEAEMQKVVENDLVTARQTDRSLGSQDFSRWLTMGRLISASFGETSLSLEHWQMVKELERLRMDRLK >Potri.019G056200.5.v4.1 pep chromosome:Pop_tri_v4:19:9486934:9492108:-1 gene:Potri.019G056200.v4.1 transcript:Potri.019G056200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056200.v4.1 MVGPMYDFVANPLGAVRLTFDKTIGSATDPSSFDGKDWGAVDLFRHFLFDQARLSQVPILNGATINWIKPNTLVRFRGMIQDMLGNELYVGAYKDGSVWRTNKFMDISQCPVELNSPDMRLWERRLLYCVPVPGLNSWAESTSEVAVNMCMDSTSEQRDKRRRMDIEAVDHNDFPVSGDESEDSPSAKRMREDPSSSQYLDPKNEGPCSSHVILPDVDRDSLPCLVKIYDSPESELKLNDVFEFVGVLTFDSELPSEKVDQDEFSNGLCDDVSVNLPPNKVPRLHCVIHRKLTVYDFLQNSPPTEPKPHLVKEAREALLRHLTSILGNDGVAAHFMLLHLLSRVHARADNVAVGKLSLNLTCISKEIASVFGTKLSIVIKNLLPFTKCIPLTVEYLNTASLAPKKDYQINRLIPGVLQLAEGSHLIFDETCLETGTLNSAGVENARLLKALTELQKVEYDFKYYKMEMMADVQMLILSEGKSNIMPADIIMPFQPSSAGSSDVVPAEVLEVWRWYLATVRSMPHLIEAEMQKVVENDLVTARQTDRSLGSQDFSRWLTMGRLISASFGETSLSLEHWQMVKELERLRMDRLK >Potri.019G056200.3.v4.1 pep chromosome:Pop_tri_v4:19:9486927:9492320:-1 gene:Potri.019G056200.v4.1 transcript:Potri.019G056200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056200.v4.1 MVGPMYDFVANPLGAVRLTFDKTIGSATDPSSFDGKDWGAVDLFRHFLFDQARLSQVPILNGATINWIKPNTLVRFRGMIQDMLGNELYVGAYKDGSVWRTNKFMDISQCPVELNSPDMRLWERRLLYCVPVPGLNSWAESTSEVAVNMCMDSTSEQRDKRRRMDIEAVDHNDFPVSGDESEDSPSAKRMREDPSSSQYLDPKNEGPCSSHVILPDVDRDSLPCLVKIYDSPESELKLNDVFEFVGVLTFDSELPSEKVDQDEFSNGLCDDVSVNLPPNKVPRLHCVIHRKLTVYDFLQNSPPTEPKPHLVKEAREALLRHLTSILGNDGVAAHFMLLHLLSRVHARADNVAVGKLSLNLTCISKEIASVFGTKLSIVIKNLLPFTKCIPLTVEYLNTASLAPKKDYQINRLIPGVLQLAEGSHLIFDETCLETGTLNSAGVENARLLKALTELQKVEYDFKYYKMEMMADVQMLILSEGKSNIMPADIIMPFQPSSAGSSDVVPAEVLEVWRWYLATVRSMPHLIEAEMQKVVENDLVTARQTDRSLGSQDFSRWLTMGRLISASFGETSLSLEHWQMVKELERLRMDRLK >Potri.015G038500.3.v4.1 pep chromosome:Pop_tri_v4:15:3329449:3332335:1 gene:Potri.015G038500.v4.1 transcript:Potri.015G038500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038500.v4.1 MFYFLYKSLLQHGRHAATLSPSHKLFSFQHSPSIITLRFFISTLESPNKQSFAASYLINKFVFSPESALSASKHLSFKTPDNPDSVIRMFQHYGLSQDQIFKLVKKYPRVLSCKPEKTLLPKLKFFHSKGMSGNDIAHILCAHPCILNRSLENQIILNFNFLGNLLQSNEKTIAAVKRYSPILYHKIDTYLKPCIDILEEYGVPKRHIATLVHRSPRSVMMSPNHLRSIAETVREMGCDPLKPHFATAVMVMGLLSKSGWERRLGVYKSWGWSEEDVLAAFIKEPWCMMTSDDKIMAVMDFLVNNMDCEPSFIVKNPYLLKPGLKTTFIPRASVAQFLLSKQLIKRKPNLVTLFLCSEKLFLEKFVNCFDEAPQLLKLYNKRNQIFQK >Potri.015G038500.5.v4.1 pep chromosome:Pop_tri_v4:15:3329449:3332336:1 gene:Potri.015G038500.v4.1 transcript:Potri.015G038500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038500.v4.1 MFYFLYKSLLQHGRHAATLSPSHKLFSFQHSPSIITLRFFISTLESPNKQSFAASYLINKFVFSPESALSASKHLSFKTPDNPDSVIRMFQHYGLSQDQIFKLVKKYPRVLSCKPEKTLLPKLKFFHSKGMSGNDIAHILCAHPCILNRSLENQIILNFNFLGNLLQSNEKTIAAVKRYSPILYHKIDTYLKPCIDILEEYGVPKRHIATLVHRSPRSVMMSPNHLRSIAETVREMGCDPLKPHFATAVMVMGLLSKSGWERRLGVYKSWGWSEEDVLAAFIKEPWCMMTSDDKIMAVMDFLVNNMDCEPSFIVKNPYLLKPGLKTTFIPRASVAQFLLSKQLIKRKPNLVTLFLCSEKLFLEKFVNCFDEAPQLLKLYNKRNQIFQK >Potri.015G038500.4.v4.1 pep chromosome:Pop_tri_v4:15:3329414:3332349:1 gene:Potri.015G038500.v4.1 transcript:Potri.015G038500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038500.v4.1 MFYFLYKSLLQHGRHAATLSPSHKLFSFQHSPSIITLRFFISTLESPNKQSFAASYLINKFVFSPESALSASKHLSFKTPDNPDSVIRMFQHYGLSQDQIFKLVKKYPRVLSCKPEKTLLPKLKFFHSKGMSGNDIAHILCAHPCILNRSLENQIILNFNFLGNLLQSNEKTIAAVKRYSPILYHKIDTYLKPCIDILEEYGVPKRHIATLVHRSPRSVMMSPNHLRSIAETVREMGCDPLKPHFATAVMVMGLLSKSGWERRLGVYKSWGWSEEDVLAAFIKEPWCMMTSDDKIMAVMDFLVNNMDCEPSFIVKNPYLLKPGLKTTFIPRASVAQFLLSKQLIKRKPNLVTLFLCSEKLFLEKFVNCFDEAPQLLKLYNKRNQIFQK >Potri.011G130533.1.v4.1 pep chromosome:Pop_tri_v4:11:16425739:16430577:-1 gene:Potri.011G130533.v4.1 transcript:Potri.011G130533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130533.v4.1 MSVIPSSDPDLRSPLLSSLEEPARKPEKGPDVQKLTIDDMLQKHCGEFGTWQLRHFVLTCLAWALEGFHTMVMIFADREPEFRCLGSGCDEMAKSVCGFEPGSWDWVGGAGSSTVAQWGLVCGEKYKVGLVQAVFFGGCMIGAGTFGHLSDSTLGRKGSLTVVCLLNAVFGCLTALAPDYWTYLLLRLLTGFSTGGVGLCAFVLATEPVGSSKRGAAGMSTFYFFSTGIAMLSGIAYIFPSWRELYIASSIPSILFLVIVLPFISESPRWYLVRGRINEAMTLMRTIAKSNGKHLPDGVVLALDEDVNESYVNDQSDKQGFATKEAITGSVIDVIRSPVTRVRLFLAVAINFMCSVVYYGLSLNVVNLDTNLHLNVVLNAVAEMPAFTITALLLDKFGRKPLTIGTLWFSGFFCFAGSLMGGVGIWKVVRMICGILGIFGMAGTYNLLFIYTAELFPTVVRNVALGCATQSAQMGAILAPFIVVMGGALPFAVFASCGFVGGLLAFYLPETLNRPLYDTITGMKEGEGGLSGV >Potri.005G071100.1.v4.1 pep chromosome:Pop_tri_v4:5:4655864:4658322:1 gene:Potri.005G071100.v4.1 transcript:Potri.005G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071100.v4.1 MALETVVFQQDPSSTLGLGATWIHGFGLEGEKANYHETLNTTISNIGSDFHPNNNWDTNNSSSQEISCACKGGFFTGGNAAGRRKRQRRISIKDEAEVAHQRMTHIKVERNRRKQMNDYLTVIRSMMPPSYVQRPDQASIIGGAINFVKELEKLTQSLEAHKQVNKVQSGTNSNCSSLFSDFFSFSQYSTASSTNKQSNSNNSSPSTDSMLAEKQPIAIADVEVTMTERHANLKILSRRHPKQLLKMVTGLHSLGLYTLHLNVTTVGQMVLYSFSVKVEDECRLTSVDEIAAAVHEIAGRIQEDAISNCMPSSE >Potri.001G246100.2.v4.1 pep chromosome:Pop_tri_v4:1:26296800:26315418:1 gene:Potri.001G246100.v4.1 transcript:Potri.001G246100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246100.v4.1 MVFCSSCRKSVPTSYDETGIVSCCICGKVLQFTNFSTETTFVKDKTGQSHAGGTLIWSVERENASRERLFERARDDMLNIKNGLDMGPHLAIVDQAMVYYRIAVERNFTKGRRTDQVQAACLYIACRENRKPYLLIDFSNYLQINIYVLGAVFLQLCKVLNLTEHAICQKLLDPSIFIHKYTASLSGGKNREISDDALTIIASMNHHWMQTGRRPSALWGAALYISAISHGLNCSKSDILRLVHVCGKTLSKRLIEFENTESGSLTIEELNAKAEELKESSMPQKFFGEPSSSKELLCQHKGTNKPPFGFGLCKDCYAIVIGFEGGSDPPAFQNAERQRMKLSSVTHNLSKESNSQCESRDEERPVQDPKSVEASMGNLASDPDKLQDDGVGDMSSKDFYESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQEAKEAATATHKEAWEENFKNCPEDLQAARKLDAAVKADLAKSKKEMQQKRAAEARNSVPAKSAAEAVHRMLTKKRISSKINYDVLEKLFEEPEAKDAKRPRTESHPDPVEKVLHTDGKDHKLEDTNENDGLGPLDENVDDAVGEAYDDFLYDENGSDDDYGNSLW >Potri.001G246100.3.v4.1 pep chromosome:Pop_tri_v4:1:26296780:26315358:1 gene:Potri.001G246100.v4.1 transcript:Potri.001G246100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246100.v4.1 MVFCSSCRKSVPTSYDETGIVSCCICGKVLQFTNFSTETTFVKDKTGQSHAGGTLIWSVERENASRERLFERARDDMLNIKNGLDMGPHLAIVDQAMVYYRIAVERNFTKGRRTDQVQAACLYIACRENRKPYLLIDFSNYLQINIYVLGAVFLQLCKVLNLTEHAICQKLLDPSIFIHKYTASLSGGKNREISDDALTIIASMNHHWMQTGRRPSALWGAALYISAISHGLNCSKSDILRLVHVCGKTLSKRLIEFENTESGSLTIEELNAKAEELKESSMPQKFFGEPSSSKELLCQHKGTNKPPFGFGLCKDCYAIVIGFEGGSDPPAFQNAERQRMKLSSVTHNLSKESNSQCESRDEERPVQDPKSVEASMGNLASDPDKLQDDGVGDMSSKDFYESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQEAKEAATATHKEAWEENFKNCPEDLQAARKLDAAVKADLAKSKKEMQQKRAAEARNSVPAKSAAEAVHRMLTKKRISSKINYDVLEKLFEEPEAKDAKRPRTESHPDPVEKVLHTDGKDHKLEDTNENDGLGPLDENVDDAVGEAYDDFLYDENGSDDDYGNSLW >Potri.001G246100.4.v4.1 pep chromosome:Pop_tri_v4:1:26296732:26315322:1 gene:Potri.001G246100.v4.1 transcript:Potri.001G246100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246100.v4.1 MVFCSSCRKSVPTSYDETGIVSCCICGKVLQFTNFSTETTFVKDKTGQSHAGGTLIWSVERENASRERLFERARDDMLNIKNGLDMGPHLAIVDQAMVYYRIAVERNFTKGRRTDQVQAACLYIACRENRKPYLLIDFSNYLQINIYVLGAVFLQLCKVLNLTEHAICQKLLDPSIFIHKYTASLSGGKNREISDDALTIIASMNHHWMQTGRRPSALWGAALYISAISHGLNCSKSDILRLVHVCGKTLSKRLIEFENTESGSLTIEELNAKAEELKESSMPQKFFGEPSSSKELLCQHKGTNKPPFGFGLCKDCYAIVIGFEGGSDPPAFQNAERQRMKLSSVTHNLSKESNSQCESRDEERPVQDPKSVEASMGNLASDPDKLQDDGVGDMSSKDFYESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQEAKEAATATHKEAWEENFKNCPEDLQAARKLDAAVKADLAKSKKEMQQKRAAEARNSVPAKSAAEAVHRMLTKKRISSKINYDVLEKLFEEPEAKDAKRPRTESHPDPVEKVLHTDGKDHKLEDTNENDGLGPLDENVDDAVGEAYDDFLYDENGSDDDYGNSLW >Potri.001G246100.1.v4.1 pep chromosome:Pop_tri_v4:1:26296760:26315418:1 gene:Potri.001G246100.v4.1 transcript:Potri.001G246100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246100.v4.1 MVFCSSCRKSVPTSYDETGIVSCCICGKVLQFTNFSTETTFVKDKTGQSHAGGTLIWSVERENASRERLFERARDDMLNIKNGLDMGPHLAIVDQAMVYYRIAVERNFTKGRRTDQVQAACLYIACRENRKPYLLIDFSNYLQINIYVLGAVFLQLCKVLNLTEHAICQKLLDPSIFIHKYTASLSGGKNREISDDALTIIASMNHHWMQTGRRPSALWGAALYISAISHGLNCSKSDILRLVHVCGKTLSKRLIEFENTESGSLTIEELNAKAEELKESSMPQKFFGEPSSSKELLCQHKGTNKPPFGFGLCKDCYAIVIGFEGGSDPPAFQNAERQRMKLSSVTHNLSKESNSQCESRDEERPVQDPKSVEASMGNLASDPDKLQDDGVGDMSSKDFYESDGFSDIDDAEVDSYLHNEEEKRYKKIIWEEMNREYLQEQEAKEAATATHKEAWEENFKNCPEDLQAARKLDAAVKADLAKSKKEMQQKRAAEARNSVPAKSAAEAVHRMLTKKRISSKINYDVLEKLFEEPEAKDAKRPRTESHPDPVEKVLHTDGKDHKLEDTNENDGLGPLDENVDDAVGEAYDDFLYDENGSDDDYGNSLW >Potri.006G175200.1.v4.1 pep chromosome:Pop_tri_v4:6:17986476:17991485:1 gene:Potri.006G175200.v4.1 transcript:Potri.006G175200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175200.v4.1 MRAGLSTIQQTLTPEAASVLNHSIAEASRRNHGQTTPLHVAAILLGSPSGFLRQACIKSHPNSSHPLQCRALELCFSVALERLPTAQNLSPGLDPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKATIEQSLNASTNSNSAANSGIGMGFRAPGAVAVPAPVTNRNLYVNPRLQQGSVGQSGAQRNEEVKKVIDILLKSKKRNPVLVGESEPQMVVQEVLKRIENKEVGDWPLKNVHVIHLEKGFLDKAQIAAKIVELGGLIETRIRNLDCGGVILDLGDLKWLVEQQVSLTGSGGVQQQQIVSDVGRSAVAEMRKLLGRFGEGSGGGKVWLIGTATCETYLRCQVYHPSMENDWDLQAVPIAARAHLPGTFHRLGTSGILSSSVESLSPLKGFPTVTLPPPRRLSENLDPARIMSCCPSCMQNYDQELAKLVPKEAEKSSEIKSEAAQPPLPQWLRNAKSQDGDVKTSDQTVTKDQELMLKQKKQELQKKWHDTCLHLHPAYHQPNLGPERITQPALSMTSLYNQNLLPHQPFQPKLSLNKKLSGTLVLNPNLLPSQPAGQATTPPRSPVRTDLVLGRLKVVETTPEKEHEEHTKDFLSRVPSEPLSNLHELPSSKLLSKLDTDSFKKLLKGLLEKVWWQRDAASAVATTVTQCKLGHGKSRGTGSKGDIWLLFTGPDRAGKQKMASALSELVCVTNPIMVCLGSRREDGESVLSFRGKTVLDRIAEAVRRNPFSVIVLEDIDEADMLVRGSIKRAMERGRIADSLGREISLGNVIFILTANRLPDNPKFLSNSNSLDEKKLASLASGGWQLKLTLSERRAKRRANWLHDEERSARPRTDLGPALAFDLNEAADAGGDKADGSHNSSDLTVDHEDEHVLNNRLLTSATSSISKELLNSVDDHIVFKPADFSSIRRDISNSITKKFSTIFNNQVPIEIQDEALEKIVGGIWLSQTGLEEWTDNVLVPSLRQLKLRLPTRANESITVQLELDTDSDSRSRVDWLPSSIRAVVDGL >Potri.013G128800.1.v4.1 pep chromosome:Pop_tri_v4:13:13584438:13585157:-1 gene:Potri.013G128800.v4.1 transcript:Potri.013G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128800.v4.1 MASRALASTALFLALNILFFTLVSSSDCQGKPEGPKHQPSPSTTPKVKPPKSKSTCPRDTLKLQACANVLNLAKVLIGEKEKATCCSLIDGLVDLEAAVCLCTRVKADLLGLIKLDIPVAVEILLNECNRKVAEKFKCPSY >Potri.004G033500.1.v4.1 pep chromosome:Pop_tri_v4:4:2583995:2587157:1 gene:Potri.004G033500.v4.1 transcript:Potri.004G033500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033500.v4.1 MAIADQRSPTDNTPSNNNSKVWGFLKLPFLGGSNTNTTPSSSTTNTPTSTMMMMQQQQQQQHHHHNHQPNSLIEGSNPPLASNSVSSVARSLLPTRRRLKLDPSSKLYFPYEPGKQVRSAIRIKNTCKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFIELPEINEKPMEQKSRVKFKIMSLKVKGVMDYVPELFDEQKDQVAVEQILRVFFLNPERPGPALEKLKRQLADADAALEARKKPPEDAGPRIIGEGLVIDEWKERRERYLARQQVEGVDSV >Potri.005G109700.3.v4.1 pep chromosome:Pop_tri_v4:5:7969649:7972187:-1 gene:Potri.005G109700.v4.1 transcript:Potri.005G109700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109700.v4.1 MKLRLRSVQSKETVKIQVPDSCTLQQLKETLSRAISSSGSSLYLSLNRKDELNTSLPEDSLQSLGITSGDLIYFSVNPKDFSSSGQPLCLGSSSSIQEQVQGHRGNVEEPMPDQSMSFQESKCSDLNMLENQDLFVQGHVGVQANDTNSRETISEISPQMHLLGQKHGIAESDMNGAVTEGHGALGSKTRSRETLETQELTSVEAMDVDPGSVDVGNKRFSEPYFLRRLLRKELGDDGSNYKLLVIAVHAVFIESGFVGFNSISGMRVDGFHLPEEQSSRNLAVSLCYTLPELLDSKVIAETIVLKLQSLGHFVNVYGSLSKGGSGLYHARLDINKFVPAIDFVWENDKNDGMNGSDRSSILYPENEIFEFWKIVKDGLALPLLIDICEKAGLVLPSCLMRLPTELKLKIFELLPAIDIAKMECVCSEMRYLSSNNDLWKQKFVEEFGDGTAAHGTLNWKARFASYWENKKRKRDFNAWQEYPQFLPFHVPIRRDPNPLWCPSIIGGDYDRLPGLGIPPYRRPGIGWPQPRHNFSPNCNLGGFSS >Potri.005G109700.2.v4.1 pep chromosome:Pop_tri_v4:5:7968558:7972187:-1 gene:Potri.005G109700.v4.1 transcript:Potri.005G109700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109700.v4.1 MKLRLRSVQSKETVKIQVPDSCTLQQLKETLSRAISSSGSSLYLSLNRKDELNTSLPEDSLQSLGITSGDLIYFSVNPKDFSSSGQPLCLGSSSSIQEQVQGHRGNVEEPMPDQSMSFQESKCSDLNMLENQDLFVQGHVGVQANDTNSRETISEISPQMHLLGQKHGIAESDMNGAVTEGHGALGSKTRSRETLETQELTSVEAMDVDPGSVDVGNKRFSEPYFLRRLLRKELGDDGSNYKLLVIAVHAVFIESGFVGFNSISGMRVDGFHLPEEQSSRNLAVSLCYTLPELLDSKVIAETIVLKLQSLGHFVNVYGSLSKGGSGLYHARLDINKFVPAIDFVWENDKNDGMNGSDRSSILYPENEIFEFWKIVKDGLALPLLIDICEKAGLVLPSCLMRLPTELKLKIFELLPAIDIAKMECVCSEMRYLSSNNDLWKQKFVEEFGDGTAAHGTLNWKARFASYWENKKRKRDFNAWQEYPQFLPFHVPIRRDPNPLWCPSIIGGDYDRLPGLGIPPYRRPGIGWPQPRHNFSPNCNLGGFSS >Potri.005G109700.1.v4.1 pep chromosome:Pop_tri_v4:5:7969617:7972226:-1 gene:Potri.005G109700.v4.1 transcript:Potri.005G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109700.v4.1 MKLRLRSVQSKETVKIQVPDSCTLQQLKETLSRAISSSGSSLYLSLNRKDELNTSLPEDSLQSLGITSGDLIYFSVNPKDFSSSGQPLCLGSSSSIQEQVQGHRGNVEEPMPDQSMSFQESKCSDLNMLENQDLFVQGHVGVQANDTNSRETISEISPQMHLLGQKHGIAESDMNGAVTEGHGALGSKTRSRETLETQELTSVEAMDVDPGSVDVGNKRFSEPYFLRRLLRKELGDDGSNYKLLVIAVHAVFIESGFVGFNSISGMRVDGFHLPEEQSSRNLAVSLCYTLPELLDSKVIAETIVLKLQSLGHFVNVYGSLSKGGSGLYHARLDINKFVPAIDFVWENDKNDGMNGSDRSSILYPENEIFEFWKIVKDGLALPLLIDICEKAGLVLPSCLMRLPTELKLKIFELLPAIDIAKMECVCSEMRYLSSNNDLWKQKFVEEFGDGTAAHGTLNWKARFASYWENKKRKRDFNAWQEYPQFLPFHVPIRRDPNPLWCPSIIGGDYDRLPGLGIPPYRRPGIGWPQPRHNFSPNCNLGGFSS >Potri.014G135500.3.v4.1 pep chromosome:Pop_tri_v4:14:9099911:9105915:1 gene:Potri.014G135500.v4.1 transcript:Potri.014G135500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135500.v4.1 MAFKNNLLVCTPLECETAGEMLSSMKRAETEGADLTELRLDSLSFSHNSEVEKLIKQRTLPSIVSFRLEPSRISSNKDRKNTCLQVLRLAFDLNVEFVEMDYEVASEDVMAEYVYNRSNTKLIVSSYVNGRKPSAEELGYLIACMQSTGADVLKLVLDVEKITDLAPVFTMLTHCQIPLIALAVGSRGLISQLLGPKFGGFLVYGSLSDKAVPGMPTLLSLRQIYKLEYINADTKVFGLISNPVGHSKGPVLHNPAFRHTGYNGIYVPMQVDDVKEFFRTYTSSDFAGFSVGIPHKEAAVGCCDEVHPLAKSIGAVNTIVRRPTDGKLVGYNTDCDASISAIEDALTERRITQKGVLEASPLSGKTFVLIGAGGAGRALAFGAKSRGARVIIFNRNYERARALAKAVSGEALPYESLDRFRPVNGMILANASAIGMEPNSDQSPVSKEILKACELVFDAVYTPRNTRLLREAKEVGAVVVSGVEMFIRQALGQFRLFTGGLAPEAFMRKLVLEQF >Potri.003G208750.1.v4.1 pep chromosome:Pop_tri_v4:3:20767553:20768863:1 gene:Potri.003G208750.v4.1 transcript:Potri.003G208750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208750.v4.1 METVGTSSTDDEPSRHQVSLDIETLAKSVKAELEISYAFSDTCCIYKVPEQLREVNEKAYTPRLVSIGPIHHAKEKLKAMEDHKRMYLKEFLARSEVSVEGFIEFIKENETRLRNCYAETIEFNSEYFIKMILMDAAFVIMFLLKCKNKDFSGSRDSIFYPPHKSVDVRVDICLLENQLPFFILEELCGLSTILGNSPKPTLIELTHGFFSKEWGSWAVGEYWGKIDFSEVKHLVDFLTIYQQPPQQQQNEELEVLTAPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEIPRLQLDDSTEIIIRNMQAFEQCHGLYGYVGDYIFLMGLFVSASKDVEMLVENRIIENWLPSNEEVVQLFYNLNKQNSVRGRFFLFKGLIKDLNAFCEKPWNKWKANLKQNYFNTPWAAISVSGAVILLILTVLQSVCSILQVG >Potri.013G053200.1.v4.1 pep chromosome:Pop_tri_v4:13:3870466:3872094:-1 gene:Potri.013G053200.v4.1 transcript:Potri.013G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053200.v4.1 MNDLMTKSFMSYVDLKKEAMKDLEAGPDPVVEMANASNTMESNLGMFLEEAENVKKEMGSIREILDQLQEANEESKTLHKPEALKSLRNKINTDIVTVQKKARSIKSQLEEMDRANAANRRLSGYKEGTPIYRTRIAVTNGLRKKLKELMMDFQGLRQKMMTEYKDTVGRRYFTVTGEYPDEEVIDKIISDGSGGEEFLKRAIQEHGKGKVLETVVEIQDRHDAAKEIEKSLLELHQVFLDMAVMVEAQGEQMDDIEHHVLNASHYVKDGTKELKGAKGYQKSSRKWMCIGIILLLIIILVIVIPIATSFSHS >Potri.003G155200.5.v4.1 pep chromosome:Pop_tri_v4:3:16655021:16661026:-1 gene:Potri.003G155200.v4.1 transcript:Potri.003G155200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155200.v4.1 MAMITCMTASLKKLVSLFLVITFIGKSCVFGHFVVEKSNIRVLSPLSLMSKHDSAIGNFGIPDYGGYLVGSVVYPDKGAYGCQAFDGGKPFKSKGSRPTVLLLDRGECYFALKAWNAQQAGAAAVLVADNIDETLITMDSPEVSNDADGYVEKIGIPSAFIEKSFGESLKEALKNKEDVVIKLDWRESVPHPDQRVEYELWTNSNDECGARCEEQMDFVKNFKGHAQILERGGYTLFTPHYITWYCPQAFILSSQCKSQCINHGRYCAPDPEQDFGVGYQGKDVVFENLRQLCVHRVANESGRSWVWWDYVTDFHFRCSMKNKRYSKECAEDVLKSLDLPVEKIEKCMGDPEADVENEVLSIEQELQVGRGSRGDVSILPTLVINNVQYRGKLERTAVLKAICSGFKETTDPPVCLSSELETNECLERNGGCWQDRESNTTACKDTFRGRVCECPVVNGVQFAGDGYVSCTAIGPGRCAINNGGCWSETRHGLSFSACSNSLLSGCQCPQGFRGDGHTCEDIDECTAHTACQCDGCSCKNKWGEYECKCKGNLIYIKEQDACIERSGSKFGWFLTLVILAVVTGAGIAGYIFYKYRLRSYMDSEIMAIMSQYMPLDNNQNNEASTEAQPLRHGAMV >Potri.005G060700.1.v4.1 pep chromosome:Pop_tri_v4:5:3829584:3830592:-1 gene:Potri.005G060700.v4.1 transcript:Potri.005G060700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060700.v4.1 MAPLDNYDYNFPYFPLPPPHNPPSPPKVVPPHNYPSPPKGSPPHNPPPPHIIPSPPKVVPPHNYPSPPKGSPPHNPPPPHIKPSPPKVPPPHHPITPPSPFPVPATPPNHPFHPPPPHHIPPPSPPHIIPPAPSHVIPPPPPTPGHHSTVIIVVFVSLGGLFFLAFLSVALCCFIKKKKKKTVQKTEILEFDEHTKVQEAIIPGPHGEKITVLNIEEDVHLVEEIKKNEKLAEGSHIKLAHDHPLDSDIATSSSRSNQQHLEHKV >Potri.006G212501.4.v4.1 pep chromosome:Pop_tri_v4:6:21910456:21912369:1 gene:Potri.006G212501.v4.1 transcript:Potri.006G212501.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212501.v4.1 MLHVQGGRRRGFKMATVPGQRIWEEVKKNNSFLVKQFRRICEASTVPRLFSSFLFCVTLFISSFYEKLSLRHQGND >Potri.T010600.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:72631:76963:-1 gene:Potri.T010600.v4.1 transcript:Potri.T010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010600.v4.1 MGLSHLIQRSITKKLFSSKPSNILPSSWFLTDTTGKSFYHSGVSNGAKINSFDDTDSLKISKSSYHVSSGGFMRGVVFWEPNKPVTIEEFQMPRPKAGEVLIKTKACGVCHSDLHVIKGEIPFASPCAIGHEITGEVVEHGELTDRKTIERFPVGSRVVGAFIMPCGNCFYCSKGHDDLCEDFFAYNRSKGTLYDGETRLFLRNSGKPVFMYSMGGLAEYCVVPAHGLTILPNSLPYTESAILGCAVFTAYGAMAHAAQVRPGDSVAVIGVGGVGSSCLQIARAFGASDIIAVDVQDEKLQKAKTFGATATINSKIEDPIERIKEITGGRGVDIAVEALGKPLTFSQCTQSVRDGGKAVMIGLAQAGAIGEIDINRLVRRKVQVIGSYGGRARQDLPKLVKLAESGIFNLTDAVTRKYGFEEAGKAFQDLNQGKIVSRAVVEIM >Potri.004G055300.7.v4.1 pep chromosome:Pop_tri_v4:4:4525697:4529248:-1 gene:Potri.004G055300.v4.1 transcript:Potri.004G055300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055300.v4.1 MAWMQNMYKKFEAMCLELDDILEQEGLKYADHLQKVGANVKQFCSDIVRDVQPQPSEDTVDGVASVSCLVKNTESDKMSKEGIVQNHFDMEPCNVSRLLYSCSVELIKATKNDLSLEEMVVAEIYEKSVSSLKENRSEEKQVQLETLDTPEEKDLSNSVLSSTSYDLLESNSLTEVIPIDGLSSKSVNLVDSYESKVPELGFTSSEASAESIRQIDNSTEDATNPGSSSSVKLDGSCFVTDCNELSSVSYEAGQLGSSKETLDNVSFKKRKAANQVFNQEPAFDPNPSQQKESFASTVNTRPDHDPCDSDWVIV >Potri.004G055300.6.v4.1 pep chromosome:Pop_tri_v4:4:4525958:4529115:-1 gene:Potri.004G055300.v4.1 transcript:Potri.004G055300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055300.v4.1 MAWMQNMYKKFEAMCLELDDILEQEGLKYADHLQKVGANVKQFCSDIVRDVQPQPSEDTVDGVASVSCLVKNTESDKMSKEGIVQNHFDMEPCNVSRLLYSCSVELIKATKNDLSLEEMVVAEIYEKSVSSLKENRSEEKQVQLETLDTPEEKDLSNSVLSSTSYDLLESNSLTEVIPIDGLSSKSVNLVDSYESKVPELGFTSSEASAESIRQIDNSTEDATNPGSSSSVKLDGSCFVTDCNELSSVSYEAGQLGSSKETLDNVSFKKRKAANQVFNQEPAFDPNPSQQKESFASTVNTRPDHDPCDSDWVIV >Potri.004G128901.1.v4.1 pep chromosome:Pop_tri_v4:4:14062867:14064102:1 gene:Potri.004G128901.v4.1 transcript:Potri.004G128901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128901.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQKRIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMQKLDRVLVNEKWNLKFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYTSTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRHNRNKLLSLTREDGEVVEGHEAVKIRSNCILPSCVRS >Potri.010G138001.2.v4.1 pep chromosome:Pop_tri_v4:10:15229471:15231261:-1 gene:Potri.010G138001.v4.1 transcript:Potri.010G138001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138001.v4.1 MDLMAIVTALCICLSVLLVTPSAAMQVHEKQSSHQHAAADDRIKFSDVPTLPRKLRVLLDQEAAQVKSYAARSSTSLNKQKGDNAPGKAYHKEQNGVHGGRPAGTWREWVEGTDTSHFFTMDYTQVRRRRPIHNKSLPVGP >Potri.010G138001.1.v4.1 pep chromosome:Pop_tri_v4:10:15229471:15231261:-1 gene:Potri.010G138001.v4.1 transcript:Potri.010G138001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138001.v4.1 MDLMAIVTALCICLSVLLVTPSAAMQVHEKQSSHQHAAADDRIKFSDVPTLPRKLRVLLDQEAAVKSYAARSSTSLNKQKGDNAPGKAYHKEQNGVHGGRPAGTWREWVEGTDTSHFFTMDYTQVRRRRPIHNKSLPVGP >Potri.003G058600.1.v4.1 pep chromosome:Pop_tri_v4:3:8540496:8543998:1 gene:Potri.003G058600.v4.1 transcript:Potri.003G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058600.v4.1 MAADYAFREGDVEIDEGLGFPRAYAKLCRDRGVVGTYSHGPPFAFIPYAMQQHEISRASELEQMFPIIEQKAKQTAKPKIFISLLWKQLNHLGNAGFDPAVIRVDPYGNVLYFHADKASPLAWEIDHWFPCPRGGLTVPSNLRILQWQVCKRKHNKLEFLVPWWDLQLGISVNQFLSIFASSNSDFRHRAFSFLFSEGESEELNASQSVESHSFPQHFIESREKLGLAPAAVVVSRRESYDSSLALKSLDYNRQMRSHSPAIASRKVKPSFLKENEDPDFVTNPYQAIVLARDSLKQKEEAHKMQAEILGLDDEVNEIKRKTDEEKLTIQDLELTLIKRRRRAEKCRRLAEAQSSYRTMLEKMIRDAMHQSVVYKEQVRLNQAASNALMARLQAQKAICDASEKELHKKYKQRDELEKQIRPEWEQGRKRSRMDDILPEDGDHKATFYLPGIRPRTPLHKELRVFLEEEQKASEAGLSANEESKHGEIEEELKQPEMTIMKEEHNKSITPFENEIPIEYKLRALEIGEGKRDKIQFPVIQDQEIEEDEESRKQRGKGNVERWLQLLLENSGEEIEPQNSNGSGANTSDDIITKLNQKFPQKEAKSSTQVQGEKPQLILQGNDKGTRVQEIVEIEPNKTPKEKGNGSVGGGEAIGSSNSFEGKERIESKKERVLTRSESARTLRRIPSSPSLILGMRKGVECMRKKPMVTGDDDYDGDRAAGNSFIKSSIKTIKKAVKF >Potri.019G088100.2.v4.1 pep chromosome:Pop_tri_v4:19:12678840:12692255:-1 gene:Potri.019G088100.v4.1 transcript:Potri.019G088100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088100.v4.1 MMAEDLGIEAKETAVREVAKLLPLPELLQSIASIKADYIARQQANDAQLSTMVAEQVEQAQSGLESLALSQKTISQLRENFISIEKLCQECQTLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDREIVNTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDQTWETFEKTLWGHVSNFFKLSKESPQTLVRALRVVEMQEILDEQVAEEAAEAEGGGAMATVANPRRSAKKSTTTAVSSKNPMQQKLKIQGKGFKDKCYESIRKAVEGRFNKLLTELVFEDLKAALEEARTIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFTQMLRLLSDRANELSNIEILKVTGWVVEYQDNLVGLGVDESLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTDDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLAIIQVMIDFQAAERKRLEEPASEIGLEPLCAMINNNLRCYDLAMELSNSTMEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVRVIFEDPGVQELIVKLYHKEWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETMVVYVDHLLTQRNYIKEETIERMRLDEEVIMDFFREYITVSKVESRVRILSDLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVMQECKEIYENSLVDGNPAKAGFLFPKVKCLTASKGSLWRKLT >Potri.019G088100.5.v4.1 pep chromosome:Pop_tri_v4:19:12678839:12692159:-1 gene:Potri.019G088100.v4.1 transcript:Potri.019G088100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088100.v4.1 MDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTDDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLAIIQVMIDFQAAERKRLEEPASEIGLEPLCAMVCVLLYMCFMQVIFHVWVLNSILLATCFFYENNKQINNNLRCYDLAMELSNSTMEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVRVIFEDPGVQELIVKLYHKEWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETMVVYVDHLLTQRNYIKEETIERMRLDEEVIMDFFREYITVSKVESRVRILSDLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVMQECKEIYENSLVDGNPAKAGFLFPKVKCLTASKGSLWRKLT >Potri.019G088100.4.v4.1 pep chromosome:Pop_tri_v4:19:12678855:12692244:-1 gene:Potri.019G088100.v4.1 transcript:Potri.019G088100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088100.v4.1 MMAEDLGIEAKETAVREVAKLLPLPELLQSIASIKADYIARQQANDAQLSTMVAEQVEQAQSGLESLALSQKTISQLRENFISIEKLCQECQTLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEARDSLSDDREIVNTYERLTALDGKRRFALAAAGSHKEEVGRLREYFEDVDQTWETFEKTLWGHVSNFFKLSKESPQTLVRALRVVEMQEILDEQVAEEAAEAEGGGAMATVANPRRSAKKSTTTAVSSKNPMQQKLKIQGKGFKDKCYESIRKAVEGRFNKLLTELVFEDLKAALEEARTIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFTQMLRLLSDRANELSNIEILKVTGWVVEYQDNLVGLGVDESLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTDDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLAIIQVMIDFQAAERKRLEEPASEIGLEPLCAMVCVLLYMCFMQVIFHVWVLNSILLATCFFYENNKQINNNLRCYDLAMELSNSTMEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVRVIFEDPGVQELIVKLYHKEWSEGQVTEYLVATFGDYFTDVKMYIEERSFRRFVEACLEETMVVYVDHLLTQRNYIKEETIERMRLDEEVIMDFFREYITVSKVESRVRILSDLRELASAESLDSFTLIYTNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVMQECKEIYENSLVDGNPAKAGFLFPKVKCLTASKGSLWRKLT >Potri.010G041401.1.v4.1 pep chromosome:Pop_tri_v4:10:7248190:7249201:1 gene:Potri.010G041401.v4.1 transcript:Potri.010G041401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041401.v4.1 MVFRYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLIHALETGEFGELVDTRLEKHYVESELFRMVETAAACVRHSAPKRPRMVQVVRALDSEGELPDLSNGVRFGQSAVYDSVQYSQDIRKFRGMAIGSNGSSEFDTSSGDYSGRHISREPPASS >Potri.001G419500.3.v4.1 pep chromosome:Pop_tri_v4:1:44787979:44796498:1 gene:Potri.001G419500.v4.1 transcript:Potri.001G419500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419500.v4.1 MDMSESEEISQDQEISSDEEMMRPDITDASSVNGDGYSTDSDYSEIDDTENTESSVEGLEEMKSTTEEELGFDHEINPQDDNMIQNNGYVPFNSDNCQPPQLSPRSEVESVSSTNMPLEINDRASDLLMAVSVGSDANKKKINEMAVSAMDELVRKCLAGEPLWQHRQDCDLEILNEGEYIREFRPFDASLGELMRIIEMEDPQNLANLYESNASTNGTQHKPMFQRDAEKNFLQTEASRHIGFVRMDATSLVECLMDVKQWSSVFSNIVSRTTVLGVLSRGVAGNYNETLQVMKAEFHMPTPLVNIRESQFARYCKQIGPGTWGVVDVSLDSLFPYPLVIFRRRPSGCLIVEMPDGYSKVIWVEHVEVDNKFVHRMFWPIVLPGFAFSAMRWVASIVRHCEPVGNIISTSLDSATIPRNGKTSVLRLARRMMRSFYHDNSASTDNFWVRIHLCDGEDFRLMTKTIYALNGSPSSTLVFTTSLWVPAPPKRVFDFLRHGDSRNKWDLLARGYAVQEIMHIIKGESPENRVSIMQVNSAPNQIEILYLQESYSHPTGSYVVYAPIDILTMGMMLGGGNSDLVNILPSGFVIHPDGPLRNGEETRGSLLTLAFHIMDGSSTEDYLPAADSVNTIQKILTETATLIKTALLSDNL >Potri.001G419500.4.v4.1 pep chromosome:Pop_tri_v4:1:44787979:44796498:1 gene:Potri.001G419500.v4.1 transcript:Potri.001G419500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419500.v4.1 MDMSESEEISQDQEISSDEEMMRPDITDASSVNGDGYSTDSDYSEIDDTENTESSVEGLEEMKSTTEEELGFDHEINPQDDNMIQNNGYVPFNSDNCQPPQLSPRSEVESVSSTNMPLEINDRASDLLMAVSVGSDANKKKINEMAVSAMDELVRKCLAGEPLWQHRQDCDLEILNEGEYIREFRPFDASLGELMRIIEMEDPQNLANLYESNASTNGTQHKPMFQRDAEKNFLQTEASRHIGFVRMDATSLVECLMDVKQWSSVFSNIVSRTTVLGVLSRGVAGNYNETLQVMKAEFHMPTPLVNIRESQFARYCKQIGPGTWGVVDVSLDSLFPYPLVIFRRRPSGCLIVEMPDGYSKVIWVEHVEVDNKFVHRMFWPIVLPGFAFSAMRWVASIVRHCEPVGNIISTSLDSATIPRNGKTSVLRLARRMMRSFYHDNSASTDNFWVRIHLCDGEDFRLMTKTIYALNGSPSSTLVFTTSLWVPAPPKRVFDFLRHGDSRNKWDLLARGYAVQEIMHIIKGESPENRVSIMQVNSAPNQIEILYLQESYSHPTGSYVVYAPIDILTMGMMLGGGNSDLVNILPSGFVIHPDGPLRNGEETRGSLLTLAFHIMDGSSTEDYLPAADSVNTIQKILTETATLIKTALLSDNL >Potri.017G124100.1.v4.1 pep chromosome:Pop_tri_v4:17:12847551:12849191:1 gene:Potri.017G124100.v4.1 transcript:Potri.017G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124100.v4.1 MSSCQSTMPSNANPNFDEHRWMINIRRTLDEELEDDTEIPVCIFNVLKILMTSCPDCYVPQQVAIGPYHYWRPELYEMERYKLAAAKRAQKRLESLKFSHIVDNLINLELKIRACYHKFLDFSNETLAWMMAIDASFLLEFLQIYAIKEGIAFTRVSSRMSHLVDYAGRKSGHNAILRDMVMLENQIPLFVLRKILEVQLSSLEMADDTLLSMLVGFYKEISPFKTLEDIPKINISQCAHLLDYLYDIIVPNIEAPEEINEADDQLDAMQGKCLSSENSNLISRLSEGLVRLLKILLFSRHVKAMLKLPWTIVSNLPGCSILKQPVEYLFFSQDREVKPENENLNNEHNKPPLAEEIAIPCVAELFRSGIRFAPTTGNILSISFDAKTDTFYLPIISLEVNTEVVLRNLVAYEASNASGPLVFTRYTELMNGIIDTEEDVKLLREKGIILNRLKSDEEVANLWNGMSKSIRLTKVPFLDKVIEDVNKYYNGRWTVKGGRFMRQYVFSSWQFLTLLAAIFLLLLMTLEVFCTVYRYTRILHMRPPRN >Potri.005G158300.13.v4.1 pep chromosome:Pop_tri_v4:5:15215502:15225160:1 gene:Potri.005G158300.v4.1 transcript:Potri.005G158300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158300.v4.1 MDNYGFHDGNICNMLESRHRPDVNHSLQMHSSLIRRLSQERELEGHQGCVNSIAWNSKGSLLISGSDDTRMNIWNYAGRKLLHSIDTGHSANIFCTKFVPETSDELVVAGAGDAEVRLFNLSRLSGRSPDDNSIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSHECRNILLDLRSGAKRSLADPPKQTLALRSCDISTSRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMSPPPCVNYFCPMHLSERGRSSLHLTHVTFSPNGDEVLLSYSGEHVYLMNVNHSGGTAVRYTTGDTSKLMTFAPTLNGLELQPLPSCVFKRQSHSKTNGSSMLEKCRRLVQIAEKSLEEGTCYFYGIEACNEVLDGHGRVIGPTLRHECLCIRAALLLKRKWKNDVHMAIRDCFNARRIDSSSFRALYYMSEALSRLGKHKEALEFSIAAQCSAPGNTEVAVLMEDIRKNLATAEAGKSSKENDGATRSETRNGRALSLSDILYHSEANSEASHDGPGSDREDSDYDEELELDFETSVSGDEGRDVEPNILHGSLNLRIHRKGDSARDSSCTNGSCGSPSSSQNDRTPYQPETVIDMKQRYVGHCNVGTDIKQASFLGQRGDYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCIQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGAAGPETSNVLEAMESNQRRLCHNREAILPFELLERFRMHEFTEGTLHPFECAQS >Potri.005G158300.10.v4.1 pep chromosome:Pop_tri_v4:5:15215512:15226781:1 gene:Potri.005G158300.v4.1 transcript:Potri.005G158300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158300.v4.1 MDNYGFHDGNICNMLESRHRPDVNHSLQMHSSLIRRLSQERELEGHQGCVNSIAWNSKGSLLISGSDDTRMNIWNYAGRKLLHSIDTGHSANIFCTKFVPETSDELVVAGAGDAEVRLFNLSRLSGRSPDDNSIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSHECRNILLDLRSGAKRSLADPPKQTLALRSCDISTSRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMSPPPCVNYFCPMHLSERGRSSLHLTHVTFSPNGDEVLLSYSGEHVYLMNVNHSGGTAVRYTTGDTSKLMTFAPTLNGLELQPLPSCVFKRQSHSKTNGSSMLEKCRRLVQIAEKSLEEGTCYFYGIEACNEVLDGHGRVIGPTLRHECLCIRAALLLKRKWKNDVHMAIRDCFNARRIDSSSFRALYYMSEALSRLGKHKEALEFSIAAQCSAPGNTEVAVLMEDIRKNLATAEAGKSSKENDGATRSETRNGRALSLSDILYHSEANSEASHDGPGSDREDSDYDEELELDFETSVSGDEGRDVEPNILHGSLNLRIHRKGDSARDSSCTNGSCGSPSSSQNDRTPYQPETVIDMKQRYVGHCNVGTDIKQASFLGQRGDYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCIQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGAAGPETSNVLEAMESNQRRLCHNREAILPFELLERFRMHEFTEGTLHPFECAQS >Potri.005G158300.12.v4.1 pep chromosome:Pop_tri_v4:5:15215430:15225994:1 gene:Potri.005G158300.v4.1 transcript:Potri.005G158300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158300.v4.1 MDNYGFHDGNICNMLESRHRPDVNHSLQMHSSLIRRLSQERELEGHQGCVNSIAWNSKGSLLISGSDDTRMNIWNYAGRKLLHSIDTGHSANIFCTKFVPETSDELVVAGAGDAEVRLFNLSRLSGRSPDDNSIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSHECRNILLDLRSGAKRSLADPPKQTLALRSCDISTSRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMSPPPCVNYFCPMHLSERGRSSLHLTHVTFSPNGDEVLLSYSGEHVYLMNVNHSGGTAVRYTTGDTSKLMTFAPTLNGLELQPLPSCVFKRQSHSKTNGSSMLEKCRRLVQIAEKSLEEGTCYFYGIEACNEVLDGHGRVIGPTLRHECLCIRAALLLKRKWKNDVHMAIRDCFNARRIDSSSFRALYYMSEALSRLGKHKEALEFSIAAQCSAPGNTEVAVLMEDIRKNLATAEAGKSSKENDGATRSETRNGRALSLSDILYHSEANSEASHDGPGSDREDSDYDEELELDFETSVSGDEGRDVEPNILHGSLNLRIHRKGDSARDSSCTNGSCGSPSSSQNDRTPYQPETVIDMKQRYVGHCNVGTDIKQASFLGQRGDYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCIQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGAAGPETSNVLEAMESNQRRLCHNREAILPFELLERFRMHEFTEGTLHPFECAQS >Potri.005G158300.8.v4.1 pep chromosome:Pop_tri_v4:5:15215430:15225960:1 gene:Potri.005G158300.v4.1 transcript:Potri.005G158300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158300.v4.1 MDNYGFHDGNICNMLESRHRPDVNHSLQMHSSLIRRLSQERELEGHQGCVNSIAWNSKGSLLISGSDDTRMNIWNYAGRKLLHSIDTGHSANIFCTKFVPETSDELVVAGAGDAEVRLFNLSRLSGRSPDDNSIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSHECRNILLDLRSGAKRSLADPPKQTLALRSCDISTSRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMSPPPCVNYFCPMHLSERGRSSLHLTHVTFSPNGDEVLLSYSGEHVYLMNVNHSGGTAVRYTTGDTSKLMTFAPTLNGLELQPLPSCVFKRQSHSKTNGSSMLEKCRRLVQIAEKSLEEGTCYFYGIEACNEVLDGHGRVIGPTLRHECLCIRAALLLKRKWKNDVHMAIRDCFNARRIDSSSFRALYYMSEALSRLGKHKEALEFSIAAQCSAPGNTEVAVLMEDIRKNLATAEAGKSSKENDGATRSETRNGRALSLSDILYHSEANSEASHDGPGSDREDSDYDEELELDFETSVSGDEGRDVEPNILHGSLNLRIHRKGDSARDSSCTNGSCGSPSSSQNDRTPYQPETVIDMKQRYVGHCNVGTDIKQASFLGQRGDYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCIQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGAAGPETSNVLEAMESNQRRLCHNREAILCSPFELLERFRMHEFTEGTLHPFECAQS >Potri.005G158300.11.v4.1 pep chromosome:Pop_tri_v4:5:15215502:15225998:1 gene:Potri.005G158300.v4.1 transcript:Potri.005G158300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158300.v4.1 MDNYGFHDGNICNMLESRHRPDVNHSLQMHSSLIRRLSQERELEGHQGCVNSIAWNSKGSLLISGSDDTRMNIWNYAGRKLLHSIDTGHSANIFCTKFVPETSDELVVAGAGDAEVRLFNLSRLSGRSPDDNSIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSHECRNILLDLRSGAKRSLADPPKQTLALRSCDISTSRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMSPPPCVNYFCPMHLSERGRSSLHLTHVTFSPNGDEVLLSYSGEHVYLMNVNHSGGTAVRYTTGDTSKLMTFAPTLNGLELQPLPSCVFKRQSHSKTNGSSMLEKCRRLVQIAEKSLEEGTCYFYGIEACNEVLDGHGRVIGPTLRHECLCIRAALLLKRKWKNDVHMAIRDCFNARRIDSSSFRALYYMSEALSRLGKHKEALEFSIAAQCSAPGNTEVAVLMEDIRKNLATAEAGKSSKENDGATRSETRNGRALSLSDILYHSEANSEASHDGPGSDREDSDYDEELELDFETSVSGDEGRDVEPNILHGSLNLRIHRKGDSARDSSCTNGSCGSPSSSQNDRTPYQPETVIDMKQRYVGHCNVGTDIKQASFLGQRGDYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCIQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGAAGPETSNVLEAMESNQRRLCHNREAILPFELLERFRMHEFTEGTLHPFECAQS >Potri.005G158300.9.v4.1 pep chromosome:Pop_tri_v4:5:15215502:15225974:1 gene:Potri.005G158300.v4.1 transcript:Potri.005G158300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158300.v4.1 MDNYGFHDGNICNMLESRHRPDVNHSLQMHSSLIRRLSQERELEGHQGCVNSIAWNSKGSLLISGSDDTRMNIWNYAGRKLLHSIDTGHSANIFCTKFVPETSDELVVAGAGDAEVRLFNLSRLSGRSPDDNSIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSHECRNILLDLRSGAKRSLADPPKQTLALRSCDISTSRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMSPPPCVNYFCPMHLSERGRSSLHLTHVTFSPNGDEVLLSYSGEHVYLMNVNHSGGTAVRYTTGDTSKLMTFAPTLNGLELQPLPSCVFKRQSHSKTNGSSMLEKCRRLVQIAEKSLEEGTCYFYGIEACNEVLDGHGRVIGPTLRHECLCIRAALLLKRKWKNDVHMAIRDCFNARRIDSSSFRALYYMSEALSRLGKHKEALEFSIAAQCSAPGNTEVAVLMEDIRKNLATGLAEAGKSSKENDGATRSETRNGRALSLSDILYHSEANSEASHDGPGSDREDSDYDEELELDFETSVSGDEGRDVEPNILHGSLNLRIHRKGDSARDSSCTNGSCGSPSSSQNDRTPYQPETVIDMKQRYVGHCNVGTDIKQASFLGQRGDYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCIQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGAAGPETSNVLEAMESNQRRLCHNREAILPFELLERFRMHEFTEGTLHPFECAQS >Potri.005G158300.3.v4.1 pep chromosome:Pop_tri_v4:5:15215502:15225994:1 gene:Potri.005G158300.v4.1 transcript:Potri.005G158300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158300.v4.1 MDNYGFHDGNICNMLESRHRPDVNHSLQMHSSLIRRLSQERELEGHQGCVNSIAWNSKGSLLISGSDDTRMNIWNYAGRKLLHSIDTGHSANIFCTKFVPETSDELVVAGAGDAEVRLFNLSRLSGRSPDDNSIAPSALYQCHTRRVKKLAVEVGNPNVVWSASEDGTLRQHDFREGASCPPAGSSHECRNILLDLRSGAKRSLADPPKQTLALRSCDISTSRPHLLLVGGSDAFARLYDRRMLPPLTSCRKRMSPPPCVNYFCPMHLSERGRSSLHLTHVTFSPNGDEVLLSYSGEHVYLMNVNHSGGTAVRYTTGDTSKLMTFAPTLNGLELQPLPSCVFKRQSHSKTNGSSMLEKCRRLVQIAEKSLEEGTCYFYGIEACNEVLDGHGRVIGPTLRHECLCIRAALLLKRKWKNDVHMAIRDCFNARRIDSSSFRALYYMSEALSRLGKHKEALEFSIAAQCSAPGNTEVAVLMEDIRKNLATAEAGKSSKENDGATRSETRNGRALSLSDILYHSEANSEASHDGPGSDREDSDYDEELELDFETSVSGDEGRDVEPNILHGSLNLRIHRKGDSARDSSCTNGSCGSPSSSQNDRTPYQPETVIDMKQRYVGHCNVGTDIKQASFLGQRGDYVASGSDDGRWFIWEKQTGRLIKMLLGDEAVVNCIQCHPFDCVVATSGIDNTIKIWTPSASVPSIVAGGAAGPETSNVLEAMESNQRRLCHNREAILCSPFELLERFRMHEFTEGTLHPFECAQS >Potri.004G159900.2.v4.1 pep chromosome:Pop_tri_v4:4:18007411:18010909:1 gene:Potri.004G159900.v4.1 transcript:Potri.004G159900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159900.v4.1 MAKAKAPRRTLDSYTVKPINKTVKPGDCVLMRPSDPSKPSYVAKIERIESDGRGPNVRVRVRWYYRPEESIGGRRQFHGSKEVFLSDHYDTQSADTIEGKCMVHSFKNYTKLDAVGNDDFFCRFEYNSSTGAFNPDRVAVYCKCEMPYNPDDLMVQCEGCSDWFHPACIEMSAEEAKRLDHFFCENCSSEGQKKLQNSHNTRQSDAKAETKRRRR >Potri.011G139100.3.v4.1 pep chromosome:Pop_tri_v4:11:17024119:17030096:1 gene:Potri.011G139100.v4.1 transcript:Potri.011G139100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139100.v4.1 MSVVGFDIGNENCVIAVVKQRGVDVLLNDESKRETPAVVCFGEKQRFLGSAGAASSVMNPKSTIFQVKRLIGRNFKDPEVQNELTLLPFETSEGKDGGILIHLKYLGEARTFTPVQILAMLFSNLKDITEKNLEIPVTDCVIGVPSYFTDLQRRAYLDAATIAGLKPLRLMHDCAAIALSYGIYKTDCSKTGPTYVAFVDIGHCDTQVSIVSFEAGHMRILSHAFDSSLGGRDFDDVLFVYFAKQFKELYNIDVYSNMRASIRLRSACEKLKKVLSANAEAPLNIECLMDEKDVKGFIKREEFERLASGLLERISVPSRKALADAGLSVRKIHSVELVGSGSRIPAISKLLSSLYGKEPSRTLNSSECVARGCALQCAMLSPIFRVREYEVQDAFPFSIGFSSDGAQISTGSNCILFPKGQPFPSTKVLTFQRSNLLHLEAFYANLNELPAGVSTNMSSFTIGPFQASSNEKARIKVKVQLNLHGIVTVESAMLVEDHMDDSARRGNIHPQMDRTKMDSDSSTNVANSEDNTTVHSQSSDATQGNGTLKDKANQRFEIPVNENIYGGMTKDELSEAQEKELHLAQHDKAVEQAKDQKNALESYVYEMRNKLFNTYRSFASDMEREGISRSLQETEEWLYEDGDDETENAYTAKMQDLKKLVDPVENRYKDEEARAQATRDLLNSIVDHRMSTDSLPTEDRGLITDECNKAEQWLRERTQQQDSLPKNADPVLWSRDIKSRTEDLNSTCKQILRRKSSPANSDESSSLDQQE >Potri.011G139100.1.v4.1 pep chromosome:Pop_tri_v4:11:17024119:17030087:1 gene:Potri.011G139100.v4.1 transcript:Potri.011G139100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139100.v4.1 MSVVGFDIGNENCVIAVVKQRGVDVLLNDESKRETPAVVCFGEKQRFLGSAGAASSVMNPKSTIFQVKRLIGRNFKDPEVQNELTLLPFETSEGKDGGILIHLKYLGEARTFTPVQILAMLFSNLKDITEKNLEIPVTDCVIGVPSYFTDLQRRAYLDAATIAGLKPLRLMHDCAAIALSYGIYKTDCSKTGPTYVAFVDIGHCDTQVSIVSFEAGHMRILSHAFDSSLGGRDFDDVLFVYFAKQFKELYNIDVYSNMRASIRLRSACEKLKKVLSANAEAPLNIECLMDEKDVKGFIKREEFERLASGLLERISVPSRKALADAGLSVRKIHSVELVGSGSRIPAISKLLSSLYGKEPSRTLNSSECVARGCALQCAMLSPIFRVREYEVQDAFPFSIGFSSDGAQISTGSNCILFPKGQPFPSTKVLTFQRSNLLHLEAFYANLNELPAGVSTNMSSFTIGPFQASSNEKARIKVKVQLNLHGIVTVESAMLVEDHMDDSARRGNIHPQMDRTKMDSDSSTNVANSEDNTTVHSQSSDATQGNGTLKDKANQRFEIPVNENIYGGMTKDELSEAQEKELHLAQHDKAVEQAKDQKNALESYVYEMRNKLFNTYRSFASDMEREGISRSLQETEEWLYEDGDDETENAYTAKMQDLKKLVDPVENRYKDEEARAQATRDLLNSIVDHRMSTDSLPTEDRGLITDECNKAEQWLRERTQQQDSLPKNADPVLWSRDIKSRTEDLNSTCKQILRRKSSPANSDESSSLDQQE >Potri.001G097200.5.v4.1 pep chromosome:Pop_tri_v4:1:7686358:7689626:1 gene:Potri.001G097200.v4.1 transcript:Potri.001G097200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097200.v4.1 MFSHCFMDNRLRNLGFAADCPSNASKNLGSSMPVGVGVAGTKFSADTVLRLDSLGSSVPYGSPSKGIKRKRNLIDGSMGLNVGSSLSLGLRRSSSSSDSKGSSATACTAMSSAKETDEESSMDLELDFSLHLGNEKMSSPKKPAGSNLKGMELQPRVDLELSLSTGPSESDITSIHPHSSSLEFGMDMPLAMGGASNVDERLTSDSWKSGIALLPLQISQNKEASFFNQIPRTRDPTSSFPDHSSSVITPKSSVTCTSGITQQQQPYQRSASSKLCQVEGCGKGARGASGRCISHGGGRRCQKAGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSREGCARAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFSGCTKGAQGSTMLCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCAFQRGGVCSKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNLPSGPCTSFARGKTGLCALHSGLVQDKRVHGGVTLGPMVQDPKISQSEKTKEVVTVEDMTVDIVKMGTSARDSLGRTTSDLKHFGVSNAHLSASEAGLSSMPVFVSEGRVHGGSLMAMLAGGSGVGSCSNQIVAGDPPEPRKSYITTQNWM >Potri.001G097200.7.v4.1 pep chromosome:Pop_tri_v4:1:7685835:7689388:1 gene:Potri.001G097200.v4.1 transcript:Potri.001G097200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097200.v4.1 MFSHCFMDNRLRNLGFAADCPSNASKNLGSSMPVGVGVAGTKFSADTVLRLDSLGSSVPYGSPSKGIKRKRNLIDGSMGLNVGSSLSLGLRRSSSSSDSKGSSATACTAMSSAKETDEESSMDLELDFSLHLGNEKMSSPKKPAGSNLKGMELQPRVDLELSLSTGPSESDITSIHPHSSSLEFGMDMPLAMGGASNVDERLTSDSWKSGIALLPLQISQNKEASFFNQIPRTRDPTSSFPDHSSSVITPKSSVTCTSGITQQQQPYQRSASSKLCQVEGCGKGARGASGRCISHGGGRRCQKAGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSREGCARAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFSGCTKGAQGSTMLCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCAFQRGGVCSKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNLPSGPCTSFARGKTGLCALHSGLVQDKRVHGGVTLGPMVQDPKISQSEKTKEVVTVEDMTVDIVKMGTSARDSLGRTTSDLKHFGVSNAHLSASEAGLSSMPVFVSEGRVHGGSLMAMLAGGSGVGSCSNQIVAGDPPEPRKSYITTQNWM >Potri.001G097200.4.v4.1 pep chromosome:Pop_tri_v4:1:7685364:7690234:1 gene:Potri.001G097200.v4.1 transcript:Potri.001G097200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097200.v4.1 MFSHCFMDNRLRNLGFAADCPSNASKNLGSSMPVGVGVAGTKFSADTVLRLDSLGSSVPYGSPSKGIKRKRNLIDGSMGLNVGSSLSLGLRRSSSSSDSKGSSATACTAMSSAKETDEESSMDLELDFSLHLGNEKMSSPKKPAGSNLKGMELQPRVDLELSLSTGPSESDITSIHPHSSSLEFGMDMPLAMGGASNVDERLTSDSWKSGIALLPLQISQNKEASFFNQIPRTRDPTSSFPDHSSSVITPKSSVTCTSGITQQQQPYQRSASSKLCQVEGCGKGARGASGRCISHGGGRRCQKAGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSREGCARAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFSGCTKGAQGSTMLCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCAFQRGGVCSKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNLPSGPCTSFARGKTGLCALHSGLVQDKRVHGGVTLGPMVQDPKISQSEKTKEVVTVEDMTVDIVKMGTSARDSLGRTTSDLKHFGVSNAHLSASEAGLSSMPVFVSEGRVHGGSLMAMLAGGSGVGSCSNQIVAGDPPEPRKSYITTQNWM >Potri.001G097200.3.v4.1 pep chromosome:Pop_tri_v4:1:7685383:7689640:1 gene:Potri.001G097200.v4.1 transcript:Potri.001G097200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097200.v4.1 MFSHCFMDNRLRNLGFAADCPSNASKNLGSSMPVGVGVAGTKFSADTVLRLDSLGSSVPYGSPSKGIKRKRNLIDGSMGLNVGSSLSLGLRRSSSSSDSKGSSATACTAMSSAKETDEESSMDLELDFSLHLGNEKMSSPKKPAGSNLKGMELQPRVDLELSLSTGPSESDITSIHPHSSSLEFGMDMPLAMGGASNVDERLTSDSWKSGIALLPLQISQNKEASFFNQIPRTRDPTSSFPDHSSSVITPKSSVTCTSGITQQQQPYQRSASSKLCQVEGCGKGARGASGRCISHGGGRRCQKAGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSREGCARAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFSGCTKGAQGSTMLCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCAFQRGGVCSKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNLPSGPCTSFARGKTGLCALHSGLVQDKRVHGGVTLGPMVQDPKISQSEKTKEVVTVEDMTVDIVKMGTSARDSLGRTTSDLKHFGVSNAHLSASEAGLSSMPVFVSEGRVHGGSLMAMLAGGSGVGSCSNQIVAGDPPEPRKSYITTQNWM >Potri.001G097200.6.v4.1 pep chromosome:Pop_tri_v4:1:7685388:7689625:1 gene:Potri.001G097200.v4.1 transcript:Potri.001G097200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097200.v4.1 MFSHCFMDNRLRNLGFAADCPSNASKNLGSSMPVGVGVAGTKFSADTVLRLDSLGSSVPYGSPSKGIKRKRNLIDGSMGLNVGSSLSLGLRRSSSSSDSKGSSATACTAMSSAKETDEESSMDLELDFSLHLGNEKMSSPKKPAGSNLKGMELQPRVDLELSLSTGPSESDITSIHPHSSSLEFGMDMPLAMGGASNVDERLTSDSWKSGIALLPLQISQNKEASFFNQIPRTRDPTSSFPDHSSSVITPKSSVTCTSGITQQQQPYQRSASSKLCQVEGCGKGARGASGRCISHGGGRRCQKAGCHKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSREGCARAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFSGCTKGAQGSTMLCKAHGGGKRCTAPGCTKGAEGSTPFCKGHGGGKRCAFQRGGVCSKSVHGGTNFCVAHGGGKRCAVPECTKSARGRTDFCVRHGGGKRCKVEGCGKSAQGSTDFCKAHGGGKRCSWGHPGSEYGNLPSGPCTSFARGKTGLCALHSGLVQDKRVHGGVTLGPMVQDPKISQSEKTKEVVTVEDMTVDIVKMGTSARDSLGRTTSDLKHFGVSNAHLSASEAGLSSMPVFVSEGRVHGGSLMAMLAGGSGVGSCSNQIVAGDPPEPRKSYITTQNWM >Potri.010G252300.1.v4.1 pep chromosome:Pop_tri_v4:10:22441917:22447889:-1 gene:Potri.010G252300.v4.1 transcript:Potri.010G252300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252300.v4.1 MESLSRTVFMTNSETHPILNNIPSSSFKLHNTKPTGSLKFAETPHFSSLRLQALARDVSGDADDQGPFTNNGFGFFSDDILSFPQDNIEQSESSEKDAENILKVETPLIVPHGSGIGGGTRAGLFRTPISGGVQSATSVHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDPMGHPIFSFSPLAIHTRNLLVDPRCTLVVQIPGWSGLSNARVTIFGDVFPLPEHQQEWAHKQYIAKHQQGPTQQWGNFYYFRLQNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKLLKELLSSDTEVDDAAFISIDSKGTDIRVRQGAQFNIQRLSFEDGHAVETLEEAKAALWKIIDKGQVHSLQK >Potri.006G078600.1.v4.1 pep chromosome:Pop_tri_v4:6:5847729:5851982:-1 gene:Potri.006G078600.v4.1 transcript:Potri.006G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078600.v4.1 MPSKLHHLPKLPLHPLPPQHYSPLLKPFLTAKGAHAPPPVRVPPHCSIMAFKDKPSFVLVFLLVSLHFVASLGLTDSEILLKFKGSLSNASALSDWSDKTTPCTKNNATNWAGVICVDGILWGLQLENMGLAGKIDMETLQALPDLKTLSIMNNNFDGPMPEFKKIVSLRALYLSNNHFSGVIPLDAFDGMLKLKKVYLAQNEFTGAIPSSLIALPKLLDLRLEGNQFTGQLPDLTQNLLSFSVSNNALEGPIPAGLSKMDSSSFSGNKGLCGPPLKECNTINSNSDSKKPPVLLIVIIAAVVGLLLGAIVAAFLFLRRQSQRQPSASIEAPPPPIPSNLKKKTGFKEENQSPSSSPDHSVGSKKGEPPKLSFVRDDREKFDLPDLLKASAEILGSGCFGSSYKAALNSGTMMVVKRFKQMNNVGREEFQEHMRRLGRLKHSNLLPLVAYYYRKEEKLLITDFVEKGSLAVHLHGHQALGQPSLDWPSRLKIVKGVVRGLAYLYKDLPNIIAAHGHLKSSNVLLTQSNEPLLTDYGLVPVINQENAQELMVAYKSPEYLHHGRITKKTDVWSLGILILEILSAKLPANFVPQGKGSEEEDLANWVNSVPHEEWTNVVIDKDMTNGPTKQNGGGESEVIKLLKIGLSCCEADVEKRIDLKEAVERIEEIKERDSDDDFFSSYASEGDMRSSIGKSDDITFS >Potri.011G026300.1.v4.1 pep chromosome:Pop_tri_v4:11:1931929:1932891:-1 gene:Potri.011G026300.v4.1 transcript:Potri.011G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026300.v4.1 MADSEHSSSDETFVYSREETSKESKLEFSEDEETLIIRMFNLVGERWSLIAGRIPGRTAEEIEKYWNTRYSTSE >Potri.008G209501.1.v4.1 pep chromosome:Pop_tri_v4:8:16067979:16068633:-1 gene:Potri.008G209501.v4.1 transcript:Potri.008G209501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G209501.v4.1 MIFAKPLTDQHGIRLLLIAMRIILKMVPTDYKTPSRSAPRNEKSHFKEEISLAESRKGEGDGKKAL >Potri.006G167700.1.v4.1 pep chromosome:Pop_tri_v4:6:16752467:16756281:1 gene:Potri.006G167700.v4.1 transcript:Potri.006G167700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167700.v4.1 MDSSSHQNWLGFSLSNHHHMNNTINIPTSSDSSHLCLFEAFNTTTTSAQEVNAVVAAGRATDISLFTASGPKLEDFLGGCTSTSPSQTPQQQPLCGQFSTETPVTTTATALSDSTSSEIYDSELKTIAASFLRGFASTDHQKIDSTQKHQQLLVQAEHAPKKTVETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKEIEGMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKNIANSNLPIGGISGKSKNSSESASDSKSIDGSRSDDRDLSSASSVTFASQPATSTLSFAIPIKQDPSDYWTNILGYQNTTTMNNAKNSSSSIVDPSTLLQSSTSGPAFQSPTVFKMDFNANSSVNESNNNGLLFNGGYTQQQISGIGTSSPSSNIPFATPIAFHSNGNSYEGNPSYSSWIAQPLHSFQSAKPKLSVYQTPIFGIE >Potri.017G042432.2.v4.1 pep chromosome:Pop_tri_v4:17:2982490:2984486:1 gene:Potri.017G042432.v4.1 transcript:Potri.017G042432.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042432.v4.1 MNVEEEAERLKEETQRLGKVQPGGSYEVNLRVLFNVDRCAHIFEALVGTLSAAKKRKVLSYEGELLLQGVHDNVKIILKPAPLPASGTATASV >Potri.016G068132.1.v4.1 pep chromosome:Pop_tri_v4:16:4816053:4816730:-1 gene:Potri.016G068132.v4.1 transcript:Potri.016G068132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068132.v4.1 MARKKVKLMWIVNDAARKASLKKRRVGLLKKVSELTILCGIEAFVIIYSPDDPEPAIWPSLPDVQRLVTRFRNIPVMERGKKMMNQESYLKERMGKLNDQSRKHLKKNRELEMADLMQQVYQDKGFDGLDQTQLCGLAWLVAEKMKDIRKRVEYFQQIPPLQDASLPPGPFPPQYPNEDDGGGGDETGGQVVGEPGDGRNNPTDGGVPWDQWFTDIINNREHNVAG >Potri.007G145000.5.v4.1 pep chromosome:Pop_tri_v4:7:15385704:15392335:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRSRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.25.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392325:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.23.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.18.v4.1 pep chromosome:Pop_tri_v4:7:15385693:15392339:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGTMALRMMDDTTPLPREYYKLVQKSSIDIKSLSTTRPTSFLYQGLWIGNDRCCYLKLMICQLTCLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.14.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392389:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.10.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.6.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRSRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.21.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392375:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.17.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392375:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.12.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.22.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392375:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.11.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.9.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.24.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392350:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.16.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392375:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.20.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392375:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.19.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.7.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRSRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.13.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15392389:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.15.v4.1 pep chromosome:Pop_tri_v4:7:15385763:15392389:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.007G145000.8.v4.1 pep chromosome:Pop_tri_v4:7:15385585:15393020:-1 gene:Potri.007G145000.v4.1 transcript:Potri.007G145000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145000.v4.1 MGEIKEGKVSGLIPRNEGFAVHYPGYPSSTSRAIQTLGGTESILKARSSQSNTLELYFRPEDPYSHPVSGELRSCHSMLLKITKKKKSSPINDAKQETDEFHADIVARIPEAYYFEGMADYEHVVPVHADVGRKRWKNCKKPGLMDLGPDDVMMLPPPLFSLKDVPENIVLRPPSTSSSRKKQDELPETHPKMTFEPALGIDFKDVEEIPKEINWKEFITEGTPMWEWQMVVSKLFEEQPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRSRYQSIGFRVPPELKSYCDANTAKGLKHRWEDLCKFRFFPYRNQYSFQVYELDDDYIQQEIQKPSKQTSCIYETGWLSPHVHYSLRLCVKVRFLSLYPETGAEKFLKAASEKFMKSKRLCIYKDAPKPVQEEHQQTNEDHETFKNNEASENQVDVEVDELESDDGEEELDTWNGFGW >Potri.017G043000.1.v4.1 pep chromosome:Pop_tri_v4:17:3015038:3021161:1 gene:Potri.017G043000.v4.1 transcript:Potri.017G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043000.v4.1 MSKFEYPILPRADMISILAESQIAAVIENDLKNPTPDFVSDIYTRLLVYLDLLHEEDGGQVEFAALEQLENPHYHVGSARTVNNYIKIKEVIALLQCPAVFTLKDLLKPQADRTQFFLSAILNFCLHKDSKMNELRPIGEELSLLDEQRREFDDKISQLNAEIAEYNDARERELPLVQDVDGKVKELRQRIGDLNNHQMSQRATYRKLKEMSTEMDGEISRAEFDLVQSVQENVNLRSKIVQSPDKLQRALEEKKSVRQDARNAERLAMQSFQAKTDVLEVYTKASKKMSKHFNQMQAIHEQVNSAKSIEKDYKALKAKLGYDELMDKSLSAKLVELQVKAQQLDECKKLLEKERDAKCDEATEEFNNIKSEVESRRHDLGARQRKVEDVLTKVDAITSKTNMVKESGVAEVQKLVHKREEIAEQFQLYKNSIGHLLHCADS >Potri.003G180500.4.v4.1 pep chromosome:Pop_tri_v4:3:18625134:18629903:1 gene:Potri.003G180500.v4.1 transcript:Potri.003G180500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180500.v4.1 MPPNVAESHDVLDKKTMFRARQTLARIKLTLRSKQFCSSTTKPNNNNNKSISEKTDSKVSKYEEANRQLDNLDFMKAAKILFSDPPKKKKFGIDFHLVQLFFTCLPSLAVYLVAQYARHEMKKMDAELEMKKKEEEEKAKEEERKAMEEKAQSESELLEVKERIGKLEEVVKEIAVESKKQSGGSVTKTQVQEDSSKTVKQKSLGPASIPDSSPQDQKGKTQNEGTSPDAKR >Potri.003G180500.5.v4.1 pep chromosome:Pop_tri_v4:3:18625134:18629903:1 gene:Potri.003G180500.v4.1 transcript:Potri.003G180500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180500.v4.1 MPPNVAESHDVLDKKTMFRARQTLARIKLTLRSKQFCSSTTKPNNNNNKSISEKTDSKVSKYEEANRQLDNLDFMKAAKILFSDPPKKKKFGIDFHLVQLFFTCLPSLAVYLVAQYARHEMKKMDAELEMKKKEEEEKAKEEERKAMEEKAQSESELLEVKERIGKLEEVVKEIAVESKKQSGGSVTKTQVQEDSSKTVKQKSLGPASIPDSSPQDQKGKTQNEGTSPDAKR >Potri.003G180500.1.v4.1 pep chromosome:Pop_tri_v4:3:18625134:18628133:1 gene:Potri.003G180500.v4.1 transcript:Potri.003G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180500.v4.1 MPPNVAESHDVLDKKTMFRARQTLARIKLTLRSKQFCSSTTKPNNNNNKSISEKTDSKVSKYEEANRQLDNLDFMKAAKILFSDPPKKKKFGIDFHLVQLFFTCLPSLAVYLVAQYARHEMKKMDAELEMKKKEEEEKAKEEERKAMEEKAQSESELLEVKERIGKLEEVVKEIAVESKKQSGGSVTKTQVQEDSSKTVKQKSLGPASIPDSSPQDQKGKTQNEGTSPDAKR >Potri.003G180500.6.v4.1 pep chromosome:Pop_tri_v4:3:18625134:18628133:1 gene:Potri.003G180500.v4.1 transcript:Potri.003G180500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180500.v4.1 MPPNVAESHDVLDKKTMFRARQTLARIKLTLRSKQFCSSTTKPNNNNNKSISEKTDSKVSKYEEANRQLDNLDFMKAAKILFSDPPKKKKFGIDFHLVQLFFTCLPSLAVYLVAQYARHEMKKMDAELEMKKKEEEEKAKEEERKAMEEKAQSESELLEVKERIGKLEEVVKEIAVESKKQSGGSVTKTQVQEDSSKTVKQKSLGPASIPDSSPQDQKGKTQNEGTSPDAKR >Potri.003G180500.2.v4.1 pep chromosome:Pop_tri_v4:3:18625134:18627624:1 gene:Potri.003G180500.v4.1 transcript:Potri.003G180500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180500.v4.1 MPPNVAESHDVLDKKTMFRARQTLARIKLTLRSKQFCSSTTKPNNNNNKSISEKTDSKVSKYEEANRQLDNLDFMKAAKILFSDPPKKKKFGIDFHLVQLFFTCLPSLAVYLVAQYARHEMKKMDAELEMKKKEEEEKAKEEERKAMEEKAQSESELLEVKERIGKLEEVVKEIAVESKKQSGGSVTKTQVQEDSSKTVKQKSLGPASIPDSSPQDQKGKTQNEGTSPDAKR >Potri.003G180500.3.v4.1 pep chromosome:Pop_tri_v4:3:18625134:18629863:1 gene:Potri.003G180500.v4.1 transcript:Potri.003G180500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180500.v4.1 MPPNVAESHDVLDKKTMFRARQTLARIKLTLRSKQFCSSTTKPNNNNNKSISEKTDSKVSKYEEANRQLDNLDFMKAAKILFSDPPKKKKFGIDFHLVQLFFTCLPSLAVYLVAQYARHEMKKMDAELEMKKKEEEEKAKEEERKAMEEKAQSESELLEVKERIGKLEEVVKEIAVESKKQSGGSVTKTQVQEDSSKTVKQKSLGPASIPDSSPQDQKGKTQNEGTSPDAKR >Potri.002G142900.2.v4.1 pep chromosome:Pop_tri_v4:2:10728615:10732732:-1 gene:Potri.002G142900.v4.1 transcript:Potri.002G142900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142900.v4.1 MPTDAESPSPEEKPSTHLPPRPTAETDLTSILKSKALEETAPWIDNALQQALIYQKTIQDSLDIAIESSKSRFSEIITTSQAHFSQTIDSLQYFTSEIGVYEDKLFGKAKEGINVAASHPLITSAVAVGLGFVVLKRPRRILYYKTLRLFTSEEALLSQADAKVKELRQSISLLKAESEKLERRASLAEEELIRGRTKLRQAGKQIQGVIRSAYKIERQATGLRDILRELPRAEASKFRSQVSSLASEAKQERNALSKEVAKISNHGISV >Potri.007G119800.1.v4.1 pep chromosome:Pop_tri_v4:7:13792229:13794218:-1 gene:Potri.007G119800.v4.1 transcript:Potri.007G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119800.v4.1 MARRRPFEVAPPAVSSSEEEEETDGEEETPKGTVQAQQNGTGEKQNDNENGSEDDDDDDDDDDEEEKEKPNLPPSKPSKPDSESDSETDSEDTESTQPPSPSLSGFTIKPISPKRKPGPKLEQPKKENDGEDKKAKRGAGAGGGGPQRLWSDEDEIVMLNGMVEYQIEKGKNPFADNGDFHGFVKKSLHVDATNNQFLDKIRRLKKKYFTDVEKNEKEIDEIFSKPHDLECVELAKKIWGAGGIEMGKKGNFNKKSGKNVSGGGGGGGGEGEGEGGGGVRGGGITLALAKKGNEEANGEKGKLSVKKGKRGSNEEVKVEGKEGDLKKKRQGLGGEADEGEAGNVKKGKRGLSEEVNGEGKGKGVKREKRAADEEMSGEGEEVNVIVKKQRRLVNDEINGGGMELSVKKRNAVANEELDREEAGSEDFWDKYPYLRLALDGEILPEYLKERTMLVLGMVGEEKLVELEREWRSLMKAKLEFMVMQKDLIAKQIKLSLDAMNSQDT >Potri.005G214800.2.v4.1 pep chromosome:Pop_tri_v4:5:21802393:21803996:1 gene:Potri.005G214800.v4.1 transcript:Potri.005G214800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214800.v4.1 MNPFFTVKQEYAGSSSSPPSGGDEPPPVMLPPQPREGLHDTGPPPFLTKTFDMVDDPTTNHIVSWNRGGSSFVAWDPHSFSTNLLPRYFKHNNFSSFVRQLNTYGFRKIDPDRWEFANEGFLSGQKHLLRNIKRRKAPSQPLTQQQAPDACVEVGRFGLDGEIDRLRRDKQVLMMELVKLRQQQQNARSYIQAMDQRLQAIEQKQQQMMQFLARAMQNPAFLQQLVQQKEKRKELEEAMTKKRRRPIDQGSSRGGGGRRGGESSHIGGSANPIKAEPLESGDLEFEVSELEALALEMQGYGRARREQEDGVEELETPESKDRELDEGFWEELLNESAGGDEDDVNTLAERLGYLGSSPK >Potri.009G038950.1.v4.1 pep chromosome:Pop_tri_v4:9:4729658:4731455:1 gene:Potri.009G038950.v4.1 transcript:Potri.009G038950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038950.v4.1 MGSPEGSIRTCCRARGFWADCGWNSTLERVCEGILMPCRPFFGDQRLNIKYVCRLWKVDLELDNEFERGKIHEAVRKLMGDKDGEKVRQRAVKFKEKAKIVWWRTALPSSHQQFNGTYLIMLTTRRWDHSSQMPKAIPLAIIRLSIFRWLYSKA >Potri.016G127900.1.v4.1 pep chromosome:Pop_tri_v4:16:13120227:13123524:-1 gene:Potri.016G127900.v4.1 transcript:Potri.016G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127900.v4.1 MGACCSKEPYSDGVVEDAIEEKELEDEEEGDVIVGDYGARMRLYGASKYTSMYTQQGRKGINQDAMTVWEELTGDKDMLFCGVFDGHGPYGHKVARHIRDTLPSRLSREIKTSQNNSFKSRDADGKGDNSDEVNKNKGGKDSVDDDDSSSLLLSSWEATFTKSFKEMDEELSLDASIDSFCSGTTAVTIVKEGNNLIIANLGDSRAVLCSKGPKNQLIPIQLTVDLKPNIASEAERIKNSNGRVFALEKEPELFRIWMPDEDCPGLAMARAFGDFCLKDYGLISTPEVSYRRVTDKDEFVVLATDGVWDVLTNYEVIKIVASARKRSMAAKLVVKHAARSWRSKFPGSKVDDSAVICLFLKNRTLLTRSFSEVTQLSVNHSELEGYSDVSLAKFETCSEVSRASLNHSEIAAVPERFRSKKREGSSENANIDLNSEEYEFPHFRLQKVNSSGKFPRLRKVLSRRKSTRAYKGVETVEV >Potri.003G010433.1.v4.1 pep chromosome:Pop_tri_v4:3:1037709:1038459:-1 gene:Potri.003G010433.v4.1 transcript:Potri.003G010433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010433.v4.1 MEIFTKQLTPIDFDRGLVLPPRSNLEPLQHFQGTIELSTIVESAAGTRLPDPVTIHCSTIRGSLVFKTGWYDIARYVGLKSGDTVTFYQEVNGGAQFKLKVKNVC >Potri.016G123600.3.v4.1 pep chromosome:Pop_tri_v4:16:12793421:12796491:-1 gene:Potri.016G123600.v4.1 transcript:Potri.016G123600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123600.v4.1 MAMEITQFLLAAQSPDANIRTQAEASLRQFQEQSLPLFLLSLSVELANNEKPLESRRLAGIVLKNSLDAKDSVRKEHLVQQWMAIEISMKAQIKDLLLRTLGSSASEARHTSAQVIAKVASIEIPRKQWPELIGSLLKNMTQQDSPAALKQATLETLGYVCEEISHQDLVQDEVNSVLTAVVQGMNLAEHSCEVRLAATKALYNALDFAQTNFENDMERNYIMKVVCETAISKEADIRQAAFECLVSIASTYYDVLEPYMQTLFQLTSNAVKGDEESVALQAIEFWSSICDEEIELQEYGTLEGGDSGSTHSRFIEKALPYLVPLLLDTLLKQEEDQDQDDSIWNISMSGGTCLGLVARTVGDSVVKLVMPFVEGNILKPDWHCREAATYAFGSILEGPSLETLGPLVSNGLDFLLNAMRDENNHVKDTTAWALSRIFEFLHCPASGFSVVSPENLERIVTVLLESINDAPNVAEKVCGAIYYLAQGYEDAGTNSSLLTQYIPRIISELLKTAERSDGSDSKIRTSAYETLNEVVRSSNIVETSQIILELLKSVLHKLGQTIDLQIVSSDDREKQGDLQASLCAVIQVIVQKLSSTDETKPSILQAADTIMFLFLRVFACRRSTVHEEAMLAIGALAHASGPEFEKYMPELYKYLEMGLQNFEEYEVCAITVGVIGDICRALEDKVLPYCDGIMNHLVRNLQSAELHRSVKPPIFACFGDVALGIGEQFSKYVEPTVAMMRSAAEVCAQMDNSDEELMDYGNQLKRSIFEAYSGILQGFKDSKPELMLPHAGHLFQFIEVVFREKYRDESVTKAAVAVMGDLADALGPNTKILFKDNAFCIQFLGECLQSDDEHLKETANWTQVMIARVVS >Potri.016G123600.2.v4.1 pep chromosome:Pop_tri_v4:16:12793431:12797968:-1 gene:Potri.016G123600.v4.1 transcript:Potri.016G123600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123600.v4.1 MAMEITQFLLAAQSPDANIRTQAEASLRQFQEQSLPLFLLSLSVELANNEKPLESRRLAGIVLKNSLDAKDSVRKEHLVQQWMAIEISMKAQIKDLLLRTLGSSASEARHTSAQVIAKVASIEIPRKQWPELIGSLLKNMTQQDSPAALKQATLETLGYVCEEISHQDLVQDEVNSVLTAVVQGMNLAEHSCEVRLAATKALYNALDFAQTNFENDMERNYIMKVVCETAISKEADIRQAAFECLVSIASTYYDVLEPYMQTLFQLTSNAVKGDEESVALQAIEFWSSICDEEIELQEYGTLEGGDSGSTHSRFIEKALPYLVPLLLDTLLKQEEDQDQDDSIWNISMSGGTCLGLVARTVGDSVVKLVMPFVEGNILKPDWHCREAATYAFGSILEGPSLETLGPLVSNGLDFLLNAMRDENNHVKDTTAWALSRIFEFLHCPASGFSVVSPENLERIVTVLLESINDAPNVAEKVCGAIYYLAQGYEDAGTNSSLLTQYIPRIISELLKTAERSDGSDSKIRTSAYETLNEVVRSSNIVETSQIILELLKSVLHKLGQTIDLQIVSSDDREKQGDLQASLCAVIQVIVQKLSSTDETKPSILQAADTIMFLFLRVFACRRSTVHEEAMLAIGALAHASGPEFEKYMPELYKYLEMGLQNFEEYEVCAITVGVIGDICRALEDKVLPYCDGIMNHLVRNLQSAELHRSVKPPIFACFGDVALGIGEQFSKYVEPTVAMMRSAAEVCAQMDNSDEELMDYGNQLKRSIFEAYSGILQGFKDSKPELMLPHAGHLFQFIEVVFREKYRDESVTKAAVAVMGDLADALGPNTKILFKDNAFCIQFLGECLQSDDEHLKETANWTQVMIARVVS >Potri.016G123600.1.v4.1 pep chromosome:Pop_tri_v4:16:12793422:12797815:-1 gene:Potri.016G123600.v4.1 transcript:Potri.016G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123600.v4.1 MAMEITQFLLAAQSPDANIRTQAEASLRQFQEQSLPLFLLSLSVELANNEKPLESRRLAGIVLKNSLDAKDSVRKEHLVQQWMAIEISMKAQIKDLLLRTLGSSASEARHTSAQVIAKVASIEIPRKQWPELIGSLLKNMTQQDSPAALKQATLETLGYVCEEISHQDLVQDEVNSVLTAVVQGMNLAEHSCEVRLAATKALYNALDFAQTNFENDMERNYIMKVVCETAISKEADIRQAAFECLVSIASTYYDVLEPYMQTLFQLTSNAVKGDEESVALQAIEFWSSICDEEIELQEYGTLEGGDSGSTHSRFIEKALPYLVPLLLDTLLKQEEDQDQDDSIWNISMSGGTCLGLVARTVGDSVVKLVMPFVEGNILKPDWHCREAATYAFGSILEGPSLETLGPLVSNGLDFLLNAMRDENNHVKDTTAWALSRIFEFLHCPASGFSVVSPENLERIVTVLLESINDAPNVAEKVCGAIYYLAQGYEDAGTNSSLLTQYIPRIISELLKTAERSDGSDSKIRTSAYETLNEVVRSSNIVETSQIILELLKSVLHKLGQTIDLQIVSSDDREKQGDLQASLCAVIQVIVQKLSSTDETKPSILQAADTIMFLFLRVFACRRSTVHEEAMLAIGALAHASGPEFEKYMPELYKYLEMGLQNFEEYEVCAITVGVIGDICRALEDKVLPYCDGIMNHLVRNLQSAELHRSVKPPIFACFGDVALGIGEQFSKYVEPTVAMMRSAAEVCAQMDNSDEELMDYGNQLKRSIFEAYSGILQGFKDSKPELMLPHAGHLFQFIEVVFREKYRDESVTKAAVAVMGDLADALGPNTKILFKDNAFCIQFLGECLQSDDEHLKETANWTQVMIARVVS >Potri.014G047200.2.v4.1 pep chromosome:Pop_tri_v4:14:2998526:3003060:-1 gene:Potri.014G047200.v4.1 transcript:Potri.014G047200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047200.v4.1 MDIEQKQAELIDHFVNQASTLKASALWPLIIEATSHPSLFAFSEILSSPTVSELEGTENSFCLDVLRLFAHGTWSDYKSNAGRLPQLVPDQVLKLKQLTVLTLAEMNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLDTSDDLLVSIQEKIKWADSTSELDKKHQKDVEYRVEEVKKSLSLKKLHTVSRQTLTSEGMRRSILNLVE >Potri.014G047200.1.v4.1 pep chromosome:Pop_tri_v4:14:2998521:3003060:-1 gene:Potri.014G047200.v4.1 transcript:Potri.014G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047200.v4.1 MDIEQKQAELIDHFVNQASTLKASALWPLIIEATSHPSLFAFSEILSSPTVSELEGTENSFCLDVLRLFAHGTWSDYKSNAGRLPQLVPDQVLKLKQLTVLTLAEMNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLDTSDDLLVSIQEKIKWADSTSELDKKHQKDVEYRVEEVKKSLSLKADIDFRGHEEIYSEPGGVMDYEEDRSRPKRRRHPIY >Potri.006G025600.1.v4.1 pep chromosome:Pop_tri_v4:6:1689365:1691891:1 gene:Potri.006G025600.v4.1 transcript:Potri.006G025600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025600.v4.1 MARKRKLDSKSTETAEEPPKKQQQQEEPPKEEPQEEEVEVEEEEEEEYEEVEEEEEDNEDDPDGETNQNAQISAVENLNDDDDEDEEPIEKLLEPFGKDQLINLLREAADGHRDVADKIRQVADQDPVHRKIFVHGLGWDTNAEALINAFKPYGEIEDCKAVCDKVTGKSKGYGFILFKKRSGARNALKEPQKKIGNRMAACQLASTGPVPQSSAGPSGPIAAAAQTQQPVSEYTLRKIYVSNVGANLDPQKLMSFFSEFGEIEEGPLGLDKATGKPKGFCLFVYKTVESAKKALEEPHKSFEGHILHCQKAVDGPKHAKSQKPPQQHHNMQSSHYQRNDGGGYVASGGRGGHLMAPAAAGAGIGFNQSAAAPALNPALGQALTALLATQGAGLGGLTNLLGTLGSAAAVTQGGVPSAAHGMQGAYGNQASISPGVIGAYANQGAMQGGYPNQQMGQGGSGRGQHGQYTPYMGH >Potri.004G028000.1.v4.1 pep chromosome:Pop_tri_v4:4:2139111:2144919:1 gene:Potri.004G028000.v4.1 transcript:Potri.004G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028000.v4.1 MRHHYGTTTLFFFITFLSLFSSKFASSLDTLTATQSLINGQTLISTSQGFELGFFTPGNSRNWYVGIWYKNIPRTYVWVANRDKPLSNSSGTFKIFNQSIALFDLAGKVVWSSNQTNARNPVMQLLDSGNLVLKEQVSESGQFLWQSFDYPTDTLLPDMKLGWDLNTGLDRYLSSWKSSEDPGTGDFSFKLEYHGFPEVFLWKDNEIEYRSGPWNGQRFSGVPEMKPVDYLSFNFITEQDEVYYSFHIATKNLYSRLTVTSSGLLQRFAWIPETQQWNKFWYAPKDQCDNYKECGAYGICDSNASPVCKCLKGFQPKNHQAWDLRDGSGGCVRKTNLECLKDKFLHMKNMKLPQSTTSFVDRSMSLKNCELLCSRNCSCTAYANSNISNGGSGCVIWTGELFDLRQYPEGGQDLYVRLAASDIGDGGSADTIIICIAVGIGILILSLTGFSIWKRKRLLSVCNGTQQKGPQERSQDLLLNEVVINKKDYSGEKSTDELELPLFDFSTIAAATGNFCDENKLGEGGFGCVHKGRLVEGQEVAVKRLSKKSGQGTEEFKNEVRLIARLQHRNLVRLLGCCIEMDEKILIYEFMENRSLDSVLFNKAKSSLLNWQRRFNIICGTARGLLYLHQDSRFRIIHRDLKASNILLDGEWTPKISDFGMARIFGGDQTQANTRRIVGTYGYMSPEYAMDGLFSVKSDVFSFGVLVLEIVCGEKNRGFYHSNSELNLLGNVWRQWKDGNGLEVLDISVGSSYSPSEVLRCIQVGLLCVQERAEDRPTMASAVLMLSSETASMPQPKTPGYCLGRSPFETDSSSSKQDESFTVNQVTVTVLDAR >Potri.010G020500.1.v4.1 pep chromosome:Pop_tri_v4:10:3019202:3022425:-1 gene:Potri.010G020500.v4.1 transcript:Potri.010G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G020500.v4.1 MHSILFQITSKITSLARSGYITHARKLFDEMKKRDAVAWNSMLTGYSHLGLHQEALSLFRQMRTSNTKPDHFTFTTTLNACGASSSLRNGTKIHALVIVLGCQSSIPVNNSLIDMYGKCLCPFSANKVFQEMIDSNEVSWGSLLFAYTNSGQFDAAASVFKSMPKKVDVAWNIMISGLGQYGEIELCLEMFKEMRESFCEPDQWTYSALISACTESLELVYGCMMHAVVIKAGWSSAMEANNSILSFYAKLGSLNDAVKVFESMGTLTQVSWNAIIDVFMKAGDTSEAFLSFQRMPDKNVVSWTSMITGYARNGYGEEALDFFVGMIRNCLLPDDFTFGAVLHACSSLAILGHGRMVHGCVIRHGFHAHVYIGNGLVNMYAKCGDLEGSNLAFNDIYEKDLVSFNSMLFAFGLHGKGTQALQLYEDMVASGIKPDKVTFIGLFLTCSHSGLIDKGLEFFESMRLVHGLSFNMDHVACMVDMLGRGGYLAEAKELAIKHSKTGDVKTSSCEPLLGACSTHGEVETGTNVGETLKDLEPHKETSYYVLRSNLYCASGRWKEAEMVRKEMVDEGLKKIPGCSWIEVGNKVTAFVAGQSQPCMEELYTTLHFLELEMRHPCFIDCEN >Potri.010G038900.2.v4.1 pep chromosome:Pop_tri_v4:10:6868353:6872802:1 gene:Potri.010G038900.v4.1 transcript:Potri.010G038900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038900.v4.1 MSPLQKPPVVNDLKHRVIICLNKLSDRDTVSVATTELESIAKNLSTPDSFSHFLNCIHNTDSSSKSPVRKQCVSLLTLLSRSHGNSLSPHLSKMISTITRRLRDPDSAVRSACVEATFAMSSQITDPPFSTLSKPLIDLLTIDQDLNAQIGAALCLAAVIEAAPEPEVEQLRKVLPRLGKLVKGEGFKAKAALLSVIRSIVGVGGASSKGILDWLVPCLVEFLCSEDWAARKAAAEALGKVASIEKKLAKEHKATCLTSLETRRFDKVKVVRETMNRTLELWKEVPGISQESSVPSQSTCSSIDNVVGICISSASKNSKDVGFRTPLSKKTVPAIRFPPSDASPVTAAKKQSPAKSNDHNSKTGMLHKLGHDRHSAWKIEIATPEGKVSGDDTRNDSEVLGSGQNGDGTSSMRETRRVLFGSIRDDKWNKFGGLKSGSRVVPFQEDENCYNKVVEVSSSAEDFYENHKDYEDLSLIREQLIQIENQQSSLLDLLQGYIGRSQVGINSLETRVHGLEMAVNEISYDLAVSSGRIPKTNYAENTCCNLPGAEFLSSKFWRTECRYVSNVPVKNARIETCNPGSQRYQHQNRGGFAVNTSAGYSSINGRNSGFYTSQMANNTSRDEPKQQVSS >Potri.004G227700.1.v4.1 pep chromosome:Pop_tri_v4:4:23103275:23111921:1 gene:Potri.004G227700.v4.1 transcript:Potri.004G227700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227700.v4.1 MKTLSCASAPSDPKRGSYLCSLLIALSLICSVYFVGSAFFGKQYKERITAWGVIEAMQTSDICKDRCRPSGSEALPQGIVTKKSNYKMRPLWGSSLKNDNPPPSMSLLAIAVGIKQKAIVNQIVEKFPLSDFVVMLFHYDGVVDEWRDLSWSNSAIHVSAVNQTKWWFAKRFLHPDIVSEYNYIFLWDEDLGVENFNPRRYLSIVKDEGLEVSQPALDPSRSTVHHQITARIRNSIVHRKILKFRGNTKCYGNSTSPPCTGWVEMMAPVFSKAAWQCTWYMIQNDLIHAWGLDRKLGYCAQGDWTKNVGVVDAEYIVHLGLSTLGVFNGSEALLSKSKEVDKRPEVRTQSSVEMNIFHERWEAAIKEDRCWVDPYQLISNQTRH >Potri.004G227700.6.v4.1 pep chromosome:Pop_tri_v4:4:23103407:23111757:1 gene:Potri.004G227700.v4.1 transcript:Potri.004G227700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227700.v4.1 MQTSDICKDRCRPSGSEALPQGIVTKKSNYKMRPLWGSSLKNDNPPPSMSLLAIAVGIKQKAIVNQIVEKFPLSDFVVMLFHYDGVVDEWRDLSWSNSAIHVSAVNQTKWWFAKRFLHPDIVSEYNYIFLWDEDLGVENFNPRRYLSIVKDEGLEVSQPALDPSRSTVHHQITARIRNSIVHRKILKFRGNTKCYGNSTSPPCTGWVEMMAPVFSKAAWQCTWYMIQNDLIHAWGLDRKLGYCAQGDWTKNVGVVDAEYIVHLGLSTLGVFNGSEALLSKSKEVDKRPEVRTQSSVEMNIFHERWEAAIKEDRCWVDPYQLISNQTRH >Potri.001G353500.1.v4.1 pep chromosome:Pop_tri_v4:1:36734701:36736363:-1 gene:Potri.001G353500.v4.1 transcript:Potri.001G353500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353500.v4.1 MSLLISISPPAAQSFFLADSSGSKKKQFLSIQNPLRSPTVTPLSSSFTTFNPLRLSIKSNEMMMKIKRKESGRGFGAVCHAGSLTTPSLPWISALSSAVLVLAKGTAVQKSFLVPLFALQAPPAVISWIKGEYGIWTAFLALLFRLFFFIPGELELPFMALLLVIVAPYQVMNIRGKQEGAIVGLVIAAYLAFQHFSRIGNMQRAFEQGSVVATIAVVCVVVTSCLLLI >Potri.001G398100.1.v4.1 pep chromosome:Pop_tri_v4:1:42349970:42352966:1 gene:Potri.001G398100.v4.1 transcript:Potri.001G398100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398100.v4.1 MIGKEILHKMKEKVGLGSSPDCGKGKSKISKHITHGFHLVKGKSHHDMEDYVVAQFKEVDDNELGLFAIFDGHLSHVIPDYLRSHLFDNILKEPDFWTQPKNAMRRAYCITDTTILEKAGDLGKGGSTAVTAILINCQKLVVANVGDSRAVICKNGVAKQLSVDHEPSMEREEIENRGGFVSNFPGDVPRVDGQLAVARAFGDKSLKEHLSSEPDVAMEMIDDDTDCIILASDGLWKVMSNQEAVDAVKNIKDALSAAKRLTEEALNRKSSDDISCVVVKFQ >Potri.005G205800.1.v4.1 pep chromosome:Pop_tri_v4:5:21075973:21079337:1 gene:Potri.005G205800.v4.1 transcript:Potri.005G205800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205800.v4.1 MAGVLLWVICPTENASFATSSLLSLVPKSGSQVRRYSKVCSRLKFSTGVFAAASLSSVVANPPRSSEEKVYEVVLKQAALVREQKKERISDVVAGNDQAESMTRGDLLNGAYNRCGDVCAEYAKTFYLGTLLMTPERRRAVWAIYVWCRRTDELVDGPNASHITPKALDRWERRLNDLFEGRPYDMYDAALSITVSKYPVDIQPFKDMIKGMRMDLKKSRYKDFDELYLYCYYVAGTVGLMSVPVMGIAPESKASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDEDIFKGKVTDKWRSFMKGQIKRARMFFDEAEKGVAELNAASRWPVWASLLLYKQILDAIEVNDYDNFTKRAYVGKAKKFASLPIAYGRALMLGSSKFAEPARS >Potri.018G072700.1.v4.1 pep chromosome:Pop_tri_v4:18:9084836:9089063:-1 gene:Potri.018G072700.v4.1 transcript:Potri.018G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072700.v4.1 MVATAATSSFFPVPSPPGDAKSSKVGSGSASLGGIKSKSASSGALQVKANAQAPPKINGSPVGLTASVETAKKEDVVSSPAPRTFINQLPDWSMLLAAITTMFLAAEKQWMMLDWKPKRADMLIDPFGIGRIVQDGLVFSQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQILVDRYPTWGDVVHVDTWVSASGKNGMRRDWLVRDAKTGETLTRASSLWVMMNKVTRRLSKIPEDVRGEIEPYFLNSDPVVNEDSTKLPKLDDKTADIIRKGLTPRWNDLDVNQHVNNVKYIGWILESAPPPVLESHELAAITLEYRRECGRDSVLQSLTAVSGAGIGNLGGPGKVECQHLLRHEDGAEIVRGRTEWRPKHANNFGMMGGQLSADESGA >Potri.018G072700.7.v4.1 pep chromosome:Pop_tri_v4:18:9084891:9089051:-1 gene:Potri.018G072700.v4.1 transcript:Potri.018G072700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072700.v4.1 MVATAATSSFFPVPSPPGDAKSSKVGSGSASLGGIKSKSASSGALQVKANAQAPPKINGSPVGLTASVETAKKEDVVSSPAPRTFINQLPDWSMLLAAITTMFLAAEKQWMMLDWKPKRADMLIDPFGIGRIVQDGLVFSQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQILVDRYPTWGDVVHVDTWVSASGKNGMRRDWLVRDAKTGETLTRASSLWVMMNKVTRRLSKIPEDVRGEIEPYFLNSDPVVNEDSTKLPKLDDKTADIIRKGLTPRWNDLDVNQHVNNVKYIGWILESAPPPVLESHELAAITLEYRRECGRDSVLQSLTAVSGAGIGNLGGPGKVECQHLLRHEDGAEIVRGRTEWRPKHANNFGMMGGQLSADESGA >Potri.018G072700.8.v4.1 pep chromosome:Pop_tri_v4:18:9084959:9088960:-1 gene:Potri.018G072700.v4.1 transcript:Potri.018G072700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072700.v4.1 MVATAATSSFFPVPSPPGDAKSSKVGSGSASLGGIKSKSASSGALQVKANAQAPPKINGSPVGLTASVETAKKEDVVSSPAPRTFINQLPDWSMLLAAITTMFLAAEKQWMMLDWKPKRADMLIDPFGIGRIVQDGLVFSQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGSTPEMSKRNLIWVVTRMQILVDRYPTWGDVVHVDTWVSASGKNGMRRDWLVRDAKTGETLTRASSLWVMMNKVTRRLSKIPEDVRGEIEPYFLNSDPVVNEDSTKLPKLDDKTADIIRKGLTPRWNDLDVNQHVNNVKYIGWILESAPPPVLESHELAAITLEYRRECGRDSVLQSLTAVSGAGIGNLGGPGKVECQHLLRHEDGAEIVRGRTEWRPKHANNFGMMGGQLSADESGA >Potri.010G076100.1.v4.1 pep chromosome:Pop_tri_v4:10:10356346:10361345:1 gene:Potri.010G076100.v4.1 transcript:Potri.010G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076100.v4.1 MMSLRFFLLLVFQFSVSGILAINNEDPTAKTMEDFSGYPFHEPTDYPSAPPNSLLSFLRVDTTTLQNQIDELSTLSDTPAPSVTRILYTEKDVLARRYIKNLMGLSGLSVREDAVGNIFGRWDGYEPDLAPVATGSHIDAIPYSGKYDGVVGVLGAIEAINVLKRSGFKPKRSLEVVLFTSEEPTRFGIGCLGSRLLAGSEALAEALKTTVDGQNISFLEAARSAGYAKEQDDISSVFLKEGSYSAFVELHIEQGPILEAEGLSIGIVTAIAAPASLKVDFEGNGGHAGAVLMPNRNDAGLAAAELALAVEKHVLGSGSIDTVGTVGILELHPGAINSIPSKSHVEIDTRDIDEKRRNDVIEKIHQSAATIASKRGVRLSEFKIVNQDPPALSDKSVIEAMEAASKELNLTHKLMISRAYHDSLFMARVSPMGMLFIPCYKGYSHKPEEYASSHDMGNGVKVLAMTLAKLSLN >Potri.010G162600.1.v4.1 pep chromosome:Pop_tri_v4:10:16758469:16760917:1 gene:Potri.010G162600.v4.1 transcript:Potri.010G162600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162600.v4.1 MAISGDLRVSATLASYSKHPPRCSLPPSNSKVEFLGFLNGGCGTSQNMLKWPGGVFDSRKMHGHFKRSAGIAEEYQLSSTAINQEAERLLLSAINMSLFERLNLAWRIIFPSPSQRKSSNARIAKQRLKMILFSDRCAVSDEAKRKIVNNIVHALSEFVEIESQDKVQLSVTTDTDLGTVYSVTVPVRRVKPGYQEEESGSITNIEYKDTGENSGSVDVRFDFYLPDERTRF >Potri.005G186700.1.v4.1 pep chromosome:Pop_tri_v4:5:19420547:19423152:1 gene:Potri.005G186700.v4.1 transcript:Potri.005G186700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186700.v4.1 MSVKLQQPVGQKRLTNVAVVRLKKHGMRFEIACYKNKVLSWRSGVEKDLDEVLQSHHTVYSNVSKGILAKSLDLNKAFGHDDQTRICLEILDKGELQVAGKERESQLSSQFRDIATIVMQKTINPETQRPYTISMIERLMHETHFAVEPHNSSKKQALDVIRELQKHFPIKRSPMRLGLTVSGQNFSTLLEKLGAWDANVVSKDESGSRQSIICEMDPGFFRDCDTLVRNLQGRLEILAVSVHFEEDTHVDDYDDYEDVPSALPKGSTDSAVQLSEKIQKQTLSDEKKAGAEVKQNRCSTCNVSVGDAKQFRDHFKSDWHKHNLKRKTKQLPPLTSEECLGDMDMNDSNTDIKEYSF >Potri.001G022900.1.v4.1 pep chromosome:Pop_tri_v4:1:1781285:1783561:-1 gene:Potri.001G022900.v4.1 transcript:Potri.001G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022900.v4.1 MDLLVSEITTPSTPLLFAAATSTIGMSSTSSSSSICSSEASEDSPAISFRFELQDVKYDYPSKSLSGAYSYRPLAVLSDHVGPVSCLALCGEFILSASQGKDIIVWQQPDLRLFTKFGQGDGSVKALVSVGNKVFTAHQDSRIRVWKVSRRSENVFRLVDTLPTTKDYLGKFMKQSNYVQTRRHHKKLWIEHADSISCLAVYNGLVYSGSWDKTLKVWRISDLKCLESIKAHDDAINGLVACKGIVYSASADGKIKAWGKEGKSSHSLKGILEGHKDVSLNSVIVSDDGKWVYGGGSDGFVMGWEGSYDFVSWKMVSETKAHQMAVLCICLAGEFLFSGSADKNIGIWKREAFGKLSKVGVINGHEGPVKCLQASPNIVGGGFLLYSGGLDKSLRVWWVPKHSTLENTEEKSISLC >Potri.001G022900.2.v4.1 pep chromosome:Pop_tri_v4:1:1774416:1783561:-1 gene:Potri.001G022900.v4.1 transcript:Potri.001G022900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022900.v4.1 MDLLVSEITTPSTPLLFAAATSTIGMSSTSSSSSICSSEASEDSPAISFRFELQDVKYDYPSKSLSGAYSYRPLAVLSDHVGPVSCLALCGEFILSASQGKDIIVWQQPDLRLFTKFGQGDGSVKALVSVGNKVFTAHQDSRIRVWKVSRRSENVFRLVDTLPTTKDYLGKFMKQSNYVQTRRHHKKLWIEHADSISCLAVYNGLVYSGSWDKTLKVWRISDLKCLESIKAHDDAINGLVACKGIVYSASADGKIKAWGKEGKSSHSLKGILEGHKDVSLNSVIVSDDGKWVYGGGSDGFVMGWEGSYDFVSWKMVSETKAHQMAVLCICLAGEFLFSGSADKNIGIWKREAFGKLSKVGVINGHEGPVKCLQASPNIVGGGFLLYSGGLDKSLRVWWVPKHSTLENTEEKSISLC >Potri.001G022900.3.v4.1 pep chromosome:Pop_tri_v4:1:1774416:1783284:-1 gene:Potri.001G022900.v4.1 transcript:Potri.001G022900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022900.v4.1 MDLLVSEITTPSTPLLFAAATSTIGMSSTSSSSSICSSEASEDSPAISFRFELQDVKYDYPSKSLSGAYSYRPLAVLSDHVGPVSCLALCGEFILSASQGKDIIVWQQPDLRLFTKFGQGDGSVKALVSVGNKVFTAHQDSRIRVWKVSRRSENVFRLVDTLPTTKDYLGKFMKQSNYVQTRRHHKKLWIEHADSISCLAVYNGLVYSGSWDKTLKVWRISDLKCLESIKAHDDAINGLVACKGIVYSASADGKIKAWGKEGKSSHSLKGILEGHKDVSLNSVIVSDDGKWVYGGGSDGFVMGWEGSYDFVSWKMVSETKAHQMAVLCICLAGEFLFSGSADKNIGIWKREAFGKLSKVGVINGHEGPVKCLQASPNIVGGGFLLYSGGLDKSLRVWWVPKHSTLENTEEKSISLC >Potri.015G023000.5.v4.1 pep chromosome:Pop_tri_v4:15:1692959:1695938:1 gene:Potri.015G023000.v4.1 transcript:Potri.015G023000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023000.v4.1 MDKKEEIALSRMRKSVQKLGGSTERYGDPTLTRFLKARSMDSEKAAKMFVQWQTWRASFVPNGFIPESQIPDELESRKAYLQGLSKDGYPVLIFKGSRHFPCKDHLQCKRFVVYMLDKAVARAIKEHEIGNEKFICLVDLRQLTYKNFDPRGLINAVQFLQAYYPERLEKMYMLFMPRFFQSVWKMVCHYLDKGIREKVWILFIFSSLDLPIFM >Potri.015G023000.4.v4.1 pep chromosome:Pop_tri_v4:15:1692942:1696831:1 gene:Potri.015G023000.v4.1 transcript:Potri.015G023000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023000.v4.1 MDKKEEIALSRMRKSVQKLGGSTERYGDPTLTRFLKARSMDSEKAAKMFVQWQTWRASFVPNGFIPESQIPDELESRKAYLQGLSKDGYPVLIFKGSRHFPCKDHLQCKRFVVYMLDKAVARAIKEHEIGNEKFICLVDLRQLTYKNFDPRGLINAVQFLQAYYPERLEKMYMLFMPRFFQSVWKMVCHYLDKGIREKTEIVKNDEKARIEFVKKIGEEVLPKELGGRAQLVALQDVIVPQLN >Potri.005G125000.1.v4.1 pep chromosome:Pop_tri_v4:5:9306062:9310334:-1 gene:Potri.005G125000.v4.1 transcript:Potri.005G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125000.v4.1 MKVDVSLPTTTAPTVKKETSDSGLFGKVRYKFWALAAILLLAFWSMFTGTVTLRWSAGNLNSLADDIDTPIRDDRDVLEMEEREKAVKHMWDIYTNSRRIRLPKFWQEAFEAAYEELTSDAPDVKEAAITEIAKMSIRSIDLDPLPVQSTRARELSKSLKVAEKDGAAMTS >Potri.011G154500.1.v4.1 pep chromosome:Pop_tri_v4:11:18150288:18154406:-1 gene:Potri.011G154500.v4.1 transcript:Potri.011G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154500.v4.1 MTKPNNRNITAKLAYFILCFFLASCLAPLSISNGEVESQPVGYGHKVVSARVDPSVNVLAADLQLIKNSSTFGPDIQNLNFIASFDTKDRLRIRITDANKQRWEIPQDIIPRPKHNLSFGQNHVQSSLANYILSDPNSDLFFTLHNTTPFGFSLSRHSSGDVLFDASPNTSDSETFFVFKDQYIQLSFSLPKDRSSLYGLGEHTKKSFKLEPDKTPLTLWNADIASAVPDVNLYGSHPFYVDVRSESLDGKVIAGTTHGVLLLNSNGMDIIYEGDRITYKVIGGVIDLYIFAGPLPELVVQQYTELIGRPAPMPYWSFGFHQCRWGYKNVSDVEGVVAGYAKAGIPLEVMWTDIDYMDGFKDFTLDPVNFPLEKMKKFTDTLHQNGQKYVLILDPGISVNTTYGTYIRGMKADVFIRHDGIPYMGEVWPGSVYFPDFLNEAGREFWSNEIKLFHELLPFDGLWLDMNEISNFITPSSTEFSKLDDPPYKINNAAVQKPINNKTIPATSLHNGDIVEYNAHNLYGLSESKATNAALINVTGKRPFILSRSTFVGSGKYTAHWTGDNAATWDDLAYTIPSILNFGLFGIPMVGSDICGFSRNTTEELCRRWIQLGAFYPFARDHSAIDSTRQELYLWDSVAAAAKKVLGLRYQLLPYFYTLMYEAHMKGTPIARPLFFSFPQDIKTYGINSQFLVGKGVMVSPVLNSGAVSVDAYFPAGKWFDLFNHTNSVTADSGKYIKLDAPADHINVHVREGNILTLQGEAMTTKEARRTAFHLLVVLSSNENSTGEVFLDDGESVEMGGEGKNWSLVRFYGGIVGDMAMVRSIIINGEYALSQEWIVSKVTFIGLEKTKGFKWYELQTPKETKSGNSGTVASFNSNGELGMLEMSGFSLSLGEEFKLEVKLSI >Potri.011G058400.1.v4.1 pep chromosome:Pop_tri_v4:11:4818241:4820860:1 gene:Potri.011G058400.v4.1 transcript:Potri.011G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G058400.v4.1 MILFFFSFYVFILVALYFIFFIFFGRSELEAETTGKIPLWNENKRNTIEMTWCSNNSVYERAIQLATSSSKEDVFVEAETNEIRRITCPSCGHNFEFQDQGGIIHDLPGLPAGVKFDPTDQEILGHLEAKVLSDKRKLHPLIDEFIPSIEGENGICYTHPENLPGVSNDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRQVFASGIVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGDNEEEKDGELVVSKVFYQTQPRQCSSSIKDSLDNKSSNQSDLVDSHPLAKNSTDLLEFYNPSYISYDQGNYSSEIPPQFLPNLVVQGDGSPFTRLAAETSKGKLQRKW >Potri.011G058400.3.v4.1 pep chromosome:Pop_tri_v4:11:4817867:4820940:1 gene:Potri.011G058400.v4.1 transcript:Potri.011G058400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G058400.v4.1 MSKQPILFLEIPFSLSVSVYKLASIKFSLSLSLSLCPGIATCSELEAETTGKIPLWNENKRNTIEMTWCSNNSVYERAIQLATSSSKEDVFVEAETNEIRRITCPSCGHNFEFQDQGGIIHDLPGLPAGVKFDPTDQEILGHLEAKVLSDKRKLHPLIDEFIPSIEGENGICYTHPENLPGVSNDGQIRHFFHRPSKAYTTGTRKRRKVHTDEDGSETRWHKTGKTRQVFASGIVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGDNEEEKDGELVVSKVFYQTQPRQCSSSIKDSLDNKSSNQSDLVDSHPLAKNSTDLLEFYNPSYISYDQGNYSSEIPPQFLPNLVVQGDGSPFTRLAAETSKGKLQRKW >Potri.003G192800.1.v4.1 pep chromosome:Pop_tri_v4:3:19586452:19588953:-1 gene:Potri.003G192800.v4.1 transcript:Potri.003G192800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192800.v4.1 MACASQAMISANTCALTSPRLLKKYTNGNKRNSKLFTIKASSDDAECNTEECAPEKEVGKVSMEWLAGEKTKVVGTFPPSKRGWTGYVEKDTAGQTNIYSVEPAVYVAESAISSGTAGTSEGSEGTVALVAGLGLASVAVASFILLLVGKNPSNITTAEYKGPSLSYYINKFKPAEIIQAAVPSQTESPSSIQADSSMPEVPEIQVQSAPEVSEVPVQSQYEPEPLTSSVSSANLAN >Potri.001G291932.1.v4.1 pep chromosome:Pop_tri_v4:1:30382286:30388904:1 gene:Potri.001G291932.v4.1 transcript:Potri.001G291932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291932.v4.1 MLLEAYFVQIDGTLNKLCTLMEYVDDTEDHINIMLDDKQNHLLQMGVVLTTATLVVSCFVVVVGVFGKNFGIKMFKEVQAGMSKFLWTVAGGTTGSMFLYVIAIAWCKHRRLLDN >Potri.010G251500.1.v4.1 pep chromosome:Pop_tri_v4:10:22401280:22403770:1 gene:Potri.010G251500.v4.1 transcript:Potri.010G251500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G251500.v4.1 MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQSCKHVSQHPIKRCKHFEIGGDKKGKGTSLF >Potri.018G118008.1.v4.1 pep chromosome:Pop_tri_v4:18:13336061:13337891:1 gene:Potri.018G118008.v4.1 transcript:Potri.018G118008.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118008.v4.1 MFSWEFLRKSLELARRVLANQRTSTRALLKQSEGSNPLPVAASLSRSSFGGSNNGDRKSGDALLKFLLRSVASGVVIVGSSLSFSYWYPSLVDKCSFVSFADSTDDAAWVSSEDLLPHKKKKRFLFGDSYRRRVFFNYEKRIRLQSPPEKVFEYFESFKTPDGEVLVTPAELMRAVVPVFPPSESNRIREGFLRGETVPGELHCAPSRFFMLFDTNSDGLISFSEYIFFVTLLSIPESSFSVAFKMFDLDNN >Potri.004G113600.1.v4.1 pep chromosome:Pop_tri_v4:4:10435950:10437958:1 gene:Potri.004G113600.v4.1 transcript:Potri.004G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113600.v4.1 MRKLKFHERKLLKKVNFLDWKREQNHREAHVMQRYHIVERDDYKKYSSVCRMVQKLTNILKQMDPRDPYRVEMTDVLLEKLYNMGVIPSRKSLALCDRLSVSSFCRRRLSTVLMRLKFAEHLKEAITYIEQGHIRAGPETVTDPAFLVTRSMEDFVTWVDTSKIKRKVLEYNENLDDYDAMN >Potri.014G015600.1.v4.1 pep chromosome:Pop_tri_v4:14:920227:922360:-1 gene:Potri.014G015600.v4.1 transcript:Potri.014G015600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIM2 MAFAGTTQKCMACDKTVYLVDKLTADNRAYHKACFRCHHCKGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPEKPVDGEKPVSTKVSTMFAGTREKCFGCKNTVYPTEKVSVNGTPYHKSCFKCIHGGCTISPSNYIAHEGRLYCKHHHNQLIKEKGNLSQLEGDIEKDSMNNKTNGREVAAES >Potri.010G043500.1.v4.1 pep chromosome:Pop_tri_v4:10:7530701:7540818:1 gene:Potri.010G043500.v4.1 transcript:Potri.010G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043500.v4.1 MDGTYGLHSTVADYSDKALMSPEDLILQSEYQSLLSSETLRLRIPILGSEELLSEAASIRTEEDMSALIKAKIASHPCYPRLLEAYIDCQKVGAPPGIACFLDEIRRENDLFKQDAVSTYWGADPELDEFMETYCDLLVKYKSDLERPFDEATTFLNKIEMQLRNLCTGASIRSISDEGAPSSDEELSGGELDMHEAQPSGEDRELKDKLLRRFGSHIGTLKLEFSKKKKKGKLPKEARQTLLGWWDAHYKWPYPTEADKIALAESTGLDQKQINNWFINQRKRHWKPSENLQFAVMDNLSGQFFTEDD >Potri.005G126400.5.v4.1 pep chromosome:Pop_tri_v4:5:9493533:9495777:-1 gene:Potri.005G126400.v4.1 transcript:Potri.005G126400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126400.v4.1 MTAGGSSARLPTWKERENNMRRERRRRAIAAKIYTGLRTQGNYKLPKHCDNNEVLKALCAEAGWIVEEDGTTYRKGCKPPPSEIAGMPANISACSSIQPSPQSSNFASPVPSYHASPSSSSFPSPTCFDGNSSTYLLPFLRNIASIPTNLPPLRISNSAPVTPPRSSPTCRSSKRKVDWESLSNGSLNSFRHPLFAASAPSSPTRRPHLTPATIPECDESDASTVDSGRWLSFQAVAPQVAPPSPTFNLVKPVDQQCAFQIGVDRHEGLSWGVAAERGRGAEFEFENCRVKPWEGERIHEIGVDDLELTLGSGKVHGQASIDDLAWERSNK >Potri.008G190950.1.v4.1 pep chromosome:Pop_tri_v4:8:13421457:13423649:1 gene:Potri.008G190950.v4.1 transcript:Potri.008G190950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190950.v4.1 MDKVLFFKMGKFYELFEMDAHVGAKELDLQYMKGEQPHCGFPEKNFSLNVEKLARKGYRVLVVEQTETPEQLELRRKEKGSKDKVVKREICAVITKGTLTEGELLSANPDASYLMALTESRQSLANQGLERIFGVCAVDVTTSRIILGQFGDDAECSSLCCLLSELRPVEIVKPAKMLSSETERVMVRHTRNPLVNELAPLSEFWDAEKTVQEVKTIYKPIGDLSASGPLNKTDLDTTNLNVGEYRPSCLPSILSEFVNKGENGSPALSALGGALYYLKQAFLDETLLRFAKFNSLPCSDFCEVAKKPYMILDAAALENLEIF >Potri.015G144100.1.v4.1 pep chromosome:Pop_tri_v4:15:14930364:14932568:1 gene:Potri.015G144100.v4.1 transcript:Potri.015G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144100.v4.1 MNSVALFGMRVVHKFLCFPSVSRRLCDYSFDGGDNNDNGFQYVEEPLKRMYKSSDFDSFQSESCVEEEHFSVRRSYVECTRIDADRVLDILRRDGPGFDAKRALSELEIKVSWLLVREVFTGILRNINEENKTRCAKLGFKFFMWSDQQGNYKHTGNSYHLLMKIFAECEEFRAMWKLADEMVEKGFHTTAQTFNILICTCGEAGLAMNVVERFIRSKTFNFRPYKNSYNAILHSLLVLHQYKLIEWVYQHMLEERHSPDVLTYNVILYAKCRLGKAPEFHRLLDEMLSCGIFPDFHTYNILLHVYGKTNNPVAALDLLNAMKEASIDPTVLHYTTLIDGLGRAGNLLGCQYFFDEMIKAGCIPDVVCYTVMITGYIMNGELEKAQEMFEDMIVNGQLPNVFTYNSMIRGLCMAGKFEEACSMLKEMESRGCNPNFHVYRTLVRNLRNAGKLSEAHEVIKQMIEKSQYSHLVTKIKRYKR >Potri.004G117900.1.v4.1 pep chromosome:Pop_tri_v4:4:11146111:11153206:-1 gene:Potri.004G117900.v4.1 transcript:Potri.004G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117900.v4.1 MAEDLQDYTIIKEGEADILMNVKNQVFFNKAQVNNRDLSIAVLRTFISKRQEEHEAMLSKRTKSAKKASEKDASGPAVEEASTGSALDNEKSNGECEVHEEISQNEPCSISEESVKNTEGNHHGELKPPKVLEALSASGLRALRYAREVEGIGKVVALDNDKASVEACQKNIKFNGSVAISKVESHLADARVYMLTHPKEFDVVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPVLSVQMNFYVRVFVRVYTSASAMKNTPLKLSYVYQCIGCDSYHLQPIGRTMSKGSSVRHLPGFGPVVSQECSDCGKKYNMGGPIWSAPIHDQEWVTSVLDGVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKAQAPDQPGSIILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITTKHISLLGPEAVNGHLNHENSEETKAKRPKTGDTNDPTSSS >Potri.015G118600.1.v4.1 pep chromosome:Pop_tri_v4:15:13268246:13272941:-1 gene:Potri.015G118600.v4.1 transcript:Potri.015G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118600.v4.1 MKKIERTYKTVAKDVYLAALEEDWERMIYACSGSSDMYVMSPVTVSGDTPLHLAVYSKKVKPLQTLLDIAKKHSLLEKPLKKKNAYGNTVLHEAVFAGNMEAVEHLLQGEYDPSMQLQTKNALGETPFYRAAACGKKKIVELLARKMGQIPEGTLSEDHRKREDSKPILHAAIQGHHFDTALTLLKLDPSLYKMKDDQGMTCLHVLAGMPSAFKSGYALRQITITNLIYCCLSAAKGDGDQSRCKKGWPLVEIIRKEKHKHESALELAKELIKKNKLKWWQSITVKPTKVNIETPGQGGRGGQSERQGGGGIPGGGREGQDGAREGVDTGKGGGGGEVENNENGQPKIEENRRQEKPPSPPNPLFIATSNGIVEIAKEILAKFPQGIELVNDEGQNILHVAVMHRRREIFRLVKKKNIIVTRMSTSVDNNGFTLLHQVAHVKHYSGGAKPGPALQLQEEIKWFKRVQRVVPPSLSEQRVQWVVPNDKNYNFTAFELFQEEHKGQLKLAQDWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSDDRGFPIFLHNPFFIAFTVLDVTALASSLTSVVMFLSILTTPFECEKFYHNIPRKLIWGFTLLFFSVMTTMLAFTCTLLLIIRLKKQWTTGLMSMAAFLPVSVFAVMQFPLYVAFMTTMKDFYKEVVKSLPWIHLPFRSHFRQGKARRR >Potri.008G135600.1.v4.1 pep chromosome:Pop_tri_v4:8:9017293:9023640:1 gene:Potri.008G135600.v4.1 transcript:Potri.008G135600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135600.v4.1 MDKTQQVIALTQLRKSVEKLGSSTEKYGDPTLVRFLIARSMDPEKAAKMFAQWLQWRAAFVPNGSIPDSEVQDELRRRKVFLQGLSRDGYPVLLVKANKHFPSKDQLQFKKFVVHLLDKAIASSFKGREIGNEKLIAILDLQQIAYKNIDARGLITGFQLLQAYYPERLAKCFILSMPWFFVSVWRMVSRFLEKATLEKVVIVTSEEERDFFVKEIGEEVLPEEYGGRAMLVAPQDVTVPPLEG >Potri.001G236400.2.v4.1 pep chromosome:Pop_tri_v4:1:25463570:25465983:1 gene:Potri.001G236400.v4.1 transcript:Potri.001G236400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236400.v4.1 MANAASGMAVHDDCKLKFLELKAKRTYRSIVFKIEEKLKQVIVEKLGEPAQSYEDFTASIPADECRYAVYDFDFMTAENVQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVIRSRAS >Potri.018G033600.1.v4.1 pep chromosome:Pop_tri_v4:18:2546378:2547997:-1 gene:Potri.018G033600.v4.1 transcript:Potri.018G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033600.v4.1 MSALSEAYRDHPLHLHHIIPLDFDSVRTVPDSHVWPTSHAFESDDQLSIPTVDLMDPDAVKLVGHACETWGVFQVINHGIPLDIIDEVESEARRLFSLPTGHKLKALRSPGGATGYGLARISPFFSKKMWHEGFTVMGSPVDHARELWPNDYQRFCDVMEDYQKKMKELAITLMHLILKSLDLSEEEISKVVSPGGASTALQLNSYPFCPDPSRVMGLAPHTDTSLLTILYQSTINGLEIFKDGVGWVLVSPTNGSLVVNVGDLLHILSNAQFPSVLHRVVLKEKQQRLSLAYFYSPPTDFHVSPLALNPAQIPLYRSVSVREYIHIKAKNVEKALSLIRI >Potri.005G178000.1.v4.1 pep chromosome:Pop_tri_v4:5:18389986:18391813:-1 gene:Potri.005G178000.v4.1 transcript:Potri.005G178000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178000.v4.1 MEKSTAFREAYLNGNHHHSFSSSSASHRHVSYSCGICGYELNLSSSNRNTSTIGSKYGKSIKRGKISFFFIDESRFTQVDEFQCFPFFSKNSLGLFRQRTALLCRKCGNHIGIAYADEASAYPLVADGSDSSSVSEVFKRRKYDVKIRALQPSSAEQFSIPLYT >Potri.013G018300.1.v4.1 pep chromosome:Pop_tri_v4:13:1150031:1154367:1 gene:Potri.013G018300.v4.1 transcript:Potri.013G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018300.v4.1 MMDYLLRKVSTCPSKYIRIPVIAIAAAAAGGSGLLYANSKHRDSDTRISLSFRAESLHESLLLPWRTPLDLTQHSWHFGNLPLFSSRISPVPSGDIKNENPGVVGESPKPSCGCLGRDTIANAAARVGPAVVNLSVPKGFYGITTGKSIGSGTIIDSNGTILTCAHVVVDFQDMRDSSKGKVDVTLQDGRTFEGTVVNADLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVVAMGCPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINMGNSGGPLINVDGEVVGVNIMKVLAADGLSFAVPIDSIAKIMEHFKRSGRVIRPWLGLKMIDLNEMIITQLKERDPKFPNVKEGVLVPMVTPGSPADRAGFHPGDVVIKFDGKPVRSIKEIIEIMGDRVGKPLEVVLKRPNDVVVNLTVIPEEANPDM >Potri.013G018300.3.v4.1 pep chromosome:Pop_tri_v4:13:1149992:1154385:1 gene:Potri.013G018300.v4.1 transcript:Potri.013G018300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018300.v4.1 MMDYLLRKVSTCPSKYIRIPVIAIAAAAAGGSGLLYANSKHRDSDTRISLSFRAESLHESLLLPWRTPLDLTQHSWHFDFVGNLPLFSSRISPVPSGDIKNENPGVVGESPKPSCGCLGRDTIANAAARVGPAVVNLSVPKGFYGITTGKSIGSGTIIDSNGTILTCAHVVVDFQDMRDSSKGKVDVTLQDGRTFEGTVVNADLHSDIAIVKIKSKTPLPTAKLGSSSKLRPGDWVVAMGCPLSLQNTVTAGIVSCVDRKSSDLGLGGMRREYLQTDCAINMGNSGGPLINVDGEVVGVNIMKVLAADGLSFAVPIDSIAKIMEHFKRSGRVIRPWLGLKMIDLNEMIITQLKERDPKFPNVKEGVLVPMVTPGSPADRAGFHPGDVVIKFDGKPVRSIKEIIEIMGDRVGKPLEVVLKRPNDVVVNLTVIPEEANPDM >Potri.012G006700.3.v4.1 pep chromosome:Pop_tri_v4:12:267615:269607:1 gene:Potri.012G006700.v4.1 transcript:Potri.012G006700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006700.v4.1 MSPGPVVEAEPAAAAATAEEVTSTVEDTQQKKPPQQDVDEPVVEDVKEDEKEEDDDDDDEDDDDEDDDKDDDTPGANGSSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFFISKPDVFKSPNSETYIIFGEAKIEDLSSQLQTQAAQQFRVPDMSSMLPKPDASTAAAAAPADEEEEEVDETGVEPRDIDLVMTQAGVSRSKAVKALQTNNGDIVSAIMELTT >Potri.012G006700.2.v4.1 pep chromosome:Pop_tri_v4:12:267529:269610:1 gene:Potri.012G006700.v4.1 transcript:Potri.012G006700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006700.v4.1 MSPGPVVEAEPAAAAATAEEVTSTVEDTQQKKPPQDVDEPVVEDVKEDEKEEDDDDDDEDDDDEDDDKDDDTPGANGSSKQSRSEKKSRKAMLKLGMKPVTGVSRVTIKRTKNILFFISKPDVFKSPNSETYIIFGEAKIEDLSSQLQTQAAQQFRVPDMSSMLPKPDASTAAAAAPADEEEEEVDETGVEPRDIDLVMTQAGVSRSKAVKALQTNNGDIVSAIMELTT >Potri.018G037900.1.v4.1 pep chromosome:Pop_tri_v4:18:3017963:3022993:1 gene:Potri.018G037900.v4.1 transcript:Potri.018G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037900.v4.1 MATPSLDEETTKEVLRQVEYYFSDSNIPRDNFLRNIIESSEDGMVSLALICSFKKMKGHLKLMDVKPEEIPEVTVQAVAETLRKSTSLKVSEDGKKVGRIAGILKPDEAIEQLDVRTIAASPLKYNVKREELESFFGQHAKVTSVRMPRHVSDKRVFCGTALIEFSLEEEAENILKQSLVFEGAELELKPKREFDTERVQEEEEFENSHPSTGSNNKNSSNGEANYPKGLIIAFALKNKLAGGSAEQNGAQEPANDDANACDGGSNSSENMTKENEQKVPENIKTDEENNGEEVDGDNGSESTVIKTEEEKSSEDPNEKEEVKEKPNPAASKDDKNVVLREDLKAVFERFGIVKYVDFKMGDESGYIRFEEAEAAQKARAAAVLAKEEGLVVKNFIATLEPVTGEAEKEYWNQFRGHKERRFENKGNRGRGGRYHKGGGKHPRSRENDSGRPNKAQKVGAS >Potri.010G142700.7.v4.1 pep chromosome:Pop_tri_v4:10:15544622:15551099:-1 gene:Potri.010G142700.v4.1 transcript:Potri.010G142700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142700.v4.1 MSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDTYGNVLDQETQQVPFRRNMQDLLCDSGMIPYTEPYQSMYQQRRLGALGVEWKPSSVRFAVGPDFSLDPDNQMLALADLDVLVEPLPDFIDAMDWEPENDMQSDENDSEYNAPEENSSEAEQGRSNYSSSGDPECSAEDSEAEGRDGFRGSKRRKQKAEIQIMTSSGRRVKRKNLDECDGNSIRSNRTRKSRIDRKASKRKSSTSKALRPQRAAARNALSLFSKITGTATDAEDEDGSEGDLSETESGMQDSNIESDESDRSLHDEGNRNLKGKDILEEPEDFAKYHEFTESHMNTINRRRLVLKLPVHDSSKIVLPECGMHKGDSQVDLVGSSSKAPQEATEVNGVPTSSQDPGYFSGDAHCSRMDGGRRAQIKNYPLDLSEEYKNGDIRWGGVKARTFKRQRLGESISSAAYTGSSACLGEHNENENNLNRYSKLQEDNGTISPTLEVQNNTDKGVVPVNGRNAGADTFELVNDVSNGEEHPTFNGCLDSDKLPTLGHMVNGNDNPPDLRESLPPFSTKIRIRSKKILKDSLDNQGNGRCDLSTDKPANMTQNPVKEMLENNGSNGIAPEYKGDGLEESDTQIGEISMPSLDNSSGSRSDPKRMFDVVYRRSKPGRGRISSEGDGSIREDTLSACDPHLDFRGDSYEGASGGSHRTRSMGLKAPTHDSNMASNNLQLEQGHESDDTCRDALNNSINRCQLSCEEWGSSSRMTARLRSTRNRKASYHFRDTSPVDGRKLHQSAKKASWLMLSMHEEGSRYIPQQGDEVAYLRQGHQEYLDRMKSKEAGPWKIMKGNIRAVEFCKVEALEYAALAGSGDCCCKMTLRFVDPTSSTFQKSFKLTLPEMTGFPDFLVERTRFDAAIQRNWSRRDKCKVWWKNEGEEDGDWWHGRVLYTKPKSSEFPDSPWERCTVQYKSDPKELHEHSPWELFDDDTQLEQPRIDEEITNKLLSAFAKLERSGKKDQDHYGVEKLRQVSQKSNFINRFPVPLSLEVIQSRLENNYYRSLEALKHDFEVVLSNAESHFEKNAELSIKMRRLSNWFARTLSSL >Potri.010G142700.6.v4.1 pep chromosome:Pop_tri_v4:10:15544622:15553305:-1 gene:Potri.010G142700.v4.1 transcript:Potri.010G142700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142700.v4.1 MPSRFSMADNSKEENIPKFKNSWYFHESIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPTQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDTYGNVLDQETQQVPFRRNMQDLLCDSGMIPYTEPYQSMYQQRRLGALGVEWKPSSVRFAVGPDFSLDPDNQMLALADLDVLVEPLPDFIDAMDWEPENDMQSDENDSEYNAPEENSSEAEQGRSNYSSSGDPECSAEDSEAEGRDGFRGSKRRKQKAEIQIMTSSGRRVKRKNLDECDGNSIRSNRTRKSRIDRKASKRKSSTSKALRPQRAAARNALSLFSKITGTATDAEDEDGSEGDLSETESGMQDSNIESDESDRSLHDEGNRNLKGKDILEEPEDFAKYHEFTESHMNTINRRRLVLKLPVHDSSKIVLPECGMHKGDSQVDLVGSSSKAPQEATEVNGVPTSSQDPGYFSGDAHCSRMDGGRRAQIKNYPLDLSEEYKNGDIRWGGVKARTFKRQRLGESISSAAYTGSSACLGEHNENENNLNRYSKLQEDNGTISPTLEVQNNTDKGVVPVNGRNAGADTFELVNDVSNGEEHPTFNGCLDSDKLPTLGHMVNGNDNPPDLRESLPPFSTKIRIRSKKILKDSLDNQGNGRCDLSTDKPANMTQNPVKEMLENNGSNGIAPEYKGDGLEESDTQIGEISMPSLDNSSGSRSDPKRMFDVVYRRSKPGRGRISSEGDGSIREDTLSACDPHLDFRGDSYEGASGGSHRTRSMGLKAPTHDSNMASNNLQLEQGHESDDTCRDALNNSINRCQLSCEEWGSSSRMTARLRSTRNRKASYHFRDTSPVDGRKLHQSAKKASWLMLSMHEEGSRYIPQQGDEVAYLRQGHQEYLDRMKSKEAGPWKIMKGNIRAVEFCKVEALEYAALAGSGDCCCKMTLRFVDPTSSTFQKSFKLTLPEMTGFPDFLVERTRFDAAIQRNWSRRDKCKVWWKNEGEEDGDWWHGRVLYTKPKSSEFPDSPWERCTVQYKSDPKELHEHSPWELFDDDTQLEQPRIDEEITNKLLSAFAKLERSGKKDQDHYGVEKLRQVSQKSNFINRFPVPLSLEVIQSRLENNYYRSLEALKHDFEVVLSNAESHFEKNAELSIKMRRLSNWFARTLSSL >Potri.010G142700.8.v4.1 pep chromosome:Pop_tri_v4:10:15544624:15548558:-1 gene:Potri.010G142700.v4.1 transcript:Potri.010G142700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142700.v4.1 MPWIGNQKMTCKVMKMIQSTMPLKKILLRPSKEGQIIVPLVIQSVVQKTVRLRAGMVSEDLKGENKRLKYMFLNNFLSKYWISCMLDLLAYSSCPHFLQIQIMTSSGRRVKRKNLDECDGNSIRSNRTRKSRIDRKASKRKSSTSKALRPQRAAARNALSLFSKITGTATDAEDEDGSEGDLSETESGMQDSNIESDESDRSLHDEGNRNLKGKDILEEPEDFAKYHEFTESHMNTINRRRLVLKLPVHDSSKIVLPECGMHKGDSQVDLVGSSSKAPQEATEVNGVPTSSQDPGYFSGDAHCSRMDGGRRAQIKNYPLDLSEEYKNGDIRWGGVKARTFKRQRLGESISSAAYTGSSACLGEHNENENNLNRYSKLQEDNGTISPTLEVQNNTDKGVVPVNGRNAGADTFELVNDVSNGEEHPTFNGCLDSDKLPTLGHMVNGNDNPPDLRESLPPFSTKIRIRSKKILKDSLDNQGNGRCDLSTDKPANMTQNPVKEMLENNGSNGIAPEYKGDGLEESDTQIGEISMPSLDNSSGSRSDPKRMFDVVYRRSKPGRGRISSEGDGSIREDTLSACDPHLDFRGDSYEGASGGSHRTRSMGLKAPTHDSNMASNNLQLEQGHESDDTCRDALNNSINRCQLSCEEWGSSSRMTARLRSTRNRKASYHFRDTSPVDGRKLHQSAKKASWLMLSMHEEGSRYIPQQGDEVAYLRQGHQEYLDRMKSKEAGPWKIMKGNIRAVEFCKVEALEYAALAGSGDCCCKMTLRFVDPTSSTFQKSFKLTLPEMTGFPDFLVERTRFDAAIQRNWSRRDKCKVWWKNEGEEDGDWWHGRVLYTKPKSSEFPDSPWERCTVQYKSDPKELHEHSPWELFDDDTQLEQPRIDEEITNKLLSAFAKLERSGKKDQDHYGVEKLRQVSQKSNFINRFPVPLSLEVIQSRLENNYYRSLEALKHDFEVVLSNAESHFEKNAELSIKMRRLSNWFARTLSSL >Potri.010G142700.4.v4.1 pep chromosome:Pop_tri_v4:10:15544440:15560554:-1 gene:Potri.010G142700.v4.1 transcript:Potri.010G142700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142700.v4.1 MRWPHRHADQVRGLSLREIGGGFARHHRAPSIRAACYAIAKPSTMVQKMQNVKRVRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNSNNTLVASSSNDCIIRVWRLPDGMPISVLRGHSAAVTAIAFSPRPGSAYQLLSSSDDGTCRIWDARSSNLGTRIYVPRPPDPVAGKNSGPSTSSGPQSHQIFCCAFNAHGTVFVTGSSDHLARVWNALKSNTVDSAQPNHEIDVLPGHENDVNYVQFSGCAMPSRFSMADNSKEENIPKFKNSWYFHESIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPTQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDTYGNVLDQETQQVPFRRNMQDLLCDSGMIPYTEPYQSMYQQRRLGALGVEWKPSSVRFAVGPDFSLDPDNQMLALADLDVLVEPLPDFIDAMDWEPENDMQSDENDSEYNAPEENSSEAEQGRSNYSSSGDPECSAEDSEAEGRDGFRGSKRRKQKAEIQIMTSSGRRVKRKNLDECDGNSIRSNRTRKSRIDRKASKRKSSTSKALRPQRAAARNALSLFSKITGTATDAEDEDGSEGDLSETESGMQDSNIESDESDRSLHDEGNRNLKGKDILEEPEDFAKYHEFTESHMNTINRRRLVLKLPVHDSSKIVLPECGMHKGDSQVDLVGSSSKAPQEATEVNGVPTSSQDPGYFSGDAHCSRMDGGRRAQIKNYPLDLSEEYKNGDIRWGGVKARTFKRQRLGESISSAAYTGSSACLGEHNENENNLNRYSKLQEDNGTISPTLEVQNNTDKGVVPVNGRNAGADTFELVNDVSNGEEHPTFNGCLDSDKLPTLGHMVNGNDNPPDLRESLPPFSTKIRIRSKKILKDSLDNQGNGRCDLSTDKPANMTQNPVKEMLENNGSNGIAPEYKGDGLEESDTQIGEISMPSLDNSSGSRSDPKRMFDVVYRRSKPGRGRISSEGDGSIREDTLSACDPHLDFRGDSYEGASGGSHRTRSMGLKAPTHDSNMASNNLQLEQGHESDDTCRDALNNSINRCQLSCEEWGSSSRMTARLRSTRNRKASYHFRDTSPVDGRKLHQSAKKASWLMLSMHEEGSRYIPQQGDEVAYLRQGHQEYLDRMKSKEAGPWKIMKGNIRAVEFCKVEALEYAALAGSGDCCCKMTLRFVDPTSSTFQKSFKLTLPEMTGFPDFLVERTRFDAAIQRNWSRRDKCKVWWKNEGEEDGDWWHGRVLYTKPKSSEFPDSPWERCTVQYKSDPKELHEHSPWELFDDDTQLEQPRIDEEITNKLLSAFAKLERSGKKDQDHYGVEKLRQVSQKSNFINRFPVPLSLEVIQSRLENNYYRSLEALKHDFEVVLSNAESHFEKNAELSIKMRRLSNWFARTLSSL >Potri.010G142700.5.v4.1 pep chromosome:Pop_tri_v4:10:15544624:15554848:-1 gene:Potri.010G142700.v4.1 transcript:Potri.010G142700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142700.v4.1 MPISVLRGHSAAVTAIAFSPRPGSAYQLLSSSDDGTCRIWDARSSNLGTRIYVPRPPDPVAGKNSGPSTSSGPQSHQIFCCAFNAHGTVFVTGSSDHLARVWNALKSNTVDSAQPNHEIDVLPGHENDVNYVQFSGCAMPSRFSMADNSKEENIPKFKNSWYFHESIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPTQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDTYGNVLDQETQQVPFRRNMQDLLCDSGMIPYTEPYQSMYQQRRLGALGVEWKPSSVRFAVGPDFSLDPDNQMLALADLDVLVEPLPDFIDAMDWEPENDMQSDENDSEYNAPEENSSEAEQGRSNYSSSGDPECSAEDSEAEGRDGFRGSKRRKQKAEIQIMTSSGRRVKRKNLDECDGNSIRSNRTRKSRIDRKASKRKSSTSKALRPQRAAARNALSLFSKITGTATDAEDEDGSEGDLSETESGMQDSNIESDESDRSLHDEGNRNLKGKDILEEPEDFAKYHEFTESHMNTINRRRLVLKLPVHDSSKIVLPECGMHKGDSQVDLVGSSSKAPQEATEVNGVPTSSQDPGYFSGDAHCSRMDGGRRAQIKNYPLDLSEEYKNGDIRWGGVKARTFKRQRLGESISSAAYTGSSACLGEHNENENNLNRYSKLQEDNGTISPTLEVQNNTDKGVVPVNGRNAGADTFELVNDVSNGEEHPTFNGCLDSDKLPTLGHMVNGNDNPPDLRESLPPFSTKIRIRSKKILKDSLDNQGNGRCDLSTDKPANMTQNPVKEMLENNGSNGIAPEYKGDGLEESDTQIGEISMPSLDNSSGSRSDPKRMFDVVYRRSKPGRGRISSEGDGSIREDTLSACDPHLDFRGDSYEGASGGSHRTRSMGLKAPTHDSNMASNNLQLEQGHESDDTCRDALNNSINRCQLSCEEWGSSSRMTARLRSTRNRKASYHFRDTSPVDGRKLHQSAKKASWLMLSMHEEGSRYIPQQGDEVAYLRQGHQEYLDRMKSKEAGPWKIMKGNIRAVEFCKVEALEYAALAGSGDCCCKMTLRFVDPTSSTFQKSFKLTLPEMTGFPDFLVERTRFDAAIQRNWSRRDKCKVWWKNEGEEDGDWWHGRVLYTKPKSSEFPDSPWERCTVQYKSDPKELHEHSPWELFDDDTQLEQPRIDEEITNKLLSAFAKLERSGKKDQDHYGVEKLRQVSQKSNFINRFPVPLSLEVIQSRLENNYYRSLEALKHDFEVVLSNAESHFEKNAELSIKMRRLSNWFARTLSSL >Potri.010G142700.1.v4.1 pep chromosome:Pop_tri_v4:10:15544636:15560554:-1 gene:Potri.010G142700.v4.1 transcript:Potri.010G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142700.v4.1 MMPLSFSSKEREIAQLAESETSRRRVKDVDVDLSEVYFLIMHFLSAGPCQRTYVQFWNELLEHQLLPRRYHAWYSRSGAHSGDENDNGLSFPLSYNSLEEQYAMYPHIEKNHLVKLLKQLLLNTASPSEGLIGDAPNAADVPTLLGTGSFSLLSCDRDKGNDQVKHPPAHMRWPHRHADQVRGLSLREIGGGFARHHRAPSIRAACYAIAKPSTMVQKMQNVKRVRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNSNNTLVASSSNDCIIRVWRLPDGMPISVLRGHSAAVTAIAFSPRPGSAYQLLSSSDDGTCRIWDARSSNLGTRIYVPRPPDPVAGKNSGPSTSSGPQSHQIFCCAFNAHGTVFVTGSSDHLARVWNALKSNTVDSAQPNHEIDVLPGHENDVNYVQFSGCAMPSRFSMADNSKEENIPKFKNSWYFHESIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPTQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDTYGNVLDQETQQVPFRRNMQDLLCDSGMIPYTEPYQSMYQQRRLGALGVEWKPSSVRFAVGPDFSLDPDNQMLALADLDVLVEPLPDFIDAMDWEPENDMQSDENDSEYNAPEENSSEAEQGRSNYSSSGDPECSAEDSEAEGRDGFRGSKRRKQKAEIQIMTSSGRRVKRKNLDECDGNSIRSNRTRKSRIDRKASKRKSSTSKALRPQRAAARNALSLFSKITGTATDAEDEDGSEGDLSETESGMQDSNIESDESDRSLHDEGNRNLKGKDILEEPEDFAKYHEFTESHMNTINRRRLVLKLPVHDSSKIVLPECGMHKGDSQVDLVGSSSKAPQEATEVNGVPTSSQDPGYFSGDAHCSRMDGGRRAQIKNYPLDLSEEYKNGDIRWGGVKARTFKRQRLGESISSAAYTGSSACLGEHNENENNLNRYSKLQEDNGTISPTLEVQNNTDKGVVPVNGRNAGADTFELVNDVSNGEEHPTFNGCLDSDKLPTLGHMVNGNDNPPDLRESLPPFSTKIRIRSKKILKDSLDNQGNGRCDLSTDKPANMTQNPVKEMLENNGSNGIAPEYKGDGLEESDTQIGEISMPSLDNSSGSRSDPKRMFDVVYRRSKPGRGRISSEGDGSIREDTLSACDPHLDFRGDSYEGASGGSHRTRSMGLKAPTHDSNMASNNLQLEQGHESDDTCRDALNNSINRCQLSCEEWGSSSRMTARLRSTRNRKASYHFRDTSPVDGRKLHQSAKKASWLMLSMHEEGSRYIPQQGDEVAYLRQGHQEYLDRMKSKEAGPWKIMKGNIRAVEFCKVEALEYAALAGSGDCCCKMTLRFVDPTSSTFQKSFKLTLPEMTGFPDFLVERTRFDAAIQRNWSRRDKCKVWWKNEGEEDGDWWHGRVLYTKPKSSEFPDSPWERCTVQYKSDPKELHEHSPWELFDDDTQLEQPRIDEEITNKLLSAFAKLERSGKKDQDHYGVEKLRQVSQKSNFINRFPVPLSLEVIQSRLENNYYRSLEALKHDFEVVLSNAESHFEKNAELSIKMRRLSNWFARTLSSL >Potri.010G142700.3.v4.1 pep chromosome:Pop_tri_v4:10:15544365:15560554:-1 gene:Potri.010G142700.v4.1 transcript:Potri.010G142700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142700.v4.1 MMPLSFSSKEREIAQLAESETSRRRVKDVDVDLSEVYFLIMHFLSAGPCQRTYVQFWNELLEHQLLPRRYHAWYSRSGAHSGDENDNGLSFPLSYNSLEEQYPHIEKNHLVKLLKQLLLNTASPSEGLIGDAPNAADVPTLLGTGSFSLLSCDRDKGNDQVKHPPAHMRWPHRHADQVRGLSLREIGGGFARHHRAPSIRAACYAIAKPSTMVQKMQNVKRVRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNSNNTLVASSSNDCIIRVWRLPDGMPISVLRGHSAAVTAIAFSPRPGSAYQLLSSSDDGTCRIWDARSSNLGTRIYVPRPPDPVAGKNSGPSTSSGPQSHQIFCCAFNAHGTVFVTGSSDHLARVWNALKSNTVDSAQPNHEIDVLPGHENDVNYVQFSGCAMPSRFSMADNSKEENIPKFKNSWYFHESIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPTQPPRGGPRQRILPTPRGVNMIAWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDTYGNVLDQETQQVPFRRNMQDLLCDSGMIPYTEPYQSMYQQRRLGALGVEWKPSSVRFAVGPDFSLDPDNQMLALADLDVLVEPLPDFIDAMDWEPENDMQSDENDSEYNAPEENSSEAEQGRSNYSSSGDPECSAEDSEAEGRDGFRGSKRRKQKAEIQIMTSSGRRVKRKNLDECDGNSIRSNRTRKSRIDRKASKRKSSTSKALRPQRAAARNALSLFSKITGTATDAEDEDGSEGDLSETESGMQDSNIESDESDRSLHDEGNRNLKGKDILEEPEDFAKYHEFTESHMNTINRRRLVLKLPVHDSSKIVLPECGMHKGDSQVDLVGSSSKAPQEATEVNGVPTSSQDPGYFSGDAHCSRMDGGRRAQIKNYPLDLSEEYKNGDIRWGGVKARTFKRQRLGESISSAAYTGSSACLGEHNENENNLNRYSKLQEDNGTISPTLEVQNNTDKGVVPVNGRNAGADTFELVNDVSNGEEHPTFNGCLDSDKLPTLGHMVNGNDNPPDLRESLPPFSTKIRIRSKKILKDSLDNQGNGRCDLSTDKPANMTQNPVKEMLENNGSNGIAPEYKGDGLEESDTQIGEISMPSLDNSSGSRSDPKRMFDVVYRRSKPGRGRISSEGDGSIREDTLSACDPHLDFRGDSYEGASGGSHRTRSMGLKAPTHDSNMASNNLQLEQGHESDDTCRDALNNSINRCQLSCEEWGSSSRMTARLRSTRNRKASYHFRDTSPVDGRKLHQSAKKASWLMLSMHEEGSRYIPQQGDEVAYLRQGHQEYLDRMKSKEAGPWKIMKGNIRAVEFCKVEALEYAALAGSGDCCCKMTLRFVDPTSSTFQKSFKLTLPEMTGFPDFLVERTRFDAAIQRNWSRRDKCKVWWKNEGEEDGDWWHGRVLYTKPKSSEFPDSPWERCTVQYKSDPKELHEHSPWELFDDDTQLEQPRIDEEITNKLLSAFAKLERSGKKDQDHYGVEKLRQVSQKSNFINRFPVPLSLEVIQSRLENNYYRSLEALKHDFEVVLSNAESHFEKNAELSIKMRRLSNWFARTLSSL >Potri.017G048200.3.v4.1 pep chromosome:Pop_tri_v4:17:3428504:3432099:-1 gene:Potri.017G048200.v4.1 transcript:Potri.017G048200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048200.v4.1 MNRLIYESRDLGLNKVALDDLPGGPEAFELAAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLTFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPKVSSPKWNEMKDSSPSRNSQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYAGKWLPGLIKDGGGSIDEASNSSNSSGGSSWKGGLHMIVAVSKDDTPTAETKDQRMIVESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKNETSYDVDLVQRLLEHFLVQEQIESSSPTTQSFSDKHMYDGAQRGANPSAKIRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPDSARTCDDGLYRAVDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALANNSLKETGETQYQPMISNRKSLLEGTPQSFQEGWAAAKKDINSLKFELETVKAKYHELQNDMDILQRQFDKLTNKKQASAWTTGWKKLSKFTKMTTLENHDIDPQVATAPGEHTSKTTRRWRNSIS >Potri.017G048200.1.v4.1 pep chromosome:Pop_tri_v4:17:3428575:3432249:-1 gene:Potri.017G048200.v4.1 transcript:Potri.017G048200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048200.v4.1 MWDSESESVTGRDYENGILSSSKHGVKNDGFELRDHSWYVATNIPSDFLVQVGDVNFHLHKYPLLSRSGKMNRLIYESRDLGLNKVALDDLPGGPEAFELAAKFCYGIAVDLTAANISGLRCAAEYLEMTEDLEEGNLTFKTEAFLSYVVLSSWRDSIVVLKSCEKLSPWAENLQIVRRCSESIAWKACANPKGIRWAYTGKPPKVSSPKWNEMKDSSPSRNSQVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELIGAAIMHYAGKWLPGLIKDGGGSIDEASNSSNSSGGSSWKGGLHMIVAVSKDDTPTAETKDQRMIVESLISIIPPQKDSVSCSFLLRLLRMANMLKVAPALVTELEKRVGMQFEQATLADLLIPSYNKNETSYDVDLVQRLLEHFLVQEQIESSSPTTQSFSDKHMYDGAQRGANPSAKIRVARLVDSYLTEVSRDRNLSLTKFQVLAEALPDSARTCDDGLYRAVDSYLKAHPTLSEHERKRLCRVMDCQKLSIDACMHAAQNERLPLRVVVQVLFSEQVKISNALANNSLKETGETQYQPMISNRKSLLEGTPQSFQEGWAAAKKDINSLKFELETVKAKYHELQNDMDILQRQFDKLTNKKQASAWTTGWKKLSKFTKMTTLENHDIDPQVATAPGEHTSKTTRRWRNSIS >Potri.007G096800.6.v4.1 pep chromosome:Pop_tri_v4:7:12143743:12150771:-1 gene:Potri.007G096800.v4.1 transcript:Potri.007G096800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096800.v4.1 MAKKENDVVSQTFRALVESADRKFGRVRDLPLYGRAPQNHYFQKVFKAYMRLWKYQQENRSKLVDSGLNRWEIGEIASRIGQLYFNQYMRSSEARFLVEAYVFYEAILERKYFDARGSGKPKVDVGVRFKELRFYARFLLVALIFNKVDMVRLLAERFKGLVDDSMTKFRETNFKEWKLVVQEIFRFMEVGTAFTNVRPLRYCALFDSHPASRPYLARFHARKIVKFRDALLTSYHRNEVKFAELTLDTYRMMQCLEWEPSGSFYQKRPVESVYQKHPVESNENGTVIDHSGAASGLIDINLAADLTDPTLPPNPRKAVLYRPSVTHLLAVMATICEELPPETIVLIYLSASGKAAHSNLSQSGSSGGSRKSSKDKVVSGVYGEDKSSAPESHCNGKRESSDYYDNYLWLGPRGYGGSNALYPGDIIPFTRRPLFLIIDSDSSHAFKAGPAWCRKGRASCLASFTFETSIQEPICC >Potri.007G096800.7.v4.1 pep chromosome:Pop_tri_v4:7:12143861:12150729:-1 gene:Potri.007G096800.v4.1 transcript:Potri.007G096800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096800.v4.1 MAKKENDVVSQTFRALVESADRKFGRVRDLPLYGRAPQNHYFQKVFKAYMRLWKYQQENRSKLVDSGLNRWEIGEIASRIGQLYFNQYMRSSEARFLVEAYVFYEAILERKYFDARGSGKPKVDVGVRFKELRFYARFLLVALIFNKVDMVRLLAERFKGLVDDSMTKFRETNFKEWKLVVQEIFRFMEVGTAFTNVRPLRYCALFDSHPASRPYLARFHARKIVKFRDALLTSYHRNEVKFAELTLDTYRMMQCLEWEPSGSFYQKRPVESVYQKHPVESNENGTVIDHSGAASGLIDINLAADLTDPTLPPNPRKAVLYRPSVTHLLAVMATICEELPPETIVLIYLSASGKAAHSNLSQSGSSGGSRKSSKDKVVSGVYGEDKSSAPESHCNGKRESSDYYDNYLWLGPRGYGGSNALYPGDIIPFTRRPLFLIIDSDSSHAFKVLHGAERGEPAALLLSPLKPAFKNLSAVDTSHCGSQFTFFLTAPLQAFCQMVGLTSADSDMVSCWPKFLNFYDNLLIPICSPMANGTAFRIFTMMLRRYSPLHSLSGRLLFVHQKAWIWFGHKFYPIPF >Potri.007G096800.1.v4.1 pep chromosome:Pop_tri_v4:7:12143863:12150904:-1 gene:Potri.007G096800.v4.1 transcript:Potri.007G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096800.v4.1 MAKKENDVVSQTFRALVESADRKFGRVRDLPLYGRAPQNHYFQKVFKAYMRLWKYQQENRSKLVDSGLNRWEIGEIASRIGQLYFNQYMRSSEARFLVEAYVFYEAILERKYFDARGSGKPKVDVGVRFKELRFYARFLLVALIFNKVDMVRLLAERFKGLVDDSMTKFRETNFKEWKLVVQEIFRFMEVGTAFTNVRPLRYCALFDSHPASRPYLARFHARKIVKFRDALLTSYHRNEVKFAELTLDTYRMMQCLEWEPSGSFYQKRPVESVYQKHPVESNENGTVIDHSGAASGLIDINLAADLTDPTLPPNPRKAVLYRPSVTHLLAVMATICEELPPETIVLIYLSASGKAAHSNLSQSGSSGGSRKSSKDKVVSGVYGEDKSSAPESHCNGKRESSDYYDNYLWLGPRGYGGSNALYPGDIIPFTRRPLFLIIDSDSSHAFKVLHGAERGEPAALLLSPLKPAFKNLSAVDTSHCGSQFTFFLTAPLQAFCQMVGLTSADSDMDFYNDAEEILSIAFSEWEVIICTSKGLDLVWAQVLSDPFLRRLILRFIFCRSVLSVFCPLEDEQYLPICLPHLPNSVSARSEVVQSAVFRLANHLKVADCFQFDDR >Potri.005G047000.1.v4.1 pep chromosome:Pop_tri_v4:5:2981504:2982566:1 gene:Potri.005G047000.v4.1 transcript:Potri.005G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047000.v4.1 MARYGHAYRGYSTNSTPSSDDFWSKKGHASDHVCRPVIIDAEGRKMPIIFYGADKNADHYVTKTETIFQQHVHSPLESEYKQSTRLTDDPYGAEDKFRRPMASVNSRPQNVEESITKVQTDASPPKIAPWDASYWRQAPKSTGYEGYDERNVFHNKDLLKPSSNAPRNDSYDDYYRKQGSNKETTMITSGGWARPAHSTSTAEVAKPSATTSPPRSRYRQPAYTETMDSKEAARRYGTRPSTREDSYTSTIDSREAARKYNGSGV >Potri.005G047000.2.v4.1 pep chromosome:Pop_tri_v4:5:2981500:2982603:1 gene:Potri.005G047000.v4.1 transcript:Potri.005G047000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047000.v4.1 MARYGHAYRGYSTNSTPSSDDFWSKKGHASDHVCRPVIIDAEGRKMPIIFYGADKNADHYVTKTETIFQQHVHSPLESEYKQSTRLTDDPYGAEDKFRRPMASVNSRPQNVEESITKVQTDASPPKIAPWDASYWRQAPKSTGYEGYDERNVFHNKDLLKPSSNAPRNDSYDDYYRKQGSNKETTMITSEVAKPSATTSPPRSRYRQPAYTETMDSKEAARRYGTRPSTREDSYTSTIDSREAARKYNGSGV >Potri.018G146100.1.v4.1 pep chromosome:Pop_tri_v4:18:15050062:15052110:1 gene:Potri.018G146100.v4.1 transcript:Potri.018G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146100.v4.1 MASFVTKSMGFTLLAVASVSCIKFACPNLSTYFSPLPISVILPLLPLIVYLFSSVFTKSSTGDLPPGPVSYPMFGNWLQVGNDLNHRLLASMSQTYGPVFLLKLGSKNLAVVSDPELANQVLHTQGVEFGSRPRNVVFDIFTGNGQDMVFTIYGEHWRKMRRIMTLPFFTNKVVNQYSTSWEQEMDLVVDDLRANEKVRTEGIVIRKRLQLMLYNIMYRMMFDAKFQSQEDPLFVQATRFNSERSRLAQSFEYNYGDFIPWLRPFLRGYLNKCRDLQQRRLAFFNNYYIEKRRKIMAANGEKHKVSCAMDHIIQAQMKGEISEENVLYIVENINVAAIETTLWSMEWAIAELVNHPTVQRKIRDEIRAVLKGSPVTESNLHELPYLQATIKETLRLHTPIPLLVPHMNLEEAKLGGFTIPKESKVVVNAWWLANNPEWWEKPSEFRPERFLEEERDTEAIVGGKVDFRFLPFGVGRRSCPGIILAMPILGLIVARLVSNFEMIAPPGMEKIDVSEKGGQFSLHIASHSTVVFKPTKA >Potri.005G248700.1.v4.1 pep chromosome:Pop_tri_v4:5:24265648:24266844:-1 gene:Potri.005G248700.v4.1 transcript:Potri.005G248700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248700.v4.1 MALPNFNALRDLHNSANDLLHSPEIQQVLVNQKQEKWLHEVSESSLRMLDVCGISKDVLLLVKEHLLDLQFTLRRKRVSKPDISTKIAAYNFYRKKLKKETMKCLKSLKGMKGKSVTSDISNVDHSIIVVVEVLREVRVTTITIVESLLSLISIPWLDQGSSKGSFIRSTFLRSSGQSSYDFCDETALHTADKRLEAVEIAVEDLEVELECMFRRLIQTRVLLLNILTN >Potri.008G124400.3.v4.1 pep chromosome:Pop_tri_v4:8:8080640:8086813:-1 gene:Potri.008G124400.v4.1 transcript:Potri.008G124400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124400.v4.1 MATTSTIQSDIRSALHATNIIISNPSLILSRATSSSACWSFSPRPLISSHYRISTPESFRVRAFSTESTEPVVKVPDKPPICTADELHYVSVSNSDWRLALWRYHPSPQAPPRNHPLLLLSGVGTNAVGYDLSPGSSFARYMSDQGFETWILEVRGAGLSIQGSTPKDVHQSAHEVSEEMEAVAKSVTNGTLSVDQQPSNVPSPPSDSRVSLVEEDSHLAGIVSVWDESKLVTKLTEIFMRLSERLSGFLSESELKIMFAELVDQISKLLVDSQLSERMNEIRGKLLSLLEARQNSAIAGQFRDLSQGLVNVIEEGQKSVSPQLFDLQERLSSIIEDFQKQLDLIVKYDWDFDNYLEEDVPAAMEYIRALTKPKDGKLLAIGHSMGGILLYAMLSRCGWDGRDSGLAATVTLASSLDYTPSNSRLKLLLPLTLLRLLMYLLFLWEQCCQQHILSQLALLMSCLGLMISYRHGT >Potri.008G124400.1.v4.1 pep chromosome:Pop_tri_v4:8:8080638:8086864:-1 gene:Potri.008G124400.v4.1 transcript:Potri.008G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124400.v4.1 MATTSTIQSDIRSALHATNIIISNPSLILSRATSSSACWSFSPRPLISSHYRISTPESFRVRAFSTESTEPVVKVPDKPPICTADELHYVSVSNSDWRLALWRYHPSPQAPPRNHPLLLLSGVGTNAVGYDLSPGSSFARYMSDQGFETWILEVRGAGLSIQGSTPKDVHQSAHEVSEEMEAVAKSVTNGTLSVDQQPSNVPSPPSDSRVSLVEEDSHLAGIVSVWDESKLVTKLTEIFMRLSERLSGFLSESELKIMFAELVDQISKLLVDSQLSERMNEIRGKLLSLLEARQNSAIAGQFRDLSQGLVNVIEEGQKSVSPQLFDLQERLSSIIEDFQKQLDLIVKYDWDFDNYLEEDVPAAMEYIRALTKPKDGKLLAIGHSMGGILLYAMLSRCGWDGRDSGLAATVTLASSLDYTPSNSRLKLLLPLADPAQALNVPVVPLGAMLSAAYPLSTRPPYVLSWLNDFISARDMMHPELLEKLVLNNFCTIPAKLLLQLTTAFQNRGLCDRSGKFFYKEHLHKSNVPVLAIAGDQDLICPPEAVEETVRLFPEHLASYKVFGEPGGPHYAHYDLVGGRKAVEQVYPCIIEFLSRCDLT >Potri.008G124400.5.v4.1 pep chromosome:Pop_tri_v4:8:8080638:8086813:-1 gene:Potri.008G124400.v4.1 transcript:Potri.008G124400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124400.v4.1 MATTSTIQSDIRSALHATNIIISNPSLILSRATSSSACWSFSPRPLISSHYRISTPESFRVRAFSTESTEPVVKVPDKPPICTADELHYVSVSNSDWRLALWRYHPSPQAPPRNHPLLLLSGVGTNAVGYDLSPGSSFARYMSDQGFETWILEVRGAGLSIQGSTPKDVHQSAHEVSEEMEAVAKSVTNGTLSVDQQPSNVPSPPSDSRVSLVEEDSHLAGIVSVWDESKLVTKLTEIFMRLSERLSGFLSESELKIMFAELVDQISKLLVDSQLSERMNEIRGKLLSLLEARQNSAIAGQFRDLSQGLVNVIEEGQKSVSPQLFDLQERLSSIIEDFQKQLDLIVKYDWDFDNYLEEDVPAAVR >Potri.008G124400.4.v4.1 pep chromosome:Pop_tri_v4:8:8080627:8086813:-1 gene:Potri.008G124400.v4.1 transcript:Potri.008G124400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124400.v4.1 MATTSTIQSDIRSALHATNIIISNPSLILSRATSSSACWSFSPRPLISSHYRISTPESFRVRAFSTESTEPVVKVPDKPPICTADELHYVSVSNSDWRLALWRYHPSPQAPPRNHPLLLLSGVGTNAVGYDLSPGSSFARYMSDQGFETWILEVRGAGLSIQGSTPKDVHQSAHEVSEEMEAVAKSVTNGTLSVDQQPSNVPSPPSDSRVSLVEEDSHLAGIVSVWDESKLVTKLTEIFMRLSERLSGFLSESELKIMFAELVDQISKLLVDSQLSERMNEIRGKLLSLLEARQNSAIAGQFRDLSQGLVNVIEEGQKSVSPQLFDLQERLSSIIEDFQKQLDLIVKYDWDFDNYLEEDVPAAVRWSI >Potri.011G042600.1.v4.1 pep chromosome:Pop_tri_v4:11:3322178:3323569:-1 gene:Potri.011G042600.v4.1 transcript:Potri.011G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042600.v4.1 MEENENLSWELNSNTISFLIIEIMTSINLSFNPLFSCIITLYTLILLYFPQALKLSISPILTITLTILLFVLRLGAIQRHQLSVTESDKAIQIKQDKGTHFGEASSSSFLTHVDKWVASQSADPGRFDPDPNLDFEVSFVEWDVRAPLKVINEEYEGEEGEDPNEKDAGQDPTRFGGLERYPSLAMCYPETDSDSDSEGGFSVAGEWDSLERFCFKWEEEDREGLLIEIALDSDNKEDTGPDLDTGSNFHVEEDNLIEIDISPAKNDKMFPGEV >Potri.008G028500.1.v4.1 pep chromosome:Pop_tri_v4:8:1503262:1505371:-1 gene:Potri.008G028500.v4.1 transcript:Potri.008G028500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028500.v4.1 MAAEQLVALLFLTLVLIFVNASAIPSNRLKSLNIIKEVNRKGPYVGIITVYPPEENAFFASKAFKPDGKHPFVDLSGRRFRVGKVQGKKVLYVRCGVGMVNAAAATQQMLDMFDITGIVHFGIAGNVNNSMSIGDVTIPKQFAHTGIWDWLNPNGTLPTNDIAELDFGSYNVPKGDGMNLLGKIGYSYEQFFSESGKPNTAIPLFWAQISEQWLQLAAGLEGMELERCVNSSVCLSRKPKLVVGLRGSTANIFVDNAAYRDFLYQTYAVSSVDMESSAVVMTSLSNGYPVIVIRGLSDLAGGQTGQNAIQTFGPLAARNAAQAVVKFIGYLS >Potri.013G115800.1.v4.1 pep chromosome:Pop_tri_v4:13:12453190:12456574:1 gene:Potri.013G115800.v4.1 transcript:Potri.013G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115800.v4.1 MNFCFLLLLQLPLLLVFTTTSTANIPPGSSLYASNTSQTWSSPNNTFFLGFTQVDPTSYTVSISHSTAGVSIWTTGNVVAGTASAAVIDYGVEFQFLRNGNLRLINGSGAPVWTSNTGNLGVTSASLDDTGNLVLKAGSINVWSSFENPTDTLVPSQNLTVNQTLRSGVYSFRLLSSGNMSLTWNDSVVYWNQGLSSALKLTSPTLQLKSNGILTLLDAGSQMSVAFGNDYGEGGEVMRFLRLGSDGNLRMYSGGTTTMTWAALADQCQVYGYCGNMGICSYNESSLSPICKCPSLNFEAVDVNDSRKGCKRKVEVADCAGNVTMLELKQTKFLTFQAQQIVTIGITACRVNCLSSTSCMACTSTSDTYVLYCYMKNTPDFVSGYQGPVLLSTSYIKVCGPVQPNPLPSDQIGGDKKFRESRGWKVGVLVTGTIWGLAALVGLFWWFCCKNSPKFGGVWAQYTLLEYASGAPVQFSYKDLHRWTQGFKHKLGAGGFGAVYRGVLANRTVVAAKQLEGIEQGERQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDHFLFSTEDQSGKLLNWKRRFNIALGTARGITYLHEECRDCIVHCDIKPENILLDANFNAKVSDFGLAKLISTKDQRYRSLTTIRGTRGYLAPEWLANLPITSKSDLYSYGMVLLEIVSGRRNFEVSAEINQKRFSEWAYEEFEKGNVETIVDKRLADQGVDMEQVMRAVQVSFWCIQEHPSQRPTMGKVVQMLEGIIEIARPPAPKALMKGSTSGTSTNLSSKDSAQSTCEASAPPPSSSSSI >Potri.013G149000.1.v4.1 pep chromosome:Pop_tri_v4:13:14552630:14554944:1 gene:Potri.013G149000.v4.1 transcript:Potri.013G149000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149000.v4.1 MDFNLENPLANSHDFHFDTIPSDLFLIESDHMPSNNYLNTLKEMDFDGSFRREAISSVLRVSCNFDPSLSYLAVNYLDRLLSSQGIPQPKPWLFRLLAVACVSLAAKMKEAEFCISDTQGDGGFVLDTQTIQKMEVLILGALNWRMRSITPFSFISFFISLFKPKDPPLRQALKARASEIIFKAQNDINLLEFKPSLIAASALLYASHELFPMQFLCFRKAISNCSHVNKENLLQCYNAMQEIAMDGYRSQFDMVSSSDTPVNVLDQHFSSSESEKTNGTIETISTNSSNKIWAEKDIKRRKISAF >Potri.017G001000.4.v4.1 pep chromosome:Pop_tri_v4:17:66720:70108:-1 gene:Potri.017G001000.v4.1 transcript:Potri.017G001000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001000.v4.1 MIFKNTRSKAVQKTLQSVFSVQKLEEHCCFVFFHAFSHYIFHAKMSTNPSCPDNRIPYVVRKCIALLQICATSKFKLKQIHAFSIRHGIPVTNLDMGKHLIYTAVSVSVPMNYAHNIFTQIQSPNVFTWNTMIRGYAESENPKSAIELYHHMQLKPDTHTYPFLLKAVSKVVDVKVGEKIHSLVAKNGFESLLFVQNSLLHMYAACGQFESAYKVFELMPEKDIVAWNSVINGFALNGKPNEALTLYKRMGSEGVEPDGFTMVSLLSACAELATLALGGRAHAFMVKVGLNKNLQVNNALLDLYAKCGTISEARKIFDEMGIERNVVSWTSLIVGLAVNGFGKEALEHFKDMEREGLVPSEITFVGVLYACSHCGIVNEGFEYFKRMKEQYDIVPRIEHYGCMVDLLGRAGLLKEAYDYIQDMPLQPNAVIWRTLLGACTIHGHLGLGAFARARLLQLEPKDSGDYVLLSNLYASEQRWSDVHEVRRTMLSEGVRKTPGYSLVELGNHVHEFVMGDRTHPQSEAIYKMLVEMAMKLKLAGYVPHTANVLADIEEEEKESALFYHSEKIAIAFMLINTLPGTPIRIIKNLRVCADCHFAIKLISKVFERDIVVRDCSHFHHFRDGSCSCRDYW >Potri.001G213500.1.v4.1 pep chromosome:Pop_tri_v4:1:22038126:22041649:1 gene:Potri.001G213500.v4.1 transcript:Potri.001G213500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213500.v4.1 MSSIQILLSVFFLIIFSSCLPTTQNKIPKLGAFQKNIHRTEPQTSSKSSSKDLVTFYYTQTLDHFNYKPESYTTFRQRYVIDFRYWGGANTSAPIFVFFGAEEDLDDDLDAIGSLSDNAPHFKALLIYIEHRYYGKSIPFGSRKEALKNAETLGYLNSAQAMADYAAVIMHLKKKYSAKNSPVIVIGGSYGGMLTSWFRLKYPHIALGALASSAPILYFDDISPQEGYYSIVTKDFKETSESCYNTIRKSWGEIEKIASKPNGLSILSKKFKTCYPLNRTFELEDFLDSIYCEAAQYNHPPEFPVSIVCGGINKASAARTDILDRIFAGVVAYMGNRSCYDMNEFNYPDTIYEWRWQTCSEIVMPIGHESNSMFPPAPFNLNNYIKDCKSLFGVLPQPHWITTYYGGHDIKLILQRFGSNIIFSNGLRDPYSSGGVLNNISDSIVAVSTVNGSHCLDIQRANPSTDPDWLVMQREKEVKIIEGWISKYYTDLLEVKDQTPF >Potri.014G110900.2.v4.1 pep chromosome:Pop_tri_v4:14:7421955:7426599:1 gene:Potri.014G110900.v4.1 transcript:Potri.014G110900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110900.v4.1 MKQVQVLSLFVFTLIGNVLSGSNHVFQDLKDRQGVLSFLEYYAAVSPSSSVTDKPLMVGLTLIQGADSSGAVCLDGTLPGYHLDRGSGTGKDSWLVQLEGGGWCDTIRNCVYRKTTRRGSSKLFEKQLPFTGILSDKAEENPDFFNWNRVKVRYCDGASFSGDSQNEASQLYFRGQRIWSAAMEYLMAEGMQNATQALLSGCSAGGLASIIHCDEFRELFPQSTKVKCLSDAGMFLNAMDISGGHTLQNFYSGVVSLQEVQKSLPSTCIDHLDPTSCFFPQNLVAAVRTPLFLLNSAYDVWQLRSSLAPPSADPHGTWKECRQNNAQCNSSQIQFLQEFRNQMLDAIKVFSSSNQNGLFINSCFAHCQSERQDTWFADDSPRIGNKRIAQSVGDWYFDREDVKAVDCPYPCDNTCHNLVFK >Potri.014G110900.1.v4.1 pep chromosome:Pop_tri_v4:14:7421839:7427485:1 gene:Potri.014G110900.v4.1 transcript:Potri.014G110900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110900.v4.1 MKQVQVLSLFVFTLIGNVLSGSNHVFQDLKDRQGVLSFLEYYAAVSPSSSVTDKPLMVGLTLIQGADSSGAVCLDGTLPGYHLDRGSGTGKDSWLVQLEGGGWCDTIRNCVYRKTTRRGSSKLFEKQLPFTGILSDKAEENPDFFNWNRVKVRYCDGASFSGDSQNEASQLYFRGQRIWSAAMEYLMAEGMQNATQALLSGCSAGGLASIIHCDEFRELFPQSTKVKCLSDAGMFLNAMDISGGHTLQNFYSGVVSLQEVQKSLPSTCIDHLDPTSCFFPQNLVAAVRTPLFLLNSAYDVWQLRSSLAPPSADPHGTWKECRQNNAQCNSSQIQFLQEFRNQMLDAIKVFSSSNQNGLFINSCFAHCQSERQDTWFADDSPRIGNKRIAQSVGDWYFDREDVKAVDCPYPCDNTCHNLVFNDVVSNITFSQSTRLTSTPLNLLIVFLVSLCSISTTGFKFVR >Potri.001G432400.3.v4.1 pep chromosome:Pop_tri_v4:1:45794681:45795669:1 gene:Potri.001G432400.v4.1 transcript:Potri.001G432400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432400.v4.1 MDEVQEREKKEEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEVCQVLVGVPFGLYSSKCSIIHTTISQRKYGFFILKLYLYFPWYRMSI >Potri.001G432400.2.v4.1 pep chromosome:Pop_tri_v4:1:45794549:45797760:1 gene:Potri.001G432400.v4.1 transcript:Potri.001G432400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432400.v4.1 MDEVQEREKKEEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPQPELTPLCL >Potri.001G432400.4.v4.1 pep chromosome:Pop_tri_v4:1:45794549:45797760:1 gene:Potri.001G432400.v4.1 transcript:Potri.001G432400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432400.v4.1 MDEVQEREKKEEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEDIRTAQMNCMII >Potri.012G131800.1.v4.1 pep chromosome:Pop_tri_v4:12:14642672:14651452:1 gene:Potri.012G131800.v4.1 transcript:Potri.012G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131800.v4.1 MTEFYRRMLNDDGDDSPEKCRERRRRRIEMRRLASGGSSQPIDPLTSKEKKQAAAGESSSSTSTEGKRVVETVCEIPVAEPVFGSMSVSGRSREMEDAISVRINFFQPEVNRRRPVHLFGVFDGHGGAHVAALCRERMHVLIEEELARVDSTRVSSESGGGGAEWEEMWRGVMKRSYERMDEVAMGTCACGSEWFKCGCHPMQMALGGSTAVVAVLSPEHIIVANCGDSRAVLSRGGRAIPLSVDHKPDRSDELARIEAAGGRVIFLNGARVEGILAMSRAIGDKYLKPVVIAEPEITFTKREPEDECLILASDGLWDVLSSDLACQVARECLREKNPPAKAGPQIEEEGAGALYPSRSMLAAALLTRLALGRRSADNISVIVVDLKRS >Potri.012G131800.3.v4.1 pep chromosome:Pop_tri_v4:12:14642645:14650944:1 gene:Potri.012G131800.v4.1 transcript:Potri.012G131800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131800.v4.1 MTEFYRRMLNDDGDDSPEKCRERRRRRIEMRRLASGGSSQPIDPLTSKEKKQAAAGESSSSTSTEGKRVVETVCEIPVAEPVFGSMSVSGRSREMEDAISVRINFFQPEVNRRRPVHLFGVFDGHGGAHVAALCRERMHVLIEEELARVDSTRVSSESGGGGAEWEEMWRGVMKRSYERMDEVAMGTCACGSEWFKCGCHPMQMALGGSTAVVAVLSPEHIIVANCGDSRAVLSRGGRAIPLSVDHKPDRSDELARIEAAGGRVIFLNGARVEGILAMSRAIGDKYLKPVVIAEPEITFTKREPEDECLILASDGLWDVLSSDLACQVARECLREKNPPAKAGPQIEEEGAGALYPSRSMLAAALLTRLALGRRSADNISVIVVDLKRS >Potri.012G131800.2.v4.1 pep chromosome:Pop_tri_v4:12:14642632:14652188:1 gene:Potri.012G131800.v4.1 transcript:Potri.012G131800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131800.v4.1 MTEFYRRMLNDDGDDSPEKCRERRRRRIEMRRLASGGSSQPIDPLTSKEKKQAAAGESSSSTSTEGKRVVETVCEIPVAEPVFGSMSVSGRSREMEDAISVRINFFQPEVNRRRPVHLFGVFDGHGGAHVAALCRERMHVLIEEELARVDSTRVSSESGGGGAEWEEMWRGVMKRSYERMDEVAMGTCACGSEWFKCGCHPMQMALGGSTAVVAVLSPEHIIVANCGDSRAVLSRGGRAIPLSVDHKPDRSDELARIEAAGGRVIFLNGARVEGILAMSRAIGDKYLKPVVIAEPEITFTKREPEDECLILASDGLWDVLSSDLACQVARECLREKNPPAKAGPQIEEEGAGALYPSRSMLAAALLTRLALGRRSADNISVIVVDLKRS >Potri.011G163500.1.v4.1 pep chromosome:Pop_tri_v4:11:18853767:18857996:-1 gene:Potri.011G163500.v4.1 transcript:Potri.011G163500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163500.v4.1 MWSNNNNDKNSPSRGFWTPPASWRSQQSPEVVMMPMSERKERVSHPNCKRDLFHVVHKVPAGDSPYVRAKHVQLIEKDPSKAVSLFWAAINAGDRVDSALKDMAVVMKQLDRADEAIEAIKSFRHLCPCDSQESIDNVLVELYKRSGRIEEEIEMLQCKLKLIEEGIAFSGKKTKTARSHGRKIQITVEQERSRILGNLAWAYLQHHDYGLAEQHYRKALSLEPDQNKQCNLAICLMHMNRIPEAKSLLQTVKALSGSKPMDDSYAKSFERACQILAEFESHSRDNPTEQNEDHQRSLTLPTTRNLKQVAGSPNGDPFVSGFIDSIKCTGGFNEERMLADEQNRRYYWQNRSENEKNLFVYNNGSSHCISSELSGPQSSLQTAADNSRRRGSYFESPVERLGFVSKMKENRFSFTETGPASTQKKTFTSPALFTQPRRCSLGFDKGDQIKIRWGEDTVETKKENAIRNLSEVLLGLSDDHTSWKRVQENGGQRKSAMEDSNTCLKCLTEQTAFIDNAGVLNASTDCDWDQPADTSGSKSLGQDRILKSRRMSWADMVEEEEGEEQELFTADLGQGFNSWNYEEASNDENLNANIVHQNSYQKFQQGICQKLEAVDLQDGMGTSMNAVSSRNPTARRSLSYESAEDANTKRRNRLQVFRDITPTPDIVHELESKYISCEYNSVMFECLNCS >Potri.005G122550.2.v4.1 pep chromosome:Pop_tri_v4:5:9079589:9080972:1 gene:Potri.005G122550.v4.1 transcript:Potri.005G122550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122550.v4.1 MSEVLPVNIKGLAGSVATLAGVLACNNDCKLAHDLEQCRDLHYLHGGQCFHCHFCVSGSLKAKEEF >Potri.005G122550.1.v4.1 pep chromosome:Pop_tri_v4:5:9079589:9080972:1 gene:Potri.005G122550.v4.1 transcript:Potri.005G122550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122550.v4.1 MRWNYSQIVSVLPVNIKGLAGSVATLAGVLACNNDCKLAHDLEQCRDLHYLHGGQCFHCHFCVSGSLKAKEEF >Potri.001G081000.1.v4.1 pep chromosome:Pop_tri_v4:1:6428067:6429341:-1 gene:Potri.001G081000.v4.1 transcript:Potri.001G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081000.v4.1 MGFGFIELIDSVETATNICRDLQGTVLDGHAHILQLCHAQKDEHAVKKAGKDKSSTKLLVRNVAFEATEKDLTQLFSPFGQVLERAKEGESLEELRARSLARLAAQFTEEQNGFQNPAKLSKKRKDVTNLDQESMKFWRITD >Potri.010G002300.1.v4.1 pep chromosome:Pop_tri_v4:10:237682:240899:-1 gene:Potri.010G002300.v4.1 transcript:Potri.010G002300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002300.v4.1 MPALSRGLISRLHQILSLNSRTTQSASPPIATTQLYLLRRFSSDALVDGSEIQYQQPSRIIEAKPGVMTPNSKRTGVIAVKCGMTALWDKWGARIPITVLWVDDNIVSQVKTVEKEGFFALQVGCGQKKEKHLTKPEVGHFRAQGVPMKRKLREFPVTENALLPVGTCIGVRHFVPGQFVDVAGITMGKGFQGGMKRHGFKGGPASHGASLSHRSIGSTGQRDAPGKVFKGKKMPGRMGGVQRTVKNVWVYKIDPARNLMWVKGQVPGAEGNFVFIKDSVFKKPDIQILPFPTYFETEDDDNSEPLVADLGEVDPFMVAD >Potri.010G002300.2.v4.1 pep chromosome:Pop_tri_v4:10:237682:240851:-1 gene:Potri.010G002300.v4.1 transcript:Potri.010G002300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002300.v4.1 MPALSRGLISRLHQILSLNSRTTQSASPPIATTQLYLLRRFSSDALVDGSEIQYQQPSRIIEAKPGVMTPNSKRTGVIAVKCGMTALWDKWGARIPITVLWVDDNIVSQVKTVEKEGFFALQVGCGQKKEKHLTKPEVGHFRAQGVPMKRKLREFPVTENALLPVGTCIGVRHFVPGQFVDVAGITMGKGFQGGMKRHGFKGGPASHGASLSHRSIGSTGQRDAPGKVCISLLE >Potri.010G228550.1.v4.1 pep chromosome:Pop_tri_v4:10:21156900:21158755:-1 gene:Potri.010G228550.v4.1 transcript:Potri.010G228550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228550.v4.1 MERGRFVMEEDSKGSPLGLEKRVESTNKSRKTLQDKQLTTARVREMRGHVVAADHMFWQRGSWFRVVFGDWAPLNGTLKQSSKRTREDMREGQEEFSVCLKHNSFSANEIFSNAKGFSSLVPGWLENS >Potri.005G011100.1.v4.1 pep chromosome:Pop_tri_v4:5:925049:928402:1 gene:Potri.005G011100.v4.1 transcript:Potri.005G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011100.v4.1 MPPKSTPKSKPNQNPHFKPGSKVEIMSEEEGFRGSFYTGTVVKATRTSKFIVEYDKLFEDEEGTKPLQETVNEFQIRPIAPREKKREFKFSEEVDAFHNDGWWEGVITEVNEDGNFAVFFRSTKEQIEFGEEDLRLHREWVNGAWKPTLEGEEEEEVKEKENEGSRNKRKLVEEEVTKEVKRRVNKKVPDTTQEKPIESPKDAKFSKGMLVEVSSDEDGFKGAWFAATIVEPVGKDKYLIEYQTLRTEDDSDFLREEIDTVHIRPHPPQTIIIDRFKKLEEVDALYNDAWWVGVVSKVNTFPKYSVFFKDSNEELEFQHSDLRPHQDWINGKWVTPSKALKL >Potri.003G208600.1.v4.1 pep chromosome:Pop_tri_v4:3:20748946:20750580:1 gene:Potri.003G208600.v4.1 transcript:Potri.003G208600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208600.v4.1 METVGTSSTDDEPSRHQVSLDIETLAKSVKAELEISYAFSDTCCIYKVPEQLREVNEKAYTPRLVSIGPIHHAKEKLKAMEDHKRMYLKEFLARSEVSVEGFIEFIKENETRLRNCYAETIEFNSEYFIKMILMDAAFVIMFLLKCKNKDFSGSRDSIFYPPHKSVDVRVDICLLENQLPFFILEELCGLSTILGNSPKPTLIELTHGFFSKEWGSWAVGEYWGKIDFSEVKHLVDFLTIYQQPPQQQQNEELEVLTAPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEIPRLQLDDSTEIIIRNMQAFEQCHGLYGYVGDYIFLMGLFVSASKDVEMLVENRIIENWLPSNEEVVQLFYNLNKQNSVRGRFFLFKGLIKDLNAFCEKPWNKWKANLKQNYFNTPWAAISVSGAVILLILTVLQSVCSILQVG >Potri.003G208600.4.v4.1 pep chromosome:Pop_tri_v4:3:20749481:20750602:1 gene:Potri.003G208600.v4.1 transcript:Potri.003G208600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208600.v4.1 MILMDAAFVIMFLLKCKNKDFSGSRDSIFYPPHKSVDVRVDICLLENQLPFFILEELCGLSTILGNSPKPTLIELTHGFFSKEWGSWAVGEYWGKIDFSEVKHLVDFLTIYQQPPQQQQNEELEVLTAPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEIPRLQLDDSTEIIIRNMQAFEQCHGLYGYVGDYIFLMGLFVSASKDVEMLVENRIIENWLPSNEEVVQLFYNLNKQNSVRGRFFLFKGLIKDLNAFCEKPWNKWKANLKQNYFNTPWAAISVSGAVILLILTVLQSVCSILQVG >Potri.004G092600.1.v4.1 pep chromosome:Pop_tri_v4:4:7864993:7866504:1 gene:Potri.004G092600.v4.1 transcript:Potri.004G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092600.v4.1 MKIRRQSFEPDGSGSIKIEAVDLDDWWVLYNLIVPGDAVMANTVRKVSKDGRVKKSSGQIKLKLEIKVEAVDYDKEESVLRIRGKNELENDFVKIGAFHSLELELNQPFILFKEHWDAVALDVMNEAAFDSASGLASIFLVWRSITTACARIEASIPRGKNGVTASNKFFEHVLKAFVKHVDFNVVRCAVIASPGDIKDQFYRYLLLEAERRLELKLIIKNESRFVLAQTSSGNKHALEDVLGAPNVMNLIKDTKAAQEIRDLKDFSDMLLNDSARACYGPKHVEFAQEEYMATQTLLIKDDLFRNNADIPTRRKFAHLVKSVKDSGGTARIFSSMHVSGEQLAMYSGIAAVLRFPLPDLEHIEV >Potri.008G075500.2.v4.1 pep chromosome:Pop_tri_v4:8:4678052:4679416:1 gene:Potri.008G075500.v4.1 transcript:Potri.008G075500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075500.v4.1 MNLPLLLLCFFLWKVLPPQQTTNTRCHTCFPVDYEFTIIAWLVDISVDFLLRYTHSEDTTTYAGVMWEAFGRVGSVSVQGCVMITIPGCLIIYLIIFGDVSSGNVHDGSMHLGVLQEWFGILVLLRSSSLLFLFTLPLVLFRRVGKFIFSSFYF >Potri.016G013200.3.v4.1 pep chromosome:Pop_tri_v4:16:706482:708509:1 gene:Potri.016G013200.v4.1 transcript:Potri.016G013200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013200.v4.1 MAIVSHPTLLNGTRLFVSNSPPKLTPQARNSYSPYYYFSSRHRDLLPLVPSNAKTSSGSGEEDNPAVETVLKLYTSIKNKNIRELSDIIGDECRCVCNFFSFFQPFQGKQQVLNFFKILIKFLGNNFEFVVQPTFHDGLNVGVAWRLNWSKTHVPFGKGFSFYILQVYQGRIVIRNVEMFMEPLLHIEPFRLKMMGFAMSIVEKMSSCKLSRDKVKKAVLVMVLLAVILIFLMPGMY >Potri.009G049400.3.v4.1 pep chromosome:Pop_tri_v4:9:5481624:5489770:1 gene:Potri.009G049400.v4.1 transcript:Potri.009G049400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049400.v4.1 MPFSPTSPSSSSSLSTLQSSTPALFSSLKPHSHLFPTSSFSYAFNPKKRLSHTRRFRVVAANVTLQSGNGAVAVDATPNTATEKLDSSYYGRQYFPLAAVVGQDAIKTALLLGAIDREIGGIAISGKRGTAKTVMARGLHEVLPPIDVVMGSIANADPVCPEEWEDGLAEQVEYDSDGNIKTQVVRSPFIQIPLGVTEDRLIGSVDVEESVKTGTTVFQPGLLAEAHRGVLYVDEINLLDEGISNLLLNVLTEGVNIVEREGISFRHPCKPLLIATYNPEEGAVREHLLDRIAINLSADLPMNFEDRVAAVGIATQFQEHINEVFKMVEEETEYAKTQIILAREYLKDVTIGREQLKYLVLEAIRGGCQGHRAELYAARVAKCLTALEGREKVTVDDLKKAVELVILPRSIINEKPPEQQDQQPPPPPPPQNQDSGDEQNEEEDQKDDDKENEQQQEQIPEEFIFDAEGGFVDEKLLFFAQQAQRRRGKAGRAKNVIFSEDRGRYIKPMLPKGPVKRLAVDATLRAAAPYQKLRKEKDTQKSRKVYVEKTDMRAKRMARKAGALVIFVVDASGSMALNRMQNAKGAALKLLAESYTSRDQVAIIPFRGDAAEVLLPPSRSISMARKRLERLPCGGGSPLAHGLTTAVRVGLNAEKSGDVGRIMIVAITDGRANISLKRSTDPEAAGPDAPRPSTQELKDEILEVAGKIYKAGMSLLVIDTENKFVSTGFAKEIARVAQGKYYYLPNASDAVISATTKEALSALKSS >Potri.006G115200.2.v4.1 pep chromosome:Pop_tri_v4:6:8971977:8974012:-1 gene:Potri.006G115200.v4.1 transcript:Potri.006G115200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115200.v4.1 MDFHLKQWRNQHEESGQQPSAKMPKLLMDPHQPQQHPHSSGSAAFPLFLPEPSCKNSNLSAFPDSNTAANTRLPKIMGNYFSLEQWQELELQALIYRFMLAGAAIPPELLQPIKKTLLHSHPPPYFLHHPLQLHCSYYQPSLLQTGYWGRAAMDPEPGRCRRTDGKKWRCSRDVVAGHKYCERHLHRGRNRSRKPVENPTPTISTNITCIGIGGAGGTASAAAFNCSTTPTISEVVNETHFSHTLESPSIHLNHSSKTESKGLIGPPPPNEVGNRSDGHILWHFFDDWPRSVDESDNMNAGSSMNSLTCLSVSMPGNSPASDVSLKLSTGNNIAEEEPEPVPAPIPRGNTSNWAAAGWGTKITNQVVTSMGGPLAEALRSSTTKLISHECSAPVMSPHCF >Potri.001G293700.1.v4.1 pep chromosome:Pop_tri_v4:1:30542010:30543702:1 gene:Potri.001G293700.v4.1 transcript:Potri.001G293700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293700.v4.1 MELTSMTPTFKISLLNPGRQARSYVSYLITPLSCLSSPDQMTPAKTLFSSLKKSNILYGDNANTIKNIPIPTMTEILAASKAQNLDIKLQTLGPFFRITAKSLETQNELGRAEGLIRLWLKDKILHLDSIRLKRETLVMEKSIFGIGLFIGAVAIRYGYDFGCKTAELLAINDSDLYHFKLLRFYKRIGFKTVYEVTGSTVGDLPHMLVWGGIGTRMDVDVEDLLINWCARFKSRE >Potri.006G282800.1.v4.1 pep chromosome:Pop_tri_v4:6:27236593:27239342:-1 gene:Potri.006G282800.v4.1 transcript:Potri.006G282800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282800.v4.1 MELPEEMSRLNSLQELVLGGCSNLDSLNMELEHHQGRNLLQSDVIIASASYITSLPLKLFFPSRFSTRKMLRFTLFSLPRFLESLDLSGTPIRYLPESIRNLGPLRHLYLRNCKMLQALPELPSHLWLLDVSFCNSLQRLANPNNWTQVEGCDHLVEFQVWMKQELIQKFDSHMFRILETARAQIQPSRFQITFFDGIFNVVVYVFDEDEMLRRFDGEEEEDKWLIQNEFADNFSFKISSPPPAHRICGFNLFTRFSVTSAYRGFSYVYLEIRNNTSGGGYMHCQAFVYHMSYARDVREFQLLMHTKLRGNDPTFDNGDDVSISVRPHGPAIQIKAIGVQWLHEEEGNDDDIQSKDDDAHVTKVEIASRIFRNYYCAFHGKYSHRNIGWWYFAKKGLEIVLF >Potri.014G105101.2.v4.1 pep chromosome:Pop_tri_v4:14:7065349:7068442:1 gene:Potri.014G105101.v4.1 transcript:Potri.014G105101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105101.v4.1 MAQNRPFQMAVGGGNSRQYNDTTYTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAVRACQNPSPVIDGRRANCNLASLGGQKNRPPTPQHGTGRFRPVHGLVAPPTFPGSSAPYIYQPSGQYSFPYSAYGYAGYSQDAMYPLSYYGVYGGQQFSPYYTTGGASGTPGMFPHNLYPFYTQYAQSSQAHGFGIQYPQMVQYPYSPQQHGSTGTLSLPSSIAMATTTAGAATMTMTATTTAIAVAAPTATTVVVGTGPGALEASGAATEQNSSTE >Potri.014G105101.1.v4.1 pep chromosome:Pop_tri_v4:14:7065357:7068443:1 gene:Potri.014G105101.v4.1 transcript:Potri.014G105101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105101.v4.1 MAQNRPFQMAVGGGNSRQYNDTTYTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPEAAVRACQNPSPVIDGRRANCNLASLGGQKNRPPTPQHALCRETTRRKGANALLSSIPKTCTGRFRPVHGLVAPPTFPGSSAPYIYQPSGQYSFPYSAYGYAGYSQDAMYPLSYYGVYGGQQFSPYYTTGGASGTPGMFPHNLYPFYTQYAQSSQAHGFGIQYPQMVQYPYSPQQHGSTGTLSLPSSIAMATTTAGAATMTMTATTTAIAVAAPTATTVVVGTGPGALEASGAATEQNSSTE >Potri.004G205300.1.v4.1 pep chromosome:Pop_tri_v4:4:21337538:21339095:1 gene:Potri.004G205300.v4.1 transcript:Potri.004G205300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205300.v4.1 MTNHNTDPDPDFGLPSSSSIPTTYYHQNPRKKRTKLIKIEPSLLPSSTISKPKYYKKPDPSAPKITRPCTECGKKFWSWKALFGHMRCHPERQWRGINPPPNYRRPVSPIQLLSIVSSTNWEDMLTAEDHEVASCLLMLANSDGAIMLERNEFGGGVVAGSSHQAQDHDQVNCTRVECSSCEKVFGSHLALGGRRASHKNVKGCFAIKRNDGCEVVEDHSGSGDVKENVEDNSKALMVLGHRCSICSRVFPSGQALGGHKRCHWEKGEEISSSINQGGLHVLTEKEGSVLDLNLPAPVEDESSSFYSSGLTLDLRLGL >Potri.004G003101.2.v4.1 pep chromosome:Pop_tri_v4:4:197120:198396:-1 gene:Potri.004G003101.v4.1 transcript:Potri.004G003101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003101.v4.1 MSQLRVLLQEPEREAITILALLGDHMDGVDSSRRRSRRMSLKERLGLRGLGCCGATWGFSPTTIVTNDDLDIDEEREEIEAVVNTGQEEEEEEERVSDPGCLDPNPSPNQQHSSGMNLAAALAAERQFREAGSNIMEPTSVTDVGTANVGLTGTPLRVSLMRLLEESTEGGGGGEGVITETTVGNDTVCCVCMGRKKGAAFIPCGHTFCRVCSRELWLNRGSCPLCNRSILEILDIF >Potri.018G089200.1.v4.1 pep chromosome:Pop_tri_v4:18:10832861:10834752:-1 gene:Potri.018G089200.v4.1 transcript:Potri.018G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089200.v4.1 MESGLKVLWVLSVVLLVSNWQHWTYGKAVPQVPCYFVFGDSLFDNGNNNYLSTPAKVNYLPYGIDFDTGASGRCSNGLNIADTIAEQLGFDSYISDFGVGGCTNFLDGVNYGSNGAGILDLTGYLTGELFTMNIQLYNHNITVSRIAKILGSEEVARKYLSQCIYVSDMGHNDYLNNYFLDDYNSSKLYTPEEYAQLLIETYETQLEKLYCSGARKIAVFGLIRVGCMPSNIQKNPNELDASSCAYKLNDDVQIFNDKLRKLLRKLNNRHSDAVFTYINSYEIDSDDQTNTGFTQTRKSCCDVESGSVPCKSLSFPCSNRSDYVYWDGAHFTEAKAWAFGKRAYKRQSPKDAYPYDISELVKLKLDDSDAYDIKHAHL >Potri.010G039800.1.v4.1 pep chromosome:Pop_tri_v4:10:6970520:6973370:-1 gene:Potri.010G039800.v4.1 transcript:Potri.010G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039800.v4.1 MGCSGSSCSLSFKVLLGGIATVVFVMLLLVGALESGATSKMTTSRLNSVQATQNDLKDDHEKDVIGREKLVYNSELDLNYMMSKRRVPNGPDPIHNRRAGNSKRPPGRA >Potri.008G135980.1.v4.1 pep chromosome:Pop_tri_v4:8:9081403:9086725:1 gene:Potri.008G135980.v4.1 transcript:Potri.008G135980.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135980.v4.1 MINSSNFQLKIQEAPLMEPQLAELERLQTRILNRISKLELSLSTQNNNNNNLSACDGGDTTEARLSTILRSNGVNDFAFKKVSSDYYDWPLESRRDVLGAASIDHLCKSIVLVNTQAPSNITDCSDCNNSKYYIVVVQYTARFNAETVKNYLYALNDGKIAKKRFNLRLAPEETSMKLTGYGHNAVTCIGMKTNIPVILDEAILRLNPDFFWLGGGEIDLKLGIRTSEFIDFAKPFIVSCSGT >Potri.001G364500.1.v4.1 pep chromosome:Pop_tri_v4:1:38260218:38265454:1 gene:Potri.001G364500.v4.1 transcript:Potri.001G364500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G364500.v4.1 MASTMLRSRILSIASRTNKPNRRFFCSNKESTISSQSIISDQSAAAGEAPAQAVGSSENKAWNFFKYGIVGALTGATAFAGYASYAHSLDEVEEKTKALRESVNYTAANDASNVEKYQGLLYSTAMTVPAKAVELYLDMRRLLEEHVKGFTEPAAEKLLPDLHPAEQHVFTLVLDLNETIIYSDWKRDRGWRTFKRPGVDDFLQHVGRFYEIVVYSDQLSMYVDPVVERLDTNHFIRYRLSRSATKYQDGKHYRDLSKLNRDPGKILYVSGHAFENSLQPENCVPIKPFKTDEMGEVPLDTALLDLIPFLEYVARNSPSDIRKVLASYERKDVGKEFLERSKDYQRRMQEQRQQGRIWHR >Potri.001G156200.2.v4.1 pep chromosome:Pop_tri_v4:1:13143135:13157676:-1 gene:Potri.001G156200.v4.1 transcript:Potri.001G156200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156200.v4.1 MEDDTGEKSSIVIGLIENRAKEVGMAAFDLRSASLHLSQYIETSSSYQNTKTLLQFYDPVVVIVPPNKFAPDGMLGVSDLVDRFYASAKKVVMARGCFDDTKGAVLIKNLAATEPSALGLDTYYKQYYLCLAAAAATIKWIEAEKGVIVTNHSLLVTFNGSFDHMSIDATSVQNLEIIEPFHSTLLGTTSKKRSLFHMLKTTKTTGGTRLLRANLLQPLKDIKTINTRLDCLDELMSNEQLFFGLSQALRKFPKETDRILCHFCFKPKRVTNEVLGADNARRSQVLISSIILLKTALDALPLLSKALKNAKSFLLANVYKTICENEKYVSIRKRVGEVIDEDVLHARVPFVARTQQCFAVKAGIDGLLDIARRTFCDTSEAIHNLANKYREEFKLPNLKLPFNNRQGFYFSIPQKDIRGKLPSKFIQVLKHGNNIHCSTLELASLNVRNKSAAAECYIRTEVCLEALLNSIREDASALTLLAEVLCLLDMIINSFAYTISTKPVDRYTRPEFTSNGPLAIDAGRHPILESIHNDFVPNNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQIGCYVPARFSTIRVVDRIFTRMGSVDNLESNSSTFMTEMKETAFIMQNVSQSSLIFMDELGRATSSADGFAIAWSCCEHLLSLKAYSIFATHMENLSELATIYPNVRIVHFHVDIKSNRLDFKFQLEDGPRHVPHYGLLLAEVAGLPSSVIEMARSITSKITEKQTKQTEVNCRQYHQLQMVYRVAQRLICLKHSNQDEDSIRQALQNLKEQYINGTL >Potri.001G156200.3.v4.1 pep chromosome:Pop_tri_v4:1:13143135:13157676:-1 gene:Potri.001G156200.v4.1 transcript:Potri.001G156200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156200.v4.1 MEDDTGEKSSIVIGLIENRAKEVGMAAFDLRSASLHLSQYIETSSSYQNTKTLLQFYDPVVVIVPPNKFAPDGMLGVSDLVDRFYASAKKVVMARGCFDDTKGAVLIKNLAATEPSALGLDTYYKQYYLCLAAAAATIKWIEAEKGVIVTNHSLLVTFNGSFDHMSIDATSVQNLEIIEPFHSTLLGTTSKKRSLFHMLKTTKTTGGTRLLRANLLQPLKDIKTINTRLDCLDELMSNEQLFFGLSQALRKFPKETDRILCHFCFKPKRVTNEVLGADNARRSQVLISSIILLKTALDALPLLSKALKNAKSFLLANVYKTICENEKYVSIRKRVGEVIDEDVLHARVPFVARTQQCFAVKAGIDGLLDIARRTFCDTSEAIHNLANKYREEFKLPNLKLPFNNRQGFYFSIPQKDIRGKLPSKFIQVLKHGNNIHCSTLELASLNVRNKSAAAECYIRTEVCLEALLNSIREDASALTLLAEVLCLLDMIINSFAYTISTKPVDRYTRPEFTSNGPLAIDAGRHPILESIHNDFVPNNIFLSEASNMVIVMGPNMSGKSTYLQQVCLIVILAQIGCYVPARFSTIRVVDRIFTRMGSVDNLESNSSTFMTEMKETAFIMQNVSQSSLIFMDELGRATSSADGFAIAWSCCEHLLSLKAYSIFATHMENLSELATIYPNVRIVHFHVDIKSNRLDFKFQLEDGPRHVPHYGLLLAEVAGLPSSVIEMARSITSKITEKAVPSTADGVSCSSAIDMLKALQPRRGFYPASITESQRAVHKWNTLKLVARSNNPPVPGCPN >Potri.006G138500.9.v4.1 pep chromosome:Pop_tri_v4:6:11533640:11541441:1 gene:Potri.006G138500.v4.1 transcript:Potri.006G138500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138500.v4.1 MKVPSNGFLPNFAEGERKCINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYEKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPFSKYNKALYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYIYPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDEFGMKDPPSSIFPGLSLVQWMSMQQNNQFPATQSGLFPSMVPSNALHNNLSTDDPSKVLNFQAPGLSPPSVQLNKTNPQNQVGQLPQPPMAWTQQQQLQQLLQTPINQQQPPYPHQQQQQPPYPHQQQQQQQPISQQQQHQHWPQQQQQPQLQPPQIRQPPPQIQQHQQQQQIFQPPTLNDSVVAPNQIPNQNLQQPVVYSQLQQQQQLLASNTQSQSIPSANKSSYPLTSLPQDSQLHQQMEQQSNLSQRQQQQTQLQQSPLLLIQQNLSQRAQPQQQQQQQLQQLSQPSHSEQQLHFQLLQKLQQHQQLPSPASSVLQSQQLQQQQQQTHQQHQQLQQSPLSQNQQPPLSQNQQPLGSNSFSTAALMQTQSFPMNQPQGLQKPPMAVRARSSITDGEAPSCSTSPSTNNCQISPQNFLNRNHLAPAMLMGDSAIEPASNLVQDLQNKSEIRVKNEFPSSRGLDQLKYKGAVTDQLEASSSGTSYCLDAGNIQQNFSVPTFGLDSDVQSHPRNSLPFASNIDALAPDTLLSRGYDSQKDLQNLLANYGGTTRDIETELSTAAISSQSFAVPNIPFKPGCSNDVAINDTGVLNNGLWTNQTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYNELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVPVPNQACSGTNSGNVWRGQYDDNSAASFNR >Potri.006G138500.1.v4.1 pep chromosome:Pop_tri_v4:6:11533631:11541477:1 gene:Potri.006G138500.v4.1 transcript:Potri.006G138500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138500.v4.1 MKVPSNGFLPNFAEGERKCINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYEKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPFSKYNKALYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYIYPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDEFGMKDPPSSIFPGLSLVQWMSMQQNNQFPATQSGLFPSMVPSNALHNNLSTDDPSKVLNFQAPGLSPPSVQLNKTNPQNQVGQLPQPPMAWTQQQQLQQLLQTPINQQQPPYPHQQQQQPPYPHQQQQQQQPISQQQQHQHWPQQQQQPQLQPPQIRQPPPQIQQHQQQQQIFQPPTLNDSVVAPNQIPNQNLQQPVVYSQLQQQQQLLASNTQSQSIPSANKSSYPLTSLPQDSQLHQQMEQQSNLSQRQQQQTQLQQSPLLLIQQNLSQRAQPQQQQQQQLQQLSQPSHSEQQLHFQLLQKLQQHQQLPSPASSVLQSQQLQQQQQQTHQQHQQLQQSPLSQNQQPPLSQNQQPLGSNSFSTAALMQTQSFPMNQPQGLQKPPMAVRARSSITDGEAPSCSTSPSTNNCQISPQNFLNRNHLAPAMLMGDSAIEPASNLVQDLQNKSEIRVKNEFPSSRGLDQLKYKGAVTDQLEASSSGTSYCLDAGNIQQNFSVPTFGLDSDVQSHPRNSLPFASNIDALAPDTLLSRGYDSQKDLQNLLANYGGTTRDIETELSTAAISSQSFAVPNIPFKPGCSNDVAINDTGVLNNGLWTNQTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYNELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGSRAPATDVVLGARAVDCPTAIPQILSPLLQLLPSDGSIPSSSTLDYIRESGQEAKDP >Potri.006G138500.4.v4.1 pep chromosome:Pop_tri_v4:6:11533588:11541477:1 gene:Potri.006G138500.v4.1 transcript:Potri.006G138500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138500.v4.1 MKVPSNGFLPNFAEGERKCINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYEKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPFSKYNKALYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYIYPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDEFGMKDPPSSIFPGLSLVQWMSMQQNNQFPATQSGLFPSMVPSNALHNNLSTDDPSKVLNFQAPGLSPPSVQLNKTNPQNQVGQLPQPPMAWTQQQQLQQLLQTPINQQQPPYPHQQQQQPPYPHQQQQQQQPISQQQQHQHWPQQQQQPQLQPPQIRQPPPQIQQHQQQQQIFQPPTLNDSVVAPNQIPNQNLQQPVVYSQLQQQQQLLASNTQSQSIPSANKSSYPLTSLPQDSQLHQQMEQQSNLSQRQQQQTQLQQSPLLLIQQNLSQRAQPQQQQQQQLQQLSQPSHSEQQLHFQLLQKLQQHQQLPSPASSVLQSQQLQQQQQQTHQQHQQLQQSPLSQNQQPPLSQNQQPLGSNSFSTAALMQTQSFPMNQPQGLQKPPMAVRARSSITDGEAPSCSTSPSTNNCQISPQNFLNRNHLAPAMLMGDSAIEPASNLVQDLQNKSEIRVKNEFPSSRGLDQLKYKGAVTDQLEASSSGTSYCLDAGNIQQNFSVPTFGLDSDVQSHPRNSLPFASNIDALAPDTLLSRGYDSQKDLQNLLANYGGTTRDIETELSTAAISSQSFAVPNIPFKPGCSNDVAINDTGVLNNGLWTNQTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYNELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVPVPNQACSGTNSGNVWRGQYDDNSAASFNR >Potri.006G138500.11.v4.1 pep chromosome:Pop_tri_v4:6:11533594:11541518:1 gene:Potri.006G138500.v4.1 transcript:Potri.006G138500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138500.v4.1 MKVPSNGFLPNFAEGERKCINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYEKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPFSKYNKALYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYIYPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDEFGMKDPPSSIFPGLSLVQWMSMQQNNQFPATQSGLFPSMVPSNALHNNLSTDDPSKVLNFQAPGLSPPSVQLNKTNPQNQVGQLPQPPMAWTQQQQLQQLLQTPINQQQPPYPHQQQQQPPYPHQQQQQQQPISQQQQHQHWPQQQQQPQLQPPQIRQPPPQIQQHQQQQQIFQPPTLNDSVVAPNQIPNQNLQQPVVYSQLQQQQQLLASNTQSQSIPSANKSSYPLTSLPQDSQLHQQMEQQSNLSQRQQQQTQLQQSPLLLIQQNLSQRAQPQQQQQQQLQQLSQPSHSEQQLHFQLLQKLQQHQQLPSPASSVLQSQQLQQQQQQTHQQHQQLQHSHLCHRISSHLAVTASQQPHLCKHNLFL >Potri.006G138500.8.v4.1 pep chromosome:Pop_tri_v4:6:11533631:11541443:1 gene:Potri.006G138500.v4.1 transcript:Potri.006G138500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138500.v4.1 MKVPSNGFLPNFAEGERKCINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYEKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPFSKYNKALYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYIYPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDEFGMKDPPSSIFPGLSLVQWMSMQQNNQFPATQSGLFPSMVPSNALHNNLSTDDPSKVLNFQAPGLSPPSVQLNKTNPQNQVGQLPQPPMAWTQQQQLQQLLQTPINQQQPPYPHQQQQQPPYPHQQQQQQQPISQQQQHQHWPQQQQQPQLQPPQIRQPPPQIQQHQQQQQIFQPPTLNDSVVAPNQIPNQNLQQPVVYSQLQQQQQLLASNTQSQSIPSANKSSYPLTSLPQDSQLHQQMEQQSNLSQRQQQQTQLQQSPLLLIQQNLSQRAQPQQQQQQQLQQLSQPSHSEQQLHFQLLQKLQQHQQLPSPASSVLQSQQLQQQQQQTHQQHQQLQQSPLSQNQQPPLSQNQQPLGSNSFSTAALMQTQSFPMNQPQGLQKPPMAVRARSSITDGEAPSCSTSPSTNNCQISPQNFLNRNHLAPAMLMGDSAIEPASNLVQDLQNKSEIRVKNEFPSSRGLDQLKYKGAVTDQLEASSSGTSYCLDAGNIQQNFSVPTFGLDSDVQSHPRNSLPFASNIDALAPDTLLSRGYDSQKDLQNLLANYGGTTRDIETELSTAAISSQSFAVPNIPFKPGCSNDVAINDTGVLNNGLWTNQTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYNELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVPVPNQACSGTNSGNVWRGQYDDNSAASFNR >Potri.006G138500.2.v4.1 pep chromosome:Pop_tri_v4:6:11533562:11541464:1 gene:Potri.006G138500.v4.1 transcript:Potri.006G138500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138500.v4.1 MKVPSNGFLPNFAEGERKCINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYEKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPFSKYNKALYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYIYPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDEFGMKDPPSSIFPGLSLVQWMSMQQNNQFPATQSGLFPSMVPSNALHNNLSTDDPSKVLNFQAPGLSPPSVQLNKTNPQNQVGQLPQPPMAWTQQQQLQQLLQTPINQQQPPYPHQQQQQPPYPHQQQQQQQPISQQQQHQHWPQQQQQPQLQPPQIRQPPPQIQQHQQQQQIFQPPTLNDSVVAPNQIPNQNLQQPVVYSQLQQQQQLLASNTQSQSIPSANKSSYPLTSLPQDSQLHQQMEQQSNLSQRQQQQTQLQQSPLLLIQQNLSQRAQPQQQQQQQLQQLSQPSHSEQQLHFQLLQKLQQHQQLPSPASSVLQSQQLQQQQQQTHQQHQQLQQSPLSQNQQPPLSQNQQPLGSNSFSTAALMQTQSFPMNQPQGLQKPPMAVRARSSITDGEAPSCSTSPSTNNCQISPQNFLNRNHLAPAMLMGDSAIEPASNLVQDLQNKSEIRVKNEFPSSRGLDQLKYKGAVTDQLEASSSGTSYCLDAGNIQQNFSVPTFGLDSDVQSHPRNSLPFASNIDALAPDTLLSRGYDSQKDLQNLLANYGGTTRDIETELSTAAISSQSFAVPNIPFKPGCSNDVAINDTGVLNNGLWTNQTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYNELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVPVPNQACSGTNSGNVWRGQYDDNSAASFNR >Potri.006G138500.10.v4.1 pep chromosome:Pop_tri_v4:6:11533630:11541518:1 gene:Potri.006G138500.v4.1 transcript:Potri.006G138500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138500.v4.1 MKVPSNGFLPNFAEGERKCINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFIPSYPNLPSKLICMLHNVTLHADVETDEVYAQMTLQPYEKEALLASDMGLKQNRQPTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRLFAGDSVLFIRDEKSQLLLGIRRANRQQPALSSSVISSDSMHIGILAAAAHAAANNSPFTIFYNPRASPSEFVIPFSKYNKALYTQVSLGMRFRMMFETEESGVRRYMGTITGISDMDPVRWKNSQWRNLQVGWDESTAGERPSRVSIWEIEPVVTPFYIYPPPFFRPKFPKQPGMPDDDSDIENAFKRAMPWLGDEFGMKDPPSSIFPGLSLVQWMSMQQNNQFPATQSGLFPSMVPSNALHNNLSTDDPSKVLNFQAPGLSPPSVQLNKTNPQNQVGQLPQPPMAWTQQQQLQQLLQTPINQQQPPYPHQQQQQPPYPHQQQQQQQPISQQQQHQHWPQQQQQPQLQPPQIRQPPPQIQQHQQQQQIFQPPTLNDSVVAPNQIPNQNLQQPVVYSQLQQQQQLLASNTQSQSIPSANKSSYPLTSLPQDSQLHQQMEQQSNLSQRQQQQTQLQQSPLLLIQQNLSQRAQPQQQQQQQLQQLSQPSHSEQQLHFQLLQKLQQHQQLPSPASSVLQSQQLQQQQQQTHQQHQQLQQSPLSQNQQPPLSQNQQPLGSNSFSTAALMQTQSFPMNQPQGLQKPPMAVRARSSITDGEAPSCSTSPSTNNCQISPQNFLNRNHLAPAMLMGDSAIEPASNLVQDLQNKSEIRVKNEFPSSRGLDQLKYKGAVTDQLEASSSGTSYCLDAGNIQQNFSVPTFGLDSDVQSHPRNSLPFASNIDALAPDTLLSRGYDSQKDLQNLLANYGGTTRDIETELSTAAISSQSFAVPNIPFKPGCSNDVAINDTGVLNNGLWTNQTNQTQRMRTYTKVQKRGSVGRSIDVTRYKGYNELRHDLARMFGIEGQLEDPQSSDWKLVYVDHENDILLVGDDPWEEFVSCVQSIKILSSAEVQQMSLDGDLGNVPVPNQACSGTNSGNVWRGQYDDNSAASFNR >Potri.014G178200.1.v4.1 pep chromosome:Pop_tri_v4:14:13489709:13491488:-1 gene:Potri.014G178200.v4.1 transcript:Potri.014G178200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G178200.v4.1 MEPEEEVCPINAGEDTTARVFPCLFCSRKFYSSQALGGHQNAHKKERNAARKAKRASEIFPPPTFPIMFAPSHHLGLLHPSLYRTAHAATLPCPPTHQFSDRFGSNNAARFDNVLFYGSSRYRQYDQEDEQSFLNWQRSVRFNGFNEGGSNQYLSRVNGNPNMGIRNDKDQKLDLTLHL >Potri.006G104200.1.v4.1 pep chromosome:Pop_tri_v4:6:8026559:8028604:-1 gene:Potri.006G104200.v4.1 transcript:Potri.006G104200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104200.v4.1 MSIDMEDSLRQSSLLGFSSKDRKRRRNGCESIEDTLARWKKHNKLQVSKVPGKGSKKGCMKGKGGPENMNCRYRGVRQRTWGKWVAEIREPVKKCSLMNKQGSRLWLGTFSTAIEAACAYDYAAKLMYGPNAILNFPDYPVQSGNHLDNMSSSITATETSSTESRTALDSYEDNKVDKLKINHCGSREGNNQSGFSRICAVDESEEEVEKIRVAESSAMELKAVEWNLTDDWKSSHHIEAEAPVLREEIDGELAGILRSWGCYGINNRYRFLQNETENVEHKKLKNEVVESSMSTRLNECVDSDYDMRTDHKPIYDVEKPLMREAAAGEEFSGLKFSNYNPFETTHDHRNPGLCNQEIDIKPFIQDISDNSVLKGGGNYGYDPAKVGSASHLQSRRPSGLSCQLQTPSTNLPGSLSYFQEADIGLGWNFDLSQQDFNGGLVGEPGLLDQWYPELQF >Potri.006G104200.2.v4.1 pep chromosome:Pop_tri_v4:6:8026558:8028605:-1 gene:Potri.006G104200.v4.1 transcript:Potri.006G104200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104200.v4.1 MSIDMEDSLRQSSLLGFSSKDRKRRRNGCESIEDTLARWKKHNKLQVSKVPGKGSKKGCMKGKGGPENMNCRYRGVRQRTWGKWVAEIREPVKKCSLMNKQGSRLWLVQSGNHLDNMSSSITATETSSTESRTALDSYEDNKVDKLKINHCGSREGNNQSGFSRICAVDESEEEVEKIRVAESSAMELKAVEWNLTDDWKSSHHIEAEAPVLREEIDGELAGILRSWGCYGINNRYRFLQNETENVEHKKLKNEVVESSMSTRLNECVDSDYDMRTDHKPIYDVEKPLMREAAAGEEFSGLKFSNYNPFETTHDHRNPGLCNQEIDIKPFIQDISDNSVLKGGGNYGYDPAKVGSASHLQSRRPSGLSCQLQTPSTNLPGSLSYFQEADIGLGWNFDLSQQDFNGGLVGEPGLLDQWYPELQF >Potri.006G104200.3.v4.1 pep chromosome:Pop_tri_v4:6:8026694:8028443:-1 gene:Potri.006G104200.v4.1 transcript:Potri.006G104200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104200.v4.1 MSIDMEDSLRQSSLLGFSSKDRKRRRNGCESIEDTLARWKKHNKLQVSKVPGKGSKKGCMKGKGGPENMNCRYRGVRQRTWGKWVAEIREPVKKCSLMNKQGSRLWLGTFSTAIEAACAYDYAAKLMYGPNAILNFPDYPVQSGNHLDNMSSSITATETSSTESRTALDSYEDNKVDKLKINHCGSREGNNQSGFSRICAVDESEEEVEKIRVAESSAMELKAVEWNLTDDWKSSHHIEAEAPVLREEIDGELAGILRSWGCYGINNRYRFLQNETENVEHKKLKNEVVESSMSTRLNECVDSDYDMRTDHKPIYDVEKPLMREAAAGEEFSGLKFSNYNPFETTHDHRNPGLCNQEIDIKPFIQDISDNSVLKGGGNYGYDPAKVGSASHLQSRRPSGLSCQLQTPSTNLPGSLSYFQEADIGLGWNFDLSQQDFNGGLVGEPGLLDQWYPELQF >Potri.005G089500.1.v4.1 pep chromosome:Pop_tri_v4:5:6230146:6231730:-1 gene:Potri.005G089500.v4.1 transcript:Potri.005G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089500.v4.1 MTEIFGYTACRQLSQMILAVFFFHGSEYVLAAAIHGRSKVSLSSLLISKAYVFAMMFSLLEYVVEIALFPGLKEYWWVSNLGLVMVTIGEIIRKLAIITAGLAFTHLIKVYHEEHHNLITHGIYRFFRHPSYTGFLIWSVGTQIMLCNPISTIGFAIVVWRFFSQRIPYEEFFLRQFFGSKYEEYALRTPSGVPFVK >Potri.013G151100.1.v4.1 pep chromosome:Pop_tri_v4:13:14747955:14750297:1 gene:Potri.013G151100.v4.1 transcript:Potri.013G151100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151100.v4.1 MPEEAPMKNLVCEKSRKALQFIEDVTRNADEVQRKVLAEILSRNANVEYLQRYGLNGNKADREAFKKVMPVVSYEDLKPDIDRIANGDTSQIICSQPISEFLTSSGTSAGERKLMPTIEEELGRRSLLYSLLMPVMNQFVPGLDRGKGMYFLFIKSETKTPGGLLARPVLTSYYKSSYFRDRPYDPYTNYTSPNETILCQDSYQSMYSQLLCGLYQNYDVLRVGAVFASGFIRAIKFLEKHWILLCNDIRNGTIDPKISDPSVREAVLKILKPNQKLADFIEAECTRESWKGIITRLWPNTKYIDVIVTGTMSQYIPTLDYYCDGLPLVCTMYASSECYFGLNLNPLSKPSEVSYTLIPTMAYFEFLPVNRKNGLINSITAPTSLDQKKDQELVDLVDVKLGEEYELVVTTYAGLYRYRVGDILRVAGFKNKAPQFNFVCRKNVVLSIDSDKTDEVELQNAVQNAANHLLPFGASLTEYTSYADTSKIPGHYVLFWEICLSGTTPIPPSVFEDCCLAIEESLNSVYRQGRVSDKSIGPLEIRITERGAFDKLMDFALSQGASINQYKAPRCVKYAPIIELLNSRVVSNYISPKCPKWVPGHKQWCTPN >Potri.004G040200.3.v4.1 pep chromosome:Pop_tri_v4:4:3192628:3197386:-1 gene:Potri.004G040200.v4.1 transcript:Potri.004G040200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040200.v4.1 MRCSCFGGPSVDKKRGSAAETLHGIDGDLLQDVNHISYNELRSATDNFHSSNKIGRGGFGDVYKGTLRSGIQVAVKTLSAQSKQGVREFLNEIKTISNVRHPNLVELIGCCVQGANRILVYEYLENNSLDRALLGSRSTNIRLDWGRRSAICLGIARGLAFLHEELVPHIVHRDIKASNILLDKDLNPKIGDFGLAKLFPENITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLILEIISGRNSTKPSWGGMEKLLLEWAWQLHEEGRPLELVDPEMGEFPEEEVIRYIKVAFFCTQSAANRRPLTSQVVDMLSKQIRLNDKLLTAPGFFRDSDSASGGPSSMKKSTTDSTSYQMSSVPVTITEVTPR >Potri.004G040200.1.v4.1 pep chromosome:Pop_tri_v4:4:3192553:3197372:-1 gene:Potri.004G040200.v4.1 transcript:Potri.004G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040200.v4.1 MRCSCFGGPSVDKKRGSAAETLHGIDGDLLQDVNHISYNELRSATDNFHSSNKIGRGGFGDVYKGTLRSGIQVAVKTLSAQSKQGVREFLNEIKTISNVRHPNLVELIGCCVQGANRILVYEYLENNSLDRALLGSRSTNIRLDWGRRSAICLGIARGLAFLHEELVPHIVHRDIKASNILLDKDLNPKIGDFGLAKLFPENITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLILEIISGRNSTKPSWGGMEKLLLEWAWQLHEEGRPLELVDPEMGEFPEEEVIRYIKVAFFCTQSAANRRPLTSQVVDMLSKQIRLNDKLLTAPGFFRDSDSASGGPSSMKKSTTDSTSYQMSSVPVTITEVTPR >Potri.004G214300.1.v4.1 pep chromosome:Pop_tri_v4:4:22073758:22078515:-1 gene:Potri.004G214300.v4.1 transcript:Potri.004G214300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214300.v4.1 MVSEASKKKAAQKKAAAAAKRGGKAAATSSKRAAATAASDSQNEGVDKLSNGAGVLQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLAAIGCRELPIPDHMDIYHLTREIEASDMSSLEAVISCDEERLKLEKEAEVLAAEDDGGGEALDRIYERLEAMDASTAEKRAAEILYGLGFNKKMQEKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKIYTGNFDQYVQTRSELEENQMKQYKWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKILVFRFVNVGKLPPPVLQFVEVTFGYTPDNLIYKSIDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMSALQYMIKEYPGNEEERMRAAIGKFGLTGKAQVMPMKNLSDGQRSRVIFAWLAYRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAEEIWVCENQAVTRWEGDIMDFKKHLKMKAGLSD >Potri.004G214300.2.v4.1 pep chromosome:Pop_tri_v4:4:22069945:22078508:-1 gene:Potri.004G214300.v4.1 transcript:Potri.004G214300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214300.v4.1 MVSEASKKKAAQKKAAAAAKRGGKAAATSSKRAAATAASDSQNEGVDKLSNGAGVLQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLAAIGCRELPIPDHMDIYHLTREIEASDMSSLEAVISCDEERLKLEKEAEVLAAEDDGGGEALDRIYERLEAMDASTAEKRAAEILYGLGFNKKMQEKKTRDFSGGWRMRIALARALFMNPTILLLDEPTNHLDLEACVWLEETLKKFDRILVVVSHSQDFLNGVCTNIIHMQNKKLKIYTGNFDQYVQTRSELEENQMKQYKWEQDQIASMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTEKVARDKILVFRFVNVGKLPPPVLQFVEVTFGYTPDNLIYKSIDFGVDLDSRIALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLAEKLDLEMSALQYMIKEYPGNEEERMRAAIGKFGLTGKAQVMPMKNLSDGQRSRVIFAWLAYRQPHLLLLDEPTNHLDIETIDSLAEALNEWDGGLVLVSHDFRLINQVAEEIWVCENQAVTRWEGDIMDFKKHLKMKAGLSD >Potri.016G009700.2.v4.1 pep chromosome:Pop_tri_v4:16:463557:467488:1 gene:Potri.016G009700.v4.1 transcript:Potri.016G009700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009700.v4.1 MQTSQKHRSAGIHRFYHQPVQEIDPYGLSHIQILDSNMYSDGGSQGAAISFQTDQGEFFTLESSSATAGFVNYDSPAASVSSNRSPFSPQGSHSCISDPHHSPDTVYGSPLSGSSSADEDIILRQKLRELEISLLGPESDITDSGSFCFVSGGYQAESSASWDWNQMMEVIPRLDLKHVLLACADAVSNADIQRAAGLMHVLDQMVSVSGEPIQRLGAYMLEGLRARLELSGSKIYRALKCEAPISSDLMTYMGILYQICPYWKFAYTSANVVIQEAVEYEPRIHIIDFQIAQGSQWSVLMQMLAYRPGGPPVIRITGVDDSQSAHARGGGLDIVGQRLSKVAEECNVPFEFHDVAMDGCEVQLEHLRVQPGEAVVVNFPYVLHHMPDESVTTWNHRDRLIRMVKSLSPRIVTLIEQESNTNTKPFFPRFIETLDYYTAMFESIDVGRPKDDKQRINAEQHCVARDIVNMIACEEAERVERHELLAKWRSRFTMAGFNQYPLSSSVTTAVRDMLKEYDRNYSVQERDWALYLRWRHRDMATSSAWS >Potri.016G009700.1.v4.1 pep chromosome:Pop_tri_v4:16:463475:467618:1 gene:Potri.016G009700.v4.1 transcript:Potri.016G009700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009700.v4.1 MQTSQKHRSAGIHRFYHQPVQEIDPYGLSHIQILDSNMYSDGGSQGAAISFQTDQGEFFTLESSSATAGFVNYDSPAASVSSNRSPFSPQGSHSCISDPHHSPDTVYGSPLSGSSSADEDIILRQKLRELEISLLGPESDITDSGSFCFVSGGYQAESSASWDWNQMMEVIPRLDLKHVLLACADAVSNADIQRAAGLMHVLDQMVSVSGEPIQRLGAYMLEGLRARLELSGSKIYRALKCEAPISSDLMTYMGILYQICPYWKFAYTSANVVIQEAVEYEPRIHIIDFQIAQGSQWSVLMQMLAYRPGGPPVIRITGVDDSQSAHARGGGLDIVGQRLSKVAEECNVPFEFHDVAMDGCEVQLEHLRVQPGEAVVVNFPYVLHHMPDESVTTWNHRDRLIRMVKSLSPRIVTLIEQESNTNTKPFFPRFIETLDYYTAMFESIDVGRPKDDKQRINAEQHCVARDIVNMIACEEAERVERHELLAKWRSRFTMAGFNQYPLSSSVTTAVRDMLKEYDRNYSVQERDWALYLRWRHRDMATSSAWS >Potri.010G001500.12.v4.1 pep chromosome:Pop_tri_v4:10:177985:181363:1 gene:Potri.010G001500.v4.1 transcript:Potri.010G001500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001500.v4.1 MTSCFGCCKDDDIHKASKTGPFVPNHTSNNTAANRVKEPVSNNVQTVNIQPIAVPAISVDELKEMTGYFSTGAVIGEGSSGRVYYAVLKSGQAAAIKKLDSSKQSDQEFLAQVSMVSRLKHAHVLELVGYCVDGPLRVLAYEHASQGSLHDILHGKKGAKGTEPGPPLSWAQRVKIAVGAARGLEYLHEKAGRHIIHNLDIKSSNVLVFDDEVAKIADFDLSNQASDMAARLYSTRVLGNFGYHAPEYTMTGQLSTKSNIYSFGVILLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCIDPKLDGKFHHRAAAKFSAVAALCVQYEADFRPNMSIVVTALQPLLKYQSVPDPVKH >Potri.015G075200.2.v4.1 pep chromosome:Pop_tri_v4:15:10122124:10127972:1 gene:Potri.015G075200.v4.1 transcript:Potri.015G075200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075200.v4.1 MTNLANFSFLLFTSHSVFLTFFVQFVAACKKPPVFCIITEYLAGGSLRKFLHQQEPHSVPLNLVLKLALDIAHGMQYLHSQGILHRDLKSENLLLGEDMSVKVADFGISCLESQCGSSKGFTGTYRWMAPEMIKEKRHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKNARPPLSPKCPLAFSHLINRCWSSNPGKRPHFDEIVAILESYSESLAQDPEFFTSYKPPPNHTILRCFPKFIAGRRSASVQA >Potri.015G075200.1.v4.1 pep chromosome:Pop_tri_v4:15:10121867:10128003:1 gene:Potri.015G075200.v4.1 transcript:Potri.015G075200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075200.v4.1 MRNLNWFKQSSNNGKSERRLSLGEYKRAVSWSEYLVSSGAEIKGEGEGEWSADMSQLFIGNKFASGRHSRIYRGIYKQRDVAVKLVSQPEEDESMAAMLENHFISEVALLFRLRHPNIITFVAACKKPPVFCIITEYLAGGSLRKFLHQQEPHSVPLNLVLKLALDIAHGMQYLHSQGILHRDLKSENLLLGEDMSVKVADFGISCLESQCGSSKGFTGTYRWMAPEMIKEKRHTKKVDVYSFGIVLWELLTALTPFDNMTPEQAAFAVCQKNARPPLSPKCPLAFSHLINRCWSSNPGKRPHFDEIVAILESYSESLAQDPEFFTSYKPPPNHTILRCFPKFIAGRRSASVQA >Potri.008G216800.3.v4.1 pep chromosome:Pop_tri_v4:8:16392594:16400265:1 gene:Potri.008G216800.v4.1 transcript:Potri.008G216800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216800.v4.1 MESVREAGGGVTGTVLMRFVWTHGGRNVFLSGSFNRWGELIPMSPVEGCPNVFQAIYDITPGNHQYKFCVDGEWRHDELQPHSTTEYGIVNIVQFNMEANYNPEMIPGSSMELDNEAFTRLVSVSDGTLTGGVPSISEADLQVSRHRISVFLTTHTAYELLPQSGKVVALDVDLPVKQAFHILFEQGISMAPLWDFSRGQFVGVLSALDFILILRELGNNGSNLTEEELDTHSISAWKEGKAYLERQIDGHVWPLPRHLIHAGPYDNLKEVALRILQYKVATVPVIHSSSEDSSFPQLLHLASLSGILKCICRYFRHCSSTLPILQLPIGAIPVGSWVPSIGEPSGCPLVMLRPSASLSSALNLLIQAQVSSIPIVDENDSLVDIYCRSDITALAKDKIYTHINLNEMTINQALQLGQDAYSSHELRSQRCQMCLRSDTLHKVMERLANPGVRRLVIVEAGSKRVEGIVTLSDIFKFLLG >Potri.008G216800.1.v4.1 pep chromosome:Pop_tri_v4:8:16392660:16400266:1 gene:Potri.008G216800.v4.1 transcript:Potri.008G216800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216800.v4.1 MESVREAGGGVTGTVLMRFVWTHGGRNVFLSGSFNRWGELIPMSPVEGCPNVFQAIYDITPGNHQYKFCVDGEWRHDELQPHSTTEYGIVNIVQFNMEANYNPEMIPGSSMELDNEAFTRLVSVSDGTLTGGVPSISEADLQVSRHRISVFLTTHTAYELLPQSGKVVALDVDLPVKQAFHILFEQGISMAPLWDFSRGQFVGVLSALDFILILRELGNNGSNLTEEELDTHSISAWKEGKAYLERQIDGHVWPLPRHLIHAGPYDNLKEVALRILQYKVATVPVIHSSSEDSSFPQLLHLASLSGILKCICRYFRHCSSTLPILQLPIGAIPVGSWVPSIGEPSGCPLVMLRPSASLSSALNLLIQAQVSSIPIVDENDSLVDIYCRSDITALAKDKIYTHINLNEMTINQALQLGQDAYSSHELRSQRCQMCLRSDTLHKVMERLANPGVRRLVIVEAGSKRVEGIVTLSDIFKFLLG >Potri.005G173200.2.v4.1 pep chromosome:Pop_tri_v4:5:17877871:17880789:1 gene:Potri.005G173200.v4.1 transcript:Potri.005G173200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173200.v4.1 MQMVLVEKHSMNTVCQKCGDRGYPEALNYCVKCKVVAEHTYCLDVVPKDFDEDVVWTCWFCLSGNDGGHNNTLDSSSYTQLLTSDQAVKVVRKQKWEASDAYLKALRKVERLKRGPSLQSGEAEAAKRVCLTNSTDQESDCSHLHKDKRRTLARGESSDEHQKTREHGIMIVEDRGGSNEEVLTVERESFQNGKSDLPKISDRDSNVNVQQPFEENMNTLSGSESCAQDQNLSDQNRLIPDVGGGSNEAVNCIEKEASQNSTDDLPKILDRDFNTNAQPILDPIWRGNFTINDGNFDVMKGLVAYTSNQASPKVRETASLLPGSVSIEMLPRHEVFPKKFGTSDVTAEDIGLYFFPEKERDERAFDELVDNIIEQDLALKAVLEHAELLVFTSLQLPLQNWRYRGKYYLWGLFGRQKLSNYSSRREHATA >Potri.016G045900.1.v4.1 pep chromosome:Pop_tri_v4:16:2938424:2943328:1 gene:Potri.016G045900.v4.1 transcript:Potri.016G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045900.v4.1 MASSSSSSLIQGCSLPPISALRSRLSPSLCSFQSLTKLPENCRRSSDYRGIRRRMTCTAMVQQAVQGGSPATYAKEMERLAAKESLLLAFKDSGGFEALVTGKTTDMQRIDVNERITGLERLNPTPRPTTSPFLEGRWNFEWFGAGSPGLSAARFIFERFPSNLANLSKMDVVIKDGNAKVTAHMKLLYSIESKFILSSKLTVEGPLRMKEEYVEGILETPTVIEETVPEQLKGAFGQALSTVQQIPVSFRDAFSSGLKIPLSSTFQRLFMISYLDDEILILRDSIGVPEVVTRLDAPASLMAEPIAEYES >Potri.004G186250.2.v4.1 pep chromosome:Pop_tri_v4:4:19971819:19973572:-1 gene:Potri.004G186250.v4.1 transcript:Potri.004G186250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186250.v4.1 MAEYDIHNFLTAGVKGRGLTYLARLFSHPFGWCWWAWAGSMAVLILGQFFHLPFGAATYCGWLINSFCICLSLSRPALPSQLKPPMGVHLFVPSICSDGAACQLFFCHPWSDSQVFLA >Potri.004G158800.1.v4.1 pep chromosome:Pop_tri_v4:4:17939543:17940397:1 gene:Potri.004G158800.v4.1 transcript:Potri.004G158800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158800.v4.1 MDALRANLPQKRGLSRYYSGKARSFTCIADVRCLEDLKKPERPDPKKRKKYSDRKDLNVPTSSISMSTSFLHDPTCPTLCWCEKTTKQAGSFSNFVMVCRFARRRGILDKHS >Potri.004G158800.2.v4.1 pep chromosome:Pop_tri_v4:4:17939543:17940397:1 gene:Potri.004G158800.v4.1 transcript:Potri.004G158800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158800.v4.1 MDALRANLPQNGELFLNRRGLSRYYSGKARSFTCIADVRCLEDLKKPERPDPKKRKKYSDRKDLNVPTSSISMSTSFLHDPTCPTLCWCEKTTKQAGSFSNFVMVCRFARRRGILDKHS >Potri.019G014346.1.v4.1 pep chromosome:Pop_tri_v4:19:2172683:2176834:1 gene:Potri.019G014346.v4.1 transcript:Potri.019G014346.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014346.v4.1 MGRSDDPFWKEVEDMNDGSMKCKFCGHLFANGTSISRIKWHLSGERGHGVGICGQVPEEVQEAAFLAMHVGNKRHKSIASSSNVDISTCPQEQDNAVLGNLAQGVGPERIHSRLEAASGMEYTGEGSFHHVDRSVSPWRLRVDAHKNRGEATPVTDLVDQFADGTWVQIHSALSKEQKLNAISTYLMLEEDDVERLRDGFETIPRTEQMQHLERVISCERPSINQADEPRGDSSQPTDPLCLDHGRYYHQLCSPSLSKDVFMYDVQNMVRVRTEPVEEEGVENSGRLVQHGAGARSSRCLKYNTSETRGVPLPTSSKKLVGRAFEENTKVIWSLLMDDEVSIIGIYGMGGVGKTTILQHIHNELLRRLDICDNVWWVTVSQDFSINTLQNRITKCLDLEFSREVDDLHRAANLSEELKTKQKWILILDDLWNNFELDKVGIPVPLKGCKLIMTTRSETVCHQMACHHKIKVKPLSEGEGWTLFMEKLGGGITLSPEVEGIAKAVARECAGLPLGIIIMARSLRGVNDLHEWRNTLKKLRASEFRDTEVFKLLRFSYDWLGDLALQQCLLYCALFPEDYMIEREELIDYLIDEGIIKGKRRREDAFDEGHAMLNRLEYVCLLESAQMDYDDSRRVKMHDLIRDMAIQILLENSQYMVKAGAQLKELPDVEEWTENLTRVSLMQNEIEEIPSSHSPMCPNLSTLFLCDNKGLGFVADSFFKQLHRLMVLDLSRTGIKNLPDSVSDLVSLTALLLNYCENLRHVPSLKKLKALKRLDLSHTTLEKMPQGMECLTNLGYLRMNGCGEKEFPSGILPKLSHLQVFVLDEYYRPITVKVKEVVSLRNLETLECHFEGLSDFVEYLGSRDKTQSLSTYRILVGMVDEDFWQYSDYSPSKTVGLGNLSINRDRDFQVKFLNDIQELVCESIDARSLCDVLSLENAPELVVIQIYDCDSMESLVSSSWFCSAPPPLPSYYGMFSGLKEFYCSGCKSMKKLFPLVLLPNLVNLERIEVMLCKKMEEIIGTTDEESTTSNSITGFILPKLRTLRLRYLPELKSICSAKLICNSLEDITVTFSKKLKRMPICLPLLENDKPSPPLSLRIMYIEPKEWWETVVEWEHPNAKDVLRPFVKFGLV >Potri.004G023200.1.v4.1 pep chromosome:Pop_tri_v4:4:1646138:1647766:1 gene:Potri.004G023200.v4.1 transcript:Potri.004G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023200.v4.1 MDSLKLSSGLIFIQLVLLAFVFNSANAQLKVGFYKDTCPQAEAIVKGVMDQVLKVAPSLSGPLLRLHFHDCFVRGCDASILLNSSTGQAEKDSPPNLSLRGYQVIDRVKAALEKKCPGVVSCADILAIVARDVTVATLGPSWRVETGRRDGRVSNVSEPLTNLPPFFANISQLLTQFRSKNLSKKDLVVLSGAHTIGTSHCSSFDSRLYNFTGKGDTDPTLDSEYITRLKKICKAGDQITLVEMDPGGARTFDNRYYKLVANRRALFQSDAALLDNNYTKAYVKLQSVASDGSTFFKDFGVSMRKMGRVEVLTGKAGEIRKVCSKVN >Potri.006G147600.17.v4.1 pep chromosome:Pop_tri_v4:6:12649742:12662355:1 gene:Potri.006G147600.v4.1 transcript:Potri.006G147600.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147600.v4.1 MAALESPENHIKATATSTATTSSSTTSDLNFSVRRRSTTVTDSPSTEMMESEDLKSNGKECDKVTNENRSDIKFNYRPSMPAHRGVRESPLSSDAIFKQSHAGLFNLCIVVLVAINSRLIIENIIKYGWLINGGFWFSSKSLRDWPLFMCCLSLPAFPFAAYLVEKLAYQNYLPQLVVVFLHTIITTGSLLYPVLVILRCDSAFLSGVTLMLFSCIVWLKLVSYAHTNSDLRAIAKSIDREDVPSISPYVGNPYDTYFKSLVYFMVAPTLCYQSSYPRTESVRKGWVVQQFVKLIIFTGFMGFIIEQYINPIVKNSQHPFKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNARTVEEYWRMWNMPVHKWMVRHIYFPCLRNKIPKGLAILIAFLVSAVFHELCIAVPCHVFKLWAFIGIMLQVPLVVITKFLQNKFRSSMVCPFLHLFISQAERLKSGTSDK >Potri.006G147600.9.v4.1 pep chromosome:Pop_tri_v4:6:12649789:12662378:1 gene:Potri.006G147600.v4.1 transcript:Potri.006G147600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147600.v4.1 MAALESPENHIKATATSTATTSSSTTSDLNFSVRRRSTTVTDSPSTEMMESEDLKSNGKECDKVTNENRSDIKFNYRPSMPAHRGVRESPLSSDAIFKQSHAGLFNLCIVVLVAINSRLIIENIIKYGWLINGGFWFSSKSLRDWPLFMCCLSLPAFPFAAYLVEKLAYQNYLPQLVVVFLHTIITTGSLLYPVLVILRCDSAFLSGVTLMLFSCIVWLKLVSYAHTNSDLRAIAKSIDREDVPSISPYVGNPYDTYFKSLVYFMVAPTLCYQSSYPRTESVRKGWVVQQFVKLIIFTGFMGFIIEQYINPIVKNSQHPFKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNARTVEEYWRMWNMPVHKWMVRHIYFPCLRNKIPKGLAILIAFLVSAVFHELCIAVPCHVFKLWAFIGIMLQVPLVVITKFLQNKFRSSMVGNMIFWLFFSILGQPMCVLLYYHDLMNRKGKTESR >Potri.002G237000.1.v4.1 pep chromosome:Pop_tri_v4:2:22974183:22974972:1 gene:Potri.002G237000.v4.1 transcript:Potri.002G237000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237000.v4.1 MACTIDLRFLDEGFGGKTYKRKREHEALQLITTETTTADASMEIDAPPAKRSAIPSTDNPDKPVAVGKPTYDGVIAGKVSGRNWKQPRKQRASAKQVSKRGTNFEERQKEKEIKKAYRERKNELKEEIRKNKVEKRKMREEREKRKQENILRSGTKLQKITNPKTLKKIAKSKDRKMLKVVPDELVNNNKKKNANKKD >Potri.002G237000.2.v4.1 pep chromosome:Pop_tri_v4:2:22974258:22975007:1 gene:Potri.002G237000.v4.1 transcript:Potri.002G237000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237000.v4.1 MEIDAPPAKRSAIPSTDNPDKPVAVGKPTYDGVIAGKVSGRNWKQPRKQRASAKQVSKRGTNFEERQKEKEIKKAYRERKNELKEEIRKNKVEKRKMREEREKRKQENILRSGTKLQKITNPKTLKKIAKSKDRKMLKVVPDELVNNNKKKNANKKD >Potri.013G104800.6.v4.1 pep chromosome:Pop_tri_v4:13:11380314:11384736:1 gene:Potri.013G104800.v4.1 transcript:Potri.013G104800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104800.v4.1 MMGGSAIDDDWELASPSNGVRTVVLVGRTGNGKSATGNSILGKKAFKSRASSSGVTSTCELQSTVLGDGQIINVIDTPGLFDFSAGSEFVGREIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKILDYMIVVFTGGDELEDNDETLEDYLGRECPQPLKEVLKLCENRRVLFDNKTKDLLKRAEQMQELLSLVNRVIEQNAGQPYSDELFAEIQKGEMNFRDQQEEVNSLKGNISIREISELKEQMQIQYEEQLKRVTEMVEMKLKEATGNLERRLAEEHAARLRAEENAQSEQRKSNEEIRMLRESLEKAQEELRKKGGCAIL >Potri.013G104800.7.v4.1 pep chromosome:Pop_tri_v4:13:11381135:11384740:1 gene:Potri.013G104800.v4.1 transcript:Potri.013G104800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104800.v4.1 MAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKILDYMIVVFTGGDELEDNDETLEDYLGRECPQPLKEVLKLCENRRVLFDNKTKDLLKRAEQMQELLSLVNRVIEQNAGQPYSDELFAEIQKGEMNFRDQQEEVNSLKGNISIREISELKEQMQIQYEEQLKRVTEMVEMKLKEATGNLERRLAEEHAARLRAEENAQSEQRKSNEEIRMLRESLEKAQEELRKKGGCAIL >Potri.013G104800.5.v4.1 pep chromosome:Pop_tri_v4:13:11380343:11384738:1 gene:Potri.013G104800.v4.1 transcript:Potri.013G104800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104800.v4.1 MMGGSAIDDDWELASPSNGVRTVVLVGRTGNGKSATGNSILGKKAFKSRASSSGVTSTCELQSTVLGDGQIINVIDTPGLFDFSAGSEFVGREIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKILDYMIVVFTGGDELEDNDETLEDYLGRECPQPLKEVLKLCENRRVLFDNKTKDLLKRAEQMQELLSLVNRVIEQNAGQPYSDELFAEIQKGEMNFRDQQEEVNSLKGNISIREISELKEQMQIQYEEQLKRVTEMVEMKLKEATGNLERRLAEEHAARLRAEENAQSEQRKSNEEIRMLRESLEKAQEELRKKGGCAIL >Potri.011G090200.4.v4.1 pep chromosome:Pop_tri_v4:11:11573596:11599588:-1 gene:Potri.011G090200.v4.1 transcript:Potri.011G090200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090200.v4.1 MEEPLSDEAAKKSPTSDKQRQQSSVSSMQIPMKVSKTTKPTISANSHLLTPIGSIRKRTEPKNSSDSSSNVTAKNASSCNTKSVPIARRNSTGGVPEKQPVSSTKRQNTSGKTNAVSDPVRRSLPELRRSSLPPTKPMVRTGSVSETRNSVPMDKCLRASTGSGVSRLEKPSVKPALPASSSSSSSRRVISTSVDSTASSMSRKKLSSPSATSPSISSGLRAGSLSTSRDRSFNLTGRRRAGAPESHDSHFIALPLVETKAGDDVRLDLRGHKVRSLNASGLNLAQNLEFVYLRDNLLSTLEGIEILKRVKVLDLSFNEFKGPGFEPLENCQALQQLYLAGNQITSLVNLPQLPNLEFLSVAQNKLKSLSMAGQPRLQVLAASKNKITTLKGFPHLPSLEHLRVEENPILKMPHLEAASILLVGLTLKKFNDRDLSREEVAIAKRYPACTALCIRDGWELCRPENAADSTFHFLYEQWKEHFPPGYLLKDALVDQPFEGDACHCHFVFVQDNNLSAAPQLVLKYQWFVGERALSSFAAIPDATGEVYWPKHEDIGKFLKVECTSVMGEIEYPPIFALSSCVSPGNGIPKVVNLEVQGELVEGNVIKGYAGIAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYCLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVSNVRIIGDIVEGNIIKGVGDYFGGKEGPSKFEWLRENKNTGDFVSISTGTSEYALTNEDVGRCLAFVYSPINFEGQEGKSVSIFSHPVKQAPPKVKNIKIIGHLRENSKVTVTATVTGGTGGTEGSSRVQWFKTSSSTLDGENSLDALITAKIAKALRIPLGAVGYYIVAKYTPMTPDGESGEPAYAISEKAVETLPPSLNFLSISGDYTEGGILTASYGYVGGHEGKSEYNWFLHEFERDNGTLILEGSGVLRYCVTRDAIGKFISFQCIPVRDDGIAGEPRTCMGVERIRPGSPRLLSLQIVGNAIEGTSLSVDKKYWGGEEGNSVFCWFRSSSDGAQIEIQGANTSSYMLSVDDIGSFVSVSCEPVRSDWACGPTIFSEQIGPIIPGPPTCQSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVKSGGIR >Potri.011G090200.5.v4.1 pep chromosome:Pop_tri_v4:11:11573615:11599588:-1 gene:Potri.011G090200.v4.1 transcript:Potri.011G090200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090200.v4.1 MEEPLSDEAAKKSPTSDKQRQQSSVSSMQIPMKVSKTTKPTISANSHLLTPIGSIRKRTEPKNSSDSSSNVTAKNASSCNTKSVPIARRNSTGGVPEKQPVSSTKRQNTSGKTNAVSDPVRRSLPELRRSSLPPTKPMVRTGSVSETRNSVPMDKCLRASTGSGVSRLEKPSVKPALPASSSSSSSRRVISTSVDSTASSMSRKKLSSPSATSPSISSGLRAGSLSTSRDRSFNLTGRRRAGAPESHDSHFIALPLVETKAGDDVRLDLRGHKVRSLNASGLNLAQNLEFVYLRDNLLSTLEGIEILKRVKVLDLSFNEFKGPGFEPLENCQALQQLYLAGNQITSLVNLPQLPNLEFLSVAQNKLKSLSMAGQPRLQVLAASKNKITTLKGFPHLPSLEHLRVEENPILKMPHLEAASILLVGLTLKKFNDRDLSREEVAIAKRYPACTALCIRDGWELCRPENAADSTFHFLYEQWKEHFPPGYLLKDALVDQPFEGDACHCHFVFVQDNNLSAAPQLVLKYQWFVGERALSSFAAIPDATGEVYWPKHEDIGKFLKVECTSVMGEIEYPPIFALSSCVSPGNGIPKVVNLEVQGELVEGNVIKGYAGIAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYCLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVSNVRIIGDIVEGNIIKGVGDYFGGKEGPSKFEWLRENKNTGDFVSISTGTSEYALTNEDVGRCLAFVYSPINFEGQEGKSVSIFSHPVKQAPPKVKNIKIIGHLRENSKVTVTATVTGGTGGTEGSSRVQWFKTSSSTLDGENSLDALITAKIAKVGKPVCLYMYTEIMGQCLLFFYFCWMFIVELLP >Potri.011G090200.3.v4.1 pep chromosome:Pop_tri_v4:11:11573590:11599483:-1 gene:Potri.011G090200.v4.1 transcript:Potri.011G090200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090200.v4.1 MVCCLAVVVVESGYLSLKMEEPLSDEAAKKSPTSDKQRQQSSVSSMQIPMKVSKTTKPTISANSHLLTPIGSIRKRTEPKNSSDSSSNVTAKNASSCNTKSVPIARRNSTGGVPEKQPVSSTKRQNTSGKTNAVSDPVRRSLPELRRSSLPPTKPMVRTGSVSETRNSVPMDKCLRASTGSGVSRLEKPSVKPALPASSSSSSSRRVISTSVDSTASSMSRKKLSSPSATSPSISSGLRAGSLSTSRDRSFNLTGRRRAGAPESHDSHFIALPLVETKAGDDVRLDLRGHKVRSLNASGLNLAQNLEFVYLRDNLLSTLEGIEILKRVKVLDLSFNEFKGPGFEPLENCQALQQLYLAGNQITSLVNLPQLPNLEFLSVAQNKLKSLSMAGQPRLQVLAASKNKITTLKGFPHLPSLEHLRVEENPILKMPHLEAASILLVGLTLKKFNDRDLSREEVAIAKRYPACTALCIRDGWELCRPENAADSTFHFLYEQWKEHFPPGYLLKDALVDQPFEGDACHCHFVFVQDNNLSAAPQLVLKYQWFVGERALSSFAAIPDATGEVYWPKHEDIGKFLKVECTSVMGEIEYPPIFALSSCVSPGNGIPKVVNLEVQGELVEGNVIKGYAGIAWCGGTPGKGVASWLRRRWNSSPVVIAGAEDEEYCLTLDDIDSSLVFMYTPVTEEGAKGEPQYKYTDFVKAAPPSVSNVRIIGDIVEGNIIKGVGDYFGGKEGPSKFEWLRENKNTGDFVSISTGTSEYALTNEDVGRCLAFVYSPINFEGQEGKSVSIFSHPVKQAPPKVKNIKIIGHLRENSKVTVTATVTGGTGGTEGSSRVQWFKTSSSTLDGENSLDALITAKIAKALRIPLGAVGYYIVAKYTPMTPDGESGEPAYAISEKAVETLPPSLNFLSISGDYTEGGILTASYGYVGGHEGKSEYNWFLHEFERDNGTLILEGSGVLRYCVTRDAIGKFISFQCIPVRDDGIAGEPRTCMGVERIRPGSPRLLSLQIVGNAIEGTSLSVDKKYWGGEEGNSVFCWFRSSSDGAQIEIQGANTSSYMLSVDDIGSFVSVSCEPVRSDWACGPTIFSEQIGPIIPGPPTCQSLEFLGSMMEGQRLSFVASYSGGERGNCFHEWFRVKSGGIR >Potri.001G276304.1.v4.1 pep chromosome:Pop_tri_v4:1:28987484:28990815:1 gene:Potri.001G276304.v4.1 transcript:Potri.001G276304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276304.v4.1 MIIGVLGHGKAKAKLFEPHGMPYGAKNLLSSLLHCHFSSLKGS >Potri.006G210700.1.v4.1 pep chromosome:Pop_tri_v4:6:21796759:21805729:-1 gene:Potri.006G210700.v4.1 transcript:Potri.006G210700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210700.v4.1 MSGRHEKEKGVNVQVLLRCRPFSEDELRNNAPQVVTCNDYQREVAVSQNIAGKHIDRVFTFDKVFGPSAQQKDLYEQAVVPIVNEVLEGFNCTIFAYGQTGTGKTYTMEGECKRSKSGPNGELPSEAGVIPRAVQQIFDTLEGQNAEYSVKVTFLELYNEEITDLLAPEEISRISLEEKQKKQLPLMEDGKGGVLVRGLEEEIVASATEIFTLLERGSAKRRTAETLLNKQSSRSHSLFSITIHIKEATPEGEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHLGHIPYRDSKLTRLLRDSLGGRTKTCIIATVSPAVHCLEETLSTLDYAHRAKNIRNKPEVNQKMMKTTLIKDLYGEIERLKAEVYAAREKNGVYMPKERYYQEESERKAMADQIEQMGVMIETHQKQSEEWRDRYDAQVHQCSDLSSKLSTAEKNFNQTIKLLTCTEEELKKCRYGLKERDFIISEQRKAENALAHQACVLRSDLEKALQDNASLFQKIGREDKLSSDNRSVVNNFRGQLSQQIVSLCNMVSMSISQQNEHLQRVQELGHSFLDMHYKSIEELKKKLSASRAMYISHIEAVQNVVRLHKASSIAGLEEISSMASSSTQSIKDYLESEAGPAASIFDDLQNSLSTHQGEVSLFAREMRQRFLVSSEKRKEVSEYMNGFLDKILEQCKSLENHAVQADAIQMKNITDFQEAYEEQSKTDTEKLVADINNLVSNHLQRQKELVDARLVDLRETATGNKAFLDGHVSSMECVSTDAKRKWHEFSMKAEDSAKDVADYSSAKHCRMESLLQQCVSTAGSAFKHWQKTHDSVNKMGISHVSELVSLTRNASESFEQHDAEVDSARVTAEQDVANNSEDILKRIDRVSDKERGSVSKILDAVKAHANALETFREDHSGKSAAIEDRAHETFEQRYMDYESTGTTPVRSEPDVPSKGTIESLRAMPMENLLEEFRENNSYESLEEKELKPSLIPRSPLVQLNQQ >Potri.002G038700.1.v4.1 pep chromosome:Pop_tri_v4:2:2553107:2556535:-1 gene:Potri.002G038700.v4.1 transcript:Potri.002G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038700.v4.1 MDAEGQRGSSNPAAMLANLLSKRAKLHDELRIIEKQVYDLETSYLQDPGQCGNVLKGFEGFLSSSKNTALLKRSRKFQPEDRLFSLSSVTSPAAEEQAAGRDEGRSEYGVGRSKGGGIYANGQGKPKKGRGASRDTKRTRPSADPDFDYDDDADLTL >Potri.005G055800.1.v4.1 pep chromosome:Pop_tri_v4:5:3500938:3505790:-1 gene:Potri.005G055800.v4.1 transcript:Potri.005G055800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055800.v4.1 MATLVHSIPSISFLSSLTLQPLKIKTHKKSLSTSRSEPIKTLTCTTSKPNNKSPTMDGRKPTSKKTRKSSYGTSRRSVLKKTFIQEQVTFTSQLSSDPHVGIIGGGMAGLLCALSLEKRGVKSTVFDTGIHGLGGRMGTRVIDPQPLIFDHAAQFFTVSDPRFSELVDDWLEKGLVRQWQGIIGELEVGGQFLPFPSSTPRYISVNGMRSLADSILSQTCMVNVVRPCWISKLEPFNGMWHLSENGKPCGQFDIIVIAHNGKCANRLLASSGLPLIARQMKTLGLSSIWALLAAFEDPLPIPTGATPFEGAFVKGVDSLSWMGNNSAKLLGSKTNSPHCWTFFSTAAYGKRNKVPQENIPTATAEKVKTGMLEGVEAALGLPKDSLQQPFYSRVQLWGAALPTNTPGIPCIFDPHGRAGICGDWLLGSNLESAALSGMALANHIADYLRSGGARPEEFALGLNKEFQTLEGHDIGQFSGLESSTGASPIQAYQLST >Potri.015G111628.2.v4.1 pep chromosome:Pop_tri_v4:15:12814073:12816948:-1 gene:Potri.015G111628.v4.1 transcript:Potri.015G111628.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111628.v4.1 MEILRASYGDASSDSDSDSSSPPTTKSVPPVSNPKAEAIALPPPPLALLTPPNPLGALDSMLNGQSSRIRSFPHVQGNYALHVYIPVNIPPALKKEVVQFLNRISLVVPGLHVVDADVPLDILCKDDHKLEQVALGRDFHISLGRTVPIRVHQIDSVVAMLRQKLQFQKGYWIDFNKWEVFVNDDKTRTFLSLEVVTGGLAEITKQIQSVNDVYKLHNLPEFYKDPRPHISLAWALGDVSDVLKREVVNEMKRSSAGGSILKRVFSCKFSGIECHIASIT >Potri.015G111628.3.v4.1 pep chromosome:Pop_tri_v4:15:12814186:12816585:-1 gene:Potri.015G111628.v4.1 transcript:Potri.015G111628.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111628.v4.1 MEILRASYGDASSDSDSDSSSPPTTKSVPPVSNPKAEAIALPPPPLALLTPPNPLGALDSMLNGQSSRIRSFPHVQGNYALHVYIPVNIPPALKKEVVQFLNRISLVVPGLHVVDADVPLDILCKDDHKLEQVALGRDFHISLGRTVPIRVHQIDSVVAMLRQKLQFQKGYWIDFNKWEVFVNDDKTRTFLSLEVVTGGLAEITKQIQSVNDVYKLHNLPEFYKDPRPHISLAWALGDVSDVLKREVVNEMKRSSAGGSILKRVFSCKFSGIECHIASIT >Potri.015G111628.4.v4.1 pep chromosome:Pop_tri_v4:15:12813499:12816921:-1 gene:Potri.015G111628.v4.1 transcript:Potri.015G111628.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111628.v4.1 MEILRASYGDASSDSDSDSSSPPTTKSVPPVSNPKAEAIALPPPPLALLTPPNPLGALDSMLNGQSSRIRSFPHVQGNYALHVYIPVNIPPALKKEVVQFLNRISLVVPGLHVVDADVPLDILCKDDHKLEQVALGRDFHISLGRTVPIRVHQIDSVVAMLRQKLQFQKGYWIDFNKWEVFVNDDKTRTFLSLEVVTGGLAEITKQIQSVNDVYKLHNLPEFYKDPRPHISLAWALGDVSDVLKREVVNEMKRSSAGGSILKRVFSCKFSGIECHIG >Potri.015G111628.5.v4.1 pep chromosome:Pop_tri_v4:15:12813499:12816921:-1 gene:Potri.015G111628.v4.1 transcript:Potri.015G111628.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111628.v4.1 MEILRASYGDASSDSDSDSSSPPTTKSVPPVSNPKAEAIALPPPPLALLTPPNPLGALDSMLNGQSSRIRSFPHVQGNYALHVYIPVNIPPALKKEVVQFLNRISLVVPGLHVVDADVPLDILCKDDHKLEQVALGRDFHISLGRTVPIRVHQIDSVVAMLRQKLQFQKGYWIDFNKWEVFVNDDKTRTFLSLEVVTGGLAEITKQIQSVNDVYKLHNLPEFYKDPRPHISLAWALGDVSDVLKREVVNEMKRSSAGGSILKRVFSCKFSGIECHIG >Potri.015G111628.1.v4.1 pep chromosome:Pop_tri_v4:15:12813499:12816921:-1 gene:Potri.015G111628.v4.1 transcript:Potri.015G111628.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111628.v4.1 MEILRASYGDASSDSDSDSSSPPTTKSVPPVSNPKAEAIALPPPPLALLTPPNPLGALDSMLNGQSSRIRSFPHVQGNYALHVYIPVNIPPALKKEVVQFLNRISLVVPGLHVVDADVPLDILCKDDHKLEQVALGRDFHISLGRTVPIRVHQIDSVVAMLRQKLQFQKGYWIDFNKWEVFVNDDKTRTFLSLEVVTGGLAEITKQIQSVNDVYKLHNLPEFYKDPRPHISLAWALGDVSDVLKREVVNEMKRSSAGGSILKRVFSCKFSGIECHIGKKTYKISKFLDEK >Potri.014G164300.6.v4.1 pep chromosome:Pop_tri_v4:14:11862756:11866421:1 gene:Potri.014G164300.v4.1 transcript:Potri.014G164300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164300.v4.1 MVSSEEVPDEVSPKELQKRQSLDDGTDTKPQTHDVGGASQQEGIPASYAPGKSLENSGGRISELDKEGSVSSITPRKVSHTPGSDLRSLQSGQEGRTPIMREKVSEDGYHWRKYGQKFVKGNEFIRSYYKCTHPSCQAKKQLECSHDGKLADIVYLGEHEHPKPQHNLPQAVGCVLSVVEEKPDHLLFTGVEESHEPHPIESTNTPQISSVTSSEDVKRVLSEPKRIRDEVDVDDDQRSKRRKKSSCNDSSTPVDTPTSEPRLVIQTKSEVDIVSDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCPVKKHVERASHDPKLVITSYEGQHDHDMPPSRTITHNTTGLNTCTTTIQNGELGTKSGESNAISLEMVVYNSSDSNIKLEEKLSSESRNKWKESNSGHESKSCEQQTGNTNATKVSGAANLDIVVNTNPVSAGRSTEQHDGESRIEPKENSAACGVHNITPGPESNPNEQNVLNSEPVQS >Potri.014G164300.2.v4.1 pep chromosome:Pop_tri_v4:14:11862700:11866457:1 gene:Potri.014G164300.v4.1 transcript:Potri.014G164300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164300.v4.1 MVSSEEVPDEVSPKELQKRQSLDDGTDTKPQTHDVGGASQQEGIPASYAPGKSLENSGGRISELDKEGSVSSITPRKVSHTPGSDLRSLQSGQEGRTPIMREKVSEDGYHWRKYGQKFVKGNEFIRSYYKCTHPSCQAKKQLECSHDGKLADIVYLGEHEHPKPQHNLPQAVGCVLSVVEEKPDHLLFTGVEESHEPHPIESTNTPQISSVTSSEDVKRVLSEPKRIRDEVDVDDDQRSKRRKKSSCNDSSTPVDTPTSEPRLVIQTKSEVDIVSDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCPVKKHVERASHDPKLVITSYEGQHDHDMPPSRTITHNTTGLNTCTTTIQNGELGTKSGESNAISLEMVVYNSSDSNIKLEEKLSSESRNKWKESNSGHESKSCEQQTGNTNATKVSGAANLDIVVNTNPVSAGRSTEQHDGESRIEPKENSAACGVHNITPGPESNPNEQNVLNSEPVQS >Potri.014G164300.1.v4.1 pep chromosome:Pop_tri_v4:14:11862703:11866425:1 gene:Potri.014G164300.v4.1 transcript:Potri.014G164300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164300.v4.1 MVSSEEVPDEVSPKELQKRQSLDDGTDTKPQTHDVGGASQQEGIPASYAPGKSLENSGGRISELDKEGSVSSITPRKVSHTPGSDLRSLQSGQEGRTPIMREKVSEDGYHWRKYGQKFVKGNEFIRSYYKCTHPSCQAKKQLECSHDGKLADIVYLGEHEHPKPQHNLPQAVGCVLSVVEEKPDHLLFTGVEESHEPHPIESTNTPQISSVTSSEDVKRVLSEPKRIRDEVDVDDDQRSKRRKKSSCNDSSTPVDTPTSEPRLVIQTKSEVDIVSDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCPVKKHVERASHDPKLVITSYEGQHDHDMPPSRTITHNTTGLNTCTTTIQNGELGTKSGESNAISLEMVVYNSSDSNIKLEEKLSSESRNKWKESNSGHESKSCEQQTGNTNATKVSGAANLDIVVNTNPVSAGRSTEQHDGESRIEPKENSAACGVHNITPGPESNPNEQNVLNSEPVQS >Potri.006G164516.1.v4.1 pep chromosome:Pop_tri_v4:6:16005458:16006251:-1 gene:Potri.006G164516.v4.1 transcript:Potri.006G164516.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164516.v4.1 MASNPFSSCLIDTSFDLDEALTLPQNLSQQITYSNSTIVADMPTALTTGDAVCAVCMEGFQSGIGGKKVPCGHVYHEACISALLSHRHSCPLCRCDISG >Potri.012G109500.5.v4.1 pep chromosome:Pop_tri_v4:12:13013948:13014955:-1 gene:Potri.012G109500.v4.1 transcript:Potri.012G109500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109500.v4.1 MCAHSTTRWAAEERPLKDVILRHRVDQIAHHRLRDERNVLEDELRDVKASYASLMGEMQEARIRLVSSEQRLQEVLIEVSASRETIAELRASKDALRLELQAVKEELKNSKAKLQGLKTSSGDLKDFFRGSAALDEVVGDKIFLAMSNLYFFNRSRGMQVDFSAAFHPFTVPDFDDVFPARASAANRGEYLDAEPGLDVI >Potri.012G109500.4.v4.1 pep chromosome:Pop_tri_v4:12:13013948:13014955:-1 gene:Potri.012G109500.v4.1 transcript:Potri.012G109500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109500.v4.1 MMCAHSTTRWAAEERPLKDVILRHRVDQIAHHRLRDERNVLEDELRDVKASYASLMGEMQEARIRLVSSEQRLQEVLIEVSASRETIAELRASKDALRLELQAVKEELKNSKAKLQGLKTSSGDLKDFFRGSAALDEVVGDKIFLAMSNLYFFNRSRGMQVDFSAAFHPFTVPDFDDVFPARASAANRGEYLDAEPGLDVI >Potri.003G157201.2.v4.1 pep chromosome:Pop_tri_v4:3:16814666:16817059:-1 gene:Potri.003G157201.v4.1 transcript:Potri.003G157201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157201.v4.1 MLGQEVSGVSYITIDINPHALRVTLETLDAHGVDADLMCVDIASGLEKRLSGMVDVMVVNPPYVLPMPDDEADNEGMASAWAGGRIGRSVIDRMLPVADRLLSDKGWLYMVTLTTMILT >Potri.015G028200.1.v4.1 pep chromosome:Pop_tri_v4:15:2145924:2150514:1 gene:Potri.015G028200.v4.1 transcript:Potri.015G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028200.v4.1 MARQAVAKLLGSIASRKPSSINSLLNLSPTSAAQTRHYAAAPPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNTVAEAKAETKANASVIYVPPPFAAAAIMEAMEAELNLVVCITEGIPQHDMVRVKAALNRQTKTRLIGPNCPGIIKPGECKIGIMPAYIHKPGRIGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCIEKFLVDPQTEGIILIGEIGGTAEEDAAALIKESGTEKPVVAFIAGLTAPPGRRMGHAGAIVSGGKGTAQDKIKTLREAGVTVVESPAKIGVAMLDVFKQRGLAN >Potri.007G027000.1.v4.1 pep chromosome:Pop_tri_v4:7:2085447:2090755:-1 gene:Potri.007G027000.v4.1 transcript:Potri.007G027000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027000.v4.1 MSSTPDAPSPDSGSPPPPPASPPPENSTSAPPPQSDTPPPDTSSPPPPSPPPPPASPPPENSTSAPPPQSDTPPPDTSSPPPPSPPPPPPTSDSRSPPSPPPPKHREDASPPPPPPPKHREDASPPPPPSSENSQPAPPDSSNNGGGLTSDQIKIVVGVAVGFGIFLIALIFICAYCSRKKKRKNRHYYGENPQGGSEQFSYNYSAQQSNWQNGPPKEHVVKLSQSPGAMGSPWLAQPPTPPMGNSDDMSSINSGPYRPPLPPPPPNIALGFNKSTFTYDELAAATGGFDQANLLGQGGFGYVHKGVLPNGKEIAVKSLKAGTGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGGQRMLVYEFVPNKTLEHHLHGKGLPVMEWPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKAANILIDNNFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYAASGKLTEKSDVFSYGVMLLELITGKKPVDPSSAMEDSLVDWARPLTISALETGNFIELVDPMLESKYNHQEMQRMIACAAASIRHSARKRPKMSQIVRALEGDVLLDVLNEGTRSTGQSPMFSSSNGSSDYDTNSYNADMKRFRQVALGSQGFGSSEHGTSSNDSREMDPSGIHRNYQ >Potri.010G217000.4.v4.1 pep chromosome:Pop_tri_v4:10:20395151:20398606:1 gene:Potri.010G217000.v4.1 transcript:Potri.010G217000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217000.v4.1 MIQSLNPQHMQNHGERAGHRETDASQSESEFNLRKSLAWDSAFFTSPGVLDPEELETLSIRIVDNGGYTTGGGELRSVRSQPAGPERNNGIDECAVRKSLAWDGAFFTSSGVLNAEELSLLNGGFRPSEAHLLPGIEEVWSSIQSNSTRNTDSYSLASLEIDLLDNMRAFMQKSPKASSNRPTSTGKVSRENEMRRGNTSKILDASSRLRVAPNKESKSFLKPPKISCRARTLSTAPNKRASLGVNHVKLENKGAQVASGRTKIVSKKTCIRGSCSIVPSSTPPVKSPSSVLHTAGKEFGGFCCAPNFTGKSPPDSLRRINSQVSSSVSTSRTPSKHSFGNKNDLMKSRDSVCLLSTPKSSSCTSPASSMDGWSSESSSIVLNPRSNGFAAILATTACTGISFGKDASQVTDSQRRRYEEPSLVHESQETSFMTAQPNKNSERTSLVRSNVSKSLTSSSLRMPLPKIGYFDAENFVDIAPNGGLKFSSGVQCTSSKNRSGTSDANGATDRTRYGMHRLAGATSMSVSKTGTKELVLVCLQEKKQPVKEHEVIKGHDRGNKVRRIDEDKENIGGFENQVDDLSRRVQGIAFRRELIT >Potri.010G217000.5.v4.1 pep chromosome:Pop_tri_v4:10:20395156:20398607:1 gene:Potri.010G217000.v4.1 transcript:Potri.010G217000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217000.v4.1 MIQSLNPQHMQNHGERAGHRETDASQSESEFNLRKSLAWDSAFFTSPGVLDPEELETLSIRIVDNGGYTTGGGELRSVRSQPAGPERNNGIDECAVRKSLAWDGAFFTSSASKILDASSRLRVAPNKESKSFLKPPKISCRARTLSTAPNKRASLGVNHVKLENKGAQVASGRTKIVSKKTCIRGSCSIVPSSTPPVKSPSSVLHTAGKEFGGFCCAPNFTGKSPPDSLRRINSQVSSSVSTSRTPSKHSFGNKNDLMKSRDSVCLLSTPKSSSCTSPASSMDGWSSESSSIVLNPRSNGFAAILATTACTGISFGKDASQVTDSQRRRYEEPSLVHESQETSFMTAQPNKNSERTSLVRSNVSKSLTSSSLRMPLPKIGYFDAENFVDIAPNGGLKFSSGVQCTSSKNRSGTSDANGATDRTRYGMHRLAGATSMSVSKTGTKELVLVCLQEKKQPVKEHEVIKGHDRGNKVRRIDEDKENIGGFENQVDDLSRRVQGIAFRRELIT >Potri.010G217000.6.v4.1 pep chromosome:Pop_tri_v4:10:20394873:20398478:1 gene:Potri.010G217000.v4.1 transcript:Potri.010G217000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217000.v4.1 MIKKNTTSSKMSSKGSFQQFQFSEVSESRKKADVQMIQSLNPQHMQNHGERAGHRETDASQSESEFNLRKSLAWDSAFFTSPGVLDPEELETLSIRIVDNGGYTTGGGELRSVRSQPAGPERNNGIDECAVRKSLAWDGAFFTSSGVLNAEELSLLNGGFRPSEAHLLPGIEEVWSSIQSNSTRNTDSYSLASLEIDLLDNMRAFMQKSPKASSNRPTSTGKVSRENEMRRGNTSKILDASSRLRQVAPNKESKSFLKPPKISCRARTLSTAPNKRASLGVNHVKLENKGAQVASGRTKIVSKKTCIRGSCSIVPSSTPPVKSPSSVLHTAGKEFGGFCCAPNFTGKSPPDSLRRINSQVSSSVSTSRTPSKHSFGNKNDLMKSRDSVCLLSTPKSSSCTSPASSMDGWSSESSSIVLNPRSNGFAAILATTACTGISFGKDASQVTDSQRRRYEEPSLVHESQETSFMTAQPNKNSERTSLVRSNVSKSLTSSSLRMPLPKIGYFDAENFVDIAPNGGLKFSSGVQCTSSKNRSGTSDANGATDRTRYGMHRLAGATSMSVSKTGTKELVLVCLQEKKQPVKEHEVIKGHDRGNKVRRIDEDKENIGGFENQVDDLSRRVQGIAFRRELIT >Potri.010G217000.2.v4.1 pep chromosome:Pop_tri_v4:10:20394843:20398600:1 gene:Potri.010G217000.v4.1 transcript:Potri.010G217000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217000.v4.1 MIKKNTTSSKMSSKGSFQQFQFSEVSESRKKADVQMIQSLNPQHMQNHGERAGHRETDASQSESEFNLRKSLAWDSAFFTSPGVLDPEELETLSIRIVDNGGYTTGGGELRSVRSQPAGPERNNGIDECAVRKSLAWDGAFFTSSGVLNAEELSLLNGGFRPSEAHLLPGIEEVWSSIQSNSTRNTDSYSLASLEIDLLDNMRAFMQKSPKASSNRPTSTGKVSRENEMRRGNTSKILDASSRLRVAPNKESKSFLKPPKISCRARTLSTAPNKRASLGVNHVKLENKGAQVASGRTKIVSKKTCIRGSCSIVPSSTPPVKSPSSVLHTAGKEFGGFCCAPNFTGKSPPDSLRRINSQVSSSVSTSRTPSKHSFGNKNDLMKSRDSVCLLSTPKSSSCTSPASSMDGWSSESSSIVLNPRSNGFAAILATTACTGISFGKDASQVTDSQRRRYEEPSLVHESQETSFMTAQPNKNSERTSLVRSNVSKSLTSSSLRMPLPKIGYFDAENFVDIAPNGGLKFSSGVQCTSSKNRSGTSDANGATDRTRYGMHRLAGATSMSVSKTGTKELVLVCLQEKKQPVKEHEVIKGHDRGNKVRRIDEDKENIGGFENQVDDLSRRVQGIAFRRELIT >Potri.008G168000.2.v4.1 pep chromosome:Pop_tri_v4:8:11617772:11619868:1 gene:Potri.008G168000.v4.1 transcript:Potri.008G168000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168000.v4.1 MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYIGHYPMLAYFALAENESIGRERYNFMQKMLLPCGLPPEREDD >Potri.008G130550.1.v4.1 pep chromosome:Pop_tri_v4:8:8572076:8572908:1 gene:Potri.008G130550.v4.1 transcript:Potri.008G130550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130550.v4.1 MFESTSISVTPGKLKKKCTSRKRWLKICPRMRRVYHRGAKGLSRDTFLGVSYRSEDST >Potri.014G156000.2.v4.1 pep chromosome:Pop_tri_v4:14:11005280:11008334:-1 gene:Potri.014G156000.v4.1 transcript:Potri.014G156000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156000.v4.1 MEAAAAGDLVSSRIGPRTITTSSSSSSSSSPAPLLPYNLPLLSALLACALAQFLKLFTTWYKEKRWDSKRMLDSGGMPSSHSATVTALAVAVGLQEGTGSPAFAIVVVLACVVMYDASGVRLHAGRQAELLNQIVCEFPPEHPLSSSRPLRELLGHTPLQVVAGAILGCIVGYLMRNTD >Potri.017G112200.2.v4.1 pep chromosome:Pop_tri_v4:17:11994142:12011807:1 gene:Potri.017G112200.v4.1 transcript:Potri.017G112200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112200.v4.1 MSSMASKIKAYSVPLFLFSLSVFYQLVVLPRSFPASHYDVLGIKRYSSVEEVKEAYDKLSSKWNSEAGIPATSDFIKIQYAYELLTNPLWKRDYDIFGIDEQAHIMDKINLQHAGESISGIDLPLLEATTFDLGFHTFNEFTSQEFAPMFDSSKPWLVLVYSLGSKKCAQFFSSWIDITGLLDGVAGVGILELGELQLAISLAERKPTGKFFFRNGLPSLVAFPSGCKASACLVRFEGDLSTDAVIDWFATKVLGLPRILYYSKESLGQNFLAKSGPHKVKVIFFSKTGARATPFVRQTAKSYWAYTSFAFVLWREEDFSVWWNAFEVESAPAIVFVKDSGVKPVVVHGMVNNSEFLDLVEKNKQQELPQLRSATSMELGCDARGHSRAGNDTISWYCVILAGRLGPELNKLREIMRRIQERLSIDSESSEADKEQPLALTGAFKGKRLTFTWLDGEAQEKYCSYYLHSETSYDTCGPRRDLIDVPKLFIVRYKRNASEDDIKVNTKPKKIFNVFEDEDVDPASQLVARYNGSDEISQIMLWMSEIIRDGDTRNLPFYRSKTPALVPEDSEPIWSRGAQSIFSKSIGIKQRIYNNISRIYDYLGDPRIGPILLLGALMSFGTIWLIRSQSTHPTQASQLSQSNAKDETRARRRERAKHAAKKDQPPSITDSEPKGAYQMPLSDSESE >Potri.017G106700.2.v4.1 pep chromosome:Pop_tri_v4:17:11597789:11598370:1 gene:Potri.017G106700.v4.1 transcript:Potri.017G106700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106700.v4.1 MQPSEVTGLHYLVPSSPSPYSANFSMSQNNSQMFQFTNPSYNSQIPSQVQEFSLQASCMSSISTSDEADEQQLSLINERKQRRMVSNRESARRSRMRKQKHLDELWSQVVWFRNENHQLLDKLNHVSECHDRVVHENAQLKEETSGLRQILTDMQLNSPYPLLKDLEDITCDTAYLGSESSNQSITSSSDLLG >Potri.001G411000.1.v4.1 pep chromosome:Pop_tri_v4:1:44095044:44099054:1 gene:Potri.001G411000.v4.1 transcript:Potri.001G411000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G411000.v4.1 MDILPHTVFCTILSLTLFNISFLIFQLKFSTALDTIAPSQSLIDGKTLVSREGSFELGFFSPGISKNRYLGIWYKNIPVRTVLWVANRRNPIEDSSGFLTIDNTANLLLVSNRNVVVWSSNSTIVAKSPIVLQLLDSGNLVLRDEKSDSGRYLWQSFDHPSDTLIPGMKLGWDLRTGLERRLSSWRSSDDPSPGDLTWGIKLQNNPETIIWRGSQQYFRSGPWTGIAFTGAPELVQNPVFKLNFVSSEDEVYLSYNLKNISAFSRIVVNQTTNYREAYTWNEATQTWVLYASVPRDSCDNYALCGANGNCIINDLPICRCLKKFKPKSPEKWNLMDWSGGCVRNKPLNCQKGDGFVKYLGLKWPDATHSWLNNSMNLNECRAKCLQNCSCMAYSNSDVRGGGSGCIIWYGDLIDIRQFPAGGQELYIRMNPSESDAKAEPTVKIAVIVSTVIAMVSGLLVFCYCICKRKEKCREMDQQNDQITDGENEDLELPQFEFAKIVNATNNFSIKNKLGHGGFGPVYKGTLEDGQEIAVKRLSMSSRQGSKEFKNEVILINKLQHRNLVKLLGCSIQREERLLVYEYMPNKSLDSFLFDQTKSKLLDWSKRFNIICGIARGLLYLHQDSRLRIIHRDLKSSNVLLDKDMNPKISDFGLARTFGGDQTEGNTSRVVGTYGYMAPEYATDGLFSVKSDVFSFGIMLLEIVTGKKSRGFYHPDNTLSLIGYAWRLWKEGKPLELVDGLAEESWNLSEVMKCIHISLLCVQQYPEDRPSMASVVLMLGGERTLPKPKEPGFFKDRGPAEAYSSSSKVESSSTNEISTSVLEPR >Potri.005G236400.1.v4.1 pep chromosome:Pop_tri_v4:5:23435846:23439373:-1 gene:Potri.005G236400.v4.1 transcript:Potri.005G236400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236400.v4.1 MGASSSKEQNVSSEQREVESLAASTGSTSLLQNAFSKLADPQTNAIPLQSLQPCFSLNYKSTECEALKMPDSLLGLLDHLGPSMVDLFFITDKGGVNWIEFVRGYLKCCGRMPVSVLLNTLLRLFSATGVKAGIPLKLEVEAIDDGEYKISGSLLPIDVLMFLWMCWAMLWNSRTWNFLKEKECLYLPDISPLVLSAVVSCAEGGSGLELWDCDVSVLDVQLPAGKFLTWMLTTVTNLTECFTQFVNARLQNCTSSEQDTLESSSSSLREISSEKACNSCLLTCGKAWAISLTIRSAISQEILNPYFPSNSDATDENLIYRSSLHGKGLNRFWSNIEGYLGPMLILISATSGDASEDSTNCRKWIVGALTHQGFENRDMFYGTSGTLYAISPVFHMYSPSGKEKNFVYSHLHPTGRVYEARPKPVGIAFGGTIGNERVYMDGDFSRVTVRHHAVDKTYQQGSLFPNQGFLPAEALILEVEVWGLGGRKAREIQFSYKKREDLFTAQRRKVDMKTFASWEDSPEKMMTDMMADPNRVRREDR >Potri.001G178000.2.v4.1 pep chromosome:Pop_tri_v4:1:15517820:15532172:-1 gene:Potri.001G178000.v4.1 transcript:Potri.001G178000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178000.v4.1 MGASLPPKEANLFKLIVKSYESKQYKKGLKAADAILKKFPDHGETLSMKGLTLNCMDRKSEAYDLVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQQLLSLKPNHRMNWIGFAVAHHLNSNGSKAVEILEAYEGTLEDDYPPDNERCEHGEMLLYKISLLEECGSLERALEELHKKESKIVDKLTLKEQEVSLLVKLGHLEEGAEVYRALLSINPDNYRYCEGLQKCVGLYSENGLSSSDIDQLDALYKSLGQQYTWSSAVKRIPLDFLQGDKFHEAADNYIRPLLTKGVPSLFSDLSPLYNHPGKADILEKLILELENSLRISGGYPGRPEKEPPSTLMWTLFFLAQHYDRRGQYDVALSKIDEAIGHTPTVIDLYSVKSRILKHAGDLPAAATLADEARCMDLADRYINSECVKRMLQADQVALAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLFDSPSKSTAEEDDEMSKLPPSQRKKMKQKQKKAEARAKKEAEVRNEESSASGVSKLGKRHVKPVDPDPNGEKLLQVEDPLLEATKYLKLLQKHSPDSLETHLLSFNVNMRKKKILLALQAVKQLLRLDAESADSHRCLVRFFHTVGTMTAPVTDTEKLVWSVLEAERPLISQLHEKPLTEANMIFFEKHEDSLMHRAAVAEMLSVLEPNKKLEAVKLIEDSTNNPAPTNGALGPVNEWKLKDCIGVHKLLVEVLNDPDAALRWKLRCAQYFPCSTYFEGKCSSAASNSVYGQIAKNPENGGSNHSDGGEIADFVESNGRLETFKDLTI >Potri.011G118600.1.v4.1 pep chromosome:Pop_tri_v4:11:14976331:14976786:1 gene:Potri.011G118600.v4.1 transcript:Potri.011G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118600.v4.1 MASSLLKLATILSLVVSILLALHTQITLSVDVEDEDEEYVLDTPPVNFRSRSRFLATVIKKGTRCNAERYNKCNGVSANKGTGLLYCCKKHCRNVLGDENNCGQCGNKCKLGESCCNGKCTNVIYNASNCGKCNNKCSPGVKCQYGTCGYA >Potri.012G077200.1.v4.1 pep chromosome:Pop_tri_v4:12:10084180:10087577:1 gene:Potri.012G077200.v4.1 transcript:Potri.012G077200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077200.v4.1 MAPFAGTTQKCKSCEKTVYFVDQLTADDKVYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLLKMTGSLDKSFKGTSKTVRVDRSADQVQSNSKVSSMFAGTQEKCVACKKTVYPIERVAVDGTSYHKACFRCAHGGCVISPSNFVAHEHRLYCRHHHNQLFKQKGNFSQLDKHEHVTPVKETAAAE >Potri.011G040000.1.v4.1 pep chromosome:Pop_tri_v4:11:3108135:3110686:1 gene:Potri.011G040000.v4.1 transcript:Potri.011G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040000.v4.1 MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACCLCLKPFIDPMSCQKGHVFCKECILECLLAQKKDIQRKLASHELQKKQEKEEEAEKLMSQKARELDAFDQQNHGAVPQYSDRNHSQDKNGFHGANSVKVTSYEEEALRTMKAFWLPSATPVAPVKVDAPSTSTVCPEGKEKLKLKSLFPVYFTEDTSEKKSSSSLDKTFICPSCKVTLTNTLSLVALSSCGHVFCKKCADKFMAVDKVCLACGKGCKERNLVNLEKGGTGFAGHDDHLVATDFKHLGSGSGLGLVRPATKT >Potri.001G343100.1.v4.1 pep chromosome:Pop_tri_v4:1:35396159:35404944:-1 gene:Potri.001G343100.v4.1 transcript:Potri.001G343100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343100.v4.1 MAKSLSLLCFLPKPMAFSTTTKATFYPSLFPSKRPTLLNKPIFSLYQNLFSTTSTRYPLQYEMIINRPVQPQRPQSRPQPARVTRPDSDSDSTQNPDAELGLDSWVDRKLSQDEMDKSKRKYYRKRRKRMYGSDSDEENKKNEEGFVELKPEVVEFHRLHKREEELYFYDAFAYPWEKDKHYKMVYQLEKKYFPDQCLDKAFLDPKDSNNVNQSGKPKKTKSGVKRGEKERDHSGVGEGEEDKRLVFFDEEEKGERGTDLNDKKDVTERKVEEFFKCLKKVPNKDNEVDTGDPYLSTRNALPPRWDGPYGTVVLVNKPKGWTSFTVCGKLRRLVKVKKVGHAGTLDPMATGLLIVCVGKATKLVDRYQGMVKGYSGAFRLGEATSTWDADSPVIQREPWEHIKDADIKKAAASFRGEIWQVPPMFSAIKVGGERMYEKARKGESIELSPRRISIFQFDIERSLEDRQNLIFRVTCSKGTYIRSLCADFGKALGSCAHLTALRRDSIGEYSAEDAWEFKELEEAITKNYF >Potri.011G144100.1.v4.1 pep chromosome:Pop_tri_v4:11:17332264:17334008:1 gene:Potri.011G144100.v4.1 transcript:Potri.011G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XGOAT3 MNRFFYTVGLIFLFSFFILYSPKTSDLSNNVDLHQQLLISLQKEEERCDLFSGYWVQDLRGSQYTNVSCSSIPESKNCFMQGRPDAGFSQWRWKPDGCELPRFDPGTFFEIVRGKTMAFIGDSVARNHVESLLCLLSSEEMPLGIYKDTEDRTRTWYFPHSNFTLMVIWTRFLVLDEERVINGSVTGVFDLHLDKMDKNWANKLPEIDYAILSDAHWFFRKNYLYEKGKNIGCIFCGEPGIKSLDIDSALQMVIKVVLNYINNCKKCRNILTVLRTFSPAHFADGAWDTGGSCNRTHPLGEKEIDLASLDWKIRSIQVEEIKRVRPVARRRKKFEVLDVTKAMLMRPDGHPNSYWGNKWMKGYNDCVHWCMPGPIDAWNDFLIALLRRHAFTDFTWS >Potri.018G097500.2.v4.1 pep chromosome:Pop_tri_v4:18:11817114:11823669:1 gene:Potri.018G097500.v4.1 transcript:Potri.018G097500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097500.v4.1 MLLTVREACPCSPISFNLSRINPTRASQRLKTSVCRASFSVQPKPNTKSWNTTLNANSFDPLGINSNVPSSLNASWESLLAFLSPIFESASSTKKDKRGVAAAIEDSSIDFGDFFKGPLPGKFLKLLGFLALSRLGIYIPLGGVNQEAFAGNLDQNSLLSTLDSFSGGGIGRLGICSLGIVPFINAQIVFQLLAQIYPKLQDLQKREGEAGRKKLLQYTRYASVGFAIVQAIGQVLYLRPYVDDFNTQWVLTSVTLLTLGSVFTTYIGERISDLKLGNGTSLLIFTSIISYLPASFGRTAAEAFQDGNYVGLGTIIFSFFLLVLGIVYVQEAERKIPLNYASRYTSRSGGLQKSAYLPFKVNSSGVMPIIFSTSSLALPGTLARFTGISALKKAALALNPGGSFYLPTNILLIAFFNYYYTFLQLDPDDVSEQLKRQGASIPLVRPGKSTAAFLKTVLSRISVLGSAFLAILAAGPSVIEQISHLTAFRGFAGTSVLILVGCATDTARKVQAEIISQKYKNIEFYDIDRYGP >Potri.001G020080.1.v4.1 pep chromosome:Pop_tri_v4:1:1534811:1536200:1 gene:Potri.001G020080.v4.1 transcript:Potri.001G020080.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020080.v4.1 MGTRGRPGQWPMQLVYAVAFCLVATSVVAYQPYIYASPPPPHHPIIYKHPSYKLPSIPKHVAHLPHYYKPHLPKHEKSPSYQYKTPPPPPKHVVSLSYYYKSPPPPKYVTPSPYIDKSPSPTKQSKHTPKYYYKSPPPPPKHVMPSPYYYKSPPPPKYIKHTPYYYKSPPPSPPKHHQAPHYYYKSSRSPLKSPPLSYYYKSSPPPSSSPPSPYYYKSPPPPSPSPPPPYYYKSPPPPSQSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSP >Potri.001G126400.3.v4.1 pep chromosome:Pop_tri_v4:1:10390438:10396683:-1 gene:Potri.001G126400.v4.1 transcript:Potri.001G126400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126400.v4.1 MDSLHQTPNPHFQTLQDQSNPPPPPPPPPPPGNVDFIPVYPNPPPPPPPPAEEPQFPDPDNQDKTLISNPPQFAPENGHNLQTTTPKPEIPKPLLSENGVANTNSGDRDYSGGEEETTSRRRRRSRWDPPADSGTDGGGGGSSSNTNNNDSGSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEADTQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELATLNGTIRDEEYCRLCGEPGHRQYACPSRTTTFKSDVLCKICGDGGHPTIDCPMKGTTGKKMDDEYQNFLAELGGTMPESATKQTATLALGSSGSGSNPPWASSNTGGLGSANQAGLGANGLKLKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGEIVMAKVIKDRITGLSKGYGFVKYRDVQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPASTMPAYPVSTQPLGAYQSQQFTAGGPLPNGPPTSYTGAPANYTGTPVPWGSPVPPPYAPYAAPPPPPPPGSTMYPPIPGQPMPPYGVQYPPLVQPVPPGAPTQTVTPSEAQQSYPPGVPSENSTSAPPATSNVYGQSGAQPAYTTSLGYSSYYSAVPPPAPQPTTGHSQGMGNVPWASNLTVPPPHSSAEKTSYGPDAEYEKFMAEMK >Potri.001G126400.5.v4.1 pep chromosome:Pop_tri_v4:1:10393883:10396536:-1 gene:Potri.001G126400.v4.1 transcript:Potri.001G126400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126400.v4.1 MDSLHQTPNPHFQTLQDQSNPPPPPPPPPPPGNVDFIPVYPNPPPPPPPPAEEPQFPDPDNQDKTLISNPPQFAPENGHNLQTTTPKPEIPKPLLSENGVANTNSGDRDYSGGEEETTSRRRRRSRWDPPADSGTDGGGGGSSSNTNNNDSGSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEADTQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELATLNGTIRDEEYCRLCGEPGHRQYACPSRTTTFKSDVLCKICGDGGHPTIDCPMKGTTGKKMDDEYQNFLAELGGTMPESATKQTATLALGSSGSGSNPPWASSNTGGLGSANQAGLGANGLKLKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGEIVMAKVIKDRITGLSKGYGFVKYRDVQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPASTMPAYPVSTQPLGAYQSQQFTAGGPLPNGPPTSYTGAPANYTGTPVPWGSPVPPPYAPYAAPPPPPPPGSTMYPPIPGQPMPPYGVQYPPLVQPVPPGAPTQTVTPSEAQQSYPPGVPSENSTSAPPATSNVYGQSGAQPAYTTSLGYSSYYSAVPPPAPQPTTGHSQGMGNVPWASNLTVPPPHSSAEKTSYGPDAEYEKFMAEMK >Potri.001G126400.4.v4.1 pep chromosome:Pop_tri_v4:1:10392744:10396629:-1 gene:Potri.001G126400.v4.1 transcript:Potri.001G126400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126400.v4.1 MDSLHQTPNPHFQTLQDQSNPPPPPPPPPPPGNVDFIPVYPNPPPPPPPPAEEPQFPDPDNQDKTLISNPPQFAPENGHNLQTTTPKPEIPKPLLSENGVANTNSGDRDYSGGEEETTSRRRRRSRWDPPADSGTDGGGGGSSSNTNNNDSGSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGAKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEADTQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELATLNGTIRDEEYCRLCGEPGHRQYACPSRTTTFKSDVLCKICGDGGHPTIDCPMKGTTGKKMDDEYQNFLAELGGTMPESATKQTATLALGSSGSGSNPPWASSNTGGLGSANQAGLGANGLKLKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGEIVMAKVIKDRITGLSKGYGFVKYRDVQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPASTMPAYPVSTQPLGAYQSQQFTAGGPLPNGPPTSYTGAPANYTGTPVPWGSPVPPPYAPYAAPPPPPPPGSTMYPPIPGQPMPPYGVQYPPLVQPVPPGAPTQTVTPSEAQQSYPPGVPSENSTSAPPATSNVYGQSGAQPAYTTSLGYSSYYSAVPPPAPQPTTGHSQGMGNVPWASNLTVPPPHSSAEKTSYGPDAEYEKFMAEMK >Potri.004G172900.2.v4.1 pep chromosome:Pop_tri_v4:4:18821291:18823501:1 gene:Potri.004G172900.v4.1 transcript:Potri.004G172900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172900.v4.1 MSATQDVILGRPKAEWTPTRDAYLVELFIDQHNCGRTAYNEFKNEMIRSVTRDFNKRFSMNLEESQVKNRYNVMKKDYGVVKTLLTHTGFGWDESRQMVVADDKVWDSYIAFLLQVKCEARPFRRKSFPLYKQMSIIFEGERVAGKFQLPNGVPVEIEEGNSNTETVQSSEPANLPTHLVDGTLDSDSTVRVNDRHLKKRKSIGPSASGHKKRACENIGETLENALYEMFSAFTFKAIQRNASNEKAMYQKCLEELQKLDEFGDTEFVKAVNVLRDDKNAIAFMTIKGPRRLMWLRSLWQ >Potri.004G172900.4.v4.1 pep chromosome:Pop_tri_v4:4:18821291:18823501:1 gene:Potri.004G172900.v4.1 transcript:Potri.004G172900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172900.v4.1 MSATQDVILGRPKAEWTPTRDAYLVELFIDQHNCGRTAYNEFKNEMIRSVTRDFNKRFSMNLEESQVKNRYNVMKKDYGVVKTLLTHTGFGWDESRQMVVADDKVWDSYIAVKCEARPFRRKSFPLYKQMSIIFEGERVAGKFQLPNGVPVEIEEGNSNTETVQSSEPANLPTHLVDGTLDSDSTVRVNDRHLKKRKSIGPSASGHKKRACENIGETLENALYEMFSAFTFKAIQRNASNEKAMYQKCLEELQKLDEFGDTEFVKAVNVLRDDKNAIAFMTIKGPRRLMWLRSLWQ >Potri.002G057800.1.v4.1 pep chromosome:Pop_tri_v4:2:3964396:3972580:-1 gene:Potri.002G057800.v4.1 transcript:Potri.002G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057800.v4.1 MSNVSVAAEWQLLTDRYYRKPELYPMRWKHIDLSRNKVACAPFGGPIALIRDDSKIVQLYAESALRKLRIFNSAGVLLSETVWKHPGGRLIGMSWTEDQTLICIVQDGTIYRYNVHGECLEPNFSMGKDCFEQNVVDCVFWGNGVVCLTEAGKLFCVPDFKEIKPCKLAEIGVGVEELPHCMAVIEPQYTVSGNVEVLLGVGSGFVIVDEDEVRFIDEEKVGGAVQKIAVSHNGRFLACFMHDGRFLVMNTEFINFTNYQCESALPPEQMAWCGLDSVLLYWDDVLLMVGPSGDSVSYFNDEPVIFIPECDGVRVLSNTSMEFVQLVPDSTVSIFKIGSTSPASLLFDALDHFDRRSAKADENLRLIRSSLPEAVEACIDAAGHEFDVSRQRTLLRAASYGQAFCSNFKCDHIQEMCKTLRVLNAVRDPEIGIPLSIEQYKLLSAPVLVGRLINAHQHLLALRISEYVGLNQEEVVMHWACAKITASLAIPDAALLEILLDKLKLCKGMSYAAVAAHADRSGRRKLAAMLVDHEPHSSKQVPLLLSIAEEETALVKATESGDTDLVYLVLFHIWQKSSALEFFGTIQARALARDLFIAYARYYKHEFLKDFFLSTGQLQEVALLLWKESWEIGKNSMASKGSPLHGPRIKLIEKAHDLFSETKEHNFESKAAEEHAKLLRIQHELEVSTKQPIFVDSSISDTIRTCIALGNHRAAMKVKTEFKVSEKRWYWLKVFALATIRDWDALEKFSKEKRPPNGFRPFVEACIDAAEKGEALKYIPKLADPRERAEAYARIGMAKEAADAASQAKDGELLGRLKLSFAQNTAASSIFDTLRDRLSFQGVS >Potri.015G058400.1.v4.1 pep chromosome:Pop_tri_v4:15:8102309:8106521:-1 gene:Potri.015G058400.v4.1 transcript:Potri.015G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058400.v4.1 MSSTCSGFGFGFAPILFLSLVVACAARPIYPLPSKVSHGNRLPLQTSRPYNIAHRGSNGEIPEETSAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDDTTDIADHKEFANRKRTYDVQGVNTTGFFTVDFTLTELKLLRVKQRYSFRDQQYNGMFPIITFEEFISIALDAPRVVGIYPEIKNPILINQHVKWPDGKKFEDKFVGILKKYGYRGSYMSENWLKQPLFIQSFAPTSLVYISNLTDSPKIFLIDDVTIPTQDTNQSYWEITSDAYLDYIKDYVVGIGPWKDTIVPVGKNYLQIPSNLVARAHAHDLQVHPYTYRNENKFLHFDFHQDPYEEYDYWLNNIRVDGLFTDFTGSLHNFQEWTSPLSKDGGDENSASKLLHKIALLISSYKN >Potri.015G058400.7.v4.1 pep chromosome:Pop_tri_v4:15:8102288:8106541:-1 gene:Potri.015G058400.v4.1 transcript:Potri.015G058400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058400.v4.1 MSSTCSGFGFGFAPILFLSLVVACAARPIYPLPSKVSHGNRLPLQTSRPYNIAHRGSNGEIPEETSAAYMRAIEEGADFIETDILSSKDGVLICFHDVTLDDTTDIADHKEFANRKRTYDVQGVNTTGFFTVDFTLTELKLLRVKQRYSFRDQQYNGMFPIITFEEFISIALDAPRVVGIYPEIKNPILINQHVKWPDGKKFEDKFVGILKKYGYRGSYMSENWLKQPLFIQSFAPTSLVYISNLTDSPKIFLIDDVTIPTQDTNQSYWEITSDAYLDYIKDYVVGIGPWKDTIVPVGKNYLQIPSNLVARAHAHDLQVHPYTYRNENKFLHFDFHQDPYEEYDYWLNNIRVDGLFTDFTGSLHNFQEWTSPLSKDGGDENSASKLLHKIALLISSYKN >Potri.001G376500.1.v4.1 pep chromosome:Pop_tri_v4:1:39618944:39622768:-1 gene:Potri.001G376500.v4.1 transcript:Potri.001G376500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376500.v4.1 MRNSMLRSIKSLTTSPSSHVLRRGYASEAVPERKVAVLGAAGGIGQSLSLLMKLNPLVSNLALYDIANTPGVAADVSHINTRSEVVGYASDAELGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCEAIAKHCPNALVNMISNPVNSTVPIAAEVFKKAGTYDPKRLFGVTTLDVVRAKTFYAGKAMVPVAEVNVPVVGGHAGITILPLFSQATPKANLSDEVITALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGVPDVIECSFVQSTVTELPFFASRVRLGKNGVEEVLGLGPLSDFEKEGLEKLKPELKSSIEKGIKFATQ >Potri.009G101900.1.v4.1 pep chromosome:Pop_tri_v4:9:9011649:9013783:1 gene:Potri.009G101900.v4.1 transcript:Potri.009G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101900.v4.1 MQRSPKRPKINEAPSATLFSPPAAPPLRLTQEQEVAVMVAALKNVVSGTASMDFSREMNSINMPIITSHPQFGSASNNGNGFCNSILPPSSDLDTCGVCKIKGCLGCNFFPPNQEDKKDDKKGKRKRVKKNYRGVRQRPWGKWAAEIRDPRKAARVWLGTFNTAEEAARAYDKAAIDFRGPRAKLNFPFPDSGIASFEESKEKQEKQQEISEKRSEFETETGKDNEFLDNIVDEELQEWMAMIMDFGNGGSSNSSGTASAAATIGF >Potri.008G175950.1.v4.1 pep chromosome:Pop_tri_v4:8:12181972:12182309:1 gene:Potri.008G175950.v4.1 transcript:Potri.008G175950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175950.v4.1 MALTGICAICERRDYFRGIQNTLHKRTERNYYKRTFGMESNWTKYRKPLAFTDQVIRSATSFMPWSLKIPISDSRHGFL >Potri.016G031232.1.v4.1 pep chromosome:Pop_tri_v4:16:1733577:1733959:-1 gene:Potri.016G031232.v4.1 transcript:Potri.016G031232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031232.v4.1 MEHLSLNPSLQNEIENENLGLPEKDAGSQGLRRKGLSRREFHGRLLHFLEMKWKFISVDILKVGRGIS >Potri.010G241100.1.v4.1 pep chromosome:Pop_tri_v4:10:21883955:21885961:1 gene:Potri.010G241100.v4.1 transcript:Potri.010G241100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241100.v4.1 MNTFRIFCNKKRFSENFIVYRKHFCSLGNATKKPEIITQQDFFFDATKVLKLHSLLQFCAKNREPITGKACHGQVIRLGLETDTLTSNMLMNMYSKCGLIRRACKVFDEIPERSMVSWNIMIGTCVQNGEEEKAIDIFLEMQREGSPCSEFTVSSVVCACAAKGDVFFCRQLHAFAIKAVVDADVFVGTALIDVYAKCGSIEDASCVFEGMPERNDVTWSSIVAGFVQNELYEEGLVLFARGKEMGLENNQFMISSVIRACAGLAALIEGRQVHAIVCRTGFGPNNFVASALVDMYAKCGSIEEAYVLFCNIEKKNVVLWNAIISGFAKHACSSEVMNLFEEMQQTGMQPDEVTYVAVLSACVHVGLVDKGRSYFDLMTREHNVSPNVLHYSCMVDILGRGGLVHEAHELMTKMPFAATASMWGSLLASCRIHGNLELAEIAAKNLFEMEPDRGGNYVLLANTYAASKKWEEAAKARKSLKGSEILKERGKSWIEIKDKVHTFMAGERTHPRITDIYLELNNLLEEMTKLVNKAETDFDLHDL >Potri.005G022900.1.v4.1 pep chromosome:Pop_tri_v4:5:1457214:1459425:1 gene:Potri.005G022900.v4.1 transcript:Potri.005G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022900.v4.1 MSYGDDSNRKRRIAVIGISSFLLVAMVVAVSVGVGLGNDGNEDLNDSNHKSTNQVSASMKAVKAICQPTDYRKTCEENLQKAAGNTTDPRELIKMAFKIAEKHVNEASKKSKVLEELSKDPRTRGALQSCRELMTMSVDELKQSLNKVTDFDITEIEKLMADVKTWLSASITYQETCLDGFQNTTTNAGKEMKKGLKLSMELSANLLAIVSGISSAIPSLESLGQRRLLQDDLPVLGHGDQIFPTWTDFGKRRLLAAPASKIKADIVVAKDGSGDFSTIRDALHHVPIKSSKTFVLYIKAGIYQEYIDFNKSMTNLMVIGDGRETTRIVGNKNFVDGINTYHTATVVVLGDNFVAKNIGFENNAGAIKHQAVALRVSADYALFYNCSMDGHQDTMYTHAKRQFYRDCSISGTIDFVFGDASAVFQNCKFLIRKPLENQQCIVTAQGRKMRRQPSAIIIQNSTITAHPDLFPERKLFKSYLGRPWKEFSRTIIMESFIDDVIQPEGWLPWLGTFGLKTCWYTEFNNNGPGSSKAARVKWNGIKTIDRQHALDFTPGRFFKGGAWIKTTGIPYTPFLARK >Potri.010G194400.2.v4.1 pep chromosome:Pop_tri_v4:10:18886068:18888176:1 gene:Potri.010G194400.v4.1 transcript:Potri.010G194400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194400.v4.1 MGLWTLLEGFLLLANAFAILNEDRFLAPRGWSFSEFSVGRTKSLKGQLIGLIYATQYMRVPLIILNSICIFVKLVSG >Potri.011G023000.2.v4.1 pep chromosome:Pop_tri_v4:11:1715115:1719533:-1 gene:Potri.011G023000.v4.1 transcript:Potri.011G023000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023000.v4.1 MHQVLLTLTARRRPIAIFSLTLLLSTAIFIASVFLQSKNPSSSQKQQSMAAARSFVLWLHGLGDSGPANEPIKTLFTSPEFRTTKWSFPSAPIAPVSCNYGAKMPSWFDIHEIPVTADSPKDESSLLKAVQHVHAMIDKEIAAGTNPENVFVCGFSQGGALTLSSILLYPKTLGGGAVFSGWVPFNSSIMEQVSPDAKRTPILWLHGMADATVLFEAGQAGPPFLEQAGISCEFKAYPGLGHSISNAELKYLESWIKTRLPSSS >Potri.019G106500.2.v4.1 pep chromosome:Pop_tri_v4:19:14270757:14271726:-1 gene:Potri.019G106500.v4.1 transcript:Potri.019G106500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G106500.v4.1 MASIIEMRVHMDCAGCESKVKNALEKVKGVDDIDIDMGLQKVTVTGWADQKKVLKTVRKTGRRAELWQLPYNPQHHSYSDHYYNQHQVNGPLTYHAPQPSSSYNYYKHGYDSNDHGYYHHPVHSSIFNHQTGAVFSDENPHGCSIM >Potri.019G106500.1.v4.1 pep chromosome:Pop_tri_v4:19:14270757:14271726:-1 gene:Potri.019G106500.v4.1 transcript:Potri.019G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G106500.v4.1 MRVHMDCAGCESKVKNALEKVKGVDDIDIDMGLQKVTVTGWADQKKVLKTVRKTGRRAELWQLPYNPQHHSYSDHYYNQHQVNGPLTYHAPQPSSSYNYYKHGYDSNDHGYYHHPVHSSIFNHQTGAVFSDENPHGCSIM >Potri.004G137700.2.v4.1 pep chromosome:Pop_tri_v4:4:15913429:15915053:-1 gene:Potri.004G137700.v4.1 transcript:Potri.004G137700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137700.v4.1 MAISTYGFHISRRRRSLRRSSSMHDWVQLDSDMLLAIFNGLSFIDVLQVKVVCSHRHSVAKELKSYMKVHQIPWLLIPPQEEDNEASNSTRLFKCCVGSSHGCMIFINCESNTVFLRNFLTSTRIELPPIHTFLGSIRIPAGGRYIIEWVCASQEQDSEQQRMREHLIQKAILSSDSCHSDSFGVVLICRKSWKLAFCQCGGKRKSSWMYLDGKNAPYHDIMRSENKLHALGNCASFTDLNIPRDLCTSTFYLVESAGTVLLVARCVGEFVLGGASPVLEEDLLIDEGIQPLVCPYWTLQFRLFRTDFEQKPWVDMETMEDRALFIGANHSASVSVSNCGGRKQNSIYFTDDYWGQMTIAHMVKIQPPPCWILPNPW >Potri.001G125000.2.v4.1 pep chromosome:Pop_tri_v4:1:10275443:10283501:1 gene:Potri.001G125000.v4.1 transcript:Potri.001G125000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125000.v4.1 MYVKEICLEGFKSYATRTVVQGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDRSRSPLGYENHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKESALKTLEKKQSKVVEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCVAYDYVQAEKIRDSAVGEVEQMKAKIAEIDHNADRMRVEIQQKETEVSKLTAEKEASMGGEAKTLSENVDVLAQDLVREVSVLNNKEDTLRSEQENAEKIVHSIEDLKQSVEERATAVKKSEEGAADLKKRVEDFFKSLENYEKEYQGVLAGKSSGDEEKCLEDQLGEAKVAVGNAETELKQLKTKINHCERELKEKTHQLMSKCEEAAAVQNELSARRKDVENAKSAMESLPYKEGQMEALQKDRASELELVQKLKDEIRDLSAQLSNLQFTYRDPVRNFDRSKVKGVVAKLIKVKDRSTMTALEVTAGGKLYNVVVDTESTGKQLLQNGDLRRRVTIVPLNKIQSHTVHPRIQQAAVRLVGKENAELALSLVGYDEELKTAMEYVFGSTFVCKTMDAAKEVAFSREIRTPSVTLEGDIFQPSGLLTGGSRMGGGYLLRQLHEWAEAESNLLLRQRRLSEIEAKITELLPVHKKFVDLKKQLELKLYDLSLFQGRAEQNEHHKLGEVVKKIEQELEEAKFAAKQKEILYNECVSTVSKLEKSIKEHDNNREGRLKDLEKQIKATKAQMKSASKDLKGHENERERLIMEQEAVVKEHASLESQLDSLRTQISRLNFEIEEQKAKVASTRNNHDQAQSELDSIRLKMLECDSQISSILKEQQKLQHKLGETKLERKKLENEVKRMEMEQKDCSTKVDRLIEKHAWIASEKQLFGRSGTDYEFMSRDPTKAREELERLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLKVTWVKVNNDFGSVFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAAKQNK >Potri.001G125000.3.v4.1 pep chromosome:Pop_tri_v4:1:10275506:10283978:1 gene:Potri.001G125000.v4.1 transcript:Potri.001G125000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125000.v4.1 MYVKEICLEGFKSYATRTVVQGFDPFFNAITGLNGSGKSNILDSICFVLGITNLQQVRASNLQELVYKQGQAGITKATVSIVFDNSDRSRSPLGYENHSEITVTRQIVVGGRNKYLINGKLAQPSQVQNLFHSVQLNVNNPHFLIMQGRITKVLNMKPPEILSMLEEAAGTRMYETKKESALKTLEKKQSKVVEINKLLDQEILPALEKLRKERMQYMQWANGNAELDRLKRFCVAYDYVQAEKIRDSAVGEVEQMKAKIAEIDHNADRMRVEIQQKETEVSKLTAEKEASMGGEAKTLSENVDVLAQDLVREVSVLNNKEDTLRSEQENAEKIVHSIEDLKQSVEERATAVKKSEEGAADLKKRVEDFFKSLENYEKEYQGVLAGKSSGDEEKCLEDQLGEAKVAVGNAETELKQLKTKINHCERELKEKTHQLMSKCEEAAAVQNELSARRKDVENAKSAMESLPYKEGQMEALQKDRASELELVQKLKDEIRDLSAQLSNLQFTYRDPVRNFDRSKVKGVVAKLIKVKDRSTMTALEVTAGGKLYNVVVDTESTGKQLLQNGDLRRRVTIVPLNKIQSHTVHPRIQQAAVRLVGKENAELALSLVGYDEELKTAMEYVFGSTFVCKTMDAAKEVAFSREIRTPSVTLEGDIFQPSGLLTGGSRMGGGYLLRQLHEWAEAESNLLLRQRRLSEIEAKITELLPVHKKFVDLKKQLELKLYDLSLFQGRAEQNEHHKLGEVVKKIEQELEEAKFAAKQKEILYNECVSTVSKLEKSIKEHDNNREGRLKDLEKQIKATKAQMKSASKDLKGHENERERLIMEQEAVVKEHASLESQLDSLRTQISRLNFEIEEQKAKVASTRNNHDQAQSELDSIRLKMLECDSQISSILKEQQKLQHKLGETKLERKKLENEVKRMEMEQKDCSTKVDRLIEKHAWIASEKQLFGRSGTDYEFMSRDPTKAREELERLQAEQSGLEKRVNKKVMAMFEKAEDEYNDLMSKKNIIENDKSKIKKVIEELDEKKKETLKVTWVKVNNDFGSVFSTLLPGTMAKLEPPEGCSFLDGLEVRVAFGSVWKQSLSELSGGQRSLLALSLILALLLFKPAPLYILDEVDAALDLSHTQNIGRMIKAHFPHSQFIVVSLKEGMFNNANVLFRTKFVDGVSTVQRTVAAKQNK >Potri.010G053100.1.v4.1 pep chromosome:Pop_tri_v4:10:8460381:8464686:1 gene:Potri.010G053100.v4.1 transcript:Potri.010G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053100.v4.1 MMENGFSSPRNDSFPAGLRVLVVDDDPTWLKILEKMLKRCSYEVTTCGLARDALNLLRERKGGYDIVISDVYMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIEILEGIESFQMTRNGSDLYEDGHFLCGEDLTLIKKRKDIESKHDEKDIGDNTSAKKARVVWSVELHQKFVKAVNQIGFDKVGPKKILDLMNVPRLTRENVASHLQKYRLYLSRLQKGNDFNNPVGMIKQSDSPLSDSAGSFGSQNSINLQPTDVSNGCYGFSVSSLVLHNVDPRSHNGDRKILVTTPVAEPKRGVTVDAPNPRKPRISQMEFGHPLAPHFNDGFSHLPMPGQKQLTRADYPQPARAISSAHSLTEREIGCPVKIKPSRNDYGSNASHVSSTANAVDSIPLQTKTNSTNHQVSSTSSMENQGLNMNCLTDVESSRKNINLGMPPFAPLDEDLQVRFVPGDYYMNLGLQNIEVPEYFDPSLLTDVPIHLNDGLRFDYEFYDPTEYSLIDQSLFI >Potri.010G053100.2.v4.1 pep chromosome:Pop_tri_v4:10:8460401:8464816:1 gene:Potri.010G053100.v4.1 transcript:Potri.010G053100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053100.v4.1 MLCLVTTCGLARDALNLLRERKGGYDIVISDVYMPDMDGFKLLEHVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIEILEGIESFQMTRNGSDLYEDGHFLCGEDLTLIKKRKDIESKHDEKDIGDNTSAKKARVVWSVELHQKFVKAVNQIGFDKVGPKKILDLMNVPRLTRENVASHLQKYRLYLSRLQKGNDFNNPVGMIKQSDSPLSDSAGSFGSQNSINLQPTDVSNGCYGFSVSSLVLHNVDPRSHNGDRKILVTTPVAEPKRGVTVDAPNPRKPRISQMEFGHPLAPHFNDGFSHLPMPGQKQLTRADYPQPARAISSAHSLTEREIGCPVKIKPSRNDYGSNASHVSSTANAVDSIPLQTKTNSTNHQVSSTSSMENQGLNMNCLTDVESSRKNINLGMPPFAPLDEDLQVRFVPGDYYMNLGLQNIEVPEYFDPSLLTDVPIHLNDGLRFDYEFYDPTEYSLIDQSLFI >Potri.010G098500.1.v4.1 pep chromosome:Pop_tri_v4:10:12163665:12165220:1 gene:Potri.010G098500.v4.1 transcript:Potri.010G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098500.v4.1 MNVTLATSLLHLRTPSSFNSPSLLHFTTLKSHNSTSTPPLKIPKASSSSSSPSSSNDTFKNPLTQTLKTLTKTAILIGVTASMAGKFPVLPAKAENPAALTEQNPTLEEEEEGMEKHQNQNQSDASTPLEAFLESNAEAIESLKSLLQQKLEKGQDDEALNILKQLVSAQPEVTEWKFLMARLLNEMRRVQDARNVFEEILVANPLSFEALFENALLMERSGEGDAVIRRLREALDIAEEENKVKEARDVRLIMAQIQFLQKNVEEALESYQELSNEDPKDFRPYFCRGMIYSLLDRNEEAKEQFAKYRELSPKKFEVEGYLRTSLSRMKLFGSNEKN >Potri.002G109701.1.v4.1 pep chromosome:Pop_tri_v4:2:8189934:8190143:-1 gene:Potri.002G109701.v4.1 transcript:Potri.002G109701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109701.v4.1 MHLLKSGLKVKEYELLRRSFSDTSCFGFDIQEHIDLGIKYDPSTGIYGLDFYVVLECPGYRFRRHRRCK >Potri.001G186700.1.v4.1 pep chromosome:Pop_tri_v4:1:16666392:16667914:1 gene:Potri.001G186700.v4.1 transcript:Potri.001G186700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186700.v4.1 MAGIAFGRFDDSFSLGSFKAYLAEFISTLLFVFAGVGSAMAYNKLTGDAALDPAGLVAIAVCHGFALFVAVSVGANISGGHVNPAVTFGLALGGQITILTGIFYWIAQLLGSIVACYLLKVATGGLAVPIHSVAAGVGAIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFHDNWIYWAGPLVGGGIAGLIYGNVFITDHTPLSGDF >Potri.001G205800.13.v4.1 pep chromosome:Pop_tri_v4:1:20975422:20985220:1 gene:Potri.001G205800.v4.1 transcript:Potri.001G205800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205800.v4.1 MSDLCMYELEDNLWDEFSVSDDHIVPHPGHEYGDRFVAQGIKQKKPESKIINVANNEDDSLTYSTQEEEEASLPTLVKKDTMLEKDSWSNTPDGVFPTSRDSGAVKDFITIRSEETSMSSHCLKNGNIDSVGSEFCASDPTSDEKCSAVDNNLYSYPLSHISKTDNDLSFFDNDREDKESSDPLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSDFTERSDDALNLGSKFLNSEASALDSVSEHPEASQLSSDDPSVYDSNKKSIFTDDKISSRTSSAVDHSSLGYLTFLNGSETKSASMDDLVLKEKMNWNKRQARHNNRFEGRRKDSDIENGSFPLNVNMKHFADTKHSSGDSSHQVLPLLGIQQHKQIIGSNSLNYMQTHIPLIHIDYSHSSDQISTCPTQSSVKSENNGYPSPSPKESSHASNHVRSIESANGPDFEAPAITTNENEENLYHCQEPSSGRNLKPANMVGPAEFYGPVSAKKVACQSEYDIKGVGTGIPAELDTSNAQESSCMSSVLDGISLEATSFRQLQQVMEQLDIRTKLCIRDSLYRLARSAEQRHNHRNGSGGKRDGGDRSGELMAEEADKTGLMDMETDTNPIDRSIAHLLFHRPSDPSLMPAIDASSLKSHTMISSLPVMTKEHWQEETATGADGSLLMSNDKQ >Potri.001G205800.14.v4.1 pep chromosome:Pop_tri_v4:1:20975390:20984702:1 gene:Potri.001G205800.v4.1 transcript:Potri.001G205800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205800.v4.1 MSDLCMYELEDNLWDEFSVSDDHIVPHPGHEYGDRFVAQGIKQKKPESKIINVANNEDDSLTYSTQEEEEASLPTLVKKDTMLEKDSWSNTPDGVFPTSRDSGAVKDFITIRSEETSMSSHCLKNGNIDSVGSEFCASDPTSDEKCSAVDNNLYSYPLSHISKTDNDLSFFDNDREDKESSDPLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSDFTERSDDALNLGSKFLNSEASALDSVSEHPEASQLSSDDPSVYDSNKKSIFTDDKISSRTSSAVDHSSLGYLTFLNGSETKSASMDDLVLKEKMNWNKRQARHNNRFEGRRKDSDIENGSFPLNVNMKHFADTKHSSGDSSHQVLPLLGIQQHKQIIGSNSLNYMQTHIPLIHIDYSHSSDQISTCPTQSSVKSENNGYPSPSPKESSHASNHVRSIESANGPDFEAPAITTNENEENLYHCQEPSSGRNLKPANMVGPAEFYGPVSAKKVACQSEYDIKGVGTGIPAELDTSNAQESSCMSSVLDGISLEATSFRQLQQVMEQLDIRTKLCIRDSLYRLARSAEQRHNHRNGSGGKRDGGDRSGELMAEEADKTGLMDMETDTNPIDRSIAHLLFHRPSDPSLMPAIDASSLKSHTMISSLPVMTKEHWQEETATGADGSLLMSNDKQ >Potri.001G205800.15.v4.1 pep chromosome:Pop_tri_v4:1:20975312:20984678:1 gene:Potri.001G205800.v4.1 transcript:Potri.001G205800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205800.v4.1 MSDLCMYELEDNLWDEFSVSDDHIVPHPGHEYGDRFVAQGIKQKKPESKIINVANNEDDSLTYSTQEEEEASLPTLVKKDTMLEKDSWSNTPDGVFPTSRDSGAVKDFITIRSEETSMSSHCLKNGNIDSVGSEFCASDPTSDEKCSAVDNNLYSYPLSHISKTDNDLSFFDNDREDKESSDPLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSDFTERSDDALNLGSKFLNSEASALDSVSEHPEASQLSSDDPSVYDSNKKSIFTDDKISSRTSSAVDHSSLGYLTFLNGSETKSASMDDLVLKEKMNWNKRQARHNNRFEGRRKDSDIENGSFPLNVNMKHFADTKHSSGDSSHQVLPLLGIQQHKQIIGSNSLNYMQTHIPLIHIDYSHSSDQISTCPTQSSVKSENNGYPSPSPKESSHASNHVRSIESANGPDFEAPAITTNENEENLYHCQEPSSGRNLKPANMVGPAEFYGPVSAKKVACQSEYDIKGVGTGIPAELDTSNAQESSCMSSVLDGISLEATSFRQLQQVMEQLDIRTKLCIRDSLYRLARSAEQRHNHRNGSGGKRDGGDRSGELMAEEADKTGLMDMETDTNPIDRSIAHLLFHRPSDPSLMPAIDASSLKSHTMISSLPVMTKEHWQEETATGADGSLLMSNDKQ >Potri.001G205800.16.v4.1 pep chromosome:Pop_tri_v4:1:20975558:20984633:1 gene:Potri.001G205800.v4.1 transcript:Potri.001G205800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205800.v4.1 MSDLCMYELEDNLWDEFSVSDDHIVPHPGHEYGDRFVAQGIKQKKPESKIINVANNEDDSLTYSTQEEEEASLPTLVKKDTMLEKDSWSNTPDGVFPTSRDSGAVKDFITIRSEETSMSSHCLKNGNIDSVGSEFCASDPTSDEKCSAVDNNLYSYPLSHISKTDNDLSFFDNDREDKESSDPLYYGWPDDIGNFEDVDRMFRSCDSTFGLGSLSNEDDLCWFSSSDFTERSDDALNLGSKFLNSEASALDSVSEHPEASQLSSDDPSVYDSNKKSIFTDDKISSRTSSAVDHSSLGYLTFLNGSETKSASMDDLVLKEKMNWNKRQARHNNRFEGRRKDSDIENGSFPLNVNMKHFADTKHSSGDSSHQVLPLLGIQQHKQIIGSNSLNYMQTHIPLIHIDYSHSSDQISTCPTQSSVKSENNGYPSPSPKESSHASNHVRSIESANGPDFEAPAITTNENEENLYHCQEPSSGRNLKPANMVGPAEFYGPVSAKKVACQSEYDIKGVGTGIPAELDTSNAQESSCMSSVLDGISLEATSFRQLQQVMEQLDIRTKLCIRDSLYRLARSAEQRHNHRNGSGGKRDGGDRSGELMAEEADKTGLMDMETDTNPIDRSIAHLLFHRPSDPSLMPAIDASSLKSHTMISSLPVMTKEHWQEETATGADGSLLMSNDKQ >Potri.001G268600.1.v4.1 pep chromosome:Pop_tri_v4:1:28353893:28356173:-1 gene:Potri.001G268600.v4.1 transcript:Potri.001G268600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268600.v4.1 MAAKSCQEGHPTEAFGWAARDHSGVLSPFKFSRRATGEKDVAFKVLFCGICHSDLHMIKNEWGISSYPVVPGHEIVGQVTGVGSKVEKFKVGDKVGVGYMVGSCQSCDSCHDDLENYCPDTIVTSGGKYHDGATTYGGFSDIMVADEHYVIRIPENLPLDAGAPLLCAGITVYSPLKYYGLDKPGMHVGVVGLGGLGHVAVKFAKAMGIKVTVISTSPKKKQEALEHLGAHSFLVSRDPDQMQAAIGTMDGIIDTVSTMHPLFPLIGLLKTQGKLVLVGAPEKPLELPVFPLIMGRKIVGGSSIGGIKETQEMIDFAAKNNITADVEVIPMDYVNTALERLSKSDVRYRFVIDIGNTLKN >Potri.T006775.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:11091:12449:1 gene:Potri.T006775.v4.1 transcript:Potri.T006775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T006775.v4.1 MLHQQLSLTLTWQPSSLTMAAICFDNGSHIIGNIPTITPFAIYVGNCLLASSAHACILQLFQAYHSENILGQVYRYSPNLSITRVTKAHLFSHKRITATRWSVTSHLKSVNACLWDNIPLRVY >Potri.004G053400.2.v4.1 pep chromosome:Pop_tri_v4:4:4337935:4340138:-1 gene:Potri.004G053400.v4.1 transcript:Potri.004G053400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053400.v4.1 MATILGTSSSAILASKPFSIPSLSLTSSGLSFGRKYYGGIGLVGKKGRPQFHVAVASVATDIGSVQEAQKAAAKESQRPVYPFAAIVGQDEMKLCLLLNVIDPKIGGVMIMGDRGTGKSTTVRSMVDLLPEIKVVAGDPYNSDPEDPESMGIEVRESVVKGEDLTVVLTKINMVDLPLGATEDRVCGTIDIEKALTEGVKAFEPGLLAKANRGILYVDEVNLLDDHLVDVLLDSAASGWNTVEREGISISHPARFILIGSGNPEEGELRPQLLDRFGMHAQVGTVRDAELRVKIVEERAQFDKNPKEFRESYKSEQEKLQQQISSARSFLSSVKIDHDLKVKISKVCSELNVDGLRGDIVTNRAAKALAALKGRDQVTAEDIATVIPNCLRHRLRKDPLESIDSGLLVSEKFYEVFS >Potri.005G235000.4.v4.1 pep chromosome:Pop_tri_v4:5:23325790:23329400:-1 gene:Potri.005G235000.v4.1 transcript:Potri.005G235000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235000.v4.1 MEALRHVGIGLCTPKLFPPFKKPSKVSTTITCSASKWADRLLSDFQFFTSTDTSSSDLLHHPLSSSTATLAPPPPLSPPERYVSTPLHFYQVLGAETHFLGDGIKRAYEARVSKPPQYGFSQDALVSRRQILQAACETLADPASRRDYNQGLIDDETDTIVTQVPWDKVPGALCVLQEAGETEVVLQIGESLLRERLPKSFKQDVVLAMVLAYVDMSRDAMALDPPDFIRGREVLERALKLLQEEGASSLAPDLQAQIDETLEEITPRSVLELLALPLSEEYRTRREEGLQGVRNTLWAVGGGGAAPVAGGFTREDFMNEAFLRMTAAEQVDLFVTTPSNIPAQNFEVYGVALALVAQAFIGKKPHLITDADNLFGQLQQIKVTNQGSLVPVFGSMENRDIDFGLERGLCSLLVGELDECCKWMGLDSDNSPYRNPPIFDFIMENSKDDDDSNLPGLCKLLETWLMEVVFPRFRDTKDREFKLGDYYDDPTVLRYLERQEGGGRSPLAAAAAIVRIGAEATAVIDHVKASAIQALQKVFPLGHKDMGAEFHENDGINSVLSAVETEKPFESLGLENPEEIYSDEVPEEELITEKIKDASIKIMCAGVAIGLLTLAGLKYFPPRTGSFIRQKEIGSAMASDTINLNSAVDEQISEELPRMDARFAEDIVRKWQNIKSQAFGPDHCLAKLPEVLDSQMLKIWTDRAAEIAHLGWVYEYMLLDLTIDSVTVSVDGLSAVVEATLKESTRLTDEVHPENNASNVKTYTTRYELSCSNSGWKITEGAIMM >Potri.005G235000.3.v4.1 pep chromosome:Pop_tri_v4:5:23325708:23329366:-1 gene:Potri.005G235000.v4.1 transcript:Potri.005G235000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235000.v4.1 MEALRHVGIGLCTPKLFPPFKKPSKVSTTITCSASKWADRLLSDFQFFTSTDTSSSDLLHHPLSSSTATLAPPPPLSPPERYVSTPLHFYQVLGAETHFLGDGIKRAYEARVSKPPQYGFSQDALVSRRQILQAACETLADPASRRDYNQGLIDDETDTIVTQVPWDKVPGALCVLQEAGETEVVLQIGESLLRERLPKSFKQDVVLAMVLAYVDMSRDAMALDPPDFIRGREVLERALKLLQEEGASSLAPDLQAQIDETLEEITPRSVLELLALPLSEEYRTRREEGLQGVRNTLWAVGGGGAAPVAGGFTREDFMNEAFLRMTAAEQVDLFVTTPSNIPAQNFEVYGVALALVAQAFIGKKPHLITDADNLFGQLQQIKVTNQGSLVPVFGSMENRDIDFGLERGLCSLLVGELDECCKWMGLDSDNSPYRNPPIFDFIMENSKDDDDSNLPGLCKLLETWLMEVVFPRFRDTKDREFKLGDYYDDPTVLRYLERQEGGGRSPLAAAAAIVRIGAEATAVIDHVKASAIQALQKVFPLGHKDMGAEFHENDGINSVLSAVETEKPFESLGLENPEEIYSDEVPEEELITEKIKDASIKIMCAGVAIGLLTLAGLKYFPPRTGSFIRQKEIGSAMASDTINLNSAVDEQISEELPRMDARFAEDIVRKWQNIKSQAFGPDHCLAKLPEVLDSQMLKIWTDRAAEIAHLGWVYEYMLLDLTIDSVTVSVDGLSAVVEATLKESTRLTDEVHPENNASNVKTYTTRYELSCSNSGWKITEGAIMM >Potri.015G062700.2.v4.1 pep chromosome:Pop_tri_v4:15:8759131:8762880:1 gene:Potri.015G062700.v4.1 transcript:Potri.015G062700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062700.v4.1 MVADNKGKKLKVAEKGEEDNHQIDEELVISIEKLQEIQDDLEKINEEASDKVLEVEQKYNEIRKPVYDKRNEIIKSIPDFWLTAFLSHPALGTLLSEEDQKVFKYLSSLEVEDSKDVKSGYSITFNFESNPYFEETKLTKSFSFHDEGTTEITSTPINWKEGMGLPNGVSHEKKGNKRLWADESFFSWFGNTQPKGMIDDMQDEVAEIIKEDLWPNPLSYFNTDPDDEDFDGEEGDEEDKDSDDSEEDDDEQEEDDDDDEEEEDDDDADK >Potri.003G005500.1.v4.1 pep chromosome:Pop_tri_v4:3:615330:622790:-1 gene:Potri.003G005500.v4.1 transcript:Potri.003G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005500.v4.1 MGERIPSGSYFQYPPSGVHHATPQRSSSLPSDLERYLAELLAEKHKLGPFVQVLPICCRLLYQEIRRASAYNQGFVDHERYEHESPFRSLGQHPNGRPMDLEGWSAMPKEENGHLQRMASLPAASMGWPGVPGISSTPVVKRVIRLDVPVDKYPNYNFVGRILGPRGNSLKRVEALTECRVYIRGKGSVKDSLKEEKLKDKPGYEHLNEPLHVLVEAEFPEDIMNARLDHAITILESLLKPVDESFDNYKKQQLRELALLNGTLREESPSMSPSMSPSMSPFNTAGMKRAKTGR >Potri.013G103500.4.v4.1 pep chromosome:Pop_tri_v4:13:11247363:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MQLQLQPQVWDFNLCLTATYLILSLFISFTFALPSASLESMEMDASSADDGRIRTGTVWTATAHAFTAIVGSGILALPWSVAQLGWILGPFFLVFFAAVTYYIAILLCDCYRTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.22.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.11.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MECCSTGTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.3.v4.1 pep chromosome:Pop_tri_v4:13:11247363:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MQLQLQPQVWDFNLCLTATYLILSLFISFTFALPSASLESMEMDASSADDGRIRTGTVWTATAHAFTAIVGSGILALPWSVAQLGWILGPFFLVFFAAVTYYIAILLCDCYRTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.9.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MECCSTGTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.19.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.20.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.16.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MECCSTGTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.7.v4.1 pep chromosome:Pop_tri_v4:13:11247363:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MQLQLQPQVWDFNLCLTATYLILSLFISFTFALPSASLESMEMDASSADDGRIRTGTVWTATAHAFTAIVGSGILALPWSVAQLGWILGPFFLVFFAAVTYYIAILLCDCYRTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.13.v4.1 pep chromosome:Pop_tri_v4:13:11250765:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.8.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MECCSTGTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.2.v4.1 pep chromosome:Pop_tri_v4:13:11247363:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MQLQLQPQVWDFNLCLTATYLILSLFISFTFALPSASLESMEMDASSADDGRIRTGTVWTATAHAFTAIVGSGILALPWSVAQLGWILGPFFLVFFAAVTYYIAILLCDCYRTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.15.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MECCSTGTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.5.v4.1 pep chromosome:Pop_tri_v4:13:11247363:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MQLQLQPQVWDFNLCLTATYLILSLFISFTFALPSASLESMEMDASSADDGRIRTGTVWTATAHAFTAIVGSGILALPWSVAQLGWILGPFFLVFFAAVTYYIAILLCDCYRTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.14.v4.1 pep chromosome:Pop_tri_v4:13:11250779:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.10.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MECCSTGTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.18.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MECCSTGTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.12.v4.1 pep chromosome:Pop_tri_v4:13:11250765:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.21.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11252878:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.17.v4.1 pep chromosome:Pop_tri_v4:13:11250774:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MECCSTGTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTGFVIFTTLVAMMFPFFNAILGLLGSISFWPLTVYFPLNMYMVQANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.013G103500.6.v4.1 pep chromosome:Pop_tri_v4:13:11247363:11253315:1 gene:Potri.013G103500.v4.1 transcript:Potri.013G103500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103500.v4.1 MQLQLQPQVWDFNLCLTATYLILSLFISFTFALPSASLESMEMDASSADDGRIRTGTVWTATAHAFTAIVGSGILALPWSVAQLGWILGPFFLVFFAAVTYYIAILLCDCYRTPDPVKGRRNYTYMDAVRALLGPKHVLISGIMQYSMLWGIMIGFTITTAISTATIKRSACFHEKGANAKCKVSGNSYMLAFGALEILLSQFPNLEKVTFLSVISTLTSLAYALIALCLSIAKFSIQHEAKGTIKVAMAGEDLATSTKIWQVFQALGNIAFSYTYSMLLLEIQDTLKSPQAENKAMKRVTLYAIGGTALFYTSLGCMGYAAFGADVPGNYLTGFYKPLWLVDIANLAVIIHLIVGYQVFAQTIFAMNEKSLATRWQAHGSFFNKIYTVRLQCKQDYSFQFTPSRLLLRTANIKRGDSTWIMFQVLSLLCLVVSLVSVIGSVAGMLEHLKHAQLFHINL >Potri.006G162650.1.v4.1 pep chromosome:Pop_tri_v4:6:15629136:15630933:1 gene:Potri.006G162650.v4.1 transcript:Potri.006G162650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G162650.v4.1 MLLFYGWLLWPSCKLEIGSELFHQIFCVCFVGRRRLTTTTYSLLACHWTSLWGKIKTWLRITRSMSILNSAVRGLTPRKKVLEARMRRVSLGTVVYLIWEERNKRIFDNTCHPVDVIFLKFQSCVLGHCCYKVSFVAQVSMKASGEFFLERALNQLICFSDLLVIWRDMWQWYWHVLYLQCFAIINGGSAFLVIGYLLMQDYCAGDLFCYILIFPCSRGLLLHSMVHCFAKSRLERESVVFLSLWPWCLLLSFFLTVWFENMLFSSCPASYRYRQLSWDP >Potri.003G118400.1.v4.1 pep chromosome:Pop_tri_v4:3:14021580:14024119:-1 gene:Potri.003G118400.v4.1 transcript:Potri.003G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118400.v4.1 MAFLRSVTTTTKTAIAALALPAAFAFSSLSPSSPNPKPENLFFLSPSSSLLTSARFGLVKNLTQRPSSLSMDTSTSNQKPISQDNGALPEILTEFMVDMKCEGCVNSVRNKLQAVNGVKNVEVDLANQVVRILGSSPVKTMTEALEQTGRNARLIGQGIPEDFLVSAAVAEFKGPDIFGVVRFAQVNMELARIEASFSGVSPGKHGWSINEFGDLTKGAASTGKVFNPTNQGTEQEPLGDLGTLDVDEKGDAFFSGTKRKLRVADLIGRSVVLFGTEDKSDEGLTAAVIARSAGVGENYKKICTCDGTIIWESSNNDFVASKV >Potri.011G140901.2.v4.1 pep chromosome:Pop_tri_v4:11:17145749:17147224:1 gene:Potri.011G140901.v4.1 transcript:Potri.011G140901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140901.v4.1 MRNGCFLIPFTVILWSSFVVTLVANRVTSITTDQDALLALKDHIVNDPQNLLTTNWTATTSVCDWVGVTCGTRHRRVRALKLSHMGLTGTIPPHLGNLSFLVFASFYNNSFRGSLPDELAKLRRLKYFTIQKNYFGGEIPSWLGSFTRLHTLSLANNSFTGAIPPSLFHLSELDGLDLSNNDLQGHIPREIGKLSKLRLLYLRHTGLSGSIPSAVFNISSLQDIDLTGNMLSGSLPSANVTMSSLRIIGFGLNNLTGSLPSNLFNNLPNLEGLYLSRNLFHGQIPAALFGCTQLKILSVL >Potri.011G140901.1.v4.1 pep chromosome:Pop_tri_v4:11:17145800:17148536:1 gene:Potri.011G140901.v4.1 transcript:Potri.011G140901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140901.v4.1 MRNGCFLIPFTVILWSSFVVTLVANRVTSITTDQDALLALKDHIVNDPQNLLTTNWTATTSVCDWVGVTCGTRHRRVRALKLSHMGLTGTIPPHLGNLSFLVFASFYNNSFRGSLPDELAKLRRLKYFTIQKNYFGGEIPSWLGSFTRLHTLSLANNSFTGAIPPSLFHLSELDGLDLSNNDLQGHIPREIGKLSKLRLLYLRHTGLSGSIPSAVFNISSLQDIDLTGNMLSGSLPSANVTMSSLRIIGFGLNNLTGSLPSNLFNNLPNLEGLYLRKIHKDIRNLTVLEQLYLGYNKFNDAEMLLSISDVLSLELLNLENSGLTGPIPSAIGNLTLLWNIGLMDNNLTGTIPPEIGNLVNLEIISLSMNRIGGPIPVRIFNISTLRSIEMTGNYLSGHLPSSIGLQLPNLELLIVGRNELSGPFPVSLSNASELLTLELSSNFFFGPIPDAFGDDLRNLRYLNLGNNNFTGNSLSSELNFLTSLTNSKNLRLVLLSLNPLKGTLPISVGNLSSSLENFVADSCQIKGSIPEGIGNLSNLVRLSLQDNDLKGTIPTTIGRLRKLQSLSFSGNNLEGSTPSDLCDIESLSFLYLGENKLVGSVPSCLGNVSSLRELSMGANNLTSTIPSTLWRLKDIQLLELSSNSLSGSLPLDISNLKVVRHLDISGNQLSGEIPSSIGDLNDLAYLSLSNNRLQGPISRSFGDMVSLEFLDLSRNNLSGEIPKDMEKLTYLKYFNVSFNGLQGEIPGGGPF >Potri.001G467800.1.v4.1 pep chromosome:Pop_tri_v4:1:49240205:49249403:1 gene:Potri.001G467800.v4.1 transcript:Potri.001G467800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467800.v4.1 MDSKSVEDMIEASSGVHFSGFHMDGLEMTNTEVGQPTTSAAEEMCKQPFVIGVAGGAASGKTTVCDMIIQQLHDQRVVLVNQDSFYHDLTEEELTRVHEYNFDHPDAFDTEQLLCAMEKLRHGQAVDIPNYDFKSYKNVFPARRVNPSDVIILEGILIFHDPRIRELMNMKIFVDTDADVRLARRIRRDIVEKGRDIATVLDQYSKFVKPAFDDFILPTKKYADIIIPRGGDNHVAIDLIVQHIRTKLGQHDLCKIYPNLYVIQSTFQIRGMHTLIRDSQTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQVTTPTGSVYTGVDFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHREGDNGQQLIYEKLPQDISDRHVLLLDPILGTGNSAVQAISLLISKGVPESQIIFLNLISAPQGVHVVCKRFPRIKIVTSEIEIGLNEDFRVIPGMGEFGDRYFGTDDEYQPVVAPTQ >Potri.019G127900.1.v4.1 pep chromosome:Pop_tri_v4:19:15078156:15079080:-1 gene:Potri.019G127900.v4.1 transcript:Potri.019G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G127900.v4.1 MCPLRLILVFLSATLAGFFVIKNLKSRPFSASTDTGDDDNSTTTTDSNKNSPHPSDSSSSSRFSKVKSGVEMGFWTLVDMASGKYLWRQLGFSSKRES >Potri.001G214800.2.v4.1 pep chromosome:Pop_tri_v4:1:22158664:22170653:-1 gene:Potri.001G214800.v4.1 transcript:Potri.001G214800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G214800.v4.1 MENGLSEDLSDSTQLPESDNDQRVYFVPYRWWKDAQDATTSDESDGNRGVLFMATPPSSYAGPMKLINSIFNPELAFNLRREENSLQNGESGEVGISGRDFALVSGEMWLQALKWHSDTKVAAKCGKTFSAAEDDMSDVYPLQLRLSVLRETNSLGVKVIKKDNVTELFRRACKIFSLDSEMLRIWDFSGQTALFFINDKTKFPKDCQQQSDQELLLELQIYGLSDSIKCREGKKADMAVQNYNGIHSSGTSTAMNGNAGNTSTSIFRSNSSAFFGRSGEAGSLGLTGLQNLGNTCFMNSAIQCLAHTPKLVDYFLGDYGREVNHKNPLGMNGEIALAFGDLLRRLWAPGATPVAPRTFKSKLARFAPQFSGFNQHDSQELLAFLLDGLHEDLNRVKSKPYVEAKDEESRPDEEVADEYWQNHVARNDSVIVDVCQGQYKSTLVCPDCRKVSVTFDPFMYLSLPLPSTNTRTMTLTVVSADGSIQPSSYTISVPKFGKCEDLIQALSIACSLGIDETLLVAEVYNNRIIRFLEEPADYLSLIRDNDRLVAYRLKKDFDNSPLVVFMHQHMEEHYFHGKLTSSWKAFGIPLVGNVHNFVSGSDIHNLYLQLVAPFRIPPDGTLDNDLDSTTIEEVEGMVDNTSSSPDGDAKPCSAKGVELPSDSELQFYLTDEKGMVKDENIVMNEPVPKIGLHRRFNVLVCWPEKLTKQYDTSLLSSLPEIFKSGFFAKRPQESVSLYNCLEAFLKEEPLGPEDMWYCPSCKQHRQASKKLDLWRLPEILVIHLKRFSYTHSAKNKLETYVDFPVDDLDLSTHLSYKNGQLSHRYMLYAISNHYGSMGGGHYTAFIHYGGDQWYDFDDSHVSPISQEKIKTSAAYVLFYRRVLK >Potri.004G231850.3.v4.1 pep chromosome:Pop_tri_v4:4:23623931:23637137:-1 gene:Potri.004G231850.v4.1 transcript:Potri.004G231850.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231850.v4.1 MGSRILVFLVVALIQVCTTTPAVTNNDDFNALKALKDVWDNVPPTWVGADPCGSRWDGIVCTNSRVTSITLASMGLKGTLSGDISLLSELQILDLSYNTELTGSLPLAIGDLKKLTNLILVRCRFSGPIPDAIGSLSQLTDLSLNSNRFSGSIPPSLGNLDKLFWLDLADNMLTGTIPVSTGTTPGLDLLVHTKHFHLGFNQLSGQIPPKLFSSGMNLIHVLLESNNLTGSIPSTLGLVKSLEVVRLDNNSLTGPVPTNINNLTSVSEMFLSNNGLTGPLPNLTGMDHLTYLDMSNNTFGATDFPPWFSTLQSLTTLVMETTQLQGQIPSDFFSLSNLQTLDARNNKFNGTLDIRTSSINQLSLIDLRENQISAFTERPGVEKVGVMTSTVQSSFILNLGNDTYYTVLEQSLMDSFKFHQLPVDSVNLSHPRKDSSTYLVMNLQVFPFGQDRFNWTGISRIGFALSNQIFKPPSQFGPFVFHGDTYLNFAEEVTGSNKSSNTGVIIGAVAGGSVLLLLLLGAGLYAHRQKKRAEKATEQNNPFAQWESNKSIGGVPQLKGARNFSFEELRKYSNNFSETNDIGSGGYGNVYRGVLPTGELIAIKRAQQGSMQGGLEFKTEIELLSRVHHKNVVSLIGFCFDRGEQMLVYEFVPNGSLMESLSGKTGIRLDWVRRLKVALGAARGLAYLHELANPPIIHRDIKLSNILLDERLNTKVADFGLSKPMGDSETGHLTHVTTQVKGTMGYMDPEYYMTQQLTEKSDVYSFGVVMLELLTGRRPIEKGKYVVREVKTALDRAKYLYNLGELLDSSIGLDTTLKGLDKFVDVALKCVEENESDRPTMGEVVKEIENILHLAGLNPNADSASTSASYDDASKGGAKHPYIFRTEVAWI >Potri.002G045000.1.v4.1 pep chromosome:Pop_tri_v4:2:2932333:2933784:1 gene:Potri.002G045000.v4.1 transcript:Potri.002G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045000.v4.1 MERSMAYERHLNLKATELRLGLPGSDEPEKPSTTPSVRSNKRASPEISEESGSKGSSSLSSNVENSEGDDAPPAKAQVVGWPPIRSYRKNCLQPKKNDRVDGAGMYVKVSVDGAPYLRKIDLKVYRSYPELLKALEDMFKLTIGEYSEKEGYNGSDFAPTYEDKDGDWMLVGDVPWDMFISTCKRLRIMKGSEARGLGC >Potri.002G019800.2.v4.1 pep chromosome:Pop_tri_v4:2:1208039:1213202:1 gene:Potri.002G019800.v4.1 transcript:Potri.002G019800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019800.v4.1 MGILSSILGFCGFGIGTSIGIVIGYYMFIYFQPTDVKDPVLRPLIEQDSKTLLRLLPEIPQWVKNPDYDRVDWLNKLIENMWPYINTAICKTARNIAKPIIAEQIPKYKIDSVEFETLTLGSLPPNFPGMKVYVTDEKELIMEPVLKWAGNPDITIAVKAFGLKATVQVVDLQVFAAPRITLKPLLPVFPCFANIYVSLLEKPHVDFGLKLLGADAMSIPGLYKFVQELIKDQVANMYLWPKCLVVPIMDPSKAMKRPVGILTVKVLRAMKLKKKDLLGASDPYVKLKLTEDKHHSNKTTVKHKNLNPEWNEEFNITVKDPESQALEVLVYDWEQVGKHDKMGMNVIPLKELTPDEPKVLTLDLLKNMDPNDVQNEKSRGQIVVELVYKPFKEDEIPKDIDDPNAVAKAPEGTPAGGGLFVVIVHEAQDVEGKHHTNPYARLLFKGEEKRTKQVKKSRDPRWEEEFQFVLEEAPTNERLHVEVVSSSSRMGLLHPKENLGYVVINLADVVNNKRINEKYHLIDSKNGRIQVELQWRTS >Potri.006G199800.12.v4.1 pep chromosome:Pop_tri_v4:6:20737663:20742641:1 gene:Potri.006G199800.v4.1 transcript:Potri.006G199800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199800.v4.1 MSLLRFNALWEACYRNDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGTSMVPDDGWVEVLNQKWDRNLVTEETSKFPELTLQSETEQRPHKVSFYVDKANAQNVTKALSEIFAKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKFKTEGKLPANTLVCGDSGNDAELFSIPDVHGVMVSNAQEELLQWHAENAKGNAKIIHATERCAAGIIQAIGHFKLGPNTSPRDTTNLSYFESENISASSEIVRFFMFYERWRRAEVENSELYLASMKADCDSSGILIHPSGAELSLCDALNEMRSYYGDKQGQKFRVWVDRVLSIQTGLDTWLVKFNKWELSGKTSE >Potri.006G199800.10.v4.1 pep chromosome:Pop_tri_v4:6:20737646:20742663:1 gene:Potri.006G199800.v4.1 transcript:Potri.006G199800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199800.v4.1 MDRLKAPARLMIVSDLDHTMVDHHDPENMSLLRFNALWEACYRNDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGTSMVPDDGWVEVLNQKWDRNLVTEETSKFPELTLQSETEQRPHKVSFYVDKANAQNVTKALSEIFAKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKFKTEGKLPANTLVCGDSGNDAELFSIPDVHGVMVSNAQEELLQWHAENAKGNAKIIHATERCAAGIIQAIGHFKLGPNTSPRDTTNLSYFESENISASSEIVRFFMFYERWRRAEVENSELYLASMKADCDSSGILIHPSGAELSLCDALNEMRSYYGDKQGQKFRVWVDRVLSIQTGLDTWLVKFNKWELSGDEQQGCVITCIINIKKDGVSGATYMHVHETWLEGSGAKDQSTWLF >Potri.006G199800.11.v4.1 pep chromosome:Pop_tri_v4:6:20737646:20742663:1 gene:Potri.006G199800.v4.1 transcript:Potri.006G199800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199800.v4.1 MDRLKAPARLMIVSDLDHTMVDHHDPENMSLLRFNALWEACYRNDSLLVFSTGRSPTLYKQLRKEKPMLTPDITIMSVGTEITYGTSMVPDDGWVEVLNQKWDRNLVTEETSKFPELTLQSETEQRPHKVSFYVDKANAQNVTKALSEIFAKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKFKTEGKLPANTLVCGDSGNDAELFSIPDVHGVMVSNAQEELLQWHAENAKGNAKIIHATERCAAGIIQAIGHFKLGPNTSPRDTTNLSYFESENISASSEIVRFFMFYERWRRAEVENSELYLASMKADCDSSGILIHPSGAELSLCDALNEMRSYYGDKQGQKFRVWVDRVLSIQTGLDTWLVKFNKWELSGKTSE >Potri.013G087950.1.v4.1 pep chromosome:Pop_tri_v4:13:8311418:8337489:-1 gene:Potri.013G087950.v4.1 transcript:Potri.013G087950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087950.v4.1 MTASNLMLSALRRSRPLSTLSRLLANPNPNPNYNSLASQPAIPSRNSLASFHFPSCQSFSTRTDDDSDFLASESPTASEPINFELDTVTEGVVNGSNVFEESIFPVGALLESCHDLTGLPWWIIIASSTLAMRMTLFPLLVLQMYKIKQISLCFPKLPPLLPPPLSGRSYWEQITIFRKERRAICCPPFSWFLAYLSVQFPCFLLWMTSIRRMSLNNHPGFDCGGTLWFQNLTEFPHGGLAFIFPLLVAGLHYVNVQLSFDRSSIQNTGGLLGLLSKYYKYYLIFLTLPMFFIGYCIPQGSLVYWVTNSSFTVIQQVSLKHPVVGATLGLLNKDSPKTPAISEEMVTPESVSLDSSTKWRKVSPENLSPDELLVLSVQLLSSGHRDRAISMLQMALKKDPNHIKALIVMGHTLLQEGLHAEATDHLERAISKLFLAGHPTAEDVNHLILTLQWAGVACISQGNKARGIVHLERVASLEEPEDPKSKAHYFDGLLLLASALSREGRKAEAVKYLRLVVAYDPSRKDFLEECENDEDSFVGDLVSSRRGDY >Potri.007G042900.1.v4.1 pep chromosome:Pop_tri_v4:7:3656028:3656949:-1 gene:Potri.007G042900.v4.1 transcript:Potri.007G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042900.v4.1 MSHLSFLNFHGLPRNSSSSSLTPTESRIYREKRQSCSVSKSMQQNVILGQSSNESKSFQPNVEEMKWVFDKFDLNKDGKISRQEYKSALRALGKGLEESEMVKAFQATDIDGDGYIDFKEFMEMMHNMGDGVKSSDIESAFRVFDLDGNGKISAEELMEVLKRLGERSSLDACRKMIRAVDGDGDGLIDMNEFMGMMTRTMKMC >Potri.013G126600.2.v4.1 pep chromosome:Pop_tri_v4:13:13400502:13404289:1 gene:Potri.013G126600.v4.1 transcript:Potri.013G126600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126600.v4.1 MVDDFMVCVERIIVASTCFESVHNGERDRNRSDDVGVGIGATSKDGNVSESVVSVKGNEEGSSCSLKKVEMVECRICQEEAEVLAMEAPCACNGTLKFAHRKCIQRWCNKKGDITCEICNQVFSPNYSFPPARINPDVMAIDIRQAWGHHIDLHDSHLLALEHQLLQSEYEDYAVANTSSIACLRSVALILLIILLLRQALMVTRDSGMVQESSTFFSFQVSLLQFAGFLLPCYVMARSWYIVQSRRRRHG >Potri.008G154200.2.v4.1 pep chromosome:Pop_tri_v4:8:10565398:10569261:-1 gene:Potri.008G154200.v4.1 transcript:Potri.008G154200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154200.v4.1 MGEALFDLEQLLKSQKEALTLEEANILQTCKSKAVRHFTAGVITGAAVAWAATWKLSRFAQANISGGAAALFGFWRFNKSLDTCVDHILAMDGSRLQKELANIMANKYQDDPWSMHRLNKHFYSENVFVDSNSDRPIIRRRNRNFFVDDVAYGQRTHDSDSHNVSHVDSDVKRADVESKKVPMKPGADVMEDPLECIFGFMASVEEIHHPVASGKPARVLNRSQKRSHHRRRMRHRETSLDSEHL >Potri.009G167700.3.v4.1 pep chromosome:Pop_tri_v4:9:12793127:12794682:-1 gene:Potri.009G167700.v4.1 transcript:Potri.009G167700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167700.v4.1 MADTRGGTVGFNDGDGCPVPCPGGTARRCGSVKDTSGEGEGAGHKKCSCGEHYCGCNPCTCPRSVVTTGVGKAYCTCGAGCACPTCSS >Potri.009G167700.5.v4.1 pep chromosome:Pop_tri_v4:9:12793247:12794583:-1 gene:Potri.009G167700.v4.1 transcript:Potri.009G167700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167700.v4.1 MADTRGGTVGFNDGDGCPVPCPGGTARRCGSVKDTSGEGEGAGHKKCSCGEHYCGCNPCTCPRSVVTTGVGKAYCTCGAGCACPTCSS >Potri.009G167700.4.v4.1 pep chromosome:Pop_tri_v4:9:12791016:12794583:-1 gene:Potri.009G167700.v4.1 transcript:Potri.009G167700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167700.v4.1 MADTRGGTVGFNDGDGCPVPCPGGTARRCGSVKDTSGEGEGAGHKKCSCGEHYCGCNPCTCPRSVVTTGVGKAYCTCGAGCACPTCSS >Potri.015G026300.1.v4.1 pep chromosome:Pop_tri_v4:15:1972341:1976036:-1 gene:Potri.015G026300.v4.1 transcript:Potri.015G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026300.v4.1 MANCLVFFLLVLVWLWVRATASHIGLGSRLMARDQNEAWVSDNGTFAFGLTPAANARDQFQVAIWFADLPGDRTIVWSANSDDLIYRNSLVNKNAFLELDTTGNLVLIDGERTVWMSNTSGAGITSASMEETGNFILHTDTNHSAWQSFEHPSDTLLPNQPLTVSLELTSPKSPSQGGYYSLKMLQQPTSLSLALTYNLPETYDASPEAYANFSYWPGPDISNVTGDVVAVLDDAGSFGIVYGESSNGAVYVYKNDGDYNGLGSATNQSSTRSTVLRRLILETNGNLRLYRWDNNVNGSREWVPEWAAVSNPCDIAGICGNGVCNLDRSKTNASCTCLPGTSKVGGDNFCVENSSSIGSCDSRNTNQTSEFRIAAVQQTSYFFSDFSVVANYSDIPTVSKCGDACLSDCECVASVYGLDDEKPYCWILRSLDFGGYEDTGSTLFVKVRGNESMSSGGNKRGTDDDNTRKKVVVIPIVLSMAILVGLLCLLLYFNVHKKRYLERAMESSPILPGAPIHFTYRDLQIRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEKEFITEVNTIGSMHHMNLVRLCGYCSEGLQRLLVYEFLKNGSLDKWIFPSYSSRDRLLDWSTRFDIAITTAKGIAYFHEQCRDRIIHCDIKPENILLDENFCPKVSDFGLAKLMGREHSHVVTMVRGTRGYLAPEWVSNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGWAFKAMMNDTPLKAADRRLEGSVKEEELMRAVKVAFWCIQDEVYSRPSMGEVVKMLEGSMEINTPPMPQTVLELIEEGLDQVYKAMKREFNQYSSFTTATGHPSSHATCSYSTMSPR >Potri.014G081200.1.v4.1 pep chromosome:Pop_tri_v4:14:5244867:5247470:1 gene:Potri.014G081200.v4.1 transcript:Potri.014G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081200.v4.1 MGRTPCCDKNGLKKGAWTPEEDELLVSYIKKNGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRHPFTLEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLCLGLDPQTHEPFTSRGPANKGPASPATRHMTQWESARLEAEARLSRESSLFLPPIPGKIDCDYFLRIWNSEVGESFRRINMGGAKTACPSPVSQASSSTKCGSISAITADISPNLAGSSAAASNQNEDMECKSCRSDAEDVMAGPDSSSSAESEDSTDSTLQLLLDFPINNDMSFLEGNIDSYATSSGMLTGTSMIAPL >Potri.002G046400.1.v4.1 pep chromosome:Pop_tri_v4:2:3029521:3031614:1 gene:Potri.002G046400.v4.1 transcript:Potri.002G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046400.v4.1 MAGKGGKGLLATKTTAAANKDKDKDKKRPVSRSSRAGIQFPVGRIHRHLKQRISAHGRVGATAAVYLASILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKTTKE >Potri.009G078600.1.v4.1 pep chromosome:Pop_tri_v4:9:7555689:7561653:-1 gene:Potri.009G078600.v4.1 transcript:Potri.009G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078600.v4.1 MLPLKISIQRARNLKALKPSIFALRSSYYFSTGVVEPSSSLRSPPRVPNLIGGKFVDSQSSSTIDVINPATQEVVSQIPLTTNEEFKAAVSAAKHAFPAWRNTPITTRQRVMLKLQELIRRDTDKLAMNITTEQGKTLKDAHGDVFRGLEVVEHACGMATLQMGEYVPNVANGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASIILAELAMEAGLPNGVLNIVHGTNDIVNAICDDDDIRAISFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHAIVLPDANTDATLNALVAAGFGAAGQRCMALSTVVFVGDSQSWENKLVECAKSLKVNAGTEPDADLGPVISKQAKERVCKLIESGVESGARLLLDGRNIVVPGYEDGNFIGPTILSGVTADMDCYKEEIFGPVLLCKEADSFEEAIHFVNRNKYGNGAAIFTTSGAAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFYTQIKTITQQWKDLPGGSGVSLAMPTSQKL >Potri.009G078600.7.v4.1 pep chromosome:Pop_tri_v4:9:7555808:7561198:-1 gene:Potri.009G078600.v4.1 transcript:Potri.009G078600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078600.v4.1 MLKLQELIRRDTDKLAMNITTEQGKTLKDAHGDVFRGLEVVEHACGMATLQMGEYVPNVANGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFVLKPSEKDPGASIILAELAMEAGLPNGVLNIVHGTNDIVNAICDDDDIRAISFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHAIVLPDANTDATLNALVAAGFGAAGQRCMALSTVVFVGDSQSWENKLVECAKSLKVNAGTEPDADLGPVISKQAKERVCKLIESGVESGARLLLDGRNIVVPGYEDGNFIGPTILSGVTADMDCYKEEIFGPVLLCKEADSFEEAIHFVNRNKYGNGAAIFTTSGAAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFYTQIKTITQQWKDLPGGSGVSLAMPTSQKL >Potri.015G139100.1.v4.1 pep chromosome:Pop_tri_v4:15:14672804:14673935:1 gene:Potri.015G139100.v4.1 transcript:Potri.015G139100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139100.v4.1 MAALKSLSSPVAVLLLLTALAVQTQLAHSQQCTSQLNNLNVCAPFVVPGAANTNPNAECCNALEAVQHDCLCSTLQISSRLPSQCNLPPLTCGN >Potri.005G097200.3.v4.1 pep chromosome:Pop_tri_v4:5:6918244:6920248:1 gene:Potri.005G097200.v4.1 transcript:Potri.005G097200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097200.v4.1 MIGREPLPSIVESNNLLSTVVDVKEYETVVSLSKQMELAGIPHDVCTLYILINCFCHLRCVDSGFPLLGKILKCGFQSGTFTFTTLVNGVCKEGILYQAVKLVNEMLAKGYRLNSYTYSTIVNSLCKVGKITEADGLLGKMKSVGCVPDVVAYNSLMNGFVSRGQMDEAKKKKKSIWCDGEQGCYAQCF >Potri.005G097200.2.v4.1 pep chromosome:Pop_tri_v4:5:6918244:6920248:1 gene:Potri.005G097200.v4.1 transcript:Potri.005G097200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097200.v4.1 MAITRTSVLLARNSMFGIQHQMDMALFSSFNISPCTSSNKVLFSKIDYALASFNAMIGREPLPSIVESNNLLSTVVDVKEYETVVSLSKQMELAGIPHDVCTLYILINCFCHLRCVDSGFPLLGKILKCGFQSGTFTFTTLVNGVCKEGILYQAVKLVNEMLAKGYRLNSYTYSTIVNSLCKVGKITEADGLLGKMKSVGCVPDVVAYNSLMNGFVSRGQMDEAKKKKKSIWCDGEQGCYAQCF >Potri.019G018000.2.v4.1 pep chromosome:Pop_tri_v4:19:2973666:2979452:1 gene:Potri.019G018000.v4.1 transcript:Potri.019G018000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018000.v4.1 MEQAVLDDIIERLLEVRGKPGKQVQLSESEIRQLCVVSREIFLRQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPDASYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRIWKAFIDCFNCLPVAALIDEKILCMHGGLSPELNNLEQIRNLQRPTDVPDSGLLCDLLWSDPSKDVQGWGMNDRGVSYTFGPDKVAEFLQKQDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPADKKSKFQPGNTSTGVGVFGSTITAKPGNPLPGVKM >Potri.019G018000.1.v4.1 pep chromosome:Pop_tri_v4:19:2973468:2979455:1 gene:Potri.019G018000.v4.1 transcript:Potri.019G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018000.v4.1 MEQAVLDDIIERLLEVRGKPGKQVQLSESEIRQLCVVSREIFLRQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPDASYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRIWKAFIDCFNCLPVAALIDEKILCMHGGLSPELNNLEQIRNLQRPTDVPDSGLLCDLLWSDPSKDVQGWGMNDRGVSYTFGPDKVAEFLQKQDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDDTLMCSFQILKPADKKSKFQPGNTSTGVGVFGSTITAKPGNPLPGVKSFLGTNV >Potri.012G024100.2.v4.1 pep chromosome:Pop_tri_v4:12:2386377:2387488:-1 gene:Potri.012G024100.v4.1 transcript:Potri.012G024100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024100.v4.1 MASAGQALNVPVLLAGYRFSPYNDDLIVYYLKRKILGQQLPADVITTTDVYASSPDKLPLDDFKGGVANEWFFFSNRSKDDDTIALDGGYYEIDPEGAGPITWEGKVVGYVKTLNFYQGSSPNGTETEWMVEEFRVNPEFLPVNNNDRSTQEKVV >Potri.014G176300.1.v4.1 pep chromosome:Pop_tri_v4:14:13278005:13280838:1 gene:Potri.014G176300.v4.1 transcript:Potri.014G176300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176300.v4.1 MDESGEKGETPNKRLDTTEIYQKALDDLVNVNSLFTIAVFVGLSLAHPGERSLEDRTECDADPDVAKRLVVFEVISFAFFLLSSLVAKTLKVHLNVYRQKNPRTIKLKIIRGSMLLLSAWGSIFGCVFLTMSMVDVIQIKVGKLSCGSVYAFRAAGSLIAIVLLALGIYVPFMMHAIYFSMTHRE >Potri.003G094700.1.v4.1 pep chromosome:Pop_tri_v4:3:12092833:12095253:-1 gene:Potri.003G094700.v4.1 transcript:Potri.003G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094700.v4.1 MLHISMLLINCLLLVSSNSLSLTMATSFYSFQTLNLYVISFLLLLLPPSANSISFQRTRFDPSDTNIIYEGGASTHVGSIEFNSDTYMCQVGRATYAKKVPLWDSSTTRLTDFSTHFSFYIDIEGRTSYAAGFAFFIAPVEFHIPPNSAGGFLGLYNITTSDSPQNHIVHIEFDSFANPEWDPPIQNVGINNNSVSSATYTYWNTSLHSGDTADVRVTYNSTTKNLTVSWKYQTTSSPQENTSLSYIIDLREVLPEWVTIGFTAATSNLIERHVLHSWDFSSTLEMSETSGKSAKNIKLVVSLTVSGAVLIIVIAVVSGILWRRKLVRKETAETVNLTSINDDLERRAGPRRFSYKDLVSATNNFSAERKLGEGGFGAVYQGQLTGIDTAVAVKKISRGSKQGKKEYVTEVKVISQLRHRNLVQLIGWCHDRGEFLLVYEFMSNGSLDSHLFGKKIPLTWTARYRIALGLASALLYLHEEWEQCVVHRDVKSSNIMLDSSFNVKLGDFGLARLMDHELGPQTTGLAGTLGYLAPEYISTGRASKESDVYSFGMVSLEIATGRKAVDAIEQKSEMSLVEWIWDLYGTGKLNLAVDEKLQSEFDENQMECLMIVGLWCAHPDRNIRPSIRQAIHVLNFEAPLPNLPTKMPVPLYHVPTPPLSSGEPLISHSMDVGR >Potri.016G088100.1.v4.1 pep chromosome:Pop_tri_v4:16:6969210:6970084:-1 gene:Potri.016G088100.v4.1 transcript:Potri.016G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088100.v4.1 MEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIQIFVKTLTGKTITLEVESSDTIDNVKTKNQDQQRLIFAGKQLEDGRTLADYNVQKESTFHLVLRPRGGDF >Potri.011G164800.1.v4.1 pep chromosome:Pop_tri_v4:11:18944851:18948803:-1 gene:Potri.011G164800.v4.1 transcript:Potri.011G164800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164800.v4.1 MPAPLRNLLFSPSIFSFTLLLSINSLFFRSCYSIDEQGQALLAWKNSLNTSTDVLNSWNPLDSSPCKWFGVHCNSDGNIIEINLKAVDLQGPLPSNFQPLKSLKSLILSSTNLTGAIPEAFGDYLELTLIDLSDNSLSGEIPEEICRLRKLETLSLNTNFLEGAIPSDIGNLSSLVNLTLFDNQLSGEIPQSIGALRRLQIFRAGGNKNVKGELPQEIGNCTELVVLGLAETSISGSLPSSIGMLKRIQTIAIYATLLSGAIPEAIGDCSELQNLYLYQNSISGPIPRRIGELSKLQSLLLWQNSIVGAIPDEIGSCTELTVIDLSENLLAGSIPRSFGNLLKLEELQLSVNQLSGTIPVEITNCTALTHLEVDNNGISGEIPAGIGNLKSLTLFFAWKNNLTGNIPESLSECVNLQALDLSYNSLFGSIPKQVFGLQNLTKLLILSNELSGFIPPDIGNCTNLYRLRLNGNRLGGTIPSEIEKLKSLNFIDLSNNLLVGRIPSSVSGCENLEFLDLHSNGITGSVPDTLPKSLQYVDVSDNRLTGSLAHSIGSLIELTKLNLAKNQLTGGIPAEILSCSKLQLLNLGDNGFSGEIPKELGQIPSLEISLNLSCNQFSGKIPSQFSDLSKLGALDISHNKLEGSLDVLANLQNLVSLNVSFNDFSGELPNTPFFRKLPISDLASNQGLYISGGVATPADHLGPGAHTRSAMRLLMSVLLSAGVVLILLTIYMLVRARVDNHGLMKDDTWEMNLYQKLEFSVNDIVKNLTSSNVIGTGSSGVVYRVTLPNWEMIAVKKMWSPEESGAFNSEIRTLGSIRHRNIVRLLGWCSNKNLKLLFYDYLPNGSLSSLLHGAGKGGAEWEARYDVLLGVAHALAYLHHDCVPPILHGDVKAMNVLLGPGYEPYLADFGLARVVNNKSDDDLCKPSPRPQLAGSYGYMAPEHASMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPDGAHLVQWVREHLASKKDPVDILDSKLRGRADPTMHEMLQTLAVSFLCISTRADDRPMMKDVVAMLKEIRHVETVRPEPDLSKGVNLTAVRSSPPAKIVVSQGSSNCSFAFSDYSI >Potri.012G120000.1.v4.1 pep chromosome:Pop_tri_v4:12:13780967:13786478:1 gene:Potri.012G120000.v4.1 transcript:Potri.012G120000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120000.v4.1 MESSEEDDDFPFIESITPQSKIDSLYQSHTEKGIRKVCCELIDLKDAVENLCGNMETKYLAFLRMSEEVVEMEHELIELRKHISAQRILVQDLMTGVCRELEEYNSANGDIGDSQQDLQVDELQSSLPSDTDIRKEIFLENIDVLLAEHKVEEAIEALEAEEKYCPELKGPGDTSSTEASYRSVFLKRKSMLEDQLIGITEQPLVGILELKKALSALIKIGKGPLAHQLLLKSYGSRLQKSIEVFLPSCSVYPKTFPATLSRLMFSIISVTTKESGSIFGDNPVYTNRLVQWAEWEIEYFVRLVKNNAPSSETVFALGAASNCVQASLTYCSMLESQGLKLSKLLLVLLRPYIEEVLEFNFRRARREALDVAEMDESSLLSPHSMSPLSAFATSSDSVLVDSGMKFMDIVEDILAQLTPMAVLHFGANVLTRISQLFDKYMDMLFKSLPGPSDDDNLTELKEVIQFRAETDSEQLALLGLAFTILDELLPLAVMRVWSLKNESNELESESTVPNASITAELKEWKRNLQHSFDRLRDHFCRQYVLSFIYSREGKTRLNALIYLSGEGEDLYWGSDPLPSLPFQALFAKLQQLAIVAGDVLLGREKIQKNLLARLTETVVMWLSEEQEFWDVFEDESVPLKPLGLQQLILDMHFTVEIACFAGYPSRHVQQIASAIITRAIRTFSARGIDPQSALPEDEWFVETAKTAINKLLLGTSGSDASEIDEDHVILHDEMVSDSDDTASSLSSIESFESFASASMGELESPVYFTGSEG >Potri.003G037100.1.v4.1 pep chromosome:Pop_tri_v4:3:4494653:4497069:1 gene:Potri.003G037100.v4.1 transcript:Potri.003G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G037100.v4.1 MAVKPTVALRAVLVGGVAIFAKVASVMKAAGGVKLGAAAAAVTAAATAAISGPKKDSNDTSPSPSPSQ >Potri.013G094050.1.v4.1 pep chromosome:Pop_tri_v4:13:9692599:9693203:1 gene:Potri.013G094050.v4.1 transcript:Potri.013G094050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094050.v4.1 MPGTRLRACRARGKNRKQLIKLLVLICLDDESLLENRVSPLHAFLKDRAHHDLILDELP >Potri.019G060084.1.v4.1 pep chromosome:Pop_tri_v4:19:9953371:9956797:-1 gene:Potri.019G060084.v4.1 transcript:Potri.019G060084.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060084.v4.1 METTCIDIAKDFLVEQFARSVYVALLQWKASLHNQSQSLLSSWVGISPCINWIGITCDNSGSVTNLTLESFGLRGTLYDLNFSSFPNLFWLDLADNSLSGSIPSSIGNLKSLSVLYLSDNKLSGPIPSSIGNFTSLSKLSLHSNKLSGSIPQEIGLLESLNELELSNNVLTSRIPYSIGKLRNLSFLGRAKNQLSGPIPSSIENLTSLSDLYLLDNKLSGPIPSSIGNLTSLFILVLWGNKLSGSIPQEIGLLESLNRLELSNNFLTGRIPYSIRQLRNLSLLNLSHNKLSGPVPSIGNMTMLTALALNRNNLSGCVPSEIGQLKSLVHMALQENKFHGPFPSDMNNLTHLKYLSLAANKFTGHLPLDLCHGGVLEIFTASYNYFSGSNPESLKNCTNLYRVRLDWNHLTGNISEVFGVHPHLDYIDLSYNNFYGELSSKWGDCRNMTSLKISKNNVSGEIPPELGKAAQLRLIDLSSNQLKGAIPKHLGGLKLLYKLVLNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGGIGFLLSLRDLDLSCNFLTREIPRQLGQLQMLETLNVSHNMLSGRIPSTFKDMVSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPTSRKTVKRKSNKLVLLIVLPLLGSLLLVFVVIGALFILCKRARKRNAEPENEQDRNIFTILGHDGKKLYHNIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKGFEKEVCVLANIRHRNIVKMYGFCSHAKHSFLVYEFIERGSLRKIITSEEQAIEFDWMKRLNVVKGVGGALSYLHHSCSPPIIHRDITSNNILVDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDIYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHTPLKDVLDQRISLPKKGAAEGVVHMMKIALACLHPNPQSRPTMEKISFELTTKWPPLPKAFCTISLGDLLIS >Potri.017G009500.1.v4.1 pep chromosome:Pop_tri_v4:17:705640:710198:1 gene:Potri.017G009500.v4.1 transcript:Potri.017G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009500.v4.1 MFSKISTHLFGAYAALLLLVILSTHHSCSARKTNNYCAPSSCGNFHNISYPFRLSTDPESCGNKNYELACENNERLTLHLNMVKYYVQAINYSDLSIRLVDAAVQKDDCFSLPHPLSFRRLDYSVKSSFTLVFISCENPILNPPAYIVDTSSCKNDSSTAYNSTSSSSVSSPSFFNMEGYSYVMVGGVKMADIPDLCRINLICYVPGFLLPENKTNMSYIDVHDILVYGFELSWFSPCCGSVRENRCGYLDKTAMVSYCVGIVIDCYLKGIDWVINRIMAKWLKGTWLNARYLKGVMSIINRSIDHNSMSYRNPGTTIASNLLIILVNLFLTLLLVLLVLIAALHALLFLCGFPCLIILLIYKWQRRHLSMYNNIEKFLQSHDNDLMPIRYNYSEIKKITNGFKDKLGQGGFGSVYKGKLRSGRFVAVKILGKSKANGQDFINEVATIGRIYHVNVMRIIGFAVEGSKRALILEFMPNGSLEKYLFSNQGSIPLSNNKMYEISLGVARGIEYLHQGCDMQILHFDIKPHNILLDEKFIPKISDFGLAKLYPSGNNNVSLTTVRGTIGYMAPELLYKNIGGVSYKADVYSFGMLLMDMVGRRKNLNVLGNHSSRIYFPAWVYDKVSEGKDIEIQEDATEYEKKIIKKVMIVALWCIQLKPDDRPSMHKVVEMLESDVDFLRIPPKPFLSPHQILEDDDRANPTRLSDPLNNCIDSSYKFGR >Potri.014G102100.1.v4.1 pep chromosome:Pop_tri_v4:14:6758778:6759840:-1 gene:Potri.014G102100.v4.1 transcript:Potri.014G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102100.v4.1 MEHTICGFSFTSGINLIVIPRPKTKSEASPEALFKFSTLAMDTISSSISTLKGPAFLSTLPELQHLRTPHVSHQLPPNLTTKPTSSISNRTPSKTKTLSFKNLNLPLFSNISQSSSHNSSPHSHRNPVSGYAAALVDIARCKSSLDIVQNDVQKLLKLLQNEQIQAVLGSPFVGDKEKGQVVKEVAKRGKFNRYLVGLVKMLIDRNKVMIVSDVLMEFESICDELSGTRVVLVPSPKKMEEDQLFWIAKTVQNLTGAMNVKVKNFFDEKFPAFVA >Potri.002G127000.1.v4.1 pep chromosome:Pop_tri_v4:2:9631232:9631681:1 gene:Potri.002G127000.v4.1 transcript:Potri.002G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127000.v4.1 MAIKYRGVNIDGKREMTMAQFRIWVKTLDADKDGKISKDELSDAVRGNGGWFAGWKAKRGVKAADANGNGVIDDSEIDILAAFAQKHLGIIII >Potri.003G098301.1.v4.1 pep chromosome:Pop_tri_v4:3:12400889:12401590:-1 gene:Potri.003G098301.v4.1 transcript:Potri.003G098301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098301.v4.1 MSRHERHHVITHIEPYTGSNHLEVGNGKGLSISHLCDSFISTSTNTLRVHFLLKIKPFLNFMVIHVSTRSKCPRLSFSVKDDLSWLDKPSSKLIPRALSIVCSTSDIRYRCLGYPHTDVFHHLFLNKHNFGYFFLAHLFSILLVLCTDLVDCH >Potri.013G030100.1.v4.1 pep chromosome:Pop_tri_v4:13:1950686:1953307:-1 gene:Potri.013G030100.v4.1 transcript:Potri.013G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G030100.v4.1 MTSCHVLLFLALFVFSANADVSIDCGASESYTDENSITWRGDDDIFQSSFSEVVQSSNTVSHVMSTLRVFTSRKKNCYFISVDKGPLLVRASFYYGNYDRKLSPPSFDLLIDGNHWTKVITSLDKLLYYEVVYVVESDATTICLAQTQPNQFPFISALEVRSLDPKMYSYVDPKYALFVRSRFAYGASATVRYPDDVYDRIWVPESGDKKTAIYMNLYFSEVTDLDTTQKRSFRIYIDNNPKSEPIIPPYGKVTEMLINYTASSNTSFSLVSTLDSTLPPLINAMEVFSVSDPLVVGTNSKDVGGLVELQTQFSVLQGWYGDPCLPSPYTWDWISCSNDVIPHVTALDLSSFGLSGQLPDFSSMDSLVTIDLHNNSFSGPIPDFLGAFPYLEELNLADNSFSGPIPPSISSNKTLKLVVSGNPGLCVSGKSCKPTSTDGTKSSPTPSSSSKKSSKLPLILGTTIPSFMIFWAIVAFILHYRRKKAAIAAITAGKAAGSNMPSQGQGSPGNVMMGKMGEAAANEGN >Potri.003G146900.1.v4.1 pep chromosome:Pop_tri_v4:3:16139750:16143695:1 gene:Potri.003G146900.v4.1 transcript:Potri.003G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED10 MDSSQNTVLGSGGNGMLATPLNDTAAAVDDPKQNLNQVIDSIQKTLGQLHQLYLTVSSFNTASQLPLLQRLNGLVTELDNMVKLSERCNIQVPMEVLNLIDDGKNPDEFTRGVINSCITKNQVTKGKTDGFKSLRKHLLEELEQTFPDEVESYREIRAMSAAEAKRLAQSQSSLPNGDVKVKPEH >Potri.006G275500.3.v4.1 pep chromosome:Pop_tri_v4:6:26696116:26702237:-1 gene:Potri.006G275500.v4.1 transcript:Potri.006G275500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275500.v4.1 MPRKGNYGFDYDDYDDYDYDYDVEDQVEAPEPKKKTSSDKVRVWSCPICTYDNDESMSACDICGVIRSSVPGKLKDDKGTAPFKFDFPSPDDMVSKGLRSSKIGSKANLINSRSQNASAGISETVKSSDKSSASIPKGKQGRPGVDEGNHNKNGVVDTQSRDEISDSTSSLMPKAKDKSVGYSSSSINGGKSLGLTSNLNDMSLSDKSGNSNKASAKRPKSSAQYQPDKWMLPDKSENALTQLNLAIVGHVDSGKSTLSGRLLHLSGRITQKEMHKYEKEAKLQGKGSFAYAWALDESPEERERGITMTVAVAYFDSKKYHVVVLDSPGHKDFVPNMISGATQADAAILVIDASIGGFEAGMDSKGQTREHARLIRSFGVDQIIVAVNKMDSVEYSKDRFDLIGTQLGTFLRSCGFKDSLVSWIPLSAVENQNLVAAPSDVRLSSWYHGSYLLDAIDSLQPLKRDFSKPLLMPICDVVKSSSQGQVSACGKLEAGALRSGLKVLVMPSGDVGTVRTLERDSQICAVARAGDNVTVSLQGIDGSNVMAGGVLCHPDFPVAVAKHFELKVLVLDFLTIPIVIGSQLEFHIHHAKEAARVVKIISVLDPKTGKVSKKAPRCLTSKQSAIIEVALDGPVCAEEFTNCRALGRAFLRTLGKTVAVGIVTRIIEDQE >Potri.006G275500.8.v4.1 pep chromosome:Pop_tri_v4:6:26696185:26702237:-1 gene:Potri.006G275500.v4.1 transcript:Potri.006G275500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275500.v4.1 MPRKGNYGFDYDDYDDYDYDYDVEDQVEAPEPKKKTSSDKVRVWSCPICTYDNDESMSACDICGVIRSSVPGKLKDDKGTVGIICKDSGVSIMAKSLFASLPHQTPQKAVVAQRRNDDFVTEEGNNFQKLGNFQGKFDEFHKAFSPHNRSHFDIAPFKFDFPSPDDMVSKGLRSSKIGSKANLINSRSQNASAGISETVKSSDKSSASIPKGKQGNMDDSNHLMNGAPRPSCKSSDSSSASISKGRPGVDEGNHNKNGVVDTQSRDEISDSTSSLMPKAKDKSVGYSSSSINGGKSLGLTSNLNDMSLSDKSGNSNKASAKRPKSSAQYQPDKWMLPDKSENALTQLNLAIVGHVDSGKSTLSGRLLHLSGRITQKEMHKYEKEAKLQGKGSFAYAWALDESPEERERGITMTVAVAYFDSKKYHVVVLDSPGHKDFVPNMISGATQADAAILVIDASIGGFEAGMDSKGQTREHARLIRSFGVDQIIVAVNKMDSVEYSKDRFDLIGTQLGTFLRSCGFKDSLVSWIPLSAVENQNLVAAPSDVRLSSWYHGSYLLDAIDSLQPLKRDFSKPLLMPICDVVKSSSQGQVSACGKLEAGALRSGLKVLVMPSGDVGTVRTLERDSQICAVARAGDNVTVSLQGIDGSNVMAGGVLCHPDFPVAVAKHFELKVLVLDFLTIPIVIGSQLEFHIHHAKEAARVVKIISVLDPKTGKVSKKAPRCLTSKQSAIIEVALDGPVCAEEFTNCRALGRAFLRTLGKTVAVGIVTRIIEDQE >Potri.006G275500.9.v4.1 pep chromosome:Pop_tri_v4:6:26696170:26702236:-1 gene:Potri.006G275500.v4.1 transcript:Potri.006G275500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275500.v4.1 MPRKGNYGFDYDDYDDYDYDYDVEDQVEAPEPKKKTSSDKVRVWSCPICTYDNDESMSACDICGVIRSSVPGKLKDDKGTVGIICKDSGVSIMAKSLFASLPHQTPQKAVVAQRRNDDFVTEEGNNFQKLGNFQGKFDEFHKAFSPHNRSHFDIAPFKFDFPSPDDMVSKGLRSSKIGSKANLINSRSQNASAGISETVKSSDKSSASIPKGKQGRPGVDEGNHNKNGVVDTQSRDEISDSTSSLMPKAKDKSVGYSSSSINGGKSLGLTSNLNDMSLSDKSGNSNKASAKRPKSSAQYQPDKWMLPDKSENALTQLNLAIVGHVDSGKSTLSGRLLHLSGRITQKEMHKYEKEAKLQGKGSFAYAWALDESPEERERGITMTVAVAYFDSKKYHVVVLDSPGHKDFVPNMISGATQADAAILVIDASIGGFEAGMDSKGQTREHARLIRSFGVDQIIVAVNKMDSVEYSKDRFDLIGTQLGTFLRSCGFKDSLVSWIPLSAVENQNLVAAPSDVRLSSWYHGSYLLDAIDSLQPLKRDFSKPLLMPICDVVKSSSQGQVSACGKLEAGALRSGLKVLVMPSGDVGTVRTLERDSQICAVARAGDNVTVSLQGIDGSNVMAGGVLCHPDFPVAVAKHFELKVLVLDFLTIPIVIGSQLEFHIHHAKEAARVVKIISVLDPKTGKVSKKAPRCLTSKQSAIIEVALDGPVCAEEFTNCRALGRAFLRTLGKTVAVGIVTRIIEDQE >Potri.006G275500.7.v4.1 pep chromosome:Pop_tri_v4:6:26696186:26702131:-1 gene:Potri.006G275500.v4.1 transcript:Potri.006G275500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275500.v4.1 MPRKGNYGFDYDDYDDYDYDYDVEDQVEAPEPKKKTSSDKVRVWSCPICTYDNDESMSACDICGVIRSSVPGKLKDDKGTVGIICKDSGVSIMAKSLFASLPHQTPQKAVVAQRRNDDFVTEEGNNFQKLGNFQGKFDEFHKAFSPHNRSHFDIAPFKFDFPSPDDMVSKGLRSSKIGSKANLINSRSQNASAGISETVKSSDKSSASIPKGKQGNMDDSNHLMNGAPRPSCKSSDSSSASISKGRPGVDEGNHNKNGVVDTQSRDEISDSTSSLMPKAKDKSVGYSSSSINGGKSLGLTSNLNDMSLSDKSGNSNKASAKRPKSSAQYQPDKWMLPDKSENALTQLNLAIVGHVDSGKSTLSGRLLHLSGRITQKEMHKYEKEAKLQGKGSFAYAWALDESPEERERGITMTVAVAYFDSKKYHVVVLDSPGHKDFVPNMISGATQADAAILVIDASIGGFEAGMDSKGQTREHARLIRSFGVDQIIVAVNKMDSVEYSKDRFDLIGTQLGTFLRSCGFKDSLVSWIPLSAVENQNLVAAPSDVRLSSWYHGSYLLDAIDSLQPLKRDFSKPLLMPICDVVKSSSQGQVSACGKLEAGALRSGLKVLVMPSGDVGTVRTLERDSQICAVARAGDNVTVSLQGIDGSNVMAGGVLCHPDFPVAVAKHFELKVLVLDFLTIPIVIGSQLEFHIHHAKEAARVVKIISVLDPKTGKVSKKAPRCLTSKQSAIIEVALDGPVCAEEFTNCRALGRAFLRTLGKTVAVGIVTRIIEDQE >Potri.006G275500.10.v4.1 pep chromosome:Pop_tri_v4:6:26696180:26702237:-1 gene:Potri.006G275500.v4.1 transcript:Potri.006G275500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275500.v4.1 MPRKGNYGFDYDDYDDYDYDYDVEDQVEAPEPKKKTSSDKVRVWSCPICTYDNDESMSACDICGVIRSSVPGKLKDDKGTAPFKFDFPSPDDMVSKGLRSSKIGSKANLINSRSQNASAGISETVKSSDKSSASIPKGKQGNMDDSNHLMNGAPRPSCKSSDSSSASISKGRPGVDEGNHNKNGVVDTQSRDEISDSTSSLMPKAKDKSVGYSSSSINGGKSLGLTSNLNDMSLSDKSGNSNKASAKRPKSSAQYQPDKWMLPDKSENALTQLNLAIVGHVDSGKSTLSGRLLHLSGRITQKEMHKYEKEAKLQGKGSFAYAWALDESPEERERGITMTVAVAYFDSKKYHVVVLDSPGHKDFVPNMISGATQADAAILVIDASIGGFEAGMDSKGQTREHARLIRSFGVDQIIVAVNKMDSVEYSKDRFDLIGTQLGTFLRSCGFKDSLVSWIPLSAVENQNLVAAPSDVRLSSWYHGSYLLDAIDSLQPLKRDFSKPLLMPICDVVKSSSQGQVSACGKLEAGALRSGLKVLVMPSGDVGTVRTLERDSQICAVARAGDNVTVSLQGIDGSNVMAGGVLCHPDFPVAVAKHFELKVLVLDFLTIPIVIGSQLEFHIHHAKEAARVVKIISVLDPKTGKVSKKAPRCLTSKQSAIIEVALDGPVCAEEFTNCRALGRAFLRTLGKTVAVGIVTRIIEDQE >Potri.004G203400.5.v4.1 pep chromosome:Pop_tri_v4:4:21238524:21245855:1 gene:Potri.004G203400.v4.1 transcript:Potri.004G203400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203400.v4.1 MASHRVGETGLSSVPSNHHLPYAPVHGINTPSANFINQEGSAFDFGELEEAIVLQGVQIRNDEAKAPLFTVAGRRAATLEMFPSWPIRFQQTPRGSSKSGGESTDSGSATNTLSSKADAHLEPESPISKKASSSDHYNQAFDQKHLQFQDQQQVDMANDTSRTGGRPSQQNQSPAKTPHEKRKVSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLSQLEQDLQRARQQGLFLGGCGGAGGNISSGPAIFDMEYARWLEDDHRHMSELRTGLHSHLSDGELRVIVDGYISHYDEIFRLKVEAAKSDVFHLITGMWSTPAERCFLWMGGFRPSELIKMLISQLDPLTEQQIMGIYNLQQSSQQAEEALSQGLEQLQQSLVDTIAGGPVIGGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQVARCFLVIGEYYGRLRALSSLWASRPRETMMSEDNSCQTTTDLQMVHPSQNHFANF >Potri.004G203400.7.v4.1 pep chromosome:Pop_tri_v4:4:21238583:21245848:1 gene:Potri.004G203400.v4.1 transcript:Potri.004G203400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203400.v4.1 MASHRVGETGLSSVPSNHHLPYAPVHGINTPSANFINQEGSAFDFGELEEAIVLQGVQIRNDEAKAPLFTVAGRRAATLEMFPSWPIRFQQTPRVGSSKSGGESTDSGSATNTLSSKADAHLEPESPISKKASSSDHYNQAFDQKHLQFQDQQQVDMANDTSRTGGRPSQQNQSPAKTPHEKRKVSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLSQLEQDLQRARQQGLFLGGCGGAGGNISSGPAIFDMEYARWLEDDHRHMSELRTGLHSHLSDGELRVIVDGYISHYDEIFRLKVEAAKSDVFHLITGMWSTPAERCFLWMGGFRPSELIKMLISQLDPLTEQQIMGIYNLQQSSQQAEEALSQGLEQLQQSLVDTIAGGPVIGGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQVARCFLVIGEYYGRLRALSSLWASRPRETMMSEDNSCQTTTDLQMVHPSQNHFANF >Potri.004G203400.8.v4.1 pep chromosome:Pop_tri_v4:4:21238771:21245877:1 gene:Potri.004G203400.v4.1 transcript:Potri.004G203400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203400.v4.1 MASHRVGETGLSSVPSNHHLPYAPVHGINTPSANFINQEGSAFDFGELEEAIVLQGVQIRNDEAKAPLFTVAGRRAATLEMFPSWPIRFQQTPRVGSSKSGGESTDSGSATNTLSSKADAHLEPESPISKKASSSDHYNQAFDQKHLQFQDQQQVDMANDTSRTGGRPSQQNQSPAKTPHEKRKVSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLSQLEQDLQRARQQGLFLGGCGGAGGNISSGPAIFDMEYARWLEDDHRHMSELRTGLHSHLSDGELRVIVDGYISHYDEIFRLKVEAAKSDVFHLITGMWSTPAERCFLWMGGFRPSELIKMLISQLDPLTEQQIMGIYNLQQSSQQAEEALSQGLEQLQQSLVDTIAGGPVIGGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQVARCFLVIGEYYGRLRALSSLWASRPRETMMSEDNSCQTTTDLQMVHPSQNHFANF >Potri.004G203400.6.v4.1 pep chromosome:Pop_tri_v4:4:21238459:21245876:1 gene:Potri.004G203400.v4.1 transcript:Potri.004G203400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203400.v4.1 MASHRVGETGLSSVPSNHHLPYAPVHGINTPSANFINQEGSAFDFGELEEAIVLQGVQIRNDEAKAPLFTVAGRRAATLEMFPSWPIRFQQTPRGSSKSGGESTDSGSATNTLSSKADAHLEPESPISKKASSSDHYNQAFDQKHLQFQDQQQVDMANDTSRTGGRPSQQNQSPAKTPHEKRKVSTSEKPLDAKTLRRLAQNREAARKSRLRKKAYVQQLETSRIKLSQLEQDLQRARQQGLFLGGCGGAGGNISSGPAIFDMEYARWLEDDHRHMSELRTGLHSHLSDGELRVIVDGYISHYDEIFRLKVEAAKSDVFHLITGMWSTPAERCFLWMGGFRPSELIKMLISQLDPLTEQQIMGIYNLQQSSQQAEEALSQGLEQLQQSLVDTIAGGPVIGGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQVARCFLVIGEYYGRLRALSSLWASRPRETMMSEDNSCQTTTDLQMVHPSQNHFANF >Potri.011G153800.4.v4.1 pep chromosome:Pop_tri_v4:11:18104037:18110763:1 gene:Potri.011G153800.v4.1 transcript:Potri.011G153800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153800.v4.1 MRPPKAKPQDSYIRGRENPYFDGLLRGNDTGSQNISPSTSDIGDLRGISFSDIPGKFKDLPVKDSVSDILEPFEQTTFWTLSKVPRSTSFESQSSQSDMSFDLDGSNHLVKQSSPGTFETTEEHQVVPFLPRPVIEKSFVLNKRKSNLSNIPLPQSAATFYNGFSPQFEILESCESTMKLNLYLKARKDDVSAGVPGKFLHAVIGQDVSDVGSIASTIMYALYLNETIKSDQFCTVPIINMKRTDLSSHAELKWLLDSCHIDVPSLLFVDEIDLSYFDLFGCLKLVLVNGHKLPTRQEALKEAVVEVFNCRKGESVYPWVETVTVDQDCSCCSLIAEKLFLTAPELLAGQRFSKLLLAGILMDTGNLTSPHCTTKDKYMATLLLNGAGRFGSNGLYQIMRYKMYDISDLKAVDILRKEFKKWTRGGKPDPTGSRLLVSNIGMSSIGISLEQFLAHERSSKEEIKYFQQSEKLRLLMIVSGYYDAEKNFKREILVSAESMELMRNLLSFFSSNASHLPLKAMHRPGLRDDMKAFEIDKATSRKTIERLLEEFGGASKG >Potri.011G153800.7.v4.1 pep chromosome:Pop_tri_v4:11:18104349:18110756:1 gene:Potri.011G153800.v4.1 transcript:Potri.011G153800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153800.v4.1 MRPPKAKPQDSYIRGRENPYFDGLLRGNDTGSQNISPSTSDIGDLRGISFSDIPGKFKDLPVKDSVSDILEPFEQTTFWTLSKVPRSTSFESQSSQSDMSFDLDGSNHLVKQSSPGTFETTEEHQVVPFLPRPVIEKSFVLNKRKSNLSNIPLPQSAATFYNGFSPQFEILESCESTMKLNLYLKARKDDVSAGVPGKFLHAVIGQDVSDVGSIASTIMYALYLNETIKSDQFCTVPIINMKRTDLSSHAELKWLLDSCHIDVPSLLFVDEIDLSYFDLFGCLKLVLVNGHKLPTRQEALKEAVVEVFNCRKGESVYPWVETVTVDQDCSCCSLIAEKLFLTAPELLAGQRFSKLLLAGILMDTGNLTSPHCTTKDKYMATLLLNGAGRFGSNGLYQIMRYKMYDISDLKAVDILRKEFKKWTRGGKPDPTGSRLLVSNIGMSSIGISLEQFLAHERSSKEEIKYFQQSEKLRLLMIVSGYYDAEKNFKV >Potri.011G153800.6.v4.1 pep chromosome:Pop_tri_v4:11:18104188:18110756:1 gene:Potri.011G153800.v4.1 transcript:Potri.011G153800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153800.v4.1 MRPPKAKPQDSYIRGRENPYFDGLLRGNDTGSQNISPSTSDIGDLRGISFSDIPGKFKDLPVKDSVSDILEPFEQTTFWTLSKVPRSTSFESQSSQSDMSFDLDGSNHLVKQSSPGTFETTEEHQVVPFLPRPVIEKSFVLNKRKSNLSNIPLPQSAATFYNGFSPQFEILESCESTMKLNLYLKARKDDVSAGVPGKFLHAVIGQDVSDVGSIASTIMYALYLNETIKSDQFCTVPIINMKRTDLSSHAELKWLLDSCHIDVPSLLFVDEIDLSYFDLFGCLKLVLVNGHKLPTRQEALKEAVVEVFNCRKGESVYPWVETVTVDQDCSCCSLIAEKLFLTAPELLAGQRFSKLLLAGILMDTGNLTSPHCTTKDKYMATLLLNGAGRFGSNGLYQIMRYKMYDISDLKAVDILRKEFKKWTRGGKPDPTGSRLLVSNIGMSSIGISLEQFLAHERSSKEEIKYFQQSEKLRLLMIVSGYYDAEKNFKREILVSAESMELMRNLLSFFSSNASHLPLKAMHRPGLRDDMKAFEIDKATSRKTIERLLEEFGGASKG >Potri.011G153800.3.v4.1 pep chromosome:Pop_tri_v4:11:18104188:18110756:1 gene:Potri.011G153800.v4.1 transcript:Potri.011G153800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153800.v4.1 MRPPKAKPQDSYIRGRENPYFDGLLRGNDTGSQNISPSTSDIGDLRGISFSDIPGKFKDLPVKDSVSDILEPFEQTTFWTLSKVPRSTSFESQSSQSDMSFDLDGSNHLVKQSSPGTFETTEEHQVVPFLPRPVIEKSFVLNKRKSNLSNIPLPQSAATFYNGFSPQFEILESCESTMKLNLYLKARKDDVSAGVPGKFLHAVIGQDVSDVGSIASTIMYALYLNETIKSDQFCTVPIINMKRTDLSSHAELKWLLDSCHIDVPSLLFVDEIDLSYFDLFGCLKLVLVNGHKLPTRQEALKEAVVEVFNCRKGESVYPWVETVTVDQDCSCCSLIAEKLFLTAPELLAGQRFSKLLLAGILMDTGNLTSPHCTTKDKYMATLLLNGAGRFGSNGLYQIMRYKMYDISDLKAVDILRKEFKKWTRGGKPDPTGSRLLVSNIGMSSIGISLEQFLAHERSSKEEIKYFQQSEKLRLLMIVSGYYDAEKNFKREILVSAESMELMRNLLSFFSSNASHLPLKAMHRPGLRDDMKAFEIDKATSRKTIERLLEEFGGASKG >Potri.015G090200.1.v4.1 pep chromosome:Pop_tri_v4:15:11343644:11348855:1 gene:Potri.015G090200.v4.1 transcript:Potri.015G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090200.v4.1 MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPDVIKEQIEKLDLMKADGALDKARKHKKRQLEDTLSLVIKKRREYEDKMKEKGETPVMFSHLGPLRRRTSAEEEERARHPKPEDSVYYHPTMNPTGAPPPGKPPMYKSSIGPRIPLSGASSSTAESEDAALAVPPPLPESGELGPGDGSAIPALLPLPPPPPLPPMPAAPSLGMSLPPPPLPPPPPGPPPKDQVSSHISLPPPPPLQQSAQPPPPPGTNESGSETNISALLDESSSKDNAQVPASLPPPPPIAMQLKSANSLSEGTSSEADANTAATMDNPKMVPPPPPPRQQPLAPGQALTPTLQPEVLPPGISRFPPPPPPPNVRPPLSSPGIPGQVAPPGVMLPLIPRPPYGPPPGPPPMMRPPLPPGPPPFFQEDAANRPHVPQKPSYVKSAASTVVKRPLAQHTPELTAMVPASVRVRREAAIPKPKPKAVTSTTAVATRPAAPTTVKPDSTNSSSAPRSKSIDDSYTAFLEDMKALGALDS >Potri.008G082800.1.v4.1 pep chromosome:Pop_tri_v4:8:5186546:5190348:-1 gene:Potri.008G082800.v4.1 transcript:Potri.008G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G082800.v4.1 MATEFEDGFPAEKLFNQGYSYTYDDVIFLPHYIDFPTDAVNLSTKLSRNVPLSIPWVSSPMDTVTESYMASAMAALGGIGIVHSNVTPSEQADMIRSVKSRRVPILSSPVFKAPDSRIADEFDSHDVPFVLVTQSGTQKTKLLGYVAKSDWLGLKDKEIKLGEVMRTDANVCVPWDYDLRQIDGKLKEEGRDFVVVEEGGEVVDAVTKEEVDRVKGYPKLGKGTVGSDGRWMVGAAIGTRDSDKERLEQLVKAGVDVIVLDSSQGNSVYQVEMIKYVKQTYPELDVIGGNVVTMSQAQNLIKAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIAAQSGIPVIADGGISFSGHIVKALVLGASTVMMGSFLAGSTEAPGAYEYDEKKKCRVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKLVPYTMQAVKQGFQDLGASSLQSAHDLLRSKTLRLEVRTAAAQVEGGVHGLTSHEKKAF >Potri.009G147600.3.v4.1 pep chromosome:Pop_tri_v4:9:11657912:11663323:1 gene:Potri.009G147600.v4.1 transcript:Potri.009G147600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147600.v4.1 MESDSAAEEALQNPSNTDGGEKLTVTKKEEKEEKPKLVVIMGPTGSGKSKLAIDLAAHFPVEIINADSMQVYRGLDVLTNKVPISDQEGVPHHLLGTLNPNVEFTAKDFRDSAIPLINEILSRNCLPVVVGGTNYYIQALVSPFLLDDTTNDLDESLLNHPSGDEQTDHATDSGRESFNHSYDYLRELDPVAANRLHPNNHRKINQYLNLYARSGILPSKLYQGKAAENWGCMDNYRFHCCFICVDADIPVLDRYVEQRVDSMIDAGLLGEVCEVYNYNADYTRGLRQAIGVREFDNFLRVYMSDEKGHDSMGSLFLQSKNEDVKLLKDNMREILHSSDDNQLKILLAEAIDKVKANTRRLVRVQKRRLTRLQTFFGWNIHYVDATEFISCKSDELWAGQVVSSAVNVIRAFLTEERSAVPDLETHVGGGMKSVERNLWTQYICKACGNRVLRGAHEWEQHKQGRGHRKRISRLRKSQGHSYSLVEQEVISNSS >Potri.007G138700.1.v4.1 pep chromosome:Pop_tri_v4:7:14952869:14959315:-1 gene:Potri.007G138700.v4.1 transcript:Potri.007G138700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138700.v4.1 MPAHASASSPDNINPDNEQQQGEEQEQEQEQEHHHQQQRQDLSELSPPLQNGHPTDQEQQHHQNGQHQELEEEEEEVDKKEEAEVQEEEEEDYEVKEEEGEEEGGGGGGGGEGKEESDGTQSSSSSSSENEEKPEFVFVELLDIRKDVQCPICLGIIKKTRTVMECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIIQRQSEALVKRRTLGKESTFMTRSQRTHRPISRRRRNSRGSDFQGYEDIEDENDDNIGKDSSSTDDRSTEVRQRRRKRQRTSQPSSSAVNSEGGCAENDLDSNRENRGLSPGLVWNTEMLAWGRGGTRSHTRHGNPSGCNNKNSRNTRLSKLVEYLRSLDEKNDELDIHLKLITLDKHSAPTLKQPYLCCRPSLSIKHLCEYVAHQTTLQAEEVEILLVKGKHQSDENLPTKHPQIPMDELQILKGQETVAGLKASCSSSRDHLILAYRQKEIIKS >Potri.007G138700.2.v4.1 pep chromosome:Pop_tri_v4:7:14952869:14959270:-1 gene:Potri.007G138700.v4.1 transcript:Potri.007G138700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138700.v4.1 MECLHRFCRECIDKSMRMGNNECPACRTHCASRRSLRDDPNYDALIAALYPDIDKYEEEELAFHEEERTRNKQIQASIAQIIQRQSEALVKRRTLGKESTFMTRSQRTHRPISRRRRNSRGSDFQGYEDIEDENDDNIGKDSSSTDDRSTEVRQRRRKRQRTSQPSSSAVNSEGGCAENDLDSNRENRGLSPGLVWNTEMLAWGRGGTRSHTRHGNPSGCNNKNSRNTRLSKLVEYLRSLDEKNDELDIHLKLITLDKHSAPTLKQPYLCCRPSLSIKHLCEYVAHQTTLQAEEVEILLVKGKHQSDENLPTKHPQIPMDELQILKGQETVAGLKASCSSSRDHLILAYRQKEIIKS >Potri.010G203100.1.v4.1 pep chromosome:Pop_tri_v4:10:19513461:19516280:-1 gene:Potri.010G203100.v4.1 transcript:Potri.010G203100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203100.v4.1 MAVGILEVKLVKAKGLGNPDFFGLSCYCSSSLTNMDPYVLVKYKSQERKSKVARGQGGRPVWNETLTFKVEYPGQGGNYKLILKIMDKDTFSADDSVGEATIYVKDLLALGVEKGTAELQTQKYRVVNADKSYRGEIQVGVTFTLKAEEEDAGEDYGGWRQSSV >Potri.013G134000.1.v4.1 pep chromosome:Pop_tri_v4:13:13973310:13974666:1 gene:Potri.013G134000.v4.1 transcript:Potri.013G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134000.v4.1 MGSEVIVELQRNSINWANVVGEIVKIERKIFPKHESLARSFDEELRKKNSGLLYTELNGEVAGYAMYSWPSSLCASITKLAVKENYRRQGHGEALLKAAIEKCKKRKVQRISLHVDPLRSAAMTLYKKLGFQVDSLVEGYYSSDRNAYRMYLDSESD >Potri.002G207800.1.v4.1 pep chromosome:Pop_tri_v4:2:18263306:18266417:1 gene:Potri.002G207800.v4.1 transcript:Potri.002G207800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207800.v4.1 MPNKASTFPEEVLEHVLSFITNDKDRNAVSVVCKSWYEIERWCRKRIFVGNCYAVRPDMVIRRFPELRSVELKGKPHFADFNLVPDGWGGYFYPWIAALATAYPWLEEIRLKRMVISDESLEFIAKSFKNFKVLVLSSCEGFSTDGLSAIAADCRNLRELDLRESEVDDPSGQWLNSFPDSFTSLVSLNISCLGAELSFSALERLVGQCPDLKNLQLNHAVPVERLAKLIRQAPQLVELGTGEFSAKLQPEIFSNLAGAFSVCKELRSLSGFWDVNPAYLPAVYPVCSGLTSLNLRYANIQSADLIKLVSQCSNLQRLWVLDYIEDVGLEALAACCKDLTELRVFPSDPFAAEPNVSLTERGLVSVSEGCPKLQSVLYFCRQMTNAALVTVAKNRPSMTCFRLCIIEPQAPDYQTLQPLDLGFGAIVENYKDLRRLSLSGLLTDRVFEYIGTYGKKIEMLSVAFAGDSDLGLHHVLSGCERLCKLEIRDCSFGDKALLANAAKLETMRSLWMSSCSVSFGACKLLGQKMPRLNVEVIDERGPPESRPESCPVEKLYIYRTIAGPRLDMPGFVRTMDADSVSRFC >Potri.002G207800.2.v4.1 pep chromosome:Pop_tri_v4:2:18263156:18267263:1 gene:Potri.002G207800.v4.1 transcript:Potri.002G207800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207800.v4.1 MPNKASTFPEEVLEHVLSFITNDKDRNAVSVVCKSWYEIERWCRKRIFVGNCYAVRPDMVIRRFPELRSVELKGKPHFADFNLVPDGWGGYFYPWIAALATAYPWLEEIRLKRMVISDESLEFIAKSFKNFKVLVLSSCEGFSTDGLSAIAADCRNLRELDLRESEVDDPSGQWLNSFPDSFTSLVSLNISCLGAELSFSALERLVGQCPDLKNLQLNHAVPVERLAKLIRQAPQLVELGTGEFSAKLQPEIFSNLAGAFSVCKELRSLSGFWDVNPAYLPAVYPVCSGLTSLNLRYANIQSADLIKLVSQCSNLQRLWVLDYIEDVGLEALAACCKDLTELRVFPSDPFAAEPNVSLTERGLVSVSEGCPKLQSVLYFCRQMTNAALVTVAKNRPSMTCFRLCIIEPQAPDYQTLQPLDLGFGAIVENYKDLRRLSLSGLLTDRVFEYIGTYGKKIEMLSVAFAGDSDLGLHHVLSGCERLCKLEIRDCSFGDKALLANAAKLETMRSLWMSSCSVSFGACKLLGQKMPRLNVEVIDERGPPESRPESCPVEKLYIYRTIAGPRLDMPGFVRTMDADSVSRFC >Potri.009G143900.2.v4.1 pep chromosome:Pop_tri_v4:9:11453782:11459269:1 gene:Potri.009G143900.v4.1 transcript:Potri.009G143900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143900.v4.1 MLKKLNWTSVKFLHPLDPHVVFRGRQSMKSYEVHETRSQAHAPSDESRIMTRKQKVGQSKAHEGEQSPKKKAKNGKDQNDSTNGKSEDNIAKEYEEFCKAIEEHLSVEQMREILDMNDKYSSSGSDGVVATKCQDLLFFGPLDKCPLCSSNLEFDGKRCSCKGFYSEWSSCTFKTRTPPRKEEPLKLPDSVLSSSAAELLKKHQDPSRRPCQGAPIKPLAGIVVSLSGRLSRTHQYWKREIEKHGGKVSSSFKGITCLVVSPAERERGGSTKLADALEAGIPVVREDWLLDSIEKQEPQPMEAYDVVSDLSVEGKGIPWDKQDPSEEALESISAELKLYGKRGVYKDTRMQERGGQIFEKDGILYNCAFSLCDLGRGLNEYCIMQLITVPDSNLHSYYKKGKVGDNTNAEERLEEWENVDNAIKEFVRLFVELTGNEFEPWEREKKFEKKRLCFYPIDMDDGMDVRHGGLGLRQLGVAAAHSSLEPKIAYLMKILCSQEIYKYAMMEMGLDSPDVPMGMLSDLHLERCEEVLLQFVEAVKSMKETGQKAEAVWSDYSQRCSTLLHSTRPFVFRDYQDLADHGAAAFETIRDINMASRLVGDMFGSTLDDPLSDRYKKLGCSVSALEKDSDDYKMIVKYLDTTYEPVRVGDIDYGVSVENIFSVEPSACPSLDEIKKLPNKVLLWCGTRSSNLLRHLQKGFLPSVCSLPVPGYMFGKAIVCSDAAAEAARYGFTSVERPEGFLVLAVASLGDQIIEVKSPPEDTKSLEEKKRGVKGLGKKKTDESEHFIWKDDIKVPCGRLIPSEHRDSPLEYNEYAVYDPKQTRIRFLVEVKYEEMGAELDTTEP >Potri.009G143900.1.v4.1 pep chromosome:Pop_tri_v4:9:11454201:11459269:1 gene:Potri.009G143900.v4.1 transcript:Potri.009G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143900.v4.1 MKVHETRSQAHAPSDESRIMTRKQKVGQSKAHEGEQSPKKKAKNGKDQNDSTNGKSEDNIAKEYEEFCKAIEEHLSVEQMREILDMNDKYSSSGSDGVVATKCQDLLFFGPLDKCPLCSSNLEFDGKRCSCKGFYSEWSSCTFKTRTPPRKEEPLKLPDSVLSSSAAELLKKHQDPSRRPCQGAPIKPLAGIVVSLSGRLSRTHQYWKREIEKHGGKVSSSFKGITCLVVSPAERERGGSTKLADALEAGIPVVREDWLLDSIEKQEPQPMEAYDVVSDLSVEGKGIPWDKQDPSEEALESISAELKLYGKRGVYKDTRMQERGGQIFEKDGILYNCAFSLCDLGRGLNEYCIMQLITVPDSNLHSYYKKGKVGDNTNAEERLEEWENVDNAIKEFVRLFVELTGNEFEPWEREKKFEKKRLCFYPIDMDDGMDVRHGGLGLRQLGVAAAHSSLEPKIAYLMKILCSQEIYKYAMMEMGLDSPDVPMGMLSDLHLERCEEVLLQFVEAVKSMKETGQKAEAVWSDYSQRCSTLLHSTRPFVFRDYQDLADHGAAAFETIRDINMASRLVGDMFGSTLDDPLSDRYKKLGCSVSALEKDSDDYKMIVKYLDTTYEPVRVGDIDYGVSVENIFSVEPSACPSLDEIKKLPNKVLLWCGTRSSNLLRHLQKGFLPSVCSLPVPGYMFGKAIVCSDAAAEAARYGFTSVERPEGFLVLAVASLGDQIIEVKSPPEDTKSLEEKKRGVKGLGKKKTDESEHFIWKDDIKVPCGRLIPSEHRDSPLEYNEYAVYDPKQTRIRFLVEVKYEEMGAELDTTEP >Potri.019G045900.3.v4.1 pep chromosome:Pop_tri_v4:19:6474109:6475525:-1 gene:Potri.019G045900.v4.1 transcript:Potri.019G045900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045900.v4.1 MDRWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQSAWDPMAQDSTSSQFTSKSFNDRKSLDNFTTNSSSALRPNQASTYASSTENISRLLEGWMRSSPKPNSSNFLLKENREQENNSQENNDHDIANSVAINYLQSYKEKPEQERGDLISHEEFESILSLESLHNVAWDKSCCDSGTVTTTTTTKGFHNSASDEKENMTMIERNQKSESTPPLSFLEKWLWDENGAGQVEEIMELSPIF >Potri.019G045900.2.v4.1 pep chromosome:Pop_tri_v4:19:6474113:6475797:-1 gene:Potri.019G045900.v4.1 transcript:Potri.019G045900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045900.v4.1 MIIHLQAILGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQSAWDPMAQDSTSSQFTSKSFNDRKSLDNFTTNSSSALRPNQASTYASSTENISRLLEGWMRSSPKPNSSNFLLKENREQENNSQENNDHDIANSVAINYLQSYKEKPEQERGDLISHEEFESILSLESLHNVAWDKSCCDSGTVTTTTTTKGFHNSASDEKENMTMIERNQKSESTPPLSFLEKWLWDENGAGQVEEIMELSPIF >Potri.019G045900.1.v4.1 pep chromosome:Pop_tri_v4:19:6474018:6476041:-1 gene:Potri.019G045900.v4.1 transcript:Potri.019G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045900.v4.1 MGRPPCCDKDGIKKGPWTPEEDIILVSYIQEHGPGNWRSVPTNTGLLRCSKSCRLRWTNYLRPGIKRGNFTPHEEGMIIHLQAILGNKWAAIASYLPQRTDNDIKNYWNTHLKKKLKKFQSAWDPMAQDSTSSQFTSKSFNDRKSLDNFTTNSSSALRPNQASTYASSTENISRLLEGWMRSSPKPNSSNFLLKENREQENNSQENNDHDIANSVAINYLQSYKEKPEQERGDLISHEEFESILSLESLHNVAWDKSCCDSGTVTTTTTTKGFHNSASDEKENMTMIERNQKSESTPPLSFLEKWLWDENGAGQVEEIMELSPIF >Potri.016G142000.1.v4.1 pep chromosome:Pop_tri_v4:16:14455693:14457031:-1 gene:Potri.016G142000.v4.1 transcript:Potri.016G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142000.v4.1 MEAAAAAAAASASASASARHKKSSSFSLRSPSLNSLRLRRIFDLFDKNGDGMITIQEISQALSLLGLDADFSELEFTIKSHIKPDNNGLSFEDFVSLHQSLHNSFFGYDNNAAEEEASANDIGDQAWMRMEESDLSEAFKVFDEDGDGYISAHELQVVLRKLGFPEAKEIDRIQKMIITVDSNHDGRVDFFEFKEMMRSVLVRSS >Potri.001G121350.1.v4.1 pep chromosome:Pop_tri_v4:1:9928994:9930211:-1 gene:Potri.001G121350.v4.1 transcript:Potri.001G121350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121350.v4.1 MARRGSCRIWYLLKWDISMEDSPEILLSPLSPYTYLSTANYQSTFGYIFWAKNEQMLTQWTVPCCCQLVPTKPGTCLLGTISFTVVHLGTSACEFLALSYNLINLAKHYEAISLQIALVIFLDELSALVC >Potri.014G026800.1.v4.1 pep chromosome:Pop_tri_v4:14:1656488:1658352:1 gene:Potri.014G026800.v4.1 transcript:Potri.014G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G026800.v4.1 MHAKTDSEVTSLAPSSPTRSPRRPVYYVQSPSRDSHDGEKTTTSFHSTPVLSPMGSPPHSHSSVGRHSRESSSSRFSGSLKPGSRKISPNDASRGGRKGQKQWKECDVIEEEGLLDDEERRKGLPRKCYFLAFVLGFFILFSFFSLILWGASKQQKPKITMKSVTFEQFRIQAGSDSTGVATDMISVNSTVRMTYRNKGTFFGVHVTSTPLDLSYSEITLASGSIKKFYQSRKSQRSVAISLISDKIPLYGSGAAFSSSTGTATLPVPLKVNFVVRSKAYVLGKLVKPKFNKRIECDFTFDPKKLNVPISLQKACTYD >Potri.011G075200.2.v4.1 pep chromosome:Pop_tri_v4:11:7875668:7876055:-1 gene:Potri.011G075200.v4.1 transcript:Potri.011G075200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075200.v4.1 MIFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Potri.001G173200.1.v4.1 pep chromosome:Pop_tri_v4:1:14890459:14891493:1 gene:Potri.001G173200.v4.1 transcript:Potri.001G173200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173200.v4.1 MASHEQSYRAGESHGRAEEKTGRVTGSIKEKAREVKDKTYETAQQAKEKAAQTAQEAREKTAETTESAKQKAQEGKDNSKGVMQQTGEKVMQMAESAKDTVKQTLGIGGPGEDEDIYARKDETEDPAGYKHTTIYKETKY >Potri.002G006501.1.v4.1 pep chromosome:Pop_tri_v4:2:364546:366380:-1 gene:Potri.002G006501.v4.1 transcript:Potri.002G006501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006501.v4.1 MLAQCCLLALEWDCIMISMKKLGTFYHGKKGFSCDKSWFVELFYVKGRCDVDKDFKQFGCCLDSLQSFLEIPFLYDNFVTLFICICL >Potri.006G228500.13.v4.1 pep chromosome:Pop_tri_v4:6:23233088:23234933:1 gene:Potri.006G228500.v4.1 transcript:Potri.006G228500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228500.v4.1 MAQGDSDMRKKPNILITGTPGTGKTTTASALAEATQFRHINIGDLVKEKNLHDGWDDQFDCYIINEDLVCDELEDIMEEGGNIVDYHGCDFFPERWFDQVVVLQTDNSVLYDRLSKRGYSETKISNNMECEIFQVLLEEAKESYPEGIVVALRSDSIDDITNNIATLTHWLTTWQNVP >Potri.006G230900.4.v4.1 pep chromosome:Pop_tri_v4:6:23431598:23435763:-1 gene:Potri.006G230900.v4.1 transcript:Potri.006G230900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230900.v4.1 MNQHSPNSNSNSLQPLLKESIHRFLIEHQNGATDFSNFTSIFSRFLHNLPDPPLEIVWFYSALTFHSTKFTDTSKQVLLVKDLFQLLVSCSSSCNAVKKIAVLAPVIHELFTAVSGKKDLREEIESLTEGIICYVSIKCADNSDEHEGFGDLVSCYRELVRVWMVGKIGGDCKFGEDLRLFCPVVSDGVREGMVSEGFGVGYLAGVVTCEAFLLRLCLKFGCGFSRVELEKELLNGAAQMISAFRSYYFVDILLRMLLEPVLPVNAMLNPKDEVILREVLYDVVITMSYSFSIPQKGIEPPGVQLKNLALTWLFVADNAIRFVRENGDRTKVISYLQAFSESFLLSHLIKWVMSQPGVQSKTNAPDIFTPVAVIIMILSKHVMTRRGFCCMIV >Potri.006G230900.2.v4.1 pep chromosome:Pop_tri_v4:6:23431563:23435764:-1 gene:Potri.006G230900.v4.1 transcript:Potri.006G230900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230900.v4.1 MNQHSPNSNSNSLQPLLKESIHRFLIEHQNGATDFSNFTSIFSRFLHNLPDPPLEIVWFYSALTFHSTKFTDTSKQVLLVKDLFQLLVSCSSSCNAVKKIAVLAPVIHELFTAVSGKKDLREEIESLTEGIICYVSIKCADNSDEHEGFGDLVSCYRELVRVWMVGKIGGDCKFGEDLRLFCPVVSDGVREGMVSEGFGVGYLAGVVTCEAFLLRLCLKFGCGFSRVELEKELLNGAAQMISAFRSYYFVDILLRMLLEPVLPVNAMLNPKDEVILREVLYDVVITMSYSFSIPQKGIEPPGVQLKNLALTWLFVADNAIRFVRENGDRTKVISYLQAFSESFLLSHLIKWVMSQPGVQSKTNAPDIFTPVAVIKWLLIVEDEGSRVFDSGISKIYAKAIICKSRTECELLVDKSGGKNLNENHFFYPGKELKAEDKVDGDLEMVDSADTMLLGAPGLMRLTETDGIRKRKEGRNDEGDKRVKFFKCQLGDKLTKEEKVWPLCDGGGFCSGSEIYNPLSVENAVSMEQ >Potri.002G018900.1.v4.1 pep chromosome:Pop_tri_v4:2:1134751:1141013:-1 gene:Potri.002G018900.v4.1 transcript:Potri.002G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018900.v4.1 MQQGDYTSYYQYPHLQNPNPNPNPNPNPPIDHHQTPYASAPPFSSGYTPSDYSIYPPNYPPYPQNPDPVPPPTAPTFTPTPPPPPPPNPNNSQPSFNPPPPPLPQQPQQPPSFPPYDSHGSYQPPATQQSYFPPFDQHQTVPNYAPQPPPPTSLAPNPSATTNSPYSSMYSAPCNPRGSSVPPVYDAPYENPIKYDQNFGYFDGYNRSRSDTGSDLYGKRPESRYDIGGGRDDGYGDGVYAYEGGKVEPYGARGTAPKSSTWAGFDDYGRSISIPSGKDNSLRPGSGSGKIVRAVPKADTQEDAKSGVQKFRVKLLAESGGQSTMDVLCQIGLDGIRMLDPNTSRTLRIYPLENITRCDKTDSSTFAFWSKSSVDIDPRRIRLQSNSYTTNTLLDTVTAATVQFKEMGGRIRPSDTSKTTEQPTEKKKGLGDWMNLIKPGSEEKDHWVPDEAVSKCTSCGTDFGAFVRRHHCRNCGDIFCDKCTHGRIALTADENAQPVRVCDRCMAEVTQRLSNAKEAASKPAFHSHEDLARKLQEEMEKNRKSSSGSKSDGSGRRMREVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >Potri.008G163200.1.v4.1 pep chromosome:Pop_tri_v4:8:11231595:11232238:-1 gene:Potri.008G163200.v4.1 transcript:Potri.008G163200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163200.v4.1 MSSLNIVLAVLLLLSLHVQLYKATRILPEDLTTDLSLQSLQRGTIPPSDGSGCTNIPGSGGPSCPLVNEMHYAGNGLPPDTAFPRHAVPFGVATNQS >Potri.018G065200.1.v4.1 pep chromosome:Pop_tri_v4:18:7800936:7801656:1 gene:Potri.018G065200.v4.1 transcript:Potri.018G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065200.v4.1 MASTSAVSMAMPLTHASRKAAPTSEAFFKPLPVRPSKAIAAASRCNGRFQVKASLKEKVVTGLTAGALTVSMVIPEVAEAAGPGVSPSLNNFLLSIVAGGVVLAAIAGAVVGVSNFDPVRRS >Potri.019G009700.1.v4.1 pep chromosome:Pop_tri_v4:19:1389935:1399411:-1 gene:Potri.019G009700.v4.1 transcript:Potri.019G009700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009700.v4.1 MATALSDILRVTSSLLMLMLLMFCMGAINLEAQVGSLAPDEVEALLEVATQLGKKGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGRITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPPALTNLAGLKELRLSSNNFTGGIPDFIQSWKQLDILEIQAGGFTGPIPSSISLLTNLTDLKISNLLGDGSEFPNLESIAGIKYLMLSNCNLSGNFPIYLTRMAQLKILDISFNRLNGSLPTNYDGLKSLEKMYLTRNMLTGPIPDWIEKRDTRYEIDLSYNNFTSEAKCRETLNLFKSTWGGNYSKPVECLSACSEERYSVHINCGGPEATIGNTIYEEDNEPGGAAKYASKREDWQTSTTGHIWDVPASLDNYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVLCLVNGNYKIKLHFAEIVMRDNRSYYSLGRRIFDVYIQDIIVLKDFDIVKEAGGVDKVYIHNYTAPVTNGALEIRLHWAGKGTTTSPKKGIYGPLISAIDVESDFKPPNKGRRKRFIVAGAVVLSLFLVFILLSSLWWKGHLGGRKSRDRELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTRIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIKATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRVLYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLGDPSGYATALHNKFAQSSANGSLSETQSLVKSSEGPWTASSSSSAQDLYPISKS >Potri.019G009700.3.v4.1 pep chromosome:Pop_tri_v4:19:1389935:1399411:-1 gene:Potri.019G009700.v4.1 transcript:Potri.019G009700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009700.v4.1 MFSGTVPPELGGLVNLENLTLSANYLTGELPPALTNLAGLKELRLSSNNFTGGIPDFIQSWKQLDILEIQAGGFTGPIPSSISLLTNLTDLKISNLLGDGSEFPNLESIAGIKYLMLSNCNLSGNFPIYLTRMAQLKILDISFNRLNGSLPTNYDGLKSLEKMYLTRNMLTGPIPDWIEKRDTRYEIDLSYNNFTSEAKCRETLNLFKSTWGGNYSKPVECLSACSEERYSVHINCGGPEATIGNTIYEEDNEPGGAAKYASKREDWQTSTTGHIWDVPASLDNYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVLCLVNGNYKIKLHFAEIVMRDNRSYYSLGRRIFDVYIQDIIVLKDFDIVKEAGGVDKVYIHNYTAPVTNGALEIRLHWAGKGTTTSPKKGIYGPLISAIDVESDFKPPNKGRRKRFIVAGAVVLSLFLVFILLSSLWWKGHLGGRKSRDRELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTRIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIKATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRVLYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLGDPSGYATALHNKFAQSSANGSLSETQSLVKSSEGPWTASSSSSAQDLYPISKS >Potri.001G301100.2.v4.1 pep chromosome:Pop_tri_v4:1:31164821:31166975:1 gene:Potri.001G301100.v4.1 transcript:Potri.001G301100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301100.v4.1 MAAVAQVTASLSLSIRDASAVGSSRTHLPISRLPTFTFARNGTTFATGSPFLISRTSHQKKAAGRATFVSVRCEQNTQDGSSVDVWIGRLAMVAFAGAISVEIATGKGLLENFGLTNPLPTVALAVTGLMGVLAAVFIFQSASKN >Potri.018G024300.2.v4.1 pep chromosome:Pop_tri_v4:18:1792235:1796492:-1 gene:Potri.018G024300.v4.1 transcript:Potri.018G024300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024300.v4.1 MGSLYRYYKPLTSICFSPPPTKSYLLHSIKFKTHFSPTKKTRLHRFFTSLTSSSLPSIKTNGGDASAASQSSELWLYNTMSRKKELFKPKVEGKVGMYVCGVTAYDLSHIGHARVYVTFDVLLRYLKHLGFDVHYVRNFTDVDDKIIARANELGEDPISLSKRYCEEFLHDMVYLHCLPPSVEPRVSDHMPQIIDMIERILENGYAYRIDGDVYFSVDKLPEYGRLSGRKLEDNRAGERVAVDSRKKNPADFALWKSAKEGEPFWDSPWGPGRPGWHIECSAMSAAYLGHSFDIHGGGMDLVFPHHENEIAQSCAACRDSNISYWVHNGFVTIDSEKMSKSLGNFFTIRQVINLYHPLALRLFLLGTHYRSPVNYSDVQLESASERIFYIYQTLHDCENILSQHRVAFEKDAIPPDTINDINKFHDTLVTSMSDDLHTPVLLSALSDPLKIINDMLHTRKGKKQIKRIESIAALEKMVRNALMVLGLMPPTYNEALQQLREKALRRAKLTEDQILQKIEERTVARKDKDYEKSDAIRKDLAALGIALMDSPDGTSWRPAIPLALQEQQVAST >Potri.010G044800.1.v4.1 pep chromosome:Pop_tri_v4:10:7682221:7684640:-1 gene:Potri.010G044800.v4.1 transcript:Potri.010G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044800.v4.1 MKNMEAGSSLAIIGHEGGEEEQRVPSAIPTDQWQVTFSRFINYPSLPSTCPSLIPLPHNRKCRPTRGTWISSVSATASLQLLNYQSNSKDAILGLSLNGTVLEEHYVWKLHFSWPQVSCVSGYPSRGTRAVFVTFKDSLDEIQKFGFRFSTFSEAEAFINALKVILEDPIETERLDSDFQSAISSQSVFMPTDGYKPRAWVEEESSTMGPVQDYSPQLQLSWNKEAEQASLSTEKSLNHNNEGISPVMPPSFTSLLLDCCSEVKQGQPSSSHAIDLKSQIMKYMEDSSFQDMLSKVEKVINELGDDLML >Potri.009G104200.2.v4.1 pep chromosome:Pop_tri_v4:9:9154186:9155152:-1 gene:Potri.009G104200.v4.1 transcript:Potri.009G104200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104200.v4.1 MGSTKREIAHNFSPHGIINKDGSIDRLSGNEIEENLSSRLFLPTSVDASKKLPLLLYYHGGGFCIETPFSLTYHSYLKTLVAEAEIIAVSVDYRRAPEHPIPVPYDDSWTPLKWAASLVNGDGCTSLEIVLAPGGNIAHHMGMRYGQERLPGINVAGTVLIHPYFWGKERIGNEVNEREAVREGIDAIGCDDPLINPIKDARLPSLGGSKMLVFIAGNDVLRDRGWLYYETLNKNGWGGKVEIMEAKEEVHVFHLSNPSSVNAVAMRRKFISFMHEDR >Potri.003G010598.1.v4.1 pep chromosome:Pop_tri_v4:3:1151988:1152308:-1 gene:Potri.003G010598.v4.1 transcript:Potri.003G010598.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010598.v4.1 MEMFTKQLTHIDLGRGLELPQYNSNLKPLQHIQGTLELSTIVESAAGTRLPDPVTIHCSAIRGSLVFKTGWYAIARDIGLKSGDTVTFYQEVNGGAQFKLKVRNFR >Potri.001G089500.5.v4.1 pep chromosome:Pop_tri_v4:1:7095359:7097904:-1 gene:Potri.001G089500.v4.1 transcript:Potri.001G089500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089500.v4.1 MAIALVSVPTPRQTICTRRSYTYSNSWFHSSATTLIKYPSKPITIPLCSPSTSSTSVVEDGPPPPSSDALPTTEDVDKLPLSGCKGCGREEIEKGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFLASGGRYRRQGQSMDEVAFGREQKETPVGISDEGETRKKRQDPRRFRR >Potri.001G089500.10.v4.1 pep chromosome:Pop_tri_v4:1:7095434:7097908:-1 gene:Potri.001G089500.v4.1 transcript:Potri.001G089500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089500.v4.1 MAIALVSVPTPRQTICTRRSYTYSNSWFHSSATTLIKYPSKPITIPLCSPSTSSTSVVEDGPPPPSSDALPTTEDVDKLPLSGCKGCGREEIEKGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFLASGGRYRRQGQSMDEVAFGREQKETPVGISDEGETRKKRQDPRRFRR >Potri.001G089500.11.v4.1 pep chromosome:Pop_tri_v4:1:7096320:7097900:-1 gene:Potri.001G089500.v4.1 transcript:Potri.001G089500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089500.v4.1 MAIALVSVPTPRQTICTRRSYTYSNSWFHSSATTLIKYPSKPITIPLCSPSTSSTSVVEDGPPPPSSDALPTTEDVDKLPLSGCKGCGREEIEKGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFLASGGRYRRQGQSMDEVAFGREQKETPVGISDEGETR >Potri.006G181600.1.v4.1 pep chromosome:Pop_tri_v4:6:18828583:18830624:1 gene:Potri.006G181600.v4.1 transcript:Potri.006G181600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181600.v4.1 MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIHQRVTKDDAMKWFQVKYEGVILNKSQNI >Potri.009G120900.3.v4.1 pep chromosome:Pop_tri_v4:9:10123761:10129992:1 gene:Potri.009G120900.v4.1 transcript:Potri.009G120900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120900.v4.1 MHRASLEQRRAKAAQGQGQVQDDATKTIDEKGSVASKPEKHVLNVLESGKPREPRKPAKLVTDLTDKENCSEKQRVARTVIFGGLLNDAMAEDVHQRAKETGTVCSVTYPLPKEELKKHGLEQDGCRSGASAVLFTSVKEARSSVAMLHQKEIKGGIVWARQLGGEGCKTQKWKLIIRNLPFKAKPNEIKGVFESAGCVWDVFVPHNSETGLSKGFAFVKFTCKQDAENAIQKFNGQKFGKRPIAVDWAVPKKIYSSGANVSAASEDGHQNEKDSSCEDSDYDDEDDNDTDVIGKKQQHDGVVVTSPDSDLSEKEDMPTEVDFEQEADIARKVLRNLIASSSDVLPKGIEELETVDVPSKLPGESENLSGSPLSSGKSKPSNTKHIDGEDDLQRTVFISNLPFDVESGEVKQRFSAFGEVLSFVPVLHQVTKRPRGTGFLKFKTADGATAAVSAANVASGLGIFLKGRQLTVLKALDKKSAHDKEKEKTKIEDRDHRNLYLAKEGLILEGTPAAEGVSISDMAKRNRLQEEKMTKLRSPNFHVSRTRLVVYNLPKSMTEKQLKKLFIDAVTSRATKQKPVIRQMKFLKNVKKGKVVTKDHSRGVAFVEFTEHQHALVALRVLNNNPETFGPEHRPIVSFALDNVQTLKLRKAKLQVQQQETHKDFQDTQENDESQTPNAIPSQKEMSRKRKSRVENRAVKDPESNRMDEVKNKDSYRTSLKEQTAKKKKSNPGAEDIQTSAKDKRESREQKAKGSQHKQKDEGRKSDGGNSVNSEKIVKPFKEADLWLTKRKRPNQTEENKGGKSSEKRKRPKKNKDPVGQDVADKLDMLIEQYKSKFSKQTADKPEGEKQANKQLKRWFQS >Potri.018G105150.1.v4.1 pep chromosome:Pop_tri_v4:18:12443309:12443632:1 gene:Potri.018G105150.v4.1 transcript:Potri.018G105150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105150.v4.1 MSVLPLIIRMLSSALEELHSFTPHVREATLEKRKPQRELLAIASVMVKIVTEGGAFRIGWRP >Potri.008G151900.1.v4.1 pep chromosome:Pop_tri_v4:8:10425604:10430306:-1 gene:Potri.008G151900.v4.1 transcript:Potri.008G151900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151900.v4.1 MALQVRLGSRMSSLVSMASVSKSLIFFLLSTLSILPTYTHPLDPLTPTEFTKIQSIVHNSYPKSNHSIAFHYVGLEEPTKTAILSWLEGTATRTPPRQAFIIARINQITHEIIADLSVRNIVSDRVYGGYGYPLLTFEEQGTANALPFKYTPFLESIRKRGLKVEEVVCTSFAIGWYGEKRRSKRVVRVLCNYLDGTVNLYMRPIEGITVTVDLEEMKILGFKDRSTVPMPKADGTDYRESSQKPPFGSPLKGISMHQSDGPSFTIDGHAIRWANWDFHLSFDARAGPVISLASIFDLQKQKFRKVLYQGFLSEVFVPYMDLNEEWYYRVFFDAGEYGYGLCAVPLEPLRDCPENAVFMDAYVADHNGTPVNMPNIFCIFERYAGDVMWRHTEAAIPGKVIREVRPEVTLVVRMVSTVGNYDYVNDWEFKQSGSIKVTVGLTGLLEVRGSMYTHKDQIKEEAYGTLLAENTLGANHDHFFTYHLDLDVDGDANSFVRSQLLMTPVTDHRSPRRSYWRVVSETAKTESDARIKLGVAQEDLLVINPNKRTNIGNFIGYRLIPGSVAAPLLSDDDYAQIRGAFTKYNLWITPYNKSEKWAGGLYVDQSRGDDTLARWSLRNREIENKDIVLWYTLGFHHVPYQEDFPVMTTLSSGFELRPANFFESNPVLKVRPPQPDNWSNCSRA >Potri.010G138550.1.v4.1 pep chromosome:Pop_tri_v4:10:15272227:15272766:1 gene:Potri.010G138550.v4.1 transcript:Potri.010G138550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138550.v4.1 MAEIKSGTSVLCFVCSYLFRVRAHCKILFHDKELLPGNPAATDFCCVCVRGFIPSAHRIDVAPTMLKSLHGTLFWWRTPSLISQTTPTSMENSGGLSRERGGKSCGLRFDGSSIVIIYLHG >Potri.010G120950.1.v4.1 pep chromosome:Pop_tri_v4:10:13900020:13913320:1 gene:Potri.010G120950.v4.1 transcript:Potri.010G120950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120950.v4.1 MNLNFFLTPFSPIINLFIVTFFLLAKKASCTDPYFVACNPKNCSDGQSISFPFHIQGMQPDFCGYPGFTISCNDKGKPVFNLSNSEYIIHEIYYQNQSLRVSNAAVFGKSPSCTPQIQNISLDDGRFHRSSNSKGLFLLYNCNSTLLSNNSELLNYKVDCPGRENETVWTLAMLEDDPLLGSTLDKCGTRVLAPVDVYRGENVGAERMLERGFVLNWTASNCSICEDSGGKCGFDNAAYHFKCFCPVRPHSRRCYSEGKKLRLKLGLGLGLAVGIGVLIITICCVIIRKYSSIHFLSCWRKTRGSQSIEVFLRNYGTLAPERYSYSELKKMTKNFKEKLGQGGFGSVFKGNLLDGRLVAVKVLKKSKSNGEEFVNEVSSIGRTSHVNIVTLLGFCFEGPKRALIYEFMSNGSLDKHIYEENLSKAHPKLGWETLYQIAVGIARGLEYLHRGCNTRILHFDIKPHNILLDENFCPKISDFGLAKICPSKESIVSMLGARGTAGYIAPEVFCRNFGGVSHKSDVYSYGMLVLEMIGGRKNFRVGVDNTSEIYFPHWIYRRLEIGEELQLRGAGNEVEEQNARKMILASLWCIQTDPSNRPPMSRVVDMLQGSLESLPIPPKPYLSSPPRSPHGSNSNS >Potri.008G010700.1.v4.1 pep chromosome:Pop_tri_v4:8:529872:532153:-1 gene:Potri.008G010700.v4.1 transcript:Potri.008G010700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010700.v4.1 MSPLVISTLLFISFASSSLVRSAKYSVLSYGAKPDGKTDSTKAFAAAWSQACASTKPATLYVPKGIFSLSQVIFQGPCKNNAILVSVAGSLVAPSDYGLIGGAKNWLVFEHVNGVTLSGGTLDGQGAGLWSCKKSGKSCPSGATSLEFSNSNNIVITGLTSLNSQMFHIVINACQNVKVKGVRVSAAGNSPNTDGIHVSSSTSVTILNSRIGTGDDCVSIGPGTSNLWIENVACGPGHGISIGSLGQDVQEAGVQNVTVKTTTFTGTENGVRIKSWGRPSNGFARNIIFQHAVMNNVQNPIIIDQNYCPGNKNCPGQASGVQISDVTYQDIHGSSAKEIAVKFDCSKKYPCTGIKLEDINLTYQNQPAEASCTNARGAASGLVQPTSCL >Potri.017G093200.2.v4.1 pep chromosome:Pop_tri_v4:17:10581458:10592396:-1 gene:Potri.017G093200.v4.1 transcript:Potri.017G093200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093200.v4.1 MGTILMPGATLGILQQKNINFVSSLCLVHHHSLIFPPARTRKRRLLSNGNWNRKSRTVVFSTVDDSNDSSADMVDDDNGFMLRGTEDLVIEENELVATKKALSEARARQEAIEKERDQLLEELAQSQAKQQEHVATILRDKEVAITELEAAKSLFHNKLQDSVEEKFTLESKLVLAKQDAVELAVQVEKLAEIAFQQATSHILEDAQRRVSAAETSAAEAAFHIEEQVRIATEGTILSIVEQSKDAIEKALDVAEKAGDYATRAVAVFTDGINPVDEIASVQSENIKLQGIVNDLESQLLITRNEIAKLKVELEQVNAQAKTSELHAEDAEKALLEFQESNREMTIQREEEINSLLEKMKKDAAEKKKAASKAFKAQLESIKAAIKAAKETAHSRNEAYMRRCEALQRSLRASEAASKMWKHRAEIAESLLLKEEEDEDAIYIVNGGRIDLLTDDDSQKWKLLSDGPRRETPHWMARRIRSIRPKFPPRKIDVSEALTSNFRPLDLPKPDEVWSIAQEKLKERDTLIEHVIEKETIEKKRKALERALQRKTIQWQKTPEETKLEPGTGTGREIVFQGFNWESWRKQWYLDLAPKAADLSRCGVTAVWLPPPTESVAPQGYMPSDLYNLNSAYGSVEELKHCVEEMHSQDLLALGDVVLNHRCAQKQSPNGVWNIYGGKLAWGPEAIVCDDPNFQGNGNPSSGDVFHAAPNIDHSQDFVRRDIKDWLNWLRNDIGFDGWRLDFVRGFSGTYVKEYIEASNPAFAIGEYWDSLAYEQGSLCYNQDVHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPTGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPTIFYDHFYDFGFRDVITELIEARRRAGIHCRSSVKIYHANNEGYVAQIGDTLVMKLGHFDWNPSKENNLDGSWQKFVDKGSDYQLWLRQ >Potri.017G093200.1.v4.1 pep chromosome:Pop_tri_v4:17:10581114:10592616:-1 gene:Potri.017G093200.v4.1 transcript:Potri.017G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093200.v4.1 MGTILMPGATLGILQQKNINFVSSLCLVHHHSLIFPPARTRKRRLLSNGNWNRKSRTVVFSTVDDSNDSSADMVDDDNGFMLRGTEDLVIEENELVATKKALSEARARQEAIEKERDQLLEELAQSQAKQQEHVATILRDKEVAITELEAAKSLFHNKLQDSVEEKFTLESKLVLAKQDAVELAVQVEKLAEIAFQQATSHILEDAQRRVSAAETSAAEAAFHIEEQVRIATEGTILSIVEQSKDAIEKALDVAEKAGDYATRAVAVFTDGINPVDEIASVQSENIKLQGIVNDLESQLLITRNEIAKLKVELEQVNAQAKTSELHAEDAEKALLEFQESNREMTIQREEEINSLLEKMKKDAAEKKKAASKAFKAQLESIKAAIKAAKETAHSRNEAYMRRCEALQRSLRASEAASKMWKHRAEIAESLLLKEEEDEDAIYIVNGGRIDLLTDDDSQKWKLLSDGPRRETPHWMARRIRSIRPKFPPRKIDVSEALTSNFRPLDLPKPDEVWSIAQEKLKERDTLIEHVIEKETIEKKRKALERALQRKTIQWQKTPEETKLEPGTGTGREIVFQGFNWESWRKQWYLDLAPKAADLSRCGVTAVWLPPPTESVAPQGYMPSDLYNLNSAYGSVEELKHCVEEMHSQDLLALGDVVLNHRCAQKQSPNGVWNIYGGKLAWGPEAIVCDDPNFQGNGNPSSGDVFHAAPNIDHSQDFVRRDIKDWLNWLRNDIGFDGWRLDFVRGFSGTYVKEYIEASNPAFAIGEYWDSLAYEQGSLCYNQDVHRQRIVNWINATGGTSSAFDVTTKGILHSALHNQYWRLIDPQGKPTGVMGWWPSRAVTFLENHDTGSTQGHWPFPRDKLTQGYAYILTHPGTPTIFYDHFYDFGFRDVITELIEARRRAGIHCRSSVKIYHANNEGYVAQIGDTLVMKLGHFDWNPSKENNLDGSWQKFVDKGSDYQLWLRQ >Potri.004G168500.5.v4.1 pep chromosome:Pop_tri_v4:4:18625894:18629801:1 gene:Potri.004G168500.v4.1 transcript:Potri.004G168500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168500.v4.1 MKHLRVFFFALLLLASPLLQVATCQSDSDAEVAETAEEVSDLGIVGEDAQDFGDANFSPAPGVETVCVFPKNSARLVTAGEETELLVGMKNDGELPITIIAIRASVHLPFDHKLLVQNLSAQGFNNATVPASAQATFPYIFGVSKYLQVQTSLPENYVLSQLQL >Potri.004G168500.1.v4.1 pep chromosome:Pop_tri_v4:4:18625985:18629714:1 gene:Potri.004G168500.v4.1 transcript:Potri.004G168500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168500.v4.1 MKHLRVFFFALLLLASPLLQVATCQSDSDAEVAETAEEVSDLGIVGEDAQDFGDANFSPAPGVETVCVFPKNSARLVTAGEETELLVGMKNDGELPITIIAIRASVHLPFDHKLLVQNLSAQGFNNATVPASAQATFPYIFGVSKYLQPGSFDLVGTIVYEIDQHPYQSTFHNGTIEVVDSGVFLSMESVFLITLGIALLVLFGLWVNGQIQNLSKKTKRAPKVEVGTGTRDASMDEWLEGTALAKSQSQKLKKKK >Potri.004G064400.1.v4.1 pep chromosome:Pop_tri_v4:4:5468940:5475997:-1 gene:Potri.004G064400.v4.1 transcript:Potri.004G064400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064400.v4.1 MEGKNPLRFTLGKQSSLAPERDREESDVDMDGVDPGVRLMYLANEGNLEGIKELVNSDVDVNFRDIDGRTALHIASCQGLTQVVDLLLDHGAEIDPKDRWGSTPLADAIFYKNHDVIKLLEKRGAKPLMAPMHVKHAREVPEYEINPDELDFTNSVELTKGTFCVALWRGIQVAVKKLGEEVLSDEDKVRAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEFLPKGDFCAFLKRKGALKPIAAVRLALDIARGMNYLHENKPVPIIHRDLEPSNILRDDSGHLKVADFGISKLLTVKEEKPLISLDNSWRYVAPEVFKNEEYDTKVDIFSFALILQEMIEGCPPFSAKQEHEVPSAYAAKERPPFRAPTKSYAHGLKELIQECWHENPAKRPTFRQILTRLDAIQNSIGHKRRWKVRPLKCFQNLEALLKKDRSLSHRSSRSYSSI >Potri.004G064400.5.v4.1 pep chromosome:Pop_tri_v4:4:5468909:5476018:-1 gene:Potri.004G064400.v4.1 transcript:Potri.004G064400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064400.v4.1 MEGKNPLRFTLGKQSSLAPERDREESDVDMDGVDPGVRLMYLANEGNLEGIKELVNSDVDVNFRDIDGRTALHIASCQGLTQVVDLLLDHGAEIDPKDRWGSTPLADAIFYKNHDVIKLLEKRGAKPLMAPMHVKHAREVPEYEINPDELDFTNSVELTKGTFCVALWRGIQVAVKKLGEEVLSDEDKVRAFRDELALLQKIRHPNVVQFLGAVTQSSPMMIVTEFLPKGDFCAFLKRKGALKPIAAVRLALDIARGMNYLHENKPVPIIHRDLEPSNILRDDSGHLKVADFGISKLLTVKEEKPLISLDNSWRYVAPEVFKNEEYDTKVDIFSFALILQEMIEGCPPFSAKQEHEVPSAYAAKERPPFRAPTKSYAHGLKELIQECWHENPAKRPTFRQILTRLDAIQNSIGHKRRWKVSPLNLCLGILYFT >Potri.006G049400.3.v4.1 pep chromosome:Pop_tri_v4:6:3438719:3440871:1 gene:Potri.006G049400.v4.1 transcript:Potri.006G049400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049400.v4.1 MIEDNVKPDVYLYTIMMKGLAEAGKVRDALELLNEMTESGVVPDTVCYNVLIKGFCDMGLLSEARSLQLEISRHDCFPNVKTYSILISGMCRNGLTRDAQEIFNEMEKLGCYPSAVTFNSLIDGLCKTGQLEKAHLLFYKMEIGRNPSLFLRLSQGPSHVLDSASLQKMVEQLCDSGLIHKAYRILMQLADSGDAPGIYTYNILVNGFCKLGNFNGAYKLFREMQFKGLSPDTVTYGTLINGLLRFQREEDAYKVFDQMEKNGCTPDAAVYRTMMTWMCRRMELPRAFSLWLKYLRNIRSQEDEAIKAIEGYFEKQEVEKAVRGLLEMDFKLNDFDLGPYAIWLIGLCQTRRVGEALKIFLILEEYKVVITPPCCVKLIYFLLKEGDLDRAIDVFLYTIEKGYLLRRRVANRILTKLVRRKGEMGKDRAIYLLCRMKSVGYDLDAHLLPWTKSLLHRHNIQEMVNGVPEMNSGCVEMQNSYGRVGQPWKPTVKQRRKMFQLARRKYAGMKNS >Potri.006G049400.2.v4.1 pep chromosome:Pop_tri_v4:6:3437872:3440800:1 gene:Potri.006G049400.v4.1 transcript:Potri.006G049400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049400.v4.1 MKPHSLLQRHFSHPKPPWVQSLHTSAIQETSISDEVFTVIKTMNPMEPALEPMVPFLSPKIVTSIIQNPPNPQLGFRFFIWASNFKRFRAWESCDLITDLLINQNGLELYCQTLEALKNGGIKVHNDAFFVLIKVYLKMGLTDKAMETFGSMRDFDCTPDVYTYNMILDVLIQKNFLLLALTVYTRMMKLNCLPNVATFSILIDGLCKSGNVKDALHLFDEMTQRGILPDAFTYCVVISGLCRSKRVDDAYRLFDKMKDSGVGPDFVTCNALLNGFCMLDRVDEAFSLLRLFEKDGYVLDVRGYSCLIRGLFRAKRYEDVQLLYRKMIEDNVKPDVYLYTIMMKGLAEAGKVRDALELLNEMTESGVVPDTVCYNVLIKGFCDMGLLSEARSLQLEISRHDCFPNVKTYSILISGMCRNGLTRDAQEIFNEMEKLGCYPSAVTFNSLIDGLCKTGQLEKAHLLFYKMEIGRNPSLFLRLSQGPSHVLDSASLQKMVEQLCDSGLIHKAYRILMQLADSGDAPGIYTYNILVNGFCKLGNFNGAYKLFREMQFKGLSPDTVTYGTLINGLLRFQREEDAYKVFDQMEKNGCTPDAAVYRTMMTWMCRRMELPRAFSLWLKYLRNIRSQEDEAIKAIEGYFEKQEVEKAVRGLLEMDFKLNDFDLGPYAIWLIGLCQTRRVGEALKIFLILEEYKVVITPPCCVKLIYFLLKEGDLDRAIDVFLYTIEKGYLLRRRVANRILTKLVRRKGEMGKDRAIYLLCRMKSVGYDLDAHLLPWTKSLLHRHNIQEMVNGVPEMNSGCVEMQNSYGRVGQPWKPTVKQRRKMFQLARRKYAGMKNS >Potri.006G049400.1.v4.1 pep chromosome:Pop_tri_v4:6:3437848:3440799:1 gene:Potri.006G049400.v4.1 transcript:Potri.006G049400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049400.v4.1 MNLNSLLFMKPHSLLQRHFSHPKPPWVQSLHTSAIQETSISDEVFTVIKTMNPMEPALEPMVPFLSPKIVTSIIQNPPNPQLGFRFFIWASNFKRFRAWESCDLITDLLINQNGLELYCQTLEALKNGGIKVHNDAFFVLIKVYLKMGLTDKAMETFGSMRDFDCTPDVYTYNMILDVLIQKNFLLLALTVYTRMMKLNCLPNVATFSILIDGLCKSGNVKDALHLFDEMTQRGILPDAFTYCVVISGLCRSKRVDDAYRLFDKMKDSGVGPDFVTCNALLNGFCMLDRVDEAFSLLRLFEKDGYVLDVRGYSCLIRGLFRAKRYEDVQLLYRKMIEDNVKPDVYLYTIMMKGLAEAGKVRDALELLNEMTESGVVPDTVCYNVLIKGFCDMGLLSEARSLQLEISRHDCFPNVKTYSILISGMCRNGLTRDAQEIFNEMEKLGCYPSAVTFNSLIDGLCKTGQLEKAHLLFYKMEIGRNPSLFLRLSQGPSHVLDSASLQKMVEQLCDSGLIHKAYRILMQLADSGDAPGIYTYNILVNGFCKLGNFNGAYKLFREMQFKGLSPDTVTYGTLINGLLRFQREEDAYKVFDQMEKNGCTPDAAVYRTMMTWMCRRMELPRAFSLWLKYLRNIRSQEDEAIKAIEGYFEKQEVEKAVRGLLEMDFKLNDFDLGPYAIWLIGLCQTRRVGEALKIFLILEEYKVVITPPCCVKLIYFLLKEGDLDRAIDVFLYTIEKGYLLRRRVANRILTKLVRRKGEMGKDRAIYLLCRMKSVGYDLDAHLLPWTKSLLHRHNIQEMVNGVPEMNSGCVEMQNSYGRVGQPWKPTVKQRRKMFQLARRKYAGMKNS >Potri.009G115200.3.v4.1 pep chromosome:Pop_tri_v4:9:9743404:9747525:1 gene:Potri.009G115200.v4.1 transcript:Potri.009G115200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115200.v4.1 MSSPEESPVSPPPPLESSPPPAASQPPPPAVISPPPPTTPPPPSEEISPPPPAEVSPPPPTTPPPPSDEISPPPPPPEDSGSSPQPPSSSDGNKSPPPPPKKNDNGGSRSPPPPSSSSKFHNSPPPPRPLGPSSDSSSNNSTKSSSGNGDGTNLVPIIAGVVVGVGVLLLLALLVFLCACRKKKKRHYDYYNDHSLAPKATGGPYFNAAPPQHHASNWHNESKFGEQVVNLPPPPGGGHGTWSTPPSHGAIMSSEMSSSYSGPHGPALPPPYPSPSLALGFTKSSFSYEELAAATEGFSQAKLLGQGGFGYVHKGVLPNGKEIAVKSLKAGSGQGDREFQAEVEIISRVHHRHLVSLVGYCIAGDKKLLVYEFVPNSTLEFHLHGKGRPTMDWPTRLKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDYSFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRLPVDLSGEMDDSLVEWATPLCAKALEDGNYDELIDPALEGNYNPHEVACMIACAGASVSYSAKRRPKMSQIVRALEGEVSLDEGIKPGRGFIFTSASSSDFEQSPYSTDIRKFRRTALDGIDYASSEFDHTSEYGLNPSSSSSDEMTKSQRRGP >Potri.009G115200.4.v4.1 pep chromosome:Pop_tri_v4:9:9743371:9747525:1 gene:Potri.009G115200.v4.1 transcript:Potri.009G115200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115200.v4.1 MSSPEESPVSPPPPLESSPPPAASQPPPPAVISPPPPTTPPPPSEEISPPPPAEVSPPPPTTPPPPSDEISPPPPPPEDSGSSPQPPSSSDGNKSPPPPPKKNDNGGSRSPPPPSSSSKFHNSPPPPRPLGPSSDSSSNNSTKSSSGNGDGTNLVPIIAGVVVGVGVLLLLALLVFLCACRKKKKRHYDYYNDHSLAPKATGGPYFNAAPPQHHASNWHNESKFGEQVVNLPPPPGGGHGTWSTPPSHGAIMSSEMSSSYSGPHGPALPPPYPSPSLALGFTKSSFSYEELAAATEGFSQAKLLGQGGFGYVHKGVLPNGKEIAVKSLKAGSGQGDREFQAEVEIISRVHHRHLVSLVGYCIAGDKKLLVYEFVPNSTLEFHLHGKGRPTMDWPTRLKIALGSAKGLAYLHEDCHPRIIHRDIKAANILLDYSFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRLPVDLSGEMDDSLVEWATPLCAKALEDGNYDELIDPALEGNYNPHEVACMIACAGASVSYSAKRRPKMSQIVRALEGEVSLDEGIKPGRGFIFTSASSSDFEQSPYSTDIRKFRRTALDGIDYASSEFDHTSEYGLNPSSSSSDEMTKSQRRGP >Potri.010G064100.1.v4.1 pep chromosome:Pop_tri_v4:10:9301710:9303564:1 gene:Potri.010G064100.v4.1 transcript:Potri.010G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064100.v4.1 MGKEKKILAGESGPDVDLKSLIHRSSLFFDKLIELIPAKFYLPTDEKEKPWFHGLSKGAKASAKKEARENIKKARKDRLDPEKSSTTTLDLLMQNLEKEKSNSESDGVEVEINPMMSGLENDDQSVTYEELRQRLRRKIEELRGDRNCGSSEKAKWRKEKRGIEQKKRKRESGSGEKNHVTSTSLERVEKDAEEATKELKFSHVKMGTEEEHGKKKKRKISKLKELEKAKELEEAKKDPEKGGVISKKHSWKAATSRAAGIKVHDDPKLLKQSLKKGEKRHQKNAEKWKERIESQHKMKAEKQQKRSKNIAGRIEQKKMRKIEKREKKLMRPGFEGPKQGYINEGST >Potri.019G119801.1.v4.1 pep chromosome:Pop_tri_v4:19:14574030:14578485:-1 gene:Potri.019G119801.v4.1 transcript:Potri.019G119801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119801.v4.1 MLSIEEHELHTRCLIKCLSEGMHNQEQQC >Potri.011G008804.1.v4.1 pep chromosome:Pop_tri_v4:11:773199:773660:-1 gene:Potri.011G008804.v4.1 transcript:Potri.011G008804.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008804.v4.1 MKFLKLLFLLAMLISFSAITLSATPTEEVSFPDFDFEDKENSDHHQTESQETTSSLRGTNRVLAQTRAFMTCDKNPRVCRVQGSPGPDCCKKMCVNQMTDWFNCGKCGKKCRYTEICCKGKCVNPMYNKNHCGGCNNKCKKGSACQYGMCSYA >Potri.013G002700.1.v4.1 pep chromosome:Pop_tri_v4:13:199835:201305:-1 gene:Potri.013G002700.v4.1 transcript:Potri.013G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002700.v4.1 MDDKEIVLSYKDVVLRVSDLNILKGPCYLNDQIIDFYFAYLSSSYNADANDILLVPPSTSYWFANCQDQQSLVNDFVEPLKFSSKKLILFTVNDNEDFSAAERGTHWSLLVYDRSQNYFLHFDSLPGMHRYHALKLYKAVKGFMGTASESSSKDGAKTLKMKAVGSAAVPFFKEAKTPQQTNGFDCGLYVMAIAEVICLWHSCERNGNDGDWLSAVEREVNAYLETTMRGEVLKLIEDLRKQ >Potri.014G130700.1.v4.1 pep chromosome:Pop_tri_v4:14:8746801:8749338:-1 gene:Potri.014G130700.v4.1 transcript:Potri.014G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRTPECYIRGNTIKYLRVPDEVIDKVQEETKSRSDRKPPGVGRGRGRGREDGPGGRPAKGIGRGLADDGGPKGMGGGRGRGGPGGKTGGSRGAGRGRG >Potri.014G097000.1.v4.1 pep chromosome:Pop_tri_v4:14:6347673:6350946:1 gene:Potri.014G097000.v4.1 transcript:Potri.014G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097000.v4.1 MPFLHTPTPFTMDKLAPFHHSPPLLQNHKPKPIRTHSPPSLSVALTTTSSLETTPRPTHVPNLGSLNGFTADSNTLDSVKAMHAQMIKKGNKWNSDSMAKHLITSYLELGDCKSAAVVFFVGFARNYVMWNNFLEEFKSFGGDPSEVLEVFKELHCEGVVFDSRVISVVLKICAGVMNLWLGLEVHASLIKRGFELDVYVRCALMNFYGRCWCVESANQVFHEPRNLDDLLWNEAILVNLKNERFVKALELFREMQFLTSKVNATTVLKILQACSKKGALNEGKQIHGYVLKLAMESNLSICNSLIIMYSRNGKIKLASRVFDSMKDHSLSSWNSIISSYSTLGYLNDAWNLFCKMERSGTKPDIITWNSILSGNAIVSSYKEVLIILRRMQAAGFRPNPRSITSVLQAVIEPGLLNFGKEIHAYVIRNGLDYDAYVGTSLLDMYVKNDCLTSSQAIFDNMKNKNIVAWNSLISGYSFKGHFDHAKRLLNRMKEEGIKPDLITWNSLVAGYSMRGHTKEALALIHDIKISGLTPNVVSWTALISGCSQNGNYSESIEAFVQMQEEGIKPNSATISSLLRTCGGLSLLQKGKEIHCLSIRKSFIEDLYVATALIDTYSKSGDLESAHKVFWSAEKTLASWNCMIMGFAINGCGREVIALLDGMQRAGILPDAITFTALLSGCKNSGLVEEGWKCFDMMSNDYGIKPTIEHYSCMADLLGRAGYLDEAWDFIQTMPIKPDASVWGAMLGSCRIHGNIEFAEIAAKELFKLEPYNSANYVLMLSLYAMSNRWEDVDRIKDLMDTRGIKPRQVWSWIQIDQRVHLFSAGGIPHQDEGEIYYELYQLVSELKKFGYLPDVNCVYQNIDEEEKVKMLLSHTEKLAITYGLIKTSSAPIRVIKNTRICSDCHTAAKLISLVRSREIFLRDGVRFHHFKAGKCSCNDYW >Potri.005G081000.1.v4.1 pep chromosome:Pop_tri_v4:5:5508435:5510447:1 gene:Potri.005G081000.v4.1 transcript:Potri.005G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081000.v4.1 MDKIEHITVATNGINMHVASIGTGPVILFLHGFPELWYSWRHQLLHLSSLGYRCVAPDLRGYGDTDAPESASQYTGLHVVGDLIGLLDSFGIDQVFLVGHDWGAMIAWYLCLLRPDRVRALVNLNVAFMARDPKTINPMEVLKSIYGEDYYACRFQEPGPGEIEKDFAQVATAKMLRIFFSSFGPKPLIVPKETGFRGIPDPPCLPLGFSEEDIDFYANKFNQKGFTGALNYYRAINQTWDLMAPWIGVKIQVPVKFIIGDQDINYHLPGLKEYILNGGFKKDVPRLEEVVVMGGVAHFPNQARPAEVSEHIYSFFKKLQFSNNNPRANSTTSSLNGNRVSDLAHLHAL >Potri.008G090500.2.v4.1 pep chromosome:Pop_tri_v4:8:5653707:5657648:1 gene:Potri.008G090500.v4.1 transcript:Potri.008G090500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090500.v4.1 MATHFTLSLSPSKPFKNSTFFTKNPSLHFKKPLSVTSFQSPPAAAAAAAVQTFWQWLSDQDVVSAKTPARPGLVPQGLGLVAQRDISRNEVVLEIPKKLWINPDVVAASEIGNVCGGVKPWVSVALFLIREKLKEDSTWRPYLDVLPESTNSTIFWSEEELAELQGTQLLSTTLGVKSYLRREFLKVEEEILVPHKQLFPSPVTLDDFSWAFGILRSRSFSRLRGQNLVLIPLADLVNHSPDITIEDGVYEIKGAGLFSRDLIFSLRSPISLKAGEQVLIQYNLNLSNAELAVDYGFIEAKSDRNMYTLTLQISESDPFFGDKLDIAETNGLGEIADFDIVLGNPLPPTLLPYLRLVALGGTDSFLLESIFRNTIWGHLELPVSRANEELICRVVRDACKSALSGYHTTIEEDEKLKGEELNPRLEIAVGIRAGEKKVLQQIEEIFKQRQSELDELEYYQERRLKDLGLVGEQGEIIFWESK >Potri.005G213500.1.v4.1 pep chromosome:Pop_tri_v4:5:21701914:21707099:-1 gene:Potri.005G213500.v4.1 transcript:Potri.005G213500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213500.v4.1 MGNADYVFPSTNAECARRVAIPPPQPFVKSLKYNLKETFFPDDPLRQFKNQPTSRRFVLGIKYFLPIFDWAPSYTFDFLRSDFISGITIASLAIPQGISYAKLANLPPILGLYSSFIPPLVYAMMGSSRDLAVGTVAVASLLTASMLGNEVNANENPKLYLHLAFTATFFAGVFQASLGLLRLGFIVDFLSHATIIGFMAGAATVVILQQLKGILGLDHFTHSTDLVSVLRSVFSQTHQWRWESAILGFCFLFFLLITRYFSKRKPRFFWVSAMAPLTSVILGSILVYLTHAEKHGVQVIGHLKKGLNPPSFADLVFVSPYLSTAIKTGIITGVIALAEGIAVGRSFAMFKNYHIDGNKEMIAFGTMNIVGSCTSCYLTTGPFSRSAVNFNAGCKTAVSNIVMALAVMVTLLFLTPLFHYTPLVVLSSIIISAMLGLIDYEAAIHLWTVDKFDFIVCISAYAGVVFCSVEIGLVIAVAISLLRLLLFVARPKTFILGNIPNSMIYRNVEQYTNTSSVPGVLILEIDAPIYFANASYLRERIARWVDEEEDKLKSSGETSLQYVILDMGAVGNIDTSGIGMLEEVKKVMDRRELKLVLANPGAEVMKKLNKSKLIEKIGQEWMYLTVGEAVGACNFMLHTRKPDPLREESEAYNKV >Potri.015G021450.1.v4.1 pep chromosome:Pop_tri_v4:15:1601818:1602681:1 gene:Potri.015G021450.v4.1 transcript:Potri.015G021450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G021450.v4.1 MEKSSMKMAFFAVLLVFAAGTQLGAEARNINIPCKTVKNCVPAGHCKCIMNLCFCHPDEQVLNAQALIGNNP >Potri.017G031800.2.v4.1 pep chromosome:Pop_tri_v4:17:2172216:2180477:-1 gene:Potri.017G031800.v4.1 transcript:Potri.017G031800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031800.v4.1 MGESPSSPTSCSSSRSAPFPQSNFASLPVMARKDKIVEKILENRVTLIVGETGCGKSSQVPQFLLEENMKPILCTQPRRFAVVAVAKMVAKARNCELGAQVGYHIGHSKLISASSSEIVFKTAGVLLDEMRDKGLNALNYKAIILDEVHERSVESDLVLVCVKQFLLKNNDLRLVLMSATADIARYRDYFKDLGRGERVEVLTITNTNQQALFQRRVSYLEQVTELLGTSSDLLAQTYCSGPNPSMAAADIKPEVHRLIFDLILHIHENEPDIEKGILVFLPTYHDLEQQWHRLNPLSSSFKVHILHGSIDTQQALLAMKILKSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVFWDATRKKDSTELVWVSKSQANQRKGRTGRTCDGQIYRLVTKSFFNKLEDHERPAILRLSLRQQVLLMCCAESRAINDPKVLLQKALDPPDPEFIEDALNLLVCMKALDRPSPRGRYEPTFYGRLLASFPLSFDASVLVLKFADFGLLQQGILLGILMDAQPQPILRPFGEEHLYTEYAYRYYGGDCDYSVQIGRKEMILIGNLGAYQFWQHIFKDKHRLERLKHLLKIDEMKDTTVLLPKIEEEWCTSHNLVQSSLHNVSEIYEDILNSLHRFRPRFLGTCNDLPTWHAPYEFKHTCLLKCQPKGDNDTVVADDEHDEPSHETRKCFAVPFVAPSHFQTIKVAENLSNIIKEIRVRHTDSTSDDQHGYIVNVSHGNEEASLCVYFMKGSCNRGSQCLFSHSAQAKRDPCKFFFTLQGCRNGETCSFSHVVGPSLSSFSSTPCMPEDGAVNAASLLRFLPTSSDGCVLLLDDTDLHFSSNLARHYDPCKIISTTCMSDTFMCDPSLMGIRILWGLHHPYQTIISTPRDSPIPWSEVKCILWLPNLDSYSENLERQKTFVQNFFEYLAIRILADALYEVQVILVMNNIKFSLLQVEKLGRDSFFFLGESFPFDEESFGQMPNTVTTRKPMMVSKPISYVFILQPPTDVQFGNYAAILQKHLHDVNGNHVEGFQGLHS >Potri.017G031800.1.v4.1 pep chromosome:Pop_tri_v4:17:2172134:2180479:-1 gene:Potri.017G031800.v4.1 transcript:Potri.017G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031800.v4.1 MGESPSSPTSCSSSRSAPFPQSNFASLPVMARKDKIVEKILENRVTLIVGETGCGKSSQVPQFLLEENMKPILCTQPRRFAVVAVAKMVAKARNCELGAQVGYHIGHSKLISASSEIVFKTAGVLLDEMRDKGLNALNYKAIILDEVHERSVESDLVLVCVKQFLLKNNDLRLVLMSATADIARYRDYFKDLGRGERVEVLTITNTNQQALFQRRVSYLEQVTELLGTSSDLLAQTYCSGPNPSMAAADIKPEVHRLIFDLILHIHENEPDIEKGILVFLPTYHDLEQQWHRLNPLSSSFKVHILHGSIDTQQALLAMKILKSHRKVILATNIAESSVTIPKVAYVIDSCRSLQVFWDATRKKDSTELVWVSKSQANQRKGRTGRTCDGQIYRLVTKSFFNKLEDHERPAILRLSLRQQVLLMCCAESRAINDPKVLLQKALDPPDPEFIEDALNLLVCMKALDRPSPRGRYEPTFYGRLLASFPLSFDASVLVLKFADFGLLQQGILLGILMDAQPQPILRPFGEEHLYTEYAYRYYGGDCDYSVQIGRKEMILIGNLGAYQFWQHIFKDKHRLERLKHLLKIDEMKDTTVLLPKIEEEWCTSHNLVQSSLHNVSEIYEDILNSLHRFRPRFLGTCNDLPTWHAPYEFKHTCLLKCQPKGDNDTVVADDEHDEPSHETRKCFAVPFVAPSHFQTIKVAENLSNIIKEIRVRHTDSTSDDQHGYIVNVSHGNEEASLCVYFMKGSCNRGSQCLFSHSAQAKRDPCKFFFTLQGCRNGETCSFSHVVGPSLSSFSSTPCMPEDGAVNAASLLRFLPTSSDGCVLLLDDTDLHFSSNLARHYDPCKIISTTCMSDTFMCDPSLMGIRILWGLHHPYQTIISTPRDSPIPWSEVKCILWLPNLDSYSENLERQKTFVQNFFEYLAIRILADALYEVQVILVMNNIKFSLLQVEKLGRDSFFFLGESFPFDEESFGQMPNTVTTRKPMMVSKPISYVFILQPPTDVQFGNYAAILQKHLHDVNGNHVEGFQGLHS >Potri.003G038525.1.v4.1 pep chromosome:Pop_tri_v4:3:4567969:4571168:-1 gene:Potri.003G038525.v4.1 transcript:Potri.003G038525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038525.v4.1 MWPAFWTVEIGNALSGKVLFMLNSSSSIFRRDCRICSVAWDFCASSSIRSIKAASGMILV >Potri.001G061301.1.v4.1 pep chromosome:Pop_tri_v4:1:4698573:4701361:-1 gene:Potri.001G061301.v4.1 transcript:Potri.001G061301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061301.v4.1 MAKEKDSGSTAVVEGACSSYYVLCAALLTEHVLQKLHKLWSLVPVELVKVTAEVAKFHLINKCWNVLPLLLVVKYLYFVAAYVATPRKESFTLWLMQSHALIRE >Potri.015G042600.1.v4.1 pep chromosome:Pop_tri_v4:15:3962864:3964900:1 gene:Potri.015G042600.v4.1 transcript:Potri.015G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042600.v4.1 MALVAKSAPRSSKTESYVDNKRKEDIRHANIKSACAVADAVRTSLGPKGMDKMISTASGEVIITNDGATILNKMEVLQPAAKMLVELSKSQDTAAGDGTTTVVVIAGSLLKQCLSLLSSGIHPTVISDSLHKASIKAVDVLTAMAVPLELTDRDSLVKSASTSLNSKVVSQYSSLLAPLAVDAVLTVVDPEKPDLVDLRDIKIVKKLGGTVDDTEMVRGFVFDKKVSHAAGGLTRVENAKIAVIQFQISPPKTDIEQSIVVSDYAQMDRILKEERNYILGMIKKIRATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFKEEKLGYADLVEEVSLGDGKIVKITGIKDMGRTTCVLVRGSNQLVLDEAERSLHDALCVIRCLVNKQFLIAGGGAPEIELSRQLGAWAKVLHGMEGYCVKSFAEALEVIPYTLAENAGLNPITIVTELRNRHAQGEINAGINVRKGQITNILEENVVQPLLVSTSSITLAAECVRMILKIDDIVTVR >Potri.018G100232.1.v4.1 pep chromosome:Pop_tri_v4:18:12044596:12045722:1 gene:Potri.018G100232.v4.1 transcript:Potri.018G100232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100232.v4.1 MAPYRSLALIALLVIMSAIESESRVARKDLGVDLGGVGVGLGEGVGVGLGGSGSGSGAGSGSGSGSGSSSGSSSSSSSSSRSSSSSNGGSDAGSEAGSYAGSRAGSGSGGRQGGGEGSGSGSGHGEGYGEGSGRGSGSGNGEGYGEGRGQGSGYGSGSGN >Potri.014G084900.7.v4.1 pep chromosome:Pop_tri_v4:14:5467844:5475474:1 gene:Potri.014G084900.v4.1 transcript:Potri.014G084900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084900.v4.1 MAMVGHVPVAKTQQASPLRLVEFRMPGKMASGREVVEETVARVIEVIEEKIFVAVGKSVKECKSMLFWALQKSGGKRICIIHVHQPAQMIPFMGTKFPASKLKEQEVRAYWEIERQEMLKMLGEYLFLCRKMGVRAEKLYVEMESIEKGILELISQHGIRKLVMGAAADKRYSKNMMDIKSKKAVSVCLQAPASCHIWFICKGHLIQTRKGALDGTDTDVRPSSQQKSPNTEAGQSNIMRSQSILFGQHHHVKLTNPAQDLFRKVRSLNVNGPGGRLTTPTSPDGGPSTPSRSDADGSSDQYDALSRSTSQNSVLSSRSSSGMANVALIPLLGIEGSEIGSELSTVPHQKVDLFQSSPPSDGSIEDPLYDQLEQAMAEAENSRFEASEEAVRCAKEERDVVEAIRKAKASESLYTEESKRRTVVEEELAKEKEELEKINKELEKINKEQDEVMGELCIAQDHKSLLEKQIEESDEMVKELEQKIISAVGLLQNYKKERDHLHKGRDYALKEAEELRRNQTEASSTHMPRFFSDFSFSEIEEATHHFDPSRKIGEGGYGNIYKGVLRQTQVAVKMLDSNSMQGPAEFQQEVNVLSKMRHPNLITLVGACPEAWTLIYEYLPNGSLEDRLSCKDNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDENFVTKLSDFGICRLLDHKEGSSNNTTICRTDPKGTFVYMDPEFVSTGELSPKSDVYSFGIILLRLLTARQALGITKEVRYALDKGTLKTLLDPLAGDWPFVQAEMLAHMALRCCEMNRKNRPDLASEVWRVLEPMKASCGTSSFSQLGSEEHFQPPSYFTCPIFQEVMRDPHVAADGFTYEAEALKGWLDSGHDTSPMTNFKLAHCDLIPNRALRSAIQGQL >Potri.014G084900.5.v4.1 pep chromosome:Pop_tri_v4:14:5467830:5475475:1 gene:Potri.014G084900.v4.1 transcript:Potri.014G084900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084900.v4.1 MAMVGHVPVAKTQQASPLRLVEFRMPGKMASGREVVEETVARVIEVIEEKIFVAVGKSVKECKSMLFWALQKSGGKRICIIHVHQPAQMIPFMGTKFPASKLKEQEVRAYWEIERQEMLKMLGEYLFLCRKMGVRAEKLYVEMESIEKGILELISQHGIRKLVMGAAADKRYSKNMMDIKSKKAVSVCLQAPASCHIWFICKGHLIQTRKGALDGTDTDVRPSSQQKSPNTEAGQSNIMRSQSILFGQHHHVKLTNPAQDLFRKVRSLNVNGPGGRLTTPTSPDGGPSTPSRSDADGSSDQYDALSRSTSQNSVLSSRSSSGMANVALIPLLGIEGSEIGSELSTVPHQKVDLFQSSPPSVLDGSIEDPLYDQLEQAMAEAENSRFEASEEAVRCAKEERDVVEAIRKAKASESLYTEESKRRTVVEEELAKEKEELEKINKELEKINKEQDEVMGELCIAQDHKSLLEKQIEESDEMVKELEQKIISAVGLLQNYKKERDHLHKGRDYALKEAEELRRNQTEASSTHMPRFFSDFSFSEIEEATHHFDPSRKIGEGGYGNIYKGVLRQTQVAVKMLDSNSMQGPAEFQQEVNVLSKMRHPNLITLVGACPEAWTLIYEYLPNGSLEDRLSCKDNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDENFVTKLSDFGICRLLDHKEGSSNNTTICRTDPKGTFVYMDPEFVSTGELSPKSDVYSFGIILLRLLTARQALGITKEVRYALDKGTLKTLLDPLAGDWPFVQAEMLAHMALRCCEMNRKNRPDLASEVWRVLEPMKASCGTSSFSQLGSEEHFQPPSYFTCPIFQCRK >Potri.014G084900.1.v4.1 pep chromosome:Pop_tri_v4:14:5467844:5475548:1 gene:Potri.014G084900.v4.1 transcript:Potri.014G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084900.v4.1 MAMVGHVPVAKTQQASPLRLVEFRMPGKMASGREVVEETVARVIEVIEEKIFVAVGKSVKECKSMLFWALQKSGGKRICIIHVHQPAQMIPFMGTKFPASKLKEQEVRAYWEIERQEMLKMLGEYLFLCRKMGVRAEKLYVEMESIEKGILELISQHGIRKLVMGAAADKRYSKNMMDIKSKKAVSVCLQAPASCHIWFICKGHLIQTRKGALDGTDTDVRPSSQQKSPNTEAGQSNIMRSQSILFGQHHHVKLTNPAQDLFRKVRSLNVNGPGGRLTTPTSPDGGPSTPSRSDADGSSDQYDALSRSTSQNSVLSSRSSSGMANVALIPLLGIEGSEIGSELSTVPHQKDGSIEDPLYDQLEQAMAEAENSRFEASEEAVRCAKEERDVVEAIRKAKASESLYTEESKRRTVVEEELAKEKEELEKINKELEKINKEQDEVMGELCIAQDHKSLLEKQIEESDEMVKELEQKIISAVGLLQNYKKERDHLHKGRDYALKEAEELRRNQTEASSTHMPRFFSDFSFSEIEEATHHFDPSRKIGEGGYGNIYKGVLRQTQVAVKMLDSNSMQGPAEFQQEVNVLSKMRHPNLITLVGACPEAWTLIYEYLPNGSLEDRLSCKDNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDENFVTKLSDFGICRLLDHKEGSSNNTTICRTDPKGTFVYMDPEFVSTGELSPKSDVYSFGIILLRLLTARQALGITKEVRYALDKGTLKTLLDPLAGDWPFVQAEMLAHMALRCCEMNRKNRPDLASEVWRVLEPMKASCGTSSFSQLGSEEHFQPPSYFTCPIFQEVMRDPHVAADGFTYEAEALKGWLDSGHDTSPMTNFKLAHCDLIPNRALRSAIQGQL >Potri.014G084900.4.v4.1 pep chromosome:Pop_tri_v4:14:5467776:5475548:1 gene:Potri.014G084900.v4.1 transcript:Potri.014G084900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084900.v4.1 MAMVGHVPVAKTQQASPLRLVEFRMPGKMASGREVVEETVARVIEVIEEKIFVAVGKSVKECKSMLFWALQKSGGKRICIIHVHQPAQMIPFMGTKFPASKLKEQEVRAYWEIERQEMLKMLGEYLFLCRKMGVRAEKLYVEMESIEKGILELISQHGIRKLVMGAAADKRYSKNMMDIKSKKAVSVCLQAPASCHIWFICKGHLIQTRKGALDGTDTDVRPSSQQKSPNTEAGQSNIMRSQSILFGQHHHVKLTNPAQDLFRKVRSLNVNGPGGRLTTPTSPDGGPSTPSRSDADGSSDQYDALSRSTSQNSVLSSRSSSGMANVALIPLLGIEGSEIGSELSTVPHQKVDLFQSSPPSVLDGSIEDPLYDQLEQAMAEAENSRFEASEEAVRCAKEERDVVEAIRKAKASESLYTEESKRRTVVEEELAKEKEELEKINKELEKINKEQDEVMGELCIAQDHKSLLEKQIEESDEMVKELEQKIISAVGLLQNYKKERDHLHKGRDYALKEAEELRRNQTEASSTHMPRFFSDFSFSEIEEATHHFDPSRKIGEGGYGNIYKGVLRQTQVAVKMLDSNSMQGPAEFQQEVNVLSKMRHPNLITLVGACPEAWTLIYEYLPNGSLEDRLSCKDNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDENFVTKLSDFGICRLLDHKEGSSNNTTICRTDPKGTFVYMDPEFVSTGELSPKSDVYSFGIILLRLLTARQALGITKEVRYALDKGTLKTLLDPLAGDWPFVQAEMLAHMALRCCEMNRKNRPDLASEVWRVLEPMKASCGTSSFSQLGSEEHFQPPSYFTCPIFQEVMRDPHVAADGFTYEAEALKGWLDSGHDTSPMTNFKLAHCDLIPNRALRSAIQGQL >Potri.014G084900.8.v4.1 pep chromosome:Pop_tri_v4:14:5467844:5475927:1 gene:Potri.014G084900.v4.1 transcript:Potri.014G084900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084900.v4.1 MAMVGHVPVAKTQQASPLRLVEFRMPGKMASGREVVEETVARVIEVIEEKIFVAVGKSVKECKSMLFWALQKSGGKRICIIHVHQPAQMIPFMGTKFPASKLKEQEVRAYWEIERQEMLKMLGEYLFLCRKMGVRAEKLYVEMESIEKGILELISQHGIRKLVMGAAADKRYSKNMMDIKSKKAVSVCLQAPASCHIWFICKGHLIQTRKGALDGTDTDVRPSSQQKSPNTEAGQSNIMRSQSILFGQHHHVKLTNPAQDLFRKVRSLNVNGPGGRLTTPTSPDGGPSTPSRSDADGSSDQYDALSRSTSQNSVLSSRSSSGMANVALIPLLGIEGSEIGSELSTVPHQKVDLFQSSPPSVLVLDGSIEDPLYDQLEQAMAEAENSRFEASEEAVRCAKEERDVVEAIRKAKASESLYTEESKRRTVVEEELAKEKEELEKINKELEKINKEQDEVMGELCIAQDHKSLLEKQIEESDEMVKELEQKIISAVGLLQNYKKERDHLHKGRDYALKEAEELRRNQTEASSTHMPRFFSDFSFSEIEEATHHFDPSRKIGEGGYGNIYKGVLRQTQVAVKMLDSNSMQGPAEFQQEVNVLSKMRHPNLITLVGACPEAWTLIYEYLPNGSLEDRLSCKDNSPPLSWQTRIRIATELCSVLIFLHSSKPHSIVHGDLKPANILLDENFVTKLSDFGICRLLDHKEGSSNNTTICRTDPKGTFVYMDPEFVSTGELSPKSDVYSFGIILLRLLTARQALGITKEVRYALDKGTLKTLLDPLAGDWPFVQAEMLAHMALRCCEMNRKNRPDLASEVWRVLEPMKASCGTSSFSQLGSEEHFQPPSYFTCPIFQEVMRDPHVAADGFTYEAEALKGWLDSGHDTSPMTNFKLAHCDLIPNRALRSAIQGQL >Potri.001G248700.1.v4.1 pep chromosome:Pop_tri_v4:1:26467054:26471595:1 gene:Potri.001G248700.v4.1 transcript:Potri.001G248700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248700.v4.1 MVDMALWLRVLVLVACLFPASVESMVRHYKFNVVMKNTTRLCSEKPIVTVNGRFPGPTLVAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPLQPGQSFVYNFTISGQRGTLLWHAHILWLRATVHGAIVILPKRGVPYPFPTPHREEVIVLGEWWKSDVEAVINEAMNSGRAPNVSDAHTINGHPGPVSGCSSQGGYNLPVRPGKTYMLRIINAALNEELFFKIAGHQLTVVEVDATYVKPFKIDTIVIAPGQTTNVLVTANRGSGKYLVAASPFMDAPIAVDNVTATATLHYSGTLASTTTTLTVPPAQNATPVATNFTDALRSLNSIKYPARVPLKIDHSLFFTIGLGVNPCATCVNGNRVVADINNVTFVMPTIALLQAHFFNIKGVFTDDFPGNPPTPFNYTGTQPKNFQTVNGTKLYRLAYNSTVQLVLQDTGMLTPENHPVHLHGFNFFEVGRGIGNFNPKRDPKKFNLADPVERNTIGVPAGGWTAIRFIADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESVLPPPPDLPKC >Potri.006G016800.1.v4.1 pep chromosome:Pop_tri_v4:6:1047113:1047988:1 gene:Potri.006G016800.v4.1 transcript:Potri.006G016800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016800.v4.1 MMSPNFPRILLLALSFHALMLQKSEGQFEEWCIADEQTPDDELQRAMDWACGKGGADCSKIQMNQPCYMPNTIRDHASYAFNDYYQKFKHKGATCYFNAAALITDLDPSQHSCKFDYLP >Potri.002G196800.1.v4.1 pep chromosome:Pop_tri_v4:2:15945346:15945927:-1 gene:Potri.002G196800.v4.1 transcript:Potri.002G196800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196800.v4.1 MAKSKNHTAHNQSYKAHKNGIKKPRKQRHTSTKGMDPNFLRNQRYARKHNKNGESSTEE >Potri.008G129850.1.v4.1 pep chromosome:Pop_tri_v4:8:8495876:8497579:-1 gene:Potri.008G129850.v4.1 transcript:Potri.008G129850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129850.v4.1 MESTTVIFLFLLFLFLHHPSATSSTPTSIFPNEALPTKSGYIPVKPKTSSAIFYTFYEAQKPTSPLSQTPLLIWLQGGPGCSSMIGNFLELGPYRVVDSQDNEHPALQPNLGSWNRIFGLIFIDNPIGTGFSIASSPEEIPRDQHTVAEHLFAAISEFIKLDPVFKTRPIYITGESYAGKYVPAIGYYILKKNTKLPVAKQVNLKGVAIGNGVTDPVTQVKTHALNAYFSGLINERQKGELEEAQREAVKLVRMGNWSEATDARSRVLKLLRHMTGLATLNDFTRKVPYKTKLVTKLMQLAEVKVALKANESIVFEDCSDTVGEALHADVMKSVKYMVEFLVKKSNVLLYQGHLDLRDGVFSTEAWVKTMKWEGIGEYLMAERKVWKVNGVLAGYVQKWRSFSNAVVLGAGHLVPNDQAVNSQAMIEDWVLERGVFANAEGEL >Potri.002G070200.1.v4.1 pep chromosome:Pop_tri_v4:2:4904854:4907075:-1 gene:Potri.002G070200.v4.1 transcript:Potri.002G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070200.v4.1 MTDGYWNRQQPSNVPSSGMLKRPRSDYDMPSSYEMQNYYPRDVDDDRTRYETVKDSKSIGSAYDRYLQNAQAPTFASREASALGGAGFGRGIGGGMSGLPIADSAVMTRPRSAGLDLASNGRDVGFVRQRPEDRIARSDREMAHLPPDASNTLYVEGFPPDCSRREVAHIFRPFVGYKEVRLVSKEARQRGGDPIILCFVDFVNPECAATAMSALQGYKVDEHDPDSRYLRLQFSRHPGPRSGPISRGRR >Potri.001G006500.1.v4.1 pep chromosome:Pop_tri_v4:1:449050:453261:1 gene:Potri.001G006500.v4.1 transcript:Potri.001G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006500.v4.1 MVLGNLLLFIVGIFSYASGAFVGINLGTDVSNMPSAPDVVSILKANQITHLRLYDADAHMLKALADSGIEVMVGVTNEEVLGIGESPSKAAAWINQNVAAYLPSTSITAIAVGSEVLTSIPNLVTVLVPAMNYLHKALVASNLNFQVKISTPQAMDIIPRPFPPSTATFNSSWSATVYQILQFLKNTDSFYMLNAYPYFGYTSGNGIFPLDYALFRSLPSVKQIVDPNTLSHYDSMFDALVDATYYSIEALNMSGISIVVTETGWPWLGGANEPDATAENAETFNSNLIRRVLNDSGPPSQPKVPINTYIYELFNEDKRPGPVSEKNWGLFFTNGSAVYTFSLSTSNQITGNNSDFCVAKPNADPGKLQAGLDWACGQGGANCDAIQEGKPCYLPNTYQNHASYAYNDYYQKKRSVGATCDFDGTAATTTVDPSYGSCKFTGSSTITPNSNGGFTTTVAPGPVTPQGGSATVNLPVSKIQFLISAVFLALVLL >Potri.019G025000.1.v4.1 pep chromosome:Pop_tri_v4:19:3640942:3642113:1 gene:Potri.019G025000.v4.1 transcript:Potri.019G025000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025000.v4.1 MVGGEENNNEGKNSFIVLVVDDDTVVRMVHRMLVTSLGLKVQEAKNGKEAVDLHINGASFDLILMDMEMPIMNGPTATRELRAMGVKSTIIGVTSCTFESVHKDFIEAGLDHCVAKPLTLAQIASFLPKSNNN >Potri.008G129100.1.v4.1 pep chromosome:Pop_tri_v4:8:8422868:8423814:1 gene:Potri.008G129100.v4.1 transcript:Potri.008G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129100.v4.1 MLLEMSFFNSFMLLSLLLFVVAPVHGLNLRKLDETTVPGPTEEKCSPCNPSPPPPSLPPVVYPSPPPPSPPPPSPVLYPPPPPAPVLPPPTPKKPPSGYNCPPPPAPSKYDLYITGPPGELYPVDKDVNAASRHAVSLQVLIGCGLIGLLVRIGF >Potri.003G074500.1.v4.1 pep chromosome:Pop_tri_v4:3:10207842:10210576:-1 gene:Potri.003G074500.v4.1 transcript:Potri.003G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074500.v4.1 MTPAFHLFNSNRSSLNLQHYLCLSHYTTTTPPSIAKQFQEHHRHQQNQTNPLLSSLERKSHQPLISLIKSCTQKSHLLQIHGYLIRNSLLHYPAISLPFLSRMALSPIRDISYSRQFFSQIPNPSVFLYNTLIRAYSMSNSPTEGFFMYQEMRKKGLRADPVSLSFVIRCYIRICSLIGGEQVHARILSDGHQSDSLLLTNLMDLYSLCDKGSEACKVFDEMRQRDTIAWNVLISCYMRNRRTRDVLVIFDGMLSGELGCEPDDVTCLLLLQACANLGALEFGEKVHGHIVERGYDNATNLCNSLIAMYSQFGNLDKAFGVFKGMHNKNVVTWSAIISGLAMNGYGREAIGAFEEMLKMGVLPDDLTFTGVLSACSNCGLVDKGMIIFARMSKEFGIVPNIHHYGCMVDLLGRAGQLHQAYQLIMSMRVKPDSTIWRTLLGACRIHRNVILGEHVVEHLIELKAQEAGDYVLLFNLYSSVDNWKKVTELRKFMKEKGIQTTPASSSIELKGKVHEFVVDDVSHPQKDEIYEMLDEISKQLKIAGYVAEITSELPNLDAEEKRYVLSYHSEKLAIAFGVLATPPGTTIRIAKNLRICVDCHNFAKILSGVYNRQVIITDHTRFHHFRGGHCSCNDYW >Potri.003G178400.1.v4.1 pep chromosome:Pop_tri_v4:3:18476939:18481108:-1 gene:Potri.003G178400.v4.1 transcript:Potri.003G178400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178400.v4.1 MDFQIRSVLPLVIISSLLYSLAKAEISGSVIFIDSQTRQYLRSPSPNDVVQSNSMSLQEVGAAVSVLLGFVPSDALSATSSLKLNEVLLPNPFNRPRAVFMLEVTGELPSVADQANVMFNAAYKSKIVPGSNKADIQLPGEEVSVVSLDEEFVDFTDKDISDFASWLGGSYAVDPLEALKGELAIPLASGATIDLHMSKKANREFIASLLALLRNSRKAVEMHGDLSLSNQPPAELLKGSFDGLKALQEHYGPEGAAQKGLELLITMLSKMFDSLQAAYKGQIVGAILFNTAPAPESKTLLNVMLTSRPSARWLEETKEPTIEAIAVVALVRITLAWITGIVLIIATLLGIYFLFSMPLTKDTLLYSNVKLD >Potri.017G061400.1.v4.1 pep chromosome:Pop_tri_v4:17:5060081:5060927:1 gene:Potri.017G061400.v4.1 transcript:Potri.017G061400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061400.v4.1 MAETEIPNEPALPTKRKLDEDPFPENKQENHTNKSQKLESLTNNSPNTQEKTTDRTQTLEASFNNQNDTVQKVVEEEEDGDDEDGDYEDEENGEEVLVDRKGKGILIEEVEDDDSSDDDGDESSELDGGDDSEEAEEDDPLAEVDLDNILPSRTRRKAVHPGVYIANDNHVNDGDDDDSDA >Potri.006G196716.1.v4.1 pep chromosome:Pop_tri_v4:6:20458268:20459167:1 gene:Potri.006G196716.v4.1 transcript:Potri.006G196716.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196716.v4.1 MSHMLLEILTEEALKGSKPSSTFKAESFVKVATEISQKFNVQCEPKHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKTHPNHDKFLNKKLDMYEAMAIVVGKDMATGNYAESYADVNMEENTEEQSISIENKGEYEETAKGKETSSSSTQKRQHRKRKRMYEDYGVEKLSKQIGDVALVIQSLSKNQLDVNALYAEVMKIEGFDEITLGDAFDHLVQNEMMAKAFMAKNANLRKIWVQNFMNQHYYRPAC >Potri.014G042200.2.v4.1 pep chromosome:Pop_tri_v4:14:2746116:2750616:1 gene:Potri.014G042200.v4.1 transcript:Potri.014G042200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G042200.v4.1 MDTELKSMNPDPPKPEQPDPASRDDGSNSKDDRPLLKSDSNRISSTTGESIEELEKKFAAYVRNDVYGPMGRGELPLVEKVLLGIAVVTLVPIRFVLALIILVVYYIICRVCTLFSAPNRDEEEEQEDFAHMGGWRRAVIVWCGRFLSRMLLFVLGFYWISVSYRDIELPDQNKSSSQNEGKDQSEEPERLGAIISNHVSYLDILYHMSASFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGIVTERVKESHENSSAPMMMLFPEGTTTNGDFLLPFKTGAFLATAPVRPVILRYPYQRFSPAWDSISGALHVFYLFCQFINHMEAVWLPVYYPSQEEKDDPKLYASNVRRLMAREGNLKMSDIGLAEKRIYHTALNGLF >Potri.014G042200.1.v4.1 pep chromosome:Pop_tri_v4:14:2746112:2750201:1 gene:Potri.014G042200.v4.1 transcript:Potri.014G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G042200.v4.1 MDTELKSMNPDPPKPEQPDPASRDDGSNSKDDRPLLKSDSNRISSTTGESIEELEKKFAAYVRNDVYGPMGRGELPLVEKVLLGIAVVTLVPIRFVLALIILVVYYIICRVCTLFSAPNRDEEEEQEDFAHMGGWRRAVIVWCGRFLSRMLLFVLGFYWISVSYRDIELPDQNKSSSQNEGKDQSEEPERLGAIISNHVSYLDILYHMSASFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESKSSDFKGVSGIVTERVKESHENSSAPMMMLFPEGTTTNGDFLLPFKTGAFLATAPVRPVILRYPYQRFSPAWDSISGALHVFYLFCQFINHMEAVWLPVYYPSQEEKDDPKLYASNVRRLMAREGNLKMSDIGLAEKRIYHTALNGNISLPSVLHQKDD >Potri.006G158400.1.v4.1 pep chromosome:Pop_tri_v4:6:14914744:14916459:-1 gene:Potri.006G158400.v4.1 transcript:Potri.006G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158400.v4.1 MASWVEELHFPHVNIPITIAHLLPVSPAGPISAAPGDSLYLSNLDDIIGARVFTPTIYFYRFDFLNNSTRKSVMKTLFDALSHVLVPYYPLSGRLRETKNGKLEVFFEQGQGALMVEAYSEMALDKLGELTVPNPAWSPLIFRFPNEEPYKILDMPLLIAQVTLFSCGGFSLGLRLCHCICDGLGAMQFLRAWAATAKSGTLVTNPDPCWNRKFFQPRNPPVVKYPHMEFMKIEEGSSLTRSLWQEKPVQKCYRISREFQAQLKSVAAQTNDQKFSYTTFDALAAHVWRSWVKALDVKPVNYKLRLTFSVNARNKLKNPPLKDGFYGNVVCLACAVSSVYELITRHLTETAHLVHDARIGVSEEYLRSTIDYVEVDRPTRLEFGGKLTITQWTRFSIYECADFGWGRPIYAGPIDLTPTPQVCVFLPEGEADPSDRMVVCICLPESATDKFKDFLSSVDQSRDEDVDRNN >Potri.003G185700.4.v4.1 pep chromosome:Pop_tri_v4:3:19105305:19108511:1 gene:Potri.003G185700.v4.1 transcript:Potri.003G185700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185700.v4.1 MEKMVSKLVFKMTLLMLMFQLAKAAAPVAKFGCPDRCGDITIPYPFGTGKDCYKDEWFAVECNKTTNPPRAFISRIKMEVLNISVEKGLATVKSPVISFNCTGREDGGSLDLTGSPFVFSDSWNVFIAGGCDTRAFMSGIEPQVLGCVPTCGNQRSNVSLQENNMCSGRNCCQASIPSLLQVFKPTLVSTNVDQGREACKLAVLVNGTWFASNISDPFALLHKDYVPANLGWVMNISDSDISIYCNTYYNQSLKSECACWRGFEGNPYLELGCIDVDECKTSEKNTCQRMLKCVNTRGGYRCAINKIYIIIIVVGSVIFILVLLFGLWRLYKLIKKRQNKELKKKFFKRNGGLLLQQQLSTSDGSVQKTKIYSSKELEVATDGFNVNRILGEGGQGTVYKGMLTDGRIIAVKKSKVVDEENLEEFINEVVILSQMNHRNVVKLLGCCLETQVPILVYEFISNGNLYKYIHVQNDDFLLSWEMRLRIAIEVAGALSYLHSAASIPIYHRDIKSTNILLDEKYRATISDFGSSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLSGKKPIFSASPTESRSLATHFIMLMEDNRLFDILDARVKEHCHNEEVVAVGNLARKCLNLNGKNRPTMKEVTTELERVIQKGSNVQQDSQENESIMADLSMQYMGCISDINNDL >Potri.003G185700.5.v4.1 pep chromosome:Pop_tri_v4:3:19105305:19108511:1 gene:Potri.003G185700.v4.1 transcript:Potri.003G185700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185700.v4.1 MEKMVSKLVFKMTLLMLMFQLAKAAAPVAKFGCPDRCGDITIPYPFGTGKDCYKDEWFAVECNKTTNPPRAFISRIKMEVLNISVEKGLATVKSPVISFNCTGREDGGSLDLTGSPFVFSDSWNVFIAGGCDTRAFMSGIEPQVLGCVPTCGNQRSNVSLQENNMCSGRNCCQASIPSLLQVFKPTLVSTNVDQGREACKLAVLVNGTWFASNISDPFALLHKDYVPANLGWVMNISDSDISIYCNTYYNQSLKSECACWRGFEGNPYLELGCIVVGSVIFILVLLFGLWRLYKLIKKRQNKELKKKFFKRNGGLLLQQQLSTSDGSVQKTKIYSSKELEVATDGFNVNRILGEGGQGTVYKGMLTDGRIIAVKKSKVVDEENLEEFINEVVILSQMNHRNVVKLLGCCLETQVPILVYEFISNGNLYKYIHVQNDDFLLSWEMRLRIAIEVAGALSYLHSAASIPIYHRDIKSTNILLDEKYRATISDFGSSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLSGKKPIFSASPTESRSLATHFIMLMEDNRLFDILDARVKEHCHNEEVVAVGNLARKCLNLNGKNRPTMKEVTTELERVIQKGSNVQQDSQENESIMADLSMQYMGCISDINNDL >Potri.006G054500.1.v4.1 pep chromosome:Pop_tri_v4:6:3839588:3841005:1 gene:Potri.006G054500.v4.1 transcript:Potri.006G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054500.v4.1 MENCGKSPLKPWKKGPTRGKGGPQNAMCDYRGVRQRTWGKWVAEIREPKKRARLWLGSFATAEEAAMAYDEAARRLYGPNAYLNLPHLQSSSSPPNKSQKFKWIPSNNFISMFPSCGLLNIHAQPSVHVIHQRLQELKNNRPLNQSSVASSSSSSESRTEVMIVSDENHVANVSAAEKDEEISSEKMLLTNHDEKPQIDLNEFLQQLGILKEENQPDNNDVDEHFTEPESSHKDQNELTALADKSFDWDSLIEMHGIADHQGEEFNSFPVYDIQKELAFPTSIWNF >Potri.013G083700.5.v4.1 pep chromosome:Pop_tri_v4:13:7520489:7523527:-1 gene:Potri.013G083700.v4.1 transcript:Potri.013G083700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083700.v4.1 MEAENIRINNNNNNKKRSSRKPLADCTNLSPSSSTSSTNNIPSSSSFKKPSILSFSLNKFPNTKNKPGSTPSHKTPASKSAAVSPPTPSHPPESSPLPGSFGDEIFEPHSVYTRRQSTEGKRKSKGRASFTPAPKTEFASYKMNDVGVTHPSKSLAVHRKKRRCGALSDGDEKKHALPQDYIEQQRAYFAEIDAFELSEEEVGSSNELD >Potri.012G045600.1.v4.1 pep chromosome:Pop_tri_v4:12:4136656:4138031:1 gene:Potri.012G045600.v4.1 transcript:Potri.012G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045600.v4.1 MGNATSCAPSIISSNGVVKVLFSDNGNLQIYTKPVKAAELMLENPGQFVCDSASLKVGYRIHCLSADDELERRQLYFVLPTELLYSVLTHEELSSLTYKATKALKYNNFGKIFPVLSEFCIFPSEGKTTDSMATEPQPMERYSKQRSWKPALETIVETPCRRP >Potri.002G143600.1.v4.1 pep chromosome:Pop_tri_v4:2:10810736:10812792:1 gene:Potri.002G143600.v4.1 transcript:Potri.002G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143600.v4.1 MALLVDQQSNFKHFCKICKKGFMCGRALGGHMRAHGIGDENGNIDDEDPASDWEDKLGANVPPGTSRMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHGKCTSEDADQSLLSSPGSEEEDGTPRRSSGWSKRKRSLRAKVSNLNLSCPSSEDEDLANCLMMLSNATTVDPLETEPEESCASASKEEERRNPTNFMAPMEHKPPLEKAKGTAKGMFECKACKKVFNSHQALGGHRASHKKVKGCYASRLDQSMDYSLADHDEDVVTHEEFFPAKLTSTLQFDHGSTPPLMASTSKRKSKVHECSICHRVFSSGQALGGHKRCHWLTSNTPDTSSLPKFHQFQDHLDQIQQRPKFINNSEQLDLTLDLNLPVHQTVTNPSNIEVSTEIYLQPWTGVDAKLKDDNNHQHQNEDHHDDKDNNNNDNNYYSTSVQNVDDEADSKLKLAKLSELKDMRTSGSSSPWLQVGIASTTDLGTEKL >Potri.006G233000.6.v4.1 pep chromosome:Pop_tri_v4:6:23570058:23573259:-1 gene:Potri.006G233000.v4.1 transcript:Potri.006G233000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233000.v4.1 METLRAALGIRMSEPDEQGIDGTDDELRNSRKNDPGEGSKWSEKHEHAFLDREFTRKKHVAEDLEVEKDENKKGVKALKNKKKEDEFDESRHHRKEESRKRRHRDDSSDTDSSGKHAKGSRKKHSKGRRGRDHETESDSDHGKKKRTSTKHKKSRRHDSGSDDSATDDETDSDHGKKKSRISEKLKKSRRSGDSDDSATDDADDVGLVNLHQEVEKHKKSRARHDSDDNSGFNDRWNGDGSRHGNGRRISEGDSESDLNKVGKHRRELTSRSHKRNDSDSDGSGDEKLEKIRSRRRHDSDEEDPDTVYGRKGKKIVEEMRGSLKDDSDDSKSTDSDSSASDDGHGKAMKKNLLDKSGRGDHRQIIDKKLDSLDDVSEDSRRSSDSGSSGSDYRHGKPVKKTPADKNRSGGGDGGYNKGGRSGRESFLEEKGSRPADLGRGDRPRELYQSCREAVDKTNLDIQATGRNKRKLDDSTKDEEHESKSRNRTVGKEEGHDIDRRAYQSKDDQRGDSSKLVRSRGRDDDVGDTRKEDEPRRGSRNDQEYKERGGEKRHARDEEDHRGRRYQRYEEEDDDYRRHGKNEVDQRYGSRRHGRGEKEEQGSRGHERDKQIDHSKRSRYDDSRFSERKRYENDKRDDDRVRYRD >Potri.006G233000.3.v4.1 pep chromosome:Pop_tri_v4:6:23569812:23575590:-1 gene:Potri.006G233000.v4.1 transcript:Potri.006G233000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233000.v4.1 MYNGIGLQTPRGSGTNGYIQTNKFFVRPRTGKVAHDTKGFEGDQGTGGITKKPNKEILEHDRKRQIQLKLVVLEDKLIEQGYTDAEIEEKLQEARKTLEAASEESGGLTASDTKVSDTQSHQIAARKEKQMETLRAALGIRMSEPDEQGIDGTDDELRNSRKNDPGEGSKWSEKHEHAFLDREFTRKKHVAEDLEVEKDENKKGVKALKNKKKEDEFDESRHHRKEESRKRRHRDDSSDTDSSGKHAKGSRKKHSKGRRGRDHETESDSDHGKKKRTSTKHKKSRRHDSGSDDSATDDETDSDHGKKKSRISEKLKKSRRSGDSDDSATDDADDVGLVNLHQEVEKHKKSRARHDSDDNSGFNDRWNGDGSRHGNGRRISEGDSESDLNKVGKHRRELTSRSHKRNDSDSDGSGDEKLEKIRSRRRHDSDEEDPDTVYGRKGKKIVEEMRGSLKDDSDDSKSTDSDSSASDDGHGKAMKKNLLDKSGRGDHRQIIDKKLDSLDDVSEDSRRSSDSGSSGSDYRHGKPVKKTPADKNRSGGGDGGYNKGGRSGRESFLEEKGSRPADLGRGDRPRELYQSCREAVDKTNLDIQATGRNKRKLDDSTKDEEHESKSRNRTVGKEEGHDIDRRAYQSKDDQRGDSSKLVRSRGRDDDVGDTRKEDEPRRGSRNDQEYKERGGEKRHARDEEDHRGRRYQRYEEEDDDYRRHGKNEVDQRYGSRRHGRGEKEEQGSRGHERDKQIDHSKRSRYDDSRFSERKRYENDKRDDDRVRYRD >Potri.006G233000.4.v4.1 pep chromosome:Pop_tri_v4:6:23570099:23575635:-1 gene:Potri.006G233000.v4.1 transcript:Potri.006G233000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233000.v4.1 MYNGIGLQTPRGSGTNGYIQTNKFFVRPRTGKVAHDTKGFEGDQGTGGITKKPNKEILEHDRKRQIQLKLVVLEDKLIEQGYTDAEIEEKLQEARKTLEAASEESGGLTASDTKVSDTQSHQIAARKEKQMETLRAALGIRMSEPDEQGIDGTDDELRNSRKNDPGEGSKWSEKHEHAFLDREFTRKKHVAEDLEVEKDENKKGVKALKNKKKEDEFDESRHHRKEESRKRRHRDDSSDTDSSGKHAKGSRKKHSKGRRGRDHETESDSDHGKKKRTSTKHKKSRRHDSGSDDSATDDETDSDHGKKKSRISEKLKKSRRSGDSDDSATDDADDVGLVNLHQEVEKHKKSRARHDSDDNSGFNDRWNGDGSRHGNGRRISEGDSESDLNKVGKHRRELTSRSHKRNDSDSDGSGDEKLEKIRSRRRHDSDEEDPDTVYGRKGKKIVEEMRGSLKDDSDDSKSTDSDSSASDDGHGKAMKKNLLDKSGRGDHRQIIDKKLDSLDDVSEDSRRSSDSGSSGSDYRHGKPVKKTPADKNRSGGGDGGYNKGGRSGRESFLEEKGSRPADLGRGDRPRELYQSCREAVDKTNLDIQATGRNKRKLDDSTKDEEHESKSRNRTVGKEEGHDIDRRAYQSKDDQRGDSSKLVRSRGRDDDVGDTRKEDEPRRGSRNDQEYKERGGEKRHARDEEDHRGRRYQRYEEEDDDYRRHGKNEVDQRYGSRRHGRGEKEEQGSRGHERDKQIDHSKRSRYDDSRFSERKRYENDKRDDDRVRYRD >Potri.006G233000.5.v4.1 pep chromosome:Pop_tri_v4:6:23570092:23575158:-1 gene:Potri.006G233000.v4.1 transcript:Potri.006G233000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233000.v4.1 MYNGIGLQTPRGSGTNGYIQTNKFFVRPRTGKVAHDTKGFEGDQGTGGITKKPNKEILEHDRKRQIQLKLVVLEDKLIEQGYTDAEIEEKLQEARKTLEAASEESGGLTASDTKVSDTQSHQIAARKEKQMETLRAALGIRMSEPDEQGIDGTDDELRNSRKNDPGEGSKWSEKHEHAFLDREFTRKKHVAEDLEVEKDENKKGVKALKNKKKEDEFDESRHHRKEESRKRRHRDDSSDTDSSGKHAKGSRKKHSKGRRGRDHETESDSDHGKKKRTSTKHKKSRRHDSGSDDSATDDETDSDHGKKKSRISEKLKKSRRSGDSDDSATDDADDVGLVNLHQEVEKHKKSRARHDSDDNSGFNDRWNGDGSRHGNGRRISEGDSESDLNKVGKHRRELTSRSHKRNDSDSDGSGDEKLEKIRSRRRHDSDEEDPDTVYGRKGKKIVEEMRGSLKDDSDDSKSTDSDSSASDDGHGKAMKKNLLDKSGRGDHRQIIDKKLDSLDDVSEDSRRSSDSGSSGSDYRHGKPVKKTPADKNRSGGGDGGYNKGGRSGRESFLEEKGSRPADLGRGDRPRELYQSCREAVDKTNLDIQATGRNKRKLDDSTKDEEHESKSRNRTVGKEEGHDIDRRAYQSKDDQRGDSSKLVRSRGRDDDVGDTRKEDEPRRGSRNDQEYKERGGEKRHARDEEDHRGRRYQRYEEEDDDYRRHGKNEVDQRYGSRRHGRGEKEEQGSRGHERDKQIDHSKRSRYDDSRFSERKRYENDKRDDDRVRYRD >Potri.007G088154.1.v4.1 pep chromosome:Pop_tri_v4:7:11306783:11308542:-1 gene:Potri.007G088154.v4.1 transcript:Potri.007G088154.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088154.v4.1 MESWFLILVSISVSLFLKTIFNNFLTSKNLPPGPLSFPFIGHLLWLRMSAFKMEPILRSLHAKFGPMVTLRIGTRPAIFVADRTLAHEALIHGGAVFADRPPAVATRNFLTSNQHNISSSFYGSTWRLLRRNLTAEILHPSRVKSYTHARNWVLQILQNRFESQAKAGRPICVMEHFQYAMFCLLVLMCFGDKLDENQIKKIMEVQRQMIVNFGRFNILNFWPGVTKIVLRNRWRELFCLRKCQEDVLIPLIRAGKKAKEDRVNKSKEDKKDNEDEYVLCYVDTILALELPEEKRKLNEEEMVSLCSEFLNGGTDTTSTALQWIMANLVKYPQIQEKLFMEIKGVVQDGEENIKEEELQKMPYLKAIILEGLRRHPPAHFVLPHAVTEDAALGKYVVPKDGTINFMVAEMGWNPKVWEDPMAFKPERFLSSGGETFDITGSREIKMMPFGAGRRICPAYGLAMLHLEYFVANLIWRFEWKAVDGDDVDLSEKEEFTVVMKNPLQAQICPRLK >Potri.019G086700.3.v4.1 pep chromosome:Pop_tri_v4:19:12588319:12588729:1 gene:Potri.019G086700.v4.1 transcript:Potri.019G086700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086700.v4.1 MPHFIYQNTDLFFFLCWHIHCSKTYPWSENSGQNMSLACLACHSVESPSRSFRSYSVSSSDNEGRCTAIANCITRKLSHPPPRANSSFASSSSKVSPQPLNPSNDSMTGPPRLVRSRAVRRDLVRDWNFDGVVMER >Potri.010G151200.3.v4.1 pep chromosome:Pop_tri_v4:10:16122267:16123185:1 gene:Potri.010G151200.v4.1 transcript:Potri.010G151200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151200.v4.1 MATKTPKLVKHTLLARFNDEITREQIDNYINDYTDLLDLVPTMKSFSWDTDLGKESAELNRGYTHVFEATFEIIAGLQEYIVSPAHVAFGKRFMPALSQSLVIDHFLH >Potri.017G031150.1.v4.1 pep chromosome:Pop_tri_v4:17:2092940:2093777:1 gene:Potri.017G031150.v4.1 transcript:Potri.017G031150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031150.v4.1 MVHPWYFPIVQPIKWGNHQLKTDLCSLTV >Potri.007G098600.2.v4.1 pep chromosome:Pop_tri_v4:7:12349029:12359084:-1 gene:Potri.007G098600.v4.1 transcript:Potri.007G098600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098600.v4.1 MGLFRAKGVVYKPVENVNLGPDSDESYIKANVKAPRMAGFLAKIFAWFLESRIFGAFLLYILKRNNLIHKLVTNAELEDSPVYVPLHPFEELNEKEVKHVNSDLSPSEQVQQAVGCLPLPSEKIVNGLKPSSRRWTVMDYSKAYSSGEITPYKVAERLVAAIHESSSPPLDMAFFINYDAEDILRQAKESTLRYQRGEPRSVLDGVPVAIKDEIDCSPYPTTGGTKWLHKFRPCKSDAFCILRLRSCGVVIIGKTNMHELGAGTSGINPHYGATRNPYDPSRISGGSSSGSAAVVAAGLCPVALGVDGGGSVRMPAALCGVVGFKPTFGRVPHSGVLPLNWTVGMVGVLAGTIEDALIVYAAINGPVPSHETSVIPPTKMYFPMLQSTNSVSDITLARYGEWFNDCSDDIRTCCSLALHKLSEKHGWKTVDVTIPDIEAMRLAHYLTIGSECTAALSSYLEKLDIAESGWDVRVALSVYGAFSGEDYIKAQKLRNRQIQFHRNIFTKADVIVTPTVGVTAYPILNDALHTGELDYINGAALVRYQIAGNFLGLPAVTVPVGYDKKGLPIGLQFIGRPWSEPTLIHIAHAMQTLCISEYRKPQAFYDLLKKD >Potri.019G078000.2.v4.1 pep chromosome:Pop_tri_v4:19:11862687:11863160:-1 gene:Potri.019G078000.v4.1 transcript:Potri.019G078000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078000.v4.1 MNGYSKIGQVSISKSRSVDLSEHHTPLQTPGLTSNHSSERRVTTEIHDSMCTANHDSLPEKGQEEEVGEIFGVILSRSCSASSRSLKIDKQNPAKLENAIKRAFSMSRSSSVSQGYCRIHHQSDFIADEGKATLPPARNTKKNRGKIFNACRLLFGF >Potri.003G125400.1.v4.1 pep chromosome:Pop_tri_v4:3:14512005:14515030:-1 gene:Potri.003G125400.v4.1 transcript:Potri.003G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125400.v4.1 MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYHGDSALQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLTMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEAYQDED >Potri.009G140000.1.v4.1 pep chromosome:Pop_tri_v4:9:11214057:11217735:1 gene:Potri.009G140000.v4.1 transcript:Potri.009G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140000.v4.1 MAEWTHLPKDLIELISKCLDTSTDLLRFRSVCNSWRSSIPPKSPRLSSNTFKILPNDGISHTSFGFSLFKRSIFLIGLPNSHNQTDLQGWLVKIEEDVPGKKHLFDPLSRCRSTSLHHSLPRVLDLMNLRIRELGHEYVLHHVSYKPNSSSFTDAGNLYMEKVVMIWLNCETEFVLLTIHVSGKLAMFKSGDKRWTIINEMPSPFDDVIVYKGRFYAVDNTGRTVVVALDTDLGLVGDPVFGGDKKYLVESKGDLLLVDMYLSIDTDEGLSIGNDVVQDLVQYMSERTVRFKVFKLNEEGKSWIEVKNLEDRVLFLGDDSTFSASASELSGCKGNCIFFEDNFFYSREEGDDGSMIGRDIGVFELESGCIGPLRNFPDYSKMFWPPPDWIASTSLEVQNQNQLEELLIEK >Potri.013G023400.2.v4.1 pep chromosome:Pop_tri_v4:13:1500726:1505068:1 gene:Potri.013G023400.v4.1 transcript:Potri.013G023400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023400.v4.1 MMSGGVGKYTHIDNQKVSGSVPSVPDPGHVAVQFTDSNLQTFPPSESQGKISGGTRPPRDADDTFSKPVSGSEESQQGGWFRTFTVAAYKPYFDVDTTDVLERIKYSLFPFRGTFTEKTLSNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLQKKEWNYDINLVTWSAGVFYGYVLLVPLVLYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVIPVEIFRWVIAGVAGFMSATFVALNLRAHIMSAGERWFFIVAGIFLLQLALSVVLKLYLFTVTV >Potri.013G023400.1.v4.1 pep chromosome:Pop_tri_v4:13:1500798:1504081:1 gene:Potri.013G023400.v4.1 transcript:Potri.013G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023400.v4.1 MMSGGVGKYTHIDNQKVSGSVPSVPDPGHVAVQFTADSNLQTFPPSESQGKISGGTRPPRDADDTFSKPVSGSEESQQGGWFRTFTVAAYKPYFDVDTTDVLERIKYSLFPFRGTFTEKTLSNPDLYGPFWICTTLIFVAASIGTFVTYIAHKLQKKEWNYDINLVTWSAGVFYGYVLLVPLVLYVILKYFSAPSGLVQLFCLYGYSLFVFIPALCLSVIPVEIFRWVIAGVAGFMSATFVALNLRAHIMSAGERWFFIVAGIFLLQLALSVVLKLYLFTVTV >Potri.009G018600.1.v4.1 pep chromosome:Pop_tri_v4:9:3085931:3088185:1 gene:Potri.009G018600.v4.1 transcript:Potri.009G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018600.v4.1 MAVTFSDLHTESGLKSLNEFLVGKSYISGDQISKDDIKVYGAVLEKPGRDFPNASKWYESVSSQLALSFPGKAVGVSICGKTAAAAPVEAAPAKEAAGDDDDDLDLFGDETEEDKKAAEEREKAKKASSKKKESGKSSVLMDVKPWDDETDMVELEKAVRSVEMPGLFWGASKLVPVGYGIKKLQIMLTIVDDLVSVDSLIEERLTVEPCNEYIQSCDIVAFNKI >Potri.002G154700.1.v4.1 pep chromosome:Pop_tri_v4:2:11810693:11817866:1 gene:Potri.002G154700.v4.1 transcript:Potri.002G154700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154700.v4.1 MSFGGFLENTSPGGGGARIVADILYNNNNNMPTGAIAQTRLVSPSITKSMFNSPGLSLALQQPNIDGQGDITRMAENFETSVGRRSREEEHESRSGSDNMDGASGDDQDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQDNDKLRAENMSIRDAMRNPSCSNCGGPAIIGDMSLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLASSLSPPTNSSLELAVGSNGFAGLSTIATTLPLGPHFEGGISGALSMVTQTRLATAGVTGIDRSVERSMFLELALAAMDELVKMVQTDEPLWIGSFEGGREILNHEGYLRTFTPCIGMKPSGFVSEASRETGMVIINSLALVETLMDSNRWAEMFPCMIARTSTTDVIASGMGGTRNGSLQLMQAELHVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSIDTIRDTSGAPPTFVNCRRLPSGCVVQDMPNGYSKVTWVEHAQYDERQIHQLYRPVISSGMGFGAQRWIATLQRQCECLAILLSSNVPSRDHTAITTSGRRSMLKLAQRMTDNFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRNERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDTPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRDPPSTNGGPTANNVGGQERVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >Potri.006G238566.1.v4.1 pep chromosome:Pop_tri_v4:6:24068286:24069302:-1 gene:Potri.006G238566.v4.1 transcript:Potri.006G238566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238566.v4.1 MSTKTWLSGTDIKEIWHLPYKVTQQKNGILSLSLVNDHLTITCYIYIYSTNLIKSFVESYSFDALGKLPFIFMLASSTWGATYTCKCSKLPFNNLTMHSQLSVPTTAYRRKDILISWSL >Potri.002G235550.1.v4.1 pep chromosome:Pop_tri_v4:2:22883677:22884025:1 gene:Potri.002G235550.v4.1 transcript:Potri.002G235550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235550.v4.1 MTFQQANGPELDFLDCFINAKFLHIHRDRGHNSHGYSKSRLGCFDGSLYIFPFTRGMGKKWIIGILLIELRNKTLSIRL >Potri.001G092200.1.v4.1 pep chromosome:Pop_tri_v4:1:7286530:7291567:-1 gene:Potri.001G092200.v4.1 transcript:Potri.001G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9C1 MEKFVRLISMAPFFLLLCLPFALAGHDYGQALSKSILFFEAQRSGYLPHNQRVTWRSNSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQLGSSGELGHAMNAVKWGTDYLIKAHPQPDVLYGEVGDGNTDHHCWQRPEDMTTDRRAYKIDPSNPGSDLAGETAAAMAAASIVFRRSNPSYANELLTHARQLFDFADRYRGKYDSSITVAQKYYRSVSGYNDELLWAAAWMYQATNNQYYLNYLGNNGDSMGGTGWGMTEFGWDVKYAGVQTLVAKFLMQGKAGHHAPVFEKYQQKAEYFMCSCLGKGSRNVQKTPGGLIFRQRWNNMQFVTSASFLTTVYSDYLASAGRNLNCAAGNVAPTQLLAFAKSQVDYILGDNPRATSYMVGYGNNYPRQVHHRGSSIVSYKVDPTFVTCRGGYATWFSRKGSDPNLLTGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGLLARLSGGHGGYNQLLPVVVPAPIEKKPAPQTKITPAPASTSAPVAIVQKTTATWIAKGKTYYRYSTIVTNKSAKELKDIKLSISKLYGPLWGLTKSGNFYAFPSWITSLAAGKSLEFVYVHSASAADVSVSSYTLA >Potri.004G034800.4.v4.1 pep chromosome:Pop_tri_v4:4:2709672:2727649:-1 gene:Potri.004G034800.v4.1 transcript:Potri.004G034800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034800.v4.1 MGLEALVWYCRPMANGVWAKEVDNAFGAYTPCAIDSIVICISHLVLLGLCLYRIWLIIDNNTKVQKYCLRTNYYNYMLGFLAAYCTVQPLLRLFMDVSIFNLDGQTSLAPFELVSLIVEALAWCSTLIMIGLETKIYIRQFRWYVRFGVIYVLVGEAAMLNLILSVSDYYSRFTLYMYISTVFCQVLLGILLLVYIPNLDPYPDYVMMESESLDNCEYEALPGREQICPERHANLFSRIFFGWLTPLMKQGHKRPITEKDVWKLDTWDQTETLIKKFQTCWVEESKRPKPRLLRALNNSLGGRFWLGGFFKIGYDLSQFVGPIVLSHLLQSMQRGDPAWIGYIYAFIIFLGVLFGALCESQFFQNVMRVGFQLRSTLVAAIFRKSLKLTHEGRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITISMVLLYQQLGVASLFGSLMLVLMVPTQTILMSKMRKLTKEGLHRTDKRVSLMNEILAAMDAVKCYAWEKSFQSRIQSVRDDELSWFRSAQLLSAFNSFILNSIPVIVTLVSFGTFTLLGGDLTPAKAFTSLSLFQVLRSPLNMLPNLLSQVVNANISLQRLEELFLAEERILAPNLPLKLGIPAISIENGNFLWDSKLEKPTLSDINLKIQVGSLVAIVGGTGEGKTSLISAMLGELPPMEDASVVIRGTVAYVPQVSWIFNATVRDNILFGSEYEPSRYWKAIDVTALQHDLDLLPGHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRQVFNSCIKDELQGKTRVLVTNQLHFLPQVDKIILLSEGMIKEEGTFEELSKNGKLFQKLMENAGKMDELVEEKNSENLDSKSSKPAANRGNDLPQKAGYKMKVKGGKSVLIKQEERETGVVSWNVLIRYNNALGGIWVVLIIFLCYLLTEVLRVSRSTWLSFWTNQSTLESYRPGYYIFVYALLSFGQVIVTLVNSYWLISSSLHAAKRLHDAMLDSILRAPMLFFHTNPSGRIINRFAKDLGEIDRNVANFANNFLNQAWQLFSTFVLIGIVSTISLWAVMPLLILFYSAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMAIINGKSMDNNIRFTLVNMSSNRWLTIRLVTLGGIMIWLIATFAVLGNGRTENHVEFASVMGLLLSYTLNITDLLSNVLRQASRAENSLNSVERVGTYMDLPSEAPAIVETNRPPPAWPSSGSIKFRDVVLRYRPELPPVLHHLSFEVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDVAKFGLTDLRKILSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDAIRNNSFGLDAEVFEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDALIQKTIREEFRSCTMLVIAHRLNTIIDCDRILVLEAGQVLEHGTPEELLLPNEGSAFSRMVQSTGPANAQYLYSLVFESKENKLSKRKNDHRWIDSSRWAAAAQLALVVSLTSSENGLPMLDVGDEDNILRKTKDAVIRLQDVLVGKYDEAICDTLQQSQVPQDGWWSAFYRMIEGLAVMGRLSRGRHQQYDYENEPLDWDDLKI >Potri.004G034800.1.v4.1 pep chromosome:Pop_tri_v4:4:2709685:2727645:-1 gene:Potri.004G034800.v4.1 transcript:Potri.004G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034800.v4.1 MGLEALVWYCRPMANGVWAKEVDNAFGAYTPCAIDSIVICISHLVLLGLCLYRIWLIIDNNTKVQKYCLRTNYYNYMLGFLAAYCTVQPLLRLFMDVSIFNLDGQTSLAPFELVSLIVEALAWCSTLIMIGLETKIYIRQFRWYVRFGVIYVLVGEAAMLNLILSVSDYYSRFTLYMYISTVFCQVLLGILLLVYIPNLDPYPDYVMMESESLDNCEYEALPGREQICPERHANLFSRIFFGWLTPLMKQGHKRPITEKDVWKLDTWDQTETLIKKFQTCWVEESKRPKPRLLRALNNSLGGRFWLGGFFKIGYDLSQFVGPIVLSHLLQSMQRGDPAWIGYIYAFIIFLGVLFGALCESQFFQNVMRVGFQLRSTLVAAIFRKSLKLTHEGRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITISMVLLYQQLGVASLFGSLMLVLMVPTQTILMSKMRKLTKEGLHRTDKRVSLMNEILAAMDAVKCYAWEKSFQSRIQSVRDDELSWFRSAQLLSAFNSFILNSIPVIVTLVSFGTFTLLGGDLTPAKAFTSLSLFQVLRSPLNMLPNLLSQVVNANISLQRLEELFLAEERILAPNLPLKLGIPAISIENGNFLWDSKLEKPTLSDINLKIQVGSLVAIVGGTGEGKTSLISAMLGELPPMEDASVVIRGTVAYVPQVSWIFNATVRDNILFGSEYEPSRYWKAIDVTALQHDLDLLPGHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRQVFNSCIKDELQGKTRVLVTNQLHFLPQVDKIILLSEGMIKEEGTFEELSKNGKLFQKLMENAGKMDELVEEKNSENLDSKSSKPAANRGNDLPQKAGYKMKVKGGKSVLIKQEERETGVVSWNVLIRYNNALGGIWVVLIIFLCYLLTEVLRVSRSTWLSFWTNQSTLESYRPGYYIFVYALLSFGQVIVTLVNSYWLISSSLHAAKRLHDAMLDSILRAPMLFFHTNPSGRIINRFAKDLGEIDRNVANFANNFLNQAWQLFSTFVLIGIVSTISLWAVMPLLILFYSAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMAIINGKSMDNNIRFTLVNMSSNRWLTIRLVTLGGIMIWLIATFAVLGNGRTENHVEFASVMGLLLSYTLNITDLLSNVLRQASRAENSLNSVERVGTYMDLPSEAPAIVETNRPPPAWPSSGSIKFRDVVLRYRPELPPVLHHLSFEVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDVAKFGLTDLRKILSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDAIRNNSFGLDAEVFEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDALIQKTIREEFRSCTMLVIAHRLNTIIDCDRILVLEAGQVLEHGTPEELLLPNEGSAFSRMVQSTGPANAQYLYSLVFESKENKLSKRKNDHRWIDSSRWAAAAQLALVVSLTSSENGLPMLDVGDEDNILRKTKDAVIRLQDVLVGKYDEAICDTLQQSQVPQDGWWSAFYRMIEGLAVMGRLSRGRHQQYDYENEPLDWDDLKI >Potri.004G034800.5.v4.1 pep chromosome:Pop_tri_v4:4:2709821:2728802:-1 gene:Potri.004G034800.v4.1 transcript:Potri.004G034800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034800.v4.1 MGLEALVWYCRPMANGVWAKEVDNAFGAYTPCAIDSIVICISHLVLLGLCLYRIWLIIDNNTKVQKYCLRTNYYNYMLGFLAAYCTVQPLLRLFMDVSIFNLDGQTSLAPFELVSLIVEALAWCSTLIMIGLETKIYIRQFRWYVRFGVIYVLVGEAAMLNLILSVSDYYSRFTLYMYISTVFCQVLLGILLLVYIPNLDPYPDYVMMESESLDNCEYEALPGREQICPERHANLFSRIFFGWLTPLMKQGHKRPITEKDVWKLDTWDQTETLIKKFQTCWVEESKRPKPRLLRALNNSLGGRFWLGGFFKSMQRGDPAWIGYIYAFIIFLGVLFGALCESQFFQNVMRVGFQLRSTLVAAIFRKSLKLTHEGRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITISMVLLYQQLGVASLFGSLMLVLMVPTQTILMSKMRKLTKEGLHRTDKRVSLMNEILAAMDAVKCYAWEKSFQSRIQSVRDDELSWFRSAQLLSAFNSFILNSIPVIVTLVSFGTFTLLGGDLTPAKAFTSLSLFQVLRSPLNMLPNLLSQVVNANISLQRLEELFLAEERILAPNLPLKLGIPAISIENGNFLWDSKLEKPTLSDINLKIQVGSLVAIVGGTGEGKTSLISAMLGELPPMEDASVVIRGTVAYVPQVSWIFNATVRDNILFGSEYEPSRYWKAIDVTALQHDLDLLPGHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRQVFNSCIKDELQGKTRVLVTNQLHFLPQVDKIILLSEGMIKEEGTFEELSKNGKLFQKLMENAGKMDELVEEKNSENLDSKSSKPAANRGNDLPQKAGYKMKVKGGKSVLIKQEERETGVVSWNVLIRYNNALGGIWVVLIIFLCYLLTEVLRVSRSTWLSFWTNQSTLESYRPGYYIFVYALLSFGQVIVTLVNSYWLISSSLHAAKRLHDAMLDSILRAPMLFFHTNPSGRIINRFAKDLGEIDRNVANFANNFLNQAWQLFSTFVLIGIVSTISLWAVMPLLILFYSAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMAIINGKSMDNNIRFTLVNMSSNRWLTIRLVTLGGIMIWLIATFAVLGNGRTENHVEFASVMGLLLSYTLNITDLLSNVLRQASRAENSLNSVERVGTYMDLPSEAPAIVETNRPPPAWPSSGSIKFRDVVLRYRPELPPVLHHLSFEVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDVAKFGLTDLRKILSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDAIRNNSFGLDAEVFEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDALIQKTIREEFRSCTMLVIAHRLNTIIDCDRILVLEAGQVLEHGTPEELLLPNEGSAFSRMVQSTGPANAQYLYSLVFESKENKLSKRKNDHRWIDSSRWAAAAQLALVVSLTSSENGLPMLDVGDEDNILRKTKDAVIRLQDVLVGKYDEAICDTLQQSQVPQDGWWSAFYRMIEGLAVMGRLSRGRHQQYDYENEPLDWDDLKI >Potri.004G034800.3.v4.1 pep chromosome:Pop_tri_v4:4:2709670:2728946:-1 gene:Potri.004G034800.v4.1 transcript:Potri.004G034800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034800.v4.1 MGLEALVWYCRPMANGVWAKEVDNAFGAYTPCAIDSIVICISHLVLLGLCLYRIWLIIDNNTKVQKYCLRTNYYNYMLGFLAAYCTVQPLLRLFMDVSIFNLDGQTSLAPFELVSLIVEALAWCSTLIMIGLETKIYIRQFRWYVRFGVIYVLVGEAAMLNLILSVSDYYSRFTLYMYISTVFCQVLLGILLLVYIPNLDPYPDYVMMESESLDNCEYEALPGREQICPERHANLFSRIFFGWLTPLMKQGHKRPITEKDVWKLDTWDQTETLIKKFQTCWVEESKRPKPRLLRALNNSLGGRFWLGGFFKIGYDLSQFVGPIVLSHLLQSMQRGDPAWIGYIYAFIIFLGVLFGALCESQFFQNVMRVGFQLRSTLVAAIFRKSLKLTHEGRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITISMVLLYQQLGVASLFGSLMLVLMVPTQTILMSKMRKLTKEGLHRTDKRVSLMNEILAAMDAVKCYAWEKSFQSRIQSVRDDELSWFRSAQLLSAFNSFILNSIPVIVTLVSFGTFTLLGGDLTPAKAFTSLSLFQVLRSPLNMLPNLLSQVVNANISLQRLEELFLAEERILAPNLPLKLGIPAISIENGNFLWDSKLEKPTLSDINLKIQVGSLVAIVGGTGEGKTSLISAMLGELPPMEDASVVIRGTVAYVPQVSWIFNATVRDNILFGSEYEPSRYWKAIDVTALQHDLDLLPGHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRQVFNSCIKDELQGKTRVLVTNQLHFLPQVDKIILLSEGMIKEEGTFEELSKNGKLFQKLMENAGKMDELVEEKNSENLDSKSSKPAANRGNDLPQKAGYKMKVKGGKSVLIKQEERETGVVSWNVLIRYNNALGGIWVVLIIFLCYLLTEVLRVSRSTWLSFWTNQSTLESYRPGYYIFVYALLSFGQVIVTLVNSYWLISSSLHAAKRLHDAMLDSILRAPMLFFHTNPSGRIINRFAKDLGEIDRNVANFANNFLNQAWQLFSTFVLIGIVSTISLWAVMPLLILFYSAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMAIINGKSMDNNIRFTLVNMSSNRWLTIRLVTLGGIMIWLIATFAVLGNGRTENHVEFASVMGLLLSYTLNITDLLSNVLRQASRAENSLNSVERVGTYMDLPSEAPAIVETNRPPPAWPSSGSIKFRDVVLRYRPELPPVLHHLSFEVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDVAKFGLTDLRKILSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDAIRNNSFGLDAEVFEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDALIQKTIREEFRSCTMLVIAHRLNTIIDCDRILVLEAGQVLEHGTPEELLLPNEGSAFSRMVQSTGPANAQYLYSLVFESKENKLSKRKNDHRWIDSSRWAAAAQLALVVSLTSSENGLPMLDVGDEDNILRKTKDAVIRLQDVLVGKYDEAICDTLQQSQVPQDGWWSAFYRMIEGLAVMGRLSRGRHQQYDYENEPLDWDDLKI >Potri.004G034800.2.v4.1 pep chromosome:Pop_tri_v4:4:2709664:2728802:-1 gene:Potri.004G034800.v4.1 transcript:Potri.004G034800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034800.v4.1 MGLEALVWYCRPMANGVWAKEVDNAFGAYTPCAIDSIVICISHLVLLGLCLYRIWLIIDNNTKVQKYCLRTNYYNYMLGFLAAYCTVQPLLRLFMDVSIFNLDGQTSLAPFELVSLIVEALAWCSTLIMIGLETKIYIRQFRWYVRFGVIYVLVGEAAMLNLILSVSDYYSRFTLYMYISTVFCQVLLGILLLVYIPNLDPYPDYVMMESESLDNCEYEALPGREQICPERHANLFSRIFFGWLTPLMKQGHKRPITEKDVWKLDTWDQTETLIKKFQTCWVEESKRPKPRLLRALNNSLGGRFWLGGFFKIGYDLSQFVGPIVLSHLLQSMQRGDPAWIGYIYAFIIFLGVLFGALCESQFFQNVMRVGFQLRSTLVAAIFRKSLKLTHEGRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITISMVLLYQQLGVASLFGSLMLVLMVPTQTILMSKMRKLTKEGLHRTDKRVSLMNEILAAMDAVKCYAWEKSFQSRIQSVRDDELSWFRSAQLLSAFNSFILNSIPVIVTLVSFGTFTLLGGDLTPAKAFTSLSLFQVLRSPLNMLPNLLSQVVNANISLQRLEELFLAEERILAPNLPLKLGIPAISIENGNFLWDSKLEKPTLSDINLKIQVGSLVAIVGGTGEGKTSLISAMLGELPPMEDASVVIRGTVAYVPQVSWIFNATVRDNILFGSEYEPSRYWKAIDVTALQHDLDLLPGHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRQVFNSCIKDELQGKTRVLVTNQLHFLPQVDKIILLSEGMIKEEGTFEELSKNGKLFQKLMENAGKMDELVEEKNSENLDSKSSKPAANRGNDLPQKAGYKMKVKGGKSVLIKQEERETGVVSWNVLIRYNNALGGIWVVLIIFLCYLLTEVLRVSRSTWLSFWTNQSTLESYRPGYYIFVYALLSFGQVIVTLVNSYWLISSSLHAAKRLHDAMLDSILRAPMLFFHTNPSGRIINRFAKDLGEIDRNVANFANNFLNQAWQLFSTFVLIGIVSTISLWAVMPLLILFYSAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMAIINGKSMDNNIRFTLVNMSSNRWLTIRLVTLGGIMIWLIATFAVLGNGRTENHVEFASVMGLLLSYTLNITDLLSNVLRQASRAENSLNSVERVGTYMDLPSEAPAIVETNRPPPAWPSSGSIKFRDVVLRYRPELPPVLHHLSFEVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDVAKFGLTDLRKILSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDAIRNNSFGLDAEVFEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDALIQKTIREEFRSCTMLVIAHRLNTIIDCDRILVLEAGQVLEHGTPEELLLPNEGSAFSRMVQSTGPANAQYLYSLVFESKENKLSKRKNDHRWIDSSRWAAAAQLALVVSLTSSENGLPMLDVGDEDNILRKTKDAVIRLQDVLVGKYDEAICDTLQQSQVPQDGWWSAFYRMIEGLAVMGRLSRGRHQQYDYENEPLDWDDLKI >Potri.001G451300.2.v4.1 pep chromosome:Pop_tri_v4:1:47731359:47733507:-1 gene:Potri.001G451300.v4.1 transcript:Potri.001G451300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G451300.v4.1 MPAAVLHVAEGVALPETKQLQPTYENPISTLPTVPEAELCDGSIPIIDLEALHGPRRSHIVKQLGHACQHKGFFAVKNHGIPKTAVNNIFDTTREFFHLPEEERMKFYTPDPNSDIRLMTAYKDEVENVFVARESLKFHCHPVEDYVNKWPTNPPSFRKKAAEYLTNVRRVEMTLLDAISESLGLERDYIEKRLGGHYVSLNYYRACEQSELELTYGVRGHTDPTIITMLLQDDVPGLQVLSEGKWMDVNPIPDTVVVHVGDLLQAISNHRYKSLLHQAIVNCEKERMSIASYCYPSSDATIGPPKKLIDTDHPAIYKDFTFGEFSKQMWKVITPTDKRLDFFKCSAA >Potri.017G032733.1.v4.1 pep chromosome:Pop_tri_v4:17:2243554:2254582:1 gene:Potri.017G032733.v4.1 transcript:Potri.017G032733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032733.v4.1 MEKQERICHVVVIPYPAQGHINPMIQFSKRLASKGLQVTLVIFSSQTLSTPASLGSVKVVTISDSSDTGSSSIGDLLKQFQATVAPKLPQLVVELGISSGHPVSCLVYDSFMPWVLEIARQLGLIGASFFTQSCAVNSVYYQIHEGQLKIPLEKFPVSVPGLPPLDVDELPSFVHDMESEYSSILTLVVNQFLNFRGPDWVFVNSFNSLEEEVVNCLASQRSIKPIGPMIPSVYLDRQLEDDTEYGLSLFKPALDGCMEWLDSKETGSVVYASFGSLAALGEEQMAEIAWGLRRSDCYFLWVVRESEEKKLPCNFVEGSSEKGLIVTWSPQLEVLSHKSVGCFMTHCGWNSTLEALSLGVPMVAVPQWTDQPTNAKYIADVWRVGVRVKANEKGIVTKEELEKCTREVMEGERGSEMRRNSEKWKKLAKTAMGEGGSSDKNITEFAAKIASKFNETTDSKA >Potri.019G026400.6.v4.1 pep chromosome:Pop_tri_v4:19:3820586:3825222:1 gene:Potri.019G026400.v4.1 transcript:Potri.019G026400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026400.v4.1 MRSVHFLLAFVLLTLASSIASASDPSPLQDFCVAINDPKAAVFVNGKFCKDPKMATANDFSFSGLNIPRNTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPENRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPDVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.019G026400.4.v4.1 pep chromosome:Pop_tri_v4:19:3816915:3825134:1 gene:Potri.019G026400.v4.1 transcript:Potri.019G026400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026400.v4.1 MLSIEEHELHTRCLIKCLMFVNGKFCKDPKMATANDFSFSGLNIPRNTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPENRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPDVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.019G026400.5.v4.1 pep chromosome:Pop_tri_v4:19:3816915:3825134:1 gene:Potri.019G026400.v4.1 transcript:Potri.019G026400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026400.v4.1 MLSIEEHELHTRCLIKYLMFVNGKFCKDPKMATANDFSFSGLNIPRNTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPENRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPDVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.018G045250.1.v4.1 pep chromosome:Pop_tri_v4:18:3849040:3849465:1 gene:Potri.018G045250.v4.1 transcript:Potri.018G045250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045250.v4.1 MAGGSNLFIFVATLFAVGVMLNSPKHTNAFWNPSKYPEPVPGYFKVLDRCEKPLATKFPSCSNATLAAIFKNKRIPNKCCPEVTKFSKFCYHALGLFVATTPNFVLTVPEFFERTEKVYDHCLRVVPSPSKPRRSRKRRTQ >Potri.009G078750.1.v4.1 pep chromosome:Pop_tri_v4:9:7572107:7572819:1 gene:Potri.009G078750.v4.1 transcript:Potri.009G078750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078750.v4.1 MVTSPPPPEPPHSLKPSAPFLPHPPKKSSFVLSHALKQPSLSNKHNHLSSHNYCSSTTTHQPTPPPSSSINTTQPCQHHLPTHILTEDSRQPTHLLTSSPAPIIHFLVALVMTHE >Potri.017G086500.1.v4.1 pep chromosome:Pop_tri_v4:17:9978479:9983588:1 gene:Potri.017G086500.v4.1 transcript:Potri.017G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086500.v4.1 MAVSSCPCPKLFVASSFECRSDSSSSADQPSQGRSNSARHGTTPFLSGAGMSSLILKFPPNFVRQLSTKARRNCSNIGVAQIVAASWSNNSAAGAPSAAAAAAAAAAASAIPAAEPAKTLAGNEVVGIDSSDNKNVSVVQLEDLSSDLEYKSFLSSDGSIAVHAGERLGRGIVTDAITTPVVNTSAYFFKKTQELIDFKEKRHASYEYGRYGNPTTQVLEDKISELEGAESTLILASGMCASTVLLLALVPAGGHLVTTTDCYRKTRIFMETFLPKMGITVTVIDPADVKALESALEINKVSLFFTESPTNPFLRCVDIELVSELCHRKGALVCIDGTFATPLNQKALALGADLILHSATKFIGGHNDVLGGCISGSTKVVSEIRNLHHVLGGTLNPNAAYLIIRGMKTLHLRVQQQNSTALRMAKILEAHPKVRCVYYPGLPSHPEHHIAKKQMTGFGGVVSFEVDGDLFITSKFVDALKIPYIAPSFGGCESIVDQPAIMSYWDLSRSEREKYGIKDNLVRFSFGVEDFEDLKADILQALETIESA >Potri.002G120600.1.v4.1 pep chromosome:Pop_tri_v4:2:9146475:9148193:-1 gene:Potri.002G120600.v4.1 transcript:Potri.002G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120600.v4.1 MSWWWSGAIGAAKKKTEDDEASRGYQSVALILGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRSRPNWNEDHPVEYIQCDISNTAETQSKLSKLTDVTHVFYVTWASKSTEEENCEINGLMFRNVLQAVIPNASNLRHVCLQTGGKHYAGPFALLGKNIEAHDSPFTEDLPRLRFPNFYYTLEDVMFEEVAKKEGVTWSVHRPGVIFGFSPYSLMNMIVTISVYAAICKHEGVPLIFRGSKEAWNSYSIASDADLIAEHEIWACVDPNAQNEAFNIQNGDLFKWKHLWTVLAEEFGIEKYGFEEGESSVTFAEKMKDKGPVWEEIVRENQLLPNKLEQVGGWWFADLMFSVPGSVICLNKSKEHGFLGFRNSKKSFVSWIDKMKAYKVVP >Potri.005G194350.5.v4.1 pep chromosome:Pop_tri_v4:5:20151695:20160498:-1 gene:Potri.005G194350.v4.1 transcript:Potri.005G194350.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194350.v4.1 MEEGEMIFHGMKRKQLQALCKKHGILANKSNAEMAHLLTLTLKANENPITQGYGEVPNESDSTNVPKKSKNVKFSPDVEVREYEPSVYKGRKRSLVDSGKVNGSLPRARNRVQRTVERHVDKVVLPVVGKKRGRGGEKKGSVGVENVDFSDKPQPPAITKDGGAQLVKGGDNLSRRQLKSREVVIEKNVEGGEGDLVVSRKNSKRGVSRKRGNSEGSALLDEVSLENVSANDDAKPANVPSRPRRSVRKNVSTSLLSVELGKSGIVGRTTRSRARVENTSVADNKAQTFEVHDECEKVLQTEEPLKGIGSYALGRKSFVPQKGVAVEILIDEGVESGKGNRRSRRNARNKEGTSLSSGDFSKTEIVGRTTRSHSKLEENTSSLTANKSETIERQGKCEKVVQDEELLRDLGRYTLRRKSMVPPKGVEVVTLPEEGLESVKDIRRSKRNMVKDTDSKSIMQVAISKRAKFGAQVAGNACAVESAVEVTKVNKEQNRAVQLGESLKAQGRNASRQKHVTAQKGKVESEGPEVKRETRKQPRNPYLKAVNEVEASVQSRGGIEKAAVPIGHLRRSRRNTVVSSSTFATDELGTVEAVGKVGQLKRKRNPMMEKDASAVVGECLVGKPSRQSTKRASKSDLVGYTFLDKTVEKKEQSISALPTMVEEAIFTEEMRNQDTGLILPEATGDKYNFSSNRWEEVFKTSDKKGSQSKTSELRRTSFFEVSALCSDIQEGIYRKTILQETSSPTSISLTPVSACANQETLKNASQPAVLNEEANIVVGDMEKLDVDDPSCRSTDDGADSGLEKGLERKELGEDLRLESRDVNDCSTEVDRTFALEDGICDLENTGQNITANNNEYEEAPCEDRASPAAGEEMVSLSTGINLQENGDVLTEAAEEKQNLISEGNSGDVSQVSRGTRIHELLDGEGTCLSVPEQGTDEATGIGKQRLSFSSGDASVGRNILHQDEKSTTKSNSSCKDGKHVLEEKEAASDALPQFSLKELQDKKEDNIAITRETEVTLHSDSDIVSTGVNASPMLSEELSCYNEMIAKINCSIDATVDAPISKFHETVMDMNGGRGMDEESDVEQCETKGEESGCAVVTEHGDDSGCQKVSAKVNANADSCLVHLGSEGTEGFEGKTFDMMMGRSSDFRVSSKEMVNGTNMAREQCAHVVRKETANDALLAELCDYSSGEEVAANLNGNADTSLTPAIYKEIEISGRKNVGSCENTSKSTKGNNMWTDHETLPVGSDSNAGTFAQGMDEHLDEEKTAEMSGGDLCDHISRDGEAADKKPLMVTTERLDMSDTQNEGTPQRNSPSRPSDLCDRIGGAGEAVDKESLMVTAERLDMSNTEDEGTAQSSGPVGLDCEGIGETSNVVMSTELEPGVEAGKFDNLKEGNALELERSTSIASENHISMDVIEKVEDDSKLKHSNIDDEKKYSIFALQHVTSKGIAEHSVEELLHENKDDCNVVSKDASKNIEADEAGSVAIGGKICFEKIDDSNNWTEGTPISLKQKLFAESSSGTCGMGSFTDAVSVLHVLLSQTTDHIPPQLLTGSDASASFTNWELNLIQGKTEQDQVESDEEALKDNLISNDSEHTTKVNKNVEEISFTNWEANLIQENGEQEQVEESDGEALKDSLINNDAEHTVWENKNVEEILFTNREVNLILGNGEQHQVEESDGVALKDNSIINDPLDEHGAETSISNNTFDDAPQDLKIDSISYPEIQNTCETGLGAIDKVSSVTPSKMGILEEVGIGGTLQPVIPVEASPETAKCTLQKTSLSCSKLEQNVSSEQLVFDDTYCSLPEITSVCFVVQKNGPVSCEGRFVPQLYCQDMSNYGGEETTCNNRGSNPTEQGEFHREDYEVLIAQNVGSEEVTEALNDATDDTSLETNRSDPREVALEQANEHPDEVNESALMIGLDCPPQNQQSCQRERESHAIETEAAHCLHKLDVGVFSKEIVDFGNGIVTSPFKCQRDVDSPAGSSFSASQHHITENDAWELNLFFGENEQDENQTSDTRFLLTNLKNEDAGKVEENIVVTEQATVQVAEEQQGELGQVMDEKIEEGKHTFFTILDELNFEDSEGSKKQDCVPLEVDSIQECINCENHENKSVNTEHSYDSAEKEIWIDVAEVNACHDAVSSEQRVECDPIYLKQHNNENLSGEDVGVTKDLSVDVSSKPDAPIWDQSPEITNSAISEIAVGDDQTRQMKLPSPQKTTSCAEDEESHSSDAKQLNTSMVKGKINKTGFVQATPRKMVTYTGMKENLASIKREQRGNMTAPNALSKRRALGNLRNN >Potri.005G194350.7.v4.1 pep chromosome:Pop_tri_v4:5:20151694:20160595:-1 gene:Potri.005G194350.v4.1 transcript:Potri.005G194350.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194350.v4.1 MEEGEMIFHGMKRKQLQALCKKHGILANKSNAEMAHLLTLTLKANENPITQGYGEVPNESDSTNVPKKSKNVKFSPDVEVREYEPSVYKGRKRSLVDSGKVNGSLPRARNRVQRTVERHVDKVVLPVVGKKRGRGGEKKGSVGVENVDFSDKPQPPAITKDGGAQLVKGGDNLSRRQLKSREVVIEKNVEGGEGDLVVSRKNSKRGVSRKRGNSEGSALLDEVSLENVSANDDAKPANVPSRPRRSVRKNVSTSLLSVELGKSGIVGRTTRSRARVENTSVADNKAQTFEVHDECEKVLQTEEPLKGIGSYALGRKSFVPQKGVAVEILIDEGVESGKGNRRSRRNARNKEGTSLSSGDFSKTEIVGRTTRSHSKLEENTSSLTANKSETIERQGKCEKVVQDEELLRDLGRYTLRRKSMVPPKGVEVVTLPEEGLESVKDIRRSKRNMVKDTDSKSIMQVAISKRAKFGAQVAGNACAVESAVEVTKVNKEQNRAVQLGESLKAQGRNASRQKHVTAQKGKVESEGPEVKRETRKQPRNPYLKAVNEVEASVQSRGGIEKAAVPIGHLRRSRRNTVVSSSTFATDELGTVEAVGKVGQLKRKRNPMMEKDASAVVGECLVGKPSRQSTKRASKSDLVGYTFLDKTVEKKEQSISALPTMVEEAIFTEEMRNQDTGLILPEATGDKYNFSSNRWEEVFKTSDKKGSQSKTSELRRTSFFEVSALCSDIQEGIYRKTILQETSSPTSISLTPVSACANQETLKNASQPAVLNEEANIVVGDMEKLDVDDPSCRSTDDGADSGLEKGLERKELGEDLRLESRDVNDCSTEVDRTFALEDGICDLENTGQNITANNNEYEEAPCEDRASPAAGEEMVSLSTGINLQENGDVLTEAAEEKQNLISEGNSGDVSQVSRGTRIHELLDGEGTCLSVPEQGTDEAATGIGKQRLSFSSGDASVGRNILHQDEKSTTKSNSSCKDGKHVLEEKEAASDALPQFSLKELQDKKEDNIAITRETEVTLHSDSDIVSTGVNASPMLSEELSCYNEMIAKINCSIDATVDAPISKFHETVMDMNGGRGMDEESDVEQCETKGEESGCAVVTEHGDDSGCQKVSAKVNANADSCLVHLGSEGTEGFEGKTFDMMMGRSSDFRVSSKEMVNGTNMAREQCAHVVRKETANDALLAELCDYSSGEEVAANLNGNADTSLTPAIYKEIEISGRKNVGSCENTSKSTKGNNMWTDHETLPVGSDSNAGTFAQGMDEHLDEEKTAEMSGGDLCDHISRDGEAADKKPLMVTTERLDMSDTQNEGTPQRNSPSRPSDLCDRIGGAGEAVDKESLMVTAERLDMSNTEDEGTAQSSGPVGLGKQFSDYGEFLKINDADRSAFTDIAFADCEGIGETSNVVMSTELEPGVEAGKFDNLKEGNALELERSTSIASENHISMDVIEKVEDDSKLKHSNIDDEKKYSIFALQHVTSKGIAEHSVEELLHENKDDCNVVSKDASKNIEADEAGSVAIGGKICFEKIDDSNNWTEGTPISLKQKLFAESSSGTCGMGSFTDAVSVLHVLLSQNHIPPQLLTGSDASASFTNWELNLIQGKTEQDQVESDEEALKDNLISNDSEHTTKVNKNVEEISFTNWEANLIQENGEQEQVEESDGEALKDSLINNDAEHTVWENKNVEEILFTNREVNLILGNGEQHQVEESDGVALKDNSIINDPLDEHGAETSISNNTFDDAPQDLKIDSISYPEIQNTCETGLGAIDKVSSVTPSKMGILEEVGIGGTLQPVIPVEASPETAKCTLQKTSLSCSKLEQNVSSEQLVFDDTYCSLPEITSVCFVVQKNGPVSCEGRFVPQLYCQDMSNYGGEETTCNNRGSNPTEQGEFHREDYEVLIAQNVGSEEVTEALNDATDDTSLETNRSDPREVALEQANEHPDEVNESALMIGLDCPPQNQQSCQRERESHAIETEAAHCLHKLDVGVFSKEIVDFGNGIVTSPFKCQRDVDSPAGSSFSASQHHITENDAWELNLFFGENEQDENQTSDTRFLLTNLKNEDAGKVEENIVVTEQATVQVAEEQQGELGQVMDEKIEEGKHTFFTILDELNFEDSEGSKKQDCVPLEVDSIQECINCENHENKSVNTEHSYDSAEKEIWIDVAEVNACHDAVSSEQRVECDPIYLKQHNNENLSGEDVGVTKDLSVDVSSKPDAPIWDQSPEITNSAISEIAVGDDQTRQMKLPSPQKTTSCAEDEESHSSDAKQLNTSMVKGKINKTGFVQATPRKMVTYTGMKENLASIKREQRGNMTAPNALSKRRALGNLRNN >Potri.005G194350.8.v4.1 pep chromosome:Pop_tri_v4:5:20151697:20160577:-1 gene:Potri.005G194350.v4.1 transcript:Potri.005G194350.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194350.v4.1 MEEGEMIFHGMKRKQLQALCKKHGILANKSNAEMAHLLTLTLKANENPITQGYGEVPNESDSTNVPKKSKNVKFSPDVEVREYEPSVYKGRKRSLVDSGKVNGSLPRARNRVQRTVERHVDKVVLPVVGKKRGRGGEKKGSVGVENVDFSDKPQPPAITKDGGAQLVKGGDNLSRRQLKSREVVIEKNVEGGEGDLVVSRKNSKRGVSRKRGNSEGSALLDEVSLENVSANDDAKPANVPSRPRRSVRKNVSTSLLSVELGKSGIVGRTTRSRARVENTSVADNKAQTFEVHDECEKVLQTEEPLKGIGSYALGRKSFVPQKGVAVEILIDEGVESGKGNRRSRRNARNKEGTSLSSGDFSKTEIVGRTTRSHSKLEENTSSLTANKSETIERQGKCEKVVQDEELLRDLGRYTLRRKSMVPPKGVEVVTLPEEGLESVKDIRRSKRNMVKDTDSKSIMQVAISKRAKFGAQVAGNACAVESAVEVTKVNKEQNRAVQLGESLKAQGRNASRQKHVTAQKGKVESEGPEVKRETRKQPRNPYLKAVNEVEASVQSRGGIEKAAVPIGHLRRSRRNTVVSSSTFATDELGTVEAVGKVGQLKRKRNPMMEKDASAVVGECLVGKPSRQSTKRASKSDLVGYTFLDKTVEKKEQSISALPTMVEEAIFTEEMRNQDTGLILPEATGDKYNFSSNRWEEVFKTSDKKGSQSKTSELRRTSFFEVSALCSDIQEGIYRKTILQETSSPTSISLTPVSACANQETLKNASQPAVLNEEANIVVGDMEKLDVDDPSCRSTDDGADSGLEKGLERKELGEDLRLESRDVNDCSTEVDRTFALEDGICDLENTGQNITANNNEYEEAPCEDRASPAAGEEMVSLSTGINLQENGDVLTEAAEEKQNLISEGNSGDVSQVSRGTRIHELLDGEGTCLSVPEQGTDEATGIGKQRLSFSSGDASVGRNILHQDEKSTTKSNSSCKDGKHVLEEKEAASDALPQFSLKELQDKKEDNIAITRETEVTLHSDSDIVSTGVNASPMLSEELSCYNEMIAKINCSIDATVDAPISKFHETVMDMNGGRGMDEESDVEQCETKGEESGCAVVTEHGDDSGCQKVSAKVNANADSCLVHLGSEGTEGFEGKTFDMMMGRSSDFRVSSKEMVNGTNMAREQCAHVVRKETANDALLAELCDYSSGEEVAANLNGNADTSLTPAIYKEIEISGRKNVGSCENTSKSTKGNNMWTDHETLPVGSDSNAGTFAQGMDEHLDEEKTAEMSGGDLCDHISRDGEAADKKPLMVTTERLDMSDTQNEGTPQRNSPSRPSDLCDRIGGAGEAVDKESLMVTAERLDMSNTEDEGTAQSSGPVGLDCEGIGETSNVVMSTELEPGVEAGKFDNLKEGNALELERSTSIASENHISMDVIEKVEDDSKLKHSNIDDEKKYSIFALQHVTSKGIAEHSVEELLHENKDDCNVVSKDASKNIEADEAGSVAIGGKICFEKIDDSNNWTEGTPISLKQKLFAESSSGTCGMGSFTDAVSVLHVLLSQNHIPPQLLTGSDASASFTNWELNLIQGKTEQDQVESDEEALKDNLISNDSEHTTKVNKNVEEISFTNWEANLIQENGEQEQVEESDGEALKDSLINNDAEHTVWENKNVEEILFTNREVNLILGNGEQHQVEESDGVALKDNSIINDPLDEHGAETSISNNTFDDAPQDLKIDSISYPEIQNTCETGLGAIDKVSSVTPSKMGILEEVGIGGTLQPVIPVEASPETAKCTLQKTSLSCSKLEQNVSSEQLVFDDTYCSLPEITSVCFVVQKNGPVSCGRFVPQLYCQDMSNYGGEETTCNNRGSNPTEQGEFHREDYEVLIAQNVGSEEVTEALNDATDDTSLETNRSDPREVALEQANEHPDEVNESALMIGLDCPPQNQQSCQRERESHAIETEAAHCLHKLDVGVFSKEIVDFGNGIVTSPFKCQRDVDSPAGSSFSASQHHITENDAWELNLFFGENEQDENQTSDTRFLLTNLKNEDAGKVEENIVVTEQATVQVAEEQQGELGQVMDEKIEEGKHTFFTILDELNFEDSEGSKKQDCVPLEVDSIQECINCENHENKSVNTEHSYDSAEKEIWIDVAEVNACHDAVSSEQRVECDPIYLKQHNNENLSGEDVGVTKDLSVDVSSKPDAPIWDQSPEITNSAISEIAVGDDQTRQMKLPSPQKTTSCAEDEESHSSDAKQLNTSMVKGKINKTGFVQATPRKMVTYTGMKENLASIKREQRGNMTAPNALSKRRALGNLRNN >Potri.006G271480.2.v4.1 pep chromosome:Pop_tri_v4:6:26432093:26435779:-1 gene:Potri.006G271480.v4.1 transcript:Potri.006G271480.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G271480.v4.1 MQSITVRLEEWRLKRRDTEEWMRHRQLPQSLREHVRRFVQYKWLATRGVDEESILRALPMDLRRDIQRHLCLDLVRRVPFFSQMDDQLLDAICERLVSCLSTQGNYIVRESDPVTEMLFIIRGKLESSTTNGGRTGFFNSITLRPGDFCGEELLAWSLLPKSTLNLPSSTRTVRALEEVEAFALRAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRSWAACFIQAAWRRHKKRMMAKSLSMSESFSVSVDEQTVCDETTLEEDEPSFAPSNSQAKQHLGVTILASRFAANTRRGAQKVKNVEMLKLQKPEEPDFSTEPDDD >Potri.009G044100.1.v4.1 pep chromosome:Pop_tri_v4:9:5061725:5064693:-1 gene:Potri.009G044100.v4.1 transcript:Potri.009G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044100.v4.1 MEGGGEGGGGGRFSHQSLQNNRSIYQPTPPLTATDRFLFCQSHYTPQNIENDDKSKETLVSINGLCGFSPPSGAIGAVPWQSFSETSFVDGLFVDGDSLNRAYDGNPNGGLSGEVNNVSGKSCKGMGKKTKKGSCATLIKGQWTEEEDRKLIRLVKQFGVRKWAQIAERVAGRAGKQCRERWHNHLRPDIKKDSWSEEEEIILVEAHTKVGNRWAEIAKLIPGRTENAIKNHWNATKRRQNSRRKHKQTESQSGKPQPSILQDYIRSKNLKNASTSVTSTQSTTTNTPSSSISEDPSSQFNYFLPELSESASDDSPSLLAQSCNDDELLFIQNFFGNKSKEPSSTDKVATENPTMEVDNFNADSFNDSLDSSGLYQNSGCQQLKDLSDNDFDISTLNPKLCTNGFQAVTDQQTPTYNHLHSDLYLSYLLNGASNAPGSSYTEYGYSSTNMDMEMDQTHPLNGKKEMDLIEMISSSQFSQGIDNI >Potri.007G061621.1.v4.1 pep chromosome:Pop_tri_v4:7:6866762:6867917:1 gene:Potri.007G061621.v4.1 transcript:Potri.007G061621.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061621.v4.1 MLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAVAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDIFSDTAIQLQPVFAQWIQNTHALAPGATASTSLTWGGDDLVAVGGDGCFVTYSIRNRGFLFWYIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPTWKRGDKVSAWDHVFLGLFWMYNAISSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKFKVAPATQPRASRIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >Potri.002G062500.1.v4.1 pep chromosome:Pop_tri_v4:2:4295260:4297404:-1 gene:Potri.002G062500.v4.1 transcript:Potri.002G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPNDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTARDYNIEGGSVLHLVLALRGGCL >Potri.008G036300.8.v4.1 pep chromosome:Pop_tri_v4:8:2001668:2007754:1 gene:Potri.008G036300.v4.1 transcript:Potri.008G036300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036300.v4.1 MKNSVSDQSFYIESEEEDEEKELGRNGQGEEDNNESDSDNSLADDNRQQSKTGLYNTSWPQSYRQSIDLYSSVPSPNLTFLGTPTLSRLGSSFLSSSLTRRYTPESLPSVVKPLLQKPEEEQLPPQRRSSRSLLAPITSRRSSVIRKDEKPSQVSHELPMSRQSSFGQAVINGLNVLCGVGILSTPYAAKEGGWLGLIILLVFAVLSFYTGMLLRYCLDSEPGLETYPDIGQAAFGTTGRFVISIILYVELYACCVEYIILEGDNLSSLFPNAHISLGGFEMDSHHLFALMTTLAVLPTVWLRDLSVLSYISAGGVVASVLVVLSLFWVGLVDNVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPSRFPTVLLACFSICTSMYAGVAYMGYTMFGESTETQFTLNLPQDLVVSKVAVWTTVVNPFTKYALTMSPVAMSLEELIPSNHMKSHMYAICIRTALVFSTLLVGLAIPFFGLVMSLIGSLLTMLVTLILPCACFLSIVRGKATRFQGVVCIIIIAVGIVSSAFGTHSALSKIIENLSS >Potri.008G036300.7.v4.1 pep chromosome:Pop_tri_v4:8:2001668:2007749:1 gene:Potri.008G036300.v4.1 transcript:Potri.008G036300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036300.v4.1 MKNSVSDQSFYIESEEEDEEKELGRNGQGEEDNNESDSDNSLADDNRQQSKTGLYNTSWPQSYRQSIDLYSSVPSPNLTFLGTPTLSRLGSSFLSSSLTRRYTPESLPSVVKPLLQKPEEEQLPPQRRSSRSLLAPITSRRSSVIRKDEKPSQVSHELPMSRQSSFGQAVINGLNVLCGVGILSTPYAAKEGGWLGLIILLVFAVLSFYTGMLLRYCLDSEPGLETYPDIGQAAFGTTGRFVISIILYVELYACCVEYIILEGDNLSSLFPNAHISLGGFEMDSHHLFALMTTLAVLPTVWLRDLSVLSYISAGGVVASVLVVLSLFWVGLVDNVGIHSKGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPSRFPTVLLACFSICTSMYAGVAYMGYTMFGESTETQFTLNLPQDLVVSKVAVWTTVVNPFTKYALTMSPVAMSLEELIPSNHMKSHMYAICIRTALVFSTLLVGLAIPFFGLVMSLIGSLLTMLVTLILPCACFLSIVRGKATRFQGVVCIIIIAVGIVSSAFGTHSALSKIIENLSS >Potri.012G059200.2.v4.1 pep chromosome:Pop_tri_v4:12:5857533:5861262:1 gene:Potri.012G059200.v4.1 transcript:Potri.012G059200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059200.v4.1 MDFGVRKKDQTGSVSGRAAANQMEWTVNNAFKTYKDMDHPKSMMDVALIQNVDPVDIGLGSSEKGTIVVPTKRKKTMTSVYLKFFETAPDGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDSVTSSAPQPITVVKKAQQQGKQQMDYDHINWLLVKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGERYKVKIREVFRSMQEDVMATLEKVSSKVSIILDFWSSYEQIFYMSVTCQWIDENWSFQQVLLDICQIPYPCGGSEIYHSLEKVLKMYNIESRVLSCTHDNSQNAIHACHTLKEELDGQKLGMFCYIPCAARTLNLIIEDGLRTTKPVISKVREFVLELNSSAKMSEDFIQLTAAYQEGSWKFPLETSARWSGNYQMLDIVCKAGKSMDGVMRKYEETIVGRTVLSPAEKNAVSIVHKYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITLCKDSRLSSDWLKNAAEDMATKSRSYTTQVGNIFIFMTAILDPRIKCELIPESLSSGNYLEEARTLFIRNYSSSHFSSMTSGYGAQEIEDGGGVSFAEEIARKKRRVSLSNATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQPTSVAPEDLFCSKGDEIDKQRFCMPHDSTQAILCIRSWMQGGIKLKCKSDEIDYERLMEMAGATTAENTVGLDKKQR >Potri.012G059200.8.v4.1 pep chromosome:Pop_tri_v4:12:5857537:5861122:1 gene:Potri.012G059200.v4.1 transcript:Potri.012G059200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059200.v4.1 MDYDHINWLLVKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGERYKVKIREVFRSMQEDVMATLEKVSSKVSIILDFWSSYEQIFYMSVTCQWIDENWSFQQVLLDICQIPYPCGGSEIYHSLEKVLKMYNIESRVLSCTHDNSQNAIHACHTLKEELDGQKLGMFCYIPCAARTLNLIIEDGLRTTKPVISKVREFVLELNSSAKMSEDFIQLTAAYQEGSWKFPLETSARWSGNYQMLDIVCKAGKSMDGVMRKYEETIVGRTVLSPAEKNAVSIVHKYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITLCKDSRLSSDWLKNAAEDMATKSRSYTTQVGNIFIFMTAILDPRIKCELIPESLSSGNYLEEARTLFIRNYSSSHFSSMTSGYGAQEIEDGGGVSFAEEIARKKRRVSLSNATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQPTSVAPEDLFCSKGDEIDKQRFCMPHDSTQAILCIRSWMQGGIKLKCKSDEIDYERLMEMAGATTAENTVGLDKKQR >Potri.012G059200.6.v4.1 pep chromosome:Pop_tri_v4:12:5857529:5861164:1 gene:Potri.012G059200.v4.1 transcript:Potri.012G059200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059200.v4.1 MEWTVNNAFKTYKDMDHPKSMMDVALIQNVDPVDIGLGSSEKGTIVVPTKRKKTMTSVYLKFFETAPDGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDSVTSSAPQPITVVKKAQQQGKQQMDYDHINWLLVKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGERYKVKIREVFRSMQEDVMATLEKVSSKVSIILDFWSSYEQIFYMSVTCQWIDENWSFQQVLLDICQIPYPCGGSEIYHSLEKVLKMYNIESRVLSCTHDNSQNAIHACHTLKEELDGQKLGMFCYIPCAARTLNLIIEDGLRTTKPVISKVREFVLELNSSAKMSEDFIQLTAAYQEGSWKFPLETSARWSGNYQMLDIVCKAGKSMDGVMRKYEETIVGRTVLSPAEKNAVSIVHKYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITLCKDSRLSSDWLKNAAEDMATKSRSYTTQVGNIFIFMTAILDPRIKCELIPESLSSGNYLEEARTLFIRNYSSSHFSSMTSGYGAQEIEDGGGVSFAEEIARKKRRVSLSNATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQPTSVAPEDLFCSKGDEIDKQRFCMPHDSTQAILCIRSWMQGGIKLKCKSDEIDYERLMEMAGATTAENTVGLDKKQR >Potri.012G059200.7.v4.1 pep chromosome:Pop_tri_v4:12:5858353:5861315:1 gene:Potri.012G059200.v4.1 transcript:Potri.012G059200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059200.v4.1 MDYDHINWLLVKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGERYKVKIREVFRSMQEDVMATLEKVSSKVSIILDFWSSYEQIFYMSVTCQWIDENWSFQQVLLDICQIPYPCGGSEIYHSLEKVLKMYNIESRVLSCTHDNSQNAIHACHTLKEELDGQKLGMFCYIPCAARTLNLIIEDGLRTTKPVISKVREFVLELNSSAKMSEDFIQLTAAYQEGSWKFPLETSARWSGNYQMLDIVCKAGKSMDGVMRKYEETIVGRTVLSPAEKNAVSIVHKYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITLCKDSRLSSDWLKNAAEDMATKSRSYTTQVGNIFIFMTAILDPRIKCELIPESLSSGNYLEEARTLFIRNYSSSHFSSMTSGYGAQEIEDGGGVSFAEEIARKKRRVSLSNATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQPTSVAPEDLFCSKGDEIDKQRFCMPHDSTQAILCIRSWMQGGIKLKCKSDEIDYERLMEMAGATTAENTVGLDKKQR >Potri.012G059200.3.v4.1 pep chromosome:Pop_tri_v4:12:5857529:5861124:1 gene:Potri.012G059200.v4.1 transcript:Potri.012G059200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059200.v4.1 MEWTVNNAFKTYKDMDHPKSMMDVALIQNVDPVDIGLGSSEKGTIVVPTKRKKTMTSVYLKFFETAPDGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDSVTSSAPQPITVVKKAQQQGKQQMDYDHINWLLVKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGERYKVKIREVFRSMQEDVMATLEKVSSKVSIILDFWSSYEQIFYMSVTCQWIDENWSFQQVLLDICQIPYPCGGSEIYHSLEKVLKMYNIESRVLSCTHDNSQNAIHACHTLKEELDGQKLGMFCYIPCAARTLNLIIEDGLRTTKPVISKVREFVLELNSSAKMSEDFIQLTAAYQEGSWKFPLETSARWSGNYQMLDIVCKAGKSMDGVMRKYEETIVGRTVLSPAEKNAVSIVHKYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITLCKDSRLSSDWLKNAAEDMATKSRSYTTQVGNIFIFMTAILDPRIKCELIPESLSSGNYLEEARTLFIRNYSSSHFSSMTSGYGAQEIEDGGGVSFAEEIARKKRRVSLSNATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQPTSVAPEDLFCSKGDEIDKQRFCMPHDSTQAILCIRSWMQGGIKLKCKSDEIDYERLMEMAGATTAENTVGLDKKQR >Potri.012G059200.5.v4.1 pep chromosome:Pop_tri_v4:12:5857541:5861259:1 gene:Potri.012G059200.v4.1 transcript:Potri.012G059200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059200.v4.1 MEWTVNNAFKTYKDMDHPKSMMDVALIQNVDPVDIGLGSSEKGTIVVPTKRKKTMTSVYLKFFETAPDGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDSVTSSAPQPITVVKKAQQQGKQQMDYDHINWLLVKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGERYKVKIREVFRSMQEDVMATLEKVSSKVSIILDFWSSYEQIFYMSVTCQWIDENWSFQQVLLDICQIPYPCGGSEIYHSLEKVLKMYNIESRVLSCTHDNSQNAIHACHTLKEELDGQKLGMFCYIPCAARTLNLIIEDGLRTTKPVISKVREFVLELNSSAKMSEDFIQLTAAYQEGSWKFPLETSARWSGNYQMLDIVCKAGKSMDGVMRKYEETIVGRTVLSPAEKNAVSIVHKYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITLCKDSRLSSDWLKNAAEDMATKSRSYTTQVGNIFIFMTAILDPRIKCELIPESLSSGNYLEEARTLFIRNYSSSHFSSMTSGYGAQEIEDGGGVSFAEEIARKKRRVSLSNATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQPTSVAPEDLFCSKGDEIDKQRFCMPHDSTQAILCIRSWMQGGIKLKCKSDEIDYERLMEMAGATTAENTVGLDKKQR >Potri.012G059200.1.v4.1 pep chromosome:Pop_tri_v4:12:5857445:5861315:1 gene:Potri.012G059200.v4.1 transcript:Potri.012G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059200.v4.1 MDFGTGSVSGRAAANQMEWTVNNAFKTYKDMDHPKSMMDVALIQNVDPVDIGLGSSEKGTIVVPTKRKKTMTSVYLKFFETAPDGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDSVTSSAPQPITVVKKAQQQGKQQMDYDHINWLLVKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGERYKVKIREVFRSMQEDVMATLEKVSSKVSIILDFWSSYEQIFYMSVTCQWIDENWSFQQVLLDICQIPYPCGGSEIYHSLEKVLKMYNIESRVLSCTHDNSQNAIHACHTLKEELDGQKLGMFCYIPCAARTLNLIIEDGLRTTKPVISKVREFVLELNSSAKMSEDFIQLTAAYQEGSWKFPLETSARWSGNYQMLDIVCKAGKSMDGVMRKYEETIVGRTVLSPAEKNAVSIVHKYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITLCKDSRLSSDWLKNAAEDMATKSRSYTTQVGNIFIFMTAILDPRIKCELIPESLSSGNYLEEARTLFIRNYSSSHFSSMTSGYGAQEIEDGGGVSFAEEIARKKRRVSLSNATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQPTSVAPEDLFCSKGDEIDKQRFCMPHDSTQAILCIRSWMQGGIKLKCKSDEIDYERLMEMAGATTAENTVGLDKKQR >Potri.012G059200.4.v4.1 pep chromosome:Pop_tri_v4:12:5857529:5861279:1 gene:Potri.012G059200.v4.1 transcript:Potri.012G059200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059200.v4.1 MDFGTGSVSGRAAANQMEWTVNNAFKTYKAVACGVDMDHPKSMMDVALIQNVDPVDIGLGSSEKGTIVVPTKRKKTMTSVYLKFFETAPDGKSRRCKFCGQSYSIATATGNLGRHLSNRHPGYDKSGDSVTSSAPQPITVVKKAQQQGKQQMDYDHINWLLVKWLILASLPPSTLEEKWLANSFKFLNPSIQLWPGERYKVKIREVFRSMQEDVMATLEKVSSKVSIILDFWSSYEQIFYMSVTCQWIDENWSFQQVLLDICQIPYPCGGSEIYHSLEKVLKMYNIESRVLSCTHDNSQNAIHACHTLKEELDGQKLGMFCYIPCAARTLNLIIEDGLRTTKPVISKVREFVLELNSSAKMSEDFIQLTAAYQEGSWKFPLETSARWSGNYQMLDIVCKAGKSMDGVMRKYEETIVGRTVLSPAEKNAVSIVHKYLEPFYKTTNNICTNKLLTIGLVLFFMDHISEMITLCKDSRLSSDWLKNAAEDMATKSRSYTTQVGNIFIFMTAILDPRIKCELIPESLSSGNYLEEARTLFIRNYSSSHFSSMTSGYGAQEIEDGGGVSFAEEIARKKRRVSLSNATDELTQYLSEPPAPIPTDVLEWWKVNSTRYPRLSVMARDFLAVQPTSVAPEDLFCSKGDEIDKQRFCMPHDSTQAILCIRSWMQGGIKLKCKSDEIDYERLMEMAGATTAENTVGLDKKQR >Potri.007G081900.1.v4.1 pep chromosome:Pop_tri_v4:7:10637983:10650659:-1 gene:Potri.007G081900.v4.1 transcript:Potri.007G081900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081900.v4.1 MEEEGKRVGGLSSGLAVLLKGEDRKEDSWKTRLVSSCDDFGNQPVERALEYIFGLSNKSLGPLTGPVDTKLVRSILKNEFSKFCIKSGDLVDSRDGIHISKDGCESQVVGLEEVSICGDIRIIKHPLHVESLAMFSSARSNACVWKGKWMYEVLLETCGVQQLGWATRSCPFTDHKGVGDADDSYAFDGKRVSKWNKDAEPYGQPWVVGDVIGCCINLDHDEILFYRNGVSLGVAFRGIRKMGPGSGYYPAISLSQGELCELNFGARPFKYPIQGFLPLKAPPSANLLAKQLLQCLSRLSDVQGAGWAESSLVGKLRRLKRFVSLDEVFYPVCQGICEEFFSVLEGDSGSTEFVAWGPLLSFMMEVFRVQAPHDCSGLDKFIDVFLEFQESRLMFEHIINALSSGCKTASLVLTECPYSGSYSYLAMVCHILQRKELMVLWWKSADFELLFEGFLSQKSPNKQDLQCMMPSVWWPGSGDDISNDGRSMMLTTTALSEAIKKIEEKHRDLCLLVMQFVPPTTPAQLPGSVLRTFLQNILLKNRGADCNAPPPGVSSNSVLISLYSVILHFLSEGFAMRDICGWLKRCEPNGLDVGFLHRGGEQSFPVDIFLKNDPHRTDISRLGGSFSHISKSHPAHDQEAEVIQWEEGCMDDEETRVTHKTTPKPCCCSSYEIELSKISKHQIRYNTKDSRVHCSGIPDRSAYVAAECSEGSLNDEIADKPSTSDQSESDFGYCPVRDIRIVHRESDMSSATLREEELLDTLLLLYHIGVAPKFKQASYYMSHQAQSISLLEETDKQIRERACCEKLRRLKEARNEYREEVMDCVRHCAWYRISLFSQWKQRGMYATCMWIVQLLLVLSRVDSLFIYIPEFYLETLVDCFHVLRKSDPPFVPPAIFIKQGLASFVTFVVSHFNDPRILSADLKDLLLQSISVLVQYKEYLTVFESNEAATQRMPKALLSAFDNRSWISVTNILLRLCKGSRFSSSKHGESSSSSSFVFQNLLREACINDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKYQVLEFQQRKCGVIFDLSCNLAKVLEFYTREIPQAFLSGTETNLRRLTELIVFILNHVTSTADAEFFDLSLRRHGHSPEKVNRGMILAPLVGIILNLLDARVGTECGQQNDVVGVFASMDCPDAVHCGFQYLLEYNWTRSARGDAYSGKLQQLESFLSLLVSRIELQQIERTKHEEETEADDNTCCICYSCKADARFAPCSHRSCHGCITRHLLNCHRCFFCNATVLEVIKIDESRA >Potri.001G471000.1.v4.1 pep chromosome:Pop_tri_v4:1:49423720:49429550:1 gene:Potri.001G471000.v4.1 transcript:Potri.001G471000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471000.v4.1 MTTTGGVGVGVGAGRFMAYSPSPSAPHSPHISGLRSSAASSALVVEQEKYLSELLAERHKISPFLPVLPNTYRLLNQEILRVTMLLGNASVLGQSGLEHASPLASGGIFSNGAADANGWASRFQSEMSGILQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEGELPVEIVDARLMQAREILEDLLRPVDESQDYYKKQQLRELALLNGTFREEGSPMSGSVSPFNNSLGMKRAKTRG >Potri.001G471000.2.v4.1 pep chromosome:Pop_tri_v4:1:49427887:49429081:1 gene:Potri.001G471000.v4.1 transcript:Potri.001G471000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471000.v4.1 MQMSGILQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEGELPVEIVDARLMQAREILEDLLRPVDESQDYYKKQQLRELALLNGTFREEGSPMSGSVSPFNNSLGMKRAKTRG >Potri.001G471000.3.v4.1 pep chromosome:Pop_tri_v4:1:49426918:49429081:1 gene:Potri.001G471000.v4.1 transcript:Potri.001G471000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471000.v4.1 MLLGNASVLGQSGLEHASPLASGGIFSNGAADANGWASRFQSEMSGILQPSSAQNWLSSQGSSSGLIVKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPAREDMMRGKPGYEHLNEPLHILVEGELPVEIVDARLMQAREILEDLLRPVDESQDYYKKQQLRELALLNGTFREEGSPMSGSVSPFNNSLGMKRAKTRG >Potri.010G078700.1.v4.1 pep chromosome:Pop_tri_v4:10:10529155:10532877:-1 gene:Potri.010G078700.v4.1 transcript:Potri.010G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078700.v4.1 MTSKSHLVFSLLFFIYYSTILHHLQAQPSTQGFTCTANQSSFPCQTYAFYRATAPNFLDLSSIGDLFSVSRLMISKPSNISSPASPLIPNQPLFVPLSCSCNTMNGTSISFANITYTIKPNDTFYLVSTEYFGNLTTYQSVQLVNPTLIPTLLQIGVEVIFPIFCKCPNQTQLQNKVNYLVSYVFQPSDNLSSVASTFGVETQSIVDANGNNIQPFDTIFIPVNQLPQLAQPTVFPSLAPSGKTQRKGLIIGLAVGLGIAGLLLVLVSGVCFFRDGVLKKRRDFERDDQEKQRMQFNGGRKGLKDIEVNLMADVSDCLDKYRVFKIDELKEATDEFGENCLIEGSVFKGSINGETYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDPEDANCYLVYEYIDNGSLHSWLHGNEKEKLSWKTRLRIAIDVANGLQYIHEHTRPRVVHKDIRSSNILLDSSMRAKIANFGLAKSGYNAITMHIVGTQGYIAPEYLADGVVSTRMDVFSFGVVLLELISGKEAIDEEGKVLWAEASGILQGNVEERKVKRLTPWMDKVLLEQSCLMESVMNAMVVAIACLHRDPSKRPSMVDIVYALCKTDDLFFDISEDVLSDPQVMAR >Potri.008G003800.3.v4.1 pep chromosome:Pop_tri_v4:8:146056:153046:1 gene:Potri.008G003800.v4.1 transcript:Potri.008G003800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003800.v4.1 MAIAAAAAATMITSSSKFLRFGVQCSDNSSPRRGFGSKSDNNTNNKKVRSSSSREEKEAPGLSSRFDGKSSRNSADTDFEERLQAVRRSALEQKKTEAIKEFGPIDYDEPVKTENKTIGLGTKIGVGVAVLVFGLVFALGDFLPSGSDGPTEEATVVNKKLSEEEQNTLRARLKQYELTLSTAPKDSIALEGAAVTLAELGEYTRAASLLQDLAKEKPGDPDVFRLLGEIKYELKDYDGSAAAYRISAAVSKNVDFEVLRGHANALLAAKKPDEAVQVLLASRAKLNSGKSSSVDIKVDGNGMEIESQEVDPIQVDLLLGKAYSDWGHVSDAVSVYDQLISSHPDDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKVLVDRYARK >Potri.008G003800.2.v4.1 pep chromosome:Pop_tri_v4:8:146016:152993:1 gene:Potri.008G003800.v4.1 transcript:Potri.008G003800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003800.v4.1 MAIAAAAAATMITSSSKFLRFGVQCSDNSSPRRGFGSKSDNNTNNKKVRSSSSREEKGMALQQRKSTTKQSEAPGLSSRFDGKSSRNSADTDFEERLQAVRRSALEQKKTEAIKEFGPIDYDEPVKTENKTIGLGTKIGVGVAVLVFGLVFALGDFLPSGSDGPTEEATVVNKKLSEEEQNTLRARLKQYELTLSTAPKDSIALEGAAVTLAELGEYTRAASLLQDLAKEKPGDPDVFRLLGEIKYELKDYDGSAAAYRISAAVSKNVDFEVLRGHANALLAAKKPDEAVQVLLASRAKLNSGKSSSVDIKVDGNGMEIESQEVDPIQVDLLLGKAYSDWGHVSDAVSVYDQLISSHPDDFRGYLAKGIILKENGNVGDAERMFIQARFFAPEKAKVLVDRYARK >Potri.018G004701.2.v4.1 pep chromosome:Pop_tri_v4:18:363121:364269:1 gene:Potri.018G004701.v4.1 transcript:Potri.018G004701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004701.v4.1 MAPRKKAEETKSTTSEKLATRRSARMTRSTDKRFNAKLTELPTESGKKRKQQRVRRRSTVRSFLIGGNR >Potri.018G004701.1.v4.1 pep chromosome:Pop_tri_v4:18:363121:364269:1 gene:Potri.018G004701.v4.1 transcript:Potri.018G004701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004701.v4.1 MAPRKKAEETKSTTSEKLATRRSARMTRSTDKRFNAKLTELPTESGKKRKQQRVRRRSTVRSFLIGGNR >Potri.002G061300.1.v4.1 pep chromosome:Pop_tri_v4:2:4239667:4243040:-1 gene:Potri.002G061300.v4.1 transcript:Potri.002G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061300.v4.1 MTVNADSCFGADDKEWPPGFRFHPTDEELVVYYLKRKICKKRLKLNIIREVDVYKWDPEELPGQSILKTGDRQWFFFSPRDRKYPNGARTNRATRQGYWKATGKDRIVVCNSRNVGVKKTLVFYRGRAPNGDRTDWVMHEYSLDEEELKRCSNVQDYYALYKVYKKSGAGPKNGEHYGAPFKEEDWADDEFQCVNGMFTPDIPVKKHNEVTLVDNFIQSAQLEPPLNDFEEIIKQIGEEPAHNELQNNDFTYLLPQVCVMVTGEEEAQSTLVDPSFREFVCEPAGELTTSGQHCNKHTSFNFDQSGTATLQLHEAPEVTSGTNYEQAPQLNEEDFLEINDLIDPEPSFSNTEQPVENLQFDDFDGLSEFDLYHDAAMFLRDMGPVDQEAVSHSYMHPYGCDMVNQVGYQLQPDSIINAVDYQLQQSNLVANQVDCDLQPQFFDAEQMNNQLWVHGQRSNMLAASESHNGNLFQPTPGVVCESSNNSTRTNGNQGGKEGDAADGWFSSALWGFVESIPTTPASASENPLVNKAFERMSSFSRIKMNVKSINVDAASRIRMNVNSINVVAANGAASVRSASRNKGFVLLSIVGVLCAILWVFVGSGRLLGRSISS >Potri.002G061300.5.v4.1 pep chromosome:Pop_tri_v4:2:4239669:4243005:-1 gene:Potri.002G061300.v4.1 transcript:Potri.002G061300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061300.v4.1 MTVNADSCFGADDKEWPPGFRFHPTDEELVVYYLKRKICKKRLKLNIIREVDVYKWDPEELPGQSILKTGDRQWFFFSPRDRKYPNGARTNRATRQGYWKATGKDRIVVCNSRNVGVKKTLVFYRGRAPNGDRTDWVMHEYSLDEEELKRCSNVQDYYALYKVYKKSGAGPKNGEHYGAPFKEEDWADDEFQCVNGMFTPDIPVKKHNEVTLVDNFIQSAQLEPPLNDFEEIIKQIGEEPAHNELQNNDFTYLLPQVCVMVISSTFHPFLSL >Potri.002G061300.2.v4.1 pep chromosome:Pop_tri_v4:2:4239668:4243040:-1 gene:Potri.002G061300.v4.1 transcript:Potri.002G061300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061300.v4.1 MTVNADSCFGADDKEWPPGFRFHPTDEELVVYYLKRKICKKRLKLNIIREVDVYKWDPEELPGQSILKTGDRQWFFFSPRDRKYPNGARTNRATRQGYWKATGKDRIVVCNSRNVGVKKTLVFYRGRAPNGDRTDWVMHEYSLDEEELKRCSNVQDYYALYKVYKKSGAGPKNGEHYGAPFKEEDWADDEFQCVNGMFTPDIPVKKHNEVTLVDNFIQSAQLEPPLNDFEEIIKQIGEEPAHNELQNNDFTYLLPQVTGEEEAQSTLVDPSFREFVCEPAGELTTSGQHCNKHTSFNFDQSGTATLQLHEAPEVTSGTNYEQAPQLNEEDFLEINDLIDPEPSFSNTEQPVENLQFDDFDGLSEFDLYHDAAMFLRDMGPVDQEAVSHSYMHPYGCDMVNQVGYQLQPDSIINAVDYQLQQSNLVANQVDCDLQPQFFDAEQMNNQLWVHGQRSNMLAASESHNGNLFQPTPGVVCESSNNSTRTNGNQGGKEGDAADGWFSSALWGFVESIPTTPASASENPLVNKAFERMSSFSRIKMNVKSINVDAASRIRMNVNSINVVAANGAASVRSASRNKGFVLLSIVGVLCAILWVFVGSGRLLGRSISS >Potri.005G188100.1.v4.1 pep chromosome:Pop_tri_v4:5:19563776:19565621:-1 gene:Potri.005G188100.v4.1 transcript:Potri.005G188100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188100.v4.1 MGSLGEEELVEMVRDYMESDQSTTPVSLRTSKALPRKSQSSLQDIILEAKDTETRVLDKVLMYVRGMGEPSSLKKWVVMRLQMDGYEASLCKTSWASTFGHRVFHFTGDYEYIDVMIMDTNISNKATRLILDMDFRSQFELARPTQTYKELINTLPSVFIGTEERLDKIISLLCSAAKESFKEKGLHTPPWRKAKYMQSKWLSKNCKKVAVMHSPELVDLDAREEGNSTACCSSIF >Potri.012G017200.1.v4.1 pep chromosome:Pop_tri_v4:12:1914171:1919408:1 gene:Potri.012G017200.v4.1 transcript:Potri.012G017200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017200.v4.1 MDRSRSKRNYYYDHQDYDNDNLNNNNMTRTTKPRYNNNNNNNYYRHRGQGGNNMFNNNNNRFSRTQQQQQPQQQQQQQDQSSSLMVTTSYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPEGRMPSFSPAQEALFLIHDRILENDLQYGVVSSGVGGLEEDEYVGRGGNRVATRLVVSRMHVGCLLGKGGKIIEQMRMETKTQIRILPRDHTLPRCVSMSEEIVQVVGDVSAVKNAVAIISSRLRESQHRDRSHFHGRVHSPERLFDDDYAPHMNTRRSSMDGPPSFGSRVPGSNYRNNNYSSRASGFAADAGADPIADSAQPFYVEDLVFRILCPIDKLNRVVGESDGIVDLLQNEIGVDVKVADPVSGSDEQIITIFSEEGPDDELFPAQEALLHIQTRIVDLVPDNDHITTTRLLVRSSEIGCLEGRDASLSEIERLTGATIEILPKEKLPSYLSGIDEIVQIEGEIRAARDALVEVTSRLRSYIYKDVFEKDLPPPLSAPGLAGGMQAASPSPTPAREGPIGGDPPASYQNVQSAATPQPSKDARGSSVEAVKQNESERREELPVTTMNRIPVTLVTRSTLEVVIPEPAVPKLITKSKNKLAQISELSGANVTLVEDRPDVKEKIIKISGTPEQAERAQSLLQGFILSTQEDGP >Potri.018G032900.1.v4.1 pep chromosome:Pop_tri_v4:18:2423211:2430737:1 gene:Potri.018G032900.v4.1 transcript:Potri.018G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032900.v4.1 MDGGGDIYRVSSARLSSSSNIWRNSTLDVFSRSSRDEDDEEALKWAAIEKLPTCLRMRRGILTEEEGQAREIDIASLGLIEKRNLVERLVKIAEEDNERFLLKLKERIHRVGLDIPTIEVRFEHLSIEAEAYVGGRALPTIFNFSANMLEGFLSFLHILPSRKQPFPILHDLSGIIKPRRMTLLLGPPSSGKTTLLLALAGKLGKDLKSSGSVTYNGHGMAEFVPQRTSAYISQYDLHIGEMTVRETLSFSARCQGVGPRYEMLTELSRREREANIKPDPDIDIFMKAAALEGQETTVTTDYILKILGLDICADTMVGDEMIRGISGGQKKRLTTGEMLVGPARALFMDEISTGLDSSTTFQIANSLRQTTHILNGTTFISLLQPAPETYDLFDDIILLSEGLIIYQGPRENVLEFFESLGFKCPERKGVADFLQEVTSRKDQEQYWACRDQPYSFVSAKEFSEAFQSFHIGRKLGDELATPFDKSKSHPAALTTEKYGVSKKELLKACISREFLLMKRNSFVYIFKFTQLIILASITMTIFLRTEMHRNTIVDGGIYLGALFFAIIVIMFNGFSELAMTIMKLPIFYKQRDLLFYPPWAYAIPTWILKIPITFVEVAIWTIMTYYVIGFDPNIGRFFKQYLIFVLANQMSSGLFRMTGALGRNIIVANTFGSFAFLAVLVLGGFILSRDNVKPWWIWGYWVSPLMYVQNAASVNEFLGHSWRHIPPNSTESLGVVVLKSRGIFPEAHWYWIGIGALIGYTLLFNFLFTLALKYLNPFGKPQAMLSKEALAERNANRTGELIELSTRGKSSSVRGIDSRRSSSARPPSLRMHSFGDASQNKRGMVLPFQPLSITFDEIRYSVDMPQEMKAQGILEDRLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLSGRKTGGYIEGRISISGYPKNQQTFARISGYCEQMDIHSPHVTVYESLVYSAWLRLSPDVDSETRKMFIEEVVELVELNPLREALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLLKRGGEEIYVGPVGRHACHLIKYLEEIEGVPKIKDGHNPATWMLEVTSAAQEALLGVDFTDIYKNSELFRRNKALIKELSSPPPGSNDLYFPTQYSHSFFTQCMACLWKQHWSYWRNPPYTAVRLLFTTFIALMFGTIFWDMGSKRRNRQDIFNSMGSMYAAVLFIGVQNATSVQPVVAIERTVFYRERAAGMYSALPYAFAQVMIEIPYVLVQTLIYGVIVYTMIGFDWTVSKFFWYIFFMYFTLLYMTFYGMMTVAVTPNHNVAAIVSSAFYAIWNLFSGFIVPRTRIPIWWRWYFWACPISWTLYGLIASQYGDIKDKLEGDETVEDFVRNYFGFRHDFVGTCAIVIVGICVLFAFTFAFSIRAFNFQRR >Potri.006G039100.3.v4.1 pep chromosome:Pop_tri_v4:6:2595558:2597333:1 gene:Potri.006G039100.v4.1 transcript:Potri.006G039100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039100.v4.1 MPHRTRPMTALLVFTGLNVILVSTITPVYDFVCFLPHWERRRERHRQDRQADALTKGSR >Potri.010G085400.1.v4.1 pep chromosome:Pop_tri_v4:10:11193815:11195403:1 gene:Potri.010G085400.v4.1 transcript:Potri.010G085400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085400.v4.1 MAHTAMSMGLTMVLVTMLWAGAMAQSDCTNVLISMSPCLNYITGNSSTPSSQCCTQLASVVRSSPQCLCQVLNGGGSSLGIEVNKTQAIALPGACNVQTPPISSCNGASPAASPAGTPEASSTPSGTASKTVPSTQTDGTSGGSSLDFSISLLFFLLFAASYGSTFTVIF >Potri.001G396700.1.v4.1 pep chromosome:Pop_tri_v4:1:42209604:42213345:1 gene:Potri.001G396700.v4.1 transcript:Potri.001G396700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396700.v4.1 MMVTTAIHCLTLPCMPRNPSLTTNLSLSSHLKLATSRPLSNLLSHGLFSKGFLSINTIERSIRQSVVCMARRYGANTRKRKIQSRRKGGDREKKKKRRRKAARKNKDSFKIIRLVSAAGTGYVYAKRKGKRSEKLEIKKYDPVVKHHVFFKESK >Potri.001G396700.2.v4.1 pep chromosome:Pop_tri_v4:1:42209604:42213345:1 gene:Potri.001G396700.v4.1 transcript:Potri.001G396700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396700.v4.1 MVSSPKVPNRAKCIWETFFPKVMGFCQFSGFLSINTIERSIRQSVVCMARRYGANTRKRKIQSRRKGGDREKKKKRRRKAARKNKDSFKIIRLVSAAGTGYVYAKRKGKRSEKLEIKKYDPVVKHHVFFKESK >Potri.019G058300.1.v4.1 pep chromosome:Pop_tri_v4:19:9767673:9771850:-1 gene:Potri.019G058300.v4.1 transcript:Potri.019G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058300.v4.1 MSTEEISLVSPQNGINSQLLFQDDPLRFSCTGPPPQQQQQRRVGDPKTRELTGFIDDNKLFSATTTATATSTAGSDRYFPSHHHHHHQDFPRNVYQREPQGTSGDDTDGEDDEDDEEDDEEEVDDVDGGDGDHENGVDVLVAAIDCNSKNTNCNNNNCSGTISNASNSVHLDKIGNGNAKPKHLSSFGISGEMVKDGIGGGGGLGQTGNNAVTIAEADGEMYYSQYLQGTGGSGAGGKDMCVENGCGFSGRKDVSAFSSESGDSLRAILSDPVTGALMDDAMILPCGHSFGAGGMQHVIRMKVCYSCSQSISEDSIAPNLSLRYAVQAFQREEELQFYRSSKRRRERFDQDKGGYGDSGVMDPPRGRGVQFPFAVTDRVIIKGNKRTPQRFVGREAVVTTQCLNGWYVVKTLDNAESVKLQYRSLAKLSDDPSSKTISTKIAPNWL >Potri.001G040600.2.v4.1 pep chromosome:Pop_tri_v4:1:2861136:2862087:-1 gene:Potri.001G040600.v4.1 transcript:Potri.001G040600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040600.v4.1 MAYKYFLSPIILLPLPTLFQLARAVAPIAKPGCQDHCGNISIPYPFGTTKDCYLEESFEIVCDEAASPPRVFIRKTNMDVLNIGDGAAVVKGPIMSSNCSGRQSGPPVNLEGSPFFFSFGNLFIAVGCNIRALLTEIGPQVSGCDSTCVPYYNHTTIIFGQEINSLCSGKRCCVARAPYRMQVFNPSLETKNESQDSSGCKLTFLADQEWVAFSNINNPQVFHDREYVPVVLAWVMDYKLWKYDPKTMSCKYSLLEHSLTLAYECSCGKGYEGNPYLGCVGKVTV >Potri.001G118000.1.v4.1 pep chromosome:Pop_tri_v4:1:9591378:9598227:1 gene:Potri.001G118000.v4.1 transcript:Potri.001G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118000.v4.1 MKGVFSAPGDYVYFKSQVPLHKIPIGTKQWRYYDFGPKVVPPLICLPGIAGTADVYYKQIMALSLKGYRVISVDTPRVWNHHEWIQAFEKFLDVIDVHHIHLYGTSLGGFLAQLFAQHRPRRVRSLILSNTFLETRSFAAAMPWAPVVGWTPSFLLKRYVLTGIRDGPHEPFIADSVDFVVSQVETLSRDELASRLTLNVDAASVGSLLLSDSFITIMDTNDYCAIPQQLKDQLSERYPEARRAQLKTGGDFPFLSRSDEVNLHLQLHLRRVGVEARPDLVRGIPNDGTGGSYSESEDGKGDRDDQPKDDRGNSESPSRESELSPAPESSESHGLDEQLLNNAKYSFNGQQERLRLCELLSKQQNIASELHSRFTLEIFLQYLLFIRVGSLYIISKLFPETLENWCRVDICTAVHVVV >Potri.004G085100.1.v4.1 pep chromosome:Pop_tri_v4:4:7064507:7065085:-1 gene:Potri.004G085100.v4.1 transcript:Potri.004G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085100.v4.1 MPSITPFNIFMEKKKPKGGGSTDDLALVKAAAWAWYHHGSGSEGRPICEFDVTRTRQAPRPSRYKLEATRIMKADAMGSGSETPSPIRTDNSLLDKYEVESISKQLDYLIESSSNRFYGFKIDHLDHDQRSISSLDSDQTGGMKQKKKDKKKKTFLQGFWPRHSVVCGTKGDVDTRALVRCGSGSGRKVIFR >Potri.001G452500.1.v4.1 pep chromosome:Pop_tri_v4:1:47952496:47952675:-1 gene:Potri.001G452500.v4.1 transcript:Potri.001G452500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452500.v4.1 MILVAIVAELMEEYMAFLTRVLEHVFNDAPFPRRVRFLILRNLPFASSSHRPPLRRASS >Potri.001G452500.2.v4.1 pep chromosome:Pop_tri_v4:1:47950814:47952989:-1 gene:Potri.001G452500.v4.1 transcript:Potri.001G452500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452500.v4.1 MILVAIVAELMEEYMAFLTRVLEHVFNDAPFPRRIMFLVCHNNDDKDMQPSKFPSAYLILSRFLCTCKYSLLDMLFLAAF >Potri.004G112900.1.v4.1 pep chromosome:Pop_tri_v4:4:10355011:10359370:-1 gene:Potri.004G112900.v4.1 transcript:Potri.004G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G112900.v4.1 MVKSAKSQLDEEDEPEDYDSSSYKGEVLKPESKSSEPKANANRSKHSETEQRRRSKINERFQALRNLIPQNDQKRDKASFLLEVIEYIQFLQEKLQVYEGSYEGWSQEPAKLLPWKIDRASAESLLDHTQVMKNGSAHENSVMLANVHNSIESDMGTAAMYKALDHPHGPTNPAIPFDVQTPSNVFAAVGRGGLPTQSLQESVSDVENMAYQLQSQLLHGRPCATECSTPNNTLNGQEDLASDSLSVNISNAYSQQILNTLTQALQSSGVDLAQTSIGVQIDVSKRENSTTAVAPSSKVNQYLSNQLLVQDGVGSSAENSEQAHKRPRREKC >Potri.010G219300.1.v4.1 pep chromosome:Pop_tri_v4:10:20502893:20504014:1 gene:Potri.010G219300.v4.1 transcript:Potri.010G219300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219300.v4.1 MENTTIIYHREGGLEPEQDLSFGDLLSSLDEEPERDTYYHDDQEQRNSFSSQDFFEFSPPDDQSTETNPPRDIIFFGRNILHETKQSVNSPNPFIHGTNSHNPFSRSPHLLNRSRRSHSLHIETSKPSQAPISTGSFRDQYSSNNSRKHKVLIGLAKIPAKMELSDIKKRQSRQAPAPMIPAAELAGDEKRLFMGIDRSGKSCQKGRGEFAKPLK >Potri.009G064800.1.v4.1 pep chromosome:Pop_tri_v4:9:6551810:6555040:-1 gene:Potri.009G064800.v4.1 transcript:Potri.009G064800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064800.v4.1 MWAIGLVVVALVVVYYSHLISKWKNPKIDGVLPPGSMGWPLIGETLQFIIPGRSLDLHPFVKKRMQKYGPIFKTSLVGRPIIVSTDYEMNKYILQHEGTLVELWYLDSFAKVFALEGETRVNAIGKVHRYLRSITLNHFGVESLKESLLPKIEDMLHTSLAKWATQGPVDVKQVISVMVFNFTANKIFGYDAENSREKLSENYTRILNSFISLPLNIPGTSFHQCMQDREKMLKMLKNTLMERLNDPSKRRGDFLDQAIDDMETEKFLTVDFIPQLMFGILFASFESMSTTLTLTFKFLSENPRVVEELAAEHDAIVKNRENPNSRLTWEEYRSMTFTQMVVNETLRISNIPPGLFRKALKDFQVKGYTVPAGWTVMIVTPAIQLNPETFKDPVTFNPWRWKELDQVTISKNFMPFGGGTRQCAGAEYSKLVLSTFLHILVTKYRFTKVKGGDVSRTPIISFGDGIQIKFTAKN >Potri.008G191400.1.v4.1 pep chromosome:Pop_tri_v4:8:13442380:13454924:1 gene:Potri.008G191400.v4.1 transcript:Potri.008G191400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191400.v4.1 MSGGWERVRGSRSRPTRDSSSGLRMPSRNVTLGRVQPQAPGHRTIYCNDRDANLPVRFKGNSISTTKYNFFTFFPKGLFEQFRRVANCYFLMISILSTTPISPVNPVTNVVPLTLVLLVSLIKEAFEDWKRFQNDMVINNTLIDVLQDEKWVAVPWKKLQVGDIIRVKQDGFFPADLLFLASTNADGVCYVETANLDGETNLKIRKALERTWDYLTPEKAAEFKGEVQCEQPNNSLYTFTGNLMFQKQTLPLSPNQILLRGCSLRNTEYIVGAVVFTGHETKVMMNSMNVPSKRSTLERKLDKLILALFGTLFMMCLIGAIGSGIFINRKYYYLGLDKGVAAEFNPSNRFVVAALTFFTLITLYSTIIPISLYVSIEMIKFIQSTQFINKDLHMYHAETNTPALARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGSGVTEIEQGGAQRNGIKVQELRKSTPAIQEKGFNFDDHRLMRGAWRNEPNSDSCKEFFRCLAICHTVLPEGDESPEKITYQAASPDEAALVTAAKNFGFFFYRRTPTMIYVRESHVEKMGKIQDVAYEILNVLEFNSTRKRQSVVCRYPNGRLVLYCKGADTVIYERLAGGNDDLKKVTRAHLEQFGSAGLRTLCLAYRDLSPETYESWNEKFIQAKSSLRDRETKLDEVAELIEKDLILIGSTAIEDKLQEGVPACIETLSRAGIKVWMLTGDKMETAINIAYACNLINNEMKQFIISSETDAIREVENRGDQVESARFIKEEVKKELKKYLEEAQHYLHSAPGPKLTLVIDGKCLMYALDPTLRVMLLNLSLNCTSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGIGISGMEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYREGIRNVFFKWRVVVTWACFSVYQSLVFYHFVIASSASGKNSSGRMLGQWDISTMAFTCVVITVNLRILMICNSITRWHYISVGGSILAWFMFIFVYSVLRENVFFVIYVLMSTFYFYLTVFLVPIVALFGDFIYQGIQRWFFPYDYQIVQEIHRHELEDNTSAGLLDVGSQLTPQEEMSYAIAQLPREISRHTGFAFESPGYESFFAAQQGIQAPQKPWDVARRASMKSKRKMPKRN >Potri.001G027900.1.v4.1 pep chromosome:Pop_tri_v4:1:2105996:2110828:-1 gene:Potri.001G027900.v4.1 transcript:Potri.001G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027900.v4.1 MSIENVGIIKAWEAKLRKSQATKKKKNNSVFLTMMSAAVAHVDDDDPPCKEPVHHAEKALCNGDFYTGLWLDNLPHGHGKYLWTDGCMYLGEWYKGKTMGKGKFGWFSGATYEGDFKGGYMDGRGTFTGSSGDAYRGYWVMNLRHGQGTQSYANGDCYDGDWRRGSQDGHGRYQWNTSNRYIGQWKSGLMNGNGTMIWSNGNRYDGFWQDGLPKGNGSFRWSDGNFYVGFWSKDPNEQNGTYYPSGSASGNLDWDPQEVFLDLNDCKISTCEKMSIFPSQKMLNWSGVLDQGNANPVKGNGGEGRLRRISVDGRLSNYSVASLDGCDVYSGGVDGDLRDVDEGFGNLQVEELDPKISKWRTQPVKKQGETISKGHKNYELMLNLQLGIRHSVGRPAPAISLDLKSSAFDPKEKVWTKFPSEGSKHTPPHQSSEFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTIKKAEVKVFLRMLPAYYNHVRSFENTLVTKFYGLHCVKLTGPNQKKVRFVIMGNLFCSEFSIHRRFDLKGSSHGRMTSKPESEIDPTTTLKDLDLNYIFRLQKSWFQEFCRQVDRDCDFLEQERIMDYSLLVGLHFREASYRESLTPPRTSGVRTPTGIRTPAGVQTPNGLRTPTGLHSPTGMGDETESGAPRLSRVDLDKLFIDPTRWASIKLGINMPARVEKTARRRDGEAQLIGEPTGVLYEVVLFFGIIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFIFRVFAEDA >Potri.010G025700.3.v4.1 pep chromosome:Pop_tri_v4:10:3725450:3729920:1 gene:Potri.010G025700.v4.1 transcript:Potri.010G025700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025700.v4.1 MHWRIVVAQNGDIQQLLWIEKTQSWFLYETENINNCARYKLCGANGICSIDNSPVCDCLNGFVPRVPRDWERTDWSSGCIRKTALNCSGDGFRKVSGVKLPETRQSWFNKSMSLEECRNTCLKNCSCTAYANMDIRNGGSGCLLWFNDLIDILFQDEKDTIFIRMAASELPGNGDSEKVNTKSNAKKRIVVSTVLSTGLVFLGLALVLLLHVWRKQQQKKRNLPSGSNNKDMKEELELPFFNMDELASATNNFSDANKVGAGGFGPVYKGTLADGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDEKMLVYEFLPNKSLDFYIFDETHSLLLDWRQRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEASTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYRNRGFSHPDHHLNLIGHAWILFKQGRSLELVGESKVETPYLSEVLRSIHVGLLCVQENTEDRPNMSYVVLMLGNEDELPQPKQPGFFTERDLIEACYSSSQCKPPSANECSISLLEAR >Potri.001G316500.1.v4.1 pep chromosome:Pop_tri_v4:1:32699491:32703155:1 gene:Potri.001G316500.v4.1 transcript:Potri.001G316500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G316500.v4.1 MKSLHALFKPPSSPTKTTTSSSSTATTASKTRKPATSSSSSNAPIPSPNPPEITTTISKTSENKPKSSLSALFIPPTTPTEAHFISLIHGSKTILQLHQIHAQIIIHNLSSSSLITTQLISSSSLRKSINHSLAVFNHHKPKNLFTFNALIRGLTTNSHFFNAIFHFRLMLRSGIKPDRLTYPFVLKSMAGLFSTELGMAIHCMILRCGIELDSFVRVSLVDMYVKVEKLGSAFKVFDESPERFDSGSSALLWNVLIKGCCKAGSMKKAVKLFKAMPKKENVSWSTLIDGFAKNGDMDRAMELFDQMPEKNVVSWTTMVDGFSRNGDSEKALSMFSKMLEEGVRPNAFTIVSALSACAKIGGLEAGLRIHKYIKDNGLHLTEALGTALVDMYAKCGNIESASEVFGETEQKSIRTWTVMIWGWAIHGHSEQAIACFKQMMFAGIKPDEVVFLALLTACMHSGQVDIGLNFFDSMRLDYCIEPSMKHYTLIVDMLGRSGQLKEALRFIERMPMNPDFVIWGALFCACRAHKKTKMAKFALNKLLKLEPTHTGNYIFLSNAYAALGQWEDAERVRVLMQNRGVHKNSGWSCIEVEGQVHRFVSGDHDHKDSKAICLKLEEIMAGAVKQGYIPGTEWVLHNMEQEEKEDVLGSHGEKLALAFALICTSPGMTIRIVKNLQVCGDCHSLMKYASKISQREIMLRDMKRFHHFKDGSCSCRDHW >Potri.001G023600.1.v4.1 pep chromosome:Pop_tri_v4:1:1813662:1814480:-1 gene:Potri.001G023600.v4.1 transcript:Potri.001G023600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023600.v4.1 MAYFLVQILVLLYFTVFLTFCSTTNGKFSEKSPMIISTKRMEKMTRLRFYFHDIPSGKNPTNMRIAGPEKTTADSFGSTFMVDSPLTEEPEPNSKLVGRAQGVYAFASQHDAGLLMVLNFAFLEGTYNGSALSILGRSPVLDNVREMPIVGGSGLFRFARGYSLSKTISSSQKSRNVVVEYNVTVVHF >Potri.011G125600.2.v4.1 pep chromosome:Pop_tri_v4:11:15751627:15753540:1 gene:Potri.011G125600.v4.1 transcript:Potri.011G125600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125600.v4.1 MATPLSLFLMPNNDNKQEPTQTMAISTKPAEPARRSTATNQSKRPTTSKCQTRKKQCQRGMGVAQLESLRIQERWKAITEANQNIGALNLQPTQQLHDHYPSNYNTDNNNQMLQYGTTVNRGVPMSSNGGVFNGFLGWDHQGGVVVKRVDGFNVNNNDGFGSGQVFVNPYLVGSVPVHQVGAPAPVFEASKELSSIPKVMQHQQYEPSRCDLCFKMGGFSARAARSAPYANHNHNNNEGTSEVMAVHRKGNNPLGRNVIMEYEFFPGKNGENTCFKEMEFPPPEASVAVGTGEAFCVTTYSDYSGYSASNASNSIDLSLKLSY >Potri.014G035950.1.v4.1 pep chromosome:Pop_tri_v4:14:2266736:2267016:-1 gene:Potri.014G035950.v4.1 transcript:Potri.014G035950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035950.v4.1 MIWSLVSLLRCNSLMKKQRSKTDTQAKPGAKLRCGEGEQLPPLIFRTSPISEPKSRYSYKDEILSLK >Potri.001G113566.1.v4.1 pep chromosome:Pop_tri_v4:1:9206394:9206735:-1 gene:Potri.001G113566.v4.1 transcript:Potri.001G113566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113566.v4.1 MDFCHKAPKIPSSLHTPHSPNFLALQKILEKLDLWNRNDYWGSSFTDEKVPRPPAKWKGKCNFNGTVCNNKLIGARDSISSKAAPSFDDEGHGTHTASTAAGNFVNDANVRQR >Potri.006G126300.10.v4.1 pep chromosome:Pop_tri_v4:6:10127898:10135437:1 gene:Potri.006G126300.v4.1 transcript:Potri.006G126300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126300.v4.1 MPRMDGLDREAHFPKKFASMKCQRVKVDDSFAPALEDEAIEVQHLVAEPKTDHVSVDGVLCLGKENSSKCSETDGFSYGFNDGMRKNIGGLHSNITQGPDDLDLGVLDGFLDEVDEVDDIHAANDFSGAYEDFILDIELAEKVSYLDYTPRGGSRLRNSSSESQSPGCSGSSNGAVGMSESSTATIPKFESKNGWHQKTVKCKLRCSSGDKWNSQEPGEDSMCPTSDDIEDLDELDDDAKPLISLVSGKNAKKVVQAAKAGTSVRQKRLRKPTKRYIEELSDPKAKHVMERKSYLSATLKDKRPKIRSHDELHSGGALTCTPKEPFSENITQATSKGTDEPLHLRSKPVSERRTNPSFISKGRRWSIRSQNEPYQVRAVKFAPKELSLTGPSAQAPFESRPRRGRPKKSLPLSFLESEDDESEDDCVKKRTCKKSNDRRKHQRMWTTPEVMKLIDGIAQYGTGRWTDIKKLMFSSTAYRTPIDLRDKWRNLLRASGAQKRKSNKKEVKEKLKDVVRSLPSSVFRRVRELASLHPYPRFSPGGRYIRRKN >Potri.006G126300.13.v4.1 pep chromosome:Pop_tri_v4:6:10132085:10135545:1 gene:Potri.006G126300.v4.1 transcript:Potri.006G126300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126300.v4.1 MSESSTATIPKFESKNGWHQKTVKCKLRCSSGDKWNSQEPGEDSMCPTSDDIEDLDELDDDAKPLISLVSGKNAKKVVQAAKAGTSVRQKRLRKPTKRYIEELSDPKAKHVMERKSYLSATLKDKRPKIRSHDELHSGGALTCTPKEPFSENITQATSKGTDEPLHLRSKPVSERRTNPSFISKGRRWSIRSQNEPYQVRAVKFAPKELSLTGPSAQAPFESRPRRGRPKKSLPLSFLESEDDESEDDCVKKRTCKKSNDRRKHQRMWTTPEVMKLIDGIAQYGTGRWTDIKKLMFSSTAYRTPIDLRDKWRNLLRASGAQKRKSNKKEVKEKLKDVVRSLPSSVFRRVRELASLHPYPRFSPGGRYIRRKN >Potri.006G126300.11.v4.1 pep chromosome:Pop_tri_v4:6:10129677:10135420:1 gene:Potri.006G126300.v4.1 transcript:Potri.006G126300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126300.v4.1 MPRMDGLDREAHFPKKFASMKCQRVKVDDSFAPALEDEAIEVQHLVAEPKTDHVSVDGVLCLGKENSSKCSETDGFSYGFNDGMRKNIGGLHSNITQGPDDLDLGVLDGFLDEVDEVDDIHAANDFSGAYEDFILDIELAEKVSYLDYTPRGGSRLRNSSSESQSPGCSGSSNGAVGMSESSTATIPKFESKNGWHQKTVKCKLRCSSGDKWNSQEPGEDSMCPTSDDIEDLDELDDDAKPLISLVSGKNAKKVVQAAKAGTSVRQKRLRKPTKRYIEELSDPKAKHVMERKSYLSATLKDKRPKIRSHDELHSGGALTCTPKEPFSENITQATSKGTDEPLHLRSKPVSERRTNPSFISKGRRWSIRSQNEPYQVRAVKFAPKELSLTGPSAQAPFESRPRRGRPKKSLPLSFLESEDDESEDDCVKKRTCKKSNDRRKHQRMWTTPEVMKLIDGIAQYGTGRWTDIKKLMFSSTAYRTPIDLRDKWRNLLRASGAQKRKSNKKEVKEKLKDVVRSLPSSVFRRVRELASLHPYPRFSPGGRYIRRKN >Potri.006G126300.12.v4.1 pep chromosome:Pop_tri_v4:6:10128134:10135390:1 gene:Potri.006G126300.v4.1 transcript:Potri.006G126300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126300.v4.1 MPRMDGLDREAHFPKKFASMKCQRVKVDDSFAPALEDEAIEVQHLVAEPKTDHVSVDGVLCLGKENSSKCSETDGFSYGFNDGMRKNIGGLHSNITQGPDDLDLGVLDGFLDEVDEVDDIHAANDFSGAYEDFILDIELAEKVSYLDYTPRGGSRLRNSSSESQSPGCSGSSNGAVGMSESSTATIPKFESKNGWHQKTVKCKLRCSSGDKWNSQEPGEDSMCPTSDDIEDLDELDDDAKPLISLVSGKNAKKVVQAAKAGTSVRQKRLRKPTKRYIEELSDPKAKHVMERKSYLSATLKDKRPKIRSHDELHSGGALTCTPKEPFSENITQATSKGTDEPLHLRSKPVSERRTNPSFISKGRRWSIRSQNEPYQVRAVKFAPKELSLTGPSAQAPFESRPRRGRPKKSLPLSFLESEDDESEDDCVKKRTCKKSNDRRKHQRMWTTPEVMKLIDGIAQYGTGRWTDIKKLMFSSTAYRTPIDLRDKWRNLLRASGAQKRKSNKKEVKEKLKDVVRSLPSSVFRRVRELASLHPYPRFSPGGRYIRRKN >Potri.006G126300.9.v4.1 pep chromosome:Pop_tri_v4:6:10127896:10135614:1 gene:Potri.006G126300.v4.1 transcript:Potri.006G126300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126300.v4.1 MPRMDGLDREAHFPKKFASMKCQRVKVDDSFAPALEDEAIEVQHLVAEPKTDHVSVDGVLCLGKENSSKCSETDGFSYGFNDGMRKNIGGLHSNITQGPDDLDLGVLDGFLDEVDEVDDIHAANDFSGAYEDFILDIELAEKVSYLDYTPRGGSRLRNSSSESQSPGCSGSSNGAVGMSESSTATIPKFESKNGWHQKTVKCKLRCSSGDKWNSQEPGEDSMCPTSDDIEDLDELDDDAKPLISLVSGKNAKKVVQAAKAGTSVRQKRLRKPTKRYIEELSDPKAKHVMERKSYLSATLKDKRPKIRSHDELHSGGALTCTPKEPFSENITQATSKGTDEPLHLRSKPVSERRTNPSFISKGRRWSIRSQNEPYQVRAVKFAPKELSLTGPSAQAPFESRPRRGRPKKSLPLSFLESEDDESEDDCVKKRTCKKSNDRRKHQRMWTTPEVMKLIDGIAQYGTGRWTDIKKLMFSSTAYRTPIDLRDKWRNLLRASGAQKRKSNKKEVKEKLKDVVRSLPSSVFRRVRELASLHPYPRFSPGGRYIRRKN >Potri.010G167600.1.v4.1 pep chromosome:Pop_tri_v4:10:17063138:17066203:-1 gene:Potri.010G167600.v4.1 transcript:Potri.010G167600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167600.v4.1 MSDLAIKLFGKTIPLQVNQLEGDVSCAINKHESSSGTPVAPPEPEEYCYTATTCLHEKDKEEQEGNHRESSGEEIANEKQEDVTSCQITEDSKDPTSSGISENPKTPSVERETSSLKSSKDEEQRETSISQEKTLKKPDKILPCPRCNSMDTKFCYYNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKSSSASHYRHLMVSEALRTVQVHAMNGVHNPSFGNNTTVLAFGSDSPLCDSVASVLNLSEKTQNSVRNEYHRPEHRIFVPCGGAGSNGDDRSSGSPATASDSSEKGCNGNSREAVNKDYQSFPPQVPCFPGPPWPYQWNSALPPPTFYPPGFPVSFYPAPTYWGCTVPSPWNVPPCVSPPSTSLKHCTLDSSPTSTLGKHSRDGSILHPAYLKEPSREGTKSVKGVLVPKTSRIDDPSEAAKSSIWATLGIMSEKSNSINGGGLFKGFQSKNEDKNDMAGRTSVLQANPAALSRSLNFHENN >Potri.010G086000.1.v4.1 pep chromosome:Pop_tri_v4:10:11231618:11231953:1 gene:Potri.010G086000.v4.1 transcript:Potri.010G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086000.v4.1 MEIESVQCECCGLKEDCTQEYISEVKAKFDGKWLCGLCSEAVRDEFSRGKKQFGMEEAVRAHMSFCGKFNSNPAVRVADGMKQMLRRRSGDLSSSKSSSKKYSRSASTKLY >Potri.019G014409.1.v4.1 pep chromosome:Pop_tri_v4:19:2485780:2486863:1 gene:Potri.019G014409.v4.1 transcript:Potri.019G014409.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014409.v4.1 MDSSYFHSQNSQFFPGSSSSFNSLDSFSCNVQNFYSQPLPFNENDSQEMLLSGVPNEPPINSFDTSSSTNTNYDEASSRANYQEEPPQEIAYRGVRRRPWGKYAAEIRDSTRKHVRVWLGTFDTAEAAALAYDQAAFTIRGSMAVLNFPVQKVYESLQQMGYGFQEGQSPIVAMKKRHSMNRKAESRKRKEKGTRIGMENVVVLEDLGADYLEDLLTISESARPS >Potri.015G099800.1.v4.1 pep chromosome:Pop_tri_v4:15:11980302:11981280:1 gene:Potri.015G099800.v4.1 transcript:Potri.015G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099800.v4.1 MDLIKLREIHAINKSKKHQLLDNFFLYSFTVLSCTLFCSSPFWFPSLFHSMKLFLFVSLPKLSSILLSPKFIFIVGNLIIFVLVGESKYFTSNSPSATAVYYDEYIDQKKSLQTTSASVEEKKEVKMEESFKEKQSKTCESGENNGGKGLCEGNLKVHKEREDLEGEEEFSLPTEELKKRADDFIARVNRQRMLEARLLVCYS >Potri.015G098900.5.v4.1 pep chromosome:Pop_tri_v4:15:11914975:11918362:-1 gene:Potri.015G098900.v4.1 transcript:Potri.015G098900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098900.v4.1 MDWNLKATSWDLTEFEQGAVPSISIDAFDRSTNFGVNRSGGGFSIDLKLGRVGDSSDESIINWKQPGVSKLQPLPSGSTKRARGANSGTQVAMCLVDGCNSDLSTCRDYHRRHKVCELHSKTPQVTVGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDPHSRPPSFLSNYQGTQLFPFSSSHVYPSSTVLNPTWSGVASTEADGRHHNLHQLPDKQNLFFGSSSSSYHGVKQFPFLHWHSPGLNNQTSPEASVCQPLLRTIALPGSSGASSHSMFCDRLTQIQDSDCALSLLSSTQTHASGNLMVQHNSVPLSHPIGPTVHDHGLGPIDSVLVFNNRDANVHFPGTFQPQSGGSSGNKAPQTLPFNWE >Potri.015G098900.4.v4.1 pep chromosome:Pop_tri_v4:15:11915035:11918499:-1 gene:Potri.015G098900.v4.1 transcript:Potri.015G098900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098900.v4.1 MDWNLKATSWDLTEFEQGAVPSISIDAFDRSTNFGVNRSGGGFSIDLKLGRVGDSSDESIINWKQPGVSKLQPLPSGSTKRARGANSGTQVAMCLVDGCNSDLSTCRDYHRRHKVCELHSKTPQVTVGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDPHSRPPSFLSNYQGTQLFPFSSSHVYPSSTVLNPTWSGVASTEADGRHHNLHQLPDKQNLFFGSSSSSYHGVKQFPFLHWHSPGLNNQTSPEASVCQPLLRTIALPGSSGASSHSMFCDRLTQIQDSDCALSLLSSTQTHASGNLMVQHNSVPLSHPIGPTVHDHGLGPIDSVLVFNNRDANVHFPGTFQPQSGGSSGNKAPQTLPFNWE >Potri.001G060700.1.v4.1 pep chromosome:Pop_tri_v4:1:4635271:4637718:-1 gene:Potri.001G060700.v4.1 transcript:Potri.001G060700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060700.v4.1 MEKPPPEKSGSFSRNGNGNQMDDNPGPAGPESEAVHVRLDCQQPGECEPNSNLEEPSSDPELSLPQVLKDVDRFLESLSTRNDASNNPLDVPNSVESLLEMIQKMITKYDSSAKFGQKQEEDSSFIESLNCISKLINVLGEFPSNSTTASCFNRASTILHLAMSLLDSEFRLILETCSQGNNADLKSPKPLKQSSFSSRQESTYCVIPESKSSEDVEFPAYTSEAISKMNRIATAMISSGYESECCMVYNMVRRNAFSSELDKLGFENISIDDVQRMQWQSLEGVIAMWITVIKHSSSVLFSGERKLCSSIFSEHPSISQRLFCHIALAVAVRFVNFSDAIALTKRSAEKLFKILDMYEALRDLIPFFDDDTCSSECYEELKSEIWAAKGRLGEVAVSIFCDLENSIRRDNGRTPVPSGAVHPLTRYTMNYLKYACEYKDTLEQVFQKHQKMEGFANSNGTVLDIKNGANDDGTPKTSPFSVQLNSIMDLLDENLDMKSKLYRDPALRCIFLMNNGRYILQKIKGSDEIHDMMGDTWCRKRSSDLRQYHKAYTRETWTRLLQCLNHDGLMVNGKLSKTILKERFKMFSTMFDEIHRTQSTWVVSDDQLQSELRISVSAVVTPAYRSFVGRFQQYLASGRQPDKYIKYQPEDIENLIDELFDGNPTSMARRRT >Potri.014G099300.1.v4.1 pep chromosome:Pop_tri_v4:14:6512254:6515119:-1 gene:Potri.014G099300.v4.1 transcript:Potri.014G099300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099300.v4.1 MYEIREITGASFQNYVCLINYFAAGLMEMEEGGGKARALIEKATNSTAALVDPRLLKAIKTVVRYSDSELRLAAQTLLDLMKRDHSQVRYLTLLIIDELFMRSKLFRTLVVENLDQLLSLSVGFRRNHPLPAPPAVASILRSKAIEFLEKWNSSFGIHYRQIRLGFDYLKTTLRLQFPNVQATAARVQQERREREMKTKEILVKKFEVLKENLVPLKEEIRETVDEIGECLEIVKNKEANVVLGALDDDEDFEEFRPLELRQLRLDSLKEGEKVCENSENKVVFDALRELYKLLVTKHLVSVQEGISVLIRVEVADTRLRDSMLKEFIDIRNHLQSVKKKCVESGCALPDITKHEKEEEEDFWEEGKVESTDPGSFSEPNKRNKNSAAPSTSGEVKNDPSECSTKKLKRDEFLCSEGGGTDSSSLRSKLMTVAPVIEWGSFLETWGSNRDVLANHRGLELESHWGRVDHDAVIPAKKIAELNLHATLYKEDRVEIQPCRAPSGKGGLCQRRDLRVCPFHGPIIPRDDEGNPINQGTSTSDLTLDLGTDLVEQLAEQAVKNVRDRDEEARKRKMDKQSQKRAKLAKIREHNEAVLRDAAVASTSRSSVYGDNVEASSRDRLLARNKKDTLASMLRKKVTTKDRLSQRLLNTRASDAMTRQLTLGEDANYREAFPNQW >Potri.014G099300.2.v4.1 pep chromosome:Pop_tri_v4:14:6512192:6515084:-1 gene:Potri.014G099300.v4.1 transcript:Potri.014G099300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099300.v4.1 MRSKLFRTLVVENLDQLLSLSVGFRRNHPLPAPPAVASILRSKAIEFLEKWNSSFGIHYRQIRLGFDYLKTTLRLQFPNVQATAARVQQERREREMKTKEILVKKFEVLKENLVPLKEEIRETVDEIGECLEIVKNKEANVVLGALDDDEDFEEFRPLELRQLRLDSLKEGEKVCENSENKVVFDALRELYKLLVTKHLVSVQEGISVLIRVEVADTRLRDSMLKEFIDIRNHLQSVKKKCVESGCALPDITKHEKEEEEDFWEEGKVESTDPGSFSEPNKRNKNSAAPSTSGEVKNDPSECSTKKLKRDEFLCSEGGGTDSSSLRSKLMTVAPVIEWGSFLETWGSNRDVLANHRGLELESHWGRVDHDAVIPAKKIAELNLHATLYKEDRVEIQPCRAPSGKGGLCQRRDLRVCPFHGPIIPRDDEGNPINQGTSTSDLTLDLGTDLVEQLAEQAVKNVRDRDEEARKRKMDKQSQKRAKLAKIREHNEAVLRDAAVASTSRSSVYGDNVEASSRDRLLARNKKDTLASMLRKKVTTKDRLSQRLLNTRASDAMTRQLTLGEDANYREAFPNQW >Potri.001G109700.1.v4.1 pep chromosome:Pop_tri_v4:1:8837400:8837957:1 gene:Potri.001G109700.v4.1 transcript:Potri.001G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109700.v4.1 MSSSLFLTLLLILISASQGCAAVPEKEPDLIQKSCAIIVGYEECVAILRSDPRSIKATKVKQLAYIILDLCIENATETLGEIPKLQEKYSKHDQIEEALRWCVMAYESAIKDYFRKAVDQLGTKSYREAQYSAHIGGALGTGCEQEFYFQAPVISPLWPRNHNLAVLGLVAEGIVSLLRLNESQL >Potri.019G073200.1.v4.1 pep chromosome:Pop_tri_v4:19:11449249:11452871:1 gene:Potri.019G073200.v4.1 transcript:Potri.019G073200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073200.v4.1 MDFINCVLNWVVPPASLVMLACSWPALCFINTCEWLYKSFYSENMEDKVVIITGASSGIGEQIAYEYAKRRVNLVLIARREHRLRGIREKARYIGAKQVMIMAADVVKEDDCRRFVNETISHFGRVDHLVNTASLGHTFYFEEVGDTSVLKHLLDINFWGNVYPTYVALPYIHQSSGRVVVNAAVESWLPLPRMSLYAAAKAALVNFYESLRFEVSGEIGITIATHGWIGSEMGRGKFMQEDGAEMLWKEEREVNGTGGPVEDYARRMVSGACRGDQYVKYPSWYDVFLLYRMFAPGLLNWTLRMLLASHGSRRMSLAGTERPIFEGSSSPPRKFLTFSHLNPQKIQMQKQE >Potri.001G333400.2.v4.1 pep chromosome:Pop_tri_v4:1:34173184:34178779:-1 gene:Potri.001G333400.v4.1 transcript:Potri.001G333400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333400.v4.1 MDALREGGHAVDAAVAASLCLGVVGLASSGMGGGSFMLILLASGEAQAFDMRETAPMKASEDMYAGNATKKARGALSIAVPGELAGLHRAWRKYGRLPWKRLVRPAEQLACKGFKISPYLHMQMVKTESGILADKGLRDLLTSNGKLLQPGNICHNKKLAHTLRKISKHGIEAFYNGSIGSKLVKDIQKAGGILTREDLRRYQVKMRDPIVADILGFKILGMPPPSSGGASMMLILNILAQYGAPSGISGPLGIHREIEALKHAFAVRMNLGDPDFINVTEVVSDMLSPKFAEMLKKTIYDNMTFDPRHYGGRWNQIHDHGTSHISVVDIERNAVSMTNTVNAYFGSNILSPSTGILLNNEMDEFSIPMNNGDVPPPAPPNSIRPGKRPLSSMTPTIILKDEKLKAVVGASGGAMIIAGTTEVILNHLRGMDPLHSVLAPRVYHQLIPNVVQYENWTTPYGEHYEVPANVRTFLRKRGHVLQSLAGGSICQFIFVDAETSRKNKGRGELVGVSDPRKGGFPAGY >Potri.001G333400.1.v4.1 pep chromosome:Pop_tri_v4:1:34173184:34178075:-1 gene:Potri.001G333400.v4.1 transcript:Potri.001G333400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333400.v4.1 MASASFLLLWTTLAFLFSSPLLPTLGLGNLGGPTHQRHDVVASHGVVATDDGRCSRIGMDALREGGHAVDAAVAASLCLGVVGLASSGMGGGSFMLILLASGEAQAFDMRETAPMKASEDMYAGNATKKARGALSIAVPGELAGLHRAWRKYGRLPWKRLVRPAEQLACKGFKISPYLHMQMVKTESGILADKGLRDLLTSNGKLLQPGNICHNKKLAHTLRKISKHGIEAFYNGSIGSKLVKDIQKAGGILTREDLRRYQVKMRDPIVADILGFKILGMPPPSSGGASMMLILNILAQYGAPSGISGPLGIHREIEALKHAFAVRMNLGDPDFINVTEVVSDMLSPKFAEMLKKTIYDNMTFDPRHYGGRWNQIHDHGTSHISVVDIERNAVSMTNTVNAYFGSNILSPSTGILLNNEMDEFSIPMNNGDVPPPAPPNSIRPGKRPLSSMTPTIILKDEKLKAVVGASGGAMIIAGTTEVILNHLRGMDPLHSVLAPRVYHQLIPNVVQYENWTTPYGEHYEVPANVRTFLRKRGHVLQSLAGGSICQFIFVDAETSRKNKGRGELVGVSDPRKGGFPAGY >Potri.018G148700.2.v4.1 pep chromosome:Pop_tri_v4:18:16001399:16005880:1 gene:Potri.018G148700.v4.1 transcript:Potri.018G148700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148700.v4.1 MNPSITRHFILLISSLLLVFTTCDAFCFLRTSVDLKITNQLGSGLDLAIHCKSKDEDLGVHVVPFDGYYTLSFCSNAWGTTQYFCGMTWSGKLHWFDFFIARRDSFRCGKCTWRILPRGPCMTYNIGELREYKCYHWNGDQKRHMHTFSALFSRAFPKKIN >Potri.005G246100.1.v4.1 pep chromosome:Pop_tri_v4:5:24082924:24083430:-1 gene:Potri.005G246100.v4.1 transcript:Potri.005G246100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246100.v4.1 MATVTSAAVSIPSFTGLKAGSASNAKVSASAKVSASPLPRLSIKASMKDVGAAVVATAASAMIASNAMAIDVLLGADDGSLAFVPSEFSISPGEKIVFKNNAGFPHNIVFDEDSIPSGVDASKISMSEEDLLNAKGETFEVALSNKGEYSFYCSPHQGAGMVGKVTVN >Potri.011G164150.1.v4.1 pep chromosome:Pop_tri_v4:11:18906513:18906890:-1 gene:Potri.011G164150.v4.1 transcript:Potri.011G164150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164150.v4.1 MIYQALHQGLQHPLKRIFPSATVIEYFNVITSSPLFQESTHPGVASALCSSLGTTPYFSVSLPLLVSRVQVALPFNHGDSPLKVDPSLSSYSEYYNVITELTTLTRVNLFLEPAHALCSFIAVAS >Potri.008G070700.1.v4.1 pep chromosome:Pop_tri_v4:8:4343251:4345511:1 gene:Potri.008G070700.v4.1 transcript:Potri.008G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070700.v4.1 MSISLNSAVGFNSTLQTKYHHVSRPKAASLGMLASRIQINGRRRGLFVSAVDNDRLVTDTGVKGHGGAESSIYDNQLSAVNSPIEDSPVGNNVGEESGPQTSGASNSSTISVDMKSRPKRSPLTARERLKAARVISRYTESKTSKSEMGRKVLDALRESDKGKKRSGLPEAPENLFDDSKRGLPKEGWTFQFPGGSELFFIVISFVLISTIMFATTYIVWKVGAIHFDEY >Potri.010G007300.1.v4.1 pep chromosome:Pop_tri_v4:10:710451:712545:-1 gene:Potri.010G007300.v4.1 transcript:Potri.010G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007300.v4.1 MKSLANALLILCLLAASCEAKGLGRRAPAAISPRRTAPRRDPNEKVFNVLQYGAKPGGKQDSALSFIRAWRAACNYRGTARLLIPMGTFLIGATIFQGPCLGPVPIKVQIAGTLKAVPDPSMYEEDFWILFENINGLLVTGTGTVDGQGNAVWKYNDGGSRFPSSIKFNHVANGIIRQITSVNPMGFHISIVLSQNIRAKHLHITAPATSPNTDGIHISQSSVVKVSRSVISTGDDCVAIIQGSTDVSVKKVTCGPGHGFSVGSLGKYPDEKDVRGVVVTNCTLRNADNGVRIKTWGGSPPSQASNILFQDIIMDNVKRPIIIDQTYGSKSNSPSRVKISDVRYINIRGTSASEVGVDLKCSEAVPCEKLYFSNINLKYYGPKKLPFTSTCTNAKVNYVGNQFPPPCR >Potri.011G038500.2.v4.1 pep chromosome:Pop_tri_v4:11:2987860:2990353:-1 gene:Potri.011G038500.v4.1 transcript:Potri.011G038500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038500.v4.1 MAWTGNLIDIQKFSEGGTDLNIRLAYKELGNKRNMKVIISMSVIVGAIAIFICVFFSWKWMAKHRERKLIREETLSFKTREAQETVLFNGNLPGNVKEVKLEPLFKLKILETATNNFDISKKLGQGGFGAVYRGKLPDGQEIAVKRLSRTSSQGREEFMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYMPNKSLDAFLFDSLRKGQLDWERRFDIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDRELNPKISDFGMARIFCGNEDQVINTTRVVGTYGYMSPEYLMKGRFSEKSDVFSFGVLLLEIVSGRKNSSFYDNEHSLSLIGFVCLSASLPEIYLQSSFQFLILFTLLGMTFLLDVSSTVLIFYMHI >Potri.016G056200.7.v4.1 pep chromosome:Pop_tri_v4:16:3745062:3758013:1 gene:Potri.016G056200.v4.1 transcript:Potri.016G056200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056200.v4.1 MSSSAKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDHGKFYMGDSYIVLQTTTGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVATGFKKVEEEAFEIRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERGKALEVIQFLKEKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVANEDDIIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRLTQVEERKAASQAAEEFVASQNRPKTTRLTRLIQGYETRSFKTNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGLKGMTKSAPVNEEVPPLLEGGGKMEVWCINGSSKTPLPKEDVGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGNDSSEEDQKMAARLANTMSNSLKGRPVQGRIFQGKEPPQFVALFQPIVILKGGLSSGYKKSIAEKGLSDETYTADSVALFRISGTSVHNDKAVQVDAVATSLNSAECFLLQSGSSIFTWHGNQSTFEQQQLAAKIAEFLKPGVALKHAKEGTESSAFWFALGGKQSYTSKKFSPETVRDPHLFTFSFNKGKFQVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQYVDPKEKQNVFDIGQKYIEMAVSLDGLSPNVPLYKVTEGNEPSFFTTYFSWDLTKATVQGNSFQKKAALLFGLGHHVVEERSNGNQGGPTQRASALAALSSAFNPSSGKSSLLVQRVKSRRNNTESFCFSCLILCIQFIPGIENYCFEAIWNRSGITEKSSCCCSFISSYC >Potri.016G056200.5.v4.1 pep chromosome:Pop_tri_v4:16:3745062:3755085:1 gene:Potri.016G056200.v4.1 transcript:Potri.016G056200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056200.v4.1 MSSSAKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDHGKFYMGDSYIVLQTTTGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVATGFKKVEEEAFEIRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERGKALEVIQFLKEKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVANEDDIIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRLTQVEERKAASQAAEEFVASQNRPKTTRLTRLIQGYETRSFKTNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGLKGMTKSAPVNEEVPPLLEGGGKMEVWCINGSSKTPLPKEDVGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGNDSSEEDQKMAARLANTMSNSLKGRPVQGRIFQGKEPPQFVALFQPIVILKGGLSSGYKKSIAEKGLSDETYTADSVALFRISGTSVHNDKAVQVDAVATSLNSAECFLLQSGSSIFTWHGNQSTFEQQQLAAKIAEFLKPGVALKHAKEGTESSAFWFALGGKQSYTSKKFSPETVRDPHLFTFSFNKGKFQVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQYVDPKEKQNVFDIGQKYIEMAVSLDGLSPNVPLYKVTEGNEPSFFTTYFSWDLTKATVCTASALFIFSQVILIYCVTIEKLLLGIRKFRMS >Potri.016G056200.8.v4.1 pep chromosome:Pop_tri_v4:16:3745062:3758013:1 gene:Potri.016G056200.v4.1 transcript:Potri.016G056200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056200.v4.1 MSSSAKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDHGKFYMGDSYIVLQTTTGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTVELDAVLGGRAVQHRELQGHESDKFLSYFKPCIIPLEGGVATGFKKVEEEAFEIRLYVCRGKRVVRLKQVPFARSSLNHDDVFILDTEKKIYQFNGANSNIQERGKALEVIQFLKEKYHEGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVANEDDIIPETTPAKLYSITDGEVKIVEGELSKGLLENNKCYLLDCGAEIFVWVGRLTQVEERKAASQAAEEFVASQNRPKTTRLTRLIQGYETRSFKTNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGLKGMTKSAPVNEEVPPLLEGGGKMEVWCINGSSKTPLPKEDVGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGNDSSEEDQKMAARLANTMSNSLKGRPVQGRIFQGKEPPQFVALFQPIVILKGGLSSGYKKSIAEKGLSDETYTADSVALFRISGTSVHNDKAVQVDAVATSLNSAECFLLQSGSSIFTWHGNQSTFEQQQLAAKIAEFLKPGVALKHAKEGTESSAFWFALGGKQSYTSKKFSPETVRDPHLFTFSFNKGKFQVEEVYNFSQDDLLTEDILILDTHAEVFVWVGQYVDPKEKQNVFDIGQKYIEMAVSLDGLSPNVPLYKVTEGNEPSFFTTYFSWDLTKATVQGNSFQKKAALLFGLGHHVVEERSNGNQGGPTQRASALAALSSAFNPSSGKSSLLVQRVKSRRNNTESFCFSCLILCIQFIPGIENYCFEAIWNRSGITEKSSCCCSFISSYC >Potri.001G071100.1.v4.1 pep chromosome:Pop_tri_v4:1:5377578:5378467:1 gene:Potri.001G071100.v4.1 transcript:Potri.001G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071100.v4.1 MPAGHGVRSRTRDLFARPFRKKGYIPLSTYLRTYKVGDYVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVVINKQVGNRIIGKKIHVRVEHVQPSRCREEFKLRKKKNDELKAEAKACGEKISTKRQPQGPKPGFMLEGATLETVTPIPYDVVNDLKGGY >Potri.011G020900.1.v4.1 pep chromosome:Pop_tri_v4:11:1595733:1598089:1 gene:Potri.011G020900.v4.1 transcript:Potri.011G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G020900.v4.1 MESFPVIDLSKLNGEERKPTMEKIEDACENWGFFELVNHGISHDLLDTVERRTKEHYRKCMEQRFKEMVASKGLEGVQSEISDLDWESTFFLRHLPESNMAEIPDLEEDYRKVMKEFALEVEKLAEQLLDLLCENLGLEKGYLKKAFYGSKGPNFGTKVSNYPPCPKPDLIKGLRAHTDAGGIILLFQDDKVSGLQLFKDGQWIDVPPMKHSIVINLGDQLEVITNGKYKSVLHRVIAQTDGTRMSIASFYNPGSDAVMYPAPELVEKEAEESQIYPKFVFEDYMKLYAGLKFQAKKPRFEAMKAMESTVNMDPIATA >Potri.016G088850.1.v4.1 pep chromosome:Pop_tri_v4:16:7137924:7138064:1 gene:Potri.016G088850.v4.1 transcript:Potri.016G088850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088850.v4.1 MVFISNQVKMVFEAKPRVHKRVWLMFQKSMGVLVGGNHTSSRFCTR >Potri.011G134400.1.v4.1 pep chromosome:Pop_tri_v4:11:16732758:16733523:-1 gene:Potri.011G134400.v4.1 transcript:Potri.011G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134400.v4.1 MARFLTIAVLASLLLALIANASSYRTTITTVEFDDQSSRSRSGGCQEKIRRVDLSSCEQYVSQISRPRLALRGIHHRQGDQEQVQQCCQQIRNVDRQCQCDALRSVIEEQTQHQRRPEQEERQEVQRRAAEIQSQCSLPDCQSQSIWF >Potri.014G163733.1.v4.1 pep chromosome:Pop_tri_v4:14:11800581:11804368:1 gene:Potri.014G163733.v4.1 transcript:Potri.014G163733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163733.v4.1 MPCLVTTNNYHFRFHTFSRGHWKIPQVFKESLISIIQDEQLKPCPKSWWGVRSVFHTCTRVMSLGHEYLEVN >Potri.014G195900.2.v4.1 pep chromosome:Pop_tri_v4:14:17653462:17657850:1 gene:Potri.014G195900.v4.1 transcript:Potri.014G195900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195900.v4.1 MAVAGFYRRALPSPPAIEFASPEGKQLFTEALEGGTMNSFFKLISYYQTQSEPAYCGLASLAMVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLVKIKEKGITFGKVACLAHCNAAKVETFRTNEITVDGFRRFVVSCNSSEDYYIISSYHRGAFKQTGSGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLELLWEAMNTIDKATGHHRGFMILSKLDKASSILYTLSCRHKGWSSVANYLSADVPHLLKSEDVKDVEEVLSVVFKSPPADLREFIKWVAEVRRQDDGGIILSEEEKGRLSIKEEVLKQVQGTELFKYVTRWLISEISTCKGAISGHNNELPEIAANVCCQGAKLLTENFSSLDCMVFKKAGVKFWKSDGEKPVTVVSGTVFTDGSEQGVDMLVPLSQTAASSLCDLDQNGCHGFHPSAGDVLSVLIFSLHQNTWSNIKDEKLQAEINSLVSIDNVPPLLQEEVLHLRRQLHFLTIDIGLASAS >Potri.014G195900.1.v4.1 pep chromosome:Pop_tri_v4:14:17653370:17657744:1 gene:Potri.014G195900.v4.1 transcript:Potri.014G195900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195900.v4.1 MAVAGFYRRALPSPPAIEFASPEGKQLFTEALEGGTMNSFFKLISYYQTQSEPAYCGLASLAMVLNALAIDPGRTWKGPWRWFDDSMLDCCEPLVKIKEKGITFGKVACLAHCNAAKVETFRTNEITVDGFRRFVVSCNSSEDYYIISSYHRGAFKQTGSGHFSPIGGYHAGKDMVLILDVARFKYPPHWVPLELLWEAMNTIDKATGHHRGFMILSKLDKASSILYTLSCRHKGWSSVANYLSADVPHLLKSEDVKDVEEVLSVVFKSPPADLREFIKWVAEVRRQDDGGIILSEEEKGRLSIKEEVLKQVQGTELFKYVTRWLISEISTCKGAISGHNNELPEIAANVCCQGAKLLTENFSSLDCMVFKKAGVKFWKSDGEKPVTVVSGTVFTDGSEQGVDMLVPLSQTAASSLCDLDQNGCHGFHPSAGDVLSVLIFSLHQNTWSNIKDEKLQAEINSLVSIDNVPPLLQEEVLHLRRQLHFLTIDIGLASAS >Potri.017G129400.1.v4.1 pep chromosome:Pop_tri_v4:17:13267504:13269583:1 gene:Potri.017G129400.v4.1 transcript:Potri.017G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129400.v4.1 MAAEEINKPPSLPPYPEMILSAIEALNEANGCNKTSISKYIESKYGDLPAGHTALLSHHLNRMKDTGELVFWKNNYMKPDPNAPPRRGRGRPPKPKDPLSPGSDLPPARPRGRPPKDPNAPPKPVKPKAATGRSGKPRGRPRKMARPTGGITTGTATTTSAVPMTAGSGRPRGRPPKVKAATMPEVSVQN >Potri.008G061100.1.v4.1 pep chromosome:Pop_tri_v4:8:3673861:3676926:-1 gene:Potri.008G061100.v4.1 transcript:Potri.008G061100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G061100.v4.1 MEQPENRKVGREAKESDEEEKWVRDSSVDYKGRVPLRSSTGVWTASLFIITNEFSERLSNFGITANLISYLTKVIHQDLKTAAKSVNYWAGVTTMMPLFGGFLADAYTGRFSMVLLSSLVYLLGLSLLTMSQFIPSLKPCDVGGCEKARKIHEVAFFLAMYSISLGTGGHKPCLQSFGADQFDDDHAEERKKKLSYFNWWNIAVCCGLFLGVTVIVYIEDNMSWGVAILILTITMAVTVATFYMGKPYYRYRLPEGSGSTSMLQVLVAAIRKRKLPSPSNPALLYEVPNYKIQGRLLGHTDRLTFLDKAAVLEEEEISNEVKHNPWRLATVTKVEEMKLVLNIIPIWLTSLPFGLCVVQTATFFVKQGATLNRKIGHNFEIPPASIFSLSAVGMIISVVIYDKILVPFLRRATGNERGISVLKRIGSGLIFSVVAMSVAALVERKRQKIAEEDIDVLDGEKNVELPMSVFWLAPQFLILGLGDGFTLVGLQEFFYDQVPDSMRSLGMAFFLSVLGAGNFLSSFLITAVDRITEKDGKGWIGKDLNSSRLDKFYWLLAAINSVNIFVYVLFARRYTYKTVQRRLTATHLNKDDGMELMP >Potri.008G061100.3.v4.1 pep chromosome:Pop_tri_v4:8:3673918:3676886:-1 gene:Potri.008G061100.v4.1 transcript:Potri.008G061100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G061100.v4.1 MMPLFGGFLADAYTGRFSMVLLSSLVYLLGLSLLTMSQFIPSLKPCDVGGCEKARKIHEVAFFLAMYSISLGTGGHKPCLQSFGADQFDDDHAEERKKKLSYFNWWNIAVCCGLFLGVTVIVYIEDNMSWGVAILILTITMAVTVATFYMGKPYYRYRLPEGSGSTSMLQVLVAAIRKRKLPSPSNPALLYEVPNYKIQGRLLGHTDRLTFLDKAAVLEEEEISNEVKHNPWRLATVTKVEEMKLVLNIIPIWLTSLPFGLCVVQTATFFVKQGATLNRKIGHNFEIPPASIFSLSAVGMIISVVIYDKILVPFLRRATGNERGISVLKRIGSGLIFSVVAMSVAALVERKRQKIAEEDIDVLDGEKNVELPMSVFWLAPQFLILGLGDGFTLVGLQEFFYDQVPDSMRSLGMAFFLSVLGAGNFLSSFLITAVDRITEKDGKGWIGKDLNSSRLDKFYWLLAAINSVNIFVYVLFARRYTYKTVQRRLTATHLNKDDGMELMP >Potri.013G076200.1.v4.1 pep chromosome:Pop_tri_v4:13:6417526:6421838:1 gene:Potri.013G076200.v4.1 transcript:Potri.013G076200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076200.v4.1 MNPKSWSRLLLYQSLKKPRKPPMPQLSRKISTFPHFPSTQTLSLSRFSKTHFSTFSGASSSVARELLNELEREKQKEREARRRNGLDTKDIDAEDEEDYLGVGPLIEKLEKRIQKDAGKPEVYDNEAADSDSDDDSWFSTDAAKKRDELFLKKFDKHEELVKNFAEAETLDDAFKSMKKIDNFEQKHFRLRPEYRVIGDLINLLKVAEGKDKFILQHKLNKAMRLVEWKEAYDPNNPANYGFFQRAGVGPGGHALEDAESEKQKKKTPGVDVEGKEDEDDGGKEDEDDEDEEDEFDDMKERDDILLEKLNEIDKKLEEKLAELDYTFGKKGKVLEEEIRNLAEQRNSLTEKKRRPMYRKGFDDRVINVNRTCKVTKGGRVVKYTAMLACGNYHGVIGFAKAKGPRVHVAIQKAREKCFQNLHYIERHEEHTIANAVQTQYKKTKLYLWPAPTRTGMKAGKTVKAILNLAGFKNVKSKVIGSRNPYNTVRALFKALNAIETPKDVQEKFGRTVVEKHLL >Potri.011G004200.2.v4.1 pep chromosome:Pop_tri_v4:11:330945:336092:1 gene:Potri.011G004200.v4.1 transcript:Potri.011G004200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004200.v4.1 MSVKGRGGVGVGEMGSRNVVVSRNLALLLCFSSFFAGILFTNRIRTEPERTNLESEACDQKLKVGNHISNYSLGQISSTQNDISTLNSKISSIEMKLAAAKAEQQSLSSADAASGNLKRKYFMVIGINTAFSSRKRRDSIRATWMPQGEERKKLEEKGIVIRFVIGHSSTAGGILDKAIEAEEMMHGDFLRLEHVEGYLELSAKTKTYFRTAVALWDADFYIKVDDDVHVNLATLGTILAGHKKKPRVYVGCMKSGPVLSKKGVRYYEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISVNQHILHKYVNEDVSLGSWFIGLDVEHVDDKRLCCGTPPDCEWKAHLGSTCAVSFDWKCSGICRSVERMMEVHKTCGEDVNALEHASF >Potri.011G004200.3.v4.1 pep chromosome:Pop_tri_v4:11:330882:336121:1 gene:Potri.011G004200.v4.1 transcript:Potri.011G004200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004200.v4.1 MYMYGCMYVYVCMYLLIKKYCTRIRTEPERTNLESEACDQKLKVGNHISNYSLGQISSTQNDISTLNSKISSIEMKLAAAKAEQQSLSSADAASGNLKRKYFMVIGINTAFSSRKRRDSIRATWMPQGEERKKLEEKGIVIRFVIGHSSTAGGILDKAIEAEEMMHGDFLRLEHVEGYLELSAKTKTYFRTAVALWDADFYIKVDDDVHVNLATLGTILAGHKKKPRVYVGCMKSGPVLSKKGVRYYEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISVNQHILHKYVNEDVSLGSWFIGLDVEHVDDKRLCCGTPPDCEWKAHLGSTCAVSFDWKCSGICRSVERMMEVHKTCGEDVNALEHASF >Potri.001G049300.1.v4.1 pep chromosome:Pop_tri_v4:1:3706639:3710505:-1 gene:Potri.001G049300.v4.1 transcript:Potri.001G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049300.v4.1 MENQENNRTPSAEESKMQCQNDNNYEIPSSKHHHQREEGNDHEEEQDFLGQFQCPVCLDLLYKPVVLACGHFSCFWCVFRCMNGFRESHCPICRHPFNHFPRVCQLLHFLLMKMCPIAYKTREGEVEEEEKKFGLFSPQFGHHSSGSLPGEELDVPSNSLRLPTHSQTKLGYDSCFSLGNFPEAIAHSVDNVKIMPSSPLSISEGTANAAIKSCNLIRTGLGRGIQKQASVADLLCAECKKLLFRPVVLNCGHVYCESCIIIPMQGIPRCQFCQSLHPNGFPGVCLVLENFLEEHFSEIYAGR >Potri.009G053800.1.v4.1 pep chromosome:Pop_tri_v4:9:5792973:5799102:-1 gene:Potri.009G053800.v4.1 transcript:Potri.009G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053800.v4.1 MASFALTSSSLSFNNSLPCLKSRSLSHLRSTAPHPLSFRPNLPKLSTVSPLLPRFSKSKITTSTRKISNFSVKASASAASPSPAPTSITPASPPWQGAAMKPLLASIATGVILWFVPVPAGVTKPAWQLLAIFLATIVGIITQPLPLGAVALMGLGASVLTKTLTFSAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRIAYQFVSLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNAGDGTEHKLGSWLMLTCFQTSVISSSMFLTAMAANPLSATLTLNTIKQTIGWTDWAKAAIVPGLVSLIVVPLILYFIYPPTVKTSPDAPKLAREKLEKMGPMSTNEIIMAGTLFLTVGLWIFGGMLNVDAVTAAILGLSVLLVTGVVTWKECLAEAVAWDTLTWFAALIAMAGYLNKYGLISWFSQTVVKFVGGLGLSWQLSFGILVLLYFYSHYFFASGAAHIGAMFTAFLSVASALGTPPLFGAMVLAFFSNLMGGLTHYGIGSAPVFYGANYVPLAKWWGYGFLISVVNIIIWLGVGGVWWKFIGLW >Potri.001G437400.1.v4.1 pep chromosome:Pop_tri_v4:1:46329691:46330914:-1 gene:Potri.001G437400.v4.1 transcript:Potri.001G437400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437400.v4.1 MAGDQVTLLDFWASPFGMRVRIALAEKGVKYEYSEQDLRNKSALLLQMNPVHKKIPVLVHDGKPICESLIIVQYIDDVWKDKAPLLPSDPYQRAQSRFWADFVDKKLYDLGRKIWTTKGEDQEAAKKDFIDSLKLLEGELGDKPYFGGETLGYVDVALLPFYCWFYAYETVGNFNIEAVCPKLIAYCKRCLEKESVSKSLEDPQKVSDFVVMRRKMLGLE >Potri.007G084200.1.v4.1 pep chromosome:Pop_tri_v4:7:10899822:10901862:-1 gene:Potri.007G084200.v4.1 transcript:Potri.007G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084200.v4.1 MAWIWTSLAFVALIFLLQWLSTKNKRLPPGPRGFPIFGSLHLLGKFPHRAFHQLAQKYGPIMHLRLGLVPTIVVSSPEAAELFLKTHDLVFAGRPPHESARYISYGQKSVTFSQYGSYWRNMRKMCTVELLSSLKITSFKSTRMEELDLLIKYIQEAAQERVAVDLSAKVSSLSADMSCRMVFGKKYLDEDLDERGFKSVMQEVMHLSAAPNLGDYIPQIGALDLQGLTKRMKAVSKVLDLFVSKIIDEHAQYQEKGKNKDFVDVMLSCMKSEENEYLVDQGCMKAIMLDMLAGSMDTSSTVIDWAFSELIKNPRVMKKLQKELEEVVGKQRMVEESDLESLEYLDMVVKETFRLHPVGPLLIPHEAMEDCIVNGFHIPKKSHVIINVWAIGRDPKAWTDAENFYPERFVGSDIDVRGRNFQLIPFGAGRRSCPGMQLGLTVVRLVLAQLVHCFDWELPNGILPSEVDMTEEFGLVICRSKHLVAIPTYRLNK >Potri.002G101600.5.v4.1 pep chromosome:Pop_tri_v4:2:7426434:7428596:-1 gene:Potri.002G101600.v4.1 transcript:Potri.002G101600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101600.v4.1 MMSVTRAMFAYSSVSQIFLPPSPVSDSTSEISKLKFKSQFLRNQRLQVFSTSLKLLYARNTKMEMAVYNSLGTGPAHPSAPSPCLIQLYGDLRTSRKGWVLGMLISIILPFCRNKWGALLLIKDKVEQVVEIADHVADIVEEVAEEVGKVAEEVADHLPEGGKLQQVATFVENVAKETAKDANVVDEIIEKVEEVEKEVEEEVESFSEQLTEQANGKSEESKG >Potri.002G101600.1.v4.1 pep chromosome:Pop_tri_v4:2:7426651:7428352:-1 gene:Potri.002G101600.v4.1 transcript:Potri.002G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101600.v4.1 MMSVTRAMFAYSSVSQIFLPPSPVSDSTSEISKLKFKSQFLRNQRLQVFSTSLKLLYARNTKMEMAVYNSLGTGPAHPSAPSPWKGWVLGMLISIILPFCRNKWGALLLIKDKVEQVVEIADHVADIVEEVAEEVGKVAEEVADHLPEGGKLQQVATFVENVAKETAKDANVVDEIIEKIKNQVEEVEKEVEEEVESFSEQLTEQANGKSEESKG >Potri.002G101600.4.v4.1 pep chromosome:Pop_tri_v4:2:7426434:7428596:-1 gene:Potri.002G101600.v4.1 transcript:Potri.002G101600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101600.v4.1 MMSVTRAMFAYSSVSQIFLPPSPVSDSTSEISKLKFKSQFLRNQRLQVFSTSLKLLYARNTKMEMAVYNSLGTGPAHPSAPSPCLIQLYGDLRTSRKGWVLGMLISIILPFCRNKWGALLLIKDKVEQVVEIADHVADIVEEVAEEVGKVAEEVADHLPEGGKLQQVATFVENVAKETAKDANVVDEIIEKIKNQVEEVEKEVEEEVESFSEQLTEQANGKSEESKG >Potri.018G008300.1.v4.1 pep chromosome:Pop_tri_v4:18:602243:603867:-1 gene:Potri.018G008300.v4.1 transcript:Potri.018G008300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008300.v4.1 MYVTRPLSMYKRNPSALSSAPPEGSNSGILIIQDEEVDLTCCFCCSKIDFVKDLPFPQNKNLTVSYTTTTGNNGQSNNGQTTTNSSNQVIFIPVLNQPLSSNRYYVIERQGKHKGEAHTNAKEEDETTCCFGFCRHNPDKEPQSFDPKDVYEQFEISKSNRFGYVSKSVAPDGIPPIFLRRKGWTVSTSTARDFELKEAAGLDRNLRARLPDFHLPLSQRSSAPVAVGNWYCPFMFIKEGKLKDQMSISRYYEMTLEQRWEQIFASEYNSSEGNSVVVDAVVQRETVAVAGREAAPDKRNAVDGVMWFRSSGDVGGEASVGLSLEIVGGMKWEQERAGWLGGGGSDVTVKRVEEFGGVGGWKKFGCYVLVERFVLRRMDGSLVLTYDFKHTHQVRSKWE >Potri.010G210600.2.v4.1 pep chromosome:Pop_tri_v4:10:19948429:19949386:-1 gene:Potri.010G210600.v4.1 transcript:Potri.010G210600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210600.v4.1 MTGCIATIYGSLEKLDESYLQPNQNKDSILKPIIITRVTNPIFLLPDTKKPENRKLYYGTSHPGYVSDIHNSVCSHCTSQGYGTCMSQEVKFVGTNVSAATDTPASDQGGYVKVLVTCMVTDDLSVSPMSMVSVVGLLNKIDIKDFSVLEEGINEAIVLLKASLLSNEALTTVFRIKQTVRYCDPPK >Potri.011G074742.1.v4.1 pep chromosome:Pop_tri_v4:11:14430588:14430683:-1 gene:Potri.011G074742.v4.1 transcript:Potri.011G074742.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074742.v4.1 MGVAMALFAVFLSIILVIYNSSVLLDGISMN >Potri.010G147700.1.v4.1 pep chromosome:Pop_tri_v4:10:15856271:15858161:1 gene:Potri.010G147700.v4.1 transcript:Potri.010G147700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147700.v4.1 MNEMKENNNISSVCTNSMEKREEKKELTRSTTLKTENFNMANTSFITPPPPPPATTTSDIDQMPSVFALSTTATPPPPLNSIFDMMPFDIIGGDQKAGSLGFTDLLDINQDFGAAASLFDWFAQNPIVGSQQQQTFVPSPASTLPETSEVLNNPATPNSSASISSSSNEAGNDAFQQVKTGDQEEEQDHDKTKKQLKPKKKNQKRQREPRFAFMTKSEVDHLDDGFRWRKYGQKAVKNSPYPRSYYRCTSAGCGVKKRVERSSDDSSIVVTTYEGQHIHPSPLTPRGSIGILSDSTGFGAATSSFVIPQTQYQQHAYLYSSSPSLNINTTSNTSFSPTFSFHQRRSDSPASLLRDHGLLQDIVPSQMRKEPKEE >Potri.004G231100.1.v4.1 pep chromosome:Pop_tri_v4:4:23470069:23471829:1 gene:Potri.004G231100.v4.1 transcript:Potri.004G231100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231100.v4.1 MVNGVEDYPKKHVHQQQLHTKYKLKMFVLVILTNLLTMYIFTSPSFNWKPFPLGSKNHISLPLGDPTTLLDELSATKEQLAISHSLIAEFHKKLNSTNLFVEALLTELRSRQEGLTEKEKGSDPMKLLNAAMSDEVMLVVGPHKLPLGYSPRMGSDEVYPPVGGACLRYQEELAQYMTYEVGRECPVDDVFAQRLMLKGCEPLPRRRCHPKSPANYVEPTPFPKSLWTTPPDTSIIWDPYTCKSYKCLIERRKAPGYFDCKDCFDLEGREKSRWLLDNGGLDYGIDEVLKTRPQGTIRIGFDIGGGSGTFAARMKERNVTIITSSMNLDGPFNSFIASRGLISIHVSVSQRLPFFDNTLDIVHSMHVLSNWIPDAMLEFTLYDIYRVLRPGGLFWLDRFFCLGSQLNQTYVPMLDRVGFRNLRWNAGMKLDRGIDKNEWYFSALLEKPMT >Potri.006G204500.1.v4.1 pep chromosome:Pop_tri_v4:6:21249637:21253456:-1 gene:Potri.006G204500.v4.1 transcript:Potri.006G204500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204500.v4.1 MRAGGCTVQQALTADAASVIKQAVTLARRRGHAQVTPLHVANTMLSASTGLFRTACLQSHSHPLQCKALELCFNVALNRLPASTSSPILGTHSQQFPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLIISILDDPSVSRVMREAGFSSTQVKSNVEQAVSLEICSQSAPSVSSKSKESNGLVLSQSPTSSQVGAKATVLDPIKNEDVMCVIENLVNKRRRSFVIVGESLASIEVVVKGVIDKVQKGDVPEALREVKFLTIPVSSFGHFSRVEVEHKLEELKIHVRSYMGKGVVLNLGDLKWAIENRASSSSSEQGRCFFCPMEYMIIELGKLACGIGENINGRFWLMGIATFQTYMKCKSGHPSGGTVLGLHPLTIPAGSLRLSLISDSDLRCQSTRNKAGNGSSSWILHEGGEDKQLTCCADCSAKFESEARSLPTSTCDSDSTTSGLPAWLQQCKNEKNLQNSDNQNSMSIKDLCRKWNSFCSSIHRQHYFSEKTLTFSSVSPSSSTSYDQQYPIFQQTHNEWPIVEPKQSLRDHPFWLGTGTLNKCSINEPSLRMYIPEHKDHTKQLPFSSNPNSTPNSTSSSDVMEVVYLHKFKELNAENLKILSIALEKKVPWQRDIIPEIASTILQCRSGMIRRKGKMKNSESKEETWLFFQGVDVEAKEKIAKELARLVFGSNDSFISVSLSSFSSTRADSTEDCRNKRSRDEQSCSYIERFSEAASNNPRRVFLVEDVEQADYCSQIGFKRAIESGRITNSNGQEVGLSDAIIILSCESFSSRSRACSPPIKQRTDGSYEEEDNAGAGAALMEDTTPCISLDLNISVDDDNILEDQSIDDIGLLESVDRRIIFKIQEF >Potri.001G145900.7.v4.1 pep chromosome:Pop_tri_v4:1:12026272:12030101:-1 gene:Potri.001G145900.v4.1 transcript:Potri.001G145900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145900.v4.1 MINEEYIEATDGCESNILEFGKAIGIGKNLHLKRVYGSDFESRLAWPEHSLAATPQEVIPSNSKLRRVSSSSARIGFSWTENPSLFSPQNIALNRSGLKRSHHSFGNLGSMWLEQQRRHKVPEVPEVIDSFSEVSDWLSEAEDDTFLEVPSDQCYSEIIKDNGKPLTKYVRIVSKQMVGIYVSIWVRKRLRRHINNLEVSPVGVGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLTSGQKDGAEQRRNADVYEIIRRTHFSSVTDANQPQTIPSHDHIFWFGDLNYRLNMLDTEVRKLVALKKWDQLINSDQLSKELRSGHVFEGWKEGVISFPPTYKYEINSDRYVGENPKEGEKKRSPAWCDRILWFGKGIKQLSYKQSELRLSDHRPVSSMFLVEVEVFDHRKLQKALNVNSAAVHPEIFPD >Potri.001G145900.6.v4.1 pep chromosome:Pop_tri_v4:1:12026273:12033084:-1 gene:Potri.001G145900.v4.1 transcript:Potri.001G145900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145900.v4.1 MRTRRGKRAEPFWPSLVMKKWLNIKPKVYDFSEDEYTETESEDDASPVKDERVNVNEDHANRTQGNPYVFQSRISDAPSKGYPSRHKRGKSETLRLQYINMKDVRVTIGTWNVAGRLPYEDLDIDDWLCTEEPADIYIIGFQEVVPLNAGNVLGAESNRPITKWEEIIRRTLNKSLQPERKYKCYSAPPSPVSRTSLVADELADEVDSLPLEMINEEYIEATDGCESNILEFGKAIGIGKNLHLKRVYGSDFESRLAWPEHSLAATPQEVIPSNSKLRRVSSSSARIGFSWTENPSLFSPQNIALNRSGLKRSHHSFGNLGSMWLEQQRRHKVPEVPEVIDSFSEVSDWLSEAEDDTFLEVPSDQCYSEIIKDNGKPLTKYVRIVSKQMVGIYVSIWVRKRLRRHINNLEVSPVGVGLMGYMGNKGSVSVSMSLFQSRLCFVCSHLTSGQKDGAEQRRNADVYEIIRRTHFSSVTDANQPQTIPSHDHIFWFGDLNYRLNMLDTEVRKLVALKKWDQLINSDQLSKELRSGHVFEGWKEGVISFPPTYKYEINSDRYVGENPKEGEKKRSPAWCDRILWFGKGIKQLSYKQSELRLSDHRPVSSMFLVEVEVFDHRKLQKALNVNSAAVHPEIFPD >Potri.006G238200.1.v4.1 pep chromosome:Pop_tri_v4:6:24010409:24015739:-1 gene:Potri.006G238200.v4.1 transcript:Potri.006G238200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238200.v4.1 MASLFRDLSLGHSKRESPPLKPPLKLQQLSIMPSKPTITTTDLDSPLGQLDTQLTDPDLRSTAYEIFVAACRTSSGKPLTYTPNPSNSDSTTNHSNHSPNSPALQRSLTSAAASKMKKALGLKSPGSGSKKSPGSGSSSGSGQGKARRALTVGELMRAQMRVSETVDSRIRRALLRIAAGQVGRRIESVVLPLELLQQLKLSDFTDQQEYEVWQKRTMKVLEAGLLLHPHVPLDKSNPTSQRLRQIIQGAMDRPIETGKNNESMQVLRSAVMSLASRSDGSLSEICHWADGIPLNLRLYEMLLQACFDVNDETSVIDEIDELMEHIKKTWTILGMNQMLHNLCFTWVLFHRFVATGQAETDLLDAADGQLAEVARDAKTTKDPQYSKILSSTLSSILGWAEKRLLAYHDTFDSGNVETMQGIVSLGVSAAKILVEDISNEYRRKRKGEVDVVRARIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVNEKQVFSPILKRWHPFSAGVAVATLHACYGNEIKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEAEAAIADLVKAWIKARLDRLKEWVDRNLQQEVWNPQANQEGYAPSAVEVLRIIDETLDAYFQLPIPMHPVLLPDLMTGLDRCLQYYATKAKSGCGSRNTYVPTMPALTRCTMESKFAWKKKEKSANTQKRNSQVATMNGDNSFGVPQLCVRINTLHRIRSELDVLEKRIITHLRNSESAHAEDFSNGLAKKFELTPAACIEGVQALSEAVAYKLVFHDLSHVFWDGLYVGEPSSSRIEPFIQEVERNLLIISNIIHERVRPRVVTDIMRASFDGFLLVLLAGGPSRAFMRQDSQIIEDDFKSLKDLFWANGDGLPTELIDKFSTTVRSILPLFRTDTESLIERYRRVTLETYGSSARSKLPLPPTSGQWNPTDPNTLLRMLCYRNDEAASRYLKKTYNLPKKL >Potri.006G238200.2.v4.1 pep chromosome:Pop_tri_v4:6:24010436:24015664:-1 gene:Potri.006G238200.v4.1 transcript:Potri.006G238200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238200.v4.1 MCLLQVGRRIESVVLPLELLQQLKLSDFTDQQEYEVWQKRTMKVLEAGLLLHPHVPLDKSNPTSQRLRQIIQGAMDRPIETGKNNESMQVLRSAVMSLASRSDGSLSEICHWADGIPLNLRLYEMLLQACFDVNDETSVIDEIDELMEHIKKTWTILGMNQMLHNLCFTWVLFHRFVATGQAETDLLDAADGQLAEVARDAKTTKDPQYSKILSSTLSSILGWAEKRLLAYHDTFDSGNVETMQGIVSLGVSAAKILVEDISNEYRRKRKGEVDVVRARIDTYIRSSLRTAFAQRMEKADSSRRASKNQPNPLPVLAILAKDVGELAVNEKQVFSPILKRWHPFSAGVAVATLHACYGNEIKQFISGITELTPDAVQVLRAADKLEKDLVQIAVEDSVDSDDGGKAIIREMPPYEAEAAIADLVKAWIKARLDRLKEWVDRNLQQEVWNPQANQEGYAPSAVEVLRIIDETLDAYFQLPIPMHPVLLPDLMTGLDRCLQYYATKAKSGCGSRNTYVPTMPALTRCTMESKFAWKKKEKSANTQKRNSQVATMNGDNSFGVPQLCVRINTLHRIRSELDVLEKRIITHLRNSESAHAEDFSNGLAKKFELTPAACIEGVQALSEAVAYKLVFHDLSHVFWDGLYVGEPSSSRIEPFIQEVERNLLIISNIIHERVRPRVVTDIMRASFDGFLLVLLAGGPSRAFMRQDSQIIEDDFKSLKDLFWANGDGLPTELIDKFSTTVRSILPLFRTDTESLIERYRRVTLETYGSSARSKLPLPPTSGQWNPTDPNTLLRMLCYRNDEAASRYLKKTYNLPKKL >Potri.001G256500.4.v4.1 pep chromosome:Pop_tri_v4:1:27246675:27247664:-1 gene:Potri.001G256500.v4.1 transcript:Potri.001G256500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256500.v4.1 MLHIFCDICIKVIDMGMRPKQTGHAFTKTQLKNKWDGCKKDWRIWNKLQIRGAKKFRHNGIEPSLKNKYDRMYSNIIATREFAWAPSSGVLGGNDVDLGTSSANIDRASFEEGSGINMSSSINTRSNDKRKERDPSEVRGRKKKTFGIGVRLLSRCNQLLESMSTKSDYTSLNMDREGCSIHEVMAELHSIPGVSIEDEFHNFATEYLSLRRKKEMWASMGDKQQKLRWLQ >Potri.002G010200.2.v4.1 pep chromosome:Pop_tri_v4:2:643490:645458:-1 gene:Potri.002G010200.v4.1 transcript:Potri.002G010200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010200.v4.1 MSRSLGIPVKLLHEASGHIVTVELKSGELYRGSMVECEDNWNCQLESITYTAKDGKVSQLEHVFIRGSKVRFMVIPDMLKNAPMFKRLDARIKGKSASLGVGRGRSVAMRSKAQATGRGAAPGRGVVPPVRR >Potri.006G190200.7.v4.1 pep chromosome:Pop_tri_v4:6:19716083:19723463:-1 gene:Potri.006G190200.v4.1 transcript:Potri.006G190200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190200.v4.1 MAFDQTPIPNDVRPLNIARAIPEEPRIMAAMASSSSSAVTTPATAGRNPEFFSNPEGSVPVIYSASVSDAGFVGLGYGNTVPGVTPWAPLLQVPVGSVNVGANGSGVAFGYNPNLGNWIVGNAVDHAGNEMVSGFGSSPNFGNRINVNGSNEAVNTGSAYNPNLGSCGSGSGADHGSENGKDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFNELQRKMTDTYQQLVVIKYQLPDEDLDALVSVSCADDLDNMMEEYEKLVERSLDGSAKLRVFLFSDLQLDASGSVQFGDLHDSGQKYFDAVNGVVDCGGRRIARKESKASVSSTQNSDCSGTEAVDCSGPGQGDVTWPPSTSLLSPRDNSATSHDSTPKLVIADTKPPPYAGASAVSLVIPTAKSGPPQTSCSQTEVEFERSAPFTEKQQHMAHDFKQVGSGIPPHAPQMQVYVDPNQEITNHADYRHLPRQMGFPNNHLLGTSGSVLTQQHFHESNAGATSLQYVPAVHMTMASTPVRPTVVQPLMQPQKTRLEHYPEENAFGTRIVQVLDPSYNVYRAQLPHAVVGGGYGWTQVPQPEHVAFSDGSVSHQQVIFPEKVPRMEDCYMYQKALPHAHSDPLVQDPRESGMIYTNSLHHSLLLEDTMKAWPMDRVLITGALGEHIIEQGAGAQPAVLSHMDHHIGMPQSEAIVPSQNLESLNENERTFLNTDNSDQSKISAPYGMIGLPGDVQSPCGMSTGGIPESHIEDYVQQHSVPMQPQILLSKPANTDVSHAAGVPIQASEQLVHESPKEYTGKLPGVVSKEYAVDSYISYDQLRLVDGMMDALHTRPPEINVNNDQKKSLVDKFRKEEILDHKTQKIAGREVLLDNTLSKPQVVPNSNHIKQFKVLPASTGVSYMHISRPMELHEVAQPPIVVNKASHPQFKIEIPALDSAEVSYGIPAFSGVESVYVNDRIPPVVEWKNDSQLHSKVVPSDVEALSSTGNTLSSLSPSSGVGNAQDSSNSLFSSQDPWNSRHDNHFPPPRPSKIATKKEVFGTRDPFIENHSGEVDLITGVMVEDGVPKPLSNSNKDLECVQSSEGSAEELIRKELKAVAEGVAASVFQSANSNPEPTVSESSESAYEPNQEKEVSNEGLEIKQKAKFEDMKKKLPEKVNFCFPVSEGLGCLQIIKNSDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMRDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERSLDKRKRLMIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGMVLWELLTGEEPYADLHYGAIIGGIVSNTLRPPVPETCDPDWRSLMERCWSAEPSDRPNFTEIANELRAMAAKIPSKGQAP >Potri.006G190200.1.v4.1 pep chromosome:Pop_tri_v4:6:19716095:19723463:-1 gene:Potri.006G190200.v4.1 transcript:Potri.006G190200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190200.v4.1 MAFDQTPIPNDVRPLNIARAIPEEPRIMAAMASSSSSAVTTPATAGRNPEFFSNPEGSVPVIYSASVSDAGFVGLGYGNTVPGVTPWAPLLQVPVGSVNVGANGSGVAFGYNPNLGNWIVGNAVDHAGNEMVSGFGSSPNFGNRINVNGSNEAVNTGSAYNPNLGSCGSGSGADHGSENGKDDSVSGKKVKFLCSFGGKILPRPSDGMLRYVGGQTRIISVRRDVSFNELQRKMTDTYQQLVVIKYQLPDEDLDALVSVSCADDLDNMMEEYEKLVERSLDGSAKLRVFLFSDLQLDASGSVQFGDLHDSGQKYFDAVNGVVDCGGRRIARKESKASVSSTQNSDCSGTEAVDCSGPGQGDVTWPPSTSLLSPRDNSATSHDSTPKLVIADTKPPPYAGASAVSLVIPTAKSGPPQTSCSQTEVEFERSAPFTEKQQHMAHDFKQVGSGIPPHAPQMQVYVDPNQEITNHADYRHLPRQMGFPNNHLLGTSGSVLTQQHFHESNAGATSLQYVPAVHMTMASTPVRPTVVQPLMQPQKTRLEHYPEENAFGTRIVQVLDPSYNVYRAQLPHAVVGGGYGWTQVPQPEHVAFSDGSVSHQQVIFPEKVPRMEDCYMYQKALPHAHSDPLVQDPRESGMIYTNSLHHSLLLEDTMKAWPMDRVLITGALGEHIIEQGAGAQPAVLSHMDHHIGMPQSEAIVPSQNLESLNENERTFLNTDNSDQSKISAPYGMIGLPGDVQSPCGMSTGGIPESHIEDYVQQHSVPMQPQILLSKPANTDVSHAAGVPIQASEQLVHESPKEYTGKLPGVVSKEYAVDSYISYDQLRLVDGMMDALHTRPPEINVNNDQKKSLVDKFRKEEILDHKTQKIAGREVLLDNTLSKPQVVPNSNHIKQFKVLPASTGVSYMHISRPMELHEVAQPPIVVNKASHPQFKIEIPALDSAEVSYGIPAFSGVESVYVNDRIPPVVEWKNDSQLHSKVVPSDVEALSSTGNTLSSLSPSSGVGNAQDSSNSLFSSQDPWNSRHDNHFPPPRPSKIATKKEVFGTRDPFIENHSGEVDLITGVMVEDGVPKPLSNSNKDLECVQSSEGSAEELIRKELKAVAEGVAASVFQSANSNPEPTVSESSESAYEPNQEKEVSNEGLEIKQKAKFEDMKKKLPEKVNFCFPVSEGLGCLQIIKNSDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMRDDFWNEAIKLADLHHPNVVAFYGVVLDGPGGSVATVTEYMVNGSLRNALQKNERSLDKRKRLMIAMDVAFGMEYLHGKNIVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGMVLWELLTGEEPYADLHYGAIIGGIVSNTLRPPVPETCDPDWRSLMERCWSAEPSDRPNFTEIANELRAMAAKIPSKGQAP >Potri.006G076800.6.v4.1 pep chromosome:Pop_tri_v4:6:5675494:5678202:-1 gene:Potri.006G076800.v4.1 transcript:Potri.006G076800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076800.v4.1 MAASTNPWLLLLLVLLALNFARIVSESLILDHRGLEDDEFDPIEELELEEDFWASDNSIPNISPDCERPCLVNACSDEEWQEGLARLIQEIERERYSYAVEILQTEKRELKRALATMELACFVVTALLPSVAFSMLKLYSSNISRKTML >Potri.006G076800.8.v4.1 pep chromosome:Pop_tri_v4:6:5675494:5678202:-1 gene:Potri.006G076800.v4.1 transcript:Potri.006G076800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076800.v4.1 MAASTNPWLLLLLVLLALNFARIVSESLILDHRGLEDDEFDPIELELEEDFWASDNSIPNISPDCERPCLVNACSDEEWQEGLARLIQEIERERYSYAVEILQTEKRELKRALATMELACFVVTALLPSVAFSMLKLYSSNISRKTML >Potri.006G076800.5.v4.1 pep chromosome:Pop_tri_v4:6:5675494:5678202:-1 gene:Potri.006G076800.v4.1 transcript:Potri.006G076800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076800.v4.1 MAASTNPWLLLLLVLLALNFARIVSESLILDHRGLEDDEFDPIEELELEEDFWASDNSIPNISPDCERPCLVNACSDEEWQEGLARLIQEIERERYSYAVEILQTEKRELKRALATMELACFVVTALLPSVAFSMLKLYSSNISSRKTML >Potri.006G076800.7.v4.1 pep chromosome:Pop_tri_v4:6:5675494:5678202:-1 gene:Potri.006G076800.v4.1 transcript:Potri.006G076800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076800.v4.1 MAASTNPWLLLLLVLLALNFARIVSESLILDHRGLEDDEFDPIELELEEDFWASDNSIPNISPDCERPCLVNACSDEEWQEGLARLIQEIERERYSYAVEILQTEKRELKRALATMELACFVVTALLPSVAFSMLKLYSSNISSRKTML >Potri.011G047600.1.v4.1 pep chromosome:Pop_tri_v4:11:3773106:3779867:-1 gene:Potri.011G047600.v4.1 transcript:Potri.011G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047600.v4.1 MTVETLPYQNHVVSNGDLASTNPNSTKKSKESERRRRRRKQKKNKSQAPDASAAAADGDDSDAANGDGGDAKENADPQQVMEQVVIEYVPEKAELEDGMDEFRNVFEKFNFLQSAGSEENDKKDESIQNADAKKKTDSDSDSEEEEDNEQKEKGVSNKKKKLQRRMKIADLKQICSRPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDGKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTMHGDLYHEGKEFEVKLREMKPGSLSQELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHAGGWGKPPVDEYGRPLYGDVFGVQIQEQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEEEEEQLDEEELEDGVQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKQERIAAGTLLGTTHTYVVNTGSQDKTAAKRVDLLRGQKTDRVDVTLLPEELEVMDNVLPSKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKDGKSKKKDFKF >Potri.011G047600.2.v4.1 pep chromosome:Pop_tri_v4:11:3773113:3779863:-1 gene:Potri.011G047600.v4.1 transcript:Potri.011G047600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047600.v4.1 MQPKMGKMDIDYQVLHDAFFKYQTKPKLTMHGDLYHEGKEFEVKLREMKPGSLSQELKEALGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHAGGWGKPPVDEYGRPLYGDVFGVQIQEQPNYEEEPVDKSKHWGDLEEEEEEEEEEEEEEEEEEQLDEEELEDGVQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKQERIAAGTLLGTTHTYVVNTGSQDKTAAKRVDLLRGQKTDRVDVTLLPEELEVMDNVLPSKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQEKDGKSKKKDFKF >Potri.003G026914.3.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2881710:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MMMKRMGAWMLLALLTLVGEWSGRCYGCLEEERIGLLEIKALDGFYLRDWVDSSNCCEWPGIECDNTTRRVIQLSLVGARDFSSGDWVLNASLFQPFKELQGLDLGVNGLVGCLENEGFEVLSSKLSNLDISFNQFNNDKSILSCFNGLSTLKSLDLSYNELTGGSGLKVLSSRLKKLENLLLRWNQYNDTIFPSLTGFSSLKSLDLSANQLTGSINSFQLQPMRLGKLENLDLSENQLNSSILSILRGLSSLKSLDLSFNQLTGSGFEIISSHLGKLENLDLTYNIFNDSILSHLRGFSSLKSLNLSGNMLLGSTTVNGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.9.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2881710:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MLLGSTTVNGLRTLEFLQSLPISLKTLSLKDTNLSQGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.4.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2881710:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MMMKRMGAWMLLALLTLVGEWSGRCYGCLEEERIGLLEIKALDGFYLRDWVDSSNCCEWPGIECDNTTRRVIQLSLVGARDFSSGDWVLNASLFQPFKELQGLDLGVNGLVGCLENEGFEVLSSKLSNLDISFNQFNNDKSILSCFNGLSTLKSLDLSYNELTGGSGLKVLSSRLKKLENLLLRWNQYNDTIFPSLTGFSSLKSLDLSANQLTGSINSFEIISSHLGKLENLDLTYNIFNDSILSHLRGFSSLKSLNLSGNMLLGSTTVNGLRTLEFLQSLPISLKTLSLKDTNLSQGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.1.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2881710:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MMMKRMGAWMLLALLTLVGEWSGRCYGCLEEERIGLLEIKALDGFYLRDWVDSSNCCEWPGIECDNTTRRVIQLSLVGARDFSSGDWVLNASLFQPFKELQGLDLGVNGLVGCLENEGFEVLSSKLSNLDISFNQFNNDKSILSCFNGLSTLKSLDLSYNELTGGSGLKVLSSRLKKLENLLLRWNQYNDTIFPSLTGFSSLKSLDLSANQLTGSINSFQLQPMRLGKLENLDLSENQLNSSILSILRGLSSLKSLDLSFNQLTGSGFEIISSHLGKLENLDLTYNIFNDSILSHLRGFSSLKSLNLSGNMLLGSTTVNGLRTLEFLQSLPISLKTLSLKDTNLSQGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.5.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2881710:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MMMKRMGAWMLLALLTLVGEWSGRCYGCLEEERIGLLEIKALDGFYLRDWVDSSNCCEWPGIECDNTTRRVIQLSLVGARDFSSGDWVLNASLFQPFKELQGLDLGVNGLVGCLENEGFEVLSSKLSNLDISFNQFNNDKSILSCFNGLSTLKSLDLSYNELTGGSGLKVLSSRLKKLENLLLRWNQYNDTIFPSLTGFSSLKSLDLSANQLTGSINSFEIISSHLGKLENLDLTYNIFNDSILSHLRGFSSLKSLNLSGNMLLGSTTVNGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.6.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2880494:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MHCIFFYVYLVGFQLQPMRLGKLENLDLSENQLNSSILSILRGLSSLKSLDLSFNQLTGSGFEIISSHLGKLENLDLTYNIFNDSILSHLRGFSSLKSLNLSGNMLLGSTTVNGLRTLEFLQSLPISLKTLSLKDTNLSQGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.8.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2880494:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MHCIFFYVYLVGFQLQPMRLGKLENLDLSENQLNSSILSILRGLSSLKSLDLSFNQLTGSGFEIISSHLGKLENLDLTYNIFNDSILSHLRGFSSLKSLNLSGNMLLGSTTVNGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.2.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2881710:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MMMKRMGAWMLLALLTLVGEWSGRCYGCLEEERIGLLEIKALDGFYLRDWVDSSNCCEWPGIECDNTTRRVIQLSLVGARDFSSGDWVLNASLFQPFKELQGLDLGVNGLVGCLENEGFEVLSSKLSNLDISFNQFNNDKSILSCFNGLSTLKSLDLSYNELTGGSGSLKVLSSRLKKLENLLLRWNQYNDTIFPSLTGFSSLKSLDLSANQLTGSINSFQLQPMRLGKLENLDLSENQLNSSILSILRGLSSLKSLDLSFNQLTGSGFEIISSHLGKLENLDLTYNIFNDSILSHLRGFSSLKSLNLSGNMLLGSTTVNGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.10.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2881710:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MLLGSTTVNGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.003G026914.7.v4.1 pep chromosome:Pop_tri_v4:3:2876138:2881710:-1 gene:Potri.003G026914.v4.1 transcript:Potri.003G026914.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026914.v4.1 MRLGKLENLDLSENQLNSSILSILRGLSSLKSLDLSFNQLTGSGFEIISSHLGKLENLDLTYNIFNDSILSHLRGFSSLKSLNLSGNMLLGSTTVNGLRTLEFLQSLPISLKTLSLKDTNLSQGTFFNSSTLEELHLDRTSLPINFLRNIGALPALKVLSVAECDLHVTLPAQGWCELKNLKQLDLSRNNFGGSLPDCLGNLSSLQLLDVSDNQFTENIASGPLTNLVSLKFLSLSNNLFEVPTSMKPFMNHSSLSSENNRLVTEPAAIDNLIPKFQLVFFSLSKTTEAFNVEIPDFLYYQYNLRVLDLSHNYITGMFPSWLLKNNTRLEQLYLSKNSFVGALKLQDHPYPNMTKLDISNNNMNGQISKNICLIFPNLLSLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIWFLKLSNNNLSGQIPTSVFNSSTSEFLYLSGNNFWGQLSDFPLYGWKVWSVLDLSNNQFSGMLPRWFVNSTQLKIVDLSKNHFKGPIPRGFFCKFDQLEYLDLSENNLSGYISSCFNSPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSPKAFADPGEIFPSRSIEKAYYETMGPPLVDSVYNLGYYFWLNFTEEVIEFTTKKMSYGYKGIVLSYMYGIDLSNNNLIGAIPLEFGKLSEILSLNLSHNNLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEAVPSQPVPNDEQGDDGFIDMEFFYISFGVCYTVVVMAIAAVLYINPYWRRRWLYFIEDCIGTCYYFVVASYRKFSNFRR >Potri.009G147750.1.v4.1 pep chromosome:Pop_tri_v4:9:11678709:11679539:1 gene:Potri.009G147750.v4.1 transcript:Potri.009G147750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147750.v4.1 MVSSTSTRPTQMECECSRRSMKDAIHLSSCFVSRIPPTFAMMTRTPAQSHEDHAGTGIPGSTLLSAINQIEWTDNQHFVKPYIRRFFFSGVACTCALIPYLFSWKFSKASEIAPTCYMMFRKRNVTGRHG >Potri.007G022000.3.v4.1 pep chromosome:Pop_tri_v4:7:1697373:1699535:1 gene:Potri.007G022000.v4.1 transcript:Potri.007G022000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022000.v4.1 MRHLTLVLLLTILIHQGCLHFHVEAGDGFIRARGVQFLLNGSPYYANGFNAYWLMYTASDPSQRSKVSAAFREAASHGLTVARTWAFSDGGYSPLQYSPGSYNEQMFKGLDFVIAEARRYGIKLILSLANNYDSFGGKKQYVNWARSRGQYLTSDDDFFRHPVVKGYYKNHIKTVLYRYNSFTGIHYKDDPTIMAWELMNEPRCTSDPSGRTIQAWITEMASFVKSIDRNHLLEAGLEGFYGPSTPQRNRLNPGLKIGTDFIANNRIPGIDFATAHAYPDQWLSSSSDQSQLSFLNNWLDTHIQDAQNILRKPILIAEFGKSWKDPGFSTYQRDLVFNTVYYKIYSSAKRGGAAAGGLFWQLLTEGMGNFRDGYEIVLGQPSSTANVIAQQAHKLNQIRKIFLRMRNVERWKRARAARARRGRWQGGKKGKRIGN >Potri.012G123900.1.v4.1 pep chromosome:Pop_tri_v4:12:14077977:14080034:-1 gene:Potri.012G123900.v4.1 transcript:Potri.012G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123900.v4.1 MAAVLASTMPDDSSFDVDKLTYEIFSILENKFLFGGYDDPKLSKNTHQVPIQEQLKPTKQFNGGKVRILSIDGGGATNGILAAKSLTYLESCLRRKSGNPNASVSDYFDVVAGSGSGGVLAALLFTRGKNGRPMFTAEEALNFLVKINKKMNRSQGVFGKLFGSAKAEKVFAKTFGELTLKDTIKSALIPCYDLSTHAPFLFSRADALEMDGYDFKMSDVCLATSADPTMVGAVDMRSVDKRTKIVAIDGGIAMNNPTAAAITHVLNNKQEFPLCNGVEDLLVVSLGNGESDFGYQNQNSTPARFVRIAGEGASDMVDQAVSMAFGNCRTSNYVRIQANGIIAKKHGIADKSMKSNKKADLLAMTAEMLAQKNVESVLFEGKKIVESTNFDKLETFTGELIKEQERRKTSILPTVVLKQNSPSPRTSSATTLSTLSSY >Potri.015G116800.1.v4.1 pep chromosome:Pop_tri_v4:15:13139196:13139399:1 gene:Potri.015G116800.v4.1 transcript:Potri.015G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116800.v4.1 MMRKILVAVLIVSMVASHFENVASDASDCNDACTTGCVQSNTRLMRRCEIKCGIRCGPDSEVEDHTG >Potri.005G034300.1.v4.1 pep chromosome:Pop_tri_v4:5:2262370:2263520:-1 gene:Potri.005G034300.v4.1 transcript:Potri.005G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G034300.v4.1 MASLKVNICLFVLFHAIFLLSSEVLGYGGDELKLLENINTYRTSYWDIPALTKNKKARCVAKNIAATLEQPCNETTRPFKVILDKYPDQLANCIGTNHTTDGVVLPVCLPEDGLAEVSLLHNYTRTRYVNYIKDSNFTGIGIGSNDYWMVVVLNKKTSTWSSSASANGLVSKLGFGHGVVSLFLGMLFYLVL >Potri.003G134600.1.v4.1 pep chromosome:Pop_tri_v4:3:15262928:15263741:-1 gene:Potri.003G134600.v4.1 transcript:Potri.003G134600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134600.v4.1 MRASCILLCFFMFLAVSSAYPGKKKQYKPCKEFVLYFHDILYNGKNAANATSAIVAAPEGANLTILAGQNHFGNIIVFDDPITLDNNLHSPPVGRAQGMYIYDTKNTFTSWLSFTFALNSTQHQGTISFIGADPILVKSRDISVVGGTGDFFMHRGIATIGTDAFEGDVYFRLHVDIKFYECW >Potri.002G129300.1.v4.1 pep chromosome:Pop_tri_v4:2:9793681:9797094:1 gene:Potri.002G129300.v4.1 transcript:Potri.002G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129300.v4.1 MVVTDLFLGEIATELLKQLLAISKKASLCKSSAESLMAGINELIPMIQEIKLSGVELPSNRQFQLDHLSRTLHEGLELSKKVLKSNRWNVYKNLQLARKMEKIEKKIYMFINGPLQVHLLADVHHMRFETTERFDKLEWSAKKLEESIGNLKIGVGGGGGGGWMEEAVKRLEDEEMKWEGSFGNNFYSGLGIEEGKRKVKEMVIESKNLNVVGICGIGGSGKTTLANEICRDDQVRCHFENRIFFLTVSQSPNVENLRAKIWGFITGNDGMGGMGYDLVPKWNLQFEWRIVAPMLIVLDDVWSLPVLDQLIFKVAGCKTLVVSRFKFPKVCNATYNVELLRREEAISLFCHSAFGKTSIPPAADSNLVKQIVDECKGLPLALKVIGASLRDQPEMYWESARKRLSRGEPICESHESKLLDRMAISTQFLSKNVRECFLDLGSFPEDKKIPLDVLINMWVEIHDIDPEEAFAILVELSDKNLLTLVKDARAGDLYSSYYEICIMQHDVLRDLAIHLSSCGDINERKRLLMPRREAQLPKEWERNADRPFNAQIVSIHTGEMKEMDWFRMDFPKAEVLILNFSANDFFLPPFIDDMPKLRALVMINYSTSNATIGNFSIFSSLANLRSLWLEKVSIGRLSESTVPLKNLRKISLILCKINKSLDESVIDLSHIFPSLSELTIDHCEDLIQLPSSICRIHSLQSLSITNCHNLEKLPPNLGNLKSLQILRLYACPTLKMLPPCVCDLIWLKFLDISQCVNLKGLPEWIGKLSRLEKIDMRECSLVKLPNSVASLESLRKVICEEDVSWLWKEMKKVNLDVQVAEKCYSLDWLDDY >Potri.002G163700.1.v4.1 pep chromosome:Pop_tri_v4:2:12541362:12544404:-1 gene:Potri.002G163700.v4.1 transcript:Potri.002G163700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163700.v4.1 MERQHQLLSKIATNDRHGENSPYFDGWKAYDKNPFHPTDNPDGVIQMGLAENQLSADSIIDWIKKHPKASICNPEGVHMFKDIANFQDYHGLPEFRQAIAKFMGRVRGGRVTFDPDRIVMSGGATGANELIMFCLADPGDAFLVPSPYYPAFYRDLGWRTGVQIVPVDCDSSNNFQITKVALEAAYDKAQQDGINVKGLIITNPSNPLGTTLDRETLKCLLSFINEKNIHIVCDEIYAATIFSSQNFVSVSEVIEEVVDCNRDLIHIVYSLSKDMGLPGFRVGIVYSYNDAVVNCGRKMSSFGLVSSQTQYLLASMLSDEEFVEDFLAESSKRLKKRHGIFTKGLEQIGISCLESKAGLFVWMNLRHLLKEQTNDGEMALWRVIVNDVKLNVSPGSSFHCVEPGWFRVCFANMDDETLEVALKRINAFVGEQKERDTLSTKTKDMPSKTKCWKKNLRLSFSSRIFEEGIGSPIAMSPHSPLVLART >Potri.006G142600.2.v4.1 pep chromosome:Pop_tri_v4:6:12023394:12024248:-1 gene:Potri.006G142600.v4.1 transcript:Potri.006G142600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142600.v4.1 MILPIFLIFSLLSSTSNAAVQDFCVADLTGPDTPTGFVCKKPAAVTVDDFVFSGLGAGGNTSNIIKAAVTPAFADKFGGVNGLGISMARLDLAVGGVIPMHTHPGGSEVLIVVSGSICAGFISSDNKVYFKSLKKGDIMAFPRGLLHFQINAGGTPALAFVSFSSERPGLQILDFALFANDLPSEIIETTTFLDDAQVKKLKGVLGGTG >Potri.006G142600.3.v4.1 pep chromosome:Pop_tri_v4:6:12023385:12024223:-1 gene:Potri.006G142600.v4.1 transcript:Potri.006G142600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142600.v4.1 MARLDLAVGGVIPMHTHPGGSEVLIVVSGSICAGFISSDNKVYFKSLKKGDIMAFPRGLLHFQINAGGTPALAFVSFSSERPGLQILDFALFANDLPSEIIETTTFLDDAQVKKLKGVLGGTG >Potri.002G036100.1.v4.1 pep chromosome:Pop_tri_v4:2:2381006:2382900:-1 gene:Potri.002G036100.v4.1 transcript:Potri.002G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036100.v4.1 MKGAYEMAHGALDLIQPHEPWDHCASVAFPAPISNPFPKPVIENRCVNLERNELSEWVEHVTKQLIDDVPDTETHDSLQTDTRMVYGDNNIVPSLLGDHFRQKKSMRRSYFDGNGEELQWSHELGEHQASISEKDGSARSSMSRIDENGLNLITLLLECAVAISVDNLGEAHRMLLELTQMASPYGPSSAERVVAYFSKAMGSRVINSWLGICSPLINHKSVHSAFQVFNNVSPFIKFAHFTSNQAILEAFQRRDSVHIIDLDIMQGLQWPALFHILATRIEGPPQVRMTGMGSSMEVLVETGKQLTNFARRLGLPFEFHPIAKKFGEIDMSMMPLRRGETLAVHWLQHSLYDATGPDWKTLRLLEALAPRVITLVEQDISHGGSFLDRFVGSLHYYSTLFDSLGAYLHCDDPGRHRIEHCLLYREINNILAIGGPARSGEDKFRHWRSELAKNSFMQVAMSGNSMAQAQLILNMFPPAHGYNLVQGDGTLRLGWKDTSLFTASAWTSRASR >Potri.012G140900.1.v4.1 pep chromosome:Pop_tri_v4:12:15289364:15290406:-1 gene:Potri.012G140900.v4.1 transcript:Potri.012G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140900.v4.1 MGEALKEMNKVLHERNIKAWEDKEKAKSANKAQRMLSDIKTWEEKMKISHEAKTMKIEAELESIRQHKHEKIKNEEAQIQKAMEQKKAAIDAQNQKKVLEITEKADKHRSNNTLPMKCFGICTD >Potri.002G078900.8.v4.1 pep chromosome:Pop_tri_v4:2:5521550:5524525:1 gene:Potri.002G078900.v4.1 transcript:Potri.002G078900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078900.v4.1 MITATLSLLSPALLTRKPPILTTTAPLFPSSLKLTPISHNFTFKLPPKRFIPKADDGDADGGPDDYDMDEEEVEELDNKKDYDVEYEPLSASAIAVGNEDEEISMVNSKSFVHTQGWDSEKIVDYRINEEEFHKISLFDCDFFIRKPPDPDDDVYDFREMYVTPPDTDVYAIPKVLAPMPQKYIRCAETDYGGYNVTEPPIDAPRDPFYKSEREIWKVFLFKHYRNRRLGDPDFVLDFDEIYVIDSKTKSITRAKVLVTVPGGRNRDRKTDLLVVRDKGTSFKIIHSSERDDPTTIIEKEEWARSRQDMERHLRKLRDFDVSNWF >Potri.002G078900.7.v4.1 pep chromosome:Pop_tri_v4:2:5521414:5524426:1 gene:Potri.002G078900.v4.1 transcript:Potri.002G078900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078900.v4.1 MITATLSLLSPALLTRKPPILTTTAPLFPSSLKLTPISHNFTFKLPPKRFIPKADDGDADGGPDDYDMDEEEVEELDNKKDYDVEYEPLSASAIAVGNEDEEISMVNSKSFVHTQGWDSEKIVDYRINEEEFHKISLFDCDFFIRKPPDPDDDVYDFREMYVTPPDTDVYAIPKVLAPMPQKYIRCAETDYGGYNVTEPPIDAPRDPFYKSEREIWKVFLFKHYRNRRLGDPDFVLDFDEIYVIDSKTKSITRAKVLVTVPGGRNRDRKTDLLVVRDKGTSFKIIHSSERDDPTTIIEKEEWARSRQDMERHLRKLRDFDVSNWF >Potri.006G063500.5.v4.1 pep chromosome:Pop_tri_v4:6:4533709:4537697:1 gene:Potri.006G063500.v4.1 transcript:Potri.006G063500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063500.v4.1 MPSFLVLAGRMKGIRDWVFGQLLSKSLASTGPLSGSGSFFSEEPVNEESDDPAHMAQLESSSPTSDTSCSSNCNQETGSPQSLEQVAADSYQPNHEVEVKKADSLTKIEDLRINFFRLLLRFGRSHDNLLVAKVLHRLHLAAAIRAGESNLKRVKADGARTVAAEQEASGTPELNFSLRILVLGKTGVGKSATINSVFDQPKAPTDAFRPATEHIKEVVGSINGVKVTFIDTPGFLPSSTSNLRRNRKIMLSVRRFIRKSPPDIVLFFERLDLINMGYCDFPLLKLMTEVFGNAVWFNTILVMTHGSSTPEGPTGYPISYESYVTQCTGLMQHYINQAVSDSKLENPVVLVENNPHCKKNLMGESVLPNGQVWKSHFLLFCICTKVLGDANTLLEFEGGIELGPLITPRVPSLPHLLSSFLKHRSTPCPSESEPEVDEILLSDADEEDDYDQLPPIRIMTKSQFEKLTKSLKKDYLDELDYRETLYLKKQLKDESRRRRERKLSGEENFGEDSNSDPQQASPEAVLLPDMAVPPSFDSDCTIHRYRCLVTSDQWLVRPVLDPQGWDHDVGFDGVNMETAIEIRKNVHASITGQMSKDKQDFSIQSECAAAYADPRGRTYSVGLDVQSSGKGTIYTVHSNTKLKNLKQNVTECGVSLTSFGNKYYVGTKLEDTMLVGKQLKFVVNAGQMRCSEQVAYGGSLEATLRGGDYPVRDDRISLSMSALSFKKEMVLGGGFQSEFRPVRGMRMAVNANLNSQNMGQVNIKISSSEHIEIALVSVFSIFKAILHKKMTENKSREVLEMG >Potri.006G063500.2.v4.1 pep chromosome:Pop_tri_v4:6:4533677:4537721:1 gene:Potri.006G063500.v4.1 transcript:Potri.006G063500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063500.v4.1 MKGIRDWVFGQLLSKSLASTGPLSGSGSFFSEEPVNEESDDPAHMAQLESSSPTSDTSCSSNCNQETGSPQSLEQVAADSYQPNHEVEVKKADSLTKIEDLRINFFRLLLRFGRSHDNLLVAKVLHRLHLAAAIRAGESNLKRVKADGARTVAAEQEASGTPELNFSLRILVLGKTGVGKSATINSVFDQPKAPTDAFRPATEHIKEVVGSINGVKVTFIDTPGFLPSSTSNLRRNRKIMLSVRRFIRKSPPDIVLFFERLDLINMGYCDFPLLKLMTEVFGNAVWFNTILVMTHGSSTPEGPTGYPISYESYVTQCTGLMQHYINQAVSDSKLENPVVLVENNPHCKKNLMGESVLPNGQVWKSHFLLFCICTKVLGDANTLLEFEGGIELGPLITPRVPSLPHLLSSFLKHRSTPCPSESEPEVDEILLSDADEEDDYDQLPPIRIMTKSQFEKLTKSLKKDYLDELDYRETLYLKKQLKDESRRRRERKLSGEENFGEDSNSDPQQASPEAVLLPDMAVPPSFDSDCTIHRYRCLVTSDQWLVRPVLDPQGWDHDVGFDGVNMETAIEIRKNVHASITGQMSKDKQDFSIQSECAAAYADPRGRTYSVGLDVQSSGKGTIYTVHSNTKLKNLKQNVTECGVSLTSFGNKYYVGTKLEDTMLVGKQLKFVVNAGQMRCSEQVAYGGSLEATLRGGDYPVRDDRISLSMSALSFKKEMVLGGGFQSEFRPVRGMRMAVNANLNSQNMGQVNIKISSSEHIEIALVSVFSIFKAILHKKMTENKSREVLEMG >Potri.006G063500.6.v4.1 pep chromosome:Pop_tri_v4:6:4533702:4537739:1 gene:Potri.006G063500.v4.1 transcript:Potri.006G063500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063500.v4.1 MKGIRDWVFGQLLSKSLASTGPLSGSGSFFSEEPVNEESDDPAHMAQLESSSPTSDTSCSSNCNQETGSPQSLEQVAADSYQPNHEVEVKKADSLTKIEDLRINFFRLLLRFGRSHDNLLVAKVLHRLHLAAAIRAGESNLKRVKADGARTVAAEQEASGTPELNFSLRILVLGKTGVGKSATINSVFDQPKAPTDAFRPATEHIKEVVGSINGVKVTFIDTPGFLPSSTSNLRRNRKIMLSVRRFIRKSPPDIVLFFERLDLINMGYCDFPLLKLMTEVFGNAVWFNTILVMTHGSSTPEGPTGYPISYESYVTQCTGLMQHYINQAVSDSKLENPVVLVENNPHCKKNLMGESVLPNGQVWKSHFLLFCICTKVLGDANTLLEFEGGIELGPLITPRVPSLPHLLSSFLKHRSTPCPSESEPEVDEILLSDADEEDDYDQLPPIRIMTKSQFEKLTKSLKKDYLDELDYRETLYLKKQLKDESRRRRERKLSGEENFGEDSNSDPQQASPEAVLLPDMAVPPSFDSDCTIHRYRCLVTSDQWLVRPVLDPQGWDHDVGFDGVNMETAIEIRKNVHASITGQMSKDKQDFSIQSECAAAYADPRGRTYSVGLDVQSSGKGTIYTVHSNTKLKNLKQNVTECGVSLTSFGNKYYVGTKLEDTMLVGKQLKFVVNAGQMRCSEQVAYGGSLEATLRGGDYPVRDDRISLSMSALSFKKEMVLGGGFQSEFRPVRGMRMAVNANLNSQNMGQVNIKISSSEHIEIALVSVFSIFKAILHKKMTENKSREVLEMG >Potri.008G041800.1.v4.1 pep chromosome:Pop_tri_v4:8:2346337:2352224:-1 gene:Potri.008G041800.v4.1 transcript:Potri.008G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041800.v4.1 MDHSLLSVLSLLVSLFVYSCICSPLEDQQRDKITSLPGQPVNVEFNQYSGYVTVNQQAGRALFYWLVEAPTSRSPESRPLVLWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYFNPYAWNKLANLLFLESPAGVGFSYSNTSSDLYTAGDQRTAEDAYTFLVNWFERFPQYKYRDFYIAGESYAGHYVPQLSQVVYQKNKGIKNPVINFKGFLVGNAVTDDYHDYVGTFEYWWTHGLISDSTYQTLRVTCDFESSTHPSVECIKALMLAELEQGNIDPYSIFTQPCNNTAALRHNLRGHYPWMSRAYDPCTERYSKVYFNHPEVQKALHANVTGIPYPWKTCSDIVGDYWADSPLSMLPIYKELIAAGLRIWVYSGDTDAVVPVTATRYSIDALKLPTIINWYPWYDNGKVGGWSQVYKGLSFVTVTGAGHEVPLHRPRQAFILFRSFLKNKSMPGQSF >Potri.008G041800.3.v4.1 pep chromosome:Pop_tri_v4:8:2346320:2352115:-1 gene:Potri.008G041800.v4.1 transcript:Potri.008G041800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041800.v4.1 MDHSLLSVLSLLVSLFVYSCICSPLEDQQRDKITSLPGQPVNVEFNQYSGYVTVNQQAGRALFYWLVEAPTSRSPESRPLVLWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYFNPYAWNKLANLLFLESPAGVGFSYSNTSSDLYTAGDQRTAEDAYTFLVNWFERFPQYKYRDFYIAGESYAGHYVPQLSQVVYQKNKGIKNPVINFKGFLVGNAVTDDYHDYVGTFEYWWTHGLISDSTYQTLRVTCDFESSTHPSVECIKALMLAELEQGNIDPYSIFTQPCNNTAALRHNLRGHYPWMSRAYDPCTERYSKVYFNHPEVQKALHANVTGIPYPWKTCSDIVGDYWADSPLSMLPIYKELIAAGLRIWVYR >Potri.002G066450.2.v4.1 pep chromosome:Pop_tri_v4:2:4580905:4590288:1 gene:Potri.002G066450.v4.1 transcript:Potri.002G066450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066450.v4.1 MEEVERDFHGMKRKQLQALCKKHGIPANKSNAEMADLLTLTLKRIENPKGQGQGEVQNVSDSMKVTKILKNVKFKPDVEIREYEPSVYKGRKRRRSMVNYGKVIGSPPGSRNREQRTVGRNVDEVVGKKRGRGREKKGSVGVENVDVSDNSRPPVITKEGDVQLVKGEDKSGRRRLRSREVVIEENVEGGEGELVVSRKNSKRGGSRKRGNRDGIRFSDEVSEENVSAKDDAKPANAPSGSRRNARKNESTSLLSVDFGKTESFGKTTRSRAKLRENTSSITADKAETVEVQDEYEKVLQTEEILKGAGRNALGRKSFVPRKRLVVEILSEEGVESVKGGRRSRRNTSKKEGTSLTSGCFCKTEIVGWTSRSRSKLEENTSSVTANKAETIEIQDESQKVLHLEEPLKDFGRYALRRKSIVPQKGVAVETVSKKDLESAKDARRSKRNMAKATDSKPIVQVVTRRRTRFGAQATVESADEITEVNKEHNKAVQLEEFLNAQDRNASRQKSFTAQKGQVESEGPDAKIETIKQSRNADLKFANKVEASGQFSSEIEKAPISIGHVRGSRSNTVVLSPAFATGELGIGEAVGMVGCLKRKRGPTLETDSSTVGECLAGKPSRELAQHASRGNLVGSTVPGKIVEKKQHGISAVPVMVEEALFTEETQIEDTGLTMPEATGDKPNFSLSCSKEVFETSDKKGSESKTSEMRTNFSEVAAGCSNIQEGVDTKTNLQETPSPTSTSVMLCFANQEIPENASQPIVLNEEANTVAGDMEKLAVDAIIEVAVDDPSSRSKEEGGADLGNDNLDEHGQTELQTNASDTFPLANRFSFAKQSDFSGLENGLERKELREDVHLESGDVNDCSTELVDRTSAVEGGFCDLENTGQKIRTNKNEHEEASCDDRPSPAAGEKMVSFITEINLQEDGDVLLIQAAEENQNFISEENSSNVLLVSRRTCTHELLHGEGTCLSAPEKGTDEGENGSVTLVPLQFTGIGKQRLSFYAGDSSEDRKMQHHDEKSTEKINSSCTDEKHVLEENEAAADALPQSSLQEKKEVTFAMKTDICAVETTGESEVTTHSDSGKASTGVNSSPILFEKLGGYNEMNAMRNCSIDASIDVPVSKSHEAVMVMESGRNMDGESDAEQSEFKEEKSGCAVETVHGDDNVCQKVSAEANASSLTWLISKEMEGFEGKTFDMMMGRHSDFLISSKELASGKNTARYQCDQVVRKESVTGALLFELCDHSISDEVAGKADATLTPTIYKELESFGGKKVGSRGNTSKSIEGINMWTDQQIISIGSDSNAGTFTQGMNDGFDEEKTAEVSDGDLYDHISKYGEAADKESLIMTTEKLDMSDTQNEGTAQRNCPVGLGNLFSDYGGEFLKINDAGRNASPDIASADCEGIDETSNGFMGSELELGVKAGKFDDLKEVNALELERSASVSLENRISMDVIAAFNVEKMEDDSKLYQSNIDDENECSIVSLPQVTPKGIAEHSVEEGLLHASKDDCSAALNDASKNIKADRAGSVAVIGKICFEKTEGCTKWTERTPISPQIPISSGKKAQQSSLEQKLFAESSGICGMGSSTDAVSELNVLLSHGEKYKSHPGSKSIGNEAGLKVMASNDREQVPLELLTGSDASALFTNWEVNLIQGSGEEDLVEESDGEASKDNLISNDSEHTAWMNKNVEEVLFTNREVNLILGNGEQDQVEKSGVASEDNLISNDPLDEHVAKTSISNETLDDAPLDLKVDNIYYSDIQDTCEIGSGAIDKVSSGAAAKMDMLEEVVIGGIPQTVIPTEASQEMAEIPLQERFLSCSKSEESLSPDTPCRLPEITSGASVVQRNGPESYKGEFVPQLYCKDMRSHGGEETTCDYRGSIPTEQGEFHQGDFKVLIAQKVGAEEVREALATDNTNLESNPSDPQEVAIEQANEHPDALNESLLMVGLDYPTQNQQSCGCSLRGDCKHALKERESHSIETEATNCLHQLDVGVFSEEIVDFGNGFVTSPFKRQRDVDSSAGTSVSAFQHRITENDAWVLNLFFEENEQDENQTSVARFLHTNLRNEGAGKVYENIAVTEQAAIQVDEEQHGELWQVMDEQIEEEKHTYFSVSDEPSFEDVEGSKKKDCALLEIDSIQERINCENHQNKSVNTEHSYDSKEKEILIDVNEVNGYHDDVSSEQMGERNSSYLKQYNNESLSVEDIGVIENLSVNVSSKPNISTLDQSTEIINSTSSQDIAVRNDQTHQLKLPSSQKGVSCDKEEETHSSDAKQLTTSLVRREARKTGFVQATPQKMVTYTGMKENLASIKMEKRGNMTAPNPLSKRRALGNLRNN >Potri.002G066450.3.v4.1 pep chromosome:Pop_tri_v4:2:4581091:4590285:1 gene:Potri.002G066450.v4.1 transcript:Potri.002G066450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066450.v4.1 MEEVERDFHGMKRKQLQALCKKHGIPANKSNAEMADLLTLTLKRIENPKGQGQGEVQNVSDSMKVTKILKNVKFKPDVEIREYEPSVYKGRKRRRSMVNYGKVIGSPPGSRNREQRTVGRNVDEVVGKKRGRGREKKGSVGVENVDVSDNSRPPVITKEGDVQLVKGEDKSGRRRLRSREVVIEENVEGGEGELVVSRKNSKRGGSRKRGNRDGIRFSDEVSEENVSAKDDAKPANAPSGSRRNARKNESTSLLSVDFGKTESFGKTTRSRAKLRENTSSITADKAETVEVQDEYEKVLQTEEILKGAGRNALGRKSFVPRKRLVVEILSEEGVESVKGGRRSRRNTSKKEGTSLTSGCFCKTEIVGWTSRSRSKLEENTSSVTANKAETIEIQDESQKVLHLEEPLKDFGRYALRRKSIVPQKGVAVETVSKKDLESAKDARRSKRNMAKATDSKPIVQVVTRRRTRFGAQATVESADEITEVNKEHNKAVQLEEFLNAQDRNASRQKSFTAQKGQVESEGPDAKIETIKQSRNADLKFANKVEASGQFSSEIEKAPISIGHVRGSRSNTVVLSPAFATGELGIGEAVGMVGCLKRKRGPTLETDSSTVGECLAGKPSRELAQHASRGNLVGSTVPGKIVEKKQHGISAVPVMVEEALFTEETQIEDTGLTMPEATGDKPNFSLSCSKEVFETSDKKGSESKTSEMRTNFSEVAAGCSNIQEGVDTKTNLQETPSPTSTSVMLCFANQEIPENASQPIVLNEEANTVAGDMEKLAVDAIIEVAVDDPSSRSKEEGGADLGNDNLDEHGQTELQTNASDTFPLANRFSFAKQSDFSGLENGLERKELREDVHLESGDVNDCSTELVDRTSAVEGGFCDLENTGQKIRTNKNEHEEASCDDRPSPAAGEKMVSFITEINLQEDGDVLLIQAAEENQNFISEENSSNVLLVSRRTCTHELLHGEGTCLSAPEKGTDEGENGSVTLVPLQFTGIGKQRLSFYAGDSSEDRKMQHHDEKSTEKINSSCTDEKHVLEENEAAADALPQSSLQEKKEVTFAMKTDICAVETTGESEVTTHSDSGKASTGVNSSPILFEKLGGYNEMNAMRNCSIDASIDVPVSKSHEAVMVMESGRNMDGESDAEQSEFKEEKSGCAVETVHGDDNVCQKVSAEANASSLTWLISKEMEGFEGKTFDMMMGRHSDFLISSKELASGKNTARYQCDQVVRKESVTGALLFELCDHSISDEVAGKADATLTPTIYKELESFGGKKVGSRGNTSKSIEGINMWTDQQIISIGSDSNAGTFTQGMNDGFDEEKTAEVSDGDLYDHISKYGEAADKESLIMTTEKLDMSDTQNEGTAQRNCPVGLGNLFSDYGGEFLKINDAGRNASPDIASADCEGIDETSNGFMGSELELGVKAGKFDDLKEVNALELERSASVSLENRISMDVIAAFNVEKMEDDSKLYQSNIDDENECSIVSLPQVTPKGIAEHSVEEGLLHASKDDCSAALNDASKNIKADRAGSVAVIGKICFEKTEGCTKWTERTPISPQIPISSGKKAQQSSLEQKLFAESSGICGMGSSTDAVSELNVLLSHVAGEKYKSHPGSKSIGNEAGLKVMASNDREQVPLELLTGSDASALFTNWEVNLIQGSGEEDLVEESDGEASKDNLISNDSEHTAWMNKNVEEVLFTNREVNLILGNGEQDQVEKSGVASEDNLISNDPLDEHVAKTSISNETLDDAPLDLKVDNIYYSDIQDTCEIGSGAIDKVSSGAAAKMDMLEEVVIGGIPQTVIPTEASQEMAEIPLQERFLSCSKSEESLSPDTPCRLPEITSGASVVQRNGPESYKGEFVPQLYCKDMRSHGGEETTCDYRGSIPTEQGEFHQGDFKVLIAQKVGAEEVREALATDNTNLESNPSDPQEVAIEQANEHPDALNESLLMVGLDYPTQNQQSCGCSLRGDCKHALKERESHSIETEATNCLHQLDVGVFSEEIVDFGNGFVTSPFKRQRDVDSSAGTSVSAFQHRITENDAWVLNLFFEENEQDENQTSVARFLHTNLRNEGAGKVYENIAVTEQAAIQVDEEQHGELWQVMDEQIEEEKHTYFSVSDEPSFEDVEGSKKKDCALLEIDSIQERINCENHQNKSVNTEHSYDSKEKEILIDVNEVNGYHDDVSSEQMGERNSSYLKQYNNESLSVEDIGVIENLSVNVSSKPNISTLDQSTEIINSTSSQGI >Potri.002G066450.4.v4.1 pep chromosome:Pop_tri_v4:2:4581091:4589631:1 gene:Potri.002G066450.v4.1 transcript:Potri.002G066450.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066450.v4.1 MEEVERDFHGMKRKQLQALCKKHGIPANKSNAEMADLLTLTLKRIENPKGQGQGEVQNVSDSMKVTKILKNVKFKPDVEIREYEPSVYKGRKRRRSMVNYGKVIGSPPGSRNREQRTVGRNVDEVVGKKRGRGREKKGSVGVENVDVSDNSRPPVITKEGDVQLVKGEDKSGRRRLRSREVVIEENVEGGEGELVVSRKNSKRGGSRKRGNRDGIRFSDEVSEENVSAKDDAKPANAPSGSRRNARKNESTSLLSVDFGKTESFGKTTRSRAKLRENTSSITADKAETVEVQDEYEKVLQTEEILKGAGRNALGRKSFVPRKRLVVEILSEEGVESVKGGRRSRRNTSKKEGTSLTSGCFCKTEIVGWTSRSRSKLEENTSSVTANKAETIEIQDESQKVLHLEEPLKDFGRYALRRKSIVPQKGVAVETVSKKDLESAKDARRSKRNMAKATDSKPIVQVVTRRRTRFGAQATVESADEITEVNKEHNKAVQLEEFLNAQDRNASRQKSFTAQKGQVESEGPDAKIETIKQSRNADLKFANKVEASGQFSSEIEKAPISIGHVRGSRSNTVVLSPAFATGELGIGEAVGMVGCLKRKRGPTLETDSSTVGECLAGKPSRELAQHASRGNLVGSTVPGKIVEKKQHGISAVPVMVEEALFTEETQIEDTGLTMPEATGDKPNFSLSCSKEVFETSDKKGSESKTSEMRTNFSEVAAGCSNIQEGVDTKTNLQETPSPTSTSVMLCFANQEIPENASQPIVLNEEANTVAGDMEKLAVDAIIEVAVDDPSSRSKEEGGADLGNDNLDEHGQTELQTNASDTFPLANRFSFAKQSDFSGLENGLERKELREDVHLESGDVNDCSTELVDRTSAVEGGFCDLENTGQKIRTNKNEHEEASCDDRPSPAAGEKMVSFITEINLQEDGDVLLIQAAEENQNFISEENSSNVLLVSRRTCTHELLHGEGTCLSAPEKGTDEGENGSVTLVPLQFTGIGKQRLSFYAGDSSEDRKMQHHDEKSTEKINSSCTDEKHVLEENEAAADALPQSSLQEKKEVTFAMKTDICAVETTGESEVTTHSDSGKASTGVNSSPILFEKLGGYNEMNAMRNCSIDASIDVPVSKSHEAVMVMESGRNMDGESDAEQSEFKEEKSGCAVETVHGDDNVCQKVSAEANASSLTWLISKEMEGFEGKTFDMMMGRHSDFLISSKELASGKNTARYQCDQVVRKESVTGALLFELCDHSISDEVAGKADATLTPTIYKELESFGGKKVGSRGNTSKSIEGINMWTDQQIISIGSDSNAGTFTQGMNDGFDEEKTAEVSDGDLYDHISKYGEAADKESLIMTTEKLDMSDTQNEGTAQRNCPVGLGNLFSDYGGEFLKINDAGRNASPDIASADCEGIDETSNGFMGSELELGVKAGKFDDLKEVNALELERSASVSLENRISMDVIAAFNVEKMEDDSKLYQSNIDDENECSIVSLPQVTPKGIAEHSVEEGLLHASKDDCSAALNDASKNIKADRAGSVAVIGKICFEKTEGCTKWTERTPISPQIPISSGKKAQQSSLEQKLFAESSGICGMGSSTDAVSELNVLLSHGEKYKSHPGSKSIGNEAGLKVMASNDREQVPLELLTGSDASALFTNWEVNLIQGSGEEDLVEESDGEASKDNLISNDSEHTAWMNKNVEEVLFTNREVNLILGNGEQDQVEKSGVASEDNLISNDPLDEHVAKTSISNETLDDAPLDLKVDNIYYSDIQDTCEIGSGAIDKVSSGAAAKMDMLEEVVIGGIPQTVIPTEASQEMAEIPLQERFLSCSKSEESLSPDTPCRLPEITSGASVVQRNGPESYKGEFVPQLYCKDMRSHGGEETTCDYRGSIPTEQGEFHQGDFKVLIAQKVGAEEVREALATDNTNLESNPSDPQEVAIEQANEHPDALNESLLMVGLDYPTQNQQSCGCSLRGDCKHALKERESHSIETEATNCLHQLDVGVFSEEIVDFGNGFVTSPFKRQRDVDSSAGTSVSAFQHRITENDAWVLNLFFEENEQDENQTSVARFLHTNLRNEGAGKVYENIAVTEQAAIQVDEEQHGELWQVMDEQIEEEKHTYFSVSDEPSFEDVEGSKKKDCALLEIDSIQERINCENHQNKSVNTEHSYDSKEKEILIDVNEVNGYHDDVSSEQMGERNSSYLKQYNNESLSVEDIGVIENLSVNVSSKPNISTLDQSTEIINSTSSQGI >Potri.002G066450.1.v4.1 pep chromosome:Pop_tri_v4:2:4580905:4590403:1 gene:Potri.002G066450.v4.1 transcript:Potri.002G066450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066450.v4.1 MEEVERDFHGMKRKQLQALCKKHGIPANKSNAEMADLLTLTLKRIENPKGQGQGEVQNVSDSMKVTKILKNVKFKPDVEIREYEPSVYKGRKRRRSMVNYGKVIGSPPGSRNREQRTVGRNVDEVVGKKRGRGREKKGSVGVENVDVSDNSRPPVITKEGDVQLVKGEDKSGRRRLRSREVVIEENVEGGEGELVVSRKNSKRGGSRKRGNRDGIRFSDEVSEENVSAKDDAKPANAPSGSRRNARKNESTSLLSVDFGKTESFGKTTRSRAKLRENTSSITADKAETVEVQDEYEKVLQTEEILKGAGRNALGRKSFVPRKRLVVEILSEEGVESVKGGRRSRRNTSKKEGTSLTSGCFCKTEIVGWTSRSRSKLEENTSSVTANKAETIEIQDESQKVLHLEEPLKDFGRYALRRKSIVPQKGVAVETVSKKDLESAKDARRSKRNMAKATDSKPIVQVVTRRRTRFGAQATVESADEITEVNKEHNKAVQLEEFLNAQDRNASRQKSFTAQKGQVESEGPDAKIETIKQSRNADLKFANKVEASGQFSSEIEKAPISIGHVRGSRSNTVVLSPAFATGELGIGEAVGMVGCLKRKRGPTLETDSSTVGECLAGKPSRELAQHASRGNLVGSTVPGKIVEKKQHGISAVPVMVEEALFTEETQIEDTGLTMPEATGDKPNFSLSCSKEVFETSDKKGSESKTSEMRTNFSEVAAGCSNIQEGVDTKTNLQETPSPTSTSVMLCFANQEIPENASQPIVLNEEANTVAGDMEKLAVDAIIEVAVDDPSSRSKEEGGADLGNDNLDEHGQTELQTNASDTFPLANRFSFAKQSDFSGLENGLERKELREDVHLESGDVNDCSTELVDRTSAVEGGFCDLENTGQKIRTNKNEHEEASCDDRPSPAAGEKMVSFITEINLQEDGDVLLIQAAEENQNFISEENSSNVLLVSRRTCTHELLHGEGTCLSAPEKGTDEGENGSVTLVPLQFTGIGKQRLSFYAGDSSEDRKMQHHDEKSTEKINSSCTDEKHVLEENEAAADALPQSSLQEKKEVTFAMKTDICAVETTGESEVTTHSDSGKASTGVNSSPILFEKLGGYNEMNAMRNCSIDASIDVPVSKSHEAVMVMESGRNMDGESDAEQSEFKEEKSGCAVETVHGDDNVCQKVSAEANASSLTWLISKEMEGFEGKTFDMMMGRHSDFLISSKELASGKNTARYQCDQVVRKESVTGALLFELCDHSISDEVAGKADATLTPTIYKELESFGGKKVGSRGNTSKSIEGINMWTDQQIISIGSDSNAGTFTQGMNDGFDEEKTAEVSDGDLYDHISKYGEAADKESLIMTTEKLDMSDTQNEGTAQRNCPVGLGNLFSDYGGEFLKINDAGRNASPDIASADCEGIDETSNGFMGSELELGVKAGKFDDLKEVNALELERSASVSLENRISMDVIAAFNVEKMEDDSKLYQSNIDDENECSIVSLPQVTPKGIAEHSVEEGLLHASKDDCSAALNDASKNIKADRAGSVAVIGKICFEKTEGCTKWTERTPISPQIPISSGKKAQQSSLEQKLFAESSGICGMGSSTDAVSELNVLLSHVAGEKYKSHPGSKSIGNEAGLKVMASNDREQVPLELLTGSDASALFTNWEVNLIQGSGEEDLVEESDGEASKDNLISNDSEHTAWMNKNVEEVLFTNREVNLILGNGEQDQVEKSGVASEDNLISNDPLDEHVAKTSISNETLDDAPLDLKVDNIYYSDIQDTCEIGSGAIDKVSSGAAAKMDMLEEVVIGGIPQTVIPTEASQEMAEIPLQERFLSCSKSEESLSPDTPCRLPEITSGASVVQRNGPESYKGEFVPQLYCKDMRSHGGEETTCDYRGSIPTEQGEFHQGDFKVLIAQKVGAEEVREALATDNTNLESNPSDPQEVAIEQANEHPDALNESLLMVGLDYPTQNQQSCGCSLRGDCKHALKERESHSIETEATNCLHQLDVGVFSEEIVDFGNGFVTSPFKRQRDVDSSAGTSVSAFQHRITENDAWVLNLFFEENEQDENQTSVARFLHTNLRNEGAGKVYENIAVTEQAAIQVDEEQHGELWQVMDEQIEEEKHTYFSVSDEPSFEDVEGSKKKDCALLEIDSIQERINCENHQNKSVNTEHSYDSKEKEILIDVNEVNGYHDDVSSEQMGERNSSYLKQYNNESLSVEDIGVIENLSVNVSSKPNISTLDQSTEIINSTSSQDIAVRNDQTHQLKLPSSQKGVSCDKEEETHSSDAKQLTTSLVRREARKTGFVQATPQKMVTYTGMKENLASIKMEKRGNMTAPNPLSKRRALGNLRNN >Potri.003G000800.1.v4.1 pep chromosome:Pop_tri_v4:3:380325:383789:-1 gene:Potri.003G000800.v4.1 transcript:Potri.003G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000800.v4.1 MEARRYDNKGESKCVIGEEITVDWRGKPSNPNKHGGMRAAAFVLGLQAFEIMAIAAVGNNLITYVINEMHFPLSKAANTVTNFVGTIFILALLGGYLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPQCNMLTDGENCVEAKGVKSLIFFVALYLVALGSGCVKPNMIAHGGDQFNQSNPKQSKKLSTYFNAAYFAFSMGELFALTLLVWIQTHSGMDVGFGVSAAVMAMGLISLVSGNLYYRNKPPQGSIFTPIAQVFVAAILKRKQICPSNPQMLHGSQNSVLDNGIVAPSADSGSLAHTQRFRFLDKACIKIEDGTNIKESPWRLCSVTQVEQVKILISAIPIFACTIVFNTILAQLQTFSVQQGGAMDTQLAKSFHIPPASLQSIPYIILIIVVPLYDTFFVPLARKITGHESGISPLQRIGAGLFFATFSMVSAAIMEKKRRDAAVDSNKILSIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLKGMQAFLTAITYCSYSFGFYLSSLLVSLVNKITSSSSSNQGWLSDNDLNKDRLDLFYWMLAVLSFLNFLSYLFWARWHSYSPISLLTTTQQETLGDDHLNNYGFINSSKHGVDESMP >Potri.003G224100.1.v4.1 pep chromosome:Pop_tri_v4:3:21671160:21673249:-1 gene:Potri.003G224100.v4.1 transcript:Potri.003G224100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G224100.v4.1 MAGVMFLVLLCISAGTMLGVRGEDPYLFFTWNVTYGTLSPLGVPQQVILINNQFPGPNINSTSNNNIVINVFNNLDEPFLLTWSGIQQRKNSWQDGVLGTNCPILPGTNYTYHFQVKDQIGSYIYYPTTGMHRATGGFGGLRINSRLLIPVPYADPEDDYTVILNDWYSKSHTALQKLLDSGRTLARPDGVLVNGKNAKGDGKDEPLFTMKPGKTYKYRICNAGLKNTLNFRIQGHTMKLVEMEGSHVVQNVYESLDVHVGQCFSVLVTANQDPKDYYMVASTRFNKQVLTGKGIIRYTNGKGPASPELPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGSINITRTIKLINSASQEGGKLRYALNGVSHVNPETPLKLAEYYGVADKVFKYDTILDSPQANVANAKIVTQPNVLNLTFRNFVEIIFENHEKSMQSYHLDGYSFFAVAVEPGTWTPEKRKHYNLLDAVSRTTVQVYPKSWAAILLTFDNAGLWNIRSEMWERAYLGQQLYASVLSPARSLRDEYNLPDNSLLCGIVKDMPKPAPYSI >Potri.002G052700.2.v4.1 pep chromosome:Pop_tri_v4:2:3566486:3572849:1 gene:Potri.002G052700.v4.1 transcript:Potri.002G052700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052700.v4.1 MDRRTISTRKGSKRKLEQDFEHENQDRHKIPATDTAAGYESHQKLTRHIQTQVDILNSSFSSLEADRAAARRATSVLSQFAKTEELVDTMVDCGAVPALVMHLQAPPHTRGENGSKLYEHEVEKGSALALGLLAVKPEHQQLIVDAGALTHLVELLKRHKSVDNSRTVNGVVRKAADAITNLAHENSGIKTRVRIEGAIPYLVELLEHADAKVQRAAAGALRTLAFKNDENKNQIAECNALPTLVIMLGSEDTAIHYEAVGVIGNLVHSSPHIKKAVLLAGALQPVIGLLSSPCSESQREAALLLGQFAAADSDCKVHIVQRGAVKPLIDMLESSDVQLKEMAAFALGRLAQETHNQAGIAHNGGIVPLLRLLDSKSGPLQHNAAFTLYGLVDNEDNVADLIKVGGFQKLQYGEFIVQQTKDCVAKTMRRLEEKIHGRVLNHLLYLMRVAERNIQRRVALALAHLCAPDDRKVVFLDKNGLDLLLGLLESGSVKLQCDGSVALYRLATQASSVFPVDAAPLSPTPQVYLGEQYVNNPTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERNAKDVEIPNIRWDVFELMMRFIYTGSVEINVDLAQDLLRAADQYLLDGLKRLCECTIAQDISVENVSLMYELSEGFNAMSLRESCILFILEQFDKLCTKPWSSHLIQRIMPDIRHYFEKALSKPTKLT >Potri.001G200500.10.v4.1 pep chromosome:Pop_tri_v4:1:19968258:19978173:-1 gene:Potri.001G200500.v4.1 transcript:Potri.001G200500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200500.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSMAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPAPAPVPAPLAGWMSTPSTVTHSAVSGGGAIGLGAPSIPGDSDHVAKRVRPMGISDEVNLPVNVLPVSFPGHGHGHGHGQAFNAPDDLPKVVARTLNQGSSPMSMDFHPLQLTLLLVGTNVGDIGLWEVGSRERLVLRIFKVWDLNACSMPLQAALAKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGNDEVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDASTGAKLYTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGDSFIVEWNESEGAVKRTYLGFRKQSWGVVQFDTTKNRFLAAGDDFSIKFWDMDSVQLLTTIDADGGLPASPRIRFNKDGTLLAVSANDNGIKILANTDGIRLLRTFENLSFDASRTSESIAKPTVNPISAAAVAAAAAAATSTGLADRSASVVAIAGMNGDARNLGDVKPRLTEESNDKSKIWKLTEINEPSQCRSLRLPENLRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNASGKATAGVSPQLWQPSSGILMTNDSTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQLCVWNSDGWEKQKARFLQVPAGRTPTAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVLRESSAPISHAVFSCDSHLVYASFLDATVCVFSAMNLRLRCRINPCTYLSPNVSSNVHPLVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPATPSVGPSGSDQAQR >Potri.001G200500.7.v4.1 pep chromosome:Pop_tri_v4:1:19968149:19978129:-1 gene:Potri.001G200500.v4.1 transcript:Potri.001G200500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200500.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSMAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPAPAPVPAPLAGWMSTPSTVTHSAVSGGGAIGLGAPSIPAALKHPRTPPTNLSVDYPSGDSDHVAKRVRPMGISDEVNLPVNVLPVSFPGHGHGHGHGQAFNAPDDLPKVVARTLNQGSSPMSMDFHPLQLTLLLVGTNVGDIGLWEVGSRERLVLRIFKVWDLNACSMPLQAALAKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGNDEVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDASTGAKLYTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGDSFIVEWNESEGAVKRTYLGFRKQSWGVVQFDTTKNRFLAAGDDFSIKFWDMDSVQLLTTIDADGGLPASPRIRFNKDGTLLAVSANDNGIKILANTDGIRLLRTFENLSFDASRTSESIAKPTVNPISAAAVAAAAAAATSTGLADRSASVVAIAGMNGDARNLGDVKPRLTEESNDKSKIWKLTEINEPSQCRSLRLPENLRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNASGKATAGVSPQLWQPSSGILMTNDSTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQLCVWNSDGWEKQKARFLQVPAGRTPTAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVLRESSAPISHAVFSCDSHLVYASFLDATVCVFSAMNLRLRCRINPCTYLSPNVSSNVHPLVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPATPSVGPSGSDQAQR >Potri.001G200500.8.v4.1 pep chromosome:Pop_tri_v4:1:19968175:19978111:-1 gene:Potri.001G200500.v4.1 transcript:Potri.001G200500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200500.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSMAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPAPAPVPAPLAGWMSTPSTVTHSAVSGGGAIGLGAPSIPAALKHPRTPPTNLSVDYPSGDSDHVAKRVRPMGISDEVNLPVNVLPVSFPGHGHGHGHGQAFNAPDDLPKVVARTLNQGSSPMSMDFHPLQLTLLLVGTNVGDIGLWEVGSRERLVLRIFKVWDLNACSMPLQAALAKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGNDEVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDASTGAKLYTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGDSFIVEWNESEGAVKRTYLGFRKQSWGVVQFDTTKNRFLAAGDDFSIKFWDMDSVQLLTTIDADGGLPASPRIRFNKDGTLLAVSANDNGIKILANTDGIRLLRTFENLSFDASRTSESIAKPTVNPISAAAVAAAAAAATSTGLADRSASVVAIAGMNGDARNLGDVKPRLTEESNDKSKIWKLTEINEPSQCRSLRLPENLRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNASGKATAGVSPQLWQPSSGILMTNDSTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQLCVWNSDGWEKQKARFLQVPAGRTPTAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVLRESSAPISHAVFSCDSHLVYASFLDATVCVFSAMNLRLRCRINPCTYLSPNVSSNVHPLVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPATPSVGPSGSDQAQR >Potri.001G200500.4.v4.1 pep chromosome:Pop_tri_v4:1:19968132:19978257:-1 gene:Potri.001G200500.v4.1 transcript:Potri.001G200500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200500.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSMAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPAPAPVPAPLAGWMSTPSTVTHSAVSGGGAIGLGAPSIPAALKHPRTPPTNLSVDYPSGDSDHVAKRVRPMGISDEVNLPVNVLPVSFPGHGHGHGHGQAFNAPDDLPKVVARTLNQGSSPMSMDFHPLQLTLLLVGTNVGDIGLWEVGSRERLVLRIFKVWDLNACSMPLQAALAKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGNDEVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDASTGAKLYTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGDSFIVEWNESEGAVKRTYLGFRKQSWGVVQFDTTKNRFLAAGDDFSIKFWDMDSVQLLTTIDADGGLPASPRIRFNKDGTLLAVSANDNGIKILANTDGIRLLRTFENLSFDASRTSESIAKPTVNPISAAAVAAAAAAATSTGLADRSASVVAIAGMNGDARNLGDVKPRLTEESNDKSKIWKLTEINEPSQCRSLRLPENLRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNASGKATAGVSPQLWQPSSGILMTNDSTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQLCVWNSDGWEKQKARFLQVPAGRTPTAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVLRESSAPISHAVFSCDSHLVYASFLDATVCVFSAMNLRLRCRINPCTYLSPNVSSNVHPLVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPATPSVGPSGSDQAQR >Potri.001G200500.9.v4.1 pep chromosome:Pop_tri_v4:1:19968166:19978022:-1 gene:Potri.001G200500.v4.1 transcript:Potri.001G200500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200500.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSMAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPAPAPVPAPLAGWMSTPSTVTHSAVSGGGAIGLGAPSIPAALKHPRTPPTNLSVDYPSGDSDHVAKRVRPMGISDEVNLPVNVLPVSFPGHGHGHGHGQAFNAPDDLPKVVARTLNQGSSPMSMDFHPLQLTLLLVGTNVGDIGLWEVGSRERLVLRIFKVWDLNACSMPLQAALAKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGNDEVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDASTGAKLYTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGDSFIVEWNESEGAVKRTYLGFRKQSWGVVQFDTTKNRFLAAGDDFSIKFWDMDSVQLLTTIDADGGLPASPRIRFNKDGTLLAVSANDNGIKILANTDGIRLLRTFENLSFDASRTSESIAKPTVNPISAAAVAAAAAAATSTGLADRSASVVAIAGMNGDARNLGDVKPRLTEESNDKSKIWKLTEINEPSQCRSLRLPENLRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNASGKATAGVSPQLWQPSSGILMTNDSTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQLCVWNSDGWEKQKARFLQVPAGRTPTAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVLRESSAPISHAVFSCDSHLVYASFLDATVCVFSAMNLRLRCRINPCTYLSPNVSSNVHPLVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPATPSVGPSGSDQAQR >Potri.001G200500.3.v4.1 pep chromosome:Pop_tri_v4:1:19968203:19978277:-1 gene:Potri.001G200500.v4.1 transcript:Potri.001G200500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200500.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSMAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFVDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPAPAPVPAPLAGWMSTPSTVTHSAVSGGGAIGLGAPSIPAALKHPRTPPTNLSVDYPSGDSDHVAKRVNLPVNVLPVSFPGHGHGHGHGQAFNAPDDLPKVVARTLNQGSSPMSMDFHPLQLTLLLVGTNVGDIGLWEVGSRERLVLRIFKVWDLNACSMPLQAALAKDPGVSVNRVIWSPDGSLFGVAYSRHIVQIYSYHGNDEVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKTIKVWDASTGAKLYTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGDSFIVEWNESEGAVKRTYLGFRKQSWGVVQFDTTKNRFLAAGDDFSIKFWDMDSVQLLTTIDADGGLPASPRIRFNKDGTLLAVSANDNGIKILANTDGIRLLRTFENLSFDASRTSESIAKPTVNPISAAAVAAAAAAATSTGLADRSASVVAIAGMNGDARNLGDVKPRLTEESNDKSKIWKLTEINEPSQCRSLRLPENLRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNASGKATAGVSPQLWQPSSGILMTNDSTDTNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLNMLVSSGADAQLCVWNSDGWEKQKARFLQVPAGRTPTAQSDTRVQFHQDQIHFLVVHETQLAIYETTKLECVKQWVLRESSAPISHAVFSCDSHLVYASFLDATVCVFSAMNLRLRCRINPCTYLSPNVSSNVHPLVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVPATPSVGPSGSDQAQR >Potri.006G210150.1.v4.1 pep chromosome:Pop_tri_v4:6:21751875:21758770:1 gene:Potri.006G210150.v4.1 transcript:Potri.006G210150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210150.v4.1 MEKQAEIELRPEDRGDDYDAIVVGSGYGGSVAACRMSTAGIRVCLLEKGRRWKAEDFPTDSWKIISAVRYENQNLGLRFGPEDALFQLYEQNDSLAAVACGLGGGSLVNAGVMLPTPIRARRNLKWPKEWERDWDICESSAAAMLRIQSSSVKFPIAKVMGEIAEGEFEENIESSVKLSVKFDVEEPPSNPPKLEQINNCFACGNCLAGCPYNAKNSTDKNYLISAIQAGCTIRTKCQVQYVIKNPHGICQPGGISRKRRWRVYINEIDYITSDWVILSAGVLGTTEILFRSQMRGLRLSDTLGSGFSCNGNTLAYVAGSPAPLNGYGLNRKQLSEIPFQDRPGPSISSSHTSSLGFTIQSAILPRAYPYLLFEGITTYTWPTGYQFFHGIVDRLKHFIGLNLSQSIILNAMGYDESNGKIMLEKDTDKICFHPPQDPLLPRKIMAFQKLTKKLGGILFMSRYRSTAVHLLGGCNASSDSSGGVCNHKGQVFDPKTPATVHAGLYVCDASLIPCSVGINPSLTIATAAEHASRYLVQDILEYKSKISTSVAAVDRNQSPATGKKLEHDDGSTVLIKETMRGYVGGMPCTVHLKMKMHSQNLKSSDKRNWFIGEPHPLLRGKAGGYVVFRAIEKDRLHVIDGEMDLCLVDCRTPYTQYMRYRLLLAAASGSRYILEGKKIMNPCHFALYAWRETTTLYVTFNKVAPSGSTDTMLNLKGELRVSFTELLKCFISLKGNGRGRFINLLIQTLIRTYILQIPRGTRENFIVTDSCDESYPSSTIDDIRTADGYIIRSRHWKNARNPLLLSREKVLNPILLLNGYSTESYWLPTEPHDLVRTLLEEGHEVWLLQTRLHPLNPANNATIEDIGKYDIPAAFGKILEVHGPSTKIHVVAHCVGGLAIHIALMGGHVSATHIASLSCTNSSMFFRLTALATIKMWLPLVPISMAILGKNKILPLLGKSKGSSGHRLLKYIALYLPRYERCTWKECEVFSGIFGNTFWHENVSPAMHQWLNKQSSTKLPMSAFPHLRRICNSGYIVDSNGNNSFLIHPERMAISTLYISGGRSLLVTPETSYLANKYMKLHQPGFRHERAVVDGFGHSDLLIGEKSHEKVFPHIISHIRLAEQEGNDLTPRKKDSKEALDWGDDPYREYGDFGCWIFALAIIFFFFFFFSLTWMSGPDCAHLD >Potri.006G210150.2.v4.1 pep chromosome:Pop_tri_v4:6:21752246:21756758:1 gene:Potri.006G210150.v4.1 transcript:Potri.006G210150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210150.v4.1 MEKQAEIELRPEDRGDDYDAIVVGSGYGGSVAACRMSTAGIRVCLLEKGRRWKAEDFPTDSWKIISAVRYENQNLGLRFGPEDALFQLYEQNDSLAAVACGLGGGSLVNAGVMLPTPIRARRNLKWPKEWERDWDICESSAAAMLRIQSSSVKFPIAKVMGEIAEGEFEENIESSVKLSVKFDVEEPPSNPPKLEQINNCFACGNCLAGCPYNAKNSTDKNYLISAIQAGCTIRTKCQVQYVIKNPHGICQPGGISRKRRWRVYINEIDYITSDWVILSAGVLGTTEILFRSQMRGLRLSDTLGSGFSCNGNTLAYVAGSPAPLNGYGLNRKQLSEIPFQDRPGPSISSSHTSSLGFTIQSAILPRAYPYLLFEGITTYTWPTGYQFFHGIVDRLKHFIGLNLSQSIILNAMGYDESNGKIMLEKDTDKICFHPPQDPLLPRKIMAFQKLTKKLGGILFMSRYRSTAVHLLGGCNASSDSSGGVCNHKGQVFDPKTPATVHAGLYVCDASLIPCSVGINPSLTIATAAEHASRYLVQDILEYKSKISTSVAAVDRNQSPATGKKLEHDDGSTVLIKETMRGYVGGMPCTVHLKMKMHSQNLKSSDKRNWFIGEPHPLLRGKAGGYVVFRAIEKDRLHVIDGEMDLCLVDCRTPYTQYMRYRLLLAAASGSRYILEGKKIMNPCHFALYAWRETTTLYVTFNKVAPSGSTDTMLNLKGELRVSFTELLKCFISLKGNGRGRFINLLIQTLIRTYILQIPRGTRENFIVTDSCDESYPSSTIDDIRTADGYIIRSRHWKNARNPLLLSREKVLNPILLLNGYSTESYWLPTEPHDLVRTLLEEGHEVWLLQTRLHPLNPANNATIEDIGKYDIPAAFGKILEVHGPSTKIHVVAHCVGGLAIHIALMGGHVSATHIASLSCTNSSMFFRLTALATIKMWLPLVPVSSSSLLAYSYGISLIFAARC >Potri.014G103900.2.v4.1 pep chromosome:Pop_tri_v4:14:6958276:6961644:-1 gene:Potri.014G103900.v4.1 transcript:Potri.014G103900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103900.v4.1 MQQPPQMIPVISPFPPTNITTEQIQKYLDENKKLILAILDNQNLGKLAECAQYQAQLQKNLMYLAAIADAQPQAPAMPPQMAPHPAMQQGAYYMQHPQAAAMAQQPGVFPQKMLLQFNAGHQMQDPQQLHQQAMQGQIGIRPIGANNGMHPMHAEIALGSSGPSASAGTNDVRGGSKQDASEAGTTGADGLGGSAAGHNGADGSEDAK >Potri.004G005900.4.v4.1 pep chromosome:Pop_tri_v4:4:356819:363496:1 gene:Potri.004G005900.v4.1 transcript:Potri.004G005900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G005900.v4.1 MATLADIAVSGAINLLSAFIFLLAFAILRLQPFNDRVYFPKWYLKGLRSSPSRSGAFVRRVVNLDFRSYIRFLNWMPEALKMPEPELIDHAGLDSAVYLRIYLMGLKIFVPITFLAWAILVPVNYTNDALEAAKMVANVTASDIDKLSISNIPLKSQRFWTHIVMAYAFTFWTCYVLLREYEKVAAMRLQFLSSERRRPDQFTVLVRNVPPDPDETVSELVEHFFLVNHPDHYLTHRVVCNANKLASLVKKKKKKQNWLDYYQLKYSRDQSQRPQMKTGFLGLWGGKVDAIDYHISEIEKLSEEIEEETKRVLKDPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWQNLAIPYMSLKVKRLIIGVAFFFLTFFFMIPIASVQALASIEGIEKRAPFLKSVIEIKFIKSVIQGFLPGIALKLFLIFLPTILMIMSKFEGFVSLSSLERRSATRYYIFLIINVFLGSILTGAAFDQLNAFINQSANEIPKTIGVAVPMKATFFITYIMVDGWAGIAGEVLMLKPLVLYHLKNFFLVKTEKDREEAMDPGSLGFHTGEPRIQLYFLLGLVYATVTPVLLPFIVIFFAFAFAVFRHQIINVYNQEYESGAAFWPDVHGRVITALVISQLALMGLMSTKEAAQSTPFLIALPVLTIWFHRFCNGRHKSAFVKYPLQEAMMKDTLERARDPNFNLKAYLQSAYVHPVFKGGDDDIDEDDLLSGKMETESVLVPTKRQSRRNTPAPSKISGGSSPSLPETVKNGEP >Potri.004G005900.5.v4.1 pep chromosome:Pop_tri_v4:4:356819:363504:1 gene:Potri.004G005900.v4.1 transcript:Potri.004G005900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G005900.v4.1 MKTGFLGLWGGKVDAIDYHISEIEKLSEEIEEETKRVLKDPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWQNLAIPYMSLKVKRLIIGVAFFFLTFFFMIPIASVQALASIEGIEKRAPFLKSVIEIKFIKSVIQGFLPGIALKLFLIFLPTILMIMSKFEGFVSLSSLERRSATRYYIFLIINVFLGSILTGAAFDQLNAFINQSANEIPKTIGVAVPMKATFFITYIMVDGWAGIAGEVLMLKPLVLYHLKNFFLVKTEKDREEAMDPGSLGFHTGEPRIQLYFLLGLVYATVTPVLLPFIVIFFAFAFAVFRHQIINVYNQEYESGAAFWPDVHGRVITALVISQLALMGLMSTKEAAQSTPFLIALPVLTIWFHRFCNGRHKSAFVKYPLQEAMMKDTLERARDPNFNLKAYLQSAYVHPVFKGGDDDIDEDDLLSGKMETESVLVPTKRQSRRNTPAPSKISGGSSPSLPETVKNGEP >Potri.004G005900.3.v4.1 pep chromosome:Pop_tri_v4:4:356819:363504:1 gene:Potri.004G005900.v4.1 transcript:Potri.004G005900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G005900.v4.1 MATLADIAVSGAINLLSAFIFLLAFAILRLQPFNDRVYFPKWYLKGLRSSPSRSGAFVRRVVNLDFRSYIRFLNWMPEALKMPEPELIDHAGLDSAVYLRIYLMGLKIFVPITFLAWAILVPVNYTNDALEAAKMVANVTASDIDKLSISNIPLKSQRFWTHIVMAYAFTFWTCYVLLREYEKVAAMRLQFLSSERRRPDQFTVLVRNVPPDPDETVSELVEHFFLVNHPDHYLTHRVVCNANKLASLVKKKKKKQNWLDYYQLKYSRDQSQRPQMKTGFLGLWGGKVDAIDYHISEIEKLSEEIEEETKRVLKDPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWQNLAIPYMSLKVKRLIIGVAFFFLTFFFMIPIASVQALASIEGIEKRAPFLKSVIEIKFIKSVIQGFLPGIALKLFLIFLPTILMIMSKFEGFVSLSSLERRSATRYYIFLIINVFLGSILTGAAFDQLNAFINQSANEIPKTIGVAVPMKATFFITYIMVDGWAGIAGEVLMLKPLVLYHLKNFFLVKTEKDREEAMDPGSLGFHTGEPRIQLYFLLGLVYATVTPVLLPFIVIFFAFAFAVFRHQIINVYNQEYESGAAFWPDVHGRVITALVISQLALMGLMSTKEAAQSTPFLIALPVLTIWFHRFCNGRHKSAFVKYPLQEAMMKDTLERARDPNFNLKAYLQSAYVHPVFKGGDDDIDEDDLLSGKMETESVLVPTKRQSRRNTPAPSKISGGSSPSLPETVKNGEP >Potri.T126106.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:140317:145613:1 gene:Potri.T126106.v4.1 transcript:Potri.T126106.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126106.v4.1 MPDVQALVNDFLIKLKKRKIEGSQATARQAAELLRSVISQQRVPYTNQAGALIDAVRAVGEQLIAANPVELAVGNIVRRVLHIIREEDLSLTTAAISGLNLSAASDGDDDDDDGERDEHTVLSAAAVAAAARNALRPPSLQTLLEDMPESVAIPHTYSSGGDSEGKSKSADKSSRTRRLKHDVIEAVNELIQDINTCHEQIAEQAVEHIHQNEVILTLGSSKTVLEFLYAAKEKKRSFRVFVAEGAPRYQGHLLAKELVTRGLHTTLITDSAVFAMISRVNMVIVGAHAVMANGGVIVPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGSGTGSPLLHVVNPAFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVRRRVALGN >Potri.018G012800.2.v4.1 pep chromosome:Pop_tri_v4:18:841509:841974:-1 gene:Potri.018G012800.v4.1 transcript:Potri.018G012800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012800.v4.1 MKKNHSKAVLSFIFCVKILFTAMGMAENTSIPVNVGVVLDLDSDLDGRIALSCIEMALSDFYAAHGDYKTRLALNTRDSKKDVVGAAAAGSLSHSHPLKLDVIISMLQS >Potri.002G068400.1.v4.1 pep chromosome:Pop_tri_v4:2:4741651:4744752:1 gene:Potri.002G068400.v4.1 transcript:Potri.002G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068400.v4.1 MIGDSSVQATSSDVAATATRVATEGGEGGGGGGFGSNSAEEDKTMGADHEGNRMNYGANRWPRQETLALLKIRSDMDAVFRDSGLKGPLWEEVSRKLAELGYHRSAKKCKEKFENVYKYHKRTKEGRTGKSEGKSYKFFDELEAFQNHPPHSTQPPTLTPPPLPPPNAQTASATITTLPWTNNNTAIVSHATVPSRTNPMDIMSQSIATPTNNRAISPMPISSNPINPSQNAYPSSLQNLTTHLLASSSPSSTASDEELEVSYKKRKRESNWKDFFERLTRDVIKKQEDLQEKFLETIEKYEHERMAREEAWRMQEMARINREHETLIQERSTAAAKDAAVVAFLQKISGQQNSVQTQEIPQPTTTPTAPPPQPLQLRPPPTSLAPVAKLEVPKRDNGYNFTVSSSSRWPKVEVQALINLRANLDVKYQENGAKGPLWEDISAGMQKLGYNRSAKRCKEKWENINKYFKKVKESNKKRPEDSKTCPYFDQLDALYKEKNKMEITVNSDYAVKPTSTMDQPLMVRPEQQWPFQQATQPQTIIEDNERNINIDHNIEDDDDDDVVVDDDDVDTDEEDEGGGFEVVANKSAPLVNGDQ >Potri.003G192650.1.v4.1 pep chromosome:Pop_tri_v4:3:19574088:19575423:1 gene:Potri.003G192650.v4.1 transcript:Potri.003G192650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192650.v4.1 MVHQKKLVEEVSGWLRTFDDGSVDRTWTGPPEVTFMAEPVPPHEEFKEGVAVRDVTIDEKSGLRVRIYLPQHEPHYTDNHNKLPIIVHFHGGGFCISQADWYMYYYMYSRLARSASAIVVSVYLRLAPEHRLPAAIDDGFSALMWLRSLGQGHDSYEPWLNNYGDFNRVFLIGDSSGGNLVHHVAARAGHVDLSPVRLAGGIPVHPGFIRSVRSKSEMEQPESPFLTLDMVDRFLKLALPKGCTKDHPFTCPVGHAAPPLDGLNLPPFLLCVAETDLIRDTEMEYYEAMRKANKDVELLINPGVGHSFYLNKIAVDMDPHTAAQTTGLMEGIIEFIKRH >Potri.003G192650.2.v4.1 pep chromosome:Pop_tri_v4:3:19574084:19575463:1 gene:Potri.003G192650.v4.1 transcript:Potri.003G192650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192650.v4.1 MVHQKKLVEEVSGWLRTFDDGSVDRTWTGPPEVTFMAEPVPPHEEFKEGVAVRDVTIDEKSGLRVRIYLPQHEPHYTDNHNKLPIIVHFHGGGFCISQADWYMYYYMYSRLARSASAIVVSVYLRLAPEHRLPAAIDDGFSALMWLRSLGQGHDSYEPWLNNYGDFNRVFLIGDSSGGNLVHHVAARAGHVDLSPVRLAGGIPVHPGFIRSVRSKSEMEQPESPFLTLDMVDRFLKLALPKGCTKDHPFTCPVGHAAPPLDGLNLPPFLLCVAETDLIRDTEMEYYEAMRKANKDVELLINPGVGHSFYLNKIAVDMDPHTAAQTTGLMEGIIEFIKRH >Potri.015G067800.1.v4.1 pep chromosome:Pop_tri_v4:15:9347275:9348899:1 gene:Potri.015G067800.v4.1 transcript:Potri.015G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067800.v4.1 MAHSTNHRRILASSLDFDSPINHHPSSTSMDPTLKSKRHPKPTNPDPIVPSSELLFMSKSGLNLKTTQKPTKHLPSPPPNCIDIHLQAKAMTVSADFDACRFSIIKPKHQQPKKKVIGTKVVEKLESKTATAKGDESSKDQRVKKLSSKDQQQLDNRKKAEEFAGVNETKSKEVVTSTVKDKKEVKAHHDLLEWNDMNKSMPSVSLTGRRRSFCGSQVELTDFLASNGAKIVSVDMPPFMQIHAIRCARNTCDSLEKFTSRTLASTLKKEFDKTYGPAWHCIVGSSFGSFVTHSVGGFLYFSMDQKVYILLFKTTVQRAD >Potri.010G199600.1.v4.1 pep chromosome:Pop_tri_v4:10:19231174:19233337:-1 gene:Potri.010G199600.v4.1 transcript:Potri.010G199600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199600.v4.1 MVGICCGVAGERETAAPVEPSSRASRRTRLELRPLKLVTDVAVPPSSILDITPAKRQKMELLPIPLSRDCGNAVENCKTIEENKNHSISNPSKSESVKLEEEASKFGMTSVRGRRRDMEDAVSIHTSFTTKNTSFFGVFDGHGCSHVAMRCRDRLHEIVKEEVEGFKEEKSVEWKETMKRSFIKMDKEVENCCVEGDNSSNCRCELQTPQCDAVGSTAVVAVVTPEKIIVSNCGDSRAVLCRNGDAIPLSSDHKPDRPDELLRIQEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVIPEPDVTLTERTAEDEFLILASDGLWDVVPNDTACGVVRTCLRARKPPSPPGSPGSDAAIESSDKSCSDASVLLTKLALARHSTDNVSVVVVDLRRNHH >Potri.019G051400.3.v4.1 pep chromosome:Pop_tri_v4:19:8547786:8551426:-1 gene:Potri.019G051400.v4.1 transcript:Potri.019G051400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051400.v4.1 MIFIINKNKKQRRAKKEEIWEMALTVVKGALKSIREKGFGAFLRELKEEGYLNALADGNLLQTKIHNIGAKLVGVDKFGNKYYQNLETIHGRHRWVEYAEKSRYNASQVPPEWHGWLHFITDHTGDELLMLKPKRYSIEHKENLSGEGEEYIYHSKGHTLNPGQKDWTRYQSWQPTKTE >Potri.004G109300.1.v4.1 pep chromosome:Pop_tri_v4:4:9744220:9745650:1 gene:Potri.004G109300.v4.1 transcript:Potri.004G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109300.v4.1 MAPQDSMKILELCQVAPAYNSPESITDFSLPLTFLDIAWFKFPPAQQILFYELTESSPTFFNLVILPRLKKSLSQTLFHFLPLAGHLVWPENSPKPILLYTPNDAISLTIAESNADLSHLSGNETRQAIESFPYIPELPTSDAKASVIALQITVFPNKGFSISIVCHHGILDGKSATTFLKAWVYICKHLEYDQQPSLPSELTPFLDRGVIKDAYGLEMIFLNQWLALTRPDTKSDSRSLKLVSNMAVSPDVVRATFQLTREDIEILRETISSQLEKVLQEELNPTKQMDYMSTFVLTCAYTVVCMVKARGGDSNRKIYFIFSADCRGRLDPPIPQNYIGNCISSQHIVIKAGVSMEECGVAMIAQRISGMIKGLEKGLFEGAKERLLELASIEPGTEIIGVTGSTRFEDYSWDFGWGRPNKVEFTGNARGGVISLARSREGTGGVEIGLALKMHEMENFVSFFVNNLKNFRQISK >Potri.005G108900.1.v4.1 pep chromosome:Pop_tri_v4:5:7898189:7899798:1 gene:Potri.005G108900.v4.1 transcript:Potri.005G108900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PO3 MAFVAALCLSSVLVFSISSGADALSLNYYEKTCPDVDSIVTNAVNHAMMKDKTVPAALLRMHFHDCFIRACDASVLLNSKGNNKAEKDGPPNMSLHAFYVIDNAKKEVEASCPGVVSCADILALAARDAVVLSGGPTWDVPKGRKDGRTSRASETTRLPSPSFNIAQLQQSFSQRGLSLDDLVALSGGHTLGFSHCSSFQSRIRNFNATHDIDPSMHPSFAASLRSICPKSNRAKNAGTTMDPSSTTFDNTYFKSILQKRGLFSSDQSLLSTPKTKDLVTKFASSKANFNKAFVSSMIKMSSITGGQEVRKDCRVVN >Potri.006G219200.1.v4.1 pep chromosome:Pop_tri_v4:6:22441066:22441905:1 gene:Potri.006G219200.v4.1 transcript:Potri.006G219200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219200.v4.1 MMHMTFYWGREVTILVNSWHTKTWLGYSLSLLACLIASIFYQYLENHRMRLKLISSGSVKAKPSPSATIDEPLLRTMGGGGKVRWSAARVGGAVLFGINSGIGYLLMLVVMSFNGGVFLAVVLGLAIGYLLFRSEDENSMLLDNPCACA >Potri.010G202900.3.v4.1 pep chromosome:Pop_tri_v4:10:19502966:19506439:-1 gene:Potri.010G202900.v4.1 transcript:Potri.010G202900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202900.v4.1 MKFEDYLGQQSDEKQKRVDLEEEVEKLQAELDEEQAINKVLQCALHGSVSSHPCLATLIPPQVQSLLAELAMVEEEIVWLERKVDELKLNLYQEVKQNKEWKRQPQHQKKMKQQNQLPPIGLENRSVLEDDFNQLSRSQHYDEYRKEKMKFRRPSVGSAAEMLSMLSTSSTKNEKPRRHTGRIQNEHHIRKEICNENPNELSEELVKSLIGIFLELHQAPPQDTEELAIVPKLSLSCMNSKGPKTLFNYKASIFPFNRNESNLDPYRIMPDLDNTVRDIGPYKNFIQIERNSLDVRRLPECLPMAGKLRVLIRRLCNVDLTFLTYKQKLAFWINIYNACIMHGFLEHGLPSSQENLLATMNKAAVNVGGIVLNALAIEHFILRHPCEPNHGHADEKEMLLRHAYGLGYPEPNVTFALCRGSWSSPALRIYTPEEVVNELGRAKVEYLEASVGVTCKRKIVVPKLLQWHMRDFADDMESLLEWIYSQLPRSGSLKRLMMECLNGESKFPLTKMVEVQPYESEFRYLLPF >Potri.010G202900.4.v4.1 pep chromosome:Pop_tri_v4:10:19502966:19506438:-1 gene:Potri.010G202900.v4.1 transcript:Potri.010G202900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202900.v4.1 MKFEDYLGQQSDEKQKRVDLEEEVEKLQAELDEEQAINKVLQCALHGSVSSHPCLATLIPPQVQSLLAELAMVEEEIVWLERKVDELKLNLYQEVKQNKEWKRQPQHQKKMKQQNQLPPIGLENRSVLEDDFNQLSRSQHYDEYRKEKMKFRRPSVGSAAEMLSMLSTSSTKNEKPRRHTGRIQNEHHIRKEICNENPNELSEELVKSLIGIFLELHQAPPQDTEELAIVPKLSLSCMNSKGPKTLFNYKASIFPFNRNESNLDPYRIMPDLDNTVRDIGPYKNFIQIERNSLDVRRLPECLPMAGKLRVLIRRLCNVDLTFLTYKQKLAFWINIYNACIMHGFLEHGLPSSQENLLATMNKAAVNVGGIVLNALAIEHFILRHPCEPNHGHADEKEMLLRHAYGLGYPEPNVTFALCRGSWSSPALRIYTPEEVVNELGRAKVEYLEASVGVTCKRKIVVPKLLQWHMRDFADDMESLLEWIYSQLPRSGSLKRLMMECLNGESKFPLTKMVEVQPYESEFRYLLPF >Potri.010G202900.1.v4.1 pep chromosome:Pop_tri_v4:10:19502966:19506438:-1 gene:Potri.010G202900.v4.1 transcript:Potri.010G202900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202900.v4.1 MAPTTVQIVDYSSFFGLARTMKFEDYLGQQSDEKQKRVDLEEEVEKLQAELDEEQAINKVLQCALHGSVSSHPCLATLIPPQVQSLLAELAMVEEEIVWLERKVDELKLNLYQEVKQNKEWKRQPQHQKKMKQQNQLPPIGLENRSVLEDDFNQLSRSQHYDEYRKEKMKFRRPSVGSAAEMLSMLSTSSTKNEKPRRHTGRIQNEHHIRKEICNENPNELSEELVKSLIGIFLELHQAPPQDTEELAIVPKLSLSCMNSKGPKTLFNYKASIFPFNRNESNLDPYRIMPDLDNTVRDIGPYKNFIQIERNSLDVRRLPECLPMAGKLRVLIRRLCNVDLTFLTYKQKLAFWINIYNACIMHGFLEHGLPSSQENLLATMNKAAVNVGGIVLNALAIEHFILRHPCEPNHGHADEKEMLLRHAYGLGYPEPNVTFALCRGSWSSPALRIYTPEEVVNELGRAKVEYLEASVGVTCKRKIVVPKLLQWHMRDFADDMESLLEWIYSQLPRSGSLKRLMMECLNGESKFPLTKMVEVQPYESEFRYLLPF >Potri.016G000200.1.v4.1 pep chromosome:Pop_tri_v4:16:15407:23570:1 gene:Potri.016G000200.v4.1 transcript:Potri.016G000200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000200.v4.1 MSTVVEELMQMQISPAGGGGDSQSHPGKEQQAAGVGILLQIMMLVLSFVLGHVLRRHRFYYLPEASASLLIGLIVGALANISNTETSIRAWFNFHEEFFFLFLLPPIIFQSGFSLSPKPFFSNFGAIVTFSILGTFIASVVTGVLVYLGGLIYLTYRLPFVECLMFGALISATDPVTVLSIFQELGIDTNLYALVFGESVLNDAMAISLYRTMSSLKSHAPGQNFFMVVFRFLETFVGSLSAGVGVGFISALLFKYAGLDIDNLQNLECCLFVLFPYFSYMLAEGLGLTGIVSILFTGIVMKHYTYSNLSENSQRFVSAFFHLISSLAETFVFIYMGFDIAMEQHSWSHVGFIFFSIIFIGVARAANVFSCAYLVNLVRPAPRQIPVKHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTVLETLQVVGDDHDGPLSESLDGNNGYVAPSYNEDATSGNRLKMKLKEFHKSTASFTALDRNYLTPFFTSQNGDDEEEHDDPMPSSRGRGFLGHN >Potri.010G031601.1.v4.1 pep chromosome:Pop_tri_v4:10:4719408:4723858:-1 gene:Potri.010G031601.v4.1 transcript:Potri.010G031601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031601.v4.1 MMSTELLEILPRELKITFEVKRESSCSIQLGNKSDQYVAFKVSAGEDYTSPKKYCVRPNTGVVKPKSTCDFTVTMQAQKFLVQSTVVPPGTTEEDITSNMFSKEGGKYSEEKKLKVVLISPPHSSFLLPSSGELKKDPCYDTSLLRDLGKDEIENIPQPHELAEDVPVFKTAMDANELGGAKDADGSIPADMGELKSSKDTAEPKLTKDFEELKSKLHAMDLKLRELTDERRMATKEKDKLKHEALTLLKIILPLTLHDCGYIPRQMLSSPLAPCTLGDLTISSLEDLDFLCCLLILNRLFTMNNNTRRAQVGFPLVFVCLVALPLPCNRFLIHP >Potri.006G152500.1.v4.1 pep chromosome:Pop_tri_v4:6:13482126:13485272:1 gene:Potri.006G152500.v4.1 transcript:Potri.006G152500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152500.v4.1 MAENPTITICLMAILFITRLASTLGKSKSWTGLLPPQIQTLDFARHLHVEPDAIKSVSSDYGNIVHENPAAVLYPSSIEDITSLIKFSYNNYTPFTVAARGHGHSVGGQAMASNGVVVDMTSLRNHKNGTGITVSKCPSLGFYADVGGEQLWIDVLHSTMEHGFAPVSWTDYLYLSVGGTLSNAGISGTTFRYGPQISNVYEMDVVTGKGELVTCSSHTNSELFYAVLGGLGQFGIITRARIALEPAPKRVKWVRMLYSDFSAFTRDQERLISINGRKQKNALDYLEGSLLMAQGPPNNWRSSFFPSSDIPKIMSLVTQHAIIYCLEVAKYYDDGTRHIVDKDLQQLLKGLSFVAGFMFEKDVSFVDFLNRVRSGEQKLHSQGLWDVPHPWLNLFLPKSRILEFNKGVFHDLVLKRNITTGVVLFYPMNRKKWDDKMSAVIPEEDIFYTVGFLHSSGFNDWQAYDHQNKDILKFCDKAGIEIKQYLPLYNSNKEWINHFGSKWRNFRERKAQFDPKMMLSPGQRIFNDI >Potri.003G182801.2.v4.1 pep chromosome:Pop_tri_v4:3:18803924:18804235:1 gene:Potri.003G182801.v4.1 transcript:Potri.003G182801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182801.v4.1 MSLIQIRICAGPWQVMLKKADGSYSCVAESVTRFTLGESATWEEEDVELETSSDWRS >Potri.003G182801.3.v4.1 pep chromosome:Pop_tri_v4:3:18803801:18804204:1 gene:Potri.003G182801.v4.1 transcript:Potri.003G182801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182801.v4.1 MFILYIFYAVTDDGPWQVMLKKADGSYSCVAESVTRFTLVCHLGGRRC >Potri.003G182801.1.v4.1 pep chromosome:Pop_tri_v4:3:18803801:18804235:1 gene:Potri.003G182801.v4.1 transcript:Potri.003G182801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182801.v4.1 MFILYIFYAVTDDGPWQVMLKKADGSYSCVAESVTRFTLGESATWEEEDVELETSSDWRS >Potri.003G215201.3.v4.1 pep chromosome:Pop_tri_v4:3:21138767:21143331:1 gene:Potri.003G215201.v4.1 transcript:Potri.003G215201.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215201.v4.1 MTNHRNPKRPVLPMTCTSNLFSQECASNIDCRQDDAENIFYQDLSCGIFPVDKNEEQSIPYSPRKAQACKKIPVTVTTFVRHSKYSNGRKMINEYVKERRINQGSYGKVVLYRNSNSGTPYAIKVICKSRLRKFRITGSETAMADVLREVSILKTLEHPNIINLVEVIDDQKSDYLYMVLEYVESSTVSNILETKGRIDETTARRYFKDVIAGLIYLHHHNIVHGDIKPENLLVTASGRVKIVDFSFGHAFEDDNDELLRCPGTLAFTAPECCSDTVYHGKAADIWAVGVTLYSMVLGFCPFLADSVPETCDKIVNSPLPLPEELDSELKDLLQGLLCKDPMQRITLDDVAEHPWVVKEGGPVPINCLCSCR >Potri.003G215201.2.v4.1 pep chromosome:Pop_tri_v4:3:21138770:21143246:1 gene:Potri.003G215201.v4.1 transcript:Potri.003G215201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215201.v4.1 MTNHRNPKRPVLPMTCTSNLFSQECASNIDCRQDDAENIFYQDLSCGIFPVDKNEEQSIPYSPRKAQACKKIPVTVTTFVRHSKYSNGRKMINEYVKERRINQGSYGKVVLYRNSNSGTPYAIKVICKSRLRKFRITGSETAMADVLREVSILKTLEHPNIINLVEVIDDQKSDYLYMVLEYVESSTVSNILETKGRIDETTARRYFKDVIAGLIYLHHHNIVHGDIKPENLLVTASGRVKIVDFSFGHAFEDDNDELLRCPGTLAFTAPECCSDTVYHGKAADIWAVGVTLYSMVLGFCPFLADSVPETCDKIVNSPLPLPEELDSELKDLLQGLLCKGNTDPMQRITLDDVAEHPWVVKEGGPVPINCLCSCR >Potri.007G136600.1.v4.1 pep chromosome:Pop_tri_v4:7:14819682:14820987:-1 gene:Potri.007G136600.v4.1 transcript:Potri.007G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G136600.v4.1 MTSHSRAADDESFENKRGIFSSYFYRLAESFGFNSPNQNRKGTEFSNNRDGDGIVRSFEESVVVSAPGKDPAIKHTLSCSLEELYQGATKTVKITRQVADRRGLTREIEEILTIDTKPGWKKGTEITFEEKGNERPNVTPADVVFIVDEKPHSEFTRDGNDLIVTRRISVTEAFTGYTVHLTTLDGRNLTLPINDVIHPNYQKVVPNEGMPILGDPTKRGILKIKFDIRFPTRVNAEQKAGIRRLFGA >Potri.012G088900.1.v4.1 pep chromosome:Pop_tri_v4:12:11416548:11420360:1 gene:Potri.012G088900.v4.1 transcript:Potri.012G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088900.v4.1 MGSAQMCNLVLFLAILHAVLAVSPGEDNSAESYWLLRIKSELVDPVGVLDNWSPRAHMCSWNGLTCSLDQTHVLGMNLSGSGLSGSISHELWHLTSLQILDLSSNSLTGSIPSELGKLQNLQMLLLYANSLSGKIPEEIGLLKNLQVLRVGDNLLSGEITPSIGNLTQLRVLGLAYCQFNGSIPSGIGNLKHLVSLDLQKNSLDGHIPEEIHGCEELQNLAALNNKLEGDIPASIGMLRSLQILNLANNSLSGSIPVELGQLSNLTYLSLLGNRLSGRIPSQLNQLVQLETLDLSVNNFSGAISLFNAQLKNLRTLVLSNNDLTGSIPSNFCLSNSSKLQQLFLSRNSLSGKFQLDLLNCRSLQQLDLSDNNFEGGLPSGLEKLEHLTDLLLNNNSFSGNLPSEIGNMSNLETLILFDNMITGRLPPEIGKLQRLSTIYLYDNQMSGGIPRELTNCTSMTKIDFFGNHFTGSIPATIGKLKNLNMLQLRQNDLSGPIPPSLGYCKRLQIMALADNKISGTLPETFRFLTELNKITLYNNSFEGPLPASLFLLKNLKIINFSHNRFSGSISPLLGSNSLTALDLTNNSFSGPIPSELTQSRNLSRLRLAHNHLSGEIPSEFGSLTKLNFFDLSFNNLTGEVPPQLSNCKKIQHFLLNNNQLAGTMPPWLGSLEELGELDFSFNNFHGNIPAELGNCSGLLKLSLHSNKLSGNIPQEIGNLTSLNVLNLQRNNLSGLIPSTIQECEKIFELRLSENFLTGSIPPELGKLTELQVILDLSENSFSGEIPSSLGNLMKLEGLNLSLNHLQGEVPFSLTKLTSLHMLNLSNNDLQGQLPSTFSGFPLSSFLGNDKLCGPPLVSCLESAGQEKRGLSNTAVVGIIVAIVFTSSLICLVMLYMIVRIWCNWRQVTISSMDAGGTEQRREEEKSEYGDKEKRRNGEYWKVNSMALVPSQDKQSPRTCIFHFKMDTEITGNTLV >Potri.016G130400.1.v4.1 pep chromosome:Pop_tri_v4:16:13348242:13349354:1 gene:Potri.016G130400.v4.1 transcript:Potri.016G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130400.v4.1 MIMATGQHPEPAPGEMAANATLESPVMVIGQEFVTQHPVDLEMMQKTLSLGMNDCKVTDEDGNLIFQVKSKIATVRDIRYLQDAYGNILVSLKHKLMTAHGRWEVFRGESIEQKDLLFSVKQSSLFQLVSSKLHVFLPSNTTESVPDFRIEGAFIDSSCTIYLGNSNTIVAQMHQQHNLKSTIKWKDDFQVTVCPNVDYAFIIVLVVILDATEDNDDKI >Potri.016G024900.3.v4.1 pep chromosome:Pop_tri_v4:16:1396968:1399753:1 gene:Potri.016G024900.v4.1 transcript:Potri.016G024900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024900.v4.1 MVSKTRLILSDFVVSLMWVWSGSLIKIFVFKVLGMGHDSRGEFLKNSLSIMNMFLFAFLGKVTKGGAYNPLTILSSAISGDFSQFLFTIGARIPAQVIGSITGVRLFIDTFPEIGLGPRLTVDIHKGALTEGLLTFAIVTISLGLARKIPGSFFMKTWISSVSKLSLHILGSDLTGGCMNPASVMGWAYARGDHITKEHILVYWLAPIEGTLLAVWTFKLLFRPQKQDEKEKLKGKTE >Potri.003G172500.3.v4.1 pep chromosome:Pop_tri_v4:3:18063795:18069009:1 gene:Potri.003G172500.v4.1 transcript:Potri.003G172500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172500.v4.1 MSQVDSRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPLQAPQGYSSAPYLGSGAPSSMYMGMPPYGPSLFNGSSIPPYDVPFTGGSAYHYNYGSRLSGGSPYRPLHMSGPPPYSGGSMMGNAGMYAMPPLMDRYGLGMPIGPAAMGPRPGFFPDDKSQKGSDATRDNDWACPKCGNVNFSFRTFCNMRKCNTPKPGSQAAKSDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGAEKPSESTKSPSPEPDEVEQ >Potri.003G172500.6.v4.1 pep chromosome:Pop_tri_v4:3:18063870:18066907:1 gene:Potri.003G172500.v4.1 transcript:Potri.003G172500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172500.v4.1 MSQVDSRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHNSKSAAKPLQAPQGYSSAPYLGSGAPSSMYMGMPPYGPSLFNGSSIPPYDVPFTGGSAYHYNYGSRLSGGSPYRPLHMSGPPPYSGGSMMGNAGMYAMPPLMDRYGLGMPIGPAAMGPRPGFFPDDKSQKGSDATRDNDWACPKCGNVNFSFRTFCNMRKCNTPKPGSQAAKSDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGAEKPSESTKSPSPEPDEVEQVCHVIHLDCISISCMELLFSLITYVLFTNSMTTSEKDVWLTRLPSFSLLSFSSVIFLKCTSLIVNYLSHGNH >Potri.005G150475.1.v4.1 pep chromosome:Pop_tri_v4:5:12856170:12856826:1 gene:Potri.005G150475.v4.1 transcript:Potri.005G150475.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150475.v4.1 MLGGILVNKHLAEDDIIDKKKSSHREYLDWRILHFGLRKKVDIES >Potri.012G091600.3.v4.1 pep chromosome:Pop_tri_v4:12:11640785:11643980:1 gene:Potri.012G091600.v4.1 transcript:Potri.012G091600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091600.v4.1 MQFLLSSFLGDLIQYTVQDSTDDIHHSRAFFLLNHEAMEKDFKVFVYPGGNPGTCYHSTNNTLKSSHASEHYFFMNLRDSPFLTKNPQEAHLFFIPISCLPLSDEEPLPGYRERVIKRYVKGLISTYPYWNRTLGADHFFVSCHNIGSTATKEIPFLLKNAIRLVCSPSYDSSYIPQKDVALPQILELSLPPDGDDMWNRSTVESRPLLLSQEMINPPRSWKCRLQEEKSLNILWAKHNHHKILMLVIQDGMQKIKKLKDGC >Potri.010G126200.3.v4.1 pep chromosome:Pop_tri_v4:10:14352500:14357410:-1 gene:Potri.010G126200.v4.1 transcript:Potri.010G126200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G126200.v4.1 MKIFVKTLKGSTFDIEVKPGDTVADVKKNIETAQGASVYPAEQQMLIYQGKVLKDDTTLGENKVAENSFVVIMLSKAKSSSGEGSTTSAAPTPKAPTTSAPMSTAPPASTVTSALPTSVPSPAPAPAPAPAPVSAPAPAPVSSVIAESESGVYGQAASNLVAGNNLEGAVQQILDMGGGSWDRDTVVRALRAAYNNPERAVEYLYTGIPEQAEAPPVAQVPVSEQAPAAQPRQQPAQPTTVPAGGPNANPLDLFPQGLPNIGSGAAEAGTLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQDDFLRLINEPVEGGEGNVSGPLAAAMPQSVTVTPEEREAIERLGAMGFDPALVLEVYFACNKNEELAANYLLDHIHEFED >Potri.011G019500.1.v4.1 pep chromosome:Pop_tri_v4:11:1556781:1560224:-1 gene:Potri.011G019500.v4.1 transcript:Potri.011G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G019500.v4.1 MGSEAILKEPYRAAMKGKWDRMINYYKKHSEYLLSPLTASKETALHIAVCSKQEQPLKDLLEIMKENELPLPETEFLKKTNKFDNTVLHEATIYGNNKAVKLLVERCPELLSVPNKFGETPLFTAAGFAETEIVKFLIRSKRGQCEDDDGLLLPIHRQRTVDNLSILSAAIIGQNFETALLLLDLDQSLASLKDRNQISTLQLLAEMPAAFESEFPMGVFERLIYYCLPVPRHREVKSKEKSRSRAGKGVGDLESGLGRNSGDLGSVSKRNQRGGILKYLKVPKGCWLEGIWKKKKKHVFALRFAKSLIEKDESFESEGEEGQEGKQTVLLSSQITTGDQNKEEEGQTSKITSEAKEIKNVQCPTAQTSLIKSSLTIKVESPLFTATRRGIEKIVEMIIKKHPHAIENHNKEGQSILDMAVMYRQKKIFDFLKQQKIPLARMRRVVDSKGNTLLHHVAEKGKNSGVTKPGPALQLQEELQWFEQVQKLIPSNYVPLLNDEGMTARECFENTHKEPLKEAQRWIKETSQSCSTVAALVATVVFAAAYTVPGGSDENGKPNFINSPYFLIFTVSDVVSLASSLTSLVVFLSLLTSPIELQDFHISLPRKLIVGFTFLFFSVITTMLSFGATILILIQSERKLTTLLLSIASFLPVLVFGIMQFRLYVSFMGSTYNILKIAWKAHSSSLVPCLPWGKKLRRED >Potri.017G152460.3.v4.1 pep chromosome:Pop_tri_v4:17:14990569:14991909:-1 gene:Potri.017G152460.v4.1 transcript:Potri.017G152460.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152460.v4.1 MLKKDEGLGFFIGRGAVCFCWRDGWSLEMVLGENPEEESRGFFKGKGGRLGFRGRKDERPGAEVFGFKGRGADRSSLGNGEKIGLLFFSKGGRRLAKKEKYFRFRFFFFFACCPFPSKTFLSP >Potri.017G152460.2.v4.1 pep chromosome:Pop_tri_v4:17:14990568:14991909:-1 gene:Potri.017G152460.v4.1 transcript:Potri.017G152460.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152460.v4.1 MTTEGTNLCGVLVHEQTFSEKLRGVQTGNLGWSVAVVVEENPRGSRRYCWRFGLQWKPVCGERLGKRSGRERQGRLAAMLKKDEGLGFFIGRGAVCFCWRDGWSLEMVLGENPEEESRGFFKGKGGRLGFRGRKDERPGAEVFGFKGRGADRSSLGNGEKIGLLFFSKGGRRLAKKEKYFRFRFFFFFACCPFPSKTFLSP >Potri.017G152460.4.v4.1 pep chromosome:Pop_tri_v4:17:14990631:14991695:-1 gene:Potri.017G152460.v4.1 transcript:Potri.017G152460.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152460.v4.1 MLKKDEGLGFFIGRGAVCFCWRDGWSLEMVLGENPEEESRGFFKGKGGRLGFRGRKDERPGAEVFGFKGRGADRSSLGNGEKIGLLFFSKGGRRLAKKEKYFRFRFFFFFACCPFPSKTFLSP >Potri.008G198300.1.v4.1 pep chromosome:Pop_tri_v4:8:14021820:14026322:-1 gene:Potri.008G198300.v4.1 transcript:Potri.008G198300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198300.v4.1 MASMVAKASSSSCPIQVLPMASIQEKGSRNKRKFRADPPLGDPSKIMSSAQNECPGYEFSAEKFEAAPGHGQSSACDLCGVNQYHSDGLKLDLGLSSALGSSEVGPSQPRGEVESEESHDADWSDLTESQLEELVLSNLDAIFKGAIKKIVACGYTEEEATKAILRSGLCYGCKYTVSNIVDNTLALLRNGHDIEPSREHCFEDLQQLGRYVLAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPLSSFATDGASNGTASVSTQPQLKPEAKCSELNLPNPCSQSETSTNVTGVPKNTKPKNSAVLNGPVSDKEGSNSTVNDKSSNIAGSSQSTILEEKFIVSRKVHSGVNKREYILRQKSVHLEKSYRTYGSKASRAGKLSGLGGLILDKKLKSVSDSTSVNIKNASLRLSKAMGVDVPQDNRNLNLPSNPSSHVTFNSVSSSTSSSIPKTDISSALPPVSVLPVLPTVTTPPASSAADTELSLSLPAKSNSTLVPTSCSAEAPMSSYAGILYDKSLTQWVPRDKKDEMIMKLIPRARELQNQLQEWTEWANQKVMQAARRLGKDKAELKSLRQEKEEVERLKKEKQTLEESTMKKLTEMENALCKASGQVEIANSAVQRLEVENAALRQEMEAAKLRAVESAASCQEVSKREKKTLMKFQSWEKQKALLQEEFATERHKVLELLQDLEQARQIQEQHEARWRQEEKAKEELLMQASSLRKEIENIEASAKSKEGMIKLKAETNLQKYKDDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYANRLADIKSSPAQKESRTPWISEVANDFHDFSETGGVKRERECVMCLSEEMAVVFLPCAHQVVCTTCNELHAKQGMKDCPSCRGPIQQRIPVRYARS >Potri.008G198300.4.v4.1 pep chromosome:Pop_tri_v4:8:14021806:14026168:-1 gene:Potri.008G198300.v4.1 transcript:Potri.008G198300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198300.v4.1 MASMVAKASSSSCPIQVLPMASIQEKGSRNKRKFRADPPLGDPSKIMSSAQNECPGYEFSAEKFEAAPGHGQSSACDLCGVNQYHSDGLKLDLGLSSALGSSEVGPSQPRGEVESEESHDADWSDLTESQLEELVLSNLDAIFKGAIKKIVACGYTEEEATKAILRSGLCYGCKYTVSNIVDNTLALLRNGHDIEPSREHCFEDLQQLGRYVLAELVCVLREVRPFFSTGDAMWCLLICDMNVSHACAMDGDPLSSFATDGASNGTASVSTQPQLKPEAKCSELNLPNPCSQSETSTNVTGVPKNTKPKNSAVLNGPVSDKEGSNSTVNDKSSNIAGSSQSTILEEKFIVSRKVHSGVNKREYILRQKSVHLEKSYRTYGSKASRAGKLSGLGGLILDKKLKSVSDSTSVNIKNASLRLSKAMGVDVPQDNRNLNLPSNPSSHVTFNSVSSSTSSSIPKTDISSALPPVSVLPVLPTVTTPPASSAADTELSLSLPAKSNSTLVPTSCSAEAPMSSYAGILYDKSLTQWVPRDKKDEMIMKLIPRARELQNQLQEWTEWANQKVMQAARRLGKDKAELKSLRQEKEEVERLKKEKQTLEESTMKKLTEMENALCKASGQVEIANSAVQRLEVENAALRQEMEAAKLRAVESAASCQEVSKREKKTLMKFQSWEKQKALLQEEFATERHKVLELLQDLEQARQIQEQHEARWRQEEKAKEELLMQASSLRKEIENIEASAKSKEGMIKLKAETNLQKYKDDIQKLEKEISQLRLKTDSSKIAALRRGIDGSYANRLADIKSSPAQKESRTPWISEVANDFHDFSETGGVKRERECVMCLSEEMAVVFLPCAHQVVCTTCNELHAKQGMKDCPSCRGPIQQRIPVRYARS >Potri.016G111600.3.v4.1 pep chromosome:Pop_tri_v4:16:11448084:11450331:1 gene:Potri.016G111600.v4.1 transcript:Potri.016G111600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G111600.v4.1 MVELPKPLSARQLFKLLKAEKSPKSALALFDSASRQPGYTHSPHIFLLILRRLSDPKLVVHVTRIVELIKTQKCKCTEDVVLTVLKAYAKSKMPNEALDCFQKMEEIFGCKPGIRSYNALLNAFIEANLLEKAESFLAYFETVGILPNLQTYNILIKISVKKRQFVEAKGLLDWMWSKDLKPDVYSYGTVINGMVKSGDLVSALEVFDEMFERGLVPDVMCYNIMIDGFFKRGDYVQGKEIWERLVKGSCVYPNVVTYNVMINGLCKMGRFDESLEMWERMKKNECEMDLFTYSSLICGLCDVGNVDGAVEVYKEMVKRSVVVDVVTYNALLNGFCRAGKIKESFELWVMMGKENCHNVVSYNIFIRGLFENRKVEEAISVWELLRRRGSGADSTTYGVLIHGLCKNGHLNKALKILKEAKDGGDKLDAFAYSSIVDGLSKQGRVDEALGIVHQMDKYGCELSPHVCNPLINGFVRASKLEEAICFFREMETKGCSPTVVSYNTLINGLCKAERFSDAYSFVKEMLEKDWKPDMITYSLLMDGLCQGKKIDMALNLWRQVLVKGLEPDVTMHNILMHGLCSAGKIEDALLLYSNMKQSNCLPNLVTHNTLMDGLYKARECEMASVIWACMFKNGFQPDIISYNITLKGLCSCGRISDGIALFDDALKHGILPTSITWYILVRAVLKLGPLDSLS >Potri.002G010532.1.v4.1 pep chromosome:Pop_tri_v4:2:654942:656188:1 gene:Potri.002G010532.v4.1 transcript:Potri.002G010532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010532.v4.1 MTYTHHVVNESLRLASVAPGMLRRAIKDIQVEGYTIPKGWTIMVVLAAVQLNPNTYKDPLAFDPSRWDNTGAIATAKNFIAFGGGLRSCAGAEFSRVLMPVFLHFLVAK >Potri.015G118850.1.v4.1 pep chromosome:Pop_tri_v4:15:13311205:13312212:-1 gene:Potri.015G118850.v4.1 transcript:Potri.015G118850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118850.v4.1 MPVTKGKTYEVSFMLSMNTKNSFGWDDPVTVMARIGKEGKYQRKEIKLLDLSGEVKEFPPDKCRIEFKSDENAKNDKETLYFGLYEMWTNKWKGGLRIHEAIVQEIPAGNNDRPPNTRSDESRGKEIAAHDD >Potri.003G151000.1.v4.1 pep chromosome:Pop_tri_v4:3:16399689:16400716:1 gene:Potri.003G151000.v4.1 transcript:Potri.003G151000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151000.v4.1 MATPEESSTLELIRQHLLGDFISTDEFISNLESSIASVSVKLESSLSGSEPNSPISDQSYHSTPETYSFEIKPEIIDFTPPEPVFSGSSNQYPPPEPVKMTDKGETVRHYRGVRRRPWGKFAAEIRDPTRKGSRVWLGTFDSDTDAAKAYDCAAFKMRGRKAILNFPSEAGLSIPPPATGRKRRRSKGEEVLPESVDVSTENWNLKWSGEVEEGVSDEEQLSPLTQETMLARLS >Potri.006G064700.1.v4.1 pep chromosome:Pop_tri_v4:6:4623406:4626351:1 gene:Potri.006G064700.v4.1 transcript:Potri.006G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064700.v4.1 MATFTSSFISFLLLSAIVLTLFFLCLSPFNQTTKISSRSSSFFTPNYSIDGNTNHTFDSFQSFSSPILLLPPPNPTFERLQPSPSPSPSPSPSPSQGISLITRHERKKTRFDGIEAGLARARAAIYEAVRSHNSSSYKEGSYIPRGAMYRNHYAFHQSYTEMEKRFRIWVYREGELPLVHSAPLNLIYSIEGQFLDEMESGKSPFAASHPDEAHTFLLPISVAYIIHYIYRPLVTFSRVELQRLVQDYVTVVAGKYPYWNRTEGADHFLVSCHDWAPDISRANPRLYKNFIRVLCNANTSERFEPRRDVSIPEINIPFGKFGPPGKGLPPSKRSIFAFFAGGAHGYIRKLLLEHWKDKDDEIQVHEYLDHNKKNDYFKLMGQSKFCLCPSGYEVASPRVVTAIQSGCIPVTISDNYTLPFSDVLDWSKFSVNIPSEKIPEIKTILKKISFRRYLILQGRVIKIRRHFKLNRPAQPYDMLHMILHSIWLRRLNVRLPF >Potri.011G057500.1.v4.1 pep chromosome:Pop_tri_v4:11:4705163:4706306:-1 gene:Potri.011G057500.v4.1 transcript:Potri.011G057500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057500.v4.1 MAPSPRLISFLFLLAILSVQIHARESQFFSKVSGATTTPSTTTISNNNAQDKTLPGKEEEEGLSKQEQDPAFIPDNQNGYGLYGQETTQFPTTTKLANAPYTTTTNSQPYKTQTQNQETYTNYPTDTTTNTNTNYYSNNAYDQEQQQNFGEKSLQESGYANMGNQNNNYYYNGANSYSNDEKQGMSDTRYLEKGKYYYDLKGENSNYNPNQYQQDSRNNYNTRGYYSNNNNNENSKFEYNNSMQKYDNQDDFEESKEEQYVP >Potri.008G145400.1.v4.1 pep chromosome:Pop_tri_v4:8:9900930:9904530:-1 gene:Potri.008G145400.v4.1 transcript:Potri.008G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145400.v4.1 MIMVGESTRRLPNWMLGVSVTADNDNNKKKNITDEPEDEEDDANLAKNSKFEAKRRKRNQVKDNKELDDDTDNDVNKKTSNRPGRKRKAKSKAEIKAKYEEEEGEELTVEDLVSIAEEYVKADEDSRRKQTSGRECKLQRQLPTTASSKNDLEESFIVLDGKHLSASCETTSYGSTMNLVSEESLISSSRTGDPDMLDLFLGPLLKKPMEKEKRSEFTTMDVDFTFELKKKTRNDFGDEMVPPMKKKSSLKDKVSLLLD >Potri.001G246600.1.v4.1 pep chromosome:Pop_tri_v4:1:26348136:26349569:1 gene:Potri.001G246600.v4.1 transcript:Potri.001G246600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246600.v4.1 MEHGSVRDPSSATFCFVDEDHTLANSVRFALNQDPRVSFCGYSIPHPSDAKVNIRVQTTGDPAREVLKDACQNLMVMCQHVRSTLDKAVDDYRSNPTDMDTK >Potri.003G171300.1.v4.1 pep chromosome:Pop_tri_v4:3:17968126:17974005:-1 gene:Potri.003G171300.v4.1 transcript:Potri.003G171300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171300.v4.1 MEEELAMLRQFIGQLVDLFNLYGSPLPPFDSLQFLHFHNQQQNNNNNNNRWCVLNLDDGSADDYCSLVMAAGKSRRFKMLEPGKPPASKKPRKERNRGKSLGTTSSNEVMQQEIWKEFPEDLFEAVIARLPIATFFRFRSVCQKWNSLLDSQSFSQHCAQVPQANPWFYTITHENVNSGAIYDPSLKKWHHPTISYLPTKMIVLPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPPRSVNVWSRVAVGMTLNGSAASGGYKILWVCCDGEYEVYDSLKNSWTRPGSMPSFIKLPLSLNFRSQAVSLGGTLYFMRSDPEGIVSYDMVTGVWKQFVMPAPLHLSDPTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNTGLLMLSLRSRQMNRLVSYNVVSREWLKVPGCLVPRGKKRQWIACGTAFNPCLTATT >Potri.003G171300.2.v4.1 pep chromosome:Pop_tri_v4:3:17969006:17973932:-1 gene:Potri.003G171300.v4.1 transcript:Potri.003G171300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171300.v4.1 MAAGKSRRFKMLEPGKPPASKKPRKERNRGKSLGTTSSNEVMQQEIWKEFPEDLFEAVIARLPIATFFRFRSVCQKWNSLLDSQSFSQHCAQVPQANPWFYTITHENVNSGAIYDPSLKKWHHPTISYLPTKMIVLPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPPRSVNVWSRVAVGMTLNGSAASGGYKILWVCCDGEYEVYDSLKNSWTRPGSMPSFIKLPLSLNFRSQAVSLGGTLYFMRSDPEGIVSYDMVTGVWKQFVMPAPLHLSDPTLAECGGRIMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNTGLLMLSLRSRQMNRLVSYNVVSREWLKVPGCLVPRGKKRQWIACGTAFNPCLTATT >Potri.001G293150.1.v4.1 pep chromosome:Pop_tri_v4:1:30491809:30495476:-1 gene:Potri.001G293150.v4.1 transcript:Potri.001G293150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293150.v4.1 MIDKEADIISHMSCTMLISPGHQPSSATALPEGLGMKHGKAQRTNQFLESLKAEDEMIVEDMQPSMLAQYTSTAQNLTDPVTLTAEKKLNVTLKRDAWWNE >Potri.003G086200.1.v4.1 pep chromosome:Pop_tri_v4:3:11281308:11301161:-1 gene:Potri.003G086200.v4.1 transcript:Potri.003G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086200.v4.1 MWAVMCPLLMLWYPCWCILLGNFCVSTFSFGPGQHGGGGIWSGNELHNSGSISSNHSRSGISSYAKTLKFSLPLNSSVSCEDLEGVGSFDTTCLVNSNLYLNSDLYIYGTGNLEILPHVSIACPIEGCMVTINMTGNVNIGQYATIVAGSVVFAVANLTMDSHSSINTTALGGSPPPQTSGTPVGDDGGGGGHGGRGASCLKRNKTSNWGGDVYAWSTLAEPWSYGSKGGGTSSRNKFGGNGGGRIKIQVKEIVCLNGSIAAEGGDGGLIGGGGSGGSIFVHAVKLKGYGTISAAGGRGWGGGGGGRISLDCYSIQEDVKVTVHGGLSIGCPGNAGAAGTFFNADLLSLRVSNDYVMTETETPLLDFPTTILWSNVFVENYAKVLVPLVWSRIQVRGQISLYHGGSIVFGLSEFPVSEFELVAEELLMSDSIIKVFGAFRVAIKMLLMWNSKIEIDGGGNTIVTASVLEVRNLIVLTAGSVLSSNSNLGLYGQGLLKLTGHGDTIRGQRLSLSLFYNITVGPGSLVQAPLDDNASRSLVTKSLCESQTCPIDLITPPDDCHVNYTLSFSLQICRVEDLLVNGIVKGSIIHIHRARTIIIDADGLITASELGCSGGIGKGNYSKGAGSGAGHGGRGGSGCFNGIVSNGGNKYGKADLPCELGSGTEGPNQSYGNVIGGGMIVMGSIQWPLLKLNLYGSLRVDGQSFDKASINSNASLIGGLGGGSGGTVLVFLQELMLAENSSLSVRGGNGSPLGGGGGGGGRVHFHWYKIDIGDEYVPVASISGSINRSGGAGENGGLFGEEGTVTGKKCPKGLYGTFCKECPLGTFKDVDGSDESLCIPCSLDLLPNRANFIYVRGGVSEPSCPYKCISDKYRMPNCYTPLEELVYTFGGPWPFALILSFLLVLLALLLSTVRVKLVGSGSCYGASSVEHQSHHHFPHLLSLSEVRGTRAEESQSHVYRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDDINSVAAYDWWEGSVHSILSVVAYPCAWSWKQWRQRNKIHRLQEYVKSEYDHSCLSSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMSPYNLHSDTLLTSLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRSALLPVIDWICSHGNPQLEFHGVKIELGWFQATASGYYQLGVLVMVGDYSLHSMHQSDCIDKSNSESARNNHSYYRNNASCTSRSLKLLQQERPYLSQALSRKKMTGGINGGLLNEATLKSLDFKRDFLFPLSLLLHNTRPVGRQDTLQLFITIMLLADLSVTLLTLLQFYWISLGAFLAVLLVLPLSLLSPFPAGLNALFSREPRRASLARVYTLWNATSLSNIAVAFTCGIFHYGFSSFRPPDEENTWNIRREDDKWWLLPTILLLFKSVQARFVDWHIANLEIQDFSLFCPDPDAFWAHESSS >Potri.003G086200.8.v4.1 pep chromosome:Pop_tri_v4:3:11281233:11291341:-1 gene:Potri.003G086200.v4.1 transcript:Potri.003G086200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086200.v4.1 MIVMGSIQWPLLKLNLYGSLRVDGQSFDKASINSNASLIGGLGGGSGGTVLVFLQELMLAENSSLSVRGGNGSPLGGGGGGGGRVHFHWYKIDIGDEYVPVASISGSINRSGGAGENGGLFGEEGTVTGKKCPKGLYGTFCKECPLGTFKDVDGSDESLCIPCSLDLLPNRANFIYVRGGVSEPSCPYKCISDKYRMPNCYTPLEELVYTFGGPWPFALILSFLLVLLALLLSTVRVKLVGSGSCYGASSVEHQSHHHFPHLLSLSEVRGTRAEESQSHVYRMYFMGPNTFREPWHLPYSPPNAIIEIVYEDAFNRFIDDINSVAAYDWWEGSVHSILSVVAYPCAWSWKQWRQRNKIHRLQEYVKSEYDHSCLSSCRSRALYKGMKVGATPDLMVAYIDFFLGGDEKRLDIVSIIQKRFPMCIIFGGDGSYMSPYNLHSDTLLTSLLGQHVPATVWNRLVAGLNAQLRTVRHGSIRSALLPVIDWICSHGNPQLEFHGVKIELGWFQATASGYYQLGVLVMVGDYSLHSMHQSDCIDKSNSESARNNHSYYRNNASCTSRSLKLLQQERPYLSQALSRKKMTGGINGGLLNEATLKSLDFKRDFLFPLSLLLHNTRPVGRQDTLQLFITIMLLADLSVTLLTLLQFYWISLGAFLAVLLVLPLSLLSPFPAGLNALFSREPRRASLARVYTLWNATSLSNIAVAFTCGIFHYGFSSFRPPDEENTWNIRREDDKWWLLPTILLLFKSVQARFVDWHIANLEIQDFSLFCPDPDAFWAHESSS >Potri.005G071600.7.v4.1 pep chromosome:Pop_tri_v4:5:4688344:4695711:-1 gene:Potri.005G071600.v4.1 transcript:Potri.005G071600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071600.v4.1 MVIVVDDEDRENEGDLIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTHKENEEKLCTAFAVSVDAKHGTSTGVSARDRATTTVALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAENLKIISIADLIRYRRRRDRLVELAAAAPIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGGGKDILVQVHSECLTGDIFGSARCDCGNQLALAMKQIEEAGRGVLLYLRGHEGRGVGLGHKLPAYNLQIDGRDTVEANKDLGLPVDSREYGIGAQMLRDLGVRTMKLMTNNPAKYVGLKGYGLAVAGRVPLLTPITMENKRYLETKREKMGHIYGSDSGLVNGLIGENDNSTTGSLSDGV >Potri.005G071600.8.v4.1 pep chromosome:Pop_tri_v4:5:4688381:4695741:-1 gene:Potri.005G071600.v4.1 transcript:Potri.005G071600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071600.v4.1 MMNMTSIVLRKDVPQSQRPLRIFIKERIMVIVVDDEDRENEGDLIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTHKENEEKLCTAFAVSVDAKHGTSTGVSARDRATTTVALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAENLKIISIADLIRYRRRRDRLVELAAAAPIPTMWGPFKAYCYRSLLDGIEHIAMVKVSYFIQISSHLMNMSLCILCNTKFKLNFLDLVFLHGGQLKFNS >Potri.005G071600.6.v4.1 pep chromosome:Pop_tri_v4:5:4688400:4695741:-1 gene:Potri.005G071600.v4.1 transcript:Potri.005G071600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071600.v4.1 MVIVVDDEDRENEGDLIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTHKENEEKLCTAFAVSVDAKHGTSTGVSARDRATTTVALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAENLKIISIADLIRYRRRRDRLVELAAAAPIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGGGKDILVQVHSECLTGDIFGSARCDCGNQLALAMKQIEEAGRGVLLYLRGHEGRGVGLGHKLPAYNLQIDGRDTVEANKDLGLPVDSREYGIGAQMLRDLGVRTMKLMTNNPAKYVGLKGYGLAVAGRVPLLTPITMENKRYLETKREKMGHIYGSDSGLVNGLIGENDNSTTGSLSDGV >Potri.005G071600.5.v4.1 pep chromosome:Pop_tri_v4:5:4688344:4695711:-1 gene:Potri.005G071600.v4.1 transcript:Potri.005G071600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071600.v4.1 MVIVVDDEDRENEGDLIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTHKENEEKLCTAFAVSVDAKHGTSTGVSARDRATTTVALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAENLKIISIADLISERYRRRRDRLVELAAAAPIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGGGKDILVQVHSECLTGDIFGSARCDCGNQLALAMKQIEEAGRGVLLYLRGHEGRGVGLGHKLPAYNLQIDGRDTVEANKDLGLPVDSREYGIGAQMLRDLGVRTMKLMTNNPAKYVGLKGYGLAVAGRVPLLTPITMENKRYLETKREKMGHIYGSDSGLVNGLIGENDNSTTGSLSDGV >Potri.005G071600.4.v4.1 pep chromosome:Pop_tri_v4:5:4688400:4695741:-1 gene:Potri.005G071600.v4.1 transcript:Potri.005G071600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071600.v4.1 MVIVVDDEDRENEGDLIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTHKENEEKLCTAFAVSVDAKHGTSTGVSARDRATTTVALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAENLKIISIADLISERYRRRRDRLVELAAAAPIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGGGKDILVQVHSECLTGDIFGSARCDCGNQLALAMKQIEEAGRGVLLYLRGHEGRGVGLGHKLPAYNLQIDGRDTVEANKDLGLPVDSREYGIGAQMLRDLGVRTMKLMTNNPAKYVGLKGYGLAVAGRVPLLTPITMENKRYLETKREKMGHIYGSDSGLVNGLIGENDNSTTGSLSDGV >Potri.005G071600.3.v4.1 pep chromosome:Pop_tri_v4:5:4688400:4695711:-1 gene:Potri.005G071600.v4.1 transcript:Potri.005G071600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071600.v4.1 MMNMTSIVLRKDVPQSQRPLRIFIKERIMVIVVDDEDRENEGDLIMAASKATPEAMAFIVKHGTGIVCVSMKAEDLERLELPLMVTHKENEEKLCTAFAVSVDAKHGTSTGVSARDRATTTVALASKDSKPEDFNRPGHIFPLKYREGGVLKRAGHTEASVDLAMLAGLEPVAVLCEIVDDDGSMARLPRLRQFAQAENLKIISIADLIRYRRRRDRLVELAAAAPIPTMWGPFKAYCYRSLLDGIEHIAMVKGEIGGGKDILVQVHSECLTGDIFGSARCDCGNQLALAMKQIEEAGRGVLLYLRGHEGRGVGLGHKLPAYNLQIDGRDTVEANKDLGLPVDSREYGIGAQMLRDLGVRTMKLMTNNPAKYVGLKGYGLAVAGRVPLLTPITMENKRYLETKREKMGHIYGSDSGLVNGLIGENDNSTTGSLSDGV >Potri.015G011000.2.v4.1 pep chromosome:Pop_tri_v4:15:710103:711943:-1 gene:Potri.015G011000.v4.1 transcript:Potri.015G011000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G011000.v4.1 MLTQRRELYSISVLPGGINRVDDRSMPFALEAGKYAAEIVGSSNGLVCLSIRSKISNDLNAHILWNPATRQYRELPPNRICYSQAQGFGFHHGINDYKLLQVAYRKNGQQEAKVLALSTGSWRKVEDTLPSYDYGIAKPVVVKGVWYHMATAARETPFIIRFDMGDDTFSKVKTAPIPYHSSPEYLVKKVKLMEYKELPAICVFEYNYWIPYPSLSFKIDIWLMNNEQSWTKVLTCSQNSVPISDVGLLPLGFWVDEESIISENYKRDGLSLFNLSNKQSKVVVVDEAKRFGSAHSYVESMVSVYPTRSQDRDSTN >Potri.006G151300.1.v4.1 pep chromosome:Pop_tri_v4:6:13234883:13237702:-1 gene:Potri.006G151300.v4.1 transcript:Potri.006G151300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G151300.v4.1 MVAKKPRIVIIGAGMAGLTAANKLYTSSSSNDMFELCVVEGGSRIGGRINTSEFGGDRIEMGATWIHGIGGSPVHKIAQEIHSLESEQPWECMDGLLDEPKTVAEGGFELSPSLVESISTVFKNLMDYAQGKLIEREESSEEVDFCKLADKICKICPSNGGGPGKLSVGSFLRQALNVYWDSVKEQEQIEGCGNWSRKLIEEAIFAMHENIQRTYTSAGDLLTLDFDAESEYRMFPGEEITIAKGYLSVIESLASVLPHGLIQLGRKVARIEWQPEAHQSSGHGCAGRPVKIHFCDGSIMSADHVIVTVSLGVLKAGIGPDSGMFNPPLPTFKTEAISRLGFGVVNKLFLQLSSRHDGRDGDYSKFPFLQMAFHRPDSEWRHKKIPWWMRRTASLSPIYKNSGVLLSWFAGKEALELETLSDEEIIDGVSTTLSSFLSQPHKQLNSNSHGVCNGKEKSVDGNRVRFANVLKSKWGNDPLFLGSYSYVAVGSSGDDLDTLAEPLPNTDTLGSAPLQILFAGEATHRTHYSTTHGAYFSGLREASRLLQHYHCVGV >Potri.018G020400.2.v4.1 pep chromosome:Pop_tri_v4:18:1445479:1455014:-1 gene:Potri.018G020400.v4.1 transcript:Potri.018G020400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020400.v4.1 MASSNSDKELEQQLLEAGTKLLNPPSSLDDLLPLLDQVENCLSKVEQSPLKSMQNALSPSQNALVTDQLFRHSNIDVKVAVASCISEITRITAPDAPYDDDQMKEVFQLIVSSFENLDDKSSRSYVKRASILETVAKVRSCVVMLDLECDALIIEMFQHFFKAVRDYHPENVLSSMETIMSLVLEESEDISVELLSPLLASVKKGDEEALPVAQKLGEKVLETCATKVKPYLIQAVKSLGVSLDDYSDIVGSMCQEISGSIEQKDVHAGDENKAEESKPAGTLSATAAQVDEEETTEVATPIQADPANEKSPKSAVSNGVAQTGEDDSLADSYSLKKQEDNHTDQLKSIDMPGNGEPVISDAEKVVNTESEAEQTSKKSAEKSPTKLTEPSESFPAVPEKEAEELPDDKIHGEDIPSSHKDQSVEEAISSENIKETVTQPSSPKASEGESVPVASPSVGESPPDESVSKKGGRSKKKESLNKHSAPSSDDVPKKVSDGTSDSELKSHKHSGKKAFAGTSCEDKTPMMTDASKKESNTTSEPEAKSLKQSSKEVDTSKKESDTASEQEAKPPKQSSKKLDASKRESDTTGEPEVKPSKQSSKKVDASRKESNTTGESEAKPLKQSSKKVDGSSSNDGLSLKQSEDKKRQSRGKAASEKHATKSSTKDDDKEKTPSTKSAAKSAKEEHHLEETPVTSTKRKRGDEKGSDIKEFDENVVGSKVKVWWPKDRQFYEGKIESFDPIKKKHKVVYTDGDEEILILKRQRFELIDDDSESEEEEATDHPSPETSSEAPLKKRMKTSSDKSSKQGKVDASPKRGSGASSSKSKIAAAKSGGKSKEAGKTGGKSVDESKVKKSDDRGKTKDHTPKSGSKSDFASKTASKSKNDNPLTSKTSKSKEDGTSTPKISKSKHETPKVSSSSAKGKASKSGGKSDVNGAGKLKPGSSKVKEIDDEETSTDSEKVQRSVKVKTGSSSKGGSEAKSGKKRRRV >Potri.001G462700.1.v4.1 pep chromosome:Pop_tri_v4:1:48865479:48867395:1 gene:Potri.001G462700.v4.1 transcript:Potri.001G462700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462700.v4.1 MERSNFSMRLLLLILLVSLARSADSSSSHEMFLQCFSSHIQHSKSYSEVILTKNSSAYSSVLQSSIRNFRFLNTSTLKPQFIITPFNEFEIQAAIVCAKKYDMQIRVRSGGHDYEGLSFLSYQEFVLVDLAELSSISVDIENETAWIGAGASIGELYYRIAEKSKVHGFPAGTCPTVGVGGHFSGGGFGTIFRKYGLAADNLIDARIVDANGRILDRESMGEDLFWAIRGGGAASFGVVFSWKVRLVSVPPTVTVFNIGKTLQQGASNLLHKWQNIGDKLHEDLFLHATIAVATSSPNGNKTIQVSFVSLFLGRAEELLPMMQDSFPELGLMRENCSEMSWIQSVLYFGGFSPSDSLDVLLSRTAQFKGFFKGKSDYVKEPISETGLEGLYKRLLEEETSMLILTPYGGRMSEISDSETPFPHRSGNIFEIQYIITWDVEEETEKNLKWMRKLYAYMAPYVSNSPRAAYLNYRDLDLGRNNYGNTSFAKASVWGLKYFKNNFKRLARVKTATDPSNFFRNEQSIPVLQRKRNLK >Potri.003G149100.5.v4.1 pep chromosome:Pop_tri_v4:3:16280392:16283505:-1 gene:Potri.003G149100.v4.1 transcript:Potri.003G149100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149100.v4.1 MDNRGETLVDNSPEDIRWLCNLSESELDMLITLKSLILHRAKVLGHDELAKKFDSPTLRAVGLFLMEYLKGKVKDLSHVQGLTKLAAFSDCCNLLKGNPGDDSSIEELKASIDIDERRRPIKRAGEEATKQKKQRL >Potri.003G149100.6.v4.1 pep chromosome:Pop_tri_v4:3:16280392:16283505:-1 gene:Potri.003G149100.v4.1 transcript:Potri.003G149100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149100.v4.1 MDNRGETLVDNSPEDIRWLCNLSESELDMLITLKSLILHRAKVLGHDELAKKFDSPTLRAVGLFLMEYLKGKVKDLSHVQGLTKLAAFSDCCNLLKGNPGDDSSIEELKASIDIDERRRPIKR >Potri.006G257200.2.v4.1 pep chromosome:Pop_tri_v4:6:25418810:25424036:1 gene:Potri.006G257200.v4.1 transcript:Potri.006G257200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257200.v4.1 MESSIPVSAKSERRWRSKPLQTSKPSLVLAFFSCFAWLYVAGRLWQDAENRTLLSNLLKRNTAQRPKFLTVEDKLVVLGCKDLERRIVEAEMELTLAKSRGYLKNRLPENGSSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNIDGENRSTKDFLILEGHEEAQEELPKKVKYFFSTAVQTWDAEFYVKVDDNINLDLEGLIELLEHRRRQDSAYIGCMKSGEVVTEEGKPWYEPEWWKFGDEKSYFRHASGSLVILSKNLARYIDINSASLRSYAHDDISVGSWMMGVQATYIDDNRLCCSSIKQDKVCSLA >Potri.017G074200.3.v4.1 pep chromosome:Pop_tri_v4:17:8193678:8196762:-1 gene:Potri.017G074200.v4.1 transcript:Potri.017G074200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074200.v4.1 MDIENRKSKTSRIREWFKRKNKRADAYQWNEVSDDSEDDSLDDDLVRSLEIDPCIFTNELRIFVGTWNVAGRSPVGSLAVDVNEWLNLRDAADMYVLGFQEIVPLKTKNVIGVEDPTEATNWNLLIGKTLNDKYGCPWLTPMLNPISSENYHFVRFPGFGRRASFSGHSGFTGPELSKAQHEGEAYGGSKYKLMASKKMVGVFISVWMKKEFLTKYCISDVKVSSVACGIMGYLGNKGSVSVSMSIEGTSFCFIAAHLASGEKRGDEGRRNHQVSEIFRRTSFPRSSEDDDNPHPITILGHDRIFWFGDLNYRLYQDNILAKEFIKKQDWKALQEFDQLRKELEDGGVFEGWREGNIEFAPTYKYSSANCNRYTAGLPGRSGEKQRTPAWCDRILWYGKGVRQLSYFRSESKFSDHRPVSALFSIPIEVMKVTNPRKVFPTGTFLPMPSGKLEPIDSKGGARSTLLSLISKESVKGIGSLP >Potri.009G162800.1.v4.1 pep chromosome:Pop_tri_v4:9:12519683:12521090:-1 gene:Potri.009G162800.v4.1 transcript:Potri.009G162800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G162800.v4.1 MAACGRLQHIFEKPLPENPTLLESLSSWNQIKPVKPMEPSSLTEIFGELHFKEDPQTPSSSLLATSSFLDLNSEARTTRLDKNDSVEVKKSPSILDSVSSTALKNHQYTGCHKNGDNFSRMNYESLQLCTEGLGFESSDDVEDFKNDINDDWRYQEKVRITRRSVSEDLSRPRSGGRAFPPPISCIGRSGKPQVIFKSYRHDGRFVLKEVRMPTLEFLRACREDGRLTLQFVHPNDEITDEGDEEEVEEDYEDDSQEESDEEKGNDDDDG >Potri.008G146000.4.v4.1 pep chromosome:Pop_tri_v4:8:9935537:9939311:-1 gene:Potri.008G146000.v4.1 transcript:Potri.008G146000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G146000.v4.1 MAAAIPVSVSLILSSDSQPSQRLPVILSPSNIQHPFLSLHKSFNFSSRFRVSSKCSSPSPSSSTTTTTTSTETETSSASTTYSYLEDSYKTGRFLSNEEIEKLNALQNFRYYQQLETGSMCVRLMKPEEMDITVKLLAESFVESMLLPVGYVSLLRYLVKQYLIERRAAMPHAVTLIGFYKGKQEMNTGEEKEDLEELAGTVEVCFDKRGANTSPPTPTSPKNAPYICNMAVKQSLRRRGIGWNLLKASEELISQMSSMRDVYLHCRMIDLAPLNMYTKAGYNIVKTDSIRVLLMLQRRKHLMCKKLAVLKNPSELDISGSDTELSSQLDMLKS >Potri.015G047100.2.v4.1 pep chromosome:Pop_tri_v4:15:4693072:4695169:1 gene:Potri.015G047100.v4.1 transcript:Potri.015G047100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047100.v4.1 MFSLFDPINCTLFSGIFIFSIFTLNNFNLISSHHAEMADSKAGAELLDIILTKGGYGGDKPGFQVASSPPFYCGSPPCRVSNPVIQDARFGNEKITPLSPAPPSPPPSSSSARKGGGCVRMKFGHTPAAVRIEGFDCLRRDGRNCSISAVA >Potri.015G047100.1.v4.1 pep chromosome:Pop_tri_v4:15:4693106:4695259:1 gene:Potri.015G047100.v4.1 transcript:Potri.015G047100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047100.v4.1 MNYYGYEQKSAMIGGCEERERMMVVVESVVCPKPRRLGLLNPPLNEQIRPLRLPVNHHAEMADSKAGAELLDIILTKGGYGGDKPGFQVASSPPFYCGSPPCRVSNPVIQDARFGNEKITPLSPAPPSPPPSSSSARKGGGCVRMKFGHTPAAVRIEGFDCLRRDGRNCSISAVA >Potri.001G458400.1.v4.1 pep chromosome:Pop_tri_v4:1:48382746:48384392:1 gene:Potri.001G458400.v4.1 transcript:Potri.001G458400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G458400.v4.1 MDKGEATIETGATKSSEGRESKIGRVQAVGYHIPQDIVAEILAKLPAKSLMRFRCVCKTWSSLIRDPFFVKLHQNQSLNKPCKTGLLMSTKHQLFNSHFVFADHEGKQALEEDTISIPKSSNVLGIANGLACIVNDKHRISVYNLSTRESTTIPPPPQEIRIQDRLSFGFDPLANEYKIVKFCAHDKEQFEIFTLGTERWRRINRKHYNFYGGAKWYDFKEAICVKGVIYWMVKSMAESLKINLHSFDVHGEKFQQVAVPGNGLCLFSDLIQIEGCLAVIQDSECGNKFKLKMLQDYHNNVWTHKIIDIPLGPKDVRYPTLAGTLGTGEILILDDHFSGCFRLFYSDMGSKRLRSAKIALPSFFGENKWKRYYANHFSQTGENNGSWLYSASIFSFHHVENILPLKEDGGFI >Potri.005G214650.1.v4.1 pep chromosome:Pop_tri_v4:5:21780983:21792771:-1 gene:Potri.005G214650.v4.1 transcript:Potri.005G214650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214650.v4.1 MRISPFPLLLSLLSFSSQKPKTRCHRTMNPVFNWKLSVLGFIIFTIYTTSRCVGESSTCLTVYKEGGAAAVFQSPKCPRWNLPNYDSRPRSTATAPSSLCQSAMLQGRRKSQEDRTLCALDFRIPFPGKVGVKEVVVGIVAVFDGHNGAEASEMASKLLLEYFALHTYFLLDATYSFVFKKSNGRLPNEGEKDVVFQVLNWGEESGQRKLNFERFKFSLPTNFDDSFHLDILKEALLRAIHDIDATFSKEASKNNLDSGSTATVALMADGQILAANIGDSKALLCSEKFQSPAEAKATLLRIYREQRRDGAVSPARYYGNIKSTSSNGPTHLIVQQLTRDHHPDRDDERFRVENAGGYVLEWGGVPRVNGQLAVSRAIGDLHLKGYGVISAPEVTDWQPLTTNDSYLVVASDGIFEKLGLQDTCDLLWEVHSHGTERPGLSSSCSYSLAECLVNTAVEKGSMDNAAAVVVPLGSIGISQKISRDSCDGEGDIHCSTIGHRNFMDEQSANEGSSDLVQLDHGHSVTTKFEKLLIKGKQRNFGCYYLSENLNDNLEMLGAQKNDGIDYMYDLPQALPEAFNHRYGGPVNLYNDQNMCLHFGMTIDGVTDQCIHPEGFASFLGLLESIPFHDVGSNYGSTEHAMPDLRYVLKKRFGRGSYGEVWLAFYWNCHQDHNASSWSKRNGHSSFDASLNSTKRNSSCGSANDFSSGSPDGDLFILKRIMVERGAAVYLSGLREKYFGDVFLNASRCLGDLQSDGIKTSLFEEMRSDYDLLETNESVYGLGSGWSFENMFPNKFRTMRATFEEGLSHIARYVESFESRSNEIWLVFHHEGVSLSKLIYTVEEAENVSDREKVEEVKRIEVLQPSKWWHWLKTTKAGKEEMRNLLWQLLIALKSCHDRNITHRDIKPENMVVCLEDQHTGRCLKGGPSGDKNYTVKMRIIDFGSAMDEFTLKHLYGSTGPSRAEQTSEYSPPEAFLNASWYHGPASTNLKYDMWSVGVVMLELILGTPNVFQISARTQALLDPHIVGWNEDLKELAYKLRAFMELCILIPGSSSKHHRSTGRVGDSPASWKCSEEFFSNQIKNRDPLKIGFPNVWALRLVRQLLLWDPEDRLSVDDALQHPYFQPPPKR >Potri.006G203700.2.v4.1 pep chromosome:Pop_tri_v4:6:21165006:21170678:-1 gene:Potri.006G203700.v4.1 transcript:Potri.006G203700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203700.v4.1 MESCHSIVRSYYIYRFVTPNCPINLNKFASVPLSGSSCAALSLTRSRRRSRRRELPPSMETPPDGYRRNVGICLVNSSKKIFTALRINISDTWQMPQGGAGEGEDLLTAAMRELREETGVTSAEFVAEAPYWLTYDFPPQTRERLSRRWGTNYKGQTQKWFLFKFTGKEDEINLLGDGSETPEFKDWAWLLPERVLELAVDFKKPVYEQVMKVFGPYLQADADEDSAAQNETEAEVRDSAK >Potri.006G203700.8.v4.1 pep chromosome:Pop_tri_v4:6:21166520:21170703:-1 gene:Potri.006G203700.v4.1 transcript:Potri.006G203700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203700.v4.1 MESCHSIVRSYYIYRFVTPNCPINLNKFASVPLSGSSCAALSLTRSRRRSRRRELPPSMETPPDGYRRNVGICLVNSSKKIFTALRINISDTWQMPQGGAGEGEDLLTAAMRELREETGVTSAEFVAEAPYWLTYDFPPQTRERLSRRWGTNYKGQTQKWFLFKFTGKEDEINLLGDGSETPEFKDWAWLLPERVLELAVDFKKPVYEQVMKVFGPYLQADADEDSAAQNETEAEVYVESTPV >Potri.006G071901.1.v4.1 pep chromosome:Pop_tri_v4:6:5235854:5236864:1 gene:Potri.006G071901.v4.1 transcript:Potri.006G071901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071901.v4.1 MVTPILGLLLSKAITMYQEPPEEMRKDSKFWAIVCVGIGLITFVALSLRSYLFGIAGAKLIERIRSMTIFEKVACQEISWFDDLANSSGAVGARLSTDASTVRSLVGDH >Potri.009G039600.1.v4.1 pep chromosome:Pop_tri_v4:9:4777579:4780220:-1 gene:Potri.009G039600.v4.1 transcript:Potri.009G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039600.v4.1 MAANNVGLPAGVSKEQAYGMAETEMEYRVELFNRLLNTCFNKCIDKRHKEAELNMGENSCVDRCVSKYWAVNGIIGQMLSAGQRPM >Potri.009G039600.2.v4.1 pep chromosome:Pop_tri_v4:9:4777584:4780084:-1 gene:Potri.009G039600.v4.1 transcript:Potri.009G039600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039600.v4.1 MAANNVGLPAGVSKEQAYGMAETEMEYRVELFNRLLNTCFNKCIDKRHKEAELNMGENSCVDRCVSKYWAVNGIIGQMLSAGQRPM >Potri.005G131500.14.v4.1 pep chromosome:Pop_tri_v4:5:10147898:10154771:-1 gene:Potri.005G131500.v4.1 transcript:Potri.005G131500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131500.v4.1 MKCNGQDTCKSSSSSCMDVMIDEESVTMDKLHVPKLNLEPQQMKRKKYNLRKSLAWDKAFFTEEGVLDPLELSTLSTRVDRPVSRGGRELLSGGLDCINELPDMQALEDNLFKELPPNTLADGGMAARVFSPKPVSLARDEAGPASVAKRKILSASNISQSASKRSGCPRPVASSSLRRPPTTNKTKATTKDSKVSKLLAPKPDPSNVYAAPRSSHLKRNQIPHPGDGQKNIRSKGTSTNTRSVRKDMELGPSDKLLPKSTAHHARSKVSPVPKKHSSTNTQHPQVNLANNCSEVIPDLVRPVAAHPLNGSDNSTSKIAVSFSQNASCNSENMQCTESQTAKASGLRMPSPSLGFFSQSKPAGSLSLLERTQTSKLRESNIPSLHKAALSNHQCPWQPSNKTSASLNMRSNAAASVNPTSQGKIKGNSELKNKEKMFQAPLNSRICDGLGNQQQLHDIHDNQLLLQGGPCEQLKKGQHSKKVTELCLTGRDTTAAGLDYPHSRFNVSLAAEVDSLSEKNCVTANHHIEDRQYIPIIKDNSDHSDFPSLGMSTNSDEGTQKVHDQLARMQGVNDQTVKQSEPMKLDTCHVYLVSNAESLSLCLNNGTSIEERSAEELNNCRGSNRANAVLKSQDCSTAELEIPYRPSCCNDILYTNNESSESGNLYTELYVENVQLQSVDGNLTVKRDEKSMPNTLPEYNLPSMSISDPSEKTAKQTELPFPCLVTEQAMADDCGLQHDGYLLHGKRFFSEESKEKNLLQSAEDMVSNVNASGGILESSGVLSSKSPAKQDSSSNAAGKSECLHVENPLVSSVDKGLVKVASEITDMSGDKSLVSKCDSSVKKFIVSSYLQRGNDANGRGSSIPENNCSTCMHDVNEDMEKTKVITSFCESDQDNQSQRAFDMLYSKSRSLEEQRECSNRDIANVRGTIASEVESLNGIHQCEIMEQTNELAQVNRITKETVMQNAYVQSSEESLLSDSHNCNISPLVSNKTSTVMVDDIRELEEHLAVQNPYVMIEQAPLDNHEFCSDDSLLLMNNTSVELRKGDALQNVLGVGLEQGDGSCESRCFDVDTQVRPIKNAGSDLDKKAEHTFMVNTEPGFMEILPSIENYKCSMDSKNRSDDELIDQAASLEFNSSSDKSRHQIASSVDDCDSSLFCCLNEKSKLLMKTKEKSSQRVQQDAEVDLSDRNILPEEAQIKVPQTSSSPSIEVQHELDVMYPTEDKAATLSLQKPVKNKKQDACVIKPPPNVVPFSDEWLAAFEAAGEEILTMKGGAVRNSPPDKVQHEPGPWSPVRRKNAQGIGPFDCTKFMNNNTPPSTSN >Potri.005G131500.10.v4.1 pep chromosome:Pop_tri_v4:5:10147896:10154819:-1 gene:Potri.005G131500.v4.1 transcript:Potri.005G131500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131500.v4.1 MKCNGQDTCKSSSSSCMDVMIDEESVTMDKLHVPKLNLEPQQMKRKKYNLRKSLAWDKAFFTEEGVLDPLELSTLSTRVDRPVSRGGRELLSGGLDCINELPDMQALEDNLFKELPPNTLADGGMAARVFSPKPVSLARDEAGPASVAKRKILSASNISQSASKRSGCPRPVASSSLRRPPTTNKTKATTKDSKVSKLLAPKPDPSNVYAAPRSSHLKRNQIPHPVGDGQKNIRSKGTSTNTRSVRKDMELGPSDKLLPKSTAHHARSKVSPVPKKHSSTNTQHPQVNLANNCSEVIPDLVRPVAAHPLNGSDNSTSKIAVSFSQNASCNSENMQCTESQTAKASGLRMPSPSLGFFSQSKPAGSLSLLERTQTSKLRESNIPSLHKAALSNHQCPWQPSNKTSASLNMRSNAAASVNPTSQGKIKGNSELKNKEKMFQAPLNSRICDGLGNQQQLHDIHDNQLLLQGGPCEQLKKGQHSKKVTELCLTGRDTTAAGLDYPHSRFNVSLAAEVDSLSEKNCVTANHHIEDRQYIPIIKDNSDHSDFPSLGMSTNSDEGTQKVHDQLARMQGVNDQTVKQSEPMKLDTCHVYLVSNAESLSLCLNNGTSIEERSAEELNNCRGSNRANAVLKSQDCSTAELEIPYRPSCCNDILYTNNESSESGNLYTELYVENVQLQSVDGNLTVKRDEKSMPNTLPEYNLPSMSISDPSEKTAKQTELPFPCLVTEQAMADDCGLQHDGYLLHGKRFFSEESKEKNLLQSAEDMVSNVNASGGILESSGVLSSKSPAKQDSSSNAAGKSECLHVENPLVSSVDKGLVKVASEITDMSGDKSLVSKCDSSVKKFIVSSYLQRGNDANGRGSSIPENNCSTCMHDVNEDMEKTKVITSFCESDQDNQSQRAFDMLYSKSRSLEEQRECSNRDIANVRGTIASEVESLNGIHQCEIMEQTNELAQVNRITKETVMQNAYVQSSEESLLSDSHNCNISPLVSNKTSTVMVDDIRELEEHLAVQNPYVMIEQAPLDNHEFCSDDSLLLMNNTSVELRKGDALQNVLGVGLEQGDGSCESRCFDVDTQVRPIKNAGSDLDKKAEHTFMVNTEPGFMEILPSIENYKCSMDSKNRSDDELIDQAASLEFNSSSDKSRHQIASSVDDCDSSLFCCLNEKSKLLMKTKEKSSQRVQQDAEVDLSDRNILPEEAQIKVPQTSSSPSIEVQHELDVMYPTEDKAATLSLQKPVKNKKQDACVIKPPPNVVPFSDEWLAAFEAAGEEILTMKGGAVRNSPPDKVQHEPGPWSPVRRKNAQGIGPFDCTKFMNNNTPPSTSN >Potri.005G131500.13.v4.1 pep chromosome:Pop_tri_v4:5:10147886:10154810:-1 gene:Potri.005G131500.v4.1 transcript:Potri.005G131500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131500.v4.1 MKCNGQDTCKSSSSSCMDVMIDEESVTMDKLHVPKLNLEPQQMKRKKYNLRKSLAWDKAFFTEEGVLDPLELSTLSTRVDRPVSRGGRELLSGGLDCINELPDMQALEDNLFKELPPNTLADGGMAARVFSPKPVSLARDEAGPASVAKRKILSASNISQSASKRSGCPRPVASSSLRRPPTTNKTKATTKDSKVSKLLAPKPDPSNVYAAPRSSHLKRNQIPHPVGDGQKNIRSKGTSTNTRSVRKDMELGPSDKLLPKSTAHHARSKVSPVPKKHSSTNTQHPQVNLANNCSEVIPDLVRPVAAHPLNGSDNSTSKIAVSFSQNASCNSENMQCTESQTAKASGLRMPSPSLGFFSQSKPAGSLSLLERTQTSKLRESNIPSLHKAALSNHQCPWQPSNKTSASLNMRSNAAASVNPTSQGKIKGNSELKNKEKMFQAPLNSRICDGLGNQQQLHDIHDNQLLLQGGPCEQLKKGQHSKKVTELCLTGRDTTAAGLDYPHSRFNVSLAAEVDSLSEKNCVTANHHIEDRQYIPIIKDNSDHSDFPSLGMSTNSDEGTQKVHDQLARMQGVNDQTVKQSEPMKLDTCHVYLVSNAESLSLCLNNGTSIEERSAEELNNCRGSNRANAVLKSQDCSTAELEIPYRPSCCNDILYTNNESSESGNLYTELYVENVQLQSVDGNLTVKRDEKSMPNTLPEYNLPSMSISDPSEKTAKQTELPFPCLVTEQAMADDCGLQHDGYLLHGKRFFSEESKEKNLLQSAEDMVSNVNASGGILESSGVLSSKSPAKQDSSSNAAGKSECLHVENPLVSSVDKGLVKVASEITDMSGDKSLVSKCDSSVKKFIVSSYLQRGNDANGRGSSIPENNCSTCMHDVNEDMEKTKVITSFCESDQDNQSQRAFDMLYSKSRSLEEQRECSNRDIANVRGTIASEVESLNGIHQCEIMEQTNELAQVNRITKETVMQNAYVQSSEESLLSDSHNCNISPLVSNKTSTVMVDDIRELEEHLAVQNPYVMIEQAPLDNHEFCSDDSLLLMNNTSVELRKGDALQNVLGVGLEQGDGSCESRCFDVDTQVRPIKNAGSDLDKKAEHTFMVNTEPGFMEILPSIENYKCSMDSKNRSDDELIDQAASLEFNSSSDKSRHQIASSVDDCDSSLFCCLNEKSKLLMKTKEKSSQRVQQDAEVDLSDRNILPEEAQIKVPQTSSSPSIEVQHELDVMYPTEDKAATLSLQKPVKNKKQDACVIKPPPNVVPFSDEWLAAFEAAGEEILTMKGGAVRNSPPDKVQHEPGPWSPVRRKNAQGIGPFDCTKFMNNNTPPSTSN >Potri.005G131500.15.v4.1 pep chromosome:Pop_tri_v4:5:10147896:10154727:-1 gene:Potri.005G131500.v4.1 transcript:Potri.005G131500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131500.v4.1 MKCNGQDTCKSSSSSCMDVMIDEESVTMDKLHVPKLNLEPQQMKRKKYNLRKSLAWDKAFFTEEGVLDPLELSTLSTRVDRPVSRGGRELLSGGLDCINELPDMQALEDNLFKELPPNTLADGGMAARVFSPKPVSLARDEAGPASVAKRKILSASNISQSASKRSGCPRPVASSSLRRPPTTNKTKATTKDSKVSKLLAPKPDPSNVYAAPRSSHLKRNQIPHPGDGQKNIRSKGTSTNTRSVRKDMELGPSDKLLPKSTAHHARSKVSPVPKKHSSTNTQHPQVNLANNCSEVIPDLVRPVAAHPLNGSDNSTSKIAVSFSQNASCNSENMQCTESQTAKASGLRMPSPSLGFFSQSKPAGSLSLLERTQTSKLRESNIPSLHKAALSNHQCPWQPSNKTSASLNMRSNAAASVNPTSQGKIKGNSELKNKEKMFQAPLNSRICDGLGNQQQLHDIHDNQLLLQGGPCEQLKKGQHSKKVTELCLTGRDTTAAGLDYPHSRFNVSLAAEVDSLSEKNCVTANHHIEDRQYIPIIKDNSDHSDFPSLGMSTNSDEGTQKVHDQLARMQGVNDQTVKQSEPMKLDTCHVYLVSNAESLSLCLNNGTSIEERSAEELNNCRGSNRANAVLKSQDCSTAELEIPYRPSCCNDILYTNNESSESGNLYTELYVENVQLQSVDGNLTVKRDEKSMPNTLPEYNLPSMSISDPSEKTAKQTELPFPCLVTEQAMADDCGLQHDGYLLHGKRFFSEESKEKNLLQSAEDMVSNVNASGGILESSGVLSSKSPAKQDSSSNAAGKSECLHVENPLVSSVDKGLVKVASEITDMSGDKSLVSKCDSSVKKFIVSSYLQRGNDANGRGSSIPENNCSTCMHDVNEDMEKTKVITSFCESDQDNQSQRAFDMLYSKSRSLEEQRECSNRDIANVRGTIASEVESLNGIHQCEIMEQTNELAQVNRITKETVMQNAYVQSSEESLLSDSHNCNISPLVSNKTSTVMVDDIRELEEHLAVQNPYVMIEQAPLDNHEFCSDDSLLLMNNTSVELRKGDALQNVLGVGLEQGDGSCESRCFDVDTQVRPIKNAGSDLDKKAEHTFMVNTEPGFMEILPSIENYKCSMDSKNRSDDELIDQAASLEFNSSSDKSRHQIASSVDDCDSSLFCCLNEKSKLLMKTKEKSSQRVQQDAEVDLSDRNILPEEAQIKVPQTSSSPSIEVQHELDVMYPTEDKAATLSLQKPVKNKKQDACVIKPPPNVVPFSDEWLAAFEAAGEEILTMKGGAVRNSPPDKVQHEPGPWSPVRRKNAQGIGPFDCTKFMNNNTPPSTSN >Potri.005G040100.3.v4.1 pep chromosome:Pop_tri_v4:5:2599419:2602032:-1 gene:Potri.005G040100.v4.1 transcript:Potri.005G040100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040100.v4.1 MIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMYYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQSKPAGGRPFGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGEGDEKGGAPADYQPAFRGSGGRPGFGRGGGGYGAAQSSSPGFA >Potri.001G342900.3.v4.1 pep chromosome:Pop_tri_v4:1:35365246:35369751:1 gene:Potri.001G342900.v4.1 transcript:Potri.001G342900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342900.v4.1 MQNGLLRPLLPWRFPYVPGADVAGEVVEVGPGVTNFKTGDKVVAILSHISGGGLAEFVVAKKGLPVARPPEVSAAEGAGLPVAGLTAHQAVTQSAGVKLDGSGNQKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVLDYKTPEGAALKSPSGKKYDAVIHCAAGIPWSTFEPNLSANGKVIDITPGLSAYMASAFKKLTFSKKQLVPLLLIPNGENLNYLVNLVKEGKLKTVIDSKHPLSRAEDAWAKIIDGHATGKIIVEP >Potri.001G342900.2.v4.1 pep chromosome:Pop_tri_v4:1:35365232:35369916:1 gene:Potri.001G342900.v4.1 transcript:Potri.001G342900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342900.v4.1 MAGKLMHAVQYSTYGGGAAGLKHVEVPVPSAKRDEVLLKLEATSLNPVDWKMQNGLLRPLLPWRFPYVPGADVAGEVVEVGPGVTNFKTGDKVVAILSHISGGGLAEFVVAKKGLPVARPPEVSAAEGAGLPVAGLTAHQAVTQSAGVKLDGSGNQKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVLDYKTPEGAALKSPSGKKYDAVIHCAAGIPWSTFEPNLSANGKVIDITPGLSAYMASAFKKLTFSKKQLVPLLLIPNGENLNYLVNLVKEGKLKTVIDSKHPLSRAEDAWAKIIDGHATGKIIVEP >Potri.001G266700.4.v4.1 pep chromosome:Pop_tri_v4:1:28160606:28163778:1 gene:Potri.001G266700.v4.1 transcript:Potri.001G266700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266700.v4.1 MNFLSVETEDAFSSLLELAANNDAEGFKRFIKRDASSINEAGFWYIRQKGSKQIVLDQRTPLMVAATYGSLDVLKLILDHTKVDVNLSCGKEKTTALHCASSGGSINVVDVVKLLLSAGADPNCLDVNGDRPGDVIVVPPKLQSMKVALEELLSKTDSDGSVAEHDFNGSVGVSNLRVSISNSNFSSPTLSSSPENGSPPSPSVLIYSPRASKFNNLPGSSTPEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRQVCFFAHTPEELRPLYVSTGSAIPSPRSSQSAASVMDMAAALSLLPGSPSSVSAMSPTPFNQPMSPANGISHSSMAWPQPNVPTLHLPGSNFQSSRLRSSFSARDIPPEDFNLLPDFDSQQQILNDLTCFSQSQNNSASFSRSGWSKTLNPSNLEELFTAEMSSPRFADQAAAVFSPTHKSAYLNQLQLQQSMLSPINTSAFSPKNVEHHLLHSAFGAGSPGRMSPRSMEPISPRGSRLSTLAQREKQQQQLRSLSSRDLGSNNPVAHNVNSWSKWGSPNGKLDWSVNGDELGRLCRSSSFELGNNGEEPDLSWVQSLVKESPPEVLKEKLAIPVPGAAPSPDVAMGLSSNSQINPVLESWLEQMQIDKKQQPVV >Potri.001G266700.1.v4.1 pep chromosome:Pop_tri_v4:1:28160446:28163779:1 gene:Potri.001G266700.v4.1 transcript:Potri.001G266700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266700.v4.1 MNFLSVETEDAFSSLLELAANNDAEGFKRFIKRDASSINEAGFWYIRQKGSKQIVLDQRTPLMVAATYGSLDVLKLILDHTKVDVNLSCGKEKTTALHCASSGGSINVVDVVKLLLSAGADPNCLDVNGDRPGDVIVVPPKLQSMKVALEELLSKTDSDGSVAEHDFNGSVGVSNLRVSISNSNFSSPTLSSSPENGSPPSPSVLIYSPRASKFNNLPGSSTPEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRQVCFFAHTPEELRPLYVSTGSAIPSPRSSQSAASVMDMAAALSLLPGSPSSVSAMSPTPFNQPMSPANGISHSSMAWPQPNVPTLHLPGSNFQSSRLRSSFSARDIPPEDFNLLPDFDSQQQILNDLTCFSQSQNNSASFSRSGWSKTLNPSNLEELFTAEMSSPRFADQAAAVFSPTHKSAYLNQLQLQQSMLSPINTSAFSPKNVEHHLLHSAFGAGSPGRMSPRSMEPISPRGSRLSTLAQREKQQQQLRSLSSRDLGSNNPVAHNVNSWSKWGSPNGKLDWSVNGDELGRLCRSSSFELGNNGEEPDLSWVQSLVKESPPEVLKEKLAIPVPGAAPSPDVAMGLSSNSQINPVLESWLEQMQIDKKQQPVV >Potri.001G266700.3.v4.1 pep chromosome:Pop_tri_v4:1:28160467:28163791:1 gene:Potri.001G266700.v4.1 transcript:Potri.001G266700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266700.v4.1 MNFLSVETEDAFSSLLELAANNDAEGFKRFIKRDASSINEAGFWYIRQKGSKQIVLDQRTPLMVAATYGSLDVLKLILDHTKVDVNLSCGKEKTTALHCASSGGSINVVDVVKLLLSAGADPNCLDVNGDRPGDVIVVPPKLQSMKVALEELLSKTDSDGSVAEHDFNGSVGVSNLRVSISNSNFSSPTLSSSPENGSPPSPSVLIYSPRASKFNNLPGSSTPEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRQVCFFAHTPEELRPLYVSTGSAIPSPRSSQSAASVMDMAAALSLLPGSPSSVSAMSPTPFNQPMSPANGISHSSMAWPQPNVPTLHLPGSNFQSSRLRSSFSARDIPPEDFNLLPDFDSQQQILNDLTCFSQSQNNSASFSRSGWSKTLNPSNLEELFTAEMSSPRFADQAAAVFSPTHKSAYLNQLQLQQSMLSPINTSAFSPKNVEHHLLHSAFGAGSPGRMSPRSMEPISPRGSRLSTLAQREKQQQQLRSLSSRDLGSNNPVAHNVNSWSKWGSPNGKLDWSVNGDELGRLCRSSSFELGNNGEEPDLSWVQSLVKESPPEVLKEKLAIPVPGAAPSPDVAMGLSSNSQINPVLESWLEQMQIDKKQQPVV >Potri.001G266700.2.v4.1 pep chromosome:Pop_tri_v4:1:28159917:28164236:1 gene:Potri.001G266700.v4.1 transcript:Potri.001G266700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266700.v4.1 MNFLSVETEDAFSSLLELAANNDAEGFKRFIKRDASSINEAGFWYIRQKGSKQIVLDQRTPLMVAATYGSLDVLKLILDHTKVDVNLSCGKEKTTALHCASSGGSINVVDVVKLLLSAGADPNCLDVNGDRPGDVIVVPPKLQSMKVALEELLSKTDSDGSVAEHDFNGSVGVSNLRVSISNSNFSSPTLSSSPENGSPPSPSVLIYSPRASKFNNLPGSSTPEKKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRQVCFFAHTPEELRPLYVSTGSAIPSPRSSQSAASVMDMAAALSLLPGSPSSVSAMSPTPFNQPMSPANGISHSSMAWPQPNVPTLHLPGSNFQSSRLRSSFSARDIPPEDFNLLPDFDSQQQILNDLTCFSQSQNNSASFSRSGWSKTLNPSNLEELFTAEMSSPRFADQAAAVFSPTHKSAYLNQLQLQQSMLSPINTSAFSPKNVEHHLLHSAFGAGSPGRMSPRSMEPISPRGSRLSTLAQREKQQQQLRSLSSRDLGSNNPVAHNVNSWSKWGSPNGKLDWSVNGDELGRLCRSSSFELGNNGEEPDLSWVQSLVKESPPEVLKEKLAIPVPGAAPSPDVAMGLSSNSQINPVLESWLEQMQIDKKQQPVV >Potri.015G136900.6.v4.1 pep chromosome:Pop_tri_v4:15:14560125:14563384:-1 gene:Potri.015G136900.v4.1 transcript:Potri.015G136900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G136900.v4.1 MAVAKSNSSRESLKQPCNCYRVSSLTETILDTHQISNLKDRYVLGEQLGWGQFGVIRVCTDKLSREVLACKSISKDRLVTLDDARSVKLEIEIMTRLSGHANVVDLKAVYEDEDYVHLVMELCAGGELFHQLEKHGRFSEAEARVLFRHLMQVVLYCHENGVVHRDLKPENILLATKSSSSPIKLADFGLATYVKPGQSLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSDPWDHITESAKELVRGMLCTDPSQRFTSQQVLDNSWMKHDGPYPEESSQREKQICEEWDLGGSSLSILMARDQDVSFGTGSPIINDVQSPTFTCRSSFSSFLGEPSTPSFVSGGFSFRSSDDSNALEFISPVSSMPSFAFSSPGPVIEQGSSTLEFSSNISRIDSVCGGHQLSEGSLDKMVLLPESSLCCGREAREMENKPVEVKRAGAAIGSRVLGIHSKRNRTIGLGEHEQLDLMVTESVIRWASCTHLPTAPSLRSSLVC >Potri.015G136900.2.v4.1 pep chromosome:Pop_tri_v4:15:14560039:14563805:-1 gene:Potri.015G136900.v4.1 transcript:Potri.015G136900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G136900.v4.1 MAVAKSNSSRESLKQPCNCYRVSSLTETILDTHQISNLKDRYVLGEQLGWGQFGVIRVCTDKLSREVLACKSISKDRLVTLDDARSVKLEIEIMTRLSGHANVVDLKAVYEDEDYVHLVMELCAGGELFHQLEKHGRFSEAEARVLFRHLMQVVLYCHENGVVHRDLKPENILLATKSSSSPIKLADFGLATYVKPGQSLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSDPWDHITESAKELVRGMLCTDPSQRFTSQQVLDNSWMKHDGPYPEESSQREKQICEEWDLGGSSLSILMARDQDVSFGTGSPIINDVQSPTFTCRSSFSSFLGEPSTPSFVSGGFSFRSSDDSNALEFISPVSSMPSFAFSSPGPVIEQGSSTLEFSSNISRIDSVCGGHQLSEGSLDKMVLLPESSLCCGREAREMENKPVEVKRAGAAIGSRVLGIHSKRNRTIGLGEHEQLDLMVTESVIRWASCTHLPTAPSLRSSLVC >Potri.015G136900.3.v4.1 pep chromosome:Pop_tri_v4:15:14560011:14563831:-1 gene:Potri.015G136900.v4.1 transcript:Potri.015G136900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G136900.v4.1 MAVAKSNSSRESLKQPCNCYRVSSLTETILDTHQISNLKDRYVLGEQLGWGQFGVIRVCTDKLSREVLACKSISKDRLVTLDDARSVKLEIEIMTRLSGHANVVDLKAVYEDEDYVHLVMELCAGGELFHQLEKHGRFSEAEARVLFRHLMQVVLYCHENGVVHRDLKPENILLATKSSSSPIKLADFGLATYVKPGQSLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKTKSRIFDAVRAADLRFPSDPWDHITESAKELVRGMLCTDPSQRFTSQQVLDNSWMKHDGPYPEESSQREKQICEEWDLGGSSLSILMARDQDVSFGTGSPIINDVQSPTFTCRSSFSSFLGEPSTPSFVSGGFSFRSSDDSNALEFISPVSSMPSFAFSSPGPVIEQGSSTLEFSSNISRIDSVCGGHQLSEGSLDKMVLLPESSLCCGREAREMENKPVEVKRAGAAIGSRVLGIHSKRNRTIGLGEHEQLDLMVTESVIRWASCTHLPTAPSLRSSLVC >Potri.010G173400.2.v4.1 pep chromosome:Pop_tri_v4:10:17433898:17437038:-1 gene:Potri.010G173400.v4.1 transcript:Potri.010G173400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173400.v4.1 MFHIHRSRLYPRNLYTLTKNHSSRSSFLTPNVQNSNSHTNAAVNSLNKFSFFSALMGLNSISPKVSPSKSPNLVSNGGHVKANSFVRNYCAGKNGEAGSGEWTEDIEYLDESGSVIYSGKGIRSVEPGVDDHVMIGGLKKPILNASAVAKIVEVVKRWKWGPELETQLDKLQFVPNMTHVVQALKIINESDALLSLFKWAKRQTWYVPNDECYVMLFDGLNQSRDFDGIQSLFDEMVCDSIKSATQFSAYNRVLKYLAKAEKLEVSFCCFKKVQDSGCKIDTETYNILMKLFLNKGLPYKAFEIYETMEAAHCSLDVSTYELMIPSLAKSGRLDAAFKLFQEMKERNFRPSLGIFSSLVDSMGKAGRLETSMKVYMEMQGLGLRPSAIMYVSLIESYTKAGKLDAALRLWDEMKIAGFRPNFGLYTLIIESHAKSGKLDIAMSIFRDMEKAGFLPTPSTYSSLLEMHAASGQVDAAMKLYNSMTNAGLRPGLSTYTALLTLLAHKKLVDVAAKILLEMKAMGFSVDVSASDVLMVYIKDGSVDLSLRWLRFMSSSGIRTNNFIIRQLFESCMKNGLYESAKPLLETYVNSAAKVDLILYTSILAYLVRCQEEQNERHLMAILSATRHKAHAFMCGLFTGPEQRKQPVLSFVREFFQGIDYELEEGAAKYFVNVLLNYLVLMGQINRARCVWKVAYENKLFPKAIVFDQHIAWSLDVRNLSVGAALVAVVHTLHRFRKRMLYYGVIPRRIKLVTGPTLRIVVAQMLSSVESPFEVSKVVLRAPGDSVMEWFKKPIVQQFLLNEIPSRADILMHRLNILFPTSAPEIRSLSPPKPLISAKAV >Potri.011G080201.1.v4.1 pep chromosome:Pop_tri_v4:11:8645347:8645649:1 gene:Potri.011G080201.v4.1 transcript:Potri.011G080201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080201.v4.1 MDQCIKNGKINGCHPTRFKLICGGHIHTYKRKNKGDKTFVSCPPLMQPTTSKPRSQILLSHHLNILYVLQRRAVGQNNKNNPLPLFFLTESLGCPCHNNA >Potri.008G213423.1.v4.1 pep chromosome:Pop_tri_v4:8:17340675:17342039:1 gene:Potri.008G213423.v4.1 transcript:Potri.008G213423.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213423.v4.1 MQPRSCQNSFPANPHQARNNVHSHRRSLRTNYYQALAADHPFCQGFWHSFMLVPSQIPAHHPTRILLCFCSVHAFLYLFQCLLLNQMRHCLCAAGKTPHLSFFFPSHFVGLLDLASLTHFIFSINCRYFLAHALRSVCTDISSCYEIMSTQIVQTVTCVWLSAINVHESS >Potri.008G027400.1.v4.1 pep chromosome:Pop_tri_v4:8:1426245:1434948:-1 gene:Potri.008G027400.v4.1 transcript:Potri.008G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027400.v4.1 MALLSRLRHPLTSRFAPSLFKARFLSSSRSFALSCSNLDANGSFSRSASVFTVSGVHDDSSLKLKMQIGVRHFSSSEPSHTVVGMPALSPTMTQGNIAKWKKKEGEKIEVGDVLCEIETDKATLEFECLEEGFLAKILVPEGSKDVPVGQAIAITVEDADDIQNVPATVGSGSDVKEEKSTDQDVKSEGGAQETSSINASELPPHVILGMPALSPTMNQGNIAKWRKKEGDKIEVGDVICEIETDKATLEFETLEEGYLAKILAPEGSKDVAVGQPIAITVEDSNDIEAVKTSASSSSGKKVKEEKPTHHGSKAEASKEKGNFKRISPSAKLLISEHGLDASSLHASGPYGTLLKTDVLAAIKSGKGKKSSAAEKGAPPPQKSPQPSAIPSLEPKQSDSFEDLPNTQIRKVIARRLLESKQTTPHLYLSTDVILDPLLSFRKELKEQHDVKVSVNDIVIKAVAIALRNVPQANAYWNVEKGEIILCDSVDISIAVATEKGLMTPIVRNADQKSISAISSEVKQLAEKARVGKLTPNEFQGGTFSISNLGMYPVDQFVAIINPPQAGILAVGRGNKVVEPLLGSDGIERPAVINKMNLTLSADHRVFDGQVSGAFLSALRANFSDIRRLLL >Potri.011G013450.1.v4.1 pep chromosome:Pop_tri_v4:11:1232247:1236486:1 gene:Potri.011G013450.v4.1 transcript:Potri.011G013450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G013450.v4.1 MERNRNADCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEAQFVQSIVENVSKNLDPKIFYVPLHFIGRDALVQDINSWLEHGSHGPAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGMFSVNDIQWIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALKQMKMIPNFDVQKVLQISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRIMDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTVLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLPDFFDGGKLQTGQTSLFPILSTDAFRNMSDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDIRHSRDLIRTPDFSGLPALEKLILEDCICLVQIHESIGDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVGSTSFITSLPLKLFSPSRFSTRKMLRFTSFSLPRFLESLDLSGTPIRFLPESIKDLGLLRHLYLRNCKMLQALPELPSHLDSLDVSFCYSLQRLPNPNSWTEGDGCDHLVEFQDRIKQELMQNLDSHMFRIIETVCAQTQTSKFKITFMDGIFNVAVYVFDKDEMLRGFYEEEEEDKWLIQNEFVDNFSFKISSPPAHRIWGYTLFTRFCMTSEYALLDPFYIIIRNNTSGRYLRCQAYLLPVSYKRGVREFQSFMHRKLGGDDPTFDNGDEVSISVRRKKPAIQIRTIGVQWLHEEEGKDDDIQSKDEVINAHNSSDDDDDAAHVAKVEIASRIFRNYYCGFYCKSNDGNIDRI >Potri.001G191900.1.v4.1 pep chromosome:Pop_tri_v4:1:17659234:17660764:1 gene:Potri.001G191900.v4.1 transcript:Potri.001G191900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191900.v4.1 MLGWGVDRLRADMSRLLAILFHQGVLDEQFLQLQQLQDESSPNFVSEVVTIYFHESEKLLRNLRGLLLDREFSDYKKMGIHLNQFMGSSSSIGAKRVRNVCVAFRAASEQNNRAGCLRALELLEHEYCYLKNKLHELFQMEQQRVLAAGVRYPLHQQH >Potri.001G221500.1.v4.1 pep chromosome:Pop_tri_v4:1:23226160:23227475:-1 gene:Potri.001G221500.v4.1 transcript:Potri.001G221500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221500.v4.1 MGSRMPFAIITFTLVIFFYGAQSVTFDFTNNCPYTVWPGTLTAAGGPSLSSTGFTLATGASSSLSVPVNWSGRLWARTQCSTDASGKFVCATADCASGVIECNGAGAIPPASLAEFTLSGDGGKDYYDISLVDGFNLPISVTPQGGSTGCPSTSCAANVNAVCDPSLAVKGSDGTVIACKSACLAFNQPQYCCTGEYNTPDKCPANQYSMTFKQQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.001G221500.3.v4.1 pep chromosome:Pop_tri_v4:1:23226101:23227480:-1 gene:Potri.001G221500.v4.1 transcript:Potri.001G221500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221500.v4.1 MGSRMPFAIITFTLVIFFYACMHAGAQSVTFDFTNNCPYTVWPGTLTAAGGPSLSSTGFTLATGASSSLSVPVNWSGRLWARTQCSTDASGKFVCATADCASGVIECNGAGAIPPASLAEFTLSGDGGKDYYDISLVDGFNLPISVTPQGGSTGCPSTSCAANVNAVCDPSLAVKGSDGTVIACKSACLAFNQPQYCCTGEYNTPDKCPANQYSMTFKQQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.014G075100.1.v4.1 pep chromosome:Pop_tri_v4:14:4826122:4832465:-1 gene:Potri.014G075100.v4.1 transcript:Potri.014G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075100.v4.1 MNVMRRLKSIASGRTSISSDPGGDAVTKRAKVDQEMEQKIDGESYLVERSVTEQEQHMASTSQENAASASNVTSVTRTEKPGYDQLPKEMHEMKIRDDKNTNHDEKDMEAAIVSGNGTETGQIIATTVGGRNGQPKQIISYMAERVVGTGSFGVVYQAKCLETGEAVAIKKVLQDKRYKNRELQIMRLLDHPNVVQLKHCFYSTTEKDELYLNLVLEYISETVHRVSRHFNRMNQQHTPILYVQLYTYQICRALNYLHHVVGVCHRDIKPQNLLVNPHTHQLKICDFGSAKMLVPGETNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGHPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKTHPWYKIFHKRMPPEAVDLVSRLLQYSPNLRCTALEACAHPFFDDLRDANACLPNGRALPPLFNFTAQELAGASAELRQRLIPEHARKEN >Potri.003G021800.8.v4.1 pep chromosome:Pop_tri_v4:3:2295078:2300856:-1 gene:Potri.003G021800.v4.1 transcript:Potri.003G021800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021800.v4.1 MAKGSRVKRRFGSRQYRQAPYLSPAYNQDISSDSCPKKCSKILDKKDWVDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKNAYTKIISSTGTAENPILVSDSSQPVEKCEATELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFIGTYKELRKHVKTNHPSARPRGVDPILEQKWRRLEREREHDDVISTIRSTMPGAMVFGDYVIEGSHYGFDTDEDGGFDADATERNEGFEVGFDRNLVNVFLLLHAFGPTGDDHSRRLRHPERTDRQMTDESAVSFHHTSPVEGLGLSDEDDDNNINDDNGDDSGMSLVSRLRRHGRMLLGRSGRRRRRREGIGGQR >Potri.003G021800.7.v4.1 pep chromosome:Pop_tri_v4:3:2295073:2300859:-1 gene:Potri.003G021800.v4.1 transcript:Potri.003G021800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021800.v4.1 MAKGSRVKRRFGSRQYRQAPYLSPAYNQDISSDSCPKKCSKILDKKDWVDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKNAYTKIISSTGTAENPILVSDSSQPVEKCEATELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFIGTYKELRKHVKTNHPSARPRGVDPILEQKWRRLEREREHDDVISTIRSTMPGAMVFGDYVIEGSHYGFDTDEDGGFDADATERNEGFEVGFDRNLVNVFLLLHAFGPTGDDHSRRLRHPERTDRQMTDESAVSFHHTSPVEGLGLSDEDDDNNINDDNGDDSGMSLVSRLRRHGRMLLGRSGRRRRRREGIGGQR >Potri.003G021800.5.v4.1 pep chromosome:Pop_tri_v4:3:2295079:2300863:-1 gene:Potri.003G021800.v4.1 transcript:Potri.003G021800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021800.v4.1 MAKGSRVKRRFGSRQYRQAPYLSPAYNQDISSDSCPKKCSKILDKKDWVDVTCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKNAYTKIISSTGTAENPILVSDSSQPVEKCEATELACPLCRGQVKGWTVVEPAREYLNAKKRSCMQDDCSFIGTYKELRKHVKTNHPSARPRGVDPILEQKWRRLEREREHDDVISTIRSTMPGAMVFGDYVIEGSHYGFDTDEDGGFDADATERNEGFEVGFDRNLVNVFLLLHAFGPTGDDHSRRLRHPERTDRQMTDESAVSFHHTSPVEGLGLSDEDDDNNINDDNGDDSGMSLVSRLRRHGRMLLGRSGRRRRRREGIGGQR >Potri.006G128350.1.v4.1 pep chromosome:Pop_tri_v4:6:10403509:10403931:1 gene:Potri.006G128350.v4.1 transcript:Potri.006G128350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128350.v4.1 MWVKLFQGFFLSSPCTKNADRVCTACSLCQNSSCWDVCGLQSSSGKQQHNLRRLAIIIESSALALLLISVSGCILPCLFAS >Potri.005G144000.1.v4.1 pep chromosome:Pop_tri_v4:5:11606264:11608595:1 gene:Potri.005G144000.v4.1 transcript:Potri.005G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144000.v4.1 MEEMMQYSLLGGFFLLLAVTLLQKARKHRMKLPPSPPGRLILGHLPLLKQPKAIHRTLHDISQKYGPIVTLKFGFRTVIIVSSPAAVEECFTKNDITLANRPPFLNGKVLNYNFTTLAAAPYGDHWRNLRRLTAIEVFSASRLNTFASIRREEVKNLLRKIHKLCGDGSAVIELRTMLLDLNFNVMMRMVAGKKYYGEDVDGLEESKRFKDMMHEFSECTRVTNLGDLFPILQCIDYDGFKNRMTQLGKRMDAFWQGLIDEHRVDKTRNTMVSHLLALQESEPEYYTDEIIKGIILMMLVAGTKTSALSLEWAFSNLLNNPHALKKAVDEVDTQVGEGRLADEPDFANLHYIQCIIHENLRLCPPAPLLVPHVASERCTLGGYDIPSGAMVLVNAWSIHRNPNVWDDPLSFKPERFENGKGEPYRLLPFGLGRRGCPGEAMAFRVINLVMSQLLQCFEFSTVDGKEVDMTETAATLMLKITPLHLVCKARPNTHNLLA >Potri.019G037300.5.v4.1 pep chromosome:Pop_tri_v4:19:5137987:5140941:1 gene:Potri.019G037300.v4.1 transcript:Potri.019G037300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037300.v4.1 MEDTSEPVISAAGVHEKVVKEDIHLKVKSKDKEPNDEKGAEVKAKSVEKEKPKEKEQHKEKDKEKSEKNKKKDVDEGGEKKRKDKKKGEEQEKKTKEKDKEKEKKGKEGEDSVGKESKVDKEAGEAKKEKKNKKDKEKNKKKDDEALGYDKEDKKNNKEEKKIKDHGVSRDEQEEKKEERKKKDKKEKKKDEDKDLKDTNKAIEGYKDQGLSVEDEDEDKKSEGREEKKKGKEKKVKDKGKKIDEESKEETKKDIDGKEDKKEGEVKEKKKDKEKKEKKSKDEIKEEKDYENEGKEENKKDKEKKEKKHRDGADDDIKDGDEEKEEKKKSKKKKD >Potri.019G037300.6.v4.1 pep chromosome:Pop_tri_v4:19:5137989:5140725:1 gene:Potri.019G037300.v4.1 transcript:Potri.019G037300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037300.v4.1 MEDTSEPVISAAGVHEKVVKEDIHLKVKSKDKEPNDEKGAEVKAKSVEKEKPKEKEQHKEKDKEKSEKNKKKDVDEGGEKKRKDKKKGEEQEKKTKEKDKEKEKKGKEGEDSVGKESKVDKEAGEAKKEKKNKKDKEKNKKKDDEALGYDKEDKKNNKEEKKIKDHGVSRDEQEEKKEERKKKDKKEKKKDEDKDLKDTNKAIEGYKDQGLSVEDEDEDKKSEGREEKKKGKEKKVKDKGKKIDEESKEETKKDIDGKEDKKEGEVKEKKKDKEKKEKKSKDEIKEEKDYENEGKEENKKDKEKKEKKHRDGADDDIKDGDEEKEEKKKSKKKKD >Potri.015G125100.1.v4.1 pep chromosome:Pop_tri_v4:15:13782755:13783607:1 gene:Potri.015G125100.v4.1 transcript:Potri.015G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125100.v4.1 MGLYATAMETMEAYTGLSPAAFFTIAAVMVVVYKIVCSMFVDPEEFDKKPINASGSIATNQPPPPQPQPQPPTIQEPVQLGDVTEEELRAYDGSDPNKPLLMAIKGKIYDVSRSRMFYGPGGPYALFAGREASRALALMSFDPRDLNGNLEGLSEPELEVLQDWEYKFMEKYVKVGQLVGTDQAVNGGDVQESEKHD >Potri.005G023100.1.v4.1 pep chromosome:Pop_tri_v4:5:1472051:1473467:-1 gene:Potri.005G023100.v4.1 transcript:Potri.005G023100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023100.v4.1 MGSLHGLIPFCLVLVLVLSSNHLIAKAHKNSTNQIKVEADFKIIAELAGKNAIPNADFQGLAKLALTKAISNGNAIYHRVNSLLLKTSDMYTTRSLTGCSTNYKDAVGLINKSLAALDAKNYDDAKTCITDALANSTKCEDRFEELLQRNSPFTFMKAKFGLLCLSGLKHINLLVQNERLITEGCSQTLDKELCKSTVVFFLENKGLGLHGLAKLAVQKALQDGTRIHNHISVLLKTTSDQCVLKKLKSCSAFYLTAIEKIKESLPALDCNRYGDASTGVGAAIDSAETCEGVFAGKSNTISPLTPMKIEFSKQVSISLVVIKKLAGN >Potri.010G052900.1.v4.1 pep chromosome:Pop_tri_v4:10:8448071:8448804:1 gene:Potri.010G052900.v4.1 transcript:Potri.010G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052900.v4.1 MKDLNARTKTIRNQIEKITKEKKKRFLISERNISPNKLSYNAKRLKLKSSKNILQILKRNNAQLVRKSYFFILQIYRDK >Potri.005G186150.1.v4.1 pep chromosome:Pop_tri_v4:5:19375351:19376114:1 gene:Potri.005G186150.v4.1 transcript:Potri.005G186150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186150.v4.1 MGVEEGPFFLKQRKVDLPLSMLGAWTKLSKERSRSFHPFPSIANIEGKKIEFMNGESNQFDAIIFATGYRSTVGRGLRCAEFLCSTFIALICLART >Potri.001G442600.11.v4.1 pep chromosome:Pop_tri_v4:1:46736619:46740232:1 gene:Potri.001G442600.v4.1 transcript:Potri.001G442600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442600.v4.1 MGILYDDDVVVIRQSEKEGDPTVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYLVFWVVGKSTTRWGLLKKRLVEACPSCSSASGLSFYRSELQPPRPPDVFLLKLSCQDRRGLLHDVTSVLCELELTIKKVKVSTTPDGRVIDLFFITDNRELLHTNKRKEDTCDHLKAVTGNSMISCDIEIVGPEITACSAESSFLPTAITENILPLEMPDELPSSLTSTSVSVNMDNSLSPGHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFSMNHGRACDIDLFLVQTDGKKIVDPRKQKALSSRLEMELVRPLRVATVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLNTCIFSAEIRRRMIGDREFEVYRVLLDEGEGLAVPRSKIEKQVWKMLMGWEQ >Potri.001G442600.10.v4.1 pep chromosome:Pop_tri_v4:1:46736634:46740262:1 gene:Potri.001G442600.v4.1 transcript:Potri.001G442600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442600.v4.1 MGILYDDDVVVIRQSEKEGDPTVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYLVFWVVGKSTTRWGLLKKRLVEACPSCSSASGLSFYRSELQPPRPPDVFLLKLSCQDRRGLLHDVTSVLCELELTIKKVKVSTTPDGRVIDLFFITDNRELLHTNKRKEDTCDHLKAVTGNSMISCDIEIVGPEITACSAESSFLPTAITENILPLEMPDELPSSLTSTSVSVNMDNSLSPGHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFSMNHGRACDIDLFLVQTDGKKIVDPRKQKALSSRLEMELVRPLRVATVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLNTCIFSAEIRRRMIGDREFEVYRVLLDEGEGLAVPRSKIEKQVWKMLMGWEQ >Potri.001G442600.9.v4.1 pep chromosome:Pop_tri_v4:1:46736629:46740468:1 gene:Potri.001G442600.v4.1 transcript:Potri.001G442600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442600.v4.1 MGILYDDDVVVIRQSEKEGDPTVITVNCPDKTGLGCDLCRIILFFGLSIVRGDVSTDGKWCYLVFWVVGKSTTRWGLLKKRLVEACPSCSSASGLSFYRSELQPPRPPDVFLLKLSCQDRRGLLHDVTSVLCELELTIKKVKVSTTPDGRVIDLFFITDNRELLHTNKRKEDTCDHLKAVTGNSMISCDIEIVGPEITACSAESSFLPTAITENILPLEMPDELPSSLTSTSVSVNMDNSLSPGHTLVQIVCQDHKGLLYDIMRTLKDYNIQISYGRFSMNHGRACDIDLFLVQTDGKKIVDPRKQKALSSRLEMELVRPLRVATVSRGPDTELLVANPVELSGKGRPLVFHDITLALKMLNTCIFSAEIRRRMIGDREFEVYRVLLDEGEGLAVPRSKIEKQVWKMLMGWEQ >Potri.011G116700.6.v4.1 pep chromosome:Pop_tri_v4:11:14763115:14766247:1 gene:Potri.011G116700.v4.1 transcript:Potri.011G116700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116700.v4.1 MTGREILHKMKEKVGLSSSADSGKGKSKMSRNITHGFHLPNFWTEPENAMRKAYRITDTKILEKAGDLGRGGSTAVTAILINCQKLVVANVGDSRVVMCKNGVAKQLSVDHEPSTEREDIENRGGFVSTFPGDVPRVDGQLAVARAFGDKNLKEHLSSEPDVAMEMIDDDTDCIILASDGLWKVMSNQEAVDTIKNIKDARSAAKRLTEEALNRRSSDDISCVVVKFQ >Potri.011G116700.1.v4.1 pep chromosome:Pop_tri_v4:11:14763115:14766247:1 gene:Potri.011G116700.v4.1 transcript:Potri.011G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116700.v4.1 MTGREILHKMKEKVGLSSSADSGKGKSKMSRNITHGFHLVKAKSHHDMEDYVVAQFKEVDDNELGLFAIFDGHLSHIIPDYLRSHLFDTILKEPNFWTEPENAMRKAYRITDTKILEKAGDLGRGGSTAVTAILINCQKLVVANVGDSRVVMCKNGVAKQLSVDHEPSTEREDIENRGGFVSTFPGDVPRVDGQLAVARAFGDKNLKEHLSSEPDVAMEMIDDDTDCIILASDGLWKVMSNQEAVDTIKNIKDARSAAKRLTEEALNRRSSDDISCVVVKFQ >Potri.011G116700.7.v4.1 pep chromosome:Pop_tri_v4:11:14763166:14765975:1 gene:Potri.011G116700.v4.1 transcript:Potri.011G116700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116700.v4.1 MTGREILHKMKEKVGLSSSADSGKGKSKMSRNITHGFHLQPNFWTEPENAMRKAYRITDTKILEKAGDLGRGGSTAVTAILINCQKLVVANVGDSRVVMCKNGVAKQLSVDHEPSTEREDIENRGGFVSTFPGDVPRVDGQLAVARAFGDKNLKEHLSSEPDVAMEMIDDDTDCIILASDGLWKVMSNQEAVDTIKNIKDARSAAKRLTEEALNRRSSDDISCVVVKFQ >Potri.011G102200.2.v4.1 pep chromosome:Pop_tri_v4:11:12991182:12997413:-1 gene:Potri.011G102200.v4.1 transcript:Potri.011G102200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102200.v4.1 MNLGFCYFPKKLESNPESLGEDSHFICQERQTFGVADGVGGWAMKGIDSGIFARELMSNYLTAVRSLKPQGDVNLKKILLKAHSKTVSLGSSTACVVTLKRDRLCYANVGDNGFMVFRGKMLVYRTPTQHSFFNCPFSLGNWVQKGKRRASVFLGEFDVEQGDIVVAGTDGLFDNLFGSEIEEILQETEGRSCLQDLAWTIATVASMNSTSEDYDSPFVVAAESAGIKHIGGKVDDITVIVAVIEL >Potri.002G202700.2.v4.1 pep chromosome:Pop_tri_v4:2:16699412:16702749:1 gene:Potri.002G202700.v4.1 transcript:Potri.002G202700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202700.v4.1 MDKIEHQTVATNGINMHIASIGTGPVILFLHGFPELWYSWRHQLLSLSSLGYRCIAPDLRGYGDTDAPKNVREYTIFHIVGDLVGLIDSLGIDKVFLVGHDWGSTVAWYFCLLRPDRIKALVNMSVVFQPRNPHKSSVQISRELFGDDFYICRIQEPGEVEEDFAQMDTASIITRFLTSRDPKPPCIPKEVGFRGIPYNPNLPSWLSEKDINYYAGKFNQTGFTGGLNYYRCLDLNWELMAAWTGLQIKVPVKFIVGDVDLTYHIPGVKEYISKGGLKKYVPFLQEVVVMEGVAHFLNQEKPEEVSEHIYDFIKKF >Potri.002G216200.1.v4.1 pep chromosome:Pop_tri_v4:2:20274459:20277353:-1 gene:Potri.002G216200.v4.1 transcript:Potri.002G216200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216200.v4.1 MGKDEEEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDRDEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLDDAENDARHYDDDRSDFGGFGAANGKVQIIQQINHDGEVNRARYMPQNPFMIATKTVSAEVYVFDYSKHPSKPPLDGACTPDLRLRGHNTEGYGLSWSKFKEGYLLSGSDDAQICLWDINATPKNKSLDATQIFKVHEGVVEDVAWHLRHEHLFGSVGDDQYLLIWDLRTPSVTKPVHSVVAHQSEVNCLAFNPFNEWIVATGSTDKTVKLFDIRKINTALHTFNCHKEEVFQVGWNPKNETILASCCLGRRLMIWDLSRIDIEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCDDWVIASVAEDNILQIWQMAENIYHDEDDIPADESTKDS >Potri.014G141900.1.v4.1 pep chromosome:Pop_tri_v4:14:9644814:9651060:1 gene:Potri.014G141900.v4.1 transcript:Potri.014G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141900.v4.1 MSKSDSSPETARSRLAVLTAHLVGATTLESSSSSINRSCVSAQVSPPGNLRGALTVIDERTGKKYQIPVSQDGTVKASDFKKISTGKNDKGLKLYDPGYLNTAPVRSSISYIDGDEGILRYRGYPIEELAESSTFVEVAYLVMYGSLPSQSQLADWEFAILQHSALPQGVLDIIQAMPHDAHPMGVLVSAMSALSIYHPDANPALRGQDLYKSKQVRDKQIARILGKAPTIAAAAYLRLAGRPPVIPSSNLSYSENFLYMLDSLGDRSYKPNPRLARVLDVLFILHAEHEMNCSTSAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLSEIGTVENIPSFLEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAVALEKAALDDEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLAHWRESLDDPDTKIMRPQQVYTGEWLRHYMPLKEREASSNADKPGQISVSNASMRRRAGSRV >Potri.014G028100.1.v4.1 pep chromosome:Pop_tri_v4:14:1763347:1767753:1 gene:Potri.014G028100.v4.1 transcript:Potri.014G028100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028100.v4.1 MEDGEIVEAVAMEEDMQFSSYESLKETKSSVEEIISQLLSMKRESKSKSQLPEFIAQMFLNFVNLRQVNRSILLEEDKVKAETEKAKAPVDFTTLQLHNLMYEKSHYLKAIKACKDFRSKYPDIELVNEDEFFRDAPQHIKGSNLSTDTSHNLMLKRLNYELHQRKELCKLREKLEQKKKGLLETIANRKKFLLSLPSHLKSLKKASLPVQNQLGVLHTKKLKQHNLAELLPPPLYVIYSQLLAQKEAFGECIDLEVVGSVKDAQSFARQQANKDSSISTNVETSRLEDDAPDEEDDGQRRRKRPKRVQSKEGVDQAGSYQAHPLKVFLHIFDDEVSDPKSAKLITLKFEYLLKLNVVCVGVEGSLEGPENNILCNLFPNDTGAELPQQSAKLIVGDNLAFDERRTSRPYKWVQHLAGIDFLPETAPLLGDLETASSETAKNEIVLSGLSLYRQQNRVQTVVQRIRSRKRAQLALVEQLESLMKLEWPPQNCESVPWVLHTPLCNLHGWSPAGPPPNQASTLAVTDTNIVQEPIDVNMDGRLESAREDGELPSLIAAASAVNDVKLTPKVSTLEHSRQLSLMSKSIISPISKVKSQSFKKHDEDFDLLLDTDSDLDELSQIEPEGETDASIKYNEMAEKSWVDYGVKEYTLVLIRKKDDGEKKVKLEAKVKISMEYPLRPPLFGLSLYSAAENHDENNGSERYNELRAMEAEVNLYILKLLPLDQENHVLAHQVRYLAMLFDYLMDEASPSAKCTSVVDVGLCKPVSGSLLARSFRGRDRRKMISWKDMECTSGYPY >Potri.008G087700.5.v4.1 pep chromosome:Pop_tri_v4:8:5459184:5460000:-1 gene:Potri.008G087700.v4.1 transcript:Potri.008G087700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087700.v4.1 MNNCYDCFILLIAFTGTGDDLLYNSQDMLSPDRNTQHQTITKRGAGRENLEYTDYSGTGPNNRHTPEPPSGQGGN >Potri.008G087700.4.v4.1 pep chromosome:Pop_tri_v4:8:5459113:5460000:-1 gene:Potri.008G087700.v4.1 transcript:Potri.008G087700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087700.v4.1 MMLQKWLEMAGKFLHSLVILLVVSQLIFLNATSTSRTGDDLLYNSQDMLSPDRNTQHQTITKRGAGRENLEYTDYSGTGPNNRHTPEPPSGQGGN >Potri.016G021200.1.v4.1 pep chromosome:Pop_tri_v4:16:1139951:1141887:-1 gene:Potri.016G021200.v4.1 transcript:Potri.016G021200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021200.v4.1 MASNILVDKPHAVCIPHPAQSHINSMLKLAKLLHHKGFHITFVNTEFNHKRLLRSRGPDSLTGLPDFRFESIPDGFPAPDENAAHDFYAICEASRKNLLGPFNDLLDKVNDTASSDVPPVTYIVSDGAMPVAIDAAAMHEIPIALFYTISACSFMGTKQFRALKEKGLTPLEDESFLTNGYLDKVVDWIPGMRDIKLRDLPSFVRTTDPNDYMFNFCVECAERASEGSAVIFHTFDALEQEVLNALYSMFPRVYAIGPLQLLLNQMQEDDLNSIGSNLWKEEVQCVQWLDSQKSNSVVYVNFGSVAVATKQQLIEFGMGLAKSGHPFLWIIRPDMIAGDCAILPPEFTEETKDRGFICSWCPQEEVLNHPSVGGFLTHCGWTSIIESISSGVPMLCWPFAGDQQTNCRYTCTEWGIGMEIDSNVTRDKVEKIVREFMEGEKAKEMKKKAMEWKKLAEEPTGPGGSSSMNLDKLVTEVLLS >Potri.017G108600.1.v4.1 pep chromosome:Pop_tri_v4:17:11705577:11709973:-1 gene:Potri.017G108600.v4.1 transcript:Potri.017G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108600.v4.1 MATLTPISNETQLLIQSICASVIKGSWKNLLRPKFGSNDYHLITTTATVRQVLLHLSLYDQSPCLSWALFKWIESSVPNYKHSLQSSWTMLYILTKHKHFKTAHAFLENIAFKDFLSTQSVLSSLVKIHDDPDVNSHVLSWLVIVYGNSKMTHEAIQVFEHMRVNGFRPHLHACTVLLNSLAKDRLTDTVWKIYKKMVKLGVVANIHVYNVLLHACCKSGDVEKAEKVLSEMELKCVFPDLFTYNTLISLYCKKGMHYEALSVQDRMEMAGISPDIFTYNSLIYGFCREGRMREAVQLFRDIKDVTPNHVTYTSLIDGYCRVNDLDEALRLKEVMSEKGLYPTVITYNSILRKLCEGGRLRDANILLNEMSERKIEPDNVTCNTLINAYCKIGDMRSALKVKDKMVGAGLKLDQFTYKALIHGFCKAKEIDKAKELLFGMMDAGFSPSYCTYSWLVDSYCKQQNEEAVIKLPDELVRRGLCVDVSVYRALIRRFCKIEKIDCAQRVLGLMKDKGIFGDSVVYTSLAYGYWKVGKVNVTSDILDEMYKKRLMITLKIYRSFNASYASDNSILSLFWNHVLERRLMSKNILKDMQ >Potri.007G063800.1.v4.1 pep chromosome:Pop_tri_v4:7:7935627:7938877:1 gene:Potri.007G063800.v4.1 transcript:Potri.007G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063800.v4.1 MRSSSKLTFFFLLITIWVFSKTCKGRVFTFKMHHRFSDSFKNWSGLTRNWPEKGSFEYYAALAHRDQMLRGRRLSDADASLAFSDGNSTFRISSLGFLHYTTVELGTPGVKFMVALDTGSDLFWVPCDCSRCAPTHGASYASDFELSIYNPRESSTSKKVTCNNDMCAQRNRCLGTFSSCPYIVSYVSAQTSTSGILVKDVLHLTTEDGGREFVEAYVTFGCGQVQSGSFLDIAAPNGLFGLGMEKISVPSVLSREGLIADSFSMCFGHDGIGRISFGDKGSPDQEETPFNVNPAHPTYNVTVTQARVGTMLIDVEFTALFDSGTSFTYMVDPAYSRVSEKFHSLARDKRRPPDPRIPFEYCYDMSPDANASLVPSMSLTMKGGRHFTVYDPIIVISTQNEIVYCLAVVKSTELNIIGQNFMTGYRVVFDREKLVLGWKKFDCYDVEDYNNFPLQPHASMVPPAVAAGLGSNSSTGSEKEASNKSPSSIASTYCYSHTSVFTSLISVFLICLLL >Potri.007G063800.2.v4.1 pep chromosome:Pop_tri_v4:7:7935605:7939153:1 gene:Potri.007G063800.v4.1 transcript:Potri.007G063800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063800.v4.1 MRSSSKLTFFFLLITIWVFSKTCKGRVFTFKMHHRFSDSFKNWSGLTRNWPEKGSFEYYAALAHRDQMLRGRRLSDADASLAFSDGNSTFRISSLGFLHYTTVELGTPGVKFMVALDTGSDLFWVPCDCSRCAPTHGASYASQDFELSIYNPRESSTSKKVTCNNDMCAQRNRCLGTFSSCPYIVSYVSAQTSTSGILVKDVLHLTTEDGGREFVEAYVTFGCGQVQSGSFLDIAAPNGLFGLGMEKISVPSVLSREGLIADSFSMCFGHDGIGRISFGDKGSPDQEETPFNVNPAHPTYNVTVTQARVGTMLIDVEFTALFDSGTSFTYMVDPAYSRVSEKFHSLARDKRRPPDPRIPFEYCYDMSPDANASLVPSMSLTMKGGRHFTVYDPIIVISTQNEIVYCLAVVKSTELNIIGQNFMTGYRVVFDREKLVLGWKKFDCYDVEDYNNFPLQPHASMVPPAVAAGLGSNSSTGSEKEASNKSPSSIASTYCYSHTSVFTSLISVFLICLLL >Potri.011G032400.1.v4.1 pep chromosome:Pop_tri_v4:11:2623571:2625074:-1 gene:Potri.011G032400.v4.1 transcript:Potri.011G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032400.v4.1 MSSLRRLLRRTLSTATSATATDSTKAKSFCKEIYKERNLEQLVEKFKIASVDEDFRTKPSVYKEAVRRLAAARKFNYVEEILEDQKQYKDISKEGFNAHLISLYGSAGMFDNARKVFDEMLERKCAQTVVSFNALLGACVNSKKFDEAEGLFKGLSKELEIEPDLVSYNTVLKAFCEMGSMDSAATLLDEMEKKGLEPDLITFNTLLHGFYAKGRFVDGERIWEQMKEKNVEPDVRSYNAKLLGLTLEKRMEDVVKVVEEMKSEGIQLDTFSYSTLIRGFVNEGDLKKAKHWYHEIGRSGCKRDKLTLEGLIPFALQKGDFMFAYGICKDVLCSKLLVQNALIQSVVDALAKESRMEAAKELVNLGDAHHTYSYKLNLPSI >Potri.006G241700.8.v4.1 pep chromosome:Pop_tri_v4:6:24327927:24335238:-1 gene:Potri.006G241700.v4.1 transcript:Potri.006G241700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241700.v4.1 MESSDSLISAPVDGLVSEGVPRPKLLHGRTSGPARRSTKGQWTAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQQEELALIRAHQIYGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLEQFQAFPLVGHQTLPMSSSSSRLHCSGDDNAQRGGAEAEDISECSQESTIGGCSQSASDLGNAVFHTREEFQLAEESGLRKEQSSSPASCSEQYYIPEMPCELGGSSNFLQQNFSHNTLTSMSSDYQFELQELPNVSSLELRQESSGLPTHCITANESHELVNVPFQTSIGLSAPASMGNITASSVQSDQIFISDDECCRILFSEAANGGIFSSESITKDSSVVDLGGGMDSSLPQSSSTQISETERSASQSYCPPRSAVLGASCSQSFLSGPLLYSADNSTPLVYDREPDQLMVQSFGTHEQQFITTVHDSFIYTNDAINSSCDDGTGNTELQEQPYLKELSKLVPVNAFPSGSDTISSCPADEQPNVHAGGLCYEPPRFPCLDIPFLSCDLIQSGSDMQQEYSPLGIRQLMMSSMNCITPFRLWDSPSRDGSPDAVLKSAAKTFTGTPSILKKRNRDLLSPLSERRGDKKLEIDMASNLSKDFSRLDVMFDESETRNRSSLLSPSSDQEKNHESSGEDKENLDPAREGAEENTGNSEDNVKQGVGDSDARSKAHPDAGAQTVKQSSEVPVEENSDDLLFSPNQLGFKADRTFGPSARTPRNFYRKILSTLSEQASASESSSGNPCIIISPTVCMEKNHGSHIVESTSAQPIPSSAPSENMPDNSGNSAGTENFGIFGDTPFKRSFESPSAWKSPWFINSFVPGPRIDTEISIEDIGYFMSPGDRSYDAIALMKQLSEHTAPAYADALEVMGKDTPESILKERRRSNDPDGDIENCSHLVSNVSTECRTLDFSECGTPGKKTENGKSSTAISFSSPSSYLLKSCR >Potri.006G241700.9.v4.1 pep chromosome:Pop_tri_v4:6:24328039:24335212:-1 gene:Potri.006G241700.v4.1 transcript:Potri.006G241700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241700.v4.1 MESSDSLISAPVDGLVSEGVPRPKLLHGRTSGPARRSTKGQWTAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQQEELALIRAHQIYGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLEQFQAFPLVGHQTLPMSSSSSRLHCSGDDNAQRGGAEAEDISECSQESTIGGCSQSASDLGNAVFHTREEFQLAEESGLRKEQSSSPASCSEQYYIPEMPCELGGSSNFLQQNFSHNTLTSMSSDYQFELQELPNVSSLELRQESSGLPTHCITANESHELVNVPFQTSIGLSAPASMGNITASSVQSDQIFISDDECCRILFSEAANGGIFSSESITKDSSVVDLGGGMDSSLPQSSSTQISETERSASQSYCPPREPDQLMVQSFGTHEQQFITTVHDSFIYTNDAINSSCDDGTGNTELQEQPYLKELSKLVPVNAFPSGSDTISSCPADEQPNVHAGGLCYEPPRFPCLDIPFLSCDLIQSGSDMQQEYSPLGIRQLMMSSMNCITPFRLWDSPSRDGSPDAVLKSAAKTFTGTPSILKKRNRDLLSPLSERRGDKKLEIDMASNLSKDFSRLDVMFDESETRNRSSLLSPSSDQEKNHESSGEDKENLDPAREGAEENTGNSEDNVKQGVGDSDARSKAHPDAGAQTVKQSSEVPVEENSDDLLFSPNQLGFKADRTFGPSARTPRNFYRKILSTLSEQASASESSSGNPCIIISPTVCMEKNHGSHIVESTSAQPIPSSAPSENMPDNSGNSAGTENFGIFGDTPFKRSFESPSAWKSPWFINSFVPGPRIDTEISIEDIGYFMSPGDRSYDAIALMKQLSEHTAPAYADALEVMGKDTPESILKERRRSNDPDGDIENCSHLVSNVSTECRTLDFSECGTPGKKTENGKSSTAISFSSPSSYLLKSCR >Potri.006G241700.7.v4.1 pep chromosome:Pop_tri_v4:6:24327991:24335212:-1 gene:Potri.006G241700.v4.1 transcript:Potri.006G241700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241700.v4.1 MESSDSLISAPVDGLVSEGVPRPKLLHGRTSGPARRSTKGQWTAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPSINKEAWTQQEELALIRAHQIYGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYLASGLLEQFQAFPLVGHQTLPMSSSSSRLHCSGDDNAQRGGAEAEDISECSQESTIGGCSQSASDLGNAVFHTREEFQLAEESGLRKEQSSSPASCSEQYYIPEMPCELGGSSNFLQQNFSHNTLTSMSSDYQFELQELPNVSSLELRQESSGLPTHCITANESHELVNVPFQTSIGLSAPASMGNITASSVQSDQIFISDDECCRILFSEAANGGIFSSESITKDSSVVDLGGGMDSSLPQSSSTQISETERSASQSYCPPRSAVLGASCSQSFLSGPLLYSADNSTPLVYDREPDQLMVQSFGTHEQQFITTVHDSFIYTNDAINSSCDDGTGNTELQEQPYLKELSKLVPVNAFPSGSDTISSCPADEQPNVHAGGLCYEPPRFPCLDIPFLSCDLIQSGSDMQQEYSPLGIRQLMMSSMNCITPFRLWDSPSRDGSPDAVLKSAAKTFTGTPSILKKRNRDLLSPLSERRGDKKLEIDMASNLSKDFSRLDVMFDESETRNRSSLLSPSSDQEKNHESSGEDKENLDPAREGAEENTGNSEDNVKQGVGDSDARSKAHPDAGAQTVKQSSEVPVEENSDDLLFSPNQLGFKADRTFGPSARTPRNFYRKILSTLSEQASASESSSGNPCIIISPTVCMEKNHGSHIVESTSAQPIPSSAPSENMPDNSGNSAGTENFGIFGDTPFKRSFESPSAWKSPWFINSFVPGPRIDTEISIEDIGYFMSPGDRSYDAIALMKQLSEHTAPAYADALEVMGKDTPESILKERRRSNDPDGDIENCSHLVSNVSTECRTLDFSECGTPGKKTENGKSSTAISFSSPSSYLLKSCR >Potri.013G084500.1.v4.1 pep chromosome:Pop_tri_v4:13:7655128:7657726:1 gene:Potri.013G084500.v4.1 transcript:Potri.013G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084500.v4.1 MAARGGALLKYLRVSVKASPTTRNPNNNGLFGLSFNTICRRFSEEVRGTFLDKSEVSDRVVNVVKNFQKVDPSKVTPDAHFQNDLGLDSLDTVEIVMALEEEFKFEIPDNEADKISTVSLAIDFISSHPQAK >Potri.006G053700.1.v4.1 pep chromosome:Pop_tri_v4:6:3768856:3772523:-1 gene:Potri.006G053700.v4.1 transcript:Potri.006G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053700.v4.1 MLEQGNFLFNSPFLPCVFFWFFLFPVVASQIPLGSKLSVEENNLWVSPRGDFAIGFVNRSDQPYQYSVGIRFNSKSIPVPEQTVVWVAGADVTVGNKSYFQLSQNGELVLVDSLKGVTVWTSNTSELAVVSALLRDDGNLFLLNRKQEVVWQSFDNPSDTLLPGQNLPVHKTLRAASRNSVSSYYSLYMNASGQLQLKWESDVIYWSSYWSRGNPSSSNLGAVLTSGGVLRLVDHNQEPVWSVFGEDHNDSVNYRLLKLDIDGNLRMYSWVEATASWRSVWQAVENQCNVFATCGEHGICVFNASGSPECQCPFKTTSSPSSKCFALNCESNYSMDTYEHTFLYGIYPPNESITITSLQQCKELCIQDPACTAATFTNDGTAQCRMKTSPYFSGHQNPSLSSISFVKKCSDPIAVNPHAFRSSPAQSPVKRSHGLCISCLIGAASGTFVLFAIVQIGIGYFIYRRRYQILRKAASAYPGWNSKGLMMLPFTEIKDITGNFKHQIGPGMYRGELPNHQPVAVKDLENAIEERKFRAVVSKIGSIHHKNLVRLDGYCFELGHRYLVYEYVKNGSVDKYIEDDELSQRLTWKRRVDICITVARAICYLHTGCREFISHGNLKCSNVVLDKNYEPKVSEFGLGTAHLEASYGGEKDVEDFGKMVLILITGRPEVQDAWEWIYEEWIQRRLEGVVDKRLDAGVDLKELERLLRIAFWCLQTNEHMRPSMGEVVKVLEGTLTVDPPPPPFSHRLSEEESLESGSKPPSPVEP >Potri.018G099201.1.v4.1 pep chromosome:Pop_tri_v4:18:11962843:11963088:1 gene:Potri.018G099201.v4.1 transcript:Potri.018G099201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099201.v4.1 MRKRSCKWRKMKRPKLSSIRNNPRSTARVSMRKKLHQLHKIIPGCEVMDNMETLFQMTANYIFALQLKASFLQSLCVFYDV >Potri.003G073700.1.v4.1 pep chromosome:Pop_tri_v4:3:10131198:10139453:-1 gene:Potri.003G073700.v4.1 transcript:Potri.003G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073700.v4.1 MMENWRGVVLLVSLLIVCIFGCVNGATDPNDASALRVMYISLQSPGQLTQWSANGDDPCGQNWKGIICSGSRVTEIKLPSLGLPGSLGYQLTSLTAVTNLDMSYNNLAGNIPDQLPPNLQQLNLANNQFSGGIPYSISQLPSLKYLNLGHNQLQNQLGDVFGQLPSLSTLDLSFNSLTGDLPQSFSSLSSMTSMYLQNNQFTGSINVLASLPLENLNVGNNRFTGWIPSQLNSINLQKDGNNWNSGPAPPPPPGTPPTPKGPSHKSGGNASPSGSGAGGGSKKSGLGGGGIAGIIISIFVVGGIVAFFLVKRRSRRSSLDLEMLDNQPFAPLSSTNDIQEMKSMQNSSMVNTKTFDTPASTNLRPPPIDRHKSFDEEEFSPKPVVVKKPVAAPVNVTSYSVADLQMATGSFSVDHLLGEGSFGRVYRAEFDDGKVAVKKLDSGILPSHMSDDFMEMVSSISLLHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSIIHKNIKSANILLDTELNPHLSDAGLASSLHNADQVLNYNAGSGYSAPEVAMSGHYTLKSDVYSFGAVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDSLSKMVDPELKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTIGNEQGETPRADNPDTQDYMS >Potri.010G076425.1.v4.1 pep chromosome:Pop_tri_v4:10:10378815:10381493:1 gene:Potri.010G076425.v4.1 transcript:Potri.010G076425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076425.v4.1 MRSRYLLCKYFEAMAEKSIIFVLIFSLHIYGRPVSESGKINRPSSVEENVYKSIPEMIHGCYEVMLDSLATLVAGSIRFWENSSIQDYYTNQHPDKLIWVSLSWDLETTQDSSVTVS >Potri.006G007200.1.v4.1 pep chromosome:Pop_tri_v4:6:510701:512397:-1 gene:Potri.006G007200.v4.1 transcript:Potri.006G007200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007200.v4.1 MKNAELVFIPAPIIGHFVSAVEVAKLLLERDERLSITFLVMKSSLSTKIARSYNDSVIAACGRIRFIHLPEVELDPNLPSRFFISLIEAQKPHVKEEVSKLVIESESSPDSPRIAGFVLDMFSTSIIDVANEFGVPSYIFFTSAAAFLGTTFYIQALHDEQKVDPTEFKNSDVELAMPCLASPFPAKVLPSSVLGKDFLPLFLRLFRRLREAKGIMVNTFYELESHAINSFSDGNYPPVYPVGPLLNLNGHEHDVVSDIRKDIHRDIMQWLDHQPSSSVVYLCFGSMGSFGVEQVKEIACGLEQSGHRFLWSLRQPPPNGKMEAPSDYVNPAEVLPEGFLDRTSEIGKIIGWAPQVDILAHPSIGGFVSHCGWNSTLESIWFDVPIATWPMHAEQQFNAFLMIVEFGLAIEIQMNYRKEFNMDACEIVSAEEIEKGIRCLMEIDIKKKEKLKEISEKSRKALMKDGSSYTWLDRVVQDMIDNMP >Potri.006G007200.3.v4.1 pep chromosome:Pop_tri_v4:6:510659:511559:-1 gene:Potri.006G007200.v4.1 transcript:Potri.006G007200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007200.v4.1 MQWLDHQPSSSVVYLCFGSMGSFGVEQVKEIACGLEQSGHRFLWSLRQPPPNGKMEAPSDYVNPAEVLPEGFLDRTSEIGKIIGWAPQVDILAHPSIGGFVSHCGWNSTLESIWFDVPIATWPMHAEQQFNAFLMIVEFGLAIEIQMNYRKEFNMDACEIVSAEEIEKGIRCLMEIDIKKKEKLKEISEKSRKALMKDGSSYTWLDRVVQDMIDNMP >Potri.006G007200.2.v4.1 pep chromosome:Pop_tri_v4:6:510688:511978:-1 gene:Potri.006G007200.v4.1 transcript:Potri.006G007200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007200.v4.1 MPCLASPFPAKVLPSSVLGKDFLPLFLRLFRRLREAKGIMVNTFYELESHAINSFSDGNYPPVYPVGPLLNLNGHEHDVVSDIRKDIHRDIMQWLDHQPSSSVVYLCFGSMGSFGVEQVKEIACGLEQSGHRFLWSLRQPPPNGKMEAPSDYVNPAEVLPEGFLDRTSEIGKIIGWAPQVDILAHPSIGGFVSHCGWNSTLESIWFDVPIATWPMHAEQQFNAFLMIVEFGLAIEIQMNYRKEFNMDACEIVSAEEIEKGIRCLMEIDIKKKEKLKEISEKSRKALMKDGSSYTWLDRVVQDMIDNMP >Potri.012G089800.1.v4.1 pep chromosome:Pop_tri_v4:12:11499387:11499731:-1 gene:Potri.012G089800.v4.1 transcript:Potri.012G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089800.v4.1 MRLHSTFKLMHLDLPLVQVLLEGLPPNALNEDIERFLSGCEFVPSSIRKYPDPVMSAGRKNPTTFEEKTDPTTSKGKQDATTS >Potri.004G082601.2.v4.1 pep chromosome:Pop_tri_v4:4:6820909:6826103:-1 gene:Potri.004G082601.v4.1 transcript:Potri.004G082601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082601.v4.1 MAGRIIRSVKEDMHPCVRLTALYTIKQFSKNLKPEFQDQYRDQVLPALTKAMDDFNYPRVQVQAYSALFEFTSNCTPSILNPYLKEIVTKLLKELRGETLKVLSAVAYSSQDQFAEYYSIVMPYLKVIMMTAKKELDHNLLANSVDCITMVWMAVGKEKIRDDTDMVVKMLISLQRSELEANDPMRCQLLQAWARLGKCLGKEFKPYMSVSIPRLLRSAKIGSYVLIPENPDNVDESDGSIRALILGERKIWIKTKVLEEKVAACKGLYLLADELKEGLSVWIEEVAQTLVPFLNFQLNEEIRRVAASAMPVLLKSSKEAKQKGNLELSDESPFEKLCSDVLPALVKALSKESLPEIAAIILDSLEECMKMSGPVLDEDQTDLFLKKIMNVLNSRSKVGDIDVIKQTLQEEQKVYDKAVDCLATFIRIQKSSFSPFLGKLLPCIQLMWEKDKIAKERRTGLRIFCDVAKQFPEEAFRQYNICLLFLFEACKDENPEVLEVAVQAIGIFAEFGGSAFKSLLKGAFYALKAVIDHPKALQIEYVMAHDAAVSALGKFLQFHREKLNAAQFLKTWLRHLPLENNLNEAKVAHHQLCSLVEVSDVELLGPKKKNLHKIVTVYAEILWAGKKLATEETVSQMIKQLELYRRRSILSTWRSFMLSMENHLRRKLESKLSS >Potri.004G082601.3.v4.1 pep chromosome:Pop_tri_v4:4:6820909:6826103:-1 gene:Potri.004G082601.v4.1 transcript:Potri.004G082601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082601.v4.1 MAGRIIRSVKEDMHPCVRLTALYTIKQFSKNLKPEFQDQYRDQVLPALTKAMDDFNYPRVQVQAYSALFEFTSNCTPSILNPYLKEIVTKLLKELREEKHMIKGETLKVLSAVAYSSQDQFAEYYSIVMPYLKVIMMTAKKELDHNLLANSVDCITMVWMAVGKEKIRDDTDMVVKMLISLQRSELEANDPMRCQLLQAWARLGKCLGKEFKPYMSVSIPRLLRSAKIGSYVLIPENPDNVDESDGSIRALILGERKIWIKTKVLEEKVAACKGLYLLADELKEGLSVWIEEVAQTLVPFLNFQLNEEIRRVAASAMPVLLKSSKEAKQKGNLELSDESPFEKLCSDVLPALVKALSKESLPEIAAIILDSLEECMKMSGPVLDEDQTDLFLKKIMNVLNSRSKVGDIDVIKQTLQEEQKVYDKAVDCLATFIRIQKSSFSPFLGKLLPCIQLMWEKDKIAKERRTGLRIFCDVAKQFPEEAFRQYNICLLFLFEACKDENPEVLEVAVQAIGIFAEFGAFYALKAVIDHPKALQIEYVMAHDAAVSALGKFLQFHREKLNAAQFLKTWLRHLPLENNLNEAKVAHHQLCSLVEVSDVELLGPKKKNLHKIVTVYAEILWAGKKLATEETVSQMIKQLELYRRRSILSTWRSFMLSMENHLRRKLESKLSS >Potri.004G082601.4.v4.1 pep chromosome:Pop_tri_v4:4:6820909:6826103:-1 gene:Potri.004G082601.v4.1 transcript:Potri.004G082601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082601.v4.1 MAGRIIRSVKEDMHPCVRLTALYTIKQFSKNLKPEFQDQYRDQVLPALTKAMDDFNYPRVQVQAYSALFEFTSNCTPSILNPYLKEIVTKLLKELRGETLKVLSAVAYSSQDQFAEYYSIVMPYLKVIMMTAKKELDHNLLANSVDCITMVWMAVGKEKIRDDTDMVVKMLISLQRSELEANDPMRCQLLQAWARLGKCLGKEFKPYMSVSIPRLLRSAKIGSYVLIPENPDNVDESDGSIRALILGERKIWIKTKVLEEKVAACKGLYLLADELKEGLSVWIEEVAQTLVPFLNFQLNEEIRRVAASAMPVLLKSSKEAKQKGNLELSDESPFEKLCSDVLPALVKALSKESLPEIAAIILDSLEECMKMSGPVLDEDQTDLFLKKIMNVLNSRSKVGDIDVIKQTLQEEQKVYDKAVDCLATFIRIQKSSFSPFLGKLLPCIQLMWEKDKIAKERRTGLRIFCDVAKQFPEEAFRQYNICLLFLFEACKDENPEVLEVAVQAIGIFAEFGAFYALKAVIDHPKALQIEYVMAHDAAVSALGKFLQFHREKLNAAQFLKTWLRHLPLENNLNEAKVAHHQLCSLVEVSDVELLGPKKKNLHKIVTVYAEILWAGKKLATEETVSQMIKQLELYRRRSILSTWRSFMLSMENHLRRKLESKLSS >Potri.004G082601.1.v4.1 pep chromosome:Pop_tri_v4:4:6820910:6826102:-1 gene:Potri.004G082601.v4.1 transcript:Potri.004G082601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082601.v4.1 MAGRIIRSVKEDMHPCVRLTALYTIKQFSKNLKPEFQDQYRDQVLPALTKAMDDFNYPRVQVQAYSALFEFTSNCTPSILNPYLKEIVTKLLKELREEKHMIKGETLKVLSAVAYSSQDQFAEYYSIVMPYLKVIMMTAKKELDHNLLANSVDCITMVWMAVGKEKIRDDTDMVVKMLISLQRSELEANDPMRCQLLQAWARLGKCLGKEFKPYMSVSIPRLLRSAKIGSYVLIPENPDNVDESDGSIRALILGERKIWIKTKVLEEKVAACKGLYLLADELKEGLSVWIEEVAQTLVPFLNFQLNEEIRRVAASAMPVLLKSSKEAKQKGNLELSDESPFEKLCSDVLPALVKALSKESLPEIAAIILDSLEECMKMSGPVLDEDQTDLFLKKIMNVLNSRSKVGDIDVIKQTLQEEQKVYDKAVDCLATFIRIQKSSFSPFLGKLLPCIQLMWEKDKIAKERRTGLRIFCDVAKQFPEEAFRQYNICLLFLFEACKDENPEVLEVAVQAIGIFAEFGGSAFKSLLKGAFYALKAVIDHPKALQIEYVMAHDAAVSALGKFLQFHREKLNAAQFLKTWLRHLPLENNLNEAKVAHHQLCSLVEVSDVELLGPKKKNLHKIVTVYAEILWAGKKLATEETVSQMIKQLELYRRRSILSTWRSFMLSMENHLRRKLESKLSS >Potri.008G095800.1.v4.1 pep chromosome:Pop_tri_v4:8:5973197:5976164:1 gene:Potri.008G095800.v4.1 transcript:Potri.008G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095800.v4.1 MLRRVFPLLRRRSFSTTPEIPNLYSFLQPTIFALKKTPPSTTNPATTTNRQTPKILTQDHITNLESTLHKSLITNNTNEAWASFKSLTSNSAFPSKSLTNSLITHLSSLNDTINLKRAFASIVYVIEKNPKSLDFETVQLFLGSMVRANTAAPAFALIKCMFKNRFFMPFRLWGDILIEISRKNDKVIAFLKVFEESCRIAIDEKLDFMKPDMDACNVALEGCCCELESVSEAEKVIETMSVLGIKPDELSFGFLAYLYALKGFQDKIIELNGLMSGFGFSNKKLFFSYLIRGYVKSGSFEAVSETILRSLREQGGLDLNFSEETYCQVVKGFMKDGGIKGLANLIIEAQKLESATIAADKSTGFGIISACVNLRLSDKAHSIVDEMDAQGGSVGLGVFLPILKAYCKEYRTAEATQLVMDISNKGLQLDEGSYDALIEASMTSQDFQSAFTLFRDMREGIAELKGSYLTIMTGLMEKQRPELMAAFLDEIVEDPRVEVKTHDWNSIIHAFCKAGRLEDAKRTFRRMTFLQFEPNDQTYLSLINGYVTAEKYFGVLMLWNEVKRKVSPDKEKGIKFDQSLVDAFLYAMVKGGFFDAVMQVVEKSQEMKIFVDKWRYKQAFMESHKKLKVSKLRKRNFRKMEALIAFKNWVGLNT >Potri.019G028450.1.v4.1 pep chromosome:Pop_tri_v4:19:4055559:4056069:1 gene:Potri.019G028450.v4.1 transcript:Potri.019G028450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G028450.v4.1 MLLLKHGRIEILDQNTMYGCKDIHGAVRPIQIFTTKKYWILFRIGSERRKRRKAGMPTNAYY >Potri.007G043100.1.v4.1 pep chromosome:Pop_tri_v4:7:3669566:3672110:-1 gene:Potri.007G043100.v4.1 transcript:Potri.007G043100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043100.v4.1 MNDQGISASIPLLGHYSCQKSSLLLGSDKSVLPDKQGYCLHCKDQAWRPSLSQVVAEIKELCTIAFPMIITGLLIYGKSAISMLFMGKLGKEVLAGGSLSIGIANITGYSVISGLAMGMEAISSQACGAKQWPLMGQTLQQTIAILILACIPISLLWLNFEPVLIFCGQDRAISSIASTYLVFSLPDLVLQSFINPLKIYLRTQNITLPLMLSAAFSLALHVPISYILTYRFSLGIRGIAVAVAITDLNLLAALLLYLYFSGICRKSWQGWSLQCFDEWKPILSLAIPSCISVCLEWWWYELMIVLSGILANAPEAVATMGILIQATSLVYIFPSALSLAVSTRVGNELGANQPNKAKMSSIIALFCAVLMSIIAMLFMTLTRHAWGQIFTTDKAILSLTATTMPVVGLCELGNCPQTTGCGVLRGSARPTLGANINLGSFYGVGLPIAMLMGIGMGLGLLGFWFGLLVAQAVCAIVMVVVLTRTDWKMQANRARELTGIDSEDEAESKTLDGSVSIIRVDDLIIA >Potri.009G076700.2.v4.1 pep chromosome:Pop_tri_v4:9:7445427:7448274:-1 gene:Potri.009G076700.v4.1 transcript:Potri.009G076700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076700.v4.1 MDCFKSDRVSIIDFKSLKTRTDSRIRSVSTQPSPTPRNPFIPSRPPSSLNHRPTPPPHPTSPHLLEDRISTQHREIQSLLLDNQRHAATHVALKQEVSLSQQDLRHLSTLAADVKAERDNQIREFYQRSLKLDAELRSIDAMSAELVRVRTDVQKLTVQRQDMTAQLKEMNSEIVKAKTETQQVGVIKEEIETVQQEIQRGRSAIEYEKKTRAFNLEQEKVLEKNRILLVREIEKLRTELANSEKRARAAAAAGNPSPGYGRNYGSAEVRYGGSSYPDPIGLQQVQIGGSDSVPTFSSGAMSNGHHDTAHR >Potri.017G145100.1.v4.1 pep chromosome:Pop_tri_v4:17:14538535:14540872:1 gene:Potri.017G145100.v4.1 transcript:Potri.017G145100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145100.v4.1 MAKDIAQGADGGAWLESIKLDIANLQSTSTRYTICKVPYKLRNEKNDAYSPQTISIGPLHNPKDKPKENLKAMQEHKLSYMLSLLERTRNETDALEACGKATLDLDAKVRLNYEEKVEHSGTELARMLLVDGCFILELFIRYYINDLRPDDPILLNPRMISVVRRDLALLENQIPFIVLEELFKVIQDHSDITLPELNDLAISFFKLDRSRKGSKSCHLLDLIHNCYSPDSASKHATAKGEWKVMQCATKLSYNGIKFARSDIENNHKKKGIEVGNGSKKDLFDLKFEKGTMKIRQLCVENSLFQNLIAMEQCLHGREHFMTSYGLLMDFLVDSSKDVEFLVNKGIIPHNFGDYEEVAHLFNNIGKQVVVRDFYFAGTSEEVVNYCKTSWWLRYVQSLLRDYLANPWMATSVVAAIILLVATLIQTIYSVLSYYHDPAPVING >Potri.001G091001.1.v4.1 pep chromosome:Pop_tri_v4:1:7203520:7206526:-1 gene:Potri.001G091001.v4.1 transcript:Potri.001G091001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091001.v4.1 MSSQICRSASRAARSLLSSASKTSRFYSEGRAAAAVVAVSLGGKLPLLSSAYGRTGSSNLARQWISGALAIPAGVYMLQEQEAHAAELERTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKIVVPSKDFAQKHYHDLKTRPFFDGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVVVGRNIIHGSDGPETAKEEVNLWFKPEELVNYTGNAEKWIYGVN >Potri.005G060800.1.v4.1 pep chromosome:Pop_tri_v4:5:3831789:3836029:-1 gene:Potri.005G060800.v4.1 transcript:Potri.005G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060800.v4.1 MSTFNRSKSINQRTLGVGTPRTASFGSPRVKLTSVSHWFRWLVVIGALFPFSIAIAGGYFYLLPSLTQAFQGFGTSKLNDSMEECNLFDGNWVFDESYPLYNASECPFAEQGFNCLGNGRRDEDYLRWRWKPKRCDIPRFNVHDILERLRNKRVVFVGDSMSRTQWESLICLLMTGVEDKNSVYEVNNHNITKRIRFLSVRFNSFNFTVEFFRSVFLVQHVWMPRHVPRRVRSTLKLDKLDDISNQWVNSDILIFNTGHWWVPEKLFETGCYFQVANTVRLGMSIPVAFRIALDTWASWVEKMIDTNRTHVFFRTYEPSHWSEQSHRLCNMSNIPISEAGGKDRSVFSDTILEAVKNLTVPITVLHITSMSALRSDAHVGKWNGNPSIPDCSHWCLPGVPDMWNEILLSYLLSLS >Potri.007G124000.1.v4.1 pep chromosome:Pop_tri_v4:7:14078060:14082157:-1 gene:Potri.007G124000.v4.1 transcript:Potri.007G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124000.v4.1 MRRWLCCTCQVEESYQEHENERIRSTGNFGDGYPKGSKVSAPAKAEVQKEAPPVEVPVLSLEELKEKTDNFGSKALIGEGSYGRVYYANLENGKAVAIKKLDVASEPETNVEFLTQVSMVSRLKHENFVELLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWMQRVRIAVDAARGMEYLHEKVQPAVIHRDVRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKLAAVAALCVQYEAEFRPNMSIVVKALQPLLKAVAVAVAPAPAPET >Potri.005G109800.1.v4.1 pep chromosome:Pop_tri_v4:5:7977097:7979959:1 gene:Potri.005G109800.v4.1 transcript:Potri.005G109800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109800.v4.1 MARISTRDIFKFRHAILTHHPSLPTPKQITLLSPSSSYSASIKDMPITSYNNPRFELLYSTLNPFHLYQIQAQLITCGLFSLWSPRLLKHFADFGDIDYTIFIFKFIASPGTFVVNNVVKAYSLSSEPNKALVFYFEMLKSGFCPNSYTFVSLFGCCAKVGCAKLGKKYHGQAVKNGVDRILPVENSLIHCYGCCGDMGLAKKVFDEMSHRDLVSWNSIIDGYATLGELGIAHGLFEVMPERNVVSWNILISGYLKGNNPGCVLMLFRKMMNDGMRGNDSTIVSVLSACGRSARLREGRSVHGFIVKKFSSMNVIHETTLIDMYNRCHKVEMARRIFDKVVRRNLGCWNAMILGHCLHGNPDDGLELFKDMVDRAGLGKRDSVHPDEVTFIGVLCACARAGLLTEGKNFFSQMIYNHGLKPNFAHFWCMANLYARAGLIQEAEDILRTTQEEEEDMPLESLVWANLLNSCRFQGNVALGERIANSLIDMEPWNILHYRLLLNVYAVGGRWDDVAMVKDLVKTKMKGRTPGCNLVDLKEIVHNYEVGRLLPERIGELNTQLMKWP >Potri.004G024052.1.v4.1 pep chromosome:Pop_tri_v4:4:1718985:1722243:1 gene:Potri.004G024052.v4.1 transcript:Potri.004G024052.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024052.v4.1 MGHNFLLVKKSFQILLLYFSIANLLDLAYADPPYRLCSNKSNYTDNSPFQNNLETLMSSLSSNASVSKIFNTSTGIDPDRVYAQYMCLNYVKNESCRTCVAAASQDIRQLCPGDKKAVVWEELCQLRYSNQRFLGHLDVSGNVPQYNPKNISNPEHLSLVVNKTLSSLIKKAAFDSSANMYATREEPFTDSDSFFSLVQCSTDLSPNDCYTCLEVAIKNVTTCCYFSRGARVFSRSCYLRYELYAFYDSASESSQSPVTGKGNESEIWIITISTVVSTLLVAAILGSFFCHLAMKFRMRKCKKENTSQDGKFHGFDHPNRNDFQHQDFQRDGLNDRESAIMDLASINAATDNFSEANFLGQGGFGPVYKGILSDGKELAVKRLSALSEQGKNEFTNEVLLIMKLQHKNLVKLLGFCVDGEEKLLVYEFMPNNSLDMVLFDPRKGAQLSWRSRIHIINGIAKGILYLHEDSRLRIIHRDLKASNILLDNNMNPKISDFGMARIMEANEGEANTVRIVGTYGYMAPEYAMEGLYSTKSDVFSFGVILLEIITGRKNSGFHKSKRAPSLLAYAWELWNNGKELEMIDPVLVDSCCSDEFSRCVHIGLLCVQEDASERPAMSSVVLMLKSDNSIDLPQPQRPAIFAGRFTDHHEAKANDCSVNGLTVSDILPR >Potri.013G128700.1.v4.1 pep chromosome:Pop_tri_v4:13:13583685:13584574:1 gene:Potri.013G128700.v4.1 transcript:Potri.013G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128700.v4.1 MAHSLYITPATTARPTVAKSKKTSPVPSPGFQRVRACHGDSKPFSDNKLVHRRTIALGLAGALTGLNIGGWNANAAARRPPPPPPGEKKDPSISGLQAKVLASKKRKEAMKEEVARIREKGKAVNEPSE >Potri.014G067300.1.v4.1 pep chromosome:Pop_tri_v4:14:4235162:4240025:1 gene:Potri.014G067300.v4.1 transcript:Potri.014G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067300.v4.1 MAGGSDATDTTTITLSCARCGKPAHLQCPKCIELKLPREPAAFCSQDCFKASWSSHKSVHTKAKEEENRDGSSEGWLYCVRRGQGRTPKLPHFDWTGGLRPYPISPYRVVPPHIDRPDWAVDGIPKIEPSSDLQHVVEIKTPEQIERMRETCRIAREVLDAAARIIRPGVTTDEIDRVVHEATVAAGGYPSPLNYHYFPKSCCTSINEVICHGIPDARKLEDGDIVNVDVTVCYKGVHGDLNETYFVGNVDEASRQLVQCTYECLEKAISIVKPGVRFREIGEVINRHATMSGLSVVKSYCGHGIGELFHCAPNIPHYGRNKAVGVMKAGQIFTIEPMINAGVWRDRMWPDGWTAVTADGKRSAQFEHTLLVTETGVEVLTTRLPSSPKVYPWLNE >Potri.002G153500.2.v4.1 pep chromosome:Pop_tri_v4:2:11731731:11733007:1 gene:Potri.002G153500.v4.1 transcript:Potri.002G153500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153500.v4.1 MAEPETLKNPTTATTNKAQATKGPTARRFVGVRQRPSGRWVAEIKDSSQRVRLWLGTYDSPEAAARAYDEAARALRGGNARTNFASVNPSLNQSGSSPSNGGFNMPESDGRHSLSFSSLKARLSKNLQSIMARTTENKSTKNRVSDHFTFANIFHCRSYQYQNPVDTKNIQKVVQPSIIVPRVSGHEPSYSWETSSVSDCSNGWIGFRQHGLDSDGSDIGEVITVNADQMMGWIDSPDASTCCGECSRSKRFKVSSSVVVPPTFSGSPSFCASPSFSGSAFHGEN >Potri.002G198100.1.v4.1 pep chromosome:Pop_tri_v4:2:16106148:16109704:1 gene:Potri.002G198100.v4.1 transcript:Potri.002G198100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198100.v4.1 MGRAPCCEKVGLKKGRWTAEEDEKLAKYIQANGEGSWRSMPKNAGLLRCGKSCRLRWINYLRADLKRGNISTEEEEIIVQLHASLGNRWSLIASYLPGRTDNEIKNYWNSHLSRRIHSFRRSASESLPLITETAKEGVLTKGKGGRAGRFAVKKNKNSHTPKDATRASTKKPLMENNTSNCHNSNIEVMQLLQTPAIEKETLSSAINDTVIWDPCEDDKEQMDLVMPSPYPETGRGMVGSSGEKATLVVSHSDEITSGNSMLCPSVGEIENDSSGPFYQGIENTLCFDEVTDKELLDPNGGSTLNEERQDGLVVRSEERENGVLSPNKTVYDSIGYLSSNGESGEWHSCSSISISGFDDWIWDDVMGGHVEGGGDHLIQEDNMLSCLWESDQVEWGGGGTGL >Potri.012G055500.1.v4.1 pep chromosome:Pop_tri_v4:12:5332282:5340324:1 gene:Potri.012G055500.v4.1 transcript:Potri.012G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055500.v4.1 MVHVLMGLFSNLCACLQTKLRIRRHNAAESAPDDVVETNDLFFELRTLELATNFFSELNQLGHGGFGPVYKGLIPNGQEVAVKKLSLTSRQGIREFTNEVKLLLKIQHKNLVSLLGCCAQGPEKMLVYEYLPNRSLDYFLFDKVKSTRLDWTTRFQIVTGVARGLLYLHEEAPERIIHRDIKASNILLDENLNPKISDFGLARLFPGDDTHMNTFRISGTYGYMAPEYAMHGYLSVKTDVFSYGVLVLEIVSGRKNHDRQLGAEKADLLNYTWMLYQGGKTLELVDPSLARCNWDEAAMCIQLGLLCCQQSIADRPDMNSVHLMLSSDSFTLPKPGKPGIQGRGGRWTTTTTSALTNSATATNASTTNTGATRVSGGNSFIDEYSRNSMSVSSIEEGR >Potri.001G222300.1.v4.1 pep chromosome:Pop_tri_v4:1:23652506:23659251:1 gene:Potri.001G222300.v4.1 transcript:Potri.001G222300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222300.v4.1 MKGSPTCLPSAFKFAVAGKKIKMNLLSNAFSLLEVDAEDDRVNIASSSKSKSSNNRGKSKKKVNDSNLVVKIEKQNEENLVTSSSEVYKMPLVWIDLEMTGLNTEVDRILEIACIITDGYLTKSVEGPDLVIHQSKECLDRMGEWCQSHHAASGLTNKVLGSKITERDAEKQVIEFVKRHVGTHTPLIAGNSVYVDFLFLKKYMPDLAGLFSHVVVDVSSVMALCMRWYPQDRRKAPQKENKHRALDDIRESIRELKYYKENMFKPKSKK >Potri.002G230100.1.v4.1 pep chromosome:Pop_tri_v4:2:22088465:22088884:1 gene:Potri.002G230100.v4.1 transcript:Potri.002G230100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230100.v4.1 MSSTSFRERFLDSLNARNGGFNKNEHITQVNEKALEGHYELEEEENPQVLLYKNLWLEAEAALCSMKYKASVLGMKTEMAKIKMTIR >Potri.018G131200.1.v4.1 pep chromosome:Pop_tri_v4:18:14131571:14135885:-1 gene:Potri.018G131200.v4.1 transcript:Potri.018G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131200.v4.1 MEPVLLHGTLHVTIYEACRVFGSGCSKFCCKILENIDKTVGFGKGFCKLYAGIYLEETRVGRTRLLEHPHSDPQWFESFHIYCAHIASNVIFSVKEDNPIEAVVIGKAYLPVAELLDGKEVEKWLNILHKNHRPLHKGSKIHVKVQFFDATKRHYWSRGIGSPKFPGVPYTFFSQRSGCRVTLYQDAHVPDKFVPKIPLAGGKRHEQHRCWEDIFDAIFNAKHLIYITGWSVFTKITLVRDPTRQKPGGDMILGELLKKKANEGVRVLMLVWDDRTSVKLLKKDGLMATHDEDTGSYFHNTKVHCVLCPRNPDNGQSIIQGIEISTMFTHHQKTLVVDSELPGGELPKRRIVSFIGGIDLCDGRYDTPSHPIFRTLDTVHHNDFRQPNFTGASIHKGGPREPWHDVHCRLEGSIAWDVLVNFEQRWRKQGKEDLLLQPRELDCILTPPSPVMLPEDHETWNVQLFRSIDGGAAFGFPEAPEDAARVGLVCGKDNVIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFSWKSNDVKVEEVGALHLIPKELSLKIVSKIKAGERFSVYVVIPMWPEGIPESGSVQAILNWQKRTMEMMYSDIAEALQDKGVEANPKDYLTFFCLGKHEREMPGEYVPSERPEHNSDYSRAQKARRFMIYIHAKMMIVDDEYIITGSANINQRSMDGGRDTEIAMGAYQPYHLATNQPARGQIHGFRMSLWYEHLGQLDDTFCHPESLECVRKVNHIAEKNWHLYSSEVLDDDLPGHLLAYPIGVTSNGELTELQGTEFFPGTKARVFGSKSELLPSILTT >Potri.013G007800.5.v4.1 pep chromosome:Pop_tri_v4:13:501184:511810:-1 gene:Potri.013G007800.v4.1 transcript:Potri.013G007800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007800.v4.1 MKGQAMAMKLLSPPSSLSSPRFAKSLFFFTSNPKGSKPISSLVQLFQRRSKNLVFNKKMEVKACVKVEQKSETEVTGNEWGKVSAVLFDMDGVLCNSEEPSRMAGVDVFAEMGVEVTVDDFVPFMGTGEANFLGGVANVKGVKGFDTEMAKKRFFEIYLDKYAKPNSGIGFLGALELITQCKNKGLKVAVASSADRIKVDANLAAAGLPVSMFDAIVSADAFENLKPAPDIFLAASKILGVPTSECIVIEDALAGVQAAKAAQMRCIAVTTTLSEEILNDASPSLIRKEIGNISLDDILDGGSGGYNEKMQGPQVLHTSAQTSVAMLEERTENGSILNQVATNDNVSSIKGLQGSRRDIVRYGSLGIALSCLYFTITNWKAMQYASPKGIWNKLFGVDTPSFEQNESIGNLKSSRVKQFVKYISDLETKGNATIVPEFPGKLDWLNTSPLQFQRDLKGKVVLLDFWTYCCINCMHVLPDLEYLEKKYKDAPFTVVGVHSAKFDNEKDLEAIRNAVLRYNISHPVVNDGDMFLWRELGVSSWPTFAIVGPNGKLIAQLSGEGRRKDLDDLIEAVLLYYGERKILNSRPIPLSLEKNNDPRLLSSPLKFPGKLAIDVLNNRLFISDSNHNRIVVTDLDGNFIAQIGSSGEEGLRDGSFDDATFNRPQGLAYNSKKNILYVADTENHALREVDFVSEKVRTLAGNGTKGSDYQGGGKGTDQVLNSPWDVSFEPVNEKVYIAMAGQHQIWEHDISNGVTRAFSGDGYERNLNGSSPTSTSFAQPSGVSLSPDFELYVADSESSSIRVLSLRTKGTRLLAGGDPIFPDNLFKFGDHDGIGSEVLLQHPLGVLHAKDGLIYIADSYNHKIKKLDLATKRVTTIAGTGKAGFKDGKALTAQLSEPAGLIEAENGRLIIADTNNSVIRYLDLNKGEAELLTLELKGVQPPASKSKSLKRLRKRSSADTETIKVDGCSSSEGNMRIKISVPEEYHFSKEARSKFSVETEPENTVLIDPSEGYLSSGGTASIHFKRSDASPSMGRINCKVYYCKEDEVCLYQSLLFEVPFQEETPDSTPSEITLAYFVKPKSSPSNLQLPITG >Potri.007G076500.5.v4.1 pep chromosome:Pop_tri_v4:7:10071350:10078920:1 gene:Potri.007G076500.v4.1 transcript:Potri.007G076500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076500.v4.1 MNTGGRLIAGSHNRNEFVLINADENARIKSVQELSGQVCHICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRLKGSPRVEGDEEEDDIDDLEHEFDYGNFDGLSPEQVAEAMLSSRMNTGRASHSNISGIPTHGELDSSPLNSKIPLLTYGEEDTEISSDRHALIVPPSHGNRFHPISFPDPSIPSQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQNDKLQVVKHEGGHDNGNFEGDELDDPDLPMMDEGRQPLSRKLPIPSSKINPYRMIIILRLVVVGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKMDYLKNKVHPAFVRERRAMKREYEEFKVKINGLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGVRDVEGNELPRLVYVSREKRPGFEHHKKAGAMNALMRVTAVLSNAPYLLNVDCDHYINNSRALREAMCFLMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGYDAPVKKRPPGKTCNCWPKWCCLFCGSRKNKKSKQKKEKKKSKNREASKQIHALENIEEGIEESTSEKSSETSQMKLEKKFGQSPVFVASTLLENGGVPRDASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASIVFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGASAHLFALFQGLLKVLAGVSTNFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLIMNIVGVVVGVSDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLLWVRINPFVSKGGPVLELCGLNCD >Potri.007G076500.1.v4.1 pep chromosome:Pop_tri_v4:7:10071327:10078919:1 gene:Potri.007G076500.v4.1 transcript:Potri.007G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076500.v4.1 MNTGGRLIAGSHNRNEFVLINADENARIKSVQELSGQVCHICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRLKGSPRVEGDEEEDDIDDLEHEFDYGNFDGLSPEQVAEAMLSSRMNTGRASHSNISGIPTHGELDSSPLNSKIPLLTYGEEDTEISSDRHALIVPPSHGNRFHPISFPDPSIPLAQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQNDKLQVVKHEGGHDNGNFEGDELDDPDLPMMDEGRQPLSRKLPIPSSKINPYRMIIILRLVVVGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKMDYLKNKVHPAFVRERRAMKREYEEFKVKINGLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGVRDVEGNELPRLVYVSREKRPGFEHHKKAGAMNALMRVTAVLSNAPYLLNVDCDHYINNSRALREAMCFLMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGYDAPVKKRPPGKTCNCWPKWCCLFCGSRKNKKSKQKKEKKKSKNREASKQIHALENIEEGIEESTSEKSSETSQMKLEKKFGQSPVFVASTLLENGGVPRDASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASIVFMALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGASAHLFALFQGLLKVLAGVSTNFTVTSKAADDGEFSELYLFKWTSLLIPPTTLLIMNIVGVVVGVSDAINNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIILVWSILLASILTLLWVRINPFVSKGGPVLELCGLNCD >Potri.006G044600.2.v4.1 pep chromosome:Pop_tri_v4:6:3031836:3033407:1 gene:Potri.006G044600.v4.1 transcript:Potri.006G044600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044600.v4.1 MGGFDCGLKRMALVSGGRSTLNPDAPLFVPAAYRQVEDFSPEWWQLVTTTTWFRDYWLSQHQDENGFYDNAENDFGFDGNDVADLLPDTFDLDAGDYFTSLEAQFADFIEESFSPLPSDGMLENGFMLEVEAPKKDTGLKPSA >Potri.004G069600.1.v4.1 pep chromosome:Pop_tri_v4:4:5896288:5897952:-1 gene:Potri.004G069600.v4.1 transcript:Potri.004G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069600.v4.1 MEEAIVLYPSPPIGHLISMVELGKLLLTQKPSLSIHILITSVPYDSGSTAPYIANVAATIPSIKFHHLPTVTLPSTKTTHYEELTFEVLRLSNPHVREQLLSISKNHTIHGLVVDFFCCAALSVAKELNIPGYHFFTSGAGVLAVFLYFPTIHNTTTKSLKDLKSLLHIPGVPPIPSSDMPIPVLHRDDKAYKYFLDSSSSFPESAGIFVNTFASLEFRAVKTTSEGLCVPNNRTPPIYCIGPLIATEGPKDDAGTRNGTTLECLTWLDSQPVGSVVFLCFGSLGLFSKEQLREIAFGLERSGHRFLWVVRNPPSDKKSVALSAHPNIDLDSLLPEGFLDRTKDRGLVLKSWAPQVAVLNHPSVGGFVSHCGWNSVLEAVCAGVPLVAWPLYAEQRVNRIFLVEEMKLALPMNESDNGFVSSAEVEERVLGLMESEEGKLIRERTTAMKIAAKAALNEGGSSRVALSKLVESWKDK >Potri.003G032600.1.v4.1 pep chromosome:Pop_tri_v4:3:3654878:3657297:-1 gene:Potri.003G032600.v4.1 transcript:Potri.003G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032600.v4.1 MASSSFFLWLLLVFSAISSNVLTVYAFKGTYGVNYGKIADNLPSPSSVVTLLKAAKIKNTRIYDADHEVLKAFKGSGIEIVIGLGNEFLKEMSVGEDRAMDWIKENVQPFLPGTKIVGIAVGNEILGGGDHELWEVLLPSVKNVYGALGRLGLTKVVEVSSPHSEAVFTNSFPPSACVFKDDVLVYMKPLLQFFSKIGSPFYINAYPFLAYKSDPEHIDINYALFKSNQGILDSKTNLHYDNMFEAQVDAAYAALEKAGFPKMEVIVSETGWASRGDADEAGASLENARTYNRNLRKRLMKKKGTPYRPKFVARAYIFALFNENLKPGPTSERNFGLFKPDGSIAYDIGFTGLKDSSASSLIPFKGIGGSSCTLLLTTCITLLLLISAS >Potri.010G181800.2.v4.1 pep chromosome:Pop_tri_v4:10:18026308:18029394:-1 gene:Potri.010G181800.v4.1 transcript:Potri.010G181800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181800.v4.1 MNNKTTYSKSILLAGKFPPGMSPATGIRAPLLPERKEGEKRASVHGAVFNVSTSIIGAGIMSIPATLKVLGVIPALVLIMIIAWLVDISVEFLLRYTLSGESTTYAGVMREAFGRVGSVTVQICVMITNLGCLIVYLIIIGDVLSGNVHDGSMHLGVLQEWFGIHWWNSRAFALLFVVIFVVLPLVLFRRVESLRFSSAISVLLAVVFVGICSVMAIYALIEGKTKSPRLLPHLDNKTSFFDLFTAAPVIVTAFTFHFNVHPISFELGKPSDMVSAVKISLLLCAGIYFTIGISGYLLFGESIVADILVNFDQSSDTTIGALLNDTVRLSYAFHLMLAFPLLNFSLRANIDELLFPKKPLLATDSIRFVSLTLALLVFAYLAAIAIPNIWYLFQFMGSTSAVCLAFIFPGAIVLRDVHSISTTRDKIVAAVMIILAVATSTIALSTNIYSLVRNK >Potri.003G168900.1.v4.1 pep chromosome:Pop_tri_v4:3:17758460:17762004:1 gene:Potri.003G168900.v4.1 transcript:Potri.003G168900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168900.v4.1 MGTKTVGRQQHEKRKTKDEDDSLKAKDSLLVSGGEGLTLNSECKKKTKKKKSEGHEDSIEDSAVSSSLSEGNVNAAGKGEKKEKKTKKKSEKYELVSSSLSEGNVDLNNVADKKEKKKKRKLEHVNNEKESQVSKKKNKMSEVREALGETHMRIDDNLGLQKNVQVDFVSNSESEVRRDRKKNHKEKNREGKAITVSSVLNSVDDNRRGEVFEVQKGPRNEDIANMNNREGQGRKKEKKRKQNKYDDGSGDTEIVKSVADGKDAGREESIEVVQDKVESSKKEKKKKKKKLNVVLEAASMQIMAETTGNQNSVIEGDGGNRSSIKNKARDGKLKVNAEGGNKRKKKAKSLENRSKEKSSERVTEMEEDAESTRPSEKSLSKVTSKRVSFCEDVEIFPSSDGPSDKKAVGEDGFVRGKRFSLEEDEMVKEAVLNYINVHGLGADGLNMVLNCKKHPAIKHCWKEIGAALPWRPRESVYYRAHILFERDQNSSWTPEEYDLIRKFHEKHGSDWKTLAEALGKHRFHVKDTWRRIKLINMKKGKWSQDEYQSLFDSVNLDLRLKAFVERKTKHGMLRDNISWTAISEKLETRTDALCCQKWYDQLTSPMVAEGKWLDTDDYRLLMELYDLDACCMEDVDWDNLLEHRSGDLCRKRWNQMVKHLGDHRNKSFADQVDVLINRYCHDVLEAREAYNSKPAVP >Potri.010G190300.1.v4.1 pep chromosome:Pop_tri_v4:10:18612887:18618202:1 gene:Potri.010G190300.v4.1 transcript:Potri.010G190300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190300.v4.1 MNRSFRAQDSQMQMQAAVVKQRQQLRATMMKEKEEELALFLEMKKREKEQNNLLLINNTEEFDAPLGSKHGTLPIFNISSSTPVRKTGADDFLNSENDKNDYDWLLTPPGTPLFPSLEMESQKTIMSQIGTPKARPTALKSRLANSQQEPVARGNLVSKQSASSPGLNSSGAAMRRPSSSGGPGSRPSTPTGRPTVTTGPKPSRSSTPTSRATLSSSKPTISAAKLTVSAAKSTTSTMKSTVPARSSTPSRSTARSSTPTARPSIPPSKSTSRAATPTRRPSTPTRSPSLSAAPVKSSSSVTRSAPTVTKSAPTAARNPVMARGSSPTVKSRPWKPSEMPGFSLDAPPNLRTSAPERPLSATRGRPGAPSARSSSVEPTPNGRPRRQSCSPSRGRAPNGIMHPSGSSVPAFSRGHSKINDNVSPVIIGTKMVERVINMRKLAPPKQDGKHSPSGNLTGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGPARSRTVSVSDSPLATSSNASSEVSINNNGLCLDGIELEDDIGSERGGRSPLRGR >Potri.010G238300.2.v4.1 pep chromosome:Pop_tri_v4:10:21778226:21782914:-1 gene:Potri.010G238300.v4.1 transcript:Potri.010G238300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238300.v4.1 MAFANSLLSSSSSPSQIPHGSKDFNSTPLIISPHERSAFTFSPIRNKFSELGIGVIGSSRKKNILYASVNDLNASASSTSLDADLVPMPVVLIDQDSDSDATIVQLSFGDRLGALIDTMNALKHLGLDVAKGTVLTDGPVKQTKFFITRLDTGRKVEDPDMLERIRLTIINNLLKYHPESSERLAMGEAFGIKAPEKKLDVDITTHVHVKEDGPKRSLLCIETADRPGLLVEIIKIIADVNIDVESAEIDTEGLVAKDKFHVSYRGAALTSSLSQVLVNCLRYYLRRPETDIDSY >Potri.010G238300.1.v4.1 pep chromosome:Pop_tri_v4:10:21778221:21782914:-1 gene:Potri.010G238300.v4.1 transcript:Potri.010G238300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238300.v4.1 MAFANSLLSSSSSPSQIPHGSKDFNSTPLIISPHERSAFTFSPIRNKFSELGIGVIGSSRKKNILYASVNDLNASASSTSLKSEQDADLVPMPVVLIDQDSDSDATIVQLSFGDRLGALIDTMNALKHLGLDVAKGTVLTDGPVKQTKFFITRLDTGRKVEDPDMLERIRLTIINNLLKYHPESSERLAMGEAFGIKAPEKKLDVDITTHVHVKEDGPKRSLLCIETADRPGLLVEIIKIIADVNIDVESAEIDTEGLVAKDKFHVSYRGAALTSSLSQVLVNCLRYYLRRPETDIDSY >Potri.010G238300.7.v4.1 pep chromosome:Pop_tri_v4:10:21777765:21782914:-1 gene:Potri.010G238300.v4.1 transcript:Potri.010G238300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238300.v4.1 MPVVLIDQDSDSDATIVQLSFGDRLGALIDTMNALKHLGLDVAKGTVLTDGPVKQTKFFITRLDTGRKVEDPDMLERIRLTIINNLLKYHPESSERLAMGEAFGIKAPEKKLDVDITTHVHVKEDGPKRSLLCIETADRPGLLVEIIKIIADVNIDVESAEIDTEGLVAKDKFHVSYRGAALTSSLSQVLVNCLRYYLRRPETDIDSY >Potri.005G229000.1.v4.1 pep chromosome:Pop_tri_v4:5:22867466:22870484:1 gene:Potri.005G229000.v4.1 transcript:Potri.005G229000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229000.v4.1 MGTLGRAIYAVGFWVRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNIFDKAPVVDKDAFVAPGASVIGDVLVGRGSSIWYGCVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVLHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTRIPTGEVWGGNPAKFLRKLTDEEVAFIAQSATNYSNLAQVHAAENAKPFDEIEFEKVLRKKFAKKDEEYDSMLGVVRELPPELILPKNVLPDKEPKAK >Potri.017G071900.1.v4.1 pep chromosome:Pop_tri_v4:17:7829513:7833176:-1 gene:Potri.017G071900.v4.1 transcript:Potri.017G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071900.v4.1 MMSLTELDDDTVRSMSIGAVFSDFGGKINSVDFHRKDDLLVTASEDDSVRLYDIASAKLLKTTYHKKHGTDRICFTHHPSSVICSSVYHLDSTGESLRYLSLYDNRCLRYFKGHKDKVVSLCMSPINDSFMSSSLDHSIRIWDLRVNACQGILHLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTSNNIYVLDAYGGDKRCGFSLEPSPSTTIEATFTPDGQYVVSGSGDGTLHAWNINMQNEVSCWNSHIGIASCLKWAPRRAMFVAASTVLTFWIPDSSKPTVDPRPMDTEGAAPSEHVPQQ >Potri.007G020100.1.v4.1 pep chromosome:Pop_tri_v4:7:1533026:1535754:-1 gene:Potri.007G020100.v4.1 transcript:Potri.007G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020100.v4.1 MATLVEPPNGIRPRGKQYYSMWETVFEVDSKYVPIKPIGRGAYGVVCSSINRETNEKVAIKKINNVLENKIDALRTLRELKLLRHIRHENVIALKDVMMPIHRRSFKDVYLVYELMDTDLHQIIKSSQPLSNDHCKYFIFQLLRGLNYLHSANILHRDLKPGNLLVNANCDLKICDFGLARTSRGDEQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAEILGRRPIFPGTECLNQLKLIISVLGSQNDTELEFIDNPKARRYIKTLPYARRIHFSHLYPQADPLAIDLLLRMLVFDPTKRISVTEALLHPYMSGLHDPRHDPPARVPINLDIDENLGEHMIREMIWDEMLHYHPEVVFANR >Potri.014G105601.1.v4.1 pep chromosome:Pop_tri_v4:14:7081449:7093246:1 gene:Potri.014G105601.v4.1 transcript:Potri.014G105601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105601.v4.1 MTKKLTSTKLPMELDLDSFLNSHSTSDSDTDNTSVPHRTLDEILNDSDSSSPPSSPPSIKQSDLPPSYLQHAVSLDSSTQSQILQDQLKPTSLTRITNSPWRLPPPSSRQLPSLFGGVRSNAKPGAALAAAAAASRSVPTPHAAAIKSRRLSSGSGTFQTILDIAESGSSGGGDHEIVSNSSNGDSIERFQSQSEEKMGGLFQSATAENAIPNTEEDLKISRESEGEPVFQIEGEVRLGDDSGQDMLHNTGSTANSDANLNLDDENAACVSKDKFVEVSDSSEVDIINLNNVDSFKDEAVKGEGNNLEENMDEVKDDGVGVFTIDDGDDASSMSDISELVEERIEQLESEMISKRAEKKRKSSLKPLELAEELEKKMAYTGLHWEEGAAAQPMRLEGVRRGSTSLGYFDVDSHNVITQTVGSQTFRRDHGSPQVLAVHLNYIAVGMSKGVIVVVPSRYSSHNDDNMDAKMLMLGLQGDRSHAPVTSMCFNQQGDMLLAGYGDGHITVWDVQRASAAKVITGEHTAPVVHAFFLGQDSQVTRQFKAVTGDSKGLVLLHAFSVVPLLNRFSFKTQCLLDGQRTGTVLSASPLLLDESCGGALPATQGNSSASSTSISSMMGGVVGGDAGWKLFNEGSSLVEEGVVIFVTHQTALVVRLSPSLQVYAQLSRPDGVREGSMPYTAWKCTTQSHSSSPDNVPEHVAERVSLLAIAWDRKVQVAKLVKSELKVYGKWSLDSAAIGVAWLDDHMLVVLTLTGQLYLFAKDGTVIHQTSFAVDGSRGDDLAAYHTHLINIYGNPEKAYHNCIGVRGASVYILGPTHLIVSRLLPWKERIQVLRRAGDWMGALNMAMTLYDGQAHGVVDLPKSVDAVKEAIMPYLVELLMSYVDEVFSYISVAFCNQIGKAEQQDDSKTGSNSVHSEIKEQFTRVGGVAVEFCVHIQRTDILFDEIFSKFVFVQHRDTFLELLEPYILRDMLGSLPPEIMQALVEHYSSKGWLQRVEQCVLHMDISSLDFNQVVRLCREHGLYGALVYLFNKGLDDFRTPLEELLVVSRTSQQETAAALGYRMLVYLKYCFLGLAFPPGHGALPVTRLSSLRTELVQFLLESSDASNPQAVSKGTYLNLYHLLQLDTEATLDVLRCAFLDGENLKREFSMQDGADTSMEAKQENNIMAESQNLWIQNTINALVQITEKHISRADESAVDNVDTRFVDAWPSKKDLENLFEFIAYHVACRKAHVSKVVLSQILEYLTSESTVPPSVPAHIIETSKEREKQVLALLEVVPETDWNESYVLQLCEKAHFHQVCGLIHTIRHQYLAALDSYMKDVDEPIHTFAYINNMLEKLSDNDSGAFRSAVISRIPELLVLSREGTFFLVTDHFRVESPHILSELRSHPQSLFLYLKTVIEVHLSGTLDFSNLKKADDIDVADGRRVKDQSKGLTAYLERISDFPKFMRNNPVHVNDDMIELYFELLCQFERNSVLRFLGTFDSYRVEHCLRKCQEYGIIDAAAFLLERVGDAGSALLLTLSGLNDNFPELESAVESVVSDMSVSASSDHYSTVLKLKEVDNIRSILNACIGLCQRNTPRLQPEESEMLWFRLLDSFCVPLMDSYSDRRASKAKNYSGVLGEVLGSQEDDGAWVIKWKISRSCKGAHSLRKLFSMFIKEIVEGMIGYIRLPTIMSKLLSDNGSQEFGDFKITILGMLGTYGFERRILDTAKSLIEDDTFYTMSLLKKGASHGYAPRSTVCCICNCPLAKNSSFRIRVFSCGHATHLDCELENESSSRGHLSGCPVCMPKKNTQRGARNKSALPENGLVNKVSARPRRAHGTSILHPHEDLLENSYGLQQISRFEILSSLQKDKKLVQIESMPQLRLAPPAVYHEKVKKGPDLLTGESSSALAEVEKPGKRRQLREVKLKGSSLRFPLKSSIFGKEKTNKG >Potri.010G092400.2.v4.1 pep chromosome:Pop_tri_v4:10:11695489:11697934:-1 gene:Potri.010G092400.v4.1 transcript:Potri.010G092400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092400.v4.1 MLLKAKSNDAKEPIAIATDVKFEENCKKVVDQVVSEFGQIDILVNNAAEQYYVTAIEEITESRLERIFRTNIFSQFFMARHSLKYMKEGSCIINTASAKAYTGGSQFLDYSSTKGAIVTFTRGLRQLLISKGIRVNAVSPGPVWTPIQPASLPAEKVASLGSDVPMDRAAQPYEIAPSYVFLASDECSSYFNGQVLHPNGGLIVNA >Potri.011G124432.1.v4.1 pep chromosome:Pop_tri_v4:11:15497192:15499423:-1 gene:Potri.011G124432.v4.1 transcript:Potri.011G124432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124432.v4.1 MDFGIMLRRKIMVSPVSFVSLNLQRALQLLGLNHIYQELKGLALAYGNLSGIEIGNWVDSMIGGEIVIIDQGRAPEVSEAHPAKGKAFQTTELVGRAFERNVSEIWSWLMKDDVLSIGIYGIGGVGKTSLLRHINDQLLQRPSSFQNVFWITVTQDFSIYKLQNLIAKAVDLDLSNDEDEKKRAVKLSNGLIAKKKFVLILDDLWNHFSPEKVGVPVGVDGCKLILTSRSLRVCRQMCCQEKIKVEPLSEDEAWTLFMEKLGINVELPSEVIEIAKSVAKECTGLLLWIITMAGSMRQVDDIGQWRNALETLKESKIGKGDMEADIFKIIEFSYMNLNDSALQQAFLYCALFPVDSGISREDLVEYMIVEGIVAKRKSRQAESDKGHAMLNKLENACLIESCTREGYRCVRMNTLVRDMAIKIQKVNSQAMVESGG >Potri.005G100200.4.v4.1 pep chromosome:Pop_tri_v4:5:7233777:7238491:1 gene:Potri.005G100200.v4.1 transcript:Potri.005G100200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100200.v4.1 MGGGNGDVEGGSNDTDGGDDEVNVNIRCSNGSKFTVKISLSSTVDSFKQLIANQCDVPSDQQRLIYKGRILKDDQTLQSYGLEADHAVHLVRGFAPSAPVNATAATNTGGSNTAPTNTRAAGSTDDGELGGSGFGSLFPGLGLNGLGGSGGLLGAGLPEFEQVQQQLTQNPNIMRDIMNTPVVQNLMNNPEIMRNLLMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNAGGDSANPFAALLGTQTGNQARDGSTNLSTTSSETTTGSPAPNTNPLPNPWSSGGAQTNTTRSNPTSDTRPQAPTGLGGLGLPDLDNMFSAMPDAAMVSQLMQNPAISQMMQSFMSSPQYMNQILGMNPQLRSMLDSNPQLREMMQNPEFLRQLTSPETMQQLLTFQQSLMSQLGQRQSTLAQGQTGAGTGIEDITYNIPCLFFWLKLCLVITFDMFFFPFQLSLHDSNCKLSGRQALI >Potri.005G100200.5.v4.1 pep chromosome:Pop_tri_v4:5:7233847:7238485:1 gene:Potri.005G100200.v4.1 transcript:Potri.005G100200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100200.v4.1 MGGGNGDVEGGSNDTDGGDDEVNVNIRCSNGSKFTVKISLSSTVDSFKQLIANQCDVPSDQQRLIYKGRILKDDQTLQSYGLEADHAVHLVRGFAPSAPVNATAATNTGGSNTAPTNTRAAGSTDDGELGGSGFGSLFPGLGLNGLGGSGGLLGAGLPEFEQVQQQLTQNPNIMRDIMNTPVVQNLMNNPEIMRNLLMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNAGGDSANPFAALLGTQTGNQARDGSTNLSTTSSETTTGSPAPNTNPLPNPWSSGGAQTNTTRSNPTSDTRPQAPTGLGGLGLPDLDNMFSAMPDAAMVSQLMQNPAISQMMQSFMSSPQYMNQILGMNPQLRSMLDSNPQLREMMQNPEFLRQLTSPETMQQLLTFQQSLMSQLGQRQSTLAQGQTGAGTGIEDITYNIPCLFFWLKLCLVITFDMFFFPFQLSLHDSNCKLSGRQALI >Potri.005G100200.3.v4.1 pep chromosome:Pop_tri_v4:5:7233778:7238513:1 gene:Potri.005G100200.v4.1 transcript:Potri.005G100200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100200.v4.1 MGGGNGDVEGGSNDTDGGDDEVNVNIRCSNGSKFTVKISLSSTVDSFKQLIANQCDVPSDQQRLIYKGRILKDDQTLQSYGLEADHAVHLVRGFAPSAPVNATAATNTGGSNTAPTNTRAAGSTDDGELGGSGFGSLFPGLGLNGLGGSGGLLGAGLPEFEQVQQQLTQNPNIMRDIMNTPVVQNLMNNPEIMRNLLMNNPQMREIIDRNPELAHILNDPSTLRQTLEAARNPELMREMMRNTDRAMSNIESSPEGFNMLRRMYETVQEPFLNATTMAGNAGGDSANPFAALLGTQTGNQARDGSTNLSTTSSETTTGSPAPNTNPLPNPWSSGGAQTNTTRSNPTSDTRPQAPTGLGGLGLPDLDNMFSAMPDAAMVSQLMQNPAISQMMQSFMSSPQYMNQILGMNPQLRSMLDSNPQLREMMQNPEFLRQLTSPETMQQLLTFQQSLMSQLGQRQSTLAQGQTGAGTGTTNNAGLEMLMNMFGGLGAGSLAVPNRSNVPPEELYATQLSQLQEMGFFDTQENIRALIATAGNVHAAVERLLGSTGQ >Potri.004G122133.1.v4.1 pep chromosome:Pop_tri_v4:4:11664304:11666473:1 gene:Potri.004G122133.v4.1 transcript:Potri.004G122133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G122133.v4.1 MSGPVLDEDQTDLFLKKIMNVLNSRSKVGDIDAVDCLATFIRIQKSSFSPFLGNLLPCIQLMWEKDKIAKERRTGLRIFCDVAKQFPEEAFRQYNICLLFLFEACKDENPEVLEVAVQAIGIFAEFGGSAFKSLLKGAFYALKAVIDHPKALQIEYVMAHDAAVSALGKFLQFHREKLNAAQVCFRTVCCEKGLKLAKKLYNRVLQGWRHKDYLTIA >Potri.002G039900.4.v4.1 pep chromosome:Pop_tri_v4:2:2618753:2624165:-1 gene:Potri.002G039900.v4.1 transcript:Potri.002G039900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039900.v4.1 MMFSGQKFNALSIFKWKWRGESSLTTSLLDDVPPEIELSDYRRVPSPGSESPSGLLNGESLNVEPIADLDLFFERLYNYYCEKGLWCIIIKWIVELFSLGFTIGFSGFFLLYVDWNGLRNAKCGMNAVESGIKPCDLAKEALHPHPVTPLTLSKAIIVGYLGLFSICWIFCFLRFFAQLKDILGIRHFYYNSLHVTDNEIQTMPWATVLEKVVELQHSQQLCVVKDLTAHDVVMRLMRKENYLIGMLNKGVLAFPISSWIPGAGPTVRNGSNGMQHHLILTKPLEWTLNWCILQSMFDRNFCVRRDFIYNPNALKKRLMVVGLAMVVLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWTFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLDQEGAMSMVVQHTHYMPKKWRGKENTERVRMEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKHVDGILQFIADFTVDVEGVGHVCSFSTFNFQKHGNSNYGSPYNMLRSQRSCQGKMEKSFLSFQSSYPSWEPNIHGKQFLLNLRTFRDQKLQGQGVRHVYSPRRMWRGSPSYRGPGDRNIPFSREMPFNTPGFQLGSLWLLDIDQRNHPYLLDCYYTSRPHTSTDNTRDATAVPFEAAEQQHSRDYWMPSNLTQNEARYDEELWGHNYQDRSVSHLGASTSAPFFQESVLQHHDSSNLAHPTRSHWWARSGPRDAQPQASFLEPPGFHQASFLEPPGFHQASFLEPPDFNRYASENYHDNFSERSLEEQEQHLDWRNSNGLSRTTYLDDDIDAGRSVSLHFDDIYSRPPETPPAFRDPPTF >Potri.002G039900.5.v4.1 pep chromosome:Pop_tri_v4:2:2618752:2623337:-1 gene:Potri.002G039900.v4.1 transcript:Potri.002G039900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039900.v4.1 MRLMRKENYLIGMLNKGVLAFPISSWIPGAGPTVRNGSNGMQHHLILTKPLEWTLNWCILQSMFDRNFCVRRDFIYNPNALKKRLMVVGLAMVVLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWTFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLDQEGAMSMVVQHTHYMPKKWRGKENTERVRMEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKHVDGILQFIADFTVDVEGVGHVCSFSTFNFQKHGNSNYGSPYNMLRSQRSCQGKMEKSFLSFQSSYPSWEPNIHGKQFLLNLRTFRDQKLQGQGVRHVYSPRRMWRGSPSYRGPGDRNIPFSREMPFNTPGFQLGSLWLLDIDQRNHPYLLDCYYTSRPHTSTDNTRDATAVPFEAAEQQHSRDYWMPSNLTQNEARYDEELWGHNYQDRSVSHLGASTSAPFFQESVLQHHDSSNLAHPTRSHWWARSGPRDAQPQASFLEPPGFHQASFLEPPGFHQASFLEPPDFNRYASENYHDNFSERSLEEQEQHLDWRNSNGLSRTTYLDDDIDAGRSVSLHFDDIYSRPPETPPAFRDPPTF >Potri.002G039900.6.v4.1 pep chromosome:Pop_tri_v4:2:2620059:2625920:-1 gene:Potri.002G039900.v4.1 transcript:Potri.002G039900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039900.v4.1 MMFSGQKFNALSIFKWKWRGESSLTTSLLDDVPPEIELSDYRRVPSPGSESPSGLLNGESLNVEPIADLDLFFERLYNYYCEKGLWCIIIKWIVELFSLGFTIGFSGFFLLYVDWNGLRNAKCGMNAVESGIKPCDLAKEALHPHPVTPLTLSKAIIVGYLGLFSICWIFCFLRFFAQLKDILGIRHFYYNSLHVTDNEIQTMPWATVLEKVVELQHSQQLCVVKDLTAHDVVMRLMRKENYLIGMLNKGVLAFPISSWIPGAGPTVRNGSNGMQHHLILTKPLEWTLNWCILQSMFDRNFCVRRDFIYNPNALKKRLMVVGLAMVVLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWTFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLDQEGAMSMVVQHTHYMPKKWRGKENTERVRMEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKHVDGILQFIADFTVDVEGVGHVCSFSTFNFQKHGNSNYGSPYNMLRSQRSCQGKMEKSFLRYYCRIVPVDSFRFNLSS >Potri.002G039900.3.v4.1 pep chromosome:Pop_tri_v4:2:2618876:2625758:-1 gene:Potri.002G039900.v4.1 transcript:Potri.002G039900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039900.v4.1 MMFSGQKFNALSIFKWKWRGESSLTTSLLDDVPPEIELSDYRRVPSPGSESPSGLLNGESLNVEPIADLDLFFERLYNYYCEKGLWCIIIKWIVELFSLGFTIGFSGFFLLYVDWNGLRNAKCGMNAVESGIKPCDLAKEALHPHPVTPLTLSKAIIVGYLGLFSICWIFCFLRFFAQLKDILGIRHFYYNSLHVTDNEIQTMPWATVLEKVVELQHSQQLCVVKDLTAHDVVMRLMRKENYLIGMLNKGVLAFPISSWIPGAGPTVRNGSNGMQHHLILTKPLEWTLNWCILQSMFDRNFCVRRDFIYNPNALKKRLMVVGLAMVVLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWTFREFNEVDHLFKHRINSSVMHASEYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAVTDELLVLDQEGAMSMVVQHTHYMPKKWRGKENTERVRMEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKHVDGILQFIADFTVDVEGVGHVCSFSTFNFQKHGNSNYGSPYNMLRSQRSCQGKMEKSFLSFQSSYPSWEPNIHGKQFLLNLRTFRDQKLQGQGVRHVYSPRRMWRGSPSYRGPGDRNIPFSREMPFNTPGFQLGSLWLLDIDQRNHPYLLDCYYTSRPHTSTDNTRDATAVPFEAAEQQHSRDYWMPSNLTQNEARYDEELWGHNYQDRSVSHLGASTSAPFFQESVLQHHDSSNLAHPTRSHWWARSGPRDAQPQASFLEPPGFHQASFLEPPGFHQASFLEPPDFNRYASENYHDNFSERSLEEQEQHLDWRNSNGLSRTTYLDDDIDAGRSVSLHFDDIYSRPPETPPAFRDPPTF >Potri.005G069200.3.v4.1 pep chromosome:Pop_tri_v4:5:4484934:4490562:-1 gene:Potri.005G069200.v4.1 transcript:Potri.005G069200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069200.v4.1 MQNFTTKIVNMMKSEGLYASQGGPIILSQIENEYQNVEAAFRDKGPPYVIWAAKMAVELQTGVPWVMCKQTDAPDPVINTCNGMRCGETFGGPNSPTKPSLWTENWTSFYQVYGGEPYIRSAEDIAFHVTLFIAKNGSYINYYMFHGGTNFGRTASAYVITSYYDQAPLDEYGLIRQPKWGHLKELHAAIKSCSSTILEGVQSNFSLGQLQQAYIFEEEGAGCAAFLVNNDQKNNATVEFRNITFELLPKSISVLPDCENIIFNTAKVNAKGNEITRTSSQLFDDADRWEAYTDVIPNFADTNLKSDTLLEHMNTTKDKSDYLWYTFSFLPNSSCTEPILHVESLAHVASAFVNNKYAGSAHGSKDAKGPFTMEAPIVLNDQMNTISILSTMVGLQDSGAFLERRYAGLTRVEIRCAQQEIYNFTNNYEWGYQAGLSGESLNIYMREHLDNIEWSEVVSATDQPLSWFKIEFDAPTGNDPVVLNLSTMGKGEAWVNGQSIGRYWLSFLTSKGQPSQTLYHIPRAFLNSSGNLLVLLEESGGDPLHISLDTVSRTGLQEHASRYHPPQ >Potri.005G069200.1.v4.1 pep chromosome:Pop_tri_v4:5:4484938:4492511:-1 gene:Potri.005G069200.v4.1 transcript:Potri.005G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069200.v4.1 MGWWLSWVVLTVAVIRDIVVRGGDVTYDGRSLIIDGQRKILFSGSIHYPRSTPEMWPSLVAKAREGGVDVIQTYVFWNLHEPRPGEYDFSGRNDLVRFIKEIQAQGLYVCLRIGPFIESEWTYGGFPFWLHDVPDIVYRSDNEPFKFYMQNFTTKIVNMMKSEGLYASQGGPIILSQIENEYQNVEAAFRDKGPPYVIWAAKMAVELQTGVPWVMCKQTDAPDPVINTCNGMRCGETFGGPNSPTKPSLWTENWTSFYQVYGGEPYIRSAEDIAFHVTLFIAKNGSYINYYMFHGGTNFGRTASAYVITSYYDQAPLDEYGLIRQPKWGHLKELHAAIKSCSSTILEGVQSNFSLGQLQQAYIFEEEGAGCAAFLVNNDQKNNATVEFRNITFELLPKSISVLPDCENIIFNTAKVNAKGNEITRTSSQLFDDADRWEAYTDVIPNFADTNLKSDTLLEHMNTTKDKSDYLWYTFSFLPNSSCTEPILHVESLAHVASAFVNNKYAGSAHGSKDAKGPFTMEAPIVLNDQMNTISILSTMVGLQDSGAFLERRYAGLTRVEIRCAQQEIYNFTNNYEWGYQAGLSGESLNIYMREHLDNIEWSEVVSATDQPLSWFKIEFDAPTGNDPVVLNLSTMGKGEAWVNGQSIGRYWLSFLTSKGQPSQTLYHIPRAFLNSSGNLLVLLEESGGDPLHISLDTVSRTGLQEHASRYHPPQ >Potri.003G111300.1.v4.1 pep chromosome:Pop_tri_v4:3:13356444:13357576:1 gene:Potri.003G111300.v4.1 transcript:Potri.003G111300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111300.v4.1 MGSKFAAMLFIFMIFMAISLPPIYACTPCTQPHPPSYPHPPTRPIVPHPKPPTTKHPPHHGGHSPSKKPPLPPVVLPPIIINPPPVITPPVIAPPITNPPVITPPPSSSYPPYPPGSGGPPFGGGGGGGGGGGGGGGGGGGGGGSIPGVNPPPTTQPTCPINALKLGACVDVLGGLVHVGLGNPVENVCCPVLKGLLELEAAICLCTSIRLKLLNLTIFIPLALQVLITCGQTPPPGFVCPPL >Potri.001G234900.6.v4.1 pep chromosome:Pop_tri_v4:1:25352463:25355395:1 gene:Potri.001G234900.v4.1 transcript:Potri.001G234900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234900.v4.1 MAASLTSPQHVSFLIKNGSGIVSVGMKEEDLERLKLPLMSPETENEDSSAPTFTITVDAKSGTCTGVSASDRAKTVLALSSPETKPEDFRRPGHVFPLKYRNGGVLRRAGHTEASVDLVMLAGLPPVSVLSAIIDPEDGSIASLADIRKLAMEHNIPIVSITDLIRYRRKREYLVERSAISRLPTKWGLFEAYCYRSKLEGTEHVAIVKGNIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQLIEQAGRGIVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFTGLKGYGLAVIGRVPVFTPITEENKRYLETKRTKMGHVYGSDIRGPLAGFINPTESSTGSPEEE >Potri.001G234900.1.v4.1 pep chromosome:Pop_tri_v4:1:25352459:25355395:1 gene:Potri.001G234900.v4.1 transcript:Potri.001G234900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234900.v4.1 MDCASLYTDLLFPHMVTSSSFRRLLETPRGIGIGADLFKHRLLSPTCWAIGGGSASDESFLKRSENGSLLGAFDESASAPFLTLDAEITPETIDFFVSDAEGDPDCPTEGYSSIEQALNTLREGKFVIVVDDENGDIEGNLIMAASLTSPQHVSFLIKNGSGIVSVGMKEEDLERLKLPLMSPETENEDSSAPTFTITVDAKSGTCTGVSASDRAKTVLALSSPETKPEDFRRPGHVFPLKYRNGGVLRRAGHTEASVDLVMLAGLPPVSVLSAIIDPEDGSIASLADIRKLAMEHNIPIVSITDLIRYRRKREYLVERSAISRLPTKWGLFEAYCYRSKLEGTEHVAIVKGNIGDGQDVLVRVHSECLTGDIFGSARCDCGNQLDLAMQLIEQAGRGIVVYLRGHEGRGIGLGHKLRAYNLQDQGHDTVQANIELGLAVDAREYGIGAQILRDIGVRTMRLMTNNPAKFTGLKGYGLAVIGRVPVFTPITEENKRYLETKRTKMGHVYGSDIRGPLAGFINPTESSTGSPEEE >Potri.002G135300.1.v4.1 pep chromosome:Pop_tri_v4:2:10173662:10182827:-1 gene:Potri.002G135300.v4.1 transcript:Potri.002G135300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135300.v4.1 MPNFSNQIRASSSLIKRLKQRMTNPAALMQATRSFTTLEGHRPTIVHKRSLDILHDPWFNKGTAFSMTERDRLDIRGLLPPNVMSSEQQIQRFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYFKVLIANIEEYAPIVYTPTVGLACQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLDGDEYIAVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNAYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNESAFESAGRQFWVVDAKGLITEERENIDLEALPFARKVEEASRQGLREGASLAEVVREVKPDVLLGLSAVGGLFSKEVLEALKGSTSTRPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFKDVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAECLAAYMTEEEVLKGIIYPSTSRIRDITKEVAAAVVKEAIEEDLAEGYREMDARELRKLSQEEIEEYVKNNMWSPDYPTLVYKKD >Potri.002G135300.2.v4.1 pep chromosome:Pop_tri_v4:2:10173551:10182732:-1 gene:Potri.002G135300.v4.1 transcript:Potri.002G135300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135300.v4.1 MPNFSNQIRASSSLIKRLKQRMTNPAALMQATRSFTTLEGHRPTIVHKRSLDILHDPWFNKGTAFSMTERDRLDIRGLLPPNVMSSEQQIQRFMVDLKRLEVQARDGPSDPNALAKWRILNRLHDRNETMYFKVLIANIEEYAPIVYTPTVGLACQNYSGLFRRPRGMYFSAEDRGEMMSMVYNWPAEQVDMIVVTDGSRILGLGDLGVQGIGIAIGKLDLYVAAAGINPQRVLPVMIDVGTNNEKLLKDPLYLGLQEHRLDGDEYIAVIDEFMEAVFTRWPHVIVQFEDFQSKWAFKLLQRYRNAYRMFNDDVQGTAGVAIAGLLGAVRAQGRPMIDFPKQKIVVAGAGSAGIGVLNAARKTMARMLGNNESAFESAGRQFWVVDAKGLITEERENIDLEALPFARKVEEASRQGLREGASLAEVVREVKPDVLLGLSAVGGLFSKEVLEALKGSTSTRPAIFAMSNPTKNAECTPEEAFSIVGDNIIFASGSPFKDVDLGNGHIGHCNQGNNMYLFPGIGLGTLLSGSRIISDGMLQAAAECLAAYMTEEEVLKGIIYPSTSRIRDITKEVAAAVVKEAIEEDLAEGYREMDARELRKLSQEEIEEYVKNNMWSPDYPTLVYKKD >Potri.012G033300.1.v4.1 pep chromosome:Pop_tri_v4:12:763429:765883:-1 gene:Potri.012G033300.v4.1 transcript:Potri.012G033300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033300.v4.1 MEYDFRNRTSSPYDTQSPMYRSSTPLTTAPPPTHPMYGPSLYPRVSQPAHPAIPPVSRHHSFPQPSSSSPSSGLGIRVMIKPEYRITPPPQLTPQIGEIPRSSVQFDFELERQIIAEAEKGSVNWSRLLGLENLPSKPLESTPSTGPTADPVERKYIASGLSRDAVPLAVANYGDNPTKVQEFVNGYTLLREMGFSSSSVAEALLTYDNDADKALAHFLGSS >Potri.009G119500.3.v4.1 pep chromosome:Pop_tri_v4:9:10025626:10031202:-1 gene:Potri.009G119500.v4.1 transcript:Potri.009G119500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119500.v4.1 MSGPLDRFARPCFEGFYSSDERRERKSDFENSEDERRTRIGSLKKKALNASTKFKHSLKKKSRRKGDGRVSSVSIEDVRDVEELQAVDRFRQTLVMDELLPERHDDYHMMLRFLKARKFDNEKAKHMWADMLQWRNEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPVYIERLGKVEPNKLMNVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDTSELPEFLGGTCTCADQGGCLLSDKGPWKNPEILKMVLNGEPRRGRQVVKVLNSEGKVIAYAKPQYPMFKGSDTSTAESGSEAEDVTSPKALKSYSHLRLTPVREEAKVVGKVGYGVSFSGYDEYVPMVDKVVDAEWKKQASIQRPSVSKATTPQPNTGKTPEGVHVRICVAVVAFFMTLLTLFRSLKSQVTKRLPHTLSDCDQSSPEPALDAPQKEECLPSSPTSAFTEADLLSSVLKRLGELEEKVDTLQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFRRKKKFCW >Potri.009G119500.1.v4.1 pep chromosome:Pop_tri_v4:9:10025660:10031366:-1 gene:Potri.009G119500.v4.1 transcript:Potri.009G119500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119500.v4.1 MSGPLDRFARPCFEGFYSSDERRERKSDFENSEDERRTRIGSLKKKALNASTKFKHSLKKKSRRKGDGRVSSVSIEDVRDVEELQAVDRFRQTLVMDELLPERHDDYHMMLRFLKARKFDNEKAKHMWADMLQWRNEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPVYIERLGKVEPNKLMNVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDTSELPEFLGGTCTCADQGGCLLSDKGPWKNPEILKMVLNGEPRRGRQVVKVLNSEGKVIAYAKPQYPMFKGSDTSTAESGSEAEDVTSPKALKSYSHLRLTPVREEAKVVGKVGYGVSFSGYDEYVPMVDKVVDAEWKKQASIQRPSVSKATTPQPNTGKTPEGVHVRICVAVVAFFMTLLTLFRSLKSQVTKRLPHTLSDCDQSSPEPALDAPQKEECLPSSPTSAFTEADLLSSVLKRLGELEEKVDTLQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFRRKKKFCW >Potri.003G208100.3.v4.1 pep chromosome:Pop_tri_v4:3:20711991:20714130:1 gene:Potri.003G208100.v4.1 transcript:Potri.003G208100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208100.v4.1 MESGIDRLIQSVKEELQISYAFSDRCCIYKVPERLRELNEKAYTPRLVSIGPIHHCNDKLKAMEDHKRMYLQEFIARTKVSVEGFIELIKEKETRLRNCYEETNWFSSENFIKMILMDAVFVIMFLLKYNCRKSTEFRGSRDSIFYPPYKWFDVRVDICLLENQLPFFILEELCGLSTILGNSPKPTLIELTHWFFSNEWGSWAVGEYWGKIDFSEVKHLVDFLTIYHRPTEQQQHEELEVLTAPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEFPRLQLEDGT >Potri.004G092100.2.v4.1 pep chromosome:Pop_tri_v4:4:7812345:7816737:1 gene:Potri.004G092100.v4.1 transcript:Potri.004G092100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092100.v4.1 MEVGLAYLTASQSTSSPLCFSSRNSFINKNHLLLPVTIDTSTTISVCSKRRKPICVKASKSSFDANTARQTLASNWDVEKFSSFANSAPTLPKFEELDTTNMLLRQRIIFLGSQVDDVTADFIISQLLFLDAEDPKKDIKLFINSPGGSVTAGLGIYDAMKLCKADVSTICLGLAASMGAFLLSAGSKGKRFCMPNGRVMIHQPLGTAGGKASEMSIRIREMSYHKIKLNKILSRITGKPLEQVEVDTDRDNFMNAWEAKEYGLVDEVIDDGKPGLVAPLTDASPPPKTRVWDLWKIEGSKKAKNNLPSEHKMLQNGYVGGGDGDRGVDREKETPSPV >Potri.005G011600.5.v4.1 pep chromosome:Pop_tri_v4:5:957188:964774:-1 gene:Potri.005G011600.v4.1 transcript:Potri.005G011600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011600.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWPRIECDNTTRRVIRLSLFEARDQSLGWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSLNRFNNDKGILSCFNGLSALKSLDLSANQLTGSGLKVLSSRLKRLENLDLSGNQCNDSIFSSITGFSSIKSLDLSFNEVTGSGLKVLSSRLKRLENLDLSDNQCNDSIFSSLSGFSSLKSLDLSYNEVTGSGLKVLSSRLKRLENLDLSYNQCNDSIFSSLTGFSSLKYLNLSRNQLTGSSTGINSFQVLASGLRNLEELHLSDNKFNDSILSSLSGFSTLKSLHLSNNKFTGTIGLKGLRNLEELYLGFNKFNNSILSSLSGFSTLKSLDLSGNMFTGSTGLYGLRNLETLNLEYTDFKESILIESLGALPSLKTLYASYSKFKHFGKGLSNSSSLEEVFLYYSYLPASFLRNIGHLSTLKVLSLAGVDFSSTLPAEGWCELKNLEHLFLSRNNLKGVLPPCLGNLSSLRSLDLSDNQLEGNIALSHLSHLPQLEYLSVSYNHFQVPKSFGSFMNLSNLKFFACDNNELIPAPSFQPLVPKFQLLFFSASNCTSKPHEAGFPNFLQSQYDLVVVDLSHNKFVGEPFPSWLFENNTKLNRLYLRDTSFIGPLQLPQHPTPNLQTVDMSGNSIHGQIARNICSIFPRLKNFMMANNSLTGCIPPCFGNMSSLGYLDLSNNHMSCELLEHNFPTVGSSLWFLKLSNNNFKGRLPLSVFNMTGLLYLFLDGNKLAGQVSDTFSLASSFLWFDISNNILSGMLPRGIGNSSLNSLQGIDLSRNHFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFNALDLRYVHLYGNRLSGPLPFDFYNLSSLATLDLGDNNLTGPIPNWIDTLSELSIFVLKSNQFNGKLPHQLCKLRKLSILDLSENNFSGLLPSCLRNLNFTASDEKTLDAPRTGSDYGSGEEIFASIGGRGFSLYDNILWAEISVKISVELTAKKNFYTYEGDILRYMSVMDLSCNRFNGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFFNLKQIESLDLSHNNLNGRIPAQLVELTFLEVFNVSYNNLSGRTPEMKNQFATFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDFNGDGGFIDMDSFYASFGVCYIIVVLTIAAVLCINPHWRRRFRR >Potri.005G011600.4.v4.1 pep chromosome:Pop_tri_v4:5:957188:964774:-1 gene:Potri.005G011600.v4.1 transcript:Potri.005G011600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011600.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWPRIECDNTTRRVIRLSLFEARDQSLGWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSLNRFNNDKGILSCFNGLSALKSLDLSANQLTGSGLKVLSSRLKRLENLDLSGNQCNDSIFSSITGFSSIKSLDLSFNEVTGSGLKVLSSRLKRLENLDLSDNQCNDSIFSSLSGFSSLKSLDLSYNEVTGSGLKVLSSRLKRLENLDLSYNQCNDSIFSSLTGFSSLKYLNLSRNQLTGSSTGINSLRNLEELYLGFNKFNNSILSSLSGFSTLKSLDLSGNMFTGSTGLYGLRNLETLNLEYTDFKESILIESLGALPSLKTLYASYSKFKHFGKGLSNSSSLEEVFLYYSYLPASFLRNIGHLSTLKVLSLAGVDFSSTLPAEGWCELKNLEHLFLSRNNLKGVLPPCLGNLSSLRSLDLSDNQLEGNIALSHLSHLPQLEYLSVSYNHFQVPKSFGSFMNLSNLKFFACDNNELIPAPSFQPLVPKFQLLFFSASNCTSKPHEAGFPNFLQSQYDLVVVDLSHNKFVGEPFPSWLFENNTKLNRLYLRDTSFIGPLQLPQHPTPNLQTVDMSGNSIHGQIARNICSIFPRLKNFMMANNSLTGCIPPCFGNMSSLGYLDLSNNHMSCELLEHNFPTVGSSLWFLKLSNNNFKGRLPLSVFNMTGLLYLFLDGNKLAGQVSDTFSLASSFLWFDISNNILSGMLPRGIGNSSLNSLQGIDLSRNHFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFNALDLRYVHLYGNRLSGPLPFDFYNLSSLATLDLGDNNLTGPIPNWIDTLSELSIFVLKSNQFNGKLPHQLCKLRKLSILDLSENNFSGLLPSCLRNLNFTASDEKTLDAPRTGSDYGSGEEIFASIGGRGFSLYDNILWAEISVKISVELTAKKNFYTYEGDILRYMSVMDLSCNRFNGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFFNLKQIESLDLSHNNLNGRIPAQLVELTFLEVFNVSYNNLSGRTPEMKNQFATFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDFNGDGGFIDMDSFYASFGVCYIIVVLTIAAVLCINPHWRRRWFYFIEECIDTCCCFLAINFPKLSRFRR >Potri.005G011600.2.v4.1 pep chromosome:Pop_tri_v4:5:957188:964774:-1 gene:Potri.005G011600.v4.1 transcript:Potri.005G011600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011600.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWPRIECDNTTRRVIRLSLFEARDQSLGWVLNASLFLPFKELQSLDLGYNGLVGCSENQGFEVLSSKLRKLEVLDLSLNRFNNDKGILSCFNGLSALKSLDLSANQLTGSGLKVLSSRLKRLENLDLSGNQCNDSIFSSITGFSSIKSLDLSFNEVTGSGLKVLSSRLKRLENLDLSDNQCNDSIFSSLSGFSSLKSLDLSYNEVTGSGLKVLSSRLKRLENLDLSYNQCNDSIFSSLTGFSSLKYLNLSRNQLTGSSTGINSFQVLASGLRNLEELHLSDNKFNDSILSSLSGFSTLKSLHLSNNKFTGTIGLKGLRNLEELYLGFNKFNNSILSSLSGFSTLKSLDLSGNMFTGSTGLYGLRNLETLNLEYTDFKESILIESLGALPSLKTLYASYSKFKHFGKGLSNSSSLEEVFLYYSYLPASFLRNIGHLSTLKVLSLAGVDFSSTLPAEGWCELKNLEHLFLSRNNLKGVLPPCLGNLSSLRSLDLSDNQLEGNIALSHLSHLPQLEYLSVSYNHFQVPKSFGSFMNLSNLKFFACDNNELIPAPSFQPLVPKFQLLFFSASNCTSKPHEAGFPNFLQSQYDLVVVDLSHNKFVGEPFPSWLFENNTKLNRLYLRDTSFIGPLQLPQHPTPNLQTVDMSGNSIHGQIARNICSIFPRLKNFMMANNSLTGCIPPCFGNMSSLGYLDLSNNHMSCELLEHNFPTVGSSLWFLKLSNNNFKGRLPLSVFNMTGLLYLFLDGNKLAGQVSDTFSLASSFLWFDISNNILSGMLPRGIGNSSLNSLQGIDLSRNHFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFNALDLRYVHLYGNRLSGPLPFDFYNLSSLATLDLGDNNLTGPIPNWIDTLSELSIFVLKSNQFNGKLPHQLCKLRKLSILDLSENNFSGLLPSCLRNLNFTASDEKTLDAPRTGSDYGSGEEIFASIGGRGFSLYDNILWAEISVKISVELTAKKNFYTYEGDILRYMSVMDLSCNRFNGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFFNLKQIESLDLSHNNLNGRIPAQLVELTFLEVFNVSYNNLSGRTPEMKNQFATFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDFNGDGGFIDMDSFYASFGVCYIIVVLTIAAVLCINPHWRRRWFYFIEECIDTCCCFLAINFPKLSRFRR >Potri.005G011600.6.v4.1 pep chromosome:Pop_tri_v4:5:957196:964749:-1 gene:Potri.005G011600.v4.1 transcript:Potri.005G011600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011600.v4.1 MLQWAFRSQSLDLSFNEVTGSGLKVLSSRLKRLENLDLSDNQCNDSIFSSLSGFSSLKSLDLSYNEVTGSGLKVLSSRLKRLENLDLSYNQCNDSIFSSLTGFSSLKYLNLSRNQLTGSSTGINSFQVLASGLRNLEELHLSDNKFNDSILSSLSGFSTLKSLHLSNNKFTGTIGLKGLRNLEELYLGFNKFNNSILSSLSGFSTLKSLDLSGNMFTGSTGLYGLRNLETLNLEYTDFKESILIESLGALPSLKTLYASYSKFKHFGKGLSNSSSLEEVFLYYSYLPASFLRNIGHLSTLKVLSLAGVDFSSTLPAEGWCELKNLEHLFLSRNNLKGVLPPCLGNLSSLRSLDLSDNQLEGNIALSHLSHLPQLEYLSVSYNHFQVPKSFGSFMNLSNLKFFACDNNELIPAPSFQPLVPKFQLLFFSASNCTSKPHEAGFPNFLQSQYDLVVVDLSHNKFVGEPFPSWLFENNTKLNRLYLRDTSFIGPLQLPQHPTPNLQTVDMSGNSIHGQIARNICSIFPRLKNFMMANNSLTGCIPPCFGNMSSLGYLDLSNNHMSCELLEHNFPTVGSSLWFLKLSNNNFKGRLPLSVFNMTGLLYLFLDGNKLAGQVSDTFSLASSFLWFDISNNILSGMLPRGIGNSSLNSLQGIDLSRNHFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFNALDLRYVHLYGNRLSGPLPFDFYNLSSLATLDLGDNNLTGPIPNWIDTLSELSIFVLKSNQFNGKLPHQLCKLRKLSILDLSENNFSGLLPSCLRNLNFTASDEKTLDAPRTGSDYGSGEEIFASIGGRGFSLYDNILWAEISVKISVELTAKKNFYTYEGDILRYMSVMDLSCNRFNGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFFNLKQIESLDLSHNNLNGRIPAQLVELTFLEVFNVSYNNLSGRTPEMKNQFATFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDFNGDGGFIDMDSFYASFGVCYIIVVLTIAAVLCINPHWRRRWFYFIEECIDTCCCFLAINFPKLSRFRR >Potri.003G217200.1.v4.1 pep chromosome:Pop_tri_v4:3:21260405:21261628:1 gene:Potri.003G217200.v4.1 transcript:Potri.003G217200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217200.v4.1 MANSHLGLTLFSLVLFFSLTRPTLSQDPSPTVFEILPKFGLPSGLLPKTVKSYSLSDDGSFTVYLEKECYVEFDYLVYYEKKITGKLSYGSISNLKGIQVQRFFLWLGVDNIRVDLPPSDSIYFQVGWINKKLDVDQFKTVHSCRAGVSSGSCGGGLWKQFLELPAPNNDVQMLLTE >Potri.010G136500.1.v4.1 pep chromosome:Pop_tri_v4:10:15133101:15138051:-1 gene:Potri.010G136500.v4.1 transcript:Potri.010G136500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136500.v4.1 MYLEGIRSGSSGSMSLSKDSTAMASVAESLLTSISEIIESVTCIEQEKESFAEIGCYLYRVFPVIMELQTTEHTPKNAMVILQSLSRSITEAKDLVNKCQRGTNSNFDSELKSTISLLERVIEDMGECLSSIPSSTFQDQEYAEVAVQALSNEMRSAHFEVGQSQALQTKELDPHKSFSEEEPNEEPVMVESDLYPVSLEVSTDNSRFLNTPHFIEIQKPTSFNRQRKRSSSSSSTSLLKMTEYIEPMYETFFCPLTKQIMDDPVTIQSGETYDRKAITKWLEESENSQEIFCPITGKKLLSRVLRTNVALKTTIEEWKERNEVARIKCSRSALVLSASPSMVLEAIRDLQEICKRKQHNKIQVHNAGILPLLFKLLEYRDRDVIYEALELLRELTKEDDVSKMVISEMVDISTVIKMMSIGHRPIRHAALLLLLEISRSQSLWEKIGSVPGGILMLIRIKYNLSVDAFSSETADEILRNLERSPENIKMMAENGFLEPLLKHLTEGTEEMQTEMAGYLGEIALGHDSKTYVAERASPALIKMVHSGNTMTRTAAFKALAQISSYHPNAKILAKSGIIQIMVEEMLTRRINGEPINSKGEAAAILANIFEAGIDLENLQVNYHGLASDYVLYNIIDMIKHSTPVELNINLIRVLLCLTKSPKSMGTIVSMVKEIEASNTLVELLNNPHAELGIVAIKLLMALIPYMGHSIAERLCRTAGQPENLILGQNETGRITQKQAVSATFLAKLPHQSLTLNLALLSKNTVPAILQQINQIQRTGIRTSRYAIPYLEGLVGILVRFTTTLYEPRILFLARNYNFTSVLTEMLMKTSSDEVQRLAAVGLENLSLESISLSKPPVIKKTKFLKLFYPPKFLFSGSSKKRKLPVCPVHRGACSSQNTFCLVDAKAVERLLACLDHENVEVVEAALSAICTLLDDKVDVDKSVGMLCEVNATQHVLNVVKEHKGEGLRKKSFWLIDRFLLKGGKRPASDISQDRLLPATLVSAFHHGDIDTRQMAEKILRHLNKMPDFPTSHYTM >Potri.007G043850.1.v4.1 pep chromosome:Pop_tri_v4:7:3763231:3763353:-1 gene:Potri.007G043850.v4.1 transcript:Potri.007G043850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043850.v4.1 MEADRLKLFNNLVSSKFFLSGFYCWDWEFLTALLLFSCSV >Potri.017G014200.1.v4.1 pep chromosome:Pop_tri_v4:17:991158:993652:1 gene:Potri.017G014200.v4.1 transcript:Potri.017G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014200.v4.1 MEEHSAKWIIRGNKLLDETAATSIRGYLSMLYDHLDKDDQRPVVPLSHGDPSAFACFRTSPEAVDAIVHAVQSAEFNSYAPTIGILPARRAVAEYLSADLPYNLSADDIYLTVGCTQSIEVILSALARPGANILLPRPGYPLYESRASFSKLEVRHFDLIPEKGWEVDLESVEALADENTAAIVIISPGNPCGNVFSYQHLKKVAETARKLGIFVIADEVYGHIAFGSNPYVPMGEFGSIVPVLSLGSISKRWIVPGWRLGWIATCDPNGILKKYGIVDSIKSYFNISSNPATFVQAAIPQIFEKTKEDFFSKTINIMREAADICYEKTKEIPCVTCPHKPDGSMFAMVKLNLSLLEDISDDMDFCLKLAREESVIILPGVAVGLKNWLRITFSIEPQSLEQGLDRMKAFCQRHSRK >Potri.014G121000.1.v4.1 pep chromosome:Pop_tri_v4:14:8124688:8132076:1 gene:Potri.014G121000.v4.1 transcript:Potri.014G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121000.v4.1 MKPVRFEEERNLAKDFLSNFADANGESKYMNILQDVANHKFRAVQIDLEDLINYKDLDEEFLRRVTENTRRYVGIFADAIDEVLPKPTEAFPDDDHDILMTQRSEDATENVEGSDANQKMPSEIKRYFEVYIRAPSKGRPSTIREVKASNIGQLVKISGIVTRCSDVKPLMQVAVYTCEECGFEIYQEVTARVFMPLFECPTKRCKTNNTKGNLILQLRASKFLKFQEAKMQELAEHVPKGHIPRSMTVHFRGELTRKVAPGDVVELSGIFLPIPYTGFRAMRAGLVADTFLEAMSVTHFKKKYEEYELRGDEEKQIASLAEDGDIYNKLAQSLAPEIYGHEDIKKALLLLLVGAPHRKLKDGMKIRGDLHLCLMGDPGVAKSQLLKHIINVAPRGVYTTGKGSSGVGLTAAVQKDPVTNEMVLEGGALVLADMGICAIDEFDKMDESDRTAIHEVMEQQTVSIAKAGITTSLNARTAVLAAANPAWGRYDLRRTPAENINLPPALLSRFDLLWLILDRADMDSDLEMARHVVYVHQNKESPALGFTPLEPSILRAYISTARRLSPYVPKELEEYIATAYSGMRQEEAKSNTPHSYTTVRTLLSILRISAALARLRFSESVAQSDVDEALRLMQMSKFSLYSDDRQRSGLDAISDIYSILRDEAARANKMDVSYAHALNWISRKGYSEAQLKECLEEYASLNVWQIHPHTFDIRFIDA >Potri.019G094200.3.v4.1 pep chromosome:Pop_tri_v4:19:13122501:13126671:-1 gene:Potri.019G094200.v4.1 transcript:Potri.019G094200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G094200.v4.1 MAIKKAYKIDRVNWQGDPCLPLTTWSGLQCNNDNPPRIISLNLSSSQLSGNIDVSLLSLTAIQSLDLSNNELTGTVPEAFAQLPNLTSIYLSGNKLTGAVPHGLKEKSNNGQLQLSLEGNLDLCKMDTCEKKKFSVSVIASVISVSMLLLLSIITIFWRLKGGRLNVSLSFSVGLSRKELSLKSKNQPFTYTEIVSITNNFQTIIGEGGFGKVYLGNLKDGRQVAVKLLSQSSRQGYKEFLAEVQLLMIVHHRNLVSLIGYCNEHANMALVYEYMANGNLKEQLLENSTNMLKWRERLQIAVDTAQGLEYLHNGCKPPIVHRDLKSSNILLTKNLHAKIADFGLSKAFATEGDSHVITVPAGTPGYIDPEFRASGNLNKKSDVYSFGILLCELITGQPPLIRGHQGHTHILQWVSPLIEIGDIQSIIDPRLQGEFNTNCAWKALEIALSCVPPTSTQRPDMSDILGELKECLAMEMSSEMSMRGSVEMSLVLGTDMAPNLR >Potri.004G074900.1.v4.1 pep chromosome:Pop_tri_v4:4:6238069:6242522:1 gene:Potri.004G074900.v4.1 transcript:Potri.004G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074900.v4.1 MAFEKIKVANPIVEMDGDEMTRIFWQSIKDKLIFPFVELDIKYFDLGLPHRDATDDKVTVESAEAALKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQDEKTELEVYNFTGAGGVALAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNARLLDFTEKLEAACIGAVESGKMTKDLALLIHGSKVSRDHYLNTEEFIDAVAEELKARLSIKA >Potri.004G074900.2.v4.1 pep chromosome:Pop_tri_v4:4:6238069:6242522:1 gene:Potri.004G074900.v4.1 transcript:Potri.004G074900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074900.v4.1 MAFEKIKVANPIVEMDGDEMTRIFWQSIKDKLIFPFVELDIKYFDLGLPHRDATDDKVTVESAEAALKYNVAIKCATITPDEARVKEFNLKQMWKSPNGTIRNILNGTVFREPIICKNIPRLVPGWTKPICIGRHAFGDQYRATDAVIKGAGKLKLVFVPEGQDEKTELEVYNFTGAGGVALAMYNTDESIRAFAEASMNTAYQKKWPLYLSTKNTILKKYDGRFKDIFQEVYEANWKSKYEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDDNARLLDFTEKLEAACIGAVESGKMTKDLALLIHGSKVSRDHYLNTEEFIDAVAEELKARLSIKA >Potri.001G462650.1.v4.1 pep chromosome:Pop_tri_v4:1:48863110:48864541:-1 gene:Potri.001G462650.v4.1 transcript:Potri.001G462650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462650.v4.1 MGSTQNLDSDTDESTSKNVHSQRTESISGFVMQRIIRSGFSSIFLSPDNTTSRPTLSLDFLPCSVKIEASTNQGLLLCTHFPPTYRNIPKVYVCKPTTKQWKQIPNPKTRYRNKAIGMIVLSSRPLHYKIVRFSQPKFRTDRDSYRFNNLRCEVFDSKIHAWKQLKEVILYESFIGFNPSVSACGSLHWLTFGCKIFAFHVKEEIYSMISLPEPVRKNYHQKIMMLGEFEGNLALICKEEGERFMELWIIENYDRKIWKKKQIVNFEALTKELPYIILTGVCNANVALRDGIDKLTFFNLKDGRTKPLRLEMGFDVVETFSFESDFEPYVDESVLEDSRGNQEQEN >Potri.007G119700.3.v4.1 pep chromosome:Pop_tri_v4:7:13781564:13787265:-1 gene:Potri.007G119700.v4.1 transcript:Potri.007G119700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119700.v4.1 MLSIIFENSKLYYNNYLLFKLKLLTQFSIYKYCMIYIVFLIPSRFSNFLDLEIISASPMEFSVFALSPSSLVLPSHFPKTTILSRSHISARNKLFQSSRLPSLKNASIFKILSMSDEERRSANYHPSVWELQLIESLSTPYSYELHANRLEELKQEAKRALVSTNEPRAKLKLIDSIQRLGVAYHFEREIEEAIKLTELDVNGDLHTTSLHFRLLRQHAFSVSTDVLGKFRSNRDGKFKDSIRTDVAGLLSLYEASYLGVPGEDHVLEEAKNFSSKHLKSLLETIKDEFLAKQVKQSLEVPRHWKMPRIEARDFIDIYSSDNTRNLDLLELAKLDYNLVQSQHQRELKELARWWGALGFKEKLSFSRDRLMENYLWAMGMVFEPQFSKCRIGLTKFVCILTTIDDIYDVYGLPEELELFTKLVNRWDSMAIDDLPDYMKICYLALFNFVNEMAYDVMRDHGLFVLPYLVEEWANLCGSYLVEARWFSNKYSPTLSEYLENARTSIGSPAALAHACMLLGSPVAQSSLMDCFKHGNDQLIYWSSLITRLSDDLGTYTAESERGDVTKSIQCYMIEKGASEKESKEHIKGLINQAWKELNKENSKCSLPKPLVNMSLNMARTAQCIFQFGDGIGTSTGVTRDRLTSLIVEPIPVKEP >Potri.007G119700.4.v4.1 pep chromosome:Pop_tri_v4:7:13781565:13787479:-1 gene:Potri.007G119700.v4.1 transcript:Potri.007G119700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119700.v4.1 MLSIIFENSKLYYNNYLLFKLKLLTQFSIYKYCMIYIVFLIPSRFSNFLDLEIISASPMEFSVFALSPSSLVLPSHFPKTTILSRSHISARNKLFQSSRLPSLKNASIFKILSMSDEERRSANYHPSVWELQLIESLSTPYSYELHANRLEELKQEAKRALVSTNEPRAKLKLIDSIQRLGVAYHFEREIEEAIKLTELDVNGDLHTTSLHFRLLRQHAFSVSTDVLGKFRSNRDGKFKDSIRTDVAGLLSLYEASYLGVPGEDHVLEEAKNFSSKHLKSLLETIKDEFLAKQVKQSLEVPRHWKMPRIEARDFIDIYSSDNTRNLDLLELAKLDYNLVQSQHQRELKELARIGLTKFVCILTTIDDIYDVYGLPEELELFTKLVNRWDSMAIDDLPDYMKICYLALFNFVNEMAYDVMRDHGLFVLPYLVEEWANLCGSYLVEARWFSNKYSPTLSEYLENARTSIGSPAALAHACMLLGSPVAQSSLMDCFKHGNDQLIYWSSLITRLSDDLGTYTAESERGDVTKSIQCYMIEKGASEKESKEHIKGLINQAWKELNKENSKCSLPKPLVNMSLNMARTAQCIFQFGDGIGTSTGVTRDRLTSLIVEPIPVKEP >Potri.018G146600.1.v4.1 pep chromosome:Pop_tri_v4:18:15029385:15030044:1 gene:Potri.018G146600.v4.1 transcript:Potri.018G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146600.v4.1 MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMSVRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGDVEISE >Potri.012G080200.1.v4.1 pep chromosome:Pop_tri_v4:12:10484677:10488676:-1 gene:Potri.012G080200.v4.1 transcript:Potri.012G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080200.v4.1 MEIVRSHWLPLNGHCLSHKLVSVPYKVTLRNLEKTTLISCSLQNNATSIRLAENPSEKSIYSRGFLTTKSVMDVSKVNRMIKECTEDGFFEDAIRVYLDFIECGFPVEEFRFFPCLIKAFGGLYDVNKGKQIHGHLLKFGFLQDIFVKNSLLGMYWKCGAGGNAVDMFERMEERDSVSWNTMISGFCQSGDYVKSLVMFRRMVKECGGSYHNRVACLAALSSCASIKCLTHGLEIHGFLVKKGVDSDEFLVSALIEMYMKCGDIKNAENVFERIRDNELVGRNMVVWNVMILGYVSNECLSLALELFVEMLELGISPDSSTVVVVLVLCSQLLDLAVGKQIHGLILGLGLDDDVRVGTALMEMYFKCGDPETSLQIFKRSQNHNLVMWGSVMLNCAQNGYPNEALEFFSEFMLDCGFPDPVILLAALRACSFLSLKPRGMAIHGFAIKMGFDSDVFVGGALVDFYGKCGDMEYAQQVFYGLSTRDLVSWNALISGFAQNKCADEALKAFRDMQSKQIKPNTVTMACILSVCTHLSVMILCKEVHCYLLRHWFETNALVNNSLISAYAKCGDIHSSRTVFEKLPVRNEVTWNSILLGFGMHGRTDEMFATFEKMKEANIKPDHGTFTSLLSSCSHSGKVDAGWKYFNSMMEDYNLEPRVEQYTCMVDLLGRAGNLNQAYDLIMSMPCSPDDRIWGSLLASCKNHGNTKLAEVVANHIFELDASSVGYRVLLANLYEDSGNLNEVFRVRTDIKQMGLKKQPGCSWIEVDNSIHIFVAGDYSHDRSGDIYATIESLSLEMKRVGYVPHIQAASNKYWA >Potri.006G167800.8.v4.1 pep chromosome:Pop_tri_v4:6:16782726:16788165:1 gene:Potri.006G167800.v4.1 transcript:Potri.006G167800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167800.v4.1 MDLPFEGGALDPSKCSKLSMNEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKLVSEKKSGECEASPDLETKISAASIQGASKRQRKTDNPSRVPVSLSCVATNNGISDQGNTVYCKNSACRATLRPADTFCKRCSCCICRQYDDNKDPSLWLICSSEPPFQGVACSMSCHLDCALKQESSGIGKNGRHGRLDGSFCCFSCGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLLEIVGEAATKLEAEVGPLIGLPVKMGRGIVNRLSSGSEVQKLCTFALESLDKMLSNTISHPLPDPKMQDSNMIAPITVNFEDVHSTSLALVLGYEDSSADDIVGYTLWHRKGHDLDYPAEPTCRLFLPNTRYVVAGLSPATEYHFKVVPFNGVRELGTCEVQCSTGMTQEEVLNYSIVERSQSPNTNCSSLSNPSSVEDETNNNPPCNDQIVNRADNYRTCLKDSDKIVSANKSNGALNFSGTLADAIPLLDEEHATQVVSSKLNSDMQMLDKKRLTEGQIIELITDNGSDAPVQTAMECMPFVSNSEASLPITPCKLEMHKDGQGRNGRFKSSDKDIVNGSGKGEEPQDGSTSKKRSGERRDEECMANGNSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATEQEVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.006G167800.9.v4.1 pep chromosome:Pop_tri_v4:6:16782720:16787922:1 gene:Potri.006G167800.v4.1 transcript:Potri.006G167800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167800.v4.1 MDLPFEGGALDPSKCSKLSMNEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKLVSEKKSGECEASPDLETKISAASIQGASKRQRKTDNPSRVPVSLSCVATNNGISDQGNTVYCKNSACRATLRPADTFCKRCSCCICRQYDDNKDPSLWLICSSEPPFQGVACSMSCHLDCALKQESSGIGKNGRHGRLDGSFCCFSCGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLLEIVGEAATKLEAEVGPLIGLPVKMGRGIVNRLSSGSEVQKLCTFALESLDKMLSNTISHPLPDPKMQDSNMIAPITVNFEDVHSTSLALVLGYEDSSADDIVGYTLWHRKGHDLDYPAEPTCRLFLPNTRYVVAGLSPATEYHFKVVPFNGVRELGTCEVQCSTGMTQEEVLNYSIVERSQSPNTNCSSLSNPSSVEDETNNNPPCNDQIVNRADNYRTCLKDSDKIVSANKSNGALNFSGTLADAIPLLDEEHATQVVSSKLNSDMQMLDKKRLTEGQIIELITDNGSDAPVQTAMECMPFVSNSEASLPITPCKLEMHKDGQGRNGRFKSSDKDIVNGSGKGEEPQDGSTSKKRSGERRDEECMANGNSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATEQEVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.006G167800.6.v4.1 pep chromosome:Pop_tri_v4:6:16782576:16788293:1 gene:Potri.006G167800.v4.1 transcript:Potri.006G167800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167800.v4.1 MDLPFEGGALDPSKCSKLSMNEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKLVSEKKSGECEASPDLETKISAASIQGASKRQRKTDNPSRVPVSLSCVATNNGISDQGNTVYCKNSACRATLRPADTFCKRCSCCICRQYDDNKDPSLWLICSSEPPFQGVACSMSCHLDCALKQESSGIGKNGRHGRLDGSFCCFSCGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLLEIVGEAATKLEAEVGPLIGLPVKMGRGIVNRLSSGSEVQKLCTFALESLDKMLSNTISHPLPDPKMQDSNMIAPITVNFEDVHSTSLALVLGYEDSSADDIVGYTLWHRKGHDLDYPAEPTCRLFLPNTRYVVAGLSPATEYHFKVVPFNGVRELGTCEVQCSTGMTQEEVLNYSIVERSQSPNTNCSSLSNPSSVEDETNNNPPCNDQIVNRADNYRTCLKDSDKIVSANKSNGALNFSGTLADAIPLLDEEHATQVVSSKLNSDMQMLDKKRLTEGQIIELITDNGSDAPVQTAMECMPFVSNSEASLPITPCKLEMHKDGQGRNGRFKSSDKDIVNGSGKGEEPQDGSTSKKRSGERRDEECMANGNSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATEQEVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.006G167800.7.v4.1 pep chromosome:Pop_tri_v4:6:16782716:16788375:1 gene:Potri.006G167800.v4.1 transcript:Potri.006G167800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167800.v4.1 MDLPFEGGALDPSKCSKLSMNEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKLVSEKKSGECEASPDLETKISAASIQGASKRQRKTDNPSRVPVSLSCVATNNGISDQGNTVYCKNSACRATLRPADTFCKRCSCCICRQYDDNKDPSLWLICSSEPPFQGVACSMSCHLDCALKQESSGIGKNGRHGRLDGSFCCFSCGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLLEIVGEAATKLEAEVGPLIGLPVKMGRGIVNRLSSGSEVQKLCTFALESLDKMLSNTISHPLPDPKMQDSNMIAPITVNFEDVHSTSLALVLGYEDSSADDIVGYTLWHRKGHDLDYPAEPTCRLFLPNTRYVVAGLSPATEYHFKVVPFNGVRELGTCEVQCSTGMTQEEVLNYSIVERSQSPNTNCSSLSNPSSVEDETNNNPPCNDQIVNRADNYRTCLKDSDKIVSANKSNGALNFSGTLADAIPLLDEEHATQVVSSKLNSDMQMLDKKRLTEGQIIELITDNGSDAPVQTAMECMPFVSNSEASLPITPCKLEMHKDGQGRNGRFKSSDKDIVNGSGKGEEPQDGSTSKKRSGERRDEECMANGNSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATEQEVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.006G167800.10.v4.1 pep chromosome:Pop_tri_v4:6:16782797:16787858:1 gene:Potri.006G167800.v4.1 transcript:Potri.006G167800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167800.v4.1 MDLPFEGGALDPSKCSKLSMNEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKLVSEKKSGECEASPDLETKISAASIQGASKRQRKTDNPSRVPVSLSCVATNNGISDQGNTVYCKNSACRATLRPADTFCKRCSCCICRQYDDNKDPSLWLICSSEPPFQGVACSMSCHLDCALKQESSGIGKNGRHGRLDGSFCCFSCGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLLEIVGEAATKLEAEVGPLIGLPVKMGRGIVNRLSSGSEVQKLCTFALESLDKMLSNTISHPLPDPKMQDSNMIAPITVNFEDVHSTSLALVLGYEDSSADDIVGYTLWHRKGHDLDYPAEPTCRLFLPNTRYVVAGLSPATEYHFKVVPFNGVRELGTCEVQCSTGMTQEEVLNYSIVERSQSPNTNCSSLSNPSSVEDETNNNPPCNDQIVNRADNYRTCLKDSDKIVSANKSNGALNFSGTLADAIPLLDEEHATQVVSSKLNSDMQMLDKKRLTEGQIIELITDNGSDAPVQTAMECMPFVSNSEASLPITPCKLEMHKDGQGRNGRFKSSDKDIVNGSGKGEEPQDGSTSKKRSGERRDEECMANGNSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATEQEVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.011G042100.1.v4.1 pep chromosome:Pop_tri_v4:11:3267355:3270554:-1 gene:Potri.011G042100.v4.1 transcript:Potri.011G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042100.v4.1 MPAAGIAVGDNKREYPGNLTPFVTVTCVVAAMGGLIFGYDIGISGGVTSMPSFLRKFFPSVYRKQQDSITNKYCQYDSQTLTMFTSSLYLAALLASLVASIVTRKYGRKLSMLFGGLLFCAGAIINGFAQAVWMLILGRILLGFGIGFANQSVPLYLSEMAPYKYRGALNIGFQLSITAGILIANVLNYFFVKIHGGWGWRLSLGGAMVPALIITVGSLVLPDTPNSMIERGQHDEAREKLKRVRGVDDVDEEFNDLVAASEASKKVEHSWKNLLQRKYRPHVAMAVMIPFFQQLTGINVIMFYAPVLFNTIGFGNDAALMSAVITGIVNVVATMVSIYGVDKWGRRFLFLEGGCQMLICQIAVAACIGAKFGIDGNPGELPKWYAIVVVLFFCVYVAGFSWSWGPLGWLVPSEIFPLEIRSAAQSVTVSVNMLFTFIIAQVFLTMLCHLKFGIFLFFAFFVVLMSIFIYYFLPETKGIPIEEMGQVWTTHWFWSRFVTDEDYPKGGGYEMTKGGQGPKSV >Potri.003G192700.1.v4.1 pep chromosome:Pop_tri_v4:3:19584169:19585543:-1 gene:Potri.003G192700.v4.1 transcript:Potri.003G192700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192700.v4.1 MSIADPPAPAVAGSGLGYGIAIAVSILVLISTIMLASYACIKVKGNGFGRNSSSDSGVSDGYGPHRHFTTRDSIELMPVVVVGLDEPIIESYPKMVLGDSRRLPKPNEGPCSICLSDYLPKDTIRCIPYCNHCFHADCIDGWLKMNATCPLCRNSPAPSKDSTPVATPLAEVVPLAFHAR >Potri.006G196950.1.v4.1 pep chromosome:Pop_tri_v4:6:20486732:20488698:1 gene:Potri.006G196950.v4.1 transcript:Potri.006G196950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196950.v4.1 MLPLWIYPCHMLNRQTRRKGGSNRSLFAHFGLWSTFSHGTVGSCCGTTVPPISITSNLISSHPMLTRAKAGILRVATPQSWCLQLHWPSFDISCLC >Potri.004G107000.6.v4.1 pep chromosome:Pop_tri_v4:4:9409671:9413557:1 gene:Potri.004G107000.v4.1 transcript:Potri.004G107000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G107000.v4.1 MYEAQRFVDLQQNSSNFGDPKSWLSEDSNSNSSPTHHPNHSQLASSAGGNVDRVLFNDLVEMVPLVQSLIDRKVSTSFTRRGSVIYTKTPSRESLSKKMIDPRGRNTCQSIPTKKKMDHGDKDQGKTANDNQDADSFAILSSSRAVPTGKDAEELIALREQVEDLQRKLLEKDELLKSAEVSKNQMNAVHAEFDEVKLQVAEKDSLIKSTQLQLSNAKIKLADKQAALEKLQWEAMTSNQKVETLQQELDSIQGGISSFMLVFENLTKNNSIPYAEDYDIKPCYLDQLPDIDDLDDREMQKMEEAREAYIAAVASAKEKQDEKSIAAAASARLHLQSFVFRSNNMKDGKVSPRQIH >Potri.011G130300.3.v4.1 pep chromosome:Pop_tri_v4:11:16407550:16411133:1 gene:Potri.011G130300.v4.1 transcript:Potri.011G130300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130300.v4.1 MAMRATTAALAAAAAAAPRGGFLRLFSTTSTSSSSFPFPQTTQQTPAREQAEPNTNLFVSGLSKRTTSEGLQEAFSKFGEVVQARVVTDRVSGYSKGFGFVKYATLEDAAEGIKGMDGQFLDGWVIFAEYARPRQPPSEPQNNTGMGLWKQRY >Potri.011G130300.4.v4.1 pep chromosome:Pop_tri_v4:11:16407593:16409501:1 gene:Potri.011G130300.v4.1 transcript:Potri.011G130300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130300.v4.1 MAMRATTAALAAAAAAAPRGGFLRLFSTTSTSSSSFPFPQTTQQTPAREQAEPNTNLFVSGLSKRTTSEGLQEAFSKFGEVVQARVVTDRVSGYSKGFGFVKYATLEDAAEGIKGMDGQVSFTWLLTFVL >Potri.005G064951.1.v4.1 pep chromosome:Pop_tri_v4:5:4197035:4201244:-1 gene:Potri.005G064951.v4.1 transcript:Potri.005G064951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064951.v4.1 MEGFQEFEPIFNEPRIGWAKNSNPGSGLMDQFLMHIFAPDDNHLKIQVTDYHSNTFEAVKSVMQLDDMRDCIGIGGSWAEFVEYLVASFKAEDVKLVLEKLSDSDGVAYAKLVAQKSKGMPLISISLTKLLDNAARDAMANMSFGLFKAFKRTKNLVLQEKEHSLQLTKVISAEKERSENIQSQLGKRQKLEKMNTSDRLDVSGPPASNGAQNSPDKLAGRDPASTKVTNRVVPAFRRAKVRGALLQDIEDDKDN >Potri.007G103200.1.v4.1 pep chromosome:Pop_tri_v4:7:12660900:12663170:1 gene:Potri.007G103200.v4.1 transcript:Potri.007G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G103200.v4.1 MAGLFDKQADLYLDGRPTYPAQWYSMLADHTLHHSLAWDVGTGNGQAALGVAEHYEQVIGTDVSEAQLKRSMTHPRVRYFHTPLSMSDDEIISLIGGEDSVDLVTVAQAVHWFDLPKFYSLVARLLRKPGGLLAVWCYNDAVVSPAFDSAFKRFHDSTLPFWHPNAFLAIEGYKRLPFPFESIGLGSEEKPLELDIPKEMSFEGFLKMISSWSAVVTAKDQGVELLSQTVVKELETVWGGSKLVRSVIYKAFMLAGKVRL >Potri.005G186800.1.v4.1 pep chromosome:Pop_tri_v4:5:19433724:19435121:1 gene:Potri.005G186800.v4.1 transcript:Potri.005G186800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186800.v4.1 MAMKNCFQVSSVSTTTRAGVCHPFAPVEKLQLPTCKGPNTSNLSLSSPSSSFPRSLRSRCQKSRVVCKAREAVDAVQVATDASWDTVIGSDTPVLVEFWAPWCGPCKMIAPVVEELAKEYAGKIACYKVNTDDCPNIATKYGIRSIPTVLFFKKGEKKESVIGAVPKTTLSNSIDKYIDA >Potri.005G205700.1.v4.1 pep chromosome:Pop_tri_v4:5:21058488:21063150:-1 gene:Potri.005G205700.v4.1 transcript:Potri.005G205700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205700.v4.1 MGCFFTCLRTKEDRSNRSRPHAISSDSLRSEPALEPGVSKNRLSSLFLSEEKEESLRGDVENPCLGSPHINKGLRDEAKFLKACGTLTETPIEIRKACEKFNGSPTLDKDSEPSKFHSWLPSTSIKKLQLDNQTDQPLTPVKLCEEWGKGSVSSEQTPSSSCITNVHNSEDSESGSRERVIKVQANENDDVVAGPPWLSASIVQSRNKSVRFECDFDTSSSKGSSSENSCQVPGKYESPGNLSVSKPSPKPTPLKLLDDMQTPGTVFPANLETSDNGKTRFRSQHVYSVLNPVESASQWKLLKEDDFNSLRLSGEPRESLEQSESATPKPERGVKESSSGKDLKVEASLSSWFKPSQSTLGEDNPNTGTASSKNFRFGRTPGDRPIIGMVAAHWNENEPSQISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESVISQRKPISGRPIVFDECEESDTALSRLQTSTQAKSVVSF >Potri.004G227800.2.v4.1 pep chromosome:Pop_tri_v4:4:23113122:23117996:1 gene:Potri.004G227800.v4.1 transcript:Potri.004G227800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227800.v4.1 MSLHLLLLRRSSSTLTTTNHPLLRALHHLILSPLHHIPNPNPPISTTPLLPSSRTFSFSSAEEAAAERRRRRRRLRIEPPLQAMQRNPNPPPRDPNAPRLPDSTSALTGNRLNLHNRVQSLIRAFDLDTASHVARNSVYSRTRPTVFTCNAIIAAMYRAKRYDDAIALFKFFFEQHHIVPNVVSYNNLINAHCDEGRVDVGLEVYRRIIEIAPFSPSSVTYRHLTKGLIDAERMEDAVALLREMLVKGHGADSLVYNNVIKGFLELGNLEKADEFFNELKERCLVYDGVINATYMDWWFKQGKDKEAMESYKSWQDRNFKVVPATCNTILEVLVKYGKKEAAWALFEHMLDNHTPPTHQGVNSDTFNTMVNECFREGEFEEAINTFKKAGTKPGSKPFQMDVAGYNNIIARFCENGMMKQAEEFLAALSAKCLTPDVTTFRTLIDTYLKMEEIDDVLRMFNKMADAGLRVVASFGTRVFGELIKNGKAVESAEILTKMGNKDPKPDSSIYDVVVRGLCNAGALDMGKDIVDQMMKYGVGVPPVLKDFVLEVFENAGRGSEVKSVLDQSKWINNSRPAYPRQPQSQHEPTQMASGWPLGPSPLMGKSISGEPQISRSQSFGVHPMSRQTQLGSPQMTGQLPLGSHNRQQPSGFHNMDQQQPSKPYQEQQSSWSSQAGGQHPPWSPQTAGQQLSCSSQTGGQQPSSCSSQTGQQLSQSSQTGQQSGPQLSHSSQTGQHPPWSSRAGGQQPSWSSQSGGQQPSWSSQSGGQQPSWSPQTRGQQPSWSSQTRGQQQSWSSDRGGHQPSWSSETGGQQALGSSNMEHQPPGTSHMIKCHPNESPQMSGQATFQSSQNGGQYSYGSPSVVRNHPVGSSPDAPFQSSQNGGQYSYGSPSVVRNHPVGSSPNAPFQSSQNGGQYSYGSPSVVRNHPVGSSPNAPFQSSQNGGQYSYGSPSVVRNHPVGSLPNGGQYSNGSPSVVRHHPVGSSQMAGQQQGEDNQQQPNGPSDMAEQHSSGALEWQHSHQQAAA >Potri.004G227800.6.v4.1 pep chromosome:Pop_tri_v4:4:23113101:23118001:1 gene:Potri.004G227800.v4.1 transcript:Potri.004G227800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227800.v4.1 MSLHLLLLRRSSSTLTTTNHPLLRALHHLILSPLHHIPNPNPPISTTPLLPSSRTFSFSSAEEAAAERRRRRRRLRIEPPLQAMQRNPNPPPRDPNAPRLPDSTSALTGNRLNLHNRVQSLIRAFDLDTASHVARNSVYSRTRPTVFTCNAIIAAMYRAKRYDDAIALFKFFFEQHHIVPNVVSYNNLINAHCDEGRVDVGLEVYRRIIEIAPFSPSSVTYRHLTKGLIDAERMEDAVALLREMLVKGHGADSLVYNNVIKGFLELGNLEKADEFFNELKERCLVYDGVINATYMDWWFKQGKDKEAMESYKSWQDRNFKVVPATCNTILEVLVKYGKKEAAWALFEHMLDNHTPPTHQGVNSDTFNTMVNECFREGEFEEAINTFKKAGTKPGSKPFQMDVAGYNNIIARFCENGMMKQAEEFLAALSAKCLTPDVTTFRTLIDTYLKMEEIDDVLRMFNKMADAGLRVVASFGTRVFGELIKNGKAVESAEILTKMGNKDPKPDSSIYDVVVRGLCNAGALDMGKDIVDQMMKYGVGVPPVLKDFVLEVFENAGRGSEVKSVLDQSKWINNSRPAYPRQPQSQHEPTQMASGWPLGPSPLMGKSISGEPQISRSQSFGVHPMSRQTQLGSPQMTGQLPLGSHNRQQPSGFHNMDQQQPSKPYQEQQSSWSSQAGGQHPPWSPQTAGQQLSCSSQTGGQQPSSCSSQTGQQLSQSSQTGQQSGPQLSHSSQTGQHPPWSSRAGGQQPSWSSQSGGQQPSWSSQSGGQQPSWSPQTRGQQPSWSSQTRGQQQSWSSDRGGHQPSWSSETGGQQALGSSNMEHQPPGTSHMIKCHPNESPQMSGQATFQSSQNGGQYSYGSPSVVRNHPVGSSPDAPFQSSQNGGQYSYGSPSVVRNHPVGSSPNAPFQSSQNGGQYSYGSPSVVRNHPVGSSPNAPFQSSQNGGQYSYGSPSVVRNHPVGSLPNGGQYSNGSPSVVRHHPVGSSQMAGQQQGEDNQQQPNGPSDMAEQHSSGALEWQHSHQQAAA >Potri.004G227800.5.v4.1 pep chromosome:Pop_tri_v4:4:23113158:23118040:1 gene:Potri.004G227800.v4.1 transcript:Potri.004G227800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227800.v4.1 MSLHLLLLRRSSSTLTTTNHPLLRALHHLILSPLHHIPNPNPPISTTPLLPSSRTFSFSSAEEAAAERRRRRRRLRIEPPLQAMQRNPNPPPRDPNAPRLPDSTSALTGNRLNLHNRVQSLIRAFDLDTASHVARNSVYSRTRPTVFTCNAIIAAMYRAKRYDDAIALFKFFFEQHHIVPNVVSYNNLINAHCDEGRVDVGLEVYRRIIEIAPFSPSSVTYRHLTKGLIDAERMEDAVALLREMLVKGHGADSLVYNNVIKGFLELGNLEKADEFFNELKERCLVYDGVINATYMDWWFKQGKDKEAMESYKSWQDRNFKVVPATCNTILEVLVKYGKKEAAWALFEHMLDNHTPPTHQGVNSDTFNTMVNECFREGEFEEAINTFKKAGTKPGSKPFQMDVAGYNNIIARFCENGMMKQAEEFLAALSAKCLTPDVTTFRTLIDTYLKMEEIDDVLRMFNKMADAGLRVVASFGTRVFGELIKNGKAVESAEILTKMGNKDPKPDSSIYDVVVRGLCNAGALDMGKDIVDQMMKYGVGVPPVLKDFVLEVFENAGRGSEVKSVLDQSKWINNSRPAYPRQPQSQHEPTQMASGWPLGPSPLMGKSISGEPQISRSQSFGVHPMSRQTQLGSPQMTGQLPLGSHNRQQPSGFHNMDQQQPSKPYQEQQSSWSSQAGGQHPPWSPQTAGQQLSCSSQTGGQQPSSCSSQTGQQLSQSSQTGQQSGPQLSHSSQTGQHPPWSSRAGGQQPSWSSQSGGQQPSWSSQSGGQQPSWSPQTRGQQPSWSSQTRGQQQSWSSDRGGHQPSWSSETGGQQALGSSNMEHQPPGTSHMIKCHPNESPQMSGQATFQSSQNGGQYSYGSPSVVRNHPVGSSPDAPFQSSQNGGQYSYGSPSVVRNHPVGSSPNAPFQSSQNGGQYSYGSPSVVRNHPVGSSPNAPFQSSQNGGQYSYGSPSVVRNHPVGSLPNGGQYSNGSPSVVRHHPVGSSQMAGQQQGEDNQQQPNGPSDMAEQHSSGALEWQHSHQQAAA >Potri.004G227800.7.v4.1 pep chromosome:Pop_tri_v4:4:23113140:23117997:1 gene:Potri.004G227800.v4.1 transcript:Potri.004G227800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227800.v4.1 MSLHLLLLRRSSSTLTTTNHPLLRALHHLILSPLHHIPNPNPPISTTPLLPSSRTFSFSSAEEAAAERRRRRRRLRIEPPLQAMQRNPNPPPRDPNAPRLPDSTSALTGNRLNLHNRVQSLIRAFDLDTASHVARNSVYSRTRPTVFTCNAIIAAMYRAKRYDDAIALFKFFFEQHHIVPNVVSYNNLINAHCDEGRVDVGLEVYRRIIEIAPFSPSSVTYRHLTKGLIDAERMEDAVALLREMLVKGHGADSLVYNNVIKGFLELGNLEKADEFFNELKERCLVYDGVINATYMDWWFKQGKDKEAMESYKSWQDRNFKVVPATCNTILEVLVKYGKKEAAWALFEHMLDNHTPPTHQGVNSDTFNTMVNECFREGEFEEAINTFKKAGTKPGSKPFQMDVAGYNNIIARFCENGMMKQAEEFLAALSAKCLTPDVTTFRTLIDTYLKMEEIDDVLRMFNKMADAGLRVVASFGTRVFGELIKNGKAVESAEILTKMGNKDPKPDSSIYDVVVRGLCNAGALDMGKDIVDQMMKYGVGVPPVLKDFVLEVFENAGRGSEVKSVLDQSKWINNSRPAYPRQPQSQHEPTQMASGWPLGPSPLMGKSISGEPQISRSQSFGVHPMSRQTQLGSPQMTGQLPLGSHNRQQPSGFHNMDQQQPSKPYQEQQSSWSSQAGGQHPPWSPQTAGQQLSCSSQTGGQQPSSCSSQTGQQLSQSSQTGQQSGPQLSHSSQTGQHPPWSSRAGGQQPSWSSQSGGQQPSWSSQSGGQQPSWSPQTRGQQPSWSSQTRGQQQSWSSDRGGHQPSWSSETGGQQALGSSNMEHQPPGTSHMIKCHPNESPQMSGQATFQSSQNGGQYSYGSPSVVRNHPVGSSPDAPFQSSQNGGQYSYGSPSVVRNHPVGSSPNAPFQSSQNGGQYSYGSPSVWSETIL >Potri.006G279200.1.v4.1 pep chromosome:Pop_tri_v4:6:26916692:26918531:-1 gene:Potri.006G279200.v4.1 transcript:Potri.006G279200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279200.v4.1 MINTSTASGDTLIDIHSSGRHVTFPDENNQSNQLRASVPLLLQPSYARSKSLLFDELRNFRISLKWCALDHSTCIGKFVSCFIFVFLAIILPIVSSLSIRVPSSAPANDPISYNKLVQLPESVLALISFFSLFRFFKRYGLRQLLFLDDLQEDSLFIRRGYSRELDKAFRYLACILLPSFFVEVAHKIIFFSTVKIWLPCNVFPGNIPLNSIMFVLVLASWVYRTGVFLLVCVLFRLTCELQILRFEGLHKLFDGCESDAGVIFREHVRIKKQLSFTSHRYRFFIISCLVTITVSQFGSLLLVLGFNNEKNFFNSGDLVICSAVQLCGFFLCLLGAARITHRAQGIVSMATRWHMIVASASASARIDQGKSHVPEADGTLASNTEDSESDSSDNFIAISSQDPCSFQTRQALVAYLQHNNGGITLFGFALDRGLLHTLFAFEFSLVLWILSKVVVLS >Potri.017G135400.1.v4.1 pep chromosome:Pop_tri_v4:17:13700412:13708342:-1 gene:Potri.017G135400.v4.1 transcript:Potri.017G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135400.v4.1 MDGHGNHRERNKKEDSDDDGEEEYEVEDLKDRIKSSRGSRFNLIEKEFGLVNNNGSSSMTSWRRKLSRESVINGIRYVSSGFVIHPDNRWYRAWTKFILLWAVYSSFFTPMEFGFFRGLPENLFILDIVGQVAFLLDIILQFFIAYRDSQTYRTVYKRTPIALRYLKSHFIIDLLACLPWDIIYKACGHREEVRYLLWIRLSRVRKVTDFFQKMEKDIRINYLFTRIVKLIVVELYCTHTAACIFYHLATTLPSSQEGYTWIGSLKMGDYSYTSFREIDIWKRYTTSLYFAVITMATVGYGDIHAVNLREMIFVMIYVSFDMILGAYLIGNMTALIVKGSKTEKFRDKMTDLIKYMNRNRLGKDIRNQIKGHVRLQYESSYTEASALQDLPISIRAKVSQTLYTEYIEKVPLLKGCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEEVGIGQDGSEETVKLLPPNSSFGEISILCNIPQPYTVRVCELCRLLRIDKQSFSNILEIYFYDGRKILDNLLEGKESNLRDKQLESDITFHIGKQEAELALRVNSAAYHGDLYQLKGFIRAGADPNRTDYDGRSPLHLAASRGYEDITLFLIQEGVDINIKDKFGNTPLLEAIKNGHDRVESLLFKQGAILNIDDAGSVLCRAVARGDSDFLKRILSNGIDPNSKDYDHRTPLHVAASEGLYLMAKLLIEAGASVFSKDRWGNTPLDEGRMCGSKKLIKLLEEAKSSQKLEFHYGTHETTEKVLPKKCTIFPFHPWAEEQRRPGVVLWVPNTMEELVKAASEQLQFPDGSCILSEDAGKILDVNMIDGGQKLYLTSDQTHYLS >Potri.007G033400.1.v4.1 pep chromosome:Pop_tri_v4:7:2615006:2615792:-1 gene:Potri.007G033400.v4.1 transcript:Potri.007G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033400.v4.1 MGICSSCESTHVATAKLILQDGRLQEFSYPVKVSFVLAKIPTYFICNADEMEFDDVVSAINDDEELQPGQLYFALPLSWLKHPLQPEEMAALAVKASSALMKSGGAEKCGCHKKLVFSVENDGKSSRRVAAGGGGPRRNGGRGKFSARLGAIPE >Potri.006G147100.2.v4.1 pep chromosome:Pop_tri_v4:6:12598134:12600683:-1 gene:Potri.006G147100.v4.1 transcript:Potri.006G147100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147100.v4.1 MHDVVRSFDLWIASKCGSNNQFLVKASTGLTEAPRADHWKHSLRISLFDNGITTLAEIPECPRLSTLLLQWNSGLNRIPITFFHFMPALRVLDLSFTSLREIPVSINELVELRHLDLSGTKITSLPKELGDLAKLQHLDLQRTHSLRIIPQEAISGLLQLRILNVYYSYGVWEQQDNEVGFADLQSLKYLTTLGITINELNTLKRLYSFSGLLKVIQYLYIKQCDGLFYLQLSLNSSFGERLRRLSINNCYDLQYLQVDEEAGKKWLPSLEVVALHGLPNLETVWKNPVTRECLQNLQSINIWHCRKLRNVSWVLQLPKLEVIYLMYCEEMEEVVSRSGIPREDSKAFRSLRTLSIRNLPKLRSITPWELAFPSLESIAVIDCPNLKQLSIKTHNTSTLPTVYGNKEWWDELEWKEASSETAFVPHFMPI >Potri.006G147100.1.v4.1 pep chromosome:Pop_tri_v4:6:12598270:12605615:-1 gene:Potri.006G147100.v4.1 transcript:Potri.006G147100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147100.v4.1 MDIVSPLIGMVHSLCISAAARLSYVVNVDQRIDSLSTALNELKDKRDDLKRKVERAEVEGLTCTSQVKGWLQRVEDIEAESRRRCCVGCNACCSTYKLSKKASKLLNRANELIGKGAFNVVADDPIPDAVEEMPSRPAVGLDMMLERARQFLAEDGVGTVGIYGMGGVGKTTLLKTINNEFLTKRHHFDVVIWVGVSKEFVADKIQRAVGARLGLPWEELESHEQRALKIHKLMKRKKFLLLLDDVWEGIDLPKLGIPVPEKTSKVIFTTRSLDVCTGMYADQKLRVEFLGEEDSWKLFCENVGEKEIFDSETIRAYAETIVRKCGGLPLALITIGKAMANKETEEEWKYAIEFSYDNLETDALRSCFLYCSLFPEDYSIEKEQLIEYWIGEGFLGSFHASYVYNQGHALIGSLKVACLLETGEEKTQVKMHDVVRSFDLWIASKCGSNNQFLVKASTGLTEAPRADHWKHSLRISLFDNGITTLAEIPECPRLSTLLLQWNSGLNRIPITFFHFMPALRVLDLSFTSLREIPVSINELVELRHLDLSGTKITSLPKELGDLAKLQHLDLQRTHSLRIIPQEAISGLLQLRILNVYYSYGVWEQQDNEVGFADLQSLKYLTTLGITINELNTLKRLYSFSGLLKVIQYLYIKQCDGLFYLQLSLNSSFGERLRRLSINNCYDLQYLQVDEEAGKKWLPSLEVVALHGLPNLETVWKNPVTRECLQNLQSINIWHCRKLRNVSWVLQLPKLEVIYLMYCEEMEEVVSRSGIPREDSKAFRSLRTLSIRNLPKLRSITPWELAFPSLESIAVIDCPNLKQLSIKTHNTSTLPTVYGNKEWWDELEWKEASSETAFVPHFMPI >Potri.012G006800.3.v4.1 pep chromosome:Pop_tri_v4:12:271392:272970:-1 gene:Potri.012G006800.v4.1 transcript:Potri.012G006800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006800.v4.1 MVSSKKLTQLCVTFWVAVLFCQSVQSHLQVGFYRNSCGRAESIVRGAVRDALRLDRGVAAGLVRLHFHDCFVRGCEGSVLLDSTSSNKAEKHSTANYPSLRGFEVIDDAKARLEAECQGVVSCADILAFAARDSFDLTGGFDYDVQAGRRDGIVSLASETYSNLPPPTFNVDQLTQRFSDKGLTQEEMVTLSGAHTIGNSHCRSFTYRLYNFSGTNSQDPSLDSQYAASLRKSCPQDSTDPNLEVPMDTRTPTISDVNYYKDILANRGLFSSDQILLTNPATASEVKSNARSPSGWKKKFAAAMVKMGQIEVLTGNKGEIRANCRVINS >Potri.001G085200.1.v4.1 pep chromosome:Pop_tri_v4:1:6789783:6793898:-1 gene:Potri.001G085200.v4.1 transcript:Potri.001G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085200.v4.1 MEIHPNKNQNNNGEDKTVATIKNLRSGLESRIETQHKTQLDLLASLQDLVPNIVSSLDLSLQIVSSFNNKPFTPTPPLPASTSRAHLEIGSNSRNPNDPRANIDSRPEILGESSKLERKDGNFDGDGAESGSPLAVVRVMVAECLLQRVPFNPIDSSTVLRKLENDQNATEAEKAAIREVGGESGAILAVEMALRSMAEENRGIELEEFVVSGKSRVMVLNIDRNRLVKELPESAQYTQNLELSGSSDFNQNQSSGIGNNVNSNGGVDVNGNGVYGIGGPVVMQRPLMGDMWMGGGGDMWPRGGMMGPRGMMMGPRGMMQRPPMPLPMQSQQQKQRSEEDEMKDLEALLNKKSFREMQKSKTGEELLDLIHRPTARETAVAAKFKTKGGSQLKEYCSALTKEDCRRQCGSFIACEKVHFRRIIAPHTDVSQGDCSFLDTCRHMKTCKYVHYELDPTPDVSPMAMGAAALPPPKALKPQRAEYCSEVELGEPQWINCDIRNFKMEILGQFGVIMADPPWDIHMELPYGTMADDEMRNLNVPVLQTDGLIFLWVTGRAMELGRECLELWGYKRVEEIIWVKTNQLQRIIRTGRTGHWLNHSKEHCLVGIKGNPEVNRNIDTDVIVAEVRETSRKPDEMYPLLERISPRTRKLELFARMHNTQAGWMSLGNQLEGVRLVDEGLRARFKAAYPDVVVQPSSPPRASAMEIDSTASQMRSPFSVTESKSMATQFADRAAPETVYTSEEKPMALDAVMAG >Potri.005G249900.1.v4.1 pep chromosome:Pop_tri_v4:5:24360604:24366257:-1 gene:Potri.005G249900.v4.1 transcript:Potri.005G249900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249900.v4.1 MAFHRRRNHYYKRFRSVLPLISAVSGVLLILFGLLTFLAPAPIDTNNPHHKNLMYTANDVVEDTIGKPGEPVLHIPIKGRKDRDVWSSRNSKYFYGCSNASNKFPNADAITHPNRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDSSDFSEIFDVDWYISSLANDVKIIKSLPRRRGKTWIPRNMRVPRKCSERCYQNRVLPVLLKRHAIQLTKFDYRLANKLDTQLQKLRCRVNYHALKFTDPILRMGEKLVHRMRMKSKHFIALHLRFEPDMLAFSGCYYGGGDKERKELGAIRKRWKTLHASNPDKERRHGKCPLTPKEVGLMLRALGYGSDVHIYVASGEVYDGEDTLAPLKALFPNFYTKETLASKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLNQTTMSWEAFASKMRTFQRGFMGEPKEVRPGRGEFHENPHTCICEDSEAKAKKNSGPRKYGKGYDALGDDIPSDYQNVDDEPELPDPDDDGDQDGPQEKGQFNETGMDYDELTNEEPELEEILSD >Potri.005G249900.2.v4.1 pep chromosome:Pop_tri_v4:5:24360664:24366239:-1 gene:Potri.005G249900.v4.1 transcript:Potri.005G249900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249900.v4.1 MSFLDHVVDADAITHPNRYLLIATSGGLNQQRTGITDAVVAARILNATLVVPKLDQKSFWKDSSDFSEIFDVDWYISSLANDVKIIKSLPRRRGKTWIPRNMRVPRKCSERCYQNRVLPVLLKRHAIQLTKFDYRLANKLDTQLQKLRCRVNYHALKFTDPILRMGEKLVHRMRMKSKHFIALHLRFEPDMLAFSGCYYGGGDKERKELGAIRKRWKTLHASNPDKERRHGKCPLTPKEVGLMLRALGYGSDVHIYVASGEVYDGEDTLAPLKALFPNFYTKETLASKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLNQTTMSWEAFASKMRTFQRGFMGEPKEVRPGRGEFHENPHTCICEDSEAKAKKNSGPRKYGKGYDALGDDIPSDYQNVDDEPELPDPDDDGDQDGPQEKGQFNETGMDYDELTNEEPELEEILSD >Potri.005G249900.3.v4.1 pep chromosome:Pop_tri_v4:5:24360672:24366239:-1 gene:Potri.005G249900.v4.1 transcript:Potri.005G249900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249900.v4.1 MGEKLVHRMRMKSKHFIALHLRFEPDMLAFSGCYYGGGDKERKELGAIRKRWKTLHASNPDKERRHGKCPLTPKEVGLMLRALGYGSDVHIYVASGEVYDGEDTLAPLKALFPNFYTKETLASKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMAKILAGRRRYFGHKPTIRPNAKKLYRLFLNQTTMSWEAFASKMRTFQRGFMGEPKEVRPGRGEFHENPHTCICEDSEAKAKKNSGPRKYGKGYDALGDDIPSDYQNVDDEPELPDPDDDGDQDGPQEKGQFNETGMDYDELTNEEPELEEILSD >Potri.017G136000.1.v4.1 pep chromosome:Pop_tri_v4:17:13737629:13741421:1 gene:Potri.017G136000.v4.1 transcript:Potri.017G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136000.v4.1 MEVTISNFEEGSNYDIDKEVKAIDATKAGVKGLVDSGVTRIPRCFVHPPENVLKSSSKSSNISHQVPIIDFEGFESCRRSEVVNEIRKASEEWGFFQIINHGTPVAVMDEMLAGVKRFHEQPQEAKAELYSRDPKQRVKFFYGGVVLTKETAVWRDTVAINFQDGELDPELYPEVLREELSEYTKHMTKISKTLSELLSEALGLRCAYLSSIECMDTQALAGNYYPLCPEPDLTMGTTKHTDPSFLTILIQDNMGGLQVHHQNQWVDVPPLQGALVVNIGDFMQLITNDKFRSVQHRVLAQEVGPRTSVASFFFPGAANKLKPYGVIKELLSDDTPIYRATHLAEFMGQYMSTGSSISVLSPFKVTRPC >Potri.009G157500.3.v4.1 pep chromosome:Pop_tri_v4:9:12257428:12265120:-1 gene:Potri.009G157500.v4.1 transcript:Potri.009G157500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157500.v4.1 MDFSALQSRPSTASYFPLHRTQLHPRMHSLASPYPPQQQSTKSQKARKFLILLATLFTIPFLFYLFSTAQKTHRSSKFANPNTRFFSVVIDSGRDGCRVRVYQLLGEGNAGLSNGQLPLITGSMKVRPGLGGFVEDPDSAGGLIEGLVEFAKKWVPRREWGNAGVQLMVSGKEMVGLEGKVKERILEVCRHVLRASGFAFKDEWARVIEEEERGVYYWVAVNYVHGSLGNEADKTTGIVELDGNSLQITFASREVAQVQSSRRIKLARVTYNLQAQSLPKFGEDAAWESLHERHSSRDVSSSSIYREGFVGNPCIPQGYDLASNISGPKLLMSHGTGNFTACRLEVRALLKSRQEKCLRRPCNIDSPLFTRLQGKPVSQDNLFFASEFFGLVPRVSLFELEAAGKHYCEDDWDKLKDQHHGIDDLDLLRYCFSSAYTVALLHDSLGISMNDKRIGFANHTGSVPFDWTLGAFIFQSMLEPLESEINNPDEIVGNESVTYFSLFAILLIALLAAFFVLQLRKPQLKTIYDLEKGRYIVTRLPR >Potri.015G045101.2.v4.1 pep chromosome:Pop_tri_v4:15:4363947:4367053:1 gene:Potri.015G045101.v4.1 transcript:Potri.015G045101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045101.v4.1 MRILTPHCFELFYPGTSLNVGRKVAIGLGASLGTLVTMLIAFFFWYRRKKRQYESIFSRSIKSLPSSKAHTEMRSSYNGAHLFSYEELEEATNNFDKTRELGDGGFGTVYYGKLPDGLEVAVKRLYENNFKRLEQFLNEVDILTPLRHQNLVLLHGCTSRDSRELLLVYQYIPNGTLADHLHGERAKPGALPWSTRMNIAVETACALAYLHASVIVHRDVKTSNILLDNNFCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHECYQLTDKSDVYSFGVVLIELISSMPAVDISRHRHEINLSTMAINKIQSDSLNELVDPSLGFESDYAARKMIRAVAELAFQCLQNAKELRPSMEKVFHILKEIQSRDYNAEKAENINSPSDDVVLLKSGPIPPSPDTVTVTWMSTSSTPHASV >Potri.015G045101.1.v4.1 pep chromosome:Pop_tri_v4:15:4363951:4367495:1 gene:Potri.015G045101.v4.1 transcript:Potri.015G045101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045101.v4.1 MDPNNSFAFRKLLPIYNCIFLFTYLANQTVSQHPKFKSCEPKNCGGAGPNISYPFWLSQEQESFCGYPNFMLNCSDKGPVLAISNDVYIIKSISYANNSLLVANAAVYEETCPTPLHNINLDRTPFTISPGYTNLSFFYSCTSKPKDYNYLYNLSCATNSTHYSFAGFHFEEIEKHSNYSLKSCHDFVIAPIHTGEDNGSLLGENYREVLKMGFLMNWTAPNCSTCESSGGRCGFENHECICFCRDRPRLKSCDAGTSLNVGRKVAIGLGASLGTLVTMLIAFFFWYRRKKRQYESIFSRSIKSLPSSKAHTEMRSSYNGAHLFSYEELEEATNNFDKTRELGDGGFGTVYYGKLPDGLEVAVKRLYENNFKRLEQFLNEVDILTPLRHQNLVLLHGCTSRDSRELLLVYQYIPNGTLADHLHGERAKPGALPWSTRMNIAVETACALAYLHASVIVHRDVKTSNILLDNNFCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHECYQLTDKSDVYSFGVVLIELISSMPAVDISRHRHEINLSTMAINKIQSDSLNELVDPSLGFESDYAARKMIRAVAELAFQCLQNAKELRPSMEKVFHILKEIQSRDYNAEKAENINSPSDDVVLLKSGPIPPSPDTVTVTWMSTSSTPHASV >Potri.011G079500.1.v4.1 pep chromosome:Pop_tri_v4:11:8562154:8563105:-1 gene:Potri.011G079500.v4.1 transcript:Potri.011G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079500.v4.1 MAAATMALSSPAFAGKAVKLSPSSPELMGNGRVSMRKTTKPVSSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWATQVILMGAVEGYRIAGGPLGEITDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFAPGK >Potri.015G051300.3.v4.1 pep chromosome:Pop_tri_v4:15:6330435:6330810:1 gene:Potri.015G051300.v4.1 transcript:Potri.015G051300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G051300.v4.1 MLCRISKICRSLASSFPFTYVMMLMSSLSIIGFPFLTGFYSKDVLLELAYTKYTISGNFAFWLGSVYPFTSYYSFRSLFLTFLVPTNSFGRDTI >Potri.002G091000.1.v4.1 pep chromosome:Pop_tri_v4:2:6585126:6588626:-1 gene:Potri.002G091000.v4.1 transcript:Potri.002G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091000.v4.1 MELACASVQASCSLTIPASSTTSRRRSPSLSFYRYSFPNPIISSKLNSNKLSANSFRRRNPSLVAAVDGATTVPSDCNGEKLSFSYSSEPTAINDDGKDGVDDGKMASVCNKLIEVFMVDKPTPNDWRKLLAFSKEWDNLRPHFYKRCQERADCEDDPGKKHNLLRFARKLKEIDEDVQRHNELLGVIKAAPSELTEIVAKRRKDFTKEFFVHLYTVAQSYHDNPSEQNALAKLGNDCVATVQAYDCATENMEALNAAELKLQDIINSPSLDAACKKIDDLAEKNQFDSALVLMITKAWSAAKESNMTKDEVKDILYHLYKTAIGNLQRNVPKDIRILKYLLTVEDPEERLCALNDAFTPGEELEGKDVDSLYTTPEKLHTWIKAMVDAYHLSQDGTLMREARDLMNPKIIKKMEELKKLVEDHFM >Potri.007G144900.1.v4.1 pep chromosome:Pop_tri_v4:7:15382036:15385256:1 gene:Potri.007G144900.v4.1 transcript:Potri.007G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144900.v4.1 MAESSPPPPLPPSPNHMVPPIPHTDPPPPPPPPPRSCKTCLLSKRPKLRVTSEFDSDSSLFFHKVSCKLLDSFAKFKLSFQNNNKGELSQPQFAFTSKLLSIHYDLEEDNALVKGSFDLGPNLHFKAAHDVKAQQGEVAMVADLGDPGYALEISSPVPTVGVPRATLKFPLGEVSLEEKEEEELRRTLSVSGVVKSQLMNGLCTAQFSDEDLKLKYCYKDEALSIIPSISLPSNALSFAFKRRFTPSNKLSYWYNFDTNNWSTVYKHTYGKDFKLKAGYDSEVRLGWASLWVGDEGGNAKTAPMKMKVQFMLQVPQDDIKTSALMFRVKKRWDI >Potri.008G052200.2.v4.1 pep chromosome:Pop_tri_v4:8:3059833:3063347:1 gene:Potri.008G052200.v4.1 transcript:Potri.008G052200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052200.v4.1 MLYNSIIRAYSRTKNHQEAINIYHCMLNKGLEPDKYTFTFVLKACTGALYFKEGILVHKDIIVRGLERDAFIGTSLVDMYCKMGDLKLARKVFDKMPEKDVVAWSAMILGLSQSEDPNEVFGFIRSMQLSGVELNLVSILNLVPAVSRLGDIDACRCIHGYVIRRGFDAIVSNGLIDMYSKSGNIDVGRQIFEYMPDKDDVSWGTMMAGYAHSGCFLEVLELFDRGRGENVRMNKVSVTSALTAAAEMRALGRGTEIHDFARQQGIDSDVAVATPIMTMYARCGEVDTAKQLFQGIKGRDLVAWSAIIAAFVQSGYPQEALSLFRSMQNEGLQANKVILLSSLPACAEVSSLKLGKSMHCCAVKANVDLDISVGTALVSMYAKCGFFALALTLFNRMPCKDVVTWNAMINGYAQIGEPFPALEMFHKLQLSELNPNSGTMVGLLPAFALLNDLDQGSCIHGKIIKCGFESECHVKTALIDMYAKCGSLSGAEFLFHRTGCRKDEVSWNVMIAGYMHSGHAIDAFSAFCQMKLENIQPNIVTIVTVLPAVAHLSALRAGMTLHAYVIRMGFQSKTPVGNCLIDMYAKCGWLDHSEKIFHEMKNKDTVSWNVMLAGYAVHGRGSCAIELFSRMQDSEIRLDSFSFINVLSACRHAGLIGEGRKIFDSMSKQHQLEPDLEHYACMADLLGRAGLFNEVLDLIKSMPMEPDAGVWGALLGASTMHSNVQLAEFALHHLDKLEHKNLTHYAALSNTYARSGRWADVGNTRSKITKTGLRKSPGYSWV >Potri.008G052200.1.v4.1 pep chromosome:Pop_tri_v4:8:3059803:3062706:1 gene:Potri.008G052200.v4.1 transcript:Potri.008G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052200.v4.1 MSKQALPSSRGFFHELASRNKAPILPPNHIRNYSNYVSLLSSCKTLNSLLQIHVRLTVSGLQNDHLTNAHLIKSYLLFLKCNYARFLFDSLPNPSVMLYNSIIRAYSRTKNHQEAINIYHCMLNKGLEPDKYTFTFVLKACTGALYFKEGILVHKDIIVRGLERDAFIGTSLVDMYCKMGDLKLARKVFDKMPEKDVVAWSAMILGLSQSEDPNEVFGFIRSMQLSGVELNLVSILNLVPAVSRLGDIDACRCIHGYVIRRGFDAIVSNGLIDMYSKSGNIDVGRQIFEYMPDKDDVSWGTMMAGYAHSGCFLEVLELFDRGRGENVRMNKVSVTSALTAAAEMRALGRGTEIHDFARQQGIDSDVAVATPIMTMYARCGEVDTAKQLFQGIKGRDLVAWSAIIAAFVQSGYPQEALSLFRSMQNEGLQANKVILLSSLPACAEVSSLKLGKSMHCCAVKANVDLDISVGTALVSMYAKCGFFALALTLFNRMPCKDVVTWNAMINGYAQIGEPFPALEMFHKLQLSELNPNSGTMVGLLPAFALLNDLDQGSCIHGKIIKCGFESECHVKTALIDMYAKCGSLSGAEFLFHRTGCRKDEVSWNVMIAGYMHSGHAIDAFSAFCQMKLENIQPNIVTIVTVLPAVAHLSALRAGMTLHAYVIRMGFQSKTPVGNCLIDMYAKCGWLDHSEKIFHEMKNKDTVSWNVMLAGYAVHGRGSCAIELFSRMQDSEIRLDSFSFINVLSACRHAGLIGEGRKIFDSMSKQHQLEPDLEHYACMADLLGRAGLFNEVLDLIKSMPMEPDAGVWGALLGASTMHSNVQLAEFALHHLDKLEHKNLTHYAALSNTYARSGRWADVGNTRSKITKTGLRKSPGYSWV >Potri.018G100500.4.v4.1 pep chromosome:Pop_tri_v4:18:12065108:12067285:-1 gene:Potri.018G100500.v4.1 transcript:Potri.018G100500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100500.v4.1 MDIEELPSVCVLDASTYVGFWILKGLLSRGYTVHAAIQKYNGETGMEKKIRDLAREEERLSVFEVDVLDYHSILVALKGCSAMFCCLDCPDGYDDKMIDLEVRGAINVVEACAQTDTIEKIIFSSSLTAAIWRENICSQEDVDERSWSDQEFCRKLKLWYALAKTLSEHAAWALAMDRKLNMVSINAGLVLGPGVSQQNPLSTMSYLKGIAQMYENGVLACVDVNFLVDVHIRAFQDRSTCGRYFCFNQTVHTEEEAVKLTQSLSPLISLPQRYEYQGSEVYAERLRTKKLNKLVEGTA >Potri.001G384200.1.v4.1 pep chromosome:Pop_tri_v4:1:40363883:40365251:1 gene:Potri.001G384200.v4.1 transcript:Potri.001G384200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G384200.v4.1 MSSSREIVLTSSPDGPITAYDIFSGTTLARFSGSRSPRHGLVLAGKAYIAASHISSATASGSIHLYNWWSSTAFHHLPVPEPVAPLAATPDGSFLFAGGVSGNIYALSIPSGNILKSFPAHTKPVSCLTISNDGSLLISGGDDGTILVVPIFQLVEQTTDGNCKDLMLHSFVAHDGPVTAITCIGFCHYTVISCSTDCTCKLWSLLDGTNLRRITFPCAISRIALDPTGTEFYAAGADGLIYKGFLKVGSRKQVSPMLELAILADKHGGAIISVVMMNAGKNLVSAAEDGRVYLWEVERGQVIMVLGNNMESISDLVVASGICDARRQGVRVGENMNKSVGGGIVLSGKEFTRSVKDTVEIEDVLSVAAKDRSKTIDMLESAIGVYERLLELILKEAKGGTSRNSHEDNGDM >Potri.013G151600.1.v4.1 pep chromosome:Pop_tri_v4:13:14792709:14797874:-1 gene:Potri.013G151600.v4.1 transcript:Potri.013G151600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151600.v4.1 MGSGRRRSGGGGGGSGGGRNQRLLKHHIDTCNKKFTTTDDIVHHLRNSYPNYRRMELKTLTRIVQQTLNQQTPPPKKFRKHELETESDSDDEEANLSKKQKRIDESEEKLMQIENAHSRRRNRNRGPILVSSSDTESSSESDSGSDSSTSLEPPKFDLMKSMLRESYGVAEKNMEVELANDRKESITSKVDMIERNRGVGKQKGEDLEGSLGKLKGGLGEDAKGKEGGPRFKDLGGLSGILEELEMEVFLPLYHPNVPLRLGVSPISGILLHGPPGCGKTKLAHAIANETGVPFYKISATEVVSGVSGASEENIRDLFSKAYRTAPSIIFIDEIDAIASKRENLQREMERRIVTQLMTCMDEHHRLGQPSDDSSSSESSNRIPGNVLVIGATNRPDAVDPALRRPGRFDREINLGVPDEKARVQILSVLTKNCTLEGSLDILQIARSTPGFVGADLNALVNMAGNLAMRRVASQRKSELSGQLTEKEDNEDWWKQPWSPEEMEKLAITMADFEKAAKLVQPSSKREGFSTIPNVKWEDVGGLDDIRDEFDLYIISRIKYPDDYQKFGVNLETGILLYGPPGCGKTMIAKAAANEAGANFIHVKGPELLNKYVGESELAVRTLFSRARTCSPCIIFFDEVDALTTMRGKEGGWVVERLLNQLLIELDGADQRPGIFIIGATNRPEVMDPAVLRPGRFGKLLYVPLPSSEDRGLILKALAKGKPIDPSVDLAAIGQMEACKNLSGADLRKLMEEAAMTALKEAKRQRCLNETSGTITAAQNEPAVNITATHFEQALGKISPSVSEKQIQYYKAWSESFKAT >Potri.001G231200.1.v4.1 pep chromosome:Pop_tri_v4:1:25050234:25052116:-1 gene:Potri.001G231200.v4.1 transcript:Potri.001G231200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G231200.v4.1 MEILSQLWSLLGLLTVLQNILPTQLLSLLHSLYESLQDFISPYSYFDIPEFNGYCGVEINDLYRHVNLYLNSVNSSATASTCRRFTLSRSKSSNCISFTIAPNHTIHDSFNGHSLSWTHHVDTVQDSLEEKRSFTLKLPKRLRHLLLSPYIQHVTSRAEEFERVSRERRLFTNNGNASYESGWVSVPFRHPSTFETLALEPHLKKQMMEDLKAFASGREFYHRVGRAWKRGYLLYGPPGSGKSSLIAAMANYLCYDVYDLELTKVTDNSELRALLIQTSNRSIIVIEDIDCSLDLTADRMMKATTATATRRKRSSSSGYNKDLGTGNDQLLEESGRVTLSGLLNFTDGLWSCCGEERIIVFTTNHRENVDPALVRCGRMDVHVSLGTCGMHAFKALAMNYLGIEWHSSFDVVESCIRSGGALTPAQIGEILLRNRGNNVDLAIKEVVSAMQARILSTGSTEHLNTSIEYEDMAALTRSPQSVLTVGSPENWDSSPGKTNGKKRKAKFLVRLRSLTKSDSGRRGV >Potri.006G222400.1.v4.1 pep chromosome:Pop_tri_v4:6:22763501:22765567:-1 gene:Potri.006G222400.v4.1 transcript:Potri.006G222400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222400.v4.1 MKHSLSHHETTLSLTLSNVTSLPLSTCHSLTKFQLPPPLSITITHIQHLEEIGSIFIHERSRLVHELK >Potri.006G025100.3.v4.1 pep chromosome:Pop_tri_v4:6:1669197:1673170:1 gene:Potri.006G025100.v4.1 transcript:Potri.006G025100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025100.v4.1 MFFTVIQGPVSSHSEEAGRVKQGNSDAGAQVNSADKQRNEPAAPEGTNGLSSPIPFPTLPQKSSPERDLYYASARSLSSSHTPTVGSFLAQRTTPPSFSIRNSIEEPLLGEDVATQSAPNLNTKTMLCVVSVVTFLGTLNLHHSANSRLDRVFENKNQGIFIQVGRKILQTSSIMSHENDIDGNVIGTFLGWSMAAIYMGGRLPQICLNIKRGKVEGLNPLMFVFALVGNITYVASILVDSLAWSKIRANLPWLVDAGGCVLLDTCILLQFVYFRYRRRQVVEDKLQSSNGA >Potri.006G025100.2.v4.1 pep chromosome:Pop_tri_v4:6:1668882:1673170:1 gene:Potri.006G025100.v4.1 transcript:Potri.006G025100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025100.v4.1 MVGANHLLHMQWQQPNTVYYGHIYHRLKSNRRRLKGPVSSHSEEAGRVKQGNSDAGAQVNSADKQRNEPAAPEGTNGLSSPIPFPTLPQKSSPERDLYYASARSLSSSHTPTVGSFLAQRTTPPSFSIRNSIEEPLLGEDVATQSAPNLNTKTMLCVVSVVTFLGTLNLHHSANSRLDRVFENKNQGIFIQVGRKILQTSSIMSHENDIDGNVIGTFLGWSMAAIYMGGRLPQICLNIKRGKVEGLNPLMFVFALVGNITYVASILVDSLAWSKIRANLPWLVDAGGCVLLDTCILLQFVYFRYRRRQVVEDKLQSSNGA >Potri.014G051800.3.v4.1 pep chromosome:Pop_tri_v4:14:3327517:3330894:-1 gene:Potri.014G051800.v4.1 transcript:Potri.014G051800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051800.v4.1 MNATAASAAASTTTTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVLVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSYENVSKKWVPELRHYAPSVPIVLVGTKLDLREDRQFLLDYPGACTISTEQGLELQKQIGALAYVECSSKTQQNVKAVFDAAIKVVLQPPKRKKHKRKHRVCHIL >Potri.014G051800.2.v4.1 pep chromosome:Pop_tri_v4:14:3327517:3331154:-1 gene:Potri.014G051800.v4.1 transcript:Potri.014G051800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051800.v4.1 MNATAASAAASTTTTKFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVLVDGQTVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISRPSYENVSKKWVPELRHYAPSVPIVLVGTKLDLREDRQFLLDYPGACTISTEQGLELQKQIGALAYVECSSKTQQNVKAVFDAAIKVVLQPPKRKKHKRKHRVCHIL >Potri.016G123100.1.v4.1 pep chromosome:Pop_tri_v4:16:12763593:12766471:-1 gene:Potri.016G123100.v4.1 transcript:Potri.016G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123100.v4.1 TSTRASKVSHFSSLHPSLFSKSSSCPYPLCKFPRKPKRIVSSKSSEVEEISSTGDEWLQTLPDKKKPLYSHNLPCIEAWLKGLGFYQSKKSHAVWFVEKPDWHAQLSLDVTDLYIRYLKNRPGNLEKDVEKRFSYALSREDIENATLGGP >Potri.002G048450.1.v4.1 pep chromosome:Pop_tri_v4:2:3226017:3226592:1 gene:Potri.002G048450.v4.1 transcript:Potri.002G048450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048450.v4.1 MEKGLNSRVQEWQRVVGHHHPGIILDFPPWLKFRQGKCVVGSDSPALVINEERRRRQQTSKSPMQSHSKSYFKIIWADLMSQFCASLHGSIANALIDVQCI >Potri.002G048450.2.v4.1 pep chromosome:Pop_tri_v4:2:3226017:3226592:1 gene:Potri.002G048450.v4.1 transcript:Potri.002G048450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048450.v4.1 MEKGLNSRVQEWQRVVGHHHPGIILDFPPWLKFRQGKCVVGSDSPALVINEERRRRQQTSKSLYRYQSLGHIVADLITFVEHHTPFTCPNALPSCHSQVLCRATQNLTSRLSGQT >Potri.013G115700.2.v4.1 pep chromosome:Pop_tri_v4:13:12442798:12445479:-1 gene:Potri.013G115700.v4.1 transcript:Potri.013G115700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115700.v4.1 MKLQDLFPFLCIFFTITTAQTTIQPGTTLSASNPNQTWSSPNSTFYIGFSQVDSSSSYTLTINYNGGVPIWTAGNSTTTVNSEGSFQFLSSGNLRLLNGSGATVWDSNTTGRGVTTASLDEFGNLVLKNGTLPVWSSFDNPSDTIVPNQTLRVDQVLRSGSYSFRFLSSGNLTLKWNDSVEYWNQGNTSINANLTSPTLGLQPTGILSIFSAAFTSGPHIVAYSSDYGDVGTRLRFLKLDEDGNFKMYSTDIGSKTANMVWSALTDQCEVFGYCGNMGICSYNESSSSPICDCPSENFEPVDVNDSRQGCKRKAEIDSCPGNATMLEIDHAKFLTYEPELSAQIFYMGISACRSNCLSQGSACIASTSLSDGTGQCYAKPPGFISGYRNPALPSTSYVKVCGPALPNPPPGVLTVEKSRSGRFRFWVVCVAVAITLLGLIALESGLWWWCCRNSPKFDSLSAQYALLEYASGAPVQFSYKELQRSTKEFKEKLGAGGFGAVYKGVLANKEVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFTTEEQSGRLLNWEQRFKIALGTAKGITYLHEECRDCIVHCDIKPENILLDENYKAKVSDFGLAKLINAKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDIYSYGMVLLEIVSGRRNFEVSAVTNRKKFSVWAHEEFEKGNVNAILDQRLTDQDVDMEQVTRAIQVSFWCIQEQPSQRPMMGKVVQMLEGIAEIERPPAPKAITESSTTSGTSIMSSNVSALTTFAASAPASSSSSSYQTMGVSPLASGRNIERASSSLLHSDPN >Potri.013G115700.5.v4.1 pep chromosome:Pop_tri_v4:13:12442797:12445413:-1 gene:Potri.013G115700.v4.1 transcript:Potri.013G115700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115700.v4.1 MYSTDIGSKTANMVWSALTDQCEVFGYCGNMGICSYNESSSSPICDCPSENFEPVDVNDSRQGCKRKAEIDSCPGNATMLEIDHAKFLTYEPELSAQIFYMGISACRSNCLSQGSACIASTSLSDGTGQCYAKPPGFISGYRNPALPSTSYVKVCGPALPNPPPGVLTVEKSRSGRFRFWVVCVAVAITLLGLIALESGLWWWCCRNSPKFDSLSAQYALLEYASGAPVQFSYKELQRSTKEFKEKLGAGGFGAVYKGVLANKEVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFTTEEQSGRLLNWEQRFKIALGTAKGITYLHEECRDCIVHCDIKPENILLDENYKAKVSDFGLAKLINAKDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDIYSYGMVLLEIVSGRRNFEVSAVTNRKKFSVWAHEEFEKGNVNAILDQRLTDQDVDMEQVTRAIQVSFWCIQEQPSQRPMMGKVVQMLEGIAEIERPPAPKAITESSTTSGTSIMSSNVSALTTFAASAPASSSSSSYQTMGVSPLASGRNIERASSSLLHSDPN >Potri.003G013700.5.v4.1 pep chromosome:Pop_tri_v4:3:3098429:3104017:-1 gene:Potri.003G013700.v4.1 transcript:Potri.003G013700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013700.v4.1 MLTGSGFEIISSHLGKLENLDLSSNNIFNDNILSHLRGFSSLKSLNLSFNELTGSTTVNGTFFNSSTLEELHLDHTSLPINFLQNIGALPALQVLSVGGSDLNGALPAQGWCELKNLKQLDISGNNLGGSLPDCMGNLSSLQLLDVSENHFTGNIASSPLTNLISLEFLSLSNNLFEVPTSMKPFLNHSSLKFFSSENNKLVADPAAFHDLIPKFQLVFLSLSKTTEALNVEIPNFLYYQYHLRFLHLSHNNITGMFPSWLLKNNTRLEQLYLSENSFVGTLQLQDHLYPNMTELDISNNNMSGQIPKDICLIFPNLQTLMMAKNGFTGCIPSCLGNISSLEMLDLSNNQLSTVKLGQLTTLLFLNLSNNNLGGNNFWGQISDFPLYGWKKWIVLDLSYNQFSGMLPRWFVNSTDLRVINLSKNHFKGPIHRDFCKLGHLEYLDLSENNLSGYIPSCFSPPSLIYVHLSKNRLSGPLTYGFSNSSSLVTMDLRDNSFIGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSKNQLSGTIPSCLGNLTFMASSQKAFVDLNVGFESWSIERAYYETMGPPLVNSMYSLGKDFMVNFTEVIEFTTKNMYYCYKGKILGYMSGIDLSNNNFVEAIPPEFGNLIELLSLNLSHNNLTGSVPATFSNLKQIESLDLSYNNLNGVIPPQLTEITMLEVFSVAHNNLSGKTPERKFQFGTFDESCYEGNPFLCGPPLRNNCSEEAVSSQLVPDDEQGDDGFIDIDFFYISFGVCYTVVVMTIAIVLYINPYWRRRWLYFIEDCIDTCYYFVVASFRKFSNFRR >Potri.003G013700.3.v4.1 pep chromosome:Pop_tri_v4:3:3098391:3103940:-1 gene:Potri.003G013700.v4.1 transcript:Potri.003G013700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013700.v4.1 MMMKRMGAWMLLAILLTLVGEWYGRCYGCLEEERIGLLEIQSLINPHGVSWRDHWVDTNSNCCEWRGIECDNTTRRVIQVSLFGERDESLGDWVLNASLFQPFKELQSLDLGWNGLVGCLENEGFEVLSSKLSNLDLRVNQFTNDKSILSCFNGNLSTLKSLDLSHNGLTGGSGGFKVLSSRLKKLENLLLWGNQFNDSICPSLTGFSSLKSLDLSHNQLTGSGLKVLSSRLKKLENLHLWGNQYDESIFPSLTGFSSLKSLDLSYNMLTGSGFEIISSHLGKLENLDLSSNNIFNDNILSHLRGFSSLKSLNLSFNELTGSTTVNGTFFNSSTLEELHLDHTSLPINFLQNIGALPALQVLSVGGSDLNGALPAQGWCELKNLKQLDISGNNLGGSLPDCMGNLSSLQLLDVSENHFTGNIASSPLTNLISLEFLSLSNNLFEVPTSMKPFLNHSSLKFFSSENNKLVADPAAFHDLIPKFQLVFLSLSKTTEALNVEIPNFLYYQYHLRFLHLSHNNITGMFPSWLLKNNTRLEQLYLSENSFVGTLQLQDHLYPNMTELDISNNNMSGQIPKDICLIFPNLQTLMMAKNGFTGCIPSCLGNISSLEMLDLSNNQLSTVKLGQLTTLLFLNLSNNNLGGNNFWGQISDFPLYGWKKWIVLDLSYNQFSGMLPRWFVNSTDLRVINLSKNHFKGPIHRDFCKLGHLEYLDLSENNLSGYIPSCFSPPSLIYVHLSKNRLSGPLTYGFSNSSSLVTMDLRDNSFIGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSKNQLSGTIPSCLGNLTFMASSQKAFVDLNVGFESWSIERAYYETMGPPLVNSMYSLGKDFMVNFTEVIEFTTKNMYYCYKGKILGYMSGIDLSNNNFVEAIPPEFGNLIELLSLNLSHNNLTGSVPATFSNLKQIESLDLSYNNLNGVIPPQLTEITMLEVFSVAHNNLSGKTPERKFQFGTFDESCYEGNPFLCGPPLRNNCSEEAVSSQLVPDDEQGDDGFIDIDFFYISFGVCYTVVVMTIAIVLYINPYWRRRWLYFIEDCIDTCYYFVVASFRKFSNFRR >Potri.003G013700.2.v4.1 pep chromosome:Pop_tri_v4:3:3098395:3103940:-1 gene:Potri.003G013700.v4.1 transcript:Potri.003G013700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013700.v4.1 MMMKRMGAWMLLAILLTLVGEWYGRCYGCLEEERIGLLEIQSLINPHGVSWRDHWVDTNSNCCEWRGIECDNTTRRVIQVSLFGERDESLGDWVLNASLFQPFKELQSLDLGWNGLVGCLENEGFEVLSSKLSNLDLRVNQFTNDKSILSCFNGNLSTLKSLDLSHNGLTGGSGGSFYGFKVLSSRLKKLENLLLWGNQFNDSICPSLTGFSSLKSLDLSHNQLTGSGLKVLSSRLKKLENLHLWGNQYDESIFPSLTGFSSLKSLDLSYNMLTGSGFEIISSHLGKLENLDLSSNNIFNDNILSHLRGFSSLKSLNLSFNELTGSTTVNGTFFNSSTLEELHLDHTSLPINFLQNIGALPALQVLSVGGSDLNGALPAQGWCELKNLKQLDISGNNLGGSLPDCMGNLSSLQLLDVSENHFTGNIASSPLTNLISLEFLSLSNNLFEVPTSMKPFLNHSSLKFFSSENNKLVADPAAFHDLIPKFQLVFLSLSKTTEALNVEIPNFLYYQYHLRFLHLSHNNITGMFPSWLLKNNTRLEQLYLSENSFVGTLQLQDHLYPNMTELDISNNNMSGQIPKDICLIFPNLQTLMMAKNGFTGCIPSCLGNISSLEMLDLSNNQLSTVKLGQLTTLLFLNLSNNNLGGNNFWGQISDFPLYGWKKWIVLDLSYNQFSGMLPRWFVNSTDLRVINLSKNHFKGPIHRDFCKLGHLEYLDLSENNLSGYIPSCFSPPSLIYVHLSKNRLSGPLTYGFSNSSSLVTMDLRDNSFIGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSKNQLSGTIPSCLGNLTFMASSQKAFVDLNVGFESWSIERAYYETMGPPLVNSMYSLGKDFMVNFTEVIEFTTKNMYYCYKGKILGYMSGIDLSNNNFVEAIPPEFGNLIELLSLNLSHNNLTGSVPATFSNLKQIESLDLSYNNLNGVIPPQLTEITMLEVFSVAHNNLSGKTPERKFQFGTFDESCYEGNPFLCGPPLRNNCSEEAVSSQLVPDDEQGDDGFIDIDFFYISFGVCYTVVVMTIAIVLYINPYWRRRWLYFIEDCIDTCYYFVVASFRKFSNFRR >Potri.005G121200.1.v4.1 pep chromosome:Pop_tri_v4:5:8988349:8991049:1 gene:Potri.005G121200.v4.1 transcript:Potri.005G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121200.v4.1 MVTVEESVVNTKLSPPNSYSAVVLGGTFDRLHDGHRLFLKAAAELAKDRIVIGVCDGPMLKNKQFAELIQPIEERMHNVENYIKSFKPELVVQTETITDPYGPSIVDENLGAIVVSKETVAGGLSVNKKRADRGLSQLKIEVVDLVPEESGGANKLSSTTLRRLESEKAKEQQTPTES >Potri.009G132400.1.v4.1 pep chromosome:Pop_tri_v4:9:10753856:10755082:-1 gene:Potri.009G132400.v4.1 transcript:Potri.009G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132400.v4.1 MDDLTDDLLLEILLRLPTAKSAIRCMLVCKRWCSLIPTRSFITRFKTHHTNEKKKKMCDYDAAYQCPLSFFISTEIEDPEDGIILMTPNDELEENLYTLEFLPKKEKFVAVKASCNDLLFCLASDENYTVTNQYICNPFTEKWLLLPPPPKRRSYTPRGESAFSGLVCEPNSPRRDVQGQEYEPRFRVLEFTPISELDAYMDVYCSETGKWNEFILSGRQYDSFLNVVAHDGKLHWYNGSDVVTYDPFDGGQTSFIDGSEIVLSRSDPWINRSECLGVCQGFLRCMRLEYAAVGGDDDNLSVWELKDYESRRMILTRKISFDNMIFKDPDLCHVSMSSMSMFAPEAAAFHPNNKDVVCLFVFPHFILCNIQSGEFEIINPSLYGKEQYAYKVLPIALPWWPTPVSTIP >Potri.009G016700.1.v4.1 pep chromosome:Pop_tri_v4:9:2846975:2852978:1 gene:Potri.009G016700.v4.1 transcript:Potri.009G016700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016700.v4.1 MGDTRSSATAYDDLLLKSFFAEVSEVERDNEVARILSCFKLNPFEYLNLPFEASPEDLKKQYRKLSLLVHPDKCKHPQAKEAFAALAKAQKLLLDEQERDYVLTQVNAAKEELRAKRKKRLKKDTASKIKSLVDEGKYDQQYEWSEEFQQELKLKVREILTDQEWRRRKMQMRISEEEGRLKKDEEEQKETWKRKREHEEQWEGTREKRVSSWRDFMKTGKKGKKGETRPPKLKTEDPNKSYVQRPVKRG >Potri.009G002200.1.v4.1 pep chromosome:Pop_tri_v4:9:794724:798268:-1 gene:Potri.009G002200.v4.1 transcript:Potri.009G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G002200.v4.1 MHRAMVFQSLFLLPLLLLFSLTTATAKRLHTIPRLSPIGPRVWLDHPDQILGESVREDFETFFYNQTLDHFNYRPESYDTFLQRYLINSKYWGGANASAPILVYLGAEAPIDGDLDAVGFLVDTAVEFNSLLVYVEHRYYGKSIPFGSREEALKNASTLGYFNSAQAIADYAAIIIHIKKTLQAKDSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPVLYFDDITPQYGYYALVSKDFRGASETCYQTIRESWEEIDEVASKPDGLSILSKKFKTCNPLTDASELKNHLDSMYANAAQYNKPPTYPVNKVCGGIDGCGFGDDLLGRVFGGLVAYKGNRSCYVNEPTNQSETSVGWRWQTCSEMVMPIGYGNDSMFPPDPFDLKAYIEDCKSLYDVTPRFHWVTTYYGGHSIRLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGSHCLDILFAKETDPEWLVAQRKTEIKIIKEWINKYYADLSRF >Potri.007G096700.4.v4.1 pep chromosome:Pop_tri_v4:7:12140095:12141775:-1 gene:Potri.007G096700.v4.1 transcript:Potri.007G096700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096700.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.010G142800.1.v4.1 pep chromosome:Pop_tri_v4:10:15569816:15572336:1 gene:Potri.010G142800.v4.1 transcript:Potri.010G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142800.v4.1 MASFSSRSRTSSLTAAMLLLLGVLFMANLDMTGAQVGICYGMMGNNLPPATEVIALYKQHNIKRMRLYDPNQAALNALRDSGIEVMLGVPNSDLQRLSNPSDANSWVKNNVLNFWPSVKFRYIAVGNEISPVNGGTSWMAPFVLPALVNVFNAVRAAGLQDQIKVSIAVDMTLIGTSYPPSAGAFRGDVISYLAPIVGHLSYAKTPLFANIYTYFSYSGNPRDISLPYSLFTSPSVLVWDSGRGYQNLFDAMLDSLYSALERLGGGNTLDVVVSESGWPSAGGFGTTSDNAGTYLSNLIRHVKGGTPKRPGKAIETYIFAMFDENQKQPELEKHFGAFSPNKQPKYNLNFGGHRNWDISTEQNATVSLKSDM >Potri.010G039700.2.v4.1 pep chromosome:Pop_tri_v4:10:6934879:6938772:-1 gene:Potri.010G039700.v4.1 transcript:Potri.010G039700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039700.v4.1 MPSSHGDLDRQIEQLMECKPLGEAEVKTLCDQARAILVEEWNVQPVKCPVTICGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAHLFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Potri.010G039700.3.v4.1 pep chromosome:Pop_tri_v4:10:6934879:6938666:-1 gene:Potri.010G039700.v4.1 transcript:Potri.010G039700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039700.v4.1 MPSSHGDLDRQIEQLMECKPLGEAEVKTLCDQARAILVEEWNVQPVKCPVTICGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKFFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAHLFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Potri.001G333902.1.v4.1 pep chromosome:Pop_tri_v4:1:34219792:34231863:1 gene:Potri.001G333902.v4.1 transcript:Potri.001G333902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333902.v4.1 MKFLRTFWNSPIGPRTTHFWGPVFNWSLPIAAFVDTKKPPELISGNMVTAMCFYSAMFMRFSWMVQPRNLHLLACHITNETLQLYQLSRWMKAHRSTPQEEAKQLEEAKEQ >Potri.019G088600.1.v4.1 pep chromosome:Pop_tri_v4:19:12730728:12733736:1 gene:Potri.019G088600.v4.1 transcript:Potri.019G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088600.v4.1 MGISRLGFIAGLIWFMAMDWQGLCMAQSNVHRYNFVLQNAQFTRLCETKTMLTVNGSFPGPTIHARRGDTIYVNVHNEGDYGVTIHWHGVKQPRNPWSDGPENITQCPIQPGKNFTYEIILSDEEGTLWWHAHSDWTRATVHGAIVISPARGTTYPFPAPYAEQTIIIGSWFKGDVKAVIDEALATGGGPNISNSLTINGQPGDLYPCSEENTYRLKVNSGRTYLLRVINAVMNEEQFFGIAGHSLTVVGQDAAYIKPITTNYIMITPGQTMDILVTANRPRSYYYIASHSFADGAGIAFDNTTTTAIFQYNGNYGRPSSIPLPVLPIFNDTAAAENYTSRVRGLASRDHPVNVPQTINRRLYIAIALNFLPCTEATCTGPNRLAASMNNVSFAAKPIDILQAYYRSINGVFDADFPREPQKYFNFTGNMTSINVATARGTKVTMLNYGEAVEIVFQGTNLLAEMNHPIHLHGFSFYLVGHGKGNFNNETDPKSYNLIDPPEINTVALPRSGWAAIRFVANNPGVWFIHCHLEKHSSWGMDTVLIVRNGRTRAQSMRPPPATLPSCS >Potri.003G093500.1.v4.1 pep chromosome:Pop_tri_v4:3:11980448:11981953:-1 gene:Potri.003G093500.v4.1 transcript:Potri.003G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093500.v4.1 MSSNSSSSSTTLSIGSPLISLLVTVILIVVFFIGFFSICFYRCFMEGIMHNWHLRQNSRGLVNLASSKENPGLDSSQIQFFPTFTYSNVKDYRREQYVLECAICLAEFSYDDLLRLLTVCYHVFHQECIDLWLEAHKTCPVCRRDLDLPKEILEKTRIRDHRADINVHDADVTNALLEHAISIHIREDSGEEGGEGNDEVGSTHDADRQNEEHKKMLGLSRSHSTGHSIVATREEENRYTLRLMEHVKVKITRGHCATGSCITFGDY >Potri.017G124600.2.v4.1 pep chromosome:Pop_tri_v4:17:12888821:12890232:1 gene:Potri.017G124600.v4.1 transcript:Potri.017G124600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124600.v4.1 MAFFSVTISCYDNLLLKKSINGLYTQPRASLDHIKFFPMKTQATYAANPSFEQSFRAHEENNKPQKVPLPPFGFKEYMIRKGNQVNKALDEAVPLQHPRKIHEAMRYSLLAGGKRVRPILCIASCELVGGDEELAMPTACALEMIHTMSLIHDDLPCMDNDDLRRGMPTSHKVFGEDTAVLAGDALLALAFEHVARNTKNVSSDRMVQALAELGSAVGSKGLVAGQVVDIESEGKEVSLSTLEYIHVHKTAKLLEAAVVCGAIMGGADATSVERLRKYARSIGLLFQVVDDILDMTKSSEELGKTAGKDLTSDKATYPKLIGIDEAKKFAAQLTDQANQELGFYDPVKAAPLYHLASYIASRQN >Potri.005G227300.1.v4.1 pep chromosome:Pop_tri_v4:5:22770983:22772920:-1 gene:Potri.005G227300.v4.1 transcript:Potri.005G227300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227300.v4.1 MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIEINRVPKKKALIEAMEKADVKNKWENSSWGRRLTVQKRRASLNDFDRFKLMLAKIKKAGIVRQELAKLKKETA >Potri.016G120466.2.v4.1 pep chromosome:Pop_tri_v4:16:12525176:12525739:1 gene:Potri.016G120466.v4.1 transcript:Potri.016G120466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120466.v4.1 MPSSINTGLVSGRCPSDQQSLLLQLKKTLLFNESMSTKLVTWNPSSDCCEWRGISCDIGGLNRVIGLDLSNESITGGLDDSSGLFSLQFLQSLNLSFNSFSTALPVGFANLTDLISLNLSSAGFTGQIPNDISKLTKLVSLDLSALSFPGSPALKLKKPNFATLVQNLTHLTELLLDGVNISAHGND >Potri.011G139600.1.v4.1 pep chromosome:Pop_tri_v4:11:17049549:17052616:-1 gene:Potri.011G139600.v4.1 transcript:Potri.011G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139600.v4.1 MSWCVLKPFNVSLINVGAVRNRIPFAIGGIGCASVVATGFWRQCCTNRLTTPPFASLNTCTFPPQKEAVKTDKAPAALGPYSQAIKSNNLVFVSGVLGLIPETGKFVSQDVEDQTEQVLKNMGEILKASGSDYSLVVKTTIMLADLKDFKKVNDIYANYFPAPFPARSTYQVAALPLDAKIEIECIAELPQKVEPQPVSHT >Potri.014G004100.1.v4.1 pep chromosome:Pop_tri_v4:14:334723:339163:1 gene:Potri.014G004100.v4.1 transcript:Potri.014G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G004100.v4.1 MAGFVAPGTTLLGNEATSEAAKKINEVHKKVDYLNLPCPIPYEELHREALMSLKPEYFEGMRFDFTKGLNQKFSLSHSVMMGPMEIPSQSSEAIKIPTAHYEFGANFIDQKTMLIGRVLTDGRVNARVKCDLTDDLALKANAQLTNEPHMSHVMLNFDYKGKDYRSQLQLGNGALFGASYIQSVTPHMSLGAEVFWAGQHRKSGVGYAGRYETDKMVAAGQVASTGLMALSYVQKVSEKVSLATDFMYNYLSKDVTASVGYDYALRQARLRGKIDSNGCTSAFLEERLNMGLNFVLSAELDHKKKDYKFGFGLTVG >Potri.016G031500.1.v4.1 pep chromosome:Pop_tri_v4:16:1767246:1768544:1 gene:Potri.016G031500.v4.1 transcript:Potri.016G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031500.v4.1 MASNKKIVDEVPGWIRVFEDGTVDRTWTGAPEMEPLLKPVSPHEEFINGVAVRDQIIDSKTGLAVRIYVPEMKSNVQTKAKIPLILHLHGGGYCICQPDWSLYYHFCTRLVSSVQAVLVSVYFRLAPEHRLPVAVEDSYAALLWLRANARGELSDQWLTSYADFNRVFLVGDSSGGNLVHQVAAQAGFDDIEPLKLRGGIAIQPGFISDKPSKSYLEIPENPLSTREMMKKFTSLAVPIGSTGEHPIVWPIGPQAPPLTTLKLPPMLVVVAEMDLLRDYELEYCEEMKKAGKEVEVFMNYGMSHSFQFNKLAIDMDPEIATQTNKMIEVIVSFINRSS >Potri.006G282700.1.v4.1 pep chromosome:Pop_tri_v4:6:27219245:27220490:-1 gene:Potri.006G282700.v4.1 transcript:Potri.006G282700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282700.v4.1 MELPEELSRFNSLQELVLDGCSNLDSMNMELEHHQGRKLLQSDGIVASASYITSLPLKLFFPSRFSARKMLRFTLFSLPRFLESLDLSGTPIRFFPESIKDLGLLRVLILRNCKMLQALPELPSHLDSLDVSFCYSLQSLANRHRWILADGCDHLAEFQDRIKQELIQKFDSHMFRIMETVCAQIQTSRFEVLFDHGKFNVYVFDEDEELRVFYEEEEEDKWLIQNEFVDITFHSKYPHLGRSGYVDLICSQGVV >Potri.003G116450.1.v4.1 pep chromosome:Pop_tri_v4:3:13842030:13842931:-1 gene:Potri.003G116450.v4.1 transcript:Potri.003G116450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116450.v4.1 MKLVALPVSLFLNASPVLNFSSAVFLHLLLNILIWVIVLWSYKPSKDELDGLWLPKPSLCEYPYVKKKGENQSNGNAESSCEVAGDTCFKSKSENHRNEKDRDNDNGKDNDEKHSCSDGCNEDDYNNGSASVIGCQDVAEEFDDNLEKRIEDFIAKVNKGWREEMLREGTNKQSPSS >Potri.004G186300.2.v4.1 pep chromosome:Pop_tri_v4:4:19986343:19990313:1 gene:Potri.004G186300.v4.1 transcript:Potri.004G186300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186300.v4.1 MDAVPSISEIVESNEELDFESKMDEKARKHSVLKFGSRYSIEDDINRLFEAIEIRTSAKHLDAGVKDALRKKAMKRPMKVGSPQMSGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPTSSSRSSEVGTIKRLYRAVVVEADGSVLPLNEGNGNLVEISLVPEKITSNSSEKMFESSQMVKAELSDQNPHSSRDQIVPLPTGFDSQISKEELRELKSTDCSSTSHVGEKLKEVDEIAPASIKISVKTPVPEEKKNQLHAASSLSVSGSSPGHTVNNSVCNSPCFMKPIFRSKNFIKKKVKQDSASASSSSNPSSRKVNSDLNPSTSNLDNMVHDCILESVKREGTKASPASSSRNNSTEYNSIIADTSSSRLGSSLNGANRTGSILAKVDEKSRSREKGEFSQSSKSSIGDYSSSTSISDDSNLSGSSHSGSRPHMSKDLRWEAIRHTQKQHGSFCLKHFKLIKKLGCGDIGSVYLAELTGTNCLFALKVMDNDYLATRKKMSRALTERKILQMLDHPFLPTLYAHFVSDKLSCLVMEYCPGGDLHVLRQKQSGRSFSEPAARFYVAEVLVALEYLHMLGVVYRDLKPENILVREDGHIMLSDFDLSLRCAVNPILLKSSSPAEEPTKKMSSPCSEASCIDPFCLHPAWQVSCFTPRLLSVAAKSQKLKSDLAAQVSPLPQVVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEETLSNVVSRSLKFPSNPIVSFHARDLIRGLLIKEPGDRLGSAKGAAEIKQHPFFDGLNWALIRCAIPPELPNQCDVGIASNTFSQNKDCAKFKDTAELREFEMF >Potri.004G186300.3.v4.1 pep chromosome:Pop_tri_v4:4:19985013:19990195:1 gene:Potri.004G186300.v4.1 transcript:Potri.004G186300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186300.v4.1 MDAVPSISEIVESNEELDFESKMDEKARKHSVLKFGSRYSIEDDINRLFEAIEIRTSAKHLDAGVKDALRKKAMKRPMKVGSPQMSGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPTSSSRSSEVGTIKRLYRAVVVEADGSVLPLNEGNGNLVEISLVPEKITSNSSEKMFESSQMVKAELSDQNPHSSRDQIVPLPTGFDSQISKEELRELKSTDCSSTSHVGEKLKEVDEIAPASIKISVKTPVPEEKKNQLHAASSLSVSGSSPGHTVNNSVCNSPCFMKPIFRSKNFIKKKVKQDSASASSSSNPSSRKVNSDLNPSTSNLDNMVHDCILESVKREGTKASPASSSRNNSTEYNSIIADTSSSRLGSSLNGANRTGSILAKVDEKSRSREKGEFSQSSKSSIGDYSSSTSISDDSNLSGSSHSGSRPHMSKDLRWEAIRHTQKQHGSFCLKHFKLIKKLGCGDIGSVYLAELTGTNCLFALKVMDNDYLATRKKMSRALTERKILQMLDHPFLPTLYAHFVSDKLSCLVMEYCPGGDLHVLRQKQSGRSFSEPAARFYVAEVLVALEYLHMLGVVYRDLKPENILVREDGHIMLSDFDLSLRCAVNPILLKSSSPAEEPTKKMSSPCSEASCIDPFCLHPAWQVSCFTPRLLSVAAKSQKLKSDLAAQVSPLPQVVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEETLSNVVSRSLKFPSNPIVSFHARDLIRGLLIKEPGDRLGSAKGAAEIKQHPFFDGLNWALIRCAIPPELPNQCDVGIASNTFSQNKDCAKFKDTAELREFEMF >Potri.004G186300.1.v4.1 pep chromosome:Pop_tri_v4:4:19985030:19990310:1 gene:Potri.004G186300.v4.1 transcript:Potri.004G186300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186300.v4.1 MDAVPSISEIVESNEELDFESKMDEKARKHSVLKFGSRYSIEDDINRLFEAIEIRTSAKHLDAGVKDALRKKAMKRPMKVGSPQMSGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPTSSSRSSEVGTIKRLYRAVVVEADGSVLPLNEGNGNLVEISLVPEKITSNSSEKMFESSQMVKAELSDQNPHSSRDQIVPLPTGFDSQISKEELRELKSTDCSSTSHVGEKLKEVDEIAPASIKISVKTPVPEEKKNQLHAASSLSVSGSSPGHTVNNSVCNSPCFMKPIFRSKNFIKKKVKQDSASASSSSNPSSRKVNSDLNPSTSNLDNMVHDCILESVKREGTKASPASSSRNNSTEYNSIIADTSSSRLGSSLNGANRTGSILAKVDEKSRSREKGEFSQSSKSSIGDYSSSTSISDDSNLSGSSHSGSRPHMSKDLRWEAIRHTQKQHGSFCLKHFKLIKKLGCGDIGSVYLAELTGTNCLFALKVMDNDYLATRKKMSRALTERKILQMLDHPFLPTLYAHFVSDKLSCLVMEYCPGGDLHVLRQKQSGRSFSEPAARFYVAEVLVALEYLHMLGVVYRDLKPENILVREDGHIMLSDFDLSLRCAVNPILLKSSSPAEEPTKKMSSPCSEASCIDPFCLHPAWQVSCFTPRLLSVAAKSQKLKSDLAAQVSPLPQVVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEETLSNVVSRSLKFPSNPIVSFHARDLIRGLLIKEPGDRLGSAKGAAEIKQHPFFDGLNWALIRCAIPPELPNQCDVGIASNTFSQNKDCAKFKDTAELREFEMF >Potri.001G065318.1.v4.1 pep chromosome:Pop_tri_v4:1:6178545:6180920:-1 gene:Potri.001G065318.v4.1 transcript:Potri.001G065318.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065318.v4.1 MGLFLQMLTVFVTMVSLQGWLPLGCLEEERIALLHLKDALNYPNGTSLPSWIKGDAHCCDWESIICDSSTGRVTELDLEGVRDRELGDWYLNASLFLPFQQLNGLYLTANRIAGLVEKKGGYEQSRLSNLEYLDLGINGFDNSILSYVERLSSLKSLYLNYNRLEGLIDLKDSLSSLERLDLSGNNINKLVASTGGYELTKSSNLEHLDLGYNRFDNSILSFVEGISSLKSLYLDYNRVEGLIDLKESLSGLELLGLNGNNINKLIASRGPSSLSTLWLENITTYGRK >Potri.018G140401.1.v4.1 pep chromosome:Pop_tri_v4:18:14554161:14554987:-1 gene:Potri.018G140401.v4.1 transcript:Potri.018G140401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140401.v4.1 MGYSIPRNEKNGYFTRDSVAESLRLVMEKEEGKIYRDKVKEMKPLFADKDRQDKYVDKLVDHLRSHGRTKKIKN >Potri.009G096500.2.v4.1 pep chromosome:Pop_tri_v4:9:8697744:8698499:1 gene:Potri.009G096500.v4.1 transcript:Potri.009G096500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096500.v4.1 MQTLWYQGERGTSHIQDAEVYQRNMEKLIEDVREDLGLPSLPIIMVAIISGDGKYVEKVREARPGINLPNMVCVDAKGLHLKEDHLQLTTESQVKLGHILADAYLKDSASSLLALLKIKGIDCPVFDLSGFCTVLLISLLFLPLLFLLIAGF >Potri.014G069000.1.v4.1 pep chromosome:Pop_tri_v4:14:4364550:4365754:-1 gene:Potri.014G069000.v4.1 transcript:Potri.014G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069000.v4.1 MASWSAENATKAYFYALKMGKRDKELDASEFISALAAGNSAKLMVIASASIDGSTTLSLVAAAHQTGGNVVCILPTKSNLSASKNALGPYADCVKFVMGDAKTLLPKDYKGADFVLVDCDLDDCKEVLRASQECSKHGKGLVVGYNAFHKGSSWSCEFKTRFLPIGEGLLVTGKDSAGKGTGGGHGHGHGKRSKWVTKVDKCTGEEHVYRVTSPRQEIEA >Potri.013G032000.2.v4.1 pep chromosome:Pop_tri_v4:13:2067018:2067652:-1 gene:Potri.013G032000.v4.1 transcript:Potri.013G032000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032000.v4.1 MGPGGPGGGGGGGGRGGPGGGGGRGGGGPGGGGGWGPGPGGPGFFGGFCDIIGSCLSCLCCCWLIRDCFGGPRGPPGR >Potri.014G100000.1.v4.1 pep chromosome:Pop_tri_v4:14:6580108:6585762:1 gene:Potri.014G100000.v4.1 transcript:Potri.014G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100000.v4.1 MEYNPEFLSQCFLHTLSPQPEPRRAAESKLAELADHPNYALAVLRLVAEPSINEQIRHAAAVNFKNHLRSRWAPSPDSSFTPILDAEKDQIKTLIVTLMLSSTPRIQSQLSESLSLIGKHDFPKSWPTLLPELVSNLRAASQSNDYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFSAPLLEMFLRTAALIDSMVSSGGGSPVTLKPLFESQRLCCRIFFSLNFQELPEFFEDHMKEWMAEFKKYLTNGYPVLESSAEGLGLVDELRAAVCENISLYMEKNEEEFKDYLNDFAQAVWTLLGNVSQSSSRDSLAVTAIKFLTTVSTSVHHTLFAVDGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDIDTKRRIACELLKGIATNYKQQVISIVSVQIQNLLTSYAANPAAHWKDKDCAIYLVVSLSTKKAGGTSVSTDLVDVQSFFASVIVPELQSQDVNAFPMLKAGALKFFTMFRNQIPKPLVLQLFPYLIQFLGAESNVVHSYAASCIEKLLLVKDEGGRSRYTSTDVAPNLLVLMNNLFTALRFPESEENQYIMKSIMRVLGVAEITPEIAGPCIAGLTSILAEVCKNPKNPIFNHYLFESVAVLVRRACERDISLIPSFETSLFPRLQEILGNDVTEFLPYAFQLLAQLVELNRPPISDTYMEIFKLLLSPDSWNRNSNVPALVRLLQAFLEKAPEKVTQEGRLAQVLGIFNRLVSAPSTDEQGFYVLNTVIENLDYGTIAPYVGHIWNALFSRLQSKRTVKFIKSLSIFMSLFVVKHGSANLVDSMNSVQAGIFLVILEQFLIPNLKLITGRIEVKLVSVASIRLICESPALLDAGAVRHWGKMLDSIVTLLSRTEEDRVGDEPEMPDIAENAGYTVSFVNLYNAGKKEEDPLKDIKDPKEFLAASLAKLSALSPARFPQIINENLDPANQAVLLQICSTYNCPIV >Potri.014G100000.3.v4.1 pep chromosome:Pop_tri_v4:14:6580107:6583341:1 gene:Potri.014G100000.v4.1 transcript:Potri.014G100000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100000.v4.1 MEYNPEFLSQCFLHTLSPQPEPRRAAESKLAELADHPNYALAVLRLVAEPSINEQIRHAAAVNFKNHLRSRWAPSPDSSFTPILDAEKDQIKTLIVTLMLSSTPRIQSQLSESLSLIGKHDFPKSWPTLLPELVSNLRAASQSNDYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFSAPLLEMFLRTAALIDSMVSSGGGSPVTLKPLFESQRLCCRIFFSLNFQELPEFFEDHMKEWMAEFKKYLTNGYPVLESSAEGLGLVDELRAAVCENISLYMEKNEEEFKDYLNDFAQAVWTLLGNVSQSSSRDSLAVTAIKFLTTVSTSVHHTLFAVDGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDIDTKRRIACELLKGIATNYKQQVISIVSVQIQNLLTSYAANPAAHWKDKDCAIYLVVSLSTKKAGGTSVSTDLVDVQSFFASVIVPELQSQDVNAFPMLKAGALKFFTMFRNQIPKPLVLQLFPYLIQFLGAESNVVHSYAASCIEKLLLVKDEGGRSRYTSTDVAPNLLVLMNNLFTALRFPESEENQYIMKSIMRVLGVAEITPEIAGPCIAGLTSILAEVCKNPKNPIFNHYLFESVAVLVRRACERDISLIPSFETSLFPRLQEILGNDVTEFLPYAFQLLAQLVELNRPPISDTYMEIFKLLLSPDSWNRNSNVPALVRLLQAFLEKAPEKVTQEGRLAQVLGIFNRLVSAPSTDEQGFYVLNTVIENLDYGTIAPYVGHIWNALFSRLQSKRTVKFIKSLSIFMSLFVVKHGSANLVDSMNSVQAGIFLVILEQFLIPNLKLITGRIEVKLVSVASIRLICESPALLDAGAVRHWGKMLDSIVTLLSRTEEDRVGDEPEMPDIAENAGYTVSFVNLYNAGKKEEDPLKDIKDPKEFLAASLAKLSALSPARFPQIINENLDPANQAVLLQICSTYNCPIV >Potri.014G100000.2.v4.1 pep chromosome:Pop_tri_v4:14:6580163:6585194:1 gene:Potri.014G100000.v4.1 transcript:Potri.014G100000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100000.v4.1 MEYNPEFLSQCFLHTLSPQPEPRRAAESKLAELADHPNYALAVLRLVAEPSINEQIRHAAAVNFKNHLRSRWAPSPDSSFTPILDAEKDQIKTLIVTLMLSSTPRIQSQLSESLSLIGKHDFPKSWPTLLPELVSNLRAASQSNDYASINGILGTANSIFKKFRYQYKTNDLLLDLKYCLDNFSAPLLEMFLRTAALIDSMVSSGGGSPVTLKPLFESQRLCCRIFFSLNFQELPEFFEDHMKEWMAEFKKYLTNGYPVLESSAEGLGLVDELRAAVCENISLYMEKNEEEFKDYLNDFAQAVWTLLGNVSQSSSRDSLAVTAIKFLTTVSTSVHHTLFAVDGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDIDTKRRIACELLKGIATNYKQQVISIVSVQIQNLLTSYAANPAAHWKDKDCAIYLVVSLSTKKAGGTSVSTDLVDVQSFFASVIVPELQSQDVNAFPMLKAGALKFFTMFRNQIPKPLVLQLFPYLIQFLGAESNVVHSYAASCIEKLLLVKDEGGRSRYTSTDVAPNLLVLMNNLFTALRFPESEENQYIMKSIMRVLGVAEITPEIAGPCIAGLTSILAEVCKNPKNPIFNHYLFESVAVLVRRACERDISLIPSFETSLFPRLQEILGNDVTEFLPYAFQLLAQLVELNRPPISDTYMEIFKLLLSPDSWNRNSNVPALVRLLQAFLEKAPEKVTQEGRLAQVLGIFNRLVSAPSTDEQGFYVLNTVIENLDYGTIAPYVGHIWNALFSRLQSKRTVKFIKSLSIFMSLFVVKHGSANLVDSMNSVQAGIFLVILEQFLIPNLKLITGRIEVKLVSVASIRLICESPALLDAGAVRHWGKMLDSIVTLLSRTEEDRVGDEPEMPDIAENAGYTVSFVNLYNAGKKEEDPLKDIKDPKEFLAASLAKLSALSPARFPQIINENLDPANQAVLLQICSTYNCPIV >Potri.019G091900.1.v4.1 pep chromosome:Pop_tri_v4:19:12969861:12973669:-1 gene:Potri.019G091900.v4.1 transcript:Potri.019G091900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091900.v4.1 MAQLPPKIPNVTPSWPDFSHKKLPIIGIMETSPPRDANIITAIVTNPSWVDEFLGFSSTRRGTHRRSVSDSIAFREEAPTMLEKCRATGAPGLGSGHNSSTDFDKFDDEQLMSMFNDDISNAVAAPNSSSTPSSPSDHNSINDEKEAIVVASKHKQQQKVRNENDEGQSPSEWETPTTVPTATDPAATSNERKIDPRRVKRILANRQSAQRSRVRKLQYISELERCVTSLQGEVSVLSPRVAYLDHQRLLLNVDNSALKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQNLKMENTSPPSASSTPSNDPTTPTTDKEQQLFQV >Potri.010G096550.2.v4.1 pep chromosome:Pop_tri_v4:10:12018459:12020198:-1 gene:Potri.010G096550.v4.1 transcript:Potri.010G096550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096550.v4.1 MSSAALSSPPFLALLISPSCQTSSPSPLLSSFPSSTTMAASNSHQKDEGYLNTVIPKRIQLFQSIQSEQLSRIQSLPHDSIKITLPDGTVKEGKRWHSSPMDIAKEIGKSVAANALISQVNGVLWDMNRPLEDDCELKIFNFESDEGRDTFWHSSAHILGQALEMEYGCKLCIGPCTTRGEVGCIHILYNSSVPLSVYLFGMIPGSFIRISVMSFML >Potri.008G135100.5.v4.1 pep chromosome:Pop_tri_v4:8:8990105:8995997:1 gene:Potri.008G135100.v4.1 transcript:Potri.008G135100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135100.v4.1 MAGVYGEGTAVLEVNGGGTATTPTPLTVSGSFKEGPKSSSRRRASVRPSFDADNEFMTLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRFSERLREKAVEELTDELSKVDEKLKLMESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLKVLEESLRGSSSNNRSTPEGRGISNGPSRRQSLGGADNISKLTSNGFLSKRTQSRSLSSSTSSVLKHAKGTSKSFDGGTRSLDRSRKLLLNGAGQNHSFNQPCDGTKDTETPSPWKGNSDEKPNEFPLADTEDSVPGILYELLQKQVVALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAVMEKEVAAMRVEKEHENRAKRFSNSKGPVSATQMLPGRNVSRSGLTRSTQ >Potri.008G135100.2.v4.1 pep chromosome:Pop_tri_v4:8:8990045:8996011:1 gene:Potri.008G135100.v4.1 transcript:Potri.008G135100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135100.v4.1 MAGVYGEGTAVLEVNGGGTATTPTPLTVSGSFKEGPKSSSRRRASVRPSFDADNEFMTLLHGSDPVKVELNRLENEVRDKDRELGEAQAEIKALRFSERLREKAVEELTDELSKVDEKLKLMESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLEERRFLQGEMQQLRDKLAITERTAKSEAQLKEKYQLRLKVLEESLRGSSSNNRSTPEGRGISNGPSRRQSLGGADNISKLTSNGFLSKRTQSRSLSSSTSSVLKHAKGTSKSFDGGTRSLDRSRKLLLNGAGQNHSFNQPCDGTKDTETPSPWKGNSDEKPNEFPLADTEDSVPGILYELLQKQVVALRKAGHEKDQSLKDKDDAIEMLAKKVETLTKAMEVEAKKMRREVAVMEKEVAAMRVEKEHENRAKRFSNSKGPVSATQMLPGRNVSRSGLTRSTQ >Potri.019G039000.4.v4.1 pep chromosome:Pop_tri_v4:19:5392801:5398277:1 gene:Potri.019G039000.v4.1 transcript:Potri.019G039000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039000.v4.1 MAKAFQCSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSALSSWNSTTDFCNTEPNASVTVVCYENSITQLHIIGNKGTPLLPRNFSIDSFVTTLVGLPNLKVLTLVSLGLWGPLPGKIARLSSLEILNVSSNFLYDAVPQEISSLAALQSLVLDDNMFADEVPNWIGSLPVLSVLSLKKNMLNGSLPDSLSNLDNLRVLVLSHNYFRGEVPDLSSLTNLQVLDLEDNALGPQFPLLGNKLISLVLSKNKFRDGLPAEVTSYYQLQRLDLSSNKFVGPFPQSLLSLPSVTYLNVADNKFTGMLFENQSCSADLEFVDLSSNLMTGQLPNCLLQDSKRKVLYAANCLATGDENQHPISLCRNEALAVGILPQRKKRKASKETIAFGVIGGIVGGIALVGLIYLAVRKVKSRKTIKRPNTRLIAENASTGYPSNLLPDARYISQTMKLGALGLPPYRTFSLEEVEEATNNFDTSAFMGEGSQGQMYRGRLKDGSLVAIRCLKMKRSHSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISGGHAWQKLQWTHRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLIAKISSYNLPLLAENKGMVVHGTSSGASKDLSTSARINQDQKVDVYDFGLILLEIIVGRSLTSKNEVRVLKDQLQASITSDDTARSSIVDPVVRRSCSDQSLKTMMEICVSCLLKNPADRPSVEDILWNLQYAAQVQDPWRGDSQSSEGSPVSPAIRPRLHITIH >Potri.019G039000.1.v4.1 pep chromosome:Pop_tri_v4:19:5392848:5398273:1 gene:Potri.019G039000.v4.1 transcript:Potri.019G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039000.v4.1 MAKAFQCSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSALSSWNSTTDFCNTEPNASVTVVCYENSITQLHIIGNKGTPLLPRNFSIDSFVTTLVGLPNLKVLTLVSLGLWGPLPGKIARLSSLEILNVSSNFLYDAVPQEISSLAALQSLVLDDNMFADEVPNWIGSLPVLSVLSLKKNMLNGSLPDSLSNLDNLRVLVLSHNYFRGEVPDLSSLTNLQVLDLEDNALGPQFPLLGNKLISLVLSKNKFRDGLPAEVTSYYQLQRLDLSSNKFVGPFPQSLLSLPSVTYLNVADNKFTGMLFENQSCSADLEFVDLSSNLMTGQLPNCLLQDSKRKVLYAANCLATGDENQHPISLCRNEALAVGILPQRKKRKASKETIAFGVIGGIVGGIALVGLIYLAVRKVKSRKTIKRPNTRLIAENASTGYPSNLLPDARYISQTMKLGALGLPPYRTFSLEEVEEATNNFDTSAFMGEGSQGQMYRGRLKDGSLVAIRCLKMKRSHSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISGGHAWQKLQWTHRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLIAKISSYNLPLLAENKGMVVHGTSSGASKDLSTSARINQDQKVDVYDFGLILLEIIVGRSLTSKNEVRVLKDQLQASITSDDTARSSIVDPVVRRSCSDQSLKTMMEICVSCLLKNPADRPSVEDILWNLQYAAQVQDPWRGDSQSSEGSPVSPAIRPRLHITIH >Potri.019G039000.7.v4.1 pep chromosome:Pop_tri_v4:19:5392848:5398452:1 gene:Potri.019G039000.v4.1 transcript:Potri.019G039000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039000.v4.1 MAKAFQCSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSALSSWNSTTDFCNTEPNASVTVVCYENSITQLHIIGNKGTPLLPRNFSIDSFVTTLVGLPNLKVLTLVSLGLWGPLPGKIARLSSLEILNVSSNFLYDAVPQEISSLAALQSLVLDDNMFADEVPNWIGSLPVLSVLSLKKNMLNGSLPDSLSNLDNLRVLVLSHNYFRGEVPDLSSLTNLQVLDLEDNALGPQFPLLGNKLISLVLSKNKFRDGLPAEVTSYYQLQRLDLSSNKFVGPFPQSLLSLPSVTYLNVADNKFTGMLFENQSCSADLEFVDLSSNLMTGQLPNCLLQDSKRKVLYAANCLATGDENQHPISLCRNEALAVGILPQRKKRKASKETIAFGVIGGIVGGIALVGLIYLAVRKVKSRKTIKRPNTRLIAENASTGYPSNLLPDARYISQTMKLGALGLPPYRTFSLEEVEEATNNFDTSAFMGEGSQGQMYRGRLKDGSLVAIRCLKMKRSHSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISGGHAWQKLQWTHRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLIAKISSYNLPLLAENKGMVVHGTSSGASKDLSTSARINQDQKVDVYDFGLILLEIIVGRSLTSKNEVRVLKDQLQASITSDDTARSSIVDPVVRRSCSDQSLKTMMEICVSCLLKNPADRPSVEDILWNLQYAAQVQDPWRGDSQSSEGSPVSPAIRPRLHITIH >Potri.019G039000.6.v4.1 pep chromosome:Pop_tri_v4:19:5392639:5398531:1 gene:Potri.019G039000.v4.1 transcript:Potri.019G039000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039000.v4.1 MAKAFQCSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSALSSWNSTTDFCNTEPNASVTVVCYENSITQLHIIGNKGTPLLPRNFSIDSFVTTLVGLPNLKVLTLVSLGLWGPLPGKIARLSSLEILNVSSNFLYDAVPQEISSLAALQSLVLDDNMFADEVPNWIGSLPVLSVLSLKKNMLNGSLPDSLSNLDNLRVLVLSHNYFRGEVPDLSSLTNLQVLDLEDNALGPQFPLLGNKLISLVLSKNKFRDGLPAEVTSYYQLQRLDLSSNKFVGPFPQSLLSLPSVTYLNVADNKFTGMLFENQSCSADLEFVDLSSNLMTGQLPNCLLQDSKRKVLYAANCLATGDENQHPISLCRNEALAVGILPQRKKRKASKETIAFGVIGGIVGGIALVGLIYLAVRKVKSRKTIKRPNTRLIAENASTGYPSNLLPDARYISQTMKLGALGLPPYRTFSLEEVEEATNNFDTSAFMGEGSQGQMYRGRLKDGSLVAIRCLKMKRSHSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISGGHAWQKLQWTHRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLIAKISSYNLPLLAENKGMVVHGTSSGASKDLSTSARINQDQKVDVYDFGLILLEIIVGRSLTSKNEVRVLKDQLQASITSDDTARSSIVDPVVRRSCSDQSLKTMMEICVSCLLKNPADRPSVEDILWNLQYAAQVQDPWRGDSQSSEGSPVSPAIRPRLHITIH >Potri.019G039000.3.v4.1 pep chromosome:Pop_tri_v4:19:5392801:5398266:1 gene:Potri.019G039000.v4.1 transcript:Potri.019G039000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039000.v4.1 MAKAFQCSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSALSSWNSTTDFCNTEPNASVTVVCYENSITQLHIIGNKGTPLLPRNFSIDSFVTTLVGLPNLKVLTLVSLGLWGPLPGKIARLSSLEILNVSSNFLYDAVPQEISSLAALQSLVLDDNMFADEVPNWIGSLPVLSVLSLKKNMLNGSLPDSLSNLDNLRVLVLSHNYFRGEVPDLSSLTNLQVLDLEDNALGPQFPLLGNKLISLVLSKNKFRDGLPAEVTSYYQLQRLDLSSNKFVGPFPQSLLSLPSVTYLNVADNKFTGMLFENQSCSADLEFVDLSSNLMTGQLPNCLLQDSKRKVLYAANCLATGDENQHPISLCRNEALAVGILPQRKKRKASKETIAFGVIGGIVGGIALVGLIYLAVRKVKSRKTIKRPNTRLIAENASTGYPSNLLPDARYISQTMKLGALGLPPYRTFSLEEVEEATNNFDTSAFMGEGSQGQMYRGRLKDGSLVAIRCLKMKRSHSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISGGHAWQKLQWTHRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLIAKISSYNLPLLAENKGMVVHGTSSGASKDLSTSARINQDQKVDVYDFGLILLEIIVGRSLTSKNEVRVLKDQLQASITSDDTARSSIVDPVVRRSCSDQSLKTMMEICVSCLLKNPADRPSVEDILWNLQYAAQVQDPWRGDSQSSEGSPVSPAIRPRLHITIH >Potri.019G039000.8.v4.1 pep chromosome:Pop_tri_v4:19:5392853:5398281:1 gene:Potri.019G039000.v4.1 transcript:Potri.019G039000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039000.v4.1 MAKAFQCSAILLCVVLVLLISGSEQLQSSQGETLLRIQRLLNYPSALSSWNSTTDFCNTEPNASVTVVCYENSITQLHIIGNKGTPLLPRNFSIDSFVTTLVGLPNLKVLTLVSLGLWGPLPGKIARLSSLEILNVSSNFLYDAVPQEISSLAALQSLVLDDNMFADEVPNWIGSLPVLSVLSLKKNMLNGSLPDSLSNLDNLRVLVLSHNYFRGEVPDLSSLTNLQVLDLEDNALGPQFPLLGNKLISLVLSKNKFRDGLPAEVTSYYQLQRLDLSSNKFVGPFPQSLLSLPSVTYLNVADNKFTGMLFENQSCSADLEFVDLSSNLMTGQLPNCLLQDSKRKVLYAANCLATGDENQHPISLCRNEALAVGILPQRKKRKASKETIAFGVIGGIVGGIALVGLIYLAVRKVKSRKTIKRPNTRLIAENASTGYPSNLLPDARYISQTMKLGALGLPPYRTFSLEEVEEATNNFDTSAFMGEGSQGQMYRGRLKDGSLVAIRCLKMKRSHSTQNFMHHIELISKLRHRHLVSALGHCFECYLDDSSVSRIFLVFEYVPNGTLRSWISGGHAWQKLQWTHRIAAAIGVAKGIQFLHTGIVPGVYSNNLKITDVLLDQNLIAKISSYNLPLLAENKGMVVHGTSSGASKDLSTSARINQDQKVDVYDFGLILLEIIVGRSLTSKNEVRVLKDQLQASITSDDTARSSIVDPVVRRSCSDQSLKTMMEICVSCLLKNPADRPSVEDILWNLQYAAQVQDPWRGDSQSSEGSPVSPAIRPRLHITIH >Potri.008G202200.1.v4.1 pep chromosome:Pop_tri_v4:8:14500145:14502194:-1 gene:Potri.008G202200.v4.1 transcript:Potri.008G202200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202200.v4.1 MTMRLSLSAYKQVQPRVNFQGGLNMKPFFRRKDKVVFVLGPTGTGKSRLAIDLATHFPAEVVNCDKMQVYKGLDIVTNKVTEEECRGVPHHLLGIADPNADFTSDDFRHHASLVVESIVTRDRLPIIAGGSNSYVEALANDDPEFRLRYECCFLWVDVSLPLLHSFVSDRVDRMVRAGLIDEVRDVFDPTKFDDYSQGIKRAIGVPELDQFLRNETIVDAKTRRKLLDEAIEKIKENTCMLARRQLQKIRRLHSIWNWKMHRIDATPVFLASGKEADNLWDQIVAGPSTMIVNQFLCEENHVSPIVPSESINMVPISVPAMAAVASR >Potri.008G216700.2.v4.1 pep chromosome:Pop_tri_v4:8:16401286:16404221:1 gene:Potri.008G216700.v4.1 transcript:Potri.008G216700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216700.v4.1 MMEGLSEDERKALRGSKFAPLPPPSFRSQQPRLAHPGGPLRTNKAAALAKFLERKLQDPNGLASIDPDILELAVKNVKDTVLTSGTSKSGTIIHHVTDFGNSEESFEEDRVEKSSPKKRKGKNKKRGKKNKKQKIVDDSECANLKKRKKKLKL >Potri.008G216700.7.v4.1 pep chromosome:Pop_tri_v4:8:16401289:16403566:1 gene:Potri.008G216700.v4.1 transcript:Potri.008G216700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216700.v4.1 MMEGLSEDERKALRGSKFAPLPPPSFRSQQPRLAHPGGPLRTNKAAALAKFLERKLQDPNGLASIDPDILELAVKNVKDTVLTSGTSKSGTIIHHVTDFGNSEESFEEDRVEKSSPKKRKGKNKKRGKKNKKQKDKLQISLNVQSKGLLLLFLISNSRGKICYQFSQSS >Potri.010G113400.1.v4.1 pep chromosome:Pop_tri_v4:10:13307244:13310840:-1 gene:Potri.010G113400.v4.1 transcript:Potri.010G113400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113400.v4.1 MGADQQQGLPSYYSILGVSSESSINEIKRAYRKLAMQWHPDRLTRTPSLLGEAKRKFQQIQEAYAVLSDHRKRTMYDAGLYDPEDQEDEGLSDFVQEILNLMAQDKRQDKSYSMEELQTMLSEMAQGFETSSWYCTPSILEEPRNSKRARCDADPMMDRGSSHFSLSGWGVYGCG >Potri.010G113400.3.v4.1 pep chromosome:Pop_tri_v4:10:13307668:13312859:-1 gene:Potri.010G113400.v4.1 transcript:Potri.010G113400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113400.v4.1 MEDQDVRWKIQAQRPQDFGKVEYVLRAWLASLQVLMGADQQQGLPSYYSILGVSSESSINEIKRAYRKLAMQWHPDRLTRTPSLLGEAKRKFQQIQEAYAVLSDHRKRTMYDAGLYDPEDQEDEGLSDFVQEILNLMAQDKRQDKSYSMEELQTMLSEMAQGFETSSWYCTPSILEEPRNSKRARCDADPMMDRGSSHFSLSGWGVYGCG >Potri.T044700.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:403236:411619:1 gene:Potri.T044700.v4.1 transcript:Potri.T044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T044700.v4.1 MSGAPVKRSHEEGGHSSSLKFPPHEDTGSYPKLTSGVSNEYHLPYEMGPDARVAKIPRTESRDVDRRSPLHSMYRIPPSSNESHMDSRLNVAPERRPESRDSKDCRDFRIENREPRTDAREMYGEAKRNSQSVKNEKDVRFESRGDDNKEVKHDREARIEPKNDMKIEKDGFGPASSQVNWKEPKEYHRGKRCLESAGVHVDPWHISRGNSQGPVEIEKEVVSIEERDHAKVHEAVGENKVELKGEDRFEDKDRKRKDLKLREWGDRDKERSERRGSMQVGNSIAEGKELVKEEREGERWEWERKDLSKDRERLKEREKDHMKRESGTGAEKEGLHSEKESVDGSVRISEQENPALEPKKQKDFDIWKNVDKEAKDKKKEREADIEGDRPEKGSTMCGKESDDGCADGEIATERERGVFNYGVEQRKRMLRPRGSPQVVNCEPRFSSHTQDCEGCRGKSEVSSVIYKVSECMQELIKLWKEYEASQSDKNSESSHKGPTLEIQIPAEHITATNRQVRGGQLWGTDIYTNDSDLVAVLMHTGYFCPTASPPPPAIQELCATIRVLPPQDSYISMLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHTSAVEPTLAPVAVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKVTQASQVHEETNKIHNHHPHSSNGEKHDFDNVLIDVFRWSRCKKPLPQKVMQSVGIPLPLEHVEVLEENLDWEDVQWSQTGVWIDGKEFTLARVRFLSPS >Potri.019G014372.1.v4.1 pep chromosome:Pop_tri_v4:19:2309981:2318163:1 gene:Potri.019G014372.v4.1 transcript:Potri.019G014372.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014372.v4.1 MDNLKLRGLQNQTTRSNASNPGLDTALQSHIRGLNAQQVGYDSFWNYVEERADDGRMKCKFCPHTYAIKTSISRIKWHLSGAEGHGVAICRGVSKEVQEAAFLAMCGGNKRLKRTESSINVNDSGISTCPQEQDIKIENMGGGIGRVQREVQVVEPGAEEERISSQAIARNDEVSSNSEDDLQVTGLQIQTARPNASNPGLDTSLQSQLRGLNTQQVEMVGSKDPFWNYIEDMADGSMKCKFCPHTFANKTSISRIKWHLSGEEGHHVAICRGVTKEVQEAAFLAMCGGNKRLKSTESSINVNDSGISTCPQEQNIKIENMGGGIGRVHREVQVVDPGVEEERISSQAIARNDEVSSNSEDDLEVTGLQIQTARPNASNPGLDTSLQSQLRGLNTQQVEMVGSKDPFLNYIEDMADGSMKCKFCPHTFANKTSISRIKWHLSGAEGHGVAICRGVTKEVQEAAFLAMCGGNKRLKSTESSINVNDSGISTCPQEQNIKIENMGGGIGRVHREVQVVDPGVEEERISSQAIARNDEVSSNSEDDLEVTGLQIQTARPNASNPGLDTSLQSQLRGLNTQQVEMVGSKDPFLNYIEDMADGSMKCKFCPHTFANKTSISRIKWHLSGAEGHGVAICRGVSKEVQEAAFLAMCGGNKRLKRTESSINVNDSGISTCPQEQDIKIENMGGGIGRVQREVQVVEPGAEEERISSQAIARNDEVSMTGVRAHEDSVSKEALESRLRTEPVDRALEQSNAVLGNLAGCAGRIQVGVQGMEQGPGEERIQSHLQAENDIENTGEGSFQHDAFESIPRTEQVQLLEPRGDSSQICLDIGRCYGQPCASSVNNEVTMHDEQDMVRVRTEPVEEEDVESSGRSVVQAGAGARSSKSLKYNNSTGVPLPTSSTKPVGQAFEENTKVIWSLLMDDEVPTIGVYGMGGVGKTTILQHIHNELLQRPDICDHVWWVTVSQDFSINRLQNIIAKHLDLDISREVDNLHRAAKLSEKLMKKQKWILILDDLWNSFELQKVGIPVRLKGCKLIMTTRSETVCHQMTCQHKIKVKPLSEGEAWTLFMEKLGSDIALSPEVAKAIARECAGLPLGISTVARSLRGVDDLHEWRNALKKLRESEFRDNEVFKLLRFSYDRLGDLALQQCLLYCALFPEDCEIEREMLIGYLIDEGIIKGMRSRKDAFDEGHTMLNKLERVCLLESAQMTHVKMHDLIRDMTIHILLENSQVMVKAGAQLKELPDAEEWTENLTRVSLMQNQIKAIPSSYSPRCPYLSTLLLCQNRWLGFIADSFFKQLHGLKVLDLSCTGIKNLPDSVSDLVSLTALLLNDCWKLRHVPSLKKLRELRRLDLSCTTLEKMPQGMKCLTNLRYLRMNGCGEKEFPSGILPKLIHLQVFVLEEFFKDFYAPITVEGKEVGSLRNLETLECHFKGVSDFVEHLRSRDGILSLSTYRISIGMVDEDFWEYIDFFPSKTVGLSNLSINKDRDFQVKFLNGIQGLVCQCIDARSLCDVLSLEKATELEEISIRGCNNMESLFSSSWFCNAPPPLPSYNGIFSGLKEFYCRDSKSMKKLLPLVLLPNLVNLEMIDVSDCEKMEEIIGTTDEESNTSSSIAELKLPKLRALRLRYLPELKSICSAKLICNSLEDITVMYCEKLKRMPICLPLLENGQPSPPPSLKNIYSSPQEWWETVVEWEHPNAKDVLRPFVKFWPLI >Potri.002G087200.4.v4.1 pep chromosome:Pop_tri_v4:2:6247603:6259661:1 gene:Potri.002G087200.v4.1 transcript:Potri.002G087200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087200.v4.1 MASGGAAAGGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAAASCPISIFRLPFSAL >Potri.002G087200.2.v4.1 pep chromosome:Pop_tri_v4:2:6247621:6259984:1 gene:Potri.002G087200.v4.1 transcript:Potri.002G087200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087200.v4.1 MASGGAAAGGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGSELRLIPRDRVGASEC >Potri.016G009800.7.v4.1 pep chromosome:Pop_tri_v4:16:468171:471533:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVAGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.016G009800.13.v4.1 pep chromosome:Pop_tri_v4:16:468709:471445:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.016G009800.10.v4.1 pep chromosome:Pop_tri_v4:16:468171:471533:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.016G009800.2.v4.1 pep chromosome:Pop_tri_v4:16:468171:471533:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.016G009800.8.v4.1 pep chromosome:Pop_tri_v4:16:468171:471533:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVAGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.016G009800.12.v4.1 pep chromosome:Pop_tri_v4:16:468171:471533:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.016G009800.11.v4.1 pep chromosome:Pop_tri_v4:16:468171:471533:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.016G009800.4.v4.1 pep chromosome:Pop_tri_v4:16:468171:471533:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVAGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.016G009800.9.v4.1 pep chromosome:Pop_tri_v4:16:468171:471533:1 gene:Potri.016G009800.v4.1 transcript:Potri.016G009800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009800.v4.1 MDLQKEQERERRRLRDRQRRQAMSVEEREKHLARRRRNYQLRRQRARNVRDPQASLVDSDEMLMLPSNGNNQAVISVPVQSNGISDVDLDQRQGSVNAGNANSVAGLEIPAHKLAKLPIRSRLNHIKHLARSLADTLDIGDSHKITGDLTMTGYATSNCTPPKPLRLNRVKHLARVLSSDVTSTTVPDRIGETKVEQNLSSGQHLMSINLPKVVQTINASGGDE >Potri.013G044300.1.v4.1 pep chromosome:Pop_tri_v4:13:3081922:3087704:1 gene:Potri.013G044300.v4.1 transcript:Potri.013G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044300.v4.1 MSAEAEAEAEAEYFSKDFEWETLRQEIEDNPSYQYHLLPFTCTPPSQQQEIQVSEDSKAWKRFHLRHSSGKFFKERRYLLKEFPELVSCKEFSKVLEVGCGNGSSAIPILRGNKDIIVYACDCSSETLERAKEIVHSTNIFAVQNRFHPFFCDFAFTGFPKWLVCDSCVESFSLKQQEYSSDVKEGGVADKSGSYLSRECGCCIGGVDFVSLIFTLSAVPQKKMSSAIMECFSVLKPGGLLLFRDYGLYDMTMLRFEQEKRVGFREYMRSDGTRSYFFCLDTVRDLFVGVGFIELELEYCCVKSVNRRKGKSMQRVWVHGKFQKPA >Potri.013G044300.9.v4.1 pep chromosome:Pop_tri_v4:13:3082818:3087351:1 gene:Potri.013G044300.v4.1 transcript:Potri.013G044300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044300.v4.1 MEKVPSSPFLWQILQVQERRYLLKEFPELVSCKEFSKVLEVGCGNGSSAIPILRGNKDIIVYACDCSSETLERAKEIVHSTNIFAVQNRFHPFFCDFAFTGFPKWLVCDSCVESFSLKQQEYSSDVKEGGVADKSGSYLSRECGCCIGGVDFVSLIFTLSAVPQKKMSSAIMECFSVLKPGGLLLFRDYGLYDMTMLRFEQEKRVGFREYMRSDGTRSYFFCLDTVRDLFVGVGFIELELEYCCVKSVNRRKGKSMQRVWVHGKFQKPA >Potri.013G044300.8.v4.1 pep chromosome:Pop_tri_v4:13:3082122:3086445:1 gene:Potri.013G044300.v4.1 transcript:Potri.013G044300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044300.v4.1 MSAEAEAEAEAEYFSKDFEWETLRQEIEDNPSYQYHLLPFTCTPPSQQQEIQVSEDSKAWKRFHLRHSSGKFFKERRYLLKEFPELVSCKEFSKVLEVGCGNGSSAIPILRGNKDIIVYACDCSSETLERAKEIVHSTNIFAVQNRFHPFFCDFAFTGFPKWLVCDSCVESFSLKQQEYSSDVKEGGVADKSGSYLSRECGCCIGGVDFVSLIFTLSAVPQKKMSSAIMECFSVLKPGGLLLFRDYGLYDMTMLRFEQEKRVGFREYMRSDGTRSYFFCLDTVRDLFVGVGFIELELEYCCVKSVNRRKGKSMQRVWVHGKFQKPA >Potri.014G015100.3.v4.1 pep chromosome:Pop_tri_v4:14:890681:895030:-1 gene:Potri.014G015100.v4.1 transcript:Potri.014G015100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015100.v4.1 MTVMIPSIIVPRMGGGDAEKARVIQTLLFTSGLSTLFQTLFGTRLPSVAVGSYAYMIPTTSIVLASRHTSCLDNDVRFVQTMRAIQGALIIAGCFQIIMGFLGLWRNAVRFLSPISIVPCVTFAGLGLYYLGFPTLAKCVEIGLPGMLIMVFFSQYLPRYVQSKRPICDRFAVLLTAAIAWLFAQILTASTVYNDKSEITQLTCRTDRVGLIHASPWIYIPYPFQWGSPTFKAGEVFAMITASFVSLFESTGTFYATSRYGSATPVPPSVVSRGVGWLGIGVLLNGFFGCVTGFTASVENAGLLALTKVGSRRVIQISAGFMIFFSLFGKFGAFFASIPLPIIAAVYCVLFGYTSSAGLGFLQFCNLNSFRTKFILGFSFFIGISIPQYFREYYQYVHVHARYRWFHDIVTVIFMSHTTVAALVALFLDCTLAKENDETTNDTGLKWWEKFSLYSSDVRNDEFYALPCKLNKLFPAL >Potri.015G144600.1.v4.1 pep chromosome:Pop_tri_v4:15:14952573:14955852:-1 gene:Potri.015G144600.v4.1 transcript:Potri.015G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144600.v4.1 MVSKRQKLARKIYKEDHPELFPKPEPTPPNDPNKMKKKKKKSLFKRKNSDPKDPNKANKKGFRKQPLRVPGMKPGDSCFICKAKDHIAKLCPQKDEWEKNKICLLCRHRGHSLKRCPKKNDETMDQKLCYNCGETGHSLSQCPQPREDGGTKFANCFICNERGHLSKDCPKNTRGIYPKGGCCKLCGGVTHLARDCPDKGKRGDAAFGRGAIGREVRPTGRVTKFVSGDELDDDFMTENMYSIPKDKSTDSKVVPPDSKDGHVKSKKKQGPKIVNFVG >Potri.018G121500.1.v4.1 pep chromosome:Pop_tri_v4:18:13414391:13417453:1 gene:Potri.018G121500.v4.1 transcript:Potri.018G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121500.v4.1 MIYLQNWQMGLCLLVCIISCCFISVSSQSAVAGNDNNSVVEGTVFIDGKSSIGKIDENSICATLDWWPPEKCDYGTCSWDHASLINLDLNNSILLNAIKAFSPLKIRIGGTLQDKVIYDTEDNKQPCVQFVKNTREMFGFTQGCLPMYRWDELNAFFKKSGAEIIFGLNALTGRSMKSDGSAVGAWNYSNAESFISYTIKKNYSIYGWELGNELCGSGVGTRVAAAQYASDTISLYNIVKKIYSSIEPKPLVIAPGGFYDANWFKEFVDKTGNSVDAITHHIYNLGPGVDTHLIEKILNPSYLDGEARTFNSLQSTLKSSATSAVAWVGESGGAYNSGRNLVTNAFVFSFWYLDQLGMASSYDTKTYCRQSLIGGNYGLLNTTTFVPNPDYYSALLWHRLMGRNVLSTSFSGTKKIRAYTHCAKQSKGITLLLINLDSSTTVDVNVKFNSTLGLHRKHKTHRSHETKVIRLLEGSTSEITREEYHLTAKDGDLHSRIMLLNGNILTVNSSGDIPSFEPLLVNSSKPIMVAPFSIVFVQMSYVLPACS >Potri.004G162400.1.v4.1 pep chromosome:Pop_tri_v4:4:18212324:18214996:1 gene:Potri.004G162400.v4.1 transcript:Potri.004G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162400.v4.1 MASASFLKSSPVLDKSEFVKGQTLRLPSASIVRCRSTAPSALTVRAGSYAEELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTVPGLGDYVSGAILFEETLYQSTTDGKKMVDVLVEQKIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRTAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIDRTFEVAQKVWAEVFFYMAENNVMFEGILLKPSMVTPGAECKDRATPEQVAEYTLKLLQRRIPPSVPGIMFLSGGQSEVEATLNLNAMNQSANPWHVSFSYARALQNTCLKTWGGRPENVNAAQEALLIRAKANSLAQLGKYTGEGESDEAKKGMFVKNYAY >Potri.003G139400.2.v4.1 pep chromosome:Pop_tri_v4:3:15578972:15582396:1 gene:Potri.003G139400.v4.1 transcript:Potri.003G139400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139400.v4.1 MKTNQVRFLGLILVLLAVVICSLADSKESASTGLNPKVDVTTNSSKGAGGSNLETNSTEDDKGKEKGGQDDKSKESIADDVNKNKMNSQSGSKDNDNAKEGKHNSSEESQAKKGDHSKKEDSSSGVESEDLSKEKNDKGDTQSRKEGPRVEECDQSNKCTDEENKLVACLRVPGNESPDLSLLIQNKGKGSLSVTISAPDFVHLEKTKIQLKEKEDKKVKVSITSRGSENLIVLRAGNGQCKLDIKDTIAHYFGKEFDKSHKSTDIINFMSRTSTIVVLSFAALLILASGWMCISFRRKHPSNNTSKYQRLEMELPVSGEGKTESETNDGWDNSWGDDWDDEEAPKAPSLPVTPSLSSKGLASRRLSKEAWKD >Potri.003G139400.3.v4.1 pep chromosome:Pop_tri_v4:3:15579011:15582397:1 gene:Potri.003G139400.v4.1 transcript:Potri.003G139400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139400.v4.1 MNSQSGSKDNDNAKEGKHNSSEESQAKKGDHSKKEDSSSGVESEDLSKEKNDKGDTQSRKEGPRVEECDQSNKCTDEENKLVACLRVPGNESPDLSLLIQNKGKGSLSVTISAPDFVHLEKTKIQLKEKEDKKVKVSITSRGSENLIVLRAGNGQCKLDIKDTIAHYFGKEFDKSHKSTDIINFMSRTSTIVVLSFAALLILASGWMCISFRRKHPSNNTSKYQRLEMELPVSGEGKTESETNDGWDNSWGDDWDDEEAPKAPSLPVTPSLSSKGLASRRLSKEAWKD >Potri.008G126900.1.v4.1 pep chromosome:Pop_tri_v4:8:8264145:8270614:1 gene:Potri.008G126900.v4.1 transcript:Potri.008G126900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126900.v4.1 MAGQSSSTSTATNIMLAIHEKKTTSLDLYRPLRNYISMFYSEREAQNLEDDLQTVKQYRSDLERQPDPSPTSRRDLLQKYFKALCLIETRFPISPDSDHINTVTFVWYDAFKQKQKASQQNIHLEKAAVLFNLGAVYSQMGLSFDRATVEGRRQAIHAFIAAAGSFAFLRDNAATKASMGTSTTVDLSVECVGMLERLMLAQAQECVFENTIAKGSTPGVCAKISRQVGLYYEEALAALNVASLKDHFDKGWIAHVQLKAALFYAEACYRYSLELHEKEEIAEEIARLKSASSTLAEVKKSSRGAAAQILDAISKLEANINRNLDRAVKENDRVYLMRVPSPSSLPPLPAFSMVKIMPMNEVLDASKEKMFASLVPDSSAKALSRYTEMVDDIIRTQAEKLQQGSELTRVRLKEMDLPDSILSLEGNFTLPTDLKEDVEAVQISGGPAGLEAELQQLMDLRRVNHELLVQTEELLQKEATEDAQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAADSDARIERSVRDHSALMSILDRRPIESALPTLARPIMSLDANEDAIVGALKQSLRQLETLGAQRAGLEDMLKEMKRKDDVLPKLMTSTGSYEDLFRKEIAKYDPIREDIAQNIEAQEQLLLQIQAQNEEFSAVFNLEDYKASREKCYKQIQAAIAKYREIKENINEGLKFYVTLQDAITNIKQQCSDFVMTRNIQCREMIEDVQRQMAGLSFQDRKNTGSYSYPAVNQPHQTPRSSSQPPSDPQNVPHPRSQTSYYQPHEQSTMPAYAHPPSPYTTPQQPPPYHIPPAPGAPYPPPQVQQPTSQEYGQPAYPGWRGPYYNAHGQQSGSLPRPPYTIPGPYPPHQGYYKQ >Potri.001G381900.1.v4.1 pep chromosome:Pop_tri_v4:1:40189676:40191634:-1 gene:Potri.001G381900.v4.1 transcript:Potri.001G381900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G381900.v4.1 MVVKKKSISSASPWTEKGKYSKTNEIHYSFLKPQLRHALLLCFLLSVWFVILRCFLLSSSTSENNIEKLNVDEKAPKTTSKSSAISKCEGGMSVYLYDMPAEFNKGLLKDCSHLNPYTDMCPHVANRGLGQPLSYMAESAVATTWFATHQFIAEMIFHARMENHPCRVLDPINAKLFYVPFYGGLDASSKFHDANLTARDELAVRLADYLRSKPWWERHHGKDHFLVLGRTAWDFLRRNNNFGNSLLNLPDVQNMSVLTVERNPWDRVHNQHGIPYPSYFHPYTSHEMMTWQNKMRQSSRPHLFSFIGGPRRGVEKAAVRDELIRQCSESGRCKLLKCGKGPSKCHYPIEVLKVMSQSQFCLQAPGDSFTRRSTFDSVLAGCIPVFFSPHTVYTQYEWFFPAGDAREYSVYIDENALKTGNGSKRVVSIEEELFKIEREQVERMRSAVINLMPRLTYAHPNATDLGFQDAVDVALEALWAKRLKLNV >Potri.004G163032.1.v4.1 pep chromosome:Pop_tri_v4:4:18266364:18266976:1 gene:Potri.004G163032.v4.1 transcript:Potri.004G163032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163032.v4.1 MDDEEARARMWKVKHWGTAAVHATIMRITLSIVAILMLLYAIFSGFKLAKHSSRYVADADWAFCMGTLTMLFACLFLILGIPIVADLFLNLSEQLQEEAGSNEGKKAACIKNLV >Potri.001G398600.2.v4.1 pep chromosome:Pop_tri_v4:1:42382911:42387668:1 gene:Potri.001G398600.v4.1 transcript:Potri.001G398600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398600.v4.1 MTEIKAVGVVGSGQMGSGIAQLAAVHGLDVWLLDTDPAALSRATKSITSNIHRLISKGQLSQAAGNDALGHLRCSSNLEELRMADIVIEAIVESEDAKKTLFLELDRIAKSSAILASNTSSISITRLASATSRPRQVIGMHFMNPPPIMKLVEIVRGADTSDNTFYATKALAERFGKTVICSQDFSGFVVNRILMPMINEAFYALYTGVATKEDIDTGMKLGTNHPMGPLQLADFIGLDVCLSIMKVLQTGLGDNKYAPCPLLVQFVDAGRLGRKRGIGVYDYRAAEQVKRSARL >Potri.006G210800.2.v4.1 pep chromosome:Pop_tri_v4:6:21807099:21807976:1 gene:Potri.006G210800.v4.1 transcript:Potri.006G210800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210800.v4.1 MSCKLSQVHCDFLALFRVLVNALVLQLLHLHYHPNYCFWPGFYHSATLNTHEMKGGEKLWEMAKKIYTAFANSKNCNRHFLDMADLNFLMCKAIDNPGLTPSSSLRTALLSVFEEPVKDDYGGLQEEVGVEDYMGCASAHGIGPSIAIFDRVRDGRLDCVCVYPSPLHSREQMQEFIDNMKSVLVEGCRLAAPFRN >Potri.002G038800.1.v4.1 pep chromosome:Pop_tri_v4:2:2559927:2562584:1 gene:Potri.002G038800.v4.1 transcript:Potri.002G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038800.v4.1 MSFRGASRPNASSGMGVADHSKIAFVELQRKKVHRYVIFKIDEKKKEVVVEKTGGPAESYEDFTASLPENDCRYAVYDFDFVTSENCQKSKIFFIAWSPSTSRIRAKMLYATSKDRFRRELDGIHYEIQATDPTEMDLEVIRERAN >Potri.015G037400.1.v4.1 pep chromosome:Pop_tri_v4:15:3211377:3215059:1 gene:Potri.015G037400.v4.1 transcript:Potri.015G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037400.v4.1 MEDLGFLLTCLSSSWLHQAQTRKKNQKRKMLSGLLNSSLWFLGILLFINYIEATTVTATFGFTNQTDQQALLAIKDFISEDPFNSLSSWNNSLQFCSWQGVTCGRRHRRVTSLNLSSLKLAGSLSPHFGNLTFLRVIDLSRNRFHHIFPPEVGQLFRLRYLSLANNSFQGELPSTLGICSNLIFLNLYGNNFRGKIPSALGSLSRLRRLSLASNNFTGAIPPSFGNLSSMQRASLQLNNLEGIIPAELGRLSALEVLSLYSNKLSGMVPEQLYNISSINLLTVADNQLTGRLPHDIGLTLPKMQTLYLGTNQFFGHIPKSIVNFSSLIDIDLAYNSLTGPVPNNLGNLQNLETINFGGNPLGDENTSDLTFLTSLTNCTNLREVWFFENHLRGVLPISIANLSTNLYWLTLGTNYITGDIPVEIENLKNLEYLAFHGNMLTGRLPDSIGKLSKLQELHIYTNKISGNIPSSFGNLSGILRLSLADNFLEGTIPVSLANYSQLEVLDLSYNHLSGVIPEKLAGIDSLFGLFLALNNLTGPLPSQLGNARNLNELDISENKLSGEIPRSIENCVMLENLNMEGNFFEGTIPSSFKKLRSIRVLNLARNNLSGQIPKFLGELPLLGYLNLSVNSFDGEVPTGGVFNNASAFSVAGNDKLCGGIKALQLHECPKQRQENGFPRKVVILISSVALFLLLLLASVCAVIHSKKTNKIGPSLVSPLEKKYQRVSYSELARATGGFSSTNIIGDGKYGTVYKGILGSDDQVAVKVFKLQQRGANNTFMAEINALRNIRHRNLVRIVNSCSTIDFKGDDFKALIMEFMSNGSLESWLHASSTESEDFKNLSLLQRINIATDVALALDYLHNQCETTVVHCDLKPSNILLDNDLTAHVGDFGLAKILLAALGESFSTESSSICIRGTIGYVAPEYGMGGEASTHGDVYSYGILLLEMFTGKRPIDSMFTGEFNLHSFVKAALPDQVMEIIDPLLSNDIQEEAQTRRNGPRGSRSINIGKVKECLASILQVGLRCSADLPSERMDIGDVPSELHKITKILSNS >Potri.013G104700.1.v4.1 pep chromosome:Pop_tri_v4:13:11372116:11376235:-1 gene:Potri.013G104700.v4.1 transcript:Potri.013G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104700.v4.1 MGNLICMTKKDTRDNNHGSKSKRMGRSQRKLLAEEEFLHRQALSMALQQHQLSQRFDGSMSRRIGSTSSRRRNLSDPFSNGKQAPDFLENIRLNKFVLVHGEGFGAWCWYKTIALLEEAGLVPIAIDLTGSGIDLADTNSVTTLAEYSKPLISYLENLPEDEQVILVGHSTGGACVSYALEHCPQKISKAIFLCATMVSDGQRPFDVFAEELGSTERFMQESQFLIHGNGKDKPPTGFMFEKQQMKGLYFNQSPTKDVALAMVSMRPIPLGPVMEKLSLSPEKYGTGRRFFIQTLDDHALSPDVQEKLVRDSPPERVFKIKGSDHCPFFSKPQSLHKILLEIARIP >Potri.013G068601.1.v4.1 pep chromosome:Pop_tri_v4:13:5435550:5435750:1 gene:Potri.013G068601.v4.1 transcript:Potri.013G068601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068601.v4.1 MRQGAKYSSSTTGQSNKSASKNYLKMPATCPSSCCVWSLNTSSSSSYRHILSVCPNPLASNNNLGY >Potri.010G162100.1.v4.1 pep chromosome:Pop_tri_v4:10:16732817:16735804:1 gene:Potri.010G162100.v4.1 transcript:Potri.010G162100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162100.v4.1 MLKKGISKTTIVFLVLMVLFFTSQINLSLLSRSNVSEASSIPKSRPGLIVNEKREEKPASSSTHSGNVNRTREDRPTRSPPHSLSEVKRPITCDCSHNDYDLWFINGPTLLDPSTSTFFTTGPTISTSPDFAVKFRPYPRKTDERARSKVNELTLTSAPPRSSCGITHSSPAIVFSTGGYTGNFYHQFNDGLLALYITINSLSLNRDVILTVTNWSDWWAQKYADLLHRFTKHPIINMDNQTRTHCFPSAIVGLMTHGPLAVDPTLTQHKTLLDFHALLESTYSPRGKHVSTLKSKGARPQLVLVNRKNGVGREILNLKEALKAIEEVGFKAIVFEPKRNGTVGDTYRLLHGSHAMLAVHGAAMTHLLFLRVGMVLGEIVPIGTDWLAKTFYEKPARVLGLEYMKYKIEVNESSLAEKYGANDLVLKNPQAFVNGDWPKAKVYMKTQNVKLDMVRFRKYLKEVFVKAKRFMDKEG >Potri.004G158700.1.v4.1 pep chromosome:Pop_tri_v4:4:17935284:17938353:1 gene:Potri.004G158700.v4.1 transcript:Potri.004G158700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158700.v4.1 MEKNKLKTSKINLVLPSLLSPIMAFLLALLFALLSSPSIVSAGGNAFKPADEFLINCGAKNLASFPDGRIFKTDKEAQGYLQTKQDILVSIPSANVSSPLYLSARIFKEDATYAFTLKSAGWHWVRLHLFPMNNTEFDLRTATFSVNTDKYALLHNFNTNNDTQAVLKEYLINMTDPNFSIHFIPLKNSAAFINAIEVVSAPDILISDQATNLFPVNNFAGLNNFGYEVVYRLNMGGPLITSENDTLWRRWVPDKPYLKHEALAKSASVPTSSIKYGPGISSLIAPATVYASAEQMADSETRIQNFNLTWNFVADATFSYVVRLHFCDIVSKSLNDLYFNVYLNGKMAISGLDLSSIKDELAVSYFKDFVVDASLMSNGLAVEVGPMGDETGTRNAILNGLEVFKMSSKVNSLDGVFGVDGKMLENHKAVVYVGFGLMFGAFVGLGAMLLKWHKRPQDWQKRNSFSSWLLPVHAGDHSFMSSKTSLGSHKTNFYSSTLGLGRFFSLSELQEATKNFDSSEIIGVGGFGNVYIGMIDDSTKVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENDEMILVYEYMSNGPFRDHLYGKNLPTLSWKQRLEISIGSARGLHYLHTGTAQGIIHRDVKTTNILLDDAFVAKVADFGLSKDAPMGQGYVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEVLCARPALNPQLPREQVNLAEWAMQWKRKGLLEKIIDPCLVGTINPESLMKFAEAAEKCLAEHGVDRPTMGDVLWNLEYALQLQEAFSKGKAEDESKLSAAVADSPVAVATPKAISTSVTEDNKSPAEVQVIDDHSGTAMFSQFAGLNGR >Potri.015G107200.1.v4.1 pep chromosome:Pop_tri_v4:15:12555154:12558036:1 gene:Potri.015G107200.v4.1 transcript:Potri.015G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107200.v4.1 MDDQAFGFISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIKRGILPLLQRATLVQTFGQRNHVCLRDGFITVPPYAPPQKMQTHLIPEKTPEVNFCLLQRIATMQAVWENFMDNPLFDISTEHLTIPRLVKVVIFGYIAIIIADDIVLPFADAIPWEEIEVFVDEKDVPNLDTILTSIPPEVILRKQRLIANPSMKQAMLFP >Potri.007G108000.2.v4.1 pep chromosome:Pop_tri_v4:7:13050256:13058891:1 gene:Potri.007G108000.v4.1 transcript:Potri.007G108000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108000.v4.1 MNINQTEFTNHTWNLHVSMEDQILHHDRLPFVSICPSYPLQLQQTPIPAPNQVSLPTFLSHDQIGNSTDEAVQDEPEEELGAMKEMMYRVAAMQPVDIDPVTIHKPKRKNVRISDDPQSVAARLRRERISEKIRILQRLVPGGRKMDTASMLDEAIRYVKFLKRQIRSLQPNHQHQPCNTNGDWQVPYNDKPLNSITTTTTTSSSLEPRAARLGYILGDNGDNPLCFNHEVISDQG >Potri.002G118366.1.v4.1 pep chromosome:Pop_tri_v4:2:8963994:8965330:1 gene:Potri.002G118366.v4.1 transcript:Potri.002G118366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118366.v4.1 MGLSCFACFDGGRKQQRREEERLASAEARAKAAEAAQKRQEQFEKSAAGRAACAQLQGMAKQSANSNKGEPVLKWQMS >Potri.015G105702.1.v4.1 pep chromosome:Pop_tri_v4:15:12452331:12453180:1 gene:Potri.015G105702.v4.1 transcript:Potri.015G105702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105702.v4.1 MDIRHDHSKTVENVLKMLKDEGSLEGVSVCDAGCGTGSLAIPLAKEKEPPPPPLAHLFLGLSHHSSRMMRTVEGVATVQSWLPHLHMRGCEWTVGTTWRSEVFVTISLRIMSRILEWHKIIWQKSSFKQEVKICSDYLIHYPQSKADGMIAHLASLAENRLLLSFAPKTFYYDLLKRVGELFPGPSKATRAYLHAEADVEWALKKVGWKIRKRGLITTQFYFARLVEAVPVQME >Potri.016G013350.1.v4.1 pep chromosome:Pop_tri_v4:16:718379:718938:1 gene:Potri.016G013350.v4.1 transcript:Potri.016G013350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013350.v4.1 MWKVRSQTPSFLFLCNFPRGSAINGLTQYVASWELALNLVCSVMAKVYLQTTLQALLLSLVLELLYRVVALLGGCQYHHLGTFESKLDDNLLSWSYRIENLRSRHERQNV >Potri.010G076600.7.v4.1 pep chromosome:Pop_tri_v4:10:10392213:10397962:1 gene:Potri.010G076600.v4.1 transcript:Potri.010G076600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076600.v4.1 MSRFRSLWQASLDATKKALTWNVEDLMPPTERLIFNFNSREELKKWHLYSDSEYGGSSSASLEFMDEGNGLKGVFSGNLSLDVAEGSKWNISRSGFCGMRSKKFDGFIDLDAYDTIALKLKGDGRSYISTIYTENWVNSPGQMEDNSWQAFVFVPKDNWYIARIPLARYLPTWRGNVIDASMEMNQSRILGMSLSVNAGGGIPGARSGPGDFKVELDWIKAFRTQ >Potri.006G181100.1.v4.1 pep chromosome:Pop_tri_v4:6:18743699:18755282:-1 gene:Potri.006G181100.v4.1 transcript:Potri.006G181100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181100.v4.1 MASTTPFSIQHPTWTTSKTLKFHEPYKNLHFLSNPSSHSLSNPSLSSSSFPLNPKLSILNPPLLFHPLHDSSHDRLLEDFEIPTINNHQKLETLIKFDQIVHGESSKRVFIRDSPWISALYWKGTHKIANKKVKLELKDIEKRKYNLLRRRQIREETEAWERMAEEYTGLVKEMCERKLAPNLPYVKSLFLGWFEPLKEAIEREQKLEKSKKQKSAFSPNIELLPADKMAVIVMHKMMGLVMVGHEDGCVRVVQAAVQIGIAIEQEVRIHNFLEKTKNHQRKKTMHDVQESMDKEKEMLRKRVNSLIRRKRLMEVQNLVIQDETKPWNRDKQAKLGSRLIELLTETAYVQPPVDQSEGIPPDVRPAFRHIFKSVTKNPGQKTVKKYGVIECDPLILTGLDGTAKHMLIPYFPMLVPPKKWRGYDKGGHLFLPSYIMRTHGSRQQQDAVRSVPGKQMQKVFEALDTLGNTKWRVNGKVLDVVERIWASGGNNAGLVNRGDIPIPEKLSSDDLTEIQKWKWSVRKAKKINQERHSQRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLTHLSSDLCRGVLEFAEGRPLGKSGLCWLKIHLANLYSGGVEKLSHDGRLAFVENHLSEIFDSAENPVNGKRWWLTAEDPFQCLAACINLSEALKSASPHTVISHLPIHQDGSCNGLQHYAALGRDSQEAAAVNLVAAEKPSDVYSEIATRVHEIIRRDSNKDPATNPHALLAKVLIDEVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGHITDDRVLFSAACYAAKVTLTALGELFQAARDIMGWLGDCAKVIASEDQPVRWTTPLGLPVVQPYYKTQRHLIRTSLQVLALQREGSSVQVRKQRTAFPPNFVHSLDGSHMMMTAVACRDADLRFAGVHDSFWTHARDADLMNRILREKFVELYNMPILENLLEDFQTSYPTLKFPSLPERGNFDLQEVLRSPYFFN >Potri.006G181100.3.v4.1 pep chromosome:Pop_tri_v4:6:18743694:18753724:-1 gene:Potri.006G181100.v4.1 transcript:Potri.006G181100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181100.v4.1 MHDVQESMDKEKEMLRKRVNSLIRRKRLMEVQNLVIQDETKPWNRDKQAKLGSRLIELLTETAYVQPPVDQSEGIPPDVRPAFRHIFKSVTKNPGQKTVKKYGVIECDPLILTGLDGTAKHMLIPYFPMLVPPKKWRGYDKGGHLFLPSYIMRTHGSRQQQDAVRSVPGKQMQKVFEALDTLGNTKWRVNGKVLDVVERIWASGGNNAGLVNRGDIPIPEKLSSDDLTEIQKWKWSVRKAKKINQERHSQRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLTHLSSDLCRGVLEFAEGRPLGKSGLCWLKIHLANLYSGGVEKLSHDGRLAFVENHLSEIFDSAENPVNGKRWWLTAEDPFQCLAACINLSEALKSASPHTVISHLPIHQDGSCNGLQHYAALGRDSQEAAAVNLVAAEKPSDVYSEIATRVHEIIRRDSNKDPATNPHALLAKVLIDEVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGHITDDRVLFSAACYAAKVTLTALGELFQAARDIMGWLGDCAKVIASEDQPVRWTTPLGLPVVQPYYKTQRHLIRTSLQVLALQREGSSVQVRKQRTAFPPNFVHSLDGSHMMMTAVACRDADLRFAGVHDSFWTHARDADLMNRILREKFVELYNMPILENLLEDFQTSYPTLKFPSLPERGNFDLQEVLRSPYFFN >Potri.006G181100.2.v4.1 pep chromosome:Pop_tri_v4:6:18743694:18755288:-1 gene:Potri.006G181100.v4.1 transcript:Potri.006G181100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181100.v4.1 MASTTPFSIQHPTWTTSKTLKFHEPYKNLHFLSNPSSHSLSNPSLSSSSFPLNPKLSILNPPLLFHPLHDSSHDRLLEDFEIPTINNHQKLETLIKFDQIVHGESSKRVFIRDSPWISALYWKGTHKIANKKVKLELKDIEKRKYNLLRRRQIREETEAWERMAEEYTGLVKEMCERKLAPNLPYVKSLFLGWFEPLKEAIEREQKLEKSKKQKSAFSPNIELLPADKMAVIVMHKMMGLVMVGHEDGCVRVVQAAVQIGIAIEQEVRIHNFLEKTKNHQRKKTMHDVQESMDKEKEMLRKRVNSLIRRKRLMEVQNLVIQDETKPWNRDKQAKLGSRLIELLTETAYVQPPVDQSEGIPPDVRPAFRHIFKSVTKNPGQKTVKKYGVIECDPLILTGLDGTAKHMLIPYFPMLVPPKKWRGYDKGGHLFLPSYIMRTHGSRQQQDAVRSVPGKQMQKVFEALDTLGNTKWRVNGKVLDVVERIWASGGNNAGLVNRGDIPIPEKLSSDDLTEIQKWKWSVRKAKKINQERHSQRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLTHLSSDLCRGVLEFAEGRPLGKSGLCWLKIHLANLYSGGVEKLSHDGRLAFVENHLSEIFDSAENPVNGKRWWLTAEDPFQCLAACINLSEALKSASPHTVISHLPIHQDGSCNGLQHYAALGRDSQEAAAVNLVAAEKPSDVYSEIATRVHEIIRRDSNKDPATNPHALLAKVLIDEVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGHITDDRVLFSAACYAAKVTLTALGELFQAARDIMGWLGDCAKVIASEDQPVRWTTPLGLPVVQPYYKTQRHLIRTSLQVLALQREGSSVQVRKQRTAFPPNFVHSLDGSHMMMTAVACRDADLRFAGVHDSFWTHARDADLMNRILREKFVELYNMPILENLLEDFQTSYPTLKFPSLPERGNFDLQEVLRSPYFFN >Potri.002G044900.1.v4.1 pep chromosome:Pop_tri_v4:2:2920461:2922692:-1 gene:Potri.002G044900.v4.1 transcript:Potri.002G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G044900.v4.1 MEVEKGTKMGFEETELRLGLPGNGGGAEGEMVRKRGFSETVDLKLKLSSKESGADPNHEKTSSLQREKNLLATDPAKPPAKAQVVGWPPVRSFRKNMLAVQKSSTDQECEKVPGGNATFVKVSMDGAPYLRKVDLKMYKTYQELSDALGKMFSSFTIGNCGSHGLKDFLNESKLIDLLNGTDYVPTYEDKDGDWMLVGDVPWDMFVESCKRLRIMKGTEATGLAPRAMEKCKNRSYK >Potri.001G301600.6.v4.1 pep chromosome:Pop_tri_v4:1:31200249:31203177:1 gene:Potri.001G301600.v4.1 transcript:Potri.001G301600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301600.v4.1 MASLLLLLLLSPLSTTAASSGAYPTIPGSIDTSFPASQDSKLIPIRREVYGDGRIFDITHRYTSDMPSMGSENGLGQFLRLPESMKNGSFANISEMKLITHTGTHVDAPGHYYDHYFDAGFDVDTLDLEVLNGPGLLIDVPRGTNITAEVMKSLHIPKGARRVLFRTENTDRRLMFKNQIDTSFVGFTTDGAKWLVDNTDIKLVGIDYLAVAAWSDLVPAHLVLLESREIIIVEGLKLDDIQPGVYSIHCLPIRLLGAEGSPTRCILIK >Potri.001G301600.2.v4.1 pep chromosome:Pop_tri_v4:1:31200185:31204044:1 gene:Potri.001G301600.v4.1 transcript:Potri.001G301600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301600.v4.1 MASLLLLLLLSPLSTTAASSGAYPTIPGSIDTSFPASQDSKLIPIRREVYGDGRIFDITHRYTSDMPSMGSENGLGQFLRLPESMKNGSFANISEMKLITHTGTHVDAPGHYYDHYFDAGFDVDTLDLEVLNGPGLLIDVPRGTNITAEVMKSLHIPKGARRVLFRTENTDRRLMFKNQIDTSFVGFTTDGAKWLVDNTDIKLVGIDYLAVAAWSDLVPAHLVLLESREIIIVEGLKLDDIQPGVYSIHCLPIRLLGAEGSPTRCILIK >Potri.012G011490.1.v4.1 pep chromosome:Pop_tri_v4:12:616901:617927:-1 gene:Potri.012G011490.v4.1 transcript:Potri.012G011490.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011490.v4.1 MKSTAVWKKTNSLAGGRRKKRGKQDRSQVSLGIVSRRKKYKVRFLNARGSLTEMDKEVSDNSMDSTIAKVNGRLEKLAADTEPTIVYSSEREVAATHHLGKVLGIHRDTNDGLDAEHIRRQIDMKYIEGMVGLPLSSCSGAQVMSFVLILSRCYHGIIEI >Potri.011G051300.1.v4.1 pep chromosome:Pop_tri_v4:11:4091294:4098552:1 gene:Potri.011G051300.v4.1 transcript:Potri.011G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051300.v4.1 MEVSTSNILKCDDEEKALEVLLEAFGSKFSLEQIASAYCKAGRNADLTVQILQDTEGGASTSSSHSSNGEAMLSEGSSESSNGYILKKCDANGKFRNVKQKWRPVSGGTVSSVLGKSYIRSMPVGNGSCAATKPLKLDSQEFPMSELWGEEPKQTQSKHDRMHEDMEDFLFKMLGDGFQLDREMIRQALDTCGYDMQKSMEKLLNLSAVILDKRNNYVGRSTGKFTDARSNSGGPSCQKNLQFMSSYGGSANRISNANGGGSPGQGKEKNNLQKEILASLFNGAERSGELSGRITKAERRSIVYGEPVVEPPTDFTLENRTDFMDSLQDYDNVLSVEDVDEDDSYHLLRKAWKEYRTTMNEFYKAAGDAFAKGDDERANKLMDEGNFFRDKAYEVDEESTQKIFGTKNVETQDQMLLDLHEHGAKDAIRSLKSNFLLLSGIPSFKDLKVIIETNEVDVTKGARRRLIMKLLEKESINWTEGADVGTILIQLDNINPKRLSFAKK >Potri.011G051300.3.v4.1 pep chromosome:Pop_tri_v4:11:4091287:4098559:1 gene:Potri.011G051300.v4.1 transcript:Potri.011G051300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051300.v4.1 MEVSTSNILKCDDEEKALEVLLEAFGSKFSLEQIASAYCKAGRNADLTVQILQDTEGGASTSSSHSSNGEAMLSEGSSESSNGYILKKCDANGKFRNVKQKWRPVSGGTVSSVLGKSYIRSMPVGNGSCAATKPLKLDSQEFPMSELWGEEPKQTQSKHDRMHEDMEDFLFKMLGDGFQLDREMIRQALDTCGYDMQKSMEKLLNLSAVILDKRNNYVGRSTGKFTDARSNSGGPSCQKNLQFMSSYGGANRISNANGGGSPGQGKEKNNLQKEILASLFNGAERSGELSGRITKAERRSIVYGEPVVEPPTDFTLENRTDFMDSLQDYDNVLSVEDVDEDDSYHLLRKAWKEYRTTMNEFYKAAGDAFAKGDDERANKLMDEGNFFRDKAYEVDEESTQKIFGTKNVETQDQMLLDLHEHGAKDAIRSLKSNFLLLSGIPSFKDLKVIIETNEVDVTKGARRRLIMKLLEKESINWTEGADVGTILIQLDNINPKRLSFAKK >Potri.009G111000.1.v4.1 pep chromosome:Pop_tri_v4:9:9503172:9506131:-1 gene:Potri.009G111000.v4.1 transcript:Potri.009G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111000.v4.1 MEDEGIEAVLAKATDLRFKISNCIHKATTNNISNNIKNQSFEEEKQESDGLEEQGEKRKSPKNSEFLDGVLLSEAEEGDDDETERLLRIRDALESLENQLSNLQALQQQQRYEKEVALGEIEHSRKILLDKLKEYNGEDLEVIKEASAFAGETVEHNNDLLLPPYPSRLPQSLILNNRHLSHFHSTHKSNGIITGEAKRYQDESESNQVQTASNSRKGLGHIISAAAKTVITLVGVISMLSLAGFGPGIGKKNVPLKVLGLCRQPAADERKQIVQCPPGRIMVQEDGEVRCVVKERVAVPFNSVAGKPDVNYGSG >Potri.015G079500.1.v4.1 pep chromosome:Pop_tri_v4:15:10573258:10580760:1 gene:Potri.015G079500.v4.1 transcript:Potri.015G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079500.v4.1 MNWAKRLHLFAKTYKTESWKKNTIASHRFGHTSNVTSDPHLSRKFLAQLWVADKEMEKFSKRKTTQKKIVKSKAAAAVYDSHPVGKWFSDATVTENPTRSQSLSGFFEPGFPEEARVAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPKSPVGFIREQSNVIARQLLRLRRPFVAYITDSMGNELFRVRRPFWWLTSSIYAEIDGKEIGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEIFTDAGQYVIRFGSSDPNLKTGPARTIQELEVTRPLTLAERAVAVALAISLDNDYFSRHGGFGLPFVEVGE >Potri.015G079500.4.v4.1 pep chromosome:Pop_tri_v4:15:10573384:10580758:1 gene:Potri.015G079500.v4.1 transcript:Potri.015G079500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079500.v4.1 MNWAKRLHLFAKTYKTESWKKNTIASHRFGHTSNVTSDPHLSRKFLAQLWVADKEMEKFSKRKTTQKKIVKSKAAAAVYDSHPVGKWFSDATVTENPTRSQSLSGFFEPGFPEEARVAPLLARSNLLITRDIEWANLVLGFEQENRYAIVDVCYPKSPVGFIREQSNVIARQLLRLRRPFVAYITDSMGNELFRVRRPFWWLTSSIYAEIDGKEIGVVHRRWHLWRRIYDLYLGNKQFAVVENPGLWNWTFTLKDINGEVLAQIDRDWRGFGFEIFTDAGQYVIRFGSSDPNLKTGPARTIQELEVTRPLTLAERAVAVALAISLDNDYFSRHGGFGLPFVEVGE >Potri.004G188200.1.v4.1 pep chromosome:Pop_tri_v4:4:20104474:20104932:1 gene:Potri.004G188200.v4.1 transcript:Potri.004G188200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188200.v4.1 MKQTLHYKALLLFLLVLVHSSKLSARFLLSKQGKEDLNLKEITSEGTFAQTEDSELITNQLMGLEVCRGGDEECFKRRIIAEAHLDYIYTQHHKP >Potri.012G036000.2.v4.1 pep chromosome:Pop_tri_v4:12:3250939:3252552:-1 gene:Potri.012G036000.v4.1 transcript:Potri.012G036000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036000.v4.1 MEDTVVLYPSQTHLSSMLELGKLILKHRPSVSVTFVMSNPSTELVSANPFITFIPLPEVSLPSPITSFLDLGASFFEISKLNNPNLHKALSSLSTTSNIKALIIDFFCSAAFEFLSSRLDIPIYYFNSSGACGLSMFLYLPTLDKNITESLKDLDILVEFPGLPKVPSKDIPPFLCDRSHRVYQYFVDTGKQMFRSAGVVVNTFESLEPNTFKAIQERKCIPNEPLPPIFCVGPLAITGESRKENECLTWLDSQPSRSVLYLCFGSMGVFSSSQLKEIAIGLEKSGVRFLWAVRAPKEDGQTQARKTGIATESCLESIFPEGFLDRTKDRGFIVKSWAPQLAILNHGSVGGFVTHCGWKSILEAVCAGVPMLGWPLFAEQKMNRVSLVEEMKVGLAVKLAGEDDFVSAAELEERVTELMNSKKGEALRERIKALREAAVVAKSEGGSTYVAMERLVESFK >Potri.019G050950.2.v4.1 pep chromosome:Pop_tri_v4:19:8401472:8401708:1 gene:Potri.019G050950.v4.1 transcript:Potri.019G050950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050950.v4.1 MDILAPCWYFRFLGLIKFQYSAYVIFCAGHPWIVDDRISLDKPLDSAILSHLKQFSETNKLKKMDLHVRFLQICSRFL >Potri.019G050950.1.v4.1 pep chromosome:Pop_tri_v4:19:8401472:8402698:1 gene:Potri.019G050950.v4.1 transcript:Potri.019G050950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050950.v4.1 MDILAPCWYFRFLGLIKFQYSAYVIFCAGHPWIVDDRISLDKPLDSAILSHLKQFSETNKLKKMDLHVIAERLSEEEMGGWKGLFKMIDTDNSETIILMN >Potri.005G085200.1.v4.1 pep chromosome:Pop_tri_v4:5:5875821:5878901:-1 gene:Potri.005G085200.v4.1 transcript:Potri.005G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085200.v4.1 MSLPLSTTCQPPLLYAMNFPEINPNHDFSYFNEGFDPPATEFQVSDYLMLDDGFGEDNSSSQSMASSEQVPSGSSSGYSGATSRNNSIKCKNGVKKNKIEGGHRVAFRTKSELEVMDDGFKWRKYGKKSVKNSPHPRNYYKCSSGGCDVKKRVERDGEDSAYVITTYDGVHNHESPCMVYYNNQIPLAVPNAWTFQDSSPHSSSSS >Potri.010G018800.1.v4.1 pep chromosome:Pop_tri_v4:10:2960122:2964619:-1 gene:Potri.010G018800.v4.1 transcript:Potri.010G018800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018800.v4.1 MECNKDEAFRAKGVAESLMVKKDFPTARRILLKAQQLYKDLENISQMLTVCDVHCTADKKLLGTDMDWYGILQIEETADEATIKKQYRKFALQLHPDKNQFPGAESAFKLIKDAQTVLLDKGKRSLHDIKRKASMSKPAPPYRPPQKATHCSNFTGFNPHYRQSQQPASQRDSSNGRPTFWTACPFCTVRYQYYIEIINKPLVCQSCNRSFFAYERSGQGLPTERNLNQSSFPQRKNIPNQTASNVGLGRQENLNTVPSKTEFRSEKLNGKRKKKQEEESSESCNTETDSDEDLASEEDGDFKAEVNFEYKGERPRRSGRQKQQVSYKENLSDDEDYVRDPKMAKLSGSFCETEEENANEMREDVSYKEDQSSIAADVKDETILKPEESKEIKDTENVKGKEKVEAIFCQKNSETPIRLSSDSTSQSASDPDSYDYPDPDFHDFDKDRGGECFSVGQVWAVYDTLDAMPRFYAQIKKVVSPGFNLRITWLEACPDDQNEAEWVEEGLPVACGKFKNGKSQYTDKRLMFSHLIDLEESGQRNTYKIFPRKGETWALFKNWDLKWISNADAHQDYEYEFVEILSEYAEGVGARVAFLGKVKGFVSLFCRIRKEGMDVFEIPPAELFRFSHMIPSFKLTGNEREGVPRGSFELDPASLPKTILETANPEDLREEVGNAHCDGSCSRSSDKAKPEEVCESGTSMHQPDTKGTSLLSKDNCGSIMEDCSASAVDAIEIPESEFFNFDAEKSIEKFQVGQIWSLYSDEDGLPKYYGQIMKIQSDQGFKLWLRWLTPCSLPKTVIQWQDKKMPTCCGRFKAKNGKLNYYSSTTSFSHRLAVEFDGKRNEYTILPRKGEVWALYKNWFPEIKHSDLENCEYDVVEVLDQNDLQIKVSLLERVSGFNSVFKTKLIGLSAHTQEVLCTELIRFSHQIPACQLTEERGGSLRGFWELDPAALPVHYFDLI >Potri.001G249900.1.v4.1 pep chromosome:Pop_tri_v4:1:26573245:26574777:1 gene:Potri.001G249900.v4.1 transcript:Potri.001G249900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249900.v4.1 MATNRGLVFNKFLSFFILLLHLGCFIFKAKLDDRHPAKKRKKVSPLAPISSSHSRLKPHKALSSSWSYLKSIFSGKTSKTQTQPAAPTLTSARSSQQSIVSMILPDQTHLSELPPRKKSTGSCEEPDISTDHQFFPLRNDIFPCTACGEVFQKPQLLEQHQAIKHAVTQLLDGDSGKNIVDIIFKTGWSCKEKSPEIRRILKIHNSPKILSRFEEYREFVKTKAARNSPIKRRDERCIADGNELLRFFCSTFMCDLGLNGDSSICNQQYCSVCGIIKSGFSPKMDGISTLSTSWRAHMAFPEEIEEEFKFMNVKRAMLVCRVVAGRIGCDLDEDIDKQDSGSDSVLGRGGSGIHTRVDEEELLVFNPRAVLPCFVIVYTV >Potri.017G106800.2.v4.1 pep chromosome:Pop_tri_v4:17:11601926:11604511:1 gene:Potri.017G106800.v4.1 transcript:Potri.017G106800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106800.v4.1 MAVAVRGIRGGGSGGINGGLRAFFSHRIFISATFTLLFLATLSALFSPHPHHHPSLPSSGNAYVQRTFLAIKSDPLKTRLDLIYKQANDHMTLVNAYAAYARKLKLDISRQLRMFDELDKNLTDLPLKPSYKSSLFEPGSDVDEDVLRQFEKEVKEKVKVARLMIAEAKESYDNQIKIQKLKDTIFAVNELLIKAKKNGAFASLISAKSVPKSLHCLAMRLVGERIAHPEKYKEEGYKAEFEDPSLYHYAIFSDNVIAVSVVIRSVVKNAEEPWKHVFHVVTDKMNVAAMKVWFRMRPVEGGAHVEINAVEDFSFLNSSYVPVLKQLESAKMQKFYFDNQAENATKDGSNMKFRNPKYMSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWKVDLDGKVNGAVETCFGSFHRYAQYLNFSHPLIKERFNPKACAWAFGMNIFDLDAWRREKCTEHYHYWQSLNEDRTLWKLGTLPPGLITFYSTTKSLDKSWHVLGLGYNPSISMDEISNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGL >Potri.017G106800.1.v4.1 pep chromosome:Pop_tri_v4:17:11601956:11606367:1 gene:Potri.017G106800.v4.1 transcript:Potri.017G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106800.v4.1 MAVAVRGIRGGGSGGINGGLRAFFSHRIFISATFTLLFLATLSALFSPHPHHHPSLPSSGNAYVQRTFLAIKSDPLKTRLDLIYKQANDHMTLVNAYAAYARKLKLDISRQLRMFDELDKNLTDLPLKPSYKSSLFEPGSDVDEDVLRQFEKEVKEKVKVARLMIAEAKESYDNQIKIQKLKDTIFAVNELLIKAKKNGAFASLISAKSVPKSLHCLAMRLVGERIAHPEKYKEEGYKAEFEDPSLYHYAIFSDNVIAVSVVIRSVVKNAEEPWKHVFHVVTDKMNVAAMKVWFRMRPVEGGAHVEINAVEDFSFLNSSYVPVLKQLESAKMQKFYFDNQAENATKDGSNMKFRNPKYMSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWKVDLDGKVNGAVETCFGSFHRYAQYLNFSHPLIKERFNPKACAWAFGMNIFDLDAWRREKCTEHYHYWQSLNEDRTLWKLGTLPPGLITFYSTTKSLDKSWHVLGLGYNPSISMDEISNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQMCNFGL >Potri.012G035400.2.v4.1 pep chromosome:Pop_tri_v4:12:3186564:3193921:1 gene:Potri.012G035400.v4.1 transcript:Potri.012G035400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035400.v4.1 MEQKHMLLSALSVGVGVGMGLGLASGQKVSRWAGGNGSIDGVTVEQIEQELMRQVLDGRESEVTFDEFPYYLSEKIRMLLTSAAFVHLKHADFSKHTRNLSPASRTILLSGPAEFYHQMLAKALAHNFESKLLLLDVHDFSIKMQSKYGCIKKEPSFTSSISGFTLERMSSLFGSFSILSTKEETRGMEGSNNPPKLRRNASTASDMSSISSQSASTNPAPLKHSSSWCFDEKLFLQSLYQVLASVSERNSIILYLRDAEKILLQSQRMYTLFEKMLKKLSGNVLILGSRMLDQEDDCREVDERLALLFPYNIEIKPPEDETHLVSWKAQLEEDMKKIQIQDTKNHIAEVLAANDIECDDFSSICHADTMVLSNYIEEIVVSAISYHLMNNKDPEYRNGKLVISSKSLSHGLSIFQEGKSDGKDTLKLETNAEAGKETEGEEAVGAKNDIKSETEKSVTGAKKDSENQPKTPEVPPDNEFEKRIRPEVIPANEIGVTFADIGALDETKESLQELVMLPLRRPDLFNGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRTRVGEHEAMRKIKNEFMTHWDGLMTKPGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSIESRERILKTLLSKEKTEGLDFKELATMTEGYSGSDLKNLCVTAAYRPVRELLQQERVKDKEKKQKAEEGTSSEDAADTKEEGTSSEDAADKKEEGKEESVIILRPLNMDDMRQAKNQVAASFATEGSVMNELKQWNELYGEGGSRKKQQLTYFL >Potri.009G147100.3.v4.1 pep chromosome:Pop_tri_v4:9:11638190:11645056:1 gene:Potri.009G147100.v4.1 transcript:Potri.009G147100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147100.v4.1 MMEESLKQVMRNDPRMVLDEGAKLGTEDKKQFMESPRKIVEEDYDYLRRLRKRVDRVGMELPRIEIRFQNLSVEGEAYVGTRALPTLLNTTLNAVEGVAQMVGLSPSKKRAVKILQDVKGIVKPSRMSLLLGPPGSGKTTLLKALAGKLDNDIKVTGKVTYCGHEFSEFVPQKTCAYISQHELHYGQMTVRETLELSEHLKVPFNKFRVHPDALVSEKYGVSNWELFKACFSREWLLMKRNSIVSIFKIIQITIIAIIAFTAFSKTGRKAGQKNGAANFWGALFFGLTNFIINAMIELTMTVFRLPVFFKQRSSMLYPAWAFGLPICLFSIPVSLIESGIWVTLTYYSIGFAPAASRFFKQLLAFFSTYQMTLSLYRFIAVVGRKLLVANILGFLTMVTVIVLGGFIITKDDIELWMRWGYYLSPIMYGQNAISINEFLDNRWGNLTGSPHESTVGKSLLKERGFFTDEYWYWICIGVLLGFSLIFNFLFIAALEFFNAPADSRAVIADDDTENVSTRKLAPISEVKISRGEYKHSKNPNKQYKKGTVLPFQPLSLAFNNVNYYVDMPVETRKQGTEKNRLQLLKDVSGAFRPGTLTALVGVSGAGKTTLMDVLAGRKIMGYIEGSISISGYPKNQVTFARVSGYCEQIDMHSPCVTVYESLLYSASMRLAADVKKETRKMFIDEVMELVELKPLMNALVGLPRINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRHMVDTGRTVVCTIHQPSIDIFETFDELLLMKRGGQVIYAGPLGRNSHKLVQYFEAVPGVPRIKQGSNPATWMLEISSEAIEAQLQVDFAEVYANSELYRKNQELIKKLSTPRPGSKDLSFPSQYSQSFITQCTACFWKQHKSYWRNSEFNYTRFVVAIIIGILFGLVFWSRGDRIYKRNDLINLLGATYAAVLFLGATNASAVQSVIATERTVFYRERAAGMYSELPYAFAHVAIEIIYVSIQTFLYSLLLYSMIGFEWNVGKFLYFYYFIFMSFTYFSMYGMMIISLTPGPEIAAVFMSFFISFWNLFSGYLIARPLIPVWWRWYYWASPVAWTIYGIFTSQVVDKNTLLEIPGSEPVPLKAFVEKYLGYDHEFLLPVVLAHVGWVLLFFFAFAYGIKFLNFQRR >Potri.009G147100.2.v4.1 pep chromosome:Pop_tri_v4:9:11638190:11645107:1 gene:Potri.009G147100.v4.1 transcript:Potri.009G147100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147100.v4.1 MMEESLKQVMRNDPRMVLDEGAKLGTEDKKQFMESPRKIVEEDYDYLRRLRKRVDRVGMELPRIEIRFQNLSVEGEAYVGTRALPTLLNTTLNAVEGVAQMVGLSPSKKRAVKILQDVKGIVKPSRMSLLLGPPGSGKTTLLKALAGKLDNDIKVTGKVTYCGHEFSEFVPQKTCAYISQHELHYGQMTVRETLDFSGRCMGAGTRHQILSELLRREKEAGIKPNPRIRKEAAAMTCQDTSLITENILKILKLDSCADTKVGDDMIRGISGGEKKRVTTGELLVGPARAFVMDEISTGLDSSTAYQIVKFMRKMVHLLDMTMVTSLLQPTPETFELFDDIILLSEGQIVYQGPRDNVLEFFEHMGFKCPERKGVADFLQEVTSKKDQERYWFRKNQPYEYVSVPKFVRAFNSFHIGLQLSEHLKVPFNKFRVHPDALVSEKYGVSNWELFKACFSREWLLMKRNSIVSIFKIIQITIIAIIAFTAFSKTGRKAGQKNGAANFWGALFFGLTNFIINAMIELTMTVFRLPVFFKQRSSMLYPAWAFGLPICLFSIPVSLIESGIWVTLTYYSIGFAPAASRFFKQLLAFFSTYQMTLSLYRFIAVVGRKLLVANILGFLTMVTVIVLGGFIITKDDIELWMRWGYYLSPIMYGQNAISINEFLDNRWGNLTGSPHESTVGKSLLKERGFFTDEYWYWICIGVLLGFSLIFNFLFIAALEFFNAPADSRAVIADDDTENVSTRKLAPISEVKISRGEYKHSKNPNKQYKKGTVLPFQPLSLAFNNVNYYVDMPVETRKQGTEKNRLQLLKDVSGAFRPGTLTALVGVSGAGKTTLMDVLAGRKIMGYIEGSISISGYPKNQVTFARVSGYCEQIDMHSPCVTVYESLLYSASMRLAADVKKETRKMFIDEVMELVELKPLMNALVGLPRINGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRAIRHMVDTGRTVVCTIHQPSIDIFETFDELLLMKRGGQVIYAGPLGRNSHKLVQYFEAVPGVPRIKQGSNPATWMLEISSEAIEAQLQVDFAEVYANSELYRKNQELIKKLSTPRPGSKDLSFPSQYSQSFITQCTACFWKQHKSYWRNSEFNYTRFVVAIIIGILFGLVFWSRGDRIYKRNDLINLLGATYAAVLFLGATNASAVQSVIATERTVFYRERAAGMYSELPYAFAHVAIEIIYVSIQTFLYSLLLYSMIGFEWNVGKFLYFYYFIFMSFTYFSMYGMMIISLTPGPEIAAVFMSFFISFWNLFSGYLIARPLIPVWWRWYYWASPVAWTIYGIFTSQVVDKNTLLEIPGSEPVPLKAFVEKYLGYDHEFLLPVVLAHVGWVLLFFFAFAYGIKFLNFQRR >Potri.002G133400.1.v4.1 pep chromosome:Pop_tri_v4:2:10053032:10054808:-1 gene:Potri.002G133400.v4.1 transcript:Potri.002G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133400.v4.1 MAFRKLFSKRAVTNDYRVASPAVALDHSSPIKSLTTPQNNAASKTKLFKEFLTGDSVDIGFFRRFLHRRAISQLPEFMSMPVGEKLREKLISGDRLHLDGLTPPEEIAGEANKFGISVENVKKILRFSLVEKLKAKLREIPRGSICYSEFVKICVDECGNEGQGVEFAKLLDQSGNVIVLGNIVFLRPEQVAISVENMISQTIAAPDDPRRKHLEHMEKLKVIIDQKARTQVRGELYCGLGFLMIQTLGFMRLTFWELNWDVMEPICFFVTSLHFAIAYGFFLRTSTEPSFEGYFQQRFKAKQKKLMKIHGFDVQKYNQLRKVFYPNLGYGLPQSEYYKPGHH >Potri.010G203600.4.v4.1 pep chromosome:Pop_tri_v4:10:19550964:19554071:1 gene:Potri.010G203600.v4.1 transcript:Potri.010G203600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203600.v4.1 MAGQSDSTMSLFSSEEIEFMAEDEMVEIVPNLRMDSLNFICGDYGPFYPQLAAQVPIWLALALKKRGKCTIRLPQWMSIENLTQVLEAERDSHAFQPLPFHYVEISRLLFDLAREDIPDMYMVRSLIEDIRDVRFHKVETNLEKFTASTVTAFYKHDNEQQTPDTDRTLDKQPQIPTDRPKRNLKPR >Potri.010G203600.3.v4.1 pep chromosome:Pop_tri_v4:10:19550964:19554071:1 gene:Potri.010G203600.v4.1 transcript:Potri.010G203600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203600.v4.1 MAEDEMVEIVPNLRMDSLNFICGDYGPFYPQLAAQVPIWLALALKKRGKCTIRLPQWMSIENLTQVLEAERDSHAFQPLPFHYVEISRLLFDLAREDIPDMYMVRSLIEDIRDVRFHKVETNLEKFTASTVTWKNMSAMEVNVIRAFAGRALQAFYKHDNEQQTPDTDRTLDKQPQIPTDRPKRNLKPR >Potri.010G203600.2.v4.1 pep chromosome:Pop_tri_v4:10:19550964:19554071:1 gene:Potri.010G203600.v4.1 transcript:Potri.010G203600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203600.v4.1 MAGQSDSTMSLFSSEEIEFMAEDEMVEIVPNLRMDSLNFICGDYGPFYPQLAAQVPIWLALALKKRGKCTIRLPQWMSIENLTQVLEAERDSHAFQPLPFHYVEISRLLFDLAREDIPDMYMVRSLIEDIRDVRFHKVETNLEKFTASTVTWKNMSAMEVNVIRAFAGRALQAFYKHDNEQQTPDTDRTLDKQPQIPTDRPKRNLKPR >Potri.018G017800.2.v4.1 pep chromosome:Pop_tri_v4:18:1213233:1218925:1 gene:Potri.018G017800.v4.1 transcript:Potri.018G017800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017800.v4.1 MMVWFSPKILKIVCRVGFVWNVEISYNFSSASANQFTFSPSFKAYDLSIDHKPDLVAEEERILEAGGCIHDGRVNGILNLSRAIGDVEFKQNKFLPVEKQIVTADPDINTLELCDDDDFLVLACDGIWDCMSSQELVDFIHEQLHSENKLSAVCERVLDWCLAPSTAGGEGCDNMTMIVVQFKKPIGPPASADEQSSQSGPADAGSKPEES >Potri.015G062800.2.v4.1 pep chromosome:Pop_tri_v4:15:8790727:8793055:1 gene:Potri.015G062800.v4.1 transcript:Potri.015G062800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062800.v4.1 MGSGTGSFLKVLLENFDVLAGPVISLVYPLYASIRAIETKSHVDDKQWLTYWILYSMITLFELTFAKVIEWIPIWPFAKLILTCWLVVPYFSGAAYVYENFVRPFYANPQETINILYVPRKKDIFSKPDDVLSAAEKYIEENGTYAFEKLITKDKSRRSSSYTFFDGDRDDRY >Potri.001G205700.11.v4.1 pep chromosome:Pop_tri_v4:1:20955103:20961409:-1 gene:Potri.001G205700.v4.1 transcript:Potri.001G205700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205700.v4.1 MESKRKRSFLEEIEDNESEKSKQKKVRFPKGKKVKSGDEIVDRGKAGEEGPSERKDPRLAAKERAILRNEITAQIFSEDTNDPSAAEVAYEENENFVEDGIQIEPFNLEKEREEGYFDAEGNFVEYINQNEIKDAWLDSIQVDPRYAGKNSMVRINEHDDKDDVRELSSKEIGAMKKRIANLLEPGETVLQALRRLKGRSNKSKERMPTETQLLFDQLTEDANKLLDHGEYNVYYDKQEVFRREAEGYERLALARGKGTSISDVLEDSDSSMEKDLSSGVTDRGAASSVLSDMDVGTSIPNVSTAEISGNDGNAYDMFGDDEDNAIAVASSDVSNLVPGTKSNVACQPSSDGLNAISGTGPLQNDYVYDETSGYYYSSNLGYYYDPSTGLFCQATSGQWYLFNEETGTYNEIHNEIHEVATNAN >Potri.010G207900.2.v4.1 pep chromosome:Pop_tri_v4:10:19780566:19792907:1 gene:Potri.010G207900.v4.1 transcript:Potri.010G207900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207900.v4.1 MSSTFSPSRNSPGSSRLQLQLGVVSRLRSSSLKKPPEPLRRAVADCLSSSSVASTSQHGISSVTLTDAPRTLRDYLAAPTTTDLAYGVILEHTIAERERSPAVVGRCVALLKRHLLRYKPSEETLFQIDRFCVSLIAECDISLKRRSLTWSGSPNQQSVSSTSTIYSPSPPVCIFASGALVKSLNYVRSLVGQHIPKRSFQPAAFAGAPSVSRQSLPTLSSLLSRSFNSQLSPANGVESSEKKDTTTLPVSNLSNVENVEMAEDLDYIAVDVLQWRWVGGPFLSTESDRPVDLHDVSICKFLELGAAALLVGDMEAKMQGQPWKYFGTSDMPYLDQLLQPSSATTITNSTSARPHLRAITASKRSKAGPRQIWEDSPVSTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVSSETYSSSANHLTISSRLSNNSGKPSMDVAVSVLIKLVIDMYVLDSGTAAPLTLSMLEEMLNSSKAACRVRAFDLILNLGVHAHLLEPMLINDTSTTIEEEYSQESFYDCEEQLPTQGNQKADSVDKLGTSSAIDNFESWILNILYEILLLLVQTEEKEQSVWASALSCLLYFVCDRGKILRNRLEGLDIRVIKALIETSRKNSWAELVHSKLICMLTNMFYQVSDGSMMFVSTNPVFLIDQLDLIGGIEFIFYEYSLANLREERRNLYLILFEYVLHQINEACIVAGLSEYGDNEIQPIATLLTLANAPEALYMSVKLGVEGIGELLRRSISSALSRYPNNERLNLLLENIAEKFNAIISSFTHLDKEFSHLIEITQSYKFLESLESAILTNGVGMKSKLSWATLHSLLHSERIAYRRNGYTWLGDLLIAEITEGSNVNVWLNVKELQGKIAYAGVHDSSVSSDVPVSIWLMCGLLKSKHNIIRWGFLFVLERLLMRCKFLLDENEMQSSRSNDASHEHADSRLDKANAVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVLPATAIPNGEGMQKSKVNGGADENKKIDTGERISRLEKIDDFRWNEFMEKADSRSSYSINSSLMCNTTSMTALLLQGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNLPGAASDIRATLLLLLIGKCTADPSAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPDEYKHMLQNLIFKAQQSNNEKLLENPYLQMRGLLQLSNDGL >Potri.010G207900.3.v4.1 pep chromosome:Pop_tri_v4:10:19780744:19792915:1 gene:Potri.010G207900.v4.1 transcript:Potri.010G207900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207900.v4.1 MSSTFSPSRNSPGSSRLQLQLGVVSRLRSSSLKKPPEPLRRAVADCLSSSSVASTSQHGISSVTLTDAPRTLRDYLAAPTTTDLAYGVILEHTIAERERSPAVVGRCVALLKRHLLRYKPSEETLFQIDRFCVSLIAECDISLKRRSLTWSGSPNQQSVSSTSTIYSPSPPVCIFASGALVKSLNYVRSLVGQHIPKRSFQPAAFAGAPSVSRQSLPTLSSLLSRSFNSQLSPANGVESSEKKDTTTLPVSNLSNVENVEMAEDLDYIAVDVLQWRWVGGPFLSTESDRPVDLHDVSICKFLELGAAALLVGDMEAKMQGQPWKYFGTSDMPYLDQLLQPSSATTITNSTSARPHLRAITASKRSKAGPRQIWEDSPVSTFRPRARPLFQYRHYSEQQPLRLNPAEVCEVIAAVSSETYSSSANHLTISSRLSNNSGKPSMDVAVSVLIKLVIDIRSLDFFCRYVLDSGTAAPLTLSMLEEMLNSSKAACRVRAFDLILNLGVHAHLLEPMLINDTSTTIEEEYSQESFYDCEEQLPTQGNQKADSVDKLGTSSAIDNFESWILNILYEILLLLVQTEEKEQSVWASALSCLLYFVCDRGKILRNRLEGLDIRVIKALIETSRKNSWAELVHSKLICMLTNMFYQVSDGSMMFVSTNPVFLIDQLDLIGGIEFIFYEYSLANLREERRNLYLILFEYVLHQINEACIVAGLSEYGDNEIQPIATLLTLANAPEALYMSVKLGVEGIGELLRRSISSALSRYPNNERLNLLLENIAEKFNAIISSFTHLDKEFSHLIEITQSYKFLESLESAILTNGVGMKSKLSWATLHSLLHSERIAYRRNGYTWLGDLLIAEITEGSNVNVWLNVKELQGKIAYAGVHDSSVSSDVPVSIWLMCGLLKSKHNIIRWGFLFVLERLLMRCKFLLDENEMQSSRSNDASHEHADSRLDKANAVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVLPATAIPNGEGMQKSKVNGGADENKKIDTGERISRLEKIDDFRWNEFMEKADSRSSYSINSSLMCNTTSMTALLLQGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVGSKGRGNLPGAASDIRATLLLLLIGKCTADPSAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPDEYKHMLQNLIFKAQQSNNEKLLENPYLQMRGLLQLSNDGL >Potri.002G003200.2.v4.1 pep chromosome:Pop_tri_v4:2:201344:203656:-1 gene:Potri.002G003200.v4.1 transcript:Potri.002G003200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003200.v4.1 MQRSLPCGQPCSRSLHCTTKPRVVRNPNWPKDLVMDETCCSDEEHCRTTENWYSLYKTEAESEAWEYAKRTGLDVVAICPTLILGPILQSTVNASAKALIKILKDGCNSLENGFRLIVDARDVVEEQVLAYETPEAKGRYICMAHTIKVKDLVEKLRSTYHNYNYPKR >Potri.011G163232.1.v4.1 pep chromosome:Pop_tri_v4:11:18801804:18803725:1 gene:Potri.011G163232.v4.1 transcript:Potri.011G163232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163232.v4.1 MTSMSSSMLPFLICLLFSLSCVTSARPHEDFLQCLSLHSEDSTAISKVIYTPKNSSYSSILHFSIRNPRFNSSELKPFVIVTPTDASHIQAAIHCSQKHKLEIRIRSGGHDLEGLSYMSTVPFVIVDLINLRSITVDATNKTAWVQAGATIGELYYRIAEKSRTLAFPAGSCTTVGVGGHFSGGVYGTISRKYGLASDNVIDAQLIDAKGRILDRESMGEDLFWAIRGGGGQSFGVVIAWRIKLVEVPPKVTVFIAARTLEQNATKLIHRWQYVANQLPEDIIIDVLVNRVNSSEEGKSTIQAAFFSLFLGEVDQLLLLMQESFPELGLAKDECTEMSWIESVIYIGGFPSNASLNVLLDRTPQPPSLQFKAKSDYVQEPIPEIAFEGIWKRFFEKDIEVPVFYMVAYGGKMDEISESSTPFPHRAGNRYIVAPVVYWSEETKEASQRHLAWIRRLYRYMTPYVSKNPRAAYVNYRDLDLGVNNLGYTSYKQASIWGRKYFKNNFDRLVRVKTEVDPTNFFRNEQSIPPLSSW >Potri.014G158501.1.v4.1 pep chromosome:Pop_tri_v4:14:11207440:11207740:-1 gene:Potri.014G158501.v4.1 transcript:Potri.014G158501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158501.v4.1 MCATQELHATFVTPNKLKPQLHFPSLSFLISLLPLHYALLHCYPHCNPPISLSPTTKPPSSNHDITSFALHHDSIRLSFYTYII >Potri.001G186800.2.v4.1 pep chromosome:Pop_tri_v4:1:16668308:16670138:-1 gene:Potri.001G186800.v4.1 transcript:Potri.001G186800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186800.v4.1 MGSLQLNSYGLAPFQVPTNKSLKPSRHTISFSPSRLKIRAVSTVPESSSEAKEPEEPPCVHLAFVHSVLLPDGTPDVHFRNAPGGQKLRDIMMDTNIELYGPYSRALLNCGGGGTCATCMVEVIEGKELLSPRTDNEKEKLKKKPKNWRLACQTTVGNPDSRGLVVIQQLPEWKAHEWNYEKLLFSEMLSEIQSD >Potri.013G011400.1.v4.1 pep chromosome:Pop_tri_v4:13:720130:723930:-1 gene:Potri.013G011400.v4.1 transcript:Potri.013G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011400.v4.1 MGRGEQINYKRNLKKRVRDKDKGSDDSDEDYVVENEENLSDDDSEDCQVSLDGYASEECFDSFVEEEEGGEGEEEEEFRKPVRSKKKRSSLGKGKIEGKASRKRKRVSHKDEDEEDDDDDDEEYVNGDGDEDDEEFTLDEEDDDCLDEDDELTAERKNRNVKVVKRRVPKGGSRRVTKRRRKSRVMKKPLAKKGINKRRLKRKERCEYEDEDDGDFLADSPVAREKSKKTSGVRKRKFSVNSDSDFVSNGSSDYEYTLSEEEREQVREASQLYGELKTSLRSSSVGKRIQENGDLCQQTKPVGRKGKEKVKEEKSELGKQVCGICLSEEDKRRLRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFSTIAKNGRSAMGVDLRNMVIEVPMRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSTSSQAQDPLPDQWNSSNNIFNRPSPILNLDEGLDPNLESAPRLTIPQVSGSLSSPRFLTGDIHVASPVSVAGASTLSGRRHLHRHIRTLLSNRNPSANMNPVANRIDVISAASLHSDFLNSQIDPVRETALQNLRTQEIGTSEQTPNKERLQANDHPSSSFQNRDSYYLTPNQSRRQTVQDPTITTADRPVNLTLWPDLMGINSIPGFEQSHQFRSRSSTEPDGTLSSYQVREQSQFYDVKEQLQSMVKNHLGSLSQDIELDHDTFKDIARSSTHTILAACGLEHKRSEVHTVPLPSTCTHNDRVVAGQTSVMRGCCSSCFDSFVRNVVKRIMDTRPRQWLTLGL >Potri.013G011400.7.v4.1 pep chromosome:Pop_tri_v4:13:720117:723842:-1 gene:Potri.013G011400.v4.1 transcript:Potri.013G011400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011400.v4.1 MGRGEQINYKRNLKKRVRDKDKGSDDSDEDYVVENEENLSDDDSEDCQVSLDGYASEECFDSFVEEEEGGEGEEEEEFRKPVRSKKKRSSLGKGKIEGKASRKRKRVSHKDEDEEDDDDDDEEYVNGDGDEDDEEFTLDEEDDDCLDEDDELTAERKNRNVKVVKRRVPKGGSRRVTKRRRKSRVMKKPLAKKGINKRRLKRKERCEYEDEDDGDFLADSPVAREKSKKTSGVRKRKFSVNSDSDFVSNGSSDYEYTLSEEEREQVREASQLYGELKTSLRSSSVGKRIQENGDLCQQTKPVGRKGKEKVKEEKSELGKQVCGICLSEEDKRRLRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFSTIAKNGRSAMGVDLRNMVIEVPMRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSTSSQAQDPLPDQWNSSNNIFNRPSPILNLDEGLDPNLESAPRLTIPQVSGSLSSPRFLTGDIHVASPVSVAGASTLSGRRHLHRHIRTLLSNRNPSANMNPVANRIDVISAASLHSDFLNSQIDPVRETALQNLRTQEIGTSEQTPNKERLQANDHPSSSFQNRDSYYLTPNQSRRQTVQDPTITTADRPVNLTLWPDLMGINSIPGFEQSHQFRSRSSTEPDGTLSSYQVREQSQFYDVKEQLQSMVKNHLGSLSQDIELDHDTFKDIARSSTHTILAACGLEHKRSEVHTVPLPSTCTHNDRVVAGQTSVMRGCCSSCFDSFVRNVVKRIMDTRPRQWLTLGL >Potri.013G011400.8.v4.1 pep chromosome:Pop_tri_v4:13:720117:723868:-1 gene:Potri.013G011400.v4.1 transcript:Potri.013G011400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011400.v4.1 MGRGEQINYKRNLKKRVRDKDKGSDDSDEDYVVENEENLSDDDSEDCQVSLDGYASEECFDSFVEEEEGGEGEEEEEFRKPVRSKKKRSSLGKGKIEGKASRKRKRVSHKDEDEEDDDDDDEEYVNGDGDEDDEEFTLDEEDDDCLDEDDELTAERKNRNVKVVKRRVPKGGSRRVTKRRRKSRVMKKPLAKKGINKRRLKRKERCEYEDEDDGDFLADSPVAREKSKKTSGVRKRKFSVNSDSDFVSNGSSDYEYTLSEEEREQVREASQLYGELKTSLRSSSVGKRIQENGDLCQQTKPVGRKGKEKVKEEKSELGKQVCGICLSEEDKRRLRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFSTIAKNGRSAMGVDLRNMVIEVPMRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSTSSQAQDPLPDQWNSSNNIFNRPSPILNLDEGLDPNLESAPRLTIPQVSGSLSSPRFLTGDIHVASPVSVAGASTLSGRRHLHRHIRTLLSNRNPSANMNPVANRIDVISAASLHSDFLNSQIDPVRETALQNLRTQEIGTSEQTPNKERLQANDHPSSSFQNRDSYYLTPNQSRRQTVQDPTITTADRPVNLTLWPDLMGINSIPGFEQSHQFRSRSSTEPDGTLSSYQVREQSQFYDVKEQLQSMVKNHLGSLSQDIELDHDTFKDIARSSTHTILAACGLEHKRSEVHTVPLPSTCTHNDRVVAGQTSVMRGCCSSCFDSFVRNVVKRIMDTRPRQWLTLGL >Potri.013G011400.9.v4.1 pep chromosome:Pop_tri_v4:13:720174:723898:-1 gene:Potri.013G011400.v4.1 transcript:Potri.013G011400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011400.v4.1 MGRGEQINYKRNLKKRVRDKDKGSDDSDEDYVVENEENLSDDDSEDCQVSLDGYASEECFDSFVEEEEGGEGEEEEEFRKPVRSKKKRSSLGKGKIEGKASRKRKRVSHKDEDEEDDDDDDEEYVNGDGDEDDEEFTLDEEDDDCLDEDDELTAERKNRNVKVVKRRVPKGGSRRVTKRRRKSRVMKKPLAKKGINKRRLKRKERCEYEDEDDGDFLADSPVAREKSKKTSGVRKRKFSVNSDSDFVSNGSSDYEYTLSEEEREQVREASQLYGELKTSLRSSSVGKRIQENGDLCQQTKPVGRKGKEKVKEEKSELGKQVCGICLSEEDKRRLRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFSTIAKNGRSAMGVDLRNMVIEVPMRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSTSSQAQDPLPDQWNSSNNIFNRPSPILNLDEGLDPNLESAPRLTIPQVSGSLSSPRFLTGDIHVASPVSVAGASTLSGRRHLHRHIRTLLSNRNPSANMNPVANRIDVISAASLHSDFLNSQIDPVRETALQNLRTQEIGTSEQTPNKERLQANDHPSSSFQNRDSYYLTPNQSRRQTVQDPTITTADRPVNLTLWPDLMGINSIPGFEQSHQFRSRSSTEPDGTLSSYQVREQSQFYDVKEQLQSMVKNHLGSLSQDIELDHDTFKDIARSSTHTILAACGLEHKRSEVHTVPLPSTCTHNDRVVAGQTSVMRGCCSSCFDSFVRNVVKRIMDTRPRQWLTLGL >Potri.013G011400.2.v4.1 pep chromosome:Pop_tri_v4:13:720172:723991:-1 gene:Potri.013G011400.v4.1 transcript:Potri.013G011400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011400.v4.1 MGRGEQINYKRNLKKRVRDKDKGSDDSDEDYVVENEENLSDDDSEDCQVSLDGYASEECFDSFVEEEEGGEGEEEEEFRKPVRSKKKRSSLGKGKIEGKASRKRKRVSHKDEDEEDDDDDDEEYVNGDGDEDDEEFTLDEEDDDCLDEDDELTAERKNRNVKVVKRRVPKGGSRRVTKRRRKSRVMKKPLAKKGINKRRLKRKERCEYEDEDDGDFLADSPVAREKSKKTSGVRKRKFSVNSDSDFVSNGSSDYEYTLSEEEREQVREASQLYGELKTSLRSSSVGKRIQENGDLCQQTKPVGRKGKEKVKEEKSELGKQVCGICLSEEDKRRLRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFSTIAKNGRSAMGVDLRNMVIEVPMRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSTSSQAQDPLPDQWNSSNNIFNRPSPILNLDEGLDPNLESAPRLTIPQVSGSLSSPRFLTGDIHVASPVSVAGASTLSGRRHLHRHIRTLLSNRNPSANMNPVANRIDVISAASLHSDFLNSQIDPVRETALQNLRTQEIGTSEQTPNKERLQANDHPSSSFQNRDSYYLTPNQSRRQTVQDPTITTADRPVNLTLWPDLMGINSIPGFEQSHQFRSRSSTEPDGTLSSYQVREQSQFYDVKEQLQSMVKNHLGSLSQDIELDHDTFKDIARSSTHTILAACGLEHKRSEVHTVPLPSTCTHNDRVVAGQTSVMRGCCSSCFDSFVRNVVKRIMDTRPRQWLTLGL >Potri.013G011400.6.v4.1 pep chromosome:Pop_tri_v4:13:720129:723901:-1 gene:Potri.013G011400.v4.1 transcript:Potri.013G011400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011400.v4.1 MGRGEQINYKRNLKKRVRDKDKGSDDSDEDYVVENEENLSDDDSEDCQVSLDGYASEECFDSFVEEEEGGEGEEEEEFRKPVRSKKKRSSLGKGKIEGKASRKRKRVSHKDEDEEDDDDDDEEYVNGDGDEDDEEFTLDEEDDDCLDEDDELTAERKNRNVKVVKRRVPKGGSRRVTKRRRKSRVMKKPLAKKGINKRRLKRKERCEYEDEDDGDFLADSPVAREKSKKTSGVRKRKFSVNSDSDFVSNGSSDYEYTLSEEEREQVREASQLYGELKTSLRSSSVGKRIQENGDLCQQTKPVGRKGKEKVKEEKSELGKQVCGICLSEEDKRRLRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFSTIAKNGRSAMGVDLRNMVIEVPMRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSTSSQAQDPLPDQWNSSNNIFNRPSPILNLDEGLDPNLESAPRLTIPQVSGSLSSPRFLTGDIHVASPVSVAGASTLSGRRHLHRHIRTLLSNRNPSANMNPVANRIDVISAASLHSDFLNSQIDPVRETALQNLRTQEIGTSEQTPNKERLQANDHPSSSFQNRDSYYLTPNQSRRQTVQDPTITTADRPVNLTLWPDLMGINSIPGFEQSHQFRSRSSTEPDGTLSSYQVREQSQFYDVKEQLQSMVKNHLGSLSQDIELDHDTFKDIARSSTHTILAACGLEHKRSEVHTVPLPSTCTHNDRVVAGQTSVMRGCCSSCFDSFVRNVVKRIMDTRPRQWLTLGL >Potri.001G401900.2.v4.1 pep chromosome:Pop_tri_v4:1:42778762:42784950:-1 gene:Potri.001G401900.v4.1 transcript:Potri.001G401900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401900.v4.1 MEDISCTASCDVDKKDLEELFEVLGSGFSLEDISSAFVSANFDKNLAGETLCGIHGSNSTTANTGKSEAENAVSMKLASGRDSMRPMFSELSPKVFKMAPIGEKDTREFKSKRYPVSMGAVSSVLGKEYAKPKPLTHRSVEATKPLKLDSKDFPVSDVWREKNPPSMVARHGIMQAGVEEFLFQMLGNGFQLDMTLIQEVLGRCGYDIQKSIDELLELSASTLEKSDDAVSMAMEESTKQCSDQESLSLQEQTQQLRGTQSDGARLHKENLTGSLKREHNRVSLEREILQSLFDLPERSEEAPKKTRLVRQARSVFGKPAVTPHKDTAKEHKPSAAKPLADTRGEAEGDENSYEVLRKAVKEYWITKKEFYKAAADAFAEGDHARADKLMDQGQFFNEKAREADEKSFQKLMETRDDEIVSLDLLGFEPKEALHSLRFHLTSFSGIPSIKYLRVVIENDEKDTTKGKRRRLIMKQLEKESIKWTDEGNGQIILIQVDAIDPKHLSFAEKYMFRSSQPKSGIIGYQVSQQLV >Potri.014G102600.3.v4.1 pep chromosome:Pop_tri_v4:14:6800676:6803532:1 gene:Potri.014G102600.v4.1 transcript:Potri.014G102600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102600.v4.1 MKIFNWVQRRFHHGAIKDGLARNVKKAESITNEADKQALLKQVALVDVLDGWKDGILTIGTLGLDPLKPFNQQNDQYFILESEEEEEVEREQEQYSVDDDYFDDDNVEDEEVSPLIYATFEHSFEGTGSNTVTCDVITTSSITEQEQRKRKGERITLAELFLEDADMKKKPDSVEIETKSGNKKPVARAKGGLSFAKKLIRPRPGEDSRPIKKFNQLMRRMLKRKIHPEFDGKGNKTDNQNKPGIMDVQIRKGNEAESVFLLQTPSGPTI >Potri.014G061266.1.v4.1 pep chromosome:Pop_tri_v4:14:3949731:3950604:1 gene:Potri.014G061266.v4.1 transcript:Potri.014G061266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061266.v4.1 MRKQRGSGYPVGRLGKSSRRTHERKGQGKQNFGCNCDANLCRWEESLQGFIFSTPCHAVFAFLNPLLIGVIQVKFQGTAQSPFQTSLPSMWAFLLATIIYCFAFAANMRFKCTWYSRLSGHVAFFAGSFSSISLVSVLLPALLGRLIFAVWIILPIVVARNFVQFICLWIYQRIMTLIFKILGFWYRFLDDISVEEQPEYPVEIIISN >Potri.002G258000.1.v4.1 pep chromosome:Pop_tri_v4:2:24627017:24631522:-1 gene:Potri.002G258000.v4.1 transcript:Potri.002G258000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258000.v4.1 MSSLHNPSMAASQKLYVALFHVLLLSLFPLKAKSSARTQAEALLQWKSTLSFSPPPLSSWSRSNLNNLCKWTAVSCSSTSRSVSQINLRSLNITGTLAHFNFTPFTDLTRFDIQSNNVNGTIPSAIGSLSKLTHLDLSANLFEGSIPVEISQLTELQYLSLYNNNLNGIIPFQLANLPKVRHLDLGANYLENPDWSKFSMPSLEYLSFFLNELTAEFPHFITNCRNLTFLDLSLNKFTGQIPELVYTNLGKLEALNLYNNSFLGPLSSNISKLSNLKNISLQNNLLSGQIPESIGSISGLQIVELFGNSFQGNIPPSIGQLKHLEKLDLRMNALNSTIPPELGLCTNLTYLTLADNQLSGELPLSLSNLAKIADMGLSENSLSGEISPTLISNWTELISLQVQNNLFSGNIPPEIGKLTMLQYLFLYNNTFSGSIPPEIGNLKELLSLDLSGNQLSGPLPPALWNLTNLQILNLFSNNINGKIPPEVGNLTMLQILDLNTNQLHGELPQTISNITSLTSINLFGNNLSGSIPSNFGKYMLSLAYASFSNNSFSGELPPELCRGRSLQQFTVNSNSFTGSLPTCFRNCSELSRVRLEKNRFTGNITDAFGVLPNLVFVALSDNQFIGEISPDWGECKNLTNLQMDGNRISGEIPAELGKLPQLRVLSLGSNDLAGRIPAELGNLSRLFMLNLSNNQLTGEVPQSLTSLEGLEYLDLSDNKLTGNISKELGSYEKLSSLDLSHNNLAGEIPFELGNLNSLRYLLDLSSNSLSGAIPQNFAKLSQLEIFNVSHNHLSGRIPDSLSSMLSLSSFDFSYNELTGPLPSGSVFKNASPRSFVGNSGLCGEGEGLSQCPTTDSSKSSKDNKKVLIGVIVPFCGLLVIATIFAVLLCFRKTKLLDEETKIVNNGESFKSVIWERESKFTFGDIVKATDDFNEKYCIGRGGFGSVYKAVLSTGQVVAVKKLNMSDSSDIPATNRQSFENEIKMLTEVRHRNIIKLYGFCSRRGCLYLVYEHVERGSLGKVLYGIEGEVELGWGRRVNTVRGVAHAIAYLHHDCSPPIVHRDISLNNIFLETDFEPRLADFGTARLLNTDSSSWTAVAGSYGYMAPELAQTMRVTDKCDVYSFGVVALEVMMGRHPGDLLSSLSSIKPSLLSDPELFLKDVLDPRLEAPTGQAAEEVVFVVTVALACTQTKPEARPTMHFVAQELSARTQAYLAEPLNSITISKLRSFQK >Potri.019G014334.2.v4.1 pep chromosome:Pop_tri_v4:19:2063817:2064836:1 gene:Potri.019G014334.v4.1 transcript:Potri.019G014334.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014334.v4.1 MPQDDAPITVKGKEVGSLRNLETLECHFEGFSDFVEYVRSGDGILSLSTYKILVGEVGRYSEQLIEDFPSKTVGLGNLSINGDRDFQVKFLNGIQGLICESIDARSLCDVLSLENATELERISIRECHNMESLVSSSWFCSAPPPLPCNGTFSGLKEFFCYRCKSMKKLFPLVLLPNLVNLERIEVNDCEKMEEIIGTTDEESSTSNSITEFILPKLRTLRLVILPELKSICSAKVICNSLEDISVMYCEKLKRMPICLPLRENGQPSPFLNIQACPKEWWETVVEWEHPNAKDVLHPFVNY >Potri.019G014334.1.v4.1 pep chromosome:Pop_tri_v4:19:2061605:2064825:1 gene:Potri.019G014334.v4.1 transcript:Potri.019G014334.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014334.v4.1 MRSRGDAFDEGHTMLNRLEYVCLLEGAKMESDDSRCVKMHDLIRDMAIQILLENSQGMVKAGAQLKELPDAEEWTENLTRVSLMRNYIKEIPSSYSPRCPYLSTLLLCQNRWLRFIADSFFKQLHGLKVLDLSWTDIEKLPDSVSDLASLTALLLNDCESLRHVSSLKKLKALKRLDLSRTGALEKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEEFMPQDDAPITVKGKEVGSLRNLETLECHFEGFSDFVEYVRSGDGILSLSTYKILVGEVGRYSEQLIEDFPSKTVGLGNLSINGDRDFQVKFLNGIQGLICESIDARSLCDVLSLENATELERISIRECHNMESLVSSSWFCSAPPPLPCNGTFSGLKEFFCYRCKSMKKLFPLVLLPNLVNLERIEVNDCEKMEEIIGTTDEESSTSNSITEFILPKLRTLRLVILPELKSICSAKVICNSLEDISVMYCEKLKRMPICLPLRENGQPSPFLNIQACPKEWWETVVEWEHPNAKDVLHPFVNY >Potri.014G129500.1.v4.1 pep chromosome:Pop_tri_v4:14:8679673:8681142:-1 gene:Potri.014G129500.v4.1 transcript:Potri.014G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129500.v4.1 MPHTNPNKNQEDQSKSMVMSHNSRHSIDSCTLQLHSWRPFLDSDPPTNSKPYASSRTLPKRPCLSDRATSFPSNIDSIDISKLSLLQDDDNNNNKPIPATPAVTNSPYKRGTLRLIERKRRRRGSRSVSGRSSDRSGTWRCCSVGAAHGTCSDFPVAVGTDSSGELFVNGDANWASDVSEAKNSIKEREEKENLLGVGSAFGNLDSESGYGSEPGYRGDAEFGYGDEVDEEEDDARLLFWGHHFQDSKMEMVGENTFDPKTHHRCRRRKHDYRMVDSLR >Potri.015G130300.1.v4.1 pep chromosome:Pop_tri_v4:15:14088941:14089880:-1 gene:Potri.015G130300.v4.1 transcript:Potri.015G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130300.v4.1 MIMEERMLTPRLFLVYMIIFSSATVSPASAFMSWFRKRPMQNVTVINEIESRATMITNCRTIFFDYKIKDIPYGESFSFSFVPDVWGTTAYWCKFTWNDTMSRKEVSAVLLVFDALKDDEKHCAPECRWRISSTGYRFWDGFLSWGTFSVRRLK >Potri.002G057700.1.v4.1 pep chromosome:Pop_tri_v4:2:3934919:3940478:1 gene:Potri.002G057700.v4.1 transcript:Potri.002G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057700.v4.1 MAQEVVNPEEQVVLGEEIDHVRLITLNRPRQLNVISSKVVSLLADFLEKLEKDDNTKLILIKGAGRAFSAGGDLRMFYDGRTSKDSCLEVVYRMYWLCHHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFSTPEASIGFHTDCGFSYILSRLPGHLGEFLALTGARLNGKELVAAGMATHFVPFEKLPELEKRLISLNSGDENAVKSVIEEFSVDIQLDEDSVLNKLSIIDECFSKDTVVDIIKSFGLEASKDGNGWIGAILKGLKRSSPTGLKITLRSIREGRKQTLAECLEKEFRLTMNILRTTISADVYEGIRALTIDKDNAPKWDPPTCDEVDDSKVDLVFQPFKDNLELQIPENEKHRWEGKYEHSAYAALNATEIAK >Potri.002G057700.2.v4.1 pep chromosome:Pop_tri_v4:2:3935668:3940477:1 gene:Potri.002G057700.v4.1 transcript:Potri.002G057700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057700.v4.1 MAQEVVNPEEQVVLGEEIDHVRLITLNRPRQLNVISSKVVSLLADFLEKLEKDDNTKLILIKGAGRAFSAGGDLRMFYDGRTSKDSCLEVVYRMYWLCHHIHTYKKTQVALVHGISMGGGASLMVPMKFSVVTEKTVFSTPEASIGFHTDCGFSYILSRLPGHLGEFLALTGARLNGKELVAAGMATHFVPFEKLPELEKRLISLNSGDENAVKSVIEEFSVDIQLDEDSVLNKLSIIDECFSKDTVVDIIKSFGLEASKDGNGWIGAILKGLKRSSPTGLKITLRSIREGRKQTLAECLEKEFRLTMNILRTTISADVYEGIRALTIDKDNAPKWDPPTCDEVDDSKVDLVFQPFKDNLELQIPENEKHRWEGKYEHSAYAALNATEIAK >Potri.015G042100.2.v4.1 pep chromosome:Pop_tri_v4:15:3908048:3911300:1 gene:Potri.015G042100.v4.1 transcript:Potri.015G042100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042100.v4.1 MLVPLFNLAPNLTVSRLCLGTMTFGEQNSLPQSFGLLDEAFNAGINFFDSAEMYPVPQRAETQGRSEEYLGRWIKRRKIPRDRIVLATKVAGPSGQMTWIRGGPKCLDSRNITDAIDSSLKRMQVDYIDLYQIHWPDRYVPMFGETEYDPTRQFCSVGIEEQLDALGRAVAAGKIRYIGVSNETPYGVMKFSQVADRVAHYPKIVSVQNSYNLLCRTFDAGIAECCHHEGISLLAYSPLAMGILSGKYFAADGGPVDARLNVFKGMYSEGESRYNLSNNIIKAAALEYLAIAKKYGLHPVSLAIAFVLKHPLVASAIFGATKSWQLHEVLKACMIELTPEIIAEINNIHARIPNPCP >Potri.015G042100.1.v4.1 pep chromosome:Pop_tri_v4:15:3908048:3911300:1 gene:Potri.015G042100.v4.1 transcript:Potri.015G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042100.v4.1 MLVPLFNLAPNLTVSRLCLGTMTFGEQNSLPQSFGLLDEAFNAGINFFDSAEMYPVPQRAETQGRSEEYLGRWIKRRKIPRDRIVLATKVAGPSGQMTWIRGGPKCLDSRNITDAIDSSLKRMQVDYIDLYQIHWPDRYVPMFGETEYDPTRQFCSVGIEEQLDALGRAVAIRYIGVSNETPYGVMKFSQVADRVAHYPKIVSVQNSYNLLCRTFDAGIAECCHHEGISLLAYSPLAMGILSGKYFAADGGPVDARLNVFKGMYSEGESRYNLSNNIIKAAALEYLAIAKKYGLHPVSLAIAFVLKHPLVASAIFGATKSWQLHEVLKACMIELTPEIIAEINNIHARIPNPCP >Potri.009G167800.1.v4.1 pep chromosome:Pop_tri_v4:9:12797543:12799328:-1 gene:Potri.009G167800.v4.1 transcript:Potri.009G167800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167800.v4.1 MSQQSHFSISFFYAFISLLFIHHVLASTRTTPQVQTFNTKPDIINPKLPPRTLSSSKKFEGSSDLVHLRYHMGPVLSSTPINIYLIWYGRWANSQKLLIKDFLNSISPTTVAAKPSVSEWWRTVSLYTDQTGANVSRSILIAGEYTDSAYSHGTGLTRLTIQQVIASAVKSAPFPVDHKNGIYLILTSQDVTMQDFCRAVCGFHYFTFPSMVGYTLPYAWVGNSGKQCPEVCAYPFAVPGYMGGGGPGALKSPNGDVGVDGMISVIGHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYTGQVMRDTQGKTFNMNGRRGRKFLVQWIWSPELKACSGPNALD >Potri.002G019700.1.v4.1 pep chromosome:Pop_tri_v4:2:1196478:1198145:-1 gene:Potri.002G019700.v4.1 transcript:Potri.002G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019700.v4.1 MEAIFAPSTADHHHQSISPYKQMKNTNPTKKINHTSRSFISRSTENLPPVNYNSNSIHGGLFFAPPRNLLSFSYPPSSLSVLNPHQQYYYQKQAQPPLLPLPTSQPRHNSLPSLSRGFSCPPTATRKTNRPRDQSFTPKKSKQPNTKKEKPKKESLIIESTVPLGPDPKDLPRDVSKVLSSSVTVSGNDVITNPVFTKDCDPKFPGSVFTLSPHPSSLPLPKFSMKPKRSCTAEASGVDAGATDNLRRLLRIR >Potri.010G196900.1.v4.1 pep chromosome:Pop_tri_v4:10:19048906:19053649:-1 gene:Potri.010G196900.v4.1 transcript:Potri.010G196900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G196900.v4.1 MMASKISQYPFFLLLLSSLIITCPPLSSSTASHSQDDRKSYIVYMGDRPKSEFSASSLHLNMLQEVTGSNFSSESLLHSFNRTFNGFVVKLSEDEVEKLAAMSSVVSVFPNRKKKLHTTRSWDFMGFSQEVQRTNVESNIIVGMLDTGIWPESESFNDAGFGPPPSKWKGSCQVSSNFSCNNKIIGAKYYRSDGMFNQSDVKSPRDSEGHGTHTASIAAGGSVSMASLYDLAMGTARGGVPSARIAVYKVCWSDGCWDADILAAFDDAIADGVDIISISVGDLTPHDYFNDSIAIGAFHAMKYGILTSNSGGNEGPGLATISNISPWSLSVAASTIDRKFLTKVLLGSNEAYEGVSINTFDLQNVMYPLIYGGDAPNITGNFSSSSSRFCFQNSLDPALVKGKIVLCDDLGGWREPFFAGAVGAVMQDGGAKDVAFSFPLPLSYLGKGEGSNILSYMNSTSNATATIYKSNEANDTSAPYVVSFSSRGPNAFTPDALKPDIAAPGVDILAAWSPLFPISQLEGDNRLVPYNIISGTSMACPHASGAAAYIKSYHPTWSPAAIKSALMTTASPMNAEIYNDAEFAYGAGHINPIRAINPGLVYDAGPIDYMKFLCGQGYNSSVLRMITGDNSSCSDAINGTVWDLNHPSFALSTSSSEVISRVFNRVVTNVGSPTSIYKSNVTAPPGLKIQVNPTILSFSSLGQNLSFALTIEGTVASSIASASLAWDDGVYQVRSPIAVYVALKRKP >Potri.010G226500.1.v4.1 pep chromosome:Pop_tri_v4:10:21008001:21012718:1 gene:Potri.010G226500.v4.1 transcript:Potri.010G226500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226500.v4.1 MVLTEDPNSELMSGLVDSVKEISMSPECRNVCKKMHGNLVRRIKLLSPLFEELKDNNEELSEEETKGFELLRTALDSAKELLKLVVEGSKVYQTLQRDHIADKFNQITEKIEAALSEIPYDKLNLSEEVQEQIELVHAQFRRAKGSPELPDHQLEVDLAIAQREKEPDPAILKRLSERLHLQTIDDLKKESLAFHELVIASGGDPGDWFKKMASLFKKLKDHVQMANPEANCSEAEKVMMKHRSPVIPDDFRCPISLELMKDPVIISTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKQPGACRSKNVRSSISYCDRAAIATLLDKLANGNLEQQRSAAGELRLLAKRNVDNRVCIAEAGAIPLLVELLSSTDPRTQEHAVTALLNLSINDINKGTIVNAGAIPDIVDVLKNGSMEARENAAATLFSLSVVDENKVAIGAAGAIPALIKLLCDGTPRGKKDAATAIFNLSIYQGNKARAVKAGIVPPLMRLLKDAGGGMVDEALAILAILASHQEGKVAIGQADPIPVLMEVISTGYPRNRENAAAILCSLCTVDSQQLKLARQFGAEKALKELSESGTDRAKRKAGSILELLQGVDAIVTQS >Potri.019G008900.2.v4.1 pep chromosome:Pop_tri_v4:19:1221538:1229876:-1 gene:Potri.019G008900.v4.1 transcript:Potri.019G008900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008900.v4.1 MATALSDILRVTSSVLMLMLLMFCMGATNLEAQVGSLAPDEVETLLEVATQLGKQGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGRITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPPAFTNLTGLKELRLSSNNFTGGIPDFIQSWKQLDTLEIQAGGFTGPIPSSISHLTNLTDLKISNLLGDGSEFPHLESIKGIKYLLLSNCNLSGNFPKYLTGMAQLKILDLSFNRLNGSLPTNYDGLKSLEKMYLTRNMLTGPIPDWIDKRDTRYEIDLSYNNFTSEAKCRETLNLFKSTWGGNYSKPVECLSACSEERYSVHINCGGPEATIGNTIYEADNEPGGAAKYASKREDWQTSTTGHIWDVPASLDSYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVPCLVNGNYKIKLHFAEIVIRDNRSYYSLGRRIFDVYIQDIVVLKDFDTAKAAGGVDKVYIHNYTAPVTNGALEIRLHWAGKGTTMSPKKGIYGPLISAIDVESDFKPPDKGRRKRFIVAGAVVLPLFLILILLSTLWWKGYLGGRKSRDRGTELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTQIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIKATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRVLYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLVDPSGYATASHNKFAQSSVNGSLSESQSLVKSSEGPWTASSSSSAQDLYPISKS >Potri.019G008900.3.v4.1 pep chromosome:Pop_tri_v4:19:1221494:1231613:-1 gene:Potri.019G008900.v4.1 transcript:Potri.019G008900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008900.v4.1 MATALSDIFRIPSSLLVLMLLMFCMEAINLEAQVGSLAPDEVETLLEVATQLGKQGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGRITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPPAFTNLTGLKELRLSSNNFTGGIPDFIQSWKQLDTLEIQAGGFTGPIPSSISHLTNLTDLKISNLLGDGSEFPHLESIKGIKYLLLSNCNLSGNFPKYLTGMAQLKILDLSFNRLNGSLPTNYDGLKSLEKMYLTRNMLTGPIPDWIDKRDTRYEIDLSYNNFTSEAKCRETLNLFKSTWGGNYSKPVECLSACSEERYSVHINCGGPEATIGNTIYEADNEPGGAAKYASKREDWQTSTTGHIWDVPASLDSYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVPCLVNGNYKIKLHFAEIVIRDNRSYYSLGRRIFDVYIQDIVVLKDFDTAKAAGGVDKVYIHNYTAPVTNGALEIRLHWAGKGTTMSPKKGIYGPLISAIDVESDFKPPDKGRRKRFIVAGAVVLPLFLILILLSTLWWKGYLGGRKSRDRGTELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTQIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIKATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRVLYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLVDPSGYATASHNKFAQSSVNGSLSESQSLVKSSEGPWTASSSSSAQDLYPISKS >Potri.019G008900.4.v4.1 pep chromosome:Pop_tri_v4:19:1221668:1231539:-1 gene:Potri.019G008900.v4.1 transcript:Potri.019G008900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008900.v4.1 MATALSDIFRIPSSLLVLMLLMFCMEAINLEAQVGSLAPDEVETLLEVATQLGKQGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGRITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPPAFTNLTGLKELRLSSNNFTGGIPDFIQSWKQLDTLEIQAGGFTGPIPSSISHLTNLTDLKISNLLGDGSEFPHLESIKGIKYLLLSNCNLSGNFPKYLTGMAQLKILDLSFNRLNGSLPTNYDGLKSLEKMYLTRNMLTGPIPDWIDKRDTRYEIDLSYNNFTSEAKCRETLNLFKSTWGGNYSKPVECLSACSEERYSVHINCGGPEATIGNTIYEADNEPGGAAKYASKREDWQTSTTGHIWDVPASLDSYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVPCLVNGNYKIKLHFAEIVIRDNRSYYSLGRRIFDVYIQDIVVLKDFDTAKAAGGVDKVYIHNYTAPVTNGALEIRLHWAGKGTTMSPKKGIYGPLISAIDVESDFKPPDKGRRKRFIVAGAVVLPLFLILILLSTLWWKGYLGGRKSRDRELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTQIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIKATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRVLYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLVDPSGYATASHNKFAQSSVNGSLSESQSLVKSSEGPWTASSSSSAQDLYPISKS >Potri.019G008900.1.v4.1 pep chromosome:Pop_tri_v4:19:1221514:1229973:-1 gene:Potri.019G008900.v4.1 transcript:Potri.019G008900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008900.v4.1 MATALSDILRVTSSVLMLMLLMFCMGATNLEAQVGSLAPDEVETLLEVATQLGKQGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGRITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPPAFTNLTGLKELRLSSNNFTGGIPDFIQSWKQLDTLEIQAGGFTGPIPSSISHLTNLTDLKISNLLGDGSEFPHLESIKGIKYLLLSNCNLSGNFPKYLTGMAQLKILDLSFNRLNGSLPTNYDGLKSLEKMYLTRNMLTGPIPDWIDKRDTRYEIDLSYNNFTSEAKCRETLNLFKSTWGGNYSKPVECLSACSEERYSVHINCGGPEATIGNTIYEADNEPGGAAKYASKREDWQTSTTGHIWDVPASLDSYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVPCLVNGNYKIKLHFAEIVIRDNRSYYSLGRRIFDVYIQDIVVLKDFDTAKAAGGVDKVYIHNYTAPVTNGALEIRLHWAGKGTTMSPKKGIYGPLISAIDVESDFKPPDKGRRKRFIVAGAVVLPLFLILILLSTLWWKGYLGGRKSRDRELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTQIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIKATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRVLYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLVDPSGYATASHNKFAQSSVNGSLSESQSLVKSSEGPWTASSSSSAQDLYPISKS >Potri.018G059800.1.v4.1 pep chromosome:Pop_tri_v4:18:6074516:6076240:-1 gene:Potri.018G059800.v4.1 transcript:Potri.018G059800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G059800.v4.1 MGICISSASSEIHQADDGLENVMHVQETIVSHGIEKLGSLYSKEGSKGVNQDAAVLHQGYGMEHGAFCGVFDGHGKNGHIVSKIVRNTLPSLLLNQKNASAKMKTVRDHNNEKADDGLAPSEGFHKWKEACISAFKEMDKEIKLQGSLDCSCSGATAVVVLRQGDDLIIANLGDSRAVLGRINDQNGIMPVQLTTDLKPGVPGEAERIRKCNGRVLALKEEPHIHRVWLPHEDSPGLAMSRAFGDFILKNHGIISLPDISYHRVTSKDQFVVLASDGVWDVLSNKEVVSIISTADSELAAAKSVVEAATAAWKRKFTSSKVDDCTVVCLFLEKRKQQSDFIF >Potri.001G214700.3.v4.1 pep chromosome:Pop_tri_v4:1:22126077:22132483:1 gene:Potri.001G214700.v4.1 transcript:Potri.001G214700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G214700.v4.1 MSLELVSEPVCYVHCNLCNTILAVSVPSSSLFNIVTVRCGHCGNLLSVNMGASLQTLPLQDPQSQKLLLINSEDLNKNFGSSSKCNKVTASESTEHEPPRMPAIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDSNKHAKLDHQSFAGEGTQKTSGLYEINGSL >Potri.001G214700.8.v4.1 pep chromosome:Pop_tri_v4:1:22129154:22132161:1 gene:Potri.001G214700.v4.1 transcript:Potri.001G214700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G214700.v4.1 MLARVLLKFEANYTFGSIQVISCDNTRRAFLSCFTNSSWQVSVPSSSLFNIVTVRCGHCGNLLSVNMGASLQTLPLQDPQSQKLLLINSEDLNKNFGSSSKCNKVTASESTEHEPPRMPAIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDSNKHAKLDHQSFAGEGTQKTSGLYEINGSL >Potri.001G214700.4.v4.1 pep chromosome:Pop_tri_v4:1:22126272:22132482:1 gene:Potri.001G214700.v4.1 transcript:Potri.001G214700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G214700.v4.1 MSLELVSEPVCYVHCNLCNTILAVSVPSSSLFNIVTVRCGHCGNLLSVNMGASLQTLPLQDPQKLLLINSEDLNKNFGSSSKCNKVTASESTEHEPPRMPAIRPPEKRQRVPSAYNRFIKEEIQRIKASNPDISHREAFSTAAKNWAHFPHIHFGLKLDSNKHAKLDHQSFAGEGTQKTSGLYEINGSL >Potri.012G114200.1.v4.1 pep chromosome:Pop_tri_v4:12:13332410:13336292:-1 gene:Potri.012G114200.v4.1 transcript:Potri.012G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114200.v4.1 MACLSRVLTVSCPVKPLYGFSACSSQNWRSRFADASNHKLNLRWKAMASESDSSSFAASVDSDSPDRNATGFCIIEGPETVQDFAKMELLEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNAELGISNESEENELPNFPSFIPFLPPLSAENLRLYYATCFSLIAGIIIFGGLLAPALELKLGLGGTSYPDFIRTMHLPMQLSQVDPIVASFSGGAVGVISALMVVEINNVKQQEHKRCKYCLGTGYLACARCSNTGALVLIEPVSTISGADQPLSRPKTERCSNCSGSGKVMCPTCLCTGMAMASEHDPRIDPFD >Potri.006G252200.1.v4.1 pep chromosome:Pop_tri_v4:6:25075132:25075944:1 gene:Potri.006G252200.v4.1 transcript:Potri.006G252200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252200.v4.1 MVLIAITIIVVSLLSNEIGFVLGDIGTATSYEPPYLPTKCNGNRQDQFPPGNLFVSVSEGLWDNGAACGRRYRLRCLSGNNKPCKDGTIDVRVVDFCRKSPCPSTILLSNDAFSSVSYSPSAKINVEYIQI >Potri.002G143850.1.v4.1 pep chromosome:Pop_tri_v4:2:10826901:10827518:1 gene:Potri.002G143850.v4.1 transcript:Potri.002G143850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143850.v4.1 MYGCGKGGDGLGEGGAKHVRDNIQDITKPVTCCLARRGGVKRISGLIYEETRGILNSQALP >Potri.007G035300.1.v4.1 pep chromosome:Pop_tri_v4:7:2765137:2769827:-1 gene:Potri.007G035300.v4.1 transcript:Potri.007G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035300.v4.1 MQSTLNAAINYHQLNFQWKSQKPISRKFKTSPKILPLTNSLRTSINYEPEEGLDKVPGPSGSEPNSVLRLPVVIRQSGRVSRYIYEGNSLKLVSLDGGAGSFSLDFEDAFRKLVRVSGFGIKDFFIPKQVPENYMGYVKWKFLHRVFSSALQVLATQAMFRAIGIGYSRSLPSAAALNWVLKDGLGRLSRCIYTASLASAFDTNLKRVRFTTSVLFSLSIGIELLTPTFPQYFLLLATLANIAKQISLACYLATGSAVHRSFAIADNIGEVSAKAQIQTVSFDNLGLMLAALLNMLFKNNQRLLAGLPFFVYPIFSAIDLFGIYQGLQHVHLQTLTKDRLEIILNSWIDFGHVPSPAEVSKEEGIDFLWTKNKELWPIRIRCLNTNSEIPKLSMMAMQSLTSEDYYFVCMESSCREWTRIKPRGILLCLREGSGTADVIMGLLQACYIRKALLFSSMWETAVEDKDVSDLVFKEWFKLIDDSKQSAKRDLSTLNDQMGSLGWALKHILLSTEEQARYSFVDD >Potri.017G133300.2.v4.1 pep chromosome:Pop_tri_v4:17:13519170:13524765:-1 gene:Potri.017G133300.v4.1 transcript:Potri.017G133300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133300.v4.1 MAISLLEEFGLPLGLLPLADVIEVGFVSSTGYMWIVQKKKVEHNFKIPSKLVSYGTDITGYVSKKQIKKLKGVLKAKELMLWPPVNEITVDDPPTGKIHFKSLAGITKTFPVEAFAAGQ >Potri.006G119500.1.v4.1 pep chromosome:Pop_tri_v4:6:9404665:9406555:1 gene:Potri.006G119500.v4.1 transcript:Potri.006G119500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119500.v4.1 MVSKCFSLHLHPHLSSTSLITKNSRLSLSSTTKLNATRIQKQVHPFLPARRYSRLTPMASSQSSRNDPKLDQFEPDPTLTNDDLKPTTPAERTYSGWEMASLWIGLVVGVPSYYLAGSLVDLGMAWWQGIATVVAANIILLVPLILTGHPGTRYGISFPVLARSSFGIRGAHIPTLLRALVGCGWYGIETWIGGEAIFILLPQFIKENSSCSQSLPWLGTSPLEFACFIVFWVAQLAIVWKGMDGIRELEKFSAPILIILTSCLLIWAYVKAGGFGYMLSISSRLSSSEFWALFFPSLTANISFWATLALNIPDFTRYAKSQTDQIIGHAGLPIFMGAFTFVGLAVTLSTKVIFGHVISSPIQLLERIGGLTTMILAIFGISLATITTNIAANVVAPANALVNLSPSKFTFRRGALLTALLGIAFQPWRLLQSSESFVYTWLVGYSALLGPIGGIVLADYYLIRKTDLSVNDLYSLSPYGAYYYSGGYNLAAMAALIAGILPVIPGFLQNVGVVSSIPETFVVIYNNAWFFSFFLAGFLYWSVSVLTGKRKKSLPRDPLLPSET >Potri.010G064600.1.v4.1 pep chromosome:Pop_tri_v4:10:9336997:9341436:1 gene:Potri.010G064600.v4.1 transcript:Potri.010G064600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064600.v4.1 MDLLQNYQNDGELDQNPNSSPDSSPPRLLPSKSAAPKVDDTMLALTVANQMLSKPIDPVQHVVAFNPTYDQLWAPVLGPAHPYAKDGIAQGMRNHKLGFVEDAAIDSFVFDEQYNTFHKYGYAADPSASAGNNYIGDLDVLEKNNGISVYNIPQHEQKKRKIEKKTEAVEDDDDGMDKEEVENPATDAWLMKNRKSPWAGKKEGLQTELTEEQKKYAEEHARKKEEKAGGEKGELVADKTTFHGKEERDYQGRSWIAPPKDAKASNDHCYIPKRLVHTWSGHTKGVSAIRFFPKHGHLILSAGMDTKVKIWDVFNSGKCMRTYMGHSKAVRDISFCNDGSKFLTAGYDKNIKYWDTETGQVISSFSTGKIPYVVKLNPDDDKQNILLAGMSDKKIVQWDMNTGQITQEYDQHLGAVNTITFVDNNRRFVTSSDDKSLRVWEFGIPVVIKYISEPHMHSMPSISLHPNMNWLAAQSLDNQILIYSTRERFQLNKKKRFAGHIVAGYACQVNFSPDGRFVMSGDGEGKCWFWDWKSCKVFRTLKCHEGVCIGAEWHPLEQSKVATCGWDGLIKYWD >Potri.002G099500.1.v4.1 pep chromosome:Pop_tri_v4:2:7263978:7266310:-1 gene:Potri.002G099500.v4.1 transcript:Potri.002G099500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099500.v4.1 MKFNIAYPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFAIKGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRSFTTKSGKKVSKGPKIQRLVTPLTLQRKRARISDKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKKRSRLSVASKPSIAA >Potri.011G071000.1.v4.1 pep chromosome:Pop_tri_v4:11:6282349:6285579:-1 gene:Potri.011G071000.v4.1 transcript:Potri.011G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071000.v4.1 METIKCRSLTNNKSKIARTIQKVINLKSATRIASNNGIGICLLTPHNKFDQDDLNTTCKSQNSTDNHKQKDAKAKRRAILEALLAKLFASITTIKAAYAELQMAQNPYCGDAIQAADQAVVDELKQLSELKRSFFKNELHLSPQVTMMLAEIQEQQSLMKTYEITIKKLEADVEVKGSDVGSLKKQLDEAIAFNKSIEKRLNASGPLSMFDNIQFSLLNPSHFAQLLHYTLRSMKSFVKLMVREMEVAHWDIEAAAKAIEPENIVFAKPSHRCFVFESFVCKTMLEGFNHPNEEHQSEYYYFIEFKKIKSVNPKQFLTHNPDSSFARFTRAKYLQLVHAKLECSLFGNLNQRKLVNSGGFPDSAFFNAFVEMARRAWALNLLAFSFGEDVSIFQVSKNCRFSDVYMEAVTQDSELENPNSDTDLRVAFTVVPGFKIGKTVIQSQVYLSPVKIF >Potri.011G071000.2.v4.1 pep chromosome:Pop_tri_v4:11:6282804:6285534:-1 gene:Potri.011G071000.v4.1 transcript:Potri.011G071000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071000.v4.1 METIKCRSLTNNKSKIARTIQKVINLKSATRIASNNGIGICLLTPHNKFDQDDLNTTCKSQNSTDNHKQKDAKAKRRAILEALLAKLFASITTIKAAYAELQMAQNPYCGDAIQAADQAVVDELKQLSELKRSFFKNELHLSPQVTMMLAEIQEQQSLMKTYEITIKKLEADVEVKGSDVGSLKKQLDEAIAFNKSIEKRLNASGPLSMFDNIQFSLLNPSHFAQLLHYTLRSMKSFVKLMVREMEVAHWDIEAAAKAIEPENIVFAKPSHRCFVFESFVCKTMLEGFNHPNEEHQSEYYYFIEFKKIKSVNPKQFLTHNPDSSFARFTRAKYLQLVHAKLECSLFGNLNQRKLVNSGGFPDSAFFNAFVEMARRAWALNLLAFSFGEDVSIFQVSKNCRFSDVYMEAVTQDSELENPNSDTDLRVAFTVVPGFKIGKTVIQSQVYLSPVSS >Potri.011G164700.3.v4.1 pep chromosome:Pop_tri_v4:11:18934371:18938012:1 gene:Potri.011G164700.v4.1 transcript:Potri.011G164700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164700.v4.1 MEKVQGRGIWGLVFLIMVFLSVSSREAQSKPVLVNCGANSSVNVDGRRWIGDLAPNDNFTVSSPGVAATDSNADGNSTLGPLYKTARIFNALNYTFAGMQGNYFLRLHFCPFPFENYNVNESSFSVVANGLKLMTEFNVPVEISDKNLHLQNSNSNSSSLSLVKEYILTINDVLVVEFVSSRGSFGFINAIEVVPVVGTLFADSVSKVGGSNANFNVSGRGIETMYRLNIGGQEIKTNQDSDLWRKWEMDSSYMITADAGVEIRNTSNVTYASNNDSSVAPLLVYETARIMSNTEVLEKKFNMSWKFEVDPDFDYLIRLHFCELVYDKANQRIFRVYINNKTAADSFDVYVRAGGKNIAYHQDYFDTVSSKTNTLWVQLGPDTAVGASGTDALLNGLEIFKLSRSANLAYADRIDSTEKSGSHSKSWILWLGVGAGVASVLIIAITFTCIFCFGKNRRKQMSDAKDNPPGWRPLFMHGAVVSSIANNKGGVRSLNGSLAASTRVGRRFTLSEIRAATNNFDDSLVIGVGGFGKVYSGKIEDGTLAAIKRSNPQSKQGLTEFETEIEMLSKLRHRHLVSLIGFCEEQNEMILVYEYMANGTLRSHLFGSDFPPLTWKQRLEACIGAARGLHYLHTGADRGIIHRDIKTTNILLDENFVAKMADFGLSKAGPALDHTHVSTAVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLFEVVCSRPVINPSLPKDQINLAEWAMKWQRQKSLETIVDPRLRGNTCPESLKKFGEIAEKCLADEGKNRPTMGEVLWHLEFVLQLHEAWMRANATTETSITSSQALEDLELRVAEEARRPPLALE >Potri.011G164700.2.v4.1 pep chromosome:Pop_tri_v4:11:18934005:18938013:1 gene:Potri.011G164700.v4.1 transcript:Potri.011G164700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164700.v4.1 MEKVQGRGIWGLVFLIMVFLSVSSREAQSKPVLVNCGANSSVNVDGRRWIGDLAPNDNFTVSSPGVAATDSNADGNSTLGPLYKTARIFNALNYTFAGMQGNYFLRLHFCPFPFENYNVNESSFSVVANGLKLMTEFNVPVEISDKNLHLQNSNSNSSSLSLVKEYILTINDVLVVEFVSSRGSFGFINAIEVVPVVGTLFADSVSKVGGSNANFNVSGRGIETMYRLNIGGQEIKTNQDSDLWRKWEMDSSYMITADAGVEIRNTSNVTYASNNDSSVAPLLVYETARIMSNTEVLEKKFNMSWKFEVDPDFDYLIRLHFCELVYDKANQRIFRVYINNKTAADSFDVYVRAGGKNIAYHQDYFDTVSSKTNTLWVQLGPDTAVGASGTDALLNGLEIFKLSRSANLAYADRIDSTEKSGSHSKSWILWLGVGAGVASVLIIAITFTCIFCFGKNRRKQMSDAKDNPPGWRPLFMHGAVVSSIANNKGGVRSLNGSLAASTRVGRRFTLSEIRAATNNFDDSLVIGVGGFGKVYSGKIEDGTLAAIKRSNPQSKQGLTEFETEIEMLSKLRHRHLVSLIGFCEEQNEMILVYEYMANGTLRSHLFGSDFPPLTWKQRLEACIGAARGLHYLHTGADRGIIHRDIKTTNILLDENFVAKMADFGLSKAGPALDHTHVSTAVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLFEVVCSRPVINPSLPKDQINLAEWAMKWQRQKSLETIVDPRLRGNTCPESLKKFGEIAEKCLADEGKNRPTMGEVLWHLEFVLQLHEAWMRANATTETSITSSQALEDLELRVAEEARRPPLALE >Potri.011G164700.4.v4.1 pep chromosome:Pop_tri_v4:11:18934303:18938011:1 gene:Potri.011G164700.v4.1 transcript:Potri.011G164700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164700.v4.1 MEKVQGRGIWGLVFLIMVFLSVSSREAQSKPVLVNCGANSSVNVDGRRWIGDLAPNDNFTVSSPGVAATDSNADGNSTLGPLYKTARIFNALNYTFAGMQGNYFLRLHFCPFPFENYNVNESSFSVVANGLKLMTEFNVPVEISDKNLHLQNSNSNSSSLSLVKEYILTINDVLVVEFVSSRGSFGFINAIEVVPVVGTLFADSVSKVGGSNANFNVSGRGIETMYRLNIGGQEIKTNQDSDLWRKWEMDSSYMITADAGVEIRNTSNVTYASNNDSSVAPLLVYETARIMSNTEVLEKKFNMSWKFEVDPDFDYLIRLHFCELVYDKANQRIFRVYINNKTAADSFDVYVRAGGKNIAYHQDYFDTVSSKTNTLWVQLGPDTAVGASGTDALLNGLEIFKLSRSANLAYADRIDSTEKSGSHSKSWILWLGVGAGVASVLIIAITFTCIFCFGKNRRKQMSDAKDNPPGWRPLFMHGAVVSSIANNKGGVRSLNGSLAASTRVGRRFTLSEIRAATNNFDDSLVIGVGGFGKVYSGKIEDGTLAAIKRSNPQSKQGLTEFETEIEMLSKLRHRHLVSLIGFCEEQNEMILVYEYMANGTLRSHLFGSDFPPLTWKQRLEACIGAARGLHYLHTGADRGIIHRDIKTTNILLDENFVAKMADFGLSKAGPALDHTHVSTAVKGSFGYLDPEYYRRQQLTEKSDVYSFGVVLFEVVCSRPVINPSLPKDQINLAEWAMKWQRQKSLETIVDPRLRGNTCPESLKKFGEIAEKCLADEGKNRPTMGEVLWHLEFVLQLHEAWMRANATTETSITSSQALEDLELRVAEEARRPPLALE >Potri.018G085500.1.v4.1 pep chromosome:Pop_tri_v4:18:10302350:10307410:1 gene:Potri.018G085500.v4.1 transcript:Potri.018G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085500.v4.1 MELCFQLPKFFQNVNRRWLLVLGVVAVTHTLFQFLLLPYGNALRSLFPNVNDSMYDKSSFAVIQSSKKSVMVRYPLTVDKSSLNNYFKFDGVLENADDSNGGVEEGHDDGTKKNTEDTDHDFSSEEGDMEVLDDVIQLEVDRDLEDDFPSEDVKDRHETFASGGVKTEESNPVLKLANEARFNLPLERNVKSDHDIPTDNVLQQNKSQAHKEFEHVNSTLPVDSQAVASSTKATYLKSNGSSSIGPAALKSDSAAAKNYSVVLAKPGKKKMRCEMPPKSVTLIDEMNSILVRHRRSSRSMRPRWSSARDQEILAARSQIESAPAVVHDRDLYAPLFRNVSKFKRSYELMERTLKIYIYKDGKKPIFHLPILKGLYASEGWFMKLMQGNKHFVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHNRTNLRLYMKRYAESIAAKYSFWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDVSFPETYVRSARNPLRDLGGKPPSQRNILAFYAGNMHGYLRPILLKYWKDKDPDMKIFGPMPPGVASKMNYIHHMQRSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFDVLDWGAFSLILAEKDISNLKEILLSIPKEKYLQMQLGVRKAQRHFLWHASPMKYDLFYMTLHSIWYNRVYQIKPR >Potri.018G085500.2.v4.1 pep chromosome:Pop_tri_v4:18:10302600:10307341:1 gene:Potri.018G085500.v4.1 transcript:Potri.018G085500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085500.v4.1 MELCFQLPKFFQNVNRRWLLVLGVVAVTHTLFQFLLLPYGNALRSLFPNVNDSMYDKSSFAVIQSSKKSVMVRYPLTVDKSSLNNYFKFDGVLENADDSNGGVEEGHDDGTKKNTEDTDHDFSSEEGDMEVLDDVIQLEVDRDLEDDFPSEDVKDRHETFASGGVKTEESNPVLKLANEARFNLPLERNVKSDHDIPTDNVLQQNKSQAHKEFEHVNSTLPVDSQAVASSTKATYLKSNGSSSIGPAALKSDSAAAKNYSVVLAKPGKKKMRCEMPPKSVTLIDEMNSILVRHRRSSRSMRPRWSSARDQEILAARSQIESAPAVVHDRDLYAPLFRNVSKFKRSYELMERTLKIYIYKDGKKPIFHLPILKGLYASEGWFMKLMQGNKHFVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHNRTNLRLYMKRYAESIAAKYSFWNRTGGADHFLVACHDWAPYETRHHMEHCIKALCNADVTAGFKIGRDVSFPETYVRSARNPLRDLGGKPPSQRNILAFYAGNMHGYLRPILLKYWKDKDPDMKIFGPMPPGVASKMNYIHHMQRSKYCICPKGYEVNSPRVVEAIFYECVPVIISDNFVPPFFDVLDWGAFSLILAEKDISNLKEILLSIPKEKYLQMQLGVRKAQRHFLWHASPMKYDLFYMTLHSIWYNRVYQIKPR >Potri.018G085500.3.v4.1 pep chromosome:Pop_tri_v4:18:10302393:10307307:1 gene:Potri.018G085500.v4.1 transcript:Potri.018G085500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085500.v4.1 MELCFQLPKFFQNVNRRWLLVLGVVAVTHTLFQFLLLPYGNALRSLFPNVNDSMYDKSSFAVIQSSKKSVMVRYPLTVDKSSLNNYFKFDGVLENADDSNGGVEEGHDDGTKKNTEDTDHDFSSEEGDMEVLDDVIQLEVDRDLEDDFPSEDVKDRHETFASGGVKTEESNPVLKLANEARFNLPLERNVKSDHDIPTDNVLQQNKSQAHKEFEHVNSTLPVDSQAVASSTKATYLKSNGSSSIGPAALKSDSAAAKNYSVVLAKPGKKKMRCEMPPKSVTLIDEMNSILVRHRRSSRSMRPRWSSARDQEILAARSQIESAPAVVHDRDLYAPLFRNVSKFKRSYELMERTLKIYIYKDGKKPIFHLPILKGLYASEGWFMKLMQGNKHFVVKDPRKAHLFYMPFSSRMLEYTLYVRNSHNRTNLRLYMKRYAESIAAKYSFWNRTGGADHFLVACHDWVCLFCVPRCHGFL >Potri.008G183500.12.v4.1 pep chromosome:Pop_tri_v4:8:12707974:12718350:1 gene:Potri.008G183500.v4.1 transcript:Potri.008G183500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183500.v4.1 MGAFKLALRCPQKVHDIVVDPEPNWSFESLSSELHSLEKKLHDSCSVPVPFTKVQSRKGVKRSPMAFVMSLSNEETELSESEREEDHDLSMVTAKRFNCDDLYMSDSDSSDYELAFDAQSYLMDEVGLVESALFERSHEHHLQVQEDIRNQLSAIETELMTEQEKSASAFARIEKYREARKELERKLDTHYQRKIAEALDNHLTAIQRDHELKSQIEERRIRSDAAHEEAKRKERAFHEERSRQERARAEAEAKLRFEEAKMAAVEAERRAAKEAAEKEATEASKRIDAVASQQEAAKPQLSADSSNMNLQPQGSGSNRTKKSQTTGDVVRAAQTALTLEQGRLLKLKELEEANRTLIMTSNMDFSNHERHISRLIRQIRGIKENVRVKASELVKILKNPSCPQSISVAAFAKKVVSHCESPDNAVFACGHVIVLVTSQVPQAMDLLLAEFHRACIYTVPKHIVYSKSAFESKEAYYKDIGHREDGGKLESVKDYLKRLESYMKLYGALVQTEVQGVPNIHGPKEGWAWLARFLNVLPANMYTAVALNAFLQTAGFVLFRKYKSQFTKMLHIILNDFLNALREREDSELNPIILEIQSYIEDNKFLQEPEGRSLQGQLLSSVMVPESEPSRGYYY >Potri.008G183500.8.v4.1 pep chromosome:Pop_tri_v4:8:12708028:12718334:1 gene:Potri.008G183500.v4.1 transcript:Potri.008G183500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183500.v4.1 MGAFKLALRCPQKVHDIVVDPEPNWSFESLSSELHSLEKKLHDSCSVPVPFTKVQSRKGVKRSPMAFVMSLSNEETELSESEREEDHDLSMVTAKRFNCDDLYMSDSDSSDYELAFDAQSYLMDEVGLVESALFERSHEHHLQVQEDIRNQLSAIETELMTEQEKSASAFARIEKYREARKELERKLDTHYQRKIAEALDNHLTAIQRDHELKSQIEERRIRSDAAHEEAKRKERAFHEERSRQERARAEAEAKLRFEEAKMAAVEAERRAAKEAAEKEATEASKRIDAVASQQEAAKPQLSADSSNMNLQPQGSGSNRTKKSQTTGDVVRAAQTALTLEQGRLLKLKELEEANRTLIMTSNMDFSNHERHISRLIRQIRGIKENVRVKASELVKILKNPSCPQSISVAAFAKKVVSHCESPDNAVFACGHVIVLVTSQVPQAMDLLLAEFHRACIYTVPKHIVYSKSAFESKEAYYKDIGHREDGGKLESVKDYLKRLESYMKLYGALVQTEVQGVPNIHGPKEGWAWLARFLNVLPANMYTAVALNAFLQTAGFVLFRKYKSQFTKMLHIILNDFLNALREREDSELNPIILEIQSYIEDNKFLQEPEGRSLQGQLLSSVMVPESEPSRGYYY >Potri.008G183500.14.v4.1 pep chromosome:Pop_tri_v4:8:12708052:12717350:1 gene:Potri.008G183500.v4.1 transcript:Potri.008G183500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183500.v4.1 MGAFKLALRCPQKVHDIVVDPEPNWSFESLSSELHSLEKKLHDSCSVPVPFTKVQSRKGVKRSPMAFVMSLSNEETELSESEREEDHDLSMVTAKRFNCDDLYMSDSDSSDYELAFDAQSYLMDEVGLVESALFERSHEHHLQVQEDIRNQLSAIETELMTEQEKSASAFARIEKYREARKELERKLDTHYQRKIAEALDNHLTAIQRDHELKSQIEERRIRSDAAHEEAKRKERAFHEERSRQERARAEAEAKLRFEEAKMAAVEAERRAAKEAAEKEATEASKRIDAVASQQEAAKPQLSADSSNMNLQPQGSGSNRTKKSQTTGDVVRAAQTALTLEQGRLLKLKELEEANRTLIMTSNMDFSNHERHISRLIRQIRGIKENVRVKASELVKILKNPSCPQSISVAAFAKKVVSHCESPDNAVFACGHVIVLVTSQVPQAMDLLLAEFHRACIYTVPKHIVYSKSAFESKEAYYKDIGHREDGGKLESVKDYLKRLESYMKLYGALVQTEVQGVPNIHGPKEGWAWLARFLNVLPANMYTAVALNAFLQTAGFVLFRKYKSQFTKMLHIILNDFLNALREREDSELNPIILEIQSYIEDNKFLQEPEGRSLQGQLLSSVMVPESEPSRGYYY >Potri.008G183500.10.v4.1 pep chromosome:Pop_tri_v4:8:12707997:12718364:1 gene:Potri.008G183500.v4.1 transcript:Potri.008G183500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183500.v4.1 MGAFKLALRCPQKVHDIVVDPEPNWSFESLSSELHSLEKKLHDSCSVPVPFTKVQSREFWNRKGVKRSPMAFVMSLSNEETELSESEREEDHDLSMVTAKRFNCDDLYMSDSDSSDYELAFDAQSYLMDEVGLVESALFERSHEHHLQVQEDIRNQLSAIETELMTEQEKSASAFARIEKYREARKELERKLDTHYQRKIAEALDNHLTAIQRDHELKSQIEERRIRSDAAHEEAKRKERAFHEERSRQERARAEAEAKLRFEEAKMAAVEAERRAAKEAAEKEATEASKRIDAVASQQEAAKPQLSADSSNMNLQPQGSGSNRTKKSQTTGDVVRAAQTALTLEQGRLLKLKELEEANRTLIMTSNMDFSNHERHISRLIRQIRGIKENVRVKASELVKILKNPSCPQSISVAAFAKKVVSHCESPDNAVFACGHVIVLVTSQVPQAMDLLLAEFHRACIYTVPKHIVYSKSAFESKEAYYKDIGHREDGGKLESVKDYLKRLESYMKLYGALVQTEVQGVPNIHGPKEGWAWLARFLNVLPANMYTAVALNAFLQTAGFVLFRKYKSQFTKMLHIILNDFLNALREREDSELNPIILEIQSYIEDNKFLQEPEGRSLQGQLLSSVMVPESEPSRGYYY >Potri.008G183500.13.v4.1 pep chromosome:Pop_tri_v4:8:12707990:12717357:1 gene:Potri.008G183500.v4.1 transcript:Potri.008G183500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183500.v4.1 MGAFKLALRCPQKVHDIVVDPEPNWSFESLSSELHSLEKKLHDSCSVPVPFTKVQSRKGVKRSPMAFVMSLSNEETELSESEREEDHDLSMVTAKRFNCDDLYMSDSDSSDYELAFDAQSYLMDEVGLVESALFERSHEHHLQVQEDIRNQLSAIETELMTEQEKSASAFARIEKYREARKELERKLDTHYQRKIAEALDNHLTAIQRDHELKSQIEERRIRSDAAHEEAKRKERAFHEERSRQERARAEAEAKLRFEEAKMAAVEAERRAAKEAAEKEATEASKRIDAVASQQEAAKPQLSADSSNMNLQPQGSGSNRTKKSQTTGDVVRAAQTALTLEQGRLLKLKELEEANRTLIMTSNMDFSNHERHISRLIRQIRGIKENVRVKASELVKILKNPSCPQSISVAAFAKKVVSHCESPDNAVFACGHVIVLVTSQVPQAMDLLLAEFHRACIYTVPKHIVYSKSAFESKEAYYKDIGHREDGGKLESVKDYLKRLESYMKLYGALVQTEVQGVPNIHGPKEGWAWLARFLNVLPANMYTAVALNAFLQTAGFVLFRKYKSQFTKMLHIILNDFLNALREREDSELNPIILEIQSYIEDNKFLQEPEGRSLQGQLLSSVMVPESEPSRGYYY >Potri.008G183500.11.v4.1 pep chromosome:Pop_tri_v4:8:12707990:12718337:1 gene:Potri.008G183500.v4.1 transcript:Potri.008G183500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183500.v4.1 MGAFKLALRCPQKVHDIVVDPEPNWSFESLSSELHSLEKKLHDSCSVPVPFTKVQSREFWNRKGVKRSPMAFVMSLSNEETELSESEREEDHDLSMVTAKRFNCDDLYMSDSDSSDYELAFDAQSYLMDEVGLVESALFERSHEHHLQVQEDIRNQLSAIETELMTEQEKSASAFARIEKYREARKELERKLDTHYQRKIAEALDNHLTAIQRDHELKSQIEERRIRSDAAHEEAKRKERAFHEERSRQERARAEAEAKLRFEEAKMAAVEAERRAAKEAAEKEATEASKRIDAVASQQEAAKPQLSADSSNMNLQPQGSGSNRTKKSQTTGDVVRAAQTALTLEQGRLLKLKELEEANRTLIMTSNMDFSNHERHISRLIRQIRGIKENVRVKASELVKILKNPSCPQSISVAAFAKKVVSHCESPDNAVFACGHVIVLVTSQVPQAMDLLLAEFHRACIYTVPKHIVYSKSAFESKEAYYKDIGHREDGGKLESVKDYLKRLESYMKLYGALVQTEVQGVPNIHGPKEGWAWLARFLNVLPANMYTAVALNAFLQTAGFVLFRKYKSQFTKMLHIILNDFLNALREREDSELNPIILEIQSYIEDNKFLQEPEGRSLQGQLLSSVMVPESEPSRGYYY >Potri.005G234900.1.v4.1 pep chromosome:Pop_tri_v4:5:23321741:23325054:-1 gene:Potri.005G234900.v4.1 transcript:Potri.005G234900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234900.v4.1 MTTRGTRSEKVKRIFQQFDVNRDGGLSRDEMAALVVAVNPRVKFSDEQINAILDEVFRTYGEFIDGDKGLTYDGLLRTYDDGAGDVDRDFDALELELNDDNKGSTIEAEASSSSIVDERVIESQKKQRTAAWAVSPNHGIVFDDTWKIVDDLEILIKRLKAKQAKDGKFKADNFDAFSDAGWSRELGPSSEISEKRVFWEESGNDYAAFVRELGALRSRADGARSREEAFDGHMAIGRVLYDHQLFKEALVSFKRACELQPVDVRPHFRAGNCLYVLGRYKEAKEEFLLALEAAEAGGNQWGYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYKAAVKALEEAIFMKPDYADAHCDLASALHAMGEDEKAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNKAVSLLGAGETEEAKKALKEALKLTNRVELHDAISHLKQIQKKKVKGNEGANGEGVFVIVEPSKFKTVNGKTTLRQDLAIALQIRVFQRITRLSRCDVELLKKEMSENDVPMSYSGGGVPEKSIRKPNLEEILRRLLNFLKPETFQGAVKVINEKILSVLDDTGSGRVDLGMIYAVLAPICSGTPDKRKRVAFDALLWRPVNEGGSQIKRADAVHYINLLRAIYIPSHGVSEMLELHGEEDSSMVSFKEFLVMFDDPDWGFGIMSTLVKLESGDRNRHGNCVCSVCRYPIIGSRFKEIKSHFSLCSQCYSEGKVSPAFKQDDYKFKEYGSEAEAMKDKCTCLPLQSRNDR >Potri.003G094800.1.v4.1 pep chromosome:Pop_tri_v4:3:12097118:12100012:-1 gene:Potri.003G094800.v4.1 transcript:Potri.003G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094800.v4.1 MEINDGEEEEFEFSRNYFLAKELAGSGKKSTRKISDINVVDEQELRAAAANIELKHEKEINSLVNSYKSLYPKWVFELRCGFGLLMYGFGSKKVLIEDFASTALTEYSVVVINGYLQSINLKQVVIALAEIWWEELKTKRRTSSGVSSKFQQPFNSQSMDDLLAFLHESDVEENDSFVCIVVHNIDGPGLRDSESQQYLARLASCSHIRIVASIDNVNAPLLWDKKMVHTQFNWFWYHVPTFAPYNVEGIFFPLILAHSSTAQSAKTAAIVLQSLTPNAQSVFKILAEYQLSHPDEEGMPVDALYTTARERFLVSSQVTLNSHLTEFKDHELVKTRRHSDGQDCLHIPLAADALEKLLSEINQ >Potri.001G329300.2.v4.1 pep chromosome:Pop_tri_v4:1:33834271:33836782:1 gene:Potri.001G329300.v4.1 transcript:Potri.001G329300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329300.v4.1 MAMLVDIDTKTELGFPYWKPIRRRFDPESPFFSSGNIERELLAKQVALDLSEDEKHRLQDLIDEDGREVVCPIVGCGAHLISLEHFEDHYNGRHTASCSVCSRFYPTSLLLSIHVSEAHDSFFQAKVARGYAMYECLVEGCGLKFKNYKGRQQHLVDKHKFPSSFEFFKKAHLSKKARQKQHRKQAIHRSEETSSKMDIEGETIDGLVSAVSKLSTSDSSPSSISFGHRNTRGLTFVPRAVQREKRADSVPTGGKQ >Potri.001G069200.5.v4.1 pep chromosome:Pop_tri_v4:1:5211579:5213979:1 gene:Potri.001G069200.v4.1 transcript:Potri.001G069200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069200.v4.1 MISTPNRSDLVFPKGGWENDETLGEAACREAIEEAGVKGILGENPLGVWEFRSKSSQNSCSLAGGCRGYMFALQVTEELDQWPGQASYNRKWLTVNEAFECCRYDWMRDALKHFLLLF >Potri.001G069200.4.v4.1 pep chromosome:Pop_tri_v4:1:5210820:5213979:1 gene:Potri.001G069200.v4.1 transcript:Potri.001G069200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069200.v4.1 MISTPNRSDLVFPKGGWENDETLGEAACREAIEEAGVKGILGENPLGVWEFRSKSSQNSCSLAGGCRGYMFALQVTEELDQWPGQASYNRKWLTVNEAFECCRYDWMRDALKHFLLLF >Potri.001G069200.3.v4.1 pep chromosome:Pop_tri_v4:1:5210814:5214027:1 gene:Potri.001G069200.v4.1 transcript:Potri.001G069200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069200.v4.1 MISTPNRSDLVFPKGGWENDETLGEAACREAIEEAGVKGILGENPLGVWEFRSKSSQNSCSLAGGCRGYMFALQVTEELDQWPGQASYNRKWLTVNEAFECCRYDWMRDALKHFLLLF >Potri.001G069200.2.v4.1 pep chromosome:Pop_tri_v4:1:5210814:5214061:1 gene:Potri.001G069200.v4.1 transcript:Potri.001G069200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069200.v4.1 MSTLLARTGRHRQRYVDQFRLVAGCIPYKLDKNVEDQGCNGEDRVLILMISTPNRSDLVFPKGGWENDETLGEAACREAIEEAGVKGILGENPLGVWEFRSKSSQNSCSLAGGCRGYMFALQVTEELDQWPGQASYNRKWLTVNEAFECCRYDWMRDALKHFLLLF >Potri.001G069200.6.v4.1 pep chromosome:Pop_tri_v4:1:5210889:5213979:1 gene:Potri.001G069200.v4.1 transcript:Potri.001G069200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069200.v4.1 MISTPNRSDLVFPKGGWENDETLGEAACREAIEEAGVKGILGENPLGVWEFRSKSSQNSCSLAGGCRGYMFALQVTEELDQWPGQASYNRKWLTVNEAFECCRYDWMRDALKHFLLLF >Potri.011G052500.3.v4.1 pep chromosome:Pop_tri_v4:11:4186497:4190750:-1 gene:Potri.011G052500.v4.1 transcript:Potri.011G052500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052500.v4.1 MEPSRAPKSSPFLENSTSRRFPTTPFLISLAFLLLTTSATSAPTINSFNFLEYYAEHCNNVVPESPITGTLINNASFFEDKIKILNFDVAYFTGGSQIIPKKRDSDSAPSVLSFKPKKFDLQQTVNPYVVSLRGSLKFRFPARFDWSNVTRDRRNSKRIRYRPPRTPVRSRYLLFELYGFWSMNTGKLCMVGSGSGNSGLSSLNAAFKANYPVGISDFSGLINGVLESLDFQDSYFEQVSILGIPHFGEYKYTLVDKENVDVGFSGTYDSVGGRENLPIESVDRSMCLNEMYRHARILELEYGSDCSGDNGGKCNPLSGSSGVLPKIMTIQGIRCDHERGREARVLIGFSDSAVVNVYGPYGSERVFDPYTTLIGEGVWDEKRNRLFVVACRVLNFNDSSANATVGDCSIQLTLRFPRTLTIRDQSVVVGQIYSNKTVNDTSYFPGIGFHGSEFRTRRLRGLAYEYTMLDKVHKSCAEKKSMKGKGKTYPHGYSSDMRFDMLVRNGKGHVAQGFSTPLFVGYQLFEPYPMTNNYSGHLNISYKMLFTGMLPSNDSGTISAEGTYDDENGVLCMIGCRHLISRMGNSMKNDSTDCEILVNVQFSPLNGKGHGNIKGTIESVRKNSDPLHFEKLEISSNSIYRHQAAESIWRMDMEITMVLISSTLACILVGLQLYHVKRHPDVLTFISFMMLLVLTLGHMIPLLLNFEALFLSNRNQQNVFLESGGWLEVNEVAVRVVKMVAFLLIFRLLQLTWSARPSDGSNKNVWISEKRVLYLSLPMYIVGGLIAWYVHHWKNTSRSPHLLQGHKVYQQHYPWTDLKSYAGLVLDGFLLPQIMFNLFLNSSEKALAPSFYAGTTVIRLLPHAYDLYRAHSSTWYLDLSYLYANHTYDFYSTAWDIIIPLCGLLFAILIYLQQQFGGRCFLPKRFRGGPAYEKVPIVSNEELQEITTH >Potri.011G052500.4.v4.1 pep chromosome:Pop_tri_v4:11:4186530:4190603:-1 gene:Potri.011G052500.v4.1 transcript:Potri.011G052500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052500.v4.1 MEPSRAPKSSPFLENSTSRRFPTTPFLISLAFLLLTTSATSAPTINSFNFLEYYAEHCNNVVPESPITGTLINNASFFEDKIKILNFDVAYFTGGSQIIPKKRDSDSAPSVLSFKPKKFDLQQTVNPYVVSLRGSLKFRFPARFDWSNVTRDRRNSKRIRYRPPRTPVRSRYLLFELYGFWSMNTGKLCMVGSGSGNSGLSSLNAAFKANYPVGISDFSGLINGVLESLDFQDSYFEQVSILGIPHFGEYKYTLVDKENVDVGFSGTYDSVGGRENLPIESVDRSMCLNEMYRHARILELEYGSDCSGDNGGKCNPLSGSSGVLPKIMTIQGIRCDHERGREARVLIGFSDSAVVNVYGPYGSERVFDPYTTLIGEGVWDEKRNRLFVVACRVLNFNDSSANATVGDCSIQLTLRFPRTLTIRDQSVVVGQIYSNKTVNDTSYFPGIGFHGSEFRTRRLRGLAYEYTMLDKVHKSCAEKKSMKGKGKTYPHGYSSDMRFDMLVRNGKGHVAQGFSTPLFVGYQLFEPYPMTNNYSGHLNISYKMLFTGMLPSNDSGTISAEGTYDDENGVLCMIGCRHLISRMGNSMKNDSTDCEILVNVQFSPLNGKGHGNIKGTIESVRKNSDPLHFEKLEISSNSIYRHQAAESIWRMDMEITMVLISSTLACILVGLQLYHVKRHPDVLTFISFMMLLVLTLGHMIPLLLNFEALFLSNRNQQNVFLESGGWLEVNEVAVRVVKMVAFLLIFRLLQLTWSARPSDGSNKNVWISEKRVLYLSLPMYIVGGLIAWYVHHWKNTSRSPHLLQGHKVYQQHYPWTDLKSYAGLVLDGFLLPQIMFNLFLNSSEKALAPSFYAGTTVIRLLPHAYDLYRAHSSTWYLDLSYLYANHTYDFYSTAWDIIIPLCGLLFAILIYLQQQFGGRCFLPKRFRGGPAYEKVPIVSNEELQEITTH >Potri.008G174050.1.v4.1 pep chromosome:Pop_tri_v4:8:12023142:12024057:-1 gene:Potri.008G174050.v4.1 transcript:Potri.008G174050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174050.v4.1 MLVELGFDLFRRWWGGIGDFVTTNKSKIAAKAGGIPYRHADSFPLLPFVNFSQILFDSACPLTSHGPKEEKRQRKSNNPTHISSSDSLSLHNTAPFYNHNKERPQQLVVWCMRVLTSLSFSSLTQPYLFRLSFFYLSFRHGSEVSI >Potri.008G174050.2.v4.1 pep chromosome:Pop_tri_v4:8:12023233:12023722:-1 gene:Potri.008G174050.v4.1 transcript:Potri.008G174050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174050.v4.1 MPQELGFDLFRRWWGGIGDFVTTNKSKIAAKAGGIPYRHADSFPLLPFVNFSQILFDSACPLTSHGPKEEKRQRKSNNPTHISSSDSLSLHNTAPFYNHNKERPQQLVVWCMRVLTSLSFSSLTQPYLFRLSFFYLSFRHGSEVSI >Potri.007G139900.1.v4.1 pep chromosome:Pop_tri_v4:7:15030992:15033997:-1 gene:Potri.007G139900.v4.1 transcript:Potri.007G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139900.v4.1 MGKNQAYKAMQRARLGSSSGGPEEIEDGMVDGSFHSPEWHAARLASLNTTHTVTWEEYKKKQKEDEMRKGELEADKDRMMREYRAQLDAERARKLAHGRNHSGSKSSHQKDRKDRDSKKRSSKKRKHSRRRSDDSSSSSSSSDSSSSEDERESKRSKSRSRKTKEKKRKSRTKHSSIDDEEAAGPVPLSKFFGSLKN >Potri.001G100200.1.v4.1 pep chromosome:Pop_tri_v4:1:8011762:8014249:1 gene:Potri.001G100200.v4.1 transcript:Potri.001G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100200.v4.1 MASITSISMTPSSVTHRSRQSFLLEYKPSSLIISTHFKPKGFEYWRNNRNLNSCSVVEKRAEDSIEVKDDDKVPDLDDVKAVSRVEERLATKRSERYTYLVAAVLSSVGITAMAAMAVYYRFLWQMEGGEVPLLEIFGTFALSVGAVVGMEFWARWAHRALWHASLWHMHESHHRPRNGPFELNDVFAVINAVPAIALFSYGFFNKGLLPGLCFGAGLGITVFGMAYMFVHDGLVHRRFPVGPVANVPYLRRVAAAHQLHHADKFDGVPYGLFLGPYELEEVGGLDELEKEVQRRIKASR >Potri.001G124900.1.v4.1 pep chromosome:Pop_tri_v4:1:10268588:10274006:1 gene:Potri.001G124900.v4.1 transcript:Potri.001G124900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124900.v4.1 MAPKSLSAFLFPLSLPHNLTYSTPKFLRIYTKPSPILCKSQQTPTATAHSSVSIPDQDGTGAAAPSRGDQFLERQKSFEAAKLVMKEVKKSKRREKGKALKLNTAVASCYGCGAPLHTLDPDAPGFVDPDTYELKKRHRQLRTVLCGRCRLLSHGHMITAVGGNGGYSGGKQFVSADELREKLSHLRHEKALIVKLVDVVDFNGSFLARLRDLVGANPIILVVTKVDLLPRDTDLNCVGDWVVEATTKKKLSVLSVHLTSSKSLVGIAGVVSEIQREKKGRDVYILGSANVGKSAFISALLKTMALRDPAAAAARKYKPIQSAVPGTTLGPIQIDAFLGGGKLYDTPGVHLHHRQAAVVHSEDLPALAPRSRLKGQSFPNSKVASENRMAEKIQSNGLNGFSIFWGGLVRVDILKVLPETCLTFYGPKALQIHVVPTDKADKFYQKELGVLLTPPTGKERAQDWRGLELEQQLQVKFEEVERPASDVAISGLGWIAVEPVSKSLRRSDINLEETIKELHLAVHVPKPVEVFVRPPLPVGKAGAQWYQYRELTEKEEELRPKWHY >Potri.004G194600.1.v4.1 pep chromosome:Pop_tri_v4:4:20774372:20775211:1 gene:Potri.004G194600.v4.1 transcript:Potri.004G194600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194600.v4.1 MASASSTFKFLSLLVALFVVAKMAIAGDPDIISDFIVPLNATTVDGAFFTFTGMRALVGAQPPSAFKVSKVSAAEFPALIGQSVSYAVLQFPAGTTNPPHTHPRSAELLFLVDGSLQVGFVDTTNKLFTQTLQAGDMFIFPKGLVHFQYNADAQNPALAISAFGSASAGTVSLPTTLFTTSIDDNILAKAFKTDVATIQALKAGLAPKP >Potri.002G207700.3.v4.1 pep chromosome:Pop_tri_v4:2:18204622:18208436:-1 gene:Potri.002G207700.v4.1 transcript:Potri.002G207700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207700.v4.1 MLKLIEPDADSFAQRAEMYYKKRPELISMVEDFYRAHRSLAERYDQLKSDSGNRLLATFGLPFSTKHRPEKLLSVEIHQTYGSHSEIYDAEDFAESEVDDPEQDQIQVDEELEEIETPEEKKETHAEDSAESEVDDPEQDQIQVDEELEELEIDEEKKQTHIEDSAESEVDDPEQDQIGEELEEIETREEKKDVDVCTMYNAEVTKLKEEIERHEVEKRIYKGYLLQRDEEMREQKKEIPANEGMREADISKVVYNVEVMKLREEIERLREAKTISKDHVLQKHEEKREEKNETQVNEGMKEVEFSSVLYDFEVMKLREEIEGLGEENKIYEELLLQKDEEKREVIRQLSLTVEVLKLENTKLRKCVARDSHKKGSHFTVEKLKDVFLGKLFNATSKSHSRVQAL >Potri.002G207700.4.v4.1 pep chromosome:Pop_tri_v4:2:18204622:18208436:-1 gene:Potri.002G207700.v4.1 transcript:Potri.002G207700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207700.v4.1 MVEMTMNKETSHWWWFDSHYTSSGSPWLQSTLAELDKKTKSMLKLIEPDADSFAQRAEMYYKKRPELISMVEDFYRAHRSLAERYDQLKSDSGNRLLATFGLPFSTKHRPEKLLSVEIHQTYGSHSEIYDAEDFAESEVDDPEQDQIQVDEELEEIETPEEKKETHAEDSAESEVDDPEQDQIQVDEELEELEIDEEKKQTHIEDSAESEVDDPEQDQIGEELEEIETREEKKDVDVCTMYNAEVTKLKEEIERHEVEKRIYKGYLLQRDEEMREQKKEIPANEGMREADISKVVYNVEVMKLREEIERLREAKTISKDHVLQKHEEKREEKNETQVNEGMKEVEFSSVLYDFEVMKLREEIEGLGEENKIYEELLLQKDEEKREVIRQLSLTVEVLKLENTKLRKCVARDSHKKGSHFTVEKLKDVFLGKLFNATSKSHSRVQAL >Potri.002G207700.1.v4.1 pep chromosome:Pop_tri_v4:2:18204622:18208436:-1 gene:Potri.002G207700.v4.1 transcript:Potri.002G207700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207700.v4.1 MVEMTMNKETSHWWWFDSHYTSSGSPWLQSTLAELDKKTKSMLKLIEPDADSFAQRAEMYYKKRPELISMVEDFYRAHRSLAERYDQLKSDSGNRLLATFGLPFSTKHRPEKLLSVEIHQTYGSHSEIYDAEDFAESEVDDPEQDQIQVDEELEEIETPEEKKETHAEDSAESEVDDPEQDQIQVDEELEELEIDEEKKQTHIEDSAESEVDDPEQDQIGEELEEIETREEKKDVDVCTMYNAEVTKLKEEIERHEVEKRIYKGYLLQRDEEMREQKKEIPANEGMREADISKVVYNVEVMKLREEIERLREAKTISKDHVLQKHEEKREEKNETQVNEGMKEVEFSSVLYDFEVMKLREEIEGLGEENKIYEELLLQKDEEKREVIRQLSLTVEVLKLENTKLRKCVARDSHKKGSHFTVEKLKDVFLGKLFNATSKSHSRVQAL >Potri.007G138800.1.v4.1 pep chromosome:Pop_tri_v4:7:14961538:14964273:-1 gene:Potri.007G138800.v4.1 transcript:Potri.007G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138800.v4.1 MDGKGKQIRMVMGREVMKKDSADDSSDDEGYEQNQMGFMEDEFFESNKKKKVVVTAAAAAGGGRRVSSGGGGGMRCCQVEKCTANLTDAKQYHRRHKVCGHHAKAQVVLVAGIRQRFCQQCSRFHELSEFDETKRSCRRRLAGHNERRRKNVVESHVEGSSRKVNGTHGTQLKDMVCGQADERGRIKITIQENATYKHFQIS >Potri.007G138800.4.v4.1 pep chromosome:Pop_tri_v4:7:14963537:14963950:-1 gene:Potri.007G138800.v4.1 transcript:Potri.007G138800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138800.v4.1 MDGKGKQIRMVMGREVMKKDSADDSSDDEGYEQNQMGFMEDEFFESNKKKKVVVTAAAAAGGGRRVSSGGGGGMRCCQVEKCTANLTDAKQYHRRHKVCGHHAKAQVVLVAGIRQRFCQQCSRLEMSALFFFFLSLF >Potri.010G104300.3.v4.1 pep chromosome:Pop_tri_v4:10:12625457:12629098:-1 gene:Potri.010G104300.v4.1 transcript:Potri.010G104300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104300.v4.1 MVKSSESGVAPFLKKCYEMVDDESTNSIISWSQTNDSFVIWDMTEFSVHLLPKYFKHSNSSSFVRQLNIYGFRKIDTDQWEFANDGFIRGQKHLLKNICRRKNSQGTDNRKSVQQQDNSIEHCENVENVGLWKEVESLKTGRNAVTQELVKLRQHQETADNKLLLLRDRLQGMEKNQQQMLSFLVMAMQSPGFLAQLLNKKENNWRIAEPGSIVEQGADDAEQLASEGMIVRYQPPVDEMFEPVHAPPIGPENPRESNPSSDGMKDFFVSPDFMELLMDENLGFENHTSFGLPELADDGSWEQLLLANPFIENIKDTKPGSEESTDPETDTGTTIVGTQLERSQSFEYLIEMMEKSNDLENKATDEGPHFEKSQKLEILTEQMGLLASEPNH >Potri.010G104300.4.v4.1 pep chromosome:Pop_tri_v4:10:12625459:12629216:-1 gene:Potri.010G104300.v4.1 transcript:Potri.010G104300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104300.v4.1 MVKSSESGVAPFLKKCYEMVDDESTNSIISWSQTNDSFVIWDMTEFSVHLLPKYFKHSNSSSFVRQLNIYGFRKIDTDQWEFANDGFIRGQKHLLKNICRRKNSQGTDNRKSVQQQDNSIEHCENVENVGLWKEVESLKTGRNAVTQELVKLRQHQETADNKLLLLRDRLQGMEKNQQQMLSFLVMAMQSPGFLAQLLNKKENNWRIAEPGSIVEQGADDAEQLASEGMIVRYQPPVDEMFEPVHAPPIGPENPRESNPSSDGMKDFFVSPDFMELLMDENLGFENHTSFGLPELADDGSWEQLLLANPFIENIKDTKPGSEESTDPETDTGTTIVGTQLERSQSFEYLIEMMEKSNDLENKATDEGPHFEKSQKLEILTEQMGLLASEPNH >Potri.001G354700.3.v4.1 pep chromosome:Pop_tri_v4:1:36831282:36838098:1 gene:Potri.001G354700.v4.1 transcript:Potri.001G354700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354700.v4.1 MDALRKQASKLREQVAKQQQAVIKQFSGTGYESSDVMVIDEVEMHRHQQLEKLYRSTRSGKDFQKDVVKAAEAFTTIGYRHIEAGTKLSEDCCRYGTENINENMLAKAAAIYGDARKHVEQEQDDLNRLLSSQVLEPLRAMINGAPLEDARHLAQRYSRMRQEAETQAVEVSRRQARVRESPIPENVSKLHAAEAKMQEIKANMAVLGKEAAAALAAVEAQQHRLTFQRLVAMVEGEKNYHLRIAAILSEVEAEMVSEKQQKESAPPVILPPVIPSENGLEKSTYFLAEATHPFFAETEKELSLAVGDYIVVRKVSPTGWSEGECRGKAGWFPSAYVEKRQRIPTSSSA >Potri.008G082700.1.v4.1 pep chromosome:Pop_tri_v4:8:5181688:5186533:1 gene:Potri.008G082700.v4.1 transcript:Potri.008G082700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G082700.v4.1 MSCIRPWFCPSSISATLTDPASKLVTGEFKTTSLNFHGTKERIKKMFDKIELSVSSYDTAWVAMVPSPDCPETPCFPECTKWILENQLGDGSWSLPHGNPLLVKDALSSTLACILALKRWGIGEEQINKGLRFIELNSASVTDNEQHKPIGFDIIFPGMIEYAKDLDLNLPLKPTDINSMLHRRALELTSGGGKNLEGRRAYLAYVSEGIGKLQDWEMAMKYQRKNGSLFNSPSTTAAAFIHIQDAECLHYIRSLLQKFGNAVPTIYPLDIYARLSMVDALERLGIDRHFRKERKFVLDETYRFWLQGEEEIFSDNATCALAFRILRLNGYDVSLDTLNQFSEDHFSNSLGGYLKDSGAALELYRALQLSYPDESLLEKQNSRTSYFLKQGLSNVSLCGDRLRKNIIGEVHDALNFPDHANLQRLAIRRRIKHYATDDTRILKTSYRCSTIGNQDFLKLAVEDFNICQSIQREEFKHIERWVVERRLDKLKFARQKEAYCYFSAAATLFAPELSDARMSWAKNGVLTTVVDDFFDVGGSEEELVNLIELIERWDVNGSADFCSEEVEIIYSAIHSTISEIGEKSFGWQGRDVKSHVIKIWLDLLKSTLTEAQWSSNKSVPTLDEYMTTAHVSFALGPIVLPALYFVGPKLSEEVAGHPELLNLYKVTSTCGRLLNDWRSFKRESEEGKLNAISLYMIHSGGASTEEETIEHFKGLIDSQRRQLLQLVLQEKDSIIPRPCKDLFWNMIKLLHTFYMKDDGFTSNEMRNVVKAIINEPISLDEL >Potri.008G082700.2.v4.1 pep chromosome:Pop_tri_v4:8:5181833:5186425:1 gene:Potri.008G082700.v4.1 transcript:Potri.008G082700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G082700.v4.1 MFDKIELSVSSYDTAWVAMVPSPDCPETPCFPECTKWILENQLGDGSWSLPHGNPLLVKDALSSTLACILALKRWGIGEEQINKGLRFIELNSASVTDNEQHKPIGFDIIFPGMIEYAKDLDLNLPLKPTDINSMLHRRALELTSGGGKNLEGRRAYLAYVSEGIGKLQDWEMAMKYQRKNGSLFNSPSTTAAAFIHIQDAECLHYIRSLLQKFGNAVPTIYPLDIYARLSMVDALERLGIDRHFRKERKFVLDETYRFWLQGEEEIFSDNATCALAFRILRLNGYDVSLDTLNQFSEDHFSNSLGGYLKDSGAALELYRALQLSYPDESLLEKQNSRTSYFLKQGLSNVSLCGDRLRKNIIGEVHDALNFPDHANLQRLAIRRRIKHYATDDTRILKTSYRCSTIGNQDFLKLAVEDFNICQSIQREEFKHIERWVVERRLDKLKFARQKEAYCYFSAAATLFAPELSDARMSWAKNGVLTTVVDDFFDVGGSEEELVNLIELIERWDVNGSADFCSEEVEIIYSAIHSTISEIGEKSFGWQGRDVKSHVIKIWLDLLKSTLTEAQWSSNKSVPTLDEYMTTAHVSFALGPIVLPALYFVGPKLSEEVAGHPELLNLYKVTSTCGRLLNDWRSFKRESEEGKLNAISLYMIHSGGASTEEETIEHFKGLIDSQRRQLLQLVLQEKDSIIPRPCKDLFWNMIKLLHTFYMKDDGFTSNEMRNVVKAIINEPISLDEL >Potri.002G253200.4.v4.1 pep chromosome:Pop_tri_v4:2:24193551:24198674:-1 gene:Potri.002G253200.v4.1 transcript:Potri.002G253200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253200.v4.1 MIEDCSFTLFCERLNFSLIAPSAGVDPPSSRDPPFPASVPASNHLTNSLTAESTASGIGKGSNRNGGLVLSDIITQIQASKDSAKLSYRNNMAAESLPTFSSYSTKRISERGSVEEDNDIREPRRFANPHVDRQYMDTPYKDLNYRDSHSSHIPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGEVSSYVEGPASLSDALSEGLSPSSDWNARVAAFNYLHSLLQQGPKGVQEVIQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYGVDILLPALLRSLDEQRSPKAKLAVIEFALSSFNKHAMNSEGSGNTGILKLWLAKLTPLVHDKNTKLKEAAITCIISVYSHFDSIAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFVQSKKERQRSKSSYDPSDVVGTSSEEGYIGASKKSHYFGRYSGGSVDSDGGRKWSSTQESTLISGSIGQAAPDETQENLYQNFETSSNTDVYSSKNRDSNYVVGSTGLNLGSRPGRLENMDNGLNFEGLLTPGMDINGLMSSEPLRAAEGYGHDNNVLSELDLNNHKPAAVKINSLADTGPSIPQILHLICNGNDESPTSSKRGALQQLIEASMANDPSVWSKYFNQILTAVLEVLDDSDSSIRELTLSLIVEMLKNQKDAMEDSIEIAIEKLLHVTEDIVPKVSNEAEHCLTVALSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMVQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARTGTAIDASHD >Potri.002G253200.1.v4.1 pep chromosome:Pop_tri_v4:2:24193537:24204952:-1 gene:Potri.002G253200.v4.1 transcript:Potri.002G253200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253200.v4.1 MEEALELARAKDTKERMAGVERLHQLLEACRKSLSSSETTSLVDCCLDLLKDNNFKVSQGALQALASAAVLSGDYFKLHFNALVPAVVERLGDGKQPVRDAARRLLLTLMEVSSPTIIVERAGSFAWTHRSWRVREEFARTVTSAINLFASTELPLQRAILPPILQMLNDPNPGVREAAILCIEEMYSQAGPQFRDELHRHHLPNSMMKDINARLERIEPQVRPSDGLGGNFAPVEMKPTSLHSKKSSPKAKSSTREISLFGAESDVTEKPIEPIKVYSEKELIREFEKIAATLVPEKDWTIRIAAMQRVEGLVLGGATDYPCFRGLLKQFVGPLNTQLSDRRSSVVKQACHLLCFLSKDLLGDFEACAEMFIPALFKLVVITVLVIAESADNCIKTMLRNCKVARVLPRIADCAKNDRAAVLRARCCEYALLILEHWPDAPEIQRSADLYEDLIRCCVADAMSEVRSTARMCYRMFAKTWPERSRRLFMSFDPVIQRIVNEEDGGLHRRHASPSIRDRSAQTSFTPQASAASHVPGYGTSAIVAMDRTSSLSSGTSLSSGLLLSQAKSLGKGTERSLESVLHASKQKVTAIESMLRGLELSDKQNPSALRSSSLDLGVDPPSSRDPPFPASVPASNHLTNSLTAESTASGIGKGSNRNGGLVLSDIITQIQASKDSAKLSYRNNMAAESLPTFSSYSTKRISERGSVEEDNDIREPRRFANPHVDRQYMDTPYKDLNYRDSHSSHIPNFQRPLLRKHVAGRMSAGRRKSFDDSQLSLGEVSSYVEGPASLSDALSEGLSPSSDWNARVAAFNYLHSLLQQGPKGVQEVIQNFEKVMKLFFQHLDDPHHKVAQAALSTLADIIPSCRKPFESYMERILPHVFSRLIDPKELVRQPCSTTLEIVSKTYGVDILLPALLRSLDEQRSPKAKLAVIEFALSSFNKHAMNSEGSGNTGILKLWLAKLTPLVHDKNTKLKEAAITCIISVYSHFDSIAVLNFILSLSVEEQNSLRRALKQYTPRIEVDLMNFVQSKKERQRSKSSYDPSDVVGTSSEEGYIGASKKSHYFGRYSGGSVDSDGGRKWSSTQESTLISGSIGQAAPDETQENLYQNFETSSNTDVYSSKNRDSNYVVGSTGLNLGSRPGRLENMDNGLNFEGLLTPGMDINGLMSSEPLRAAEGYGHDNNVLSELDLNNHKPAAVKINSLADTGPSIPQILHLICNGNDESPTSSKRGALQQLIEASMANDPSVWSKYFNQILTAVLEVLDDSDSSIRELTLSLIVEMLKNQKDAMEDSIEIAIEKLLHVTEDIVPKVSNEAEHCLTVALSQYDPFRCLSVIVPLLVTEDEKTLVTCINCLTKLVGRLSQEELMVQLPSFLPALFEAFGNQSADVRKTVVFCLVDIYIMLGKAFLPHLEGLNSTQLRLVTIYANRISQARTGTAIDASHD >Potri.010G057900.1.v4.1 pep chromosome:Pop_tri_v4:10:8847320:8848911:1 gene:Potri.010G057900.v4.1 transcript:Potri.010G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057900.v4.1 MFSLPAMPSTTSVLSTYTTFAASAMLLRLGHLFGSISSQLTLVINEYNGHSINEMYQASEVYLSTRITPSVGQLKVSKDPGDKSLSVTINKGQQVIDRFEGMELAWEFACTETQQTVVDNNKEKVLNTFLPCVLERSKLQSLGNYRGVNLYHPSTFDTLAMDPVLKKEIMDDLDRFVKRKDFYLKVGKPWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELASLHGNSDLRKLLTRTTNRSILVIEDIDCSIELQYDKMEGTTRKLTLSGLLNFIDGLWSSCGDERIIVFTTNYKDKLDPALLRPGRMDMHIHMSYCTPCGLKILASNYLNVKEHSLFSEIDELIMEVEVTPAEVAEELMKNEDVDTTLTGLIGFLESKKVMKRKHPDVEKQKEVDENQKENGNKNESLDMEDKCEKKKAEPSRRKRARKPKGRT >Potri.001G055700.1.v4.1 pep chromosome:Pop_tri_v4:1:4192663:4195840:1 gene:Potri.001G055700.v4.1 transcript:Potri.001G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055700.v4.1 MSISYSHLSLNYCLIPCTRHDHKKFFTISPSFKTQLNSAALLHCLISTMGTPIPCSAQEEHIFRSRHPAVLVPDITLPDFVLQDAELYADKVAFVEAATGKTFTYAEVVRDTRRFAKALRSLGLRKGHVVVVALPNVAEYGIVALGIMAAGGVFSGANPTAHESELKKQAEAADAKLIVTNDLNYGKVKGLGLPVIVLGEACISTAVNWNELLDAADRAGDTLAYEEVLQSDLCALPFSSGTTGMSKGVMLTHRNLVANLCSSLFSVGPEMVGQVATLGLIPFFHIYGITGICCATLRNKGKVVVMGRFELRTFLNALITQEVSFAPIVPPIILALVKNPIVEEFDLSKLKLKAIMTAAAPLAPELLTAFENKFPGVQVQEAYGLTEHSCITLTHGDPSKGHGIAKKNSVGFLLPNLEIKFINPENGQSLPENTPGEICVRSQCVMQGYYNNKEETARTIDADGWLHTGDIGYIDNDGDIFIVDRIKELIKYKGFQVAPAELEAILFTHPSVEDAAVVPLPDEEAGEIPAACVVMSKGAKESEEDIMEFVASNVAHYKKVRVVQFVDSIPKSPSGKIMRRLLKEKMGTTSKAS >Potri.011G153700.6.v4.1 pep chromosome:Pop_tri_v4:11:18077347:18089300:1 gene:Potri.011G153700.v4.1 transcript:Potri.011G153700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153700.v4.1 MKCLTTFTEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVLAIDDFGVSHLKLKDLIDFCKDTGLQSSVAASRNATIKLLGALHKFVGPDIKGFLADVKPALLSALDAEYEKNPFEGASAIPKKTVRTSESMTCVSGGGLDSLPREDISGKVTPTLIKSLESPDWKVRLESIEAVNKILEEANKRIQPNGTGELFGALRGRLYDSNKNLIMTALTTIGGVASAMGPAVEKSSKGVLSDILKCLGDNKKHMRECALNTLDSWVAAVHLDKMIPYITAALFESKLGAEGRKDLFDWLSKQLSGLSEFPDAIHLLKPAGSAMTDKSADVRKAAEACISEILRVCGQEMIERNLKDIHGPALALVLERVRPASVYQESFESTKTISMGPSSKTSSKVGKAASNGISKHSNRSISSRVIPTKGSKPEPAMSIQDRAVQSQALLNVKDSNKEDRERMVVRRFKFEEPRMEQIQDLEGDMMKYLREDLNRRLLSLDFKKQVDGLEMLQKALPSIGNEIIEVLDILLKWFVLQFCKSNTTCLLKVLEFLPALFDLLRDEAYTLSESEAAIFLPCLIEKLGHNIEKVREKMRELAKQILHAYSATKSFPYILEGLRSKNNRTRIECADLVGFLIDQHGAEISGQLKSLQIVASLTAERDGEIRKAALNALATGYKILGEDIWRYLGKLTDAQKSMIDDRFKWKVREMEKRKEGRPGDARAALRRSVRENGSDIAEQSGEVSQSVSGPILARKNFGTQELQVERHIMPRALTSASGPTDWNEALDIISFSSPEQSVEGMKVVCHELAQATSDEEGSVMDELVKDADRLVSCLANKVARTFDFSLTGASSRSCKYVLNTLMQTFQNKTLAHAVKESTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFDVLINLLRPLDPSRWPSPASTETFAIRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILRSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGGSIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTSTAPVGQNHWGDSAANNSSPATHSAEAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNTAAGRTPSSLPMSTPPPSAPNVSSPDLQPLSPVHTNSLNDSKPLHAKPEATNFHLPPSYSEDGAILSRGFVSENSLGDQRNEKLISGVTSGTLDAIRERMKSMQLAATAGLPDSGSRPLMSVNDNLNNGLSSLILHAPDSAGMENPVLGGVLPLDEKALSGLQARMERLKSGSLEPL >Potri.011G153700.2.v4.1 pep chromosome:Pop_tri_v4:11:18070591:18089333:1 gene:Potri.011G153700.v4.1 transcript:Potri.011G153700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153700.v4.1 MSEEEKLLKEAKKLPWEDRLLHKNWKVRNEANIDLASLCVSIFDPKDSRLREFAPLFRKTVADSNAPVQEKALDALIAFLRAADADAGRYAKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVEAFLDAMEKAIKNKVSKAVVPAIDVMFQALSEFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILLEKMRDTMKKELEAELVNVTGTAKPSRKIRSEQDKEPEPEGVSEVAGPGQSEEVAPDAPQEIDEYDLMDPVDILSPLEKSGFWDGVKATKWSERKEAVAELTKLASTKRIAPGDFSEVCRTLKKLITDVNIAVAVEAIQAIGNLARGLRSHFSGSSRFLLPVLLEKLKEKKPTLTESLTQTLQAMHKAGCSNLADIVEDVKTAVKNKVPLVRSLTLNWVTFCIETSNKAVILKVHKDYVPICMESLNDGTPDVRDSAFSVLAAVAKMVGMRPLERSLEKLDDVRRKKLSEMIAGSGDGVAAVATSGTVQTARGSMSSVETSESSFVKKSAASMLSGKRPAPAAPANKKAAPTKSGASKKVDGAGRPETSRALEPPEDVEPAEMSLEEIETRLGSLIQADTISQLKSAVWKERLEAISSLKEQVEGLQNCNQSVEILIRLLCAIPGWNEKNVQVQQQFIEVITYLASTASKFPKKCVVLCLLGISERVADIKTRAYAMKCLTTFTEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVLAIDDFGVSHLKLKDLIDFCKDTGLQSSVAASRNATIKLLGALHKFVGPDIKGFLADVKPALLSALDAEYEKNPFEGASAIPKKTVRTSESMTCVSGGGLDSLPREDISGKVTPTLIKSLESPDWKVRLESIEAVNKILEEANKRIQPNGTGELFGALRGRLYDSNKNLIMTALTTIGGVASAMGPAVEKSSKGVLSDILKCLGDNKKHMRECALNTLDSWVAAVHLDKMIPYITAALFESKLGAEGRKDLFDWLSKQLSGLSEFPDAIHLLKPAGSAMTDKSADVRKAAEACISEILRVCGQEMIERNLKDIHGPALALVLERVRPASVYQESFESTKTISMGPSSKTSSKVGKAASNGISKHSNRSISSRVIPTKGSKPEPAMSIQDRAVQSQALLNVKDSNKEDRERMVVRRFKFEEPRMEQIQDLEGDMMKYLREDLNRRLLSLDFKKQVDGLEMLQKALPSIGNEIIEVLDILLKWFVLQFCKSNTTCLLKVLEFLPALFDLLRDEAYTLSESEAAIFLPCLIEKLGHNIEKVREKMRELAKQILHAYSATKSFPYILEGLRSKNNRTRIECADLVGFLIDQHGAEISGQLKSLQIVASLTAERDGEIRKAALNALATGYKILGEDIWRYLGKLTDAQKSMIDDRFKWKVREMEKRKEGRPGDARAALRRSVRENGSDIAEQSGEVSQSVSGPILARKNFGTQELQVERHIMPRALTSASGPTDWNEALDIISFSSPEQSVEGMKVVCHELAQATSDEEGSVMDELVKDADRLVSCLANKVARTFDFSLTGASSRSCKYVLNTLMQTFQNKTLAHAVKESTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFDVLINLLRPLDPSRWPSPASTETFAIRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILRSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGGSIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTSTAPVGQNHWGDSAANNSSPATHSAEAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNTAAGRTPSSLPMSTPPPSAPNVSSPDLQPLSPVHTNSLNDSKPLHAKPEATNFHLPPSYSEDGAILSRGFVSENSLGDQRNEKLISGVTSGTLDAIRERMKSMQLAATAGLPDSGSRPLMSVNDNLNNGLSSLILHAPDSAGMENPVLGGVLPLDEKALSGLQARMERLKSGSLEPL >Potri.011G153700.5.v4.1 pep chromosome:Pop_tri_v4:11:18076581:18089350:1 gene:Potri.011G153700.v4.1 transcript:Potri.011G153700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153700.v4.1 MESLNDGTPDVRDSAFSVLAAVAKMVGMRPLERSLEKLDDVRRKKLSEMIAGSGDGVAAVATSGTVQTARGSMSSVETSESSFVKKSAASMLSGKRPAPAAPANKKAAPTKSGASKKVDGAGRPETSRALEPPEDVEPAEMSLEEIETRLGSLIQADTISQLKSAVWKERLEAISSLKEQVEGLQNCNQSVEILIRLLCAIPGWNEKNVQVQQQFIEVITYLASTASKFPKKCVVLCLLGISERVADIKTRAYAMKCLTTFTEAVGPGFVFDRLYKIMKEHKNPKVLSEGILWMVLAIDDFGVSHLKLKDLIDFCKDTGLQSSVAASRNATIKLLGALHKFVGPDIKGFLADVKPALLSALDAEYEKNPFEGASAIPKKTVRTSESMTCVSGGGLDSLPREDISGKVTPTLIKSLESPDWKVRLESIEAVNKILEEANKRIQPNGTGELFGALRGRLYDSNKNLIMTALTTIGGVASAMGPAVEKSSKGVLSDILKCLGDNKKHMRECALNTLDSWVAAVHLDKMIPYITAALFESKLGAEGRKDLFDWLSKQLSGLSEFPDAIHLLKPAGSAMTDKSADVRKAAEACISEILRVCGQEMIERNLKDIHGPALALVLERVRPASVYQESFESTKTISMGPSSKTSSKVGKAASNGISKHSNRSISSRVIPTKGSKPEPAMSIQDRAVQSQALLNVKDSNKEDRERMVVRRFKFEEPRMEQIQDLEGDMMKYLREDLNRRLLSLDFKKQVDGLEMLQKALPSIGNEIIEVLDILLKWFVLQFCKSNTTCLLKVLEFLPALFDLLRDEAYTLSESEAAIFLPCLIEKLGHNIEKVREKMRELAKQILHAYSATKSFPYILEGLRSKNNRTRIECADLVGFLIDQHGAEISGQLKSLQIVASLTAERDGEIRKAALNALATGYKILGEDIWRYLGKLTDAQKSMIDDRFKWKVREMEKRKEGRPGDARAALRRSVRENGSDIAEQSGEVSQSVSGPILARKNFGTQELQVERHIMPRALTSASGPTDWNEALDIISFSSPEQSVEGMKVVCHELAQATSDEEGSVMDELVKDADRLVSCLANKVARTFDFSLTGASSRSCKYVLNTLMQTFQNKTLAHAVKESTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFDVLINLLRPLDPSRWPSPASTETFAIRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILRSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGGSIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTSTAPVGQNHWGDSAANNSSPATHSAEAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNTAAGRTPSSLPMSTPPPSAPNVSSPDLQPLSPVHTNSLNDSKPLHAKPEATNFHLPPSYSEDGAILSRGFVSENSLGDQRNEKLISGVTSGTLDAIRERMKSMQLAATAGLPDSGSRPLMSVNDNLNNGLSSLILHAPDSAGMENPVLGGVLPLDEKALSGLQARMERLKSGSLEPL >Potri.011G153700.7.v4.1 pep chromosome:Pop_tri_v4:11:18082408:18089331:1 gene:Potri.011G153700.v4.1 transcript:Potri.011G153700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153700.v4.1 MVVRRFKFEEPRMEQIQDLEGDMMKYLREDLNRRLLSLDFKKQVDGLEMLQKALPSIGNEIIEVLDILLKWFVLQFCKSNTTCLLKVLEFLPALFDLLRDEAYTLSESEAAIFLPCLIEKLGHNIEKVREKMRELAKQILHAYSATKSFPYILEGLRSKNNRTRIECADLVGFLIDQHGAEISGQLKSLQIVASLTAERDGEIRKAALNALATGYKILGEDIWRYLGKLTDAQKSMIDDRFKWKVREMEKRKEGRPGDARAALRRSVRENGSDIAEQSGEVSQSVSGPILARKNFGTQELQVERHIMPRALTSASGPTDWNEALDIISFSSPEQSVEGMKVVCHELAQATSDEEGSVMDELVKDADRLVSCLANKVARTFDFSLTGASSRSCKYVLNTLMQTFQNKTLAHAVKESTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFDVLINLLRPLDPSRWPSPASTETFAIRNQKFSDLVVKCLIKLTKVLQSTIYDVDLDRILRSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGGSIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTSTAPVGQNHWGDSAANNSSPATHSAEAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNTAAGRTPSSLPMSTPPPSAPNVSSPDLQPLSPVHTNSLNDSKPLHAKPEATNFHLPPSYSEDGAILSRGFVSENSLGDQRNEKLISGVTSGTLDAIRERMKSMQLAATAGLPDSGSRPLMSVNDNLNNGLSSLILHAPDSAGMENPVLGGVLPLDEKALSGLQARMERLKSGSLEPL >Potri.002G041200.1.v4.1 pep chromosome:Pop_tri_v4:2:2691636:2693393:-1 gene:Potri.002G041200.v4.1 transcript:Potri.002G041200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041200.v4.1 MASSVTGTGSPCGACKFLRRKCASDCIFAPYFCSEQGTARFAAIHKVFGASNVSKLLLHVPVADRYEAVVTIAYEAQARIRDPVYGCVAHIFSLQQQVACLQAQLMQVKAQLAQNLIDSTRINIENTHHQWQGNNISGVSSFPSYQTYINPISPQSSLDSVDLNNSNIDHGMMDMQDIKSGEEFAFQSCAKVKRPYNSDLGELQALALRMMRN >Potri.011G067150.1.v4.1 pep chromosome:Pop_tri_v4:11:5850100:5859105:-1 gene:Potri.011G067150.v4.1 transcript:Potri.011G067150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067150.v4.1 MEEGLEILDQEKIDGCEGQAENISLMSSQKCSSYDLNEEASSAEDNGTAEVSNTDGDMRTEGNSANNATSAERNGLRPTVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQEKATPKLVFQLMNVRELSIAHVKSHLQRYRSKKLDEAGQVLCQTYKSKHGKDYVQGKFHQISSACPQQCFRMGSGGVILARNSTEHTFGHSLLHSSLFQRPPSNKTRLSRYQQWEIGAHGVKRQSNLARKDLGQGMETAIDIRPIRPLRLLEERRWHPLEMAKNCWEIIRSNPTSVTCTNSCSGSPPQAHLNCSSPRLFETNSYRKPTAVNSGNDVKIKQSLVNSSLSTGNFNCFKSKFEPPFRLELNNDKLLNDKEWLPDLQLRLSQRVGINDHGTQCRSTQEIISTKLSLS >Potri.011G067150.2.v4.1 pep chromosome:Pop_tri_v4:11:5850100:5859105:-1 gene:Potri.011G067150.v4.1 transcript:Potri.011G067150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067150.v4.1 MEEGLEILDQEKIDGCEGQAENISLMSSQKCSSYDLNEEASSAEDNGTAEVSNTDGDMRTEGNSANNATSAERNGLRPTVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQEKATPKLVFQLMNVRELSIAHVKSHLQRYRSKKLDEAGQVLCQTYKSKHGKDYVQGKFHQISSACPQQCFRMGSGGVILARNSTEHTFGHSLLHSSLFQRPPSNKTRLSRYQQWEIGAHGVKRQSNLARKDLGQGMETAIDIRPIRPLRLLEERRWHPLEMAKNCWEIIRSNPTSVTCTNSCSGSPPQAHLNCSSPRLFETNSYRKPTAVNSGNDVKIKQSLVNSSLSTGNFNCFKSKFEPPFRLELNNDKLLNDKEWLPDLQLRLSQRVGINDHGTQCRSTQEIISTKLSLS >Potri.013G016350.1.v4.1 pep chromosome:Pop_tri_v4:13:1036626:1038789:-1 gene:Potri.013G016350.v4.1 transcript:Potri.013G016350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G016350.v4.1 MDDTNTSIIPINQTSISAETPNLNTLPFGFKLNETNFKNWSRMLELHAAGLNKLGYLTGQNARVEEGNSGYSKWCTEDAVPHLIGLFIDLSSAKEIWDNVTQTFYDGADESQFYELCCKATRTKQNGRPVNLYYAELNSVWQEIDKRRPIKMICAADLRTRQEEIQKDRIYNFLASLDEVFDSIRSDLLRKKSVPSIEECFNTIRREAQRQVTILGAKKTSEGSSIAMISKSTTPSNLRTFRAIEEAEKDKLHCSYCNGSRHTRDTCFEIHGYPDWFLEKRKQSKAKSNKRPVQAKLTTATEIPSSFAAMEISQKDHTKPGELLSLAKTYQASVTGKTGVVLSTSTVHETGWIIDSGATDHMTYNKSLFQYMTPPSKEKVMTANGESTPVIGAGSIVLTPNLSLYNCLLVPALSNHLLSVSQITEELDCVDIQTQSIIGRGTKRKGFHPSYT >Potri.010G053600.6.v4.1 pep chromosome:Pop_tri_v4:10:8495096:8497293:-1 gene:Potri.010G053600.v4.1 transcript:Potri.010G053600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053600.v4.1 MEVGMSLNALVRLPSSRTMLLHEDGGGLLKHTLFSTRKSTAQTSPKKQGQGHMLLVVKAKGKKGMQTRQFQRPPPTLSLPKIEDDGNPKFLIFIRVANVYLWYPLSLITGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYKDEKEIQKTAFKQHRVLRSATEFRYGYKLVENGNLRAALSITDVIELPTQDKLKTVLDKVKDFFGDAKESFGKLTALNSTATEEEEVSKEKANVC >Potri.010G053600.3.v4.1 pep chromosome:Pop_tri_v4:10:8494947:8497393:-1 gene:Potri.010G053600.v4.1 transcript:Potri.010G053600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053600.v4.1 MEVGMSLNALVRLPSSRTMLLHEDGGGLLKHTLFSTRKSTAQTSPKKQGQGHMLLVVKAKGKKGMQTRQFQRPPPTLSLPKIEDDGNPKFLIFIRVANVYLWYPLSLITGGTTAKIMVAAKDNFLGKYIYKDTLARNLAAVIYKDEKEIQKTAFKQHRVLRSATEFRYGYKLVENGNLRAALSITDVIELPTQDKLKTVLDKVKDFFGDAKESFGKLTALNSTATEEEEVSKEKANS >Potri.001G190450.1.v4.1 pep chromosome:Pop_tri_v4:1:17376835:17377173:-1 gene:Potri.001G190450.v4.1 transcript:Potri.001G190450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190450.v4.1 MNCLSWNCREPSNSSAVQALKRLMLSRNPNAIFLLETKVDSSRIESIRGEIKIDGCFAVDRLGRRGGLVVFWKGPEVIILIRYSQNHVDLEVVSTYSFQWRLMSFLWVSRMT >Potri.T002768.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:309308:310920:-1 gene:Potri.T002768.v4.1 transcript:Potri.T002768.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002768.v4.1 MSLYREKNNSGGLRWKRLEELLIAKTSNPGANLEVYRILALGIFGLILCPSTAGIISLEAANLFVEYEKTKINPSAAILAETFLSLNHCKRIGKGSMRCCVPLLFIWMVSHIESETLIFRNFWWFDQKPLELFVSSEWENFSEDDWRVKLQGIPQSNFNWRAPWMKNVTCLMGCGKRPWVPLIGVTGYISYASALVARQLGGIQSIPRTVGLAQFTGVYKGAYNEMLESIKHDWGSLVLVKKEIGVRNPTVSEKYPEWRNRGVSYTAEVSESVGLRRKRVNCEEELRKQVKSLQAELKAKEEQRVSLERQLAKEKGVRKVAEEERDSVGQDLIKAKADLETQKIINQDMMYYMDKAKHWEELAIKTQATLKMRRADIVKFKGQLGKAEALTKIHEEQKAEWNNHNTEVEALRFKLDKEKSKTAQLMESQKMIEQHNQTLDATNNFLSRNNLIHTERIRELQDQVGQAAAEAHLLRVEARQVGGDIIKYRRSLDNTDLFLKAIANRGSVFSPVID >Potri.010G241600.1.v4.1 pep chromosome:Pop_tri_v4:10:21912575:21916004:1 gene:Potri.010G241600.v4.1 transcript:Potri.010G241600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241600.v4.1 MRAGICSVQQALTPEAVSLVKQAVGLARRRGHAQVTPLHVASTMLASSTGLLRRACLQSHSHPLQCKALELCFNVALNRLPASTSSALLGPHSSYPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKIEIEQLIISILDDPSVSRVMKEAGFSSTQVKNKVEQTVSLEICPQSSLTVSCQPKEIIKPQVLSASVSQSLPFSQFGIIHSKPLDQVRNDDVMSVLNTLVGKKRNTIITGECLATAESVVRGVMDKFERGEVSGDLRSVRFKNLPLFSFRSLSKEDLEQKLMELRCIVKSYISTGVVLYLGDLKWIADFWSSYGEQRRSYYCTADHIILELKRLVHGFSETGRLWLMGIATFQTYMKCKAGHPSLETMWELNPVTIPVGSLNLSLKLDSDSQSHQSRSKASLNGSSWPLLESRVDNHLTCWTDYSVNFNKEAQSLVGRTHNKESTSSVTISNNSSLPLWLQQCKETERNTTNDQEYLCNKGISLFGSVHKQSYYPEKTIKFASSPPSPNSFSSQERNTDPQQTHLSWPVIFEHKQFEKENQIWISECSNEGYESSLRNVPKPDLLSNPNSSPNSASSSEAMDDIEGVQSFKEFNDYSLKNLRSGLEKKVPWQKDIIPEIATTILECRSGMRKRKGKLNHIEDKAETWLFFLGVDFEGKEKIARELAKLVFGSQSNFVSIGLSNFSSSRADSIEESKNKRARDELGCSYLERLGLALNENPHRVFFMEDVDQVDNCSQKGIKQAIENGNVTLPDGEKVPLKDAIIIFSCESCCSVSRTCSPPRRQKTGDNHEDKEDEDVMEEKSLVLSLDLNISFGDNGDDQCSLAEYGILESVDRQVVFKIQELS >Potri.010G241600.2.v4.1 pep chromosome:Pop_tri_v4:10:21912625:21915999:1 gene:Potri.010G241600.v4.1 transcript:Potri.010G241600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241600.v4.1 MRAGICSVQQALTPEAVSLVKQAVGLARRRGHAQVTPLHVASTMLASSTGLLRRACLQSHSHPLQCKALELCFNVALNRLPASTSSALLGPHSSYPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKIEIEQLIISILDDPSVSRVMKEAGFSSTQVKNKVEQTVSLEICPQSSLTVSCQPKEIIKPQVLSASVSQSLPFSQFGIIHSKPLDQVRNDDVMSVLNTLVGKKRNTIITGECLATAESVVRGVMDKFERGEVSGDLRSVRFKNLPLFSFRSLSKEDLEQKLMELRCIVKSYISTGVVLYLGDLKWIADFWSSYGEQRRSYYCTADHIILELKRLVHGFSETGRLWLMGIATFQTYMKCKAGHPSLETMWELNPVTIPVGSLNLSLKLDSDSQSHQSRSKASLNGSSWPLLESRVDNHLTCWTDYSVNFNKEAQSLVGRTHNKESTSSVTISNNSSLPLWLQQCKETERNTTNDQQEYLCNKGISLFGSVHKQSYYPEKTIKFASSPPSPNSFSSQERNTDPQQTHLSWPVIFEHKQFEKENQIWISECSNEGYESSLRNVPKPDLLSNPNSSPNSASSSEAMDDIEGVQSFKEFNDYSLKNLRSGLEKKVPWQKDIIPEIATTILECRSGMRKRKGKLNHIEDKAETWLFFLGVDFEGKEKIARELAKLVFGSQSNFVSIGLSNFSSSRADSIEESKNKRARDELGCSYLERLGLALNENPHRVFFMEDVDQVDNCSQKGIKQAIENGNVTLPDGEKVPLKDAIIIFSCESCCSVSRTCSPPRRQKTGDNHEDKEDEDVMEEKSLVLSLDLNISFGDNGDDQCSLAEYGILESVDRQVVFKIQELS >Potri.014G061500.1.v4.1 pep chromosome:Pop_tri_v4:14:3966126:3966802:1 gene:Potri.014G061500.v4.1 transcript:Potri.014G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061500.v4.1 MAENHRPNPAFEKESIIMQHGIFALLVGTLNNQIQVKYQSIKGSPFDSHDVIMSVFLVALFIYATASVAEVMLRAREATYYTLVGNLRLFASALAAILLLAILAPILGCVISVVWACLFLGVAYESSREMSNILSQLTSKLHDMLSRLIARVRSRKEEPNQPRV >Potri.019G032300.5.v4.1 pep chromosome:Pop_tri_v4:19:4495857:4502424:1 gene:Potri.019G032300.v4.1 transcript:Potri.019G032300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032300.v4.1 MWDCRLRSDGLSHGSRAVHGSSLSSNSRGSRSWVGSEQYTNHHHSVSDGALPYSDSPPDIVQEPRWTSPVRKFNLGEPAASIAGGSRSQPTWFPCSTERRFAVRASVTSPDFGSPSSLSDTSHWESTSKRPYAFSNRNISTRRLYMSKTVYPLVFRNPVSDCETFGDADNSSLGRLTSREDRISPSHWPDNSSSVEYKFHKTLTELQKLEISPDPSASSRREGFRWSSASSYDLGIDGERFDIAEHMDMESLRSPSGPVVEQKCGVCGKLMWQKSPWSSHRIMRGGDMPTAGVLPCSHVFHAECLEHVTPKTQIHDPPCPLCLKTIGSIEESPPVSEPLQMALRSVRRSRGVVISEVQGSHSNMEASHHIKDRLRRNWPQAVSRQNDNGSSITSRLRRHFMFKGKSGKELLNTKVLQRIGSSSSQKPA >Potri.004G066900.1.v4.1 pep chromosome:Pop_tri_v4:4:5725136:5727500:1 gene:Potri.004G066900.v4.1 transcript:Potri.004G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066900.v4.1 MALSVVSGSITTTSQWALKSQKLRRETQLPSWLNRSTISKHNKQVLNVTRVVKASCLFNPSNEPILKDALKEPVAFMGGMFAGLLRLDLNEDPLKEWVTRTVEASGITEEDIDAEGSQPEERVPLEIEIE >Potri.018G058900.4.v4.1 pep chromosome:Pop_tri_v4:18:5968304:5973988:1 gene:Potri.018G058900.v4.1 transcript:Potri.018G058900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G058900.v4.1 MASSSSSHQTHTPLPSDPGGGKPLDHEVPIHVVTEPSQLPAEFLNPSAAKQLIIGFDCEGVDLCRHGTLCIMQLAFPDAIYLVDAIKGGESLIRACKPALESSHITKVIHDCKRDSEALYFQFGIKLHNVVDTQIAYSQIEEQEGRTRLPDDYISFVGLLADPRYCGISYLEKEEVRVLLRQDPMFWTYRPLSEMMIHAAADDVRFLLRIYYKMMEKLNQRSLWYLAVRGVLYCRCFCINENDYADWPHIPPIPDNLIIEDNAPEEEILSVLDVPPGKMGRVIGRRGASILSVKESCNAEIFIGGAKGPTDKVFIVGPVKQVRKAEAMLRGKMLDIF >Potri.010G044700.2.v4.1 pep chromosome:Pop_tri_v4:10:7673066:7677565:-1 gene:Potri.010G044700.v4.1 transcript:Potri.010G044700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044700.v4.1 MAGAASALFLLDIKGRVLVWRDYRGDVSAVQAERFFTKLIEKEGDPQSQDPVVYDNGVSYMYIQHSNVYLMTASRQNCNAASLLSFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTNAYRMETSQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNSNGQVIRSDVVGALKMRTYLSGMPECKLGLNDRVLLEAQGRATKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVERHSRSRVEIMVKARSQFKERSTATNVEIELPVSVDVSNPNIRTSMGSASYAPENDALLWKIKSFPGGKEYMLRAEFSLPSITAEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Potri.001G347900.2.v4.1 pep chromosome:Pop_tri_v4:1:35932088:35942901:1 gene:Potri.001G347900.v4.1 transcript:Potri.001G347900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347900.v4.1 MPSIMAASRSNPLLNSLPRFLTWRSLGFRTICSGRLGFAPSDPDPEPPVSTAGTKFLETFREEFEIGSRLITFETGKIARFANGSVVLGMEETKVLSTVTSSKGDSVRDFLPLTVDYQEKQFAQGVIPSTYLRREGAPKERELLCGRLIDRPIRPLFPAGFYHEVQVMASVLSSDGRRDPDVMAANATSAALMLSDIPWGGPIGVIRIGRICGQFVVNPTMDELSLSDLNLVYACTKDKTLMIDVQAGGIAEKDLEAGLRLAHPEAVKYLEPQIRLAAKAGKHKKDYKLSLVSDRTLEKVRNLTEAKIEAVFTDPSYGKFERGEALDNIAQEAKRTLEEECDTESLTVLSKVVDRVRKGVVRNRIIAEGFRVDGRRLDEVRPLYCEAGYLPNLHGSSLFSRGDTQVLCTVTLGAPRDAQRLDSLVGPPTKRFMLHYSFPPFSINEVGKRVGLNRREVGHGTLAEKALLAVLPPEDDFPYTVRINSEVMASDGSTSMATVCGGSVAMMDAGIPLQEHVAGVSVGLVSEVDPSTGEIKDYRIVTDILGLEDHLGDMDFKIAGTRKGVTAVQLDIKPAGIPLDIICECLEPALKGRLQILARMDQEISAPRTQDHRNSPRLATLKFSNDALRRLIGPLGVLKRKIEEDTGARMSVSDETLTILAKNQTVLERVQEKIDFIIGREIEVGGIYKGIVSSIKEYGAFVEFNGGQQGLLHVSELSHEPVSKISDVISVGQQLSLMCIGQDVRGNIKLSLKATLPQLKSKKNNVTEEPVPVIKEAPKVWTSVGNLPNEQEEQKLTDAELMLSRSTVKPSTSSNPGFLIRSAAECDEEDKTVSLNQGSKSNSKTLRATKRDRKRKTKVPESDDSDASIYSSGHSSHTVDRLNDEDAKVVSPLSAKSLKLGMKIAAKVYQIRALGLVLDLGNGVRGMYRFETNGKRDFEIGDELLVKCTSFSSKGLPVMSLVDDE >Potri.019G009800.1.v4.1 pep chromosome:Pop_tri_v4:19:1407105:1423534:-1 gene:Potri.019G009800.v4.1 transcript:Potri.019G009800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009800.v4.1 MAAALSDILRVTSSLLMLMLLMFCMGAINLEAQDGSLAPDEVEALLEVATQLGKKGWNRNMTLCNDTILPPKLDADNKVVCNCSFPGEPCHVIAIYLKRQDLDGTLPKAIEKLPHLKHLNLWANYLSGNIPPEWANTKLETLSVGVNRLTGKIPSYLGRITTLSYLNIQDNMFSGTVPPELGGLVSLKNLTLSANYLTGELPPTLTNLTGLKELRLSSNNFTGRIPDFIQSWKQLDTLEIQAGGFTGPIPSSISLLTNLTDLKISNLLGGSEFPNLESIAGIKYLLLSNCNLSGNFPIYLTSMAQLKILDLSFNRLNGPLPTNYDGLKSLEKMYLTRNMLTGPIPDWIDKRDTRYEIDLSYNNFTSEAKCRETLNLFKSTWGGNYSKPVECLRACSEERYSVHINCGGPEATIGNTIYEADNEPGGAAKYASKREDWQTSTTGHIWDVPASLDNYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVPCLVNGNYKIKLHFAEIVMRDNRSYYSLGRRIFDVYIQDIVVLKDFDIVKAAGGVDKVYIHNYTAPVTNGALEIRLHWAGKGTTRSPTKGIYGPLISAIDVESDFKPPDKGRRKRFIVAGAVVLPLLLILIFLSTLWWKGHLGGRKSRDRELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTRIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIKATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRVLYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLGDPSGYATALHKKFAQSSVNGSLRESQSLVKSSEGPWTASSSSSAQDLYPFSKS >Potri.001G438500.2.v4.1 pep chromosome:Pop_tri_v4:1:46471199:46476190:1 gene:Potri.001G438500.v4.1 transcript:Potri.001G438500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438500.v4.1 MTITTINYKLLPFCLCKSDPSIDTTTMSNVATKVAVVGGGISGAVCASTLAKNGISVTLFESARGPGGRMSQRREISEDGKELLFDHGAPFFSASNSDVLRLVHEWESKGLVEEWKENCGSFDCISKKFLDIEQEAPNKKYVGIPGMNSICKALCNETGVESKFGVSIGRLECLDDEKWSLTGLDGQNLGRFSGVVVSDKGIASPRFTDVTGRPPPLDLSLTPELALKLQDIPVSPCFALMLAFSEPLSSISVKGFSFKNSEILSWSHCDSSKPGRSTASERWVLHSTANYARGIIAQTGLQKPSSATLTKVAEELFQEFQSIGLNIPRPFFMKAHRWGSAFPTASIAREQKCLWDRKKRLAICGDFCVSPNVEGAILSGLAAASKLTEMLSCL >Potri.001G438500.4.v4.1 pep chromosome:Pop_tri_v4:1:46471251:46476189:1 gene:Potri.001G438500.v4.1 transcript:Potri.001G438500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438500.v4.1 MTITTINYKLLPFCLCKSDPSIDTTTMSNVATKVAVVGGGISGAVCASTLAKNGISVTLFESARGPGGRMSQRREISEDGKELLFDHGAPFFSASNSDVLRLVHEWESKGLVEEWKENCGSFDCISKKFLDIEQEAPNKKYVGIPGMNSICKALCNETGVESKFGVSIGRLECLDDEKWSLTGLDGQNLGRFSGVVVSDKGIASPRFTDVTGRPPPLDLSLTPELALKLQDIPVSPCFALMLAFSEPLSSISVKGFSFKNSEILSWSHCDSSKPGRSTASERWVLHSTANYARGIIAQTGLQKPSSATLTKVAEELFQEFQSIGLNIPRPFFMKAHRWLGKCFPNCEHSEGAEMSLG >Potri.001G420900.1.v4.1 pep chromosome:Pop_tri_v4:1:44936370:44938323:-1 gene:Potri.001G420900.v4.1 transcript:Potri.001G420900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420900.v4.1 MASILSTTHLTLASNPQSSQPFLPKLNSQFLGLQSNVGWLRPCRIGPSNGSRAKCWFKFGKNGVDAEGAGIYGSQTRDDFDRDDVEQYFNYMGMLAVEGTYDKMEALLSQNIHPVDILLMLAASEGDKPKIEELLRAGAVYDIKDADGRTALDRANEETKDFILGLSVRKA >Potri.001G343600.1.v4.1 pep chromosome:Pop_tri_v4:1:35447888:35450801:1 gene:Potri.001G343600.v4.1 transcript:Potri.001G343600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343600.v4.1 MSVGYYSSKKTDDICEDVCGQQSSRAALSMSRLKCILRGLDFKTYIFLLVLVPLGILGVYLHGQKITYFLRPIWESPPKPFQILPHYYHDNVSMENLCRLHGWGIRESPRRVYDAVLFSNEVDILTIRWKELYPYITQFVILEANSTFTGIPKPLLFARNRDRFKFIENRLTYGTVGGRFRKGENPFVEEAYQRVALDQLIRIAGIEDDDLLLMSDVDEIPSAHTINLLRWCDDTPPVLHLQLKNYLYSFEYYVDNKSWRASVHKFKTGKTRYAHYRQADVLLSDAGWHCSFCFRHISEFVFKMKAYSHYDRVRFSHYLNPKRIQNVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPEFLLNNAEKYKYLLPGNCIRDSG >Potri.001G343600.4.v4.1 pep chromosome:Pop_tri_v4:1:35448019:35450635:1 gene:Potri.001G343600.v4.1 transcript:Potri.001G343600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343600.v4.1 MLLFILQNLDILVLPRKFCFNLQQSSRAALSMSRLKCILRGLDFKTYIFLLVLVPLGILGVYLHGQKITYFLRPIWESPPKPFQILPHYYHDNVSMENLCRLHGWGIRESPRRVYDAVLFSNEVDILTIRWKELYPYITQFVILEANSTFTGIPKPLLFARNRDRFKFIENRLTYGTVGGRFRKGENPFVEEAYQRVALDQLIRIAGIEDDDLLLMSDVDEIPSAHTINLLRWCDDTPPVLHLQLKNYLYSFEYYVDNKSWRASVHKFKTGKTRYAHYRQADVLLSDAGWHCSFCFRHISEFVFKMKAYSHYDRVRFSHYLNPKRIQNVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPEFLLNNAEKYKYLLPGNCIRDSG >Potri.001G343600.2.v4.1 pep chromosome:Pop_tri_v4:1:35447883:35450852:1 gene:Potri.001G343600.v4.1 transcript:Potri.001G343600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343600.v4.1 MSVGYYSSKKTDDICEDVCGQSSRAALSMSRLKCILRGLDFKTYIFLLVLVPLGILGVYLHGQKITYFLRPIWESPPKPFQILPHYYHDNVSMENLCRLHGWGIRESPRRVYDAVLFSNEVDILTIRWKELYPYITQFVILEANSTFTGIPKPLLFARNRDRFKFIENRLTYGTVGGRFRKGENPFVEEAYQRVALDQLIRIAGIEDDDLLLMSDVDEIPSAHTINLLRWCDDTPPVLHLQLKNYLYSFEYYVDNKSWRASVHKFKTGKTRYAHYRQADVLLSDAGWHCSFCFRHISEFVFKMKAYSHYDRVRFSHYLNPKRIQNVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPEFLLNNAEKYKYLLPGNCIRDSG >Potri.016G039000.4.v4.1 pep chromosome:Pop_tri_v4:16:2392869:2395071:1 gene:Potri.016G039000.v4.1 transcript:Potri.016G039000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039000.v4.1 MADSSPLLPPFLLAEPENSAVDEPQASLDSVVERVIGDFGWTQLVQSTLVLLSRFFDAQQTFISVYADSEPAWHCINNGMCNSSANICDLSKSSWAWNGHTYKTIISDWDLECASSFIKGLPASSFFLGCLLGGFVLGTLADTSLGRKNLLTLSCLIMSIASLMTIFSTNVWIYSAFRFLSGFGRAAIGATALVLATEKVGSKWRGQMGTIAGVSFALGLLSLPGFGIGIVYYSMPLAVGNLGFNIYLSVAFNALAEIPSYIIFSFIVSKWSRKGSLLAFTTLGGICSIMCIMNWKEVVLIGLELVSYFSACTAFIMLMIYTLELFPTCVRNGASSMVRQAPVFGAVFSPILISAGRSNKVLSYGVFGLVILCSSVFVFCLPETRGVALSDTMDEQEEKEQLAM >Potri.016G039000.1.v4.1 pep chromosome:Pop_tri_v4:16:2392869:2395071:1 gene:Potri.016G039000.v4.1 transcript:Potri.016G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039000.v4.1 MADSSPLLPPFLLAEPENSAVDEPQASLDSVVERVIGDFGWTQLVQSTLVLLSRFFDAQQTFISVYADSEPAWHCINNGMCNSSANICDLSKSSWAWNGHTYKTIISDWDLECASSFIKGLPASSFFLGCLLGGFVLGTLADTSLGRKNLLTLSCLIMSIASLMTIFSTNVWIYSAFRFLSGFGRAAIGATALVLATEKVGSKWRGQMGTIAGVSFALGLLSLPGIAYINRNFSWRTIYVWTSTPSILYCVLVHLLVLESPRWLFLQGRKEAAMAILKRLGPTSLNLCLPYISLEKEAPKTNLYSPIKILLVRKWALQRLLIVMLTGFGIGIVYYSMPLAVGNLGFNIYLSVAFNALAEIPSYIIFSFIVSKWSRKGSLLAFTTLGGICSIMCIMNWKEVVLIGLELVSYFSACTAFIMLMIYTLELFPTCVRNGASSMVRQAPVFGAVFSPILISAGRSNKVLSYGVFGLVILCSSVFVFCLPETRGVALSDTMDEQEEKEQLAM >Potri.016G039000.2.v4.1 pep chromosome:Pop_tri_v4:16:2392869:2395071:1 gene:Potri.016G039000.v4.1 transcript:Potri.016G039000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039000.v4.1 MADSSPLLPPFLLAEPENSAVDEPQASLDSVVERVIGDFGWTQLVQSTLVLLSRFFDAQQTFISVYADSEPAWHCINNGMCNSSANICDLSKSSWAWNGHTYKTIISDWDLECASSFIKGLPASSFFLGCLLGGFVLGTLADTSLGRKNLLTLSCLIMSIASLMTIFSTNVWIYSAFRFLSGFGRAAIGATALVLATEKVGSKWRGQMGTIAGVSFALGLLSLPVHLLVLESPRWLFLQGRKEAAMAILKRLGPTSLNLCLPYISLEKEAPKTNLYSPIKILLVRKWALQRLLIVMLTGFGIGIVYYSMPLAVGNLGFNIYLSVAFNALAEIPSYIIFSFIVSKWSRKGSLLAFTTLGGICSIMCIMNWKEVVLIGLELVSYFSACTAFIMLMIYTLELFPTCVRNGASSMVRQAPVFGAVFSPILISAGRSNKVLSYGVFGLVILCSSVFVFCLPETRGVALSDTMDEQEEKEQLAMGGINAQLVTTLTEQLQYRPNEESPSFDEVQSPVMIGFIYARKSNVFGLYNVVETIN >Potri.016G039000.3.v4.1 pep chromosome:Pop_tri_v4:16:2392869:2395071:1 gene:Potri.016G039000.v4.1 transcript:Potri.016G039000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039000.v4.1 MADSSPLLPPFLLAEPENSAVDEPQASLDSVVERVIGDFGWTQLVQSTLVLLSRFFDAQQTFISVYADSEPAWHCINNGMCNSSANICDLSKSSWAWNGHTYKTIISDWDLECASSFIKGLPASSFFLGCLLGGFVLGTLADTSLGRKNLLTLSCLIMSIASLMTIFSTNVWIYSAFRFLSGFGRAAIGATALVLATEKVGSKWRGQMGTIAGVSFALGLLSLPVHLLVLESPRWLFLQGRKEAAMAILKRLGPTSLNLCLPYISLEKEAPKTNLYSPIKILLVRKWALQRLLIVMLTGFGIGIVYYSMPLAVGNLGFNIYLSVAFNALAEIPSYIIFSFIVSKWSRKGSLLAFTTLGGICSIMCIMNWKEVVLIGLELVSYFSACTAFIMLMIYTLELFPTCVRNGASSMVRQAPVFGAVFSPILISAGRSNKVLSYGVFGLVILCSSVFVFCLPETRGVALSDTMDEQEEKEQLAM >Potri.014G026700.1.v4.1 pep chromosome:Pop_tri_v4:14:1648407:1651112:1 gene:Potri.014G026700.v4.1 transcript:Potri.014G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G026700.v4.1 MASHRNVLLYKLWVCFITILYFTETLSQTDNYIVHMDLSVMPKSFSGQHHWYLSTLASVSDVADSSTARASEATLTASSKLLYSYTHVVNGFSASLTPSELEALKTSPGYISSIKDLPVKHDTTHSPKYLGLTPQSPAWKASNYGDGIIIGLVDTGAWPESESYNDHGMPEIPKTWKGECESGTQFNSLMCNKKLIGARFFNKGLIAKYPNITISMNSTRDTEGHGTHTSTTAAGNFVEGASYFGYAKGTASGVAPRAHVAMYKALWDEGSYTTDLIAAIDQAISDGVDVLSMSLGLDGLPLNEDPIALATFAAIEKNIFVSTSAGNEGPFRETLHNGIPWVLTVAAGTLDRGFDAVLTLGNGISITGSSFYLGSSSFSDVPIVFMDDCHTMRELIKIGPKIVVCEGAFDSNDLSDQVENVSSANVTAGVFITNFTDTEEFIGNGFPVVIVSLKDGKTIIDYIKNSNSPQASAEFRKTDLGIEPAPRLTSYSSRGPSTSCPLVMKPDIMAPGSLILAAWPQNIAVDSNNSQPMFSNFNILSGTSMACPHAAGVAALLRKAHPDWSPAAMRSAMITTADTMDNTMEPIKDIGFGNRINPATPLDMGAGQVNPNKALDPGLIYDVNSTDYVRLLCATNFTEKQIQVITRSSSIDCSNPSSDLNYPSFIAYFNDKKSPSNLTIVREFHRTVTNVGEGTCIYTASVTPMSGLKINVMPDKLEFKTKYEKLSYKLTIEGPALLDETVTFGSLNWADAGGKHVVRSPIAATSLSPELSSKN >Potri.002G154150.1.v4.1 pep chromosome:Pop_tri_v4:2:11774421:11775347:-1 gene:Potri.002G154150.v4.1 transcript:Potri.002G154150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154150.v4.1 MKLQVLSIMSRFIAMWIRLPLVFGKKEALKLVSFATICQGFVEFVLKSYLQGTCLLVTTSHSSHLKDFSYLCWKTEMLLEIFRLVSQVPSDHTTFVPQFCFGGIHWSPHFHIRLQPDCKFDLIA >Potri.012G111900.1.v4.1 pep chromosome:Pop_tri_v4:12:13142012:13144810:1 gene:Potri.012G111900.v4.1 transcript:Potri.012G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111900.v4.1 MHRLGSLLSHKPLLNPRAPLYSLISSSTFSYSPNSIEIFYDHLLKSCNGSSSLKQIHSALTTTGLITTSPHLGAQIIIKYAKFDDLNRARLLFDNINVCYDKPTSFLCNTMIRAYANVGQCFETLKLYSFMRKTGTFVNNYTYPFVFKACALNLLVREGKVVHGDALKNGFGSDLYVEAGLVDMYAKCGLFVDCRKIFDEMSTKDLVCWTAMITAYEQAEKPEEALILFKKMQQEEGLLADSIAVVSVASAVGQLGDVKNAHTVHGYAFRKSLIEELCVGNSILAMHTKCGNTEKARLVFDMMMERDVISWNSMLSGYTQNGQATEALLLFDEMRDSDCQPTPVTALIMVSACAYLGFRHLGRKFHDFIVDSRMEIDTNLSNALMDMYAKCGDLEKAVDLFNGIPPTERNAGSWNVLISGYGMHGHGKEALELFSRMQEEGVEPNHFTFTSILSACSHAGLIDEGRKCFAEMKRLSVTLEDKHHACVVDMLGRAGLLQEAFDLIKEMPSPPSDGVWGALLLACKIHGNMELGKTAASNLLQLEPNHTGYYVLMSNIYAASNKWKEVWKLRQDMKNKGLKKPAAFSMIEYGKDILGFHTADQENPYRHEVYKKMESLAIEMKMAGYVPDLSCALHDVEEEDKERMLNYHSEKLAVAFGVLKIDPGMVIRVTKNLRVCNDCHSAFKYISHIYQRKIIVRDANRFHHFQGGTCSCKDYW >Potri.014G130800.1.v4.1 pep chromosome:Pop_tri_v4:14:8750646:8757490:-1 gene:Potri.014G130800.v4.1 transcript:Potri.014G130800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130800.v4.1 MESSAITIRPINKAAVHRICAGQVILDLSSAVKELVENSLDAGATSIEISLKDYGLESFQVIDNGCGVSPNNFKVLALKHHTSKLGDFHDLQSLTTFGFRGEALSSLCVLGDLTVETRTKNEPVATHLTFNHSGLLTAERKTARQVGTTVTVKKLFSSLPVRSKEFSRNIRKEYGKLISLLNAYALISKGVRIVCSNTTGKNAKSVVLKTQGSDSLKDNIITVFGVNTFSCLEPVDIDISGSCKVEGFLSKSGQGSGRNLGDRQYYFVNGRPVDMPKVSKLVNELYKGANSRQYPIAIMNFTIPTTACDVNVTPDKRKIFFSDESSILLALREGLEKNYSSSNSCYSVNKFENHAKAADSSQLCSPREKSNMLSKQSSANGNDSEETQTDAEDSSPLMTVEVKSKPFQVGERSIHDIEEKFMMKDFALRLHGIKKTDSLTNSNSCKATTHLNIVTDQNAQCPSRVVERVKGDSNGPSGSFQSKLSNFLTVNKRKREDITTQLSEVPVLRNQTSECQLKKSDIDIHDAVTSLPFNHHHIDDSTEFTDAEPPKHHSTDVIINKTRNNSGLQPKLAEDPSGEQNSSSPDDVPSITTPCKGLGNLLEDLPVASPPAQSSIELLDAPVPFSAQQICSTLQFSFQDLHSRRMQRLSRLQSGKFTFGGSKRSHRSYAAATLELSQPDNEERKLRALAAATTELERLFRKEDFGRMKVIGQFNLGFIIGKLDQDLFIVDQHAADEKYNFERLCQSTILNQQPLLRPLRLELSPEEEVVASMNLDIIRKNGFALEEDPHALPGHHFKLKAVPFSKNITFGVEDVKDLISTLADSQGECSIISRYKMDTADSVCPSRVHAMFASRACRSSVMIGDALGRNEMQKILEHLVDLKSPWNCPHGRPTMRHLIDMSSIYERPDETEAGL >Potri.002G093450.1.v4.1 pep chromosome:Pop_tri_v4:2:6798844:6799056:-1 gene:Potri.002G093450.v4.1 transcript:Potri.002G093450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093450.v4.1 MIFAYEVAMRCLCFAMEPMQMEKLLSIWGVGGSQSCNSLFGALLLQWTPRFFICIRASTKELTFGRCCVH >Potri.013G002800.1.v4.1 pep chromosome:Pop_tri_v4:13:202350:207708:1 gene:Potri.013G002800.v4.1 transcript:Potri.013G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002800.v4.1 MLSPSTLSKAIPKWLNLKSFISSVSCTNAIQINPEINQNAPNITDFDAKIQSLRNKLCPDYLIKVLKSTSDINSAVKLFKWASLQRRFNHTDDTYYWIIFKLGMAENVEEMEGFCQNMVKDRCPGVEDVLLSLVDAFVRNCRLNEALRVLFNMNLAGIKPSIDVFNFVLGALVEEKRGFQDVVFVYKEMVKAGVAPSIDTLNYLLEVLFETDRVDSALDQYRRINKKGCIPNSRTFEIVIKGLIAKNRVDDSVTILHEMLELGCLPELRFFRSTILLFCGEDRLQQGIRLFRKMKDSNFTPDPFIYGALIQCLCKHLRLDEAANLLEEMMESRLTPDNNVFVDIVNGFCKLGKINEAIKLLEDKHVHVTSPHNALLRCCCDADKFFMAKGLLEKMSERNIDDCDSWNILIRWLCEKVGVMNAYELLGRMIISSLIPDCATYSALVAGNCRLSKYEDALQLFLQLHAKFWILDPASYSELIEGLCRGEKYLEAVKVFCYMSENRCSLQSLSFNMLIEGVCNMGMLNEAVRLQLLGYNSGTSCSNATCNYIMLGLSKSDKGKHMLAFLSQMLVQGTNLDMEAYCILIQSMIAQKQIKDCTLFLNVMVNEGLVPDSDTLYKLLSCLANHSQLYLISLSLDKLVSDCEVLDSAMYNILINGLWKEGNKNEAHRLLDLMLEKGWVPDSMTHGLLIGSGNREGTGKGKLTYIDSIKDSVSDILVEGLGET >Potri.016G091900.5.v4.1 pep chromosome:Pop_tri_v4:16:7555606:7558810:1 gene:Potri.016G091900.v4.1 transcript:Potri.016G091900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091900.v4.1 MGFSVGFVNGFVGVLILSHAAYSTTQYKSLLKITEDEFSGPPLNVVVELIVGLVLCTWAAITVPGIFLSIHPHSDDNRMVSLPDNLDFIIFNHRGKVFVPEINMKSKR >Potri.013G135900.1.v4.1 pep chromosome:Pop_tri_v4:13:14092816:14093172:-1 gene:Potri.013G135900.v4.1 transcript:Potri.013G135900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135900.v4.1 MNTTSSLVHDTITKNFSRRMNGYQPLDRYDSMHSNDPHDMGYRTARAKQRQVFLKSYRLASRTKLRRRSRSLKLKKVVAKVRMIVLSVVSFTRGNALRSCNSRSSICASSPMKLNKSC >Potri.002G116500.1.v4.1 pep chromosome:Pop_tri_v4:2:8834644:8837341:-1 gene:Potri.002G116500.v4.1 transcript:Potri.002G116500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G116500.v4.1 MPIFEANSFRNVKLDGSAGGQVLDLETAIKDGILGGVTGGGLVCAGVAEKLDLKKMVEELESIEVPPVFICPISLDPMQDPVTLCTGQTYERYNILKWFSLGHCTCPTTMQELWDDTVTPNKTMQQLIYSWFSQKFLATKKRSEDVQGRAIELLDNLKKVKGQAKVQTLKELRQVVAAHSTARKAVLDNCGTALVTSFLGPFTTHAVGSEAVGILVNLDLDYATKSNLRQPARISLMVDMLNEGSIETKINCTRLMEMLMEGNDFEHENMSSLRLLVGLLRLVKDKKHPNGLLAGLGLLKSICSHESVRGSVVSIGTVPPLVELLPSLNNECLELALYILDVLSSIPEGRLALKVCANTIPNVVKLLMRVSETCTRLALSILWAVCMLAPEECTALAVEAGLAAKLLLVIQSACNPVLKQQSVELLKLCSLNYTSTIFISECKLTRTIQ >Potri.002G116500.3.v4.1 pep chromosome:Pop_tri_v4:2:8834643:8837342:-1 gene:Potri.002G116500.v4.1 transcript:Potri.002G116500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G116500.v4.1 MPIFEANSFRNVKLDGSAGGQVLDLETAIKDGILGGVTGGGLVCAGVAEKLDLKKMVEELESIEVPPVFICPISLDPMQDPVTLCTGQTYERYNILKWFSLGHCTCPTTMQELWDDTVTPNKTMQQLIYSWFSQKFLATKKRSEDVQGRAIELLDNLKKVKGQAKVQTLKELRQVVAAHSTARKAVLDNCGTALVTSFLGPFTTHAVGSEAVGILVNLDLDYATKSNLRQPARISLMVDMLNEGSIETKINCTRLMEMLMEGNDFEHENMSSLRLLVGLLRLVKDKKHPNGLLAGLGLLKSICSHESVRGSVVSIGTVPPLVELLPSLNNECLELALYILDVLSSIPEGRLALKVCANTIPNVVKLLMRVSETCTRLALSILWAVCMLAPEECTALAVEAGLAAKLLLVIQSACNPVLKQQSVELLKLCSLNYTSTIFISECKLTRTIQ >Potri.019G044000.1.v4.1 pep chromosome:Pop_tri_v4:19:6116158:6117744:-1 gene:Potri.019G044000.v4.1 transcript:Potri.019G044000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044000.v4.1 MKSFPNNKKTRKNELPDTLNETLLPGLPDHLSQNCLTSLPPSILFSVSHAWRRLLYSSLFAPFFSLYALLSASSSYPTTKDNQVDIIRSIELMSFDPISSLWRSVPSIPKDPPLHLLHRHPSFLSRKLSVQSLTVSNHLVLISGTTHQFVPALSRPLVFHPESKKWFFGPPFTSPRRWCATGSVHGRVYVASGVGPRYSGEVARSMEQWDFRQQGNHWRWENMAPLKDGRFSREPIGAIGYKGKLYMVNVKGNAPKEGLVYDVEENQWNDMPRGMLAGWNGPAATMNEDAIYVVNEVTGALSEYDCKNDCWKKVIELPELKLAEQIAAGRGRVCVVCANGETIVVVDVMARPARFWVVEPPQGQQVAGLHILPRMSGSY >Potri.009G078100.1.v4.1 pep chromosome:Pop_tri_v4:9:7523585:7525900:1 gene:Potri.009G078100.v4.1 transcript:Potri.009G078100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078100.v4.1 MPRRSSGGRSARPAPRPAPARGPPPQTVNHAPPPAHTQSSGGGSLLGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHEAMVSEAAAPSAPAASSMGGADACNIHSKAFQDCVNNFGNDISKCQFYMDMLAECRKNSGSMLSA >Potri.019G031500.3.v4.1 pep chromosome:Pop_tri_v4:19:4399128:4402394:1 gene:Potri.019G031500.v4.1 transcript:Potri.019G031500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031500.v4.1 MEFPPGHHSNTHHHRRNDDEEERRENYPPPDTTPPPPSFHQPPPPSSHYYQEPPQPPSFHQPPPPSSHYYQESPQPPSFHQPPPPSSHYYQEPPQPPRPYFQEATYAPSPPPPFQETQVIRTSHHYPPPPTQVNHVSHEKTETHQSFKPHMPSSIHQQTHQSGSASGLDLYNKPSFKVYSKAEPDFHLTIRDGRVILSRSNPSDEFQNWFKDEKYSTRVKDSEGCPAFALVNKATGQAIKHSIGEANPVRNSLRS >Potri.019G031500.1.v4.1 pep chromosome:Pop_tri_v4:19:4399163:4402800:1 gene:Potri.019G031500.v4.1 transcript:Potri.019G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031500.v4.1 MEFPPGHHSNTHHHRRNDDEEERRENYPPPDTTPPPPSFHQPPPPSSHYYQEPPQPPSFHQPPPPSSHYYQESPQPPSFHQPPPPSSHYYQEPPQPPRPYFQEATYAPSPPPPFQETQVIRTSHHYPPPPTQVNHVSHEKTETHQSFKPHMPSSIHQQTHQSGSASGLDLYNKPSFKVYSKAEPDFHLTIRDGRVILSRSNPSDEFQNWFKDEKYSTRVKDSEGCPAFALVNKATGQAIKHSIGEANPVQLIPYNPDVLDQSILWTQSKDLGDGFRAVRMVNNTHLNVDAFHGDKKSGGVHDGTTIVLWKWNKGDNQRWKIIPAQY >Potri.008G010800.1.v4.1 pep chromosome:Pop_tri_v4:8:535496:538989:-1 gene:Potri.008G010800.v4.1 transcript:Potri.008G010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010800.v4.1 MGMQTMGSQGDGSSHHKQSQFQPLVRQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTVEANRTMGLEVEGIPFANQTALQHQASISLTSALSKKTVDEVWKDIQQSKHDGEMKSRERQPTLGEMTLEDFLVKAGVVAEASVDKKDGGSVVAVDTHAAQQFLQQSQWVQYPPHPQYHHPQQSMMGVYMPGQPMPQPLHMGAGSMMDVSYPENQVTLPPPLMGTLSDTQTPARKRGVPEDMIGKTVERRQKRMIKNRESAARSRARKQAYTNELENKVSRLEEENERLRKRRELENMLPCVPLPEPKYQLRRTASAPF >Potri.008G224364.1.v4.1 pep chromosome:Pop_tri_v4:8:19097002:19097250:1 gene:Potri.008G224364.v4.1 transcript:Potri.008G224364.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224364.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.016G088600.1.v4.1 pep chromosome:Pop_tri_v4:16:7064797:7069023:1 gene:Potri.016G088600.v4.1 transcript:Potri.016G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088600.v4.1 MNLVVMMSMDGVAWRGFGCTIFILHFVFVCHFLLLQPLVSASDTEAGNAAELFERASQSIKLKRYSDALDDLNAAIEADPSLSEAYIRRASILRQLCRYNESEKSYKKFLELKPGHLTAEKELSQLHQAQSALDTALTLLDSGDYAKSLEYVDKVVLVFSPACSEAKLLRVKLLLAVQDYSAVISEAGYILKEDENNLEALLLRGRAYYYLADHDVATKHYQKGLRLDPEHSQLKKAYFGLKNLLKKTKNAEDNASKGKLRVAVEDYRAALALDPHHLAHNVHLHLGLCKVLVKLGRGKDALTSCNEALNIEGELLEALVQRGEAKLIVEDWEGAVEDLKSAAEKSPQDMSIREALMKAEKALKMSKRRDWYKILGISKTASVSEIKRAYKKLALQWHPDKNVDNREEAEAKFRDIAAAYEVLGDDDKRARYDRGEDMEDMGMGGGGGGNPFGGGGQQFSFHFEGGFPGGGFDGGFPGGYQFHF >Potri.001G227300.1.v4.1 pep chromosome:Pop_tri_v4:1:24615489:24620019:-1 gene:Potri.001G227300.v4.1 transcript:Potri.001G227300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G227300.v4.1 MANIQGAFLLPFVVVAGLLACTHGAKPSRYSMPFNRTSFPKDFTFGAGTAAYQSEGAAYIDGKGPSIWDTFTKQHPEKIWDHSTGNVAIDFYHRYKEDIQLMKKIGLDSFRFSISWSRVLPKGKISGGVNPLGVRFYNNLINELLANGITPFVTLFHWDLPQALDDEYSGFLSSKAVDDYLGYAEFCFKTFGDRVKHWCTFNEPYSFSNNGYNGGTFAPGRCSNFAGNCTLGNSGTEPYMVAHNLILGHAAAVKLYREKYQVSQKGKIGITIVTNWFIPKSPKSEEDIKAAYRELDFLFGWFANPLTYGDYPETMKAIVGHRLPKFTKEESALVKGSIDFLGVNYYTTNYAANNPAPNKINFSYTGDSQTILSTSKGGHPIGTPTALNWLFIYPKGIYDLMLYVRDKYKNPPVYITENGLADANNASLPVKEALKDGLRIRYLASHLQYLSKAIKEGANVKGYYQWAFWDDFEWDAGYTVRFGMIYIDFKNNLKRYMKYSAYWFKMFLLH >Potri.012G024600.1.v4.1 pep chromosome:Pop_tri_v4:12:2418196:2420278:-1 gene:Potri.012G024600.v4.1 transcript:Potri.012G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024600.v4.1 MASSKVYLFDEISKHNKTKDCWLIISGKVYDVTSFMDDHPGGDEVLLSSTGKDATNDFEDVGHSDDAREMMEKYVIGEVDVTTVPTKRLYVAPGLGGTNPKDDKPGFLIKILQLLVPLLILGLALAVRTYTKKE >Potri.013G039300.1.v4.1 pep chromosome:Pop_tri_v4:13:2662500:2664331:1 gene:Potri.013G039300.v4.1 transcript:Potri.013G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039300.v4.1 MAFSKALPFVTMLLVVLPMVAMADIDGNLTAFYDRLCKEVDCGKGTCVGDKSYPLSYKCECQAGWKQTQYDDDDVDDEHKFLPCVIPNCTLKYGTCQPAPPPVPQKEAPHNSSFFDPCYWMYCGEGQCTNNGTYRHTCTCNPGFVNLLNISYYPCYGACTLGSDCADIIRIANSTSTGSGTGTGGNPASTILPAKFQWMIILLVSMLMALK >Potri.009G036900.3.v4.1 pep chromosome:Pop_tri_v4:9:4622975:4627574:1 gene:Potri.009G036900.v4.1 transcript:Potri.009G036900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036900.v4.1 MRELEREKLKKMDIEVEKKANNAMEPASKHMLPKGSSGHDEDEKETDMRNGARGRKRASRSPHSTDRSFSSPRGSPSRTSRLILNSRSHSGHKSRSVSRSPEVRRQRSISSDRRRSLRRRSITPRRRRSPRDSPSPPRRKSSYSRHISRSPLRHRSPSPIRRRLRSPFRRRSPSPLHDRSPSPVRRRRSPSPVRRRRSPSPVRRRRSPSPIRRRRSPSPIRRRRSPSPVRRRSPFPMRRRSPLPLRRRSPSPVSRRSPSPLRRRSPPSLRRRSPSPVRRGYQRSPSTPRRGSPSPMQHRSSIISRKRSPSPHRRSPSPYTSSSPSPVQHRSPSPVKSPKEHRSPVQSPGERVRLQQKLLPIPRRSSNSLRSPQRDQKDQKDLSNRLPALSPSPERSPLRPESPPFARKSASKDGRSPSPYESPARQRKEQITRDGSLSPQKQRGRKPLKDSPETSKDHDETGHTREGGDYYSRSSWKRPIHPSNINKQKDSSVKVHYKDEQSSERLASRRTTDSRNYPDNMDSRKKDQDIKIGKSSGRGADHDLDAKKSPTLYKDEKDRSCLNSVKDSDKHPKSETAPVTAEQVHHSNGSGALDSGSKESDKHRAEKREKSKHKRLHRLEVASDDDGSHGSEIEERKEVKRRRKEEKKLRKEEKHRRREERRRRREERRAEKLKLKDCGDASSSDDEHVGRRESHPSDDEEIESDQKKLEIELRKKALESLKAKKGINR >Potri.009G036900.2.v4.1 pep chromosome:Pop_tri_v4:9:4622000:4627575:1 gene:Potri.009G036900.v4.1 transcript:Potri.009G036900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036900.v4.1 MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELDHLVDTRKMKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKEVQISLTGFMEKNTGKFMKELWTLLLSAQKNESGVPQQFLDAKEEETRKKQAEVDRIANEIQKKKEIEKEMRELEREKLKKMDIEVEKKANNAMEPASKHMLPKGSSGHDEDEKETDMRNGARGRKRHKSRSVSRSPEVRRQRSISSDRRRSLRRRSITPRRRRSPRDSPSPPRRKSSYSRHISRSPLRHRSPSPIRRRLRSPFRRRSPSPLHDRSPSPVRRRRSPSPVRRRRSPSPVRRRRSPSPIRRRRSPSPIRRRRSPSPVRRRSPFPMRRRSPLPLRRRSPSPVSRRSPSPLRRRSPPSLRRRSPSPVRRGYQRSPSTPRRGSPSPMQHRSSIISRKRSPSPHRRSPSPYTSSSPSPVQHRSPSPVKSPKEHRSPVQSPGERVRLQQKLLPIPRRSSNSLRSPQRDQKDQKDLSNRLPALSPSPERSPLRPESPPFARKSASKDGRSPSPYESPARQRKEQITRDGSLSPQKQRGRKPLKDSPETSKDHDETGHTREGGDYYSRSSWKRPIHPSNINKQKDSSVKVHYKDEQSSERLASRRTTDSRNYPDNMDSRKKDQDIKIGKSSGRGADHDLDAKKSPTLYKDEKDRSCLNSVKDSDKHPKSETAPVTAEQVHHSNGSGALDSGSKESDKHRAEKREKSKHKRLHRLEVASDDDGSHGSEIEERKEVKRRRKEEKKLRKEEKHRRREERRRRREERRAEKLKLKDCGDASSSDDEHVGRRESHPSDDEEIESDQKKLEIELRKKALESLKAKKGINR >Potri.009G036900.4.v4.1 pep chromosome:Pop_tri_v4:9:4622021:4627559:1 gene:Potri.009G036900.v4.1 transcript:Potri.009G036900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036900.v4.1 MEPASKHMLPKGSSGHDEDEKETDMRNGARGRKRASRSPHSTDRSFSSPRGSPSRTSRLILNSRSHSGHKSRSVSRSPEVRRQRSISSDRRRSLRRRSITPRRRRSPRDSPSPPRRKSSYSRHISRSPLRHRSPSPIRRRLRSPFRRRSPSPLHDRSPSPVRRRRSPSPVRRRRSPSPVRRRRSPSPIRRRRSPSPIRRRRSPSPVRRRSPFPMRRRSPLPLRRRSPSPVSRRSPSPLRRRSPPSLRRRSPSPVRRGYQRSPSTPRRGSPSPMQHRSSIISRKRSPSPHRRSPSPYTSSSPSPVQHRSPSPVKSPKEHRSPVQSPGERVRLQQKLLPIPRRSSNSLRSPQRDQKDQKDLSNRLPALSPSPERSPLRPESPPFARKSASKDGRSPSPYESPARQRKEQITRDGSLSPQKQRGRKPLKDSPETSKDHDETGHTREGGDYYSRSSWKRPIHPSNINKQKDSSVKVHYKDEQSSERLASRRTTDSRNYPDNMDSRKKDQDIKIGKSSGRGADHDLDAKKSPTLYKDEKDRSCLNSVKDSDKHPKSETAPVTAEQVHHSNGSGALDSGSKESDKHRAEKREKSKHKRLHRLEVASDDDGSHGSEIEERKEVKRRRKEEKKLRKEEKHRRREERRRRREERRAEKLKLKDCGDASSSDDEHVGRRESHPSDDEEIESDQKKLEIELRKKALESLKAKKGINR >Potri.009G036900.1.v4.1 pep chromosome:Pop_tri_v4:9:4622000:4627576:1 gene:Potri.009G036900.v4.1 transcript:Potri.009G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036900.v4.1 MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELDHLVDTRKMKMDVIRPWIATRVTELLGFEDEVLINFIYGLLDGKEVNGKEVQISLTGFMEKNTGKFMKELWTLLLSAQKNESGVPQQFLDAKEEETRKKQAEVDRIANEIQKKKEIEKEMRELEREKLKKMDIEVEKKANNAMEPASKHMLPKGSSGHDEDEKETDMRNGARGRKRASRSPHSTDRSFSSPRGSPSRTSRLILNSRSHSGHKSRSVSRSPEVRRQRSISSDRRRSLRRRSITPRRRRSPRDSPSPPRRKSSYSRHISRSPLRHRSPSPIRRRLRSPFRRRSPSPLHDRSPSPVRRRRSPSPVRRRRSPSPVRRRRSPSPIRRRRSPSPIRRRRSPSPVRRRSPFPMRRRSPLPLRRRSPSPVSRRSPSPLRRRSPPSLRRRSPSPVRRGYQRSPSTPRRGSPSPMQHRSSIISRKRSPSPHRRSPSPYTSSSPSPVQHRSPSPVKSPKEHRSPVQSPGERVRLQQKLLPIPRRSSNSLRSPQRDQKDQKDLSNRLPALSPSPERSPLRPESPPFARKSASKDGRSPSPYESPARQRKEQITRDGSLSPQKQRGRKPLKDSPETSKDHDETGHTREGGDYYSRSSWKRPIHPSNINKQKDSSVKVHYKDEQSSERLASRRTTDSRNYPDNMDSRKKDQDIKIGKSSGRGADHDLDAKKSPTLYKDEKDRSCLNSVKDSDKHPKSETAPVTAEQVHHSNGSGALDSGSKESDKHRAEKREKSKHKRLHRLEVASDDDGSHGSEIEERKEVKRRRKEEKKLRKEEKHRRREERRRRREERRAEKLKLKDCGDASSSDDEHVGRRESHPSDDEEIESDQKKLEIELRKKALESLKAKKGINR >Potri.T171101.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:105251:109110:-1 gene:Potri.T171101.v4.1 transcript:Potri.T171101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T171101.v4.1 MRSAPLPFNHPPLSVARRSDCKNWLGMSEGTNMVMGSSEDGTDISQDDKGTTEETPEDAILLRQTSVNLVPFIGQRFASQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSTRCGCQAFMRIVKRADFDVPEWRVTGFSNIHNHEMFKLNEAHLLPASCTMSPDDKSRICIFAKAGMSVRQMLRLMELEKGVKLGCLPYTEIDVRNLLLSFRNVNQDNDAIDLIAMCKKLKDEDHNFKYDFKIDCNNRLEHIAWSYASSVRLYEAFGDAVVFDTTHHLDAYDMWLGIWVGVDNHGMTCFFSCVLLREENMESFSWALKAFVNFMNGKAPQTIITDQNMWLKEAIAIEMPDTKHAFCIWHIISKFSDWFSILLGSCYDDWKAEFLRLYNLESVQDFEEGWSEMVEKYELHANKHITSLYAFRSFWALSFLRNYFFGGTMDVCQSGSITAFIQRFLSAQSRLDYFVDELADIVDFKPELTQKLHKVYLKTGSPIESHAASVLTPYAFGKFQEELVLAPQYASFPVDEYCFQVRHHTQISGGCKVIWDSCQGNISCSCSWFEYSGILCRHVLRVLSTNNCFQIPDNYLPTRWQCVSSSSTSRMHSEKIQLLESMASTLMAESVETEERLDVACEQISMVLSHIRDLPTQTHGESAYNCPPDSLILPEVEDSGGIGNFTIENPDDAITLAKLKDRQPRVGVDISRKRRHHSGPCCGHFGHDATDCPMTRRDQMNGTTLGYL >Potri.T171101.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:105349:109108:-1 gene:Potri.T171101.v4.1 transcript:Potri.T171101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T171101.v4.1 MSEGTNMVMGSSEDGTDISQDDKGTTEETPEDAILLRQTSVNLVPFIGQRFASQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSTRCGCQAFMRIVKRADFDVPEWRVTGFSNIHNHEMFKLNEAHLLPASCTMSPDDKSRICIFAKAGMSVRQMLRLMELEKGVKLGCLPYTEIDVRNLLLSFRNVNQDNDAIDLIAMCKKLKDEDHNFKYDFKIDCNNRLEHIAWSYASSVRLYEAFGDAVVFDTTHHLDAYDMWLGIWVGVDNHGMTCFFSCVLLREENMESFSWALKAFVNFMNGKAPQTIITDQNMWLKEAIAIEMPDTKHAFCIWHIISKFSDWFSILLGSCYDDWKAEFLRLYNLESVQDFEEGWSEMVEKYELHANKHITSLYAFRSFWALSFLRNYFFGGTMDVCQSGSITAFIQRFLSAQSRLDYFVDELADIVDFKPELTQKLHKVYLKTGSPIESHAASVLTPYAFGKFQEELVLAPQYASFPVDEYCFQVRHHTQISGGCKVIWDSCQGNISCSCSWFEYSGILCRHVLRVLSTNNCFQIPDNYLPTRWQCVSSSSTSRMHSEKIQLLESMASTLMAESVETEERLDVACEQISMVLSHIRDLPTQTHGESAYNCPPDSLILPEVEDSGGIGNFTIENPDDAITLAKLKDRQPRVGVDISRKRRHHSGPCCGHFGHDATDCPMTRRDQMNGTTLGYL >Potri.T171101.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:104907:108844:-1 gene:Potri.T171101.v4.1 transcript:Potri.T171101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T171101.v4.1 MSEGTNMVMGSSEDGTDISQDDKGTTEETPEDAILLRQTSVNLVPFIGQRFASQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSTRCGCQAFMRIVKRADFDVPEWRVTGFSNIHNHEMFKLNEAHLLPASCTMSPDDKSRICIFAKAGMSVRQMLRLMELEKGVKLGCLPYTEIDVRNLLLSFRNVNQDNDAIDLIAMCKKLKDEDHNFKYDFKIDCNNRLEHIAWSYASSVRLYEAFGDAVVFDTTHHLDAYDMWLGIWVGVDNHGMTCFFSCVLLREENMESFSWALKAFVNFMNGKAPQTIITDQNMWLKEAIAIEMPDTKHAFCIWHIISKFSDWFSILLGSCYDDWKAEFLRLYNLESVQDFEEGWSEMVEKYELHANKHITSLYAFRSFWALSFLRNYFFGGTMDVCQSGSITAFIQRFLSAQSRLDYFVDELADIVDFKPELTQKLHKVYLKTGSPIESHAASVLTPYAFGKFQEELVLAPQYASFPVDEYCFQVRHHTQISGGCKVIWDSCQGNISCSCSWFEYSGILCRHVLRVLSTNNCFQIPDNYLPTRWQCVSSSSTSRMHSEKIQLLESMASTLMAESVETEERLDVACEQISMVLSHIRDLPTQTHGESAYNCPPDSLILPEVEDSGGIGNFTIENPDDAITLAKLKDRQPRVGVDISRKRRHHSGPCCGHFGHDATDCPMTRRDQMNGTTLGYL >Potri.013G126100.1.v4.1 pep chromosome:Pop_tri_v4:13:13374457:13376053:-1 gene:Potri.013G126100.v4.1 transcript:Potri.013G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126100.v4.1 MVSICKRQCFFAFILILGMWAFEVASRELQESYMSARHEQWMATYGKVYVDAAEKERRFKIFKNNVEYIESFNTAGNKPYKLSVNKFADQTNEKFKGARNGYRRPFQTRPMKVTSFKYENVTAVPATMDWRKKGAVTPIKDQGQCGSCWAFSTVAATEGINQLTTGKLVSLSEQELVDCDNQGEDQGCEGGLMEDGFEFIIKNHGITTEANYPYQAADGTCNSKKQASHIAKITGYESVPANSEAELLKVVANQPISVSIDAGGSDFQFYSSGVFTGKCGTELDHGVTAVGYGETSDGTKYWLVKNSWGTSWGEEGYIRMQRDIDTEEGLCGIAMDSSYPTA >Potri.001G248800.2.v4.1 pep chromosome:Pop_tri_v4:1:26493127:26495996:1 gene:Potri.001G248800.v4.1 transcript:Potri.001G248800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248800.v4.1 MKWEMGILSPASYLSSTNWLLEESKNTKWTPAENKAFENALAVYDEDTPDRWHKVAAMIPGKTVGDVIKQYKELELDVSYIEAGLIPVPGYSTSPFTLDWVDGNGYDGFKQSYGLGGKRSSTGRPADQERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVVSRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNDARTPSPDNKRPSPDQPGAISQQPNSAAMPRTHFQWNQPNGGGTLAFNSTNANMFMSAPYGISSYGLKMQGQNLPRGAVHDSYIRQQTMGFQMPSAHHYPHG >Potri.002G196700.3.v4.1 pep chromosome:Pop_tri_v4:2:15942125:15943331:1 gene:Potri.002G196700.v4.1 transcript:Potri.002G196700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196700.v4.1 MEGVSVDDKHKIVVAVDESEESMHALSWCLSNLISHNSTTTLVLLYVKPRPTIYSSFDIAEHIFSADVIVAMEKYGTDLVNSVMKRAETVFRNFNSNVNVEKVIGSGEAQDVICDTVEKLRPDTLVMGSHGYGFLKRAILGSVSEHCAKRVKCPVVIVKHPHDKTTLPSTSSLKHDDGF >Potri.006G016700.1.v4.1 pep chromosome:Pop_tri_v4:6:1045321:1046521:-1 gene:Potri.006G016700.v4.1 transcript:Potri.006G016700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016700.v4.1 MTDIRSQNFFGLIFFLPIDQELTRHSMSPDHLEDFLLSHSIPMPLTFSGLNHFPTGTMVPSGLENQLIEIKNRGKADFSVNNAQVIKPNLCVNYTIKCHGIDSVIKFENDYSINNKLDELP >Potri.003G144400.9.v4.1 pep chromosome:Pop_tri_v4:3:15953793:15958026:-1 gene:Potri.003G144400.v4.1 transcript:Potri.003G144400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144400.v4.1 MIRMRNFSEEEEQDSNFFDTREEISSVSDWSSDCEDGSPSVFNSLTYDDWTKSPESVQDRRQRFLKCWMGLSLDGNERVEEEFGNDSLNKIQLGVVDRMVDNSGAVLRTSSFEDGFLSAQSSMSSGSSEAWRQSFENGVLDGNFVCKIKNLDDGMEFLVDELDEDGMLSRLHEVGSNQSLSFEEFRRTFATSPLVERFLKKNVNDERDIAEAKRKDKRSWLKKLGLVRRIVDRQGTAASKTRDLESTAEARMQRVKVHPSKKNIKDMSSLFTGQEFLAHKGSILTIKFSLDGQYLASGGEDGVVRVWKVIEDDRSNHFDIPATDPSRLYFTMNHHSDLASLDVDMKKIYKMKRHGSSDSTCVVVPPKVFRVLEKPLHEFQGHSGEVLDLSWSKKRFLVSSSVDQTVRLWQVGCDRCLRVFSHNNYVTSVDFNPVDDNYFISGSIDGKVRIWEVLGCQVVDYTDIREIVTAVCYHPGGKGGLVGTMTGNCLFYDIIDNQLQLDAQICLQGKKKLPGKRITGFEFSPSDPSKVVVTSADSLVRVLCGLDVICKFRASGLRSVANQTSASFTSDGKHIISTSEDFNVHVWNYASQERTSRAKNIQSCESFLSQNASVAIPWRGIVTIPGTPSSLESTCGNSFKSDHTRPKFCGELDQKKLSSSSDCFSLARGFLLESLTRGSATWPEEKLPNSSPKTASPTKSRPEFKYLKNACHNMLSSHLWGLVIVTAGWDGRIRTYLNYGLPLRL >Potri.003G144400.8.v4.1 pep chromosome:Pop_tri_v4:3:15953543:15957969:-1 gene:Potri.003G144400.v4.1 transcript:Potri.003G144400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144400.v4.1 MIRMRNFSEEEEQDSNFFDTREEISSVSDWSSDCEDGSPSVFNSLTYDDWTKSPESVQDRRQRFLKCWMGLSLDGNERVEEEFGNDSLNKIQLGVVDRMVDNSGAVLRTSSFEDGFLSAQSSMSSGSSEAWRQSFENGVLDGNFVCKIKNLDDGMEFLVDELDEDGMLSRLHEVGSNQSLSFEEFRRTFATSPLVERFLKKNVNDERDIAEAKRKDKRSWLKKLGLVRRIVDRQGTAASKTRDLESTAEARMQRVKVHPSKKNIKDMSSLFTGQEFLAHKGSILTIKFSLDGQYLASGGEDGVVRVWKVIEDDRSNHFDIPATDPSRLYFTMNHHSDLASLDVDMKKIYKMKRHGSSDSTCVVVPPKVFRVLEKPLHEFQGHSGEVLDLSWSKKRFLVSSSVDQTVRLWQVGCDRCLRVFSHNNYVTSVDFNPVDDNYFISGSIDGKVRIWEVLGCQVVDYTDIREIVTAVCYHPGGKGGLVGTMTGNCLFYDIIDNQLQLDAQICLQGKKKLPGKRITGFEFSPSDPSKVVVTSADSLVRVLCGLDVICKFRASGLRSVANQTSASFTSDGKHIISTSEDFNVHVWNYASQERTSRAKNIQSCESFLSQNASVAIPWRGIVTIPGTPSSLESTCGNSFKSDHTRPKFCGELDQKKLSSSSDCFSLARGFLLESLTRGSATWPEEKLPNSSPKTASPTKSRPEFKYLKNACHNMLSSHLWGLVIVTAGWDGRIRTYLNYGLPLRL >Potri.003G144400.5.v4.1 pep chromosome:Pop_tri_v4:3:15953545:15958028:-1 gene:Potri.003G144400.v4.1 transcript:Potri.003G144400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144400.v4.1 MIRMRNFSEEEEQDSNFFDTREEISSVSDWSSDCEDGSPSVFNSLTYDDWTKSPESVQDRRQRFLKCWMGLSLDGNERVEEEFGNDSLNKIQLGVVDRMVDNSGAVLRTSSFEDGFLSAQSSMSSGSSEAWRQSFENGVLDGNFVCKIKNLDDGMEFLVDELDEDGMLSRLHEVGSNQSLSFEEFRRTFATSPLVERFLKKNVNDERDIAEAKRKDKRSWLKKLGLVRRIVDRQGTAASKTRDLESTAEARMQRVKVHPSKKNIKDMSSLFTGQEFLAHKGSILTIKFSLDGQYLASGGEDGVVRVWKVIEDDRSNHFDIPATDPSRLYFTMNHHSDLASLDVDMKKIYKMKRHGSSDSTCVVVPPKVFRVLEKPLHEFQGHSGEVLDLSWSKKRFLVSSSVDQTVRLWQVGCDRCLRVFSHNNYVTSVDFNPVDDNYFISGSIDGKVRIWEVLGCQVVDYTDIREIVTAVCYHPGGKGGLVGTMTGNCLFYDIIDNQLQLDAQICLQGKKKLPGKRITGFEFSPSDPSKVVVTSADSLVRVLCGLDVICKFRASGLRSVANQTSASFTSDGKHIISTSEDFNVHVWNYASQERTSRAKNIQSCESFLSQNASVAIPWRGIVTIPGTPSSLESTCGNSFKSDHTRPKFCGELDQKKLSSSSDCFSLARGFLLESLTRGSATWPEEKLPNSSPKTASPTKSRPEFKYLKNACHNMLSSHLWGLVIVTAGWDGRIRTYLNYGLPLRL >Potri.003G144400.1.v4.1 pep chromosome:Pop_tri_v4:3:15953540:15958030:-1 gene:Potri.003G144400.v4.1 transcript:Potri.003G144400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144400.v4.1 MIRMRNFSEEEEQDSNFFDTREEISSVSDWSSDCEDGSPSVFNSLTYDDWTKSPESVQDRRQRFLKCWMGLSLDGNERVEEEFGNDSLNKIQLGVVDRMVDNSGAVLRTSSFEDGFLSAQSSMSSGSSEAWRQSFENGVLDGNFVCKIKNLDDGMEFLVDELDEDGMLSRLHEVGSNQSLSFEEFRRTFATSPLVERFLKKNVNDERDIAEAKRKDKRSWLKKLGLVRRIVDRQGTAASKTRDLESTAEARMQRVKVHPSKKNIKDMSSLFTGQEFLAHKGSILTIKFSLDGQYLASGGEDGVVRVWKVIEDDRSNHFDIPATDPSRLYFTMNHHSDLASLDVDMKKIYKMKRHGSSDSTCVVVPPKVFRVLEKPLHEFQGHSGEVLDLSWSKKRFLVSSSVDQTVRLWQVGCDRCLRVFSHNNYVTSVDFNPVDDNYFISGSIDGKVRIWEVLGCQVVDYTDIREIVTAVCYHPGGKGGLVGTMTGNCLFYDIIDNQLQLDAQICLQGKKKLPGKRITGFEFSPSDPSKVVVTSADSLVRVLCGLDVICKFRASGLRSVANQTSASFTSDGKHIISTSEDFNVHVWNYASQERTSRAKNIQSCESFLSQNASVAIPWRGIVTIPGTPSSLESTCGNSFKSDHTRPKFCGELDQKKLSSSSDCFSLARGFLLESLTRGSATWPEEKLPNSSPKTASPTKSRPEFKYLKNACHNMLSSHLWGLVIVTAGWDGRIRTYLNYGLPLRL >Potri.001G121200.2.v4.1 pep chromosome:Pop_tri_v4:1:9904990:9909799:1 gene:Potri.001G121200.v4.1 transcript:Potri.001G121200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121200.v4.1 MWCEMMDLFDPGKKMLMQGDFDLNSVQRHADSFKGVIKQTILKQEVIFRTQVHELHQLYRTQKTLMKDLGSKCCGGYNSWDANVQPSLPPFPNPSRIEPLAKETGISSISKVGPAPFASKELLHGCQDTYYRLKQMPLDLQLSANKLINHVEEDRPNRGHAWNHPLKEPIDVKHPLSANYSSGTEELKLSLTTRDDYRRTEGTLRTWFDKRTHQYCSVIDLESEERISDDSAKCTPSVGCATPETYSPGKYKKVSAFSSLIFLTSAEKDPSVEISESSYFQEHSECCQEQTSSNEGIMECHDDNLCNNLSTKMKQSSSHKGTDLDLNKAHFDDPSCFSNDPLVACPSPARSAGDSAAVIRSMQEETCPTTFWDKQVNSCSNEISDMLAENNFINATEVDLNSTTRSTDVWTGNSEQDGISGTLPNPTGPEPIPSPPVDISEDIDRYTGDHKNDNVVMKAKLANCLLHDLNQMPLDTRELSSEKSQVEDAVFSCIDQYQNDGHGNQSPVSCKSGIYDNDSNSGKTVHFGSMSGDVNTDLKTLSGSQVAGASSDEHDLRTSDSGDLKNECYDKKEESAEVDVLMKRAAESLVDMSLKNLICFQDSFAKEGSKEMRKETREQPQYTCDSFELMVMELTESNVDENSVTSKPYEVNDMETKDFGSKLRRGRRMKDFQKEILPALASLSRHEIHEDINIIEGVLRSREYRKIRGKMATNGENWSPLVRSRRSRLNNVGRRRSSSKFN >Potri.001G121200.3.v4.1 pep chromosome:Pop_tri_v4:1:9905576:9909750:1 gene:Potri.001G121200.v4.1 transcript:Potri.001G121200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121200.v4.1 MWCEMMDLFDPGKKMLMQGDFDLNSVQRHADSFKGVIKQTILKQEVIFRTQVHELHQLYRTQKTLMKDLGSKCCGGYNSWDANVQPSLPPFPNPSRIEPLAKETGISSISKVGPAPFASKELLHGCQDTYYRLKQMPLDLQLSANKLINHVEEDRPNRGHAWNHPLKEPIDVKHPLSANYSSGTEELKLSLTTRDDYRRTEGTLRTWFDKRTHQYCSVIDLESEERISDDSAKCTPSVGCATPETYSPGKYKKVSAFSSLIFLTSAEKDPSVEISESSYFQEHSECCQEQTSSNEGIMECHDDNLCNNLSTKMKQSSSHKGTDLDLNKAHFDDPSCFSNDPLVACPSPARSAGDSAAVIRSMQEETCPTTFWDKQVNSCSNEISDMLAENNFINATEVDLNSTTRSTDVWTGNSEQDGISGTLPNPTGPEPIPSPPVDISEDIDRYTGDHKNDNVVMKAKLANCLLHDLNQMPLDTRELSSEKSQVEDAVFSCIDQYQNDGHGNQSPVSCKSGIYDNDSNSGKTVHFGSMSGDVNTDLKTLSGSQVAGASSDEHDLRTSDSGDLKNECYDKKEESAEVDVLMKRAAESLVDMSLKNLICFQDSFAKEGSKEMRKETREQPQYTCDSFELMVMELTESNVDENSVTSKPYEVNDMETKDFGSKLRRGRRMKDFQKEILPALASLSRHEIHEDINIIEGVLRSREYRKIRGKMATNGENWSPLVRSRRSRLNNVGRRRSSSKFN >Potri.001G121200.1.v4.1 pep chromosome:Pop_tri_v4:1:9904757:9910186:1 gene:Potri.001G121200.v4.1 transcript:Potri.001G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121200.v4.1 MWCEMMDLFDPGKKMLMQGDFDLNSVQRHADSFKGVIKQTILKQEVIFRTQVHELHQLYRTQKTLMKDLGSKCCGGYNSWDANVQPSLPPFPNPSRIEPLAKETGISSISKVGPAPFASKELLHGCQDTYYRLKQMPLDLQLSANKLINHVEEDRPNRGHAWNHPLKEPIDVKHPLSANYSSGTEELKLSLTTRDDYRRTEGTLRTWFDKRTHQYCSVIDLESEERISDDSAKCTPSVGCATPETYSPGKYKKVSAFSSLIFLTSAEKDPSVEISESSYFQEHSECCQEQTSSNEGIMECHDDNLCNNLSTKMKQSSSHKGTDLDLNKAHFDDPSCFSNDPLVACPSPARSAGDSAAVIRSMQEETCPTTFWDKQVNSCSNEISDMLAENNFINATEVDLNSTTRSTDVWTGNSEQDGISGTLPNPTGPEPIPSPPVDISEDIDRYTGDHKNDNVVMKAKLANCLLHDLNQMPLDTRELSSEKSQVEDAVFSCIDQYQNDGHGNQSPVSCKSGIYDNDSNSGKTVHFGSMSGDVNTDLKTLSGSQVAGASSDEHDLRTSDSGDLKNECYDKKEESAEVDVLMKRAAESLVDMSLKNLICFQDSFAKEGSKEMRKETREQPQYTCDSFELMVMELTESNVDENSVTSKPYEVNDMETKDFGSKLRRGRRMKDFQKEILPALASLSRHEIHEDINIIEGVLRSREYRKIRGKMATNGENWSPLVRSRRSRLNNVGRRRSSSKFN >Potri.006G132400.1.v4.1 pep chromosome:Pop_tri_v4:6:10830563:10834370:-1 gene:Potri.006G132400.v4.1 transcript:Potri.006G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132400.v4.1 MMLDLNLSAVSGDSSTTGKNNKHKQKKILELSNPPLESSGSFDSSSIVNADGCGDEDSCSNGDLFAYNFSILSNEISVKKTVDCDNYNNDDGDDSGDRTIQLFPVACGIKNVGGESQSSSTMQMQWSGVGECRDQGSPSENGIVAQQKPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARVYDRAAIKFRGVDADINFNVTDYDEDIKQMRNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSETEAARAYDKAAIKCNGREAVTNFEPSTYEGEILSEPNNGDGNQNLDLNLGIAPPDTSDGLKVNSNMGGFYFQSGWDGLSIDRAPKILNSASATMKNQQPNGPGMTSVHPPTWDGVNHHIFPIYEERAIEKRMEVDSFPNWTRQIQGPYGGGANPLPLFSTAASSGFASSAIMAPSAAAGQLQFPSSTILHRFPPFTNPSNISQFYCRS >Potri.006G132400.3.v4.1 pep chromosome:Pop_tri_v4:6:10830688:10834370:-1 gene:Potri.006G132400.v4.1 transcript:Potri.006G132400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132400.v4.1 MMLDLNLSAVSGDSSTTGKNNKHKQKKILELSNPPLESSGSFDSSSIVNADGCGDEDSCSNGDLFAYNFSILSNEISVKKTVDCDNYNNDDGDDSGDRTIQLFPVACGIKNVGGESQSSSTMQMQWSGVGECRDQGSPSENGIVAQQKPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARVYDRAAIKFRGVDADINFNVTDYDEDIKQMRNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSTYEGEILSEPNNGDGNQNLDLNLGIAPPDTSDGLKVNSNMGGFYFQSGWDGLSIDRAPKILNSASATMKNQQPNGPGMTSVHPPTWDGVNHHIFPIYEERAIEKRMEVDSFPNWTRQIQGPYGGGANPLPLFSTAASSGFASSAIMAPSAAAGQLQFPSSTILHRFPPFTNPSNISQFYCRS >Potri.006G132400.2.v4.1 pep chromosome:Pop_tri_v4:6:10830752:10834365:-1 gene:Potri.006G132400.v4.1 transcript:Potri.006G132400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132400.v4.1 MMLDLNLSAVSGDSSTTGKNNKHKQKKILELSNPPLESSGSFDSSSIVNADGCGDEDSCSNGDLFAYNFSILSNEISVKKTVDCDNYNNDDGDDSGDRTIQLFPVACGIKNVGGESQSSSTMQMQWSGVGECRDQGSPSENGIVAQQKPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARVYDRAAIKFRGVDADINFNVTDYDEDIKQMRNFTKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSETEAARAYDKAAIKCNGREAVTNFEPSTYEGEILSEPNNGDGNQNLDLNLGIAPPDTSDGLKILNSASATMKNQQPNGPGMTSVHPPTWDGVNHHIFPIYEERAIEKRMEVDSFPNWTRQIQGPYGGGANPLPLFSTAASSGFASSAIMAPSAAAGQLQFPSSTILHRFPPFTNPSNISQFYCRS >Potri.010G115300.1.v4.1 pep chromosome:Pop_tri_v4:10:13475865:13477355:1 gene:Potri.010G115300.v4.1 transcript:Potri.010G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115300.v4.1 MAIPCPSIFGSEMVPIESGAMSPPPSPLILTQDELKKIAAYKAVEFVQSGMVLGLGTGSTAKHAVDRIADLLHQGKLKNIIGIPTSKKTHQQAVSLGIPLSDLDSHPIVDLAIDGADEVDSNLNLVKGRGGSLLREKMIESACKKFIVIVDESKLVPHVGACGAMPVEVVPFCWKFTQDKLQSLFDYAGCVAKLRSNNGGEDGEIFVTDNGNYIVDLFFKKDVGDLKIASDAILRLAGAVEHGMFLDMATTVIVAGELGITIKNK >Potri.018G129000.1.v4.1 pep chromosome:Pop_tri_v4:18:13980430:13981830:-1 gene:Potri.018G129000.v4.1 transcript:Potri.018G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129000.v4.1 MSLLKTFLKDYIYIPSRYFRNQRILKRDCAPTTLIVRGKKMASRLCFNIGFLIVASVGLLHGAYAANTYTVGGDLGWIVPPNNTYYEEWTSQRTFQIGDTFVFNWTTGTHTATEVSTKEEYDNCTKMGMILAFAGVKVTFNENGTHYFLCSEGKHCEQGQKMIIKIGDGIPPSFAAPSLTAAAALSALFFSTLAIFFLN >Potri.010G007899.1.v4.1 pep chromosome:Pop_tri_v4:10:1350758:1351562:-1 gene:Potri.010G007899.v4.1 transcript:Potri.010G007899.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007899.v4.1 MARQADRLYKIGLEGFALIDEWYGYPRRSSTPQEHHQQRYDYGGIQVPMMKMDVINNKEAAKHYGGVVIMDYRKKKLLY >Potri.017G031700.1.v4.1 pep chromosome:Pop_tri_v4:17:2164242:2168944:-1 gene:Potri.017G031700.v4.1 transcript:Potri.017G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031700.v4.1 MNYKGKMRAPSLLAQCLPGLAPQDRGSHSMSAVSERDVNLPTPAVEILPSKMVHPYKYSGENVEFQGLNVFKGRVNVADIIGFTGSEMISSKTDGYLKSWDSSIDLVNVLKHEIRDGQLSFRGKRVLELGCSYGIPGIFACLKGASTVHFQDQNAETIRCTTIPNVLANLEQARDRQSRQPESPLTPSRQMLAPSVHFYAGEWEELPTVLSVVRSDTFEVTTGMSLSFSEEDFMDGCSSQDGSIIGQETSSRRSRKLSGSRAWERASETGHGEGGYDVILMTDIPHSVSSLKKLYALIKKCLRPPYGVLYLATKRNYVGFSNGARQLRSLVDEEGVFGAHLVKEMTDRDVWKFFIK >Potri.017G031700.2.v4.1 pep chromosome:Pop_tri_v4:17:2164358:2168591:-1 gene:Potri.017G031700.v4.1 transcript:Potri.017G031700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031700.v4.1 MNYKGKMRAPSLLAQCLPGLAPQDRGSHSMSAVSERDVNLPTPAVEILPSKMVHPYKYSGENVEFQGLNVFKGRVNVADIIGFTGSEMISSKTDGYLKSWDSSIDLVNVLKHEIRDGQLSFRGKRVLELGCSYGIPGIFACLKGASTVHFQDQNAETIRCTTIPNVLANLEQARDRQSRQPESPLTPSRQMLAPSVHFYAGEWEELPTVLSVVRSDTFEVTTGMSLSFSEEDFMDGCSSQDGSIIGQETSSRRSRKLSGSRAWERASETGHGEGGYDVILMTDIPHSVSSLKKLYALIKKCLRPPYGVLYLATKRNYVGFSNGARQLRSLVDEEGVFGAHLVKEMTDRDVWKFFIK >Potri.002G261900.1.v4.1 pep chromosome:Pop_tri_v4:2:24935791:24936868:-1 gene:Potri.002G261900.v4.1 transcript:Potri.002G261900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G261900.v4.1 MRPKIMAGTFSAINWKIPPLASLSLLNHQIPAPFRILNSRFCYFRNPQVLKMPPANLQNHSPDIKEPSPKVPKLQHDNIPSYLLRVKKLSENAVLPSRGSPLSAGYDLSSASKAKVPARGKALIPTDLSIAIPEGTYARIAPRSGLTWKHSIDVGAGVIDADYRGPVGVILFNHSDVDFEVKVGDRIAQLIIEKIVTPNVMEVEDLDATVRGAGGFGSTGV >Potri.004G231600.2.v4.1 pep chromosome:Pop_tri_v4:4:23594469:23600521:-1 gene:Potri.004G231600.v4.1 transcript:Potri.004G231600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231600.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWQNTPRNWVGADPCGGKWEGISCYNSRVTSITLAAVGLTGELSGDISSLSELEVLDLSYNTGLSGTLPASIVNLKKLKNLKLVGCSFYGPIPELIGSLQLLESLDLNSNRFTGPIPHSIGNLSKLFLLDLSNNMLDGAIPVSSGTTSGLDMLVNANHFHLGGNQLSGTIPKELFRSNMTLIHVLLHDNNLTGSIPSTLGLVQTLEAVRFEGNSLTGPVPPNLNNLTTVKTLILSNNKFTGPVPNLTGMAYLSYLDLSNNIFDASDFPSSFSNLRALTTLMMENTGLEGPIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQREAIDMRNNLISSYSETPEQRNNVDVILVGNPVCERTEATEHYCTVHQAISSFLLPCTSDQISSPNSKFSYPYTGVLFFRPPFLESRNATYYQRPVEESLMRSFKNSSLPVDSVYVNCPTNDSLGNLESNVSVFPSGQNHFNTTTISKIGFVLNRQTIENPDIFGPSQFKGAAYPYFDGNPTESNKLSIIGSIIGAAAGGASFLLILLLAGVYAYRQKKSRERATYLDLKNSDRVPQLKGARCFSFDEITKSTNNFSEANHIGSGGYGMVYRGMLRTGQLIAIKRCRQGSVQGGLEFNAEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLKVALDAARGLAYLHELVNPRIIHRDVKSANILLDECLNAKVGDFGLSKPMDNSELILASTQVKGTMGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSRSLDRKKDLYSLHELLDPSIGLDTKPKGLDKIVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.004G231600.6.v4.1 pep chromosome:Pop_tri_v4:4:23594343:23600163:-1 gene:Potri.004G231600.v4.1 transcript:Potri.004G231600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231600.v4.1 MEFFLLFCPIPDAVTVMSILKDAWQNTPRNWVGADPCGGKWEGISCYNSRVTSITLAAVGLTGELSGDISSLSELEVLDLSYNTGLSGTLPASIVNLKKLKNLKLVGCSFYGPIPELIGSLQLLESLDLNSNRFTGPIPHSIGNLSKLFLLDLSNNMLDGAIPVSSGTTSGLDMLVNANHFHLGGNQLSGTIPKELFRSNMTLIHVLLHDNNLTGSIPSTLGLVQTLEAVRFEGNSLTGPVPPNLNNLTTVKTLILSNNKFTGPVPNLTGMAYLSYLDLSNNIFDASDFPSSFSNLRALTTLMMENTGLEGPIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQREAIDMRNNLISSYSETPEQRNNVDVILVGNPVCERTEATEHYCTVHQAISSFLLPCTSDQISSPNSKFSYPYTGVLFFRPPFLESRNATYYQRPVEESLMRSFKNSSLPVDSVYVNCPTNDSLGNLESNVSVFPSGQNHFNTTTISKIGFVLNRQTIENPDIFGPSQFKGAAYPYFDGNPTESNKLSIIGSIIGAAAGGASFLLILLLAGVYAYRQKKSRERATYLDLKNSDRVPQLKGARCFSFDEITKSTNNFSEANHIGSGGYGMVYRGMLRTGQLIAIKRCRQGSVQGGLEFNAEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLKVALDAARGLAYLHELVNPRIIHRDVKSANILLDECLNAKVGDFGLSKPMDNSELILASTQVKGTMGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSRSLDRKKDLYSLHELLDPSIGLDTKPKGLDKIVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.004G231600.1.v4.1 pep chromosome:Pop_tri_v4:4:23594403:23600332:-1 gene:Potri.004G231600.v4.1 transcript:Potri.004G231600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231600.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWQNTPRNWVGADPCGGKWEGISCYNSRVTSITLAAVGLTGELSGDISSLSELEVLDLSYNTGLSGTLPASIVNLKKLKNLKLVGCSFYGPIPELIGSLQLLESLDLNSNRFTGPIPHSIGNLSKLFLLDLSNNMLDGAIPVSSGTTSGLDMLVNANHFHLGGNQLSGTIPKELFRSNMTLIHVLLHDNNLTGSIPSTLGLVQTLEAVRFEGNSLTGPVPPNLNNLTTVKTLILSNNKFTGPVPNLTGMAYLSYLDLSNNIFDASDFPSSFSNLRALTTLMMENTGLEGPIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQREAIDMRNNLISSYSETPEQRNNVDVILVGNPVCERTEATEHYCTVHQAISSFLLPCTSDQISSPNSKFSYPYTGVLFFRPPFLESRNATYYQRPVEESLMRSFKNSSLPVDSVYVNCPTNDSLGNLESNVSVFPSGQNHFNTTTISKIGFVLNRQTIENPDIFGPSQFKGAAYPYFDGNPTESNKLSIIGSIIGAAAGGASFLLILLLAGVYAYRQKKSRERASKHKNHFAYLDLKNSDRVPQLKGARCFSFDEITKSTNNFSEANHIGSGGYGMVYRGMLRTGQLIAIKRCRQGSVQGGLEFNAEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLKVALDAARGLAYLHELVNPRIIHRDVKSANILLDECLNAKVGDFGLSKPMDNSELILASTQVKGTMGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSRSLDRKKDLYSLHELLDPSIGLDTKPKGLDKIVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.019G086800.1.v4.1 pep chromosome:Pop_tri_v4:19:12596669:12600780:1 gene:Potri.019G086800.v4.1 transcript:Potri.019G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086800.v4.1 MPPPKTTTTTLPCSIPTLFLAFTTLSFLCFSLFFLYNKNPSFPNPQTTLQTSQNSIKVYVADLPRSLNYGLLDQYWSSSIPDTRISSDPDHQIRPKPTKNQKFLDYPENPLIKQYSAEYWITGDLMTPEKLKFRSFAKRVFDCNEADVVFVPFFATLSAEMELAKGKGSFRRKEGNEDYRRQKQVVDIVRNSDAWKRSGGKDHVFVLTDPVAMWHLRAEIAPAILLVVDFGGWYRLDSKSSNGSSSDMIQHTQVSLLKDVIVPYTHLLPRLQLSENKKRSTLLYFKGAKHRHRGGIVREKLWDLLVNEPGVIIEEGFPNATGREQSIRGMRSSEFCLHPAGDTPSSCRLFDAIQSLCIPVVVSDNIELPFEGMVDYTEFAVFVAVDDALKPRWLVDRLRSISVKQRNEFRRNMAKVQPILQYDNGHPGGIGPISPDGAVNHIWKKVLQKLPAIKEAVVRERRKPPGVSVPLRCHCT >Potri.010G210100.1.v4.1 pep chromosome:Pop_tri_v4:10:19922074:19930483:-1 gene:Potri.010G210100.v4.1 transcript:Potri.010G210100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210100.v4.1 MMIPRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDASAEAAAAAAQAEAEEEIDEAEEMEAPPAAVPFSRLFACADRLDWGLMIVGSLAAAAHGTALVVYLHFFGKIIGVLRIQQGERFDRFTNLAMHIVYLAVGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLVIGFVNCWQIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASIAEQALSYTRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTDHKAHGGEIVTALFAVILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSTVNQDGDSLVAVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKAVALVGRNGSGKSSIIPLMERFYDPTLGEVLLDGENIKNLKLESLRSQVGLVTQEPALLSLSIIDNISYGRDATMDQIEEAAKIAHAHTFISSLEKGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELLTLDGLYAELLKCEEAAKLPRRMPVRNYTETAAFQVEKDSSTGHSYQEPSSPKMAKSPSLQRVPGIFRPPDGMFNSQESPKVLSPPPEKMIENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSAHRHTSNGSGPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDVPIKVKEARDVKHQKEPPFWRLAELSLAEWLYAVLGSIGAAIFGSFNPLLAYVISLIVTAYYRQEHHLRQDVDRWCLMIAIMGIVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEDNSADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAVVIGMLLQWRLALVALATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDAVRNIYTVVAFCAGNKVMELYRLQLKKIFKQSFVHGMAIGFGFGFSQFLLFACNALLLWYTAYSEKNLHVDLHTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDREPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEVLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIKENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLVMGNKTTILIAHRAAMMRHVDNIVVLNGGRIVEEGTHNSLMAKNGLYVRLMQPHFGKGLRQHRLI >Potri.011G047100.2.v4.1 pep chromosome:Pop_tri_v4:11:3732923:3738091:1 gene:Potri.011G047100.v4.1 transcript:Potri.011G047100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047100.v4.1 MFELPLYFECFCCSSRQKLEISPLSISCLNKYLWFTIIFILVTFLYVNSGSSLKVMSTGIFCGLKPLLFGGKTSRFEVVKSAHLVKGQKKESQEPKMERSRSRGSPGNFILMMELRKKIITFRDIIDLPPFDGSLSINELVMGTMKDLHKFYPEIIPSSQLSEVKGASIDKVLIYFCEALKSIGDSWMMNQEWMDKATYNMYNNNDHRINSEQIVEIALATLTCLIKIPREMFDVMDEYEPNKDCSKSNAFSKILTGSYSDINSYSPCGASPETPTSVLPQFMGSPASVEFANFYCSSPRLRSLRAQALGKLNPMDIKRLSFQYMPSNLETQDGNSLNRKDSVDDETMAEMEAKSKPLYQTSNSDEELMFEMEASSNSEVKKASGIEDPRDYSSKTRMPQIAASEITIVTTKATGLLKPSAALSQNASQVPPAQPLISSPMMVDVVATPLQPPSTPQPLKLQPDVAIPQPPPPPSLPPIVLQPTTVTVGSVPLPPPPPPTMSKTVAAAAAPPPPPPMAFGTATVALPPPPMLSGSGTVSPTPPPPPPPQTSTARTASPPPPPPMMSSKGSMPLPPPPPPMPLGNGAAPPPPPPGAARSLRPKKTQTKLKRSSQMGTLYRALKGKVEGGNQVTKSSSGRKGPASSSAGGKQGMADALAEITKRSAYFQQIEEDVQKHAKAVTELKATISSFKTKDLTELIKFHKHVESILENLTDETQVLARFEGFPQKKLEALRTAAALGSKLNGVVSELQNWKIQPPLGQLLDKAECYFNKIKGDLDALERTKDEESKKFRSHNIDFDFYILVQIKESMVDVSSNCMELALKERRQAKAAGKAVTRTKTEPKKACAKMLWRAFQFAFRVYSFAGGHDDRADKLTRELAHEIETDSHDQ >Potri.002G079700.1.v4.1 pep chromosome:Pop_tri_v4:2:5608526:5611241:-1 gene:Potri.002G079700.v4.1 transcript:Potri.002G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079700.v4.1 MGENTSAENQLPHQVFSVSIDTNPRSGSEWFDDDGRPKRTGTVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMLLFSLVTYYTSTLLSACYRSGDPITGKRNYTYMDVVRANLGDVKVKICGFVLYLNPFGVATGYTIASSISMMAIKRSNCFHKSGGKNPCRMNANPYMIGFGITEILLSQIPGFDQLHWLSLVAAVMSFTYASIGLGLGIGKVIENGKISGSLTGISIGTVTQTQKIWISFQALGNIAFAYSFSMILVEIQDTIKSPPSEAKTMKKATLISVVVTTLFYMFCGCFGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVSCQPLYAFIEKEAAQRFPDSEFITKDINIPIPGFRPYNLNLFRMIWRTLFVVLTTVISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYIVQKKIPKWSTRWLCLQILSVACLIITIAAAAGSVAGIVGDLKSIKPFQTSY >Potri.018G098400.4.v4.1 pep chromosome:Pop_tri_v4:18:11902002:11909426:-1 gene:Potri.018G098400.v4.1 transcript:Potri.018G098400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098400.v4.1 MKFLSIMGNSLGCSASGERLVSAARDGDIQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLLESGIDINLRNYRGQTALMQACQHGHWEVVLTLMLFKANIHRADYLNGGTALHLAALNGHSRCIRLLLADYIPSIADCWEILSKGSKDNESISEFDGSALREVINRPADGGITALHMAALNGHVESVQLLLDLGASVYEVTVEDGTTIDLIGAGSTALHYAACGGNSQCCQILIARGANLTAENANGWTPSMVACSWHRNELEEILSSQPGNRSQICPSSYLSIPFMSIVKIARECGWRNNDSLPTCEDACVVCLERKCTVAAEGCRHEFCTRCALYLCSAICTSTVAQGPTGSVACPLCRHGIVSFVKLPGTKPLVKAIARTSLSLSFCTCSGEEQDFTSMKTLLCKPDFQCTRISPLSSSFRSLSCRKFPSMNFNASRCMGTSDTSPSLVPCTIDRNLRECLVRCSRSRIRQSTSNTERRRSWLSALNQYVTTGTGC >Potri.018G098400.5.v4.1 pep chromosome:Pop_tri_v4:18:11902242:11909206:-1 gene:Potri.018G098400.v4.1 transcript:Potri.018G098400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098400.v4.1 MKFLSIMGNSLGCSASGERLVSAARDGDIQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLLESGIDINLRNYRGQTALMQACQHGHWEVVLTLMLFKANIHRADYLNGGTALHLAALNGHSRCIRLLLADYIPSIADCWEILSKGSKDNESISEFDGSALREVINRPADGGITALHMAALNGHVESVQLLLDLGASVYEVTVEDGTTIDLIGAGSTALHYAACGGNSQCCQILIARGANLTAENANGWTPSMVACSWHRNELEEILSSQPGNRSQICPSSYLSIPFMSIVKIARECGWRNNDSLPTCEDACVVCLERKCTVAAEGCRHEFCTRCALYLCSAICTSTVAQGPTGSVACPLCRHGIVSFVKLPGTKPLVKAIARTSLSLSFCTCSGEEQDFTSMKTLLCKPDFQCTRISPLSSSFRSLSCRKFPSMNFNASRCMGTSDTSPSLVPCTIDRNLRECLVRCSRSRIRQSTSNTERRRSWLSALNQYVTTGTGC >Potri.013G083800.2.v4.1 pep chromosome:Pop_tri_v4:13:7535991:7537444:1 gene:Potri.013G083800.v4.1 transcript:Potri.013G083800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083800.v4.1 MDCSICCSMPLILRPPRNTICGACYEGAKSVITLMNKLESDETADKATNSLPSSPNPCKPQPLANIPRWMISMKDRESELNEKISFLSSFIALFKDQILTDIQLKPGNDGPSISAHRALLAARSEIFKNMLDSDAYKAPASDTIMLPELNHQELESLLEFLYSGNLPSEKLEKHVYSLTLAADKYDIPYLLKFCERHMLRFLNSSNALDVLEISDTCSNKTLKETALNFIVKNMEDVVFSTKYEAFVPENPHLAVQITRALLMDVKNRRNSGV >Potri.003G185800.2.v4.1 pep chromosome:Pop_tri_v4:3:19076822:19080754:1 gene:Potri.003G185800.v4.1 transcript:Potri.003G185800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185800.v4.1 MQVVKLVSLFSFLLLMLVFQPASARAPAGLAKPNCSDHCGNISIPYPFGIGKDCYMEESFDVECDETSNPPRAILRSIKMELVNFTIGRGAVVKGPVISRSVESLGRQEVLPLNLEGTPFTPYYNYFIAVGCNTRASLWTKNGSTEHVGCDSICSNGSSISNIRLEIGACSGKDCCQDMYWPPSLQVFNSSFELIESKQGSYGRILAFLADTDWFDSKIWSTQDINKLPSTVPMLLGWILNNNSWTYNEDTMDCYVTRINSTTNMTAGRCSCSEGYEGNPYLQCRDIDECEDRNSTCHGLMTRCVNTKGSYKCELHPLWFTILVIGLALGVLFLLIGAWWMSKLIKRRKCIQLKKKFFKRNGGLLLQQQLSSSDGSVHKTKICSSNELEKATDFFNENRILGHGGQGTVYKGMLADGSIVAVKKSTIVDEEKLEEFINEVVILSQISHRNVVRLLGCCLETDVPLLVYEFIPNGTLSQYLHEQNEDFTLSWESRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVSIDQTHLTTKVQGTFGYLDPEYFRTSQLTEKSDVYSFGVVLVELLSGKKTIFLTHSLETMSLVEHFIDLMEDGRLFDIIDAQVKGDCTEEEAIVIANLAKRCLDLNGRNRPTMREVAMELEGILLSRKGINVQQIVEVDSSFRSISCSSFEIGIDLPLDCKPSTSSETW >Potri.002G218300.1.v4.1 pep chromosome:Pop_tri_v4:2:20873987:20874780:1 gene:Potri.002G218300.v4.1 transcript:Potri.002G218300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218300.v4.1 MSVEILDGATIVNFLEDEEAFSAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGVDVETDPDELALVYGSLFVQFDHDLNGTVDLEEFKSETKQMMLAMASGMGFLPVQMVLEEDSFLKKAVEWESAKLVA >Potri.001G274700.1.v4.1 pep chromosome:Pop_tri_v4:1:28833416:28837204:-1 gene:Potri.001G274700.v4.1 transcript:Potri.001G274700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274700.v4.1 MGNCCSRGGAQDASTNKEENTEGANPTNVRTDAPSTTPPRTAPPSPPPGASPKPAKVAPIGPVLGRPMEDVKSIYSIGKELGRGQFGITHLCTNKVTGEQFACKTIAKRKLVNKEDVEDVRREVQIMHHLTGQPNIVELKGAYEDKHSVHLVMELCAGGELFDRIIAKGHYTERAAASLLRTIVQIIHTCHSMGVIHRDLKPENFLLLNKQENSPLKATDFGLSVFYKSGEVFKDIVGSAYYIAPEVLKRRYGPEADVWSVGVMLFILLSGVPPFWAESEHGIFNAILRGHIDFTSDPWPSISPQAKDLVRKMLTSDPKQRMTAIQVLGHPWIKEDGEAPDTPLDNAVLSRLKQFKAMNNFKKVALRVIAGCLSEEEIMGLKEMFKGMDTDNSGTITLEELKQGLAKQGTKLSEYEAKQLMEAADADGNGIIDYDEFITATMHMNRMDREELLYTAFQHFDKDNSGYITTEELEQALRDFGMHDGRDIKEIISEVDADNDGRINYDEFVAMMRKGNPEANPKKRRDDVFV >Potri.004G210400.4.v4.1 pep chromosome:Pop_tri_v4:4:21687934:21694196:-1 gene:Potri.004G210400.v4.1 transcript:Potri.004G210400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210400.v4.1 MATGAVPASFSGLKMRESSLGFGKSVDFVRICDIKRIKSGRKRISMIQNSNTGPGIVELQPASEGSPLLVPRKKYCESINKTVRRKTRTVLVGNVPLGSEHPVRVQTMTTTDTKDVAATVEQVMRIADKGADIVRITVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVAECFDKIRVNPGNFADRRAQFEKLEYTEDDYEKELEHIENVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRRLANLGMRAAEIQQGVAPFEEKHRHYFDFQRRSGQLPVQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKIVVGMPFKDLATVDSIFLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPCEELEILEHVDATMILHVLPLSEDKSGRVHAARRLFEYLVDKALSVPVIHHIQFPKGVRRDDLVIGAGTDAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAEIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVRRGIEMEHATDALIQLIKDNGRWVDPPAEG >Potri.004G180900.3.v4.1 pep chromosome:Pop_tri_v4:4:19526424:19528909:1 gene:Potri.004G180900.v4.1 transcript:Potri.004G180900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180900.v4.1 MHAKTDSDGTSLDNSWLPRSPRRPLYYVQSPSNHDAEKMSYGSSPTVSPAHLYYHSSPIHHSRESSASIFSNSLKIPRSLSAWKHIRIGNQDGAVHDGAEGDKDGDDWGSGARNVRLYFIGFLFFVLLFTVFCLILWGASKAYEPEISVKSMVFENFYVQAGNDQSGVPTDMLSLNSTVKIHYKNPATFFAVHVTSTPLEIHYFQLKLASGQMKKFSQSRKSKRTVATVVHGSQVPLYGGLPLLSNARAHGNKVALPLNLTFVLRSRAFILGRLVKSKFHKRVRCTVALTGQKLGKPHRLTNACLHH >Potri.004G180900.4.v4.1 pep chromosome:Pop_tri_v4:4:19526584:19527069:1 gene:Potri.004G180900.v4.1 transcript:Potri.004G180900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180900.v4.1 MHAKTDSDGTSLDNSWLPRSPRRPLYYVQSPSNHDAEKMSYGSSPTVSPAHLYYHSSPIHHSRESSASIFSNSLKIPRSLSAWKHIRIGNQDGAVHDGAEGDKDGDDWGSGARNVRLYFIGFLFFVLLFTVFCLILWGASKAYEPEISVKVSLFSSKYLPS >Potri.004G180900.1.v4.1 pep chromosome:Pop_tri_v4:4:19526196:19528994:1 gene:Potri.004G180900.v4.1 transcript:Potri.004G180900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180900.v4.1 MHAKTDSDGTSLDNSWLPRSPRRPLYYVQSPSNHDAEKMSYGSSPTVSPAHLYYHSSPIHHSRESSASIFSNSLKIPRSLSAWKHIRIGNQDGAVHDGAEGDKDGDDWGSGARNVRLYFIGFLFFVLLFTVFCLILWGASKAYEPEISVKSMVFENFYVQAGNDQSGVPTDMLSLNSTVKIHYKNPATFFAVHVTSTPLEIHYFQLKLASGQMKKFSQSRKSKRTVATVVHGSQVPLYGGLPLLSNARAHGNKVALPLNLTFVLRSRAFILGRLVKSKFHKRVRCTVALTGQKLGKPHRLTNACVYH >Potri.009G151300.2.v4.1 pep chromosome:Pop_tri_v4:9:11926098:11929170:-1 gene:Potri.009G151300.v4.1 transcript:Potri.009G151300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151300.v4.1 MAADYQYNNPLLEDFLFPPYDAIQPKHVSPAILSLLDQLESNLVELETRGEPTWPKLVEPLEKIKDRLSVVWGIVNHLNAVMDSPELRSAIEEVQPEKLRFASVDLELHKNYIPGGLESVFDIDQRVSRKTQVMPLQPEDRFLCSFSHIFADTYAAGYYCYQWAEVLAADAFSAFEEAGLNNEKAVKETGIKFRETVLALGGGKSPLQVIPV >Potri.009G151300.1.v4.1 pep chromosome:Pop_tri_v4:9:11926098:11929170:-1 gene:Potri.009G151300.v4.1 transcript:Potri.009G151300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151300.v4.1 MAADYQYNNPLLEDFLFPPYDAIQPKHVSPAILSLLDQLESNLVELETRGEPTWPKLVEPLEKIKDRLSVVWGIVNHLNAVMDSPELRSAIEEVQLRFASVDLELHKNYIPGGLESVFDIDQRVSRKTQVMPLQPEDRFLCSFSHIFADTYAAGYYCYQWAEVLAADAFSAFEEAGLNNEKAVKETGIKFRETVLALGGGKSPLQVFIDFRGREPSPEPLRRYYGLKPALAVS >Potri.009G151300.4.v4.1 pep chromosome:Pop_tri_v4:9:11926098:11929170:-1 gene:Potri.009G151300.v4.1 transcript:Potri.009G151300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151300.v4.1 MAADYQYNNPLLEDFLFPPYDAIQPKHVSPAILSLLDQLESNLVELETRGEPTWPKLVEPLEKIKDRLSVVWGIVNHLNAVMDSPELRSAIEEVQPEKVKFQLRLDQSKPVYTAFKAIRQSSEWESLNELRFASVDLELHKNYIPGGLESVFDIDQRVSRKTQVMPLQPEDRFLCSFSHIFADTYAAGYYCYQWAEVLAADAFSAFEEAGLNNEKAVKETGIKFRETVLALGGGKSPLQVIPV >Potri.018G114700.10.v4.1 pep chromosome:Pop_tri_v4:18:13124180:13128944:-1 gene:Potri.018G114700.v4.1 transcript:Potri.018G114700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114700.v4.1 MEDYPDKILMNSAEQCCPVIEVQNSAEESSVVSNTVTGSRSSDTETGGSSGNRIRASEGTSEKYGYRNLDTNSRGKCLSDSKELVGPDQVSDSHDESGRNISIAEASTSFKERQSSDPVSMNVSTNMDSVNGIENSENEGFSQIYPDIIHTSSSNSPRHGDSCSNGLAFDNHMGEVTDMPNSNSDSVNHRSIVPVTFRSTQDESIQDPVPSDLGLLVPNREQGRTDGSVLHVDVVSISSSIYSSSNSDTSSREARRNSRRLFRDAFSRRSSRRHVDSPTIVFSTDNTDDLESHDRWLLDFSGDFFDDGIGSDSGYMSRRIHRLNERRRHSRSEIWERLRGGLDENGRRTTSCPSGLHPDGTCSCESFLMAEESSARGSISRIVMLAEALFEVLDEIHRQPVSLSLSMMSLPAPESVVDSFPLKNHKKLDRVKGNDEDEQCYICLAEYEEGDKIRVLPCHHEYHMVCVDKWLKEIHGVCPLCRGDVREGGASEPSIPNPEITST >Potri.018G114700.9.v4.1 pep chromosome:Pop_tri_v4:18:13124179:13128942:-1 gene:Potri.018G114700.v4.1 transcript:Potri.018G114700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114700.v4.1 MGSNSSRPGSRTSRTRPNSRSSRFFSSLICGGSSSSRTARHEMEDYPDKILMNSAEQCCPVIEVQNSAEESSVVSNTVTGSRSSDTETGGSSGNRIRASEGTSEKYGYRNLDTNSRGKCLSDSKELVGPDQVSDSHDESGRNISIAEASTSFKERQSSDPVSMNVSTNMDSVNGIENSENEGFSQIYPDIIHTSSSNSPRHGDSCSNGLAFDNHMGEVTDMPNSNSDSVNHRSIVPVTFRSTQDESIQDPVPSDLGLLVPNREQGRTDGSVLHVDVVSISSSIYSSSNSDTSSREARRNSRRLFRDAFSRRSSRRHVDSPTIVFSTDNTDDLESHDRWLLDFSGDFFDDGIGSDSGYMSRRIHRLNERRRHSRSEIWERLRGGLDENGRRTTSCPSGLHPDGTCSCESFLMAEESSARGSISRIVMLAEALFEVLDEIHRQPVSLSLSMMSLPAPESVVDSFPLKNHKKLDRVKGNDEDEQCYICLAEYEEGDKIRVLPCHHEYHMVCVDKWLKEIHGVCPLCRGDVREGGASEPSIPNPEITST >Potri.018G114700.8.v4.1 pep chromosome:Pop_tri_v4:18:13124182:13128944:-1 gene:Potri.018G114700.v4.1 transcript:Potri.018G114700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114700.v4.1 MGSNSSRPGSRTSRTRPNSRSSRFFSSLICGGSSSSRTARHEMEDYPDKILMNSAEQCCPVIEVQNSAEESSVVSNTVTGSRSSDTETGGSSGNRIRASEGTSEKYGYRNLDTNSRGKCLSDSKELVGPDQVSDSHDESGRNISIAEASTSFKERQSSDPVSMNVSTNMDSVNGIENSENEGFSQIYPDIIHTSSSNSPRHGDSCSNGLAFDNHMGEVTDMPNSNSDSVNHRSIVPVTFRSTQDESIQDPVPSDLGLLVPNREQGRTDGSVLHVDVVSISSSIYSSSNSDTSSREARRNSRRLFRDAFSRRSSRRHVDSPTIVFSTDNTDDLESHDRWLLDFSGDFFDDGIGSDSGYMSRRIHRLNERRRHSRSEIWERLRGGLDENGRRTTSCPSGLHPDGTCSCESFLMAEESSARGSISRIVMLAEALFEVLDEIHRQPVSLSLSMMSLPAPESVVDSFPLKNHKKLDRVKGNDEDEQCYICLAEYEEGDKIRVLPCHHEYHMVCVDKWLKEIHGYCYCHPPAFLLLMFSFFLNRIHNHFFSFSGVKFLSHPSSPLLSPLMVFYRVCPLCRGDVREGGASEPSIPNPEITST >Potri.003G010763.1.v4.1 pep chromosome:Pop_tri_v4:3:1338599:1339614:-1 gene:Potri.003G010763.v4.1 transcript:Potri.003G010763.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010763.v4.1 MTTLFSKLLTKADIENGLSIPASTLGPLPFQEGHSMNMHVHDGNGQEWIFSCSIKGNESVGRFLSVGWIEFVRERNLQVDDNVTILEEVMNNRATGTWIKIEVKRKIRLFGKDIWADVK >Potri.014G160400.3.v4.1 pep chromosome:Pop_tri_v4:14:11481974:11486815:1 gene:Potri.014G160400.v4.1 transcript:Potri.014G160400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160400.v4.1 MAEIMFKVTVIWRGNKFIVGMNTDASVKDLGDELQKLTDIKADTMRLIVPRFSNKSSKLLFPFSDEHSQLSLQEASIMEGKFIRMLGVSEDEVDKVLQNAKVDLRIAGFDEEEKRMRQRMSDRPRGLLKLPQGPYIFCDFRTLQIPGVELNPPAPEALKRMHMLAADPGIVAIMNKHRWRIGIMTEMAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMLYSEHDANFYALDKQLNQEAASLDWTKSRGHTLSGVNHQDQDSEDFYVSDSRSSSVKLGGNVSNQLASARASSVAAAYHRLADASSNSLGASEVHEEPDPDDSIFNMHKEPGAKGQVEKGKVDIENQHKSQWKPHHQPDPDEHPFNQNKNEPDPDDSQGNHHEVMDILNGGIRPDKTIDEPDPDDSQGNHHEVMDIVNGGICPAKTIDEPDPDDSQGNHHEAMDILNIGICPAKTIDEPDPDDSQGNHHEVMDTLNGGIRADKTFDEPDPDDCLVTENIEDHLHLKKAYKEPDPDESETNQVVQAEPDPDDDLAASDEVSRMQIDEPDPDDEELRRIQDPVSVVCSRLQKATETLRAELNSTEAAAALQTLFKIIRNVIEHPDQSKFKRLRKANPIIQKNVASHQAAVEIVHVVGFSEEVSYDETGKADTYLVLKRNDPGLLWLAKSTLEACMA >Potri.014G160400.2.v4.1 pep chromosome:Pop_tri_v4:14:11481729:11487006:1 gene:Potri.014G160400.v4.1 transcript:Potri.014G160400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160400.v4.1 MNVYNPERENPPPNNTHQEHNTRMAEIMFKVTVIWRGNKFIVGMNTDASVKDLGDELQKLTDIKADTMRLIVPRFSNKSSKLLFPFSDEHSQLSLQEASIMEGKFIRMLGVSEDEVDKVLQNAKVDLRIAGFDEEEKRMRQRMSDRPRGLLKLPQGPYIFCDFRTLQIPGVELNPPAPEALKRMHMLAADPGIVAIMNKHRWRIGIMTEMAPVGYVGVSPKCILGFNKNHGEEISLRLRTDDLKGFRKYESIKKTLLHELAHMLYSEHDANFYALDKQLNQEAASLDWTKSRGHTLSGVNHQDQDSEDFYVSDSRSSSVKLGGNVSNQLASARASSVAAAYHRLADASSNSLGASEVHEEPDPDDSIFNMHKEPGAKGQVEKGKVDIENQHKSQWKPHHQPDPDEHPFNQNKNEPDPDDSQGNHHEVMDILNGGIRPDKTIDEPDPDDSQGNHHEVMDIVNGGICPAKTIDEPDPDDSQGNHHEAMDILNIGICPAKTIDEPDPDDSQGNHHEVMDTLNGGIRADKTFDEPDPDDCLVTENIEDHLHLKKAYKEPDPDESETNQVVQAEPDPDDDLAASDEVSRMQIDEPDPDDEELRRIQDPVSVVCSRLQKATETLRAELNSTEAAAALQTLFKIIRNVIEHPDQSKFKRLRKANPIIQKNVASHQAAVEIVHVVGFSEEVSYDETGKADTYLVLKRNDPGLLWLAKSTLEACMA >Potri.019G043101.4.v4.1 pep chromosome:Pop_tri_v4:19:5965243:5968714:1 gene:Potri.019G043101.v4.1 transcript:Potri.019G043101.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043101.v4.1 MMNHLKYYQTRAFLSRSFTFSSTKHPKPLSKILPFHHFHTNSISPTKTHLYASFFCTLIHLYLTCGRLSKATDTFYDMIRTHHIVPTLPLWNRLIYQFNATGLVSQVWDLYSEMLSCGVLPNVFTHNILVHAWCKMGHLSLALDLIRNVDIDVDTVTYNTAIWGFCQQGLANQGFGFLSIMVKKDTFFDSFTCNILVKGFCRIGSVKYGEWVMDNLVNGGICKDAVGFNTLIDGYCKVGEISYAFELMERMRNEGVFPDIVTYNTLINGCSKRDDFDRAKSLIDEILGFRKKKDCAFRKIDDMNDDDGTTNLEPNLVTYTTLISAYCKQHGLSEALSLYEEMISDGFLPDVVTYSSIINGLCKRGMLTEAKALLREMDKMGVNPNHVVYAILVDSLFKAGSAWESFIYQSQMIVCGVSFDLVVCTTLIDGLFKAGKSDEAEAMFCTLAKLNCIPNNITYTAMIDGYCKLGDMDGAESLLRDMEKKQVVPNVVTYSSIINGYTKKGMLDVAVRIMKKMLDQNIMPNAYIYATLIDGHLKAGKQDAAVDLYNEMKLNGLEENSFIVDAFINNLKRGRKMEEAEGLCKYMMSKGLLLDRVNYTSLMDGFFKTGRESAAFTMAEKMAETGIKFDVVAYNVLINGLLRLGKYDAESVYSGIRELGLAPDRATYNTMINAYCKQGKLENAIKLWNEMKGHSVMPNSITCNILVGGLSKAGETERAIDVLNEMLLWGICPNVTIHRALLNACSKCERADTILQMHKRLVGMGLKANREVYNSLITVLCGLGMTKRATLVLNNMTKEGISADTVTYNALIHGHFKSSHIEKALATYTQMLNEGVSPGIRTYNLLLGGLLAAGLMSKAYEILSKMKDSGLDPDASLYNTLISGHGKIGNKKEAIKFYCEMVTKGLVPKTSTYNVLIEDFAKVGKMDQARELLNEMQVRRVPPNSSTYDILICGWCNLSKQPELDRISKKTYRTEARTLFAEMNEKGFVPCENTLACISSTFARPGMVVDAKHMLKDMYKRKQS >Potri.006G179500.1.v4.1 pep chromosome:Pop_tri_v4:6:18623674:18625542:1 gene:Potri.006G179500.v4.1 transcript:Potri.006G179500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179500.v4.1 MDTVFVLVSSLPLIFSTHFALTIANNLEFSSIQPTRLVTKLIHRDSIVSPYYRSNDTVADRTERTMKASLARLSYLYAKIERDFDINDLWLNLHPSASEPLFLVNFSMGQPPVPQLAIMDTGSSLLWIQCAPCKSCSQQIIGPMFDPSISSTYDSLSCKNIICRYAPSGECDSSSQCVYNQTYVEGLPSVGVIATEQLIFGSSDEGRNAVNNVLFGCSHRNGNYKDRRFTGVFGLGSGITSVVNQMGSKFSYCIGNIADPDYSYNQLVLSEGVNMEGYSTPLDVVDGHYQVILEGISVGETRLVIDPSAFKRTEKQRRVIIDSGTAPTWLAENEYRALEREVRNLLDRFLTPFMRESFLCYKGKVGQDLVGFPAVTFHFAEGADLVVDTESMFYQATPNIFCMAVRQASVYGKDFKDFSVIGLMAQQYYNVAYDLNKHKLFFQRIDCELLDE >Potri.003G159500.1.v4.1 pep chromosome:Pop_tri_v4:3:16978111:16978962:-1 gene:Potri.003G159500.v4.1 transcript:Potri.003G159500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159500.v4.1 MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKVGDYVDIKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVVINKQVGNRIIGKRIHVRVEHVQPSRCREEFKLRKKKNDELKAEAKARGEKISTKRQPQGPKPGFMVEGATLETFTPIPYDVVNDLKGGY >Potri.008G061600.2.v4.1 pep chromosome:Pop_tri_v4:8:3693588:3694090:1 gene:Potri.008G061600.v4.1 transcript:Potri.008G061600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G061600.v4.1 MYAPKQLLASVLLLLIISAISQYPGAIEARPLTLQQGKGKRSYSCPIMYGLAGILSYNIIYLGFLTGNSKRFFASLGLECKCCDGAKGECRSSWDTSCPKLKCHPWKSH >Potri.004G097100.1.v4.1 pep chromosome:Pop_tri_v4:4:8362110:8365367:1 gene:Potri.004G097100.v4.1 transcript:Potri.004G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097100.v4.1 MHPLSTAAATSFLSTLLLLLHLTATSPSNDMSNLSNCNQTFSCGALTNITYPFTGGERPYHCGPPEFQLTCDGNSLTTLKANSQTYRVTQVDQANQTLRLSPLDFYGDNPCTYPSTSTTFDNVIFSLGSNHETLSLFYGCKNLGGYVEANSKFSCGGPGDSEEGFFIIGDHPPVDRCQTSFQVPFPRSWAQQPQAEGLSLLVKVLKEGFDVSYRNPYSADCQKCYKHSGRQCGFDGKVPICICDDQLCTEKSSNRKPLIIGVSLASGAVLVIFVGCWIMVVKQMKKRKSALVQSECLPAVAPTSGNGLATINFFRTTPSLAISKSDLDKGSTYLGVRVFSYNELEEATNCFDSSKELGDGGFGTVYYGVLRDGCVVAVKRLYESNMRRAEQFMNEIEILAHLRHKNLVELYGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTWPVRLSIAIETASALAYLHASDVIHRDVKTNNILLDNDFHVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYYQCYHLTNKSDVYSYGVVLIELISALEAVDITRHRHDINLSNMAVNKIQNHALNELVDPFLGFDKDFVVREMVSSVAELAFMCLQHEREMRPTMEEVLEVLRGIERENYGAGKGGVEC >Potri.014G139200.1.v4.1 pep chromosome:Pop_tri_v4:14:9473540:9474481:1 gene:Potri.014G139200.v4.1 transcript:Potri.014G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139200.v4.1 MENLKVFVFTFFAVLLLLVFDVNGQLPQPQRPLCVSQLALVNYACGTLLPAPPATSLPSATAALPADDDNNHGHRHGHGHRHRNGHRLGGRHGHNHGGRLEQNCCRWLSDVDPECVCELLVRLPPFLSKPHHEYTVKINDSCSVSYSC >Potri.013G162200.1.v4.1 pep chromosome:Pop_tri_v4:13:15569026:15569988:-1 gene:Potri.013G162200.v4.1 transcript:Potri.013G162200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:petA MQTRKTLSWIKEEITRSISVSLMIYIITGAYISNAYPIFAQQGYENPREATGRIVCANCHLANKPVGIEVPQAVLPDTVFEAVVRIPYDMQLKQVLANGKKGALNVGAVLILPEGFELAPPDRISPEMKEKIGNLSFQSYRPAKKNILVIGPVPGQKYSEITFPILSPDPAAKKDAHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATAAGIVSKIIRKEKGGYEITITDAPEGRQVIDSIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVLQDPLRVQGLLFFLASVILAQIFLVLKKKQFEKVQLSEMNF >Potri.001G327000.2.v4.1 pep chromosome:Pop_tri_v4:1:33608367:33612209:-1 gene:Potri.001G327000.v4.1 transcript:Potri.001G327000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G327000.v4.1 MDEEYAKLIRRLNPPRVVIDNDACEEATVIQVDSVNKHGILLKVVQVLTDMNLVITKAYISSDGDWFMDVFNVVDQDGKKIRDKEVMDYIQRRLESNASFAPSLRGSVGVMPSEEHTAIELTGTDRPGLLSEVCAVLTDLHCNVVNAEIWTHNTRAAAVVHVTDDSTGCAIKDPKRLSTIRELLCNVLKGNDDSKTATTTLSPPGVTSRERRLHQIMFADRDYERVERAGLARFEDKSSRPHVTVLNIERDYTVVSMRSKDRPKLLFDIVCTLTDMEYVVFHGMVSTGRMEAYQEFYIRHVDGLPVSSDAERERVVQCLEAAIERRASEGLELELCTEDRVGLLSDITRIFRENSLCIKRAEILTKGGKAKDTFYVTDVTGNPVDPKIIDSICRQIGQTKLLVKRNSILSPKPPQETTMGYIFGNLFKARTFKLIRSYS >Potri.010G097400.1.v4.1 pep chromosome:Pop_tri_v4:10:12076981:12080598:-1 gene:Potri.010G097400.v4.1 transcript:Potri.010G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097400.v4.1 MEKTNAAPTGCYKCGRPGHWSRDCPDSNPNPNPNPSSNPNSPRSFPSNNNSSSNNSNYFSGSNSKPAKAAEKPKKVPRSRPKLTPELLLGEDNGLGFILRHFPRNFKYRGRGHEVSDLGNLIGLYSEWHSHLLPYYSFDQFVHKVEQVAATKRAKMCVRELRERVASGGDPTKLRESLTEHVSANVEHDLSTPDEGLNSEVTHNEEDPLSKNQDVDGLPEDMLHEVYDRATEEPTQTLDRRDMELPNEINGASCSNEVSISEEQKARMEANRLRALERAAARAQS >Potri.004G034700.4.v4.1 pep chromosome:Pop_tri_v4:4:2684345:2702534:-1 gene:Potri.004G034700.v4.1 transcript:Potri.004G034700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034700.v4.1 MGLEALVWYCRPMANGVWAKEVDNAFGAYTPCAIDSLVICISHLVLLGLCLYRIWLIIDNNTKVQKYCLRTNYYNYMLGFLAAYCTVQPLLRLFMDVSIFNLDGQTSLSPFELVSLIVEALAWCSTLIMIGLETKIYIRQFRWYVRFGVIYVLVGEAAMLNLILSVSDYYSRFTLYMYISTVFCQVLLGILLLVYIPNLDPYPDYVMMESESLDNCEYEALPGREQICPERHANLFSRIFFGWLTPLMKQGHKRPITEKDVWKLDTWDQTETLIKKFQTCWVEESKRPQPRLLRALNNSLGGRFWLGGFFKIGNDLSQFVGPIVLSHLLQSMQRGDPAWIGYIYAFIIFLGVLFGALCESQFFQNVMRVGFQLRSTLVAAIFRKSLKLTHEGRKNFPSGKITNMITTDANALQQICQQLHGLWSAPFRITISMVLLYQQLGVASLFGSLMLVLMVPTQTILMSKMRKLTKEGLHRTDKRVSLMNEILAAMDVMKCYAWEKSFQSRIQSVRDDELSWFRGAQLLSAFNSFILNIIPVIVTLVSFGTFTLLGGDLTPARAFTSLSLFQVLRSPLNMLPNLLSQVVNANISLQRLEELFLAEERILAPNLPLKLGIPAISIENGNFLWDSKLEKPTLSDINLKIQVGSLVAIVGGTGEGKTSLISAMLGELPPMEDASVVIRGTVAYVPQVSWIFNATVRDNILFRSEYEPSRYWKAIDVTALQHDLDLLPGHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRQVFNSCIKDELQGKTRVLVTNQLHFLPQVDKIILLSEGMIKEEGTFEELSENGKLFQKLMENAGKMEELVEEKNSENLDCKSLKPAANGGNDLPQKAGYKMKVKGGKSVLIKQEERETGVVSWNVLIRYNNALGGILVVLIIFLCYLLTEVLRVSRSTWLSVWTNQSTLESYKPGYYIFVYALLSFGQVIVTLVNSYWLISSSLHAAKRLHDAMLDSILRAPMLFFHTNPSGRIINRFAKDLGEIDRNVANIANNFLNQAWQLFSTFVLIGIVSTISLWAVMPLLILFYSAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMAIINGKSMDNNIRFTLVNMSSNHWLTIRLVTLGGIMIWLIATFAVLGNGRTENHVEFASEMGLLLSYTLNITGLLSNVLRHASRAENSLNSVERVGTYMDLPSEAPAIVETNRPPPAWPSSGSIKFRDVVLRYRPELPPVLHHLSFEVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDVAKFGLTDLRKILSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDAIRNNSFGLDAEVFEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDALIQKTIREEFRSCTMLVIAHRLNTIIDCDRILVLEAGQVLEHGTPEELLLPNEGSAFSRMVQSTGPANAQYLYSLVFESKENKLSKRKNDHRWIDSSRWAAAAQLALVVSLTSSENGLPMLDVEDEDNILRKTKDAVIRLQDVLVGKYDEAICDTQQQSQVPQDGWWSAFYRMIEGLAVMGRLSRGRHQQYDYENEPLD >Potri.004G034700.5.v4.1 pep chromosome:Pop_tri_v4:4:2684417:2695082:-1 gene:Potri.004G034700.v4.1 transcript:Potri.004G034700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034700.v4.1 MVLLYQQLGVASLFGSLMLVLMVPTQTILMSKMRKLTKEGLHRTDKRVSLMNEILAAMDVMKCYAWEKSFQSRIQSVRDDELSWFRGAQLLSAFNSFILNIIPVIVTLVSFGTFTLLGGDLTPARAFTSLSLFQVLRSPLNMLPNLLSQVVNANISLQRLEELFLAEERILAPNLPLKLGIPAISIENGNFLWDSKLEKPTLSDINLKIQVGSLVAIVGGTGEGKTSLISAMLGELPPMEDASVVIRGTVAYVPQVSWIFNATVRDNILFRSEYEPSRYWKAIDVTALQHDLDLLPGHDLTEIGERGVNISGGQKQRVSMARAVYSNSDVYIFDDPLSALDAHVGRQVFNSCIKDELQGKTRVLVTNQLHFLPQVDKIILLSEGMIKEEGTFEELSENGKLFQKLMENAGKMEELVEEKNSENLDCKSLKPAANGGNDLPQKAGYKMKVKGGKSVLIKQEERETGVVSWNVLIRYNNALGGILVVLIIFLCYLLTEVLRVSRSTWLSVWTNQSTLESYKPGYYIFVYALLSFGQVIVTLVNSYWLISSSLHAAKRLHDAMLDSILRAPMLFFHTNPSGRIINRFAKDLGEIDRNVANIANNFLNQAWQLFSTFVLIGIVSTISLWAVMPLLILFYSAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMAIINGKSMDNNIRFTLVNMSSNHWLTIRLVTLGGIMIWLIATFAVLGNGRTENHVEFASEMGLLLSYTLNITGLLSNVLRHASRAENSLNSVERVGTYMDLPSEAPAIVETNRPPPAWPSSGSIKFRDVVLRYRPELPPVLHHLSFEVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDVAKFGLTDLRKILSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDAIRNNSFGLDAEVFEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDALIQKTIREEFRSCTMLVIAHRLNTIIDCDRILVLEAGQVLEHGTPEELLLPNEGSAFSRMVQSTGPANAQYLYSLVFESKENKLSKRKNDHRWIDSSRWAAAAQLALVVSLTSSENGLPMLDVEDEDNILRKTKDAVIRLQDVLVGKYDEAICDTQQQSQVPQDGWWSAFYRMIEGLAVMGRLSRGRHQQYDYENEPLD >Potri.004G034700.6.v4.1 pep chromosome:Pop_tri_v4:4:2684330:2689559:-1 gene:Potri.004G034700.v4.1 transcript:Potri.004G034700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034700.v4.1 MIKEEGTFEELSENGKLFQKLMENAGKMEELVEEKNSENLDCKSLKPAANGGNDLPQKAGYKMKVKGGKSVLIKQEERETGVVSWNVLIRYNNALGGILVVLIIFLCYLLTEVLRVSRSTWLSVWTNQSTLESYKPGYYIFVYALLSFGQVIVTLVNSYWLISSSLHAAKRLHDAMLDSILRAPMLFFHTNPSGRIINRFAKDLGEIDRNVANIANNFLNQAWQLFSTFVLIGIVSTISLWAVMPLLILFYSAYLYYQSTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMAIINGKSMDNNIRFTLVNMSSNHWLTIRLVTLGGIMIWLIATFAVLGNGRTENHVEFASEMGLLLSYTLNITGLLSNVLRHASRAENSLNSVERVGTYMDLPSEAPAIVETNRPPPAWPSSGSIKFRDVVLRYRPELPPVLHHLSFEVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDVAKFGLTDLRKILSIIPQSPVLFSGTVRFNLDPFSEHNDADLWEALERAHLKDAIRNNSFGLDAEVFEGGENFSVGQRQLLSLARALLRRSKILVLDEATASVDVRTDALIQKTIREEFRSCTMLVIAHRLNTIIDCDRILVLEAGQVLEHGTPEELLLPNEGSAFSRMVQSTGPANAQYLYSLVFESKENKLSKRKNDHRWIDSSRWAAAAQLALVVSLTSSENGLPMLDVEDEDNILRKTKDAVIRLQDVLVGKYDEAICDTQQQSQVPQDGWWSAFYRMIEGLAVMGRLSRGRHQQYDYENEPLD >Potri.008G019200.1.v4.1 pep chromosome:Pop_tri_v4:8:952563:956452:1 gene:Potri.008G019200.v4.1 transcript:Potri.008G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019200.v4.1 MVAANREMAAYCFDTLVAHYNSEDAPPPAFDEGQHPLFVTWKKAVNGGEPCLRGCIGTLEAQYIITGFRDYALTSALRDRRFPPIQAKELPTLECTVSILTDYETANHYLDWEVGKHGLVIEFTDPNNNARRSATYLPEVAAHEGWTREEAIDSLMRKAGFSGHITDILRKSIRVTRYQSTLFTLTYSDYVSYVRETRGAAPSINGVKHVNH >Potri.005G246600.2.v4.1 pep chromosome:Pop_tri_v4:5:24121083:24124677:1 gene:Potri.005G246600.v4.1 transcript:Potri.005G246600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246600.v4.1 MLHYQNSNLSLSTTVVYMLKSTSYQYLLPTRGLEDFPKDPVMEGLRKSLKSYGTQAKQQPEHLEKEKQALLHHGRDCHIAQFSGSFGQDEEVIVNVDNIVDVSDKETTIASKSCSGSPSRKATGSANKFKVSFEDVIHEAVRERSKDSHQPSFSALEQNSWRLVVNKAKSRLIDQPEEHYQRTERTVNSDGALGEEDDDEDIPEEYRNIKHNTLIMLQWVSLVLIIAALVCSLSIPVLKRQTLWDLSLWKWEIMVLALISGRLVSGWGIKLVVIFIESNFLLRKRVLYFVYGLRRAVQNCLWLGLVLLIWHLTFDDKVEKSKSKILLYGTKILVCFFIGTLIWLLKTLLVKVLASSFHVNAFFERIQEALYNQYVIESLSGSPFPEWRSTKEEVGAVTGVQQIRNSGPASPGPGDFKETLLAKEGRGKLQRCTTVGKKPRFSNRTPNKKDEEIPIDKMQKLNHMNISAWNMTRMINIVRHGALSTLDEHILDSDIKDDSLLHIRSECQAKEAARKIFQKVAKTGSHQIYLDDMTRFMNKEAAFKAMHLMGITREDEGISKSSLKSWLVNAFRERRALALSLNDTKTAVDELHNMLSILVSVIILIIWLIILGIPISHFLVFISSQLLLVVFIFGNTCKTVFEAIIFLFIMHPFDVGDRCEIDGIQLRVEEMNILTTVFLRSDNQKIVYPNSVLASKPIGNFYRSPDMTEAIDFSVHISTPMEKIASLKDKIKGYVEGNSNHWHQNPMVVVTDVEDMNKMKMSLWVTHRMNHQEMEERWVRRNLLLGEMIKVFKELDIEYRVLPLDVNIRNMPPLVSNRLPSNWTTCAN >Potri.009G064700.5.v4.1 pep chromosome:Pop_tri_v4:9:6546120:6548725:1 gene:Potri.009G064700.v4.1 transcript:Potri.009G064700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064700.v4.1 MNLLYSSSFKYSDGELRKSQEFMDLNPYHYHQQQQQIQQNSGLMRYRSAPSSILESLVNGTSGHDGGGIESGDYRYLRSSSPEMDTMLARFMSSCNGSGDSSSQNLQEFGERPAIKQEGGDSEMVYQSLPGHNLVTDNSVSVGNSMDSAFNVMSSMALENSMQATKMSTANGSNLARQNSSPAGLFSDLGVDNGFVVMREGGSFRAGNGTNGEASPTNKLRRHVNFSSGQRMLPQIAEIGEECIGGRSPEGDVSEARYMSRFTSDSWDGASLSGLKRQRDNDGNMFSGLNTLDNQDGNSGNRVTGLTHHLSLPKTLSETATIEKFLDFQGNSVPCKIRAKRGFATHPRSIAERVRRTRISERMRKLQELFPNMDKQTNTADMLDLAVEHIKDLQKQVKTLTDTKAKCTCSSKQKHYSSPSA >Potri.015G019500.6.v4.1 pep chromosome:Pop_tri_v4:15:1364793:1369431:-1 gene:Potri.015G019500.v4.1 transcript:Potri.015G019500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019500.v4.1 MKFEKDPAEARLRNQQNMTPGAPGVGTGSVSIARNSRTASLCREIKIVQDLIERCLQLYMNKDEVVKTLLEQARIQPGFTSIVWNRLEQENAGFFKAYYTKLVLKKQIAQFNELLENHHNLLSYAAPLEAPLAPMQEGIQHMPGTISNYDPVYENPECGSFLSTQMNYGMWMAMDNNAADIEPNHPFMKPEIPSPVSVTSHDHFPFIPREIQESVAPSAVEFAELSHLQSVRQVPLGPGNASFNNLVEAYSGSSSTGLLDPSEQNDNVEEFFVDTFPAASSQSEEES >Potri.015G019500.5.v4.1 pep chromosome:Pop_tri_v4:15:1364793:1369431:-1 gene:Potri.015G019500.v4.1 transcript:Potri.015G019500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019500.v4.1 MKFEKDPAEARLRNQQNMTPGAPGVGTGSVSIARNSRTASLCREIKIVQDLIERCLQLYMNKDEVVKTLLEQARIQPGFTSIVWNRLEQENAGFFKAYYTKLVLKKQIAQFNELLENHHNLLSYAAPLEAPLAPMQEGIQHMPGTISNYDPVYENPECGSFLSTQMNYGMWMAMDNNAADIEPNHPFMKPEIPSPVSVTSHDHFPFIPREIQESVAPSAVEFAELSHLQSVRQVPLGPGNASFNNLVEAYSGSSSTGLLDPSEQNDNVEEFFVDTFPAASSQSEEES >Potri.015G019500.7.v4.1 pep chromosome:Pop_tri_v4:15:1364793:1369425:-1 gene:Potri.015G019500.v4.1 transcript:Potri.015G019500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019500.v4.1 MKFEKDPAEARLRNQQNMTPGAPGVGTGSVSIARNSRTASLCREIKIVQDLIERCLQLYMNKDEVVKTLLEQARIQPGFTSIVWNRLEQENAGFFKAYYTKLVLKKQIAQFNELLENHHNLLSYAAPLEAPLAPMQEGIQHMPVNHLHKVYTLPQQHPIPSTSNSQNDSTGTISNYDPVYENPECGSFLSTQMNYGMWMAMDNNAADIEPNHPFMKPEIPSPVSVTSHDHFPFIPREIQESVAPSAVEFAELSHLQSVRQVPLGPGNASFNNLVEAYSGSSSTGLLDPSEQNDNVEEFFVDTFPAASSQSEEES >Potri.015G019500.1.v4.1 pep chromosome:Pop_tri_v4:15:1364792:1369425:-1 gene:Potri.015G019500.v4.1 transcript:Potri.015G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019500.v4.1 MKFEKDPAEARLRNQQNMTPGAPGVGTGSVSIARNSRTASLCREIKIVQDLIERCLQLYMNKDEVVKTLLEQARIQPGFTSIVWNRLEQENAGFFKAYYTKLVLKKQIAQFNELLENHHNLLSYAAPLEAPLAPMQEGIQHMPVNHLHKVYTLPQQHPIPSTSNSQNDSTGTISNYDPVYENPECGSFLSTQMNYGMWMAMDNNAADIEPNHPFMKPEIPSPVSVTSHDHFPFIPREIQESVAPSAVEFAELSHLQSVRQVPLGPGNASFNNLVEAYSGSSSTGLLDPSEQNDNVEEFFVDTFPAASSQSEEES >Potri.001G318001.1.v4.1 pep chromosome:Pop_tri_v4:1:32802172:32802834:1 gene:Potri.001G318001.v4.1 transcript:Potri.001G318001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318001.v4.1 MPLPRHERRTKTKGKKTERTPGINRGRTKTRTGDRHKVDTKNIRSERYTQKAKGRTSKNRRTKQQKKNDPVKEDRRVSKGKTETERGKHWPANARALALPPSIPAPPGSPPLNNRSEKKHKRTNTDEEEKKQRTETSRKRGQTRTKKESNRI >Potri.005G126902.1.v4.1 pep chromosome:Pop_tri_v4:5:9544752:9546179:-1 gene:Potri.005G126902.v4.1 transcript:Potri.005G126902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126902.v4.1 MEVEYLPLSSMDKSRQVMEEFVDIWQEAISKRSLPGHFMHMEPNFVGYGLSDHYATVMAQLIATQSVQAARN >Potri.019G002502.1.v4.1 pep chromosome:Pop_tri_v4:19:868028:868336:1 gene:Potri.019G002502.v4.1 transcript:Potri.019G002502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002502.v4.1 MHRFNPSNHLIIMTSLFTVGEQSCSDTGLRSDVLCTSSIPPPMKSFAHACSPVKTASPCCAISTCVPSLFCSCALGTSLLLEPATVLSFFSGGPSIAARAAS >Potri.001G396800.1.v4.1 pep chromosome:Pop_tri_v4:1:42213576:42216239:1 gene:Potri.001G396800.v4.1 transcript:Potri.001G396800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396800.v4.1 MDHRRKKVTKTETTDLPDCLLEEILSRLPMRSPALGQCRLVCKTWLHLISETYFSKLKLESHPRMLVKTIPETYQSREIISVRIAEGVNGRTFQVERSKKLVPKMDLPTSNFELVNSCHGLLCISEGKSRNVIHVCNPVFREHITISVNRPLPFYHNSFCLGLGITNGKFEFKVLRTFCLKTNRRAPGYPRAEIYTIGTKKWRRIGNPLSCIEKLDFDTSVHGYIHWIPDQKILQFICSFNFGKEQFGQLPLPPTYDGNDARVKLGVLKDCLCVSVPEKVGSVDKFGIWVMKKYGIKQSWIQQYVIENLYPDVGRLKFYEPLIFLSTGEILISFNGEFLVCYNTTLKKLAKKSTITQTKGGIHAIAYNPSLVSLNVVARGEKVRTLPEPEKKKGDEEGKVSTLSSEIGVRFCEGERLPE >Potri.019G024900.1.v4.1 pep chromosome:Pop_tri_v4:19:3633135:3634312:1 gene:Potri.019G024900.v4.1 transcript:Potri.019G024900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024900.v4.1 MAGGEENNNGGKNSFSVLVVDDDTIIRMVHRMLVTGLGLKVQEAKNGKEAVDLHINGASFDLILMDMEMPIMNGPAATRELRAMGVKSIIVGVTSHTSESIHKDFMEAGLNHCVAKPMTIAKIAPFLPKSNNN >Potri.002G036600.1.v4.1 pep chromosome:Pop_tri_v4:2:2404980:2413816:1 gene:Potri.002G036600.v4.1 transcript:Potri.002G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036600.v4.1 MAGSLEGFLVLIVAALICSSSFASSIFQPISDSHRSAALELFTPRDGSFFSLEETYEALRTFEVLGIDKKSDIDTAACQSVLEILGSPSSALKDLFYALKVNGILKCDIEKDVFEGVASRLQATVSGAGALLDFYYSVGGLVLVKDQTSKDDLYLADAEGVFGSIKALSQSDGRWRYNSNNPESSTFAAGLALEALSGVVSLSSSEIDRSLIGTTKNDILKLFDSIEKYDDGAFYFDEKLVDAHEHQGPLSTTSSVVRGLTAFAAVTSGSLNLPSGKVLGLARFLLGIGIPGDAKDLFNQIDSLASLESNSVSIPLILSLPATVLSLTKKDALKVKVNTVLGSNAPPLTVKLVGVFRSGSKDTSLIEGQELKFNPESTVYALDALPKSIDVGKYTFVFEMVLHDPDHLNLYATGGQTRIPIFVTGVVKVDSAEISVLDSDLGSTETKKIDLAGENTVSLSANHLQKLRLSFQLSTSLGHAFKPHQAILKLTHETKVEHSFVVGSSGKQFEINLDFLGLVEKFFYLSGKYNVQLTVGDAAMENSFLKAIGHLDLDLPEPPEKAPQPPPQPLDQNLRYGPKAEITHIFRVPEKLPPKELSHAFLGLTLFPLLGFLVGLLRLGVNLKNFPSSSVPAMFAVLFHLGIAAVLLLYALFWLKWDLFTTLKALGFLGAFLMFVGHRILSHLASMSSKLKSA >Potri.001G221051.2.v4.1 pep chromosome:Pop_tri_v4:1:23064104:23065095:1 gene:Potri.001G221051.v4.1 transcript:Potri.001G221051.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221051.v4.1 MVVLGSLLHRVLCFCSCSLSSLSLFLLSAFGSSSGFYSQRTRVFLVSRRASRWRGLSAAIRSLLDLESAPLSLPTSPSFIITEYQLLQAMKWWKRRCLGFFQFGH >Potri.001G221051.1.v4.1 pep chromosome:Pop_tri_v4:1:23064104:23065094:1 gene:Potri.001G221051.v4.1 transcript:Potri.001G221051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221051.v4.1 MKKENKTEHWKRGRASNIPDFEKTKAKTMVVLGSLLHRVLCFCSCSLSSLSLFLLSAFGSSSGFYSQRTRVFLVSRRASRWRGLSAAIRSLLDLESAPLSLPTSPSFIITEYQLLQAMKWWKRRCLGFFQFGH >Potri.007G062522.1.v4.1 pep chromosome:Pop_tri_v4:7:7178409:7179053:-1 gene:Potri.007G062522.v4.1 transcript:Potri.007G062522.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062522.v4.1 MWAPDIYEGSPTPVTAFLSIAPKISISANISRVSIYGSYGATLQQIFFFCSIASMILGALAAMAQTKVKRPLAHSSIGHVGYIRTGFSCGTIEGIQSLLIGIFIYALMTIDAFAIVSALRQTRVKYIADLGALAKTNPISAITFSITMFSYAGIPPLAGFCSKFYLFFAALGCGAYFLAPVGVVTSVIGRWAAGRLPRVSQFGGPKAVLRAPDT >Potri.010G236200.5.v4.1 pep chromosome:Pop_tri_v4:10:21647391:21650062:1 gene:Potri.010G236200.v4.1 transcript:Potri.010G236200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236200.v4.1 MTTTAASTMEPSAEDLTDDLDNLSFTSTTTAVETRHSTSSGSETTWTTSTSSLMSNSCKPHHPPQCDECWHAIQRENCGNSPLTLADLRFVHKLGSGDIGSVYLVVLKEGNECLFAAKVMDKKEMATRNKDSRARIEREILEMLEHPFLPPLYATLDSPRWSCLLTEFCPGGDLHVLRQRQPDRRFDEAAVRFYASEVVAALEYLHMMGIVYRDIKPENVLIRSDGHIMLTDFDLSLKDDNSTSTAQIISDQNPPITSSTSDYPSDTSQFATSSCILPNCIVPAVSCLQPCRKRKKKFNQRGTLEIVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFEMFYGVTPFKGTDHELTLANVVARALEFPKEPSVPVFAKDLITQLLIKDPTRRLGSTMGATAIKHHHFFEEINWALLRCKTPPYIPQPFTYKNFIATNHGNNSIEHY >Potri.010G236200.4.v4.1 pep chromosome:Pop_tri_v4:10:21647348:21650133:1 gene:Potri.010G236200.v4.1 transcript:Potri.010G236200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236200.v4.1 MSSGKGNRIDVSMKLPSGSVGKSMCIRVQPLMLCGPWNFWFYASEVVAALEYLHMMGIVYRDIKPENVLIRSDGHIMLTDFDLSLKDDNSTSTAQIISDQNPPITSSTSDYPSDTSQFATSSCILPNCIVPAVSCLQPCRKRKKKFNQRGTLEIVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFEMFYGVTPFKGTDHELTLANVVARALEFPKEPSVPVFAKDLITQLLIKDPTRRLGSTMGATAIKHHHFFEEINWALLRCKTPPYIPQPFTYKNFIATNHGNNSIEHY >Potri.010G236200.2.v4.1 pep chromosome:Pop_tri_v4:10:21647348:21650132:1 gene:Potri.010G236200.v4.1 transcript:Potri.010G236200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236200.v4.1 MTTTAASTMEPSAEDLTDDLDNLSFTSTTTAVETRHSTSSGSETTWTTSTSSLMSNSCKPHHPPQCDECWHAIQRENCVLKEGNECLFAAKVMDKKEMATRNKDSRARIEREILEMLEHPFLPPLYATLDSPRWSCLLTEFCPGGDLHVLRQRQPDRRFDEAAVRFYASEVVAALEYLHMMGIVYRDIKPENVLIRSDGHIMLTDFDLSLKDDNSTSTAQIISDQNPPITSSTSDYPSDTSQFATSSCILPNCIVPAVSCLQPCRKRKKKFNQRGTLEIVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGSAVDWWTLGIFIFEMFYGVTPFKGTDHELTLANVVARALEFPKEPSVPVFAKDLITQLLIKDPTRRLGSTMGATAIKHHHFFEEINWALLRCKTPPYIPQPFTYKNFIATNHGNNSIEHY >Potri.018G105700.1.v4.1 pep chromosome:Pop_tri_v4:18:12474010:12480430:-1 gene:Potri.018G105700.v4.1 transcript:Potri.018G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105700.v4.1 MAVQSKTTHLLILCLLLISSLTTALLALTEQQELDRISSLLGQPPVTFSQFSGYVTVNEKHGRALFYWLTEATTTPDKKPLVLWLNGGPGCSSVAYGASEEIGPFRINRTGSSLYMNKYSWNREANILFLESPAGVGFSYTNTSSNLKDSGDKRTAQDALVFVIRWMSRFPQYKYRELYIAGESYAGHYVPQLAKKIHDYNKAYPRPIINLKGFIVGNAVTDIYYDSIGTIAFWWTHSMISDQTYREILDNCNFTDDTTSKKCDDAVNYAIYHEFGNIDPYSIYTPSCMQLPNSTMRLKNTLFRRRVSGYDPCTENYAEKYYNRPEVQEAMHANVTGIPYKWTACSNVLNKNWKDSESSMLPIYKELIAAGLRIWVFSGDTDSVVPVTATRFSLSHLDLPVKTRWYPWYSGDQVGGWTEVYKGLTFATVRGAGHEVPLFQPERAFILFRSFLGGKELPKS >Potri.008G120300.1.v4.1 pep chromosome:Pop_tri_v4:8:7778843:7780770:1 gene:Potri.008G120300.v4.1 transcript:Potri.008G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120300.v4.1 MPPILPDFSNPVKLKYVKLGYQYLVNHILYLLWIPVMVGILIEVLRLGPDEVLSLWRSLHFDLVQILCSSFLIVLIAIVYFMSKPRTIYLVDYACYKPPVTCRVPFSTFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPPSIHYIPPKPTMEAARGEAELVIFSAMDSLFKKTGIKPKDIDILIVNCSLFSPTPSLSAMIINKYKLRSNIKSFNLSGMGCSAGLISIDLARDLLQVHPNANAVVVSTEIITPNYYQGNERAMLLPNCLFRMGGSAILLSNRRSHRWSAKYRLVHVVRTHKGVDDKAYRCVFEEEDREGKVGINLSKDLMTIAGEALKSNITTIGPLVLPASEQLLFLLTLVGRKIFNPKWKPFIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELGYIEEKGRMRRGNRVWQIAFGSGFKCNSAVWKCNRTIKTPVDGPWVDCIDRYPVHIPEVVKL >Potri.003G117500.1.v4.1 pep chromosome:Pop_tri_v4:3:13968384:13972332:1 gene:Potri.003G117500.v4.1 transcript:Potri.003G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117500.v4.1 MNIYTVSMRRLYQLCLSHALLLLFFFFLGFTATTVSPATEKEILLQFKANISNDPYNSLANWVPSGNPCDYSGVFCNPLGFVQRIVLWNTSLSGVLSPALSGLRSLRILTLFGNKFTSNIPQEYAELSTLWKINLSSNALSGSIPEFIGDLQNIRFLDLSRNGYSGEIPFALFKFCYKTKFVSFSHNSLSGSIPASIANCTNLEGFDFSFNNFSGELPSGICDIPVLEYMSLRSNVLTGSVLEEVSKCQRLRFLDLGSNLFTGLAPFEILGSQNLSYFNVSHNAFQGEIPAMRTCSESLEFFDASSNNLDGEIPLGITNCKSLEFIDLGFNRLNGSIPAGIANLERLLVFKLGDNSIQGTIPAEFGSIEWLLLLDLHNLNLSGEIPKDISNCRFLRELDVSGNALDGEIPNTLDNMTSLEVLDLHRNQLDGSIPETLGSLSNLKLLELSQNNLSGTIPYSLGKLANLKYFNVSSNNLSGPIPSIPKIQAFGTAAFLNNSGLCGVPLDISCSGAGNGTGNGSKKNKVLSNSVIVAIVAAALILTGVCVVSIMNIRARSRKKDNVTTVVESTPLDSTDSNVIIGKLVLFSKTLPSKYEDWEAGTKALLDKECLIGGGSIGTVYRTTFEGGVSIAVKKLETLGRIRSQDEFEQEIGLLGNLRHPNLVAFQGYYWSSTMQLILSEFVPSGNLYDNLHGLNYPGTSTGVGNRELYWSRRFQIALGIARALSYLHHDCRPPILHLNIKSTNILLDENYEAKLSDYGLGRLLPILDNYGLTKFHNAVGYVAPELAQSLRSSDKCDVYSFGVILLELVTGRKPVESPTANEVVVLCEYVRGLLETGSASDCFDRSLRGFSENELIQVMKLGLICTSEVPSRRPSMAEVVQVLESIRLE >Potri.009G152500.1.v4.1 pep chromosome:Pop_tri_v4:9:11989710:11993415:1 gene:Potri.009G152500.v4.1 transcript:Potri.009G152500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152500.v4.1 MGDFDETRNIRNMCILAHVDHGKTTLADHLIAATGGGLLHPKLAGKLRFMDFLDEEQRRAITMKSSSISLHYKDYSVNLIDSPGHMDFCSEVSTAARLSDGGLVLVDAVEGVHIQTHAVLRQAWIEKLTPCLVLNKIDRLICELKMSPMEAYNRLVKIVHEVNGIMSAYKSEKYLSDVDSIRAGPSGEGEDENLEFIEDDEEDTFQPQKGNVAFACALDGWGFTIHEFAEFYATKLGASSAALQKALWGPRYFHPKTKMITVKKFVDAGSRERPMFVQFVLEPLWQVYQSALEPDGNKGLLEKVIKSFNLNVPPRELLNKDPKAVLQSVMSRWLPLSDAILSMVVKCMPDPIAAQSFRISRLIPKREVLLDGVDSSALAEADLVRMSIEVCDSSPEAPCVAFVSKMFAVSSKLLPQRGLNGEILSNFSDENGNSESDECFLAFARIFSGVLCSGQRVFVLSALYDPLKGESMQKHIQVAELHSLYLMMGQGLKPVASAKAGNVVAIRGLGQHILKSATLSSTKNCWPFSSMAFQVAPTLRVAIEPSDPADTGALMKGLKLLNRADPFVEVTVSSRGEHVLAAAGEVHLERCIKDLKERFAKVSLEVSPPLVSYRETIEGEASNMLDNLKSSTRSSDYVEKMTPNGRCVVRVQVMKLPSALTTVLDKSTDLLGDIIGGKLGQSASNLETEKSNIVQDESPIEVLKKRIMGAVESDILSLSKKDKDRAEKYKLKWQKFLKRIWALGPRQVGPNILFTPDSKSLSNDSSALVRGSPHVSERLGLVECSGTGEMPADTSSEELSALYREAESLQNSVVSGFQLATAAGPLCDEPMWGLAFVVEACINPLAEKFDDSESNQQSEQYAIFTGQVMTAVKDACRAAVLQKKPRLVEAMYFCELNTPPEYLGSMYAVLNQKRAQVLNEEMQEGFALFSVQAYVPVSESFGFAEDLRRKTAGAASALLVLSHWEELSEDPFFVPKTEEEIEEFGDGSSVLPNTARKLIDAVRRRKGLPVEEKVVQFATKQRTRARKV >Potri.010G078800.1.v4.1 pep chromosome:Pop_tri_v4:10:10534356:10535806:-1 gene:Potri.010G078800.v4.1 transcript:Potri.010G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078800.v4.1 MAASSSLSILLANPVPKISCKNQIGNMVSAFNQRTIKKLGTKYGSRTRLYAAGLSEIEPDLNEDPRDRWATPGVDAEDFKYGEWDGHHTYFEGQDKRTYWEILKEEYTSTEPPTGFQGFISWIFLPAVAAGMYFNVPGEYLFIGAGLFVFIFCVIEMDKPDKPHNFEPQIYNMERGARDKLIDDYNTMSIWDFNEKYGDLWDFTITREDITKR >Potri.015G099700.2.v4.1 pep chromosome:Pop_tri_v4:15:11971686:11973044:1 gene:Potri.015G099700.v4.1 transcript:Potri.015G099700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099700.v4.1 MGRFWTFLTHVHTLSGPVMMLLYPLYASVIAIESPSREDDEQWLAYWILYSFLTLTEMLLQSILEWIPIWYSLKLVVAAWLVLPQFKGAAFIYERFVREHIRKFIGEKDHPHHKSTTASGSGGGGKGKNKFVHFISPNKGEHEVS >Potri.016G051400.1.v4.1 pep chromosome:Pop_tri_v4:16:3324331:3324962:1 gene:Potri.016G051400.v4.1 transcript:Potri.016G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051400.v4.1 MPFTTASSMLRHRISSALRTRGGAKAGPSRWKSPGHEEQPKGHFLNRTPPPPGESRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHQKALERLQMEKLGLSGSADSE >Potri.001G108900.2.v4.1 pep chromosome:Pop_tri_v4:1:8782339:8783710:-1 gene:Potri.001G108900.v4.1 transcript:Potri.001G108900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108900.v4.1 MKPNPVILQMDKCCFSSFMLNRFNRIACLPRVEVTRSLFHHLAFLTLDVLDNCHLVSSSEINDVQAEIIKPDYQPRSDVFGFGVILWEPMTVPIPWIKLDSLEVAGVVGFMDRRLVLPESLDPMVATIISDCWRSYTSSDPEERPFRGDNSTND >Potri.001G108900.3.v4.1 pep chromosome:Pop_tri_v4:1:8782339:8783710:-1 gene:Potri.001G108900.v4.1 transcript:Potri.001G108900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108900.v4.1 MAPEVLRNEPSNENSEINDVQAEIIKPDYQPRSDVFGFGVILWEPMTVPIPWIKLDSLEVAGVVGFMDRRLVLPESLDPMVATIISDCWRSYTSSDPEERPFRGDNSTND >Potri.006G142100.1.v4.1 pep chromosome:Pop_tri_v4:6:11977538:11980442:1 gene:Potri.006G142100.v4.1 transcript:Potri.006G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142100.v4.1 MLTVSLHKPEFLSFSHFGFRDLHKFSRIPSSWTIAMSNYSGSSETRKKEELSIQVPATSISSSETQRFAGSDLRFDRLQVPEKELMHDRKFEFGQFVAREAVIDEEYWTAAWLRAESHWEGRNDRYVDNHKRKFAEQEFHAIKRRRTSLHGQKCRCIIMVRKEDKHVKRTVLKGVVGTLDLSVRCLLHGETFPGERVKAPLFCSIHGTGPNRYGYVANLCVAKSARRQGIATNMLHFAIELVKSNGIEHAYVHVHRNNTPAQKLYEKMGFEIVEAASSQLVEEQTYLLCCKA >Potri.018G149900.6.v4.1 pep chromosome:Pop_tri_v4:18:16097915:16103121:-1 gene:Potri.018G149900.v4.1 transcript:Potri.018G149900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149900.v4.1 MDWNSTASEGNWENIAGLSAKASDIPKQVPLAYHETEGDGAIDKAITYSSGGGLSSSDLWHCSSSKSSVSPSVDSSLKGGIKTYSTADGFPGVIIRKDLTRVESTENIPSLGASASSGEPVIGLKLGKRMYFEDICTTSTAKSSSSSIVSTSCTATTKRSRASYPSTQSPRCQVEGCNLDLKSAKDYHRRHRICEKHSKSPKVIVAGMERRFCQQCSRFHELSEFDDKKRSCRRRLSDHNARRRRPQPEAIRFNSARPSSSPSSFYGNSTWQSECGFKVTHAGDFFIRPAKAGGIHRQLSYPCNEVPDAASTIPTDSDKIISFERSTPQVFSQGFEGSALTSNIEVAPDLRRALSLLSTTSWGSNEHGSTSLDQLMLANQTSMTQPMINAELQNCPVASSENARMEQASLESRVHSLDLHDNGNVQLQEFQLLKAPYATGCFYSNQFS >Potri.018G149900.3.v4.1 pep chromosome:Pop_tri_v4:18:16097801:16103747:-1 gene:Potri.018G149900.v4.1 transcript:Potri.018G149900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149900.v4.1 MDWNSTASEGNWENIAGLSAKASDIPKQVPLAYHETEGDGAIDKAITYSSGGGLSSSDLWHCSSSKSSVSPSVDSSLKGGIKTYSTADGFPGVIIRKDLTRVESTENIPSLGASASSGEPVIGLKLGKRMYFEDICTTSTAKSSSSSIVSTSCTATTKRSRASYPSTQSPRCQVEGCNLDLKSAKDYHRRHRICEKHSKSPKVIVAGMERRFCQQCSRFHELSEFDDKKRSCRRRLSDHNARRRRPQPEAIRFNSARPSSSPSSFYDGRQQMNVVLNRVPFLAGNSTWQSECGFKVTHAGDFFIRPAKAGGIHRQLSYPCNEVPDAASTIPTDSDKIISFERSTPQVFSQGFEGSALTSNIEVAPDLRRALSLLSTTSWGSNEHGSTSLDQLMLANQTSMTQPMINAELQNCPVASSENARMEQASLESRVHSLDLHDNGNVQLQEFQLLKAPYATGCFYSNQFS >Potri.004G222800.1.v4.1 pep chromosome:Pop_tri_v4:4:22731164:22732344:-1 gene:Potri.004G222800.v4.1 transcript:Potri.004G222800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222800.v4.1 METPMTPSPKPAKNYSSSVDSSRPFRSVREAVAIFGERFLVGEIYSPKPYYTPLREETNAWRFLSPSPSYKSPEEDHHEVQNDQIFGTLKKLEAELEETKAELKLLKERESETEIALASLNAELHMNLSKLAEAEAAAAKKAAESTIAVSFERKKMEDLLKEEERRRELTVRMENFPTLAQILNLGGEQGSFRGKKERNVMKKKPIVPLVGDLFFKKKGSSNTLNNPLYASPEMYFN >Potri.003G134100.1.v4.1 pep chromosome:Pop_tri_v4:3:15221663:15225193:1 gene:Potri.003G134100.v4.1 transcript:Potri.003G134100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134100.v4.1 MRPFSSCKAWLWSVWDFAKEDSNRVKFAFKVGLAVLLVSFLILFRAPFHILGTNIIWSILTVAIMFEYTVGATFNRGFNRALGSMLAGILAIAVAQLALQSGRVSEPIIIGISIFLIGAIASFMKLWPSLVPYEYGFRVILFTYCLIIVSGYRMGNPIRTAMDRLYSIAIGGFVAVLVNVLVFPIWAGEQLHKELVNSFNSVADSLEECVKKYLEDDGLDHPEFSKTLMDEFPDEPNYRRCKSTLNSSAKLESLAISAKWEPPHGRFQHFFYPWSEYVKVGAVLRYCAYEVMALHGVLHSEIQAPYNLRLTFHSEIHEAATHAAKLVRSLGKDIGDMKRSLKTSLLKKLHGSTERLQRAIDMHSYLLTSNFDPPDNSSEPLTKLSHAFSTTLYDPSNLLTEFDSSGTEKNSNQTNQNAPSGTPPQQTESYHEMMRKQSRRLHSWPSREVEAFEEEEGGLSMEFLPRMRALEGTAALSLANFTSLLIEFVARLDHLVEAVDELSKMAKFKHEGV >Potri.010G150601.1.v4.1 pep chromosome:Pop_tri_v4:10:16084181:16084744:-1 gene:Potri.010G150601.v4.1 transcript:Potri.010G150601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150601.v4.1 MSDCKERSNQAPRSTRISSLLEFLEVLKAKPHVDFGVRGSRIRYMVEKIIKTFFFSRLGYHFQGFIGGFSGQVLVS >Potri.007G064500.1.v4.1 pep chromosome:Pop_tri_v4:7:8060627:8063071:-1 gene:Potri.007G064500.v4.1 transcript:Potri.007G064500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064500.v4.1 MSEETKSPDSTSLVTRPGIFSIGSSSVGKRTLHSRMLSLDCEDSFDSSNQVISHGWIINTKYYTADVALWMAHLHEGFSIGSLPFYNKLTALVMLSSLVALKDWVAGTDISNFLILLCVGNKVDRIPGHPVHAKYRKQLRRIGESGSFDNLSIEGSLLDDEEEPLREMKRSCIEWCTENGIEYIEACALNVDFDKCEYHLLSPRIERLYGALSAHMWPAMILKSDNKISRPTLPDKEAEPRDDKYGGWVSANGTTAIPDVGGSVAENNSIKECENENREKFGKEEIQPSSSATELLGDKGVSDEATPFDFEDLEQLMSEIGNVRDSLRLMPDFKRM >Potri.011G067800.3.v4.1 pep chromosome:Pop_tri_v4:11:5924280:5925552:1 gene:Potri.011G067800.v4.1 transcript:Potri.011G067800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067800.v4.1 MAELNMGILIDIVDEEWMRDTLPDDDLALPPVMVVRTDDAEDSNQDTQHVDADAWHDLALGNQ >Potri.005G099050.1.v4.1 pep chromosome:Pop_tri_v4:5:7125375:7126019:1 gene:Potri.005G099050.v4.1 transcript:Potri.005G099050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099050.v4.1 MFFLTFNYFVILILTAAAFLLRQYYFFSADRVSRRQKGNGKQGVPPPSPAPEAENTRRAFPPLYLPLGLNHF >Potri.003G030000.3.v4.1 pep chromosome:Pop_tri_v4:3:3326629:3340804:1 gene:Potri.003G030000.v4.1 transcript:Potri.003G030000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030000.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKTPRSNPDIKTLFFDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPPTVTHPAVSGGGAIGLGAPSISAALKHPRTPPSNPSVDYPSGDPDHVAKRTRPMGISDEVNLPVNVLPMSFPGHGHGHGQTFNAPDDLPKAVVRTLNQGSSPMSMDFHPVQQTILLVGTNVGEIGLWEVGSRERLVLRNFKVWDLNACSMPLQAALVKDPGVSVNRVIWSPDGNLFGVAYSRHIVQIYSYHGNDDVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKIIKVWDAATGAKQYTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYVGFRKHSLGVVQFDTTKNRFLAAGDDFSIKFWDMDSVQLLTTIDADGGLPASPRIRFNKEGTLLAVSANDNGIKILANSDGIRLLRTFENLSYDASRASESVAKPTVNPISAAAAAAATSSGLADRGASVVAVAGMNGDARNLGDVKPRIAEELNDKSKIWKLTEINEPSQCRSLRLPENLRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNASGKATAGVPPQLWQPSSGILMTNDITDSNPEEAVACFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLSVLVSSGADAQLCVWNSDGWEKQKTRFLQVPTGRTTTAQSDTRVQFHQDQIHFLVVHETQLAIFETTKLECVKQWLPRESSAPISHAVFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSSYPPPNVSSNVHPLVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVAAIPSVGPSGSDQAQR >Potri.003G030000.2.v4.1 pep chromosome:Pop_tri_v4:3:3326373:3340811:1 gene:Potri.003G030000.v4.1 transcript:Potri.003G030000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030000.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKYFEDEVHNGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKHDRSKAVEILVKDLKVFSTFNEELFKEITQLLTLENFRENEQLSKYGDTKSARAIMLVELKKLIEANPLFRDKLQFPNLKNSRLRTLINQSLNWQHQLCKTPRSNPDIKTLFFDHSCGQPNGARAPSPANNPLLGSLPKAGGFPPLGAHGPFQPTPAPVPAPLAGWMSNPPTVTHPAVSGGGAIGLGAPSISAALKHPRTPPSNPSVDYPSGDPDHVAKRTRPMGISDEVNLPVNVLPMSFPGHGHGHGQTFNAPDDLPKAVVRTLNQGSSPMSMDFHPVQQTILLVGTNVGEIGLWEVGSRERLVLRNFKVWDLNACSMPLQAALVKDPGVSVNRVIWSPDGNLFGVAYSRHIVQIYSYHGNDDVRQHLEIDAHVGGVNDLAFSTPNKQLCVITCGDDKIIKVWDAATGAKQYTFEGHEAPVYSICPHYKENIQFIFSTALDGKIKAWLYDNLGSRVDYEAPGRWCTTMAYSADGTRLFSCGTSKDGESYIVEWNESEGAVKRTYVGFRKHSLGVVQFDTTKNRFLAAGDDFSIKFWDMDSVQLLTTIDADGGLPASPRIRFNKEGTLLAVSANDNGIKILANSDGIRLLRTFENLSYDASRASESVAKPTVNPISAAAAAAATSSGLADRGASVVAVAGMNGDARNLGDVKPRIAEELNDKSKIWKLTEINEPSQCRSLRLPENLRVTKISRLIYTNSGNAILALASNAIHLLWKWQRSDRNASGKATAGVPPQLWQPSSGILMTNDITDSNPEEAVACFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSHVLSVLVSSGADAQLCVWNSDGWEKQKTRFLQVPTGRTTTAQSDTRVQFHQDQIHFLVVHETQLAIFETTKLECVKQWLPRESSAPISHAVFSCDSQLVYASFLDATVCVFSAANLRLRCRINPSSYPPPNVSSNVHPLVIAAHPQEPNQFALGLSDGGVHVFEPLESEGKWGVPPPAENGSASSVAAIPSVGPSGSDQAQR >Potri.004G102000.1.v4.1 pep chromosome:Pop_tri_v4:4:8942922:8946968:1 gene:Potri.004G102000.v4.1 transcript:Potri.004G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102000.v4.1 MEKSGYGRDGIYRSLRPKLVLPKDPNLSLVSFLFRNSNSYPHKPALIDADLSITLSFSELKSIVIKVAHGLLNLGISKNDVVLIFAPNSYQFPICFLAITSTGAIATTANPLYTTSELSKQIKDSNPKLIITVPELWDKVKGFNLPAVFLGPKRVSLPLESGSRITSFDSLVGLGGSNSQFPSSNVKQSDISTLLYSSGTTGVSKGVILTHGNFIAASLMVTMDQAMAGEMHDVFLCFLPMFHVFGLAVITYSQLQMGNAVVSMGKFEFEMVLKTIEKHRVTDMWVVPPVVLALAKQDMVKKYDISSLRNIGSGAAPLGKDLMKECAKNLPDTIISQGYGMTETCGIVSVEDSRLGVRHSGSAGILAAGIEAQIVSVETLKPLPPNQLGEIWVRGPNMMRGYFNNPQATKDTMDKKGWVHTGDVGYFDDDGQLFVVDRIKELIKYKGFQVAPAELEGLLVSHPQILDAVVIPYPDAEAGEVPVAYVVRSPNSALTEVDVQKFIADQVAPFKRLRKVTFINTVPKSASGKILRRELIQQVKSKI >Potri.012G127100.1.v4.1 pep chromosome:Pop_tri_v4:12:14321631:14325313:1 gene:Potri.012G127100.v4.1 transcript:Potri.012G127100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127100.v4.1 MQQRKAGRPSGTDGSDFSYRMVVDSRYTKVAKGKSRLKALIFIQGIFQLIELLYVVLPISKGKDPNILAVSSSVIGLISLLIGELGRRRSRAGFLRFYLAMSTIAVLLSIFCAVSSSSTLEVIQNPAEWETQKFELIETSVLLLGLLVQMFTIGTVISLISNMSPPKKAS >Potri.005G200500.1.v4.1 pep chromosome:Pop_tri_v4:5:20636858:20640848:-1 gene:Potri.005G200500.v4.1 transcript:Potri.005G200500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200500.v4.1 MIPIMRVLAFQILRFLLFGGFLCCQAIVHHTFVVKDVPYTRLCSTKNIMTVNGQFPGPTLYVTKGETIIVDVINKSPHNITIHWHGVNQPKYPWSDGPEYITQCPIQPGGKFSQRVIFSDEEGTLWWHAHNDWTRATVYGAIVIYPKKGTEYPFPAPHADVPIILGEWWKMDIFEIFDQFRASGADPNVSDSYTINGQPGDLYPCSKSDTFKLSVDYGKTYLLRLINAALQDIVFFSITNHQVTVVGTDASYTKPLKVDYIAISPGQTIDVLLEANQPLDHYYMAAKVYSSANGVQYDNTTTTAIVQYNGNYTPSSTLSLPYLPYFNDTTASVNFTGRLRSLADNNHPIHVPMSISTPLFFTVSVNIFTCANTSCGVNQSRLAASVNNISFQTPTRMDILRAYYNQINGVYGDHFPDKPPLFFNFTADTIPLIYETPSKGTEVKVLEYNSTVEIVFQGTNVAAGTDHPMHIHGTSFYVVGWGFGNFDKDKDPLRYNLFDPPLQNTIAVPKNGWSVIRFKATNPGVWFVHCHLERHLSWGMEMAFIIKNGRGKKAHMLPPPPYMPPC >Potri.005G194200.1.v4.1 pep chromosome:Pop_tri_v4:5:20143977:20151557:1 gene:Potri.005G194200.v4.1 transcript:Potri.005G194200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194200.v4.1 METKGRLIAGSHNRNEFVLINADEIARVTSVKELSGQICKICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCRTRYKRIKGSPRVDGDEEEEDTDDLENEFDIGINDRRDPHQVAEALLAARLNTGRGSQSNVSGFATPSEFDSASVVPEIPLLTYGEEDVGISSDKHALIIPPFRGKRIHPMPFPDSSMSLPPRPMDPNKDLAVYGYGTVAWKERMEEWRKKQSDKLQVVKHQGGKGGENNGGDELDDPDLPMMDEGRQPLSRKLPISSSKISPYRLIIILRLVILSLFFHYRILHPVNDAYGLWLTSVICEIWFAISWILDQFPKWFPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILAVDYPVEKVACYVSDDGAAMLTFEAISETSEFARKWVPFCKRFSIEPRAPEWYFAKKVDYLKDKVDPAFIRERRAMKREYEEFKVRINGLVAMAQKVPEDGWTMQDGSPWPGNNVRDHPGMIQVFLGHNGVHDVEGNELPRLVYVSREKRPGFDHHKKAGAMNALVRVSAIISNAPYMLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPVKKKPPGRTCNCLPRWCCYCCRSKKKNKKSKSKSNEKKKSKEASKQIHALENIEEGIEGIDNEKSALMPQIKFEKKFGQSSVFIAATLMEDGGVPKGASSASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSVYCTPKIPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKWLERFSYINSVVYPLTSIPLIAYCTLPAVCLLTGKFIVPEISNYASIIFMALFISIAATGILEMQWGGVGIHDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGEFSELYLFKWTSLLIPPMTLLIINIIGVVVGISDAINNGYETWGPLFGKLFFALWVIVHLYPFLKGLIGKQDRLPTIIVVWSILLASVLTLLWVRINPFLSKGGIVLEICGLNCD >Potri.008G106450.1.v4.1 pep chromosome:Pop_tri_v4:8:6723142:6723345:1 gene:Potri.008G106450.v4.1 transcript:Potri.008G106450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106450.v4.1 MHTYIHRYIHTYMYVFNCMYVCMYVYIIQCMYVCMHVCMFSSKDKFFIPCTLIKSC >Potri.010G025800.1.v4.1 pep chromosome:Pop_tri_v4:10:3769912:3774410:1 gene:Potri.010G025800.v4.1 transcript:Potri.010G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025800.v4.1 MRFRRDCISVLLFCSTLLLIVEVATPFDTINTTLSIRDGDTIVSAGGTYELGFFSPGKSKNRYLGIWYGKISVQTAVWVANRESPLNDSSGVVRLTNQGLLVLVNRSGSIIWSSNTSTPARNPVAQLLDSGNLVVKEEGDNNLENSLWQSFEHSGNTLIPGMKIGRNRVTGMDWSLAAWKSVDDPSRGNITGILVPYGYPELVELEDSKVKYRSGPWNGLGFSGMPPLKPNPIYTYEFVFNEKEIFYREQLVNSSMHWRIVLAQNGDIQQLLWIEKTQSWFLYETENINNCARYKLCGANGICRINNSPVCDCLNGFVPKVPRDWERTDWSSGCIRKTALNCSGDGFRKVSGVKLPETRQSWFNKSMSLQECRNMCLKNCSCTAYANMDIRNGGSGCLLWFNDLIDILFQDEKDTIFIRMAASELGNGDGARVNTKSNAKKRIVVSTVLSTGLVFLGLALVLLLHVWRKQQQKKRNLPSGSNNKDMKEELELPFFNMDEMASATNNFSDANKLGEGGFGPVYKGNLADGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDEKMLVYEFLPNKSLDFYIFDETHSLLLDWRQRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEASTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYRNRGFSHPDHHLNLIGHAWILFKQGRSLELVGESKVETPYLSEVLRSIHVGLLCVQENTEDRPNMSYVVLMLGNEDELPQPKQPGFFTERDLIEACYSSSQCKPPSANECSISLLEAR >Potri.001G132300.2.v4.1 pep chromosome:Pop_tri_v4:1:10770718:10771188:-1 gene:Potri.001G132300.v4.1 transcript:Potri.001G132300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132300.v4.1 MPSSKKITLRSSDGETFEVEEAVALQSKTIKHMIEESSSSNQEVITLPIVSGNILAKVLQYCEKHIEDDRSTAKELSTWDADFVKLDEDTLFQLVLAANYLGIERLVDLASEGAWSKVRPEIGGLGFRKMYGNNCSSYEDEEIEMGYLWVSGSYTG >Potri.002G120100.1.v4.1 pep chromosome:Pop_tri_v4:2:9119971:9120778:-1 gene:Potri.002G120100.v4.1 transcript:Potri.002G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120100.v4.1 MGGGNGQKAKMARERNLDKQKAGSKGSQLEANKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKSDVYACFPHLKK >Potri.001G229000.1.v4.1 pep chromosome:Pop_tri_v4:1:24823461:24828544:1 gene:Potri.001G229000.v4.1 transcript:Potri.001G229000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229000.v4.1 MDYEPYDSSGTDDDLPPSHQNRIPRGGRVAGNGRPVGGSVPYSRMYGETDMETQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLARVNADDVIRRIREWRQAGGHQSGMLTTGQAVHDPIPSPTVSASRKKQKMTSSILSQSFAGPSPSFHPQPVSASQQPSSSAAKRGPVTGPKGKKQKPGLPGASSMKSIPYPSSGPSGRGQVANRLSSGAVPEGADQYIGKRVKTRWPDDNHFYEAVITDFNPIEGRHALVYDMGTANETWEWVNLSEISPEDIQWVDEDPGISHRGNYGGSGHGINRSMGRDGGPGPGPGPGRGRGVTKGQSRKELLPSQNGIGKKVPDDIQILHTDSLIREVERVFNANHPDPLEIEKAKKVLKDHEQALVDAISRLADISDGESDEGGRRYGQALERE >Potri.001G229000.2.v4.1 pep chromosome:Pop_tri_v4:1:24823948:24828547:1 gene:Potri.001G229000.v4.1 transcript:Potri.001G229000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229000.v4.1 MYGETDMETQIHQLEQEAYSSVLRAFKAQADAITWEKESLITELRKELRLSNEEHRELLARVNADDVIRRIREWRQAGGHQSGMLTTGQAVHDPIPSPTVSASRKKQKMTSSILSQSFAGPSPSFHPQPVSASQQPSSSAAKRGPVTGPKGKKQKPGLPGASSMKSIPYPSSGPSGRGQVANRLSSGAVPEGADQYIGKRVKTRWPDDNHFYEAVITDFNPIEGRHALVYDMGTANETWEWVNLSEISPEDIQWVDEDPGISHRGNYGGSGHGINRSMGRDGGPGPGPGPGRGRGVTKGQSRKELLPSQNGIGKKVPDDIQILHTDSLIREVERVFNANHPDPLEIEKAKKVLKDHEQALVDAISRLADISDGESDEGGRRYGQALERE >Potri.009G044600.1.v4.1 pep chromosome:Pop_tri_v4:9:5121449:5123594:1 gene:Potri.009G044600.v4.1 transcript:Potri.009G044600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044600.v4.1 MKQEELIFVPGPGIGHLASGLELAKRLLDHDDRLSITILVMNMPFTPSINSYTRSLTASQPRIKLVDLPEVDPPPSELLTKSPEAYICDFIESYIPHVKTTVTDIISSLSNSDVRVAGFILDFFCVSMIDIANEFSLPPYIFITSNAGFLGLMLNLPKRHDEISEVMQMSDPDSLIPGFFNPVPARVLPDAVFNKHGGYAAYVKVAQRFKDGKGIIVNTFAELEPFVLRSFSDDHRIPPVYPVGPVLHLKGQPHPEINQDQLDKIMKWLDEQPQSSVVFLCFGNFGSFSPLQVKEIALGIEQSGFKFLWSMRFPRSPSNQFMNPEDVLPEGFLERIEGRGIMCGWAPQVEVLAHKAIGGFVSHCGWNSILESLWYGVPIVTLPIYAEQQLNAFRMVKELGLSVELKLDYRVGGDLVTADEIAKSVICVMQSDSEVRKKVKEMSEKGRKAVMDGGSSFTSITQLIQDITGNN >Potri.008G070800.1.v4.1 pep chromosome:Pop_tri_v4:8:4343252:4348171:1 gene:Potri.008G070800.v4.1 transcript:Potri.008G070800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070800.v4.1 MEDHFSPCWPAAPAEANWVQTSAAVYDESFLVPCPSHASASANFQVNGFPSWSIPIQEASENKAASNSKSHSQAEKRRRDRINAQLGILRKLIPKSEKMDKAALLGSAIDHVKDLKQKATEISRTFTIPTEVDEVTVDCDVSQATNPSSTNKDKDSTFIRASVCCDDRPELFSELIRVLRGLRLTIVRADIASVGGRVKSILVLCNKCSKEGGVSISTIKQSLNLVLSRIASSSVPSNYRIRSKRQRFFLPSHLSQQYT >Potri.009G018100.1.v4.1 pep chromosome:Pop_tri_v4:9:3037082:3048174:-1 gene:Potri.009G018100.v4.1 transcript:Potri.009G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018100.v4.1 MVMAENESGDDQKSSSTVGPKSPWKSPVVADAPVMGAAEFWPALSDAQQQQQQHRSKLTDSASKTPPQPPLMVAGGGDKAAPPAASPRGSAGQHKSHGSGYSNTSNKHSSSRHQKSGSKRNPNGAHPFSVPFPYQQPAMSPVFPAMAPPPHIAVSGYPYQPGPPPFPTVETHLMKSGSETGPPMQPFAPSINVQPPPRGDPNAYAVNFPNRRPNMQDSGGHLNPTWHHQRAFGSRDNIPLQQVMGPRPLVRPPFFAAPPGYMVGPTFPGPPPICYVSVAPPGSLRGPQPSCFVPYPINSGAPVLSQETLALRASIAGQIEYYFSDENLQNDHYLISLMDDQGWVPVSTIAEFKRVKKMTLDISFILDALQCSGSIEVQGDKVRKRDDWSKWIPASSQQAVSPKAQTSEAQAGENAEEDDTISVSKGSAGFASHTTVKAVNKLSNGDAGKMEVDGKSILFKAGKPGCDGNSELGACHSTPHLDRAQGTGPPTFNYHGTEGMEDAQNLADLSSDFANTFMLDEELELEQKTLKNDECSPVRRIDDEEDEMVVHDQDVQRLVIVTQNSRVGEGSTKSGGKESKSISSELASAINDGLYFYEQELKTKRSNRRKNASTYENRDGYLRLTNSASLISKSKAGENSAASCGHEESGSSNNTRKQNKVPKQQSYHKQRFFSSNSRNHGTGRNNFGIISESPPSNSVGFFFSSTPPENHGLRSSKLSVSPHSMLLGSSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKYRKRCLNDRKKMGIGCSEEMNTLYRFWSYFLRNIFVPSMYNEFQKFALEDASANYYYGMECLFRFYSYGLEKEFRDDLYKDFEELTLDFCRKGNIYGLEKYWAFHHYCRLGDKEPKKHPELERLLRDEYRTLEDFRAKEKSMKKDSH >Potri.009G018100.5.v4.1 pep chromosome:Pop_tri_v4:9:3037082:3046775:-1 gene:Potri.009G018100.v4.1 transcript:Potri.009G018100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018100.v4.1 MGKLFSELNWSVEHHFPYLWFAQGSAGQHKSHGSGYSNTSNKHSSSRHQKSGSKRNPNGAHPFSVPFPYQQPAMSPVFPAMAPPPHIAVSGYPYQPGPPPFPTVETHLMKSGSETGPPMQPFAPSINVQPPPRGDPNAYAVNFPNRRPNMQDSGGHLNPTWHHQRAFGSRDNIPLQQVMGPRPLVRPPFFAAPPGYMVGPTFPGPPPICYVSVAPPGSLRGPQPSCFVPYPINSGAPVLSQETLALRASIAGQIEYYFSDENLQNDHYLISLMDDQGWVPVSTIAEFKRVKKMTLDISFILDALQCSGSIEVQGDKVRKRDDWSKWIPASSQQAVSPKAQTSEAQAGENAEEDDTISVSKGSAGFASHTTVKAVNKLSNGDAGKMEVDGKSILFKAGKPGCDGNSELGACHSTPHLDRAQGTGPPTFNYHGTEGMEDAQNLADLSSDFANTFMLDEELELEQKTLKNDECSPVRRIDDEEDEMVVHDQDVQRLVIVTQNSRVGEGSTKSGGKESKSISSELASAINDGLYFYEQELKTKRSNRRKNASTYENRDGYLRLTNSASLISKSKAGENSAASCGHEESGSSNNTRKQNKVPKQQSYHKQRFFSSNSRNHGTGRNNFGIISESPPSNSVGFFFSSTPPENHGLRSSKLSVSPHSMLLGSSPPVGSMPKSFPPFQHPSHQLLEENGFKQQKYLKYRKRCLNDRKKMGIGCSEEMNTLYRFWSYFLRNIFVPSMYNEFQKFALEDASANYYYGMECLFRFYSYGLEKEFRDDLYKDFEELTLDFCRKGNIYGLEKYWAFHHYCRLGDKEPKKHPELERLLRDEYRTLEDFRAKEKSMKKDSH >Potri.005G222300.1.v4.1 pep chromosome:Pop_tri_v4:5:22392872:22394746:1 gene:Potri.005G222300.v4.1 transcript:Potri.005G222300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222300.v4.1 MELLIPVAADSFLQDESSYDKGKAVKAFDETKAGVKGLVDSGVTKIPRFFIHPPEDVEKSSSDSIHLGLQVPVINFEHFESCRRSEVVNEIRKASEIWGFFQMVNHGIPVSILDDMLAGVRRFHEQHRDVKMEFYSRDRKQPVRFFCNGDLLVNRAPANWRDTIAFDFQDGKLDPELFPETFREEVSQYIRHMIQMKKTLSELISEALGLPSDYLSSIECMETESLVCHYYPACPEPDLTLGATKHRDPSLMTVLLQDNTGGLQVRNQTQWVDVPPLQGALVVNIGDFMQLITNNKFKSVEHRVLVGQEGSRTSVACFFYPSTANKFKPYGAIKELVSDDNVPMYRETHIAEFLAFFRSKGLDGTPTLCHFKLA >Potri.007G053500.2.v4.1 pep chromosome:Pop_tri_v4:7:5359154:5361548:-1 gene:Potri.007G053500.v4.1 transcript:Potri.007G053500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053500.v4.1 MMQFTETPLPPLHQIITPISNPTMNRNRPWPGFPTSKSLGSFGDANCMEQLLVHCVNAIESNDATLSQQILWVLNNIAPPDGDSNQRLTCAFLRALIARAAKSGTCKLLAAMENAHCNLAIDTHKFSIIELASFVDLTPWHRFGYTAANAAILEAVEGYLVIHIVDLSLTHCMQIPTLVDAIANRFEVPPLIKLTVAGATEDVPPLLDLSYEELGSKLVNFARSRNIIMEFRVIPSSYADGFSSFIEQLRVQHLVYAESGEALVINCHMMLHYIPEETLSGIPSTNSNTYSYESSSSSMSFRTMFLKSLRSLDPTLVVLVDEDADLTSNNLVCRLRSAFNYLWIPYDTVDTFLPRGSRQRQWYEADICWKIENVIAHEGPQRVERLEPKCRWIQRMRNANFRGISFADDAISEVKTMLDEHAAGWGLKKEDDDIVLTWKGHNVVFASAWLPA >Potri.018G148800.8.v4.1 pep chromosome:Pop_tri_v4:18:16005606:16013008:1 gene:Potri.018G148800.v4.1 transcript:Potri.018G148800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148800.v4.1 MWRLKIAAGGNPWLRTTNNHIGRQVWEFDPTLTLSPREIENARRNFTENRFLFKHSADLIMRMQFEKENPVPEVLPQVKVKESEEVTEEAVAATLKRALNFYSSIQAHDGHWPGDYGGPMFLLPGLVITLSITGALNAVLSDEHKKEMIRYLYNHQNRDGGWGLHIEGPSTMFGSVLNYVTLRLLGEGPNDGDGAMDKGHDWILNHGSATMITSWGKMWLSVLGVFEWSGNNPMPPEMWLLPYLLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPIVLSLRKELFTVPYHEIDWNQARNLCAKEDLYYPHPLVQDALWALLDKAAEPVLMHWPGKKLREQALHTAMEHMHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIQDYLWLAEDGMKMQGYNGSQLWDTSFAVQAIISTKLVEEYGPTLRKAHAYIKNSQVLEDCPGDLSFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKITPEIVGEPLAANRFYDAVNVILSLQNGDGGFATYELTRSYSWLELINPAETFGDIVIDYPYVECTSAAIQALVSFKKLYPGHRQEEIERCIRKATKFIESIQEKDGSWYGSWGVCFTYGTWFGINGLVAAGKFFNDNSSIRKACDFLLSKQCSSGGWGESYLSCQNKVYSNLEGNKTHVVNTAWAMLALIEAGQAERDPEPLHRAARSLINSQMENGDFPQQEIMGVFNRNCMITYAAYRDIFPIWALGEYRCRVLQAKAS >Potri.018G148800.1.v4.1 pep chromosome:Pop_tri_v4:18:16005638:16012881:1 gene:Potri.018G148800.v4.1 transcript:Potri.018G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148800.v4.1 MWRLKIAAGGNPWLRTTNNHIGRQVWEFDPTLTLSPREIENARRNFTENRFLFKHSADLIMRMQFEKENPVPEVLPQVKVKESEEVTEEAVAATLKRALNFYSSIQAHDGHWPGDYGGPMFLLPGLVITLSITGALNAVLSDEHKKEMIRYLYNHQNRDGGWGLHIEGPSTMFGSVLNYVTLRLLGEGPNDGDGAMDKGHDWILNHGSATMITSWGKMWLSVLGVFEWSGNNPMPPEMWLLPYLLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPIVLSLRKELFTVPYHEIDWNQARNLCAKEDLYYPHPLVQDALWALLDKAAEPVLMHWPGKKLREQALHTAMEHMHYEDENTRYICIGPVNKVLNMLCCWVEDPNSEAFKLHLPRIQDYLWLAEDGMKMQGYNGSQLWDTSFAVQAIISTKLVEEYGPTLRKAHAYIKNSQVLEDCPGDLSFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKITPEIVGEPLAANRFYDAVNVILSLQNGDGGFATYELTRSYSWLELINPAETFGDIVIDYPYVECTSAAIQALVSFKKLYPGHRQEEIERCIRKATKFIESIQEKDGSWYGSWGVCFTYGTWFGINGLVAAGKFFNDNSSIRKACDFLLSKQCSSGGWGESYLSCQNKVYSNLEGNKTHVVNTAWAMLALIEAGQAERDPEPLHRAARSLINSQMENGDFPQQEIMGVFNRNCMITYAAYRDIFPIWALGEYRCRVLQAKAS >Potri.010G128300.1.v4.1 pep chromosome:Pop_tri_v4:10:14493333:14498184:-1 gene:Potri.010G128300.v4.1 transcript:Potri.010G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128300.v4.1 MVSTSPSKEDQSMSKWTEGDPARRAKWWYSTFHSVTAMIGAGVLSLPYAMAYLGWGPGIMVLALSWCMTLNTMWQMIQLHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCDIVYMVTGGKCLKKFMEMTCASCTPIRQSYWILIFGGIHFFLSQLPNFNSVAGVSLAAAVMSLSYSTIAWAGSLAHGQIDNVSYAYKSTSAADYMFRVFNALGEISFAFAGHAVVLEIQATIPSTPEKPSKIPMWKGALGAYFINAICYFPVAIIGYWAFGQDVDDNVLTDLKRPAWLIASANLMVVVHVIGSYQVYAMPVFDMLERMMMKRLNFPPGIALRLLTRSTYVAFTLFVGVTFPFFGDLLGFFGGFGFAPTSYFLPCVMWLLIKKPKRFSTKWFINWACIFVGVFIMIASTIGGFRNIVTDSSTYRFYT >Potri.001G109800.3.v4.1 pep chromosome:Pop_tri_v4:1:8852156:8855222:1 gene:Potri.001G109800.v4.1 transcript:Potri.001G109800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109800.v4.1 MGANLSSSFADPNVYSLVGSLCLQPSPPPPRIKPGLGDLPESCVALVLEYLNPSEICRLAKLNRAFRGASWADFVWESKLPVNYEDLIERVFGDGLEDKLCKREVYTRLCRANTFDDGTKKAWLDKRTGGVCLSIASKGLAITGIDDRRYWNHIPTEESSFNSVAYLQQIWWFEVDGQFEFPFPAGTYSLFFRLQLGRAAKRFGRRICNTEHVHGWDIKPVQFQLWTSDGQYASSQCFLEDPGKWNLYHAGDFVVDGTNASTKLKFSMTQIDCTHTKGGLCLDSILVYPCKLKEGLGHF >Potri.006G088000.2.v4.1 pep chromosome:Pop_tri_v4:6:6633469:6637042:1 gene:Potri.006G088000.v4.1 transcript:Potri.006G088000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088000.v4.1 MAKDGPNWDGLLKWSLAHSDGTSSNRNLSEEDRRWFMEAMQSKSVDVVKRMKEITLVMQTPEQVLESQGVTPADIEDLLDELQEHVEAIDMANDLHSIGGLVPLLGYLKNTHANVRAKAAEVVTTIVQNNPRSQQLVMEANGFEPLLSNFTSDPDVTVRTKALGAISSLIRHNKPGIAAFRLGNGFAALRDALGSGNVRFQRKALNLIHYLLHENSSDGSIVSDLGFPRIMLHLASSEDAEVREAALRGLLELARNKIDGNTGRLCEDDEILKQVLEERVNGISLMSPEDLGAAREERQLVDSLWNACYNEPSSLRDKGLLVLPGEDSPPPDVASKHFEPPLRAWAARPDAGKNPGTEKKQAPLLLGLGPAPEAANVQGTSSGEVNGDEHNTTST >Potri.004G216601.1.v4.1 pep chromosome:Pop_tri_v4:4:22232938:22233904:1 gene:Potri.004G216601.v4.1 transcript:Potri.004G216601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216601.v4.1 MACISSSIASIFSFVIALLKLSGSLSAKKQNRVVSSMTCVASYNSSRFLILLGPSDEDAAAGVDDDAPGVFLLLNTGNLCTGLCGSAAGIITGLCGSAVGTIGSSTSTVGTSSPSRIKSMLQSHLLYILHLDQISLF >Potri.001G060800.1.v4.1 pep chromosome:Pop_tri_v4:1:4649469:4654720:1 gene:Potri.001G060800.v4.1 transcript:Potri.001G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060800.v4.1 MGCFPCFDSREEEELNREKQSDDLKQTLPTVPSNISKLSSGSDRLRPRSNGGQSKRQLPSPKDAPGVNIAAQIFAFRELAAATKNFMPECFLGEGGFGRVYKGCLESTGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPEKEPLDWNTRMKIAAGAAKGLEYLHDKASPPVIYRDFKSSNILLEEGFNPKLSDFGLAKLGPTGDKSHVSTRVMGTYGYCAPEYAMTGQLTVKSDVYSFGVVFLELITGRKAIDSTQPHGEQNLVAWARPLFNDRRKFSKLADPRLQGRYPMRGLYQALAVASMCIQEQAAARPLIGDVVTALSYLANQAYEPNGHGHRGSGDRDEKRQRDERGGQLSRNEEGGGSGRRWDLDGSEKEDSPRETAKMLNRDLDRERAVAEAKMWGENWREKQRQNAQGSFDGSNG >Potri.002G064950.1.v4.1 pep chromosome:Pop_tri_v4:2:4451454:4451705:-1 gene:Potri.002G064950.v4.1 transcript:Potri.002G064950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064950.v4.1 MFLFLCRCSFLFFFWFVLSESESEEKRCVWIRCGQVSKERVIVQVSWSIEGVVTLAPNSRSSKWDVTNMPLYQLCALTRFACE >Potri.002G024000.2.v4.1 pep chromosome:Pop_tri_v4:2:1494472:1497109:-1 gene:Potri.002G024000.v4.1 transcript:Potri.002G024000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024000.v4.1 MEIPHQQQRQPSMKSRFRRVCVFCGSSPGKNPNYQHAAVQLGKQLVERNIDLVYGGGSIGLMGLISQAVYDGGRHVLGVIPKTLMPREITGETVGEVRAVSGMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVDEGFVTPAARHIIVSAHTAHELMCKLEDYEAEHSGVASKLSWEMEQQLGYPIKSDISR >Potri.006G076700.4.v4.1 pep chromosome:Pop_tri_v4:6:5670670:5674130:-1 gene:Potri.006G076700.v4.1 transcript:Potri.006G076700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076700.v4.1 MAASIKLLFLLLISLSFTYITSEPEPEPEPQRFSLENILDQLKSHISVLESRIDDRTREIRSKDEKIRQMEMIIHEKSKSIDSLMSEIESLQPKGVIDVKEQSSKSYARIGELEKQVDKLRKELESQSQEKDSVEIRAYVAEKKYKELSLKLETVQVHGEWFPHWLTVYFSNFQYHVVTHWDEHGRQALDMTVQKVLEKKSQFDKWAEHHTETIYNKWIPMFKDWLLNCISYLWECIPPQTTKCDELFHAWKKTALHHAINRKWIPMFKDWSLNCISYLWECIPPQTTKCDELFHAWKKTALHHAINVQEMAGPYLKEARKFTQPCINQVAKMTRSLVKRVVAAIRTMIGLMVKWW >Potri.006G076700.5.v4.1 pep chromosome:Pop_tri_v4:6:5670670:5674130:-1 gene:Potri.006G076700.v4.1 transcript:Potri.006G076700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076700.v4.1 MAASIKLLFLLLISLSFTYITSEPEPEPEPQRFSLENILDQLKSHISVLESRIDDRTREIRSKDEKIRQMEMIIHEKSKSIDSLMSEIESLQPKGVIDVKEQSSKSYARIGELEKQVQVHGEWFPHWLTVYFSNFQYHVVTHWDEHGRQALDMTVQKVLEKKSQFDKWAEHHTETIYNKWIPMFKDWLLNCISYLWECIPPQTTKCDELFHAWKKTALHHAINRKWIPMFKDWSLNCISYLWECIPPQTTKCDELFHAWKKTALHHAINVQEMAGPYLKEARKFTQPCINQVAKMTRSLVKRVVAAIRTMIGLMVKWW >Potri.006G076700.1.v4.1 pep chromosome:Pop_tri_v4:6:5670670:5674130:-1 gene:Potri.006G076700.v4.1 transcript:Potri.006G076700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076700.v4.1 MAASIKLLFLLLISLSFTYITSEPEPEPEPQRFSLENILDQLKSHISVLESRIDDRTREIRSKDEKIRQMEMIIHEKSKSIDSLMSEIESLQPKGVIDVKEQSSKSYARIGELEKQVDKLRKELESQSQEKDSVEIRAYVAEKKYKELSLKLETVQVHGEWFPHWLTVYFSNFQYHVVTHWDEHGRQALDMTVQKVLEKKSQFDKWAEHHTETIYNKWIPMFKDWSLNCISYLWECIPPQTTKCDELFHAWKKTALHHAINVQEMAGPYLKEARKFTQPCINQVAKMTRSLVKRVVAAIRTMIGLMVKWW >Potri.006G076700.9.v4.1 pep chromosome:Pop_tri_v4:6:5671703:5674059:-1 gene:Potri.006G076700.v4.1 transcript:Potri.006G076700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076700.v4.1 MAASIKLLFLLLISLSFTYITSEPEPEPEPQRFSLENILDQLKSHISVLESRIDDRTREIRSKDEKIRQMEMIIHEKSKSIDSLMSEIESLQPKGVIDVKEQSSKSYARIGELEKQVDKLRKELESQSQEKDSVEIRAYVAEKKYKELSLKLETVQVHGEWFPHWLTVYFSNFQYHVVTHWDEHGRQALDMTVQKVLEKKSQFDKWAEHHTETIYNVSCPNTFSSFS >Potri.006G076700.3.v4.1 pep chromosome:Pop_tri_v4:6:5670672:5674079:-1 gene:Potri.006G076700.v4.1 transcript:Potri.006G076700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076700.v4.1 MAASIKLLFLLLISLSFTYITSEPEPEPEPQRFSLENILDQLKSHISVLESRIDDRTREIRSKDEKIRQMEMIIHEKSKSIDSLMSEIESLQPKGVIDVKEQSSKSYARIGELEKQVQVHGEWFPHWLTVYFSNFQYHVVTHWDEHGRQALDMTVQKVLEKKSQFDKWAEHHTETIYNKWIPMFKDWSLNCISYLWECIPPQTTKCDELFHAWKKTALHHAINVQEMAGPYLKEARKFTQPCINQVAKMTRSLVKRVVAAIRTMIGLMVKWW >Potri.006G076700.7.v4.1 pep chromosome:Pop_tri_v4:6:5670672:5674079:-1 gene:Potri.006G076700.v4.1 transcript:Potri.006G076700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076700.v4.1 MAASIKLLFLLLISLSFTYITSEPEPEPEPQRFSLENILDQLKSHISVLESRIDDRTREIRSKDEKIRQMEMIIHEKSKSIDSLMSEIESLQPKGVIDVKEQSSKSYARIGELEKQVQVHGEWFPHWLTVYFSNFQYHVVTHWDEHGRQALDMTVQKVLEKKSQFDKWAEHHTETIYNFLLRQCILVLHKRT >Potri.006G076700.6.v4.1 pep chromosome:Pop_tri_v4:6:5670670:5674130:-1 gene:Potri.006G076700.v4.1 transcript:Potri.006G076700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076700.v4.1 MAASIKLLFLLLISLSFTYITSEPEPEPEPQRFSLENILDQLKSHISVLESRIDDRTREIRSKDEKIRQMEMIIHEKSKSIDSLMSEIESLQPKGVIDVKEQSSKSYARIGELEKQVDKLRKELESQSQEKDSVEIRAYVAEKKYKELSLKLETVQVHGEWFPHWLTVYFSNFQYHVVTHWDEHGRQALDMTVQKVLEKKSQFDKWAEHHTETIYNFLLRQCILVLHKRT >Potri.006G076700.8.v4.1 pep chromosome:Pop_tri_v4:6:5670670:5674130:-1 gene:Potri.006G076700.v4.1 transcript:Potri.006G076700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076700.v4.1 MAASIKLLFLLLISLSFTYITSEPEPEPEPQRFSLENILDQLKSHISVLESRIDDRTREIRSKDEKIRQMEMIIHEKSKSIDSLMSEIESLQPKGVIDVKEQSSKSYARIGELEKQVDKLRKELESQSQEKDSVEIRAYVAEKKYKELSLKLETVQVHGEWFPHWLTVYFSNFQYHVVTHWDEHGRQALDMTVQKVLEKKSQFDKWAEHHTETIYNEARKFTQPCINQVAKMTRSLVKRVVAAIRTMIGLMVKWW >Potri.001G244204.1.v4.1 pep chromosome:Pop_tri_v4:1:26173520:26180275:-1 gene:Potri.001G244204.v4.1 transcript:Potri.001G244204.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244204.v4.1 MASSATSTVYTEVIEDVIDKVRDEFINNGGPGETVLSELQGLWEKKLMQAGVLSGPIVRSSANKQLVPGGLTPVHDLNVPYEGTEEYETPTAEILFPPTPLQTPMQTPLPGSAQTPLPGNVQTPLPGNVPTPLPGSVDNSSMYNISTGSSSDYPTPVSDAGGSTDVKAGRPSHFMQSPSPLMHQRPPLDVNVAYVEGRDEVDRGGSHQTLTQDFFMSSGKRKRGDFAPKYNNGGFIPQQDGAVDSASEVSQVSQGNNPHGRCDTITTKNREILARVSRSYVKIPQVDGPIPDPYDDMLSTPNIYNYQGVANEDYNIANTPAPNDLQASTPAVVSQNDDVDDDDDEPLNEDDDDDEDLDGVDQGEELNTQHLILAQFDKVTRTKSRWKCTLKDGVMHINNRDILFNKATGEFEF >Potri.002G143100.2.v4.1 pep chromosome:Pop_tri_v4:2:10761841:10762667:-1 gene:Potri.002G143100.v4.1 transcript:Potri.002G143100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143100.v4.1 MAVGGTAPPRGSAAAAASMRRRRTVSGGASGGAGAAGTMLQFYTDDAPGLKISPNVVLVMSIGFIAFVAILHVVGKLYLVRREA >Potri.012G045100.1.v4.1 pep chromosome:Pop_tri_v4:12:4095551:4098889:-1 gene:Potri.012G045100.v4.1 transcript:Potri.012G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045100.v4.1 MKNLGRSDANNNLETLLDMDKTALRKKNGVPAEPRKMMAGHGLEFKNLSYSVMKKQKKDGVWITKEAYLLNDISGQAIRGEVMAIMGPSGAGKSTFLDAIAGRIARGSLQGSVRIDGKPVTTSYMKMISSYVMQDDQLFPMLTVFETFMFAAEVRLPPSVSRAEKKKRVDELLHQLGLTSATHTYIGNEGNRGVSGGERRRVSIGIDIIHKPSLLFLDEPTSGLDSTSAYSVVEKVKDIARGGSIILMTIHQPSFRIQMLLDRITILARGRLIYMGSPVALPNYLSRFGRPLPDGENSIEYFLDVIKEYDESTVGLDPLVFYQRDGIKPDQAAQTPVRKTPRTARTPRTPYMKTPLSKYAISLRSQGFSGTGDMTSQADSGQFDYYDEDDDEEFDNSLERKPARTPMQSGVHNPRLASQFYKEFPVWVYHGIKGTPRRAPSWTPARTPGRTPGKTPMTGPRSQSSSRFSTPQHVVSRPKPPEFSNPSLDPYSPSYEEFSCEEELDESDHGPKFANPWLREVAVLSWRTVLNVVRTPELFLSREIVLAVMALILSSLFKNLGHPSFQDINRLLNFYIFAVCLVFFSSNDAVPTFIQERFIFIRETAHNSYRASSYVISSLIVYLPFFAVQGLTFAAITRFLLHLKSNLFNFWLILYASLITTNAYVMLVSALVPSYITGYAVVIATTALFFLTCGFFLKRLQIPVYWRWLHYISAIKYPFEGMLSNEFKGSRCYSGNPSELSPGPLGEIRLSQLHNDSKLEPNCMLIGQDILFSMDIKMDSIWYDIAILLAWGVLYRFFFYLVLRFYSNNQRK >Potri.009G137400.3.v4.1 pep chromosome:Pop_tri_v4:9:11059406:11063909:1 gene:Potri.009G137400.v4.1 transcript:Potri.009G137400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137400.v4.1 MLSGLMNFLRACFRPRPDRYVHTNSDTGGRQDGLLWYKDHGQHVNGEFSMAVVQANNLLEDQSQLESGSLSLNDSGPYGTFVGVYDGHGGPETSRYVNDHLFQHLKRFTLEQQSMSVEVIRKAFQATEEGFLSLVTKQWPMKPQIAAVGSCCLAGVICNGTLYIASLGDSRAVLGRVVKATGEVLSIQLSAEHNACIESVRQELQALHPDDPHIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSSEPSISVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHPRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNFVSRVSSVKCSNISVRGAGVSLPPNTLAPCTTPTEAGSM >Potri.009G137400.1.v4.1 pep chromosome:Pop_tri_v4:9:11058870:11064893:1 gene:Potri.009G137400.v4.1 transcript:Potri.009G137400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137400.v4.1 MLSGLMNFLRACFRPRPDRYVHTNSDTGGRQDGLLWYKDHGQHVNGEFSMAVVQANNLLEDQSQLESGSLSLNDSGPYGTFVGVYDGHGGPETSRYVNDHLFQHLKRFTLEQQSMSVEVIRKAFQATEEGFLSLVTKQWPMKPQIAAVGSCCLAGVICNGTLYIASLGDSRAVLGRVVKATGEVLSIQLSAEHNACIESVRQELQALHPDDPHIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKKPILSSEPSISVHQLQPHDQFVIFASDGLWEHLSNQEAVDIVQNHPRNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNFVSRVSSVKCSNISVRGAGVSLPPNTLAPCTTPTEAGSM >Potri.018G127300.1.v4.1 pep chromosome:Pop_tri_v4:18:13825559:13829236:1 gene:Potri.018G127300.v4.1 transcript:Potri.018G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127300.v4.1 MESKCGCWPVLKRGVRGSRKSSASRDSANSIPRTSLVYDAATETRYLNASNRELCAPNEAQLSYDNPHPPPTDTKSLCQSLQFTFQELKSATGNFRPDSILGEGGFGYVFKGWIEEHGTAPAKPGSGITVAVKSLKPDGLQGHREWVAEVDFLGQLHHPNLVKLIGYCIEDDQRLLVYEFMTRGSLENHLFRRTIPLPWSNRIKIALGAAKGLAFLHGGPEPVIYRDFKTSNILLDSEYNAKLSDFGLAKAGPQGDKTHVSTRVVGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEILTGRRSMDKKRPSGEQNLVTWARPYLADKRKMYQLVDPRLELNYSLKGVQKVSQLAFSCLSRDSYSRPTMDEVVKVLTPLQDLNDLAILSYHSRLSQQGKRKKKSEGTQKHTNVSSKSIRDSPLNTGKQRFR >Potri.009G155050.1.v4.1 pep chromosome:Pop_tri_v4:9:12113207:12118071:-1 gene:Potri.009G155050.v4.1 transcript:Potri.009G155050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155050.v4.1 MILQRWGFLPMMLLLVVAAITGATANPDVKDGCQERCGDVIVPYPFGIGEQRCAMNSNFFLRCTSTDDGHHELWFGFVPARHISVEEGTVIIDFVPAFDCYDKSGQQVRLYNLSMDLIDPYTISESRNMFTAVGCDTIAMGTNKEATSGVGCLSLCTVNATMSKENSCSGSGCCQTSIPKGLKSLNITVQSLRNHTTVSEFNPCGFAFLQEKVSFNLSDWPLSRTPTDFDRSNVVIEWVAQTETCEEARANKSSYACGINTNCYYSDNGQGYRCACNEGFEGNPYLEKGCQDIDECKDAGKRYPCQGKCHNTIGDYECKCPLGMRGDGKRGCRGFGIITIIISVVVGVVGVLLLLIGGWWLYKIMEKRKSIKLKQKFFRQNGGLLLQQQLSSSDQGYFQNQSI >Potri.003G056700.5.v4.1 pep chromosome:Pop_tri_v4:3:8313822:8327675:1 gene:Potri.003G056700.v4.1 transcript:Potri.003G056700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056700.v4.1 MGASLPPKEANLFKLIVKSYESKQYKKGLKAADTILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQKLLSLKPNHRMNWIGFAVAHHLNSDGSKAVEILEAYEGTLDDDYPPDNERWEHGEMLLYKISLLEECGSLERAIEELRKKESKIVDKLTYKEQEVSLLVKLGRHEEGAELYKALLSINPDNYRYYEGLQKCVGLHAENGLSSSDIDQLDALYKSLGQQYTWSSAVKRIPLDFLQGEKFHEAVDNYIRPLLTKGVPSLFSDLSPLYDHPGKADILEKLILEVEHSLRISGGYPGRAEKEPPSTLMWTLFFLAQHYDRRGQYDVALSKIDEAIEHTPTVIDLYSVKSRILKHAGDLAAAATLADEARCMDLADRYINSECVKRMLQADQVASAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLFDSPSKSMAEEEEEMSKLPPSQRKKMRQKQKKAEARAKKEAEVRNEESSASSVSKSGKRHVKPVDPDPNGEKLLQVEDPLLEATKYLKLLQKHSLNSLETHLLSFEVNMRKKKILLALQAVKQLLRLDAENPDSHRCLVRFFHKVGTMTAPVTDTEKLVWSVLEAERPFISQLHEKNLTEANKIFFEKHKGSLMHRAAVAEMIFVLEPNKKREAIKLIEDSTNNPAPINGALGPVKEWKLKDCIAVHKLLGAVLDDPDAALRWKLRCAQYFPCSAYFEGERSSAMPNSVYSQIAKKPANGDSSHPEGGNIADFVSQNGKLEAFKDLIV >Potri.003G056700.3.v4.1 pep chromosome:Pop_tri_v4:3:8313824:8327737:1 gene:Potri.003G056700.v4.1 transcript:Potri.003G056700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056700.v4.1 MGASLPPKEANLFKLIVKSYESKQYKKGLKAADTILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQKLLSLKPNHRMNWIGFAVAHHLNSDGSKAVEILEAYEGTLDDDYPPDNERWEHGEMLLYKISLLEECGSLERAIEELRKKESKIVDKLTYKEQEVSLLVKLGRHEEGAELYKALLSINPDNYRYYEGLQKCVGLHAENGLSSSDIDQLDALYKSLGQQYTWSSAVKRIPLDFLQGEKFHEAVDNYIRPLLTKGVPSLFSDLSPLYDHPGKADILEKLILEVEHSLRISGGYPGRAEKEPPSTLMWTLFFLAQHYDRRGQYDVALSKIDEAIEHTPTVIDLYSVKSRILKHAGDLAAAATLADEARCMDLADRYINSECVKRMLQADQVASAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLFDSPSKSMAEEEEEMSKLPPSQRKKMRQKQKKAEARAKKEAEVRNEESSASSVSKSGKRHVKPVDPDPNGEKLLQVEDPLLEATKYLKLLQKHSLNSLETHLLSFEVNMRKKKILLALQAVKQLLRLDAENPDSHRCLVRFFHKVGTMTAPVTDTEKLVWSVLEAERPFISQLHEKNLTEANKIFFEKHKGSLMHRAAVAEMIFVLEPNKKREAIKLIEDSTNNPAPINGALGPVKEWKLKDCIAVHKLLGAVLDDPDAALRWKLRCAQYFPCSAYFEGERSSAMPNSVYSQIAKKPANGDSSHPEGGNIADFVSQNGKLEAFKDLIV >Potri.003G056700.6.v4.1 pep chromosome:Pop_tri_v4:3:8314125:8327679:1 gene:Potri.003G056700.v4.1 transcript:Potri.003G056700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056700.v4.1 MGASLPPKEANLFKLIVKSYESKQYKKGLKAADTILKKFPDHGETLSMKGLTLNCMDRKSEAYELVRLGLKNDLKSHVCWHVYGLLYRSDREYREAIKCYRNALRIDPDNIEILRDLSLLQAQMRDLTGFVETRQKLLSLKPNHRMNWIGFAVAHHLNSDGSKAVEILEAYEGTLDDDYPPDNERWEHGEMLLYKISLLEECGSLERAIEELRKKESKIVDKLTYKEQEVSLLVKLGRHEEGAELYKALLSINPDNYRYYEGLQKCVGLHAENGLSSSDIDQLDALYKSLGQQYTWSSAVKRIPLDFLQGEKFHEAVDNYIRPLLTKGVPSLFSDLSPLYDHPGKADILEKLILEVEHSLRISGGYPGRAEKEPPSTLMWTLFFLAQHYDRRGQYDVALSKIDEAIEHTPTVIDLYSVKSRILKHAGDLAAAATLADEARCMDLADRYINSECVKRMLQADQVASAEKTAVLFTKDGDQHNNLHDMQCMWYELASGESYFRQGDLGRALKKFLAVEKHYADITEDQFDFHSYCLRKMTLRAYVAMLKFQDRLHSHAYFHKAAAGAIRCYIKLFDSPSKSMAEEEEEMSKLPPSQRKKMRQKQKKAEARAKKEAEVRNEESSASSVSKSGKRHVKPVDPDPNGEKLLQVEDPLLEATKYLKLLQKHSLNSLETHLLSFEVNMRKKKILLALQAVKQLLRLDAENPDSHRCLVRFFHKVGTMTAPVTDTEKLVWSVLEAERPFISQLHEKNLTEANKIFFEKHKGSLMHRAAVAEMIFVLEPNKKREAIKLIEDSTNNPAPINGALGPVKEWKLKDCIAVHKLLGAVLDDPDAALRWKLRCAQYFPCSAYFEGERSSAMPNSVYSQIAKKPANGDSSHPEGGNIADFVSQNGKLEAFKDLIV >Potri.009G007200.5.v4.1 pep chromosome:Pop_tri_v4:9:1463790:1470113:-1 gene:Potri.009G007200.v4.1 transcript:Potri.009G007200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007200.v4.1 MIITHPRMATAATLFLSRLSFFRFTTNKSSSIKPNPFFLYSKTTKNPNRPRLISMASEAKESASNNPGLHTTPDEATKGYIMQQTMFRIKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDHASAPGDSVERTVWTFGRKATIELTHNWGTESDPEFKYHNGNSEPRGFGHIGVTVDDTYKACERFERLGVEFVKKPEDGKMKGIAFIKDPDGYWIEIFDLKTIGKITESAA >Potri.009G007200.4.v4.1 pep chromosome:Pop_tri_v4:9:1463883:1470148:-1 gene:Potri.009G007200.v4.1 transcript:Potri.009G007200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007200.v4.1 MASEAKESASNNPGLHTTPDEATKGYIMQQTMFRIKDPKVSLDFYSRVLGMSLLKRLDFPEMKFSLYFLGYEDHASAPGDSVERTVWTFGRKATIELTHNWGTESDPEFKYHNGNSEPRGFGHIGVTVDDTYKACERFERLGVEFVKKPEDGKMKGIAFIKDPDGYWIEIFDLKTIGKITESAA >Potri.016G076050.1.v4.1 pep chromosome:Pop_tri_v4:16:5716339:5716494:-1 gene:Potri.016G076050.v4.1 transcript:Potri.016G076050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076050.v4.1 MPMIFFYFLKIIFDISTSKRFKKYKPHSILVQPQSQTGS >Potri.001G135925.1.v4.1 pep chromosome:Pop_tri_v4:1:11058617:11059359:1 gene:Potri.001G135925.v4.1 transcript:Potri.001G135925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135925.v4.1 MRKQRGSGYPVGRLGKSSRRTHERKGQGKQNFGCNCDANLCRWEESLQGFIFSTPCHAVFAFLNPLLIGVIQVKFQGTAQSPFQTSLPSMWAFLLATIIYCFAFAANMRFKCTWYSRLSGHVAFFAGSFSSISLVSVLLPALLGRLIFAVWIILPVVVARNFVQFICLWIYQRIMTLIFKILGFWYRFLDDISVEEQPEYPVEIIISN >Potri.002G249901.1.v4.1 pep chromosome:Pop_tri_v4:2:24010012:24010684:1 gene:Potri.002G249901.v4.1 transcript:Potri.002G249901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249901.v4.1 MANTRLCYLLSLLFTFILAAFVIQGSRNQELLPYHQSISTPSQEDSQALGGNEEQMSSKRLMIGSTAPTCTYNECRGCKYKCRAEQVPVEGNDPIHSAYHYKCICHR >Potri.006G227400.1.v4.1 pep chromosome:Pop_tri_v4:6:23161446:23164400:-1 gene:Potri.006G227400.v4.1 transcript:Potri.006G227400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227400.v4.1 MEISVIWVVGFCVLLVDHGVQASHQSSRNLQETDQPYRTGYHFQPPKNWMNDPNGPMYYKGVYHLFYQYNPDGAVWGNIIWAHSVSYDLVNWVHIDHAIYPTQPSDINGCWSGSTTILPGEKPAILYTGIDTKNHQVQNLAVPKNLSDPLLKEWKKSPYNPLMTPIDGIDPDLYRDPTTAWQGPDKIWRVIVGSQINGHGRAILYRSKDFVNWTRIDSPLHSSGKTEMWECPDFFPVSTSSTNGVDTSSQDKSTKHVLKASFNHHDYYILGSYMPENDKFSVETNFMDSGVDLRYDYGKFYASKTFFDGAMNRRILWGWINESDSESDDIKKGWSGLQSIPRTVLLSKNGKQIVQWPVKEIEKLRSKNVSFHDKKLKSGSVLEVPGITASQADVDVSFELLNLEDAEILDPSWTDPQLLCSQKKASVRGKLGPFGLLAFATKDLKEQTAIYFRIFRSNHKYIVLMCSDQSRSSVREELDKTTYGAFVDMDPRHEIITLRSLIDHSIVESFGGEGRACITTRAYAKLAIHKQAYLFAFNNGTSSVKISRLNAWSMKNAQIVSTTKRRKPHL >Potri.010G175300.2.v4.1 pep chromosome:Pop_tri_v4:10:17587290:17590015:1 gene:Potri.010G175300.v4.1 transcript:Potri.010G175300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175300.v4.1 MCSSNAKVTTGVEITPAVARINGRPVLQPTCNRVPTLERHNSLKKTAPKSPPPPPPPLPPPTSANKTNKASPPLSPKSKSPRLPAIKRGSDANSLNSSSDKVVIPRSTAKTPILERKKSKSFKETSVGSGALSSSIEASLSYSSSLIVEAPGSIAAVRREQMALQHAQRKMRIAHYGRSKSSRFEAKVVPVDSSINVTTKTDEEEKRCSFITANSDPIYVAYHDKEWGVPVHDDKMLFELLVLSGAQVGSDWTSILKKRQDFRDAFSGFDAEIVANITEKQMMSISAEYGIEISRVRGVVDNSKRILEIKKEFGSFDRYIWTFVNNKPFSNQYKFGHKIPVKTSKSETISKDMVRRGFRFVGPTMVHSFMQAVGLTNDHLITCHRHLPCTLMAARRPTEAQADQ >Potri.009G053700.1.v4.1 pep chromosome:Pop_tri_v4:9:5785650:5792037:-1 gene:Potri.009G053700.v4.1 transcript:Potri.009G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053700.v4.1 MADEDDSYPPMDAKRTPICIFFKDVRYVLKLDELGLEIAQIAFPAALAFTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDAIGRVSPEEEDSESLETGSTVNSENKELIPQNYSAEGPCKAKSPVSSFGIDKIENERRCIPSASSALVIGAILGLIQATFLISGAKPLLNFMGVGSDSPMLGPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFGLGVRGAAIAHVLSQYLISVILLWRLMKQVDLLPPSIKHLRLGQFLRNGLLLLMRVVAVTFCVTLSASLAARQGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKDYEKATATATRVLQLGLLLGLMLAAVLGLGLRFGARLFTSDADVLHMISIGIPFVAGTQPINALAFVFDGVNFGASDFAYSAYSMMMVAIVSIPCLFLLSSSHKFIGIWVALTIYMSLRALAGFWRIGTGTGPWNFLRNL >Potri.009G053700.20.v4.1 pep chromosome:Pop_tri_v4:9:5785651:5791858:-1 gene:Potri.009G053700.v4.1 transcript:Potri.009G053700.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053700.v4.1 MADEDDSYPPMDAKRTPICIFFKDVRYVLKLDELGLEIAQIAFPAALAFTADPIASLVDTAFIGQIGPVELAAVGVSIALFNQVSRIAIFPLVSVTTSFVAEEDAIGRVSPEEEDSESLETGSTVNSENKELIPQNYSAEGPCKAKSPVSSFGIDKIENERRCIPSASSALVIGAILGLIQATFLISGAKPLLNFMGVGSDSPMLGPAQQYLTLRSLGAPAVLLSLAMQGVFRGFKDTKTPLYATVAGDVTNIILDPIFMFVFGLGVRGAAIAHVLSQYLISVILLWRLMKQVDLLPPSIKHLRLGQFLRNGLLLLMRVVAVTFCVTLSASLAARQGSTSMAAFQVCLQVWLATSLLADGLAVAGQAILASAFAKKDYEKATATATRVLQLGLLLGLMLAAVLGLGLRFGARLFTSDADVLHMISIGIPFVAGTQPINALAFVFDGVNFGASDFAYSAYSMESLCSLMYN >Potri.008G102500.3.v4.1 pep chromosome:Pop_tri_v4:8:6473832:6478621:1 gene:Potri.008G102500.v4.1 transcript:Potri.008G102500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102500.v4.1 MEDERSLSLLKLMVNEGLFPSPEEDEKRKIIVEKLKTIVVAWAKKVAWQRCLPKQQIAATSATILTYGSYGLGFHDPESDIDALCVGPFFATIAEDFFIVLHNILKSRPEISEIHCVKDSKVPLMRFTFDGISVDLPYAQLKVLNVPENVDILNLSLLTNIDETSWKSLSGVRANQRILLLVPNLMNFQSMLRCLKLWAKRRGVYGNLNGFLGGVHLAVLAAFVCQNQPNASVIALISNFFSTYAMWPWPTPVMLQDGMSSNVEDVIETRFYMPIRLPCSPYEYCHSNVTKSTFTKIRAEFLRGHSMTRDLLKLKLDSDVGRIFEPFPYSTNYTRFVKIYLSAPDQDELGDWVGWVKSHFRCLLLKLEAVQGFCDPNPMEYVDMDASEPNVVFYWGLNRSRSNFVYIEPVEEDFSRSIYCGYYGIRGKMELSIVQASELPKNARFDSGNGKKMKACWKMLDYNQRRTPAYSQHLPSYFVGYVESNGDTEYPSTGG >Potri.008G102500.5.v4.1 pep chromosome:Pop_tri_v4:8:6473768:6478641:1 gene:Potri.008G102500.v4.1 transcript:Potri.008G102500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102500.v4.1 MRFTFDGISVDLPYAQLKVLNVPENVDILNLSLLTNIDETSWKSLSGVRANQRILLLVPNLMNFQSMLRCLKLWAKRRGVYGNLNGFLGGVHLAVLAAFVCQNQPNASVIALISNFFSTYAMWPWPTPVMLQDGMSSNVEDVIETRFYMPIRLPCSPYEYCHSNVTKSTFTKIRAEFLRGHSMTRDLLKLKLDSDVGRIFEPFPYSTNYTRFVKIYLSAPDQDELGDWVGWVKSHFRCLLLKLEAVQGFCDPNPMEYVDMDASEPNVVFYWGLNRSRSNFVYIEPVEEDFSRSIYCGYYGIRGKMELSIVQASELPKNARFDSGNGKKMKACWKMLDYNQRRTPAYSQHLPSYFVGYVESNGDTEYPSTGG >Potri.008G102500.4.v4.1 pep chromosome:Pop_tri_v4:8:6474271:6478662:1 gene:Potri.008G102500.v4.1 transcript:Potri.008G102500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102500.v4.1 MEDERSLSLLKLMVNEGLFPSPEEDEKRKIIVEKLKTIVVAWAKKVAWQRCLPKQQIAATSATILTYGSYGLGFHDPESDIDALCVGPFFATIAEDFFIVLHNILKSRPEISEIHCVKDSKVPLMRFTFDGISVDLPYAQLKVLNVPENVDILNLSLLTNIDETSWKSLSGVRANQRILLLVPNLMNFQSMLRCLKLWAKRRGVYGNLNGFLGGVHLAVLAAFVCQNQPNASVIALISNFFSTYAMWPWPTPVMLQDGMSSNVEDVIETRFYMPIRLPCSPYEYCHSNVTKSTFTKIRAEFLRGHSMTRDLLKLKLDSDVGRIFEPFPYSTNYTRFVKIYLSAPDQDELGDWVGWVKSHFRCLLLKLEAVQGFCDPNPMEYVDMDASEPNVVFYWGLNRSRSNFVYIEPVEEDFSRSIYCGYYGIRGKMELSIVQASELPKNARFDSGNGKKMKACWKMLDYNQRRTPAYSQHLPSYFVGYVESNGDTEYPSTGG >Potri.018G050200.2.v4.1 pep chromosome:Pop_tri_v4:18:4852267:4856964:1 gene:Potri.018G050200.v4.1 transcript:Potri.018G050200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050200.v4.1 MDGGASYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGSRFGFDKADRKRLFSMINDLPTIFEVVTGTAKKQVKEKSSVSNHSSNKTKSNSKRGSESQGKYSKAMQAKDEDDEGLDEEDEEDHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Potri.018G050200.5.v4.1 pep chromosome:Pop_tri_v4:18:4852363:4856969:1 gene:Potri.018G050200.v4.1 transcript:Potri.018G050200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050200.v4.1 MDGGASYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGSRFGFDKADSMINDLPTIFEVVTGTAKKQVKEKSSVSNHSSNKTKSNSKQRGSESQGKYSKAMQAKDEDDEGLDEEDEEDHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Potri.018G050200.1.v4.1 pep chromosome:Pop_tri_v4:18:4852247:4856969:1 gene:Potri.018G050200.v4.1 transcript:Potri.018G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050200.v4.1 MDGGASYNPRTVEEVFRDFKGRRAGMIKALTTDVEEFYQQCDPEKENLCLYGFPSEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLSVAFYFGSRFGFDKADRKRLFSMINDLPTIFEVVTGTAKKQVKEKSSVSNHSSNKTKSNSKQRGSESQGKYSKAMQAKDEDDEGLDEEDEEDHGETLCGACGENYASDEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Potri.008G101300.1.v4.1 pep chromosome:Pop_tri_v4:8:6358721:6373568:-1 gene:Potri.008G101300.v4.1 transcript:Potri.008G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101300.v4.1 MFFNGDSSTRKRVDLGGRSSKERDRKKLLEQTRLERNSRLWVKQQNAAAVKIQKWFRGRKAVEAEHSRVRGKFHGTYGKCCQNVDRHCFGPDSEFFRQLLFFFNAKDSDDFTILVETCRLLLQNVQDSGDIVSLFAGGDYSTKHALVEYRVKKLSFACIWAIYQNRKQLKDQLVMMPRDSSITATLLLEAVALLIDPKLPWACKVVGYLLQRNVFALFREIVLTGKENMRSDSSIRNASPLERILALVISHVGQKPCICPTIDLQWSFSSQMLTIPLLWRLFPNLKEVFATQGLSRHYINQMARCMRNNTYVLPNDLSVEYPGHACLLGNMLETAGAALSHADCSFEMAIDIAAVTTFLLEALPPIKSSSPEIRPSSTLDEDDMALPDEMEIVLNKDLEHKIVHAMHSRFLLQLTSVLFGEITMVSGSNHGLDDKEVAAIGAACAFLHVAFNTLPVERMMTVLAFRTELVQVLWNFMKQCHENKKWPSLPDQLSYLPGNAPGWLLPLAVFCPVYKYMLMLVDNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVNPKVNSNSVKLIKNTSVYSGNPIESIKQRVSLVASELLSQLQDWNNRRQFAPPNDFHADGVDDSFISQAVIDGTKANDIMKQAPFLVPFTSRVKIFNSQLLAVRQRQGSHGVFTRNRYRIRRDHILEDAYNQMSALSEEDLRGLIRVSFINEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSDHLLYPNPGSGMLHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKKKYNYLNDLPSLDSELYRHLIFLKRYQGDISDLELYFVIVNNEYGELTEEELLPGGRNQRVTNDNVIPFTHLVSNYRLNYQIRLQSSHFMRGFQQLIKKEWIDMFDEHELQLLISGSLDGLDIDDLRIHSNYGGGYHSEHYVIEMFWEVLKGFSMENQKKILKFVTGCSRGPLLGFKYLEPLFCIQRAGGTASEEALDRLPTSATCMNLLKLPPYRSKEQLATKLLYAINADAGFDLS >Potri.008G101300.2.v4.1 pep chromosome:Pop_tri_v4:8:6358716:6370534:-1 gene:Potri.008G101300.v4.1 transcript:Potri.008G101300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101300.v4.1 MMPRDSSITATLLLEAVALLIDPKLPWACKVVGYLLQRNVFALFREIVLTGKENMRSDSSIRNASPLERILALVISHVGQKPCICPTIDLQWSFSSQMLTIPLLWRLFPNLKEVFATQGLSRHYINQMARCMRNNTYVLPNDLSVEYPGHACLLGNMLETAGAALSHADCSFEMAIDIAAVTTFLLEALPPIKSSSPEIRPSSTLDEDDMALPDEMEIVLNKDLEHKIVHAMHSRFLLQLTSVLFGEITMVSGSNHGLDDKEVAAIGAACAFLHVAFNTLPVERMMTVLAFRTELVQVLWNFMKQCHENKKWPSLPDQLSYLPGNAPGWLLPLAVFCPVYKYMLMLVDNEEFYEQEKPLSLKDVRCLIVILRQALWQLLWVNPKVNSNSVKLIKNTSVYSGNPIESIKQRVSLVASELLSQLQDWNNRRQFAPPNDFHADGVDDSFISQAVIDGTKANDIMKQAPFLVPFTSRVKIFNSQLLAVRQRQGSHGVFTRNRYRIRRDHILEDAYNQMSALSEEDLRGLIRVSFINEFGVEEAGIDGGGIFKDFMENITRAAFDVQYGLFKETSDHLLYPNPGSGMLHEQHLQFFHFLGTLLAKAMFEGILVDIPFATFFLSKLKKKYNYLNDLPSLDSELYRHLIFLKRYQGDISDLELYFVIVNNEYGELTEEELLPGGRNQRVTNDNVIPFTHLVSNYRLNYQIRLQSSHFMRGFQQLIKKEWIDMFDEHELQLLISGSLDGLDIDDLRIHSNYGGGYHSEHYVIEMFWEVLKGFSMENQKKILKFVTGCSRGPLLGFKYLEPLFCIQRAGGTASEEALDRLPTSATCMNLLKLPPYRSKEQLATKLLYAINADAGFDLS >Potri.T124405.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_522:14082:15049:1 gene:Potri.T124405.v4.1 transcript:Potri.T124405.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124405.v4.1 MVSRRKSNKQDKGKAIAVSEPLSVCTGPIRTSFGANPLMANPCAEDARDPPLSYPESLVLTSSAGEGHNPSPPNSLEITTGDVNMAGNPVMEVSAPSRVRN >Potri.001G055650.1.v4.1 pep chromosome:Pop_tri_v4:1:4185413:4187413:-1 gene:Potri.001G055650.v4.1 transcript:Potri.001G055650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055650.v4.1 MADKDQTPIDQDRVNFIQPMLSDSEVMDTDHHKSSLQGGFEHQMNTSLGISADGFLGSLSPLHEKMERAKGGLLEGARQFSVIVKEALSVLENFCPSTSPSNLIDIDESDNKEDNGTGSDHSGDQGKGGPTPSKKRAKGETDEPRKRQKLEPGEAMGSSQSSSITSNNFSSLKKKATNPEVESLITILRRSLELLKQQDKSMDDMKVTTNHDIEANSIRKLLNNGLQPGTGRSKLAEEADIRHAKQWMKEVDLESEEESQSLTPCKKLLVLVMADPLAQILYMRLFNQLGKQENIAMEFQVAKTFEEAVECLCDYGDSFNLILMDMEMRRCNSSKLTRITTNSRTY >Potri.019G021200.5.v4.1 pep chromosome:Pop_tri_v4:19:3295340:3299714:-1 gene:Potri.019G021200.v4.1 transcript:Potri.019G021200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021200.v4.1 MSAAARRRGSEAFFIQRCQMKIMGMILLSPITSSLSSTNHYNSISSFTSSSSSRSRYKHKNDDASSSFRNIDDALASFNHMLHRKPLPCIIQFNKLLSAIVRMRQYYDAVISLSKQMELAGLSPNTCTLNILINCFCLMQHVDLGFSVLAKVIKLGLQPTIITFTTLINGLCKAGEFAQALELFDDMVARGCQPDVYTYTTIINGLCKMGETAAAAGLIKKMGEVGCQPDVVTYSTLIDSLCKDRLVNEALDIFSYMKAKGISPTVVSYTSLIQGLCSFSRWKEASTMLNEMTSLNIMPDIVTFSLLIDIFCKEGNVLEAQGVLKTMTEMGVEPNVITYNSLMHGYSLQMEVVEARKLFDVMITRGCKPDVFSYSILINGYCMVKRIDEAKQLFNEMIHQGLTPNTVSYTTLIHAFCQLGKLREARELFKDMHTNGYLPDLCTYSVLLEGFCKQGYLGKAFRLFRAMQELFVHGLQPDVQIYTTIINGLCKEGLLDEALEAFRKMEEDGCPPNEFSYNVIIRGFLQHKDESRAVQLIGEMRDKGFVADEGTTAW >Potri.019G021200.4.v4.1 pep chromosome:Pop_tri_v4:19:3295289:3299713:-1 gene:Potri.019G021200.v4.1 transcript:Potri.019G021200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021200.v4.1 MSAAARRRGSEAFFIQRCQMKIMGMILLSPITSSLSSTNHYNSISSFTSSSSSRSRYKHKNDDASSSFRNIDDALASFNHMLHRKPLPCIIQFNKLLSAIVRMRQYYDAVISLSKQMELAGLSPNTCTLNILINCFCLMQHVDLGFSVLAKVIKLGLQPTIITFTTLINGLCKAGEFAQALELFDDMVARGCQPDVYTYTTIINGLCKMGETAAAAGLIKKMGEVGCQPDVVTYSTLIDSLCKDRLVNEALDIFSYMKAKGISPTVVSYTSLIQGLCSFSRWKEASTMLNEMTSLNIMPDIVTFSLLIDIFCKEGNVLEAQGVLKTMTEMGVEPNVITYNSLMHGYSLQMEVVEARKLFDVMITRGCKPDVFSYSILINGYCMVKRIDEAKQLFNEMIHQGLTPNTVSYTTLIHAFCQLGKLREARELFKDMHTNGYLPDLCTYSVLLEGFCKQGYLGKAFRLFRAMQGTYLKPNLVMYTILIDSMCKSGNLNHARKLFSELFVHGLQPDVQIYTTIINGLCKEGLLDEALEAFRKMEEDGCPPNEFSYNVIIRGFLQHKDESRAVQLIGEMRDKGFVADEGTTAW >Potri.019G021200.3.v4.1 pep chromosome:Pop_tri_v4:19:3295340:3299723:-1 gene:Potri.019G021200.v4.1 transcript:Potri.019G021200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021200.v4.1 MSAAARRRGSEAFFIQRCQMKIMGMILLSPITSSLSSTNHYNSISSFTSSSSSRSRYKHKNDDASSSFRNIDDALASFNHMLHRKPLPCIIQFNKLLSAIVRMRQYYDAVISLSKQMELAGLSPNTCTLNILINCFCLMQHVDLGFSVLAKVIKLGLQPTIITFTTLINGLCKAGEFAQALELFDDMVARGCQPDVYTYTTIINGLCKMGETAAAAGLIKKMGEVGCQPDVVTYSTLIDSLCKDRLVNEALDIFSYMKAKGISPTVVSYTSLIQGLCSFSRWKEASTMLNEMTSLNIMPDIVTFSLLIDIFCKEGNVLEAQGVLKTMTEMGVEPNVITYNSLMHGYSLQMEVVEARKLFDVMITRGCKPDVFSYSILINGYCMVKRIDEAKQLFNEMIHQGLTPNTVSYTTLIHAFCQLGKLREARELFKDMHTNGYLPDLCTYSVLLEGFCKQGYLGKAFRLFRAMQGTYLKPNLVMYTILIDSMCKSGNLNHARKLFSELFVHGLQPDVQIYTTIINGLCKEGLLDEALEAFRKMEEDGCPPNEFSYNVIIRGFLQHKDESRAVQLIGEMRDKGFVADEGTTAW >Potri.010G167100.20.v4.1 pep chromosome:Pop_tri_v4:10:16997737:17001256:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLIGGESSYGAYGGFSSGRSGGAGLSGQNPVSQRKHHGY >Potri.010G167100.16.v4.1 pep chromosome:Pop_tri_v4:10:16996281:17001256:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLIGGESSYGAYGGFSSGRSGGAGLSGQNPVSQRKHHGY >Potri.010G167100.19.v4.1 pep chromosome:Pop_tri_v4:10:16997574:17001256:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLIGGESSYGAYGGFSSGRSGGAGLSGQNPVSQRKHHGY >Potri.010G167100.23.v4.1 pep chromosome:Pop_tri_v4:10:16996281:17001241:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLVFLSLHMCV >Potri.010G167100.22.v4.1 pep chromosome:Pop_tri_v4:10:16996231:17001222:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLIGGESSYGAYGGFSSGRSGGAGLSGQNPVSQRKHHGY >Potri.010G167100.17.v4.1 pep chromosome:Pop_tri_v4:10:16997469:17001256:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLIGGESSYGAYGGFSSGRSGGAGLSGQNPVSQRKHHGY >Potri.010G167100.21.v4.1 pep chromosome:Pop_tri_v4:10:16996255:17001241:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLIGGESSYGAYGGFSSGRSGGAGLSGQNPVSQRKHHGY >Potri.010G167100.15.v4.1 pep chromosome:Pop_tri_v4:10:16996221:17001256:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLIGGESSYGAYGGFSSGRSGGAGLSGQNPVSQRKHHGY >Potri.010G167100.18.v4.1 pep chromosome:Pop_tri_v4:10:16997573:17001256:-1 gene:Potri.010G167100.v4.1 transcript:Potri.010G167100.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167100.v4.1 MAGQRNDYGKRSQHSQSDYGGGKRRNPGDDPSDQNTITNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERIVTIYSSSEETNLFGETGEYVCPAQDALFMVHDRVIAEDLNNAAAEEEEGEDNFGEVQQVTVRMLVPADQIGCVIGKGGQVIQNIRSETCAQIRITKDDHLPPLALSIDELLLIHGEPSAVRKALYQVATRLHENPSRSQHLILSSSANVHGGVFVTANAGAPVLGLYGNYKGGWSSSFYPDQRDESSTKEFSLRLVCPTANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGDDCIIFISAKEFFEDQSPTMNAALRLQPRCSDKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNQTRANIRIISEDNLPKVAVEDDEMVQITGSLEVASNALLQVILRLKANLFGRDGALTAFPPALPYIPMSLDTSDGSKYGSRDSQSRGRGYTSSSSGYGSRDVHPSDSYGSNGGSLIGGESSYGAYGGFSSGRSGGAGLSGQNPVSQRKHHGY >Potri.015G116700.4.v4.1 pep chromosome:Pop_tri_v4:15:13123097:13126955:-1 gene:Potri.015G116700.v4.1 transcript:Potri.015G116700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116700.v4.1 MQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPKEGDWFYTPVYTTCDLTLNSLYLPFKSPRMIRSATQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQHATLVQTFGQRNHVCLKDGSITVPSYAPPQKMQTHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQQAVFCLCPLSWAPWSPRLVEALIFGYIPVIIVDDIVLPFADAIPWEEIGVFVDEKDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQLAQAGDAFHQVLNGLARKLSHDRNVYLKSGEKILNWTAGLVGDLKP >Potri.015G102200.1.v4.1 pep chromosome:Pop_tri_v4:15:12139522:12146364:-1 gene:Potri.015G102200.v4.1 transcript:Potri.015G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102200.v4.1 MATPKQHIEHIRKTTFSIGGEKNPLAPMLDQAVKYLSAELYAKDVHFLMELIQNAEDNEYLERVDPSLEFVITSRDITNTGAPATLLIFNNEKGFSAKNIESICNVGNSTKKGNRKRGYIGEKGIGFKSVFLIAAQPYIFSNGYQIRFNEKPCPHCNLGYIVPEWVDDSPSLSDIKQIYGSASTLPTTTLILPLKPDKVNPVKQQLSSIHPEILLFLSKIKRLSVREENEDPRLNTVSAVAITKETNFMQRKNIDAESYTLHLSADENSDEFEKECSYYLWKQKFPVRQENRVDMRMEVEDLVITLAFPNGERLHRGMKYSPGIYAFLPTEMVTDFPFIIQADFILASSRETIRWDNIWNQGILDCVPFAFIEAFVSLVKTVHGAPASSLPRMFKFLPVHSPPFEKLNSVRESIKAKLAEKDIIPSESYTAQQFFHKPREVGRLMPAFWNILKKTRERGVSLHKLSSHGCYVLNSSFDKPEYDDILDFLGVRPVSSEWYVKCIQGSNIVMGVSEETYLELLHFLAVNWQSEFHSTGMGNIPLIKYVGTDGSVSLCSVNESAQLYGKTLCLSLQSSRVSWLIDWNREFRCMANHFFVPRTTQEAICSSSNKELVLKWLVDLVKIKALSVYHYADLYGDQVSCDRKLVIAYAHFLHHSFLNDYLSEREVVSLCGKMPLVDSYGHVIKARNAVLVPANESKWVQLIGSNPWSEESYVELGEDYLHPACFAGTSTVGNQLMNFLKVYVKASDIPHISPPNAGIPTASTPLTKQNAFLLLDWIRELKRCGIHIPARFMACIQEGSWLKITMNGSPGYKPPSQSFLLASSNRSSKWGNILQSASVLVDIPLIDQGFYGHKITEYREELRTVGVMFEYGEACKFIGNHLMSLAASSALTKSNVISILNFIRFLRQNFLSLDEFIGRIKEERWLRTCWGDRSPVGSVLYDQEWTTARQISDIPFIDEDYYGEDILFFKPELQLLGVVVGFNESYQLVVDCFKSPSCLSTLTKEAFLLVLDCMHHSNSAHKLVNAVKSTKCLKTNLGYKCPGDCFLFNPEWGCLLKVFGGFPLVDSNFYGSSIISHNTELKELGVKVDFEDAVRVFVHTFIKQASSSSITKDNVFSFISCYRKLKGTPNKFPSDLKKCIREVKWLRTRLGDYRSPRECILFGPVWELIYPITRLPFIDDSDKYYGNGIHEYRNELKSMGVVVEFKTGVKFVAAGLCFPQNPRCIAPGNVLSLLECIRALLQENDYSFPDAFLKNISRGWLKTHAGFRSPGNCCLFNSRWSSHVRPTDGPFIDEDFYGSDIKLYSKELSAIGVDEEKVCSLLASHLDSHSEFDTIVRVYDFLRENKWKPDSDATRKIWIPDGLENGMWVDPEECALHDKNGLFGLQLNVLENHYKPKLLHFFSSSFNVKSNPSFDDYCKLWKVWESLGRPLTHAECCAFWECVMMQRSSRTERTLADDLVKLPVVLRSGEILLSSKSDVFIADDLLLKDLFEKFSSRPIFVWCPQPNLPSLPRTRLLEVYRKIGVRTVSESVLKEELSLADGVELSQMDSRDAGIGKELIRLILGFLADPSLDMEATKRHGAVQCLLNLKVLETMEPITVSYSLLLSDGEPLKVKASRMIRWDKECSKFFTQKMDKAGGRKNLIEYATSFSEEIARGVLWDKEDQIKALSELIKLAFLLNFDEQAVQFLMKSNNLQTFLEDEEFLNAAFPSV >Potri.005G050500.2.v4.1 pep chromosome:Pop_tri_v4:5:3196408:3198553:-1 gene:Potri.005G050500.v4.1 transcript:Potri.005G050500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050500.v4.1 MFTRKSAFRATASDFRNLQQHMEMGIVPSFLFFNHYHISTSACTKKPSLPHKNGGFVSNNSTNISIDDALASFYRMVRVNPRPSVVEFGKFLGSFAKKKQYSTVVSLCNHMDLFGVTHNVYSLSALINCLCRLNHVDFAVSILGKMVKLGIQPNVITFNTLLNGLCMEGKIKEAEELFNEMVRQGHEPDVISYNTIINGLCKTGNTSMAVDVFKKMEQHGCKPDVVTYSTIIDSLCKDRLVNDAMKFLSEMVERGIPSNVVTYSSIVHGFCNLGQLNEATRLFKEMVGRDVMPNTVTFTILVDGLCKEGMVSEAQRVFETMIEKGVEPNIYTYNALMDGYCLQRQMNEAKKVFEIMIHEGCAPDVHSYNILINGYCKSRRMDEAKSLLAEMYHKALNPDTVTYSTLMQGLCQLGRPKEALNLFNEMCSSGLLPDMMTYSILLDGFCKHGYLDEALKLLKSMQEKKLEPDIVLCTILIEGMIIAGKLEVAKELFSKLFADGIRPTIRTYTVMIKGLLKAGLSDEAYDLFRKMEDDGFLPDSCSYNVIIQGFLQNQDSSTAIRLIDEMVGKRFSADSSTVQMLLDLESQDEIISQFMRGSSQGRKIK >Potri.004G167400.1.v4.1 pep chromosome:Pop_tri_v4:4:18561049:18563567:-1 gene:Potri.004G167400.v4.1 transcript:Potri.004G167400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167400.v4.1 MRPPKRPIQVVTTWVRRQPPKVKAFLAVVAGMTALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKTQELTAMFLAVRLYCSFVMEYDIHTVLDLATLATTLWVIYTIRFKLKSSYMEDKDNFALYYVAAPCAVLALLIHPSTSHNLLNRILWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Potri.014G090100.4.v4.1 pep chromosome:Pop_tri_v4:14:5850821:5864633:1 gene:Potri.014G090100.v4.1 transcript:Potri.014G090100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090100.v4.1 MKQSRDGIWSDRNSKSSSVASVPLILDIDDFKGDFSFDALFGNLVNDLLPSFQDEEADSAEGNIGGSDMLANGDVRAPSDAAKLAQGLSSPLFPEVDSLLSLFRDSCTELIDLRKQIDGRLYNLKKEVSVQDSKHRKTLAELEQGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASLTIELIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDLGRQGLSVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQKRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADTRLVLGDHGSHASPSNVARGLSSLFKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNLPPMEEGGLLLYLRMLAVAYEKTQELARDLRAMGCGDLDVEGLTESLFSSHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCALFSSLPATLAANVKAVFTCLLDQVGQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGMAPDHRPTNACTRVVAYLARVLEAAFTALEGLNKQAFLTELGIRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWTSSS >Potri.014G090100.2.v4.1 pep chromosome:Pop_tri_v4:14:5850828:5864545:1 gene:Potri.014G090100.v4.1 transcript:Potri.014G090100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090100.v4.1 MKQSRDGIWSDRNSKSSSVASVPLILDIDDFKGDFSFDALFGNLVNDLLPSFQDEEADSAEGNIGGSDMLANGDVRAPSDAAKLAQGLSSPLFPEVDSLLSLFRDSCTELIDLRKQIDGRLYNLKKEVSVQDSKHRKTLAELEQGVDGLFDSFARLDSRISSVGQTAAKIGDHLQSADAQRETASLTIELIKYLMEFNGSPGDLMELSPLFSDDSRVAEAASIAQKLRSFAEEDLGRQGLSVPSVMGNATASRGLEVAVANLQDYCNELENRLLARFDAASQKRELSTMAECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNADTRLVLGDHGSHASPSNVARGLSSLFKEITDTVRKEAATIMAVFPSPNDVMSILVQRVLEQRVTALLDKLLVKPSLVNLPPMEEGGLLLYLRMLAVAYEKTQELARDLRAMGCGDLDVEGLTESLFSSHKDEYPEHEQASLRQLYQAKMEELHAESQHLSESTGTIGRSKGASVASSHQQISVTVVTEFVRWNEEAISRCALFSSLPATLAANVKAVFTCLLDQVGQYITEGLERARDGLTEAAALRERFVLGTSVSRRVAAAAASAAEAAAAAGESSFRSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMATAMSSAEAAAYKGLQQCIETVMAEVERLLSAEQKATDYRSPDDGMAPDHRPTNACTRVVAYLARVLEAAFTALEGLNKQAFLTELGIRLHKGLLNHWQKFTFNPSGGLRLKRDITEYGEFVRSFNAPSVDEKFELLGIMANVFIVAPESLSTLFEGTPSIRKDAQRFIQLREDYKSAKLASRLSSLWTSSS >Potri.010G155000.3.v4.1 pep chromosome:Pop_tri_v4:10:22687502:22689139:1 gene:Potri.010G155000.v4.1 transcript:Potri.010G155000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155000.v4.1 MCLYKYTQWHPRTYTQHETQTRGRIMSKPIGSEWSVMLISILFLLNYLLLWQLGSGASFDDRIDEAARSGSLPDDEVSALRLLSKNLLSKDTMQLTLTYPICSPEKHAEIRCDYCHSIKNQSVCSVTIINLPSKNLDGSIDPSIDLFEKLEAL >Potri.010G155000.2.v4.1 pep chromosome:Pop_tri_v4:10:22687502:22689153:1 gene:Potri.010G155000.v4.1 transcript:Potri.010G155000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155000.v4.1 MCLYKYTQWHPRTYTQHETQTRGRIMSKPIGSEWSVMLISILFLLNYLLLWQLGSGASFDDRIDEAARSGSLPDDEVSALRLLSKNLLSKDTMQLTLTYPICSPEKHAEIRCDYCHSIKNQSVCSVTIINLPSKNLDGSIDPSIDLFEKLEAL >Potri.010G155000.1.v4.1 pep chromosome:Pop_tri_v4:10:22687578:22689139:1 gene:Potri.010G155000.v4.1 transcript:Potri.010G155000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155000.v4.1 MSKPIGSEWSVMLISILFLLNYLLLWQLGSGASFDDRIDEAARSGSLPDDEVSALRLLSKNLLSKDTMQLTLTYPICSPEKHAEIRCDYCHSIKNQSVCSVTIINLPSKNLDGSIDPSIDLFEKLEALNLFNNQLSGEIPATLGNLQYLKILYVKALIPCSIFINDGMHRLCLFLNHGTMTVLNIRNLSSNSLTGSIPPNLTKLHNLEYL >Potri.011G125100.1.v4.1 pep chromosome:Pop_tri_v4:11:15816420:15820293:1 gene:Potri.011G125100.v4.1 transcript:Potri.011G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125100.v4.1 MKRGMRKTAGGLRGLFVYSFLLSTIRVSNAPDIISPGQFIGDGDTIVSAGQNFELGFFSPGSSTRRYLGIWYKKFSTGTVVWVANREIPIFDHSGVLYFTNQGTLLLLNGTKDVVWSSNRTTPKSNPVAQLLESGNLVVKDGNDSNPESFLWQSFDYPGDTNLPDMKLGRNLVTGLDWSISSWKSLDDPARGEYSLGIDPRGYQQLVCKKGRAIQFRAGSWNGIRFTGATRLRPNPVYRYEFVLNDKEVYFNFELLNSSVASRFVVNASGVVERLTWISQMHRWTRYFAVGEDQCDAYSFCGSNAKCNIDKSPVCACLDGFEPKSARDWSFQDWSGGCVRRTTLTCNRGEGFVKHTGMKLPDTSSSWYNTSISLRECQELCLKNCSCMAYANMDVRGRGSGCLLWFGDLIDLREFVNTGQDLYIRMAASYLDNIKRNERTKSEMLVGFIVCSILLVTGVSVLGWMFHRRKRKIRNQGKMKNILEMDYDSHSRKEELELPIIDLSTIAKATGNFSSNKKLGEGGFGLVYKGTLYGQDIAVKRLSMYSGQGIEEFKNEVLLIAKLQHRNLVKLLGCCIEGDERMLIYEYMPNKSLDYFIFDQSRSKLLDWPTRISIIDGIARGLLYLHQDSRLRIIHRDLKASNVLLDTDMNPKISDFGMARIFGGNQTEANTKRVVGTYGYMAPEYAVEGLFSVKSDIFSFGVLVLEIVSGRKNRGFFSHNHHLNLVGHAWKLWMEERSLELTDNTLGASHALSEIIRYIHVGLLCVQQQPDDRPNMSTAVLMLGGESSLPQPKQPGFFLERNVPRTQSSSSNYKSTSTNEITMTAQYPR >Potri.001G023100.1.v4.1 pep chromosome:Pop_tri_v4:1:1792003:1793864:-1 gene:Potri.001G023100.v4.1 transcript:Potri.001G023100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023100.v4.1 MATLVSGRSTLNPNAPLFIPNVYRQVEDFSPEWWELVKTSTWFRDFWLSQHPEGSFDGSAGADDDLTDLLPEDLDVGVEEFSNLEAQFEEMVMLAEAEEKTDFSATDPKVEMKPLNGLLVDVKALLNDLNVPKSPKDRNPRSPRTPTKYQTKPLHCVSGKRTALNIHQPR >Potri.012G052501.1.v4.1 pep chromosome:Pop_tri_v4:12:4708101:4709820:-1 gene:Potri.012G052501.v4.1 transcript:Potri.012G052501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052501.v4.1 MVIFRCPGTDLPRLKHLSLLLLLVDADCIYKSAGICDVFQADGLQTRVLITCKSGKFICLLIF >Potri.010G007250.1.v4.1 pep chromosome:Pop_tri_v4:10:690654:695285:-1 gene:Potri.010G007250.v4.1 transcript:Potri.010G007250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007250.v4.1 MNFEMPSVLSPLTKHTAVSYSLSSILDSSILLKRSLSRSKDLLQSYRFRSLQIHLLSGSVLPSSSSFHRL >Potri.007G051401.1.v4.1 pep chromosome:Pop_tri_v4:7:4983180:4983852:1 gene:Potri.007G051401.v4.1 transcript:Potri.007G051401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051401.v4.1 MRCIPLGILCAWLALHISSSGFSSLSGGLLASCSYSREPDVRKITSCQAHDSETHAQNHKT >Potri.016G037300.1.v4.1 pep chromosome:Pop_tri_v4:16:2280082:2282207:-1 gene:Potri.016G037300.v4.1 transcript:Potri.016G037300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037300.v4.1 MLEELSPISLFSTFGWPLEEAISHEQLYSFRDGETPESFTHFPPSQPDVRQLDRSTSFTAHSGSGDPSMAKKLNHNASERDRRKKINSLYSSLRSLLPAADQRKKLSIPYTVSRVLVYIPKLQQQVERLIQRKEELLSKLSRQADDLTHQENQRKGTMYSSLSSVSASRLSDREVVIHISTNKLHRSSLSEILVNLEEAGLLLLNSSSFESFGGRVFYNLHLQAMEGTYTVECEALNERLVSLCEKRESLFPLNSSSPYSNCVF >Potri.008G041700.1.v4.1 pep chromosome:Pop_tri_v4:8:2341916:2345059:-1 gene:Potri.008G041700.v4.1 transcript:Potri.008G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041700.v4.1 MRIKSSSCFLFSVLNFAILLLSTPAVTTHDHLEEQRRDRIMKLPGQPPNVSFSQFSGYITVDPVEGRALFYWLIEAPKTVKPRSKPLVLWLNGGPGCSSVAYGASEEVGPFRVRPDGETLHLNPYAWNKVANLLFLDSPAGVGFSYSNTSSDIYTVGDERTAEDAYTFLINWLERFPRYKHRSFYIAGESYAGHYIPELSRIIARRNKGVKNPVINFIGFLLGNPLLDDYHDNTGTHEFWWNHGLISDSTYEDLKKFCPNNSFLFPRNECYGALERAYSEFGDINPYSIYSPPCNVISTLRHNLKHSLPWKFRGNDECVVMYTKRYMNRPEVQKALHANITRVPHPWVTCSSIVRSNWSDSPKSMLPIFKELIAAGIRIWVFSGDADAILPLTATRYSINALQLETNTSWYAWYDDHQQVGGWSQVYKGLTYVTVRGAGHEVPLTQPRLALLLFRQFLKNEPMPAL >Potri.004G085600.1.v4.1 pep chromosome:Pop_tri_v4:4:7130975:7132964:1 gene:Potri.004G085600.v4.1 transcript:Potri.004G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085600.v4.1 MAAAVVSQENVVASLVSRTGRHLQRYNKGRRQVVGCIPYRYTKGKGEDGFQVLVISSQKGKGMLFPKGGWESDETIKQGAVRETYEEAGVKGVLEPQLGEWTFQSRTHGTDYEGYMFPLRVKEELDFWPEKTNRLRKWMSVTEARECCQHWWMKEALDVLVDRLAGQQQLDEDEVGSCSLSFQAKSNL >Potri.001G144000.1.v4.1 pep chromosome:Pop_tri_v4:1:11857739:11862704:-1 gene:Potri.001G144000.v4.1 transcript:Potri.001G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144000.v4.1 MAESSIISDQKVEALAKKGMDRIKKVYVWDMDETLILLKSLLNGTYAQGFNGLKDVQKGIEIGKMWEKHILQICDDLFFYEQVENYNKPFLDAMSQYDDGLDLSNYDFNQDGFSPPSDDVNKKKLAYRHRAIANKYKQGLHNILDQEMINLWEELYNLTDEYTDRWLSSARAFLEQCSGWKEDPTRCLASTDGIINHTDAKFEPINVLVTSGSLIPSLVKCLLFRLDNSIAHENVYSSWEVGKPQCFQWIKERFNGPNVHFCVIGDGWEECEGAQAMQWPFVKIGMHPGGDHRFPGLTLRTLGYYFAVVYGDPDAENNEDES >Potri.001G225812.1.v4.1 pep chromosome:Pop_tri_v4:1:24433029:24435778:1 gene:Potri.001G225812.v4.1 transcript:Potri.001G225812.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225812.v4.1 MAIHLGWIFSGMLLLVSSFASAKLLMPNNIMDLNVPFATNSFNRKSFPSDFIFGTASSSYQYEGDANESCRGRSIWDTFTQEFPERIADGSNGEMGIDFYHRYQSDLQTVKDMNMDSFRFSISWSRVIPSGKIRAGVNRDGIEFYNKLINATIAKGLQPFVTIFHWDTPQALEDMYGGFLSDNIVNDFRDFAELCFQEFGDRVKYWITINEPHKYSSDGYDSGQFAPGRCSKWVDEKYCKHGNSATEPYLVAHNLLLSHVAAADTYKKRYQVSTKCTFLMDKLLFNPYDISEIISLSLVFKA >Potri.005G191900.2.v4.1 pep chromosome:Pop_tri_v4:5:19923553:19926792:1 gene:Potri.005G191900.v4.1 transcript:Potri.005G191900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191900.v4.1 MLGDSSSVLATTTTSTPGGGGGGGGAGDHKEAPPLTGGNHEGGSNDVGGEEDKGKIEGDRSYGGSRWPRQETLALLKIRSGMDVAFRDASVKGPLWEEVSRKLAELGYNRSGKKCKEKFENVYKYHKRTKDGRTGKQEGKTYRFFDQLEAFESRPPSLSSPLSLPPQPPKAPTPAVTAIAMPVVNPSPNIVRASHSTVPSTAAATLATNMSQGIVTSAIIYLTVPPFPSTNPTILPPSQATNPTNPPHTNTPPSFPNFSPDLISNSTSSSTSSDVELQERRKRKRKWKDFFERLMKEVIQKQEEMQKKFLEAIERREHERMVREESWRMQEMTRINREREILAQERSVAASKDAAVMAFLQKLSEEQNPGQIQNNPPPSQPPRPPAPPPILQLVPTPPPPGHYHKRWLMWT >Potri.005G191900.3.v4.1 pep chromosome:Pop_tri_v4:5:19923885:19926602:1 gene:Potri.005G191900.v4.1 transcript:Potri.005G191900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191900.v4.1 MFLLQLLLYTRKLAELGYNRSGKKCKEKFENVYKYHKRTKDGRTGKQEGKTYRFFDQLEAFESRPPSLSSPLSLPPQPPKAPTPAVTAIAMPVVNPSPNIVRASHSTVPSTAAATLATNMSQGIVTSAIIYLTVPPFPSTNPTILPPSQATNPTNPPHTNTPPSFPNFSPDLISNSTSSSTSSDVELQERRKRKRKWKDFFERLMKEVIQKQEEMQKKFLEAIERREHERMVREESWRMQEMTRINREREILAQERSVAASKDAAVMAFLQKLSEEQNPGQIQNNPPPSQPPRPPAPPPILQLVPTPPPPGHYHKRWLMWT >Potri.005G221500.1.v4.1 pep chromosome:Pop_tri_v4:5:22348241:22349583:1 gene:Potri.005G221500.v4.1 transcript:Potri.005G221500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221500.v4.1 MESSNQHDEDSKSSSDEEITDRSYQDTGTGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKSRPSSVPSFSSKVDEDFPSFRGYPPLQSYPAHYPTPHEVHTNYQTFFPLSSTWGIGSPYAQQHNGDFYAQTPQHLYPFGEDICRGSLSQQIDPSHVDDNTKEKIEEGSEADDDLDLELRLGHDP >Potri.005G221500.2.v4.1 pep chromosome:Pop_tri_v4:5:22348241:22349583:1 gene:Potri.005G221500.v4.1 transcript:Potri.005G221500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221500.v4.1 MESSNQHDEDSKSSSDEEITDRSYQDTGTGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKSRPSSVPSFSSKVDEDFPSFRGYPPLQSYPAHYPTPHEHLYPFGEDICRGSLSQQIDPSHVDDNTKEKIEEGSEADDDLDLELRLGHDP >Potri.004G156100.1.v4.1 pep chromosome:Pop_tri_v4:4:17738295:17741459:-1 gene:Potri.004G156100.v4.1 transcript:Potri.004G156100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156100.v4.1 MNSKNLVRREKQTLKALETLEEDEEYNWKEVTLPSFIPVVPKPELDRETGERRRGRDIVVAIDHGPNSKHAFDWALIHLCRLADTIHLVHAILDMKNVLVYDTTEGLLEKLAVEALQVAMVKTVARIVQGDPGKVICREANRLKPAAVVMGTRGRGLIQSVLQGSVGEYCLHNCKVPVIIVPGKAESAPLM >Potri.004G156100.2.v4.1 pep chromosome:Pop_tri_v4:4:17738295:17741458:-1 gene:Potri.004G156100.v4.1 transcript:Potri.004G156100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156100.v4.1 MNSKNLVRREKQTLKALETLEEDEEYNWKEVTLPSFIPVVPKPELDRETGERRRGRDIVVAIDHGPNSKHAFDWALIHLCRLADTIHLVHAILDMKNVLVYDTTEGLLEKLAVEALQVAMVKTVARIVQGDPGKVICREANRLKPAAVVMGTRGRGLIQSVLQGSVGEYCLHNCKVPVIIVPGKAESAPLM >Potri.017G066200.3.v4.1 pep chromosome:Pop_tri_v4:17:7187657:7191070:1 gene:Potri.017G066200.v4.1 transcript:Potri.017G066200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066200.v4.1 KLGNLPPKQPAFKPLPFTPAPDQEHSISKDKFFLDSKTDEEIELEDDLHLYDNRFLQEYNKKRLAEMRKSIQVARFGSVVLISSSDFVREVSQIGSDVWIVVLLYTNGYAECSVLMKCLEELVVKYPGTKFVKIVSTDCLLNYPDYNLPTLLVYNNGAVIANFVGLRRFRQRSTSEGVALTLCQSDHVLNSGHDGIDKLRDFVIERLWRSLIKKVVRNMKTMMVDHQV >Potri.017G066200.2.v4.1 pep chromosome:Pop_tri_v4:17:7187365:7191082:1 gene:Potri.017G066200.v4.1 transcript:Potri.017G066200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066200.v4.1 MDLGNLPPKQPAFKPLPFTPAPDQEHSISKDKFFLDSKTDEEIELEDDLHLYDNRFLQEYNFFVLVFSHKKRLAEMRKSIQVARFGSVVLISSSDFVREVSQIGSDVWIVVLLYTNGYAECSVLMKCLEELVVKYPGTKFVKIVSTDCLLNYPDYNLPTLLVYNNGAVIANFVGLRRFRQRSTSEGVALTLCQSDHVLNSGHDGIDKLRDFVIERLWRSLIKKVVRNMKTMMVDHQVIVL >Potri.005G188600.1.v4.1 pep chromosome:Pop_tri_v4:5:19616226:19618541:-1 gene:Potri.005G188600.v4.1 transcript:Potri.005G188600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188600.v4.1 MKRTREMEKGSEIQSAIEELSMLIELKPTGDNLDRTTTVHIPTRPFLNVCNLVIQVLDKIGPTMAVLRQDINQNIQRLEMLCNSDPSIYSNLVAILKKEADEGNARKGASCSKAFVWLARSLDFTGALLQRLVADPGQKMEQLVEESYSITLKPWHGWISTAAYKVSLKLLPDNKTFINLLMPKDETYDNLNEHVQTFISLLVPFLEEIHSILILYGLDRLKST >Potri.011G136900.3.v4.1 pep chromosome:Pop_tri_v4:11:16895008:16903251:-1 gene:Potri.011G136900.v4.1 transcript:Potri.011G136900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136900.v4.1 MGVTCTTTPISPFRPLCPSYFNLSRSCPCFASRAASSRPFSVALHRFWGEIPLRVIDGMQRRDEKRMVVSRIYTPPGFEVTTIPCDGTRALWKQKSIELFKQKREYDCSPYSFKYEKMDINAIRIQDKPKSQKQLISRDVGQLIDNKRPNGLSSKDILKEDVLNNESFMQRMKNEKQFQSNKLGHTGSGSTDKAQTNGRPHKPGIHERLTNIYERVLVVDNASMAREVVSKLTNQYRHLIHACDTEVAKIEVKEETPIDHGEITCFSIYSGPEADFGNGKSCIWVDVLDGGGRDLLHEFAPFFESPDIKKVWHNYSFDNHVIENYGISVSGFHADTMHMARLWDSSRRINGGYSLEALTGDQKVMRGAEPCYKELIGKVSMKNIFGKKKVKKDGSEGKLTTIAPVEELQREAREPWICYSALDAISTLQLYKSLESQLSKMPWNMDGKPVLKKSMFDFYLEYWQPFGEILVRMETEGMLVDRAYLAVTEKVAKAEQEVAASRFRKWASRYCPDAKYMNVGSDTQLRQLLFGGICNSKDPLVTLPEVKTFKVPNVDKVIEEGKKTPTKFCDIKLCSIRVDLPVETYTASGWPSVSGDALKTLARKISSEYVVNDAAGSQLDDVVFDDSETMTDEDLESKELSVVENEDESGHVGNLRRFQTPEEGIEACHAISSLCELCSIDSLISNFILPLQSSNLSGKSGRVHCSLNINTETGRLSARRPNLQNQPALEKDRYKIRQAFIAAPGNSLIVADYGQLELRVLAHLANCKSMLDAFKAGGDFHSRTAVNMYPHIREAIEKKRVLLEWYPQPGEDKPPVPLLKDAFASERRKAKMLNFSIAYGKTPVGLSRDWKVSVAEAKETVNLWYKERKEVLKWQQARKKEAREDGRVHTLLGRARVFPSLTDASSSLRGHVERAAINTPVQGSAADVAMCAMLEISKNNRLKELGWKLLLQVHDEVILEGPTESAEVAKAIVVDCMSKPFGGKNFLKVDLAVDAKCAQNWYSAK >Potri.008G207200.2.v4.1 pep chromosome:Pop_tri_v4:8:15754107:15755112:-1 gene:Potri.008G207200.v4.1 transcript:Potri.008G207200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G207200.v4.1 MTDWLRRDRFVFVDRSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAFSTPPNKTQGDFTRWCQLGGLWTFVALHGAFRLIGFILRQFELARSVQLRPYNTITFSAPIAVFVYVFLIYPLGQFGWLFTPRFHNWTLNAFHMMGVVSVLGVALLCAIHGATVENTLFQDGDGANTFCNRFMVSALEVVGLALNLRAYDFVSQEIRATEDPEFETFYTKNIVLNEGIHAWMAAQDQPHENLILLEEVLPRGNAL >Potri.001G040100.2.v4.1 pep chromosome:Pop_tri_v4:1:2878412:2881577:-1 gene:Potri.001G040100.v4.1 transcript:Potri.001G040100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040100.v4.1 MAQHNPHPSLALLTNPPLSPKHVAPHTLITSTTLYNSSLIIPNSKFNFFSTEIISRTQKTQTLAMATAPNAAKVTPAVIVGAGRVGRALQEMGSGQDLLVKRGEPVPLDFEGPILVCTRNDDLDAVLEATPKARWSDLVFFQNGMLEPWFQSKGLGDADQVLAYFAVSKLGEHPTDGKTDTNPEGLTAAYGKWASAVAARLHAGGLSCKLLDKEAFQKQMLEKLIWISAFMLVGARHPGATVGVVEKEFRYEVSSLITELASAAAAEKGIVFEEAIEERLCAYARAVAHFPTAVKEFKWRNGWFYSLSEKAVSEGKPDPCPLHTSWLKELKVV >Potri.003G088300.20.v4.1 pep chromosome:Pop_tri_v4:3:11496779:11504715:1 gene:Potri.003G088300.v4.1 transcript:Potri.003G088300.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088300.v4.1 MRTRRGKRAEAFWPSLVVKKWLNIKHKVNDFSEDEYTETESEDDAPSVKDDRVNVDEDRAHRIQGNQSVFRSQISDAPSKGYSSGHRRGKSETLRAQYINTKDVRVTIGTWNVAGRLPNEDLDIDCWLCPEEPADIYIIGFQEVVPLNAGNVLGAESSRPIPKWEAIIRRTLNKSHQAESKHKCFSAPPSPVLRTSSVADELADEVDSLPLEVMNEEYIEAADGCESDILEFGKAIGIGKNLHLKRVYGIDCDSKLDWPEHSLAATPQVISSNSKLRRVSSSSARIGFNWSENPSLFSPQHIALNRSGLKRSHQSSGNLGSMWLEREQRREVPEVPEVPEVIDSFSEVSDWLSEAEDDTFLEVPSEQYYSEIIKDNDDPRPKYVRIVSKQMVGIYVSIWVRKRLRRHINNLEVSPVGVGLMGYMGNKGSVSVSMSVFQSRLCFVCSHLTSGQKDGAEQRRNADVCEIIRRTRFSSILDTNQAQTIPSHDQIFWFGDLNYRLNMLDTEVRKLVAMKQWDELINSDQLSKELCGGRVFEGWKEGAINFPPTYKYEINSDTYVGENPKEGEKKRSPAWCDRILWLGKGIKQLSYKRSELRLSDHRPVSSMFLVEVEVLDHRKLKKALNVNSAAVHPEIFLD >Potri.003G088300.19.v4.1 pep chromosome:Pop_tri_v4:3:11496999:11504721:1 gene:Potri.003G088300.v4.1 transcript:Potri.003G088300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088300.v4.1 MRTRRGKRAEAFWPSLVVKKWLNIKHKVNDFSEDEYTETESEDDAPSVKDDRVNVDEDRAHRIQGNQSVFRSQISDAPSKGYSSGHRRGKSETLRAQYINTKDVRVTIGTWNVAGRLPNEDLDIDCWLCPEEPADIYIIGFQEVVPLNAGNVLGAESSRPIPKWEAIIRRTLNKSHQAESKHKCFSAPPSPVLRTSSVADELADEVDSLPLEVMNEEYIEAADGCESDILEFGKAIGIGKNLHLKRVYGIDCDSKLDWPEHSLAATPQVISSNSKLRRVSSSSARIGFNWSENPSLFSPQHIALNRSGLKRSHQSSGNLGSMWLEREQRREVPEVPEVPEVIDSFSEVSDWLSEAEDDTFLEVPSEQYYSEIIKDNDDPRPKYVRIVSKQMVGIYVSIWVRKRLRRHINNLEVSPVGVGLMGYMGNKGSVSVSMSVFQSRLCFVCSHLTSGQKDGAEQRRNADVCEIIRRTRFSSILDTNQAQTIPSHDQIFWFGDLNYRLNMLDTEVRKLVAMKQWDELINSDQLSKELCGGRVFEGWKEGAINFPPTYKYEINSDTYVGENPKEGEKKRSPAWCDRILWLGKGIKQLSYKRSELRLSDHRPVSSMFLVEVEVLDHRKLKKALNVNSAAVHPEIFLD >Potri.003G088300.18.v4.1 pep chromosome:Pop_tri_v4:3:11495996:11504462:1 gene:Potri.003G088300.v4.1 transcript:Potri.003G088300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088300.v4.1 MRTRRGKRAEAFWPSLVVKKWLNIKHKVNDFSEDEYTETESEDDAPSVKDDRVNVDEDRAHRIQGNQSVFRSQISDAPSKGYSSGHRRGKSETLRAQYINTKDVRVTIGTWNVAGRLPNEDLDIDCWLCPEEPADIYIIGFQEVVPLNAGNVLGAESSRPIPKWEAIIRRTLNKSHQAESKHKCFSAPPSPVLRTSSVADELADEVDSLPLEVMNEEYIEAADGCESDILEFGKAIGIGKNLHLKRVYGIDCDSKLDWPEHSLAATPQVISSNSKLRRVSSSSARIGFNWSENPSLFSPQHIALNRSGLKRSHQSSGNLGSMWLEREQRREVPEVPEVPEVIDSFSEVSDWLSEAEDDTFLEVPSEQYYSEIIKDNDDPRPKYVRIVSKQMVGIYVSIWVRKRLRRHINNLEVSPVGVGLMGYMGNKGSVSVSMSVFQSRLCFVCSHLTSGQKDGAEQRRNADVCEIIRRTRFSSILDTNQAQTIPSHDQIFWFGDLNYRLNMLDTEVRKLVAMKQWDELINSDQLSKELCGGRVFEGWKEGAINFPPTYKYEINSDTYVGENPKEGEKKRSPAWCDRILWLGKGIKQLSYKRSELRLSDHRPVSSMFLVEVEVLDHRKLKKALNVNSAAVHPEIFLD >Potri.003G088300.13.v4.1 pep chromosome:Pop_tri_v4:3:11496655:11504444:1 gene:Potri.003G088300.v4.1 transcript:Potri.003G088300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088300.v4.1 MRTRRGKRAEAFWPSLVVKKWLNIKHKVNDFSEDEYTETESEDDAPSVKDDRVNVDEDRAHRIQGNQSVFRSQISDAPSKGYSSGHRRGKSETLRAQYINTKDVRVTIGTWNVAGRLPNEDLDIDCWLCPEEPADIYIIGFQEVVPLNAGNVLGAESSRPIPKWEAIIRRTLNKSHQAESKHKCFSAPPSPVLRTSSVADELADEVDSLPLEVMNEEYIEAADGCESDILEFGKAIGIGKNLHLKRVYGIDCDSKLDWPEHSLAATPQVISSNSKLRRVSSSSARIGFNWSENPSLFSPQHIALNRSGLKRSHQSSGNLGSMWLEREQRREVPEVPEVPEVIDSFSEVSDWLSEAEDDTFLEVPSEQYYSEIIKDNDDPRPKYVRIVSKQMVGIYVSIWVRKRLRRHINNLEVSPVGVGLMGYMGNKGSVSVSMSVFQSRLCFVCSHLTSGQKDGAEQRRNADVCEIIRRTRFSSILDTNQAQTIPSHDQIFWFGDLNYRLNMLDTEVRKLVAMKQWDELINSDQLSKELCGGRVFEGWKEGAINFPPTYKYEINSDTYVGENPKEGEKKRSPAWCDRILWLGKGIKQLSYKRSELRLSDHRPVSSMFLVEVEVLDHRKLKKALNVNSAAVHPEIFLD >Potri.003G211366.1.v4.1 pep chromosome:Pop_tri_v4:3:20913071:20915744:-1 gene:Potri.003G211366.v4.1 transcript:Potri.003G211366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211366.v4.1 MQCLKKQYLHIMDFQLPYCFFFLLLLLLPFSSNGQAHSNISLGLSLTAASDNLPWTSPSGEFAFGFQQVGDAGSLLAIWFNKIPERTIVWSANRNDLVQGGSRVQLTEDGELVLNDQSGRTIWSPVFGGSGAAYAAMLDTGNFVLASQAGANLWQSFDEPTDTLLPTQNLNSGAQLIAPYLEKNYSEGRYKFILQEDGNLVLYTTRYPLTTVNFAYWSTQDSIGSGYQVIFNQSGHMYLVARNGTVLNPVFSNSVSTQDLYLRATLDYDGVLRQYVYPKTDSSSRSRAMAWTTLSNSIPSNICLRIAGQQGGGACGFNSYCRLGEDQRPSCKCPPGYTFFDPNDERKGCKKDFISQDCDHPSQEIDSFEIKEMPNTNWPFNDYEMFGSEDEDWCRQACLSDCYCAVAIFNTAGQCWMKRVPLSNGVTDPSVGGKALIKVRTGNSTAGSSAKKCDRSNLITTGSVLLGSSIFLIVLSLLGIYVFFSRWNRQQQKMTPQHRLMPDMNMQNFTYSELERATGGFKEELGSGAFGTVYKGVLANEDKPLIAVKKLDKMAGEGDKEFNTEVKVIGRTNHKNLVQLVGFCNEGQHRLLVYEYMSNGSLANFLFGDSRPNWYRRMQIAFDIARGLLYLHEECSSQIIHCDIKPQNILLDKSFNARISDFGLAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPVTTKVDTYSFGILLLELVCCRKNFDIDKKEGQIVLADWACDCLKEGKLDLLVEEDEEATEDMKTVERFVMVAIWCIQDDPSVRPGMKKVVQMLEGAVQVSIPPDFSSFISIQDDFSSFT >Potri.006G039600.1.v4.1 pep chromosome:Pop_tri_v4:6:2640198:2644028:-1 gene:Potri.006G039600.v4.1 transcript:Potri.006G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039600.v4.1 MWALRRASNPLKFRGLSVGTSRGCCAKSEIVSSYVEGKVVTVKSPQPVSQSPRFHHSTNVGSKFYLEKRGFASQAGAENSGSDVDLEDGFSELETPANANESTVNALAGNEDQLISEPELSDDDNDDIGEPSQNALELSDNETDSVVKSLPRKRPTTELFNAIVSAPDVSVQSVLDKWVAEGKDLDRLEISNAMINLRKRRMFGRALQLSEWFEANKPQEFVERDYASRLDLIAKVRGLHKAEVYIDKIPKSFKGEVIYRTLLANCVVDHNVKKAEEVFNKMRDLEFPITPFACNQLLLLYKRLDKKKIADVLLLMEKENVKPSLFTYKILIDTKGQSNDMTGMDQIVETMKAEGIEPDIRTQAIMARHYVSGGLKEKAEAILKEMEGGNLEEHRWACRFMLPLYGALGKADEVSRVWKFCEKSPRLDECMAAIEAWGRLKKIDEAEAVFELMSKTWKKLSSRHYSTLLKVYANHKMLSKGKDLIKRMGDSGCRIGPLTWDALVKLYVEAGEVEKADSILNKAVQQNKIKPMYSSFLIIMERYATKGDIHNAEKMFHRMRQAGYQARIRQFQTLIQAYIIAKAPCYGMRERLKADGIFPNKSLAAQLAQVDAFRRTAVSDLLD >Potri.001G131500.5.v4.1 pep chromosome:Pop_tri_v4:1:10717799:10722303:1 gene:Potri.001G131500.v4.1 transcript:Potri.001G131500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131500.v4.1 MECTMVAEEPSPKRSIESRLQKRKRSGKIKATVKRLKAEMVEIAEQQKHIREGQKEVREKFEEIEFQCDELKKETFLISQQAASNQKRLNLMFKILKARDENNFHEAASLTQSLRLASPKSFFSFVNKIPMTLVECMHGMANWSP >Potri.001G131500.2.v4.1 pep chromosome:Pop_tri_v4:1:10717464:10723599:1 gene:Potri.001G131500.v4.1 transcript:Potri.001G131500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131500.v4.1 MECTMVAEEPSPKRSIESRLQKRKRSGKIKATVKRLKAEMVEIAEQQKHIREGQKEVREKFEEIEFQCDELKKETFLISQQAASNQKRLNLMFKILKARDENNFHEAASLTQSLRECMRSKTQSNTQVVVDASGTVVKE >Potri.002G082200.1.v4.1 pep chromosome:Pop_tri_v4:2:5834549:5837297:-1 gene:Potri.002G082200.v4.1 transcript:Potri.002G082200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082200.v4.1 MEIMESGVVDTQHQEEEKHQQQKEEEGEEKHKQQRDQEGEEEEKHFHVLAVDDSFIDRKLLERLLKVSSYQVTFVDSGDKALEYLGLLDSIDNVNATSSSSSSQSPQQEGMKVNLIMTDYCMPGMSGYDLLKRVKGSYWKDVPVVVMSSENIPSRIRMCLEEGAEEFLLKPLQLSDVEKLQTHLLKSLDKYSSKRIDDNSFNTDSSNITVSNKSNSNNIVSKRKALSPEIEDRRPKMKGLAVV >Potri.019G014396.1.v4.1 pep chromosome:Pop_tri_v4:19:2403759:2405239:1 gene:Potri.019G014396.v4.1 transcript:Potri.019G014396.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014396.v4.1 MSNPKVFFDILIGKMKAGRIVMELFADATPKTAENFRALCTGEKGIGNAGKPLHYKGSTFHRIIPNFMCQGGDFTRGNGTGGESIYGAKFADENFKLKHTGPGVLSMANSGPNTNGSQFFICTENTSWLDGKHVVFGKVVDGYGVVQEMEKVGSNSGTTKETVIVEDCGQIIEN >Potri.010G074100.1.v4.1 pep chromosome:Pop_tri_v4:10:10188798:10191637:1 gene:Potri.010G074100.v4.1 transcript:Potri.010G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074100.v4.1 MSYRREHRNSRTALFDDGLEEGGLRPSSSFSHETDDHDNDKAVHTLQDRVLFLKSLTGDIHEEVESQNRLLDRMGNNMDTSRGIMSGTMDRFRMVFEKKSSRRTCALAGFFILSFLILYYLIRVLVYLKHG >Potri.005G184700.1.v4.1 pep chromosome:Pop_tri_v4:5:19173776:19176089:1 gene:Potri.005G184700.v4.1 transcript:Potri.005G184700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184700.v4.1 MACHCAHYSFSPSPPHRQSLSINNSNNSTKLSFKGSKPAVLSSFGNSRASCNTLVSEAVRLLGPPAKFEASKLKVVLMGEEMNQYSAIIPRTYILSHCDFTADLTLTISNVINLDQLRGWYSKDDVVAEWKKLEGHLALLVHCYVSGPNLMLDLAAEFRYHIFSKEMPLVLEAVLHGDSALFTEHPELKDSLVWVYFHSSSPKYNRLECWGPLKDAAQGRPGDHRGSFTCPSRKWGGPKSIFQALFAFLL >Potri.005G075500.1.v4.1 pep chromosome:Pop_tri_v4:5:5078462:5079144:-1 gene:Potri.005G075500.v4.1 transcript:Potri.005G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075500.v4.1 MAGRLGRRVINFANLPIKLLMPTTYTNISEIALKTIPSASKIEIKRVLESLYGFDVEKVSTLNMEGKKKKRGGFLIAKPDYKKAYVTLKTPLSISPHLFPIRVIEEERAKMSKKAPESSFVEDNKSHWLHEKKKESGRGGSGSGWRGGRGGRGRGDVAAEKAKFPWSSMRSSTANSR >Potri.008G011100.1.v4.1 pep chromosome:Pop_tri_v4:8:552533:556853:1 gene:Potri.008G011100.v4.1 transcript:Potri.008G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011100.v4.1 MQHPPFLFLFLAFLSIFSPLLISSQSPPPPPPVPPPPPPVAPQSPSDACKSTLYPKLCRSILTTFPSSSSNPYEYSKFSVKQCHKQAKRLSKVINYHLTHKNQRSKMTHEEFGALQDCHELMELNVDYFETISSELKSAESMNDVLVERVKSLLSGVVTNQQSCYDGLVQSKSSIASALSVPLSNGTRLYSVSLALVTHSLEKNLKKKKGRKGSHHHGILTKGVREPLETLIKALKRTASCHKSSNCHRGERILSDDSGDGILLNDSVIVGPYGADNFTTITDAIAFAPNSSTPEDGYFVIFVREGIYEEYVVVPKNKKNIMMIGEGINRTIITGNHSVMDGWTTFNSSTFAVSGERFVGVYITFRNTAGPQKHQAVALRNNADLSTFYRCSFEGYQDTLYVHSLRQFYRECDIYGTVDFIFGNAAAVFQNCNLYARKPMLNQKNAFTAQGRTDPNQNTGISIQNCTIEAAPDLAMDKNSTGSNSTSNFLGRPWKVYSRTVIMQSYIGELIQPAGWLEWNGTVGLDTIYYGEFQNYGPGSNTSRRVKWPGYNLMNATQAANFTVYNLTTGDTWLPYTDIPFSGGLL >Potri.012G144300.1.v4.1 pep chromosome:Pop_tri_v4:12:15493488:15496199:1 gene:Potri.012G144300.v4.1 transcript:Potri.012G144300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144300.v4.1 MVMASLIPTSASLCSTSTSTKSALYPLPPSPLIPYHKAPKFGLSTWIPQLCLGPFSQWSGLKHLGISFSPNFVAKERKGRCKGKVIHASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLREFQPTIKELQEVSREFKSTLEREIGLDEISNQTQNTYSSKITNTASNPSSAGSTNISPTVTDPNGAPSPNSAYTSEEYLKITEEQLKASAAEQQGLSTPPVESQLEPETQLRPQEPVKDTAGAMPSPEKPENEVKTIK >Potri.001G010700.4.v4.1 pep chromosome:Pop_tri_v4:1:688893:693739:-1 gene:Potri.001G010700.v4.1 transcript:Potri.001G010700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010700.v4.1 MEEQSIPCTPRTAQACKKIPVTVITSVKHSKHSNGRKMINEYVKERKINQGSYGRVVLYRNSNDGIPYAIKVVCKSRLRKLRITQSETAMTDVLREVSILKTLEHPNIINLVEVIDDQKSDYMYMVLEYVESSCMSNISETKGQIDETTARRYFKDVIAGLIYLHHHPENLLVTTSGRVKIVDFSFGHAFEDGNDGLLRCPGTPAFTAPECCSDTVYHGKAADTWAVGVTLYFMVVGCCPFLADSAPETYDKIVNGPLSLPEELNPDLKDLLQGLLCKDPTQRITLNSAAEHPWMVKEGGPVPINCI >Potri.001G010700.2.v4.1 pep chromosome:Pop_tri_v4:1:688878:693953:-1 gene:Potri.001G010700.v4.1 transcript:Potri.001G010700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010700.v4.1 MEEQSIPCTPRTAQACKKIPVTVITSVKHSKHSNGRKMINEYVKERKINQGSYGRVVLYRNSNDGIPYAIKVVCKSRLRKLRITQSETAMTDVLREVSILKTLEHPNIINLVEVIDDQKSDYMYMVLEYVESSCMSNISETKGQIDETTARRYFKDVIAGLIYLHHHNIVHGDIKPENLLVTTSGRVKIVDFSFGHAFEDGNDGLLRCPGTPAFTAPECCSDTVYHGKAADTWAVGVTLYFMVVGCCPFLADSAPETYDKIVNGPLSLPEELNPDLKDLLQGLLCKDPTQRITLNSAAEHPWMVKEGGPVPINCI >Potri.011G156500.1.v4.1 pep chromosome:Pop_tri_v4:11:18284378:18289420:1 gene:Potri.011G156500.v4.1 transcript:Potri.011G156500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156500.v4.1 MREENTVETKSKAVKFADQNQAPKPQNIKGNNNNNGSKIKSSWGSHIVKGFTADKKTKTQTITVTAKRLPLASSETTKQKNSLVNSHSRVKRSLIGDLTCSVTGSQVHPKAYQANHRRQSSGSRDLFVELDQLRSLLQESKEREFKLQAELSEVKRNGRVVDLERELEARRNEVDELCKRIGVLESEKSGLCEQVNELCLISEKRSEEVLKREGNESSVGNLEMEVVELRRLNKELQMDKRNLACKLSSLESQLASFARSSESDVVAKIKAETSLLRHTNEDLCKQVEGLQMSRLNEVEELAYLRWVNSCLRDELRNSCSTMNSDKASSPKSVERSNESAGSISCQSNDYLESNSKMRLDFIKKLKKWPITDEDLPNLECQDKNWVHSEDGRSPRRRHSISGSKFCLEDLAPNRRRQSDVFMCIKEMENEVELVSSEKYELDIMQRPQILANCQETNKIVGPLDVEKRTLRVPNPPPRPSCSVSTGPKEEVQAQVPLPPPPPPPPPPPPPPKFSVRSTTAGVVQRAPQVVEFYHSLMKRDSRKESSNGGICEASDVANVRSNMIGEIENRSSHLLAIKADIETQGEFVNSLIREVNNAVYQNIEDVVAFVKWLDDELGFLVDERAVLKHFDWPEKKADTLREAAFGFSDLKKLESEVSYYKDDPRVPCDLALKKMVALSEKMEHTVYNLLRTRESLMRNCKESQIPSDWMLDNGIISKIKFGSVKLAKKYMKRVATEIQSKAAAALEKDPALDYMLLQGVRFAFRIHQFAGGFDAETMHAFEELRNLAHLLNKK >Potri.016G008400.1.v4.1 pep chromosome:Pop_tri_v4:16:388587:391689:1 gene:Potri.016G008400.v4.1 transcript:Potri.016G008400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G008400.v4.1 MASRYNSYDSRSSTSSYFSDPSSSTELSYKHSTSRAIIKSKPAYLSKIKVKNDGNDHNLSTMVKKFMEKKSVSSTSSKGSSSKGVGLVIPSDLIAEDLKKTARKGTAFMGLQKKLFGKENKKEMKGVKALTEAKVNSNTRTLAMVLKSERELLSANKEQELEIHKLKLMLEDKNKEVEKLKDLCLNQRQEIMSLKSSILFPDTMNSQLQELLEQQGSELKQAKQLIPTLQKQVTSLTGQLQYLAEDLAEVKADKYARACIQYPGSSPGTPSYDNEETANSLEFSSCDGATPGSPDDMLLKDLNPCLTPYCAEKKSKEFETMGYESSLDASLSESNTQTSNELSFSSRLRKLSNSSDFYQNSSSGSTMTRSTRRSDESKGAHRKQTQQRHF >Potri.004G074400.5.v4.1 pep chromosome:Pop_tri_v4:4:6200425:6206532:1 gene:Potri.004G074400.v4.1 transcript:Potri.004G074400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074400.v4.1 MATDTEKISQLKSAVANLNQISESEKTGFVNLVSRYLSGEAQQVEWSKIQTPTDEVVVPYDTLESTPEEPEETKMLLDKLVVLKLNGGLGTTMGCTGPKSVIEVRNGLTFLDLIVIQIESLNKKYGCSVPLLLMNSFNTHDDTQKIIEKYSNSNIEIHTFNQSQYPRLVADDFVPLPSKGHTDKDGWYPPGHGDVFPSLKNSGKLDALLSQGKEYVFVANSDNLGAVVDLKILNHLIRNKNEYCMEVTPKTLADVKGGTLISYEGKVQLLEIAQVPDQHVNEFKSIEKFKIFNTNNLWVNLKAIKRLVEADALKMEIIPNPKEVDGVKVLQLETAAGAAIKFFDHAIGINVPRSRFLPVKASSDLLLVQSDLYTVVDGFVIRNPARTNPANPSIELGPEFKKVANFLSRFKSIPSIIELDSLKVAGDVWFGANITLKGKVSIVVKSGVKLEIPEGVVLENKEINGPEDL >Potri.016G101966.1.v4.1 pep chromosome:Pop_tri_v4:16:10356866:10357815:-1 gene:Potri.016G101966.v4.1 transcript:Potri.016G101966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101966.v4.1 MQSLQNFWHAYSRDPLRDLPCKMFVHDQKMKGKKKHAPAWCARIICFGEILKHQNLSRGDSGLSDHCLVREIFVAESDVPSDSWSLGSSWSDSFLASSFYFSVL >Potri.001G151900.9.v4.1 pep chromosome:Pop_tri_v4:1:12721980:12728719:-1 gene:Potri.001G151900.v4.1 transcript:Potri.001G151900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151900.v4.1 MYFRKRKRIGFDYADVYDKDQEVCPLPKRIRNDCWKLPFHLTGDVSSSINVEPSYMLIQPNNSFLSPDFFVKTLASELGCKLRNIEHVEDEMNTGAIVESKEDKRMMACELDEYSALPIVMLDSEAVFQEAKVDEIDLESRIDINATCCLADVKWSKTFSLEFTSINGACNGTNDCRIETVRTETNEELLIDYGKMEGEGINHFQSTNTTFNMPQESNFRRMNELSSLDKEAITNHVKNQAKVSTTELCVPPKKLKDSKPSTKIRVTTGVAASPRQQALCQSLEQKKAVIPPKENQRRRKDHMKISMGQKSKQTCNDIHTKERKKDCALNSPKDRVGSKDFPCFDSYIVEEEGGSGGYGTVYRATRKLDGTTVAIKCPHENAHRHHFSNELRMLERFGGKNFVIKFEGCLKNQNSDCFVLEYVEHDRPEVLKKEIDVFQLRWYGYCMFRALASLHKQGVVHRDIKPGNFLFSCKANKGYLIDFNLALDLHQKLGTINKSKAANDVSFNSVAASNAKYVPPSKSRRFPGSKFLDAVDLEAIKDFKSTLEAKNVKKKAVRNIMISQGADGSGITSVKDATSARTPSAERMKEPLPSKGRKELISLLHEAMQSPNHEASSFPASMRKRIAAPPGKVDGRHIYLTPMPVHSTGIPVAGIGLVKNKCGDGKNKKEGPCVGTKGFRAPEVLFRSLHQGPKVDIWSAGVTLLYLIIGKTPFYGDPEQNIKDIAKLRGSEDLWEVSKLHNRESSFPADLYNMQSLPPTTIWEWCKLNSKRQDFLDAVPSSLIDLVDKCLTVNPRLRISADDALKHEFFAPCNESLRRQKLLRQGHSLDSRTKTPSHGQSIARPIKISQRQP >Potri.001G151900.8.v4.1 pep chromosome:Pop_tri_v4:1:12722176:12728719:-1 gene:Potri.001G151900.v4.1 transcript:Potri.001G151900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151900.v4.1 MYFRKRKRIGFDYADVYDKDQEVCPLPKRIRNDCWKLPFHLTGDVSSSINVEPSYMLIQPNNSFLSPDFFVKTLASELGCKLRNIEHVEDEMNTGAIVESKEDKRMMACELDEYSALPIVMLDSEAVFQEAKVDEIDLESRIDINATCCLADVKWSKTFSLEFTSINGACNGTNDCRIETVRTETNEELLIDYGKMEGEGINHFQSTNTTFNMPQESNFRRMNELSSLDKEAITNHVKNQAKVSTTELCVPPKKLKDSKPSTKIRVTTGVAASPRQQALCQSLEQKKAVIPPKENQRRRKDHMKISMGQKSKQTCNDIHTKERKKDCALNSPKDRVGSKDFPCFDSYIVEEEGGSGGYGTVYRATRKLDGTTVAIKCPHENAHRHHFSNELRMLERFGGKNFVIKFEGCLKNQNSDCFVLEYVEHDRPEVLKKEIDVFQLRWYGYCMFRALASLHKQGVVHRDIKPGNFLFSCKANKGYLIDFNLALDLHQKLGTINKSKAANDVSFNSVAASNAKYVPPSKSRRFPGSKFLDAVDLEAIKDFKSTLEAKNVKKKAVRNIMISQGADGSGITSVKDATSARTPSAERMKEPLPSKGRKELISLLHEAMQSPNHEASSFPASMRKRIAAPPGKVDGRHIYLTPMPVHSTGIPVAGIGLVKNKCGDGKNKKEGPCVGTKGFRAPEVLFRSLHQGPKVDIWSAGVTLLYLIIGKTPFYGDPEQNIKDIAKLRGSEDLWEVSKLHNRESSFPADLYNMQSLPPTTIWEWCKLNSKRQDFLDAVPSSLIDLVDKCLTVNPRLRISADDALKHEFFAPCNESLRRQKLLRQGHSLDSRTKTPSHGQSIARPIKISQRQP >Potri.014G040500.1.v4.1 pep chromosome:Pop_tri_v4:14:2629024:2630706:1 gene:Potri.014G040500.v4.1 transcript:Potri.014G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040500.v4.1 MRLSIIQSTKYWLSEHPSIVNFRWSPTESWGSTWSFLFSAITIYLISAVILHLVVSLILRTNRRVPLGPIPAIHSLAVAMASVVIFVGTLLSTAAEIRDTRWFWRRTKTTTAFQWLLCFPLGTRPSGRVFFWSYIFYLSRFLHLLRTFLTVLEHRKLTFFTLFNQSILLFMSFLWLEFSQSFQVLAILLTTLLYSVVYGYRFWTAIGLPSACFPFVVSCQVVLLGCNLVCHFGVLSLHILKGGCNGIGAWGFNSMLNAMILLLFLKFYLKMYSNKRKGDSLSELKGSSRHLHSSLEKLDSKGS >Potri.010G170000.3.v4.1 pep chromosome:Pop_tri_v4:10:17191945:17197450:1 gene:Potri.010G170000.v4.1 transcript:Potri.010G170000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170000.v4.1 MSDLEAPLRPKRKKVWVDYFVQFRWILVIFVVLPISFTLYFLTYLGDVKSEMKSYKQRQKEHDENVKKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSSFRNILEIDRERMVARVEPLVNMGQISRASVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPVKEYMRLTYKPVVGNLKELAQAYIDSFAPRDGDQDNPSKVPDFVETMIYNSTDGVMMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLLGWMMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVDREMEVYPIWLCPHRLFKLPVKTMVYPEPGFEHQHRQGDTSYAQMYTDVGVYYSPGPVLRGEVFEGADAVRRMEDWLIENHGFQPQYAVSELNEKKFWRMFDADLYEHARKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAG >Potri.010G170000.2.v4.1 pep chromosome:Pop_tri_v4:10:17191946:17197207:1 gene:Potri.010G170000.v4.1 transcript:Potri.010G170000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170000.v4.1 MSDLEAPLRPKRKKVWVDYFVQFRWILVIFVVLPISFTLYFLTYLGDVKSEMKSYKQRQKEHDENVKKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSSFRNILEIDRERMVARVEPLVNMGQISRASVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPVKEYMRLTYKPVVGNLKELAQAYIDSFAPRDGDQDNPSKVPDFVETMIYNSTDGVMMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLLGWMMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVDREMEVYPIWLCPHRLFKLPVKTMVYPEPGFEHQHRQGDTSYAQMYTDVGVYYSPGPVLRGEVFEGADAVRRMEDWLIENHGFQPQYAVSELNEKKFWRMFDADLYEHARKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAG >Potri.004G178500.4.v4.1 pep chromosome:Pop_tri_v4:4:19294523:19295746:-1 gene:Potri.004G178500.v4.1 transcript:Potri.004G178500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178500.v4.1 MEQYLRVSEGKASPKRDFRALLRGRNHRSLKSNLPESIGQVNGGCVVSHTNENGFVRMKLVVRKQDLKQMLEVMRGGKSNANQASYSPVSSLSLEQRLNLLRRKHLSRSNSAKGSCRRSWTPALQSIPE >Potri.004G178500.5.v4.1 pep chromosome:Pop_tri_v4:4:19294523:19295746:-1 gene:Potri.004G178500.v4.1 transcript:Potri.004G178500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178500.v4.1 MEQYLRVSEGKASPKRDFRALLRGRNHRSLKSNLPESIGQVNGGCVVSHTNENGFVRMKLVVRKQDLKQMLEVMRGGKSNANQASYSPVSSLSLEQRLNLLRRKHLSRSNSAKGSCRRSWTPALQSIPE >Potri.004G178500.3.v4.1 pep chromosome:Pop_tri_v4:4:19294522:19295746:-1 gene:Potri.004G178500.v4.1 transcript:Potri.004G178500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178500.v4.1 MEQYLRVSEGKASPKRDFRALLRGRNHRSLKSNLPESIGQVNGGCVVSHTNENGFVRMKLVVRKQDLKQMLEVMRGGKSNANQASYSPVSSLSLEQRLNLLRRKHLSRSNSAKGSCRRSWTPALQSIPE >Potri.004G178500.2.v4.1 pep chromosome:Pop_tri_v4:4:19294446:19295746:-1 gene:Potri.004G178500.v4.1 transcript:Potri.004G178500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178500.v4.1 MEQYLRVSEGKASPKRDFRALLRGRNHRSLKSNLPESIGQVNGGCVVSHTNENGFVRMKLVVRKQDLKQMLEVMRGGKSNANQASYSPVSSLSLEQRLNLLRRKHLSRSNSAKGSCRRSWTPALQSIPE >Potri.016G104500.1.v4.1 pep chromosome:Pop_tri_v4:16:10725099:10726498:1 gene:Potri.016G104500.v4.1 transcript:Potri.016G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G104500.v4.1 MAEVKLLGAWGSPLSRRVEMALKLKSVEYEYIEEDLANKSPLLLKYNPIHKKVPVLLHNGKTMAESLVILEYIDETWKSNPILPEDPYDKAMARFWAKFIDEKCMPAIWQIMLSKENEREKAIEEAIQHLKTLENELKDKKFFGG >Potri.004G170392.1.v4.1 pep chromosome:Pop_tri_v4:4:20487412:20490169:-1 gene:Potri.004G170392.v4.1 transcript:Potri.004G170392.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170392.v4.1 MVLSHDEMVKLRSEDNSCKSLIWISSLQSHIINSSRDAQHCSPLGRAPNLHPQISNFFKEHFNSRKSPGIEINSSHQDISNSTRAVQCCMLGMDTSFGQLRILKI >Potri.015G146100.1.v4.1 pep chromosome:Pop_tri_v4:15:15048665:15051338:-1 gene:Potri.015G146100.v4.1 transcript:Potri.015G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146100.v4.1 METTSQNPPQPYINDLADSPTNANIIISDHHDLLSQLQSLQHSFDTIQGKSSLMEENLLLLQQQRDDALDNNSQLKLAIQKVSHERDSLRDQVRELEASFKEKEDGFVKRIDEAYLTMEKLEKEMEFLRERNDKLELEIKEARDKNGFLLKINMDLVRPVKESLVKLTECVHDGNLIERSDTEENREELDLDDELRSVWEEFKAITRLASEAESKVNEFNEMKKKEIRELESSVVSLTEENRDINSLLRVALVEKEAVERSLNKIKGNNEQKRVALLQFAERGLQRVGFGFMMGSGSNEQSMESSGAGSNTTNASAAASTKSDGSECEEEVVSLASTMERIMKNLRLENSQLRRSLEESRSDAERLQSLVQKQDKEIAENILYIKELEDRERVQAQNVEELLTEIKETEAEVVRWREACELEVEAAKKAIEEREKLVVILKQELEKTKANLEISNGKLKLKDELAVAAMAAQAAAERSLQLADSRAAGLRQRIEELTRQVEEAESKERRCNKVRHICWPWRAIKAATASTANNRVQNARRRMLPEMQALLHHNV >Potri.002G039400.1.v4.1 pep chromosome:Pop_tri_v4:2:2599366:2600224:-1 gene:Potri.002G039400.v4.1 transcript:Potri.002G039400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039400.v4.1 MKNASKDKAIEILGKLVSFLRKSMIHIISVGPMPNHIAFIMDGNGVRCATFYAFSIDNFKQRPDGIQPLMDSFLEHVEGLMKEDSLVSRYGIRVYFQGNLELLSEPVRLAAENAMLATAHNSKLLLIVCIAYTSTNVIVHAVQESCREKWGGIIVLNESAEPEENEKNDGESFVKVTDVDKNMYMAIAAEPDILIRTSGDTRLSYFLLWQTTCTYLYFPSAFWPEIGFRHLLWAILNFQRHHQYLNKRKKQM >Potri.001G332100.1.v4.1 pep chromosome:Pop_tri_v4:1:34065625:34072231:-1 gene:Potri.001G332100.v4.1 transcript:Potri.001G332100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332100.v4.1 MPEETNSIDYVMEKASGPHFSGLRLDGLLSSPPSSTASPSHCSATASSSALSDSNAPNQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTPEESKRVHEYNFDHPDAFDTEQLLDCIQKLRGGQSYQVPIYDFKNHRRSSESFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYPNVHVIQSTFQIRGMHTLIRDKEISKHDFVFYSDRLIRLVVEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKILIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >Potri.002G060200.3.v4.1 pep chromosome:Pop_tri_v4:2:4167702:4169245:1 gene:Potri.002G060200.v4.1 transcript:Potri.002G060200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060200.v4.1 MADEMGMTNGVEENQVAVDNFYDLDQGERETELKQKIASLETEKKSLADENEEIKCQVEKLTAKFESVKSEESSLKLRVAELEREVEQSEETQRALESIAGRAAELETNVSRLQHDLISAMSEGDEANKEVAELKREVSEKEVKIEEVKKEKSEAEKKVRELERKIGVLEVKEIEEKSKKVRLEEDMREKVTEKDKEIIDCKKRIKELESLVVEKERLEKKLRESEEKVKEMEGKMVGLQKEAKEAEKVIGGLKERAREVINGIEIDSREKGFKVQSPVVAIGSVGAVAVAAAVVYVCYWRRR >Potri.002G060200.4.v4.1 pep chromosome:Pop_tri_v4:2:4167648:4169239:1 gene:Potri.002G060200.v4.1 transcript:Potri.002G060200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060200.v4.1 MADEMGMTNGVEENQVAVDNFYDLDQGERETELKQKIASLETEKKSLADENEEIKCQVEKLTAKFESVKSEESSLKLRVAELEREVEQSEETQRALESIAGRAAELETNVSRLQHDLISAMSEGDEANKEVAELKREVSEKEVKIEEVKKEKSEAEKKVRELERKIGVLEVKEIEEKSKKVRLEEDMREKVTEKDKEIIDCKKRIKELESLVVEKERLEKKLRESEEKVKEMEGKMVGLQKEAKEAEKVIGGLKERAREVINGIEIDSREKGFKVQSPVVAIGSVGAVAVAAAVVYVCYWRRR >Potri.002G060200.1.v4.1 pep chromosome:Pop_tri_v4:2:4167693:4169247:1 gene:Potri.002G060200.v4.1 transcript:Potri.002G060200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060200.v4.1 MADEMGMTNGVEENQVAVDNFYDLDQGERETELKQKIASLETEKKSLADENEEIKCQVEKLTAKFESVKSEESSLKLRVAELEREVEQSEETQRALESIAGRAAELETNVSRLQHDLISAMSEGDEANKEVAELKREVSEKEVKIEEVKKEKSEAEKKVRELERKIGVLEVKEIEEKSKKVRLEEDMREKVTEKDKEIIDCKKRIKELESLVVEKERLEKKLRESEEKVKEMEGKMVGLQKEAKEAEKVIGGLKERAREVINGIEIDSREKGFKVQSPVVAIGSVGAVAVAAAVVYVCYWRRR >Potri.010G092900.2.v4.1 pep chromosome:Pop_tri_v4:10:11725511:11736702:-1 gene:Potri.010G092900.v4.1 transcript:Potri.010G092900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092900.v4.1 MSAVSVEPFLRYSRFEKPGYARSRRKAAITVTTAALIKSPSSFNCCCSLIPRRKLLSNASYFPFLDLHRVKTHTARASSTDTALVESTNDVVFKETFPLSRTEMTEGKIFVRLDQSKAKEKEDQWQQLTVGCSLPGKWILHWGVSYVDDTGSEWDQPPENMRPPGSIPVKDYAIETPLKKASEGDKFHQVKIGIDPKSPVAALNFVLKDEETGVWYQHKGRDFKVPLVDCLLDSGGGNVIGAKGGFRAMLSNMFLKADALASEGKDSSSRSKDPKQETRKVEGFYEELPIAKFAVIENSVTVSVIKCLKTAKNLLYLVTDLPGEVVVHWGVCRDDAKKWEIPAAPHPPETTVFKNKALRTVLQAKEDGNGRSGSFTLDEDLVGFLFVLKLNDSTWLNCMGNDFYIALPISSSIPALSGAGQSEVAPVSENTVGADQEVSHAIYTDGIINEIRSLVSDFSSEKRQKTKTKEAQESILQEIEKLAAEAYSIFRSSIPTFLDETALESEATEAPKICSGTGTGHEILLQGFNWESHKLGHWYMELKQKIEEISSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVKRFHGKGVKVLGDAVLNHRCAHYKNGNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLLWLRKEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFAVGEYWDSLSYTYGELDHDQDAHRQRIVDWINATSGTAGAFDVTTKGILHTTLERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPAVFYDHIFSHYQSEIAALISLRNRNKIHCRSTVKITKAERDVYAAIIDEKVAVKIGPGHYEPPSGPYSWSSKIEGRNYKVWEAS >Potri.010G092900.1.v4.1 pep chromosome:Pop_tri_v4:10:11725391:11736741:-1 gene:Potri.010G092900.v4.1 transcript:Potri.010G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092900.v4.1 MSAVSVEPFLRYSRFEKPGYARSRRKAAITVTTAALIKSPSSFNCCCSLIPRRKLLSNASYFPFLDLHRVKTHTARASSTDTALVESTNDVVFKETFPLSRTEMTEGKIFVRLDQSKAKEKEDQWQQLTVGCSLPGKWILHWGVSYVDDTGSEWDQPPENMRPPGSIPVKDYAIETPLKKASEGDKFHQVKIGIDPKSPVAALNFVLKDEETGVWYQHKGRDFKVPLVDCLLDSGGGNVIGAKGGFSMWPGAMLSNMFLKADALASEGKDSSSRSKDPKQETRKVEGFYEELPIAKFAVIENSVTVSVIKCLKTAKNLLYLVTDLPGEVVVHWGVCRDDAKKWEIPAAPHPPETTVFKNKALRTVLQAKEDGNGRSGSFTLDEDLVGFLFVLKLNDSTWLNCMGNDFYIALPISSSIPALSGAGQSEVAPVSENTVGADQEVSHAIYTDGIINEIRSLVSDFSSEKRQKTKTKEAQESILQEIEKLAAEAYSIFRSSIPTFLDETALESEATEAPKICSGTGTGHEILLQGFNWESHKLGHWYMELKQKIEEISSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVKRFHGKGVKVLGDAVLNHRCAHYKNGNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLLWLRKEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFAVGEYWDSLSYTYGELDHDQDAHRQRIVDWINATSGTAGAFDVTTKGILHTTLERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPAVFYDHIFSHYQSEIAALISLRNRNKIHCRSTVKITKAERDVYAAIIDEKVAVKIGPGHYEPPSGPYSWSSKIEGRNYKVWEAS >Potri.010G092900.5.v4.1 pep chromosome:Pop_tri_v4:10:11725447:11736741:-1 gene:Potri.010G092900.v4.1 transcript:Potri.010G092900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092900.v4.1 MSAVSVEPFLRYSRFEKPGYARSRRKAAITVTTAALIKSPSSFNCCCSLIPRRKLLSNASYFPFLDLHRVKTHTARASSTDTALVESTNDVVFKETFPLSRTEMTEGKIFVRLDQSKAKEKEDQWQQLTVGCSLPGKWILHWGVSYVDDTGSEWDQPPENMRPPGSIPVKDYAIETPLKKASEGDKFHQVKIGIDPKSPVAALNFVLKDEETGVWYQHKGRDFKVPLVDCLLDSGGGNVIGAKGGFSMWPDALASEGKDSSSRSKDPKQETRKVEGFYEELPIAKFAVIENSVTVSVIKCLKTAKNLLYLVTDLPGEVVVHWGVCRDDAKKWEIPAAPHPPETTVFKNKALRTVLQAKEDGNGRSGSFTLDEDLVGFLFVLKLNDSTWLNCMGNDFYIALPISSSIPALSGAGQSEVAPVSENTVGADQEVSHAIYTDGIINEIRSLVSDFSSEKRQKTKTKEAQESILQEIEKLAAEAYSIFRSSIPTFLDETALESEATEAPKICSGTGTGHEILLQGFNWESHKLGHWYMELKQKIEEISSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVKRFHGKGVKVLGDAVLNHRCAHYKNGNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLLWLRKEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFAVGEYWDSLSYTYGELDHDQDAHRQRIVDWINATSGTAGAFDVTTKGILHTTLERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPAVFYDHIFSHYQSEIAALISLRNRNKIHCRSTVKITKAERDVYAAIIDEKVAVKIGPGHYEPPSGPYSWSSKIEGRNYKVWEAS >Potri.010G092900.4.v4.1 pep chromosome:Pop_tri_v4:10:11725431:11736741:-1 gene:Potri.010G092900.v4.1 transcript:Potri.010G092900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092900.v4.1 MSAVSVEPFLRYSRFEKPGYARSRRKAAITVTTAALIKSPSSFNCCCSLIPRRKLLSNASYFPFLDLHRVKTHTARASSTDTALVESTNDVVFKETFPLSRTEMTEGKIFVRLDQSKAKEKEDQWQQLTVGCSLPGKWILHWGVSYVDDTGSEWDQPPENMRPPGSIPVKDYAIETPLKKASEGDKFHQVKIGIDPKSPVAALNFVLKDEETGVWYQHKGRDFKVPLVDCLLDSGGGNVIGAKGGFNALASEGKDSSSRSKDPKQETRKVEGFYEELPIAKFAVIENSVTVSVIKCLKTAKNLLYLVTDLPGEVVVHWGVCRDDAKKWEIPAAPHPPETTVFKNKALRTVLQAKEDGNGRSGSFTLDEDLVGFLFVLKLNDSTWLNCMGNDFYIALPISSSIPALSGAGQSEVAPVSENTVGADQEVSHAIYTDGIINEIRSLVSDFSSEKRQKTKTKEAQESILQEIEKLAAEAYSIFRSSIPTFLDETALESEATEAPKICSGTGTGHEILLQGFNWESHKLGHWYMELKQKIEEISSLGFTVVWLPPPTESVSPEGYMPKDLYNLNSRYGNIDELKDLVKRFHGKGVKVLGDAVLNHRCAHYKNGNGVWNIFGGRLNWDDRAVVADDPHFQGRGNKSSGDNFHAAPNIDHSQEFVRKDLKEWLLWLRKEIGYDGWRLDFVRGFWGGYVKDYLDASEPYFAVGEYWDSLSYTYGELDHDQDAHRQRIVDWINATSGTAGAFDVTTKGILHTTLERCEYWRLSDQKGKPPGVVGWWPSRAVTFIENHDTGSTQGHWRFPSGKEMQGYAYILTHPGTPAVFYDHIFSHYQSEIAALISLRNRNKIHCRSTVKITKAERDVYAAIIDEKVAVKIGPGHYEPPSGPYSWSSKIEGRNYKVWEAS >Potri.010G108350.1.v4.1 pep chromosome:Pop_tri_v4:10:12922298:12928522:-1 gene:Potri.010G108350.v4.1 transcript:Potri.010G108350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108350.v4.1 MEASRIIKESWWLTDGKRSLGELDSAVGYALLDPTWAAQDNTSTAIGNMIALLHSLFSNLPREWLERTQAIIKHLRPLTSVAMLRIAFRIMSPLLPRLANAHTLFNKTLSLLLNTMVDVFGRSSQTSTAVEASEIADLIDFLRVQASWNFLLYALKFYVFKEFTLTKLLCVSAIMLSIMKGREVLSSLTASLNQRFLPFVGRQQKVYV >Potri.002G109200.5.v4.1 pep chromosome:Pop_tri_v4:2:8137774:8143120:-1 gene:Potri.002G109200.v4.1 transcript:Potri.002G109200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109200.v4.1 MAIALRRLSSSLRNPIKPYLNGGSLYYNMSSLPHKALPDEDKARANWIKQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFGLDPQKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYVDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVLLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVMYDYEDKINQAVFPGLQGGPHNHTISGLAVALKQARTPEYKAYQEQVLSNCSKFAQSLIEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIERDFVKVAEFFDAAVKLALKIKADAQGMKLKDFVAAMKSDGHQSEIARLRHDVEEYAKQFPTVGFEKETMKYKD >Potri.002G109200.1.v4.1 pep chromosome:Pop_tri_v4:2:8137362:8143216:-1 gene:Potri.002G109200.v4.1 transcript:Potri.002G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109200.v4.1 MAIALRRLSSSLRNPIKPYLNGGSLYYNMSSLPHKALPDEDKARANWIKQLNAPLEEIDPEIADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAETLCQKRALEAFGLDPQKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYVDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKAVLLADMAHISGLVAAGVIPSPFEYADVVTTTTHKSLRGPRGAMIFFRKGVKEINKQGKEVMYDYEDKINQAVFPGLQGGPHNHTISGLAVALKQARTPEYKAYQEQVLSNCSKFAQSLIEKGYELVSGGTENHLVLVNLRNKGIDGSRVEKVLELVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFIERDFVKVAEFFDAAVKLALKIKADAQGMKLKDFVAAMKSDGHQSEIARLRHDVEEYAKQFPTVGFEKETMKYKD >Potri.005G124400.1.v4.1 pep chromosome:Pop_tri_v4:5:9266220:9270855:-1 gene:Potri.005G124400.v4.1 transcript:Potri.005G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124400.v4.1 MSSTPDAPSPDSGSPPPPPASSPPPENSPPPPPPQSDSPPPDASSPPPPPPPTSESPPPPPPKHSNASPPPPPNSRSLSPPPPPPPPPPPPPPPPPNSSNSGGSSDQMKIVVGVAVGVGIFLIAMIFICAYCSRRKKRKNMHYYGENPQGGSEQFSYNSPQQSNWHNGLPTEHGMKLSQSPGPMGSGWPAPPPPMMNSSDMSSNYSGPYRPPLPPPSPNIALGFNKSTFTYDELAAATNGFDQANLLGQGGFGYVHKGVLPNGKDIAVKSLKLGSGQGEREFQAEVDIISRVHHRHLVSLVGYCIAGGQRMLVYEFVPNKTLEHHLHGKGLPVMDWPTRLRIALGSAKGLAYLHEDCHPRIIHRDIKAANILIDNNFEAMVADFGLAKLSSDNYTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVMLLELITGKKPVDPSSAMEDSLVDWARPLMITSLDTGNYNELVDPMLENNYNHQEMQRMIACAAASIRHSARKRPKMSQVARALEGDVLLDDLNEGTKPGQSSVFSGSNGSADYDASSYNADMKKFRQVALNSQEFGSNELGTSSNESPVTGPSGIHRNSESNY >Potri.003G138800.1.v4.1 pep chromosome:Pop_tri_v4:3:15538858:15540077:-1 gene:Potri.003G138800.v4.1 transcript:Potri.003G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138800.v4.1 MGSKERERYFVFMDYDPEYERIRNDRTKRGAYELDMYLSRKHDELLANTLAHGSYKKTISLIIVDGFAVEITEDQANALRSTNGVRVVEKNQEFPN >Potri.012G004700.2.v4.1 pep chromosome:Pop_tri_v4:12:44624:48928:1 gene:Potri.012G004700.v4.1 transcript:Potri.012G004700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004700.v4.1 MSNSSREDSPDWLRSFQAPALTLSSDSASSPKASPYRDDTVHSQSSKEGNDLVGPTTADAPSNKISKPKGGAKKKKRKGDGDDGQDVKDGTFVNHTKEPLASNNSVWALSSDSESCPDNSPARDPRKNKIEESRNNEDLILMHSREVSPVKKASKSKSPKKLSKGEGHAPKNGKNGNDNLQSKVTGNHGDAEITEEDTSEKHRNAHVSTSRLPLVLSEKVQRSKALVECEGESIDLSGDMGAVGRVVIPDTPSGNSEMYLDLKGTIYRTTIVPSRTFCVVSFGQSEAKIEAIMNDFIQLKTQSNVYEAETMVEGTLEGFSFDSEDETDKITKATALQTDQNEGVEEPANGKTKRKPVKSSGVARKKGKTAVGKPQPVKKVRKKTQVSKKAKTKK >Potri.011G125251.1.v4.1 pep chromosome:Pop_tri_v4:11:15886149:15886574:-1 gene:Potri.011G125251.v4.1 transcript:Potri.011G125251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125251.v4.1 MTKLPKSNPLPQHCVFPTTPAATQRQPCVSSLASVVHPAPAVSLANHPLCIAPSLPLTAGFLFMGNTAAKLLHVDNSRTPASAIKQCCLQLRIPSSTLFAVKISNSNSSRGNPSFLSSSPKATITGVSYTPALPATAFSFQ >Potri.001G090500.1.v4.1 pep chromosome:Pop_tri_v4:1:7162227:7164531:-1 gene:Potri.001G090500.v4.1 transcript:Potri.001G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090500.v4.1 MQWHLGGYQEAAAATGTYGIMASEKEDFSLSGPLHLAIVDWTNAHHRRSVAASLVQGVYILERDRQLKRQGPQALASPWWEFFHFQLLRHLVDDVDSSIFAAIYEFKPPKSHYHNSLDESPRYVIAFRGTITKPESVSRDLELDLHILRNGLHETSRFEIAIQAVRNVVATVGESNVWLAGHSLGAAMALLAGKTMAKTGIFLQAFLFNSPFFSAPIERIKDERVKHGLRIASSVITAGLAFATKKSYHNNRSVDPFAALSAWIPFLFVNPGDHLCSEYIGYLEHRKKMDDIGIGAIERLATQNSLGGLLMSAMGRDSEPLHLIPSANLIVNLTPCQDFREAHGIHQWWRPDLDIKSKLYNYK >Potri.001G090500.4.v4.1 pep chromosome:Pop_tri_v4:1:7162227:7164531:-1 gene:Potri.001G090500.v4.1 transcript:Potri.001G090500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090500.v4.1 MQWHLGGYQEAAAATGTYGIMASEKEDFSLSGPLHLAIVDWTNAHHRRSVAASLVQGVYILERDRQLKRQGPQALASPWWEFFHFQLLRHLVDDVDSSIFAAIYEFKPPKSHYHNSLDESPRYVIAFRGTITKPESVSRDLELDLHILRNGLHETSRFEIAIQAVRNVVATVGESNVWLAGHSLGAAMALLAGKTMAKTGIFLQAFLFNSPFFSAPIERIKDERVKHGLRIASSVITAGLAFATKKSYHNNRSVDPFAALSAWIPFLFVNPGDHLCSEYIGYLEHRKKMDDIGIGAIERLATQNSLGGLLMSAMGRDSEPLHLIPSANLIVNLTPCQDFREAHGIHQWWRPDLDIKSKLYNYK >Potri.001G090500.3.v4.1 pep chromosome:Pop_tri_v4:1:7162227:7164399:-1 gene:Potri.001G090500.v4.1 transcript:Potri.001G090500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090500.v4.1 MQWHLGGYQEAAAATGTYGIMASEKEDFSLSGPLHLAIVDWTNAHHRRSVAASLVQGVYILERDRQLKRQGPQALASPWWEFFHFQLLRHLVDDVDSSIFAAIYEFKPPKSHYHNSLDESPRYVIAFRGTITKPESVSRDLELDLHILRNGLHETSRFEIAIQAVRNVVATVGESNVWLAGHSLGAAMALLAGKTMAKTGIFLQAFLFNSPFFSAPIERIKDERVKHGLRIASSVITAGLAFATKKSYHNNRSVDPFAALSAWIPFLFVNPGDHLCSEYIGYLEHRKKMDDIGIGAIERLATQNSLGGLLMSAMGRDSEPLHLIPSANLIVNLTPCQDFREAHGIHQWWRPDLDIKSKLYNYK >Potri.001G090500.2.v4.1 pep chromosome:Pop_tri_v4:1:7162227:7164531:-1 gene:Potri.001G090500.v4.1 transcript:Potri.001G090500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090500.v4.1 MASEKEDFSLSGPLHLAIVDWTNAHHRRSVAASLVQGVYILERDRQLKRQGPQALASPWWEFFHFQLLRHLVDDVDSSIFAAIYEFKPPKSHYHNSLDESPRYVIAFRGTITKPESVSRDLELDLHILRNGLHETSRFEIAIQAVRNVVATVGESNVWLAGHSLGAAMALLAGKTMAKTGIFLQAFLFNSPFFSAPIERIKDERVKHGLRIASSVITAGLAFATKKSYHNNRSVDPFAALSAWIPFLFVNPGDHLCSEYIGYLEHRKKMDDIGIGAIERLATQNSLGGLLMSAMGRDSEPLHLIPSANLIVNLTPCQDFREAHGIHQWWRPDLDIKSKLYNYK >Potri.014G023500.1.v4.1 pep chromosome:Pop_tri_v4:14:1421203:1423046:1 gene:Potri.014G023500.v4.1 transcript:Potri.014G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G023500.v4.1 MGQSASTALITSRRDSNRSSSHRSKSKFTVPIMPMQVEEQTEFIFCEGPDYISDLPDECLACIFQSLNSGDRKHCSLVCRRWLRIEGQSRHRLSLNAQSDLLPLVPFLFSRFDSVTKLALKCDRRSTSIGDEALVAISSRCRNLTRLKLRSCRELTDAGMAAFAKNCKALKKLSCGSCTFGARGMNAILDNCASLEELSLKRLRGITDGAAAEPVGPGLAAASLKTICLKELYNGQCFGPLIIGSKNLKTLKLFRCSGDWDKLLQVISDRVTGMVEIHLERLQVSDTGLAAISNCLNLEILHLVKTPECTDTGLVSIAERCRLLRKLHVDGWKTNRIGDDGLSAVAKYCPNLQELVLIGVNPTKISVELLASNCQNLERLALCGSDTVGDAEISCIAAKCVALKKLCIKSCPVSDHGMEALANGCPNLVKVKVKKCRAVTCECADWLRTKRGSLAVNLDCGEPEHQDASASDGGLLENVVEFHSVANQMPLPSIASSSTGRSTSFKSRLGLLSGKNLVACTFRRWSGGNSSSRG >Potri.004G125500.1.v4.1 pep chromosome:Pop_tri_v4:4:12175974:12178373:1 gene:Potri.004G125500.v4.1 transcript:Potri.004G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125500.v4.1 MSKQMQISVPIRAPTWVSTRRIFQQKLQDLHKCTNLNHIKQVHAQILKQNLHQDLYVAPKLISAFSLSQEMTLAINVFKQIPDPNVHLYNTFIRACVQNSHSLLAFETFFEMQRNGLFADNFTYPFLLKACDGQSWLPLVKMIHNHLEKYGFFQDLFVPNSLIDSYCKCGLLGVKSAMRLFKVMDERDVVSWNSMIRGLLKVGELSEACKLFDEMPMKDAVSWNTILDGYVKAGEMNKAFGLFESMPERNVVSWSTMVSGYCKAGDMEMARMLFDRMPVKNLVSWTIIVSGYAVKGLAKDAIRSFEQMEEAGLKPDDGTIISILASCAESGLLGLGKRVHTSIERIRYKCSVNVSNALVDMYAKCGQVDRALSVFNGMSKKDLVSWNCMLQGLAMHGHGEKALQLFSIMRQEGFRPDKVTLVAVLCACVHAGFVDEGIRYFNNMERDYGIVPHIEHYGCMVDLLGRGGRLKEAYRLVQSMPVEPNVVIWGTLLGACRMHNAVGLAEEVLDCLFKLEPSDPGNYSLLSNIFASAGDWSSVANVRLQMKNFGIQKPSGASSIEVDDEVHEFTVFDKSHPKSDKIYQMINRLGLDLKRVHVVPKVYL >Potri.013G031700.1.v4.1 pep chromosome:Pop_tri_v4:13:2049726:2055798:-1 gene:Potri.013G031700.v4.1 transcript:Potri.013G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031700.v4.1 MEAYMSSIGSKLQMLYTSDHASVVSMNLFVALLCACIVIGHLLEETRWMNESITALTIGVGTGIVILLISGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLICCAIISIGAMQIFEKMDIGPLDIGDYLAIGAIFAATDSVCTLQVLGQDDTPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNINAVIAWEFVRNFLYLFLTSTMLGVLTGLVSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILLGLVMVGRAAFVFPLSFVSNLSKKSPNEKIGFRQQFIIWWAGLMRGAVSMALAYNKFTSAGHTNLRANAIMITSTITVVLFSTVVFGLMTKPLISILLPHPKYQSRSLSFSSDAATPKSVTVPLLGEGQDSLDDLGGHDIPRPSSLRALLTTPTHTVHYYWRKFDNAFMRPMFGGRGFVPYVPGSPTERNPPQNQWH >Potri.013G031700.2.v4.1 pep chromosome:Pop_tri_v4:13:2049752:2055787:-1 gene:Potri.013G031700.v4.1 transcript:Potri.013G031700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031700.v4.1 MEAYMSSIGSKLQMLYTSDHASVVSMNLFVALLCACIVIGHLLEETRWMNESITALTIGVGTGIVILLISGGKSSRLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLICCAIISIGAMQIFEKMDIGPLDIGDYLAIGAIFAATDSVCTLQVLGQDDTPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTNINAVIAWEFVRNFLYLFLTSTMLGVLTGLVSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSGILTVFFCGIVMSHYTWHNVTESSRVTTKHAFATLSFVAEIFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILLGLVMVGRAAFVFPLSFVSNLSKKSPNEKIGFRQQFIIWWAGLMRGAVSMALAYNKFTSAGHTNLRANAIMITSTITVVLFSTVVFGLMTKPLISILLPHPKYQSRSLSFSSDAATPKSVTVPLLGEGQDSLDDLGGHDIPRPSSLRALLTTPTHTVHYYWRKFDNAFMRPMFGGRGFVPYVPGSPTERNPPQNQWH >Potri.015G085232.2.v4.1 pep chromosome:Pop_tri_v4:15:10978311:10979461:-1 gene:Potri.015G085232.v4.1 transcript:Potri.015G085232.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085232.v4.1 MGSTIVLVSSPGKQPLFSFGVISDVQYADIPDGHSFIVVPRYYRYSIRVLQRAVKKWNNHQNLNFVINFGDIVDGKCPPDQSLDVVKKVNNEFQKFNGPVFHLIGNHCLYNLPRDKLLPLLKIPGLNGHAYYDFFTWSRAQNSRTGWL >Potri.002G240900.1.v4.1 pep chromosome:Pop_tri_v4:2:23297910:23300881:-1 gene:Potri.002G240900.v4.1 transcript:Potri.002G240900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240900.v4.1 MGQQSLIYSFVARGTVILADYTDFSGNFAGIAAQCLQKLPATNNKFTYSCDGHTFNYLVDNGFTYCVVAVESVGRQIPIAYLERIKEDFTNRYGGGKAAIAVANSLKKEFGPKLKEQMQFCMDHPEEVSKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLRSQAQVFRQQGGQIKRKMWWQNMKIKLIVLAILIVLILIIVLSICGGFKC >Potri.001G450200.1.v4.1 pep chromosome:Pop_tri_v4:1:47621193:47625329:-1 gene:Potri.001G450200.v4.1 transcript:Potri.001G450200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450200.v4.1 MGISRPQKSSNGIPTRWVYLFCIASFFLGVLVVNRFWDFPDPAKMDEEASSVKKDQLTADHPTVNCEKQETAVQAGDILSRVSQTHDVIMTLDKTISSLEMQLASARAAKVINEDGSPMVTKSGTEHLKERPKVFFVMGIITAFSSRKRRDSIRETWMPKGEELKKLETEKGIIIRFVIGHSASPGGVLDRAIEAEDDQHKDFLRLNHVEGYHELSSKTQIYFSTAVAKWDADFYIKVDDDVHINLGMVGSTLARHRSKPRVYMGCMKSGPVLAQTGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTAPDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGEGAIWHTSF >Potri.001G082800.2.v4.1 pep chromosome:Pop_tri_v4:1:6555450:6560196:1 gene:Potri.001G082800.v4.1 transcript:Potri.001G082800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082800.v4.1 MEKEVKSSSKTRLNSIKGGASASGQSKRTGKFKRSKVASVFHLNVENASNWLQRNQSRSTAAANDSEDLSDIDDAEIAGYLLHNEKEMEFKRTLWEMMNKKYLKGNQLKGARKVKKRTPSKKAIKIAGQTENKTRSSSKINYDVLKKLLDDGPEEVPGKVEDSKHSNASYADSQQVDENSIPEGHGSGASEENDEHEHDYGETDAGNNDYYNDTYFENEEDDYHYAEDYD >Potri.006G093700.6.v4.1 pep chromosome:Pop_tri_v4:6:7107560:7109523:-1 gene:Potri.006G093700.v4.1 transcript:Potri.006G093700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093700.v4.1 MSPFYDFQGKAHMKVEIYHLSQNGLNRCRTTLPSSVSIPIYQNIQNPTLTLATILGFVKNPPESWLYMDLALATNTEPFAQPARLLSLRACQVRSEDSEEVSSGESIVLDEQTLMRELQVAIEEENYTQAAKIRDSLKVLQEDSKASVLAANARFYNAFRKGDLAAMQSLWEKADNVCCVHPGASGVLGYDDVMESWEVVWMNYDFPLDIELKNVRVHFRGDVGYVTCVEFVRTKGRSWGAQFVTNVFEKIDGQWFISIHHASPVDL >Potri.006G093700.7.v4.1 pep chromosome:Pop_tri_v4:6:7107560:7109523:-1 gene:Potri.006G093700.v4.1 transcript:Potri.006G093700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093700.v4.1 MQNNLALLCFHSHLPEHSKPYLNSCHYFRFCQKPTRVMALHGSSSCYKVNFIDIREFKRQQCSWINSFMRIHSFSSPSLKPNIFLSERHHCLKKLHIGGGTQPFAQPARLLSLRACQVRSEDSEEVSSGESIVLDEQTLMRELQVAIEEENYTQAAKIRDSLKVLQEDSKASVLAANARFYNAFRKGDLAAMQSLWEKADNVCCVHPGASGVLGYDDVMESWEVVWMNYDFPLDIELKNVRVHFRGDVGYVTCVEFVRTKGRSWGAQFVTNVFEKIDGQWFISIHHASPVDFSVLVINVGCTTAHVLAWLTKFQQPSLSDLNTLNLLPIQ >Potri.006G093700.1.v4.1 pep chromosome:Pop_tri_v4:6:7107560:7109523:-1 gene:Potri.006G093700.v4.1 transcript:Potri.006G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093700.v4.1 MQNNLALLCFHSHLPEHSKPYLNSCHYFRFCQKPTRVMALHGSSSCYKVNFIDIREFKRQQCSWINSFMRIHSFSSPSLKPNIFLSERHHCLKKLHIGGGTQPFAQPARLLSLRACQVRSEDSEEVSSGESIVLDEQTLMRELQVAIEEENYTQAAKIRDSLKVLQEDSKASVLAANARFYNAFRKGDLAAMQSLWEKADNVCCVHPGASGVLGYDDVMESWEVVWMNYDFPLDIELKNVRVHFRGDVGYVTCVEFVRTKGRSWGAQFVTNVFEKIDGQWFISIHHASPVDL >Potri.002G113700.2.v4.1 pep chromosome:Pop_tri_v4:2:8607700:8609720:1 gene:Potri.002G113700.v4.1 transcript:Potri.002G113700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113700.v4.1 MGRAPCCDKANVKKGPWSPEEDAKLKSYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQQARRSSGLKQEMKRGNGNPVVPADNNNQNPYWPELPILAPIPYSNHEPHFNDHASIRKLLIKLGGKFSDDDQVNHNAMNPQFPADVSYTQQLYDDQSINVSSSAPMEETLDDTDAQFAQTQYDIDGAAGLQMLQGQSSFPAGLEQMVSSNPPRLDGLEFLLGDDMVNNRIRTAYGTESMIDCGEMTSLIFPPGASNCEGIIQQRLLQECAFDEPRYPGPL >Potri.005G249400.1.v4.1 pep chromosome:Pop_tri_v4:5:24322750:24326337:-1 gene:Potri.005G249400.v4.1 transcript:Potri.005G249400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249400.v4.1 MGCSPSRIDQLPAVSLCHDRCKFLEEALYQSYALADAHVAYMHSLKSLGPTLRRFFDQTLINDQSDSQSNGDPETVAKLIKPSSPDNCPSSSTNSETSHIDFPSDLEDEEFRDNKDFDSLHTIQQNQFNSYSYDHHDYNGYDYPAWKTPPPPASSSSAWDFLNFFETYERYELPAKDKEFVREIRREDKSGKSREEDKRGVRLKAEKGNGVKRNKVDVVEEKKVESVKEESKESKDLGQQAKNQSVLEIMKEVEVLFDRAAESGNEVLKILDAGKFRYYSKNSIYKGVSSKTLQTVSPSFLEKNGSVHGGFGEDLGIFSVNLSSTLRKLCLWEKKLYDEVKAEEKLRIIHAKNCRQMNNLDEKGADANKVNSTRSLLRMLSTKIKVAIQVIDKISTTINKLRDEELWPLISNLIEKLLGMWKVMLECHRCQSQAVVEARSLDAIASNVKFSDACLEAAIQLKIELQNWNLCFSNWISAQRGYVKALNGWLLRCLPSEPEEMPDDVSPLSPGRIGAPPVFAFCNQWSLAIDRVSEMEVIYAMNGFFASVNQYGERHCVYVQQRLTTDKDMERKMKILEREGQRLQKMMQARGKVFQASSAMHQTKMTNNSSLQLGLKQIFVAIERFSADTMHGYEELHVHIEHSRLSQENPAAP >Potri.007G144400.1.v4.1 pep chromosome:Pop_tri_v4:7:15351633:15353483:-1 gene:Potri.007G144400.v4.1 transcript:Potri.007G144400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144400.v4.1 MAVLPLLIALLSIIFFASSPLAKAAQYSVLSYGAMPDGKTDSTKAFAAAWSQACASTQPATISVPKGSFALGQVRFQGPCKNNAILVRIDGTLLAPSDYGVLGRAQNWLIFEHVNGVTISGGTLDGQGAGLWSCKNSGKDCPSGATSLEFSNSNNIAITGLASLNSQMFHIVINACQDVKVQGVRVSAAGDSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSNLWIENVACGPGHGISIGSLGKDSQEAGVQNVTVKTTTFTGTENGVRIKTWGRPSSGFARNILFQHAVMTNVQNPIVIDQNYCPGEKGCPGQVSGVKISDVTYQDIHGSSATELAVKFDCSKTNPCSGIKLEDVKLTYNNQPAEASCSNAGGVASGVVQPTSCL >Potri.008G055800.1.v4.1 pep chromosome:Pop_tri_v4:8:3285270:3286500:-1 gene:Potri.008G055800.v4.1 transcript:Potri.008G055800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055800.v4.1 MEDKEKKKHHKKQKHQHPNDQTTKPSSDFSFKPSSEVKGLRFGGQFIVKSFTIRRARPLELLKVLSYPPTNKNSDNNKTPFPSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVLLFVFESESMRLAVDRIWPPEIPLGEVNKKLIRGLNGCEMARFKFRKGCITFYVYAVRRVGNMGFSCADDLKIILQSVVALNDFLDHTAMLAMPHQRSINYASPQVAMAH >Potri.018G028200.1.v4.1 pep chromosome:Pop_tri_v4:18:2098273:2100593:1 gene:Potri.018G028200.v4.1 transcript:Potri.018G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028200.v4.1 MKFWKSLSNLMEETLPDWRDKFLSYKDLKKQLKLIYPKERDKPLNKRPRLDDDQMDSGEAEKEVIDFVRVLEDEMEKFNSFIVEKEEDYVIKWKELQDRAEKAKDSNEELMKVGREIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALVRMPFIQRIMQQPFYTTHVLNKLIKECETILDYIFSRKEPSVSPQITDEISGLDTKTSTESSERSLRVPSELPEIEYMESMYVKLTLSALRVLKDVRSGSSTVSVYSLPPLQINTQEGDWKKVNVLEQAAK >Potri.017G126100.1.v4.1 pep chromosome:Pop_tri_v4:17:13035891:13039245:1 gene:Potri.017G126100.v4.1 transcript:Potri.017G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126100.v4.1 MVLTIKKVPTVVSNYQEENSEKGFEGCGRNCLGKCCLPVSKLPLYAFKEDNSNLIGNSVEKSSEEQPHMCFLHNLLLGQWEDRMSRGLFRYDVTACDTKIIPGRYGFIAQLNKGRHLKKRPTEFRVDKVLQDFDETKFNFTKVGQEEVLFRFEKSIDHNRHFFPSAPPITADSNSSSVVAINVSPIEYGHVLLIPQVLNCLPQRIDHGSFLLALHMAKEAADPFFRVGYNSLGAFATINHLHFQAYYLAAPFPVEKAPTRRIMTMKSPQDEGVIVSQLLNYPVRGLVFEGGSTVQDLSDSVASSCIFLQNNNIPFNVLITDCGRRIFLFPQCYAEKQARGEASQELLDTQVNPAVWEISGHIVLKRQEDFDDASETYAWRLLAEVSLSNKRFHQVKAYLLEAAGFQTEIEENNRDLEREPIYEQPSPEAVAHLPQDCMVFY >Potri.017G126100.2.v4.1 pep chromosome:Pop_tri_v4:17:13035890:13039295:1 gene:Potri.017G126100.v4.1 transcript:Potri.017G126100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126100.v4.1 MCFLHNLLLGQWEDRMSRGLFRYDVTACDTKIIPGRYGFIAQLNKGRHLKKRPTEFRVDKVLQDFDETKFNFTKVGQEEVLFRFEKSIDHNRHFFPSAPPITADSNSSSVVAINVSPIEYGHVLLIPQVLNCLPQRIDHGSFLLALHMAKEAADPFFRVGYNSLGAFATINHLHFQAYYLAAPFPVEKAPTRRIMTMKSPQDEGVIVSQLLNYPVRGLVFEGGSTVQDLSDSVASSCIFLQNNNIPFNVLITDCGRRIFLFPQCYAEKQARGEASQELLDTQVNPAVWEISGHIVLKRQEDFDDASETYAWRLLAEVSLSNKRFHQVKAYLLEAAGFQTEIEENNRDLEREPIYEQPSPEAVAHLPQDCMVFY >Potri.006G281000.1.v4.1 pep chromosome:Pop_tri_v4:6:27036091:27043466:1 gene:Potri.006G281000.v4.1 transcript:Potri.006G281000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281000.v4.1 MPLGEKAGFEKSQSRYCGVETEFNDNVPQLLNFNLFTSAFDFVVASLMNPSYRPSLLEKDGVLPFAASDLVLSPSQWSSHVVGKISSWIDLDSKDETLRTASETTLKQEIAWANHLSIQACILPSPKGASCVNYARCVNQILLGLNNMQLWLRIPLVKTDDDDVMDVNSTSFIDSWELWNAFRLLCEHHNQPSLPSVNSFGRWFGEPVTAAIINMDSFLTNGWGYPCLSKCHQKLITGATLALKLPQTFSPVYFDVIIIISGKPVHSIPRPSSDTANNFDNNSDSLRRHPLRPYLDYVGFLFRSMDPIPEQECFEPLMDNLEAQTYETFERNSKKYIQYQRAISKALLDRVPDEEASAVTVLMVVGAGRGPLAAEETGHKLKVYAVEKNPNAVVTLHSLVKLEGWEDIVTIISCDMRYWDASEKSDILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPVTAAKLYNDVKSHKDLVHFETAYVVKLHNVARLAPSQTVFTFTHPDYSTKKSNQRYKRLQFEIPCDTGSAMVHGFAGHFDAKLYKDVHLGIEPSTATPNMFSWFAMFFPFRTPVCIKSGSPLEVHFWRCCGSSKVWYEWCVTSPNSLAIHNISGRSFWVGL >Potri.006G265500.1.v4.1 pep chromosome:Pop_tri_v4:6:26099339:26102181:1 gene:Potri.006G265500.v4.1 transcript:Potri.006G265500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265500.v4.1 MDPLETRELVEKSPTDSSKKDDCQSSKTCSRTSPLYILMEPFQWLQMLSSQLNPTFIFGVVVVYGFSQGLSRSFFKVVTDYYWKDVQKVQPSAVQLYMGLYYIPWVMKPIWGLFTDVFPVRGYKRRPYFIVAGVLGCVSALMIALLGKVPIAVALSCLIGITAGVAIADVTIDACIAKNSIEIRALAPDMQSLCGFCSSLGALIGYSSSGFFVHHLGPQVSLVLLAVPPALLIVLGFVIYEVRSTSLQSEKKKAVEGLQIALRGMSKTIKLPQVWKPSLYMYLSLALSISTHEGHFYWYTDPKAGPAFSQEFVGIIYAVGALASIAGVLIYQKALKNCPFRSLILYAQLLYGMSGMLDLTFVLRWNLALGIPDYFFVIAEECVTRIISRIRWMPMIVLSTRLCPLGIEGTFFALLMCIDSLGALSSKWGGGVLLHLFHVTRTDFTNLWLVILIRNFLRFATLGLIFLVPRGDQADSLIPSDILTANSAASLDDDGLELVPVKETSEEVQLLIDEK >Potri.009G108300.1.v4.1 pep chromosome:Pop_tri_v4:9:9372953:9383369:1 gene:Potri.009G108300.v4.1 transcript:Potri.009G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108300.v4.1 MKAMETIQDLIEEAKVRMVWWCLCIFCVTYFLSHTSSSMWMNLPISILFVSLLRILCNEVEFSWKVRRSVRRPSYLSHLEKKQLSLNDSRLSSVPPPPKWKRKIDSPVVEAAISDFIDKILKDFVVDLWYSEITPDREAPELMRSVIMDALGEISGRAKEINLIDLLTKDIVDLIGDHLDLFRRNQVAIGADVMATLSTEERDERLKHHLIASKELHPALISPESEYKVLQQLIGGVLAIVLRPREAQCPLVWTIAREIVTCLVMQPLINLASPAYINEVLELILLAIKEDSPKDAGGDHPAGSVHNVDSTSRKDPSLNSQRSEIFDNKMDYRGTDKTLAKVVDHRETYLDYNSHQQEPMQSHPVEWARILEVATHRRTEVLTPENLENMWAKGRNYKKKENKNVKAGVPKSMAKSSVTNIAATTNLGKSMPINSNTMSTKMEEKAVLHLTHGLSLDTLTSHENRDGRQFTQNGSQELSFEGSHVGGEWENADNLASNENRGGIKRSNSTSALEALPDKKKAFTGDGGGSIISEFYSPDSHRSADHAVKKVSDIVLRSEGPYSPKLKCRVMGAYFEKLGSNSFAVYLIAVTDSEYRTWFVKRRYRNFERLHKHLKEIPNYTLHLPPKRIFSSSTEDAFVHQRCIQLDKYLQDLLSIANVAEQHEVWDFLSVSSKNYSFGKSSSVMRTLAVNVDGAVDDIVRQFKGVSDGFMRKVVGSTSPFDETDSSIYSRNLSWHSDDVNKHVLRQDTLEPVNSFSDTEESYIQENQEQKGVGSTAQANGWHSDNELNAKGFPPRVIKQGDESRTLGLEKKHVLEEKSKRINHGGFSVENSAVVSSHMDDPVGMPPEWTPSNVSLPLLNLVDKVFQLKRRGWLRRQVFWISKQILQLIMEDAIDDWLLRPIYWLRREDTIALGIQWVQDILWPEGIFFTRTGGAQSKVDDDQPNLIPFQISQLGGSKVSNKGSFEEQLEAARRASDIKKMLFDGAPSTLVSLIGTKQYKRCARDIFYFTQSTICVKQLTYGILELLVLSVFPELRDLLLGLNEKMRAPPA >Potri.011G105150.1.v4.1 pep chromosome:Pop_tri_v4:11:13346113:13349433:-1 gene:Potri.011G105150.v4.1 transcript:Potri.011G105150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G105150.v4.1 MMGSSLLLAQFLCLLFFHSHSQPTHSSSNFSSSVQLCPGDQSLALLQFKNSFPMPSSPSTLPCYPPEKVLWKEGTDCCTWDGVTCNIKTGHVIGLDLGCSMLYGTLHSNSTLFSLHHLQKLNLSYNDFNRSVISSSFGQFLHLTHLNLNSSNFAGQVPPEISHLSRLVSLGLSSNSGELMLEPISFNKLAQNLTQLRELYLGGVNMSLVVPSSLMNLSSSLSYLQLWRCGLKGDLPDNLFRRSNLQWLDLWSNEGLTGSFPQYNLSNALSHLDLSYTRISIHLEPDSISHLKSVEKLYLSGCNFVGSNLDLFGNLTQLIELGLAGNQFGGQIPFSLGKLKQLEHLDLRNNNFIGPIPDIFVNQTQLAWLRLSDNNFQGHLPFSLRNLKQLSRLQLSANNFTSQILNEFSNLTELTELDLSYNKFDVQIPSLLGNLKKLNSLTLSFNKFFGKIPDNFANLTHLTWLDLSYNRLTGLPHQINRLTGLTTLFLSNNQLIGHIPSLLSRLSDLNILDLSHNFFNGTIPSSLFCMPSLQFLLLNNNLLYGHISPFLCNSLQYINFSHNKLYGQIPPSVLKLEHLKVLMLSSNDKLIGNISSVICELKFLEILDLSNSGFSGFIPQCLGNFSYGLSVLHLGGNNLHGNIPSIYSEGNSLRYLNFNGNKLKGVIPPSIINCVNLEFLDLSNNMIDGTFPSFLETLPKLKVVILRSNKLHGSLKGPTVKDAFSKLQIFDLSNNNLSGPLPTEYFNNFKAIMSVDQDMDYMRTHNLSTTYVYSVKLAWKGFEVEFSKIQIALTILDLSCNKFTGKIPESLGKLKSLIQLNLSHNSLIGYIQPSLGNLTNLESLDLSSNLLAGRIPQQLVDLKYLQVLNLSYNQLEGPIPVGKQFNTFENGSYEGNLGLCGFPLQVKCNKGEEQQPPPSNFEKEDSMFGEGLGWKAVAMGYGCGFVVGVSIGYVVFRARKPAWFVKMVEDSAHQNAKRLRRKNAPARNGGRRH >Potri.006G013500.1.v4.1 pep chromosome:Pop_tri_v4:6:833820:838595:-1 gene:Potri.006G013500.v4.1 transcript:Potri.006G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013500.v4.1 MDTLRILMLLSLFLQTILAIFGRRRKCFTGFWLRSVVWLAYLSADLVATLSLGILVRSQTNSANPNMIPVFWAPILLLHLGGPETITAYSLADNELWLRRLVELVIQAGVASYVLFKLWSKDTIIFVAIPIFVSGIIKYGERIWAFRLATLEDFSIRREYISSSSRSAYEVRIVREARLLFKAFQKLSTNFLVFDFDQTKTYELVSKKTAEEAFQLIEIELGFLYDRIYSKVTEISWLRVILHSITCLSSISALVFFSIMTTRKNVYSKKDTMISYLLLVGAVLLDCYSITVLLLSDWAVIWFTSSKLVGDFLYRINCLSPLLSFCREQKRWSRSMGQHNLTSAQSNKPLNELRKQYFAGKWNIHSRVDVDEVLKELIFKQVKDKRSRYDPDTIDFLTLLKLLEERGNNALQRYDCFDKLGWSVTHVEFSQSLLIWHVATLVCYIDDSQNNSFAKERNCVMSSRSLSDYMLYLLVYRPTMLAIKLSGTGYAETTTHLGRLLRRNTLDELHALFLKELLPDPPAMLNKIKLTDAGGMSALLDGCVLAMSLQTLDGCPNEKKWEMISEVWVEMLMYAASHCGWKQHADALARGGELLTHVCLLMSHLGLSKPCRPEVSKQYLADQSKRLDDLSERQWVYEIPLA >Potri.012G095500.2.v4.1 pep chromosome:Pop_tri_v4:12:11980865:11986383:1 gene:Potri.012G095500.v4.1 transcript:Potri.012G095500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095500.v4.1 MENTLLLVIFSLLTSLLTFILTITSREIINGRRTRQRAVGFFHPYTNDGGGGERVLWCAVKAIQEESPDLDCVIYTGDHDSSSESLMSRALDRFGVQLLTPPKVVHLYKRKWIEETSYPRFTMIGQSFGSVYLSWEALCKFTPLYYFDTSGYAFTYPVARLFGCKVICYTHYPTISLDMISRVRDRSSMYNNDASIARSGWLSWCKIIYYTLFSWMYGFVGSCAHLAMVNSSWTQSHIEKLWRIPSRIKRVYPPCDTSGLQVLPLERPATTPIFISVAQFRPEKAHPLQLEAFSLAIRRLDADMPRPILQFVGSCRNKFDEDRLQKLQDKAVELNVDGDVQFYKNVMYRLVQAFYNLDPYCSHFLLLKAEELDICVHMCVYVYVGKRVRAHTQHLTVSVLYFCNFFLKKEKPLEGCDVEHTFHLWKKMINLFWFSLLINLIFILLAHW >Potri.012G095500.1.v4.1 pep chromosome:Pop_tri_v4:12:11980865:11986429:1 gene:Potri.012G095500.v4.1 transcript:Potri.012G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095500.v4.1 MENTLLLVIFSLLTSLLTFILTITSREIINGRRTRQRAVGFFHPYTNDGGGGERVLWCAVKAIQEESPDLDCVIYTGDHDSSSESLMSRALDRFGVQLLTPPKVVHLYKRKWIEETSYPRFTMIGQSFGSVYLSWEALCKFTPLYYFDTSGYAFTYPVARLFGCKVICYTHYPTISLDMISRVRDRSSMYNNDASIARSGWLSWCKIIYYTLFSWMYGFVGSCAHLAMVNSSWTQSHIEKLWRIPSRIKRVYPPCDTSGLQVLPLERPATTPIFISVAQFRPEKAHPLQLEAFSLAIRRLDADMPRPILQFVGSCRNKFDEDRLQKLQDKAVELNVDGDVQFYKNVMYRDLVRLLGGAVAGMHSMVDEHFGISVVEYMAAGAVPIAHNSAGPKMDIVLEEDGQQTGFLAQNVDEYAEAVLKVLRMPETERLKIAAAARKRAGRFSEQRFYEDLKAAIQPILNHVSR >Potri.016G115500.1.v4.1 pep chromosome:Pop_tri_v4:16:11993502:11997392:-1 gene:Potri.016G115500.v4.1 transcript:Potri.016G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115500.v4.1 MASLQEPWLLENGNLKGSSKEIRHARTAHNMSSSSLRKKSDLTLVSKVRCGMLRHLLTNLQEVILGTKLTVLFPAIPLAIAAECYGFGRPWVFALSLLGLTPLAERVSFLTEQIAYYTGPTVGGLLNATCGNATELIIAIFALWQHKIQVVKYSLLGSILSNLLLVLGTSLFCGGIANLGKEQKYDRRQADVNALLLLLALLCHMLPLLFRISAASVSLTEVPILQLSRVSSIIMLLAYITYIIFQLVTHRQLFEAQEESVEDGDDVLSEETPVIGFWSGIVWLVGMTAVIALLSEYVVGTIEDASESWGLSVSFISIILLPIVGNAAEHAGAVIFAFKNKLDISLGVALGSATQIAMFVVPLCVIVAWILGIEMDLNFNLLETGALTLSIITTAFTLQDGTSHYLKGLALLLCYVVIGACFFLNKTPLNHGNVIDLGVKSAARSVIAA >Potri.011G024300.2.v4.1 pep chromosome:Pop_tri_v4:11:1814677:1817642:1 gene:Potri.011G024300.v4.1 transcript:Potri.011G024300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024300.v4.1 MKAKSLTRKTKRKKLKAEKETDSGEKSIGNLPDALLEHILSFLSTEAAVRTSILSKKWLHLWKSTTNLTFFGLKSPKRKLFMDFVDRVLALRGPSNIVQFYLSCEVKDDPSRVGTWISAAVNRNVKDLYLELRDFEASFVLPHCLFNCETLTELEINMPYILKLPSSISLSCLKILNLYEVIFTDDHSTQQLFSLPNLVELEIHECNWMNLVAVSISAPKLQSLDIHEPCQSSPASLGGCHVRIFGTHLADFTIIGTLSNDYCLYESSVVETCISIFSAADKPRQTAYRASKLLEGISSAQSLCLTTNVVDVLDDAPELLAFPLEFRNLTSLLFESEESNLHSDGFWHIIYNSPHLIALQFYGVSMPKVPSNCREDWTLDPSPPCFLSCLKFIQVGRFGGDEKELDAVGFLLKNATALESMDIIYSGSARRDQGVDKTNEIHQQLLALPRWSEIGNINFD >Potri.011G024300.3.v4.1 pep chromosome:Pop_tri_v4:11:1814677:1817641:1 gene:Potri.011G024300.v4.1 transcript:Potri.011G024300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024300.v4.1 MKAKSLTRKTKRKKLKAEKETDSGEKSIGNLPDALLEHILSFLSTEAAVRTSILSKKWLHLWKSTTNLTFFGLKSPKRKLFMDFVDRVLALRGPSNIVQFYLSCEVKDDPSRVGTWISAAVNRNVKDLYLELRDFEASFVLPHCLFNCETLTELEINMPYILKLPSSISLSCLKILNLYEVIFTDDHSTQQLFSLPNLVELEIHECNWMNLVAVSISAPKLQSLDIHEPCQSSPASLGGCHVRIFGTHLADFTIIGTLSNDYCLYESSVVETCISIFSAADKPRQTAYRASKLLEGISSAQSLCLTTNVVDVLDDAPELLAFPLEFRNLTSLLFESEESNLHSDGFWHIIYNSPHLIALQFYGVSMPKVPSNCREDWTLDPSPPCFLSCLKFIQVGRFGGDEKELDAVGFLLKNATALESMDIIYSGSARRDQGVDKTNEIHQQLLALPRWSEIGNINFD >Potri.011G024300.4.v4.1 pep chromosome:Pop_tri_v4:11:1814678:1817641:1 gene:Potri.011G024300.v4.1 transcript:Potri.011G024300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024300.v4.1 MKAKSLTRKTKRKKLKAEKETDSGEKSIGNLPDALLEHILSFLSTEAAVRTSILSKKWLHLWKSTTNLTFFGLKSPKRKLFMDFVDRVLALRGPSNIVQFYLSCEVKDDPSRVGTWISAAVNRNVKDLYLELRDFEASFVLPHCLFNCETLTELEINMPYILKLPSSISLSCLKILNLYEVIFTDDHSTQQLFSLPNLVELEIHECNWMNLVAVSISAPKLQSLDIHEPCQSSPASLGGCHVRIFGTHLADFTIIGTLSNDYCLYESSVVETCISIFSAADKPRQTAYRASKLLEGISSAQSLCLTTNVVDVLDDAPELLAFPLEFRNLTSLLFESEESNLHSDGFWHIIYNSPHLIALQFYGVSMPKVPSNCREDWTLDPSPPCFLSCLKFIQVGRFGGDEKELDAVGFLLKNATALESMDIIYSGSARRDQGVDKTNEIHQQLLALPRWSEIGNINFD >Potri.008G089500.1.v4.1 pep chromosome:Pop_tri_v4:8:5588184:5589990:-1 gene:Potri.008G089500.v4.1 transcript:Potri.008G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089500.v4.1 MQELRFKATELLLREEWQESVQVYTQFINLCQDQISVKSHQNHPDPDLLTKLQKSLCLALSNRAEALSRLRDLTGALKDCDQALKIESTHFKSLVCKGKILLSLNRYSMALDCFKTAVLDPQASGNLETLNGYVQKCKKLEFQSRTGAFDLSDWILSGFRGKSPELAEYTGPVQIKRSELSGRGLFATKNIDAGTLLLVTKAIATERGILSSEDSCENARLVMWKNFVDKVVDSATMCERTHHLISTLSSGEDEDKLEAPEMSLFRPEAEEIGELNEKLDKVKILNVLDVNSLVEESVSAKVLGRNSDYYGVGLWVLASFINHSCNPNARRLHVGDHVLVHASRDVKAGEEITFAYFDVLSPLSKRNEMSKTWGFHCSCKRCKFEEEMCSKQEMKEIEIGLERGIDVGSAIFRLEEGMRRWMVRGRGKGYMRASFWAAYFEAYGSEKSVTRWGRRIPAVDIVVDSVAEAVGCDERVLKVFMQAFKGNGVSLVDMEKSLKLGRGVHGKVVKKQQALRSLLDFGTHDA >Potri.002G227600.1.v4.1 pep chromosome:Pop_tri_v4:2:21694252:21698728:1 gene:Potri.002G227600.v4.1 transcript:Potri.002G227600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G227600.v4.1 MVDECYSKSMWSFTLVVLLLVSCTIGEDPYRFYTWNVTYGDIYPLGVKQQGILINGKFPGPQIESVTNDNLIISVFNSLDEPFLLSWNGVQQRRNSWQDGVYGTNCPIPPGKNFTYVLQVKDQIGSYFYFPSLGMHKAAGGFGGFKIASRSVIPVPFPPPAGDFTILAGDWFKKNHTDLKAILDGGSDLPFPDGLLINGRGSNGYTFTVDQGKTYRLRISNVGLTTSINFRIQGHKMLLVEVEGTHSLQNTYDSLDIHLGQSYSVLVTADQAAQDYFIVVSTRFTSQVLTTTSILHYSNSAGSVSDSPPSGPTIQLDWSVEQARSLRRNLTASGPRPNPQGSYHYGLINTTHTVRLQNSAPIINGKQRYAVNSVSFIPADTPLKLADHFNIPGVFSLGSISNNPTGGGAYRQTSVMAADFRGYAEIVFENPEDNVQSWHIDGHNFFVVGMDGGQWSPASRLTYNLRDTISRCTVQVYPESWTAVYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPANSWRDEYPIPTNALLCGRAAGRLTRPV >Potri.010G150300.1.v4.1 pep chromosome:Pop_tri_v4:10:16059712:16063104:-1 gene:Potri.010G150300.v4.1 transcript:Potri.010G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150300.v4.1 MASLAQQFAGLICPPLSSSRLTERAATFSKPKRAANSVVTAKAVAISNVQTKERHKLKELFEEAYERCRTAPLEGVSFTLEDFHAALDTYDYNSEIGTRVKGTVFMTDNNGAFVDITAKSSAYLPVQEASIHKIKRVEEAGIVPGMREEFVIIGENEADDSLILSLRSIQYELAWERCRQLQAEDAIVKGKVVGGNRGGVVAVVEGLQGFVPFSQISSKSSAEELLDKEVPLKFVDVDEEQSRLVLSNRKAMADSQAQLGIGSVVTGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDISTVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMARTFRQRIAQAEAMARADMLRFQPESGLPLSSGGILGPNISDLPAEGLDLSDVPPADESDGHGTPEEESD >Potri.007G033900.1.v4.1 pep chromosome:Pop_tri_v4:7:2653039:2656683:-1 gene:Potri.007G033900.v4.1 transcript:Potri.007G033900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033900.v4.1 MAAEKPSSKGQAWFCTTGLPSDIVIEVGDMTFHLHKFPLMSKSRKLHQLITEQETQRNDQQEPEEERDEIEEILCQISLLDFPGGSETFEMAAKFCYGVKVDLNSSIIAPLRCAGEFLEMTEEYSEDNLISKTERFFSHSVLKSLKESIKALKSCERVMALAESLGITERCIDSIISRASSADPALFGWPVSEAANENIKASSNQALWNGIESAVRRKGGGARSNNADSWFEDLALLSMPLFKRLILAMKVRDLNPEILESCLMYYAKKHIPGISRLSRKPSSSSSSIASEGGQREVLETTVSNLPLHRSSRSSTATRFLFGLLRTANILNAAEECRSTLEKKIGLQLEQATLDDLLIPSYSYLNETLYDVDCLERILGHFLDGLQEERNVGEIEAGEDGGDSNVRSPTLMLVGKLIDGYLAEIASDANLKPDRFHNLAISLPEQARLFDDGLYRAVDVYLKAHPWISEAEREKICGVMDCQKLTLEACTHAAQNERLPLRAVVQVLFFEQLQLRHAIAGTLIAAEADPARPSMLRRREEEAEAEAGAEAEAGAMQEGTSNTWRAAVRENQVLRLDMDSMRTRVHQLERECSTMKKVIDKIDKEGPRGNNGGWRESLTRRFGCKFKTQVCDSHEQTVVNARKGRQQQQHQHQQ >Potri.012G132000.1.v4.1 pep chromosome:Pop_tri_v4:12:14665863:14668719:1 gene:Potri.012G132000.v4.1 transcript:Potri.012G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132000.v4.1 MFPLHQGDELCFNISSDPHQQHNIPQDLILARYAALQGSDTTNKMENDRRRFLISMDSNHEVARDHSKNNNKKMMHRNIERQRRQEMTTLYASLRALLPLEFIKGKRSISDHMNESVNYIKYLQKKIKELSAKRDGLKKSPNLSFDSPSGSSNKYSPISPVTLQPYPGGIEVVFDSDFRGQDSPLSRVLQVLLEEGISVVNCVSTKVNERLFHTVQTEVNDPACLNLSELRQKLTLRVVS >Potri.011G065200.1.v4.1 pep chromosome:Pop_tri_v4:11:5574232:5576277:-1 gene:Potri.011G065200.v4.1 transcript:Potri.011G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065200.v4.1 MDFIKKRKADENGNSIPTGTTPSSPITPLTPEEIRKIIEPFTKDQLLDILQSATLHHSDVLNSVRSVADGDISLRKLFIRGLSSETTSETLRILFSSSGELEEAIVIHDKNTGKSKGFGFITFKHVDAAMLSIREPSKKIDGRITVTQLASNNSSTTDVSLRKVYVGNVPFEVSSERLLGFFSMYGEIEEGPLGFDKSTGKSKGFAFLIYKNEEGAKAAIADPMKNIDGHQVVCKFAADNRKVNKNSQVGAITQTSQPLTYPPLTGNSQNYGPASTNSYQINTSMTGSGYNGSYRHPPYLGAGLNDGGLNNAGALMYRMPQASGSGVYPDTGSYALPQHQQPSSMSMPMPPRLPHGAGGMYQGMPPYY >Potri.001G300700.1.v4.1 pep chromosome:Pop_tri_v4:1:31140772:31144754:1 gene:Potri.001G300700.v4.1 transcript:Potri.001G300700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G300700.v4.1 MSSSSINYLHFFAFFLLGIALPTFALNTDGVLLLSFKYSILRDPLSVLETWNYEDKTPCFWKGVTCTELGLPGTPDMFRVTSLVLPNSQLLGSIPPDLGYVEHLRHLDLSNNFLNGSLPSSFFNATELQVISLSSNEISGELPESIGALKSLQLLNLSDNALAGKVPEYLTALQNLTVLSLRTNYFSGSVPSGFNSVEVLDLSSNLLNGSLPLNFGGDNLHYLNLSYNKLTGPISQAFAKRIPEKASIDLSFNNLTGAIPESLSLLSQKTDSFRGNLDLCGKPLSNLCSIPSTISTPPNISTTSPAIAVIPKSLDSGSPQLNSTGTSPSSTRNQAKSGLKPATIVAIAVSDLAGIAILALVILYVYQIRKKKTLVNQTNPPNKERKLPLPSTTVAVKEEIETRKPINWPCLTLKGDETSGTTTSDDDQDNEDTNNANCSESNQEKDSKLVVLDGETELELETLLKASAYVLGTSGRSIVYKAVLGDGTAFAVRRIGESGVERRDFENQVRLIAKLKHPNLVKICGFYWGGDEKLVVYDYVCNGSLATAGYRKPGSSPSHLPLEVRFKIAKGVARGLAFIHGKKHVHGSIKPNNILLNLDMEPIISDFGLDRLVLGNNSNKASSSSRHFSSQRFASTTQDLSINASHYAPSNSSAASSLPYQAPESLKNPKPSPKWDVYSFGIVLLELLTGRVFSDGDLSQWTAGSIMEDKNRVLRLADVAIRTNVEVKEDAILACLKMGFSCASFVPQKRPSMKEALQVLEKIPCSNVSS >Potri.001G163200.7.v4.1 pep chromosome:Pop_tri_v4:1:13844955:13852494:-1 gene:Potri.001G163200.v4.1 transcript:Potri.001G163200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163200.v4.1 MDSGASLVAEIAAADHLTASGFGSSDGVAMNSSLNDFNEIITTQPHSSEVSSAQQDSRRNLEEPEPLKEEFPPSPSDHLSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGERDGKIWMWHRCLRCPRINGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASINVLSVYLPPSRVDFSFENQEWMQKETDEVVNRAELLLSEVLNALSQISEKRCKIEQLNSGMKLPELRRQIAELELMLQKEMAEFEESLHKVLSREVKNGQPVIDILEINRLRRQLLFQSYMWDNRLIYAASLDNSFHDDSNSSTSGYEEKLLEPDNSDRLVEENMGHRPGNGFSSCDFPSVEAKLLKGSDQQGGFGSNTNLSDKVDQEMDVCLGPGHGKEGHANLCTTMSAHDLSDIKESGGNFFRTLSDGQVPIMANLSDTLDAAWTGENHPGVGTLKDDNNRLSDSAMEESSTTAVGLEGVDLEGRAKDQDGSKVCYSPSPALSAKDPDNMEDYMSWLRMPFLNFYRSLNKNFLTSSEKLGTLGEYNPVYVSSFRSLELQGGARLLLPVGVNDTVIPVYDDEPTSLISYALASPEYHAQLTDEGERIKDTGESSSFSSLSESFHSLEEVSLDLYKSFGSTDESILSMSGSRSSLILDPLSYTKAMHVKVSFGDDSPDGKARYSVTCYYAKRFETLRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKNLKGGKETKMDVLVMENLLYRRKVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKSKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELALGIIDFMRQYTWDKHLETWVKASGILGGPKNESPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIIPSKSQSDLGEENTQGAASVD >Potri.001G163200.5.v4.1 pep chromosome:Pop_tri_v4:1:13846938:13853488:-1 gene:Potri.001G163200.v4.1 transcript:Potri.001G163200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163200.v4.1 MVVKGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRVSKQLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKKPLLERIARCTGAQIVPSIDHLSSPKLGYCEKFHVERFLEDLGTAGHGGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLNTPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSYSAPTASLVSTIIGSSVDNVPAADCPSSQSSESTSSRFNSTEFLSAVPYTEKAVSGSYHTFVEKTKMDSGASLVAEIAAADHLTASGFGSSDGVAMNSSLNDFNEIITTQPHSSEVSSAQQDSRRNLEEPEPLKEEFPPSPSDHLSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGERDGKIWMWHRCLRCPRINGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASINVLSVYLPPSRVDFSFENQEWMQKETDEVVNRAELLLSEVLNALSQISEKRCKIEQLNSGMKLPELRRQIAELELMLQKEMAEFEESLHKVLSREVKNGQPVIDILEINRLRRQLLFQSYMWDNRLIYAASLDNSFHDDSNSSTSGYEEKLLEPDNSDRLVEENMGHRPGNGFSSCDFPSVEAKLLKGSDQQGGFGSNTNLSDKVDQEMDVCLGPGHGKEGHANLCTTMSAHDLSDIKESGGNFFRTLSDGQVPIMANLSDTLDAAWTGENHPGVGTLKDDNNRLSDSAMEESSTTAVGLEGVDLEGRAKDQDGSKVCYSPSPALSAKDPDNMEDYMSWLRMPFLNFYRSLNKNFLTSSEKLGTLGEYNPVYVSSFRSLELQGGARLLLPVGVNDTVIPVYDDEPTSLISYALASPEYHAQLTDEGERIKDTGESSSFSSLSESFHSLEEVSLDLYKSFGSTDESILSMSGSRSSLILDPLSYTKAMHVKVSFGDDSPDGKARYSVTCYYAKRFETLRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKNLKGGKETKMDVLVMENLLYRRKVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKSKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELALGIIDFMRQYTWDKHLETWVKASGILGGPKNESPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIIPSKSQSDLGEENTQGAASVD >Potri.001G163200.1.v4.1 pep chromosome:Pop_tri_v4:1:13846938:13856701:-1 gene:Potri.001G163200.v4.1 transcript:Potri.001G163200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163200.v4.1 MKPSGKTFSELICLLKSWIPWRSEPASVSRDFWMPDQSCRVCYECDSQFTIFNRRHHCRLCGRVFCAKCTTNSVPVPSSDPRTVQEDLEKIRVCNYCSKQWQQGLATFDNGIQIPSLDLSSSPSAASFISTRSCGTANSSSITGGSLPYMVRPNRQAQHSSRLSPPQATEMETSSDKQGEVESARCKDPVADIEYRSPDGYAFSVNSARSDDDDDEYGAYRSDSETRHSPQVNDYYHQVEFDDMSNDGGSHKAHLDGETIEPKSSSSSPIRHSFGPQNLEGMPQLRKMDEREMDDECEVPSSMYTGEDGNTEPVDFENSGVLWLPPEPEDEEDEREVGLFEDDDDDRDAAGEWGYLRASGSFRSGEFHNRDRTSEEHKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGRCCESMVVKGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRVSKQLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKKPLLERIARCTGAQIVPSIDHLSSPKLGYCEKFHVERFLEDLGTAGHGGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLNTPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSYSAPTASLVSTIIGSSVDNVPAADCPSSQSSESTSSRFNSTEFLSAVPYTEKAVSGSYHTFVEKTKMDSGASLVAEIAAADHLTASGFGSSDGVAMNSSLNDFNEIITTQPHSSEVSSAQQDSRRNLEEPEPLKEEFPPSPSDHLSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGERDGKIWMWHRCLRCPRINGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASINVLSVYLPPSRVDFSFENQEWMQKETDEVVNRAELLLSEVLNALSQISEKRCKIEQLNSGMKLPELRRQIAELELMLQKEMAEFEESLHKVLSREVKNGQPVIDILEINRLRRQLLFQSYMWDNRLIYAASLDNSFHDDSNSSTSGYEEKLLEPDNSDRLVEENMGHRPGNGFSSCDFPSVEAKLLKGSDQQGGFGSNTNLSDKVDQEMDVCLGPGHGKEGHANLCTTMSAHDLSDIKESGGNFFRTLSDGQVPIMANLSDTLDAAWTGENHPGVGTLKDDNNRLSDSAMEESSTTAVGLEGVDLEGRAKDQDGSKVCYSPSPALSAKDPDNMEDYMSWLRMPFLNFYRSLNKNFLTSSEKLGTLGEYNPVYVSSFRSLELQGGARLLLPVGVNDTVIPVYDDEPTSLISYALASPEYHAQLTDEGERIKDTGESSSFSSLSESFHSLEEVSLDLYKSFGSTDESILSMSGSRSSLILDPLSYTKAMHVKVSFGDDSPDGKARYSVTCYYAKRFETLRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKNLKGGKETKMDVLVMENLLYRRKVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKSKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELALGIIDFMRQYTWDKHLETWVKASGILGGPKNESPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIIPSKSQSDLGEENTQGAASVD >Potri.001G163200.4.v4.1 pep chromosome:Pop_tri_v4:1:13846938:13856739:-1 gene:Potri.001G163200.v4.1 transcript:Potri.001G163200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163200.v4.1 MKPSGKTFSELICLLKSWIPWRSEPASVSRDFWMPDQSCRVCYECDSQFTIFNRRHHCRLCGRVFCAKCTTNSVPVPSSDPRTVQEDLEKIRVCNYCSKQWQQGLATFDNGIQIPSLDLSSSPSAASFISTRSCGTANSSSITGGSLPYMVRPNRQAQHSSRLSPPQATEMETSSDKQGEVESARCKDPVADIEYRSPDGYAFSVNSARSDDDDDEYGAYRSDSETRHSPQVNDYYHQVEFDDMSNDGGSHKAHLDGETIEPKSSSSSPIRHSFGPQNLEGMPQLRKMDEREMDDECEVPSSMYTGEDGNTEPVDFENSGVLWLPPEPEDEEDEREVGLFEDDDDDRDAAGEWGYLRASGSFRSGEFHNRDRTSEEHKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDMSKGGGMDPGGYVKVKCIASGRCCESMVVKGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRVSKQLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKKPLLERIARCTGAQIVPSIDHLSSPKLGYCEKFHVERFLEDLGTAGHGGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGASLPELPLNTPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSYSAPTASLVSTIIGSSVDNVPAADCPSSQSSESTSSRFNSTEFLSAVPYTEKAVSGSYHTFVEKTKMDSGASLVAEIAAADHLTASGFGSSDGVAMNSSLNDFNEIITTQPHSSEVSSAQQDSRRNLEEPEPLKEEFPPSPSDHLSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGERDGKIWMWHRCLRCPRINGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASINVLSVYLPPSRVDFSFENQEWMQKETDEVVNRAELLLSEVLNALSQISEKRCKIEQLNSGMKLPELRRQIAELELMLQKEMAEFEESLHKVLSREVKNGQPVIDILEINRLRRQLLFQSYMWDNRLIYAASLDNSFHDDSNSSTSGYEEKLLEPDNSDRLVEENMGHRPGNGFSSCDFPSVEAKLLKGSDQQGGFGSNTNLSDKVDQEMDVCLGPGHGKEGHANLCTTMSAHDLSDIKESGGNFFRTLSDGQVPIMANLSDTLDAAWTGENHPGVGTLKDDNNRLSDSAMEESSTTAVGLEGVDLEGRAKDQDGSKVCYSPSPALSAKDPDNMEDYMSWLRMPFLNFYRSLNKNFLTSSEKLGTLGEYNPVYVSSFRSLELQGGARLLLPVGVNDTVIPVYDDEPTSLISYALASPEYHAQLTDEGERIKDTGESSSFSSLSESFHSLEEVSLDLYKSFGSTDESILSMSGSRSSLILDPLSYTKAMHVKVSFGDDSPDGKARYSVTCYYAKRFETLRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKNLKGGKETKMDVLVMENLLYRRKVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKSKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELALGIIDFMRQYTWDKHLETWVKASGILGGPKNESPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIIPSKSQSDLGEENTQGAASVD >Potri.001G163200.6.v4.1 pep chromosome:Pop_tri_v4:1:13846938:13852472:-1 gene:Potri.001G163200.v4.1 transcript:Potri.001G163200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163200.v4.1 MDSGASLVAEIAAADHLTASGFGSSDGVAMNSSLNDFNEIITTQPHSSEVSSAQQDSRRNLEEPEPLKEEFPPSPSDHLSILVSLSSRCVWKGTVCERSHLFRIKYYGSFDKPLGRFLRDHLFDQVKSFFPNLLYLVEIWSLLYLLYSQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGERDGKIWMWHRCLRCPRINGFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGQMVACFRYASINVLSVYLPPSRVDFSFENQEWMQKETDEVVNRAELLLSEVLNALSQISEKRCKIEQLNSGMKLPELRRQIAELELMLQKEMAEFEESLHKVLSREVKNGQPVIDILEINRLRRQLLFQSYMWDNRLIYAASLDNSFHDDSNSSTSGYEEKLLEPDNSDRLVEENMGHRPGNGFSSCDFPSVEAKLLKGSDQQGGFGSNTNLSDKVDQEMDVCLGPGHGKEGHANLCTTMSAHDLSDIKESGGNFFRTLSDGQVPIMANLSDTLDAAWTGENHPGVGTLKDDNNRLSDSAMEESSTTAVGLEGVDLEGRAKDQDGSKVCYSPSPALSAKDPDNMEDYMSWLRMPFLNFYRSLNKNFLTSSEKLGTLGEYNPVYVSSFRSLELQGGARLLLPVGVNDTVIPVYDDEPTSLISYALASPEYHAQLTDEGERIKDTGESSSFSSLSESFHSLEEVSLDLYKSFGSTDESILSMSGSRSSLILDPLSYTKAMHVKVSFGDDSPDGKARYSVTCYYAKRFETLRRICCPSELDFVRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKNLKGGKETKMDVLVMENLLYRRKVTRLYDLKGSSRSRYNPDSSGSNKVLLDQNLIEAMPTSPIFVGNKSKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELALGIIDFMRQYTWDKHLETWVKASGILGGPKNESPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPSIIPSKSQSDLGEENTQGAASVD >Potri.018G075200.1.v4.1 pep chromosome:Pop_tri_v4:18:9419357:9420083:1 gene:Potri.018G075200.v4.1 transcript:Potri.018G075200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075200.v4.1 MPSRSAGVIKQDWEPVVMHKAKPKSQDLRDPKVVNHALRSGAPVQTIKKFDAGSNKKATAPVVNARKLEEETEPAALDRISTEVRQAIQKARLEKKMSQTELAKLINEQPKVVQEYENGKAVPNQAILAKMERVLGVKLRGKTGK >Potri.009G048700.1.v4.1 pep chromosome:Pop_tri_v4:9:5429959:5433074:1 gene:Potri.009G048700.v4.1 transcript:Potri.009G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048700.v4.1 MYQDDGSSSVTSSPLQVFSTMPVSPSMGSPYPWVRELKSEERGLYLIHLLLTCANHVASGSLENAEIALSQISHLASPDGDTMQRIAAYFAEALARRIVKAWPGIDKALNATQITLVSEQILVRKLFYDMFPFMKVAFVLTNQAIIEAMEGEKMVHVIDLHAAEPAQWIALLQAFSVRPEGPPHLRITGIHPQKGVLDQMAHKLIEEAEKLDIPFQFNPIVSKLENLDIEILRVKTGEALAISSILQLHSFLASDDELRKKSPSTLKNSNGINMQRVLQMNQNTLGELLEKDTANGYSPSPDSASSSPLSSTASVKMDCFLNSLWGLSPKLMVVTEQDSNHNGSTLMERLLEALYTYAALFDCLESTVSRTSMERLKVEKMLFGDEIKNIIACEGAARKERHEKLEKWIQRLDLAGFGNVSLSYYGMLQARRLLQGYGCDGYRMKEENGSVVICWQDRPLFSVSAWRCRK >Potri.017G002800.1.v4.1 pep chromosome:Pop_tri_v4:17:160617:162074:1 gene:Potri.017G002800.v4.1 transcript:Potri.017G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002800.v4.1 MELKFVEPVAKNGKELALVNEEDVASEFKRWENAVVAYFLGHDSTFGPPSFNKFINNLIDSEWRKYGNVKVYSQGSGVFVLDFESVHGKELALGEGPRFYDGRKPFILKPWSRDMSLEIEELKSAPIWIRLPNLRLHLWSPEALGKIASLVGRPLFADTVTASRETLCFARVCVEVDFDKMLPDSVTIEDDKGYSYDQKVEYEWQPTSRCSYCLHLGHSDSCPRRKTTFPCSCCKEYGRFCSKRSGGPARFLFGTAQGAANTSSETTFGSTPAPAFGSTPAPAFGSTPAPTFGSTLFGTTAPGANSPQDDARTSLSTPAFGSERPPAE >Potri.002G092700.2.v4.1 pep chromosome:Pop_tri_v4:2:6722235:6732309:-1 gene:Potri.002G092700.v4.1 transcript:Potri.002G092700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092700.v4.1 MVLGLKEIQIRGEIRTNVDYTIDLLHASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAVVSDYIGYLEKGQIPPKHISLVNSQVSLNIEGSKYTIDMVREGPGSYKLRMNKSELEVEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPCKLLRYLVLDGSHIDADMPYVEVEVMKMCMPLLSPASGLIQFKMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYDHNIDEVVQNLLICLDSPELPFLQWQECLAVLANRLPKDLRTELEATYREFEGVSSSLNIDFPAKLLKGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVRSKNKLILCLMEQLVYPNPAAYRDKLIRFSQLNHTNYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGENMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHGDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERNNGSGDQTSDKPLVEKHCEQKWGAMVIIKSLQFLPAIISAALRETVHDPHETISNGSLEPTSFGNMMHIALVGINNPMSLLQDSGDEDQAQERINKLAKILKEQEVGSSLHSAGVGVISCIIQRDEGRAPMRHSFHWSAEKLYYAEEPLLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPVPIRRMFLRTLVRQTTMNEGFTAYQGLGMETARTHWAVSFTSKSILRSLVAAMEELELNAHNTTVKSDHAHMYLCILREQQIDDLVPYPKKVEIDAEQEEVAVEAILEGLAREIHAFVGVRMHRLGVCEWEVKLWMASSGQANGAWRVVVANVTGHTCAVHIYRELEDTSKHRVVYHSISVQGPLHLVPVNAHYQPLGALDRKRLMARKSSTTYCYDFPLAFETVLEQIWASQFPGMEKPEGKVLKVTELIFANENGSWGTPLISTQRPAGLNDFGMVAWCMEILTPEFPLGRTILVVANDVTFKAGSFGQREDAFFLAVTDLACNKKIPLIYLAANSGARIGVADEVKSCFKVGWSDELFPDRGFQYVYLSPLDHARIRSSVIAHELKLENGETRWVIDAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLTRLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAIFKWLSCVPHRVGGALPISSPLDSPERPVDYFPENSCDPRAAICGIFDGSGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIFDFNREELPLFILANWRGFSGGQRDLFEGILQAGATIVENLRNYKQPVFVYIPMMGELRGGAWAVLDSKINSDHIEMYADRTAKGNVLEPEGMIEIKFRTKDLLECMGRLDQQLINLKLKLQEARSSAPYGMADSLQQQIKTREKQLLPVYTQIATKFAELHDSSLRMEAKGVIREVVDWARSRHFFCGRLRRRIAECLLIKDVIDAAGQQLTHKSAMDMIKIWFLNSDTARGREDAWVDDEAFFAWKDDSGNYEAKLQELRVQKVLLQLTSVGESMSDLKALPQGLAALLSKVEPSSREHLVDELRKVLS >Potri.002G092700.3.v4.1 pep chromosome:Pop_tri_v4:2:6722237:6730778:-1 gene:Potri.002G092700.v4.1 transcript:Potri.002G092700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092700.v4.1 MAETPCKLLRYLVLDGSHIDADMPYVEVEVMKMCMPLLSPASGLIQFKMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYDHNIDEVVQNLLICLDSPELPFLQWQECLAVLANRLPKDLRTELEATYREFEGVSSSLNIDFPAKLLKGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVRSKNKLILCLMEQLVYPNPAAYRDKLIRFSQLNHTNYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGENMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHGDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERNNGSGDQTSDKPLVEKHCEQKWGAMVIIKSLQFLPAIISAALRETVHDPHETISNGSLEPTSFGNMMHIALVGINNPMSLLQDSGDEDQAQERINKLAKILKEQEVGSSLHSAGVGVISCIIQRDEGRAPMRHSFHWSAEKLYYAEEPLLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPVPIRRMFLRTLVRQTTMNEGFTAYQGLGMETARTHWAVSFTSKSILRSLVAAMEELELNAHNTTVKSDHAHMYLCILREQQIDDLVPYPKKVEIDAEQEEVAVEAILEGLAREIHAFVGVRMHRLGVCEWEVKLWMASSGQANGAWRVVVANVTGHTCAVHIYRELEDTSKHRVVYHSISVQGPLHLVPVNAHYQPLGALDRKRLMARKSSTTYCYDFPLAFETVLEQIWASQFPGMEKPEGKVLKVTELIFANENGSWGTPLISTQRPAGLNDFGMVAWCMEILTPEFPLGRTILVVANDVTFKAGSFGQREDAFFLAVTDLACNKKIPLIYLAANSGARIGVADEVKSCFKVGWSDELFPDRGFQYVYLSPLDHARIRSSVIAHELKLENGETRWVIDAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLTRLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAIFKWLSCVPHRVGGALPISSPLDSPERPVDYFPENSCDPRAAICGIFDGSGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIFDFNREELPLFILANWRGFSGGQRDLFEGILQAGATIVENLRNYKQPVFVYIPMMGELRGGAWAVLDSKINSDHIEMYADRTAKGNVLEPEGMIEIKFRTKDLLECMGRLDQQLINLKLKLQEARSSAPYGMADSLQQQIKTREKQLLPVYTQIATKFAELHDSSLRMEAKGVIREVVDWARSRHFFCGRLRRRIAECLLIKDVIDAAGQQLTHKSAMDMIKIWFLNSDTARGREDAWVDDEAFFAWKDDSGNYEAKLQELRVQKVLLQLTSVGESMSDLKALPQGLAALLSKVEPSSREHLVDELRKVLS >Potri.002G092700.1.v4.1 pep chromosome:Pop_tri_v4:2:6722238:6736194:-1 gene:Potri.002G092700.v4.1 transcript:Potri.002G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092700.v4.1 MTYIAYPANCNTKSHFSRSLSLSLSLSRPNLTPRCLSRVEFDPSFSDSSSMSEAQRRPPITLAVGRGNGYINGIASIRSPATISLVDHFCRSLGGKKPIHSILVANNGMAAVKFMRSIRTWAYETFGTDKAILLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALNAKGIVFLGPPATSMAALGDKIGSSLIAQAADVPTLPWSGSHVKLSPQSCLVTIPDEIYREACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDHYGNVAALHSRDCSIQRRHQKIIEEGPITVAPVDTVKKLEQAARRLAKCVNYVGAATVEYLYSMETGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQIAEIRRFYGMEHGGGYDAWRKTSLVATPFDFDKAESIRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTIDLLHASDYRDNKIHTGWLDSRIAMRVRAERPPWYLSVVGGALYKASASSAAVVSDYIGYLEKGQIPPKHISLVNSQVSLNIEGSKYTIDMVREGPGSYKLRMNKSELEVEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLMAETPCKLLRYLVLDGSHIDADMPYVEVEVMKMCMPLLSPASGLIQFKMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLGPPTAISGKVHQRCAASLNAARMILAGYDHNIDEVVQNLLICLDSPELPFLQWQECLAVLANRLPKDLRTELEATYREFEGVSSSLNIDFPAKLLKGVLEAHLSSCPEKEKGAQERLVEPLMSLVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLKVVDIVLSHQGVRSKNKLILCLMEQLVYPNPAAYRDKLIRFSQLNHTNYSELALKASQLLEQTKLSELRSTIARSLSELEMFTEDGENMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHGDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERNNGSGDQTSDKPLVEKHCEQKWGAMVIIKSLQFLPAIISAALRETVHDPHETISNGSLEPTSFGNMMHIALVGINNPMSLLQDSGDEDQAQERINKLAKILKEQEVGSSLHSAGVGVISCIIQRDEGRAPMRHSFHWSAEKLYYAEEPLLRHLEPPLSIYLELDKLKGYENIQYTPSRDRQWHLYTVVDKPVPIRRMFLRTLVRQTTMNEGFTAYQGLGMETARTHWAVSFTSKSILRSLVAAMEELELNAHNTTVKSDHAHMYLCILREQQIDDLVPYPKKVEIDAEQEEVAVEAILEGLAREIHAFVGVRMHRLGVCEWEVKLWMASSGQANGAWRVVVANVTGHTCAVHIYRELEDTSKHRVVYHSISVQGPLHLVPVNAHYQPLGALDRKRLMARKSSTTYCYDFPLAFETVLEQIWASQFPGMEKPEGKVLKVTELIFANENGSWGTPLISTQRPAGLNDFGMVAWCMEILTPEFPLGRTILVVANDVTFKAGSFGQREDAFFLAVTDLACNKKIPLIYLAANSGARIGVADEVKSCFKVGWSDELFPDRGFQYVYLSPLDHARIRSSVIAHELKLENGETRWVIDAIVGKEDGLGVENLSGSGAIASAYSRAYKETFTLTYVTGRTVGIGAYLTRLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAIFKWLSCVPHRVGGALPISSPLDSPERPVDYFPENSCDPRAAICGIFDGSGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMQVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIFDFNREELPLFILANWRGFSGGQRDLFEGILQAGATIVENLRNYKQPVFVYIPMMGELRGGAWAVLDSKINSDHIEMYADRTAKGNVLEPEGMIEIKFRTKDLLECMGRLDQQLINLKLKLQEARSSAPYGMADSLQQQIKTREKQLLPVYTQIATKFAELHDSSLRMEAKGVIREVVDWARSRHFFCGRLRRRIAECLLIKDVIDAAGQQLTHKSAMDMIKIWFLNSDTARGREDAWVDDEAFFAWKDDSGNYEAKLQELRVQKVLLQLTSVGESMSDLKALPQGLAALLSKVEPSSREHLVDELRKVLS >Potri.006G112700.2.v4.1 pep chromosome:Pop_tri_v4:6:8741719:8742664:-1 gene:Potri.006G112700.v4.1 transcript:Potri.006G112700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112700.v4.1 MIETNDRGHDPKARRRRCFIVGGVILLLLLLLFIIVLILALTVFKPKEPRTELLSATLEGISPRISFSPVSIQLNIFLNLTLLVKNPNHASFKHGPGKSYLLYQGDQVGEADLCPGLIPSKGTQTLPSQLTIEVDEMATHISALISDVLQGQLVIETRTRIPGRVSFLKIFKKHAVATSDCRLAIAIPSMKIQSQECESKTKL >Potri.006G088632.1.v4.1 pep chromosome:Pop_tri_v4:6:6675065:6677332:-1 gene:Potri.006G088632.v4.1 transcript:Potri.006G088632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088632.v4.1 MLFRIVFLVRVFVSLAIAASQDFNFTFSGFRSTNLSLDGLAELTSNGLLRLTNETYHRTGHAFYPNPVTFKNSINSTAFTFSTTFVFAIIPEYATLGGHGIAFVIAPTRGLPGSLPSPYLGLFNKTNNGNQNNHVVAVELDTIYSSEFNDIDDNHVGIDINGIESERSASAGYNSQLNGKLTNLTLISGHPMQVWMEYDGMEKQLKVTIAPIDVDKPSRPLLTLSRDLSPILNSSMYMGFSSSTGTSIFASQYVLGWSFKMNGLAEALDISRLPKLPRVGPKKTSKFLTIGLPVLCLSLALVAVSSIFYAIRRTRKFAEVLEDWELDYGPHRFKFKDLYTATKGFRDEELLGSGGFGRVYKGVLPTSRIQIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPTVALNWSQRFRVIKGVASGLLYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTSTDVFAFAAFLLEVASGRRPIQPTEDIILVDWVFIRWLGGEILEARDPNLGTEYIAEEMELVLKLGLMCSHSEPAARPNMRQVVQFLEGNVPLPDISSLCLSASGLTFSHREGFDDIANSYPSSMDKAFAHSSSVTESLLSGGR >Potri.013G022100.2.v4.1 pep chromosome:Pop_tri_v4:13:1426348:1432101:1 gene:Potri.013G022100.v4.1 transcript:Potri.013G022100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022100.v4.1 MFQNIIDAITGCCTGDCKKKIRGTVVLMKKNVLDLTDLNASIHDRVHEFLGQGVSLQLVSAVNSDPSANDFKGKLGKPAYLEKWITTVTPLTAGETAFKVTFNWDEEIGIPGALLVKNNHQSEFYLKTVTLEGVPGLGRVHFVCKSWIYPAEHYSKPRIFFTNKTYLPHETPAPLRKYREEELFHLRGNGEGELKEWDRVYDYDFYNDLGSSKKGSEYFRPVLGGSSEYPYPRRGRTGRKKEDPNTESRLPLLKSLSIYVPRDERFGHLKLADFLAYALKTVAQVVKNGVDTFVDTTPNEFDSFDDVLKLYEGGYELPHVPLLDNIRKIFPLEFLEEIFRTDGERFFEFPKPQVIKDNHSAWRTDEEFGREMLAGVNPVLIRRLEEFPPKSKLNRELYGDQNSKITEEHIQNSLDGLTIDEAIRNNRMFILDHHDALMPYLRRINTTSTKTYATRTLLFLKDDGTLKPLAIELSWPHEEGYKYGSNSEVYTPAETGVESSIWQLAKAYVGVNDSGYHQLISHWLHTHAVMEPFVIATNRHLSVLHPIYKLLEPHFRDTMNINALARQILINAGGFLELTVYPSKYALEMSSSLYRSWDFTEQALPEDLKKRGVAVDDPNSPHGVRLLIKDYPYAVDGLEIWSAIKEWVRDYCSFYYKTDEMVQNDTEIQSWWKEVREVGHGDQKDAPWWPKMQTREELIESCTIIIWVGSALHAAVNFGQYPYGGYLLNRPSTSRRFMPQKGSPEYEELKSNPDKFFLGTITAQLQTLLGISLIEILSRHSSDEVYLGQRDILEWTAEKEPIEAFKKFGRKLAVIEDRILDMNREARYKNRVGPVKVPYTLLVPTSGAGLTGRGIPNSVSI >Potri.017G030600.1.v4.1 pep chromosome:Pop_tri_v4:17:2050359:2051776:-1 gene:Potri.017G030600.v4.1 transcript:Potri.017G030600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G030600.v4.1 MKKENSSDASVALIVGITGLAGFSLAEALQKPTTPGRPWKVYGIARRPLPIWFPAFLVDGFISLDALDCADTANKLSPVAHEITHVFWVSMQHHGNEEVNISMNSTMLANVLNALKSTAPSRLRHVTLLTGTKHYMGPIFDPSLRGQLVHQEPPFKEDLGRLPYPNFYYALEDLVASYLPSITHSVHRSSIIIGASSRSLNNTLLTLSVYATICRYQGLPFRYPGNKYTWEHFCDVSDARMLAEQQIWAAVTEGAKNQAFNCTNGDVFTWKSLWGVLCEVFDVEFVAFEENEEKFDWLGMIKGKGKVWDEIVEKFGLFETKMEDITCFEALNVGLHFGFQHVCSMNKSRESGFLGFADTLKSIPMWVGRLRDMKIIP >Potri.006G036400.1.v4.1 pep chromosome:Pop_tri_v4:6:2332358:2336031:1 gene:Potri.006G036400.v4.1 transcript:Potri.006G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036400.v4.1 MQQFIQAWMAIALIFLCSRTESLLEADRIVRLPGQPPVSFQQFSGYITVDEKQHRSLFYYFVEAETSPASKPLVLWLNGGPGCSSVGVGAFVEHGPFRPTTGNNLVRNEYSWNKEANMLYLESPAGVGFSYSANQTFYSYVNDEMTARDNLVFLRRWFIKFPQYKQRDFFIAGESYAGHYVPQLARLIIRSKVNFNLKGIAIGNPLLEFNTDLNAQDHFYWSHGLISDSTYQLLTSVCNSSKLMREALTGTVSSACLGVYTLVQKELSESIDPYDVTGDICLSSNQSQLKIFNQQLLRSRLPYLSPQVLELDSVKQQQQVMGKVDVCLLEETTNYLNRKDVQMALHARLVGVTNWHVCSVVLEYDRSNEERPTIHVVRSLVKSGLAVLVYSGDQDSIIAFTGTRSLVSKIAKDLRLKTTVPYRAWLSGNQVGGWTQVYGDNLSFATIRGASHTAPSTQPKRSLLLFKSFLEKKPLPTA >Potri.006G036400.5.v4.1 pep chromosome:Pop_tri_v4:6:2332981:2336116:1 gene:Potri.006G036400.v4.1 transcript:Potri.006G036400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036400.v4.1 MLYLESPAGVGFSYSANQTFYSYVNDEMTARDNLVFLRRWFIKFPQYKQRDFFIAGESYAGHYVPQLARLIIRSKVNFNLKGIAIGNPLLEFNTDLNAQDHFYWSHGLISDSTYQLLTSVCNSSKLMREALTGTVSSACLGVYTLVQKELSESIDPYDVTGDICLSSNQSQLKIFNQQLLRSRLPYLSPQVLELDSVKQQQQVMGKVDVCLLEETTNYLNRKDVQMALHARLVGVTNWHVCSVVLEYDRSNEERPTIHVVRSLVKSGLAVLVYSGDQDSIIAFTGTRSLVSKIAKDLRLKTTVPYRAWLSGNQVGGWTQVYGDNLSFATIRGASHTAPSTQPKRSLLLFKSFLEKKPLPTA >Potri.001G154200.1.v4.1 pep chromosome:Pop_tri_v4:1:12913534:12914925:1 gene:Potri.001G154200.v4.1 transcript:Potri.001G154200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154200.v4.1 MSTDVSTALEFIKHHLLGDLLSPIATSSSASFCQFSTNTEISTYETTGCCSQASTSDSSTAFPYFLDSPGPDFFVFSSDFSPAQDNKTNIFEFEAKPEIIDLLTPKPLDSTSHLNSQPSSSSSSSNSSNLFEFVKPQIISHPVNNFFYEESKPRVEPTRKPSLKISLPSRKSEWIQFSNTNPQPVDDNSGVAVEEKKHYRGVRHRPWGKYAAEIRDPNRRGSRVWLGTFDTALEAARAYDRAAFKLRGSKAILNFPLEAGRCDVRANEEGERKRLRECDAEEREDVKRVMRVVKREEPERDVPLTPSCCTAAWDICGDSKGVFNVPPLSPLSPHPSLGFPQLLVI >Potri.005G222601.11.v4.1 pep chromosome:Pop_tri_v4:5:22414514:22417028:1 gene:Potri.005G222601.v4.1 transcript:Potri.005G222601.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222601.v4.1 MSSLHTDKSKARPKLTIVLFLEIFVLSLLGTSLTINMYFASLKYTSPTFVASMNNTVPSMTFIIAIILRLEIVDLRNPRGIAKIVGTLLSLAGVLTITLYKGPEVRSLQGAPIHVRSNHAQQNRVKGSFLLVSSCFTWSLYFIMQMCTS >Potri.005G222601.9.v4.1 pep chromosome:Pop_tri_v4:5:22414514:22417028:1 gene:Potri.005G222601.v4.1 transcript:Potri.005G222601.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222601.v4.1 MSSLHTDKSKARPKLTIVLFLEIFVLSLLGYEGQSICFNIKQNETKFFVFVRKGKDLQFSLLTKARTSLTINMYFASLKYTSPTFVASMNNTVPSMTFIIAIILRLEIVDLRNPRGIAKIVGTLLSLAGVLTITLYKGPEVRSLQGAPIHVRSNHAQQNRVKGSFLLVSSCFTWSLYFIMQMCTS >Potri.005G222601.13.v4.1 pep chromosome:Pop_tri_v4:5:22414410:22417084:1 gene:Potri.005G222601.v4.1 transcript:Potri.005G222601.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222601.v4.1 MYFASLKYTSPTFVASMNNTVPSMTFIIAIILRLEIVDLRNPRGIAKIVGTLLSLAGVLTITLYKGPEVRSLQGAPIHVRSNHAQQNRVKGSFLLVSSCFTWSLYFIMQMCTS >Potri.005G222601.10.v4.1 pep chromosome:Pop_tri_v4:5:22414514:22417028:1 gene:Potri.005G222601.v4.1 transcript:Potri.005G222601.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222601.v4.1 MSSLHTDKSKARPKLTIVLFLEIFVLSLLGYEGQSICFNIKQNETKFFVFVRKGKDLQFSLLTKARTSLTINMYFASLKYTSPTFVASMNNTVPSMTFIIAIILRLEIVDLRNPRGIAKIVGTLLSLAGVLTITLYKGPEVRSLQGAPIHVRSNHAQQNRVKGSFLLVSSCFTWSLYFIMQMCTS >Potri.005G222601.14.v4.1 pep chromosome:Pop_tri_v4:5:22415053:22415746:1 gene:Potri.005G222601.v4.1 transcript:Potri.005G222601.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222601.v4.1 MYFASLKYTSPTFVASMNNTVPSMTFIIAIILRLEIVDLRNPRGIAKIVGTLLSLAGVLTITLYKGPEVRSLQGAPIHVRSNHAQQNRVKGSFLLVSSCFTWSLYFIMQMCTS >Potri.005G222601.12.v4.1 pep chromosome:Pop_tri_v4:5:22414514:22417028:1 gene:Potri.005G222601.v4.1 transcript:Potri.005G222601.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222601.v4.1 MSSLHTDKSKARPKLTIVLFLEIFVLSLLGTSLTINMYFASLKYTSPTFVASMNNTVPSMTFIIAIILRLEIVDLRNPRGIAKIVGTLLSLAGVLTITLYKGPEVRSLQGAPIHVRSNHAQQNRVKGSFLLVSSCFTWSLYFIMQMCTS >Potri.019G091400.1.v4.1 pep chromosome:Pop_tri_v4:19:12937600:12938376:1 gene:Potri.019G091400.v4.1 transcript:Potri.019G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091400.v4.1 MGDNQVPDPTVPAWRPFQPSSRDNSLPGFNHNTNYDLNSKIMLTAILSLSFVVVLVIVLHIYARCALRRHQARRRAVMNSLGLTNASVNSGEPPKRGLDPTVIASLPIFVYQQTEGQTEDDLIECAVCLSMLEDQEMARILPNCKHKFHAECIDKWLSSHSTCPICRTEAEPMIQPEPREGPAGGTAHTAPMLEPMNSTSVCDEGTSSSVGGNQPSPKVVGSGSRLSSFRRMLSRERSSRRIQPEVQDQEGFQDLERQ >Potri.006G066150.4.v4.1 pep chromosome:Pop_tri_v4:6:4790533:4792722:-1 gene:Potri.006G066150.v4.1 transcript:Potri.006G066150.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066150.v4.1 MLRRQKKAMLVRFNPKTDLHVKVIVKYDLRLSRANITFSGLFAFPAFTSRSLLSCFSQFLSLACLVILSSSHIDATLFCYLIWFTFIPALLHFPINTYKLFAHIPWQILSVFPLLFSIQRFQEEAVPGARQR >Potri.006G066150.3.v4.1 pep chromosome:Pop_tri_v4:6:4786635:4793808:-1 gene:Potri.006G066150.v4.1 transcript:Potri.006G066150.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066150.v4.1 MLRRQKKAMLVRFNPKTDLHVKVIVKYDLRLSRANITFSGLFAFPAFTSRSLLSCFSQFLSLACLVILSSSHIDATLFCYLIWFTFIPALLHFPINTYKLFAHIPWQILSVFPLLFSIQRFQEEAVPGARQRPDSNILREGGLGYVFKGWIGENGTSLAN >Potri.006G066150.2.v4.1 pep chromosome:Pop_tri_v4:6:4786635:4793808:-1 gene:Potri.006G066150.v4.1 transcript:Potri.006G066150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066150.v4.1 MLRRQKKAMLVRFNPKTDLHVKVIVKYDLRLSRANITFSGLFAFPAFTSRSLLSCFSQFLSLACLVILSSSHIDATLFCYLIWFTFIPALLHFPINTYKLFAHIPWQILSVFPLLFSIQRFQEEAVPGARQSVICTNNNKSRCQLLQFTLQELKSATANFRPDSNILREGGLGYVFKGWIGENGTSLAN >Potri.008G214800.1.v4.1 pep chromosome:Pop_tri_v4:8:16823941:16824867:-1 gene:Potri.008G214800.v4.1 transcript:Potri.008G214800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX13 MERVTNLASERPVVIFSKSTCCMCHTIKTLFNEFGVNVAVHELDEMPRGREIEQALSRFGCPTLPAVFIGGELVGGANEVMSLHLNRSLIPMLQRAGALWV >Potri.011G059700.3.v4.1 pep chromosome:Pop_tri_v4:11:4993428:4996331:1 gene:Potri.011G059700.v4.1 transcript:Potri.011G059700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059700.v4.1 MSSAQDPFYIVKEEIQESIDKLQSSFHQWERISCDMGDQVHLTKDLLAACESIEWKVDELDKAISVAGRDPSWYGIDEAELEKRRRWTSTARNQVGNVKKAVVAGREVNISGTASVSGMRRELMRMPNSQQADKSNQYTQDNDDFIQSESDRQLLLIKQQDEELDELSITIGRLGGVGLTIHEELLAQEKIIDDLGMEMDSTSNRLDFVQKKVAMVMKKASAKGQLMMILFLVVLFIILFVLVFLT >Potri.013G037632.1.v4.1 pep chromosome:Pop_tri_v4:13:2529029:2530299:1 gene:Potri.013G037632.v4.1 transcript:Potri.013G037632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037632.v4.1 MNLSHNKLSGLIPTVYVDLVSLTTLDISYNELEGPIPKIKGFNEAPLEAFMNNSGLCGNVSGLKPCTLLTSKRKSNKIVILILFPLLGSLLLLLTMVGCLYFRYQTSIERISFLGERQSPLSFAVQGYEEEILHETIIQATNNFNSNNCIGKGGYRIVYRAMLPAVYEFIERGSLKMSLSSEEQAMDLDWNKRLNVVKGVANALSYLHHDCSPPIIHRDISSSNVLLDLEYEAHVSDFGTARLLMPDSTNWTSFAGTFGYTAPELAYTMRVNEKCDV >Potri.010G007998.1.v4.1 pep chromosome:Pop_tri_v4:10:1611279:1611738:-1 gene:Potri.010G007998.v4.1 transcript:Potri.010G007998.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007998.v4.1 MARQADRLVKIGQEGFAAIDEHFGRAKRRPPVMKVPYTHPTYYYATEVIDSNEAAQRYKGRVYVDYPKGKPVPF >Potri.010G159600.1.v4.1 pep chromosome:Pop_tri_v4:10:16567118:16569821:-1 gene:Potri.010G159600.v4.1 transcript:Potri.010G159600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159600.v4.1 MEANQKNNFVCKFCNKRYPCGKSLGGHIRIHLNANGTSSTDEEAKVQVSKTESNSKQISVPEAVGQSGYVLRENPKKKSRFVADSSNTTSLPEKVCKECGKGFQSLKALCGHMACHSKNYFQDQSGTTEKLKEIVSDNQSDSETTDPRKPRRSKRMRYKTIDVFTTSLSSTSDIEQEQEEVAKCLMMLSKDSGFKGCFSSLADSSDNNSVVLEGKSSSTKTRINVNNAVNFVSSGIESLEVKKSMQRGVISTENDQSENSDSGYFRKGPKKVESDVSVHGFARNDEIKKYKVEFGSSYDDAIDAESGKRLSRFRRVRTQLGKDFIEEDVYDQADRASMDSQKRCKNESYEFLSSRRGKCVPLGGRRASHNKTNGCSDSIYESGENSVDTDYVPNPIPNSSRMIQSRSGKTPIEQNSSGNAEKNLGLKKGKLHECPFCPKVFRSGQALGGHKRSHFAGAARDRTVVIKQDVPEISMRGLIDLNLPVSVEEEAGGFGPW >Potri.013G106800.1.v4.1 pep chromosome:Pop_tri_v4:13:11585405:11587594:-1 gene:Potri.013G106800.v4.1 transcript:Potri.013G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106800.v4.1 MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVVYRVRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRANWKRNNTLSLRRYR >Potri.016G143966.2.v4.1 pep chromosome:Pop_tri_v4:16:14582927:14588938:-1 gene:Potri.016G143966.v4.1 transcript:Potri.016G143966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143966.v4.1 MLWQDAAAAGTDEITKHKRNAVEESEKCELRFGGYCHWRDEHRENMKDFMVKKLKDQLFVARAYYPSIAKLPSQEKLTHELKQNIQELERILSESSTDADLPPQIQKKLQKMENVISKAKTFPVDCNNVDKKLRQILDLTEEETNFHMKQSAFLYQLAVQTMPKGLHCLSMRLIVEYFKSSAHDKEFPLSERYSDPSLQHYVVFSTNVLAASVVINSTAVHARESGNLVFHVLTDGLNYYAMKLWFLRNTYKEAAVQVLNIENVTLKYYDKEVLKSMSLPVEYRVSFQTVTNPPASHLRTEYVSVFSHTHYLLPYIFEKLKRVVVLDDDVVVQRDLSDLWNLNMGRKVNGALQLCSVQLGQLRSYLGKSIFDKTSCAWMSGLNVIDLVRWRELDLTKTYWKLGQEVSKGTESDESVALSTSLLTFQDLVYPLDGAWALSGLGHDYGIDVQAIKKASVLHFNGQMKPWLELGIPKYKHYWKRFLNRHDQLLVECNVNP >Potri.016G143966.1.v4.1 pep chromosome:Pop_tri_v4:16:14582110:14590920:-1 gene:Potri.016G143966.v4.1 transcript:Potri.016G143966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143966.v4.1 MKGYHNNHNQGKRRWRCLVIGVLFLVLLSMLVPLVFLLGLYHNGFHSTGHLSDRQTSSASATDQSSHIKELINNFAPTLPKIHQDLVINFTSGAENKTASSGTPVVLPVVPQPPPIRNDAAAAGTDEITKHKRNAVEESEKCELRFGGYCHWRDEHRENMKDFMVKKLKDQLFVARAYYPSIAKLPSQEKLTHELKQNIQELERILSESSTDADLPPQIQKKLQKMENVISKAKTFPVDCNNVDKKLRQILDLTEEETNFHMKQSAFLYQLAVQTMPKGLHCLSMRLIVEYFKSSAHDKEFPLSERYSDPSLQHYVVFSTNVLAASVVINSTAVHARESGNLVFHVLTDGLNYYAMKLWFLRNTYKEAAVQVLNIENVTLKYYDKEVLKSMSLPVEYRVSFQTVTNPPASHLRTEYVSVFSHTHYLLPYIFEKLKRVVVLDDDVVVQRDLSDLWNLNMGRKVNGALQLCSVQLGQLRSYLGKSIFDKTSCAWMSGLNVIDLVRWRELDLTKTYWKLGQEVSKGTESDESVALSTSLLTFQDLVYPLDGAWALSGLGHDYGIDVQAIKKASVLHFNGQMKPWLELGIPKYKHYWKRFLNRHDQLLVECNVNP >Potri.010G007811.1.v4.1 pep chromosome:Pop_tri_v4:10:1157673:1158266:-1 gene:Potri.010G007811.v4.1 transcript:Potri.010G007811.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007811.v4.1 MEITKFLGFSFLLFAFAATSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTATSKIICNSDVILSTLNESLPITFSPAIKSNDGVIREGSYLNVNFDAPSCRMGGVTTMWMIESEGLIVTTGGVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVGVNSDKHLAPNVGPLLVMFEPDAY >Potri.002G012500.2.v4.1 pep chromosome:Pop_tri_v4:2:768175:769908:-1 gene:Potri.002G012500.v4.1 transcript:Potri.002G012500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012500.v4.1 MEDGKVAVKSSKFKRVCVFCGSSTGKRDCYRDAALELGQELVSRSLDLVYGGGSVGLMGLVSQEVHRGGGHVIGVIPKTLMSKELTGETVGEVRPVADMHQRKAEMARHSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIMPSQRSIIVSAPNAKELVQKLEEYVPVHDGVVAKAKWEAEQMELNASLQTEIAR >Potri.006G192500.3.v4.1 pep chromosome:Pop_tri_v4:6:19939235:19940946:1 gene:Potri.006G192500.v4.1 transcript:Potri.006G192500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192500.v4.1 MVTADKNSSSTTVQHVTKKSSDELLRKFAEEMSDDDANEAKKLELARVLKRRKRRKTRRDFESGNLCESPSHYKSSSSLVERRSLLPPVTRKSVLLRQLGIGRLQLKARDTKSKSILASIEKTWRRTVEGASKVLLEKHYNRHRRLINDMI >Potri.006G222900.1.v4.1 pep chromosome:Pop_tri_v4:6:22824464:22829661:-1 gene:Potri.006G222900.v4.1 transcript:Potri.006G222900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222900.v4.1 MKSAISSTTTLLSSKNLSLKLHLNHSPLSRLPSSLFRSKSNTHFPSLLPRNNSTHNQKSQIHTPIMASSFMPEQARAPPALPLPVPPVTKFKIGLCQLSVTADKERNIAHARKAIEEAAAKGAKLVMLPEIWNSPYSNDCFPVYAEDIDAGGEASPSTAMLSEAAGLLKVTIVGGSIPERSGDRLYNTCCVFDSDGKLKAKHRKIHLFDIDIPGKITFIESKTLTAGETPTIVDTEVGRIGIGICYDIRFQELAIIYAARGAHLICYPGAFNMTTGPLHWELLQRARAADNQLYVATCSPARDVAAGYVAWGHSTLVGPFGEVLATTEHEEDIIIAEIDYSLLEVRRTNLPLTKQRRGDLYQLVDVQRLKSDS >Potri.003G191000.1.v4.1 pep chromosome:Pop_tri_v4:3:19441603:19444503:-1 gene:Potri.003G191000.v4.1 transcript:Potri.003G191000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191000.v4.1 MSSHLLPFTATPPPQIPSKASPLAQHTLSQRTHIPSHIYKHPAAILLELCTSSKEVHQILPQIIKNGLYNETLFQTKLISLFCKYGNLTEASRVFEPIEDKFDALYHTMLKGYAKSSSLDSALSFFSRMKHDSVRPVVYNFTYLLKLCGDNSDLKRGKEIHGSVITSGFSWNLFAMTGVVNMYAKCRQINDAYNMFDRMPERDLVCWNTMISGYAQNGFAKVALMLVLRMSEEGHRPDSITIVSILPAVADTRLLRIGMAVHGYVLRAGFESLVNVSTALVDMYSKCGSVSIARVIFDGMDHRTVVSWNSMIDGYVQSGDAEGAMLIFQKMLDEGVQPTNVTVMGALHACADLGDLERGKFVHKLVDQLKLDSDVSVMNSLISMYSKCKRVDIAADIFKNLRNKTLVSWNAMILGYAQNGCVNEALNAFCEMQSRNIKPDSFTMVSVIPALAELSIPRQAKWIHGLVIRRFLDKNVFVMTALVDMYAKCGAIHTARKLFDMMNARHVITWNAMIDGYGTHGLGKTSVELFKEMKKGTIKPNDITFLCALSACSHSGLVEEGLCFFESMKKDYGIEPTMDHYGAMVDLLGRAGRLNQAWDFIQKMPIKPGITVYGAMLGACKIHKNVDLGEKAAFEIFKLNPDDGGYHVLLANIYATASMWGKVAKVRTIMEKSGLQKTPGCSLVEIGNEVHSFYSGTTSHPQSKKIYSYLETLVDEIRAAGYVPDTNSIHDVEDDVKVQLLNTHSEKLAIAFGLLNTSTGTPIHIRKNLRVCGDCHNATKYISLVTGREIIVRDMHRFHLFKDGVCSCGDYW >Potri.009G005800.1.v4.1 pep chromosome:Pop_tri_v4:9:1225059:1229930:-1 gene:Potri.009G005800.v4.1 transcript:Potri.009G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005800.v4.1 METMTMKKYNRQVSPERAKVWTERSPKYQQQNRKVPVVYYLCRNRQLEHPHFIEVPLASPDGLYLRDVIERLNVLRGRGMASLYSWSSKRSYKNGFVWHDLCEDDLILPAHGSEYVLKGSELFEESNSDRFAPAGTIKMQNLKLLPEPASSRSQDDSSSSASLNGKETKHSQEDEVSPPQQRPGSSGVSPESTVGKNSPWNGSLSLTEYKVYKSDGFANASTQTEENVSRPKSRETCSRGVSTDDGSLEQECNENDQNRLPHVKENSEICENSVSPPPSSSSASSSGGKTETLESLIRADVNKINSFRILEEEDIRMPNNARLKATNMLMQLISCGSISVKDHSFGLVPTYRPRFSHSKLPSPLFSTSMMLGELDCLSENPRMMGLRLEEKEYFSGSLIETKMLKEGDGHPSLKRSSSFNADRTCKQPDSVEDNEESTSGRSKCIPRSKASLSKQPHSESLRSPVSDKPRNSSNGANGSQVVHNSSSNGGSKRITEPGSGKKQSKKLDSFREERVIKIEERLASGARVIIHSKASCDPSSVCSS >Potri.019G103800.3.v4.1 pep chromosome:Pop_tri_v4:19:13937006:13937341:1 gene:Potri.019G103800.v4.1 transcript:Potri.019G103800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103800.v4.1 MGVEDSWDTVVSPPTTTLVPLNLERDDHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPRSLSSSAGTNGSSSSSSNDLEAQVVFHGKLDFPSLKVLSPSHFIGL >Potri.019G103800.2.v4.1 pep chromosome:Pop_tri_v4:19:13936669:13940369:1 gene:Potri.019G103800.v4.1 transcript:Potri.019G103800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103800.v4.1 MGVEDSWDTVVSPPTTTLVPLNLERDDHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPRSLSSSAGTNGSSSSSSNDLEAQVVFHGKLDFPSLKVLSPSHFIGL >Potri.019G103800.1.v4.1 pep chromosome:Pop_tri_v4:19:13936669:13940368:1 gene:Potri.019G103800.v4.1 transcript:Potri.019G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103800.v4.1 MGVEDSWDTVVSPPTTTLVPLNLERDDHWRHFDNSVNAVSFGFVATAILISMFLVMAIFERFLRPRSLSSSAGTNGSSSSSSNDLEAQVVFHGKLDFPSLKMTVYEKGVSVLMPGDDVPTFLAHPAPAPCRPERMIIQPLQQHSLCHSFTSTSTSS >Potri.009G068600.2.v4.1 pep chromosome:Pop_tri_v4:9:6809826:6815582:1 gene:Potri.009G068600.v4.1 transcript:Potri.009G068600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068600.v4.1 MSSLEEPLGFDKLPSMNTIERIQRFSSGACRPRADDIGMGHCWIEGRICSSSNSCEEDYEYTRETFPWKRHTRDPCQGNRRTMSSGSKNVVSGSSCDSRYFPDHQYSSKSNDKDIRDITNKFLKGIPKFVKIVEVGPRDGLQNEKNIVPTDVKVELIHRLVSSGLPVVEATSFVSPKWVPQLADARDVMEAVHGLEASRLPVLTPNLKGFEAAVAAGAKEVAVFASASESFSKSNINCSIKESLARYRAVTHAAKELSIPVRGYVSCVIGCPEEGSIHPSKVAYVAKELHDMGCFEISLGDTIGVGTPGTVALMLEAVMAVVPVEKLAIHFHDTYGQSLPNILVSLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLHGLGVRTNVDLAKLLSAGDFICKQLGRPSGSKTAVALSRVTADASKI >Potri.009G068600.1.v4.1 pep chromosome:Pop_tri_v4:9:6810025:6815674:1 gene:Potri.009G068600.v4.1 transcript:Potri.009G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068600.v4.1 MSSLEEPLGFDKLPSMNTIERIQRFSSGACRPRADDIGMGHCWIEGRICSSSNSCEEDYEYTRETFPWKRHTRDPCQGNRRTMSSGSKNVVSGSSCDSRYFPDHQYSSKSNDKDIRDITNKFLKGIPKFVKIVEVGPRDGLQNEKNIVPTDVKVELIHRLVSSGLPVVEATSFVSPKWVPQLADARDVMEAVHGLEASRLPVLTPNLKGFEAAVAAGAKEVAVFASASESFSKSNINCSIKESLARYRAVTHAAKELSIPVRGYVSCVIGCPEEGSIHPSKVAYVAKELHDMGCFEISLGDTIGVGTPGTVALMLEAVMAVVPVEKLAIHFHDTYGQSLPNILVSLQMGISVVDSSVAGLGGCPYAKGASGNVATEDVVYMLHGLGVRTNVDLAKLLSAGDFICKQLGRPSGSKTAVALSRVTADASKI >Potri.009G132900.1.v4.1 pep chromosome:Pop_tri_v4:9:10785883:10788946:1 gene:Potri.009G132900.v4.1 transcript:Potri.009G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132900.v4.1 MGSGEEANKPTSLYDSSSQSQPLLLKPPSIEEPNQPDQPESDPTQYLQISYNYGPRPFKDLPFVIFFVFVVLCTFGFGIFSVFHKNSNYSNLSSYKYDLNSNSCARNSTFNGFYESRFDFYALSSSGSVFLKSLIWTLVVTLILSVPICFLLLLSLKHYTKQIVYVSLPFFVVIPIFFNVYWFVACTVSSSCSDAFPLVYRILVLVFVFLIIGIIVWIFVANWHRIELTVKIIGVASDALSKNLGLFVVIPLLTLGLVVYYAPIVVFLVFARLNGKIVPKESNGEYRCVWKQDSWVPAYYTLAILTMLWSLTIMVEAQVYVISGTVAQWYFTKEDAKPRRSIRSSLRHAFGPSSGTVCLSGLLICVVRFVRAVVDSARQEDVPGMVNLVLRCCVKALLSAVDFLNKFTINFVAITGEGYCTSARMTYELLKRNLLSAVFVETVSTRLLAGITFVLSAIYAIVVCAILKGASSMGVYSYVVAVLAWALLIIVLGFFVHVLDNVIETVYVCYAIDRDRGEVYRTEVHEVYSQLPISRNHRPPIAPIAPVV >Potri.006G035000.1.v4.1 pep chromosome:Pop_tri_v4:6:2207558:2215452:-1 gene:Potri.006G035000.v4.1 transcript:Potri.006G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035000.v4.1 MPSKTKKNSKTPSRLSNSDQSASSPRTPSLASSIDLEASQQENIALCLEEASSKYPYLIDKSAFIGRITDVEAESSTTARGCKIWLSESSMVSSSLAPGSIVSVSLAAVERRFSSSSFPLSSFSYEWSRQCEVESVDKITNEAGNYFALATVFPSCKVSKNGARLSSNLAYMMGCPASGKVVFVHTIRNKLLTDIVNGNDTPEGANADDLSLHNCNELYLELVPFMDRVKMKSDTMSAMKLSAEKRHDRSENGMISSPKTPLCQPKLSSPSPIHLTSPICEEAASNISNSNGTDVGLLNIKEVLEDESAKKLLQVCATSWLYSRVLICGNLVAIPVLSNLCIFRVKSANKLPADGSDQDLMKDRTHGMQPQDSEELSHMKDAFSINRETKVYLHQHMNSTAERPQKQGLPLMQSECINGKTIIGNERSKLGGLHKEYTVLKDIIVSSTKNTLSCFGLRTTKGVLLHGPPGTGKTSLARLCVIDAGVNLFSVNGPEIFSQYYGESEQAMHKVFDSACQSAPAVVFIDELDAIAPARKDGGEELSQRMVATLLNLMDGIARTDGLLVIAATNRPDSIEPALRRPGRLDREIEIGVPSPSQRLDILHTLLSEMEHSVSDMQLKQLAMATHGFVGADLAALCNEAALVCLKRHARSKKSDYSSRSKGSSIAYEGHSDSMVKGSDCSTGARDMLRDGADSASSSTSHLPVSLENLSSSCSDGDVSEITDNTEKGIIACPREEFLVEEEALLNIVSEDFEMARMKVRPSAMREVILEVPKVNWEDVGGQGEIKTQLMEAVLWPQTHQDAFKRIGTRPPTGILMFGPPGCSKTLMARAVASKAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDGLAVIRGKESDGVSVSDRVMSQLLIELDGLQQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNQNDREDIFRIHLHKVPCSSDVNIKELACLTDGCTGADIALICREAAVAAIEENIDASEVPMQHLKTAIQQVQPTEINSYQDLSAKFQRLVHSSDKDELGNQECSSRANSFSIRTIIKSAMQFLNRSSASGSGQ >Potri.006G035000.2.v4.1 pep chromosome:Pop_tri_v4:6:2207695:2215344:-1 gene:Potri.006G035000.v4.1 transcript:Potri.006G035000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035000.v4.1 MPSKTKKNSKTPSRLSNSDQSASSPRTPSLASSIDLEASQQENIALCLEEASSKYPYLIDKSAFIGRITDVEAESSTTARGCKIWLSESSMVSSSLAPGSIVSVSLAAVERRFSSSSFPLSSFSYEWSRQCEVESVDKITNEAGNYFALATVFPSCKVSKNGARLSSNLAYMMGCPASGKVVFVHTIRNKLLTDIVNGNDTPEGANADDLSLHNCNELYLELVPFMDRVKMKSDTMSAMKLSAEKRHDRSENGMISSPKTPLCQPKLSSPSPIHLTSPICEEAASNISNSNGTDVGLLNIKEVLEDESAKKLLQVCATSWLYSRVLICGNLVAIPVLSNLCIFRVKSANKLPADGSDQDLMKDRTHGMQPQDSEELSHMKDAFSINRETKVYLHQHMNSTAERPQKQGLPLMQSECINGKTIIGNERSKLGGLHKEYTVLKDIIVSSTKNTLSCFGLRTTKGVLLHGPPGTGKTSLARLCVIDAGVNLFSVNGPEIFSQYYGESEQAMHKVFDSACQSAPAVVFIDELDAIAPARKDGGEELSQRMVATLLNLMDGIARTDGLLVIAATNRPDSIEPALRRPGRLDREIEIGVPSPSQRLDILHTLLSEMEHSVSDMQLKQLAMATHGFVGADLAALCNEAALVCLKRHARSKKSDYSSRSKGSSIAYEGHSDSMVKGSDCSTGARDMLRDGADSASSSTSHLPVSLENLSSSCSDGDVSEITDNTEKGIIACPREEFLVEEEALLNIVSEDFEMARMKVRPSAMREVILEVPKVNWEDVGGQGEIKTQLMEAVLWPQTHQDAFKRIGTRPPTGILMFGPPGCSKTLMARAVASKAGLNFLAVKGPELFSKWVGESEKAVRSLFAKARANAPSIIFFDEIDGLAVIRGKESDGVSVSDRVMSQLLIELDGLQQRVNVTVIAATNRPDKIDPALLRPGRFDRLLYVGPPNQNDREDIFRIHLHKVPCSSDVNIKELACLTDGCTGADIALICREAAVAAIEENIDASEVPMQHLKTAIQQVQPTEINSYQDLSAKFQRLVHSSDKDELGNQECSSRANSFSIRTIIKSAMQFLNRSSASGSGQ >Potri.008G158300.3.v4.1 pep chromosome:Pop_tri_v4:8:10842159:10847023:-1 gene:Potri.008G158300.v4.1 transcript:Potri.008G158300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158300.v4.1 MEEKPHIQIPRVKLGSQGLEVSRLGFGCGGLSGIYNAPLSHDDGCLILKEVYSRGITFFDTSDLYGDHHDNEFMIGKALKQLPREKIQLATKFGIIRLEGFQFTVKGTPEYVRQCCEASLKRLGVDYIDLYYQHRVDVSVPIEDTMGELKKLVQEGKIKYVGLSEASVDTIRRAHAVHPITAVEMEYSLWSREIEEDVLPICRELGIGTVAYSPLGRGFFAGKAVVESLPNESTLAMHPRFSAENIEKNKVIYARLSDLASKHACTPPQLALAWLLHQGEDVIPIPGTTKLKNLDNNIGSLAVKLTPEDLKEILDAVPVDEVGGEREYSVFSNYIYKFAITPAQ >Potri.014G166300.1.v4.1 pep chromosome:Pop_tri_v4:14:12054057:12059280:1 gene:Potri.014G166300.v4.1 transcript:Potri.014G166300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166300.v4.1 MGRSRGRPPSSGTSTAAAASDDPNNSRSSKRKKTTSNVGSIETAFPAVYQEKGQGKLALYHCNYCHKDISGMVRIKCAVCPDFDLCVECFSVGAEVTPHKSNHPYRVMDNLSFPLFHPDWNTDEEILLLEGIEMYGFGNWTEVSEHAGTKSKSQCIDHYNAVYMDSPCFPLPDMSHVMGKTREELLAMARGNVEMKKELSAFEELTLNQESPFSVKIKSEASKKEDLASHSSSIVNAEVSSHMGSSSGNTFSDAVKKASNEAQIKDKIKVEEPLSDRSIREKKPRICGEEGPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTDAELDMKLQVLRIYSKRLDERKRRKDFILERNLFYPDAFEKNISPEEKEIYQRYKVFMRFHTKEEHEELMKTVIEDHQIMKRIQDLQEARAAGCQTAGEAQGFIEQKRKKEAEESAQRAKESMQAGPAGKLLPKPNHLDSSPRGAVKCSTVFHPGGNDSSSMIAKQAISSTLDEWDIAGFLGADLLSESDKRLCCELRILPAHYLNMLHIMSIEITKGTVTNKTDAHSLFKVESSKVDRVYDMLVKKGIALA >Potri.014G166300.2.v4.1 pep chromosome:Pop_tri_v4:14:12054199:12059295:1 gene:Potri.014G166300.v4.1 transcript:Potri.014G166300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166300.v4.1 MGRSRGRPPSSGTSTAAAASDDPNNRSSKRKKTTSNVGSIETAFPAVYQEKGQGKLALYHCNYCHKDISGMVRIKCAVCPDFDLCVECFSVGAEVTPHKSNHPYRVMDNLSFPLFHPDWNTDEEILLLEGIEMYGFGNWTEVSEHAGTKSKSQCIDHYNAVYMDSPCFPLPDMSHVMGKTREELLAMARGNVEMKKELSAFEELTLNQESPFSVKIKSEASKKEDLASHSSSIVNAEVSSHMGSSSGNTFSDAVKKASNEAQIKDKIKVEEPLSDRSIREKKPRICGEEGPSMTELSGYNFKRQEFEIEYDNDAEQLLADMEFKDTDTDAELDMKLQVLRIYSKRLDERKRRKDFILERNLFYPDAFEKNISPEEKEIYQRYKVFMRFHTKEEHEELMKTVIEDHQIMKRIQDLQEARAAGCQTAGEAQGFIEQKRKKEAEESAQRAKESMQAGPAGKLLPKPNHLDSSPRGAVKCSTVFHPGGNDSSSMIAKQAISSTLDEWDIAGFLGADLLSESDKRLCCELRILPAHYLNMLHIMSIEITKGTVTNKTDAHSLFKVESSKVDRVYDMLVKKGIALA >Potri.008G126400.2.v4.1 pep chromosome:Pop_tri_v4:8:8229986:8230866:-1 gene:Potri.008G126400.v4.1 transcript:Potri.008G126400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126400.v4.1 MTQVAVSVKDVLREMKELKPGPSNQTEAASHDVASHDDTRLNDNDSLSDDLGNDLSPEEMKVAQTAIGVMTETVVVLKELIRTITGLLKQEKPKDSGNFVDTLEKLLKLCQEIGVQIDELGASLYPPQEFPALKAALEKISSIIDEVRSKVESLTSPSEAIFQACNDLKSSMKQMEVTLDCCSTSEIESIMQNVSLG >Potri.008G126400.1.v4.1 pep chromosome:Pop_tri_v4:8:8229987:8233080:-1 gene:Potri.008G126400.v4.1 transcript:Potri.008G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126400.v4.1 MGKAERERLNQSLSGHLNTIHETLQLLDQTPASSLDKLCWDDVVKTGDQVSKQATIVGMLWTGETPEAKAVEENMVTYFNTLQGLLLLSHGSMVGAGPTLSSAIHASIKQVVDCSFKLMMETVSSYGSRNKDFKLVVPQLVGAVWEACDALKKTPASNITAIGRAMTQVAVSVKDVLREMKELKPGPSNQTEAASHDVASHDDTRLNDNDSLSDDLGNDLSPEEMKVAQTAIGVMTETVVVLKELIRTITGLLKQEKPKDSGNFVDTLEKLLKLCQEIGVQIDELGASLYPPQEFPALKAALEKISSIIDEVRSKVESLTSPSEAIFQACNDLKSSMKQMEVTLDCCSTSEIESIMQNVSLG >Potri.001G225600.2.v4.1 pep chromosome:Pop_tri_v4:1:24329438:24335907:-1 gene:Potri.001G225600.v4.1 transcript:Potri.001G225600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225600.v4.1 MFYSQTFLARKGPLGTVWCAAHLQHRLKKSHYTSTDIPSTVDRIMFPEVPIALRMSSHLLLGVVRIYKKKVDYLFQDCTVALAGLNKAFTTTEVNLPENATTATFESITLPPTLNLDGFDMSDYLDPEGSPDNHLKSYEEITIPDQISPYITIHVDEDNICPSVPEQDPSSEARPVDDIHPPPPVNGDLPSQDTGQRTQTVVNNETGPGASNQTDVLVDDMDFHDPGQSNQPEDPMDTEDFRDPAPSNQTEVLMHTGDFQDPGPSNQTSVPTETLNQSLSGENSPPEIEVMRDAATDLGSESFPPLSPTRKDDAAEPNRSLDEVLNEKDFLSPIMEDALPSLEKSLPFQQHSKAPTSAASQDIPDVFDTHGSFGNISPQFAIRSTPPVQQPQPGPPPAQQPRPRRRRRMQFDEATVLTNRFMRRALEDPSDLMRQKRTRSSSMVGIWRLNNSLRKEQIFYEPLITGSCEDLCKLSEKDYITTKPHLTLEQGDISEHGVTTSPGPETEAIPEPRMASSPAPTAEPISEPMIAQSPVPGRQSGMEKERPQQDLGCYDHSVLPEIDMEIERLRNDGGHDGNDFLSDLPSPAMSMPSPGRFMPSSLRRDDFTPMPISSFGSGTVPQEGTSTTVHTPEFSASPGTYQLGEQNTGLSDIPELMKTAEDDDLAKILEADNTPVGSLGTRDIDSLSVRTRGVAKYLKEHSPIIPLSEESSGDISLTSILQGKTRKLCARMFFETMVLKSYGLIDLQQEEPYGDIALKLTSTLSKAQL >Potri.004G038600.1.v4.1 pep chromosome:Pop_tri_v4:4:3079646:3083390:1 gene:Potri.004G038600.v4.1 transcript:Potri.004G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038600.v4.1 MEKSFKVRTCMLSICSEKSTKLLHCRLNLLKRKRYSIVRQLREDIAQLLKIGQNEAAFARVEQLLKDQSLLDAYDLVDHYCEFIILHFPYIRRHKDRPKDINEAVSTLIFAAAWFGDLPELRAIRKLFGKRYGQKFIKDAPALQSGNLVNHEIMEKLRLKFISDSVKLKLINEIAMDYGLQLWYLGCDNRPKQHLLQMPGNTDHQLERFVFEEDAGVSYNSTSGAMVLASYAKHSPDIPSELTIHPSDKAPMHKKAVHTENHSQYKYMYELPDQSDFESSGSNSMSADSFKGNADIARTATPEISFQYHKRTVYADEVEEFEFNGEDKRLFLFNASPRPLIDNYAVDGSTLLALSHHGYSEGNCNRPEPEDKIALSRKTHERIRNSYGHRLERRVDTKNNRKYESFLTPVNTKGNDCVVFNGQFCNFSPCQKQFYHRVFHFQNKQRTMMPAKERRSASLVCYDKVLSKPVKGYVKSCKRSSRLSPEKKFRCCLEKSCYKCDGQVNGTQTQENKKLMETEESSDCPARYASSYDSSSQEPSPGSRKKTIPPKRLKGMTVPDNDNQSISSQLELSKGSTASSCSHVHPKLPDYDEIAAKFIALKKEHQLRTNAARHGLNIT >Potri.004G038600.2.v4.1 pep chromosome:Pop_tri_v4:4:3079646:3083390:1 gene:Potri.004G038600.v4.1 transcript:Potri.004G038600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038600.v4.1 MFDVLFGWRKASKCEKSTKLLHCRLNLLKRKRYSIVRQLREDIAQLLKIGQNEAAFARVEQLLKDQSLLDAYDLVDHYCEFIILHFPYIRRHKDRPKDINEAVSTLIFAAAWFGDLPELRAIRKLFGKRYGQKFIKDAPALQSGNLVNHEIMEKLRLKFISDSVKLKLINEIAMDYGLQLWYLGCDNRPKQHLLQMPGNTDHQLERFVFEEDAGVSYNSTSGAMVLASYAKHSPDIPSELTIHPSDKAPMHKKAVHTENHSQYKYMYELPDQSDFESSGSNSMSADSFKGNADIARTATPEISFQYHKRTVYADEVEEFEFNGEDKRLFLFNASPRPLIDNYAVDGSTLLALSHHGYSEGNCNRPEPEDKIALSRKTHERIRNSYGHRLERRVDTKNNRKYESFLTPVNTKGNDCVVFNGQFCNFSPCQKQFYHRVFHFQNKQRTMMPAKERRSASLVCYDKVLSKPVKGYVKSCKRSSRLSPEKKFRCCLEKSCYKCDGQVNGTQTQENKKLMETEESSDCPARYASSYDSSSQEPSPGSRKKTIPPKRLKGMTVPDNDNQSISSQLELSKGSTASSCSHVHPKLPDYDEIAAKFIALKKEHQLRTNAARHGLNIT >Potri.001G381400.2.v4.1 pep chromosome:Pop_tri_v4:1:40148817:40151070:-1 gene:Potri.001G381400.v4.1 transcript:Potri.001G381400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G381400.v4.1 MEKLRFCVLAFSLLGFYVIAREEYMSAIGDPGMRRDSLRVAIEAWNQCNEVGEEVFGMGSPRMADCFDVDNSTSQVKLIHMVDERDNKLGISDGSYGGINASNVDVYAASKEIYLGDKCQVQDNPRPWQFWMIMVKSGNMDTLAATCPQNGKKSTPFPPEPRFPCFGLGCMNMPLMYHNYTSLQGDNDSNLKGSFYGTWDLNVDHVSKAAVSNGTSYFNITWEKEIGKGSWVFHHFLKTSSNYPWLMLYLRSDATEGYSGGYHYETRGMSKIVPKSPDFKVKFRLEVKQGGGPNSQFYLMDIGGCWKNNGKPCDRDVTTDVTRYSEMIINPETKSWCKPESLKLCPPYHFFSNGTKIHRTDKENYPYDAYHLWCAPGNAEHVEEPYILCDPYSNPQAQEILQILPHPIWGEYGYPTKKGDGWIGDPRTWELDVGRLSQALYFYQDPGTTPVERHWTSIDLGTEIYISSDQVAEWIVSDFDIVVPKLRMIHE >Potri.008G010201.2.v4.1 pep chromosome:Pop_tri_v4:8:493949:497252:1 gene:Potri.008G010201.v4.1 transcript:Potri.008G010201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010201.v4.1 MEENDRTPPSEEMQPLSVTPSASPSSNPSTPQVMSLEERFQLVRSVGEECIQEDELRNLLDKKPLPICYDGFEPSGRMHIAQGVMKTINVNKLTKAGCKVKIWIADWFAQLNNKMGGDLKKIKTVGEYLIEIWKAVGMDIDGDKVEFLWSSDEINSRAHEYWPLVMDIARRNKLPRIMRCVQIMGRSEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDEEAEVKTKIKKAYCPPPPNCRGQSLSGIH >Potri.007G094800.1.v4.1 pep chromosome:Pop_tri_v4:7:12008025:12009914:-1 gene:Potri.007G094800.v4.1 transcript:Potri.007G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G094800.v4.1 MENLPSFNIIIILLFCYVTQFILWQTLAQDLPCRTTCGSIQVKYPFGSGHGCGSPRFHPYIACSPEGDQLLLTTHTGSYPITSISYTTSTFIITPPHMSTCTSMQQSPNLGLDWASPFQLGSSTFLLLSCTPPTSSLNIKGSPVCDTSSYLCASIYTCPSVIGLGLPLFPPTNTCCVYSPANFNSKGELDLQKLKCMGYASVVSLQEHPTDPSQWQYGVELKSRGGALDDYYIDNKCNTCEISGGVCGYAPPVNSFVCVCSDTINTTMDCNARSLQNQPELTWNSVSLPSRKLWMGFLGGLVFVGAA >Potri.011G097500.1.v4.1 pep chromosome:Pop_tri_v4:11:12565122:12570159:1 gene:Potri.011G097500.v4.1 transcript:Potri.011G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097500.v4.1 MGRPPSNGGPSFRFMQNEVTEMDAILQEHNNTMPAREVLVSLAEKFSESPDRKGNIQVQMKQVWNWFQNRRYAIRAKSNKTPMKLNITPMPRDDLVAARGLSQQVAAPIPGAVPATTPASSAAGAGRATSENSYMEFEAKSARDGAWYDVGTFLSHRYLDKGEPEVLVRFAGFGPDEDEWLNVCRQVRQRSLPCEASECVAVLPGDLILCFQEGKDQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKICRRPETDYRLLQLHAANDSAANDQKETSVDPSTANAQRVAASALETTQQQQHNSDVAMAVLASQANVSQPVKTL >Potri.010G223700.1.v4.1 pep chromosome:Pop_tri_v4:10:20799522:20801639:1 gene:Potri.010G223700.v4.1 transcript:Potri.010G223700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223700.v4.1 MSTIETNMSQPHKTTNAARKIETSFASSFKRWGRGHPFVRYGLPMISLTVFGSIGLAHLLQGRKDIAKVKDDREWEIIETQGALSRSGPPGSYNPKKMSLEEELKALQKKVDITNFEYKKIPRPNEGKSG >Potri.009G014800.3.v4.1 pep chromosome:Pop_tri_v4:9:2579919:2584550:-1 gene:Potri.009G014800.v4.1 transcript:Potri.009G014800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014800.v4.1 MKETEKKSLDPQLWQACAGSMVHIPPLNSTVFYFPQGHAEHSQSPVNFPQRIPSLILCRVATVKFLADPDTDEVYAKIGFVPLPNTDLDFAHDRGLCGNGNDGDSCPDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSSDPPLQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLRVGIRRSKRGIGIGSRPESSLTTGWNSNNATCAIPYDGFSLFVKEDEMRNGGMKGRGRVKPEEVLEAAGLAANGKPFQVVYYPRSSTPEFCVKASSVRAAMRIGWCSGMRFKMAFETEDSSRISWFMGTVTSVQVADPVRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPVIHLSPFSPPRKKSRFPQQLGFPLDLQFQLPSFSGNPLGPSSPLCCLSDNTPAGIQGARHAQFGISLSDIQFNNKQQSGMFLSSLQRFNPHSRNSETYLTGHTNSNENISCLLTMGNSNPNLEKSDNVKKHQFLLFGQPILIEQHISHSCSTDAVSQVINERNSSDESSSKEKISDVLLSAPGKKISQVKSCGTGFSWHQSLHNTSEIGKDTGPCKVFLESEDVGWTLDLSALCSYEELHGKLANMFGIERSEMSSHVLYRDATGSVKQIGDEPFSVFMKTAKRLTILMNQPSTESWEDMDHRDVKC >Potri.009G014800.2.v4.1 pep chromosome:Pop_tri_v4:9:2579942:2584458:-1 gene:Potri.009G014800.v4.1 transcript:Potri.009G014800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014800.v4.1 MKETEKKSLDPQLWQACAGSMVHIPPLNSTVFYFPQGHAEHSQSPVNFPQRIPSLILCRVATVKFLADPDTDEVYAKIGFVPLPNTDLDFAHDRGLCGNGNDGDSCPDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSSDPPLQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLRVGIRRSKRGIGIGSRPESSLTTGWNSNNATCAIPYDGFSLFVKEDEMRNGGMKGRGRVKPEEVLEAAGLAANGKPFQVVYYPRSSTPEFCVKASSVRAAMRIGWCSGMRFKMAFETEDSSRISWFMGTVTSVQVADPVRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPVIHLSPFSPPRKKSRFPQQLGFPLDLQFQLPSFSGNPLGPSSPLCCLSDNTPAGIQGARHAQFGISLSDIQFNNKQQSGMFLSSLQRFNPHSRNSETYLTGHTNSNENISCLLTMGNSNPNLEKSDNVKKHQFLLFGQPILIEQHISHSCSTDAVSQVINERNSSDESSSKEKISDVLLSAPGKKISQVKSCGTGFSWHQSLHNTSEIGKDTGPCKVFLESEDVGWTLDLSALCSYEELHGKLANMFGIERSEMSSHVLYRDATGSVKQIGDEPFRYLL >Potri.009G014800.1.v4.1 pep chromosome:Pop_tri_v4:9:2579913:2584492:-1 gene:Potri.009G014800.v4.1 transcript:Potri.009G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014800.v4.1 MKETEKKSLDPQLWQACAGSMVHIPPLNSTVFYFPQGHAEHSQSPVNFPQRIPSLILCRVATVKFLADPDTDEVYAKIGFVPLPNTDLDFAHDRGLCGNGNDGDSCPDKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSSDPPLQTVIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLRVGIRRSKRGIGIGSRPESSLTTGWNSNNATCAIPYDGFSLFVKEDEMRNGGMKGRGRVKPEEVLEAAGLAANGKPFQVVYYPRSSTPEFCVKASSVRAAMRIGWCSGMRFKMAFETEDSSRISWFMGTVTSVQVADPVRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPVIHLSPFSPPRKKSRFPQQLGFPLDLQFQLPSFSGNPLGPSSPLCCLSDNTPAGIQGARHAQFGISLSDIQFNNKQQSGMFLSSLQRFNPHSRNSETYLTGHTNSNENISCLLTMGNSNPNLEKSDNVKKHQFLLFGQPILIEQHISHSCSTDAVSQVINERNSSDESSSKEKISDVLLSAPGKKISQVKSCGTGFSWHQSLHNTSEIGKDTGPCKVFLESEDVGWTLDLSALCSYEELHGKLANMFGIERSEMSSHVLYRDATGSVKQIGDEPFSVFMKTAKRLTILMNQPSTESWEDMDHRDVKC >Potri.003G139900.1.v4.1 pep chromosome:Pop_tri_v4:3:15627611:15630162:-1 gene:Potri.003G139900.v4.1 transcript:Potri.003G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139900.v4.1 MAPSTLFLLVLSVLIFLQKANSNKIPCHNPCLRNKLEVRFPFGFDNNRCRYPGFNLSCNDLQNQIILNLPNTGNFTVQNINYRNQTVTITDPQNCLPKKFMDSSFDLSGSPFIPEHYNHSFTFLNCSSNSSTTVSGLRRIDCLSNENFTVVAMLINYESLPYMPAPASCTELKKRVFVPVLWSRWSDSEAKLKWNESYCRRCEKDGGTCGFKGDTGLTIESKGRRSNGPLPRSAKYGIVLGAGIPGLLCIFGIGSYLFGRLKEYSGGNQPTTEFSTAIAPQPSVVITGLDAPTIESYPKTQLGDSGRLPKPNDNTCPICLSEYQPKDTLRTIPDCSHYFHANCIDEWLKMNATCPLCRNSPDGSSVMTPSSPLSSSSSSLSTPLP >Potri.008G178000.2.v4.1 pep chromosome:Pop_tri_v4:8:12290321:12295365:1 gene:Potri.008G178000.v4.1 transcript:Potri.008G178000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178000.v4.1 MYAMKRVYSPFKSELTFRLSPATSRAWKDGFFWKTRVPSGSKVSCTPGSIRAVISSDDKALEPSSKEASNKEVDEIVFSSSSDKLGKGGIDVRAVITIRKKIKEKINEKIEDQWEYFVNGIGKGILIQLVSEEIDPETNSGKSVQASVRGWIPKPSNNEHIIEYAADFTVPFDFGNPGAVLVTNLHGKEFYLMEIVVHGFDAGPIFFPANTWIHSSKDNPDSRIIFRNRAYLPSRTPPGIKDLRREDLLSLRGNGKGERKPHDRIYDYALYNDLGNPDKDDELARPVLGGEKWPYPRRCRTGRPPTKKDPKCETRIEKPHPVYVPRDETFEEIKRNTFSTGRLKALLHNLIPAIAATLSSSDIPFTCFSDIDKLYNDGFILKTEELSEIVQNPFLGNFMKRVLSVSERLLIYDIPAVIKRDRFAWLRDSEFARQTLAGVNPVNIEILKEFPILSKLDPAVYGPPESAITEELIEHELHGMSVEKAIEEKRLFILDYHDMLLPFIEKMNSLPGRKAYASRTVFFYDQAGILRPIVIELSLPPSPSSPCNKHVYIHGPDATTHWIWKLAKAHVCSNDAGVHQLVNHWLRTHACMETYLIATHRQLSAMHPIYKLLHPHTRYTLEINALARQSLINGGGIIEACFSPGKYAMEVSSAAYKNMWRFDMEALPADLVRRGMAVEDPSMPCGVRLVIEDYPYASDGLLIWSAIKEYVESYVDHFYSEPNSVTSDIELQAWWNEIKNKGHFDKRSEPWWPKLDTKEDVSGILTTMIWIASGQHAAINFGQYPFGGYVPSRPTLMRKLIPLENEHDHEKFIRNPQHTFLSSLPTQLQATKIMAAQDTLSTHSPDEEYLGQVSHLHSHWINDHEIVELFNRFSARLEEIEGIINLRNKDARLKNRSGAGVPPYELLVPTSGPGVTGRGIPNSISI >Potri.015G033600.1.v4.1 pep chromosome:Pop_tri_v4:15:2673636:2675612:-1 gene:Potri.015G033600.v4.1 transcript:Potri.015G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MYB090 MCTRGHWRPAEDEKLKELVEKYGPHNWNAIAEKLHGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWAVIARLFPGRTDNAVKNHWHVIMARRYRERSRLHAKRTAQALVNEQKFSSKQDMQINCETRSFSSFVKKYCEKFGQYPLITHSYLPAFWKEFYNDDLSNCEDQNRPIEFYDFLQVNTESNKSEVIDNARREDEEVDQQEVILEHQSKAGVPFIDFFSA >Potri.018G040000.1.v4.1 pep chromosome:Pop_tri_v4:18:3184595:3185493:1 gene:Potri.018G040000.v4.1 transcript:Potri.018G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040000.v4.1 MVPNLDLILLAFLYGCFIACVVGAIIFAILNALLLAFFLTLFSIAIIDLQDVFSFVSRFVVPLKENLKLGFALLVCMVMYYAIGAMLASKPLFERMVSEIKVKARHVLSHLEVLATSC >Potri.002G011300.2.v4.1 pep chromosome:Pop_tri_v4:2:701782:706077:1 gene:Potri.002G011300.v4.1 transcript:Potri.002G011300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011300.v4.1 MAVSSSGFTTHPDFKRSRLSSSPFHANNNGLELRKKKRRLSLFLDGNGVRVSRVSSCCCSDSVVRRASGSGNSIEKPEEKRSHRSRIQAITALPFPSPQSRFGTKQEKFYSRCTSRNSGPQSRDTPPKRDTGIANEKDMGINLLNEKVNESGTNEDGSSWFRKSGEDLGENGYRCRWKKMGGRSHDTSSQWEETWWEKGDWTGYKELGVEKSGRNAEGDTWWETWQEMLHQDEWSNLARIERSAQKQAKLGTENAGWYEKWTDKWAETELGTKWGDKWEEKFFAGIGSRHGETWHGSPSGGGWSRTWGEEHLGNGKVHKYGKGTTGESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIEPQERPPGVYPYLDFGSSPPPSADDSLDLPPPPLQ >Potri.002G011300.1.v4.1 pep chromosome:Pop_tri_v4:2:701782:706077:1 gene:Potri.002G011300.v4.1 transcript:Potri.002G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011300.v4.1 MAVSSSGFTTHPDFKRSRLSSSPFHANNNGLELRKKKRRLSLFLDGNGVRVSRVSSCCCSDSVVRRASGSGNSIEKPEEKRSHRSRIQAITALPFPSPQSRFGTKQEKFYSRCTSRNSGPQSRDTPPKRDTGIANEKDMGINLLNEKVNESGTNEDGSSWFRKSGEDLGENGYRCRWKKMGGRSHDTSSQWEETWWEKGDWTGYKELGVEKSGRNAEGDTWWETWQEMLHQDEWSNLARIERSAQKQAKLGTENAGWYEKWWEKYDAKGWTEKGANKYGRLNEQSWWEKWGEHYDGRGSVTKWTDKWAETELGTKWGDKWEEKFFAGIGSRHGETWHGSPSGGGWSRTWGEEHLGNGKVHKYGKGTTGESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIEPQERPPGVYPYLDFGSSPPPSADDSLDLPPPPLQ >Potri.006G180800.4.v4.1 pep chromosome:Pop_tri_v4:6:18722687:18729444:-1 gene:Potri.006G180800.v4.1 transcript:Potri.006G180800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180800.v4.1 MYIPLIDGCELVCRDDVSTVKELVHDCLSCQLSSTRLHTEVSALGLMYDVHEKSHLPTMLAVGDLISKLLGFCKDKKNEMNIFVHDFLQKIAYVTFLMKDVKLRFPVFREAMLRQDDIFRDLKLFHGIGSAYRGCLAEVVRRKASMKLYMGMAGQLAEQLATRREVEVRRREEFLKAYSSYIPRDILASMGLYDAPNQCDVNISPFDTNLLDIDISDLDRYAPDYLVGLPSKSDKTATLKGSLSMSNDSSRSAEMEEIGEEALEKDCSEEPLEGCELLEIAGTSKMEVENAKLKAELASAIALICSLCPEIEYESMDESTVGSLLKNADKTTEALRLKDEYGKHLQSLLKAKQIQCMSYEKRIQELEQRLADQYLQGQKLSNSKDASDYALLAAKTEDFKPEISSGEAPMPYAMTSEPMDEVSCISNSLNSKLGLFTRQPSKDREGFDENMMDSSGMFNTQLDSSMVEPHREELQVCDKDGKGKMVGQLGMSLTNSSTAESMPEPLDVSPSDAVAEPKVSGDHGIMLELQNALAENSKQLSETEAKLKAAVEEAAMLTRELEMSQKLLDESQMNCAHLENCLHEAREEAQTNLCAADRRASEYNKLRASAVKLHGLFERLRCCVCAPGGVAAFADSLRALAQSMANSSNDKDDEGAAEFQKCISVLADKVGLFLSTHRAELLDKYPKLEAANEQLGKELEEKKELVVTLYKKHQLEKQANKERISFSRFEVHEIAAFVLNSAGHYEAINRNTSNYYLSAESVALFTDHLPSRPSYIVGQIVHIERQAVKPLLPTSTRPEHGKVDEVDLLTTDQGTDRLNFNLGPTSNPYNLPIGCEYFVVTVAMLPDSTIHSAPPS >Potri.006G180800.1.v4.1 pep chromosome:Pop_tri_v4:6:18722687:18729476:-1 gene:Potri.006G180800.v4.1 transcript:Potri.006G180800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180800.v4.1 MSSSITEGLVNQTRLVVHIAENGHSLELVCDETTHVEAVMRYIEKVARINFNDQLVLCLEKKLEPQQPLSAYKLPSSDGEVFIFNRARMQTNPLPPPLEQIDVLEIADPPPPPSSHDPHPLDDAPDPALRVLPSYEKQFRYHYHRGYAIYSRTQVKHEHCLRLLTEQKVQERAMEVARINVQQFYRAILQNYSEFIKRYTQQHRIHLDLLTNFERDLEKLRSIKLHPALQSDSRKCLVDFVKEDNLRKAVDNCSHSHRQFEKKVLEFKQKFGDAKRKVEELFSCGASSSIRNLDLAIKERQPAINEMKSIMQSLRDDVSTVKELVHDCLSCQLSSTRLHTEVSALGLMYDVHEKSHLPTMLAVGDLISKLLGFCKDKKNEMNIFVHDFLQKIAYVTFLMKDVKLRFPVFREAMLRQDDIFRDLKLFHGIGSAYRGCLAEVVRRKASMKLYMGMAGQLAEQLATRREVEVRRREEFLKAYSSYIPRDILASMGLYDAPNQCDVNISPFDTNLLDIDISDLDRYAPDYLVGLPSKSDKTATLKGSLSMSNDSSRSAEMEEIGEEALEKDCSEEPLEGCELLEIAGTSKMEVENAKLKAELASAIALICSLCPEIEYESMDESTVGSLLKNADKTTEALRLKDEYGKHLQSLLKAKQIQCMSYEKRIQELEQRLADQYLQGQKLSNSKDASDYALLAAKTEDFKPEISSGEAPMPYAMTSEPMDEVSCISNSLNSKLGLFTRQPSKDREGFDENMMDSSGMFNTQLDSSMVEPHREELQVCDKDGKGKMVGQLGMSLTNSSTAESMPEPLDVSPSDAVAEPKVSGDHGIMLELQNALAENSKQLSETEAKLKAAVEEAAMLTRELEMSQKLLDESQMNCAHLENCLHEAREEAQTNLCAADRRASEYNKLRASAVKLHGLFERLRCCVCAPGGVAAFADSLRALAQSMANSSNDKDDEGAAEFQKCISVLADKVGLFLSTHRAELLDKYPKLEAANEQLGKELEEKKELVVTLYKKHQLEKQANKERISFSRFEVHEIAAFVLNSAGHYEAINRNTSNYYLSAESVALFTDHLPSRPSYIVGQIVHIERQAVKPLLPTSTRPEHGKVDEVDLLTTDQGTDRLNFNLGPTSNPYNLPIGCEYFVVTVAMLPDSTIHSAPPS >Potri.006G180800.3.v4.1 pep chromosome:Pop_tri_v4:6:18722687:18729424:-1 gene:Potri.006G180800.v4.1 transcript:Potri.006G180800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180800.v4.1 MSSSITEGLVNQTRLVVHIAENGHSLELVCDETTHVEAVMRYIEKVARINFNDQLVLCLEKKLEPQQPLSAYKLPSSDGEVFIFNRARMQTNPLPPPLEQIDVLEIADPPPPPSSHDPHPLDDAPDPALRVLPSYEKQFRYHYHRGYAIYSRTQVKHEHCLRLLTEQKVQERAMEVARINVQQFYRAILQNYSEFIKRYTQQHRIHLDLLTNFERDLEKLRSIKLHPALQSDSRKCLVDFVKEDNLRKAVDNCSHSHRQFEKKVLEFKQKFGDAKRKVEELFSCGASSSIRNLDLAIKERQPAINEMKSIMQSLRDDVSTVKELVHDCLSCQLSSTRLHTEVSALGLMYDVHEKSHLPTMLAVGDLISKLLGFCKDKKNEMNIFVHDFLQKIAYVTFLMKDVKLRFPVFREAMLRQDDIFRDLKLFHGIGSAYRGCLAEVVRRKASMKLYMGMAGQLAEQLATRREVEVRRREEFLKAYSSYIPRDILASMGLYDAPNQCDVNISPFDTNLLDIDISDLDRYAPDYLVGLPSKSDKTATLKGSLSMSNDSSRSAEMEEIGEEALEKDCSEEPLEGCELLEIAGTSKMEVENAKLKAELASAIALICSLCPEIEYESMDESTVGSLLKNADKTTEALRLKDEYGKHLQSLLKAKQIQCMSYEKRIQELEQRLADQYLQGQKLSNSKDASDYALLAAKTEDFKPEISSGEAPMPYAMTSEPMDEVSCISNSLNSKLGLFTRQPSKDREGFDENMMDSSGMFNTQLDSSMVEPHREELQVCDKDGKGKMVGQLGMSLTNSSTAESMPEPLDVSPSDAVAEPKVSGDHGIMLELQNALAENSKQLSETEAKLKAAVEEAAMLTRELEMSQKLLDESQMNCAHLENCLHEAREEAQTNLCAADRRASEYNKLRASAVKLHGLFERLRCCVCAPGGVAAFADSLRALAQSMAK >Potri.006G180800.2.v4.1 pep chromosome:Pop_tri_v4:6:18722687:18729441:-1 gene:Potri.006G180800.v4.1 transcript:Potri.006G180800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180800.v4.1 MSSSITEGLVNQTRLVVHIAENGHSLELVCDETTHVEAVMRYIEKVARINFNDQLVLCLEKKLEPQQPLSAYKLPSSDGEVFIFNRARMQTNPLPPPLEQIDVLEIADPPPPPSSHDPHPLDDAPDPALRVLPSYEKQFRYHYHRGYAIYSRTQVKHEHCLRLLTEQKVQERAMEVARINVQQFYRAILQNYSEFIKRYTQQHRIHLDLLTNFERDLEKLRSIKLHPALQSDSRKCLVDFVKEDNLRKAVDNCSHSHRQFEKKVLEFKQKFGDAKRKVEELFSCGASSSIRNLDLAIKERQPAINEMKSIMQSLRDDVSTVKELVHDCLSCQLSSTRLHTEVSALGLMYDVHEKSHLPTMLAVGDLISKLLGFCKDKKNEMNIFVHDFLQKIAYVTFLMKDVKLRFPVFREAMLRQDDIFRDLKLFHGIGSAYRGCLAEVVRRKASMKLYMGMAGQLAEQLATRREVEVRRREEFLKAYSSYIPRDILASMGLYDAPNQCDVNISPFDTNLLDIDISDLDRYAPDYLVGLPSKSDKTATLKGSLSMSNDSSRSAEMEEIGEEALEKDCSEEPLEGCELLEIAGTSKMEVENAKLKAELASAIALICSLCPEIEYESMDESTVGSLLKNADKTTEALRLKDEYGKHLQSLLKAKQIQCMSYEKRIQELEQRLADQYLQGQKLSNSKDASDYALLAAKTEDFKPEISSGEAPMPYAMTSEPMDEVSCISNSLNSKLGLFTRQPSKDREGFDENMMDSSGMFNTQLDSSMVEPHREELQVCDKDGKGKMVGQLGMSLTNSSTAESMPEPLDVSPSDAVAEPKVSGDHGIMLELQNALAENSKQLSETEAKLKAAVEEAAMLTRELEMSQKLLDESQMNCAHLENCLHEAREEAQTNLCAADRRASEYNKLRASAVKLHGLFERLRCCVCAPGGVAAFADSLRALAQSMANNDKDDEGAAEFQKCISVLADKVGLFLSTHRAELLDKYPKLEAANEQLGKELEEKKELVVTLYKKHQLEKQANKERISFSRFEVHEIAAFVLNSAGHYEAINRNTSNYYLSAESVALFTDHLPSRPSYIVGQIVHIERQAVKPLLPTSTRPEHGKVDEVDLLTTDQGTDRLNFNLGPTSNPYNLPIGCEYFVVTVAMLPDSTIHSAPPS >Potri.013G133300.2.v4.1 pep chromosome:Pop_tri_v4:13:13910051:13910182:-1 gene:Potri.013G133300.v4.1 transcript:Potri.013G133300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133300.v4.1 MGDFEKQVKERAKELKVLFTKGVKIVENSCKKGWKKVTNLKKR >Potri.014G122700.3.v4.1 pep chromosome:Pop_tri_v4:14:8268769:8270867:1 gene:Potri.014G122700.v4.1 transcript:Potri.014G122700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122700.v4.1 MQMWSLIASHLPGRTDNEIKNYWNSHLSRRIYSFRRPVNERLPLIIETAKQGMLAKSGAGRFAIKKKKISHPQKDAIRVPTKRPRKENNTGDFSNSNIEGIQLPQTPAAEKNTLSSTINDTVIWDPCAEDKELMDLVVTTPCPETGRVMLGSSGEKANLVICPGEERRRPNSIFHPSGGEKENDSFGQFCEGIENEMLSFNEVMGKELLDPDGDSSLNDEGQNGLLVHSGERQSGVSSPDKTVDVFESIGDLSSNGESCDWHSFSSISTSGFDDCGVDWSWDDVMGGHLEIGDETKEENMLSWLWENEKGEEVVNYEKQNAMLLGFFLDLPQ >Potri.014G122700.2.v4.1 pep chromosome:Pop_tri_v4:14:8267528:8270867:1 gene:Potri.014G122700.v4.1 transcript:Potri.014G122700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122700.v4.1 MGRAPCCEKVGLKKGRWTAEEDEKLTKYIQANGEGSWRSLPKNAGLLRCGKSCRLRWINYLAADLKRGNISAEEEEIIINLHASLGNRWSLIASHLPGRTDNEIKNYWNSHLSRRIYSFRRPVNERLPLIIETAKQGMLAKSGAGRFAIKKKKISHPQKDAIRVPTKRPRKENNTGDFSNSNIEGIQLPQTPAAEKNTLSSTINDTVIWDPCAEDKELMDLVVTTPCPETGRVMLGSSGEKANLVICPGEERRRPNSIFHPSGGEKENDSFGQFCEGIENEMLSFNEVMGKELLDPDGDSSLNDEGQNGLLVHSGERQSGVSSPDKTVDVFESIGDLSSNGESCDWHSFSSISTSGFDDCGVDWSWDDVMGGHLEIGDETKEENMLSWLWENEKGEEVVNYEKQNAMLLGFFLDLPQ >Potri.012G067200.3.v4.1 pep chromosome:Pop_tri_v4:12:8644449:8648619:-1 gene:Potri.012G067200.v4.1 transcript:Potri.012G067200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067200.v4.1 MLDFASKFIRGTIRLKHDFLKPRQALSGQCSDDEPSLNSSREDRLECPICWESFNIVENVPYVLWCGHSLCKNCVLGLQWALVKLPTLPILLPFFISCPWCNMLSFRLVYKGILRFPCKNYFLLWMVESMNGDRSSFSSFHNDHQPVAPLNNNPTTGNQVNPEVGNRHAMRVQIPSEQSLPNRDPSYFNSERLHLSLRKSLVFFAHMTAKFPLIVMFLLIVLYAIPTSAAILALYILITLVFALPSFLILYFSLPSLDWLVREIIT >Potri.001G168000.1.v4.1 pep chromosome:Pop_tri_v4:1:14341908:14344103:-1 gene:Potri.001G168000.v4.1 transcript:Potri.001G168000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168000.v4.1 MVLKKFTWKSILPGCLKSESSSYPEPKQICSQRLSISDFSNPGSPISFSDLSSSIFNLHVFALKELRTITHEFSKSNYLGEGGFGAVYKGFIDDKLRPGLKAQPVAVKALDPDGSQGHREWLAEVIFLGQLKHRHLVNLIGYCCEDEHRLLVYEYVERGNLEDKLFYRYSAALPWLTRLKIAVGAAKGLAFLHEEEKPVIYRDFKASNVLLDSDYNAKLSDFGLATDGPEGDRTHITTPVMGTEGYAAPEYIMTGHLTTMSDVFSFGVVLLELLTGRRSVDKNLPNREQNLVKWARPQLKDPRKLEQIMDPRLEGQYSTEGARKAAGLAYQCLSHHSKSRPTMSTVVRTLEQLLDLTDTPTGTFVYIVPTEGKILGGVEKKGNEGKNECDEIKNGNKCEGKEVGIKEKGREQNQRGRRHRRRAKSLRYRAVHSDTALYKTLGTGLYFPRN >Potri.T045700.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:554571:559579:1 gene:Potri.T045700.v4.1 transcript:Potri.T045700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045700.v4.1 MGSRGGGKPFGSGANTSLSSKGKNVAEASGPAVEQLSQGVADIGLDSVQDDGEWEVIAKKSKNRAGSSAAKPWGSQNTNNKAWGNPDVIQKLGMRNNGGSGRNPGNAWSTQPVDPRGPTGRGAARPQTFNRGMQSNYAAPQPVIRPPLEHGWSWQARAGATPLRSSEDQKKEENDEVKKENDDYDDKDDEDDGDSLDDTDDELLSEDFDSDTSQQSHETRKKSRWFKKFFDSLDSLSIEEVNEPARQWHCPACQGGPGAIDWYRGLQPLITHAKTKGSKRVKLHRELAELLDEELSRRGTSVIPAGEVFGKWKGLKDDEKDHEIVWPPMVIVMNTRLEQDDNDKWLGMGNQELLDYFSGYAAVKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFAEQGTDRNAWDRRRVLFYQGGKRQLYGYMALKEDLDLFNQHSQGSLSLSLSLSPHTHRLKHPCTWTHIVPDIPQGIFYCNNFISYLIWLFLAAMFDVFIYFMIGLTFYVG >Potri.T045700.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:554568:559676:1 gene:Potri.T045700.v4.1 transcript:Potri.T045700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045700.v4.1 MGSRGGGKPFGSGANTSLSSKGKNVAEASGPAVEQLSQGVADIGLDSVQDDGEWEVIAKKSKNRAGSSAAKPWGSQNTNNKAWGNPDVIQKLGMRNNGGSGRNPGNAWSTQPVDPRGPTGRGAARPQTFNRGMQSNYAAPQPVIRPPLEHGWSWQARAGATPLRSSEDQKKEENDEVKKENDDYDDKDDEDDGDSLDDTDDELLSEDFDSDTSQQSHETRKKSRWFKKFFDSLDSLSIEEVNEPARQWHCPACQGGPGAIDWYRGLQPLITHAKTKGSKRVKLHRELAELLDEELSRRGTSVIPAGEVFGKWKGLKDDEKDHEIVWPPMVIVMNTRLEQDDNDKWLGMGNQELLDYFSGYAAVKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFAEQGTDRNAWDRRRVLFYQGGKRQLYGYMALKEDLDLFNQHSQGKSRLKYELRSYHEMVVNQIRQMAEDNQQLIYLKNKVVKEQRHSEQLEKYCGIVAEKLRKTIEENRIVRQRTQMHHEQNKEELDFQEQFFKEQLQTIRDQREAKEEDFEKLQQDKRQQVKELNANPSNTEEYRSRVEKVEEFIELQDKEMEEYVAERDNLIEEHGEKMTAMKRRHLEEELEMEKEYDARLASLMKKYTPNQAEGSANV >Potri.010G113900.1.v4.1 pep chromosome:Pop_tri_v4:10:13353379:13356789:-1 gene:Potri.010G113900.v4.1 transcript:Potri.010G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113900.v4.1 MAGGEESLVTSVFLLDLVRQICVVGTSGAGDKNNNNNVFRKDCMDLVRRVSLLTHFLEEISGQLGSLNALGSCSSSSKEINWSSDLVAALQSAKNLLSLAGIFQSTNDSDSAATKIATLFHSVTWKLEKSLANIPYDQFDISEEVQEQVALVRAQLRRATERYGSKNSREISFAFAQPIEKDGDQSPMGNRLTRSRHIENSGGVSHKVAENIQTAVSGSNGSKDHSAYQVASELNVVDGGEKDSLGNKSGEDLKKHDTLKIPDDFLCPISLELMRDPVIVATGQTYERSYMQRWIDTGNSTCPKTQQKLEHLTLTSNYVLRSLITQWCAEHKVEQPTGLANGRIKKSDGSFRDVSGDMAPIQAIVRKLSSRLIEERRAAVSEVRSLSKRSTDNRILIAGAGAIPVLVNLLTSEDTSIQENAVTSILNLSIYENNKALIMLAGAVPSIVQVLRAGSVEARENAAATLFSLSLADENKIIIGASGAIPALVELLENGSTRGKKDAATALFNLCIYQGNKGRAVRAGIITALLKMLTDSRNCMVDEALTILSVLASNQEAKVAIVKASTIPVLIDLLRTGLPRNKENASAILLSLCKRDPENLACISRLGAVIPLTELAKNGTERAKRKATSMLEHLRRLQQS >Potri.001G278600.1.v4.1 pep chromosome:Pop_tri_v4:1:29211550:29213094:1 gene:Potri.001G278600.v4.1 transcript:Potri.001G278600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278600.v4.1 MFSWVRGKCIGRGSYGTVNLAFNKQTDAVFAVKSASEANHVQALDNEIKILSSLSSPFIVKFLGDDVSFENSTTCRNLHMEYLPGGTLADLASSTHRLADVDEQAVRSFTYCIVSALKYIHSRGIVHCDVKGRNILLGNNSDSVKLADFGSAIDAACGEPLLPRGSPLWMAPEVIRREYQGPKSDVWSLGCTIIEMVTGKPAWEDRGADSLSLIGFSNEVPELPSKLCVLGQDFLMKCLKREPNQRWSCDQLLQHPFLASVNSDLLGDELSPRCVLDWYFNSDFEEDNDVMEQGSASSFDNIEVSAKNRIGKLVTSGGVNWETNDGWAEVRSGCVKRERGEGDESGTSSIYSSDSAWISEEGGRIKRKVCNFSAGELHVNGSKGSSFCGIHWRGELCSGSEAGGGSGCHYGSQKAELAVEGCDLAGIYFRMLCNLLLYLLCFMRLMRCKFILWCKIFVVGFNMC >Potri.018G145080.1.v4.1 pep chromosome:Pop_tri_v4:18:15019271:15020935:-1 gene:Potri.018G145080.v4.1 transcript:Potri.018G145080.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145080.v4.1 MQSWMNSGWGLMEPLPELVHVIKQRLGITKENTERREAEIYKRAKIAYGALSTTLGDHTFLFERPSSLDAYFLGHVLFTLQAFPESSMLRSALLEHDASSTSSRRPSNSGSKPKKQPRRERTEEEKTFRRRARYFLVTQVVAVLVFLSVMSSNDFSEVEVDDDEDEDEDEDEDKRLQL >Potri.008G069350.1.v4.1 pep chromosome:Pop_tri_v4:8:4224400:4225648:1 gene:Potri.008G069350.v4.1 transcript:Potri.008G069350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069350.v4.1 MFFFFFSSLFSTLSTLSLSILFFFAFSFSLSSCSLSSVRFFFRLWPSLTFYKAKGWPLFMCSCPTIVRHERLCFFEKKQGAAEETFMGDVAQNGFGFLLNRSLVWR >Potri.008G186700.1.v4.1 pep chromosome:Pop_tri_v4:8:13023391:13024002:-1 gene:Potri.008G186700.v4.1 transcript:Potri.008G186700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186700.v4.1 MLKGCVHLLGLSGSTQRESRRKRELEGAPISVGLCILSRDISVRIVHPGGREELYQYALPASHLMEKYPGMCVARPGVFKNPQESLLWPDENLLPGHKYLLIPSTTAQKLTLKHMERVKVKGFAEGKDEIIDANITWDESGDISEESVGSAKEFYASKDRRPRYKVKRTVKAKKPFVPPLPKARSFRVSVWEPSLTSVQEVSP >Potri.004G047500.1.v4.1 pep chromosome:Pop_tri_v4:4:3777651:3778589:1 gene:Potri.004G047500.v4.1 transcript:Potri.004G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047500.v4.1 MASSREGHYRGVRKRPWGRYAAEIRDPWKKTRVWLGTFDTPEEAALAYDGAARSLRGAKAKTNFPSPPSTSGLSLDLNLPSDPHHHHLRWGSSPHVGSHRFGGLGEFLQTGVVFKEMNFNATEAAAASGPVVKIEGPGVGAVAGAPVPENVAPASFLGMVRRGLPIDLNEPPPLWL >Potri.009G159650.1.v4.1 pep chromosome:Pop_tri_v4:9:12371516:12372403:1 gene:Potri.009G159650.v4.1 transcript:Potri.009G159650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159650.v4.1 MVEKNFKDEATEKGERARLICYCFFSPVWFMFLNGC >Potri.001G321700.1.v4.1 pep chromosome:Pop_tri_v4:1:33113838:33116069:-1 gene:Potri.001G321700.v4.1 transcript:Potri.001G321700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321700.v4.1 MLPSNFLRLLDSQSKLYLGCQDLSTLIPSYLHRLKLCTKHQAPLNAKKLHAQIVKSGLNQCQPLPNTLLDAYGKCNLLQDAHYLFDEMPQRDHVSWASILTAYNQAKLPNKTLSIFHYMFTTDRLQPDHFVYATLLKACASLCSLRLGKQVHARFVLSPFVDDDVVKSSLVDMYAKCGLPSIARSVFDSILVKTSVSWTAMLSGYARSGLKDEAMELFLRTPVRNLYSWTALISGLVQSGYCIDGCYMFIEMRREGVDIVDPLVLSSVVGACANLAVLGLGKQIHGLVIGSGYESCLFISNALVDMYAKCSDILAARNVFNRMLHRDVVSWTSIIVGAAQHGRAKEALDLYDQMVLAEIKPNEVTFVGLIYACSHAGLVSKGRKLFKAMIEDYRISPSLQLFTCFLDLLSRSGHLNEAEDLIKTMPHKPDEPTWAALLSACKHHGNTEMGVRIADRLLSLNMHEPSTYVLLSNVYAGAGKWEQMSRVRKLMTDMEVKRKPGYSSIDLGKESQVFHAGETCHPMKDEIFGLLKELDAEMRKRGYIPDTSYVLHDMEEQEKERELFWHSERWAVAYGLLKAVPGTVIRIVKNLRICGDCHTFLKLTSSIVHKEIIVRDATRYHHFKDGRCSCNDFW >Potri.010G240700.1.v4.1 pep chromosome:Pop_tri_v4:10:21862201:21863454:-1 gene:Potri.010G240700.v4.1 transcript:Potri.010G240700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G240700.v4.1 MASSLINAVLFVTFIALVTASDPDIITDFVSPANLTKIDGDFFTFTALRGFFDSDYPPNFKVTKAGMAEFPALNGQSVSFAVLEYPVGTINPPHIHPRSAELLFVVDGSLEVGFVDTTNKLFTQTLQLGDMFVFPKGLVHYQSNADSKNPATAISAFGSANAGTVSVPTTVFATGIDDNILAKAFKTDVATIQKLKAGLAVKT >Potri.008G165950.1.v4.1 pep chromosome:Pop_tri_v4:8:11440253:11441087:1 gene:Potri.008G165950.v4.1 transcript:Potri.008G165950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165950.v4.1 MLLAKYNYGARELVPFVYHHGQNPGDHITWVLTLQSRDCKQDYFCKLAITLPALPSFGSASSRILPLYHRRMGWHVVTRALHRSRPSNRYTSLSQFSQISQLRQNSKVDKLRTIDSLVEISCIFFL >Potri.018G023000.3.v4.1 pep chromosome:Pop_tri_v4:18:1692464:1700524:1 gene:Potri.018G023000.v4.1 transcript:Potri.018G023000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023000.v4.1 MHNWKKNPSSFSEVINGNICAAFPMMHEKNHIPNHLSSIPLEASDAGSLSNYLDKVPSFGTVDRVFPGSFGSSMGSGQSFPSQAVPLGSGLTPAMLKQDGISASPYLLDDNLRLLAFRQILELSKQQHEMSPLGKNPEQDRCVKLQHSLFEPAASGLNRHETTFISKQNVSEVSMKSTQSTPTVKMGDDVAKFAHVTGLSNWCNFSTLTQGRPFYSQENDKQCQLSHGHLQNEQPSLRLGRNEHNITDSNEPESCCQIKQYFQTYCRCAAHTKCLGGKCVMASQIAKDNIIPRENTISLDHCGKLKGQAPKNISCTSQWKDVPSKKKNVCQGARVDQSAGNLDRRQHESGHLGDTAAKCSSGAVHMVDSFREQEISNISSGCSTPAVTQASNEVNKVDSSMAVTGNASCMKHLIVDEGSGIDKCWSSDDAVESDRSAGFCGSTCKTGLWKDGSSKVINNQSSRSLLDEVKLMDSLTWKRGRNQIQGEVTVLEKTNHPPEPERGFKTAKRKRETKPEMLDASRGTAGHAVQDKYPECDETANQRCLSKDAYIVSSGLEMPYTSRVSSIKPNSNGKSITSLSKPLSRKRDLQELYNGRDGEDEDGEELNDNASSCKIIEVSGRKKFRKSGTSDGCAESQTLEPACAVGEKTMRCAPVSHLKVSSSQQSSVCYRKPRPVVCGKYGEISNGEMVGDLPKPAKIVSLDTILGTTKKCTPPKNKKPTLTSVRELKKTSFGSTNACRSSHMKKESGGNDASGFDEMIFCNSVEERETASVGQDKHFADELLVLKEGDSKTEGGRGILGSSAHTQSKPKFKEIRRRSLNELTLKGMSSCSVKISHKNIMKCGQKMKDGKIIKSSEDSNCRTHESGEVNAKRNILEREHLSATDSDSFCCVCGSSNKDEVNCLLECGQCLIKVHQACYGISRVPKGHWYCRPCRTGAKYTVCVLCGYGGGALTQALRSHAIAKSLLKAWSFETESRPKNSDSSAVTLQDEFSKLHASGFVHGNNSYPVLRPENIESSTPSVWSIDMQKQLNNLRNSLSCVSNLKVHNSITAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGASHPRANTVCSMCNRPGGSCIQCRVANCSVQFHPWCAHQKGLLQSEVEGADNENVGFYGRCALHARYAADECTCDASNNKTGCVGEKEESCARTEGYKGRKRDGFWHNLHGQSRGKGGCLVPQEQLNAWMHINGQKSCTGLSKLPMSDVEHDCRKEYARYKQAKGWKYLIVYKSGIHALGLYTSRFIYRGAMVVEYVGEIVGQRVADKREYEYGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNNEDEGKKIPCFCNSKNCRRYLN >Potri.018G023000.2.v4.1 pep chromosome:Pop_tri_v4:18:1688336:1700146:1 gene:Potri.018G023000.v4.1 transcript:Potri.018G023000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023000.v4.1 MDDSSWKVKCSSATLQQPLMATPSSTTPQEARNQLEVNSGQYFYPHAGHDLRSQGRGRMPDSMVSNIPNLSSYSGNCDLGNSFLALLSGPASFSPCDFHELPNPKQFSASSRVPSEDTGSLFNASGSRAPLMSSRIPSGNLSNQNQRNGANPVVSSKCASTSNSVLQHCLQGANFAMHSSDLAKAVIHYKVSDNEKVKDSSSLRGEWRSTNPANAVKLPDTNCQMPGKLALEPELSVSKNSSALSNQYPRVFCLGKSGELLLSSTGLLGILCSCHCFHMSVSKFCEHSGLWNVNPGVAVHMENGETIAQWRKLYFQKFGIRVPEDQSGWDWPEGLPLTASLVHSSVPLPLSKHSDCNHLVGSSEGLVRSGQPIDSVVFPKNPLTDYNLNQNPVFDVLDKQKRNGQGGNNFLGLAGTLLSNLHGVGNNTPHGVTDSTISRCTIMPTFVGKGPENGSQSISAYIDNIVKSGSFSTTNSALQNARTLFRCSDVSRAKDEKHCVIIDKDAASSSIELRLGQPNEQNWSSGNPVLSAVGPPSCNSLVNSHKPSTREQMIHYVTSCGGDGESRQGLPHVAGLLNSAREQDQLNYGCSAIKNTINVGKIENFKGQVAKSTVFLPFKHFNSPLEGNSYSRSTSNVVNSTEHIVHETLHSESHAVKYPGNVPLNGGNGLERQRTDPEFGFSRPRDKGKGVGCLTGNSFDETNLVSRMHNWKKNPSSFSEVINGNICAAFPMMHEKNHIPNHLSSIPLEASDAGSLSNYLDKVPSFGTVDRVFPGSFGSSMGSGQSFPSQAVPLGSGLTPAMLKQDGISASPYLLDDNLRLLAFRQILELSKQQHEMSPLGKNPEQDRCVKLQHSLFEPAASGLNRHETTFISKQNVSEVSMKSTQSTPTVKMGDDVAKFAHVTGLSNWCNFSTLTQGRPFYSQENDKQCQLSHGHLQNEQPSLRLGRNEHNITDSNEPESCCQIKQYFQTYCRCAAHTKCLGGKCVMASQIAKDNIIPRENTISLDHCGKLKGQAPKNISCTSQWKDVPSKKKNVCQGARVDQSAGNLDRRQHESGHLGDTAAKCSSGAVHMVDSFREQEISNISSGCSTPAVTQASNEVNKVDSSMAVTGNASCMKHLIVDEGSGIDKCWSSDDAVESDRSAGFCGSTCKTGLWKDGSSKVINNQSSRSLLDEVKLMDSLTWKRGRNQIQGEVTVLEKTNHPPEPERGFKTAKRKRETKPEMLDASRGTAGHAVQDKYPECDETANQRCLSKDAYIVSSGLEMPYTSRVSSIKPNSNGKSITSLSKPLSRKRDLQELYNGRDGEDEDGEELNDNASSCKIIEVSGRKKFRKSGTSDGCAESQTLEPACAVGEKTMRCAPVSHLKVSSSQQSSVCYRKPRPVVCGKYGEISNGEMVGDLPKPAKIVSLDTILGTTKKCTPPKNKKPTLTSVRELKKTSFGSTNACRSSHMKKESGGNDASGFDEMIFCNSVEERETASVGQDKHFADELLVLKEGDSKTEGGRGILGSSAHTQSKPKFKEIRRRSLNELTLKGMSSCSVKISHKNIMKCGQKMKDGKIIKSSEDSNCRTHESGEVNAKRNILEREHLSATDSDSFCCVCGSSNKDEVNCLLECGQCLIKVHQACYGISRVPKGHWYCRPCRTGAKYTVCVLCGYGGGALTQALRSHAIAKSLLKAWSFETESRPKNSDSSAVTLQDEFSKLHASGFVHGNNSYPVLRPENIESSTPSVWSIDMQKQLNNLRNSLSCVSNLKVHNSITAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGASHPRANTVCSMCNRPGGSCIQCRVANCSVQFHPWCAHQKGLLQSEVEGADNENVGFYGRCALHARYAADECTCDASNNKTGCVGEKEESCARTEGYKGRKRDGFWHNLHGQSRGKGGCLVPQEQLNAWMHINGQKSCTGLSKLPMSDVEHDCRKEYARYKQAKGWKYLIVYKSGIHALGLYTSRFIYRGAMVVEYVGEIVGQRVADKREYEYGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLIFFFNLHDQPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNNEDEGKKIPCFCNSKNCRRYLN >Potri.018G023000.1.v4.1 pep chromosome:Pop_tri_v4:18:1688486:1700522:1 gene:Potri.018G023000.v4.1 transcript:Potri.018G023000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023000.v4.1 MDDSSWKVKCSSATLQQPLMATPSSTTPQEARNQLEVNSGQYFYPHAGHDLRSQGRGRMPDSMVSNIPNLSSYSGNCDLGNSFLALLSGPASFSPCDFHELPNPKQFSASSRVPSEDTGSLFNASGSRAPLMSSRIPSGNLSNQNQRNGANPVVSSKCASTSNSVLQHCLQGANFAMHSSDLAKAVIHYKVSDNEKVKDSSSLRGEWRSTNPANAVKLPDTNCQMPGKLALEPELSVSKNSSALSNQYPRVFCLGKSGELLLSSTGLLGILCSCHCFHMSVSKFCEHSGLWNVNPGVAVHMENGETIAQWRKLYFQKFGIRVPEDQSGWDWPEGLPLTASLVHSSVPLPLSKHSDCNHLVGSSEGLVRSGQPIDSVVFPKNPLTDYNLNQNPVFDVLDKQKRNGQGGNNFLGLAGTLLSNLHGVGNNTPHGVTDSTISRCTIMPTFVGKGPENGSQSISAYIDNIVKSGSFSTTNSALQNARTLFRCSDVSRAKDEKHCVIIDKDAASSSIELRLGQPNEQNWSSGNPVLSAVGPPSCNSLVNSHKPSTREQMIHYVTSCGGDGESRQGLPHVAGLLNSAREQDQLNYGCSAIKNTINVGKIENFKGQVAKSTVFLPFKHFNSPLEGNSYSRSTSNVVNSTEHIVHETLHSESHAVKYPGNVPLNGGNGLERQRTDPEFGFSRPRDKGKGVGCLTGNSFDETNLVSRMHNWKKNPSSFSEVINGNICAAFPMMHEKNHIPNHLSSIPLEASDAGSLSNYLDKVPSFGTVDRVFPGSFGSSMGSGQSFPSQAVPLGSGLTPAMLKQDGISASPYLLDDNLRLLAFRQILELSKQQHEMSPLGKNPEQDRCVKLQHSLFEPAASGLNRHETTFISKQNVSEVSMKSTQSTPTVKMGDDVAKFAHVTGLSNWCNFSTLTQGRPFYSQENDKQCQLSHGHLQNEQPSLRLGRNEHNITDSNEPESCCQIKQYFQTYCRCAAHTKCLGGKCVMASQIAKDNIIPRENTISLDHCGKLKGQAPKNISCTSQWKDVPSKKKNVCQGARVDQSAGNLDRRQHESGHLGDTAAKCSSGAVHMVDSFREQEISNISSGCSTPAVTQASNEVNKVDSSMAVTGNASCMKHLIVDEGSGIDKCWSSDDAVESDRSAGFCGSTCKTGLWKDGSSKVINNQSSRSLLDEVKLMDSLTWKRGRNQIQGEVTVLEKTNHPPEPERGFKTAKRKRETKPEMLDASRGTAGHAVQDKYPECDETANQRCLSKDAYIVSSGLEMPYTSRVSSIKPNSNGKSITSLSKPLSRKRDLQELYNGRDGEDEDGEELNDNASSCKIIEVSGRKKFRKSGTSDGCAESQTLEPACAVGEKTMRCAPVSHLKVSSSQQSSVCYRKPRPVVCGKYGEISNGEMVGDLPKPAKIVSLDTILGTTKKCTPPKNKKPTLTSVRELKKTSFGSTNACRSSHMKKESGGNDASGFDEMIFCNSVEERETASVGQDKHFADELLVLKEGDSKTEGGRGILGSSAHTQSKPKFKEIRRRSLNELTLKGMSSCSVKISHKNIMKCGQKMKDGKIIKSSEDSNCRTHESGEVNAKRNILEREHLSATDSDSFCCVCGSSNKDEVNCLLECGQCLIKVHQACYGISRVPKGHWYCRPCRTGAKYTVCVLCGYGGGALTQALRSHAIAKSLLKAWSFETESRPKNSDSSAVTLQDEFSKLHASGFVHGNNSYPVLRPENIESSTPSVWSIDMQKQLNNLRNSLSCVSNLKVHNSITAGVLDSTVKQWVHMVCGLWTPGTRCPNVDTMSAFDVSGASHPRANTVCSMCNRPGGSCIQCRVANCSVQFHPWCAHQKGLLQSEVEGADNENVGFYGRCALHARYAADECTCDASNNKTGCVGEKEESCARTEGYKGRKRDGFWHNLHGQSRGKGGCLVPQEQLNAWMHINGQKSCTGLSKLPMSDVEHDCRKEYARYKQAKGWKYLIVYKSGIHALGLYTSRFIYRGAMVVEYVGEIVGQRVADKREYEYGRKLQYKSACYFFRIDKEHIIDATRKGGIARFVNHSCLPNCVAKVISVRNEKKVVFFAERDIYPGEEITYDYHFNNEDEGKKIPCFCNSKNCRRYLN >Potri.019G029100.1.v4.1 pep chromosome:Pop_tri_v4:19:4108484:4110147:1 gene:Potri.019G029100.v4.1 transcript:Potri.019G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029100.v4.1 MEGSRKAAMEGIRRAARAYYDHLPDGERKNATKDFNDMDKNRDGKISLLEYVDYLKKKKATSFVQQSIFRALDKDDNGTLDFEEAIVLFYLMKSGRAIICKGCEKFLAGAYFSCSQCFFNVSVSTYEICCACYRGNNFTHHGDAIFCDNYTLLRQSRSAIQAAPRLTRKRDKAAQILNCSIM >Potri.001G366500.1.v4.1 pep chromosome:Pop_tri_v4:1:38277477:38278448:1 gene:Potri.001G366500.v4.1 transcript:Potri.001G366500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366500.v4.1 MNRAKHPNLRVLSKLTESRILKKITQFLLSVSVFSLLLSNYSSGPSFLHSLYFYLSTVPVQLFTHTLDKNCIFLLCNGLLVFVARYSGLAPTIDDHQSFKKYEDATSESRMPVQQGDGSGLVENVDGFLQENIAVTKEDGFVNDNDHGRTEDREIEELIVGDREEKDDDKGDGGIGFLIIGKEGDHGSELSLQGHEEVESLNDEEFHATTQEEYQAEYYVDDGEENGVLSTQELNEKFEEFIRKTKEEIRTEAQQQYLVMAN >Potri.012G088400.1.v4.1 pep chromosome:Pop_tri_v4:12:11387306:11388541:1 gene:Potri.012G088400.v4.1 transcript:Potri.012G088400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088400.v4.1 MEKPRWWLLLLPSIVLLNLVGLCKAIESPQYAVVHAEPDFEVRLYVNSTWMSAPVNELSFEKATLFGFHRLFQYIQGANLNYSRIAVTVPVVTSIVPGAGPFRSSAYVVRFYLPVKLQADPPVPLDELHLKPYAWNSHCVAVRKFSGYAKDENIAEEAKRLADSLSRSPWANLSSTESNYSYSIAQYDSPFQFIGRTNEVWADIKVSGADGCNSSAIASY >Potri.016G128500.1.v4.1 pep chromosome:Pop_tri_v4:16:13168468:13173705:-1 gene:Potri.016G128500.v4.1 transcript:Potri.016G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128500.v4.1 MVREPRLDQQQQQESSSSQDSSLPTSLIRILYIGHFLARWDARMWEFSVGLYMITLWPDSLILPAIYGAIECASTALFGPIIGQWVQRSAYFKVLRIWLVTQNFSFIIAGCTVITLLFSPALKSTNFTVFILLVILTNISGAIGVLSTLAGTILIEREWVVLISEGHTPDVLTKINSTIRRIDLTCKLLAPVISGFIMSFISVKASAMTLAVWNTVAVWLEYWLFTSVYTGIPALAESSQRRISRLSPSDTVEMASTPAERAGLISQSDEISVSVEIGWRRRLTDWFSKTPFVGAWSVYSQQDVVLPGVALALLYFTVLSFGTLMTATLEWKGIPAFVIGIARGISAIIGMAATVLYPILQSHVSTLRPGLWAIWSQWTCLLVCVASIVVQNHLLSAYMLMAGVATSRLGLWMFDLSVIQQMQDQVPESDRCVVGGVQNSLQSTMDMLGYIMGMIISNPQDFWELILLSFSAVTFAALLYSIHLYRVRKHLFHFEKSFVLLDWVIRSSPEDLL >Potri.016G128500.2.v4.1 pep chromosome:Pop_tri_v4:16:13168469:13173750:-1 gene:Potri.016G128500.v4.1 transcript:Potri.016G128500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128500.v4.1 MYNCEKRMMVSFHLNCLFVICRMWEFSVGLYMITLWPDSLILPAIYGAIECASTALFGPIIGQWVQRSAYFKVLRIWLVTQNFSFIIAGCTVITLLFSPALKSTNFTVFILLVILTNISGAIGVLSTLAGTILIEREWVVLISEGHTPDVLTKINSTIRRIDLTCKLLAPVISGFIMSFISVKASAMTLAVWNTVAVWLEYWLFTSVYTGIPALAESSQRRISRLSPSDTVEMASTPAERAGLISQSDEISVSVEIGWRRRLTDWFSKTPFVGAWSVYSQQDVVLPGVALALLYFTVLSFGTLMTATLEWKGIPAFVIGIARGISAIIGMAATVLYPILQSHVSTLRPGLWAIWSQVILCCHFLH >Potri.014G091800.2.v4.1 pep chromosome:Pop_tri_v4:14:5956566:5958414:-1 gene:Potri.014G091800.v4.1 transcript:Potri.014G091800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091800.v4.1 MEGDKKGITSEELKQHNKAGDLWISIQGKVYDVSDWANEHPGGDVALMNMAGLDATDAFIAYHPGTAWKYLDKLFTGYYLTDFKLSETSKDYRRLASEFAKLGLFEKKGHITIAYIGHDSGHYQVMNTRGSNKLAQFVAGNSLTGISIAWWKWTHNAHHLACNSLDYDPDLQHIPVFAVNSIFFNSIKSCFYGRYLNFDPVARFFVSYQHWTFYPVMCVARVNLYIQTFLLLFSKRRFPDRALNILGILIFWTWFPLLVSCIPNWPERVMFVLTSFAVTAIQHVQFCLNHFAADVYSGLPEGNDWFEKQTSGTLDISCSSWMDWFYGGLQFQLEHHLFPRMPRCQLRRVSPLVQDLCKKHNLSYRSLSFWEANVWTIRKLRNVALQARDLANPVPKNMLWEAVNTHG >Potri.014G091800.1.v4.1 pep chromosome:Pop_tri_v4:14:5956487:5958511:-1 gene:Potri.014G091800.v4.1 transcript:Potri.014G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091800.v4.1 MEGDKKGITSEELKQHNKAGDLWISIQGKVYDVSDWANEHPGGDVALMNMAGLDATDAFIAYHPGTAWKYLDKLFTGYYLTDFKLSETSKDYRRLASEFAKLGLFEKKGHITMYALTSIVLMFCVVLYGVLCCQSVWAHFGSAVVLGFLWIQSAYIGHDSGHYQVMNTRGSNKLAQFVAGNSLTGISIAWWKWTHNAHHLACNSLDYDPDLQHIPVFAVNSIFFNSIKSCFYGRYLNFDPVARFFVSYQHWTFYPVMCVARVNLYIQTFLLLFSKRRFPDRALNILGILIFWTWFPLLVSCIPNWPERVMFVLTSFAVTAIQHVQFCLNHFAADVYSGLPEGNDWFEKQTSGTLDISCSSWMDWFYGGLQFQLEHHLFPRMPRCQLRRVSPLVQDLCKKHNLSYRSLSFWEANVWTIRKLRNVALQARDLANPVPKNMLWEAVNTHG >Potri.016G024400.4.v4.1 pep chromosome:Pop_tri_v4:16:1364621:1372654:1 gene:Potri.016G024400.v4.1 transcript:Potri.016G024400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024400.v4.1 MDENGIGESLLRKNKYYEDCPGCKIELSKETNTGVPFKYLLYVWIVVLCAALPISSLFPFLYFMIRDFHIAKREEDIGYYAGYVGSAFMFGRALTSVLWGMIADRYGRKPVIIFGTVSVVIFNTLFGLSTSFWMAISTRFLLGSLCGILGPMRAYASEVCRKEYQALGMSIISTSWGIGLVIGPALGGFLAQPAEKFPNIFSSDSLFGRFPYLLPCLLISIFSVGVLVVCCLLPETIHNHKGNDEECNDSDALGATTFESNSSQKSLLKNWPLISSIIVYCVFQLHDMAYAEIFSLWAVSPRKNGGLSFSTADVGEVLAFSGFGLLLFQLFIYPVAERNFGPVMVSRLGAVLTIPLLSSYPFIALLKGLTLMLLINCASILKNVLSVSITTGLFLLQNRSVAQQQRGAANGISMSAMSLFKAIGPAAGGSL >Potri.016G024400.2.v4.1 pep chromosome:Pop_tri_v4:16:1364762:1372596:1 gene:Potri.016G024400.v4.1 transcript:Potri.016G024400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024400.v4.1 MDENGIGESLLRKNKYYEDCPGCKIELSKETNTGVPFKYLLYVWIVVLCAALPISSLFPFLYFMIRDFHIAKREEDIGYYAGYVGSAFMFGRALTSVLWGMIADRYGRKPVIIFGTVSVVIFNTLFGLSTSFWMAISTRFLLGSLCGILGPMRAYASEVCRKEYQALGMSIISTSWGIGLVIGPALGGFLAQPAEKFPNIFSSDSLFGRFPYLLPCLLISIFSVGVLVVCCLLPETIHNHKGNDEECNDSDALGATTFESNSSQKSLLKNWPLISSIIVYCVFQLHDMAYAEIFSLWAVSPRKNGGLSFSTADVGEVLAFSGFGLLLFQLFIYPVAERNFGPVMVSRLGAVLTIPLLSSYPFIALLKGLTLMLLINCASILKNVLSVSITTGLFLLQNRSVAQQQRGAANGISMSAMSLFKAIGPAAGGSLFSWAQKRQSAFFLPGDQIVFFFLNMIEVIGLFLTFKPFLALPDDNIS >Potri.013G117532.1.v4.1 pep chromosome:Pop_tri_v4:13:12594134:12596405:-1 gene:Potri.013G117532.v4.1 transcript:Potri.013G117532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117532.v4.1 MFKAKRSMVLELIQVLLSTTILMVEPRMLLWDGQLLLVRLSRLLLHWNRSTRVTSSGSGAFYFVPCMVFGIVESLFRWYTENGMSEDEVCKNTVESITGIISKTILTEGMLAIYSSLSEESKLEFDIVLHIILAWTSCMNAMKMYVAFGSEIRSVVKAGRRFYEKDGLPAFPMGEIDQTRMWKDGE >Potri.002G173700.1.v4.1 pep chromosome:Pop_tri_v4:2:13383644:13383934:1 gene:Potri.002G173700.v4.1 transcript:Potri.002G173700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173700.v4.1 MDSTTTTTTTTNPNNNNCNFMSCEKLDRVASWVGVNVASAFFASLERCSCINLNTTDLEDDEEARDRPLMLTKPSAHDHHLDAQPTINSDAPKLSV >Potri.019G029850.1.v4.1 pep chromosome:Pop_tri_v4:19:4212777:4214126:1 gene:Potri.019G029850.v4.1 transcript:Potri.019G029850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029850.v4.1 MDTDDLTEQILDGLGEDYTELVRAVQAREAAISFDELHEKLLLFKASLQTQSHSSRLGPVTANSFTKNSNNNNWRPSTSNWRLFYQYIWEKGCQFLSISILILVLLILFLQ >Potri.014G080300.1.v4.1 pep chromosome:Pop_tri_v4:14:5183304:5186858:1 gene:Potri.014G080300.v4.1 transcript:Potri.014G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080300.v4.1 MAVRKPGLIALFDLDDTLTAPRKAATPSMLEFIKELRKVVTIGLVGGSDLSKISEQLGKTVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGDEKLKEFINFTLHYIADLDIPIKRGTFIELRSGMLNVSPIGRNCSQEERDEFEKYDKVHNIRPKMLSVLCEKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDEFSEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPDDTVEQCKALFFA >Potri.006G046100.1.v4.1 pep chromosome:Pop_tri_v4:6:3134510:3136301:-1 gene:Potri.006G046100.v4.1 transcript:Potri.006G046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046100.v4.1 MAKSSASHKTSPMISVVLLAGLLMSCLRITGAQMGVCYGTLGDNLPSEQEVVDLFNQYNIRRMRIYDPNPRTLQALGGSSIELMLGVPNSDLPSISSSQANADAWVQNNVLKYSNVKFRYIAVGNEVKPGDDFAPALFPAMQNIQNSISAAGLGNQIKVSTVTFAAALGESYPPSRGVFNAEYHSLLAPIISFLVSNQSPFLVNLYPYFSRAENNDIPLNYALLVPDPSATVSDPPFEYNNLFAAMVDAVYSALEKAGGGSLEIVVSESGWPSAGGGPETNIDNARTYNTNLVQQVKNGTPKRPGRPIETYIFATFDENQKQPEYEKFWGLFLPSKQPKYQIQLD >Potri.005G030413.5.v4.1 pep chromosome:Pop_tri_v4:5:1975124:1975603:-1 gene:Potri.005G030413.v4.1 transcript:Potri.005G030413.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030413.v4.1 MASSSSPTTPYLKHDVFLSFRGTDTRNGFTSHLYDALQRNQIDAYIDNKLDGGETIEPALLERIEESFISLVIFSENYADSTFCLRELSKILECMETKQQMVLPVFYRLDPSHVQNLTGSYGDALCKHERDCSSEEVESWRRALKEIANLKGWDSDVIK >Potri.007G056700.2.v4.1 pep chromosome:Pop_tri_v4:7:5939208:5943387:1 gene:Potri.007G056700.v4.1 transcript:Potri.007G056700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056700.v4.1 MGCCYSRIEREEMVSRCKARKRYMKQLVKARQSLSASHAMYIRSLRSTGSALLQFSNTETDLHLHHHHHHRRLPPIHPSPPPLPPTPLPPPPPPMSPSSDTWTTTTTATAASPLPPPPPPPPPVAASSWDFWDPFVPPPPRSMEEEEEEWEEVTTTTTASEMVAVVPPSVVSGFSKETASGSGSELAMVVSRNSKDLVEIIKEVDEYFLKAADAGGQLSCVLEVSSPNLSASQSKGGKGYNYGCRLTSPSLWTWGSSPKLNGFGKISEEMVVSHGGSGGAAHVSHCSTVERLYAWEKKLFLEVKNAESLKIEHEKKLALLRKLEVKRVEYVKTEKTKKEVEKLQSQMMVATQGIESISTEIIKLRETELYPQLLELVKGSMCMWRSMYELHQVQTHIVQQLKYLNTIPSTEPTSEIHRQSTLQLELEVHQWHQSFCNVVKAQRDYIQSLTGWLRLSLFQFSKNPISRTSQESRIYSLCEEWHHAVDRIPDKVASEGIKSFLAVIHAIVVQQAEEHKQKKKSESAFKEFEKKAAELRSLESKYGPYSMPETPSTTRKKDPVMEKRAKAEISRAKAEEEKSKHEKSISVTRSMTMNNLQMGFPHVFQAMVGFSSVCMNAFESLYNQAKNGDQEHDVKRLLP >Potri.007G056700.3.v4.1 pep chromosome:Pop_tri_v4:7:5939208:5943339:1 gene:Potri.007G056700.v4.1 transcript:Potri.007G056700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056700.v4.1 MGCCYSRIEREEMVSRCKARKRYMKQLVKARQSLSASHAMYIRSLRSTGSALLQFSNTETDLHLHHHHHHRRLPPIHPSPPPLPPTPLPPPPPPMSPSSDTWTTTTTATAASPLPPPPPPPPPVAASSWDFWDPFVPPPPRSMEEEEEEWEEVTTTTTASEMVAVVPPSVVSGFSKETASGSGSELAMVVSRNSKDLVEIIKEVDEYFLKAADAGGQLSCVLEVSSPNLSASQSKGGKGYNYGCRLTSPSLWTWGSSPKLNGFGKISEEMVVSHGGSGGAAHVSHCSTVERLYAWEKKLFLEVKNAESLKIEHEKKLALLRKLEVKRVEYVKTEKTKKEVEKLQSQMMVATQGIESISTEIIKLRETELYPQLLELVKGSMCMWRSMYELHQVQTHIVQQLKYLNTIPSTEPTSEIHRQSTLQLELEVHQWHQSFCNVVKAQRDYIQSLTGWLRLSLFQFSKNPISRTSQESRIYSLCEEWHHAVDRIPDKVASEGIKSFLAVIHAIVVQQAEEHKQKKKSESAFKEFEKKAAELRSLESKYGPYSMPETPSTTRKKDPVMEKRAKAEISRAKAEEEKSKHEKSISVTRSMTMNNLQMGFPHVFQAMVGFSSVCMNAFESLYNQAKNGDQEHDVKRLLP >Potri.004G006000.5.v4.1 pep chromosome:Pop_tri_v4:4:365043:372164:1 gene:Potri.004G006000.v4.1 transcript:Potri.004G006000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006000.v4.1 MATLADITVSGAINVLSAFIFLLAFAILRLQPLNDRVYFPKWYLKGVRGSPSRSGALVRRVVNLDFRSYIRFLNWMPEALKMPEPELIDHAGLDYAVYLRIYLMGLKIFVPITILALAILVPVNYTNNALEAVKMVANVTASDIDKLSISNIPLKSQRFWTHIVMAYAFTFWTCYVLLREYEKVASMRLQFLSLERRRLDQFTVLVRNVPPDPDETVSELLEHFFLVNHPDHYLTHQVVCNANKLASLVKKKKKKQNWLDYYQLKYSRNQSQRPQMKTGFLGHFGGKVDAIDHHISEIEELSKEIEEERTRVLKDPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWQNLAIPYMSLKVRRLIIGVAFLLLTFFFIIPIASVQALASIEGIEKRAPFLKSVIEIKFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFISLSSLERRSATRNYIFLVINVFLGSILTGAAFEQLNSFIKQSANEIPKTIGVAVPMKATFFITYIMVDGWAGIAGEVLMLKPLIFYHLKNFLLVKTEKDREEAMDPGSLGFHTGEPRIQLYFLLGLVYATVTPVLLPFIVIFFAFAYLVFRHQIINVYNHEYESGAAFWPDVHGRIITGLVISQLALMGLLSTKEAAQSTPFLVALPVLTIWFHRFCNGRHKSAFVKYPLQEAMMKDTLERARDPNFNLKACLHSAYVHPIFKGDDDDEDDLSVEMETESVLVPTKRQSQRNTPVPSKISGGYSPSLPEAVKNGEL >Potri.004G006000.4.v4.1 pep chromosome:Pop_tri_v4:4:365004:372162:1 gene:Potri.004G006000.v4.1 transcript:Potri.004G006000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006000.v4.1 MATLADITVSGAINVLSAFIFLLAFAILRLQPLNDRVYFPKWYLKGVRGSPSRSGALVRRVVNLDFRSYIRFLNWMPEALKMPEPELIDHAGLDYAVYLRIYLMGLKIFVPITILALAILVPVNYTNNALEAVKMVANVTASDIDKLSISNIPLKSQRFWTHIVMAYAFTFWTCYVLLREYEKVASMRLQFLSLERRRLDQFTVLVRNVPPDPDETVSELLEHFFLVNHPDHYLTHQVVCNANKLASLVKKKKKKQNWLDYYQLKYSRNQSQRPQMKTGFLGHFGGKVDAIDHHISEIEELSKEIEEERTRVLKDPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWQNLAIPYMSLKVRRLIIGVAFLLLTFFFIIPIASVQALASIEGIEKRAPFLKSVIEIKFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFISLSSLERRSATRNYIFLVINVFLGSILTGAAFEQLNSFIKQSANEIPKTIGVAVPMKATFFITYIMVDGWAGIAGEVLMLKPLIFYHLKNFLLVKTEKDREEAMDPGSLGFHTGEPRIQLYFLLGLVYATVTPVLLPFIVIFFAFAYLVFRHQIINVYNHEYESGAAFWPDVHGRIITGLVISQLALMGLLSTKEAAQSTPFLVALPVLTIWFHRFCNGRHKSAFVKYPLQEAMMKDTLERARDPNFNLKACLHSAYVHPIFKGDDDDEDDLSVEMETESVLVPTKRQSQRNTPVPSKISGGYSPSLPEAVKNGEL >Potri.004G006000.6.v4.1 pep chromosome:Pop_tri_v4:4:365392:372147:1 gene:Potri.004G006000.v4.1 transcript:Potri.004G006000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006000.v4.1 MATLADITVSGAINVLSAFIFLLAFAILRLQPLNDRVYFPKWYLKGVRGSPSRSGALVRRVVNLDFRSYIRFLNWMPEALKMPEPELIDHAGLDYAVYLRIYLMGLKIFVPITILALAILVPVNYTNNALEAVKMVANVTASDIDKLSISNIPLKSQRFWTHIVMAYAFTFWTCYVLLREYEKVASMRLQFLSLERRRLDQFTVLVRNVPPDPDETVSELLEHFFLVNHPDHYLTHQVVCNANKLASLVKKKKKKQNWLDYYQLKYSRNQSQRPQMKTGFLGHFGGKVDAIDHHISEIEELSKEIEEERTRVLKDPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWQNLAIPYMSLKVRRLIIGVAFLLLTFFFIIPIASVQALASIEGIEKRAPFLKSVIEIKFIKSVIQGFLPGIVLKLFLIFLPTILMIMSKFEGFISLSSLERRSATRNYIFLVINVFLGSILTGAAFEQLNSFIKQSANEIPKTIGVAVPMKATFFITYIMVDGWAGIAGEVLMLKPLIFYHLKNFLLVKTEKDREEAMDPGSLGFHTGEPRIQLYFLLGLVYATVTPVLLPFIVIFFAFAYLVFRHQIINVYNHEYESGAAFWPDVHGRIITGLVISQLALMGLLSTKEAAQSTPFLVALPVLTIWFHRFCNGRHKSAFVKYPLQEAMMKDTLERARDPNFNLKACLHSAYVHPIFKGDDDDEDDLSVEMETESVLVPTKRQSQRNTPVPSKISGGYSPSLPEAVKNGEL >Potri.017G110500.1.v4.1 pep chromosome:Pop_tri_v4:17:11809882:11812196:1 gene:Potri.017G110500.v4.1 transcript:Potri.017G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110500.v4.1 MMEDEVLLLSRPSPVPAFCWDKGKPYVGGKFSSCLNARENKLVCVTDGNSFLGSHIVKELLSRGYLVRVTIQNQAVDFEDLKGQMKEDDMNKLESVVVAKMKDLESLCDAFRGCHAVFHTSSFVDPHGISGYSEQMAFLETEGARNVIEACSRAAYIRRCIFTSSLLASIWTSSNLDRVVDESCWSSEEFCRENKLWLALGKVRAEEIAWRKSKELKVRLVTVCPGLLIATSFPHAHKETSIPYLKGGPIMLRQGLLGISDVRKVAEAHVHVYEAMDNGACGRYICYERVVQRLDEAIQLENELNIQGLVSGGRSGILSEEIHSNLSNSKLARLLYQASQMSCNQ >Potri.017G110500.2.v4.1 pep chromosome:Pop_tri_v4:17:11809790:11811906:1 gene:Potri.017G110500.v4.1 transcript:Potri.017G110500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110500.v4.1 MMEDEVLLLSRPSPVPAFCWDKGKPYVGGKFSSCLNARENKLVCVTDGNSFLGSHIVKELLSRGYLVRVTIQNQVDFEDLKGQMKEDDMNKLESVVVAKMKDLESLCDAFRGCHAVFHTSSFVDPHGISGYSEQMAFLETEGARNVIEACSRAAYIRRCIFTSSLLASIWTSSNLDRVVDESCWSSEEFCRENKLWLALGKVRAEEIAWRKSKELKVRLVTVCPGLLIATSFPHAHKETSIPYLKGGPIMLRQGLLGISDVRKVAEAHVHVYEAMDNGACGRYICYERVVQRLDEAIQLENELNIQGLVSGGRSGILSEEIHSNLSNSKLARLLYQASQMSCNQ >Potri.001G041800.18.v4.1 pep chromosome:Pop_tri_v4:1:3044662:3050310:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLVMETHAFEETRSNRTGEQQLPSNCDQIKVPGLHPSISMSDLVNHIGHCISEQMTSGNSILSGGDIKSSDILDEITQYLLGDSQVMSASDEQSVVSTVNSLCCLLQKDPATARDLQAKSLSDLDVDHDRRINETNSTASACQSKFIESFPAPEGEASNVSICKQAPAMSRKDSVGELLLNLPSIASLPQFLFNI >Potri.001G041800.10.v4.1 pep chromosome:Pop_tri_v4:1:3044662:3050306:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLVMETHAFEETRSNRTGEQQLPSNCDQIKVPGLHPSISMSDLVNHIGHCISEQMTSGNSILSGGDIKSSDILDEITQYLLGDSQVMSASDEQSVVSTVNSLCCLLQKDPATARDLQAKSLSDLDVDHDRRINETNSTASACQSKFIESFPAPEGEASNVSICKQAPAMSRKDSVGELLLNLPSIASLPQFLFNI >Potri.001G041800.14.v4.1 pep chromosome:Pop_tri_v4:1:3044662:3050270:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLVMETHAFEETRSNRTGEQQLPSNCDQIKVPGLHPSISMSDLVNHIGHCISEQMTSGNSILSGGDIKSSDILDEITQYLLGDSQVMSASDEQSVVSTVNSLCCLLQKDPATARDLQAKSLSDLDVDHDRRINETNSTASACQSKFIESFPAPEGEASNVSICKQAPAMSRKDSVGELLLNLPRL >Potri.001G041800.21.v4.1 pep chromosome:Pop_tri_v4:1:3044662:3050273:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLVMETHAFEETRSNRTGEQQLPSNCDQIKVPGLHPSISMSDLVNHIGHCISEQMTSGNSILSGGDIKSSDILDEITQYLLGDSQVMSASDEQSVVSTVNSLCCLLQKDPATARDLQAKSLSDLDVDHDRRINETNSTASACQSKFIESFPAPEGEASNVSICKQAPAMSRKDSVGELLLNLPRL >Potri.001G041800.17.v4.1 pep chromosome:Pop_tri_v4:1:3044659:3050185:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVGCMCLAQLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLVMETHAFEETRSNRTGEQQLPSNCDQIKVPGLHPSISMSDLVNHIGHCISEQMTSGNSILSGGDIKSSDILDEITQYLLGDSQVMSASDEQSVVSTVNSLCCLLQKDPATARDLQAKSLSDLDVDHDRRINETNSTASACQSKFIESFPAPEGEASNVSICKQAPAMSRKDSVGELLLNLPSIASLPQFLFNI >Potri.001G041800.22.v4.1 pep chromosome:Pop_tri_v4:1:3044662:3049344:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLGKI >Potri.001G041800.9.v4.1 pep chromosome:Pop_tri_v4:1:3044658:3050189:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLVMETHAFEETRSNRTGEQQLPSNCDQIKVPGLHPSISMSDLVNHIGHCISEQMTSGNSILSGGDIKSSDILDEITQYLLGDSQVMSASDEQSVVSTVNSLCCLLQKDPATARDLQAKSLSDLDVDHDRRINETNSTASACQSKFIESFPAPEGEASNVSICKQAPAMSRKDSVGELLLNLPSIASLPQFLFNI >Potri.001G041800.20.v4.1 pep chromosome:Pop_tri_v4:1:3044662:3050306:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLVMETHAFEETRSNRTGEQQLPSNCDQIKVPGLHPSISMSDLVNHIGHCISEQMTSGNSILSGGDIKSSDILDEITQYLLGDSQVMSASDEQSVVSTVNSLCCLLQKDPATARDLQAKSLSDLDVDHDRRINETNSTASACQSKFIESFPAPEGEASNVSICKQAPAMSRKDSVGELLLNLPRL >Potri.001G041800.19.v4.1 pep chromosome:Pop_tri_v4:1:3044659:3050185:1 gene:Potri.001G041800.v4.1 transcript:Potri.001G041800.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041800.v4.1 MVQSMSSLSRKASVKLEADDSLEDQLSPLHKRSKLDPCLQVIIPDAVLYNPLQEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPSSAAADKLKASNFTASLLKIGSWECKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASTHRRHFLQFQQGFMGKHYEKLIQCDPRLSFLSQQLEIILESPYFAQRVSAFSDLHESGKGLDSEVEDRTAVFALQEAGPPSGVLSSSLNNECQGLTCQSPENISQQTSSTTLVMETHAFEETRSNRTGEQQLPSNCDQIKVPGLHPSISMSDLVNHIGHCISEQMTSGNSILSGGDIKSSDILDEITQYLLGDSQVMSASDEQSVVSTVNSLCCLLQKDPATARDLQAKSLSDLDVDHDRRINETNSTASACQSKFIESFPAPEGEASNVSICKQAPAMSRKDSVGELLLNLPSIASLPQFLFNI >Potri.006G073500.2.v4.1 pep chromosome:Pop_tri_v4:6:5375656:5376510:1 gene:Potri.006G073500.v4.1 transcript:Potri.006G073500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073500.v4.1 MSIVPIGNQDGTITNPFSLNSWDPEDFFTSLDLWDPFQNFPFPSVLSTPFPSFSRQTQVNWRETSRAHVFRAVFPDFGREDVLVYIDDDNMLQVSTQDGKFMSKFKLPDNARRDQVKADMVNGVLTVTIPKEEVASYRPNVRVVEIEGSG >Potri.001G253800.1.v4.1 pep chromosome:Pop_tri_v4:1:27005185:27008603:1 gene:Potri.001G253800.v4.1 transcript:Potri.001G253800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253800.v4.1 MAGGAFADGATLKRAHLYEYKTTGYFIFSCLVAAMGGSLFGYDLGVSGGVTSMDDFLKKFFPQVYRRKQQHLHETDYCKYDNQILTLFTSSLYFGALIFTFAASHLTRSKGRKASIICGALSFFFGAIINAFAMNIAMLIIGRLLLGVGIGFSNQAVPLYLSEMAPAKSRGRYNQLFQLTTCLGILVANLVNYGTEKIHPWGWRLSLGSATIPAILMGVGALFLPETPNSLVEQGKLEEGRKVLEKVRGTTNVDAEFADLIDASNEAKAIKHPFRNLLTRKNRPQLIIGALGIPMFQQLTGMNSILFYAPVFFQSLGFGSGTSLYSSVITSGALVVGALTSMALVDKFGRRTFFIEASIEMFCYMVALAITLALKFGQGVTLPKAISVFLVIIICLFCFAYGRSWGPLGWLVPSELFPLETRSAGQSIVVCVNMIFTALIAQCFLVSLCHIRYGIFLIFAGLVAFMGTFIFFLLPETKQVPIEEIYLLFQNHWFWKKIVGDGTNDDGLDGEKGQPN >Potri.003G098100.4.v4.1 pep chromosome:Pop_tri_v4:3:12375954:12383300:1 gene:Potri.003G098100.v4.1 transcript:Potri.003G098100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098100.v4.1 MTGGYLILERPNAGVVLSTNARFYAIVKPLYEEMKPDSWAWAWTDVRLTSPQLSRESMYKLSLKNLMLQCVSSSESRNPFVEQAVPYAIAAAHALFDEDKKDALHKLLLQGLDITILGCNDFYSYRNQIEARGLPLTPESLAALPPFTSITFNAEEENGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVDLSPVSKNEGSADLDVVHIIAQTAHCIAQGKIGSGFDVSSAVYGSHRYVRFSPDVLSSAQDALNGTPLQEVMAAILKGKWDHERTKFSLPPSMNLLLGEPGTGGSSTPSMVGAVKRWQKSDPAKAQETWRKLSEANSKLEIQFNILSKLAEENWNAYKCVLDICSKQRSEKWIEQSTEPSQEAVVKALLGARSAMVEIRNLMRQMGEAAGVPIEPESQTRLLDATMDMEGVLLAGVPGAGGFDAVFAVTLGDSGSNVAKAWSSLNVLALLVREDPHGVSLETGDPITKEITAAVSAVHIE >Potri.003G098100.3.v4.1 pep chromosome:Pop_tri_v4:3:12375914:12383306:1 gene:Potri.003G098100.v4.1 transcript:Potri.003G098100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098100.v4.1 MAVVASAPGKVLMTGGYLILERPNAGVVLSTNARFYAIVKPLYEEMKPDSWAWAWTDVRLTSPQLSRESMYKLSLKNLMLQCVSSSESRNPFVEQAVPYAIAAAHALFDEDKKDALHKLLLQGLDITILGCNDFYSYRNQIEARGLPLTPESLAALPPFTSITFNAEEENGQNCKPEVAKTGLGSSAAMTTAVVAALLHYLGVVDLSPVSKNEGSADLDVVHIIAQTAHCIAQGKIGSGFDVSSAVYGSHRYVRFSPDVLSSAQDALNGTPLQEVMAAILKGKWDHERTKFSLPPSMNLLLGEPGTGGSSTPSMVGAVKRWQKSDPAKAQETWRKLSEANSKLEIQFNILSKLAEENWNAYKCVLDICSKQRSEKWIEQSTEPSQEAVVKALLGARSAMVEIRNLMRQMGEAAGVPIEPESQTRLLDATMDMEGVLLAGVPGAGGFDAVFAVTLGDSGSNVAKAWSSLNVLALLVREDPHGVSLETGDPITKEITAAVSAVHIE >Potri.003G053500.1.v4.1 pep chromosome:Pop_tri_v4:3:7875214:7875874:1 gene:Potri.003G053500.v4.1 transcript:Potri.003G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053500.v4.1 MEATKEGTGLLDQIVPPRLEDAGLEDCALPPDLIKEAFLKAASAVKSRATSIFSDEDESAECVQDPWPEGAKFASDKLVGVPPVPGASDALVGIEMGKETPGSCVAEKGGGVVEEDGDKVVVVGGDVEGKENERGGCLGDGLKKKEENGSEEEGEREGERPTLTEGFI >Potri.016G084700.14.v4.1 pep chromosome:Pop_tri_v4:16:6590496:6598800:-1 gene:Potri.016G084700.v4.1 transcript:Potri.016G084700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084700.v4.1 MSDKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAGKDNSDGRCPACRIPYDKEKIVGMAANCERLVAEMNSERKLKSHKGKPKISEGRMHLSNVRVIQRNLVYIIGLPLNIADESLLQRREYFGQYGKVLKVSISRTATGAIQHSANNSCCVYITYGKEEEAVRCIQSVHSFVLEGRSLRACFGTTKYCHAWLKNMPCSIPDCLYLHDFGSEEDSFTKDDLVSAFTRSRVQQIIGATNNLHRRSGNVLPPPADECTDRNISLTAKHDSKTSNQNIMNWDSSSYAESGAGRSNTLHGAASWVTCVSGSLPPVTSFSSSGGTPNHKPETSHGPCALASEVVSTKSSGDVKRTILEGSCEVNPANLTLIDHLPCSPASQDSAGDTALPSAGTSPSKLTKPSCITSLDEDGNFHSDGDLQGLCSGLSSICTDGSLKDEYREPVTSEILISIHKLPKSQVSQQFVSEALRESSFSPTLKECAIVEDLLGFDDQEVQDFGSIHNQPPISSSPSSKQHLEQSWQQGKIHQCTVDVHPSILPPKHDEVAFPFRSGNTVLPNGLHGRQANGLTEWDRSSNHSSVLLEAGSGKCLEEHGNVASGDCKLDQDTDESSIISNILSMDSGVWEDSLTSPQNLVKFLADNDTQQSSLKIPCIRKPQESSQSRFSFARQDGFSNHLSNFEHSLENATNKSPVSNHIIENKEPWMDHYRSISSNITSVESNGFLRKHPFTSSSFSGFSVPSRAVPPGFLTHGAVHYDFDHSANHFLQNSAPLSRNIGISGDVEFIDPAIMEVGKGFLSARLSNPGFDAKPAFPPQFSPFDHDSELQMLMRQSISAQQNPRLSDHLRNRFSPPDDAYTISPMFLGQSPPNKSSSFTQLTAQQLRNMHMPNGSLGGGWNEVKNISDPCMPEFLGNGGLGFSKFVPSYEDLKYQMSGSSNLYNRGFAM >Potri.016G084700.4.v4.1 pep chromosome:Pop_tri_v4:16:6590502:6598800:-1 gene:Potri.016G084700.v4.1 transcript:Potri.016G084700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084700.v4.1 MSDKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAGKDNSDGRCPACRIPYDKEKIVGMAANCERLVAEMNSERKLKSHKGKPKISEGRMHLSNVRVIQRNLVYIIGLPLNIADESLLQRREYFGQYGKVLKVSISRTATGAIQHSANNSCCVYITYGKEEEAVRCIQSVHSFVLEGRSLRACFGTTKYCHAWLKNMPCSIPDCLYLHDFGSEEDSFTKDDLVSAFTRSRVQQIIGATNNLHRRSGNVLPPPADECTDRNISLTAKHDSKTSNNIMNWDSSSYAESGAGRSNTLHGAASWVTCVSGSLPPVTSFSSSGGTPNHKPETSHGPCALASEVVSTKSSGDVKRTILEGSCEVNPANLTLIDHLPCSPASQDSAGDTALPSAGTSPSKLTKPSCITSLDEDGNFHSDGDLQGLCSGLSSICTDGSLKDEYREPVTSEILISIHKLPKSQVSQQFVSEALRESSFSPTLKECAIVEDLLGFDDQEVQDFGSIHNQPPISSSPSSKQHLEQSWQQGKIHQCTVDVHPSILPPKHDEVAFPFRSGNTVLPNGLHGRQANGLTEWDRSSNHSSVLLEAGSGKCLEEHGNVASGDCKLDQDTDESSIISNILSMDSGVWEDSLTSPQNLVKFLADNDTQQSSLKIPCIRKPQESSQSRFSFARQDGFSNHLSNFEHSLENATNKSPVSNHIIENKEPWMDHYRSISSNITSVESNGFLRKHPFTSSSFSVSKTSTSPPPGFSVPSRAVPPGFLTHGAVHYDFDHSANHFLQNSAPLSRNIGISGDVEFIDPAIMEVGKGFLSARLSNPGFDAKPAFPPQFSPFDHDSELQMLMRQSISAQQNPRLSDHLRNRFSPPDDAYTISPMFLGQSPPNKSSSFTQLTAQQLRNMHMPNGSLGGGWNEVKNISDPCMPEFLGNGGLGFSKFVPSYEDLKYQMSGSSNLYNRGFAM >Potri.016G084700.13.v4.1 pep chromosome:Pop_tri_v4:16:6590974:6598773:-1 gene:Potri.016G084700.v4.1 transcript:Potri.016G084700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084700.v4.1 MSDKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAGKDNSDGRCPACRIPYDKEKIVGMAANCERLVAEMNSERKLKSHKGKPKISEGRMHLSNVRVIQRNLVYIIGLPLNIADESLLQRREYFGQYGKVLKVSISRTATGAIQHSANNSCCVYITYGKEEEAVRCIQSVHSFVLEGRSLRACFGTTKYCHAWLKNMPCSIPDCLYLHDFGSEEDSFTKDDLVSAFTRSRVQQIIGATNNLHRRSGNVLPPPADECTDRNISLTAKHDSKTSNQNIMNWDSSSYAESGAGRSNTLHGAASWVTCVSGSLPPVTSFSSSGGTPNHKPETSHGPCALASEVVSTKSSGDVKRTILEGSCEVNPANLTLIDHLPCSPASQDSAGDTALPSAGTSPSKLTKPSCITSLDEDGNFHSDGDLQGLCSGLSSICTDGSLKDEYREPVTSEILISIHKLPKSQVSQQFVSEALRESSFSPTLKECAIVEDLLGFDDQEVQDFGSIHNQPPISSSPSSKQHLEQSWQQGKIHQCTVDVHPSILPPKHDEVAFPFRSGNTVLPNGLHGRQANGLTEWDRSSNHSSVLLEAGSGKCLEEHGNVASGDCKLDQDTDESSIISNILSMDSGVWEDSLTSPQNLVKFLADNDTQQSSLKIPCIRKPQESSQSRFSFARQDGFSNHLSNFEHSLENATNKSPVSNHIIENKEPWMDHYRSISSNITSVESNGFLRKHPFTSSSFSVSKTSTSPPPGFSVPSRAVPPGFLTHGAVHYDFDHSANHFLQNSAPLSRNIGISGDVEFIDPAIMEVGKGFLSARLSNPGFDAKPAFPPQFSPFDHDSELQMLMRQSISAQQNPRLSDHLRNRFSPPDDAYTISPMFLGQSPPNKSSSFTQLTAQQLRNMHMPNGSLGGGWNEVKNISDPCMPEFLGNGGLGFSKFVPSYEDLKYQMSGSSNLYNRGFAM >Potri.016G084700.12.v4.1 pep chromosome:Pop_tri_v4:16:6590503:6598784:-1 gene:Potri.016G084700.v4.1 transcript:Potri.016G084700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084700.v4.1 MSDKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAGKDNSDGRCPACRIPYDKEKIVGMAANCERLVAEMNSERKLKSHKGKPKISEGRMHLSNVRVIQRNLVYIIGLPLNIADESLLQRREYFGQYGKVLKVSISRTATGAIQHSANNSCCVYITYGKEEEAVRCIQSVHSFVLEGRSLRACFGTTKYCHAWLKNMPCSIPDCLYLHDFGSEEDSFTKDDLVSAFTRSRVQQIIGATNNLHRRSGNVLPPPADECTDRNISLTAKHDSKTSNQNIMNWDSSSYAESGAGRSNTLHGAASWVTCVSGSLPPVTSFSSSGGTPNHKPETSHGPCALASEVVSTKSSGDVKRTILEGSCEVNPANLTLIDHLPCSPASQDSAGDTALPSAGTSPSKLTKPSCITSLDEDGNFHSDGDLQGLCSGLSSICTDGSLKDEYREPVTSEILISIHKLPKSQVSQQFVSEALRESSFSPTLKECAIVEDLLGFDDQEVQDFGSIHNQPPISSSPSSKQHLEQSWQQGKIHQCTVDVHPSILPPKHDEVAFPFRSGNTVLPNGLHGRQANGLTEWDRSSNHSSVLLEAGSGKCLEEHGNVASGDCKLDQDTDESSIISNILSMDSGVWEDSLTSPQNLVKFLADNDTQQSSLKIPCIRKPQESSQSRFSFARQDGFSNHLSNFEHSLENATNKSPVSNHIIENKEPWMDHYRSISSNITSVESNGFLRKHPFTSSSFSVSKTSTSPPPGFSVPSRAVPPGFLTHGAVHYDFDHSANHFLQNSAPLSRNIGISGDVEFIDPAIMEVGKGFLSARLSNPGFDAKPAFPPQFSPFDHDSELQMLMRQSISAQQNPRLSDHLRNRFSPPDDAYTISPMFLGQSPPNKSSSFTQLTAQQLRNMHMPNGSLGGGWNEVKNISDPCMPEFLGNGGLGFSKFVPSYEDLKYQMSGSSNLYNRGFAM >Potri.016G084700.3.v4.1 pep chromosome:Pop_tri_v4:16:6590101:6598800:-1 gene:Potri.016G084700.v4.1 transcript:Potri.016G084700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084700.v4.1 MSDKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAGKDNSDGRCPACRIPYDKEKIVGMAANCERLVAEMNSERKLKSHKGKPKISEGRMHLSNVRVIQRNLVYIIGLPLNIADESLLQRREYFGQYGKVLKVSISRTATGAIQHSANNSCCVYITYGKEEEAVRCIQSVHSFVLEGRSLRACFGTTKYCHAWLKNMPCSIPDCLYLHDFGSEEDSFTKDDLVSAFTRSRVQQIIGATNNLHRRSGNVLPPPADECTDRNISLTAKHDSKTSNQNIMNWDSSSYAESGAGRSNTLHGAASWVTCVSGSLPPVTSFSSSGGTPNHKPETSHGPCALASEVVSTKSSGDVKRTILEGSCEVNPANLTLIDHLPCSPASQDSAGDTALPSAGTSPSKLTKPSCITSLDEDGNFHSDGDLQGLCSGLSSICTDGSLKDEYREPVTSEILISIHKLPKSQVSQQFVSEALRESSFSPTLKECAIVEDLLGFDDQEVQDFGSIHNQPPISSSPSSKQHLEQSWQQGKIHQCTVDVHPSILPPKHDEVAFPFRSGNTVLPNGLHGRQANGLTEWDRSSNHSSVLLEAGSGKCLEEHGNVASGDCKLDQDTDESSIISNILSMDSGVWEDSLTSPQNLVKFLADNDTQQSSLKIPCIRKPQESSQSRFSFARQDGFSNHLSNFEHSLENATNKSPVSNHIIENKEPWMDHYRSISSNITSVESNGFLRKHPFTSSSFSVSKTSTSPPPGFSVPSRAVPPGFLTHGAVHYDFDHSANHFLQNSAPLSRNIGISGDVEFIDPAIMEVGKGFLSARLSNPGFDAKPAFPPQFSPFDHDSELQMLMRQSISAQQNPRLSDHLRNRFSPPDDAYTISPMFLGQSPPNKSSSFTQLTAQQLRNMHMPNGSLGGGWNEVKNISDPCMPEFLGNGGLGFSKFVPSYEDLKYQMSGSSNLYNRGFAM >Potri.016G084700.15.v4.1 pep chromosome:Pop_tri_v4:16:6590506:6598755:-1 gene:Potri.016G084700.v4.1 transcript:Potri.016G084700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084700.v4.1 MSDKGEKTCPLCAEEMDLTDQQLKPCKCGYEICVWCWNHIMEMAGKDNSDGRCPACRIPYDKEKIVGMAANCERLVAEMNSERKLKSHKGKPKISEGRMHLSNVRVIQRNLVYIIGLPLNIADESLLQRREYFGQYGKVLKVSISRTATGAIQHSANNSCCVYITYGKEEEAVRCIQSVHSFVLEGRSLRACFGTTKYCHAWLKNMPCSIPDCLYLHDFGSEEDSFTKDDLVSAFTRSRVQQIIGATNNLHRRSGNVLPPPADECTDRNISLTAKHDSKTSNQNIMNWDSSSYAESGAGRSNTLHGAASWVTCVSGSLPPVTSFSSSGGTPNHKPETSHGPCALASEVVSTKSSGDVKRTILEGSCEVNPANLTLIDHLPCSPASQDSAGDTALPSAGTSPSKLTKPSCITSLDEDGNFHSDGDLQGLCSGLSSICTDGSLKDEYREPVTSEILISIHKLPKSQVSQQFEVQDFGSIHNQPPISSSPSSKQHLEQSWQQGKIHQCTVDVHPSILPPKHDEVAFPFRSGNTVLPNGLHGRQANGLTEWDRSSNHSSVLLEAGSGKCLEEHGNVASGDCKLDQDTDESSIISNILSMDSGVWEDSLTSPQNLVKFLADNDTQQSSLKIPCIRKPQESSQSRFSFARQDGFSNHLSNFEHSLENATNKSPVSNHIIENKEPWMDHYRSISSNITSVESNGFLRKHPFTSSSFSVSKTSTSPPPGFSVPSRAVPPGFLTHGAVHYDFDHSANHFLQNSAPLSRNIGISGDVEFIDPAIMEVGKGFLSARLSNPGFDAKPAFPPQFSPFDHDSELQMLMRQSISAQQNPRLSDHLRNRFSPPDDAYTISPMFLGQSPPNKSSSFTQLTAQQLRNMHMPNGSLGGGWNEVKNISDPCMPEFLGNGGLGFSKFVPSYEDLKYQMSGSSNLYNRGFAM >Potri.013G155500.1.v4.1 pep chromosome:Pop_tri_v4:13:15063627:15066010:1 gene:Potri.013G155500.v4.1 transcript:Potri.013G155500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155500.v4.1 MELKSKRSLIFFNKEPSLFQCVSFTIFFFLSFVALFSTISSKTTCLGIIRCPLSGKARNFYFQEFEKTRGYGDPMEVSLSMARRFLSGPGSSPPRCTSKCGKCTPCKPVHVPVPPGTPVTAEYYPEAWRCKCGNKLYMP >Potri.014G033200.1.v4.1 pep chromosome:Pop_tri_v4:14:2033580:2036002:1 gene:Potri.014G033200.v4.1 transcript:Potri.014G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G033200.v4.1 MPLNYSSISHIDTLRSPLQPPTFGNQITILSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNEQNRPLFAAKDINDFYLENCPKIFHQDGSPLASAGKLIKSLKGPKYDGKFLHSIVKEKLGDKRLHQTMTNIVIPTFDIKRLQPTIFSSYQVKNDPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVREFNLIDGGVAANNPTLVAVSEVSKEITRKNPDFFPTAPMDYGRFLVLSLGTGTAKCEEKYDADEAAKWGVLGWLTSENSTPLVDVFTEASGDMIDLHISTVFQALHCEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEKLLKKPVARVDLGTGVFTPVDKMTNEEALIKMAKLLSREKHLRNSRSPIGKVATSKGI >Potri.004G135200.4.v4.1 pep chromosome:Pop_tri_v4:4:15650441:15653447:-1 gene:Potri.004G135200.v4.1 transcript:Potri.004G135200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135200.v4.1 MDTVYISHGSPMMAIDESIPARQFLKSWQQTVLKERPKAILVISGHWDTKEPTVNVVNINDTIYDFYGFPKPMYQLKYTPPGAPQLAKRVKELLMENGFKHVHEDKKRGVDHGTWVPLMFMYPEADIPVCQLSVQSDRDGTYHYNMGKALAPLKEEGILVMGSGATTHNLGAMHPEGTPVPSWASQFDTWLKNALLEGRYEDVNHYDSRAPYGKKAHPWPDHFYPLHVAMGAAGENAKAKLLHHSWGNGTLSYASYKFTAAK >Potri.005G108400.1.v4.1 pep chromosome:Pop_tri_v4:5:7821797:7825195:-1 gene:Potri.005G108400.v4.1 transcript:Potri.005G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108400.v4.1 MGCAVSKQDEEDNVVSLCRERKRLLKFAVERRYAFADAQCKYNQSLYGVAMALRLFVARHSSPNSPFLITFPSTSSTNDPKETLICNSNFHQQRPTEATHATISCQDSVSKVSLVSPKLETQKQEVQECSDSEDFEEESDSEDGGGVCSHFYGNEDGEAVCDHFYDEASPLMPSSERGFGWDFFNPFDEVRTEVVNGFRQSSDEDFRAVREKEGIPELEEVNERLKSENEQVDMKIGDVGCKESGVSDVKSGDSANVEIGDSKGLRVIDTPTKGRELLEALKDIEDHFVKAYDSGMEISRMLEANRVQFLSGLDEIKESSNKLARSITWSRSLSSRSSSSKSLLSSSSVSSSMWTELKSDLFDDYGMDAGSHSLTLGRLYAWEKKLYEEVKAGEQTRKLYVRKCSRLRNQDTSEEGLHLIDKSCAEANDLHSRISVALRSVESISDRIQKLRDEELEPQLVELLHGLMRNWKMMLESHETQNRVMLEVNYFNCPAYGKFSNDSHRLATLQLEAELDNWHSSFTAYVSTQKAYIEALGGWLSHFVSPKVEFCSSGNSLVRPYRINWPPLLVTCHDWLACLDKLPRKTVTCAMKSFGKDIHALWNQQGEEQQQKRKVDGLAKELDRRTLAFQRAERRILESKISEQESKLTARNCIEYIAERKNQLEMFRKRLDEEQGKHLASMQETHGITINGFQRGFSSVFESLAEFSKATVKMYSDLGTYMKNAKTEENNDSNISYMEEMGSRAPSCKA >Potri.012G107800.2.v4.1 pep chromosome:Pop_tri_v4:12:12916424:12918235:1 gene:Potri.012G107800.v4.1 transcript:Potri.012G107800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107800.v4.1 MKFRQKYQLGDLRPSPRPFHCSPSRALLWLCFSISLLYNLYILNLLDYSMTPNNIKHFNKPYILSIEEHKKAENTSLHHLVFGIAGSSHTWSERQKCIQLWWRPDEMRGAVWLDQIVKNGTNDHLVPQIKISSNTSPFKYENPIGDRSAIRLTRIVSETLKLSMKDVRWFVMGDDDTLFFPDNLVKVLSKYDHNQYYYIGSTSESHKQNIVYNYGMAYGGGGFAISYPLAKALAKMQDRCIERYPGLYGSDDRIHACMSELGVPLTNERGFHQNDFYGNIFGILAAHPITPLVSLHHYKVTNAIFPRMDKLEALEKLRVPAKLDSAALMQQSICYDAARNWTISVSWGYAVQIIRGILHPREIEMIARTFYSWYQTVEREGFIFNNRPYYEHVCQKPFVHFFSNATYNSSTDQTLSEYIRHDHRYPRCDWKMADPLPIARVEVLKRPDPYVWDRAPRRNCCRILPTEKNDTLVVDVGECREGESIEVR >Potri.005G004500.2.v4.1 pep chromosome:Pop_tri_v4:5:364618:367767:1 gene:Potri.005G004500.v4.1 transcript:Potri.005G004500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004500.v4.1 MAFNPVTQILILLSLMPSTYDVFLSFRGEDTRRNFTDHLYKALSREGIPTFRDDDGIRRGENIESEINKAIQETKLSIIVFSKKYASSRWCLDELAMIMERRTVGHIVFPVFYDVDPSEVGTQTGRYGEEFAKHEIHFKDRVEGWRKALKEVAYMEGMVLEDGYESKFIESIVKEIADKLNFSLPHAPPSSLPLSAALRPPSYFLGLLREWRRSFFQTSSLFLFFLSPL >Potri.010G202400.9.v4.1 pep chromosome:Pop_tri_v4:10:19447933:19453201:-1 gene:Potri.010G202400.v4.1 transcript:Potri.010G202400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202400.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARGRGLNKMKVEDSQICAFELLASLAGKLLQESESSASSNASEANDQPIIGGGVKFEQDDDRPLKAECLDHGSCGESALFTRFSSPNSDQKCLLNEFPHAESNLFLERSSMITNSNSSKNGGADLKSVICKSKSACENIPGKVEGSSDSRVSCDGYVDNGLSRQKRCDGLDTRGLIVDPCSSNDPMEMCMKFPALINSVNNVELPSCRDPVPSASIPRHRNGTKLGIRDDDENFTRCNKPLTKSKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKDCEFSKPAFLEGGAKSHYLKRKLCYSRERYQLNTFYKRRKFTDHSVVVTSDGGFSSESVCNSPDKNMTGDKNGASIMFHGHQASFHSKDSQVKFSIKSFRVPELLIEVPETATVGSLKRTVVEAVSAILGGGLRVGVLLHGKKVRDDNRTLLQTGITSNENLDTLGFSLEPTPVQVSPPLCTEDPAALLPCDTSQLILRSPTTPIVDSGVSDALPDPPPLTNLDTNIESNRESVSSHADIVTDNTLPDSRALVAVPPVNAEELAMVPLNQKSKRSELVQRRTRRPFSVSEVEALVHAVEELGTGRWRDVKLCSFEDADHRTYVDLKDKWKTLVHTAQIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQTAILKITDAHAVRNGVQDIQSI >Potri.010G202400.2.v4.1 pep chromosome:Pop_tri_v4:10:19447366:19451734:-1 gene:Potri.010G202400.v4.1 transcript:Potri.010G202400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202400.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARGRGLNKMKVEDSQICAFELLASLAGKLLQESESSASSNASEANDQPIIGGGVKFEQDDDRPLKAECLDHGSCGESALFTRFSSPNSDQKCLLNEFPHAESNLFLERSSMITNSNSSKNGGADLKSVICKSKSACENIPGKVEGSSDSRVSCDGYVDNGLSRQKRCDGLDTRGLIVDPCSSNDPMEMCMKFPALINSVNNVELPSCRDPVPSASIPRHRNGTKLGIRDDDENFTRCNKPLTKSKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKDCEFSKPAFLEGGAKSHYLKRKLCYSRERYQLNTFYKRRKFTDHSVVVTSDGGFSSESVCNSPDKNMTGDKNGASIMFHGHQASFHSKDSQVKFSIKSFRVPELLIEVPETATVGSLKRTVVEAVSAILGGGLRVGVLLHGKKVRDDNRTLLQTGITSNENLDTLGFSLEPTPVQVSPPLCTEDPAALLPCDTSQLILRSPTTPIVDSGVSDALPDPPPLTNLDTNIESNRESVSSHADIVTDNTLPDSRALVAVPPVNAEELAMVPLNQKSKRSELVQRRTRRPFSVSEVEALVHAVEELGTGRWRDVKLCSFEDADHRTYVDLKDKWKTLVHTAQIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQTAILKITDAHAVRNGVQDIQSI >Potri.010G202400.1.v4.1 pep chromosome:Pop_tri_v4:10:19447932:19453038:-1 gene:Potri.010G202400.v4.1 transcript:Potri.010G202400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202400.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARGRGLNKMKVEDSQICAFELLASLAGKLLQESESSASSNASEANDQPIIGGGVKFEQDDDRPLKAECLDHGSCGESALFTRFSSPNSDQKCLLNEFPHAESNLFLERSSMITNSNSSKNGGADLKSVICKSKSACENIPGKVEGSSDSRVSCDGYVDNGLSRQKRCDGLDTRGLIVDPCSSNDPMEMCMKFPALINSVNNVELPSCRDPVPSASIPRHRNGTKLGIRDDDENFTRCNKPLTKSKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKDCEFSKPAFLEGGAKSHYLKRKLCYSRERYQLNTFYKRRKFTDHSVVVTSDGGFSSESVCNSPDKNMTGDKNGASIMFHDAANGVSSSVIGHQASFHSKDSQVKFSIKSFRVPELLIEVPETATVGSLKRTVVEAVSAILGGGLRVGVLLHGKKVRDDNRTLLQTGITSNENLDTLGFSLEPTPVQVSPPLCTEDPAALLPCDTSQLILRSPTTPIVDSGVSDALPDPPPLTNLDTNIESNRESVSSHADIVTDNTLPDSRALVAVPPVNAEELAMVPLNQKSKRSELVQRRTRRPFSVSEVEALVHAVEELGTGRWRDVKLCSFEDADHRTYVDLKDKWKTLVHTAQIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQTAILKITDAHAVRNGVQDIQSI >Potri.010G202400.5.v4.1 pep chromosome:Pop_tri_v4:10:19447930:19453038:-1 gene:Potri.010G202400.v4.1 transcript:Potri.010G202400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202400.v4.1 MVVKKRQYYGFNGFHMPPVPRAPRSARGRGLNKMKVEDSQICAFELLASLAGKLLQESESSASSNASEANDQPIIGGGVKFEQDDDRPLKAECLDHGSCGESALFTRFSSPNSDQKCLLNEFPHAESNLFLERSSMITNSNSSKNGGADLKSVICKSKSACENIPGKVEGSSDSRVSCDGYVDNGLSRQKRCDGLDTRGLIVDPCSSNDPMEMCMKFPALINSVNNVELPSCRDPVPSASIPRHRNGTKLGIRDDDENFTRCNKPLTKSKAFRPPQRIGDRRIRKLLTSKYWKVAPKLKDCEFSKPAFLEGGAKSHYLKRKLCYSRERYQLNTFYKRRKFTDHSVVVTSDGGFSSESVCNSPDKNMTGDKNGASIMFHANGVSSSVIGHQASFHSKDSQVKFSIKSFRVPELLIEVPETATVGSLKRTVVEAVSAILGGGLRVGVLLHGKKVRDDNRTLLQTGITSNENLDTLGFSLEPTPVQVSPPLCTEDPAALLPCDTSQLILRSPTTPIVDSGVSDALPDPPPLTNLDTNIESNRESVSSHADIVTDNTLPDSRALVAVPPVNAEELAMVPLNQKSKRSELVQRRTRRPFSVSEVEALVHAVEELGTGRWRDVKLCSFEDADHRTYVDLKDKWKTLVHTAQIAPQQRRGEPVPQELLDRVLAAHAYWSQHQAKQHSKNQTAILKITDAHAVRNGVQDIQSI >Potri.006G242000.4.v4.1 pep chromosome:Pop_tri_v4:6:24353258:24356126:1 gene:Potri.006G242000.v4.1 transcript:Potri.006G242000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242000.v4.1 MESNSETKQHTLLSSDYGIQRTQYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERIKPVGKHSIIGASGEISDFQEIMRYLDEQVLNDNMWDDRNSLGPKEIHSYLTRVMYNRRNKFDPLWNTLILGGVKKGQKFLGMVTMIGVNFEENHIATGFGNHMAQPLLRAEWHENLTFEEGVTLLEKCMRVLLYRDRSAVNKFQIAKITEEGVTISQPYALKTFWGYKAFENPTVGAEGSW >Potri.006G242000.1.v4.1 pep chromosome:Pop_tri_v4:6:24353361:24355972:1 gene:Potri.006G242000.v4.1 transcript:Potri.006G242000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242000.v4.1 MESNSETKQHTLLSSDYGIQRTQYPYVTGTSVVALKYKDGILMAADMGGSYGSTLRYKSVERIKPVGKHSIIGASGEISDFQEIMRYLDEQVLNDNMWDDRNSLGPKEIHSYLTRVMYNRRNKFDPLWNTLILGGVKKGQKFLGMVTMIGVNFEENHIATGFGNHMAQPLLRAEWHENLTFEEGVTLLEKCMRVLLYRDRSAVNKFQIAKITEEGVTISQPYALKTFWGYKAFENPTVGAEGSW >Potri.009G056500.2.v4.1 pep chromosome:Pop_tri_v4:9:5983825:5988118:1 gene:Potri.009G056500.v4.1 transcript:Potri.009G056500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056500.v4.1 MGPPPGSKRSPPKKRSSTTPTSIRSLEHDALCIIFSYLGLFDVVRSSAVCKFWNEIIKRSKLLQLLYLKQQRRSSRSDFSEESLNVYLEELAIEHQRQSLVQGSLHIDQWKGHSLGVDQCRMKRGLVLTGVGDKVMRLWSLESYKCIEEYSIPDGVPLVDFDFDESKIVGLVGTRICIWRRNGQRSIFPSREGQFMKGSCMRYFDPEAVVGCEDGTARVFDMYSKKCSRIVRMHTEPVTCLSLSEDQLIISGSSLGRIAISGLSSDQRVATLRPTDSTGIKSLCFNPVSHQVFAGTTAGYASCWDLRTMRQLWKTRVSPNVVYSLQHMRSDTSTLVVGGIDGVLRVLDQNTGEVLSSYVMDHGTSTSSGYTHGVIERKIGKRLPYDYNIDRIPKTVRPPITCLAVGMQKVITTHNIKNIRMWKFNNLRELN >Potri.017G037000.1.v4.1 pep chromosome:Pop_tri_v4:17:2455919:2456853:-1 gene:Potri.017G037000.v4.1 transcript:Potri.017G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G037000.v4.1 MADLDHSSSDDNSVDSREETSQDSKLEFSEDEETLITRMYNLVGERWPLIAGRIPGRTAEEIEKYWTSRYSTSQ >Potri.005G038200.1.v4.1 pep chromosome:Pop_tri_v4:5:2462941:2463708:-1 gene:Potri.005G038200.v4.1 transcript:Potri.005G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038200.v4.1 MDHKGHQIHSLDICNRLYNLVTKIVSAQAFKTVKLGHPVHQNLAKTQNGAIHEGDHEVHPRTTDQGEEPGCEDVKQEDSLKNWDAFASSCSSSNDREQEEAPLPCSLVAQAKPAKIKKMVSINDRVEEIGTRKKMKRSWKSTEKLPSIDLEEDIVQPVKSILKKDSFEHCDLAEKSIFFIHCDSI >Potri.001G298233.1.v4.1 pep chromosome:Pop_tri_v4:1:30900788:30901081:1 gene:Potri.001G298233.v4.1 transcript:Potri.001G298233.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298233.v4.1 MKAVGSSELGLLPAEGDDEENGFVLASSWGENGKGELRWLLVKEGLLLAGEGRRRCWNRLEREKENEGLCRKGTPAGRGKPKTWGAGSLQQGKGGFG >Potri.002G068900.1.v4.1 pep chromosome:Pop_tri_v4:2:4774240:4785491:-1 gene:Potri.002G068900.v4.1 transcript:Potri.002G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068900.v4.1 MSSDSDDDDELLQMALKEQSQRDLNYQRPPSNQRKPVVNFVQQPRQPPPPQRPAPTKNMANQTKSRIAVEDDDDSEVEMLSISSGDEEVSKDRGGGGGAAARGRGGRGAGGREEERGWDGEEPDCWKRVDEAELARRVRDMRESRTAPVAQKFERKPSALARKGLNTLQSFPRGMECIDPLGLGIIDNKSLRLITDSSESSPSKSDRDHLDNILREKLLYFSENFDAKLFLSRIHQDTSAAELEAGALALKTDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLRRIEEDPEGSGTSHLYNCMQGVSSLANRAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSTIRGSIGKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKVMNEFKGTLYKSMEDPQIDLTNLENTVRLLLELEPESDPVWHYLNVQNHRIRGLLEKCTLDHEARMETLHNEMRERALSDAKWRQIQQNLNQSSDVDHSLMMGNIPPPVDSQPVDLSGEEVDALRGKYIRRLTAVLTHHIPAFWKVALSVFSGKFAKSSQVSAESNVNASATKSEEKVGDGRYSAHSLDEVAGMIRGTISAYETKVHNTFHDLEESNILQSYMSDAIKEISKACQAFEVKESAPPTAVMALRTLQAEITKIYIIRLCSWMRAMTEEISKEETWIPVSILERNKSPYTISFLPLAFRSVIASAMDQISQMIQSLRSEAGRSEDMFALLQEIQESVRLAFLNCFLDFAGHLEQIGSELAQNKSSKESLHLQNGYSHESEEKLSSNLQGSVVDSHQQLLLVLSNIGFCKDELSYELFNKYKTIWLQSREKDEEGSDIQDLVMSFSGLEEKVLAQYTFAKANLIRTAAMNYLLNSGVQWGAAPAVKGVRDAAVELLHTLVAVHSEVFAGAKPLLDKTLGILVEGLIDTFLSLFHENKSKDLRSLDANGFCQLMLELEYFETILNPYLTPDARESLKSLQGVLLEKATENVTEAVENPGHQRRPTRGSEDALADDRLQGMTVSPDDLIALAEQCSSELLQSELERTRINTACFIESIPLDSVPESAKAAYAYRGSMDSPRSYMDSPGRNYRGSQAMGSPGFSRHRRR >Potri.018G015200.1.v4.1 pep chromosome:Pop_tri_v4:18:1065614:1070464:-1 gene:Potri.018G015200.v4.1 transcript:Potri.018G015200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G015200.v4.1 MGEISLNELEARADAAGIDLSQIDLDSIQLPPGDDFGIISDDEDVYQEEQMDFDYGLGNTIVVDNLPVVPKEKFDKLEGVVRKIYSQIGVIKEDGLWMPVDPDTHKTLGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVNMIEDFNRFMKVPDEWAPPEIRPYVPGENLQNWLTDEKARDQFVIRAGSDTEVFWNDARHLKPDPVYKRAYWTESYVQWSPLGTYLATVHRQGAAVWGGASTFNRLMRYAHPQVKLIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKAMRDFKGSADEFAIGGTGGVAGVSWPVFKWGGGKDDKYFAKIGKNMISVYETESFSLVDKKSLKAESVVDFSWSPTDPILALFVPELGGGNQPARVSLIQIPSKEELRQKNLFSVSDCKLYWQSNGDYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDSPRPDVSFYSMRSAHNTGRVSKLTTLKGKQANALFWSPSGRYIILAGLKGFNGQLEFYNVDELETMATAEHFTATDIEWDPTGRYVATSVTSVHHEMENGFNIWSFNGKLLYRILKDHFFQFLWRPRPASFLSPEKEEEIAKNLKKYSKKYEAEDQDVSLLLSEQDREKRRMLKDEWEKWVSEWKRLHEEEKLLRQMLRDGEASDEEEEYEAKEVEVEELLDVSEEVLFEFGQE >Potri.011G127250.1.v4.1 pep chromosome:Pop_tri_v4:11:15613267:15613718:-1 gene:Potri.011G127250.v4.1 transcript:Potri.011G127250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127250.v4.1 MKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIIRQRKPWRRKDGVFMYFEDNAGVSVNLKGEMKGSAITGPIGKECADLWPRIASAANANCSFSLGIILSLSPICFCRVNISCVFCYFD >Potri.008G113200.1.v4.1 pep chromosome:Pop_tri_v4:8:7199470:7204431:-1 gene:Potri.008G113200.v4.1 transcript:Potri.008G113200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113200.v4.1 MDMSDKDKFELEESNDNPINYRSPGGMSLDRRFNSTSIPNSSLGLVPTDNQMSVCRGDLAEAVAASCSSASVIDSFGPAMWEHPTNSQNLVFCDINVQNIASSSNTAGIGKGFPASLRNGIDRTLEMGWNPPNPMLKGGNFLPNASGMLPQSLSQFPADSAFIERAARFSCFNGGNFSDMGNPFGVPESMGIFSRGGGMMQGPGEVFMGSGMKSVSGGRAPKNVMNAVEASKDVSMSVNHMATEGSPLKNETKSESLARSRDEAKQGMGGSGNDSDEAEFSGGGGQDEPSMLEGNCRELSAKSLGSNKRKRNGQDAELDQAKGTPQSAEPAKGSPETQQKGDQKPTSTTSKDAGKQGKQGSLGSDQPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLAKDVFDPKILQLHAVPPSSLAFSLEMPMAYPPSHPSQAGLIPTAFPGMNNHSDIICRTINSQLTPMTAGFKEPAQLPNVWDDELRNVVQMSYETSAPHDSQDVNKPLPPGHLKVEL >Potri.008G113200.3.v4.1 pep chromosome:Pop_tri_v4:8:7199653:7204519:-1 gene:Potri.008G113200.v4.1 transcript:Potri.008G113200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113200.v4.1 MDMSDKDKFELEESNDNPINYRSPGGMSLDRRFNSTSIPNSSLGLVPTDNQMSVCRGDLAEAVAASCSSASVIDSFGPAMWEHPTNSQNLVFCDINVQNIASSSNTAGIGKGFPASLRNGIDRTLEMGWNPPNPMLKGGNFLPNASGMLPQSLSQFPADSAFIERAARFSCFNGGNFSDMGNPFGVPESMGIFSRGGGMMQGPGEVFMGSGMKSVSGGRAPKNVMNAVEASKDVSMSVNHMATEGSPLKNETKSESLARSRDEAKQGMGGSGNDSDEAEFSGGGGQDEPSMLEGNCRELSAKSLGSNKRKRNGQDAELDQAKGTPQSAEPAKGSPETQQKGDQKPTSTTSKDAGKQGKQGSLGSDQPKEEYIHVRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCSKVTGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRLDLNIEGLLAKDVFDPKILQLHAVPPSSLAFSLEMPMAYPPSHPSQAGLIPTAFPGMNNHSDIICRTINSQLTPMTAGFKEPAQLPNVWDDELRNVVQMSYETSAPHDSQDSHCHQAI >Potri.002G201000.1.v4.1 pep chromosome:Pop_tri_v4:2:16394409:16400661:1 gene:Potri.002G201000.v4.1 transcript:Potri.002G201000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201000.v4.1 MAVEGGALSFSVASVVEDVLQQHGNRLRDLDLDSRKAEEAASRRYEAAGWLRKMVGVVAGKDLPAEPSEEEFRLGLRSGIILCNALNKVQPGAVPKVVESPCDAALIPDGAALSAFQYFENVRNFLVAVQEMGIPNFEASDLEQGGKSARVVNTVLALKSYNEWKQTGGNGIWKFGGNVKPTVSAKSFVRKNSEPFMNSLSRNLSMNEKSFNTLSSDLENSNKMPGSGSLSMLVRAVLLDKKPEEVPALVESVLSKVVEEFENRIASQYDMVKAAPKEMTISQGNRFLLKSACGDKRTEEKNVRVMKKEECFHKNLIDDEELKNKTQKQQIIFSQQQRDIQELKNTLRTTKAGMHFMQMKFHEEFNSLGMHIHGLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGQSNYMSTVDHIEDGNITISTASKHGKGCKSFSFNKVFGPLATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLTDKNQGVNYRALGDLFLLAEQRKDTFCYNVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSHTGLNVPDANIIPVSSTCDVIDLMYLGHRNRAVGATALNDRSSRSHSCLTVHVQGRDLTSGTILRGCMHLVDLAGSERVNKSEVTGDRLIEAKHINKSLSALGDVIASLAQKNPHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDAVGETISTLKFAERVATVELGAAQVNKDGADVKELKEQISSLKAALAKKEREPEHRRKESESSPFNSNHRLGDANDSNASFRQPMGDVGNIEVHTKSAPRQKRQSFDLDEILANSPPWPPVISPGQKYPEDEKEMGSGEWVDKVMVNKQDAVNRVEKSLGCWDAENGNLPDAFYQKYLSDSSKIYPEQSYNMFAGNNQINLANNDDMDDIDAATSDSSEPDLLWQFNQSKFTGITNGIDSKTSKAISKAARNPELSKNLNPSLGPSPSRKSGNGVGVPPQQNRRQAAPVDGKRKNASRK >Potri.018G004200.1.v4.1 pep chromosome:Pop_tri_v4:18:338428:343698:-1 gene:Potri.018G004200.v4.1 transcript:Potri.018G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004200.v4.1 MAIRHCFDKDVDQVLFEGNSCVKKVILNRPHKLNSLTYHMTCQMIKELKAYEVDPKVKIVILKGNGKAFCAGGDVLASYTCMVAGHWSYGTNFYKKQIMLDYLVATYGKPVVAIIDGIVMGGGAGLSLQGTFRIVTENTVFAMPETAIGHFTDVGSSYFLSRLPGFFGEYLGLTGAKIRGAEMVECGLATHFMLAKDVRLLETALVEVTSTDTKTISEIISKFMHKPNVKQHGAFSRLEIINKCFSRTTVEEILSSLESEAGTESEKWILDAINWMKSACPTSLKISLRSIREGRKQGLEQCLIQECTIVCHILRRTVSNDFYEGIRAILLDKDKNPKWEPSKLELVTDEMLGRYFSRVDEDDMESLQLPTRSNLVDTMRPKL >Potri.013G139080.1.v4.1 pep chromosome:Pop_tri_v4:13:15637481:15638224:-1 gene:Potri.013G139080.v4.1 transcript:Potri.013G139080.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpI MNVLSYSINTLKGLYEISGVEVGQHFYWKIGGFQVHAQVLITSWVVIVILLGSAIVTVRNPQTIPTDGQNFFEYILEFIRDVSKTQIGEEYGPWVPFIGTLFLFIFVSNWSGALLPWKIIELPHGELAAPTNDINTTVALALLTSIAYFYAGLSKKGLGYFGKYIQPTPILLPINILEDFTKPLSLSFRLFGNILADELVVVVLVSLVPSVVPIPVMFLGLFTSGIQALIFATLAAAYIGESMEGHH >Potri.001G061100.1.v4.1 pep chromosome:Pop_tri_v4:1:4676959:4679881:1 gene:Potri.001G061100.v4.1 transcript:Potri.001G061100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061100.v4.1 MALKAAHVYDVPKLDQVQENAALALCSSRLITQCVNIEGSDDTKRGFKFGKFVVMGHRGSGKNMLQSCDRRMKSIKENSILSFISASKLPLDFIEFDVQVTKDDCPVIFHDNFILTEHKGELIEKRVTDLTLDEFRSYGPQDEGGSEGKSLFKKTKDGRIFEWKVEEDAPFCTLQEVFQRVDDTMGFNVELKFDDNIIYKEEELKHILQVILQVVFEHAKERPVMFSSFQPDAALLMRKLQSTYPVFFLTNGGSEIYTDVRRNSLDEAIKVCTEGGLQGIVSEVKAVFRNPGAVTRIKESKLSLITYGQLNNVPEVVHMQHLMGIEGVIVDLVHEITEAVSHFVDSAKDGEESGLFEEEGKIQVKTKPRFSSEELSFLMKLISEFIQS >Potri.010G014100.3.v4.1 pep chromosome:Pop_tri_v4:10:2363033:2366789:1 gene:Potri.010G014100.v4.1 transcript:Potri.010G014100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014100.v4.1 MLLRNQGIVLTYNSRCWYILINSRKLASFSNGPPSDSGGKSHLCNEGVNQENSRNFDGVRRGVDDVCHVLESGSWGPSLENSLSMFNEKPQPELVIGVLRRLKDVNQAVNYFRWVERKSEEPLSPEAYNSLLMVMVRTRNFDYLEQILGEMSIAGFGPTNYTCVELVASCVKSRKLIEAFDLLQMMRHFKFRPAFSAYTTLIGALSEVGESDRMLALFNQMQELGYEVNVHLLTTLIRVFSREGRVDAALSLLDEMKSNTFDADIVLYNVCIDCFGKVGKVDMAWKFFHEMKANGLVPDDVTYTSMMGVLCKANRLDEAVEIFEQMEQNRQVPCAYAYNTMIMGYGSAGKFDEAYSLLERQRAKGCIPSVVAYNCILTCLGKKGKTDKALRIFEEMKRDAMPNLPTYNIIIGMLCKAGNVEAAFKVRDAMKEAGLFPNVRTINIMIDRLCKAQKLDEACSIFEGMDHKVCSPDGATFCSLIDGLGKQGRVDDAYRIYERMLDADQIPNVVVYTSLIRNFFKCDRKEDGHKMYKEMMRSGCSPDLMLLNTYMDCVFKAGETEKGRALFEEIKARGFLPDTRSYSILIHSLVKAGFARETYELYYAMKDQGCVLDTRAYNTVIDGFCKSGKVNKAYQLLEEMKTMGHHPTVVTYGSVVDGLAKIDRLDEAYMLFEEAKSNGIELNQVIYSSLIDGFGKVGRVDEAYLVMEEMMQKGLTPNVYTWNCLLDGLVKAEEINEALVCFQSMKDLKCTPNQITYCILINGLCKVRKFNKAFVFWQEMQKQGLKPNTITYTAMISGLAKSGNVAQASSLFERFRASGGIPDSASYNAMIEGLSIANRALDAYQLFEETRLKGCSIHTKTCVALLDALHKAECLEQAAIVGAVLRETAKSQHAVRSW >Potri.010G014100.7.v4.1 pep chromosome:Pop_tri_v4:10:2363032:2367286:1 gene:Potri.010G014100.v4.1 transcript:Potri.010G014100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014100.v4.1 MLLRNQGIVLTYNSRCWYILINSRKLASFSNGPPSDSGGKSHLCNEGVNQENSRNFDGVRRGVDDVCHVLESGSWGPSLENSLSMFNEKPQPELVIGVLRRLKDVNQAVNYFRWVERKSEEPLSPEAYNSLLMVMVRTRNFDYLEQILGEMSIAGFGPTNYTCVELVASCVKSRKLIEAFDLLQMMRHFKFRPAFSAYTTLIGALSEVGESDRMLALFNQMQELGYEVNVHLLTTLIRVFSREGRVDAALSLLDEMKSNTFDADIVLYNVCIDCFGKVGKVDMAWKFFHEMKANGLVPDDVTYTSMMGVLCKANRLDEAVEIFEQMEQNRQVPCAYAYNTMIMGYGSAGKFDEAYSLLERQRAKGCIPSVVAYNCILTCLGKKGKTDKALRIFEEMKRDAMPNLPTYNIIIGMLCKAGNVEAAFKVRDAMKEAGLFPNVRTINIMIDRLCKAQKLDEACSIFEGMDHKVCSPDGATFCSLIDGLGKQGRVDDAYRIYERMLDADQIPNVVVYTSLIRNFFKCDRKEDGHKMYKEMMRSGCSPDLMLLNTYMDCVFKAGETEKGRALFEEIKARGFLPDTRSYSILIHSLVKAGFARETYELYYAMKDQGCVLDTRAYNTVIDGFCKSGKVNKAYQLLEEMKTMGHHPTVVTYGSVVDGLAKIDRLDEAYMLFEEAKSNGIELNQVIYSSLIDGFGKVGRVDEAYLVMEEMMQKGLTPNVYTWNCLLDGLVKAEEINEALVCFQSMKDLKCTPNQITYCILINGLCKVRKFNKAFVFWQEMQKQGLKPNTITYTAMISGLAKSGNVAQASSLFERFRASGGIPDSASYNAMIEGLSIANRALDAYQLFEETRLKGCSIHTKTCVALLDALHKAECLEQAAIVGAVLRETAKSQHAVRSW >Potri.018G020900.1.v4.1 pep chromosome:Pop_tri_v4:18:1493287:1494789:1 gene:Potri.018G020900.v4.1 transcript:Potri.018G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020900.v4.1 MEMSIAPPKEDSIQIREVWNDNLEEEFALIREIVDQFNHVAMDTEFPGVVLRPVGNFKNISDYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDKFCIWQFNFREFNVTEDIFASDSIELLRQCGIDFKKNSEMGIDVNRFGELLMSSGIVLNDGVNWVTFHSGYDFGYLLKLLTCRSLPDSQAGFFDLINMYFPMVYDIKHLMKFCNSLHGGLNKLAELLEVERIGVCHQAGSDSLLTSSTFKKLKDNFFSGSTEKYAGVLYGLGVENGQNTN >Potri.008G071100.1.v4.1 pep chromosome:Pop_tri_v4:8:4360191:4361171:-1 gene:Potri.008G071100.v4.1 transcript:Potri.008G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071100.v4.1 MDNSSHPPQEPTATTATTISSNDKNIDNNTTATTPTTTTTSDTNSNNNSSGSSRKCKGKGGPDNGKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFATAEDAARAYDRAAIILYGSRAQLNLQPSGSSSSAQSEPTSLNSASSSSQTLRPLLPRPPGFVFTFSLTNSMVSPSVTAASSGFTPPGVNYHSNNVAGSALPCLSNNDMLIQNHQQVMLQRYLNQYGANTSNPNNIFDSSSVATSTTPSYHSHCLPQHHACNDVSSLVGSVGSSFSLSGRNTQPIVAPVGHLQDPAMHVGAGSPSVWNDDEYPPPSIWDDEDPFLFDF >Potri.018G038500.1.v4.1 pep chromosome:Pop_tri_v4:18:3067282:3072446:-1 gene:Potri.018G038500.v4.1 transcript:Potri.018G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038500.v4.1 MATTYDYDDAPTRHDEQTGPDGYDPNFVPDSVKSFVTHLYRHIREKNVYDIYEMYETSFQTLSDRFFKDSPWPSVDAVANYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCNLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIDLLRQFDQAWNVYGVLNFLQALVEKSMIIQILEREKEGLEQFTATDGYDYSGGSNVLKVLGYFSTVGLLRVHCLLGDYHTGLKCLLPIDINQHGVYATVIGSHISTIYHYGFANLMLRRYVDAIREFNKILLYIYKTKQYHQKSSQYEQILKKNEQMYALLAICLSLCPQVKLIDETVNAQLREKYGEKMARMQRCDGEAFAIYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSAISVGKLANYMEVDEPTLRTILMTYKHKTHAVDSEGKIISNADVDFYIDDDMIHVVDTKPVRRYGDYFLRQIVKLEGVINDLDRIKLE >Potri.013G066200.1.v4.1 pep chromosome:Pop_tri_v4:13:4913805:4918204:1 gene:Potri.013G066200.v4.1 transcript:Potri.013G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066200.v4.1 MGIKLFMISFMVTSILFSLLYIPTKLTTPNAKYNPVINLNMLKDLKPYPVTFAYLISASRGDAKRLTRVLKALYHPGNYYLIHVDADAPEKEHREIAEFVSSDPVFGLVGNVWIVGKPNLVTYRGPTMLATTLHAMAILLRTCKWDWFINLSASDYPLVTQDDLIDAFSTLPRNLNFIQHSSRLGWKLNKRAKPIMIDPGLSSLNKSEIWWVNKQRSLPTAFKLYTGSAWTILSRSFAEYSIVGWDNLPRTLLLYYTNFVSSPEGYFQTVICNSEDYKNTTVNHDLHYITWDTPPKQHPRSLGVKDYRRMILSSRPFARKFKRNDPVLDKIDRELLKRYKGQFAHGGWCARSGKRHGTCSGLQNENYGVLRPGPGSRRLQNLLTKLLSEKNFKRQCR >Potri.015G113500.1.v4.1 pep chromosome:Pop_tri_v4:15:12954715:12956916:-1 gene:Potri.015G113500.v4.1 transcript:Potri.015G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113500.v4.1 MIWLTDEGGNALSSALYSPIRKLTRSERWSRSIAQYNLISSSIESKPPKCLEFLGIDEMMRQMNVNRKDMNGGLQDFIFGHLQKNSLKIKEDFNFIDKNFRRKIIEICYCVDKDANNVPSEYETSRCLSEYMMYLLVMRPDTLSQGIGDEGYLHSLRDLDSIISKEEVVDAILFYYESYVIDDIRFQFRWKETKLAVAGGDRLAKQLRLLGFKKRWEIIEEVWMEMLAYAAAHCPWKEHAQQLRRGGELLTHVCFLMLHLGLSEQYEYNSLKDYNSLNDYIRGHDLVLPWEGEEYREAIDRYLRDNTDMSLSSSTEEVKELKRTVAYKDEELERKERELEQLRSFLVASTP >Potri.011G148700.2.v4.1 pep chromosome:Pop_tri_v4:11:17611514:17613037:-1 gene:Potri.011G148700.v4.1 transcript:Potri.011G148700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148700.v4.1 MKTILSSETMDIPDGVKIKINARIIEVEGPRGKLTRNFKHLNLDFQLIKDEEGKRKLKIDAWFASRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSSTAIEIRNFLGEKKVRKVDMLDGVSIVRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVFEEE >Potri.006G234300.3.v4.1 pep chromosome:Pop_tri_v4:6:23685168:23686337:-1 gene:Potri.006G234300.v4.1 transcript:Potri.006G234300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234300.v4.1 MMIGELSHHRSNPTVQIPQWDPYEEQTTTSPSLSPIPTSPFTNFNALDSLTSLHRYLPSNEPDPTFEDELDLPVDAFSCDHFRMYEFKVKRCARGRSHDWTECPYAHPGEKARRRDPRRYHYSGTACPEFRKGGCKKGDACEFAHGVFECWLHPARYRTQPCKDGPACRRRVCFFAHTPEQLRLLPQQSPKGNGSGSGLGSGEYDFGSPVIHPFDSYMTKAGIFVSSPTSILTSPPVSPPSDSPPMSPVSPQVIGGSGPGSLNSMSALLASMRGLQVGKAKMGSPVGSWGVQSGFRFGSPRGSSLRPGFCSLPSTPTRTMASRSGLSQLDIWGDGVTCEEEPAMERVESGRDLRAKIYAKLSKENSVDRDRGDSGVSGPDVGWVSELVK >Potri.006G234300.4.v4.1 pep chromosome:Pop_tri_v4:6:23684810:23685314:-1 gene:Potri.006G234300.v4.1 transcript:Potri.006G234300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234300.v4.1 MERVESGRDLRAKIYAKLSKENSVDRDRGDSGVSGPDVGWVSELVK >Potri.001G458900.1.v4.1 pep chromosome:Pop_tri_v4:1:48419477:48420849:1 gene:Potri.001G458900.v4.1 transcript:Potri.001G458900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX14 MVSRLSLACVVFSLFLISSCLPCQAQLSSNFYDSTCPNALTTIRTAIRRAVSSERRMAASLIRLHFHDCFVQGCDASIMLDNSPSIDSEKFSFSNNNSIRGFEVIDDAKAQVESICPGVVSCADIAAVAARDASVAVGGPSWTVRLGRRDSTTASRSLADSDIPRATTSLVNLIGMFNGKGLSERDMVALSGSHTIGQARCVTFRGRIYDNSSDIDAGFASTRRRNCPSASGNGNNNLAPLDLVTPNSFDNNYFRNLIQRRGLLQSDQELFSGQSTDSIVTEYSRNASLFSSDFAAAMLRMGDIEPLTGSQGEIRRVCSVVN >Potri.002G248200.4.v4.1 pep chromosome:Pop_tri_v4:2:23842199:23844939:1 gene:Potri.002G248200.v4.1 transcript:Potri.002G248200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248200.v4.1 MGFNCASKATAIFLLLSVTALVSAKKSGDVKELQIGVKYKPETCEVQAHKGDSIKVHYRGKLTDGTVFDSSFERGDPIGFELGSGQVIKGWDQGLLGACVGEKRKLKIPAKLGYGEQGSPPTIPGGATLIFDTELVEVNGKTSSGGGASDSEL >Potri.001G070700.1.v4.1 pep chromosome:Pop_tri_v4:1:5336491:5337728:-1 gene:Potri.001G070700.v4.1 transcript:Potri.001G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070700.v4.1 MGIRFLNLQIIPWCFHLMGNPISCLQIQSEPPAGTIKLIRSDGLVKIYDRPIYVSELMVEFPKHLVCHSDSFYIGQKIPALSENDLLQLGHKYFLLPKHCFQSVLSFVTIASFASSSLQPQPSSSRNAFLKKAATCQPFDILKSPNGCLRIRVSDEFLSQLMEEGKVKESEEDESSRNCTPTSRVCTTPQLEKDYTQLVGSRQWKPKLESIRENEKRKLSSSFGMKRSKKSQSKVTQKSTQEQHLHSTPTNHSKSSLKAKINIKSRK >Potri.006G071401.1.v4.1 pep chromosome:Pop_tri_v4:6:5211845:5212099:-1 gene:Potri.006G071401.v4.1 transcript:Potri.006G071401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071401.v4.1 MRLLVVLVMASCLMIFASTGSDAVPKSAFLFPIDDANNRKTSSHVSSSSLEAVNKSISNSIKNKNLTLDEMRVVPTGPNPLHNK >Potri.010G110000.3.v4.1 pep chromosome:Pop_tri_v4:10:13043758:13051125:-1 gene:Potri.010G110000.v4.1 transcript:Potri.010G110000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110000.v4.1 MGTLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAVVKATNHVECPPKERHLRKILVATSTIRPRADVAYCIHALSRRLAKTHSWTVALKILIVIHRLLREGDPTFREELLNFSQRGHILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFKILKYDIEAERLPRPGQGQDKGHSRTRDLDSEELLEQLPALQQLLYRLVGCRPEGAAVGNYIIQYALALVLKESFKIYCSVNDGIINLVDKFFEMPRHEAIAALDIYKRAGQQAGNLSDFYELCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRVVSVPNEPLLQLTYRPEEGPSEDAKSSGDEPEPPPSDDVAVSNVEIAPPASTAPPQNSVDTGDLLGLNYAAPDASSIEESNALALAIIPSESNAAPTFNSAAGQAKDFDPTGWELALVTTPSSNISATNERQLVGLLFFLSFFFVSIHTYMYCPWSFLFVG >Potri.010G110000.1.v4.1 pep chromosome:Pop_tri_v4:10:13043292:13051208:-1 gene:Potri.010G110000.v4.1 transcript:Potri.010G110000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110000.v4.1 MGTLQTWRKAYGALKDSTKVGLAHVNSDYADLDVAVVKATNHVECPPKERHLRKILVATSTIRPRADVAYCIHALSRRLAKTHSWTVALKILIVIHRLLREGDPTFREELLNFSQRGHILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFKILKYDIEAERLPRPGQGQDKGHSRTRDLDSEELLEQLPALQQLLYRLVGCRPEGAAVGNYIIQYALALVLKESFKIYCSVNDGIINLVDKFFEMPRHEAIAALDIYKRAGQQAGNLSDFYELCKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRVVSVPNEPLLQLTYRPEEGPSEDAKSSGDEPEPPPSDDVAVSNVEIAPPASTAPPQNSVDTGDLLGLNYAAPDASSIEESNALALAIIPSESNAAPTFNSAAGQAKDFDPTGWELALVTTPSSNISATNERQLAGGLDSLTLDSLYHEGAYRAAQQPVYGAPAPNPFEVQDPFALSNSIAPPPSVQMAAMAQQPHNPFSPYQPPYPQPQQQHVMTSHANPFGDTTGFGAFPAHPETNNPFGSTSLL >Potri.010G048600.4.v4.1 pep chromosome:Pop_tri_v4:10:8063139:8067791:1 gene:Potri.010G048600.v4.1 transcript:Potri.010G048600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048600.v4.1 MNTIVRRAYNLCRSPPLLPIQGVNSVSGGEHQIQQCRGIRVRVHNGNLEQALKFMQRKMQSSGIERQIKNLQTHHVKNSEKRVLARKKLQRRIQSQELAHRIKVILADKARGL >Potri.010G048600.2.v4.1 pep chromosome:Pop_tri_v4:10:8063139:8067799:1 gene:Potri.010G048600.v4.1 transcript:Potri.010G048600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048600.v4.1 MNTIVRRAYNLCRSPPLLPIQGVNSVSGGEHQIQQCRGIRVRVHNGNLEQALKFMQRKMQSSGIERQIKNLQTHHVKNSEKRVLARKKLQRRIQSQELAHRIKVILADKARGL >Potri.013G000766.1.v4.1 pep chromosome:Pop_tri_v4:13:53222:53425:-1 gene:Potri.013G000766.v4.1 transcript:Potri.013G000766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000766.v4.1 MLMLKNGGIKILDQNSMYRRYEPPKQELLKREQLELLLAISKFFYYERYKSIGKIKKVYVGLNGNCC >Potri.008G060700.2.v4.1 pep chromosome:Pop_tri_v4:8:3648065:3654521:-1 gene:Potri.008G060700.v4.1 transcript:Potri.008G060700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060700.v4.1 MQRNNNTSSTLTFKFIFLITLLSLSLSLSLLPLLSKLIARMMNLADPSMALLAASGGDTVKLFDVSVEPGDPCTLSYTPTPGCLVNAVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVSGTDSGDSIEESILAISFSNKGSRYICSGGSGQVVRIWDLQRKRCIKWLRGHTSPITGAMYNCKDEHLASISLSGDLILHNLASGARATELKDPNKQVLRVLDYSRVSRHLLVTAGDDGSVHLWDTTGRSPKVSWSKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRRHTSLISYEAPFSSLSFRDDGWVLAAGTSSGRVVFYDVRGKPQPFTVLRAYGSSEAVTGLCWQRSKPVIVNESNCTAEIALLGGAVDDSILMPDPLPSVTSSSVALSSVSGSGNSGRSGPALESSSLTATVGGPASSIPNLSLAEETPHRSHLWPGTLTRLNPPRSSYNFKDEMEVFSPLVDVQPITPSLDKFWTDHEGLKKDNLPVDKKPSSLLFPSSSRRFPFAEDGTNDHPIFDWKSSSTSRQDDTQSFTSLGGSTPTPSSKSEDSSITPPEAWGGERLSDKIAHLRQPLNLPPRFGMTSGSSTSGSMFSSLQDLPSSTSQSSMSSLTSSSRGFSNLRARDVSLTQETSVGFPEHISSSSMFLSLGAKGITGPANLETSGPASLNLPRRFSTYAERISTTSSFSDGTSLSVGSPKTKKTGVETREELLNSLLLRSDALAVTEPGIVPAMNGGAAQPHKALQPDTQQGSSFTLQLFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHMQEVEFSSVMSSILENQAELMKEIKTLRKENQELRQLL >Potri.008G060700.3.v4.1 pep chromosome:Pop_tri_v4:8:3647990:3653512:-1 gene:Potri.008G060700.v4.1 transcript:Potri.008G060700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060700.v4.1 MGTIPVSGTDSGDSIEESILAISFSNKGSRYICSGGSGQVVRIWDLQRKRCIKWLRGHTSPITGAMYNCKDEHLASISLSGDLILHNLASGARATELKDPNKQVLRVLDYSRVSRHLLVTAGDDGSVHLWDTTGRSPKVSWSKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRRHTSLISYEAPFSSLSFRDDGWVLAAGTSSGRVVFYDVRGKPQPFTVLRAYGSSEAVTGLCWQRSKPVIVNESNCTAEIALLGGAVDDSILMPDPLPSVTSSSVALSSVSGSGNSGRSGPALESSSLTATVGGPASSIPNLSLAEETPHRSHLWPGTLTRLNPPRSSYNFKDEMEVFSPLVDVQPITPSLDKFWTDHEGLKKDNLPVDKKPSSLLFPSSSRRFPFAEDGTNDHPIFDWKSSSTSRQDDTQSFTSLGGSTPTPSSKSEDSSITPPEAWGGERLSDKIAHLRQPLNLPPRFGMTSGSSTSGSMFSSLQDLPSSTSQSSMSSLTSSSRGFSNLRARDVSLTQETSVGFPEHISSSSMFLSLGAKGITGPANLETSGPASLNLPRRFSTYAERISTTSSFSDGTSLSVGSPKTKKTGVETREELLNSLLLRSDALAVTEPGIVPAMNGGAAQPHKALQPDTQQGSSFTLQLFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHMQEVEFSSVMSSILENQAELMKEIKTLRKENQELRQLL >Potri.008G060700.1.v4.1 pep chromosome:Pop_tri_v4:8:3648057:3654445:-1 gene:Potri.008G060700.v4.1 transcript:Potri.008G060700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060700.v4.1 MMNLADPSMALLAASGGDTVKLFDVSVEPGDPCTLSYTPTPGCLVNAVKWNHTNLVVASAGEDKKISLWRKNGQSMGTIPVSGTDSGDSIEESILAISFSNKGSRYICSGGSGQVVRIWDLQRKRCIKWLRGHTSPITGAMYNCKDEHLASISLSGDLILHNLASGARATELKDPNKQVLRVLDYSRVSRHLLVTAGDDGSVHLWDTTGRSPKVSWSKQHSAPTAGISFSPSNDKIIASVGLDKKLYTYDSGSRRHTSLISYEAPFSSLSFRDDGWVLAAGTSSGRVVFYDVRGKPQPFTVLRAYGSSEAVTGLCWQRSKPVIVNESNCTAEIALLGGAVDDSILMPDPLPSVTSSSVALSSVSGSGNSGRSGPALESSSLTATVGGPASSIPNLSLAEETPHRSHLWPGTLTRLNPPRSSYNFKDEMEVFSPLVDVQPITPSLDKFWTDHEGLKKDNLPVDKKPSSLLFPSSSRRFPFAEDGTNDHPIFDWKSSSTSRQDDTQSFTSLGGSTPTPSSKSEDSSITPPEAWGGERLSDKIAHLRQPLNLPPRFGMTSGSSTSGSMFSSLQDLPSSTSQSSMSSLTSSSRGFSNLRARDVSLTQETSVGFPEHISSSSMFLSLGAKGITGPANLETSGPASLNLPRRFSTYAERISTTSSFSDGTSLSVGSPKTKKTGVETREELLNSLLLRSDALAVTEPGIVPAMNGGAAQPHKALQPDTQQGSSFTLQLFQRTLEETLDSFQKSIHEDMRNLHIEILRQFHMQEVEFSSVMSSILENQAELMKEIKTLRKENQELRQLL >Potri.002G121800.2.v4.1 pep chromosome:Pop_tri_v4:2:9228350:9229638:1 gene:Potri.002G121800.v4.1 transcript:Potri.002G121800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G121800.v4.1 MPKSFIGRKMHYQQSPRVFVLQKPMVILLLVLFVSGDRKEETTVNVHGLQNQQPPSSTEKQQRLRHSFDTFFSSKRKVPNASDPLHNR >Potri.009G165200.3.v4.1 pep chromosome:Pop_tri_v4:9:12664514:12667035:-1 gene:Potri.009G165200.v4.1 transcript:Potri.009G165200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165200.v4.1 MARRSFSLLKSLTKPTTSFSLTPIPTSRSVTYMPRPGDGTPRAVTLIPGDGIGPLVTNAVEQVMQAMHAPVYFEKYEVHGDMNRIPEEVIESIKNNKVCLKGGLRTPVGGGVSSLNVSLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYAGLEHEVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVAKKYPSIKYTEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADYAVFEQGASAGNVGKEKMVELKKANPVALLLSSAMMLRHLQFPSFADRLETAVKHVISEGKCRTKDLGGDSTTQEVVDAVIANLD >Potri.009G165200.1.v4.1 pep chromosome:Pop_tri_v4:9:12664467:12667038:-1 gene:Potri.009G165200.v4.1 transcript:Potri.009G165200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165200.v4.1 MARRSFSLLKSLTKPTTSFSLTPIPTSRSVTYMPRPGDGTPRAVTLIPGDGIGPLVTNAVEQVMQAMHAPVYFEKYEVHGDMNRIPEEVIESIKNNKVCLKGGLRTPVGGGVSSLNVSLRKELDLYASLVNCFNLPGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKTVTAVHKANIMKLADGLFLESCREVAKKYPSIKYTEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADYAVFEQGASAGNVGKEKMVELKKANPVALLLSSAMMLRHLQFPSFADRLETAVKHVISEGKCRTKDLGGDSTTQEVVDAVIANLD >Potri.008G109700.2.v4.1 pep chromosome:Pop_tri_v4:8:6953716:6957837:1 gene:Potri.008G109700.v4.1 transcript:Potri.008G109700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109700.v4.1 MKIMNILQIHNLKPQFPCTFGTVPHHSLSGSLNFSLRKSTRLSSSSFLLLLVTPIRPTFKIFTVSRIEAPFFENNKEDEEEEEDEERNSATNLTEFEDLAPDGVVYQNTLRLVECSMFAAVTGLVYFLSNSLSIENYFGCFFSLPIVISSLRWGVAGGRKTMVATAMLLFVLSGPVKALTYLLTHGLVGFTMGSLWRMGANWGLSIFLCTIARATGAVGYVLTSSFLIRENILALITINIHASLTFIFAAAGINTVPSMNFIYSLFGILVVLNSGFFVFLLHLLYSVFLTRLGMKDSLRLPRWLEKAL >Potri.008G109700.1.v4.1 pep chromosome:Pop_tri_v4:8:6953716:6956661:1 gene:Potri.008G109700.v4.1 transcript:Potri.008G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109700.v4.1 MKIMNILQIHNLKPQFPCTFGTVPHHSLSGSLNFSLRKSTRLSSSSFLLLLVTPIRPTFKIFTVSRIEAPFFENNKEDEEEEEDEERNSATNLTEFEDLAPDGVVYQNTLRLVECSMFAAVTGLVYFLSNSLSIENYFGCFFSLPIVISSLRWGVAGGRKTMVATAMLLFVLSGPVKALTYLLTHGLVGFTMGSLWRMGANWGLSIFLCTIARATGAVGYVLTSSFLIRENILALITINIHASLTFIFAAAGINTVPSMNFIYSLFGILVVLNSGFFVFLLHLLYSVFLTRLGMKDSLRLPRWLEKAL >Potri.005G095700.2.v4.1 pep chromosome:Pop_tri_v4:5:6744768:6747346:-1 gene:Potri.005G095700.v4.1 transcript:Potri.005G095700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095700.v4.1 MGDERKEKKKSRKRSSSLSSTEDEGGRRKRQRSDKEEGDGRRSRKSDKKEKRKDKKSHRHHSDKEKKSKDKHKTKRHKGDRDLKPEFQLSNDDYFSKNNEFATWLKEKKKVFFSDLTSESARELFSVFVKDWNAQKLESRYYEGISSGPRSAHNWALKL >Potri.006G066800.1.v4.1 pep chromosome:Pop_tri_v4:6:4877829:4887302:1 gene:Potri.006G066800.v4.1 transcript:Potri.006G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066800.v4.1 MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFYFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKTPRSNPDIKTLFVDHSCGQPNGARAPSPVTNSLMDAVPKAGGFPPITGHGPFQPTPTIPPSLAGWMANPSTVPHPSASAGPMGLAAPNNAVALLKRPRSPPTNNPAIDYQTADSEHVLKRSRPFGLSDEVNNLPVNILPIAYPSQNHGQSSYSSDDLPKNPVMTLAQGSAVKSMDFHPLQQILLLVGTSMGDVMVWELGSRERIGWKNFKVWDHNSRALQASLSSDYTASVNRVAWSPDGTLFGVAYSKHIVHVYSYHGGDDIRNHLQIDAHNGSVNDLAFSYPNKQLAIVTCGDDRTIRVWDAVAGTRLFNFLGHEAPVYSVCPHHKENIQFIFSTAADGKIKAWLYDNMGSRVDYDAPGNSSTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGAVKRTYNGLAKRSVGAVKFDTTKNRFLAAIDEFMIKFWDMDNVNLLTSIDAEGGLPASPCIRFNKEGTLLAVSTNDNSIKILANSDGIRLLRTVENRTFDASRAASASVVKPPPIGNFSPANAPVGTSGDDQAALAAPMVGMNSDSRSLVDVKPKIQDESVEKSRIWKLTEINEPSQCRSLRLPDSLTSMRVSRLIYTNSGVAILALASNAVHKLWKWQRNDRNPSGKANASVPPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADSQLCVWSTDAWEKQASKFLQIPSGRVAPSLADTRVQFHLDQIHLLAVHETQIAIFEAPKLECQKQWFRQEASGPITHATYSCDSQSIFVSFEDGSVVVLTASTLRLRCRISSTAYLPPNPSSLRVYPLVIAAHPSEPDQFALGLTDGGVHVLEPLESEGKWGTSPPVENGAGPSATAGAAGSEQAQR >Potri.006G066800.4.v4.1 pep chromosome:Pop_tri_v4:6:4877888:4887602:1 gene:Potri.006G066800.v4.1 transcript:Potri.006G066800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066800.v4.1 MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFYFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKTPRSNPDIKTLFVDHSCGQPNGARAPSPVTNSLMDAVPKAGGFPPITGHGPFQPTPTIPPSLAGWMANPSTVPHPSASAGPMGLAAPNNAVALLKRPRSPPTNNPAIDYQTADSEHVLKRSRPFGLSDEVNNLPVNILPIAYPSQNHGQSSYSSDDLPKNPVMTLAQGSAVKSMDFHPLQQILLLVGTSMGDVMVWELGSRERIGWKNFKVWDHNSRALQASLSSDYTASVNRVAWSPDGTLFGVAYSKHIVHVYSYHGGDDIRNHLQIDAHNGSVNDLAFSYPNKQLAIVTCGDDRTIRVWDAVAGTRLFNFLGHEAPVYSVCPHHKENIQFIFSTAADGKIKAWLYDNMGSRVDYDAPGNSSTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGAVKRTYNGLAKRSVGAVKFDTTKNRFLAAIDEFMIKFWDMDNVNLLTSIDAEGGLPASPCIRFNKEGTLLAVSTNDNSIKILANSDGIRLLRTVENRTFDASRAASASVVKPPPIGNFSPANAPVGTSGDDQAALAAPMVGMNSDSRSLVDVKPKIQDESVEKSRIWKLTEINEPSQCRSLRLPDSLTSMRVSRLIYTNSGVAILALASNAVHKLWKWQRNDRNPSGKANASVPPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADSQLCVWSTDAWEKQASKFLQIPSGRVAPSLADTRVQFHLDQIHLLAVHETQIAIFEAPKLECQKQWFRQEASGPITHATYSCDSQSIFVSFEDGSVVVLTASTLRLRCRISSTAYLPPNPSLRVYPLVIAAHPSEPDQFALGLTDGGVHVLEPLESEGKWGTSPPVENGAGPSATAGAAGSEQAQR >Potri.006G066800.2.v4.1 pep chromosome:Pop_tri_v4:6:4877831:4887302:1 gene:Potri.006G066800.v4.1 transcript:Potri.006G066800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066800.v4.1 MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFYFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKTPRSNPDIKTLFVDHSCGQPNGARAPSPVTNSLMDAVPKAGGFPPITGHGPFQPTPTIPPSLAGWMANPSTVPHPSASAGPMGLAAPNNAALLKRPRSPPTNNPAIDYQTADSEHVLKRSRPFGLSDEVNNLPVNILPIAYPSQNHGQSSYSSDDLPKNPVMTLAQGSAVKSMDFHPLQQILLLVGTSMGDVMVWELGSRERIGWKNFKVWDHNSRALQASLSSDYTASVNRVAWSPDGTLFGVAYSKHIVHVYSYHGGDDIRNHLQIDAHNGSVNDLAFSYPNKQLAIVTCGDDRTIRVWDAVAGTRLFNFLGHEAPVYSVCPHHKENIQFIFSTAADGKIKAWLYDNMGSRVDYDAPGNSSTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGAVKRTYNGLAKRSVGAVKFDTTKNRFLAAIDEFMIKFWDMDNVNLLTSIDAEGGLPASPCIRFNKEGTLLAVSTNDNSIKILANSDGIRLLRTVENRTFDASRAASASVVKPPPIGNFSPANAPVGTSGDDQAALAAPMVGMNSDSRSLVDVKPKIQDESVEKSRIWKLTEINEPSQCRSLRLPDSLTSMRVSRLIYTNSGVAILALASNAVHKLWKWQRNDRNPSGKANASVPPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADSQLCVWSTDAWEKQASKFLQIPSGRVAPSLADTRVQFHLDQIHLLAVHETQIAIFEAPKLECQKQWFRQEASGPITHATYSCDSQSIFVSFEDGSVVVLTASTLRLRCRISSTAYLPPNPSLRVYPLVIAAHPSEPDQFALGLTDGGVHVLEPLESEGKWGTSPPVENGAGPSATAGAAGSEQAQR >Potri.006G066800.3.v4.1 pep chromosome:Pop_tri_v4:6:4877866:4887303:1 gene:Potri.006G066800.v4.1 transcript:Potri.006G066800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066800.v4.1 MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFYFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKTPRSNPDIKTLFVDHSCGQPNGARAPSPVTNSLMDAVPKAGGFPPITGHGPFQPTPTIPPSLAGWMANPSTVPHPSASAGPMGLAAPNNAVALLKRPRSPPTNNPAIDYQTADSEHVLKRSRPFGLSDEVNNLPVNILPIAYPSQNHGQSSYSSDDLPKNPVMTLAQGSAVKSMDFHPLQQILLLVGTSMGDVMVWELGSRERIGWKNFKVWDHNSRALQASLSSDYTASVNRVAWSPDGTLFGVAYSKHIVHVYSYHGGDDIRNHLQIDAHNGSVNDLAFSYPNKQLAIVTCGDDRTIRVWDAVAGTRLFNFLGHEAPVYSVCPHHKENIQFIFSTAADGKIKAWLYDNMGSRVDYDAPGNSSTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGAVKRTYNGLAKRSVGAVKFDTTKNRFLAAIDEFMIKFWDMDNVNLLTSIDAEGGLPASPCIRFNKEGTLLAVSTNDNSIKILANSDGIRLLRTVENRTFDASRAASASVVKPPPIGNFSPANAPVGTSGDDQAALAAPMVGMFLQNSDSRSLVDVKPKIQDESVEKSRIWKLTEINEPSQCRSLRLPDSLTSMRVSRLIYTNSGVAILALASNAVHKLWKWQRNDRNPSGKANASVPPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADSQLCVWSTDAWEKQASKFLQIPSGRVAPSLADTRVQFHLDQIHLLAVHETQIAIFEAPKLECQKQWFRQEASGPITHATYSCDSQSIFVSFEDGSVVVLTASTLRLRCRISSTAYLPPNPSLRVYPLVIAAHPSEPDQFALGLTDGGVHVLEPLESEGKWGTSPPVENGAGPSATAGAAGSEQAQR >Potri.008G145900.5.v4.1 pep chromosome:Pop_tri_v4:8:9933440:9936433:1 gene:Potri.008G145900.v4.1 transcript:Potri.008G145900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145900.v4.1 MPFGLVSAWNKRRRSKSQDHSDPWVYKPVEFWQLEDQATQTTKRSHGSSVFTLKEMEEATCSFSEKNLLGKGGFGRVYRGILRSGEVVAIKKMDLPTFKEAEGEREFRVEVDILSRLEHPNLVSLIGYCADGKHRFLVYEYLQNGNLQDHLNGFGKAKVDWPSRLKVALGAARGLAYLHSSSVGVPIVHRDFKSTNILLNENFEAKISDFGLAKLMPEGQETFVTARVLGTFGYFDPEYTSTGNLTLQSDVYAFGVVLLELLTGRRAVDLNQGPSDQNLVLQVRHILNDRKKLRKVIDPELRRGSYTLESIAMFANLASRCIRIESSKRPSMAECVKELQTTIYINSKPIGMGMMTFKMV >Potri.008G145900.4.v4.1 pep chromosome:Pop_tri_v4:8:9932800:9937921:1 gene:Potri.008G145900.v4.1 transcript:Potri.008G145900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145900.v4.1 MPFGLVSAWNKRRRSKSQDHSDPWVYKPVEFWQLEDQATQTTKRSHGSSVFTLKEMEEATCSFSEKNLLGKGGFGRVYRGILRSGEVVAIKKMDLPTFKEAEGEREFRVEVDILSRLEHPNLVSLIGYCADGKHRFLVYEYLQNGNLQDHLNGFGKAKVDWPSRLKVALGAARGLAYLHSSSVGVPIVHRDFKSTNILLNENFEAKISDFGLAKLMPEGQETFVTARVLGTFGYFDPEYTSITCSTIGIPINMINYKEMPDHRIEFLAKINQIG >Potri.005G150450.1.v4.1 pep chromosome:Pop_tri_v4:5:12855919:12856507:1 gene:Potri.005G150450.v4.1 transcript:Potri.005G150450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150450.v4.1 MINKYIYMYNKKENHKRIEKTNQNTLIHFISIIKKALNYSNFNKNSKIFYNITSLSQAYVFYKLSQVQVINLYKLRSVFQYHGASLFLKNEIKDYFGVQGRFQFKLKDTNFRNSVMNEWKNWVRSTYQYKYDLSQIRWSSLISQK >Potri.005G150450.2.v4.1 pep chromosome:Pop_tri_v4:5:12855965:12856823:1 gene:Potri.005G150450.v4.1 transcript:Potri.005G150450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150450.v4.1 MYNKKENHKRIEKTNQNTLIHFISIIKKALNYSNFNKNSKIFYNITSLSQAYVFYKLSQVQVINLYKLRSVFQYHGASLFLKNEIKDYFGVQGRFQFKLKDTNFRNSVMNEWKNWVRSTYQYKYDLSQIRWSSLISQK >Potri.018G043900.1.v4.1 pep chromosome:Pop_tri_v4:18:3537379:3538851:1 gene:Potri.018G043900.v4.1 transcript:Potri.018G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL6 MSDHHQQNSETESSSTNSLSPASPSSPLSNSTQSKKPLKDQDFSPSKKIKRIRDSNKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEMAARAHDVAALSIKGNPAILNFPELANYLPRPASLAPRDVQAAAAKAAQMDQFDQKSETTTTTSPSSSSTSSLTSLVSLMDLSSQEEELCEIVELPSLETSYDELSNDFVYFDSIDEWMYPPPWMQSMENHCCGGGGGCACDDFTLPNESTVLWDY >Potri.010G037500.2.v4.1 pep chromosome:Pop_tri_v4:10:6670095:6671258:-1 gene:Potri.010G037500.v4.1 transcript:Potri.010G037500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G037500.v4.1 MEEAKGVVKHVLLAKFKEGIPSDEIEKLIKGYANLVNLIEPMKAFEWGTDVSIENMHQGFTHIFESTFESKEGIAEYIGHPAHVDFGGLFLPALEKVIVFDYKPTVARF >Potri.002G168001.1.v4.1 pep chromosome:Pop_tri_v4:2:12857337:12857645:-1 gene:Potri.002G168001.v4.1 transcript:Potri.002G168001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168001.v4.1 MTYVSYCYGKLEPSDDEYEHCIDRVVVDGDAKLVKLVNQYMKPCAPEVKVVSMDDQYRCNDWVNSHRHSGLLVDELEIEKRFRGKSECVNAVKRWHIKNSLQ >Potri.001G358600.1.v4.1 pep chromosome:Pop_tri_v4:1:37441084:37444823:-1 gene:Potri.001G358600.v4.1 transcript:Potri.001G358600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358600.v4.1 MDYERIHKVQSGIISPSKLRMKLVGPHHHRKKDGSNSNSSRTSPSKLQDNEFVKNSLLASDFGDFGEEVAALGLEVASVNFPSEAVLDLCQADLPMETVPKEIGDAGRVKMQPFSKCEKGNSSAVHPVRTVEDENLDYDSNASSSSFEFHNERSVNNQFSKRFSRPMSSKWNDAEKWIMKRQNVQPNYVKKNNNLHNQANRNPVTSVDRVAPALSNYDPRSSYSRVADTKLIDFCLPSYQQAFEKFSFIPPGSLTISGQENGVDTLVDRCAQSTDLKEVDQRELSCTKISTQDSAVVPVVRSVCMRDMGTEMTPVTSLEPSRTATPVDATTPLRSPTSSIPSTPQRRAPAPTTTDHCSNDDTQHATGNGKIELTEQELKLKTRREIEALGVQLGKMNIAAWASKNDQQKHASSLETTEMENEQIEFVKRAAAWEEAEQSKHTARYKREEIKIQAWESQRKVKLEAEMRRIEARVEQMRAQAHAKMVKKIAMTRQRSEEKWVAAEARKNQDAERTAAQAEYIRQTGRMPSSNYICCGWF >Potri.008G049300.2.v4.1 pep chromosome:Pop_tri_v4:8:2882555:2886275:1 gene:Potri.008G049300.v4.1 transcript:Potri.008G049300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049300.v4.1 MALEICVKAAVGAPNILGDCPFCQRVLLSLEEKKIPYKSHLINLGDKPQWFLEISPEGKVPVVKIDDKWVADSDVIVGILEEKNPEPPLATPPEFASVGSKIFPSFVKFLKSKDPNDGTEQALLEELKALDGHLKGPFIAGEKITAVDLSLAPKLYHLEVALGHFKNWTIPDNLTHVLNYIKLLFSRESFKKTRAAEEHVIAGWEPKVNA >Potri.015G092500.1.v4.1 pep chromosome:Pop_tri_v4:15:11531073:11533255:-1 gene:Potri.015G092500.v4.1 transcript:Potri.015G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092500.v4.1 MAAYFRTFLNSPVGPKTTHFWGPVANWGFVAAGLVDMKNPPEKISGNMTGAMCVCSALLMRFAWMVQPRNYLLLACHASNETVQLYQLSRWAKGQGYLPSEKKEETRSP >Potri.T002968.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:353809:361804:1 gene:Potri.T002968.v4.1 transcript:Potri.T002968.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002968.v4.1 MLKEKRKQSKDEDNDSSSRKRRKADLSKPVSFVSTAARTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFSR >Potri.014G038000.1.v4.1 pep chromosome:Pop_tri_v4:14:2430546:2433093:1 gene:Potri.014G038000.v4.1 transcript:Potri.014G038000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038000.v4.1 MEFFNLPFLTNNMTSNPMFFIFIFICSIFWISRKFLAGTGKKKAAPKAGGAWPVIGHLHLLGGAEPPHKVLGSMAEKYGPIFTIKMGVHRALVVSNWETAKECFTTHDKAFSGRPRTLASELLTYDGAMLGFSPYGPYWRQVRKITTVELLSNYRLEKLKDVRESEVRAFLKELYKLWDENRGSASKSKSNLVLVEMKKWFGDLTLNIVLRTIVGKTVGYITNVEDEESVEGWKKGLKDFFHWTRVFSVSDALPFLRFLDLGGHGEAMKKTAKELDLVVEDWLKEHKRKRAAGIVKGKEDFMDVMLDVFDNDAEAVQGGDSDTTIKATSLALILAASDTTAVTLIWALSLLVNNPNVLKKAQLELDTHVGKERQVEESDVQNLVYLKAVLKETLRLYPAAPLSLPHEAIEDCTIDGYHVPRGTRLLVNVSKIHRDERVWSNPNEFDPERFLTTHRGFDVRGKNFEFSPFGSGRRMCPGVSFALHVMDLALATLLHGFDFATPSGEPVDMHESSGLTNLRATPLEVLLSPRLSSRLYGH >Potri.009G074800.1.v4.1 pep chromosome:Pop_tri_v4:9:7286050:7288950:-1 gene:Potri.009G074800.v4.1 transcript:Potri.009G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G074800.v4.1 MCSRRLNCSFHASPPSLRYDPHSRPLSNLKPTFILAPCQRINNGCTTRFEVGFQTSLRRSRGDPTTKIAAVAMLHQNPVVSDLIATGLSGTIALSILRFFAETTKRHVFDQKLNRKLVHISIGLVFMLCWPIFSSGRRGALFAAFTPGVNIIQMLLIGSGMWKDEATVKSMSRFGDRRELLKGPLYYALTITGACAIYWRTSPVAIAAICNLCAGDGMADIVGRRFGRQKIPYNKNKSIAGSVAMALSGFVASVGFMYYFASFGYVQKSWEMLLGFLVVSLASAFVESLPISTELDDNLTVTLTSILLGNLVF >Potri.009G074800.3.v4.1 pep chromosome:Pop_tri_v4:9:7286141:7288307:-1 gene:Potri.009G074800.v4.1 transcript:Potri.009G074800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G074800.v4.1 MWTGNNPVVSDLCSAVVIFAFLQLWKETAKHGLDQKLNRKLVHISIGLVFMLCWPIFSSGRRGALFAAFTPGVNIIQMLLIGSGMWKDEATVKSMSRFGDRRELLKGPLYYALTITGACAIYWRTSPVAIAAICNLCAGDGMADIVGRRFGRQKIPYNKNKSIAGSVAMALSGFVASVGFMYYFASFGYVQKSWEMLLGFLVVSLASAFVESLPISTELDDNLTVTLTSILLGNLVF >Potri.010G052400.1.v4.1 pep chromosome:Pop_tri_v4:10:8392028:8393874:-1 gene:Potri.010G052400.v4.1 transcript:Potri.010G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052400.v4.1 MELQAVFLVAVSCLGFVSLCKHIFCFLKWIWDMFLRPPKNLKEYGSWAIITGSTDGIGKALAFELASKGLNLVLVGRNPSKLEATSNEIRARFGDQQVDIKNVVADFATLSGPEISKAMEGSIKELDVGVLINNAGVSYPNARFFHEVDWKMTESLIKVNSEAATWVTRAVLPAMLKKKKGAIVNMGSGSVAVLPSFPLFAIYASTKAYLGMFSRCINLEYKHHGIDIQCQVPLFVATKMTKFKKSLFLIASPEMYAKASIRCIGYEHLSMPLCSHSVQRFIVDALPNALLDWCTYHFFLRLRRKRLEKESLRAKGRAAAQT >Potri.005G254500.1.v4.1 pep chromosome:Pop_tri_v4:5:24625588:24626971:-1 gene:Potri.005G254500.v4.1 transcript:Potri.005G254500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254500.v4.1 MERLCEDLVTDILLCLPAKSAVRFRCLSKYYDQLVSDPRFATSHALRSNPDEVHGLLRFTGRSADKILFCSFHSKPNIHNNPKVVPINSQIIASCNGLVLGLSDSSLSVCNPILPDRIQTIPALETPYGAKCDLGLAYDPIGFSSLEFKLVHVYREQILNMPQDEDAYGFKIFDSSANSWRQSTCKLFLRNLIPMQPHFYELRGQAVYLNGHVHWFRAFGDIVAFNVEKEEATLIGMPPELRLAWLNYQDYSWFGAADGFLYVVCVFKRQIMMWALLDYENNKWGLVRNKIKGLSRVAQPIFFDGERLVLNCGPKKKLLRLFNLKQDQWTEMGRLPRNTMDDSTTVYVPFNPTLAPLINSSDPSRTASLPVLPTVMPINNKCKKRKRGRVDRK >Potri.005G033000.1.v4.1 pep chromosome:Pop_tri_v4:5:2185894:2191328:1 gene:Potri.005G033000.v4.1 transcript:Potri.005G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033000.v4.1 MAKTPFSLLLLRRLTLTTQNPKPPPLSLLLFNNLYLKPYSTTTTAKPWTEQPKPNSLSGRKSFVFDQIDATERERAEKLKTLQKIRAWRQSKDTPQEQQQEAVAAQNPELDGTQKTEMIDSQDEKLESGLRDNGDSSVDFEESGLIELKRKEVELVHPWPEWIELMERLAQQHYFDPSRKVADNMVESLGLDVSGVGNESDGVGIDFNNFRAVQTACINFGKDRFDIFRSLSRQDIQILVGYGCPNVNKKVVFSSKLLRKHAHLDEGDVCSNCRLRSSCESGYLLTNKEDEARTIDLMRVLLAYGFESINGSVANRFLLKQKPVRTVVRKLLHEVAKLSAVPIDPNLPPPVIKRPPTKVKQPPTPPRKLVGRDGIDMKKGDWLCPKCDFMNFAKNAVCLQCDAKRPKRQLLPGEWECPECNFLNYRRNMACFHCDCKRPPDTFMENKMEERQHGSRTRSEKIVSHPEVSSACNFDFDDNESDGADVAAFEYADSAAIVEDLPLGIQTQEGNSGQNTDDFSGPRRISRAYSVPEHDGFGRGFDDFDDEDDIDSYELDTQNDKPARKASQNNFSDQGISDLEGDGGSDYNLGIRLRTSPSVKPSKPKHHRRAFSGSDDELDIDSDEERSVHPKWKSSHVADIRNKNRGGVPTGPSKGLSFGSDEELELDSDVDDDSGSSHRRQGRKGSGRRNFERNSDLDDASFSGSESDNNHRRSWRNRSGGNKLESGRRGNHFRGRDHDFVRNNEMRSKGKMGDRRKSWGDDFGRSSPGAHGKNSGFQGTDCSGWKMNGAGGDSRNFNGPKQEGFRKQQGGRSNECNTGMDPGEFRNSRRVIER >Potri.015G135000.1.v4.1 pep chromosome:Pop_tri_v4:15:14391872:14395688:1 gene:Potri.015G135000.v4.1 transcript:Potri.015G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135000.v4.1 MSSSTNPSTMFKPEIGPDGLPREAPVIAYTEKIIEEEQLQLRKYIEENYSKIRDVERELGNLTFEMKLTAGPKKAALEHLRKKIEMSTERIRVAKLKEEEARKALEAATKVVKEEEEIKQKLCEDLNQLVQESSHSQFSRLEELKRRLEALNPSRASMSSPPHDAKPTGPASNSPAQDSSIPLSTAPDAGVAEIAHNQANGGNVQVTNGKNQQPNVEGLGRGKKKVHFEGRGRGIGAVPKGRGPAQPGWTGAGFDVDGRS >Potri.011G032900.4.v4.1 pep chromosome:Pop_tri_v4:11:2642733:2645106:-1 gene:Potri.011G032900.v4.1 transcript:Potri.011G032900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032900.v4.1 MATFTLSSSLTPKTLTPSLSNLKPTFLTSLKPQSWTCSQLISAPKIRYQPLITRAAVGSDYSARRSNSSNDDRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCTYPTYQPKQRTTSKYENRRYERRRDGPPPDRRRTRQGTTKSEPASP >Potri.011G032900.1.v4.1 pep chromosome:Pop_tri_v4:11:2642738:2645120:-1 gene:Potri.011G032900.v4.1 transcript:Potri.011G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032900.v4.1 MATFTLSSSLTPKTLTPSLSNLKPTFLTSLKPQSWTCSQLISAPKIRYQPLITRAAVGSDYSARRSNSSNDDRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCTYPTYQPKQRTTSKYENRRYERRRDGPPPDRRRTRQGTTKSEPASP >Potri.011G032900.2.v4.1 pep chromosome:Pop_tri_v4:11:2642809:2645106:-1 gene:Potri.011G032900.v4.1 transcript:Potri.011G032900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032900.v4.1 MATFTLSSSLTPKTLTPSLSNLKPTFLTSLKPQSWTCSQLISAPKIRYQPLITRAAVGSDYSARRSNSSNDDRETILLPGCDYNHWLIVMEFPKDPAPTREQMIDTYLNTLATVLGSMEEAKKNMYAFSTTTYTGFQCTVDEATSEKFKGLPGVLWVLPDSYIDVKNKDYGGDKYVNGEIIPCTYPTYQPKQRTTSKYENRRYERRRDGPPPDRRRTRQGTTKSEPASP >Potri.002G071300.1.v4.1 pep chromosome:Pop_tri_v4:2:4996396:4998865:1 gene:Potri.002G071300.v4.1 transcript:Potri.002G071300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071300.v4.1 MNIYANNTKAFKSYPRGDFDLESGTLRRSRKSKNSSLHPFKMIKCFANRLNYFYKLHPFLLFFISLSFGVTILIVLFLYESRHRTMNDFGKLDLGLNDYPFANLSNLVMVAGHSVYTSSCGKVDREDSWFLESYQKHPGQAATFVAHIQEGVEIVSKDDGALLLFSGGETRKNAGPRSEAQSYWAVAESKGWFSNEESVRWRALTEEHARDSFENLLFSVCRFRELTGKYPQNITVVSYDFKEERFANLHRSAISFPESRFFYSGTPASSTSKEAALKGESLVRSQFQEDPYGCKGSLWRKKLGRDPFHRSIPYPNGCPEIKDLFRYCGEAPYPGYLPWA >Potri.008G118000.1.v4.1 pep chromosome:Pop_tri_v4:8:7564058:7567463:1 gene:Potri.008G118000.v4.1 transcript:Potri.008G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118000.v4.1 MVSASPSKEDQSIGKWTEGDPARRAKWWYSTFHTVTAMIGAGVLSLPYAMAYLGWGPGITVLVLSWCMTLNTMWQMIELHECVPGTRFDRYIDLGRHAFGPKLGPWIVLPQQLIVQVGCDIVYMVTGGKSLKKFMEMTCASCTPIRQSYWILIFGGIHFFLSQLPNFNSVAGVSLAAAVMSLGYSTIAWAGSLAHGQIDNVSYAYKNTSAADYMFRVFNALGEISFAFAGHAVVLEIQATIPSTTEKPSKIPMWKGALGAYFINAICYFPVALIGYWAFGQDVDDNVLMELKRPAWLIASANLMVVVHVIGSYQVYAMPVFDMLERMMMKRFSFPPGLALRLVTRSTYVAFTLFVGVTFPFFGDLLGFFGGFGFAPTSYFLPCVMWLIIKKPKRFSTKWFINWACIFVGVFIMMASTIGGFRNIVTDASSYRFYT >Potri.010G068700.2.v4.1 pep chromosome:Pop_tri_v4:10:9693451:9699322:-1 gene:Potri.010G068700.v4.1 transcript:Potri.010G068700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068700.v4.1 MRLKNWIKRWGLGVRGKLWKMMKCIRSGEQLRLDDMASSSESLATRDYSVSYSSQAAGVDTKVENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALQVFEGIDIASVSSKIKLSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIFLKVKSLQGLGQFEEAAQSCKVILDTIETALPEGIPESVSADCKLQDILNKAVELLPELWKLTGSPQEAILSYRRALLYYWNLDTETTSKIEKELAVFLLYSGSDASPPNLRSQVDGSFVPRNNIEEAILLLLILLRKFAVKKIEWDPTIMYHLSFALSISGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEEMIALNLLRNLLFNRGNPDCVLELLLASNICAKNTVCVEEGISYASRALSELCGRCNQMESVANCLQGILLSTQSRSVASDSERISKQSEALEMLESAEKMMIERDPSIIFHLSLENAEQRKLDAALYHAKQLLKLEAGSSVRSYILLARILSAQKRFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGQLKKAIETYTRLLAIIQIQTKSLGAGKKLAKNQRNSWSLEMETWHDLANVYTSLSQWRDAEVCLSKSKTLSPYSASRWHSTGLLYEAKGLHQEALKAFKAALDAEPNHVPSLVSTACVLRRLGSQSIPIIRSFLTDAIRLDKANHSAWYNLGLLYKADPSASALEAAECFEAAAFLEDSAPVESFR >Potri.010G068700.9.v4.1 pep chromosome:Pop_tri_v4:10:9693395:9698994:-1 gene:Potri.010G068700.v4.1 transcript:Potri.010G068700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068700.v4.1 MRLKNWIKRWGLGVRGKLWKMMKCIRSGEQLRLDDMASSSESLATRDYSVSYSSQAAGVDTKVENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALQVFEGIDIASVSSKIKLSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIFLKVKSLQGLGQFEEAAQSCKVILDTIETALPEGIPESVSADCKLQDILNKAVELLPELWKLTGSPQEAILSYRRALLYYWNLDTETTSKIEKELAVFLLYSGSDASPPNLRSQVDGSFVPRNNIEEAILLLLILLRKFAVKKIEWDPTIMYHLSFALSISGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEEMIALNLLRNLLFNRGNPDCVLELLLASNICAKNTVCVEEGISYASRALSELCGRCNQMESVANCLQGILLSTQSRSVASDSERISKQSEALEMLESAEKMMIERDPSIIFHLSLENAEQRKLDAALYHAKQLLKLEAGSSVRSYILLARILSAQKRFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGQLKKAIETYTRLLAIIQIQTKSLGAGKKLAKNQRNSWSLEMETWHDLANVYTSLSQWRDAEVCLSKSKTLSPYSASRWHSTGLLYEAKGLHQEALKAFKAALDAEPNHVPSLVSTACVLRRLGSQSIPIIRSFLTDAIRLDKANHSAWYNLGLLYKADPSASALEAAECFEAAAFLEDSAPVESFR >Potri.010G068700.8.v4.1 pep chromosome:Pop_tri_v4:10:9693397:9699332:-1 gene:Potri.010G068700.v4.1 transcript:Potri.010G068700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068700.v4.1 MRLKNWIKRWGLGVRGKLWKMMKCIRSGEQLRLDDMASSSESLATRDYSVSYSSQAAGVDTKVENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALQVFEGIDIASVSSKIKLSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIFLKVKSLQGLGQFEEAAQSCKVILDTIETALPEGIPESVSADCKLQDILNKAVELLPELWKLTGSPQEAILSYRRALLYYWNLDTETTSKIEKELAVFLLYSGSDASPPNLRSQVDGSFVPRNNIEEAILLLLILLRKFAVKKIEWDPTIMYHLSFALSISGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEEMIALNLLRNLLFNRGNPDCVLELLLASNICAKNTVCVEEGISYASRALSELCGRCNQMESVANCLQGILLSTQSRSVASDSERISKQSEALEMLESAEKMMIERDPSIIFHLSLENAEQRKLDAALYHAKQLLKLEAGSSVRSYILLARILSAQKRFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGQLKKAIETYTRLLAIIQIQTKSLGAGKKLAKNQRNSWSLEMETWHDLANVYTSLSQWRDAEVCLSKSKTLSPYSASRWHSTGLLYEAKGLHQEALKAFKAALDAEPNHVPSLVSTACVLRRLGSQSIPIIRSFLTDAIRLDKANHSAWYNLGLLYKADPSASALEAAECFEAAAFLEDSAPVESFR >Potri.010G068700.7.v4.1 pep chromosome:Pop_tri_v4:10:9693401:9699321:-1 gene:Potri.010G068700.v4.1 transcript:Potri.010G068700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068700.v4.1 MRLKNWIKRWGLGVRGKLWKMMKCIRSGEQLRLDDMASSSESLATRDYSVSYSSQAAGVDTKVENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALQVFEGIDIASVSSKIKLSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIFLKVKSLQGLGQFEEAAQSCKVILDTIETALPEGIPESVSADCKLQDILNKAVELLPELWKLTGSPQEAILSYRRALLYYWNLDTETTSKIEKELAVFLLYSGSDASPPNLRSQVDGSFVPRNNIEEAILLLLILLRKFAVKKIEWDPTIMYHLSFALSISGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEEMIALNLLRNLLFNRGNPDCVLELLLASNICAKNTVCVEEGISYASRALSELCGRCNQMESVANCLQGILLSTQSRSVASDSERISKQSEALEMLESAEKMMIERDPSIIFHLSLENAEQRKLDAALYHAKQLLKLEAGSSVRSYILLARILSAQKRFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGQLKKAIETYTRLLAIIQIQTKSLGAGKKLAKNQRNSWSLEMETWHDLANVYTSLSQWRDAEVCLSKSKTLSPYSASRWHSTGLLYEAKGLHQEALKAFKAALDAEPNHVPSLVSTACVLRRLGSQSIPIIRSFLTDAIRLDKANHSAWYNLGLLYKADPSASALEAAECFEAAAFLEDSAPVESFR >Potri.010G068700.11.v4.1 pep chromosome:Pop_tri_v4:10:9693474:9698909:-1 gene:Potri.010G068700.v4.1 transcript:Potri.010G068700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068700.v4.1 MRLKNWIKRWGLGVRGKLWKMMKCIRSGEQLRLDDMASSSESLATRDYSVSYSSQAAGVDTKVENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALQVFEGIDIASVSSKIKLSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIFLKVKSLQGLGQFEEAAQSCKVILDTIETALPEGIPESVSADCKLQDILNKAVELLPELWKLTGSPQEAILSYRRALLYYWNLDTETTSKIEKELAVFLLYSGSDASPPNLRSQVDGSFVPRNNIEEAILLLLILLRKFAVKKIEWDPTIMYHLSFALSISGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEEMIALNLLRNLLFNRGNPDCVLELLLASNICAKNTVCVEEGISYASRALSELCGRCNQMESVANCLQGILLSTQSRSVASDSERISKQSEALEMLESAEKMMIERDPSIIFHLSLENAEQRKLDAALYHAKQLLKLEAGSSVRSYILLARILSAQKRFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGQLKKAIETYTRLLAIIQIQTKSLGAGKKLAKVCGLIYTPVPVTL >Potri.010G068700.10.v4.1 pep chromosome:Pop_tri_v4:10:9693401:9698955:-1 gene:Potri.010G068700.v4.1 transcript:Potri.010G068700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068700.v4.1 MRLKNWIKRWGLGVRGKLWKMMKCIRSGEQLRLDDMASSSESLATRDYSVSYSSQAAGVDTKVENSNIEEAESSLRESGYLNYEEARALLGRLEYQKGNIEAALQVFEGIDIASVSSKIKLSLSRRCEQNRRRSQSDAAPPMSMHAISLLLEAIFLKVKSLQGLGQFEEAAQSCKVILDTIETALPEGIPESVSADCKLQDILNKAVELLPELWKLTGSPQEAILSYRRALLYYWNLDTETTSKIEKELAVFLLYSGSDASPPNLRSQVDGSFVPRNNIEEAILLLLILLRKFAVKKIEWDPTIMYHLSFALSISGEQRALAHQVEELLPGIMERRERYSILALCYHGEGEEMIALNLLRNLLFNRGNPDCVLELLLASNICAKNTVCVEEGISYASRALSELCGRCNQMESVANCLQGILLSTQSRSVASDSERISKQSEALEMLESAEKMMIERDPSIIFHLSLENAEQRKLDAALYHAKQLLKLEAGSSVRSYILLARILSAQKRFVDAENVINAALDQTGKWDQGELLRTKAKLQIAQGQLKKAIETYTRLLAIIQIQTKSLGAGKKLAKNQRNSWSLEMETWHDLANVYTSLSQWRDAEVCLSKSKTLSPYSASRWHSTGLLYEAKGLHQEALKAFKAALDAEPNHVPSLVSTACVLRRLGSQSIPIIRSFLTDAIRLDKANHSAWYNLGLLYKADPSASALEAAECFEAAAFLEDSAPVESFR >Potri.001G461000.1.v4.1 pep chromosome:Pop_tri_v4:1:48653336:48655098:-1 gene:Potri.001G461000.v4.1 transcript:Potri.001G461000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461000.v4.1 MILSSMALSFCSNLGSSLQHHSLPELTAYPQGSVEIGNHELFGCNDNLMLSDSFINPLYDVEDQLFYSDSYTNLLPFFSSPSDNTSSLSPETSPLEDFESYACPKRQKLYTDHFNTKFATSFFEGYVPNPNPGPPEFLPEIPVLDPKFQVPTNFNVGRTESLMDSKKPSTGVSLSAQSIAARERRRKITEKTQQLGKLIPGGNKMNTAEMFQAASKYVKFLQAQIGILELTGTTQENREAMHTQELQTLVTSPTIQEKLYLEQKCLVPRDFVQTIANDCEIQAKPLNIVEEIDQEFLGEQFLG >Potri.003G203500.1.v4.1 pep chromosome:Pop_tri_v4:3:20267905:20269461:-1 gene:Potri.003G203500.v4.1 transcript:Potri.003G203500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203500.v4.1 MTRSIQTKQCGRSLDHNSDELEDKFSELPEDIIFTIVEKIGDTKTLVLCSVVSKQWHAIVSKTETISVRVLPYPSCGGGFPCAESHNHLPPSEVPGLMKVFAEVKSLKIKLCTFPTLNPRNQVDNLLMIKAMIYGDDIRIDSCYAVKVGFLRRRMIVAMDTFFNPMLSQHPVSYASDVCLHVILRHRPRTLSSIVISFVKMQDFYGKKVFMTRKMRDLYNGKVFMTRKQLDDFTNLPPNASVEGWLEDARNSIYQLKSSINNIWSRDELWHVKHWESLHTGDTVMGKRFIDNPTVSEMFVKELLGVAKDDDCDEKQ >Potri.004G052100.1.v4.1 pep chromosome:Pop_tri_v4:4:4216590:4219238:-1 gene:Potri.004G052100.v4.1 transcript:Potri.004G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052100.v4.1 MYTTIMPALSSSSSSICTFLLVFLLVVRASNCAAKATKSSRPPRQLSVDYYAKKCPQLEQLVGSVTSQQFKEAPVSGPATIRLFFHDCFVEGCDASILISTNPGSKELAEKDAEDNKDLRVEGFQTISKAKDLVERKCPGIVSCADILAIAARDYVHLAGGPYYQVKKGRWDGKISMASRVPYNIPRANFTIDQLLKLFNSKGLTLEDLVVLSGAHTFGFAHCKQFVSRLYNYRGTKQPDPGMDPRLLKALKMSCPQFGGNPDIIAPFDVTTPFLFDHAYYGNLEAKLGLLASDQALFLDPRTKPLVQQLGKDKKSFFQAFSIAMEKMGSIGVKRGRRHGETRRVCSMHT >Potri.002G186200.9.v4.1 pep chromosome:Pop_tri_v4:2:14801559:14806001:-1 gene:Potri.002G186200.v4.1 transcript:Potri.002G186200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186200.v4.1 MMEVDTRQKLQDDQMSDCRSFGGNCRPSSQSRKISIGILIDSTWKKGSGGAKENEAAVPNTERVNSKKESSVEGKNMGKGAFDATKGNQTEAPEQVHSPWITTRSFDQKLPASEGVLYAVETSNLPGSTGRRNKISRVKNVPVTHSVEFFANQPSNSHSGDLKQKFSGFTYKRKGGKYRNSNSEEEFTFATEKEGTMQDIAVTDDKTEERRTETLKMKLWEILGNVSSPKSQPSNSQAHQIGVNNLNQKQILDQTDDVVVKPRQSSDTIETDSETPDHTMKRPVTRSLTQKRASTKQKPEKTEVDPSSSHRQKIQEKDVYSFEEGLLGKQNVAVNDGSSMSTRKKGQIKCCSIKPRKIHFSEDNNGDEIQEGSHKSEISLPAEKMSSHSNKMGNIHGSQNKRDYCEPKNRNKERDPHQSARKTPFPAEKASSLSNKMGDFHGSCRNKREYTEPKNRNQERDSHKSASEDSHQSLWTLRTGQQKDFSSSAVPEHGDQQEKFDPPSSNSAVDPQNDFQSPPFKINSCTLSSPPSSMPKYDQIKQVFGSPEQAVRNFTVGKINSFRTLWTSKADCFASNSQTESPDVAAEIMDSPPSKTSPLKGGKDVEGGLFESSSEDGYSESSEEGSPIVKGHREGDNFSPEIATADRSKFMLHPTKRLRNHNVEKLRKFSPTSPSPTGIVETELTPEISEQNQGNELERAIMLFATALENFKKKMKLETRKKSSDILMSVSEEIRLQLKNIESQIQTDLGKLSSVSKSKRRRLESRFEVQIHIL >Potri.002G186200.5.v4.1 pep chromosome:Pop_tri_v4:2:14797815:14806001:-1 gene:Potri.002G186200.v4.1 transcript:Potri.002G186200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186200.v4.1 MMEVDTRQKLQDDQMSDCRSFGGNCRPSSQSRKISIGILIDSTWKKGSGGAKENEAAVPNTERVNSKKESSVEGKNMGKGAFDATKGNQTEAPEQVHSPWITTRSFDQKLPASEGVLYAVETSNLPGSTGRRNKISRVKNVPVTHSVEFFANQPSNSHSGDLKQKFSGFTYKRKGGKYRNSNSEEEFTFATEKEGTMQDIAVTDDKTEERRTETLKMKLWEILGNVSSPKSQPSNSQAHQIGVNNLNQKQILDQTDDVVVKPRQSSDTIETDSETPDHTMKRPVTRSLTQKRASTKQKPEKTEVDPSSSHRQKIQEKDVYSFEEGLLGKQNVAVNDGSSMSTRKKGQIKCCSIKPRKIHFSEDNNGDEIQEGSHKSEISLPAEKMSSHSNKMGNIHGSQNKRDYCEPKNRNKERDPHQSARKTPFPAEKASSLSNKMGDFHGSCRNKREYTEPKNRNQERDSHKSASEDSHQSLWTLRTGQQKDFSSSAVPEHGDQQEKFDPPSSNSAVDPQNDFQSPPFKINSCTLSSPPSSMPKYDQIKQVFGSPEQAVRNFTVGKINSFRTLWTSKADCFASNSQTESPDVAAEIMDSPPSKTSPLKGGKDVEGGLFESSSEDGYSESSEEGSPIVKGHREGDNFSPEIATADRSKFMLHPTKRLRNHNVEKLRKFSPTSPSPTGIVETELTPEISEQNQGNELERAIMLFATALENFKKKMKLETRKKSSDILMSVSEEIRLQLKNIESQIQTDLGKLSSVSKSKRRRLESRFEEQQEELKLIHDKFKQDIYQHLQECKTTLEGLELHQIDFNGTVKKRKASHQKLLMQAEEAVKTQLDGAQRRITAVQKLAREKTLQLKYVVAECLNEGVLS >Potri.002G186200.4.v4.1 pep chromosome:Pop_tri_v4:2:14797816:14806001:-1 gene:Potri.002G186200.v4.1 transcript:Potri.002G186200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186200.v4.1 MMEVDTRQKLQDDQMSDCRSFGGNCRPSSQSRKISIGILIDSTWKKGSGGAKENEAAVPNTERVNSKKESSVEGKNMGKGAFDATKGNQTEAPEQVHSPWITTRSFDQKLPASEGVLYAVETSNLPGSTGRRNKISRVKNVPVTHSVEFFANQPSNSHSGDLKQKFSGFTYKRKGGKYRNSNSEEEFTFATEKEGTMQDIAVTDDKTEERRTETLKMKLWEILGNVSSPKSQPSNSQAHQIGVNNLNQKQILDQTDDVVVKPRQSSDTIETDSETPDHTMKRPVTRSLTQKRASTKQKPEKTEVDPSSSHRQKIQEKDVYSFEEGLLGKQNVAVNDGSSMSTRKKGQIKCCSIKPRKIHFSEDNNGDEIQEGSHKSEISLPAEKMSSHSNKMGNIHGSQNKRDYCEPKNRNKERDPHQSARKTPFPAEKASSLSNKMGDFHGSCRNKREYTEPKNRNQERDSHKSASEDSHQSLWTLRTGQQKDFSSSAVPEHGDQQEKFDPPSSNSAVDPQNDFQSPPFKINSCTLSSPPSSMPKYDQIKQVFGSPEQAVRNFTVGKINSFRTLWTSKADCFASNSQTESPDVAAEIMDSPPSKTSPLKGGKDVEGGLFESSSEDGYSESSEEGSPIVKGHREGDNFSPEIATADRSKFMLHPTKRLRNHNVEKLRKFSPTSPSPTGIVETELTPEISEQNQGNELERAIMLFATALENFKKKMKLETRKKSSDILMSVSEEIRLQLKNIESQIQTDLGKLSSVSKSKRRRLESRFEEQQEELKLIHDKFKQDIYQHLQECKTTLEGLELHQIDFNGTVKKRKASHQKLLMQAEEAVKTQLDGAQRRITAVQKLAREKTLQLKYVVAECLNEGVLS >Potri.002G186200.2.v4.1 pep chromosome:Pop_tri_v4:2:14797920:14806001:-1 gene:Potri.002G186200.v4.1 transcript:Potri.002G186200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186200.v4.1 MMEVDTRQKLQDDQMSDCRSFGGNCRPSSQSRKISIGILIDSTWKKGSGGAKENEAAVPNTERVNSKKESSVEGKNMGKGAFDATKGNQTEAPEQVHSPWITTRSFDQKLPASEGVLYAVETSNLPGSTGRRNKISRVKNVPVTHSVEFFANQPSNSHSGDLKQKFSGFTYKRKGGKYRNSNSEEEFTFATEKEGTMQDIAVTDDKTEERRTETLKMKLWEILGNVSSPKSQPSNSQAHQIGVNNLNQKQILDQTDDVVVKPRQSSDTIETDSETPDHTMKRPVTRSLTQKRASTKQKPEKTEVDPSSSHRQKIQEKDVYSFEEGLLGKQNVAVNDGSSMSTRKKGQIKCCSIKPRKIHFSEDNNGDEIQEGSHKSEISLPAEKMSSHSNKMGNIHGSQNKRDYCEPKNRNKERDPHQSARKTPFPAEKASSLSNKMGDFHGSCRNKREYTEPKNRNQERDSHKSASEDSHQSLWTLRTGQQKDFSSSAVPEHGDQQEKFDPPSSNSAVDPQNDFQSPPFKINSCTLSSPPSSMPKYDQIKQVFGSPEQAVRNFTVGKINSFRTLWTSKADCFASNSQTESPDVAAEIMDSPPSKTSPLKGGKDVEGGLFESSSEDGYSESSEEGSPIVKGHREGDNFSPEIATADRSKFMLHPTKRLRNHNVEKLRKFSPTSPSPTGIVETELTPEISEQNQGNELERAIMLFATALENFKKKMKLETRKKSSDILMSVSEEIRLQLKNIESQIQTDLGKLSSVSKSKRRRLESRFEEQQEELKLIHDKFKQDIYQHLQECKTTLEGLELHQIDFNGTVKKRKASHQKLLMQAEEAVKTQLDGAQRRITAVQKLAREKTLQLKYVVAECLNEGVLS >Potri.002G186200.6.v4.1 pep chromosome:Pop_tri_v4:2:14797815:14806001:-1 gene:Potri.002G186200.v4.1 transcript:Potri.002G186200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186200.v4.1 MMEVDTRQKLQDDQMSDCRSFGGNCRPSSQSRKISIGILIDSTWKKGSGGAKENEAAVPNTERVNSKKESSVEGKNMGKGAFDATKGNQTEAPEQVHSPWITTRSFDQKLPASEGVLYAVETSNLPGSTGRRNKISRVKNVPVTHSVEFFANQPSNSHSGDLKQKFSGFTYKRKGGKYRNSNSEEEFTFATEKEGTMQDIAVTDDKTEERRTETLKMKLWEILGNVSSPKSQPSNSQAHQIGVNNLNQKQILDQTDDVVVKPRQSSDTIETDSETPDHTMKRPVTRSLTQKRASTKQKPEKTEVDPSSSHRQKIQEKDVYSFEEGLLGKQNVAVNDGSSMSTRKKGQIKCCSIKPRKIHFSEDNNGDEIQEGSHKSEISLPAEKMSSHSNKMGNIHGSQNKRDYCEPKNRNKERDPHQSARKTPFPAEKASSLSNKMGDFHGSCRNKREYTEPKNRNQERDSHKSASEDSHQSLWTLRTGQQKDFSSSAVPEHGDQQEKFDPPSSNSAVDPQNDFQSPPFKINSCTLSSPPSSMPKYDQIKQVFGSPEQAVRNFTVGKINSFRTLWTSKADCFASNSQTESPDVAAEIMDSPPSKTSPLKGGKDVEGGLFESSSEDGYSESSEEGSPIVKGHREGDNFSPEIATADRSKFMLHPTKRLRNHNVEKLRKFSPTSPSPTGIVETELTPEISEQNQGNELERAIMLFATALENFKKKMKLETRKKSSDILMSVSEEIRLQLKNIESQIQTDLGKLSSVSKSKRRRLESRFEEQQEELKLIHDKFKQDIYQHLQECKTTLEGLELHQIDFNGTVKKRKASHQKLLMQAEEAVKTQLDGAQRRITAVQKLAREKTLQLKYVVAECLNEGVLS >Potri.002G186200.10.v4.1 pep chromosome:Pop_tri_v4:2:14802189:14806006:-1 gene:Potri.002G186200.v4.1 transcript:Potri.002G186200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186200.v4.1 MMEVDTRQKLQDDQMSDCRSFGGNCRPSSQSRKISIGILIDSTWKKGSGGAKENEAAVPNTERVNSKKESSVEGKNMGKGAFDATKGNQTEAPEQVHSPWITTRSFDQKLPASEGVLYAVETSNLPGSTGRRNKISRVKNVPVTHSVEFFANQPSNSHSGDLKQKFSGFTYKRKGGKYRNSNSEEEFTFATEKEGTMQDIAVTDDKTEERRTETLKMKLWEILGNVSSPKSQPSNSQAHQIGVNNLNQKQILDQTDDVVVKPRQSSDTIETDSETPDHTMKRPVTRSLTQKRASTKQKPEKTEVDPSSSHRQKIQEKDVYSFEEGLLGKQNVAVNDGSSMSTRKKGQIKCCSIKPRKIHFSEDNNGDEIQEGSHKSEISLPAEKMSSHSNKMGNIHGSQNKRDYCEPKNRNKERDPHQSARKTPFPAEKASSLSNKMGDFHGSCRNKREYTEPKNRNQERDSHKSASEDSHQSLWTLRTGQQKDFSSSAVPEHGDQQEKFDPPSSNSAVDPQNDFQSPPFKINSCTLSSPPSSMPKYDQIKQVFGSPEQAVRNFTVGKINSFRTLWTSKADCFASNSQTESPVSLAILRASI >Potri.014G141400.1.v4.1 pep chromosome:Pop_tri_v4:14:9605047:9608483:-1 gene:Potri.014G141400.v4.1 transcript:Potri.014G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141400.v4.1 MDGSQSNSSNAPPPFLTKTYDMVDDPLTNSVVSWSHSGCSFVVWNPPEFAQDLLPKYFKHNNFSSFVRQLNTYGFRKIDPDQWEFGNEEFIRGQRHILKNIHRRKPVHSHSMQNHGIISPLAETEKQEYEKEINRLKHDKNELELELQRNEAEKQGFEFQIVSLGERLQSMECRQKQLMSFLGQLMRKPEFASLLMQQSEYHNKKRKLLKLDYFQGDYKEENENLCSPIANLDGLPAPMLHPESVEKLDSSLKFLEDFLYAVGESFSEEVCHVGVRSQPSMVIVRELSSSSADGEPWSPRSFPSSPHSRDIRSSPELARCINNVVRQTTPSYLGDDTMPESSQLQANCKHSRAPASEVIKAPALEMKSPTVVNGANDLFWQHFLTEAPGPHDGQESEGRTERRITDDIRSNTKPDSHERSWWNTNYIDNLAKNMGHIATAERS >Potri.011G168600.1.v4.1 pep chromosome:Pop_tri_v4:11:19167750:19172233:1 gene:Potri.011G168600.v4.1 transcript:Potri.011G168600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168600.v4.1 MSHYQGDDAEYMEDEYEMEDVDDDMDEEFRAREMGSDSDIDEYDYLNNKVADTSAADARNGKDIQGIPWERLSITRDKYRQTRLEQYKNYENIPHSGEDSKKDCKITEKGGMYYEFRRNSRSVKSTILHFQLRNLVWATTKHDVYLMSHFSVIHWSSLTCSKADVLDVSGHVAPTEKHPGSLLEGFTQTQVSTLAVKDNLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAVEIYDSPSGAVHFTASNNDHGVRDFDMEKYQLSKHFRFPWPVNHTSLSPDGKLLTIVGDSPDGMLVDSSSGKTVTSLSGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSKSLAVLKGNLGAIRSIRYTSDGQYMAMAEPADFVHVYDVKNGYEKEQEIDFFGEISGVSFSPDTEALYIGVWDRTYGSLLEYGRCRNYSYLDSFV >Potri.011G168600.3.v4.1 pep chromosome:Pop_tri_v4:11:19167753:19172226:1 gene:Potri.011G168600.v4.1 transcript:Potri.011G168600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168600.v4.1 MSHYQGDDAEYMEDEYEMEDVDDDMDEEFRAREMGSDSDIDEYDYLNNKVADTSAADARNGKDIQGIPWERLSITRDKYRQTRLEQYKNYENIPHSGEDSKKDCKITEKGGMYYEFRRNSRSVKSTILHFQLRNLVWATTKHDVYLMSHFSVIHWSSLTCSKADVLDVSGHVAPTEKHPGSLLEGFTQTQVSTLAVKDNLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAVEIYDSPSGAVHFTASNNDHGVRDFDMEKYQLSKHFRFPWPVNHTSLSPDGKLLTIVGDSPDGMLVDSSSGKTVTSLSGHLDFSFASAWHPDGVTFATGNQDKTCRIWDVRNLSKSLAVLKGNLGAIRSIRYTSDGQYMAMAEPADFVHVYDVKNGYEKEQEIDFFGEISGVSFSPDTEALYIGVWDRTYGSLLEYGRCRNYSYLDSFV >Potri.001G003800.1.v4.1 pep chromosome:Pop_tri_v4:1:224977:228947:1 gene:Potri.001G003800.v4.1 transcript:Potri.001G003800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003800.v4.1 MGSCAYGGCVHFSRSRLLVLVFCLCSLVSLSCAARLSVSRQKLEVQKHLDRLNKPAVKSIESPDGDIIDCVHMSHQPAFDHPYLKDHKIQMRPGYHPEGRVFDDNKVSTESKERTNPITQSWHVNGKCPEGTIPIRRTKKDDVLRASSVKRYGKKKHRAIPQPRSADPDLVNESGHQHAIAYVEGDKYYGAKATLNVWEPKIQQPNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSGYRNSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGQHTSTQMGSGRFPEEGFGKSSYFRNVQVVDASNNLKAPKGIGTFTEQSNCYDVLTGNNGDWGHYFYYGGPGRNENCQ >Potri.015G136400.1.v4.1 pep chromosome:Pop_tri_v4:15:14542093:14543118:1 gene:Potri.015G136400.v4.1 transcript:Potri.015G136400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBF2 MDVFCSYSDQNPIGSMSLLSVLDEQECSYSSVLSDSSITSSVTKGVQPGAIFSDEEVILASRNPKKRAGRKKFRETRHPVYRGVRRRNSGKWVCEVREPNKKSRIWLGTFPTADMAARAHDVAALALRGRSACLNFADSAWRLPTPASSDAKDIQKAAAEAAEAFRPEGSLGVELTRTGDEVEKVAGTAAGDVFYMDDDADFGMPGLLANIAEGMLLPPPNCCGYSGGDSLDNMENNDTDMSLWSFSV >Potri.010G173900.1.v4.1 pep chromosome:Pop_tri_v4:10:17455045:17459703:-1 gene:Potri.010G173900.v4.1 transcript:Potri.010G173900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173900.v4.1 MSSHTASSSNGGNGSGDSGAPRRNSKRPKYSKFTQQELPACKPILTPRWVVSAFMLVAIVFIPIGIACLLGSRDVVEVVKRYETECIPVGNRGNEVQFIQSAADKTCTISMTIPKRMKQPIYVYYQLDNFYQNHRRYVKSRSDEQLKSASKENDTSSCEPEDTATGRGAIVPCGLIAWSLFNDTYSFSRLNQSLTVNKKGIAWKSDKEKRFGKDVFPKNFQGGGLVGGARLDPLTRLSDQEDLMVWMRTAALPTFRKLYGKIEVDLDAKEVINVTLGNNYNTYSFNGKKKLVLSTTSWIGGRNDFLGIAYLTVGMICFALSMGFTIVYFVKPRRLGDPTFLSWNRGPGSH >Potri.011G077700.5.v4.1 pep chromosome:Pop_tri_v4:11:8354824:8358056:1 gene:Potri.011G077700.v4.1 transcript:Potri.011G077700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077700.v4.1 MGNGDPKSPDERESDGGEIGSPVSLDQHNHQHPFNEGNGESEKGGPSPANSFAHQNKPMEGVPGDAEGSHKVEPEDNSAIKIEREVNSEQNVESKDIIEHVDCPKESHDEDDRSSSSSSSSNESQVFEKKSKEANDEEKEVVFEKKSKEANDEEKEFGSFSKEVKQIPENGKPVKEVNNNSVLETASAIYLVNPVVPISETVKFVMERAQVENSEVLEVVESGFEENEDRLLPISNEVVEVSPAIVVPKKNEDEVIPISDENVGASSNVVGSSVYGNLGKTLASSDSHSTETGNGADKNKDTDALESTENQLLLASAPRVAERTSWMGCCGLFDVFTGTNR >Potri.011G077700.1.v4.1 pep chromosome:Pop_tri_v4:11:8354190:8358062:1 gene:Potri.011G077700.v4.1 transcript:Potri.011G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077700.v4.1 MPSGAKKRKAARKKREKEVSDSSSISSNNPQGNGDPKSPDERESDGGEIGSPVSLDQHNHQHPFNEGNGESEKGGPSPANSFAHQNKPMEGVPGDAEGSHKVEPEDNSAIKIEREVNSEQNVESKDIIEHVDCPKESHDEDDRSSSSSSSSNESQVFEKKSKEANDEEKEVVFEKKSKEANDEEKEFGSFSKEVKQIPENGKPVKEVNNNSVLETASAIYLVNPVVPISETVKFVMERAQVENSEVLEVVESGFEENEDRLLPISNEVVEVSPAIVVPKKNEDEVIPISDENVGASSNVVGSSVYGNLGKTLASSDSHSTETGNGADKNKDTDALESTENQLLLASAPRVAERTSWMGCCGLFDVFTGTNR >Potri.019G054200.3.v4.1 pep chromosome:Pop_tri_v4:19:9145748:9150965:1 gene:Potri.019G054200.v4.1 transcript:Potri.019G054200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054200.v4.1 MGSCLSAESRSPRPGTPSSPGFGVRKRKNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSDIASLFTQQGKKGTNQDAMIVWENFGSRRDAVFCGVFDGHGPYGHMVAKRVRDSLPLKLTAHWEVNVASEDVLREISLNTTGSMNSEDTSFISADDESRASVDLEDAEKHPEIFQTLKESFLKAFKIMDRELRVHTNIDCFCSGTTAVTLVKQGQYLVLGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPVEAERIRKCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDISYRCLTEKDEFIVLATDGIWDVLSNKEVVDIVGSVPSRSSAAKTLVELAVRAWRYKYPTSKIDDCAVVCLFLNSNDISTASNTKSNEQLTSVDQVDSGRQKEDDLSSPTGLDRAGTVRTEKEALLGGNAEEDSTKQDEMQLEYGIEWSALEGVSRVNTLLNLPRFVPGKEEKKAAGEAKTRK >Potri.019G054200.6.v4.1 pep chromosome:Pop_tri_v4:19:9145628:9151319:1 gene:Potri.019G054200.v4.1 transcript:Potri.019G054200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054200.v4.1 MGSCLSAESRSPRPGTPSSPGFGVRKRKNSKKRPGSRNSSFDYRREEPLHRIPGRLFLNGSSDIASLFTQQGKKGTNQDAMIVWENFGSRRDAVFCGVFDGHGPYGHMVAKRVRDSLPLKLTAHWEVNVASEDVLREISLNTTGSMNSEDTSFISADDESRASVDLEDAEKHPEIFQTLKESFLKAFKIMDRELRVHTNIDCFCSGTTAVTLVKQGQYLVLGNVGDSRAVLGTRDKDDSLVAVQLTVDLKPNLPVEAERIRKCRGRVFALQDEPEVSRVWLPNNDSPGLAMARAFGDFCLKDFGLISVPDISYRCLTEKDEFIVLATDGIWDVLSNKEVVDIVGSVPSRSSAAKTLVELAVRAWRYKYPTSKIDDCAVVCLFLNSNDISTASNTKSNEQLTSVDQVDSGRQKEDDLSSPTGLDRAGTVRTEKEALLGGNAEEDSTKQDEMQLEYGIEWSALEGVSRVNTLLNLPRFVPGKEEKKAAGEAKTRK >Potri.018G067700.1.v4.1 pep chromosome:Pop_tri_v4:18:8137046:8140964:1 gene:Potri.018G067700.v4.1 transcript:Potri.018G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067700.v4.1 MAESNDSVFVDVEKIYLGGKEHHVRTGCGSVSVIVYGDQDKPALITYPDLALNYMSCFQGLFFCPEAASLLVHNFCIYHISPPGHELGAAPISPDDPLPSVDDLADQIIEVLNYFGLGAVMCMGVTAGAYILTLFAMKYRQRVLGLILVSPLCKTPSWTEWLYNKVMSNLLYFYGMCGLLKEFLLQRYFSKDVRGSAEVPESDIAQACRGLLDERQGINVLRFLQAINQRPDITSGLKKLRCRTLVFVGDNSPFHSEALHMITKLDRRYSALVEVQACGSMVTEEQPHAMLIPMEYFFMGYGLYRPCQLSDSPRSPLSPSCISPELLSPESMGLKLKPIKTRVSLEG >Potri.015G012700.1.v4.1 pep chromosome:Pop_tri_v4:15:806241:809418:1 gene:Potri.015G012700.v4.1 transcript:Potri.015G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012700.v4.1 MASKAVKTVAKAVAEYQYPWKEKLAKHKNELSKGVWGYWKLGAWTPLHISGRRRARLRKEVLLAGEDWPYDPERKEMRTKMKGHKCDRIAAERRAKTAKLMEQMPEMLLAYKKRRWEKKMKEEDKNK >Potri.015G006200.7.v4.1 pep chromosome:Pop_tri_v4:15:373383:375099:-1 gene:Potri.015G006200.v4.1 transcript:Potri.015G006200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006200.v4.1 MFVVNFCYFWAHAVGNGLDVRFTKRADLEPGRCRRTDGKKWRCSRDVAPDKKYCERHMHRGRPRSRKHVELNTSSNSNSNKKSRHNPSICTESPVTVAISNPTINNNNSSSASHDHFVGTMPQPYIQTPVFVNKTSEKIATFDANGAFGSTYKEPRSFDWMLKGGTGPIVTNDQQWPHLVHTEIGLATEGSFNNASVLKQHYREESLNLNSYGNLNAREDQHRSQYSLFLDGAPRSYIDAWSNDANSGNTSSVSSDGKLPLSPLSLSMGGNRSVDDEMGQIQMGLGLIKPDQNQECGGDTSSTPGGPLAEVLQLRTVNINTGTNQSSSVIENGDSICPPATRVSSPSEVLQKTHASLSDSSGNRVQHLPVQGPNLKLPCFG >Potri.015G006200.4.v4.1 pep chromosome:Pop_tri_v4:15:373386:375324:-1 gene:Potri.015G006200.v4.1 transcript:Potri.015G006200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006200.v4.1 MSKSQVWCGLTNTVIFFLPSSGGNMAASLGFPFTNAQWNELERQAMIYKYMVSSNHVPPHLLIPTPLMGNGLDVRFTKRADLEPGRCRRTDGKKWRCSRDVAPDKKYCERHMHRGRPRSRKHVELNTSSNSNSNKKSRHNPSICTESPVTVAISNPTINNNNSSSASHDHFVGTMPQPYIQTPVFVNKTSEKIATFDANGAFGSTYKEPRSFDWMLKGGTGPIVTNDQQWPHLVHTEIGLATEGSFNNASVLKQHYREESLNLNSYGNLNAREDQHRSQYSLFLDGAPRSYIDAWSNDANSGNTSSVSSDGKLPLSPLSLSMGGNRSVDDEMGQIQMGLGLIKPDQNQECGGDTSSTPGGPLAEVLQLRTVNINTGTNQSSSVIENGDSICPPATRVSSPSEVLQKTHASLSDSSGNRVQHLPVQGPNLKLPCFG >Potri.015G006200.6.v4.1 pep chromosome:Pop_tri_v4:15:373392:376079:-1 gene:Potri.015G006200.v4.1 transcript:Potri.015G006200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006200.v4.1 MRINYGFDVSDKAAKERNMTSDVDFGVKLHQPIDHHQSFPFRRTTMMVHHANHHRPFDNGPTSSYDRNKSLINYSREHIYSVGACGGAVGVRTLQPFDTSESIITTASAFKSPGGNMAASLGFPFTNAQWNELERQAMIYKYMVSSNHVPPHLLIPTPLMGNGLDVRFTKRADLEPGRCRRTDGKKWRCSRDVAPDKKYCERHMHRGRPRSRKHVELNTSSNSNSNKKSRHNPSICTESPVTVAISNPTINNNNSSSASHDHFVGTMPQPYIQTPVFVNKTSEKIATFDANGAFGSTYKEPRSFDWMLKGGTGPIVTNDQQWPHLVHTEIGLATEGSFNNASVLKQHYREESLNLNSYGNLNAREDQHRSQYSLFLDGAPRSYIDAWSNDANSGNTSSVSSDGKLPLSPLSLSMGGNRSVDDEMGQIQMGLGLIKPDQNQECGGDTSSTPGGPLAEVLQLRTVNINTGTNQSSSVIENGDSICPPATRVSSPSEVLQKTHASLSDSSGNRVQHLPVQGPNLKLPCFG >Potri.001G342400.1.v4.1 pep chromosome:Pop_tri_v4:1:35327543:35329619:-1 gene:Potri.001G342400.v4.1 transcript:Potri.001G342400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342400.v4.1 MSEESTKMETKEGSNLLGSPTFTQLENGRFKCLESGHEVLAKDKESYSHSKRCRLGLIDFALANNKPPLNMFKQDPLSRSKLICKLTGDTVNKSEEHIWKHINGKRFLNKLEQKEMEKLESNGLVAEEVEEELKSSQDGAKKKKKNKKKQNKVEEIISQVRDSSDKDSDLEETDFWIPPAGERWDFDDGGDRWGSDAESEHESQEENPADDAVEDNGEESKELSTRAKRMSIEIGPSSSASRKKKSKKDPAS >Potri.001G082150.2.v4.1 pep chromosome:Pop_tri_v4:1:6509328:6512996:-1 gene:Potri.001G082150.v4.1 transcript:Potri.001G082150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082150.v4.1 MASNAWRKLKKSLKSKLSFLSTPRSPTNDTTATTENPRVATATPPLLPTISSSSSFSSRLSRSFSLHSSPKKCAICLTSLKKGQGQAIFYAECSHPFHFNCIADNVKHGNLRCPVCRSKWKDVPFQAPKNVPSFQRSGSLHAYVPNASPVHIEPDHFSDDELVPDVSQGQPSSSRPHAITVKTLPEYPAVSASESFSKFGVLVRVLAPPLDNTLPHHRAPIDIVNVLDVSGSMAGKLILLKRAVNFIIQNLGPSDRLSIVTFSSSARRILPLRTMSGSGREDAISVVNSLSATGGTNIVAGLRKGVRVLEERRQHNSVASIILLSDGCDTQSHSTHNRLEYLKLIFPSNNASGEESRQPTFPIHTFGFGLDHDSAAMHAISDVSGGTFSFIESIDILQDAFARCIGGLTSIVARDVQLKVRSASPGVQILSTPSGRHKNKIFDQGHQATIDIGDLYAEEEKEFLVFLSIPVFPAVDGEEMLENMPLVDVSGFQKDSVSTDTVEVEGERVEIRRPQFLSSTDWVPCLEVDRQRNRLLVTETIAKTQRMAEMGDLKGAQALLAEQLSTLLSTASAQAGDDLCNQLEAELKETRKRMETRELYERSGRAYVLSGMSSHSWQRAATRGPSMPISSGGNSDMRRTTTSYETPSMTTMVTKSQNLNLAPRE >Potri.001G082150.3.v4.1 pep chromosome:Pop_tri_v4:1:6509419:6512928:-1 gene:Potri.001G082150.v4.1 transcript:Potri.001G082150.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082150.v4.1 MAGKLILLKRAVNFIIQNLGPSDRLSIVTFSSSARRILPLRTMSGSGREDAISVVNSLSATGGTNIVAGLRKGVRVLEERRQHNSVASIILLSDGCDTQSHSTHNRLEYLKLIFPSNNASGEESRQPTFPIHTFGFGLDHDSAAMHAISDVSGGTFSFIESIDILQDAFARCIGGLTSIVARDVQLKVRSASPGVQILSTPSGRHKNKIFDQGHQATIDIGDLYAEEEKEFLVFLSIPVFPAVDGEEMLENMPLVDVSGFQKDSVSTDTVEVEGERVEIRRPQFLSSTDWVPCLEVDRQRNRLLVTETIAKTQRMAEMGDLKGAQALLAEQLSTLLSTASAQAGDDLCNQLEAELKETRKRMETRELYERSGRAYVLSGMSSHSWQRAATRGPSMPISSGGNSDMRRTTTSYETPSMTTMVTKSQNLNLAPRE >Potri.001G244100.7.v4.1 pep chromosome:Pop_tri_v4:1:26160101:26163938:-1 gene:Potri.001G244100.v4.1 transcript:Potri.001G244100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244100.v4.1 MAYSAITSPPPLRFHSPFHHQPPKPRPHSLVFCSFGDQKNPTSKTSCLFKPNKNIPFFSHQPLLSAPKLRFNVQYSAGEEAEPLVTDATNQEDFSWSSVILPFFFPALGGLLFGYDIGATSGATLSLQSAELSGISWFNLSAVQLGLVVSGSLYGALLGSLLVYPIADFLGRRRELIAAALLYLFGGLVTGYAPGLNVLLLGRLLYGLGIGLAMHGAPLYIAETCPSQIRGTLISLKELFIVLGILMGFLVGSIQINAVGGWRYMYGFGVPISLLMGLGMWSLPPSPRWLLLRAVQGKGSFQEYKEKAISALSKLRGRPPGDKVSEKQIEESLVSLKSAYKEDETEGSFLEVFQGPSLKAFVIGGGLVLFQQITGQPSVLYYAGPILQSAGFSAAADATRVSVVIGLFKLAMTWIAVLKVDDLGRRPLLIGGVSGIALSLFLLSAYYKFLGGFPLVAVAALLLYVGCYQISFGPISWLMVSEIFPLRTRGRGISLAVLTNFGSNAIVTFAFSPLKELLGAENLFLLFGAIALLSLLFVVVIVPETKGLSLEEIESKILK >Potri.008G027900.3.v4.1 pep chromosome:Pop_tri_v4:8:1464550:1465655:1 gene:Potri.008G027900.v4.1 transcript:Potri.008G027900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027900.v4.1 MAEKTFKFLVSLFLLLIILIKFVQSEPTGHHPFKSILNLVESQKGQSVSKLHLVKQYLKKFGYLDYDLSSNKNLNQVDDDETYQQNFHLEVTGRLDKRTVNQMMKPRCGVADIFNSTKHHNSSKSSDGVANADYSFFPGAPRWSSKHLKYTFGATVQVAGAESIRSVCKQSFQKWAQVTDFTFEEVPNSADADIKIAFYQLDHGDDEPFDGPGGIFAHGFRPTIGMLHFDADETWSSNPGSLELDLESVAVHEIGHLPELGHSGDHPDAIMYPYFDYGKTKRSLQEDDIEGIRDLYGL >Potri.001G191400.6.v4.1 pep chromosome:Pop_tri_v4:1:17541884:17545088:1 gene:Potri.001G191400.v4.1 transcript:Potri.001G191400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191400.v4.1 MEICYRAALILAFAFALLDVGCAQDALVPAIITFGDSAVDVGNNDYLPTIFKANYPPYGRDFVDQKPTGRFCNGKLATDITAETLGFKSYAPAYLSPDASGKNLLIGSNFASAASGYDEKAAALNHAIPLSQQLEYFKEYQGKLAKVAGSKSASIIKGALYILSAGSSDFLQNYYVNPYLNKIYTVDQYGSYLVGSFTSFVKTLYGLGGRKLGVTSLPPLGCLPAARTIFGYHENGCVSRINTDAQQFNKKINSAATSLQKQLPGLKIVIFDIFQPLYDLVKSPSENGFQEARRGCCGTGTVETTSLLCNPKSPGTCPNATEYVFWDSVHPSQAANQEKGGEERIRQIGLSS >Potri.001G191400.7.v4.1 pep chromosome:Pop_tri_v4:1:17541884:17545037:1 gene:Potri.001G191400.v4.1 transcript:Potri.001G191400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191400.v4.1 MEICYRAALILAFAFALLDVGCAQDALVPAIITFGDSAVDVGNNDYLPTIFKANYPPYGRDFVDQKPTGRFCNGKLATDITAETLGFKSYAPAYLSPDASGKNLLIGSNFASAASGYDEKAAALNHAIPLSQQLEYFKEYQGKLAKVAGSKSASIIKGALYILSAGSSDFLQNYYVNPYLNKIYTVDQYGSYLVGSFTSFVKTLYGLGGRKLGVTSLPPLGCLPAARTIFGYHENGCVSRINTDAQQFNKKINSAATSLQKQLPGLKIVIFDIFQPLYDLVKSPSENGTRSTFF >Potri.001G191400.1.v4.1 pep chromosome:Pop_tri_v4:1:17541884:17543502:1 gene:Potri.001G191400.v4.1 transcript:Potri.001G191400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191400.v4.1 MEICYRAALILAFAFALLDVGCAQDALVPAIITFGDSAVDVGNNDYLPTIFKANYPPYGRDFVDQKPTGRFCNGKLATDITAETLGFKSYAPAYLSPDASGKNLLIGSNFASAASGYDEKAAALNHAIPLSQQLEYFKEYQGKLAKVAGSKSASIIKGALYILSAGSSDFLQNYYVNPYLNKIYTVDQYGSYLVGSFTSFVKTLYGLGGRKLGVTSLPPLGCLPAARTIFGYHENGCVSRINTDAQQFNKKINSAATSLQKQLPGLKIVIFDIFQPLYDLVKSPSENGFQEARRGCCGTGTVETTSLLCNPKSPGTCPNATEYVFWDSVHPSQAANQVLADALILQGISLIG >Potri.009G159700.1.v4.1 pep chromosome:Pop_tri_v4:9:12374276:12378470:-1 gene:Potri.009G159700.v4.1 transcript:Potri.009G159700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159700.v4.1 MGAPCNSLGEPFSRSFKGLIVWCILLSLTLCSLATATSNKTRSLHFYKWEVEYMYWSPDGLENVVMGINGKFPGPTIRARAGDTVHVHLTNKLHTEGVVIHWHGIRQKGTPWADGTASISQCAINPGESFDYRFTVDRAGTYFYHGHYGMQRSAGLYGSLIVDVAEGEKEPFHYDGEFDLLLSDWWHESAHHQEVGLSSRPMRWIGEPQTLLVNGRGQYGCSLAAHYSNNSSLSQCNVTGHEQWAPYILHVDPNKTYRIRLSSTTALASLNLAIGNHKMLVVEADGNYLQPFETDDLDIYSGESYSVLLKTSQDPSQNYWISFGVRGRKPQTPQALTILNYKTNSASKFPLSPPPVTPRWDDYAHSKAFTNKVKALDHKTIPKPPSTYHRRIILLNTQNKMNGYTKWSINNVSLSLPATPYLGSIRFGLQNGFDQTKPPESFPVQYDVMKPPGNPNTTTGNGVYMLSYYSTVDVILQNANALAENVSEIHPWHLHGHDFWVLGYGEGKFTKDDEKKFNMKNPPYRNSAVIFPYGWTALRFVADNPGVWAFHCHIEPHLHMGMGVVLAEGVQRLPKIPKEALSCGLTGKKFMTGNNLG >Potri.002G100800.8.v4.1 pep chromosome:Pop_tri_v4:2:7369107:7376821:1 gene:Potri.002G100800.v4.1 transcript:Potri.002G100800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100800.v4.1 MQRAPVTIEEQLILKAIKEECPWENLPKRLQATLNSKDEWHRRVIEHCIKKRLQWNSCFARKVCKEGEYYEDMMRYLRKNLALFPYHLADYVCRVMRLSPFRYYCDMIFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANALILFDPDVVKGLYQRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPTEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFACRLGWADKLIDPGSILQETSIPGTPKNTLGDEEDAFHASMRSANMFNDSDSSQHGDLTVTEYSGPRSNHTQVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVAADVKVEEACNKMGTAASSIDEATSLIADVAVSENSENIGADEVKIDNDDSMNSITPEAGSVLANLVSGSTDDDTTSVILSEDINSSTEVSKSDQDVQNDDKLIPFGGSDVGEGTLKRRRDYRVDILRCESLAALAPSTLDSLFLRDYDIVVSIVPLPHSAVLPGPKGPIHFGPPSHSSLTPWMKLVLYSTVGRGPLSVVLMKGQSLRLLPAPLAGCEKALIWSWDGSTIGGLGGKFEGNLVKGSILLHCLNSLLKYSAVLVQPLSKYDLDESGRVITVDVPLPLNNSDGSIVCVGNELGLCEEESLKLNTLLTNLTHTMELPTIGYIRLLKLFSERESDHFAPSDKKYEWVPLSVEFGIPLFSPKLSNNICKRVVASELLQSDTLTEHYEAMQGLRKRLRDVCAEYQATGPAAKLLYQKEQSKESPRQLMNYASGRWNPLVDPSSPISGALSEHQRLKLANRQRCRTEVLSFDGSILRSYALTPVYEAATRPIEETPMVKSTKADPDEADSREVILPGVNLIFDGSELHPFDIGACLQARQPVSLIAEAAAASASTSIK >Potri.002G100800.6.v4.1 pep chromosome:Pop_tri_v4:2:7369091:7377947:1 gene:Potri.002G100800.v4.1 transcript:Potri.002G100800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100800.v4.1 MQRAPVTIEEQLILKAIKEECPWENLPKRLQATLNSKDEWHRRVIEHCIKKRLQWNSCFARKVCKEGEYYEDMMRYLRKNLALFPYHLADYVCRVMRLSPFRYYCDMIFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANALILFDPDVVKGLYQRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPTEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFACRLGWADKLIDPGSILQETSIPGTPKNTLGDEEDAFHASMRSANMFNDSDSSQHGDLTVTEYSGPRSNHTQVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVAADVKVEEACNKMGTAASSIDEATSLIADVAVSENSENIGADEVKIDNDDSMNSITPEAGSVLANLVSGSTDDDTTSVILSEDINSSTEVSKSDQDVQNDDKLIPFGGSDVGEGTLKRRRDYRVDILRCESLAALAPSTLDSLFLRDYDIVVSIVPLPHSAVLPGPKGPIHFGPPSHSSLTPWMKLVLYSTVGRGPLSVVLMKGQSLRLLPAPLAGCEKALIWSWDGSTIGGLGGKFEGNLVKGSILLHCLNSLLKYSAVLVQPLSKYDLDESGRVITVDVPLPLNNSDGSIVCVGNELGLCEEESLKLNTLLTNLTHTMELPTIGYIRLLKLFSERESDHFAPSDKKYEWVPLSVEFGIPLFSPKLSNNICKRVVASELLQSDTLTEHYEAMQGLRKRLRDVCAEYQATGPAAKLLYQKEQSKESPRQLMNYASGRWNPLVDPSSPISGALSEHQRLKLANRQRCRTEVLSFDGSILRSYALTPVYEAATRPIEETPMVKSTKADPDEADSREVILPGVNLIFDGSELHPFDIGACLQARQPVSLIAEAAAASASTSIK >Potri.002G100800.1.v4.1 pep chromosome:Pop_tri_v4:2:7368898:7377950:1 gene:Potri.002G100800.v4.1 transcript:Potri.002G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100800.v4.1 MQRAPVTIEEQLILKAIKEECPWENLPKRLQATLNSKDEWHRRVIEHCIKKRLQWNSCFARKVCKEGEYYEDMMRYLRKNLALFPYHLADYVCRVMRLSPFRYYCDMIFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANALILFDPDVVKGLYQRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPTEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFACRLGWADKLIDPGSILQETSIPGTPKNTLGDEEDAFHASMRSANMFNDSDSSQHGDLTVTEYSGPRSNHTQVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVAADVKVEEACNKMGTAASSIDEATSLIADVAVSENSENIGADEVKIDNDDSMNSITPEAGSVLANLVSGSTDDDTTSVILSEDINSSTEVSKSDQDVQNDDKLIPFGGSDVGEGTLKRRRDYRVDILRCESLAALAPSTLDSLFLRDYDIVVSIVPLPHSAVLPGPKGPIHFGPPSHSSLTPWMKLVLYSTVGRGPLSVVLMKGQSLRLLPAPLAGCEKALIWSWDGSTIGGLGGKFEGNLVKGSILLHCLNSLLKYSAVLVQPLSKYDLDESGRVITVDVPLPLNNSDGSIVCVGNELGLCEEESLKLNTLLTNLTHTMELPTIGYIRLLKLFSERESDHFAPSDKKYEWVPLSVEFGIPLFSPKLSNNICKRVVASELLQSDTLTEHYEAMQGLRKRLRDVCAEYQATGPAAKLLYQKEQSKESPRQLMNYASGRWNPLVDPSSPISGALSEHQRLKLANRQRCRTEVLSFDGSILRSYALTPVYEAATRPIEETPMVKSTKADPDEADSREVILPGVNLIFDGSELHPFDIGACLQARQPVSLIAEAAAASASTSIK >Potri.002G100800.7.v4.1 pep chromosome:Pop_tri_v4:2:7369087:7376838:1 gene:Potri.002G100800.v4.1 transcript:Potri.002G100800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100800.v4.1 MQRAPVTIEEQLILKAIKEECPWENLPKRLQATLNSKDEWHRRVIEHCIKKRLQWNSCFARKVCKEGEYYEDMMRYLRKNLALFPYHLADYVCRVMRLSPFRYYCDMIFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANALILFDPDVVKGLYQRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPTEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFACRLGWADKLIDPGSILQETSIPGTPKNTLGDEEDAFHASMRSANMFNDSDSSQHGDLTVTEYSGPRSNHTQVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVAADVKVEEACNKMGTAASSIDEATSLIADVAVSENSENIGADEVKIDNDDSMNSITPEAGSVLANLVSGSTDDDTTSVILSEDINSSTEVSKSDQDVQNDDKLIPFGGSDVGEGTLKRRRDYRVDILRCESLAALAPSTLDSLFLRDYDIVVSIVPLPHSAVLPGPKGPIHFGPPSHSSLTPWMKLVLYSTVGRGPLSVVLMKGQSLRLLPAPLAGCEKALIWSWDGSTIGGLGGKFEGNLVKGSILLHCLNSLLKYSAVLVQPLSKYDLDESGRVITVDVPLPLNNSDGSIVCVGNELGLCEEESLKLNTLLTNLTHTMELPTIGYIRLLKLFSERESDHFAPSDKKYEWVPLSVEFGIPLFSPKLSNNICKRVVASELLQSDTLTEHYEAMQGLRKRLRDVCAEYQATGPAAKLLYQKEQSKESPRQLMNYASGRWNPLVDPSSPISGALSEHQRLKLANRQRCRTEVLSFDGSILRSYALTPVYEAATRPIEETPMVKSTKADPDEADSREVILPGVNLIFDGSELHPFDIGACLQARQPVSLIAEAAAASASTSIK >Potri.002G100800.5.v4.1 pep chromosome:Pop_tri_v4:2:7369106:7376827:1 gene:Potri.002G100800.v4.1 transcript:Potri.002G100800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100800.v4.1 MQRAPVTIEEQLILKAIKEECPWENLPKRLQATLNSKDEWHRRVIEHCIKKRLQWNSCFARKVCKEGEYYEDMMRYLRKNLALFPYHLADYVCRVMRLSPFRYYCDMIFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANALILFDPDVVKGLYQRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPTEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFACRLGWADKLIDPGSILQETSIPGTPKNTLGDEEDAFHASMRSANMFNDSDSSQHGDLTVTEYSGPRSNHTQVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVAADVKVEEACNKMGTAASSIDEATSLIADVAVSENSENIGADEVKIDNDDSMNSITPEAGSVLANLVSGSTDDDTTSVILSEDINSSTEVSKSDQDVQNDDKLIPFGGSDVGEGTLKRRRDYRVDILRCESLAALAPSTLDSLFLRDYDIVVSIVPLPHSAVLPGPKGPIHFGPPSHSSLTPWMKLVLYSTVGRGPLSVVLMKGQSLRLLPAPLAGCEKALIWSWDGSTIGGLGGKFEGNLVKGSILLHCLNSLLKYSAVLVQPLSKYDLDESGRVITVDVPLPLNNSDGSIVCVGNELGLCEEESLKLNTLLTNLTHTMELPTIGYIRLLKLFSERESDHFAPSDKKYEWVPLSVEFGIPLFSPKLSNNICKRVVASELLQSDTLTEHYEAMQGLRKRLRDVCAEYQATGPAAKLLYQKEQSKESPRQLMNYASGRWNPLVDPSSPISGALSEHQRLKLANRQRCRTEVLSFDGSILRSYALTPVYEAATRPIEETPMVKSTKADPDEADSREVILPGVNLIFDGSELHPFDIGACLQARQPVSLIAEAAAASASTSIK >Potri.002G100800.4.v4.1 pep chromosome:Pop_tri_v4:2:7368898:7376840:1 gene:Potri.002G100800.v4.1 transcript:Potri.002G100800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100800.v4.1 MQRAPVTIEEQLILKAIKEECPWENLPKRLQATLNSKDEWHRRVIEHCIKKRLQWNSCFARKVCKEGEYYEDMMRYLRKNLALFPYHLADYVCRVMRLSPFRYYCDMIFEVMRNEQPYDSIPNFSAADALRLTGIGRNEFIDIMNKCRSKKIMWKLNKSIAKELLPTQPVDFAIEPWWGVCLVNFTLEEFKKLSEEETATIDKICKEEANALILFDPDVVKGLYQRGLIYFDVPVYPDDRFKVSRLEGFVSNREQSYEDPTEELLYAVFVVSSENATVAELASTLQADLSQLQAAASFACRLGWADKLIDPGSILQETSIPGTPKNTLGDEEDAFHASMRSANMFNDSDSSQHGDLTVTEYSGPRSNHTQVAFIVDANITSYLMMGSVSPGLKSHAVTLYEAGKLGHASIADLCKDLSTLEGAKFEGELQEFANHAFSLRCVLECLLSGGVAADVKVEEACNKMGTAASSIDEATSLIADVAVSENSENIGADEVKIDNDDSMNSITPEAGSVLANLVSGSTDDDTTSVILSEDINSSTEVSKSDQDVQNDDKLIPFGGSDVGEGTLKRRRDYRVDILRCESLAALAPSTLDSLFLRDYDIVVSIVPLPHSAVLPGPKGPIHFGPPSHSSLTPWMKLVLYSTVGRGPLSVVLMKGQSLRLLPAPLAGCEKALIWSWDGSTIGGLGGKFEGNLVKGSILLHCLNSLLKYSAVLVQPLSKYDLDESGRVITVDVPLPLNNSDGSIVCVGNELGLCEEESLKLNTLLTNLTHTMELPTIGYIRLLKLFSERESDHFAPSDKKYEWVPLSVEFGIPLFSPKLSNNICKRVVASELLQSDTLTEHYEAMQGLRKRLRDVCAEYQATGPAAKLLYQKEQSKESPRQLMNYASGRWNPLVDPSSPISGALSEHQRLKLANRQRCRTEVLSFDGSILRSYALTPVYEAATRPIEETPMVKSTKADPDEADSREVILPGVNLIFDGSELHPFDIGACLQARQPVSLIAEAAAASASTSIK >Potri.002G069800.1.v4.1 pep chromosome:Pop_tri_v4:2:4849542:4852538:-1 gene:Potri.002G069800.v4.1 transcript:Potri.002G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069800.v4.1 MEAVDAVPVHLNGKVEIEDFDLTVVEAENGDKQNGREIVLGRNVHTTCLEVTEPEANDESTGDKEAYMASVLARYRKNLMERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKSEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMGCVKVDCLVSGEIDCADFKVKLLANKDKPAIINVNIGTTVKGAVDDLDLVIQTLEESGFSQDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAYYLKDRLRDAGISAMLNELSSTVVFERPIDEDFVRRWQLACQGNIAHVVVMPSVTIEKLDDFVNELVEKRSTWYQDEKVRPPCIAADIGSQNCSCDLHK >Potri.002G069800.4.v4.1 pep chromosome:Pop_tri_v4:2:4849570:4852536:-1 gene:Potri.002G069800.v4.1 transcript:Potri.002G069800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069800.v4.1 MEAVDAVPVHLNGKVEIEDFDLTVVEAENGDKQNGREIVLGRNVHTTCLEVTEPEANDESTGDKEAYMASVLARYRKNLMERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKSEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMGCVKVDCLVSGEIDCADFKVKLLANKDKPAIINVNIGTTVKGAVDDLDLVIQTLEESGFSQDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAYYLKDRLRDAGISAMLNELSSTVVFERPIDEDFVRRWQLACQGNIAHVVVMPSVTIEKLDDFVNELVEKRSTWYQDEKVRPPCIAADIGSQNCSCDLHK >Potri.002G069800.2.v4.1 pep chromosome:Pop_tri_v4:2:4849536:4852538:-1 gene:Potri.002G069800.v4.1 transcript:Potri.002G069800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069800.v4.1 MEAVDAVPVHLNGKVEIEDFDLTVVEAENGDKQNGREIVLGRNVHTTCLEVTEPEANDESTGDKEAYMASVLARYRKNLMERTKHHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKSEYWGYITNCGTEGNLHGILVGREVFPDGILYASRESHYSVFKAARMYRMGCVKVDCLVSGEIDCADFKVKLLANKDKPAIINVNIGTTVKGAVDDLDLVIQTLEESGFSQDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHINVLSSNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAYYLKDRLRDAGISAMLNELSSTVVFERPIDEDFVRRWQLACQGNIAHVVVMPSVTIEKLDDFVNELVEKRSTWYQDEKVRPPCIAADIGSQNCSCDLHK >Potri.004G095250.1.v4.1 pep chromosome:Pop_tri_v4:4:8190087:8190604:-1 gene:Potri.004G095250.v4.1 transcript:Potri.004G095250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095250.v4.1 MTIWLVFESILHIYCITSSHDMGTNPMYSQVSMQHFHVVFCS >Potri.005G239100.2.v4.1 pep chromosome:Pop_tri_v4:5:23638805:23639475:-1 gene:Potri.005G239100.v4.1 transcript:Potri.005G239100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239100.v4.1 MAMITKFLIALLFFSLLVLHLAEADHDTVNSNLAASSPPTKIDCGSACEARCQLSSRPRLCKRACGTCCSRCSCVPPGTAGNYDACPCYASLTTHGGRRKCP >Potri.003G168400.2.v4.1 pep chromosome:Pop_tri_v4:3:17724241:17726256:1 gene:Potri.003G168400.v4.1 transcript:Potri.003G168400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168400.v4.1 MTFCLDIHSLSDFCLTFHANSAQHILTATIFCMPCSYSEPSKLLIQRNLYQVKGEMSGVSLAVNPPYEPNGTTVSATKAQQKAPLRRQEQQNSMVGGLMGSLRVIELQLVAFIMVFSISGLVPLLDLVFPAFTSAYLLALSRFAFPSYGRTSSGIFQGSRFFRFYVILGTTIGLFLPLAYVLGGFARGDDQAVRSVTPHLFLLSFQILTENIISGLSLFSPPVRALVPLLYTVRRIFVIIDWINDVWLTKTLPATAQVKDIAWYWFGRSLAAANLAYFSINLLLFLIPKFLPRAFEKYFREMGENESKMAEDKRFAAANKTKSADKKAA >Potri.003G168400.1.v4.1 pep chromosome:Pop_tri_v4:3:17724045:17726256:1 gene:Potri.003G168400.v4.1 transcript:Potri.003G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168400.v4.1 MSGVSLAVNPPYEPNGTTVSATKAQQKAPLRRQEQQNSMVGGLMGSLRVIELQLVAFIMVFSISGLVPLLDLVFPAFTSAYLLALSRFAFPSYGRTSSGIFQGSRFFRFYVILGTTIGLFLPLAYVLGGFARGDDQAVRSVTPHLFLLSFQILTENIISGLSLFSPPVRALVPLLYTVRRIFVIIDWINDVWLTKTLPATAQVKDIAWYWFGRSLAAANLAYFSINLLLFLIPKFLPRAFEKYFREMGENESKMAEDKRFAAANKTKSADKKAA >Potri.002G092650.1.v4.1 pep chromosome:Pop_tri_v4:2:6721483:6721803:1 gene:Potri.002G092650.v4.1 transcript:Potri.002G092650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092650.v4.1 MCFTIKRKLTEKELKEKEKRRKAKEKEKERKEGGKNAREKEKRKREESERKEREERRRREDKEKEKMWEEEEEMKRKKEKEKRKHEELKRKEREKKEKLKHGTTKK >Potri.018G001900.2.v4.1 pep chromosome:Pop_tri_v4:18:131788:136097:-1 gene:Potri.018G001900.v4.1 transcript:Potri.018G001900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001900.v4.1 MVGKMVEGQKFTGLIGASNNNGNNYYGFTQGFYQELGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHHNYSVSVGQSVFRPGKVTHALNDDALAQALVDNRYPTEGLQHYDDWTIDLRKLNMGTPFAQGAFGKLYRGTYNGEDVAIKILERPGNSPEKAQVTEQQFQQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKIAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKTIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGSLPFQNMTAVQAAFAVVNKGVRPIIPYDCLPVLSYIMTRCWDANPEIRPPFTDVVRMLENAETQILTNVRKARFRCCIAQPMTVE >Potri.018G001900.3.v4.1 pep chromosome:Pop_tri_v4:18:131746:134637:-1 gene:Potri.018G001900.v4.1 transcript:Potri.018G001900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001900.v4.1 MVGKMVEGQKFTGLIGASNNNGNNYYGFTQGFYQELGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHHNYSVSVGQSVFRPGKVTHALNDDALAQALVDNRYPTEGLQHYDDWTIDLRKLNMGTPFAQGAFGKLYRGTYNGEDVAIKILERPGNSPEKAQVTEQQFQQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKIAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKTIKIADFGVARIEVQTEGMTPETGTYRWMAP >Potri.018G001900.1.v4.1 pep chromosome:Pop_tri_v4:18:131628:135823:-1 gene:Potri.018G001900.v4.1 transcript:Potri.018G001900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001900.v4.1 MVGKMVEGQKFTGLIGASNNNGNNYYGFTQGFYQELGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHHNYSVSVGQSVFRPGKVTHALNDDALAQALVDNRYPTEGLQHYDDWTIDLRKLNMGTPFAQGAFGKLYRGTYNGEDVAIKILERPGNSPEKAQVTEQQFQQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKIAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKTIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGSLPFQNMTAVQAAFAVVNKGVRPIIPYDCLPVLSYIMTRCWDANPEIRPPFTDVVRMLENAETQILTNVRKARFRCCIAQPMTVE >Potri.017G071400.1.v4.1 pep chromosome:Pop_tri_v4:17:7766945:7773702:-1 gene:Potri.017G071400.v4.1 transcript:Potri.017G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071400.v4.1 MTAAATTSSAGPRYAPDDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPSTSAIPPKSSSSVPITPSVQVEQSSSHRGYSPDDRYGRAHNAESKADAVTRSSQSSRGTAIQSENVPNGTANGISARGHGSSSGGSGLTGEAYRRRHEITVTGDEVPPPLTSFEATGFPSEILKEVLKAGFSSPTPIQAQSWPVALQSRDIVAVAKTGSGKTLGYLIPGFIHLKRCRNDPQLGPTVLVLSPTRELATQIQDEAVKFGKSSRISCTCLYGGAPKGPQLKELDRGADIVVATPGRLNDILEMRRVSLNQVKYLVLDEADRMLDMGFEPQIRKIVKEVPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNIDELVANKSITQHVELLAPLEKHRRLEQILRSQEPGSKIIIFCSTKKMCDQLARNLTRQFGAAAIHGDKSQSERDHVLSQFRTGRSPILVATDVAARGLDIKDIRVVVNYDFPTGVEDYVHRIGRTGRAGATGVAYTFFGDQDAKYASDLIKVLEGANQLVPPEIRAMASRGGGVMGRFRRWGSGSGGRDGGRGGHSDFGYGGRDGGRGSWGVSNSSSSRPERGGGCGHEHESQARYDRGYSDGYDKGHNHNRSPDKGSGWGDHSKSLNRDRSRSRSPDRYDRAPPVRSFHQAMMEKGRASPSNQIQHERSRSPCAAAVGGSSFHKAVMERGQSPCRGSGGSFHKEMMELGRSSSYHAQQERGRSLRSGGINAGPRSGHDGRGFNAGPHSYFGEEEEGMIPADEDATG >Potri.001G129100.6.v4.1 pep chromosome:Pop_tri_v4:1:10569583:10573881:-1 gene:Potri.001G129100.v4.1 transcript:Potri.001G129100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129100.v4.1 MARKGLMEQDLSKLDVTTLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPKCYKAYGSGKEDNPMCDVEGFQNCRMNLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENAAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGYEVDEIRGGVAGGSILRGVLKVNQFIEVRPGIIVKDEAGNMKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGDVGSLPEVFGELEVNFFLLRRLIGVRTKGSEKQGKVSKLTKGEILMLNIGSMSSGARVLAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGMIQAGTTIEVPPCPL >Potri.001G129100.5.v4.1 pep chromosome:Pop_tri_v4:1:10569437:10573897:-1 gene:Potri.001G129100.v4.1 transcript:Potri.001G129100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129100.v4.1 MARKGLMEQDLSKLDVTTLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPKCYKAYGSGKEDNPMCDVEGFQNCRMNLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENAAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGYEVDEIRGGVAGGSILRGVLKVNQFIEVRPGIIVKDEAGNMKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGDVGSLPEVFGELEVNFFLLRRLIGVRTKGSEKQGKVSKLTKGEILMLNIGSMSSGARVLAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGMIQAGTTIEVPPCPL >Potri.001G129100.7.v4.1 pep chromosome:Pop_tri_v4:1:10569584:10573881:-1 gene:Potri.001G129100.v4.1 transcript:Potri.001G129100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129100.v4.1 MARKGLMEQDLSKLDVTTLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPKCYKAYGSGKEDNPMCDVEGFQNCRMNLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENAAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGYEVDEIRGGVAGGSILRGVLKVNQFIEVRPGIIVKDEAGNMKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGDVGSLPEVFGELEVNFFLLRRLIGVRTKGSEKQGKVSKLTKGEILMLNIGSMSSGARVLAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGMIQAGTTIEVPPCPL >Potri.001G129100.1.v4.1 pep chromosome:Pop_tri_v4:1:10569429:10573943:-1 gene:Potri.001G129100.v4.1 transcript:Potri.001G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129100.v4.1 MARKGLMEQDLSKLDVTTLHPLSPEVISRQATINIGTIGHVAHGKSTVVKAISGVQTVRFKNELERNITIKLGYANAKIYKCEDERCPRPKCYKAYGSGKEDNPMCDVEGFQNCRMNLLRHVSFVDCPGHDILMATMLNGAAIMDGALLLIAANESCPQPQTSEHLAAVEIMRLQHIIILQNKVDLIQENAAINQHEAIQKFIQGTVADGAPVVPISAQLKYNIDVVCEYIVKKIPIPERNFTSPPNMIVIRSFDVNKPGYEVDEIRGGVAGGSILRGVLKVNQFIEVRPGIIVKDEAGNMKCTPIYTRIVSLYAEQNELQFAVPGGLIGVGTTMDPTLTRADRLVGQVLGDVGSLPEVFGELEVNFFLLRRLIGVRTKGSEKQGKVSKLTKGEILMLNIGSMSSGARVLAVKNDLAKLQLTSPVCTSKGEKIALSRRVEKHWRLIGWGMIQAGTTIEVPPCPL >Potri.008G006500.1.v4.1 pep chromosome:Pop_tri_v4:8:307431:309569:1 gene:Potri.008G006500.v4.1 transcript:Potri.008G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006500.v4.1 MRTSKISSGNSGYHAWILAFAMSLLILIALSKSWFYDHASATASEDLQYFSVIVPSKGRAYPPVLAYWICGTSGDGKRMLRLLKAIYHPRNQYLLQLDAESSDYERAELVVSVQSESLFQAYGNVNVVGKGYAINEMGSSALAAILNAAALLLKLSADWDWFINLSVSDYPLVSQDDLLHAFTSLPRDLNFINYTNDTAKNEIHKINQIVVDPSLHLQKSSHLYYAVETRTTPDAFKIFGGSPWLVLTRAFMEYCVQGWDNLPRKLLMYFSNTASPLESYFHSVLCNSPEFQNTTVSNDLRYNILETTTDGESPYDKMLNGGAAFARPFKEDAAALNMIDENVLNREPNGLVPGKWCLDQGMNKSSEASKPPGEDLCSTWGNINDVKPGSYGIKLAFLLSKIAGEEKLTTSQCLQATQMGSS >Potri.010G211800.1.v4.1 pep chromosome:Pop_tri_v4:10:20035292:20036459:1 gene:Potri.010G211800.v4.1 transcript:Potri.010G211800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211800.v4.1 MEIHKILFATNKAIACILFLTLAIAFTTSARILDEQPEVPVAPPESYNAVDNPATNVSPLPTPLAPTQPNPTGAASTSAGATITNPGHTLSFFMHDILGGTNPSTRAVTGIVSNPAVTGQVPFAKPNGANLPINNGVPQNNNNNGLINNNNLPFLTGLGGTTQPVLQNNGNNFNNAFNLPQSTGGNLPSGSAFQQLMFGTITVIDDEITEGHDLGSGFIGKAQGFYVASSVDGTSQTMAFTAMFQSGLYADSLSFFGVHRTGVSESQLAIMGGTGKYVDAQGFAIVKTIPSNNQHATDGVETLLEFAVYVSY >Potri.001G264800.1.v4.1 pep chromosome:Pop_tri_v4:1:28003887:28010598:1 gene:Potri.001G264800.v4.1 transcript:Potri.001G264800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264800.v4.1 MAFHVACPITCRRICFCPLGFTRDLHSTKSKADYLFDLARIDEFLKDPFGIRASREGTVQVSVPKVVPVPVPVQIHPPQSLAVVPGRDRGGDGGVGVVAEEAVSAQTKRVAIQRQAAAAKASAEYYAKKVESGDTAVTSKDISEEDASPLCRMCFVGETEGSERARKMLPCKSCGKKYHRSCLKTWAQHRDLFHWSSWNCPSCRTCEVCRRTGDPNKFMFCKRCDGAFHCYCQHPPHKNVSSGPYLCIKHTKCHSCGSTVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCSTCRGECYQVKDLEDAVQELWRRRDKADRGLIASLRAAAGLPAQEDIFSITPYSDDDENGPAAPRNDFGRSIKLSLKGLVEKSPKKSKDHGKKHLNKKYPKRKGPHAASFSKTESYQHESHSYEHDSGDEKNNDTESQAKGGLGRCSSPVAGIVNHTEGICSINQPGALKHKFVEEVMVSDGERTSKIVKIKSNKPRDLDSGDDAEKPSKSKSVKAKKLVINLGARKINVSSSPKSDAQSCQREQDLKASNGDGVDHSEQKRGLIKFARREGNFIKFGKVKAEASSLNLKSDGGNHFDAYETTPLDHARVTSSKRSLEGSRAAVGPAGEVPMLRNDRVSLGKQSEARLDTHTESNDDSGDTPILHSLPKDSKLSLKLKIKKPNLENQSSQILLHEEEKSNTRGQRSKRKRASTFMDKTMYNEDEDMSESHLDSEMMEANWILKKLGKDAIGKRVEVHQPSDNSWHKGVVSDIVEGTSLLSITLDDGRVKTLELGKQAVRFVPQKQKRSKT >Potri.001G264800.3.v4.1 pep chromosome:Pop_tri_v4:1:28004154:28010705:1 gene:Potri.001G264800.v4.1 transcript:Potri.001G264800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264800.v4.1 MCFVGETEGSERARKMLPCKSCGKKYHRSCLKTWAQHRDLFHWSSWNCPSCRTCEVCRRTGDPNKFMFCKRCDGAFHCYCQHPPHKNVSSGPYLCIKHTKCHSCGSTVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCSTCRGECYQVKDLEDAVQELWRRRDKADRGLIASLRAAAGLPAQEDIFSITPYSDDDENGPAAPRNDFGRSIKLSLKGLVEKSPKKSKDHGKKHLNKKYPKRKGPHAASFSKTESYQHESHSYEHDSGDEKNNDTESQAKGGLGRCSSPVAGIVNHTEGICSINQPGALKHKFVEEVMVSDGERTSKIVKIKSNKPRDLDSGDDAEKPSKSKSVKAKKLVINLGARKINVSSSPKSDAQSCQREQDLKASNGDGVDHSEQKRGLIKFARREGNFIKFGKVKAEASSLNLKSDGGNHFDAYETTPLDHARVTSSKRSLEGSRAAVGPAGEVPMLRNDRVSLGKQSEARLDTHTESNDDSGDTPILHSLPKDSKLSLKLKIKKPNLENQSSQILLHEEEKSNTRGQRSKRKRASTFMDKTMYNEDEDMSESHLDSEMMEANWILKKLGKDAIGKRVEVHQPSDNSWHKGVVSDIVEGTSLLSITLDDGRVKTLELGKQAVRFVPQKQKRSKT >Potri.002G220000.1.v4.1 pep chromosome:Pop_tri_v4:2:20140002:20141845:-1 gene:Potri.002G220000.v4.1 transcript:Potri.002G220000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220000.v4.1 MLFKTYSIYTTRTKHLLHRKSLINPAFNLHTKPISSLKVVWRRDPQLDHAIERDKPYKICSKVVKEVLNEPGQVIPLRYLENRRERLRLNVRISTFLNKNPALFDVYQDRIKPKSEPVRFVRVSHRLRNFLEEEKRIVLENEGWIVSKLCKLLMMAKDKVLSVDKLVHVKREFGFPNDFLVNLVPNYPNYFRLIGPPEEGKSFLELVEWNPEFAKSIIEQRAEDEFGLTGIRIRPNFYYKLPPGFFLRKEMREWIRDWLELDYISPYVDVSHLDQASQEMEKRTVGVFHELLSLSLFKRIPVPILGKFSDEYRFSNTFSSVFTRHPGIFYMSLKGGIKTAMLREAYKDSELIQRDPLLEIKDKFVQLLEEGWQERQEQLRLQREEVKKNRVMMALGDGDTDGQESSREFDGQEVK >Potri.014G089600.1.v4.1 pep chromosome:Pop_tri_v4:14:5815694:5818580:-1 gene:Potri.014G089600.v4.1 transcript:Potri.014G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089600.v4.1 MDRNHIESNSYKYLITNKSSSWLEIRLFYVRVTPCVIESVPDHLTIRHLRRSISTPLEINGSKIPSSDSASLTLRRDRLNKESSEVTYVSTDSVRVTRGVEFEVLDNKDMVLCGSLERIETTSWGNNGGVGGLENDAKTGWSLECCVAAGVFEGNSVFKLGVSAPVIEVYIAGCCGGVPVILTKTILVGPMKKPSRYAMLDAIPEDEELDNRKQNGVISNGLVRQRKVQITEAEDDDGYESDEKIGSQYYSEDMYYGEDGQLSWFNAGVRVGVGIGLGMCLGAGIGVGLLMRSYQATTRNFRRFL >Potri.011G114800.1.v4.1 pep chromosome:Pop_tri_v4:11:14505645:14507912:-1 gene:Potri.011G114800.v4.1 transcript:Potri.011G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114800.v4.1 MATQTPIEQQESSSASASAGAKPGLRKPVFIKVDQLKPGTGGHTLTVKVLNFNTVPQKDRRSVSLHVRQTRIAECLIGDETGTIIFTARNDQVDLMKPGTTVILRNAKIDMFKGSMRLAVDKWGRVEVTEPAEFVVKEDNNLSLVEYELVNVAEE >Potri.019G016118.1.v4.1 pep chromosome:Pop_tri_v4:19:2636162:2637644:1 gene:Potri.019G016118.v4.1 transcript:Potri.019G016118.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016118.v4.1 MKIVSLVDDTYDAYASFEEIQHFTNAIERCSMNAIDQLPADYMKVLYRALLNLFNETENDMGKQGRSYASYYLKEEFKELVRGYHAEAEWADKCHVPTFDEYVRNGLTTSAYGVVMAASFLGMEEVAGGEEYEWLKSNPKIIKAGNMIGRLMNDLASHEDEQKRGDCASGVECYMKQYDVSEKKAIEEIQKMDVNVWKDINEDCMRPTNAPMLLLQHFVNLVRVTDVIYENDDDSYTIPLGLKDYVALLYIEQVPLYE >Potri.002G072500.2.v4.1 pep chromosome:Pop_tri_v4:2:5081693:5085103:-1 gene:Potri.002G072500.v4.1 transcript:Potri.002G072500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072500.v4.1 MCTSHEENNFIPQEIIHYPQKQIQYYKYQYSQLCPATTNPSWSSCIRKHVSNGSYREAIVLYNQIRSKGVYFLGLVPLVLKACAYVSVLNCGKSLHGEAIKHGVDSNVRIGTSLVSMYAKCGDIPDSRKLFEYMPERNVVTWNAMISGYGKNGDMKSASVLFDKMSTRNAVSWIEMIDGFARSGDMVAARRTFNEVPFELKNVVTWTVMIDGYASKGEMEAARLLFEDMPQRNFFVWSSMISGYCKIGNVKEARAIFDRVPVRNLVNWNSLICGYSQNGFCEEALDAFGKMQNEGYEPDEVTVVGVLSACAQLSLLDVGKDVHKMICAKGMKLNEFVVNALVDMYAKCGDLTGARLIFERMTNKNNACWNSMISGFAVHGKTKEALEFFGRMEESNEKPDEITFLSVLSACVHGGFVEVGLEIFSKMERYGLSASIKHYGCLVDLLGRAGRIQDAYHLIKSMPMKPNDTVWGAFLGACRIHMDNDMVEQVVEDVCTSDSSVDSGNDPHYALLLNIYAGSGSWEKAGRVSMVMADRGLQKTSGRSSLMLENTEQFHI >Potri.019G014326.1.v4.1 pep chromosome:Pop_tri_v4:19:2010812:2013865:1 gene:Potri.019G014326.v4.1 transcript:Potri.019G014326.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014326.v4.1 MAWKTLVKDLFSMMHLRLPRTEQVQLLEPRGDSSQFFRDIGRCYDQPCAPSINDDVNRHDALDMVRVTTEPVEEDDVENSVRSVVQAGAGDRSSESLKYDKTRGVPLPTNNTKPVSQAFEENTKVILSLLMDDEVAIIGIYGMGGVGKTTIILHIYNKLLRRPDICDHVWWVTVSQDFSINTLQNFIAKRLDLDLSSEDDVRHRAAKLSEELRKKQKWILILDDLWNNFKLDEVGIPVPLKGCKLILTTRLKTVCNRMTYHHKIKVKPLSEGEAWTLFKENLGRDTLLQKVEVIAKAIARKFAGLPLGIITVARSLRGVDDLHEWNNTLKKLKESGFRDMNEKVFKVLRVSYDRLGDIALQQCLLYCALFPEGHVIERVQLIDYLIDEGIIKGTRSRKDAFDEGHTILNRLENVCLLESAKTRRGKNGVKMHDLIRDMTIHLLLESSQYMVKAGAQLKELPDAEEWTENLTIVSLMQNRFEEIPSSHSLKCLNLSTLFLSDNEGLGLIADSYFKQLHGLKVLHLSCTAIENLPDSVSDLVSLTALLLNDCAKLRHVPSLKKLRAPKRLDLSETVLEKMPQGMECLTNLRYLRLNGCGEKKFPSGILPKLSLLQVFVLEDFFEGSYAPITVEGKKVGSLRNLETLECHFEGLPDFVEYLRSRDVDVTQSLSTYTILIGIIDDLDYLVEIEYPFPSKTIVLGNLSINRDRDFQVMFFNDIQKLVCESIDARSLCEFLSLENATELEFVCIQDCNSMESLVSSSWFCSAPPPLPSYNGMFSSIKEFYCGGCNNMKKLFPLVLLPNLVNLEVIQVMLCEKMEEIIGTTDEESSTSNSITGFILPKLRTLRLIGLPELKSICSAKLTFISIEDTTVRCCKKLKRIPICLPLLENGQPSPPPSLAKIHAYPKEWWETVVEWEHPNAKDVLRPFVEFW >Potri.006G137900.3.v4.1 pep chromosome:Pop_tri_v4:6:11457635:11467844:1 gene:Potri.006G137900.v4.1 transcript:Potri.006G137900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137900.v4.1 MEGIELDAPSLSFPENDFCDSILSRYSTSTQDDHHHLCAIIGTMSQELKDQNLPCTPIAYFGAACSSLDRLSSSYSDPSPYVIDSLITILSLALPRISIPILKKKRELVSNVVVRVLKLNYSVTAGAVVSGLKCVAHLLSIRDSFNWDDISQLFGVLLSFMTDSRIKVRRQSHSCIRDTLLNFQGTPALAPASEAITNSFEKFLLLAGGSNAVASTDGPKGAQHVLYILDALKECLPLLSFKCVTAILKYFKTLLELRQPVVTRRVTDSLKVICLHPGLQVPAEPLLDLLCSLALYASTNETSADNMTFTASLLDVGMKKVYSLNRQICVVKLPIVFSTLKDILASEHEEAIFAATQALKNSINSCIDESLIKQGVDQITINKNAETRKCGPTVIEKVCAIIESLLDYHYSAVWDMVFQVVSTLFDKLGNYSSYFMRGTLKNLADMQRLPDEDFPYRKQLHESLGSALGAMGPETFLSFLPLKLEVDDLSEVNVWLFPILKQYTVGARLSFFTESVLSMVGLIKKKSRQLELDGRIISARSADALVYSLWSLLPSFCNYPLDTAESFQDLEKALCGALSEECDIRGIVCSALQVLIQQNKRIMEEQDDLTVTEVGIAEQHAIARYTLQVATDNLRVLRSSARNLLTVLSGILLESPKDDGGLLQSTIREFSSIADKEVVKRIYLKTMQKLLAVTQKATKADNSRDSISMRIDDSSNDSRARLFDLAISLLPGLDGEQINVLYSAVKPALQDMEGLIQKRAYKVLSIILQRYDGFITPRFGELLQLMIDVLPSCHFSAKRHRLDCIYCLIVHIPKVDSEQRRHEILTSFLTEIILALKEVNKRTRNRAYDVLVQIGHTFGDEENGGKKENLYQFFNMVAGGLALESPHMISAAMKGVARLAYEFSDLVSIAYKLLPSTFLLLQRKNREIIKANLGLLKVLVAKSQAEGLQMFLGSVVEGLLRWQDDTKNHFKAKVKHILEMLVKKCGLDAVKAVMPEEHMKLLTNIRKIKERGERKHAASSDETKSHMSRATTSRISRWNHTKIFSDFSDGETENSDGEYMDTKTVSGRHSKFSSQLKPKASLRSKIIHRSDKSLPEDLFDQLEDEPLDLLDRYKTRSALRSTAHLKRKQESDDDPEIDSEGRLIVREGGKPKKEKLSNPDSDARSEAGSFKSLNSKKTQKRRKTSNSGWAYTGSEYASKKAGGDVKRKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMASVVKMTKKLEGKSASAALSMKLIKSNSQKKGNKRKSR >Potri.006G137900.1.v4.1 pep chromosome:Pop_tri_v4:6:11457635:11467845:1 gene:Potri.006G137900.v4.1 transcript:Potri.006G137900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137900.v4.1 MEGIELDAPSLSFPENDFCDSILSRYSTSTQDDHHHLCAIIGTMSQELKDQNLPCTPIAYFGAACSSLDRLSSSYSDPSPYVIDSLITILSLALPRISIPILKKKRELVSNVVVRVLKLNYSVTAGAVVSGLKCVAHLLSIRDSFNWDDISQLFGVLLSFMTDSRIKVRRQSHSCIRDTLLNFQGTPALAPASEAITNSFEKFLLLAGGSNAVASTDGPKGAQHVLYILDALKECLPLLSFKCVTAILKYFKTLLELRQPVVTRRVTDSLKVICLHPGLQVPAEPLLDLLCSLALYASTNETSADNMTFTASLLDVGMKKVYSLNRQICVVKLPIVFSTLKDILASEHEEAIFAATQALKNSINSCIDESLIKQGVDQITINKNAETRKCGPTVIEKVCAIIESLLDYHYSAVWDMVFQVVSTLFDKLGNYSSYFMRGTLKNLADMQRLPDEDFPYRKQLHESLGSALGAMGPETFLSFLPLKLEVDDLSEVNVWLFPILKQYTVGARLSFFTESVLSMVGLIKKKSRQLELDGRIISARSADALVYSLWSLLPSFCNYPLDTAESFQDLEKALCGALSEECDIRGIVCSALQVLIQQNKRIMEEQDDLTVTEVGIAEQHAIARYTLQVATDNLRVLRSSARNLLTVLSGILLESPKDDGGLLQSTIREFSSIADKEVVKRIYLKTMQKLLAVTQKATKADNSRDSISMRIDDSSNDSRARLFDLAISLLPGLDGEQINVLYSAVKPALQDMEGLIQKRAYKVLSIILQRYDGFITPRFGELLQLMIDVLPSCHFSAKRHRLDCIYCLIVHIPKDSEQRRHEILTSFLTEIILALKEVNKRTRNRAYDVLVQIGHTFGDEENGGKKENLYQFFNMVAGGLALESPHMISAAMKGVARLAYEFSDLVSIAYKLLPSTFLLLQRKNREIIKANLGLLKVLVAKSQAEGLQMFLGSVVEGLLRWQDDTKNHFKAKVKHILEMLVKKCGLDAVKAVMPEEHMKLLTNIRKIKERGERKHAASSDETKSHMSRATTSRISRWNHTKIFSDFSDGETENSDGEYMDTKTVSGRHSKFSSQLKPKASLRSKIIHRSDKSLPEDLFDQLEDEPLDLLDRYKTRSALRSTAHLKRKQESDDDPEIDSEGRLIVREGGKPKKEKLSNPDSDARSEAGSFKSLNSKKTQKRRKTSNSGWAYTGSEYASKKAGGDVKRKDKLEPYAYWPLDRKMMSRRPEHRAAARKGMASVVKMTKKLEGKSASAALSMKLIKSNSQKKGNKRKSR >Potri.015G080800.1.v4.1 pep chromosome:Pop_tri_v4:15:10652480:10656900:-1 gene:Potri.015G080800.v4.1 transcript:Potri.015G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080800.v4.1 MPVNPWTFFSFLFLSSTLVSLFPFTASALNQQGETLLSWKRSLNGSPEGLDNWDSSNETPCGWFGITCNLNNEVVSLEFRYVDLFGKLPSNFTSLFSLNKLILSGTNLTGSIPKEIGTALPRLTHLDLSDNALTGEIPSELCVLITLEELLLNSNQLEGSIPIEIGNLTSLKRLILYDNQLSGSMPNTIGKLRYLEVIRAGGNKNLEGSLPQEIGNCSNLLILGLAETSISGFLPPSLGLLKKLQTIAIYTSLLSGQIPPELGDCTELQDIYLYENSLTGSIPKTLGQLQNLKNLLLWQNNLVGVIPPELGNCNQMLVIDISMNSLTGSIPQSFGNLTELQEFQLSLNQISGVIPAQLGNCRKLTHIELDNNQISGSIPPEIGNLSNLTLFYLWQNRLEGNIPPSISNCQNLEAIDLSQNGLVGPIPKGVFQLKKLNKLLLLSNNLSGEIPPEIGNCSSLIRFRANNNKVAGTIPPQIGNLKNLNFLDLGSNRIAGDIPEEISGCQNLTFLDLHSNAISGNLPQSFNKLVSLQFVDFSNNLIEGTLSASLGSLSSLTKLILAKNKLSGSIPNQLGSCSKLQLLDLSGNQLSGNIPSSVGKIPSLEIALNLSLNQLNGEIPSEFTGLTKLAILDFSYNHLSGDLQHLAALPNLVVLNVSHNNFSGHVPDTPFFSKLPLSVLTGNPALCFSDSQCDGDDKRVKRGTAARVAMVVLLCTACALLLAALYNILRSKKHGRGAQECDRDDDLEMRPPWEVTLYQKLDLSIADVARSLTAGNVIGRGRSGVVYKVAIPSGLMVAVKRFKSAEKISAASFSSEIATLAIIRHRNIVRLLGWGANQKTKLLFYDYMANGTLGTLLHEANDVGLVEWEMRIKIALGVAEGLAYLHHDCVPPILHRDVKSHNILLGDRYEACLADFGLAREVEDEHGSFSASPQFAGSYGYIAPEYACMLKITEKSDVYSYGVVLLEIITGKKPVDPSFPDGQHVVQWVRDHLKCKKDPVEILDPKLQGHPDTQIQEMLQALGISLLCTSNRAEDRPTMKDVAVLLREIRQEPTVGSDAHKPTNKSSKMMGTNPSYSSSSVTPAQLLMLQQGSSRCSLAYSSSSASIASSANQ >Potri.016G035600.1.v4.1 pep chromosome:Pop_tri_v4:16:2092334:2096396:1 gene:Potri.016G035600.v4.1 transcript:Potri.016G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035600.v4.1 MATINKPLKPKPLTKLRSPILTLILCILAIALLCLFSSLISTNGFSFSSPKTLKNLPKPYRQHTIHEKYLYWGSRIDCPGKHCDSCEGLGHQESSLRCALEEAMFLNRTFVMPSRMCINPIHNKKGILHQSGKLNPEERWAESSCAMDTLYDMDLISETVPVILDNSKDWFRVLSTSMRLGARGVAHVEGISRADVKENSHYSNLLLINRTASPLSWFMECKDRNNRGAIMLPYSFLPTMASQSLRDAADKVIALLGDYDSMHVRRGDKIKTRKDRFGVYRTLHPHLDRDTRPEFILHRIEKWVPPGRTLFIASNEKTTGFFSPLAVRYKLAYSSNYSGILDPVIENNYQLFMIERLILMGAKTFIKTFKEVDNDLSLTDDPKKNTKSWQLPVYTMDEVE >Potri.002G243000.3.v4.1 pep chromosome:Pop_tri_v4:2:23506475:23513247:1 gene:Potri.002G243000.v4.1 transcript:Potri.002G243000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243000.v4.1 MTFHRRSSRSDNRIVKEMTGEVILRSEESDKGKGMDLETSEDEKQQQHQRRKKVRSLRKKAMSASTKLTHTLRKRGKRVADCRYAAITINDVRDAKEEEAVNAFRLVLISKDLLPPRHDDYHTLLRFLKARKFDLDKTVLMWSEMLNWRREYGVDSIIQDFVYDEYEEVQSYYPHGYHGVDKAGRPVYIERTGKIEPSKLMRVTTVERFLKYHVQGFEKAFTEKFPACSIAAKRHIDSTITILDVHGLNWMSFGKVAHDLVMHMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKGFLDPKTTAKINVLGNKFQNKLLEVIDSSQLPEFLGGTCSCPNEGGCLRSDNGPWKDPEIMKLVHAGEAIYLRKMNSSFDEDDFEIKLFASKVSRSEICSADSCSDTRPNASDFIQPLPLSDEGRMGDSASVHSLVENNATRVKDTSSMSSRKASSIALIVYLCIQRLAGQHFSVLKDDSANDVTPRMLLKKFIPQTASLLVQFVLNLLLWMYLKLPGTGRALSSQRDSQLQNQRDSLLEDSSSQVQGVSQEIKEEPLHPCWQRLQNLETMVNELGSKPTRIPPEKEDMLLESLSRIKCIEHDLQKTKKALLATASRQVELAESLESLKGNSLAGAANSCWPRNCKYFPPER >Potri.002G243000.1.v4.1 pep chromosome:Pop_tri_v4:2:23506160:23513582:1 gene:Potri.002G243000.v4.1 transcript:Potri.002G243000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243000.v4.1 MTFHRRSSRSDNRIVKEMTGEVILRSEESDKGKGMDLETSEDEKQQQHQRRKKVRSLRKKAMSASTKLTHTLRKRGKRVADCRYAAITINDVRDAKEEEAVNAFRLVLISKDLLPPRHDDYHTLLRFLKARKFDLDKTVLMWSEMLNWRREYGVDSIIQDFVYDEYEEVQSYYPHGYHGVDKAGRPVYIERTGKIEPSKLMRVTTVERFLKYHVQGFEKAFTEKFPACSIAAKRHIDSTITILDVHGLNWMSFGKVAHDLVMHMQKIDGDNYPETLHQMFIVNAGSGFKLLWNTAKGFLDPKTTAKINVLGNKFQNKLLEVIDSSQLPEFLGGTCSCPNEGGCLRSDNGPWKDPEIMKLVHAGEAIYLRKMNSSFDEDDFEIKLFASKVSRSEICSADSCSDTRPNASDFIQPLPLSDEGRMGDSASVHSLVENNATRVKDTSSMNDSANDVTPRMLLKKFIPQTASLLVQFVLNLLLWMYLKLPGTGRALSSQRDSQLQNQRDSLLEDSSSQVQGVSQEIKEEPLHPCWQRLQNLETMVNELGSKPTRIPPEKEDMLLESLSRIKCIEHDLQKTKKALLATASRQVELAESLESLKGNSLAGAANSCWPRNCKYFPPER >Potri.015G091300.1.v4.1 pep chromosome:Pop_tri_v4:15:11417359:11417887:-1 gene:Potri.015G091300.v4.1 transcript:Potri.015G091300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091300.v4.1 MKKRQQQPQEQQNKGRENYNYQQYNQHQQHMKKQIQCNKGKTCKFKRSSSSLEEDGASSAILLLACIACSPSY >Potri.004G087300.1.v4.1 pep chromosome:Pop_tri_v4:4:7308301:7310418:1 gene:Potri.004G087300.v4.1 transcript:Potri.004G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087300.v4.1 MASQFSLLILILFLFNLASIHVTGDQIMLEDGYMVTTVLDGHKLNINPHAVQLRSSEIVVLDSSRSVFYTLPFPISQASVMVKRLSGEGKTGYIDGEPGLARFNKPKSFAVDLRGNVYVADQQNHAVRKISNSGVTSTIVGNYSQTGRQDGPGKTATFSSDFEVLFVPQICALLISDHGNQLLRQIDLKPEDCVIGSQSALGAVKFWVLGLALSCLLGIVIGIATRPYVIPHEGSRPLHFSKTWKHCLINLASLVPMSCFDVRNAIASSSLYMLSKRLLRLSLSHLSLMFQINTVGPKVSNKDFIALMDSDINNPVVGKSQTFADQLKEMIDSNVHSQLSSSSSDILKLGEGGLVRCDASLDVNGRINDMIQANIMGFGKLSKETSPVDVPLEGSLGLVKRR >Potri.004G087300.2.v4.1 pep chromosome:Pop_tri_v4:4:7308329:7310420:1 gene:Potri.004G087300.v4.1 transcript:Potri.004G087300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087300.v4.1 MASQFSLLILILFLFNLASIHVTGDQIMLEDGYMVTTVLDGHKLNINPHAVQLRSSEIVVLDSSRSVFYTLPFPISQASVMVKRLSGEGKTGYIDGEPGLARFNKPKSFAVDLRGNVYVADQQNHAVRKISNSGVTSTIVGNYSQTGRQDGPGKTATFSSDFEVLFVPQICALLISDHGNQLLRQIDLKPEDCVIGSQSALGAVKFWVLGLALSCLLGIVIGIATRPYVIPHTGRLQTPSFQQDMEALPNQSGESSTDELLRRQKRNC >Potri.012G061366.1.v4.1 pep chromosome:Pop_tri_v4:12:6328083:6328982:-1 gene:Potri.012G061366.v4.1 transcript:Potri.012G061366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061366.v4.1 MSHMLLEILAKETFKGSKPSSTFKAESFVKVATEISQKFNVQCEPKHVDNHLKTVKKKWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKAHPNHDKYLNKKLDIYEIMTIVVGKDMATGNYVKSYADVNLEENTQEQSISIENEGEYEETSKGKETSSSSTQKMQHRKRNHMYEDDGVEKLSKQIGDVALAIQSLSKNQLDVNALYAEVMKIEGFDEIILGEAFDHLVQNEMLAKTFMAKNVNLRKIWVQNFVNQHYYSPAC >Potri.013G108600.3.v4.1 pep chromosome:Pop_tri_v4:13:11715231:11717907:1 gene:Potri.013G108600.v4.1 transcript:Potri.013G108600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108600.v4.1 MFFFSFYVFLILQRPFKCLFDDCHASYRRKDHLTRHLLQHEGKLFKCQIENCNREFVYPSNLKRHVRELHDESFPSCSFGGEKQYVCQEPGCGKAFRYPSKLRKHEDSHVSLDHVEAMCLEPGCMKHFSNKECLKAHIQSSHQYINCDICGTKQLKKNIKRHLRTHEPASDSTERIKCHFNGCQHTFSTKTNLNQHVKAVHLEHRPFLCGFPGCDMRFSYKHVRDNHEKSGLHVYTPGDFVESDRQFRSKPRGGRKRKFPTVEMLIRKRVTPPTKLDECHPWLHEIEREDQ >Potri.013G108600.1.v4.1 pep chromosome:Pop_tri_v4:13:11715222:11717902:1 gene:Potri.013G108600.v4.1 transcript:Potri.013G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108600.v4.1 MAMIEEEQVEKERPVIFRDIRRYYCDYCGICRSKKSLITSHVLTHHKEEMDEESVDGDEGKEGVKSNTCENCGASFKKPAYLIQHMQSHSLERPFKCLFDDCHASYRRKDHLTRHLLQHEGKLFKCQIENCNREFVYPSNLKRHVRELHDESFPSCSFGGEKQYVCQEPGCGKAFRYPSKLRKHEDSHVSLDHVEAMCLEPGCMKHFSNKECLKAHIQSSHQYINCDICGTKQLKKNIKRHLRTHEPASDSTERIKCHFNGCQHTFSTKTNLNQHVKAVHLEHRPFLCGFPGCDMRFSYKHVRDNHEKSGLHVYTPGDFVESDRQFRSKPRGGRKRKFPTVEMLIRKRVTPPTKLDECHPWLHEIEREDQ >Potri.013G108600.4.v4.1 pep chromosome:Pop_tri_v4:13:11715222:11717892:1 gene:Potri.013G108600.v4.1 transcript:Potri.013G108600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108600.v4.1 MFFFSFYVFLILQRPFKCLFDDCHASYRRKDHLTRHLLQHEGKLFKCQIENCNREFVYPSNLKRHVRELHDESFPSCSFGGEKQYVCQEPGCGKAFRYPSKLRKHEDSHVSLDHVEAMCLEPGCMKHFSNKECLKAHIQSSHQYINCDICGTKQLKKNIKRHLRTHEPASDSTERIKCHFNGCQHTFSTKTNLNQHVKAVHLEHRPFLCGFPGCDMRFSYKHVRDNHEKSGLHVYTPGDFVESDRQFRSKPRGGRKRKFPTVEMLIRKRVTPPTKLDECHPWLHEIEREDQ >Potri.003G063800.2.v4.1 pep chromosome:Pop_tri_v4:3:9127767:9130657:1 gene:Potri.003G063800.v4.1 transcript:Potri.003G063800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063800.v4.1 MATALPSTAFCQTSFTFPSQNPCKVKSPSLIFRTKSHGLIRCSAKKKISFVDQILDYIEGGPKLRKWYGAPDLLPKDGSDTEDEDELPEKNEVRDAVLVTDGDSEIGQMIILSLIVKKARVKALVKDKRTAMEAFGTYVESMAGDASSKPFLKKALRGVRAIICPNEGFLSNGGDLQGVKHVILLSQLSVYRGSGGIQALMKNNARKLAEKDESTLVASGIPYTIIRVGMLQDTPGGTQGFSFEKGSAEKGSLSKEDAAFICVEALDVVPQIGFTFEAVNGEEKVSDWKERLTRLMEKSEQQHP >Potri.015G008300.1.v4.1 pep chromosome:Pop_tri_v4:15:505803:507722:1 gene:Potri.015G008300.v4.1 transcript:Potri.015G008300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008300.v4.1 MLGSQLQSQSQLMSSSTSHVQGQEEEIPRPQTAFQLRQLLVTCADLITQSDYSAAKRLLSILSSNSSPYGDSIERLVYQFVRALSLRLDRHGIPTSPAPAPHVFNINNIVHTSPPCGTNNKMLNSYDSDQETLRSCYLSLNQITPFIRFSHLTANQAILEAVQGGQQAIHIIDFDIMHGVQWPPLMQALADRPNNTLHPPPMLRITGTGHDLNILHRTGDRLLKFAQSLGLRFQFHPLLLLNNDPTTLALYLPSAITLLPDEALAVNCVLYLHRFLKDDSRELLLFLHKIKALNPKVVTVAEREANHNQPLFLQRFLEALDHYKALFDSLEATLPPNNRERLAVEQIWFGREILDIVAAEGEGRRERHQKFETWEMMLKSVGFNKVPLSPFALSQAKLLLRLHYPSEGYQLQILKNSFFLGWQNHSLFSISSWH >Potri.011G118066.1.v4.1 pep chromosome:Pop_tri_v4:11:14920960:14924323:1 gene:Potri.011G118066.v4.1 transcript:Potri.011G118066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118066.v4.1 MAAAPPAVAVDGGMAAAKVASQAYLETKAVKDTRVLIADLCKQFYSLGWVSGTGGSITIKAHDDSIPKRQQLILMSPSVVQKERMEPEDMYVLATNGSILSSPSPKPYPHKPPKCSDFAPFFLKAYDMRHAGAVIHSHGIESCLVTMVNLLSKEFRITHMEMIKGIQGHGYYDELVVPIIENTAHENELTGSLAKAVCSFVC >Potri.014G160900.1.v4.1 pep chromosome:Pop_tri_v4:14:11541728:11542922:1 gene:Potri.014G160900.v4.1 transcript:Potri.014G160900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160900.v4.1 MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEAMCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDEEDDGAGDDYIEFEDEDIDKI >Potri.004G154600.1.v4.1 pep chromosome:Pop_tri_v4:4:17527668:17530244:1 gene:Potri.004G154600.v4.1 transcript:Potri.004G154600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G154600.v4.1 MIPSTSKKRPRVPYNRHSTVSTTASLRSTASRSTSLSSTAALIDSFPDQTISETSKKLRRTSSHPLLSTTPSSTTTTRFNDVNTADVILRLFLDPTSPIINLSDSVYSIDNNNQSNVQIYLHSPILRRSKYFSALLSDRWQLSKENPDSAENKIDPYLIPLKLGVAPGSIEVHLSVLKLLYTNDFNNVINSAAAALDILPVALKLLFDECADYCVKYLEAVPWSEEEEKRVINLIPYLREEESEELLARVSPAKYDSCEEMLHGLILAAIHSSSNMAFVKAFVAKLLRDFSSRESARRVLEMAFETSLKIVKESLEEYSSPNFRGDHNETEAIQRLNLHTAMTNGKHLLWLVERMIELRVADSAVKEWSEQDSFTADLQRAFRDDAWRNIVPGFPAVLLRCTCKLANAVASGTILAARQVRMKLVKDWLPVLIVCKDSALSSMLPNHKSLYLELEETFLRIISTLPMSDAQVLLQQCLSFSTRNFEDCPHLVTAFNTWFRRATNQPQG >Potri.010G093600.2.v4.1 pep chromosome:Pop_tri_v4:10:11787767:11794958:-1 gene:Potri.010G093600.v4.1 transcript:Potri.010G093600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093600.v4.1 MESAPIRVPYRKLKKEIEVEMVSLEVESSPSPSPPPPRIQSPLNPNSNGDFRSQTTKHQISFTTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDKCSSKFGRRRPFILAGALMISIAVIIIGFSADIGYVLGDTEEHCSKFKGTRMRAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNISNAVFCSWMAVGNILGFSAGASGSWSRWFPFLMNRACCEACGNLKAAFLVAVVFLLFCTLVTLYFADEVPLNVNQPRHLSDSAPLLNDPQQNSHELSKSEFHTPGLDNLSGNSTDHDYEPSMNSKHANSVGGQNENFSDGPGAVMVNLLTSLRHLPPGMHSVLLVMALTWLSWFPFFLFDTDWMGREVYHGDPKGTSNEVKLYDQGVREGAFGLLLNSVVLGISSFLIEPMCKKLGSRLVWAMSNFIVFVCMAGTAIISLISVGAYSEGIEHVIGGNAPIRIASLIVFALLGFPLAITYSVPFSVTAELTADTGGGQGLAIGVLNLAIVIPQMIVSIGAGPWDALFGGGNIPAFVLASVCALAAGVYAALKLPNLSSSSFSGFHFG >Potri.008G042000.1.v4.1 pep chromosome:Pop_tri_v4:8:2372735:2378218:-1 gene:Potri.008G042000.v4.1 transcript:Potri.008G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042000.v4.1 MLCNNNSRSPLCSFGSPWPDLNEGLFYNDVVSTSSDSGLTLIHFYSSKYKNSAPLQGWLQRIHNGQITIDGEVVKEPKAILRDGMELVYHRLPWREPDAPYLLQVLYEDDDMVALNKPPGLQVLPGGLFQQRTVLMQLQWRASKGSSSLAIQGSHPVPVHRLGRGTSGILLCAKTKVAKTSLAAYFADGTSLIGDSSNANMEQSKRRKISKIYRALVNGILNQDKIIIKQPIGTMRYPGVAKGLYVASPSGKPALSKVEVLERDSQLNQTLVQVEIESGRPHQIRIHLSFIGHPLLGDPLYDVGGQPMCFDSEHEDESFAEDGGYERPAKPVPGDCGYYLHAHQLVLSHPTKNEQVIKITAPLPSILRTQAETEELM >Potri.009G066800.2.v4.1 pep chromosome:Pop_tri_v4:9:6705450:6712678:-1 gene:Potri.009G066800.v4.1 transcript:Potri.009G066800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066800.v4.1 MTRVNRDFGDTMQKDAVPAVSADVAFASSRFPNYKIGANNQIVDAKDSPKVLSMKEVVARETALLLEQQKRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTHREGELIQEKAEVKKLANFLKQASEDAKKLVDGERAYARAEIESARAAVQRVEEAIQEHERMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHEIRALRIQLAEKSKRSLLLQKELARSRRAEQSIFQLFELDGTEALGSCLRINPCSDNAPELSKCSIQWYRLSSDGGKKELISGATKPVYAPEPFDVGRVLQAEIISDGQQFSLSTTCPIDPAAGLGSYVEALVRKHDVEFNVIVTQTNGEDHPSESIHVLHVGKMRIKLRKGKSTNAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGLSFVLAFESARERNAAIMLARRFAFDCNIMLAGPDDRARLGS >Potri.009G066800.5.v4.1 pep chromosome:Pop_tri_v4:9:6705475:6712780:-1 gene:Potri.009G066800.v4.1 transcript:Potri.009G066800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066800.v4.1 MTRVNRDFGDTMQKDAVPAVSADVAFASSRFPNYKIGANNQIVDAKDSPKVLSMKEVVARETALLLEQQKRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTHREGELIQEKAEVKKLANFLKQASEDAKKLVDGERAYARAEIESARAAVQRVEEAIQEHERMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHEIRALRIQLAEKSKRSLLLQKELARSRRAEQSIFQLFELDGTEALGSCLRINPCSDNAPELSKCSIQWYRLSSDGGKKELISGATKPVYAPEPFDVGRVLQAEIISDGQQFSLSTTCPIDPAAGLGSYVEALVRKHDVEFNVIVTQTNGEDHPSESIHVLHVGKMRIKLRKGKSTNAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGLSFVLAFESARERNAAIMLARRFAFDCNIMLAGPDDRARLGS >Potri.009G066800.4.v4.1 pep chromosome:Pop_tri_v4:9:6705450:6712758:-1 gene:Potri.009G066800.v4.1 transcript:Potri.009G066800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066800.v4.1 MTRVNRDFGDTMQKDAVPAVSADVAFASSRFPNYKIGANNQIVDAKDSPKVLSMKEVVARETALLLEQQKRLSVRDLANKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTHREGELIQEKAEVKKLANFLKQASEDAKKLVDGERAYARAEIESARAAVQRVEEAIQEHERMSRASGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHEIRALRIQLAEKSKRSLLLQKELARSRRAEQSIFQLFELDGTEALGSCLRINPCSDNAPELSKCSIQWYRLSSDGGKKELISGATKPVYAPEPFDVGRVLQAEIISDGQQFSLSTTCPIDPAAGLGSYVEALVRKHDVEFNVIVTQTNGEDHPSESIHVLHVGKMRIKLRKGKSTNAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGLSFVLAFESARERNAAIMLARRFAFDCNIMLAGPDDRARLGS >Potri.010G097900.1.v4.1 pep chromosome:Pop_tri_v4:10:12115800:12123276:-1 gene:Potri.010G097900.v4.1 transcript:Potri.010G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097900.v4.1 MDKGSSGAEMAGTVISFKLKEASSWWHDINESPFWQDRIFHVLAALYGLVAAVAFIQLIRIQLRVPEYGWTTQKVFHFLNFLVNGVRCLLFVFRRNVESIHPPIFQHILLDFPSLAFFTTYALLVLFWAEIYYQARAVSTDGLRPSFFTINAVVYTIQIAMWLVLAWKTIPTVAILSKVFFAGVSLFAALGFLLYGGRLFLMLQRFPVESKGRRKKLQEVGYVTTICFSCFLVRCIMMCFNAFDKAADLDVLDHPVLNFIYYLLVEILPSSLVLFILRKLPPKRGITQYHPIR >Potri.001G337100.1.v4.1 pep chromosome:Pop_tri_v4:1:34547433:34551454:1 gene:Potri.001G337100.v4.1 transcript:Potri.001G337100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337100.v4.1 MAEMYCYREALPFAAMVTMECVNVGLNTLFKAATSAGMSYHVFVPYAYAVAALVLLPAPFVSHRSRVLPPLSFSILSKIALLGLIGSSSQIMGFTGIKYSSPALASAISNLTPAFTFIFAIIFRMERVALRRTSSQAKLLGTILSIAGAFVVTLYKGPPIIISPNSSIPLSQHLHSTNSNWIVGGILLTAEYVLVPLWYILQTQIMKEYPAELTVVFFYNLTVSIIAAIVALITEGTSSAWLVRPNIALASILCSGLLGSCLNNTVHTWALHLKGPVFVAMFKPLSIAIAVAMGVMFLGDILYLGSVIGATIISIGFYTVMWGKATEELGDDCNAAKPESSCAQKVPLLQGYNATKLQDEQV >Potri.004G120700.1.v4.1 pep chromosome:Pop_tri_v4:4:11491818:11493233:-1 gene:Potri.004G120700.v4.1 transcript:Potri.004G120700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120700.v4.1 MTSAHQVKILDVHHVTPFFTPPEFATELSLPLTFFDIMWLKLQPVGYIFFYKLTESTPAFFNSVILPKLKHSLSHTLIHFLPLAGNITWPPQATTPIILYTPNDAIQLTVAESNADFDHLSGNDIREAMKSHLYLPELPVTDAKATAMTMQITLFPNQGFCVGMSSHHSILDGTSYSMFIKAWSYISTLSDKETQQYPTLLPELTPVLDRTGIQDPAGFEKRFLNAWLGVKVPGQDPNCSRSLKLLPVITPQSSLVRAVVEVSREQINKLRERVRTHFRKIHKEDPNETKLVHMSSFVLLYAYVVICIVKSKGLEQNRKVVFGFLADCRARLDPPIHDKYFGNCLYSFAVDTEARALLEENGFAWAVERLSDTIRNLEKGVLDGVKEALATYNKTARPAALLLGVAGSTHYDVYGTDLGWGRPEKVEITSIDQTAAISMTKCKNGSGVEFALVLEKNEMEKFMSLFVDGVI >Potri.006G165200.1.v4.1 pep chromosome:Pop_tri_v4:6:16143190:16144548:1 gene:Potri.006G165200.v4.1 transcript:Potri.006G165200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165200.v4.1 MVVVVVTIKGSYIVKPAKPTWTGRVSLSELDQIGTIMYVPTIYFYKPSPNWLTPSNDVVNDLKDSLRDVLVPFYLLAGRLHWIGRGRLELECNAMGVMFTEAESESKLEDLGDFLPSSEYKYLIPNVDYTVPIHDLPLLLVQLTKFQCGGISLSLTISHAVVDGQSALHFMSEWARIARGEPLGVVPFLDRKVLRARDPPIASQFHHAEFDLPPLLLGQLNNAEERKKKATVAMLRLTKVQVENLKNVANEERISTDSGRGYTRYETLSGHVWRSVCKARGHNPEQPTALGVCVDSRKRMQSPLPDGYLGNATLNVIAVSHAGELMSKPLGYAARKIREAIETVTNAYVRSAIDFLKNQPNLTRFQDIHALGGTEGPFYGNPNIGVVSWLTLPIYGLDFGWGKEIYMGPGTHDFDGDSLLLPSPNGDGSVILAICLQVAHMEAFKKYFYEDI >Potri.016G063200.1.v4.1 pep chromosome:Pop_tri_v4:16:4418669:4420488:1 gene:Potri.016G063200.v4.1 transcript:Potri.016G063200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063200.v4.1 MAEAVVAPPNPEANQAIYDVKLFNRWSFDDINVNDISLADYVGVQVKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLQMHGRNNGKKLKAVTIVKHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQALYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Potri.016G063200.5.v4.1 pep chromosome:Pop_tri_v4:16:4418496:4420508:1 gene:Potri.016G063200.v4.1 transcript:Potri.016G063200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063200.v4.1 MAEAVVAPPNPEANQAIYDVKLFNRWSFDDINVNDISLADYVGVQVKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLQMHGRNNGKKLKAVTIVKHAMEIIHLLTDQNPIQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQALYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Potri.007G021800.1.v4.1 pep chromosome:Pop_tri_v4:7:1680784:1687672:-1 gene:Potri.007G021800.v4.1 transcript:Potri.007G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G021800.v4.1 MVKADKTKEKKEKESEHKQEIQLNEEPDVVEEEDDEAQSFEELGLDPRLIRALNKKEISIAEPTPIQRAAIPLILQGKDVVARAKTGSGKTLAYLLPLLQKLLSTADSNRKKLSPSAFILVPSGELCQQVYKEVSSLIDSCKAQLKVVQLTSNMPASDLRNALAGPPDILVSTPSCVAKSLSVGVLKSESINDSLEILVLDEADLLLSFGYEEDLKALTALVPRRCQCLLMSATSSADVDKLKKLVLHNPYVLTLPEVEGVKDEVIPKNVQQFWVSCGDRDKLVHILALLKLDLVQKKVLIFTNAIDMSFRLKLFLEKFGIKSAVLNAELPQNSRLHILEEFNAGLFDYLIATDDRETKEKEKANEGSLAETRKSKKHAKQKLDSEFGVVRGIDFKNVHTVINYDMPLSATGYVHRIGRTGRAYSTGSSVSLVSPDEMEILEEIKSFLGDDENNESNVISPYPLLTKNAVESLRYRAEDTAKSVTKIAVREARAQDLRNEILNSEKLKAHFEVNPRDLDLLKHDKVLSKKPPAPHLSDVPDYLLDATTKEASKMVKLARAAMGNNNSGRRQGPKRNFRKSKDPLKSFSAEGPRRGRKGGMKREGKDGDDTHKHKKKKNL >Potri.018G098900.1.v4.1 pep chromosome:Pop_tri_v4:18:11940314:11940544:1 gene:Potri.018G098900.v4.1 transcript:Potri.018G098900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098900.v4.1 MNKRDMKVKRGDLIAKKKVKLVKFSLKRNISTLQKMIRGCEEADVETLFQKSIDHIMKLKLQVHILKCLLQVYEIN >Potri.004G090666.1.v4.1 pep chromosome:Pop_tri_v4:4:7728691:7730252:1 gene:Potri.004G090666.v4.1 transcript:Potri.004G090666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090666.v4.1 MRLSSSFLLSSCSPAHRLNPLLFSKRRRCPIKLSHFRFNLLTKPRFFTVAVSATENGVFTSPEIARSFDFSSEERIYNRWESQGFFKPSFDRGSDPFVVSTAFEPPLS >Potri.005G070700.6.v4.1 pep chromosome:Pop_tri_v4:5:4600100:4608524:-1 gene:Potri.005G070700.v4.1 transcript:Potri.005G070700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070700.v4.1 MITCKASSFNFETNPSHQITLKRYLPSSQAKYRPSHRSTAVSCSSSSVGGLKWNTTTTKASQREKKSQKEEGEEGEEGEGEGERKVHCEVEVISWRERRIKAQILVYADIQSVWNSLTDYERLADFIPNLVCSGRIPCPHPGRVWLEQRGLQRALYWHIEARVVLDLQEFPHSANNRELHFSMVDGDFKKFEGKWSLRSGTRHGTTTLSYEVNVMPRYNFPAIFLERIIGSDLPVNLRALACRAERDFEGNQKTGITESETSMTASTSPGMVLDGAFREKDKLSTEDLKQSYPSSTFGPMLPPSNDLNNNWGVLGKACRLDRRCMVDEVHLRRYDGLLENGGVHRCVFASITVKAPVREVWNVLTAYESLPEFVPNLAISKILSRENNKVRILQEGCKGLLYMVLHARVVLDLCEHLEQEISFEQVEGDFDSFQGKWILEQLGSHHTLLKYNVESKTHRDTFLSEAIMEEVIYEDLPSNLCAIRDYIEKRESNNSSETEEHGQYSKELDSSRGDSYHEHSMAVQQVSDVSNPNSLKQRPRVPGLQRDIDVLKSELLKFISEHGQEGFMPMRKQLRLHGRVDIEKAITRMGGFRRIATLMNLSLAYKHRKPKGYWDNLENLQEEISRFQRSWGMDLSFMPSRKSFERAGRYDIARALEKWGGLHEVSRLLALKVRHPNRQANSIKDRKIDDVSTDAEGEDKIPTKAYVSQDTQKWLMKFKDLDINWVD >Potri.001G314500.1.v4.1 pep chromosome:Pop_tri_v4:1:32543089:32545101:1 gene:Potri.001G314500.v4.1 transcript:Potri.001G314500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314500.v4.1 MVSGSGICAKRIVVDARHHMLGRLASILAKELLNGQKVVVVRCEEICISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGEAALARLKVFEGVPPPYDKTKRMVIPDALKVLRLQPGHKYCLLGQLSSEVGWNHYDTIKELEMKRKERAQLIYERKKQLAKLRVKAEKTAEEKLGPQLDIIAPLKY >Potri.001G015500.1.v4.1 pep chromosome:Pop_tri_v4:1:1141818:1147304:-1 gene:Potri.001G015500.v4.1 transcript:Potri.001G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015500.v4.1 MLKPQLHQSHLSTKIPFLLPKPFIHGSGHASFPVYSRSLSTKANKKVRVGYKHGSIKSIASVTQQSTDVKAVVTVKQTVVDFWTEIGIERGLDDFTDLFGKTLLLELVSAELDPKTGLEKPSIRKYAHKIDHEGEDIKYEADFVVPPDFGEIGAIFVENEHHKEMYLHDVVLDGFPTGPVHVTCDSWIHSKFDNKKKRLFFTNKSYLPSETPNGLTKLRKEELETLRGNDNGERKKGERIYDYDVYNDLGNPDSDPETARPVLGGKEHPYPRRCRTGRPRTESDPLTETRSSSFYVPRDEEFSEVKMGTFSAKTLKSVLHALVPSLSTAIVDSELGFPFFSSIDALFNEGINLPPLKKQGFWKDLLPNLFRAITDGTKDVLKFETPDTMERDRFFWFRDEEFARQTLSGLNPCCIKMVTEWPLRSKLDPEIYGPQESAITAEMVEQEIKGFMTCGQAVKDQKLFILDYHDLFLPFVSTIRELKGTTLYGSRTLFFLTHEGTLRPLAIELTRPPMDGKPQWKQVFRPAWHSTGVWLWRLAKAHVLAHESGYHQLISHWLRTHCCTEPYIIAANRQLSEMHPIYRLLHPHFRYTMEINALARQYLISAKGVIETSFFPGKYSMELSSVVYDQEWRFDYEALPKDLINRGMAVEDPSAPHGLKLMVEDYPYANDGLVLWDIIKEWVSDYVNHYYADSSLIVSDNELQAWWTEVRTVGHADKKDEPWWPVLKTPQDLIETLTTIIWIASGHHAAVNFGQYTYAGYFPNRPTTARMNMPTEDPNDELLKLFWEKPEVILLTTFPSQIQATTVMAILDVLSNHSPDEEYLGQQIEPAWTEEPAINAAFVKFNGRLKEFEGIIDERNADIKLKNRNGAGVVPYELLKPFSDPGVTGKGVPYSISI >Potri.010G084000.1.v4.1 pep chromosome:Pop_tri_v4:10:11123821:11125631:1 gene:Potri.010G084000.v4.1 transcript:Potri.010G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084000.v4.1 MAVNLQIPRLFQKSLSPKPYQINHLLLKYLCTTPDFPLTTHDTSNSPESPDLPTWLSNSQNQKPSDPDDGDFVIPSLASWVENPNLETHRNVPSPLLFEPQVSNADKLSEILKKRYSSEAAVVKALNESGIDATNELVSQILMRFDSHCVVAFGVFIWAKNQTSYVHTPELYDYMIDILGKFKKFSLMWNLVEQMKGLNGYVSLATASKVMRRLAKARKYKDAIDVFRGIEKYGASKDREALNVLMDALVKEGDVEDAHSAFLEFKDCITLDSSSFNILIHGYCKARMFVVARKIMEEMEKHGFHPDVVSYSCFIAAYCEQKDFRNVEAVFDEMQEKGCKPNVITYTTVMHALGKARQLNEALEVYEKMKRNGCLPDSKFYSSLIYVLSQSGRIKDAWDVFEDMEKQGVCRNLWVYNTMISSACAHSQGGSALKLLERMEGDSCKPDVKTYAPLLKMCCRRKSIKLLKFLLSHMFKNNVSVDLGTYTLLVNEFCRNGKLEHACFYFQEAVLKGMVPMVKTFKALVEELEQKNMKEMKEKIEKLMFQARERNLT >Potri.010G206001.8.v4.1 pep chromosome:Pop_tri_v4:10:19672715:19675891:1 gene:Potri.010G206001.v4.1 transcript:Potri.010G206001.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206001.v4.1 MTNDTNHLSLAYFVISGLDILGSLDRVDKDAVAAWVLSLQANSGDKAELKNGQFYGFHGSHSSQFRSDNNGILILNHSHLASTYCALSILKTVGSNLLNIDSKLISMSIRNLQQPDGSFLPIHIGAETDLRFIYCASPICYMLEDWSSMDRVKTKECILKCQSYGGGFGMIPGSESHGGGTYCAVASLHLMGFIEHDVQSKSAASSIIDIPLYGADRGKQLRVDSKGELTSLVTHAMHFGLGQF >Potri.002G231800.1.v4.1 pep chromosome:Pop_tri_v4:2:22401751:22406629:1 gene:Potri.002G231800.v4.1 transcript:Potri.002G231800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231800.v4.1 MSSDEEGGEEYLFKIVIIGDSAVGKSNLLSRYARNEFNLHSKATIGVEFQTQSMEIDGKEVKAQIWDTAGQERFRAVTSAYYRGAVGALIVYDISRRTTFDSVGRWLDELKTHSDTTVAMMLVGNKCDLENIRDVSVEEGKSLAEAEGLFFMETSALDSTNVKKAFEIVIREIYNNVSRKVLNSDTYKAELSLNRVTLVNNGSDSKQAQGYFSCCSR >Potri.006G246401.1.v4.1 pep chromosome:Pop_tri_v4:6:24676474:24677005:1 gene:Potri.006G246401.v4.1 transcript:Potri.006G246401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246401.v4.1 MEASLRPRFLVYFLLILQLILLNLWPILFHLVSEVEAQLGNRLQASVVRQMKASEMATQWTLLYHLTSRLRVLLQSAPSKRLFFEYYVKQLLKNQ >Potri.004G180400.1.v4.1 pep chromosome:Pop_tri_v4:4:19508222:19510154:-1 gene:Potri.004G180400.v4.1 transcript:Potri.004G180400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180400.v4.1 MESRTFLQVLCLAIVGLGFANAEVPAVFVLGDSTADVGTNNFLPGFKARADFPPNGIDFPSSRPTGRFSNGFNSADFLAMLMGFKRSPLPFFALAGNPKLLKRPSFRGVNFASAGSGILDMTGQTALTSSLSGVKNVVPLREQIEQLSAVHDNLTAIKGSAYTEILFSRSLFFISIGSNDLLSYFYSNSSVPKQEFISALGLEYEKQIMSILELGAKKIGIISVPPVGCCPSQRAFNESGGCLEGLNDLALEFHSTINALLMKLGSEYTDLKYSLGNAYEMTINVIDNPFPFGFKEVQTACCGVKRFNGEGICDKNANLCLNRHEYLFWDLFHPTMTASKLAALTLYAGEPRFVSPINFKQLAEA >Potri.018G081600.5.v4.1 pep chromosome:Pop_tri_v4:18:9949919:9952259:-1 gene:Potri.018G081600.v4.1 transcript:Potri.018G081600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081600.v4.1 MLKAWKWYQHCLSSHPVKTQIVSSGTLWGIGDIGAQYITHSTATSLLPKSQNEGAEFKINWKRVAITSMFGFGFVGPVGHFWYEGLDRFIRLRFLLQPKSPRFVATKVAADGIIFGPFDLFVFFTYMGFSTGKNVAQVKEDVKRDFLPALILEGGVWPIFQVVNFRYVPVRYQLLYVNVFCLIDSAFLSWIEQQKDAPWKQWFTSFQPLKERGGEV >Potri.004G143700.1.v4.1 pep chromosome:Pop_tri_v4:4:16668512:16675148:-1 gene:Potri.004G143700.v4.1 transcript:Potri.004G143700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143700.v4.1 MGTCTSKPPKPNPYAPSDTDPPPQLPFQTPSQHDLPATQTQTPKSPVTPFPTSKASPFFPFYTPSPFKKTPFKSTTSTPLRFFKKSFAPPSPAKHIKAVLRRQNKKKKKSGAEPNAEEDDDNEEAVELDKRFGFSKEFTSRLEVGEEVGRGHFGYTCSAKFKKGERKGQQVAVKVIPKSKMTTAIAVEDVRREVRILKDLTGHNNLVHFYDAFEDLDNVYIVMELCEGGELLDRILSRGGKYSEDDAKAVMVQILNVVAFCHLQGVVHRDLKPENFLYTSKEENAQLKVIDFGLSDFARPDERLNDIVGSAYYVAPEVLHRSYSTEADVWSIGVIAFILLCGSRPFWARTESGIFQAVLKADPSFDEAPWPTLSLESKDFVKRLLNKDPRKRITAAQALSHPWIRNYNDVKVPLDIMIFRHMKAYMRSSSLRKAALRALSKTLTADEQFFLKEQFALLEPKKSGSITLENLRMALMKNATNAMKDSRIPDFLASLNQLQYRRMDFEEFCAAALNVHQLETLDQWKHLARSAYEIFEKDGNRAIVIEELASELGLGPSIPVHAVLNDWIRHTDGKLSFHGFVKLLHGTSSRTIAKAQ >Potri.010G242050.2.v4.1 pep chromosome:Pop_tri_v4:10:21932517:21933979:-1 gene:Potri.010G242050.v4.1 transcript:Potri.010G242050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242050.v4.1 MADFPPNLEDGESWLPSDVFLEIISTTNASKVKNNDKNLLVRDHPTLKSSANVAPEIQLCDALGGFKPNVESPIGTRVPSVNHGYHPCWNHGFEVVSGPFNTCDSKIMTPTHNQTEGFKSRNAMFVYRKSEGTGVFLPRFNKPFSKGSGVLPRGTGVFLNHQVMDKDKYGKLRNLFYFYKNYTCFGCI >Potri.010G242050.1.v4.1 pep chromosome:Pop_tri_v4:10:21931336:21933978:-1 gene:Potri.010G242050.v4.1 transcript:Potri.010G242050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242050.v4.1 MADFPPNLEDGESWLPSDVFLEIISTTNASKVKNNDKNLLVRDHPTLKSSANVAPEIQLCDALGGFKPNVESPIGTRVPSVNHGYHPCWNHGFEVVSGPFNTCDSKIMTPTHNQTEGFKSRNAMFVYRKSEGTGVFLPRFNKPFSKGSGVLPRGTGVFLNHQVMDKDKYGYEKKEFGKEGSEENERHDSRFTYDHQICLPRHVQLPTLY >Potri.014G045700.2.v4.1 pep chromosome:Pop_tri_v4:14:2935731:2935994:1 gene:Potri.014G045700.v4.1 transcript:Potri.014G045700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045700.v4.1 MSRAIEKDATGREEEEEEEDFGTGPLSFLMISIKRNTQVLINLCNNKKLLAHSHHMVVWLAAGRLYPSCRFHAYALLGDDIVIVGCF >Potri.003G215800.6.v4.1 pep chromosome:Pop_tri_v4:3:21174236:21181469:1 gene:Potri.003G215800.v4.1 transcript:Potri.003G215800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215800.v4.1 MPRKRQRSTTTKPEAAASTSSSTKQKKTAAKPKRTWLRKVEKEEEEEEEVFVLEGDEDDTNSVDEVGARMGTGSADSRPDTKEKRGSGKAEKKISTPVKVKEDETPARFVGAQIPGAEARKKWPHRYANKFRRTIWLDVDFSFMMMVFLSLLLFKSLTKYSVSDDSEEIVKARCHYTRAEVDRIIYDLYYDAHVQASDGEPDYICRISEMFESVDRTLYFTTQWYYRSTVTIIKDKYISDPKCVFFSEIRNDNPLECLTWKLNIVRLALNVDPENRRARSSGCDFYCDMLYLLPYSTFVRLPTENNTTGPESSTISNDIDAAGVKSECDEVCETSGSSKSEVALLDLYSGCGAMSTGLCLGLNLVTKWAVDLNINACQSLRLNHPETQGDVDVICGGPPCQGISGFNRFRNTKSPLDDPKNKQLIVFMDTVDFLRPKFVLIENVVDLLKFADGFIGRYAMGCLTNMKYQARLGMLGHTVFRSFVCGFFYGVLAQLRSHHNILSPLMMCLGEVSHL >Potri.003G215800.5.v4.1 pep chromosome:Pop_tri_v4:3:21174236:21181469:1 gene:Potri.003G215800.v4.1 transcript:Potri.003G215800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215800.v4.1 MPRKRQRSTTTKPEAAASTSSSTKQKKTAAKPKRTWLRKVEKEEEEEEEVFVLEGDEDDTNSVDEVGARMGTGSADSRPDTKEKRGSGKAEKKISTPVKVKEDETPARFVGAQIPGAEARKKWPHRYANKFRRTIWLDVDFSFMMMVFLSLLLFKSLTKYSVSDDSEEIVKARCHYTRAEVDRIIYDLYYDAHVQASDGEPDYICRISEMFESVDRTLYFTTQWYYRSTVTIIKDKYISDPKCVFFSEIRNDNPLECLTWKLNIVRLALNVDPENRRARSSGCDFYCDMLYLLPYSTFVRLPTENNTTGPESSTISNDIDAAGVKSECDEVCETSGSSKSEVALLDLYSGCGAMSTGLCLGLNLKWAVDLNINACQSLRLNHPETQNNIAYCLLTEDGEPSTFREAIKSTDVSMWITTMQDPVRGQGRVDGRQLAACSSVFSSVVGHRSIFKQVGYLGRRLFEFSEREGEEKRSLVKNDDPEKKQYCFVMDDEDDSDDNDDHEDVSKVEKILEICYGDPKKNYGPDYDTWEPISGLINCREAIKNFVMHGYLSNILPLPGDVDVICGGPPCQGISGFNRFRNTKSPLDDPKNKQLIVFMDTVDFLRPKFVLIENVVDLLKFADGFIGRYAMGCLTNMKYQARLGMLGHTVFRSFVCGFFYGVLAQLRSHHNILSPLMMCLGEVSHL >Potri.005G061100.6.v4.1 pep chromosome:Pop_tri_v4:5:3861022:3869865:-1 gene:Potri.005G061100.v4.1 transcript:Potri.005G061100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061100.v4.1 MKEGGTASVKMSGSGRKRSSKWDLKEGSQMSFEDVEDNAWPGKAGIPFRDKESRCDWLPPEAAGGTRSKWLAMEPLPGRRGSRRVDNIDEDHSRTLKATYEDESYGTRMSPGLDEWRQQSFRNSPKNEYKRLRRSLSRSRSRSQSRSRSPVYGFGRESGAYDRTRSRSGVSAQLCKDFVAGRCRRGSHCQFLHQDTETYEDDWERPRKTAASKYPISHDTKQYPMGSGRSANCCTNFLKGNCRRGESCRYAHHGASDPSSRGSANEVIRERDNDRRRRYASPERRAERETSRAADIPCKFFAAGNCQNGKYCRFSHHDQTLASPDKRSRDVRWPPSQNSDELEKSWKGPKWSDSHTSDSAKFSEDKNEKLDAPNLRLSARHMEDGWGHNPDEDKTASNPPTNEVVEIDKKEAFQWKTENSGDNTNVSENWLGDMEMSPDWNYRLQPSNHINEGSCHELQEGSGRMHDTAAVMPPISNETSSIQQGFNLKEVGGSALPHDDDGVTAKTASSYINISANALASQSFNKNGQSSNTSPILNLNTVGQIQEAILTNASRGGTTTNPQNQTLFQERTVINIPDTGNPNAPHANLGIPTAQNMASNEHLTQLTNLSATLVQLLVNGQQLPQLYEAHNSHDGTFANSEGTVTVKPDSAVTIQPNQAAEPRKQYDPICDSVEPGKLNVNTNPPDKKLELLSKSLSPSSLAAAPNGGDFNKFFLEQESNEKSSQLNQPAPAASSEATNENNGVGSGEGNKVQEQDKTAQENGPLENSDGDGKADESRKNKDAKGNRAFKFALVEFVKDLLKPAWKEGQISKDAYKNIVKKVVDKVTGTMHSASIPTTQEKIEQYLSVSKPKLTKLVQAYVEKFQKDK >Potri.005G061100.8.v4.1 pep chromosome:Pop_tri_v4:5:3861064:3864428:-1 gene:Potri.005G061100.v4.1 transcript:Potri.005G061100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061100.v4.1 MGSGRSANCCTNFLKGNCRRGESCRYAHHGASDPSSRGSANEVIRERDNDRRRRYASPERRAERETSRAADIPCKFFAAGNCQNGKYCRFSHHDQTLASPDKRSRDVRWPPSQNSDELEKSWKGPKWSDSHTSDSAKFSEDKNEKLDAPNLRLSARHMEDGWGHNPDEDKTASNPPTNEVVEIDKKEAFQWKTENSGDNTNVSENWLGDMEMSPDWNYRLQPSNHINEGSCHELQEGSGRMHDTAAVMPPISNETSSIQQGFNLKEVGGSALPHDDDGVTAKTASSYINISANALASQSFNKNGQSSNTSPILNLNTVGQIQEAILTNASRGGTTTNPQNQTLFQERTVINIPDTGNPNAPHANLGIPTAQNMASNEHLTQLTNLSATLVQLLVNGQQLPQLYEAHNSHDGTFANSEGTVTVKPDSAVTIQPNQAAEPRKQYDPICDSVEPGKLNVNTNPPDKKLELLSKSLSPSSLAAAPNGGDFNKFFLEQESNEKSSQLNQPAPAASSEATNENNGVGSGEGNKVQEQDKTAQENGPLENSDGDGKADESRKNKDAKGNRAFKFALVEFVKDLLKPAWKEGQISKDAYKNIVKKVVDKVTGTMHSASIPTTQEKIEQYLSVSKPKLTKLVQAYVEKFQKDK >Potri.005G061100.7.v4.1 pep chromosome:Pop_tri_v4:5:3860680:3864597:-1 gene:Potri.005G061100.v4.1 transcript:Potri.005G061100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061100.v4.1 MKEGGTASVKMSGSGRKRSSKWDLKEGSQMSFEDVEDNAWPGKAGIPFRDKESRCDWLPPEAAGGTRSKWLAMEPLPGRRGSRRVDNIDEDHSRTLKATYEDESYGTRMSPGLDEWRQQSFRNSPKNEYKRLRRSLSRSRSRSQSRSRSPVYGFGRESGAYDRTRSRSGVSAQLCKDFVAGRCRRGSHCQFLHQDTETYEDDWERPRKTAASKYPISHDTKQYPMGSGRSANCCTNFLKGNCRRGESCRYAHHGASDPSSRGSANEVIRERDNDRRRRYASPERRAERETSRAADIPCKFFAAGNCQNGKYCRFSHHDQTLASPDKRSRDVRWPPSQNSDELEKSWKGPKWSDSHTSDSAKFSEDKNEKLDAPNLRLSARHMEDGWGHNPDEDKTASNPPTNEVVEIDKKEAFQWKTENSGDNTNVSENWLGDMEMSPDWNYRLQPSNHINEGSCHELQEGSGRMHDTAAVMPPISNETSSIQQGFNLKEVGGSALPHDDDGVTAKTASSYINISANALASQSFNKNGQSSNTSPILNLNTVGQIQEAILTNASRGGTTTNPQNQTLFQERTVINIPDTGNPNAPHANLGIPTAQNMASNEHLTQLTNLSATLVQLLVNGQQLPQLYEAHNSHDGTFANSEGTVTVKPDSAVTIQPNQAAEPRKQYDPICDSVEPGKLNVNTNPPDKKLELLSKSLSPSSLAAAPNGGDFNKFFLEQESNEKSSQLNQPAPAASSEATNENNGVGSGEGNKVQEQDKTAQENGPLENSDGDGKADESRKNKDAKGNRAFKFALVEFVKDLLKPAWKEGQISKDAYKNIVKKVVDKVTGTMHSASIPTTQEKIEQYLSVSKPKLTKLVQAYVEKFQKDK >Potri.005G061100.3.v4.1 pep chromosome:Pop_tri_v4:5:3860683:3869936:-1 gene:Potri.005G061100.v4.1 transcript:Potri.005G061100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061100.v4.1 MKEGGTASVKMSGSGRKRSSKWDLKEGSQMSFEDVEDNAWPGKAGIPFRDKESRCDWLPPEAAGGTRSKWLAMEPLPGRRGSRRVDNIDEDHSRTLKATYEDESYGTRMSPGLDEWRQQSFRNSPKNEYKRLRRSLSRSRSRSQSRSRSPVYGFGRESGAYDRTRSRSGVSAQLCKDFVAGRCRRGSHCQFLHQDTETYEDDWERPRKTAASKYPISHDTKQYPMGSGRSANCCTNFLKGNCRRGESCRYAHHGASDPSSRGSANEVIRERDNDRRRRYASPERRAERETSRAADIPCKFFAAGNCQNGKYCRFSHHDQTLASPDKRSRDVRWPPSQNSDELEKSWKGPKWSDSHTSDSAKFSEDKNEKLDAPNLRLSARHMEDGWGHNPDEDKTASNPPTNEVVEIDKKEAFQWKTENSGDNTNVSENWLGDMEMSPDWNYRLQPSNHINEGSCHELQEGSGRMHDTAAVMPPISNETSSIQQGFNLKEVGGSALPHDDDGVTAKTASSYINISANALASQSFNKNGQSSNTSPILNLNTVGQIQEAILTNASRGGTTTNPQNQTLFQERTVINIPDTGNPNAPHANLGIPTAQNMASNEHLTQLTNLSATLVQLLVNGQQLPQLYEAHNSHDGTFANSEGTVTVKPDSAVTIQPNQAAEPRKQYDPICDSVEPGKLNVNTNPPDKKLELLSKSLSPSSLAAAPNGGDFNKFFLEQESNEKSSQLNQPAPAASSEATNENNGVGSGEGNKVQEQDKTAQENGPLENSDGDGKADESRKNKDAKGNRAFKFALVEFVKDLLKPAWKEGQISKDAYKNIVKKVVDKVTGTMHSASIPTTQEKIEQYLSVSKPKLTKLVQAYVEKFQKDK >Potri.005G061100.4.v4.1 pep chromosome:Pop_tri_v4:5:3860959:3869861:-1 gene:Potri.005G061100.v4.1 transcript:Potri.005G061100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061100.v4.1 MKEGGTASVKMSGSGRKRSSKWDLKEGSQMSFEDVEDNAWPGKAGIPFRDKESRCDWLPPEAAGGTRSKWLAMEPLPGRRGSRRVDNIDEDHSRTLKATYEDESYGTRMSPGLDEWRQQSFRNSPKNEYKRLRSWLALVDLSCLWFVCKSRSLSRSRSRSQSRSRSPVYGFGRESGAYDRTRSRSGVSAQLCKDFVAGRCRRGSHCQFLHQDTETYEDDWERPRKTAASKYPISHDTKQYPMGSGRSANCCTNFLKGNCRRGESCRYAHHGASDPSSRGSANEVIRERDNDRRRRYASPERRAERETSRAADIPCKFFAAGNCQNGKYCRFSHHDQTLASPDKRSRDVRWPPSQNSDELEKSWKGPKWSDSHTSDSAKFSEDKNEKLDAPNLRLSARHMEDGWGHNPDEDKTASNPPTNEVVEIDKKEAFQWKTENSGDNTNVSENWLGDMEMSPDWNYRLQPSNHINEGSCHELQEGSGRMHDTAAVMPPISNETSSIQQGFNLKEVGGSALPHDDDGVTAKTASSYINISANALASQSFNKNGQSSNTSPILNLNTVGQIQEAILTNASRGGTTTNPQNQTLFQERTVINIPDTGNPNAPHANLGIPTAQNMASNEHLTQLTNLSATLVQLLVNGQQLPQLYEAHNSHDGTFANSEGTVTVKPDSAVTIQPNQAAEPRKQYDPICDSVEPGKLNVNTNPPDKKLELLSKSLSPSSLAAAPNGGDFNKFFLEQESNEKSSQLNQPAPAASSEATNENNGVGSGEGNKVQEQDKTAQENGPLENSDGDGKADESRKNKDAKGNRAFKFALVEFVKDLLKPAWKEGQISKDAYKNIVKKVVDKVTGTMHSASIPTTQEKIEQYLSVSKPKLTKLVQAYVEKFQKDK >Potri.005G061100.5.v4.1 pep chromosome:Pop_tri_v4:5:3860973:3869801:-1 gene:Potri.005G061100.v4.1 transcript:Potri.005G061100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061100.v4.1 MKEGGTASVKMSGSGRKRSSKWDLKEGSQMSFEDVEDNAWPGKAGIPFRDKESRCDWLPPEAAGGTRSKWLAMEPLPGRRGSRRVDNIDEDHSRTLKATYEDESYGTRMSPGLDEWRQQSFRNSPKNEYKRLRSRSLSRSRSRSQSRSRSPVYGFGRESGAYDRTRSRSGVSAQLCKDFVAGRCRRGSHCQFLHQDTETYEDDWERPRKTAASKYPISHDTKQYPMGSGRSANCCTNFLKGNCRRGESCRYAHHGASDPSSRGSANEVIRERDNDRRRRYASPERRAERETSRAADIPCKFFAAGNCQNGKYCRFSHHDQTLASPDKRSRDVRWPPSQNSDELEKSWKGPKWSDSHTSDSAKFSEDKNEKLDAPNLRLSARHMEDGWGHNPDEDKTASNPPTNEVVEIDKKEAFQWKTENSGDNTNVSENWLGDMEMSPDWNYRLQPSNHINEGSCHELQEGSGRMHDTAAVMPPISNETSSIQQGFNLKEVGGSALPHDDDGVTAKTASSYINISANALASQSFNKNGQSSNTSPILNLNTVGQIQEAILTNASRGGTTTNPQNQTLFQERTVINIPDTGNPNAPHANLGIPTAQNMASNEHLTQLTNLSATLVQLLVNGQQLPQLYEAHNSHDGTFANSEGTVTVKPDSAVTIQPNQAAEPRKQYDPICDSVEPGKLNVNTNPPDKKLELLSKSLSPSSLAAAPNGGDFNKFFLEQESNEKSSQLNQPAPAASSEATNENNGVGSGEGNKVQEQDKTAQENGPLENSDGDGKADESRKNKDAKGNRAFKFALVEFVKDLLKPAWKEGQISKDAYKNIVKKVVDKVTGTMHSASIPTTQEKIEQYLSVSKPKLTKLVQAYVEKFQKDK >Potri.002G188300.1.v4.1 pep chromosome:Pop_tri_v4:2:15035028:15042202:1 gene:Potri.002G188300.v4.1 transcript:Potri.002G188300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188300.v4.1 MQGDEAKVLLGFPPNSRPTLSQVKAAYRKKVWESHPDLFPLHEKPGAESKFKLISEAYTYLQTGAKGEDSASATYARVVRTGVPRAHGGRSNRALIQIPFLFIILGTVGLGGLNATRAYKKQKEAHPSHNPFLP >Potri.003G182200.2.v4.1 pep chromosome:Pop_tri_v4:3:18766970:18769437:1 gene:Potri.003G182200.v4.1 transcript:Potri.003G182200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182200.v4.1 MDYSSWVDTSLDLNINPLRVKSEVPVDAERFGMARELKPTFMDFQTKPSAKEETGALVVELNRVSEENRKLTEMLTVMCESYNALRSQLMDYMSKNGEKELLAPSKKRKSESSNNNDNNIVMNGHSESSSTDEESSKKPREEVIKDKISKAYVRTEAGDTSLIVKDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQRSIDDQSVLVATYEGEHNHPHPSMEATSGSNRSLTLGPAPCIASLASSGPTITLDLTKSKSRNDDRSSKPRADTPEVRKFLVEQMASSLTKDPNFTAALASAISGRMFQQNHSEKW >Potri.001G433100.2.v4.1 pep chromosome:Pop_tri_v4:1:45848634:45851015:1 gene:Potri.001G433100.v4.1 transcript:Potri.001G433100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G433100.v4.1 MITIVTSKSNKATDSSHQHTIEDSSTPPLKTKSIVELYEQTKPTLKLTSTSNARGAQDLASKMKPQATPLQESSGAREILFGTQGDFDNSPMETSPCQSPSKASGFGTMSVMMTETTSLEDQVATLTKLVEGLSTSLKAKDHEIAKLMNKLESMNEGGQTLATKALQVDQLDVIEDSTIGAARNIHGITDGIFTMNQLKELIKEVITDQVESSVQPSYSYVKPYTQRIDLLRMPPNYQPPKFQQFDGKGNPRQHIAHFVETCNNAGTNGDLMVKQFVRSLKGNAFDWYTDLESGLIDTWEQLEREFLNRFYSTRRVVSMIELTNARQWKEELVIDYIHRWRNLSLNCRDRLTETSALDMCIQGMHWGLRYILQGIKPKSFEELATRAHDMELSIAAVESSSLPMQEPMRNKLEGHRFEKSTAKVEGKQSLVVNSTTTRVPTGVKRNDRATPVTFQKGERKKPSLKKRQEKVYPFPDSDISRMLDDLLEANIIELPEVKRPDEANQMDNPNYCKYHRLIRHPVEKCFVLKDKIMRLHENGDIVFDDEVATSNITTMVNLGPRQSLPTISFGSFEPIELGIILPTSFIASSSQTPCITLTPHVDNSKPDSSENYDDEGWTLVMHRRGRKRHMQMTKPTRMRISTVRKLMEPTRRKIQRKSIPVRNEGFSTQSLRKPVTLNEYMPIEMRRIENVLVACYHVDEEKTHTKPVMKENHDDSSNLSHGVGTTEISFNNEDSLLGSKLYNQSLFIKGYVDEKMMNRILVDDGSAVNILPLKTMKELGIPIDELFSSHLMI >Potri.001G276800.2.v4.1 pep chromosome:Pop_tri_v4:1:29054798:29061436:1 gene:Potri.001G276800.v4.1 transcript:Potri.001G276800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276800.v4.1 MEESSEVHSEENRVSLEKNLKRTVKTPAQVIALESFYNEHKYPTEEMKSELADRIGLTEKQISSWFCHRRLKDKRLKDEGYTNGRQDRSSSILHDRGSGLRQDSCGSTKQGDYRNIDPREVESQRLYGQDFHTADLTYDRTSRYTGNVSGIDDISSGSSSSLQDKFVSQREDPYDTETSKYLAQNGASMPLIPKGAESFGYKPSGYLKVKGEIENAAITAVKMQLGRHYKEDGPPLGVDFQSLPPSAFASPSRDPVNGPIYVGDLVGMRSPDVSGVRKQPSLSGRYEVYSTKMSSHDSYTEGANCNPEHGSDSHERKSRHHLEQKSTYNVSNSNAGGNSTMDMPDDLAVETSVYRSKRNYRTSSKHDFEGRRSDSFATHHGPRGRREKTEAWLHDCDNDNPKIARRNDYMSKPSHSILGPGKSLVTEERAPFTKTEKEEKLYGEMKRMKGSHDSVRVKRHPRDETAVAKRFRADFPRQEHVTKASVSGMRRRTNLTEGSVMERPSSFSEDETAETSSSAE >Potri.018G149400.1.v4.1 pep chromosome:Pop_tri_v4:18:16051041:16066890:-1 gene:Potri.018G149400.v4.1 transcript:Potri.018G149400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149400.v4.1 MADSSSGTTLMDLITADPGPAPKSSGSSEAPTPPASQQPTGSMSYSTPTTTTASSSSGSGKTMLGERKSKRATLMQIQNDTISAAKAAMKTTAGINIMPQKQKKNPVSYSQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTILRYVYYYLARILSDTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSKEASDANVEFHARRLQALKALTYAPESNTGILSRLYEIVFGILDKVGDNPQKRKKGVFGTKGGDKESIVRSNLQYAALSALRRLPLDPGNPAFLHRAVQGVSFADPVAVRHALEILSELATKDPYGVAMALGKLVVPGGALQDVLHLHDVLARVSLARLCHTISRARALDERPDIKSQFNSVLYQLLLDPSERVCFEAIFCVLGKHDNTERTEERAAGWYRLTREILKLPEAPSLSSKGSIADSNDMSKASKDKSHKTRRPQPLIKLVMRRLESSFRNFSRPVLHAAARVVQEMGKSRAAAYAVGLQDIDEGVNVNSFSESADPVDSDFNENPYADGARKVSAVSSATGSKDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQLPHESFEELESIIASELSDPSWPATLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMLGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALTTMAIRSGEPFRLQIYEFLNALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLISPMVKVLDEMYRAQDELIRDIRNHDNTNKEWTDEELKKLYETHERLLDIVSLFCYVPRAKYLPLGPISAKLIDIYRTKHNISASTGLSDPAVATGISDLMYESKPAPVESDALDDDLVNAWAANLGDDGLLGNSAPAMSRVNEFLAGMGTEAPDVEEENIISRPSVSYDDMWAKTLLESSELEEDVRSSGSSSPDSIGSVETSISSHFGGMNYPSLFSSRPTSYGASQISERSGGNRYSGPSSFYEGAGSPIREEPPPYTSPDRSFENPLAGHGSRSFESQESGRASSANPQYGSALYDFSAGGDDELSLTAGEELEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNQS >Potri.018G149400.2.v4.1 pep chromosome:Pop_tri_v4:18:16051049:16066684:-1 gene:Potri.018G149400.v4.1 transcript:Potri.018G149400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149400.v4.1 MDLITADPGPAPKSSGSSEAPTPPASQQPTGSMSYSTPTTTTASSSSGSGKTMLGERKSKRATLMQIQNDTISAAKAAMKTTAGINIMPQKQKKNPVSYSQLARSIHELAATSDQKSSQKQLVHHVFPKLAVYNSVDPSLAPSLLMLDQQCEDRTILRYVYYYLARILSDTGSQGLNPGGGIPTPNWDALADIDAVGGVTRADVVPRIVDQLSKEASDANVEFHARRLQALKALTYAPESNTGILSRLYEIVFGILDKVGDNPQKRKKGVFGTKGGDKESIVRSNLQYAALSALRRLPLDPGNPAFLHRAVQGVSFADPVAVRHALEILSELATKDPYGVAMALGKLVVPGGALQDVLHLHDVLARVSLARLCHTISRARALDERPDIKSQFNSVLYQLLLDPSERVCFEAIFCVLGKHDNTERTEERAAGWYRLTREILKLPEAPSLSSKGSIADSNDMSKASKDKSHKTRRPQPLIKLVMRRLESSFRNFSRPVLHAAARVVQEMGKSRAAAYAVGLQDIDEGVNVNSFSESADPVDSDFNENPYADGARKVSAVSSATGSKDTIAGLLASLMEVVRTTVACECVYVRAMVIKALIWMQLPHESFEELESIIASELSDPSWPATLLNDVLLTLHARFKATPDMAVTLLEIARIFATKVPGKIDADVLQLLWKTCLVGAGPDGKHTALEAVTIVLDLPPPQPGSMLGLTSVDRVSASDPKSALALQRLVQAAVWFLGENANYAASEYAWESATPPGTALMMLDADKMVAAASSRNPTLAGALTRLQRCAFSGSWEVRIVAAQALTTMAIRSGEPFRLQIYEFLNALAQGGVQSQLSEMHLSNGEDQGASGTGLGVLISPMVKVLDEMYRAQDELIRDIRNHDNTNKEWTDEELKKLYETHERLLDIVSLFCYVPRAKYLPLGPISAKLIDIYRTKHNISASTGLSDPAVATGISDLMYESKPAPVESDALDDDLVNAWAANLGDDGLLGNSAPAMSRVNEFLAGMGTEAPDVEEENIISRPSVSYDDMWAKTLLESSELEEDVRSSGSSSPDSIGSVETSISSHFGGMNYPSLFSSRPTSYGASQISERSGGNRYSGPSSFYEGAGSPIREEPPPYTSPDRSFENPLAGHGSRSFESQESGRASSANPQYGSALYDFSAGGDDELSLTAGEELEIEYEVDGWFYVKKKRPGRDGKMAGLVPVLYVNQS >Potri.013G071900.1.v4.1 pep chromosome:Pop_tri_v4:13:5895968:5899103:-1 gene:Potri.013G071900.v4.1 transcript:Potri.013G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G071900.v4.1 MHTLNLTQSSPVTFSKSTNHRYSINASSLLNPNLISRTHNQTALFNKPSTQIGALTTKVSNFGQIHGYPFGRSSRSTSQIHDSGFRRDHFDRIHENQSGFCSKSGSFITKAAASESESSPEGDASAVSKPKSKTLQLALVFGLWYFQNVVFNIYNKKALNVFPFPWFLASFQLFVGSIWMLILWSLKLQPCPKISKPFIIALLGPALFHTIGHISACVSFSKVAVSFTHVIKSSEPVFSVVFSSFLGDTYPLKVWLSILPIVLGCSLAAVTEVSFNFQGLWGALISNVGFVLRNIYSKRSLQNFKEVDGLNLYGWISIISLFYLFPVAVVIEGSQWIQGYHKAIEAVGKSSTFYIWVLLSGVFYHLYNQSSYQALDEISPLTFSVGNTMKRVVVIISTVLVFGNPVRPLNALGSAIAIFGTFLYSQVTAKRAKKPEVEKQN >Potri.003G020100.1.v4.1 pep chromosome:Pop_tri_v4:3:2130443:2133262:1 gene:Potri.003G020100.v4.1 transcript:Potri.003G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020100.v4.1 MAQILGRHLHLFMPLSVLILQIAMAAGQNTSAEAKSFTLVNNCNETIWPGILTRGNNSNGYGFALQQGQTAFYNATAGWSGRMWARTGCSFDKNGTGTCQTGSCGTSINCTVPSNPPNTIAEFTLGDVDFYDVSLVDGFNLPVVISPIDGKGNCSISGCDGDLRQNCSSGLAVKSDGKVIACRSACDAFNTDEYCCRGAYADPVACLPTNYSRSFKQVCPAAASYAFDDSISIITCSASEYVVTFCASRNQKVCSYHDNKLVCNTSNGSKASPLGLGGWILVLALPLTYNLKMKFYLS >Potri.006G056500.9.v4.1 pep chromosome:Pop_tri_v4:6:3982602:3984694:1 gene:Potri.006G056500.v4.1 transcript:Potri.006G056500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056500.v4.1 MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKDIILKQQQAQLAASSIESIVNGNSSGNGKEPVVAVAVDVQSAPVEVKIISTEPSSATSSKPSEMKAKEGPSRCTSCRKRVGLTGFSCRCGNLFCAVHRYSDKHNCRFDYRNAARDAIAKANPVVRAEKLDKI >Potri.006G056500.10.v4.1 pep chromosome:Pop_tri_v4:6:3982594:3984752:1 gene:Potri.006G056500.v4.1 transcript:Potri.006G056500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056500.v4.1 MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKDIILKQQQAQLAASSIESIVNGNSSGNGKEPVVAVAVDVQSAPVEVKIISTEPSSATSSKPSEMKAKEGPSRCTSCRKRVGLTGFSCRCGNLFCAVHRYSDKHNCRFDYRNAARDAIAKANPVVRAEKLDKI >Potri.006G056500.11.v4.1 pep chromosome:Pop_tri_v4:6:3982611:3984648:1 gene:Potri.006G056500.v4.1 transcript:Potri.006G056500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056500.v4.1 MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKDIILKQQQAQLAASSIESIVNGNSSGNGKEPVVAVAVDVQSAPVEVKIISTEPSSATSSKPSEMKAKEGPSRCTSCRKRVGLTGFSCRCGNLFCAVHRYSDKHNCRFDYRNAARDAIAKANPVVRAEKLDKI >Potri.001G038200.1.v4.1 pep chromosome:Pop_tri_v4:1:2772609:2772893:1 gene:Potri.001G038200.v4.1 transcript:Potri.001G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038200.v4.1 MVLDSMITSPHRRSPSFRKPFPRDELGSWSTLLRRHRFLLTAFALLAFLCTIYLYFAVTLGATESCSGLTGTKKTLCRLELAKDSVGNGKLKFF >Potri.003G086801.1.v4.1 pep chromosome:Pop_tri_v4:3:11343719:11348022:1 gene:Potri.003G086801.v4.1 transcript:Potri.003G086801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086801.v4.1 MHRRLAIFTYKVLVNTNPIPITTATSTTLLYSQCNPLNPRTNGFAFFRLLSFTPGHRRAQDPDDPSNLMKEDGVSVCSQMWIENFREPDRIVSNLTTYLRRFELWVLAYQKVCADDMGAYMPRSAIQRSALEDLLALRNAVLDNRFKWGARLDFLIKSPKDKTDYQSLSKRKIKAILTTTQPAAFQDKIVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLRVIRRNFAGYLWYIKGDFSTILDGMKVGLVISALMRDVRDKKVIDLIKAALTTPVITSRMEEPKKKTKRKYQKKRVLAEDEPKPDPYWLDTFFGFAPEEAEKIPSWGHCGILSPLLANICLDELDQWMEGKLKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYVRYGGHILIGVRGPRADAATLRKQLIEFVDQKYMLKVDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDRDPDPQPCFRMFHATQSHTNAQMNKFLSTMVEWFRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPDYHNLLRMGLAESIDGLQYTRMSLVPETDYTPFPSNWIPDHEKALLEYISLDDPKTLEDQRCSIRKQGLVSSQDYISMLVWNYKRNAIAMDQLSLIKSGGNNTEKEQQLLLGSDKDTYDQKSKEEEEHEDGFDVAEI >Potri.003G086801.2.v4.1 pep chromosome:Pop_tri_v4:3:11343719:11348276:1 gene:Potri.003G086801.v4.1 transcript:Potri.003G086801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086801.v4.1 MHRRLAIFTYKVLVNTNPIPITTATSTTLLYSQCNPLNPRTNGFAFFRLLSFTPGHRRAQDPDDPSNLMKEDGVSVCSQMWIENFREPDRIVSNLTTYLRRFELWVLAYQKVCADDMGAYMPRSAIQRSALEDLLALRNAVLDNRFKWGARLDFLIKSPKDKTDYQSLSKRKIKAILTTTQPAAFQDKIVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLRVIRRNFAGYLWYIKGDFSTILDGMKVGLVISALMRDVRDKKVIDLIKAALTTPVITSRMEEPKKKTKRKYQKKRVLAEDEPKPDPYWLDTFFGFAPEEAEKIPSWGHCGILSPLLANICLDELDQWMEGKLKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYVRYGGHILIGVRGPRADAATLRKQLIEFVDQKYMLKVDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDRDPDPQPCFRMFHATQSHTNAQMNKFLSTMVEWFRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPDYHNLLRMGLAESIDGLQYTRMSLVPETDYTPFPSNWIPDHEKALLEYISLDDPKTLEDQRCSIRKQGLVSSQDYISMLVWNYKRNAIAMDQLSLIKSGGNNTEKEQQLLLGSDKDTYDQKSKEEEEHEDGFDVAEI >Potri.012G090700.1.v4.1 pep chromosome:Pop_tri_v4:12:11571635:11572309:1 gene:Potri.012G090700.v4.1 transcript:Potri.012G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090700.v4.1 MANIIHKLISKTTPTTPSLRIITSTLKTPQLSDPNYLTATTTSLLPSTTKSDFSNNPIPLLNPIPTQDVKPLQFYYPSFPFGFCLNPISATGFGQVKAMEEDVDDSRTLWADSVKKKRKKKMNKHKYQKLRKRLGRKSS >Potri.016G110700.1.v4.1 pep chromosome:Pop_tri_v4:16:11318371:11330368:1 gene:Potri.016G110700.v4.1 transcript:Potri.016G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110700.v4.1 MVVTMDLNVLPGPEDNEDNFEGNIEEHGAPEERFESAAEIARREREERRKRMRNENLEDRPAHVSRQPVHDQLFPSKHYKSYDKSKLPAGWLDCPAFGQEINCIVPSKVPLGEAYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTTDLKKEGIKHVKIYCKGRDAVPENTAVNTFVYEVMQFLLRQKHTKKYILVHCTHGHNRTGYMIVHYLMRSQPMSVTQAIKIFAEARPPGIYKPDYIDALYSFYHERKPEMAVCPPTPEWKRSSEFDLNGEAVPDDDDDGGSAKNLHDNHEMDVVMTNDDVLGDEIPNDQLDALRQFCCQTLKLNVPGRGKPIFPGSHPVSLSRDNLLLLRTRYYYATWKADGTRYMMLITVDGCFLIDRDFKFRRVQMRFPCRYTNEGPADKTHHFTLLDGEMIIDTLPDSQKQERRYLIYDMMAINRESVTERPFHERWKMLEKEVIEPRNYERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFRFEVDDDDRQLLYLNERGTKKLMEGHRVAFKDDLDPSSYSGKIIECSWASEEHVWVCMRVRTDKSTPNEFNTYKKVMRSIKDNITEDVLLNEIYEIIRLPMYADRIRIESKAQQHANASRRR >Potri.016G110700.3.v4.1 pep chromosome:Pop_tri_v4:16:11318564:11330510:1 gene:Potri.016G110700.v4.1 transcript:Potri.016G110700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110700.v4.1 MVVTMDLNVLPGPEDNEDNFEGNIEEHGAPEERFESAAEIARREREERRKRMRNENLEDRPAHVSRQPVHDQLFPSKHYKSYDKSKLPAGWLDCPAFGQEINCIVPSKVPLGEAYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTTDLKKEGIKHVKIYCKGRDAVPENTAVNTFVYEVMQFLLRQKHTKKYILVHCTHGHNRTGYMIVHYLMRSQPMSVTQAIKIFAEARPPGIYKPDYIDALYSFYHERKPEMAVCPPTPEWKRSSEFDLNGEAVPDDDDDGGSAKNLHDNHEMDVVMTNDDVLGDEIPNDQLDALRQFCCQTLKLNVPGRGKPIFPGSHPVSLSRDNLLLLRTRYYYATWKADGTRYMMLITVDGCFLIDRDFKFRRVQMRFPCRYTNEGPADKTHHFTLLDGEMIIDTLPDSQKQERRYLIYDMMAINRESVTERPFHERWKMLEKEVIEPRNYERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFRFEVDDDDRQLLYLNERGTKKLMEGHRVAFKDDLDPSSYSGKIIECSWASEEHVWVCMRVRTDKSTPNEFNTYKKVMRSIKDNITEDVLLNEIYEIIRLPMYADRIRIESKAQQHANASRRR >Potri.016G110700.2.v4.1 pep chromosome:Pop_tri_v4:16:11318305:11330388:1 gene:Potri.016G110700.v4.1 transcript:Potri.016G110700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110700.v4.1 MVVTMDLNVLPGPEDNEDNFEGNIEEHGAPEERFESAAEIARREREERRKRMRNENLEDRPAHVSRQPVHDQLFPSKHYKSYDKSKLPAGWLDCPAFGQEINCIVPSKVPLGEAYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTTDLKKEGIKHVKIYCKGRDAVPENTAVNTFVYEVMQFLLRQKHTKKYILVHCTHGHNRTGYMIVHYLMRSQPMSVTQAIKIFAEARPPGIYKPDYIDALYSFYHERKPEMAVCPPTPEWKRSSEFDLNGEAVPDDDDDGGSAKNLHDNHEMDVVMTNDDVLGDEIPNDQLDALRQFCCQTLKLNVPGRGKPIFPGSHPVSLSRDNLLLLRTRYYYATWKADGTRYMMLITVDGCFLIDRDFKFRRVQMRFPCRYTNEGPADKTHHFTLLDGEMIIDTLPDSQKQERRYLIYDMMAINRESVTERPFHERWKMLEKEVIEPRNYERHNIYQSRNPYYRYDLEPFRVRRKDFWLLSTVTKLLKEFIPKLSHDADGLIFQGWDDPYVPRTHEGLLKWKYPEMNSVDFRFEVDDDDRQLLYLNERGTKKLMEGHRVAFKDDLDPSSYSGKIIECSWASEEHVWVCMRVRTDKSTPNEFNTYKKVMRSIKDNITEDVLLNEIYEIIRLPMYADRIRIESKAQQHANASRRR >Potri.001G004500.1.v4.1 pep chromosome:Pop_tri_v4:1:295298:298489:-1 gene:Potri.001G004500.v4.1 transcript:Potri.001G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004500.v4.1 MGEVKKPQSRGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSATEVTKTMLKNEGFGALYKGLSAGLLRQATYTTARLGTFKILTSKAIEANDGKPLPLYQKALCGLTAGAIGASVGSPADLALIRMQADATLPAAQRRNYSNAFNALFRIVSDEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFCKDSLGFGEMSTVIGASTVSGFFAAACSLPFDYVKTQIQKMQPDAQGKYPYTGSMDCALKTLKLGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLEKSAGL >Potri.017G007800.2.v4.1 pep chromosome:Pop_tri_v4:17:578980:582897:1 gene:Potri.017G007800.v4.1 transcript:Potri.017G007800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007800.v4.1 MEGLANQLKRGISRQFSTGSLRRSFSRQFSRQSSLDPRRNNLRFSFGRQSSLDPIRRSPLHGHDELSVPENLDATMHLLFLACRGDVKGVEDLLNEGIDVNSIDLDGRTALHIAACEGHVEVVKLLLSRRANIDARDRWGSTACADAKYYGNVEVYNILKARGAKAPKTTRKTPMTVANPREIPEYELNPLELQVRKSDGITKGMFQVAKWNGTKVAVKILEKDRSADPESINAFKHELTLLEKVRHPNVIQFVGAVTQNLPMMIVAEYHSKGDLASYLLKKGRLSPSKVLRFGLDIARGINYLHECKPDPIIHCDLKPKNILLDNGGLLKVAGFGLIRLSNISPDKAKLAPGTLIDHSNVYMAPEIYNDEIFDRSVDAYSFGVILYEMLEGVQPFHPKTPEEAVKLMCLEKRRPPFKIKVRSYPQDLKELIDECWHSEPAVRPTFSEIITRLDKVCCNCSKQGWWKDTFKLPWK >Potri.003G076500.1.v4.1 pep chromosome:Pop_tri_v4:3:10384757:10390807:1 gene:Potri.003G076500.v4.1 transcript:Potri.003G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076500.v4.1 MIPLLSKTLTIPSLPLLRLTLTPHSLLTRFTSTPTPTQTRSMSSDSTQRVFQLKLDPLTGNSEWVIIEDGNKEEEETFKSSSHALLATTSYLDMLNDDTRNRAFREAIDKTITKPCHVLDIGAGTGLLSMMAARAMGTCDDNKKGMVTACESYLPMVKLMRKVLNLNGMGKNVKIFNKRSDELQVDIDIPSRADVLVSEILDSELLGEGLIPTLQHAHDMLLVDNPLTVPYRATTYGQLVESTFLWKLHDLSNNEEEASDGIRLVPAGLDTILHVKAKQHPMHCDAISTEINLLSEPFKIFEFDFWKRPDSQGDSKLLIKATNDGRIHAVVSWWILQLDSEGTIFYSTAPRWITSPIATHTGNWCDHWKQCVWFIPGEGIPISKGEEVHLHAVHSDMSVSYNLATQATEIRECDSIAGDSHLTLSPERIAIYGDRKWRSCMLTALNNLLQARVHPLCVVADDSVFLSLLVAHLSKTSNVIALFPGLRERGVQYLKAVADANGITADRIEVFQKKKYLTLNDTKQKKVDLLIGEPYYYGNDGMLPWQNFRFWKERTMLDSVLAEDVLVMPCKAMLKACAMSLPDLWKSHRCLSKIEDFDHSIVNNTLGACGDLPAPLEGPLLPFFIWQCGETKELSETFTIMEFDFSKSIGPCYGKAQVEFTEQGMCHGFVLWIDWVMDAKNSVVLTTGPDERYWKQGVKLLSQPVAVGGRGSSTGNCCSTLIEASFDPSSGELAVKHVWS >Potri.016G051900.7.v4.1 pep chromosome:Pop_tri_v4:16:3370434:3375285:1 gene:Potri.016G051900.v4.1 transcript:Potri.016G051900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051900.v4.1 MEADTGEVKSKMEDYEVIEQIGRGAFGSAFLVLHKTEKKKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYVVEYKDSWVDKGNCVCIVTGYCEGGDMAGIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSTISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPQLLRCRNASSVFLPLHSINNSKEKTRRKSLPAKLSAGKDNSDKEVGASKRKENEHPFEINMEAHRSNSHQIDKPTSMSSTDDSLGNKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHKEEPSAVHFQNLQEVDAKIVTTKDQAAFCGGQIPEEVQTEGQGDAIEETGKPEIPSLSCANHDASSDDKSPPSAVNEPSAEAQCCSQKPESPDVYTESAHTDYLSSESNDVLPCKNKIRAKPENDNCSTETQKDDIHAMSNAQLLRTLAALTGEETKREWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSKKKINGGT >Potri.016G051900.1.v4.1 pep chromosome:Pop_tri_v4:16:3370285:3375005:1 gene:Potri.016G051900.v4.1 transcript:Potri.016G051900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051900.v4.1 MEADTGEVKSKMEDYEVIEQIGRGAFGSAFLVLHKTEKKKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYVVEYKDSWVDKGNCVCIVTGYCEGGDMAGIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSTISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPQLLRCRNASSVFLPLHSINNSKEKTRRKSLPAKLSAGKDNSDKEVGASKRKENEHPFEINMEAHRSNSHQIDKPTSMSSTDDSLGNKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHKEEPSAVHFQNLQEVDAKIVTTKDQAAFCGGQIPEEVQTEGQGDAIEETGKPEIPSLSCANHDASSDDKSPPSAVNEPSAEAQCCSQKPESPDVYTESAHTDYLSSESNDVLPCKNKIRAKPENDNCSTETQKDDIHAMSNAQLLRTLAALTGEETKREWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSKKKINGGT >Potri.016G051900.10.v4.1 pep chromosome:Pop_tri_v4:16:3372257:3375006:1 gene:Potri.016G051900.v4.1 transcript:Potri.016G051900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051900.v4.1 MCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSTISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPQLLRCRNASSVFLPLHSINNSKEKTRRKSLPAKLSAGKDNSDKEVGASKRKENEHPFEINMEAHRSNSHQIDKPTSMSSTDDSLGNKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHKEEPSAVHFQNLQEVDAKIVTTKDQAAFCGGQIPEEVQTEGQGDAIEETGKPEIPSLSCANHDASSDDKSPPSAVNEPSAEAQCCSQKPESPDVYTESAHTDYLSSESNDVLPCKNKIRAKPENDNCSTETQKDDIHAMSNAQLLRTLAALTGEETKREWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSKKKINGGT >Potri.016G051900.2.v4.1 pep chromosome:Pop_tri_v4:16:3370272:3375081:1 gene:Potri.016G051900.v4.1 transcript:Potri.016G051900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051900.v4.1 MEADTGEVKSKMEDYEVIEQIGRGAFGSAFLVLHKTEKKKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYVVEYKDSWVDKGNCVCIVTGYCEGGDMAGIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSTISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPQLLRCRNASSVFLPLHSINNSKEKTRRKSLPAKLSAGKDNSDKEVGASKRKENEHPFEINMEAHRSNSHQIDKPTSMSSTDDSLGNKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHKEEPSAVHFQNLQEVDAKIVTTKDQAAFCGGQIPEEVQTEGQGDAIEETGKPEIPSLSCANHDASSDDKSPPSAVNEPSAEAQCCSQKPESPDVYTESAHTDYLSSESNDVLPCKNKIRAKPENDNCSTETQKDDIHAMSNAQLLRTLAALTGEETKREWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSKKKINGGT >Potri.016G051900.9.v4.1 pep chromosome:Pop_tri_v4:16:3370434:3375006:1 gene:Potri.016G051900.v4.1 transcript:Potri.016G051900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051900.v4.1 MNLIAKLNNPYVVEYKDSWVDKGNCVCIVTGYCEGGDMAGIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSTISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPQLLRCRNASSVFLPLHSINNSKEKTRRKSLPAKLSAGKDNSDKEVGASKRKENEHPFEINMEAHRSNSHQIDKPTSMSSTDDSLGNKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHKEEPSAVHFQNLQEVDAKIVTTKDQAAFCGGQIPEEVQTEGQGDAIEETGKPEIPSLSCANHDASSDDKSPPSAVNEPSAEAQCCSQKPESPDVYTESAHTDYLSSESNDVLPCKNKIRAKPENDNCSTETQKDDIHAMSNAQLLRTLAALTGEETKREWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSKKKINGGT >Potri.016G051900.8.v4.1 pep chromosome:Pop_tri_v4:16:3370654:3375049:1 gene:Potri.016G051900.v4.1 transcript:Potri.016G051900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051900.v4.1 MEADTGEVKSKMEDYEVIEQIGRGAFGSAFLVLHKTEKKKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYVVEYKDSWVDKGNCVCIVTGYCEGGDMAGIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKDNDIRLGDFGLAKLLNTEDLASSVVGTPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSTISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPQLLRCRNASSVFLPLHSINNSKEKTRRKSLPAKLSAGKDNSDKEVGASKRKENEHPFEINMEAHRSNSHQIDKPTSMSSTDDSLGNKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHKEEPSAVHFQNLQEVDAKIVTTKDQAAFCGGQIPEEVQTEGQGDAIEETGKPEIPSLSCANHDASSDDKSPPSAVNEPSAEAQCCSQKPESPDVYTESAHTDYLSSESNDVLPCKNKIRAKPENDNCSTETQKDDIHAMSNAQLLRTLAALTGEETKREWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSKKKINGGT >Potri.001G108400.7.v4.1 pep chromosome:Pop_tri_v4:1:8705755:8721849:-1 gene:Potri.001G108400.v4.1 transcript:Potri.001G108400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108400.v4.1 MEQQATLLHHYRRDRRKLLEFLLSSGLIKEPRTPSGPTNSLSNLDFDSLSADYIIHCVKSAGGVVDVTEATNKYSDESAYPVTLQIHSQTRSSYFVVSEPESAGSPPRRAPPPLYAKQVADTSCLSSQMDRVHVEKATTSGDDSGPGYEPATNAPTRPLENSEFPIPSLGLPSLKTGLSDDDLRESAYELLLASIFFSGVEANSVEDRRKEKTSKFLSGLKSKRDKMQSQSQSVGRKSELVDIVRVQMQISEAMDSCTRRNLMQLAARKMSGQIDLTHIALGLLNGTFKSDFLNERSYMQWKSRQANILEELLCSATGTTNEHLTIRSYVAKIRDEKEWDTMMSASERVAVVASMRQVAVKLSSLPAQFGIQGETFYWTAIYHVNIRLYQKLLFGLFDVLDEDQLIEEADEMLLLIKLTWSTLGITETMHDALYGWVLFQQFVRTGGSVLLENAVLHLQKVLSTEEDDRKEQYMNSLVCTKQCNGSHLKLHLLQSIFVSISMWCDYKLQDYHSHFSQKPYNFRMIISLVSAVGVLASDESGDLKLMKLNASDAKASRKLKSYVKKSTEAAFRKVASKVDFESKIERIHPLAQLAKELKLIAETEFNVFHPVLRCWCPESVTISVVLLHQFYGERLKPFLKGVSSVSGDARSVLPAAYMLDQYLTKLYTSALEANKLPNSFNQDFKHYQIGEISKPFILDWVISQHSHILEWTGRAFDIEDWEPLSYHQRHAASIVEVFRIIEETVDQLFGFNLPMDITHLQALLSVIFHSLDAYLMKMLNQLVEKNHLYPSAPPITRYAETVIPMIKRSLVVGTLLDENVARKLNELTIPKLCIRLNTLQYIQKQVAILEDGIRKSWGLIRPSLDQRQTKEEVLEERSLLTSSEAVDALFATTCHIIRDTTTDAIRKFCDFTGARVVFWDLRDQFLFHLYRGDVGSSRLESFLPHVDTVLDHICGLIDDTLRDLVVLSICRASLEGYVWVLLDGGPSRAFSDSDITMMEDDLNVLKEFFVAEGEGLPRSLVEQEAKFAQQILGLFSLKTETVIRMLMNASEHISIRVDSQHGHMGLEDAHTLVRVLCHKKDREASKFLKQQYELPMSSEYDDTSSRDSNFGSPLIPDLLKRSTSFHWPKNGQSSFKSIRKKLQAATSEIRDVAR >Potri.001G108400.10.v4.1 pep chromosome:Pop_tri_v4:1:8705599:8721849:-1 gene:Potri.001G108400.v4.1 transcript:Potri.001G108400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108400.v4.1 MEQQATLLHHYRRDRRKLLEFLLSSGLIKEPRTPSGPTNSLSNLDFDSLSADYIIHCVKSAGGVVDVTEATNKYSDESAYPVTIHSQTRSSYFVVSEPESAGSPPRRAPPPLYAKQVADTSCLSSQMDRVHVEKATTSGDDSGPGYEPATNAPTRPLENSEFPIPSLGLPSLKTGLSDDDLRESAYELLLASIFFSGVEANSVEDRRKEKTSKFLSGLKSKRDKMQSQSQSVGRKSELVDIVRVQMQISEAMDSCTRRNLMQLAARKMSGQIDLTHIALGLLNGTFKSDFLNERSYMQWKSRQANILEELLCSATGTTNEHLTIRSYVAKIRDEKEWDTMMSASERVAVVASMRQVAVKLSSLPAQFGIQGETFYWTAIYHVNIRLYQKLLFGLFDVLDEDQLIEEADEMLLLIKLTWSTLGITETMHDALYGWVLFQQFVRTGGSVLLENAVLHLQKVLSTEEDDRKEQYMNSLVCTKQCNGSHLKLHLLQSIFVSISMWCDYKLQDYHSHFSQKPYNFRMIISLVSAVGVLASDESGDLKLMKLNASDAKASRKLKSYVKKSTEAAFRKVASKVDFESKIERIHPLAQLAKELKLIAETEFNVFHPVLRCWCPESVTISVVLLHQFYGERLKPFLKGVSSVSGDARSVLPAAYMLDQYLTKLYTSALEANKLPNSFNQDFKHYQIGEISKPFILDWVISQHSHILEWTGRAFDIEDWEPLSYHQRHAASIVEVFRIIEETVDQLFGFNLPMDITHLQALLSVIFHSLDAYLMKMLNQLVEKNHLYPSAPPITRYAETVIPMIKRSLVVGTLLDENVARKLNELTIPKLCIRLNTLQYIQKQVAILEDGIRKSWGLIRPSLDQRQTKEEVLEERSLLTSSEAVDALFATTCHIIRDTTTDAIRKFCDFTGARVVFWDLRDQFLFHLYRGDVGSSRLESFLPHVDTVLDHICGLIDDTLRDLVVLSICRASLEGYVWVLLDGGPSRAFSDSDITMMEDDLNVLKEFFVAEGEGLPRSLVEQEAKFAQQILGLFSLKTETVIRMLMNASEHISIRVDSQHGHMGLEDAHTLVRVLCHKKDREASKFLKQQYELPMSSEYDDTSSRDSNFGSPLIPDLLKRSTSFHWPKNGQSSFKSIRKKLQAATSEIRDVAR >Potri.001G108400.9.v4.1 pep chromosome:Pop_tri_v4:1:8705147:8721849:-1 gene:Potri.001G108400.v4.1 transcript:Potri.001G108400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108400.v4.1 MEQQATLLHHYRRDRRKLLEFLLSSGLIKEPRTPSGPTNSLSNLDFDSLSADYIIHCVKSAGGVVDVTEATNKYSDESAYPVTIHSQTRSSYFVVSEPESAGSPPRRAPPPLYAKQVADTSCLSSQMDRVHVEKATTSGDDSGPGYEPATNAPTRPLENSEFPIPSLGLPSLKTGLSDDDLRESAYELLLASIFFSGVEANSVEDRRKEKTSKFLSGLKSKRDKMQSQSQSVGRKSELVDIVRVQMQISEAMDSCTRRNLMQLAARKMSGQIDLTHIALGLLNGTFKSDFLNERSYMQWKSRQANILEELLCSATGTTNEHLTIRSYVAKIRDEKEWDTMMSASERVAVVASMRQVAVKLSSLPAQFGIQGETFYWTAIYHVNIRLYQKLLFGLFDVLDEDQLIEEADEMLLLIKLTWSTLGITETMHDALYGWVLFQQFVRTGGSVLLENAVLHLQKVLSTEEDDRKEQYMNSLVCTKQCNGSHLKLHLLQSIFVSISMWCDYKLQDYHSHFSQKPYNFRMIISLVSAVGVLASDESGDLKLMKLNASDAKASRKLKSYVKKSTEAAFRKVASKVDFESKIERIHPLAQLAKELKLIAETEFNVFHPVLRCWCPESVTISVVLLHQFYGERLKPFLKGVSSVSGDARSVLPAAYMLDQYLTKLYTSALEANKLPNSFNQDFKHYQIGEISKPFILDWVISQHSHILEWTGRAFDIEDWEPLSYHQRHAASIVEVFRIIEETVDQLFGFNLPMDITHLQALLSVIFHSLDAYLMKMLNQLVEKNHLYPSAPPITRYAETVIPMIKRSLVVGTLLDENVARKLNELTIPKLCIRLNTLQYIQKQVAILEDGIRKSWGLIRPSLDQRQTKEEVLEERSLLTSSEAVDALFATTCHIIRDTTTDAIRKFCDFTGARVVFWDLRDQFLFHLYRGDVGSSRLESFLPHVDTVLDHICGLIDDTLRDLVVLSICRASLEGYVWVLLDGGPSRAFSDSDITMMEDDLNVLKEFFVAEGEGLPRSLVEQEAKFAQQILGLFSLKTETVIRMLMNASEHISIRVDSQHGHMGLEDAHTLVRVLCHKKDREASKFLKQQYELPMSSEYDDTSSRDSNFGSPLIPDLLKRSTSFHWPKNGQSSFKSIRKKLQAATSEIRDVAR >Potri.001G108400.8.v4.1 pep chromosome:Pop_tri_v4:1:8705866:8721847:-1 gene:Potri.001G108400.v4.1 transcript:Potri.001G108400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108400.v4.1 MEQQATLLHHYRRDRRKLLEFLLSSGLIKEPRTPSGPTNSLSNLDFDSLSADYIIHCVKSGGVVDVTEATNKYSDESAYPVTLQIHSQTRSSYFVVSEPESAGSPPRRAPPPLYAKQVADTSCLSSQMDRVHVEKATTSGDDSGPGYEPATNAPTRPLENSEFPIPSLGLPSLKTGLSDDDLRESAYELLLASIFFSGVEANSVEDRRKEKTSKFLSGLKSKRDKMQSQSQSVGRKSELVDIVRVQMQISEAMDSCTRRNLMQLAARKMSGQIDLTHIALGLLNGTFKSDFLNERSYMQWKSRQANILEELLCSATGTTNEHLTIRSYVAKIRDEKEWDTMMSASERVAVVASMRQVAVKLSSLPAQFGIQGETFYWTAIYHVNIRLYQKLLFGLFDVLDEDQLIEEADEMLLLIKLTWSTLGITETMHDALYGWVLFQQFVRTGGSVLLENAVLHLQKVLSTEEDDRKEQYMNSLVCTKQCNGSHLKLHLLQSIFVSISMWCDYKLQDYHSHFSQKPYNFRMIISLVSAVGVLASDESGDLKLMKLNASDAKASRKLKSYVKKSTEAAFRKVASKVDFESKIERIHPLAQLAKELKLIAETEFNVFHPVLRCWCPESVTISVVLLHQFYGERLKPFLKGVSSVSGDARSVLPAAYMLDQYLTKLYTSALEANKLPNSFNQDFKHYQIGEISKPFILDWVISQHSHILEWTGRAFDIEDWEPLSYHQRHAASIVEVFRIIEETVDQLFGFNLPMDITHLQALLSVIFHSLDAYLMKMLNQLVEKNHLYPSAPPITRYAETVIPMIKRSLVVGTLLDENVARKLNELTIPKLCIRLNTLQYIQKQVAILEDGIRKSWGLIRPSLDQRQTKEEVLEERSLLTSSEAVDALFATTCHIIRDTTTDAIRKFCDFTGARVVFWDLRDQFLFHLYRGDVGSSRLESFLPHVDTVLDHICGLIDDTLRDLVVLSICRASLEGYVWVLLDGGPSRAFSDSDITMMEDDLNVLKEFFVAEGEGLPRSLVEQEAKFAQQILGLFSLKTETVIRMLMNASEHISIRVDSQHGHMGLEDAHTLVRVLCHKKDREASKFLKQQYELPMSSEYDDTSSRDSNFGSPLIPDLLKRSTSFHWPKNGQSSFKSIRKKLQAATSEIRDVAR >Potri.001G108400.3.v4.1 pep chromosome:Pop_tri_v4:1:8705617:8721849:-1 gene:Potri.001G108400.v4.1 transcript:Potri.001G108400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108400.v4.1 MQSQSQSVGRKSELVDIVRVQMQISEAMDSCTRRNLMQLAARKMSGQIDLTHIALGLLNGTFKSDFLNERSYMQWKSRQANILEELLCSATGTTNEHLTIRSYVAKIRDEKEWDTMMSASERVAVVASMRQVAVKLSSLPAQFGIQGETFYWTAIYHVNIRLYQKLLFGLFDVLDEDQLIEEADEMLLLIKLTWSTLGITETMHDALYGWVLFQQFVRTGGSVLLENAVLHLQKVLSTEEDDRKEQYMNSLVCTKQCNGSHLKLHLLQSIFVSISMWCDYKLQDYHSHFSQKPYNFRMIISLVSAVGVLASDESGDLKLMKLNASDAKASRKLKSYVKKSTEAAFRKVASKVDFESKIERIHPLAQLAKELKLIAETEFNVFHPVLRCWCPESVTISVVLLHQFYGERLKPFLKGVSSVSGDARSVLPAAYMLDQYLTKLYTSALEANKLPNSFNQDFKHYQIGEISKPFILDWVISQHSHILEWTGRAFDIEDWEPLSYHQRHAASIVEVFRIIEETVDQLFGFNLPMDITHLQALLSVIFHSLDAYLMKMLNQLVEKNHLYPSAPPITRYAETVIPMIKRSLVVGTLLDENVARKLNELTIPKLCIRLNTLQYIQKQVAILEDGIRKSWGLIRPSLDQRQTKEEVLEERSLLTSSEAVDALFATTCHIIRDTTTDAIRKFCDFTGARVVFWDLRDQFLFHLYRGDVGSSRLESFLPHVDTVLDHICGLIDDTLRDLVVLSICRASLEGYVWVLLDGGPSRAFSDSDITMMEDDLNVLKEFFVAEGEGLPRSLVEQEAKFAQQILGLFSLKTETVIRMLMNASEHISIRVDSQHGHMGLEDAHTLVRVLCHKKDREASKFLKQQYELPMSSEYDDTSSRDSNFGSPLIPDLLKRSTSFHWPKNGQSSFKSIRKKLQAATSEIRDVAR >Potri.007G032600.1.v4.1 pep chromosome:Pop_tri_v4:7:2513804:2515377:1 gene:Potri.007G032600.v4.1 transcript:Potri.007G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032600.v4.1 MMSSHASTTASAGNSSRILSAKPEWDKLQARFIQSLSFEKERERSTAIPTHIASSLSFHPFGYHKVTEVGGEIFSFGSDIAGSPDNYVSRQRYLRSYVFTREEERNKSVVQKVKRFFKDKKKGEGKAKGKSGNTNSCSFYDTCLKFLLCSIVKVDVQT >Potri.013G124750.1.v4.1 pep chromosome:Pop_tri_v4:13:13281816:13282291:-1 gene:Potri.013G124750.v4.1 transcript:Potri.013G124750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124750.v4.1 MEVPFLGTQAWIRSLNYSIVDDWHPWHFQGQVAGYTRTYSSQLTFATVKGGGHTAPGYRPAECFAMFKRWIVQEPL >Potri.010G030701.1.v4.1 pep chromosome:Pop_tri_v4:10:4589155:4590110:-1 gene:Potri.010G030701.v4.1 transcript:Potri.010G030701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030701.v4.1 MVTKHFETLRGKLAGFSIFPLRGFINGCHDHTQTSGFESRDLNLSDRPIELQIRVGSILKKVHTLKPCSSKRLKCKSIYKAYMPNRSGSTSSGGMKSNITMMKHVTFTFGCTTLELISLGWSSSNILALRI >Potri.019G084700.1.v4.1 pep chromosome:Pop_tri_v4:19:12411253:12413376:-1 gene:Potri.019G084700.v4.1 transcript:Potri.019G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G084700.v4.1 MSWHAHNTRLLLLSCFLLSCSVVFCQLSKYQDITMITLSKLLNNTTSPWDATKEPNPCSWIGVRCSPDNTRVTHLFLSGIGLSSSDFIPVICKIESLQWLDFSNNLLSSIPDEFINVCGQISELQTLSFSHNSLVGPLPTFHGFEGLETLDLSCNSMSGNISLQLDGFRSLKSLNLSSNKFNGHVPINLGKSMMLEELQLSVNSFQGSIPSEIVSYQNLHRIDLSANQLSGSIPDVLGNLTYLEVLVLSSNKLGGEISTSLSNIQTLQHFAANENNFYGGVPCGLTRFLTYLDLSYNRLAGLIPPDFLSQPNVHTLDLSYNMLEGSIPANVSRDLVILKLGSNRMNGSIPVSFEALTNLTHLELENNSLTGVIPPQLSSCGSLVVLNLAQNNLIGALPAELDGLYSLEVMKLQLNKLSGEIPKQIPPALSTLNISWNLLTGSIPSSIAGLDNLDYLNLQGNNLSGSIPDSMPSVVELQLGQNQLSGRIPKMPVRLQTVLNLSSNLFEGPIPSDLSQLMGLKVLDLSNNKFSGEIPILFTQMATLTELILSNNQLSGVIPVFPPRVSIKVTGNVGLVDTSEKLARKKGRQIGVTIIVGAGASFLIFFLFFFLLLLGKQKRN >Potri.017G128700.1.v4.1 pep chromosome:Pop_tri_v4:17:13202918:13203640:1 gene:Potri.017G128700.v4.1 transcript:Potri.017G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128700.v4.1 MARPLLAMQNHSFLSNIPVSNFIAFIAVLSVFSLVTFLCASHHKARKSQRQGDEESVISHSGEKRLLSKINSDIREKAHSMVKMISWRKLEQDEDCDEDCDDGSEEIWRRSIVMGERCRPLDFSGKIMYDCEGNLIPDHSTQSSWK >Potri.006G048650.1.v4.1 pep chromosome:Pop_tri_v4:6:3347020:3351504:-1 gene:Potri.006G048650.v4.1 transcript:Potri.006G048650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048650.v4.1 MNKKGLLLVLMEDSSGTELYDILASRKAEEAAWRRYQAASWLENLVGPIGISNNPSEKEFISRLRNGLVLCNAINKVHPGAVPKVVEIHAPLLPLTRESQPLPAYQYFENVKNFLVAVEELRLPAFEASDLERDSLEAGSGTNVVDCILALKSYHEYKQMNPNGFYKPARSPMVIHSAIRNNSQPISSDSCRRLDMSAACEKETPTGSELKKIEDLIAKKLAEHMADTKENMDSNFLMSLRTGNNMDPMKLFSDILLSCLGDKLQNKFPELKSTAKGGSLPAHSSIKPKEDSSEPGDSKCCQACLRKGNCNHRQLIQMQEKELLDIKALLTLTKREFQGLQSQLQTDLRELGIQVQEMSTAALGYHRVLKENRNLYNMVQDLKGNIRVYCRIRPAFGDRTSNVIDYIGDDGSLVISDPLKPQKDGKKVFQFNRVFGPTATQDEVFMDTQPLIRSVMDGYNVCIFAYGQTGSGKTYTMSGPSGRSTKDMGINYLALSDLFQMSNERKDIVNYSIQVQMVEIYNEQVRDLLAEDSTATKN >Potri.001G305500.1.v4.1 pep chromosome:Pop_tri_v4:1:31541990:31544898:1 gene:Potri.001G305500.v4.1 transcript:Potri.001G305500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305500.v4.1 MNAPIIDPLQGDFPEVIEEYLQHGLMKCIAFNRRGTLLAAGCTDGSCVIWDFETRGIAKELRDKDCVAAITSVCWSKYGHRILVSAADKSLTLWDVVSGEKITRITLQQTPLLARLHSGSSTPSLCLACPLSSAPIIVDLNTGNTTLLPVTVADVDNGLAPPSRNKPTDGLPFTPTAACFNKCGDLVYVGNSKGEILIIDPKSIQVHAMVPTPGGAVIKNIVFSRNGQFLLTNSNDRTIRIYENLLPLKDGLMALEDLNKTLDEVAGVERMKVVGSRCLALFREFQDIITKVHWKAPCFSGDGEWVIGGSASKGEHKIYIWDRAGHLVKILEGPKEALIDLAWHPVHPIIVSVSLTGLVYIWAKDHTENWSAFAPDFKELEENEEYVEREDEFDLIPGTEKVKESDINQDDEVDIVTVEKDAFSDSDVSQEELCFLPATPCPDVLEQQDKCVGSSSKLVDSNNSGSPLSEEAEQNGQATNHASSPLEEDTGGTRMKRKRKPSEKGLVLQAGKVGKPLKSSGRLSKLKSKPDTDLDIGNGMYGDGISD >Potri.013G000832.1.v4.1 pep chromosome:Pop_tri_v4:13:66346:67070:1 gene:Potri.013G000832.v4.1 transcript:Potri.013G000832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000832.v4.1 MNHRWSPSIFFIGTHHIHTVDTSRSMDEVKDGFGQVLFSSDMISINVILLAIQGITRNIYIYIYLADFFLKNNSTAYMDKLNEEKEGFGLILFKPWHIFRKWHWKHILKLARS >Potri.002G222600.1.v4.1 pep chromosome:Pop_tri_v4:2:20649334:20650866:-1 gene:Potri.002G222600.v4.1 transcript:Potri.002G222600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G222600.v4.1 MPLLFVLLFLSSYVRTAFSAHCSTTTPTKTFEKCMALPTQQASMAWTFHAHNATLDLVFFGTFISPSGWVGWGINPSSAEMTGTRALVAFPDPNSGLLVLLPFILDPAVKLQKSPPLSRPLDIQLLSSSATLYGGKMATIHNGAAVQIYATFKLVRNKTKIHLVWNRGLYVQGYSPAIHPTTSSDLSSIATIDVLYGFSAAHKDDTRTLKTVHGILNAVSWGVLLPIGAVTARYLRHIQALGPAWFYAHAGIQLSALFIGTVGFAIGIRLGELSPGVVYGLHRKLGFAAFSFGALQTLALLFRPKTTNKFRKYWKSYHHFVGYACVVLGVVNVFQGLEVMGESRSYAKLGYCLCLSTLIGACIALEVNSWVVFCRKSKEEKLRRERLIGGGSDKDSGSHG >Potri.005G253800.15.v4.1 pep chromosome:Pop_tri_v4:5:24587672:24593339:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.13.v4.1 pep chromosome:Pop_tri_v4:5:24587653:24593366:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.16.v4.1 pep chromosome:Pop_tri_v4:5:24587645:24592990:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.20.v4.1 pep chromosome:Pop_tri_v4:5:24587631:24592957:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.19.v4.1 pep chromosome:Pop_tri_v4:5:24587839:24592972:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.9.v4.1 pep chromosome:Pop_tri_v4:5:24587672:24593333:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.11.v4.1 pep chromosome:Pop_tri_v4:5:24587650:24593373:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.17.v4.1 pep chromosome:Pop_tri_v4:5:24587650:24592973:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.12.v4.1 pep chromosome:Pop_tri_v4:5:24587650:24593366:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.18.v4.1 pep chromosome:Pop_tri_v4:5:24587672:24592973:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.14.v4.1 pep chromosome:Pop_tri_v4:5:24587904:24593364:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.005G253800.10.v4.1 pep chromosome:Pop_tri_v4:5:24587699:24593526:1 gene:Potri.005G253800.v4.1 transcript:Potri.005G253800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253800.v4.1 MNAVRFVSCLFLFCVLFSTSGYSRNVSSRPAVVNIGAIFTFESTIGRVAKIAIQEAVKDVNANSSILHGTELKIHMKNSNCSGFLGLAEALKFTENDVIAIIGPQSSVVAHIISHVANELQVPLLSFAATDPTLNSLQFPFFVRTTQSDFYQMAAISEVVDHYGWKQVTAIFIDNDYGRNGVSALGDRLAERRCRISYKVGIPPDSGVNRGDIMDILVKVALMESRVVIVHVYPDMGFKIFSMANHLEMMGNGWVWIATDWLSSVLDSASPLPSETMDSVQGVLVLRQHTPDSDRNRAFSSRWHKLTGGYLGLHSYGLYAYDSVWLIAHALDAFFNQGGIISFSNDSRLPSGEGSSLHLEAISIFDDGKLLLNNILQSDLVGLTGRIKFGIDRSLILPAYDVVNVIGTGYRRIGYWSNYSGLSITPPETLYTKPPNRSSANQKLYNAIWPGDTLLTPRGWAFANNGKQLRIGVPIRVSFREFVSQVQGTDTFKGFCIDVFTAAVNLLPYPVQYQFVPFGDGKENPSYTELVNKITTGFFDAAVGDIAIVTKRTKVIDFTQPYVASGLVVVAPFRKLNSGAWAFLRPFSARMWIVTACFFLVVGLVVWILEHRINDEFRGPPKRQVITVLWFSLSTLFFAHRENTMSTLARFVLLIWLFVVLIINSSYTASLTSIFTVQQLSSPIKGIESLKESNEPVGYQVGSFAEYYLREEVGIPKSRLVALGSPEAYANALQLGPEKGGVAAIVDELPYVELFLSRQCTFRIVGQEFTKSGWGFAFPRDSPLALDMSTAILALSENGDLQRIHDKWLTQSTCSSETSELESDRLHLKSFWGLFLICGLACFISLLIHFCQITRQLYRTAPVESPSAGQGSLRSGRLHRLFSLMDEKASQEKSAVKRRKLERSLSENDRDCELGRNPTRKETERMTGTTAS >Potri.003G124000.1.v4.1 pep chromosome:Pop_tri_v4:3:14416199:14417024:-1 gene:Potri.003G124000.v4.1 transcript:Potri.003G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124000.v4.1 MRINPNPRLSFIFLIIIILAISQLSSCRRLHIKIGDQNKQRAEADVFTQLSWHFPAKASEGSSKDEIDDPVYGVSYRAVPGGPNPLHN >Potri.010G245266.1.v4.1 pep chromosome:Pop_tri_v4:10:22082077:22082973:1 gene:Potri.010G245266.v4.1 transcript:Potri.010G245266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245266.v4.1 MLPMLPRLFFPPPFFIKAMSVICVVSLGNVGLSEIRGKHMQYSKFLNIGEKKPIKKKIQVSSRTGMLIAYTPAFLAGAASFGLFPNEDLRFLLVKSTLTFHFFKRILEVLFVHRYSGGMEVESLIPITLSYFTSSVFIIYAQHLAQALPEPEIDLKYPGIALFVVGISGNFYHHRLLSKLRSKNDKEYKVPKGGLFDLVICPHYLFEILGFLGISLIAQTLYAFSFFVGTTLYLMGRSYVTRRWYLSQFKDFPKDVKALIPSAF >Potri.006G249300.1.v4.1 pep chromosome:Pop_tri_v4:6:24882185:24884948:-1 gene:Potri.006G249300.v4.1 transcript:Potri.006G249300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249300.v4.1 MSGKGAKGLLTSKTPAQSKDKDKKKPTSRSSRAGLQFPVGRIHRLLKTRATAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Potri.009G073500.1.v4.1 pep chromosome:Pop_tri_v4:9:7197716:7203158:1 gene:Potri.009G073500.v4.1 transcript:Potri.009G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073500.v4.1 MNPPAEFVEQGISPQNVKRASCMSVITLAYQSLGVVYGDLSTSPLYVYKTTFSGKLSLHEDDEEIYGVLSFIFWTFTLIALVKYIFFVMSADDNGEGGTFALYSLLCRHAKLSILPNQQATDEKLSTYATEASADTWQSSALKSLFEKHPRFHKMLLIFVLLGTCMAIGDGVLTPTISVLSAVSGVKVKITGLHENYIVIISCVILVGLFSLQHHGTNRVAFLFAPIVTAWLLCISSIGIYNIFRWNRHVFCAISPIYMLKFLKNTGTEGWVSLGGVVLSITGVETMFADLGHFSSSSIKVAFTCVVYPCLILAYMGEAAFLSRHHEDIQRSFYKAIPESVFWPVFIVATFAAVVGSQAVISATFSMISQCCALNCFPRVKIVHTSSKIYGQIYIPEVNWMLMCLCLAVTIGLRDTNMMGHAYGLAVTTVMFVTTCLMALVMIIVWKKKIIIAVAFLLFFGSIEVLYISASVYKVPEGGWIPLVLSLIFMVVMYIWNYGTMKKHQFDVENKVSMNRIVSLGPSLGMVRVPGIGLVYSNLAIGVPAVFGHFVTNLPAFHQVLVFVCVKSVQVPHVSENERLLISRVGPKEYGMFRCIVRYGYKDLQQENYDFENRLVSGIVQFVETEEESASKLTSESFGEFENTAFKGFVASEHPYTNSRHKEKMVPSSCDIQAEVAKTGSERLENTQLKHESLQILKAKESGVAYILGHSHAKAKKSSSIIKKIAINVVYTFLSKNSREPDVILNVPHTSLLEVGMIYYV >Potri.004G036800.5.v4.1 pep chromosome:Pop_tri_v4:4:2881713:2890003:-1 gene:Potri.004G036800.v4.1 transcript:Potri.004G036800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036800.v4.1 MTSFRSWKLLKRNTFCDGVKSGLKMKLLPFMGVLCTAMLFVVYRTTIYQYHHTEMDEKLYPFEILKESALASGLLGGLPHGIIRASSDLELKPLWSTSSSRSKVDPSTRHYLLAIPVGIKQKDNVDHIVQKFLPENFTVILFHYDGNVDGWWDLDWSNEAIHIVAKNQTKWWFAKRFLHPAVVSAYDYIFLWDEDLGIEHFNPGKYLKIVRFEGLEISQPALDPNSTDIHHRITIRARMKKFHRRVYDSRGSTKCSDISEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVIDSEYIVHKGIQTLGGRKTPRRKSSNTEELTKRHSAASVDPRMEIRRQSTWELQIFKDRWKQAVKEDKNWVDPFLRNRKRRKLKRHGQ >Potri.004G036800.10.v4.1 pep chromosome:Pop_tri_v4:4:2881602:2889705:-1 gene:Potri.004G036800.v4.1 transcript:Potri.004G036800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036800.v4.1 MTSFRSWKLLKRNTFCDGVKSGLKMKLLPFMGVLCTAMLFVVYRTTIYQYHHTEMDEKLYPFEILKESALASGLLGGLPHGIIRASSDLELKPLWSTSSSRSKVDPSTRHYLLAIPVGIKQKDNVDHIVQKFLPENFTVILFHYDGNVDGWWDLDWSNEAIHIVAKNQTKWWFAKRFLHPAVVSAYDYIFLWDEDLGIEHFNPGKYLKIVRFEGLEISQPALDPNSTDIHHRITIRARMKKFHRRVYDSRGSTKCSDISEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVIDSEYIVHKGIQTLGGRKTPRRKSSNTEELTKRHSAASVDPRMEIRRQSTWELQIFKDRWKQAVKEDKNWVDPFLRNRKRRKLKRHGQ >Potri.014G114300.1.v4.1 pep chromosome:Pop_tri_v4:14:7696964:7703437:1 gene:Potri.014G114300.v4.1 transcript:Potri.014G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114300.v4.1 MEARFGGEAHHFYATPPSDMRTVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTGISRQFSSHGVGTGILATGNSSNSSSSCSDEVNLGAEKGKRELEKRRRVVVIDDDNLNDRETGGLSLKLGGERDAGNWEGSIGKKTKLVGSGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNAMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQTSGYLLISLLRILSNMHSNRSDQTTDQDLLSHLLRSLASHDVEHRGGNIFGQLQEPRDLSTSFGNSAVDSTLLSNGEGPSKPLKQHLTVPMSGMPQQVKHLHDANGANIQTASSLKPSIPNNFATYSEVRESTAGQVKMNNFDLNDIYIDSDDGIEDIERSPAPVNAMTSSLDCPSWVQQDSRQSSPPQTSGNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPFVLRSQILDWLSHSPTDIESYIRPGCIILTIYLRQAEAAWAELCCDLGSSLSRLLDVSDNTFWRTGWVYIRVQNQIAFVYNGQVVVDTSLPLRSNNYSKILSVKPIAISASEKAKFCIKGINLSRPATRLLCAVEGNYMVQDNAQELMDDVGSFKGHDEVQCVNLSCSIPTLTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGALEFTETDADFGETEKMEAKNQATDFVHEMGWLLHRSQLKSRLGHLNPSMDLFPLRRFNWLMEFSMDHEWCAVVRKLLNILHNGIVCTGDQLSLNEALSEMGLLHRAVRRNSRSLVELLLRYVPDKFGSKDKALDGGSHESILFRPDVIGPAGLTPLHIAAGKDGSEDVLDALTEDPGMVGIVAWKNARDSTGFSPEDYARLRGHYSYIHLVQKKSKRQVVGHVVLDIPSNLSNSNIAINEKQNEGLTSGFEIGHTELRPIQRNCKLCSQKVVYGTASRSQLYRPAMFSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWELLDYGTS >Potri.013G145100.1.v4.1 pep chromosome:Pop_tri_v4:13:14303633:14303839:1 gene:Potri.013G145100.v4.1 transcript:Potri.013G145100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145100.v4.1 MVYFCFLVDQTRKVRRSKPAAGTCSRCGGGASVADMKTCTRFCYVPFYSRSWRAIMCTFCGAILKSYH >Potri.009G046100.2.v4.1 pep chromosome:Pop_tri_v4:9:5211440:5214618:-1 gene:Potri.009G046100.v4.1 transcript:Potri.009G046100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046100.v4.1 MATKKTKGRQKVELKRIDNQDDRLITFSKRRSGIYKNASELVVLTGAEITVIVFSPSGKPLSFGHPSVESVINRFLEDPSSMDSTYHLVEARRRMRIEELARKYDEMQRQLDEKKETSHRLKGKRKENDSKGWWDAPIEELDLKDLIELEKKFEGYK >Potri.008G034300.2.v4.1 pep chromosome:Pop_tri_v4:8:1860946:1862645:1 gene:Potri.008G034300.v4.1 transcript:Potri.008G034300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034300.v4.1 MAKIEVHIISKELIKPSSPAIQQKKPYELTLFDQLTPTTYSPAIFFYPMNDVNFNNITAKTRIDSLKKSLSETLNLYYPFSGRVKDNLFIDCFSEGVPFLEAQVNCRLSDYLKHNEIESLNLFLPCHPFAKENMSAPISAFQVSIFACGGISLGWSASHKLVDGGTAKAFLSAWASKSRGEHTEAIQPDFSKASLFFPPRNPLPRNHISLMETLWFTEANYITRRFVFDPKAIAMLRAKAKAGKPDTKPSRTETLSCFIWKCCMAASKAISDSPKPSIMVEAVNLRSRTKPPMSEASIGNIFWWATAVANPTDTNTELHELVNQLNEAIALYDSDYTRTMQGEEGFETMSDYCSQLEGLFSLEKPDIFAFTCWCYLGLTKANFGWGEPLWVGLMGKVGPAFRNLTVLVETKDGNGIEAWVTLDEERMAVLERDPEFLAFASPNPKFSSL >Potri.019G024400.2.v4.1 pep chromosome:Pop_tri_v4:19:3589498:3592789:1 gene:Potri.019G024400.v4.1 transcript:Potri.019G024400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024400.v4.1 MCKDSSSCFISLILGLVITLASVIPEVEARAFFVFGDSLVDNGNNNYLATTARADAPPYGVDYPTHRATGRFSNGFNIPDLISEAIGSEPTLPYLSPELRGENLLVGANFASAGIGILNDTGIQFLNIIRMGRQLQYFQQYQQRVSALIGPEQAQRLVNQALVLMTLGGNDFVNNYYLVPFSARSRQFALPDYVVYLISEYRKILVSVYELGARRVLVTGTGPLGCVPAERAMRSRNGECAAELQRAAAMFNPQLVQMLMELNKEIGSDVFISANAYEANMDFVTNPQAYGFVTSQVACCGQGRFNGIGLCTIASNLCPNREIFAFWDPFHPTERANRIIVSTIVTGSTKYMNPMNLSTIIALDSMV >Potri.017G084500.1.v4.1 pep chromosome:Pop_tri_v4:17:9716925:9718325:-1 gene:Potri.017G084500.v4.1 transcript:Potri.017G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084500.v4.1 MVYFHSSISVCNSVDQSSTTSMANPVNSNEFNPKSRHNNNHVHKNRKTANGSSCKTIPVCDRSRSAVIDVVILIAVIGACGFLLFPYIKLVILGLIEFVAAIHYVVKEEVMRNPLIFGSIGISTFCAGIVAWIVILCTTRKCGNPNCKGLRKAAEFDIQLETEECMKNSNGTLVKDGLKRGLFELPRDHHRELEAELKKMAPANGRAVLVFRARCGCSVGRLEVPGTKKPKKIKK >Potri.001G087800.3.v4.1 pep chromosome:Pop_tri_v4:1:6988800:6992643:1 gene:Potri.001G087800.v4.1 transcript:Potri.001G087800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087800.v4.1 MNFDKAEELCKKTLEIHRAHSEPASIEEAADRRLMALVCEAKGDYESALEHLVLASMAMIANGQDNEVAAIDVSIGNIYMSLCRFDEAVFSYQKALTVFKSSKGDNHPSVASVFVRLADLYHRTGKLRESKSYCENALRIYAKPVPGTTAEEIAGGLTEISAIFESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMFYMVGRYEEARNSFESAVTKLRSSGEKKSAFFGVVLNQMGLACVQLFKIDEACELFEEARGILEKECGPCHQDTIGVYSNLAATYDALGRVEDAIEILEHVLKLREEKLGIANPDFEDEKSRLAELLKEAGRARNKKAKSLENLIDPNSRRTKKESTKKWGFRI >Potri.001G087800.1.v4.1 pep chromosome:Pop_tri_v4:1:6988795:6992641:1 gene:Potri.001G087800.v4.1 transcript:Potri.001G087800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087800.v4.1 MPGLVSVKTPPDAPPLNISLPEIRPESSTRSEQQPRTPTPKKPPSPSPSRSKPSPARSAKKPPPDSPNSNTLLSDPSLDNPDLGPFLLKLARDTIASGEGPIKALDYAIRASNSFERVAIEKGEEPSLDLVMSLHVLGAIYCSLGRFEEAVPVLERAIKVPDLSKGMDHALAGFSGYMQLGDTYSMLGQVDKSIECYEKGLQIQIESLGEIDPRVGETCRYLAEAHVQAMNFDKAEELCKKTLEIHRAHSEPASIEEAADRRLMALVCEAKGDYESALEHLVLASMAMIANGQDNEVAAIDVSIGNIYMSLCRFDEAVFSYQKALTVFKSSKGDNHPSVASVFVRLADLYHRTGKLRESKSYCENALRIYAKPVPGTTAEEIAGGLTEISAIFESVDEPEEALKLLQKAMKLLEDKPGQQSTIAGIEARMGVMFYMVGRYEEARNSFESAVTKLRSSGEKKSAFFGVVLNQMGLACVQLFKIDEACELFEEARGILEKECGPCHQDTIGVYSNLAATYDALGRVEDAIEILEHVLKLREEKLGIANPDFEDEKSRLAELLKEAGRARNKKAKSLENLIDPNSRRTKKESTKKWGFRI >Potri.019G128500.1.v4.1 pep chromosome:Pop_tri_v4:19:15119670:15121220:1 gene:Potri.019G128500.v4.1 transcript:Potri.019G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128500.v4.1 MGNYRFRLSDMIPNAWFYKLKDMSKGRKQYTSQAFKKKPPPGNVTSQKPNISHQRYSYCFTTEPGRAEKFHFNSPVNSKASDTHFPDLPRKSSNKRNKRKTIYKPSPKLVSTFSADCSCRVTVNSNLTKSIPGDSPDYSSSPAESSYDELDFLSESDEDDGFLVPDSIDHQLSSWSSSCNCNVSSSTADIIIDMNEESYERKIKEVEGFGRIPELELPRILTKPAKFNDKETEVTQFRRSSSKLEGVKAHRSLSVKIVNERSIRTRKEQKNNPPTRKSSANSTGIKLRANTPRIASRKIQTCARKGVSFSRNKTLSESFAVVMSSVDPQRDFKDSMVEMIVENNIQDSKDLEELLACYLSLNSKKYHDFIIKAFEQIWFDMTDLHL >Potri.019G130900.5.v4.1 pep chromosome:Pop_tri_v4:19:15334071:15342670:1 gene:Potri.019G130900.v4.1 transcript:Potri.019G130900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130900.v4.1 MSYIPPHLRNSSSTTTVTTRRTQSPPLTDTNLSHSSSNSTSSAPSSFSTFNSLSLRTSASVARTISVPQPVFPQWTPSDRVLRFTPDQIEEIRSQLKINVSVASGSPPAPAPIESFEDMCLHQSIMKDIAHHEYTRPTLIQAQAMTVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQPPVQRGDGPLAMVLAPTRELAQQIEKEVKRFSRSLESFRTAIVVGGTKSADQGSELRAGVDVIVATPGRLIDHLQQGNTSLSRISFIVLDEADRMLDMGFEPQIREVMHNLPEKHQTLLFSATMPVEIETLAQEYLISPVQVKVGKVSSPTANVSQILTKVSASEKIDCLLALLVEDASQAEKSNQSFPLTIVFVERKTRCNEVAEALVAQGLQAVALHGGRSQSEREAALHDFRSGSTNILVATDVASRGLDVTGVAHVINLDLPKVFSVEIFLVI >Potri.019G130900.1.v4.1 pep chromosome:Pop_tri_v4:19:15334094:15342681:1 gene:Potri.019G130900.v4.1 transcript:Potri.019G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130900.v4.1 MSYIPPHLRNSSSTTTVTTRRTQSPPLTDTNLSHSSSNSTSSAPSSFSTFNSLSLRTSASVARTISVPQPVFPQWTPSDRVLRFTPDQIEEIRSQLKINVSVASGSPPAPAPIESFEDMCLHQSIMKDIAHHEYTRPTLIQAQAMTVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQPPVQRGDGPLAMVLAPTRELAQQIEKEVKRFSRSLESFRTAIVVGGTKSADQGSELRAGVDVIVATPGRLIDHLQQGNTSLSRISFIVLDEADRMLDMGFEPQIREVMHNLPEKHQTLLFSATMPVEIETLAQEYLISPVQVKVGKVSSPTANVSQILTKVSASEKIDCLLALLVEDASQAEKSNQSFPLTIVFVERKTRCNEVAEALVAQGLQAVALHGGRSQSEREAALHDFRSGSTNILVATDVASRGLDVTGVAHVINLDLPKTMEDYVHRIGRTGRAGSTGQATSFYTDRDLFLVAQIKKAIADVESGNTVAFATGKAARRKEREAAASQKEARNALSKVMGSTCINIEDKYRFMIAPSVITSEGAADSAWDD >Potri.019G130900.6.v4.1 pep chromosome:Pop_tri_v4:19:15334065:15342716:1 gene:Potri.019G130900.v4.1 transcript:Potri.019G130900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130900.v4.1 MSYIPPHLRNSSSTTTVTTRRTQSPPLTDTNLSHSSSNSTSSAPSSFSTFNSLSLRTSASVARTISVPQPVFPQWTPSDRVLRFTPDQIEEIRSQLKINVSVASGSPPAPAPIESFEDMCLHQSIMKDIAHHEYTRPTLIQAQAMTVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQPPVQRGDGPLAMVLAPTRELAQQIEKEVKRFSRSLESFRTAIVVGGTKSADQGSELRAGVDVIVATPGRLIDHLQQGNTSLSRISFIVLDEADRMLDMGFEPQIREVMHNLPEKHQTLLFSATMPVEIETLAQEYLISPVQVKVGKVSSPTANVSQILTKVSASEKIDCLLALLVEDASQAEKSNQSFPLTIVFVERKTRCNEVAEALVAQGLQAVALHGGRSQSEREAALHDFRSGSTNILVATDVASRGLDVTGVAHVINLDLPKLWLPQL >Potri.005G012501.1.v4.1 pep chromosome:Pop_tri_v4:5:1000843:1001235:1 gene:Potri.005G012501.v4.1 transcript:Potri.005G012501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012501.v4.1 MMKSTVVMFVPGLTFLHLQVDAKRLVLKEINAEKTDNQHLSNPNNQQGKASTYGYPVTGSVRAVETKNDNATSSPSTSNNNGSPDDETNSSYGNYGNPSGSSTETHHAFTNDCQPKKGC >Potri.012G012202.1.v4.1 pep chromosome:Pop_tri_v4:12:1420319:1423306:-1 gene:Potri.012G012202.v4.1 transcript:Potri.012G012202.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012202.v4.1 MAGGSGSASTSIDIEELLKDFPDRMQTTLPEEMCIYHVPVDIRQVNKDAYTPQVICIGPIHQKNENQVMKELKRRYFKQFLNRLPVGKRKPVLEDLVETIKGRVDKIRNCYEDAAYELCKDPKGCEFKIHNCYEDAAFELRKDPKDREVEILDCSEDDSSKRCEDQKVFWKMILWDAVFIFELFLKNREFKEDKKSQGKEDTEKYQEKYQEKYKYDYIIAKPWLRSAVQRDLILLENQLPFCILQVLYGIVSKYNITGYSCPPKTGCSCLPETDKTCCSCLPETDCCCPCIAFRELTCTFFKNYNKNKNKTSPEKPLHFTDLVRSFFLPKDLNTKDPNPKDPRTIKKLHRATRLHQAGMKFKPKKPVEYNIRSWKEVDSIKKGELHFPTLVMDDHTECLFRNLMALEQCHYPNEAFICEYVKFLDFLVDTEEDADLLIKSEVIVNRLGESDEVAKLINKLCQGIVEVSSRYDRLAKDLNAYYDNPCNNSKAFLRREYFRNVWIGTGTVVALIVLFITLFSFVRSFF >Potri.001G469500.4.v4.1 pep chromosome:Pop_tri_v4:1:49336721:49342102:1 gene:Potri.001G469500.v4.1 transcript:Potri.001G469500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469500.v4.1 MTATDRPDHIILVTAGPQNREMKIWASASEEGWLLPNDSDLLNCTQTLELKSSAEPRAEEAFFNQVVALSQMGLILLANAKRSAIYAVHLDYGPNPASTSMDYISEFTVTMPILSLTGTSDVVHGQSVAQVYCVQTQAIQQYTLELCQCLPPLMENVGSERSDSSVLHGVPNADGYAALESHGRKYSDVLMSSTSVDVTTPQQDTPASNMDPRTIASNMSSSTSDADIVCVPSPPLPLRPRLSRGLAEFAVGGMFEPSPASSNQGFNQPAIDYSVDQQMDTICSNLSDVPSLDGDSRNEKIVQDNSTTLNPPVTFKHPTHLITPSEILMGASSSEITNVNEGKSEVDSNVQDVVVNNDVVNAEVEVKTVGETIATRDDGFSLRGESKRPVFENKEKIFCSQASDLGVEMTRECCALQPDKNIEESGQVDGVGISESLAPPSHAGGDEVHDSTKDISGKVSESTVSTVVPLSTTPSTKGKKQKGKNSQASGSSSQSPPAFNSTDSLNEPVGASNLLSVEGAFPRFLAMQEAINQLVITQKEMNKQMSNMVAIPVSKECRRLETTLGRNIEKAIKANTDALWARFQEENAKNEKLLRDRTQQITSLILNFINKDLAAMLEKALKKELASVGQGVVRTISPVIEKTVSSVIVESFQRGVGDKAVNQLEKSVNSKLEATVARQIQAQFQTSGKQALQDSLKAGLEASVIPAFEMSCKAMFDQVDAAFRKGMVEHTATTQQHFESAHSSLALTLRDSINSASSLTKTLSTELADSQRQLLAIAANSGATNSLATQPSNGPLASFHEKVETPLDPTKELTRLISEQQYEAAFTIVLQRSDVAMVSWLCCQVDLQGIMAMSPPPLSQRVLLALLQQLACDISKETPRKLEWMTVVAAAIQPTDQMIAYYARPIVEQVCEILNQLRRSAGVTGADITSIRILMHVVNYLLVTCK >Potri.001G469500.1.v4.1 pep chromosome:Pop_tri_v4:1:49334051:49342176:1 gene:Potri.001G469500.v4.1 transcript:Potri.001G469500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469500.v4.1 MASPNPQHQHQQPQPQPQPPPFDMHKFFMPTSTPPPPQNPSSSPSPPPPNLIMIPPPQQIPSSYPPSTGTHHFPHYPNFPFPFPPQQQQFQPPYPLQQNPNPSNPPPLANPQRSLSYPTPPLTPNQQLQDRSGAEIMALLRTPQNQEPPPIPPPAAPAQDFSGAVNNSNNIFSGPIRMPSSTSSKMPKGRRVAGENVVYDVDVRLQGEAQPQLEVTPITKYFSDPQLCLGRQIAVNRTYICYGLKQGNIRILNINTALRSLFRTHSQRVTDMAFFAEDVHLLASAGIDGRINVWKISEGPDVEDKPQITAQTIIAVQIVGEGEIKNPRVCWHCYKQEILVVGVGKRVLRIDTNKVGKGEVYSSEAPLQCTVDKLIDGVQFVGKHDGEVTDLSMCQWMTTRLVSASMDGTIKIWEDHKASPLVVLRPHDSQPVYSATFMTATDRPDHIILVTAGPQNREMKIWASASEEGWLLPNDSDLLNCTQTLELKSSAEPRAEEAFFNQVVALSQMGLILLANAKRSAIYAVHLDYGPNPASTSMDYISEFTVTMPILSLTGTSDVVHGQSVAQVYCVQTQAIQQYTLELCQCLPPLMENVGSERSDSSVLHGVPNADGYAALESHGRKYSDVLMSSTSVDVTTPQQDTPASNMDPRTIASNMSSSTSDADIVCVPSPPLPLRPRLSRGLAEFAVGGMFEPSPASSNQGFNQPAIDYSVDQQMDTICSNLSDVPSLDGDSRNEKIVQDNSTTLNPPVTFKHPTHLITPSEILMGASSSEITNVNEGKSEVDSNVQDVVVNNDVVNAEVEVKTVGETIATRDDGFSLRGESKRPVFENKEKIFCSQASDLGVEMTRECCALQPDKNIEESGQVDGVGISESLAPPSHAGGDEVHDSTKDISGKVSESTVSTVVPLSTTPSTKGKKQKGKNSQASGSSSQSPPAFNSTDSLNEPVGASNLLSVEGAFPRFLAMQEAINQLVITQKEMNKQMSNMVAIPVSKECRRLETTLGRNIEKAIKANTDALWARFQEENAKNEKLLRDRTQQITSLILNFINKDLAAMLEKALKKELASVGQGVVRTISPVIEKTVSSVIVESFQRGVGDKAVNQLEKSVNSKLEATVARQIQAQFQTSGKQALQDSLKAGLEASVIPAFEMSCKAMFDQVDAAFRKGMVEHTATTQQHFESAHSSLALTLRDSINSASSLTKTLSTELADSQRQLLAIAANSGATNSLATQPSNGPLASFHEKVETPLDPTKELTRLISEQQYEAAFTIVLQRSDVAMVSWLCCQVDLQGIMAMSPPPLSQRVLLALLQQLACDISKETPRKLEWMTVVAAAIQPTDQMIAYYARPIVEQVCEILNQLRRSAGVTGADITSIRILMHVVNYLLVTCK >Potri.001G469500.3.v4.1 pep chromosome:Pop_tri_v4:1:49334051:49342012:1 gene:Potri.001G469500.v4.1 transcript:Potri.001G469500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469500.v4.1 MASPNPQHQHQQPQPQPQPPPFDMHKFFMPTSTPPPPQNPSSSPSPPPPNLIMIPPPQQIPSSYPPSTGTHHFPHYPNFPFPFPPQQQQFQPPYPLQQNPNPSNPPPLANPQRSLSYPTPPLTPNQQLQDRSGAEIMALLRTPQNQEPPPIPPPAAPAQDFSGAVNNSNNIFSGPIRMPSSTSSKMPKGRRVAGENVVYDVDVRLQGEAQPQLEVTPITKYFSDPQLCLGRQIAVNRTYICYGLKQGNIRILNINTALRSLFRTHSQRVTDMAFFAEDVHLLASAGIDGRINVWKISEGPDVEDKPQITAQTIIAVQIVGEGEIKNPRVCWHCYKQEILVVGVGKRVLRIDTNKVGKGEVYSSEAPLQCTVDKLIDGVQFVGKHDGEVTDLSMCQWMTTRLVSASMDGTIKIWEDHKASPLVVLRPHDSQPVYSATFMTATDRPDHIILVTAGPQNREMKIWASASEEGWLLPNDSDLLNCTQTLELKSSAEPRAEEAFFNQVVALSQMGLILLANAKRSAIYAVHLDYGPNPASTSMDYISEFTVTMPILSLTGTSDVVHGQSVAQVYCVQTQAIQQYTLELCQCLPPLMENVGSERSDSSVLHGVPNADGYAALESHGRKYSDVLMSSTSVDVTTPQQDTPASNMDPRTIASNMSSSTSDADIVCVPSPPLPLRPRLSRGLAEFAVGGMFEPSPASSNQGFNQPAIDYSVDQQMDTICSNLSDVPSLDGDSRNEKIVQDNSTTLNPPVTFKHPTHLITPSEILMGASSSEITNVNEGKSEVDSNVQDVVVNNDVVNAEVEVKTVGETIATRDDGFSLRGESKRPVFENKEKIFCSQASDLGVEMTRECCALQPDKNIEESGQVDGVGISESLAPPSHAGGDEVHDSTKDISGKVSESTVSTVVPLSTTPSTKGKKQKGKNSQASGSSSQSPPAFNSTDSLNEPVGASNLLSVEGAFPRFLAMQEAINQLVITQKEMNKQMSNMVAIPVSKECRRLETTLGRNIEKAIKANTDALWARFQEENAKNEKLLRDRTQQITSLILNFINKDLAAMLEKALKKELASVGQGVVRTISPVIEKTVSSVIVESFQRGVGDKAVNQLEKSVNSKLEATVARQIQAQFQTSGKQALQAGLEASVIPAFEMSCKAMFDQVDAAFRKGMVEHTATTQQHFESAHSSLALTLRDSINSASSLTKTLSTELADSQRQLLAIAANSGATNSLATQPSNGPLASFHEKVETPLDPTKELTRLISEQQYEAAFTIVLQRSDVAMVSWLCCQVDLQGIMAMSPPPLSQRVLLALLQQLACDISKETPRKLEWMTVVAAAIQPTDQMIAYYARPIVEQVCEILNQLRRSAGVTGADITSIRILMHVVNYLLVTCK >Potri.009G133600.2.v4.1 pep chromosome:Pop_tri_v4:9:10831768:10835172:-1 gene:Potri.009G133600.v4.1 transcript:Potri.009G133600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133600.v4.1 MLPRSRTMPSRIHHGVADERHDIRHYLEVEVQPKVSESEAINPQSNYSKCFDDDGRLKRTGNFWTSSSHIITAVIGSGVLSLAWAIAQLGWVAGPAVMVLFAFVNLYTSNLLAQCYRSGDPVTGQRNYTYMDAVKSYLGGRKVMLCGLIQYLNLFGVAIGYTIAASVSMMAIKRSNCFHSSGGKDPCHMSSNGFMITFGIIEILFSQIPDFDQVWWLSIVAAIMSFTYSTVGLGLGIGKVAGNGTFKGSLTGISIGTETHAGPVTSTQKLWRSLQALGAIAFAYSFSIILIEIQDTIRSPPAEYKTMKKATLFSIIITTIFYLLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLLDIANVAIVVHLVGAYQVYCQPLFAFVEKWSARKWPKSDFVTAEYEVPIPFYGVYQLNFFRLVWRTIFVMLTTLIAMLMPFFNDVVGLLGSMGFWPLTVFFPIEMYISQKKIGRWTSQWIGLQILSMTCLMITIAAAVGSVAGVVLDLKTYKPFKTSY >Potri.019G035600.2.v4.1 pep chromosome:Pop_tri_v4:19:4854717:4856972:-1 gene:Potri.019G035600.v4.1 transcript:Potri.019G035600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G035600.v4.1 MDLEEWELLPHDGFIDYHEDGEKKTFGASKRSGSPNPKAMFDMNYFMCPSSPPKHSRVVPNQLVPVHIQLEPPTKTSKDVPEDHVISKKDVVSMVPIDLSAVVPSVTMPEVKEADQDSVSQVFFKKMKENEFVDMKLDSPKSSNTKGGFAPPQIDAGSTFNFEDKSDHQGYTGDQVLETTKISSPRIKSTENENSTKKEVNWEENSSGLNLWKLSLTGIGAICTFGVAAATICIFIFGNQQRNKQQQQNQKLSFQIYTDDKRIKQVVHHATKLNEAISAARGVPIARAHITYGGYYNGL >Potri.004G101700.4.v4.1 pep chromosome:Pop_tri_v4:4:8894555:8897142:1 gene:Potri.004G101700.v4.1 transcript:Potri.004G101700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101700.v4.1 MSPTESSREEHVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTIIKEYRGKIEAELSKICDGILNLLESHLVPSASSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDDADEIKEASKRESGEGPQ >Potri.004G101700.2.v4.1 pep chromosome:Pop_tri_v4:4:8893349:8897141:1 gene:Potri.004G101700.v4.1 transcript:Potri.004G101700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101700.v4.1 MSPTESSREEHVYMAKLAEQAERYEEMVEFMEKVAKTVDSEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTIIKEYRGKIEAELSKICDGILNLLESHLVPSASSAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLAYKSAQDIALTELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDDADEIKEASKRESGEGPQ >Potri.010G079400.1.v4.1 pep chromosome:Pop_tri_v4:10:10585641:10589436:1 gene:Potri.010G079400.v4.1 transcript:Potri.010G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079400.v4.1 MAEDQSKQRLGPESVTVHDSEPAETKRNTLPNFLLSVKLKYVKLGYHYLISNAMFLLLIPLLFIASAHLSTLTIQDFVQLWNHLKFNFVSVTVSSGLLVFLATLYFTSRPRKIYLLDFACYKPEPARMCTRETFMEKSVLAGSFSEENLAFQKKIIERSGLGQNTYFPEAVMRITPRPGMEDARKEAEMVMFGAIDELLAKTGVKAKEIGILIVNCSLFNPTPSLSAMVVNHYRLRGNILSYNLGGMGCSAGLISIDLAKQLLQVHPNSYALVISMENITLNCYFGNDRSMLVSNCLFRMGGAAILLSNRSSDRRRSKYQLIHTVRTHKGADDKCYNCVFQKEDNTRRVGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVGRKIFKMKIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWHALRTINPAKEKNPWVDEIDEFPVHVPKVVPIAS >Potri.016G068000.1.v4.1 pep chromosome:Pop_tri_v4:16:4800319:4803901:1 gene:Potri.016G068000.v4.1 transcript:Potri.016G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068000.v4.1 MATLTALKALLVFLVAIAPAPFLVKSEDTNKVFSPCLDTTVQVSDGFTFAIAFSSRTSFFFNSSLQLSPCDRRLALTGQNSQISVFRPKVDEISLLTINTSSFYPENYGGYMVAFAGRKYAARSLPAFVANNTYSVTSFTLVLEFKKGRLQNLYWKRDGCAKCSGNSNFVCLNNQDCAIRTSLCKSNGGSVDCSLGIQLAFSGTDKHFSALNSWYEVENLRQYSLYGLYSNLRNSLTSQYSKIF >Potri.016G141150.1.v4.1 pep chromosome:Pop_tri_v4:16:14402209:14402551:-1 gene:Potri.016G141150.v4.1 transcript:Potri.016G141150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141150.v4.1 MNSWTSTSYKENRIRQQWGTWATRRQGQQRVLSRWQPARRRGCLTSIHSGYPSTRAELEDPMGMSS >Potri.011G080800.1.v4.1 pep chromosome:Pop_tri_v4:11:8742351:8743254:1 gene:Potri.011G080800.v4.1 transcript:Potri.011G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080800.v4.1 MQLVKIIFVIAITTAFSIALTMKSVVEVEEKQPYEHSIDTSTTLSQGLIMHDEKKLMPSKRLSRFLTEEKNPRAADHCYKDNEICHIQQGKNHKCCSNKCMDLNTDKHNCGSCKRKCKYTEDCCRGECVLLSLDKRHCGRCNNRCQDGEFCVYGMCNYP >Potri.003G136600.1.v4.1 pep chromosome:Pop_tri_v4:3:15401599:15402628:-1 gene:Potri.003G136600.v4.1 transcript:Potri.003G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136600.v4.1 MAGVSRVVAAVVALLVVFAIVLPIAQAQAPAPAPTSDGTSIDQGIAYVLMMVALALTYLIHAADLSHSF >Potri.004G079100.1.v4.1 pep chromosome:Pop_tri_v4:4:6552039:6555956:-1 gene:Potri.004G079100.v4.1 transcript:Potri.004G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079100.v4.1 MGSGPSGAAMKLVAAVMTFLSMVVFLGYMMIWSMKSTNTFYLHWWPDVLKKTSSTYLGEQGTTTLIYAFPILFIATIGSLYLHLEKKYDHDHSTRFISRIILLKRPVITKGPLGIVSWTELTFLAMFVALLVWSMYSYTHNMFAFAALEAAQEKFQAWEVKLRSAALGLGLAGNTCLAFLFFPVTRGSSILQFVGLTSEASIKYHIWLGNITMVIFTAHGLSYITYWSHTHQISQMLKWDKFVVANVAGEIALLAGLVMWATSLGRIRRKLFELFYYSHHLYIVFVIFYVFHVGFAESCLILPGFYLFLIDRYLRSLQSQQKVRSVAARILPCETVELNFSKSSGLSYAPTSIAFINVPSISRIQWHPFTVTSNSNMDSDKLSIVIKREGSWSHKLYQILSSPSPTNRLEVAIEGPYGPPSTNFTRYERLVLVSGGSGITPFISIIREIIFKSSTTSSKTPRIHLICAFKKYVDLTMLDLLLPVSGTTLDLSRLHLQIEAYITRETESKTESQNSIRTILFRPNPSDRPVSAVLGPDSWLWLGAIISSSFIIFLILIGLLTRFYIYPIDHNTNMKYPVPASSAFNMLFVCIAITIAASAAFLWNKRENAKETSQIRTTDMSTPALSPTSLVYETELESLPHQSLRQATTVHLGQRPNLKKILSEYKEEKVGVYVSGPRTMRQEVAAICSSFSADNLHFESISFSW >Potri.006G223600.3.v4.1 pep chromosome:Pop_tri_v4:6:22855473:22857436:-1 gene:Potri.006G223600.v4.1 transcript:Potri.006G223600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223600.v4.1 MSLSAAEDNSASEIHLPADIDWHMLDKSKFFFFGAALFSGVSAALYPIVVLKTRQQVLPTQISSLKLSLSIMNHEGVRGFYRGFGTSLMGTIPARALYMTALEITKSSVGTATVRLGCSDTTATAIANAAAGLSSAMAAQLVWTPIDVVSQRLMVQDCNASSIKSSKNMIPCSSPCRYVNGIDGFRKILNADGPRGLYRGFGISILTYAPSNAVWWASYSVAHRLVWGCIGCYANKKDENAVNGGGGCGYTPISKEMVAVQGVCAAMASGVSAMITMPLDTIKTRLQVLDGEENGRTRPLTVMQTVKNLVKEGGFAACYRGLGPRWVSMAMSATTMITTYEFLKRLSAKNRESLTS >Potri.006G223600.1.v4.1 pep chromosome:Pop_tri_v4:6:22855485:22857436:-1 gene:Potri.006G223600.v4.1 transcript:Potri.006G223600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223600.v4.1 MNHEGVRGFYRGFGTSLMGTIPARALYMTALEITKSSVGTATVRLGCSDTTATAIANAAAGLSSAMAAQLVWTPIDVVSQRLMVQDCNASSIKSSKNMIPCSSPCRYVNGIDGFRKILNADGPRGLYRGFGISILTYAPSNAVWWASYSVAHRLVWGCIGCYANKKDENAVNGGGGCGYTPISKEMVAVQGVCAAMASGVSAMITMPLDTIKTRLQVLDGEENGRTRPLTVMQTVKNLVKEGGFAACYRGLGPRWVSMAMSATTMITTYEFLKRLSAKNRESLTS >Potri.019G090950.1.v4.1 pep chromosome:Pop_tri_v4:19:12893591:12894030:-1 gene:Potri.019G090950.v4.1 transcript:Potri.019G090950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090950.v4.1 MSGSNSYVANRSTQETRVTTKQICLIGIFFLLPGNGPSRVFLASNSRLETGQTPRCRDEIVDNTPHMLMVHHVQDCPLSCLNSEVLLLAGSDLSIFNGRGKQWGVFFSFFC >Potri.005G036300.3.v4.1 pep chromosome:Pop_tri_v4:5:2323822:2327028:-1 gene:Potri.005G036300.v4.1 transcript:Potri.005G036300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036300.v4.1 MNKQEVMKMQTHTLKVNIECHCDGCKKKIKKMLQKIEGVYTTTVNAEQGKVIVTGNVDPAKLIKQLEKSGKHAELWGGQKGSNNFQNVVNNQLKNMKIDGGKGGGGGKDNKSPKGGKGQQVQTMQQMKGSKDVKMPPNKDQKAVRFNVNEEDFDSSDDEFDDDLDDDIDDEEEFGHGRGHNIPNKMMAMPMMGNGLPNKMMPMMGNGHGPHGMIGGPGFNDKMGGGGGGKAKKGGGDDVFEIPVVMKGKGDSKDGKKGGGGDGKNGKSKGENKKQDGRDKKDGKSGIGIGFLGFGKKSKKGEDSTNKAAANNGSAGGNGNSNGNGTKKGGGKTDGVDDINKMKQGFHEIDGTGKAHKNPGQMGPMGHMGPMGGMGNVPTVHGLPAPAAMNGGGYYPGMGQANPYNQQQYMAMMMNQQRQNGNDIFQPMMYARPHPSINYMQPPIPPPTVSDQYTHFFNDENTDSCSIM >Potri.005G036300.1.v4.1 pep chromosome:Pop_tri_v4:5:2323822:2327028:-1 gene:Potri.005G036300.v4.1 transcript:Potri.005G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036300.v4.1 MNKQEVMKMQQTHTLKVNIECHCDGCKKKIKKMLQKIEGVYTTTVNAEQGKVIVTGNVDPAKLIKQLEKSGKHAELWGGQKGSNNFQNVVNNQLKNMKIDGGKGGGGGKDNKSPKGGKGQQVQTMQQMKGSKDVKMPPNKDQKAVRFNVNEEDFDSSDDEFDDDLDDDIDDEEEFGHGRGHNIPNKMMAMPMMGNGLPNKMMPMMGNGHGPHGMIGGPGFNDKMGGGGGGKAKKGGGDDVFEIPVVMKGKGDSKDGKKGGGGDGKNGKSKGENKKQDGRDKKDGKSGIGIGFLGFGKKSKKGEDSTNKAAANNGSAGGNGNSNGNGTKKGGGKTDGVDDINKMKQGFHEIDGTGKAHKNPGQMGPMGHMGPMGGMGNVPTVHGLPAPAAMNGGGYYPGMGQANPYNQQQYMAMMMNQQRQNGNDIFQPMMYARPHPSINYMQPPIPPPTVSDQYTHFFNDENTDSCSIM >Potri.001G055675.1.v4.1 pep chromosome:Pop_tri_v4:1:4187453:4189741:1 gene:Potri.001G055675.v4.1 transcript:Potri.001G055675.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055675.v4.1 MCQQQDFKSPSSLQSAFLLSPDQNQQTKGRIEKSSIESRDLIPVSFPKSSQPYGKGELREVDAKTDHQ >Potri.002G017500.2.v4.1 pep chromosome:Pop_tri_v4:2:1065793:1067522:1 gene:Potri.002G017500.v4.1 transcript:Potri.002G017500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017500.v4.1 MKRQHHFAVIQFSYIFLFITSSQWNVLPQNLPPLRYDGFVYENRKGGSDSILIEAFFDPVCPDSRDTWPPLQKALKHYGSRVSLVVHLLPLPYHDNAFVASRALHIANILNCSFTFPLLEQFFKHQEKFYGSETSNLSKDSIVKEIVKFATVIVGDSYSSPLQFGFNDIQTDLKTRVSFKYSASRGVYATPFFFVNGFGLPGAGSALDYKVWRSIIDPLVGAK >Potri.006G197500.1.v4.1 pep chromosome:Pop_tri_v4:6:20528517:20532981:1 gene:Potri.006G197500.v4.1 transcript:Potri.006G197500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G197500.v4.1 MGISFSCPLAELDGPFDTVLVRSVSFGSEDAGNALQSVGFNGCDSDPDSMRSYGSGKVIFEECPGFKERELSTTLSFKSTTSDMDVLARSIISPRVGERCNQLTRSDSLLDKKLHSSLPGPGKQRHQAAVTLQKVYKSFRTRRQLADCAVVVEQRWWKLLDFAELKRSSISFFDIEKPESAISRWSRARMRAAKVGKGLSKDAKARKLALQHWLEAIDPRHRYGHNLQFYYVNWLHCQSKQPFFYWLDIGDGKEVNLDRCLRSKLQQQCIKYLGPIEREAFEVTVENGKFLYKQSGKLICTTEGPKDAKWIFVLSTSKTFYIGLKIKGTFQHSSFLAGGATLSAGRLVVEDGVLKAVWPHSGHYLPTEENFQAFMSFLREHNVDLTDVKESPTDEEDESIIKKDIHGSLRDQPDADLLRVTGATNVEILAPEDTDSRKRDSNVAENANLHASKLSRGLQLKITELEIPTRGDVIDTFKTEELGPSCQAEDPDSPGEDGYETAEDSFLTEEDFMITKLNLFDKDDEEEEDEEPVPKEKILKRIDSHKGMKSYQLAEHLSSKWTTGAGPRIGCMRDYPSELQFRVLEHANLSPRTRSDNPSPRTSSRFSPKVSSPMVLTQASLCKETSSRSPLAPDLVLFSQTANP >Potri.006G227900.2.v4.1 pep chromosome:Pop_tri_v4:6:23197167:23200532:1 gene:Potri.006G227900.v4.1 transcript:Potri.006G227900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227900.v4.1 MTQSLANRAVQQRRVVIENNHGEKLSGILHETGSKQLVIVCHGFQSSKERIPMVNLAAALEKEGISAFRFDFAGNGESEGSFQYGNYRREAEDLRAVVQHFRRENRVISAVIGHSKGGNVVLLYASKYNDVHAVVNISGRFNLEKGMEGRLGKDFLLRLKQHGYIDVFNRKGKFEYRVTEESLKDRLTTDIHAVCLLIQQECRVLTVHGSMDKFVPAEDALEFAKFIPNHKLHIIKGANHEYTSHQGELTSVVLDFLRENFNAEKDMPKLPLKDHPFRSRL >Potri.011G035901.1.v4.1 pep chromosome:Pop_tri_v4:11:2752012:2762542:-1 gene:Potri.011G035901.v4.1 transcript:Potri.011G035901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035901.v4.1 MKLVLDRKLGIDRFLTSWRSAEDPGFRDFSVRINPNGSPQFFFYNGKKPISRSPPWPWRSQMGLYKSTFVNDPDEIYWVYTVPDDSYLLRIIVDHSGLLKVLTWRESDGQWKDYWKAPVFQCDYYGLCGAYSTCELANHNRFECACLPGFEPKYPLEWSTRDGSDGCVRKRLQTSSLCQHGEGFVKVENVILPESSDSAWVDMSKSRADCEVECKRNCS >Potri.005G129100.1.v4.1 pep chromosome:Pop_tri_v4:5:9759147:9759455:1 gene:Potri.005G129100.v4.1 transcript:Potri.005G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129100.v4.1 MAREFIVHDGSVHSETGFLLDSSEAFLLLCMIVVSLSIISMVIFACGDSGSGRGGGRRRKSGGGGRGGRGGGDGGGGGGGGGGGDEGDGGGGCEAILHIHRA >Potri.001G472800.10.v4.1 pep chromosome:Pop_tri_v4:1:49576362:49581306:-1 gene:Potri.001G472800.v4.1 transcript:Potri.001G472800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472800.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSVMLGDDINSSTITEPPGENRTKGLFLGQPEQMTTGNAEKKDGARTSGAQLTELGSFSEQKSNSRGGLVERMAARAGFNAPRLNTESIRSAETSLNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGSSKNSTAGSKLPDNSKETFFEDINSSSFAFKPMGESGSSFFLGGTSKITSATFLQQSFPSMDVSVHSENALQSHDVAPAKVQSESRNSLHFPAEFFKLTTEKDNGGNTVADQRTFDTVGGNAEHSSPLDEQQDEEGDQRASGDSMAAGGTPSDKGYNFRKYGQKQVKGSEYPRSYYKCTHPNCSVKKKVERSLEGHITEIIYKGAHSHPKPLPNRRSAVGSLDTQLDIPEQVVPQIGSVNDSAWAGTQKGIAAGTSDWRRDNVEVTSSASGGPGPEFGNPSSSVQAQSGTPFESADAIDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKIETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGTSNATPGQAAVAVQTHVHRHESSQVHNSMARFERPPAFGSFSLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGQGQPKMPVMPIHPYLAQQHPGNEMGFMMPKEEPKVGPVTEPSLNLSNNRTLYQQIMSRLPLGPQM >Potri.001G472800.14.v4.1 pep chromosome:Pop_tri_v4:1:49576406:49581306:-1 gene:Potri.001G472800.v4.1 transcript:Potri.001G472800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472800.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSVMLGDDINSSTITEPPGENRTKGLFLGQPEQMTTGNAEKKDGARTSGAQLTELGSFSEQKSNSRGGLVERMAARAGFNAPRLNTESIRSAETSLNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGSSKNSTAGSKLPDNSKETFFEDINSSSFAFKPMGESGSSFFLGGTSKQSFPSMDVSVHSENALQSHDVAPAKVQSESRNSLHFPAEFFKLTTEKDNGGNTVADQRTFDTVGGNAEHSSPLDEQQDEEGDQRASGDSMAAGGTPSDKGYNFRKYGQKQVKGSEYPRSYYKCTHPNCSVKKKVERSLEGHITEIIYKGAHSHPKPLPNRRSAVGSLDTQLDIPEQVVPQIGSVNDSAWAGTQKGIAAGTSDWRRDNVEVTSSASGGPGPEFGNPSSSVQAQSGTPFESADAIDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKIETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGTSNATPGQAAVAVQTHVHRHESSQVHNSMARFERPPAFGSFSLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGQGQPKMPVMPIHPYLAQQHPGNEMGFMMPKEEPKVGPVTEPSLNLSNNRTLYQQIMSRLPLGPQM >Potri.001G472800.13.v4.1 pep chromosome:Pop_tri_v4:1:49576360:49582317:-1 gene:Potri.001G472800.v4.1 transcript:Potri.001G472800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472800.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSVMLGDDINSSTITEPPGENRTKGLFLGQPEQMTTGNAEKKDGARTSGAQLTELGSFSEQKSNSRGGLVERMAARAGFNAPRLNTESIRSAETSLNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGSSKNSTAGSKLPDNSKETFFEDINSSSFAFKPMGESGSSFFLGGTSKQSFPSMDVSVHSENALQSHDVAPAKVQSESRNSLHFPAEFFKLTTEKDNGGNTVADQRTFDTVGGNAEHSSPLDEQQDEEGDQRASGDSMAAGGTPSDKGYNFRKYGQKQVKGSEYPRSYYKCTHPNCSVKKKVERSLEGHITEIIYKGAHSHPKPLPNRRSAVGSLDTQLDIPEQVVPQIGSVNDSAWAGTQKGIAAGTSDWRRDNVEVTSSASGGPGPEFGNPSSSVQAQSGTPFESADAIDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKIETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGTSNATPGQAAVAVQTHVHRHESSQVHNSMARFERPPAFGSFSLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGQGQPKMPVMPIHPYLAQQHPGNEMGFMMPKEEPKVGPVTEPSLNLSNNRTLYQQIMSRLPLGPQM >Potri.001G472800.8.v4.1 pep chromosome:Pop_tri_v4:1:49576356:49581833:-1 gene:Potri.001G472800.v4.1 transcript:Potri.001G472800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472800.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSVMLGDDINSSTITEPPGENRTKGLFLGQPEQMTTGNAEKKDGARTSGAQLTELGSFSEQKSNSRGGLVERMAARAGFNAPRLNTESIRSAETSLNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGSSKNSTAGSKLPDNSKETFFEDINSSSFAFKPMGESGSSFFLGGTSKQSFPSMDVSVHSENALQSHDVAPAKVQSESRNSLHFPAEFFKLTTEKDNGGNTVADQRTFDTVGGNAEHSSPLDEQQDEEGDQRASGDSMAAGGTPSDKGYNFRKYGQKQVKGSEYPRSYYKCTHPNCSVKKKVERSLEGHITEIIYKGAHSHPKPLPNRRSAVGSLDTQLDIPEQVVPQIGSVNDSAWAGTQKGIAAGTSDWRRDNVEVTSSASGGPGPEFGNPSSSVQAQSGTPFESADAIDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKIETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGTSNATPGQAAVAVQTHVHRHESSQVHNSMARFERPPAFGSFSLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGQGQPKMPVMPIHPYLAQQHPGNEMGFMMPKEEPKVGPVTEPSLNLSNNRTLYQQIMRK >Potri.001G472800.15.v4.1 pep chromosome:Pop_tri_v4:1:49576405:49581163:-1 gene:Potri.001G472800.v4.1 transcript:Potri.001G472800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472800.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSVMLGDDINSSTITEPPGENRTKGLFLGQPEQMTTGNAEKKDGARTSGAQLTELGSFSEQKSNSRGGLVERMAARAGFNAPRLNTESIRSAETSLNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGSSKNSTAGSKLPDNSKETFFEDINSSSFAFKPMGESGSSFFLGGTSKQSFPSMDVSVHSENALQSHDVAPAKVQSESRNSLHFPAEFFKLTTEKDNGGNTVADQRTFDTVGGNAEHSSPLDEQQDEEGDQRASGDSMAAGGTPSDKGYNFRKYGQKQVKGSEYPRSYYKCTHPNCSVKKKVERSLEGHITEIIYKGAHSHPKPLPNRRSAVGSLDTQLDIPEQVVPQIGSVNDSAWAGTQKGIAAGTSDWRRDNVEVTSSASGGPGPEFGNPSSSVQAQSGTPFESADAIDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKIETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGTSNATPGQAAVAVQTHVHRHESSQVHNSMARFERPPAFGSFSLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGQGQPKMPVMPIHPYLAQQHPGNEMGFMMPKEEPKVGPVTEPSLNLSNNRTLYQQIMSRLPLGPQM >Potri.001G472800.12.v4.1 pep chromosome:Pop_tri_v4:1:49576358:49581069:-1 gene:Potri.001G472800.v4.1 transcript:Potri.001G472800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472800.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSVMLGDDINSSTITEPPGENRTKGLFLGQPEQMTTGNAEKKDGARTSGAQLTELGSFSEQKSNSRGGLVERMAARAGFNAPRLNTESIRSAETSLNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGSSKNSTAGSKLPDNSKETFFEDINSSSFAFKPMGESGSSFFLGGTSKITSATFLQQSFPSMDVSVHSENALQSHDVAPAKVQSESRNSLHFPAEFFKLTTEKDNGGNTVADQRTFDTVGGNAEHSSPLDEQQDEEGDQRASGDSMAAGGTPSDKGYNFRKYGQKQVKGSEYPRSYYKCTHPNCSVKKKVERSLEGHITEIIYKGAHSHPKPLPNRRSAVGSLDTQLDIPEQVVPQIGSVNDSAWAGTQKGIAAGTSDWRRDNVEVTSSASGGPGPEFGNPSSSVQAQSGTPFESADAIDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKIETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGTSNATPGQAAVAVQTHVHRHESSQVHNSMARFERPPAFGSFSLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGQGQPKMPVMPIHPYLAQQHPGNEMGFMMPKEEPKVGPVTEPSLNLSNNRTLYQQIMSRLPLGPQM >Potri.001G472800.11.v4.1 pep chromosome:Pop_tri_v4:1:49576405:49581157:-1 gene:Potri.001G472800.v4.1 transcript:Potri.001G472800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472800.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSVMLGDDINSSTITEPPGENRTKGLFLGQPEQMTTGNAEKKDGARTSGAQLTELGSFSEQKSNSRGGLVERMAARAGFNAPRLNTESIRSAETSLNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGSSKNSTAGSKLPDNSKETFFEDINSSSFAFKPMGESGSSFFLGGTSKITSATFLQQSFPSMDVSVHSENALQSHDVAPAKVQSESRNSLHFPAEFFKLTTEKDNGGNTVADQRTFDTVGGNAEHSSPLDEQQDEEGDQRASGDSMAAGGTPSDKGYNFRKYGQKQVKGSEYPRSYYKCTHPNCSVKKKVERSLEGHITEIIYKGAHSHPKPLPNRRSAVGSLDTQLDIPEQVVPQIGSVNDSAWAGTQKGIAAGTSDWRRDNVEVTSSASGGPGPEFGNPSSSVQAQSGTPFESADAIDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKIETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGTSNATPGQAAVAVQTHVHRHESSQVHNSMARFERPPAFGSFSLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGQGQPKMPVMPIHPYLAQQHPGNEMGFMMPKEEPKVGPVTEPSLNLSNNRTLYQQIMSRLPLGPQM >Potri.001G472800.9.v4.1 pep chromosome:Pop_tri_v4:1:49576085:49582170:-1 gene:Potri.001G472800.v4.1 transcript:Potri.001G472800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472800.v4.1 MAGIDDNVAIIGDWVPPSPSPRAFFSVMLGDDINSSTITEPPGENRTKGLFLGQPEQMTTGNAEKKDGARTSGAQLTELGSFSEQKSNSRGGLVERMAARAGFNAPRLNTESIRSAETSLNPEIRSPYLTIPPGLSPTTLLESPVFLSNLAQPSPTTGKFSFFPNGSSKNSTAGSKLPDNSKETFFEDINSSSFAFKPMGESGSSFFLGGTSKITSATFLQQSFPSMDVSVHSENALQSHDVAPAKVQSESRNSLHFPAEFFKLTTEKDNGGNTVADQRTFDTVGGNAEHSSPLDEQQDEEGDQRASGDSMAAGGTPSDKGYNFRKYGQKQVKGSEYPRSYYKCTHPNCSVKKKVERSLEGHITEIIYKGAHSHPKPLPNRRSAVGSLDTQLDIPEQVVPQIGSVNDSAWAGTQKGIAAGTSDWRRDNVEVTSSASGGPGPEFGNPSSSVQAQSGTPFESADAIDASSTFSNDEDDDRATHGSVGYDGEGEESESKRRKIETYATEMSGATRAIREPRVVVQTTSEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCTVRKHVERASHDLKSVITTYEGKHNHDVPAARNSSHVNSGTSNATPGQAAVAVQTHVHRHESSQVHNSMARFERPPAFGSFSLPGRQQLGPSPGFSFGMNQPGLANLAMAGLGQGQPKMPVMPIHPYLAQQHPGNEMGFMMPKEEPKVGPVTEPSLNLSNNRTLYQQIMSRLPLGPQM >Potri.002G034100.2.v4.1 pep chromosome:Pop_tri_v4:2:2265808:2269222:-1 gene:Potri.002G034100.v4.1 transcript:Potri.002G034100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034100.v4.1 MGTLGRAIYTVGFWIRETGQALDRLGGRLQGNYYFQEQLSRHRTLMNIFDKAPVVDKDAFVAPSASVIGDVQVGKGSSIWYGCVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVTVGHSAVVHGCTVEDEAFVGMGATLLDGVVVEKHAMVAAGALVRQNTRIPTGEVWGGNPAKFLRKLTDEEIAFIAQSATNYSNLAQVHAAENAKPFDEIEFEKVLRKKFAKKDEEYDSMLGVVRELPPELILPNNVLPDKEHKAK >Potri.002G130000.1.v4.1 pep chromosome:Pop_tri_v4:2:9856348:9857189:1 gene:Potri.002G130000.v4.1 transcript:Potri.002G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130000.v4.1 MATAAAAFAPATITRAVMNFGSKIPRRTNKVVDIVGTNPYGGLKASNSVLALSMPVSTEQCFAKVVGSLRAASNTHGRVGGALSSKCSDVGEIFRIAAIMNGLVLGLLLDLYSSELKRGSREMSECIV >Potri.013G027100.1.v4.1 pep chromosome:Pop_tri_v4:13:1760476:1761813:-1 gene:Potri.013G027100.v4.1 transcript:Potri.013G027100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027100.v4.1 MMSFCKKSVYSFLALTYHPMSVSLDGEYNSSVEKPSCKCQGVCSSPPAGGAGLMTATTCDPIHKTPNILESTTIKPSSPSTTPPHSPSPPSSIASIFKKDPRGIGFLDDIGGGIDGLMSCTESLGFESSDERRFDDEIELCSRERSKITKVKCRKLGEKKEAKKFPPPLSSLNHNGQPNFFLKSVRKDGRLELTEVRIDRHEILRASRQDGRLRLHFVADEEYEVDEEEHEREQEQEQEEYLEVEKEGEKKEEEEEEVKEDNEEESVGEWGLQVNGEGLRTRCHELVVHHHDYHHHNHHSNVWSQQCVPTR >Potri.006G240600.1.v4.1 pep chromosome:Pop_tri_v4:6:24268753:24273098:1 gene:Potri.006G240600.v4.1 transcript:Potri.006G240600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240600.v4.1 MLLLMALFPASHLLLHGTHISHVQSPKLHSCGLSSPCLRTYPPKQQEETAYKYQTQARIVRKAKSEVKMEKEEAGLVVDAEKLRFEFLQVLRGRRTAEVPLTVEPANPVKHPLFQETPRPTFSEAMESCPKVEIKNFNSLLKEENLYLTTEAGEQGRLPVLILSMKGSEERRPAIVFLHSTHKNKEWLRPLLEAYASRGYVAIAIDSRYHGERASNLTTYRDALISSWKNSDTMPFIFDTVWDLIKLADYLTQREDIDPTRIGITGESLGGMHAWFGAAVDTRYSVVVPIIGVQGFCWAIDHDKWQARVDSIKPVFEEARKDLGKSAIDKEVVEKVWNRIAPGLASCFDSPYTVPAVTPRPMLIVNGAEDPRCSLAGLEVPKSRAIKAYGEAHSLDKFKLVAEPGIGHRMTPFMVKEASDWFDKYLKQQAA >Potri.002G097700.18.v4.1 pep chromosome:Pop_tri_v4:2:7116936:7123714:-1 gene:Potri.002G097700.v4.1 transcript:Potri.002G097700.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097700.v4.1 MATATVDSSICDLQNHLHIESLPLIDLRHLSQSELLSLSFCSSSPHRLRTDTADVSTPKIDRSVFNESAGSRKQTFSRLRLAPRNNNASPSSNSTPVVPFQNTERQPLDEENSQIISLLKSLFGSDSNSIENKNEHYHKLVSIPVIYNDYMRLPSTNNAESQNVSIDIWDSSQGGLKRLEVNHSISIRTAESSSKKRKRGRPRKNENVNFDNNDNSELVENKTIAVVCDNVEVESKKKEEMVMVNKNGVVVDFGALGNMEDPYGEELRRRTEGMQLKAEFLGFLEGFEGEWGSMRKKRRIVDASLFGDVLPIGWKLSICIKKQAGRVWLACTRYISPNGQQFVSCKEVSSYLLSFSGLHDVSRLNFGHMDGRIKLTDKISPSIPADHTRKDGKNENDFISYMALPVTCRSIEMGGCPVEVQMGNKYKCHKCTVAFDKQDDLLQHLLSHQRAPKQLRFGTSTNEEVIIKNGKYECQFCHKLFEERHRFNGHLGNHIKEYLKRLDASNGKTTGESDEPALVKIPPGAGKIQTLIEFDRDSDAITVNTKISDEINSTIPYCELKAITSVETHCGEQDRVFISSNDGAGKMNEDTDAVAAENRVSSEPALLNNDIHRSSDETDVPKCPTNGTSDLGRKDKSFKNCSVAGGARDVTCIGHNNSNHVSPCLIEELNQERDSNCGLLASNAKVNTSNDDIIEDRHCSSPIDNMVIDGWGIDGKGEPITGCCNSYAAMGGNAAVNLREQTISEGCYVADSETGLFTFNAVETMLEKGSKGGLTGIENNMNSVCTGMSNESRFDDVVKSGTNEITIVCCGDNTVLAGDNVTSNEQGGNHGAGSVIPFLLNQHTHLVENTITGAPKCILREPCQGKESEDCPITTSGNEQLFDFESNVIKVSNGTIDVANHDQVGSEIDLVPQTLTSIKQEEISKTLTFAPFTNGFASKDYGISNSVLEKLEQGRNSGRGSSDNEQSHDVKDIVNGLSFTTVEEDNQQEVKISCNGELHIASDDNCTEQDADVGTGTVQKCSLFAGSQLAFTAKDNPTGPYSGAVDELKQKMDSVESAVCPSGSEPVWSVEKNLQTAFTGSVQEEPRVENSENPRKDDSGIGFSSHPGPDESDVISEFMWRNDEESNLLSDFADTSCQPVQTSSFFPPYDAVSDKRNLVLHQVLKG >Potri.002G097700.15.v4.1 pep chromosome:Pop_tri_v4:2:7116906:7123712:-1 gene:Potri.002G097700.v4.1 transcript:Potri.002G097700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097700.v4.1 MATATVDSSICDLQNHLHIESLPLIDLRHLSQSELLSLSFCSSSPHRLRTDTADVSTPKIDRSVFNESAGSRKQTFSRLRLAPRNNNASPSSNSTPVVPFQNTERQPLDEENSQIISLLKSLFGSDSNSIENKNEHYHKLVSIPVIYNDYMRLPSTNNAESQNVSIDIWDSSQGGLKRLEVNHSISIRTAESSSKKRKRGRPRKNENVNFDNNDNSELVENKTIAVVCDNVEVESKKKEEMVMVNKNGVVVDFGALGNMEDPYGEELRRRTEGMQLKAEFLGFLEGFEGEWGSMRKKRRIVDASLFGDVLPIGWKLSICIKKQAGRVWLACTRYISPNGQQFVSCKEVSSYLLSFSGLHDVSRLNFGHMDGRIKLTDKISPSIPADHTRKDGKNENDFISYMALPVTCRSIEMGGCPVEVQMGNKYKCHKCTVAFDKQDDLLQHLLSHQRAPKQLRFGTSTNEEVIIKNGKYECQFCHKLFEERHRFNGHLGNHIKEYLKRLDASNGKTTGESDEPALVKIPPGAGKIQTLIEFDRDSDAITVNTKISDEINSTIPYCELKAITSVETHCGEQDRVFISSNDGAGKMNEDTDAVAAENRVSSEPALLNNDIHRSSDETDVPKCPTNGTSDLGRKDKSFKNCSVAGGARDVTCIGHNNSNHVSPCLIEELNQERDSNCGLLASNAKVNTSNDDIIEDRHCSSPIDNMVIDGWGIDGKGEPITGCCNSYAAMGGNAAVNLREQTISEGCYVADSETGLFTFNAVETMLEKGSKGGLTGIENNMNSVCTGMSNESRFDDVVKSGTNEITIVCCGDNTVLAGDNVTSNEQGGNHGAGSVIPFLLNQHTHLVENTITGAPKCILREPCQGKESEDCPITTSGNEQLFDFESNVIKVSNGTIDVANHDQVGSEIDLVPQTLTSIKQEEISKTLTFAPFTNGFASKDYGISNSVLEKLEQGRNSGRGSSDNEQSHDVKDIVNGLSFTTVEEDNQQEVKISCNGELHIASDDNCTEQDADVGTGTVQKCSLFAGSQLAFTAKDNPTGPYSGAVDELKQKMDSVESAVCPSGSEPVWSVEKNLQTAFTGSVQEEPRVENSENPRKDDSGIGFSSHPGPDESDVISEFMWRNDEESNLLSDFADTSCQPVQTSSFFPPYDAVSDKGESELFAEKFGATSGFEGLKLGGMDNMECNLPTSQVSSHSDESKVGTYDAVMPKGITSSIWLAKEDLPFVPKDSSRHHVPAVCVWCGREICQEALESEGQTSTMGFMCAECTAKLSGQFNG >Potri.002G097700.17.v4.1 pep chromosome:Pop_tri_v4:2:7116848:7123715:-1 gene:Potri.002G097700.v4.1 transcript:Potri.002G097700.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097700.v4.1 MATATVDSSICDLQNHLHIESLPLIDLRHLSQSELLSLSFCSSSPHRLRTDTADVSTPKIDRSVFNESAGSRKQTFSRLRLAPRNNNASPSSNSTPVVPFQNTERQPLDEENSQIISLLKSLFGSDSNSIENKNEHYHKLVSIPVIYNDYMRLPSTNNAESQNVSIDIWDSSQGGLKRLEVNHSISIRTAESSSKKRKRGRPRKNENVNFDNNDNSELVENKTIAVVCDNVEVESKKKEEMVMVNKNGVVVDFGALGNMEDPYGEELRRRTEGMQLKAEFLGFLEGFEGEWGSMRKKRRIVDASLFGDVLPIGWKLSICIKKQAGRVWLACTRYISPNGQQFVSCKEVSSYLLSFSGLHDVSRLNFGHMDGRIKLTDKISPSIPADHTRKDGKNENDFISYMALPVTCRSIEMGGCPVEVQMGNKYKCHKCTVAFDKQDDLLQHLLSHQRAPKQLRFGTSTNEEVIIKNGKYECQFCHKLFEERHRFNGHLGNHIKEYLKRLDASNGKTTGESDEPALVKIPPGAGKIQTLIEFDRDSDAITVNTKISDEINSTIPYCELKAITSVETHCGEQDRVFISSNDGAGKMNEDTDAVAAENRVSSEPALLNNDIHRSSDETDVPKCPTNGTSDLGRKDKSFKNCSVAGGARDVTCIGHNNSNHVSPCLIEELNQERDSNCGLLASNAKVNTSNDDIIEDRHCSSPIDNMVIDGWGIDGKGEPITGCCNSYAAMGGNAAVNLREQTISEGCYVADSETGLFTFNAVETMLEKGSKGGLTGIENNMNSVCTGMSNESRFDDVVKSGTNEITIVCCGDNTVLAGDNVTSNEQGGNHGAGSVIPFLLNQHTHLVENTITGAPKCILREPCQGKESEDCPITTSGNEQLFDFESNVIKVSNGTIDVANHDQVGSEIDLVPQTLTSIKQEEISKTLTFAPFTNGFASKDYGISNSVLEKLEQGRNSGRGSSDNEQSHDVKDIVNGLSFTTVEEDNQQEVKISCNGELHIASDDNCTEQDADVGTGTVQKCSLFAGSQLAFTAKDNPTGPYSGAVDELKQKMDSVESAVCPSGSEPVWSVEKNLQTAFTGSVQEEPRVENSENPRKDDSGIGFSSHPGPDESDVISEFMWRNDEESNLLSDFADTSCQPVQTSSFFPPYDAVSDKGESELFAEKFGATSGFEGLKLGGMDNMECNLPTSQVSSHSDESKVGTYDAVMPKGITSSIWLAKEDLPFVPKDSSRHHVPAVCVWCGREICQEALESEGQTSTMGFMCAECTAKLSGQFNG >Potri.002G097700.19.v4.1 pep chromosome:Pop_tri_v4:2:7116712:7123708:-1 gene:Potri.002G097700.v4.1 transcript:Potri.002G097700.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097700.v4.1 MDGRIKLTDKISPSIPADHTRKDGKNENDFISYMALPVTCRSIEMGGCPVEVQMGNKYKCHKCTVAFDKQDDLLQHLLSHQRAPKQLRFGTSTNEEVIIKNGKYECQFCHKLFEERHRFNGHLGNHIKEYLKRLDASNGKTTGESDEPALVKIPPGAGKIQTLIEFDRDSDAITVNTKISDEINSTIPYCELKAITSVETHCGEQDRVFISSNDGAGKMNEDTDAVAAENRVSSEPALLNNDIHRSSDETDVPKCPTNGTSDLGRKDKSFKNCSVAGGARDVTCIGHNNSNHVSPCLIEELNQERDSNCGLLASNAKVNTSNDDIIEDRHCSSPIDNMVIDGWGIDGKGEPITGCCNSYAAMGGNAAVNLREQTISEGCYVADSETGLFTFNAVETMLEKGSKGGLTGIENNMNSVCTGMSNESRFDDVVKSGTNEITIVCCGDNTVLAGDNVTSNEQGGNHGAGSVIPFLLNQHTHLVENTITGAPKCILREPCQGKESEDCPITTSGNEQLFDFESNVIKVSNGTIDVANHDQVGSEIDLVPQTLTSIKQEEISKTLTFAPFTNGFASKDYGISNSVLEKLEQGRNSGRGSSDNEQSHDVKDIVNGLSFTTVEEDNQQEVKISCNGELHIASDDNCTEQDADVGTGTVQKCSLFAGSQLAFTAKDNPTGPYSGAVDELKQKMDSVESAVCPSGSEPVWSVEKNLQTAFTGSVQEEPRVENSENPRKDDSGIGFSSHPGPDESDVISEFMWRNDEESNLLSDFADTSCQPVQTSSFFPPYDAVSDKGESELFAEKFGATSGFEGLKLGGMDNMECNLPTSQVSSHSDESKVGTYDAVMPKGITSSIWLAKEDLPFVPKDSSRHHVPAVCVWCGREICQEALESEGQTSTMGFMCAECTAKLSGQFNG >Potri.002G097700.16.v4.1 pep chromosome:Pop_tri_v4:2:7116795:7123715:-1 gene:Potri.002G097700.v4.1 transcript:Potri.002G097700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097700.v4.1 MATATVDSSICDLQNHLHIESLPLIDLRHLSQSELLSLSFCSSSPHRLRTDTADVSTPKIDRSVFNESAGSRKQTFSRLRLAPRNNNASPSSNSTPVVPFQNTERQPLDEENSQIISLLKSLFGSDSNSIENKNEHYHKLVSIPVIYNDYMRLPSTNNAESQNVSIDIWDSSQGGLKRLEVNHSISIRTAESSSKKRKRGRPRKNENVNFDNNDNSELVENKTIAVVCDNVEVESKKKEEMVMVNKNGVVVDFGALGNMEDPYGEELRRRTEGMQLKAEFLGFLEGFEGEWGSMRKKRRIVDASLFGDVLPIGWKLSICIKKQAGRVWLACTRYISPNGQQFVSCKEVSSYLLSFSGLHDVSRLNFGHMDGRIKLTDKISPSIPADHTRKDGKNENDFISYMALPVTCRSIEMGGCPVEVQMGNKYKCHKCTVAFDKQDDLLQHLLSHQRAPKQLRFGTSTNEEVIIKNGKYECQFCHKLFEERHRFNGHLGNHIKEYLKRLDASNGKTTGESDEPALVKIPPGAGKIQTLIEFDRDSDAITVNTKISDEINSTIPYCELKAITSVETHCGEQDRVFISSNDGAGKMNEDTDAVAAENRVSSEPALLNNDIHRSSDETDVPKCPTNGTSDLGRKDKSFKNCSVAGGARDVTCIGHNNSNHVSPCLIEELNQERDSNCGLLASNAKVNTSNDDIIEDRHCSSPIDNMVIDGWGIDGKGEPITGCCNSYAAMGGNAAVNLREQTISEGCYVADSETGLFTFNAVETMLEKGSKGGLTGIENNMNSVCTGMSNESRFDDVVKSGTNEITIVCCGDNTVLAGDNVTSNEQGGNHGAGSVIPFLLNQHTHLVENTITGAPKCILREPCQGKESEDCPITTSGNEQLFDFESNVIKVSNGTIDVANHDQVGSEIDLVPQTLTSIKQEEISKTLTFAPFTNGFASKDYGISNSVLEKLEQGRNSGRGSSDNEQSHDVKDIVNGLSFTTVEEDNQQEVKISCNGELHIASDDNCTEQDADVGTGTVQKCSLFAGSQLAFTAKDNPTGPYSGAVDELKQKMDSVESAVCPSGSEPVWSVEKNLQTAFTGSVQEEPRVENSENPRKDDSGIGFSSHPGPDESDVISEFMWRNDEESNLLSDFADTSCQPVQTSSFFPPYDAVSDKGESELFAEKFGATSGFEGLKLGGMDNMECNLPTSQVSSHSDESKVGTYDAVMPKGITSSIWLAKEDLPFVPKDSSRHHVPAVCVWCGREICQEALESEGQTSTMGFMCAECTAKLSGQFNG >Potri.006G117700.1.v4.1 pep chromosome:Pop_tri_v4:6:9238079:9239841:1 gene:Potri.006G117700.v4.1 transcript:Potri.006G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117700.v4.1 MNMDNMDWNGNFRSFVSRPDQTSFNFLYNYAYDPQYPGMDMKHPAILAENAPNRFVPTALDKITSYESQEKKKRLTSDQLESLEKSFQEEIKLDPDRKMKLSRELGLQPRQIAVWFQNRRARWKAKQLERLYDNLKQEFDSVSKEKQKLQEEVMKLKAVVREQATRKQVSTAGYTEISGEETVESTSVAAASRKLRGHSHHQNNAEHCNYLLNVDEYNPVSSPYWALLPSYP >Potri.001G239100.2.v4.1 pep chromosome:Pop_tri_v4:1:25682430:25687809:1 gene:Potri.001G239100.v4.1 transcript:Potri.001G239100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239100.v4.1 MLSSQLPDIAEASSSERVYVALGNSIEKAVSLLNWVFESLGTRQICLLHVHRPSPLIPTLLGKLPASQANAEVVSAFRREENERTKKLFDYYLIICRRAKVEATIVTLESDQVHKGIVELVNRHGVRKLVMGAVKENCMKVKKSSCKENYAAKHAPLFCEIWFINKGKCIWTREASENSNLLQGSFSSTSSSCASGCTSTAMRVSSGSDPKVEEESSYSHIEEVSLEAEALGNEAFEELLKCKTLELEAMEAFSKVKIYESTLVHEVKLRKEAEDALNNTIQDQEKLLKEKDEVARKLERTMRNVALLDIRAQEANHRCEEASGELKLIQTSILSLRQEEQRIRRQKMEAVHWLERWRSPGQAGTANCNGFLGITEELPELAEFSLSDLQTATCNFSESFKLGQGGCGQVYKGEMLGRTVAIKRLHPNNMQGQSEFQKEVQVLGKLQHPHLVTLLGACPEAWSLVYEYLPNGSLQDRLFQKNNIAPLTWKIRTRIIAEISSALCFLHSSKPEKIVHGDLKPQNILLNSELSCKICEFGICRLVTEDSLYQPSFHWSTIPKGSFPYTDPEFQRIGVLTPKSDIYAFGVIILQLLTGKPPAGLVGEVRRTRKLTSILDPSAEWPMIVARRLVDLALQFCELSSRGRPDLTPTLVRELEHLHVSEERPVPSFFLCPILQEIMHDPQVAADGFTYEGEALRGWLANGRETSPMTNLRLDHLHLTPNHALRLAIQDWLCKY >Potri.010G156900.1.v4.1 pep chromosome:Pop_tri_v4:10:16401820:16404522:1 gene:Potri.010G156900.v4.1 transcript:Potri.010G156900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G156900.v4.1 MPTLTKLYTMQEAAQHNTPQDCWVVIDGKVYDVGSYLDEHPGGDDVILATTGKDATDEFEDAGHSKSAREQLETFFIGELDLSATVIPELEISSKKQADYTQKLKDLTKQYWAVSVAIAGVSVVGFLYLRKK >Potri.005G244100.1.v4.1 pep chromosome:Pop_tri_v4:5:23970461:23971876:-1 gene:Potri.005G244100.v4.1 transcript:Potri.005G244100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244100.v4.1 MGKFLLTFAILLQFCSYLAINVNAFAPSGWRKGHATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGECYRIMCDFQTDPRWCIKGKSVTITATNFCPPNYALPNNNGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVLFQRVPCKKHGGVRFTINGRNYFELVLISNVAGAGSIQSVSIKGSKTGWMAMSRNWGANWQSNAYLNGQSLSFKITNTDGQTRFFTDIAPANWGFGQTFSSSVQF >Potri.014G187400.2.v4.1 pep chromosome:Pop_tri_v4:14:15737069:15737865:1 gene:Potri.014G187400.v4.1 transcript:Potri.014G187400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G187400.v4.1 MDRKTEKEATAKKLKRNSKGSNEVIAKELKASDSDEGITKKLEVLESYFGTDGKETEMKKYFILGLNSLEGSKKKEMIKEYWQWFLCMMDLVGKQNDIIRKHHDLVMPVYKTY >Potri.015G013950.1.v4.1 pep chromosome:Pop_tri_v4:15:915884:916799:1 gene:Potri.015G013950.v4.1 transcript:Potri.015G013950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013950.v4.1 MIPSVMINLSTRVAPQKASSRPWLHISEQEKKKINLPMYPFQRRKRSLCRQSLVQ >Potri.005G191400.4.v4.1 pep chromosome:Pop_tri_v4:5:19871807:19877082:1 gene:Potri.005G191400.v4.1 transcript:Potri.005G191400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191400.v4.1 MDHAADAHRTDLMTITRFVLNEQSKYPESRGDFSILLNHIVLGCKFVCSVVNKAGLAKIIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDEEATFVEPSRRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKDDHEPTLDDVLQPGKNMLAAGYCMYGSSCTFVLSTGTGVNGFTLDPSLGEFILTHPDIKIPKKGKIYSVNEGNVRNWDGPTAKYVEKCKFPQDGSSAKSLRYIGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLLPTKIHERSPIFLGSYDEVEEIKALYAAEEKKA >Potri.010G245500.1.v4.1 pep chromosome:Pop_tri_v4:10:22088740:22090142:1 gene:Potri.010G245500.v4.1 transcript:Potri.010G245500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245500.v4.1 MANRTRIGGSATIQYEDFQPKYEWKEEEGASVLLIHLPDFLKEQLSITYVCSSRVVRVTGEKPLAYKRFDQTFPAPENCEVNKIQGMFQNGILFITIPKATNRQPHSEEEEKVTKEASLPSKDALAEKPMTSQVSQKPAMEEKAQKVTENTASFASPQEALKDQQSQKGNPPKVASTTDTMKQKDESRKMPAEPVKEKTLFEQEESIKKTTESLTTESDEGCKKRKESLLTSENAPLENLEKDKEKSAKFAAAGTEEKSKRDFNIAGKVKDVKNVAATAAKKTMKGLGTMEISEERQSMVYMGVAVLAVVALGAFIAYSYRSPGTSKD >Potri.003G179700.6.v4.1 pep chromosome:Pop_tri_v4:3:18571644:18572790:1 gene:Potri.003G179700.v4.1 transcript:Potri.003G179700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179700.v4.1 MESLFIYLVKCARAGPKEGLKPAKQFDDEANTTADLLADINPSQIIKTGDDSSTIKNTTKVGEISKDDKNSHNDSGGPKISAKSQLLETLAANKWKPPLFECFKEEGPCHKKLFTYKVAIRIEGEASTVLECFGYPKPTKKAAAEHAAEGALWYLKHLGYFPIKKVKRKK >Potri.003G179700.8.v4.1 pep chromosome:Pop_tri_v4:3:18571644:18572790:1 gene:Potri.003G179700.v4.1 transcript:Potri.003G179700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179700.v4.1 MESLFIYLVKCARAGPKEGLKPAKQFDDEANTTADLLADINPSQIIKTGDDSSTIKNTTKVGEISKDDKNSHNDSGGPKISAKSQLLETLAANKWKPPLFECFKEEGPCHKKLFIAGLPTRLQFGLKEKHRLYWSVLVILNQQRKQQLNMQLKGHCGT >Potri.003G179700.2.v4.1 pep chromosome:Pop_tri_v4:3:18571407:18572790:1 gene:Potri.003G179700.v4.1 transcript:Potri.003G179700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179700.v4.1 MKYVVEGARAGPKEGLKPAKQFDDEANTTADLLADINPSQIIKTGDDSSTIKNTTKVGEISKDDKNSHNDSGGPKISAKSQLLETLAANKWKPPLFECFKEEGPCHKKLFTYKVAIRIEGEASTVLECFGYPKPTKKAAAEHAAEGALWYLKHLGYFPIKKVKRKK >Potri.003G179700.7.v4.1 pep chromosome:Pop_tri_v4:3:18571407:18572790:1 gene:Potri.003G179700.v4.1 transcript:Potri.003G179700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179700.v4.1 MKYVVEGARAGPKEGLKPAKQFDDEANTTADLLADINPSQIIKTGDDSSTIKNTTKVGEISKDDKNSHNDSGGPKISAKSQLLETLAANKWKPPLFECFKEEGPCHKKLFTYKVAIRIEGEASTVLECFGYPKPTKKAAAEHAAEGALWYLKHLGYFPIKKVKRKK >Potri.003G179700.3.v4.1 pep chromosome:Pop_tri_v4:3:18571407:18572790:1 gene:Potri.003G179700.v4.1 transcript:Potri.003G179700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179700.v4.1 MKYVVEGARAGPKEGLKPAKQFDDEANTTADLLADINPSQIIKTGDDSSTIKNTTKVGEISKDDKNSHNDSGGPKISAKSQLLETLAANKWKPPLFECFKEEGPCHKKLFIAGLPTRLQFGLKEKHRLYWSVLVILNQQRKQQLNMQLKGHCGT >Potri.003G179700.9.v4.1 pep chromosome:Pop_tri_v4:3:18571533:18572757:1 gene:Potri.003G179700.v4.1 transcript:Potri.003G179700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179700.v4.1 MKYVVEGARAGPKEGLKPAKQFDDEANTTADLLADINPSQIIKTGDDSSTIKNTTKVGEISKDDKNSHNDSGGPKISAKSQLLETLAANKWKPPLFECFKEEGPCHKKLFIAGLPTRLQFGLKEKHRLYWSVLVILNQQRKQQLNMQLKGHCGT >Potri.003G179700.4.v4.1 pep chromosome:Pop_tri_v4:3:18571644:18572790:1 gene:Potri.003G179700.v4.1 transcript:Potri.003G179700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179700.v4.1 MSNIFVLWNLYLSTWLNIIKKDKPTMKYVVEGARAGPKEGLKPAKQFDDEANTTADLLADINPSQIIKTGDDSSTIKNTTKVGEISKDDKNSHNDSGGPKISAKSQLLETLAANKWKPPLFECFKEEGPCHKKLFTYKVAIRIEGEASTVLECFGYPKPTKKAAAEHAAEGALWYLKHLGYFPIKKVKRKK >Potri.003G179700.5.v4.1 pep chromosome:Pop_tri_v4:3:18571644:18572790:1 gene:Potri.003G179700.v4.1 transcript:Potri.003G179700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179700.v4.1 MSNIFVLWNLYLSTWLNIIKKDKPTMKYVVEGARAGPKEGLKPAKQFDDEANTTADLLADINPSQIIKTGDDSSTIKNTTKVGEISKDDKNSHNDSGGPKISAKSQLLETLAANKWKPPLFECFKEEGPCHKKLFIAGLPTRLQFGLKEKHRLYWSVLVILNQQRKQQLNMQLKGHCGT >Potri.002G085800.3.v4.1 pep chromosome:Pop_tri_v4:2:6125440:6127554:-1 gene:Potri.002G085800.v4.1 transcript:Potri.002G085800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085800.v4.1 MSLSPPRTRTNGTTGNFQPYWCYQCHQMVRIATTDPSEIICPRCSGQFLCEIGINRPILVVDFTAFDPSPEARLLEALSLMLDPPIRRFNYSLDEPEPEPPRRSWFRRRNRPDFFDTEIRPRRRRNLSLELDGRDNWDSLDPEIRPRRSRDWSLDGRGILEHEPGIQSRPRTWIQYRPRNPLGEPIEPLSQSENPVRPLVDPRDFFVGSGLNELIEQLTQNDRQGPPPAPEIAIDTIPTVKIEASHLVNDSHCPVCMEEFKVGGEARELPCKHIYHSECIVPWLRLHNSCPVCRKELPVNSESSAQDEDECEDGGGRRGRCSRWRRQLSSLWPFRARYGRISPHGEVAGTSQGGM >Potri.002G085800.1.v4.1 pep chromosome:Pop_tri_v4:2:6125204:6127710:-1 gene:Potri.002G085800.v4.1 transcript:Potri.002G085800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085800.v4.1 MSLSPPRTRTNGTTGNFQPYWCYQCHQMVRIATTDPSEIICPRCSGQFLCEIGINRPILVVDFTAFDPSPEARLLEALSLMLDPPIRRFNYSLDEPEPEPPRRSWFRRRNRPDFFDTEIRPRRRRNLSLELDGRDNWDSLDPEIRPRRSRDWSLDGRGILEHEPGIQSRPRTWIQYRPRNPLGEPIEPLSQSENPVRPLVDPRDFFVGSGLNELIEQLTQNDRQGPPPAPEIAIDTIPTVKIEASHLVNDSHCPVCMEEFKVGGEARELPCKHIYHSECIVPWLRLHNSCPVCRKELPVNSESSAQDEDECEDGGGRRGRCSRWRRQLSSLWPFRARYGRISPHGEVAGTSQGVDSRWCSCNIL >Potri.002G085800.4.v4.1 pep chromosome:Pop_tri_v4:2:6126319:6127554:-1 gene:Potri.002G085800.v4.1 transcript:Potri.002G085800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085800.v4.1 MSLSPPRTRTNGTTGNFQPYWCYQCHQMVRIATTDPSEIICPRCSGQFLCEIGINRPILVVDFTAFDPSPEARLLEALSLMLDPPIRRFNYSLDEPEPEPPRRSWFRRRNRPDFFDTEIRPRRRRNLSLELDGRDNWDSLDPEIRPRRSRDWSLDGRGILEHEPGIQSRPRTWIQYRPRNPLGEPIEPLSQSENPVRPLVDPRDFFVGSGLNELIEQLTQNDRQGPPPAPEIAIDTIPTVKIEASHLVNDSHCPVCMEEFKVGGEARELPCKHIYHSECIVPWLRLHNSCPVCRKELPVNSESSAQDEDECEDGGGRRGRCSRWRRQLSSLWPFRARYGRISPHGEVAGTSQGGEN >Potri.011G006200.1.v4.1 pep chromosome:Pop_tri_v4:11:521776:525829:-1 gene:Potri.011G006200.v4.1 transcript:Potri.011G006200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006200.v4.1 MGKGNVRLINQGPYVMLDNDLVRLTILKPQGYLTGIKYGGMDNILDLQSNESNRGYWDMNWNLPGGKDRYQSVNGAEYSVIYNSNDKLEISFRSTYDPSNKGTKLPLSIDIRYILNSGVSGFHCYAIYERPAGSPAFDLVQTRMVFKLRRDKFHYMAISDEKQRVMPMPEDLLPGRGKQLIVPESVLLVNPINPDLKGEVDDKYQYSMDNKDGGVHGWIGSGPVIGFWVIFPSHEFRNGGPTKQNLTVHTGPTCLAMFHGTHYIGDDIVAQFQEGEAWRKVFGPFFVYLNSTSNVSDAYNLWIDAKKQRLLEEATWPYEFVSSPYYLNAKERGSATARLFVQERFVSESLIPAKNAYVGLSTARAQGAWQTESKDYQFWVQTDSNGNFTIKNVIPGVYGFHGWVPGFIGDFLDNALVTISEGSETQLGNLTYVPLRDGPTIWEIGFPDRTAIGFYVPDANPMYVNKLFVNSPEKFRQYGLWDRYTDVHPEYDQTFTIGISDPKKNWFFAHVDRRVADKYIPSTWTIKFLLNSIKNGIYKLRLAIASANRSDLQVYLNDMDKEHMVFQVMNLGAENAVCRHGIHGLYRLFSIDIPSSLLLNGDNSMFLVQARGGDALCGILYDYLRLEAPASPANSKQREELESFQFDSHV >Potri.018G099800.1.v4.1 pep chromosome:Pop_tri_v4:18:12022191:12024505:-1 gene:Potri.018G099800.v4.1 transcript:Potri.018G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099800.v4.1 MFRLSNNLVGILNFITFLLSIPILWAGIWLKNKGTSECDKFFDTPVIILGIFLLLVSLAGLIGACCRVSWLLWAYLLVMFLLIVLLFCFTIFAFVVTNKGAGQVLSGKGYKEYKLGDYSNWLQKRVGNQKNWRKIKSCLIDAKVCSDFNQKFANDTVEVLYTRHLSALQAGCCKPSDSCGFLYKSPINWEKTPTNSTSDPDCNAWDNQTDVLCFNCNSCKAGLLDNLRRDWKKVAVINIIFLVFLIIVYSVGCCAFRNNRRDNNAYSAGWKHP >Potri.005G218600.1.v4.1 pep chromosome:Pop_tri_v4:5:22129654:22133262:1 gene:Potri.005G218600.v4.1 transcript:Potri.005G218600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218600.v4.1 MSLISQNVGSKNRVKRSNADEPAFLGVNNSNADADEPAFLGVNNSNADEPAFLGVHKDDEGRIESDLVLSKTKKKRKERDELLEKQLEIEEGKEMKKLENFLFGSLYSPVEFGKEEEKKDSLSFFLDRSVGSVEPGHEEDVELMKESDIEEERKAVWLDEEEEKTKVNIAKVNRLRKLRKDEDESLISGSQYVSRLRAQHAKMNPGTDWARLDSQSRNDGLSDDELSDEENGIVLARGYKNDNAYDDILRTNEDLVVKSRAKLLPGLLEYSRLVDANAEDPSNGPINSVQFHQNAQLLLAAGLDRRLRFFQIDGKRNTKIQSIFIDDCPIRKASFLPDGSKVIIAGRRKFFYSFDLVKAKVDKIGPLVGREEKSLEVFEVSPDSSMIAFVGNEGYILLVSSKTKELVGTLKMNGTVRSLAFADDGQQLLSHGGDGQVYHWDLRTRACIHKAVDEGCIHGTALCTSPTRNLFAAGSDSGIVNIYNRDEFLGGKKKPIKTIENLTTKVDFLKFNNDAQILAVCSHMKKNSLKLIHVPSFTVFSNWPPANSAIHYPRCLDFSPGGGFMAMGNAAGKVLLYKLHHYDHA >Potri.005G218600.2.v4.1 pep chromosome:Pop_tri_v4:5:22129655:22132401:1 gene:Potri.005G218600.v4.1 transcript:Potri.005G218600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218600.v4.1 MSLISQNVGSKNRVKRSNADEPAFLGVNNSNADADEPAFLGVNNSNADEPAFLGVHKDDEGRIESDLVLSKTKKKRKERDELLEKQLEIEEGKEMKKLENFLFGSLYSPVEFGKEEEKKDSLSFFLDRSVGSVEPGHEEDVELMKESDIEEERKAVWLDEEEEKTKVNIAKVNRLRKLRKDEDESLISGSQYVSRLRAQHAKMNPGTDWARLDSQSRNDGLSDDELSDEENGIVLARGYKNDNAYDDILRTNEDLVVKSRAKLLPGLLEYSRLVDANAEDPSNGPINSVQFHQNAQLLLAAGLDRRLRFFQIDGKRNTKIQSIFIDDCPIRKASFLPDGSKVIIAGRRKFFYSFDLVKAKVDKIGPLVGREEKSLEVFEVSPDSSMIAFVGNEGYILLVSSKTKELVGTLKMNGTVRSLAFADDGQQLLSHGGDGQVYHWDLRTRACIHKAVDEGCIHGTALCTSPTRNLFAAGSDSGIVNIYNRDEFLGGKKKPIKTIENLTTKVDFLKFNNDAQILAVCSHMKKNSLKLIHVPSFTVFSNWPPANSAIHYPRCLDFSPGGGFMAMGNAAGKVLLYKLHHYDHA >Potri.016G054200.1.v4.1 pep chromosome:Pop_tri_v4:16:3557726:3561359:-1 gene:Potri.016G054200.v4.1 transcript:Potri.016G054200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054200.v4.1 MGEAPAFIVDDLQNQIGFENGFGSKFKGCKTTTTIGDKTYVIGGNDESTLPVEVRIFDKATGNWVTPIVLGSKPNSCKGLSAISLNDDRILIVNKGSAPDDCILFLEVDTWFVREQKKIRGTEVVAWSKGVWGDAEKPVVISGPSGVGKGTLISMLMKEFPSMFGFSVSHTTRAPRGMEKDGVHYHFTEQSIMEKEIKDGKFVEYASVHGNLYGTSIEAVEVVTDAGKRCILDIDVQGARSVRASSLEAILIFICPPSMEELETRLRSRRTETEEQILKRLQNAEVEMEQGKSSGIFDHILYNDNLDDCYESLKKLLGIDGAAAATQISAPQGIDLPADRSVSKMDNKIIINYGTPELEKASKSLIVLDVSSLKGGAPGRTRGLDVYATDSFSDDLNGINQPS >Potri.015G077700.1.v4.1 pep chromosome:Pop_tri_v4:15:10419509:10421326:1 gene:Potri.015G077700.v4.1 transcript:Potri.015G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077700.v4.1 MFLSNKPYMKEDYFPFETVPASPSSKGFLQDFDHLDDDHDSQFHANGSASNPFFGVQTGSNFDSFDAFPYGLSSDIDFYDYECKPFVGNINGGGGHGHGQVNEDLQSGAYLNMSCDQRNPIDDIGSNQGHVSLNFEEITPVSFVVPDEVSCVSAATNECKRKMGLNKTRALLPPARKAWKGPKKNSIVKGQWTTEEDRILIQLVEQNGVRKWCHVAQMLPGRIGKQCRERWHNHLRPNIKKDTWSVEEDKVLIQAHSELGNRWAEIAKMLPGRTENSIKNHWNATKRKQYSKRKCRPKYPRASLLQDYIKSLNLDSGITGRGLGITTASTDIILDNDTRMKAPELLPRDLVLFKDNDDGLVPNYSFNEVPDYDIHEKIFRGGCSVDSILDGLPCDDSVAHEKRLEMDVPEPDVTPFMGFEVKKEVDLLEMISQSKM >Potri.010G112600.1.v4.1 pep chromosome:Pop_tri_v4:10:13246497:13250239:1 gene:Potri.010G112600.v4.1 transcript:Potri.010G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112600.v4.1 MAVSRSSSSSLELTMSMPGFVSSPSFPSSVKDFDINQVPSGAAEEEWISAGMEDEEESTDGAPPRKKLRLSKEQSRLLEESFRQHHSLNPRQKEALALQLKLRPRQVEVWFQNRRARSKLKQTEMECEYLKRWFGSLTEQNRRLQREVEELRALKVGPPTVISPHSREPLPASTLTMCPRCERVTTTGVDKGSTKTTRTAVANPTIAATLSSENGAPALQSRQSC >Potri.018G145584.1.v4.1 pep chromosome:Pop_tri_v4:18:15577550:15578056:-1 gene:Potri.018G145584.v4.1 transcript:Potri.018G145584.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145584.v4.1 MLVFSTELMIMPLTFFARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARN >Potri.004G042500.4.v4.1 pep chromosome:Pop_tri_v4:4:3364114:3366304:-1 gene:Potri.004G042500.v4.1 transcript:Potri.004G042500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G042500.v4.1 MNTKLISKTLIQNQNTIITRSYYTNKANKATLYSKISPLGSTPSLEPELDSWVRSGNKVRVAELQRIIHDLRKRKRFSHALQVSEWMHKKDICIFSPSEHAVQLDLIGRVHGFVSAENYFNNLRDQDKNEKTYGALLNCYVRQRETDKSILHLQKMKEMGFAKSSLTYNDIMCLYTNVGQHEKVPQVLNEMKENNVLPDNFSYRLCINSFGARDDLEGMEKILNEMEHQPDIVMDWNTYAVAANFYIIGDLTDKAIDTLKKSEARLDKKDGTGYNHLISLYAKLGNKTEVLRLWDLEKSACERHINKDYIIMMESLLKLSEFEEAEKMLKEWESSGNFYDVRVPNTLIIGYSRKGLCEKAKALLENLTEKGKMTLPNSWGIVAAGFFDKSEVAKAFSCMKAALCLYVENKGWKPNQRVINGILSWLGDEGSAEDAEAFVSSLKTVIPVNREMYHAVLKANIRAGKEVHRLLDGMKTYNIKEDEETKKILSMM >Potri.011G136400.1.v4.1 pep chromosome:Pop_tri_v4:11:16872162:16876464:1 gene:Potri.011G136400.v4.1 transcript:Potri.011G136400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136400.v4.1 MGSEIETLEERLNSFLVQLQAECRVFERMVYKNKNQHRRCSYFQYLLKVRRDLRLFQSSKLEEIVGSCFHVITGRKPRQKVHLLESLKWRKCDSGTPNFMERLLGAARLLSQIVEPMLKAATDISTLLARSFFMGFSLTILALLARLRVLVQQILLDVVSVFNMVSSLSQEKQSVKITQEGLEVFREYYPTNKEFVTLECVWKTDKFVLVEKTHKIDVKGQDGGLGDGSIEKSVPRYWTIESFLGDSDSDFEKADGDHTAKEDSYEDKQHLFPGPSVESSEHGNQVEGDVELGDNPIISESPGKQLPPEDSLAAKSSSPPSSKVLTPQYGARQVAFVSVKRPAPSTTAFVPVKKPTTPTSHIEDPHFKEIEDASIKDDSISNLLAGGNPQQILF >Potri.015G105800.2.v4.1 pep chromosome:Pop_tri_v4:15:12453685:12455892:-1 gene:Potri.015G105800.v4.1 transcript:Potri.015G105800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105800.v4.1 MVSESNDGQETQELSRPNGVRKEQALDVDDKTKTRHPRWTRQETFVLIESKKVVENRFQWSRRSTSALGSDQIESKWDSVSSYCSQHGVNRGPVQCRKRWGNMLCDFKKIKTWESQQMNETESFWMMRNELRRERKLPSFFDKEVYYVLDGRVITTDAIPLSQITFKKQMDCIDRDEAATAEEEEEEHEYTEANLDSSQCARAEDGLFLEWEQPGQDKTYWSSKKETTATGNLRKTRANPLPISSVRAGTTKKQNLGTKTRKGSMSREGKKRRLSSDESEDPDLEDRLIKVLGRNMSMLNSQLKAQNINCQLDREQRKDHNDSLVRALNKLTDAIVKIADKL >Potri.005G003800.1.v4.1 pep chromosome:Pop_tri_v4:5:328547:332465:1 gene:Potri.005G003800.v4.1 transcript:Potri.005G003800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003800.v4.1 MGGERGGGGGRAEAQYVTAKISVWWDIENCHVPRDCDPHAIAQNISSALVKMNYCGPVSISAYGDTHRINSAVQQALSSTGIALNHVPAGVKDASDKKILVDMLFWAVDNAAPANYLLISGDRDFSNALHQLRMRRYNILLAQPQKASAPLLAAAKSVWLWTSLLAGGPPLSEGESLQPDSNSYMSTSDTSQIPLTDAAQLKQPADSYSENSYLANQKSPYTARGYDNKQKGKNIRRSPSQTNGSKTTSVPLWTQEDQHNTNSHQPGTYFPRVPLSGPAPDFVHGNTNFTWCDVPYVNGNHQNHYTQQLRPNNSAMQPDFAAGGFYPPYLHPRGPPPMPARPNGTSSMPAPYMSAPDIGNLNISGYSINFNPQRRNPEVKHDSKKKLPRSVSSSNSQNGNMAHNSPSIYQDEMPNHRYSSHPEYLSSSSSAMGASVAPGSVIWGSPGCPKPSEYVQGLIGVVLLALNTLKSEKIMPTETNIADCIRYGDQKQRNTDIKKALECAIEHQMVVAQSLGAMQLFVGKNEKLWKCVNPIGGSPKQIPKATWDEIQLFLISPAGRSAILASQCRYEAGTILKRKCLKGHALGDILQILNMVIGYKKWIIHHPSGWQPISITLSEIESDLWSAEGT >Potri.007G086200.1.v4.1 pep chromosome:Pop_tri_v4:7:11101788:11102472:-1 gene:Potri.007G086200.v4.1 transcript:Potri.007G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086200.v4.1 MAALLNVFPHLYTISVSFFNLLLLKALFLIRCFVPGSEVANPDKLFRIISTQYLNIIEKTNPTLHYCEKITRPRSRECAVCLSEFTEGERVRKLKCHHTFHNECLDKWLHQSMATCPLCRTVVLPDEIVVNYHQLRDNILNGGSYDDTIFLLSALYGSSMKKIF >Potri.013G068300.1.v4.1 pep chromosome:Pop_tri_v4:13:5374695:5382579:1 gene:Potri.013G068300.v4.1 transcript:Potri.013G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068300.v4.1 MGSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLKDWNQQRQRLPDNSKVDKVREFIKDVYVDKKYAGGNTSDKPPRDLQRIRSHEDETRRACSYHSYSQSPPYDFQYEDRRYGKQTNTLTRKPGSDRGLNVGKMASFICSPTRLNERVFEDRFANEGSVSRVSDYSVSSGGDPVRSGAESPNFQKDIAFSPPIQPSRDGLGDVKHQKANSFSEASFKRDADGIPHPQRTTSLGSMGSFDSLSVSIKSYNSGSLLDIVAEAGQAAGNPQENMPAFPVSSVSSHASLDLFKEPVAPEPASPMAPPIDLFQLPATSPAPSVDLFQVPPASSINLYQPSQTSLPSTLGSYQQQSVTSLDEKSPHSSLPKNEGWATFDGPQPIASTPGPENLTSSVGPSNAGSSNFDQVPSLHTSMQWPPFQNSVDHSSSSVPDPWLGDVHSVQATGNTSSQNWSAFEFDDSVAGIPLEGIKQSSEPQTLYNPSPTADQYFGFGASEDFNKDGIQRTAYNGVLPGPSEPSDIVAGPSYTPSGHPLVEETQSHADHKSINPFDLPYESDLEPSNMFVDMSSLEAALPNANSPSSFLGGVTQPWFPQDLAMTYIPAAPQGGLAYMAGQAPSPQLGNVQTQGPVASVGGNPFA >Potri.013G068300.4.v4.1 pep chromosome:Pop_tri_v4:13:5374923:5382502:1 gene:Potri.013G068300.v4.1 transcript:Potri.013G068300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068300.v4.1 MGSRKEEERNEKIIRGLMKLPPNRRCINCNSLGPQYVCTNFWTFICTTCSGIHREFTHRVKSVSMSKFTSQEVEALQNGGNQRAREIYLKDWNQQRQRLPDNSKVDKVREFIKDVYVDKKYAGGNTSDKPPRDLQRIRSHEDETRRACSYHSYSQSPPYDFQYEDRRYGKQTNTLTRKPGSDRGLNVGKMASFICSPTRLNERVFEDRFANEGSVSRVSDYSVSSGGDPVRSGAESPNFQKDIAFSPPIQPSRDGLGDVKHQKANSFSEASFKRDADGIPHPQRTTSLGSMGSFDSLSVSIKSYNSGSLLDIVAEAGQAAGNPQENMPAFPVSSVSSHASLDLFKEPVAPEPASPMAPPIDLFQLPATSPAPSVDLFQVPPASSINLYQPSQTSLPSTLGSYQQQSVTSLDEKSPHSSLPKNEGWATFDGPQPIASTPGPENLTSSVGPSNAGSSNFDQVPSLHTSMQWPPFQNSVDHSSSSVPDPWLGDVHSVQATGNTSSQNWSAFEFDDSVAGIPLEGIKQSSEPQTLYNPSPTADQYFGFGASEVASTSQCLKILWFFEVRLINCVLQDFNKDGIQRTAYNGVLPGPSEPSDIVAGPSYTPSGHPLVEETQSHADHKSINPFDLPYESDLEPSNMFVDMSSLEAALPNANSPSSFLGGVTQPWFPQDLAMTYIPAAPQGGLAYMAGQAPSPQLGNVQTQGPVASVGGNPFA >Potri.014G127700.1.v4.1 pep chromosome:Pop_tri_v4:14:8570837:8571806:-1 gene:Potri.014G127700.v4.1 transcript:Potri.014G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127700.v4.1 MARSSSNAKVISGLISRAINGRGFSADASQGAAVPKARSGADAMVKKTGEEVGKSTEKTSWVPDPRTGFYRPGNVAEEIDEAGLRASLLKKH >Potri.012G062200.3.v4.1 pep chromosome:Pop_tri_v4:12:6499313:6501945:-1 gene:Potri.012G062200.v4.1 transcript:Potri.012G062200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062200.v4.1 MNNFQMYRQIMSPGWTLGWTWAKKEVIWSMVGAQATDQGDCSNFKGNIPHCCKRNPTVIDLLHGVPKTQQYSDCCKGGVLASWGQDPTAAVSSFQLSVGLSGTSNTTVALPQNFFLLGPGPGYTCSAATIVSPSVFFSSDGQRKTQAMMTWTVTCSYSQMLVSKNPSCCVSLSSFYNSKITPCPSCSCGCQNNENTSCVMSNSRISSVVEASTSMLQCTSHMCPIRVHWHVKANYKEYWRVKITVTNFNYRKNFTQWTLVAQHPNLNNVTRVYSFLYKSFMLFNTINDTAMFYGNKNKNDMLLEAGQNGNVQSEILFGKDMSRYTLEHGWGFPSRIYFNGDECMMPPPDSYPFLPNSAPFSPTASSTPAIFLILILLALRCSLF >Potri.012G062200.2.v4.1 pep chromosome:Pop_tri_v4:12:6499313:6501945:-1 gene:Potri.012G062200.v4.1 transcript:Potri.012G062200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062200.v4.1 MELDKLKFSIVLTIFCAMTVLCAVAYDPLDPNGSINIKWDILSWTPDGYVAVVSMNNFQMYRQIMSPGWTLGWTWAKKEVIWSMVGAQATDQGDCSNFKGNIPHCCKRNPTVIDLLHGVPKTQQYSDCCKGGVLASWGQDPTAAVSSFQLSVGLSGTSNTTVALPQNFFLLGPGPGYTCSAATIVSPSVFFSSDGQRKTQAMMTWTVTCSYSQMLVSKNPSCCVSLSSFYNSKITPCPSCSCGCQNNENTSCVMSNSRISSVVEASTSMLQCTSHMCPIRVHWHVKANYKEYWRVKITVTNFNYRKNFTQWTLVAQHPNLNNVTRVYSFLYKSFMLFNTINDTAMFYGNKNKNDMLLEAGQNGNVQSEILFGKDMSRYTLEHGWGFPSRIYFNGDECMMPPPDSYPFLPNSAPFSPTASSTPAIFLILILLALRCSLF >Potri.011G135000.1.v4.1 pep chromosome:Pop_tri_v4:11:16762643:16764879:1 gene:Potri.011G135000.v4.1 transcript:Potri.011G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135000.v4.1 MASSTHQPLLNTTNNTKSHVKILIFAISLFAVIFSSVFLASRLIKVSQSSPSTPQICNQARDPQECLSILSEAVSTEGVQESNGVGLLKTFLVKSLSQMRMAKAAANAVNSKINGHKHQAALADCVELMDMSIDRVTDTLSALANWGSQSDASDANTWLSGVLTNHVTCLDGIDTIDQSSMKKLLQDLISRMRTSLAAVSSLSASDTDLVQPLNGGFPSWILGRDRKLLESSVSTVEANVVVAQDGSGDYTTIQESVNSVPDKSKSRYVICVKSGIYKENVEVGKKKKNVMIVGDGMDSTILTGNLNVVDGSTTFRSATLAVAGDGFILQDIWIQNTAGPEKHQAVALRVSADQSVINRCRIDAYQDTLYTHNYRQFYRDCFIIGTIDFIFGNGAVVLQNCHIISRKPMANQKNMVTAQGRIDPNQNTGISIQNCDIVASSDLESEQNKFPTYLGRPWKEYSRTVVMQSNIGGHIDPTGWAEWDKEFALTTLYYGEYANRGLGAGVSKRVNWPGYHVITDPNEAKQFTVAELIQGGAWLGSTGVSFTEGL >Potri.015G032900.3.v4.1 pep chromosome:Pop_tri_v4:15:2541214:2542646:-1 gene:Potri.015G032900.v4.1 transcript:Potri.015G032900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032900.v4.1 MFEIMDEDNSLNIRNWGYYEPTPVKGNLGLQLMSPTMPEKPILGSRSPAIMTSMNAGFYHRDIGISQPLMPMEYVKDAWIGQREKLLNVLPGNHDYAAVWPETSSSHHMEMFQPPYSTKDEPLELVEEAGVVEKVNVPNKKRQRHKGPKSPRAKKGMGGAQVPKPEGSPPTQRARAAKKTAEIMINGINMDISVIPIPVCSCTGNPQQCYRWGCGGWQSACCTTCISVYPLPMSTKRRGARIAGRKMSLGAFKKVLEKLAGEGYDFSNPIDLRTHWAKHGTNKFVTIR >Potri.015G032900.2.v4.1 pep chromosome:Pop_tri_v4:15:2541203:2542767:-1 gene:Potri.015G032900.v4.1 transcript:Potri.015G032900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032900.v4.1 MFEIMDEDNSLNIRNWGYYEPTPVKGNLGLQLMSPTMPEKPILGSRSPAIMTSMNAGFYHRDIGISQPLMPMEYVKDAWIGQREKLLNVLPGNHDYAAVWPETSSSHHMEMFQPPYSTKDEPLELVEEAGVVEKVNVPNKKRQRHKGPKSPRAKKGMGGAQVPKPEGSPPTQRARAAKKTAEIMINGINMDISVIPIPVCSCTGNPQQCYRWGCGGWQSACCTTCISVYPLPMSTKRRGARIAGRKMSLGAFKKVLEKLAGEGYDFSNPIDLRTHWAKHGTNKFVTIR >Potri.002G246300.2.v4.1 pep chromosome:Pop_tri_v4:2:23721676:23724295:1 gene:Potri.002G246300.v4.1 transcript:Potri.002G246300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246300.v4.1 MIYDVNSPLFRSFLSQKGGSSDKRKMEEQKPKEQRPKANENKPVMTE >Potri.001G254500.1.v4.1 pep chromosome:Pop_tri_v4:1:27071881:27073930:1 gene:Potri.001G254500.v4.1 transcript:Potri.001G254500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254500.v4.1 METVNGYQGNTPVAAPAGTTPAKQTVTAAKIVDTQSVLKRLQSELMALMMSGESGISAFPEGDNIFCWKGTIKGSKDTVFEGTEYKLSLSFPNDYPFKPPKVKFETSCFHPNVDIYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQQEYRKMVEKLYKPPSAAA >Potri.014G065600.1.v4.1 pep chromosome:Pop_tri_v4:14:4055536:4056866:1 gene:Potri.014G065600.v4.1 transcript:Potri.014G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065600.v4.1 MEQSIMAENHRPNPAFEKESIIMQHGIFALLVGTLNNQIQVKYQSIKGSPFDSHDVIMSVFLVALFIYATASVAEVMLRARESTYYTLVGNLRLFASALAAILLLAILAPILGCVISVVWACLFIGVAYESSRELSNILSQLTTNKLLDMLTRLIARARSRKEEPNQPHV >Potri.004G163600.5.v4.1 pep chromosome:Pop_tri_v4:4:18322735:18326130:-1 gene:Potri.004G163600.v4.1 transcript:Potri.004G163600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163600.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMKSSSHHKFTVPQRFFSHFYVVAVVWTTLLLIATWIYAHRMAPIVSEPFFYSDLGSYLAGRSNTFSFHRSQLINSENKFRVWLSVFLLLLMEVQVLRRLFETLYVFKYSPSARMHIFGYLTGLFFYTAMPLTLCCTCAPNVFKFGTSEVTEFIVKDRSSMQAIEFDWWDFVNPFSKLGWCQWIGAAIFLCGWIHQHRCHAILGDHVVAKLRD >Potri.004G163600.1.v4.1 pep chromosome:Pop_tri_v4:4:18322064:18326130:-1 gene:Potri.004G163600.v4.1 transcript:Potri.004G163600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163600.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMKSSSHHKFTVPQRFFSHFYVVAVVWTTLLLIATWIYAHRMAPIVSEPFFYSDLGSYLAGRSNTFSFHRSQLINSENKFRVWLSVFLLLLMEVQVLRRLFETLYVFKYSPSARMHIFGYLTGLFFYTAMPLTLCCTCAPNVFKFGTSEVTEFIVKDRSSMQAIEFDWWDFVNPFSKLGWCQWIGAAIFLCGWIHQHRCHAILGSLREHVGKVDEYVIPHGDWFEIVSTPHYLAEIVIYAGVVFGSGGADLTIWLLFGFVVSNLIFAAAETHRWYLQKFDNYPSNRVAIIPFVC >Potri.004G163600.9.v4.1 pep chromosome:Pop_tri_v4:4:18322992:18326098:-1 gene:Potri.004G163600.v4.1 transcript:Potri.004G163600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163600.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMKSSSHHKFTVPQRFFSHFYVVAVVWTTLLLIATWIYAHRMAPIVSEPFFYSDLGSYLAGRSNTFSFHRSQLINSENKFRVWLSVFLLLLMEVQVLRRLFETLYVFKYSPSARMHIFGYLTGLFEVTEFIVKDRSSMQAIEFDWWDFVNPFSKLGWCQWIGAAIFLCGWIHQHRCHAILGSLREHVGKVDEYVIPHGDWFEIVSTPHYLAEIVIYAGVVFGSGGADLTIWLLFGFVVSNLIFAAAETHRWYLQKFDNYPSNRVAIIPFVC >Potri.004G163600.3.v4.1 pep chromosome:Pop_tri_v4:4:18322064:18326118:-1 gene:Potri.004G163600.v4.1 transcript:Potri.004G163600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163600.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMKSSSHHKFTVPQRFFSHFYVVAVVWTTLLLIATWIYAHRMAPIVSEPFFYSDLGSYLAGRSNTFSFHRSQLINSENKFRVWLSVFLLLLMEVQVLRRLFETLYVFKYSPSARMHIFGYLTGLFEVTEFIVKDRSSMQAIEFDWWDFVNPFSKLGWCQWIGAAIFLCGWIHQHRCHAILGSLREHVGKVDEYVIPHGDWFEIVSTPHYLAEIVIYAGVVFGSGGADLTIWLLFGFVVSNLIFAAAETHRWYLQKFDNYPSNRVAIIPFVC >Potri.004G163600.8.v4.1 pep chromosome:Pop_tri_v4:4:18322992:18326098:-1 gene:Potri.004G163600.v4.1 transcript:Potri.004G163600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163600.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMKSSSHHKFTVPQRFFSHFYVVAVVWTTLLLIATWIYAHRMAPIVSEPFFYSDLGSYLAGRSNTFSFHRSQLINSENKFRVWLSVFLLLLMEVQVLRRLFETLYVFKYSPSARMHIFGYLTGLFFYTAMPLTLCCTCAPNVFKFGTSEVTEFIVKDRSSMQAIEFDWWDFVNPFSKLGWCQWIGAAIFLCGWIHQHRCHAILGSLREHVGKVDEYVIPHGDWFEIVSTPHYLAEIVIYAGVVFGSGGADLTIWLLFGFVVSNLIFAAAETHRWYLQKFDNYPSNRVAIIPFVC >Potri.004G163600.6.v4.1 pep chromosome:Pop_tri_v4:4:18322735:18326130:-1 gene:Potri.004G163600.v4.1 transcript:Potri.004G163600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163600.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMKSSSHHKFTVPQRFFSHFYVVAVVWTTLLLIATWIYAHRMAPIVSEPFFYSDLGSYLAGRSNTFSFHRSQLINSENKFRVWLSVFLLLLMEVQVLRRLFETLYVFKYSPSARMHIFGYLTGLFEVTEFIVKDRSSMQAIEFDWWDFVNPFSKLGWCQWIGAAIFLCGWIHQHRCHAILGDHVVAKLRD >Potri.006G074800.1.v4.1 pep chromosome:Pop_tri_v4:6:5493313:5495098:1 gene:Potri.006G074800.v4.1 transcript:Potri.006G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074800.v4.1 MDIIENIGEYQNYWETSLFWNEELNYSWATNQQSNLGYYASSLPEGTASPIRTKNIALETNKRKELNDKLLALREAVPKISKLDKASIIKDAIGYIQDLQEQERILQAEIREHESKRLKKHPDSGFEQELPDLLRSKRTRYDKIYHHSLGRSTCPIQVHELAITSMGENTLLVSLACNKTTDAMTRICEVFESLKLKIITANATVLSGMIKKTVVIEVDEEEKEHLKIKIERAVSALRSPHTVQ >Potri.003G054800.1.v4.1 pep chromosome:Pop_tri_v4:3:8063066:8070723:1 gene:Potri.003G054800.v4.1 transcript:Potri.003G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054800.v4.1 MGCNQSKIENEEAISRCKERKLFMKEAVSARNAFAAAHSSYSISLKNTGAALNDFAQAEIPPHLSSSSSEPILIQHSSPSSSPAAPFPPISDVPPPPPPDHVDFQPLQRAVSMPEMKIQKPELKPLEEPIIEEDETESEAHDSERLVRKRSISSSNRVTSVQENHHQQQHHHQDATWDYFFPSVDSIPGPSLAEPTEMEEDVRVNKEQVQRKVFQERVEPPPMVVEKKVEKAVEVPVPAPEKKAGRKVEGGRRGVKGMNLMKIFEDLDDHFLKASESAHEVSKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFRGVPNLDDGKDDFDREEHETHATVLDKMLAWEKKLYDEVKAGELMKFEYQRKVNSLNKQKKRGTNTESIEKLKAAVSHLHTRYIVDMQSMDSTVSEINRLRDEQLYPKLVQLVDGMATMWKTMLVHHEDQSKIVNALRFLDISQSSKETSEHHHDRTFQLLVVVQEWQSQFCKLIDNQKAYIKSLNSWLKLNLIPIESNLKEKVSSPPRVRNPPIQPLLIAWHDFLDKLPDEVARTAINNFAAVIHTILQHQEEEMKLKEKCEETRKELSQKMRKYEDWWRKYMQQRTPDELDPERTEDNSHNDAIAERQSVVDAVKNRLKEEEEAYRKQCLQVREKSMASLKTRLPELFRAMSEVAHACSEMYKNLRSISQAYCGNAN >Potri.010G171133.1.v4.1 pep chromosome:Pop_tri_v4:10:17271385:17272125:1 gene:Potri.010G171133.v4.1 transcript:Potri.010G171133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171133.v4.1 MHMQRREPGKKGVLPRGCLAWQQPINWGKKFDWEEEQHEKQWQGCTGYGG >Potri.004G011801.1.v4.1 pep chromosome:Pop_tri_v4:4:730208:730552:1 gene:Potri.004G011801.v4.1 transcript:Potri.004G011801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011801.v4.1 MAELSPASKGIFSSLSSHLSKEGWKALEEPEKTRCHDVTYLLSRHWSSSHSISFDVTFKGFWDNSRLHFFFFFFFYKHFGSIPTMIFLFLVSLKGFTPRCTMGFFSSVLNLLLI >Potri.011G092500.1.v4.1 pep chromosome:Pop_tri_v4:11:11920030:11924960:1 gene:Potri.011G092500.v4.1 transcript:Potri.011G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092500.v4.1 MAVTDAQNPLLGETTCGTLLQKLQEIWDEVGESDEERDKMLIQIEQECLDVYKKKVEQIAKSRAQLLEALSDARIELARLLSALGEKCFAGLPEKASGTIKEQLAAIAPILEQLWEQKAERVKEFSDVQTQIQKICGEIAGNLNINGNPTVDDADLSLKKLDEYHAQLQELQKEKSDRLHKVLEFVSSVHDLCAVLGMDFFSTVTDVHPSLNDSTGMQSISNDTLARLAKTVLALKEEKKQRLHKLQELANQLMDLWNLMDTPMEERKLFDHVTRNMLASVDEVTVPKALALDLIEQAEVEVERLDLLKASRMKEIAFKKQAELEEIYARAHVEIAPDVAREKIMALIDSGNVEPAELLADMDNQISRAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEEDRGIQFAYDGVPLLAMLDEYAMLRQEREEEKQRMRDQKKFHEQQNTEQESSFGSRPSPARQVSTKKVVGSRANGGANGTPSRRLSLNAHQNGSRSTTKDGRRDNRLSGPVGPVNYVSISKDDAASHVSGTDTATASP >Potri.004G167900.2.v4.1 pep chromosome:Pop_tri_v4:4:18597223:18598109:-1 gene:Potri.004G167900.v4.1 transcript:Potri.004G167900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167900.v4.1 MAGLMKALVAILVLCLATRGLCDCSLNNINIGTVRSGREVSGKPEWNVTVTNNCRCAQSQIKLSCMGFQTVESVDPSIFVKNGDTCLLINGNSLEASASVHFSYAWDPPFLLLPVGSVIHGC >Potri.006G206500.1.v4.1 pep chromosome:Pop_tri_v4:6:21458119:21459589:1 gene:Potri.006G206500.v4.1 transcript:Potri.006G206500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G206500.v4.1 MKGSSLLAPVSKRLEGKVALITGGASGIGESSTRLFVRHGAKVVIADIQDDLGHSVCEEIGSDESLSYVHCDVTRESDVEKAVNTAVSKYGKLDIFFSNAGILGKGDPQASAIDYDNFKRVFDTNVYGAFLGAKHASRVMIPEKKGSVIYTSSVVSVIVGNVPHAYTASKHAIVGLTKNLCAELGQFGIRVNCISPAAVPTPLMRNAFGGISRNAALEIASATAHLKGVMLEEEDVAEAALYLASDDSKYVSGLNLVVDGGISATNTNLAGNLKDLV >Potri.002G137600.5.v4.1 pep chromosome:Pop_tri_v4:2:10316246:10321381:1 gene:Potri.002G137600.v4.1 transcript:Potri.002G137600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137600.v4.1 MGCTASKLDNEDTVRRCKERRRLMKEAVYARHHLAAAHADYCHSLRVTGSALCAFAAGESLSVSEQTPAVFLHPATTSTPPPPTNLIPPRVPPSPSPSLHSPPPPPPPFSPSPTIASAKLPHILSSSSIKSKSNRHRRSKPPKLPHILSETSPSVSPKSNFEYPTAFQNRSTYSTTPSQASSVWNWENFYPPSPPDSEFFARKANQNHYNQHQHHLDTDDGSSSDEDEGVATETETERLSEYDFFKKKQYPQQQQIYSETEQEEVQCSEWGDHDNYSKTTTSSDEEDNDTDFKSEMETRSNFGSKQQPQPQSQQADNGFGKSDNKSEAGSSTTSYRTRETSTMKMVRHKDLKEIVDAIKENFDKAAAAGDQVSEMLELDRNFRQLKKTVYHSSSVLSNLSSSWTSKPPLAVKYSLDTGSLNQTGGPTSLCSTMERLLAWEKKLYEEVKAREGAKIEHEKKLSTLQSQEYKGDGAKLDKTHAAIARLQSLIIVTSQAVSTTSTAIIGLRDSDLVPQLVELCRGFMCMWRSMHQYHEVQHHIVQQVRGLMNQSAKGDSTSELHKQATRDLESAVSAWHLSFCHQIKFQRDFIQSIHGWFKLTLIPVSSDNMNANMEPSDVYAFFDEWKLAIDRVPDTVASEAIKSFINVVHVISMKQAEELKIKKRTDTASKELEKKASSLRSIERKFYNSYSMVGIGLPDTGGSDNGQVLDARDPLAEKKSELVSCQRRVEDEMLRHAKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFMEALELVCSRSHTIK >Potri.002G137600.4.v4.1 pep chromosome:Pop_tri_v4:2:10316151:10321382:1 gene:Potri.002G137600.v4.1 transcript:Potri.002G137600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137600.v4.1 MGCTASKLDNEDTVRRCKERRRLMKEAVYARHHLAAAHADYCHSLRVTGSALCAFAAGESLSVSEQTPAVFLHPATTSTPPPPTNLIPPRVPPSPSPSLHSPPPPPPPFSPSPTIASAKLPHILSSSSIKSKSNRHRRSKPPKLPHILSETSPSVSPKSNFEYPTAFQNRSTYSTTPSQASSVWNWENFYPPSPPDSEFFARKANQNHYNQHQHHLDTDDGSSSDEDEGVATETETERLSEYDFFKKKQYPQQQQIYSETEQEEVQCSEWGDHDNYSKTTTSSDEEDNDTDFKSEMETRSNFGSKQQPQPQSQQADNGFGKSDNKSEAGSSTTSYRTRETSTMKMVRHKDLKEIVDAIKENFDKAAAAGDQVSEMLELDRNFRQLKKTVYHSSSVLSNLSSSWTSKPPLAVKYSLDTGSLNQTGGPTSLCSTMERLLAWEKKLYEEVKAREGAKIEHEKKLSTLQSQEYKGDGAKLDKTHAAIARLQSLIIVTSQAVSTTSTAIIGLRDSDLVPQLVELCRGFMCMWRSMHQYHEVQHHIVQQVRGLMNQSAKGDSTSELHKQATRDLESAVSAWHLSFCHQIKFQRDFIQSIHGWFKLTLIPVSSDNMNANMEPSDVYAFFDEWKLAIDRVPDTVASEAIKSFINVVHVISMKQAEELKIKKRTDTASKELEKKASSLRSIERKFYNSYSMVGIGLPDTGGSDNGQVLDARDPLAEKKSELVSCQRRVEDEMLRHAKAVEVTRAMTLNNLQTGLPGVFQALTSFSSLFMEALELVCSRSHTIK >Potri.012G045875.1.v4.1 pep chromosome:Pop_tri_v4:12:4181753:4184474:1 gene:Potri.012G045875.v4.1 transcript:Potri.012G045875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045875.v4.1 MADQKPQIFELNNGTMQVKITNLGCTITSLSLPDKNGNLADVVLGFDSVEPYLNRVAPYFGAIVGRVANRIKDGKFTLNGADYTLPVNRPPNSLHGGNKGFDIVVWEVAEYKKGEKPSITFKYHSRDGEEGYPGDLSVTATYTLTSSMTMRLDMEAVAENKATPVSLAQHTYWNLAGHNSGNILDQSVQIWGSHVTPVDQNIVPTGEIMPVKGTPFDFTTEKKVGASIHEVGLGYDHNYVLDCGEGKEGLKHAAKVKDPSSSRVLDLWTNTPGMQFYTANYVNGIVGKGGAVYGKHSGLCLETQGFPNAINQPNFPSVVIQPGEKYKHTMLFEFSIE >Potri.006G247000.2.v4.1 pep chromosome:Pop_tri_v4:6:24700851:24704962:-1 gene:Potri.006G247000.v4.1 transcript:Potri.006G247000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247000.v4.1 MDVPSTELHSESQTDTFPLLMERPENLNSSAHIIDIPRNIEVPGSPSHDRISSGLEASSHEDRPSSGVRAPSTQPSTSLSNGTNSRSSSLIRRGEARRRRSPLNSGLWISVELVLTLSQIVASIVVLSVSRHEHPHAPLFAWIVGYASGCVATLPLLYWRYRYRNQSLEQDSAQNHQGSAHINVPAGPFSLSVSRNSESDDRRSATTSPRGSQNAVLNARLKVLVEYFKMALDCFFAVWFVVGNVWIFGGHSSAEEAPNLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGYREDLTQTRGATTESIDALPTYKFKLIKNRNGEDSSAGASDGGVVAAGTEKERVISGEDAVCCICLAKFANNDELRELPCSHFFHKECVDKWLKINASCPLCKSEVGESLLGSLSGLSSSQRRVENGAGAVF >Potri.006G247000.1.v4.1 pep chromosome:Pop_tri_v4:6:24700748:24704985:-1 gene:Potri.006G247000.v4.1 transcript:Potri.006G247000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247000.v4.1 MDVPSTELHSESQTDTFPLLMERPENLNSSAHIIDIPRNIEVPGSPSHDRISSGLEASSHEDRPSSGVRAPSTQPSTSLSNGTNSRSSSLIRRGEARRRRSPLNSGLWISVELVLTLSQIVASIVVLSVSRHEHPHAPLFAWIVGYASGCVATLPLLYWRYRYRNQSLEQDSAQNHQGSAHINVPAGPFSLSVSRNSESDDRRSATTSPRGSQNAVLNARLKVLVEYFKMALDCFFAVWFVVGNVWIFGGHSSAEEAPNLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISVLGYREDLTQTRGATTESIDALPTYKFKLIKNRNGEDSSAGASDGGVVAAGTEKERVISGEDAVCCICLAKFANNDELRELPCSHFFHKECVDKWLKINASCPLCKSEVGESLLGSLSGLSSSQRRVENGAGAVF >Potri.001G216800.1.v4.1 pep chromosome:Pop_tri_v4:1:22509372:22509479:1 gene:Potri.001G216800.v4.1 transcript:Potri.001G216800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216800.v4.1 MGCERMLIAVSLDPERKRSGGLRTKQAGRGSCRGS >Potri.013G011900.2.v4.1 pep chromosome:Pop_tri_v4:13:765318:771895:-1 gene:Potri.013G011900.v4.1 transcript:Potri.013G011900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011900.v4.1 MSTFAKPENALKRAEELINVGQKQDALQALHDLITSKRYRAWQKPLERIMFKYVELCVDLRRGRFAKDGLIQYRIVCQQVNVTSLEEVIKHFMHLSTEKAEQARSQSQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLSNLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELELWQEAFRSIEDIHGLMCMVKKTPKASLMVVYYAKLTEIFWISSSHLYHAYAWLKLFTLQKSFNKNLSQKDLQMIASSVVLAALAVAPYDHTYGASHLELENEKERNLRMANLIGFNLDLKPESREVLSRSSLLSELVSKGVMSCVTQEVKDLYHLLEHEFLPLDLTAKVQPLLSKISKLGGKLGSASSLPEVHLSQYVPALEKLVTLRLLQQVSQVYQIMKIESLSQMIPFFDFFAVEKISVDAVKHNFIAMKVDHMKHVVLFGTPGLESDDLRDHLTVFAESLNKARAMIYPPTKKSSKLGEILPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKQLKITEEAEQKRLATEYEQRNKQRILREIEERELEEAQALLEEQEKRSKRKGGKKPILEGEKVTKQILMERALSEQLRERQEMEKKLQKLVKTMDYLERAKREEAAPLIEAAFQQRLVEEKALHEHEQQLETELSRQRHDGDLKEKYRLSRMLENKIIFEERVKSRREAEFNQRRADREERINQIIQARKQEREALRKKIFFVRSEEERLKKLREEEEARKHEEAERRRKEEAERKAKLDEIAEKQRQRERELEEKERVRRETLLGRATDGLHRPSELPAGPEPGAAAAAAAAAPAPAKYVPKFRRGGTEGSAQAPPETDKWGGGSSRPAPPDSDKWGGGSSGGTGQATSDTDRWGGGGRWGSGGSRPDDRNPPGDRWGGGSKSTWSSSRPRGR >Potri.013G011900.3.v4.1 pep chromosome:Pop_tri_v4:13:765231:770850:-1 gene:Potri.013G011900.v4.1 transcript:Potri.013G011900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011900.v4.1 MFKYVELCVDLRRGRFAKDGLIQYRIVCQQVNVTSLEEVIKHFMHLSTEKAEQARSQSQALEEALDVDDLEADKRPEDLMLSYVSGEKGKDRSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLSNLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELELWQEAFRSIEDIHGLMCMVKKTPKASLMVVYYAKLTEIFWISSSHLYHAYAWLKLFTLQKSFNKNLSQKDLQMIASSVVLAALAVAPYDHTYGASHLELENEKERNLRMANLIGFNLDLKPESREVLSRSSLLSELVSKGVMSCVTQEVKDLYHLLEHEFLPLDLTAKVQPLLSKISKLGGKLGSASSLPEVHLSQYVPALEKLVTLRLLQQVSQVYQIMKIESLSQMIPFFDFFAVEKISVDAVKHNFIAMKVDHMKHVVLFGTPGLESDDLRDHLTVFAESLNKARAMIYPPTKKSSKLGEILPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKQLKITEEAEQKRLATEYEQRNKQRILREIEERELEEAQALLEEQEKRSKRKGGKKPILEGEKVTKQILMERALSEQLRERQEMEKKLQKLVKTMDYLERAKREEAAPLIEAAFQQRLVEEKALHEHEQQLETELSRQRHDGDLKEKYRLSRMLENKIIFEERVKSRREAEFNQRRADREERINQIIQARKQEREALRKKIFFVRSEEERLKKLREEEEARKHEEAERRRKEEAERKAKLDEIAEKQRQRERELEEKERVRRETLLGRATDGLHRPSELPAGPEPGAAAAAAAAAPAPAKYVPKFRRGGTEGSAQAPPETDKWGGGSSRPAPPDSDKWGGGSSGGTGQATSDTDRWGGGGRWGSGGSRPDDRNPPGDRWGGGSKSTWSSSRPRGR >Potri.013G011900.4.v4.1 pep chromosome:Pop_tri_v4:13:765121:769655:-1 gene:Potri.013G011900.v4.1 transcript:Potri.013G011900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011900.v4.1 MTAHRAFQFCKQYKRTTEFRRLCEIIRNHLSNLNKYRDQRDRPDLSAPESLQLYLDTRFEQLKVATELELWQEAFRSIEDIHGLMCMVKKTPKASLMVVYYAKLTEIFWISSSHLYHAYAWLKLFTLQKSFNKNLSQKDLQMIASSVVLAALAVAPYDHTYGASHLELENEKERNLRMANLIGFNLDLKPESREVLSRSSLLSELVSKGVMSCVTQEVKDLYHLLEHEFLPLDLTAKVQPLLSKISKLGGKLGSASSLPEVHLSQYVPALEKLVTLRLLQQVSQVYQIMKIESLSQMIPFFDFFAVEKISVDAVKHNFIAMKVDHMKHVVLFGTPGLESDDLRDHLTVFAESLNKARAMIYPPTKKSSKLGEILPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKQLKITEEAEQKRLATEYEQRNKQRILREIEERELEEAQALLEEQEKRSKRKGGKKPILEGEKVTKQILMERALSEQLRERQEMEKKLQKLVKTMDYLERAKREEAAPLIEAAFQQRLVEEKALHEHEQQLETELSRQRHDGDLKEKYRLSRMLENKIIFEERVKSRREAEFNQRRADREERINQIIQARKQEREALRKKIFFVRSEEERLKKLREEEEARKHEEAERRRKEEAERKAKLDEIAEKQRQRERELEEKERVRRETLLGRATDGLHRPSELPAGPEPGAAAAAAAAAPAPAKYVPKFRRGGTEGSAQAPPETDKWGGGSSRPAPPDSDKWGGGSSGGTGQATSDTDRWGGGGRWGSGGSRPDDRNPPGDRWGGGSKSTWSSSRPRGR >Potri.006G048700.1.v4.1 pep chromosome:Pop_tri_v4:6:3358994:3361981:1 gene:Potri.006G048700.v4.1 transcript:Potri.006G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048700.v4.1 MTEERKKMGRGKIEIKRIENLNSRQVTFSKRRNGLLKKARELSVLCDAEVAVIVFSSTGKLYEFSSTSMEHTLSRYGSGLDLDYNDHPSDDHGAEHSNSAEVNAVKDELSKLRLTCLQMMGQQLDGLSFKELQHLEHQLSAGILSVKDKKEQMLMDQLKKSKMQEQKATLENESLRKQIEELKRGSRPKSAFLELSPLDRRFAVASSKPDSNRKPQEEEDLSDTSLHLGLSSDVCHKRKADKIESVSNDSGSQVASG >Potri.012G102800.2.v4.1 pep chromosome:Pop_tri_v4:12:12528621:12536738:1 gene:Potri.012G102800.v4.1 transcript:Potri.012G102800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102800.v4.1 MGGNVKREILEPLPNRRNAAVSGVPPAVIELSSSSESSSGSGSESESEMDGNSVISKRPRGSSGVNGGTEKKKRRKRKRNFEDLGVVLPLGFLAPITPPPDSETPSEAEMMAVESTESRRVSLTGQSSKQFWKAGDYEGAPRANWDSSFGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEFGNGARFVNIDMVESKKDQSRMLLIEDNGGGMDPDKLRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCQGKDGKFPTQSIGLLSYTFLRSTGKEDIVVPMLDYERKGREWSRMGRSSTGDWNRNVETIVHWSPFSSEADLLRQFKLMSDHGTRIIIYNLWEDDQGMLELDFDSDPHDIQLRGVNRDEKHIQMAKEFPNSRHFLTYRHSLRNYTSILYLRLPPSFRIILRGKDVEHHNIVNDMMLSQEITYRPQPGADSVPKDTNMTAVVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGVLEANFIEPAHDKQGFERTTVLARLEARLVQMQKHYWCTYCHKIGYAPRRNKKLINESDRENSPDDLPPTSSQSKKKYTSLSSKISPSHSNRGYVSGNAFNKGNIRTKTPTKLGKNTVSSGPSPPAQDESSEDDEHVALPMREANGSAQETTPTNKSFDKNGLPKTWSSSYLEDSGSQQDCMSGGATVQTGTRSQPKVGDVDKRDHALPESDMHVLAHLKQENRELKERLQKLEGETRGKYMSGFQCEKCKSLEIQLQEAQQKLEELNKEQESLIDIFSEERDRRDQEEESLRKKLKDASNTIQELLDKVRLLEKR >Potri.012G102800.3.v4.1 pep chromosome:Pop_tri_v4:12:12528566:12536722:1 gene:Potri.012G102800.v4.1 transcript:Potri.012G102800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102800.v4.1 MGGNVKREILEPLPNRRNAAVSGVPPAVIELSSSSESSSGSGSESESEMDGNSVISKRPRGSSGVNGGTEKKKRRKRKRNFEDLGVVLPLGFLAPITPPPDSETPSEAEMMAVESTESRRVSLTGQSSKQFWKAGDYEGAPRANWDSSFGGMDHVRVHPKFLHSNATSHKWALGAFAELLDNALDEFGNGARFVNIDMVESKKDQSRMLLIEDNGGGMDPDKLRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCQGKDGKFPTQSIGLLSYTFLRSTGKEDIVVPMLDYERKGREWSRMGRSSTGDWNRNVETIVHWSPFSSEADLLRQFKLMSDHGTRIIIYNLWEDDQGMLELDFDSDPHDIQLRGVNRDEKHIQMAKEFPNSRHFLTYRHSLRNYTSILYLRLPPSFRIILRGKDVEHHNIVNDMMLSQEITYRPQPGADSVPKDTNMTAVVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGVLEANFIEPAHDKQGFERTTVLARLEARLVQMQKHYWCTYCHKIGYAPRRNKKLINESDRGIFWDVLMFPSIWCFASGIAFFYCILVLKAFNYPLTDLTQSCLSK >Potri.001G401400.1.v4.1 pep chromosome:Pop_tri_v4:1:42749279:42750286:-1 gene:Potri.001G401400.v4.1 transcript:Potri.001G401400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401400.v4.1 MDIIKSQRQKEEQAAGLKQHFFTAQNRQEEATLIMAQDRSPTEEVMKLAAIALSLNVRLRSSDMPVDMQERALRYARSFLDDPSISSAPKHRPNPTLLARALKKEFDSVYGVAWHCVAGNSFGSFVTHSPGGFMYFSIDSLFIVLFKTEVKMVTELEPSSQIS >Potri.012G035900.3.v4.1 pep chromosome:Pop_tri_v4:12:3234387:3240685:1 gene:Potri.012G035900.v4.1 transcript:Potri.012G035900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035900.v4.1 MAVSYLNLTPTIISSFSSSRCCYCYLYRNPEVSFNRKSDCPKRSLLCSGFVKKAASSSSFGIGVSVSRVSRKSDFESNNSKWILNATTDSRILDNAAATATVEIPVTCYQVVGVPDKAEKDEIVKSVMQLKNAQVEEGYTMDAVMSRQDLLMDARDKLLFEPEYAGNVREKIPPKSTLRIPWAWLSGALCLLQEVGEEKLVLDIGRAALQHPDAKPYSHDVLLSMALAECAIAKIGFERNKVSLGFEALARAQCLLRCKISLGKMTLLSQIEESLEELAPACTLELLGMLHSPENAERRRGAIAALRELLRQGLDVETSCRVQDWPCFLSQALNRLMATEIVDLLPWDDLALVRKNKKSLESQNQRVVIDYNCFYMAILAHIALGFSSKQTELVNKAKTICECLMASESIDLKFEEALCLFLLGQGNQDQAVEKLQQIESNSNPATRSLVPGKEIKDVSGAKPSLETWLKDSVLAIFSDTRGCTPSLVSFFGGERRAIASKKSRIAAQVTAPVFHRPLSDIAMKQMDAGETIPYMNSSQHFRSAVKQLAPTDLQSSLILTKNASGSNVNEPSVQLKRDLGVYNRGTWESWLERGDLVGKISFVGVLGCVVFITFKLSGMNVGRMRIASRLTSDRTSMGTSTLAWTTDSSLDRNVHPVYISQSGIFGRLRNLLSMIKVQFGNRSYTKRLQGSRLAASISSSIATISRKQMPVEEAEALVKHWQAIKAEALGPGHQVHSLSEVLDESMLAQWQALADAAKAQSSYWRFVLLQLSILQAHIFSDGYGVEIAEIEALLEEAAELVDESLQKNPNYYSTYKILYVLKRQDDGSWRFCQGDIQTT >Potri.002G133900.2.v4.1 pep chromosome:Pop_tri_v4:2:10098827:10100844:1 gene:Potri.002G133900.v4.1 transcript:Potri.002G133900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133900.v4.1 MRSFSLQILSSRWFTIFASLLIMSVNGTSYMFGLYSGDIKTSLGYDQTTLNTLSFFKDLGGNLGVSAGLVYEIMPPWVVLSIGAVMNFSAYFLIWVTVTGRINKPRLWQVCLYMCLATNAASYPNTGALVTCVKNFPESRGSVIGLLKGLIGLSGAIMTQLYHAFYGNDSKSLILLIAWIPAIVPLLFLRTIRIMKVVQQEKELKVFYKFLYTALGLAGFIMLIIIIQNKLKFTRAEYISSATFVLAFLFLPLAIVIKEEFTLWQSKKQNLNDHSQLNVVAENPSAVVTPPLGGRLEPFRCIVSIFNQPDRGEDYTILQAISSIDMLIILIATTCGVGGALAAIDNLGQIADSLGYKTHNIGTFISLVSVWNFLGRVLASFASEVALTKYKFPRPLMLTFVILFSCIGHVLIAFGVEHSLYISSIIIGFCLGAQLPLVSAIISEIFGLKHFSTLYSVGSVSSPIGSYIFNVKVAGNLYDKEALKQMEALGLKREAGKELNCSGVHCFRKAFVIITAATFLGFLVSIILVYRTRRFYKGDIYKKFTEEAVATEAKGVVPS >Potri.005G163200.1.v4.1 pep chromosome:Pop_tri_v4:5:16092577:16093598:-1 gene:Potri.005G163200.v4.1 transcript:Potri.005G163200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163200.v4.1 MEGLESFDKAVWTKEMLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGYKKDWRLWNKLVSETGVGWNSELGTISASDEWWKQKIQEIRGAKKFRHVGVPADSDVDPGTSNADIAHDGLEEGNGDSKEDVIPDFQIDMARMVGGINMSSSSNIKSGGKRKERDHYDVRGRKKKTSGIQLLSRCNQLLESILIMSDSMFVNLDREGCSIREVMAELHSISGVSIEDEFHDFATEYLSLRRKREMWASMGDKEQKLR >Potri.017G032000.1.v4.1 pep chromosome:Pop_tri_v4:17:2182258:2190926:-1 gene:Potri.017G032000.v4.1 transcript:Potri.017G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032000.v4.1 MDSEDDMMDANDVESVDDDFYSGDMEDDYYSDGVYYDNDDDDVNDDDDGPDYDFMAEAVDDPDDLSFRSQQSYTVLKEEDIRQRQEDDITRVSTVLSISRVAASILLRHYNWSISKVHDAWFSDEDAVRISVGLLDKQVVQFSNARELTCGICFESFPRNKIVSASCGHPFCNTCWSGYISTTINDGPGCLMLRCPDPCCRAAVGQDMINLLAPDEDKEKYSRYLLRSYVEGNRKTKWCPAPGCEYAVDFAAGSGSFDVSCLCSHSFCWNCVEEAHRPVDCGTVEKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHMTCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYEAAKQEGAYDESERRREMAKNSLERYTHYYERWASNQLSRQKALADLHQMQTVHLEKLSDVHCTPESQLKFITEAWLQIVECRRVLKWTYAYGFYLPEHEHAKRQFFEYLQGEAESGLERLHQCAEKELQQFLAADGPSKEFDEFRTKLAGLTSVTKNYFENLVRALENGLADVTQAACSKTSSSKNASVSKGKGGKGKGGKMGGSSKNGDD >Potri.015G024300.3.v4.1 pep chromosome:Pop_tri_v4:15:1812583:1821040:1 gene:Potri.015G024300.v4.1 transcript:Potri.015G024300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024300.v4.1 MEKDNSQAFSDEYADNTSFKGGICGPIAASMNESMFLLVISRWKNAFEGLKVTHDYKFLSAAGALMRIMIRMLDLVLKLLPGDSKEPLTARILLYKLEPLTARILLYKLFYDQTDQGMTQFLLSLIKSFDTHKQTKSDLSDLVEMIHVLVRLMENLQTRGTLRVSKKSRRSRKKKPLSDKKENGNEQCNVEATIEDPTALSNSEQSTVLQKKSPEIATSGDQANMNVDVLEKPEIFVPEMENLGSTLQMENKKIDIDDLSCSSDDSSGDEQPAENYEVDFKVSTFISSLANHSIIQNLCWLLRFYKNNSVSTNHYIVCMLQRITDDLDLSPMLYQLSLLTIFYEILEEQKSCPCKEYANIVDFLTSLVRRMLRKMKNQPLLFVEVLFWKSRKECHYINAEYMLHELGHLKKESAGWGNASANKDIGSSQGKRWAPRSIADALGEDEADVVIPHELGYQNGGDAAEHESVSVPRRKRRFVLTDEMEMKIKDLYEKFKDDENCSHLIAESLDPDGQVSRAQVINKLKQLGLKVASKKRKRSVGRPFSTNPDQLGENGEIIEKESNLHNSIDLEGSLPRLSTRKRVRAFNKDQEEMIKALFEQFKDHRRCSYMIANAMGSDNSFTAAQVSRKLKQLGLRAPRQKQSETDMHLRDEELNSFSVGGQDSDDETLLSLKNRSKNKDDGGLFGDELPSQNIEGESSDSDDELLCSILKPKNNAGDRLVAGKQNNEGEISDNSDKEILSSKMNKTKKLLSKAKGKELRTSSSESEMPDEDAGNEALNDLERRDRGSESTGQDVVGVDEDTVLDHGSKEGASEAEATNSRSGKSTGVSPVNYVDDIPDQQMDDALEDLEDAAASDTVVTSARLKRKLRMVVDLEDEDDD >Potri.015G024300.2.v4.1 pep chromosome:Pop_tri_v4:15:1809768:1821080:1 gene:Potri.015G024300.v4.1 transcript:Potri.015G024300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024300.v4.1 MEMQGLAGICVGLGTPEEDDNGNRIGYTKGANCLDNLKDLLRFLRRDDPQTRGVFKQVCKWNTVGKDLMPIIQYCQDDRNLVLNAVKVLVFLTMPIEPSSSDIWLQVEYLWGLKAAITLSGTIPVVVSLLEGPLENLDREAFTEDDWKLVQLVLTLFRNVLAVHDFSMLQKVGESASQFLSLRDRFLELLFHENVMDIILVITQNIKGSCSYFLHDNLLLLEIFHYIFMGQEPGLIVNAGLKDFKVCGSSTSLDILKSIMKEEEQKKMKLSRQRNVVRHSQFSGTFTWLTMDGSKAVCLGNPSSASQILLKPNKAQKGPSKKILWDHGRLPSMKDNILELLHDFLNQFLSGGYNDLMESIREDIEKEHHAIQNSDIVVFFQVAQFVTSFQYHKYITSKPNMEKDNSQAFSDEYADNTSFKGGICGPIAASMNESMFLLVISRWKNAFEGLKVTHDYKFLSAAGALMRIMIRMLDLVLKLLPGDSKEPLTARILLYKLEPLTARILLYKLFYDQTDQGMTQFLLSLIKSFDTHKQTKSDLSDLVEMIHVLVRLMENLQTRGTLRVSKKSRRSRKKKPLSDKKENGNEQCNVEATIEDPTALSNSEQSTVLQKKSPEIATSGDQANMNVDVLEKPEIFVPEMENLGSTLQMENKKIDIDDLSCSSDDSSGDEQPAENYEVDFKVSTFISSLANHSIIQNLCWLLRFYKNNSVSTNHYIVCMLQRITDDLDLSPMLYQLSLLTIFYEILEEQKSCPCKEYANIVDFLTSLVRRMLRKMKNQPLLFVEVLFWKSRKECHYINAEYMLHELGHLKKESAGWGNASANKDIGSSQGKRWAPRSIADALGEDEADVVIPHELGYQNGGDAAEHESVSVPRRKRRFVLTDEMEMKIKDLYEKFKDDENCSHLIAESLDPDGQVSRAQVINKLKQLGLKVASKKRKRSVGRPFSTNPDQLGENGEIIEKESNLHNSIDLEGSLPRLSTRKRVRAFNKDQEEMIKALFEQFKDHRRCSYMIANAMGSDNSFTAAQVSRKLKQLGLRAPRQKQSETDMHLRDEELNSFSVGGQDSDDETLLSLKNRSKNKDDGGLFGDELPSQNIEGESSDSDDELLCSILKPKNNAGDRLVAGKQNNEGEISDNSDKEILSSKMNKTKKLLSKAKGKELRTSSSESEMPDEDAGNEALNDLERRDRGSESTGQDVVGVDEDTVLDHGSKEGASEAEATNSRSGKSTGVSPVNYVDDIPDQQMDDALEDLEDAAASDTVVTSARLKRKLRMVVDLEDEDDD >Potri.004G207100.7.v4.1 pep chromosome:Pop_tri_v4:4:21467309:21472942:-1 gene:Potri.004G207100.v4.1 transcript:Potri.004G207100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207100.v4.1 MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFHLESEPAIEGLIVAMSLVGATLITMCSGPISDLLGRRPLLIISSVLYFVSGLIMLWSPNVYVLLLARLLDGFGIGLSVTLIPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRLMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVAGELALLVEGLGVGADTSIEEYIIGPANDFTDEHDISADKDQIKLYGSEQGLSWVARPVSGQSAIGLVSRRGSMANQNVPLMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFNVGENQPRNEDWDVESHAREGEDYASDGDAGDSDDNLQSPLISRQTTSMDKDMAPPGNGSMANTRHGSLIPGNDGEPGGSTGIGGGWQLAWKWSEREDQDGKEGGFKRIYLHQGGAPGSRRGSLVSLNGTDGHQDADYVQAAALVSQSALYPKELLNQHPVGPAMVHPSETVARGPSWRDLFEPGVKHALAVGVGLQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVSLILLVLGSMVDLGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSVGLAGVFGLYAVVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKES >Potri.004G207100.1.v4.1 pep chromosome:Pop_tri_v4:4:21467409:21473296:-1 gene:Potri.004G207100.v4.1 transcript:Potri.004G207100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207100.v4.1 MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFHLESEPAIEGLIVAMSLVGATLITMCSGPISDLLGRRPLLIISSVLYFVSGLIMLWSPNVYVLLLARLLDGFGIGLSVTLIPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRLMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVAGELALLVEGLGVGADTSIEEYIIGPANDFTDEHDISADKDQIKLYGSEQGLSWVARPVSGQSAIGLVSRRGSMANQNVPLMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFNVGENQPRNEDWDVESHAREGEDYASDGDAGDSDDNLQSPLISRQTTSMDKDMAPPGNGSMANTRHGSLIPGNDGEPGGSTGIGGGWQLAWKWSEREDQDGKEGGFKRIYLHQGGAPGSRRGSLVSLNGTDGHQDADYVQAAALVSQSALYPKELLNQHPVGPAMVHPSETVARGPSWRDLFEPGVKHALAVGVGLQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVSLILLVLGSMVDLGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSVGLAGVFGLYAVVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKES >Potri.004G207100.11.v4.1 pep chromosome:Pop_tri_v4:4:21467315:21472550:-1 gene:Potri.004G207100.v4.1 transcript:Potri.004G207100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207100.v4.1 MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFHLESEPAIEGLIVAMSLVGATLITMCSGPISDLLGRRPLLIISSVLYFVSGLIMLWSPNVYVLLLARLLDGFGIGLSVTLIPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRLMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVAGELALLVEGLGVGADTSIEEYIIGPANDFTDEHDISADKDQIKLYGSEQGLSWVARPVSGQSAIGLVSRRGSMANQNVPLMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFNVGENQPRNEDWDVESHAREGEDYASDGDAGDSDDNLQSPLISRQTTSMDKDMAPPGNGSMANTRHGSLIPGNDGEPGGSTGIGGGWQLAWKWSEREDQDGKEGGFKRIYLHQGGAPGSRRGSLVSLNGTDGHQDADYVQAAALVSQSALYPKELLNQHPVGPAMVHPSETVARGPSWRDLFEPGVKHALAVGVGLQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVSLILLVLGSMVDLGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSVGLAGVFGLYAVVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKES >Potri.004G207100.10.v4.1 pep chromosome:Pop_tri_v4:4:21467309:21472550:-1 gene:Potri.004G207100.v4.1 transcript:Potri.004G207100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207100.v4.1 MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFHLESEPAIEGLIVAMSLVGATLITMCSGPISDLLGRRPLLIISSVLYFVSGLIMLWSPNVYVLLLARLLDGFGIGLSVTLIPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRLMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVAGELALLVEGLGVGADTSIEEYIIGPANDFTDEHDISADKDQIKLYGSEQGLSWVARPVSGQSAIGLVSRRGSMANQNVPLMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFNVGENQPRNEDWDVESHAREGEDYASDGDAGDSDDNLQSPLISRQTTSMDKDMAPPGNGSMANTRHGSLIPGNDGEPGGSTGIGGGWQLAWKWSEREDQDGKEGGFKRIYLHQGGAPGSRRGSLVSLNGTDGHQDADYVQAAALVSQSALYPKELLNQHPVGPAMVHPSETVARGPSWRDLFEPGVKHALAVGVGLQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVSLILLVLGSMVDLGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSVGLAGVFGLYAVVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKES >Potri.004G207100.9.v4.1 pep chromosome:Pop_tri_v4:4:21467409:21472942:-1 gene:Potri.004G207100.v4.1 transcript:Potri.004G207100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207100.v4.1 MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFHLESEPAIEGLIVAMSLVGATLITMCSGPISDLLGRRPLLIISSVLYFVSGLIMLWSPNVYVLLLARLLDGFGIGLSVTLIPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRLMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVAGELALLVEGLGVGADTSIEEYIIGPANDFTDEHDISADKDQIKLYGSEQGLSWVARPVSGQSAIGLVSRRGSMANQNVPLMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFNVGENQPRNEDWDVESHAREGEDYASDGDAGDSDDNLQSPLISRQTTSMDKDMAPPGNGSMANTRHGSLIPGNDGEPGGSTGIGGGWQLAWKWSEREDQDGKEGGFKRIYLHQGGAPGSRRGSLVSLNGTDGHQDADYVQAAALVSQSALYPKELLNQHPVGPAMVHPSETVARGPSWRDLFEPGVKHALAVGVGLQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVSLILLVLGSMVDLGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSVGLAGVFGLYAVVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKES >Potri.004G207100.8.v4.1 pep chromosome:Pop_tri_v4:4:21467310:21472943:-1 gene:Potri.004G207100.v4.1 transcript:Potri.004G207100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207100.v4.1 MSGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKKEFHLESEPAIEGLIVAMSLVGATLITMCSGPISDLLGRRPLLIISSVLYFVSGLIMLWSPNVYVLLLARLLDGFGIGLSVTLIPVYISETAPSEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRLMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVAGELALLVEGLGVGADTSIEEYIIGPANDFTDEHDISADKDQIKLYGSEQGLSWVARPVSGQSAIGLVSRRGSMANQNVPLMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFNVGENQPRNEDWDVESHAREGEDYASDGDAGDSDDNLQSPLISRQTTSMDKDMAPPGNGSMANTRHGSLIPGNDGEPGGSTGIGGGWQLAWKWSEREDQDGKEGGFKRIYLHQGGAPGSRRGSLVSLNGTDGHQDADYVQAAALVSQSALYPKELLNQHPVGPAMVHPSETVARGPSWRDLFEPGVKHALAVGVGLQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIVSLILLVLGSMVDLGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSVGLAGVFGLYAVVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKES >Potri.009G013500.2.v4.1 pep chromosome:Pop_tri_v4:9:2352644:2353400:-1 gene:Potri.009G013500.v4.1 transcript:Potri.009G013500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013500.v4.1 MGSITGLVLVLALFLLQISSSSAETPEQSPSPSPSTEESAAPANSPFLSPPLPSPSPETGSPSDSPLASPPAPPPSDPVPSVVPGSAPASAPTEGSEINHSNNVEAGSGGEGSGGDGSEGEGESKGMSGGKKAGIVVGVIVAACMVGFGGLVYKKRQDNIRRSDYGYAARREIL >Potri.013G124600.1.v4.1 pep chromosome:Pop_tri_v4:13:13278914:13281323:1 gene:Potri.013G124600.v4.1 transcript:Potri.013G124600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124600.v4.1 MGSGSKPSATRQGFDERDANAKEEVHADEAVFHDHLPIVSSSNDRIRPLLDAVDRLRQLNVMKEGIQLPTIVVVGDQSSGKSSVLESLACINLPRGDGICTRVPLIVRLKHHPSLVPEIFLQFNDQTVPTDEAHVADAIKHATDEIAGNGKGISNTELTLVVKKNGVPDLTLVDLPGITRVPVHGQPENIYEQIANIIIKYISPDESVILNVLSANVDFSTCESIMMSQKVDKNGERTIAVVTKVDKTPEGLLEKVTRNDVNIGLGYVCVRNRIGNESYEDARKEEAALFATHQLLSKIEKSTVGIQVLAKKLVRIQANIIAKCLPDIVRKINEKLKASISELNRIPRRLLSIAEVMAAFMGIIGSSKESLRKILVRGETDEYLDEKNMHCAARLVEMLNQFSTELHKYFSDHTNNFMMNEIEVLEETKGIELPNFLPQTAFRTIMQRQVEGMSKLPIEFVEKVWTYIEEVVISVLNHHSESYHQIQLSTRRAGHNLVAKMKEQSINWVTEIVQMEKETDYTCNPEYMKEWNKLMAQQHTVIDNVTKFASSKVVIDGSREVVVGDLRRYKHVLPQAFDLKMRLIAYWKIVLIRLVDNMALHLQLSIRNLVNKEMEKEIVNELLGTGGGVAIEKLFVESPSVASKREKLNTSIKLLRESQEVMANIMDEIASAGD >Potri.003G150050.1.v4.1 pep chromosome:Pop_tri_v4:3:16325887:16333184:1 gene:Potri.003G150050.v4.1 transcript:Potri.003G150050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150050.v4.1 MKGTCFLNFIPTFLFLVSMAYSAMEVTNVTADQTALLALKAHLTDPHNILPNNWSTTASVCSWIGVTCGAQRDRVSGLNLSHMSLSGYIPSEIGNLSFLSFLSIRNNNFQGSLPNELARLLHLEYLDFGFNSFTGDIPPSLGSLPKLKSLLLEANFFLGNLPLSLWNISSLQTINISYNQLHGFMPSSIFSRSSLYTIDLSFNHLSGEIPADIFNHLPELRGIYFSRNRLSGSIPRTIGNCTLIEEINFSENNLTGEIKPNWPFLTYLVLISSIEFQ >Potri.012G139300.1.v4.1 pep chromosome:Pop_tri_v4:12:15199617:15205529:-1 gene:Potri.012G139300.v4.1 transcript:Potri.012G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139300.v4.1 MDGRGDMGLFGEHFDPCLVGRIKEDGYESRSGSDNIEGASGEDQDVGDDQRPRKKYNRHTANQIQELESFFKECPHPDEKQRSELSRRLGLESKQIKFWFQNRRTQMKTQLERHENVILRQDNDKLRLENELLKQNMSDPICNNCGGPVVPGPVSDEQQQLRIENARLTDELGRVCALANKFLGRPLTSSANPIPPFSTNSKLDLAVGINGYGNLGHTDNMLPMGLDNNRGIMMPLMKPIGNAVGKEVPHDRSIFVDLALAAMDELIKMAQIESPIWIKSLDGGKEVLNHEEYTRTFPRIGMKPSNFVTEATRVSGVVLVNISALVETLMDVNGWVEMFPSLIARAATTDIISSGMGGTKSGALQMIHAEFQLISPFVPVRQVKFLRLCKQLTEGVWAVVDVSIDANQENLNAQAPVTCKRLPSGCIIQDMNNGCSKVTWVEHSEYDESAVHQLYRPILSSGRGFGAQRWLAALQRYYEGMAMIMSPSILGEDQTVINLGGKKSMLKLARRMVDNFCSGVCASSLHNWGNLVAGNVSEDVRILTRKSINEPGEPDGIVLSAATSVWLPVSRQRLFDFLRDEQSRSHWDILSNGGMLQEIIQIPKGQGQCNRVSLLRSTAVDADAGENNMLILQETRNDVSGSQVVYAPVDIQSMSVVTSGGDSTYVALLPSGFVILPDNSFSNGEPSNSDGNPVKRDSDSNNGGGSFFTVGFQILASNLPSAKLTVESVETIHNLISCTMQRIRTAFN >Potri.008G156200.4.v4.1 pep chromosome:Pop_tri_v4:8:10686824:10693672:-1 gene:Potri.008G156200.v4.1 transcript:Potri.008G156200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156200.v4.1 MKEKLEEDVSLQAAQSNSLQQEIFHLKEEIEGLSTRYWILMEQVDSVGLNPECLGSSVKNLQDENLKLKEVCKKDTEEKEVLHEKLSTMNNIKENNVALERSLSDLNRMLEGSREKVKELQESSQFLQGEKSSLVAEKSILLSQLQMMTENLQKLSEKNALLENSLSGATIELEGLRTRSRSLEEFCQTLKNEKSNLEDERSSLVLQLKNVEERLGNLERRFTRLEEKYTDLEKENDSTHSQVKDMWGFLGVEKQERSCYIQSSESRLADLESQVHQLHEESRSSKKEFEEELDKAVNAQVEIFILQKFIKDLEEKNLSLLIDCQKHVEASKFSDKLISELETENLEQQAEVEFLLDEIEKLRMGVRQVLRALQFDPVNEHEDGSLACILDNIGDLKSLLLLKEDEKQQLVVENLVLLTLLEQLRLDGVELETEKSIIEQEFKIMVEQHTMLEKSNHELLEMNRQLRLEVSKGEQQDEELKAQLETQHLNLASLQGSSVQLKEENLKVLGENRSLLRKVLDLKEEMHVLEEENSSILQEAVTVSNLSSVFESFAAEKVEELESLSEDISFLNLMNSDLKQKVEMLGDKLLSKESENLHLDKRIEELQQELQEEKDLTDQLNCQIVIEKDFLREKATELFLAEQNITATNNLNAEFHTTIEELKRQCEASKVARENIDKRILELSQVCTDQKIEIECLSEAKDDLESEMATLLKEIKERQTREENLSLELQERSNETELWEAEASSFFFDLQISSIHEVLLQNKVRELTVVCGSLEEENGKKDIEIEKMKERFGKLESEIQRMKAHLSAYVPVITSLRENIEYLEHNVLLQTSRGQKGVEMTSQHHEKSPEELINDESVAVTDGISDLLKMKSRINAVGEAVVKEMDRLAAEKAMLKEMDRLKMQEMGNTEEPLMKGAEHLEMRGRSAAEKDVQKDEMELANKPTDAAKPQNNKSEISEVRNEILMKDIPLDQVSECSLYRRSKREHAGKDDRMLELWESAEQDCLDPLADKQKPTAPIENVAACCQFKNAKRKSQDPSLELQIEKEVGIDKLEVSTSITREPNQEGNRGKILERLASDAQKLISLQITVQDLKTKMELRKRGKRANDLEFERVKRQLQEVEEAVLQLVDTNDQLTKDVEESPPYLEGNTSVEMEETGTMHRKRVAEQARKRSEKIGRLQFEVQSIHYILLKLEDEKKSKSKHKFSGSKTGILLRDFIYSGGRRSSRRQKKGCFCGCARPSSNED >Potri.008G156200.5.v4.1 pep chromosome:Pop_tri_v4:8:10687794:10693789:-1 gene:Potri.008G156200.v4.1 transcript:Potri.008G156200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156200.v4.1 MKEKLEEDVSLQAAQSNSLQQEIFHLKEEIEGLSTRYWILMEQVDSVGLNPECLGSSVKNLQDENLKLKEVCKKDTEEKEVLHEKLSTMNNIKENNVALERSLSDLNRMLEGSREKVKELQESSQFLQGEKSSLVAEKSILLSQLQMMTENLQKLSEKNALLENSLSGATIELEGLRTRSRSLEEFCQTLKNEKSNLEDERSSLVLQLKNVEERLGNLERRFTRLEEKYTDLEKENDSTHSQVKDMWGFLGVEKQERSCYIQSSESRLADLESQVHQLHEESRSSKKEFEEELDKAVNAQVEIFILQKFIKDLEEKNLSLLIDCQKHVEASKFSDKLISELETENLEQQAEVEFLLDEIEKLRMGVRQVLRALQFDPVNEHEDGSLACILDNIGDLKSLLLLKEDEKQQLVVENLVLLTLLEQLRLDGVELETEKSIIEQEFKIMVEQHTMLEKSNHELLEMNRQLRLEVSKGEQQDEELKAQLETQHLNLASLQGSSVQLKEENLKVLGENRSLLRKVLDLKEEMHVLEEENSSILQEAVTVSNLSSVFESFAAEKVEELESLSEDISFLNLMNSDLKQKVEMLGDKLLSKESENLHLDKRIEELQQELQEEKDLTDQLNCQIVIEKDFLREKATELFLAEQNITATNNLNAEFHTTIEELKRQCEASKVARENIDKRILELSQVCTDQKIEIECLSEAKDDLESEMATLLKEIKERQTREENLSLELQERSNETELWEAEASSFFFDLQISSIHEVLLQNKVRELTVVCGSLEEENGKKDIEIEKMKERFGKLESEIQRMKAHLSAYVPVITSLRENIEYLEHNVLLQTSRGQKGVEMTSQHHEKSPEELINDESVAVTDGISDLLKMKSRINAVGEAVVKEMDRLAAEKAMLKEMDRLKMQEMGNTEEPLMKGAEHLEMRGRSAAEKDVQKDEMELANKPTDAAKPQNNKSEISEVRNEILMKDIPLDQVSECSLYRRSKREHAGKDDRMLELWESAEQDCLDPLADKQKPTAPIENVAACCQFKNAKRKSQDPSLELQIEKEVGIDKLEVSTSITREPNQEGNRGKILERLASDAQKLISLQITVQDLKTKMELRKRGKRANDLEFERVKRQLQEVEEAVLQLVDTNDQLTKDVEESPPYLEGNTSVEMEETGTMHRKRVAEQARKRSEKIGRLQFEVQSIHYILLKLEDEKKSKSKHKFSGSKTGILLRDFIYSGGRRSSRRQKKGCFCGCARPSSNED >Potri.014G174300.1.v4.1 pep chromosome:Pop_tri_v4:14:12972004:12975308:1 gene:Potri.014G174300.v4.1 transcript:Potri.014G174300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G174300.v4.1 MVQLFLREEAKRKEDVREEDSERAKRMIWLLKELESVIWSLITRSEARLWLYNTISCITSLTPYQKREVFMSLLRTRSKKGLASQLWQLIFQKRPHEAGTLLAERSYVLEKFFQGNQTRILQWFSNFSSTGLRHKKGAKALSQFAFVHRNKCWEELEWKGKHGQSPAVVATKPHYFLELDILRTVENFLENVPDFWTSREFADSLRDGDIFFVETKFFVDFFVGLMYEEDSRDVWEVINEFLMEESFSVLCQHLLITLEEQEFCAFLESLCKYLHRRMEPNDFGDSCRLLEFVLSKFSGYESIDQLLLLNAVIYRGRQLLKLLHDEESQEEQAKINDIVSHICSISSSTNSFIPILNECLKMKTTGGIKILGLQSWAFHYALSEKCQSPEAWESLFSNNGISFRISDRFALLHGDELLEENDSEMDDRASTKRKSRKKRKSKKKRKRNSDDDDSYDHGLLDLDTSNSKLGLQARDGSWLLSTDGFSASWTNADLPEHLSKFCFSTWMKWAFAKWRNVA >Potri.006G108300.1.v4.1 pep chromosome:Pop_tri_v4:6:8410086:8417503:-1 gene:Potri.006G108300.v4.1 transcript:Potri.006G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108300.v4.1 MASSSSSIKSCMNATCGVSTSNSGGWRKGWALRSGDFAILCDNCGSAYEQSIFCEVFHSKDSGWRECTSCSKRLHCGCIASRSLLELLDGGGVNCTSCSRTSGVGPMNGDEKPNGFGKPKVDTVGELHSASADSQLAAETKLMQLGNCIDGIGTRNLLQLQSDETNGSYRKMKQEDILPPVGEIASTIFSNFNQASNASCQTAKPEIHRTVTAAKDLYESLAQTNLSMSLGSSLGNPNLFPGGVVDERVPSKASSPLQQGPRSRHLLPKPPKSALSMDANAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDPNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMKLQAGDTVTFSRMDPEGKLVMGFRKASNSIAMQDTQPSAIPNGVPSSESYFSGVFENLPIISGYSGLLQSLKGSTDTHLSALSKHLHSASGDISWNKSEKQEDRTRDGLLLPSLMVPERKRTRNIGSKSKRLLIDSLDAFELKLTWEEAQDLLRPAPSVKPSIVTIEDHDFEEYEEPPVFGKRSIFIVRSIGGQEQWAQCDSCSKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSAPDELAPRELENLLRLNKDFKKRKITSSHQPAQELESSGLDALANAAILGDVGEQSTTAVVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQKTQHLVGPKDEAEIESSSKLASIPRDPSDNEARSGNELESKGQSNNLSNKLADSGKGHLDLNCHPDREEDSQAGLSRMSMTSFLQVATLPLDTYLKQNGLASLSEQQASSASHVPPQTGENEGKINDDCQPATAAPEQESGGEENDEPGPDQSQNDPV >Potri.006G108300.4.v4.1 pep chromosome:Pop_tri_v4:6:8409541:8417485:-1 gene:Potri.006G108300.v4.1 transcript:Potri.006G108300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108300.v4.1 MASSSSSIKSCMNATCGVSTSNSGGWRKGWALRSGDFAILCDNCGSAYEQSIFCEVFHSKDSGWRECTSCSKRLHCGCIASRSLLELLDGGGVNCTSCSRTSGVGPMNGDEKPNGFGKPKVDTVGELHSASADSQLAAETKLMQLGNCIDGIGTRNLLQLQSDETNGSYRKMKQEDILPPVGEIASTIFSNFNQASNASCQTAKPEIHRTVTAAKDLYESLAQTNLSMSLGSSLGNPNLFPGGVVDERVPSKASSPLQQGPRSRHLLPKPPKSALSMDANAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDPNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMKLQAGDTVTFSRMDPEGKLVMGFRKASNSIAMQDTQPSAIPNGVPSSESYFSGVFENLPIISGYSGLLQSLKGSTDTHLSALSKHLHSASGDISWNKSEKQEDRTRDGLLLPSLMVPERKRTRNIGSKSKRLLIDSLDAFELKLTWEEAQDLLRPAPSVKPSIVTIEDHDFEEYEEPPVFGKRSIFIVRSIGGQEQWAQCDSCSKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSAPDELAPRELENLLRLNKDFKKRKITSSHQPAQELESSGLDALANAAILGDVGEQSTTAVVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQKTQHLVGPKDEAEIESSSKLASIPRDPSDNEARSGNELESKGQSNNLSNKLADSGKGHLDLNCHPDREEDSQAGLSRMSMTSFLQVATLPLDTYLKQNGLASLSEQQASSASHVPPQTGENEGKINDDCQPATAAPEQESGGEENDEPGPDQSQNDPV >Potri.006G108300.3.v4.1 pep chromosome:Pop_tri_v4:6:8410180:8417487:-1 gene:Potri.006G108300.v4.1 transcript:Potri.006G108300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108300.v4.1 MASSSSSIKSCMNATCGVSTSNSGGWRKGWALRSGDFAILCDNCGSAYEQSIFCEVFHSKDSGWRECTSCSKRLHCGCIASRSLLELLDGGGVNCTSCSRTSGVGPMNGDEKPNGFGKPKVDTVGELHSASADSQLAAETKLMQLGNCIDGIGTRNLLQLQSDETNGSYRKMKQEDILPPVGEIASTIFSNFNQASNASCQTAKPEIHRTVTAAKDLYESLAQTNLSMSLGSSLGNPNLFPGGVVDERVPSKASSPLQQGPRSRHLLPKPPKSALSMDANAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDPNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMKLQAGDTVTFSRMDPEGKLVMGFRKASNSIAMQVKTSVSFYVSKLEYIVLMHKLLNKQDTQPSAIPNGVPSSESYFSGVFENLPIISGYSGLLQSLKGSTDTHLSALSKHLHSASGDISWNKSEKQEDRTRDGLLLPSLMVPERKRTRNIGSKSKRLLIDSLDAFELKLTWEEAQDLLRPAPSVKPSIVTIEDHDFEEYEEPPVFGKRSIFIVRSIGGQEQWAQCDSCSKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSAPDELAPRELENLLRLNKDFKKRKITSSHQPAQELESSGLDALANAAILGDVGEQSTTAVVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQKTQHLVGPKDEAEIESSSKLASIPRDPSDNEARSGNELESKGQSNNLSNKLADSGKGHLDLNCHPDREEDSQAGLSRMSMTSFLQVATLPLDTYLKQNGLASLSEQQASSASHVPPQTGENEGKINDDCQPATAAPEQESGGEENDEPGPDQSQNDPV >Potri.006G108300.2.v4.1 pep chromosome:Pop_tri_v4:6:8410086:8417486:-1 gene:Potri.006G108300.v4.1 transcript:Potri.006G108300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108300.v4.1 MASSSSSIKSCMNATCGVSTSNSGGWRKGWALRSGDFAILCDNCGSAYEQSIFCEVFHSKDSGWRECTSCSKRLHCGCIASRSLLELLDGGGVNCTSCSRTSGVGPMNGDEKPNGFGKPKVDTVGELHSASADSQLAAETKLMQLGNCIDGIGTRNLLQLQSDETNGSYRKMKQEDILPPVGEIASTIFSNFNQASNASCQTAKPEIHRTVTAAKDLYESLAQTNLSMSLGSSLGNPNLFPGGVVDERVPSKASSPLQQGPRSRHLLPKPPKSALSMDANAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDPNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMKLQAGDTVTFSRMDPEGKLVMGFRKASNSIAMQSLKGSTDTHLSALSKHLHSASGDISWNKSEKQEDRTRDGLLLPSLMVPERKRTRNIGSKSKRLLIDSLDAFELKLTWEEAQDLLRPAPSVKPSIVTIEDHDFEEYEEPPVFGKRSIFIVRSIGGQEQWAQCDSCSKWRRLPVDVLLPPKWTCVDNAWDQSRCSCSAPDELAPRELENLLRLNKDFKKRKITSSHQPAQELESSGLDALANAAILGDVGEQSTTAVVATTTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQKTQHLVGPKDEAEIESSSKLASIPRDPSDNEARSGNELESKGQSNNLSNKLADSGKGHLDLNCHPDREEDSQAGLSRMSMTSFLQVATLPLDTYLKQNGLASLSEQQASSASHVPPQTGENEGKINDDCQPATAAPEQESGGEENDEPGPDQSQNDPV >Potri.004G218200.4.v4.1 pep chromosome:Pop_tri_v4:4:22425499:22430966:-1 gene:Potri.004G218200.v4.1 transcript:Potri.004G218200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218200.v4.1 MERYELVKDIGSGNFGVARLMRHKQTKELVAMKYIERGLKIDENVAREIINHRSLRHPNIIRFKEVVVTPTHLAIIMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPRLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDQEDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSRIFVANPIRRITIKEIKSHPWFLKNLPRELTEAAQAMYYRKENPTFSLQSVEEIMKIVEEAKIPPPVSRSIGGFGWVGEEDDDVKEDDAEGVEEKGGEEEEEEEEEDEYEKRVKEAQASGEVHVS >Potri.003G096700.10.v4.1 pep chromosome:Pop_tri_v4:3:12267477:12279022:1 gene:Potri.003G096700.v4.1 transcript:Potri.003G096700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096700.v4.1 MEARITKVLDSSRRVMPGVKRKRASRMVLPEWLTLISSHKLGKRRKLDGSQSKPVSCGHHSRRSLLRSYSNFMRTGMPQRLMCYQKGEWIDFPKDLVALIRKDFQGKKAFVEVELEGRCYVIDFLHMLRLDMKTGIQQPIAWIDEAGSCFFPESYADEDEPYLCCQHDCVKDQGPIFREPLGPHEIKLQLEIDINGGNQSKLKEYSGESNALVKHIQIGQKPMSDHYHVEVEDSCNRKPGEKIDEDMEENQQIEANLVTESFKQMLDSDTVKKLFVTVMKPFGGADIVDIYRCSSTSMQARFELFLKQIALTEKYRGDANVRYAWLASSKGALSTIMSYGLGHCGPCTTNSKHGIGVHLSAANCCHTSVKYCDVDENGERHLVFCRVIMGNMELLHSGSRQFHPSSENFDSGVDDLESPREYIVWNMNMNTHIYPEFVVSFKISSTTEGFLVASESKHTVSGVTTSHGGQGRLPVESSAVDLNLPVESSAVDLNESPTADMGSKIQPVLGSGRSLGKSPSLSSSSTRAPKSPWMPFPMLFAAISNKVPSKDMELITNNYELFREKKVNREDFVKKLRLIVGDALLKSTITSLQCKLPSKGEVPVSKPTAEGSAGL >Potri.003G096700.14.v4.1 pep chromosome:Pop_tri_v4:3:12267526:12278166:1 gene:Potri.003G096700.v4.1 transcript:Potri.003G096700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096700.v4.1 MEARITKVLDSSRRVMPGVKRKRASRMVLPEWLTLISSHKLGKRRKLDGSQSKPVSCGHHSRRSLLRSYSNFMRTGMPQRLMCYQKGEWIDFPKDLVALIRKDFQGKKAFVEVELEGRCYVIDFLHMLRLDMKTGIQQPIAWIDEAGSCFFPESYADEDEPYLCCQHDCVKDQGPIFREPLGPHEIKLQLEIDINGGNQSKLKEYSGESNALVKHIQIGQKPMSDHYHVEVEDSCNRKPGEKIDEDMEENQQIEANLVTESFKQMLDSDTVKKLFVTVMKPFGGADIVDIYRCSSTSMQARFELFLKQIALTEKYRGDANVRYAWLASSKGALSTIMSYGLGHCGPCTTNSKHGIGVHLSAANCCHTSVKYCDVDENGERHLVFCRVIMGNMELLHSGSRQFHPSSENFDSGVDDLESPREYIVWNMNMNTHIYPEFVVSFKISSTTEGFLVASESKHTVSGVTTSHGGQGRLPVESSAVDLNLPGSKIQPVLGSGRSLGKSPSLSSSSTRAPKSPWMPFPMLFAAISNKVPSKDMELITNNYELFREKKVNREDFVKKLRLIVGDALLKSTITSLQCKLPSKGEVPVSKPTAEGSAGL >Potri.003G096700.13.v4.1 pep chromosome:Pop_tri_v4:3:12267473:12278313:1 gene:Potri.003G096700.v4.1 transcript:Potri.003G096700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096700.v4.1 MEARITKVLDSSRRVMPGVKRKRASRMVLPEWLTLISSHKLGKRRKLDGSQSKPVSCGHHSRRSLLRSYSNFMRTGMPQRLMCYQKGEWIDFPKDLVALIRKDFQGKKAFVEVELEGRCYVIDFLHMLRLDMKTGIQQPIAWIDEAGSCFFPESYADEDEPYLCCQHDCVKDQGPIFREPLGPHEIKLQLEIDINGGNQSKLKEYSGESNALVKHIQIGQKPMSDHYHVEVEDSCNRKPGEKIDEDMEENQQIEANLVTESFKQMLDSDTVKKLFVTVMKPFGGADIVDIYRCSSTSMQARFELFLKQIALTEKYRGDANVRYAWLASSKGALSTIMSYGLGHCGPCTTNSKHGIGVHLSAANCCHTSVKYCDVDENGERHLVFCRVIMGNMELLHSGSRQFHPSSENFDSGVDDLESPREYIVWNMNMNTHIYPEFVVSFKISSTTEGFLVASESKHTVSGVTTSHGGQGRLPVESSAVDLNLPVESSAVDLNESPTADMGSKIQPVLGSGRSLGKSPSLSSSSTRAPKSPWMPFPMLFAAISNKVPSKDMELITNNYELFREKKVNREDFVKKLRLIVGDALLKSTITSLQCKLPSKGEVPVSKPTAEGSAGL >Potri.003G096700.12.v4.1 pep chromosome:Pop_tri_v4:3:12267532:12278324:1 gene:Potri.003G096700.v4.1 transcript:Potri.003G096700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096700.v4.1 MEARITKVLDSSRRVMPGVKRKRASRMVLPEWLTLISSHKLGKRRKLDGSQSKPVSCGHHSRRSLLRSYSNFMRTGMPQRLMCYQKGEWIDFPKDLVALIRKDFQGKKAFVEVELEGRCYVIDFLHMLRLDMKTGIQQPIAWIDEAGSCFFPESYADEDEPYLCCQHDCVKDQGPIFREPLGPHEIKLQLEIDINGGNQSKLKEYSGESNALVKHIQIGQKPMSDHYHVEVEDSCNRKPGEKIDEDMEENQQIEANLVTESFKQMLDSDTVKKLFVTVMKPFGGADIVDIYRCSSTSMQARFELFLKQIALTEKYRGDANVRYAWLASSKGALSTIMSYGLGHCGPCTTNSKHGIGVHLSAANCCHTSVKYCDVDENGERHLVFCRVIMGNMELLHSGSRQFHPSSENFDSGVDDLESPREYIVWNMNMNTHIYPEFVVSFKISSTTEGFLVASESKHTVSGVTTSHGGQGRLPVESSAVDLNLPVESSAVDLNESPTADMGSKIQPVLGSGRSLGKSPSLSSSSTRAPKSPWMPFPMLFAAISNKVPSKDMELITNNYELFREKKVNREDFVKKLRLIVGDALLKSTITSLQCKLPSKGEVPVSKPTAEGSAGL >Potri.003G096700.2.v4.1 pep chromosome:Pop_tri_v4:3:12267502:12278174:1 gene:Potri.003G096700.v4.1 transcript:Potri.003G096700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096700.v4.1 MEARITKVLDSSRRVMPGVKRKRASRMVLPEWLTLISSHKLGKRRKLDGSQSKPVSCGHHSRRSLLRSYSNFMRTGMPQRLMCYQKGEWIDFPKDLVALIRKDFQGKKAFVEVELEGRCYVIDFLHMLRLDMKTGIQQPIAWIDEAGSCFFPESYADEDEPYLCCQHDCVKDQGPIFREPLGPHEIKLQLEIDINGGNQSKLKEYSGESNALVKHIQIGQKPMSDHYHVEVEDSCNRKPGEKIDEDMEENQQIEANLVTESFKQMLDSDTVKKLFVTVMKPFGGADIVDIYRCSSTSMQARFELFLKQIALTEKYRGDANVRYAWLASSKGALSTIMSYGLGHCGPCTTNSKHGIGVHLSAANCCHTSVKYCDVDENGERHLVFCRVIMGNMELLHSGSRQFHPSSENFDSGVDDLESPREYIVWNMNMNTHIYPEFVVSFKISSTTEGFLVASESKHTVSGVTTSHGGQGRLPVESSAVDLNLPVESSAVDLNESPTADMGSKIQPVLGSGRSLGKSPSLSSSSTRAPKSPWMPFPMLFAAISNKVPSKDMELITNNYELFREKKVNREDFVKKLRLIVGDALLKSTITSLQCKLPSKGEVPVSKPTAEGSAGL >Potri.006G211100.1.v4.1 pep chromosome:Pop_tri_v4:6:21821238:21823075:1 gene:Potri.006G211100.v4.1 transcript:Potri.006G211100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211100.v4.1 MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVEVNGDEKTDIGMVVLRGNSVVTVEALEPVNRAQ >Potri.012G070500.2.v4.1 pep chromosome:Pop_tri_v4:12:9264950:9267806:-1 gene:Potri.012G070500.v4.1 transcript:Potri.012G070500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070500.v4.1 MGNLCCCVQVDQSSVAIKETFGKFEAVLDPGCHCLPWFLGSQLAGHLSLRLQQLDVRCETKTKDNVFVNVVASIQYRALADKASDAFYKLTNTRTQIQAYVFDVIRASVPKLNLDDVFEQKNEIAKAVEDELGKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARLRLAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFSENVPGTSAKDVMDMVLVTQYFDTMKEIGAASKSSAVFIPHGPGAIRDVATQIRDGLLQASAHK >Potri.005G051001.2.v4.1 pep chromosome:Pop_tri_v4:5:3223012:3223889:1 gene:Potri.005G051001.v4.1 transcript:Potri.005G051001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051001.v4.1 MSPRADFTSLYGGLAEHFPRLTLLPFQRTGQMSLRTEFASPSMDWPSIPQTNFTSPSVDWPCTPFKAYILGVPTILISQSNSSALKRLKSSEVFREPRQKGLLKGQNFSR >Potri.002G044400.3.v4.1 pep chromosome:Pop_tri_v4:2:2893276:2896875:-1 gene:Potri.002G044400.v4.1 transcript:Potri.002G044400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G044400.v4.1 MTCLLECIGKAIHIPHEVGLISPPRTKDDGRLVSRFPNNKSRRLGVVYGFMATLFVTNPSCTLYSFLSIKQKQLMRVKQERRGVGKQDNT >Potri.002G148500.2.v4.1 pep chromosome:Pop_tri_v4:2:11166860:11170129:1 gene:Potri.002G148500.v4.1 transcript:Potri.002G148500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148500.v4.1 MGVIGYGHMLVVKLEADKLNAYDAASGLNKLVFPEFYLLGALCISFLLTGHWFACVLALPNLYHHVRSYMKKQHLVQAVDIFNQLKWEKQKRWYKMIYLVTLFMVATAGLLWHFPELIEG >Potri.007G061641.1.v4.1 pep chromosome:Pop_tri_v4:7:6877195:6877791:-1 gene:Potri.007G061641.v4.1 transcript:Potri.007G061641.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061641.v4.1 MRLISTSMQARKMLFAAILFICALSSKKILIYNEEMIVACCFIGFIIFSRKSLGKTFKVTLDGRIQAIQEELLQFLNPNEVVLLESNEQQRLLRISLRICGTVVESLSMARCTPKCEKTVQALLCRNLNLKLATLLNATSSRRIRLQDDLVTKFHVLVSAAFSPSCLLKAKKVELIREGLVVLRKVRVGGSLKNKEDE >Potri.009G093700.1.v4.1 pep chromosome:Pop_tri_v4:9:8508702:8512134:-1 gene:Potri.009G093700.v4.1 transcript:Potri.009G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093700.v4.1 MQQRPINSLCRALVQDQDYIIEVEKHIREMKYAPRRMEKRSGYFRRLRIPNMMSDKWIHAIPMVVLLCLFTLWWFSYPVNLEIKDGRIVGIHRADHDTPLPLNTRHVALAATATSPIAPVPEDLTLSNEIEALPVSNESFVLYENDEDFVKTTYRADHEMPLSLNTDHVALTTTSATSPIAPVPQDLALGNETEENPVSNESLKLYGNDEAFYKADHADHGTLLLLNTNHVALSTLASVTSPVAPVPQGPHTQRSDRGTFNE >Potri.001G249400.2.v4.1 pep chromosome:Pop_tri_v4:1:26539603:26540450:1 gene:Potri.001G249400.v4.1 transcript:Potri.001G249400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249400.v4.1 MDSEKTEIYHEKQKLQFCLLHALNNLFQQQEAFTRARLNEISGKLVLDDPSKKTWTPLSIVFKPHHNAFTGNYDINVLIAALEEKDKTVVWHDRRNAASTIDLDGADDSLFGIVLNVPARRYALLWKGRHWITMRKIGGVWYNLDSDLPKPMPFEGTREVRGYLDYVLSNGGEVLLVMNKKE >Potri.005G082800.2.v4.1 pep chromosome:Pop_tri_v4:5:5649389:5658091:1 gene:Potri.005G082800.v4.1 transcript:Potri.005G082800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082800.v4.1 MSSLPSLWAILLFFLFLLCPATSSLHGRQSGSTKFRHQEVVARHGAVATDDGRCSRIGIDVLREGGHAVDAAVAASLCLGVVSPASSGIGGGAFMLIRLASGEVHAYDMRETAPMQASENMYDGNDTLKARGALSIAVPGELAGLYKAWKQHGRLPWERLVRPAEKLARRGFKISRYLRMQMEKTQSGILADEGLRNVFTSNGDLLQQGDICYNKKLADTLRKISKGVEAFYNGPIGFNLVRDIQKLGGILTIEDLQRYKVRVREPIITNILGYKIIGMPPPSSGGASMMLILNILAQYGVPEGISGPLGFHRLVESLKHAFAVRMKLGDPDFADVAQVVSDMISPKFAEELKKTIYDNMTFDPGHYGGRWNQINDHGTSHISIVDSERNAVSMTNTVNSYFGTQILSPSTGIVLNNEMDDFSMPGNNTGHVPPPAPLNLIRPGKRPLSSMTPTIVLKDEQLKGVVGASGGSMIIAGTTEVLLNHFAKGMDPLSSVLAPRVYHQLTPNVVQYENWTTVYGDHFELSADVRAFLQKRGHVLKGIAGGTICQFIVQDLETTEGNKLMGKLVAVSDPRKGGLPAGY >Potri.005G082800.1.v4.1 pep chromosome:Pop_tri_v4:5:5652656:5657167:1 gene:Potri.005G082800.v4.1 transcript:Potri.005G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082800.v4.1 MDQHHPTITCRFNAFNGRRIHCAMRDLSSLPSLWAILLFFLFLLCPATSSLHGRQSGSTKFRHQEVVARHGAVATDDGRCSRIGIDVLREGGHAVDAAVAASLCLGVVSPASSGIGGGAFMLIRLASGEVHAYDMRETAPMQASENMYDGNDTLKARGALSIAVPGELAGLYKAWKQHGRLPWERLVRPAEKLARRGFKISRYLRMQMEKTQSGILADEGLRNVFTSNGDLLQQGDICYNKKLADTLRKISKGVEAFYNGPIGFNLVRDIQKLGGILTIEDLQRYKVRVREPIITNILGYKIIGMPPPSSGGASMMLILNILAQYGVPEGISGPLGFHRLVESLKHAFAVRMKLGDPDFADVAQVVSDMISPKFAEELKKTIYDNMTFDPGHYGGRWNQINDHGTSHISIVDSERNAVSMTNTVNSYFGTQILSPSTGIVLNNEMDDFSMPGNNTGHVPPPAPLNLIRPGKRPLSSMTPTIVLKDEQLKGVVGASGGSMIIAGTTEVLLNHFAKGMDPLSSVLAPRVYHQLTPNVVQYENWTTVYGDHFELSADVRAFLQKRGHVLKGIAGGTICQFIVQDLETTEGNKLMGKLVAVSDPRKGGLPAGY >Potri.001G229166.1.v4.1 pep chromosome:Pop_tri_v4:1:24842004:24843272:-1 gene:Potri.001G229166.v4.1 transcript:Potri.001G229166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229166.v4.1 MNLICELAIQGRLPTFLYVMPIDSNLVFLEEACLVSRPALSDMEVKSRMVARLRHLGIEVKDVIGDEKASIAMGGPLPKIPPSVMAIGGASGAIHPSTGYMVARTMALSPVLVADVIVECLGSTRVIRGRPLYHRVWNG >Potri.017G115700.1.v4.1 pep chromosome:Pop_tri_v4:17:12296584:12298349:1 gene:Potri.017G115700.v4.1 transcript:Potri.017G115700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115700.v4.1 MAIELCPESSAGVSPRISFSHDLCVPDIVPFEQRLPLRSGSLGNIDFDFCAVRKSFDSSSADELFSDGKILPTEIKKKTASAKQMDSSVPSRQVLQDDVSCNDSLKKDRLKEMKSSSNYEAEDQKQSSKSFWSFKRSSSLNCASGYGKSLCHLPLLSRSYSAGSTPRSKRAPLTKDTNHKQNKQAFFKSSQSSSTKNYQKPPLKKNYGPYGNGVRVSPVLNVPSGNLFGLGSIFFNGKDKKNMKK >Potri.018G090200.1.v4.1 pep chromosome:Pop_tri_v4:18:11030685:11032111:1 gene:Potri.018G090200.v4.1 transcript:Potri.018G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090200.v4.1 MEEQMSAKRRCVYPLEPSLIGQTMFVRNYVSHLVPALKKIKTCRLVDDNYGHDSELDESVRYEVDMALVMSAPGFAWSRALKSRLHKNTNAGRFHDSSIHHHASLRRLSMHGPSILKNDADNHSSPNVTSQKRASPSPNGKPKINKRLKRARSKKEAAEQSEEEQIGSRLASLRSLMPGGNEMGVDELFSDMGSYITSLEMQVNILRCLVDSQY >Potri.007G013700.1.v4.1 pep chromosome:Pop_tri_v4:7:1034334:1035421:-1 gene:Potri.007G013700.v4.1 transcript:Potri.007G013700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013700.v4.1 MQYIYMLAGPSQYLPITPYKTIDFPQPARPFSSYYQEHYSSQPDQTSFSTVISDNMGRQTCGPEERSADQHAPATTMEAGGEKETREELWGAILELYEKLPQRIKNKVNLEKFDVHRDVSRDLKVDSSSRRSVEGSTIKKLSRKLERFKIRTVQGGGGVVKVEEDRS >Potri.009G170460.1.v4.1 pep chromosome:Pop_tri_v4:9:12945273:12946117:1 gene:Potri.009G170460.v4.1 transcript:Potri.009G170460.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170460.v4.1 MIPVMMYSRQSSGICMATIIFYTFVLIPLRQIKQTLFSIIVLLMSSSSRRLEPAEIEYCCDCSRPPQQLLPVPSRFEKLQEKEVCCSICLIELEKEDEVSQLSRCMHVFHMDCIEKWIQRDHFTCPLCRTSIDH >Potri.004G061350.1.v4.1 pep chromosome:Pop_tri_v4:4:5128215:5129110:-1 gene:Potri.004G061350.v4.1 transcript:Potri.004G061350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061350.v4.1 MMNPTQSFFSISAILILMFMADSISATRIYSSMALPNSHQSGDLTGNKPVKMREVAFHVSFKGRMIPPSGPSHRGDHTKPTFN >Potri.011G166800.1.v4.1 pep chromosome:Pop_tri_v4:11:19069179:19073189:1 gene:Potri.011G166800.v4.1 transcript:Potri.011G166800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166800.v4.1 MFQSRVFASILKRNKGGSIINNAITNLRGTKITPFNHLRDIHQQQNPSSRSFLYGFKSLSKGRNCQYVPSSTRKISTVAASVGSESKEGLRLLVNGGPKAQKMVGIWLFGSAAWVFSMVILGGVTRLTRSGLSMTDWKFTGGLPPLSNEDWLIEFEKYKQSPEYKIVNKGMNVEDFKFIYWMEYAHRMWGRGLGIIFALPFSWFLRKGYVTVRLGAKLCGLFALGAGQGLIGWWMVKSGLEEPASEYAQPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMPEPPAESLAWVRGAAKVKRLALPVSLIVGVTAVSGAFVAGNDAGHAFNTFPKMGDTWIPDDIFDLKPVIRNFFENTSTVQLDHRILAITSLTSIGALWWFTRKLDIHPAVKSLIGATVGMATLQVSLGISTLLSYVPVSLGTAHQAGALTLLTFMILLNHTVRKPSASLLKSLPQVVKTAM >Potri.011G166800.3.v4.1 pep chromosome:Pop_tri_v4:11:19069203:19073188:1 gene:Potri.011G166800.v4.1 transcript:Potri.011G166800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166800.v4.1 MFQSRVFASILKRNKGGSIINNAITNLRGTKITPFNHLRDIHQQQNPSSRSFLYGFKSLSKGRNCQYVPSSTRKISTVAASVGSESKEGLRLLVNGGPKAQKMVGIWLFGSAAWVFSMVILGGVTRLTRSGLSMTDWKFTGGLPPLSNEDWLIEFEKYKQSPEYKIVNKGMNVEDFKFIYWMEYAHRMWGRGLGIIFALPFSWFLRKGYVTVRLGAKLCGLFALGAGQGLIGWWMVKSGLEEPASEYAQPRVSPYRLAAHLTSAFVIYSGLFWTALSVVMPEPPAESLAWVRGAAKVKRLALPVSLIVGVTAVSGAFVAGNDAGHAFNTFPKMGDTWIPDDIFDLKPVIRNFFENTSTVQLDHRILAITSLTSIGALWWFTRKLDIHPAVKSLIGATVGMATLQVSLGISTLLSYVPVSLGTAHQAGALTLLTFMILLNHTVRKPSASLLKSLPQVVKTAM >Potri.003G108000.4.v4.1 pep chromosome:Pop_tri_v4:3:13085074:13092214:1 gene:Potri.003G108000.v4.1 transcript:Potri.003G108000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108000.v4.1 MTRKGKEVVISTSLNHNSAEDEEEEGGFDHSKINGRPFSPFPSFSSRNASSKYDFVKVKVWLGENADHCYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINHYKMMTRFHYQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLVSTPVWAREFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKLPAKMPEKSETNTVSVSLDDDPARQLENNSVSENNTENSNCTTGYLTSEEGKSVNLVNKVVDSQESIGETIKDLEGDRKTSVSLKSGPEPIIIPIVLKMAEFDHKALLEEWISTRSFSDKCPAEDKDKSITNLKIIQDYLISFKSQGLTVANISATTFPQTLDWLHGYLLQSIEQGISSVSSENGRQPAQN >Potri.003G108000.6.v4.1 pep chromosome:Pop_tri_v4:3:13084959:13092214:1 gene:Potri.003G108000.v4.1 transcript:Potri.003G108000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108000.v4.1 MTRKGKEVVISTSLNHNSAEDEEEEGGFDHSKINGRPFSPFPSFSSRNASSKYDFVKVKVWLGENADHCYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINHYKMMTRFHYQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLVSTPVWAREFSSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKLPAKMPEKSETNTVSVSLDDDPARQLENNSVSENNTENSNCTTGYLTSEEGKSVNLVNKVVDSQESIGKAGSISDSKGETIKDLEGDRKTSVSLKSGPEPIIIPIVLKMAEFDHKALLEEWISTRSFSDKCPAEDKDKSITNLKIIQDYLISFKSQGLTVANISATTFPQTLDWLHGYLLQSIEQGISSVSSENGRQPAQN >Potri.005G234400.1.v4.1 pep chromosome:Pop_tri_v4:5:23292115:23293576:1 gene:Potri.005G234400.v4.1 transcript:Potri.005G234400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234400.v4.1 MSKSICNLNLSLQYLLLMITLLLLKVDSRTHPGDIRVLKDLKHGLHPESIAPGSCLSSWDFSVDPCDHIFSDRFTCGFRCDWFASDFFRVTEITLDPVGYSGLLSSTTWNLPYLQTLDVSDNSFYGSIPDSLSNLTRLRRLSLSINLLSGKMPVSLVSLDHLEELYLDNNSLHGSIPSNFSSLVSLKRLEIQENNLSGEFPDLGALKDLNYLDASDNQISGEVPSTLPVSLVELSMRNNNLRGKLPVSVGDLEFLQVLDLSHNKLSGPISSVLFDHPSLQQLTLSHNNFTFLQVPGTVGLTSNLIALDSSYNDLRGILPGFLCSMPKLSSLSLENNKFTGMIPSQYALKVAVPRSNSSSLERLLLGGNYLFGPIPSALMGLKPGSANVSLVDNCLYRCPDTFFFCQGGNQKSLVDCKKRFDTVIP >Potri.008G213500.3.v4.1 pep chromosome:Pop_tri_v4:8:17234658:17238827:-1 gene:Potri.008G213500.v4.1 transcript:Potri.008G213500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213500.v4.1 MMNLANCKGSMSTATSGGVWKASDGASDQFPAGLRVLVVDDDPTCLVILEKMLRTCRYEVTKCNRAEIALSLLRENKNGYDIVISDVHMPDMDGFKLLEQIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPIRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGGDRQQKQPEDADYSSSANEGSWKNSKRRKDEEEEAEERDDTSALKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNGMGSSFISPQEATYGPLSSLNGLDLQTLATAGQLPAQSLATLQAAGLGRSTAKPRMPMPIVDQRNLFSFENPKLRFGEGQQQHLNNGKQTNLLHGIPTTMEPKQLANLHHSAQSLGSMNMQFNAHAGQSSSLLMQMSQQQSRGQILNETTHSHVPRLSSSIGQPIASNALASGVLTRNGLAENGRGIGFNPVSQSSTLLNFPLNTTAELTATSFPLGSAAEVPSLTSKGTFQEEISSEIKGTGGFMPSYDIFSDLQQHRSHDWELQNVGMTFNGSQQSNSVQSNLDVAPSVLSHQGFSSCQSNGQSRNNISVVGKPMFSAGDATEHVNAQSLEHPINTFFAENSMRVKTERVPDANPQTALFNGQFGQEDLMSALLKQQQQQQGGIGLAENEFDFDGYSLDNIPV >Potri.008G213500.5.v4.1 pep chromosome:Pop_tri_v4:8:17234539:17238876:-1 gene:Potri.008G213500.v4.1 transcript:Potri.008G213500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213500.v4.1 MMNLANCKGSMSTATSGGVWKASDGASDQFPAGLRVLVVDDDPTCLVILEKMLRTCRYEVTKCNRAEIALSLLRENKNGYDIVISDVHMPDMDGFKLLEQIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPIRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGGDRQQKQPEDADYSSSANEGSWKNSKRRKDEEEEAEERDDTSALKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNGMGSSFISPQEATYGPLSSLNGLDLQTLATAGQLPAQSLATLQAAGLGRSTAKPRMPMPIVDQRNLFSFENPKLRFGEGQQQHLNNGKQTNLLHGIPTTMEPKQLANLHHSAQSLGSMNMQFNAHAGQSSSLLMQMSQQQSRGQILNETTHSHVPRLSSSIGQPIASNALASGVLTRNGLAENGRGIGFNPVSQSSTLLNFPLNTTAELTATSFPLGSAAEVPSLTSKGTFQEEISSEIKGTGGFMPSYDIFSDLQQHRSHDWELQNVGMTFNGSQQSNSVQSNLDVAPSVLSHQGFSSCQSNGQSRNNISVVGKPMFSAGDATEHVNAQSLEHPINTFFAENSMRVKTERVPDANPQTALFNGQFGQEDLMSALLKQQQQGGIGLAENEFDFDGYSLDNIPV >Potri.008G213500.1.v4.1 pep chromosome:Pop_tri_v4:8:17234539:17238992:-1 gene:Potri.008G213500.v4.1 transcript:Potri.008G213500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213500.v4.1 MMNLANCKGSMSTATSGGVWKASDGASDQFPAGLRVLVVDDDPTCLVILEKMLRTCRYEVTKCNRAEIALSLLRENKNGYDIVISDVHMPDMDGFKLLEQIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPIRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGGDRQQKQPEDADYSSSANEGSWKNSKRRKDEEEEAEERDDTSALKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNGMGSSFISPQEATYGPLSSLNGLDLQTLATAGQLPAQSLATLQAAGLGRSTAKPRMPMPIVDQRNLFSFENPKLRFGEGQQQHLNNGKQTNLLHGIPTTMEPKQLANLHHSAQSLGSMNMQFNAHAGQSSSLLMQMSQQQSRGQILNETTHSHVPRLSSSIGQPIASNALASGVLTRNGLAENGRGIGFNPVSQSSTLLNFPLNTTAELTATSFPLGSAAEVPSLTSKGTFQEEISSEIKGTGGFMPSYDIFSDLQQHRSHDWELQNVGMTFNGSQQSNSVQSNLDVAPSVLSHQGFSSCQSNGQSRNNISVVGKPMFSAGDATEHVNAQSLEHPINTFFAENSMRVKTERVPDANPQTALFNGQFGQEDLMSALLKQQQQQQQGGIGLAENEFDFDGYSLDNIPV >Potri.008G213500.8.v4.1 pep chromosome:Pop_tri_v4:8:17234537:17238773:-1 gene:Potri.008G213500.v4.1 transcript:Potri.008G213500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213500.v4.1 MMNLANCKGSMSTATSGGVWKASDGASDQFPAGLRVLVVDDDPTCLVILEKMLRTCRYEVTKCNRAEIALSLLRENKNGYDIVISDVHMPDMDGFKLLEQIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPIRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGGDRQQKQPEDADYSSSANEGSWKNSKRRKDEEEEAEERDDTSALKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNGMGSSFISPQEATYGPLSSLNGLDLQTLATAGQLPAQSLATLQAAGLGRSTAKPRMPMPIVDQRNLFSFENPKLRFGEGQQQHLNNGKQTNLLHGIPTTMEPKQLANLHHSAQSLGSMNMQFNAHAGQSSSLLMQMSQQQSRGQILNETTHSHVPRLSSSIGQPIASNALASGVLTRNGLAENGRGIGFNPVSQSSTLLNFPLNTTAELTATSFPLGSAAEVPSLTSKGTFQEEISSEIKGTGGFMPSYDIFSDLQQHRSHDWELQNVGMTFNGSQQSNSVQSNLDVAPSVLSHQGFSSCQSNGQSRNNISVVGKPMFSAGDATEHVNAQSLEHPINTFFAENSMRVKTERVPDANPQTALFNGQFGQEDLMSALLKQVAAAAAAGRHWTS >Potri.008G213500.6.v4.1 pep chromosome:Pop_tri_v4:8:17234497:17239076:-1 gene:Potri.008G213500.v4.1 transcript:Potri.008G213500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213500.v4.1 MMNLANCKGSMSTATSGGVWKASDGASDQFPAGLRVLVVDDDPTCLVILEKMLRTCRYEVTKCNRAEIALSLLRENKNGYDIVISDVHMPDMDGFKLLEQIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPIRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGGDRQQKQPEDADYSSSANEGSWKNSKRRKDEEEEAEERDDTSALKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNGMGSSFISPQEATYGPLSSLNGLDLQTLATAGQLPAQSLATLQAAGLGRSTAKPRMPMPIVDQRNLFSFENPKLRFGEGQQQHLNNGKQTNLLHGIPTTMEPKQLANLHHSAQSLGSMNMQFNAHAGQSSSLLMQMSQQQSRGQILNETTHSHVPRLSSSIGQPIASNALASGVLTRNGLAENGRGIGFNPVSQSSTLLNFPLNTTAELTATSFPLGSAAEVPSLTSKGTFQEEISSEIKGTGGFMPSYDIFSDLQQHRSHDWELQNVGMTFNGSQQSNSVQSNLDVAPSVLSHQGFSSCQSNGQSRNNISVVGKPMFSAGDATEHVNAQSLEHPINTFFAENSMRVKTERVPDANPQTALFNGQFGQEDLMSALLKQQQGGIGLAENEFDFDGYSLDNIPV >Potri.008G213500.7.v4.1 pep chromosome:Pop_tri_v4:8:17234536:17238901:-1 gene:Potri.008G213500.v4.1 transcript:Potri.008G213500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213500.v4.1 MMNLANCKGSMSTATSGGVWKASDGASDQFPAGLRVLVVDDDPTCLVILEKMLRTCRYEVTKCNRAEIALSLLRENKNGYDIVISDVHMPDMDGFKLLEQIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPIRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGGDRQQKQPEDADYSSSANEGSWKNSKRRKDEEEEAEERDDTSALKKPRVVWSVELHQQFVAAVNQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQNGMGSSFISPQEATYGPLSSLNGLDLQTLATAGQLPAQSLATLQAAGLGRSTAKPRMPMPIVDQRNLFSFENPKLRFGEGQQQHLNNGKQTNLLHGIPTTMEPKQLANLHHSAQSLGSMNMQFNAHAGQSSSLLMQMSQQQSRGQILNETTHSHVPRLSSSIGQPIASNALASGVLTRNGLAENGRGIGFNPVSQSSTLLNFPLNTTAELTATSFPLGSAAEVPSLTSKGTFQEEISSEIKGTGGFMPSYDIFSDLQQHRSHDWELQNVGMTFNGSQQSNSVQSNLDVAPSVLSHQGFSSCQSNGQSRNNISVVGKPMFSAGDATEHVNAQSLEHPINTFFAENSMRVKTERVPDANPQTALFNGQFGQEDLMSALLKQVAAAAAAGRHWTS >Potri.005G090500.9.v4.1 pep chromosome:Pop_tri_v4:5:6291735:6297495:-1 gene:Potri.005G090500.v4.1 transcript:Potri.005G090500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090500.v4.1 MSFTGAPPPPGVTAATTTTPKQYFCYQCNLAVSITVSPSNDPFCPICHDSFIEELEARNPQNPNPLSESVLNDPFDPFSSLFPPLFQISGNFSHPEFQIRPELSDQNAFNPFDFLRSHLQNLHSGGARVQFVIENNGGEQGGLRFPGGNFGDYFIGSGLEQLIQQLAENDPNRYGTPPASKTAIEALPTMKVTEEMMKSEMNNQCAVCKDEFESGEEVKGMPCKHVFHEDCIMPWLKMHNSCPVCRYELPADDPDYENRATGGQGSGGGSGGGVERRFTISIPWASGGGGQSSS >Potri.005G090500.11.v4.1 pep chromosome:Pop_tri_v4:5:6291820:6297495:-1 gene:Potri.005G090500.v4.1 transcript:Potri.005G090500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090500.v4.1 MSFTGAPPPPGVTAATTTTPKQYFCYQCNLAVSITVSPSNDPFCPICHDSFIEELEARNPQNPNPLSESVLNDPFDPFSSLFPPLFQISGNFSHPEFQIRPELSDQNAFNPFDFLRSHLQNLHSGGARVQFVIENNGGEQGGLRFPGGNFGDYFIGSGLEQLIQQLAENDPNRYGTPPASKTAIEALPTMKVTEEMMKSEMNNQCAVCKDEFESGEEVKGMPCKHVFHEDCIMPWLKMHNSCPVCRYELPADDPDYENRATGGQGSGGGSGGGVERRFTISIPWASGGGGQSSS >Potri.014G154000.1.v4.1 pep chromosome:Pop_tri_v4:14:10831206:10833279:-1 gene:Potri.014G154000.v4.1 transcript:Potri.014G154000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154000.v4.1 MLINFSSSPLPPSSSLPRFSNSKSPVLEPPSLKSKTNFLKPLPSSPCYSSNLSFHPKTHLFSRCPHGKRLDLRSCAVSGFDLGNFESVLEAAGVLTAIIVVHESGHFLAAYLQGIHVSKFAVGFGPVLAKFSAKNVEYSLRAFPLGGFVGFPDNDPESDIPVDDENLLKNRPILDRTIVISAGVIANIIFAYAIIFVQVLSVGLPVQEAFPGVLVPEVRAFSAASRDGLLPGDVILAVNGTNLPKIGPNAVSEVVGVIKSSPKKNVLLKVGRGKQDFEIGVTPDESFDGTGKIGVQLSPNVKITKVVAKNILEAFNFAGKEFLGLSSNVVDSLKQTFLNFSQSASKVSGPVAIIAVGAEVARSNIDGLYQFAAVLNINLAVINLLPLPALDGGSLAFILIEAARGGRKLPLEIEQRIMSSGIMLVILLGLFLIVRDTLNLDFIKDML >Potri.004G235500.3.v4.1 pep chromosome:Pop_tri_v4:4:24013415:24014956:1 gene:Potri.004G235500.v4.1 transcript:Potri.004G235500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235500.v4.1 MAEVIPTKRIAVVTGANKGIGLEICRQLASKGVLVVLTARDEERGLEAVKSLKVSGFSDVVFHQLDVVDDLSIASMANFIRNQFGRLDILVNNAGVLGSGVKAEARKNFRYSVEDITGPNAVSQKKFVNQTYEITVSCLRTNYYGTKHLTEALIPILEQSSSARIVNVSSTLGKLKFIPNEKAKKELGDVDGLTEEKVEKLVEDFLEDVKNDLVETKHWPPLFSAYIVSKAALNAYTRMLAKKYPKIATNAVCPGYTSTDINDSTGIFTVEEAARGPVMLALMPDHQRPSGCFFFQTEMSTF >Potri.010G195000.1.v4.1 pep chromosome:Pop_tri_v4:10:18924400:18929221:1 gene:Potri.010G195000.v4.1 transcript:Potri.010G195000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195000.v4.1 MQNQTENNNDAMRLTEGERENQMDSPTGGDGGDGGAESGELGGGSGGSGGTNGKVKGPWSPEEDAVLSQLVSKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPCLKRKPFTDEEDRIIIAAHAKHGNKWAAIARLLPGRTDNSIKNHWNSTLRRRWADLVRLKPGTSDVMEDGSNERTRASSEETLSVCDVNSSLPLEVRDVTMDDQPSQHEDKAQTDNIPQTNEVNFASEPNGNPTLPRPVARVSAFKVYNPLNDPKLGSGLTRTIPTHGCLVQTPKPDSGSCKILEDVHCEPIVPSRCGYGCCATPSGGHPPSTLLGPEFVEYEEPPPFSSQELISIATDLNNIAWIKSGLENSSTGIPSNAASYRMSQGTSVGSQMGMSEQNLRNGHMHFEEGRNKLMSTMAGTISTQMPA >Potri.001G124400.1.v4.1 pep chromosome:Pop_tri_v4:1:10252140:10253200:1 gene:Potri.001G124400.v4.1 transcript:Potri.001G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124400.v4.1 MNDTVDKLVIFLAKRDGIDKLVKTFQYVSKLVHWHVEATHPDAAMRFKQWEVASGLGRKAFRTGRFLTGFNALRRGPGATPTLKVLAVLANAGEMVYFFFDHFLWLSRIGTLDAKLARRMSFISALGESFGYIFFIIADFIIMKEGLETERRLLISSKEDGSEDAKESTRKIRVERVMRLMAVAANVADLIIALADIEPNPFCNHAVTLGISGLVSAWAGWYRNWPS >Potri.001G028500.1.v4.1 pep chromosome:Pop_tri_v4:1:2161732:2164745:1 gene:Potri.001G028500.v4.1 transcript:Potri.001G028500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028500.v4.1 MALLQTHSLHIRTLPSTFKSHSFLPLFSSPQSSFKTHQESSLFSITRTPKKRPFSLSTQQRRLLCRPPQGKYIREDYLVKKLSAEEIQELVKGERNVPLVIDFYATWCGPCVLMAQELEMLAVEYESNVMIVKVDTDDEYEFAQDMQVRGLPTLFFISPDPNKDAIRTEGLIPLQMMRDIINNEM >Potri.007G009200.1.v4.1 pep chromosome:Pop_tri_v4:7:704252:708962:-1 gene:Potri.007G009200.v4.1 transcript:Potri.007G009200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009200.v4.1 MRLLLLLLLLLLLLHLQIYAPTTTARTVSEYEALLSIKSSITDDPQSFLSAWNSTTPLCSWTGITCDHTGRRVTSLDLSGLNLSGTLSSDVAHLRYLQNLSLAVNQFSGPIPASLSAVTSLRSLNLSNNIFNSTFPPQLSSLKNLQVLDLYNNNMTGGLPLTVVEMPNLRHLHLGGNYYSGKIPSEYGKWGFLEYLAISGNELEGSIPVELGNLTKLRELYIGYFNTYEGGLPPEIGNLSSLVRFDAANCGLSGQIPPEIGRLQKLDTLFLQVNGLSGSLTPELGSLKSLKSMDLSNNMFTGEIPTSFAELKNLTLLNLFRNKLYGAIPEFIAELPELQVLQLWENNFTSTIPQALGQNGKLEILDLSSNKLTGTLPPNMCLGNNLQTLITLSNFLFGPIPESLGQCQSLSRIRMGENFLNGSIPKGLFDLPNLSQVELQDNLLAGEFPVIGTLAVNLGQLSLSNNRLTGSLPPSVGNFSGVQKFLLDGNKFSGSIPPEIGRLQQLTKMDFSHNKFSGPIAPEISQCKLLTFVDLSRNELSGEIPTEITGMRILNYLNLSRNHLVGSIPAPIATMQSLTSVDFSYNNLSGLVPGTGQFSYFNYTSFLGNPGLCGPYLGPCKDGDVNGTHQPRVKGPLSSSLKLLLVIGLLVCSIAFAVAAIIKARSLKKASEARAWKLTAFQRLDFTVDDVLDCLKEDNIIGKGGAGIVYKGAMPNGDHVAVKRLPVMSRGSSHDHGFNAEIQTLGRIRHRHIVRLLGFCSNHETNLLVYEYMPNGSLGEVLHGKKGGHLHWDTRYKIAVEAAKGLCYLHHDCSPLIVHRDVKSNNILLDTSFEAHVADFGLAKFLQDSGTSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELVTGRKPVGEFGDGVDIVQWVRKMTDSIKEGVLKVLDPRLPSVPLHEVMHVFYVAMLCVEEQAVERPTMREVVQILTELPKSPSSKQGDSVITEPSPHSAATAALDSPSSTAKDVPKDHQQPPPADLLSI >Potri.001G030900.5.v4.1 pep chromosome:Pop_tri_v4:1:2316534:2324428:1 gene:Potri.001G030900.v4.1 transcript:Potri.001G030900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030900.v4.1 MMALESKETMQRSCEASLKCLQIKGFPCGNSFEGFPEFKEEIGAHPGRDVVEPVHSLSSEFLELPSEFHNKPAYHHDFGSWPTFYPDSQKMQQHQMNCFESQFYPFPPETRFQHAPFSMFSQGHPFEIQFQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQLLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLENKGIKNTKFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFCDIFGGARCLKEAVEMAGLHWQGRAHCGLDDAKNTARLLALLMRRGIRFSITNSLMWHTTDSSLSCKQSAENLSLAPHQPHKLKEIHIPVFPYHPFCFCGVKSSKGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWASP >Potri.003G141200.1.v4.1 pep chromosome:Pop_tri_v4:3:15720930:15722071:-1 gene:Potri.003G141200.v4.1 transcript:Potri.003G141200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141200.v4.1 MSKPHRPPSGRTNLASCIVATIFLIFLVIIILIVFFTVFKPKDPKISVNSVQLPSFSVSNNTVNFTFSQYVSVKNPNRAVFSHFDSTLQLLYSGSQIGFMFIPAGKIDAGRTQYMAATFSVESFPLSASPDAAVNVGPAFNDGGFGGGGQTGFNNGYRVGPTMEIESRIQMAGRVRVLHFFTHHLETKVGCRVVIAVSDGSVLGFHC >Potri.011G146100.3.v4.1 pep chromosome:Pop_tri_v4:11:17455451:17456224:-1 gene:Potri.011G146100.v4.1 transcript:Potri.011G146100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146100.v4.1 SVADEDARKVQRLRRAQWPRAAILGANDGLLSTTSLMLGVGAAKEDRRYMVLSGLAGAVAGACSMAVGEFVSVSTQKDIEKETVIQEDGKRSPEILREDDGEEVLTNPYKAAVASGVSFLIGSCVPSLSAVLVAQNVVRIVVIAVVASIALAFFGGFGAYLGGSPIRISAVRILLGGWIAMAITFGLLK >Potri.011G146100.2.v4.1 pep chromosome:Pop_tri_v4:11:17455427:17456653:-1 gene:Potri.011G146100.v4.1 transcript:Potri.011G146100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146100.v4.1 MHAWDGAKLLSINSSTFIPACIYLLHVPVVCLNLAKSPSLFHMASHQISGTCAEHTISVADEDARKVQRLRRAQWPRAAILGANDGLLSTTSLMLGVGAAKEDRRYMVLSGLAGAVADAPGIGLDGTPTTARMKGEAKLDDSKVISEPTQRMSRSMILEPKLPPGMSPGRSPVMKVIQEDGKRSPEILREDDGEEVLTNPYKAAVASGVSFLIGSCVPSLSAVLVAQNVVRIVVIAVVASIALAFFGGFGAYLGGSPIRISAVRILLGGWIAMAITFGLLKPFDKDHS >Potri.001G222704.1.v4.1 pep chromosome:Pop_tri_v4:1:23751454:23754650:-1 gene:Potri.001G222704.v4.1 transcript:Potri.001G222704.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222704.v4.1 MGSIDDFSRYSFPDDFVFGTSSSAYQYEGETNKHGRGPAIWDTFTEEHTERINDHSNGNVAVDFYHRYKEDVQRMKEMGMDAFRFSISWSRVLPHGRLSAGVNEEGIKFYNDLIDDLLKNGLHPYVTLFHWDTPQALEDKYGGFLSPNIVNDFRDFVDLCFQKFGDRVKKWITLNEPWMFSVQGYDMGTMAPGRISVVVNDPHRSLNTGATEVYTVSHHLLLAHAAAVKLYKEKYQSCQGGQIGITLVSHWFEPYSNSEDDQNATKRSLDFMLGWFMDPLTNGDYPRNMHDFVGGRLPKFTAEESKMLKGSYDFIGINYYTTYYAQNIDANYQSVGFMSDARANWTGERNGIPIGPQAGVKWLYIYPEGISRLLNYTKDLYGNPTIYITENGVDDVNNNASSLKEALNDPIREKSYKDHLKNVLRSINEHGVDVKGFFAWSLMDNFEWGSGYAVRFGLYYVDYKNDLKRYPKKSVKWFKQFLRRDSHSPIPHTYPLITSNETSKIEDSLVRDAKRPRNA >Potri.007G139400.1.v4.1 pep chromosome:Pop_tri_v4:7:14989920:14991212:-1 gene:Potri.007G139400.v4.1 transcript:Potri.007G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139400.v4.1 MEVQIISQEIIKPSAPTPHHLRTYKLSGKDQIAALAYVPVILFYSPTNEMSSKNSDYLKKAFSETLTLFYPFAGRIKDELFIDCNDDGAAYIEARVTCNMSVMLQQPDIHQLEQLLPCKPDENLDDLSTRVMLAVQVNYFDCGGIAVSVSISHRVADGSSLVSFVKCWAAISCGVDHHIVDGVVVDCTSLFPPQDLSGIKLHESFRNDNTYSKTVTKRGKLSNGPYLDRPTRIEAVSALMWGAFVGEENESKKVYKVAAHNVDLRKRLDPPLPQHCIGNIIHTAMAKWPAKVVYADSITDQGLLAQIVKDPNNWSLFGFSRWCKFPFYEVDLGFGKPIWVGTAMRLMPRGAFLLDTRDGEGIEAWVTLSEEAMLKFEKNPDILAYASVSPRI >Potri.011G089200.1.v4.1 pep chromosome:Pop_tri_v4:11:11435964:11445095:-1 gene:Potri.011G089200.v4.1 transcript:Potri.011G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089200.v4.1 MLGVIRRRVASGGSSSSSSILKQSLQTIRPVSSSTSRVSEEILIHPRGFGHVRKFSCLAPLRGRAISSRTMREGVSNMELTASKLILSRPFSSDSGDLVDAVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVASPEAGVIKELIAKEGDTVEPGTKIAVISKSGEGVAHAAPSENTSKQSAPEMKDEEKIKPKVEASPVPVKPKTPAPPPPKRSATEPQLPPKEKERRVPMTRLRKRVATRLKDSQNTFALLTTFNEVDMTNLMKLRSEYKDAFVEKHGVKLGLMSGFIKAAVSGLQNQPIINAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNAEKMNFAEIEKEINTLAKKANDGTISIDEMAGGSFTISNGGVYGSLLSTPIINPPQSAILGMHSIVSRPMVVGGNVVPRPMMYIALTYDHRLIDGREAVFFLRRIKDVVEDPRRLLLDV >Potri.010G196400.3.v4.1 pep chromosome:Pop_tri_v4:10:19016297:19018960:-1 gene:Potri.010G196400.v4.1 transcript:Potri.010G196400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G196400.v4.1 MENKRQVVASSFDHLFGPKDSSSSSSASSGIFESIFPHPSKVPAGRDSGVMESHGGRGKYANRDNVAQKAKGEGSGKGKSVVFQNETPEPCYLSSSIYYGGQENYSPRTKNSEYQHVFKKDDEQENPNGNDPNSASRGNWWQGSLYY >Potri.019G104500.2.v4.1 pep chromosome:Pop_tri_v4:19:13985221:13987617:-1 gene:Potri.019G104500.v4.1 transcript:Potri.019G104500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104500.v4.1 MTPAAFEKHSGRETARKWKNNVWVIVNGEKVPLSKTVLLKYYNQASKNGNGSHRSNNGRVCHRDEFVRCSKCNKERRFRLRTKEECQIHHDALADANWKCADMPFDKITCDDDEERASRRVYRGCTRSPTCKGCTSCVCFGCEICRFSDCSCQTCTDFTRNAKV >Potri.019G104500.1.v4.1 pep chromosome:Pop_tri_v4:19:13984996:13989804:-1 gene:Potri.019G104500.v4.1 transcript:Potri.019G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104500.v4.1 MANGVERELVVLFSEEELREMSGVKRGEEYIEVTCGCTSHRYGDAVGRLRVFINGELEITCECTPGCDEDTMTPAAFEKHSGRETARKWKNNVWVIVNGEKVPLSKTVLLKYYNQASKNGNGSHRSNNGRVCHRDEFVRCSKCNKERRFRLRTKEECQIHHDALADANWKCADMPFDKITCDDDEERASRRVYRGCTRSPTCKGCTSCVCFGCEICRFSDCSCQTCTDFTRNAKV >Potri.019G008400.1.v4.1 pep chromosome:Pop_tri_v4:19:1133440:1134644:1 gene:Potri.019G008400.v4.1 transcript:Potri.019G008400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008400.v4.1 MINGFSTKKIQYFFNAIIVLKLDPVIDPVDPPGHGSDGLTRVNSGQPKKTYKKQYGENHSNGTYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNITIFAPKNEALERDLDPEFQRFLLEPGNLKSLQTLLLYHIVPNRINPSHNSSLQHNSTLCRDRVKLSSQESGEKLIDSAKIIQVNAVERPDGVIHGIKRLLIPRSVQQDFNNRRSLQSISAVKPEGAPEVDPRTHRLKKPALPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIETLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYGLNIEASKKPKKN >Potri.008G052801.1.v4.1 pep chromosome:Pop_tri_v4:8:3112107:3112520:-1 gene:Potri.008G052801.v4.1 transcript:Potri.008G052801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052801.v4.1 MMSSKLRGLVSDHISLPMPTIAAITGHASAGGWSMLCVVIMRRDRGFLYTSELDIGLVVPAWFMALLECKFGDVKARRDVVLKAAKLTAEKGTVDMAVGNAEETVEAAVGLGEEGVFDKRLNLFFKFFLNNFLMFLN >Potri.017G044300.2.v4.1 pep chromosome:Pop_tri_v4:17:3109216:3112643:-1 gene:Potri.017G044300.v4.1 transcript:Potri.017G044300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044300.v4.1 MLPTKTLPLLSIIPSSATQVLVTSFKPPSYNPTPQTPANVPLKHTQHPPLHPATLKFLQPMCYTNNSQNNKHLPNTTPTPSVSTKFYFERFPSWLDYQDLKKAFSKISLVTNLFVSRKKTKIGRRFGFVTFFSHLEATDLCDILNLIWFDSFKIRANLARFQTPSDSREKTALTTKPETKISPKLALRDNRTFVEALLVQQPSKKTVVYESTQDDKEWLLRSLVGSIAIEVDYAQLEHMVLKTVKKAIGFRFLGASQTVITFTDKETMEKELINSCSALANYFSSIKPWKREVKAVNRFAWVSILGLPLIAWNRRCIEFMVEGVGKMIGYDITSVSQGSLMGVKVLLSTTSFTTLNKQLSMILDGEEFDISVMEMKPGFSPLLTIIKYSMDTSGTEESDEETCSKITPPEEFSSASINEPEADWTSPEQQDKDMQNDPSLILCTRYTELPSNLDQSDTTYKTFCLFPETKETHKLQTKNQQLHTASYQSQSSSIEPCYDTIREKIQVAETSYSSPDDSAVSFSRVGLDKKQTHTKASSAQVIRPTPKLTRHMILDQNQSQNTHQQSFSAFIRDLAEPQEVVEMELEGKIKDKKLRRTRGLAPKSSFSKRKNMMKVRSFAAHISDGAPNLLAMKVTSTQNNTPLQGTMSSPVIESSGVGKNHNYASMDDETNGKHLHLPPLLNPQSSPQTSADATNENANGNSHKIRKLKLLQASGSVANTMEDCDSSDSLNLGIQQGNIRFQITCMKHQDNGFDSERETQEIIQDVVALGLGNPQELKGYEEGIMRNIEREVDEWTSVNQL >Potri.005G010700.1.v4.1 pep chromosome:Pop_tri_v4:5:907948:909880:-1 gene:Potri.005G010700.v4.1 transcript:Potri.005G010700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010700.v4.1 MARILDSFPPPLQLTHPTLSRSTWPRCSMPISPNPTFCSSISHYNQLTKAFAVPRRNAMALILSSYMFSEFGFDNLAFAQQSVGFREYIDQFDGYSFKYPQNWIQVRGAGADIFFRDPFVLDENLSVELSSPSSSRYKSVEDLGPPQEAGKKVLKQYLTEFMSTRLGVRRESNILSTSSRVADDGKLYYQVEVNIKSYANNNELAVMPRERVVRLEWDRRYLSVLGVENNQLYELRLQTPENVFVEEENDLRKVMDSFRVNKIAV >Potri.009G095951.1.v4.1 pep chromosome:Pop_tri_v4:9:8665590:8665766:1 gene:Potri.009G095951.v4.1 transcript:Potri.009G095951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095951.v4.1 MPQIFVSTHSTKRMFGSVVAVAFQITFCAEMHANDFFYFLKIIFNISTSKRSKTYKPY >Potri.019G027440.1.v4.1 pep chromosome:Pop_tri_v4:19:3986466:3996215:1 gene:Potri.019G027440.v4.1 transcript:Potri.019G027440.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G027440.v4.1 MEEVRRAAVAYYENLSEEKKRNARFSFNEMDKNGDGRINLHEYLEYLKKDNNTVLTHPSLFTALDKDGNGSLDFEETIVLYYIMQSGRALICQSCNTFLADVYFSCSQCFFKDDSDSTYEICCDCYGGKRFTHHADAIFCDNYTLLSQSRSLAVKAPVQVESKKRFSPKPNLWGPLIVVAGFPWALSRGFSELHLQLYNSDQPGRWRPNSLRSVKIVNLRRKQIWRGFVRLLKLILKISPIGKRKRPEKLSMPWIRTETGKLASVNTRTISREKKPQTSLIRTSSARWTRTAMETWILRKQSSCSTSCRVEEL >Potri.014G075251.1.v4.1 pep chromosome:Pop_tri_v4:14:4865126:4865990:-1 gene:Potri.014G075251.v4.1 transcript:Potri.014G075251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075251.v4.1 MWGVGLWMGTEPLAHLYWVYCPYIYTGSRQKESSAILASYYRARTLGWESTFEPSKSI >Potri.006G255800.3.v4.1 pep chromosome:Pop_tri_v4:6:25313655:25319108:-1 gene:Potri.006G255800.v4.1 transcript:Potri.006G255800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255800.v4.1 MGFSVALRCYLPVESSLHSSKFSPRFNLKQNRLAFITTSKIESPSLQIVKSISNNMNSSINENGATEPARVLLERLFAQTLKLEEQMSRSSRLPEDVQPVVNLEILESDLLALLKALKKKEEELQDAERNVFLEHSRLNQAKEELKKRENVITAAFSKHEKLEGELKQANLNLASQAREIEELKLQLKEKEQDIASACSALSLKEDEMDKMKTDLLKKSEEVARIDSELKYKAQLLNQASEVVKRQEIELQGLQMLIREKEEELEVSTNLRKFEEEKLKVVESNLEDRTREWLLIQEGLNKLAKEASKQVRDTNEALEDFGRVYKLLEDVRSELISSQKSLAFSRKQMEEQEQLLKTQLAELEEQRKSVMSYLNSLKNAKIEVESERVKLRTAEARNKELERDLSMEKELVEELQKELEKEKSSLQQEIEKTSFLQQELLQKNIEFGEMQHLLQAKESDLVEAKLDIQNLKSEQASLQLILEDKDLQLFDARKNLDEVNQEVAELRMLMSSKEEQLVQATTMIKEKEEHVQVMQDELNNTRVKVSEAESVVERIVELTNELVISIKDQNELRQSNNMTLEFFQQPLDELSDDFRLQKKQYETELKFSRESLRVKEMEVLAAKRALAIKDEELKTVLERLDTKEKELRKLKEEAVEDANDLRKLYSLAQERIGESSVGDLAIEKLKLEAAQLEVEAATSALQKLAEMSRELLNKASLSIEADADIFMPNGSGPGLVLLENNECFKEVKTEVARLSSLTEQLLQDAGITVGAD >Potri.006G255800.4.v4.1 pep chromosome:Pop_tri_v4:6:25315273:25319144:-1 gene:Potri.006G255800.v4.1 transcript:Potri.006G255800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255800.v4.1 MGFSVALRCYLPVESSLHSSKFSPRFNLKQNRLAFITTSKIESPSLQIVKSISNNMNSSINENGATEPARVLLERLFAQTLKLEEQMSRSSRLPEDVQPVVNLEILESDLLALLKALKKKEEELQDAERNVFLEHSRLNQAKEELKKRENVITAAFSKHEKLEGELKQANLNLASQAREIEELKLQLKEKEQDIASACSALSLKEDEMDKMKTDLLKKSEEVARIDSELKYKAQLLNQASEVVKRQEIELQGLQMLIREKEEELEVSTNLRKFEEEKLKVVESNLEDRTREWLLIQEGLNKLAKEASKQVRDTNEALEDFGRVYKLLEDVRSELISSQKSLAFSRKQMEEQEQLLKTQLAELEEQRKSVMSYLNSLKNAKIEVESERVKLRTAEARNKELERDLSMEKELVEELQKELEKEKSSLQQEIEKTSFLQQELLQKNIEFGEMQHLLQAKESDLVEAKLDIQNLKSEQASLQLILEDKDLQLFDARKNLDEVNQEVAELRMLMSSKEEQLVQATTMIKEKEEHVQVMQDELNNTRVKVSEAESVVERIVELTNELVISIKDQNELRQSNNMTLEFFQQPLDELSDDFRLQKKQYETELKFSRESLRVKEMEVLAAKRALAIKDEELKTVLERLDTKEKELRKLKEEAVEDANDLRKLYSLAQERIGESSVGDLAIEKLKLEAAQLEVEAATSALQKLAEMSRELLNKASLSIEADADIFMPNGSGPGLVLLENNECFKEVKTEVARLSSLTEQLLQDAGITVGAD >Potri.006G255800.5.v4.1 pep chromosome:Pop_tri_v4:6:25315198:25319096:-1 gene:Potri.006G255800.v4.1 transcript:Potri.006G255800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255800.v4.1 MGFSVALRCYLPVESSLHSSKFSPRFNLKQNRLAFITTSKIESPSLQIVKSISNNMNSSINENGATEPARVLLERLFAQTLKLEEQMSRSSRLPEDVQPVVNLEILESDLLALLKALKKKEEELQDAERNVFLEHSRLNQAKEELKKRENVITAAFSKHEKLEGELKQANLNLASQAREIEELKLQLKEKEQDIASACSALSLKEDEMDKMKTDLLKKSEEVARIDSELKYKAQLLNQASEVVKRQEIELQGLQMLIREKEEELEVSTNLRKFEEEKLKVVESNLEDRTREWLLIQEGLNKLAKEASKQVRDTNEALEDFGRVYKLLEDVRSELISSQKSLAFSRKQMEEQEQLLKTQLAELEEQRKSVMSYLNSLKNAKIEVESERVKLRTAEARNKELERDLSMEKELVEELQKELEKEKSSLQQEIEKTSFLQQELLQKNIEFGEMQHLLQAKESDLVEAKLDIQNLKSEQASLQLILEDKDLQLFDARKNLDEVNQEVAELRMLMSSKEEQLVQATTMIKEKEEHVQVMQDELNNTRVKVSEAESVVERIVELTNELVISIKDQNELRQSNNMTLEFFQQPLDELSDDFRLQKKQYETELKFSRESLRVKEMEVLAAKRALAIKDEELKTVLERLDTKEKELRKLKEEAVEDANDLRKLYSLAQERIGESSVGDLAIEKLKLEAAQLEVEAATSALQKLAEMSRELLNKASLSIEADADIFMPNGSGPGLVLLENNECFKEVKTEVARLSSLTEQLLQDAGITVGAD >Potri.013G037599.1.v4.1 pep chromosome:Pop_tri_v4:13:2507367:2511183:1 gene:Potri.013G037599.v4.1 transcript:Potri.013G037599.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037599.v4.1 MFMSSSSSSSSSSSSRLGWHYDVFLSFRGEDTRKNFTDHLYTALQNAGIHTFRDDNELPKGEEISSHLLKAIKESKISIVVFSKGYASSTWCLDELSEILDCRQTAGQIVLPVFYDIDPSDIRKQTGSFAEAFDRHEERFKEEMEKVQKWRKALVEAGRLSGLDLHSIANGHESKLIQMIVEEVLSKLNPRYMKVATYPVGIDSQVKDIISMLCVGTNEVRIVGIYGMPGIGKTTIAKAVFNQICHQFEGSSCLLNIRERLDQHRGLLQLQQQLLRDAFKGYIRIHDDDDEDGIKSQFCRKRVLVILDDVDQLKHLRGLAGERDWFGPGSRIVITTRDERLLTRLEVEKQYHAEGLNNDESLQLFSWHAFKKPHPMKEYVELSKVVVDYVGGVPLALEVLGSKLFKRSITHWRSFIEKLQKHLPHQIQRQLITSLDDLDGEVKGMFLDIACFFNGMDKDYVGKILDGRGFYPEMGFDILRERSLLTVNSENELQMNNLLRDMGREIIHQMAPNHPGKRSRLWHREDIMDVLDKYCSGTEAVEGIVLDAQASKDVVPSTSFAPMTSLQLLKFSGGQVRGHYEHISKALIWLCWHKFSLKTLPHKFRLDSLVVLDMQHSNIRELWKETECLNNLKVMDLSNCRFFAKTPNFSGLPSLERLILENCGSLADIHQSVGELKKLVFLNLKGCYGLQNLPESICELKSLETMNLQSCPSLKKLPEKLGNMQVLTDLLLDETGVQNLPSSTGILKKLKKLLVRGSGLGFGLEVQRYRPQASSFYSRQSRRLEAQLQRQEAFPSLDSNAFHSKELALKQQPFLPPSFSGLSSLTTLDISNRYLSNNDISINLGSLSSLQDLNLAGNHFSELPAGTGHLAKLEKLDLSRCLNLLFISEIPSSLRALVARDCTSLEKVSIQSKTAPDLLLGGCGKLAEIQGLESVENKPVIRMENCNNLSNNSKEILLQVLSKGKLPDVVLPGSDVPHWFMQYQRDRSSTKFRIPPLSAGLSPGLIVWTVYAAIEVARCTRPYLNIQIPTCISLCSASIRKKKDDNELFYTRPCLDISSSHGCYDGDHSWVIYIPFSRIQGAIEGGDELEVSVKPGDDTIVKKCVLL >Potri.001G075500.1.v4.1 pep chromosome:Pop_tri_v4:1:5698832:5700883:1 gene:Potri.001G075500.v4.1 transcript:Potri.001G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075500.v4.1 MNSLAFSVGNYTPRNLSAPNTTLPAKNLDTTKVSSIKFSSHSQENFSRQLQPKESQTFFKRREAIGLGFCAGFLDVLLQQQLTATAEEAPCELTVAPSGLAFCDKIVGTGLEAVKGQLIKAHYVGKLESGKIFDSSYNRGKPLTFRVGVGEVIKGWDQGILGGDGIPPMLAGGKRKLKLPPELAYGMRGAGCKGGSCIIPPDSVLLFDVEFIGKA >Potri.008G081000.1.v4.1 pep chromosome:Pop_tri_v4:8:5052706:5055571:-1 gene:Potri.008G081000.v4.1 transcript:Potri.008G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081000.v4.1 MCSFKAKVTTGVDITPAVARINGRPVLQPTCNLVSTLERRNSLKKTAPKSSPPPPPPPPTFSNKTNKASPPLSPMSKSPRLPAIKRGSDANSLNSSSEKVVIPRNTTKTPTLERKKSKSFKESSVGRGVHSSFIEASLSYSSSLIVEAPGSIAAVRREQMALQHAQRKMRIAHYGRSKSARFEDQVVPNDSSISMATKTDQEEEKRCSFITANSDPIYVAYHDEEWGVPVHDDKMLFELLVLSGAQVGSDWTSILKKRQDFRDAFSGFDAEIVANISEKQIMSISAEYGIDMSRVRGVVDNSNRILEIKKEFGSFDRYIWTFVNNKPISTSYKFGHKIPVKTSKSETISKDMVRRGFRFVGPTMVHSFMQAAGLTNDHLITCHRHLPCTLMAAARRPTEAQAQ >Potri.004G196100.1.v4.1 pep chromosome:Pop_tri_v4:4:20838183:20844114:-1 gene:Potri.004G196100.v4.1 transcript:Potri.004G196100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196100.v4.1 MAIGEIFLAAFLGMLFTRLTSPEFLKFARREGIWKKAEKWRGMLLKVQEVLDDAEEKQLTEKAVKIWLDDLRDLAYDVEDLLDEFATESLRRELMAAEEASTSKVRRIVSTTLSFTKISASAIKFNPKMRSKMKEVSSRLDGMAKQRIELGLEKMSGGRRTSTDVWQKPPSASVPNEPVIYGRDGDKKKVIDLLLTEEANHGDTNFHVVPIVGMGGIGKTTLAQHVFQDELVKEWFSTKAWACVSDDFDVMRISKAILESVTPHPCDFKEYNQVQVKLREALAGKKFLLVLDDVWNKNYGLWVALKTPFAAGAPGSKIILTTRDADVALMVGPTEYHCLKPLSDQDCWSVFVKHAFENRDLGAQTNLQSVCERIVTKCKGLPLAARTLGGLLRTKQREDEWEDILNSKIWDLSDSQSDILPVLRLSYYHLPSHLKRCFTYSALIPKDFEFEEKDLVLLWMAEGLVPQQVQNKQMEDMGAEYFRDLVSRSIFQVANCDESRFVMHDLVSDLAQWAAGDTCFQLGNDLNAIKQFKVSKRARHSSYIRGWDGIRKFEVFHTTKRLRTFLPLPSLLGHNTGYLTSHVPFDLLPELEFLRVLSLSGYCIDTLPNSIGDLKHLRFLNLSFSAIRNLPQSVCSLYNLQTLLLKGCCLLEGLPSKLGSLINLRHLDITSASSIKAMPMGIEKLTNLQTLSNFVLGKDKGSRLSSLVNLKSLRGTLCITGLENVIDAREAMEANIKDINNLEVLLLEWSPRTDNSRNEKVDKDVLDDLRPHGKVKELTINCYAGLTFPTWVGNPSFSSIFLLRLENCTKCTSLPPLGLLPSLKNLSIVSLTAVKKVGPEFYGQGCSKPFPVLETLLFKNMQEWEEWIPCGLGSDEFPLLNKLSVKSCPNLCKKLPPSVPSLEKLVIKKCEKLVVLIHSLPKLCKMVINGCKEVVYEGGVYLRSLNSMTISNISKLTYLAEGFIQPLAEVQELEIANCMELASLYENGVALAKQLTSLLKLEVRNCPQVVSLMEGEVPVYMQQQLANCKLESLTFSTCESLKKLPQWVHSLVSLKELKIQYCPRLLSFPEAGLPSTLRIIEIVGCNALTPLPAAVTYNMMCLEQLRIENCESLISFGRIQLPPTLKKLEIRYCENLLCLLDDGEGSSSKKSDENTSCSGNNSSLLEYLYVGICNSLTSIGELPSALKYLQVCSCSKLKSLSSRDKLPAGLKHLAIDSCENLESMPDRFQDNMSLENLKIWFCFNLRSLPEGLHKLCHLREISIWYCPALVSFAAEGLPINLRRLFIIKCDGLKAIPDHMHNLMSLEELSIYYCPDIVSFPEEGFPTSLTYLATVDLKICELLFNWGMHKLSALRTLIIQGGFSHISFPSVDMGVRLPSALNRLSIEDFPNLEYLSYSGFQNLSSLERLSISDCPKLTSFPGKGLPSSLLELRIRACPLLVQQIKGRVKEWLKIRHIPYINIDGKVVSDPATQL >Potri.008G065500.2.v4.1 pep chromosome:Pop_tri_v4:8:3956490:3958683:-1 gene:Potri.008G065500.v4.1 transcript:Potri.008G065500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065500.v4.1 MAASEHQTVLQFATPSSSTLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSHAVPHNESSEHEVIVGWYSTGFGVTGGSALIHDFYSREVPNPIQYLSVDTGFTNGEGTIKAHVLKLQVSHHFLFLVPQARVDILKTTMIDKIPSDLEGMEVSMQRLLALIDGMCNKVDDVVEGRAAADNNIGWFISDTVTSLPKLSPPVFDKLVNDNDHLLLLYLSSITGTQLNLAQKLNTAAQIL >Potri.001G184700.2.v4.1 pep chromosome:Pop_tri_v4:1:16375260:16378620:1 gene:Potri.001G184700.v4.1 transcript:Potri.001G184700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184700.v4.1 MASIYANLPSPPLLVHSKRIPFRTLQKLPLSTIKERQNCVAVVVKATGESSESSTSLSIVKSVQNIWDDSEDRLPLVGLGFAALVAVWASANVITAVDKLPVVPSALEFVGILYSSWFVYRYLLLKPNREELFQIIKKSVGDILGQ >Potri.007G119351.1.v4.1 pep chromosome:Pop_tri_v4:7:13765561:13766115:1 gene:Potri.007G119351.v4.1 transcript:Potri.007G119351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119351.v4.1 MFFLSLNDVLLLASSSSQHTAAPTDPQLFPAPRKHFLLLPAETFKHWQIRPLPRPPPELSPAGRKNSKPRRRQRFTDLKREKSENRSGLKQTCLLCF >Potri.001G087150.1.v4.1 pep chromosome:Pop_tri_v4:1:6945030:6945194:1 gene:Potri.001G087150.v4.1 transcript:Potri.001G087150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087150.v4.1 MELSTSQGKEATSSTQRQTRIQAKNKALKGARARLYIICRCVVMLLCWKESKDE >Potri.003G119700.8.v4.1 pep chromosome:Pop_tri_v4:3:14097217:14103209:-1 gene:Potri.003G119700.v4.1 transcript:Potri.003G119700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119700.v4.1 MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSSRGKLYEFSSSSINRTIERYQKRAKDVGISSKMVQDNIQPVKEDTFTLAKKIELLEVSKRKLLGEGLETCSTDDLQQLENQLGRSLTRIRARKNQLFRERIEKLKGEEKILLEENTRLREKCGMQQPDLSSTRKQQLLEDRQITEVETELFIGPPETRLAPKP >Potri.003G119700.9.v4.1 pep chromosome:Pop_tri_v4:3:14097290:14103198:-1 gene:Potri.003G119700.v4.1 transcript:Potri.003G119700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119700.v4.1 MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSSRGKLYEFSSSRSFSINRTIERYQKRAKDVGISSKMVQDNIQPVKEDTFTLAKKIELLEVSKRKLLGEGLETCSTDDLQQLENQLGRSLTRIRARKNQLFRERIEKLKGEEKILLEENTRLREKCGMQQPDLSSTRKQQLLEDRQITEVETELFIGPPETRLAPKP >Potri.003G119700.10.v4.1 pep chromosome:Pop_tri_v4:3:14097215:14103209:-1 gene:Potri.003G119700.v4.1 transcript:Potri.003G119700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119700.v4.1 MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSSRGKLYEFSSSSINRTIERYQKRAKDVGISSKMVQDNIQPVKEDTFTLAKKIELLEVSKRKLLGEGLETCSTDDLQQLENQLGRSLTRIRARKNQLFRERIEKLKGEEKILLEENTRLREKCGMQQPDLSSTRKQQLLEDRQITEVETELFIGPPETRLAPKP >Potri.003G119700.11.v4.1 pep chromosome:Pop_tri_v4:3:14097563:14099472:-1 gene:Potri.003G119700.v4.1 transcript:Potri.003G119700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119700.v4.1 MVQDNIQPVKEDTFTLAKKIELLEVSKRKLLGEGLETCSTDDLQQLENQLGRSLTRIRARKNQLFRERIEKLKGEEKILLEENTRLREKCGMQQPDLSSTRKQQLLEDRQITEVETELFIGPPETRLAPKP >Potri.008G022900.4.v4.1 pep chromosome:Pop_tri_v4:8:1145618:1150165:1 gene:Potri.008G022900.v4.1 transcript:Potri.008G022900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022900.v4.1 MTTTNISPSSIVVEVLRGDNYDDWSACMKSYMLAQDLWDFIEPSTGHHEGDQEVDSKPIDHQEGDSKALRKKNAAALHAIQISCAPNILSKIRSITSAKVAWDTLANLQQQNSPSHKEQSVEAEPSEDDESLGSEQSVEAEPAEDDESQGSGSVSYGINGPLLTLYKYAHIGDWDATKNYLSQYPNAKKARIKPYGRTALHVAACAGNLTIVEELVKMMSEEELEIQDDEGSTALFSAATVGITKMAECLVSKNKNLVTFVNAQKRIPLDGACTGNNKDMALYLYSVTPFEFLCQGNGHHGSYFLQCALGAQMLDIVLDFLHRCPRFATTMNEVLRTNALIYLSKMPQTFPSASRLAFWQRWIYSCIPMQSIATTDDNVRINMPDQSLSESKNIILQVSSKLRGFAINLLAFLGIKQIYDLKKIHIYSDKILRCMCEYISTLDYEEYIKADVHRAFHKAARNGMVEFITEVVKACPHVMTSADGNARNLFMSSIANRQEKVFSLFYGLESNRARFVCLMDISRNTMLHLAAKLSPPSQLARISGAALQMQRELQWYKEVESIVNPTDKDFLNQNDQTPREIFTYDHKDLVVKGEQWMKEAATSCTVVGALIITIMFTVAFTVPGGNVQETGYPVFKDEKSFTVFIVADAISLFSSSTSVLMFLGILMSRYAEEDFLKSLPTKLIIGLSMLFFSIAAMMVTFCAALIIMLDGRLQVIIPIVLLATIPVTFFMWLQFPLLVEIFVSTYGPGIFNRKMKRWY >Potri.008G022900.5.v4.1 pep chromosome:Pop_tri_v4:8:1145698:1150148:1 gene:Potri.008G022900.v4.1 transcript:Potri.008G022900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022900.v4.1 MLFLFAIAIPDIVLDFLHRCPRFATTMNEVLRTNALIYLSKMPQTFPSASRLAFWQRWIYSCIPMQSIATTDDNVRINMPDQSLSESKNIILQVSSKLRGFAINLLAFLGIKQIYDLKKIHIYSDKILRCMCEYISTLDYEEYIKADVHRAFHKAARNGMVEFITEVVKACPHVMTSADGNARNLFMSSIANRQEKVFSLFYGLESNRARFVCLMDISRNTMLHLAAKLSPPSQLARISGAALQMQRELQWYKEVESIVNPTDKDFLNQNDQTPREIFTYDHKDLVVKGEQWMKEAATSCTVVGALIITIMFTVAFTVPGGNVQETGYPVFKDEKSFTVFIVADAISLFSSSTSVLMFLGILMSRYAEEDFLKSLPTKLIIGLSMLFFSIAAMMVTFCAALIIMLDGRLQVIIPIVLLATIPVTFFMWLQFPLLVEIFVSTYGPGIFNRKMKRWY >Potri.008G022900.6.v4.1 pep chromosome:Pop_tri_v4:8:1144309:1150146:1 gene:Potri.008G022900.v4.1 transcript:Potri.008G022900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022900.v4.1 MLFLFAIAIPDIVLDFLHRCPRFATTMNEVLRTNALIYLSKMPQTFPSASRLAFWQRWIYSCIPMQSIATTDDNVRINMPDQSLSESKNIILQVSSKLRGFAINLLAFLGIKQIYDLKKIHIYSDKILRCMCEYISTLDYEEYIKADVHRAFHKAARNGMVEFITEVVKACPHVMTSADGNARNLFMSSIANRQEKVFSLFYGLESNRARFVCLMDISRNTMLHLAAKLSPPSQLARISGAALQMQRELQWYKEVESIVNPTDKDFLNQNDQTPREIFTYDHKDLVVKGEQWMKEAATSCTVVGALIITIMFTVAFTVPGGNVQETGYPVFKDEKSFTVFIVADAISLFSSSTSVLMFLGILMSRYAEEDFLKSLPTKLIIGLSMLFFSIAAMMVTFCAALIIMLDGRLQVIIPIVLLATIPVTFFMWLQFPLLVEIFVSTYGPGIFNRKMKRWY >Potri.008G022900.3.v4.1 pep chromosome:Pop_tri_v4:8:1144350:1150158:1 gene:Potri.008G022900.v4.1 transcript:Potri.008G022900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022900.v4.1 MTTTNISPSSIVVEVLRGDNYDDWSACMKSYMLAQDLWDFIEPSTGHHEGDQEVDSKPIDHQEGDSKALRKKNAAALHAIQISCAPNILSKIRSITSAKVAWDTLANLQQQNSPSHKEQSVEAEPSEDDESLGSEQSVEAEPAEDDESQGSGSVSYGINGPLLTLYKYAHIGDWDATKNYLSQYPNAKKARIKPYGRTALHVAACAGNLTIVEELVKMMSEEELEIQDDEGSTALFSAATVGITKMAECLVSKNKNLVTFVNAQKRIPLDGACTGNNKDMALYLYSVTPFEFLCQGNGHHGSYFLQCALGAQMLDIVLDFLHRCPRFATTMNEVLRTNALIYLSKMPQTFPSASRLAFWQRWIYSCIPMQSIATTDDNVRINMPDQSLSESKNIILQVSSKLRGFAINLLAFLGIKQIYDLKKIHIYSDKILRCMCEYISTLDYEEYIKADVHRAFHKAARNGMVEFITEVVKACPHVMTSADGNARNLFMSSIANRQEKVFSLFYGLESNRARFVCLMDISRNTMLHLAAKLSPPSQLARISGAALQMQRELQWYKEVESIVNPTDKDFLNQNDQTPREIFTYDHKDLVVKGEQWMKEAATSCTVVGALIITIMFTVAFTVPGGNVQETGYPVFKDEKSFTVFIVADAISLFSSSTSVLMFLGILMSRYAEEDFLKSLPTKLIIGLSMLFFSIAAMMVTFCAALIIMLDGRLQVIIPIVLLATIPVTFFMWLQFPLLVEIFVSTYGPGIFNRKMKRWY >Potri.016G029100.3.v4.1 pep chromosome:Pop_tri_v4:16:1596829:1601712:-1 gene:Potri.016G029100.v4.1 transcript:Potri.016G029100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029100.v4.1 MCSIFMYSDHDIVASFLVKKPVFLLEDNKLKLQGDIFDEMRVPNTKVVILSLEPLAGSNRTKVVFGVDPLENDSKISSTDQSLIRGSFVSLVVNDSSLELTKSLFGDASSFEVLKFPGGITIIPPQRAFLLQKVQIPFNFTLNFSILQIREKFAELKSQLKAGLHLTPIENLYIELWNSQGSTVSPPTTVKSSVLLVIGNTPRLKQLAQTIRGNSKNLGLNNTIFGRVKQVRLSSILQHSLHGGEGSAPSPSPTSLPHHHHQHHHHHHHQHHHHHHDAHAPAISPIPPPKRSAPAPVDDSPAPLKSSSAPHNNHEANPPGCQFGRKRRFTGNGGKRSHLAPSVAPSSPPHFAALPQPDNDRPEVSPAPSPISQSIPASSPLPNVVFAHAQPPSRGKSDEHSDTMLPFSPSPSPSSSSAGLLTVQWVLSLIAVVLQL >Potri.016G029100.1.v4.1 pep chromosome:Pop_tri_v4:16:1596559:1601858:-1 gene:Potri.016G029100.v4.1 transcript:Potri.016G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029100.v4.1 MGKVGNSVNGSEEEQGIGTSGENGEQNVERGFYCFGCKGNFSVTRFIGFRCVFVLLLSVAVFLSAVFWLPPFLHFADQGDLDLDYRIKDHDIVASFLVKKPVFLLEDNKLKLQGDIFDEMRVPNTKVVILSLEPLAGSNRTKVVFGVDPLENDSKISSTDQSLIRGSFVSLVVNDSSLELTKSLFGDASSFEVLKFPGGITIIPPQRAFLLQKVQIPFNFTLNFSILQIREKFAELKSQLKAGLHLTPIENLYIELWNSQGSTVSPPTTVKSSVLLVIGNTPRLKQLAQTIRGNSKNLGLNNTIFGRVKQVRLSSILQHSLHGGEGSAPSPSPTSLPHHHHQHHHHHHHQHHHHHHDAHAPAISPIPPPKRSAPAPVDDSPAPLKSSSAPHNNHEANPPGCQFGRKRRFTGNGGKRSHLAPSVAPSSPPHFAALPQPDNDRPEVSPAPSPISQSIPASSPLPNVVFAHAQPPSRGKSDEHSDTMLPFSPSPSPSSSSAGLLTVQWVLSLIAVVLQL >Potri.013G014200.1.v4.1 pep chromosome:Pop_tri_v4:13:919960:920988:1 gene:Potri.013G014200.v4.1 transcript:Potri.013G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014200.v4.1 MKQQYYSLFSFSFFLLFLHCTTTFAQTSPAATPAQAPAVVVAQPPAATPTQAAQPHGITNVTKILEKAGHFTIFIRLLRSTQEENHLFSALNDSSSGVTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVVPTFLSTSQFQTVSNPLGTWAGTGNRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFASKAPAPAPVAPAPEKPTKAVPAATVESPVAPVDTSSALMFTQNHVVGSVAIFAAAMFAL >Potri.010G172600.1.v4.1 pep chromosome:Pop_tri_v4:10:17367358:17370101:1 gene:Potri.010G172600.v4.1 transcript:Potri.010G172600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172600.v4.1 MVSKQSSPRADSLDHRTDLLSPAVAGETVNMMTMEPSWQLSIDKFRLPERRMDSHSGFGYFLKTPRRHKKISEYYRWQEKLLEGFNEVESFVELGISPGSLTEDEMKQLARNERVAIYASNIANLVLFLAKVYASFESRSLAVIASTLDSLLDLLSGFILWFTAYAMKKPNQYRYPIGKQRMQPVGIVIFASVMATLGLQILFESGRELVTRAQPERDPNKEKWMIGIMVSVTVVKFVLVVYCRRFNNEIVRTYAQDHFFDVITNSIGLGSAVLAIKFYWWIDPIGAILIALYTMSNWGKTVVDNVRSLIGRTAPPEFLAKLTYLIWNHHMEIKHIETVRAYTFGCQYFVEVHIVLPQDMSLDQAHDIGETLEEKLEQLPGVERAFVHVDFDTTHQLEHKSKRPGIF >Potri.001G347400.10.v4.1 pep chromosome:Pop_tri_v4:1:35905502:35910378:1 gene:Potri.001G347400.v4.1 transcript:Potri.001G347400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347400.v4.1 MQQLMVTSDTTTLSYWLNWRVLLCAIWVFTPMVVAFFLIRKYECLGSCKGKTQQEVAHSLCGNQPWRSCLNQIHPIWLLAYRLLSFSLLLPILIAKVSRNGFVMFYYYTQWTFTSVTIYFGFGSLLSIYGCYLYHKTGFYEPHVGRDTEQGYYMPLPHGDRANELEKRKSSEPPEEIHSSQAASICCYLFQVIFQMTAGAVMLTDSIYWIIIFPFLTMRDYSLDFLTVNMHTLNAVLLLGDTALNCLPFPWFRVSYFILWTGIFVIFQWIVHACVSIWWPYPFLDLSSSYAPLWYLLVAMMHIPSYGLFMLFIKIKHNLLTKWFPQSYSC >Potri.001G347400.11.v4.1 pep chromosome:Pop_tri_v4:1:35905506:35910670:1 gene:Potri.001G347400.v4.1 transcript:Potri.001G347400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347400.v4.1 MQQLMVTSDTTTLSYWLNWRVLLCAIWVFTPMVVAFFLIRKYECLGSCKGKTQQEVAHSLCGNQPWRSCLNQIHPIWLLAYRLLSFSLLLPILIAKVSRNGFVMFYYYTQWTFTSVTIYFGFGSLLSIYGCYLYHKTGFYEPHVGRDTEQGYYMPLPHGDRANELEKRKSSEPPEEIHSSQAASICCYLFQVIFQVHFFVLSSSFSDTEKGYNKQASCHVSLVGY >Potri.018G062700.4.v4.1 pep chromosome:Pop_tri_v4:18:7319684:7331987:1 gene:Potri.018G062700.v4.1 transcript:Potri.018G062700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062700.v4.1 MVETIASPLASRFNYQRLDLKRCVPAFLSSHKILFTLLWIAAFASVFLWQRNAVRGGFAAFWHGPVRPIPKLRPVAFNLTDFGAVGDGVTLNTEAFERAVSAISKLARRGGGQLNVPPGKWLTAPFNLTSHMTLFLAEDAVILGIQDENYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLRDIVITGHNGTIDGQGQTWWKKYRQKLLNHTRGPLVQIMWSSDIVFMNITLRNSPFWTLHPYDCKNVTIRNVTILAPIFEAPNTDGIDPDSCEDMVIEDCYISVGDDAIAIKSGWDQYGIAYGRPSTNILIRNLVVRSMVSAGISIGSEMSGGVSSVTVENLLVWSSRRAVRIKTAPGRGAYVRNITYRNLTFDDVRVGIVIKTDYNEHPDEGYDPKAVPTLQDISFSGIHGQGVRVPVRIHGSEEIPVRNVTFQDMSVGLTYKKKHIFQCAFVQGRVIGTIFPAPCENLDRYDEQGKLVKLSVSQNITDIDYDF >Potri.018G062700.5.v4.1 pep chromosome:Pop_tri_v4:18:7319654:7325682:1 gene:Potri.018G062700.v4.1 transcript:Potri.018G062700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062700.v4.1 MVETIASPLASRFNYQRLDLKRCVPAFLSSHKILFTLLWIAAFASVFLWQRNAVRGGFAAFWHGPVRPIPKLRPVAFNLTDFGAVGDGVTLNTEAFERAVSAISKLARRGGGQLNVPPGKWLTAPFNLTSHMTLFLAEDAVILGIQDENYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLRDIVITGHNGTIDGQGQTWWKKYRQKLLNHTRGPLVQIMWSSDIVFMNITLRNSPFWTLHPYDCKNVTIRNVTILAPIFEAPNTDGIDPDSCEDMVIEDCYISVGDDAIAIKSGWDQYGIAYGRPSTNILIRNLVVRSMVSAGISIGSEMSGGVSSVTVENLLVWSSRRAVRIKTAPGRGAYVRNITYRNLTFDDVRVGIVIKTDYNEHPDEGYDPKAVPTLQDISFSGIHGQGVRVPVRIHGSEEIPVRNVTFQDMSVGLTYKKKHIFQCAFVQGRVIGTIFPAPCENLDRYDEQGKLVKLSVSQNITDIDYDF >Potri.018G062700.3.v4.1 pep chromosome:Pop_tri_v4:18:7319684:7332004:1 gene:Potri.018G062700.v4.1 transcript:Potri.018G062700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062700.v4.1 MVETIASPLASRFNYQRLDLKRCVPAFLSSHKILFTLLWIAAFASVFLWQRNAVRGGFAAFWHGPVRPIPKLRPVAFNLTDFGAVGDGVTLNTEAFERAVSAISKLARRGGGQLNVPPGKWLTAPFNLTSHMTLFLAEDAVILGIQDENYWPLMPPLPSYGYGREHPGPRYGSLIHGQNLRDIVITGHNGTIDGQGQTWWKKYRQKLLNHTRGPLVQIMWSSDIVFMNITLRNSPFWTLHPYDCKNVTIRNVTILAPIFEAPNTDGIDPDSCEDMVIEDCYISVGDDAIAIKSGWDQYGIAYGRPSTNILIRNLVVRSMVSAGISIGSEMSGGVSSVTVENLLVWSSRRAVRIKTAPGRGAYVRNITYRNLTFDDVRVGIVIKTDYNEHPDEGYDPKAVPTLQDISFSGIHGQGVRVPVRIHGSEEIPVRNVTFQDMSVGLTYKKKHIFQCAFVQGRVIGTIFPAPCENLDRYDEQGKLVKLSVSQNITDIDYDF >Potri.001G420400.1.v4.1 pep chromosome:Pop_tri_v4:1:44896314:44901746:1 gene:Potri.001G420400.v4.1 transcript:Potri.001G420400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420400.v4.1 MGRNLSQSPHRDRHRSSHRDRDASPVREKQQQQQQRSSRSNNNNKSPRKREMSPPLSPPARHKSSQKDRSPVEKERNSNRGRSPSPRTKRLRRSQDEKEGAKMRDREVDRNHDKKGSEKGGTRREREGEREDYERSLGKGGDKGLQREGEAERNRRERGEREVGKERRSERDEIEGKSSSRGRHKGRSTSPLESDRRNRSKHGSRSPLPQTERDRNEGTNSRGAEQRNSDDDNYDDSVAKMKAAEEALEVKKKQEPSFELSGKLAAETNRVRGVTLLFTEPPDAKKPNVRWRLYVFKGGEALNEPLYIHRQSCYLFGRERRVADIPTDHPSCSKQHAVIQFRQVEKEQPDGMLKKQVRPYVMDLGSTNKTFINDNPIEPQRYYELFEKDTIKFGNSSREYVLLHENSSE >Potri.010G066100.5.v4.1 pep chromosome:Pop_tri_v4:10:9460815:9465188:1 gene:Potri.010G066100.v4.1 transcript:Potri.010G066100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066100.v4.1 MLEDMAHPSEQLLIDDVSSPISGQILEFCDPEFFQETLQNSEVTSSSYCCYENSSYTNNLPSPPDIDKSNCCQDNNGNHNSTTPTTTTASTITTSTTTTTAANTNNINNNDTSNLSIISDSQDELVSALSASIDFSPSTFAFPIFISTQNDHFDFSSVQPQLTLTDFVSADGLSQYTADPAVPIMGHPLPSVFEEDWLSSVPSYVPLNPSSPTCSFLGPAMSTYMLAGTMNTALSADCSGIFDGGILTGSEWQPLELDYRGENGGIYCPDSLQHVFNPGDLQVLAIHQIHAELYYYHYYLLSSNLKNLYYSIESKFQVRSEIFLS >Potri.010G066100.4.v4.1 pep chromosome:Pop_tri_v4:10:9460830:9465539:1 gene:Potri.010G066100.v4.1 transcript:Potri.010G066100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066100.v4.1 MLEDMAHPSEQLLIDDVSSPISGQILEFCDPEFFQETLQNSEVTSSSYCCYENSSYTNNLPSPPDIDKSNCCQDNNGNHNSTTPTTTTASTITTSTTTTTAANTNNINNNDTSNLSIISDSQDELVSALSASIDFSPSTFAFPIFISTQNDHFDFSSVQPQLTLTDFVSADGLSQYTADPAVPIMGHPLPSVFEEDWLSSVPSYVPLNPSSPTCSFLGPAMSTYMLAGTMNTALSADCSGIFDGGILTGSEWQPLELDYRGENGGIYCPDSLQHVFNPGDLQALGNKTPKLVGGPVSSAPLTSEISSLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFVDIHRTVCGHHEDDEDEGPAMKEEDVTDSDIFAHTSGVNTFKCNYSIQSWI >Potri.010G066100.2.v4.1 pep chromosome:Pop_tri_v4:10:9460824:9465538:1 gene:Potri.010G066100.v4.1 transcript:Potri.010G066100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066100.v4.1 MLEDMAHPSEQLLIDDVSSPISGQILEFCDPEFFQETLQNSEVTSSSYCCYENSSYTNNLPSPPDIDKSNCCQDNNGNHNSTTPTTTTASTITTSTTTTTAANTNNINNNDTSNLSIISDSQDELVSALSASIDFSPSTFAFPIFISTQNDHFDFSSVQPQLTLTDFVSADGLSQYTADPAVPIMGHPLPSVFEEDWLSSVPSYVPLNPSSPTCSFLGPAMSTYMLAGTMNTALSADCSGIFDGGILTGSEWQPLELDYRGENGGIYCPDSLQHVFNPGDLQALGNKTPKLVGGPVSSAPLTSEISSLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFVDIHRTVCGHHEDDEDEGPAMKEEDVTDSDIFAHTSGVNTFKCNYSIQSWI >Potri.010G066100.3.v4.1 pep chromosome:Pop_tri_v4:10:9462575:9465197:1 gene:Potri.010G066100.v4.1 transcript:Potri.010G066100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066100.v4.1 MLQTMKNHCWRMPKGKVNLNRSWKTSNFKLGLRDDVSSPISGQILEFCDPEFFQETLQNSEVTSSSYCCYENSSYTNNLPSPPDIDKSNCCQDNNGNHNSTTPTTTTASTITTSTTTTTAANTNNINNNDTSNLSIISDSQDELVSALSASIDFSPSTFAFPIFISTQNDHFDFSSVQPQLTLTDFVSADGLSQYTADPAVPIMGHPLPSVFEEDWLSSVPSYVPLNPSSPTCSFLGPAMSTYMLAGTMNTALSADCSGIFDGGILTGSEWQPLELDYRGENGGIYCPDSLQHVFNPGDLQALGNKTPKLVGGPVSSAPLTSEISSLEDSTFKVGKLSVEQRKEKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFVDIHRTVCGHHEDDEDEGPAMKEEDVTDSDIFAHTSGVNTFKCNYSIQSWI >Potri.015G017700.1.v4.1 pep chromosome:Pop_tri_v4:15:1252832:1253188:1 gene:Potri.015G017700.v4.1 transcript:Potri.015G017700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017700.v4.1 MAAARRINLNRLFSSLAPSSSSNTISKTWVIDTTPVRSEYTDRIVKLATQQLPQENQDQETVKKQEQEEITHQDSQNEEDDEDGGEYVNKETGEIGGPKGPEPTRFGDWERNGRCSDF >Potri.004G057100.3.v4.1 pep chromosome:Pop_tri_v4:4:4735579:4741874:-1 gene:Potri.004G057100.v4.1 transcript:Potri.004G057100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057100.v4.1 MPLVRFEVRNEYGLGQGELYREANSEGDSKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQERVMSTASRSHKLMVRVQNIEAALPPLEKVVLAQTSHIHFAYTPGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPAYFRRVSGNVTGPDAEKLPKDKRARKSKKKHSSPRNGDVAHYASMSNHSGRMQFTTPNGNGQTSPSHTASTIDTTLKSDAGDHSNSFDSRTGSGYIECVFHLNSSVQAEEEEPKELSSRFMQQNDVPDSVFPDRQPGMADNNFHHTSSPEQIAAPISSCVTWDEKEEIVEPSGQHYDEDEISEVLAAEPDLDTHDRSTVNLKNPNPLDIVLDGANTPKSSSSRSQLDEVESEPDDFMDALNTIESESENDIDCQTKCEVEQFSSSVNNEVEETILEVTSHISDHHPSEYESRTLSVISSNEKSPCELPSSVSLKSFAYEQESHVSGNSSKLDSSPGIECSRSANVLDNSKVESVSDPPSSSVSATSISNAEGPLSDKIISSSNKSQESQNDFSSVQSTTFWTNGGLLGLEPSKPPDFAVSNAKSPDSVTRSKDETGLPTNHTSMPINDGGKPGRLIKDAGSIESAPTSKGSTSWHDDQDSKVEKPGDFHQGNRISHGYEDGPNITSAVTPGNELQHDSYSKVPPIESSQENDENSYRRLGFGHRLLVNGFSRKVSLVHDGEREPARLLRSGALEQQSWHNEVTYQATPEKAYNKQLGHKYSIDSITSSPPLEHMKISFHPIDGFEDSKLKLKFPDGNHGNESIRDMFPSFQLIPETAIPLCNVGSDSDDDTFCRSSPYMSDDHLSHHSESDSERWDSDDSPESKDHELYDALRRISPVESFSSSLQPGEAGNNQSTYTENGTDPSLSASSLDLPCFDAMNSVVYGEKKDNLHERNQQELEYLKDSTPLPPPLPPVQWRVSKPNSDISEGKLHALSEGHEHGFDIKPLESTVPQQPKPSPADDHKMNEDTIAFKPKSKEQDQQKLNCHKEANQYANGKDIDEKDDFLHQIRTKSFTLRRTGKAKPSLSSGPTANNKVSAILEKANAIRQAVASDDGEDDTWSDT >Potri.004G057100.12.v4.1 pep chromosome:Pop_tri_v4:4:4735618:4741797:-1 gene:Potri.004G057100.v4.1 transcript:Potri.004G057100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057100.v4.1 MPLVRFEVRNEYGLGQGELYREANSEGDSKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQERVMSTASRSHKLMVRVQNIEAALPPLEKVVLAQTSHIHFAYTPGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPAYFRRVSGNVTGPDAEKLPKDKRARKSKKKHSSPRNGDVAHYASMSNHSGRMQFTTPNGNGQTSPSHTASTIDTTLKSDAGDHSNSFDSRTGSGYIECVFHLNSSVQAEEEEPKELSSRFMQQNDVPDSVFPDRQPGMADNNFHHTSSPEQIAAPISSCVTWDEKEEIVEPSGQHYDEDEISEVLAAEPDLDTHDRSTVNLKNPNPLDIVLDGANTPKSSSSRSQLDEVESEPDDFMDALNTIESESENDIDCQTKCEVEQFSSSVNNEVEETILEVTSHISDHHPSEYESRTLSVISSNEKSPCELPSSVSLKSFAYEQESHVSGNSSKLDSSPGIECSRSANVLDNSKVESVSDPPSSSVSATSISNAEGPLSDKIISSSNKSQESQNDFSSVQSTTFWTNGGLLGLEPSKPPDFAVSNAKSPDSVTRSKDETGLPTNHTSMPINDGGKPGRLIKDAGSIESAPTSKGSTSWHDDQDSKVEKPGDFHQGNRISHGYEDGPNITSAVTPGNELQHDSYSKVPPIESSQENDENSYRRLGFGHRLLVNGFSRKVSLVHDGEREPARLLRSGALEQQSWHNEVTYQATPEKAYNKQLGHKYSIDSITSSPPLEHMKISFHPIDGFEDSKLKLKFPDGNHGNESIRDMFPSFQLIPETAIPLCNVGSDSDDDTFCRSSPYMSDDHLSHHSESDSERWDSDDSPESKDHELYDALRRISPVESFSSSLQPGEAGNNQSTYTENGTDPSLSASSLDLPCFDAMNSVVYGEKKDNLHERNQQELEYLKDSTPLPPPLPPVQWRVSKPNSDISEGKLHALSEGHEHGFDIKPLESTVPQQPKPSPADDHKMNEDTIAFKPKSKEQDQQKLNCHKEANQYANGKDIDEKDDFLHQIRTKERTFKMSKRLRVVMERKSFTLRRTGKAKPSLSSGPTANNKVSAILEKANAIRQAVASDDGEDDTWSDT >Potri.004G057100.6.v4.1 pep chromosome:Pop_tri_v4:4:4735581:4741800:-1 gene:Potri.004G057100.v4.1 transcript:Potri.004G057100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057100.v4.1 MPLVRFEVRNEYGLGQGELYREANSEGDSKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQERVMSTASRSHKLMVRVQNIEAALPPLEKVVLAQTSHIHFAYTPGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPAYFRRVSGNVTGPDAEKLPKDKRARKSKKKHSSPRNGDVAHYASMSNHSGRMQFTTPNGNGQTSPSHTASTIDTTLKSDAGDHSNSFDSRTGSGYIECVFHLNSSVQAEEEEPKELSSRFMQQNDVPDSVFPDRQPGMADNNFHHTSSPEQIAAPISSCVTWDEKEEIVEPSGQHYDEDEISEVLAAEPDLDTHDRSTVNLKNPNPLDIVLDGANTPKSSSSRSQLDEVESEPDDFMDALNTIESESENDIDCQTKCEVEQFSSSVNNEVEETILEESHVSGNSSKLDSSPGIECSRSANVLDNSKVESVSDPPSSSVSATSISNAEGPLSDKIISSSNKSQESQNDFSSVQSTTFWTNGGLLGLEPSKPPDFAVSNAKSPDSVTRSKDETGLPTNHTSMPINDGGKPGRLIKDAGSIESAPTSKGSTSWHDDQDSKVEKPGDFHQGNRISHGYEDGPNITSAVTPGNELQHDSYSKVPPIESSQENDENSYRRLGFGHRLLVNGFSRKVSLVHDGEREPARLLRSGALEQQSWHNEVTYQATPEKAYNKQLGHKYSIDSITSSPPLEHMKISFHPIDGFEDSKLKLKFPDGNHGNESIRDMFPSFQLIPETAIPLCNVGSDSDDDTFCRSSPYMSDDHLSHHSESDSERWDSDDSPESKDHELYDALRRISPVESFSSSLQPGEAGNNQSTYTENGTDPSLSASSLDLPCFDAMNSVVYGEKKDNLHERNQQELEYLKDSTPLPPPLPPVQWRVSKPNSDISEGKLHALSEGHEHGFDIKPLESTVPQQPKPSPADDHKMNEDTIAFKPKSKEQDQQKLNCHKEANQYANGKDIDEKDDFLHQIRTKSFTLRRTGKAKPSLSSGPTANNKVSAILEKANAIRQAVASDDGEDDTWSDT >Potri.004G057100.14.v4.1 pep chromosome:Pop_tri_v4:4:4735578:4741970:-1 gene:Potri.004G057100.v4.1 transcript:Potri.004G057100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057100.v4.1 MPLVRFEVRNEYGLGQGELYREANSEGDSKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQERVMSTASRSHKLMVRVQNIEAALPPLEKVVLAQTSHIHFAYTPGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPAYFRRVSGNVTGPDAEKLPKDKRARKSKKKHSSPRNGDVAHYASMSNHSGRMQFTTPNGNGQTSPSHTASTIDTTLKSDAGDHSNSFDSRTGSGYIECVFHLNSSVQAEEEEPKELSSRFMQQNDVPDSVFPDRQPGMADNNFHHTSSPEQIAAPISSCVTWDEKEEIVEPSGQHYDEDEISEVLAAEPDLDTHDRSTVNLKNPNPLDIVLDGANTPKSSSSRSQLDEVESEPDDFMDALNTIESESENDIDCQTKCEVEQFSSSVNNEVEETILEESHVSGNSSKLDSSPGIECSRSANVLDNSKVESVSDPPSSSVSATSISNAEGPLSDKIISSSNKSQESQNDFSSVQSTTFWTNGGLLGLEPSKPPDFAVSNAKSPDSVTRSKDETGLPTNHTSMPINDGGKPGRLIKDAGSIESAPTSKGSTSWHDDQDSKVEKPGDFHQGNRISHGYEDGPNITSAVTPGNELQHDSYSKVPPIESSQENDENSYRRLGFGHRLLVNGFSRKVSLVHDGEREPARLLRSGALEQQSWHNEVTYQATPEKAYNKQLGHKYSIDSITSSPPLEHMKISFHPIDGFEDSKLKLKFPDGNHGNESIRDMFPSFQLIPETAIPLCNVGSDSDDDTFCRSSPYMSDDHLSHHSESDSERWDSDDSPESKDHELYDALRRISPVESFSSSLQPGEAGNNQSTYTENGTDPSLSASSLDLPCFDAMNSVVYGEKKDNLHERNQQELEYLKDSTPLPPPLPPVQWRVSKPNSDISEGKLHALSEGHEHGFDIKPLESTVPQQPKPSPADDHKMNEDTIAFKPKSKEQDQQKLNCHKEANQYANGKDIDEKDDFLHQIRTKSFTLRRTGKAKPSLSSGPTANNKVSAILEKANAIRQAVASDDGEDDTWSDT >Potri.004G057100.13.v4.1 pep chromosome:Pop_tri_v4:4:4735432:4741943:-1 gene:Potri.004G057100.v4.1 transcript:Potri.004G057100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057100.v4.1 MPLVRFEVRNEYGLGQGELYREANSEGDSKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQERVMSTASRSHKLMVRVQNIEAALPPLEKVVLAQTSHIHFAYTPGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPAYFRRVSGNVTGPDAEKLPKDKRARKSKKKHSSPRNGDVAHYASMSNHSGRMQFTTPNGNGQTSPSHTASTIDTTLKSDAGDHSNSFDSRTGSGYIECVFHLNSSVQAEEEEPKELSSRFMQQNDVPDSVFPDRQPGMADNNFHHTSSPEQIAAPISSCVTWDEKEEIVEPSGQHYDEDEISEVLAAEPDLDTHDRSTVNLKNPNPLDIVLDGANTPKSSSSRSQLDEVESEPDDFMDALNTIESESENDIDCQTKCEVEQFSSSVNNEVEETILEVTSHISDHHPSEYESRTLSVISSNEKSPCELPSSVSLKSFAYEQESHVSGNSSKLDSSPGIECSRSANVLDNSKVESVSDPPSSSVSATSISNAEGPLSDKIISSSNKSQESQNDFSSVQSTTFWTNGGLLGLEPSKPPDFAVSNAKSPDSVTRSKDETGLPTNHTSMPINDGGKPGRLIKDAGSIESAPTSKGSTSWHDDQDSKVEKPGDFHQGNRISHGYEDGPNITSAVTPGNELQHDSYSKVPPIESSQENDENSYRRLGFGHRLLVNGFSRKVSLVHDGEREPARLLRSGALEQQSWHNEVTYQATPEKAYNKQLGHKYSIDSITSSPPLEHMKISFHPIDGFEDSKLKLKFPDGNHGNESIRDMFPSFQLIPETAIPLCNVGSDSDDDTFCRSSPYMSDDHLSHHSESDSERWDSDDSPESKDHELYDALRRISPVESFSSSLQPGEAGNNQSTYTENGTDPSLSASSLDLPCFDAMNSVVYGEKKDNLHERNQQELEYLKDSTPLPPPLPPVQWRVSKPNSDISEGKLHALSEGHEHGFDIKPLESTVPQQPKPSPADDHKMNEDTIAFKPKSKEQDQQKLNCHKEANQYANGKDIDEKDDFLHQIRTKSFTLRRTGKAKPSLSSGPTANNKVSAILEKANAIRQAVASDDGEDDTWSDT >Potri.004G057100.11.v4.1 pep chromosome:Pop_tri_v4:4:4735594:4741797:-1 gene:Potri.004G057100.v4.1 transcript:Potri.004G057100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057100.v4.1 MPLVRFEVRNEYGLGQGELYREANSEGDSKAVLDGVAVAGLVGILRQLGDLAEFAAEVFHGLQERVMSTASRSHKLMVRVQNIEAALPPLEKVVLAQTSHIHFAYTPGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPAYFRRVSGNVTGPDAEKLPKDKRARKSKKKHSSPRNGDVAHYASMSNHSGRYCIFMKEASAVMFNWMKLMCTWYLLCRMQFTTPNGNGQTSPSHTASTIDTTLKSDAGDHSNSFDSRTGSGYIECVFHLNSSVQAEEEEPKELSSRFMQQNDVPDSVFPDRQPGMADNNFHHTSSPEQIAAPISSCVTWDEKEEIVEPSGQHYDEDEISEVLAAEPDLDTHDRSTVNLKNPNPLDIVLDGANTPKSSSSRSQLDEVESEPDDFMDALNTIESESENDIDCQTKCEVEQFSSSVNNEVEETILEVTSHISDHHPSEYESRTLSVISSNEKSPCELPSSVSLKSFAYEQESHVSGNSSKLDSSPGIECSRSANVLDNSKVESVSDPPSSSVSATSISNAEGPLSDKIISSSNKSQESQNDFSSVQSTTFWTNGGLLGLEPSKPPDFAVSNAKSPDSVTRSKDETGLPTNHTSMPINDGGKPGRLIKDAGSIESAPTSKGSTSWHDDQDSKVEKPGDFHQGNRISHGYEDGPNITSAVTPGNELQHDSYSKVPPIESSQENDENSYRRLGFGHRLLVNGFSRKVSLVHDGEREPARLLRSGALEQQSWHNEVTYQATPEKAYNKQLGHKYSIDSITSSPPLEHMKISFHPIDGFEDSKLKLKFPDGNHGNESIRDMFPSFQLIPETAIPLCNVGSDSDDDTFCRSSPYMSDDHLSHHSESDSERWDSDDSPESKDHELYDALRRISPVESFSSSLQPGEAGNNQSTYTENGTDPSLSASSLDLPCFDAMNSVVYGEKKDNLHERNQQELEYLKDSTPLPPPLPPVQWRVSKPNSDISEGKLHALSEGHEHGFDIKPLESTVPQQPKPSPADDHKMNEDTIAFKPKSKEQDQQKLNCHKEANQYANGKDIDEKDDFLHQIRTKSFTLRRTGKAKPSLSSGPTANNKVSAILEKANAIRQAVASDDGEDDTWSDT >Potri.005G168000.1.v4.1 pep chromosome:Pop_tri_v4:5:17017207:17020989:-1 gene:Potri.005G168000.v4.1 transcript:Potri.005G168000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168000.v4.1 MATAVFHQALGTAQSHQHLQNSGSRLEFGHASLKLISKGFKVDIGLSRRGSYSSWKKKFGLIQASASQTSVVQPVSYPPNNSSDELRKKSSEAALILIRHGESLWNEKNLFTGCVDVPLTKKGVEEAIEAGKRISNIPVDMIYTSALIRAQMTAMLAMTQHRRKKVPIILHNENEQAREWSQIFSEDTKKQSIPVVTASQLNERMYGELQGLNKQETADRFGKEKVHEWRRSYDIPPPNGESLEMCAERAVAYFKDHIEPQLLSGKNVMIAAHGNSLRSIIMYLDKLTSQEVINLELSTGIPMLYILKGGKFIRRGSPAGPTEAGVYAYTRSLALYRQKLDDMLR >Potri.010G133700.1.v4.1 pep chromosome:Pop_tri_v4:10:14965129:14965530:1 gene:Potri.010G133700.v4.1 transcript:Potri.010G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133700.v4.1 MFIKYLNIISVHLRWTFNFLCYYPFNFQEHELFAVPAIGEELNTVINEAPAECAVCLSDVEEGEEIRELRCGHIFHRACLYRLLDFRQSTCPLCRGSLTPRRTLILDQHQTEVLTFKFCSFTSTDERDTWWLR >Potri.011G037900.3.v4.1 pep chromosome:Pop_tri_v4:11:2920443:2923837:-1 gene:Potri.011G037900.v4.1 transcript:Potri.011G037900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037900.v4.1 MGLGNCEVAVALLLFLSCSCSVYGDAGDTITTSQPIKDPEAIESAGKKFQLGFFSPVNSTCRYVGIWYSNISVATPVLWVANRNKPINDSSGMMTKSEDGNLVVLNGQREVLWSSNVSIGFNQSTAQLTDDGNLVLKAGPNGNLVWQSFQQPTDTYLSKMRLSANARTGNKTLLMSWRSSSDPSFGNFSAGVNPLGIPEFFIWYNGHPFWRSGPWGGKNFIGIPGMYTSVYLDGFSLQNEGDGTFTLSSIRDPAFRLTYVLTSHGKFREQYWDYGKQGWEYDWETPSTECDIYGKCGPFGSCDAQNSPICTCLKGFVAKNQDEWNKGIWTSGCVRMTSLQCDRIQNGSEVGKEDGFIKLEMMKVPTFADYWPYPSSEQECKDECLKNCSCVAYSYYNGFGCMAWTGNLIDIQKFAEGGTDLNIRLAYTELVADNKRNMKVIISMSVIVGVIAICICVFFYWKWMATHRERNLISEETLSSEAQETVFDGNLPENIEEVKLEPFFKLKILETATNNFDISKKLGQGGFGGVYRGKLPDGQEIAVKRLSRTSGQGREEFMNEVAVISKLQHRNLVRLLGCCVEGEEMMLVYEYMPNKSLDAFLFDSLRKGQLDWERRFDIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDHELNPKISDFGMARAMKIK >Potri.016G136300.1.v4.1 pep chromosome:Pop_tri_v4:16:14030682:14032411:1 gene:Potri.016G136300.v4.1 transcript:Potri.016G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136300.v4.1 MQAEKQQTWKIKVHARAKKFHFKFKATKTDQPIWKSPKFSIFLRIHRFFLQVNTESRNSIPRWKKPRTLKSKFLRFFQKFKTLPSKKQAIAAEKTQNLQNPDSKLHVDRQREFSYKKPFCIGSLLVGTLALLSESICERNQKGVIIHGSSVLLFLAFLFKKYITGKAMTLLVFLTMATAVCAVMFRLDEYMNYDQGLVSEFVWKAWNYAASSGCL >Potri.016G136300.2.v4.1 pep chromosome:Pop_tri_v4:16:14030682:14032411:1 gene:Potri.016G136300.v4.1 transcript:Potri.016G136300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136300.v4.1 MQAEKQQTWKIKVHARAKKFHFKFKATKTDQPIWKSPKFSIFLRIHRFFLQVNTESRNSIPRWKKPRTLKSKFLRFFQKFKTLPSKKQAIAAEKTQNLQNPDSKLHVDRQREFSYKKPFCIGSLLVGTLALLSESICERNQKGVIIHGSSVLLFLAFLFKKYITGKAMTLLVFLTMATAVCAVMFRLDEYMNYDQGLVSEFVWKAWNYAASSGCL >Potri.006G014800.1.v4.1 pep chromosome:Pop_tri_v4:6:928950:934079:1 gene:Potri.006G014800.v4.1 transcript:Potri.006G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014800.v4.1 MKGLFKSKPRTPVDVVRQTRDLLIYVDRSSSSLSDSKREEKMAELAKNTRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLIICLPKLNLETRKDATQVVANLQRQQVNSRLIASDYLEKNTDLLDILIAGYENTDMALHYGVMLKECIRHQSVARYVLESPHVKKFFDYIQLPNFDISADAAATFKELLTRHKSTVAEFLSKNYDWFFAEFNSKLLESTNYITRRQSIKLLGDMLLDRSNAVVMTRYVSSRDNLRILMNLLRESSKSIQTEAFHVFKLFVANQNKPPDIVSILVANRSKLLRLLADFKIDKEDEQFEADKAQIVKEIAALEPRE >Potri.002G194800.1.v4.1 pep chromosome:Pop_tri_v4:2:15761591:15762523:1 gene:Potri.002G194800.v4.1 transcript:Potri.002G194800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194800.v4.1 MELNKFILLLISSSLLPFLAGATFEAPGIADALGPAMSSLSAATTRSPLPPPVVSPTIRSPFPSPVSSPSPPDSPAHSSSTLPLLSNNAALTKICDVTRYPAECLATIAPFLTGETNPISVLKIGIHALQKSFEEATAVATKIINDLSTTAAVKAPLDTCVESFDSGIAVLNDALTAISAHDIGRLSTKLSSALTYSDTCEEAFAEQPDLESPLQETGQHLDKLASINLAISASLQWS >Potri.004G065000.1.v4.1 pep chromosome:Pop_tri_v4:4:5554005:5556793:1 gene:Potri.004G065000.v4.1 transcript:Potri.004G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065000.v4.1 MVVLSQLALEPFSVIKTCKPIGLFSEIPVIDLTDPHAKTLIIKACEEFGFFKLVNHGVPMEVMTKLEALATNFFNLPQPEKDKAGPPNPFGYGNKKIGPNGDVGWVEYLLLNTNPQISSQKTSIFQENPQIFRSAVEDYILAVKRMAFEVLELMADGLEIESRNVFSRLLRDDKSDSCFRLNHYPPCSELQALSGGNLIGFGEHTDPQIISVLRSNNTSGLQICLKEGTWVSVPPDQTSFFINVGDALQVMTNGRFRSVKHRVLADPLKPRISMIFFGGPPLSEKIAPLPSLMAERGGSLYKEFTWFEYKRSAYKSRLADYRLGLFEKTAGQ >Potri.015G097500.3.v4.1 pep chromosome:Pop_tri_v4:15:11834145:11838243:-1 gene:Potri.015G097500.v4.1 transcript:Potri.015G097500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097500.v4.1 MECNKEEAFRVKEIAEKKFIERDIAGARRFALKAQKLYPALDGLPQLLATLDAHMAADNRTNGEVDWYRVLDVEPSASEDTIRRHYRKLALILHPDKNKAVGAHGAFNIISEAWNLLSDKAKRIAYDQKRNVTDMDQKVPHWKSSVPTGHDNNSNARSQKNAMRPKPAPPPLFSKPNTFWTICNACKTQFEYLRTYLNHSLLCQNCHRSFLGVETPPPSMDGNGPSPIWTSYSQEHNSTWHVRTENSTNMGPKFQSGAFSKDGGFGSAPSTLSGAQSKKLKRKHEEEFLYRKTKTVADGASGFSGYGSSSVLKGDKLQKRRCIDEQRANSNGRETAKQVANRNGRVGESGSQKSSLEAGRRSISGNHKVNSTSELSQIEIRKMLMERAKKDISKKVKEWSSVATALKTSEKDIKKEGGKQKDGTKADAKECPEFLDSKSKAHTTEPSPINANDDPVTNINDWPVMSVPDPDFHDFDKDRTESSFGDNQVWAAYDDDDGMPRYYAMIHSVISRKPFKMRISWLNTKSNRELGPLNWIGSGFYKTSGDFWIGKHKVNKSLNSFSHKVKWVKGSRGTIQIYPGKGDIWAVYKNWSPDWNERTLDEVIHKYDMMEVLEDYKEERGVAVAPLVKVAGFKTVFRQHPDSSKTRTIPREEMFRFSHQVPSVLLTGQEGQNAPKGCWELDPASTPLELLQVLSEVQLDGMMETAEKDKEKYLSRDMTKFNEEFVEYVNTVEEKGVVGKAARGDVANARKNKRKETNEDTVMVYKRR >Potri.014G163000.11.v4.1 pep chromosome:Pop_tri_v4:14:11739021:11742388:-1 gene:Potri.014G163000.v4.1 transcript:Potri.014G163000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163000.v4.1 MSLAIGATSSLRNMGFDDDKKKKKKEGGEKSGVLETRDEDHDGGVRIGRQMSESETSMYATDHEEEDDENGTNKLQLGPQYTLKEQLEKDKDDESLRKWKEQLLGSVDLNNIGETLEPDVKFLNLSILSPGRPDIVLSIPENGKPKGLWFTLKEGSPHSLKFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTYSPQPEAYTHVNPEETTPSGMFARGSYSARSKFFDDDNKCYLEINYTFGIRKEWAAT >Potri.014G163000.16.v4.1 pep chromosome:Pop_tri_v4:14:11739039:11742388:-1 gene:Potri.014G163000.v4.1 transcript:Potri.014G163000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163000.v4.1 MPIEFLCHILLARVAHVPTKIMKNFEQNSFPFLFLFNPLNNLVFLFIIAETLEPDVKFLNLSILSPGRPDIVLSIPENGKPKGLWFTLKEGSPHSLKFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTYSPQPEAYTHVNPEETTPSGMFARGSYSARSKFFDDDNKCYLEINYTFGIRKEWAAT >Potri.014G163000.18.v4.1 pep chromosome:Pop_tri_v4:14:11739039:11742388:-1 gene:Potri.014G163000.v4.1 transcript:Potri.014G163000.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163000.v4.1 MPIEFLCHILLARVAHVPTKIMKNFEQNSFPFLFLFNPLNNLVFLFIIAETLEPDVKFLNLSILSPGRPDIVLSIPENGKPKGLWFTLKEGSPHSLKFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTYSPQPEAYTHVNPEETTPSGMFARGSYSARSKFFDDDNKCYLEINYTFGIRKEWAAT >Potri.014G163000.13.v4.1 pep chromosome:Pop_tri_v4:14:11739039:11742388:-1 gene:Potri.014G163000.v4.1 transcript:Potri.014G163000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163000.v4.1 MSLAIGATSSLRNMGFDDDKKKKKKEGGEKSGVLETRDEDHDGGVRIGRQMSESETSMYATDHEEEDDENGTNKLQLGPQYTLKEQLEKDKDDESLRKWKEQLLGSVDLNNIGETLEPDVKFLNLSILSPGRPDIVLSIPENGKPKGLWFTLKEGSPHSLKFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTYSPQPEAYTHVNPEETTPSGMFARGSYSARSKFFDDDNKCYLEINYTFGIRKEWAAT >Potri.014G163000.14.v4.1 pep chromosome:Pop_tri_v4:14:11739039:11742388:-1 gene:Potri.014G163000.v4.1 transcript:Potri.014G163000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163000.v4.1 MSLAIGATSSLRNMGFDDDKKKKKKEGGEKSGVLETRDEDHDGGVRIGRQMSESETSMYATDHEEEDDENGTNKLQLGPQYTLKEQLEKDKDDESLRKWKEQLLGSVDLNNIGETLEPDVKFLNLSILSPGRPDIVLSIPENGKPKGLWFTLKEGSPHSLKFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTYSPQPEAYTHVNPEETTPSGMFARGSYSARSKFFDDDNKCYLEINYTFGIRKEWAAT >Potri.014G163000.17.v4.1 pep chromosome:Pop_tri_v4:14:11739039:11742388:-1 gene:Potri.014G163000.v4.1 transcript:Potri.014G163000.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163000.v4.1 MPIEFLCHILLARVAHVPTKIMKNFEQNSFPFLFLFNPLNNLVFLFIIAETLEPDVKFLNLSILSPGRPDIVLSIPENGKPKGLWFTLKEGSPHSLKFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTYSPQPEAYTHVNPEETTPSGMFARGSYSARSKFFDDDNKCYLEINYTFGIRKEWAAT >Potri.014G163000.12.v4.1 pep chromosome:Pop_tri_v4:14:11739021:11742388:-1 gene:Potri.014G163000.v4.1 transcript:Potri.014G163000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163000.v4.1 MSLAIGATSSLRNMGFDDDKKKKKKEGGEKSGVLETRDEDHDGGVRIGRQMSESETSMYATDHEEEDDENGTNKLQLGPQYTLKEQLEKDKDDESLRKWKEQLLGSVDLNNIGETLEPDVKFLNLSILSPGRPDIVLSIPENGKPKGLWFTLKEGSPHSLKFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTYSPQPEAYTHVNPEETTPSGMFARGSYSARSKFFDDDNKCYLEINYTFGIRKEWAAT >Potri.014G163000.15.v4.1 pep chromosome:Pop_tri_v4:14:11739039:11742388:-1 gene:Potri.014G163000.v4.1 transcript:Potri.014G163000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163000.v4.1 MPIEFLCHILLARVAHVPTKIMKNFEQNSFPFLFLFNPLNNLVFLFIIAETLEPDVKFLNLSILSPGRPDIVLSIPENGKPKGLWFTLKEGSPHSLKFSFQVSNNIVSGLKYTNTVWKTGVKVDSTKEMLGTYSPQPEAYTHVNPEETTPSGMFARGSYSARSKFFDDDNKCYLEINYTFGIRKEWAAT >Potri.003G185175.2.v4.1 pep chromosome:Pop_tri_v4:3:18949941:18952285:1 gene:Potri.003G185175.v4.1 transcript:Potri.003G185175.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185175.v4.1 MVQEKPAFPSKLLHLSLLVFLLTILDNGVQCFRGKKVLSMHKFQWKQESDSSSCLSQKSRWENGAVILEMKHKDSCSGKILDWNKKLQKRLIMDNFQLRSLQSRIKNIILSGNIDDSVDTQIPLTSGIRLQSLNYIVTVELGGRKMTVIVDTGSDLSWVQCQPCNRCYNQQDPVFNPSKSPSYRTVLCNSLTCRSLQLATGNSGVCGSNPPTCNYVVNYGDGSYTSGEVGMEHLNLGNTTVNNFIFGCGRKNQGLFGGASGLVGLGRTDLSLISQISPMFGGVFSYCLPTTEAEASGSLVMGGNSSVYKNTTPISYTRMIHNPLLPFYFLNLTGITVGGVEVQAPSFGKDRMIIDSGTVISRLPPSIYQALKAEFVKQFSGYPSAPSFMILDSCFNLSGYQEVKIPDIKMYFEGSAELNVDVTGVFYSVKTDASQVCLAIASLPYEDEVGIIGNYQQKNQRIIYDTKGSMLGFAEEACSFY >Potri.003G185175.1.v4.1 pep chromosome:Pop_tri_v4:3:18949903:18953832:1 gene:Potri.003G185175.v4.1 transcript:Potri.003G185175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185175.v4.1 MVQEKPAFPSKLLHLSLLVFLLTILDNGVQCFRGKKVLSMHKFQWKQESDSSSCLSQKSRWENGAVILEMKHKDSCSGKILDWNKKLQKRLIMDNFQLRSLQSRIKNIILSGNIDDSVDTQIPLTSGIRLQSLNYIVTVELGGRKMTVIVDTGSDLSWVQCQPCNRCYNQQDPVFNPSKSPSYRTVLCNSLTCRSLQLATGNSGVCGSNPPTCNYVVNYGDGSYTSGEVGMEHLNLGNTTVNNFIFGCGRKNQGLFGGASGLVGLGRTDLSLISQISPMFGGVFSYCLPTTEAEASGSLVMGGNSSVYKNTTPISYTRMIHNPLLPFYFLNLTGITVGGVEVQAPSFGKDRMIIDSGTVISRLPPSIYQALKAEFVKQFSGYPSAPSFMILDSCFNLSGYQEVKIPDIKMYFEGSAELNVDVTGVFYSVKTDASQVCLAIASLPYEDEVGIIGNYQQKNQRIIYDTKGSMLGFAEEACSFY >Potri.008G008476.1.v4.1 pep chromosome:Pop_tri_v4:8:410042:410443:-1 gene:Potri.008G008476.v4.1 transcript:Potri.008G008476.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008476.v4.1 MHVQPNSQPWSSTLEQRFRYMASDMKECVNILYELRSGKRHRKLEIREKYRQDQFLGVAKSPLPELSPFIFYDCLKDAGL >Potri.003G123101.1.v4.1 pep chromosome:Pop_tri_v4:3:14370814:14372279:-1 gene:Potri.003G123101.v4.1 transcript:Potri.003G123101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123101.v4.1 MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAEAVKKKRRTTKKPYSRSIVGATLEVIQKRRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAESMAKTQKTQTKGGPKGAGPKGPKLGGGGGKR >Potri.001G443400.2.v4.1 pep chromosome:Pop_tri_v4:1:46853278:46858642:1 gene:Potri.001G443400.v4.1 transcript:Potri.001G443400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443400.v4.1 MAIESVGESIVSKIAELLVEPAIRQFRYMFCFNNFVQEFNEQKKNLALTLDRLQKAVQVAERNAEEIEKDVNKWLEDANNEIKGVNPLENETGKNGKCFTWCPNWIRQFKLSKALAKKTETLRNLEENSKKFPTVSHKAPLQDIEFLPSKGFTPSESSKEAFEQIMKALKDDSVNMIGLYGMGGVGKTTLVKEVGRRAKELRLFDEVLIATVSQNPNVTDIQDQMADSLGLRFDEKSKKGRADRLWQRLQGKKILIIVDDVWRVINLEEIGIPFGDAHGGCKILLTTRLKDICSYMECQQKVLLSLLTENEAWALFKINAGLHDEDSTLNTVAKKVARECKGLPIALVTVGRALRDKSAVEWEVASKELKNSQFQHMEQIDEEKNAYACLKLSYAYLKHEKTKLCFLLCCLFPEDYNIPIEDLTRYAVGYGLHQDAESIEDARERVYVEIQTLKDCCMLLETKSEEYVKMHDLVRDVAIQIASSEKYGFMVKAGFGLKEWPMSNKSFEGCTVVSLMGNKLAELPEELVCPQLKVLLLELDDDLNVPERFFEGMKAIEVLSVHGGCLSLQSLELSTNLQSLLLRRCECKDLNWLRKLQRLKILVFMWCDSIEELPDEIGELKELRLLDVTGCELLRRIPVNLIARLKKLEELLIGDESFKGWDVVGCDSAEGINASLTELSSLSHLAVLSLKIPKVECIPRDFVFPRLLKYDIVLGDWYSELDKEYPTSTRLYLGDISATSLNAKTFEQLFPTVSHICFWRVEGLRNIVLSSDQMTSHGHGSQKDFLQRLEYVKVKECGDIRTLFPAKWRQALKNLRRVKTYDCKSLEEVFEFDEAEEGINEEKELPLLSSLTSLRLSDLPELKCIWKGPTRHVSLQSLFYLELLSLNKLTFIFTPSLARSLIHLETLQVKQCYELKRLIREQDGDDRKIIPESLRIPELQTLSIGECDKLEHVFPVSVSPSLLNLEHMMISFAYNLKQIFHSEEGDALTRDGIINFPQLTKLSLSSCSVFGPKSFTAQLPSLQVLTIQGYEESDNSLAQLQGLTSLEKLYLYFVYEPNMSCIWKGLPLGNLTILVVRNCKRLRYVFTDIMIASLVQLKVLKISTCKDLEQIIAKNNDDEKNQILSGSDLQSLCFPNLCRLKIRGCNKLKSLFLVAMTSGLPKLQILKVSESSQLVGVFGQDDHASPVNVEKKMVLPYLQKLSLKELPSIVCFSLGCYDFLFPRLEKLEVYECPKLTTKFAIGTNGSMSAQSEVSQVAEDSRTGFFVPTTTCRMWTRNNEWGEEEEWEEEWEEEDEDEDEDEDEDEEAEEWEVEDEDEDEEAEEWEVEEEDEDEDEDEEEEEEEEDEDGGGHDD >Potri.010G057400.5.v4.1 pep chromosome:Pop_tri_v4:10:8820594:8823580:1 gene:Potri.010G057400.v4.1 transcript:Potri.010G057400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057400.v4.1 MKRGDWYRTKDLVLKGTDWIVNEVKKSGLRGRGGAGFPSGLKWSFMPKTSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERINLERARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANSGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVTSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKERAERELLEAAA >Potri.010G057400.4.v4.1 pep chromosome:Pop_tri_v4:10:8818561:8823599:1 gene:Potri.010G057400.v4.1 transcript:Potri.010G057400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057400.v4.1 MAPIRGIFSLQRTALVRQSERLGVGFRSFSTQAPTNAGAPQPPPPPPPPEKTHFGGLKDEDRIFTNVYGLHDPFLKGAMKRGDWYRTKDLVLKGTDWIVNEVKKSGLRGRGGAGFPSGLKWSFMPKTSDGRPSYLVVNADESEPGTCKDREIMRHDPHKLLEGCLIAGVGMRASAAYIYIRGEYVNERINLERARKEAYEAGLLGKNACGSGYDFDVHIHYGAGAYICGEETALLESLEGKQGKPRLKPPFPANSGLYGCPTTVTNVETVAVSPTILRRGPEWFASFGRKNNSGTKLFCVSGHVNKPCTVEEEMSIPLKELIERHCGGVRGGWDNLLAVIPGGSSVPLLPKHICDDVLMDYDALKAVTSGLGTAAVIVMDKSTDVVDAIARLSYFYKHESCGQCTPCREGTGWLWMIMERLKVGNAKLEEIDMLQEVTKQIEGHTICALGDAAAWPVQGLIRHFRPELERRIKERAERELLEAAA >Potri.005G225600.1.v4.1 pep chromosome:Pop_tri_v4:5:22632491:22633090:1 gene:Potri.005G225600.v4.1 transcript:Potri.005G225600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225600.v4.1 MNSIQEMESSNSENTSFNSIGNSNTTNTAMSVSNLPPPSSTTPSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGISYEKKKRKRPPQQQIQALPLPLPLPMPPPPGAT >Potri.014G086900.1.v4.1 pep chromosome:Pop_tri_v4:14:5626873:5629870:-1 gene:Potri.014G086900.v4.1 transcript:Potri.014G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086900.v4.1 MPLMISEMSLAIFFAFILSLLLPTSNALLVSKFETNNTFTPSTPCNPIQSSRSKKLETANVLITGNSTISSLNKTFNLGFVNPGGKPNWYLAISYASIPTPPIVWVANREKPITNLTSTRLEITAEGKLAIIALPGSTIWQSTNTEEARGLLLQENGNLVLLSAEGLIIWQSFDFPTDTWLPGMNITSERSLISWRSINDPSPGLFSLRINPLGFNEFELVYNKSAKYWSTGNWTGDAFNGVPEMTIPYIYKFHFSDPFTPSASFWYTERELDGGLRPPLTRFQVDVIGQLKQYTWTQQNEYWNMFWSQPDNKCRVYGLCGNLGVCNSTLLKPCVCVSGFIPVSDYDWESEDYTGGCVRESRDLCEESDGFMEFGVVRFEGAAMVSFGGTRNVCERTCLSNCSCIGLFHDGKTHLCKNLYGSLLNLRNSSSDSTFQDVLYVRVPKEGIVRKGVSKSVLLIGSIGGSVVLLGLVAGMLLILRKRRKNGKGVEGDGVFPGLNLKVFTYKELCAATRGFSDKLGHGGFGAVFQGELLDSTLVAVKRLERPGSGEKEFRAEVCTIGNIQHINLVRLRGFCSESSHRLLIYDYMPNGPLSAYLRRDGLNLIWDVRFRVAVGTARGIAYLHEECRDCIIHCDIKPENILLDSDYTAKVSDFGLAKLIGRDFSRVLATMRGTWGYVAPEWISGVAITTKADVYSYGMTLLELLGGRRNVEAPPSARGAGGREGEKAEKWFFPPYAAQKIIEGNVAAVVDDRLGSAYDIEEAQRVASVAVWCIQDNEEMRPTMGMVVKMLEGVVEVTTPPPPKLLQALVSGESYHGVQIDSGKGVSIGGDCCGDNAGVYSYGSPSSLGNASSPAR >Potri.003G144900.2.v4.1 pep chromosome:Pop_tri_v4:3:16002955:16007898:1 gene:Potri.003G144900.v4.1 transcript:Potri.003G144900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144900.v4.1 MGLVLKEKLKSLCCSNGWSYGVFWCFDQRNSMLLTMEDAYYEEEMGVVVNNMLSEARMLGEGIVGQAASTGKHQWIFSDASDGGWNSAASIGGQDIFQDDSEIHRQFSSGIKTIAVISVESQGLVQFGSTQKILESEEFLGQTKRLFGKMENINGLTSNSDSPSSLNCESYDLNEWFDSFCNGNITPMLGDNCNELMEIAYSSMNFTQPSAITSVVEQDRMIPLCLDSSHPTNQLKTSEAQMILSCNPKTQSQHLSSQSPSMNKTTALTPCTSTWSNAGSNLTSLESKLGYEMVVQDSPTVFSTERSMSNLHSAPSIHVTEGELSEREMSQNRFPLEFKPDDFPTDLSNSCVVDNILEWFAPSPEHSISGMAPMMNGNLSQPGGVTPASPGLIGDILVDIPLKQPATLAQSSVTESYLSNGKEKCASITGTENDLLEGLGLVFGGGQARHCWEDIMVPVASSGHTTASTGISECISELDVDSKVGPRKGLFSELLDSVSNSNYVTKSSSDDQLSNAKRRRVENSSVNGNQLQLVNASCPTSSRVMQPAYNFDKTKNLLSKQEMFPKAQTVLWIDDSYSVNTGSSGLTKSKKPEEPAKANKKRARPGESTRPRPKDRQQIQDRIKELKQIIPDGAKCSIDALLDRTIKHMLFLQSVTKYAEKLKQADEPKLIGQHNRLLPKDNSTSSGGATWALEVADQSMVCPIIVEDLSQPGLMLIEMLCEDRGFFLEIADVIKGFGLNILKGLMESREDKIWARFIVEANMQITRVEVFWSLLQLLERTGASVMDSTNQPSNVMHGRIPELNSYQLPALPCPVSLTETIQ >Potri.008G097200.1.v4.1 pep chromosome:Pop_tri_v4:8:6058171:6062452:1 gene:Potri.008G097200.v4.1 transcript:Potri.008G097200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097200.v4.1 MCNGNRGEDLAFERFSLLFIYKRDRISSVSLSIFIRLLLCLCFVTIIGCTWKPRRMAHSGVHKDRGELRIHENLDELGTDLAEYIAELSEASVKERGVFAIAISGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKTHADSNYKLAKDGLLSKVPVVPSHVNSINDSVSAEEAADDYEFHVRQLVKTRTINVSDISDCPKFDLILLGMGSDGHVASLFPNHPVLDEKDEWVTFITDSPKSPPERITFTLPVINSASNVVVVVTGESKAEAVHLAIDDAGADCLSLPARLVQPTKGKLAWFLDKLAASKLDGSQFSE >Potri.008G097200.3.v4.1 pep chromosome:Pop_tri_v4:8:6058777:6062694:1 gene:Potri.008G097200.v4.1 transcript:Potri.008G097200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097200.v4.1 MAHSGVHKDRGELRIHENLDELGTDLAEYIAELSEASVKERGVFAIAISGGSLIGLMGKLCEAPYNKTVDWAKWYIFWADERVVAKTHADSNYKLAKDGLLSKVPVVPSHVNSINDSVSAEEAADDYEFHVRQLVKTRTINVSDISDCPKFDLILLGMGSDGHVASLFPNHPVLDEKDEWVTFITDSPKSPPERITFTLPVINSASNVVVVVTGESKAEAVHLAIDDAGADCLSLPARLVQPTKGKLAWFLDKLAASKLDGSQFSE >Potri.019G133000.1.v4.1 pep chromosome:Pop_tri_v4:19:15486127:15492188:-1 gene:Potri.019G133000.v4.1 transcript:Potri.019G133000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133000.v4.1 MEAVAEGLWGLADYAEKKGEIGKAVKCLEAICQSHASFLPIVEVKTRLRISTLLLKHSHNVNQAKSHLERSQLLLKQIPSCFDLKFRTFSMLSQCYHLVGAIPPQKQTLLKALDLTASLPPEVSVRLWACNFNSQLANALIIEGDYHSAFSALESGFDSASQLCYPELQMFFATSVLHVHLMQWYDDNSVQSALRRCDDLWESLGPDRREHCLGLLFYNELLHIFYQLRVCDYKNANQHVDKLDAAMKADSHKMREAQRLTNELNALNQSLSRPDLPNRERSLLSSKQAQIQDRISSMNNTNWSAEQPLEPAYFGNTKRPWQEKLVLAPPPIDGEWLPKSAVYALVDLMVVIFGRPRGLFKECAKRIQSGMRAIQVELVKLGITDGVREVDLQHSAIWMAGVYLMLLMQFLENKVAVELTRSEFVEAQEALVQMKEWFIRFPTILQACESIIEMLRGQYAHSVGCYSEAAFHYIEAAKLTGSKSMQAMCQVYAAVSYICIGDAESSSQALDLIGPIYRMKDSFVGVREQASVLFAYGLLLMRQDEYEEARARLAKGLQIAHNSMGNLQLIAQYLTILGHLALALHDTVQAREILRSSLTLAKKLYDIPTQIWVLSVLTGLYKGLGEIGNEMENEEYRKKKLDDLQTKLADAHSSIHHIELIDKVRIEVQQFHELDIKRAMESQSMGVNLDIPESVGLSTPMPASSSSRLLDLDNLDSRRRGKRKI >Potri.017G151600.3.v4.1 pep chromosome:Pop_tri_v4:17:14885983:14898618:-1 gene:Potri.017G151600.v4.1 transcript:Potri.017G151600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G151600.v4.1 MERNERDDAKVENDHDLEDDHDHRKKDKKEEQEEELSVERIFENQDVPSWRNQLTLRALVVSFVLSILFCVIVMKLNLTTGIIPSLNVSAGLLGFFFIKTWTKFLEKSGLFKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSETVAKQLTEDSDAFKNPSLSWMIGFLFVVSFLGLFSVVPLRKVMIIDFKLTYPSGTATAHLINSFHTPAGAKLAKKQVRVLGKFFSFSFLWGFFQWFYTAGDGCGFAEFPSLGLKAHENKFFFDFSATYVGVGMICPYIINISVLLGGILSWGLMWPLIDTKKGDWYPADLKPGSLHGLQGYKVFIATALILGDGLYNFFKVLSRTLAALFFQLRGRDATGALPVADRSSPETSRISYDEQRRTHLFLKDQIPTWFAVAGYVTIASISTTTVPHIFPELKWYYILVIYIFAPALAFCNAYGCGLTDWSLASTYGTLAIFVIGAWAGASHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLSSPRSMFVSQLIGTAMGCMISPCVFWLFFKAFKDLGTPGSQYPAPYATVFRNMAILGVEGFSSLPKNCLYLCYWFFAAAILINLIKDVLGKKRARFIPNPMAMAIPFYIGTYFAIDMCVGSLILFIWGNIDKAKADAFGPAVASGLICGDGIWTLPSSILALAGVKPPICMKFLSRRTNAKVDAFLGS >Potri.017G151600.2.v4.1 pep chromosome:Pop_tri_v4:17:14894689:14898691:-1 gene:Potri.017G151600.v4.1 transcript:Potri.017G151600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G151600.v4.1 MERNERDDAKVENDHDLEDDHDHRKKDKKEEQEEELSVERIFENQDVPSWRNQLTLRALVVSFVLSILFCVIVMKLNLTTGIIPSLNVSAGLLGFFFIKTWTKFLEKSGLFKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSETVAKQLTEDSDAFKNPSLSWMIGFLFVVSFLGLFSVVPLRKVMIIDFKLTYPSGTATAHLINSFHTPAGAKLAKKQVRVLGKFFSFSFLWGFFQWFYTAGDGCGFAEFPSLGLKAHENKFFFDFSATYVGVGMICPYIINISVLLGGILSWGLMWPLIDTKKGDWYPADLKPGSLHGLQGYKVFIATALILGDGLYNFFKVLSRTLAALFFQLRGRDATGALPVADRSSPETSRISYDEQRRTHLFLKDQIPTWFAVAGYVTIASISTTTVPHIFPELKWYYILVIYIFAPALAFCNAYGCGLTDWSLASTYGTLAIFVIGAWAGASHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLSSPRSMFVSQLIGTAMGCMISPCVFWLFFKAFKDLGTPGSQYPAPYATVFRNMAILGVEGFSSLPKNCLYLCYWFFAAAILINLIKDVLGKKRARFIPNPMAMAIPFYIGTYFAIDMCVGSLILFIWGNIDKAKADAFGPAVASGLICGDGIWTLPSSILALAGVKPPICMKFLSRRTNAKVDAFLGS >Potri.009G035000.1.v4.1 pep chromosome:Pop_tri_v4:9:4518234:4521566:1 gene:Potri.009G035000.v4.1 transcript:Potri.009G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035000.v4.1 MGEEVKMSEYEINDGEENINGDDERVAVWEIGLPTPDDLTPLSQTLIPPELASAFSIFPEPHRTPLDVNRACQTTLSNLRGQLNALSSNNFKSFNETTGQTHDPIVVDLDNKTGAVDRDGSGSEARKLRRVDSEEEDSALRTDNSAEDPSSAAARTLKRPRLVWTPQLHKRFVDVVGHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSASDQLFASTPLPQSFPESSDGGGGGNGNFGIPIPMPYHHPATAGGMMPMPVYGHMGMQMGNNNGHNNNSSDNHQHHQVSINGHQNGYNGNVVHGHMFQQRDWNGNHYGSYTHHPHQVAPNDNM >Potri.009G035000.3.v4.1 pep chromosome:Pop_tri_v4:9:4518259:4519613:1 gene:Potri.009G035000.v4.1 transcript:Potri.009G035000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035000.v4.1 MGEEVKMSEYEINDGEENINGDDERVAVWEIGLPTPDDLTPLSQTLIPPELASAFSIFPEPHRTPLDVNRACQTTLSNLRGQLNALSSNNFKSFNETTGQTHDPIVVDLDNKTGAVDRDGSGSEARKLRRVDSEEEDSALRTDNSAEDPSSAAARTLKRPRLVWTPQLHKRFVDVVGHLGIKNAVPKTIMQLMNVEGLTRENVASHLQKYRLYLKRMQGLSSEGPSASDQLFASTPLPQSFPESSDGGGGGNGNFGIPIPMPYHHPATAGGMMPMPVYGHMGMQMGNNNGHNNNSSDNHQHHQVSINGHQNGYNGNVVHGHMFQQRDWNGNHYGSYTHHPHQVAPNDNM >Potri.006G005800.1.v4.1 pep chromosome:Pop_tri_v4:6:401553:405595:1 gene:Potri.006G005800.v4.1 transcript:Potri.006G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005800.v4.1 MGNVNGREEEEGAISPSSVGGGEGERSDSSEVMVASDESHVSYPAPPPEMMGHSPPHSPRATHSPLLFTPQVPVAPLQRPDEIQIPSHSWMQTSLGYEEMCNEHGIPTMITWSYGGKEVAVEGSWDDWKTRIPLQRSGKDYTIMKVLPSGVYQYRFIVDGQWRYSPDLPWAKDDAGNAHNTLDLQDFVPEDLESISGFEPPQSPELSYSNLQLGSDDFAKEPPMVPPHLQMTLLNMPSSCMEIPPPSSKPQHVVLNHLYMQKGRSGPAVVALGSTHRFLAKYVTVVLYKSLQR >Potri.016G103800.2.v4.1 pep chromosome:Pop_tri_v4:16:10666116:10672445:1 gene:Potri.016G103800.v4.1 transcript:Potri.016G103800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103800.v4.1 MANEKSVMAVIRAARPSFKNKHDKVAFAVHAAFLASGYALTATGLAAFSESAALSAPSTNEVGTENWNEVDGEYAFVYVNPDKEGKRVILKCLALNGKLAVSAISDGISEPVNLEIDVGDYVAESEGTNYSEQYRNLDKIYKKLDEEILSKLDGSSTSSLKASSSGNRNGREARQGSRQNNETGVGFSEPASPQIPLPGYVVPPVPVNPMSDLYPGAGAGMYPTGAGRGGGMLIGPDDPSWSGLFGDDPGFLGRQPGVPPGARFDPFGPPGVPGFEPNRFTRGPRRPGSNIHPDLSPMGRDPDFI >Potri.006G068600.1.v4.1 pep chromosome:Pop_tri_v4:6:5036952:5039369:-1 gene:Potri.006G068600.v4.1 transcript:Potri.006G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068600.v4.1 MVTLNSSIPAWIVHFLACMGGCFGCCTQPTPIIAVDEPSKGLRIQGRVVTKPSISDDFWSTSTCDLDNSTVQSQRSISSISLPNKNPASSTGGMSSNSEFVNHGLLLWQLSRLQWIGSGTSGNQNQRRQESRLSWNATYEGLLGSRNPFPKPIPLSEMVNFLVDVWEQEGLYD >Potri.004G082300.2.v4.1 pep chromosome:Pop_tri_v4:4:6779769:6782316:1 gene:Potri.004G082300.v4.1 transcript:Potri.004G082300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082300.v4.1 MADQEPEVINGVEASKKRGDKCDQEIDLYTVIHRVIVMILYPDPGSSASSTSLLQRIKIVLSENLPLLGEGSRNSGKKLLQWTQRGSPLRALLVISVGTIALLSLTGVLVFMLFFLAATFNAIVISLLMSLAAAGGFLALFFAFLAAIYIGALSVAVFVISTATISATVAVLIVTGWIGFFWTVWLVTKKSIGVAKHSLTVTGSAISAYSSSRHAKDQEC >Potri.007G112500.1.v4.1 pep chromosome:Pop_tri_v4:7:13346757:13349503:1 gene:Potri.007G112500.v4.1 transcript:Potri.007G112500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112500.v4.1 MAKSPPELSRPTYPTLKTPSCPKQRTTMSASSLYSPKLSIFLLVLCVSLFTLYHIRFLHAPPSSTSWSLMHHWERVTNCTQELESMAEKLRQAVTFLPLKDLRYADTAPEGHTWFMSSMHDTREEGEVQYQQFPSESSKGRLLCLKGKETHDGSWNSYALAWPEALPINATLLKGLTFVSYNHYNYDNIWHGLSAMVPFVSWHRRNGCESPSRWILYHWGELRFNMSPWLKILIEATFGGAPYIENFEGVNDSQPVCFEKSVVMRHNEGGMSRDTMIETYDLMRCKAKVYCNLSLEGRIAEVNKQGLPVIGMTLFMRTGTRSFKNESAVIGIFEKECAKIDGCRLMVAYSNNLTFCEQVKLMSLTDILASAHGAQLTNLFLMDKNSSVMEFFPKGWLKLAGVGQYVFHWLAGWSGMRHQGAWRDPNGDECPYGEDDRRCMTIYKTGKIGLNETYFTEWAKKVLNEVKIKKLEAAANKTNASASICACG >Potri.013G136000.3.v4.1 pep chromosome:Pop_tri_v4:13:14095304:14099289:-1 gene:Potri.013G136000.v4.1 transcript:Potri.013G136000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136000.v4.1 MIEQSLSKPSFSLSIPIPQPPKSKSSFLCSYSKIRCESVDYPSSSKIDAKHPQISSINSNGSSPSASVDSKNKEKGPYPYPGGGKMGSYTGRDPNVKKPEWLRQKAPQGERYDEVKESLSRLKLNTVCQEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPPPPDPMEPLNTALAIASWGVDYIVITSVDRDDLPDGGSGHFAQTVRAMKELKPEIMVECLTSDFRGDLKAVDTLVHSGLDVFAHNVETVKRLQRIVRDPRAGYEQSLSVLKHAKISKKGMITKTSIMLGLGESDNEVKEVMADLRAIGVDILTFGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVKESVKEAAAIS >Potri.013G136000.4.v4.1 pep chromosome:Pop_tri_v4:13:14095295:14099244:-1 gene:Potri.013G136000.v4.1 transcript:Potri.013G136000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136000.v4.1 MIEQSLSKPSFSLSIPIPQPPKSKSSFLCSYSKIRCESVDYPSSSKIDAKHPQISSINSNGSSPSASVDSKNKEKGPYPYPGGGKMGSYTGRDPNVKKPEWLRQKAPQGERYDEVKESLSRLKLNTVCQEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPPPPDPMEPLNTALAIASWGVDYIVITSVDRDDLPDGGSGHFAQTVRAMKELKPEIMVECLTSDFRGDLKAVDTLVHSGLDVFAHNVETVKRLQRIVRDPRAGYEQSLSVLKHAKISKKGMITKTSIMLGLGESDNEVKEVMADLRAIGVDILTFGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVKESVKEAAAIS >Potri.013G136000.5.v4.1 pep chromosome:Pop_tri_v4:13:14095294:14098154:-1 gene:Potri.013G136000.v4.1 transcript:Potri.013G136000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136000.v4.1 MGSYTGRDPNVKKPEWLRQKAPQGERYDEVKESLSRLKLNTVCQEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRNPPPPDPMEPLNTALAIASWGVDYIVITSVDRDDLPDGGSGHFAQTVRAMKELKPEIMVECLTSDFRGDLKAVDTLVHSGLDVFAHNVETVKRLQRIVRDPRAGYEQSLSVLKHAKISKKGMITKTSIMLGLGESDNEVKEVMADLRAIGVDILTFGQYLQPTPLHLTVKEYVTPEKFAFWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVKESVKEAAAIS >Potri.004G019100.5.v4.1 pep chromosome:Pop_tri_v4:4:1366622:1371296:1 gene:Potri.004G019100.v4.1 transcript:Potri.004G019100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019100.v4.1 MASLNLASIFSYSYRSFSAPVTLLRTLAFTRAYSLNKKALVWCSLSKKKLSCESLKQDIACTTATSSVNGYPEYTRLLPCPSHNSPPRIEHLVVSEEGPVLDYICKALDLPPLFVADLIHFGAVHYALVCPQPPPTATPEQIRVFEEVTAPSVLKKRASIKGKTVREAQKTFRITHVDQFLEAGMYLRVHVHPKRFPRCYDIDWKSRIIHVTESFVVLDKPAGTSVGGTTDNIEESCATFATRALGLTAPLRTTHQIDNCTEGCVVLARTREDCSVFHGKIREKTVKKLYIALAASPVPIGVVNHYMRPINMAPRLVSEEFIKGWHLCQLEVMECKRVPWPDAVMEEKYCVQDCGWPSKDHAYECKINLLTGRTHQIRAQLAALGAPLVGDSMYMPAAVAEMVSPGCNPFGKYKKQYTSEDDKAIAVEEWVLCHGKEPNVAIGLQACQISWDDGKHIYNAGSPWWRCRMA >Potri.004G019100.6.v4.1 pep chromosome:Pop_tri_v4:4:1366627:1371293:1 gene:Potri.004G019100.v4.1 transcript:Potri.004G019100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019100.v4.1 MASLNLASIFSYSYRSFSAPVTLLRTLAFTRAYSLNKKALVWCSLSKKKLSCESLKQDIACTTATSSVNGYPEYTRLLPCPSHNSPPRIEHLVVSEEGPVLDYICKALDLPPLFVADLIHFGAVHYALVCPQPPPTATPEQIRVFEEVTAPSVLKKRASIKGKTVREAQKTFRITHVDQFLEAGMYLRVHVHPKRFPRCYDIDWKSRIIHVTESFVVLDKPAGTSVGGTTDNIEESCATFATRALGLTAPLRTTHQIDNCTEGCVVLARTREDCSVFHGKIREKTVKKLYIALAASPVPIGVVNHYMRPINMAPRLVSEEFIKGWHLCQLEVMECKRVPWPDAVMEEKYCVQDCGWPSKDHAYECKINLLTGRTHQIRAQLAALGAPLVGDSMYMPAAVAEMVSPGCNPFGKYKKQYTSEDDKAIAVEEWVLCHGKEPNVAIGLQACQISWDDGKHIYNAGSPWWRCRMA >Potri.001G356800.12.v4.1 pep chromosome:Pop_tri_v4:1:37138464:37143319:1 gene:Potri.001G356800.v4.1 transcript:Potri.001G356800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356800.v4.1 MQPPTEKVHQIIARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHYLHPYFRFLVDHEELLKSVIDRKAIEEENRMENVLDQTGGPAGALSLLGSIYGSGENEEGATEDAPAVLEKDPKEAVNADSVIISPGSEEGGSSLNLAGKGKASSKHPVTPSKEKAHLVRRNRSISVVQAGTTTRARKEGDSLDMVSSTVDKLQASDSSSLSKVETSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPFYLNALHKAQESRSSGTGFISEKLDSLAHGMGRKTALEKSDTLSSSDIPYDCNRKEKFKMVIGKSKKDGQDPPSKATPPQVGVSVDAAAAILQAATKGVKNPRLEILSKTSINGIIQGPNTESGTPSGPSSLLSQAQSSSKKLDHGEPGASIPVVRAIAQTAAIAAASEADSSEASLTREQKLKAERLKRAKMFASMIKNGGAAPLRSESSRGLSAEPPESGLSASGTQIVNLTGFEREGSLCQLDVNTSDKVEKKESAGGHNERRSKRKYRSRSKREEGEGEEGELEEIRDQKLVRKKQSRYSSHQSSNRRKQKRENTLSKEKDYQHVHNHNHTSDDEHDHAQGIYTDGEHKQKHYSSSDYESSRHQHGCKRSSLESHLRHSQHRHKHDYSSDDEHRHSRHGHEHDTSSDNKRKHSRHKRKHSSFLDDEHKHSRHRSKGGNSSNEHFQYEHKHCSSSDDEDLHHRKSVKHVKKSLLEREADLEEGEILTKSDQSKASGGGDGVSREASVDLSKSYNDVRSSSRPSETTEVSDDLRAKIRAMLMATL >Potri.001G356800.14.v4.1 pep chromosome:Pop_tri_v4:1:37138461:37143244:1 gene:Potri.001G356800.v4.1 transcript:Potri.001G356800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356800.v4.1 MPDHYLHPYFRFLVDHEELLKSVIDRKAIEEENRMENVLDQTGGPAGALSLLGSIYGSGENEEGATEDAPAVLEKDPKEAVNADSVIISPGSEEGGSSLNLAGKGKASSKHPVTPSKEKAHLVRRNRSISVVQAGTTTRARKEGDSLDMVSSTVDKLQASDSSSLSKVETSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPFYLNALHKAQESRSSGTGFISEKLDSLAHGMGRKTALEKSDTLSSSDIPYDCNRKEKFKMVIGKSKKDGQDPPSKATPPQVGVSVDAAAAILQAATKGVKNPRLEILSKTSINGIIQGPNTESGTPSGPSSLLSQAQSSSKKLDHGEPGASIPVVRAIAQTAAIAAASEADSSEASLTREQKLKAERLKRAKMFASMIKNGGAAPLRSESSRGLSAEPPESGLSASGTQIVNLTGFEREGSLCQLDVNTSDKVEKKESAGGHNERRSKRKYRSRSKREEGEGEEGELEEIRDQKLVRKKQSRYSSHQSSNRRKQKRENTLSKEKDYQHVHNHNHTSDDEHDHAQGIYTDGEHKQKHYSSSDYESSRHQHGCKRSSLESHLRHSQHRHKHDYSSDDEHRHSRHGHEHDTSSDNKRKHSRHKRKHSSFLDDEHKHSRHRSKGGNSSNEHFQYEHKHCSSSDDEDLHHRKSVKHVKKSLLEREADLEEGEILTKSDQSKASGGGDGVSREASVDLSKSYNDVRSSSRPSETTEVSDDLRAKIRAMLMATL >Potri.001G356800.10.v4.1 pep chromosome:Pop_tri_v4:1:37138505:37143958:1 gene:Potri.001G356800.v4.1 transcript:Potri.001G356800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356800.v4.1 MIDVFNERCVFIFIVILIHQESGVFLGSIQLDVYFTGIVLCLNFPPLRRIYFLSIFQPPTEKVHQIIARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHYLHPYFRFLVDHEELLKSVIDRKAIEEENRMENVLDQTGGPAGALSLLGSIYGSGENEEGATEDAPAVLEKDPKEAVNADSVIISPGSEEGGSSLNLAGKGKASSKHPVTPSKEKAHLVRRNRSISVVQAGTTTRARKEGDSLDMVSSTVDKLQASDSSSLSKVETSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPFYLNALHKAQESRSSGTGFISEKLDSLAHGMGRKTALEKSDTLSSSDIPYDCNRKEKFKMVIGKSKKDGQDPPSKATPPQVGVSVDAAAAILQAATKGVKNPRLEILSKTSINGIIQGPNTESGTPSGPSSLLSQAQSSSKKLDHGEPGASIPVVRAIAQTAAIAAASEADSSEASLTREQKLKAERLKRAKMFASMIKNGGAAPLRSESSRGLSAEPPESGLSASGTQIVNLTGFEREGSLCQLDVNTSDKVEKKESAGGHNERRSKRKYRSRSKREEGEGEEGELEEIRDQKLVRKKQSRYSSHQSSNRRKQKRENTLSKEKDYQHVHNHNHTSDDEHDHAQGIYTDGEHKQKHYSSSDYESSRHQHGCKRSSLESHLRHSQHRHKHDYSSDDEHRHSRHGHEHDTSSDNKRKHSRHKRKHSSFLDDEHKHSRHRSKGGNSSNEHFQYEHKHCSSSDDEDLHHRKSVKHVKKSLLEREADLEEGEILTKSDQSKASGGGDGVSREASVDLSKSYNDVRSSSRPSETTEVSDDLRAKIRAMLMATL >Potri.001G356800.13.v4.1 pep chromosome:Pop_tri_v4:1:37138461:37143244:1 gene:Potri.001G356800.v4.1 transcript:Potri.001G356800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356800.v4.1 MQPPTEKVHQIIARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHYLHPYFRFLVDHEELLKSVIDRKAIEEENRMENVLDQTGGPAGALSLLGSIYGSGENEEGATEDAPAVLEKDPKEAVNADSVIISPGSEEGGSSLNLAGKGKASSKHPVTPSKEKAHLVRRNRSISVVQAGTTTRARKEGDSLDMVSSTVDKLQASDSSSLSKVETSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPFYLNALHKAQESRSSGTGFISEKLDSLAHGMGRKTALEKSDTLSSSDIPYDCNRKEKFKMVIGKSKKDGQDPPSKATPPQVGVSVDAAAAILQAATKGVKNPRLEILSKTSINGIIQGPNTESGTPSGPSSLLSQAQSSSKKLDHGEPGASIPVVRAIAQTAAIAAASEADSSEASLTREQKLKAERLKRAKMFASMIKNGGAAPLRSESSRGLSAEPPESGLSASGTQIVNLTGFEREGSLCQLDVNTSDKVEKKESAGGHNERRSKRKYRSRSKREEGEGEEGELEEIRDQKLVRKKQSRYSSHQSSNRRKQKRENTLSKEKDYQHVHNHNHTSDDEHDHAQGIYTDGEHKQKHYSSSDYESSRHQHGCKRSSLESHLRHSQHRHKHDYSSDDEHRHSRHGHEHDTSSDNKRKHSRHKRKHSSFLDDEHKHSRHRSKGGNSSNEHFQYEHKHCSSSDDEDLHHRKSVKHVKKSLLEREADLEEGEILTKSDQSKASGGGDGVSREASVDLSKSYNDVRSSSRPSETTEVSDDLRAKIRAMLMATL >Potri.001G356800.7.v4.1 pep chromosome:Pop_tri_v4:1:37138458:37143380:1 gene:Potri.001G356800.v4.1 transcript:Potri.001G356800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356800.v4.1 MQPPTEKVHQIIARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHYLHPYFRFLVDHEELLKSVIDRKAIEEENRMENVLDQTGGPAGALSLLGSIYGSGENEEGATEDAPAVLEKDPKEAVNADSVIISPGSEEGGSSLNLAGKGKASSKHPVTPSKEKAHLVRRNRSISVVQAGTTTRARKEGDSLDMVSSTVDKLQASDSSSLSKVETSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPFYLNALHKAQESRSSGTGFISEKLDSLAHGMGRKTALEKSDTLSSSDIPYDCNRKEKFKMVIGKSKKDGQDPPSKATPPQVGVSVDAAAAILQAATKGVKNPRLEILSKTSINGIIQGPNTESGTPSGPSSLLSQAQSSSKKLDHGEPGASIPVVRAIAQTAAIAAASEADSSEASLTREQKLKAERLKRAKMFASMIKNGGAAPLRSESSRGLSAEPPESGLSASGTQIVNLTGFEREGSLCQLDVNTSDKVEKKESAGGHNERRSKRKYRSRSKREEGEGEEGELEEIRDQKLVRKKQSRYSSHQSSNRRKQKRENTLSKEKDYQHVHNHNHTSDDEHDHAQGIYTDGEHKQKHYSSSDYESSRHQHGCKRSSLESHLRHSQHRHKHDYSSDDEHRHSRHGHEHDTSSDNKRKHSRHKRKHSSFLDDEHKHSRHRSKGGNSSNEHFQYEHKHCSSSDDEDLHHRKSVKHVKKSLLEREADLEEGEILTKSDQSKASGGGDGVSREASVDLSKSYNDVRSSSRPSETTEVSDDLRAKIRAMLMATL >Potri.001G356800.4.v4.1 pep chromosome:Pop_tri_v4:1:37138461:37143360:1 gene:Potri.001G356800.v4.1 transcript:Potri.001G356800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356800.v4.1 MDLEVFGRHALLFDDDTMASFVNSTDALVEWNSLSIDRYDVRHLLSSPPPPRSRHRHKHYDSLESELDHDRYLDLTYPSLPQDLDTEAVQEIAGVYNAVSFTYGNQHTDQKNADTESSFCPSFPVPEHLVQNLPPTEKVHQIIARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHYLHPYFRFLVDHEELLKSVIDRKAIEEENRMENVLDQTGGPAGALSLLGSIYGSGENEEGATEDAPAVLEKDPKEAVNADSVIISPGSEEGGSSLNLAGKGKASSKHPVTPSKEKAHLVRRNRSISVVQAGTTTRARKEGDSLDMVSSTVDKLQASDSSSLSKVETSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPFYLNALHKAQESRSSGTGFISEKLDSLAHGMGRKTALEKSDTLSSSDIPYDCNRKEKFKMVIGKSKKDGQDPPSKATPPQVGVSVDAAAAILQAATKGVKNPRLEILSKTSINGIIQGPNTESGTPSGPSSLLSQAQSSSKKLDHGEPGASIPVVRAIAQTAAIAAASEADSSEASLTREQKLKAERLKRAKMFASMIKNGGAAPLRSESSRGLSAEPPESGLSASGTQIVNLTGFEREGSLCQLDVNTSDKVEKKESAGGHNERRSKRKYRSRSKREEGEGEEGELEEIRDQKLVRKKQSRYSSHQSSNRRKQKRENTLSKEKDYQHVHNHNHTSDDEHDHAQGIYTDGEHKQKHYSSSDYESSRHQHGCKRSSLESHLRHSQHRHKHDYSSDDEHRHSRHGHEHDTSSDNKRKHSRHKRKHSSFLDDEHKHSRHRSKGGNSSNEHFQYEHKHCSSSDDEDLHHRKSVKHVKKSLLEREADLEEGEILTKSDQSKASGGGDGVSREASVDLSKSYNDVRSSSRPSETTEVSDDLRAKIRAMLMATL >Potri.001G356800.11.v4.1 pep chromosome:Pop_tri_v4:1:37138463:37143294:1 gene:Potri.001G356800.v4.1 transcript:Potri.001G356800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356800.v4.1 MIDVFNERCVFIFIVILIHQESGVFLGSIQLDVYFTGIVLCLNFPPLRRIYFLSIFQPPTEKVHQIIARTAIFVSKHGGQSEIVLRVKQGDNPTFGFLMPDHYLHPYFRFLVDHEELLKSVIDRKAIEEENRMENVLDQTGGPAGALSLLGSIYGSGENEEGATEDAPAVLEKDPKEAVNADSVIISPGSEEGGSSLNLAGKGKASSKHPVTPSKEKAHLVRRNRSISVVQAGTTTRARKEGDSLDMVSSTVDKLQASDSSSLSKVETSILEPPSDLKRVVEKIVEFILRNGKEFEAVLVQQDTKHGRFPFLLPSNQYHPFYLNALHKAQESRSSGTGFISEKLDSLAHGMGRKTALEKSDTLSSSDIPYDCNRKEKFKMVIGKSKKDGQDPPSKATPPQVGVSVDAAAAILQAATKGVKNPRLEILSKTSINGIIQGPNTESGTPSGPSSLLSQAQSSSKKLDHGEPGASIPVVRAIAQTAAIAAASEADSSEASLTREQKLKAERLKRAKMFASMIKNGGAAPLRSESSRGLSAEPPESGLSASGTQIVNLTGFEREGSLCQLDVNTSDKVEKKESAGGHNERRSKRKYRSRSKREEGEGEEGELEEIRDQKLVRKKQSRYSSHQSSNRRKQKRENTLSKEKDYQHVHNHNHTSDDEHDHAQGIYTDGEHKQKHYSSSDYESSRHQHGCKRSSLESHLRHSQHRHKHDYSSDDEHRHSRHGHEHDTSSDNKRKHSRHKRKHSSFLDDEHKHSRHRSKGGNSSNEHFQYEHKHCSSSDDEDLHHRKSVKHVKKSLLEREADLEEGEILTKSDQSKASGGGDGVSREASVDLSKSYNDVRSSSRPSETTEVSDDLRAKIRAMLMATL >Potri.002G077500.1.v4.1 pep chromosome:Pop_tri_v4:2:5417810:5421842:1 gene:Potri.002G077500.v4.1 transcript:Potri.002G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077500.v4.1 MGSTETVVVQSEGFDFMHDERLDRRLKRRNIGTRRFKVNSNGKTDSCKDITALKSSSEIFCAQKDGHDSDVGDEDYRKYHDGSVRGPFIDSDAHLDTKSSSSKKNNERYSGIKYTVSPMNAPTSSSCILLDDDDDYEVDEHYKKFLKDLDGGLYVDSDCDACVDIKSSSTRNVRSTDNGNAVDLVNRFVENSVGINGALDGGNADTSFVDDDTAPMPLPDGIASVGTVDVGLDGARAGDNDIVDGGHLNNGIVGEDEGDDGNNKDDGFVGDGADTNEDPDYKMFLDNLRQDGKSCILEIPLTNEISITVRYDLQDGAYDGCNIEKPNTQKDCPKRKNKGTTELLKNDSRIERMKTRSVTRKERAAASRKLRGVPARAMKISVVESKKKTKYMNPISCRADGHAGKRPNSESLGPTNCKSKHEMKLDMNQRILRDVPARERKVSAVERNLNVETVDLTNEGASKRPSPEALSLTNFERKHKVKMTMVNHITENQRKSRAAPSRERKISAVESKVREEPSNLVSCRENVIARKRPSQQAIGMNNCKRKHMHLDMENCRMETQQNIKDVPAKERGNSAVERTFEKQSPNTVPHGADGHASKKPSPQAPSSTNCKNKCEMNLDMVDHSYQSFLNSLKKNGRYAVFAPQSGKGVLFGEDEQGSSDSEVIVMDKDQFLDGNDTPFVSSKAYIVEDEGWMDNGVSSKFREELLKILEKPYDEREFEDLWQRISHRSHVNRDINLRSGCIRFETKAIGKSYLDHHADLEAKIRSAQGNQPEILNLMRGFFYWLMNASHAGSRALRPWLDSLCLKVRPQHKKEIDVL >Potri.013G005200.1.v4.1 pep chromosome:Pop_tri_v4:13:350038:355301:1 gene:Potri.013G005200.v4.1 transcript:Potri.013G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005200.v4.1 MSLSFPTSSIPSWDSSTSRPIPQCSSSLNVSAGSLQVVAKNSGGGAGLCRSFCGLKLWVIERFNLWKKNREFGPLKEFMTIKNQSLPDDSAEETKRLSKSEESSLHELQADPFTNVVPSRSHSLNYATEGGRGPSLCIAVIGATGELARAKIFPALFALYYSGFLPEDVVIFGYSRKDLTDEDLRSIIASTLTCRIDHQQNCGDKMEAFLSKTYYLNGGYDNCVGMSKLNARMEQIEGGSKANRIFYLSVPQEALLDVASCLADNAQTRKGWNRIIIEKPFGFDALSSQQFTKSLLSKFEEKQLYRIDHLLGRNLIENLTVLRFSNLVFEPLWSRTYIRNIQIILSEDLHSQTRRYFDGYGIIRDIVHSHILQTIALLAMEPPISLDGEDIRNEKVKVLRSIRRLDPSDVILGQYKSTSGDKVNLNNLTPTFFAAALYIDNARWDGVPFLIKTGLGLIKHRVEIRINFHNVPGNLYRERLGHNVDLATNELILSDAPDEAILVKINNKIPGLGLQLDASELNLLYKDKYSAEVPDSYEHLLLDVIDGDNHLFMRSDELAAAWNILTPILQEIDKNHATPELYEVGGRGPIGPYYLYAKHGVRWIDD >Potri.003G103200.1.v4.1 pep chromosome:Pop_tri_v4:3:12739825:12741437:-1 gene:Potri.003G103200.v4.1 transcript:Potri.003G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103200.v4.1 MAPEPVMEVKIPPRDDLAEITRSTRDLPPAHYTFKIENFSLLANAKIDNFESGDFEVGSYKWRLRLYPNGNKKNNGDGHISLYLAFSNSNALPFGWEVNVNFRLFVYNQIQDKYLTIQYAKGRVRRFHGMKTELGFDQLIPLTIFNDESKGYLIDDRCIFGAEIFVIKPTGKGECLTLVNQPVSDTFTWKIQNFSALDQESYKSQVFSFGGYKWALLVYPKGNSTEKGKSLSIYLKMEDFETLPCGRTTYAEYMLRVKDQLFGKHIEKKAYSHFSYSIKDWGHLNFMSLDDVNALPKGFLVNDTLAVEVQIHVITVVKEFS >Potri.009G113168.1.v4.1 pep chromosome:Pop_tri_v4:9:9621512:9624692:-1 gene:Potri.009G113168.v4.1 transcript:Potri.009G113168.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113168.v4.1 MISRPKNGWHSILPLNFRGKAVTGFCIFPKVKSAGYSPENAPVYLNVYDLTNANGYVYWAGFGIFHSGVEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFKFRKSIFMGTTRLDPKQVREFMERQSANYNGDTYHLIVKNCNHFCEDTCYKLTGNRIPKWVNRLARIGSLCNCILPEVLKATKVQHDPNYQERESEKKRLRSSFSCFSSISMPQKEVSMSSLFLHSHYKGCLPPWELKRSRKGSLKEG >Potri.015G059700.1.v4.1 pep chromosome:Pop_tri_v4:15:8320319:8325699:-1 gene:Potri.015G059700.v4.1 transcript:Potri.015G059700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059700.v4.1 MDRFGGVNGAGGGERTPLLGQGGGRKGNNSASENNQFPDLEHGDAVPAANVGFGRVFSLAKPDAGKLIIATLALLIASTSNILIPKFGGKIIDIVSGDKETPEQKAEGLRAVNSTILEIFLVVIVGSVCTALRTWLISSTSERVVARLRKNLFNHLINQEIAFFDVTRTGELLSRLSEDTQIIKNAATSNLSEALRNVSTALIGLGFMLATSWKLTLLALVVVPAISVAVSQFSRFLREISHKTQAAAAASASIAEESFGAIRTVRSFAQEGYESSRYSEKVDETLKLGLKQAKMVGLFFGGLNAASTLSVIIVVIYGANLTITGSMTTGALTSFILYSLTVGGSISGLSGLYTEAMKAAGASRRVFQLLDRVSSMLKSGNKCPLSEQVGDVEFDDVWFAYPSRPNHMVLQGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPIKGKVLLNGVPLVEISHEHLHRKISIVSQEPVLFNCSIEQNIAYGCEGKVSSMDIENAAKMANAHDFISKFPDKYQTFVGERGLRLSGGQKQRVAIARAILMNPRILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQSADIVAVVSDGQIVERGTHEELLSKDGVYTALVKRQLQGPKQKCSSKP >Potri.004G100301.1.v4.1 pep chromosome:Pop_tri_v4:4:8678029:8678657:1 gene:Potri.004G100301.v4.1 transcript:Potri.004G100301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100301.v4.1 MSLSSFHVFWWTEHEFPPGFLPNQRNFPAKFIPKHECSPARCLSKHECPAGPLPKHEHSADHLTKRPCSAEHVPGHECPAEPLFKYEGTAKPQLHDCPARCPQILMLHKVPPTTVLSKSLTITEHRL >Potri.012G111400.1.v4.1 pep chromosome:Pop_tri_v4:12:13117013:13118537:1 gene:Potri.012G111400.v4.1 transcript:Potri.012G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111400.v4.1 MLKVPDHQVAGHKGGHGQPGPLIDDSGRFYKPLQDDDRGAIEAAFYTSFSSNTRVPDHIRRFFPVFHGTQLIEASDGSGQRPHLVLEDVVSGRSHPSVMDVKIGSRTWYPEASEDYIQRCFEKDRKSSSLCLGFRISGLQLYGSEESELWKPERKLVQNLSADGVRVVLKNFVSSNSPIDPNLNPDCAFASSVYGGSSGILAQLLELKSWFEDQTIYHLNSCSVLMVYEKKKVLKGGSSDAEVKLIDFAHVTEGNGIIDHNFVGGLCSLIKFISEILTSPDECTTKVCLQNSDNKNEILTSSNECTTKVCL >Potri.001G412800.1.v4.1 pep chromosome:Pop_tri_v4:1:43907111:43913224:1 gene:Potri.001G412800.v4.1 transcript:Potri.001G412800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412800.v4.1 MEVSKEDDSLMLLDRASRATRGKRMTKLIDDELEEDELFWNQEALKDEEDDDNYQEEGEAVDEFDSDFDEDEPEPDEAEKEVEERPQNKKRLIFPGKTLPKKKTKKKDLSKLDKLSMNEKSTQQPATPDHQDAPDDVEGERIVRKSTRTSVIVRQAERDAIRAALQATMKPIKRKKEGEEKRMTQEEMLLEAAQTEIVNLRNLERVLAREEEVKKRAIVHKAVYSGPQIRYFSKNGCSYLEFKGLSFQSQLSTTSVPYPEKAICAVTGLPAKYCDPKTGLPYATKEAFKIIRERFMDENNNIKKMAMGDLFDSLVGTGFHGKRKRLPTSNRRKMSHFSRFANFGMTSIVDIESSE >Potri.003G028245.1.v4.1 pep chromosome:Pop_tri_v4:3:2963499:2965194:1 gene:Potri.003G028245.v4.1 transcript:Potri.003G028245.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028245.v4.1 MDTGDWRIQMQPDSRKRIVDKIMETLKRHLLFSGQEGLQELKKIAIRLEEKIYTTATNQSDYLRKISLEILSMEIRS >Potri.016G091400.1.v4.1 pep chromosome:Pop_tri_v4:16:7477966:7479759:1 gene:Potri.016G091400.v4.1 transcript:Potri.016G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091400.v4.1 MTDQSSRLSITNLRTASKLIKQAASTFSSNLFTLLFLSLLLFSFRTFFENGAHVFSSFIDRDPSLKSLLSRLDLAGRHHHRIHHPLPRRRRPFLHLTRVGTLDDDFFSADEESDSPLFPKFPPNRSFVILHNFDPKFGFSDAVIDNGIKVPEIVRSGIQFKAAEEIHSYVDNTNHDNDEDSERSREDFNSKENGEEKIVGLQFLVKGLELGRRDATALFFLVSFLSAAYGWVILGFTAIYSWVLGIVFVVVVNDLLGRYGSFIGVVWDGSRLGSKRLAGFILMRWAVRDALTQLVGLWFFGEIEDQYSFFKLFIRLKLMPFSIMLPWINGFEKEISRFLVIWMLLDLVVGFIFAVDAWVTVVDTRRTGREILKEGCYLISTMFNQAVQIKCYEEILCGSAARWVFSRVFGKFLATLLQSTFEVYFMVAWLIFYFVARCKEADSDGRRFGRRELEGLIDGLR >Potri.006G177700.1.v4.1 pep chromosome:Pop_tri_v4:6:18381773:18384219:1 gene:Potri.006G177700.v4.1 transcript:Potri.006G177700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177700.v4.1 MGGFDAYKPAMGMVGLQFIYAGVALFTRAALVRGLSPKVFVVYRQGIATLIMAPLAYVSRRRSSSGFSLGLRSFAWIFAASLLGVTANQNAYFEGLYLSSSTAASALTNLMPAITFVMAAISGLEKVNVRSLRTISKILGTVICVSGAIAMALLKGPKLLNTELLPTKSFFSPGSDNWLLGCLFLFGSSCFWSLWMVLQVPISASCPDHLYSSAWMCFLASLQSSMIALFAEKDLTSWKLITHLEIASCLYAGIGLAVSFFVQAWVISQRGPLFSAMFNPLCTVIVGIFSAVVLHEETYAGSLIGALAVIIGLYAVLWGKAKDLEEIKNEMHQQQQNDQSPVQLLIDESPEKKNCKADLEAPLLSSQIKGC >Potri.006G177700.3.v4.1 pep chromosome:Pop_tri_v4:6:18381682:18384275:1 gene:Potri.006G177700.v4.1 transcript:Potri.006G177700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177700.v4.1 MGGFDAYKPAMGMVGLQFIYAGVALFTRAALVRGLSPKVFVVYRQGIATLIMAPLAYVSRSRRSSSGFSLGLRSFAWIFAASLLGVTANQNAYFEGLYLSSSTAASALTNLMPAITFVMAAISGLEKVNVRSLRTISKILGTVICVSGAIAMALLKGPKLLNTELLPTKSFFSPGSDNWLLGCLFLFGSSCFWSLWMVLQVPISASCPDHLYSSAWMCFLASLQSSMIALFAEKDLTSWKLITHLEIASCLYAGIGLAVSFFVQAWVISQRGPLFSAMFNPLCTVIVGIFSAVVLHEETYAGSLIGALAVIIGLYAVLWGKAKDLEEIKNEMHQQQQNDQSPVQLLIDESPEKKNCKADLEAPLLSSQIKGC >Potri.012G130600.1.v4.1 pep chromosome:Pop_tri_v4:12:14563351:14564385:1 gene:Potri.012G130600.v4.1 transcript:Potri.012G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130600.v4.1 MSMASVSSASFCYSKSRIGGVKPNIAAARPRSLVVVRAEAQAINPEIRKTEEKVVDSVMVAELSKPLTAYCRCWRSGTFPLCDGSHVKHNKATGDNVGPLLLKKQKE >Potri.003G063150.1.v4.1 pep chromosome:Pop_tri_v4:3:9015467:9017169:1 gene:Potri.003G063150.v4.1 transcript:Potri.003G063150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063150.v4.1 MLLMLLVNPRAVVFSAPPDMANHISSDRLDLSKPKSIRTDVMVLSQVEENKIASQGARPLHLS >Potri.003G063150.3.v4.1 pep chromosome:Pop_tri_v4:3:9015467:9018099:1 gene:Potri.003G063150.v4.1 transcript:Potri.003G063150.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063150.v4.1 MLLMLLVNPRAVVFSAPPDMANHISSDRLDLSKPKSIRTDVMVLSQWREREWQHERFPGIPR >Potri.003G063150.2.v4.1 pep chromosome:Pop_tri_v4:3:9015467:9018099:1 gene:Potri.003G063150.v4.1 transcript:Potri.003G063150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063150.v4.1 MLLMLLVNPRAVVFSAPPDMANHISSDRLDLSKPKSIRTDVMVLSQWREREWQHERFPGIPR >Potri.018G026300.2.v4.1 pep chromosome:Pop_tri_v4:18:1947949:1949399:1 gene:Potri.018G026300.v4.1 transcript:Potri.018G026300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026300.v4.1 MKPQSCMIHPVLHQVNGTPTNLRKFEEKKIEVAEATSKQVGETNKALEDLRRVNKLLADVRSELISSQKSLTELEERRTSIMSYMTSLTDAEIEARNKELGRELSTEKELIEELQKELEKGDCLWSRLSRGRFFYSRNRIRKILKFGGEVNQEVAELKTLISSNEELVRATATTLKDKEEHVQIMQDELNNTRSSRVKEMEVLAAQRAPTIKYEELKIVLERLDTKEKELKNLKEAAVEDANDPRKLYSLARERIGERSIRNLAIKKLKLEAVQLEVEAATGDPQKLAETSRELLNKASLCIEANADSSTSMKNGSDPDLVLLENNYVSRRLKQSHR >Potri.010G046800.3.v4.1 pep chromosome:Pop_tri_v4:10:7918349:7922200:-1 gene:Potri.010G046800.v4.1 transcript:Potri.010G046800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046800.v4.1 MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIVQVTGSRYLLHKFPLLSKCLRLQRLCSESPESSQHQIVQLPDFPGGVEAFELCAKFCYGITITISAYNIVAARCAADYLQMTEDVEKGNLIYKLEVFFTSCLLHGWKDAIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRERDDVSCNGAESQRLKPASKGWWAEDMAELGIDLYWRTMMAVKSGGKIPSNLIGEALKIYAARWLPNISRERNFNKQVGSDSDSDSTNETTSKHRLFLETIVSLLPAEKGAVTCSFLLKLLKAANILNGSSSSKMELTRRAALQLEEATVRDLLIPSISLANDTVYDVDLVITILEQFMLHGQSPPTSPPRSKLGFERRRSRSAENIDLEFQERRRSSSASHSSKLKVAKLVDGYLQEIARDVNLPLSKFITLAEAIPDFSRLDHDDLYRAIDIYLKAHPDLNKSERKRLCRALDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMGGGKVTELPSNIKALLAAHNIDPSRPTAALSTTTSIQADDQWSVSGFKSPKSKVSTLRMKMAEDELDVSDLQSDGLGRSSKFKAFCALPTRPKRMFSKLLSMNRNSSEKN >Potri.010G046800.8.v4.1 pep chromosome:Pop_tri_v4:10:7918257:7922201:-1 gene:Potri.010G046800.v4.1 transcript:Potri.010G046800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046800.v4.1 MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIVQVTGSRYLLHKFPLLSKCLRLQRLCSESPESSQHQIVQLPDFPGGVEAFELCAKFCYGITITISAYNIVAARCAADYLQMTEDVEKGNLIYKLEVFFTSCLLHGWKDAIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRERDDVSCNGAESQRLKPASKGWWAEDMAELGIDLYWRTMMAVKSGGKIPSNLIGEALKIYAARWLPNISRERNFNKQVGSDSDSDSTNETTSKHRLFLETIVSLLPAEKGAVTCSFLLKLLKAANILNGSSSSKMELTRRAALQLEEATVRDLLIPSISLANDTVYDVDLVITILEQFMLHGQSPPTSPPRSKLGFERRRSRSAENIDLEFQERRRSSSASHSSKLKVAKLVDGYLQEIARDVNLPLSKFITLAEAIPDFSRLDHDDLYRAIDIYLKAHPDLNKSERKRLCRALDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMGGGKVTELPSNIKALLAAHNIDPSRPTAALSTTTSIQADDQWSVSGFKSPKSKVSTLRMKMAEDELDVSDLQSDGLGRSSKFKAFCALPTRPKRMFSKLLSMNRNSSEKN >Potri.010G046800.9.v4.1 pep chromosome:Pop_tri_v4:10:7918341:7921860:-1 gene:Potri.010G046800.v4.1 transcript:Potri.010G046800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046800.v4.1 MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIVQVTGSRYLLHKFPLLSKCLRLQRLCSESPESSQHQIVQLPDFPGGVEAFELCAKFCYGITITISAYNIVAARCAADYLQMTEDVEKGNLIYKLEVFFTSCLLHGWKDAIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRERDDVSCNGAESQRLKPASKGWWAEDMAELGIDLYWRTMMAVKSGGKIPSNLIGEALKIYAARWLPNISRERNFNKQVGSDSDSDSTNETTSKHRLFLETIVSLLPAEKGAVTCSFLLKLLKAANILNGSSSSKMELTRRAALQLEEATVRDLLIPSISLANDTVYDVDLVITILEQFMLHGQSPPTSPPRSKLGFERRRSRSAENIDLEFQERRRSSSASHSSKLKVAKLVDGYLQEIARDVNLPLSKFITLAEAIPDFSRLDHDDLYRAIDIYLKAHPDLNKSERKRLCRALDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMGGGKVTELPSNIKALLAAHNIDPSRPTAALSTTTSIQADDQWSVSGFKSPKSKVSTLRMKMAEDELDVSDLQSDGLGRSSKFKAFCALPTRPKRMFSKLLSMNRNSSEKN >Potri.010G046800.6.v4.1 pep chromosome:Pop_tri_v4:10:7918336:7922203:-1 gene:Potri.010G046800.v4.1 transcript:Potri.010G046800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046800.v4.1 MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIVQVTGSRYLLHKFPLLSKCLRLQRLCSESPESSQHQIVQLPDFPGGVEAFELCAKFCYGITITISAYNIVAARCAADYLQMTEDVEKGNLIYKLEVFFTSCLLHGWKDAIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRERDDVSCNGAESQRLKPASKGWWAEDMAELGIDLYWRTMMAVKSGGKIPSNLIGEALKIYAARWLPNISRERNFNKQVGSDSDSDSTNETTSKHRLFLETIVSLLPAEKGAVTCSFLLKLLKAANILNGSSSSKMELTRRAALQLEEATVRDLLIPSISLANDTVYDVDLVITILEQFMLHGQSPPTSPPRSKLGFERRRSRSAENIDLEFQERRRSSSASHSSKLKVAKLVDGYLQEIARDVNLPLSKFITLAEAIPDFSRLDHDDLYRAIDIYLKAHPDLNKSERKRLCRALDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMGGGKVTELPSNIKALLAAHNIDPSRPTAALSTTTSIQADDQWSVSGFKSPKSKVSTLRMKMAEDELDVSDLQSDGLGRSSKFKAFCALPTRPKRMFSKLLSMNRNSSEKN >Potri.010G046800.7.v4.1 pep chromosome:Pop_tri_v4:10:7918061:7922201:-1 gene:Potri.010G046800.v4.1 transcript:Potri.010G046800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046800.v4.1 MKFMKLGSRPDTFYTAEAVRSVSSEVSSDLIVQVTGSRYLLHKFPLLSKCLRLQRLCSESPESSQHQIVQLPDFPGGVEAFELCAKFCYGITITISAYNIVAARCAADYLQMTEDVEKGNLIYKLEVFFTSCLLHGWKDAIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRERDDVSCNGAESQRLKPASKGWWAEDMAELGIDLYWRTMMAVKSGGKIPSNLIGEALKIYAARWLPNISRERNFNKQVGSDSDSDSTNETTSKHRLFLETIVSLLPAEKGAVTCSFLLKLLKAANILNGSSSSKMELTRRAALQLEEATVRDLLIPSISLANDTVYDVDLVITILEQFMLHGQSPPTSPPRSKLGFERRRSRSAENIDLEFQERRRSSSASHSSKLKVAKLVDGYLQEIARDVNLPLSKFITLAEAIPDFSRLDHDDLYRAIDIYLKAHPDLNKSERKRLCRALDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMGGGKVTELPSNIKALLAAHNIDPSRPTAALSTTTSIQADDQWSVSGFKSPKSKVSTLRMKMAEDELDVSDLQSDGLGRSSKFKAFCALPTRPKRMFSKLLSMNRNSSEKN >Potri.010G046800.4.v4.1 pep chromosome:Pop_tri_v4:10:7918349:7920865:-1 gene:Potri.010G046800.v4.1 transcript:Potri.010G046800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046800.v4.1 MTEDVEKGNLIYKLEVFFTSCLLHGWKDAIVTLQSTKAFPLWSEDLGITSRCIEAIASKVLTHPSKVSLSHSYSRRERDDVSCNGAESQRLKPASKGWWAEDMAELGIDLYWRTMMAVKSGGKIPSNLIGEALKIYAARWLPNISRERNFNKQVGSDSDSDSTNETTSKHRLFLETIVSLLPAEKGAVTCSFLLKLLKAANILNGSSSSKMELTRRAALQLEEATVRDLLIPSISLANDTVYDVDLVITILEQFMLHGQSPPTSPPRSKLGFERRRSRSAENIDLEFQERRRSSSASHSSKLKVAKLVDGYLQEIARDVNLPLSKFITLAEAIPDFSRLDHDDLYRAIDIYLKAHPDLNKSERKRLCRALDCKKLSVEACMHAAQNELLPLRVVVQVLFFEQARAAMGGGKVTELPSNIKALLAAHNIDPSRPTAALSTTTSIQADDQWSVSGFKSPKSKVSTLRMKMAEDELDVSDLQSDGLGRSSKFKAFCALPTRPKRMFSKLLSMNRNSSEKN >Potri.009G106200.1.v4.1 pep chromosome:Pop_tri_v4:9:9254018:9258244:-1 gene:Potri.009G106200.v4.1 transcript:Potri.009G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106200.v4.1 MRRSRCFLDISIGGELEGRIVIELYNDVVPKTAENFRALCTGEKGVGPNTGVPLHYKGCCFHRAIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANSGPNTNGSQFFITTTRTSHLDGKHVVFGKVVKGMGVVRSMEHVTTGDADCPASDVIIVDCGEIPEDADDGICNFFKDGDAYPDWPADLNEIPNELSWWMSAVDSIKAFGNEHFKKQDYKMALKKYRKALRYLDICWEKEGIDEEKSSALRKAKSQIFTNSAASKLKLGDLKGALVDTDFAIRDGENNAKALFRQGQAYMALNDVDAAAESFKKALQLEPNDAGIKRELNAARKKINERRDREKKQYEKMFKTSDSTSAEP >Potri.003G157700.1.v4.1 pep chromosome:Pop_tri_v4:3:16846784:16853263:-1 gene:Potri.003G157700.v4.1 transcript:Potri.003G157700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157700.v4.1 MILLQSHSRFLLQTLLNRVQNLEKAVELDYHWVEFDDVRYHILVSMKNPNVLLLSVSLPIPPPEAVFIGGLPFGAIEALKAAYGVVVQILDPPRDGFNLTLKLNLGKLPLDEEHRYALLVKIASVREVVLGAPLRVVLKHLSSRTVIPGIDGLLALVHRSKESFFLVPQPDKVTVVFPMRFKDSIDIAFATSFLQEFVEARHTAGLNNAPPCLWSPNPPLELKEAPAEALSANAGFVSFVIFPRHVEGKKLDRTVWNLSTFHAYVSYHVKCSEGFMHTRMRRRVESMIQALDRAKPGVEEKKKSPNSRSFKRLSLKEARANSIS >Potri.009G068100.1.v4.1 pep chromosome:Pop_tri_v4:9:6789935:6795166:1 gene:Potri.009G068100.v4.1 transcript:Potri.009G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068100.v4.1 MLLYFFITCFSFILFLKPLPLKPLLPWASEIRLLSVWFLKDLFLFSYLGSLRNTLLEVFYINIIPLKTSIKKMSLSSSARAEKSALDDETGGMSVLDLPELALECVLERLPPAGLCSMAGVCTSLRERCVSDHLWEKHMKHKWGRVIGPAAYREWQWHLASRKDLGSCKQGKPKGLMRLLSIFWPSSWSTPKVDPVNNSRQRSSLPVHSIMSWYLALETGKFWFPAQVFNRENGHVGFMLSCYDAELNYDPRTDTFQARYPPHGRRAISIESGVPWERLRAPSVDTSPHDLHISDCLHDLLPGDHIEIQWRRNKEFPYGWWYGVVGHLESCDGNENYCRCHNSDTVVLEFHQYTPGSRWRSTTVNRKEHREEGNEADGFYGGIRKLYKNEEISRWKRLWPTEVLE >Potri.002G142400.1.v4.1 pep chromosome:Pop_tri_v4:2:10680150:10682978:-1 gene:Potri.002G142400.v4.1 transcript:Potri.002G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142400.v4.1 MENGGNKGQENDHANLAWDIWELNTSRFDLVDSNNTTAAAGITTNTTTASVVENGSSTHPEANSAHGFLFPNRNSWLYHHNNSNSYQQLSLYAGDGSILHPDPHLMCLKLGKRHYFEDSTSNNNINPISDRHVVGGFSVGKRGKPYYTVSGGANDEPSSSSSVNVPRCQVEGCHVALVNAKGYHRRHKVCEMHSKAAKVIVLGLEQRFCQQCSRFHVVSEFDDAKRSCRRRLAGHNERRRKGSLDSVSRNASHDKLIAGRFPYIASPTGCALSLLSSKADSWVSPSDLSSRSSAALRELIAEHRAAIPARQLILEKHSHNHAMGESQPSSNFFITHQQQMLSEPHNWGRFNGTTMHVTLDLMQAPSSAFGFLSARGKNKEEGGECSQLWSTLEGAHAV >Potri.002G142400.2.v4.1 pep chromosome:Pop_tri_v4:2:10680152:10682988:-1 gene:Potri.002G142400.v4.1 transcript:Potri.002G142400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142400.v4.1 MENGGNKGQENDHANLAWDIWELNTSRFDLVDSNNTTAAAGITTNTTTASVVENGSSTHPEANSAHGFLFPNRNSWLYHHNNSNSYQQLSLYAGDGSILHPDPHLMCLKLGKRHYFEDSTSNNNINPISDRHVVGGFSVGKRGKPYYTVSGGANDEPSSSSSVNVPRCQVEGCHVALVNAKGYHRRHKVCEMHSKAAKVIVLGLEQRFCQQCSRFHVVSEFDDAKRSCRRRLAGHNERRRKGSLDSVSRNASHGTYVISFKLTCFYAILLTAL >Potri.003G210500.1.v4.1 pep chromosome:Pop_tri_v4:3:20833040:20836204:-1 gene:Potri.003G210500.v4.1 transcript:Potri.003G210500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210500.v4.1 MGELEEIGADICSDIEVDDIRCGNIAEKDVSDEEIEAEALERRMWKDHVKLKRIKEKQKLAAQQAAEKQKPKQATDQARRKKMARAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEAECLAIGEAENSRNGNSQSVLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWVKLGLSLGQSPPYKKPHDLKKMWKVGVLTAVIKHMTPDIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREESLTQQPSIDNGTSGVTESPQGGRGQKKKPAISSDSDYDVDGVDDGVGSVSSKDNRRNQPMDVEPLSSRNDITIPVQDKELGEKRRRRKRPREKSSHADQQSQPPVDECLGVEQTNTLPDINHTDLQPIEYQMHNTQHENFTSSALMPMEKGLVGESSLPQSDFNYYAGVPSTNVNSTERIFVDRGAAIYPLGQNSELHHETTYSNIYNPSLDYGTNHDGKHSQMAMNVRPEDDRFLIPALHGNGNGNDLTGGEPHHFAKDTLPPEQDTAVDRHFEFDLPDFAINSPFLEMSSFSLDNLFVDPEDDLIQCFGA >Potri.003G210500.2.v4.1 pep chromosome:Pop_tri_v4:3:20833050:20836241:-1 gene:Potri.003G210500.v4.1 transcript:Potri.003G210500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210500.v4.1 MGELEEIGADICSDIEVDDIRCGNIAEKDVSDEEIEAEALERRMWKDHVKLKRIKEKQKLAAQQAAEKQKPKQATDQARRKKMARAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEAECLAIGEAENSRNGNSQSVLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWVKLGLSLGQSPPYKKPHDLKKMWKVGVLTAVIKHMTPDIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREESLTQQPSIDNGTSGVTESPQGGRGQKKKPAISSDSDYDVDGVDDGVGSVSSKDNRRNQPMDVEPLSSRNDITIPVQDKELGEKRRRRKRPREKSSHADQQSQPPVDECLGVEQTNTLPDINHTDLQPIEYQMHNTQHENFTSSALMPMEKGLVGESSLPQSDFNYYAGVPSTNVNSTERIFVDRGAAIYPLGQNSELHHETTYSNIYNPSLDYGTNHDGKHSQMAMNVRPEDDRFLIPALHGNGNGNDLTGGEPHHFAKDTLPPEQDTAVDRHFEFDLPDFAINSPFLEMSSFSLDNLFVDPEDDLIQCFGA >Potri.003G210500.3.v4.1 pep chromosome:Pop_tri_v4:3:20833035:20836235:-1 gene:Potri.003G210500.v4.1 transcript:Potri.003G210500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210500.v4.1 MTDLSYSSDIEVDDIRCGNIAEKDVSDEEIEAEALERRMWKDHVKLKRIKEKQKLAAQQAAEKQKPKQATDQARRKKMARAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRAWWKEKVKFDKNGPAAIAKYEAECLAIGEAENSRNGNSQSVLQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWVKLGLSLGQSPPYKKPHDLKKMWKVGVLTAVIKHMTPDIAKIRRHVRQSKCLQDKMTAKESAIWLGVLSREESLTQQPSIDNGTSGVTESPQGGRGQKKKPAISSDSDYDVDGVDDGVGSVSSKDNRRNQPMDVEPLSSRNDITIPVQDKELGEKRRRRKRPREKSSHADQQSQPPVDECLGVEQTNTLPDINHTDLQPIEYQMHNTQHENFTSSALMPMEKGLVGESSLPQSDFNYYAGVPSTNVNSTERIFVDRGAAIYPLGQNSELHHETTYSNIYNPSLDYGTNHDGKHSQMAMNVRPEDDRFLIPALHGNGNGNDLTGGEPHHFAKDTLPPEQDTAVDRHFEFDLPDFAINSPFLEMSSFSLDNLFVDPEDDLIQCFGA >Potri.001G010800.1.v4.1 pep chromosome:Pop_tri_v4:1:696194:701166:1 gene:Potri.001G010800.v4.1 transcript:Potri.001G010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010800.v4.1 MSASKTLRKLEVVSPVPADIDIANSVEPFHISEIAKELNLSPKHYDLYGKYKAKVLLPVLDELEGSGDGYYVVVGGITPTPLGEGKSTTTVGLCQAMGAFLDKKVATCLRQPSQGPTFGIKGGAAGGGYSQVIPMDEFNLHLTGDIHAITAANNLLAAAIDTRIFHESTQSDKALLNRLCPPNKEGKRSFSDIMFRRLKRLGISKTKPEELTPQEVKKFARLDIDPASITWRRVMDVNDRFLRKITVGQGPEEKGMVRETGFDISVASEIMAVLALTTSLADMRERLGKMVIGNSKAGDPVTADDLGVGGALTVLMKDAINPTLMQTLEGTPVLVHAGPFANIAHGNSSIVADKIALKLVGPGGFVVTEAGFGSDIGTEKFMNIKCRYSGLTPQCAVIVATIRALKMHGGGPEVVAGKPLDRAYTTENVSLVEAGCVNLARHISNTKAYGVNVVVAVNMFATDSEAELNAVRNAALTAGAYDAVVCTHHAHGGKGAVELGIAVQKACGNVTQPLKFLYSLDISIKEKIEAIARSYGASGVEYSEQAEKQIEMYSRQGFSGLPICMAKTQYSFSHQASEKGAPTGFVLPIRDVRASIGAGFIYPLVGTMSTMPGLPTRPCFYDIDLDTATGKVIGLS >Potri.014G072300.5.v4.1 pep chromosome:Pop_tri_v4:14:4600479:4603584:1 gene:Potri.014G072300.v4.1 transcript:Potri.014G072300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072300.v4.1 MASIDVLSNPLKFSALVLILSIFIVQLFLRKLNKKQKKYKYHPVAGTVFTQLLHFNRVHHYMTNLAGKYKTYRLRAPFRSEIYTVDPVNVEYILKTNFENYGKGDHNYDNLSGLLGDGIFTVDGHKWRQQRKVSSYEFSTKVLRDFSSVIFRKNVAKLANIVSEAAKSNQSMDIQDLFMKSTLDSIFKVGFGVELDSMCGSNEEGVKFTSAFDDASALTLWRYVDVFWKIKRFLNIGSEAALKKNVKVVNDFVYKLINKKIELMRNSKEVSSLKKDDILSRFLQVTENDPTYLRDIILNFVIAGKDTTATALSWFIYMLCKHPAVQNKIAQEVREATKVKENTDFAEFAASINEEALEKMNYLHAAISETLRLYPSVPVDGKICFSDDTLPDGFNVSKGDMVAYQPYAMGRMKFIWGDDAEEYKPERWLKDGVFQQESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLVASFTFKLADEKKPVNYRTMINLHVDGGLHVFALHRNST >Potri.005G184800.1.v4.1 pep chromosome:Pop_tri_v4:5:19184157:19185638:1 gene:Potri.005G184800.v4.1 transcript:Potri.005G184800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184800.v4.1 MDKRIIINDIVGLQNLSQRAVVAMRRNDKKRIHLPPVRGSIKRKIFSLVYKKLKLSGHNASTLSSDQDLQPELAMHLSFPLSWKYHNSSLSIRELVKKHDIFMNKEFKETPGKGKGPGSGFV >Potri.012G087500.1.v4.1 pep chromosome:Pop_tri_v4:12:11286275:11288797:-1 gene:Potri.012G087500.v4.1 transcript:Potri.012G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087500.v4.1 MSGEERMEIAVDEKQATQKVASKKGGLRTMPFIIANETFEKVAGVGLQANMILYLRNEYNLSNASGAYILSLWGAISYFMPILGAFISDSYLGRFSVIAYGTVISLLGMIVLWLTALIPHARPPHCAQTDDDLKDCVSPKLGQFLLLFSSFALLAIGAGGIRPCSLAFGANQIDNPTNPKNQRTLQTFFNWYYASVGISIMISVLVIVAIQDAAGWVVGFGVAVGFMLLSTIFFFLGSSLYVKVKANKSLVAGFAQVTVAAWKKKNLALPSMEYAAWYHHKGSKLVAPTEKLRFLNKACVIGNPEKDLDCDGLAIDPWRLCTVKQVEELKSLIKVLPICSTGIMIAVTLNQHAFPVLQATTMDRHFIGNQKLPAGSYGVFTILALTIWVAVYDRLLVPLLAKFTNRPQGLSNKQRMGIGIVISCIATATAGAVENKRRATALRQGLADHPRDVVDMSANWLIPQYCLVGLGEAFSAVGQIDFFYSQFPKTMTSIAVALFSLGMAVGNLVASLIIGIVDDVTRRGGKVSWVSDNLNKGHYDYYYWLLSLLSLVNFFYYLLCSWAFGSEDREEYDDGEAIEEVEMHPSVGSPIRHIGV >Potri.010G159100.1.v4.1 pep chromosome:Pop_tri_v4:10:16535564:16539826:1 gene:Potri.010G159100.v4.1 transcript:Potri.010G159100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159100.v4.1 MASQMAILTRTTKTLMKALYPQIKSISSFAHPSQEAHLATDHPPSDHPNPNSNSTPFPPNPASGSPLYNDTNWRNPIPIYQNPNSPMIPLGPFHNQTSRIQSMPPNMDLNSLSNMLADWTTSQRWEDIKGYFEAWIKSLDRNGKPNKPDVSLYNHYLRANLMMKASAGYLLDLVAQMEDFNLSPNTVSFNFVLKAMYEGLETEAAEKLLQRMEHTGKESQPDEESYDLVVTMLLNKGNIDAFDTALKYIDKILKGDYVLSMKVFDACVRSCCNFGRLDVLLSIIEKCKKMDQNKALCPNWNLCNHIAEIALKEDNSKLLFCALEFMARWIARGEKARPIVLLSVDEGLIVAALGTAGRTYNSTLLDASWAILCRSLRQKKAPNPESYIGKIYAHASLGSLQKAFATLRELESCYGSSDKEAEEELFSPFSSLNPLVLACSKKGFETLDSVYFQLENLSRAESPYKSVAALNCIILGCANIWDLDRAYQTFEAISSSFGLTPNIHSYNALIFAFGRLKKTFEASNVFEHLVSLGVKPNAMSYSLLVDAHLINRDTKAAVLVIEKMDSAGFVPSKEILKKVKRRCIREMEYESDDRVEFWARKFDYRLGSQNRRDLLFNLEYSTDFA >Potri.012G004800.2.v4.1 pep chromosome:Pop_tri_v4:12:48630:50733:-1 gene:Potri.012G004800.v4.1 transcript:Potri.012G004800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004800.v4.1 MLPYPLLSVSPEATFTKLQEASTRLLMMPLTIPLFRLILTSFLIPLSYSCTFTITNDCPYTIWPGTLAGAGNPQLASTGFRLDSGQSVSISSVPGWSGRIWARTGCTFDGSGNGSCQTGDCGGRLECVGNGATPPASLFEITLGTGNVKDYYDVSLVDGYNLPLVAAPVGIHGACNVTGCVSDVNMGCPKELQVVGDDGGGGGVVACKSACEAFGLDQYCCGGEFANPTTCRPSFYSTIFKRACPRAYSYAFDDGTSTFTCKASDYAIIFCPTANRIETPDGADTASVIPKPGNGETMKLVSSSNFLRPLPLLFFLFILYLT >Potri.015G081102.2.v4.1 pep chromosome:Pop_tri_v4:15:10669106:10672540:-1 gene:Potri.015G081102.v4.1 transcript:Potri.015G081102.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G081102.v4.1 MRIVIPARDLKKAAGVKEAIQKESPNAEIIIFETDMSSFVSVKRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHYLMTELLLEKMIETAEQTGIQGRIINLSSVIHSWVKRDAFCLQKMLSPKSYDGTRAYSQSKLANILHVKEIARQLRARNARVTINAVHPGIVKTGILRASYKGFLTDSLYFIASKLLKSTSQGASTTCYVALSQQIEGVSGKYFADCNESKCSTLANDESEAQKLWMQTHALMQRYLYLPAA >Potri.015G081102.1.v4.1 pep chromosome:Pop_tri_v4:15:10669106:10673092:-1 gene:Potri.015G081102.v4.1 transcript:Potri.015G081102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G081102.v4.1 MKETWRYLAGIAGPSGYGSNSTAEQVIQDSACLVPPHLTAIITGATSGIGVETARALAKKGMRIVIPARDLKKAAGVKEAIQKESPNAEIIIFETDMSSFVSVKRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHYLMTELLLEKMIETAEQTGIQGRIINLSSVIHSWVKRDAFCLQKMLSPKSYDGTRAYSQSKLANILHVKEIARQLRARNARVTINAVHPGIVKTGILRASYKGFLTDSLYFIASKLLKSTSQGASTTCYVALSQQIEGVSGKYFADCNESKCSTLANDESEAQKLWMQTHALMQRYLYLPAA >Potri.003G138700.1.v4.1 pep chromosome:Pop_tri_v4:3:15533054:15533752:1 gene:Potri.003G138700.v4.1 transcript:Potri.003G138700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138700.v4.1 MASCQCSKPVEHPCNQDQKSHSSGQKVEKQAEGGVVKTGTRSSSQSHSPGSTNGMTPAPACNANKRGERKKGLFQRIKDGISGHSDGGGSSSSSESESDDEKCGKRKN >Potri.011G129000.2.v4.1 pep chromosome:Pop_tri_v4:11:16208111:16219187:-1 gene:Potri.011G129000.v4.1 transcript:Potri.011G129000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129000.v4.1 MPLPVSLKLKEILLETEMKSLRLGLTLLFCLCFSSSFTKSLAADTIAANQNITDGETIVSSGGNYGMGFFSPGNSTKRYLGIWYNRISKGRVVWVANREKPVTDKSGVFKVDERGILMLYNQNSSVIWSSNISRQARNPVAQLLETGNLAVRNLDDPSPENFLWQSFHHPGNTFLPGMKVGRIASGLDVIISSWKSTDDPSPGDYTFEVDPMRLELVVNHNSNLKSRSGPWNGIGFSGLPYLKPDPIYNYTFVFNDKEAYFTFDLYNISVITTLVLSEEGIMNRLTWIDRTNSWIVYASAPADNCDNYNLCGAYGRCNIGTSPACSCLDRFMPGNQEQWQRADWSGGCVRRMPLDCKNGDGFIKYSNVKVPQANNWMVNISMTTEECRTECLKNCSCMAYANSDVIAKSGCFLWFDEHLIDIRQYTDDGQDLYIRMASSEAAAANQGQGGSKWNNKVAVILGSVLAPLLVVCLGICLLIRKKKMEQNKYTSSHGRSRKEQIPEDNFTIPYQEEDLDLPHYDLNTLAIATNGFSFSNLLGEGGFGPVYKGVFKDGQEVAVKRLSKESRQGLDEFMNEVKCIAQLQHRNLVKLLGYCVQLDEKILIYEYMPKKSLDFYINDKKQSKSLDWTQRFQIINGISRGLLYLHQDSRLRIIHRDLKPSNILLDEEMNPKISDFGMARSFGGNETEANTKRVVGTYGYMSPEYAIDGLFSIKSDVFSFGVLVLEIVSGKRNRGFHHPGHQLNLLGHAWKLFKEGRALELVDDLIVETCNQNEVTRSIHIGLLCVQHSPGDRPSMSTVVLMLGGEGTLAQPNEPGFYTERKLIDASSSSSKQESCSVNEVTVTLIDAR >Potri.005G107500.1.v4.1 pep chromosome:Pop_tri_v4:5:7734020:7734664:-1 gene:Potri.005G107500.v4.1 transcript:Potri.005G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107500.v4.1 MGKRRKSKFSVLACLPQAASPVTFQSPPTSPRMSNTDRGLSGRIVSLVPKEARTKARSGCFDDAQEPSSPKVSCTGKVNNKSKKKPQATKSDSCPEPTVLTVLKGKKQGLQSDTSRGRPPVADKPPSLGHMKQFSSNCGVLRDFDWKAHDVSGMPDNSNYSSYDEKNGDKEKVFIVVEVDEDIPREPKKQVELWRRRSCRTPPGPLQLEKQARC >Potri.013G022600.1.v4.1 pep chromosome:Pop_tri_v4:13:1461077:1463076:1 gene:Potri.013G022600.v4.1 transcript:Potri.013G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022600.v4.1 MVARTPPEQRKMVAPLNPVLLRETVKKVERCMARLQELQYTVAGGNKVIDGVSLSPRSTRGYLRTSLRCKQESLRTKSTAPKKSPVGKLPATSIGEWRRMSLPAMLVGETVGEILQASQFAREIVAAVACKTKKSTLEDPKTPVTQQRKQRPHPEDTELKSRRKKEKQTKLQSIRSESDSPCLLRARSRINFKVSPPKKSEVDKENARYLANRVSPRNRPWAKKTVLFPNPLFLSTDSTQQQKFCKTRSPVIARNKKQTTPHKFLIKSPPSGSKFQVKIKNPPVVCSLSPTRPTNLSRKSPTRPTNLSRKSPKLSTASKLRRSFSPSRLANKLVSPLKGRKIVLKSDGLIMSGLKQRPIATPRRFSLGRI >Potri.007G146900.2.v4.1 pep chromosome:Pop_tri_v4:7:15499696:15505025:-1 gene:Potri.007G146900.v4.1 transcript:Potri.007G146900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146900.v4.1 MAEGGTTLEYTPTWVVAAVCSAIVLISLIVERFLHLLGKLLKRKHQKPLFEALQKIKEELMLLGFISLLLTVFQGRINTICISEDLSKKMLPCKKETKGTTAHFQAFFSFFPGGTSRRLLAEDSPANSCPEGKVPMLSTTALHHLHIFIFVLACVHVVFCALTILFGSAKIRQWKRWEDSISNKEQDPEQAQDPKLTNVQDHDFIKTRYLGFGKNSYFLGWVQSFFKQFYGSVTRSDYITLRLGFIMTHCRGNLKFNFHKYMMRALEADFKKVVGISWYLWLFVVLFLLLNVAGWHAYFWIAFIPFILLLAVGTKLEHVISQLAHEVAEKHVAVQGDLVVHPSDDHFWFNKPKIVLILIHIILFQNSFEMAFFFWIWLQYGFDSCIMGQVSYIIPRLVIGAFIQLLCSYSTLPLYAIVTQMGSSFKKAIFDEHVQEGLVGWAKQAKKKTVLRKAANESTHNQVVHREESPLIQMAKAGSK >Potri.008G121200.2.v4.1 pep chromosome:Pop_tri_v4:8:7855753:7858506:1 gene:Potri.008G121200.v4.1 transcript:Potri.008G121200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121200.v4.1 MRPENNPLDLNNLPEDYSRDGKQVLDEGSSSGCKKKKNGAKDGKEECGKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFSSDNLAAPVAHLGCHPMGTGSYHPAGNIGDPFRSAYTVPRLFPSSSSTLLPAPAPAPQPPHQPYLYTSPSRLPASYNSQYPQQPINDYYIGHVLNNTSQTHPSHQNMNYNMSASESNYTCIGAPVAHGGFGLGSSRSTEGSGRDGSLSNQEDGLNWGRSYAAGGQQHLDHPSSINRFQDGF >Potri.013G009500.2.v4.1 pep chromosome:Pop_tri_v4:13:623249:627302:1 gene:Potri.013G009500.v4.1 transcript:Potri.013G009500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009500.v4.1 MANPKIIPLILFSLFAIASAKVFFEERFEDGWENSWVVSDWKKDENTAGVWNYTSGKWNGDPNDKGIQTSEDYRFYAISAEFPKFSNKDQTLVFQFSVKHEQKLDCGGGYMKLLSGEVDQKKFGGDTPYSIMFGPDICGYSTKKVHAILNYNEANHLIKKEVPCETDQLSHVYTLIIRPDATYSILIDNVEKQTGSLYSDWDLLPPKTIKDPEAKKPEDWDDKEYIADPEDKKPEGYDDILKELPDPEAKKPEDWDDEEDGEWTAPTIPNPEYKGPWKPKKIKNPNYQGKWKAPIIDNPDFKDDPELYVYPDLRYVGIELWQVKSGTLFDNVLVSDDPEYAKQMAEETWGKQKDAEKAAFEEVEKKRDEEESKEDPADSDAEEEDEAGDAEGEDSDAETKADTADDEDEVHDEL >Potri.015G122300.3.v4.1 pep chromosome:Pop_tri_v4:15:13564095:13569220:-1 gene:Potri.015G122300.v4.1 transcript:Potri.015G122300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122300.v4.1 MEFYEPNNVPGKFPEFGAIFMSNRATRKECFRRKLLGLPSGQADFVKQVKAGMVLFLFEFERRELHGVFQACSDGAMNIVPHAYSSSGKQFPAQVKFIQMWQCEPLSENEFRDAIRENYFSPYKFNFGLSERQVQNLLLSFSKRKIKDRTLERQFTRSKVVRSVECSAKEARTATDDGSFVMIDSKKDERDADAPFGSTISTEYFGDSINKHRREYDRYTSPPFRNEHKTNRALISKEQFVASLSEVGGFAGDARVLTSNMVGNDHDVDIESRTDVLTNHRGHSFPTSIRSSDYVNFATSYGLGQELMEDNGFQQPSTEHTGMFQSNPGLAEDARAVTSSMLGNESRTDALTNHHGHSFRTSRRSSDYVNFAISYGLGQEMMEDNGFQQPSTEHTGMFQSNPPHCFSKTIAEENLVELQNSQYYRRPILEAIKDQFRQSPTARHLMEPHNSELSFSALDVDRLPRSNALYSTSYGDGVGACNIPYDPDVPRLGNRCSSSRGLSNSVPEFPASHSSVFPSFDQSFPPHVEPEGTSSRLNINASLSDYILLSNASQLEHLNRSGMLFPGVDYPGHVASNSSMNENPGHNRSPSSFELCNSVPQCPPHNIFPSFVNESFPSYIEPKSTSKCQNLNSMLSSDSPFSYPGHHDHANRTSMLFPGAAYPENVQRNSSGIERTREDTSSCSCSLNRSSSFVSDVRYPVSSQEECDHQMSQHENNEAFAACVPRLKRHENGLINLDTHENSQRIYSDHQERKSVFSRLALPSEVCKQDEHDIDSSIDEVMTILHQSHDQWVKEKKIKQQVKRHDEVTNLKNKKQMTVNSQLLTDHLPKVSKEIIMDDISAGKGDGLQMPEVIPFVDFQRRRKVQKIESDAITGSTENTGLSGQQHKRRKLIRPKFSGNGSSGNVIVGSQDDASRMTQNVELPDVTFHVGLEDKNIGFQGRSDREGNENVANCVVTPSNANGDSKEALQHVGLVSGEYKSNTENSLTLMNSEIDGIVKCGLDHDGGNGNLSDNDKAENRSCHNGGNEKLPKNAEVENRSCPTTGERAIDITVGSGMDYNCGSEKAFKEGGNVEESKQKLFLQSVGEQCKASIETVSYSNMKESPELCEEYDKHGEVIAAAAGKDCIVVVEREDSGDGNRESLSH >Potri.017G030100.2.v4.1 pep chromosome:Pop_tri_v4:17:2041495:2042801:1 gene:Potri.017G030100.v4.1 transcript:Potri.017G030100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G030100.v4.1 MTSSFFTNSLSPTKNPTHTQVLPHSIKAITSLLATFITLTIPQLSDPFPCPFFLSRMATSSLRSLNHHHSLLKFHPSDTTPPSSLFLKPTTSISVNTDTSLSLSISSPTITTAYSVSPTTSTTPSPSTSKTDSLDLLQQHLSNQNFREADEETRRLLIVLAGEAAQTRGYVFFSEVQFISEEDLKDIDELWKNHSNNKFGYSVQKRIWQLKANKDFTKFFIKVGWMKKLDTEVQQYNYRAFPNEFIWDLNDGTPEGHLPLTNALRGTQLLKNILNHPAFEVDIAEGEGDKVEGNENGGLKGLRDSSKLPLSKRVLKTDYSF >Potri.013G160500.4.v4.1 pep chromosome:Pop_tri_v4:13:15461717:15471226:-1 gene:Potri.013G160500.v4.1 transcript:Potri.013G160500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160500.v4.1 MLAAAAAAASKKCSRAHNNLLLGNHNIKNNPLLSLCFFNHSHSIHTPNHNNRPRCFPGRSSGTAIFANSPSISNRSFTTPSWNHRRYLNLNAFLSNPASSSSTPPFRPPNSVLNGHALFSTMSAPKDKDGVANKAPPSSSATTATNKSDQQVADTKILRTLASYLWMEDNPEFRLRVVLALGFLVGAKVLNVQVPFLFKLAVDWLTTATGNAAALASFTTANSTLLALFATPASVLIGYGIARTGSSAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLRYHLSRETGGLSRTIDRGSRAINFILSSMVFNVVPTILEISMVAGILAYKFGAPFAWITSLSVAAYVTFTLSVTQWRTKFRKAMNKADNDASTKAIDSLINYETVKYFNNEAYEADRYDEYLKRYEDTALKTSRSLAFLNFGQNVIFSTALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSLFQLLEEKADIRDKDDAKPLILKGGDIQFDNVHFSYLAERKILDGVAFSIPAGKSVAIVGTSGSGKSTILRLLYRFFNTNSGNIRIDGQDIRDVTLDSLRRSIGVVPQDIVLFNDTIFHNIHYGRLSATKEEVYDAARQAAIHDTIMNFPEKYSTIVGERGLKLSGGEKQRVALARAFLKVAPILLCDEATSALDSTTEAEILNALKSLSSNRTSVFIAHRLTTAMQCDEIIVLENGKVVEQGPHEVLLTKAGRYAQLWTQQNSTVDALDSAIKLEA >Potri.013G160500.1.v4.1 pep chromosome:Pop_tri_v4:13:15461556:15471251:-1 gene:Potri.013G160500.v4.1 transcript:Potri.013G160500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160500.v4.1 MLAAAAAAASKKCSRAHNNLLLGNHNIKNNPLLSLCFFNHSHSIHTPNHNNRPRCFPGRSSGTAIFANSPSISNRSFTTPSWNHRRYLNLNAFLSNPASSSSTPPFRPPNSVLNGHALFSTMSAPKDKDGVANKAPPSSSATTATNKSDQQVADTKILRTLASYLWMEDNPEFRLRVVLALGFLVGAKVLNVQVPFLFKLAVDWLTTATGNAAALASFTTANSTLLALFATPASVLIGYGIARTGSSAFNELRTAVFSKVALRTIRSVSRKVFSHLHELDLRYHLSRETGGLSRTIDRGSRAINFILSSMVFNVVPTILEISMVAGILAYKFGAPFAWITSLSVAAYVTFTLSVTQWRTKFRKAMNKADNDASTKAIDSLINYETVKYFNNEAYEADRYDEYLKRYEDTALKTSRSLAFLNFGQNVIFSTALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSLFQLLEEKADIRDKDDAKPLILKGGDIQFDNVHFSYLAERKILDGVAFSIPAGKSVAIVGTSGSGKSTILRLLYRFFNTNSGNIRIDGQDIRDVTLDSLRRSIGVVPQDIVLFNDTIFHNIHYGRLSATKEEVYDAARQAAIHDTIMNFPEKYSTIVGERGLKLSGGEKQRVALARAFLKVAPILLCDEATSALDSTTEAEILNALKSLSSNRTSVFIAHRLTTAMQCDEIIVLENGKVVEQGPHEVLLTKAGRYAQLWTQQNSTVDALDSAIKLEA >Potri.017G142300.1.v4.1 pep chromosome:Pop_tri_v4:17:14262265:14266121:1 gene:Potri.017G142300.v4.1 transcript:Potri.017G142300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142300.v4.1 MGLLSNSIKRDVLRPGDHIYSWKNAYLYAHHGIYFGDEKVIHFTRGSGQEIGTGTVLDRLIFSLSPSRPSDNPCPKCGDQSRLDGVISSCIDCFLSGGYLYLFEYDVSPALFIAKPRGGTCTLAKPDPPEDVLHRASFLLLNGFGGYHIFKNNCEDFAIYCKTGLLVMTSLSVGRSGQAASFLAATSAIVSSPLRFLTTSFSGLAAVGYGMYCVSRLVSDIGVRRDVSKIPVERLVSSSGSIESEAVADLAKEN >Potri.011G082050.1.v4.1 pep chromosome:Pop_tri_v4:11:8929890:8930343:1 gene:Potri.011G082050.v4.1 transcript:Potri.011G082050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082050.v4.1 MMKKMKCMQKWGDVAPALLISQQRSRSSFPRLDTIIEEGSGNNGVVAMPKRVLFLLPIVASLISYFLMHGRYFR >Potri.019G029600.2.v4.1 pep chromosome:Pop_tri_v4:19:4171152:4173669:-1 gene:Potri.019G029600.v4.1 transcript:Potri.019G029600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029600.v4.1 MDEWRRSGQIPAFGNWDQANELPITQYFESARQAGLIRFSTTHNSSGECGHQDMRGDLYASDINKPSRNLPPPVKTRMREKRGTHAKEQRKQGKVCDVTEPARKQQQQPQPTVYHKNKISQYSHKMDTVIVAKAPVKPPKAIDEDLYKISPELLRSSKRKKRPGLFSCLVPACVS >Potri.015G121500.1.v4.1 pep chromosome:Pop_tri_v4:15:13528016:13528598:1 gene:Potri.015G121500.v4.1 transcript:Potri.015G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121500.v4.1 MECERLHKALYDCYRRVPAGTGRETACRHLNRALAECMVAVVCPDESEAVRSLCSSGGTKLKRSQCQEAQLALSVCLSSHQQE >Potri.008G055700.1.v4.1 pep chromosome:Pop_tri_v4:8:3278357:3282534:-1 gene:Potri.008G055700.v4.1 transcript:Potri.008G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055700.v4.1 MGFLWTIAELLVALVFIIASFLLTAVVSEAYRRRHNNTHVDAPAFFEDPNSLKQVPCPHIHDPAEKYISLVIPAFNEEHRLPGALDETINYLQERAAKDKSFTYEVVIVDDGSADATKRVAFDFVKKYTVDNVRVILLGRNHGKGEAIRKGMLHSRGELLLMLDADGATKVTDLEKLENQIHAVARKEFRLGESTSSDSSFRISDIPLAAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLTAGSGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELVFLCKWFGIRVIEISVNWTEIPGSKVNPLSIPNMLWELALMSMGYRTRMWKICS >Potri.019G002500.1.v4.1 pep chromosome:Pop_tri_v4:19:850879:856119:1 gene:Potri.019G002500.v4.1 transcript:Potri.019G002500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002500.v4.1 MASSSNSTNCWKYSVFLSFRGQETRNTFTAHLYHALCNKGINAFIDDKLERGEHITSQLNQIIEDSRISLVIFSENYARSIYCLDELVKILECKESKGQVVLPVFYNVDPSDVEEQKGSFGESLDFHETYLGINAEQLKQWREALTKAAQLSGWHLDRGNEAVFIRKIVEEVWAQLNHTSLHVAAYQVGLDQRIEELIHMLNIGSSNVCMVGICGLGGSGKTTVAKAVYNLINNQFEACCFLSNVREFSKRYGLVHLQEKLLFEILGDKTLVLGSVDRGINVIKDRLRHKKVLIVIDDVDHLDQLKQIAGERDWFGLGSKIIITTRDERLLVFHGVERLLRVKELCCDDALMLFCWHAFRNSHPPIDYLEISDQVVKYSKGLPLALVVLGSFLYGRSIPEWESELDKLRRIPNKQIYEVLKISFDGLEHHERAIFLDIACFFKGQEKDYVIKILDACDFDPVIGIQVLMEKSLVYIENNKLQMHDLLQWMGRQVVHQESPNVPGRRSRLWFHEDILHVLTENMGSYEVEGLMLDLPEAEEVHLSAKAFKKMKRLRILIVHNAQVIGGPENLPNALRWLEWPGCPLESMPSTFHARKLVVLNMHHSCIKQLGEGFKNYTTLKYIDFRDCEFLTETPDFSTIPNLERLNLEGCTSLVKIHNSVGYLAKLVFLSVEFCSNLKSISSHFRLRSLQTLLLTGCSKLEKFPDIEDKMACIERVSLNSTAIKELPLSIENLVGLKVMTLSFCRNLSRIPSSIYKLQHLKRLLLDGCSELTTFPENMPEETPPFCSNISMIANNDIMWFPMLTCLDLQNCKLSEVDFLMNLVCFSTLKDLDLSGNNFVNLPTCINRFTKLRRLELANCIWLEGIPELPRSIKRIGARNCTSLESYSELVRVFMFNTEDRSTKLHDLDFSNCHKLADQNPLQSFADTSSRCISVDEGFREDFRIEVVLPGNEIPRWFSIYSDDGYMSFKVPSPTFRRTKALVLCAILRLQFAVDVNISREIFINGRSVISFSRQFFSLKSDHMWLYYIPCRKIRSLYSLLQDDWIHIEVSFRILGAPRNATLKGCGVYLINASHPSSIVGDEDDDTCLSARNDGINFCGSETCTSLSLDLMRSCSVSDAGEFERHPWQPSPQWKLPPTQPGLIVIPPGSIYSPIAQLIHQNSFSRSRDPISKKRDRELGEDHDSSIPETSTKRFQNSSCFPLGNNNVPRGSSQQ >Potri.019G002500.2.v4.1 pep chromosome:Pop_tri_v4:19:850931:856119:1 gene:Potri.019G002500.v4.1 transcript:Potri.019G002500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002500.v4.1 MASSSNSTNCWKYSVFLSFRGQETRNTFTAHLYHALCNKGINAFIDDKLERGEHITSQLNQIIEDSRISLVIFSENYARSIYCLDELVKILECKESKGQVVLPVFYNVDPSDVEEQKGSFGESLDFHETYLGINAEQLKQWREALTKAAQLSGWHLDRGNEAVFIRKIVEEVWAQLNHTSLHVAAYQVGLDQRIEELIHMLNIGSSNVCMVGICGLGGSGKTTVAKAVYNLINNQFEACCFLSNVREFSKRYGLVHLQEKLLFEILGDKTLVLGSVDRGINVIKDRLRHKKVLIVIDDVDHLDQLKQIAGERDWFGLGSKIIITTRDERLLVFHGVERLLRVKELCCDDALMLFCWHAFRNSHPPIDYLEISDQVVKYSKGLPLALVVLGSFLYGRSIPEWESELDKLRRIPNKQIYEVLKISFDGLEHHERAIFLDIACFFKGQEKDYVIKILDACDFDPVIGIQVLMEKSLVYIENNKLQMHDLLQWMGRQVVHQESPNVPGRRSRLWFHEDILHVLTENMGSYEVEGLMLDLPEAEEVHLSAKAFKKMKRLRILIVHNAQVIGGPENLPNALRWLEWPGCPLESMPSTFHARKLVVLNMHHSCIKQLGEGFKNYTTLKYIDFRDCEFLTETPDFSTIPNLERLNLEGCTSLVKIHNSVGYLAKLVFLSVEFCSNLKSISSHFRLRSLQTLLLTGCSKLEKFPDIEDKMACIERVSLNSTAIKELPLSIENLVGLKVMTLSFCRNLSRIPSSIYKLQHLKRLLLDGCSELTTFPENMPEETPPFCSNISMIANNDIMWFPMLTCLDLQNCKLSEVDFLMNLVCFSTLKDLDLSGNNFVNLPTCINRFTKLRRLELANCIWLEGIPELPRSIKRIGARNCTSLESYSELVRVFMFNTEDRSTKLHDLDFSNCHKLADQNPLQSFADTSSRCISVDEQGFREDFRIEVVLPGNEIPRWFSIYSDDGYMSFKVPSPTFRRTKALVLCAILRLQFAVDVNISREIFINGRSVISFSRQFFSLKSDHMWLYYIPCRKIRSLYSLLQDDWIHIEVSFRILGAPRNATLKGCGVYLINASHPSSIVGDEDDDTCLSARNDGINFCGSETCTSLSLDLMRSCSVSDAGEFERHPWQPSPQWKLPPTQPGLIVIPPGSIYSPIAQLIHQNSFSRSRDPISKKRDRELGEDHDSSIPETSTKRFQNSSCFPLGNNNVPRGSSQQ >Potri.009G150200.1.v4.1 pep chromosome:Pop_tri_v4:9:11865008:11873433:1 gene:Potri.009G150200.v4.1 transcript:Potri.009G150200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150200.v4.1 MEFTEVKKRGRGRPKKRKLNEEESEDKKLVASALKKQALGFRWKPLVGRYVLKEFGSEIFLGKIVYYDTGLYRVDYEDGGCEDLKSGEFRKIILGDGDFDDELVLRREKLDEFVLQKSEKRKVEAEKEVVDSKNELGGGLTVENEGVHDEDYADSSSDSCEHVRVGGLGMEVETPVAPPPQLPSSSGSIRVPDEYVSHLFSVYTFLRSFNIRLFLSPFTLDDLVGAINCSIQNTLLDAIHFALMRALRRRLEALSSDGSELASKCLRSVDWRLLDSLTWPVHLVHYFTIMGYANGAEWKGLYDHLWKREYYSLPVGRKLMILQILCDDALDSVELRAEVDICEESEVGLDPDVVTAILPDNGPTRVHPRCSKTSACKDRETMDIIAGSQGSKPFSNSKHLGSKGTERDGNASDADVDGNGDECRLCGMDGILLCCDGCPSSYHSRCIGVVKMYIPKGPWYCPECTINKLGPTISMRTSHRGAEVFGIDLYEQVFMGTCNHLLVLKASTGGEPCFRYYNLMEIPKVLQTLSESMQHRLLYSEICKAIVQHWNIPQSASSLLEKMERGFDIASVKEDAIFSTISLPFCEESHEVPENVVAENAVTLNGSNTDIVAVSCLDTSLDASFQVGPQYIVSDGEMSRTGNCHLMSMKPHEQIKLESTESVNQLADPSGVTQQSRVDRSSAKELTTCTSANSVGSRIENEIGNCLPAFVFSQSKEGNHPGFEMVERNSTNSCSYMGTFFKPHAYINHYMHGDFAASAAANLSVLSSEESHSETQKSGNGRKAISDILLQVKAFSTAASRFFWPSSERKLVEVPRERCGWCHSCKQPSSNRRGCVLNSAALTATKGVSKIISGLRPVMNGEGSLSSISMYILCMGEILCGLTVGPFLSAIHRKQWCKQVEDASSYSAIKQPLLELEENIRLIALSGDWVKAMDDWLVESSVTHSSASIIGTAQRRGVNGKRHRKHSGVIDVAADGCHDKSFVWWRGGTLLKLVSNKAILPQSMVKRAARQGGSRKISGIHYTDDLEILNRSRQLIWRAAVERSKNASQLALQVRYLDYHVRWSDLVRPEQNLQDGKGSETEASFFRNAVICDKKFEEKTIRYGIAFGNQKHLPSRIMKNIIEIEKTEDGKDKYWFSELHVPLYLIKEFEESVDVIPPSSNKPSNELSVLQRRQLRASRRDMFSYLAFKRDKLDKCSCASCQCDVLIRNTVTCSSCQGYCHQDCTVSSRIYTNKEAQFSVTCKRCYSARAVIFSEKSNKSLTSPFPLQERHTAVTVTKDTGIKIHNQPLVSVRTQESCSEVKQNTSASSKATKPESRTQDSCSTSSSGKATKTESRSRNWGVVWRKKNNEDTGIDFRHKSILLRGSPNGNWLMPVCNLCREDYNCDLMYIHCKTCSNWFHAEAVEVEESKLADVIGFKCCRCRRIKSPNCPYRVDHGYEKLEVMKPQKRASEQGIGADSGTIVESRGFEPTTPMLPVENVFVQDDDPLLVSLSRVYQITEQNPGVDLECNIAGQGQQKLPVRRQGKRQGDAEDISGTNIYHADSSMFLETNSAMNCEGEISCAEWDVSGNGLEGEMMFDCEDVNYKDTEFEPQTYFFLTELLASDDGGQLDGFDASGNGLGNCENQFHAVSAHEFPKQHTMGTSCDASLQSAPTTMPCKMCSDLVPSPDLSCDICGLVLHRHCSPWVESSPVEGSWRCGNCREWR >Potri.009G150200.2.v4.1 pep chromosome:Pop_tri_v4:9:11867570:11873513:1 gene:Potri.009G150200.v4.1 transcript:Potri.009G150200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150200.v4.1 MERGFDIASVKEDAIFSTISLPFCEESHEVPENVVAENAVTLNGSNTDIVAVSCLDTSLDASFQVGPQYIVSDGEMSRTGNCHLMSMKPHEQIKLESTESVNQLADPSGVTQQSRVDRSSAKELTTCTSANSVGSRIENEIGNCLPAFVFSQSKEGNHPGFEMVERNSTNSCSYMGTFFKPHAYINHYMHGDFAASAAANLSVLSSEESHSETQKSGNGRKAISDILLQVKAFSTAASRFFWPSSERKLVEVPRERCGWCHSCKQPSSNRRGCVLNSAALTATKGVSKIISGLRPVMNGEGSLSSISMYILCMGEILCGLTVGPFLSAIHRKQWCKQVEDASSYSAIKQPLLELEENIRLIALSGDWVKAMDDWLVESSVTHSSASIIGTAQRRGVNGKRHRKHSGVIDVAADGCHDKSFVWWRGGTLLKLVSNKAILPQSMVKRAARQGGSRKISGIHYTDDLEILNRSRQLIWRAAVERSKNASQLALQVRYLDYHVRWSDLVRPEQNLQDGKGSETEASFFRNAVICDKKFEEKTIRYGIAFGNQKHLPSRIMKNIIEIEKTEDGKDKYWFSELHVPLYLIKEFEESVDVIPPSSNKPSNELSVLQRRQLRASRRDMFSYLAFKRDKLDKCSCASCQCDVLIRNTVTCSSCQGYCHQDCTVSSRIYTNKEAQFSVTCKRCYSARAVIFSEKSNKSLTSPFPLQERHTAVTVTKDTGIKIHNQPLVSVRTQESCSEVKQNTSASSKATKPESRTQDSCSTSSSGKATKTESRSRNWGVVWRKKNNEDTGIDFRHKSILLRGSPNGNWLMPVCNLCREDYNCDLMYIHCKTCSNWFHAEAVEVEESKLADVIGFKCCRCRRIKSPNCPYRVDHGYEKLEVMKPQKRASEQGIGADSGTIVESRGFEPTTPMLPVENVFVQDDDPLLVSLSRVYQITEQNPGVDLECNIAGQGQQKLPVRRQGKRQGDAEDISGTNIYHADSSMFLETNSAMNCEGEISCAEWDVSGNGLEGEMMFDCEDVNYKDTEFEPQTYFFLTELLASDDGGQLDGFDASGNGLGNCENQFHAVSAHEFPKQHTMGTSCDASLQSAPTTMPCKMCSDLVPSPDLSCDICGLVLHRHCSPWVESSPVEGSWRCGNCREWR >Potri.002G113800.6.v4.1 pep chromosome:Pop_tri_v4:2:8618594:8626704:-1 gene:Potri.002G113800.v4.1 transcript:Potri.002G113800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113800.v4.1 MDIGALLTSAAINTGLSVLLFSLYSILRKQPSNTIVYFGRRLASLNNRNSRNHFSFERFVPSPSWIVKAWETTENEILAIGGLDAVVFQRILVFSIRVFSIAAVTCLFLVLPVNYYGQEMKHKHIHAESLNVFTIANVKEGSRWLWAHCLALYIISCSACVLLYFEYKSITKMRLAHITTSPPNPSHFTILVRSIPYSVGESYSNSVKKFFTNYYASSYLSHQIVYRCGLVQKLMVDAEKICMRIKAAPKGQSSLKPCCLCGGSTSFKVLTDEPESVKDSFSYSNLNLATRDNERSAAFVIFKTRYAAVVATQMLQSPNPMSWVTELAPEPHDVLWSNLCIPFRQLWLRKIATLLASIVFMVLFLAPVTFVQGLTQLEKLSQTFPFLRGFLKQDLINHVLTGYLPSVILILFLYTVPPTMMLFSSVEGPVSHSGRKRSACLKILYFTIWNVFFVNHVSGGFLFAFNMLSSVGDIPVELAKAIPNQASFFVTYVLTSGWASLSCEVMQPFSLLCNFLKKHLLRNHEDSSDGLVSFPYHTEVPRVLLFGLIGFTYSVMAPLILPFLLIYFLLAYLVYRNQIVNVYITKYEGGGQLWPIVHNTTIFSLVLTQMISLGVFGIKKSPVASGFTIPLIICTLLFNEYCRQRFFPIFKKNVAQVLLEMDRRDEQSGRMEEIHQQLHSAYCQLPLTSHEFCESVHKLCQDNIRGREGTKSGKEPSEVSEPCAVCNFGKEGSIGE >Potri.002G113800.7.v4.1 pep chromosome:Pop_tri_v4:2:8618669:8626413:-1 gene:Potri.002G113800.v4.1 transcript:Potri.002G113800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113800.v4.1 MDIGALLTSAAINTGLSVLLFSLYSILRKQPSNTIVYFGRRLASLNNRNSRNHFSFERFVPSPSWIVKAWETTENEILAIGGLDAVVFQRILVFSIRVFSIAAVTCLFLVLPVNYYGQEMKHKHIHAESLNVFTIANVKEGSRWLWAHCLALYIISCSACVLLYFEYKSITKMRLAHITTSPPNPSHFTILVRSIPYSVGESYSNSVKKFFTNYYASSYLSHQIVYRCGLVQKLMVDAEKICMRIKAAPKGQSSLKPCCLCGGSTSFKVLTDEPESVKDSFSYSNLNLATRDNERSAAFVIFKTRYAAVVATQMLQSPNPMSWVTELAPEPHDVLWSNLCIPFRQLWLRKIATLLASIVFMVLFLAPVTFVQGLTQLEKLSQTFPFLRGFLKQDLINHVLTGYLPSVILILFLYTVPPTMMLFSSVEGPVSHSGRKRSACLKILYFTIWNVFFVNHVSGGFLFAFNMLSSVGDIPVELAKAIPNQASFFVTYVLTSGWASLSCEVMQPFSLLCNFLKKHLLRNHEDSSDGLVSFPYHTEVPRVLLFGLIGFTYSVMAPLILPFLLIYFLLAYLVYRNQIVNVYITKYEGGGQLWPIVHNTTIFSLVLTQMISLGVFGIKKSPVASGFTIPLIICTLLFNEYCRQRFFPIFKKNVAQVLLEMDRRDEQSGRMEEIHQQLHSAYCQLPLTSHEFCESVHKLCQDNIRGREGTKSGKEPSEVSEPCAVCNFGKEGSIGE >Potri.002G113800.5.v4.1 pep chromosome:Pop_tri_v4:2:8618392:8626640:-1 gene:Potri.002G113800.v4.1 transcript:Potri.002G113800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113800.v4.1 MDIGALLTSAAINTGLSVLLFSLYSILRKQPSNTIVYFGRRLASLNNRNSRNHFSFERFVPSPSWIVKAWETTENEILAIGGLDAVVFQRILVFSIRVFSIAAVTCLFLVLPVNYYGQEMKHKHIHAESLNVFTIANVKEGSRWLWAHCLALYIISCSACVLLYFEYKSITKMRLAHITTSPPNPSHFTILVRSIPYSVGESYSNSVKKFFTNYYASSYLSHQIVYRCGLVQKLMVDAEKICMRIKAAPKGQSSLKPCCLCGGSTSFKVLTDEPESVKDSFSYSNLNLATRDNERSAAFVIFKTRYAAVVATQMLQSPNPMSWVTELAPEPHDVLWSNLCIPFRQLWLRKIATLLASIVFMVLFLAPVTFVQGLTQLEKLSQTFPFLRGFLKQDLINHVLTGYLPSVILILFLYTVPPTMMLFSSVEGPVSHSGRKRSACLKILYFTIWNVFFVNHVSGGFLFAFNMLSSVGDIPVELAKAIPNQASFFVTYVLTSGWASLSCEVMQPFSLLCNFLKKHLLRNHEDSSDGLVSFPYHTEVPRVLLFGLIGFTYSVMAPLILPFLLIYFLLAYLVYRNQIVNVYITKYEGGGQLWPIVHNTTIFSLVLTQMISLGVFGIKKSPVASGFTIPLIICTLLFNEYCRQRFFPIFKKNVAQVLLEMDRRDEQSGRMEEIHQQLHSAYCQLPLTSHEFCESVHKLCQDNIRGREGTKSGKEPSEVSEPCAVCNFGKEGSIGE >Potri.006G093800.1.v4.1 pep chromosome:Pop_tri_v4:6:7121015:7125549:1 gene:Potri.006G093800.v4.1 transcript:Potri.006G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093800.v4.1 MSLYIGREASKLWKRICAETTTEINLLADNWKYILGGLIFQYIHGLAARGVHYLHRPGPILQDVGFFLLPELGQDKAYISETLFTTVFLSFVVWTFHPFILKSKKIYTVLVWCRVLAFLVASQFLRIITFYSTQLPGPNYHCREGSKLARLPHPQSLFEVLLMNFPRGITHGCGDLIFSSHMIFTLVFVLTYQKCGTKRCIKQLGWLIAVVLSFLIIASRKHYTVDVVVAWYTVNLVVFFLDKKLPELPDRTGGASLLLPLSNKDKDSKTREENHKLLNGNSVDPADWRQRTQVNGKILDDANLVHADATSMNGA >Potri.012G015800.2.v4.1 pep chromosome:Pop_tri_v4:12:1804770:1806701:-1 gene:Potri.012G015800.v4.1 transcript:Potri.012G015800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015800.v4.1 MASKAVKTVAKAVSEYQYPWKEKLAQHKNELSKGVWGYWKLGAWTPLHISGRRRARLRKEVLLAGEDWPYDPERKEMRTKMKGHKCDRIAAERRANTAKLMEQMPEMLLAYKKRRWEKKMKEEDKNK >Potri.001G408300.1.v4.1 pep chromosome:Pop_tri_v4:1:43564750:43565049:-1 gene:Potri.001G408300.v4.1 transcript:Potri.001G408300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408300.v4.1 MCSSASKAWIVAASIGAVEALKDQGICRWNYTIRLLQQHAKSNIRSFTQSKIMASSSYSSSSSAAAAVSNEIRKAGMKRKISLERSMNLSCWGPSTTRF >Potri.001G214201.1.v4.1 pep chromosome:Pop_tri_v4:1:22108907:22109885:-1 gene:Potri.001G214201.v4.1 transcript:Potri.001G214201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G214201.v4.1 MIHHIMATRRMDTTMMMVMVITMTTTTIYDDNNNGDMDTGYDDGGGEDFLACRSQQRCKEPEIIRQRQEADITRISTVLSISRNEASLLLRLYGWNVIKVEDEWFGNEEEVRNISY >Potri.018G037400.1.v4.1 pep chromosome:Pop_tri_v4:18:2969992:2982656:1 gene:Potri.018G037400.v4.1 transcript:Potri.018G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037400.v4.1 MAEFGGKWRGLKSVLMVLINFLLAFVFVSAERGLTREATSARTDETGDSVSSYVLKAVSCLWQPDQRGYQHVWPEMKFGWQIVLGSIIGFLGAAFGSVGGVGGGGIFVPMLSLIIGFDPKSATAISKCMIMGAAVSSVYYNLKLRHPTIDMPIIDYDLALLIQPMLMLGISLGVALNVIFADWMVTVLLIVLFTVTSTKAFFKGVETWKKETIMKREAAKHLESNGTDAGEVEYKPLRGGPSNSPQKETKELEITVFENVYWKELGLLVFVWVAFLVLQIAKESTYTCSIGYWVLNLLQIPVSVGVTLYEVVSLYKGRRVVASKGDEGTNFRVLQLMTYCAFGVLAGVVGGLLGLGGGFIMGPLFLELGVPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVPYAVYFVVVATFAAFTGQHIVRRLIIVFGRASLIIFILAFTIFVSAILLGGFGISNMIGKIHRQEYMGFENFCKYDG >Potri.001G082700.1.v4.1 pep chromosome:Pop_tri_v4:1:6550051:6553581:1 gene:Potri.001G082700.v4.1 transcript:Potri.001G082700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082700.v4.1 MRSSWSRTRSGVFVDDIGLGLRMQDNLESCSGSSKRSVTAMSCDHEPAAHELSSSSCSGGGGGSGPLFYSTSNHVTCLGDIKDVVASVSASGTGTPDAIAESKSLQYPYFISDSSPFTFNSSGEMTPSVNERVLFTAAQWQELERQTTIYKYMMASVPVPPELLIPITKNQSNVLPPRSNSSLELGIPSLNSSDAEPWRCKRTDGKKWRCSRDVAPDQKYCERHSHKSRPRSRKPVELHTHDSPRTLTNNNTNTNNSNYSTNPHLFNQKPYFPSHLFMFPSAMAPSASSYDQPRSLEWLLKGEILPVASNYSQEWQHLKRDSIKGNGKVYNVYGEEQPLCSNTYRGGHSLQAQRLNDHCSVLSSPKSTTLERALSPSLTQEQETRHFIDAWSTNSGRDDIGGIGKKSYVSSSEKLVLPHSALTLSMSPGTGSETNNEGNGSAQLSSFGIMGLSDRDHQSASGLRPQWMMSHGGSWIVSPPGGPLAEALCLGISSNAKTASNLPSPCSSSCGPN >Potri.001G082700.2.v4.1 pep chromosome:Pop_tri_v4:1:6550058:6553450:1 gene:Potri.001G082700.v4.1 transcript:Potri.001G082700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082700.v4.1 MRSSWSRTRSGVFVDDIGLGLRMQDNLESCSGSSKRSVTAMSCDHEPAAHELSSSSCSGGGGGSGPLFYSTSNHVTCLGDIKDVVASVSASGTGTPDAIAESKSLQYPYFISDSSPFTFNSSGEMTPSVNERVLFTAAQWQELERQTTIYKYMMASVPVPPELLIPITKNQSNVLPPRSNMLTGSLELGIPSLNSSDAEPWRCKRTDGKKWRCSRDVAPDQKYCERHSHKSRPRSRKPVELHTHDSPRTLTNNNTNTNNSNYSTNPHLFNQKPYFPSHLFMFPSAMAPSASSYDQPRSLEWLLKGEILPVASNYSQEWQHLKRDSIKGNGKVYNVYGEEQPLCSNTYRGGHSLQAQRLNDHCSVLSSPKSTTLERALSPSLTQEQETRHFIDAWSTNSGRDDIGGIGKKSYVSSSEKLVLPHSALTLSMSPGTGSETNNEGNGSAQLSSFGIMGLSDRDHQSASGLRPQWMMSHGGSWIVSPPGGPLAEALCLGISSNAKTASNLPSPCSSSCGPN >Potri.012G049000.3.v4.1 pep chromosome:Pop_tri_v4:12:4593773:4596118:1 gene:Potri.012G049000.v4.1 transcript:Potri.012G049000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G049000.v4.1 MSLNILTFLLLLTCFPLLLAGMPNPDPATIQPFKPGPTPPATIPASPEQSNLAGCPLELPNELFNGIKSACGSGSSASGHLHRTRCCPVLAAWLYSAYSATALSRANKVIPATTTGRSPSYDMPLLPDDSETCVDGLSKGLKEKGIELVKPNETCDVVYCYCGIRLHPLSCPEAFSLNRKGKLVGDKRVKKLERNCLSNSNNVNGFPGLGGCSKCLNSLHLLNNKKTLNSSKSDDRTARMHSKDCQLMGLTWLLAKNRTAYIHTVTAVIRAMMLSTDGFDPQSCTLNSDGMPLAVDSSEISNNSLSICHQVPIYAAMAFICLLNLLLIVPSTKF >Potri.009G027300.7.v4.1 pep chromosome:Pop_tri_v4:9:3876967:3878367:-1 gene:Potri.009G027300.v4.1 transcript:Potri.009G027300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027300.v4.1 MTPQEERLVLELHARWGNRWSRIARKLPGRTDNEIKNYWRTHTRKKAQERKSVVSPSLSSSNCSSSSNITTVNSSSPPGTGEASFYDTGGLEQVASAGKNGEAVQGGEKGYSMDDIWRDIENTIEPVCDGFSEEGCNFSYPSLASPSWEYRPDILWSISGEESKMFLPYDDGTMLLTG >Potri.009G027300.1.v4.1 pep chromosome:Pop_tri_v4:9:3876757:3878367:-1 gene:Potri.009G027300.v4.1 transcript:Potri.009G027300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027300.v4.1 MKMMQDETRKGPWTEQEDILLINFVNLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHARWGNRWSRIARKLPGRTDNEIKNYWRTHTRKKAQERKSVVSPSLSSSNCSSSSNITTVNSSSPPGTGEASFYDTGGLEQVASAGKNGEAVQGGEKGYSMDDIWRDIENTIEPVCDGFSEEGCNFSYPSLASPSWEYRPDILWSISGEESKMFLPYDDGTMLLTG >Potri.009G027300.2.v4.1 pep chromosome:Pop_tri_v4:9:3876926:3878367:-1 gene:Potri.009G027300.v4.1 transcript:Potri.009G027300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027300.v4.1 MTPQEERLVLELHARWGNRWSRIARKLPGRTDNEIKNYWRTHTRKKAQERKSVVSPSLSSSNCSSSSNITTVNSSSPPGTGEASFYDTGGLEQVASAGKNGEAVQGGEKGYSMDDIWRDIENTIEPVCDGFSEEGCNFSYPSLASPSWEYRPDILWSISGEESKMFLPYDDGTMLLTG >Potri.010G117100.3.v4.1 pep chromosome:Pop_tri_v4:10:13616330:13618244:1 gene:Potri.010G117100.v4.1 transcript:Potri.010G117100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117100.v4.1 MEPSLIHGVPPPAHICDLSPVPCLLKGDFGPVSHFGRASCGSLFHMWHCGSDLGMQWCFYYGAAGMLWTGETPEAKAVEENMATYFNKLQGLLLLSHGSMVGAGPTLASAIHSSIKQVVECSFKLMMETVSSFGSRNKDLKPLVPQLVGAVWEACTALKKTPASNITAIGRAMTQVAVSVKDDWASNDTETRLGDNDSLSDDLGNDLSPEEMKVAQSAIGVVSETVVVIKELIRTITGLLKQEKPEDSGNFVDTLEKLLKLCQEIGVQIDELGACLYPPQEFPAMKAAFEKISSAIDKVQTEIESLTSSSEAVFQACNDLKRSLKQMEATLGCCSTSDIEFIMQNVALSCQEGWGNSLRVCF >Potri.001G236900.1.v4.1 pep chromosome:Pop_tri_v4:1:25477969:25486507:1 gene:Potri.001G236900.v4.1 transcript:Potri.001G236900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236900.v4.1 MSSALDMSLDDIIKNSKKPGSANFRGRGRGHPSGAGPARRFPNRAANRSAPYTAAKAPESTWQHDMFRDQSGRTSSLEGAKLYVSNLDYGVSNEDIKELFLEVGELKRYSIHYDRSGRSKGTAEVVFARQAEAVAAVKRYNNVQLDGKPMKIEIVGTNFVAPPAPPAANAAFGNSNGVSGRGRGRGGALGRLRGVGVGGGGGRGFGRGRGRGRGRGEKVSAEDLDADLEKYHSEAMQIN >Potri.013G031800.2.v4.1 pep chromosome:Pop_tri_v4:13:2063985:2065219:-1 gene:Potri.013G031800.v4.1 transcript:Potri.013G031800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031800.v4.1 MGPGGPGGGGGPGGGGGWGPGGGGGPGGGWGPGGGGGPGGGWGPGPGGPGGWGPGQGWGPGLGGPGFFGGGGPGFFGGFCDIIGSCLSCLCCCWLIRDCFGGGPFGPPGPPGQFGR >Potri.017G016200.4.v4.1 pep chromosome:Pop_tri_v4:17:1183324:1192891:-1 gene:Potri.017G016200.v4.1 transcript:Potri.017G016200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016200.v4.1 MIMCFILQGTTRTLHEYQFLPQQPTVKAEAYERAAPSFQYGSPADGHNTKTGSLSATRSFMHANEQVSSGYGFSSQVPSLTLMPQEGRQGHLLPSATGEYENTSQKIPFTNVGMDVQIGAHPITALDNPFMSSDQRVTHDENALRMERKRKSEEARIAREVEAHEKRIRKELEKQDILNRKREEQIRKEMERHDRERRKEEERLLREKQREVERHQREQRRELERREKFLQKESIRVEKMRQKEELRRQREAARQKAASERAIARRMAKESLELVEDERLELMELAASSKGLPSIIPLDFETLQNLDLFRDKLTKFPPKSVLLKRPFLIQPWNGSEENIGNLLMVWRFLITFVDVLGIWPFTLDEFVQAFHDYEPRLLGEIHISLLKSIIKDIEDVARTPATSLGPNQNSAANPGGGHPQIVEGAYAWGFDIRSWQRHLNPLTWPEILRQFGLSAGFGPQLKKRNVEQAYLCDDNEGNDGEDVITNLRNGAAVENAFAIMQERGFSNPRRSRHRLTPGTVKFASFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDSKLFERTAPSTYCVRPPYRKDPADAEAILSAARERIRVFKSGIVDGEDADDAERDEDSESDVAEDPDIDDLGTELNSKKEAHDSPEVNEFNGKTLLMNGKESGDVLKTPQVSLVNVGAGLTSLHSEGTNEVRGVASSIDRSVDVAEICTTPVQGDVDIDESNPGEPWVQGLADGEYSDLSVEERLSALVALIGVAIEGNSIRIVLEERLEAANALKKQMWAEAQLDKRRMKEEFVMRTQYSSFTGNKMELNLTISASEGRQSPMVNVDDRSNGMSVNASFQQERSSDQQSDMNYLTNMSSEGNMQMQDLSADTDNLPYQQTGHANEKSRSQLKSVIGHRAEEMYVYRSLPLGQDRRRNRYWQFTTSASRNDPGCGRIFVELHDGRWRVIDSEEGFNALLSSLDVRGVRESHLHAMLHKIEVPFKETLRKRMLHASTEGKSKGPIKAEAVETAAGIECGSGMDSPQSTVCIPDSDMSETSTSFTIELGRNEIEKNHALKRFQDFEKWMWKECFKSSVLCAMKYGKKRCTQRLGVCDYCHDTYLSEDNHCPSCHKTYDASQVGLNISEHVAHCERKLKVDPDSALCSSSFPLRIRLLKLLLALIEVSVLPEALQPVWTDDYRKSWGMKLQSSSSVEDLLQILTLLEGGMKRDYLSSNYETSSELLRSSDPSGCAAYGSFNTETVPVLPWLPQTTAAVALRVIEFDASISYTLHQKPEAHKDRSTRSFIKLPSKYAAMKNTPDHEITESSRKAGLFQEDNWVDVGIGLAGLGREQGIRGRGRGRTRGGRSQTRIIGSRSVSSKRSAAKSSDRLGKALSWKGRPRGRGGCKRGRRSVRSRQKAVKQASDFIPERKIPQETIREQSTNCLGRDDWNGDETRFVEDAENASSSERSEYDDENENILASGDEYDNMRVDDYAGGFNGKSDDLLEGSDYVMDGNEDDDDAVNEDELGDLDVEEYINGDPDDGTESSSSDFID >Potri.017G016200.1.v4.1 pep chromosome:Pop_tri_v4:17:1183334:1195830:-1 gene:Potri.017G016200.v4.1 transcript:Potri.017G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016200.v4.1 MEEASSGGGSGGVEVEEKKKTPGEGESKSKRKMKTASQLEILEKTYAADTYPSEAVRAELSVQLGLSDRQLQMWFCHRRLKDRKAPLVKRPHKESPSPAGMPGGVEMGVGTEVGNEHGSGSASLSGLGVDSRRAVGRPTGVAVPRISADVQAMKRYYEPQQSVAELRAIAFVEAQLGEPLREDGPILGIEFDPLPPDAFGAPIGSATLGQQKQPVRIFETNLYERPDIKPIKGTTRTLHEYQFLPQQPTVKAEAYERAAPSFQYGSPADGHNTKTGSLSATRSFMHANEQVSSGYGFSSQVPSLTLMPQEGRQGHLLPSATGEYENTSQKIPFTNVGMDVQIGAHPITALDNPFMSSDQRVTHDENALRMERKRKSEEARIAREVEAHEKRIRKELEKQDILNRKREEQIRKEMERHDRERRKEEERLLREKQREVERHQREQRRELERREKFLQKESIRVEKMRQKEELRRQREAARQKAASERAIARRMAKESLELVEDERLELMELAASSKGLPSIIPLDFETLQNLDLFRDKLTKFPPKSVLLKRPFLIQPWNGSEENIGNLLMVWRFLITFVDVLGIWPFTLDEFVQAFHDYEPRLLGEIHISLLKSIIKDIEDVARTPATSLGPNQNSAANPGGGHPQIVEGAYAWGFDIRSWQRHLNPLTWPEILRQFGLSAGFGPQLKKRNVEQAYLCDDNEGNDGEDVITNLRNGAAVENAFAIMQERGFSNPRRSRHRLTPGTVKFASFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDSKLFERTAPSTYCVRPPYRKDPADAEAILSAARERIRVFKSGIVDGEDADDAERDEDSESDVAEDPDIDDLGTELNSKKEAHDSPEVNEFNGKTLLMNGKESGDVLKTPQVSLVNVGAGLTSLHSEGTNEVRGVASSIDRSVDVAEICTTPVQGDVDIDESNPGEPWVQGLADGEYSDLSVEERLSALVALIGVAIEGNSIRIVLEERLEAANALKKQMWAEAQLDKRRMKEEFVMRTQYSSFTGNKMELNLTISASEGRQSPMVNVDDRSNGMSVNASFQQERSSDQQSDMNYLTNMSSEGNMQMQDLSADTDNLPYQQTGHANEKSRSQLKSVIGHRAEEMYVYRSLPLGQDRRRNRYWQFTTSASRNDPGCGRIFVELHDGRWRVIDSEEGFNALLSSLDVRGVRESHLHAMLHKIEVPFKETLRKRMLHASTEGKSKGPIKAEAVETAAGIECGSGMDSPQSTVCIPDSDMSETSTSFTIELGRNEIEKNHALKRFQDFEKWMWKECFKSSVLCAMKYGKKRCTQRLGVCDYCHDTYLSEDNHCPSCHKTYDASQVGLNISEHVAHCERKLKVDPDSALCSSSFPLRIRLLKLLLALIEVSVLPEALQPVWTDDYRKSWGMKLQSSSSVEDLLQILTLLEGGMKRDYLSSNYETSSELLRSSDPSGCAAYGSFNTETVPVLPWLPQTTAAVALRVIEFDASISYTLHQKPEAHKDRSTRSFIKLPSKYAAMKNTPDHEITESSRKAGLFQEDNWVDVGIGLAGLGREQGIRGRGRGRTRGGRSQTRIIGSRSVSSKRSAAKSSDRLGKALSWKGRPRGRGGCKRGRRSVRSRQKAVKQASDFIPERKIPQETIREQSTNCLGRDDWNGDETRFVEDAENASSSERSEYDDENENILASGDEYDNMRVDDYAGGFNGKSDDLLEGSDYVMDGNEDDDDAVNEDELGDLDVEEYINGDPDDGTESSSSDFID >Potri.017G016200.3.v4.1 pep chromosome:Pop_tri_v4:17:1183316:1194332:-1 gene:Potri.017G016200.v4.1 transcript:Potri.017G016200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016200.v4.1 MKRYYEPQQSVAELRAIAFVEAQLGEPLREDGPILGIEFDPLPPDAFGAPIGSATLGQQKQPVRIFETNLYERPDIKPIKGTTRTLHEYQFLPQQPTVKAEAYERAAPSFQYGSPADGHNTKTGSLSATRSFMHANEQVSSGYGFSSQVPSLTLMPQEGRQGHLLPSATGEYENTSQKIPFTNVGMDVQIGAHPITALDNPFMSSDQRVTHDENALRMERKRKSEEARIAREVEAHEKRIRKELEKQDILNRKREEQIRKEMERHDRERRKEEERLLREKQREVERHQREQRRELERREKFLQKESIRVEKMRQKEELRRQREAARQKAASERAIARRMAKESLELVEDERLELMELAASSKGLPSIIPLDFETLQNLDLFRDKLTKFPPKSVLLKRPFLIQPWNGSEENIGNLLMVWRFLITFVDVLGIWPFTLDEFVQAFHDYEPRLLGEIHISLLKSIIKDIEDVARTPATSLGPNQNSAANPGGGHPQIVEGAYAWGFDIRSWQRHLNPLTWPEILRQFGLSAGFGPQLKKRNVEQAYLCDDNEGNDGEDVITNLRNGAAVENAFAIMQERGFSNPRRSRHRLTPGTVKFASFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDSKLFERTAPSTYCVRPPYRKDPADAEAILSAARERIRVFKSGIVDGEDADDAERDEDSESDVAEDPDIDDLGTELNSKKEAHDSPEVNEFNGKTLLMNGKESGDVLKTPQVSLVNVGAGLTSLHSEGTNEVRGVASSIDRSVDVAEICTTPVQGDVDIDESNPGEPWVQGLADGEYSDLSVEERLSALVALIGVAIEGNSIRIVLEERLEAANALKKQMWAEAQLDKRRMKEEFVMRTQYSSFTGNKMELNLTISASEGRQSPMVNVDDRSNGMSVNASFQQERSSDQQSDMNYLTNMSSEGNMQMQDLSADTDNLPYQQTGHANEKSRSQLKSVIGHRAEEMYVYRSLPLGQDRRRNRYWQFTTSASRNDPGCGRIFVELHDGRWRVIDSEEGFNALLSSLDVRGVRESHLHAMLHKIEVPFKETLRKRMLHASTEGKSKGPIKAEAVETAAGIECGSGMDSPQSTVCIPDSDMSETSTSFTIELGRNEIEKNHALKRFQDFEKWMWKECFKSSVLCAMKYGKKRCTQRLGVCDYCHDTYLSEDNHCPSCHKTYDASQVGLNISEHVAHCERKLKVDPDSALCSSSFPLRIRLLKLLLALIEVSVLPEALQPVWTDDYRKSWGMKLQSSSSVEDLLQILTLLEGGMKRDYLSSNYETSSELLRSSDPSGCAAYGSFNTETVPVLPWLPQTTAAVALRVIEFDASISYTLHQKPEAHKDRSTRSFIKLPSKYAAMKNTPDHEITESSRKAGLFQEDNWVDVGIGLAGLGREQGIRGRGRGRTRGGRSQTRIIGSRSVSSKRSAAKSSDRLGKALSWKGRPRGRGGCKRGRRSVRSRQKAVKQASDFIPERKIPQETIREQSTNCLGRDDWNGDETRFVEDAENASSSERSEYDDENENILASGDEYDNMRVDDYAGGFNGKSDDLLEGSDYVMDGNEDDDDAVNEDELGDLDVEEYINGDPDDGTESSSSDFID >Potri.017G002700.1.v4.1 pep chromosome:Pop_tri_v4:17:150923:154949:-1 gene:Potri.017G002700.v4.1 transcript:Potri.017G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002700.v4.1 MESDDGEVVSNKQVIFKDYVSGALKESDMYITTSTIRLKVPEDCTNGVLVKNLYLSCDPYMRIRMRNFQGSYFSPLKPGSPISGRGVAKVLDSRHPDYKKGDFIWGITGWEEYSLITATETLFKIHDTDVPLSYYTGILGMLGLTAYAGFYEICSPKKGEFVFISAASGAVGQLVGQFAKLLGCYVVGSAGSKDKVDLLKNKFGFDDAFNYKEELDLDAALKRYFPDGIDIYFENVGGKILDAVLLNMRVRGRISVCGMVSQYNLEQPEGVHNLMHLVLKRIHMEGFLVYYFFHLFPKYLDMVLPYIKQGKIVYVEDIAEGLENAPAALTGLFAGRNIGKQVVAVSRE >Potri.014G052000.5.v4.1 pep chromosome:Pop_tri_v4:14:3335496:3337205:-1 gene:Potri.014G052000.v4.1 transcript:Potri.014G052000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052000.v4.1 MSRGFIGIPGSRWLCIFFDECWNPSCGFQRRYIPTKNNWEIWKLEKEINSMILKVVKERAAANYEKDLLQMILEGAKSYGDQESFPSDVSLDRFIVDNCKAIYFASHETTAITASWCLMLLAANQEWQARARAEVLEICKNNLPDADMLRNMKTLTMVIQETMRLYPPVVFVIRRALQDLKFKDITIPKGLNIQIPIPIAQQNPDQWRPDAHQFNPKRFAKGILGSSTSPSDYMPFRIGARICAGQHFAMAELKVIVSLILSKFSFSLSPAYHHPPRFGLVVQPGNGVCLHNRRVS >Potri.014G052000.4.v4.1 pep chromosome:Pop_tri_v4:14:3335379:3337125:-1 gene:Potri.014G052000.v4.1 transcript:Potri.014G052000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052000.v4.1 MSRGFIGIPGSRWLCIFFDECWNPSCGFQRRYIPTKNNWEIWKLEKEINSMILKVVKERAAANYEKDLLQMILEGAKSYGDQESFPSDVSLDRFIVDNCKAIYFASHETTAITASWCLMLLAANQEWQARARAEVLEICKNNLPDADMLRNMKTLTMVIQETMRLYPPVVFVIRRALQDLKFKDITIPKGLNIQIPIPIAQQNPDQWRPDAHQFNPKRFAKGILGSSTSPSDYMPFRIGARICAGQHFAMAELKVIVSLILSKFSFSLSPAYHHPPRFGLVVQPGNGVCLHNRRVS >Potri.010G108200.3.v4.1 pep chromosome:Pop_tri_v4:10:12916169:12919753:1 gene:Potri.010G108200.v4.1 transcript:Potri.010G108200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108200.v4.1 MERDFLGLSSKKPSAVVKEEISSDGCKDIGFTKGSGMHWPFSNKVSTLHNLMSFKAAQEDKTKTIESDALVSSGFMSVLSADACDPGQKRSAAEIQMFPVANHAISISTGNPFFNNHYPATGQNMFGTTMKPQLLGGFPVTAPHSILPMVGPVAGVTDSSVKAYGSPAQLTIFYAGAVNVYDDISPEKAQAIMFLAGNGSSISSKSAQPKVQVQAFSSKPAAADVSPVNQPIMSTPPCSSLSSPSHTGAQSGSGSTSTEEIMATKTTGPVTIPVIKPDHPKTGNVVGSVATTTMIPSVPQARKASLARFLEKRKERATNAEPYNLSKKSPDFANPEPY >Potri.005G202200.1.v4.1 pep chromosome:Pop_tri_v4:5:20773583:20776061:1 gene:Potri.005G202200.v4.1 transcript:Potri.005G202200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202200.v4.1 MVFQMVLLKFADWILYQLLANSCYRAARKMRSYGFFLKNHSLKSSQQQAPSFPNVFKCGLENRGSDILVCDIHGGLLRTESFFPYFMLVAFEGGSILRAFLLLLSCPILWVLDYELKLRAMIFITFCGLRLKDMENVSRAVLPKFYLENLHLKAFEVLASTGSRFAFTSVPRVMVEGFLKEYLLFDNVTGTELHTVGHYFTGLVSDSGLVLKHRALKDYFGDKKPDIGLGSSSLHDHLFISLCKEAYVVSRDEGKSGTSSVMPRDKYPKPLVFHDGRLAFLPTPLATLSMFMWLPIGVFLAFFRLFVGIHLPYKLALFLGIWSGLDLRVKGCSPSRSGHRKGILYVCTHRTLLDPVFLSTSLGQPLTAVTYSLSRMSEIIAPIKTVRLTRDRKQDGRTMQRLLSEGDLVVCPEGTTCREPYLLRFSSLFAELANEMVPVAMNTRVSMFYGTTASGLKCLDPIFFLMNPRPSYHIQILEKLPRELTCAGGRSSCEVANYIQRKLADTLGFECTTLTRKDKYMMLAGNEGIVQDKREKSQSIGK >Potri.005G135100.1.v4.1 pep chromosome:Pop_tri_v4:5:10437206:10442846:1 gene:Potri.005G135100.v4.1 transcript:Potri.005G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G135100.v4.1 MRWLHNISFSSSSSSSTSSSLSPHKRSSSSFSSSSMATEETLPSIRRGHGSRISRNNHRSLRLGGGGGDERPKLIRQRKLRHLTDQDLAGKKKQVAPPDHHVDSGALPRSASASVAVPLPLPLPVPSGDGDLRLPSPKERHRDGFRERERDRGDRVGEGLSSSNSPISSTFAGRNMKKMIEHLHSQSPRMVRPDFSTAQSSRDNFGINIPTRSAPTSPFTSPVRSPPRTSNTADMLPYYRMIAKANQVWSAPEMATLDIPGLPPPAFMDITAFSTDSSPLQSPPNLSPQRSARSPTGPPSPLIAKLSIESSPAWRESNANFEVHPLPLPPGASVPSPSVPVPLALSKLESTSMKSHWQKGKLIGRGTFGSVYVASNRETGALCAMKEVEMFPDDPKSAESIKQLEQEIKVLSHLKHPNIVQYYGSEIVDDKFYIYLEYVHPGSINKYVREHCGAITESVVRNFSRHIVSGLAYLHSTKTIHRDIKGANLLVDASGVVKLADFGMAKLLTGQAADLSLKGSPYWMAPELMQAVMHKDSSSDLALAVDIWSLGCTIIEMFTGKPPWSEYEGAAAMFKVMRDSPSIPEVLSPDGKDFLRCCFRRNPAERPSATMLLEHRWLKNSQQLDVSSTIQSISGIKLTDISQSLRASGVKFDHLPGLPSSRSTKGKTTADSFAHYSFSPAKLSNDFVMKLPT >Potri.009G048800.2.v4.1 pep chromosome:Pop_tri_v4:9:5435119:5435514:-1 gene:Potri.009G048800.v4.1 transcript:Potri.009G048800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048800.v4.1 MATTNTHRRHVLALAVFLIVGIHILGNQKVAASCKESTVPSLKSRCSRFVRIPGPKVPPSYACCQAVKEITVGDLPCLCKLLTPAVQKVISMEKAVCVARTCGLPVPPGTVCGSKSIYYCLEYFTVLINFT >Potri.015G033100.1.v4.1 pep chromosome:Pop_tri_v4:15:2580678:2583672:-1 gene:Potri.015G033100.v4.1 transcript:Potri.015G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033100.v4.1 MLANSSPSSLPSNPEPFFCLENGNSNNKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDSCNMGRLRSESQSLQPAACLSRTASSPSPSSDNNFSTAPWPPLIISRPTTTSDHAMFFSPTTATDVVDKTDSSKSAAHYQNLELQLSTTSRNPPEVSVSPKRDDNHSTQLQLSIGSSDVSDRNESNITYTNKDHAGKSFPRESNNSPKPELGASRLKEQAREQLRMAMAEKIYAEEARQQAKRQIELAEQEFANAKRIRQQAQAELGKAQALRQHAIKQINSTILQITCHACKQKFHARATTDENSLVFSYMSSSITEGEVEHNNGIGLAKTSNR >Potri.001G300800.2.v4.1 pep chromosome:Pop_tri_v4:1:31149437:31151292:1 gene:Potri.001G300800.v4.1 transcript:Potri.001G300800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G300800.v4.1 MEEIPKTSKQIFILSGQSNMAGRGGVCKDHHHHNHQYWDKLVPPECQPHQDIFRFSAKLHWEQAHEPLHADIDSKKVCGVGPGMSFANMVREKMRVVVGLVPCAVGGTAITRWGRGEVLYENMVKRAKESVEDGGEIKGLLWYQGESDTSDIHDAEVYQGNMEKLIENVREDLGLPSLPIVMVAITSGDGKYVDKVREAQLRINLPNVVCVDAMGLDLKDDHLHLTTEAQVKLGHMLSEVYLKNFAPSWKRFFSCLLC >Potri.010G038400.2.v4.1 pep chromosome:Pop_tri_v4:10:6815242:6821328:-1 gene:Potri.010G038400.v4.1 transcript:Potri.010G038400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038400.v4.1 MALSHLKSSSSGSNFLKPLATAFSLRRPISTSTDPLTIETSIPFTTHRCDPPSRTVDTSPQELLSFFRDMATMRRMEIAADSLYKAKLIRGFCHLYDGQEAVAVGMEAAITKKDSIITAYRDHCTFLGRGGTLLEIFSELMGRQGGCSSGKGGSMHFYKKNSGFYGGHGIVGAQVPLGCGLAFAHKYNKDDAVAFALYGDGAANQGQLFEALNISALWDLPIILVCENNHYGMGTAEWRAAKSPAYYKRGDYVPGLKVDGMDAFAVKQACKFAKEHALKSGPIILEMDTYRYHGHSMSDPGSTYRTRDEISGVRQERDPIERIRKLIVTHDLATEKELKDIEKEVRKQVDEAIAQAKESPMPEPSELFTNVYAKGMGVEAYGADRKEVRAVLP >Potri.010G134500.1.v4.1 pep chromosome:Pop_tri_v4:10:15027514:15029053:-1 gene:Potri.010G134500.v4.1 transcript:Potri.010G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX59 MAFPLHESKLPVLPLAMLVFISILSGSLHASDPPLTLDHYASTCPDVFEIVKKEMECEVLSDPRNAALILRLHFHDCFVQGCDGSVLLDDTITLQGEKEALTNTNSLKGFKIIDRIKNKIESECPGIVSCADILTIAARDAVILVGGPYWDVPVGRKDSKTASFELAASNLPTADEGLLSIMTKFLYQGLSATDLVALSGAHTIGMARCANFRSRIYGDFETTSDASPMSETYLNSLKSTCPAAGGSGDNNISAMDYATPNLFDNSFYQLLLKGDGLLSSDQELYSSMLGIETKNLVIKYAHDSLAFFQQFADSMVKMGNITNPDSFVNGEVRTNCRFVNT >Potri.004G038100.1.v4.1 pep chromosome:Pop_tri_v4:4:3045743:3049362:-1 gene:Potri.004G038100.v4.1 transcript:Potri.004G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038100.v4.1 MKMGSKFSFLFVNVVLVVASINKGVNCMNVSSSNANLEDYTQFLLANGVARTPPMGWNSWNHFQCNIDERTIKTTADALVSTGLAALGYKYVNIDDCWGEEKRDWKGSLRAKASTFPSGIKALADYVHSKGLKLGIYSDAGYRTCSKKMPGSLGHEEQDAKTFAEWGVDYLKYDNCYHDGSKPQDRYARMSYALRKVGRPILYSLCEWGQEYPAKWAGFYGNAWRTTGDIKDTWESVISIADENNIWGRYAGPGRWNDPDMLEVGNGGMSLEEYRSHFSIWALMKAPLLIGCDVQSASHETLRILGNKEVIDVNQDPLGVQGRKIRAKAGLEIWAGSLSRKRVAVVLWNRSGSRAPITVGWREIGLSPYNPVIVRDLWAHSFVSMRKLHGLTAYVASHACKMYILTPS >Potri.001G225100.2.v4.1 pep chromosome:Pop_tri_v4:1:24296956:24302735:1 gene:Potri.001G225100.v4.1 transcript:Potri.001G225100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225100.v4.1 MASSHLLCNTFPIQTSCNLSSKSNISSFKPSGSFSFCQKLQFQRTPVVKATRKQVELVYDPDGRVNKLADEVDKQAPLSRLTLFSPCKVNVFLRITSKREDGYHDLASLFHVISLGDVIKFSLSPSKRIDRLSTNVSGVPLTEDNLIIKALNLYRKKTGTDNFFWIHLDKKVPTGAGLGGGSSNAATALWAANQFSGCLATEKELQEWSSEIGSDIPFFFSHGAAYCTGRGEIVQDIPSPVSSGIPMVLMKPPQSCSTAEVYKCFQLDKTSQADPLTLLEKISRNGISQDVCINDLEPPAFEVLPSLKRLKQRIAAAGRGQYDAVFMSGSGSTIVGIGSPDPPQFIYDEDEYQDVFVSEANFLAREANQWYQQPASTTCSSPPEFSRSVE >Potri.011G058900.1.v4.1 pep chromosome:Pop_tri_v4:11:4905963:4910007:-1 gene:Potri.011G058900.v4.1 transcript:Potri.011G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAGEGEAKSLEETPTWAVATVCFFLILISIFIEQLLHLLAKYFNKKRRKYLIQALYKIKTELMLLGFISLLLTVLEKPVANICIPKSAGETFLPCGGVDSSDWSEEEAKCAEQGKASLLSREGMKQLQYLIFVLASFHCVSSIFTFGLGMAKMRRWESWEAETRTLDYQFSTDPRRFQLSHQTSFGKRHLRYWNENSVLRWPACFLGQFYGSVSKVDYLTLRHGFIMAHFDQDNSFDFQKYIRRALDKDFGVLVGISFWIWMFSISFIFFNAHKFYSYYWLPFIPLVMLLLVGTKLQAIITLMCLDSHDKSLVVEGTILVRPSDHFFWFGRPKLLLHLIHFILFQNSFQLAFFTWTWYKFGFRSCFHRRTEDIVITLVTGLLVHFLCGYVTLPLYALVTQMGSSMRTAVFTENVVEGLKRWRAKARKNLKISYSARPSLDASVDTSLSLDSSPSFSLSASYSIDPNPPLDRDHVTIEVIDEAKHNDEQPREHKKNGSFEGFNVSNAAPAMEKQSGL >Potri.011G125301.1.v4.1 pep chromosome:Pop_tri_v4:11:15896924:15900752:1 gene:Potri.011G125301.v4.1 transcript:Potri.011G125301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125301.v4.1 MKKKTDIISWKESSHLTSKLSSNKVFVCVNKRENTSMGRLSEFFACSFLFFILTTCTTPVIINPSNSITDGETLVSAGGSFELGFFNPGSSNNQYLGIWYVKSPEPVVVWVANREVPLSNKFGALNISSQGVLVIYSSTNDIVWSSNPSRTAEDPVAELLESGNLVVREGNDNNPDNFLWQSFDYPCDTLLPGMKLGFNLVTRLDRFLSSWKSDEDPARGEFTFLVDPNNGYPQLLLKSGNAIQLRTKLPSPTPNITFGQNSTDFVLNNNEVSFGNQSSGFSRFKLSPSGLASTYKWNDRTHSWLVYSLLASDWCENYALCGSFASCDINASPACGCLDGFVPKSPESWNLGDWSGGCIRKTPLNCSDKDVFTKYTVSKLPETSFSWFDERINLKECEVICLKNCFCTAYANSDIKGGGSGCLIWSRDLIDIRGSDADGQVLYVRLAVSESGVAVEKGNNNGKKKAGIIASTVVFGMGMLMLGMIFCIRRRKFRMNDNFKDVRKEDMEFPIFYLSTVANATGNFSSRNKLGEGGFGPVYKGILAEGQEIAVKRLSKSSGQGLNEFKNEVILIAKLQHRNLVKLLGYCIHEDEKMLIYEYMPNKSLDFFIFDQTRRKLLDWSKCMNIIVGIARGLLYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARMFRGDQTEANTHRVVGTYGYMSPEYASNGHFSVKTDVFSFGVLVLEIVSGNKNRGFRHPDQTLNLLGHAWILWIKGTPLELIDECLANSSNVSEVLRCIHVALLCVQQRPEDRPNMPTIVQILGNENPLPQPKQPGFFIGRNPLEQDTSSNRNNVYSANEASLTSLEAR >Potri.010G141000.1.v4.1 pep chromosome:Pop_tri_v4:10:15419200:15422328:-1 gene:Potri.010G141000.v4.1 transcript:Potri.010G141000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141000.v4.1 MGRAPCCEKVGLKKGRWTAEEDEVLTKYILANGEGSWKSLPKNAGLLRCGKSCRLRWINYLRADLKRGNITKEEEETIVKLHTALGNRWSFIAAQLPGRTDNEIKNYWNSHLSRKIYSFNRYKNDDSLPSIMDITDVAAGPYKGRGGRTSRSSMKKHKATLISLGKPKSPKAIAHEVTEPASKETAAISPKGTNSSLKSSATEGPQKEPENRKNLGVQVQESCIDIAKRINAAGISHCPINDEKETETLGPYEWLDSEIKRLEYALQRQVADPFGNNTVVTLETDNEAPSMSKESENYNGVMGPKRVAVHYLKKQSNSSNGCSSNEESNGEWYNSFSPMNPRFDEEWLDWDWTIAGDLGGWGLL >Potri.006G101400.1.v4.1 pep chromosome:Pop_tri_v4:6:7800161:7802068:1 gene:Potri.006G101400.v4.1 transcript:Potri.006G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101400.v4.1 MEGLHHHLHHHQQQIQQQHHISVNVDTSDRFPQWSIQETKEFLMIRAELDPTFMEKKRNKVLWEVISKNMKEKGYNRSAEQCKCKWKNLVTRYKGYETIEPESMRHQFPFYNELQAIFTSRMQRMLWVDAEGAASGSKKKAAQLSSDEEEDNEEISEGEKGSSRKRIKKGKAVGGASCSTGNSNSLRETLEDFMKQQMQMEMQWRETFEARENERRMKEMEWRQIMEGIENERTTMDRRWREIEEQRRVREEARAEKRDALITALLNKLRREDM >Potri.002G132850.1.v4.1 pep chromosome:Pop_tri_v4:2:10008559:10009453:1 gene:Potri.002G132850.v4.1 transcript:Potri.002G132850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G132850.v4.1 MPRGYSDVDQLPRERRGEVGICVIFIKECVLAGDGLDAADKKHKHSTIKARNYYLWVLSIVDRPATVGSYSGQAMRKSLNIVLMTVSNFSTCARHC >Potri.001G432680.2.v4.1 pep chromosome:Pop_tri_v4:1:45817748:45819497:-1 gene:Potri.001G432680.v4.1 transcript:Potri.001G432680.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432680.v4.1 MDALKDDKVNMIGLCGMGGVGKTTLVKEVGTIAVELQLFPVVLMATVSQNPNVTDIQHLMADKLGLNIKKKNTNPGRADLLRQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQTVLLRILSEDEAMVLFRINAGLRDGDSTLNRVAREVARECQGLPIALVTVGKALRDKSEVEWEEAFRRLKNSQFLDMEHIEEQKTAYACLKLSYDYLMSKETKLCFLLCCLFPEDYNIPIDDLTRYTVGYELHQDVESIGDARK >Potri.001G432680.1.v4.1 pep chromosome:Pop_tri_v4:1:45818616:45828999:-1 gene:Potri.001G432680.v4.1 transcript:Potri.001G432680.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432680.v4.1 MVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKVVKKWMEDANSKIEGAKPLENEIGRNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLENSTKFTKVSDIAHPQPREFLPSKEFTSSKSSEEAFEQIMDALKDDKVNMIGLCGMGGVGKTTLVKEVGTIAVELQLFPVVLMATVSQNPNVTDIQHLMADKLGLNIKKKNTNPGRADLLRQRLKQVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQTVLLRILSEDEAMVLFRINAGLRDGDSTLNRVAREVARECQGLPIALVTVGKALRDKSEVEWEEAFRRLKNSQFLDMEHIEEQKTAYACLKLSYDYLMSKETKLCFLLCCLFPEDYNIPIDDLTRYTVGYELHQDVESIGDARK >Potri.006G031200.1.v4.1 pep chromosome:Pop_tri_v4:6:1941623:1946840:1 gene:Potri.006G031200.v4.1 transcript:Potri.006G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031200.v4.1 MILFFVSQESYVYTIGKKMNSFGPIKSEASKTTGKSSSGLGQTLIANDVGQSSETNAGTRFSQRLVGNNFVEQNMQPNITFNSRREMLGSQSSDQKLKYQLQQQEFQDGILKDKVQNTSKSFVIQPDILHSQPHQRQQHVTSQNTQNQFSQPPSVKTQYRQTPFAQPSNSPMFQQNQQLGKQRDDSEQLQWSIPQQNVLPSFQQPLGQQRDVSRMQLQQKMAGSQPFIFNAQSHRSSSPMIQQPGVTASEQEVHKITQPGQLHQILGSQKQSGLSQEGIQRKPQTSASFHQQRSIADQQKLFQSQISFAGSSSASLEPKSSGQLTNTADELDEAYQKLQCFKLQYGPQLKKLYIYFKSLLDKATDPELVRKNMKQVIWIEKAMQVFSLQRNQMKNASKEKLETTKDAIVKYVNLFRQKRVASVEQQAELSLPTSGQSQISQPPQSWNGKLQFPLVNLTMTNGLGNSSPTSSMQSRVPNSQPNFVSSLQYSSGMGLEQKNGPSTFQKSARKTGQYFAGSPQNNNFFSNSNVNAFDSPFTHYTRLLQNFEQQMQKQTIQLKKEQMLMRNSQSCVTPAIESTENLHQLQIPTTINQLYSSSPRKSQLSSAQIDQQNFPSASTPLTPTSRYVALTQVDNQTQSQNQSTATDTPGISASPLLEEFTSPSNASDTNQPFQRLLRAVESMSPGVLSAAVQDIDSVVNMVDKIAGGLAEGHSQAAIGEDLVSETRFRVQEMNLAYQQSSIYEREMEQKFSAMTWDTVGQPMHRKSDFDSTTTPKLNNLRIKPNKDLLDEIRRVNQRLVETLVEVDSTDDDSILPESSKGTIIKCSYTAVSLSGDLKSLSSSPTFPVLILRLLVPADYPNTSSVIFDKLPVGCEEPEDLSEKTKLRFSTALRNLSESMSLLEIAKTWDACCRAVLLEFVKPFGGGCFSSRYGKWEDFFTI >Potri.006G031200.3.v4.1 pep chromosome:Pop_tri_v4:6:1939983:1946760:1 gene:Potri.006G031200.v4.1 transcript:Potri.006G031200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031200.v4.1 MIDEKRLKGFQVEGMATQSQDWRAALTPEVRQKVVNKILANLSNHYQMITPSSDPEFLTRLTNIAVQFEDKTFRISATKESYVYTIGKKMNSFGPIKSEASKTTGKSSSGLGQTLIANDVGQSSETNAGTRFSQRLVGSQSSDQKLKYQLQQQEFQDGILKDKVQNTSKSFVIQPDILHSQPHQRQQHVTSQNTQNQFSQPPSVKTQYRQTPFAQPSNSPMFQQNQQLGKQRDDSEQLQWSIPQQNVLPSFQQPLGQQRDVSRMQLQQKMAGSQPFIFNAQSHRSSSPMIQQPGVTASEQEVHKITQPGQLHQILGSQKQSGLSQEGIQRKPQTSASFHQQRSIADQQKLFQSQISFAGSSSASLEPKSSGQLTNTADELDEAYQKLQCFKLQYGPQLKKLYIYFKSLLDKATDPELVRKNMKQVIWIEKAMQVFSLQRNQMKNASKEKLETTKDAIVKYVNLFRQKRVASVEQQAELSLPTSGQSQISQPPQSWNGKLQFPLVNLTMTNGLGNSSPTSSMQSRVPNSQPNFVSSLQYSSGMGLEQKNGPSTFQKSARKTGQYFAGSPQNNNFFSNSNVNAFDSPFTHYTRLLQNFEQQMQKQTIQLKKEQMLMRNSQSCVTPAIESTENLHQLQIPTTINQLYSSSPRKSQLSSAQIDQQNFPSASTPLTPTSRYVALTQVDNQTQSQNQSTATDTPGISASPLLEEFTSPSNASDTNQPFQRLLRAVESMSPGVLSAAVQDIDSVVNMVDKIAGGLAEGHSQAAIGEDLVSETRFRVQEMNLAYQQSSIYEREMEQKFSAMTWDTVGQPMHRKSDFDSTTTPKLNNLRIKPNKDLLDEIRRVNQRLVETLVEVDSTDDDSILPESSKGTIIKCSYTAVSLSGDLKSLSSSPTFPVLILRLLVPADYPNTSSVIFDKLPVGCEEPEDLSEKTKLRFSTALRNLSESMSLLEIAKTWDACCRAVLLEFVKPFGGGCFSSRYGKWEDFFTI >Potri.006G031200.2.v4.1 pep chromosome:Pop_tri_v4:6:1939656:1946760:1 gene:Potri.006G031200.v4.1 transcript:Potri.006G031200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031200.v4.1 MIDEKRLKGFQVEGMATQSQDWRAALTPEVRQKVVNKILANLSNHYQMITPSSDPEFLTRLTNIAVQFEDKTFRISATKESYVYTIGKKMNSFGPIKSEASKTTGKSSSGLGQTLIANDVGQSSETNAGTRFSQRLVGSQSSDQKLKYQLQQQEFQDGILKDKVQNTSKSFVIQPDILHSQPHQRQQHVTSQNTQNQFSQPPSVKTQYRQTPFAQPSNSPMFQQNQQLGKQRDDSEQLQWSIPQQNVLPSFQQPLGQQRDVSRMQLQQKMAGSQPFIFNAQSHRSSSPMIQQPGVTASEQEVHKITQPGQLHQILGSQKQSGLSQEGIQRKPQTSASFHQQRSIADQQKLFQSQISFAGSSSASLEPKSSGQLTNTADELDEAYQKLQCFKLQYGPQLKKLYIYFKSLLDKATDPELVRKNMKQVIWIEKAMQVFSLQRNQMKNASKEKLETTKDAIVKYVNLFRQKRVASVEQQAELSLPTSGQSQISQPPQSWNGKLQFPLVNLTMTNGLGNSSPTSSMQSRVPNSQPNFVSSLQYSSGMGLEQKNGPSTFQKSARKTGQYFAGSPQNNNFFSNSNVNAFDSPFTHYTRLLQNFEQQMQKQTIQLKKEQMLMRNSQSCVTPAIESTENLHQLQIPTTINQLYSSSPRKSQLSSAQIDQQNFPSASTPLTPTSRYVALTQVDNQTQSQNQSTATDTPGISASPLLEEFTSPSNASDTNQPFQRLLRAVESMSPGVLSAAVQDIDSVVNMVDKIAGGLAEGHSQAAIGEDLVSETRFRVQEMNLAYQQSSIYEREMEQKFSAMTWDTVGQPMHRKSDFDSTTTPKLNNLRIKPNKDLLDEIRRVNQRLVETLVEVDSTDDDSILPESSKGTIIKCSYTAVSLSGDLKSLSSSPTFPVLILRLLVPADYPNTSSVIFDKLPVGCEEPEDLSEKTKLRFSTALRNLSESMSLLEIAKTWDACCRAVLLEFVKPFGGGCFSSRYGKWEDFFTI >Potri.001G022400.1.v4.1 pep chromosome:Pop_tri_v4:1:1748924:1754633:-1 gene:Potri.001G022400.v4.1 transcript:Potri.001G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022400.v4.1 MGKSKKTQHKDQDLTLRRSARLADKFKKLPEELILKILSKIRQDPKTLIRCSSVSKNLHSLISKFDSISLRLSYPDEDYASLPCFHSHHHIPQAVVPGIIRVFSNLKFLQLNLCPCPSPSSEDGEMSWLKFLRHNDDDDYMNCEITVAFEVGFLSGTRTSGDLPLKLRPQIVNTGVVEMNLLIVNTILQHCPKTLRSLVVSSAKMQGSGSKGEVFVRQEVMGKLADVVSSLRGYESWVKWLNDPRNVAYWLKNPLNDEHRCLREIMWAVRRVELPWPWEERNELVVREGDVKELLSVYDYNDEQQRGDGN >Potri.018G144600.8.v4.1 pep chromosome:Pop_tri_v4:18:14962996:14967748:1 gene:Potri.018G144600.v4.1 transcript:Potri.018G144600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144600.v4.1 MTLFLHHNDFRGRKLELQNLNSLKSLYLDQCSLDEHSLQNLGALPFLKNLSFSALSSTIPSGGFLHLRNLKFLNLSYNTLNNNSILQTIGLCDLNNIQELHMYDNNLSGFLPPCLANLTSLQHLDLSSNHLKIPVSLSPLYNLSKLKYFDGSGNEIFTEEDDHNLSPKFQLESLYLNNRGQGARAFPKFLYHQVNLQYMDLTNIHIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGAHLPRLEVLLMSDDGFNGSIPFSLGNISSLQAFDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPLRFDTSSNLRYLYLSRNKLQGPIAMIFYNSVEIFALDLSHNNLTGTIPEWIGRLSNLRFLLLSYNNLEGEIPIQLSKLDQLTLIDLSHNHLSGNILSWMISTHPFPRQYYSYDYVSSSRQSLEFTTKNVSLYYIGSIIRYFTGIDFSCNNFTGEIPLDIGNLTKIKALNLLHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPTKVAQFATFDEKCYKDNPFLCGEPLLKICGATMPPSPSPTFTNNEDNGGFMDMEVFYVTFWVEYIMVLIVIGAVLYINPYWRRAWFYFIEVSINNCYYFLVDNLPILYKFGFS >Potri.018G144600.6.v4.1 pep chromosome:Pop_tri_v4:18:14963505:14967749:1 gene:Potri.018G144600.v4.1 transcript:Potri.018G144600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144600.v4.1 MTLFLHHNDFRGRKLGDELQNLNSLKSLYLDQCSLDEHSLQNLGALPFLKNLSFSALSSTIPSGGFLHLRNLKFLNLSYNTLNNNSILQTIGLCDLNNIQELHMYDNNLSGFLPPCLANLTSLQHLDLSSNHLKIPVSLSPLYNLSKLKYFDGSGNEIFTEEDDHNLSPKFQLESLYLNNRGQGARAFPKFLYHQVNLQYMDLTNIHIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGAHLPRLEVLLMSDDGFNGSIPFSLGNISSLQAFDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPLRFDTSSNLRYLYLSRNKLQGPIAMIFYNSVEIFALDLSHNNLTGTIPEWIGRLSNLRFLLLSYNNLEGEIPIQLSKLDQLTLIDLSHNHLSGNILSWMISTHPFPRQYYSYDYVSSSRQSLEFTTKNVSLYYIGSIIRYFTGIDFSCNNFTGEIPLDIGNLTKIKALNLLHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPTKVAQFATFDEKCYKDNPFLCGEPLLKICGATMPPSPSPTFTNNEDNGGFMDMEVFYVTFWVEYIMVLIVIGAVLYINPYWRRAWFYFIEVSINNCYYFLVDNLPILYKFGFS >Potri.018G144600.7.v4.1 pep chromosome:Pop_tri_v4:18:14962996:14967748:1 gene:Potri.018G144600.v4.1 transcript:Potri.018G144600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144600.v4.1 MTLFLHHNDFRGRKLELQNLNSLKSLYLDQCSLDEHSLQNLGALPFLKNLSFSALSSTIPSGGFLHLRNLKFLNLSYNTLNNNSILQTIGLCDLNNIQELHMYDNNLSGFLPPCLANLTSLQHLDLSSNHLKIPVSLSPLYNLSKLKYFDGSGNEIFTEEDDHNLSPKFQLESLYLNNRGQGARAFPKFLYHQVNLQYMDLTNIHIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGAHLPRLEVLLMSDDGFNGSIPFSLGNISSLQAFDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPLRFDTSSNLRYLYLSRNKLQGPIAMIFYNSVEIFALDLSHNNLTGTIPEWIGRLSNLRFLLLSYNNLEGEIPIQLSKLDQLTLIDLSHNHLSGNILSWMISTHPFPRQYYSYDYVSSSRQSLEFTTKNVSLYYIGSIIRYFTGIDFSCNNFTGEIPLDIGNLTKIKALNLLHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPTKVAQFATFDEKCYKDNPFLCGEPLLKICGATMPPSPSPTFTNNEDNGGFMDMEVFYVTFWVEYIMVLIVIGAVLYINPYWRRAWFYFIEVSINNCYYFLVDNLPILYKFGFS >Potri.018G144600.3.v4.1 pep chromosome:Pop_tri_v4:18:14963608:14967748:1 gene:Potri.018G144600.v4.1 transcript:Potri.018G144600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144600.v4.1 MYDNNLSGFLPPCLANLTSLQHLDLSSNHLKIPVSLSPLYNLSKLKYFDGSGNEIFTEEDDHNLSPKFQLESLYLNNRGQGARAFPKFLYHQVNLQYMDLTNIHIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGAHLPRLEVLLMSDDGFNGSIPFSLGNISSLQAFDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPLRFDTSSNLRYLYLSRNKLQGPIAMIFYNSVEIFALDLSHNNLTGTIPEWIGRLSNLRFLLLSYNNLEGEIPIQLSKLDQLTLIDLSHNHLSGNILSWMISTHPFPRQYYSYDYVSSSRQSLEFTTKNVSLYYIGSIIRYFTGIDFSCNNFTGEIPLDIGNLTKIKALNLLHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPTKVAQFATFDEKCYKDNPFLCGEPLLKICGATMPPSPSPTFTNNEDNGGFMDMEVFYVTFWVEYIMVLIVIGAVLYINPYWRRAWFYFIEVSINNCYYFLVDNLPILYKFGFS >Potri.018G144600.9.v4.1 pep chromosome:Pop_tri_v4:18:14962996:14967748:1 gene:Potri.018G144600.v4.1 transcript:Potri.018G144600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144600.v4.1 MYDNNLSGFLPPCLANLTSLQHLDLSSNHLKIPVSLSPLYNLSKLKYFDGSGNEIFTEEDDHNLSPKFQLESLYLNNRGQGARAFPKFLYHQVNLQYMDLTNIHIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGAHLPRLEVLLMSDDGFNGSIPFSLGNISSLQAFDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPLRFDTSSNLRYLYLSRNKLQGPIAMIFYNSVEIFALDLSHNNLTGTIPEWIGRLSNLRFLLLSYNNLEGEIPIQLSKLDQLTLIDLSHNHLSGNILSWMISTHPFPRQYYSYDYVSSSRQSLEFTTKNVSLYYIGSIIRYFTGIDFSCNNFTGEIPLDIGNLTKIKALNLLHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPTKVAQFATFDEKCYKDNPFLCGEPLLKICGATMPPSPSPTFTNNEDNGGFMDMEVFYVTFWVEYIMVLIVIGAVLYINPYWRRAWFYFIEVSINNCYYFLVDNLPILYKFGFS >Potri.018G144600.5.v4.1 pep chromosome:Pop_tri_v4:18:14962996:14967749:1 gene:Potri.018G144600.v4.1 transcript:Potri.018G144600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144600.v4.1 MTLFLHHNDFRGRKLGDELQNLNSLKSLYLDQCSLDEHSLQNLGALPFLKNLSFSALSSTIPSGGFLHLRNLKFLNLSYNTLNNNSILQTIGLCDLNNIQELHMYDNNLSGFLPPCLANLTSLQHLDLSSNHLKIPVSLSPLYNLSKLKYFDGSGNEIFTEEDDHNLSPKFQLESLYLNNRGQGARAFPKFLYHQVNLQYMDLTNIHIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGAHLPRLEVLLMSDDGFNGSIPFSLGNISSLQAFDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPLRFDTSSNLRYLYLSRNKLQGPIAMIFYNSVEIFALDLSHNNLTGTIPEWIGRLSNLRFLLLSYNNLEGEIPIQLSKLDQLTLIDLSHNHLSGNILSWMISTHPFPRQYYSYDYVSSSRQSLEFTTKNVSLYYIGSIIRYFTGIDFSCNNFTGEIPLDIGNLTKIKALNLLHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPTKVAQFATFDEKCYKDNPFLCGEPLLKICGATMPPSPSPTFTNNEDNGGFMDMEVFYVTFWVEYIMVLIVIGAVLYINPYWRRAWFYFIEVSINNCYYFLVDNLPILYKFGFS >Potri.018G144600.4.v4.1 pep chromosome:Pop_tri_v4:18:14962996:14967748:1 gene:Potri.018G144600.v4.1 transcript:Potri.018G144600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144600.v4.1 MTLFLHHNDFRGRKLGDELQNLNSLKSLYLDQCSLDEHSLQNLGALPFLKNLSFSALSSTIPSGGFLHLRNLKFLNLSYNTLNNNSILQTIGLCDLNNIQELHMYDNNLSGFLPPCLANLTSLQHLDLSSNHLKIPVSLSPLYNLSKLKYFDGSGNEIFTEEDDHNLSPKFQLESLYLNNRGQGARAFPKFLYHQVNLQYMDLTNIHIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGAHLPRLEVLLMSDDGFNGSIPFSLGNISSLQAFDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPLRFDTSSNLRYLYLSRNKLQGPIAMIFYNSVEIFALDLSHNNLTGTIPEWIGRLSNLRFLLLSYNNLEGEIPIQLSKLDQLTLIDLSHNHLSGNILSWMISTHPFPRQYYSYDYVSSSRQSLEFTTKNVSLYYIGSIIRYFTGIDFSCNNFTGEIPLDIGNLTKIKALNLLHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPTKVAQFATFDEKCYKDNPFLCGEPLLKICGATMPPSPSPTFTNNEDNGGFMDMEVFYVTFWVEYIMVLIVIGAVLYINPYWRRAWFYFIEVSINNCYYFLVDNLPILYKFGFS >Potri.007G005500.2.v4.1 pep chromosome:Pop_tri_v4:7:386528:390399:-1 gene:Potri.007G005500.v4.1 transcript:Potri.007G005500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005500.v4.1 MGTAKPYLSILSLLLFFTFSIYSSSALPHQLSLVVGEASSSKVQISPSLQVVNSPGTKPGTRVLCERVDVHGFPRLKNLNKFFHSLMLKISPSNSTLRRPIVEVCFHRNASRAIGMCPQGEWEKVDKGGSWVRAMSPYAHKFLDIRMAGSSSETLELSIEEGFFLYRVIFLILGVVMLSIASSLSKSLVFYYSSAMAIGIILVILVVLFQGMKLLPTGRKSSLAIFIYSSLVGLGTFLLRYLPGLLHSILMEMGISEDMYYPVCKL >Potri.007G005500.1.v4.1 pep chromosome:Pop_tri_v4:7:386436:390399:-1 gene:Potri.007G005500.v4.1 transcript:Potri.007G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005500.v4.1 MGTAKPYLSILSLLLFFTFSIYSSSALPHQLSLVVGEASSSKVQISPSLQVVNSPGTKPGTRVLCERVDVHGFPRLKNLNKFFHSLMLKISPSNSTLRRPIVEVCFHRNASRAIGMCPQGEWEKVDKGGSWVRAMSPYAHKFLDIRMAGSSSETLELSIEEGFFLYRVIFLILGVVMLSIASSLSKSLVFYYSSAMAIGIILVILVVLFQGMKLLPTGRKSSLAIFIYSSLVGLGTFLLRYLPGLLHSILMEMGISEDMYYPLAIFLLAFIAFIGAWMGFWAVRSFVLTEDGSVDISTSHFVAWSIRVLAVVLIIQSSLDPLLAAEALISGIIVSSILRRIFRWRFLRQMYKKLFKLARNINRESLVPDLSPFGGSRDKYTVERPEGSKFLSPRPKQFNLASCNSMKGSSRTSRHQLSDSDVYPSTFHTTPERRKLSKDSWEKFTRESTQKAVKELVSSPDFSKWAAANAERITVTPNSTTSTSRQQRRKWFLWS >Potri.011G059800.1.v4.1 pep chromosome:Pop_tri_v4:11:4999421:5002830:1 gene:Potri.011G059800.v4.1 transcript:Potri.011G059800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059800.v4.1 MVLPLLKLGTLALKTLSKPLASRIKQQAAFHPKFRQFIVNIAQANHRITTRTQRRIYGHATDVEIRPLNEEKAVQAAVDLIGEVFVFTVAGAAVIFEVQRSAKSEARKEEKRKQELEAMKQRDEDLAREVELLKHKLQEIEQLAKGRGLGGIFQLKHSAAEEGKAAKS >Potri.019G043300.10.v4.1 pep chromosome:Pop_tri_v4:19:6005047:6008558:-1 gene:Potri.019G043300.v4.1 transcript:Potri.019G043300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043300.v4.1 MMATAAVIGLSSGKRLLSSSFYYSDLTEKLSNVNDHGITYYPTATTKNVIVAKKSSNYGPSFPSSNRNSHSIKALKEHVDTASASSNVATWFKTFDDFEEESSALDYSVEALLLLQKSMLEKQWNLSFERTNSSDSTSKKNNKKIPVTCSGVSARQRRVNNRRKNQNQSKFMSQSSDFKFLRSVSSPDLLQNRLKGYVKGVVSDEVLPHTEVVRLSRIIRAGLSFEDHKSRLKERLGCEPSDEQIADSLRISRADLQSKLIQCSLAREKLAMSNVRLVMSIAQKYDNMGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVDNSRTLRLPNHLHERLGLIRNAKIRLEEKGVTPSIDRIAESLNMSQRKVRNATEAISKVFSLDREAFPSLNGLPGETHHSYIADNRPENNPWHGVDEWALKEEVNKLIDSTLQEREKEIIRLYHGLDQECLTWEDISKRMGLSRERVRQVGLVALEKLKHAARKRNTEAMLVKH >Potri.019G043300.11.v4.1 pep chromosome:Pop_tri_v4:19:6005156:6009720:-1 gene:Potri.019G043300.v4.1 transcript:Potri.019G043300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043300.v4.1 MMATAAVIGLSSGKRLLSSSFYYSDLTEKLSNVNDHGITYYPTATTKNVIVAKKSSNYGPSFPSSNRNSHSIKALKEHVDTASASSNVATWFKTFDDFEEESSALDYSVEALLLLQKSMLEKQWNLSFERTNSSDSTSKKNNKKIPVTCSGVSARQRRVNNRRKNQNQSKFMSQSSDFKFLRSVSSPDLLQNRLKGYVKGVVSDEVLPHTEVVRLSRIIRAGLSFEDHKSRLKERLGCEPSDEQIADSLRISRADLQSKLIQCSLAREKLAMSNVRLVMSIAQKYDNMGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQVRLIFPFRL >Potri.019G043300.1.v4.1 pep chromosome:Pop_tri_v4:19:6005127:6009797:-1 gene:Potri.019G043300.v4.1 transcript:Potri.019G043300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043300.v4.1 MMATAAVIGLSSGKRLLSSSFYYSDLTEKLSNVNDHGITYYPTATTKNVIVAKKSSNYGPSFPSSNRNSHSIKALKEHVDTASASSNVATWFKTFDDFEEESSALDYSVEALLLLQKSMLEKQWNLSFERTNSSDSTSKKNNKKIPVTCSGVSARQRRVNNRRKNQNQSKFMSQSSDFKFLRSVSSPDLLQNRLKGYVKGVVSDEVLPHTEVVRLSRIIRAGLSFEDHKSRLKERLGCEPSDEQIADSLRISRADLQSKLIQCSLAREKLAMSNVRLVMSIAQKYDNMGAEMADLVQGGLIGLLRGIEKFDSSKGFKISTYVYWWIRQGVSRALVDNSRTLRLPNHLHERLGLIRNAKIRLEEKGVTPSIDRIAESLNMSQRKVRNATEAISKVFSLDREAFPSLNGLPGETHHSYIADNRPENNPWHGVDEWALKEEVNKLIDSTLQEREKEIIRLYHGLDQECLTWEDISKRMGLSRERVRQVGLVALEKLKHAARKRNTEAMLVKH >Potri.001G055201.1.v4.1 pep chromosome:Pop_tri_v4:1:4161759:4167072:1 gene:Potri.001G055201.v4.1 transcript:Potri.001G055201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055201.v4.1 MVVAQKVKEAEITQQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALEMKIKKLMPMDAESRVYDALQKKYLKTLLFCVCKTIDGRMIEEYTFSFSYSNNESQEVSMNINRTGNKKQGGTFKCNSTTEITPNQMRSSACKMIRTLVQLMGTLDKMPEERTLLMKLLDYDDPADYEPPFFRGCTEEEAHNAWTKHPLQMEVGNVNSKHFVLALKVKSVLDPCEDGNDDIEDDEFSLGADSEQRDDSSESDNEVNQSQEDQYIVATCNNKQCPEEDNGMVDEDDTQDPAEDEQQLARVKDWVNSYHLDTIELTDVLSNFPDISVNRAGKRILAQLAKEFNFRPDGIFVLLMQVLIEEIMDNLVKEGVLLKTGTDAYSKAKQKAFEYEFPVVKEEIDGDKAPQVEDFVYMKALYHVLPMKYVTIAKLQNKLEGEANQSTVRKLIDKMTRDGYLEAKGNRRLGKRVTHSTLTEIKLMEVRRFLGNDAMVICWNDYKDGSTCGVLHSIGSDLTRMKIKSEINQNGSTRSEQTISKTRNHGNTPTSGAEPVASSRESVFPGKENARANGNTNYFDEADTVICSRSSQDKRSRKTSTVKEPIIQSLKRQKSQAV >Potri.015G146600.1.v4.1 pep chromosome:Pop_tri_v4:15:15066865:15070646:1 gene:Potri.015G146600.v4.1 transcript:Potri.015G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146600.v4.1 MWLFNRKGSSGFSSSSTAEEVTHGVDASGLTAIVTGASSGIGTETARVLALRGVHVIMGVRNMAAGRDVKEAIVKEIPSAKVDAMELDLSSLASVRNFASDFNSSGHPLNLLINNAGIMAPPFMLSKDNMELQFATNYLGHFLLANLLLDTMKKTALESNREGRIINVSSEFHRYPYPEGIRFDKINDQSGYKKFQAYGQSKLANVLHANELMRRFKEDGVNITANSLHPGVIATNLFRHNTSLADDNPIRVFLESAARLVLKNVQQGAATTCYVALNPQVKGASGEYFSGCNLTKASSMAKDAELAKKLWDFSMNLVE >Potri.002G253701.1.v4.1 pep chromosome:Pop_tri_v4:2:24267749:24270391:-1 gene:Potri.002G253701.v4.1 transcript:Potri.002G253701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253701.v4.1 MNIPWTSLISFYSCLNCMIDSSPRSIRNHQRQKHMLGKEKTRSNEASSSSISPFVVLELYTSLV >Potri.012G067350.1.v4.1 pep chromosome:Pop_tri_v4:12:8650106:8650737:-1 gene:Potri.012G067350.v4.1 transcript:Potri.012G067350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067350.v4.1 MVYPKFIIPEEKIFEIDDSRLPLFNSYRRSHPWQRSCFFLQANCFLLRLQSSFFYSSSTALCPSLFISIINGFLFSLHSSSTAASISFFSPTSTVFSSDFKVQSSARRLPLQSSP >Potri.009G049900.2.v4.1 pep chromosome:Pop_tri_v4:9:5513866:5514577:-1 gene:Potri.009G049900.v4.1 transcript:Potri.009G049900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049900.v4.1 MAMIPSFFNNRSRDIIFDPFSSFDPFKDFPFPSSPLIPRENSALVNTRIDWTETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDMNDTWHRVERSSGKFLRRFKLPENVKTDQVKAGMENGVLTVTVPKKEVKKPDAKKTIEISG >Potri.006G191250.1.v4.1 pep chromosome:Pop_tri_v4:6:19847304:19848723:1 gene:Potri.006G191250.v4.1 transcript:Potri.006G191250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191250.v4.1 MQSDDEGISSKWVLIRPLKLSGGLADLRAGASRGAAGRFCIFVKALREGWGETEEEASQCGEPKLVAADDGQSDEKANRWCLRLGIRKPYTFAR >Potri.001G255904.1.v4.1 pep chromosome:Pop_tri_v4:1:27199651:27202167:-1 gene:Potri.001G255904.v4.1 transcript:Potri.001G255904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255904.v4.1 MASMGSRSLDSGNAILSSRKHNKCVFLPADANMVAESLERRNQNWEFSPGKKNDTVGFRSSDYILEIRLMITAGNILVRIQL >Potri.013G046300.1.v4.1 pep chromosome:Pop_tri_v4:13:3263452:3270919:1 gene:Potri.013G046300.v4.1 transcript:Potri.013G046300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046300.v4.1 MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYDPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHRRRKRKGIDYNSEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEEIEGKKRMDIEAQLRKQDAAKNKIAERQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEDIAKMGYASDLLAGSEELMEGSGATRALLANYAQTPRQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPDLHPSDFSGVTPKKREIQTPNPMLTPSATPGGVGLTPRIGMTPSRDSFGITPKGTPIRDELHINEDMDIHDTEKLEQRRQADLRRNLRSGLGNLPQPKNEYQIVIQLPPEDNEEPEEKIEEDMSDRIAREKAAEEARLQALLRKRSKVLQRELPRPPTASLELIRDSLLRADGDKSSFVPPTSIEQADEMIRKELLALLEHDNAKYPLEEKPSKEKKKGSKHPSKRSAASIPMIEDFEEDELKQADNLIKVEAQYIRVAMGHEDESLDEFIEAHKTCINDLMYFPTRNAYGLSSVAGNMEKLAALQNEFEIVKTRLEAEREKALRLEKKVNVLTQGYQIRAERQLLPPIEVTLKQMDTAGTELECFQALQRQEQLAASHRINGLWEEVQKQKELEQTLQRRYGDLVAELERIQQLIINYRALAIQQEEIAAKNRALELAQATAKQAAILNTELSEPMPSDELGSSLPVGSSDEKASDQQMDIDSEKVHSARATDTSLTNNVPSDPMPSDELGSSLPVGSSDEKVSDQQMDVDSEKVHSARATDTSFTNNVPSDEVRTTLVQGSGHEASGTCPSGSDINNQNGVPVPTGDSINRGDIISKVAVAVENKVNNDSVGVDAGDAVIITEVMKDSSAAIEGESIQERVDGFATADVMQVSSGGDDKVNQLKDEGKLPVIVVDLTSSE >Potri.013G046300.4.v4.1 pep chromosome:Pop_tri_v4:13:3263452:3270631:1 gene:Potri.013G046300.v4.1 transcript:Potri.013G046300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046300.v4.1 MRIMIKGGVWKNTEDEILKAAVMKYGKNQWARISSLLVRKSAKQCKARWYEWLDPSIKKTEWTREEDEKLLHLAKLMPTQWRTIAPIVGRTPSQCLERYEKLLDAACVKDDNYDPGDDPRKLRPGEIDPNPESKPARPDPVDMDEDEKEMLSEARARLANTKGKKAKRKAREKQLEEARRLASLQKRRELKAAGIDNRHRRRKRKGIDYNSEIPFEKRPPPGFYDVADEDRPVEQPKFPTTIEEIEGKKRMDIEAQLRKQDAAKNKIAERQDAPSAILQANKLNDPETVRKRSKLMLPAPQISDHELEDIAKMGYASDLLAGSEELMEGSGATRALLANYAQTPRQGMTPLRTPQRTPAGKGDAIMMEAENLARLRESQTPLLGGENPDLHPSDFSGVTPKKREIQTPNPMLTPSATPGGVGLTPRIGMTPSRDSFGITPKGTPIRDELHINEDMDIHDTEKLEQRRQADLRRNLRSGLGNLPQPKNEYQIVIQLPPEDNEEPEEKIEEDMSDRIAREKAAEEARLQALLRKRSKVLQRELPRPPTASLELIRDSLLRADGDKSSFVPPTSIEQADEMIRKELLALLEHDNAKYPLEEKPSKEKKKGSKHPSKRSAASIPMIEDFEEDELKQADNLIKVEAQYIRVAMGHEDESLDEFIEAHKTCINDLMYFPTRNAYGLSSVAGNMEKLAALQNEFEIVKTRLEAEREKALRLEKKVNVLTQGYQIRAERQLLPPIEVTLKQMDTAGTELECFQALQRQEQLAASHRINGLWEEVQKQKELEQTLQRRYGDLVAELERIQQLIINYRALAIQQEEIAAKNRALELAQATAKQAAILNTELSEPMPSDELGSSLPVGSSDEKASDQQMDIDSEKVHSARATDTSLTNNVPSDPMPSDELGSSLPVGSSDEKVSDQQMDVDSEKVHSARATDTSFTNNVPSDEVRTTLVQGSGHEASGTCPSGSDINNQNGVPVPTGDSINRGDIISKVAVAVENKVSSGGDDKVNQLKDEGKLPVIVVDLTSSE >Potri.004G182966.1.v4.1 pep chromosome:Pop_tri_v4:4:19692780:19693888:1 gene:Potri.004G182966.v4.1 transcript:Potri.004G182966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182966.v4.1 MLCIQYCACPRLITLRMLCIQSTVPRDGLASTNSWLICCLLPSIRPRNSFVLAPIAILQKIELAHATFTVPVAALIVPFLAPCMLLGPVPELLASNPPPPPPPCSSFLTQ >Potri.006G112800.1.v4.1 pep chromosome:Pop_tri_v4:6:8748504:8749620:-1 gene:Potri.006G112800.v4.1 transcript:Potri.006G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112800.v4.1 MDVESSKAIAMIPESPKKHRRRNVCLGVTVAVNLVISLVLLILGLTVFKPKQLTTTVDSTSISDLKVSLDTARLRVRVNVSLDMDLSIKNPNKVSAKYKDSSAFLNYRGQVVGEVPIPAGKISADSTKPMNVTLTLVADRLLSDSQLFSDAMAGAIPFNILTKISGKVSIFNLFKVKFTCTVRCDLVVFVSNSTIGDQKCKYKTKL >Potri.002G092800.2.v4.1 pep chromosome:Pop_tri_v4:2:6744660:6746362:-1 gene:Potri.002G092800.v4.1 transcript:Potri.002G092800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092800.v4.1 MKTALFITCILATLAVLANSAQNGSPPKSPAPAPSVDCSDVAVDMLDCVTYLSDGNAEKPTDSCCAGFEAVLSLDDECLCFALKHSADFGVAVNLTRAAALSSECGVSAPPLSRCGISVPPSGAPANTPSSAPEPAAPSPVIEPPTNDQPSAPAPAPSNSDDNGRSAAAPVTSDVPAQAPAKGKACAVSAPSLVLISSAVASALSLFLWI >Potri.003G105450.1.v4.1 pep chromosome:Pop_tri_v4:3:12868817:12872960:-1 gene:Potri.003G105450.v4.1 transcript:Potri.003G105450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105450.v4.1 MLVRFPRILQDKEDPSCDADLFMGSSYDSLKNTLTPCAPFCNVGASLPSLSHLVSSSTIAADNYYARLLGSFDPKLHHNYLRAVNFARRMLGNRRKRDQILLQKSTILGGLKSKHFLLILTEAS >Potri.003G105450.2.v4.1 pep chromosome:Pop_tri_v4:3:12868817:12872960:-1 gene:Potri.003G105450.v4.1 transcript:Potri.003G105450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105450.v4.1 MLVRFPRILQDKEDPSCDADLFMGSSYDSLKNTLTPCAPFCNVGASLPSLSHLVSSSTIAADNYYARLLGSFDPKLHHNYLRAVNFARRMLGNRRKRDQILLQKSTILGGLKSKHFLLILTEAS >Potri.008G124900.4.v4.1 pep chromosome:Pop_tri_v4:8:8111830:8115172:1 gene:Potri.008G124900.v4.1 transcript:Potri.008G124900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124900.v4.1 MAWSNMFSYFSFPNFYRIFQGRVRSAEMGTHTIKSHGTKVARDHMLDWLILLLLVLIEVILYVIHPFYRFVGRDMMTDLKYPVKENTVPAWSVPMYTVFLPIAVFLLFYTRRKDVYDLHHSILGLLFSVLITAVITDAIKNAVGRPRPDFFWRCFPDGNELYNRWGNAVCHGRESDIREGHKSFPSGHTSWSFAGLGFLSIYLSGKIKAFDQKGHVAKLCIVFFPLLMASLVGISRVDDYGHHWQDVFAGGLLGLVVATFCYAQLFPPPYNDEGWGPYEYFRAMEESRSNTNSGESVNELDVQPMSLAVVSQQVRKHGNEFAALEDLESGRR >Potri.001G373700.10.v4.1 pep chromosome:Pop_tri_v4:1:39199005:39203876:1 gene:Potri.001G373700.v4.1 transcript:Potri.001G373700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373700.v4.1 MGQRNMLCTNQMIDLEMDQQSQGYLHPESCILLGGVTNFRPPDIPTMLTASGNTINRDAHLADRYDGAMFYGMPQYHGVHPHPQYHSPNLDLSVATAPNFYVPYMTPSSGIPISHASCDQLSSSNNYGVIGVSADEYGTNSHFMDNARSSYKRKNAEGNPGNFHYLNASASSSSSVPPMNTRHPEGVALMDATSFTLPHYRGTSASSIREVGSQRSVRNRLGSVGLDPALTHNPNHFIQGNYLGQPYQPGGSLWLEQHLSNGSTDAGASVWTQTPTIPYMHGNNVNGVPIETGSMGPQRYHEPASNRSNASFSHPSPVNPQHHNFHHLSPPIQGIRGHNINILPQAPAASFRVPTANASQSTMNLSQDGLDIGLRNPGSVQPTGLRMYRPRHEGVAPETTLRHRNLPRLRVLPTDGVAILGFPDYYEVENYADHHRDMRLDIEDMSYEELLALGERIGNVNTGLSDATIRSQLKTRTYLSSPYSINLEVSCMDQEADSCIICQDDYKSKEKIASLDCGHEYHADCLKKWLRLKNVCPICKSEALTMEGKDV >Potri.001G373700.4.v4.1 pep chromosome:Pop_tri_v4:1:39199066:39203852:1 gene:Potri.001G373700.v4.1 transcript:Potri.001G373700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373700.v4.1 MGQRNMLCTNQMIDLEMDQQSQGYLHPESCILLGGVTNFRPPDIPTMLTASGNTINRDAHLADRYDGAMFYGMPQYHGVHPHPQYHSPNLDLSVATAPNFYVPYMTPSSGIPISHASCDQLSSSNNYGVIGVSADEYGTNSHFMDNARSSYKRKNAEGNPGNFHYLNASASSSSSVPPMNTRHPEGVALMDATSFTLPHYRGTSASSIREVGSQRSVRNRLGSVGLDPALTHNPNHFIQGNYLGQPYQPGGSLWLEQHLSNGSTDAGASVWTQTPTIPYMHGNNVNGVPIETGSMGPQRYHEPASNRSNASFSHPSPVNPQHHNFHHLSPPIQGIRGHNINILPQAPAASFRVPTANASQSTMNLSQDGLDIGLRNPGSVQPTGLRMYRPRHEGVAPETTLRHRNLPRLRVLPTDGVAILGFPDYYEVENYADHHRDMRLDIEDMSYEELLALGERIGNVNTGLSDATIRSQLKTRTYLSSPYSINLEVSCMDQEADSCIICQDDYKSKEKIASLDCGHEYHADCLKKWLRLKNVCPICKSEALTMEGKDV >Potri.001G373700.1.v4.1 pep chromosome:Pop_tri_v4:1:39199040:39203883:1 gene:Potri.001G373700.v4.1 transcript:Potri.001G373700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373700.v4.1 MGQRNMLCTNQMIDLEMDQQSQGYLHPESCILLGGVTNFRPPDIPTMLTASGNTINRDAHLADRYDGAMFYGMPQYHGVHPHPQYHSPNLDLSVATAPNFYVPYMTPSSGIPISHASCDQLSSSNNYGVIGVSADEYGTNSHFMDNARSSYKRKNAEGNPGNFHYLNASASSSSSVPPMNTRHPEGVALMDATSFTLPHYRGTSASSIREVGSQRSVRNRLGSVGLDPALTHNPNHFIQGNYLGQPYQPGGSLWLEQHLSNGSTDAGASVWTQTPTIPYMHGNNVNGVPIETGSMGPQRYHEPASNRSNASFSHPSPVNPQHHNFHHLSPPIQGIRGHNINILPQAPAASFRVPTANASQSTMNLSQDGLDIGLRNPGSVQPTGLRMYRPRHEGVAPETTLRHRNLPRLRVLPTDGVAILGFPDYYEVENYADHHRDMRLDIEDMSYEELLALGERIGNVNTGLSDATIRSQLKTRTYLSSPYSINLEVSCMDQEADSCIICQDDYKSKEKIASLDCGHEYHADCLKKWLRLKNVCPICKSEALTMEGKDV >Potri.001G373700.9.v4.1 pep chromosome:Pop_tri_v4:1:39199053:39203895:1 gene:Potri.001G373700.v4.1 transcript:Potri.001G373700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373700.v4.1 MGQRNMLCTNQMIDLEMDQQSQGYLHPESCILLGGVTNFRPPDIPTMLTASGNTINRDAHLADRYDGAMFYGMPQYHGVHPHPQYHSPNLDLSVATAPNFYVPYMTPSSGIPISHASCDQLSSSNNYGVIGVSADEYGTNSHFMDNARSSYKRKNAEGNPGNFHYLNASASSSSSVPPMNTRHPEGVALMDATSFTLPHYRGTSASSIREVGSQRSVRNRLGSVGLDPALTHNPNHFIQGNYLGQPYQPGGSLWLEQHLSNGSTDAGASVWTQTPTIPYMHGNNVNGVPIETGSMGPQRYHEPASNRSNASFSHPSPVNPQHHNFHHLSPPIQGIRGHNINILPQAPAASFRVPTANASQSTMNLSQDGLDIGLRNPGSVQPTGLRMYRPRHEGVAPETTLRHRNLPRLRVLPTDGVAILGFPDYYEVENYADHHRDMRLDIEDMSYEELLALGERIGNVNTGLSDATIRSQLKTRTYLSSPYSINLEVSCMDQEADSCIICQDDYKSKEKIASLDCGHEYHADCLKKWLRLKNVCPICKSEALTMEGKDV >Potri.008G030400.1.v4.1 pep chromosome:Pop_tri_v4:8:1643519:1644225:-1 gene:Potri.008G030400.v4.1 transcript:Potri.008G030400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030400.v4.1 MAPKAEKKPAEKKPAEKKPAAAEKAPAEKKPRPEKKLPKEGGAIDKKKKRAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.008G069200.2.v4.1 pep chromosome:Pop_tri_v4:8:4205994:4211181:1 gene:Potri.008G069200.v4.1 transcript:Potri.008G069200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069200.v4.1 MASILTSPRTVEEIFKDYSARRSALVRALTIEADEVYIQCDPEKENLCLYGHPTESWEVTLPAEEVPPELPEPALGINFARDGMSRKDWLSLVAVHSDCWLLSMAFYFGARLNRNDRKRLFSMINDLPTLFEIVTGRKPVEDKPSAESGSKSRNNTKRSIDGQARSNSKLSYVEDEDEHGDTICGSCAGNYNADEFWIGCDICERWYHGKCVKITPAKAESIKQYKCPSCSTKKSRH >Potri.009G005700.7.v4.1 pep chromosome:Pop_tri_v4:9:1209598:1213694:1 gene:Potri.009G005700.v4.1 transcript:Potri.009G005700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005700.v4.1 MGSESVAQSDFLEFGDTEASLMEIGSGNYGCSHYRRRCKIRAPCCNEIFDCRHCHNDAKNGLETNPLDRHDIPRHEVTKVICSLCDTEQDVKQNCINCGVCMGKYYCSKCKFFDDDVSKNQYHCDECGICRTGGKENFFHCNKCGCCYSMLMKDAHRCVERAMHHNCPVCFEFLFDTMRDITVLPCGHTIHLECLKEMEQHYRYSCPVCSKSICDMTRLWKKLDQEIAATPMPETYQNKMVWILCNDCGANSHVQFHIVAHKCLRCNSYNTRQTRGGPAASCSSEVGIEIK >Potri.009G005700.6.v4.1 pep chromosome:Pop_tri_v4:9:1209767:1213688:1 gene:Potri.009G005700.v4.1 transcript:Potri.009G005700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005700.v4.1 MGSESVAQSDFLEFGDTEASLMEIGSGNYGCSHYRRRCKIRAPCCNEIFDCRHCHNDAKNGLETNPLDRHDIPRHEVTKVICSLCDTEQDVKQNCINCGVCMGKYYCSKCKFFDDDVSKNQYHCDECGICRTGGKENFFHCNKCGCCYSMLMKDAHRCVERAMHHNCPVCFEFLFDTMRDITVLPCGHTIHLECLKEMEQHYRYSCPVCSKSICDMTRLWKKLDQEIAATPMPETYQNKMVWILCNDCGANSHVQFHIVAHKCLRCNSYNTRQTRGGPAASCSSEVGIEIK >Potri.009G005700.8.v4.1 pep chromosome:Pop_tri_v4:9:1210059:1213693:1 gene:Potri.009G005700.v4.1 transcript:Potri.009G005700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005700.v4.1 MDSGVEEFLELKRMGSESVAQSDFLEFGDTEASLMEIGSGNYGCSHYRRRCKIRAPCCNEIFDCRHCHNDAKNGLETNPLDRHDIPRHEVTKVICSLCDTEQDVKQNCINCGVCMGKYYCSKCKFFDDDVSKNQYHCDECGICRTGGKENFFHCNKCGCCYSMLMKDAHRCVERAMHHNCPVCFEFLFDTMRDITVLPCGHTIHLECLKEMEQHYRYSCPVCSKSICDMTRLWKKLDQEIAATPMPETYQNKMVWILCNDCGANSHVQFHIVAHKCLRCNSYNTRQTRGGPAASCSSEVGIEIK >Potri.009G005700.9.v4.1 pep chromosome:Pop_tri_v4:9:1209765:1213689:1 gene:Potri.009G005700.v4.1 transcript:Potri.009G005700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005700.v4.1 MGSESVAQSDFLEFGDTEASLMEIGSGNYGCSHYRRRCKIRAPCCNEIFDCRHCHNDAKNGLETNPLDRHDIPRHEVTKVICSLCDTEQDVKQNCINCGVCMGKYYCSKCKFFDDDVSKNQYHCDECGICRTGGKENFFHCNKCGCCYSMLMKDAHRCVERAMHHNCPVCFEFLFDTMRDITVLPCGHTIHLECLKEMEQHYRYSCPVCSKSICDMTRLWKKLDQEIAATPMPETYQNKMVWILCNDCGANSHVQFHIVAHKCLRCNSYNTRQTRGGPAASCSSEVGIEIK >Potri.014G131600.1.v4.1 pep chromosome:Pop_tri_v4:14:8803028:8810271:1 gene:Potri.014G131600.v4.1 transcript:Potri.014G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131600.v4.1 MALKPGRKLQPSRSRRPQIFKNPKAAFALALIFMDALLVALIIAYVPYTKIDWDAYMSQVTGFLGGERDYTNLKGDTGPLVYPAGFLYIYSAIRFITGGEVYPAQILFGILYIINLSIVIFIYVKTEVLPWWALILLSLSKRVHSIFVLRLFNDCFAMTLLHAALATILYQKWHLGLILFSGAVSIKMNVLLYAPPLLLLMLKAMNIYGVISALACAALVQILAGLPFLVSHPIAYISRAFNLGRVFIHFWSVNFKFIPEPVFVSKQFAISLLIAHLGLLATFAHYKWCRHEGRLFKFLHSKVTSALSSSSSSGLKILKEEHIMTTLFAGNFIGIVCARSLHYQFYSWYFYSLPYLLWKTHFPTSLRLILFVGVEFCWNVYPSNNYSSALLLCLHLLILWGLWSAQSEYPYVEEKLSTRKKEK >Potri.008G100200.5.v4.1 pep chromosome:Pop_tri_v4:8:6245901:6251278:1 gene:Potri.008G100200.v4.1 transcript:Potri.008G100200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100200.v4.1 MVMNNVSGHENAETYSIQGAEVNPILTSPAVELVETMYNEGTPGFVADQGLFYPAATEYGYYCTGFETPVEWEDHQKIFGADGPEIQYAGAQTEYLPYVYYPPSYVYAQSPYNPYNPYIPGAMLGVDGPYAGEQQYYTVPPYQDPVSSSGYIPVVVQPEAFQNGSADPLLDTSIARNSRPDGKSYKHGISSSSAAFAWNPPRPASNQTNSLNRISEWPKANVGPVKQSHGGVSSGSILTQASSHVLQGRSASGPMHPIDNISNSKVRSHQNQLKVTLPVSNDFSNFGSSAYGRTSVDKLRSKFHAGRTLSALNGNVELLGEQNRGPRINNLKNQPAVKAYTTKVRDNNELGNIVIQTDQYNKDDFSTDYADAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHSAFEYAQKLDLGRPRGCPIFLFFSVNASGQFCGVAEMVGPVDFNRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKMFKNHPLKTSILDDFVYYENRQKIMQEEKARLMFKSFQSPLFVPALNPARELNGLVQQSLNKDDSMTDLNSSKKTEANKYEKIMNPNYHNSWKKTETNKDEKITDQNEFNSLKNAGNSATKQLSSDSNVTISSRDKDSGQDTADADDDNGPVLKIGSLDINPTGVESKFSPNAANKSADIVTVGSMPVKVNRIAESSGNLTVGTIPLDPKSLKLDKGGKQGSQC >Potri.008G100200.4.v4.1 pep chromosome:Pop_tri_v4:8:6245595:6250993:1 gene:Potri.008G100200.v4.1 transcript:Potri.008G100200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100200.v4.1 MVMNNVSGHENAETYSIQGAEVNPILTSPAVELVETMYNEGTPGFVADQGLFYPAATEYGYYCTGFETPVEWEDHQKIFGADGPEIQYAGAQTEYLPYVYYPPSYVYAQSPYNPYNPYIPGAMLGVDGPYAGEQQYYTVPPYQDPVSSSGYIPVVVQPEAFQNGSADPLLDTSIARNSRPDGKSYKHGISSSSAAFAWNPPRPASNQTNSLNRISEWPKANVGPVKQSHGGVSSGSILTQASSHVLQGRSASGPMHPIDNISNSKVRSHQNQLKVTLPVSNDFSNFGSSAYGRTSVDKLRSKFHAGRTLSALNGNVELLGEQNRGPRINNLKNQPAVKAYTTKVRDNNELGNIVIQTDQYNKDDFSTDYADAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHSAFEYAQKLDLGRPRGCPIFLFFSVNASGQFCGVAEMVGPVDFNRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKMFKNHPLKTSILDDFVYYENRQKIMQEEKARLMFKSFQSPLFVPALNPARELNGLVQQSLNKDDSMTDLNSSKKTEANKYEKIMNPNYHNSWKKTETNKDEKITDQNEFNSLKNAGNSATKQLSSDSNVTISSRDKDSGQDTADADDDNGPVLKIGSLDINPTGVESKFSPNAANKSADIVTVGSMPVKVNRIAESSGNLTVGTIPLDPKSLKLDKGGKQGSQC >Potri.008G100200.11.v4.1 pep chromosome:Pop_tri_v4:8:6245902:6250362:1 gene:Potri.008G100200.v4.1 transcript:Potri.008G100200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100200.v4.1 MYNEGTPGFVADQGLFYPAATEYGYYCTGFETPVEWEDHQKIFGADGPEIQYAGAQTEYLPYVYYPPSYVYAQSPYNPYNPYIPGAMLGVDGPYAGEQQYYTVPPYQDPVSSSGYIPVVVQPEAFQNGSADPLLDTSIARNSRPDGKSYKHGISSSSAAFAWNPPRPASNQTNSLNRISEWPKANVGPVKQSHGGVSSGSILTQASSHVLQGRSASGPMHPIDNISNSKVRSHQNQLKVTLPVSNDFSNFGSSAYGRTSVDKLRSKFHAGRTLSALNGNVELLGEQNRGPRINNLKNQPAVKAYTTKVRDNNELGNIVIQTDQYNKDDFSTDYADAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHSAFEYAQKLDLGRPRGCPIFLFFSVNASGQFCGVAEMVGPVDFNRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKMFKNHPLKTSILDDFVYYENRQKIMQEEKARLMFKSFQSPLFVPALNPARELNGLVQQSLNKDDSMTDLNSSKKTEANKYEKIMNPNYHNSWKKTETNKDEKITDQNEFNSLKNAGNSATKQLSSDSNVTISSRDKDSGQDTADADDDNGPVLKIGSLDINPTGVESKFSPNAANKSADIVTVGSMPVKVNRIAESSGNLTVGTIPLDPKSLKLDKGGKQGSQC >Potri.008G100200.9.v4.1 pep chromosome:Pop_tri_v4:8:6245900:6251115:1 gene:Potri.008G100200.v4.1 transcript:Potri.008G100200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100200.v4.1 MYNEGTPGFVADQGLFYPAATEYGYYCTGFETPVEWEDHQKIFGADGPEIQYAGAQTEYLPYVYYPPSYVYAQSPYNPYNPYIPGAMLGVDGPYAGEQQYYTVPPYQDPVSSSGYIPVVVQPEAFQNGSADPLLDTSIARNSRPDGKSYKHGISSSSAAFAWNPPRPASNQTNSLNRISEWPKANVGPVKQSHGGVSSGSILTQASSHVLQGRSASGPMHPIDNISNSKVRSHQNQLKVTLPVSNDFSNFGSSAYGRTSVDKLRSKFHAGRTLSALNGNVELLGEQNRGPRINNLKNQPAVKAYTTKVRDNNELGNIVIQTDQYNKDDFSTDYADAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHSAFEYAQKLDLGRPRGCPIFLFFSVNASGQFCGVAEMVGPVDFNRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKMFKNHPLKTSILDDFVYYENRQKIMQEEKARLMFKSFQSPLFVPALNPARELNGLVQQSLNKDDSMTDLNSSKKTEANKYEKIMNPNYHNSWKKTETNKDEKITDQNEFNSLKNAGNSATKQLSSDSNVTISSRDKDSGQDTADADDDNGPVLKIGSLDINPTGVESKFSPNAANKSADIVTVGSMPVKVNRIAESSGNLTVGTIPLDPKSLKLDKGGKQGSQC >Potri.008G100200.7.v4.1 pep chromosome:Pop_tri_v4:8:6245858:6251331:1 gene:Potri.008G100200.v4.1 transcript:Potri.008G100200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100200.v4.1 MYNEGTPGFVADQGLFYPAATEYGYYCTGFETPVEWEDHQKIFGADGPEIQYAGAQTEYLPYVYYPPSYVYAQSPYNPYNPYIPGAMLGVDGPYAGEQQYYTVPPYQDPVSSSGYIPVVVQPEAFQNGSADPLLDTSIARNSRPDGKSYKHGISSSSAAFAWNPPRPASNQTNSLNRISEWPKANVGPVKQSHGGVSSGSILTQASSHVLQGRSASGPMHPIDNISNSKVRSHQNQLKVTLPVSNDFSNFGSSAYGRTSVDKLRSKFHAGRTLSALNGNVELLGEQNRGPRINNLKNQPAVKAYTTKVRDNNELGNIVIQTDQYNKDDFSTDYADAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHSAFEYAQKLDLGRPRGCPIFLFFSVNASGQFCGVAEMVGPVDFNRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKMFKNHPLKTSILDDFVYYENRQKIMQEEKARLMFKSFQSPLFVPALNPARELNGLVQQSLNKDDSMTDLNSSKKTEANKYEKIMNPNYHNSWKKTETNKDEKITDQNEFNSLKNAGNSATKQLSSDSNVTISSRDKDSGQDTADADDDNGPVLKIGSLDINPTGVESKFSPNAANKSADIVTVGSMPVKVNRIAESSGNLTVGTIPLDPKSLKLDKGGKQGSQC >Potri.008G100200.10.v4.1 pep chromosome:Pop_tri_v4:8:6245941:6250955:1 gene:Potri.008G100200.v4.1 transcript:Potri.008G100200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100200.v4.1 MYNEGTPGFVADQGLFYPAATEYGYYCTGFETPVEWEDHQKIFGADGPEIQYAGAQTEYLPYVYYPPSYVYAQSPYNPYNPYIPGAMLGVDGPYAGEQQYYTVPPYQDPVSSSGYIPVVVQPEAFQNGSADPLLDTSIARNSRPDGKSYKHGISSSSAAFAWNPPRPASNQTNSLNRISEWPKANVGPVKQSHGGVSSGSILTQASSHVLQGRSASGPMHPIDNISNSKVRSHQNQLKVTLPVSNDFSNFGSSAYGRTSVDKLRSKFHAGRTLSALNGNVELLGEQNRGPRINNLKNQPAVKAYTTKVRDNNELGNIVIQTDQYNKDDFSTDYADAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHSAFEYAQKLDLGRPRGCPIFLFFSVNASGQFCGVAEMVGPVDFNRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKMFKNHPLKTSILDDFVYYENRQKIMQEEKARLMFKSFQSPLFVPALNPARELNGLVQQSLNKDDSMTDLNSSKKTEANKYEKIMNPNYHNSWKKTETNKDEKITDQNEFNSLKNAGNSATKQLSSDSNVTISSRDKDSGQDTADADDDNGPVLKIGSLDINPTGVESKFSPNAANKSADIVTVGSMPVKVNRIAESSGNLTVGTIPLDPKSLKLDKGGKQGSQC >Potri.008G100200.8.v4.1 pep chromosome:Pop_tri_v4:8:6246716:6251141:1 gene:Potri.008G100200.v4.1 transcript:Potri.008G100200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100200.v4.1 MYNEGTPGFVADQGLFYPAATEYGYYCTGFETPVEWEDHQKIFGADGPEIQYAGAQTEYLPYVYYPPSYVYAQSPYNPYNPYIPGAMLGVDGPYAGEQQYYTVPPYQDPVSSSGYIPVVVQPEAFQNGSADPLLDTSIARNSRPDGKSYKHGISSSSAAFAWNPPRPASNQTNSLNRISEWPKANVGPVKQSHGGVSSGSILTQASSHVLQGRSASGPMHPIDNISNSKVRSHQNQLKVTLPVSNDFSNFGSSAYGRTSVDKLRSKFHAGRTLSALNGNVELLGEQNRGPRINNLKNQPAVKAYTTKVRDNNELGNIVIQTDQYNKDDFSTDYADAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHSAFEYAQKLDLGRPRGCPIFLFFSVNASGQFCGVAEMVGPVDFNRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKMFKNHPLKTSILDDFVYYENRQKIMQEEKARLMFKSFQSPLFVPALNPARELNGLVQQSLNKDDSMTDLNSSKKTEANKYEKIMNPNYHNSWKKTETNKDEKITDQNEFNSLKNAGNSATKQLSSDSNVTISSRDKDSGQDTADADDDNGPVLKIGSLDINPTGVESKFSPNAANKSADIVTVGSMPVKVNRIAESSGNLTVGTIPLDPKSLKLDKGGKQGSQC >Potri.008G100200.6.v4.1 pep chromosome:Pop_tri_v4:8:6245911:6251276:1 gene:Potri.008G100200.v4.1 transcript:Potri.008G100200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100200.v4.1 MVMNNVSGHENAETYSIQGAEVNPILTSPAVELVETMYNEGTPGFVADQGLFYPAATEYGYYCTGFETPVEWEDHQKIFGADGPEIQYAGAQTEYLPYVYYPPSYVYAQSPYNPYNPYIPGAMLGVDGPYAGEQQYYTVPPYQDPVSSSGYIPVVVQPEAFQNGSADPLLDTSIARNSRPDGKSYKHGISSSSAAFAWNPPRPASNQTNSLNRISEWPKANVGPVKQSHGGVSSGSILTQASSHVLQGRSASGPMHPIDNISNSKVRSHQNQLKVTLPVSNDFSNFGSSAYGRTSVDKLRSKFHAGRTLSALNGNVELLGEQNRGPRINNLKNQPAVKAYTTKVRDNNELGNIVIQTDQYNKDDFSTDYADAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLHSAFEYAQKLDLGRPRGCPIFLFFSVNASGQFCGVAEMVGPVDFNRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKMFKNHPLKTSILDDFVYYENRQKIMQEEKARLMFKSFQSPLFVPALNPARELNGLVQQSLNKDDSMTDLNSSKKTEANKYEKIMNPNYHNSWKKTETNKDEKITDQNEFNSLKNAGNSATKQLSSDSNVTISSRDKDSGQDTADADDDNGPVLKIGSLDINPTGVESKFSPNAANKSADIVTVGSMPVKVNRIAESSGNLTVGTIPLDPKSLKLDKGGKQGSQC >Potri.018G052500.1.v4.1 pep chromosome:Pop_tri_v4:18:5138695:5139988:1 gene:Potri.018G052500.v4.1 transcript:Potri.018G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G052500.v4.1 MSEKVFPSSKPATNGTATANTTTTASNPPNATANKSHLYNPTSRLPYRPQPHTRRHRSRSGRNICCCCCFWTILTILLLLLLAAIAGTALYILYRPHRPTFTITSLRIHRLNLTTSADSSISHLSTLLNLTIISENPNSHISLDYEPFTVSALSDGNGVFLGNGSLPAFSLSKKNQTSFRNVVVSGSNDLDVDALNSLRSDLKKKKSADGSVMLKIEMDTRVKMKVGGLKTKEVGIRVTCDGIKGSIPKGKTPTVAVTTKSKCKVDLRIKIWRWTF >Potri.011G061000.1.v4.1 pep chromosome:Pop_tri_v4:11:5047876:5049554:1 gene:Potri.011G061000.v4.1 transcript:Potri.011G061000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G061000.v4.1 MSTNIAARSSKALHIAMYPWFALGHLTAFVHFSNKLAERGHRVSFFLPKKTQSKFEPFNLHPDLITFIPITVPHVDGLPTGTETTTDVPFPLHPLLMTAMDLTEHVIEDHLRILNPHFIFFDFTHWLPELSRKHGIKSVHFCIISPATIGYTLSPERKLESLTAADLMQPPPSFPPSSIKLRAHEARGICAVTVKQFGSNISFQERNIYSLSQCDAIAFKACREMEGPYSDYIEDQFGKPVILAGPIVPEPPNSVLEEKIAKMLDSFKAETLVFCAFGSECILKKDQFQELVLGLELTSLPFFAVLKPPIGAETIESALPEGFEERVKGRGLVYTGWVQQQLILRHPSVGCFVTHCGSGSLSEGMVNKCQLVLLPNVGDQIINARVVGGDLKVGVEVKKGEEDGLFTRHGVCEAVKAVMDDDSEVGKLVRANHAKWREFLLGKELENSYVDGFVHKLHELLE >Potri.001G183500.1.v4.1 pep chromosome:Pop_tri_v4:1:16242787:16248361:-1 gene:Potri.001G183500.v4.1 transcript:Potri.001G183500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183500.v4.1 MFPAKPTSRSMLFTFTILLLLSSTIFVTANEDPTVETDNDGADSDLQELIAIDEQEGGGGEEQQQGDQQKEAEVLSKAQRIVLELNSDNARRVIDQNEFVLILGYAPWCARSAELMPQFAEAANKLKELGSPVLMAKLDAERYPKVASTLGIKGFPTLLLFVNGTSQVYTGGFSGEDIVIWARKKTGVPVIRISSSVEAEDFQKKYHLFVLGLFDKFEGHDYEEFIKAATIDNEIQFVEVSSSAVAKILFPNINAKDNFIGIVKSEPEKYTAYGGIFEKDTILQFLEYNKFPLVTILTELNSARVYSSPVKLQVIVFADADDLKNLIWPLQEVARKFISKIMFIYIDIADENQAKPFLTLFGIEDSENTVVTAFDNRMSSKYLLESNPTSSNIEEFCSRLLHGSLSPYFKSQPIPDNKEKILQVVVGKTLDDLVLSSPKNVLLEVYTPWCISCETTTKQIEKLAKHFKGVDNLVFARIDASANEHPKLLVDDYPTLLFYPVGDKENPVKLSTKSSSKDLATVIKSLLRAKEDVPKDEL >Potri.014G110400.1.v4.1 pep chromosome:Pop_tri_v4:14:7390767:7391977:-1 gene:Potri.014G110400.v4.1 transcript:Potri.014G110400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110400.v4.1 MAAIVTYLVIFTVISSALAYDPDMLQDLCVANTHAGIKVNGFTCKAEGNVTEADFFFDGLAKPGKVNNSVGSLVTGANVEKIPGLNTLGVSLSRIDYAPDGLNPPHTHPRATEMIFVLEGELDVGFITTANKLISKTVKKGEVFVFPRGLVHFQKNNGDKEASVIAAFNSQLPGTQSIAMTLFASTPAVPDNVLTKAFQVGTKEIDKIKTKLAPKKS >Potri.016G098300.1.v4.1 pep chromosome:Pop_tri_v4:16:9908383:9909251:1 gene:Potri.016G098300.v4.1 transcript:Potri.016G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098300.v4.1 MKSKFLMYILLLVMADMTSAANRKMLAIEDNKLLGDRISSKENEKVVVKENSADSSGEPDVNNHHSIPRQSFGGYTNNQNGPPESP >Potri.012G118300.4.v4.1 pep chromosome:Pop_tri_v4:12:13673980:13677093:-1 gene:Potri.012G118300.v4.1 transcript:Potri.012G118300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118300.v4.1 YCMSELLPYGNVGYEAFLIFLSYLYTGKLKPSPMEVSTCVDNVCAHDACRPAITFAVELTYASSIFQVPELVSLFQRRLLNFVRKALAEDVIPILVVAFHCQSSQLIAQCVDRIAVSNLDNISIEKELPHEVADKIKQLRRKPISEDENNTEAGDPLREKRIKRIHMALDSDDVELVKLLLTESDISLDDANALHYCASYCDLKFMSEVLSLGLANVNLRNSRGYTVLHIAAMRKEPSVIVSLLAKGASALDLTSDGQSAVSICRRLTRPKDYHAKTEQGQEANKDRLCIDILEREMRRNPRGGSASITSHTMVDDLHMKLLYLENRVAFARLFFPTEAKLAMDIAHAATTSEFAGLAASKGSSGNLREVDLNETPIMQNKRLRSRMEALMKTVEMGRRYFPNCSEVLDKFMEDDLPDLFFLEKGTPDEQRIKRTRFMELKEDVQKAFNKDKAVINRSVLSSSSSSSSQKDGVGNKLRKL >Potri.008G109150.1.v4.1 pep chromosome:Pop_tri_v4:8:6907261:6907638:-1 gene:Potri.008G109150.v4.1 transcript:Potri.008G109150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109150.v4.1 TGDSGDDFPGFQTCVHKEEMEYRGALSRLQRRAPCPLQIKPHNNAFLASSASTPTSSFNPFCHSKINPISLLSPLVLP >Potri.001G049601.1.v4.1 pep chromosome:Pop_tri_v4:1:3744651:3746268:1 gene:Potri.001G049601.v4.1 transcript:Potri.001G049601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049601.v4.1 MKIRAFPPRSSPEISWPTFSGHWPSLSSSPDPSHRLASTEPGQPPFSSHLEPSTFTPRSPSSTPNQPPLGQPPLFPLHRHHQIFFSVVSLRFLSKKQHRQICSTPINSRFLRH >Potri.013G106700.2.v4.1 pep chromosome:Pop_tri_v4:13:11580851:11583352:-1 gene:Potri.013G106700.v4.1 transcript:Potri.013G106700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106700.v4.1 MNVWSGTAWLLPLLGAFVADSFIGRYRTIVVSTLIYILGLGLLALSAVLPPLGSSGCQSSDSAGSCSPDPVLILFFFALYLVALGQGGFRPCVQAFGADQFDAQDPEERKAKSSFFNWWHFFMNAGLIAVLPALNYIQDNINWVLGFGIPCLILAGALAIFLLGTRTYRYSIRREEEKHAFLRVGRVFVATFRNWRITTAVAFEEETHRAQPQQSSGQFKFLNKALLDPIDSKGDSKVCSLREVEEAKAVLRLVPIWTTCLIYAIVFAQPATLFTRQAITMNRSVSADLEFPAASVQLFIPATVVVLVAIYDRAFVPVARALTGEPSGITMLQRIGTGVFLSFLAMVVAALVEMKRLKKAQQYGLVDTPEVTIPMSAWWLIPQNVLLGAADVFTMIGMQEFFYDQVPSELRSVGLALFLSVIGVGDFLSSFLISIIDKATGGDGHYSWFANNLNRAHLDYFYWLLAALSAVQFVAFLYFGKSYIYSRGGAT >Potri.013G106700.3.v4.1 pep chromosome:Pop_tri_v4:13:11580894:11583561:-1 gene:Potri.013G106700.v4.1 transcript:Potri.013G106700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106700.v4.1 MAIETPLLLDTPVEDSVDYKGRPVYRFNSGGWKSTLFIIVVEVAERSAYYGIAGNLITYLTGPLGQSTVTAAENMNVWSGTAWLLPLLGAFVADSFIGRYRTIVVSTLIYILGLGLLALSAVLPPLGSSGCQSSDSAGSCSPDPVLILFFFALYLVALGQGGFRPCVQAFGADQFDAQDPEERKAKSSFFNWWHFFMNAGLIAVLPALNYIQDNINWVLGFGIPCLILAGALAIFLLGTRTYRYSIRREEEKHAFLRVGRVFVATFRNWRITTAVAFEEETHRAQPQQSSGQFK >Potri.013G106700.1.v4.1 pep chromosome:Pop_tri_v4:13:11580900:11584324:-1 gene:Potri.013G106700.v4.1 transcript:Potri.013G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106700.v4.1 MAIETPLLLDTPVEDSVDYKGRPVYRFNSGGWKSTLFIIVVEVAERSAYYGIAGNLITYLTGPLGQSTVTAAENMNVWSGTAWLLPLLGAFVADSFIGRYRTIVVSTLIYILGLGLLALSAVLPPLGSSGCQSSDSAGSCSPDPVLILFFFALYLVALGQGGFRPCVQAFGADQFDAQDPEERKAKSSFFNWWHFFMNAGLIAVLPALNYIQDNINWVLGFGIPCLILAGALAIFLLGTRTYRYSIRREEEKHAFLRVGRVFVATFRNWRITTAVAFEEETHRAQPQQSSGQFKFLNKALLDPIDSKGDSKVCSLREVEEAKAVLRLVPIWTTCLIYAIVFAQPATLFTRQAITMNRSVSADLEFPAASVQLFIPATVVVLVAIYDRAFVPVARALTGEPSGITMLQRIGTGVFLSFLAMVVAALVEMKRLKKAQQYGLVDTPEVTIPMSAWWLIPQNVLLGAADVFTMIGMQEFFYDQVPSELRSVGLALFLSVIGVGDFLSSFLISIIDKATGGDGHYSWFANNLNRAHLDYFYWLLAALSAVQFVAFLYFGKSYIYSRGGAT >Potri.013G112200.1.v4.1 pep chromosome:Pop_tri_v4:13:12096402:12097994:1 gene:Potri.013G112200.v4.1 transcript:Potri.013G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G112200.v4.1 MGVIDEEETRKILELVNTPALDWRGQGCYSGGSYVKGGKYGRTRGGLPNKTPPEGYLCHRCHVGGHFIQHCPTNGDPNYDVKRVKAATGIPKSMLKADPEGRYVLRNGEVAVMKPNEDIFEKEMEGIPARRSSWSVNDVAPDLLCPLCKKIMKDAVLTSKCCFKSFCDRCIRDHLIKSRLKCECGATDMLTDYLIPNMTVRRTIDRILECDTSSSSGSGGGSGSRSTSFQVKDLVSFVHWPSQTCKVSSTTLSATSSCSSSKEQQKPTDRVNSLPPMAKRARIAESADESKATIAPMNVKEIASKGNLHGIDEEADQRKLVSSENGKKRKRNASDQNFKACENYMMMPTGSGAYNPYWTGMWGGMEGSYPEPYYTDSMGGYGYSHLGMPYGNTMPQDFWFTMNSEQAGEEALVAGFPH >Potri.019G036340.1.v4.1 pep chromosome:Pop_tri_v4:19:4933306:4935443:1 gene:Potri.019G036340.v4.1 transcript:Potri.019G036340.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036340.v4.1 MRNLCSTTSTRNRSVPTPCCSKVGIKKGPWTPEEDELLANYIRKEGQGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSMIAGRIPGRTDNEIKNYWNTCLSKKLISQGIDPRTHKPLKPNPDSSEIANVPVQNSNPKSSPVDENGRVYRTVATRVSENFTVTNLDQSPNQVAADATENWPNRDGFNMGSLQSGYGRKNEDDFIEDIGNEDTFSSFLDSLLNENVLVYQQRQQLQHQNMFGPSSKLAVSSSQILSHANIWEAEVSPPMAALGDKGVGGASNSLPV >Potri.014G122800.2.v4.1 pep chromosome:Pop_tri_v4:14:8276968:8280680:1 gene:Potri.014G122800.v4.1 transcript:Potri.014G122800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122800.v4.1 MEKYHQIWFAFGTLALLAVSALADDVVVLTEDNFEKEVGQDRGALVEFYAPWCGHCKKLAPEYEKLGSSFRKAKTVLIGKVDCDEHKGVCSKYGVSGYPTLQWFPKGSLEPKKYEGPRTAEALTEYVNTEGGTNVKIAAVPSNVAVLTADNFNNIVLDETKDVLVEFYAPWCGHCKNLAPTYEKVATAFKSEEDVVVANLDADKHKDLAEKYGVSGFPTLKFFPKGNKAGEDYEGGRDLDDFVAFINEKSGSSRDGKGQLTSKAGIVESLDALVKEFVAAGDDEKKAVFSQIEEEVEKLKGSAARYGKIYSKAAKNCMAKGDYAKNEIERLQRMLQKTISPAKADEFTLKKNILSTFA >Potri.006G180700.1.v4.1 pep chromosome:Pop_tri_v4:6:18720958:18721744:-1 gene:Potri.006G180700.v4.1 transcript:Potri.006G180700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180700.v4.1 MKTMNRRRMFTRNKRIAIEKDYDIDLLLSIDHAEGLDNPSTYPSVTTRDYRVVFWVHPDDQLATSRDLGSPDPVWNQKCRMKLDKSRDCKFLYVEVLRYGSSSESNPGTSNGISLVGRAQIPLPNLSSKTDGRYGLVRPKEDGYKAEGHITLSMKLVKIDII >Potri.006G143400.2.v4.1 pep chromosome:Pop_tri_v4:6:12097840:12100108:1 gene:Potri.006G143400.v4.1 transcript:Potri.006G143400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED20 MPLKWVLHWQPNAGTTVNTQILNEVTQCVESINGVKEGRWKATVTYYKPILRDQSQAPELPRDFLGISLPEQPNKYYFIIRGQRIVLEADSSIQTIMEKLQSYKSRVALYFEGFQYQLGDFQLRVGKVTPTHSDNLRGIIMEVEYLPLSSIDKSRQVMEEFVDIWQEAISKRSLPGHFMHMEPNFVEYGLSDHYSSQHTAVQYATVMAQLIATQSVQAARN >Potri.019G119200.1.v4.1 pep chromosome:Pop_tri_v4:19:14519732:14522734:-1 gene:Potri.019G119200.v4.1 transcript:Potri.019G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119200.v4.1 MDVRNNPWIMPFVIFLCFSLKPHVSLGADTISANSSLSGDQTVVSAGKVFELGFFKPGNSSNYYIGMWYYRDKVSAQTIVWVANRETPVSDRFSSELRISDGNLALFNESKILIWSTNLSSSSSRSVEAVLGNDGNLVLRDRSNPSLSPLWQSFDFPADTWLPGAKVGLSKINNRNTRLISWKSKDNPAPGLFSLELDPNQSQYLIFWKRSIQYWTSGEWNGQIFSLVPEMRLNYIYNFSYVSNDNESYFTYSMYNSTVISRFVMDDGGQIQQQTWSASTNAWFLFWSQPKTQCEVYAYCGAFGSCNAKSQPFCDCPRGFNPNSTGDWYSEVFSGGCERATNLQCGNSSVVNGKSDRFFPSYNMKLPANPQIVAAGSAQECESTCLKNCSCTAYAFDGGQCSAWSGDLLNMQQLADGTDGKSIYIRLAASEFSSSKNNKGIAIGGVVGSVAIVSILALVLFIFLRRRKTVKMGKAVEGSLMAFGYRDLQSATKNFSEKLGGGGFGSVFKGLLPDTSVIAVKKLDSISQGEKQFRSEVSTIGTIQHVNLVRLRGFCSEGNKKLLVYDYMPNGSLDSLLFSEKNTKVLDWKTRYSIALGTARGLNYLHEKCRDCIIHCDIKPENILLDAQFCPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVAITAKADVYSYGMMIFEVVSGRRNSEQSEDGKVKFFPSYAASQINQEYGDILSLLDHRLEGDADLEELTRVCKVACWCIQDEETQRPSMGHVVQILEGVVSVNPPPTPRCLQVFDSQESIIFFTESSSSQSSQAQSHTSTASTQTKNTTSNKSPKS >Potri.010G103000.1.v4.1 pep chromosome:Pop_tri_v4:10:12556219:12560798:1 gene:Potri.010G103000.v4.1 transcript:Potri.010G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103000.v4.1 MGVWLFTNFSLFLSLLLCLANSQQNDDAAAMMKLRGSLGNPSTLGWSGSDPCNWLHVGCLDNRVTRIQIGNQNLQGTLPPELKDLTQLTRFEVMNNQLTGALPSLSGLSFLQVLFLHNNTLSSIPPDFFAGMTSLTSVYLDYNPFESWEIPESLKDASALKEFSANGANVAGKIPEFFNSDVFPGMETLHLAFNYFEGGLPLNFSGSTIQSLWLNGQKSNSRLNGTISILQNMTSLKEIWLQGNHFTGPLPDLSGMISLEDLNLRDNSLTGVVPPSLLNISTLRVVNFTNNKLQGPTPSFARTVDADMIPGTNNFCLDNPGVACNATVNVLLSVAKNFGYPASLADLWKGNDPCTSTQAWKGITCGGGDILVINLKKAGLSGTISSDFSLISRLQKLILSDNMLTGTIPDELISLSNLALLDVSNNKLSGQIPKFRSNVQVEYGGNPDIGKINTSYAPPGAPGSTPSGTGGGSDGSGNKNSASGKIVGSVIGAVGVVCVVGLGVFFYSKKQKRSSKVQSPNMMIIHPRRSWDQDEVKITVAGSSANSGVESFTDSVGPSDIQVVRTENMVISIQVLRNVTNNFSEENILGRGGFGTVYKGELHDGTKIAVKRMESGVISEKGLAEFMSEIAVLTKVRHRHLVALLGYCLDGNERLLVYEYMPRGTLSSHLFSWKEEGVKPLDWTRRLTIGLDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVADFGLVRLAPEGKASIETRLAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMEMITGRKALDETQPEDSLHLVTWFRRMHINKDTFRKTIDPTINLDEETLGSISTVADLAGHCTAREPYQRPDMGHVVNVLSSLVEIWKPAEPDSDEMYGIDFEMPLPEVLLKWQAFDGSSSSFLASGDNTQTSIPTRPSGFAESFTSADGR >Potri.003G167500.2.v4.1 pep chromosome:Pop_tri_v4:3:17669316:17671221:1 gene:Potri.003G167500.v4.1 transcript:Potri.003G167500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167500.v4.1 MDTPFYRIHRNYPSSSPQIPVHHRTTTVLPKKVVSIPVRYVGSERSRSESAIKIQKVFRGFLVRKSMKKILATKRQVDEIEKRILMKETVELMRRDQKEKLKINEMLMSLLLKLDSVRGVDSGVRDCRKAVIKKAIALQETVDSIAAAANGDDSVVSAGEKGVDETGEGEEENGSVANAADELQNGAAESEAVVSMLADSRETREEFNGALENVDMASEGERNVECDGDVPTSMSDYCDSVRGVDSGVGDCREAVIKKAIALQETVDSIAAAANGDDSVVSAGEKGVDETGEGEEEDGSVANAADELQNGAAESEAVVSVLADSREAREEFNGTLENVDMASEGERKVECDGDVPTLMSDYCEPERVLEVVNETVELKEAENDETACQGEDRDLEKQVDKDGDCMGTSQTESQSDSSASLMEGDDEEEEEHSGGVEIEKKGDEGEVKSNDESTRRGKELLERMMEDNERMMGLMAELFERNEMQTRLLSSLSQRVEQLERAYICDQLRRRKKKRNAAGLLDCLESTPKKL >Potri.003G167500.3.v4.1 pep chromosome:Pop_tri_v4:3:17670059:17671224:1 gene:Potri.003G167500.v4.1 transcript:Potri.003G167500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167500.v4.1 MLADSRETREEFNGALENVDMASEGERNVECDGDVPTSMSDYCDSVRGVDSGVGDCREAVIKKAIALQETVDSIAAAANGDDSVVSAGEKGVDETGEGEEEDGSVANAADELQNGAAESEAVVSVLADSREAREEFNGTLENVDMASEGERKVECDGDVPTLMSDYCEPERVLEVVNETVELKEAENDETACQGEDRDLEKQVDKDGDCMGTSQTESQSDSSASLMEGDDEEEEEHSGGVEIEKKGDEGEVKSNDESTRRGKELLERMMEDNERMMGLMAELFERNEMQTRLLSSLSQRVEQLERAYICDQLRRRKKKRNAAGLLDCLESTPKKL >Potri.007G037100.1.v4.1 pep chromosome:Pop_tri_v4:7:2960903:2962398:-1 gene:Potri.007G037100.v4.1 transcript:Potri.007G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037100.v4.1 MGDSNNQTTHFVLIHGSASGAWAWYKVKTMLEAAGHSVTALDMSASGVNTKTLEEVVTFDQYNEPLIEFMANLAENEKVVLVGHSLGGLNVAFAMEKFPEKISLAVFVTAFLPDIEHRPSYMLEKFIENSPAVADGWQSVVSSTAGYETFMKSTAFNLASPEDLSLQTLLKRSGSLFLESLAKANKFTKEKFGSVVRDYVVCTQDLLVVPSLQRFMIEHNEVKEVMEIPADHMAIASRPKELCQCLLEFARKHA >Potri.002G158600.1.v4.1 pep chromosome:Pop_tri_v4:2:12090269:12090949:-1 gene:Potri.002G158600.v4.1 transcript:Potri.002G158600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158600.v4.1 MRTFSMRFSAPLLVVLVLTYALHIEAQQCRPSGQIRGTNPPPDQCNQENDSDCCKDGKYYTTYKCSPLVSSHTKATLTLNSFEGGGDGGAPSECDNQYHSDDTPVVALSTGWYNHGSRCLNYVNIHGNGKSVKAMVVDECDSTMGCDSDHDYQPPCANNIVDASKAVWKALGVPESDWGEMDIYWSDA >Potri.009G014700.1.v4.1 pep chromosome:Pop_tri_v4:9:2563356:2572617:1 gene:Potri.009G014700.v4.1 transcript:Potri.009G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014700.v4.1 MFWKLTTLSTSSPVESVLDKENFTLEELLDEEEIIQECKALNTRLINFLRDRAQVEQLLRYIIEEPSEDAESKRTFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMLRKTVSLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFTDVMQWLADSNLLEMIVDKLSPSNPPEVNANAAETLCAITRNAPSALATKLSSPSFVERIFGHALEDSHSKSGLVNSLSVCISILDPKRSAMASPLMHSFRSQHMYESPIPVNPETISAMLPKLGDLLMLLNVLSDEKILPTTYGELKPPLGKHRLKIVEFIAVLLRTGNEATEMELVSSRTIERILDLFFEYPYNNALHHHVESIIMSCLETKSDAMVDHLLQECDLIGKFLQTDKNPVISGDIIKPTLPAAGKQAPRVGNLGHITRISNKLVQLGNSSSRIQTYLQENSEWNEWQASVLQERNAVENVYRWACGRPTALQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYKIYGNEDNEEDNGGLDRDDEDVYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDDRIGDAPVSTSPGEMMDQINLNGNTDANGGNSCSHDEVVVGEEDELTESKDSVNGTSTSNTNLLDQFPGIGPVSLSSDANAPDTSFFKFEAPDNEDLFGDRPLPEWVGWGEPSDLQAAGGSTVNPFEDHDSSDVNLSSQAEAATPDASSPSGGESILPNGLSPTKGSSDGSVSSDSSKKSPTSPSLFEEDVEFVGVELEGTEKAMDQALKEGIVGEAGPLKRNIAPKVPEKEKSDDAEAENKEFNDANYWRVDVVEG >Potri.009G014700.2.v4.1 pep chromosome:Pop_tri_v4:9:2563351:2572616:1 gene:Potri.009G014700.v4.1 transcript:Potri.009G014700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014700.v4.1 MFWKLTTLSTSSPVESVLDKENFTLEELLDEEEIIQECKALNTRLINFLRDRAQVEQLLRYIIEEPSEDAESKRTFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMLRKTVSLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFTDVMQWLADSNLLEMIVDKLSPSNPPEVNANAAETLCAITRNAPSALATKLSSPSFVERIFGHALEDSHSKSGLVNSLSVCISILDPKRSAMASPLMHSFRSQHMYESPIPVNPETISAMLPKLGDLLMLLNVLSDEKILPTTYGELKPPLGKHRLKIVEFIAVLLRTGNEATEMELVSSRTIERILDLFFEYPYNNALHHHVESIIMSCLETKSDAMVDHLLQECDLIGKFLQTDKNPVISGDIIKPTLPAAGKQAPRVGNLGHITRISNKLVQLGNSSSRIQTYLQENSEWNEWQASVLQERNAVENVYRWACGRPTALQDRTRDSDEDDLHDRDYDVAALANNLSQAFRYKIYGNEDNEEDNGGLDRDDEDVYFDDESAEVVISSLRLGDDQGSLFTNSNWFAFQDDRIGDAPVSTSPGEMMDQINLNGNTDANGGNSCSHDEVVVGEEDELTESKDSVNGTSTSNTNLLDQFPGIGPVSLSSDANAPDTSFFKFEAPDNEDLFGDRPLPEWVGWGEPSDLQAAGGSTVNPFEDHDSSDVNLSSQAEAATPDASSPSGGESILPNGLSPTKGSSDGSVSSDSSKKSPTSPSLFEEDVEFVGVELEGTEKAMDQALKEGIVGEAGPLKRNIAPKVPEKEKSDDAEAENKEFNDANYWRVDVVEG >Potri.018G051300.1.v4.1 pep chromosome:Pop_tri_v4:18:4978715:4981379:-1 gene:Potri.018G051300.v4.1 transcript:Potri.018G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G051300.v4.1 MDAFSLIVLVVAWLFALLYLPKYFKSWLSPLKLPPGPKPWPIIGNFNLLGPLPHQSLHQLSLKYGKTMQLHFGSYPVMVTSSLDMAKQILKTYDHMFASRPQTAAGKYTTYEYSDLAWAPYGPYWRQGRKIYLTELFSAKRLESYEYMRVEEMREFTRRLYRNCGKSIELKDYLSHYTLSIISRIVLGKKYFSASESEKEIVSLEEFQEMLDELFLLNGVLNIGDWIPWLDFLDLQGYVKRMKKLKVRFDKFHDHVIDEHNVRRKTTKNWQPKDMVDLLLQLADDPELEVKLTRDNMKGLTQDLIAGGTDTAATMGDWSMSELLKKPQLFKRVTDELDRVVGRERWVEEKDIPQLPYIEAIMKEAMRMHPSAVMLAPHLALQDCKVGGYDIPKGTRIFINTWSMGRDPDLWEDPEDFRPERFIGKGVDIKGHNFELLPFGSGRRMCPGYPLGTKMILVSLANMLHGFTWELPPGIKPEDVKRDEVFGLATQRKYPTVAVAKPRLPLHLYN >Potri.007G094700.1.v4.1 pep chromosome:Pop_tri_v4:7:12003204:12007881:1 gene:Potri.007G094700.v4.1 transcript:Potri.007G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G094700.v4.1 MAKCFSFAATQDSCYRYSFTRSGLKSSTTDLGEGTIMHCWIPKKHDPSKPTLLLIHGFGANAMWQFHGLIPKFISKFNIYVPDLLFFGESYTARAERSEAFQAQCVIGVMEAHKVTKMDVLGLSYGGFVAYSIAAQFKALVARVAIGCAGVCFEEKDLEEGGVFKEVTSMEEAVELLIPQTPEKIREMMRLSFYKQPRSMPSCFLQDFIEVMCTQFRQEKKELIQALHKDRKMSDLPRITQPTLIIWGEHDQVFPLELAHRLERHIGDNAELVIIKNVGHALNAERPKELYKHLKSFFIDNLPSSKHASHTNSLKAD >Potri.017G144121.1.v4.1 pep chromosome:Pop_tri_v4:17:14440854:14446419:1 gene:Potri.017G144121.v4.1 transcript:Potri.017G144121.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144121.v4.1 MEIQTNGKPIDSLLEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDHVEPWMTGNCRGPSTSFCLLYKFFTMKLTVKQMHGLLKHKDSPYIRAVGFLYLRYAGDPKTLWNWFEPYIKDDEEFSPGTSGRKTTMGVYVRDLLLGQYYFDTLFPRIPVPVMRQITSNLEKLKLPTKISGSTGDGNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTIPSPSYDRTSDDSRSRLGQSREYSDKEYSDRDHDRGRERDQDHDRDRERDRVRDRDQERERDRDRERDWDQSRDRDRDRERDRYRRYDYDRSSRYTDRESRRDSEQSSRDRSRHYRESSSYRSRSRSRSRSRSRSSQAGASPFDRHPTPQRDGNKDKTSAPSNLAKLKDLYGDLSDQKGDAGLERVPRRDNDGEEVFRLGGSTWR >Potri.017G144121.3.v4.1 pep chromosome:Pop_tri_v4:17:14440814:14446315:1 gene:Potri.017G144121.v4.1 transcript:Potri.017G144121.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144121.v4.1 MRQITSNLEKLKLPTKISGSTGDGNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTIPSPSYDRTSDDSRSRLGQSREYSDKEYSDRDHDRGRERDQDHDRDRERDRVRDRDQERERDRDRERDWDQSRDRDRDRERDRYRRYDYDRSSRYTDRESRRDSEQSSRDRSRHYRESSSYRSRSRSRSRSRSRSSQAGASPFDRHPTPQRDGNKDKTSAPSNLAKLKDLYGDLSDQKGDAGLERVPRRDNDGEEVFRLGGSTWR >Potri.017G144121.2.v4.1 pep chromosome:Pop_tri_v4:17:14440855:14446378:1 gene:Potri.017G144121.v4.1 transcript:Potri.017G144121.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144121.v4.1 MGVYVRDLLLGQYYFDTLFPRIPVPVMRQITSNLEKLKLPTKISGSTGDGNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTIPSPSYDRTSDDSRSRLGQSREYSDKEYSDRDHDRGRERDQDHDRDRERDRVRDRDQERERDRDRERDWDQSRDRDRDRERDRYRRYDYDRSSRYTDRESRRDSEQSSRDRSRHYRESSSYRSRSRSRSRSRSRSSQAGASPFDRHPTPQRDGNKDKTSAPSNLAKLKDLYGDLSDQKGDAGLERVPRRDNDGEEVFRLGGSTWR >Potri.002G227100.1.v4.1 pep chromosome:Pop_tri_v4:2:21588144:21592286:-1 gene:Potri.002G227100.v4.1 transcript:Potri.002G227100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G227100.v4.1 MATKQQQAAAVKVGLGLMAVCIAGYILGPPLYWHLKEGFVAVARSSPICSPCDCDCSSQPLLSIPQGLSNASSFTDCAKHDPEVNEDTEKNFAELLKEELQLREIEALENQRRADIALLEAKKIASQYQKEADKCNSGMETCEGAREKAEATLAAQKKLTSIWELRARQRGWKDGAAKSHT >Potri.013G098000.1.v4.1 pep chromosome:Pop_tri_v4:13:10252492:10260925:1 gene:Potri.013G098000.v4.1 transcript:Potri.013G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098000.v4.1 MASSSMQKGTSSSYSAPQWMYDVFLSFRGKDTRNNFTSHLYSNLVQRGIDVYMDDRGLERGKTIEPALWKAIEDSRFSIVVFSRDYASSPWCLDELVKIVQCMKEMGHTVLPVFYDVDPSEVADQKGNYKKAFIEHKEKLSENLDRVKCWSDCLSTVANLSGWDVRNSKNLVGIDSRLKVLNEYIDEQATDTLFIGICGMGGMGKTTVARVMYDRIRWQFQGSCFLANVREVFAEKDGRCRLQEQLLSEISMELPTARDSSRRIDLIKRRLRLKKVLLILDDVDDEEQLQMLAAEHGSFGPGSRIIITSRNKHVLDSHGVTRIYEADKLNDKDALMLFSWKAFKRDQPAEDLSELSKQVVGYANGLPLALEVIGSFLHKRGLREWKSAIDRMNDIPDRKIIDVLRISFDGLHELEKKIFLDIACFLKGMKKDRIARLLDSCGFHADIGMQALIEKSLISVSRDEIRMHNLLQKMGEEIVRCESPEEPGRRSRLCTYKDVCHALEDSTEKIQSIFLDLPKAKEAQWNMTAFSKMTKLRLLKIHNVDLSEGPEYLSKELRFLEWHAYPSKSLPACFRPDELVELYMSCSSIEQLWCGCKILVNLKIINLSNSLYLINTPDFTGIPNLESLILEGCASLSEVHPSFGRHKKLQFVNLVNCYSLRILPSNLEMESLEVCTFSSCSKLDKFPDIVGNMNCLRELRLDGTAIAELSSSFHCLAGLVLLSMNNCKNLESIPSSIRGLKSLKRLDVSDCSELKNIPENLGEVESLEEFDASGTSIRQPPTSFFLLKNLKVLSFKGCKRIAVNLTDQILPSLSGLCSLEELDLCACNLGEGAVPEDIGCLSSLRSLNLSRNNFISLPKSINKLSRLEKLALKDCVMLESLPEVPLKVQKVKLDGCLRLKEIPDPIKLSSLKRSEFKCLNCWELYKHNGQNNMGLNMLEKYLQGSSPRPGFGIAVPGNEIPGWFTHQSKESSIRVQMPSNYLDGDDNGWMGFAACAAFSTYGKSPLFCHFKVDGKEYYPSPMYIGCNSMQALSDHLWLFYLSFDYLKEHKERENESSSELELSFHSYDQGVKVENCGVRMVNSGHLIVASKEAASSYTPSWQSPTGHLIIASKEAASSYIDSLANSSSYSQWMHDVFFSFRGKHNSNNFTHLHTALFQRGIIRYKRQIKYLKKIESSLVSDIKESGLSIIIFARDYVSTLGFGGFVKIDEFMKKMKSDTVFPVSTVSYNVEQSRVDEQTESYTIVFDKDEEDFSEDKEKVQRWMDILTEVAISSGSESSKR >Potri.015G100500.1.v4.1 pep chromosome:Pop_tri_v4:15:12013153:12014076:1 gene:Potri.015G100500.v4.1 transcript:Potri.015G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100500.v4.1 MEFSILLLITTLVVLQAIFPSHASIQAAPANSNLFREYIGAESNNVRFTDVPISPDVEFHFILSFAIDHDTSNSPSSTNGKFNIFWDSDNLSPSQVSSIKNRHSNVKVALSLGGDRVENGYAYFNPPSVNSWVSNAVSSLTRIIKQYNLDGIDIDYEHFQADPETFAECTGQLIAALKRNGVISFASIAPFDDDQVQNHYLALWRKYGHQIDYVNFQFYAYDQGTSESQFLDYFKTQTSKYIGGKVLVSFISDGSGGLSPSDGFFTACSKLKSQKQLQGIFVWSADDSKAEGFRYEKQSQALLAIHH >Potri.005G052200.1.v4.1 pep chromosome:Pop_tri_v4:5:3298679:3302702:1 gene:Potri.005G052200.v4.1 transcript:Potri.005G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G052200.v4.1 MANINSRVSVHSMLTAVSSKPVGPGQTHPLSALDHAMALHTLHLVFYYKKNPFGIFDVDPLKVSLSEVLSLYPQVAGRLTRGESGNWEVKCNDAGVRILRANVGVTIDEWLRSADVSEEKDLTVWEEMPEDPSTWSPFRIQVNEFEGGGVAFGLSCTHMNADPTSVTLLFKSWIESHRQEPIEHPPLFNSTPLHHQQVPDTSGKSTNYYANKANARTPSVKMVTATFRFSNSAINKCLNEVHDQCPQATPFDLLAALFWTRLVLLKAPKHDNKCSLSVCLDFRRLVQPPIPLGYFGNALHFSMLTLNEEEMDYGKLGHVVELVHRHVSGVETEEVWYAVDWLESQKEEGGKHAPPFRMYGPELTCVSMEHMIIGNKSLMSSASFKSDEKPVHVACHFGNVVGEGLIVVLPSVEEGLARTVIVTLPEEEMPQLCEDQAIQRLQPTMLISGR >Potri.014G006100.1.v4.1 pep chromosome:Pop_tri_v4:14:524886:528520:1 gene:Potri.014G006100.v4.1 transcript:Potri.014G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006100.v4.1 MITPEVPQNKSQYSPMAKSSVRNKPFSCFSDGFLYLGGALLAFLLVWSLWSYTVPHSFEPRGSTKSATNDARTQQCVQENPAVNLGYDPPDQTFYDDQELSYSIERPMKNWDEKRKEWLKRHPSFAPGARDRVVLVTGSQSKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVLLHPKMSSYWAKLPVVKAAMLAHPEAEWIWWVDSDAMFTDMEYKLPLRRYDYRNHNLVVHGWEKLIYKEKSWTALNAGVFLIRNCQWSMDFMEKWSGMGPMSSEYKKWGPIQRSVFKDKLFPESDDQSGLIYMLYQDKGLMDKIYLEGEYYFEGYWADIVPTYDNITEKYTELEKEDGKLRRRHAEKVSEQYGVFREPHLKEAGNGKGSWRRPFITHFTGCQPCSGDHNQIYHGETCWNGMVKALNFADNQVLRKYGFVHPDLLDSGTVTETPFDYPDDGPW >Potri.014G006100.3.v4.1 pep chromosome:Pop_tri_v4:14:524933:527662:1 gene:Potri.014G006100.v4.1 transcript:Potri.014G006100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006100.v4.1 MITPEVPQNKSQYSPMAKSSVRNKPFSCFSDGFLYLGGALLAFLLVWSLWSYTVPHSFEPRGSTKSATNDARTQQCVQENPAVNLGYDPPDQTFYDDQELSYSIERPMKNWDEKRKEWLKRHPSFAPGARDRVVLVTGSQSKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVLLHPKMSSYWAKLPVVKAAMLAHPEAEWIWWVDSDAMFTDMEYKLPLRRYDYRNHNLVVHGWEKLIYKEKSWTALNAGVFLIRNCQWSMDFMEKWSGMGPMSSEYKKWGPIQRSVFKDKLFPESDDQSGLIYMLYQDKGLMDKIYLEGEYYFEGYWADIVPTYDNITEKYTELEKEDGKLRRRHAEKVSEQYGVFREPHLKEAGNGKGSWRRPFITHFTGCQPCSGDHNQIYHGETCWNGMVKALNFADNQVLRKYGFVHPDLLDSGTVTETPFDYPDDGPW >Potri.014G006100.2.v4.1 pep chromosome:Pop_tri_v4:14:524843:528534:1 gene:Potri.014G006100.v4.1 transcript:Potri.014G006100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006100.v4.1 MITPEVPQNKSQYSPMAKSSVRNKPFSCFSDGFLYLGGALLAFLLVWSLWSYTVPHSFEPRGSTKSATNDARTQQCVQENPAVNLGYDPPDQTFYDDQELSYSIERPMKNWDEKRKEWLKRHPSFAPGARDRVVLVTGSQSKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVLLHPKMSSYWAKLPVVKAAMLAHPEAEWIWWVDSDAMFTDMEYKLPLRRYDYRNHNLVVHGWEKLIYKEKSWTALNAGVFLIRNCQWSMDFMEKWSGMGPMSSEYKKWGPIQRSVFKDKLFPESDDQSGLIYMLYQDKGLMDKIYLEGEYYFEGYWADIVPTYDNITEKYTELEKEDGKLRRRHAEKVSEQYGVFREPHLKEAGNGKGSWRRPFITHFTGCQPCSGDHNQIYHGETCWNGMVKALNFADNQVLRKYGFVHPDLLDSGTVTETPFDYPDDGPW >Potri.004G184300.1.v4.1 pep chromosome:Pop_tri_v4:4:19795907:19797220:-1 gene:Potri.004G184300.v4.1 transcript:Potri.004G184300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184300.v4.1 MAEEQHRCQEQRLCVNNCGFYGSQATENLCSKCYRDLHQSQPLNHQLLNPSSSSAASVSSFASPAVDVLKVNTNQKAPVVVVGDDKKDEVKAGEPAAGKQQQQPSRCLTCRRRVGLTGFKCRCGMVFCGTHRYPEQHDCEFDFKSLGKQQIAKANPVVKGEKLQKI >Potri.004G184300.2.v4.1 pep chromosome:Pop_tri_v4:4:19796151:19797206:-1 gene:Potri.004G184300.v4.1 transcript:Potri.004G184300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184300.v4.1 MAEEQHRCQEQRLCVNNCGFYGSQATENLCSKCYRDLHQSQPLNHQLLNPSSSSAASVSSFASPAVDVLKVNTNQKAPVVVVGDDKKDEVKAGEPAAGKQQQQPSRCLTCRRRVGLTGFKCRCGMVFCGTHRYPEQHDCEFDFKSLGKQQIAKANPVVKGEKLQKI >Potri.006G034900.3.v4.1 pep chromosome:Pop_tri_v4:6:2203147:2204103:-1 gene:Potri.006G034900.v4.1 transcript:Potri.006G034900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034900.v4.1 MREDDSNWFSRWEEELPSLEELMPLSQTLITPDLALAFDITNPSNTTTTTTTNNHNSSLHQNQPLPPPPPPPAAVSPTPTNPLASPQPNSGDFAADSSELGSGAAGDEPRTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMNVDGLTRENVASHLQKYRLYLKRMQGLSSGGGGNGTGGGGGLTGGTDAATDHLFASSPVPAHFLHPGRPNSDHFLPFVPVAALQNHHHQHQMAAMGHPQLPSQYHRQMGHFGSPTNGQFEHPFLPRQTQQPVHRMGAPVPNTVPGYVEDLESVNGNGGRKVLTLFPTGDD >Potri.006G120400.3.v4.1 pep chromosome:Pop_tri_v4:6:9491275:9502196:-1 gene:Potri.006G120400.v4.1 transcript:Potri.006G120400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120400.v4.1 MFRLHKARPAAKSSSGEKIDFKFSYFKALQVPRGWDKLSVSIISVETGKTIAKTSKAAVRNGNCQWTESLSESTWTASQDESSKEHDDCLFKFVVAMGSARSGILGEATVNMASYMSSSDCVPVSFPLKRCNHGTILQVKIQCLTPRTKLIRDDKSKETDSHKEDINADSQSREVEIKSEESNGTIAKSEESYSGRDSSSTSLPQEHEKRPEASFSNSDSHHSYDSAEDFTRRESFSPSNNLSGDEPPLISGKPNSASSQKSYPMGNPSESNHSSFKSRITLPENLSQEDTQEFATSSLRISGSSKSLLETAEDTIEDLRNEAKMWERNARKLMLDMEILRKEYSEQSKNQANMYMELSAACAERDGLQKEVEQLKLLLEKSTAKPAALEDYTFQDEGAVKELENDVMFQRESNANLNLQLKRSQESNAELVSVLQELEETIEKQKDEIDNLSALQSKFSDMENSIQMNLEKNRNLILHTQQLQESEKILQAKVQALEQDLEDKNRSIENESMNNRNFLDMETEYKCKLTVKEKEIVSLKAKLSESLNERHYSTKMESITGGDENLIREIEALKVKLQELESDCQELTDENLELLIKLKEKKESSTDGVLSSTSYMSEGNGQESQMDKLEEKMKKKLLREIENDHNLSIQQIESLKSQLEVEVTELNMELGEKLAEIERLKASLLSKEDENGHLQRYQRELEAKLSVLQNEKGQMEERMEIVTREGDIATKCLNDLRKDLMVLSSSVDSHVSANKILERRSSELASAKQELEIRLSELKQENEELSSHITVLEGQITQLTDERKSTKLELENSKTQVQILQDQVSRLKNDVETQTTDLKQNLQQLHDQWSEAQEECDYLKRENLNLQATAESIVQECSSLQKSNGELERQILELQGHCTHLEAKLRESHRRFADCSRRVTVLEENISSVLEDSASKEKKLITELETLLEENEKQNKRFSLLNQMYLEMMVEVESLQREVGDLTKQLSATQADRERIASEAVDEVSGLCAVIAKLESELNSSQIESNTKVQGLMGELAASKQNQEMLKVDNGRMSKLLTNYISCEENFKTTLSDLELKLTVSEYERQQVMEESTKLKVQLLEIGSLQDEVVVLKNELNAIKYEKEKLETSFRLVSGECKELKIEKSSFIEKITILQKAVSELEDSKQKIISLEEKLLRMEGDLMAKEAFCEQYAEINSELTRIKRANKQLQQQMRQVEEDKLACLTRTQSLEGEVMFLKEQQQNQRDSERKNSYSNQLQEVWGSLLF >Potri.006G120400.2.v4.1 pep chromosome:Pop_tri_v4:6:9491269:9502401:-1 gene:Potri.006G120400.v4.1 transcript:Potri.006G120400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120400.v4.1 MFRLHKARPAAKSSSGEKIDFKFSYFKALQVPRGWDKLSVSIISVETGKTIAKTSKAAVRNGNCQWTESLSESTWTASQDESSKEHDDCLFKFVVAMGSARSGILGEATVNMASYMSSSDCVPVSFPLKRCNHGTILQVKIQCLTPRTKLIRDDKSKETDSHKEDINADSQSREVEIKSEESNGTIAKSEESYSGRDSSSTSLPQEHEKRPEASFSNSDSHHSYDSAEDFTRRESFSPSNNLSGDEPPLISGKPNSASSQKSYPMGNPSESNHSSFKSRITLPENLSQEDTQEFATSSLRISGSSKSLLETAEDTIEDLRNEAKMWERNARKLMLDMEILRKEYSEQSKNQANMYMELSAACAERDGLQKEVEQLKLLLEKSTAKPAALEDYTFQDEGAVKELENDVMFQRESNANLNLQLKRSQESNAELVSVLQELEETIEKQKDEIDNLSALQSKFSDMENSIQMNLEKNRNLILHTQQLQESEKILQAKVQALEQDLEDKNRSIENESMNNRNFLDMETEYKCKLTVKEKEIVSLKAKLSESLNERHYSTKMESITGGDENLIREIEALKVKLQELESDCQELTDENLELLIKLKEKKESSTDGVLSSTSYMSEGNGQESQMDKLEEKMKKKLLREIENDHNLSIQQIESLKSQLEVEVTELNMELGEKLAEIERLKASLLSKEDENGHLQRYQRELEAKLSVLQNEKGQMEERMEIVTREGDIATKCLNDLRKDLMVLSSSVDSHVSANKILERRSSELASAKQELEIRLSELKQENEELSSHITVLEGQITQLTDERKSTKLELENSKTQVQILQDQVSRLKNDVETQTTDLKQNLQQLHDQWSEAQEECDYLKRENLNLQATAESIVQECSSLQKSNGELERQILELQGHCTHLEAKLRESHRRFADCSRRVTVLEENISSVLEDSASKEKKLITELETLLEENEKQNKRFSLLNQMYLEMMVEVESLQREVGDLTKQLSATQADRERIASEAVDEVSGLCAVIAKLESELNSSQIESNTKVQGLMGELAASKQNQEMLKVDNGRMSKLLTNYISCEENFKTTLSDLELKLTVSEYERQQVMEESTKLKVQLLEIGSLQDEVVVLKNELNAIKYEKEKLETSFRLVSGECKELKIEKSSFIEKITILQKAVSELEDSKQKIISLEEKLLRMEGDLMAKEAFCEQYAEINSELTRIKRANKQLQQQMRQVEEDKLACLTRTQSLEGEVMFLKEQQQNQRDSERKNSYSNQLQEGDYGYKIPDGVVPASKSQSLEENLAKALEENNSYKIQLKRLKSEGRKSVPRSRKSTAEGEVVPKEKFERTKSSLEAELRDIRERYFHMSLKYAEVEANREELVMKLKASNSGKRWL >Potri.006G120400.4.v4.1 pep chromosome:Pop_tri_v4:6:9491300:9502196:-1 gene:Potri.006G120400.v4.1 transcript:Potri.006G120400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120400.v4.1 MFRLHKARPAAKSSSGEKIDFKFSYFKALQVPRGWDKLSVSIISVETGKTIAKTSKAAVRNGNCQWTESLSESTWTASQDESSKEHDDCLFKFVVAMGSARSGILGEATVNMASYMSSSDCVPVSFPLKRCNHGTILQVKIQCLTPRTKLIRDDKSKETDSHKEDINADSQSREVEIKSEESNGTIAKSEESYSGRDSSSTSLPQEHEKRPEASFSNSDSHHSYDSAEDFTRRESFSPSNNLSGDEPPLISGKPNSASSQKSYPMGNPSESNHSSFKSRITLPENLSQEDTQEFATSSLRISGSSKSLLETAEDTIEDLRNEAKMWERNARKLMLDMEILRKEYSEQSKNQANMYMELSAACAERDGLQKEVEQLKLLLEKSTAKPAALEDYTFQDEGAVKELENDVMFQRESNANLNLQLKRSQESNAELVSVLQELEETIEKQKDEIDNLSALQSKFSDMENSIQMNLEKNRNLILHTQQLQESEKILQAKVQALEQDLEDKNRSIENESMNNRNFLDMETEYKCKLTVKEKEIVSLKAKLSESLNERHYSTKMESITGGDENLIREIEALKVKLQELESDCQELTDENLELLIKLKEKKESSTDGVLSSTSYMSEGNGQESQMDKLEEKMKKKLLREIENDHNLSIQQIESLKSQLEVEVTELNMELGEKLAEIERLKASLLSKEDENGHLQRYQRELEAKLSVLQNEKGQMEERMEIVTREGDIATKCLNDLRKDLMVLSSSVDSHVSANKILERRSSELASAKQELEIRLSELKQENEELSSHITVLEGQITQLTDERKSTKLELENSKTQVQILQDQVSRLKNDVETQTTDLKQNLQQLHDQWSEAQEECDYLKRENLNLQATAESIVQECSSLQKSNGELERQILELQGHCTHLEAKLRESHRRFADCSRRVTVLEENISSVLEDSASKEKKLITELETLLEENEKQNKRFSLLNQMYLEMMVEVESLQREVGDLTKQLSATQADRERIASEAVDEVSGLCAVIAKLESELNSSQIESNTKVQGLMGELAASKQNQEMLKVDNGRMSKLLTNYISCEENFKTTLSDLELKLTVSEYERQQVMEESTKLKVQLLEIGSLQDEVVVLKNELNAIKYEKEKLETSFRLVSGECKELKIEKSSFIEKITILQKAVSELEDSKQKIISLEEKLLRMEGDLMAKEAFCEQYAEINSELTRIKRANKQLQQQMRQVEEDKLACLTRTQSLEGEVMFLKEQQQNQRDSERKNSYSNQLQEVWGSLLF >Potri.017G126600.1.v4.1 pep chromosome:Pop_tri_v4:17:13074175:13076146:-1 gene:Potri.017G126600.v4.1 transcript:Potri.017G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126600.v4.1 MASNSKKRLLDNDLNQGFIRKHRHHHSPSSSSITKKPSFQSYLETPNLPPTIKLLCEIIANTPSHNVESVLDATVIRVKQTDVEQVLKLSYSSPGSAVKFFRWAGLQLNDKHSPYSWNLVVDLLGKNSMFDAMWGAIKSMKRENLVSLATFASVFSSYVIVDRVKEAIMTFEVMDQYGCTRNVVALNSLLSAICREGRTFDAVEFLHVAKNRILPDADSYAILLEGWEKEMNVASARTTFADMVSMVGWDPRNVPAYDTFLSTLLMGYDGLREAMKHFDTMKDRGCFPGVKFFRLALEECLKCNDVRAAMLIWETLVARVGFRPDIQLYNLMIGIHCYDNQTDIANKFLDEMIYNGVFPDSQTYNVLFQYLIKYKKLKEASFVLNEMIKNEFFPNKTNCNAAIKAYMDSKEPYMAIKVWKCMMENYGESDLEEAGNMLVVELRYHHMVPEAVKYAEVMIEKGIKLTSSSLSKLKQMLNEEKKPILYEELLRKWKAHRVD >Potri.002G080500.1.v4.1 pep chromosome:Pop_tri_v4:2:5671035:5675310:1 gene:Potri.002G080500.v4.1 transcript:Potri.002G080500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NRAMP6.2 MASSQQEQQACEIAPASRGNSNRIAALNLDGQSPPCIDDYDQQKPGWRKFLPYVGPGFLVSLAYLDPGNLETDLQAGANHGYELLWVILIGLIFALIIQSLAANLGVSTGRHLAELCKAEYPKYVRWSLWLLAEVAVIAADIPEVIGTAFALNILFHIPVWAGVLMTGLSTLLLLGLQKYGIRKLELLISALVFTMAACFFGELSYVKPPASGVLKGLFIPKLSGQGATGDAIALLGALVMPHNLFLHSALVLSRKVPNSVRGINDACRYFLIESGFALFVAFLINVSIISVSGTVCLAKNLSPENADQCGDLTLKGASFLLKNVLGKSSSTIYAIALLASGQSSTITGTYAGQYIMQGFLDLKMRKWLRNLTTRCIAILPSLFVSIIGGSSGASRLIIIASMILSFELPFALIPLLKFSSSNPKMGPHKNSIYIIVISWTLGFMIIGINVYYLSTGFVGWLTHNNLPKVGNVIIGIIVFPLMAIYILAIIYLTFRKDTAVTYIDPVKNDPNLEANMENGQGKSNQEMAFGRVPYREDLADVPLPE >Potri.001G321800.2.v4.1 pep chromosome:Pop_tri_v4:1:33127279:33139551:-1 gene:Potri.001G321800.v4.1 transcript:Potri.001G321800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321800.v4.1 MTTATPLSASSFSSNRMAMVSSTFSSDYYGTGAADITKRHAAFQFLVSRYHFNNSKMFLQKQRNYGFSARRGFRVSCETKTEEIKIRRCSPNLESALVSRNGALTSDDWRAVPDIWRSSAEKYGDQVAVVDPHHDPPTSMTYKQLEEEILDFSEGLRVIGVKPDEKLALFADNSYRWLVADQGMMAMGAVDVVRGSRSSVEELLQIYNHSESVALAVDNPELFNRIAETFSSKAAPRFVILLWGEKSSLTINVMEGIPIFNYKEIIDLGRESRKAFFDSGDARQHYKYETISSDDIATLVYTSGTTGNPKGVMLTHKNLLHQINNFWEIVPAQPADRFLSMLPPWHAYERACEYFIFANGAEQVYTTVRNFKVDLQQYQPHYLISVPLVFETLYSGIQKQISKSSTLRKLLAFTFIKISLAYMEMKRIYEGTYLTRSQNEQSYFVSILGWLRARIFAAILLPVHMLAEKLVYSKIQSAIGIRKAGVSGGGSLPAHVDKFFEAIGVVLLNGYGMTESSPVLAARQLSNNVLGSVGHPIRHTEFKIVDAETGKSLPYGSKGIVRVRGPQVMKGYYKNPLATKQAVDEDGWLNTGDLGWIAPYHSRGKSRRCGGIIVLEGRAKDTIVLSTGENVEPLELEEAAMKSSLIQQIVVIGQDQRRLGAIVVPNKEEVLEVAKKLSIVDADATELSKKQIANLLDKELRKWTSEASFQIGPVLVIDESFTIDSGLMTPTMKIRRDKVVALYEEQIANLYK >Potri.008G205700.3.v4.1 pep chromosome:Pop_tri_v4:8:15076409:15082725:-1 gene:Potri.008G205700.v4.1 transcript:Potri.008G205700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205700.v4.1 MGGCFPCFGSSNKEGSSGGGVVKEVKKKDSAKEGSVGQSQHVGRVNSDKSKSRSGSDQKKEPSIPKDGPTANIAAQTFTFRELATATKNFKPECLLGEGGFGRVYKGRLESTGQAVAVKQLDRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEFMPLGSLEDHLHDLPPDKEPLDWNTRMKIAAGAAKGLEYLHDKANPPVIYRDLKSSNILLDEGFHPKLSDFGLAKLGPVGDKTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVFLELITGRKAIDNTRAPGEHNLVAWARPLFKDRRKFPKMADPLLQGCYPMRGLYQALAVAAMCLQEQAATRPLIGDVVTALTYLASQTYDPNAASQSNRVGPSTPRNRDERRGMADGLDSPDEHGLGGRRDSPSTYKNSPDYRKRDRVREFSTGAELGRSEAGSGSGRKWGLDDSERQDSQKDSLVTTSRARETPRNRDLDRERAVAAAKVWGENWREKKRANAMGSFDGTNE >Potri.010G240800.1.v4.1 pep chromosome:Pop_tri_v4:10:21866917:21869064:1 gene:Potri.010G240800.v4.1 transcript:Potri.010G240800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G240800.v4.1 MHQDFNFQTRCLTQPPHATAATRWTREEDKIFEQALTIFPENLPDRWQSIANHIRKSAWEVKEHYDILVHDVLAIDSGRVELPTYRDDESVSWESSGGDDGGMVAAGAPPSGQICFGGKGKQDTERKKGTPWTEDEHKLFLVGLNKFGKGDWRSISRNVVITRTPTQVASHAQKYFLRQNSVKKERKRSSIHDITSVDNNTVGPSADDYWNSPPGPPANQDGPPGLGYQNFRFQM >Potri.015G072800.10.v4.1 pep chromosome:Pop_tri_v4:15:9843659:9849215:1 gene:Potri.015G072800.v4.1 transcript:Potri.015G072800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072800.v4.1 MWKSEVSKFTEKGGLVDSEGVEKLVHIMLPERNEKKIDLVGRSILAGVVAATDKFECLNQFVQLRGLPVFDEWLQEVHKGKIGDGSPKDGDKSVEEFLVVLLRALDKLPVNLHALQMCNIGKSVNLLRTHKNLEIQKKARSLVDTWKKRVEAEMDANAKSASNQGVSWPARSRLSEVPHGGNRQSGVSSEVAMKSSVVQLSASKTGSVKAVQGDTVTKSASTSPGPVRSTTSPGSVGNNSKETQPRNTGASAASDPSPTVARDEKSSSSSPSHNNSQSCSSDHAKTGGFSGKEDARSSTAGSMTANKIIVGSLRHRKSVNGFPGQALSGVQKETGSSRNSSLHRNSGSEKLSHSSLTCEKALDVPMTEGNGHKFIVKIPNRGRSPAQSSSGGTFEDASVMNSRASSPVISERHDQFDHNLKEKNDSYRANITSDVKTESWQSNDFKEVLTGSDEGVGSPATVPDEEHGRIGDDGRKSGEVSKATPTSTVCEHKLGKLNDASFSSMNALIESCAKYSEGNASLSVGDDGGMNLLASVAAGEMSKSDMVSPTGSPRRNMPIEHPCVPSGLRAKSSPCDDPAQSQGKPVDGVDYEDEKRGITVGTSLSKNTEAKTVLFSQEKSTGELNGPPNSSHVDVQQTAKPCLESYLKSEETLVAAVSSASTAVKTSNCGGKEPWEKEDGGRSNVDGISDDKEKLHGSVFNDINNTGVQVAIEAMEGSSSNHRVEFDAENKKNINKELNISIKAEPAPPAIMLSDFAKGTINEVLQPSSSGKDMDSENLHEVKAGETDGRSHSTEKNKIENESNTASAATDHEGECKVESLGGNQVDEQCSTGPAAHKAAPILFQAPEQIVRSTESKFAGTGTDETEECTSDAAEASSLSAAGGSDLEAKVEFDLNEGFISDDGKYGESSDLRAPGCSSAIQLVSPLPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLGTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQEAVSVSDLAKNNDCARDALMGSISVRSSGGLDLDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCWDFDLNDGPLVDEVSAEPSQLGRHTQNIVPSQPSISSLRMNSTEMGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPIVATGGPQRILASSTGSNPFNPDVYRGAVLSSSPAVPFPSTPFQYPVFPFGTSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVVAQVGVVSSHYPRPYAVNLPDSNNNGAVESSRKWVRQGLDLNAGPLGADIEGRNETSALASRQLSVASSQAHAEELSRMYQATSGGFLKRKEPEGGWDGYKQSSWQKG >Potri.015G072800.7.v4.1 pep chromosome:Pop_tri_v4:15:9840466:9849534:1 gene:Potri.015G072800.v4.1 transcript:Potri.015G072800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072800.v4.1 MLHGREGEERKKDHRHMWTGPTRGNSAVAGDDVSNSFFKDGRKISVGDCALFKPPQDSPPFIGIIRWLTNGKENKLKLGVNWLYRPAEVKLGKGILLEAVPNEIFYSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDVTNKCLWWLTDQDYINERQEEVDHLLDKTRLEMHATVQPGGRSPKPVNGPTSTSQLKPVSDSVQNSVSSFSSYGKGKKRERGDQGSEPVKRERFTKMDDGDSGHSRPESMWKSEVSKFTEKGGLVDSEGVEKLVHIMLPERNEKKIDLVGRSILAGVVAATDKFECLNQFVQLRGLPVFDEWLQEVHKGKIGDGSPKDGDKSVEEFLVVLLRALDKLPVNLHALQMCNIGKSVNLLRTHKNLEIQKKARSLVDTWKKRVEAEMDANAKSASNQGVSWPARSRLSEVPHGGNRQSGVSSEVAMKSSVVQLSASKTGSVKAVQGDTVTKSASTSPGPVRSTTSPGSVGNNSKETQPRNTGASAASDPSPTVARDEKSSSSSPSHNNSQSCSSDHAKTGGFSGKEDARSSTAGSMTANKIIVGSLRHRKSVNGFPGQALSGVQKETGSSRNSSLHRNSGSEKLSHSSLTCEKALDVPMTEGNGHKFIVKIPNRGRSPAQSSSGGTFEDASVMNSRASSPVISERHDQFDHNLKEKNDSYRANITSDVKTESWQSNDFKEVLTGSDEGVGSPATVPDEEHGRIGDDGRKSGEVSKATPTSTVCEHKLGKLNDASFSSMNALIESCAKYSEGNASLSVGDDGGMNLLASVAAGEMSKSDMVSPTGSPRRNMPIEHPCVPSGLRAKSSPCDDPAQSQGKPVDGVDYEDEKRGITVGTSLSKNTEAKTVLFSQEKSTGELNGPPNSSHVDVQQTAKPCLESYLKSEETLVAAVSSASTAVKTSNCGGKEPWEKEDGGRSNVDGISDDKEKLHGSVFNDINNTGVQVAIEAMEGSSSNHRVEFDAENKKNINKELNISIKAEPAPPAIMLSDFAKGTINEVLQPSSSGKDMDSENLHEVKAGETDGRSHSTEKNKIENESNTASAATDHEGECKVESLGGNQVDEQCSTGPAAHKAAPILFQAPEQIVRSTESKFAGTGTDETEECTSDAAEASSLSAAGGSDLEAKVEFDLNEGFISDDGKYGESSDLRAPGCSSAIQLVSPLPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLGTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQEAVSVSDLAKNNDCARDALMGSISVRSSGGLDLDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCWDFDLNDGPLVDEVSAEPSQLGRHTQNIVPSQPSISSLRMNSTEMGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPIVATGGPQRILASSTGSNPFNPDVYRGAVLSSSPAVPFPSTPFQYPVFPFGTSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVVAQVGVVSSHYPRPYAVNLPDSNNNGAVESSRKWVRQGLDLNAGPLGADIEGRNETSALASRQLSVASSQAHAEELSRMYQATSGGFLKRKEPEGGWDGYKQSSWQKG >Potri.015G072800.6.v4.1 pep chromosome:Pop_tri_v4:15:9840466:9849546:1 gene:Potri.015G072800.v4.1 transcript:Potri.015G072800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072800.v4.1 MLHGREGEERKKDHRHMWTGPTRGNSAVAGDDVSNSFFKDGRKISVGDCALFKPPQDSPPFIGIIRWLTNGKENKLKLGVNWLYRPAEVKLGKGILLEAVPNEIFYSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDVTNKCLWWLTDQDYINERQEEVDHLLDKTRLEMHATVQPGGRSPKPVNGPTSTSQLKPVSDSVQNSVSSFSSYGKGKKRERGDQGSEPVKRERFTKMDDGDSGHSRPESMWKSEVSKFTEKGGLVDSEGVEKLVHIMLPERNEKKIDLVGRSILAGVVAATDKFECLNQFVQLRGLPVFDEWLQEVHKGKIGDGSPKDGDKSVEEFLVVLLRALDKLPVNLHALQMCNIGKSVNLLRTHKNLEIQKKARSLVDTWKKRVEAEMDANAKSASNQGVSWPARSRLSEVPHGGNRQSGVSSEVAMKSSVVQLSASKTGSVKAVQGDTVTKSASTSPGPVRSTTSPGSVGNNSKETQPRNTGASAASDPSPTVARDEKSSSSSPSHNNSQSCSSDHAKTGGFSGKEDARSSTAGSMTANKIIVGSLRHRKSVNGFPGQALSGVQKETGSSRNSSLHRNSGSEKLSHSSLTCEKALDVPMTEGNGHKFIVKIPNRGRSPAQSSSGGTFEDASVMNSRASSPVISERHDQFDHNLKEKNDSYRANITSDVKTESWQSNDFKEVLTGSDEGVGSPATVPDEEHGRIGDDGRKSGEVSKATPTSTVCEHKLGKLNDASFSSMNALIESCAKYSEGNASLSVGDDGGMNLLASVAAGEMSKSDMVSPTGSPRRNMPIEHPCVPSGLRAKSSPCDDPAQSQGKPVDGVDYEDEKRGITVGTSLSKNTEAKTVLFSQEKSTGELNGPPNSSHVDVQQTAKPCLESYLKSEETLVAAVSSASTAVKTSNCGGKEPWEKEDGGRSNVDGISDDKEKLHGSVFNDINNTGVQVAIEAMEGSSSNHRVEFDAENKKNINKELNISIKAEPAPPAIMLSDFAKGTINEVLQPSSSGKDMDSENLHEVKAGETDGRSHSTEKNKIENESNTASAATDHEGECKVESLGGNQVDEQCSTGPAAHKAAPILFQAPEQIVRSTESKFAGTGTDETEECTSDAAEASSLSAAGGSDLEAKVEFDLNEGFISDDGKYGESSDLRAPGCSSAIQLVSPLPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLGTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQEAVSVSDLAKNNDCARDALMGSISVRSSGGLDLDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCWDFDLNDGPLVDEVSAEPSQLGRHTQNIVPSQPSISSLRMNSTEMGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPIVATGGPQRILASSTGSNPFNPDVYRGAVLSSSPAVPFPSTPFQYPVFPFGTSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVVAQVGVVSSHYPRPYAVNLPDSNNNGAVESSRKWVRQGLDLNAGPLGADIEGRNETSALASRQLSVASSQAHAEELSRMYQATSGGFLKRKEPEGGWDGYKQSSWQKG >Potri.015G072800.8.v4.1 pep chromosome:Pop_tri_v4:15:9840428:9848342:1 gene:Potri.015G072800.v4.1 transcript:Potri.015G072800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072800.v4.1 MLHGREGEERKKDHRHMWTGPTRGNSAVAGDDVSNSFFKDGRKISVGDCALFKPPQDSPPFIGIIRWLTNGKENKLKLGVNWLYRPAEVKLGKGILLEAVPNEIFYSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDVTNKCLWWLTDQDYINERQEEVDHLLDKTRLEMHATVQPGGRSPKPVNGPTSTSQLKPVSDSVQNSVSSFSSYGKGKKRERGDQGSEPVKRERFTKMDDGDSGHSRPESMWKSEVSKFTEKGGLVDSEGVEKLVHIMLPERNEKKIDLVGRSILAGVVAATDKFECLNQFVQLRGLPVFDEWLQEVHKGKIGDGSPKDGDKSVEEFLVVLLRALDKLPVNLHALQMCNIGKSVNLLRTHKNLEIQKKARSLVDTWKKRVEAEMDANAKSASNQGVSWPARSRLSEVPHGGNRQSGVSSEVAMKSSVVQLSASKTGSVKAVQGDTVTKSASTSPGPVRSTTSPGSVGNNSKETQPRNTGASAASDPSPTVARDEKSSSSSPSHNNSQSCSSDHAKTGGFSGKEDARSSTAGSMTANKIIVGSLRHRKSVNGFPGQALSGVQKETGSSRNSSLHRNSGSEKLSHSSLTCEKALDVPMTEGNGHKFIVKIPNRGRSPAQSSSGGTFEDASVMNSRASSPVISERHDQFDHNLKEKNDSYRANITSDVKTESWQSNDFKEVLTGSDEGVGSPATVPDEEHGRIGDDGRKSGEVSKATPTSTVCEHKLGKLNDASFSSMNALIESCAKYSEGNASLSVGDDGGMNLLASVAAGEMSKSDMVSPTGSPRRNMPIEHPCVPSGLRAKSSPCDDPAQSQGKPVDGVDYEDEKRGITVGTSLSKNTEAKTVLFSQEKSTGELNGPPNSSHVDVQQTAKPCLESYLKSEETLVAAVSSASTAVKTSNCGGKEPWEKEDGGRSNVDGISDDKEKLHGSVFNDINNTGVQVAIEAMEGSSSNHRVEFDAENKKNINKELNISIKAEPAPPAIMLSDFAKGTINEVLQPSSSGKDMDSENLHEVKAGETDGRSHSTEKNKIENESNTASAATDHEGECKVESLGGNQVDEQCSTGPAAHKAAPILFQAPEQIVRSTESKFAGTGTDETEECTSDAAEASSLSAAGGSDLEAKVEFDLNEGFISDDGKYGESSDLRAPGCSSAIQLVSPLPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLGTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQEAVSVSDLAKNNDCARDALMGSISVRSSGGLDLDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCWDFDLNDGPLVDEVSAEPSQLGRHTQNIVPSQPSISSLRMNSTEMGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPIVATGGPQRILASSTGSNPFNPDVYRGAVLSSSPAVPFPSTPFQYPVFPFGTSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVVAQVGVVSSHYPRPYAVNLPDSNNNGAVESSRKWVRQGLDLNAGPLGADIEGRNETSALASRQLSVASSQAHAEELSRMYQATSGGFLKRKEPEGGWDGYKQSSWQKG >Potri.015G072800.11.v4.1 pep chromosome:Pop_tri_v4:15:9843692:9848532:1 gene:Potri.015G072800.v4.1 transcript:Potri.015G072800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072800.v4.1 MLPERNEKKIDLVGRSILAGVVAATDKFECLNQFVQLRGLPVFDEWLQEVHKGKIGDGSPKDGDKSVEEFLVVLLRALDKLPVNLHALQMCNIGKSVNLLRTHKNLEIQKKARSLVDTWKKRVEAEMDANAKSASNQGVSWPARSRLSEVPHGGNRQSGVSSEVAMKSSVVQLSASKTGSVKAVQGDTVTKSASTSPGPVRSTTSPGSVGNNSKETQPRNTGASAASDPSPTVARDEKSSSSSPSHNNSQSCSSDHAKTGGFSGKEDARSSTAGSMTANKIIVGSLRHRKSVNGFPGQALSGVQKETGSSRNSSLHRNSGSEKLSHSSLTCEKALDVPMTEGNGHKFIVKIPNRGRSPAQSSSGGTFEDASVMNSRASSPVISERHDQFDHNLKEKNDSYRANITSDVKTESWQSNDFKEVLTGSDEGVGSPATVPDEEHGRIGDDGRKSGEVSKATPTSTVCEHKLGKLNDASFSSMNALIESCAKYSEGNASLSVGDDGGMNLLASVAAGEMSKSDMVSPTGSPRRNMPIEHPCVPSGLRAKSSPCDDPAQSQGKPVDGVDYEDEKRGITVGTSLSKNTEAKTVLFSQEKSTGELNGPPNSSHVDVQQTAKPCLESYLKSEETLVAAVSSASTAVKTSNCGGKEPWEKEDGGRSNVDGISDDKEKLHGSVFNDINNTGVQVAIEAMEGSSSNHRVEFDAENKKNINKELNISIKAEPAPPAIMLSDFAKGTINEVLQPSSSGKDMDSENLHEVKAGETDGRSHSTEKNKIENESNTASAATDHEGECKVESLGGNQVDEQCSTGPAAHKAAPILFQAPEQIVRSTESKFAGTGTDETEECTSDAAEASSLSAAGGSDLEAKVEFDLNEGFISDDGKYGESSDLRAPGCSSAIQLVSPLPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLGTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQEAVSVSDLAKNNDCARDALMGSISVRSSGGLDLDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCWDFDLNDGPLVDEVSAEPSQLGRHTQNIVPSQPSISSLRMNSTEMGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPIVATGGPQRILASSTGSNPFNPDVYRGAVLSSSPAVPFPSTPFQYPVFPFGTSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVVAQVGVVSSHYPRPYAVNLPDSNNNGAVESSRKWVRQGLDLNAGPLGADIEGRNETSALASRQLSVASSQAHAEELSRMYQATSGGFLKRKEPEGGWDGYKQSSWQKG >Potri.015G072800.4.v4.1 pep chromosome:Pop_tri_v4:15:9841645:9848943:1 gene:Potri.015G072800.v4.1 transcript:Potri.015G072800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072800.v4.1 MHATVQPGGRSPKPVNGPTSTSQLKPVSDSVQNSVSSFSSYGKGKKRERGDQGSEPVKRERFTKMDDGDSGHSRPESMWKSEVSKFTEKGGLVDSEGVEKLVHIMLPERNEKKIDLVGRSILAGVVAATDKFECLNQFVQLRGLPVFDEWLQEVHKGKIGDGSPKDGDKSVEEFLVVLLRALDKLPVNLHALQMCNIGKSVNLLRTHKNLEIQKKARSLVDTWKKRVEAEMDANAKSASNQGVSWPARSRLSEVPHGGNRQSGVSSEVAMKSSVVQLSASKTGSVKAVQGDTVTKSASTSPGPVRSTTSPGSVGNNSKETQPRNTGASAASDPSPTVARDEKSSSSSPSHNNSQSCSSDHAKTGGFSGKEDARSSTAGSMTANKIIVGSLRHRKSVNGFPGQALSGVQKETGSSRNSSLHRNSGSEKLSHSSLTCEKALDVPMTEGNGHKFIVKIPNRGRSPAQSSSGGTFEDASVMNSRASSPVISERHDQFDHNLKEKNDSYRANITSDVKTESWQSNDFKEVLTGSDEGVGSPATVPDEEHGRIGDDGRKSGEVSKATPTSTVCEHKLGKLNDASFSSMNALIESCAKYSEGNASLSVGDDGGMNLLASVAAGEMSKSDMVSPTGSPRRNMPIEHPCVPSGLRAKSSPCDDPAQSQGKPVDGVDYEDEKRGITVGTSLSKNTEAKTVLFSQEKSTGELNGPPNSSHVDVQQTAKPCLESYLKSEETLVAAVSSASTAVKTSNCGGKEPWEKEDGGRSNVDGISDDKEKLHGSVFNDINNTGVQVAIEAMEGSSSNHRVEFDAENKKNINKELNISIKAEPAPPAIMLSDFAKGTINEVLQPSSSGKDMDSENLHEVKAGETDGRSHSTEKNKIENESNTASAATDHEGECKVESLGGNQVDEQCSTGPAAHKAAPILFQAPEQIVRSTESKFAGTGTDETEECTSDAAEASSLSAAGGSDLEAKVEFDLNEGFISDDGKYGESSDLRAPGCSSAIQLVSPLPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLGTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQEAVSVSDLAKNNDCARDALMGSISVRSSGGLDLDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCWDFDLNDGPLVDEVSAEPSQLGRHTQNIVPSQPSISSLRMNSTEMGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPIVATGGPQRILASSTGSNPFNPDVYRGAVLSSSPAVPFPSTPFQYPVFPFGTSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVVAQVGVVSSHYPRPYAVNLPDSNNNGAVESSRKWVRQGLDLNAGPLGADIEGRNETSALASRQLSVASSQAHAEELSRMYQATSGGFLKRKEPEGGWDGYKQSSWQKG >Potri.015G072800.5.v4.1 pep chromosome:Pop_tri_v4:15:9840466:9848713:1 gene:Potri.015G072800.v4.1 transcript:Potri.015G072800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072800.v4.1 MLHGREGEERKKDHRHMWTGPTRGNSAVAGDDVSNSFFKDGRKISVGDCALFKPPQDSPPFIGIIRWLTNGKENKLKLGVNWLYRPAEVKLGKGILLEAVPNEIFYSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDVTNKCLWWLTDQDYINERQEEVDHLLDKTRLEMHATVQPGGRSPKPVNGPTSTSQLKPVSDSVQNSVSSFSSYGKGKKRERGDQGSEPVKRERFTKMDDGDSGHSRPESMWKSEVSKFTEKGGLVDSEGVEKLVHIMLPERNEKKIDLVGRSILAGVVAATDKFECLNQFVQLRGLPVFDEWLQEVHKGKIGDGSPKDGDKSVEEFLVVLLRALDKLPVNLHALQMCNIGKSVNLLRTHKNLEIQKKARSLVDTWKKRVEAEMDANAKSASNQGVSWPARSRLSEVPHGGNRQSGVSSEVAMKSSVVQLSASKTGSVKAVQGDTVTKSASTSPGPVRSTTSPGSVGNNSKETQPRNTGASAASDPSPTVARDEKSSSSSPSHNNSQSCSSDHAKTGGFSGKEDARSSTAGSMTANKIIVGSLRHRKSVNGFPGQALSGVQKETGSSRNSSLHRNSGSEKLSHSSLTCEKALDVPMTEGNGHKFIVKIPNRGRSPAQSSSGGTFEDASVMNSRASSPVISERHDQFDHNLKEKNDSYRANITSDVKTESWQSNDFKEVLTGSDEGVGSPATVPDEEHGRIGDDGRKSGEVSKATPTSTVCEHKLGKLNDASFSSMNALIESCAKYSEGNASLSVGDDGGMNLLASVAAGEMSKSDMVSPTGSPRRNMPIEHPCVPSGLRAKSSPCDDPAQSQGKPVDGVDYEDEKRGITVGTSLSKNTEAKTVLFSQEKSTGELNGPPNSSHVDVQQTAKPCLESYLKSEETLVAAVSSASTAVKTSNCGGKEPWEKEDGGRSNVDGISDDKEKLHGSVFNDINNTGVQVAIEAMEGSSSNHRVEFDAENKKNINKELNISIKAEPAPPAIMLSDFAKGTINEVLQPSSSGKDMDSENLHEVKAGETDGRSHSTEKNKIENESNTASAATDHEGECKVESLGGNQVDEQCSTGPAAHKAAPILFQAPEQIVRSTESKFAGTGTDETEECTSDAAEASSLSAAGGSDLEAKVEFDLNEGFISDDGKYGESSDLRAPGCSSAIQLVSPLPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLGTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQEAVSVSDLAKNNDCARDALMGSISVRSSGGLDLDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCWDFDLNDGPLVDEVSAEPSQLGRHTQNIVPSQPSISSLRMNSTEMGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPIVATGGPQRILASSTGSNPFNPDVYRGAVLSSSPAVPFPSTPFQYPVFPFGTSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVVAQVGVVSSHYPRPYAVNLPDSNNNGAVESSRKWVRQGLDLNAGPLGADIEGRNETSALASRQLSVASSQAHAEELSRMYQATSGGFLKRKEPEGGWDGYKQSSWQKG >Potri.015G072800.9.v4.1 pep chromosome:Pop_tri_v4:15:9840849:9848332:1 gene:Potri.015G072800.v4.1 transcript:Potri.015G072800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072800.v4.1 MHATVQPGGRSPKPVNGPTSTSQLKPVSDSVQNSVSSFSSYGKGKKRERGDQGSEPVKRERFTKMDDGDSGHSRPESMWKSEVSKFTEKGGLVDSEGVEKLVHIMLPERNEKKIDLVGRSILAGVVAATDKFECLNQFVQLRGLPVFDEWLQEVHKGKIGDGSPKDGDKSVEEFLVVLLRALDKLPVNLHALQMCNIGKSVNLLRTHKNLEIQKKARSLVDTWKKRVEAEMDANAKSASNQGVSWPARSRLSEVPHGGNRQSGVSSEVAMKSSVVQLSASKTGSVKAVQGDTVTKSASTSPGPVRSTTSPGSVGNNSKETQPRNTGASAASDPSPTVARDEKSSSSSPSHNNSQSCSSDHAKTGGFSGKEDARSSTAGSMTANKIIVGSLRHRKSVNGFPGQALSGVQKETGSSRNSSLHRNSGSEKLSHSSLTCEKALDVPMTEGNGHKFIVKIPNRGRSPAQSSSGGTFEDASVMNSRASSPVISERHDQFDHNLKEKNDSYRANITSDVKTESWQSNDFKEVLTGSDEGVGSPATVPDEEHGRIGDDGRKSGEVSKATPTSTVCEHKLGKLNDASFSSMNALIESCAKYSEGNASLSVGDDGGMNLLASVAAGEMSKSDMVSPTGSPRRNMPIEHPCVPSGLRAKSSPCDDPAQSQGKPVDGVDYEDEKRGITVGTSLSKNTEAKTVLFSQEKSTGELNGPPNSSHVDVQQTAKPCLESYLKSEETLVAAVSSASTAVKTSNCGGKEPWEKEDGGRSNVDGISDDKEKLHGSVFNDINNTGVQVAIEAMEGSSSNHRVEFDAENKKNINKELNISIKAEPAPPAIMLSDFAKGTINEVLQPSSSGKDMDSENLHEVKAGETDGRSHSTEKNKIENESNTASAATDHEGECKVESLGGNQVDEQCSTGPAAHKAAPILFQAPEQIVRSTESKFAGTGTDETEECTSDAAEASSLSAAGGSDLEAKVEFDLNEGFISDDGKYGESSDLRAPGCSSAIQLVSPLPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLGTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQEAVSVSDLAKNNDCARDALMGSISVRSSGGLDLDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCWDFDLNDGPLVDEVSAEPSQLGRHTQNIVPSQPSISSLRMNSTEMGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPIVATGGPQRILASSTGSNPFNPDVYRGAVLSSSPAVPFPSTPFQYPVFPFGTSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVVAQVGVVSSHYPRPYAVNLPDSNNNGAVESSRKWVRQGLDLNAGPLGADIEGRNETSALASRQLSVASSQAHAEELSRMYQATSGGFLKRKEPEGGWDGYKQSSWQKG >Potri.008G186800.1.v4.1 pep chromosome:Pop_tri_v4:8:13022591:13027806:-1 gene:Potri.008G186800.v4.1 transcript:Potri.008G186800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186800.v4.1 MKGMQMGLWWVWVYGFLLIGLSLYATQRLPSFKLTRPQNFNHKGLSPPTITIFSAPTDPFAASADSKQSLAIRSWLALSPQIIVVLFTQQPSFASAFGSRVLVDSTIDFTFLGTPFFHSMLEKSRLFTTDIAVFVDPQTVLLPDLISTLNYAHKLDRDWLLVASLRNVSYFPFHLDDAGEHWLREDGQRVRRQELQEILGHHWEWNHCEDRMLMAWNNRNLPLHNGVLPPFLYGKGIHNHWVVNEAVSSELRLVFDASWTISCLSLNYPEHWSELSVRGSSVLEIENRRWEEGGNSHLGALYGSMFFREINYSGLVNLLNCEGQYLFADRTEDSVYPSVCQTGSGWTRRVLRSCTQRKRMVSAENVKSQNRTLNCSMRDKLKISESLDFPFSLVSLLSITADENKTLVLAVAGYSYKDMLMSWVCRLHQLRVTNFIICALDQETYQFSVLQGLPVFHDPSAPRNISFNDCHFGTTCFQRVTKVKSRMVWKILKLGYNVLLSDVDVYWFGNPLPLLYSFGPGVLVAQSDEYNYTGPVNLPRRLNSGFYFARSDASSVAAMEKVVKHAARSNLSEQPSFYDTLCGEGGSYRISDNRCVEPETNLTIHFLDRNLFPNGAYLNLWQKKNVKKACMKKGCLILHNNWISGRVKKLERQVVSGLWEYDISRRMCLQR >Potri.008G186800.2.v4.1 pep chromosome:Pop_tri_v4:8:13023031:13027604:-1 gene:Potri.008G186800.v4.1 transcript:Potri.008G186800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186800.v4.1 MLMAWNNRNLPLHNGVLPPFLYGKGIHNHWVVNEAVSSELRLVFDASWTISCLSLNYPEHWSELSVRGSSVLEIENRRWEEGGNSHLGALYGSMFFREINYSGLVNLLNCEGQYLFADRTEDSVYPSVCQTGSGWTRRVLRSCTQRKRMVSAENVKSQNRTLNCSMRDKLKISESLDFPFSLVSLLSITADENKTLVLAVAGYSYKDMLMSWVCRLHQLRVTNFIICALDQETYQFSVLQGLPVFHDPSAPRNISFNDCHFGTTCFQRVTKVKSRMVWKILKLGYNVLLSDVDVYWFGNPLPLLYSFGPGVLVAQSDEYNYTGPVNLPRRLNSGFYFARSDASSVAAMEKVVKHAARSNLSEQPSFYDTLCGEGGSYRISDNRCVEPETNLTIHFLDRNLFPNGAYLNLWQKKNVKKACMKKGCLILHNNWISGRVKKLERQVVSGLWEYDISRRMCLQR >Potri.009G094500.1.v4.1 pep chromosome:Pop_tri_v4:9:8562882:8563850:-1 gene:Potri.009G094500.v4.1 transcript:Potri.009G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094500.v4.1 MACLSIFLAFLLTLSTLNGINAVEYTVTNRAPTTPGGIRFSNELGDNYTIKTMDQATIFFWRLFQQNTEADRKDVPRVSLFVDDMERIAYASNNEIHVGDDYIEGIKGDIKWDFNGVLYHEMAHTWQWDGSAGTKAPVELIEGIADFMRLKANYAPSHWVKPGQGDSWDQGYDVTARFLDYCNDLRNGFVAELNKKMRVTYSDNFFVELLGKPVDQLWRDYKANFGN >Potri.016G046000.2.v4.1 pep chromosome:Pop_tri_v4:16:2945610:2946952:-1 gene:Potri.016G046000.v4.1 transcript:Potri.016G046000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046000.v4.1 MEELMMRKQPAACIPTSITPSPITMHKNSHTIAKVKPKIRIIHIFAPEIIKTDAANFRELVQRLTGKPSDQKGGCRQKPRRARTQDQPRNCNSDLCEEKPVMTKKVEPRSGFGSSLGSRERVKEEEEMWNGAYSGSFLGGFTDLDGFIQELGEFPLLPMDANHIHELGQTQLA >Potri.011G161901.3.v4.1 pep chromosome:Pop_tri_v4:11:18672531:18681362:-1 gene:Potri.011G161901.v4.1 transcript:Potri.011G161901.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161901.v4.1 MAADVSVKKEATVNNPCCKVWKEKCGKLEEGRKCLRQAVKLLTEQADKFQAENVSINKACEEERAKVEAAKEGREKEAALRVKLEKEISALQSEVSTLNQKGSAFPEVENTEVKLLQDQIFKGEKEISRLKELLEREKLRADSEKKNAEVEKKSAADAWKHVKAEKEGKEKEAALRVSLENEISALKSEISSLQQKGSMVDEDKNGEVKLLQDQVSKGEKEISRLKELHEREKTRAESEKKKAEVERKRAAEAWQQVKAEKAKADEERKHASSEWKKAEEYRLQLETLTKEAELAKSKLASETLKFEEANKKFEAEKLKVTKEKKHADSEMAKAEAHRKLAEANWKKLMEEQSHTENICKQLEDARKRIEKPQKAEEYQRQLESLKKEAAESKSKLVAETLKLEDANKMLEAEKAKVMKERKRADSEVATAKEQRKLAETNGRKVIEEKSRADNLSRQLEDARIKIEELEKGINGFIQSKNMGGTFDDQHDETTNGEDATIRDSLENLKNNSDQSKLVLEFLNNKEATKRLDIEKRKAITEKKRADSEMVKAEKLRNLSKMNRKIAAEEKSRADQLSRQLDEDKIKIEELQKQIQELQSSKKVVVASSVLPDKVMNVEKTKLKFLEKQVKLEKMRLKHAKVVAKMEKNRNSFLQQELARLKLDFGQMLFRLDVLDRYFSSSDGGTEKMFGNHGTMQRSKLNRKLCAEEQCQMYSNNESELLKPSCMALAVSEPPTQTLHCTVPLVSPSSGNYAASISGIDSKLESLLGGSNQKLLQTSAINSSSASFSDGQLVGSQERGPFFPTSKNLVEDNFRAQTTISGMSDEVTKVQHNENLAVVADNSVRSPPSFDVIGRVNRHGRKRRILDAVESVELLYSEGKKLHLQMEEKLSALHGMLNRQIEKPKEEAKYVEPNLQGGSYGKHGRIHKKKKISHEENVIVHRLSGIDQLEKTEITGKEVHEDANACGYISTTANNLLEASKACREGLSYSFESSPEGMVSFEEVANGDYMKLLDLDNTADEECYRRAMEMPMSPILPEIGSSGAEISDNMDNFKPMLDESFPGSLPNGKESLVPYFRLDVIDAEISSKQLKDCSFGISCADGLHENGGHADSLDTLGNRSGTGNDVDAGKASDGQTRGCGSGLEIEMLNIPSSSYEGLKFPIEGEPGSRHDNIPKYCVMQSDIKDTISMSRVLSATRTCMTRCSLDIQADCLVQKILCALKLEENSLPKEKACTFFTLLLLNFSACNWGQFGSFSDQDFLFCLDSFAKDIFAAVSDVEARNLFAEACCLDELLGLIEEFLLDGKLMIYADLSSESLSGCDSMIDILLDGVNIKFASKSASADLLVAGSIILASICAAVDCIGFLCQASYSLLLMHKCDTVFVLTILHIFSYLAGEKFFSLREHNLTMTVLKSIIMFLEGGDSPVASAASSLTRYKGGMFHPCAKCPFSTDAVSIDTVTSVLLEKLQNCAVSGIMHHPMKSPSVSNSNVLCCKDTAKLSLNQEEVHSALDMNCDTSCSLKKCVMPARSNSIMNETLCGLSDLLSLVELLACNMSWEWTCSKIIPELLEMLERTKLDNFAAAVLILLGQLGRLGVSAFGYEDNGVENLRCKLSGFLSRDATIRMALPVQIALATALLGLLSLDFEKLIQSNSCLPAMSRQSVSIDHIRSWFSSLTKEQQALSLSLLPSSDVR >Potri.011G161901.2.v4.1 pep chromosome:Pop_tri_v4:11:18672579:18681362:-1 gene:Potri.011G161901.v4.1 transcript:Potri.011G161901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161901.v4.1 MAADVSVKKEATVNNPCCKVWKEKCGKLEEGRKCLRQAVKLLTEQADKFQAENVSINKACEEERAKVEAAKEGREKEAALRVKLEKEISALQSEVSTLNQKGSAFPEVENTEVKLLQDQIFKGEKEISRLKELLEREKLRADSEKKNAEVEKKSAADAWKHVKAEKEGKEKEAALRVSLENEISALKSEISSLQQKGSMVDEDKNGEVKLLQDQVSKGEKEISRLKELHEREKTRAESEKKKAEVERKRAAEAWQQVKAEKAKADEERKHASSEWKKAEEYRLQLETLTKEAELAKSKLASETLKFEEANKKFEAEKLKVTKEKKHADSEMAKAEAHRKLAEANWKKLMEEQSHTENICKQLEDARKRIEKPQKAEEYQRQLESLKKEAAESKSKLVAETLKLEDANKMLEAEKAKVMKERKRADSEVATAKEQRKLAETNGRKVIEEKSRADNLSRQLEDARIKIEELEKGINGFIQSKNMGGTFDDQHDETTNGEDATIRDSLENLKNNSDQSKLVLEFLNNKEATKRLDIEKRKAITEKKRADSEMVKAEKLRNLSKMNRKIAAEEKSRADQLSRQLDEDKIKIEELQKQIQELQSSKKVVVASSVLPDKVMNVEKTKLKFLEKQVKLEKMRLKHAKVVAKMEKNRNSFLQQELARLKLDFGQMLFRLDVLDRYFSSSDGGTEKMVKFGNHGTMQRSKLNRKLCAEEQCQMYSNNESELLKPSCMALAVSEPPTQTLHCTVPLVSPSSGNYAASISGIDSKLESLLGGSNQKLLQTSAINSSSASFSDGQLVGSQERGPFFPTSKNLVEDNFRAQTTISGMSDEVTKVQHNENLAVVADNSVRSPPSFDVIGRVNRHGRKRRILDAVESVELLYSEGKKLHLQMEEKLSALHGMLNRQIEKPKEEAKYVEPNLQGGSYGKHGRIHKKKKISHEENVIVHRLSGIDQLEKTEITGKEVHEDANACGYISTTANNLLEASKACREGLSYSFESSPEGMVSFEEVANGDYMKLLDLDNTADEECYRRAMEMPMSPILPEIGSSGAEISDNMDNFKPMLDESFPGSLPNGKESLVPYFRLDVIDAEISSKQLKDCSFGISCADGLHENGGHADSLDTLGNRSGTGNDVDAGKASDGQTRGCGSGLEIEMLNIPSSSYEGLKFPIEGEPGSRHDNIPKYCVMQSDIKDTISMSRVLSATRTCMTRCSLDIQADCLVQKILCALKLEENSLPKEKACTFFTLLLLNFSACNWGQFGSFSDQDFLFCLDSFAKDIFAAVSDVEARNLFAEACCLDELLGLIEEFLLDGKLMIYADLSSESLSGCDSMIDILLDGVNIKFASKSASADLLVAGSIILASICAAVDCIGFLCQASYSLLLMHKCDTVFVLTILHIFSYLAGEKFFSLREHNLTMTVLKSIIMFLEGGDSPVASAASSLTRYKGGMFHPCAKCPFSTDAVSIDTVTSVLLEKLQNCAVSGIMHHPMKSPSVSNSNVLCCKDTAKLSLNQEEVHSALDMNCDTSCSLKKCVMPARSNSIMNETLCGLSDLLSLVELLACNMSWEWTCSKIIPELLEMLERTKLDNFAAAVLILLGQLGRLGVSAFGYEDNGVENLRCKLSGFLSRDATIRMALPVQIALATALLGLLSLDFEKLIQSNSCLPAMSRQSVSIDHIRSWFSSLTKEQQALSLSLLPSSDVR >Potri.011G161901.1.v4.1 pep chromosome:Pop_tri_v4:11:18672605:18679988:-1 gene:Potri.011G161901.v4.1 transcript:Potri.011G161901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161901.v4.1 MLPPSQERGPFFPTSKNLVEDNFRAQTTISGMSDEVTKVQHNENLAVVADNSVRSPPSFDVIGRVNRHGRKRRILDAVESVELLYSEGKKLHLQMEEKLSALHGMLNRQIEKPKEEAKYVEPNLQGGSYGKHGRIHKKKKISHEENVIVHRLSGIDQLEKTEITGKEVHEDANACGYISTTANNLLEASKACREGLSYSFESSPEGMVSFEEVANGDYMKLLDLDNTADEECYRRAMEMPMSPILPEIGSSGAEISDNMDNFKPMLDESFPGSLPNGKESLVPYFRLDVIDAEISSKQLKDCSFGISCADGLHENGGHADSLDTLGNRSGTGNDVDAGKASDGQTRGCGSGLEIEMLNIPSSSYEGLKFPIEGEPGSRHDNIPKYCVMQSDIKDTISMSRVLSATRTCMTRCSLDIQADCLVQKILCALKLEENSLPKEKACTFFTLLLLNFSACNWGQFGSFSDQDFLFCLDSFAKDIFAAVSDVEARNLFAEACCLDELLGLIEEFLLDGKLMIYADLSSESLSGCDSMIDILLDGVNIKFASKSASADLLVAGSIILASICAAVDCIGFLCQASYSLLLMHKCDTVFVLTILHIFSYLAGEKFFSLREHNLTMTVLKSIIMFLEGGDSPVASAASSLTRYKGGMFHPCAKCPFSTDAVSIDTVTSVLLEKLQNCAVSGIMHHPMKSPSVSNSNVLCCKDTAKLSLNQEEVHSALDMNCDTSCSLKKCVMPARSNSIMNETLCGLSDLLSLVELLACNMSWEWTCSKIIPELLEMLERTKLDNFAAAVLILLGQLGRLGVSAFGYEDNGVENLRCKLSGFLSRDATIRMALPVQIALATALLGLLSLDFEKLIQSNSCLPAMSRQSVSIDHIRSWFSSLTKEQQALSLSLLPSSDVR >Potri.011G161901.5.v4.1 pep chromosome:Pop_tri_v4:11:18672586:18677816:-1 gene:Potri.011G161901.v4.1 transcript:Potri.011G161901.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161901.v4.1 MQRSKLNRKLCAEEQCQMYSNNESELLKPSCMALAVSEPPTQTLHCTVPLVSPSSGNYAASISGIDSKLESLLGGSNQKLLQTSAINSSSASFSDGQLVGSQERGPFFPTSKNLVEDNFRAQTTISGMSDEVTKVQHNENLAVVADNSVRSPPSFDVIGRVNRHGRKRRILDAVESVELLYSEGKKLHLQMEEKLSALHGMLNRQIEKPKEEAKYVEPNLQGGSYGKHGRIHKKKKISHEENVIVHRLSGIDQLEKTEITGKEVHEDANACGYISTTANNLLEASKACREGLSYSFESSPEGMVSFEEVANGDYMKLLDLDNTADEECYRRAMEMPMSPILPEIGSSGAEISDNMDNFKPMLDESFPGSLPNGKESLVPYFRLDVIDAEISSKQLKDCSFGISCADGLHENGGHADSLDTLGNRSGTGNDVDAGKASDGQTRGCGSGLEIEMLNIPSSSYEGLKFPIEGEPGSRHDNIPKYCVMQSDIKDTISMSRVLSATRTCMTRCSLDIQADCLVQKILCALKLEENSLPKEKACTFFTLLLLNFSACNWGQFGSFSDQDFLFCLDSFAKDIFAAVSDVEARNLFAEACCLDELLGLIEEFLLDGKLMIYADLSSESLSGCDSMIDILLDGVNIKFASKSASADLLVAGSIILASICAAVDCIGFLCQASYSLLLMHKCDTVFVLTILHIFSYLAGEKFFSLREHNLTMTVLKSIIMFLEGGDSPVASAASSLTRYKGGMFHPCAKCPFSTDAVSIDTVTSVLLEKLQNCAVSGIMHHPMKSPSVSNSNVLCCKDTAKLSLNQEEVHSALDMNCDTSCSLKKCVMPARSNSIMNETLCGLSDLLSLVELLACNMSWEWTCSKIIPELLEMLERTKLDNFAAAVLILLGQLGRLGVSAFGYEDNGVENLRCKLSGFLSRDATIRMALPVQIALATALLGLLSLDFEKLIQSNSCLPAMSRQSVSIDHIRSWFSSLTKEQQALSLSLLPSSDVR >Potri.011G161901.4.v4.1 pep chromosome:Pop_tri_v4:11:18672503:18680504:-1 gene:Potri.011G161901.v4.1 transcript:Potri.011G161901.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161901.v4.1 MVDEDKNGEVKLLQDQVSKGEKEISRLKELHEREKTRAESEKKKAEVERKRAAEAWQQVKAEKAKADEERKHASSEWKKAEEYRLQLETLTKEAELAKSKLASETLKFEEANKKFEAEKLKVTKEKKHADSEMAKAEAHRKLAEANWKKLMEEQSHTENICKQLEDARKRIEKPQKAEEYQRQLESLKKEAAESKSKLVAETLKLEDANKMLEAEKAKVMKERKRADSEVATAKEQRKLAETNGRKVIEEKSRADNLSRQLEDARIKIEELEKGINGFIQSKNMGGTFDDQHDETTNGEDATIRDSLENLKNNSDQSKLVLEFLNNKEATKRLDIEKRKAITEKKRADSEMVKAEKLRNLSKMNRKIAAEEKSRADQLSRQLDEDKIKIEELQKQIQELQSSKKVVVASSVLPDKVMNVEKTKLKFLEKQVKLEKMRLKHAKVVAKMEKNRNSFLQQELARLKLDFGQMLFRLDVLDRYFSSSDGGTEKMVKFGNHGTMQRSKLNRKLCAEEQCQMYSNNESELLKPSCMALAVSEPPTQTLHCTVPLVSPSSGNYAASISGIDSKLESLLGGSNQKLLQTSAINSSSASFSDGQLVGSQERGPFFPTSKNLVEDNFRAQTTISGMSDEVTKVQHNENLAVVADNSVRSPPSFDVIGRVNRHGRKRRILDAVESVELLYSEGKKLHLQMEEKLSALHGMLNRQIEKPKEEAKYVEPNLQGGSYGKHGRIHKKKKISHEENVIVHRLSGIDQLEKTEITGKEVHEDANACGYISTTANNLLEASKACREGLSYSFESSPEGMVSFEEVANGDYMKLLDLDNTADEECYRRAMEMPMSPILPEIGSSGAEISDNMDNFKPMLDESFPGSLPNGKESLVPYFRLDVIDAEISSKQLKDCSFGISCADGLHENGGHADSLDTLGNRSGTGNDVDAGKASDGQTRGCGSGLEIEMLNIPSSSYEGLKFPIEGEPGSRHDNIPKYCVMQSDIKDTISMSRVLSATRTCMTRCSLDIQADCLVQKILCALKLEENSLPKEKACTFFTLLLLNFSACNWGQFGSFSDQDFLFCLDSFAKDIFAAVSDVEARNLFAEACCLDELLGLIEEFLLDGKLMIYADLSSESLSGCDSMIDILLDGVNIKFASKSASADLLVAGSIILASICAAVDCIGFLCQASYSLLLMHKCDTVFVLTILHIFSYLAGEKFFSLREHNLTMTVLKSIIMFLEGGDSPVASAASSLTRYKGGMFHPCAKCPFSTDAVSIDTVTSVLLEKLQNCAVSGIMHHPMKSPSVSNSNVLCCKDTAKLSLNQEEVHSALDMNCDTSCSLKKCVMPARSNSIMNETLCGLSDLLSLVELLACNMSWEWTCSKIIPELLEMLERTKLDNFAAAVLILLGQLGRLGVSAFGYEDNGVENLRCKLSGFLSRDATIRMALPVQIALATALLGLLSLDFEKLIQSNSCLPAMSRQSVSIDHIRSWFSSLTKEQQALSLSLLPSSDVR >Potri.004G177400.2.v4.1 pep chromosome:Pop_tri_v4:4:19232045:19240279:1 gene:Potri.004G177400.v4.1 transcript:Potri.004G177400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177400.v4.1 MLLGCCRFPLTSYVFANTRCRDKSRSGLSRKAATRQIHASNGEYNNNKKKVVIVGSGWAGLGAAYHLCNQGFDVTVLGDGYDFGDPDDVGIHGFWYPYKNIFSLVDELGMKPFTNWLQSAQYSKEGLEVEFPVLQDRPQLPAPLGTLFYTQFNRLPLVDRLTSVPLMAAVIDFDNTDMAWRKYDSVTTRELLKQSGFSERLYQDVFGSLLQVGLCAPLEQCSAAAALGMLQFMAISHQGDLDIVWCRGSVRERIFNPWIELMSSKGCQFVDSKKVIDFSFDEETGCISDVVCDNETYKADAVILAVGISTVQELTKNSAALNTREEFLKVLNLAASDLVSTKLWLDKKIRIPFARNVCSSFDDSSGWTFFNLNELFDEHRNSPVTIVQADFYHGNELVPLKDEYIATKVMPYLSKCVKDFEAARVTNVEIARFPKSMTHFIPGSYKYMMRGSTSFPNLFMAGDWIISRHGSWSQEKSYVTGLEGANRVVDFLEEGNYAKIIPLEEDEPHIQALRNLNRNFKEFNSQFPLSDYFLQ >Potri.009G029000.1.v4.1 pep chromosome:Pop_tri_v4:9:4003168:4004395:1 gene:Potri.009G029000.v4.1 transcript:Potri.009G029000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029000.v4.1 MITDNSVDKNTPYSSKPLSHKASPFICNTHPFKPKMSRLTFTIHLLLALLLLVASQQHFPSIIKVQAIEAVHFKLKPRQLTSKLHVGDDLPNWVAEKRIHKSSSGPNPVGNRNPPSKQ >Potri.016G007500.1.v4.1 pep chromosome:Pop_tri_v4:16:364884:370048:1 gene:Potri.016G007500.v4.1 transcript:Potri.016G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007500.v4.1 MSANDDAFVAWEEHIICHERGSRVVHYHLKDTFGDLVLAVIGTERSIRHMTYVVSDEFLEAYGSNESINASTKWRARREVVDWLTSMVSNEGSPLHVSNAQINGSAQGSGSLGASMTGLCSSKTYLPVRMARSKLKVQNPHIKWSGAAWICAKELRHYPAFFRNGTTITVHSFVFIMAEEKSRYLGYLEDMYEDKKGRKKVKVRWFHHNQEVKGVIPQLNPHPQEVFITPNVQVINAEYIDCPATVLTPRHYDKCVAVVPHASTLGVHMCFRQFKNNKIKPFALTKLHGYSNQAILSALDGSIVPEQKVRYHNQYKEDDEELTHDNCTRVGSKRNRTSKEQDRLESRSDLRNWGCGNPIAKCKSRYPKLKLRLSKKTMGIEFVMPQSKCPAPFKVNEKIELLCQDSGIRGCWFRCKVLQASQKHLKVQYEDIQDVEGSGNLEEWVPSSRVAAPDKLGMRCFGRQTIRPHPQNHSAENVFEVGTPIDAWWSDGWWEGIAVGVDISGGDCLRVYLPGEGKFLAVPRKYTRSSRDWVDNKWVDVMAKPDILHYLSSDAISSIKLEAQGCDSAASLEHKVVKTSRLEAIEEDEPLPGSVLSYDLKNVKEVYLRQRPDVNEKDERKIHGGVDNDDVSGDCDGEEKANIAADGGGEENAGNNTGLLLEDDLKSVNKTSEAAKALEMINQVA >Potri.008G225301.1.v4.1 pep chromosome:Pop_tri_v4:8:19178355:19179394:-1 gene:Potri.008G225301.v4.1 transcript:Potri.008G225301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G225301.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQGGREGSRRDKQQGGREGSRRDKRQAAGGKRRGQSCGGLSAPARKTEARPRQQGRHATSVELSSQSPSSAVRPSRVGQASNLRARAAATAAGREGSLRDKGQAAGGNDGDNHAGGCQPRLGRRRPGLGSGHITPRGRGLQGEPTHGRAHGNLMPRPRQRRALLAIPKLGGPHQPRQPGLRLASRGSGHRRRDVAASRRPRSSCQHLGTSTANECHAHAADKQPQRARRLGAGPEDGGRNRVVAGRKTGHRCRQYFGP >Potri.007G056800.7.v4.1 pep chromosome:Pop_tri_v4:7:5952562:5956337:1 gene:Potri.007G056800.v4.1 transcript:Potri.007G056800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056800.v4.1 MEEETSKLPLPDAFLDFLQTNGIDPLIYTAIESTPRYIRLKPGSEAYLEEIEAEIKCRLQKLNWLPGFYSLPPHIQIANSKPYKEGKIYGIDAASGAAVLALDISVGDHVLDLCCAPGAKLCMISDLLGDSGSVTGVDVARHRLAACRTMLQKYVLGDACRLFVADGTTFSLMPVRDHLDSISCEFALEEKKDTFREWTSRRPWKERKRAARARENAASRLVSETDHPELIFYGKASGVVGLSKDELYQTVSSSEVSSSGYDKVLVDAECTHDGSIRHIQKFEHWGWETLNGRVLNAERSDNLTTLQLKLLINGFRLLRVGGSLVYSTCSLTVSQNENVVEWFLKENSSAALQEIEAAKDWPCKSGRIPKTLRFDPVTSKTSGLFVAKFTKLAV >Potri.007G056800.9.v4.1 pep chromosome:Pop_tri_v4:7:5952562:5956499:1 gene:Potri.007G056800.v4.1 transcript:Potri.007G056800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056800.v4.1 MEEETSKLPLPDAFLDFLQTNGIDPLIYTAIESTPRYIRLKPGSEAYLEEIEAEIKCRLQKLNWLPGFYSLPPHIQIANSKPYKEGKIYGIDAASGAAVLALDISVGDHVLDLCCAPGAKLCMISDLLGDSGSVTGVDVARHRLAACRTMLQKYVLGDACRLFVADGTTFSLMPVRDHLDSISCEFALEEKKDTFREWTSRRPWKERKRAARARENAASRLVSETDHPELIFYGKASGVVGLSKDELYQTVSSSEVSSSGYDKVLVDAECTHDGSIRHIQKFEHWGWETLNGRVLNAERSDNLTTLQLKLLINGFRLLRVGGSLVYSTCSLTVSQNENVVEWFLKENSSAALQEIEAAKDWPCKSGRIPKTLRFDPVTSKTSGLFVAKFTKLAV >Potri.001G244600.3.v4.1 pep chromosome:Pop_tri_v4:1:26184900:26190502:1 gene:Potri.001G244600.v4.1 transcript:Potri.001G244600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244600.v4.1 MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELDHLVNTKKVKMDVIKPWIANRVTELLGFEDEVLINFIYGLLDGKEVNGKEVQISLTGFMEKNTGKFMKELWTLLLSAGKNESGVPQQFLDAKEEETRKKQAEVDRIANEIQKKKEKEEESRELERERSKKMDDEVEKKANNAMEPASKHMLPKGSSGRAEDEKETVTRNGARGRKGSCHSIDHSFSSPIGSTSRISRSVSNSRSHSGHNSRSVSRSPEARGRRSMSSDRVYRSPRRRSITPPRRRSSYSKHRSRSPFRRRSPSPIRRRLRSPFRRRSPSPSHDRSPSPVRRRRSPSPVRRRRSPSPIRRRRSPLPIRRRRSPSLVRRKSPSFVRRRSPSPIRRKSSPSMRRKSPSPVRQRYQRSPSTPHHMSPPMRHKSSVISRKRSPSPLHRSPLPHGSSSPSPVQHRSPSSGRSPKELKRSPMQSLGERVRSQQTLLPVPQRSSSSFRSQQRDQKDQKDLHTRLPPLSPSPERSPPVGRKRSASEDKRSPSPYESPARQRRERITHDGSLSPLQQRVRKPLKDSPESGKDHEETGYTREGGDYNSTSSHKRPLYPSNMDKQRDPPVKFHCKDEQALERLASHQTTDSRNYPDNMDSRKKDQDIKIGKSSGRGGDQETLEAQKSPSLYKNEKDRSRLNNVKDSDKRRKSETVPVTAEKVHHSNGSGALDSGSEESGKHRGEKREKSKHKRSHRHEVALDDDGSHGSEIEERKETKRRRKEEKKLRKEEKRRRHEERRRKRAERHAEKLKLKGRDDASSSDDEHVGRRESHPSDDEETESDQRRLEIELRKKALESLKAKKGTSH >Potri.001G244600.1.v4.1 pep chromosome:Pop_tri_v4:1:26184900:26190478:1 gene:Potri.001G244600.v4.1 transcript:Potri.001G244600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244600.v4.1 MSGGFFRGTSADQDTRFSNKQAKLLKSQKFAPELDHLVNTKKVKMDVIKPWIANRVTELLGFEDEVLINFIYGLLDGKEVNGKEVQISLTGFMEKNTGKFMKELWTLLLSAGKNESGVPQQFLDAKEEETRKKQAEVDRIANEIQKKKEKEEESRELERERSKKMDDEVEKKANNAMEPASKHMLPKGSSGRAEDEKETVTRNGARGRKGGSTSRISRSVSNSRSHSGHNSRSVSRSPEARGRRSMSSDRVYRSPRRRSITPPRRRSSYSKHRSRSPFRRRSPSPIRRRLRSPFRRRSPSPSHDRSPSPVRRRRSPSPVRRRRSPSPIRRRRSPLPIRRRRSPSLVRRKSPSFVRRRSPSPIRRKSSPSMRRKSPSPVRQRYQRSPSTPHHMSPPMRHKSSVISRKRSPSPLHRSPLPHGSSSPSPVQHRSPSSGRSPKELKRSPMQSLGERVRSQQTLLPVPQRSSSSFRSQQRDQKDQKDLHTRLPPLSPSPERSPPVGRKRSASEDKRSPSPYESPARQRRERITHDGSLSPLQQRVRKPLKDSPESGKDHEETGYTREGGDYNSTSSHKRPLYPSNMDKQRDPPVKFHCKDEQALERLASHQTTDSRNYPDNMDSRKKDQDIKIGKSSGRGGDQETLEAQKSPSLYKNEKDRSRLNNVKDSDKRRKSETVPVTAEKVHHSNGSGALDSGSEESGKHRGEKREKSKHKRSHRHEVALDDDGSHGSEIEERKETKRRRKEEKKLRKEEKRRRHEERRRKRAERHAEKLKLKGRDDASSSDDEHVGRRESHPSDDEETESDQRRLEIELRKKALESLKAKKGTSH >Potri.001G218100.4.v4.1 pep chromosome:Pop_tri_v4:1:22793486:22800878:1 gene:Potri.001G218100.v4.1 transcript:Potri.001G218100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218100.v4.1 MESRMDQYEIMEQIGRGAFGAAILVNHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFTQLLLAVEYLHGNFVLHRDLKCSNIFLTKEQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAARRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPSASEILKHPYLQPYVDQYRPSFSPPTSLSSEKRLPRSRESRRSMAESQNSNSSSSDKDSLLSSERNIPAMVSNCDNKATDTDLASFDDEDGAEQPMPCEEENNLNVRIVKMNEQRVMKPCHDEIGCNVEPKQPKTIKSIMMALKEGKPRENGSPMRGNRTKTGSASTQRSNIEASPKVLRPNALASGLKFNADTPTVAPAKAALDSAKRIQGSHPMKHQLPIIESSPKTKPRYDGIPPAGPSKHVDDGLAVKPRQRTPPNLFQRSSFPGRTTQIGAGVPNGNMKLCPTETSEEPESTSYQVPDGRPYSSEEVSPESQRALVGACKGMQTESSNSVSSSVSIQAFELCDDATNPFVAMPEQTPPNHEAVICTENLEHYPPSCSPATTSFSGMSENLSGQNSECGHKSILYSVEASNVVIDLHKKTIVGDGREVESCALNPTAPSSEEIFICENNTQLSRLSAIPETVPQSNLISASGGDDKFTVRELLSSVSETTTSITSPISTGQKNMQPEKAMILQNSTTEKPAAAHLSPAFDDVIHVIRHSSFRVGNEQPVMETVEMGVQNVDVGKLLNVVRDELEMRNVSTAVTLKSSNCSEAVSLKSSISDQSGTKEIDLRNEIASVPQSDISELPKPNSSISEEEAPAKETLDVKSFRQRADALEGLLELSAELLQQSRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGMMIEESGRSS >Potri.001G218100.3.v4.1 pep chromosome:Pop_tri_v4:1:22793256:22800911:1 gene:Potri.001G218100.v4.1 transcript:Potri.001G218100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218100.v4.1 MESRMDQYEIMEQIGRGAFGAAILVNHKSEKKKYVLKKIRLARQTERCRRSAHQEMALIARIQHPYIVEFKEAWVEKGCYVCIVTGYCEGGDMAELMKKSNGVYFPEEKLCKWFTQLLLAVEYLHGNFVLHRDLKCSNIFLTKEQDVRLGDFGLAKTLKADDLASSVVGTPNYMCPELLADIPYGFKSDIWSLGCCMYEMAARRPAFKAFDMAGLISKINRSSIGPLPPCYSPSLKTLIKGMLRKNPEHRPSASEILKHPYLQPYVDQYRPSFSPPTSLSSEKRLPRSRESRRSMAESQNSNSSSSDKDSLLSSERNIPAMVSNCDNKATDTDLASFDDEDGAEQPMPCEEENNLNVRIVKMNEQRVMKPCHDEIGCNVEPKQPKTIKSIMMALKEGKPRENGSPMRGNRTKTGSASTQRSNIEASPKVLRPNALASGLKFNADTPTVAPAKAALDSAKRIQGSHPMKHQLPIIESSPKTKPRYDGIPPAGPSKHVDDGLAVKPRQRTPPNLFQRSSFPGRTTQIGAGVPNGNMKLCPTETSEEPESTSYQVPDGRPYSSEEVSPESQRALVGACKGMQTESSNSVSSSVSIQAFELCDDATNPFVAMPEQTPPNHEAVICTENLEHYPPSCSPATTSFSGMSENLSGQNSECGHKSILYSVEASNVVIDLHKKTIVGDGREVESCALNPTAPSSEEIFICENNTQLSRLSAIPETVPQSNLISASGGDDKFTVRELLSSVSETTTSITSPISTGQKNMQPEKAMILQNSTTEKPAAAHLSPAFDDVIHVIRHSSFRVGNEQPVMETVEMGVQNVDVGKLLNVVRDELEMRNVSTAVTLKSSNCSEAVSLKSSISDQSGTKEIDLRNEIASVPQSDISELPKPNSSISEEEAPAKETLDVKSFRQRADALEGLLELSAELLQQSRLEELAVVLKPFGKDKVSPRETAIWLAKSLKGMMIEESGRSS >Potri.006G022300.1.v4.1 pep chromosome:Pop_tri_v4:6:1511561:1513703:-1 gene:Potri.006G022300.v4.1 transcript:Potri.006G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022300.v4.1 MFRKILADKPHVICIPCPAQSHVKAMLKLAKLLHYRGFRITFVNTEFNHRRLLKSRGPYSLNGLPDFRFESIPDGLPPSDENATQDGQAILEACKKNLLAPFNELLAKLNDTASSDVPQVTCIVSDGFVPAAITAAQRHGIPVALFFSISACSFMGLKQYKELKERGLFPLKDESFLTNGYLDQVLDWIPGMKDIRLRDLPSFLRTTDPDDHSFNFSMECAERASEGSAVIFPTFDALEKEVLSALYSMFPRVYTIGPLQLLLNQMKEDDLDSIGYNLWKEEVECLQWLDSKKPNSVIYVNFGSVAVATKQQLIELGMGLAKSGHPFLWIIRPDMVTGDSAILPPEFTDETKDRGFISNWCPQEEVLNHPSIGGFLTHSGWNSTAESISSGVPMLCWPFFADQQTNCRYTCNEWGIGMEIDSNAERDKVEKLVRELMEGEKGREVKKKVMEWRKLAEEAAGPSGSSSMNLDEMVKAVLLP >Potri.003G084200.3.v4.1 pep chromosome:Pop_tri_v4:3:11086171:11091011:1 gene:Potri.003G084200.v4.1 transcript:Potri.003G084200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL1 MGCFSSKVARQFPGHEDPVALASQTAFSVSEVEALFELYKSISSSVVDDGLISKEEFQLALFKNRKKENLFANRIFELFDVKHKGVIDFSDFVRSLNVFHPNASQEDKIDFSFKLYDLDNTGFIERQEVKQMLIALLCESEMKLADETVEIILDKTFLDADVNRDGKIDKSEWENFVCRNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >Potri.003G084200.4.v4.1 pep chromosome:Pop_tri_v4:3:11086308:11091011:1 gene:Potri.003G084200.v4.1 transcript:Potri.003G084200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL1 MGCFSSKVARQFPGHEDPVALASQTAFSVSEVEALFELYKSISSSVVDDGLISKEEFQLALFKNRKKENLFANRIFELFDVKHKGVIDFSDFVRSLNVFHPNASQEDKIDFSFKLYDLDNTGFIERQEVKQMLIALLCESEMKLADETVEIILDKTFLDADVNRDGKIDKSEWENFVCRNPSLLKIMTLPYLRDITTTFPSFVFNSEVDEIAT >Potri.012G075800.1.v4.1 pep chromosome:Pop_tri_v4:12:9920982:9921230:-1 gene:Potri.012G075800.v4.1 transcript:Potri.012G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075800.v4.1 MAGNSSYETSWADQWDYNKDPVVYHNKDGSSSNSTAKYKQKVGEGLGKTKQVASIGFKKVKEGTSVGFQWIKEKYQKTTQKR >Potri.003G206201.1.v4.1 pep chromosome:Pop_tri_v4:3:20516493:20518589:-1 gene:Potri.003G206201.v4.1 transcript:Potri.003G206201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206201.v4.1 MEIVGTSSTDKYIMDQSDEVSLDINELANSLREQLKIKKAFSQACCIYRVPERLRKLNEKAYTPRVVSIGPLHHGKENLKAMEDHKIMYLQQFLEQNLLVSVEDLVYVIKENETALRDCYAETISLSRKDFATMILLDAVFIIMVLLNTKYLSGYYESRRSDHIFYRPFKIVDVMFDMCLLENQLPFFILQKLFELSSVASNPENCTLTELTCWLLTVPWSDWVKEDSWKIIDSSGVLHFVDFLRKCQQPKEQRFPAKDALFDSPTATELHQSGIKFKNSKKGSLLDITFSNGILEIPQLKIDDTTEILFRNLQAFEQCHLGNDNFVSNYITFISCLVRAPNDVEVLARKGNLKNMLNSDEAVSNLLYNLDQENIVTAGGFLSGIGEDLKSYCTKRRHKWMATFKQVYFNNPWTGISVFAATFLLILTVIQTVCSIIQLP >Potri.002G049600.3.v4.1 pep chromosome:Pop_tri_v4:2:3316946:3322939:-1 gene:Potri.002G049600.v4.1 transcript:Potri.002G049600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049600.v4.1 MDSKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALHQAQRTMAEAFPNQAPFILGDDSPAGSATDCDPRTPDMPPIRAPFDPDELQKDALGVSPSHAINRNGAFTEKSDPGRKGLKQFNDLFGLGDGMDNAKFAEGRVRKGLNFHDPEEKGRGVQNNGIHDLKARAPSESEQVSKAELEILNLKNALAKLEAEKEAGLLQYEQSLERLSKLESEVSRATEDSRGLNERASKAEAEVQTLKEVLAQLEAEKESSFLQYQGCLEKISNLENNLSLVQKDAGELNERASKAETEARSLKQDLSRLEAEKIDAQVQYSQCLEKISDLEDKLHNAQEDAKRFSERADDAEREIEALKHALTRLTEEKEAAVTQYQQCLATIVSLEHKIACFEEEARRLNLVIDNGTVKLKSSEERCLLLEKSNQTIHSELESVMQKVAAQSNELTEKQKELGRLWACVQEEHLRFMEAETAFQTLQHLHSQSQEELRSVVAQLQNRAQILEDLEARNQSLKDEMEHVNVENKSLSDVNLSSALTIQNLQDEISSLRETIKKLEAEVELRVDQRNALQQEIYCLKEELNELNQKHQAIMRQVESVGFSPESFGSSVKDLKDVNIKLKEVCERDRTEKVALLEKLENMEKLIDKNALLENSLSDLNVELEGVGEKLKALEESCQYLVEEKSVLVSEKDLMASELQFATDDLEKLTEKNHILENFLLDANAELEGLREKSKSLEDFCLLLVNEKSELASMKGSLSSQLDISEKSLQDLEKNYTELEEKYSHLEKERQSSLHEVQELQVRLDAEKQEHANLAQLSESQLAGMASQICLLQEESLCRKKEYEKELDKAVNAEIEIFILQKCAQELEEKNSSLLLDHQKLVEASKLSEKLISDMRHENCEQQEEVKCLSDKIKTLRMGLYQVLMTLELDANQCENKPKQDQKLLNHVLNRLQESQEFLFKTQDENQRLFTENSVLVTLLRQLQLEVENLVKTKNILDQELTTRSEQFLVLQNESQELSGINEEMKLKLIEGDRKEEALKVELNNLHVQLSDLQGAFQNLQEENCKVLDDQRSLMKSFSDLQMEKCELEEENFCILVETVSQSTLSLIFRDIICEKSVEIKSLGVSLDKQCHDNNGLNEKVKTLEKELDNFSGLEDDKRELHKMVEDLKCKYDEVEVIRSDQEMQIIKLLGDYDQKIKEAENIREVNQKLESEIRKLHEEFQEVKDRKENLSHELVKERNEVELQESQAVALFGELQISAVREALFEGKLRELLKICESLEDGNCSKDMEIDQLKERVSTLEGGNAELKALVAAYLPAFMSLRDCVTSLEKHTLPDATLHEGDSKESKDAALVVHAKGFHQMSEGQSGMVPGGTLDFQDLQMRIRAIEKEIIEKERLVMLENLSYHSKLDAAIRQIEDLKSGSSARQKGVETRRYVKPKPEDGELGATPSDDLRRQKRTHEISEDGNEVMTKDIILDQISECSSHGISRRETMQADEQMLEIWETADRDDSIDLTVGKTQKVTASQKKKKHIRQHPSAESMVEKEVGVDKLEISKRLSGSRQEGNERKILERLDSDAQKLTNLQITVQDLMSKVEITEKSEKGKGIEYDNVKEQLEESEEAIMKLFEVNRKLMKTVEDEPLYFDEKPELAPDESGSVRRRKITEQARRVSEKIGRLQLEVQKLQFVLLKLDDENRSRGKTKITEQKTKVLLQDYLYGSTRTRQKRKKGHFCSCVQPPTKGD >Potri.002G049600.1.v4.1 pep chromosome:Pop_tri_v4:2:3316854:3325256:-1 gene:Potri.002G049600.v4.1 transcript:Potri.002G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049600.v4.1 MAARSQADSKRKYSWWWDSHISPKNSKWLQENLTDMDSKVKQMIKLIEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALHQAQRTMAEAFPNQAPFILGDDSPAGSATDCDPRTPDMPPIRAPFDPDELQKDALGVSPSHAINRNGAFTEKSDPGRKGLKQFNDLFGLGDGMDNAKFAEGRVRKGLNFHDPEEKGRGVQNNGIHDLKARAPSESEQVSKAELEILNLKNALAKLEAEKEAGLLQYEQSLERLSKLESEVSRATEDSRGLNERASKAEAEVQTLKEVLAQLEAEKESSFLQYQGCLEKISNLENNLSLVQKDAGELNERASKAETEARSLKQDLSRLEAEKIDAQVQYSQCLEKISDLEDKLHNAQEDAKRFSERADDAEREIEALKHALTRLTEEKEAAVTQYQQCLATIVSLEHKIACFEEEARRLNLVIDNGTVKLKSSEERCLLLEKSNQTIHSELESVMQKVAAQSNELTEKQKELGRLWACVQEEHLRFMEAETAFQTLQHLHSQSQEELRSVVAQLQNRAQILEDLEARNQSLKDEMEHVNVENKSLSDVNLSSALTIQNLQDEISSLRETIKKLEAEVELRVDQRNALQQEIYCLKEELNELNQKHQAIMRQVESVGFSPESFGSSVKDLKDVNIKLKEVCERDRTEKVALLEKLENMEKLIDKNALLENSLSDLNVELEGVGEKLKALEESCQYLVEEKSVLVSEKDLMASELQFATDDLEKLTEKNHILENFLLDANAELEGLREKSKSLEDFCLLLVNEKSELASMKGSLSSQLDISEKSLQDLEKNYTELEEKYSHLEKERQSSLHEVQELQVRLDAEKQEHANLAQLSESQLAGMASQICLLQEESLCRKKEYEKELDKAVNAEIEIFILQKCAQELEEKNSSLLLDHQKLVEASKLSEKLISDMRHENCEQQEEVKCLSDKIKTLRMGLYQVLMTLELDANQCENKPKQDQKLLNHVLNRLQESQEFLFKTQDENQRLFTENSVLVTLLRQLQLEVENLVKTKNILDQELTTRSEQFLVLQNESQELSGINEEMKLKLIEGDRKEEALKVELNNLHVQLSDLQGAFQNLQEENCKVLDDQRSLMKSFSDLQMEKCELEEENFCILVETVSQSTLSLIFRDIICEKSVEIKSLGVSLDKQCHDNNGLNEKVKTLEKELDNFSGLEDDKRELHKMVEDLKCKYDEVEVIRSDQEMQIIKLLGDYDQKIKEAENIREVNQKLESEIRKLHEEFQEVKDRKENLSHELVKERNEVELQESQAVALFGELQISAVREALFEGKLRELLKICESLEDGNCSKDMEIDQLKERVSTLEGGNAELKALVAAYLPAFMSLRDCVTSLEKHTLPDATLHEGDSKESKDAALVVHAKGFHQMSEGQSGMVPGGTLDFQDLQMRIRAIEKEIIEKERLVMLENLSYHSKLDAAIRQIEDLKSGSSARQKGVETRRYVKPKPEDGELGATPSDDLRRQKRTHEISEDGNEVMTKDIILDQISECSSHGISRRETMQADEQMLEIWETADRDDSIDLTVGKTQKVTASQKKKKHIRQHPSAESMVEKEVGVDKLEISKRLSGSRQEGNERKILERLDSDAQKLTNLQITVQDLMSKVEITEKSEKGKGIEYDNVKEQLEESEEAIMKLFEVNRKLMKTVEDEPLYFDEKPELAPDESGSVRRRKITEQARRVSEKIGRLQLEVQKLQFVLLKLDDENRSRGKTKITEQKTKVLLQDYLYGSTRTRQKRKKGHFCSCVQPPTKGD >Potri.002G173800.9.v4.1 pep chromosome:Pop_tri_v4:2:13386188:13388561:-1 gene:Potri.002G173800.v4.1 transcript:Potri.002G173800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173800.v4.1 MGTVTPSPWKRLLLSAIESNSHLKHSYFVQFATIGSNGRPSNRSVVFRGFEENSDRIQINTDFRTRKIEELNHCPFAEICWYFTDSWEQFRINGRVDVIDGSNPNPAKLQQREKLWFASAIKSRLQYLGPNPGLPCLSEQSPDEFFLDPSSGPVATFCLLVLEPDQVDYLNLKSNQRIVSTLSRSANGEMCWNSEMINP >Potri.010G177100.4.v4.1 pep chromosome:Pop_tri_v4:10:17686283:17690865:1 gene:Potri.010G177100.v4.1 transcript:Potri.010G177100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177100.v4.1 MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFSNVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQIDLSCAKSELSKYQNLGITGHAGSLIAAAAAAATATATTHHHHHPQNLGINLIGAGGGSRDHHYHHQFFPKDQQQMMRSFDAGSNYDASLLAMNVSASIGQLSHFQQPRAAAGDDRRTIDPS >Potri.001G101300.3.v4.1 pep chromosome:Pop_tri_v4:1:8157591:8164256:-1 gene:Potri.001G101300.v4.1 transcript:Potri.001G101300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101300.v4.1 MGHGDKSGRPNKKFKFTTKDDYRSSVAEDDAFYPEEAGDDFRDGETEGKKRDFSKLELKPDHANRPLWACADGRIFLETFSSLYKQAYDFLIAIAEPVCRPESMHEYNLTPHSLYAAVSVGLETETIISVLNKLSKTKLPKEMIDFIHGSTANYGKVKLVLKKNRYFIESPFTEVLKKLLKDEVIAKARIQPESSHGTEGFTISKAAGEIGTSHDGLLNEAELAAAAEEKETHSFEVDPSQVENVKQRCLPNALNYPMLEEYDFRNDTVNPDLNMELKPHAQPRPYQEKSLSKMFGNGRARSGIIVLPCGAGKSLVGVSAACRIKKSCLCLATNAVSVDQWAFQFSLWSDISQDQICRFTSDSKERFKGNAGVVVTTYNMVAFGGKRSEESEKIIEEIRNREWGLLLMDEVHVVPAHMFRKVISITKSHCKLGLTATLVREDERITDLNFLIGPKLYEANWLDLVKGGFIANVQCAEVWCPMTKEFFAEYLKKENSKKKQALYVMNPNKFRACEFLIRFHEEQRRDKIIVFADNLFALTEYAMKLHKPMIYGATSHAERTKILHAFKTSSEVNTVFLSKVGDNSIDIPEANVIIQISSHAGSRRQEAQRLGRILRAKGKLQDRMAGGKEEYNAFFYSLVSTDTQEMYYSTKRQQFLIDQGYSFKVITSLPPADSGADLSYNSLEDQLGLLAKVLSAGDDAVGLEQLDEDADDIALHKARRYMGSMSVMSGANGMVYMEYSTGQKGGKGQIKGKPKDPAKRHYLFKRRFG >Potri.014G006850.1.v4.1 pep chromosome:Pop_tri_v4:14:564798:565721:-1 gene:Potri.014G006850.v4.1 transcript:Potri.014G006850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006850.v4.1 MFFRSRFLSFPLVIGAVVIGVVSWKAIFGPQLDDYWKKKLVEEASTKGD >Potri.005G087700.2.v4.1 pep chromosome:Pop_tri_v4:5:6124966:6131241:1 gene:Potri.005G087700.v4.1 transcript:Potri.005G087700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087700.v4.1 MSVNEGGSGSLWTKEQDKAFENALATYPEDTSDWWEKITADVPGKTVEEIKHHYELLVEDISQIEAGCVPLPNYSSSSEGSTSHAIDEGTGKKGGHLGHHNSDSNNGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVAAPQGPITGQTNGSAAGSSGKAAKQPPAQPVGPPGVGIYGPPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMVPMTYPMPHTTTHR >Potri.005G087700.4.v4.1 pep chromosome:Pop_tri_v4:5:6125372:6131079:1 gene:Potri.005G087700.v4.1 transcript:Potri.005G087700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087700.v4.1 MSVNEGGSGSLWTKEQDKAFENALATYPEDTSDWWEKITADVPGKTVEEIKHHYELLVEDISQIEAGCVPLPNYSSSSEGSTSHAIDEGTGKKGGHLGHHNSDSNNGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDVAAPQGPITGQTNGSAAGSSGKAAKQPPAQPVGPPGVGIYGPPTIGQPIGGPLVSAVGTPVNLPAPAHMAYGVRAPVPGTVVPGAPMNMVPMTYPMPHTTTHR >Potri.010G249200.7.v4.1 pep chromosome:Pop_tri_v4:10:22280447:22285278:-1 gene:Potri.010G249200.v4.1 transcript:Potri.010G249200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249200.v4.1 MMPMEEKVEVESDEEIPFSASATGKKVHPFDSEGNSIASHVDMSKSWISKRIQMGPLRSIYIVLIKAKINMLLPFGPLAILLHYLTGKHGLVFLLSMLGITPLAERLGYVTEQLAFYTGPTVGGLLNATFGNATEMIISIYALKSGMIRVVQQSLLGSILSNMLLVLGCAFFSGGIANHQKVQVFNKAAALVNSGLLLMAVMGIMFPAVLHFTRTEVHFGKSELALSRFSSCIMLIAYGSYLFFQLKSQPNLYSLVDEGGVNDQEDSDDEEAPEITQWETIGWLAILTLWISILSGYLVDAIQGASDSLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITIGVAIGSSTQISMFVIPFCVVVGWCMGQPMDLNFQLFETATLFISVLVVAFMLQDGTSNYFKGFMLILCYLIVAASFFVHVDKDEN >Potri.010G249200.6.v4.1 pep chromosome:Pop_tri_v4:10:22280442:22285278:-1 gene:Potri.010G249200.v4.1 transcript:Potri.010G249200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249200.v4.1 MMMPMEEKVEVESDEEIPFSASATGKKVHPFDSEGNSIASHVDMSKSWISKRIQMGPLRSIYIVLIKAKINMLLPFGPLAILLHYLTGKHGLVFLLSMLGITPLAERLGYVTEQLAFYTGPTVGGLLNATFGNATEMIISIYALKSGMIRVVQQSLLGSILSNMLLVLGCAFFSGGIANHQKVQVFNKAAALVNSGLLLMAVMGIMFPAVLHFTRTEVHFGKSELALSRFSSCIMLIAYGSYLFFQLKSQPNLYSLVDEGGVNDQEDSDDEEAPEITQWETIGWLAILTLWISILSGYLVDAIQGASDSLNMPVAFISVILLPIVGNAAEHASAIMFAMKDKLDITIGVAIGSSTQISMFVIPFCVVVGWCMGQPMDLNFQLFETATLFISVLVVAFMLQDGTSNYFKGFMLILCYLIVAASFFVHVDKDEN >Potri.014G091700.1.v4.1 pep chromosome:Pop_tri_v4:14:5954174:5955545:1 gene:Potri.014G091700.v4.1 transcript:Potri.014G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091700.v4.1 MAFQPATTLSVAALVLLILATGSDAGGIAIYWGQNGNEGTLADTCATGNYQYVNLAFLVTFGNGQTPMINLAGHCDPYSNGCTSLSSDIKSCQAQGVKVMLSIGGASGSYSLASSEDARQVATYLWNNFLGGHSSSRPLGSAVLDGIDFDIEGGTGLYWDDLARYLSAYSNKGKRVHLTAAPQCPFPDAWVGNALKTGLFDYVWVQFYNNPPCQYASGEVTNLEDAWKQWTSAIPASKIFLGLPASPAAAGSGFIPVPDLTSNVLPSIKDSSKYGGVMLWSKYYDDQSGYSSSIKNDV >Potri.004G052600.1.v4.1 pep chromosome:Pop_tri_v4:4:4276747:4280803:-1 gene:Potri.004G052600.v4.1 transcript:Potri.004G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052600.v4.1 MKRTNMFTRKNSQVTSGLITVNKVQKPCFLLPVLISILLIFSNGVEAEIRTNKLVTNIGAIIDVNSRIGKEEKTALELAVQDFNDISTNHELSLHFRHPGEDPLQVAYAAEELIKEKKVKVIIGMDNWEEAALVANIGNQYQIPILSFATPAITPPLTTLRWPFLIRMASDGSEQMRCIAALVRCHNWRKVVVIYEDNVYGGESGNLALLSEALQEVGSEIEYRLVLPPFSLSTDPEDVVQHELIKLQKDTESRVFIVLQSSLPMLTCLFREAKNMGLVGRDTAWIVSNSVTTFLDSMNNSVISSMGGTLGIQTYYSSSSSYQRFEAQFRKIFRAEYLDEDNFLPGIQALRAYDSIGMVTQAIEKLGSDSSSPKMLLNSVLGSDFTGLTGEIHFKDAMLSQAPILRIVNVVGKKYKELDFWLPNFGFSKTLLPEEGKERCSNSNVCNNTGCLAGPVIWPGDLNGRNPKGWAMPTNAKPLRIVVPKRTSFDKFVTFRTGEALPEGFCIDVFNEVVERLNYPLPHEFFEHDGLYDDMIEGVYNKTYDAAIGDITILAERTKYVEFTQPYAESGLSMIVPLENEDATWIFTKPFNLEMWIVSGAIFIYTMLIVWFLEHQSSNPEFRGPWKVQIENALWFLSSSLFFIHAEKLYSNFTRIVVVAWLCVVFVLTASYTANLTSMLTVQRLEPKFSEYKNYQINHLTVGCDNDSFVQNYLEKVLGFQTEKIKIIDHENDYPTEFESNNIAAAFLELPYEKVFLNKYCERYTSTEGTFRFGGFGFAFQKGSPIASDFSRVILRLSEKGTLTTLEERWFAPSPECSTTVPHNNVESLNLRSFKGIYIVSATISTICFLLVLIPLVRNSRNHQEANIEGNVTPSGKSGSSKGGGISKYLYNGDKTRIPRRASTFAQALDTDVWVSSRWERVSNSDNLENHQDSSQAEIEMP >Potri.008G040100.2.v4.1 pep chromosome:Pop_tri_v4:8:2242585:2245798:1 gene:Potri.008G040100.v4.1 transcript:Potri.008G040100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040100.v4.1 MSRAARISLFLFTSILLLFTILWFSPVSHLPSSLPLVLSFFKHQEDTFIFESNTHKDIYYDEGLENQGTNSWPENPVFDEKDVVVLTEKNFGDFIATNPYVMVEFYAPWCYWSRKLAPEYSAAATLLKGEAVLAKVDATVEMGLGRKYKIQGYPSLLLFAGGIQKSSYYGERTRDAIATWMRQKNGLVVQTVSTTEEANRILRTNSVIVMGFLDTLEGSDTKELTAASRLHADINFYQTDNVDVARLFRIDPQVKPPALVMLKWEAANRSHVGFDGQFTESEISDFVSTNNFQFTKSETSDSVSTNNAPSVITFTVDDASRILGNPMKQLWLFSTKYSLELISTFEEAAKAFRNKLVFVYVETDGTNLGLGANLAFQFGVPEGSPRVVACMANGDKYLYHGEMTFDGIKSFAEEFLEDNLSIHSVPISKSALRLPSDSHQSSPSLSSRVNYI >Potri.012G059801.1.v4.1 pep chromosome:Pop_tri_v4:12:6004076:6004393:1 gene:Potri.012G059801.v4.1 transcript:Potri.012G059801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059801.v4.1 MVQPLKEKKRYLSRHGSEIPTMIPVIHGATQPLPPLRNAFFFSLLGFSFFFFSFFPGSEVAECDSPPNTHSLPLGLLFLFKNSSNLNMFDHICSLSTENPNLLSV >Potri.008G131500.6.v4.1 pep chromosome:Pop_tri_v4:8:8654569:8656545:-1 gene:Potri.008G131500.v4.1 transcript:Potri.008G131500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131500.v4.1 MDSFLGLLRIRVKRGVNLAVRDLGSSDPYVVITMGNQKLKTRVVKKNCNPVWNEELTLSITDLNVPINLTVFDKDTFTVDDKMGEAGIDLQPYIASLKMGLQNLPKGCVVSRVQPSQNNCLADESCIVWDDGKLHQDMILRLRNVESGEVTIQIEWIDVPGCRGLKTEGTG >Potri.018G136900.2.v4.1 pep chromosome:Pop_tri_v4:18:15693855:15696341:-1 gene:Potri.018G136900.v4.1 transcript:Potri.018G136900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136900.v4.1 MATANFLALFLLMELIAGGYRDGANGLSMNYYVFSCPFAEAIVRNTVTSALKSDPTLAAGLVRMHFHDCWIQGCDGSVLIDSTKDNTAEKESPGNQSVRGFELIDDVKEQLEEQCPGVVSCADIVAMAAREAVALSGGPVYDIPKGRKDGRRSKIEDTLSAPAPTFNASELVRVFGLRGFSAQDMVALSGGHTLGVARCLTFKNRLSDPVDPTMDSDFSKTLSKTCSGGDDAEQTFDMTRNNFDNFYFQALQRKSGVLFSDQTLYNNPRTKSIVKDYAMNQAKFFLDFQQAMVKMSLLDVKEGSQGEVRADCRKIN >Potri.016G084800.1.v4.1 pep chromosome:Pop_tri_v4:16:6627513:6631066:1 gene:Potri.016G084800.v4.1 transcript:Potri.016G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084800.v4.1 MGKCYPTVSEEYQKAVEKCKRKLRGLIAEKHCAPLMLRLAWHSAGTFDVNTKTGGPFGTIRHPDELAHGANNGLDIAVRLLEPLKEQFPNLSYADFYQLAGVVAVEITGGPEVPFHPGRPDKSDPPPEGRLPDATKGSDHLRDVFGHMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTPNPLVFDNSYFKELLSGEKEGLIQLPTDKTLLEDPVFRPLVEKYAADEDAFFADYAEAHMKLSELGFAEAY >Potri.010G255600.1.v4.1 pep chromosome:Pop_tri_v4:10:22629447:22637520:-1 gene:Potri.010G255600.v4.1 transcript:Potri.010G255600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255600.v4.1 MHQGKSGSFVSRNNPGINSNATTAPANNTNKSQRRLSLCSQIATHSSPIVFPEKQKRSKKLKAASSNSRSSTEAVADDPFPFNQPKIDEHRIDIGGGAAAGGDENSDLLGYAVLSGKLILDKRNTSSSSSYHTSTTKDQADVTNQQAVDAKLTSKALVWGSHMLHLEHVISVSYNVGLRHFTVHSYPIKKSSCGLSCFMKPKRTRRDYRFLAASVEEALQWVGGFADQQCFINCLPHPLASSKKQASSELLPTDPPPELLFKCKSPPKMLVILNPRSGRGRSTKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLIRDNQKEGISIPIGIIPAGSDNSLIWTVLGVRDPISAAISIVKGGLTATDVFAVEWIQSGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSYEVEYLPASREDRDGKQSAERDIVDMSDLYTDVMRRSNKDGIPRASSLSSIDSIMTPSRMSGGDLDTTCSSTRASTEPSEYVRGLDPKAKRLSSGRTNVMAEPEVIHPQLPLSTTPNWPRTRSKSRADKGWTGLTATHDPSRCSWGNAAPNDREDISSTLSDPGPIWDAEPKWDTEPNWDVENPIELPGPSDDIEAGMKKEVIPRFEDKWEFRKGQFLGIMVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYIKVKSVKIKAGKHTHNGCGIDGELFQLNGQVISSLLPEQCRLIGRSPNHPM >Potri.010G255600.11.v4.1 pep chromosome:Pop_tri_v4:10:22629447:22637621:-1 gene:Potri.010G255600.v4.1 transcript:Potri.010G255600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255600.v4.1 MHQGKSGSFVSRNNPGINSNATTAPANNTNKSQRRLSLCSQIATHSSPIVFPEKQKRSKKLKAASSNSRSSTEAVADDPFPFNQPKIDEHRIDIGGGAAAGGDENSDLLGYAVLSGKLILDKRNTSSSSSYHTSTTKDQADVTNQQAVDAKLTSKALVWGSHMLHLEHVISVSYNVGLRHFTVHSYPIKKSSCGLSCFMKPKRTRRDYRFLAASVEEALQWVGGFADQQCFINCLPHPLASSKKQASSELLPTDPPPELLFKCKSPPKMLVILNPRSGRGRSTKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLIRDNQKEGISIPIGIIPAGSDNSLIWTVLGVRDPISAAISIVKGGLTATDVFAVEWIQSGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSYEVEYLPASREDRDGKQSAERDIVDMSDLYTDVMRRSNKDGIPRASSLSSIDSIMTPSRMSGGDLDTTCSSTRASTEPSEYVRGLDPKAKRLSSGRTNVMAEPEVIHPQLPLSTTPNWPRTRSKSRADKGWTGLTATHDPSRCSWGNAAPNDREDISSTLSDPGPIWDAEPKWDTEPNWDVENPIELPGPSDDIEAGMKKEVIPRFEDKWEFRKGQFLGIMVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYIKVKSVKIKAGKHTHNGCGIDGELFQLNGQVISSLLPEQCRLIGRSPNHPM >Potri.010G255600.8.v4.1 pep chromosome:Pop_tri_v4:10:22629434:22637579:-1 gene:Potri.010G255600.v4.1 transcript:Potri.010G255600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255600.v4.1 MPFIVVKIGLLPPPPPYRFQLIDMHQGKSGSFVSRNNPGINSNATTAPANNTNKSQRRLSLCSQIATHSSPIVFPEKQKRSKKLKAASSNSRSSTEAVADDPFPFNQPKIDEHRIDIGGGAAAGGDENSDLLGYAVLSGKLILDKRNTSSSSSYHTSTTKDQADVTNQQAVDAKLTSKALVWGSHMLHLEHVISVSYNVGLRHFTVHSYPIKKSSCGLSCFMKPKRTRRDYRFLAASVEEALQWVGGFADQQCFINCLPHPLASSKKQASSELLPTDPPPELLFKCKSPPKMLVILNPRSGRGRSTKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLIRDNQKEGISIPIGIIPAGSDNSLIWTVLGVRDPISAAISIVKGGLTATDVFAVEWIQSGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSYEVEYLPASREDRDGKQSAERDIVDMSDLYTDVMRRSNKDGIPRASSLSSIDSIMTPSRMSGGDLDTTCSSTRASTEPSEYVRGLDPKAKRLSSGRTNVMAEPEVIHPQLPLSTTPNWPRTRSKSRADKGWTGLTATHDPSRCSWGNAAPNDREDISSTLSDPGPIWDAEPKWDTEPNWDVENPIELPGPSDDIEAGMKKEVIPRFEDKWEFRKGQFLGIMVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYIKVKSVKIKAGKHTHNGCGIDGELFQLNGQVISSLLPEQCRLIGRSPNHPM >Potri.010G255600.12.v4.1 pep chromosome:Pop_tri_v4:10:22629415:22637601:-1 gene:Potri.010G255600.v4.1 transcript:Potri.010G255600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255600.v4.1 MHQGKSGSFVSRNNPGINSNATTAPANNTNKSQRRLSLCSQIATHSSPIVFPEKQKRSKKLKAASSNSRSSTEAVADDPFPFNQPKIDEHRIDIGGGAAAGGDENSDLLGYAVLSGKLILDKRNTSSSSSYHTSTTKDQADVTNQQAVDAKLTSKALVWGSHMLHLEHVISVSYNVGLRHFTVHSYPIKKSSCGLSCFMKPKRTRRDYRFLAASVEEALQWVGGFADQQCFINCLPHPLASSKKQASSELLPTDPPPELLFKCKSPPKMLVILNPRSGRGRSTKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKKLASTVDISTCPDGIICVGGDGIINEVLNGLLIRDNQKEGISIPIGIIPAGSDNSLIWTVLGVRDPISAAISIVKGGLTATDVFAVEWIQSGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFLCLPKYSYEVEYLPASREDRDGKQSAERDIVDMSDLYTDVMRRSNKDGIPRASSLSSIDSIMTPSRMSGGDLDTTCSSTRASTEPSEYVRGLDPKAKRLSSGRTNVMAEPEVIHPQLPLSTTPNWPRTRSKSRADKGWTGLTATHDPSRCSWGNAAPNDREDISSTLSDPGPIWDAEPKWDTEPNWDVENPIELPGPSDDIEAGMKKEVIPRFEDKWEFRKGQFLGIMVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRLRLLRFFLLLQMGRHLSLPYVEYIKVKSVKIKAGKHTHNGCGIDGELFQLNGQVISSLLPEQCRLIGRSPNHPM >Potri.005G027400.1.v4.1 pep chromosome:Pop_tri_v4:5:1745406:1746906:1 gene:Potri.005G027400.v4.1 transcript:Potri.005G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027400.v4.1 MDDEQDRLLPIANVGRMMKKILPPTAKISKEAKQTMQECATEFVSFVTGEASDKCQKENRKTVNGDDICWALISLGFDDHAEAMVRYLHKYREAERERSTNQHKASGTDQGEESNHESKQPKQPIEAPNNGVEFRVLEKGNSSSFTNPS >Potri.001G422966.1.v4.1 pep chromosome:Pop_tri_v4:1:45158589:45165688:-1 gene:Potri.001G422966.v4.1 transcript:Potri.001G422966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422966.v4.1 MSEANQGNNPDASAIVPLKRRRGRPRKYPKMEVDHVANAHVNVPGIQNLNHGENAHAPPGFGVVNSNQPHQVGPVNNAIDAMIGQSVYGVIEATFDAGYLLNVRVGDTETTLRGVVFKPGHYIPVNPENDIAPDVPMIRRNEIPLTRESNNQVHTR >Potri.001G422966.2.v4.1 pep chromosome:Pop_tri_v4:1:45158999:45160210:-1 gene:Potri.001G422966.v4.1 transcript:Potri.001G422966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422966.v4.1 MSEANQGNNPDASAIVPLKRRRGRPRKYPKMEVDHVANAHVNVPGIQNLNHGENAHAPPGFGVVNSNQPHQVGPVNNAIDAMIGQSVYGVIEATFDAGYLLNVRVGDTETTLRGVVFKPGHYIPVNPENDIAPDVPMIRRNEIPLTRESNNQVLSVVPQTPPISRGNVVPVVLQPVNLSNGALSASATNQTAHLVPSKGKQVLDAAPSSNGLTPTNEIIQFQSQNNHQVITSPFNQNPAGGLHESEVSPMKTTHMPFEKLLTEVIRRVHAPSQSTETNSSLAVNLPVEDSGIVEKKDGSDTEQALSVKPLQVLQPIVDSHPAVASIPSEDYKTGKMTQLLQENMTF >Potri.002G055200.8.v4.1 pep chromosome:Pop_tri_v4:2:3754672:3760367:1 gene:Potri.002G055200.v4.1 transcript:Potri.002G055200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055200.v4.1 MVRSQSADSSEYEDQTPSREVWANGICMKTSEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGRLEYQRGNVEAALHVFDGIDLQVAIQRLQPSFSEKQPSRKGRSRGDSQHAVSQHSASLVLEAIYLKAKSLQKLGRLNDAAHECKRVLDAVEKIFHQGIPDVQVDNRLQDTVRQAVELLPELWKQAGCYHEAMSAYRRALLSQWNLDDDNCSRIQKAFAVFLMHSGVEAGPPSLAAQVDGSYVPKHNLEEAILLLMILVRKFYLGKIVWDPSVLEHLTFALSLCGQTFVLAKELEEIMPGVFHRVDRWNTLALCYSAVGQNKAALNLLRKSLHKHEQPDDLIALLLAAKICSEDCHLAAEGVGYAQRAITNAQGRNEHLKGVAIRMLGLCSGKQATTSPSDFERSRLQSEALKLLDAAISLEKNNPDLLFELGMLYAEQRNLNTALRYAKRFIDATGGSLLKGWRLLAQILSAQQRFSEAEVVIDAALDETAKWEQGPLLRLKAKLKTSQSLPMDAIETYRYLLALVQAQRKSFGPLRSVSQAGDDRVNEYEVWHGLADLYSRLSHWKDMEVCLGKARELKQYSAEVLYTEGVMLQGRGQVEEAMSAYINALLLDPSFVRCKILIGALLSKRDSNALPVARSILSDALKIEPTNRMAWYYLGIIHRVDGRIADAADCFQAASMLEESDPIENFSSIL >Potri.002G055200.9.v4.1 pep chromosome:Pop_tri_v4:2:3755262:3760355:1 gene:Potri.002G055200.v4.1 transcript:Potri.002G055200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055200.v4.1 MVRSQSADSSEYEDQTPSREVWANGICMKTSEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGRLEYQRGNVEAALHVFDGIDLQVAIQRLQPSFSEKQPSRKGRSRGDSQHAVSQHSASLVLEAIYLKAKSLQKLGRLNDAAHECKRVLDAVEKIFHQGIPDVQVDNRLQDTVRQAVELLPELWKQAGCYHEAMSAYRRALLSQWNLDDDNCSRIQKAFAVFLMHSGVEAGPPSLAAQVDGSYVPKHNLEEAILLLMILVRKFYLGKIVWDPSVLEHLTFALSLCGQTFVLAKELEEIMPGVFHRVDRWNTLALCYSAVGQNKAALNLLRKSLHKHEQPDDLIALLLAAKICSEDCHLAAEGVGYAQRAITNAQGRNEHLKGVAIRMLGLCSGKQATTSPSDFERSRLQSEALKLLDAAISLEKNNPDLLFELGMLYAEQRNLNTALRYAKRFIDATGGSLLKGWRLLAQILSAQQRFSEAEVVIDAALDETAKWEQGPLLRLKAKLKTSQSLPMDAIETYRYLLALVQAQRKSFGPLRSVSQAGDDRVNEYEVWHGLADLYSRLSHWKDMEVCLGKARELKQYSAEVLYTEGVMLQGRGQVEEAMSAYINALLLDPSFVRCKILIGALLSKRDSNALPVARSILSDALKIEPTNRMAWYYLGIIHRVDGRIADAADCFQAASMLEESDPIENFSSIL >Potri.002G055200.6.v4.1 pep chromosome:Pop_tri_v4:2:3754646:3760367:1 gene:Potri.002G055200.v4.1 transcript:Potri.002G055200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055200.v4.1 MVRSQSADSSEYEDQTPSREVWANGICMKTSEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGRLEYQRGNVEAALHVFDGIDLQVAIQRLQPSFSEKQPSRKGRSRGDSQHAVSQHSASLVLEAIYLKAKSLQKLGRLNDAAHECKRVLDAVEKIFHQGIPDVQVDNRLQDTVRQAVELLPELWKQAGCYHEAMSAYRRALLSQWNLDDDNCSRIQKAFAVFLMHSGVEAGPPSLAAQVDGSYVPKHNLEEAILLLMILVRKFYLGKIVWDPSVLEHLTFALSLCGQTFVLAKELEEIMPGVFHRVDRWNTLALCYSAVGQNKAALNLLRKSLHKHEQPDDLIALLLAAKICSEDCHLAAEGVGYAQRAITNAQGRNEHLKGVAIRMLGLCSGKQATTSPSDFERSRLQSEALKLLDAAISLEKNNPDLLFELGMLYAEQRNLNTALRYAKRFIDATGGSLLKGWRLLAQILSAQQRFSEAEVVIDAALDETAKWEQGPLLRLKAKLKTSQSLPMDAIETYRYLLALVQAQRKSFGPLRSVSQAGDDRVNEYEVWHGLADLYSRLSHWKDMEVCLGKARELKQYSAEVLYTEGVMLQGRGQVEEAMSAYINALLLDPSFVRCKILIGALLSKRDSNALPVARSILSDALKIEPTNRMAWYYLGIIHRVDGRIADAADCFQAASMLEESDPIENFSSIL >Potri.002G055200.7.v4.1 pep chromosome:Pop_tri_v4:2:3754562:3760432:1 gene:Potri.002G055200.v4.1 transcript:Potri.002G055200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055200.v4.1 MVRSQSADSSEYEDQTPSREVWANGICMKTSEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGRLEYQRGNVEAALHVFDGIDLQVAIQRLQPSFSEKQPSRKGRSRGDSQHAVSQHSASLVLEAIYLKAKSLQKLGRLNDAAHECKRVLDAVEKIFHQGIPDVQVDNRLQDTVRQAVELLPELWKQAGCYHEAMSAYRRALLSQWNLDDDNCSRIQKAFAVFLMHSGVEAGPPSLAAQVDGSYVPKHNLEEAILLLMILVRKFYLGKIVWDPSVLEHLTFALSLCGQTFVLAKELEEIMPGVFHRVDRWNTLALCYSAVGQNKAALNLLRKSLHKHEQPDDLIALLLAAKICSEDCHLAAEGVGYAQRAITNAQGRNEHLKGVAIRMLGLCSGKQATTSPSDFERSRLQSEALKLLDAAISLEKNNPDLLFELGMLYAEQRNLNTALRYAKRFIDATGGSLLKGWRLLAQILSAQQRFSEAEVVIDAALDETAKWEQGPLLRLKAKLKTSQSLPMDAIETYRYLLALVQAQRKSFGPLRSVSQAGDDRVNEYEVWHGLADLYSRLSHWKDMEVCLGKARELKQYSAEVLYTEGVMLQGRGQVEEAMSAYINALLLDPSFVRCKILIGALLSKRDSNALPVARSILSDALKIEPTNRMAWYYLGIIHRVDGRIADAADCFQAASMLEESDPIENFSSIL >Potri.002G055200.10.v4.1 pep chromosome:Pop_tri_v4:2:3755024:3760354:1 gene:Potri.002G055200.v4.1 transcript:Potri.002G055200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055200.v4.1 MVRSQSADSSEYEDQTPSREVWANGICMKTSEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGRLEYQRGNVEAALHVFDGIDLQVAIQRLQPSFSEKQPSRKGRSRGDSQHAVSQHSASLVLEAIYLKAKSLQKLGRLNDAAHECKRVLDAVEKIFHQGIPDVQVDNRLQDTVRQAVELLPELWKQAGCYHEAMSAYRRALLSQWNLDDDNCSRIQKAFAVFLMHSGVEAGPPSLAAQVDGSYVPKHNLEEAILLLMILVRKFYLGKIVWDPSVLEHLTFALSLCGQTFVLAKELEEIMPGVFHRVDRWNTLALCYSAVGQNKAALNLLRKSLHKHEQPDDLIALLLAAKICSEDCHLAAEGVGYAQRAITNAQGRNEHLKGVAIRMLGLCSGKQATTSPSDFERSRLQSEALKLLDAAISLEKNNPDLLFELGMLYAEQRNLNTALRYAKRFIDATGGSLLKGWRLLAQILSAQQRFSEAEVVIDAALDETAKWEQGPLLRLKAKLKTSQSLPMDAIETYRYLLALVQAQRKSFGPLRSVSQAGDDRVNEYEVWHGLADLYSRLSHWKDMEVCLGKARELKQYSAEVLYTEGVMLQGRGQVEEAMSAYINALLLDPSFVRCKILIGALLSKRDSNALPVARSILSDALKIEPTNRMAWYYLGIIHRVDGRIADAADCFQAASMLEESDPIENFSSIL >Potri.002G055200.4.v4.1 pep chromosome:Pop_tri_v4:2:3754589:3760367:1 gene:Potri.002G055200.v4.1 transcript:Potri.002G055200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055200.v4.1 MVRSQSADSSEYEDQTPSREVWANGICMKTSEVEAKLDEGNIQEAESSLREGLSLNFEEARALLGRLEYQRGNVEAALHVFDGIDLQVAIQRLQPSFSEKQPSRKGRSRGDSQHAVSQHSASLVLEAIYLKAKSLQKLGRLNDAAHECKRVLDAVEKIFHQGIPDVQVDNRLQDTVRQAVELLPELWKQAGCYHEAMSAYRRALLSQWNLDDDNCSRIQKAFAVFLMHSGVEAGPPSLAAQVDGSYVPKHNLEEAILLLMILVRKFYLGKIVWDPSVLEHLTFALSLCGQTFVLAKELEEIMPGVFHRVDRWNTLALCYSAVGQNKAALNLLRKSLHKHEQPDDLIALLLAAKICSEDCHLAAEGVGYAQRAITNAQGRNEHLKGVAIRMLGLCSGKQATTSPSDFERSRLQSEALKLLDAAISLEKNNPDLLFELGMLYAEQRNLNTALRYAKRFIDATGGSLLKGWRLLAQILSAQQRFSEAEVVIDAALDETAKWEQGPLLRLKAKLKTSQSLPMDAIETYRYLLALVQAQRKSFGPLRSVSQAGDDRVNEYEVWHGLADLYSRLSHWKDMEVCLGKARELKQYSAEVLYTEGVMLQGRGQVEEAMSAYINALLLDPSFVRCKILIGALLSKRDSNALPVARSILSDALKIEPTNRMAWYYLGIIHRVDGRIADAADCFQAASMLEESDPIENFSSIL >Potri.001G253700.1.v4.1 pep chromosome:Pop_tri_v4:1:26996605:27001252:-1 gene:Potri.001G253700.v4.1 transcript:Potri.001G253700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253700.v4.1 MDLCSSLLASCLSSSLIKPQFLTSSLITNSIIPNCTSKKCKSRHVLKASAGPFSYQKFIHFALDETKRRTLLVPSPLQEKYSSMTAMDGTTELQMLSFQAPKMRLLRSLSIENEAIQILDFAVFSRPEFDVPIFCANFFTTATMNIIVLDLNPLHNVIDQRNYKEKYFKRLIPLGLRYSELFPWGGKLTSESLKFFSPIVIWTKFPPSQDRYECLYSAFKEYYKAWLELIAQAPEEIDASYIKCNREAQHKYLTWRAEKDPGHGILKRLIGERHAQDLVRDFLFNGLDELGSKGFLDYFPEYRCEDGSVNEKRSILGKSFENRPWDARGEFIGDDIKI >Potri.008G144000.1.v4.1 pep chromosome:Pop_tri_v4:8:9780381:9781935:-1 gene:Potri.008G144000.v4.1 transcript:Potri.008G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144000.v4.1 MGNSISQMRTSSSSSRKSININRRHIPDDVILDILTRLPAKSVVRFRCVSRTWCSFTHDPFFASLHHARSLTRDNGSALLLSYPDPSSSSTSFSFFERKQGFRNLQISHVDQQYTHLSEIIRGILCINYRRSHRVDICNITTQETITLPRSTNIPIRSGAGVDFDIVYEPRYSFGFNSSTRDYKVLNICSITRYKLQVNPNNGLSTREVSQRTVEFEIFTIGCDRGAGSWRKIDPGYPYDQELHGLSCESVCADGVIHWRHRFFDQEILLAFDLKQEKFQIIQVPKEALEFHLMKQVKGCLVLMGHVISGYNRNKIVLWILEDRLNQIWMKENVVFPSHSPCLWPVGSFKTGLILLAQHGYADPAAWAAYYCDLETKNLCMIQVPELKSVKLPCGEAGKPSDVVLTEHAENLLSLTSNVYSSMQEEKVKQFAG >Potri.004G016900.1.v4.1 pep chromosome:Pop_tri_v4:4:1212869:1217052:-1 gene:Potri.004G016900.v4.1 transcript:Potri.004G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G016900.v4.1 MASLLSNTISHFSPKPIKDSPKLNKPFSFQIKPIFFKPSKLNLFKIRADVGFESKTVKTVPGNSAATGTSSTSSDDKIREILRNRDYNEKFGFNMDIDSFTIPKGLSKETIRLISSLKEEPDWMLDFRLNAFERFLKLKEPKWSDNEYPIIDFQDMCYYSAPKKKPTVNSLEEADPELIKYFDRLGIPLTERNRLANVAVDAVLDSVSIATTHRKTLEKAGVIFCSISEAIQKYPDLVRKYLGRVVPSEDNYYAALNSAVFSDGSFCYVPKDTKCPMQISTYFRINAMETGQFERTLIVADDRSFVEYLEGCTAPSYDKNQLHAAVVELYCAEGAEIKYSTVQNWYAGDEQGKGGIYNFVTKRGLCAGDRSKISWTQVETGSAITWKYPSVVLEGDDTVGEFYSVALTNNYQQADTGTKMIHKGKNTRSRIISKGISVGHSRNCYRGLVQVQSKADNARNSSQCDSMLIGDTAAANTYPYIQVKNPTARVEHEASTSKIGEDQLFYFQQRGIDYEKAMAAMISGFCRDVFNELPDEFGSEVNQLMSLKLEGSVG >Potri.001G041700.2.v4.1 pep chromosome:Pop_tri_v4:1:3030530:3033221:-1 gene:Potri.001G041700.v4.1 transcript:Potri.001G041700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041700.v4.1 MKAQQTNQIIVNITTSPYPTMSTTSYKHSGNTHLPFFFFWCQEFARESTIISHAPVGSFSQPFLFCSLAKLQLLRISLSSPSFPPCLSLFLSHTHSDIHTLIAEILSLFHFLFSATHNFLPFFIIKMVQAKPPFPSKLLLLSLLVSTLAIFDNGVQCFQGKKVLSMHKFQWKQGSNSSTCLSQETRWENGATILEMKHKDSCSGKILDWNKKLKKHLIMDDFQLRSLQSRMKSIISGRNIDDSVDAPIPLTSGIRLQTLNYIVTVELGGRKMTVIVDTGSDLSWVQCQPCKRCYNQQDPVFNPSTSPSYRTVLCSSPTCQSLQSATGNLGVCGSNPPSCNYVVNYGDGSYTRGELGTEHLDLGNSTAVNNFIFGCGRNNQGLFGGASGLVGLGRSSLSLISQTSAMFGGVFSYCLPITETEASGSLVMGGNSSVYKNTTPISYTRMIPNPQLPFYFLNLTGITVGSVAVQAPSFGKDGMMIDSGTVITRLPPSIYQALKDEFVKQFSGFPSAPAFMILDTCFNLSGYQEVEIPNIKMHFEGNAELNVDVTGVFYFVKTDASQVCLAIASLSYENEVGIIGNYQQKNQRVIYDTKGSMLGFAAEACTFD >Potri.008G060800.4.v4.1 pep chromosome:Pop_tri_v4:8:3657290:3658874:-1 gene:Potri.008G060800.v4.1 transcript:Potri.008G060800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060800.v4.1 MATQGQAAMDPAVLDDIIRRLTEVRSARPGKQVQLSEAEIKQLCVASRDIFLKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDSFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPIAVPDTGLLCDLLWSDPCRDVKGWGMNDRGVSYTFGPDKVQEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILKPAEKKAKFMMPNKM >Potri.008G060800.3.v4.1 pep chromosome:Pop_tri_v4:8:3655158:3658982:-1 gene:Potri.008G060800.v4.1 transcript:Potri.008G060800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060800.v4.1 MATQGQAAMDPAVLDDIIRRLTEVRSARPGKQVQLSEAEIKQLCVASRDIFLKQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPEANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDSFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPIAVPDTGLLCDLLWSDPCRDVKGWGMNDRGVSYTFGPDKVQEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLLCSFQILKPAEKKAKFMMPNKM >Potri.001G070800.1.v4.1 pep chromosome:Pop_tri_v4:1:5348406:5352259:1 gene:Potri.001G070800.v4.1 transcript:Potri.001G070800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070800.v4.1 MGKWLKVAAVIGLIAIARELSKQFGWDKDAALELLGEWSDRLGVWAMPVYVGIHTISLALCLPCAVFFEAGASLLFGFLPAVLCVFFAKVLGASLSFWIGRLVFRSSSSAMEWVQSNKYFHLLSRGVEKDGWKFVLLARFSPMPSYLINYALAATKVGFMVDFLLPTVVGCLPMILQNTSIGSLAGAAVASASGSKKSQIWSYLFPLLGIVSSILISLRIKKYSTDITLAESSTNDSPHTSSKNGSKGLKKNVNKGLL >Potri.014G012300.1.v4.1 pep chromosome:Pop_tri_v4:14:677259:678065:1 gene:Potri.014G012300.v4.1 transcript:Potri.014G012300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012300.v4.1 MGFWLVLLFTLLTCTNLSKSDPSDEACLTHLSQSLKDPANLLQNWTRSNFASPCSGFTSYLPGATCNNGRIYKLSLTSLSLQGSLSPYLANCTNLQSLDLSSNQITGPIPADLQSLVNLAVLNLSSNRLEGEIPPQLAMCAYLNVIDLHDNFLSGQIPQQLGLLVRLSAFDVSNNKLSGPIPASLGNRSGNLPRFNATSFEGNKDLYGYPLPPMKAKGLSVLAIVGIGLGSGFASLVLSFTGVCIWLKVTEQKMALEEGKISSLMPDY >Potri.007G047400.1.v4.1 pep chromosome:Pop_tri_v4:7:4338593:4341023:-1 gene:Potri.007G047400.v4.1 transcript:Potri.007G047400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047400.v4.1 MAVELVMGYRNDGFAITSKMEENAVQEAASGLESVNKLIRLLSQKNQQNLHQSSTSTSRTSMDMEIDCKAVADAAVSKFKKVISLLGRNRTGHARFRRAPVSTPPINQRQELSYQVPEANTKVYYATPIQQIPPPVLNQNHYPILVPKNGVMERKDSATTTINFSYSSAGNSFVSSLTGDTDSKQPSSSSAFQFTNVSQVSSAGKPPLSTSSLKRKCSSENLDSAGKCGSPGRCHCSKKSRKMRLKRVVRVPAISLKMSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGDHNHTISVAETSNLILESS >Potri.007G047400.2.v4.1 pep chromosome:Pop_tri_v4:7:4338595:4340578:-1 gene:Potri.007G047400.v4.1 transcript:Potri.007G047400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047400.v4.1 MAVELVMGYRNDGFAITSKMEENAVQEAASGLESVNKLIRLLSQKNQQNLHQSSTSTSRTSMDMEIDCKAVADAAVSKFKKVISLLGRNRTGHARFRRAPVSTPPINQRQELSYQVPEANTKVYYATPIQQIPPPVLNQNHYPILVPKNGVMERKDSATTTINFSYSSAGNSFVSSLTGDTDSKQPSSSSAFQFTNVSQVSSAGKPPLSTSSLKRKCSSENLDSAGKCGSPGRCHCSKKRKMRLKRVVRVPAISLKMSDIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDPSMLVVTYEGDHNHTISVAETSNLILESS >Potri.006G250500.1.v4.1 pep chromosome:Pop_tri_v4:6:24968739:24969176:-1 gene:Potri.006G250500.v4.1 transcript:Potri.006G250500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250500.v4.1 MTIHKGINEMRRIVVNTPLNLYHGGLQEVGEVERLEVTLTEDEIIYCGNIRDVGSSTSVPGSSTSVLDPSISWGDISSPHTCMNVIDLSSSWMSWGDASDSSTSCEDY >Potri.006G133100.1.v4.1 pep chromosome:Pop_tri_v4:6:10910481:10913587:-1 gene:Potri.006G133100.v4.1 transcript:Potri.006G133100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133100.v4.1 MPVFEAMAVLSPSAALLTKTLQPKITTNLQTPIFINKSTGKLESNKIISICSPQLSNTKASAISGSPGIEAGSGLVSEDTTNILDTVEVFDLNGNAIPFSDLWKDRKAVVAFARHFGCVLCRRRADYLAAKKDIMDASGVALVLIGPGSVDQAKTFSEQTKFKGEVYADPSHSSYKALQFVSGVSTTFTPKAGLKIIQSYMEGYRQDWKLSFEGDTVAKGGWQQGGIIVAGPGKTNISYIHKDKEAGDDPDIEDIIKACC >Potri.011G148800.5.v4.1 pep chromosome:Pop_tri_v4:11:17614325:17621091:-1 gene:Potri.011G148800.v4.1 transcript:Potri.011G148800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148800.v4.1 MFGASNPFGQSSNSPFGTTQPAFGQTSNASNNPFAPKPFGSPTTPFGAQTGSSIFGGTSTGMFGTPQTSSFSATNAFGSSTPAFGASSTPAFGASSTPAFGASSSSAFGGSSVFGQKPFGGFGSTAQASPFGSTNQQSQPAFGNSLFGSTPFGAPTQSAFGATSTPAFGATNTPPFGSTNTTQAFGATSTTPAFGSTSTTPAFGSTSTAPAFGAPSSAPAFGTPSTTPAFGSTATPGFGSTGTTFTSSPLFGTGGAFGTSTTSGFGASTTTPAFGAPTTSAFGATSSPSFTFSSSPGFGQSASAFGSSPFGSTTSTFPAQTSPFGAQSTTSPFGNNGFAQSGFGAQRPGSRASPYAETAEAEGGAQAGKLLSISAMTAYKDKSHEELRWEDYQLGDKGGPLPAGQSPGGGGFNVSASQPNPFAPPTGLGQTSANIFSSTTTNLFAPKTQTSTPSFNTTSFGPSTSSNLFQSSTTPAFSAGSSPSLFGSTSTPSFSSTPSLFSSTVGQGTASPFGSSMFNNTQPSLSFPSTTPSLGQATAFSQPSPFGPSTTSSLFSSPSTGGLFSSTPSLITPNLGGFNQMTPSQPAPFQMSQPAQNAGTFASNFSQTQAAGMSGFAGVSNNLGQLSTPQSAVAIQPVPVTNPFGTLPAMPQMSIGRAGTTPSVQYGISSMPVIEKPSPVRVSSLLTSRHLSQRRIRLPARKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRASAEKASPVNASAPVHENGKISESDFANLSNGYTAGDKNKNLAENGVIKEQAPHVKVNQKPNGVHEDHSAQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERAKPGFCRHVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGRQFTEGPKIEKYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEEEEEDRDAQATADC >Potri.011G148800.1.v4.1 pep chromosome:Pop_tri_v4:11:17614313:17621091:-1 gene:Potri.011G148800.v4.1 transcript:Potri.011G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148800.v4.1 MFGASNPFGQSSNSPFGTTQPAFGQTSNASNNPFAPKPFGSPTTPFGAQTGSSIFGGTSTGMFGTPQTSSFSATNAFGSSTPAFGASSTPAFGASSTPAFGASSSSAFGGSSVFGQKPFGGFGSTAQASPFGSTNQQSQPAFGNSLFGSTPFGAPTQSAFGATSTPAFGATNTPPFGSTNTTQAFGATSTTPAFGSTSTTPAFGSTSTAPAFGAPSSAPAFGTPSTTPAFGSTATPGFGSTGTTFTSSPLFGTGGAFGTSTTSGFGASTTTPAFGAPTTSAFGATSSPSFTFSSSPGFGQSASAFGSSPFGSTTSTFPAQTSPFGAQSTTSPFGNNGFAQSGFGAQRPGSRASPYAETAEAEGGAQAGKLLSISAMTAYKDKSHEELRWEDYQLGDKGGPLPAGQSPGGGGFNVSASQPNPFAPPTGLGQTSANIFSSTTTNLFAPKTQTSTPSFNTTSFGPSTSSNLFQSSTTPAFSAGSSPSLFGSTSTPSFSSTPSLFSSTVGQGTASPFGSSMFNNTQPSLSFPSTTPSLGQATAFSQPSPFGPSTTSSLFSSPSTGGLFSSTPSLITPNLGGFNQMTPSQPAPFQMSQPAQNAGTFASNFSQTQAAGMSGFAGVSNNLGQLSTPQSAVAIQPVPVTNPFGTLPAMPQMSIGRAGTTPSVQYGISSMPVIEKPSPVRVSSLLTSRHLSQRRIRLPARKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRASAEKASPVNASAPVHENGKISESDFANLSNGYTAGDKNKNLAENGVIKEQAPHVKVNQKPNGVHEDHSAQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERAKPGFCRHVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGRQFTEGPKIEKYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEEEEEDRDAQATADC >Potri.011G148800.2.v4.1 pep chromosome:Pop_tri_v4:11:17614089:17621091:-1 gene:Potri.011G148800.v4.1 transcript:Potri.011G148800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148800.v4.1 MFGASNPFGQSSNSPFGTTQPAFGQTSNASNNPFAPKPFGSPTTPFGAQTGSSIFGGTSTGMFGTPQTSSFSATNAFGSSTPAFGASSTPAFGASSTPAFGASSSSAFGGSSVFGQKPFGGFGSTAQASPFGSTNQQSQPAFGNSLFGSTPFGAPTQSAFGATSTPAFGATNTPPFGSTNTTQAFGATSTTPAFGSTSTTPAFGSTSTAPAFGAPSSAPAFGTPSTTPAFGSTATPGFGSTGTTFTSSPLFGTGGAFGTSTTSGFGASTTTPAFGAPTTSAFGATSSPSFTFSSSPGFGQSASAFGSSPFGSTTSTFPAQTSPFGAQSTTSPFGNNGFAQSGFGAQRPGSRASPYAETAEAEGGAQAGKLLSISAMTAYKDKSHEELRWEDYQLGDKGGPLPAGQSPGGGGFNVSASQPNPFAPPTGLGQTSANIFSSTTTNLFAPKTQTSTPSFNTTSFGPSTSSNLFQSSTTPAFSAGSSPSLFGSTSTPSFSSTPSLFSSTVGQGTASPFGSSMFNNTQPSLSFPSTTPSLGQATAFSQPSPFGPSTTSSLFSSPSTGGLFSSTPSLITPNLGGFNQMTPSQPAPFQMSQPAQNAAGMSGFAGVSNNLGQLSTPQSAVAIQPVPVTNPFGTLPAMPQMSIGRAGTTPSVQYGISSMPVIEKPSPVRVSSLLTSRHLSQRRIRLPARKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRASAEKASPVNASAPVHENGKISESDFANLSNGYTAGDKNKNLAENGVIKEQAPHVKVNQKPNGVHEDHSAQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERAKPGFCRHVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGRQFTEGPKIEKYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEEEEEDRDAQATADC >Potri.011G148800.3.v4.1 pep chromosome:Pop_tri_v4:11:17614278:17621101:-1 gene:Potri.011G148800.v4.1 transcript:Potri.011G148800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148800.v4.1 MFGASNPFGQSSNSPFGTTQPAFGQTSNASNNPFAPKPFGSPTTPFGAQTGSSIFGGTSTGMFGTPQTSSFSATNAFGSSTPAFGASSTPAFGASSTPAFGASSSSAFGGSSVFGQKPFGGFGSTAQASPFGSTNQQSQPAFGNSLFGSTPFGAPTQSAFGATSTPAFGATNTPPFGSTNTTQAFGATSTTPAFGSTSTTPAFGSTSTAPAFGAPSSAPAFGTPSTTPAFGSTATPGFGSTGTTFTSSPLFGTGGAFGTSTTSGFGASTTTPAFGAPTTSAFGATSSPSFTFSSSPGFGQSASAFGSSPFGSTTSTFPAQTSPFGAQSTTSPFGNNGFAQSGFGAQRPGSRASPYAETAEAEGGAQAGKLLSISAMTAYKDKSHEELRWEDYQLGDKGGPLPAGQSPGGGGFNVSASQPNPFAPPTGLGQTSANIFSSTTTNLFAPKTQTSTPSFNTTSFGPSTSSNLFQSSTTPAFSAGSSPSLFGSTSTPSFSSTPSLFSSTVGQGTASPFGSSMFNNTQPSLSFPSTTPSLGQATAFSQPSPFGPSTTSSLFSSPSTGGLFSSTPSLITPNLGGFNQMTPSQPAPFQMSQPAQNAGTFASNFSQTQAAGMSGFAGVSNNLGQLSTPQSAVAIQPVPVTNPFGTLPAMPQMSIGRAGTTPSVQYGISSMPVIEKPSPVRVSSLLTSRHLSQRRIRLPARKYYPKHDGPKVPFFSDEEETPSTPKADALFIPRENPRALVIRPMDQWPSRASAEKASPVNASAPVHENGKISESDFANLSNGYTAGDKNKNLAENGVIKEQAPHVKVNQKPNGVHEDHSAQKEESYMTLSGHRAGEAAIVYEHGADIEALMPKLRRSDYFTEPRIQELAAKERAKPGFCRHVKDFVVGRHGYGSIKFSGETDVRRLDLESLVQFNNREVIVYMDDSKKPPVGQGLNKPAEVTLLNIKCFDKKTGRQFTEGPKIEKYKEMLKRKAEDQGAEFVSYDPVKGEWKFKVNHFSKYMLEEEEEDRDAQATADC >Potri.019G008902.1.v4.1 pep chromosome:Pop_tri_v4:19:1236465:1250557:1 gene:Potri.019G008902.v4.1 transcript:Potri.019G008902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008902.v4.1 MADKIKVWCFLLFLLRLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRTVVDIIGELLGFNQFIPPFATARGRDILVGVNYASGASGIRDESGRQLGDRISLNEQLQNHAATLSRLTQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYHLGARKIALPGLRPIGSIPYSFSTLCRNNVSCVTNINNAVLPFNAGLVSLVDQLNREFNDARFIYLNSTGMSSGDPSVLGFRVTNVGCCPARSDGQCIQDPCQNRTEYAFWDAIHPTEALNQFTARRSYNAILPSDAYPTDISHLIS >Potri.014G089100.1.v4.1 pep chromosome:Pop_tri_v4:14:5783166:5785547:-1 gene:Potri.014G089100.v4.1 transcript:Potri.014G089100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089100.v4.1 MATHSLLRSLAASFHGRFPTLPSRLTARAPYGTQNGVISVRTTAEFVLVDKSEADKSIRLKTTYLETIVPLLLQEFSYTNIDQVPRIQKVVVSCGIGDAAQSSKEVEAAINDLALITGQRPVKTGAENAVNAFKSREARPLGIYVTLRGNMMYSFLDRLVNLGLPRTRDFQGGTPNSFNRQGNYSIGISDQSVFPEIDFDAVGKHRGMDVCITTTANTDQEAQRLLSLMGMPFIEGGGAVQPRKKKSKAHHSDSKSKERSRR >Potri.015G131200.1.v4.1 pep chromosome:Pop_tri_v4:15:14136762:14138625:1 gene:Potri.015G131200.v4.1 transcript:Potri.015G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131200.v4.1 MVVNKEELTLSDEELITDDEMDNLDEKLSGSESESEDEDVKLPEPSKNAIFNRDGLADKLQDISWPENVGWIHKLVIDFNQEQEVDVNDDLTRELAFYTQALEGTRQAYAKLESMGIPFLRPPDYYAEMAKSDTHMEKVKGRLLAEKRSIEEAEERRKARDSKKLAKEVQAQKQKERNAQKKAAIETVKNWRKQRKQSGFAGGDKDGELDMPFEDGKVFERSNKKRPGVSPGDRSGGKGRQPWKKGKNGPEKKKFKRESRDSKFGFGGRKGLKKQNTADTTDDFRGSKKGSAAGNKKRKR >Potri.008G132300.1.v4.1 pep chromosome:Pop_tri_v4:8:8740558:8745244:1 gene:Potri.008G132300.v4.1 transcript:Potri.008G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132300.v4.1 MTKPNNFLQNLMKPFKLNSSKEGPEEEDLEKIAEQEQKQFSFETLLSATKDFHPTHKLGEGGFGPVYKGKLDDGREIAVKKLSHSSNQGKKEFMNEAKLLARVQHRNIVNLLGYCAHGVEKLLVYEYVANESLDKLLFKSDKRGQLDWKTRYNIIIGIARGLLYLHQDSHSCIIHRDIKASNILLDDKWVPKIADFGMARLFPEDQTHVNTRVAGTNGYMAPEYVMHGHLSVGADVFSFGVLVLELISGQRNSTFSQQHVEAQNLLDWAYKHHKKDRSLEIMDPELASSAVTEEVKTCIHIGLLCTQGDPQSRPHMRRIVVLLSRKPSNLEEPGRPGVPGSRYRRSRRPGGMSSTAGTFGTAGTFGESDSRTFNSSSNTKTATASTSASRETPP >Potri.014G186308.1.v4.1 pep chromosome:Pop_tri_v4:14:15432193:15433008:1 gene:Potri.014G186308.v4.1 transcript:Potri.014G186308.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186308.v4.1 MADFTGLISCGKHDVLFDVGLAPAAPSLSHAGGRPERGGPGPEGSPPAGERASQQGLSPAAASDVGLAPAAPSLSPAGGRPERGGPGPEGSPPAGERASQQGLSPAAASDHGCGGCGTSRARAQAPKCPRAPKGPRPSGPSAPAPSAGARVVFLGKRSSPAGKRVVDAGETSGHEAPHFSPSVAAALISPAAGLWAPGQRAPGPVRGHPPKEQ >Potri.002G166500.2.v4.1 pep chromosome:Pop_tri_v4:2:12732931:12736092:1 gene:Potri.002G166500.v4.1 transcript:Potri.002G166500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166500.v4.1 MEGETSWVINCFEDSTRGIGEFDSFSELSDEGSKEVNAVSVDLILPDDLLERILACLPVASIFRAGCVCKRWHEIVSSRRFLWNFSHVLPQKPWYFMFTSSDEPVGYAFDPVLRKWYGIDLPRIKTSNWFIASSCGLVSFMDNDTRSELYVCNPITKHCRKLQESPGLKFPDYSALAISVNRISHGYTISIVKSKQVPGNFFQWDLSIHIYDSDTRMWVTSCTEVLTGWRGGDESVICGGFLYVLIYSAGGGSPETRHGLITYNLSSRSSNGLLIRSFIKVPCPLTCGRLMNLNEKLVMVGGIGKQDRPDIIKGIGIWVLNGKDWQEIARMPHKCFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDFNQKQWKWSQKCPVTKRFPLQLFTGFCFEPRIEMAP >Potri.002G166500.3.v4.1 pep chromosome:Pop_tri_v4:2:12733585:12735942:1 gene:Potri.002G166500.v4.1 transcript:Potri.002G166500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166500.v4.1 MEGETSWVINCFEDSTRGIGEFDSFSELSDEGSKEVNAVSVDLILPDDLLERILACLPVASIFRAGCVCKRWHEIVSSRRFLWNFSHVLPQKPWYFMFTSSDEPVGYAFDPVLRKWYGIDLPRIKTSNWFIASSCGLVSFMDNDTRSELYVCNPITKHCRKLQESPGLKFPDYSALAISVNRISHGYTISIVKSKQVPGNFFQWDLSIHIYDSDTRMWVTSCTEVLTGWRGGDESVICGGFLYVLIYSAGGGSPETRHGLITYNLSSRSSNGLLIRSFIKVPCPLTCGRLMNLNEKLVMVGGIGKQDRPDIIKGIGIWVLNGKDWQEIARMPHKCFQGFGELDDVFASSGTDNLIYIQSYGAPALLVFDFNQKQWKWSQKCPVTKRFPLQLFTGFCFEPRIEMAP >Potri.009G158900.1.v4.1 pep chromosome:Pop_tri_v4:9:12324886:12325965:1 gene:Potri.009G158900.v4.1 transcript:Potri.009G158900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158900.v4.1 MADRVYPRDDSPPQTTELKPPPPPPPQEEEDQAHHPESPKKLAGPQSEKPVQPPPGTYVIQIPKDQVYRVPPPENAQRFERLSRRKPRRSHCCCCLCWFLSFLAAFLFLVGLAAAILYLVFRPESPDYSIERVSISGLNLTSSGPISPEFNVTVRANNPNNKIGIYYEKGSSVNVYNDGVKMAAGSLPVFYQDKNNVTVFVTSLKGSAIELTSGVRTALVNGVSKGTVPFNLALRAPVKFKVGSVKTWKITVKVDCDLTVDKLTASAKIGSKSCDYGVDLW >Potri.007G134600.4.v4.1 pep chromosome:Pop_tri_v4:7:14701115:14703815:-1 gene:Potri.007G134600.v4.1 transcript:Potri.007G134600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134600.v4.1 MASSSPSSLLLPLGSSSGKTTKRHGTINVFDKVQGLMNINVQCSNLDLRIRAREKKMDTSLMKRRDHVIGLVLGVSSLCNIGLFDDTAKAAGLPPEDKPRLCDENCEKELENVPMVTTESGLQFKDIKVGRGPSPPVGFQVAANYVAMVPSGQIFDSSLEKGQLYIFRVGSDQVIKGLDEGILSMKVGGKRRLYIPGLLAFPKGLTSAPGRPRVAPNSPVVFDVSLEYIPGLEVEEE >Potri.010G165300.1.v4.1 pep chromosome:Pop_tri_v4:10:16915583:16919970:1 gene:Potri.010G165300.v4.1 transcript:Potri.010G165300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165300.v4.1 MKFGKEFTAQAVPEWHEAYMDYDFLKTLLKEIQSFQIRANPPATSPGGLKRKLTLYRAFSGLTRRNSTNYTPVSPSSPDLELQPILVNSVNLDGSQSYQTTFLMPTVRGGEYELVFFRRLDDEFNKVDKFYRSKVEEVLKEAAMLNKQMDALIAFRIKVENPAGWSDRVADMTRLASDIAASTAALAASSPSGARERRRGLHLMYAIEEGQSLHEQSDESDHDKMEKQSDNSDQKEEEEEEEEEKPKSMVRTFRPASLEILNRAKINNTLATPRSTIKNFLKVPQQTELKFTRENLRKVEEQLKGAFFEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITTRDASQVYMKMVDNSFLGSSDEVTKLMERVEATFIKHFLNSNRSKGMSVLRPKAKKERHRITFYMGFFSGCTIALLIALVLIVKTRKIMNNPGRITYMQTMFPLYSLFGLIVLHVLIYAANIYFWRRYRVNYSFIFGFKRETELGYRQVLLLGFGIAVLALCSVHLNLDMEMDPKTKDYEAFTELLPLNVLIFLLIILLWPFNIFYRSSRFFLLTCIFHCIAAPLYKVTLPDFFLADQLTSQVQSLRSLEFYICYYAWGDYKHRRNNCKESPVFITFSFIVAVIPYWSRLLQCLRRLFEEKDPMQGYNGLKYFLTVVAVCMRIAYNINKGDGWRATAWVFSSIAAIIGTYWDLVFDWGLLQRHSKNRWLRDKLLVPHKSVYFGAMVLNILLRFAWLQTVLNFRVTSLHKETMMTLVASLEIIRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFNNVEDDDHGD >Potri.001G129600.1.v4.1 pep chromosome:Pop_tri_v4:1:10601497:10612336:-1 gene:Potri.001G129600.v4.1 transcript:Potri.001G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129600.v4.1 MSKMADHDQAKVVAADVVSGDMIFQPILEDGIFRFDCSAEARAASYPSLSFIRSSDRDTPIMSHSVPSYTPTYECVSGKQIVKFEFPDGTTFYGTGEVSGQLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLAVLPNGEALGVLADTTLRCEIDLRKESIIQFIAPSSYPVVTFGLFASPTDVLKSLSHAIGTVFMPPKWSLGYQQCRWSYDSDERVREIARTFREKGIPCDVIWMDIDYMDGFRCFTFDQERFRDPQSLVKDLHDDGFKAIWMLDPGIKKEEGYLIYDSGSENDAWIKKADGEPFVGEVWPGPCVFPDFTQSKVRAWWALLVKDFTSNGVDGIWNDMNEPAVFKTVTKTMPESNLHLGDEEIGGCQNHSHYHNVYGMLMARSTYEGMKLANENKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHVHMSISMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGAMFPFCRGHSEKSTNDHEPWSFGEECEEVCRLALKRRYRLLPHIYTLFYLAHTTGIPVATPTFFADPKDPGLRTTENSFLLGPLLVFSSTIADQGMDRLHPVLPKGIWLRFDFDDSHPDLPTLYLQGGSIIPLAPPHQHVGEANLSDDLTLLVALDQNGHAEGLLFEDEGDGYEFTRGGYLLTRYVAELQSSAVTVRVSQMEGSWKRPRRRLRVQLLLGGGAMLDSWGIDGDVLKINMPTEVEVSTLVSTSEKQYRTRLECAKHIPELEEVSGPKGVVDLSKVPVELKNGDWIAKVVPWIGGRIISMEHLPSGTQWLHSRVEIDGYEEYSGTEYRSAGCSEEYSVIERDLEHAEEEESLILEGNIGGGLVLRRQISILKDNPKILQIDSGIIARSVGAGSGGFSRLVCLRVHPAFTLLHPTETFVSFTSIDGSKHEIWPESGDQFYQENLLPNGEWMLVDQCQGLALVNRFNINEVFKCYIHWGTGTVNLELWSEDRPVSKQSPLTVSHGYEVRGIS >Potri.001G129600.2.v4.1 pep chromosome:Pop_tri_v4:1:10600787:10612268:-1 gene:Potri.001G129600.v4.1 transcript:Potri.001G129600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129600.v4.1 METVLVGSSGQQRGLTPTISKLRHHHKLPPPHSLFPTSTTTCTSAVTLASRKRRLNKKLSCRGLMSKMADHDQAKVVAADVVSGDMIFQPILEDGIFRFDCSAEARAASYPSLSFIRSSDRDTPIMSHSVPSYTPTYECVSGKQIVKFEFPDGTTFYGTGEVSGQLERTGKRVFTWNTDAWGYGPGTTSLYQSHPWVLAVLPNGEALGVLADTTLRCEIDLRKESIIQFIAPSSYPVVTFGLFASPTDVLKSLSHAIGTVFMPPKWSLGYQQCRWSYDSDERVREIARTFREKGIPCDVIWMDIDYMDGFRCFTFDQERFRDPQSLVKDLHDDGFKAIWMLDPGIKKEEGYLIYDSGSENDAWIKKADGEPFVGEVWPGPCVFPDFTQSKVRAWWALLVKDFTSNGVDGIWNDMNEPAVFKTVTKTMPESNLHLGDEEIGGCQNHSHYHNVYGMLMARSTYEGMKLANENKRPFVLTRAGFIGSQRYAATWTGDNLSNWEHVHMSISMVLQLGLSGQPLSGPDIGGFAGNATPKLFGRWMGVGAMFPFCRGHSEKSTNDHEPWSFGEECEEVCRLALKRRYRLLPHIYTLFYLAHTTGIPVATPTFFADPKDPGLRTTENSFLLGPLLVFSSTIADQGMDRLHPVLPKGIWLRFDFDDSHPDLPTLYLQGGSIIPLAPPHQHVGEANLSDDLTLLVALDQNGHAEGLLFEDEGDGYEFTRGGYLLTRYVAELQSSAVTVRVSQMEGSWKRPRRRLRVQLLLGGGAMLDSWGIDGDVLKINMPTEVEVSTLVSTSEKQYRTRLECAKHIPELEEVSGPKGVVDLSKVPVELKNGDWIAKVVPWIGGRIISMEHLPSGTQWLHSRVEIDGYEEYSGTEYRSAGCSEEYSVIERDLEHAEEEESLILEGNIGGGLVLRRQISILKDNPKILQIDSGIIARSVGAGSGGFSRLVCLRVHPAFTLLHPTETFVSFTSIDGSKHEIWPESGDQFYQENLLPNGEWMLVDQCQGLALVNRFNINEVFKCYIHWGTGTVNLELWSEDRPVSKQSPLTVSHGYEVRGIS >Potri.004G230800.2.v4.1 pep chromosome:Pop_tri_v4:4:23431253:23433936:-1 gene:Potri.004G230800.v4.1 transcript:Potri.004G230800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230800.v4.1 MAIAATMSHNDENNNSNDNTNNKDDDDDHEHDMVMPGFRFRPTEEELIEFYLRRKVEGKHFNVELITFLDLYSYDPWELPALAAIGEKEWFFYVPRDRKYRNGDRPNRVTTSGYWKATGADRMIRAENSRSIGLKKTLVFYSGKAPKGIRTSWIMNEYRLPQHETERYQKAEISLCCVYKRAGIEDHPSLPRSIPSRASSSRGTQSDKKHQSHVTVKGFQPFLGQSSQQIEMEKMSETDASGSSDVTTALGLSQHNINAYHPISTSIELPASVEEGMFLNQTKQAASSFLVPNCPNLFTVTSSVSSNPVYDDLHRLINYQQADIGQQQQQQFYLLQQHQPSPLSSMAPQSQSLPFNMLPNLLPTTFPDRIWDWNQMPESNRDYNNPFK >Potri.006G021500.4.v4.1 pep chromosome:Pop_tri_v4:6:1451487:1453497:-1 gene:Potri.006G021500.v4.1 transcript:Potri.006G021500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021500.v4.1 MVKFLRPNKAVIVLQGRYAGRKAVIVKHFDDGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKCFVKVVNYQHLMPTRYTLDVDLKDLVTPDCLATKDKKVVACKETKTRFEERFKTGKNRWFFTKLRF >Potri.014G146200.7.v4.1 pep chromosome:Pop_tri_v4:14:10001978:10007845:1 gene:Potri.014G146200.v4.1 transcript:Potri.014G146200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146200.v4.1 MDSQDPRKNPTHTPGHESHGVYVCHKCGWPFPNPHPSARHRRAHKKICGTLEGYKFVDSEETPLSALSDDDHGSDEDPKTPSPKGLERGINEKGCGGVGSRSNRSEDDVFTDAIAEFPESGSSPVTGEHTRDVKEPEINLEINKATAQSSEDGSITVISPPPSNSADHIQMQSTEVPVINLSGSAQESLDHGSNATIASMTRSLTDCRGEESDFEHSHDNGSSAWDSIPIKLETQTDASQENKKSGTVEDLPETDAKGNEETKLDGQLLDVVVSTDDNAEDASESQKMEDVTSQPVPAAEVLQLKEGGYTDDLASGMSLNDLSPEVNLAEPAHSSISTAQIEGDTQEIDSAVYVNSAVSYDNKGEGNGNMHVLIVPNDLTLVADAENMVKGFKDLEGGKLPQLMNMDSFEVSNNVKDSDLKNNPQGFNSRPLTEDTEVSASNMHVLNDNLEPKDGTSQHIVELPDEAEADMPQRSEVGVTDVVTGDLEKSISVHSPEEDVPRDHCETSSLTSSIEHATKATSDTNTVVVPMDAEVRQTNLIGMDDTGNDEKDKIESSEVGENDKNKRNTKESFAENRIPTSKHASISSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKLLVLKRVK >Potri.014G146200.6.v4.1 pep chromosome:Pop_tri_v4:14:10004154:10007891:1 gene:Potri.014G146200.v4.1 transcript:Potri.014G146200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146200.v4.1 MTRSLTDCRGEESDFEHSHDNGSSAWDSIPIKLETQTDASQENKKSGTVEDLPETDAKGNEETKLDGQLLDVVVSTDDNAEDASESQKMEDVTSQPVPAAEVLQLKEGGYTDDLASGMSLNDLSPEVNLAEPAHSSISTAQIEGDTQEIDSAVYVNSAVSYDNKGEGNGNMHVLIVPNDLTLVADAENMVKGFKDLEGGKLPQLMNMDSFEVSNNVKDSDLKNNPQGFNSRPLTEDTEVSASNMHVLNDNLEPKDGTSQHIVELPDEAEADMPQRSEVGVTDVVTGDLEKSISVHSPEEDVPRDHCETSSLTSSIEHATKATSDTNTVVVPMDAEVRQTNLIGMDDTGNDEKDKIESSEVGENDKNKRNTKESFAENRIPTSKHASISSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENPSRGPKTTPESAVNVESCFISENDINVCEGKLPQHEHTDIGGVLDPQESRKEPESNGMANQLVERAGEVSAAAESYSGGDAEVLWKSSEDKMVREPLVSRSEPSSSLQNSSPVADNQAKDFLGVASGNTPESLPDEGDNNLVTQQVVASATDFSVDSISQTDSLEGHWGSVSVLSTQSDIPAILDAEPLPSNGSQALSEAEKATLKKPIAASETEHADKSDIFDPPSFMTLVEPRDVVNQKAAASEIQTTGNPQQPKAASVQAGWFPSITNVLSESQGRKKNEEIIAKVTNWSTGEQHPSLRSPQHTPLKSLLGEASMETKSKALNAKEIPVEKDGFAAKDNGALPKTVSSILAPQEPVGEPAMVEEKAWSSPARYPADIKREKRKVRGRPYWAQFVCCSSVN >Potri.014G146200.4.v4.1 pep chromosome:Pop_tri_v4:14:10001877:10007880:1 gene:Potri.014G146200.v4.1 transcript:Potri.014G146200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146200.v4.1 MDSQDPRKNPTHTPGHESHGVYVCHKCGWPFPNPHPSARHRRAHKKICGTLEGYKFVDSEETPLSALSDDDHGSDEDPKTPSPKGLERGINEKGCGGVGSRSNRSEDDVFTDAIAEFPESGSSPVTGEHTRDVKEPEINLEINKATAQSSEDGSITVISPPPSNSADHIQMQSTEVPVINLSGSAQESLDHGSNATIASMTRSLTDCRGEESDFEHSHDNGSSAWDSIPIKLETQTDASQENKKSGTVEDLPETDAKGNEETKLDGQLLDVVVSTDDNAEDASESQKMEDVTSQPVPAAEVLQLKEGGYTDDLASGMSLNDLSPEVNLAEPAHSSISTAQIEGDTQEIDSAVYVNSAVSYDNKGEGNGNMHVLIVPNDLTLVADAENMVKGFKDLEGGKLPQLMNMDSFEVSNNVKDSDLKNNPQGFNSRPLTEDTEVSASNMHVLNDNLEPKDGTSQHIVELPDEAEADMPQRSEVGVTDVVTGDLEKSISVHSPEEDVPRDHCETSSLTSSIEHATKATSDTNTVVVPMDAEVRQTNLIGMDDTGNDEKDKIESSEVGENDKNKRNTKESFAENRIPTSKHASISSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENPSRGPKTTPESAVNVESCFISENDINVCEGKLPQHEHTDIGGVLDPQESRKEPESNGMANQLVERAGEVSAAAESYSGGDAEVLWKSSEDKMVREPLVSRSEPSSSLQNSSPVADNQAKDFLGVASGNTPESLPDEGDNNLVTQQVVASATDFSVDSISQTDSLEGHWGSVSVLSTQSDIPAILDAEPLPSNGSQALSEAEKATLKKPIAASETEHADKSDIFDPPSFMTLVEPRDVVNQKAAASEIQTTGNPQQPKAASVQAGWFPSITNVLSESQGRKKNEEIIAKVTNWSTGEQHPSLRSPQHTPLKSLLGEASMETKSKALNAKEIPVEKDGFAAKDNGALPKTVSSILAPQEPVGEPAMVEEKAWSSPARYPADIKREKRKVRGRPYWAQFVCCSSVN >Potri.014G146200.5.v4.1 pep chromosome:Pop_tri_v4:14:10001990:10007891:1 gene:Potri.014G146200.v4.1 transcript:Potri.014G146200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146200.v4.1 MDSQDPRKNPTHTPGHESHGVYVCHKCGWPFPNPHPSARHRRAHKKICGTLEGYKFVDSEETPLSALSDDDHGSDEDPKTPSLERGINEKGCGGVGSRSNRSEDDVFTDAIAEFPESGSSPVTGEHTRDVKEPEINLEINKATAQSSEDGSITVISPPPSNSADHIQMQSTEVPVINLSGSAQESLDHGSNATIASMTRSLTDCRGEESDFEHSHDNGSSAWDSIPIKLETQTDASQENKKSGTVEDLPETDAKGNEETKLDGQLLDVVVSTDDNAEDASESQKMEDVTSQPVPAAEVLQLKEGGYTDDLASGMSLNDLSPEVNLAEPAHSSISTAQIEGDTQEIDSAVYVNSAVSYDNKGEGNGNMHVLIVPNDLTLVADAENMVKGFKDLEGGKLPQLMNMDSFEVSNNVKDSDLKNNPQGFNSRPLTEDTEVSASNMHVLNDNLEPKDGTSQHIVELPDEAEADMPQRSEVGVTDVVTGDLEKSISVHSPEEDVPRDHCETSSLTSSIEHATKATSDTNTVVVPMDAEVRQTNLIGMDDTGNDEKDKIESSEVGENDKNKRNTKESFAENRIPTSKHASISSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENPSRGPKTTPESAVNVESCFISENDINVCEGKLPQHEHTDIGGVLDPQESRKEPESNGMANQLVERAGEVSAAAESYSGGDAEVLWKSSEDKMVREPLVSRSEPSSSLQNSSPVADNQAKDFLGVASGNTPESLPDEGDNNLVTQQVVASATDFSVDSISQTDSLEGHWGSVSAILDAEPLPSNGSQALSEAEKATLKKPIAASETEHADKSDIFDPPSFMTLVEPRDVVNQKAAASEIQTTGNPQQPKAASVQAGWFPSITNVLSESQGRKKNEEIIAKVTNWSTGEQHPSLRSPQHTPLKSLLGEASMETKSKALNAKEIPVEKDGFAAKDNGALPKTVSSILAPQEPVGEPAMVEEKAWSSPARYPADIKREKRKVRGRPYWAQFVCCSSVN >Potri.014G146200.1.v4.1 pep chromosome:Pop_tri_v4:14:10002032:10007908:1 gene:Potri.014G146200.v4.1 transcript:Potri.014G146200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146200.v4.1 MDSQDPRKNPTHTPGHESHGVYVCHKCGWPFPNPHPSARHRRAHKKICGTLEGYKFVDSEETPLSALSDDDHGSDEDPKTPSPKGLERGINEKGCGGVGSRSNRSEDDVFTDAIAEFPESGSSPVTGEHTRDVKEPEINLEINKATAQSSEDGSITVISPPPSNSADHIQMQSTEVPVINLSGSAQESLDHGSNATIASMTRSLTDCRGEESDFEHSHDNGSSAWDSIPIKLETQTDASQENKKSGTVEDLPETDAKGNEETKLDGQLLDVVVSTDDNAEDASESQKMEDVTSQPVPAAEVLQLKEGGYTDDLASGMSLNDLSPEVNLAEPAHSSISTAQIEGDTQEIDSAVYVNSAVSYDNKGEGNGNMHVLIVPNDLTLVADAENMVKGFKDLEGGKLPQLMNMDSFEVSNNVKDSDLKNNPQGFNSRPLTEDTEVSASNMHVLNDNLEPKDGTSQHIVELPDEAEADMPQRSEVGVTDVVTGDLEKSISVHSPEEDVPRDHCETSSLTSSIEHATKATSDTNTVVVPMDAEVRQTNLIGMDDTGNDEKDKIESSEVGENDKNKRNTKESFAENRIPTSKHASISSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENPSRGPKTTPESAVNVESCFISENDINVCEGKLPQHEHTDIGGVLDPQESRKEPESNGMANQLVERAGEVSAAAESYSGGDAEVLWKSSEDKMVREPLVSRSEPSSSLQNSSPVADNQAKDFLGVASGNTPESLPDEGDNNLVTQQVVASATDFSVDSISQTDSLEGHWGSVSVLSTQSDIPAILDAEPLPSNGSQALSEAEKATLKKPIAASETEHADKSDIFDPPSFMTLVEPRDVVNQKAAASEIQTTGNPQQPKAASVQAGWFPSITNVLSESQGRKKNEEIIAKVTNWSTGEQHPSLRSPQHTPLKSLLGEASMETKSKALNAKEIPVEKDGFAAKDNGALPKTVSSILAPQEPVGEPAMVEEKAWSSPARYPADIKREKRKVRGRPYWAQFVCCSSVN >Potri.014G146200.3.v4.1 pep chromosome:Pop_tri_v4:14:10002017:10007816:1 gene:Potri.014G146200.v4.1 transcript:Potri.014G146200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146200.v4.1 MDSQDPRKNPTHTPGHESHGVYVCHKCGWPFPNPHPSARHRRAHKKICGTLEGYKFVDSEETPLSALSDDDHGSDEDPKTPSPKGLERGINEKGCGGVGSRSNRSEDDVFTDAIAEFPESGSSPVTGEHTRDVKEPEINLEINKATAQSSEDGSITVISPPPSNSADHIQMQSTEVPVINLSGSAQESLDHGSNATIASMTRSLTDCRGEESDFEHSHDNGSSAWDSIPIKLETQTDASQENKKSGTVEDLPETDAKGNEETKLDGQLLDVVVSTDDNAEDASESQKMEDVTSQPVPAAEVLQLKEGGYTDDLASGMSLNDLSPEVNLAEPAHSSISTAQIEGDTQEIDSAVYVNSAVSYDNKGEGNGNMHVLIVPNDLTLVADAENMVKGFKDLEGGKLPQLMNMDSFEVSNNVKDSDLKNNPQGFNSRPLTEDTEVSASNMHVLNDNLEPKDGTSQHIVELPDEAEADMPQRSEVGVTDVVTGDLEKSISVHSPEEDVPRDHCETSSLTSSIEHATKATSDTNTVVVPMDAEVRQTNLIGMDDTGNDEKDKIESSEVGENDKNKRNTKESFAENRIPTSKHASISSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENLLREPKSTPESAVNVESCFTSENDINVCEGRLPQLASIPSEQADQRNSVLGDVKAAGLEEGKIERCNASEIVTEGDSVSGLGEENPSRGPKTTPESAVNVESCFISENDINVCEGKLPQHEHTDIGGVLDPQESRKEPESNGMANQLVERAGEVSAAAESYSGGDAEVLWKSSEDKMVREPLVSRSEPSSSLQNSSPVADNQAKDFLGVASGNTPESLPDEGDNNLVTQQVVASATDFSVDSISQTDSLEGHWGSVSAILDAEPLPSNGSQALSEAEKATLKKPIAASETEHADKSDIFDPPSFMTLVEPRDVVNQKAAASEIQTTGNPQQPKAASVQAGWFPSITNVLSESQGRKKNEEIIAKVTNWSTGEQHPSLRSPQHTPLKSLLGEASMETKSKALNAKEIPVEKDGFAAKDNGALPKTVSSILAPQEPVGEPAMVEEKAWSSPARYPADIKREKRKVRGRPYWAQFVCCSSVN >Potri.002G181400.2.v4.1 pep chromosome:Pop_tri_v4:2:14369382:14377141:-1 gene:Potri.002G181400.v4.1 transcript:Potri.002G181400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181400.v4.1 MPAEVVVEYDKAASLWSLHEQIKQIEAAVEEAAQSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGDLGQWCAYKVAQSFLTALQNDERANYQLDVKFQESYLERVVLLLQCQLTEGAVTDKDTKVSDNGNDNIQDGPGFDEIEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQSLIKVLLRYQHTEDFRAIIFVERVVAALVLPKVFAELPSLSFVRCASLIGHNNSQEMRTSQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHGAFLRNARNSEETLRKEAIERTDLSHLKDTSRLIAVDSIPGTVYQVESTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPGFIMEKHEKPGGPTEYSCKLQLPCNAPFEELEGPVCSSMRLAHQAVCLAACKKLHEMGAFTDMLLPDKGSEEEKDKVDQNDEGEPLPGTARHREFYPEGVAKTLQGEWILCGRDGCNNSKVLHLYLYGVRCLNIGTSNDPFLTQVSNFAVLFGNELDAEVLSMSMDLFIARTMITKASLVFRGRIPITESQLASLKNFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPMVSDKSVDPIKEIDWDLVENIIGTDAWSNRLQRARPDVYLGTNERTLGGDRREYGFGKLRHGIAFGQKPHPTYGIRGAVAQFDVVKASGLIPKRGWDATETQKLELTKGKLMMADTCVNADALMGRIVTAAHSGKRFYVDSICYDMTAEISFPRKEGYLGPLEYSSYADYYKQKYGVELKFKQQPLLRGRGVSYCKNLLSPRFEHSDSNEGDAEENLDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVELKDIINYPVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFAPSRWAAPGVLPVFDEETKDGDSYIFDQEKSLAEDRTGMNHLDDGYENEIEDGELESDASSYRVLSSKTLADVVEALIGVYYVEGGKNAVNHLMKWIGIQVEFDHEEIDGASRPFNVPESVLRSVDFDTLEGALDIKFNDRGLLIEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALEKQIRDFVREVQDELLKPVFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTAVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKATRSGNLATVEVFIDGVQVGVAQNPQKKMAQKLAARNALVVLKEKETAEAKEKSDENGKKKRNGNQTFTRQTLNDICLRRNWPMPSYRCVNEGGPAHAKRFTFAVRVNTTDRGWTDECVGEPMPSVKKAKDSAAVLLLELLNKRYS >Potri.002G181400.1.v4.1 pep chromosome:Pop_tri_v4:2:14369459:14380776:-1 gene:Potri.002G181400.v4.1 transcript:Potri.002G181400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181400.v4.1 MESESNGRVSGIGGGGGPSYWLDACEDISCDIIDDFVDFDTSIVPELSVDNNSNVNNDFFGGIDHILDSIKNGSGLPPLHNASTTANVSNGNRDCIVGDGWFINVENGVCHGSSVSQSNGGDKDNIDRKGQVENGGNGLNLSNGKREERFSNNFVKENGKKDEQSTEQGIDGDERCGKRARLCCYRNERVYSSRGQHEHRDRERCSSRKRSRDWDESDRRDRDISRRRDRYSGSNRRDGRDRDWRERELRGYWERDRSGSKDMVFRLGTWEADHNKEGREANDKIQECKGELEKKSEESKEKVPEEQARQYQLDVLDQAKKKNTIAFLETGAGKTLIAVLLIRSICNDLQRQNKKILAVFLVPKVPLVYQQAEVIRERGYQVGHYCGEMGQDFWDTRRWQREFETKQVLVMTAQILLNILRHSIIKMEAINLLILDECHHAVKKHPYSLVMSEFYHTTPKEKRPSVFGMTASPVNLKGVSSQVDCAIKIRNLESKLDSIVCTIKDRKELEKHVPMPAEVVVEYDKAASLWSLHEQIKQIEAAVEEAAQSSSRRSKWQFMGARDAGAKEELRQVYGVSERTESDGAANLIQKLRAINYALGDLGQWCAYKVAQSFLTALQNDERANYQLDVKFQESYLERVVLLLQCQLTEGAVTDKDTKVSDNGNDNIQDGPGFDEIEEGELPDSHVVSGGEHVDVIIGAAVADGKVTPKVQSLIKVLLRYQHTEDFRAIIFVERVVAALVLPKVFAELPSLSFVRCASLIGHNNSQEMRTSQMQDTIAKFRDGRVTLLVATSVAEEGLDIRQCNVVIRFDLAKTVLAYIQSRGRARKPGSDYILMVERGNLSHGAFLRNARNSEETLRKEAIERTDLSHLKDTSRLIAVDSIPGTVYQVESTGAVVSLNSAVGLVHFYCSQLPSDRYSILRPGFIMEKHEKPGGPTEYSCKLQLPCNAPFEELEGPVCSSMRLAHQAVCLAACKKLHEMGAFTDMLLPDKGSEEEKDKVDQNDEGEPLPGTARHREFYPEGVAKTLQGEWILCGRDGCNNSKVLHLYLYGVRCLNIGTSNDPFLTQVSNFAVLFGNELDAEVLSMSMDLFIARTMITKASLVFRGRIPITESQLASLKNFHVRLMSIVLDVDVEPSTTPWDPAKAYLFVPMVSDKSVDPIKEIDWDLVENIIGTDAWSNRLQRARPDVYLGTNERTLGGDRREYGFGKLRHGIAFGQKPHPTYGIRGAVAQFDVVKASGLIPKRGWDATETQKLELTKGKLMMADTCVNADALMGRIVTAAHSGKRFYVDSICYDMTAEISFPRKEGYLGPLEYSSYADYYKQKYGVELKFKQQPLLRGRGVSYCKNLLSPRFEHSDSNEGDAEENLDKTYYVFLPPELCLVHPLPGSLVRGAQRLPSIMRRVESMLLAVELKDIINYPVPASKILEALTAASCQETFCYERAELLGDAYLKWVVSRFLFLKYPQKHEGQLTRMRQQMVSNMVLYQYALNKGLQSYIQADRFAPSRWAAPGVLPVFDEETKDGDSYIFDQEKSLAEDRTGMNHLDDGYENEIEDGELESDASSYRVLSSKTLADVVEALIGVYYVEGGKNAVNHLMKWIGIQVEFDHEEIDGASRPFNVPESVLRSVDFDTLEGALDIKFNDRGLLIEAITHASRPSSGVSCYQRLEFVGDAVLDHLITRHLFFTYTNLPPGRLTDLRAAAVNNENFARVAVKHKLHVHLRHGSSALEKQIRDFVREVQDELLKPVFNSFGLGDCKAPKVLGDIVESIAGAIFLDSGRDTAVVWKVFQPLLHPMVTPETLPMHPVRELQERCQQQAEGLEYKATRSGNLATVEVFIDGVQVGVAQNPQKKMAQKLAARNALVVLKEKETAEAKEKSDENGKKKRNGNQTFTRQTLNDICLRRNWPMPSYRCVNEGGPAHAKRFTFAVRVNTTDRGWTDECVGEPMPSVKKAKDSAAVLLLELLNKRYS >Potri.010G100900.3.v4.1 pep chromosome:Pop_tri_v4:10:12363728:12381377:-1 gene:Potri.010G100900.v4.1 transcript:Potri.010G100900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100900.v4.1 MGSVTEEEGVLLYRVLSSSSSSSASSDEWNPTDTVIFFGLSLGLGIACRHVLRGTRVPYTVALLVIGIALGSLEYGTSHKLGRIGDGIRLWAHIDPDLLLAVFLPALLFESSFSMEVHQIKRCMAQMLLLAGPGVLISTCCLGCALKLIFPYNWNWTTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESFNWVSILKFLTQVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYIAYFTAQEGAGVSGVLAVMTLGMFYAAVARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSGNIFHNHGHAWGYLFLLYIFVQLSRFVVVGVLYPFLRYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSMYLSSETGTLFVFFTGGIVLLTLIVNGSTTQFILHLLDMDRISATKKRILNYTKYEMLNKALEAFGDLGDDEELGPVDWPTVKTYIASLNNLEGSFEHPHSASEAGNNLDPNNLKDIRLRLLNGVQAAYWGMLDEGRIMQTTANILMQSVDEAIDLASHECLCDWKGLRSNVHFPSYYKFLQASIFPQRMVTYFTVERLESACYICAAFLRAHRIARRQLHDFIGKLGGSDIASIVINESEAEGEEARKFLEDVRVTFPQVLRVVKTRQVTYSVLNHLIDYVQNLEKVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKVPKITDLISVHPLLGALPSMVRKALEGSAKEIMKPCGVPLYKEGSKPNGVWLISNGVVKWTSKNIRSRHALHPTFTHGSTLGLYELLVGKRCMCDIITDSVVLCFFIESEKILSVLGSDPAVEDFLWQESAIVLAKLLLPQVFEKMPLQELRVLVARRSVITTYIRGETIEVPHHSLGFLLEGFIKAHGFQELIASPAVLLPLQGNQSSQNIEISGSQAASFSHQGSRYQVEARARVIFFDIAAFEVDGALRRRPSSLASVDRPNRPLTREHGGLMSWPENFYRPRERKPNCEGTYRPANSLSARAMQLSIFGSMVDMRRRAHSFSSSQVKRSHSMSVLRMASFRNRQQVPVPSEGATSARMSLEVRNLIGKTPAPQLHSAGTNETHTMDNYSDESDAEDEIVVRIDSPSRLSFHQES >Potri.010G100900.1.v4.1 pep chromosome:Pop_tri_v4:10:12363711:12381567:-1 gene:Potri.010G100900.v4.1 transcript:Potri.010G100900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100900.v4.1 MGSVTEEEGVLLYRVLSSSSSSSASSDEWNPTDTVIFFGLSLGLGIACRHVLRGTRVPYTVALLVIGIALGSLEYGTSHKLGRIGDGIRLWAHIDPDLLLAVFLPALLFESSFSMEVHQIKRCMAQMLLLAGPGVLISTCCLGCALKLIFPYNWNWTTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESFNWVSILKFLTQVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYIAYFTAQEGAGVSGVLAVMTLGMFYAAVARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSGNIFHNHGHAWGYLFLLYIFVQLSRFVVVGVLYPFLRYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSMYLSSETGTLFVFFTGGIVLLTLIVNGSTTQFILHLLDMDRISATKKRILNYTKYEMLNKALEAFGDLGDDEELGPVDWPTVKTYIASLNNLEGSFEHPHSASEAGNNLDPNNLKDIRLRLLNGVQAAYWGMLDEGRIMQTTANILMQSVDEAIDLASHECLCDWKGLRSNVHFPSYYKFLQASIFPQRMVTYFTVERLESACYICAAFLRAHRIARRQLHDFIGGSDIASIVINESEAEGEEARKFLEDVRVTFPQVLRVVKTRQVTYSVLNHLIDYVQNLEKVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKVPKITDLISVHPLLGALPSMVRKALEGSAKEIMKPCGVPLYKEGSKPNGVWLISNGVVKWTSKNIRSRHALHPTFTHGSTLGLYELLVGKRCMCDIITDSVVLCFFIESEKILSVLGSDPAVEDFLWQESAIVLAKLLLPQVFEKMPLQELRVLVARRSVITTYIRGETIEVPHHSLGFLLEGFIKAHGFQELIASPAVLLPLQGNQSSQNIEISGSQAASFSHQGSRYQVEARARVIFFDIAAFEVDGALRRRPSSLASVDRPNRPLTREHGGLMSWPENFYRPRERKPNCEGTYRPANSLSARAMQLSIFGSMVDMRRRAHSFSSSQVKRSHSMSVLRMASFRNRQQVPVPSEGATSARMSLEVRNLIGKTPAPQLHSAGTNETHTMDNYSDESDAEDEIVVRIDSPSRLSFHQES >Potri.010G100900.5.v4.1 pep chromosome:Pop_tri_v4:10:12363728:12381573:-1 gene:Potri.010G100900.v4.1 transcript:Potri.010G100900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100900.v4.1 MGSVTEEEGVLLYRVLSSSSSSSASSDEWNPTDTVIFFGLSLGLGIACRHVLRGTRVPYTVALLVIGIALGSLEYGTSHKLGRIGDGIRLWAHIDPDLLLAVFLPALLFESSFSMEVHQIKRCMAQMLLLAGPGVLISTCCLGCALKLIFPYNWNWTTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESFNWVSILKFLTQVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYIAYFTAQEGAGVSGVLAVMTLGMFYAAVARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSGNIFHNHGHAWGYLFLLYIFVQLSRFVVVGVLYPFLRYFGYGLDWKEATILIWSGLRGAVALSLSLSVKRTSDSSMYLSSETGTLFVFFTGGIVLLTLIVNGSTTQFILHLLDMDRISATKKRILNYTKYEMLNKALEAFGDLGDDEELGPVDWPTVKTYIASLNNLEGSFEHPHSASEAGNNLDPNNLKDIRLRLLNGVQAAYWGMLDEGRIMQTTANILMQSVDEAIDLASHECLCDWKGLRSNVHFPSYYKFLQASIFPQRMVTYFTVERLESACYICAAFLRAHRIARRQLHDFIGGSDIASIVINESEAEGEEARKFLEDVRVTFPQVLRVVKTRQVTYSVLNHLIDYVQNLEKVGLLEEKEMLHLHDAVQTDLKRLLRNPPLVKVPKITDLISVHPLLGALPSMVRKALEGSAKEIMKPCGVPLYKEGSKPNGVWLISNGVVKWTSKNIRSRHALHPTFTHGSTLGLYELLVGKRCMCDIITDSVVLCFFIESEKILSVLGSDPAVEDFLWQESAIVLAKLLLPQVFEKMPLQELRVLVARRSVITTYIRGETIEVPHHSLGFLLEGFIKAHGFQELIASPAVLLPLQGNQSSQNIEISGSQAASFSHQGSRYQVEARARVIFFDIAAFEVDGALRRRPSSLASVDRPNRPLTREHGGLMSWPENFYRPRERKPNCEGTYRPANSLSARAMQLSIFGSMVCSIDIYLQRYVPKHLSGSLLVKKWMSGIFILMDAGSLALLFNKLIIQATI >Potri.011G127300.2.v4.1 pep chromosome:Pop_tri_v4:11:15587014:15591054:-1 gene:Potri.011G127300.v4.1 transcript:Potri.011G127300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127300.v4.1 MVLSDDQFQAYVEENNVGKKKCKFCGHLFAFRTSISRIKWHWSGTKGHGAAICREVPKDVQEAAFLAMEGVNKKCRIRADWFINVDNKTERLVKPVAEASSSGGHIPNKSDARENALPTSSSELAGKAFEENKNAILSWLMNDEVLRIGIYGMGGVGKTSLVKHVYNQLRKTSGTFHHVYWITIPQDFSIYKLQNLIARCLGIHLSNEDDEILRAQELSEAFVMKWQPFLILDNLWDTFDPEKVGIHVQEKGCKLILTTRSLKVCRGMGCLQKIKVEPLPWEEAWTLFRERFTHDVVISPEVEQIAKSVTRKCAGLPLGIITMAESMRGVSDLHEWRNTLEKLKKSKVRDMKDKVFPSLRFSYDQLDDLAQQQCFLYCAVFPEDYGISREDLIGYLIDEGIIEGIDSRQAEFDEGHTMLNELENVCLLESCDDYNGYRAVRMHGLIRDMACQILRMSSPIMVGEELRDVDKWKEVLTRVSWINGTFKEIPSSHSPRCPNLSTLLLPYNYTLRFIAYSFFKHLNKLKVLDLSETNIELLPDSFSDLENLSALLLKGCEQLRHVPSLKKLRLLKRLDLSDTALVDVPQDMECLSNLRYLKLNGCSQKEFPPGILPQLYRLQVFALDDWVLGQYAPVTVEGKEVACLRKLETLECHFKCFSDFIGYLKSWDGTLSLSTYKFLVGQWNDNKDYLRVLEFSGRSRKVCLCNFNINWNRSSPFFPCDIQELVILRCTDAGSLCDVLSLQCAIELECIEIQLCDRMESLLSSSWFCSTPLPLPSDGIFSHLKDFHCYGCSSMKKLFPLALLRNLANLELISVEECHKMEEIIATSVDWVVGEESSSSCSSSEFDLPNLRRLNFVDLPKLKSICSAKLICGSLQKIRVRDCPKLKRIPICLPVLDSGQPCPPTSLEEIDVDPKEWWESVEWDHPNTKDVLLPFLVLGDGSRVSDCLKKMKTKEET >Potri.011G127300.3.v4.1 pep chromosome:Pop_tri_v4:11:15587087:15591042:-1 gene:Potri.011G127300.v4.1 transcript:Potri.011G127300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127300.v4.1 MVLSDDQFQAYVEENNVGKKKCKFCGHLFAFRTSISRIKWHWSGTKGHGAAICREVPKDVQEAAFLAMEGVNKKCRIRADWFINVDNKTERLVKPVAEASSSGGHIPNKSDARENALPTSSSELAGKAFEENKNAILSWLMNDEVLRIGIYGMGGVGKTSLVKHVYNQLRKTSGTFHHVYWITIPQDFSIYKLQNLIARCLGIHLSNEDDEILRAQELSEAFVMKWQPFLILDNLWDTFDPEKVGIHVQEKGCKLILTTRSLKVCRGMGCLQKIKVEPLPWEEAWTLFRERFTHDVVISPEVEQIAKSVTRKCAGLPLGIITMAESMRGVSDLHEWRNTLEKLKKSKVRDMKDKVFPSLRFSYDQLDDLAQQQCFLYCAVFPEDYGISREDLIGYLIDEGIIEGIDSRQAEFDEGHTMLNELENVCLLESCDDYNGYRAVRMHGLIRDMACQILRMSSPIMVGEELRDVDKWKEVLTRVSWINGTFKEIPSSHSPRCPNLSTLLLPYNYTLRFIAYSFFKHLNKLKVLDLSETNIELLPDSFSDLENLSALLLKGCEQLRHVPSLKKLRLLKRLDLSDTALVDVPQDMECLSNLRYLKLNGCSQKEFPPGILPQLYRLQVFALDDWVLGQYAPVTVEGKEVACLRKLETLECHFKCFSDFIGYLKSWDGTLSLSTYKFLVGQWNDNKDYLRVLEFSGRSRKVCLCNFNINWNRSSPFFPCDIQELVILRCTDAGSLCDVLSLQCAIELECIEIQLCDRMESLLSSSWFCSTPLPLPSDGIFSHLKDFHCYGCSSMKKLFPLALLRNLANLELISVEECHKMEEIIATSVDWVVGEESSSSCSSSEFDLPNLRRLNFVDLPKLKSICSAKLICGSLQKIRVRDCPKLKRIPICLPVLDSGQPCPPTSLEEIDVDPKEWWESVEWDHPNTKDVLLPFLVLGDGSRVSDCLKKMKTKEET >Potri.010G069900.1.v4.1 pep chromosome:Pop_tri_v4:10:9806577:9808260:1 gene:Potri.010G069900.v4.1 transcript:Potri.010G069900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069900.v4.1 MPFKRYVEIGRVGLVNYGKEYGRIVVIVDVIDQNRALVDAPDMVRSQMNFKRISLTDIKIDINRVPKKKALIEAMEKADVKNKWENSSWGRRLIVQKRRAALNDFDRFKLMLAKIKRGGLIRQELAKLKKESVA >Potri.006G066700.2.v4.1 pep chromosome:Pop_tri_v4:6:4858899:4862601:1 gene:Potri.006G066700.v4.1 transcript:Potri.006G066700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066700.v4.1 MAPKHLRLASRRVPFKVLFILLIFVPICVIGILVHAQHISYLFRPLWDNPPSPFKHVPHYYAENVSMEHLCLLHGWSLRSEPRRVFDAIIFSNELDILEIRWRELHPYITKFVILESNTTFTGIPKPLFFDSNRFRFAFAKEKIVHGVFSGRIATRGTYESPFGLEFEQRRAMTGLLRGSGISNGDLIIMSDADEIPSPHTVKLLQWCEEIPHAIHLELKHYMYSFEFPVDYSSWRATIQIFGPQTRYRHSRQTDLILSDSGWHCSFCFRRLQEFVFKMTAYSHADRVRRKGFLNYSRIQKLICRGDDLFDMLPEEYTFRELIKKMGPIPHSASAVHLPAYLIENADKLRFLLPGGCLRTHE >Potri.005G038350.1.v4.1 pep chromosome:Pop_tri_v4:5:2474632:2475709:1 gene:Potri.005G038350.v4.1 transcript:Potri.005G038350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038350.v4.1 MAVVSSKWVSFLLLFLCIVLHLSAITLGDDKLDKTRFRDDNCGFGRRGCGGRFGGGRGGGRGGGGGLGGGAGVGVGGGGGFGGGGGGGVGGGSGHGGGFGAGGGVGGGLGGGAGGGGGGGGGGVGGGSGHGGGFGAGGGVGGGLGGGAGGGGGGGGGGGGGGGIGSGSGHGGGFGAGGGVGGGLGGGAGGGGGGGGGGGGGLGHGGGFGAGGGVGGGAGGGLGGGSSGGAGGGFGVGIGVGIGVGVGAGAGAGKGSGSGNGGRH >Potri.004G110691.1.v4.1 pep chromosome:Pop_tri_v4:4:10029389:10032555:1 gene:Potri.004G110691.v4.1 transcript:Potri.004G110691.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110691.v4.1 MGSIDDFSRNSFPDDFVFGTSSSAYQYEGETNKHGRGPAIWDTFTVEHTERINDHSNGNVAVDFYHRYKEDVQRMKEMGMDAFRFSISWSRVLPHGRLSAGVNEEGIKFYNDLIDDLLKNGLQPYVTLFHWDTPQALEDKYGGFLSPNIVNDFRDFVDLCFQNFGDRVKKWITLNEPWMFSVQGYDMGTMAPGRISVVVNDPHRSLNTGATEVYTVSHHLLLAHAAAVKLYKEKYQSCQGGQIGITLVSHWFEPYSNSEADQNATKRSLDFMLGWFMDPLTNGDYPRNMHDFVGGRLPEFTAEESKMLKGSYDFIGINYYTTYYAQNIDANYQSVGFMSDARANWTGERNGIPIGPQAGVKWLYIYPEGISRLLNYTKDLYGSPTIYITENGVDDVNNNASSLKEALNDPIREKSYKDHLKNVLRSINEHGVDVKGFFAWSLMDNFEWGSGYAVRFGLYYVDYKNDLKRYPKQSVKWFKQFLRRDSHSPIPQTYPLITSNETSKIEDSLVRDAKRPKNA >Potri.005G095800.1.v4.1 pep chromosome:Pop_tri_v4:5:6753955:6757432:-1 gene:Potri.005G095800.v4.1 transcript:Potri.005G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095800.v4.1 MNSIHISSNPIVSHSLTHHRWLSTSHKLYPQVIKINGRKSLTTSCLNVDVNAPDISRKVTAQNSKEVIELEGKVMVGTYGRAPVVLVSGKGCKLYDVEGREYLDMTSGIAVNALGHCDPDWVKAVADQAHVLTHVSNVYYSVPQVELAKRLVDCSFADRVFFTNSGAEANEAAIKFARKFQRHSNPDVKDPATEFISFTNSFHGRTMGALALTSKEQYRTPFEPVMPGVTFVEYGNIKATQELIRRGKTAAVFVEPIQGEGGIYSATKAFLEFLRTACDDAGALLVFDEVQCGLGRTGYLWAHEAYGIFPDIMTLAKPLAGGLPIGAALVTERVASSINYGDHGSTFAGGSLVCNAALTVLEKISKPEFLSSVSKKGLYLKEILQQKLGRNSHVKEIRGLGFIIGIELDVPASPLVSACRNSGLLVLTAGKGNVVRLVPPLTVTKQELRLASDILQNAMSVLDENNSE >Potri.010G124200.1.v4.1 pep chromosome:Pop_tri_v4:10:14189284:14192909:-1 gene:Potri.010G124200.v4.1 transcript:Potri.010G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124200.v4.1 MRHENNPLDLNNLPEDYSRDGKQVLDEGSSSGYRKKKSGAKNGKEEGDKVYECRFCSLKFCKSQALGGHMNRHRQERETETLNRARQLVFNSDTLAAPGGHPGCHPMGTGSYIPAGNIGDPFRSVYPPRLFPGSSSTLLPAPPNQHPHQSYLYTPPSRLPAYKSQYPQQPINDYYIGHVLNNTSQTYPSHQNMNYNMGGSESNYTCIGAPVGHGGFGPGSSRSTEGSGRDGSLSNQEEGLNWGRNHAAGGQQQCLDHPSSINRFQDGF >Potri.010G124200.2.v4.1 pep chromosome:Pop_tri_v4:10:14189284:14192909:-1 gene:Potri.010G124200.v4.1 transcript:Potri.010G124200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124200.v4.1 MNRHRQERETETLNRARQLVFNSDTLAAPGGHPGCHPMGTGSYIPAGNIGDPFRSVYPPRLFPGSSSTLLPAPPNQHPHQSYLYTPPSRLPAYKSQYPQQPINDYYIGHVLNNTSQTYPSHQNMNYNMGGSESNYTCIGAPVGHGGFGPGSSRSTEGSGRDGSLSNQEEGLNWGRNHAAGGQQQCLDHPSSINRFQDGF >Potri.005G239600.2.v4.1 pep chromosome:Pop_tri_v4:5:23664422:23667892:1 gene:Potri.005G239600.v4.1 transcript:Potri.005G239600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239600.v4.1 MPSEDAKLAKKEEVVKKAPAGEEEDEKKSLSAMLQARKKNPTNAGTLTTKSGSKATKVKKEEPQDDDFDEPIKGKGKGSSGSSSKPAAKVKKEEPNSDDDDDKPIFKKIPASKTDKELNKKKVKKEEVNKKTKVYVAAVQIVKKRERKVYDFPGQKRDPPEERDPLRIFYETLYEQIPESEMAQFWLMESGLLPLEMAKKVHEKKQKKNKFTSPVKTITVTKKTQSTTVTKKTPSSTVSSTKTKTTGSKVVSSTKTKATDSKVASKQPKKRKAGDESSEDDSDEDFLITRKNAKKQKTS >Potri.005G239600.1.v4.1 pep chromosome:Pop_tri_v4:5:23664450:23667980:1 gene:Potri.005G239600.v4.1 transcript:Potri.005G239600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239600.v4.1 MPSEDAKLAKKEEVVKKAPAGEEEDEKKSLSAMLQARKKNPTNAGTLTTKSGSKATKVKKEEPQDDDFDEPIKGKGKGSSGSSSKPAAKVKKEEPNSDDDDDKPIFKKIPASKTDKIKELNKKKVKKEEVNKKTKVYVAAVQIVKKRERKVYDFPGQKRDPPEERDPLRIFYETLYEQIPESEMAQFWLMESGLLPLEMAKKVHEKKQKKNKFTSPVKTITVTKKTQSTTVTKKTPSSTVSSTKTKTTGSKVVSSTKTKATDSKVASKQPKKRKAGDESSEDDSDEDFLITRKNAKKQKTS >Potri.001G003700.2.v4.1 pep chromosome:Pop_tri_v4:1:214175:217401:1 gene:Potri.001G003700.v4.1 transcript:Potri.001G003700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003700.v4.1 MSHVQGPSSLKRKRPELNSPVQSLTEHERILYDVIRSKQDIGIWTRDMKKEAKLPDNVVNKSLKALRVKNLIKEVVNIQNKGRKHFMATEFEPSKEISGGAWYLEGSLDTEFIESLRQLCKRQIEKKGVATLEEVTDSINSYPAFNVDVTKQQIEEILRTLILDNAIMEVKSNGMGEFASIPFGKVCYRYISKGALGGEPKAGALASIPCGVCPRISHCTPDGIISPKTCVYYQKWLDF >Potri.001G120300.1.v4.1 pep chromosome:Pop_tri_v4:1:9802932:9807089:-1 gene:Potri.001G120300.v4.1 transcript:Potri.001G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120300.v4.1 MALRSKFLALFLIHICLLLSLCLAADPFVSYDFEVSYITASPLGVPQQVIAINGKFPGPTINVTTNNNVAINIKNKLDENLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQIGSFFYFPTLHMQRASGGFGSFVINNRAIIPIPFDTPHGDIVILIGDWYKRNHTALRKALDAGKDLGMPDGVLINGKGPYQYNTTLVPDGIDYETIEVQPGKTYRIRVHNVGTSTSLNFRIQNHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASTDYYIVASARFVNESQWKRVTGVAILHYTNSKGKAKGPLPEAPNDEFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTDVYVLKNKPLVTINGKRRATLSGISFVNPTTPIRLADQFKVKGAYKLDFPETPLTGPPKMATSVINGTYRGFMEVILQNNDTKMHSYHLSGYAVFVVGMDYGEWTENSRGTYNKWDGIARSTVQAYPGAWTAILVSLDNVGVWNLRTENLDSWYLGQETYIRIVNPEETNKTELPIPDNALFCGELSKLQKPEDISFAASITGNRSKLLFMLLMAVCALMSVFG >Potri.001G120300.5.v4.1 pep chromosome:Pop_tri_v4:1:9802959:9807049:-1 gene:Potri.001G120300.v4.1 transcript:Potri.001G120300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120300.v4.1 MLRWCYFAFSLQVIAINGKFPGPTINVTTNNNVAINIKNKLDENLLMHWSGIQQRRSSWQDGVLGTNCPIPPKWNWTYQFQVKDQIGSFFYFPTLHMQRASGGFGSFVINNRAIIPIPFDTPHGDIVILIGDWYKRNHTALRKALDAGKDLGMPDGVLINGKGPYQYNTTLVPDGIDYETIEVQPGKTYRIRVHNVGTSTSLNFRIQNHNLLLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASTDYYIVASARFVNESQWKRVTGVAILHYTNSKGKAKGPLPEAPNDEFDKTFSMNQARSIRWNVSASGARPNPQGSFRYGSINVTDVYVLKNKPLVTINGKRRATLSGISFVNPTTPIRLADQFKVKGAYKLDFPETPLTGPPKMATSVINGTYRGFMEVILQNNDTKMHSYHLSGYAVFVVGMDYGEWTENSRGTYNKWDGIARSTVQAYPGAWTAILVSLDNVGVWNLRTENLDSWYLGQETYIRIVNPEETNKTELPIPDNALFCGELSKLQKPEDISFAASITGNRSKLLFMLLMAVCALMSVFG >Potri.011G105700.1.v4.1 pep chromosome:Pop_tri_v4:11:13425823:13431159:-1 gene:Potri.011G105700.v4.1 transcript:Potri.011G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G105700.v4.1 MLLLHLSFYSKVKQNIKNIKMALSTLLYIKHPYPFPPLHFSESQIHPQKPLILTSTPTFPTLKSHKKMLPHRPHAVSMQGVLSDAMNLIQSAHPTWKSALFSNLLIFVVGSPILVSGLSLSGIFAAYLLGTLTWRAFGASGFLLVASYFVIGTAVTKVKMAQKEAQGVAEKRKGRRGPGSVIGSSAAGCVCAFLSIFGTGGRAFIALWQLGFVASFCTKLSDTVSSEIGKAYGKTTYLVTTFKVVPRGTEGAVSAEGTLAGLLASILLASIGCILGEINAPEAVICVIASQIANVGESIIGAAFQEKEGFQWLNNDAVNVINISVGSILAVLMQQVFLRS >Potri.003G081900.1.v4.1 pep chromosome:Pop_tri_v4:3:10882578:10884316:1 gene:Potri.003G081900.v4.1 transcript:Potri.003G081900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081900.v4.1 MQRSPSSSIVTEELFNNTNTDKDHQELPTYDPASHVAKKERSRLRSAENAIHVIPLVLALCAIVLWFFSTPLESRI >Potri.014G193300.1.v4.1 pep chromosome:Pop_tri_v4:14:17108936:17113677:-1 gene:Potri.014G193300.v4.1 transcript:Potri.014G193300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193300.v4.1 MQAISRRLGHRSPISSFKSIYPLSDHLYGADHPRYGSTLATRGVGHLIRKGTGGRSSVSGIVATVFGATGFLGRYVVQQLAKMGSQVLVPFRGSDDDPRHLKLMGDLGQIVPMKYNPRDENSIKAVMAKANVVINLIGRDYETRNYSFEELNHAMAGQLAMISKEHGGIMRFIQVSCLGASASSPSRLLRAKAAGEEAVLREMPEATVMKPAVMIGTEDRILNRWAHFTKKYSFLPLIGDGSTKIQPVYVVDIAAAIIAALKDDGTSMGKVYELGGPEIFTVHELAELMYDVIREWPRYVKVPFPIAKAFATPREILLNKVPFPLPNPKMFNLDEINSLTMDTVVSENALTFSDLGIVPHKLKGYPVEFLISYRKGGPQFGSTISERVSPDSFP >Potri.001G309200.1.v4.1 pep chromosome:Pop_tri_v4:1:31962273:31964318:1 gene:Potri.001G309200.v4.1 transcript:Potri.001G309200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309200.v4.1 MGVTKELVESTLKSKLNPSHLEVVDTSGGCGASFAIEIVSEQFEGKRLLERHRLVNAALVEEMKEIHALSIKKAVTPAQWKQQQETQQSTSAA >Potri.004G095300.2.v4.1 pep chromosome:Pop_tri_v4:4:8190433:8190958:-1 gene:Potri.004G095300.v4.1 transcript:Potri.004G095300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095300.v4.1 MAEPKGDPKSPSSSEKDVKAEPNVMEKELEAMTHSGKSPHHHQETHGRSDDIDEDTPIDEIKGPGVFGRIKEEIEALVGAIHSKKDKSDQSSS >Potri.004G095300.1.v4.1 pep chromosome:Pop_tri_v4:4:8190435:8190972:-1 gene:Potri.004G095300.v4.1 transcript:Potri.004G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095300.v4.1 MAEPKGDPKSPSSSAEKDVKAEPNVMEKELEAMTHSGKSPHHHQETHGRSDDIDEDTPIDEIKGPGVFGRIKEEIEALVGAIHSKKDKSDQSSS >Potri.010G005600.1.v4.1 pep chromosome:Pop_tri_v4:10:522188:527899:1 gene:Potri.010G005600.v4.1 transcript:Potri.010G005600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005600.v4.1 MAAKKQESNNQSKKRKQNPDAKTNTYSSFSKRPKLVSSKPENKQEKKPFKPFKKQNFGKLKSQSGEEKNTPLSKRERRLHAKELTEARKKRRKQHYTLEQELARLWEKMRQRNIVKEERSKIIAEAILKMKGKIPEIASSHVSSRVLQTCVKYCTQAERDTVFDELKPHFLTFATNKYAIHLVMKMLDNASKKQLAEFISSLRGHAASLLRHTVGSVVIEHAYQLANATQKQELLMELYSTELQLFKDLSSMKESRLPDVILKLNLQKGSVLRHMASVIQPILEKGIVDHSIIHRVLIEYLSIAGKTSAAEIIQQLSGPLLVRMIHTRDGSRIGILCVKHGSAKERKKIVKGLKGTVGKTAHFQYGSLVLACIVSTIDDTKLVTKTVIRELQSILKELVLDKNGRRPLLQLLNPNCTRYFSPDEMASLSLSISSLNAMGELEVNSETKSLKDEESSDKDNYGREVTMAKPDGSTSPETLQLIEGGKKDPSIRRQELLVGSGLAESLIDICIENAEELLRSNFGKEVIYEVATGGSGGILQETLGDKMNTLHEAIASLAAESKFEESDKDHVLENFHSSRTIRKLVMESSMFATTLWKKALKGKCEQWTQGHSSKVICAFLESSDAKISKLAKEELQPLIDRGILKLPEKKQPANK >Potri.010G068800.1.v4.1 pep chromosome:Pop_tri_v4:10:9704865:9706235:1 gene:Potri.010G068800.v4.1 transcript:Potri.010G068800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068800.v4.1 MSYHSRRTLTPGASKKRKEREALSYSMKPKSASAQPASNLDEPISSNRLLAGYMAYEFLTEGTLLGQKFDPARAEVTSLAGGSVECSKRGEPGKKKEHKSYAEVASILKGEGAHIPGIVNPCQLARWIQM >Potri.013G086500.2.v4.1 pep chromosome:Pop_tri_v4:13:7982520:7984781:-1 gene:Potri.013G086500.v4.1 transcript:Potri.013G086500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086500.v4.1 MCMMIVDVEENDDVLVPPTNFSMVEDGIFRSGLPQPSNFGFLETLNLRSIIYLCPEAYPQENMDFVDAHDIKLFQFGIEGKTESSSTSIPNHTITGALKVLIDVRNHPVLIHCKRGKHRTGCLVGCFRKLQTWCLSSVFEEYQRFAGVKWRATDLRFIETFEVMCLRQCLYSIIYQYQGYGSNKRRLLYQEESIQKPKIKSI >Potri.005G198300.1.v4.1 pep chromosome:Pop_tri_v4:5:20453690:20458519:-1 gene:Potri.005G198300.v4.1 transcript:Potri.005G198300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198300.v4.1 MQRTMAAASSSRTLLNLSLSSSTKLYHTFLKLPKKPSRVLLGFNFRPLCTLSSTTAATERDEVKHSILLERLRLRHLKGSKKPQLTDTQTQTALKPVVLIEGEEEEDGFKKSKKGKKIAGSFEELGLSEEVMGAVKEMGIEVPTEIQCIGIPAILDSRTVVLGSHTGSGKTLAYMLPLVQLLRRDEALLGRLMKPRRPRAVVLCPTRELSEQVFRVAKSIGHHARFRSTMVSGGGRMRPQEDSLNNPIDMVVGTPGRVLQHIQDGNMVYGDIKYLVLDEADTMFDRGFGPDIHKFLGPLKNRTSKSDGQGFQTILVTATMTKAVQKLIDEEFQGIEHLRTSTLHKKIASARHDFIKLSGSENKLEALLQVLEPSLAKGNRVMVFCNTLNSSRAADHFLAENQISTVNYHGEVPAEQRVENLNKFKSDDGDCPTLVCTDLAARGLDLDVDHVIMFDFPLNSIDYLHRTGRTARMGAKGKVTSLVARKDQQLAARIEEAMRKNESLESLTVDNVRRDIARARITEQQGKSAKLIKASNQKSNNKSATDKPPSARTKATSSVMKSGKPSTSARTKASTSVRTKATSSVKKYGKASTPAKSVKAVKVAKRVKSSSASYSRKTSPGVKKQVGKLRVVAFRGRSSSSNKKESLRPS >Potri.004G199900.2.v4.1 pep chromosome:Pop_tri_v4:4:21050738:21051988:1 gene:Potri.004G199900.v4.1 transcript:Potri.004G199900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199900.v4.1 MGSVSLVSAALRRLEGKVALITGGSSGIGESAARLFAKHGAKVVIADVQDELGHSVCEELKTESASFVHCDVTQEKDVENAVNTAVSKHGKLDIMFNNAGIVGTPKPNILDNDKAEFEKVISVNVVGAFLGTKHAARVMIPVRRGSIISTASVCGTIGGVASHAYTSSKHGVIGLMRNTAVELGQHGIRVNCVSPYVVLTPLVKDFFKLDDDEVNRLYSNLKEAVLKAEDIAEAALFLGSDESKYVSGHNLIVDGGFTIVNPGFCMFPQSI >Potri.004G229500.2.v4.1 pep chromosome:Pop_tri_v4:4:23300010:23305881:1 gene:Potri.004G229500.v4.1 transcript:Potri.004G229500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229500.v4.1 MPPIKMKQSPNHTAQSLTTSTTSSQEARRLVRETLRISANLASSPSPSPPANPPTLSQSALLEDEFLGSTLRLICCEEIDGRRWKYVAERDASGRFKKNSIRAVGLQTPQAPVEELMGFIRSYVVPEGFPDSVIPSYVPYMTWRALKHFFGGAMGVFTTKTLLNSVGVSKSQAIPGAVAINWILKDGAGRVGKMLYARQGKKFDYDLKQLRFAGDLLMELGAAVELATAAVPHLFLPLACAANVAKNVAAVTSTSTRTPIYKAFAKGENIGDVTAKGECVGNVADLLGTGLSIMISKRNPSLVTTFALLSCGYVLSSYQEVKSVVLHTLNGARFSVAVESFLKTGQVPSLHEGNVKENIFNFPWLKDRSISLGPRFKDAFQDPRAYLAIEPLFERERYIVTYNPSKDKVYALLKDQAKPDDIVKAAFHAHVLMHFIHSSNNNCSSPSKQQEYGHSNFILSAADLESHIAESCKMVSTLYAPFKSKAAEQGWRMSESHLNPGRARLVLNS >Potri.005G230300.1.v4.1 pep chromosome:Pop_tri_v4:5:22966729:22968198:1 gene:Potri.005G230300.v4.1 transcript:Potri.005G230300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230300.v4.1 MSKKTVVSVQLLCSKCRQKVMKLIATIEGITSIVLDPSKNTVTVIGEADPVKIICKVRKFRKSASIMSVGPPKEEKKDMVIPCTPKVCQRCDVWYVVSDDFYGYCTIM >Potri.006G180650.1.v4.1 pep chromosome:Pop_tri_v4:6:18713105:18714005:-1 gene:Potri.006G180650.v4.1 transcript:Potri.006G180650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180650.v4.1 MCGSKFGIRWVCGLCLRIVALSIVATIAYTTLKLIVETTRSASDQSKLIACFNIFFLIIAALCLLYCAAGIFAAIFLSIRDCADDVMALLFTKNKIAPSPSPPTTVLQDDPPPPDHDHLPV >Potri.019G073801.1.v4.1 pep chromosome:Pop_tri_v4:19:11532167:11533292:1 gene:Potri.019G073801.v4.1 transcript:Potri.019G073801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073801.v4.1 MFHADGNTNGWSYPRSTLDVSSMQSTTGSLGFGRKGRRESPTIKCIIVFMGPFSLVRCGKYRTLISISDLSLHQIFCLILVRLLISRRCSHGNRKSMGFGCEWRRESPTIKCNRKSVYRL >Potri.001G057200.5.v4.1 pep chromosome:Pop_tri_v4:1:4316767:4319123:-1 gene:Potri.001G057200.v4.1 transcript:Potri.001G057200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057200.v4.1 MLATLDVYIAAENKINGEADWYGILGADPQADDEMVRKHYRKLALMLHPDKNKSIGADGAFKCISEAWSLLSDKTKRVAYDQRRNGKVFQKGSSAAGSSSAKPGSNGSYNFTKSSVKTHKSSPRTGHSSTPASSYKTKPNTFWTVCHGCKMQYEYLRVYLNHKLLCPNCHEPFLAIEMPPPPSHASRSAAPWSSFQQQQQQHSNHQAATSRHTSNSGRSSVNSSNVRAGGSNGPDSNNQANFQWGPFSRAGGASTATQPASVVQQAHEKVKREREEAQAATKREEALKRKNHASKKTSNASSSVNSNAAKRRRGMDDVGHGNNGNLSANQMGVGFGGSGTGRTANVSGFRQGSSENRVNGITKPYGMRDVSQSEIQTLLMEKAKTDIQKKINEWKSAKVVKSAAKEGAGSEKGIDQGGISLSNPDIANQNKSVDSMGMENGADGIKTSSITSSGKTDAETLETMSINVPDPDFHDFDKDRTERCFEENQVWAAYDADDGMPRYYAMIHSVISLNPFKMRISWLNSKTNSELGPLNWVGSGFSKTCGDFRVGRYEIYNSLNSFSHKVRWTKGTRGVIHIYPRKGDVWALYRNWSPEWNELTADEVIHKYDMVEVLEDYSEELGVTVTPLVKVAGFKTVFHQHLDPKEVRRIPREEMFRFSHHVPSYLLMGQEGPNAPKGCRELDPAATPPELLQVVVDVKEEEIVENGGIQRARQEKNLAGEGKSQSPVTL >Potri.001G057200.4.v4.1 pep chromosome:Pop_tri_v4:1:4316786:4319211:-1 gene:Potri.001G057200.v4.1 transcript:Potri.001G057200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057200.v4.1 MECNKDEATRAKEIAEKKLFAKDIAGAKKFALKAQNLYPGLEGIPQMLATLDVYIAAENKINGEADWYGILGADPQADDEMVRKHYRKLALMLHPDKNKSIGADGAFKCISEAWSLLSDKTKRVAYDQRRNGKVFQKGSSAAGSSSAKPGSNGSYNFTKSSVKTHKSSPRTGHSSTPASSYKTKPNTFWTVCHGCKMQYEYLRVYLNHKLLCPNCHEPFLAIEMPPPPSHASRSAAPWSSFQQQQQQHSNHQAATSRHTSNSGRSSVNSSNVRAGGSNGPDSNNQANFQWGPFSRAGGASTATQPASVVQQAHEKVKREREEAQAATKREEALKRKNHASKKTSNASSSVNSNAAKRRRGMDDVGHGNNGNLSANQMGVGFGGSGTGRTANVSGFRQGSSENRVNGITKPYGMRDVSQSEIQTLLMEKAKTDIQKKINEWKSAKVVKSAAKEGAGSEKGIDQGGISLSNPDIANQNKSVDSMGMENGADGIKTSSITSSGKTDAETLETMSINVPDPDFHDFDKDRTERCFEENQVWAAYDADDGMPRYYAMIHSVISLNPFKMRISWLNSKTNSELGPLNWVGSGFSKTCGDFRVGRYEIYNSLNSFSHKVRWTKGTRGVIHIYPRKGDVWALYRNWSPEWNELTADEVIHKYDMVEVLEDYSEELGVTVTPLVKVAGFKTVFHQHLDPKEVRRIPREEMFRFSHHVPSYLLMGQEGPNAPKGCRELDPAATPPELLQVVVDVKEEEIVENGGIQRARQEKNLAGEGKSQSPVTL >Potri.009G074700.1.v4.1 pep chromosome:Pop_tri_v4:9:7279280:7281571:-1 gene:Potri.009G074700.v4.1 transcript:Potri.009G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G074700.v4.1 MWTGSNPVVSDLCSAVVSAVVIFAFLQLWKETAKHGLDQKLNRKLVHISIGLVFMLCWPIFSSGRRGALFAAFTPGVNIIQILLIGSGMWKDEATVKSMSRFGDRRELLKGPLYYALTITGACAIYWRTSPVAIAAICNLCAGDGMADIVGRRFGRQKIPYNKNKSIAGSVAMALSGFVASVGFMYYFASFGYVQKSWEMLLGFLVVSLASAFVESLPISTELDDNLTVTLTSILLGNLVF >Potri.019G083500.1.v4.1 pep chromosome:Pop_tri_v4:19:12333686:12336895:-1 gene:Potri.019G083500.v4.1 transcript:Potri.019G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083500.v4.1 MASTRNIVGGETATGNERGRVLTMRDEEKAKEDDSPSAKRAKFERFPLTRWELAAALGVFFVFSTGLFCIYLTMPAAEYGKLKLPRTISDLRLLKDNLATYANEYPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGVVRGIFLVVFNATAGASSCFFLSKLIGRPLVNWLWPEKLRFFQAEIAKRRDKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHIFFLATLLGLIPASYITVRAGLALGDLKSVKDLYDYKTLSVLFIIGSISIFPTLLKRKRIYE >Potri.004G202600.1.v4.1 pep chromosome:Pop_tri_v4:4:21190585:21190830:1 gene:Potri.004G202600.v4.1 transcript:Potri.004G202600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202600.v4.1 MSMFYENTTVESSLEVFNNSHFIQGFIYLYSTTKIKHKTCNESNMRKKLHHSAWTKQQRSEFKSSEQGNPKNEQKKKVPCP >Potri.001G447602.1.v4.1 pep chromosome:Pop_tri_v4:1:47299874:47300469:1 gene:Potri.001G447602.v4.1 transcript:Potri.001G447602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G447602.v4.1 MVPKTPEIAGKDFCKPGQCRWNSGGAWVHAPPPETPKYRGSVTVSPLLPLTGSEDQCYLQIKQKKHTRQWLPASSPGDGSSGRPLEGSSWDGEGRRCCWCGGCLMVATAGDCSAGLGLLAVASATLELTGALRCFCRLRGTERRRRGCR >Potri.017G023400.2.v4.1 pep chromosome:Pop_tri_v4:17:1506856:1511336:1 gene:Potri.017G023400.v4.1 transcript:Potri.017G023400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G023400.v4.1 MGSTHATLLVVSLIFLVILGSNLIAGQTFSSNSSEPGTNVLAVNNVSQTVMQPDDTVRVDPLKDLKKYRGGYDIKNKHYWSSTMFTGVHGYVIGVIWLLGGIAYGGFLLATVFCCKNRRNEKLKKRLPCHKQCYLWPLLLAIFFTILAITASGLVLGGNAKFHSRAKTVVDIIIDTANNATKTMYNTTGAMKDMKESLGASNQSAAVQASSFLTSTSEQLDVEAADIQRQARKNRRLIDKGLKIVYIVTTVTISLNLAALIALSVCGTLRLRRPLNILIAVCWILTVLCWIFFGLYFFLQNFSRDSCTALESFQQNPYNNSLSSILPCDQLLSAKPVLFDVSQGIYSLVNQVNANLSTIQGLPYKVCNPFSAPPEYQFQPDKCPSNAIRIGDIPQVLKVFTCSSFDNGTCASGQFISPNDYTTVEAYTTSIQSLLNVYPEMENLVECQTVKDAFSEILLYHCKPLKRYIRMVWTSLVFLSLVMVFLVLIWAKLAQHEQEHHSLDGSVKPHSSVAKEPDTGTKDSSNHTSPV >Potri.009G159800.1.v4.1 pep chromosome:Pop_tri_v4:9:12382288:12384916:1 gene:Potri.009G159800.v4.1 transcript:Potri.009G159800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159800.v4.1 MATCLGLFPPSPAGKTFQLNHKSPPLFSSPKPHITPSRKSRYGIQSSKFGSFLDLKPESKPEFLDFDLSWFDPADRPRCFDVIIIGAGPAGLRLAEQVSGYGIKVCCVDPSPLSMWPNNYGVWVDEFESLGLVDCLDKTWPMTCVHIDDDTTKYLDRPYGRVGRKELKTKLLENCASNGVRFHKAKVLNLEHKEFESSIVCDDGIELKASLVVDASGFASTFTEYDKPRNHGYQIAHGILAEVDCHPFDLDKMVLMDWRDSHMGNEPYLRANNSKIPTFLYAMPFDSNLVFLEETSLVSRPLLSYMEVKNRMVARLRHLGIRVKTVIENEKCVIPMGGPRPKIPQSVMAIGGTSGVVHPSTGYTVARTMALAPIVADAIVECLGSTRMIRGRPLHHRVWNGLWPLERRCTREFYSFGMETLLKLDLNGTRRFFDAFFDLDPYYWQGFLSSRLSLRELLFLSLSLFSNASNPSRFDIVTKCPVPLVKMMGNLALETI >Potri.011G045300.13.v4.1 pep chromosome:Pop_tri_v4:11:3529865:3536945:-1 gene:Potri.011G045300.v4.1 transcript:Potri.011G045300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045300.v4.1 MMSFRSWKLLKRNYFDGVKFGLKMKQLPFMGFLFTTMLFIVYRTTTYQYKHTEMEEKLYPFDSLKESALASGLLVGLPHGIIRASSDLELKPLWSTSSSRSKADPSTRRFLLAIPVGIKQKDNVNRIVQKFLPENFTVILFHYDGKVDGWWDLDWSNEVIHIAAKNQTKWWFAKRFLHPAVVSIYDYIFLWDEDLGVEHFNPGRYLKIVRYEGLEISQPALDPNSTEIHHRITIRARTKKFHRRVYERRGSTKCSDVSEGPPCTGFVEGMAPVFSRSAWYCAWHLIQNDLVHGWGMDMKLGYCAQGDRTKKVGVVDSEYIVHKGIQTLGGHGPPGRKASNTEELTKRHSATGMDPRMEIRRQSTWELQIFKDRWNQAVKEDKNWVDPFLRKLRLRNRRQNH >Potri.002G055566.1.v4.1 pep chromosome:Pop_tri_v4:2:3790202:3791114:-1 gene:Potri.002G055566.v4.1 transcript:Potri.002G055566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055566.v4.1 MVIVDSKKPGVSELVIPKDQIVINSHVCEPREGDDDDDDDGVDVAPAA >Potri.001G459700.3.v4.1 pep chromosome:Pop_tri_v4:1:48531721:48537080:-1 gene:Potri.001G459700.v4.1 transcript:Potri.001G459700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459700.v4.1 MLSFGRTRTQPRSNRSMSLGGMDFSDPKRKNNVVGKILLAASLTAVCIIMLKQSPTFNSPSPFSLREDGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGEPKTVNSIFSQNAFDAVMHFAAVAYVGESTVYPLKYYHNITSNTLVVLESMAANDVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIVAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGMGRSVNEFVHACKKATGVDIKVDYLPRRPGDYAEVFSDPSKINRELNWTAQYTDLQKSLQVAWRWQKSHQNGYGSPLVMAS >Potri.001G459700.5.v4.1 pep chromosome:Pop_tri_v4:1:48531743:48537155:-1 gene:Potri.001G459700.v4.1 transcript:Potri.001G459700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459700.v4.1 MLSFGRTRTQPRSNRSMSLGGMDFSDPKRKNNVVGKILLAASLTAVCIIMLKQSPTFNSPSPFSLREDGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGEPKTVNSIFSQNAFDAVMHFAAVAYVGESTVYPLKYYHNITSNTLVVLESMAANDVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIVAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGMGRSVNEFVHACKKATGVDIKVDYLPRRPGDYAEVFSDPSKINRELNWTAQYTDLQKSLQVAWRWQKSHQNGYGSPLVMAS >Potri.001G459700.1.v4.1 pep chromosome:Pop_tri_v4:1:48531681:48537218:-1 gene:Potri.001G459700.v4.1 transcript:Potri.001G459700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459700.v4.1 MLSFGRTRTQPRSNRSMSLGGMDFSDPKRKNNVVGKILLAASLTAVCIIMLKQSPTFNSPSPFSLREDGVIHVLVTGGAGYIGSHAALRLLKDGYRVTIVDNLSRGNLGAVKVLQELFPEPGRLQFIYADLGEPKTVNSIFSQNAFDAVMHFAAVAYVGESTVYPLKYYHNITSNTLVVLESMAANDVKTLIYSSTCATYGEPEKMPITEDTPQVPINPYGKAKKMAEDIILDFSKNSDMAIMILRYFNVIGSDPDGRLGEAPRPELREHGRISGACFDAARGIVAGLKVKGTDYKTHDGTCIRDYIDVTDLVDAHVKALEKAMPGKVGIYNVGTGMGRSVNEFVHACKKATGVDIKVDYLPRRPGDYAEVFSDPSKINRELNWTAQYTDLQKSLQVAWRWQKSHQNGYGSPLVMAS >Potri.018G067800.1.v4.1 pep chromosome:Pop_tri_v4:18:8149255:8153404:1 gene:Potri.018G067800.v4.1 transcript:Potri.018G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067800.v4.1 MSNDKDNFNMADLSAALNDEDRAGLVNALKNKLQSLTGQHSELLENLSPTVRKRVEDLRGIQSQHDELEAKFFEERAALEAKYQKLYQPLYTKRYEIVNGVAEFETKNEAAMDQEGEKAAEEKGVPDFWLVAMKNNEVLAEEITERDEGALKYLKDIKWHRIEDPKGFKLEFFFDSNPYFKNYVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFSPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQGDELGLDDDDGEDDDEDEEDEDEDDIDEDEDEEDDDDIDEDEDEVDESKTKKKSSAGNKQKSGKGQAGDGQQGERPPECKQQ >Potri.018G067800.2.v4.1 pep chromosome:Pop_tri_v4:18:8149255:8153330:1 gene:Potri.018G067800.v4.1 transcript:Potri.018G067800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067800.v4.1 MSNDKDNFNMADLSAALNDEDRAGLVNALKNKLQSLTGQHSELLENLSPTVRKRVEDLRGIQSQHDELEAKFFEERAALEAKYQKLYQPLYTKRYEIVNGVAEFETKNEAAMDQEGEKAAEEKGVPDFWLVAMKNNEVLAEEITERDEGALKYLKDIKWHRIEDPKGFKLEFFFDSNPYFKNYVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEECESFFNFFSPPQVPEDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQGDELGLDDDDGEDDDEDEEDEDEDDIDEDEDEEDDDDIDEDEDEVDESKTKKKSSAGNKKSGKGQAGDGQQGERPPECKQQ >Potri.017G083700.1.v4.1 pep chromosome:Pop_tri_v4:17:9651659:9653516:1 gene:Potri.017G083700.v4.1 transcript:Potri.017G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G083700.v4.1 MENMSRDEPSLTVPLIVEEKQSLGCKLEDVETNYSHSTTGTASSFKTVFHGLNALSGVGILSIPYALSSGGWLSLILLFVISFAAFYSGLLIQRCMDVDSNIRTYPDIGERAFGYKGRLLVSVVIYAELYLVAAGFLILEGDNLQRLFPDMVLGVAGFEIGARQSFVIFVALIILPTVWLDNLSILSYISASGVLASVIILVSIFWTGAFDGIGFNQKGTLVNWHGIPNAVSLYAFCYCAHPVFPTLYTSMKNKRQFSNVLIVCFILCTLSYASMAALGYLMFGSNVQSQITLSLPTHNFSSRLAIYTTLVNPIAKYALMVTPIVKVTKNWFPLNCNNRPFSLFISTAFVISNVMVALSVPFFGDLMSLVGAFLSMTASTVLPCLCYMKISRTYRRFGFEMVVLVSVVLLGIAVVVFGTYSSILQIIGHL >Potri.015G012800.11.v4.1 pep chromosome:Pop_tri_v4:15:810827:822340:1 gene:Potri.015G012800.v4.1 transcript:Potri.015G012800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012800.v4.1 MVDDDDGYRRCSRSAGKWRCRERAFSGKAYCEKHHLYSVERGLKRSMEKKSGNGGGVEVGSQKKKRQREGSEEDSGILAGEKEQKVSDHVGFGDQGVHDWFSGGNSDVLEWFDDVGAGNVGESFQLWQNEATARGNNGQVLGGEGFQDSFGGECGGTEVLGLDGKVFQVWDGEGFRLGESGLGASENGVVGLGDQGAPDLFCQVSAANVGNVGVGSGGGGIHGVFGEVNGKSGDITLSGESMEGLFGECKNGGMAASGEGVQCWCGEAGCASIDGEGIQGLFGETTCENGGEGIESGCHRNGGGDDVEDDGKTNKKEIFGVEAVGIDDSSEFGGDDNGGAEVSPVKRKRGRPKGLTKKKNDPRGQVKLGLGGDNVIGNGSAVGMIEMEMFSETSGVNGEGNENNPVEDKQILGAGECIERSVGNDIVRPKAKRGRPKGSGKKQKDVAPEEKQCLPGDFLGNNNAGIESVTPATLENGRTTLLCAEDDETPGGTETVTPKRRGRPKGSKNKNNGDTAGENQEMTGETKGCIGSVVWTPILMGVESETTIAGAEDREIPGEGTCANGKVEEIIAPKKRGRPKGSKKEEILAGDDREMPGETKDTTDCDNRTARSMQLESERTTLEGAKDKELPDEVTGGKGIITPNRRGRPKGSKNKKENLASGEAKVSVDSCDKTNMPRVLWNERITLLDEEENGGSHELPRGIVGYNESRDNKVRLAGCVNDMTCLGENDGAMADDNIDSSFGVNDKSKPKRKRGRPKNSKNKQKSLAGYRQQFPGDIMVSNDGADNKVRLMGFENWTTATFDGYKALCSHATIEGADNKASLLDLGNGMAALVGEKARKLPSEATGVSGDGQEIIKPSLDQRVGSKNKPKNPAGDSWELPSDIVDRNGDAGNVIREVVLENRMVVSSCEGHRVLSVEVTGHSREGNEIRKPRPRGRPKGSVKKKNLADGHQELPGEVMNGNDGKDTVSLMGSENRMTALLGEEYWVLPGVATGHSGEAYDNIKSRRGRGRPKGSGNKKKNLAGGGNQKLAGVVMYGNDGENAVRSMGLGNGMTTLIGEKHRALTGESTGHSEEGEIPKRKPGRPKGSKNKKTLAGCIQGLPGETMCGNDAGEKTLRLTRIDNGWAAPLGKEVKLVPCEVNGVSRDGNDTMKTNVKHDQPKSLKIRKEGDGNEEIQCKIKCKSDGEDSIICLAGSESEGSMLEGEEDRIIATEAAGGNEAGTANPQSKIECAQPEASKRKKLSIAAKEEERQNGEFMGKDDGESKRPNNKQVRRKVLKSKRTILLAKSFDRILRQKYGMKKESGEDLGMDRDILVEQTGHWSNIKKRPRGRPPKHNRSENSNLLGANKKNEQKTLMCHQCCRNNRSGVVICSNCKRKRYCYECLAKWYPKRTHEEIEIACPFCRGNCNCRVCLKEDVVVVAGDDKADANAKLQKLLYLLHKTLPLLRHIQREQNSEIYVDSRIHGSLLTEEHVTKSLLDDDDRVYCDNCSTSIVNFHRSCPNPDCSYDLCLTCCSELRIGFKPGGNEAESSHLRFFERVDSQGALVHDQINENGKGLGCKTQVSDLESKCTADMSCKFPDWRAESDGRIPCPPKELGGCGNEILTLRRIFDAKFVEEMIKSAEELTLNYQSPDIRLCEECYLCHPTSSTENGSKDFAVRKAAYRENSDDNFLYCPNALQLGDDDFEHFQLHWMRGEPVIVRHALERTSGLSWEPMVMWRAFKGAEKIIKEEAHRVKAIDCLDWCEVQVNIFQFFKGYLEGRSYRNGWPEMLKLKDWPPSNFFEECLPRHGAEYVSMLPFSEYTHPKSGILNMATKLPAVLKPDLGPKTYIAYGFVEELGRGDSVTKLHCDMSDAVNILTHMTEVKVPRWQSKIIKKIQKQHEAEDMNPVCGGIQKVTRKSGRKPRKRRRKVEKMDPELPKKDENIESDSSLERLYVQEQKLEEQKSMCQELGEFYSIVCGIRCSSTKSEVTADTNLQPVAKMNARVQNYDTSSADLNESVNRDCTEGNHTSELVYGGAVWDIFRRQDVPKLIEYLKRHQKEFRHVSSLPVNTVIHPIHDQTFYLSEKHKRQLKEEFNVEPWTFEQHLGEAVFIPAGCPHQVRNRQVVLFLH >Potri.015G012800.9.v4.1 pep chromosome:Pop_tri_v4:15:810827:823208:1 gene:Potri.015G012800.v4.1 transcript:Potri.015G012800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012800.v4.1 MVDDDDGYRRCSRSAGKWRCRERAFSGKAYCEKHHLYSVERGLKRSMEKKSGNGGGVEVGSQKKKRQREGSEEDSGILAGEKEQKVSDHVGFGDQGVHDWFSGGNSDVLEWFDDVGAGNVGESFQLWQNEATARGNNGQVLGGEGFQDSFGGECGGTEVLGLDGKVFQVWDGEGFRLGESGLGASENGVVGLGDQGAPDLFCQVSAANVGNVGVGSGGGGIHGVFGEVNGKSGDITLSGESMEGLFGECKNGGMAASGEGVQCWCGEAGCASIDGEGIQGLFGETTCENGGEGIESGCHRNGGGDDVEDDGKTNKKEIFGVEAVGIDDSSEFGGDDNGGAEVSPVKRKRGRPKGLTKKKNDPRGQVKLGLGGDNVIGNGSAVGMIEMEMFSETSGVNGEGNENNPVEDKQILGAGECIERSVGNDIVRPKAKRGRPKGSGKKQKDVAPEEKQCLPGDFLGNNNAGIESVTPATLENGRTTLLCAEDDETPGGTETVTPKRRGRPKGSKNKNNGDTAGENQEMTGETKGCIGSVVWTPILMGVESETTIAGAEDREIPGEGTCANGKVEEIIAPKKRGRPKGSKKEEILAGDDREMPGETKDTTDCDNRTARSMQLESERTTLEGAKDKELPDEVTGGKGIITPNRRGRPKGSKNKKENLASGEAKVSVDSCDKTNMPRVLWNERITLLDEEENGGSHELPRGIVGYNESRDNKVRLAGCVNDMTCLGENDGAMADDNIDSSFGVNDKSKPKRKRGRPKNSKNKQKSLAGYRQQFPGDIMVSNDGADNKVRLMGFENWTTATFDGYKALCSHATIEGADNKASLLDLGNGMAALVGEKARKLPSEATGVSGDGQEIIKPSLDQRVGSKNKPKNPAGDSWELPSDIVDRNGDAGNVIREVVLENRMVVSSCEGHRVLSVEVTGHSREGNEIRKPRPRGRPKGSVKKKNLADGHQELPGEVMNGNDGKDTVSLMGSENRMTALLGEEYWVLPGVATGHSGEAYDNIKSRRGRGRPKGSGNKKKNLAGGGNQKLAGVVMYGNDGENAVRSMGLGNGMTTLIGEKHRALTGESTGHSEEGEIPKRKPGRPKGSKNKKTLAGCIQGLPGETMCGNDAGEKTLRLTRIDNGWAAPLGKEVKLVPCEVNGVSRDGNDTMKTNVKHDQPKSLKIRKEGDGNEEIQCKIKCKSDGEDSIICLAGSESEGSMLEGEEDRIIATEAAGGNEAGTANPQSKIECAQPEASKRKKLSIAAKEEERQNGEFMGKDDGESKRPNNKQVRRKVLKSKRTILLAKSFDRILRQKYGMKKESGEDLGMDRDILVEQTGHWSNIKKRPRGRPPKHNRSENSNLLGANKKNEQKTLMCHQCCRNNRSGVVICSNCKRKRYCYECLAKWYPKRTHEEIEIACPFCRGNCNCRVCLKEDVVVVAGDDKADANAKLQKLLYLLHKTLPLLRHIQREQNSEIYVDSRIHGSLLTEEHVTKSLLDDDDRVYCDNCSTSIVNFHRSCPNPDCSYDLCLTCCSELRIGFKPGGNEAESSHLRFFERVDSQGALVHDQINENGKGLGCKTQVSDLESKCTADMSCKFPDWRAESDGRIPCPPKELGGCGNEILTLRRIFDAKFVEEMIKSAEELTLNYQSPDIRLCEECYLCHPTSSTENGSKDFAVRKAAYRENSDDNFLYCPNALQLGDDDFEHFQLHWMRGEPVIVRHALERTSGLSWEPMVMWRAFKGAEKIIKEEAHRVKAIDCLDWCEVQVNIFQFFKGYLEGRSYRNGWPEMLKLKDWPPSNFFEECLPRHGAEYVSMLPFSEYTHPKSGILNMATKLPAVLKPDLGPKTYIAYGFVEELGRGDSVTKLHCDMSDAVNILTHMTEVKVPRWQSKIIKKIQKQHEAEDMNPVCGGIQKVTRKSGRKPRKRRRKVEKMDPELPKKDENIESDSSLERLYVQEQKLEEQKSMCQELGEFYSIVCGIRCSSTKSEVTADTNLQPVAKMNARVQNYDTSSADLNESVNRDCTEGNHTSELVYGGAVWDIFRRQDVPKLIEYLKRHQKEFRHVSSLPVNTVIHPIHDQTFYLSEKHKRQLKEEFNVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVQECIRLTEEFRLLPKTHRAKEDKLEVKKMALYAASAAVTEAKNLNSWVTEAKNLTS >Potri.015G012800.14.v4.1 pep chromosome:Pop_tri_v4:15:811745:820328:1 gene:Potri.015G012800.v4.1 transcript:Potri.015G012800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012800.v4.1 MEGLFGECKNGGMAASGEGVQCWCGEAGCASIDGEGIQGLFGETTCENGGEGIESGCHRNGGGDDVEDDGKTNKKEIFGVEAVGIDDSSEFGGDDNGGAEVSPVKRKRGRPKGLTKKKNDPRGQVKLGLGGDNVIGNGSAVGMIEMEMFSETSGVNGEGNENNPVEDKQILGAGECIERSVGNDIVRPKAKRGRPKGSGKKQKDVAPEEKQCLPGDFLGNNNAGIESVTPATLENGRTTLLCAEDDETPGGTETVTPKRRGRPKGSKNKNNGDTAGENQEMTGETKGCIGSVVWTPILMGVESETTIAGAEDREIPGEGTCANGKVEEIIAPKKRGRPKGSKKEEILAGDDREMPGETKDTTDCDNRTARSMQLESERTTLEGAKDKELPDEVTGGKGIITPNRRGRPKGSKNKKENLASGEAKVSVDSCDKTNMPRVLWNERITLLDEEENGGSHELPRGIVGYNESRDNKVRLAGCVNDMTCLGENDGAMADDNIDSSFGVNDKSKPKRKRGRPKNSKNKQKSLAGYRQQFPGDIMVSNDGADNKVRLMGFENWTTATFDGYKALCSHATIEGADNKASLLDLGNGMAALVGEKARKLPSEATGVSGDGQEIIKPSLDQRVGSKNKPKNPAGDSWELPSDIVDRNGDAGNVIREVVLENRMVVSSCEGHRVLSVEVTGHSREGNEIRKPRPRGRPKGSVKKKNLADGHQELPGEVMNGNDGKDTVSLMGSENRMTALLGEEYWVLPGVATGHSGEAYDNIKSRRGRGRPKGSGNKKKNLAGGGNQKLAGVVMYGNDGENAVRSMGLGNGMTTLIGEKHRALTGESTGHSEEGEIPKRKPGRPKGSKNKKTLAGCIQGLPGETMCGNDAGEKTLRLTRIDNGWAAPLGKEVKLVPCEVNGVSRDGNDTMKTNVKHDQPKSLKIRKEGDGNEEIQCKIKCKSDGEDSIICLAGSESEGSMLEGEEDRIIATEAAGGNEAGTANPQSKIECAQPEASKRKKLSIAAKEEERQNGEFMGKDDGESKRPNNKQVRRKVLKSKRTILLAKSFDRILRQKYGMKKESGEDLGMDRDILVEQTGHWSNIKKRPRGRPPKHNRSENSNLLGANKKNEQKTLMCHQCCRNNRSGVVICSNCKRKRYCYECLAKWYPKRTHEEIEIACPFCRGNCNCRVCLKEDVVVVAGDDKADANAKLQKLLYLLHKTLPLLRHIQREQNSEIYVDSRIHGSLLTEEHVTKSLLDDDDRVYCDNCSTSIVNFHRSCPNPDCSYDLCLTCCSELRIGFKPGGNEAESSHLRFFERVDSQGALVHDQINENGKGLGCKTQVSDLESKCTADMSCKFPDWRAESDGRIPCPPKELGGCGNEILTLRRIFDAKFVEEMIKSAEELTLNYQSPDIRLCEECYLCHPTSSTENGSKDFAVRKAAYRENSDDNFLYCPNALQLGDDDFEHFQLHWMRGEPVIVRHALERTSGLSWEPMVMWRAFKGAEKIIKEEAHRVKAIDCLDWCEVQVNIFQFFKGYLEGRSYRNGWPEMLKLKDWPPSNFFEECLPRHGAEYVSMLPFSEYTHPKSGILNMATKLPAVLKPDLGPKTYIAYGFVEELGRGDSVTKLHCDMSDAVCNISVR >Potri.015G012800.10.v4.1 pep chromosome:Pop_tri_v4:15:810840:823348:1 gene:Potri.015G012800.v4.1 transcript:Potri.015G012800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012800.v4.1 MVDDDDGYRRCSRSAGKWRCRERAFSGKAYCEKHHLYSVERGLKRSMEKKSGNGGGVEVGSQKKKRQREGSEEDSGILAGEKEQKVSDHVGFGDQGVHDWFSGGNSDVLEWFDDVGAGNVGESFQLWQNEATARGNNGQVLGGEGFQDSFGGECGGTEVLGLDGKVFQVWDGEGFRLGESGLGASENGVVGLGDQGAPDLFCQVSAANVGNVGVGSGGGGIHGVFGEVNGKSGDITLSGESMEGLFGECKNGGMAASGEGVQCWCGEAGCASIDGEGIQGLFGETTCENGGEGIESGCHRNGGGDDVEDDGKTNKKEIFGVEAVGIDDSSEFGGDDNGGAEVSPVKRKRGRPKGLTKKKNDPRGQVKLGLGGDNVIGNGSAVGMIEMEMFSETSGVNGEGNENNPVEDKQILGAGECIERSVGNDIVRPKAKRGRPKGSGKKQKDVAPEEKQCLPGDFLGNNNAGIESVTPATLENGRTTLLCAEDDETPGGTETVTPKRRGRPKGSKNKNNGDTAGAEDREIPGEGTCANGKVEEIIAPKKRGRPKGSKKEEILAGDDREMPGETKDTTDCDNRTARSMQLESERTTLEGAKDKELPDEVTGGKGIITPNRRGRPKGSKNKKENLASGEAKVSVDSCDKTNMPRVLWNERITLLDEEENGGSHELPRGIVGYNESRDNKVRLAGCVNDMTCLGENDGAMADDNIDSSFGVNDKSKPKRKRGRPKNSKNKQKSLAGYRQQFPGDIMVSNDGADNKVRLMGFENWTTATFDGYKALCSHATIEGADNKASLLDLGNGMAALVGEKARKLPSEATGVSGDGQEIIKPSLDQRVGSKNKPKNPAGDSWELPSDIVDRNGDAGNVIREVVLENRMVVSSCEGHRVLSVEVTGHSREGNEIRKPRPRGRPKGSVKKKNLADGHQELPGEVMNGNDGKDTVSLMGSENRMTALLGEEYWVLPGVATGHSGEAYDNIKSRRGRGRPKGSGNKKKNLAGGGNQKLAGVVMYGNDGENAVRSMGLGNGMTTLIGEKHRALTGESTGHSEEGEIPKRKPGRPKGSKNKKTLAGCIQGLPGETMCGNDAGEKTLRLTRIDNGWAAPLGKEVKLVPCEVNGVSRDGNDTMKTNVKHDQPKSLKIRKEGDGNEEIQCKIKCKSDGEDSIICLAGSESEGSMLEGEEDRIIATEAAGGNEAGTANPQSKIECAQPEASKRKKLSIAAKEEERQNGEFMGKDDGESKRPNNKQVRRKVLKSKRTILLAKSFDRILRQKYGMKKESGEDLGMDRDILVEQTGHWSNIKKRPRGRPPKHNRSENSNLLGANKKNEQKTLMCHQCCRNNRSGVVICSNCKRKRYCYECLAKWYPKRTHEEIEIACPFCRGNCNCRVCLKEDVVVVAGDDKADANAKLQKLLYLLHKTLPLLRHIQREQNSEIYVDSRIHGSLLTEEHVTKSLLDDDDRVYCDNCSTSIVNFHRSCPNPDCSYDLCLTCCSELRIGFKPGGNEAESSHLRFFERVDSQGALVHDQINENGKGLGCKTQVSDLESKCTADMSCKFPDWRAESDGRIPCPPKELGGCGNEILTLRRIFDAKFVEEMIKSAEELTLNYQSPDIRLCEECYLCHPTSSTENGSKDFAVRKAAYRENSDDNFLYCPNALQLGDDDFEHFQLHWMRGEPVIVRHALERTSGLSWEPMVMWRAFKGAEKIIKEEAHRVKAIDCLDWCEVQVNIFQFFKGYLEGRSYRNGWPEMLKLKDWPPSNFFEECLPRHGAEYVSMLPFSEYTHPKSGILNMATKLPAVLKPDLGPKTYIAYGFVEELGRGDSVTKLHCDMSDAVNILTHMTEVKVPRWQSKIIKKIQKQHEAEDMNPVCGGIQKVTRKSGRKPRKRRRKVEKMDPELPKKDENIESDSSLERLYVQEQKLEEQKSMCQELGEFYSIVCGIRCSSTKSEVTADTNLQPVAKMNARVQNYDTSSADLNESVNRDCTEGNHTSELVYGGAVWDIFRRQDVPKLIEYLKRHQKEFRHVSSLPVNTVIHPIHDQTFYLSEKHKRQLKEEFNVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVQECIRLTEEFRLLPKTHRAKEDKLEVKKMALYAASAAVTEAKNLNSWVTEAKNLTS >Potri.015G012800.13.v4.1 pep chromosome:Pop_tri_v4:15:811683:823135:1 gene:Potri.015G012800.v4.1 transcript:Potri.015G012800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012800.v4.1 MEGLFGECKNGGMAASGEGVQCWCGEAGCASIDGEGIQGLFGETTCENGGEGIESGCHRNGGGDDVEDDGKTNKKEIFGVEAVGIDDSSEFGGDDNGGAEVSPVKRKRGRPKGLTKKKNDPRGQVKLGLGGDNVIGNGSAVGMIEMEMFSETSGVNGEGNENNPVEDKQILGAGECIERSVGNDIVRPKAKRGRPKGSGKKQKDVAPEEKQCLPGDFLGNNNAGIESVTPATLENGRTTLLCAEDDETPGGTETVTPKRRGRPKGSKNKNNGDTAGENQEMTGETKGCIGSVVWTPILMGVESETTIAGAEDREIPGEGTCANGKVEEIIAPKKRGRPKGSKKEEILAGDDREMPGETKDTTDCDNRTARSMQLESERTTLEGAKDKELPDEVTGGKGIITPNRRGRPKGSKNKKENLASGEAKVSVDSCDKTNMPRVLWNERITLLDEEENGGSHELPRGIVGYNESRDNKVRLAGCVNDMTCLGENDGAMADDNIDSSFGVNDKSKPKRKRGRPKNSKNKQKSLAGYRQQFPGDIMVSNDGADNKVRLMGFENWTTATFDGYKALCSHATIEGADNKASLLDLGNGMAALVGEKARKLPSEATGVSGDGQEIIKPSLDQRVGSKNKPKNPAGDSWELPSDIVDRNGDAGNVIREVVLENRMVVSSCEGHRVLSVEVTGHSREGNEIRKPRPRGRPKGSVKKKNLADGHQELPGEVMNGNDGKDTVSLMGSENRMTALLGEEYWVLPGVATGHSGEAYDNIKSRRGRGRPKGSGNKKKNLAGGGNQKLAGVVMYGNDGENAVRSMGLGNGMTTLIGEKHRALTGESTGHSEEGEIPKRKPGRPKGSKNKKTLAGCIQGLPGETMCGNDAGEKTLRLTRIDNGWAAPLGKEVKLVPCEVNGVSRDGNDTMKTNVKHDQPKSLKIRKEGDGNEEIQCKIKCKSDGEDSIICLAGSESEGSMLEGEEDRIIATEAAGGNEAGTANPQSKIECAQPEASKRKKLSIAAKEEERQNGEFMGKDDGESKRPNNKQVRRKVLKSKRTILLAKSFDRILRQKYGMKKESGEDLGMDRDILVEQTGHWSNIKKRPRGRPPKHNRSENSNLLGANKKNEQKTLMCHQCCRNNRSGVVICSNCKRKRYCYECLAKWYPKRTHEEIEIACPFCRGNCNCRVCLKEDVVVVAGDDKADANAKLQKLLYLLHKTLPLLRHIQREQNSEIYVDSRIHGSLLTEEHVTKSLLDDDDRVYCDNCSTSIVNFHRSCPNPDCSYDLCLTCCSELRIGFKPGGNEAESSHLRFFERVDSQGALVHDQINENGKGLGCKTQVSDLESKCTADMSCKFPDWRAESDGRIPCPPKELGGCGNEILTLRRIFDAKFVEEMIKSAEELTLNYQSPDIRLCEECYLCHPTSSTENGSKDFAVRKAAYRENSDDNFLYCPNALQLGDDDFEHFQLHWMRGEPVIVRHALERTSGLSWEPMVMWRAFKGAEKIIKEEAHRVKAIDCLDWCEVQVNIFQFFKGYLEGRSYRNGWPEMLKLKDWPPSNFFEECLPRHGAEYVSMLPFSEYTHPKSGILNMATKLPAVLKPDLGPKTYIAYGFVEELGRGDSVTKLHCDMSDAVNILTHMTEVKVPRWQSKIIKKIQKQHEAEDMNPVCGGIQKVTRKSGRKPRKRRRKVEKMDPELPKKDENIESDSSLERLYVQEQKLEEQKSMCQELGEFYSIVCGIRCSSTKSEVTADTNLQPVAKMNARVQNYDTSSADLNESVNRDCTEGNHTSELVYGGAVWDIFRRQDVPKLIEYLKRHQKEFRHVSSLPVNTVIHPIHDQTFYLSEKHKRQLKEEFNVEPWTFEQHLGEAVFIPAGCPHQVRNRQSCIKVALDFVSPENVQECIRLTEEFRLLPKTHRAKEDKLEVKKMALYAASAAVTEAKNLNSWVTEAKNLTS >Potri.015G012800.12.v4.1 pep chromosome:Pop_tri_v4:15:810827:823348:1 gene:Potri.015G012800.v4.1 transcript:Potri.015G012800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012800.v4.1 MVDDDDGYRRCSRSAGKWRCRERAFSGKAYCEKHHLYSVERGLKRSMEKKSGNGGGVEVGSQKKKRQREGSEEDSGILAGEKEQKVSDHVGFGDQGVHDWFSGGNSDVLEWFDDVGAGNVGESFQLWQNEATARGNNGQVLGGEGFQDSFGGECGGTEVLGLDGKVFQVWDGEGFRLGESGLGASENGVVGLGDQGAPDLFCQVSAANVGNVGVGSGGGGIHGVFGEVNGKSGDITLSGESMEGLFGECKNGGMAASGEGVQCWCGEAGCASIDGEGIQGLFGETTCENGGEGIESGCHRNGGGDDVEDDGKTNKKEIFGVEAVGIDDSSEFGGDDNGGAEVSPVKRKRGRPKGLTKKKNDPRGQVKLGLGGDNVIGNGSAVGMIEMEMFSETSGVNGEGNENNPVEDKQILGAGECIERSVGNDIVRPKAKRGRPKGSGKKQKDVAPEEKQCLPGDFLGNNNAGIESVTPATLENGRTTLLCAEDDETPGGTETVTPKRRGRPKGSKNKNNGDTAGENQEMTGETKGCIGSVVWTPILMGVESETTIAGAEDREIPGEGTCANGKVEEIIAPKKRGRPKGSKKEEILAGDDREMPGETKDTTDCDNRTARSMQLESERTTLEGAKDKELPDEVTGGKGIITPNRRGRPKGSKNKKENLASGEAKVSVDSCDKTNMPRVLWNERITLLDEEENGGSHELPRGIVGYNESRDNKVRLAGCVNDMTCLGENDGAMADDNIDSSFGVNDKSKPKRKRGRPKNSKNKQKSLAGYRQQFPGDIMVSNDGADNKVRLMGFENWTTATFDGYKALCSHATIEGADNKASLLDLGNGMAALVGEKARKLPSEATGVSGDGQEIIKPSLDQRVGSKNKPKNPAGDSWELPSDIVDRNGDAGNVIREVVLENRMVVSSCEGHRVLSVEVTGHSREGNEIRKPRPRGRPKGSVKKKNLADGHQELPGEVMNGNDGKDTVSLMGSENRMTALLGEEYWVLPGVATGHSGEAYDNIKSRRGRGRPKGSGNKKKNLAGGGNQKLAGVVMYGNDGENAVRSMGLGNGMTTLIGEKHRALTGESTGHSEEGEIPKRKPGRPKGSKNKKTLAGCIQGLPGETMCGNDAGEKTLRLTRIDNGWAAPLGKEVKLVPCEVNGVSRDGNDTMKTNVKHDQPKSLKIRKEGDGNEEIQCKIKCKSDGEDSIICLAGSESEGSMLEGEEDRIIATEAAGGNEAGTANPQSKIECAQPEASKRKKLSIAAKEEERQNGEFMGKDDGESKRPNNKQVRRKVLKSKRTILLAKSFDRILRQKYGMKKESGEDLGMDRDILVEQTGHWSNIKKRPRGRPPKHNRSENSNLLGANKKNEQKTLMCHQCCRNNRSGVVICSNCKRKRYCYECLAKWYPKRTHEEIEIACPFCRGNCNCRVCLKEDVVVVAGDDKADANAKLQKLLYLLHKTLPLLRHIQREQNSEIYVDSRIHGSLLTEEHVTKSLLDDDDRVYCDNCSTSIVNFHRSCPNPDCSYDLCLTCCSELRIGFKPGGNEAESSHLRFFERVDSQGALVHDQINENGKGLGCKTQVSDLESKCTADMSCKFPDWRAESDGRIPCPPKELGGCGNEILTLRRIFDAKFVEEMIKSAEELTLNYQSPDIRLCEECYLCHPTSSTENGSKDFAVRKAAYRENSDDNFLYCPNALQLGDDDFEHFQLHWMRGEPVIVRHALERTSGLSWEPMVMWRAFKGAEKIIKEEAHRVKAIDCLDWCEVQVNIFQFFKGYLEGRSYRNGWPEMLKLKDWPPSNFFEECLPRHGAEYVSMLPFSEYTHPKSGILNMATKLPAVLKPDLGPKTYIAYGFVEELGRGDSVTKLHCDMSDAVNILTHMTEVKVPRWQSKIIKKIQKQHEAEDMNPVCGGIQKVTRKSGRKPRKRRRKVEKMDPELPKKDENIESDSSLERLYVQEQKLEEQKSMCQELGEFYSIVCGIRCSSTKSEVTADTNLQPVAKMNARVQNYDTSSADLNESVNRDCTEGNHTSELVYGGAVWDIFRRQDVPKLIEYLKRHQKEFRHVSSLPVNTVIHPIHDQTFYLSEKHKRQLKEEC >Potri.006G046600.1.v4.1 pep chromosome:Pop_tri_v4:6:3168051:3171003:-1 gene:Potri.006G046600.v4.1 transcript:Potri.006G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046600.v4.1 MSSSKKQALFIASLIILWYSSNIGVLLLNKLLLSNYGFRFPIFLTMCHMSACAILSYLSIVFFKIVPLQVVKSKPQLLKIATLSVVFCGSVVGGNISLRYLPVSFNQAVGATTPFFTAVFAYLMTFKREAWVTYGALVPVVVGVIIASGGEPGFHLFGFIMCISATAARAFKSVLQGILLSSEGEKLNSMNLLLYMSPIAVLVLLPAALVMEPNVLDVTLELGRKHKYMWLLLLLNSTMAYSANLTNFLVTKHTSPLTLQVLGNAKGAVAVVISIFIFRNPVTFVGIAGYSMTVLGVVAYGEAKRRFR >Potri.011G077800.1.v4.1 pep chromosome:Pop_tri_v4:11:8358349:8359635:-1 gene:Potri.011G077800.v4.1 transcript:Potri.011G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077800.v4.1 MASEQMKPVALLLLVLNLIMYIIVLGIGSWAMNRAIDHGFIIGPGYDLPAHFSPIFFPMGNAATGFFVTFSLIAGVVGVASAIVGLNHIRSWHSDSMPSAVSTAAIAWALTLLAMGFASKEIELSIRNARLRTMEAFMIILSATQLLYIAAIHGASSSRRT >Potri.007G074500.2.v4.1 pep chromosome:Pop_tri_v4:7:9807448:9808983:1 gene:Potri.007G074500.v4.1 transcript:Potri.007G074500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074500.v4.1 MTEIFGYTACRQLFQMFLAVLFFHSSEYVLVAAIHGRSSVNLSSLLISKAYVFAMMFALLEYVVEIALFPGLKEYWWVSNLGLVMVIIGEITRKLAIVTAGQSFTHLIKVNHEEQHNLITHGVYRFVRHPSYTGFLIWSVGTQIMLCNPISTIGFAIVVWRFFSQRIPYEEFFLRQFFGSEYVEYASKTPSGVPFVK >Potri.008G108900.2.v4.1 pep chromosome:Pop_tri_v4:8:6892927:6894857:1 gene:Potri.008G108900.v4.1 transcript:Potri.008G108900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108900.v4.1 MPKTRAVTHADIAPSPGSTDLGSNTAAFLVVFTIACGLFCFILCLIAEATRSQVTWVNSDSKEIDDDSQCIYTGSGRTPFLCSAIAFVVLAVAMVVEHMYMLIAVSKAPPQALVAWDANSACAKSITRQAGFFFVTTWICFAVGEILLLIGLGVESGHLKNWSRPRPNCLIIKEGLFSVAGIFALLTVFFASGLYLTALRAQRMSQEHENTRREILEASALYASPPRSPQHHMITAIARENPVARENQTQEPSFTYPPAFTKQLHLV >Potri.013G061400.1.v4.1 pep chromosome:Pop_tri_v4:13:4534442:4541892:1 gene:Potri.013G061400.v4.1 transcript:Potri.013G061400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061400.v4.1 MRSGPDEKTRRERRKEDRKMTTQKKHESWVQHQQFKKQRRAEENKRKFGNSKAKYVNKSKNLKEKEDMQEDATNSRRNQSPEEKNVPTKMERKLGLLGHSGSNAPKTVKEKKGMRRNLKTKFEEYLEMDTKDACAEEDLEMERRLAKKLKLKDGKLKRMDDEMDMLLEGIPSVLDSFDKGEVPDANQFTIEGVEDTTSDKKHKKKKSLKESSEDGSEDVTGAISELQESLGAEVGLEEGASETPSHNRNKKKSKRKQDMAGDMTIGVSDPAETHDAEAVLQETSKKAPAVASSIKYVAPHLRSLAGNESEEYIQIRRRVRGLLNRLSESNVESITGEMATIFRSTIRSVSTQIIINEVLAACSGGPRGNEQYAAVFASFVAGLACSVGMDFSAKFMALLAKAFEDECLKEDNISLRNLTLLLSYLCIFGVCSSDLIYDFLITLSKRLREIDVSTILTVLNCCGMKIRSDDPTAMKNFIQSVQNRVNELKASSVEGQANINGKRMEFMLETIFDIKNNKKRPKEETAPHARIKKWLQKLRVEEILIRGLKWSKLLDPDNKGQWWLSGGMAAKTDNVQEVANTIDKDVLEAQKMLQLASSQRMNTDARKAIFCIIMSGEDYIDAFEKLLRLDLVGKQDREIMRVIVECCLQEKIFNKYYTTLASKLCEHDKNHKFTLQFCIWDHFKELESMQLLRSMHLAKFIAEMVGSFTLSLAVLKSVELSDITQLTPKRIMHFRMLFEALFEYPDEVIWNSLTRVAVSPELETLRHGIEFFIREYVVKTNKAFANKFKISKKALNNTEGVLM >Potri.013G061400.5.v4.1 pep chromosome:Pop_tri_v4:13:4534449:4541891:1 gene:Potri.013G061400.v4.1 transcript:Potri.013G061400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061400.v4.1 MRSGPDEKTRRERRKEDRKMTTQKKHESWVQHQRRAEENKRKFGNSKAKYVNKSKNLKEKEDMQEDATNSRRNQSPEEKNVPTKMERKLGLLGHSGSNAPKTVKEKKGMRRNLKTKFEEYLEMDTKDACAEEDLEMERRLAKKLKLKDGKLKRMDDEMDMLLEGIPSVLDSFDKGEVPDANQFTIEGVEDTTSDKKHKKKKSLKESSEDGSEDVTGAISELQESLGAEVGLEEGASETPSHNRNKKKSKRKQDMAGDMTIGVSDPAETHDAEAVLQETSKKAPAVASSIKYVAPHLRSLAGNESEEYIQIRRRVRGLLNRLSESNVESITGEMATIFRSTIRSVSTQIIINEVLAACSGGPRGNEQYAAVFASFVAGLACSVGMDFSAKFMALLAKAFEDECLKEDNISLRNLTLLLSYLCIFGVCSSDLIYDFLITLSKRLREIDVSTILTVLNCCGMKIRSDDPTAMKNFIQSVQNRVNELKASSVEGQANINGKRMEFMLETIFDIKNNKKRPKEETAPHARIKKWLQKLRVEEILIRGLKWSKLLDPDNKGQWWLSGGMAAKTDNVQEVANTIDKDVLEAQKMLQLASSQRMNTDARKAIFCIIMSGEDYIDAFEKLLRLDLVGKQDREIMRVIVECCLQEKIFNKYYTTLASKLCEHDKNHKFTLQFCIWDHFKELESMQLLRSMHLAKFIAEMVGSFTLSLAVLKSVELSDITQLTPKRIMHFRMLFEALFEYPDEVIWNSLTRVAVSPELETLRHGIEFFIREYVVKTNKAFANKFKISKKALNNTEGVLM >Potri.010G211700.4.v4.1 pep chromosome:Pop_tri_v4:10:20028913:20034543:1 gene:Potri.010G211700.v4.1 transcript:Potri.010G211700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211700.v4.1 MEMKPKQITESVIAKLMGLDELPPQQPVQKKPRVLSENYLRRVSSIGVREKNSEPNSCRLSSEEQKDHIEVFQILETLKRHKHRSMSVEKRKIRSSSPGAKVMFRREEFKQSTDVSEDMKLQSSKECHDAQEVIDSKTDNFPKYFQEPEFTKKANNLQGIPHYLQPGLITFVRPLCPSDRRDIGRSRKFWRPSEQGYARKIEDGLGTYSCRKLGLDVANEFLGSQLDLNDGSCLPTTRIVVLKPKPGKAHNAGRYFSSTGAIEVFHSVDRNHEEILNVQNENLYAEVKERKKMDCDSRPARSRSRFSKQISRRMGHGINSISTKAQASEIRGSDNLPKESELMIPSLPVFSDRKNQFHCSDEPYLAREAKKQISERWKTTKKFQQVELVSRCKTLGEMLAIPDCEARPKQFACNPDNYDQVVPDSGGVNSNTPLGTRSLEFRDGGHVRDLPKSRSLLVNFNTVAGPKTMTRHKSLRKSSCMISPSIPHLVSENNHSADAVYALQNELENKIEVSNSHGRSSSFLGSSGQNYQTLQDPWVTQGGHKNEGSDGDLSEKNYEVCKSSMSNISSTNVVVNSPADAEIAVPKRSLSYHELLELEPNNCVSLVKDEYSSRDPPTSTQQDISNGISEIESVSSHCSGTDGDPESLMSIEEAYQPSPDSVLEPLFKKEISSTSDCFESVHASLHGLQSHLELMKSEASETYSEGSGMMVSSDEDSGEGGSMDDSDENDKTRFFRAEESRDFSYLVNVLSEAGFDSRNLKMGFDSWHSQEYPVSPLVFETLEKKFGEQTSWKRFERRLLFDRINSGLIEILQPSMGVPTWTKPVARRFSFSMGQEMIEEELWMLLVAEEKEASKESGKVLGKDDKWLELSDDVQIIGIEIENCLMDELVADVVSMESF >Potri.010G211700.2.v4.1 pep chromosome:Pop_tri_v4:10:20028919:20034543:1 gene:Potri.010G211700.v4.1 transcript:Potri.010G211700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211700.v4.1 MEMKPKQITESVIAKLMGLDELPPQQPVQKKPRVLSENYLRRVSSIGVREKNSEPNSCRLSSEEQKDHIEVFQILETLKRHKHRSMSVEKRKIRSSSPGAKVMFRREEFKQSTDVSEDMKLQSSKECHDAQEVIDSKTDNFPKYFQEPEFTKKANNLQGIPHYLQPGLITFVRPLCPSDRRDIGRSRKFWRPSEQGYARKIEDGLGTYSCRKLGLDVANEFLGSQLDLNDGSCLPTTRIVVLKPKPGKAHNAGRYFSSTGAIEVFHSVDRNHEEILNVQNENLYAEVKERKKMDCDSRPARSRSRFSKQISRRMGHGINSISTKAQASEIRGSDNLPKESELMIPSLPVFSDRKNQFHCSDEPYLAREAKKQISERWKTTKKFQQVELVSRCKTLGEMLAIPDCEARPKQFACNPDNYDQVVPDSGGVNSNTPLGTRSLEFRDGGHVRDLPKSRSLLVNFNTVAGPKTMTRHKSLRKSSCMISPSIPHLVSENNHSADAVYALQNELENKIEVSNSHGRSSSFLGSSGQNYQTLQDPWVTQGGHKNEGSDGDLSEKNYEVCKSSMSNISSTNVVVNSPADAEIAVPKRSLSYHELLELEPNNCVSLVKDEYSSRDPPTSTQQDISNGISEIESVSSHCSGTDGDPESLMSIEEAYQPSPDSVLEPLFKKEISSTSDCFESVHASLHGLQSHLELMKSEASETYSEGSGMMVSSDEDSGEGGSMDDSDENDKTRFFRAEESRDFSYLVNVLSEAGFDSRNLKMGFDSWHSQEYPVSPLVFETLEKKFGEQTSWKRFERRLLFDRINSGLIEILQPSMGVPTWTKPVARRFSFSMGQEMIEEELWMLLVAEEKEASKESGKVLGKDDKWLELSDDVQIIGIEIENCLMDELVADVVSMESF >Potri.010G211700.3.v4.1 pep chromosome:Pop_tri_v4:10:20028801:20034544:1 gene:Potri.010G211700.v4.1 transcript:Potri.010G211700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211700.v4.1 MEMKPKQITESVIAKLMGLDELPPQQPVQKKPRVLSENYLRRVSSIGVREKNSEPNSCRLSSEEQKDHIEVFQILETLKRHKHRSMSVEKRKIRSSSPGAKVMFRREEFKQSTDVSEDMKLQSSKECHDAQEVIDSKTDNFPKYFQEPEFTKKANNLQGIPHYLQPGLITFVRPLCPSDRRDIGRSRKFWRPSEQGYARKIEDGLGTYSCRKLGLDVANEFLGSQLDLNDGSCLPTTRIVVLKPKPGKAHNAGRYFSSTGAIEVFHSVDRNHEEILNVQNENLYAEVKERKKMDCDSRPARSRSRFSKQISRRMGHGINSISTKAQASEIRGSDNLPKESELMIPSLPVFSDRKNQFHCSDEPYLAREAKKQISERWKTTKKFQQVELVSRCKTLGEMLAIPDCEARPKQFACNPDNYDQVVPDSGGVNSNTPLGTRSLEFRDGGHVRDLPKSRSLLVNFNTVAGPKTMTRHKSLRKSSCMISPSIPHLVSENNHSADAVYALQNELENKIEVSNSHGRSSSFLGSSGQNYQTLQDPWVTQGGHKNEGSDGDLSEKNYEVCKSSMSNISSTNVVVNSPADAEIAVPKRSLSYHELLELEPNNCVSLVKDEYSSRDPPTSTQQDISNGISEIESVSSHCSGTDGDPESLMSIEEAYQPSPDSVLEPLFKKEISSTSDCFESVHASLHGLQSHLELMKSEASETYSEGSGMMVSSDEDSGEGGSMDDSDENDKTRFFRAEESRDFSYLVNVLSEAGFDSRNLKMGFDSWHSQEYPVSPLVFETLEKKFGEQTSWKRFERRLLFDRINSGLIEILQPSMGVPTWTKPVARRFSFSMGQEMIEEELWMLLVAEEKEASKESGKVLGKDDKWLELSDDVQIIGIEIENCLMDELVADVVSMESF >Potri.015G134900.5.v4.1 pep chromosome:Pop_tri_v4:15:14387278:14390675:1 gene:Potri.015G134900.v4.1 transcript:Potri.015G134900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134900.v4.1 MWIVLISSNWKCVGDSNDHASNMKGANDSLVVCFGEMLIDFVSTVAGVSLAEAPAFKKAPGGAPANVAVGISRLDGSSAFMGKLGDDEFGYMLSDILKQNNVDNSGVRFDSTARTALAFVTLKDDGEREFLFFRHPSADMLLRESELDINLLEQARIFHYGSISLISEPCRSSQFAAMRIAKKSGSILSYDPNLRLALWPSAEAAREGIMSIWDQADVIKISEEEITFLTGCDDPNDDKVVMDKLFHPNLKLLIVTEGSKGCRYYTKEFKGWVPGVKVKPVDTTGAGDAFVGGMLSNLASNLNLFEDEKLLREALLFANACGAVTVTERGAIPALPTKDAVLKLLGTLSLNS >Potri.015G134900.2.v4.1 pep chromosome:Pop_tri_v4:15:14387234:14390785:1 gene:Potri.015G134900.v4.1 transcript:Potri.015G134900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134900.v4.1 MAEDHVPCDSNDHASNMKGANDSLVVCFGEMLIDFVSTVAGVSLAEAPAFKKAPGGAPANVAVGISRLDGSSAFMGKLGDDEFGYMLSDILKQNNVDNSGVRFDSTARTALAFVTLKDDGEREFLFFRHPSADMLLRESELDINLLEQARIFHYGSISLISEPCRSSQFAAMRIAKKSGSILSYDPNLRLALWPSAEAAREGIMSIWDQADVIKISEEEITFLTGCDDPNDDKVVMDKLFHPNLKLLIVTEGSKGCRYYTKEFKGWVPGVKVKPVDTTGAGDAFVGGMLSNLASNLNLFEDEKLLREALLFANACGAVTVTERGAIPALPTKDAVLKLLGTLSLNS >Potri.015G020400.1.v4.1 pep chromosome:Pop_tri_v4:15:1431260:1441702:-1 gene:Potri.015G020400.v4.1 transcript:Potri.015G020400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020400.v4.1 MGGLEGWVQPSGFSPNGLLPNEVASVTQALEPERWATAEERTAELIACIQPNQPSEERRNAVLCYVQRLIMNCFPCQVFTFGSVPLKTYLPDGDIDLTVFTENQNLKETWANEVRDILEHEEKNENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFDQLGGLCTLCFLEEVDQLIDQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNKFAGPLEVLYRFLEFFSKFDWENYCISLWGPVPISSLPDMTALSPRKDGGQILLSKLFLDVCSSVYAVFPSRQENQEQSFVSKYFNVIDPLRANNNLGRSVNKGNFFRIRSAFAFGAQRLARLLDCPKENLLAEFNQFFLNTWDRHGKGHRPDAPSSNHVVQRPIRSNVIDGSETIINYSSSKKTKEDPSGHQSKVGVTYAAHASDSVSSQHDNRSLKRTSRPGNISAISGTRGQSMQANLTNSMASDQNNKKLIYNSLNENAHNEKMTSSGTYYLGNEVNARYQFARTQSSPELTDTSSEVLSRGRHNRASETVNGQTAPARSHNSRRRNLVPEVLENHGARFSTEDSLSSRHSLSHQSIDAAVDSTSASNSYFGDSGEGTMEDHLSLSETTQLHQEEQDRVSVASFSGYSVSEQGQMPMNLASGQPPFALPPSVLASLGYAQKHMTGTAPINAPSFESPWVSNVHYPQGFIPNPVSQYFPSMGMTSDQEVTIEMIDAKLASTELSQEESDHGWSKPDADSVRHQQKNRSSQSRLQEHRQPLASVESNHVHSSRVSRSGSFSPRDRGLITEDRGLIRENYSDDAQYQIPKESDAYSSADLRFVPSSEASSSGSKSEDNGDGLLLRTYKSTKDRRGKKSVPSTDSSIAYGMDKNERQREDKSVDHISLQPDEDNREWISLSTMGTELSESMVSGVGASHVWNHQIQSYDPASVNRSNSMLSVAPMFVGPNSHQRPNDNHGALPFAFYPTGPPVPFLAMVPVYNVPTEAATSSVSTRKLDMDEEFDSSQNNHSNQSLDSSENVDWSEILNTYTSVNNASSSVHSEQGRSEILNSDFASHWQNLQYGRFCQNARNNDSLPHPSPVVAPPMYLQGHFPWDGPGRPPADMNLLTQHMNGPHLIPVAPVHPGSIRQPGFYQHYADNIPRYRAGTGTYLPNPKISYRDRQFSNSRNHRGNNNYDRKDHHDDREGNWNNNSRPRFGGRSQNQNQVEKPSFRMDRSTPNNRRSDRSWNSKQDPLPPHHPRNGSFSFSNSTNRGSTNAAYGMYPPIPVVNHSEGSASVVMLYPYDRNMGYSSAGEHVEFGSLGPAHLTGDKASPHLGEESSRGINEQQDFQRVSDLSSPDQPASPLVRRRV >Potri.015G020400.2.v4.1 pep chromosome:Pop_tri_v4:15:1431241:1436876:-1 gene:Potri.015G020400.v4.1 transcript:Potri.015G020400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020400.v4.1 MQANLTNSMASDQNNKKLIYNSLNENAHNEKMTSSGTYYLGNEVNARYQFARTQSSPELTDTSSEVLSRGRHNRASETVNGQTAPARSHNSRRRNLVPEVLENHGARFSTEDSLSSRHSLSHQSIDAAVDSTSASNSYFGDSGEGTMEDHLSLSETTQLHQEEQDRVSVASFSGYSVSEQGQMPMNLASGQPPFALPPSVLASLGYAQKHMTGTAPINAPSFESPWVSNVHYPQGFIPNPVSQYFPSMGMTSDQEVTIEMIDAKLASTELSQEESDHGWSKPDADSVRHQQKNRSSQSRLQEHRQPLASVESNHVHSSRVSRSGSFSPRDRGLITEDRGLIRENYSDDAQYQIPKESDAYSSADLRFVPSSEASSSGSKSEDNGDGLLLRTYKSTKDRRGKKSVPSTDSSIAYGMDKNERQREDKSVDHISLQPDEDNREWISLSTMGTELSESMVSGVGASHVWNHQIQSYDPASVNRSNSMLSVAPMFVGPNSHQRPNDNHGALPFAFYPTGPPVPFLAMVPVYNVPTEAATSSVSTRKLDMDEEFDSSQNNHSNQSLDSSENVDWSEILNTYTSVNNASSSVHSEQGRSEILNSDFASHWQNLQYGRFCQNARNNDSLPHPSPVVAPPMYLQGHFPWDGPGRPPADMNLLTQHMNGPHLIPVAPVHPGSIRQPGFYQHYADNIPRYRAGTGTYLPNPKISYRDRQFSNSRNHRGNNNYDRKDHHDDREGNWNNNSRPRFGGRSQNQNQVEKPSFRMDRSTPNNRRSDRSWNSKQDPLPPHHPRNGSFSFSNSTNRGSTNAAYGMYPPIPVVNHSEGSASVVMLYPYDRNMGYSSAGEHVEFGSLGPAHLTGDKASPHLGEESSRGINEQQDFQRVSDLSSPDQPASPLVRRRV >Potri.001G358100.1.v4.1 pep chromosome:Pop_tri_v4:1:37352712:37358543:-1 gene:Potri.001G358100.v4.1 transcript:Potri.001G358100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358100.v4.1 MIRSAVSESSSHYQISIQRERRASCKMGDPTHLEKMGRELKCPICLSLLDTAVSLTCNHVFCNSCIVKSMKSGSDCPVCKVPYRRREVRAAPHMDNLVSIYKSMEIASGFNIFVTQNPPPSTKLSDVQKQVGDDTDCGREDIGWICQDMLDEQLKISRQKDSRKLDESNMELCGPITSKPSFPTKKRVQVPQCSLSGTSAQPEKLQTGSREIKKDDFKNDSSVSRKNPVVDEKGERMLSPFFWLRDEDGEKSSQHTDMDQLLDITPPNVPTFSDIKDSDDENPPESSSKGAVCGNESHVADFFDSEMFEWTQRACSPDIFSSPAKMQAEKTTEMDGIRESKSETPPLDANTNEERSDESKNCTDTKPRMGISEDILASLSSPIVKSANYQIGRNTSNKRGRNAKDTTLRNCARKNADKGGRLKGENVSSVSVRENLDQADENTVTDQLPISFDKKQGRSNLKRKKNGKVSRKINLRCKERKLDSVEINMIKEVHSIHNQMDKDEHAHDYFLPAPLVEDKKPSEIKGKRGKQGEEVKSALCPEHNQDLKCKKNMEVSLDGIIEDGLVSDHQEGADNVFAEEAQSTENITGNSARATESAEKVQASLNTRILDDLATLRDHCQENGAAILNCKLNYNIQCAFCLSSEVSEASGEMIHYNNGIPVAADYNGGSRVIHSHKNCAEWAPNVYFEGDNAINLEAELARSRRIKCCCCGLKGAALGCYEKSCRKSFHVPCAKLTHQCRWDTENFVILCPLHASCKLPNESKQSQERRKNCISKGQTPRQYNQVTFKHDINMHKSRKSCLTHDKLVLCCSALTVGEKEIVSEFESLSGVTVLKNWDSSVTHVIASTDENGTCRRTLKVLMGILKGKWIVNIEWVKACIKAMKLVEEMRYEITADVHGIRDGPRNGRLRVLNKQPNIFEGFKFYFMGDFIPSYKGYIQDLLVAGGGTILHRKPISGAQGTLLLDSKPPTFIMYSLEMPDKCDPSKKNMILNRRQSDAEALASSTGAKAVSNKWVLNSIAACKLQSLAQ >Potri.015G116201.1.v4.1 pep chromosome:Pop_tri_v4:15:13085690:13092874:-1 gene:Potri.015G116201.v4.1 transcript:Potri.015G116201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116201.v4.1 MLKKLPEEVLNLWDNWKIRGMVLLSLLLQTILIIFGPRRKTSGRIWIRILVWSAYLSADSVATFALGYLARSQGDSSGDNSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLAVQVGVAFYVFSRSWGSGILTFLAIPMFIVGIVKYAERTWVLWSSSSEVLKKSILSKFRPLYPLMALTKTRHQALEGNYLLQAYTFLDISMFMIQDLVPGIPALIKSQVLISKNSAEGAFKVVEIELGLIYDMLYTKAPLIYSRAGISLRSISFLLTFTAFITFQVLIDKHAYSTIDITITYLLFAAAVFLEFYAFLCLVFSDWTMIWLEDEGWNALNSAIYSLIRKLTRSKRWSRSIAQHDRISSSIKNMPLGCLRSLIINEKTMQMFVHRVDFNVGLQRFIFGHLRKKAEMMKEDFCFIDKNLRSKVIGQRGDGVLEREGLLQSSKWCTTEVEFSWSILLWHIATEICYLGDKNRSNVSTEYETSKCLSEYMMYLLVIRPNMLSKGFGDEEYLGTLQDLQRLNPHKTRGDEAKKGVVDGILRSELSLDDTTFQSLWKTSNSVVSGAEKLARQFQSLEHEKRWVMIKEVWIEMLAYAAALCPWKEHTHELRRGGELLTHVFLLMQHLGLSEQYEFKGFDGDEVSVLEFFSSDESRKYQLAVERYAKVTVDMPISRPVKALYRYLAKPADTSLSGPDEEVDEEHLLALIQEIG >Potri.012G004150.1.v4.1 pep chromosome:Pop_tri_v4:12:14866:15117:1 gene:Potri.012G004150.v4.1 transcript:Potri.012G004150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004150.v4.1 MFPKSPDLEKIMGRTEFQNIQFYYPLRPEVKVLRNFSLQIEAGLKVALAGPSGSGKFSVLALLLRFDDPREGKLIIVKKDMRE >Potri.018G047100.6.v4.1 pep chromosome:Pop_tri_v4:18:4097917:4103877:1 gene:Potri.018G047100.v4.1 transcript:Potri.018G047100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047100.v4.1 MEAQQPDSRKEMKPREDMEVKKEQEVMGSCKEGAGLLEKNGVHHLWAPSYWPMTMATSRPNVTIPTPDQLTIFYGGSVVVFDSIPAEKVHEIMLIAAAAVKPGDMKKSGSPTGTPVLTRSPSMQSTAAPQGQTYSRQNSICRMQAELPIARRQSLQRFFKKRRDRLVSKSPYPTSPAGKEADTTEPGISAAPPPDAGCFGKPLASEELQPKVAANVV >Potri.018G047100.5.v4.1 pep chromosome:Pop_tri_v4:18:4097967:4104013:1 gene:Potri.018G047100.v4.1 transcript:Potri.018G047100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047100.v4.1 MEAQQPDSRKEMKPREDMEVKKEQEVMGSCKEGAGLLEKNGVHHLWAPSYWPMTMATSRPNVTIPTPDQLTIFYGGSVVVFDSIPAEKVHEIMLIAAAAVKPGDMKKSGSPTGTPVLTRSPSMQSTAAPQGQTYSRQNSICRMQAELPIARRQSLQRFFKKRRDRLVSKSPYPTSPAGKEADTTEPGISAAPPPDAGCFGKPLASEELQPKVAANVV >Potri.005G146000.2.v4.1 pep chromosome:Pop_tri_v4:5:12037188:12042678:1 gene:Potri.005G146000.v4.1 transcript:Potri.005G146000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146000.v4.1 MAIRPLLQKKITTILNHHQKKKDKTLFCSPSFISNNNPLLFSSSQSSIPTCFRQLAFSSTAFSRQHQRQRQQEQPPPNHQILHRFPHRFRSSVPLPDTLAQKIGKSFRRPGAPSKARVYADANVIRPKDYWDYESLTVQWGEQDDYMVVKKVGRGKYSEVFEGMHCTDNEKCIIKILKPVKKKKIKREIKILQNLCGGPNIVKLLDIVRDQQSKTPSLIFEYVKNTDFKVLYPTLSDFDTRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHEQRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDLWSLGCMFAGMIFRKEPFFYGHDNYDQLVKIAKVLGTDDLNAYLNKYRIELDPHLAALVGRHSRKPWSKFTNVDNQHLAVPEAVDFLDKLLRYDHLERPTAKEAMAHPYFYPIRNAESSRTRT >Potri.011G098400.1.v4.1 pep chromosome:Pop_tri_v4:11:12726096:12732716:-1 gene:Potri.011G098400.v4.1 transcript:Potri.011G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098400.v4.1 MTSSVHDLSDNSEAGEQQKHSEPQVQTSSPANALAHPGISPPNFQYATPQLGAGHAMAPAAYPYPDPYYRSIFAPCDAQPYAPQPYGAQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESENKAIKSRKPYLHESRHQHALKRARGCGGRFLNSKKKENQQQNGMAPGDKSQSNVNLNANKNDIASLDDKS >Potri.009G117800.1.v4.1 pep chromosome:Pop_tri_v4:9:9919375:9920309:-1 gene:Potri.009G117800.v4.1 transcript:Potri.009G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117800.v4.1 MGMSVFLKLIDFILFFFFLVIAVAAPLIDAQTCLPLTFFPDFLINLKQWYGHHYGDYLIIEKPHFFVGLVWLELLFQWPLSLLNLYAFLASKSWFNTTCLIYGSSALTSMVAILAELLGSGKASDDLLMMYSPFLGLGVLCILRGLIQNSSKTSGSRSKSSRIPALARKKKA >Potri.003G152800.2.v4.1 pep chromosome:Pop_tri_v4:3:16559256:16560665:-1 gene:Potri.003G152800.v4.1 transcript:Potri.003G152800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152800.v4.1 MFLKHVNWKPQPTQRNSLRLKVTMKRRRRINSTRRISAEMVEISKGQNQIRERQKEVGKKFKEIRKETEKLKRETDLISKQSAANQLRLDLMFQIVKARADNDSAKDALLTETLRELMAKTRIGEKASF >Potri.012G125300.2.v4.1 pep chromosome:Pop_tri_v4:12:14210532:14214310:-1 gene:Potri.012G125300.v4.1 transcript:Potri.012G125300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125300.v4.1 MAEEPASPSLASAPPLGSSVIPIVNKLQDIFAHLGSQSTIELPQVAVVGSQSSGDGSGEDEWGEFLHLPGKRFYDFSEIRNEIQAETAKEAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLILAVTAANSDLANSDALQIAGNADPDGKTIGIITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIILNRSINDALAAEELFFRSRPVYNGLADRCGVPQLAKKLNQVEFLQHYLLSEYLKVHHFKVDCHC >Potri.002G100700.1.v4.1 pep chromosome:Pop_tri_v4:2:7359312:7365238:-1 gene:Potri.002G100700.v4.1 transcript:Potri.002G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100700.v4.1 MALRFEVLGRFNRARAARLTLPHFVCQTPLFMPVGTQGTIKGLTTNQLEEIGCQIILGNTYHLALRPTSELIDELGGLHKFMNWPRAMLTDSGGFQMVSLLHLADITEKGVTFQSPVDGKPMLLTPEESIQIQNRIGADIIMALDDVVKTTITGPRIEEAMYRTLRWIDRCIAAHKKPNEQNLFGIVQGGLDPVLRDICVRGLVERNLPGYAIGGLAGGEDKDSFWRVVAQCTALLPEDKPRYVMGVGYPLDIVVCSALGADLYDCVYPTRTARFGTALVPEGVLKLKHKAMAEDTRPIDPTCSCMVCKNYTRAYIHCLVTKDAMGSQLLSYHNLHYMLQLSRDLHSSIIEERFPDFVRGFLQKMFPKGDVPEWVCNAMEVAGIKISSCCAPFLSSHDSELEKDASTKKFDDNETAFDYKYTPIKFIDEIAFSNKNNKKQEL >Potri.002G023300.6.v4.1 pep chromosome:Pop_tri_v4:2:1450747:1455500:1 gene:Potri.002G023300.v4.1 transcript:Potri.002G023300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023300.v4.1 MSQNSIQSNSIQNPRVTWEGCSVLLDINDGDRLVFARLTAGSTLKIGNKNFSLRPLIGCPFGSSFQIENGTEGLCLCRFVPSTEVDNNDQEKGGSQIMDECRDNRAIVDNNEAQTLTSGDIDEMRRQGVKGDEIIEALIANSATYEKKTTFSQEKYRIKKQKKYAPRVLLRRPSARSICEAYFKKYPHRIGFLRVDALSLLLSLANVTANSDILLVDMVGGLLTGAVAERLGGTGYVCNTYLGSTPYPVEIVRTFNFDNEICKRIVRAPLRDLCLDQIGTSEIIDASNAELNGQTSINMEEMSLPSNHEAVDSETIVSPQSKMGKPPKAGEKASEEAIKSWKENGFSSLIIAAPDADAWSLAKDLLPLLSYSAPFAIYHQYLQPLATCMHNLQQGKMAIGLQISEPWLREYQVLPSRTHPLMQMSAFGGYILSGTKICSSS >Potri.015G043400.1.v4.1 pep chromosome:Pop_tri_v4:15:4185548:4186804:1 gene:Potri.015G043400.v4.1 transcript:Potri.015G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043400.v4.1 MTSLAATIPAINGNPILPYLPRSNTNRPLLSHRPMTVAVTATSNGTTYNKSYWTSVNDEIDAHLKQAIPIRPPLSVFEPMHHLTFAAPRTTAPALCIAACELVGGNRDQAMAAASALRLMHAAALTHEHILSTGNRARIGHSFGSNIELLTGDGMVPFGLELLAKSDDLTQNNSERILRVIIEITQAMGSQGMALGQYNQFQHGQSDYIDHVCKKKEGELHSCAGAVGAILGGGTEEEIEKLRRYGLYVGLMQGVLSNWVERKEEVSMEKVLNELENLALKELEGFDRGKVQAISSLVQAISSLVGTTSGNV >Potri.001G019200.1.v4.1 pep chromosome:Pop_tri_v4:1:1428598:1431919:1 gene:Potri.001G019200.v4.1 transcript:Potri.001G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019200.v4.1 MDNSGLGGRFLSGPNSGLLDLESPIHRHQQSQLGHPSLAHQHQVNLVGCFDNDHQPIGLSEVKGTPSKVYSANFGKGKAVSPFNCASSGNASEDDDQSFMEDGNGENSTGVKGKKGSPWQRMKWTDNVVRLLIAVVACVGDDGTLNAVEGLKRKSGLLQKKGKWKMVSKLMISKGCHVSPQQCEDKFNDLNKRYKRLNEILGRGTTCRVVENPVLMDSMPHLSAKAKDDVRKILGSKHLFYKEMCAYHNGQRIPNCQDLDLQGCSLPLERSSKDNNGSGEDEAEGNGDSDDGDDDDDESDNEENNNADEDGERVGQLCEGRVNDEHAHLWSQSGGRNGFDVEMAAIFQDPAVSPWERKEWIKKQRLQLLEQRVSIQAQTFELEKQRFKWLRYCSKKDKEFERLRLENEWRKLENEQSALQLRQKQLEMDFRSSEPSFDPACLGIDGVQGRDPIDLSRPQ >Potri.016G093944.1.v4.1 pep chromosome:Pop_tri_v4:16:8438788:8440916:-1 gene:Potri.016G093944.v4.1 transcript:Potri.016G093944.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093944.v4.1 MTTTALDMSLDDIIENNRKSSNSRGRPRSSGGPGPSRRFSNRAGNITTPYSKPQVQAPETSWQHDMFTDNPVSASAYPARASSIETGTKLYISNLDFGVSTEDIKELFSEIGDLKRYSVHYDRSGRSEGTAEIVFARREDAVSAVKRYNNVQLDGKPMKIEIVGTNIATRPAVPPSTNGMYRNQNIAAPRSSSQGRGGTTGRPRGGGHGVRRGGRGRGRGRGEKISAEDLDADLEKYHSEAMQEN >Potri.005G145400.3.v4.1 pep chromosome:Pop_tri_v4:5:11886700:11891268:-1 gene:Potri.005G145400.v4.1 transcript:Potri.005G145400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145400.v4.1 MEQHGARLVFPIDVKKKPWEQKLPLHNRWHPDIPPVAEVTVGELFRVEMVDFSGGRITKEYSAEDIKNADPSIVHYLSGPIRVLDEEGKPAQPGDLLAVEICNLGPLPGDEWGFTASFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSRELLNIWNEREREVEENGLKHLKLCEVLHSRPLANLPSTKGCHLGKIQKGTPEWEKIATEAARTIPGRENGGNCDIKNLSRGSKVYLPVFIEGANVSTGDMHFSQGDGEIAFCGAIEMSGFLELKCEIIKGGMKEYLTPMGPTLLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHFLDASVAYKRAVLNAIDYLSKFGYSKEQMYLLLSCCPCEGRISGIVDSPNAVATFAIPTSIFDQDIRPKTKVPVGPRLVRTPDVLKCTYDGNLPITKNPSAMT >Potri.005G145400.10.v4.1 pep chromosome:Pop_tri_v4:5:11886739:11891265:-1 gene:Potri.005G145400.v4.1 transcript:Potri.005G145400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145400.v4.1 MAPSTPRLVVPIDLKKKPWEQILPLHNRWHPGIPPVAEVKVGEVFRVEMVDWTGGMIKDNDSAIDVKTIDLSSVHYLSGPIRVLDEEGKPAQPGDLLAVEICNLGPLPGDEWGFTASFDRENGGGFLTDHFPCATKAIWYFEGIYAYSPQIPGVRFPGLTHPGIVGTAPSRELLNIWNEREREVEENGLKHLKLCEVLHSRPLANLPSTKGCHLGKIQKGTPEWEKIATEAARTIPGRENGGNCDIKNLSRGSKVYLPVFIEGANVSTGDMHFSQGDGEIAFCGAIEMSGFLELKCEIIKGGMKEYLTPMGPTLLHVNPIFEIGPVEPRFSEWLVFEGISVDESGRQHFLDASVAYKRAVLNAIDYLSKFGYSKEQMYLLLSCCPCEGRISGIVDSPNAVATFAIPTSIFDQDIRPKTKVPVGPRLVRTPDVLKCTYDGNLPITKNPSAMT >Potri.008G041201.1.v4.1 pep chromosome:Pop_tri_v4:8:2319172:2322292:1 gene:Potri.008G041201.v4.1 transcript:Potri.008G041201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041201.v4.1 MGHKQMFNTSQYFEIEQDWSHGHPASGQSYVHMGRAVSQENGSFFSSDQSYFNKSEGPQVYTPISGPPHDVFPHFSAGGNFYPPPELNYAQVHSNQYNRHGIHVVEGGLLDQTTSTGRGPFKRKGTGVSTSRERGGTSGIYSAGSTSNSFELHHEKPTSDYRNNFDSSGLPPYMSSSLSIGCEDSPRNVRSRSRLDLEPNPRRTHLLSYSSHPFSSTSHLRNHPGPVDASNLNADITAYEQPYCINPTDIHWYNHESIISRNPAAPPRYLHGFHAQASREGQNSYPRRAIPTCRADISCYHFGQETTAIENGWHSNHREGSPRISIERFQSLSNVVDAHDRMGSEALMLLDHPYLYGSINLFDQYRDMRLDVDSMSYEELLALGERIGNVNTGLPEDVFSKCLMETISLSSDKGQEETSCAICLEEYRSVDKVGTIKNCGHVDCIKKWLSMKNMCPICKAPAVADSSNED >Potri.001G313700.1.v4.1 pep chromosome:Pop_tri_v4:1:32449579:32450314:1 gene:Potri.001G313700.v4.1 transcript:Potri.001G313700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313700.v4.1 MDSKKKNDANCFQMPLHYPKYSMKDYQTMPEWQLDRLLADYGLPVHNDLAYKREFAMGAFLWPNFQQEQKPSP >Potri.010G121900.1.v4.1 pep chromosome:Pop_tri_v4:10:13968296:13969677:-1 gene:Potri.010G121900.v4.1 transcript:Potri.010G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121900.v4.1 MKQCKITVFLKDLSIRFRKEVREGSLQATTTVVLCLSMVSFVVILAMFINNNVKKYLVSEDYSYYQLATLTPLSSGSPCPYFLCNSFLSPPSLQPSQFPMTSLRDWVTPKELCHSMNDKELLWRASMVPHIDEYPYNRTPKVAFMFLTRGSLPLAPLWEMFFKGHEGLYSIYLHKSPEFTNQHPESSVFYQRQIPSKPAEWGRATMIDAERRLLANALLDFSNERFVLLSETCIPVFNFSTIYNYLMNSNQSFLGSFDDPRHTGRGRYNKRMRPTVTLSDWRKGSQWFEAHRKVAIEMISDVKYYPVFRDHCRPPCYMDEHYFPTLVTKISPELNSNRSITWVDWSGGGSHPTRFVRKDVSEAFLNQIRNGFNCTYNGGITTVCFLFARKFHPSTLDSLLRIAPGLLGFRS >Potri.014G170400.6.v4.1 pep chromosome:Pop_tri_v4:14:12489427:12491072:-1 gene:Potri.014G170400.v4.1 transcript:Potri.014G170400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170400.v4.1 MGLSSLPAPSEGVLCVLLVNTALSISIVKGIVRSILHIVGIRLSPSASLPSSDNAEDTRESLEFRLSPPENYIEEFRSRMPSIRFNTVCSCEQPEHDCSVCLTQFEPESEINSLSCGHIFHKMCLEKWLDYWNITCPLCRTPLLPEEDASCFW >Potri.014G170400.7.v4.1 pep chromosome:Pop_tri_v4:14:12489427:12491675:-1 gene:Potri.014G170400.v4.1 transcript:Potri.014G170400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170400.v4.1 MGLSSLPAPSEGVLCVLLVNTALSISIVKGIVRSILHIVGIRLSPSASLPSSDNAEDTRESLEFRLSPPENYIEEFRSRMPSIRFNTVCSCEQPEHDCSVCLTQFEPESEINSLSCGHIFHKMCLEKWLDYWNITCPLCRTPLLPEEDASCFW >Potri.004G011375.1.v4.1 pep chromosome:Pop_tri_v4:4:683629:684275:1 gene:Potri.004G011375.v4.1 transcript:Potri.004G011375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011375.v4.1 MVLSIFKPLTLYLFLLFYSWQDSHLEVKGDIFVSPISLIKRGPESSS >Potri.010G014600.3.v4.1 pep chromosome:Pop_tri_v4:10:2447233:2453466:-1 gene:Potri.010G014600.v4.1 transcript:Potri.010G014600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014600.v4.1 MEIQKQSKQQQQEFALASITELASSSSTSSSCSDSQSVPVIARFSADNGVAGLRFNRDSESTDSISVDVRTAQLFKLGPLQSVCIAEASGAGTGKEKYSTGVTVQFRNEEESRAFHCAFEQWKKKDTVQGTSMPNGAVTSCKSKFEDKIEPSSAKMYFHYYGQLLHQQNMMQDYVRTGTYYAAVIENRADFFGRVVVDVGAGSGILSLFAAQAGAKHVYAVEASEMAEYARKLIAGNPSLGKRITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPTVGRIHMAPFSDEYLFVEIANKALFWQQQNYYGVDLTPLYGSAFGGYFSQPVVDAFDPRLLVAPTICHVLDFTEIKEEDLYEIVIPLKFIASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPLYVMAGQEITGQLRMVAHNAQSYTLYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQAYAMAQDQQPHQPIHTQDIHIQAADDLEEPELIQPPPQNLDGQLQ >Potri.001G061600.1.v4.1 pep chromosome:Pop_tri_v4:1:4731724:4734497:-1 gene:Potri.001G061600.v4.1 transcript:Potri.001G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061600.v4.1 MASSTAFHLLVLFLLSTIQSPTNANLEGDALYALRRAVKDPGHVLQSWDPTLTDPCTWFHVTCDGDNRVTRLDLGNAKLSGSLVPELGKLVGLQYLELYMNELVGPIPRELGNLKSLVSLDLYHNNLTGTIPASLSKLSNLKFLRLNGNRLTGRIPRELTKLDSLKILDVSNNDLCGTIPTSGSFSKLTEESFVNNSRLEGPELVGFVRYNAAGSCK >Potri.004G144500.2.v4.1 pep chromosome:Pop_tri_v4:4:16727475:16728643:-1 gene:Potri.004G144500.v4.1 transcript:Potri.004G144500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144500.v4.1 MYQELFSYFSVPFLRFFSLLHLVTPPPSVTGQFDDYIHYDKSCPNPSLTVRNVVQKALHSDSRIAASLLRLHFHDVFVNVMILDLLIPPQLEIPLHLNLKAVFCNSIMKAQIRS >Potri.004G048400.1.v4.1 pep chromosome:Pop_tri_v4:4:3897736:3898546:-1 gene:Potri.004G048400.v4.1 transcript:Potri.004G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048400.v4.1 MEDTTWEQRLQALTHLLTSPTTTPPLYSQFFISTQIPCYLKWDYPPILCTKDTKTFPSLLLRWGFSLFLKRASRLGCPETSWRSKCPYQQPPPLILAKGLEEAQWGDEQRREYVRKRLRRKKLVSNVNPFIPILVPNLLLFSLMLWNPFPDLDS >Potri.009G025102.1.v4.1 pep chromosome:Pop_tri_v4:9:3680187:3682262:-1 gene:Potri.009G025102.v4.1 transcript:Potri.009G025102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025102.v4.1 MGNCLSSTQSAVNPNVISKKRKTLPIDASFKLPAPLPSWPPGEGFGSGIIDLGDGLQVCLLSSFNKVWATHEGGPDNLGASFFEPSQFPQGFSMLGSYSQPNNTLLHGWVLAGRDDTGSALKQPIDYTLVWSSDSLRIKQDGVGYIWLPTPPDGYKAVGHVVTNSPQKPPLGKIHCVRSDLTDQCEFDSWIWGLGKESDPNGFNVYSLLPSNRGTQAMGVCVGTFVAQNTFTAPVSLACVKNVVSNLSCMPNRNQIQTIFQAYSPWMYFHPDEQYLPSSVNWYLKNGALLYKKGDESKPVPIESNGSKLPQGGADDDTYWMDLPLDEGAKERVKKGNLQDSQVYLHIKPMLGATFTDIAVWVFYPFNGPSKAKVEFINIPLGKIGEHVGDWEHVTLRISNFNGELWSVYFSEHSGGTWVKASELEFQNGNKAVTYSSLHGHAMYAKPGLVLQGSGGIGIRNDTAKSKKLMDTGANSLVVAAEYLGTDINEPPWLNYFRKWGPKVTYDISEEIKKVDKLLPGKLKSAFDKFIRSLPNEVLGEQGPTGPKMKRNWIGDEV >Potri.006G000500.3.v4.1 pep chromosome:Pop_tri_v4:6:49444:54652:-1 gene:Potri.006G000500.v4.1 transcript:Potri.006G000500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000500.v4.1 MSQYQGDEMDHVVDDYEIAEVDDDVYFHGRFMADSESEDDDDDDEYDHLDNKITDTSAADARKGKDIQGIPWERLSISREKYRQTRLEQYKNYENVPQSGESSEKECEPIKKGGMFYEFWHNTRSVKSTILHFQLRNLVWSTSKHDVYLMSHYSIVHWSSLSCKKNEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTLAVKDRLLIAGGFQGELICKYLDRPGVSFCSRTTYDDNAITNAIEIYECPSGAVHFMASNNDSGVRNFDMERFQQTKHFCFDWPVNHTSLSPDGKLLVIVGDNPDGILVDSQTGKPIMSLCGHVDFSFASAWHPDGRIFATGNQDKTCRIWDARNLSKSVAVLKGNLGAIRSIRFTSDGQFMSMAEPADFVHVYDAKNGFEKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQYNRRRSYSYVDSLM >Potri.006G000500.4.v4.1 pep chromosome:Pop_tri_v4:6:49451:55342:-1 gene:Potri.006G000500.v4.1 transcript:Potri.006G000500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000500.v4.1 MSQYQGDEMDHVVDDYEIAEVDDDVYFHGRFMADSESEDDDDDDEYDHLDNKITDTSAADARKGKDIQGIPWERLSISREKYRQTRLEQYKNYENVPQSGESSEKECEPIKKGGMFYEFWHNTRSVKSTILHFQLRNLVWSTSKHDVYLMSHYSIVHWSSLSCKKNEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTLAVKDRLLIAGGFQGELICKYLDRPGVSFCSRTTYDDNAITNAIEIYECPSGAVHFMASNNDSGVRNFDMERFQQTKHFCFDWPVNHTSLSPDGKLLVIVGDNPDGILVDSQTGKPIMSLCGHVDFSFASAWHPDGRIFATGNQDKTCRIWDARNLSKSVAVLKGNLGAIRSIRFTSDGQFMSMAEPADFVHVYDAKNGFEKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQYNRRRSYSYVDSLM >Potri.006G000500.1.v4.1 pep chromosome:Pop_tri_v4:6:49435:54655:-1 gene:Potri.006G000500.v4.1 transcript:Potri.006G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000500.v4.1 MSQYQGDEMDHVVDDYEIAEVDDDVYFHGRFMADSESEDDDDDDEYDHLDNKITDTSAADARKGKDIQGIPWERLSISREKYRQTRLEQYKNYENVPQSGESSEKECEPIKKGGMFYEFWHNTRSVKSTILHFQLRNLVWSTSKHDVYLMSHYSIVHWSSLSCKKNEVLNVSGHVAPCEKHPGSLLEGFTQTQVSTLAVKDRLLIAGGFQGELICKYLDRPGVSFCSRTTYDDNAITNAIEIYECPSGAVHFMASNNDSGVRNFDMERFQQTKHFCFDWPVNHTSLSPDGKLLVIVGDNPDGILVDSQTGKPIMSLCGHVDFSFASAWHPDGRIFATGNQDKTCRIWDARNLSKSVAVLKGNLGAIRSIRFTSDGQFMSMAEPADFVHVYDAKNGFEKEQEIDFFGEISGVSFSPDTESLFIGVWDRTYGSLLQYNRRRSYSYVDSLM >Potri.011G015801.1.v4.1 pep chromosome:Pop_tri_v4:11:1444264:1445062:-1 gene:Potri.011G015801.v4.1 transcript:Potri.011G015801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015801.v4.1 MFQPLMGSCNADVLDTKQKINGRLYKALVTCNKKDVVDLCQRISDHALHVITVNDDTVLHMATYAKEAALVERLLDELPDHHVDKLTRQNRVGNTILHETATSNHAIAVADKLLKRAPGLLGMRNHNGETALFRAARYGKTDMFNFLAAKVSGYDEAGLQFYVQRSDKTTILHIAILSEHFDLAYQIALDYRHLISEKDGDGMTSLQLLSCNQ >Potri.002G040300.2.v4.1 pep chromosome:Pop_tri_v4:2:2636575:2636955:1 gene:Potri.002G040300.v4.1 transcript:Potri.002G040300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040300.v4.1 MRQREALNFRTPQHPSLGSKNWDGASPLLARNIPKESLEQKYFRIDSIRTRDEIFPANDDFNYLPQTYTPKYEVPQTPSRRHKGILLKFLFPEEENIITLGSNSNSKRKRWFPRWDPKNRWPQGWC >Potri.011G114700.1.v4.1 pep chromosome:Pop_tri_v4:11:14502648:14503220:1 gene:Potri.011G114700.v4.1 transcript:Potri.011G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114700.v4.1 MESCSLLVLSLSLLTLSYQFLAIKSDEALIQNLCHKTPEPVLCADCLHGDPSGKAADARRVALITVRCAEYDAEQVYNFTFNLWQNTPKSNAALYNILDTCSSQFLVAHDSFRGATVALEDQGWRNWRGTAIYELTTQVTPYLNRCLDLFKKQPQLPLPNTILVGTNAVNQGIAISLGILKNIPDKLTRS >Potri.002G214200.3.v4.1 pep chromosome:Pop_tri_v4:2:19914280:19928226:1 gene:Potri.002G214200.v4.1 transcript:Potri.002G214200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214200.v4.1 MSRERGKDIAEGSIENQQPATPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNSNHVLDFLRYLDQFGKTKVHLQSCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGTLETNPFANASIRVYLREVKDYQAKARGIPHKKKRKKQISSKGNDESSSEMQFP >Potri.002G214200.2.v4.1 pep chromosome:Pop_tri_v4:2:19914280:19928226:1 gene:Potri.002G214200.v4.1 transcript:Potri.002G214200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214200.v4.1 MSRERGKDIAEGSIENQQPATPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNSNHVLDFLRYLDQFGKTKVHLQSCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGTLETNPFANASIRVYLREVKDYQAKARGIPHKKKRKKQISSKGNDESSSEMQFP >Potri.008G071600.1.v4.1 pep chromosome:Pop_tri_v4:8:4400168:4402612:1 gene:Potri.008G071600.v4.1 transcript:Potri.008G071600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071600.v4.1 MDVVLPPIMISSGLMPTGSLMKSLIHISNEVASVEKLPFSQFRNISTMIRRIKFLSFLFEDIRETNSPLPPSSLLCLTELFSVIQRVKLLIQRCKGGSSLWGLIQTEFLSNQFHALVKEMGGALDILPLNLLNLSTDTREQVELLHKQAKRVDLLVDPQELQRREELLQIMASNNQKNSRNKGFVDFVKVKEVFSCIGLRSPLDYEEEISKLEAEAEKQAGTGGLIVVSNINNLISLVTYSKSVIFIDRHKEEIKENFKQLSASMNRNQDVSSSSQSILPNIPDEFRCPISLDLMKDPVIVASGHTYDRNSIAQWINSGHHTCPKSGKRLIHTSLIPNYALKSLVHQWCQDNNVPLIENSTSSSSKFERSSSKSKLSEKAIEHISATKAAMDAVKMTAEFLVGKLAMGSPEIQRQAAYELRLLAKTGMDNRKIIAEAGAIPFLVTLLSSTDPRIQENAVTALLNLSIFDNNKILIMAAGSIDSIINVLESGKTMEARENAAATIFSLSIISDCKVTIGTRPRAFSALVGLLREGTATGKKDAASALFNLSVYNANKASVVVAGAVPLLIELLMDDKAGITDDALALLALLSGCSEGLEEIRQSRILMPMVIDLLRFGSTKGKENSITLLLGLCKDGGEEVARRLLINPRSIPSLQSLSTDGSLKARRKADALLRLLNRCCSQSHNPVG >Potri.004G120800.1.v4.1 pep chromosome:Pop_tri_v4:4:11505463:11510629:-1 gene:Potri.004G120800.v4.1 transcript:Potri.004G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120800.v4.1 MAEKQENPTTAAPAKPTITLPPRPSMETLFTGGLSPGPMTLVSSFFADTPYPESDYPSFSQLLAGAMASPIARPAFFTDNLIPNNNNTNTNTNTNTNTTTTAIPSSKEDGINCNSNLGFKPSRPTNLVVARSPLFTVPPGLSPSGLLDSPAFFSPRSSFGMSHQQALVQVTAQAALFAQSQMHMQAQYQPSSVTAAKELLTQYPSFNPGEALQQQQLMPPSTSDAQNSMVEPAEFSHSERKYQPPAGDKPTDDGYNWRKYGQKPIKGSEYPRSYYKCTHLNCLVKKKVERSSDGQITEIIYKGQHNHDQLNKLSKDGDDSNGSIHSQSKPEVVSQAHAGNVNKLTETLPAHSVTRRDQESTQADPSEPPGSSDNEEAGNAAVQEEERGDDEPIPKRRQIDVVTSEVTLPHKTITEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERAAADPKAVITTYEGKHNHDVPAARNSSHNTANTNAAPLKPQKVVAEKHPMLKGMDFGNNNQRPLLLQLKEEKIAV >Potri.001G239600.2.v4.1 pep chromosome:Pop_tri_v4:1:25740292:25743601:1 gene:Potri.001G239600.v4.1 transcript:Potri.001G239600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239600.v4.1 MINFYHSSTFSFEFSSILCHQFCIRAISSAGCWHELKIELTVGLLKLTTERKMWLRSIKPFYLLNSFKKSSKPPWILSSLLSYTDSPYSKAKASPLQETRMRDRFNVNARGGDGGSGCSSFRRSRRDRHGRPDGGNGGRGGDVILECSSAVWDFSGLQHHIIAAKGGHGASKNMIGSRGEDKVVQVPVGTVIHLIKGEVPSIVENCSTTDLDPWDIPGTLDTDQSDKNVNLAEDVVSLDCTSGLSPRGEGTISKQAAQNESTGVEDIQYNVVELTKQGQRIIVAHGGEGGLGNMSLANVSKIAKPCKPGISRNSALDPETPDEDQSTLSSGLPGSEALLVLELKSIADVGFVGMPNAGKSTLLGAISRAKPAVGHYAFTTLRPNLGKVNFEDISITVADIPGLIKGAHENRGLGHAFLRHIERTKVLAYVIDLAAALNGNKGLPPWEQLKDLVLELEHHQEGLSNRPALVVANKIDEAGAEDVYEELKGRVRGVPLYPVCAVLGEGVPELKVGLRMLMDSRESQRLILENIDCS >Potri.013G027600.2.v4.1 pep chromosome:Pop_tri_v4:13:1795896:1798005:1 gene:Potri.013G027600.v4.1 transcript:Potri.013G027600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027600.v4.1 MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGQIMPIVLEKPCVELVKVTEEMKSFRAYDKLRVERTSARHVGVRLKRAAEAEKEEKK >Potri.013G027600.4.v4.1 pep chromosome:Pop_tri_v4:13:1795862:1797996:1 gene:Potri.013G027600.v4.1 transcript:Potri.013G027600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027600.v4.1 MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQANVQRLKTYKAKLVVFPRRARKFKAGDSAPEELATATQVQGQIMPIVLEKPCVELVKVTEEMKSFRAYDKLRVERTSARHVGVRLKRAAEAEKEEKK >Potri.001G095800.1.v4.1 pep chromosome:Pop_tri_v4:1:7588786:7590916:1 gene:Potri.001G095800.v4.1 transcript:Potri.001G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095800.v4.1 MDASTLSRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETLHRAQSEGPFPLTGQYSPRDFVLSIQRPRSIIILVKAGNPVDQTISALTEFMEPGDTIIDGGNEWYQNTERRIQEVGDKGILYLGMGVSGGEEGARHGPSLMPGGSLEAYNNIESVLKSVAAQVDDGPCVTYIGEGGSGNFVKMVHNGIEYGDMQLISEAYDVLKNVGGLSNGELAEIFGEWNRGELESFLIEITSDIFKVKDDLADGDLVDKILDKTGMKGTGKWTVQQAAELSVAAPTIAASLDCRYLSGLKEERESAAEILEKAGLKEEMGSVKSEIDKKRLIDDVRQALYASKICSYAQGMNLLRSKSVEKGWDLNLGELARIWKGGCIIRAVFLDRIKKAYQRNPSLASLVVDPEFAREMVQRQAAWRRVVGLAISAGISTPGMCASLAYFDTYRRARLPANLVQAQRDLFGAHTYERTDRPGAFHTEWTKLARKSNAGFGAFN >Potri.006G240100.3.v4.1 pep chromosome:Pop_tri_v4:6:24195506:24198925:-1 gene:Potri.006G240100.v4.1 transcript:Potri.006G240100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240100.v4.1 MDGVALGLNKILLQVGLLLFLYSSLIGKYARQAGFQQLQCNVFEGTKGEVTLTAAGGVRQLPGPAGSEIHENAALVKLEARAKDLGTPILAPACIDTCSGVLKLQMWEWRQDGTRGKVILKVTSDMAAVEVGGGPWFTNWKGKTSAPELLRRALRVPIDLDAVYNSVPFFKPPGLQSVGSCFRSTKGISFSLLEIEKEIWQSVGGAVCMNLRISLAKCYGYHCYLRSKYISVALNIISKC >Potri.006G240100.2.v4.1 pep chromosome:Pop_tri_v4:6:24195506:24198925:-1 gene:Potri.006G240100.v4.1 transcript:Potri.006G240100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240100.v4.1 MSRTSNCRTDYVKTVKIARWEYRTRPIYGWGSVGSKQNSTAGWLAAFPVFEPHWQICTASGLSTGWIEWEGERFEFKDDPSCSEKNWGGAFSRKLFWLQCNVFEGTKGEVTLTAAGGVRQLPGPAGSEIHENAALVILKVTSDMAAVEVGGGPWFTNWKGKTSAPELLRRALRVPIDLDAVYNSVPFFKPPGLQSVGSCFRSTKGISFSLLEIEKEIWQSVGGAVCMNLRISLAKCYGYHCYLRSKYISVALNIISKC >Potri.006G240100.1.v4.1 pep chromosome:Pop_tri_v4:6:24195506:24198925:-1 gene:Potri.006G240100.v4.1 transcript:Potri.006G240100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240100.v4.1 MSRTSNCRTDYVKTVKIARWEYRTRPIYGWGSVGSKQNSTAGWLAAFPVFEPHWQICTASGLSTGWIEWEGERFEFKDDPSCSEKNWGGAFSRKLFWLQCNVFEGTKGEVTLTAAGGVRQLPGPAGSEIHENAALVKLEARAKDLGTPILAPACIDTCSGVLKLQMWEWRQDGTRGKVILKVTSDMAAVEVGGGPWFTNWKGKTSAPELLRRALRVPIDLDAVYNSVPFFKPPGLQSVGSCFRSTKGISFSLLEIEKEIWQSVGGAVCMNLRISLAKCYGYHCYLRSKYISVALNIISKC >Potri.001G194701.1.v4.1 pep chromosome:Pop_tri_v4:1:18430023:18430317:1 gene:Potri.001G194701.v4.1 transcript:Potri.001G194701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G194701.v4.1 MHMKLLKFLIKLKAPRIVYVSCNPATCARDLDYLCHGVKEKNIEGCYKLKSLQPVDMFPHTPHIECICLLELF >Potri.003G125900.3.v4.1 pep chromosome:Pop_tri_v4:3:14554207:14559416:1 gene:Potri.003G125900.v4.1 transcript:Potri.003G125900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125900.v4.1 MMSNLKLGVEVVSAHNLLPKDEHGSSSAFVELCFDGQRFRTTIKEKDPNPVWSECFYFNIPDPSNLHYLTLDAHVYNNIRATNSRYFLGKVCLTGNSFVPYSDAVVLHYPLEKRGIFSRVRGELGLKVYITDDASIKSSTPLPAVESLPTKDPGLTHAVAPMVDPMTNTVSHKRVERHTFHHLPNPNHQQQQHQNHSSAPSITHHVPKYVADEMKAAETQPPKLVRMHSASSSQPVDHALKETSPFLGGGRVVGGRVIRGDKTASTYDLVERMYFLYVRVVKARDLPAMDVTGSLDPFVEVRVGNYRGITKHFEKKQNPEWNQVFAFSRERMQASVLEVVIKDKDLVKDDFVGVIRFDINEVPSRVPPDSPLAPEWYRLEDKKGEKIKGELMLAVWIGTQADETFPDAWHSDAATPVDNTPATSTVTRSKVYHAPRLWYVRVNVVEAQDLVPSEKTRFPEVYAKVQMGNQVLKTKTCQARTFSALWNEDLLFVAAEPFEDHLVLSVEDRVGPGKDEIIGMVIIPLRSVEKRADDRIIHSRWFNLEKPVAVDVDQFKKDKFSSRIHLRACLDGGYHVLDESTHYSSDLCPTAKQLWRPPIGILELGILNAVGLHPLKTRDGRGTADTYCVAKYGHKWVRTRTLIDNPSPKYNEQYTWEVFDPATVLTVGVFDNSQLGGKGSNGKDLKIGKVRIRISTLETGRVYTHSYPLLVLHPTGVKKMGELHLAIRFTCISFANMLYQYSRPLLPKMHYIRPFNVMQLDMLRHQAVNIVALRLGRAEPPLRKEVVEYMSDVDSHLWSMRRSKANFLRLMTVFSGLFTAGKWFEDICMWKNPITTVLVHVLYLMLACFPELILPTVFLYMFLIGIWNYRYRPRYPPHMNTKISQAEAVHPDELDEEFDTFPTSRSPELVGMRYDRLRSVAGRIQTVIGDIATQGERFQALLSWRDPRATAIFVIFCLVAALVLFVTPFQVIAALAGFYMMRHPRFRYRTPSVPINFFRRLPARTDSML >Potri.013G023900.2.v4.1 pep chromosome:Pop_tri_v4:13:1523938:1525901:1 gene:Potri.013G023900.v4.1 transcript:Potri.013G023900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023900.v4.1 MASGGQKFPPQKQNSQPGKEHVMDPTPQYTNPDYKPSNKLQGKVAVVTGGDSGIGRAVCRSFVIEGATVAFTYVKAQEDKDADDTLQMLKKHKTADAKDPIAIPVDLGFDENCKRVVDEVVNAYGRIDILVNNAAEQYECSSVEEIDEQRLEKVFRTNIFSYFFMTRHALKHMKEGSSIINTTSVNAYMGNSQLLDYTSTKGAIVAFIRGLALQLVSRGIRVNGVAPGPIWTPLIPASFKEEEVANFGKQVPMQRAGQPAEVAPSYVFLACNHCSSYITGQVLHPNGGVIVNG >Potri.013G023900.3.v4.1 pep chromosome:Pop_tri_v4:13:1522999:1525901:1 gene:Potri.013G023900.v4.1 transcript:Potri.013G023900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023900.v4.1 MDPTPQYTNPDYKPSNKLQGKVAVVTGGDSGIGRAVCRSFVIEGATVAFTYVKAQEDKDADDTLQMLKKHKTADAKDPIAIPVDLGFDENCKRVVDEVVNAYGRIDILVNNAAEQYECSSVEEIDEQRLEKVFRTNIFSYFFMTRHALKHMKEGSSIINTTSVNAYMGNSQLLDYTSTKGAIVAFIRGLALQLVSRGIRVNGVAPGPIWTPLIPASFKEEEVANFGKQVPMQRAGQPAEVAPSYVFLACNHCSSYITGQVLHPNGGVIVNG >Potri.013G063050.1.v4.1 pep chromosome:Pop_tri_v4:13:4724420:4725484:1 gene:Potri.013G063050.v4.1 transcript:Potri.013G063050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G063050.v4.1 MEGLKFVLVFVVLALASSFASASDPGPLQDFCVAIKETDGVFVNGKFCKDPEQVTANDFFFPGLNVPRDTSSAVGSNVTAVNVAQIPGLNTLGISFARIDFAPHGGLNPPHTHPRATEILVVVEGTLYVGFVTSNLANGDNRLITKVLNPGDVFVFPVGLIHFQLNVGRTNAVAFASLSSQNPGVITIAKAVFGADPPINPNVLTKAFQVDKKVVDYLQKQLWTDNNN >Potri.004G225350.2.v4.1 pep chromosome:Pop_tri_v4:4:22946752:22948380:-1 gene:Potri.004G225350.v4.1 transcript:Potri.004G225350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225350.v4.1 MAREQLLELIMSSTTAMHAAMCFLNFVLLALLQLKYPNKGMSLFEEHGTIILLYIVTSFVYSTALIALLQRAHQLLETLFLVTLEYISLLSGVLTCDLLLLILAPPFGYFLLALCGVLILVKALLRSYQQILGHLLDIAAISEIARDLIPNVFHRSSRHQEAHIQAYSMVSPSMNRVSTNHNSTDQGGGDHNTTQTVGV >Potri.004G225350.3.v4.1 pep chromosome:Pop_tri_v4:4:22946752:22948380:-1 gene:Potri.004G225350.v4.1 transcript:Potri.004G225350.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225350.v4.1 MVNVQAREQLLELIMSSTTAMHAAMCFLNFVLLALLQLKYPNKGMSLFEEHGTIILLYIVTSFVYSTALIALLQRAHQLLETLFLVTLEYISLLSGVLTCDLLLLILAPPFGYFLLALCGVLILVKALLRSYQQILGHLLDIAAISEIARDLIPNVFHRSSRHQEAHIQAYSMVSPSMNRVSTNHNSTDQGGGDHNTTQTVGV >Potri.004G225350.4.v4.1 pep chromosome:Pop_tri_v4:4:22946752:22948380:-1 gene:Potri.004G225350.v4.1 transcript:Potri.004G225350.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225350.v4.1 MSSTTAMHAAMCFLNFVLLALLQLKYPNKGMSLFEEHGTIILLYIVTSFVYSTALIALLQRAHQLLETLFLVTLEYISLLSGVLTCDLLLLILAPPFGYFLLALCGVLILVKALLRSYQQILGHLLDIAAISEIARDLIPNVFHRSSRHQEAHIQAYSMVSPSMNRVSTNHNSTDQGGGDHNTTQTVGV >Potri.009G021500.4.v4.1 pep chromosome:Pop_tri_v4:9:3380880:3381523:1 gene:Potri.009G021500.v4.1 transcript:Potri.009G021500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021500.v4.1 MINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Potri.009G021500.3.v4.1 pep chromosome:Pop_tri_v4:9:3380466:3381867:1 gene:Potri.009G021500.v4.1 transcript:Potri.009G021500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021500.v4.1 MRFSARNMIIVIDFISGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Potri.009G021500.2.v4.1 pep chromosome:Pop_tri_v4:9:3379694:3381240:1 gene:Potri.009G021500.v4.1 transcript:Potri.009G021500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021500.v4.1 MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Potri.010G234200.1.v4.1 pep chromosome:Pop_tri_v4:10:21512628:21513334:-1 gene:Potri.010G234200.v4.1 transcript:Potri.010G234200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234200.v4.1 MNRNLGAFWIGLVGATITLSAYSQTFVSPTQCITIGLFVLMFGLLVREGFISL >Potri.007G081400.1.v4.1 pep chromosome:Pop_tri_v4:7:10593672:10600837:-1 gene:Potri.007G081400.v4.1 transcript:Potri.007G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081400.v4.1 MAVLNSLSLLFSPKLTHSSSIPFPLLTSQSNPLSFNLTSKSVALSATPSHFSVAVGEDLPVDYGEWFPKRDPGDRRRAGILLHPTSFRGPYGIGDLGDEAFRFIDWLHDAGCSVWQVLPLVPPGRKANEEGSPYSGQDANCGNTLLISLEDLVKDGLLTEDELPRPTDADHVDFSVVADLKDPLITKAAERLILSDGELKSQLEDFQRNPDISSWLEDAAYFAAIDDSLNTLNWYEWPEPLKNRHLAALEEVYQSKKDFIDIFIAQQFLFQRQWKKVRSYAREKGISIMGDMPIYVGYHSADVWANKKHFLLNRNGFPLLVSGVPPDAFSETGQLWDSPLYDWKAMEKDGYSWWIRRMRRAQDLFEEFRIDHFRGFAGFWAVPSEAKVAMVGNWKAGPGKSLFDAISRAVGKINIIAEDLGIITEDVVHLRKSIGAPGMAVLQFGFGSDAANPHLPHNHEPNQVVYTGTHDNDTIRGWWDVLKQEEKSNVQKYLLIHEENDIPWELIQAALSSVAQTAVIPMQDILGLGNSARMNIPATQFGNWRWRIPSSMSFDHLEDEATRLKDILSTYGRL >Potri.001G394800.1.v4.1 pep chromosome:Pop_tri_v4:1:41851016:41852231:1 gene:Potri.001G394800.v4.1 transcript:Potri.001G394800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394800.v4.1 MAGIKLLDSWASPAAMKVRIALAEKGIEYESMEEDLPHKSPLLLEMNPVHKRIPVLIHNGKPICESMIIVEYIDEVWNDRSPLLPSDVQERTRARFWVHLIDKKIYSLIRRLVWSSSSDNKKAAAKDLIEFFKVIEGELGDKPYFGGEGFGFVDVALVPFYGYFYTYETFGNFRFAIECPKLVEWGKRCLQKESVSKNLPDPYKAYEFVMEHRKKLGIE >Potri.015G050200.1.v4.1 pep chromosome:Pop_tri_v4:15:5281060:5283524:1 gene:Potri.015G050200.v4.1 transcript:Potri.015G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050200.v4.1 MNGHSPNAWEGSRVMIVGSMGFIGGFIAEASLECGHPTYLLIRPELASLSKASTIKSLQDRGATTIYGSIKDQDLMEKVIREHKIEIVISAVGGASIADQVKLVNAIKAAGTVKRFLPSEFGHDIDRADPVEPGLTMYKEKRQVRRYIEEAGIPYTYICCNSIAAWPYHDNTHPADVPPPLDRFQIYGDGTVKAYFVAGSDIGKFTIKSIDDNRTLNKTVHFRPPSNLLSTNELASLWEEKLGYKLPRVTITEDDLLAAAREMRIPQSIVAAITHDIFINSCQTNYSMDQPNDVDVCSLYPELPFRTVDECFNDFAVKMISNPKLVNKPANKNGTMMPNPKPEALFITA >Potri.019G026900.1.v4.1 pep chromosome:Pop_tri_v4:19:3936430:3938065:1 gene:Potri.019G026900.v4.1 transcript:Potri.019G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026900.v4.1 MEEIRRAAGAYYENLPDEEKRNARFSFNEMDKNKDGKINLDEYVEYLKKDNNTVLPSLFTALDKDGNGTLDFDEAIVLYYIMQSGRAIICQSCKTFLAGAYFTCSQCFFNDDDSVSTFDVCCDCYGGKKFRHNDGHIFCDNYTLLCRSRSATQAAPIQKRTKVLNILKKGLQVAGITSSDLEGISTDIGDGIGDGEGSSKSNCSIM >Potri.002G034600.1.v4.1 pep chromosome:Pop_tri_v4:2:2295277:2297633:1 gene:Potri.002G034600.v4.1 transcript:Potri.002G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034600.v4.1 MSFKGKLKEIRDDISTTFSMGKLQRNRTNRRGRAYIAPELGGGSELFRELIEEQSPWANLPPELLHDVIQRVEGSETSWPGRRDVVACASVCKSWREITKTVVKTPEQCGCITFPISLKQPGPRDAPIQCFIKRERATSTYLLYLGLSPALSGDMNKVLLAAKKIRKATSTDFRISFAGSDFCQTSNTYVGKLRSNFLGTKFTIYDGQTPCPAMRSNCKPQRKVRSIQVSPGVAARNYNVATVSYELNVLRTRGPRRMHCTIHSIPISAIQEGGIAPTPSEFNNPSNEQSSPLSASKLKQPPIDFSSNSLAESSDSLCSKNDPLILKNKAPRWHEQLQCWCLNFKGRVTVASVKNFQLVAAVEPCQNVPVEEQEKVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Potri.005G102500.2.v4.1 pep chromosome:Pop_tri_v4:5:7428908:7432090:-1 gene:Potri.005G102500.v4.1 transcript:Potri.005G102500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102500.v4.1 MAPGTNASLQIERLDGGCGSPSKGSASATEDENLDNLNQLSAGKPPRNIKIVRHCSSSAFFTDFESEIGILGLVSPSTENSAFLPIFRSGSWAEKGPKQYMEDEHICVDNLHKHLATSADFPSPGAFYGVFDGHGGIDAASFTRKNILNFIVEDSQFPSGTKRAIKSAFVKADHALADTKSIDSSSGTTALMALVLGRTMLVANAGDSRAVLGKRGRAIELSKDHKPNCTSERTRIERLGGIIYDGYLNGQLSVARALGDWHIKGPKGSQSPLSSEPELEEINLTEEDEFLILGCDGLWDVMSSQCAVTMVRKELMMHNDPERCSNALVTEALQRNTCDNLTVLVICFSPDPPPKIEIPRNHRRRSISAEGLDRVKGILNN >Potri.005G102500.3.v4.1 pep chromosome:Pop_tri_v4:5:7428912:7432070:-1 gene:Potri.005G102500.v4.1 transcript:Potri.005G102500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102500.v4.1 MAPGTNASLQIERLDGGCGSPSKGSASATEDENLDNLNQLSAGKPPRNIKIVRHCSSSAFFTDFESEIGILGLVSPSTENSAFLPIFRSGSWAEKGPKQYMEDEHICVDNLHKHLATSADFPSPGAFYGVFDGHGGIDAASFTRKNILNFIVEDSQFPSGTKRAIKSAFVKADHALADTKSIDSSSGTTALMALVLGRTMLVANAGDSRAVLGKRGRAIELSKDHKPNCTSERTRIERLGGIIYDGYLNGQLSVARALGDWHIKGPKGSQSPLSSEPELEEINLTEEDEFLILGCDGLWDVMSSQCAVTMVRKELMMHNDPERCSNALVTEALQRNTCDNLTVLVICFSPDPPPKIEIPRNHRRRSISAEGLDRVKGILNN >Potri.003G063700.10.v4.1 pep chromosome:Pop_tri_v4:3:9114491:9122820:-1 gene:Potri.003G063700.v4.1 transcript:Potri.003G063700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063700.v4.1 METVVEVEGNDEAKLKECGIRNSTSSLSSPKQISDPVVYKLVRLEGDGRIVPATDDELMEVESLLIDDKCEMHIYADPGQTVGCISNEGSSSGIAQLESLEGLLQSRNKEADPDKLNARLEETVPSLAPSLSESHFNQSGSFGECSHSSDKLVAGGSTLSVVTTKPDFSKLKGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRISMGLTNSCDVSVTSFIIKDNKLVKKGNGEISNCMDGSYAEDPPIGNQKDLPTSLVSQLDYHQVVPEKRLENPNLDDNSGSDDHQTEQRAAKRARKPTKRYIEELSEVESKESNGRLMNLAKNSGHGLISLKSHVRLVRNVPLGGRTVVTRLDSLGGYGIQVPCVSRVRRSRPRKNFMALLKFNPSGMGMEATVKKALGDHSFPPDDGKESRVLKASSTPEHVHHQKFNPSGMGMEATLVKKALGDHSFPLDDGKESRVLKASSTPEHVHHQFIGVPEKDKQFSVMSAIGLGHNTELKCINSSRDSDDNVVTVPTPKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASLAPQDNGMNSRKSTGAMPIPAPILLKVRELAEMQAQVLPNLSSTKIAGSTRKNVHEKQTGFL >Potri.003G063700.2.v4.1 pep chromosome:Pop_tri_v4:3:9114300:9122862:-1 gene:Potri.003G063700.v4.1 transcript:Potri.003G063700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063700.v4.1 METVVEVEGNDEAKLKECGIRNSTSSLSSPKQISDPVVYKLVRLEGDGRIVPATDDELMEVESLLIDDKCEMHIYADPGQTVGCISNEGSSSGIAQLESLEGLLQSRNKEADPDKLNARLEETVPSLAPSLSESHFNQSGSFGECSHSSDKLVAGGSTLSVVTTKPDFSKLKGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRISMGLTNSCDVSVTSFIIKDNKLVKKGNGEISNCMDGSYAEDPPIGNQKDLPTSLVSQLDYHQVVPEKRLENPNLDDNSGSDDHQTEQRAAKRARKPTKRYIEELSEVESKESNGRLMNLAKNSGHGLISLKSHVRLVRNVPLGGRTVVTRLDSLGGYGIQVPCVSRVRRSRPRKNFMALLKFNPSGMGMEATVKKALGDHSFPPDDGKESRVLKASSTPEHVHHQKFNPSGMGMEATLVKKALGDHSFPLDDGKESRVLKASSTPEHVHHQFIGVPEKDKQFSVMSAIGLGHNTELKCINSSRDSDDNVVTVPTPKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASLAPQDNGMNSRKSTGAMPIPAPILLKVRELAEMQAQVLPNLSSTKIAGSTRKNVHEKQTGFL >Potri.003G063700.8.v4.1 pep chromosome:Pop_tri_v4:3:9114489:9118906:-1 gene:Potri.003G063700.v4.1 transcript:Potri.003G063700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063700.v4.1 MLQKVKEEQRLRLACGSPYCSSAYLIVDSQCCDQHDKLLHIDAKLQCEIPLQETVPSLAPSLSESHFNQSGSFGECSHSSDKLVAGGSTLSVVTTKPDFSKLKGEICLDNLSIKELHETFKATFGRETTVKDKQWLKRRISMGLTNSCDVSVTSFIIKDNKLVKKGNGEISNCMDGSYAEDPPIGNQKDLPTSLVSQLDYHQVVPEKRLENPNLDDNSGSDDHQTEQRAAKRARKPTKRYIEELSEVESKESNGRLMNLAKNSGHGLISLKSHVRLVRNVPLGGRTVVTRLDSLGGYGIQVPCVSRVRRSRPRKNFMALLKFNPSGMGMEATVKKALGDHSFPPDDGKESRVLKASSTPEHVHHQKFNPSGMGMEATLVKKALGDHSFPLDDGKESRVLKASSTPEHVHHQFIGVPEKDKQFSVMSAIGLGHNTELKCINSSRDSDDNVVTVPTPKGGIRRKHHRAWTLSEVMKLVEGVSRYGAGRWSEIKRLAFASYSYRTSVDLKDKWRNLLKASLAPQDNGMNSRKSTGAMPIPAPILLKVRELAEMQAQVLPNLSSTKIAGSTRKNVHEKQTGFL >Potri.010G208500.2.v4.1 pep chromosome:Pop_tri_v4:10:19826913:19828514:-1 gene:Potri.010G208500.v4.1 transcript:Potri.010G208500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208500.v4.1 MANAASGMAVHDDCKLRFLDLKAKRTYRFIVFKIEEKQKQVIVEKLGEPADSYENFSASLPADECRYAVYDFDYVTEENCQKSRIVFIAWCPDTARVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVIRSRSN >Potri.014G019000.2.v4.1 pep chromosome:Pop_tri_v4:14:1161978:1167634:-1 gene:Potri.014G019000.v4.1 transcript:Potri.014G019000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019000.v4.1 MDEFEILCEAAKNGDVDKVKSLINSGIDVTYFDSNGLTPLMHAAKHGHAAIVKDLLEAGAPWNALSPSNISAGDFAMEAGHQDAFETLLNAGIQSELILGTIARKEKSNSGYGENYLEDRVSFSEDKIMDSDSKAIMMAWEKPLMEAHAKAVCSGGGHILNIGFGMGLVDTAIQQYNPAMHTIVEAHPEVYERMIRNGWGEKDNVKIVFGRWQDVLSQLGTYDGIFFDTYGEYYEDLREFHQHLPALLKPGGIYSFFNGLCGGFRIMSS >Potri.014G019000.1.v4.1 pep chromosome:Pop_tri_v4:14:1165515:1167634:-1 gene:Potri.014G019000.v4.1 transcript:Potri.014G019000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019000.v4.1 MDEFEILCEAAKNGDVDKVKSLINSGIDVTYFDSNGLTPLMHAAKHGHAAIVKDLLEAGAPWNALSPSNISAGDFAMEAGHQDAFETLLNAGIQSELILGTIARKEKSNSGYGENYLEDRVSFSEDKIMDSDSKAIMMAWEKPLMEAHAKAVCSGGGHILNIGFGMGLVDTAIQQYNPAMHTIVEAHPEVYERMIRNGWGEKDNVKIVFGRWQDVLSQLGTYDGIFFDTYGEYYEDLREFHQHLPALLKPGGIYSFFNGLCGGNAFFHVVYCNLVSLELEHLGYSTQLIPLPVKDCLGEEVWQGVRHKYWQLDTYYLPVSQSIEDS >Potri.006G158900.3.v4.1 pep chromosome:Pop_tri_v4:6:14184944:14189704:-1 gene:Potri.006G158900.v4.1 transcript:Potri.006G158900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158900.v4.1 MPTRNSSMNPTQVNFNSPRKFRTTLKSKCKETFFPDDPFRQFKNEKPLGKAKKTLQYFVPIFEWLPQYNLKMFRFDLLAGITITSLAIPQGISYAKLAEIPPIIGLYSSFVPALVYAILGSSKHVAVGTVAACSLLIADTIGSKVSSKDDPTLYLHLVFTAAFITGVFQAALGFLRLGILVDFLSHSTITGFMGGTAIIICLQQLKGLLGVSHFTTKTDVVSVLHAVFKNRNEWKWETAVVGMAFLVFLLFTRYLRQRKPKLFWVSAMAPMVVVVLGCLLAYFTRDSKYSIQTVGNLHKGLNPISIEYLNFDAEYLPYTLKAGIITGIIALAEGIAIGRSFAIMNNEQVDGNKEMIAFGFMNIVGSCFSCYLTTGPFSKTAVNYNSGCKTAASNLVMAIGMMLTLLFLAPLFSYTPLVALSAIIMSAMLGLIKYEEAYHLFKVDKFDFCICLAAFFGVAFITMDMGLMISVALALLRALLYVARPAACKLGKLPDSTLYRDTEQYAEASGPPGILAIQLGSPIYYANGNYIRERILRWIRNDEGNGKAVKHVLLDLTGVTSIDTTGIETLAEVLRILEVKHIKMKIVNPRLDVLEKMMKSKFVDKIGKESIFLCMEDAVEASYDFSATTEKQGFEEQRSGVA >Potri.006G223100.1.v4.1 pep chromosome:Pop_tri_v4:6:22835430:22837612:1 gene:Potri.006G223100.v4.1 transcript:Potri.006G223100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223100.v4.1 MADPRSVVPYHDPYDSPIDYEHLNFMNDANPSLAGLSSDNEPTPAIPESFSGSANANANILDPNNPYEDPMAWNFYYENLRVQSHEAGPSHQNQGQSSFGPIPYAPFPNASFPNASFPDGQTSNASGRSEEIFLDGRNIAVWPPEPVPFQCTCCQVLREIIHTDGNYTTKLEIHGRMGIICHAILENRDHVKAIHPQYYMFDFCKKSLENVKEFLQKYCDDRRQAGFIMVQDPHSFFYEALCVGYEWTDDLHFDEFHDPSPPNSDLQAGTLTGERQATNHGEGEIETERTTRSNLALQRERAGRLTLDDFRQYFHLPIEDAARRLHLCPTVVKKICRKFGMTRWPHRKIKSIHRQLTDLQVLLNSNDAEERVRAQVEIMRLQEEIRNACSGSAG >Potri.003G136100.6.v4.1 pep chromosome:Pop_tri_v4:3:15370209:15374473:1 gene:Potri.003G136100.v4.1 transcript:Potri.003G136100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136100.v4.1 MEAKHILCFILLVGFVLFQVNADPVEDKQALLDFVHYLPHSRSLNWKESSPVCNNWSGVICSGDGTRVISVRLPGVGFHGPIPPNTLSRLSALQVLSLRSNGISGEFPFEFSNLKNLSFLYLQYNNLSGSLPFDFSVWPNLTIVNLSNNRFNGSIPYSFSNLSHLAVLNLANNSFSGEVPDFNLPNLQQINMSNNNLTGSVPRSLRRFPNSVFSGNNIPFEAFPPHAPPVVTPSATPYPRSRNSRGLGEKALLGIIVAACVLGLVAFVYLIVVCCSRKKGEDEFSGKLQKGGMSPEKVVSRSQDANNRLTFFEGCNYAFDLEDLLRASAEILGKGTFGMAYKAILEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRHENVVELKAYYYSKDEKLMVYDYFSQGSVASMLHGKRGGERIPLDWDTRMRIAIGAARGIALIHAENGGKFVHGNIKSSNIFLNSRCYGCVSDLGLVTITSSLAPPIARAAGYRAPEVADTRKAAQPSDIYSFGVVLLELLTGKSPIHTTGSDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMSCVVRMPDQRPKMTEVVKMIENVRQIDTENHQPSESRSESSTPPPLVVERES >Potri.003G136100.7.v4.1 pep chromosome:Pop_tri_v4:3:15370209:15374717:1 gene:Potri.003G136100.v4.1 transcript:Potri.003G136100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136100.v4.1 MEAKHILCFILLVGFVLFQVNADPVEDKQALLDFVHYLPHSRSLNWKESSPVCNNWSGVICSGDGTRVISVRLPGVGFHGPIPPNTLSRLSALQVLSLRSNGISGEFPFEFSNLKNLSFLYLQYNNLSGSLPFDFSVWPNLTIVNLSNNRFNGSIPYSFSNLSHLAVLNLANNSFSGEVPDFNLPNLQQINMSNNNLTGSVPRSLRRFPNSVFSGNNIPFEAFPPHAPPVVTPSATPYPRSRNSRGLGEKALLGIIVAACVLGLVAFVYLIVVCCSRKKGEDEFSGKLQKGGMSPEKVVSRSQDANNRLTFFEGCNYAFDLEDLLRASAEILGKGTFGMAYKAILEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRHENVVELKAYYYSKDEKLMVYDYFSQGSVASMLHGKRGGERIPLDWDTRMRIAIGAARGIALIHAENGGKFVHGNIKSSNIFLNSRCYGCVSDLGLVTITSSLAPPIARAAGYRAPEVADTRKAAQPSDIYSFGVVLLELLTGKSPIHTTGSDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMSCVVRMPDQRPKMTEVVKMIENVRQIDTENHQPSESRSESSTPPPLVVERES >Potri.003G215700.1.v4.1 pep chromosome:Pop_tri_v4:3:21166640:21173480:-1 gene:Potri.003G215700.v4.1 transcript:Potri.003G215700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215700.v4.1 MPELRRNRARLARNQQQQKQTDPPVARNYVKTRAAVAREAKKRPRTRLEAKRLKEKEEEGDNSNRNRNSNSNRRVEEEEEGKRVILISESDKKGKNLLVDIEEEEKVEKLKGPMADDSGGLSANKAGGQEEEGNNAPFPDKVQVGGSPLYRIERKLGKGGFGQVFVGRRANGGNERATGSGALEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNSSGQTMSSEMVACIAAESLSILEKMHSRGYVHGDVKPENFLLGQPSTPQEKKLFLVDLGLATKWKDSSTGQHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHKGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPFRQFFEIVVNMKFDEEPNYSKLISLFESLIGPNPAIRPINTEGAQKIICQVGQKRGRLNIEEEEDDGQPKKKVRLGVPATQWISIYNAKPPMKQRYHYNVADGRLGQHVERGIADGLLISCVASSSNLWALIMDAGTNFTSQVYELSPFFLHKEWIMEQWEKNYYISSIAGSNNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMATAGTRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDTGFRITSTAATFDQAALILSVPKRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVS >Potri.002G231700.1.v4.1 pep chromosome:Pop_tri_v4:2:22391396:22399482:1 gene:Potri.002G231700.v4.1 transcript:Potri.002G231700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231700.v4.1 MYQQPSSSSSSPQKPTVPSGLTRYGSAPGSFLTRAVDSVIGVDRELSGLGSTSLVGSRQYFSGDSPSVTSESTCKVNPSSCDPKAPKSGGSSGSLQRSYGFNEVAHGAGSLVRQRSSPAGFLSHLATENGGFSITRESGGYNAHSGPGGGHSVSRLKPQLSFTRQESLSQISEVSENVVEGIGSDNNHQNCTHSYSAAGFGMESWDNPNSIVFSGPPSKQARNGDGDIYSCFNGLETQFSLPQTSLEIETVEKLLHVPEDSVPCKIRAKRGFATHPRSIAERERRTRISGKLKKLQDLVPNMDKQTSYADMLDFAVQHIKGLQNEVEKLHKEMENCTCGCEKSTP >Potri.013G040900.3.v4.1 pep chromosome:Pop_tri_v4:13:2802063:2805983:1 gene:Potri.013G040900.v4.1 transcript:Potri.013G040900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040900.v4.1 MNMEISSVTLSLPKPPRSLPFSSSNSTFFNTTAFFNKTKSCNSLVLGKTTSGSQRAKKGLTCNALFGLGVPELVVIAGVAALVFGPKKLPEVGKSIGKTVRSFQQAAKEFESELKKEPESEPETPGEQPKAIIEEKKQDVEVSSSKERVYEFVDICSCYVFSSPKLLCPLL >Potri.012G082500.1.v4.1 pep chromosome:Pop_tri_v4:12:10780005:10786189:-1 gene:Potri.012G082500.v4.1 transcript:Potri.012G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082500.v4.1 MDGEGTHDTISRGPDPFHSINSDGGDASPSQYSSCGESEFERYCSANSVMGTPSYSSSFGASFNDCIESDLGSLKSLDDFGFDGNRNLEDRKLLNSVIDRLDGSFEENETGRLGICGASSNELDSRIWEIEKGDLGRVGCGENEDCQSGLDVEVDLGFDGGKDGGSSRYGYSEDDDSICGCGSDDEKRKNLYFRRNVLLGEEGKVGGENPLLMGSSVAFGSEDWDDFELETGGGIGASLTLDKFQQQEQGLATDGNFFSSIPVVSTVAPVIGDAEIGEDVTEEHAGIEDSEGDDLGEKLNSGTEIPYGVRNSIVDLVEDMRDISVVSCQVQGAHELAKDDKSTLIMPFGFPGYCEPQQEDARDISLNCNQAQGSNDTTELYKSCPVSDFFEVEQEPLVEITPVGLGLNFTDPHMEGLNPCVKSEEVVCTDDKKALENEEAGNFEVEADPLSDTTNQLHFCAVEYSENASAESLVTQKLNSTLPMLENNMKKASENAPGSVILYEDHSAVVKAENFELIEFYDEIVNEMEEILLDSGESPGARFLQGNHLFQSQLLLPLRDGGSTASTSGTNEAYPLITHPKRIDRVEVVGAKQKKGDVSLSERLVGVKEYTMYIIRVWSGKDQWEVERRYRDFHTLYRRLKSLFADQGWTLPSPWSSVEKESRKIFGNASPDVVSERSVLIKECLHSTIHSGFFSSPPSALVWFLCPQGSFPSSPAARMPVARSIFSNKGADAGNISTLGKTISLIVEIQPHKSTKQMLEVQHYTCAGCHKHFDDGMTLMQDFVQTLGWGKPRLCEYTGQLFCSSCHTNETAVLPARVLHYWDFNQYPVSHLAKSYLDSIHEQPMLCVSAVNPLLFSKVPALHHIMGVRKKIGTMLQYVRCPFRRTINKVLGSRRYLLESNDFFPLRDLIDLSKGAFAALPVMVETVSRKILEHITEQCLICCDVGVPCSARQACNDPSSLIFPFQEGEIERCASCGSVFHKPCFRKLTNCSCGTRLSADQVMESTNMLSRKASGFVLGRRSGSSLHLGLLSGLFSKARPEKMKDEDTIILMGSLPTTSL >Potri.011G131200.1.v4.1 pep chromosome:Pop_tri_v4:11:16506879:16512052:1 gene:Potri.011G131200.v4.1 transcript:Potri.011G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131200.v4.1 MESSGLIIGISIGVVIGVFLAILALFCLRYHRKRSQIGSSSSRRAAKIPIRANGADACTVMSDSTIDPESPVKAGRNRVPLWLEGFKRSNVVSVSGIPEFSYKDLQKATYNFTTLIGQGAFGPVYKAQITTDETVAVKVLATDSKQGEKEFQTEVMLLGRLHHRNLVNLVGYCAEKGQHMLIYVYMSEGSLASHLYREDLKPLNWDLRVCIALDVARGLEYLHNGAVPPVIHRDIKSSNILLDQCMRARVADFGLSREEMVDKHAANIRGTFGYLDPEYISSRTFTKKSDVYSYGVLLFELIAGRNPQQGLLEYVELAAMNTEGKVGWEEIVDSRLDGKFDVQELNEVAVLAYKCVNRAPRKRPSMRDIVQVLSRILKLRHNKKHHKKSLSATTADEVSIDMDQQEIRTPLSEHHHRREESVDSADTGEV >Potri.003G178900.1.v4.1 pep chromosome:Pop_tri_v4:3:18521450:18528986:-1 gene:Potri.003G178900.v4.1 transcript:Potri.003G178900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178900.v4.1 MESAVISRGSDSFRGSSRGVSSVWRNSTVEVFSRSSREEDDEEALKWAALEKLPTYDRLRKGILTSASRGIISEVDIENLGVQERKQLLERLVKVADEDNEKFLWKLKNRVERVGIEFPTIEVRYENLNIEAEAYVGSSALPSFAKFIFHIIEGFFIALHVLPSRKKPLTILKDVSGIIKPSRLTLLLGPPNSGKTTLLLAMAGKLDPSLKFSGHVTYNGHEMNEFVPQRTAAYVSQHDLHIGEMTVRETLEFSARCQGVGHLHEMLAELSRREKEANIKPDQDVDVFMKAVATQGQEASVITDYVLKILGLEVCADTLVGDEMIRGISGGQRKRVTTGEMLVGPSRALLMDEISTGLDSSTTYQIVNSLKQTIHVLNCTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLGFFEHMGFKCPDRKGVADFLQEVTSKKDQEQYWAIKDQPYRFVRVNEFSEAFQSFNVGRKIADELSIPFDKTKNHPAALVNKKYGAGKMDLLKANFSREYLLMKRNSFVYIFKICQLTVVALISMSLFFRTKMHHDTVADGGIYTGALFFTVIIIMFNGMSELSMTIVKLPVFYKQRELLFFPPWAYSIPPWILKIPVTFVEVAAWVLLTYYVIGFDPNVERLLRQYFLLLLINQMASALFRFIAAAGRNMIVANTFGSFALLTLFALGGFILSREQIKKWWIWGYWLSPLMYGQNAIVVNEFLGHSWSHIPGTSTEPLGIQVLKSREFFTEANWYWIGVGATVGFMLLFNICFALALTFLNAFEKPQAFIFEESEREGSVGKTGGAVQLSNHGSSHKNKTENGDEINRNGFASIGEASDNRKRGMVLPFEPHSITFDDVIYSVDMPQEMKIQGVVEDRLVLLKGVNGAFRPGVLTTLMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPPEVDSETRKMFIDEVMELVELDSLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPLGHHSTHLIKYFEAIEGVSKIKDGYNPATWMLEVTASSQEMALEVDFANIYKNSDLFRRNKALIAELSTPAPGSKDVHFPTRYSTSFFTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKVKTTQDLSNAMGSMYAAVLFLGFQNGTAVQPVVAVERTVFYRERAAGMYSALPYAFAQALIELPYVFVQAAVYGVIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMAVAVTPNHHIAGIVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWGCPVSWSLYGLVVSQYGDIQEPITATQTVEGYVKDYFGFDHDFLGVVAAVVLGWTVLFAFIFAFSIKAFNFQRR >Potri.017G061900.1.v4.1 pep chromosome:Pop_tri_v4:17:5106453:5109904:-1 gene:Potri.017G061900.v4.1 transcript:Potri.017G061900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061900.v4.1 MSTSTFFTKKQPDPPPAQPPPPPPPPRRNPMANPITTKILFSILLSLLLVSSSLASSDVPFIVAHKKATPRGLKSGAERVSVSIDIYNQGSSTAYDITLTDDHWPKDIFDVVSGNTSQSWDRLDAGGLLSHAFELEGKVKGMFHGSPAVITFRIPTKAALQEAYSTPILPLDVLAEKPPVQKLELAKKLLAKYGSLISVISIVVLFIYLLVTPSKSGAAKANKKRR >Potri.002G147400.1.v4.1 pep chromosome:Pop_tri_v4:2:11081286:11083124:1 gene:Potri.002G147400.v4.1 transcript:Potri.002G147400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147400.v4.1 MEGCSGKGAVCVTGGTGFIASCLITRLLEQGYAVRATVRSSPDGNKDISYLTGLPGAKERLQIFKADLNEPESFNEAIEGCAGVLHLAHSLDLADREPEEIATKRSLEGTLGILKACLNSKTVKRVVYTSSAAAIMFSGNGQEVVDESAWTDMDYFKDLKLTARSYTASKTKTERAALEFAEQHGLDLVTLIPSLVLGPFNSPRIPASFYVGLAMIMGNRNLYRLLMESNMVHVEDVAMAHIFLLEYPGAKGRYICSSDRISLNGMSEFLSARYPDLQIPTKESLKDITGYKQCGLSSEKLLDCGFRFEHGLEDMFDGAIQSCKERGFI >Potri.019G035100.1.v4.1 pep chromosome:Pop_tri_v4:19:4807437:4810308:-1 gene:Potri.019G035100.v4.1 transcript:Potri.019G035100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G035100.v4.1 MEEKQVSRSLDVLSACASFEKRTIMQAHLFLVGPIPATIDASASSSSSSISRGGHNSPTRSWSRHRKCSNKSHHHKKNNKRGPTTVVVASSSSSSWAAFNGGEQDHYAVLGLERTATSADIKKAYRLLARKYHPDVSKHSQACELFKSVRHAYEILFNEVTRARYDRVLRFQEDTGRSYSKRHYYTPEVEDWGRIYKWAEMKRKMRSGAHWEHYNVSEDPSFYSDTEEEAEEGSLDQERGPFSEVLRSAFLSLFLLQTLGSLSSLTFSSLMALFDRQLDAGYKIGYFIAWILGGRGGILLVLCLQFASWACGKTSSSVVALVVVALCVGSNLARVAPLPHGALVTLLYMSIKLQADLN >Potri.009G133100.3.v4.1 pep chromosome:Pop_tri_v4:9:10797850:10799111:1 gene:Potri.009G133100.v4.1 transcript:Potri.009G133100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133100.v4.1 MWVTDECKNSFHQMKWKRVHRYIVFKIDEKSRLVTVDKVGGPGESYDDLAASLPDDDCRYAVFDFDFVTVDNCRKSKIFFIAWAPPASRIRAKMLYATSKDGLRRVLEGIHYELQATDPTEMGFDLIRDRAK >Potri.009G133100.1.v4.1 pep chromosome:Pop_tri_v4:9:10797667:10799111:1 gene:Potri.009G133100.v4.1 transcript:Potri.009G133100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133100.v4.1 MAMAFKMATTGMWVTDECKNSFHQMKWKRVHRYIVFKIDEKSRLVTVDKVGGPGESYDDLAASLPDDDCRYAVFDFDFVTVDNCRKSKIFFIAWAPPASRIRAKMLYATSKDGLRRVLEGIHYELQATDPTEMGFDLIRDRAK >Potri.008G139000.1.v4.1 pep chromosome:Pop_tri_v4:8:9349816:9353017:1 gene:Potri.008G139000.v4.1 transcript:Potri.008G139000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139000.v4.1 MLPSREMGAGSLEPPRLILHNFLTSEECKELEFIHKSNSTVGYRPNVFSTTLSHLIATNSSPFLIPFVPIRERLKEKVEHFFGCEYELCIEFTGLISWCRGASIGWHSDDNRPYLKQRHFTAVCYLNSYGKDFKGGLFHFQDGEPATLVPMAGDVAIYTADSCNIHAVDEIIEGERLTLTLWFSRDSAHDEDAKLISLLSKNMPELKLPMLASSNMYWFSLDPASHQQLGFDICLARMHVLGFDICSCQAEGLFSDSTELLMEPLQLVRGNELFKQEFVNILHALQAVQFHHWKASDLPDAQSEVEPGDVVRLSKSQQEVVRSLKSQFLRDHQVVEMIFSCATCSRNQQNFDWERFSAAIAGLEDYTCKLHKELLMSLPYWRTHGIISECS >Potri.018G146602.1.v4.1 pep chromosome:Pop_tri_v4:18:15032386:15032610:1 gene:Potri.018G146602.v4.1 transcript:Potri.018G146602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146602.v4.1 MASRVSLKTKGKSSSGKGAKGVEEKSASQYVKEWSTWTFKKAKVITHYGFIPMIIIIGMNSEPKPQIYQLLSPF >Potri.005G070800.2.v4.1 pep chromosome:Pop_tri_v4:5:4610525:4611876:-1 gene:Potri.005G070800.v4.1 transcript:Potri.005G070800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070800.v4.1 MDYNGTDKEGVVEDTWGSPSCFRERLKQEKRSSFSADMKSQEIVGEGANTTMNVPVAAGPRLLPFEFRALEACLESACRCLEREVHGLSIICDHLYFII >Potri.014G077300.4.v4.1 pep chromosome:Pop_tri_v4:14:4988700:4992702:-1 gene:Potri.014G077300.v4.1 transcript:Potri.014G077300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077300.v4.1 MGVGGKFWDLLKPYARHEGPDFLREKRVAVDLSYWIVQHETAIKATHVRKPHLRLTFFRTINLFSKFGALPVFVVDGTPSPLKSKARIARFFRFSGVDVSGLPVAEGVSAERNKTFLKCVQECVELLELFGMPVLKANGEAEALCAQLNAEGLVDACITADSDAFLFGAKCVIKCVKPNTKEPFECYHISDVEAGLGLKRKHLIAISLLVGNDHDLNGVQGIGLEKALRFVQSFSEDEILNQLHEISNGNSPSLQIVSKVVDEIILCPDESPARSKTSHCSFCGHPGSKRAHFKSSCEYCGTSTSQGCTRKAEGFKCNCSSCNKVYFYCSVEFFL >Potri.014G077300.1.v4.1 pep chromosome:Pop_tri_v4:14:4988754:4992702:-1 gene:Potri.014G077300.v4.1 transcript:Potri.014G077300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077300.v4.1 MGVGGKFWDLLKPYARHEGPDFLREKRVAVDLSYWIVQHETAIKATHVRKPHLRLTFFRTINLFSKFGALPVFVVDGTPSPLKSKARIARFFRFSGVDVSGLPVAEGVSAERNKTFLKCVQECVELLELFGMPVLKANGEAEALCAQLNAEGLVDACITADSDAFLFGAKCVIKCVKPNTKEPFECYHISDVEAGLGLKRKHLIAISLLVGNDHDLNGVQGIGLEKALRFVQSFSEDEILNQLHEISNGNSPSLQIVSKVVDEIILCPDESPARSKTSHCSFCGHPGSKRAHFKSSCEYCGTSTSQGCTRKAEGFKCNCSSCNKDRKEKEQQKHENWHIKVCNKISTEPNFPNDDIIRMYLCNKHGNFTAGDGLCLSWRCPDTEILVDFLDFHQHWEPSYVRQRMLPMLSTIYLREMAAKPEKTMLYGQYVFDSIQRVKIRYGHQSYVIRWRKVTSTAGSIVRTNSVEESDKPQEEIVEIDEFIDHLDEDTAPQIHIDDECCFLLTDENMELIRVAFPEEVERFWHEKELKASKRRKVSGFKNEGSSEKSESPKSKRVQLRLTEFYRSAKVAAIHAKQGDLANNSENQEDGPSKGKTKVSSSNLPKSVRRRLLFE >Potri.017G047932.1.v4.1 pep chromosome:Pop_tri_v4:17:3412516:3412870:1 gene:Potri.017G047932.v4.1 transcript:Potri.017G047932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047932.v4.1 MVCQAASQTRFRALKYENGIAGKPTIIVRVIACYRPLQDCQAEYFRHLLKPVT >Potri.007G021700.1.v4.1 pep chromosome:Pop_tri_v4:7:1676763:1680170:-1 gene:Potri.007G021700.v4.1 transcript:Potri.007G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G021700.v4.1 MLLSVRSHVRRLCAVSTMVCTDYRYLVVSCPKPEASHPKTQIENHLNMQEINLVLKNLVKTGHLNNARQLFDKMLQRDEISWTTIISGYVNGMNTTEALSLFSKMWVEPGLHMDPFILSLALKACGLNMSVSFGESLHGYSVKTDFVNSVFVGSALVDMYMKIGRVEEGCIVFKEMPLRNVVSWTAIIAGLVRAGYNKEALAYFSDMWIQKVGCDTYTFSSALKACADSGALNYGREIHCQTLKKGFIAVSFVANTLATMYNKCGKLDYGLRLFESMTQRDVVSWTTIIMSNVQIGQEENAVKAFRRMRETDVRPNEFTFAAVISGCATLGRIEWGEQLHAHVIRLGLVDSLSVANSIMAMYSKCWQLDLASTVFQGLSRRDIISWSTMISGYAQGGCGEEAFDYLSWMRREGPRPNEFAFASVLSVCGNMAILEQGKQLHAHVLCVGLEQNTMVQSALINMYSKCGSIKEASKIFDEAEYNNIVSWTAMINGYAEHGYSQEAIDLFKKLPKVGLRPDSVTFIAVLAACSHAGLVDLGFHYFNSLSKVHQICPSKDHYGCMIDLLCRAGRLNDAESMIQSMPFQRDDVVWSTLLRACRIHGDVDCGKRAAEKILQLDPNCAVTHITLANMYAAKGKWKEAAEVRKMMKSKGVVKEPGWSWIKFKDRVSAFVSGDRSHPEGEYIYDVLDLLASQAEMHMQEMDFLLNEVQESQR >Potri.010G083751.1.v4.1 pep chromosome:Pop_tri_v4:10:11114661:11115288:-1 gene:Potri.010G083751.v4.1 transcript:Potri.010G083751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083751.v4.1 MLSQRLPNDVTIDQQWDQQRIGNGDKHKPLDIMNHPCKAEATIWLVEEVHRRAST >Potri.004G163100.1.v4.1 pep chromosome:Pop_tri_v4:4:18267722:18268440:-1 gene:Potri.004G163100.v4.1 transcript:Potri.004G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163100.v4.1 MATVMQWCGAVARRGMVGHRSALFSTSSETSVSSSAAAMAPNLCGRGDKKTKRGKRFKGTYGNARPKKEKKIERIKDKVEVPRSTPWPLPFKLI >Potri.017G053200.1.v4.1 pep chromosome:Pop_tri_v4:17:4007879:4010534:-1 gene:Potri.017G053200.v4.1 transcript:Potri.017G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053200.v4.1 MGMMETSPITIAPMLIRNIATAMFIFADKSLVALAQKHKLLEHIRYLLVTSFLFFLRLLPSLFPSLSPSSDLQDHDNIQYHHLKPLKTANYLPSSGYGDSGIARALTQLLSIVNDIPVSSRKYEIVRSLAEKVVDDNHGENNEALREVNRGVLSAAFSRTLSQLEAAMMEIAHDGSENGGSRTGPVKRRLNQILKAARAVGDGSWARSGRGREGVDRSEEKLAAELLWLGQKLSACGCGEEAVRRWASASNLARLALSAEARLQGSLVKVSAFLLKQARELGLDEAGEGQREPQRQTKMKMLLSWLPLLCRASNGTDAPVLSMRERAELEIVLEEMIDMLEHEEEQEQVLSLWLHHFTYTPSSDWPNLRASYARWCTASRQLLLLN >Potri.014G065100.1.v4.1 pep chromosome:Pop_tri_v4:14:4016495:4017216:1 gene:Potri.014G065100.v4.1 transcript:Potri.014G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065100.v4.1 MAEHLRENPAFEKESILIQHGVFALLIGTVNIQIQMNSQSNPLDSHDVVMPVFLVTLFMYATASVAEVMLRARESAYYTLVGKLRLFAGALAAILLLAILAPVLGCIISVVWACIFMGVAYESRQELYTILSQITNELLDMVTRLIARVRSRKEEPNQPRV >Potri.005G253700.1.v4.1 pep chromosome:Pop_tri_v4:5:24579466:24581924:-1 gene:Potri.005G253700.v4.1 transcript:Potri.005G253700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253700.v4.1 MAAGMDFSPPFTVLEGGYNKDNNNSSTNVSENQNAENLDNLKQSTNGKPPRHLRQSMDSTRLLNAADLALDVGVVVVVSNSPADERTDFLPLFRSGSCAEGGPKQYMEDEHVCIDNLVDHLDATANCPSPGAFYGVFDGHGGTDAALFVKNNILRFIVEDSHFPICVEKAIKSAFVKADYAFADDSALDISSGTTALIALIFGRTLIVANAGDCRAVLGRRGRAIEMSKDHKPNCTSERLRIEKLGGVIYDDYLNGQLSVARALGDWHMKGPKGSACPLSAEPELRETNLTEEDEFLIMGCDGLWDVMSSQCAVTISRKELMLHNDPERCSRELVREALRRNACDNLTVIVICFSPDPPPRIEIPQSRVRRSISAEGLNLLKGVLDSNS >Potri.008G221500.1.v4.1 pep chromosome:Pop_tri_v4:8:18500711:18507174:-1 gene:Potri.008G221500.v4.1 transcript:Potri.008G221500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221500.v4.1 MGALTSSGNHKRDHPCNYNPYSNSPDFHVSKKPRFSTMHQTRKFNNQTLGSSNSIASRISKYPETATKFRREVHAPCSFQKFTLSRVKSGGFSEKERNLGREGDVMGNFLSSKLDYAKQSAFGAIRYLVKEKEVIDVDDENEKIEKEIVSEDSSIEEVEAFEEDGREGGSAVLNWMLRNGVVGSENDGDVKILEERSVVTIDGNLGVENTGKMLGSLALNNEFGVLTVETYKKLLEDTERRNGRLGSLKFEIEYNEKRRDSFKALRPVKKEPVEKISREPFIPLTPEEETEVKQAFFPNNRRRVLVSHGNSNIDITGQILHCLAPGAWLNDEVINLYMDLLKERERREPKKFLKCHFFNTFFYKKLTGGGKGGYDYRAVKRWTTEKKLGYFLIDCDKIFVPVHQEIHWCLAVINKKDQKFQYLDSLKGRDNRVLESLAKYYAEEVKDKSKKDIDVSNWEREFVEDLPEQQNGYDCGVFMIKYADFYSRGIGLCFGQEHMPYFRLRTAKEILRLRAD >Potri.008G191900.4.v4.1 pep chromosome:Pop_tri_v4:8:13491868:13496126:1 gene:Potri.008G191900.v4.1 transcript:Potri.008G191900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191900.v4.1 MKAGNILGNACIPWKPTLPPHLPPPLSTFRCFSALPSSSSLKTKMKPKRDWAADWISNNDDTVRGFPIFFGGASLLAVLVNRTVTGIAPVADASSSQSRADLLTLGLAVTNILTGLVWLTIRPKSISVVNPLGVECRFIFSHLPDFVVSELLWAWESLSGVTCCRSLVVVYDCRCILQIGVAAESVNNEALAVDAAKLMQGSLYQAAIKSASQSYLANLSLYPGRSELPFLPLNTQAVILQPLGDKGIVIIGGDTIRGFTSSDQAWITLIGEKLDATLAKYVTNERLAVQDRV >Potri.001G027400.1.v4.1 pep chromosome:Pop_tri_v4:1:2078788:2088205:1 gene:Potri.001G027400.v4.1 transcript:Potri.001G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027400.v4.1 MTSLVAQVVSPVETGHKVWQDQSFIKWRKRDPHVTLHFHESVEGSLRYWYQRNKVDHLVSNSAVWNDDAVQGALDCAAFWVKDLPFVQSLSGLWKFFLAPDPTSVPNKFYGTAFEDSEWETLPVPSNWEMHGYDRPIYTNVIYPFPVDPPHVPDDNPTGCYRTYFDIPEEWQGRRILLHFEAVDSAFCAWINGVPVGYSQDSRLPAEFEITDYCHPCGSGKKNVLAVQVFRWSDGSYLEDQDHWWLSGVHRDVLLLSKPQVFIADYFFKSNLAENFTCADIQVEVKIESSLAIPKEKILANFTIEAALYDTGSWYDSEESANLLSSNVANLKLTHSPMGLLGFLGNVLEGKLEMPKLWSAEQPNLYILVLSLKDATGQVVDCESCLVGIRQVSKAPKQLLVNGHPVILRGVNRHEHHPRVGKTNIESCMIKDLVLMKQNNMNAVRNSHYPQHHRWYELCDLFGMYMIDEANIETHGFYLCEHLKHPTQEQSWAAAMMDRVISMVERDKNHACIISWSLGNEASYGPNHSAAAGWIREKDTSRLVHYEGGGSRTTSTDIVCPMYMRVWDIVKIAKDPAESRPLILCEYSHAMGNSNGNIHEYWEAINSTFGLQGGFIWDWVDQGLLKDSGDGTKHWAYGGDFGDTPNDLNFCLNGLTWPDRTPHPALHEVKYVYQPIKVSLEESRIKITSTHFFQTTQGLEFSWATQGDGYEIGSGILSLPPIEPQSSYELEWESGPWYPLLASSFAEEIFLTITTTLLHSTRWVEAGHVVSSSQVQLPTTRKILPHVIKTTDAKVLIETRGDIVRVSLPSFWEITWNIQTGSVESWKVGGVPVMNKGIFPCFWRAPTDNDKGGEKKSYYSRWKEARIHSIVYHTKSCSVKSTANDIVKIEVVYVGAPSCEEGSSSHSNALFTVNMIYTIYSSGDLIIECNVIPSSELPPLPRVGVELHLEKSVDQIKWYGRGPFECYPDRKAAAHVGVYEQNASDMHVPYIVPGECSGRADVRWVTFQNKDGVGIFASTYGSSPPMQMSASYYSTAELDQATHNEELAQGNDIEVHLDHKHMGVGGDDSWSPCVHDNYLVPAVPYSYSIRLCPITAATSGLEIYKSQLPN >Potri.019G084800.1.v4.1 pep chromosome:Pop_tri_v4:19:12417336:12421290:1 gene:Potri.019G084800.v4.1 transcript:Potri.019G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G084800.v4.1 MTRYVQQRTSLFLSFLFLLFPSVFSQLSSNQTNTMMNLSKLLNLSDSLWDATKDPCSWKGVNCSSGNSSVTSLSLSVFGLSNSNFLPVVCKIETLQALDLSNNRLSSISDEFINDCGRIDGLKLLNFSKNLLSGSLPAFNVFVGLESLDLSFNSLSGNVSLQVDGFLALKSLNLSSNKFTGPIPVNLRKSLMLEELQLSMNSFQGTVPQEIANYQNLSLIDLSANNLEGPVPTSIGNLAKLRILLLSGNKLSGEIPANISNITTLYRFAANQNKFGGTIPSGITRYLSFLDLSYNSLRGPIPTDLLSGSNLQLVDLSYNLLEGSLPAKVSKSLIRLRLGSNRLNGPIPPSFGTLDKLTYLELDNNSLTNEIPHQLSSCRSLALLNLAQNDLTGPVPAPLGNLSNLQVLKLQLNNLSGDIPLEITQLQLLSTLNISWNSLTGSIPSSISNLQRLAHLNLQGNNLRGPIPATINSMNSLLEVQLGQNQLSGTIPMMPVKLQIALNLSTNLFQGAIPETLSRLTGLEILDLSNNNLSGEIPESLTEMESLNQLILSNNQLSGVIPDFKHYVSLNASGNSRLKNNTATNTPQESPKKRRSVVVPVVVAVVAAFLAVGIVSIIVLSFSRRFLKVNDQQSQSGENLPSPQVIQGNLLTTNGIHRSSIDFTNAMEVAADPLNIELKTRFSTYYKATMPSGANYFVKKLNWSDKIFQLGSHNKFGQELEVLGKLSNSNVMTPLAYVLTVDSAYLFYEYAEKGTLFDVLHGKLVDTLDWASRYSIAVGVAQGLTFLHGCSSGPILLLDLSSRNILLKSLKEPLVGDIELHKVIDPTKSTGSLSTVAGSVGYIPPEYAYTMRVTMAGNVYSFGVVLLELLTGKPAVSEGTELAKWVLRNSTQQDRWDGILDFNISRTSPAVRSHMHAVLKIALSCVSVSTEARPKMKSVLRMILNAR >Potri.008G194566.1.v4.1 pep chromosome:Pop_tri_v4:8:13736508:13738548:1 gene:Potri.008G194566.v4.1 transcript:Potri.008G194566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194566.v4.1 MDSQNKSQRTNKTADNTFHLSALIDRGNFDTNVSGSAARNGVSCCSLSNGDIVVLLQVNVGVHFSRDPIIEILQFEKYQERNWFPENQENLNYSNCDPCRELLKLLLHVDNTLSSTVRSLPPPRLSSNSGFGCASQKSSSSVSQLFSHFRSYSMSSLPQNSAPPPQSVKAQSSNSNFDLEDWDRYSSQKLWKSQKHEEHLSFRGVSLERERFSVCCGLQGIYVPGRRWWRKLEIIQPVEIHSFAADCNTDDLLCVQIKLFCRTFLQNITGYCGIHRYYNYCFRGSLKEWTDFIITTCMHRSWK >Potri.017G128800.2.v4.1 pep chromosome:Pop_tri_v4:17:13209284:13210045:-1 gene:Potri.017G128800.v4.1 transcript:Potri.017G128800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128800.v4.1 MGNNLGRGKKAKVMKINGETFKLKTPARASDVVKDYPGYVLLDSEAVKHFGIRAKPLEPQQELKAKKIYFLVELPKFPEEKDPRNTRRVQSGIHMSAKDRLECLMLSRRSVSDIPMVRSSSGQTSDGPNTVRVKVRLPKAQVQKLVEESKDEAEVAEKIIDLYMDNSGEANGEHDHNRHVQWQPELGSITESFKTTKVCVSCFLI >Potri.017G128800.1.v4.1 pep chromosome:Pop_tri_v4:17:13207678:13210212:-1 gene:Potri.017G128800.v4.1 transcript:Potri.017G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128800.v4.1 MGNNLGRGKKAKVMKINGETFKLKTPARASDVVKDYPGYVLLDSEAVKHFGIRAKPLEPQQELKAKKIYFLVELPKFPEEKDPRNTRRVQSGIHMSAKDRLECLMLSRRSVSDIPMVRSSSGQTSDGPNTVRVKVRLPKAQVQKLVEESKDEAEVAEKIIDLYMDNSGEANGEHDHNRHVQWQPELGSITESFKTTKKRVSFVPEEGEIRLAVASH >Potri.014G105250.1.v4.1 pep chromosome:Pop_tri_v4:14:7068817:7070775:-1 gene:Potri.014G105250.v4.1 transcript:Potri.014G105250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105250.v4.1 MARNDIRQVRTSGSKRNESALARVMNEVFAFVRFAEFEIFFILFFLIAFFFFKNLTSRPEYNQILVKKPGGADFLPY >Potri.005G207200.12.v4.1 pep chromosome:Pop_tri_v4:5:21186404:21190238:1 gene:Potri.005G207200.v4.1 transcript:Potri.005G207200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207200.v4.1 MNHCIPDWNFEGDLPVSNQKKPIEPGNDLVELLWRNGQVVLHSQAHRKPSPHVQKHDSPTVKGSGSILNSSHLIQDDDAVSWIQYPLEDSFEKEFCSNFFSELPPPLSDQILEGKSATFDASAPSQQQHQHQHQRQLNNNKPHVVSEFSGNPMPPPRIQVPEKNHAGVGGFGEAVNANFSQFSAPFKGGDFRTSSGQFGGQGSGNSPQGEVRECSVVTVGSSNQIPHDRDMSRASSNAMGTSTAFSTGPSMDDPRKIVSQSERGKTETLEATLTTSSGGSGSSFGRTCKQSAGPSSSQKRKTIDTEDSEFQSEAAELDLDSMAGNNPTKRSGSTRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCYKTDKASMLDEAIEYLKSLQLQLQVFQVMWMGGGMAPMLFPGVQHFMSRMGMGPPLPSMQNPMHLPRVQLIDQSISMAPTQNSGVMCQAPVLNPVNFHNQMQNPAFADQYARFMGFHMQAASQPMNMFRFGSQTVQQNQMMAPTSSVGGPLSAGTAVSDAPPSDKVG >Potri.005G207200.13.v4.1 pep chromosome:Pop_tri_v4:5:21185572:21190617:1 gene:Potri.005G207200.v4.1 transcript:Potri.005G207200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207200.v4.1 MNHCIPDWNFEGDLPVSNQKKPIEPGNDLVELLWRNGQVVLHSQAHRKPSPHVQKHDSPTVKGSGSILNSSHLIQDDDAVSWIQYPLEDSFEKEFCSNFFSELPPPLSDQILEGKSATFDASAPSQQQHQHQHQRQLNNNKPHVVSEFSGNPMPPPRIQVPEKNHAGVGGFGEAVNANFSQFSAPFKGGDFRTSSGQFGGQGSGNSPQGEVRECSVVTVGSSNQIPHDRDMSRASSNAMGTSTAFSTGPSMDDPRKIVSQSERGKTETLEATLTTSSGGSGSSFGRTCKQSAGPSSSQKRKTIDTEDSEFQSEAAELDLDSMAGNNPTKRSGSTRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCYKTDKASMLDEAIEYLKSLQLQLQVMWMGGGMAPMLFPGVQHFMSRMGMGPPLPSMQNPMHLPRVQLIDQSISMAPTQNSGVMCQAPVLNPVNFHNQMQNPAFADQYARFMGFHMQAASQPMNMFRFGSQTVQQNQMMAPTSSVGGPLSAGTAVSDAPPSDKVNW >Potri.005G207200.14.v4.1 pep chromosome:Pop_tri_v4:5:21185509:21190240:1 gene:Potri.005G207200.v4.1 transcript:Potri.005G207200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207200.v4.1 MNHCIPDWNFEGDLPVSNQKKPIEPGNDLVELLWRNGQVVLHSQAHRKPSPHVQKHDSPTVKGSGSILNSSHLIQDDDAVSWIQYPLEDSFEKEFCSNFFSELPPPLSDQILEGKSATFDASAPSQQQHQHQHQRQLNNNKPHVVSEFSGNPMPPPRIQVPEKNHAGVGGFGEAVNANFSQFSAPFKGGDFRTSSGQFGGQGSGNSPQGEVRECSVVTVGSSNQIPHDRDMSRASSNAMGTSTAFSTGPSMDDPRKIVSQSERGKTETLEATLTTSSGGSGSSFGRTCKQSAGPSSSQKRKTIDTEDSEFQSEAAELDLDSMAGNNPTKRSGSTRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCYKTDKASMLDEAIEYLKSLQLQLQVMWMGGGMAPMLFPGVQHFMSRMGMGPPLPSMQNPMHLPRVQLIDQSISMAPTQNSGVMCQAPVLNPVNFHNQMQNPAFADQYARFMGFHMQAASQPMNMFRFGSQTVQQNQMMAPTSSVGGPLSAGTAVSDAPPSDKVG >Potri.012G081300.1.v4.1 pep chromosome:Pop_tri_v4:12:10629930:10632243:1 gene:Potri.012G081300.v4.1 transcript:Potri.012G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081300.v4.1 MGLTSLQVCMDSSDWLQGTINDESGMDSSSPSGDMLTCSRPLIERRLRPPHDQALKCPRCDSTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKVSKKSNDQSVNQINPGSSSSHNPTDLHLSFPEVQLSHLNSILGSQGTIATSNFMDSKYNIGMLENPRPIDFMDSKLEALVGSSRNYDFMGNGDLGMVSGLGDTSHHHGLAPNFSDICSSFGMSLDGNSGTFMETCQRLMLPYGQGNNDQNAIDVKPNTKLLSLDWQDQGCSDGGKDTFGYLNNLGSWTGMMNGYGSSTTNTLV >Potri.017G007700.1.v4.1 pep chromosome:Pop_tri_v4:17:573197:574897:1 gene:Potri.017G007700.v4.1 transcript:Potri.017G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007700.v4.1 MALWSRARTVSSLFNRLLQHPNNISSASADFHRHFSTDVAGNTNSMRGNMMKQLLHLDINSQIGSCMPLGAMRIGTIIHNIEMNPGQGGKLVRAAGTNAKILKEPSSTITVVQLPSGVVQKIDSRCRATIGMVSNPSHKDRKLRKAGQSRWLGRRPTVRGVAMNPVDHPHGGGEGRSKSSGSLGRVSQTPWGKPTKGGYKTGPLKRRK >Potri.014G057000.1.v4.1 pep chromosome:Pop_tri_v4:14:3617287:3623882:1 gene:Potri.014G057000.v4.1 transcript:Potri.014G057000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057000.v4.1 MSGFPFSSSSTQSSPFSPVTGFSFGSSPAPSTTNTPPSFGSNTSAPATGFSFGSSSAPFTTTAPPSFGSNTSSAPATGFSFGSSSAPSTTTAPPSFGSNTSSAPATGFSFGSSSAPSTTTAPPSFGFNTSSAPSLSFSSSNTFTSAPSSTLSGSSASTSSPFSFATASSSTSTTPSLFGSLSAASISPNPLFGSASSSTANASSSFGTTTSSSSAPAPSPLFGTSTGSSLFGTTSSSPLFGSTSSTATSATPLFGTSSPSPLFGSTSSTTTSASPLFGTSSPSPLSGSTSSTTTSASPLFGTSSPSPLSGSTSSTTTSASPLFGTRSPAPLFGSTSSTATSASPLFGTGASSTPSSFGTPSSASSTGSSLFGNSLSTTSSAPSLFGSSSSSTASTTSTTTNLYGSSSSFSNASSAPSFSAGFSFPKTTTASAPTTASTAAIASTPAPSTTTSFSFGTQPSAPSQPSLFGNAASSSSVKSTTVSPLFSTVTTTTASTPASGATEVTSTSTSSTTSGLSLPAFGMTPGTTAGTGAAASSGSAGFSFSGFPSATTSVAASSGTTASSFTGFSLSSISPAPTSKSQTQPASSSAPLLSVPASTAAPTTTSSTTAQTSSLGVASTSGTSSSVSTALTATPKLPSEITGKTVEEIIKEWNSELQERTGKFRKQATAIAEWDKRILQNRDVLLRLEIEVAKVVETQANLERKLELIETHQQEVDKALQSIEEEAERIYKDERGSLLDDEAASTRDAMYEQAEFIEREMEQMTEQIKSVIQTVNANQGGELDAIDGMTPLDMVVRILNNQLSSLMWIDEKAEEFSSRIQKLANQGSAADRQFLGLKF >Potri.008G188600.1.v4.1 pep chromosome:Pop_tri_v4:8:13193866:13196131:-1 gene:Potri.008G188600.v4.1 transcript:Potri.008G188600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MENG MASLQLQLSFGYQSSSCRHLPISTFSYPSIRCANDRQLLFNRIAPVYDNLNDLLSLGQHRIWKRMAVSWTGAKLGDCVLDLCCGSGDLAFLLSEKVGSNGKVSGLDFSKEQLLMASSRQHLLSKACYKNIEWIEGDATELPFPDCYFDAITMGYGLRNVVDKRKAVQEMFRVLKPGSKASVLDFNKSTQPFVASFQEWMIDNAVVPVATAYGLAKEYEYLKGSIREFLTGNELEELALEAGFSTAKHYEISGGLMGNLVATR >Potri.010G163400.2.v4.1 pep chromosome:Pop_tri_v4:10:16817731:16819481:1 gene:Potri.010G163400.v4.1 transcript:Potri.010G163400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163400.v4.1 MEVSSTASPRMSLDQLQKEGSEETHLQNSELESSDFSDRHNHQFHSMSALEILRETVRILRCNSWSFMTIAALLICPVSAILLSNVLVDQSIVKKLSIRLLLVAKSSGLPLGPFIKQSCHRFSEMAVSSAMCFPLFITLSLLSRAAVVYSVDCTYSKKNVDGSKFLVIVSKNWRRVVSTYLWSSLVIVGCLTLFCVLLLAACSTFSAIGFWQELNLYAAIIAGLAFSVVFANAIIICNTAVVVCMLEDVSGPQALLRSSVLIRGQTQVGLLIFLGSTIGMAMIEGLFEHRVKTLSYGDGSSRIWEGPLLVVMHSFVVLIDLMMSAVFYYSCRSYSMETSDGECQSILETVTVSAESVGTP >Potri.010G163400.1.v4.1 pep chromosome:Pop_tri_v4:10:16817636:16819503:1 gene:Potri.010G163400.v4.1 transcript:Potri.010G163400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163400.v4.1 MEVSSTASPRMSLDQLQKEGSEETHLQNSELESSDFSDRHNHQFHSMSALEILRETVRILRCNSWSFMTIAALLICPVSAILLSNVLVDQSIVKKLSIRLLLVAKSSGLPLGPFIKQSCHRFSEMAVSSAMCFPLFITLSLLSRAAVVYSVDCTYSKKNVDGSKFLVIVSKNWRRVVSTYLWSSLVIVGCLTLFCVLLLAACSTFSAIGFWQELNLYAAIIAGLAFSVVFANAIIICNTAVVVCMLEDVSGPQALLRSSVLIRGQTQVGLLIFLGSTIGMAMIEGLFEHRVKTLSYGDGSSRIWEGPLLVVMHSFVVLIDLMMSAVFYYSCRSYSMETSDGECQSILETVTVSAESVGTP >Potri.T085150.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:165514:168114:1 gene:Potri.T085150.v4.1 transcript:Potri.T085150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085150.v4.1 MDFQLPYCFFFLLLLLLPFSTNGQTYRNISLGSSLTAASDNLSWTSPSGEFAFGFQKVGDAGYLLAIWFNKIPERTMVWSANRNDLVQGGSRVQLTADGELILNDQSGRQIWIRPQSGGSGTAYATMLDSGNFVVASQAGANLWQSFDEPTDTLLPTQNLNLGAQLIAPYLENKNYSEGRYKFILQADGNLILYTTHYPLTTSNFAYWSTQDSIGSGYRVVFNQSGYMYLVAQNGTMLNSVFSNSVSMQDFYLRATIDYDGVFRQYAYPKTTSSSRSRAMAWTTLSNSIPSNICLRIAGQQGGGACGFNSYCRLGDDKRPSCKCPPGYTFFDPNDERKGCKKDFISQDCDHPSQEIDSFEIKEMPNTNWPFNDYEMFGSVDEDWCRQACLSDCYCAVAIFNTAGQCWMKRVPLSNGVTDPSVGGKALIKVRTGNSTAGSSAKKCDRSNLITTGSVLLGSSIFLIVLSLLGIYVFFSRWNRQQQKMIPQHRLMPDMNMQNFTYSELERATGGFKEELGSGAYGTVYKGVLANEDEPLIAVKKLDKMAGEGDKEFNTEVKVIGRTNHKNLVQLVGFCNEGQHRLLVYEYMSNGSLANFLFGDSRPNWYRRMQIAFDIARGLLYLHEECSSQIIHCDIKPQNILLDKSFNARISDFGLAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPVTTKVDTYSFGILLLELVCCRKNFDIDKKEGQIVLADWACDCLKEVKLDLLVEEDEEATEDMKTVERFVMVAIWCIQDDPSLRPGMKKVVQMLEGAVQVSIPPDFSSFISIQDDFSSFT >Potri.016G110800.4.v4.1 pep chromosome:Pop_tri_v4:16:11348906:11356615:1 gene:Potri.016G110800.v4.1 transcript:Potri.016G110800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110800.v4.1 MKPPAIRVFLICFLLFTTSIHGDESKKNKFRDREATDDALGYPDIDENALLNTQCPKNLELRWQTEVSSSVYATPLIADINSDGKLDVVVPSFVHYLEALEGSDGDKIPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTDKLEVPRRRVKKNWYVGLDPDPVDRSHPDVHDDQLVLEATENKSQSQNSHPANASIETGKKMSENQTKTMIKLSSQVDNSSVGAGSNGTDNAQNGTNKTQNGTITVEKETNNAENGTNTGRRLLEDDNSKGSHEGGSESKENDHENVHAATVENDEGLEADADSSFELFRESDELADEYSYDYDDYVDESMWGDEEWKERKHERLEDYVNIDSHILCPPVIADIDNDGVTEMIVAVSYFFDHEYYDNPEHLKELGDIDVGKYVASSVVVFNLDTKQVKWTRELDLSTSTAKFRAYIYSSPSVVDLDGDGNLDILVGTSFGLFYVLDHHGNIRENFPLEMAEIQGAVVAADINDDGKTELVTTDVHGNVAAWTSQGKEIWEKHLKSLVSQGPTIGDVDGDGHTDVVVPTLSGNIYVLSGKDGSIVRPYPYRTHGRVMNQVLLLDLSKRGEKNKGLTLVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVSTMNGNVFCFSTPVPHHPLKAWRSNNQERNNVANRYNREGVYIKPSSRSFRDEEGKSFWVEFEIVDKYRIPSGSQAPYNVTTTLLVPGNYQGERRIKQNQIFDRPGKYRIKLPTVGVRTTGTVLVEMVDKNGLYFSDDFSLTFHMHYYKLLKWLLVLPMLGMFGVLVILRPQEAVPLPSFSRNTDL >Potri.016G110800.1.v4.1 pep chromosome:Pop_tri_v4:16:11348940:11357249:1 gene:Potri.016G110800.v4.1 transcript:Potri.016G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110800.v4.1 MKPPAIRVFLICFLLFTTSIHGDESKKNKFRDREATDDALGYPDIDENALLNTQCPKNLELRWQTEVSSSVYATPLIADINSDGKLDVVVPSFVHYLEALEGSDGDKIPGWPAFHQSTVHASPLLYDIDKDGVREIALATYNGEVLFFRVSGYMMTDKLEVPRRRVKKNWYVGLDPDPVDRSHPDVHDDQLVLEATENKSQSHTTGNTHQNTPETNSSISTSTENSHPANASIETGKKMSENQTKTMIKLSSQVDNSSVGAGSNGTDNAQNGTNKTQNGTITVEKETNNAENGTNTGRRLLEDDNSKGSHEGGSESKENDHENVHAATVENDEGLEADADSSFELFRESDELADEYSYDYDDYVDESMWGDEEWKERKHERLEDYVNIDSHILCPPVIADIDNDGVTEMIVAVSYFFDHEYYDNPEHLKELGDIDVGKYVASSVVVFNLDTKQVKWTRELDLSTSTAKFRAYIYSSPSVVDLDGDGNLDILVGTSFGLFYVLDHHGNIRENFPLEMAEIQGAVVAADINDDGKTELVTTDVHGNVAAWTSQGKEIWEKHLKSLVSQGPTIGDVDGDGHTDVVVPTLSGNIYVLSGKDGSIVRPYPYRTHGRVMNQVLLLDLSKRGEKNKGLTLVTTSFDGYLYLIDGPTSCADVVDIGETSYSMVLADNVDGGDDLDLIVSTMNGNVFCFSTPVPHHPLKAWRSNNQERNNVANRYNREGVYIKPSSRSFRDEEGKSFWVEFEIVDKYRIPSGSQAPYNVTTTLLVPGNYQGERRIKQNQIFDRPGKYRIKLPTVGVRTTGTVLVEMVDKNGLYFSDDFSLTFHMHYYKLLKWLLVLPMLGMFGVLVILRPQEAVPLPSFSRNTDL >Potri.012G090800.1.v4.1 pep chromosome:Pop_tri_v4:12:11586950:11591777:1 gene:Potri.012G090800.v4.1 transcript:Potri.012G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090800.v4.1 MGGVTSSMAAKLAFFPPNPPSYKLVTDDATGLLLLDHFPHRENVDILRLPTRRGTEIVAVHVRYPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGAKQENIILYGQSVGSGPTVDLAARLPRLKAVVLHSPILSGLRVMYSVKRTYWFDIYKNIDKIPLVKCPVLVIHGTADEVVDCSHGKQLWELCQEKYEPLWLKGGNHCNLELYPEYLRHLKKFITTVEKSPSGRSSGRRSVDGFEQARRSTDCFEAPRKSTDRREKPRKSTDRTEKMKFHEFKFTHTDKLEKLKVSFEHMERSRRSVEYYDKSRKSVDVQVEKARKSVDWLERIR >Potri.001G276700.2.v4.1 pep chromosome:Pop_tri_v4:1:29047273:29053185:1 gene:Potri.001G276700.v4.1 transcript:Potri.001G276700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276700.v4.1 MKNCERIANFALAGLTLAPLFVKVDPNLNVILTACLAVYVGCYRSVKPTPPSETMSNEHAMRFPFVGSAMLLSLFLLFKFLSKDLVNAVLTCYFFVLGIVALSATLLPAIKRYLPKHWNDDVISWNFPYFRSLDIEFTRSQIVAAIPGTFFCAWYASQKHWLANNILGLAFCIQGIEMLSLGSFKTGAILLAGLFVYDIFWVFFTPVMVSVAKSFDAPIKLLFPTADAARPFSMLGLGDIVIPGIFVALALRFDVSRGKDSQYFKSAFLGYAAGLVLTIIVMNWFQAAQPALLYIVPAVIGFLAAHVLWNGEVKPLMEFDESKTAVSSQEDSGTSSSKKVE >Potri.003G204700.1.v4.1 pep chromosome:Pop_tri_v4:3:20382443:20384890:-1 gene:Potri.003G204700.v4.1 transcript:Potri.003G204700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204700.v4.1 MDNSGLRGRFLSDSSGGLLDLESPIHRHQQSQLGHPSLAHQHQMNVMGCFDNDHQPSGLMEMKGSTSKGYLVNFGKGRAASPFNGAHSGDGSEDDDQSFMEDGNGENSTGAKGKQGSPWQRMKWTDNIVRLLISVVACVGDDDTFDGTGGLKRKSGLLQKKGKWKTVSKLMIGKGCHVSPQQCEDKFNDLNKRYKRLNEILGRGTSCRVVENPALMDSMPHLSAKAKDDVRKILSSKHLFYKEICAYHNGQRIPNCQDFDLQGCSLPLERCSKDMNGSGGDEVEGNDDSDDDESNNEADNNADENGESVGQLCERIVNEEHSHLCSQSGRQNSFGVEMTAIFHDTNVSPWERKEWIKKQRLQLLEQRVNIKAQAFELEKQQFKWLRYCSKKDKEFERLRLENERLRLENRQSAFQLRQKQLEMGLRSSEPAYDPTSLGIDRVQGRDQIDLGRHH >Potri.018G032400.1.v4.1 pep chromosome:Pop_tri_v4:18:2385403:2388029:-1 gene:Potri.018G032400.v4.1 transcript:Potri.018G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032400.v4.1 MGAGRQVSISLDGVRDKNVMQLTKLNIALFPVRYNEKYYADALASGDFTKLAYYSDICVGAIACRLEKKEGGAVRVYIMTLGVLAPYRRLGIGTKLLNHVLDLCSKQNISEIYLHVQTNNEDALNFYKKFGFEITDTIQNYYTNITPPDCYLLTKLITQTKK >Potri.010G085900.1.v4.1 pep chromosome:Pop_tri_v4:10:11215309:11219791:1 gene:Potri.010G085900.v4.1 transcript:Potri.010G085900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085900.v4.1 MASTNSRSSFSILPSHQNPILSSLTLSPHFQIHRKRLSIVSHRRTRRRPRRKLAGGKDMSVAINMPTPSHRRDKSQDSVLDSTNSAGEREMLTADVEGEMSSTSKNAMRISKYLISRICFGVDLSPDNIAVAMVYFVQGVLGLARLAVSFYLKDDLHLEPAETAVISGFSALPWLIKPLYGFISDSVPLFGYRRRSYLVLSGLLGALSWSLMSTFVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVREQRVLGPASGPSLALPGSGFLESSKQHIVQLWDAVKQPNVFLPTLFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLLGVGLYNGFLKNVPLRKIFVATTVTGTALGMTQVFLVTGLNRKFGISDEWFAIGDSLILTVLGQVSFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVLGGLIGAGLTQFFGVTKDNFDNLAFLIILCNLSSLLPLPLLGLLPNDRPDAIPKESRDIEMKSN >Potri.010G085900.4.v4.1 pep chromosome:Pop_tri_v4:10:11215438:11219897:1 gene:Potri.010G085900.v4.1 transcript:Potri.010G085900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085900.v4.1 MSTFVDSKYSAAFCILLGSLSVAFSDVVVDSMVVERARGESQSMSGSLQSLCWGSSAFGGIVSSYFSGSLVDAYGVRFVFGVTALLPLITSAVAVLVREQRVLGPASGPSLALPGSGFLESSKQHIVQLWDAVKQPNVFLPTLFIFLWQATPQSDSAMFFFTTNKLGFTPEFLGRVKLVTSVASLLGVGLYNGFLKNVPLRKIFVATTVTGTALGMTQVFLVTGLNRKFGISDEWFAIGDSLILTVLGQVSFMPVLVLAAKLCPEGMEATLFATLMSISNGGSVLGGLIGAGLTQFFGVTKDNFDNLAFLIILCNLSSLLPLPLLGLLPNDRPDAIPKESRDIEMKSN >Potri.001G288000.2.v4.1 pep chromosome:Pop_tri_v4:1:30028016:30032874:-1 gene:Potri.001G288000.v4.1 transcript:Potri.001G288000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288000.v4.1 MTVEYSCCETNFFIHILIIVFLVMFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRIYAAKILPVVKNQHLLLCTLLICNAAAMETLPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCTRYGLAIGATVTPFVRVLVWICFPVAYPISKLLDYMLGHGHVALFRRAELKTLVNFHGNEAGKGGELTHDETTIIAGALELTEKTASDAMTPISETFAIDVNAKLDRELMSLILEKGHSRVPVYYEQSTNIIGLILAKNLLTIHPEDKVPVKNVTIRRIPRVLETLPLYDILNEFQKGHSHMAVVVRQCKKPEEQHVSSASDNPVKEVKVDIDGEKPPKDKTLKSMRALQKWKSFPNSGNNSFRSSRSKKWTKDLDSDILHLNGNPLPKLPEEEEAVGIITMEDVIEELLQEEIFDETDHHFEDSCNGLAKP >Potri.001G288000.6.v4.1 pep chromosome:Pop_tri_v4:1:30028084:30032874:-1 gene:Potri.001G288000.v4.1 transcript:Potri.001G288000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288000.v4.1 MTVEYSCCETNFFIHILIIVFLVMFAGLMSGLTLGLMSMSLVDLEVLAKSGTPKDRIYAAKILPVVKNQHLLLCTLLICNAAAMETLPIFLDSLVTAWGAILISVTLILLFGEIIPQSVCTRYGLAIGATVTPFVRVLVWICFPVAYPISKLLDYMLGHGHVALFRRAELKTLVNFHGNEAGKGGELTHDETTIIAGALELTEKTASDAMTPISETFAIDVNAKLDRELMSLILEKGHSRVPVYYEQSTNIIGLILVN >Potri.005G101300.2.v4.1 pep chromosome:Pop_tri_v4:5:7323915:7327367:-1 gene:Potri.005G101300.v4.1 transcript:Potri.005G101300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101300.v4.1 MGEEEILIGEQPRRSLAAPLIFFIVVAFQFVSIYLLQLKKKGSKSAAEIQLRAEIKQLLKDASALSQPSTFAQAAKLRRLAAAKEKELANYKEAHDKEMKRSSDSYPKILFISKVVTYFVLICWFWRTPVAEISQQLVQPFGRFLSWRAGGRLNNNVMVGIIPWLILSTRVSKFVCRLIKY >Potri.018G102200.1.v4.1 pep chromosome:Pop_tri_v4:18:12196428:12199060:-1 gene:Potri.018G102200.v4.1 transcript:Potri.018G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102200.v4.1 MAKLSQKNTKNTASNNNNTTNGVTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGPETILNFPLSTYQNELKEMEGQSREECIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYIKWLKPNQNNTDNNNGLDLPNPIIGTDNSTHPNPNQELGTTFLQINQQTYQPSETTLTQPRPATNPSSALGLLLQSSKFKEMMEMTAVTDCPPTPPSGLDPTPCSFLEDVQTYFDCLDSSNYGDQGDDMIFGDLNSFVPPMFQCDFET >Potri.005G218100.2.v4.1 pep chromosome:Pop_tri_v4:5:22088919:22090845:1 gene:Potri.005G218100.v4.1 transcript:Potri.005G218100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218100.v4.1 MALEQALALSPATNLFFSISNPPLTNTKTPALSLNPLTSSSKRSHFQRSLKCSADYRDNQYSQAVTHAKPAEIQWNKELCNSVHLIGIVGTPVEIKHLPSGKVVAWTRLAVKKSANDTSWINLTFWDDLAQVSSQHVEKGHQIYVSGRLITDSVENDEGKLQTYYKVVVQQLNFIERSSSRGLYDSDFNNTAAGSKFGNNTANDMGSMEERWQAFFANPLEWWDNRKDKRNPKYPDFKHKDTGEALWVEGRYNPPWVKSQLAILDGQMGSLQDQDSRLHESSMSGDDFISLS >Potri.009G022300.1.v4.1 pep chromosome:Pop_tri_v4:9:3441893:3444462:1 gene:Potri.009G022300.v4.1 transcript:Potri.009G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022300.v4.1 MATGGIKEVGGSANSLEIESLARFAVDDYNKKQNSLLEFKRVVKTKQQVVSGTMYYITFEVTDGGHRKVYEAKVWEKPWMNFKEVQEFKLVGDAPSDSSA >Potri.007G073800.1.v4.1 pep chromosome:Pop_tri_v4:7:9595546:9598107:-1 gene:Potri.007G073800.v4.1 transcript:Potri.007G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073800.v4.1 MAGGGFVAQSGGRNYEGGVTTFVIITCLVAAMGGLIFGYDIGISGGVTSMDSFLKKFFPSVYNKEKEERHDNMYCKFDSHLLQLFTSSLYLAALVASFFSSTVTRLFGRKISMLCGGLVFLVGAIINGAAKNVAMLIIGRLLLGVGVGFANQSVPIYLSEMAPAKIRGALNIGFQMAITIGILAANLINYGTSKIEDGYGWRISLALAAVPAVMIVVGSFFLPDTPNSILERGYPEKAKKMLQKIRGADNVEAEFQDLVDASEAAKKVEHPWKNILQPRYRPQLVICALIPFFQQITGINVIMFYAPVLFKTLGFGDDASLMSAVITGMVNVVCTAVSIYSADRFGRRILFLEGGIQMIISQILVAVMIAINFGTNGVGEMSGSTANFVLFLICAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFFTFFIGQFFLTMLCHFKFGLFLFFAGFVVIMTIFIYFFLPETKNVPIEEMNTVWKAHWFWSKYIPDDAVIGVETHTA >Potri.017G147300.1.v4.1 pep chromosome:Pop_tri_v4:17:14695159:14696059:1 gene:Potri.017G147300.v4.1 transcript:Potri.017G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147300.v4.1 MKKAVLRLDLHEEKAKKKAMKTVSRLPGVHSISMEMKDQKLTVIGNIDPVHIVAKLRKLCCTEIVTVEPAKEPEKKKEEPKKQDQDLHITAYHYHYPHVVSVEEDPNAICVIS >Potri.002G156800.1.v4.1 pep chromosome:Pop_tri_v4:2:11946047:11948402:1 gene:Potri.002G156800.v4.1 transcript:Potri.002G156800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156800.v4.1 MAQESSSLATKRYAVVTGANKGIGYEICRQLASNGILVVLTARDEKRGLEAVQKLKDSGISDDLVIYHQLDVVDPDSIVSLAEFVKNNFGKLDILVNNAGIGGVALEADACQRAFEQSGEFPSGEQVWAEIGTQNYEMAEQCVKTNYYGARGMAEALAPLLQLSDSPRIVNVSSLLGLLKNIPNEWAKGLLNDVENLNEDRLDEVVNEFLKDFKEDLLGSKGWPTYLSAYIVAKAAMSAYTRILAKKYPSFCVNCLCPGFCKTDITANTGPFTAAEGAENAVRLALLPDGGPSGCFFYQKQMLPSF >Potri.011G087050.1.v4.1 pep chromosome:Pop_tri_v4:11:10948696:10950335:1 gene:Potri.011G087050.v4.1 transcript:Potri.011G087050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087050.v4.1 MFDKSIQKGLATDHQTGAHICMNIICNGGKISKMTGATSYMELEIPIPIYKY >Potri.004G112400.1.v4.1 pep chromosome:Pop_tri_v4:4:10320199:10323131:1 gene:Potri.004G112400.v4.1 transcript:Potri.004G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G112400.v4.1 MDGSAGESSESGSIVSSSQSSKNGAHDIDDGCPRQVSPVRIDGSRNTSPMGRVGLRNTSPSRQKVIKTKPRGLDEETIATFGKVVHPDIQMEDSIWAMLPEDLLNEILVRVPPFMIFRLRSVCKRWNSILQDSSFLKFHSQVPSHGPCLLTFWKNPHTPQCSVFSLPLKAWYRIPFTFLPQWAFWLVGSSGGLVCFSGLDGLTFKTLVCNPLTQTWRTLPSMHYNQQRQLIMVVDRIDRSFKVIATGDIYGDKSLPTEVYDSKLDRWSLHQIMPAVNLCSSKMAYCDSRLYLEALSPLGLMMYRLDTGYWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHAKITWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYDVDKKVWSWIAGCALQSYNCQVCFYEPRFDDYIY >Potri.010G189300.1.v4.1 pep chromosome:Pop_tri_v4:10:18541795:18545627:-1 gene:Potri.010G189300.v4.1 transcript:Potri.010G189300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189300.v4.1 MVGPSRPQFVFFGSSIVQLCFSHGGWGSVLSDIYSRKADILLRGYYGWNSRRAIQVLDQVFPKEAPVQPSLVIVYFGGNDSMGPHSSGLGPHVPLNEYIENMRKIAIHLKSLSDTTRIIFLSCPPVDETRVGSGLSGIFSELIRTNELCQNYSNACIKLCQEMGVEVVDLFSAFQKRDDWTKACFTDGVHLSAEGSKIVVEEILKVLREAEWVPSLHWKSMPTEFSEDSPYYLVTADGKRTLNPSEWTFHREVQWD >Potri.010G189300.8.v4.1 pep chromosome:Pop_tri_v4:10:18541809:18545526:-1 gene:Potri.010G189300.v4.1 transcript:Potri.010G189300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189300.v4.1 MGPHSSGLGPHVPLNEYIENMRKIAIHLKSLSDTTRIIFLSCPPVDETRVGSGLSGIFSELIRTNELCQNYSNACIKLCQEMGVEVVDLFSAFQKRDDWTKACFTDGVHLSAEGSKIVVEEILKVLREAEWVPSLHWKSMPTEFSEDSPYYLVTADGKRTLNPSEWTFHREVQWD >Potri.001G270404.1.v4.1 pep chromosome:Pop_tri_v4:1:28485444:28488142:-1 gene:Potri.001G270404.v4.1 transcript:Potri.001G270404.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270404.v4.1 MWAIGLVVVALVVIYYTHMIFKWRSPKIEGVLPPGSMGWPLIGETLQFISPGKSLDLHPFVKKRMQKYGPIFKTSLVGRPIIVSTDYEMNKYILQHEGTLVELWYLDSFAKFFALEGETRVNAIGTVHKYLRSITLNHFGVESLKESLLPKIEDMLHTNLAKWASQGPVDVKQVISVMVFNFTANKIFGYDAENSKEKLSENYTKILNSFISLPLNIPGTSFHKCMQDREKMLKMLKDTLMERLNDPSKRRGDFLDQAIDDMKTEKFLTEDFIPQLMFGILFASFESMSTTLTLTFKFLTENPRVVEELRAEHEAIVKKRENPNSRLTWEEYRSMTFTQMVVNETLRISNIPPGLFRKALKDFQVKGYTVPAGWTVMLVTPATQLNPDTFKDPVTFNPWRWQELDQVTISKNFMPFGGGTRQCAGAEYSKLVLSTFLHILVTNYSFTKIRGGDVSRTPIISFGDGIHIKFTARA >Potri.003G110200.2.v4.1 pep chromosome:Pop_tri_v4:3:13261438:13262205:-1 gene:Potri.003G110200.v4.1 transcript:Potri.003G110200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110200.v4.1 MAQMLLLWWSKTTTKTGGVDTIATTSKTVENIGSTQNCFCGCLTKLLKKLKKRGRVIRAAAASRQSSFQCRYDPLSYSLNFDTSGHDEDYYHFCAFTSRFVANPSRSRISTASSSSRILGATSH >Potri.004G036700.1.v4.1 pep chromosome:Pop_tri_v4:4:2878235:2879146:-1 gene:Potri.004G036700.v4.1 transcript:Potri.004G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036700.v4.1 MAAGPYYSSLLFNKVNPFADEMDGHLQKLQNVAFLTCLGLTQCLVWNCASVAFVWMVGGVETKILFLALAYLVCGLPAANWLWFRPLCQALRTENTSMFRYFFLFYQLHIAFCMFAAVSPPIIAKGRSLTGIMSASDISGDYGEIGYLYFIGFGSFSLESMLSIWVLHKVYKFYRHGGLALEMV >Potri.008G039901.1.v4.1 pep chromosome:Pop_tri_v4:8:2231761:2232249:1 gene:Potri.008G039901.v4.1 transcript:Potri.008G039901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039901.v4.1 MHLWPTMRIRESFKISYLKKYDWNLQRMNSEKKRQSQEANDNSNQQRLLDDAGENSTNQQKPANNSKAVLICREILMVITCCYCCFCFGGKVLIFLPFLVYLVCVCVLRARVWIVVCLSGFLFIYELNLWGLILRITSLLLCDSLELKFEDLSVPLIYSMRY >Potri.007G140300.1.v4.1 pep chromosome:Pop_tri_v4:7:15059802:15061757:-1 gene:Potri.007G140300.v4.1 transcript:Potri.007G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140300.v4.1 MSKSHVLVIPYPAQGHINPMIQFSKRLASKGLQVTAVIFSSQALLEHTQLGSVGVVTIDCQSHEEAKISIDDYLKQFQATVTLKLRELVAELKNSSGYPICCLVYDSLMPWVLETARQLGLSAASFFTQSCAVDTVYYHIHEGQLKIPLEKLPLTFSRPPALEITDLPSFVQGLESKSEYSSLLNLVVSQFSNFREADWIFVNTFNTLEEEAVNWLASQRSIKPIGPTIPSVYLDRQLEDDREYGLSLFKPNLYGCKEWLDSKETGSVVYVSYGSMAALGEEQMAEIAWGLKRSGCYFLWVVRESEKKKLPSNFAEESSEKGLIVTWSQQLEVLAHKSVGCFMTHCGWNSTLEALSLGVPMVAMPQWTDQPTNAKYIADVWHVGVRVEVNQKRIVTKEEVERCIREVMESERSNVIRKNSDKWKKLVKMAVDEGGSSDKNIEEFVTEVVCKSKGIIE >Potri.010G231900.2.v4.1 pep chromosome:Pop_tri_v4:10:21364897:21368506:-1 gene:Potri.010G231900.v4.1 transcript:Potri.010G231900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231900.v4.1 MHAIKGGWVGQTFALAKSIDSGGKKSRIRRSKEERKGMVESFIKKYQSLNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRVLGPGKLPLEEQYNDLFVEQYPLGTISTEPQTSLSISPNGSPEHDQHESSGEALDLISEQHAEPEQQGFNNGKIINGSHVIVKNEEADKPKVVEVQVTEPLETEKRMEEVAASRAKVTQMADVMVETFPLPPATKSAGNSNGNSSNVREVNGILEEKDVEKVLLEPEQDPENKSAGNLNGNSSNVREVNGILEEKDVEKVLLEPEQDPENGISLPDGMSSLHNSSLVDDNEVSLHGSSLVDDKEVEKPAVPLLERSSDLACEKAVENLVVLAMGSSNASVTDEGIVQDAEADIDVKVKSSHDEKAIAETKVIDAQNGIQAKSSTVGSQSIAKEVEMKDEASFQHSQDSQKQSSPTLNRINLESWGGGASKNRPEPETNPLLAIFKSFLAALVKFWSE >Potri.003G161300.1.v4.1 pep chromosome:Pop_tri_v4:3:17109531:17112481:1 gene:Potri.003G161300.v4.1 transcript:Potri.003G161300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161300.v4.1 MLPIFDPNDNEAGLKLLEDLTNNACQIQRQVLEYILTTNLHTGYLKSFLNGDSSKENFKNKVPIVNYEDIKPCIERIANGEPSSIISAQPITELLTSSGTSGGQPKMMPSTAEELERKTFFYNLLMPIMNKYVDGLDQGKGMYLLFTKPEISTPSGLMARPVLTSYYKSSNFRNRAFNRYNVYTSPDETILCPDSKQSMYCQLLCGLVQREEVLRVGAVFASAFLRAIKFLEEYQKELCSNIRTGRLSDWITDPNCRNAVSSFLSKPNSELADLIEVECSGKSCEGIIKKLWPRTKYIEVIVTGSMAQYIPTLEFYSGGLPLVSTMYGSSECYLGINFKPLSNPSDVSYTLIPNMAYFEFLPVDKDNKKVIQAVQCNGATDHNGKQEEDGKEKDVEAVDLEDVKLGHYYELVVTTFTGLYRYRVGDILMVTGFYNNAPQFRFVHRRNVVLSIDTDKTNEEDLLKAVTQAKVLLEPLGFLLTEYTSFADTSSIPGHYVLFWELKTQGTNDLPELDPITMEKCCSTVEESLDSIYRRCRKKDKSIGPLEIRVVTHGTFDALMDFCVSQGSSVNQYKTTRCIKSEEAFKILHSRVVGRFFSKKTPFWEPFRIETN >Potri.001G348500.1.v4.1 pep chromosome:Pop_tri_v4:1:36002568:36008032:1 gene:Potri.001G348500.v4.1 transcript:Potri.001G348500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348500.v4.1 MNSSQFMDKQIMDLTSSSSTTQSLALSSSPKIINSSSKDFIDLMNHPPPPPPQDDSQSHINNNDLDDGIKREEIVASYDFQPIRPVFDPAAADSNSISSSASPLRNYGSLDSMEPAKVVMEKDRSVFDAASIISEIDRTMNKFSDNLLHALEGVSARLTQLESRTRHLENSVDDLKLSVGNNHESTQGNMRHLHNILREVQTGVQVLKDKQEMLESQLQLTKLQVSKADQQQLETQSTGQMDAAQQGASAPQSHQLPPLTFPQSVPSVSFPPSVPPPIPQQNMLPPAPLSNQFPQNQIPPVPQQDPYYSPPGQPQEPPNPQYQVPSSQQLQPSPAAPPNQPYHAPQPQYSQPPQPHQPQPPLARHPEETPFIPHQSYPPSLRPLSTQPPSGAPPQPYYGSPSNMYEPPTSRPSSGFSAGYGPPSGSTEPYPYGGSPSQYGISPTMKQQQPVMSQSGGSGFPQLPTARILPQALPTASGIGSGPGSSGTGNRVPLDDVVDKVTSMGFPREHVEATVRKLTENGQSVDLNIVLDKLMNDGDVQPQRGWFGR >Potri.017G078300.1.v4.1 pep chromosome:Pop_tri_v4:17:8675621:8678020:-1 gene:Potri.017G078300.v4.1 transcript:Potri.017G078300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078300.v4.1 MISTADVYHVVAATVPLYFAMILAYISVRWWKLFTPDQCAGINKFVAKFSIPLLSFQVISSINPYKMNLKLIFADFLQKLLALLVLTALAKISSRGRLNWIITGLSLSTLPNTLILGLPLLRAMYGAEAEPLLSQIVGLQSLIWYNLLLFLFELNATKEATVAPSSETTGDQEALQESQYKEGGEVHSRTTRKVKAMLVLLTVGKKLMSNPNFYATMVALIWASIHFRWGVKLPDIVDKSIVILSTGGLGMAMFSLGLFMALRPSIISCGIRMAVVAMAMKFIVGPALMAVASFAVRLEGTVLKVAIVQAALPQGIVPFVFAKEYNVHPDTLSTGVIFGMLIAMPIALAYYSLLAL >Potri.013G117200.1.v4.1 pep chromosome:Pop_tri_v4:13:12553382:12561407:-1 gene:Potri.013G117200.v4.1 transcript:Potri.013G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117200.v4.1 MERKVGNFLFVWLLILALLLHPVWLVLGNMEGDALHSLRSNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPIPGDLGNLTTLVSLDLYLNSFTGPIPDTLGKLSKLRFLRLNNNSLTGPIPMSLTNISALQVLDLSNNRLSGVVPDNGSFSLFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPPPISSPGGYSATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDTFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQGSPMERPKMSDVVRMLEGDGLAERWDEWQKVEVLRQEVELAPHPNSDWIVDSTENLHAVELSGPR >Potri.005G061600.6.v4.1 pep chromosome:Pop_tri_v4:5:3891119:3895068:-1 gene:Potri.005G061600.v4.1 transcript:Potri.005G061600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061600.v4.1 MKVIEKLGEKNDYQGIGLLNFNNSEISYWKHLMPDATHVALQLDYADKNMTWDSLYPEWIDEEQEKEVPVCPSLPKPETPRKRLDLIAVKLPCRNEWNWSRDVARLHLQLAAASLAASARGFYPVHLLFITARFPIPNLFTCKELVVRDGNVWLYKPDVNVLRDKLQLPAGSCELSLPLGDKERAYSGNPRREAYTTILHSAHVYVCGAIAAAQSIRLSGSTRDLVILVDETISVYHRSGLEAAGWKIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFNLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWIGDEEEVKQKKTSLFGAEPPILYALHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHEKWWRVYDAMPEQLHQFCSLKSKQKAQLEYDRREAEKANYTDGHWKIKVQDRRLKKCIDNVCNWKSMLKHWGETNWTDDEFLNPSPPAISTASLSGL >Potri.005G061600.4.v4.1 pep chromosome:Pop_tri_v4:5:3891024:3895068:-1 gene:Potri.005G061600.v4.1 transcript:Potri.005G061600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061600.v4.1 MGGAPGSVEPRHRLSASFEDLYKRRLTRYKVKGVQKPFNIPIQDRSPCFKFPLIKLVLVVIIGGTVVSLLYSPDVDQLSHPGSRQNFVNRWIWGGLDPRYVSDLDVNWDDVMKVIEKLGEKNDYQGIGLLNFNNSEISYWKHLMPDATHVALQLDYADKNMTWDSLYPEWIDEEQEKEVPVCPSLPKPETPRKRLDLIAVKLPCRNEWNWSRDVARLHLQLAAASLAASARGFYPVHLLFITARFPIPNLFTCKELVVRDGNVWLYKPDVNVLRDKLQLPAGSCELSLPLGDKERAYSGNPRREAYTTILHSAHVYVCGAIAAAQSIRLSGSTRDLVILVDETISVYHRSGLEAAGWKIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNATLFNSGVMVIEPSNCTFNLLMEHINEIESYNGGDQGYLNEIFTWWHRIPKHMNFLKHFWIGDEEEVKQKKTSLFGAEPPILYALHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHEKWWRVYDAMPEQLHQFCSLKSKQKAQLEYDRREAEKANYTDGHWKIKVQDRRLKKCIDNVCNWKSMLKHWGETNWTDDEFLNPSPPAISTASLSGL >Potri.009G169500.1.v4.1 pep chromosome:Pop_tri_v4:9:12882112:12883670:1 gene:Potri.009G169500.v4.1 transcript:Potri.009G169500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169500.v4.1 MGALKAILLYLIIHQTWKITADDEEWKSATATYVKETSGSIIVEGACGYGDLHRATYGKYSAGLSSMLFNRGSTCGACFEVRCVDHILWCLQGSPSVILTATDFCPPNYGLSSDYGGWCNFPKEHFDMSEAAFAEIAEKKADVVPVQHRRVKCDRRGGLRFTMSGNFHFYQVLISNVGLDGEVIAVKVKGSRTAWIPMARNWGQNWQSNINLIGQPLSFEVTTSSRRTLTSYNVAPANWQFGQIFEGKQF >Potri.011G080700.1.v4.1 pep chromosome:Pop_tri_v4:11:8708391:8714933:-1 gene:Potri.011G080700.v4.1 transcript:Potri.011G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080700.v4.1 MDGEVGPPVPTVESPLELTPVGSPEVDVHALPSDEDHDPDHDREHEQSSGPTVQTVDLKHKIIKQVEYYFSDENLPTDKYMISLIKKNKEGFVPITVIASFRKMKKLTQDSTFIVAALRESSILVVNSDGKKVKRLDPFHFAEVKDPKLCTVLVENLPEDHSLNNLQRIFGAAGKIKNISIRDPLAVEKSKKGSKTDILISSKVHAFVEYDTVEAAEKAVAALNNEQDWRNGMRVKHLNQMAKHGQRRQVWREPDSEKNRKGRGTNLAGDVEKHDSSEHHDDTPVEEDGEHISKEKNGQQGGNRGRSRKNKFRVTNGMGHGTTSYNAVEFSKAPPGPKMPDGTRGFAVGRGRPPIPNQSQETI >Potri.011G006350.1.v4.1 pep chromosome:Pop_tri_v4:11:529077:532135:1 gene:Potri.011G006350.v4.1 transcript:Potri.011G006350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006350.v4.1 MRTTERRSVGVNFQEIGLRSSAIVRPYVRSKMPRLRWTPDLHHCFVHAVERLGGEDRATPKMVLQIMDVEDLTISHVKSHLQMYRSMKHEWMIQEAAMEAKKNGKEPRMHHSNYLSHTMCCQQKRLNGKGLINNKALLYQGCGVVHNPANGLALKNASLTSQRRQKKGQWIGKGVKEPFLHEEIASEECEQKPDNYIIFTDLLKSCVRKETNDQDKMSAGATGCKKSHQSLEELTEIAQRIDGDRTSSSLNSNVSKPLLKLSKAKNSSDVSLELTLA >Potri.010G180600.1.v4.1 pep chromosome:Pop_tri_v4:10:17948325:17958972:1 gene:Potri.010G180600.v4.1 transcript:Potri.010G180600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180600.v4.1 MDSEDEFDMQDAAAESAEDDFYSGGEEDGFDSDDADVADYEFIDNDSDDSDDLISHRHQQNYTVLSEEDIRQRQDDDVMRIATVLSISKVAASILLRYYNWSVSKVHDEWFADEEKVRKAVGLLEEPVVPFPDGREMTCGICFETYPSDRLLAAACGHPFCNSCWAGYISTAINDGPGCLMLRCPDPSCNAAIGQDMINLLTSDEDSEKHSRYFIRSYIEDNRKTKWCPAPGCDYAVDFIVGSGSYDVTCRCAYSFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYETAKQEGVYDESEKRREMAKNSLERYTHYYERWATNQSSRQKALADLQQMQNVHLEKLSDIQCQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRMFFEYVQGEAESGLERLHQCAEKELQIYLNAEGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSHGTCSRTASSKSLGGGSSRARAGRGKGSTSRSSGPSRNIDDPGHWSCEHCTFANIKPATICAMCQQRR >Potri.004G101800.1.v4.1 pep chromosome:Pop_tri_v4:4:8897446:8905676:1 gene:Potri.004G101800.v4.1 transcript:Potri.004G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101800.v4.1 MATESSVIAWGSGEDGQLGIGNNEDKEWVCVVKALEPYKVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENIPSQVKALANVNIVQAAIGGWHCLAVDDQGRAYSWGGNEYGQCGEEPERKNDTGRPLRRDIVIPQRCASNLVVRQVAAGGTHSVVLTREGHVWTWGQPWPPGDIKQISVPVRVQGLERVRLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPILVQGLSGLNLVDIAAGGWHSTALTDDGEVYGWGRGEHGRMGFGDNDKSSKMVPQKVNLLAGEDIIQVSCGGTHSVALTRDGQMFSFGRGDHGRLGYGRNVTTGQPMEVPLDIPPPKKLRDNGDEGHWIAKLVGCGGRHTLAIVEMAGT >Potri.018G057500.4.v4.1 pep chromosome:Pop_tri_v4:18:5714055:5720548:1 gene:Potri.018G057500.v4.1 transcript:Potri.018G057500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057500.v4.1 MLPRLSPLLRNSSMARAVPRASTPLPLPTLLPATTLSSLHSPSSLSFAPKPSNFNFLLLTNTGLFNKKFETFSQENYRCTVWASHRGYRKVRQRPAAARRSKEKDLELSVSICIEEGLPNDPEILSIAELLRLNVPTAMKLAFDSLKSSTFKTRDETITDVGGFDSIELSVMLCNDEFIRKLNKEWRDEDHATDVLSMSQHVPGLDLPILMLGDIVISVETAARQAEERGHTLIDETRVLLVHGLLHLFGFDHEISEEAEAEMDKEEGVLLESLGWKGKGLIQSAYDAETTMNHHMESSDDRKKEGSLRFYKPKFSYIFCDMDGTLLNSKSQISLTNAKALKEALSRGVKVVIATGKARPAVIDILKAVDLAGKNGVISEFSPGVFLQGLIVYGRQGREIFRSNLDLSVCRESCTVEFCLPALSWIGYSWLSGGWMLLQL >Potri.018G057500.3.v4.1 pep chromosome:Pop_tri_v4:18:5714055:5720575:1 gene:Potri.018G057500.v4.1 transcript:Potri.018G057500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057500.v4.1 MLPRLSPLLRNSSMARAVPRASTPLPLPTLLPATTLSSLHSPSSLSFAPKPSNFNFLLLTNTGLFNKKFETFSQENYRCTVWASHRGYRKVRQRPAAARRSKEKDLELSVSICIEEGLPNDPEILSIAELLRLNVPTAMKLAFDSLKSSTFKTRDETITDVGGFDSIELSVMLCNDEFIRKLNKEWRDEDHATDVLSMSQHVPGLDLPILMLGDIVISVETAARQAEERGHTLIDETRVLLVHGLLHLFGFDHEISEEAEAEMDKEEGVLLESLGWKGKGLIQSAYDAETTMNHHMESSDDRKKEGSLRFYKPKFSYIFCDMDGTLLNSKSQISLTNAKALKEALSRGVKVVIATGKARPAVIDILKAVDLAGKNGVISEFSPGVFLQGLIVYGRQGREIFRSNLDLSVCRELSFACLLCPGLDIPGSLVVGCCCSCRIP >Potri.018G057500.2.v4.1 pep chromosome:Pop_tri_v4:18:5713987:5720575:1 gene:Potri.018G057500.v4.1 transcript:Potri.018G057500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057500.v4.1 MLPRLSPLLRNSSMARAVPRASTPLPLPTLLPATTLSSLHSPSSLSFAPKPSNFNFLLLTNTGLFNKKFETFSQENYRCTVWASHRGYRKVRQRPAAARRSKEKDLELSVSICIEEGLPNDPEILSIAELLRLNVPTAMKLAFDSLKSSTFKTRDETITDVGGFDSIELSVMLCNDEFIRKLNKEWRDEDHATDVLSMSQHVPGLDLPILMLGDIVISVETAARQAEERGHTLIDETRVLLVHGLLHLFGFDHEISEEAEAEMDKEEGVLLESLGWKGKGLIQSAYDAETTMNHHMESSDDRKKEGSLRFYKPKFSYIFCDMDGTLLNSKSQISLTNAKALKEALSRGVKVVIATGKARPAVIDILKAVDLAGKNGVISEFSPGVFLQGLIVYGRQGREIFRSNLDLSVCREACLYSWEHKVPLIAFSNDRCLTLFEHPLVDLLHTVYHEPKAEIMPSVEHLLSAADIQKMIFLDTAEGVAMNLRPYWSEATGGRANVVQAVPDMLEIVPPGTSKGSGVKLLLDHLGVTAKEIMAIGDGENDIEMLELASLGIALSNGSEKTKAVANVIGASNDEDGVASAIYEYAF >Potri.016G039733.1.v4.1 pep chromosome:Pop_tri_v4:16:2461431:2462993:1 gene:Potri.016G039733.v4.1 transcript:Potri.016G039733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039733.v4.1 MSETVNNDARSASSEPHWLIEIMNAAEEDTSHDDNQRKGPDFPKVPPTLRDIQQNSDCYDPSVVSIGPYHHGKKKLEEMEKLKETYARQFVKDKDKPNEQIYGKVEAVLSIARNSYPEDVTYNLNDEKFNKMMFIDGCFILQFMHYLIGENEVLKMSDQQIFHVKRDLLLLENQLPFAVLHSLRRQRYGDNLSNSNEIINNFISLHNRSSSKPIPTWVRIALTSLELVMIPIIFPLSVIIACCCVCLFYKCLSYQWATHLLRGQSVSLDWSPPPPRGKSGTRDDEPQPAHPPPTRKEKSGTRDDERLPAHLLELLYYKSMYHYSKSNHKKAAKGSRGHCLYYSAKNLKKAGILFRARWTGAITDVKFKSSIFWGTLKVPPIIIEESTKSLLLNLVAYETSAALDQLWVSSYICFMDSLIDDAKDVEELRSNGIIINYFGADQKVANLFNDMGISMTHDTAAYNDIKMEINEQCESTVKRWVYEWKRTYFSNPWTIITVLAASFGLALTATQTYYTRYPPK >Potri.011G043400.1.v4.1 pep chromosome:Pop_tri_v4:11:3385548:3388460:-1 gene:Potri.011G043400.v4.1 transcript:Potri.011G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043400.v4.1 MKGEIEDNGAIQIPPTRAGHIKKRVLKNKGVSVSFNEKDLRDYVTGFHKRKKKRRKEAIKQQEVKLRHKRIAARKQRKLEKELALNGGAPPAADESDNYGEDDEEIEPIASISGTTKYDNGDMQVTVTTSEISREDEDGHSEKTQVTVPRLNEADKSHKLSVSKKNSFKKVLKHKSRSKPQNKRDRKKGKTNTKKR >Potri.005G019200.2.v4.1 pep chromosome:Pop_tri_v4:5:1229890:1231391:1 gene:Potri.005G019200.v4.1 transcript:Potri.005G019200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G019200.v4.1 MAILALPKLKFLAFMTLIFMARLCCYTGYAQVVPGQMVAKALLCLNNKIIYSGCDEAYRLTQSGNLNVPPEATELFCNGPCLAETRLALSCINDVISDFLFYNKATVRDINYALSAGCSSTRQRGHHLGEFILLNFLDDMRGGALRSLEEGAYALFDNGTRI >Potri.005G019200.1.v4.1 pep chromosome:Pop_tri_v4:5:1229890:1231391:1 gene:Potri.005G019200.v4.1 transcript:Potri.005G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G019200.v4.1 MAILALPKLKFLAFMTLIFMARLCCYTGYAQVVPGQMVAKALLCLNNKIIYSGCDEAYRLTQSGNLNVPPEATELFCNGPCLAETRLALSCINDVISDFLFYNKATVRDINYALSAGCSSTRQRGDFNVARYINGETSSASSLPNLIGFYALALFIWWWILLL >Potri.001G145400.1.v4.1 pep chromosome:Pop_tri_v4:1:12000250:12001858:1 gene:Potri.001G145400.v4.1 transcript:Potri.001G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145400.v4.1 MKLSLNLQDEPQIQNLILKAKLPISIFNQPFTSIFTTTAANSISDLTFALSTNFPSGPSLKLTYTPSTTTTTATTAVSPLSLSLKSGLGLCGSPHNSPLVFSAHFSLSNSSPCVIVPTFSLQFKPNFGHFSLHKRTTPPSSNPNYDPSCWSYTNGPHLESGSPSKSQLGDGFASDGSSGWQELKLEPCNGKGKEGFANRNYIDDVYVDNNGIGFLPERQPVWKDGKKEGFSSGVGVKARTVLPLTKRVMMNMRWAVNFPGEFGIKMPYLIVNKIGIERVEVLKEVKKEKSNESNVGDLELLKGMCFWMRRNLEVLETENREMKQYLEDMRLGVSARNSRREANGPVKRVVPRSIGSLDEFDQWKSKKNDGEGNGQRELKKPANKVTNLESELQKAIKAASS >Potri.001G102051.3.v4.1 pep chromosome:Pop_tri_v4:1:8242848:8244740:1 gene:Potri.001G102051.v4.1 transcript:Potri.001G102051.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102051.v4.1 MAKYTVRIEADKSLYPVLLSNGNLLEQGDLEGGKHYVLWEDPFKKPCYLFALVAGQLESRDDMFVTRSGRNVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILRVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRISDVSKLRISQFPQDAGPMAHPVRPHSYIKMDNFYTG >Potri.001G102051.2.v4.1 pep chromosome:Pop_tri_v4:1:8240237:8248395:1 gene:Potri.001G102051.v4.1 transcript:Potri.001G102051.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102051.v4.1 MAKYTVRIEADKSLYPVLLSNGNLLEQGDLEGGKHYVLWEDPFKKPCYLFALVAGQLESRDDMFVTRSGRNVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILRVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRISDVSKLRISQFPQDAGPMAHPVRPHSYIKMDNFYTGCMKRERKLSGCTKPY >Potri.001G102051.1.v4.1 pep chromosome:Pop_tri_v4:1:8240237:8248978:1 gene:Potri.001G102051.v4.1 transcript:Potri.001G102051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102051.v4.1 MAKYTVRIEADKSLYPVLLSNGNLLEQGDLEGGKHYVLWEDPFKKPCYLFALVAGQLESRDDMFVTRSGRNVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFNMGAMENKSLNIFNSKLVLASPETASDADYAAILRVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRISDVSKLRISQFPQDAGPMAHPVRPHSYIKMDNFYTVTVYEKGAEVVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANDADFANFLQWYSQAGTPLVKVTSSYDAEAHTFTLKFSQEVPPTPGQPVKEPMFIPVVLGLLDTSGKDMSTLVCVS >Potri.010G154400.1.v4.1 pep chromosome:Pop_tri_v4:10:22664123:22665211:1 gene:Potri.010G154400.v4.1 transcript:Potri.010G154400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154400.v4.1 MERYRLIKELGEGTYGSVLKAINNESGEVVAIKQMKRRYDSWEECLSLRELKSLRNLHHPNIVMLKELVSQNSILYFVFEYMEQNLYQVISDRKILFSEVEVRNLCRQVFQGLAYMHQKGYFHRDLKPENLLVTEDVVKIADFGLAREIDSQPPYTQYVSTRWYRAPEVMLRSDCYSSKVDMWAMGAIMAELFTLRPLFPGTNEGNQMYRICSVFGTPTIDSWADGIHLARTLNYQFPNFDGVQLSALIPSASEEAIDLISMLCSWNPCNRPTAEEALKHPFFRNDHYIPPCLHFTAAAKRETHSDGSIEELEQKRDMGYCGALYDSSLNYNFPSSNKLDTGSSTVASSIGLTRMFPFLANS >Potri.013G068250.2.v4.1 pep chromosome:Pop_tri_v4:13:5372367:5372450:-1 gene:Potri.013G068250.v4.1 transcript:Potri.013G068250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068250.v4.1 MPMIFFYFLKIIFDISTSKRSKTYKPY >Potri.007G000700.4.v4.1 pep chromosome:Pop_tri_v4:7:38916:50508:-1 gene:Potri.007G000700.v4.1 transcript:Potri.007G000700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000700.v4.1 MAAISPIEISSSDSDFNFTDDDEVEISPVNARDSANTRTLPDWATAHPTTSRYTGYGGQTQNASPLKRPYASNGSSSSNVNDLSWMKIHGQPTNGANIRDTSWPSARGDGTRHFIGTGNVGQPRAVNSQIANVSGADYEKLSSQQALKRTLPSSLHPSEPSNKANNTVENASSSGSRDIYGNAYHLAGPSVTNSRGYTRDIHSKRNNDDIMMYENNGSRIPPPSFMHGKPSAQFPGPSEPVYHSMAGDENAAGTDERLVYQAALEDLNQPKVEANLPDGLMSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALVQMQKSLETKSKSEDQRNHKTEALNLDDDDDNGTLVLDKDKQTRESADIKSTPEAGSSTKAISRRRPAAGTLVVCPASVLRQWARELDDKVADGAKLSVLIYHGGNRTRSPDELAKHDVVLTTYSIVTNEVPKQPLVDEDEADDKNGEKHGLSSEFSNNKKRKKTSKVSKKRGRKGMDSSSIDCDFGALARVSWSRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNSIDDLYSYFRFLRYDPYAVYKSFYNTIKVPISRNSLHGYKKLQAVLRAIMLRRTKATLIDGQPIINLPPKSICLTKVDFSTEERAFYTRLEADSRSKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFNSESVEKDSAEMANQLPREMVVDLLNRVTSALCRVCNDPPEDSVVTMCGHVFCNQCVSEYLTGDDNTCPASDCKEQLGSDVVFSEATLRRRISDTFDASSSHSKFDDKSIVLQHEYNSSKIKAVLEVIQSHCKAGSPISEFNGSAGCIETSMAYSRLSTEGPIKAIVFSQWTSMLDLVEFSLNQHCIQYRRLDGTMTLSSRDKAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRLTIKDTVEDRILALQDEKRKMVASAFGEDQSGGSATRLTVEDLKYLFMV >Potri.007G000700.5.v4.1 pep chromosome:Pop_tri_v4:7:39049:50481:-1 gene:Potri.007G000700.v4.1 transcript:Potri.007G000700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000700.v4.1 MAAISPIEISSSDSDFNFTDDDEVEISPVNARDSANTRTLPDWATAHPTTSRYTGYGGQTQNASPLKRPYASNGSSSSNVNDLSWMKIHGQPTNGANIRDTSWPSARGDDYEKLSSQQALKRTLPSSLHPSEPSNKANNTVENASSSGSRDIYGNAYHLAGPSVTNSRGYTRDIHSKRNNDDIMMYENNGSRIPPPSFMHGKPSAQFPGPSEPVYHSMAGDENAAGTDERLVYQAALEDLNQPKVEANLPDGLMSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALVQMQKSLETKSKSEDQRNHKTEALNLDDDDDNGTLVLDKDKQTRESADIKSTPEAGSSTKAISRRRPAAGTLVVCPASVLRQWARELDDKVADGAKLSVLIYHGGNRTRSPDELAKHDVVLTTYSIVTNEVPKQPLVDEDEADDKNGEKHGLSSEFSNNKKRKKTSKVSKKRGRKGMDSSSIDCDFGALARVSWSRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNSIDDLYSYFRFLRYDPYAVYKSFYNTIKVPISRNSLHGYKKLQAVLRAIMLRRTKATLIDGQPIINLPPKSICLTKVDFSTEERAFYTRLEADSRSKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFNSESVEKDSAEMANQLPREMVVDLLNRVTSALCRVCNDPPEDSVVTMCGHVFCNQCVSEYLTGDDNTCPASDCKEQLGSDVVFSEATLRRRISDTFDASSSHSKFDDKSIVLQHEYNSSKIKAVLEVIQSHCKAGSPISEFNGSAGCIETSMAYSRLSTEGPIKAIVFSQWTSMLDLVEFSLNQHCIQYRRLDGTMTLSSRDKAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRLTIKDTVEDRILALQDEKRKMVASAFGEDQSGGSATRLTVEDLKYLFMV >Potri.007G000700.2.v4.1 pep chromosome:Pop_tri_v4:7:39049:50481:-1 gene:Potri.007G000700.v4.1 transcript:Potri.007G000700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000700.v4.1 MAAISPIEISSSDSDFNFTDDDEVEISPVNARDSANTRTLPDWATAHPTTSRYTGYGGQTQNASPLKRPYASNGSSSSNVNDLSWMKIHGQPTNGANIRDTSWPSARGDGTRHFIGTGNVGQPRAVNSQIANVSGADYEKLSSQQALKRTLPSSLHPSEPSNKANNTVENASSSGSRDIYGNAYHLAGPSVTNSRGYTRDIHSKRNNDDIMMYENNGSRIPPPSFMHGKPSAQFPGPSEPVYHSMAGDENAAGTDERLVYQAALEDLNQPKVEANLPDGLMSVPLLRHQKIALAWMLQKETRSLHCLGGILADDQGLGKTISMIALVQMQKSLETKSKSEDQRNHKTEALNLDDDDDNGTLVLDKDKQTRESADIKSTPEAGSSTKAISRRRPAAGTLVVCPASVLRQWARELDDKVADGAKLSVLIYHGGNRTRSPDELAKHDVVLTTYSIVTNEVPKQPLVDEDEADDKNGEKHGLSSEFSNNKKRKKTSKVSKKRGRKGMDSSSIDCDFGALARVSWSRVILDEAQTIKNHRTQVARACCSLRAKRRWCLSGTPIQNSIDDLYSYFRFLRYDPYAVYKSFYNTIKVPISRNSLHGYKKLQAVLRAIMLRRTKATLIDGQPIINLPPKSICLTKVDFSTEERAFYTRLEADSRSKFKAYAAAGTVNQNYANILLMLLRLRQACDHPLLVKGFNSESVEKDSAEMANQLPREMVVDLLNRVTSALCRVCNDPPEDSVVTMCGHVFCNQCVSEYLTGDDNTCPASDCKEQLGSDVVFSEATLRRRISDTFDASSSHSKFDDKSIVLQHEYNSSKIKAVLEVIQSHCKAGSPISEFNGSAGCIETSMAYSRLSTEGPIKAIVFSQWTSMLDLVEFSLNQHCIQYRRLDGTMTLSSRDKAVKDFNTDPEVTVMLMSLKAGNLGLNMVAACHVILLDLWWNPTTEDQAIDRAHRIGQTRPVTVTRLTIKDTVEDRILALQDEKRKMVASAFGEDQSGGSATRLTVEDLKYLFMV >Potri.004G050000.2.v4.1 pep chromosome:Pop_tri_v4:4:4046407:4051058:-1 gene:Potri.004G050000.v4.1 transcript:Potri.004G050000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050000.v4.1 MAVEAKTLEETPTWAVATVCFFLILISIFIEYLLHLLAKYFNKKRRKYLIQALYKIKTELMLLGFISLLMTVLEKPVAKICIPKSAGETFLPCGSVDSSDWSEQETKCSEQGKASLLSTEGMTQLQYLIFVLASFHSVSSILTFCLGMAKMRRWESWEAETRTLDYQFSTDPRRFQLTHQTSFGKRHLRYWNENSVLRWPACFLRQFYGSVSKVDYFTLRHGFIMAHFDQDNNFDFHRYIRRALDKDFGVMVGISFWIWMFAISFIFFNAHKFYSYYWLPFIPLVMLLLVGTKLQAIITLMCLDSHDKSHVVKGTLLVRPSDHLFWFGRPKLLLQLLHFISFQNSFQLAFFTWTWYKFGFRSCFHRRTEDIVIRVVMGVLVHFLCGYVTLPLYALVTQMGTSMRPAVFTEDVIEGLKRWRAKARKNLKNSYSARPSLDTSLSLDSSPSFSLHSRPE >Potri.007G123300.1.v4.1 pep chromosome:Pop_tri_v4:7:14024307:14026460:1 gene:Potri.007G123300.v4.1 transcript:Potri.007G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123300.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.015G098400.1.v4.1 pep chromosome:Pop_tri_v4:15:11873665:11879193:1 gene:Potri.015G098400.v4.1 transcript:Potri.015G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098400.v4.1 MGRGKVELKRIENSASRQVTFSKRRNGLLKKAFELSILCEAEVSLIIFSPSGKFYQFSSHDMERSVARYRSEVGLPGTNDQRSRSLEFWRCEIEELRRTITKTEAQLRHFIGEDIAPLGLKELKQLERQLKTGVERIRSKKKRVISEHIKLLKSEQRALQEENARLQKRLHELPDANVSSRIPEPNACNAFHQQRIFLEGSHQ >Potri.015G098400.11.v4.1 pep chromosome:Pop_tri_v4:15:11873665:11874092:1 gene:Potri.015G098400.v4.1 transcript:Potri.015G098400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098400.v4.1 MGRGKVELKRIENSASRQVTFSKRRNGLLKKAFELSILCEAEVSLIIFSPSGKFYQFSSHDMERSVARYRSEVGLPGTNDQRSRSLEVITVSLNSASLYRNPN >Potri.015G098400.10.v4.1 pep chromosome:Pop_tri_v4:15:11873657:11880259:1 gene:Potri.015G098400.v4.1 transcript:Potri.015G098400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098400.v4.1 MGRGKVELKRIENSASRQVTFSKRRNGLLKKAFELSILCEAEVSLIIFSPSGKFYQFSSHDMERSVARYRSEVGLPGTNDQRSRSLEFWRCEIEELRRTITKTEAQLRHFIGEDIAPLGLKELKQLERQLKTGVERIRSKKKRVISEHIKLLKSEQRALQEENARLQKRVRYSKLPP >Potri.006G117800.2.v4.1 pep chromosome:Pop_tri_v4:6:9243300:9248813:1 gene:Potri.006G117800.v4.1 transcript:Potri.006G117800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117800.v4.1 MDEITILQQIADGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTFIKYSDYRGLPIHKVKEICFNVLVGLDYLHRQLSIIHTDLKPENILLLTMIDPSKDPRKSGAPLILPNSKDKSALESGIARLNGDLSRNQKKKIRRKAKRAAQGCVEKEADADPETSALEELSANAKLNEGSTEEQPTSSENANRFSDVDRTRGTGLGNQGTKRGSRSNRQNLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADMWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYEFSEKDANEMTEFLIPILDFVPEKRPTAAQCLLHPWINAGPNVLEQSGQNQALESLNSEKKKREKDEREAMEIGLGNIAINVESKGVKDPTSSNVKLSQATTSSSSR >Potri.006G117800.1.v4.1 pep chromosome:Pop_tri_v4:6:9243333:9248824:1 gene:Potri.006G117800.v4.1 transcript:Potri.006G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117800.v4.1 MDEQRRQQQQQVKVEEEDRSSSSDSGDYTSEDEGTEDYRRGGYHAVRIGDSFKNGRYVVQSKLGWGHFSTVWLAWDTQISRYVALKVQKSAQHYTEAAMDEITILQQIADGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTFIKYSDYRGLPIHKVKEICFNVLVGLDYLHRQLSIIHTDLKPENILLLTMIDPSKDPRKSGAPLILPNSKDKSALESGIARLNGDLSRNQKKKIRRKAKRAAQGCVEKEADADPETSALEELSANAKLNEGSTEEQPTSSENANRFSDVDRTRGTGLGNQGTKRGSRSNRQNLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADMWSFACICFELATGDVLFDPHSGDNFDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLNKVLMEKYEFSEKDANEMTEFLIPILDFVPEKRPTAAQCLLHPWINAGPNVLEQSGQNQALESLNSEKKKREKDEREAMEIGLGNIAINVESKGVKDPTSSNVKLSQATTSSSSR >Potri.006G117800.4.v4.1 pep chromosome:Pop_tri_v4:6:9243685:9245573:1 gene:Potri.006G117800.v4.1 transcript:Potri.006G117800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117800.v4.1 MDEITILQQIADGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTFIKYSDYRGLPIHKVKEICFNVLVGLDYLHRQLSIIHTDLKPENILLLTMIDPSKDPRKSGAPLILPNSKDKSALESGIARLNGDLSRNQKKKIRRKAKRAAQGCVEKEADADPETSALEELSANAKLNEGSTEEQPTSSENANRFSDVDRTRGTGLGNQGTKRGSRSNRQNLLASVDLKCKLVDFGNACWTYKQFTNDIQTRQYRCPEVILGSKYSTSADMWSFACICFELATGDVLFDPHSGDNFDRDEVRISFRIVIIHGLSCNISCKYLLPLS >Potri.002G097800.2.v4.1 pep chromosome:Pop_tri_v4:2:7127766:7131425:1 gene:Potri.002G097800.v4.1 transcript:Potri.002G097800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097800.v4.1 MKFNCCSVLMGMKKKEKIDKQSSRTADFDTALKTLKIKLEHPVETFESDELKTTSFSVSVPFDVQKDSINVQVLSHESPVVDEAAEVAYEGEDEQEENVSLKRDLSDFDLQSHAANSGEVSFPRNVKLDSSHPLDTMGNEQYAKKAEKKVDEKGIHVIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETSKVLRKIAAQFPLTSQDSEELQGLAEKVRDPSSPTSVISRRSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKPWFVKPLQPAVSKLLSQQGGYSSDTLEPNRAMSKMQSPRSFTVKSMDKGHNNNEEDSQSWNSFHAGISGLWPQNQWVAFSVESSPFSRVDEWVKDLETHPSPLDAYDNNNDVRGDDDIVFPPSPDTGRSPRRAMTRPDFNLSVEILHANSVIQSLNSSSTVAHISGNGLKAIPTTSRFSSLRSVNLSNNFIVQITPGSLPKGLHTLNLSRNKINTIEGLRELTRLRVLDLSYNRISRIGQGLSNCTIIKELYLAGNKTSDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNISDDQLRKAICGLLSKLVYLNKQPIKPQRAREVLADSVARAALGTSSSRSYRRKAVKRVTSSSSISSMQRGSVGGAQKSRDRSKSRTHHLKTMSSAHASSSR >Potri.002G097800.3.v4.1 pep chromosome:Pop_tri_v4:2:7127766:7131241:1 gene:Potri.002G097800.v4.1 transcript:Potri.002G097800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097800.v4.1 MKFNCCSVLMGMKKKEKIDKQSSRTADFDTALKTLKIKLEHPVETFESDELKTTSFSVSVPFDVQKDSINVQVLSHESPVVDEAAEVAYEGEDEQEENVSLKRDLSDFDLQSHAANSGEVSFPRNVKLDSSHPLDTMGNEQYAKKAEKKVDEKGIHVIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETSKVLRKIAAQFPLTSQDSEELQGLAEKVRDPSSPTSVISRRSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKPWFVKPLQPAVSKLLSQQGGYSSDTLEPNRAMSKMQSPRSFTVKSMDKGHNNNEEDSQSWNSFHAGISGLWPQNQWVAFSVESSPFSRVDEWVKDLETHPSPLDAYDNNNDVRGDDDIVFPPSPDTGRSPRRAMTRPDFNLSVEILHANSVIQSLNSSSTVAHISGNGLKAIPTTSRFSSLRSVNLSNNFIVQITPGSLPKGLHTLNLSRNKINTIEGLRELTRLRVLDLSYNRISRIGQGLSNCTIIKELYLAGNKTSDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNISDDQLRKAICGLLSKLVYLNKQPIKPQRAREVLADSVARAALGTSSSRSYRRKAVKRVTSSSSISSMQRGSVGGAQKSRDRSKSRTHHLKTMSSAHASSSR >Potri.002G097800.4.v4.1 pep chromosome:Pop_tri_v4:2:7128186:7131427:1 gene:Potri.002G097800.v4.1 transcript:Potri.002G097800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097800.v4.1 MGNEQYAKKAEKKVDEKGIHVIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETSKVLRKIAAQFPLTSQDSEELQGLAEKVRDPSSPTSVISRRSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKPWFVKPLQPAVSKLLSQQGGYSSDTLEPNRAMSKMQSPRSFTVKSMDKGHNNNEEDSQSWNSFHAGISGLWPQNQWVAFSVESSPFSRVDEWVKDLETHPSPLDAYDNNNDVRGDDDIVFPPSPDTGRSPRRAMTRPDFNLSVEILHANSVIQSLNSSSTVAHISGNGLKAIPTTSRFSSLRSVNLSNNFIVQITPGSLPKGLHTLNLSRNKINTIEGLRELTRLRVLDLSYNRISRIGQGLSNCTIIKELYLAGNKTSDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNISDDQLRKAICGLLSKLVYLNKQPIKPQRAREVLADSVARAALGTSSSRSYRRKAVKRVTSSSSISSMQRGSVGGAQKSRDRSKSRTHHLKTMSSAHASSSR >Potri.002G097800.1.v4.1 pep chromosome:Pop_tri_v4:2:7127598:7131380:1 gene:Potri.002G097800.v4.1 transcript:Potri.002G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097800.v4.1 MKFNCCSVLMGMKKKEKIDKQSSRTADFDTALKTLKIKLEHPVETFESDELKTTSFSVSVPFDVQKDSINVQVLSHESPVVDEAAEVAYEGEDEQEENVSLKRDLSDFDLQSHAANSGEVSFPRNVKLDSSHPLDTMGNEQYAKKAEKKVDEKGIHVIQSGHVSDPGIGKAEFWGSPKLKRSCSNLETSKVLRKIAAQFPLTSQDSEELQGLAEKVRDPSSPTSVISRRSADRVMLKKHSSSQVLPSRSRRLWWKLFLWSHRNLHKPWFVKPLQPAVSKLLSQQGGYSSDTLEPNRAMSKMQSPRSFTVKSMDKGHNNNEEDSQSWNSFHAGISGLWPQNQWVAFSVESSPFSRVDEWVKDLETHPSPLDAYDNNNDVRGDDDIVFPPSPDTGRSPRRAMTRPDFNLSVEILHANSVIQSLNSSSTVAHISGNGLKAIPTTSRFSSLRSVNLSNNFIVQITPGSLPKGLHTLNLSRNKINTIEGLRELTRLRVLDLSYNRISRIGQGLSNCTIIKELYLAGNKTSDVEGLHRLLKLTVLDLSFNKITTTKALGQLVANYNSLQALNLLGNPIQSNISDDQLRKAICGLLSKLVYLNKQPIKPQRAREVLADSVARAALGTSSSRSYRRKAVKRVTSSSSISSMQRGSVGGAQKSRDRSKSRTHHLKTMSSAHASSSR >Potri.001G337600.1.v4.1 pep chromosome:Pop_tri_v4:1:34615260:34623657:1 gene:Potri.001G337600.v4.1 transcript:Potri.001G337600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337600.v4.1 MTMDREKEREIELESAMYTNCLLLGLDSSIIGLGPSSNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVLKATRLWDSILARKGQHEVLASGPIEDLIAHREHRYRISGSSLLSAMDQSSQVSYSDEHSDDKEHSDESYVNGNREKSKNSLDSSHLQVNDEMHSRVDDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLLLAKANDGEGPDILRSALDGGTSGHAESLAATLAEHQQHLSSFQGLIDQLNEVVPTIQNSISACTEKVNNISSSQPPMAKHRGTSPIQAQSSGRTLETSSDNVAEVTSKISTVQLDKVSASPPALKLPQLFSLTPNSSGKGTNLQKRQMLASQTIQMENLSERNSLDQPLSNNRLDNPPQDGDNFVQNLKRSVREAALSMQSCNSESSHDSQSDESSEHFFLPLSSPGFSFVPEKKAVSTRSKRFSVSQMNSDLIEKHARDGHAGSKYKELPEILNDLGSLTDYDHVNGFLTAAGSNGAISDGQSLFNDIEEPRDQVFSPPFLLDTSLVPDLYEDLLAPLSETETALMEL >Potri.001G337600.2.v4.1 pep chromosome:Pop_tri_v4:1:34615260:34625209:1 gene:Potri.001G337600.v4.1 transcript:Potri.001G337600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337600.v4.1 MTMDREKEREIELESAMYTNCLLLGLDSSIIGLGPSSNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVLKATRLWDSILARKGQHEVLASGPIEDLIAHREHRYRISGSSLLSAMDQSSQVSYSDEHSDDKEHSDESYVNGNREKSKNSLDSSHLQVNDEMHSRVDDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLLLAKANDGEGPDILRSALDGGTSGHAESLAATLAEHQQHLSSFQGLIDQLNEVVPTIQNSISACTEKVNNISSSQPPMAKHRGTSPIQAQSSGRTLETSSDNVAEVTSKISTVQLDKVSASPPALKLPQLFSLTPNSSGKGTNLQKRQMLASQTIQMENLSERNSLDQPLSNNRLDNPPQDGDNFVQNLKRSVREAALSMQSCNSESSHDSQSDESSEHFFLPLSSPGFSFVPEKKAVSTRSKRFSVSQMNSDLIEKHARDGHAGSKYKELPEILNDLGSLTDYDHVNGFLTAAGSNGAISDGQSLFNDIEEPRDQVFSPPFLLDTSLVPDLYEDLLAPLSETETALMEL >Potri.001G337600.3.v4.1 pep chromosome:Pop_tri_v4:1:34615258:34623667:1 gene:Potri.001G337600.v4.1 transcript:Potri.001G337600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337600.v4.1 MTMDREKEREIELESAMYTNCLLLGLDSSIIGLGPSSNGTPRVGLFRHSNPKLGEQLLYFILSSLRGPAQSAKDFDKVWPIFDSAQSRDFRKVVQGIISELESQGALPRSNSRVSSLATCCGPRFVELLWQLSLHALREVHRRTFAADVASNPLPASLTDVAFQHAATLLPVTKARIALERRRFLKNAETAVQRQAMWSNLAHEMTAEFRGLCAEEAYLQQELEKLHDLRNKVKLEGELWDDLVSSSSQNSHLVLKATRLWDSILARKGQHEVLASGPIEDLIAHREHRYRISGSSLLSAMDQSSQVSYSDEHSDDKEHSDESYVNGNREKSKNSLDSSHLQVNDEMHSRVDDRGGRVQPTVDVAEIIRRWTHALQRIHKQSLLLAKANDGEGPDILRSALDGGTSGHAESLAATLAEHQQHLSSFQGLIDQLNEVVPTIQNSISACTEKVNNISSSQPPMAKHRGTSPIQAQSSGRTLETSSDNVAEVTSKISTVQLDKVSASPPALKLPQLFSLTPNSSGKGTNLQKRQMLASQTIQMENLSERNSLDQPLSNNRLDNPPQDGDNFVQNLKRSVREAALSMQSCNSESSHDSQSDESSEHFFLPLSSPGFSFVPEKKAVSTRSKRFSVSQMNSDLIEKHARDGHAGSKYKELPEILNDLGSLTDYDHVNGFLTAAGSNGAISDGQSLFNDIEEPRDQVFSPPFLLDTSLVPDLYEDLLAPLSETETALMEL >Potri.007G122401.1.v4.1 pep chromosome:Pop_tri_v4:7:13970406:13972153:-1 gene:Potri.007G122401.v4.1 transcript:Potri.007G122401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122401.v4.1 MAIQKLFAVCFLQLVFAFLLAGLTNAGGLQLGFYQRACPDAELIVHQTLYRYVSRDRTLAAPLLRMHFHDCFIRGCEGSVLLSSTKNNQAEKDAIPNKTLRGFNVIDAVKSALEKKCPGVVSCADILALVARDAVLMIGGPRWDVPTGRRDGRVSIANEALFNLPSPFANITVLKQQFAATGLSVKDLAVLSGGHTIGIGHCTIISNRLYNFTGKGDTDPSLDPRYAAQLKNKCKPGNSNTVVEMDPGSFKSFDEDYYNIVAKRRGLFRSDAALLDDAETRGYVKFQSMTQGSTFAQDFAESMVKMGYIGVLTGEQGEIRKHCAVVN >Potri.001G146000.3.v4.1 pep chromosome:Pop_tri_v4:1:12051018:12058264:-1 gene:Potri.001G146000.v4.1 transcript:Potri.001G146000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146000.v4.1 MEDQKTDCSSIISDMGAKGLVCHYQFEEGSSQFVFLDIDKETGGASINNSLNFSTSTYLHFISSSNGLLLLSSFGENQLNYHVFNPFTKQSVTLPPHGITRQVIRSGLAFDGKQYQVMLVHAFKDEENGLGPLPDDIELEIFSSETGAWRNHQPLSLSLNVEVPVCEFPQLNATPLFSNGAIHWEISGRLLVYHVKDDYCEVIELPNVFEDWSWQSTMTYRRCLWESEGRVHYTYTDFDGVHTWNLLKEYEHDVYSHNNVYDSKKFRWALVYTIDHKELAKQDPDILYLGNQWEPHNISPFAYVEDSETMYLQLPGIVVAYNTKNRVLQKVCKYKFPGIDFNCCFFFPFIHSNECHQKNASKSLQVGEVVDLPIEKEVNSFSF >Potri.001G146000.4.v4.1 pep chromosome:Pop_tri_v4:1:12050895:12052254:-1 gene:Potri.001G146000.v4.1 transcript:Potri.001G146000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146000.v4.1 MEDQKTDCSSIISDMGAKGLVCHYQFEEGSSQFVFLDIDKETGGASINNSLNFSTSTYLHFISSSNGLLLLSSFGENQLNYHVFNPFTKQSVTLPPHGITRQVIRSGLAFDGKQYQVMLVHAFKDEENGLGPLPDDIELEIFSSETGAWRNHQPLSLSLNVEVPVCEFPQLNATPLFSNGAIHWEISGRLLVYHVKDDYCEVIELPNVFEDWSWQSTMTYRRCLWESEGRVHYTYTDFDGVHTWNLLKEYEHDVYSHNNVYDSKKFRWALVYTIDHKELAKQDPDILYLGNQWEPHNISPFAYVEDSETMYLQLPGIVVAYNTKNRVLQKVCKYKFPGIDFNCCFFFPFIHSNECHQKNASKSLQVGEVVDLPIEKEVNSFSF >Potri.017G129900.2.v4.1 pep chromosome:Pop_tri_v4:17:13290018:13294845:1 gene:Potri.017G129900.v4.1 transcript:Potri.017G129900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129900.v4.1 MAATVDMSLDDIIKKNRERGRGRGRASRGRGRGRGPVRSFNNGRMSGAVRRGPLSVNTWPSKYSIAKPSRRVRSLPWQHDLLEDSIRAAGITGVEVGTKLYVSNLDYGVTNEDIRELFAEVGDLKRYAVHYDKDGRSSGSAEVMYTRRSDAFAALKKYNNVLLDGKPMKIEIVGPNPVVPISARMNVTGANGKKKRTVVTTPGLGGGRGAGANNRGSGQSRRGGGDLRNGTRNGRGQAPGRGQAPGRGQAPGRGRGRGKKQPVEKSVDELDKELESYHAEAMQT >Potri.017G129900.4.v4.1 pep chromosome:Pop_tri_v4:17:13290023:13294830:1 gene:Potri.017G129900.v4.1 transcript:Potri.017G129900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129900.v4.1 MAATVDMSLDDIIKKNRERGRGRGRASRGRGRGRGPVRSFNNGRMSGAVRRGPLSVNTWPSKYSIAKPSRRVRSLPWQHDLLEDSIRAAGITGVEVGTKLYVSNLDYGVTNEDIRELFAEVGDLKRYAVHYDKDGRSSGSAEVMYTRRSDAFAALKKYNNVLLDGKPMKIEIVGPNPVVPISARMNVTGANGKKKRTVVTTPGLGGGRGAGANNRGSGQSRRGGGDLRNGTRNGRGQAPGRGQAPGRGQAPGRGRGRGKKQPVEKSVDELDKELESYHAEAMQT >Potri.001G472700.1.v4.1 pep chromosome:Pop_tri_v4:1:49568221:49574641:-1 gene:Potri.001G472700.v4.1 transcript:Potri.001G472700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472700.v4.1 MLRETMEDGDQKHLLLKSNSSITQQHDDHDQQPLVLVVDNNDLEAGGAGGAGGGGFNRSSSDNSRGGHKKTAAIKDLIRGFSGRRPSSSLNNNNLHYNHLDDEDDDTPSISDHDHRKNRNYDHRVKNINDHDDDDVLENGATPEWALLLIGCLLGLASGLCVAAFNKGVHLIHEWAWAGTPNEGAAWLRLQRLSDTWHRILLIPVAGGVIVGMMHGLVEILEQIRQNLSSHRKGFDLVAGVFPTVKAIQAAVTLGTGCSLGPEGPSVDIGKSCAHGFSLMMANNRERMNTLIAAGAAAGISSGFNAPVAGCFFAIETVLRPLHAENSPPFTTAMILLASVISSTVSNTLLGTQSAFTVPSYDLKSAAELPLYLILGMLCGVVSVAFTRLVTWFTKSFEFIKEKFGLHPVACPALGGLGAGIIALKYPGILYWGFTNVEEILHTGKSASAPGIWLLTQLAAAKVVATALCKGSLLVGGLYAPSLMIGAAVGAVFGGSAAELINSAIPGNAAVAQPQAYALVGMAATLASVCSVPLTSILLLFELTKDYRIILPLMGAVGLAIWVPSVADHGKENEKPGAHSLARGYSSLSNDTDDEAINEDLLAENLKVSKAMSKNYAKVSLSLTLKEAIKYMHDCKQNCLLVVDDEDLLEGILTYGDIRRLSKTSSDASTGDSTIIDVNTCLVSTVCTREIRYRGQVRGLLTCYPDTDLAIAKDLMEAKGIKQLPVVKRSGGSQKDWKRRVVAILHYDSIWNCLREEIARRGRVHQNRKEDDIEMIENGH >Potri.013G108100.3.v4.1 pep chromosome:Pop_tri_v4:13:11688326:11689475:1 gene:Potri.013G108100.v4.1 transcript:Potri.013G108100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108100.v4.1 MTKLYLLCGHNLICAPALTIHPGFRPRICNMCQEKKVRTVAVFAAKSGGFSLNSILNGCKTCGGKGAMECAGCKGTGKNKKNGNIFERWKCFDCQGFGLKSCPSCGKGGLTPEQRGER >Potri.011G134900.1.v4.1 pep chromosome:Pop_tri_v4:11:16755466:16758545:1 gene:Potri.011G134900.v4.1 transcript:Potri.011G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MATMATTLTSLSTKSQKLSPFDTSSSFHGTPISKPTLRMQPTKSSSSPNVSISMSSPPYDLNAFKFEPIKESIVSREMTRRYMMDMITHADTDVVIVGAGSAGLSCAYELSKNPSVKIAIVEQSVSPGGGAWLGGQLFSAMVVRKPAHLFLNELGIEYDEQEDYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKEGRVGGVVTNWALVSMNHDTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNAAEDAIVKLTREVVPGMIVTGMEVAEIDGSPRMGPTFGAMMISGQKAAHLALKSLGMPNALDGTFVGGIHPELILAAVESAEIAET >Potri.004G093400.2.v4.1 pep chromosome:Pop_tri_v4:4:7936462:7938462:-1 gene:Potri.004G093400.v4.1 transcript:Potri.004G093400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093400.v4.1 MAGGLETLAGQAYGAKQYQKLGTYTYSAIISLIIMCPPICVLWIFIGKLLPLLGQDTSISQEACKYSMWLIPALFGGAVLKPLTRYLQTQSVILPMLITSSFILCFHTISCWTLVYKLQLGQKGAAIAYSLSTWLNVILLGLYVKFSSACEKTRAPLSREALYGIREFFQLGVPSAIMVCLKWWSMELLILLSGLFKNPKLETSVLSICLTISTLHFTIPYGFGAAASTRVSNELGAGNPQLARMAVLVALFLAGIESVIVSSGLFLSRQVLGYAYSNDRQVVRYISVMTPLICLSFIMDSLQAVLSGVARGSGWQKIGAYINLGSFYLVGLPLAAVLGFVAHLRGKGLWIGILAGSFVQSVLLSIVTACTDWNKQATKARERVFERRSSMKDEDK >Potri.004G093400.3.v4.1 pep chromosome:Pop_tri_v4:4:7936462:7940993:-1 gene:Potri.004G093400.v4.1 transcript:Potri.004G093400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093400.v4.1 MEGEGKREERKWAITWEGFVQELKKAGYLAAPMVAVSVLQYLLQVVSVIIVGHLGALALSSAAIATSITNVTGFSLLSGMAGGLETLAGQAYGAKQYQKLGTYTYSAIISLIIMCPPICVLWIFIGKLLPLLGQDTSISQEACKYSMWLIPALFGGAVLKPLTRYLQTQSVILPMLITSSFILCFHTISCWTLVYKLQLGQKGAAIAYSLSTWLNVILLGLYVKFSSACEKTRAPLSREALYGIREFFQLGVPSAIMVCLKWWSMELLILLSGLFKNPKLETSVLSICLTISTLHFTIPYGFGAAARFHGIFVVHEYRMN >Potri.004G093400.1.v4.1 pep chromosome:Pop_tri_v4:4:7936462:7940993:-1 gene:Potri.004G093400.v4.1 transcript:Potri.004G093400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093400.v4.1 MEGEGKREERKWAITWEGFVQELKKAGYLAAPMVAVSVLQYLLQVVSVIIVGHLGALALSSAAIATSITNVTGFSLLSGMAGGLETLAGQAYGAKQYQKLGTYTYSAIISLIIMCPPICVLWIFIGKLLPLLGQDTSISQEACKYSMWLIPALFGGAVLKPLTRYLQTQSVILPMLITSSFILCFHTISCWTLVYKLQLGQKGAAIAYSLSTWLNVILLGLYVKFSSACEKTRAPLSREALYGIREFFQLGVPSAIMVCLKWWSMELLILLSGLFKNPKLETSVLSICLTISTLHFTIPYGFGAAASTRVSNELGAGNPQLARMAVLVALFLAGIESVIVSSGLFLSRQVLGYAYSNDRQVVRYISVMTPLICLSFIMDSLQAVLSGVARGSGWQKIGAYINLGSFYLVGLPLAAVLGFVAHLRGKGLWIGILAGSFVQSVLLSIVTACTDWNKQATKARERVFERRSSMKDEDK >Potri.014G195400.1.v4.1 pep chromosome:Pop_tri_v4:14:17471521:17477503:-1 gene:Potri.014G195400.v4.1 transcript:Potri.014G195400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195400.v4.1 MESSSTATRFRFRESENSNDSDSSSSSIYSDNGEHDSNLHSMAGKGIKRLCAELLEIQALSDDDFHQNIFSNYSTFLGVFEEVKDMEKELIKLKTQVSTQKGLVKELIDGVYLKLLSEETMESIIEESEMDEPPPSNQLEVHIDDILEILDTLLSENRIDEAIAILETEEENFKRVEVELGDVPSDVLMLYKSVISERKAMLTLESTLVAENPRISAPELQKALVGICRLGESHLATQLLLRYCHSRIAHGIHDLQNSKVFLHGVYIRELSRLVFSMISQATRSFMKLYGEASPFSSEFIQWVYEEIEVFAVSFARYVISVSEVSSRLSTAVESVQFALSYCSLLESQRLVLRPCLIEHVRPCMEDVLLIHVDHFKKVIGIFTATDAWVLGRYLLSGILNESCSSNVIGERPEYCLLTSSGRKFVTVLQAITGDVTPLIALQLEDSILRGLMNLFSEYIAILERAITSKTNDSGIILAETVPQQVSILANLSTLENLFSSTILSVFGSNNPIDSRLMKNQSVGFHQQELESRVLFVQDASARLKAHFFQQFVCRMMSPEIGCKLTPQKCMDSEVDPGLVHDLVPSVAFQVLFLELRKLGKLTDEDVFEMDWLMELMRELIEAIFVWISNDKEIWGNIEEKLNLEHPDIRNQFVLDMHFLAEIIRFGDYFSTNPSVPATLMKSVFDSAGLDPTRDADDGWIMKAAIEAIERLVKIEETESPSDDELVGIPVEEPPENHSEHASETVNDDGTYFSEDSLMLEENAATTGALEVSIGKGNANLNAELNHAGQSPDLLKDKGSINNGTTCLTDVFGNMEDVESGKAAYDKFHFDQETILPHLLFSETVNEASEVGLPTESKEMMLPGSEVVSGEDPASLQGHDSIPAAFFLNSRTDSVKNK >Potri.002G174500.3.v4.1 pep chromosome:Pop_tri_v4:2:13444956:13446656:1 gene:Potri.002G174500.v4.1 transcript:Potri.002G174500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174500.v4.1 MQREEQEIQRMDGFEHQAPSFFICPISLQVMKDPVTISTGMTFDRESIQKWLFSYKKIICPVTKQPLSDFRLTPNSNLLRLIQSWHLQHASSSTTKFVEPEPNNQDALMKVLLEEIKQPHLQVKSLRKIKSLIQENRGDSSRITCIRDDSLFSLVASLVVKTELVPGVPQITGNDTPEIINEAVSSLCLLRPSDETLKMVSQNENGLLIESLCLIITQYLSNLQIRIQAALLLKSIFEVVDGIYKEGLRVEFFESITEILKDQISKHGSLTVLATLMEVLSYGKNKEKAIEGGLIPILIELLAEDNERHVCELMLAVLEKLCQKAEGRAAFLAHPAGIAVVSSKILKVSHVGDDKSISLLSSVLRFCISRGEVAQEFMEVGGVTKICLVIESGCNLKTKEKAREILGFHLKTWNKTPCFPSLFKA >Potri.012G140400.2.v4.1 pep chromosome:Pop_tri_v4:12:15257743:15259699:-1 gene:Potri.012G140400.v4.1 transcript:Potri.012G140400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140400.v4.1 MPCNLELKISKTLPKCTFQHLKQIHALIITCSLSKNIKIFSKFLRRTTEFGRMDYSNLIFSQMGHDSSAEIVIWNAMIRGYAFNGPFQECIRMFDEMPQRGLKPHNFTYPYVINSCCELEWYGRGKRVHCEIVKSGFESSYAVANSLFNMYLKMPASFDVGLASNCKLDYARKIFDDMCVRPVELWNQMIGKYVNIGDVKSARELFDIMPERDIVSWNSMILGYAKGGKVANARGLFEKMPEKNVISWTSMIGAYADTDDLETARSFFETMPQRNVVSWNSMISSYAKHGKFVESLNLFVQMQSEGVTPDGYTFVSVLSACSNLGDLEFGKYIHYLSGDLSQSEVMVGTALTEMYAQCGDVDKAFAVFIKIGKRDVFCWNVIIKALALHGRSEEAIKIFLLMRKTGLKPNDFTFTSALFACSHGGLVEEGHIIFNSMEKDYKIIPKITHYGCLIDMLCRNGQLEEAMLLVEDMPFQPDVAIWGALLGGCRVTGDLKLAEKVVEKATEMETNESGVYVLLSNIHASAGQWIEAADARKKMDEKKISKKTGSSVV >Potri.018G099700.1.v4.1 pep chromosome:Pop_tri_v4:18:12016306:12018683:-1 gene:Potri.018G099700.v4.1 transcript:Potri.018G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099700.v4.1 MENKQVSFLKTCINGINALSGIGILSIPYALSAGGWLSLILLILIAAAACFTGLLMRRCMDRNPNVTSYSDIASHAFGRRGKLVASFFTSLELYFVATGFLIMEGDSLHKLSPNFALKLGSLSIDGRHSFVILSGILILPTMWLSNLGVMSYVSACGVLSSLVVVVCVLCVGVTKGVGFHGKGSLINLQGVPTALSLYAFCYGAHALFPSIYNSMRKKNQFSKVMFVSFVICTITNLSMAVLGYLIYGQNVQSQVTLNLPTQKLSSKIAIYSILAGPIAKYALTITPIATAIESVLPDRYQDSKSIGILVRMSLLISTVVMAMVFPSFQSLTSLSGAALIVIVSFFLPCACYLKIFKVYQKWGIELAGILTIMLMSVVVGAVGTYSSIAQTVKHN >Potri.009G046600.1.v4.1 pep chromosome:Pop_tri_v4:9:5229895:5241617:1 gene:Potri.009G046600.v4.1 transcript:Potri.009G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046600.v4.1 MEQPFTVCIFCIRTFMHRVLEPPDDVKKLFQDYSQNGTMSKDDLLKFLSKVQGQNNAKEEDAEAIFNSLKHLNIFPRKGLNLEAFYRYLLGDLNTPLSPCVHQDMTAPLAHYFMYTGHNSYLSGNQLSSKSGVRPIKKALQNGVRVIELDLWPARNNDVVVRHGGTLTTSVKLLRCLRAIKEFAFQVSEYPVVITFEDHLTANLQDKVAKMVTKTFGDMLYRPETDELEKLSPESLKKKILISTKPPREYLETQDSNTPHESKKSSEEQGGDEKLHSSRINLFSCSSMCFAKQDQIDEGEQLQGEDEEMTIPKYRDLIAIPSGKPEGGLEEWLRIDEKEVKRLSLSEQELEKATRTYGKDIVSFTKNNLLRVYPKGTRLDSSNYDPFVGWKHGAQMVAFNMQGYGNHLRIMQGMFRANGGCGYVKKPDFLLRENDDFDPSVPSTVYKILKVKIYSGEGWHLDFRHTHFDQYSPPDFLVKVGIVGVHASETSRTQVVKNSWLPEWNEEFKFEVPAPELSVLRIEVNDDDKYGSHDFAGQTYLPISELRTGIRAVPLHDRTGVRYKSARLLMRFDLKDARECSE >Potri.015G077800.1.v4.1 pep chromosome:Pop_tri_v4:15:10422036:10423188:1 gene:Potri.015G077800.v4.1 transcript:Potri.015G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077800.v4.1 MATKSQKTATRREKFMKKINEGNKETQAVSFSKRKPTLKKKVEELKTLCAVTICMVCFGPDGTVETYPENAAEVKREIGFYKGLHAMQKREFNLLGYLENVKGKLGLKRQKVKRKKLEALAESFSNQIEGLSGDAFLHFIETLEKKLMGLRGKIGDLLSIRGDKGQANAHGNDNTDHALVPCDRAVPEPIDANNRIFMVENMCANPIVDEDLAEYFWDSELLKLAGFECQPITPEQ >Potri.019G014370.1.v4.1 pep chromosome:Pop_tri_v4:19:2295968:2299443:1 gene:Potri.019G014370.v4.1 transcript:Potri.019G014370.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014370.v4.1 MCGGHKRQKITASAVNVNDCGISTCLQEQNIENENTGGGIGRVQREVQVVEPGVVEERISSHAIAGNDVVSMTGMGAQEDRVSEGAVESRLRTEPVDRALEQSNAVLGNMAGGAGRIHVGVQGMEQGPGENRIQLHLQAENGMENTGEGSFQHDAFENVLRTEQVQLLEPQGDSSQFCLDIGRCDDQPCAPSVNDDVNRHDALDMVRVRTEPVEDDDVENSGRSGVQAGAGARSSESLKYNKTRGVPLHTSSTKPVGQAFEENKEVIWSLLMDGKVPIIGIYGMGGVGKTTIILHIYNELLRRPDIYDHVWWVSVSQDFTINRLQNLIAKRLDLKLSSEDDDRHRAAKLSEELRKKQKWILILDDLWNNFEPDNVGIPVSLKGCKLIMTTRSKIICNQMAFQHKIKVKPLSEGEAWNLFMEKLGRDIALSLEVEGIARDIARECAGLPLGIITVAGSLMGVDDLHEWRNTLKKLRESEFRDMDEKVFQVLRVSYDRLGDLALQQCLLYCSLFPEDHVIERVELIDYLIDEGIIKGKRRREDAFDEGHTMLNRLENVCLLESVKMEYDGSRGVKMHDLMRDMAIHILQENLQYMVKAGAQLKELPDAEEWTENLTIISLMQNEIVEIPSSHSPMCPNLSTLLLCQNLCLRIIADSFFKQLHGLKVLDLSSTAIKRLPDSVSDLVSLTALLLIECYNLRHVPSLKNLRALKRLDLSHTSLKKMPQGMDCLSNLRYLRMNGCGEKKFPNRILPKLSHLQVFVLEEVFEGSYAPITVEGKEVGSLRNLETLQCHFEGLPDFVEYLRFRDVTQSVSTCKILVGILDELLYYGITECPFPSKTVGLGYMSINKDRDFQVKFLNDIQGLVCHSIDARNLCDVLSLENAPELEVIQIWDCNSMEILVSSSWFCSAPPSLPSYNGMFSGLKEFYCHGCKSMKKLFPLVLLPNLVNLERIEVMDCEKMEEIIGTADEESSTSNSITEFILPKLRTLKLSELPELKSICNAKLICNSLEDITIMFCVELKRMAISLPLLENGQPSPPPSLEEIIVYPEEWWETVVEWEHPNAKDVLRPFVELGLASSP >Potri.001G283200.1.v4.1 pep chromosome:Pop_tri_v4:1:29667495:29674347:-1 gene:Potri.001G283200.v4.1 transcript:Potri.001G283200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283200.v4.1 MSLIFGRATYYKPMQLWDEASGNLTDFTTHFSFSIDSQGRRAYGDGLAFFLAPEGSKLPPNLSQGRSLGLTRNDQQLNTTDNHFVAVEFDIYKNYFDPPGEHVGIDINSMQSVNNITWLCNISGGIKTDAWISYSSSTHNLSVAFTGYRNNTVEMQFLSQIVSLRDYLPERVSFGFSASTGSASALHTLYSWDFSSRLEIDDNVTNPIDPDTNTLDPAAASPPNGGSRRNRKKNRTGLAVGLGVGGGAIVVGAALVGFVIKFMCGHEEDEEGGHVVEEYMDEEFERGTGPKKFSYQELARATSNFKDEEKLGEGGFGGVYKGFLKEIDSFVAVKRVSRGSKQGIKEYAAEVKIISRLRHRNLVQLIGWCHERKELLLVYEFMSHGSLDSHLFKETSLLTWEVRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIILDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECAMTGKASRESDVYSFGIVALEIACGRKPINPKASNEDRVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIVGLSCAHPDEHLRPSIRQALHVLNFDAPLPILPSKMPVPSYFAPPISASSLSIMSYGLNRF >Potri.014G148300.1.v4.1 pep chromosome:Pop_tri_v4:14:10177315:10180295:-1 gene:Potri.014G148300.v4.1 transcript:Potri.014G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148300.v4.1 MLILSSPLQSQSFALWCQISRHSNSLPPFLNQKKKQSKTNDTPLFLRNHAHTKSTKNDIDFDFQNDFPSSSHNPSREEKDYDKDPEFADILGSCLDDPLKARSKMEERLRRKKNKILHAKTGSAKPMKVTFNKFGFSNSYIWFEFYNAPLENDVSLICDTFRSWHIVGRLGGCNSMNMQLSQSTFEKRPNYDAIQGANVTPATFYNIGDFEIQDNLARIWVDIGTAEPLLLDTLINALTQISSDYVGIKELVFGGSEFENWKENLTSEYAGYSVHKI >Potri.002G085700.2.v4.1 pep chromosome:Pop_tri_v4:2:6122398:6123322:1 gene:Potri.002G085700.v4.1 transcript:Potri.002G085700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085700.v4.1 MDSNQTEYFLLSTYYSRTMGFTKDQLLARLKELQIDFSQYEHPTVSTVEAQEKYVGDKGGGLCKILFLKTRKVGIILFPLWWIRK >Potri.017G098166.1.v4.1 pep chromosome:Pop_tri_v4:17:10896230:10896993:-1 gene:Potri.017G098166.v4.1 transcript:Potri.017G098166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098166.v4.1 MYFRLVFTITNAVITSSRLGFKRCKASFSLWSPPCYNDCRKCLLSNTHIFINYRN >Potri.008G200500.4.v4.1 pep chromosome:Pop_tri_v4:8:14231154:14234577:-1 gene:Potri.008G200500.v4.1 transcript:Potri.008G200500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200500.v4.1 MDRGVSAEDGSIRLPVDELRRLSESYGSATIFEPQSGIENRDSGNGDFLSPTLPAVRAPEKKLTLFALRVAIFEKAATGLGALGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRICGRSHELEWQHQATWSIADAGIKSFWALRSSSHFIVEIVKALFRSITRVRKQSPHGREIRENPDAARNWDGRRKLSRTWTSSDVPILPYAQWVFLSRNVSKVLYWLQLASASACVVLSLMKLIKHNYGEIEKGDTDKRNLKAALDIFYSLALAEALLFLMEKAYWEWKVSYCKLLEEVRNECDLGLSGSVSIRRFLYDAYSRCVEGSIFDGLKMDMVTFATDLLASNSADEQLIGARVLCQFTMNPRFSDDTLQKIGTNISVIERLVEILNWKDPQEEEIRRSAAEILSKLAGKKQNSLRVAGIPGALESISSLLQTNRTFSTTADEIGEKTTIRDHARYRFWTFNQLGLLILKKLARDLDNCGKIGNTRGLLPKIIDFTHAEERLLKDENVTPSQILTVKSSLQLVKMLASTIGTTGNHLRREISEMVFTVSNIRDILRHGEKHPMLQKLGIEILTSLALEEDATERIGGAGGVLKELFNIFFSQRIPENQNHVRIAAGEALAMLALESRRNCLRILKLRVLERLVGALEVPLLRVNAARILRNLCTYSGADCFDQLKGITAAVPTVLNAVMSEENKLQEVMVGLAAEAFKFMTSQESNTMFNRAGIKEAELANKILQILRRYQNPSVKVPRIRRFSIELAIWMMQNNAANVRTFKDLGLEKELEWVLESTAEVESFNIFSGTFGLSRHSTTIHSLVETAMQLLEDR >Potri.008G200500.3.v4.1 pep chromosome:Pop_tri_v4:8:14231205:14234608:-1 gene:Potri.008G200500.v4.1 transcript:Potri.008G200500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200500.v4.1 MDRGVSAEDGSIRLPVDELRRLSESYGSATIFEPQSGIENRDSGNGDFLSPTLPAVRAPEKKLTLFALRVAIFEKAATGLGALGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRICGRSHELEWQHQATWSIADAGIKSFWALRSSSHFIVEIVKALFRSITRVRKQSPHGREIRENPDAARNWDGRRKLSRTWTSSDVPILPYAQWVFLSRNVSKVLYWLQLASASACVVLSLMKLIKHNYGEIEKGDTDKRNLKAALDIFYSLALAEALLFLMEKAYWEWKVSYCKLLEEVRNECDLGLSGSVSIRRFLYDAYSRCVEGSIFDGLKMDMVTFATDLLASNSADEQLIGARVLCQFTMNPRFSDDTLQKIGTNISVIERLVEILNWKDPQEEEIRRSAAEILSKLAGKKQNSLRVAGIPGALESISSLLQTNRTFSTTADEIGEKTTIRDHARYRFWTFNQLGLLILKKLARDLDNCGKIGNTRGLLPKIIDFTHAEERLLKDENVTPSQILTVKSSLQLVKMLASTIGTTGNHLRREISEMVFTVSNIRDILRHGEKHPMLQKLGIEILTSLALEEDATERIGGAGGVLKELFNIFFSQRIPENQNHVRIAAGEALAMLALESRRNCLRILKLRVLERLVGALEVPLLRVNAARILRNLCTYSGADCFDQLKGITAAVPTVLNAVMSEENKLQEVMVGLAAEAFKFMTSQESNTMFNRAGIKEAELANKILQILRRYQNPSVKVPRIRRFSIELAIWMMQNNAANVRTFKDLGLEKELEWVLESTAEVESFNIFSGTFGLSRHSTTIHSLVETAMQLLEDR >Potri.008G200500.2.v4.1 pep chromosome:Pop_tri_v4:8:14231154:14234680:-1 gene:Potri.008G200500.v4.1 transcript:Potri.008G200500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200500.v4.1 MDRGVSAEDGSIRLPVDELRRLSESYGSATIFEPQSGIENRDSGNGDFLSPTLPAVRAPEKKLTLFALRVAIFEKAATGLGALGFIWATVVLLGGFAITLDKTDFWFITIILLIEGTRICGRSHELEWQHQATWSIADAGIKSFWALRSSSHFIVEIVKALFRSITRVRKQSPHGREIRENPDAARNWDGRRKLSRTWTSSDVPILPYAQWVFLSRNVSKVLYWLQLASASACVVLSLMKLIKHNYGEIEKGDTDKRNLKAALDIFYSLALAEALLFLMEKAYWEWKVSYCKLLEEVRNECDLGLSGSVSIRRFLYDAYSRCVEGSIFDGLKMDMVTFATDLLASNSADEQLIGARVLCQFTMNPRFSDDTLQKIGTNISVIERLVEILNWKDPQEEEIRRSAAEILSKLAGKKQNSLRVAGIPGALESISSLLQTNRTFSTTADEIGEKTTIRDHARYRFWTFNQLGLLILKKLARDLDNCGKIGNTRGLLPKIIDFTHAEERLLKDENVTPSQILTVKSSLQLVKMLASTIGTTGNHLRREISEMVFTVSNIRDILRHGEKHPMLQKLGIEILTSLALEEDATERIGGAGGVLKELFNIFFSQRIPENQNHVRIAAGEALAMLALESRRNCLRILKLRVLERLVGALEVPLLRVNAARILRNLCTYSGADCFDQLKGITAAVPTVLNAVMSEENKLQEVMVGLAAEAFKFMTSQESNTMFNRAGIKEAELANKILQILRRYQNPSVKVPRIRRFSIELAIWMMQNNAANVRTFKDLGLEKELEWVLESTAEVESFNIFSGTFGLSRHSTTIHSLVETAMQLLEDR >Potri.013G145600.2.v4.1 pep chromosome:Pop_tri_v4:13:14341502:14345306:-1 gene:Potri.013G145600.v4.1 transcript:Potri.013G145600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145600.v4.1 MLICCMLFISEARNRAALDLIERSARIDPESVIVNKFEDRVYNRIRFTIVSYVVVDSTGSPIYSPLHQTVLAIVEAAYGAINLELHSGAHPRLGVVDDIAFHPLAEASLDEAAWLAKAVAADIGSRFQVPVFLYAAAHPTGRAPDTIRRELGYYRPNFMGSQWAGWNIPEILPENPDHGPNHVSRTRGVTLIGARSWVTLYNIPIMCTDVSTARRIARMVSARGGGLPTVQSLALFHGDDSAEIACMLLEPNRIGPDRVQAQVEMLAAQEGLDVEKGYFTDLSPEMIVQKYMNLISARRD >Potri.013G145600.1.v4.1 pep chromosome:Pop_tri_v4:13:14341316:14343977:-1 gene:Potri.013G145600.v4.1 transcript:Potri.013G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145600.v4.1 MDFDSSCKNKKTANESMLICCMLFISEARNRAALDLIERSARIDPESVIVNKFEDRVYNRIRFTIVSYVVVDSTGSPIYSPLHQTVLAIVEAAYGAINLELHSGAHPRLGVVDDIAFHPLAEASLDEAAWLAKAVAADIGSRFQVPVFLYAAAHPTGRAPDTIRRELGYYRPNFMGSQWAGWNIPEILPENPDHGPNHVSRTRGVTLIGARSWVTLYNIPIMCTDVSTARRIARMVSARGGGLPTVQSLALFHGDDSAEIACMLLEPNRIGPDRVQAQVEMLAAQEGLDVEKGYFTDLSPEMIVQKYMNLISARRD >Potri.003G179800.1.v4.1 pep chromosome:Pop_tri_v4:3:18574202:18580435:-1 gene:Potri.003G179800.v4.1 transcript:Potri.003G179800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179800.v4.1 MWNPLSWVMEGAAVVAIVLANGQGKPPDWQDFVGIVVLLLINSTISFVEENSAGNAAAALMAGLALKTKVLRDGRWIEHEAAVLVPGDVISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKKPGDEIFSGSTCKHGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTSIGNFCIVSIVVGIIIEAIVMWPIQSRKYRDGIDNILVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSLVEVFANDVDQDNVILLGARASRIENQDAIDACIVGMLADPKEARASITEVHFLPFNPVEKRTAITYIDPDGNWHRVSKGAPEQIIALCNLREDVARKAHAIIDKYAERGLRSLAVCRQIVPEKTKESPGGPWEFVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQHPDESTAALPVDELIEKADGFAGVFPEHKYEIVKRLQARKHVCGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVTITIRIVLGFLLLALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLKEIFATGVILGTYLALMTVVFFWIVHSSDFFSDKFGVRSIRNNHYELTSAVYLQVSIVSQALIFVTRSRSWSYVERPGIYLLIAFVLAQLIATIIAVYANWGFARIHGIGWGWAGVIWLYSIIFYIPLDFLKFIIRYALSSKSWDNLLQNKTAFTSKEEYGKRERMAPWAADHLTIRGLHPPEGSELFNDKSNYRELHDIAEHAKRRAEVARLRELHTLKGHVESVVKLKGLDIETIQQHYTV >Potri.003G043600.1.v4.1 pep chromosome:Pop_tri_v4:3:4931785:4932492:-1 gene:Potri.003G043600.v4.1 transcript:Potri.003G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043600.v4.1 MERFRSRSCREGRIQMEGYHGDKAAPANMKDLRSYSVSNAVSAQPNQLGNEVKIKKGKSNLGSFSKRWSFNDPELKRKRRVANYKVYAMEGKMKGTLRKSIRWIKDTCTQVVHGWR >Potri.010G248401.7.v4.1 pep chromosome:Pop_tri_v4:10:22245458:22248573:-1 gene:Potri.010G248401.v4.1 transcript:Potri.010G248401.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248401.v4.1 MYSTVFEQHGCSGFLHAVFAVTSRKKKDDTERKPPSKESLLKNWPLMSSSIVYCVFSLHDMAYSEIFSLWAESLRKLGGLGYTTGDDGEVLEITGLGLSVVISLASTTRSFLSVSIATCLFILQNNAVDRNQRGAANGVAMTAVSLFKAVGPAGGGSLLSWAQKRQNAASLPDRIFYNFPIAMNQPDLLMS >Potri.015G045300.1.v4.1 pep chromosome:Pop_tri_v4:15:4373906:4378122:-1 gene:Potri.015G045300.v4.1 transcript:Potri.015G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045300.v4.1 MAAKRFLDEQDSDLDQPVDQKRMRTRPSFASVIGEAVMMNSLKNLCFSLEPMIRRVVNEEVEGSLRRSTCSLTRSSSLQIKALEASSLQLMYSKSLLLPIFTGSKIVDLDSSPLQILLVDTRGDQMVPTYLPHPLKIEVVVLDGDFPSNGSSKWTSEEFDSNIVKERTGKRPLLAGDCLTVTLRDGFAPIGEIEFTDNSSWIRSRKFRLGARVAPGSYQGVKIREAITEAFVVKDHRGELYKKHHPPMLQDEVWRLEKIGKDGAFHRKLTAEGINTVQDFLKLSVVDRQKLRRILGPGMSEKMWEVTIKHARTCDLGNNHFIFRRPNCTITLNPICQIVHAMIDGNSYSNKELASIRGYIETLVRHAYAEWNSLEEVAGVPSDSTPLLTQGEPVDQYLNQHQTTVKSFPPLGYSTDHNRYLEMGNVPSNAYNNWKITSNYYHGAAVEQGIQYSISESSSDGEITSKSYINGDR >Potri.017G082950.1.v4.1 pep chromosome:Pop_tri_v4:17:9519833:9520156:-1 gene:Potri.017G082950.v4.1 transcript:Potri.017G082950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082950.v4.1 MKQLMDCALHGTARKKGQSLNPMFLHVAGTWGIRGTARDHDSSPKQISAVPWNDACLIMFPFLFGICSMIANISIHVVFISVFKKCFLNFLDFNYFFIILNCFDILY >Potri.012G013167.1.v4.1 pep chromosome:Pop_tri_v4:12:1575839:1576615:-1 gene:Potri.012G013167.v4.1 transcript:Potri.012G013167.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013167.v4.1 MTTFSKILRKTDTSKALSVPTKYLKSLPSFKGGHAVEFQAIDESGFVWTFQCSIRKKGHPKPVLSKGWRAFVRNKKLKVGDKIKFSLNQTAATPFYRVRAEKEVKIFRAIFGYSPIIATFP >Potri.015G051101.1.v4.1 pep chromosome:Pop_tri_v4:15:5995652:5996149:-1 gene:Potri.015G051101.v4.1 transcript:Potri.015G051101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G051101.v4.1 MWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKVQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNRNKLLSLTTEDGEVVEGHEAVKSEVIAYFHRVLGVDQK >Potri.008G194200.1.v4.1 pep chromosome:Pop_tri_v4:8:13701157:13706965:-1 gene:Potri.008G194200.v4.1 transcript:Potri.008G194200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194200.v4.1 MAAASTSEITEGPVLSLITKRLRGLKKKFNRIVQMEESIAQGKPINKEQEDFLRSKPSVAAAIDELEKLRQPLSVAVSEEIELAAHRLNPKSDSTVVPEASNGVVEDLLHLFYFGCLFDVRSQNDFTATMLTRTHERGCCLTYDYVTDDATDLLSERDLDMISILGGGLIISRPVNSSLSHKNALQRCMEHAKLWLANSDQPIDSNVNVSYAELREKLNKIMASDYFTTTPEIKAPVEMAAAAGNYVPFQVPFSVHMDEDSVAQYQPKEQETENIQHETGDDQSSPAEELQKDEQETENPAVVSDQEEQDNPSTEVEVYYNQREVEHGEVDHNQREAEPKEQQYVSRRNYQNQRGGRGGGNGGRRGYSNGRGGRSGGRGGGGYQTDRNQHCDQPGNYYQRNNYTNQGRGVRGGGHTYNNNGSTGEAPADVGVAS >Potri.004G011701.1.v4.1 pep chromosome:Pop_tri_v4:4:706839:710680:1 gene:Potri.004G011701.v4.1 transcript:Potri.004G011701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011701.v4.1 MEGMAGHGWFLPATGVLATIIQLKPETLTPSIINDAIITMAVVAVLIHVVCSAFEDILEAGHFRLIAAGTGHLARALAITLLLIICVPKIWWFLLSAWVLFFIWVTYTLLYNAVHLRQRHGQAQEPNELPNELP >Potri.004G011701.2.v4.1 pep chromosome:Pop_tri_v4:4:706356:710352:1 gene:Potri.004G011701.v4.1 transcript:Potri.004G011701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011701.v4.1 MLSITILYPLPDLKDARDWLNLMRDKWLAVGDIIVLTKEAFGEHGGNGRTWMVSPCYWSTGHNYPIET >Potri.016G083500.1.v4.1 pep chromosome:Pop_tri_v4:16:6472435:6475518:1 gene:Potri.016G083500.v4.1 transcript:Potri.016G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083500.v4.1 MAAAALDKSVPEKLPPSLDATAEQPPLFDGTTKLYTCYTCPFAHRVWITRNFKGLQDEIKLVPLILQNRPAWYSEKVYPPNKVPSLEHNGKITGESLDLIKYLESNFQGPSLLPEDPAKKEFAEELFSYTDTFNRTVFTSFKGDPAKEAGPAFDHLENALHKFGDGPFFLGQEFSLVDIAYIPFVERFCIFLSEVFKYDITAGRPKLAAWIEELNKIEAYKQTKTDPKEMVEVYKKRFMA >Potri.003G054700.1.v4.1 pep chromosome:Pop_tri_v4:3:8038579:8041494:1 gene:Potri.003G054700.v4.1 transcript:Potri.003G054700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054700.v4.1 MIIYYNFIEQLCDCISSNLSLMNKQKECPEECKEAVQSLIYAAARFSKFPELRDLRSVFINRYGPPLEALVNKEFVDMLKPKSITEEMKLQLMHDIAQEFSIEWNSKSLEQKLFKPPPPQQDQHRHERNDEYEPKKSKDDAFTKRNSLNDDDGYKWVKNKDDACTKRDSHDSGTKVHDKRVHTFQERDDERIFTYRGRKNVSDENYKLQSSSEDEVLSVSRRDSTDQDSLLASSSSVGSVSEDEVDSKKPISYRFIPPPYRRTTIEKESKIEETPQPNDKIALEEANNADDSIKETKPKPRSVRRRPLKPQPGHENFGSIERPLKPPPGRERVGSIESDESARTKSSTMKQEEPRRGSRILKTDDDDERDEEEKVMDGLLMHYGKKDSPHEPSKSSPCIKPPPSSQASDDVAKTSRLRNVISELTLPTGRTSSLRESGTTRLGRAVSAEPDIMTGRVHPNLPDYDELAARIAALKGR >Potri.012G123400.4.v4.1 pep chromosome:Pop_tri_v4:12:14039631:14044142:1 gene:Potri.012G123400.v4.1 transcript:Potri.012G123400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123400.v4.1 MRCSFLKSPCFCLNQVVQRRDIALKIKEVSEKVNDIAKERAMFGFELYRVTDELQRLTTTSFVDESSVIGRDGEKKNVVSKLLAESSQKARDVDVISLVGLGGIGKTTLAQLAFNDSEVTAHFEKKIWVCVSDPFDEVKIAKAILEQLEGSAPNLVELQSLLQRVSESIKGKRFLLVLDDVWTENHGQWEKLKPSLTGCARGSRILVTTRKDAVATMMGSTGHRINIKELSDEICRSIFNHVAFQERSKDERERLTDIGEKIASKCKGLPLAAKVLGGLMQFKRTREEWERVLSSELWELEHVERRLFPPLLLSYYDLPYVERRCFLYCAMFPKDYDMRKDELVKMWMAQGYLKETSGRDMEVVGEEYFQVLVARSFFQDFKKYGLENIRFKMHDIVHDFAQYMTKNECLTVDVNTLGGATVETSFERVRHLSMMLSEETSFPVSIHKAKGLRSLLIDTRDPSLGAALPDLFKQLTCIRSLDLSKSSIKEIPNEVGKLIHLRHLNLASCGELESLPETMCDLCNLQSLDVTWCGSLKKLPNAIGKLIKLRHLRINGSGVDFIPKGIERIACLRTLNVFIVCGGGENESKAANLRELKNLNHIGGSLGIRNLQDASDAAEAQLKNKKRLLRLELDFDYNQESGILIEALRPPSDLKYLTISRYGGLELPSWMMTLTRLQELILSDCTKLEVMRPLGRLPNLESLVLRSLKVRRLDAGFLGIEKDENASINEGEIARVTAFPKLKTLWIGNLEEVEEWDGIERRVGEEDVNTTSIISIMPQLRWLTILNCPLLRALPDYVLAAPLRVLDIWGCPILRKRYGKEEMGEDWQKISHIPNISIYS >Potri.012G123400.3.v4.1 pep chromosome:Pop_tri_v4:12:14039631:14044142:1 gene:Potri.012G123400.v4.1 transcript:Potri.012G123400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123400.v4.1 MEEAEENTRSRQKMRCSFLKSPCFCLNQVVQRRDIALKIKEVSEKVNDIAKERAMFGFELYRVTDELQRLTTTSFVDESSVIGRDGEKKNVVSKLLAESSQKARDVDVISLVGLGGIGKTTLAQLAFNDSEVTAHFEKKIWVCVSDPFDEVKIAKAILEQLEGSAPNLVELQSLLQRVSESIKGKRFLLVLDDVWTENHGQWEKLKPSLTGCARGSRILVTTRKDAVATMMGSTGHRINIKELSDEICRSIFNHVAFQERSKDERERLTDIGEKIASKCKGLPLAAKVLGGLMQFKRTREEWERVLSSELWELEHVERRLFPPLLLSYYDLPYVERRCFLYCAMFPKDYDMRKDELVKMWMAQGYLKETSGRDMEVVGEEYFQVLVARSFFQDFKKYGLENIRFKMHDIVHDFAQYMTKNECLTVDVNTLGGATVETSFERVRHLSMMLSEETSFPVSIHKAKGLRSLLIDTRDPSLGAALPDLFKQLTCIRSLDLSKSSIKEIPNEVGKLIHLRHLNLASCGELESLPETMCDLCNLQSLDVTWCGSLKKLPNAIGKLIKLRHLRINGSGVDFIPKGIERIACLRTLNVFIVCGGGENESKAANLRELKNLNHIGGSLGIRNLQDASDAAEAQLKNKKRLLRLELDFDYNQESGILIEALRPPSDLKYLTISRYGGLELPSWMMTLTRLQELILSDCTKLEVMRPLGRLPNLESLVLRSLKVRRLDAGFLGIEKDENASINEGEIARVTAFPKLKTLWIGNLEEVEEWDGIERRVGEEDVNTTSIISIMPQLRWLTILNCPLLRALPDYVLAAPLRVLDIWGCPILRKRYGKEEMGEDWQKISHIPNISIYS >Potri.004G179685.1.v4.1 pep chromosome:Pop_tri_v4:4:19392425:19395376:1 gene:Potri.004G179685.v4.1 transcript:Potri.004G179685.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179685.v4.1 MAEWTHLPKDLMELISKRLDTSTDLLRFRSVCNSWRSSIPPEPPCLSSNTFKILPNDGISHTSFGFSLFKRTVFLVGLPNSHNQTDTQGWLIKIEEDVPGKKHLFDPLSRCRSTSLPNNLPRVLDLMNLRIRELGHEYVLHHLNYKPNSSSFTDAGNLYMEKVVMIWLNSLTEFVLLTIHVSGKLAIFKSGDKRWTIINEMPSPFDDVIVYKGRFYAVDNTGRTVVVAMDTNLGLVGNPVFGGDKKYLVESKGDLLLVDMYLSIDSDEGLSIGHDVVQDLVQYMSERTVWFKVFKLNEEGKCWIEVNDLEDRVLFLGDDLTFSASASELSGCKGNCIFFNDDFFYSRGEGDDGSLFGRDIGVFELESGCIGPLRNFPDNSKMFWPPPDWVASTSLEVSLHFPPSITVYMKFQFYLRMLALSYLDFAIYFHRSLNLFGMQFGYVLNIHVLIVVVALNLAFNWEGLIVALP >Potri.004G179685.2.v4.1 pep chromosome:Pop_tri_v4:4:19392423:19395089:1 gene:Potri.004G179685.v4.1 transcript:Potri.004G179685.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179685.v4.1 MAEWTHLPKDLMELISKRLDTSTDLLRFRSVCNSWRSSIPPEPPCLSSNTFKILPNDGISHTSFGFSLFKRTVFLVGLPNSHNQTDTQGWLIKIEEDVPGKKHLFDPLSRCRSTSLPNNLPRVLDLMNLRIRELGHEYVLHHLNYKPNSSSFTDAGNLYMEKVVMIWLNSLTEFVLLTIHVSGKLAIFKSGDKRWTIINEMPSPFDDVIVYKGRFYAVDNTGRTVVVAMDTNLGLVGNPVFGGDKKYLVESKGDLLLVDMYLSIDSDEGLSIGHDVVQDLVQYMSERTVWFKVFKLNEEGKCWIEVNDLEDRVLFLGDDLTFSASASELSGCKGNCIFFNDDFFYSRGEGDDGSLFGRDIGVFELESGCIGPLRNFPDNSKMFWPPPDWVASTSLEVQNQNQLEELSIAK >Potri.006G074700.1.v4.1 pep chromosome:Pop_tri_v4:6:5485230:5487688:1 gene:Potri.006G074700.v4.1 transcript:Potri.006G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074700.v4.1 MEIIEHIGECENSWETNWFWNEELNFRHSWATNQQFNLGSNDSSATDGTTSTIFSKNIVSERSRRKNLSDKLLALREAVPKISKMDKASIIKDAIDYIQDLQEQEKGLQAEIMELESNRLKEDLGYDFDQELPVLLRSKRTRYDQIYDHRMARNTCPIQVHEFSVTSMGGKNLFVSLTCNRTTDAMSRICEVFESLKLKIITANITTLSELVKKTVLIEVDEEEKEHVKIKIERAFSVLRSTHGTRMM >Potri.017G058000.1.v4.1 pep chromosome:Pop_tri_v4:17:4648496:4650175:1 gene:Potri.017G058000.v4.1 transcript:Potri.017G058000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058000.v4.1 MSKLPQEIIVDILTYLPAKSLIKFKCVCRSWRSLISDPQFAKLHLKRAHEDENINRQRLLIAADPLYSVDFEAASDGDNGNTLVKLSYPNAESHNDSFAVGLFLGSCDGIVCILNEVDSVVLWNPSTRESKKLSGPTSSLHKDFSTGLGYDSSTDDYKMVIASSATASTRSDQIMVEVFTLKTNTWRTVQGSLPGITLGSNYYGEFWNGALLWLGKRDAAHHLDVIVPFDIEKEKFMEAEPLPNHFYTAVLSISGNCLCVFGELQPSGSYFEAWLASEYGVKTTWRRLFVVPADKLCLDCYSSGMWLTKKGEVLLDNHGCPGILTLYNPVEDAKKLLKVENDGDPFYESAIYTESLVSLC >Potri.001G198300.3.v4.1 pep chromosome:Pop_tri_v4:1:19567114:19573122:-1 gene:Potri.001G198300.v4.1 transcript:Potri.001G198300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198300.v4.1 MALFLPNLSEVEEKHGITAIAIDEDKNSQLAVKWAVENFFNHKSRHFILVHVRIKTFNPQGFDAVPKEGRPPTQQEVQLFLPYRGFCARKGVELKEVVIHDIDIARALVNYIGNNCIDNIVLGASTRNPLTRKFRNLDIPSSLLKLAPESCGVYVISRGKIQSQSRPQTPTSAANSPRQPQTPTSTSIGPRKPETPTSDASHRRQVRTPRGAATPPGQPQAPKTPPATPRHLQMLTHIATTRQQNHQGFLPYLLSDSPKHEDIPSNPLSMAPREERTFSYQTLTTSMDGRAPNALKGDFVSCLGELSGPPSLVSTEISSEDFEFSITSESPRDFSPTPNVVEAEIRRLKLELKQSMEMYSSVCKEAVLARHMASQLQHLHTLKTRKLDEAKLAEEAALSLAEMERQKAKSAIEAAKMAQMLAQMEAQKRQTAEKRAIQEAEERKKAIKCLAQKSVLYRKYTIEEIEVATNYFESSNKIGEGGYGPVFKATLDHTPVAIKVLRPDLSQGQRQFHKEVQVLSNVRHPHMVILLGACPEYGCLVYEYMEKGSLEDCLFRKGNTPPIPWRKRFSIASEISTGLLFLHETKPEPLVHRDLKPGNILLDRNYVSKISDVGLARLVPLAIADNISQYCQTEAAGTFCYIDPEYQQTGLLGVKSDIYSLGIVLLQLITAKNPMGLSHQVAQAIEEGTFSDILDQTQTDWPVEEALSLAKLALKCSELRKKDRPDLASVVLPELNRLRDLALANEAGENQTVLPLPHPYVSVSEGKCHASQIYVKRGWHQRYTSTFWSFKSKDSSSNRKSRIFPPQRGNRAWKKADMKKFHGCSWSSFASCASNPNAPIQRLKSFS >Potri.002G222100.1.v4.1 pep chromosome:Pop_tri_v4:2:20614667:20616581:1 gene:Potri.002G222100.v4.1 transcript:Potri.002G222100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G222100.v4.1 MLLSPGHSPRHLSSPSPYSNSENTLQISSNSSSINNTPINPSKHPTVLDEDTYVATIEKIIERDFFPDISKLRDRLDWLEAMKSGDPIQIRDAQLKIMERRGKKVNNPSSDGSFRSRNRTQTPGSTFMRSLTPFDEFDSRIQTPGVVMDRGLSSNAESNENEVAVDKNLSLDEFFRRYTSEDNDSFSKILEKVNRKRKERYEHLLEGEKEDVKFIGDAKRDRITDGFGTSDQPPSTLEGWKYTAKNLLMYHPADRGEAPLTEEEQAVRLKGLTKEINRSSTRFHGKMLDTRPRDDGVVEVLYTPVAGATPLPMYDRDGDKAKKYDLEDLRRTPDRFYVESGKKADDGYSFVRTPSPAPGVDESPFITWGEIEGTPMRLEPEDTPIDIGGSGNGPHFKIPNPPARDVKAHSLSREASRRLREKSKMFQKPPLPSPSRGGSASPSVRTLSPAAQKFVRNAISRSSSSVDETLRASYRGASPGVGTPKSGRSISRFGRDGSISSRSPSVRENSNPPW >Potri.014G127800.1.v4.1 pep chromosome:Pop_tri_v4:14:8576041:8579647:1 gene:Potri.014G127800.v4.1 transcript:Potri.014G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127800.v4.1 MALQERKEMGDNNNSTGTDVSQNMTIYINNLNEKIKIDELKTSLHAVFSQFGKILEILAFKTLKHKGQAWVIFEDVQSASNAIRQMQSFPFYDKPMRIQYAKTKSDIIAKADGTFVPREKRRRHEEKGKKKKDQHDANQVGVGLTPAYGGAYGTTPSLLQIPYPGGVKSMVPEAPAPPNNILFIQNLPNETTPMMLQMLFQQYPGFKEVRMVEAKPGIAFVEYGDEMQSTGAMHGLQGFKILQQNSMLITYAKK >Potri.003G020600.1.v4.1 pep chromosome:Pop_tri_v4:3:2159392:2161590:1 gene:Potri.003G020600.v4.1 transcript:Potri.003G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020600.v4.1 MGFSTFLIKHLYWLLLFTFLILHLTEIGTVAQFDPSQYPDIQRQERDALYALKARFNNSFLNDNWTGPQCNTDYSSSWHGIQCFNGRVTEISLESMRLSVTLMDIDAFVFLTELTVLSLKNNSISGNMMNFSSNNKMKQLDLSGNRLYGSIPKSLLSLKLLESLLLQDNYLTGPIPEFNQSSLRVFNVSNNDLDGSIPKTHTLQSFGPDSYSSNPQLCGPPTLNTCKNIFATIDVADDQNKESSPAEHPRKSSIPNSAKISVVFIAVGFVVAIFLFFLHFKKARKLKKKGRKVEEREEEEEQQPQQHHATLIDDGLEGEEKPVRSIEEEKGKAVDIEEEKRRLIFIEEEAKSFTLNDLLKASAEDLGKGNFGDCYKAVMDGKEAVVVKRIRDLKPLSSKEFTRQLHIIAHQKHPNLLPLLAYYNSKDEKLLVYKYAEKGNLFNRIHGNRGRDRIPFRWSSRISVALGIARALEYLHLNTISQSIVPHGNLRSTNVLLDLNEKVLVSDYGLSSIIAQPIAAQRLVSYKSPEYKTTKRVSKKSDVWSYGSLLLELLTARISVCSAPPGTDGMELCSWVKKAVREEWTAEIFDIEIAAQRSASSGMLELLQIAIRCCDKSPENRPEMTEVVREVESIKALVESEDEEDLSMDRSLTDESL >Potri.003G162500.1.v4.1 pep chromosome:Pop_tri_v4:3:17195029:17198814:-1 gene:Potri.003G162500.v4.1 transcript:Potri.003G162500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162500.v4.1 MSAMVSALTQVMGTTDNNPTSVQSTPYALDQSVVKDEPDQSQPVQDQENTRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAVAYDKAALKFKGTKAKLNFPERVQGRTEFGYYMGSGTSTNVLTEQSPRPVAPPPPPPPPPPSSFAPDTYPDLLQYAQILSSNDANFPYYTSNLFNQQPFAPHYSSSFLSQQQQQDLMRFSSRFDSSSSSDQQEHGKDSSNPSE >Potri.007G032100.1.v4.1 pep chromosome:Pop_tri_v4:7:2476104:2478575:1 gene:Potri.007G032100.v4.1 transcript:Potri.007G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032100.v4.1 MNFNVQLYEESFFFFKKKVPRATSLLCLNRYPFLLNCILPFTQLVLSQINMSFRSVVSPFALFILYCCSLIQAQQPYVGKGTTKCSNTENSALGYSCNGLNKSCQAYLIFRSQPPYSTVASISTLLGSDPSQLSQINSVSETTSFPTNQLVLVPVNCSCSGDYFQANASYIVQSGNTPFLIANNTYQGLSTCQAIRNEKGTRTVNIFAGETLTVPLRCACPTKNQSDLGIRYLLSYLVTWGDTVSIAGVRFGADIGRALEANEISEKNPTIYPFTTLLIPLKNPPTSSQTVVPPPPPASPSPSPPSPSPNSDKSANKTWIYVFVGAVGGIVLTLVIGTIIFFMLFRKSKKQPGPIIVSQSFEAHEKPLNRKLDEEPQDLLESVYSIAQSIKVYNYEDLKAATDNFSPSFWIKGSVFRGLINGDFAAIKKMNGDVSKEIDLLNKINHSNLIRLSGVCFNDGHWYLVYEYAANGPLSDWIYVSSNEGKFLKWTQRIQIATDVATGLNYLHSFTNYPHVHKDIKSSNILLDKDLRAKIANFSLARSTDGPEGEFALTRHIVGTKGYMAPEYLENGIICTKLDVYAFGILTLEIMTGKEVAALYREENRELSDVLNGVLSEEGGLEESLSQLIDPSMQGNYPSGLAVLMVRLIDSCLNKNPAGRPAMDEIVQSLSGILITSLAWELSNNTSSYHSSN >Potri.005G003700.1.v4.1 pep chromosome:Pop_tri_v4:5:322341:323470:1 gene:Potri.005G003700.v4.1 transcript:Potri.005G003700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003700.v4.1 MGVAGTLEYFSDLLSNAKKGKKKKLMQTVALKVRMDCQGCERKVKSVLYGVEGDKSVKVDMKQQKVTVTGFVEPEKVLKAAQSTKKKVELWPYVPYFLVAHPYVSQAYDKKAPPNHVRAVPVTATISESIIDDYYINMFSDENPNACSIM >Potri.005G120300.1.v4.1 pep chromosome:Pop_tri_v4:5:8858127:8859382:-1 gene:Potri.005G120300.v4.1 transcript:Potri.005G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120300.v4.1 MDFSNFDSVKVEKANAILKYNRLQTLTKLFRLLEFCLAIILLSWIFNKLPFAVKISGEFFRRLAGIVASPLFVFLLSNGIIATLIAKSGRFSGENYGADNADTQLHEELIKNTGNNHNCPKPFLSQNPNVLLSHAATSTATSTGTTKKVEEVVFQEKHIIISEENAFTSTRENENEDHDPEADMYADPDSDRENLKIRRTKSEEMGRKNYVKEKKQLRRSETEKLGSREENLFCREDGLSDEEFHRAIDEFIARHLRFRRQESMSVVVQNQSSSTAEIGKQC >Potri.013G159200.10.v4.1 pep chromosome:Pop_tri_v4:13:15364582:15372756:1 gene:Potri.013G159200.v4.1 transcript:Potri.013G159200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159200.v4.1 MIRNSGAFSLLGMSKSRACTFGAVLLIWLCCSSLLVAAQEGITDPVEVKALQDIRNSLIDINKNLSNWRRGDPCTSNWTGVLCFNTTKEDAYLHVRELQLLNMNLSGTLSPSLGLLSYMEILDFMWNSITGSIPPEIGNIKSLELLLLNGNQLTGPLPEELGNLPKLDRIQIDQNHISGPIPKSFAYLNSTKHFHMNNNSISGQIPAELSRLPNLVHFLLDNNNLSGTLPPDLYKLPKLLILQLDNNQFDGSTIPPSYGNMTQLLKLNCSLRGLMPDLSGIPNLGYLDLSFNQLAGPIPPNKLFENITTINLSNNTLNGTIPAYFSDLPRLQLLSIANNSLSGSVPSTIWQTRTNGNEGLDLHFENNRLSNISGSTSLPQNVTLWLQGNPACSNSNIVKFCGSQNGDMNDQSTTESNVTTCSVQSCPPPYEYFQTPTISCVCAAPLIFEYRLKSPGFSKFIPYRVAFQDYLTSGLELHLYQLDLSSAIWEKGPRLKMQLKLFPVYVNENSSHKFNDSEVRRIISMFTGWNIPDSQLFGPYELLYINLLGPYINVLSVTPQKSKLSTGALVGIVLGAIAGAVALSAVVSLLILRKRSRNHGAISKRRRVSKASLKIEGVKYFSYAEMALATNNFNSSSQVGQGGYGKVYKGYLADGRTVAIKRAEEASFQGEREFLTEIELLSRVHHRNLVSLIGFCDEGGEQMLVYEFMSNGTLRDHLSAKAKEPLSFATRLGIALASAKGILYLHTEADPPIFHRDVKASNILLDSRYNAKVADFGLSKLAPVPDIEGDVPGHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNIAYQTGMIFSIVDGRMGSYPSDCVDKFLTLAMKCCNDETDERPSMIDVVRELENMWHMMPESDTKTTDTMNTDTGMEMTSPSSCSLLKNPYVSSEVSSSDLVSGVAPTITPR >Potri.013G159200.8.v4.1 pep chromosome:Pop_tri_v4:13:15364448:15372782:1 gene:Potri.013G159200.v4.1 transcript:Potri.013G159200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159200.v4.1 MIRNSGAFSLLGMSKSRACTFGAVLLIWLCCSSLLVAAQEGITDPVEVKALQDIRNSLIDINKNLSNWRRGDPCTSNWTGVLCFNTTKEDAYLHVRELQLLNMNLSGTLSPSLGLLSYMEILDFMWNSITGSIPPEIGNIKSLELLLLNGNQLTGPLPEELGNLPKLDRIQIDQNHISGPIPKSFAYLNSTKHFHMNNNSISGQIPAELSRLPNLVHFLLDNNNLSGTLPPDLYKLPKLLILQLDNNQFDGSTIPPSYGNMTQLLKLSLRNCSLRGLMPDLSGIPNLGYLDLSFNQLAGPIPPNKLFENITTINLSNNTLNGTIPAYFSDLPRLQLLSIANNSLSGSVPSTIWQTRTNGNEGLDLHFENNRLSNISGSTSLPQNVTLWLQGNPACSNSNIVKFCGSQNGDMNDQSTTESNVTTCSVQSCPPPYEYFQTPTISCVCAAPLIFEYRLKSPGFSKFIPYRVAFQDYLTSGLELHLYQLDLSSAIWEKGPRLKMQLKLFPVYVNENSSHKFNDSEVRRIISMFTGWNIPDSQLFGPYELLYINLLGPYINVLSVTPQKSKLSTGALVGIVLGAIAGAVALSAVVSLLILRKRSRNHGAISKRRRVSKASLKIEGVKYFSYAEMALATNNFNSSSQVGQGGYGKVYKGYLADGRTVAIKRAEEASFQGEREFLTEIELLSRVHHRNLVSLIGFCDEGGEQMLVYEFMSNGTLRDHLSAKAKEPLSFATRLGIALASAKGILYLHTEADPPIFHRDVKASNILLDSRYNAKVADFGLSKLAPVPDIEGDVPGHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNIAYQTGMIFSIVDGRMGSYPSDCVDKFLTLAMKCCNDETDERPSMIDVVRELENMWHMMPESDTKTTDTMNTDTGMEMTSPSSCSLLKNPYVSSEVSSSDLVSGVAPTITPR >Potri.013G159200.9.v4.1 pep chromosome:Pop_tri_v4:13:15364876:15372768:1 gene:Potri.013G159200.v4.1 transcript:Potri.013G159200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159200.v4.1 MIRNSGAFSLLGMSKSRACTFGAVLLIWLCCSSLLVAAQEGITDPVEVKALQDIRNSLIDINKNLSNWRRGDPCTSNWTGVLCFNTTKEDAYLHVRELQLLNMNLSGTLSPSLGLLSYMEILDFMWNSITGSIPPEIGNIKSLELLLLNGNQLTGPLPEELGNLPKLDRIQIDQNHISGPIPKSFAYLNSTKHFHMNNNSISGQIPAELSRLPNLVHFLLDNNNLSGTLPPDLYKLPKLLILQLDNNQFDGSTIPPSYGNMTQLLKLSLRNCSLRGLMPDLSGIPNLGYLDLSFNQLAGPIPPNKLFENITTINLSNNTLNGTIPAYFSDLPRLQLLSIANNSLSGSVPSTIWQTRTNGNEGLDLHFENNRLSNISGSTSLPQNVTLWLQGNPACSNSNIVKFCGSQNGDMNDQSTTESNVTTCSVQSCPPPYEYFQTPTISCVCAAPLIFEYRLKSPGFSKFIPYRVAFQDYLTSGLELHLYQLDLSSAIWEKGPRLKMQLKLFPVYVNENSSHKFNDSEVRRIISMFTGWNIPDSQLFGPYELLYINLLGPYINVLSVTPQKSKLSTGALVGIVLGAIAGAVALSAVVSLLILRKRSRNHGAISKRRRVSKASLKIEGVKYFSYAEMALATNNFNSSSQVGQGGYGKVYKGYLADGRTVAIKRAEEASFQGEREFLTEIELLSRVHHRNLVSLIGFCDEGGEQMLVYEFMSNGTLRDHLSAKAKEPLSFATRLGIALASAKGILYLHTEADPPIFHRDVKASNILLDSRYNAKVADFGLSKLAPVPDIEGDVPGHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVREVNIAYQTGMIFSIVDGRMGSYPSDCVDKFLTLAMKCCNDETDERPSMIDVVRELENMWHMMPESDTKTTDTMNTDTGMEMTSPSSCSLLKNPYVSSEVSSSDLVSGVAPTITPR >Potri.001G097801.2.v4.1 pep chromosome:Pop_tri_v4:1:7745893:7747000:1 gene:Potri.001G097801.v4.1 transcript:Potri.001G097801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097801.v4.1 MYRFQRLTLTTKTLHRHHNNCFFSQAAAAIIEEPPSIQTTLLDGLPEPGPLETIFAIPRSDSGKNVSAKERKAGRVPSIVFEQEDGHHGGNKRLISVKKNRIRNLVNELGRPFFLSRLFELDVRPQFESDEVIEKVRVLPKAVHLHAGTDEPLNVTFIRAPSHALLKVDIPLVFRGEDISPGLRKG >Potri.001G097801.1.v4.1 pep chromosome:Pop_tri_v4:1:7745713:7749256:1 gene:Potri.001G097801.v4.1 transcript:Potri.001G097801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097801.v4.1 MYRFQRLTLTTKTLHRHHNNCFFSQAAAAIIEEPPSIQTTLLDGLPEPGPLETIFAIPRSDSGKNVSAKERKAGRVPSIVFEQEDGHHGGNKRLISVKKNRIRNLVNELGRPFFLSRLFELDVRPQFESDEVIEKVRVLPKAVHLHAGTDEPLNVTFIRAPSHALLKVDIPLVFRGEDISPGLRKGAYLNTIKRTVKFVCPADLIPPFIDVDLSELDVGQKLLMGDLKVHPALKLVQSKELPVVKISGGRASDKKSK >Potri.005G022400.1.v4.1 pep chromosome:Pop_tri_v4:5:1438131:1438841:1 gene:Potri.005G022400.v4.1 transcript:Potri.005G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022400.v4.1 MGSRNTSVLFFAAIALFLFLHFPSQTEATIPTELVSKVCPQTEIRSYEICVKSLMANPKSLMVTSAKAVAENALDRARRETTATSCFFAALLKRKDINPASKAALESCSSLFKQSVTFISLDGLSGGTASLDLHSALDKATECKSELSAAHVSIKSVTDKLEEWGNFYSIASEAVYAVENPEH >Potri.009G031200.2.v4.1 pep chromosome:Pop_tri_v4:9:4209823:4214813:1 gene:Potri.009G031200.v4.1 transcript:Potri.009G031200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031200.v4.1 MVRKFFVGGNWKCNGTAEEVKKIVTVLSEAEVPSEDDVEVVVSPPFVFLPVVKGLLRPDFQVAAQNCWVRRGGAFTGEISVEMLVNLGIPWVILGHSERRSLLNESNEFVGDKVAYALSLGLKVIACVGETLQQRESGSTMAVVAAQTKAIAANVSYWDNVVMAYEPVWAIGTGKVATPAQAQEVHLELRKWFHDNVGAEVAASTRIIYGGSVNGANCKELAGQPDVDGFLVGGASLKPEFIDIIKSATVKSQL >Potri.010G224500.1.v4.1 pep chromosome:Pop_tri_v4:10:20860271:20860666:-1 gene:Potri.010G224500.v4.1 transcript:Potri.010G224500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224500.v4.1 MVPKMVKKGRFLKHCLCKYLSIGMNWFLKHATCNHFQSRKSWSLLLKDEYFIPKDVPKGHLAVYVGEDCKRYVIKVTVLQHPLFKALLDRTEEVFGFTTGPKLCIPCNENMFNSILHCVNSQQDHKFLLCF >Potri.005G023301.1.v4.1 pep chromosome:Pop_tri_v4:5:1489182:1490842:-1 gene:Potri.005G023301.v4.1 transcript:Potri.005G023301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023301.v4.1 MFLVSDSRWTLLSVPVSSVLVGMPCLFWEAFLLVLIRCLRRSTITLFQLSLDFNNVVSIMNYCLCFNY >Potri.005G225100.2.v4.1 pep chromosome:Pop_tri_v4:5:22579621:22584575:1 gene:Potri.005G225100.v4.1 transcript:Potri.005G225100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225100.v4.1 MVSVSTWFRYIANKLDYSVSLSYKSYKGGLINDKEVVDSVWKNLLQGKLTFLHWNKGQEMAPTIGDQGGTLLVRKLPSADPMRVFVGDVVLLKDPEKSDNFLVRRLAAIEGYEMASTEEKDEPFVLDKDECWVLADNEKLKAKEANDSRKFGPISMSNIVGRVIYCLQTAVDHGPVQNSHFSSRKDSPVLEVELDVEEMAKHHKA >Potri.014G059300.1.v4.1 pep chromosome:Pop_tri_v4:14:3812663:3814529:-1 gene:Potri.014G059300.v4.1 transcript:Potri.014G059300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059300.v4.1 MLISSNLLLPPWLQALLAEKFFDACSTHKGARKNEKNIFCLDCCISICPHCLSPHNSHRLLQIRRYVYNDVLRLDDAQKLFDCAFVQSYTTNSAKVIFLNHRPQTRIVNIRGNNCSTCDRGLQYPYLFCSISCKVDHILTTKGVSGLSSFFCDCKFLPLSEPGSDDGLMTPDSVLEPTGSAKTSSSSGGYGGVDCKTLACTATTEIVRKKRSSLTNSCRTMFPRITEISSNLMNRRKKAPCRAPLY >Potri.015G058900.3.v4.1 pep chromosome:Pop_tri_v4:15:8201548:8208300:-1 gene:Potri.015G058900.v4.1 transcript:Potri.015G058900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058900.v4.1 MAAKLLHSLADDNPDLQKQIGCMTGVFQIFDRHQVLTGRRLNQKRLPPGDSHFKNGSSEREFFNAYNQNTTVDINLNKNLNEKQRISTESSRASFSSSCSSSMSSSLDCNKTAQPEASSFDRIIFPETPSRNPVITQPSTSAHLGRHSLDLRDVVKDSMYREARGLSVKTTAKEEAMSHIVKHKDSPRALQASKSADGSYRVGNKGKKNAPPVELKESLKVLAKLHEAPWYYNETKERPRSSYEAKDGSWHTIPKDAPRFSCDGWGINHLSFESRDTIKSTPKLKELPRLSLDSRVISVSGSNIDSRSNYLSKDLESSSNSNEKIFTLQQSMKTQKRPPSVVAKLMGLEGLPDSAITSHSQPGLIKNSLVEHDDSFSRSLKTNDLNRPIHIPKSQRNSVKDPISPRWKNPDLVMKPISRLPIEPAPWKQLDGSRCSLKQPFKPEKVPGKAQNLFPSVYSEIEKRLKDLEFKQSGKDLRALKQILEAMQAKGFLENRKEEQASNSVPLRDHEPKCSSPSQKPRLLGQQNQQKNHAGVPTTRGSDSLRTCESPIVIIKTAKLVEKSGIPASSVIPIDDLSSFHRIPTGGHADSKKGSNNSRTAKDQSPRNSQRDSLASSSDKRTVVKKNTKSTQSLTRSQQVPKESNPSTVRSSGSVSPRLSQKKLELEKRSCPPTPPSDTSKQRTQSNRQPTEIGSPGRKHRVKYPKVPPSDDQLSQISNESRTSSHQGDDISLQSDGTTFDLKTDMEVTSTERSTDNYSGQSPTLNAASRLVSGSLQKKSTFMFEEDRTSAELAVVAPEHPSPVSVLDASVYRDDALSPVKQMPNLIKGDVPKDFHYQQSEDQWNPADNLLSNSVASGLSSDINRKKLQKIENLVQKLRQLNSTHDESSTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLSTFQLHPSGHPINPELFFVLEQTKASNLVSKEECSPGKSFHSKPNPEKFHRKLIFDAVNEILVKKLALVEPSPEPWLKSDKLAKKTLSAQKLLKELCSEMEQLLVKKSECSLEEEDGLKSILCYDVMHRSESWIDFHSETSGVVLDVERLVFKDLVDEIVIGEAAGIRTKPGRSRRQLFGK >Potri.015G058900.6.v4.1 pep chromosome:Pop_tri_v4:15:8201529:8208097:-1 gene:Potri.015G058900.v4.1 transcript:Potri.015G058900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058900.v4.1 MAAKLLHSLADDNPDLQKQIGCMTGVFQIFDRHQVLTGRRLNQKRLPPGDSHFKNGSSEREFFNAYNQNTTVDINLNKNLNEKQRISTESSRASFSSSCSSSMSSSLDCNKTAQPEASSFDRIIFPETPSRNPVITQPSTSAHLGRHSLDLRDVVKDSMYREARGLSVKTTAKEEAMSHIVKHKDSPRALQASKSADGSYRVGNKGKKNAPPVELKESLKVLAKLHEAPWYYNETKERPRSSYEAKDGSWHTIPKDAPRFSCDGWGINHLSFESRDTIKSTPKLKELPRLSLDSRVISVSGSNIDSRSNYLSKDLESSSNSNEKIFTLQQSMKTQKRPPSVVAKLMGLEGLPDSAITSHSQPGLIKNSLVEHDDSFSRSLKTNDLNRPIHIPKSQRNSVKDPISPRWKNPDLVMKPISRLPIEPAPWKQLDGSRCSLKQPFKPEKVPGKAQNLFPSVYSEIEKRLKDLEFKQSGKDLRALKQILEAMQAKGFLENRKEEQASNSVPLRDHEPKCSSPSQKPRLLGQQNQQKNHAGVPTTRGSDSLRTCESPIVIIKTAKLVEKSGIPASSVIPIDDLSSFHRIPTGGHADSKKGSNNSRTAKDQSPRNSQRDSLASSSDKRTVVKKNTKSTQSLTRSQQVPKESNPSTVRSSGSVSPRLSQKKLELEKRSCPPTPPSDTSKQRTQSNRQPTEIGSPGRKHRVKYPKVPPSDDQLSQISNESRTSSHQGDDISLQSDGTTFDLKTDMEVTSTERSTDNYSGQSPTLNAASRLVSGSLQKKSTFMFEEDRTSAELAVVAPEHPSPVSVLDASVYRDDALSPVKQMPNLIKGDVPKDFHYQQSEDQWNPADNLLSNSVASGLSSDINRKKLQKIENLVQKLRQLNSTHDESSTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLSTFQLHPSGHPINPELFFVLEQTKASNLVSKEECSPGKSFHSKPNPEKFHRKLIFDAVNEILVKKLALVEPSPEPWLKSDKLAKKTLSAQKLLKELCSEMEQLLVKKSECSLEEEDGLKSILCYDVMHRSESWIDFHSETSGVVLDVERLVFKDLVDEIVIGEAAGIRTKPGRSRRQLFGK >Potri.015G058900.1.v4.1 pep chromosome:Pop_tri_v4:15:8201528:8208061:-1 gene:Potri.015G058900.v4.1 transcript:Potri.015G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058900.v4.1 MAAKLLHSLADDNPDLQKQIGCMTGVFQIFDRHQVLTGRRLNQKRLPPGDSHFKNGSSEREFFNAYNQNTTVDINLNKNLNEKQRISTESSRASFSSSCSSSMSSSLDCNKTAQPEASSFDRIIFPETPSRNPVITQPSTSAHLGRHSLDLRDVVKDSMYREARGLSVKTTAKEEAMSHIVKHKDSPRALQASKSADGSYRVGNKGKKNAPPVELKESLKVLAKLHEAPWYYNETKERPRSSYEAKDGSWHTIPKDAPRFSCDGWGINHLSFESRDTIKSTPKLKELPRLSLDSRVISVSGSNIDSRSNYLSKDLESSSNSNEKIFTLQQSMKTQKRPPSVVAKLMGLEGLPDSAITSHSQPGLIKNSLVEHDDSFSRSLKTNDLNRPIHIPKSQRNSVKDPISPRWKNPDLVMKPISRLPIEPAPWKQLDGSRCSLKQPFKPEKVPGKAQNLFPSVYSEIEKRLKDLEFKQSGKDLRALKQILEAMQAKGFLENRKEEQASNSVPLRDHEPKCSSPSQKPRLLGQQNQQKNHAGVPTTRGSDSLRTCESPIVIIKTAKLVEKSGIPASSVIPIDDLSSFHRIPTGGHADSKKGSNNSRTAKDQSPRNSQRDSLASSSDKRTVVKKNTKSTQSLTRSQQVPKESNPSTVRSSGSVSPRLSQKKLELEKRSCPPTPPSDTSKQRTQSNRQPTEIGSPGRKHRVKYPKVPPSDDQLSQISNESRTSSHQGDDISLQSDGTTFDLKTDMEVTSTERSTDNYSGQSPTLNAASRLVSGSLQKKSTFMFEEDRTSAELAVVAPEHPSPVSVLDASVYRDDALSPVKQMPNLIKGDVPKDFHYQQSEDQWNPADNLLSNSVASGLSSDINRKKLQKIENLVQKLRQLNSTHDESSTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLSTFQLHPSGHPINPELFFVLEQTKASNLVSKEECSPGKSFHSKPNPEKFHRKLIFDAVNEILVKKLALVEPSPEPWLKSDKLAKKTLSAQKLLKELCSEMEQLLVKKSECSLEEEDGLKSILCYDVMHRSESWIDFHSETSGVVLDVERLVFKDLVDEIVIGEAAGIRTKPGRSRRQLFGK >Potri.015G058900.5.v4.1 pep chromosome:Pop_tri_v4:15:8201529:8208101:-1 gene:Potri.015G058900.v4.1 transcript:Potri.015G058900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058900.v4.1 MAAKLLHSLADDNPDLQKQIGCMTGVFQIFDRHQVLTGRRLNQKRLPPGDSHFKNGSSEREFFNAYNQNTTVDINLNKNLNEKQRISTESSRASFSSSCSSSMSSSLDCNKTAQPEASSFDRIIFPETPSRNPVITQPSTSAHLGRHSLDLRDVVKDSMYREARGLSVKTTAKEEAMSHIVKHKDSPRALQASKSADGSYRVGNKGKKNAPPVELKESLKVLAKLHEAPWYYNETKERPRSSYEAKDGSWHTIPKDAPRFSCDGWGINHLSFESRDTIKSTPKLKELPRLSLDSRVISVSGSNIDSRSNYLSKDLESSSNSNEKIFTLQQSMKTQKRPPSVVAKLMGLEGLPDSAITSHSQPGLIKNSLVEHDDSFSRSLKTNDLNRPIHIPKSQRNSVKDPISPRWKNPDLVMKPISRLPIEPAPWKQLDGSRCSLKQPFKPEKVPGKAQNLFPSVYSEIEKRLKDLEFKQSGKDLRALKQILEAMQAKGFLENRKEEQASNSVPLRDHEPKCSSPSQKPRLLGQQNQQKNHAGVPTTRGSDSLRTCESPIVIIKTAKLVEKSGIPASSVIPIDDLSSFHRIPTGGHADSKKGSNNSRTAKDQSPRNSQRDSLASSSDKRTVVKKNTKSTQSLTRSQQVPKESNPSTVRSSGSVSPRLSQKKLELEKRSCPPTPPSDTSKQRTQSNRQPTEIGSPGRKHRVKYPKVPPSDDQLSQISNESRTSSHQGDDISLQSDGTTFDLKTDMEVTSTERSTDNYSGQSPTLNAASRLVSGSLQKKSTFMFEEDRTSAELAVVAPEHPSPVSVLDASVYRDDALSPVKQMPNLIKGDVPKDFHYQQSEDQWNPADNLLSNSVASGLSSDINRKKLQKIENLVQKLRQLNSTHDESSTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLSTFQLHPSGHPINPELFFVLEQTKASNLVSKEECSPGKSFHSKPNPEKFHRKLIFDAVNEILVKKLALVEPSPEPWLKSDKLAKKTLSAQKLLKELCSEMEQLLVKKSECSLEEEDGLKSILCYDVMHRSESWIDFHSETSGVVLDVERLVFKDLVDEIVIGEAAGIRTKPGRSRRQLFGK >Potri.015G058900.7.v4.1 pep chromosome:Pop_tri_v4:15:8201569:8208097:-1 gene:Potri.015G058900.v4.1 transcript:Potri.015G058900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058900.v4.1 MAAKLLHSLADDNPDLQKQIGCMTGVFQIFDRHQVLTGRRLNQKRLPPGDSHFKNGSSEREFFNAYNQNTTVDINLNKNLNEKQRISTESSRASFSSSCSSSMSSSLDCNKTAQPEASSFDRIIFPETPSRNPVITQPSTSAHLGRHSLDLRDVVKDSMYREARGLSVKTTAKEEAMSHIVKHKDSPRALQASKSADGSYRVGNKGKKNAPPVELKESLKVLAKLHEAPWYYNETKERPRSSYEAKDGSWHTIPKDAPRFSCDGWGINHLSFESRDTIKSTPKLKELPRLSLDSRVISVSGSNIDSRSNYLSKDLESSSNSNEKIFTLQQSMKTQKRPPSVVAKLMGLEGLPDSAITSHSQPGLIKNSLVEHDDSFSRSLKTNDLNRPIHIPKSQRNSVKDPISPRWKNPDLVMKPISRLPIEPAPWKQLDGSRCSLKQPFKPEKVPGKAQNLFPSVYSEIEKRLKDLEFKQSGKDLRALKQILEAMQAKGFLENRKEEQASNSVPLRDHEPKCSSPSQKPRLLGQQNQQKNHAGVPTTRGSDSLRTCESPIVIIKTAKLVEKSGIPASSVIPIDDLSSFHRIPTGGHADSKKGSNNSRTAKDQSPRNSQRDSLASSSDKRTVVKKNTKSTQSLTRSQQVPKESNPSTVRSSGSVSPRLSQKKLELEKRSCPPTPPSDTSKQRTQSNRQPTEIGSPGRKHRVKYPKVPPSDDQLSQISNESRTSSHQGDDISLQSDGTTFDLKTDMEVTSTERSTDNYSGQSPTLNAASRLVSGSLQKKSTFMFEEDRTSAELAVVAPEHPSPVSVLDASVYRDDALSPVKQMPNLIKGDVPKDFHYQQSEDQWNPADNLLSNSVASGLSSDINRKKLQKIENLVQKLRQLNSTHDESSTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLSTFQLHPSGHPINPELFFVLEQTKASNLVSKEECSPGKSFHSKPNPEKFHRKLIFDAVNEILVKKLALVEPSPEPWLKSDKLAKKTLSAQKLLKELCSEMEQLLVKKSECSLEEEDGLKSILCYDVMHRSESWIDFHSETSGVVLDVERLVFKDLVDEIVIGEAAGIRTKPGRSRRQLFGK >Potri.015G058900.4.v4.1 pep chromosome:Pop_tri_v4:15:8201630:8208308:-1 gene:Potri.015G058900.v4.1 transcript:Potri.015G058900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058900.v4.1 MAAKLLHSLADDNPDLQKQIGCMTGVFQIFDRHQVLTGRRLNQKRLPPGDSHFKNGSSEREFFNAYNQNTTVDINLNKNLNEKQRISTESSRASFSSSCSSSMSSSLDCNKTAQPEASSFDRIIFPETPSRNPVITQPSTSAHLGRHSLDLRDVVKDSMYREARGLSVKTTAKEEAMSHIVKHKDSPRALQASKSADGSYRVGNKGKKNAPPVELKESLKVLAKLHEAPWYYNETKERPRSSYEAKDGSWHTIPKDAPRFSCDGWGINHLSFESRDTIKSTPKLKELPRLSLDSRVISVSGSNIDSRSNYLSKDLESSSNSNEKIFTLQQSMKTQKRPPSVVAKLMGLEGLPDSAITSHSQPGLIKNSLVEHDDSFSRSLKTNDLNRPIHIPKSQRNSVKDPISPRWKNPDLVMKPISRLPIEPAPWKQLDGSRCSLKQPFKPEKVPGKAQNLFPSVYSEIEKRLKDLEFKQSGKDLRALKQILEAMQAKGFLENRKEEQASNSVPLRDHEPKCSSPSQKPRLLGQQNQQKNHAGVPTTRGSDSLRTCESPIVIIKTAKLVEKSGIPASSVIPIDDLSSFHRIPTGGHADSKKGSNNSRTAKDQSPRNSQRDSLASSSDKRTVVKKNTKSTQSLTRSQQVPKESNPSTVRSSGSVSPRLSQKKLELEKRSCPPTPPSDTSKQRTQSNRQPTEIGSPGRKHRVKYPKVPPSDDQLSQISNESRTSSHQGDDISLQSDGTTFDLKTDMEVTSTERSTDNYSGQSPTLNAASRLVSGSLQKKSTFMFEEDRTSAELAVVAPEHPSPVSVLDASVYRDDALSPVKQMPNLIKGDVPKDFHYQQSEDQWNPADNLLSNSVASGLSSDINRKKLQKIENLVQKLRQLNSTHDESSTDYIASLCENTNPDHRYISEILLASGLLLRDLSSGLSTFQLHPSGHPINPELFFVLEQTKASNLVSKEECSPGKSFHSKPNPEKFHRKLIFDAVNEILVKKLALVEPSPEPWLKSDKLAKKTLSAQKLLKELCSEMEQLLVKKSECSLEEEDGLKSILCYDVMHRSESWIDFHSETSGVVLDVERLVFKDLVDEIVIGEAAGIRTKPGRSRRQLFGK >Potri.009G101400.1.v4.1 pep chromosome:Pop_tri_v4:9:8959363:8961988:1 gene:Potri.009G101400.v4.1 transcript:Potri.009G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101400.v4.1 MEENLRGNVPLPDQKKKNSELTRSNSDSSGLTLDDANARPHGTSAVWTDEKHGLYLASLEASFVNNQLHHSIRLRGWLGEMGGRPCSSPHQFMVLRDSIWQKKRNEPLLESTADSHFVKDITPAMCHLASAGNQCNAAHHDLQGHSVYCGGGVHARENATVFGGLARSSEQHPVCCLCYQNYIGSTIEVSDQNFVEEDEGEMLNCVHMVKRLRTSGAEASSNDQAVPFTRSDTRDVSTASQSSSEREAHVHQELLSENPNSFVRQNSLHYFLRGS >Potri.017G003950.1.v4.1 pep chromosome:Pop_tri_v4:17:242669:244013:-1 gene:Potri.017G003950.v4.1 transcript:Potri.017G003950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003950.v4.1 MGCYVVGSAGSQEKVDLLKNKLGFDAAFNYKEEKNLDDTLKRHFPEGIDICFDNVGGKMLDAVLLNMKLNGRIAHCGMISQYTLDEPEGIKNMMNIIYKRLRLEGFVVTDHYHLFPKFLDFMLPCIREGKIVYVEDISEALESCPAALVGLFNSSKLGKKVVIVATELITSQPNCSVLLGQY >Potri.013G150800.1.v4.1 pep chromosome:Pop_tri_v4:13:14708779:14713210:1 gene:Potri.013G150800.v4.1 transcript:Potri.013G150800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150800.v4.1 MLPPTFFLYVFLFCSLLLHCLAGDTLTRNSPIRDSRGETLVSNGEKFELGFFTPNGSTERRYVGIWFYKSSPRTVVWVANRDNPLLDHSGVFSVDENGNLQILDGRGRSFWSINLEKPSSMNRIAKLMDTGNLVVSDEDDEKHLTGILWQSFENPTETFLPGMKLDEDMALISWKSYDDPASGNFSFHLDREANQFVIWKRSIRYWRSGVSDNGGSSRSEMPSAISYFLSNFTSTSVRNDSVPYITSSLYTNTRMVMSFAGQIQYLQLNTEKTWSVIWAQPRTRCSLYNACGNFGSCNSNNEVVCKCLPGFQPVSPEYWNSGDNSRGCTRRSPLCSNSATSDTFLSLKMMKVANPDAQFKANSEVECKMECLNNCQCEAFSYEEAETTKGGESESATCWIWTDDLRDIQEEYDGGRDLHVRVSVSDIESAATTCGTCGTNMIPYPLSTGPKCGDPAYLNFYCNLSSGQLNFKARGDTYRVTKINPGMHTFVIQTETADSCKSIKSNGNLLQLNQSSPFHVIRFCNVDLGNISSTISFTGGDEVEIGWDPPPEPTCFSSSDCKYWPNSSCSARIDGKKLCLCNAKFKWDGLKLKCTEAGHYSEKKDGSSIGKIPLSLIIAVALISLIALAVLSSTIVFICLQRRRMPKLRENKGIFPRNLGFHFNGSERLVKDLIDSDRFNEDETKAIDVPCFDLESLLAATDNFSNANKLGQGGFGPVYKATFPGGEKIAVKRLSSGSGQGLEEFKNEVVLIAKLQHRNLVRLLGYCVEGDEKMLLYEYMPNKSLDSFLFDRKLCVSLDWEMRYNVIIGIARGLLYLHQDSRLRIIHRDLKSSNILLDEEMNPKISDFGLARIFGGNETAANTNRVVGTYGYIAPEYALDGLFSFKSDVFSFGVVVLEIVSGKRNTGCYHPEQSLSLLGHAWNLWKEDKAMELLDQTLSKTCNTDQFVKCVNVGLLCVQEDPSDRPTVSNILFMLRSETPTLPDPKQPAFVFRRCPSSRASSSSKPDTVSNNGLTVTLEDGR >Potri.013G150800.4.v4.1 pep chromosome:Pop_tri_v4:13:14708827:14713218:1 gene:Potri.013G150800.v4.1 transcript:Potri.013G150800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150800.v4.1 MLPPTFFLYVFLFCSLLLHCLAGDTLTRNSPIRDSRGETLVSNGEKFELGFFTPNGSTERRYVGIWFYKSSPRTVVWVANRDNPLLDHSGVFSVDENGNLQILDGRGRSFWSINLEKPSSMNRIAKLMDTGNLVVSDEDDEKHLTGILWQSFENPTETFLPGMKLDEDMALISWKSYDDPASGNFSFHLDREANQFVIWKRSIRYWRSGVSDNGGSSRSEMPSAISYFLSNFTSTSVRNDSVPYITSSLYTNTRMVMSFAGQIQYLQLNTEKTWSVIWAQPRTRCSLYNACGNFGSCNSNNEVVCKCLPGFQPVSPEYWNSGDNSRGCTRRSPLCSNSATSDTFLSLKMMKVANPDAQFKANSEVECKMECLNNCQCEAFSYEEAETTKGGESESATCWIWTDDLRDIQEEYDGGRDLHVRVSVSDIESAATTCGTCGTNMIPYPLSTGPKCGDPAYLNFYCNLSSGQLNFKARGDTYRVTKINPGMHTFVIQTETADSCKSIKSNGNLLQLNQSSPFHVIRFCNVDLGNISSTISFTGGDEVEIGWDPPPEPTCFSSSDCKYWPNSSCSARIDGKKLCLCNAKFKWDGLKLKCTEAGHYSEKKDGSSIGKIPLSLIIAVALISLIALAVLSSTIVFICLQRRRMPKLRGKKQGNFSKKFRISLQW >Potri.004G057600.1.v4.1 pep chromosome:Pop_tri_v4:4:4801742:4805917:1 gene:Potri.004G057600.v4.1 transcript:Potri.004G057600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057600.v4.1 MPVFKTPFNGYSVKFSPFYESRLAVATAQNFGILGNGRLHVLSLPPAPSSPLTELISFDTADGIYDLAWSESHDSLLIAAVADGSVKLYDTALPPTQNPIRSLQEHTREVHSVDYNPTRRDSFITASWDDTIKLWTLDRPASIRTFKEHAYCVYSAAWNPRHTDVFASASGDCTVRIWDVREPGSTMIIPGHDFEILCCDWNKYDDCIIATASVDKSIKVWDVRSFRAPISVLNGHGYAVRKVKFSPHHRNLMVSCSYDMSVCMWDFMVEDALVGRYDHHTEFAVGVDISVLVDGLMASTGWDELVYVWQHGTDPRAP >Potri.012G102700.1.v4.1 pep chromosome:Pop_tri_v4:12:12516351:12517554:-1 gene:Potri.012G102700.v4.1 transcript:Potri.012G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102700.v4.1 MDAVKDTKWKKSLFMRAWYRSLTVGRKKPSKNSVISFTKSNSWHCTRKPSDQEDGISTNNKSKKKTQVAPDGCFSVYVGAEKQRFAVKAEFANHQLFKMLLEDAELEYGHNSEGPISLPCDVDFFYKVLAEMESDEVDDIMINPPSCSSLALCSPARRFKSRKDGHGAYRILSPSRILKLI >Potri.001G135100.4.v4.1 pep chromosome:Pop_tri_v4:1:11009576:11010044:-1 gene:Potri.001G135100.v4.1 transcript:Potri.001G135100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135100.v4.1 MVLVKAMLKYLVLFLVLFTSSVSVHSVAGEAVGKNNVAKSYRSWRRINHGSARGPRKHLVNPTVEHPFEVPELPV >Potri.001G135100.3.v4.1 pep chromosome:Pop_tri_v4:1:11009587:11010648:-1 gene:Potri.001G135100.v4.1 transcript:Potri.001G135100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135100.v4.1 MIIPTTNQYVWNARSDIFYVTSKQRKVNNPFRGSLSTPLSFPGQKGINQENTMVLVKAMLKYLVLFLVLFTSSVSVHSVAGEAVGKNNVAKSYRSWRRINHGSARGPRKHLVNPTVEHPFEVPELPV >Potri.011G033100.1.v4.1 pep chromosome:Pop_tri_v4:11:2666629:2669951:-1 gene:Potri.011G033100.v4.1 transcript:Potri.011G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G033100.v4.1 MAVKIYIIYYSMYGHVARLAEEIKKGADTVEGVEIKLWQVPETLPEEVLGKMGAPPKSDVPIIKPNDLTEADGVLFGFPTRFGMMAAQFKAFLDATGGLWGTQQLAGKPAGIFFSTASQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEQVKGGSPYGAGTFAGDGTRQPTELELGQAFHQGKYFAGIAKKFKGTT >Potri.001G330000.1.v4.1 pep chromosome:Pop_tri_v4:1:33864542:33870680:-1 gene:Potri.001G330000.v4.1 transcript:Potri.001G330000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330000.v4.1 MLKCEVKYMATSAIGLLQLLKLVMCIAVMILCALDSTKSIVIVNFHQAPPEQSRISTAVFQYSTEFPDGSNACKNNSCHMSCELDGRTLRSCPADIIVFKNLTVNHKHSFLLSVTTRNGERNSSSYSWFIDTIPPTATIFSEQNYTNAGNITIDVTFSEACTGLGGFKCANSSNCDVILNGPAYLHASSLHIIKPNIKYRLDLTLSLKSLRGRILVRMADNFCTDKAGNSFTRTNSSSLIIHFDRRPVLVDLWMPVPSYVMEINGVPRTVLATNKIGDMKICLDFSIPIGNSTEEVLNSLHVNSDNVLPVLIGNHWNRKFFFELRNASKAETITVELDSGLVGRTGTPVSQAASLTILFDSTKPEVRLSTSSPNVTEASDMKVIVGFTKPVFGFAASMVQVEGGKVTRFKELSRALYSLTVLAVTQNVVSITIPAGKVHDISGNQNLASNLLEVKHYSTPAISMALYSFVTSGVIATSLTAAALSLSTANLGAIRSLAAGDTDNFASKPSMNLHGFFGHLQVFVLSDWLSASHPIEYSETTKGLRWLIPRQKLPWKDGGTSIWPNHVYLAKENLRILSLEYHNWFNTNLNSSSNMEDQLPFQTEINLNFGWRHGYSTSMKSTPYGLPLNSREYFTYFLRGEPSSATNLIKETENCKGWQDLEKNLFWLGVGGGSLLIIHVLTLLFLRWRTGAPAHGIFSVPRFELLLLILMLPCISQSSAFVMKGGSPRGIIIGALLLVVPGALILFTILFLIIAIFSGSFALYKEIRDIAVGDPWYKKLWSVFVGKQVIGKWFYKEGLPTSLLPRFGILFENLRGPPLFVIVDHCDPNTLPTWIESGQSGIGRMRAVSSDDSNEETKMPWSRRLVGCARSSYVILDLVRRIGLGILSGAYRSPESSQSLLALAITLIQFIYLLTLKPYIRRRVHLVESISLLCEAGIFGFSIATERSNHMEESILGYTMLALLFLTFIVHIVNEWYALVKCLLRLSQPRRNSFKFGLKLAAKGLVLPFLPRKHWSKVIPNFSQPKTGLSAVPPLSPESVDRRTHHGDALSTISATVVPVLSPGSPSLDVIQETSYTTAETSLHSAQSVGEGKGSQGLNLEKKSELKKLRQLARASFSGNSKGQESTSYAFRDQFFSPKTPDSSQASTS >Potri.001G330000.2.v4.1 pep chromosome:Pop_tri_v4:1:33864542:33870663:-1 gene:Potri.001G330000.v4.1 transcript:Potri.001G330000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330000.v4.1 MLKCEVKYMATSAIGLLQLLKLYSTEFPDGSNACKNNSCHMSCELDGRTLRSCPADIIVFKNLTVNHKHSFLLSVTTRNGERNSSSYSWFIDTIPPTATIFSEQNYTNAGNITIDVTFSEACTGLGGFKCANSSNCDVILNGPAYLHASSLHIIKPNIKYRLDLTLSLKSLRGRILVRMADNFCTDKAGNSFTRTNSSSLIIHFDRRPVLVDLWMPVPSYVMEINGVPRTVLATNKIGDMKICLDFSIPIGNSTEEVLNSLHVNSDNVLPVLIGNHWNRKFFFELRNASKAETITVELDSGLVGRTGTPVSQAASLTILFDSTKPEVRLSTSSPNVTEASDMKVIVGFTKPVFGFAASMVQVEGGKVTRFKELSRALYSLTVLAVTQNVVSITIPAGKVHDISGNQNLASNLLEVKHYSTPAISMALYSFVTSGVIATSLTAAALSLSTANLGAIRSLAAGDTDNFASKPSMNLHGFFGHLQVFVLSDWLSASHPIEYSETTKGLRWLIPRQKLPWKDGGTSIWPNHVYLAKENLRILSLEYHNWFNTNLNSSSNMEDQLPFQTEINLNFGWRHGYSTSMKSTPYGLPLNSREYFTYFLRGEPSSATNLIKETENCKGWQDLEKNLFWLGVGGGSLLIIHVLTLLFLRWRTGAPAHGIFSVPRFELLLLILMLPCISQSSAFVMKGGSPRGIIIGALLLVVPGALILFTILFLIIAIFSGSFALYKEIRDIAVGDPWYKKLWSVFVGKQVIGKWFYKEGLPTSLLPRFGILFENLRGPPLFVIVDHCDPNTLPTWIESGQSGIGRMRAVSSDDSNEETKMPWSRRLVGCARSSYVILDLVRRIGLGILSGAYRSPESSQSLLALAITLIQFIYLLTLKPYIRRRVHLVESISLLCEAGIFGFSIATERSNHMEESILGYTMLALLFLTFIVHIVNEWYALVKCLLRLSQPRRNSFKFGLKLAAKGLVLPFLPRKHWSKVIPNFSQPKTGLSAVPPLSPESVDRRTHHGDALSTISATVVPVLSPGSPSLDVIQETSYTTAETSLHSAQSVGEGKGSQGLNLEKKSELKKLRQLARASFSGNSKGQESTSYAFRDQFFSPKTPDSSQASTS >Potri.006G048800.1.v4.1 pep chromosome:Pop_tri_v4:6:3366855:3368249:1 gene:Potri.006G048800.v4.1 transcript:Potri.006G048800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048800.v4.1 MKHQQITLQRSSSCSRSTRKIIPSNYMRSIPEQEDHHSPLSSQRLDDTKIYSRFTCFFRSILKIISFPNIIIPKTCKWLSTPTQYLSLTPSLGRKVTGTLFGNRHGHVSFAVQDDPGSEPVLLLELSISTAMLVKEMSSGLVRIALECEKVRAPQVQTGRPGKLFNEPTWTMYCNGRKCGYAVSRRCTYSDWYVLGTVQSVSVGAGVIPVVEDGRKSGGGEGELLYMRAKFERVVGSRDSEAFYMMNPEGNGGPELSIFLLRI >Potri.014G155900.1.v4.1 pep chromosome:Pop_tri_v4:14:10995298:11002172:-1 gene:Potri.014G155900.v4.1 transcript:Potri.014G155900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155900.v4.1 MGEILSPKQRLPRIQSPTSPFFLGSNDDNLERAQARAARAAAIRRKTIAAHSQPPPLQDPDPCLDKQQILDLFHNCIKLASENKINQKNTWELNLIDHLAEIIKVEEESDTETNFQKASCTLEAGVKIYSLRVDSVHSEAYKVLGGINRAGLEDEPGTVDGVNADNGHEESHSKKETEKKMSPLSTLEPSFEALNVKKFDVAFAVDPLYHQTSAQFDEGGAKGLLLNNLGVYGGCRVLFDSQEVPGKCTSCENQREGLDMIELSFARDYIEQMVLNMRTQDEISPTLGTIVDQFDEDNKRPLNIFPCHQTCGDQFHEDDRTYNNEVEFDGETHENCGPWNVDQDDQRSVIDEDPIGADTTFPSYHEEREPHLFDNPDTDDRFDKVDWPLFLSLGFTSKRNDWAGPDHWKYQKVKEDPSTTEEGSPVITKRPKTKKKTEVDIDFTKALDEEMPDVFAPPKNAKSLLLPANRPQCNTTLPEDCHYQPEDLAKLFLLPNIMCLGKRRKKFSDETRQQADDCGPLPSWDGESAFAGQFDDGDAYSDVEDPNTLVTQPRQVNKIEVHYDKASKQVDVQTLKETLWHHIQESPQMPVQDQEEAVSFRDLLASFPSDCRAAATIKEISPHLCFICLLHLANEHGLSIRGCAGLNDLSIHFPQ >Potri.001G410554.1.v4.1 pep chromosome:Pop_tri_v4:1:44293673:44293945:1 gene:Potri.001G410554.v4.1 transcript:Potri.001G410554.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410554.v4.1 MQMLQLSNIKVFQMWFSYGINPAFDSRLSYIQGCVCGALAYKTAGTRRCGRAARLLLFMKFILS >Potri.001G410554.2.v4.1 pep chromosome:Pop_tri_v4:1:44293673:44293945:1 gene:Potri.001G410554.v4.1 transcript:Potri.001G410554.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410554.v4.1 MQMLQLSNIKMWFSYGINPAFDSRLSYIQGCVCGALAYKTAGTRRCGRAARLLLFMKFILS >Potri.011G166700.5.v4.1 pep chromosome:Pop_tri_v4:11:19063410:19067750:1 gene:Potri.011G166700.v4.1 transcript:Potri.011G166700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166700.v4.1 MTVGAGISVADRKLVVLGNCVLNDVHDNIEITPASGGGFINGAFIGVRSDQVGCRRVFPVGKLEGLRFMCVFRFKMWWMTQRMGNCGQEIPFETQFLIVEARDGSRFDNGEEQSALYTVFLPILEGDFRAVLQGNEHNELEICLESGDPAVKEFEGSHLVFVAAGSDPFDVITNAVKAVESHLQTFSHRERKKMPDMLNWFGWCTWDAFYTDVTAEGVKQGLESFEKGGIPPKFVIIDDGWQSVGMDPTGIETLADNSANFANRLTHIKENHKFQKNGKEGYRIEDPALGLTHTVTEIKERHDLKYVYVWHAITGYWGGVRPGGAEMEHYEPKLTYPISSPGVESNEHCDAFKSIATNGLGLVNPEKVFRFYDELHHYLSSAGIDGVKVDVQNILETLGAGHGGRVKLARKYHQALEASIARNFRDNGIIYCMSHNTDGLYSAKRSAVIRASDDFWPRDPASHTIHIASVAYNTIFLGEFMQPDWDMFHSLHPMAEYHGAARAVGGCAIYVSDKPGQHDFNLLKKLVLPDGSILRAKLPGRPTRDCLFSDPARDGKSLLKIWNLNDFNGVIGVFNCQGAGWCRVGKTNLIHDENPGTITGSVRAKDVDYLPRVACDGWTGDSVLYSHVGGEVVYLPKDAGMPLTLKSREYEVFTVVPVKELANGVKFAPVGLVKMFNSGGAIKELQYDSSTTATVSMKARGCGLFGAYSSAQPKRISVDSKEVEFGFEEGTGLVTIDLRVPEEELYLWNITVEL >Potri.006G027300.2.v4.1 pep chromosome:Pop_tri_v4:6:1772545:1775240:-1 gene:Potri.006G027300.v4.1 transcript:Potri.006G027300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027300.v4.1 MAVEMALVKPLSKFSSTPKFGNPRSFSYPKFITIKMSATAKTTSSPKPRKKGNKGEIKETLLAPRFYTTDFDEMETLFNTEINKKLNQSEFEALLQEFKTDYNQTHFVRNKEFKEAADKMQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLRENPEYQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWKAKLWSRFFCLSVYVTMYLNDCQRTAFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVEINQKLLAVGESEDNSLVKNFKRIPLVAALVSEILAAYLMPPIESGSVDFAEFEPKLVY >Potri.010G187000.1.v4.1 pep chromosome:Pop_tri_v4:10:18367066:18368989:-1 gene:Potri.010G187000.v4.1 transcript:Potri.010G187000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187000.v4.1 MSCSIASTIPNSPIFQSPRLPSMFCKPCPSPSPSTIHGPQSPASSSSLPLPPSLPLPPSFLSSFSSSLSSPKSPLSLRVNNETILETNTDTLLKRKRPGRLNIPVAGVPGFVLETSKGEEERVEAVEVEGDGYSVYCKRGRRGGIMEDRYSAFVDVNGDSKQASFGVFDGHGGPKAAEFAAKNLNKNIMDQVSSRCLEGIETAIKNGYLTTDEEFLKQNVNGGSCCVTALIHQGNLVVSNTGDCRAVMSRKGVAEALTSDHQPSRKDEKDRIEALGGYVDCCHGVWRIQGSLAVTRGIGDRRLKRWVIAEPETKVLKIKPECEFLILASDGLWDKVTNQEAVDVARPTCIGVDKPDPFSACKKLAELSLKRGSIDDTSVMIIQLDRFVP >Potri.007G013800.1.v4.1 pep chromosome:Pop_tri_v4:7:1037631:1039285:-1 gene:Potri.007G013800.v4.1 transcript:Potri.007G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013800.v4.1 MIGTSDQLGSNSVEDEEANHATKIWGVNKYRLNLMRQKISDPPRLLTKAAANSSCCIFKVPQRFIDINGKSYQPHIVSIGPYHHGEAHLRMIEEHKWGYLGSMLSRTQNNGLDLEVLLRAIQPLEMKARECYSQIIHLDTCEFVEMMVLDGVFIIELFCKVGEIVGFEADDPIVTMAWIIPFFYRDLLRLENQIPFFVLECLFEITRTPGEESGPSLSKLALDFFNNALQRPDYIIARHNNGKAKHLLDLVRSSFIDSEQAQPRCVDTSTPMIQSVSKLRRAGIKLGQGDPADSFLVVKFKNGVIEMPTITIDDTISSFLLNCVAFEQCHSGSSNHFTTYATLLDCLINTIKDVEYLCDCNIIENYFGTDSEVARFVNDLGKEVAFDIERCYLSEMFSDVHQYYKDRWHLQWASFKFTYFSNPWSFISAMAALIILLLTVAQTFYTIYGTYKT >Potri.010G066600.1.v4.1 pep chromosome:Pop_tri_v4:10:9486296:9488849:-1 gene:Potri.010G066600.v4.1 transcript:Potri.010G066600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066600.v4.1 MDGEIEKDSLAREAPYAPVTHERPVRDDLDDKIPKPYMARGLQAPDTENPYGTPGHKHRGLSVLQQHVAFFDLDENGIVYPWETYTGLRAIGFNIIASLIVAAVINGALSYATLPGWFPSPFFPVHIHNIHKAKHGSDSGTYDTEGRYIPVNFESIFSKYANTKPDKLSLRELWKMTEGQRVAFDIFGWMGAKLEWGLLYVLAKDEEGFLSKEAIRRCFDGSLFEYCAKMNRGAEAKMR >Potri.010G172100.4.v4.1 pep chromosome:Pop_tri_v4:10:17322159:17327508:1 gene:Potri.010G172100.v4.1 transcript:Potri.010G172100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172100.v4.1 MERTSKKYAPTKEQLNALNHDGDEKGTETCTRANEIREGADTDPSKSTWFCDSSEMVKGDRADKPVINTSSLLDGSGIQFWSGEKADRLSESEDLNAPRNKKPIEEQGEMMACLGQATNNDICPASDNVNQCDKLLVLRDVMLETTTGHYKSKRNIGGRELQQAWLPEYTEAGKALKEKDAKLRARQKQKLALRNNSTILSPATIEQVPDAWSDMELDSLWVGVRRHGQGNWEAMLRDPLLFFKGKTVEHLTQRWMKERLQIFNLEKYGNPQVDRHQVAGTTSLSSKDQLSSGEVKIDEPTLLLGGIISDFHCNQGLESNSAEVFNHKQTAFTKIGKGYMQRDKDTFN >Potri.017G149000.1.v4.1 pep chromosome:Pop_tri_v4:17:14784016:14785840:1 gene:Potri.017G149000.v4.1 transcript:Potri.017G149000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G149000.v4.1 MAEGQDWDLYAIVRSCTSATTNRNGSSNTSENLENPFECLASLTFDDDDDQEFNPFSLPNLAVQPTNNDGLQELQDSYKPFLPSYTASGLQGNNDNIPSSSSISDFGVIFSGQNPPQLAHQQQQQLQQQNHYHHHHHQQQQQSPPPPSTSVSISPRFNNSQQQPQHIVQQQQNQRRQLHQLVTSTSSMFPLRTTQSQTPRSRKKKSNQKKLVLHVTAENLSNDVWAWRKYGQKPIKGSPYPRNYYRCSSSKGCAARKQVERSNTDPNMFIVSYTGDHTHPRPTHRNSLAGSTRNKVQQAVQKPEEKESEQPNISADKGLCSSPLSATSLSPRTPLSAPIDHAETAGNDQEAKIENLEGGHGLMGSDDDCDNDINDDCDDDLLIPNMALNEDFIKGFQELVGASEGGGGGSSK >Potri.013G001000.1.v4.1 pep chromosome:Pop_tri_v4:13:78785:81006:-1 gene:Potri.013G001000.v4.1 transcript:Potri.013G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001000.v4.1 MGRHSCCYKQKLRKGLWSPEEDEKLLNYITKHGLGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGAFSQQEENLIIELHAVLGNRWSQIAAQLPGRTDNEIKNLWNSCIKKKLRQRGIDPNTHKSLSEAEDGKGKQQLTADKSNEKVSIVSNELNLIKTATLKPPAVSSRSSNVITTNNNKDRSGSSNLTNVPPTQEFFLDRFGTSHESSTTASCMPSDLMDYFPFQKLDYKPIIDLSMNPNSTLCFNPNSSSSEMISHEFNSSMTPPTILPSVSTSMFQTPICVKPSVSLPSDHNPSVGSCDVNGVQNWEASSFSNNGSGSNGSSSSIELQSNTNFFESSAFSWGLADCGKSSDEAHLRSLANETVEDIKWSEYLSTPFFLGSTIQNQTSQHVYSEVKPETHFIAQGSSTSWLKNQHQQASQPADIYTKDLQRLAVAFGQSI >Potri.006G150200.12.v4.1 pep chromosome:Pop_tri_v4:6:13061545:13066454:1 gene:Potri.006G150200.v4.1 transcript:Potri.006G150200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150200.v4.1 MAAATNSILLKLKPKIKIKICNPLFGYPRSNSSASPSIPHLFSTTTLPYADADSDCSSEIKATADANPNFIHPSADVHPNAIIGHGVSVGPFCTIGSSVKLGNGCRLYPGSHVFGNTEIGDHCLLMPGAVVGDHLPGRTVLGCNNVIGHHAVIGVKCQDLKYKPGDECFLHIGDNNEIREHTSIHRSSKSSDKTVIGDNNLIMGSCHIAHDCNIGNNNIFANNTLLAGHVVVEDYTHTAGAIVVHQFCHIGSFSFVGGGSVVSQDVPKYTMVVGERAELRGLNLEGLRRNGFTATEIKSLRTAYRNIFMPVDSNSTSFEERITKVEEDKELGKITAVCTMIQSLRDSFAQNRRGICKFRYFSGS >Potri.001G296500.1.v4.1 pep chromosome:Pop_tri_v4:1:30736007:30738837:-1 gene:Potri.001G296500.v4.1 transcript:Potri.001G296500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296500.v4.1 MARLYPLALFLSFLLTISPSLSTNSEGNALHALRSRLSDPTNVLQSWDPTLVNPCTWFHVTCDSSNHVTRLDLGNSNISGTLGPELGELRHLKYLELYRNDIGGKIPKELGNLKNLVSMDMYGNRFEGEIPKSFAKLKSLRFLRLNNNKLSGSIPRELTTLKDLKVFDVSNNDLCGTIPVDGPFTSFPMESFENNKLNGPELKGLVPYDFGC >Potri.004G172400.2.v4.1 pep chromosome:Pop_tri_v4:4:18755624:18758441:-1 gene:Potri.004G172400.v4.1 transcript:Potri.004G172400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172400.v4.1 MKGIKYLEHFRGEHQLKLIEKVDEANLAVCKACKEHCRDPYYFSCSVCDLNLHDLCANLPSWFSNPFHPHTLSIFQTHMIGEPEQFQCHACFRKIDGYTYGYKCNECLIYLDVECALLRPVIKEDEDHVLIHFSHLHPLVLVATELEDVNDDPPCCYLCWEFIISSVLFYKCEPCRFYLHKSCAELKLPKCLDHFYHRCSLALCTERNPYTCNACLRNRGGFHYLCDNCNFRLDVDCALLPEKMKSEGQDQLYHHPMHGHPLTLVDVTDDEAIHCVACQKSCCDKAYVCRWAGVCRFFLHQQCFLLPEKIFQPHYPGLELKLTPECFPKARCISCYNLCNGLFYRTPSKLPKKVRKKLGGLPLPFNVHVKCPVEVSTITYQAHPHNLTFIENIIGNDIDDNNEFKGIKCKACGKDCVSSSPLFRCARCNYNLHVECGPLPCIIPFKSELHTHPLRLIYSPVDGEDKNDVDVFYCDECENKRDPRLPVYCCSEGCPYIAEIKCVISEVKRSLLGYNGEVELKSLITKMAGKVIGKYYLPPQETGRGSGTKNEDCNEIISRFRIEDTDLYRSISMAARHWAQNDTTKSMGSQASLFPNEALTLMNLLYGGKDIFKEDRPIFKVEDHFLKSDFAYIREALGDVILAKPTLQN >Potri.003G096800.1.v4.1 pep chromosome:Pop_tri_v4:3:12288266:12291476:1 gene:Potri.003G096800.v4.1 transcript:Potri.003G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096800.v4.1 MARTTSRDAQALFQSLRSAYTATPTSLKIIDLYVVFAVFTAIIQVVYMAIVGSFPFNSFLSGVLSCVGTAVLAVCLRIQVNKENKEFKDLPPERAFADFVLCNLVLHLVIMNFLG >Potri.013G048200.1.v4.1 pep chromosome:Pop_tri_v4:13:3446672:3448379:-1 gene:Potri.013G048200.v4.1 transcript:Potri.013G048200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048200.v4.1 MDSTSISFSLVSHMLVLSASLGLLLPCVKCSSDFSTLVYKKCSNQTYNGSTESHSQTLSSLFQELLPQSSTSKFFKTTAGDENVGISAFFQCRNDLRNDECYNCVNTLPKVSNSLCKQALAARVHLDGCYFKYETDGLVEETSTHELLHQTCSEKKVVGHGFEELKNAAFAAMESGVVSEGGFYETNYESLHVMAQCQGDLKGCDCGECISSAIQVAEEKCGASISSQVYMDKCFMSYTYYPDGIPGNSYPGKESNYGKSTGRTVAIVVGGAVVLGVGFILLKFFKSCGKKEDI >Potri.016G029600.1.v4.1 pep chromosome:Pop_tri_v4:16:1651809:1653024:1 gene:Potri.016G029600.v4.1 transcript:Potri.016G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029600.v4.1 MASSENLASLEPWNMMFRPSLSDCWISEAYARDTETLTKALQKSLFNNIDDIDIPINTNTNDNSSNSFSITETFSSNSINPFTGLIETAPPTPTPSNVSGSDPETAGVTKRHRNPVPGATGKVSKRKSRASKRSQTTFITADPANFRQMVQQVTGVRFNNSQVSMVPVLKPEPQRLGGRFQGGSGCLPTLDTSAFLLDHHQQQVVMGSASGSSPGSGPVSFTQQPMMGDVGVGSSGGIDFDTFSSFPTLESWKVV >Potri.011G121500.2.v4.1 pep chromosome:Pop_tri_v4:11:15194376:15196563:1 gene:Potri.011G121500.v4.1 transcript:Potri.011G121500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121500.v4.1 MAKDDKRKRCEEVNKDMISSLPNVIIGHILSFLPTKDALCTCILSKSWRELWRSLPNFDFDDRTSKSKIIFGNFMDRFFYVHNSRENSITKFRLRVHGNYPSSRLSQWIDAAIKDNIEELILWIPLLTHVPLPRRVFSCEKLVVLNLSYGIAIDLLGVGVRFSCLKVLHLEGLPMLDDLASIEKLLAGSPVLEELKIEHEYCQSRNALRVCSSSLKRLTIRFTRIRYYRKDPGCRALTLDTPNLELLILTDFVSEELNMQQLPCSLVEASISVAFTHFFIIQLDSYIDMAVQFLRLIMPIVRILRLCGTTMRILSNAVRKKLPCFEDLPNFQNLTRLEIEASGDCRWMVLHEILKCSVKLEVFILYKDKAKDTPKWRSLVCLNSSAIPKWRNPEFAPRCMRSSLKVIECVDFEGDFSEIEMAEYFIKNALVLEKMAIRFGWGMTHNSKERVAKRLSGCQIGSEACRITFSSP >Potri.003G170200.1.v4.1 pep chromosome:Pop_tri_v4:3:17879275:17889525:1 gene:Potri.003G170200.v4.1 transcript:Potri.003G170200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170200.v4.1 MGRKKVELKRIENKSSRQVTFSKRRNGLFKKARELSVLCDVQVAILVFSSCDKLYEFSSVGSTTNILKRYTSHFKKKTTSSKDANHAEVYYGKHANLKSLAKLLLMVERNLEGPYAMELTLGDLVELEKQLHVTLTHVRDRKIQMMLESVKSLHDQEKMLKEENQLLEKQIVAMKNGKDSDHPLYHPPQQTTLSLLK >Potri.012G042300.1.v4.1 pep chromosome:Pop_tri_v4:12:3783931:3787931:-1 gene:Potri.012G042300.v4.1 transcript:Potri.012G042300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042300.v4.1 MMAEKILPVTLFVSVLLVLSVDQASSDEPDHRYRQGDQVPFYVNKVGPFHNPSETYRYFDLPYCLPDSAKEKRLSLGEVLNGDRLVSGPFPIEFLRERKSVPVCKKKLSKEDVAKFRAVVHEDYYYQMYFDDLPNWVFLGMVDKRQQRYYLFNHIHLNIYYNKDRVIEITSHTHTNAVVELTEDKEVDVEFLSTVEWNETDFPFEKRMTKYSQSSSLPRHLEIHRNSVINSCMAVLLLAGFLAAILRILNNDSVKYANDEELAADQEETGWKCIHGDVFRYPKHKSLFAACLGSGTQLLTLTVFIFILALVGVFYPYNRGALLTALVVLYALTSGIAGYTATSFYCQLGGTNWVRNLLLTGCLFCGPLFLTFCFLNAVAVAYTATAALPLGTIVVIALIWTLVSSPLLVLGGIIGKNSKAEFQAPCRTAEYPREIPPLRWYRQTIPQMAIAGFLPFILIQTELYYIFASVWGHRIYTFYSFLFIIFITLLIVTALITATLTHLQLAAEDHRWWWRSFLCGGSTGLFIYAYCFYYYYALSDMSGFMQTSFIFGYMACISFGCFLMLGTVGYLAALRFVRHVYGSIKCE >Potri.019G025833.1.v4.1 pep chromosome:Pop_tri_v4:19:3739803:3740610:1 gene:Potri.019G025833.v4.1 transcript:Potri.019G025833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025833.v4.1 MEIGLSVDPEGNGDDSAVLLSAEMRPWLVSGWKRRDEENRLVMFLAEGEEKKVCVQRGPVCLAESESNQREDGRSQNGGRFGLSLAKRGEVAGYGR >Potri.011G122300.2.v4.1 pep chromosome:Pop_tri_v4:11:15276166:15277086:1 gene:Potri.011G122300.v4.1 transcript:Potri.011G122300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122300.v4.1 MWTDCVCALGALAAKHAGNRRLLYHYLAQSKRRLLHLFPIYAFFYQKLVALINHIGKEKISTSCRRTLVDEIIKAGRLASKGRCLLMYESQGKKYWGAGHGLAGIVHALMDMELKPDEVEDVKCTLHFMIRNRFPSGKCPSSEGNESDHLVHSCHGTPGFALTLAKAAEVILLCDAICVK >Potri.012G130100.1.v4.1 pep chromosome:Pop_tri_v4:12:14525937:14526769:-1 gene:Potri.012G130100.v4.1 transcript:Potri.012G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130100.v4.1 MDSINSSTLPLCAKGCGFFGSPENENFCSKCYKEYLKEGLIAEPSKKLSEPIVVTPSFDDNSPDVVTDETTSTTTAVASTSKVKNRCECCNKKVGLMGFECRCGNTFCGVHRYPKEHSCTFDFKTLDQQNLAKQNPLVAGDKLGSRI >Potri.002G046900.1.v4.1 pep chromosome:Pop_tri_v4:2:3070870:3072569:-1 gene:Potri.002G046900.v4.1 transcript:Potri.002G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046900.v4.1 MESETASSVDEQVGGGGGASVVADTRGKHRILAELKRVEQEMKFLEEELEELEKTDNLSIVCEELLRNVENIPDPLLSLTNGPANPLWDRWFEGAQDSQGCRCSIL >Potri.016G001300.2.v4.1 pep chromosome:Pop_tri_v4:16:63032:66636:-1 gene:Potri.016G001300.v4.1 transcript:Potri.016G001300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001300.v4.1 MWQNLCAICLDPLSYSTGNSPGQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNIPCSLSCNHADPIFQILDDSIANFRVHRRSFLRSARYDDDDPIEPDQTPNHPRLDFSLVPIPLTIFHHPRTQHYQHHYNLTSSSLLSHPPASYACTSSSNRRTTAAYLSVRLANQRPTDMVLVASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPIEGLKKGIKILEDRAHKNPQSTILHLSDSPTRSYNAINLQVPIPIHRFHVGFGFGTSNGFVMHEFEEFLARLLGGVIRDVQLRIGDEARIIRLGELRGGEERRIVLEVGESGYVCVGYSYIDGGVEEFNRAGETAVALGDKREANEDDREAVVGRDSSSILGGRSSSVESWDYHDPYMARRWAKHLHGYRI >Potri.016G001300.1.v4.1 pep chromosome:Pop_tri_v4:16:63030:66769:-1 gene:Potri.016G001300.v4.1 transcript:Potri.016G001300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001300.v4.1 MGVGAASSKLKRAAKKMVVAACASFSSREPAAPADPSVSIYTTSINISDSNLAMFPTRTKNSFLGEGEDDDEEEEESNTIISNTKNNNVASKNLCAICLDPLSYSTGNSPGQAIFTAQCSHAFHFACISSNVRHGSVTCPICRAHWTQLPRNLNIPCSLSCNHADPIFQILDDSIANFRVHRRSFLRSARYDDDDPIEPDQTPNHPRLDFSLVPIPLTIFHHPRTQHYQHHYNLTSSSLLSHPPASYACTSSSNRRTTAAYLSVRLANQRPTDMVLVASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRRMTSYGKRTALQVIDRLFYMGQADPIEGLKKGIKILEDRAHKNPQSTILHLSDSPTRSYNAINLQVPIPIHRFHVGFGFGTSNGFVMHEFEEFLARLLGGVIRDVQLRIGDEARIIRLGELRGGEERRIVLEVGESGYVCVGYSYIDGGVEEFNRAGETAVALGDKREANEDDREAVVGRDSSSILGGRSSSVESWDYHDPYMARRWAKHLHGYRI >Potri.007G062542.2.v4.1 pep chromosome:Pop_tri_v4:7:7181487:7181582:-1 gene:Potri.007G062542.v4.1 transcript:Potri.007G062542.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062542.v4.1 MGILSIAVGFLFKITAVPFRAAVGRTAAYRW >Potri.007G062542.1.v4.1 pep chromosome:Pop_tri_v4:7:7181102:7181695:-1 gene:Potri.007G062542.v4.1 transcript:Potri.007G062542.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062542.v4.1 MIYGSTGATHFDQLAKILTGYEGARSSGIFMGILSIAVGFLFKITAVPFRAAVGRTAAYRW >Potri.015G129000.1.v4.1 pep chromosome:Pop_tri_v4:15:13989322:13992636:-1 gene:Potri.015G129000.v4.1 transcript:Potri.015G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129000.v4.1 MWRRVTSLSPVMSTSKPTSLDQAASGFKIWRSSFSTETPTLVKDGTTSFKERNPFITFVLGGPGSGKGTQCQKIVETFGFKHLSAGELLRREIESNSEHWSQMLNTIKEGRIVPSEVTVRLIQQEMESSDSNKFLIDGFPRTEENRIAFEQLIGLEPNVVLFFDCPEEEMVKRVLNRNQGRVDDNIDTVKKRLKVFEILNLPVIDYYSKRGKLCKINAVGTEDEIFEKVRPIFSACAGK >Potri.001G107500.2.v4.1 pep chromosome:Pop_tri_v4:1:8630239:8633703:-1 gene:Potri.001G107500.v4.1 transcript:Potri.001G107500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107500.v4.1 MALQEQLDRFKKQQEKCQSTLTSIAKSRPSKSSLTQKTVAVAPAPSTSARTPAPAVKFSNDTERLQHINSIRKAPAGAQIKRVIDLLLETRQAFTPEQINDHCYVDMNSNKAVFDSLRNNPKVHYDGKRFSYKSKHDLKDKSQLLVLIRKFPEGIAVIDLKDSYPSVMDDLQALKAVGQIWLLSNFDSQEDIAYPNDPRMVIKVDDDLKQLFRGIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISTKQKAKKKKHEISKRTKLTNAHLPELFKNLGS >Potri.001G107500.3.v4.1 pep chromosome:Pop_tri_v4:1:8630311:8633315:-1 gene:Potri.001G107500.v4.1 transcript:Potri.001G107500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107500.v4.1 MALQEQLDRFKKQQEKCQSTLTSIAKSRPSKSSLTQKTVAVAPAPSTSARTPAPAVKFSNDTERLQHINSIRKAPAGAQIKRVIDLLLETRQAFTPEQINDHCYVDMNSNKAVFDSLRNNPKVHYDGKRFSYKSKHDLKDKSQLLVLIRKFPEGIAVIDLKDSYPSVMDDLQALKAVGQIWLLSNFDSQEDIAYPNDPRMVIKVDDDLKQLFRGIELPRDMLDIEKDLQKNGMKPATNTAKRRAAAQVQGISTKQKAKKKKHEISKRTKLTNAHLPELFKNLGS >Potri.012G034000.1.v4.1 pep chromosome:Pop_tri_v4:12:3058884:3064377:-1 gene:Potri.012G034000.v4.1 transcript:Potri.012G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034000.v4.1 MAQVVATTRSIHSSILSSPSSGSLQDRVDKLLKPSTFSSKFLSSHDKKKIISSVSSHRNTHIVAAAVKRSAEPEVIPVSPEDVPKREEQFVQLEQLGDTTAAVGMWTKPTVRRKTKIVCTIGPSTNTKEMIWKLAEAGMNVARMNMSHGDHASHQKVIDLVKEYNAQAKDNVIAIMLDTKGPEVRSGDLPQPIMLSPGQEFTFTIRRGVGTSDCVSVNYDDFVSDVEAGDMLLVDGGMMSLLVKSKTEDSVKCEVVDGGELKSRRHLNVRGKSATLPSITDKDWDDIKFGVENKVDFYAVSFVKDAQVVHELKNYLKSCGADIHVIVKIESADSIPNLHSIITASDGAMVARGDLGAELPIEEVPLLQEEIIRLCRSMGKAVIVATNMLESMIVHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKFPLKAVKVMHTVSLRTEATIAGGEMPSNLGQAFKNHTSEMFAYHATMMSNTLGTSIVVFTRTGFMSILLSHYRPSGTVFAFTNEKRIQQRLALYQGVCPIYMQFSDDAEETFANALSVLKNQGMVKEGEEVALVQSGRQPIWRFQSTHNIQVRKV >Potri.019G127400.2.v4.1 pep chromosome:Pop_tri_v4:19:15052437:15053602:-1 gene:Potri.019G127400.v4.1 transcript:Potri.019G127400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G127400.v4.1 MLTSSSNLPQKSLKLKQDDKKVFSRRPARDVSLSNLSTEDYHVGASVAVPFTWESQPGTPKIKFRENPLPPLTPPPSYSYNNPKRPTKKLSKYNLLDSIFPKRSTRKANLPVSPASSSSSSSQLSSSWSATYSVPSSPTKVSKSRGSCHGKSSSPRQYFDSRKTMLDHLDDQDRGNECESPVSTLRFGLGRGSSAGSRGCYASMIKVLLRDA >Potri.010G149500.1.v4.1 pep chromosome:Pop_tri_v4:10:15967936:15973057:-1 gene:Potri.010G149500.v4.1 transcript:Potri.010G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149500.v4.1 MPIFALFISAAKLAGVLATVTVAANVFSFSLYRKKNLKPFKSPIDESAEILASFNLNEGEDEFFFGLATAPAHVEDRLNDSWLQFAEENPCDKSQPDQGMETADALMGSAAGDGGSQPASVSNKDVNKVDMKKRKPLKVAMEAMIRGFEKHAEDELPTTNEECHHNVAAWHNVPHPEERLRFWSDPDTELKLAKDTGVSVFRMGIDWTRIMPEEPVNGLKETVNFAALERYKWIITRVHSYGMKVMLTLFHHSLPPWAGEYGGWKLEKTVDYFMDFTRLIVDSVSELVDYWVIFNEPHVFCMLTYCAGAWPGGHPDMLEVATSALPTGVFNQAMHWIAIAHSKAYDYIHGKSTSSESIVGVAHHVSFMRPYGLFDVAAVSVANSLTLFPYVDSISNKLDFIGINYYGQEVVCGAGLKLVDTNEYSESGRGVYPDGLYRTLIQFHERYKHLKVPYIITENGVSDETDLIRRPYILEHLLAVYAAMIMGIPVLGYFFWTISDNWEWADGYGPKFGLVAVDRENNLSRIPRPSYHLFSKVASTGMITREDRARAWNDLQRAAKEKKTRPFYRAVNKYGLMFSGGLDQPIQRPYIERDWRFGHYEMEGLQDPLSRLSRCFLRPFSIKSKRKDWKDDTELILQPLT >Potri.014G079000.1.v4.1 pep chromosome:Pop_tri_v4:14:5107648:5110400:1 gene:Potri.014G079000.v4.1 transcript:Potri.014G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079000.v4.1 MIQFVLLFSRQGKVRLTKWYSPYTQKERSKVIRELSGVILSRGPKLCNFVEWRGLKVVYKRYASLYFCMCIDQEDNELEVLEIIHHYVEILDRYFGSVCELDLIFNFHKAYYVLDELLIAGELQESSKKTVARQIAAQDSLVEAAKEQASSISNIISQATK >Potri.001G184800.2.v4.1 pep chromosome:Pop_tri_v4:1:16377409:16382553:-1 gene:Potri.001G184800.v4.1 transcript:Potri.001G184800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184800.v4.1 MTSFFPPETVESVKRELGKSDFTPRKLVFTEFGLSPVVSASPLHKSPHERLLLQTKLHVLPRSPLSFKKSESPRISLPQARTKIINGTPKSHRQCHCKQSKCLKLYCECFASGSYCDECSCANCHNNVENEDVRREAAECILERNPNAFKPKITGSPCTPPDDGDAAKDVLVMAKHIKGCHCKRTGCLKKYCECFQANILCSENCKCVSCKNLEGRELGMVVTSENHCKTKAYIQQENANSSSAVVSSSHIFSQESRKRTFRELFDSNMRDTEIHEFTKHYAVNPVGVHVSIPTLRADCVCQIASSAALGSSKLTYRSLLADAIHPLDTRELCSLLVVVSEAAKFLADEHCKAQIKEVKEYQMACDLEKDCKKEPDVHQVVPHNQFPGSRTDITVMDNSRSCDIDMQDGGPFSSEEDYLMCDKKDKLFTRSASLDQNLNHGCNENFTKEHERLVLTCLRDCLGKLIAFGTMKGSRFEHLSTCNIKARPET >Potri.001G184800.1.v4.1 pep chromosome:Pop_tri_v4:1:16378739:16382534:-1 gene:Potri.001G184800.v4.1 transcript:Potri.001G184800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184800.v4.1 MTSFFPPETVESVKRELGKSDFTPRKLVFTEFGLSPVVSASPLHKSPHERLLLQTKLHVLPRSPLSFKKSESPRISLPQARTKIINGTPKSHRQCHCKQSKCLKLYCECFASGSYCDECSCANCHNNVENEDVRREAAECILERNPNAFKPKITGSPCTPPDDGDAAKDVLVMAKHIKGCHCKRTGCLKKYCECFQANILCSENCKCVSCKNLEGRELGMVVTSENHCKTKAYIQQENANSSSAVVSSSHIFSQESRKRTFRELFDSNMRDTEIHEFTKHYAVNPVGVHVSIPTLRADCVCQIASSAALGSSKLTYRSLLADAIHPLDTRELCSLLVVVSEAAKFLADEHCKAQIKEVKEYQMACDLEKDCKKEPDVHQVVPHNQFPGSRTDITVMDNSRSCDIDMQDGGPFSSEEDYLMCDKKDKLFTRSASLDQNLNHGCNENFTKEHERLVLTCLRDCLGKLIAFGTMKGIGLPFLFLNRYKNYQFESLSTIQH >Potri.003G118900.1.v4.1 pep chromosome:Pop_tri_v4:3:14044899:14046783:-1 gene:Potri.003G118900.v4.1 transcript:Potri.003G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118900.v4.1 MWSQKSREERKEIRGERVMESNKKKRTSSSSSTTTTVSKEEVIAKLKDDGDFDNLRLNIIRKLKDNEELRNNIISIVRHSATLNRAGAESMKPRQLFDALYDEVGNKLTSQISDGVWEVIRSADGMKNEITETVQSVYNKLVNPERKDDGESSTHGAMVVENGTNYKGLVKASAVSMDDNLSSDPKEPPGFSLSNNHQNSNHEKREQLQLPMPCEGPPEAKKKRPNHSEDMLKVNDVDLAPPGFSADVEPKEPCDSSDEDPDVPPGFG >Potri.016G141700.1.v4.1 pep chromosome:Pop_tri_v4:16:14434363:14440231:-1 gene:Potri.016G141700.v4.1 transcript:Potri.016G141700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141700.v4.1 MERFLLAVDTMGANQVGGGQTLLGTIKIAVLPIAKVFTMCFLGFLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAVTLQKMLEWWFIPVNVVLSSICGSLIGFIVASIVRPPYPFFKFSIVQIGIGNIGNVPLVLIAALCRDTSNPFGDSEKCSTDGTAYISFGQWVGAIILYTYVFNMLAPPPEGTFDIDEPNLPIKKPAKDAPMEQVPLLAQEEAPAEPDAPKRGKIKQILVFLYDKLKLKQILQPPIIASILAMFLGAVPFLKQLIFTTDSPLFFFTDSCNILGEAMIPCILLALGGNLVDGPGSSKLGFRTTAAIIFGRLVLVPPTGLGIVMLADKLGFLPAGDKMFRFVLLLQHTMPTSVLSGAVANLRGCGREAAAVLFWVHIFAIFSMAGWIVLYLNILF >Potri.016G141700.5.v4.1 pep chromosome:Pop_tri_v4:16:14434459:14439742:-1 gene:Potri.016G141700.v4.1 transcript:Potri.016G141700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141700.v4.1 MERFLLAVDTMGANQVGGGQTLLGTIKIAVLPIAKVFTMCFLGFLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAVTLQKMLEWWFIPVNVVLSSICGSLIGFIVASIVRPPYPFFKFSIVQIGIGNIGNVPLVLIAALCRDTSNPFGDSEKCSTDGTAYISFGQWVGAIILYTYVFNMLAPPPEGTFDIDEPNLPIKKPAKDAPMEQVPLLAQEEAPAEPDAPKRGKIKQILVFLYDKLKLKQILQPPIIASILAMFLGAVPFLKQLIFTTDSPLFFFTDSCNILGEAMIPCILLALGGNLVDVKALCFTAGPGSSKLGFRTTAAIIFGRLVLVPPTGLGIVMLADKLGFLPAGDKMFRFVLLLQHTMPTSVLSGAVANLRGCGREAAAVLFWVHIFAIFSMAGWIVLYLNILF >Potri.006G255700.2.v4.1 pep chromosome:Pop_tri_v4:6:25311249:25315234:1 gene:Potri.006G255700.v4.1 transcript:Potri.006G255700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255700.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVVASSSQPTPRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDECHEVAVKMLHPIKEEHMRVVLDKFDNLFSKCQGIENVCFLHGISVINGKICIVMKFYEGSVGDKMARLKGGKLSLPDVLRYGIVLAQGIAQLHAKEILVFNLKPCSFLLNENDQAVLGDVGIPFLLFGIPLPSADMSRRLGTPNYMAPEQWQPEIRGPVSFETDSWGFGCSVVEMLTGVQPWRGKSVEEIYESVVRKQEKPRIPEGLPPPVENVLLGCFEYDLRSRPLMTDIVRVFKSSQNAVFVDGGWTGFGSRTTLEKFSGTGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERDPDRDGFVLVRVHGIHDPLRLPVSTLERVTFGLAAGDWVHLKEEDRRHSPVGILHSIKRDGSVAVGFIGVETLWKGNSSELQMAEPYFVGQFVRPRANVLSPRFEWPRKTGGAWATGRIWWILPNGCLIVKFPGRLTIGQENSSFLADPAEVEVVSFNSCPGVVKKYQHFEDFHWAVRPLVIALGIFTAMKVGFFVGKKIGRSNKRQGSAVQSNAQHVDDQTSGNGSNQWFPPSVKNILGVSPAAR >Potri.006G255700.8.v4.1 pep chromosome:Pop_tri_v4:6:25310739:25315221:1 gene:Potri.006G255700.v4.1 transcript:Potri.006G255700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255700.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVVASSSQPTPRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDECHEVAVKMLHPIKEEHMRVVLDKFDNLFSKCQGIENVCFLHGISVINGKICIVMKFYEGSVGDKMARLKGGKLSLPDVLRYGIVLAQGIAQLHAKEILVFNLKPCSFLLNENDQAVLGDVGIPFLLFGIPLPSADMSRRLGTPNYMAPEQWQPEIRGPVSFETDSWGFGCSVVEMLTGVQPWRGKSVEEIYESVVRKQEKPRIPEGLPPPVENVLLGCFEYDLRSRPLMTDIVRVFKSSQNAVFVDGGWTGFGSRTTLEKFSGTGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERDPDRDGFVLVRVHGIHDPLRLPVSTLERVTFGLAAGDWVHLKEEDRRHSPVGILHSIKRDGSVAVGFIGVETLWKGNSSELQMAEPYFVGQFVRPRANVLSPRFEWPRKTGGAWATGRIWWILPNGCLIVKFPGRLTIGQENSSFLADPAEVEVVSFNSCPGVVKKYQHFEDFHWAVRPLVIALGIFTAMKVGFFVGKKIGRSNKRQGSAVQSNAQHVDDQTSGNGSNQWFPPSVKNILGVSPAAR >Potri.006G255700.6.v4.1 pep chromosome:Pop_tri_v4:6:25311176:25315270:1 gene:Potri.006G255700.v4.1 transcript:Potri.006G255700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255700.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVVASSSQPTPRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDECHEVAVKMLHPIKEEHMRVVLDKFDNLFSKCQGIENVCFLHGISVINGKICIVMKFYEGSVGDKMARLKGGKLSLPDVLRYGIVLAQGIAQLHAKEILVFNLKPCSFLLNENDQAVLGDVGIPFLLFGIPLPSADMSRRLGTPNYMAPEQWQPEIRGPVSFETDSWGFGCSVVEMLTGVQPWRGKSVEEIYESVVRKQEKPRIPEGLPPPVENVLLGCFEYDLRSRPLMTDIVRVFKSSQNAVFVDGGWTGFGSRTTLEKFSGTGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERDPDRDGFVLVRVHGIHDPLRLPVSTLERVTFGLAAGDWVHLKEEDRRHSPVGILHSIKRDGSVAVGFIGVETLWKGNSSELQMAEPYFVGQFVRPRANVLSPRFEWPRKTGGAWATGRIWWILPNGCLIVKFPGRLTIGQENSSFLADPAEVEVVSFNSCPGVVKKYQHFEDFHWAVRPLVIALGIFTAMKVGFFVGKKIGRSNKRQGSAVQSNAQHVDDQTSGNGSNQWFPPSVKNILGVSPAAR >Potri.006G255700.7.v4.1 pep chromosome:Pop_tri_v4:6:25311211:25315222:1 gene:Potri.006G255700.v4.1 transcript:Potri.006G255700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255700.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVVASSSQPTPRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDECHEVAVKMLHPIKEEHMRVVLDKFDNLFSKCQGIENVCFLHGISVINGKICIVMKFYEGSVGDKMARLKGGKLSLPDVLRYGIVLAQGIAQLHAKEILVFNLKPCSFLLNENDQAVLGDVGIPFLLFGIPLPSADMSRRLGTPNYMAPEQWQPEIRGPVSFETDSWGFGCSVVEMLTGVQPWRGKSVEEIYESVVRKQEKPRIPEGLPPPVENVLLGCFEYDLRSRPLMTDIVRVFKSSQNAVFVDGGWTGFGSRTTLEKFSGTGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERDPDRDGFVLVRVHGIHDPLRLPVSTLERVTFGLAAGDWVHLKEEDRRHSPVGILHSIKRDGSVAVGFIGVETLWKGNSSELQMAEPYFVGQFVRPRANVLSPRFEWPRKTGGAWATGRIWWILPNGCLIVKFPGRLTIGQENSSFLADPAEVEVVSFNSCPGVVKKYQHFEDFHWAVRPLVIALGIFTAMKVGFFVGKKIGRSNKRQGSAVQSNAQHVDDQTSGNGSNQWFPPSVKNILGVSPAAR >Potri.006G255700.9.v4.1 pep chromosome:Pop_tri_v4:6:25311365:25315192:1 gene:Potri.006G255700.v4.1 transcript:Potri.006G255700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255700.v4.1 MKFYEGSVGDKMARLKGGKLSLPDVLRYGIVLAQGIAQLHAKEILVFNLKPCSFLLNENDQAVLGDVGIPFLLFGIPLPSADMSRRLGTPNYMAPEQWQPEIRGPVSFETDSWGFGCSVVEMLTGVQPWRGKSVEEIYESVVRKQEKPRIPEGLPPPVENVLLGCFEYDLRSRPLMTDIVRVFKSSQNAVFVDGGWTGFGSRTTLEKFSGTGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERDPDRDGFVLVRVHGIHDPLRLPVSTLERVTFGLAAGDWVHLKEEDRRHSPVGILHSIKRDGSVAVGFIGVETLWKGNSSELQMAEPYFVGQFVRPRANVLSPRFEWPRKTGGAWATGRIWWILPNGCLIVKFPGRLTIGQENSSFLADPAEVEVVSFNSCPGVVKKYQHFEDFHWAVRPLVIALGIFTAMKVGFFVGKKIGRSNKRQGSAVQSNAQHVDDQTSGNGSNQWFPPSVKNILGVSPAAR >Potri.006G255700.5.v4.1 pep chromosome:Pop_tri_v4:6:25311251:25315234:1 gene:Potri.006G255700.v4.1 transcript:Potri.006G255700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255700.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVVASSSQPTPRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDECHEVAVKMLHPIKEEHMRVVLDKFDNLFSKCQGIENVCFLHGISVINGKICIVMKFYEGSVGDKMARLKGGKLSLPDVLRYGIVLAQGIAQLHAKEILVFNLKPCSFLLNENDQAVLGDVGIPFLLFGIPLPSADMSRRLGTPNYMAPEQWQPEIRGPVSFETDSWGFGCSVVEMLTGVQPWRGKSVEEIYESVVRKQEKPRIPEGLPPPVENVLLGCFEYDLRSRPLMTDIVRVFKSSQNAVFVDGGWTGFGSRTTLEKFSGTGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERDPDRDGFVLVRVHGIHDPLRLPVSTLERVTFGLAAGDWVHLKEEDRRHSPVGILHSIKRDGSVAVGFIGVETLWKGNSSELQMAEPYFVGQFVRPRANVLSPRFEWPRKTGGAWATGRIWWILPNGCLIVKFPGRLTIGQENSSFLADPAEVEVVSFNSCPGVVKKYQHFEDFHWAVRPLVIALGIFTAMKVGFFVGKKIGRSNKRQGSAVQSNAQHVDDQTSGNGSNQWFPPSVKNILGVSPAAR >Potri.006G255700.1.v4.1 pep chromosome:Pop_tri_v4:6:25311176:25315223:1 gene:Potri.006G255700.v4.1 transcript:Potri.006G255700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255700.v4.1 MASKVVPAQPVTPFEFELYEDPDHLRTVVASSSQPTPRIDPAKLKLRHRIGRGPFGDVWLATHHQSTEDYDECHEVAVKMLHPIKEEHMRVVLDKFDNLFSKCQGIENVCFLHGISVINGKICIVMKFYEGSVGDKMARLKGGKLSLPDVLRYGIVLAQGIAQLHAKEILVFNLKPCSFLLNENDQAVLGDVGIPFLLFGIPLPSADMSRRLGTPNYMAPEQWQPEIRGPVSFETDSWGFGCSVVEMLTGVQPWRGKSVEEIYESVVRKQEKPRIPEGLPPPVENVLLGCFEYDLRSRPLMTDIVRVFKSSQNAVFVDGGWTGFGSRTTLEKFSGTGYTEWFLSKDHLQVGDMVRSRRPPNSCKPENMDVPEGTVVGLERDPDRDGFVLVRVHGIHDPLRLPVSTLERVTFGLAAGDWVHLKEEDRRHSPVGILHSIKRDGSVAVGFIGVETLWKGNSSELQMAEPYFVGQFVRPRANVLSPRFEWPRKTGGAWATGRIWWILPNGCLIVKFPGRLTIGQENSSFLADPAEVEVVSFNSCPGVVKKYQHFEDFHWAVRPLVIALGIFTAMKVGFFVGKKIGRSNKRQGSAVQSNAQHVDDQTSGNGSNQWFPPSVKNILGVSPAAR >Potri.008G137300.1.v4.1 pep chromosome:Pop_tri_v4:8:9215952:9218363:-1 gene:Potri.008G137300.v4.1 transcript:Potri.008G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137300.v4.1 MVGCKIYVKNTDWLTTLLHSDFFDSCSNHQERRKNEKNVFCMDCSVGCCRHCMESHCLHRQLQICKYVYHDVVRLQEIQKHLDCSKIQTYKINGEKAIHLKPRPQSKDARPSTKAKFGASCEACARYLQDVPNRFCSIACKVSAVSVELKDQSHEIISLSIQEFTNLSWKENPNAEKHSSENESSLSLTDMSEDTQGWMNSALKPRRQLHKRKGVPRRAPLC >Potri.005G010800.3.v4.1 pep chromosome:Pop_tri_v4:5:912566:917042:1 gene:Potri.005G010800.v4.1 transcript:Potri.005G010800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010800.v4.1 MPASFKVRTVPLDDSKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYALQERVDVQTGIKLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMIVVNDGSKNLVRAINNRLSALSFHIREYYWVDMNKINVIYRYKTEEYSTEATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSVISSLGTPKHNEAILNLIEAKWDDLVGNMPLKICYPALEHEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMNRVELAQKAIALAEKRLQVDHWPEYYDTRTGKFIGKQSRLYQTWTVAGFLTSKILLENPQRASLLFWDEDYELLEICVCGLNTSGRKRCSRGAAKSQILV >Potri.005G010800.2.v4.1 pep chromosome:Pop_tri_v4:5:912561:917066:1 gene:Potri.005G010800.v4.1 transcript:Potri.005G010800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010800.v4.1 MRPSCRFFLSKKNRVFFNLHHSLTSNLSGNQFNFEKNKQFFTYPFRILGSRTIFKEAQKSFCAPYISFGQSRLITGDFRGASIVASVASQVRKFSTSVETRVNDNNFERIYVQNGIGIKPLVVERIDKDENVLGDEESRIGVLVDDCESVNRENLDGGQEVEIVSPKREESEIEKEAWKLLNDAVVMYCGSPVGTVAANDPGDKMPLNYDQVFIRDFVPSALAFLLRGEGEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDDSKFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYALQERVDVQTGIKLILNLCLTDGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCSREMIVVNDGSKNLVRAINNRLSALSFHIREYYWVDMNKINVIYRYKTEEYSTEATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSVISSLGTPKHNEAILNLIEAKWDDLVGNMPLKICYPALEHEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMNRVELAQKAIALAEKRLQVDHWPEYYDTRTGKFIGKQSRLYQTWTVAGFLTSKILLENPQRASLLFWDEDYELLEICVCGLNTSGRKRCSRGAAKSQILV >Potri.018G139600.1.v4.1 pep chromosome:Pop_tri_v4:18:14460132:14460907:1 gene:Potri.018G139600.v4.1 transcript:Potri.018G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139600.v4.1 MAPKPESQNLTSTTSAAEPSSPSSIDPLFHLLTLLPYSILRPPRLRLKLPSFTLPSSMTVFSLVLLTYFMVVSGIVYDVIVEPPGIGSTQDPYTGSVKPVVFLPGRVNGQYIIEGLSSGFMFIVGGVGIILMDLALEKNRAKSVKVSYATAGISSVVIAYVMSMLFLRIKIPGYLR >Potri.010G031250.4.v4.1 pep chromosome:Pop_tri_v4:10:4676035:4683640:-1 gene:Potri.010G031250.v4.1 transcript:Potri.010G031250.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031250.v4.1 MVCSIGNGRMAVMARLLAAGSLLQNNAEEVSQQKLATQYICRELHEADEPNLLDEEDMHVFGLMPMTDPLDLVCCNACKKPVKASQYAAHAELCRFLNSAEEMTLEPDGGTGRRKPPRKERKKLITASSNQATPVVERESSEYIVADDTVASESQLDRPPRMPSSFSLDTKRNSATVDVASMIIGKAVSPVNTDYSACVMPPPTKRYKLYSSSPCCQSLLLSKEHSSTVSSCLSVPCHIC >Potri.010G031250.5.v4.1 pep chromosome:Pop_tri_v4:10:4677035:4683637:-1 gene:Potri.010G031250.v4.1 transcript:Potri.010G031250.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031250.v4.1 MVCSIGNGRMAVMARLLAAGSLLQNNAEEVSQQKLATQYICRELHEADEPNLLDEEDMHVFGLMPMTDPLDLVCCNACKKPVKASQYAAHAELCRFLNSAEEMTLEPDGGTGRRKPPRKERKKLITASSNQATPVVERESSEYIVADDTVASESQLDRPPRMPSSFSLDTKRNSATVDVASMIIGKAVSPVNTDYSACVMPPPTKRYKLYAFFVLYGV >Potri.010G031250.1.v4.1 pep chromosome:Pop_tri_v4:10:4676035:4683636:-1 gene:Potri.010G031250.v4.1 transcript:Potri.010G031250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031250.v4.1 MVCSIGNGRMAVMARLLAAGSLLQNNAEEVSQQKLATQYICRELHEADEPNLLDEEDMHVFGLMPMTDPLDLVCCNACKKPVKASQYAAHAELCRFLNSAEEMTLEPDGGTGRRKPPRKERKKLITASSNQATPVVERESSEYIVADDTVASESQLDRPPRMPSSFSLDTKRNSATVDVASMIIGKAVSPVNTDYSACVMPPPTKRYKFISTEHRLQSDDPETASGLTKVTSTVDPSTYIPAPLAAKVYYSQRNTRLRSAVAYLYHATSAEGLNMVNSELSRESIMQLLASSQKGSLDAQTNDLINEKGDPSVHQPDEILAQSSEMCLDNSGGCPPLTNFSNQSPVDNILRPQTASVGMLRSKYLSKPYSFAGNSGQSLGTMQQPNGTVPVL >Potri.010G031250.2.v4.1 pep chromosome:Pop_tri_v4:10:4676035:4683634:-1 gene:Potri.010G031250.v4.1 transcript:Potri.010G031250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031250.v4.1 MVCSIGNGRMAVMARLLAAGSLLQNNAEEVSQQKLATQYICRELHEADEPNLLDEEDMHVFGLMPMTDPLDLVCCNACKKPVKASQYAAHAELCRFLNSAEEMTLEPDGGTGRRKPPRKERKKLITASSNQATPVVERESSEYIVADDTVASESQLDRPPRMPSSFSLDTKRNSATVDVASMIIGKAVSPVNTDYSACVMPPPTKRYKFISTEHRLQSDDPETASGLTKVTSTVDPSTYIPAPLAAKVYYSQRNTRLRSAVAYLYHATSAEGLNMVNSELSRESIMQLLASSQKGSLDAQTNDLINEKGDPSVHQPDEILAQSSEMCLDNSGGCPPLTNFSNQSPVDNILRPQTASVGMLRSKYLSKPYSFAGNSGQSLGTMQQPNGTVPVL >Potri.010G031250.3.v4.1 pep chromosome:Pop_tri_v4:10:4676035:4683634:-1 gene:Potri.010G031250.v4.1 transcript:Potri.010G031250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031250.v4.1 MVCSIGNGRMAVMARLLAAGSLLQNNAEEVSQQKLATQYICRELHEADEPNLLDEEDMHVFGLMPMTDPLDLVCCNACKKPVKASQYAAHAELCRFLNSAEEMTLEPDGGTGRRKPPRKERKKLITASSNQATPVVERESSEYIVADDTVASESQLDRPPRMPSSFSLDTKRNSATVDVASMIIGKAVSPVNTDYSACVMPPPTKRYKFISTEHRLQSDDPETASGLTKVTSTVDPSTYIPAPLAAKVYYSQRNTRLRSAVAYLYHATSAEGLNMVNSELSRESIMQLLASSQKGSLDAQTNDLINEKCCTEGQVIPSEPVVLCKNFHVQEIHFAS >Potri.004G061200.13.v4.1 pep chromosome:Pop_tri_v4:4:5100876:5107392:-1 gene:Potri.004G061200.v4.1 transcript:Potri.004G061200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061200.v4.1 MESSGIVLVVGATGGVGRRVVDVLQKKGLPVRVLARNEEKARKMLGPDIDLIIGDITKESTLLPEYFKGVRKVINAASVIVGPKEGDTPERAKYSQGIKFFEPEIKGDSPEMVEFVGMRNLINAVKGSVGLRNGKLLFGCEDNGFRDLAWGALDDVVMGGVSESTFIIDTTGGEKGGPAGLFKGVVSTTNNGGFTSIRTKNFSVPEDLSSYDGLELRLKGDGRRYKLIVRTSRDWDTVGYTASFDTTEGQWQSIRLPFSSFVPVFRARTVSDAPPFDLRSIVSLQLMFSKFEYDGKLNPTFVEGPFQLPVSSIRTFIKDPVTPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELDFILTFKLKGEDLIRESGISYAIVRPCALTEEPAGADLIFDQGDNITGKISREEIARICIAALESPYALDKTFEVKSVIPFSEPFTVDLENPPQEKDYDIYFKDLKDGITGKELLEQSPVPV >Potri.004G061200.5.v4.1 pep chromosome:Pop_tri_v4:4:5098072:5108198:-1 gene:Potri.004G061200.v4.1 transcript:Potri.004G061200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061200.v4.1 MECFNSTKLSSPCTSSILNLQGSSSLVCNKFSKNSVHPWLLSSPPPKPFFQLDDRLQCRQKSAHRTYRGPILAEAGKQGWDLGRFLKTLYFFNGPPSPSKFFEFLIEKLSSPSPSEPKNSMESSGIVLVVGATGGVGRRVVDVLQKKGLPVRVLARNEEKARKMLGPDIDLIIGDITKESTLLPEYFKGVRKVINAASVIVGPKEGDTPERAKYSQGIKFFEPEIKGDSPEMVEFVGMRNLINAVKGSVGLRNGKLLFGCEDNGFRDLAWGALDDVVMGGVSESTFIIDTTGGEKGGPAGLFKGVVSTTNNGGFTSIRTKNFSVPEDLSSYDGLELRLKGDGRRYKLIVRTSRDWDTVGYTASFDTTEGQWQSIRLPFSSFVPVFRARTVSDAPPFDLRSIVSLQLMFSKFEYDGKLNPTFVEGPFQLPVSSIRTFIKDPVTPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELDFILTFKLKGEDLIRESGISYAIVRPCALTEEPAGADLIFDQGDNITGKISREEIARICIAALESPYALDKTFEVKSVIPFSEPFTVDLENPPQEKDYDIYFKDLKDGITGKELLEQSPVPV >Potri.004G061200.12.v4.1 pep chromosome:Pop_tri_v4:4:5099347:5108111:-1 gene:Potri.004G061200.v4.1 transcript:Potri.004G061200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061200.v4.1 MECFNSTKLSSPCTSSILNLQGSSSLVCNKFSKNSVHPWLLSSPPPKPFFQLDDRLQCRQKSAHRTYRGPILAEAGKQGWDLGRFLKTLYFFNGPPSPSKFFEFLIEKLSSPSPSEPKNSMESSGIVLVVGATGGVGRRVVDVLQKKGLPVRVLARNEEKARKMLGPDIDLIIGDITKESTLLPEYFKGVRKVINAASVIVGPKEGDTPERAKYSQGIKFFEPEIKGDSPEMVEFVGMRNLINAVKGSVGLRNGKLLFGCEDNGFRDLAWGALDDVVMGGVSESTFIIDTTGGEKGGPAGLFKGVVSTTNNGGFTSIRTKNFSVPEDLSSYDGLELRLKGDGRRYKLIVRTSRDWDTVGYTASFDTTEGQWQSIRLPFSSFVPVFRARTVSDAPPFDLRSIVSLQLMFSKFEYDGKLNPTFVEGPFQLPVSSIRTFIKDPVTPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELDFILTFKLKGEDLIRESGISYAIVRPCALTEEPAGADLIFDQGDNITGKISREEIARICIAALESPYALDKTFEVVKSVIPFSEPFTVDLENPPQEKDYDIYFKDLKDGITGKELLEQSPVPV >Potri.004G061200.9.v4.1 pep chromosome:Pop_tri_v4:4:5100880:5108198:-1 gene:Potri.004G061200.v4.1 transcript:Potri.004G061200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061200.v4.1 MECFNSTKLSSPCTSSILNLQGSSSLVCNKFSKNSVHPWLLSSPPPKPFFQLDDRLQCRQKSAHRTYRGPILAEAGKQGWDLGRFLKTLYFFNGPPSPSKFFEFLIEKLSSPSPSEPKNSMESSGIVLVVGATGGVGRRVVDVLQKKGLPVRVLARNEEKARKMLGPDIDLIIGDITKESTLLPEYFKGVRKVINAASVIVGPKEGDTPERAKYSQGIKFFEPEIKGDSPEMVEFVGMRNLINAVKGSVGLRNGKLLFGCEDNGFRDLAWGALDDVVMGGVSESTFIIDTTGGEKGGPAGLFKGVVSTTNNGGFTSIRTKNFSVPEDLSSYDGLELRLKGDGRRYKLIVRTSRDWDTVGYTASFDTTEGQWQSIRLPFSSFVPVFRARTVSDAPPFDLRSIVSLQLMFSKFEYDGKLNPTFVEGPFQLPVSSIRTFIKDPVTPRFVHVSSAGVTRPDRPGLDLSKQPPAVRLNKELDFILTFKLKGEDLIRESGISYAIVRPCALTEEPAGADLIFDQGDNITGKISREEIARICIAALESPYALDKTFEVKSVIPFSEPFTVDLENPPQEKDYDIYFKDLKDGITGKELLEQSPVPV >Potri.018G090350.1.v4.1 pep chromosome:Pop_tri_v4:18:11063990:11071328:-1 gene:Potri.018G090350.v4.1 transcript:Potri.018G090350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090350.v4.1 MRLPCFSFIVKDKTGSVAKRSGHLTSKAGSACESREGNGVIKWVQGPSRTKHDSTCVKRS >Potri.014G017300.1.v4.1 pep chromosome:Pop_tri_v4:14:1070857:1071872:1 gene:Potri.014G017300.v4.1 transcript:Potri.014G017300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017300.v4.1 MALEALNSPTTAPPSFQFEDLSLPCAGEPWVKRKRSKRPRLDHQPTEEEYLALCLVMLARGSTNLQFSTSGHQKSLTPSTVLTSSELKNSYKCSVCNKEFPSYQALGGHKASHRKLAGGGEDQTTSSTTTSAITATKTVSNGSGKTHECSICHKTFPTGQALGGHKRCHYEGIIGGGEKSGVTSTSESAGSTNTRTHSHNEFDLNIPALPEFSSCFSVSGEDEVMSPLPAAKKLRISMPPRFEVSQAQ >Potri.006G068100.1.v4.1 pep chromosome:Pop_tri_v4:6:4981777:4984408:1 gene:Potri.006G068100.v4.1 transcript:Potri.006G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068100.v4.1 MTARMQKMEGEKGSAEHPEPRDTFKIAYIIHFLLGAGNLLPWNAFITAIDYFGYLYPTKHIEKVFSVAYMSSSVLVLVIVMSWGGWSKQLSYRLRMNMGFCMFILSLMVVPVIDWSWSSSGPKGSSSGAYGVTVASVVVCGVADGLIGGSLIGAAGKLPKQYMQAVFAGTASSGVLISILRIITKASLPQNPQGLQTSAHFYFIVSAIILLCCTLSCNLLYKLPVMEQYYKLTPDDSLCPKPEFWAVARKIRRPAFGILMIYIVTLSIFPGFIAEDLTSKILKDWYPVLLITIYNVADFTGKSLTAIYVLKSIKKATWVCILRLVFYPLFAACLNGPKWLKTEVTVAALTFMLGVTNGYLTSVLMILTPKSVSVSESELSAILMVVFLGIGLVGGSIIGWFWVI >Potri.007G042400.1.v4.1 pep chromosome:Pop_tri_v4:7:3611580:3615991:-1 gene:Potri.007G042400.v4.1 transcript:Potri.007G042400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042400.v4.1 MGKSNNLHGWLRFLYVIFAFCSAFFLGALKGLLVGPIAASVLILGNGAVILGMLPPHISWTFYTLVKTDRFDIPLKVALLVALPALFGIWLGLSIAGTVLVGLGYGFFAPWIASFEAFRHDDDDDDDEFKTLYHCVVDGTWGIIKGSCTVVTDFADICCHSYPLYLKELRESSASNEIRTLRLIHVPGCIIAGLVGLIVDVPLFAAIAIIKSPYMLFKGWYRLLHDLIGREGPFLETACIPIAGLTILLWPLVVVGSVLVTIFSSIFIGLYASVILYQERSFWRAVAYVIAMVAEFDEYTNDRLYLREGTFLPKPKYQKKGTSHSSELSVGGSLAKFSSVHVEAPAMLVPSFAHSKSVRETVQEVKMVQVWGVMMRSCEMRGRDLLDSGAITLADLSNYLKARGGNEASVICVGLPCYSFLQSLLNSIKAGSDGFLLSDGTEITHHNRPNDKLFDWFFQPLMVLKEQIRVIKLEEGEERFLQRVVLYGNDTQRMETWDNGSLVPQEALRAAQIQGITRRMIGMIRGISKFPTYRRRFRQVVKALIAYYAEKEGVTRSSSMRSVASIENV >Potri.018G062800.1.v4.1 pep chromosome:Pop_tri_v4:18:7348312:7352487:1 gene:Potri.018G062800.v4.1 transcript:Potri.018G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062800.v4.1 MKLSGSQIEILLIASLALLCSSSAITVDYDSNAVIINGERKIIFAGAIHYPRSTPEMWPELFQKAKEGGIDAIETYIFWDRHEPVRRQDIVKFCKLAQEAGLHVILRIGPYVCAEWSYGGFPMWLHNIPGIELRTDNEIYKNEMQIFTTKIVDVCKEAKLFAPQGGPIILAQIENEYGNVMGPYGDAGRRYVNWCAQMAVGQNVGIPWIMCQQSNAPQPMINTCNGFYCDQFKPNNPKSPKMWTENWSGWFKLWGGRDPYRTAEDLAFSVARFIQNGGVLNSYYMYHGGTNFGRTAGGPYITTSYDYNAPLDEYGNLNQPKWGHLKQLHEAIKQGERILTNGTVTSKNFWGGVDQTTYTNQGTGERFCFLSNTNMEEANVDLGQDGKYSLPAWSVTILQDCNKEIYNTAKVNTQTSIMVKKLHEEDKPVQLSWTWAPEPMKGVLQGKGRFRATELLEQKETTVDTTDYLWYMTSVNLNETTLKKWTNVTLRVGTRGHTLHAYVNKKEIGTQFSKQANAQQSVKGDDYSFLFEKPVTLTSGTNTISLLSATVGLANYGQYYDKKPVGIAEGPVQLVANGKPFMDLTSYQWSYKIGLSGEAKRYNDPNSPHASKFTASDNLPTGRAMTWYKTTFASPSGTEPVVVDLLGMGKGHAWVNGKSLGRFWPTQIADAKGCPDTCDYRGSYNGDKCVTNCGNPSQRWYHIPRSYLNKDGQNTLILFEEVGGNPTNVSFQIVAVETICGNAYEGSTLELSCEGGRTISDIQFASYGDPEGTCGAFMKGSFYATRSAAVVEKACVGKQSCGILVSGETFGLKKRSDIANRLAVQAVCTGYIDNDLESLKNKNNNSKQ >Potri.015G017800.1.v4.1 pep chromosome:Pop_tri_v4:15:1254774:1258668:1 gene:Potri.015G017800.v4.1 transcript:Potri.015G017800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017800.v4.1 MVYSLKVISSISLFLTMISYLNIATSQNFCLRTACNRSEPVIRFPFRIQNRQSKACGYPGFDISCGSSNETMLELHSSGKFVVQAIDYATQEIWINDQGNCLADRILSLNLFGSPFIGLYYQSFTFFNCSSSDYRKYGLNPIACLSGSTYTVFATSNSTVVSSLQNGNSSCNLIRVVPVPVDWPFYQQISSSDLSEDLHLTWEEPDCGKCESRGGRCALKINSSKVVCSNLPRHEFPRSALYAIITAAGIPGALILVGLLCFICGRVKYCDRSSSESGGLPEVDSTVDTESVVIIAGLDGPTIESYPKIVLGESRRLPKPDDNTCSICLCEYKPMETLKTIPECKHCFHSDCIDEWLRLNASCPICRNSPERLPPAES >Potri.002G018400.3.v4.1 pep chromosome:Pop_tri_v4:2:1106301:1107787:1 gene:Potri.002G018400.v4.1 transcript:Potri.002G018400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018400.v4.1 MSTNKVSSNSPSKSLYTCSSPSTLSSPSPIPNQSTTGNSMEEVWNDINLASLHEHPNSHTGSNNNTDDHVFHGMMFQDLLARSSNKDTPTRVASKEPSSGGGNNFLKNSLGPPPATMLNLNYGKRPQENDDVSGGDRRHERMIKNRESAARSRARKQESFSPSKKLF >Potri.002G018400.2.v4.1 pep chromosome:Pop_tri_v4:2:1105969:1107842:1 gene:Potri.002G018400.v4.1 transcript:Potri.002G018400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018400.v4.1 MSTNKVSSNSPSKSLYTCSSPSTLSSPSPIPNQSTTGNSMEEVWNDINLASLHEHPNSHTGSNNNTDDHVFHGMMFQDLLARSSNKDTPTRVASKEPSSGGGNNFLKNSLGPPPATMLNLNYGKRPQENDDVSGGDRRHERMIKNRESAARSRARKQAYTTELELKVALLGEENAKLRKQQERFLAAAPAQPPKKHTLYRTSTAPF >Potri.002G018400.1.v4.1 pep chromosome:Pop_tri_v4:2:1106301:1107787:1 gene:Potri.002G018400.v4.1 transcript:Potri.002G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018400.v4.1 MSTNKVSSNSPSKSLYTCSSPSTLSSPSPIPNQSTTGNSMEEVWNDINLASLHEHPNSHTGSNNNTDDHVFHGMMFQDLLARSSNKDTPTRVASKEPSSGGGNNFLKNSLGPPPATMLNLNYGKRPQENDDVSGGDRRHERMIKNRESAARSRARKQESFSPSKKLF >Potri.006G230400.2.v4.1 pep chromosome:Pop_tri_v4:6:23383069:23390987:1 gene:Potri.006G230400.v4.1 transcript:Potri.006G230400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230400.v4.1 MLRREPFLCKLIFFLFCFASLSLADELTDSDRLEFTANASISNTSLPKPNEGGSFADMIDKALEKEFTENDQNEATDAGSFNNSVAEQQAVLETVARVKSKKNDTKEEKSFKFHDVFNLDNENGPEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVVGGLLEIVLFMFLCGITAMLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLCGTSGVLQGLMSMTKVLVLLIAFLAVLSILSRTWVPWFLKLMMSLSSQTNELYQLASVAFCLLVAWSSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWSHVDILLASVILVIIIKTAIITTVVRGFGYNNKTALLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTTLLFKLIPAVMHLGVLLRWFPPDSAVEVGSKGDNFRSDSGKQRISVLVEGPHDS >Potri.006G230400.5.v4.1 pep chromosome:Pop_tri_v4:6:23383084:23390985:1 gene:Potri.006G230400.v4.1 transcript:Potri.006G230400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230400.v4.1 MLRREPFLCKLIFFLFCFASLSLADELTDSDRLEFTANASISNTSLPKPNEGGSFADMIDKALEKEFTENDQNEATDAGSFNNSVAEQQAVLETVARVKSKKNDTKEEKSFKFHDVFNLDNENGPEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVVGGLLEIVLFMFLCGITAMLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLCGTSGVLQGLMSMTKVLVLLIAFLAVLSILSRTWVPWFLKLMMSLSSQTNELYQLASVAFCLLVAWV >Potri.006G230400.1.v4.1 pep chromosome:Pop_tri_v4:6:23383113:23391012:1 gene:Potri.006G230400.v4.1 transcript:Potri.006G230400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230400.v4.1 MLRREPFLCKLIFFLFCFASLSLADELTDSDRLEFTANASISNTSLPKPNEGGSFADMIDKALEKEFTENDQNEATDAGSFNNSVAEQQAVLETVARVKSKKNDTKEEKSFKFHDVFNLDNENGPEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVVGGLLEIVLFMFLCGITAMLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNSINSLHGQVTIGTLILQDCAVGLLFALLPVLCGTSGVLQGLMSMTKVLVLLIAFLAVLSILSRTWVPWFLKLMMSLSSQTNELYQLASVAFCLLVAWSSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWSHVDILLASVILVIIIKTAIITTVVRGFGYNNKTALLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTTLLFKLIPAVMHLGVLLRWFPPDSAVEVGSKGDNFRSDSDEVDCVPLGLARSFAFDLDRKFSLP >Potri.002G114500.2.v4.1 pep chromosome:Pop_tri_v4:2:8706539:8710897:1 gene:Potri.002G114500.v4.1 transcript:Potri.002G114500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114500.v4.1 MADQCMLGWILATLFVLFAIYCLVIKKKERGTKKDLLESRSESVNCTATTNEECRSGNVSDADVIIVGAGVAGAALAHTLGKDGRRVRVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVEKIDAQKVFGYALFKDGMHTRLSYPLEKFHSDVAGRSFHNGRFIQRMREKAVSLPNVHLEQGTVTSLLEEKGTIRGVQYKTKDGQELTAFAPLTIVCDGCFSNLRRSLCDPKVDVPSCFVGMILENCQLPCANHGHVILGDPSPILMYPISSTETRCLVDIPGQKVPSISSGEMAKYLKTLVAPQLPPEVYDAFLAAVDKGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLRDLNDSPNLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDEARKEMRQACFDYLSLGGVCSEGPVSLLSGLNPRPLSLVAHFFAVAIYGVGRLLLPFPSPKRIWIGARLISSASGIIFPIIRSEGVRQMFFPATVPAYYRSPPVM >Potri.019G046000.3.v4.1 pep chromosome:Pop_tri_v4:19:6494274:6497232:-1 gene:Potri.019G046000.v4.1 transcript:Potri.019G046000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G046000.v4.1 MESISLVLSMSRHEAKFIKEVVKDVLNKLDPKYLYVPERLVGMDRLAHNIFDFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQFNGPAPLQKQLLHDILKQDAANINCDDRGKVLIKERLRRKRVLVVADDVAYLDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTYRIKELTRDESLRLFSWHAFKDTKPAEDYIELSKDAVDYCGGLPLALEVMGACLSGKNRDGWKCVIDKLRRIPNHDIQGKLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLETLRERSLIKVLGGTVTMHDLLRDMGREVVRESSPKEPGKRTRIWNQEDAWNVLDHQKVRAQCIHESIGMRIF >Potri.019G046000.2.v4.1 pep chromosome:Pop_tri_v4:19:6492529:6497936:-1 gene:Potri.019G046000.v4.1 transcript:Potri.019G046000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G046000.v4.1 MDSINMSSQIKILTNPNYYARRAAMTEPESSRCRPEGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELSRGEEISKHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTDQIVLPIFYDIDPSDVRKQNGSFAEAFVKHEERFEEKLVKEWRKALEESGNLSGWNHNDMANGHEAKFIKEVVKDVLNKLDPKYLYVPERLVGMDRLAHNIFDFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQFNGPAPLQKQLLHDILKQDAANINCDDRGKVLIKERLRRKRVLVVADDVAYLDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTYRIKELTRDESLRLFSWHAFKDTKPAEDYIELSKDAVDYCGGLPLALEVMGACLSGKNRDGWKCVIDKLRRIPNHDIQGKLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLETLRERSLIKVLGGTVTMHDLLRDMGREVVRESSPKEPGKRTRIWNQEDAWNVLDHQKGTDVVEGLALDVRASEAKSLSAGSFAKMKCLNLLQIKGAHLTGSFKLLSKELMWICWLQCPLKYFPSDFLPWII >Potri.018G077983.1.v4.1 pep chromosome:Pop_tri_v4:18:9578862:9581309:-1 gene:Potri.018G077983.v4.1 transcript:Potri.018G077983.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G077983.v4.1 METSSRQSPSKASGFGTMSVMMTETTSLEDQVATLTKLVEGLSTSLKPKDHDITKLMNKLKSMNEGGQTSATKALQVDQLDVIEDSTIGAARNIHGITDGIFTMNQLKELIKEAITDQVESSVQPSYSYVKMPPNYQPPKFQQFDGKGNPRQHIAHFVETCNNAGTKGDLMVKQFVRSLKGNAFDWYTDLESGLIDTWEQLERGFLNRFYNTRHVVSMIELTNTRQWKEELVIDYIHRWRNLSLNCRDRLTETSALDMCIQGMHWGLRYILQGIKPKSFEELATRAHDMELSIAAAESSSLPMQEPMRNKLEGHRFEKSTSKVEGNKSLVVNSTAIRVPAGVKRNDRATSATFQKGEIKKPSMKERQEKVYPFPDSDISRMLDDLLEANIIELPEVKRPNEANQMDNPNYCKYHCLISHPVEKLHENGDIVFDDEVATSNITTMVNLGPRQSLPTISFGSFEPIELGIILPMSFIASSSQTPCITLAPHVDNSKPDSSENYDDEGWILVIHRRGRKRRMQMTKPARMRISTVRKLIEPIRRKIQRKSIPVKNKGFSAQSLRKLVTLDGYMPIETRRIENALAACYHIDEEKTLIEPVMKESHAHSSNLAHGVCITEISFNDEDLLLGSKLHNQLPFIKGYVDEKMVNRILVDDGSAVNILPLKTMKELGIPMDELFPSHLMIQGFNQEGQNAIGKIRLAIHMEDMKFNALFHVIDVKTTYNMLLGRPWIHENGIISSTLHQCFKYCQDGGNKFLSKWDGLYVVQEVYTNGAYKIVDENGLRIGPINGKFLKRYYA >Potri.001G388300.2.v4.1 pep chromosome:Pop_tri_v4:1:40822652:40824218:1 gene:Potri.001G388300.v4.1 transcript:Potri.001G388300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388300.v4.1 MQMPAIFTDPKSPLYDPLRDANHQPPTLLDLNYAKGDANPDPAKAEELYASNLNVMYRQMVSGATKPTLFFGKPYRAGDDSSPGMGTIETTPHTQIHYWTGDPNQTNGENMGNFYSAGRNPIFYCHHSNVDRMWDMWKKIPGGKRKDIEDPDWLNSEFLFWDENKELVRVKVKDTLDTKKLRYGFQDVPIPCLKTRPAPKLTRQEKSRRAAKKTVVLTPISAFPVVLDKVVSVEVSRPKKSRSATEKEDEDEVLVIEGIEYEENQLIKFDVLVNDEPDSPGGPDKSEFAGSFVNVPHKHAKKSKTTMVLGITGLMEDPEAEGDETLVVTFVPRTGGDFVTVANVKIEFVAD >Potri.003G145000.1.v4.1 pep chromosome:Pop_tri_v4:3:16012830:16015764:1 gene:Potri.003G145000.v4.1 transcript:Potri.003G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145000.v4.1 MAHQEKETSGLEWRITLGDGSSKSLVPETGPISRIWMGLKGLMAGLVLKVWKFLNKAWDIGVDDPRKVIHCLKAGMALTIVSLVYFTRPLYEGVGGNAMWAVMTVVVVFENTVGATISKSLNRVIGTTLAGFLAFGVHWVASQSGQKFEPLIIGASVFLLATAATFSRFIPSVKARFDYGALIFILTFSLVAVSGYRVDKLFALAHQRISTIIIGTCLCILVTMFICPIWAGQELHALICRNMDKLAGSLDGCVEEYFDHNGELKDSDKHPDKKLLGYKCVLNSKTTEESMANFARLEPAHGRFNFKHPWQQYLKIGASMRSCAYSIEALNSCIDSENQAPEFIKKHMSNVCLKVSSNSSCVIKELAKTIKTLKKSSSIDFLVEEMSSAVQDLQNEIKSLSNLLSPAELLLPGSKETEKTTSTIHLLEVLPVVSLASLLIDISSRIQDIVKTVEELANVAEFKAEADDKAKQNQANINRIVPSQQNDDQQTMKALERV >Potri.009G120400.2.v4.1 pep chromosome:Pop_tri_v4:9:10087486:10090845:-1 gene:Potri.009G120400.v4.1 transcript:Potri.009G120400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120400.v4.1 MEMEKKRLKASKNNLFLPSLLSTPMAILLVLLLPLLSWPSIVSAGGVTFKPADEFLISCGARSLASVPDGRLFKTDHEAQGYLQTKQDILVSVPSADVPSPIYLSARIFKEDATYAFTLKSAGWHWVRLHFFPIKNTEFDLRTATFSVNTDKYALLHNFNINNNTEAVLKEYLINMTDPNFSIQFKPLKNSAAFINAIEVVSAPDILISDQATNLFPVNDFAGLNDFGYEVVYRLNMGGPLITSENDTLSRRWVPDKPYLKHEALAKSASVPTSSIKYGPGTSPLIAPATVYASAKQMADSETRIQNFNITWNFVADATFSYVVRLHFCDIVSKTLNDLYFNVYLNGKKAISGLDLSSIEDELAVPYFKDIVVDASLMSNGLAVEVGPMDDETGTRNAILNGLEVFKMSSKVNSLDGVFGVDGEVLEKHKVVTYVGFGLMFGAFIGLGAMVLKWHKRPQDWQKRNSFSSWLLPVHAGDHSFMTSKTSMGSHKTNFYSSTLGLGRFFSLSELQEATNNFDSSAIIGVGGFGNVYLGTIDDGTKVAVKRGNPQSEQGITEFQTEIQMLSKLRHRHLVSLIGYCDENDEMILVYEYMSNGPYRDHLYGKNLPPLSWKKRLEISIGAARGLHYLHTGTAQGIIHRDVKTTNILLDDSFVAKVADFGLSKDAPMGQGHVSTAVKGSFGYLDPEYFRRQQLTDKSDVYSFGVVLLEVLCARPALNPQLPREQVNLAEWAMQWKRKGLIEKIIDPLLVGTINPESLKKYAEAAEKCLAEHGVDRPTMGDVLWNLEYALQLQESFSKGKDEDESKIAAAVADSPAVVATPGAISTSVAEDNKSPAEVQVIDEHSGTAMFAQFSGLNGR >Potri.013G043000.4.v4.1 pep chromosome:Pop_tri_v4:13:2974023:2984391:1 gene:Potri.013G043000.v4.1 transcript:Potri.013G043000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043000.v4.1 MADVDQGIGEHEVDHAAVHGVDPAADCVDHPVDHGLDSTDLGLDHGTDHAVDHGVDHITGPGLAVEHDDMDHPTDHHVDPSIDHDVDHPVNHDMDNAIDQVPENNEASKQGHDEDTVSGGGEKRWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKEEPDSSLPPAMDGLLRVHKRIIDGLDSDSSNTPPTSGAKVSTRLLVPASQAGSLIGKQGGTVKSIQEASTCIVRVLEDLPVFALQDDRVVEVLGEAAGVHKAVELIASHLRKFLVDRSIIPLFEMQMQMSNPSMEQMPPHQSWGPPQPLPPNHGGGPGYGQNPQYMPPPRQLDNYYPPADMPPLMEKQPHQGISAYGREAPMGSHASSNAQAAPSMITQITQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGAMTVEISGTASQVQTAQQLIQNFMAEAGAPAQPQTGGAADQGYNPYSHSSVYASPPSNPEHTGHAGGYGSMYGANYGY >Potri.013G043000.1.v4.1 pep chromosome:Pop_tri_v4:13:2974023:2984393:1 gene:Potri.013G043000.v4.1 transcript:Potri.013G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043000.v4.1 MADVDQGIGEHEVDHAAVHGVDPAADCVDHPVDHGLDSTDLGLDHGTDHAVDHGVDHITGPGLAVEHDDMDHPTDHHVDPSIDHDVDHPVNHDMDNAIDQVPENNEASKQGHDEDTVSGGGEKRWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKEEPDSSLPPAMDGLLRVHKRIIDGLDSDSSNTPPTSGAKVSTRLLVPASQAGSLIGKQGGTVKSIQEASTCIVRVLGAEDLPVFALQDDRVVEVLGEAAGVHKAVELIASHLRKFLVDRSIIPLFEMQMQMSNPSMEQMPPHQSWGPPQPLPPNHGGGPGYGQNPQYMPPPRQLDNYYPPADMPPLMEKQPHQGISAYGREAPMGSHASSNAQAAPSMITQITQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPGAMTVEISGTASQVQTAQQLIQNFMAEAGAPAQPQTGGAADQGYNPYSHSSVYASPPSNPEHTGHAGGYGSMYGANYGY >Potri.010G191200.1.v4.1 pep chromosome:Pop_tri_v4:10:18672070:18674670:1 gene:Potri.010G191200.v4.1 transcript:Potri.010G191200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191200.v4.1 MVNKLSKADKKIAYDAKLCQLLDEYSQILIAAADNVGSTQLQNIRRGLRGDSVVLMGKNTMMKRSVRIHSEKTANKAFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSAYDNGSVFSPEVLDLTEDDLIDKFAAGVSMIASLSLATSYPTLAAAPHMFINAYKNVLAVAVATEYSFPQAEKVKEFLEDPSKFAVAAAPVTAAASGGAPAAAKEEEKKEEPAEESDDDMGFSLFD >Potri.012G026600.2.v4.1 pep chromosome:Pop_tri_v4:12:2564356:2566863:-1 gene:Potri.012G026600.v4.1 transcript:Potri.012G026600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G026600.v4.1 MKYYSLSLAQFLCSILFLLYFLTTISSSFSSNLSSSTHLCAHHQALPLLQFTLSFSIQSSPFWFARNYQYDQYPKTESWKEGTDCCLWDGVTCDLETGQVTELDLSFSMLYGTLHSNNSLFSLHHLQKLVLSYNDFNFSKISSQFGQFSNLMHLNLTHSNFAGQVPSEISHLSKLVFLDISNKHLSLETISFDKIVQNLTKLRVLYLDYIDMSLVAPNSLTNLSSSLTLLSLVDCGLQGEFPSNIFLLPNLDSLILADNEGLTGSFPSSNVSNVLWQLVLSDTRISVHLENDFISKLKSLEYIWLRNCDIRTTNVALLGNLTQLRMLDLSHSNLSGEIPSSFENLSNLESLYLFSNFFNGTIPSFLFALPSLGYLDLHNNHFIGHISEFQHNSLEYLDLSNNHLHGPVPSSIFKQEYLKVLILASNNKLSGEISYSICKLKYLEILDLSNNSLSGSIPQCLSNFSNTLSILHLGMNNLQGTISLAFSEGNSLGYLILNDNELEGEIPSSIINCTMLEVLDLGNNKIKDTFPHFLERLPKLQVLVLKSNKLQGFVKDPTTYNSFSKLHIFDISSNNLSGPLPTGFLNSLEAMMASDQNRIYMTSNNYYGFADIYAYSVEMTWKGSEFEFAKVQGILRVLDLSSNSFTGEIPKLIGKLKGLQQLNLSHNYLTGHIQSSLRILNNLESLDLSSNLLTGRIPIQLVDLTFLQVLDLSHNRLEGPIPKGKQFNTFDHRSFEGNSGLCGFPMPEECSNGEAPPLPPSNFIAGDDSTLLEDGFGWKAVAIGYGCGFMFGVIMGYVVFKTRRPAWFLKMVEDQWSLNASRTKKNASRNGARRK >Potri.003G119800.6.v4.1 pep chromosome:Pop_tri_v4:3:14110179:14118889:1 gene:Potri.003G119800.v4.1 transcript:Potri.003G119800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119800.v4.1 MASTSSPGEEPQNQNQDQQQQQQQVVVAVKEREKECLHKTKTIHFLGRTTPIVLQNDNGPCPLLAICNVLLLKNDLNLSSDSAEVSQEKLLSLVAERLIDSNSNNKDAGYVENQQQNIADAIDLLPRLATGIDVNLKFRRIDDFEFTRECAIFDLLDIPLYHGWIVDPQDYDTANAIGPKSYNTLMGELVALETRNMEDESKNLTGEHSKTKTEEDCIDFVAATTATLGVPSPCLSKARSFDDSPHSVSDHQKVRKGDLEEEAELLRVLKLSETELPISVDDFVADVDGRVVSVGSHESTPMKGTMVVASWDTSEGHVGVDINLSALSTDDNNNLTSSETPPGKLTGSSLKTDLTDHFDKSVCTESGEHFSSNDVIENSSADTVVEIQGALSLSCAKSTGSFDGDPTDILHDGQKVENQSTSITEVHKPADISSGHDMLDLPGLASLVPESDSSSGRMQNFDTPDNFNSSVDGSEPIYEGEECIFDSRTSNCEDREPMYEGEVILSEQADKTVRSKDEITAQQGELIRNFLKNNASQLTFNGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFKAESHTNDPWDEHNAMTSTADYLASIGSAAQAGVDINSDLQLAIALQQQEYEQQQQQQPQPQHHNVQQASTTGGSRLITGPQVPRSSGKTASSSSSSRLDTKPKDKCNVM >Potri.003G119800.5.v4.1 pep chromosome:Pop_tri_v4:3:14110228:14120200:1 gene:Potri.003G119800.v4.1 transcript:Potri.003G119800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119800.v4.1 MASTSSPGEEPQNQNQDQQQQQQQVVVAVKEREKECLHKTKTIHFLGRTTPIVLQNDNGPCPLLAICNVLLLKNDLNLSSDSAEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNLKFRRIDDFEFTRECAIFDLLDIPLYHGWIVDPQDYDTANAIGPKSYNTLMGELVALETRNMEDESKNLTGEHSKTKTEEDCIDFVAATTATLGVPSPCLSKARSFDDSPHSVSDHQKVRKGDLEEEAELLRVLKLSETELPISVDDFVADVDGRVVSVGSHESTPMKGTMVVASWDTSEGHVGVDINLSALSTDDNNNLTSSETPPGKLTGSSLKTDLTDHFDKSVCTESGEHFSSNDVIENSSADTVVEIQGALSLSCAKSTGSFDGDPTDILHDGQKVENQSTSITEVHKPADISSGHDMLDLPGLASLVPESDSSSGRMQNFDTPDNFNSSVDGSEPIYEGEECIFDSRTSNCEDREPMYEGEVILSEQADKTVRSKDEITAQQGELIRNFLKNNASQLTFNGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFKAESHTNDPWDEHNAMTSTADYLASIGSAAQAGVDINSDLQLAIALQQQEYEQQQQQQPQPQHHNVQQASTTGGSRLITGPQVPRSSGKTASSSSSSRLDTKPKDKCNVM >Potri.009G034900.12.v4.1 pep chromosome:Pop_tri_v4:9:4504665:4512040:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPAA >Potri.009G034900.8.v4.1 pep chromosome:Pop_tri_v4:9:4504682:4511171:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MLSELGRRPMIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGGRHYFMLMILT >Potri.009G034900.10.v4.1 pep chromosome:Pop_tri_v4:9:4504680:4512076:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPAA >Potri.009G034900.13.v4.1 pep chromosome:Pop_tri_v4:9:4504657:4512019:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPAA >Potri.009G034900.7.v4.1 pep chromosome:Pop_tri_v4:9:4504589:4512153:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MLSELGRRPMIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPAA >Potri.009G034900.3.v4.1 pep chromosome:Pop_tri_v4:9:4504427:4512146:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPAA >Potri.009G034900.9.v4.1 pep chromosome:Pop_tri_v4:9:4504680:4512304:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPAA >Potri.009G034900.11.v4.1 pep chromosome:Pop_tri_v4:9:4504666:4512042:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPAA >Potri.009G034900.1.v4.1 pep chromosome:Pop_tri_v4:9:4504665:4512101:1 gene:Potri.009G034900.v4.1 transcript:Potri.009G034900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034900.v4.1 MLSELGRRPMIGANDGSFGDDLEKELGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGHGGASFSDFASGKNGNGFITEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKASGADNGNGRSMFSMPPGFESRKQDSEVESENVSGSTEWGGGGLIGLQGFGFASKQKSLAEIFQDDLGRTTLVTGPPSRPASCNAFNENVETIGSAEAELAHLRRELSSADNLRSRVNDQGSSSVQNIGQPSSYSYAAALGASLSGRTTPDPQHVARAPSPCPTPIGQGRVTTSEKRGMSSSNSFNGISSGMRESAEFAAAFSGMNLSTNGVIDEESHLPSQVEQDVDNHQNYLFGLQGGQNHLKQNTYLKKSESGHLHMSSAPQSTKLSYSDLVKSNGGEPDLISSSLMADRQVELQKLAVPSGNSYMKGSPTSTLGGGGGLPSQYQHLDGMNSSLPNYGLGGYSINPALASMIANQLGTGNLPPLFENVAAASAMAMPGMDSRVLGGGLGSGANLTAASLESHNLGRVGSPMAGSALQAPFVDPVYLQYLRTPEYATTQLAAINDPSVDRSYLGNSYLNYLEIQKAYGFLSSQKSQYGVPLGGKSGSSNHHGYFGNPGFGVGMSYPGSPLASPVIPNSPVGPGSPIRHNELNMRFSSGMSNLAGGIMGPWHLDAGCNIDESFASSLLEEFKSNKTKCLELSEIAGHVVEFSADQYGSRFIQQKLETATTDEKNMVYQEIMPQALALMTDVFGNYVIQKFFEHGLPSQRRELAGKLLGHVLTLSLQMYGCRVIQKAIEVVDLEHKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVTTFFDQVVILSTHPYGCRVIQRILEHCKDAKTQSKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGRIVQMSQQKFASNVVEKCLTFSGPSERQLLVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLTALKKYTYGKHIVARVEKLVAAGERRIAAQSLHPAA >Potri.018G130300.1.v4.1 pep chromosome:Pop_tri_v4:18:14080232:14082160:-1 gene:Potri.018G130300.v4.1 transcript:Potri.018G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130300.v4.1 MLLRSSSTPILNSWIPPHSKEPSPEPESLHQIQITRSISLAASSSGPFSSISSQGHDSNERVKIAFSKNDLRDFSVPKRKPSNKILNGITVDQEVDEEVEKKVSFWESGLLFEGFGVGEKGEGDNGVLGVLVTGGGSDGGGRKFCGGGGGFGSDFGDDGGSRFRESNEGIETTDVYYQTMIEANPGNPLFLRNYARFLKEIRLDFVKAEEYCGRAILANPNDADVLSMYADLIWQGHKNASRAESYFDRAVKAAPDDCYVMASYARFLWDAEEEEEGERDQRENMSKLSPPTFFHGSRPLPPLAASS >Potri.018G055501.1.v4.1 pep chromosome:Pop_tri_v4:18:5507521:5509158:1 gene:Potri.018G055501.v4.1 transcript:Potri.018G055501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055501.v4.1 MASKLVQLQSKAAQASLFVAKHGGSYYRQLLEQNKQCIQVPPTVEKCDLLSKQLLYTRLARKELDSVKQLWKNRHELRVEDAGIAAWFGLVRLVVEVSHSLATGSICLRKDWGAARVWC >Potri.006G211600.2.v4.1 pep chromosome:Pop_tri_v4:6:21854846:21855934:1 gene:Potri.006G211600.v4.1 transcript:Potri.006G211600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211600.v4.1 MPSFIGPFFFLFFLGKQKRERKGYTYLKDRFMREEKMAIDRASSFPEADVDQALNPSQNKEFEVDPVSSDIATSKETRQEQDEAARKREREKQDAMHKLKTTILVSAMIIAVAGAVFAVTKKLRER >Potri.003G146400.1.v4.1 pep chromosome:Pop_tri_v4:3:16100844:16101543:1 gene:Potri.003G146400.v4.1 transcript:Potri.003G146400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146400.v4.1 MAGLIRSKEDERAGAEIVYGHEECHRHSIELLEELGFPKGVLPLKDLEECGRVKETGFVWMKQKAPYEHFFVGSNTKVSYATEVTAYVEKFKMKKMTGIKSKQMFLWVPLSEMSIENPSRKKILFKTPMGIGKSFPVSAFMTDEEKQEQQEKLEEVHE >Potri.004G203900.1.v4.1 pep chromosome:Pop_tri_v4:4:21276519:21278051:-1 gene:Potri.004G203900.v4.1 transcript:Potri.004G203900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PCENL1 MAKMSEPLVVGRVIGDVIDHFTANVKMTVTYQSNRKQVFNGHELFPSAVTHKPKVEVHGGDMRSFFTLVMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREVMNYEMPRPNIGIHRFVFLLFKQKGRQTVTTPASRDKFNTRKFAEENELGLPVAAVFFNAQRETAARKR >Potri.011G069500.1.v4.1 pep chromosome:Pop_tri_v4:11:6082612:6085019:-1 gene:Potri.011G069500.v4.1 transcript:Potri.011G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069500.v4.1 MSLSVSLTSLPPKPPLFLPLLLLLLLLLTTTAEAATVTANATLPPSPSPTASPTPPTTKTPSPSIHSTLDPKQLRALQSLDIPTAKDPCSQPSPHNATICDSSSPFRHLVSLHLSNCSSDLSLSYTALKSLSTLQSLSFTNCPVTPIRFPLDLALSLRSFTCIHSLKHLTGVGLAHFVNLTDLTVSNVPVNTSGLYVVLGNMHKLRSVTISNANVTGYIPKHLLFNLTHIDFSGNGLKGRIPSSITLLENLESLNLSSNALTGGIPSNFGDLISLKNVSLGSNSLSGAIPDSISAIPDLAHVDLSSNQLNGTIPKFFAEMKNLRYLNLGNNEFHGVLPFNLTFMKRLAVFKVGGNSNLCYNHTILSSKLKLGIAPCDKHGLPLSPPPAKDDSSGDDSGSDSSDYDDESDDSSSKKEGHHGPNKVVLGVAIALSSIVFLIVFLILLKRCG >Potri.007G058600.1.v4.1 pep chromosome:Pop_tri_v4:7:6235786:6236562:-1 gene:Potri.007G058600.v4.1 transcript:Potri.007G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G058600.v4.1 MATLKEILTRRPVAATIRLTVPAGGARPAPPVGPALGQYRLNLMAFCKDFNARTQKYKPDTPMSVTITAFKDNTFEFTVKSPSVTWYLKKAAGVESGSGRPGHLEASTVTLKHVYEIAKVKQSDPYCQYMSLESICKSIMGTANTMGIKVVKDLD >Potri.006G149001.1.v4.1 pep chromosome:Pop_tri_v4:6:12909851:12911166:-1 gene:Potri.006G149001.v4.1 transcript:Potri.006G149001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149001.v4.1 MGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAFAWAPSSGVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGEKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.018G079500.5.v4.1 pep chromosome:Pop_tri_v4:18:9715979:9721756:-1 gene:Potri.018G079500.v4.1 transcript:Potri.018G079500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079500.v4.1 MLDGILGRGSGAKCKSLIKSTKNQIEVVRRKRNATLKYLKKDMADLLANGLDINAYGRAEGLLAELDQLSCYDFVEQFCDFVLKHLSVMQKLRHCPEDCREAVSSLMFAAAGLNNLPELRDLRDVFYERYGSSLELFVNQEFREKLSSKFVTTEKKIQLMQNIASEFCITWDSNAFQQRMAKTPESKKDNHGCLHSNDDRYMPNNDKDTIPKGHKHDFPSKERLECDKHEPVRAREEIVFRRDNRDILFQGRQEATLEKHQPWKEDTPLKTVRLGSSSQRKRMESVDGGSKMLDGRENSAPKRDDKDTIIRGKPDITPSYGGLWSNNDSLTAHNNYGGQHKMENSTRDVLKEEAHKLKPYYNNAIPPPYTKTNSKLKDTRHGASVGSSQIGSDINAVQKDPSRDNIANSWNSSEKIQQGSYLPDHEKQNVGLTRQDDHGHEKSNYYQNDGIGNPIPKPRSMRRRHSKSHSTHDDAGNSEDTGGVKRRSRSRRRGDDSRKGLQILFDDEHYQNDEEERMIDKLLIHYSKKPSAFEPGNVVRRKSKSRHPHQQGTTEADKSPRHTSRDGPDEISETVSAPPRSISLPHETNSSEAMKLFTRAASFQPDRSTAARHVHPKLPDYDDLAARFAALKGR >Potri.018G079500.4.v4.1 pep chromosome:Pop_tri_v4:18:9716018:9721890:-1 gene:Potri.018G079500.v4.1 transcript:Potri.018G079500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079500.v4.1 MLDGILGRGSGAKCKSLIKSTKNQIEVVRRKRNATLKYLKKDMADLLANGLDINAYGRAEGLLAELDQLSCYDFVEQFCDFVLKHLSVMQKLRHCPEDCREAVSSLMFAAAGLNNLPELRDLRDVFYERYGSSLELFVNQEFREKLSSKFVTTEKKIQLMQNIASEFCITWDSNAFQQRMAKTPESKKDNHGCLHSNDDRYMPNNDKDTIPKGHKHDFPSKERLECDKHEPVRAREEIVFRRDNRDILFQGRQEATLEKHQPWKEDTPLKTVRLGSSSQRKRMESVDGGSKMLDGRENSAPKRDDKDTIIRGKPDITPSYGGLWSNNDSLTAHNNYGGQHKMENSTRDVLKEEAHKLKPYYNNAIPPPYTKTNSKLKDTRHGASVGSSQIGSDINAVQKDPSRDNIANSWNSSEKIQQGSYLPDHEKQNVGLTRQDDHGHEKSNYYQNDGIGNPIPKPRSMRRRHSKSHSTHDDAGNSEDTGGVKRRSRSRRRGDDSRKGLQILFDDEHYQNDEEERMIDKLLIHYSKKPSAFEPGNVVRRKSKSRHPHQQGTTEADKSPRHTSRDGPDEISETVSAPPRSISLPHETNSSEAMKLFTRAASFQPDRSTAARHVHPKLPDYDDLAARFAALKGR >Potri.013G124100.1.v4.1 pep chromosome:Pop_tri_v4:13:13259289:13260654:1 gene:Potri.013G124100.v4.1 transcript:Potri.013G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124100.v4.1 MTNLATKLSKSLLPYSKILLQFRTLSTSHTPLEETLKAAVECKSYSKFPDLFDSFKQSNNIPSPFSFLSTFPFNLRTQVIDEIIQSLIPIRPRFRNSIVYSSLLSYTLKNSNLFSLSLAIIQCTLRSGCLPVPQTHVSLSSAWLDRRREGQSVGDILMEMKSIGYNPDCGLCNYIVLSLCNVDQLIEAVKVLKGMKQVGCFPDWESYGIVIGAMCRVRKCDDAIEMMKEMVVRMRLCPRQGVVVKVLAALRANREMRKAGEMIEFLEKEGYGVGFESYELVVEGCLECKDFFLAAKVVMGMTEKGFIPYIKVRQKVVEGLIDAGEWKLACTVRERFAALSS >Potri.004G207600.1.v4.1 pep chromosome:Pop_tri_v4:4:21504721:21506309:1 gene:Potri.004G207600.v4.1 transcript:Potri.004G207600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207600.v4.1 MALSVLKTSFLTFFASLFVCSVLAHDFSIVGYSPEHLTSVDKLVELFESWISGHGKAYNSLEEKLHRFEVFKENLKHIDQRNKEVTSYWLGLNEFADLSHEEFKSKFLGLYPEFPRKKSSDDFSYRDVVDLPKSIDWRKKGAVTPVKNQGSCGSCWAFSTVAAVEGINQIVAGNLTSLSEQQLIDCDTSFNNGCNGGLMDYAFEFIVNNGGLHKEEDYPYLMEEGTCDEKREEMEVVTISGYHDVPRNDEQSLLKALAHQPLSVAIDASGRDFQFYSGGVFSGPCGTDLDHGVAAVGYGSSSGIDYIIVKNSWGPKWGERGYLRMKRNTGKPEGLCGINKMASYPTKQK >Potri.002G194700.1.v4.1 pep chromosome:Pop_tri_v4:2:15754500:15761158:1 gene:Potri.002G194700.v4.1 transcript:Potri.002G194700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194700.v4.1 MSVLAISSRGGLSRRVWYLFLQLLIILCYLIPITNADLADDQFRGPILSPSSVPLVAPAIPDLPLPYNLPSLHKPRKKHFSPHGAPAFVVAPTQPPNYGPLITSGHPPTSSHLSKPSMKKNALVPPSVGLVDVAPTQSGDGTNPTVLAQSPLSPSFSDCCKPDMVLKRGSHGCHCVYPIKLDLLLLNVSQNPNWNLFLEELSSQLGLLVSQIELINFYLLSLSRLNISMDIIPHTGISFSASDASAVNSSLALHKVHFDSSHVGDYKLLNLTWFEPPAPSPAPIVASSPMRAPAHQSSTSTSTSVGSSRKDKHTNLILVLGIGSGIVIIAIVSMLIICSCVFREGKPKASPKETVKPRTIDPVPAAGSLPHPSSTRFLAYEELKEATNNFESASILGEGGFGRVYKGVLSDGTAVAIKRLTSGGQQGGKEFLVEVEMLSRLHHRNLVKLVGYYSSRDSSQNLLCYELVPNGSLEAWLHGPLGANCRLDWDTRMKIALDAARGLAYLHEDSQPCVIHRDFKASNILLEKNFHAKVSDFGLAKQAPEGRANYLSTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRMPVDMSQPSGQENLVTWARPILRDKDQLEELADPTLGGKYPKEDFVRVCTIAAACVSSEASQRPTMGEVVQSLKMVQRVMEYQDSMSTSNARANLRQSSNTFESDGTSSMFSSGPYSSLSALDNDNISRTAVFSEDLHEGR >Potri.006G014700.1.v4.1 pep chromosome:Pop_tri_v4:6:925405:925740:-1 gene:Potri.006G014700.v4.1 transcript:Potri.006G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014700.v4.1 MKRQTLALSLLFIAITMVVVDVTLADGWIPIKNLENKHVVKIAEFAVKEHNRQAGSELRLYSIHKGELQVKEGTDYRLLLAVIGAGGLYEAVVHESYDYSTVLVSFVPLNG >Potri.009G143400.15.v4.1 pep chromosome:Pop_tri_v4:9:11420371:11425452:1 gene:Potri.009G143400.v4.1 transcript:Potri.009G143400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143400.v4.1 MASTRKFSGNTLCSGLTSRSSSEQSKLANVKRQLCLPSQNEVPKSLGGGDAASRKCHLCAEQMTSLLPLVIRRRKTRFGVVYSQSSSGYGVDSTGVQERLVLEEEERDIVNGSRDEEIESTGVPINLPHSSDVKHELIMLSLPAIAGQAIDPFAQLMETAFIGRLGPVELGSAGVSVMIFNNISKLFNIPLLSVATSFVAEDIAKNATKDTTSEKGIQEENSNNGKPIGVVERKQLSSVSTALLLAIGIGIFEAVALSLGCGSFLNLMGITVGSPMRIPAERFLSLRAFGAPAVVVSLALQGIFRGFKDTKTPVFCLGLGNISAIFLFPTLMYYLKLGVTGAAISTVVSQYLVTILMVWQLNKRVILLPPKIGELQFGVYMKSGGFLIGRTLAVLMTMTLATSMAARQGVVAMAAHQICMQIWLAVSLLTDAFAGSGQALIASYSSEGDYMTVKEVTNFVLKIGLVVGVFLAVILGVSFGSVATLFTKDADVLRIVRTGILFVSASQPINALAFIFDGLHYGVSDFPYAAKSMMLVGLVSSAFLLYAPPIMGLPGVWSGLALFMGLRTVAGYMRLLSKSGPWWFMHEDLEAVQVG >Potri.009G143400.1.v4.1 pep chromosome:Pop_tri_v4:9:11420371:11427055:1 gene:Potri.009G143400.v4.1 transcript:Potri.009G143400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143400.v4.1 MASTRKFSGNTLCSGLTSRSSSEQSKLANVKRQLCLPSQNEVPKSLGGGDAASRKCHLCAEQMTSLLPLVIRRRKTRFGVVYSQSSSGYGVDSTGVQERLVLEEEERDIVNGSRDEEIESTGVPINLPHSSDVKHELIMLSLPAIAGQAIDPFAQLMETAFIGRLGPVELGSAGVSVMIFNNISKLFNIPLLSVATSFVAEDIAKNATKDTTSEKGIQEENSNNGKPIGVVERKQLSSVSTALLLAIGIGIFEAVALSLGCGSFLNLMGITVGSPMRIPAERFLSLRAFGAPAVVVSLALQGIFRGFKDTKTPVFCLGLGNISAIFLFPTLMYYLKLGVTGAAISTVVSQYLVTILMVWQLNKRVILLPPKIGELQFGVYMKSGGFLIGRTLAVLMTMTLATSMAARQGVVAMAAHQICMQIWLAVSLLTDAFAGSGQALIASYSSEGDYMTVKEVTNFVLKIGLVVGVFLAVILGVSFGSVATLFTKDADVLRIVRTGILFVSASQPINALAFIFDGLHYGVSDFPYAAKSMMLVGLVSSAFLLYAPPIMGLPGVWSGLALFMGLRTVAGYMRLLSKSGPWWFMHEDLEAVQVG >Potri.009G143400.10.v4.1 pep chromosome:Pop_tri_v4:9:11420371:11425856:1 gene:Potri.009G143400.v4.1 transcript:Potri.009G143400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143400.v4.1 MASTRKFSGNTLCSGLTSRSSSEQSKLANVKRQLCLPSQNEVPKSLGGGDAASRKCHLCAEQMTSLLPLVIRRRKTRFGVVYSQSSSGYGVDSTGVQERLVLEEEERDIVNGSRDEEIESTGVPINLPHSSDVKHELIMLSLPAIAGQAIDPFAQLMETAFIGRLGPVELGSAGVSVMIFNNISKLFNIPLLSVATSFVAEDIAKNATKDTTSEKGIQEENSNNGKPIGVVERKQLSSVSTALLLAIGIGIFEAVALSLGCGSFLNLMGITVGSPMRIPAERFLSLRAFGAPAVVVSLALQGIFRGFKDTKTPVFCLGLGNISAIFLFPTLMYYLKLGVTGAAISTVVSQYLVTILMVWQLNKRVILLPPKIGELQFGVYMKSGGFLIGRTLAVLMTMTLATSMAARQGVVAMAAHQICMQIWLAVSLLTDAFAGSGQALIASYSSEGDYMTVKEVTNFVLKIGLVVGVFLAVILGVSFGSVATLFTKDADVLRIVRTGILFVSASQPINALAFIFDGLHYGVSDFPYAAKSMMLVGLVSSAFLLYAPPIMGLPGVWSGLALFMGLRTVAGYMRLLSKSGPWWFMHEDLEAVQVG >Potri.016G119200.1.v4.1 pep chromosome:Pop_tri_v4:16:12406925:12409489:1 gene:Potri.016G119200.v4.1 transcript:Potri.016G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119200.v4.1 MATITISSLQTFPSLHSLSLNSPQNTPTLSFYSQSKPFPSISLKSFNTTLKSKPRSLSITAAFKTLSETELITVPLTADEFNSKMPSDCGVYAVYDKSNDLQFIGVTRNIGASVFSHLKSVPELCHSVKVGVVVEPDKASLTEAWKSWMEEYIKTTGKVPPGNETGNATWIRQPSKKKADLRLTPGRHVQLTVPLEELIDRLVKENKVVAFIKGSRSAPMCGFSQRVVGILESEGMDYESVDVLDEEYNYGLRETLKKYSNWPTFPQIFMNGELVGGCDILTSMHEKGELAGHLKK >Potri.013G020000.2.v4.1 pep chromosome:Pop_tri_v4:13:1267049:1271817:1 gene:Potri.013G020000.v4.1 transcript:Potri.013G020000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020000.v4.1 MLEPEEERAMKMVLEDGIMKTKKIMEGNQEVNFTIEEYQRFHQCVFDLHSASYRNNSHWLLERFVKSLEESINSVVLPSFVDKRDALLLRELILMWSNYKMMTKWLCKFFESIDRHFVPNICYCSLNDISNNNFHDLVFKEFYVKFQDVAISLINQERMGLHIDCSSLKNVFLVFMEMHKHTGIAYYEGIESVMLEETSNYYCQMAQQWLSHGSPADYVQKVYRCLEQEAERADRYMPSGTQPKLLKVVKQQLVYEILDKLVEKKRLENCGLVTDFYQEMLSKCANMTLQEGSSWTTEEWLSALLTSSLICPLS >Potri.013G020000.4.v4.1 pep chromosome:Pop_tri_v4:13:1267049:1271817:1 gene:Potri.013G020000.v4.1 transcript:Potri.013G020000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020000.v4.1 MLEPEEERAMKMVLEDGIMKTKKIMEGNQEVNFTIEEYQRFHQCVFDLHSASYRNNSHWLLERFVKSLEESINSVVLPSFVDKRDALLLRELILMWSNYKMMTKWLCKFFESIDRHFVPNICYCSLNDISNNNFHDLVFKEFYVKFQDVAISLINQERMGLHIDCSSLKNVFLVFMEMHKHTGIAYYEGIESVMLEETSNYYCQMAQQWLSHGSPADYVQKVYRCLEQEAERADRYMPSGTQPKLLKVVKQQLVYEILDKLVEKKRLENCGLVTDFYQEMLSKCANMTLQEGSSWTTEEWLSALLTSSLICPLS >Potri.013G020000.5.v4.1 pep chromosome:Pop_tri_v4:13:1267047:1271817:1 gene:Potri.013G020000.v4.1 transcript:Potri.013G020000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020000.v4.1 MLEPEEERAMKMVLEDGIMKTKKIMEGNQEVNFTIEEYQRFHQCVFDLHSASYRNNSHWLLERFVKSLEESINSVVLPSFVDKRDALLLRELILMWSNYKMMTKWLCKFFESIDRHFVPNICYCSLNDISNNNFHDLVFKEFYVKFQDVAISLINQERMGLHIDCSSLKNVFLVFMEMHKHTGIAYYEGIESVMLEETSNYYCQMAQQWLSHGSPADYVQKVYRCLEQEAERADRYMPSGTQPKLLKVVKQQLVYEILDKLVEKKRLENCGLVTDFYQEMLSKCANMTLQEGSSWTTEEWLSALLTSSLICPLS >Potri.012G099200.1.v4.1 pep chromosome:Pop_tri_v4:12:12252947:12254795:1 gene:Potri.012G099200.v4.1 transcript:Potri.012G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099200.v4.1 MEGNSNPISPVTPQHSKTTQELAIEGQKHLEETIQAAYQILSSMNDELCNPTLWSTTSTSTSTVTSPITSSNAQNGDANAAVSDSTLELNGGGGVGNGALDEARFRYKNSVAALRDVLAAIPNSHKAKPFETSSPADQAEIEKLEERASNLRKELAKKNTYVKLLIDQLRELIADISTWQGPYSV >Potri.019G045400.2.v4.1 pep chromosome:Pop_tri_v4:19:6352800:6356152:1 gene:Potri.019G045400.v4.1 transcript:Potri.019G045400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045400.v4.1 MTRTVKLFFHESVVFDKFKDTNGEFKKTIINDVKGILSLYEASFLSVHGEQILDDALVFTKANLESSAMQSSPRLADHIRNALIRPFHKGVPRIEARKYISFYEEEESRNDTLLKFAKIDFNRVQLIHRQELSILSRWWNDLNFAEEFPYARDRIVEIYFWANGVHFEPQYAFSRMVVTKYTKIVSLLDDTCDAYASFEEIQHFTNAIERCCMDAIDQLPAEYLKVLYRALLNLFSETESDMGKQGRSYALYYVKEAFKELARAYRVEAQRADEGHVPTFDEYVRNGLTTSAYGVITAVSFVGMDEVAGQEEYKWLKSNPKIMKAGKMICRLVNDIVGHEDEQKRGDCASGVECFMKQYDVSEKKAIEEIQKMVANGWKDINEDCMMPTNAPMLLLQHIVNLVRVTEVTYGHNDDAYTIPLSLKDSVTLLYVEQVPMCE >Potri.002G137100.1.v4.1 pep chromosome:Pop_tri_v4:2:10277893:10287580:1 gene:Potri.002G137100.v4.1 transcript:Potri.002G137100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137100.v4.1 MNRRVRQKVAKKSKEKVELPSNPEIGDAGLCPDSNEDVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGLSSCLWISLDLRAHKCDPGMAVSLASRCVNLQKIRFRGAESADAIIHLQARNLREISGDYCRKITDATLSMIVARHEALETLQLGPDFCEKVSSDAIKAIAFCCPKLKKLRLSGLRDVSADVINALAKHCPNLIDIGFLDCLKVDEAALGNVVSVHFLSVAGTSNMKWGVVSHLWHKLPKLIGLDVSRTDIDPSAVSRLLSLSPSLKVLCAMNCPVLEEDNAFSVNKYKGKLLLALFNDIFKGLASLFADITKMGKNVLLEWRNLKTKDKNVDEIMSWLEWILSHTLLRTAESNPQGLDVFWLKLGAPILLSLMQSSQEEVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIEILAGLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGVKALVDLIFKWSSGSDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSLHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQSCANASPGLQERAAGALWGLSVSEANSIAIGQEGGVAPLIALARSEAEDVHETAAGALWNLAFNRGNALRIVEEGGVPALVDLCSSSVSKMARFMAALALAYMFDGRMDEFALIGTSTESISKSVNLDGARRMALKHIEAFVLTFTDPQAFATAAASSAPAALAQVTERARIQEAGHLRCSVAEIGRFVAMLRNPSSILKACAAFALLQFTIPGGRHALHHASLMQSAGAARVLRAAAAAATAPLEAKIFARIVLRNLEFHHIESSI >Potri.002G137100.2.v4.1 pep chromosome:Pop_tri_v4:2:10277913:10287556:1 gene:Potri.002G137100.v4.1 transcript:Potri.002G137100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137100.v4.1 MNRRVRQKVAKKSKEKVELPSNPEIGDAGLCPDSNEDVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKTWRVLGLSSCLWISLDLRAHKCDPGMAVSLASRCVNLQKIRFRGAESADAIIHLQARNLREISGDYCRKITDATLSMIVARHEALETLQLGPDFCEKVSSDAIKAIAFCCPKLKKLRLSGLRDVSADVINALAKHCPNLIDIGFLDCLKVDEAALGNVVSVHFLSVAGTSNMKWGVVSHLWHKLPKLIGLDVSRTDIDPSAVSRLLSLSPSLKVLCAMNCPVLEEDNAFSVNKYKGKLLLALFNDIFKGLASLFADITKMGKNVLLEWRNLKTKDKNVDEIMSWLEWILSHTLLRTAESNPQGLDVFWLKLGAPILLSLMQSSQEEVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIEILAGLARSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGVKALVDLIFKWSSGSDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNSNNAAVGQEAGALEALVQLTRSLHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQSCANASPGLQERAAGALWGLSVSEANSIAIGQEGGVAPLIALARSEAEDVHETAAGALWNLAFNRGNALRIVEEGGVPALVDLCSSSVSKMARFMAALALAYMFDGRMDEFALIGTSTESISKSVNLDGARRMALKHIEAFVLTFTDPQAFATAAASSAPAALAQVTERARIQEAGHLRCSVAEIGRFVAMLRNPSSILKACAAFALLQFTIPGGRHALHHASLMQSAGAARVLRAAAAAATAPLEAKIFARIVLRNLEFHHIESSI >Potri.010G133800.1.v4.1 pep chromosome:Pop_tri_v4:10:14969401:14975938:-1 gene:Potri.010G133800.v4.1 transcript:Potri.010G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133800.v4.1 MGYIGSHGIAALHRYKYSGVDHSYVAKYVLQPFWSRCVNFFPLWMPPNMITLMGFMFLVTSALLGYIYSPRLDTPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGRDSFWFWLISAVPFYGATWEHFFTNTLILPAVNGPTEGLMLIYVAHLFTALVGAEWWVQHFGMSFPFLSWVPFVSEIQTYRVVLLLMTAFAVIPTVAFNVSNVYKVVQARKSSMLMALAMLYPFLVLVGGVLVWDYLSPSDLMANYPHLVVLGTGLAFGFLVGRMILSHLCDEPKGLKTNMCLSLLYLPFAIANALAARLNDGVALVDEFWVLLGYCVFTMLLYLHFTTSVIHEITTALGICCFRITRKKA >Potri.010G133800.2.v4.1 pep chromosome:Pop_tri_v4:10:14969192:14976369:-1 gene:Potri.010G133800.v4.1 transcript:Potri.010G133800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133800.v4.1 MLLIFCSFLESAPKPSCSPKKKKKKQKISKSLSVSPFPTVQYFKLSYFHIKQFLFRSVVVFLNYNKKNHPSFRSIFSFSARSEKMGYIGSHGIAALHRYKYSGVDHSYVAKYVLQPFWSRCVNFFPLWMPPNMITLMGFMFLVTSALLGYIYSPRLDTPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGRDSFWFWLISAVPFYGATWEHFFTNTLILPAVNGPTEGLMLIYVAHLFTALVGAEWWVQHFGMSFPFLSWVPFVSEIQTYRVVLLLMTAFAVIPTVAFNVSNVYKVVQARKSSMLMALAMLYPFLVLVGGVLVWDYLSPSDLMANYPHLVVLGTGLAFGFLVGRMILSHLCDEPKGLKTNMCLSLLYLPFAIANALAARLNDGVALVDEFWVLLGYCVFTMLLYLHFTTSVIHEITTALGICCFRITRKKA >Potri.010G133800.3.v4.1 pep chromosome:Pop_tri_v4:10:14969311:14976253:-1 gene:Potri.010G133800.v4.1 transcript:Potri.010G133800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133800.v4.1 MGYIGSHGIAALHRYKYSGVDHSYVAKYVLQPFWSRCVNFFPLWMPPNMITLMGFMFLVTSALLGYIYSPRLDTPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESLAFGSTAMCGRDSFWFWLISAVPFYGATWEHFFTNTLILPAVNGPTEGLMLIYVAHLFTALVGAEWWVQHFGMSFPFLSWVPFVSEIQTYRVVLLLMTAFAVIPTVAFNVSNVYKVVQARKSSMLMALAMLYPFLVLVGGVLVWDYLSPSDLMANYPHLVVLGTGLAFGFLVGRMILSHLCDEPKGLKTNMCLSLLYLPFAIANALAARLNDGVALVDEFWVLLGYCVFTMLLYLHFTTSVIHEITTALGICCFRITRKKA >Potri.005G154350.1.v4.1 pep chromosome:Pop_tri_v4:5:14153044:14153619:-1 gene:Potri.005G154350.v4.1 transcript:Potri.005G154350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 MDGIKYAVVTDKSIRLLLKNQYTSNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKGRRMRPIMGHTMHYRRMIITLQPGYSIPPLRKKRT >Potri.010G106300.1.v4.1 pep chromosome:Pop_tri_v4:10:12770518:12775762:1 gene:Potri.010G106300.v4.1 transcript:Potri.010G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106300.v4.1 MSSENDSHAPLLRPRQDSPNSPTASQTTLAVLLGRATGRRGPSMLVRETAARELDERRADLGYSKPVVALDILWNAAFVVVSVTMLVVTAKERPNTPIRLWICGYSLQCLVHVILVWLEYRRRNTRRGRDVESEQQSIEGENVAESEDEDGDEHDRATPPRSSFTKRCESVNTMVSFLWWIVGFYWVVSGGDALLQNAPRLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLSILPKYKYLVMGNEEKRPRVGAGKMVPVETSSGYLSTERVLLPEDAECCICLSPYEDGAELHALPCNHHFHAMCIVKWLKMNATCPLCKYNILKGNEQV >Potri.017G018300.1.v4.1 pep chromosome:Pop_tri_v4:17:1341086:1345472:1 gene:Potri.017G018300.v4.1 transcript:Potri.017G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018300.v4.1 MEAKLGLCRTDGEAIITHEKHKEKILKLKRRWLLGLPFSKSEDKNFHKYVVSRFVPESLQRDDDIFYEKVKEYVEEAFGACNAKREAHIAPDSKLLFDRTKMRRMLLSRLDALNNKGLHLIAMLLTGGAVNFETTRKKMKEVIKQSRFLRDPNCDHDQTEILMLLYQLINSPQNFRENCLALVNSTFQSHHSAAIQVLDGLEDLPTEALLAMRRKLSGVPASIPRLLKKKYNRCRDSVIHYIRKTSQKMLSEIGGGDELQEPLAKALGIAGLSVKLTSGSLNSSSNDFCQFSPEIKVLQNEILKALWLLGMKKLKLPEVQTLQLLLDPKADVPKGSLRTAMNKLLTEYLFECSEFSTVPKPLTEALAIINRSSCKTRSGCYPKEQIEEEVECILGLSSEIKQVVWDVFPHHEFDEDFADAYVEESEESDGGDDDFVSDHHNIGECKSYSIDWNYQEESCGEHIPMDSSPPISNPDTSRGPTLFHETRNHNVDVSKLSIATFGTSSVNEDDLSVHHSPNGNSKVHSSIRNEPEKGAAIDPGNSQDVSPPSTFCLKGKNISGNLYLGIQEICDETSMVAYNLIGYLMEGLARKEGLDLDWGDISYLRGDKSSKENQEERKKSSENNEAGSDIAQVVEELLPSLPKSESTRLKELMAT >Potri.017G018300.6.v4.1 pep chromosome:Pop_tri_v4:17:1341086:1345525:1 gene:Potri.017G018300.v4.1 transcript:Potri.017G018300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018300.v4.1 MEAKLGLCRTDGEAIITHEKHKEKILKLKRRWLLGLPFSKSEDKNFHKYVVSRFVPESLQRDDDIFYEKVKEYVEEAFGACNAKREAHIAPDSKLLFDRTKMRRMLLSRLDALNNKGLHLIAMLLTGGAVNFETTRKKMKEVIKQSRFLRDPNCDHDQTEILMLLYQLINSPQNFRENCLALVNSTFQSHHSAAIQVLDGLEDLPTEALLAMRRKLSGVPASIPRLLKKKYNRCRDSVIHYIRKTSQKMLSEIGGGDELQEPLAKALGIAGLSVKLTSGSLNSSSNDFCQFSPEIKVLQNEILKALWLLGMKKLKLPEVQTLQLLLDPKADVPKGSLRTAMNKLLTEYLFECSEFSTVPKPLTEALAIINRSSCKTRSGCYPKEQIEEEVECILGLSSEIKQVVWDVFPHHEFDEDFADAYVEESEESDGGDDDFVSDHHNIGECKSYSIDWNYQEESCGEHIPMDSSPPISNPDTSRGPTLFHETRNHNVDVSKLSIATFGTSSVNEDDLSVHHSPNGNSKVHSSIRNEPEKGAAIDPGNSQDVSPPSTFCLKGKNISGNLYLGIQEICDETSMVAYNLIGYLMEGLARKEGLDLDWGDISYLRGDKSSKENQEERKKSSENNEAGSDIAQVVEELLPSLPKSESTRLKELMAT >Potri.017G018300.2.v4.1 pep chromosome:Pop_tri_v4:17:1341083:1345472:1 gene:Potri.017G018300.v4.1 transcript:Potri.017G018300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018300.v4.1 MEAKLGLCRTDGEAIITHEKHKEKILKLKRRWLLGLPFSKSEDKNFHKYVVSRFVPESLQRDDDIFYEKVKEYVEEAFGACNAKREAHIAPDSKLLFDRTKMRRMLLSRLDALNNKGLHLIAMLLTGGAVNFETTRKKMKEVIKQSRFLRDPNCDHDQTEILMLLYQLINSPQNFRENCLALVNSTFQSHHSAAIQVLDGLEDLPTEALLAMRRKLSGVPASIPRLLKKKYNRCRDSVIHYIRKTSQKMLSEIGGGDELQEPLAKALGIAGLSVKLTSGSLNSSSNDFCQFSPEIKVLQNEILKALWLLGMKKLKLPEVQTLQLLLDPKADVPKGSLRTAMNKLLTEYLFECSEFSTVPKPLTEALAIINRSSCKTRSGCYPKEQIEEEVECILGLSSEIKQVVWDVFPHHEFDEDFADAYVEESEESDGGDDDFVSDHHNIGECKSYSIDWNYQEESCGEHIPMDSSPPISNPDTSRGPTLFHETRNHNVDVSKLSIATFGTSSVNEDDLSVHHSPNGNSKVHSSIRNEPEKGAAIDPGNSQDVSPPSTFCLKGKNISGNLYLGIQEICDETSMVAYNLIGYLMEGLARKEGLDLDWGDISYLRGDKSSKENQEERKKSSENNEAGSDIAQVVEELLPSLPKSESTRLKELMAT >Potri.001G356700.1.v4.1 pep chromosome:Pop_tri_v4:1:37114351:37130862:1 gene:Potri.001G356700.v4.1 transcript:Potri.001G356700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356700.v4.1 MMELKDQRLRSRKSVFRMEFRRRDYSAEEQGHVLRRSRTDHHPLLPPSPSFHNQVVVEKNGNNDFFDPLRGLNAVDSTSAEEKAANTTTNNNSQVSSKEWTSFKRFLMQKFPVSKMISIASMPDVIIKSSTSFRKSSESSHLEEVDDPQRISQEDVKVITGQEYVSRLHELKDEINRAWHAEDRVTALKLTIKVAKLLMDTSVLQFYPTLFVLATDVLDMLGDMVWKRIQQKAEFSEDGTFLCSLPENFKASDICSDAKETCNNWFCKVGSIRELLPRIYLELAIFPCWRFLVDQPLDSLQRLVMMARGVVDPLASAYCRLYMAHCARKLPLSDIGHLVTCVNDTKILLMRHLSAKEDGHFADKKRLLVSLMEPTIEYIMKCIFENTSQSQRQVDSVLLELGLGRNQEDLCGSSPCISFVLHHLLKELPTEVIRSNFVGILHLIECSQDHYFDQCLNYRLLGFRLSESRSKLDIVYSVVDKVIQAVAQYDRLDQYVTVVDAYMDIVLQNQMDNHLKTILEGISKRACHKEIHEDELESLKSILMKLLSHFTDLHDVLALDHFLEILDVMYGNPRSNINMHILSMATRTGYLRDLSTIQLLFEISQSLHDVIDFGSMKDDEYQKPLRLISRFVEMVDYGTEMEQHLTFLVECRGAFGIINELKETLVHSSNCLAIKALKDGRKHLNFVKSCIAFSEVTIPSVLEHVVQFNLYIETAEVALLGGSVSHSDGLVVSAISCLECFDLTDGSRTSIDAGGMLSSIQKLCSLLVMFPGNPDQGITRFPNSILSLIYFKSWMTPKMKIRLFCGIILLLATLSQDKLPYYPYCAEIMGNDLLFFGDPSYAHEIAALSECVLQNLISFIEQEPPKAARGTMALEACNCIASSFVVCQDILEVCWKLIETARLCLSADDRYLQSTIKYLNEQLPPSCSNLIFAQHYDLSKC >Potri.001G356700.2.v4.1 pep chromosome:Pop_tri_v4:1:37114346:37130860:1 gene:Potri.001G356700.v4.1 transcript:Potri.001G356700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356700.v4.1 MMELKDQRLRSRKSVFRMEFRRRDYSAEEQGHVLRRSRTDHHPLLPPSPSFHNQVVVEKNGNNDFFDPLRGLNAVDSTSAEEKAANTTTNNNSQVSSKEWTSFKRFLMQKFPVSKMISIASMPDVIIKSSTSFRKSSESSHLEEVDDPQRISQEDVKVITGQEYVSRLHELKDEINRAWHAEDRVTALKLTIKVAKLLMDTSVLQFYPTLFVLATDVLDMLGDMVWKRIQQKAEFSEDGTFLCSLPENFKASDICSDAKETCNNWFCKVGSIRELLPRIYLELAIFPCWRFLVDQPLDSLQRLVMMARGVVDPLASAYCRLYMAHCARKLPLSDIAGHLVTCVNDTKILLMRHLSAKEDGHFADKKRLLVSLMEPTIEYIMKCIFENTSQSQRQVDSVLLELGLGRNQEDLCGSSPCISFVLHHLLKELPTEVIRSNFVGILHLIECSQDHYFDQCLNYRLLGFRLSESRSKLDIVYSVVDKVIQAVAQYDRLDQYVTVVDAYMDIVLQNQMDNHLKTILEGISKRACHKEIHEDELESLKSILMKLLSHFTDLHDVLALDHFLEILDVMYGNPRSNINMHILSMATRTGYLRDLSTIQLLFEISQSLHDVIDFGSMKDDEYQKPLRLISRFVEMVDYGTEMEQHLTFLVECRGAFGIINELKETLVHSSNCLAIKALKDGRKHLNFVKSCIAFSEVTIPSVLEHVVQFNLYIETAEVALLGGSVSHSDGLVVSAISCLECFDLTDGSRTSIDAGGMLSSIQKLCSLLVMFPGNPDQGITRFPNSILSLIYFKSWMTPKMKIRLFCGIILLLATLSQDKLPYYPYCAEIMGNDLLFFGDPSYAHEIAALSECVLQNLISFIEQEPPKAARGTMALEACNCIASSFVVCQDILEVCWKLIETARLCLSADDRYLQSTIKYLNEQLPPSCSNLIFAQHYDLSKC >Potri.004G196600.1.v4.1 pep chromosome:Pop_tri_v4:4:20888209:20890786:1 gene:Potri.004G196600.v4.1 transcript:Potri.004G196600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196600.v4.1 MESFSAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLLVTASGAVLHVLSTSLLGITAITMANTIAGEETVHKLASLLLIVLGGCYVILFLSGKGGHSHSHNQPMEKMAVVGLILVPALSPCATTLPVFLAVGSSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCMVGILTLMFHDHNHEGHGGFSGEHLNRKIIGL >Potri.015G085500.2.v4.1 pep chromosome:Pop_tri_v4:15:11001303:11003984:1 gene:Potri.015G085500.v4.1 transcript:Potri.015G085500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085500.v4.1 MQVHEATELQEIEKLREQFKRELLAAASNSSQQLDLIDAIQRLGVAYHFETEIEEALQKIYNNRIDMEDEDLYNTALGFRLLRQHGYNVSCDIFNKFKDDKGYFKQSNDVRGILGLYEAAHLAVHGEDILDEALAFTTIHLKSMETSPNCPLTAKVSHALKQPIQRGVPRLESRRYISIYQDEPSCNKTLLRLAKLNFNLVQELHKEELAEITRWWKGLDFARRLPFARDRVVECFFWIVGVYFEPQYSLARKILTKVIAMTSIIDDIYDVYGTLEELELFTEAIDRWDTKSMDQLPDYMKICYEALLNVFSEIEEKVAKEGWSYRVHYGKDAMKVLVHAYFNEAKWFHENHIPTMEEYMQVALVTSGYSMLTTVSFIGMGDMVTKQAFDWVFNHPKIIRASETIGRLMDDVKSHKFEQERGHAASGVECYIRQYGLSEQEVYKEFHMQVVNAWKDINEECLKPTAAPMPLLERILNLSRVIDVIYKEEDGYTHVGKVMKNNVASLLINSVPI >Potri.015G085500.1.v4.1 pep chromosome:Pop_tri_v4:15:11001035:11003984:1 gene:Potri.015G085500.v4.1 transcript:Potri.015G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085500.v4.1 MSTQVSQEVVPKAHHNEIIRRTANYHPSIWGDQFISHLPKDKVHEATELQEIEKLREQFKRELLAAASNSSQQLDLIDAIQRLGVAYHFETEIEEALQKIYNNRIDMEDEDLYNTALGFRLLRQHGYNVSCDIFNKFKDDKGYFKQSNDVRGILGLYEAAHLAVHGEDILDEALAFTTIHLKSMETSPNCPLTAKVSHALKQPIQRGVPRLESRRYISIYQDEPSCNKTLLRLAKLNFNLVQELHKEELAEITRWWKGLDFARRLPFARDRVVECFFWIVGVYFEPQYSLARKILTKVIAMTSIIDDIYDVYGTLEELELFTEAIDRWDTKSMDQLPDYMKICYEALLNVFSEIEEKVAKEGWSYRVHYGKDAMKVLVHAYFNEAKWFHENHIPTMEEYMQVALVTSGYSMLTTVSFIGMGDMVTKQAFDWVFNHPKIIRASETIGRLMDDVKSHKFEQERGHAASGVECYIRQYGLSEQEVYKEFHMQVVNAWKDINEECLKPTAAPMPLLERILNLSRVIDVIYKEEDGYTHVGKVMKNNVASLLINSVPI >Potri.016G103700.1.v4.1 pep chromosome:Pop_tri_v4:16:10655375:10656070:1 gene:Potri.016G103700.v4.1 transcript:Potri.016G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103700.v4.1 MAQLLLLCLVLADAFVGLAMASEKVQTMVQSSPAPSSHPQAPTQNLYDAQAPNIRKLGKHHLHKMVQSFVAPIHSPSIAPHQAQENVHSKRETSSSYQIKSSSEPSSTEESASIHVQDIHLPNHHHSVDKSIAGGGVILGGLAAVFLVAVFRYIRATGRHKAGASS >Potri.006G005700.4.v4.1 pep chromosome:Pop_tri_v4:6:393369:395429:-1 gene:Potri.006G005700.v4.1 transcript:Potri.006G005700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005700.v4.1 MQSIRNSILSHIRLRGSAEQFLFAQRGNVFKQLHRQMCTSVGTSPDKIMDRVIGLVKKFDKIDATKVTETADFQKDLCLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVAKYIVSGVATRVFYTW >Potri.006G005700.1.v4.1 pep chromosome:Pop_tri_v4:6:393472:395429:-1 gene:Potri.006G005700.v4.1 transcript:Potri.006G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005700.v4.1 MQSIRNSILSHIRLRGSAEQFLFAQRGNVFKQLHRQMCTSVGTSPDKIMDRVIGLVKKFDKIDATKVTETADFQKDLCLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVAKYIVSGGELKNVNPE >Potri.006G005700.5.v4.1 pep chromosome:Pop_tri_v4:6:393369:395163:-1 gene:Potri.006G005700.v4.1 transcript:Potri.006G005700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005700.v4.1 MQSIRNSILSHIRLRGSAEQFLFAQRGNVFKQLHRQMCTSVGTSPDKIMDRVIGLVKKFDKIDATKVTETADFQKDLCLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVAKYIVSGVATRVFYTW >Potri.006G005700.6.v4.1 pep chromosome:Pop_tri_v4:6:393472:395163:-1 gene:Potri.006G005700.v4.1 transcript:Potri.006G005700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005700.v4.1 MQSIRNSILSHIRLRGSAEQFLFAQRGNVFKQLHRQMCTSVGTSPDKIMDRVIGLVKKFDKIDATKVTETADFQKDLCLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVAKYIVSGGELKNVNPE >Potri.006G005700.3.v4.1 pep chromosome:Pop_tri_v4:6:393369:395429:-1 gene:Potri.006G005700.v4.1 transcript:Potri.006G005700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005700.v4.1 MQSIRNSILSHIRLRGSAEQFLFAQRGNVFKQLHRQMCTSVGTSPDKIMDRVIGLVKKFDKIDATKVTETADFQKDLCLDSLDRVELVMAFEEEFSIEIPEEKADKLTCCADVAKYIVSGVATRVFYTW >Potri.005G079200.1.v4.1 pep chromosome:Pop_tri_v4:5:5378286:5383050:-1 gene:Potri.005G079200.v4.1 transcript:Potri.005G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079200.v4.1 MAASTSSISRLGFRHHQPLGTNPGSHSQPSGSVSFLSGSHCFYFKPLEATRQSQLSRVSVVVKAESRSEEMQVDISLSPRVTAVKPSKTVAITDQATALAQAGVPVIRLAAGEPDFDTPAVIAEAGINAIREGHTRYTPNAGTQELRVAICQKLKEENGISYKPDQILVSNGAKQSIYQAILAVCSPGDEVIIPAPFWVSYPEMARLADATPVIIPTSISENFLLDPKQLESKLNEKSRLLILCSPSNPTGSVYPKKLLEEIAKIVAKHPRLLVLSDEIYEHIIYAPATHTSFASLPGMWERTLTVNGFSKAFAMTGWRLGYLAGPKHFVAACNKIQSQFTSGASSISQKAGVAALGLGYAGGEAVSTMVIAFRERRDFLIKSFGEMEGVGLSEPLGAFYLFIDFSSYYGAEVEGFGKIDDSDALCRYLLDQAQVALVPGVAFGDDSCIRISYAASLTTLQAAVERIKKALLPLKSAVPV >Potri.011G074067.1.v4.1 pep chromosome:Pop_tri_v4:11:7849407:7849934:-1 gene:Potri.011G074067.v4.1 transcript:Potri.011G074067.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074067.v4.1 MFQGLGCSPIKAVRELGSERRETFRSISGVVVRALRGPFPSTRGPGRTHLWCTSYCAHGKRWVAKCGADNC >Potri.014G045150.1.v4.1 pep chromosome:Pop_tri_v4:14:2915666:2916502:-1 gene:Potri.014G045150.v4.1 transcript:Potri.014G045150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045150.v4.1 MMSRKCEGLPCLKARDKDISFLASYFIRAGSWKEAFSFNVFCFAHGRNC >Potri.003G157800.1.v4.1 pep chromosome:Pop_tri_v4:3:16858427:16863758:1 gene:Potri.003G157800.v4.1 transcript:Potri.003G157800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157800.v4.1 MDERRWRRHGRGQAQTSLLPLRTPTRPSRTTLSNTVSCCYCDYKISALNTSLYHFGRKQAKLLKIWFSIGVGFSLTALLGVTLILVWELGNFLHLFHGSSDLSSSLLFGFSPQVYGSRLSVADAGYLLLSTLISVSVHEFGHSIAAASEGIPTEYIAIFLAVLFPGALVALNYELLEELQPFTALRVYCAGVWHNAVCCAVCALVLFLLPLILSPFYIHGESPMVLDVPSTSPLSGYLSPGDAIVSLDGKRIHNDQEWMETTALIDERTLQSSNLSKSFEALAIVHQMKGYCVPTSVIEESNEMLFIENQSACPDDLTEFVAVQCFNSSKSDNVNIEDGISQRQRRHCLNAKDVVKLNKCGDGWVTEITKGSSCLCSQEEYCLNPVPLPGSIWVEITFASPYSPECLQLGRNSFPASGASDFSEHKCGGTFVFVGDLISMAHSVRLTAYQPRWGFSFSAHLPNILEKSLMYTFHVSLTLALLNSLPVYFLDGESILEVALCHFTSLSSRKRAKVMRACLLGGTLISTLSFMRIFFINFW >Potri.006G049900.1.v4.1 pep chromosome:Pop_tri_v4:6:3449409:3455432:-1 gene:Potri.006G049900.v4.1 transcript:Potri.006G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049900.v4.1 MATIHHHHLPLLHNPTPPAFKPKILCKNSKNDAAFEEKKSGFVDYDKGIHHVSTQVSGIRKDQIPQRYRIRVQGDRFQKDWSVSQVVQKVLELDHKSDDVEGLLNRWVGRFARKNFPLLIKEITQKGSIEHSILVFRWMKNQRNYCARTDIYNMMIRLHARHNWTDQARGLFFEMQKWRCKPDAETCNALINAHGRSGQWRWAMNIMEDMLQKAIPPSRSTYNNLINACGSSGNWREALKLCKKMTENGVGPDLVTHNIILSAYKTGAQYAKALSYFELMKGTNIRPDTTTLNIIIYCLTKLGQYEKAIGIFKSMREKRAECHPDVVTFTSIIHLYSVNGQIENCRAVFSTMVAEGLKPNIVSYNTLMGAYASHGMNKEALSVFNAIKNSGLRPDVVSYTSLLNSYGRSQQPKKAREVFEMMKRDKLKPNIVSYNAMIDAYGSNGLLAEAVEVLREMEQDGIYPNAVSICTLLAACGRCSRKVNIDVVLQAAERRHIKLNTIAYNSAIGSYMNVGEFEKATSMYRSMRKSKVIPDAVTFTVLISGCCKMTKYCEALEFLSEMMDLKIPMTKEAYSSVICAYSKQGKITEAESMFNKMKMAGCSPDVVTYTMMLHAYNAAEHWKKACALLQEMEEYNIQPDTIACSALMRAFNKGGDPSKVLILAEFMREKEIPLSDAIFFEMVSACSLLRDWRTTIELIKLMESSFSVVSIGLLNQLLHLLGKSGKIESMMKLFYKIIGSGAEINCNTYSILLKNLLAVGNWRKYIEVLEWMEEARVQPSNGMYFDIISFAQKSGATYSSIIQERVESLRRKSGNEVSTSKV >Potri.006G049900.3.v4.1 pep chromosome:Pop_tri_v4:6:3449404:3454744:-1 gene:Potri.006G049900.v4.1 transcript:Potri.006G049900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049900.v4.1 MKNQRNYCARTDIYNMMIRLHARHNWTDQARGLFFEMQKWRCKPDAETCNALINAHGRSGQWRWAMNIMEDMLQKAIPPSRSTYNNLINACGSSGNWREALKLCKKMTENGVGPDLVTHNIILSAYKTGAQYAKALSYFELMKGTNIRPDTTTLNIIIYCLTKLGQYEKAIGIFKSMREKRAECHPDVVTFTSIIHLYSVNGQIENCRAVFSTMVAEGLKPNIVSYNTLMGAYASHGMNKEALSVFNAIKNSGLRPDVVSYTSLLNSYGRSQQPKKAREVFEMMKRDKLKPNIVSYNAMIDAYGSNGLLAEAVEVLREMEQDGIYPNAVSICTLLAACGRCSRKVNIDVVLQAAERRHIKLNTIAYNSAIGSYMNVGEFEKATSMYRSMRKSKVIPDAVTFTVLISGCCKMTKYCEALEFLSEMMDLKIPMTKEAYSSVICAYSKQGKITEAESMFNKMKMAGCSPDVVTYTMMLHAYNAAEHWKKACALLQEMEEYNIQPDTIACSALMRAFNKGGDPSKVLILAEFMREKEIPLSDAIFFEMVSACSLLRDWRTTIELIKLMESSFSVVSIGLLNQLLHLLGKSGKIESMMKLFYKIIGSGAEINCNTYSILLKNLLAVGNWRKYIEVLEWMEEARVQPSNGMYFDIISFAQKSGATYSSIIQERVESLRRKSGNEVSTSKV >Potri.014G050600.1.v4.1 pep chromosome:Pop_tri_v4:14:3277138:3278149:-1 gene:Potri.014G050600.v4.1 transcript:Potri.014G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050600.v4.1 MESTSNKTKIKPLQDIILPTRPPWKTETNGDIRNVMLVGAALIATVTFQAGITPPGGVWQSDDNQGHRAGHAVYSDQKVPFQIFLICNTIALTSSIFLLLCLTFGYPYFLEVLIATISMMGTYSSGIYCITPYESVSFRLIFVAAPAPIVIRFVIWVVASAVRHLRQLPISK >Potri.004G064900.4.v4.1 pep chromosome:Pop_tri_v4:4:5522527:5525387:1 gene:Potri.004G064900.v4.1 transcript:Potri.004G064900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064900.v4.1 MPSLHYLSTFIILSYFLLKLNQQRMFSETLKTQTIMASMLCVGNGNPLSMLVCIPSTVSIIPRRTKPHLSLVSSTPSLTSCYTRHKLGHLIRPVICASSDRSPTPSRNENNCDNKIVKAAVGASVALACALGIIGGNFRMYPKAIAGPRELYQKAPQVEEHPSSLAKLALESFLDVTSDLASTDAVSPIATFDPPPNPSIEQKHAVRLMIYGEAEEAVWYLQEAFEKYKNDPEPAYNVEMALVEILIYQAIIYTMLDFNEEARIWWERYMYAVE >Potri.004G064900.1.v4.1 pep chromosome:Pop_tri_v4:4:5522527:5525387:1 gene:Potri.004G064900.v4.1 transcript:Potri.004G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064900.v4.1 MPSLHYLSTFIILSYFLLKLNQQRMFSETLKTQTIMASMLCVGNGNPLSMLVCIPSTVSIIPRRTKPHLSLVSSTPSLTSCYTRHKLGHLIRPVICASSDRSPTPSRNENNCDNKIVKAAVGASVALACALGIIGGNFRMYPKAIAGPRELYQKAPQVEEHPSSLAKLALESFLDVTSDLASTDAVSPIATFDPPPNPSIEQVKEIKKHAVRLMIYGEAEEAVWYLQEAFEKYKNDPEPAYNVEMALVEILIYQHEYERALNCDCLNHDDQLGPSDARVFLYKAIIYTMLDFNEEARIWWERYMYAVE >Potri.004G064900.2.v4.1 pep chromosome:Pop_tri_v4:4:5522527:5525387:1 gene:Potri.004G064900.v4.1 transcript:Potri.004G064900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064900.v4.1 MPSLHYLSTFIILSYFLLKLNQQRMFSETLKTQTIMASMLCVGNGNPLSMLVCIPSTVSIIPRRTKPHLSLVSSTPSLTSCYTRHKLGHLIRPVICASSDRSPTPSRNENNCDNKIVKAAVGASVALACALGIIGGNFRMYPKAIAGPRELYQKAPQVEEHPSSLAKLALESFLDVTSDLASTDAVSPIATFDPPPNPSIEQKHAVRLMIYGEAEEAVWYLQEAFEKYKNDPEPAYNVEMALVEILIYQHEYERALNCDCLNHDDQLGPSDARVFLYKAIIYTMLDFNEEARIWWERYMYAVE >Potri.004G064900.3.v4.1 pep chromosome:Pop_tri_v4:4:5522527:5525387:1 gene:Potri.004G064900.v4.1 transcript:Potri.004G064900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064900.v4.1 MPSLHYLSTFIILSYFLLKLNQQRMFSETLKTQTIMASMLCVGNGNPLSMLVCIPSTVSIIPRRTKPHLSLVSSTPSLTSCYTRHKLGHLIRPVICASSDRSPTPSRNENNCDNKIVKAAVGASVALACALGIIGGNFRMYPKAIAGPRELYQKAPQVEEHPSSLAKLALESFLDVTSDLASTDAVSPIATFDPPPNPSIEQVKEIKKHAVRLMIYGEAEEAVWYLQEAFEKYKNDPEPAYNVEMALVEILIYQAIIYTMLDFNEEARIWWERYMYAVE >Potri.018G042100.1.v4.1 pep chromosome:Pop_tri_v4:18:3342355:3346001:-1 gene:Potri.018G042100.v4.1 transcript:Potri.018G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G042100.v4.1 MLRTVTAPSLSFSSSSTCLIVSTQNKSYLIPHSLKLSSPLIGNINKSNSNRTVTCNYNPSRRLALFQLGAVIPQSQFLSGTLAPARSSEVADQNVLEWVKNDKRRMLHVVYSVGDLDKTIKFYTECLGMKLLRKRDIPEDRYSNAFLGYGPEDTNFTVELTYNYGVDKYDIGDGFGHFGIAVEDVSRTVDLVKAKGGKVTREPVPVKGGSTKIAFVEDPNGYKFELLERGLTPEPLCQVMLRVGDLDRSINFYKKSFGMELLRRRDNPEYKYMVALMGYGPEDKNAVLELTYNYGITEYNKGNGYTQIAIGTDDVYKSAEAVKQCEGKIIREPGPIPVINTKITACLDPDGWKSVFVDNVDFLKELE >Potri.010G046700.3.v4.1 pep chromosome:Pop_tri_v4:10:7915665:7916585:1 gene:Potri.010G046700.v4.1 transcript:Potri.010G046700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046700.v4.1 MESGQMVNKGMKVENPSETESMSSGGTKFTSGFQRQTGASKSNCLCSPTSHAGSFRCRLHRSPSLQRTKSIDSASLRDSETNINTTADDTSNAHN >Potri.010G034950.1.v4.1 pep chromosome:Pop_tri_v4:10:6371944:6372478:1 gene:Potri.010G034950.v4.1 transcript:Potri.010G034950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034950.v4.1 MKGTLCSSGLTLMNWTLWLCIFTREEREGSWGQEE >Potri.T046001.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:586865:590663:-1 gene:Potri.T046001.v4.1 transcript:Potri.T046001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046001.v4.1 MHDLIRDMVIQILEENSQVKAGAQLEELPDAEEWTENLTTVSLMHNQIEEIPFCHSPRCLNLSILLLCHNYRLRFVADSFSKKLHGLNVLDLSHTNIEKLPNSVSDLVKLASLLLSNCKRLRHVPSLKNLGELKRLDLSSTQALKKMPQGMEFLSNLRCLRMNGCGNKKFPGGILPKISHLQVFVLEVELICVPVTVQGKEVGCLRKLGTLECHFEGHSDYVEFLKSREKNQALSTYKIFVGRFKEYDVRTYCSNKTVGLGNLSVNRDGDFQVRFPNDIQELIIEKCDDATSLCDVFSLKKCSIELEVISILNCNSIESLVLSSWFCSATLPSPSYNSIFSGLQRFNCSGCKSMKKLFPLVLLPSLVNLKEIRVKHCEKMEKIIGGTRSDEEGVMGEKSSNNEFKLPKLRILYLSDLPELKSICRAELICDSLKVIEVINCQKLKRIPICLPLLENGQPSPPPSLEKIKVHPKEWWKSMVEWEHPNAKDVLCPFVEFSQPQVRKQDEDK >Potri.T046001.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:586930:593040:-1 gene:Potri.T046001.v4.1 transcript:Potri.T046001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046001.v4.1 MLNRLENVCLLEIINDGRCVKMHDLIRDMVIQILEENSQVKAGAQLEELPDAEEWTENLTTVSLMHNQIEEIPFCHSPRCLNLSILLLCHNYRLRFVADSFSKKLHGLNVLDLSHTNIEKLPNSVSDLVKLASLLLSNCKRLRHVPSLKNLGELKRLDLSSTQALKKMPQGMEFLSNLRCLRMNGCGNKKFPGGILPKISHLQVFVLEVELICVPVTVQGKEVGCLRKLGTLECHFEGHSDYVEFLKSREKNQALSTYKIFVGRFKEYDVRTYCSNKTVGLGNLSVNRDGDFQVRFPNDIQELIIEKCDDATSLCDVFSLKKCSIELEVISILNCNSIESLVLSSWFCSATLPSPSYNSIFSGLQRFNCSGCKSMKKLFPLVLLPSLVNLKEIRVKHCEKMEKIIGGTRSDEEGVMGEKSSNNEFKLPKLRILYLSDLPELKSICRAELICDSLKVIEVINCQKLKRIPICLPLLENGQPSPPPSLEKIKVHPKEWWKSMVEWEHPNAKDVLCPFVEFSQPQVRKQDEDK >Potri.004G129200.1.v4.1 pep chromosome:Pop_tri_v4:4:14362314:14368601:-1 gene:Potri.004G129200.v4.1 transcript:Potri.004G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129200.v4.1 MLLACNRCWSLRKNCCGIIINNINDVPRHDNYSLFLGRRKLLSSSISLSSDDDVVSSYPISISRRSVTSGTKNLLQVICKCSPTATRRMLLASFFLFLGYHPPSRYLSAQALGDPSVTIEQVTPPVLTSGALFPVEERIVQLFEKNTYSVVNIFDVTLRPQLNVTGMVEIPEGNGSGVVWDEQGHIVTNYHVIGNALSRNPSPGQVVARVNILASEGLQKNFEGKLVGADRAKDLAVLKVEASEDLLKPIKVGQSSSLRVGQQCLAIGNPFGFDHTLTVGVISGLNRDISSQTGVTIGGGIQTDAAINPGNSGGPLLDSKGNLIGINTAIFTRTGTSAGVGFAIPSSTVLKIALQLIQFSKVVRAGLNVDIAPDLIANQLNVRNGALILQVPGNSLAAKAGLVPTTRGFAGNIVLGDVIVGVDNKTVKNKAGLDKVLDDYNVGDRVLLIIQRGSEDLEVPIILEEKS >Potri.011G146600.1.v4.1 pep chromosome:Pop_tri_v4:11:17483696:17485719:-1 gene:Potri.011G146600.v4.1 transcript:Potri.011G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146600.v4.1 MGKSGVPIIDLHEFPGQYEKLRRACVEWGCFRIVNHNISLALMADMKRVVRSLLDLPFDVKKRNIDVIAGSGYMAPSKVNPLYEALGLHDMRSSQAVETFCSQMDASPYQREVIEMYAKAIHGAAMDIARKLAESMGLNGDLFESWISQFRINKYSFTPETIGSSGVQIHTDSGFLTILQDDENVGGLEVMDPSGVFAAVDPSPGTLLVNLGDVATAWSNGGLRNVQHRVQCKEATIRISIASFLVGPKDEVEAPPELVDSEHPRLFVPFKYEDYRKLRLTTKLEAGEALELKRILS >Potri.006G177800.3.v4.1 pep chromosome:Pop_tri_v4:6:18388502:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEYACLLYVGIASASIIILQAWCIARRGPLFSAMFSPLSTVIVTTLAAIFQHEMVYTASLLGAIAVIAGLYMVLWGKAEDQREIRQVTNFMPQVDQRSIQQGSIDESSGKNVCKINLEKPLLPDKFSSVDKVDEPE >Potri.006G177800.9.v4.1 pep chromosome:Pop_tri_v4:6:18388502:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEGLLLQASLFFKPGALHEGVRSSQQCSAPYLLLLLPP >Potri.006G177800.5.v4.1 pep chromosome:Pop_tri_v4:6:18389558:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEYACLLYVGIASASIIILQAWCIARRGPLFSAMFSPLSTVIVTTLAAIFQHEMVYTASLLGAIAVIAGLYMVLWGKAEDQREIRQVTNFMPQVDQRSIQQGSIDESSGKNVCKINLEKPLLPDKFSSVDKVDEPE >Potri.006G177800.4.v4.1 pep chromosome:Pop_tri_v4:6:18388502:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEYACLLYVGIASASIIILQAWCIARRGPLFSAMFSPLSTVIVTTLAAIFQHEMVYTASLLGAIAVIAGLYMVLWGKAEDQREIRQVTNFMPQVDQRSIQQGSIDESSGKNVCKINLEKPLLPDKFSSVDKVDEPE >Potri.006G177800.11.v4.1 pep chromosome:Pop_tri_v4:6:18389558:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEGLLLQASLFFKPGALHEGVRSSQQCSAPYLLLLLPP >Potri.006G177800.8.v4.1 pep chromosome:Pop_tri_v4:6:18388502:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEGLLLQASLFFKPGALHEGVRSSQQCSAPYLLLLLPP >Potri.006G177800.2.v4.1 pep chromosome:Pop_tri_v4:6:18388502:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEYACLLYVGIASASIIILQAWCIARRGPLFSAMFSPLSTVIVTTLAAIFQHEMVYTASLLGAIAVIAGLYMVLWGKAEDQREIRQVTNFMPQVDQRSIQQGSIDESSGKNVCKINLEKPLLPDKFSSVDKVDEPE >Potri.006G177800.6.v4.1 pep chromosome:Pop_tri_v4:6:18389445:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEYACLLYVGIASASIIILQAWCIARRGPLFSAMFSPLSTVIVTTLAAIFQHEMVYTASLLGAIAVIAGLYMVLWGKAEDQREIRQVTNFMPQVDQRSIQQGSIDESSGKNVCKINLEKPLLPDKFSSVDKVDEPE >Potri.006G177800.7.v4.1 pep chromosome:Pop_tri_v4:6:18388502:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEGLLLQASLFFKPGALHEGVRSSQQCSAPYLLLLLPP >Potri.006G177800.10.v4.1 pep chromosome:Pop_tri_v4:6:18389445:18392057:1 gene:Potri.006G177800.v4.1 transcript:Potri.006G177800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177800.v4.1 MNVIDSYKPVMAMMGVQVLEAGVAIFSEAAISRGLSPEILSVYRQVIAALVIAPMAYFSRRRASSTISLGLKEFVLLYIAALLGPTINSIAFFEGISLSSSTMSSTMSNIVPGLTFVFTAAIGMEKVNIRSLRSNAKIIGTVISVSGAMSMALLKGPKLLNAGIVATKSSSGSSGETWLLGSLILFGNSCCWAIWTIMQVPISARCPDPLLSTAWMCFFGSIQTTAVTIFLKTDPQAWKPHSNLEGLLLQASLFFKPGALHEGVRSSQQCSAPYLLLLLPP >Potri.006G164864.1.v4.1 pep chromosome:Pop_tri_v4:6:16084431:16090261:-1 gene:Potri.006G164864.v4.1 transcript:Potri.006G164864.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164864.v4.1 MTGKEAMDSAFLFSMSKAFCSPLAVFIQIQGCAICLLLALGWACAAYVRNREIKRIKDSMRAGNSFSFLCHDITELEHSYQTNLPRVTVVMPLKGFGEHNLHNWRSQVTSLYGGPLEFLFVVDSTEDPAYYAVSSLISDFKDSIDARIVVAGLSTTCSQKIHNQLIGVEQMHKESKYVLFLDDDARLHPGSIGALTAEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRYDRCGVVSGLRDGGYSDDMTLAALAGAHKRLITSPPVAVFPHPLSSDLSFSRYWNYLRKQTFVLESYTSKVNWIMNRALFSSHCYLSWGFVVPYLMAMTHVAAALQIYIQGYAREETTFVSNGLLLVTCLAACTFIELFSMWNLTRIEVQLCNMLSPEAPRLSLATYNWVLVFIAMLVDNFLYPISAFRSHFSQSINWSGIRYHLKDGKISKIERSTGPKYTDLAWKKLYGKKGAPPKTSFLGALLRSLEQWRQPKKFDG >Potri.001G406200.4.v4.1 pep chromosome:Pop_tri_v4:1:43350943:43352450:-1 gene:Potri.001G406200.v4.1 transcript:Potri.001G406200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406200.v4.1 MEAMDTTTNTQSSTPRWKQTGKEEKTAAVHEEMKRINQLPATSTYATHRLRVLNKILQLMSIKRTVSQDEELELLFAGLHL >Potri.001G412700.1.v4.1 pep chromosome:Pop_tri_v4:1:43915375:43920926:-1 gene:Potri.001G412700.v4.1 transcript:Potri.001G412700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412700.v4.1 MDYIPSFLLTLLLIGEAAEGIDTMNTTHSIRDGDTIVSAEGTYVLGFFSPGKSKNRYVGIWYGRIPVITVVWVANRETPLNDSSGVFRLTNEGSLVLLDHDRSLIWSSNSSRPATNPAAQLLDSGNLVVKEKGDGSLENPLWQSFEHPTDTLLPEMKLGRNKITGMDWNMTSWKSPDDPSRGNFTCILVPHGYSEVLLMDNSKVRHRSGPWNGVRYSGTPYLRPNPLYTYEFVSNEKEIFFREHLVNKSTHWRIVITHDGENHNFVWIESTQSWLLYEIGNTDNCGRYALCGANGICSIHNSPFCDCLKGFVPNNSRDWNKMDWSKGCVRKTPLNCSGDGFQKLSKAKLPEIKSSWINSSMNLEECKNTCLKNCSCTAYSNLDIRNGGSGCLLWFGDLIDIRILSENDQDVYIRMAASDLDNGDFLKIHTKSNVKRRIIVSSALSTAILFLVLALFLYVWKKKQQKNGALQRNPHKKDDLDLPLFDLGTVARATNNFSVENKLGEGGFGPVYKGTLRDGREIAVKRLSNNSRQGVDEFKNEVKCIVKLQHQNLVKLLGCCIEGDETMLIYEFLPNKSLNFFIFGETKDRLLDWPTRYNIINGIVRGLLYLHQDSRLRVIHRDLKASNILLDYKLKPKISDFGLARSFVGNEIEANTNKVAGTYGYISPEYANHGVYSLKSDVFSFGVLVLEIVSGNRNRGFCHPNHSLNLLGHAWRLFQEGRPIELVSESIIESFNRSQVLRSIHVALLCVQENREDRPNMSYVVLMLGNEDALPRPKHPGFFIERDAIEESLPKPLSENECSISLLDARFIEDFKGHAKV >Potri.016G043300.6.v4.1 pep chromosome:Pop_tri_v4:16:2729461:2735904:1 gene:Potri.016G043300.v4.1 transcript:Potri.016G043300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043300.v4.1 MDWDLWGPPNDIVAEESGFDNSQCDFYFGYVFDVIEEEALNEKSCVQVLRILIKKADTEILEFEQDLLSLQTELAWVENEDWPDICCNALREKIDFLDISIKNLTSKDKNEIEVRLLMYTQPVETLDEILKVLFRNYICEKDKQHKNAIVLGSSSDAPQHATNGLDKEKRLSNCNLETVTSEKTKDCSSIPTEHCAILNPSLNLQGKKTGNSMVVKLASSNIKVSAPQSSEVAPDYSDKKKSLSLCIPRSTGKGDDREHGSTPEDEKLIQSLSSKSAYKGRHSLKPVNVEPTENSASNSTIYASENAVTPSNKEKLDDSDSIATEEVEEHSSISTVDVVTSVTSSKPVVKKTDLRKIVKPSNTAAKDFGPSGNRNAAHRSSENKMLVTPDLKVIGNEEATGLQSRARGKSKTSSSSSNLEGRRNRPGTDEPAGAILKIVRAEALRSPAGLHGTKDNSDCALGTFKQAKGSNSGTEQKLSEFAPKSALKRTVKELKIAVAHDVVSLKSPRKTNGKKKTPLIVKFRETDLTDTENCALTSLLEIQDHGGRNTAKLQPEEEKPMLVEVQMTEISADEKRSSLNLSLIRQKEKGKRHIKTNPPILHEIGFSELILKSSSSISESNKKRKSGAGPQNASLNQTLSRKITKKSARPDKGEAREHGAATYNAPNSVSEPQKKKKVCVNFPLPLGTEDPTVQMDLSSSLGDTIDGASKDDLSVEESCSICDSSSEVVASFSSAMSTLRNLPLAELRTIAGRLKLAKFSKLRKAVLLEQITERLVN >Potri.016G043300.2.v4.1 pep chromosome:Pop_tri_v4:16:2729611:2735998:1 gene:Potri.016G043300.v4.1 transcript:Potri.016G043300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043300.v4.1 MDWDLWGPPNDIVAEESGFDNSQCDFYFGYVFDVIEEEALNEKSCVQVLRILIKKADTEILEFEQDLLSLQTELAWVENEDWPDICCNALREKIDFLDISIKNLTSKDKNEIEVRLLMYTQPVETLDEILKVLFRNYICEKDKQHKNAIVLGSSSDAPQHATNGLDKEKRLSNCNLETVTSEKTKDCSSIPTEHCAILNPSLNLQGKKTGNSMVVKLASSNIKVSAPQSSEVAPDYSDKKKSLSLCIPRSTGKGDDREHGSTPEDEKLIQSLSSKSAYKGRHSLKPVNVEPTENSASNSTIYASENAVTPSNKEKLDDSDSIATEEVEEHSSISTVDVVTSVTSSKPVVKKTDLRKIVKPSNTAAKDFGPSGNRNAAHRSSENKMLVTPDLKVIGNEEATGLQSRARGKSKTSSSSSNLEGRRNRPGTDEPAGAILKIVRAEALRSPAGLHGTKDNSDCALGTFKQAKGSNSGTEQKLSEFAPKSALKRTVKELKIAVAHDVVSLKSPRKTNGKKKTPLIVKFRETDLTDTENCALTSLLEIQDHGGRNTAKLQPEEEKPMLVEVQMTEISADEKRSSLNLSLIRQKEKGKRHIKTNPPILHEIGFSELILKSSSSISESNKKRKSGAGPQNASLNQTLSRKITKKSARPDKGEAREHGAATYNAPNSVSEPQKKKKVCVNFPLPLGTEDPTVQMDLSSSLGDTIDGASKDDLSVEESCSICDSSSEVVASFSSAMSTLRNLPLAELRTIAGRLKLAKFSKLRKAVLLEQITERLVN >Potri.016G043300.13.v4.1 pep chromosome:Pop_tri_v4:16:2729584:2735913:1 gene:Potri.016G043300.v4.1 transcript:Potri.016G043300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043300.v4.1 MDWDLWGPPNDIVAEESGFDNSQCDFYFGYVFDVIEEEALNEKSCVQVLRILIKKADTEILEFEQDLLSLQTELAWVENEDWPDICCNALREKIDFLDISIKNLTSKDKNEIEVRLLMYTQPVETLDEILKVLFRNYICEKDKQHKNAIVLGSSSDAPQHATNGLDKEKRLSNCNLETVTSEKTKDCSSIPTEHCAILNPSLNLQGKKTGNSMVVKLASSNIKVSAPQSSEVAPDYSDKKKSLSLCIPRSTGKGDDREHGSTPEDEKLIQSLSSKSAYKGRHSLKPVNVEPTENSASNSTIYASENAVTPSNKEKLDDSDSIATEEVEEHSSISTVDVVTSVTSSKPVVKKTDLRKIVKVSLFTCNYNQPSNTAAKDFGPSGNRNAAHRSSENKMLVTPDLKVIGNEEATGLQSRARGKSKTSSSSSNLEGRRNRPGTDEPAGAILKIVRAEALRSPAGLHGTKDNSDCALGTFKQAKGSNSGTEQKLSEFAPKSALKRTVKELKIAVAHDVVSLKSPRKTNGKKKTPLIVKFRETDLTDTENCALTSLLEIQDHGGRNTAKLQPEEEKPMLVEVQMTEISADEKRSSLNLSLIRQKEKGKRHIKTNPPILHEIGFSELILKSSSSISESNKKRKSGAGPQNASLNQTLSRKITKKSARPDKGEAREHGAATYNAPNSVSEPQKKKKVCVNFPLPLGTEDPTVQMDLSSSLGDTIDGASKDDLSVEESCSICDSSSEVVASFSSAMSTLRNLPLAELRTIAGRLKLAKFSKLRKAVLLEQITERLVN >Potri.016G043300.4.v4.1 pep chromosome:Pop_tri_v4:16:2729645:2735896:1 gene:Potri.016G043300.v4.1 transcript:Potri.016G043300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043300.v4.1 MDWDLWGPPNDIVAEESGFDNSQCDFYFGYVFDVIEEEALNEKSCVQVLRILIKKADTEILEFEQDLLSLQTELAWVENEDWPDICCNALREKIDFLDISIKNLTSKDKNEIEVRLLMYTQPVETLDEILKVLFRNYICEKDKQHKNAIVLGSSSDAPQHATNGLDKEKRLSNCNLETVTSEKTKDCSSIPTEHCAILNPSLNLQGKKTGNSMVVKLASSNIKVSAPQSSEVAPDYSDKKKSLSLCIPRSTGKGDDREHGSTPEDEKLIQSLSSKSAYKGRHSLKPVNVEPTENSASNSTIYASENAVTPSNKEKLDDSDSIATEEVEEHSSISTVDVVTSVTSSKPVVKKTDLRKIVKVSLFTCNYNQPSNTAAKDFGPSGNRNAAHRSSENKMLVTPDLKVIGNEEATGLQSRARGKSKTSSSSSNLEGRRNRPGTDEPAGAILKIVRAEALRSPAGLHGTKDNSDCALGTFKQAKGSNSGTEQKLSEFAPKSALKRTVKELKIAVAHDVVSLKSPRKTNGKKKTPLIVKFRETDLTDTENCALTSLLEIQDHGGRNTAKLQPEEEKPMLVEVQMTEISADEKRSSLNLSLIRQKEKGKRHIKTNPPILHEIGFSELILKSSSSISESNKKRKSGAGPQNASLNQTLSRKITKKSARPDKGEAREHGAATYNAPNSVSEPQKKKKVCVNFPLPLGTEDPTVQMDLSSSLGDTIDGASKDDLSVEESCSICDSSSEVVASFSSAMSTLRNLPLAELRTIAGRLKLAKFSKLRKAVLLEQITERLVN >Potri.016G043300.14.v4.1 pep chromosome:Pop_tri_v4:16:2729593:2735897:1 gene:Potri.016G043300.v4.1 transcript:Potri.016G043300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043300.v4.1 MDWDLWGPPNDIEESGFDNSQCDFYFGYVFDVIEEEALNEKSCVQVLRILIKKADTEILEFEQDLLSLQTELAWVENEDWPDICCNALREKIDFLDISIKNLTSKDKNEIEVRLLMYTQPVETLDEILKVLFRNYICEKDKQHKNAIVLGSSSDAPQHATNGLDKEKRLSNCNLETVTSEKTKDCSSIPTEHCAILNPSLNLQGKKTGNSMVVKLASSNIKVSAPQSSEVAPDYSDKKKSLSLCIPRSTGKGDDREHGSTPEDEKLIQSLSSKSAYKGRHSLKPVNVEPTENSASNSTIYASENAVTPSNKEKLDDSDSIATEEVEEHSSISTVDVVTSVTSSKPVVKKTDLRKIVKVSLFTCNYNQPSNTAAKDFGPSGNRNAAHRSSENKMLVTPDLKVIGNEEATGLQSRARGKSKTSSSSSNLEGRRNRPGTDEPAGAILKIVRAEALRSPAGLHGTKDNSDCALGTFKQAKGSNSGTEQKLSEFAPKSALKRTVKELKIAVAHDVVSLKSPRKTNGKKKTPLIVKFRETDLTDTENCALTSLLEIQDHGGRNTAKLQPEEEKPMLVEVQMTEISADEKRSSLNLSLIRQKEKGKRHIKTNPPILHEIGFSELILKSSSSISESNKKRKSGAGPQNASLNQTLSRKITKKSARPDKGEAREHGAATYNAPNSVSEPQKKKKVCVNFPLPLGTEDPTVQMDLSSSLGDTIDGASKDDLSVEESCSICDSSSEVVASFSSAMSTLRNLPLAELRTIAGRLKLAKFSKLRKAVLLEQITERLVN >Potri.006G145300.3.v4.1 pep chromosome:Pop_tri_v4:6:12316719:12321178:1 gene:Potri.006G145300.v4.1 transcript:Potri.006G145300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145300.v4.1 MDGGAQYNPRTVEEVFRDLKGRRAGMIKALTTDVEEFYQRCDPEKENLCLYGFPNEQWEVNLPAEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDAWLLAVAFYFGSRFGFDKTDRKRLFTMINDLPTIFEIVTGAVKKQAKEKSSVSNHSSNKSKSSSKGRASDSVKYLKGQPKDEEEGLDEEEEEHGDTLCGACGENYAADEFWICCDICEKWFHGKCVKITPARAEHIKQYKCPSCSNKRARP >Potri.015G059800.7.v4.1 pep chromosome:Pop_tri_v4:15:8349175:8354636:-1 gene:Potri.015G059800.v4.1 transcript:Potri.015G059800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059800.v4.1 MDRLGGVNGGGGGGERTPLLGQGGGRKGNNNASENNQFPDLEHGDAVPAANVGFGRVFSLAKPDAGKLIIATLALLIASTSNILIPKFGGKIIDIVSGDIETPEQKAEGLRAVNSTILEIFLVVIVGSVCAALRAWLFSSTSERVVARLRKNLFNHLINQEIAFFDVTRTGELLSRLSEDTQIIKNAATSNLSEALRNVSTALIGLGFMLATSWKLTLLALVVVPAISVAVNQFGRFLREISHKTQAAAAAAASIAEESFGAIRTVRSFAQEGYESSRYSEKVDETLKLGLKQAKLVGLFFGGLNAASTLSVIIVVIYGANLTITGSMTTGALTSFILYSLTVGGSISGLSGLYTVAMKAAGASRRVFQLLDRVSSMPKSGNKCPLSEQVGDVELDDVWFAYPSRPNHMVLQGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPIKGKVLLNGVPLVEISHEHLHRKISIVSQEPVLFNCSIEQNIAYGCEGKVSSMDIENAAKMANAHDFISKFPDKYQTFVGERGLRLSGGQKQRVAIARAILMNPRILLLDEATSALDAESEYLVQDAMDSLMKGRTVLVIAHRLSTVQSADIVAVVSDGQIVERGTHEELLSKDGVYTALVKRQLQGPKTEV >Potri.014G024700.3.v4.1 pep chromosome:Pop_tri_v4:14:1489784:1500966:-1 gene:Potri.014G024700.v4.1 transcript:Potri.014G024700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024700.v4.1 MEAPPSPSPASPATNGGEDCCVKVAVHIRPLIADERAQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSSGTPSSAMFEDCIAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGFQMGVIPQVMNVLFRKIETLKHQTEFQLHVSFIEILKEEVRDLLDPTTLNKSDTANGHTGKVNLPGKPPIQIRETSNGVITLAGSTEVSVCTFKEMAACLEQGSLCRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVFPGDSNPNDSMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLEYLQAELFARGGGCSSDEIQVLKERIAWLEAANEDLCRELHEYRSRCTTVEQRETDAQDGSICSVKTDGLKRSLHSIESPDYQMGETIPGDSREIDEEVAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGADTAALKQHFGKKIMELEDEKRAVQRERDRLLAEIENLSASSDGQKLQDIHAQKLKTLEAQIMDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQAINQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAISNGNGANGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDDFASKGLSPPRGKNGFARASSMSPNARMARKSSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKEMEIKEMKEQFKELVGLLRQSESQRKEAEKELKLREQALAVALATAASADQEQRNSHNSLKHFNDDMSGPLSPVSVPAQKQLKYTPGVANGSVKESAAFIDQTRKMVPLGQLSMRKLAAVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDVMVMRAKARQQAALPRKV >Potri.014G024700.2.v4.1 pep chromosome:Pop_tri_v4:14:1489782:1501278:-1 gene:Potri.014G024700.v4.1 transcript:Potri.014G024700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024700.v4.1 MEAPPSPSPASPATNGGEDCCVKVAVHIRPLIADERAQGCKDCVTVVSGKPQVQIGTHSFTFDHVYGSSGTPSSAMFEDCIAPLVDGLFQGYNATVLAYGQTGSGKTYTMGTGFKDGFQMGVIPQVMNVLFRKIETLKHQTEFQLHVSFIEILKEEVRDLLDPTTLNKSDTANGHTGKVNLPGKPPIQIRETSNGVITLAGSTEVSVCTFKEMAACLEQGSLCRATGSTNMNNQSSRSHAIFTITLEQMRKLNPVFPGDSNPNDSMNEEYLCAKLHLVDLAGSERAKRTGSDGMRFKEGVHINKGLLALGNVISALGDEKKRKEGVHVPYRDSKLTRLLQDSLGGNSRTVMIACISPADINAEETLNTLKYANRARNIQNKPVVNRDPMSSEMLKMRQQLEYLQAELFARGGGCSSDEIQVLKERIAWLEAANEDLCRELHEYRSRCTTVEQRETDAQDGSICSVKTDGLKRSLHSIESPDYQMGETIPAGDSREIDEEVAKEWEHTLLQNTMDKELHELNRRLEEKESEMKLFGGADTAALKQHFGKKIMELEDEKRAVQRERDRLLAEIENLSASSDGQKLQDIHAQKLKTLEAQIMDLKKKQENQVQLLKQKQKSDEAAKRLQDEIQYIKAQKVQLQHRIKQEAEQFRQWKASREKELLQLRKEGRRNEYERHKLQAINQRQKMVLQRKTEEAAMATKRLKELLEARKSSARDNSAISNGNGANGQSNEKSLQRWLDHELEVMVNVHEVRFEYEKQSQVRAALAEELAVLKQVDDFASKGLSPPRGKNGFARASSMSPNARMARKSSLENMLSISSNSLVAMASQLSEAEERERAFTNRGRWNQLRSMGDAKNLLQYMFNSLGDARCQLWEKEMEIKEMKEQFKELVGLLRQSESQRKEAEKELKLREQALAVALATAASADQEQRNSHNSLKHFNDDMSGPLSPVSVPAQKQLKYTPGVANGSVKESAAFIDQTRKMVPLGQLSMRKLAAVGQGGKLWRWKRSHHQWLLQFKWKWQKPWRLSELIRHSDVMVMRAKARQQAALPRKV >Potri.002G105500.5.v4.1 pep chromosome:Pop_tri_v4:2:7771782:7773319:-1 gene:Potri.002G105500.v4.1 transcript:Potri.002G105500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105500.v4.1 MNEDPNRAEAERLLGIAEKLLQSRDLSGTKDFAVLAQETEPLLEGPDQILAVADVLLSAEKRVNNQHDWYSILQISQKTDDSFLIKKQYRRLALLLHPDKNKYPFADQAFKLVADAGAVLSDTAKKTLYDNELSLFSKIDLSASGKLPVRRSQRSVDDKKAESVKINVNNVSNQQEGSQKAKLSSFWTACPYCYILYEYPRVYENCCLRCQNCQRGFHAVLIPSLPPLVPGQECYYCCWGFFPLGFTPGTVGSGGKSGGVGSGFPNWMPPMFGTEQQQGGDKGGGYVAANVDDKNGSGGGRSGGGVSGNGAAATPVRVGVESRDRVVKVSGGSATGVAGNAMGMPGPRKRGRPRKYPVQA >Potri.012G003500.1.v4.1 pep chromosome:Pop_tri_v4:12:1277878:1280509:1 gene:Potri.012G003500.v4.1 transcript:Potri.012G003500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003500.v4.1 MDLSVVCLLMLVLVCHGAGHKDTFQEAKCNKHGPAIRFPFRLDKQPEYCGYDPRFVLSCNERKETLLQLPTSVTLNIKKIDYKSRLIIAADPDNCFLRQLRNFSLSKSPFKFADQYQYDYTLFNCTSKHGDSYERIPCLSVPGYDIYAYSSNYFIGDTDLTSCTKMYNVTSIPSEMIRGDNILHLNWSEPAACGVCEEQGKFCGWKYNITKLETECYEKPKSNKGKIRKIEGAVATVGSVLVLLVLLAAYRVYSSDKAAKNNQKRIENFLADYKALKPARYTYADIKRITDEFKDKLGQGAYGTVFKGKLSDEIFVAVKILNNSTGNGEEFINEVATMGKIHHVNVIRLVGYCADGFRRALVYDYLPNESLAKFVSSEHGETSSLSWERLQDIALGMAKGIEYLHQGCDQRILHFDIKPHNILLDDHFNPKISDFGLAKLCSKDQSAVSMTTARGTMGYIAPEVFSRNFGHVSYKSDVYSFGMVLLEMVGGRKTIDDKVENSNQIYFPEWVYNSLDKGEELRIRIEKEGDAQIAKKLTLVGLWCIQWHPVDRPSMNTVVQMLEGEGDKLTMPPSPFASAGPGRMHANMPGRPHYQALEVISETE >Potri.014G049400.1.v4.1 pep chromosome:Pop_tri_v4:14:3187371:3190756:-1 gene:Potri.014G049400.v4.1 transcript:Potri.014G049400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049400.v4.1 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYIESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASDNVNKLLVGNKSDLTSNKVVSYEQAKAFADEIGIPFMETSAKDATNVEQAFMAMSAAIKDRMASQPAMNNAKPSTVQFKGQPVEQKGGCCSS >Potri.001G217250.1.v4.1 pep chromosome:Pop_tri_v4:1:22648606:22649680:1 gene:Potri.001G217250.v4.1 transcript:Potri.001G217250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217250.v4.1 MVAASSSSSEDDISLGASQEEAPTPPAPSTDAASFSATSQRRGGVPSQRNQFSRKYEAQWKDDLSMFTNIEAT >Potri.017G056900.2.v4.1 pep chromosome:Pop_tri_v4:17:4474704:4480421:1 gene:Potri.017G056900.v4.1 transcript:Potri.017G056900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056900.v4.1 MAGLSLKCGDCGASLRSVQEAQDHAELTSHSNFSESTEAVLNLVCATCDKPCRSKTESDLHTKRTGHSEFTDKTAEAAEPISLEVPKATPMDANEPAADASTSTQPEEMVAPEVDKKILEELEAMGFPTARATRALHYSGNAGLEAAVNWVVEHENDPDIDEMPMVPVNSNAEASKPSLTPEEVKQKAQELRERARKKKEEEEKIVEREREKERIRIGKELLEAKRIEEENERKRLMALRKAEKEEEKRAREKIRQKLEEDKAERRRKLGLPPEDPATVKPSAPVVEEKKSMLPVRPATKQEQMRECLRSLKQNHKDDDAKVKRAFQTLLTYVGNVAKNPGEEKFRKIRLNNQTFQDRVGSLEGGIRFLELCGFEKIESDEFFFLARDKVDMAVLNSAGSELTSAINNPFFGVL >Potri.002G179300.1.v4.1 pep chromosome:Pop_tri_v4:2:14085862:14088432:1 gene:Potri.002G179300.v4.1 transcript:Potri.002G179300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179300.v4.1 MSIRWPRLLTPTQLSQILRSQKNPLTALQIFKDAKDKYPSYHHNGPVYATMISILGNSDRIAEMKEVIDQMRDDSCECKDSVFVTAIKTYASAGQISEAVSLFKNIPKFNCVNWTESFNTLLQILVKESKLETAHRFFLENSCGWEVKSRIRALNLLLDVLCQRNRSDLALQIFQEMDYQGCYPNRDSYRILMRGLCEDGRLNEATHLLYSMFWRISQKGSGEDIVVYRTLLDALCDNGQVEEAMEILGKILRKGLKAPKRYRHRLDLSQCNNCEDIEATKLLINEALIRGGVPSLASYTAMAVDLYCEGKTGQADKVLDETQERGYRPSLRTYEAKVTALCRQGRSGEAINVIEREMVERNSVPNVRLYNVLLKGLCDAGNSAIAVSYLKRMAKQVGCVANQETYGILVDGLCRDGRFVEASKVLEEMLIKSYWPPADTYNILIRGLSSMGRQYEAVIWLEEMVSQDKLPELYVWRSLVTSVCCNMVAVDVSCETFNQLISS >Potri.001G400000.4.v4.1 pep chromosome:Pop_tri_v4:1:42640370:42640660:1 gene:Potri.001G400000.v4.1 transcript:Potri.001G400000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400000.v4.1 MIFFIPFFISLCLTCVFCRFVMGGLPLQNASPTPVVSQVSVITNSTKESKPKKKICCACPETKKLRDECIVEHGEAACAKWIEAHRLCLRAEGFNI >Potri.007G051500.1.v4.1 pep chromosome:Pop_tri_v4:7:4983863:4991753:-1 gene:Potri.007G051500.v4.1 transcript:Potri.007G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051500.v4.1 MDVSSLQSRYLDSCKKHEVLPNSAVLSWFYKAEIQKSELGKCSIKFFLNQLCNADIYPLIDVFLAIDSSDVDAVDILHESPCNFNEEYVMPLLQTINLKLRVVDLHDMSPEENFLQNLCHHGLACHILNMRSTHIQKLNMAGTFMQLHTLNLDFCTSIGSLDKDCFSCMPSLMRLSMCETRVANLWTTTAALSKLPSLVELRFQNCLCCKDTGPCPASFGDKARIAFEKLGSAPLNMCLSSETSSISIRDATFQGFHAKENCGDLLSLTGSALIKEGSGKFDNLSHISKVEISSCLQRVGSIEISSDFPPNLNGLSNLENKITDKEEILARAHMWDLKNGRPTSLKNYISHHPSPICFEKHYREYMVALLPRLEVLDNFSITKMDREMGRTIFSKYYEYLPYKRQNKQSVVTVLQKREMGTTGASCPNFSKFKQSCHYGKSPCHFSRSLTAAKLGSAAWPLLHPLHTFSHIYKEGSKRLRARQFEYHPSDSRLMVYGTLDGEVVVINHESGKLVGYVPSANNMNSVLGLCWLKKYPSKLLAGSDNGCLKLFDISHIPPIVSDVNCSAGVVTFDDFDQLTSVHVNSGDDQFLASGYSKDVALYDIFSGKRLHLFTNMHREPINVVKFAHHSPFLFATSSFDHDVKLWDLRQKPQWPCYTASSSSGNVMVCFSPDDRYLLVSAVDNEVKQLLAVDGRLHMDFKIASTGSAHNYTRSYYINGSDYIISGSCDENVVRICCTQTGRRLRDFYLEDVESGNSLFVQSLRGDPFRLFHMSVLTASKRPCSKWEIIKVNLLASSHGTEEHSHGQNIRSTFLGG >Potri.007G051500.4.v4.1 pep chromosome:Pop_tri_v4:7:4983940:4991715:-1 gene:Potri.007G051500.v4.1 transcript:Potri.007G051500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051500.v4.1 MDVSSLQSRYLDSCKKHEVLPNSAVLSWFYKAEIQKSELGKCSIKFFLNQLCNADIYPLIDVFLAIDSSDVDAVDILHESPCNFNEEYVMPLLQTINLKLRVVDLHDMSPEENFLQVANLWTTTAALSKLPSLVELRFQNCLCCKDTGPCPASFGDKARIAFEKLGSAPLNMCLSSETSSISIRDATFQGFHAKENCGDLLSLTGSALIKEGSGKFDNLSHISKVEISSCLQRVGSIEISSDFPPNLNGLSNLENKITDKEEILARAHMWDLKNGRPTSLKNYISHHPSPICFEKHYREYMVALLPRLEVLDNFSITKMDREMGRTIFSKYYEYLPYKRQNKQSVVTVLQKREMGTTGASCPNFSKFKQSCHYGKSPCHFSRSLTAAKLGSAAWPLLHPLHTFSHIYKEGSKRLRARQFEYHPSDSRLMVYGTLDGEVVVINHESGKLVGYVPSANNMNSVLGLCWLKKYPSKLLAGSDNGCLKLFDISHIPPIVSDVNCSAGVVTFDDFDQLTSVHVNSGDDQFLASGYSKDVALYDIFSGKRLHLFTNMHREPINVVKFAHHSPFLFATSSFDHDVKLWDLRQKPQWPCYTASSSSGNVMVCFSPDDRYLLVSAVDNEVKQLLAVDGRLHMDFKIASTGSAHNYTRSYYINGSDYIISGSCDENVVRICCTQTGRRLRDFYLEDVESGNSLFVQSLRGDPFRLFHMSVLTASKRPCSKWEIIKVNLLASSHGTEEHSHGQNIRSTFLGG >Potri.006G130700.1.v4.1 pep chromosome:Pop_tri_v4:6:10663211:10666940:-1 gene:Potri.006G130700.v4.1 transcript:Potri.006G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130700.v4.1 MPNPPLKAVTLTHVRYHKGDRLGHFLAWVSLVPVFISLGGFFTHFVFRRELHCMFFALGLIISQFINEIIKSSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAVYFTLLTVEGIGLSQVKNKWAVNFCPWSLAVLTMFSRVYLGYHTVAQVFAGAALGIFLGACWFWVVNNVIYEYFPVIEESKFGRMFYVKDTSHIKNVLKFEYDNARAARKNMADKAN >Potri.006G130700.3.v4.1 pep chromosome:Pop_tri_v4:6:10663164:10666880:-1 gene:Potri.006G130700.v4.1 transcript:Potri.006G130700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130700.v4.1 MPNPPLKAVTLTHVRYHKGDRLGHFLAWVSLVPVFISLGGFFTHFVFRRELHCMFFALGLIISQFINEIIKSSVQQARPETCALLEMCDSHGWPSSHSQYMFFFAVYFTLLTVEGIGLSQVKNKWAVNFCPWSLAVLTMFSRVYLGYHTVAQVFAGAALGIFLGACWFWVVNNVIYEYFPVIEESKFGRMFYVKDTSHIKNVLKFEYDNARAARKNMADKAN >Potri.002G117000.1.v4.1 pep chromosome:Pop_tri_v4:2:8857629:8866191:-1 gene:Potri.002G117000.v4.1 transcript:Potri.002G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117000.v4.1 MWAFISSVRILGCCRIYLATSSTFHKRSPPFAFAASIKNNTNNNMSSSFSHSNRGGRGLEMQNDREGSRGRGRGRGGSSKDKIDALGRLLTRILRHKASELNLNMRSDGFVKVEDLLKLNMKTFANVPLRSHTDDDVKEAVRKDNKQRLSLLEEGEELLIRANQGHSIKTVESESLLKAILSPEEITVCVHGTYKKNLDSILESGLKRMQRLHVHFSSGLPTDVEVISGMRRDVNVLIFLDVRKALEEGMKLYISDNRVILTEGFDGTVPVKYFERIESWPDRRPIPFQTT >Potri.006G097000.1.v4.1 pep chromosome:Pop_tri_v4:6:7393738:7396714:1 gene:Potri.006G097000.v4.1 transcript:Potri.006G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097000.v4.1 MEYSNLLIRFMLLAVCLLPALVECRIRHYKFNVVMKNTTRLCSRKPIVTVNGRFPGPTLYAREHDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQSYVYNFTITGQRGTLLWHAHILWLRATVHGALVVLPKRGIPYPFPAPHKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGAVSACSSQGGFTLPVKSGETYMLRLINAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTVLIAPGQTTNVLVTANKNTGKYLVAASPFMDAPIAVDNMTATATLQYSGALANSPTTLTTPPPKNATAVANQFTNSLRSLNSRRFPAKVPLNVDHNLFFTVGLGVNPCPSCKAGNGSRVVASINNVTFVMPTTALLQAHFLNISGVFTTDFPAKPPHVFNYTGTPPTNLQTKSGTKVYRLSYNSTVQLVMQDTGIISPENHPIHLHGFNFFAVGRGVGNYNPKTDTKKFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPKESLLPPPSDLPKC >Potri.017G012600.1.v4.1 pep chromosome:Pop_tri_v4:17:872161:878958:1 gene:Potri.017G012600.v4.1 transcript:Potri.017G012600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012600.v4.1 MVFGFFDMVNSEGFSWRRGLGFVVWIVFWLWFVFLGLLQPVVGLRPLRERAQSWGDEWLFIRKDENDLGPFSMWNITGTYRGSWKFLDSTNSSSKFPDLRKSNGDSVIELFSRPTKINGVHYVQGVIIFHDVFNNEHNVGGAQIRVEGVYIWPFRQLRMVTNSGKEGELSQEEEYILSNPYHLLGVFSSQVFQDSPRDKIWRRKNSPIYEMEKQCSIEIAAQITRLSSAQSDGDRDRFHIEGIMESPATDDEGECFSPLLLNATSVNIEVYYNKAVNYTLMVTFVSFLQVLLLIRQMEHGNTQSGAAKVSILMIGQQAIMDAYLCLLHLTAGILVESLFNAFATAAFFKFVVFSIFEMRYLLAIWKASRPMNSGEGWETMRRELSVLYSRFYGILLGGILFMYEFHNYLRPILLLMYSFWIPQIITNVIRDSRKPLHPHYILGMTVTRLAIPLYIFGCPYNFMRIEPDKTWCICLSIFIGLQASILLLQHYLGSRCFIPRQILPEKYCYYRRFDKDANHATDCVICMTSIDLTHRSNDCMVTPCDHFFHSGCLQRWMDIKMECPTCRRPLPPA >Potri.001G001201.2.v4.1 pep chromosome:Pop_tri_v4:1:100989:103788:1 gene:Potri.001G001201.v4.1 transcript:Potri.001G001201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001201.v4.1 MGTLSENCRMLKNMMDERLGEIQHVLYKTVQVLARRIHLDGIVKQASDRQSWELWNRQKLSSELELKRRCILKLNQELTNQLIQVERHFNTLELRSFGGNDGSHSDRIALQSRQVPSSLQLLNNFLKVSQKEVKKELFETIGIPYDASFSSPDATEVSDTSSLKKLLLSPGSTATKGKSRRHRASAMKSCDSETSRRRRDSLDQLIVFQATFINLDALPSSAPLVLFTCQPN >Potri.001G001201.1.v4.1 pep chromosome:Pop_tri_v4:1:100990:103788:1 gene:Potri.001G001201.v4.1 transcript:Potri.001G001201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001201.v4.1 MAEELDMLLECIDEPGGFRDACTVFLRSSVEALEEGMGTLSENCRMLKNMMDERLGEIQHVLYKTVQVLARRIHLDGIVKQASDRQSWELWNRQKLSSELELKRRCILKLNQELTNQLIQVERHFNTLELRSFGGNDGSHSDRIALQSRQVPSSLQLLNNFLKVSQKEVKKELFETIGIPYDASFSSPDATEVSDTSSLKKLLLSPGSTATKGKSRRHRASAMKSCDSETSRRRRDSLDQLIVFQATFINLDALPSSAPLVLFTCQPN >Potri.007G087100.2.v4.1 pep chromosome:Pop_tri_v4:7:11221458:11227501:1 gene:Potri.007G087100.v4.1 transcript:Potri.007G087100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087100.v4.1 MLRRSLLELSSRRQSLSRIPRQITSQRVSPFLSSRKEFSTTFQKNASPNGDQNDKSERTGSLLAKGLGAALVVGTCYYVGWLDPFIELIGKKKQGYVNSGGDGIDHEDVSAMSEEANKLSHFIEEAAQKVQSQTDLPNVETKKDKVETRIDVPHVETEQKVETPSDLPHVETEQKADTFSKTEPDHQYQVDHGTISVEERHEPKFSQCIGSEGSLGVESPELKTTEESNEGTQVTEVQPQDATVPVEREIKAVQTQNVTSEDRSEQDAFGEGVGTSSLLDSYHLDDEAEKNTATEGLGEQAIVSAIEELNEGYLTKDGKLVIDFLEAIHAAEKRQADLDALAFAEEKRALKEKYEKELRDLRARELMHVEKAAILDKEIKRERAKAAAAIKTLQERMEEKLRVELEQKENEVEMKLQKLSEFAKAELLAASAREKAAQIEKMTEANLNINALCMAFYARSEEARQIHSVHKLALGALALEDALYRGLPIQQELDALNTYLEAIDKDSLLLLVLSNLPEETKHHGPDTLLELNQKFNVMKGNLRHYILIPPGGGGILAHALAHVASWLRFKEVEPSGDGIESIISRVEGFLAEGKLAEAADALQKGVQGSQAEEIAGDWVRRARNRAITEQALTVLQSYATCIGLTQ >Potri.007G087100.1.v4.1 pep chromosome:Pop_tri_v4:7:11221455:11227443:1 gene:Potri.007G087100.v4.1 transcript:Potri.007G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087100.v4.1 MLRRSLLELSSRRQSLSRIPRQITSQRVSPFLSSRKEFSTTFQKNASPNGDQNDKSERTGSLLAKGLGAALVVGTCYYVGWLDPFIELIGKKKQGYVNSGGDGIDHEDVSAMSEEANKLSHFIEEAAQKVQSQTDLPNVETKKDKVETRIDVPHVETEQKVETPSDLPHVETEQKADTFSKTEPDHQYQVDHGTISVEERHEPKFSQCIGSEGSLGVESPELKTTEESNEGTQVTEVQPQDATVPVEREIKAVQTQNVTSEDRSEDAFGEGVGTSSLLDSYHLDDEAEKNTATEGLGEQAIVSAIEELNEGYLTKDGKLVIDFLEAIHAAEKRQADLDALAFAEEKRALKEKYEKELRDLRARELMHVEKAAILDKEIKRERAKAAAAIKTLQERMEEKLRVELEQKENEVEMKLQKLSEFAKAELLAASAREKAAQIEKMTEANLNINALCMAFYARSEEARQIHSVHKLALGALALEDALYRGLPIQQELDALNTYLEAIDKDSLLLLVLSNLPEETKHHGPDTLLELNQKFNVMKGNLRHYILIPPGGGGILAHALAHVASWLRFKEVEPSGDGIESIISRVEGFLAEGKLAEAADALQKGVQGSQAEEIAGDWVRRARNRAITEQALTVLQSYATCIGLTQ >Potri.005G206600.7.v4.1 pep chromosome:Pop_tri_v4:5:21144100:21151740:1 gene:Potri.005G206600.v4.1 transcript:Potri.005G206600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206600.v4.1 MGGVDDDEPALKRLKLASGRLTGLSNGSSLTEPIVGSSRDLMARPLQSEGDEEVLGSKGVIKRVEFVRIIAKALYSLGYKKSYARLEEESGIPLYSSSVDIFTQQILDGSWDESVATLHKIGLKDESIVKSASFLILEQKFFELLDGDKIMEALKTLRTEITPLSINNCRVRELSSCIVFPTHCDSDGSSNQGYGRTKSRTKLLEELQKLLPPRVIIPENRLEHLVEQALTLQKDACIFHNLLDKEMSLYSDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSSDQSAIIWEIDVNGGVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDVSSGECLQVYEKVGLGLVSCGWFPDGKWIFSGINDKSICMWELDGKEVECWKGQRTLKISDLEITSDGKQIISMCRGTALLLLDREAKVERVIEEDQAITSFSLSRDNRFLLVNLLNQEIHLWNIDGDIRLVATYKGHKRTRFVIRSCFGGHEQAFIASGSEDSQIYLWHRASRELVEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGVNALQVKHKSAHCNGIHYCNGGS >Potri.005G206600.10.v4.1 pep chromosome:Pop_tri_v4:5:21143959:21151703:1 gene:Potri.005G206600.v4.1 transcript:Potri.005G206600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206600.v4.1 MGGVDDDEPALKRLKLASGRLTGLSNGSSLTEPIVGSSRDLMARPLQSEGDEEVLGSKGVIKRVEFVRIIAKALYSLGYKKSYARLEEESGIPLYSSSVDIFTQQILDGSWDESVATLHKIGLKDESIVKSASFLILEQKFFELLDGDKIMEALKTLRTEITPLSINNCRVRELSSCIVFPTHCDSDGSSNQGYGRTKSRTKLLEELQKLLPPRVIIPENRLEHLVEQALTLQKDACIFHNLLDKEMSLYSDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSSDQSAIIWEIDVNGGVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDVSSGECLQVYEKVGLGLVSCGWFPDGKWIFSGINDKSICMWELDGKEVECWKGQRTLKISDLEITSDGKQIISMCRGTALLLLDREAKVERVIEEDQAITSFSLSRDNRFLLVNLLNQEIHLWNIDGDIRLVATYKGHKRTRFVIRSCFGGHEQAFIASGSEDSQIYLWHRASRELVEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGVNALQVKHKSAHCNGIHYCNGGS >Potri.005G206600.11.v4.1 pep chromosome:Pop_tri_v4:5:21144034:21151698:1 gene:Potri.005G206600.v4.1 transcript:Potri.005G206600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206600.v4.1 MGGVDDDEPALKRLKLASGRLTGLSNGSSLTEPIVGSSRDLMARPLQSEGDEEVLGSKGVIKRVEFVRIIAKALYSLGYKKSYARLEEESGIPLYSSSVDIFTQQILDGSWDESVATLHKIGLKDESIVKSASFLILEQKFFELLDGDKIMEALKTLRTEITPLSINNCRVRELSSCIVFPTHCDSDGSSNQGYGRTKSRTKLLEELQKLLPPRVIIPENRLEHLVEQALTLQKDACIFHNLLDKEMSLYSDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSSDQSAIIWEIDVNGGVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDVSSGECLQVYEKVGLGLVSCGWFPDGKWIFSGINDKSICMWELDGKEVECWKGQRTLKISDLEITSDGKQIISMCRGTALLLLDREAKVERVIEEDQAITSFSLSRDNRFLLVNLLNQEIHLWNIDGDIRLVATYKGHKRTRFVIRSCFGGHEQAFIASGSEDSQIYLWHRASRELVEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGVNALQVKHKSAHCNGIHYCNGGS >Potri.005G206600.8.v4.1 pep chromosome:Pop_tri_v4:5:21143637:21151768:1 gene:Potri.005G206600.v4.1 transcript:Potri.005G206600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206600.v4.1 MGGVDDDEPALKRLKLASGRLTGLSNGSSLTEPIVGSSRDLMARPLQSEGDEEVLGSKGVIKRVEFVRIIAKALYSLGYKKSYARLEEESGIPLYSSSVDIFTQQILDGSWDESVATLHKIGLKDESIVKSASFLILEQKFFELLDGDKIMEALKTLRTEITPLSINNCRVRELSSCIVFPTHCDSDGSSNQGYGRTKSRTKLLEELQKLLPPRVIIPENRLEHLVEQALTLQKDACIFHNLLDKEMSLYSDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSSDQSAIIWEIDVNGGVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDVSSGECLQVYEKVGLGLVSCGWFPDGKWIFSGINDKSICMWELDGKEVECWKGQRTLKISDLEITSDGKQIISMCRGTALLLLDREAKVERVIEEDQAITSFSLSRDNRFLLVNLLNQEIHLWNIDGDIRLVATYKGHKRTRFVIRSCFGGHEQAFIASGSEDSQIYLWHRASRELVEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGVNALQVKHKSAHCNGIHYCNGGS >Potri.005G206600.9.v4.1 pep chromosome:Pop_tri_v4:5:21145940:21151705:1 gene:Potri.005G206600.v4.1 transcript:Potri.005G206600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206600.v4.1 MGGVDDDEPALKRLKLASGRLTGLSNGSSLTEPIVGSSRDLMARPLQSEGDEEVLGSKGVIKRVEFVRIIAKALYSLGYKKSYARLEEESGIPLYSSSVDIFTQQILDGSWDESVATLHKIGLKDESIVKSASFLILEQKFFELLDGDKIMEALKTLRTEITPLSINNCRVRELSSCIVFPTHCDSDGSSNQGYGRTKSRTKLLEELQKLLPPRVIIPENRLEHLVEQALTLQKDACIFHNLLDKEMSLYSDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSSDQSAIIWEIDVNGGVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDVSSGECLQVYEKVGLGLVSCGWFPDGKWIFSGINDKSICMWELDGKEVECWKGQRTLKISDLEITSDGKQIISMCRGTALLLLDREAKVERVIEEDQAITSFSLSRDNRFLLVNLLNQEIHLWNIDGDIRLVATYKGHKRTRFVIRSCFGGHEQAFIASGSEDSQIYLWHRASRELVEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGVNALQVKHKSAHCNGIHYCNGGS >Potri.005G206600.6.v4.1 pep chromosome:Pop_tri_v4:5:21144098:21151704:1 gene:Potri.005G206600.v4.1 transcript:Potri.005G206600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206600.v4.1 MGGVDDDEPALKRLKLASGRLTGLSNGSSLTEPIVGSSRDLMARPLQSEGDEEVLGSKGVIKRVEFVRIIAKALYSLGYKKSYARLEEESGIPLYSSSVDIFTQQILDGSWDESVATLHKIGLKDESIVKSASFLILEQKFFELLDGDKIMEALKTLRTEITPLSINNCRVRELSSCIVFPTHCDSDGSSNQGYGRTKSRTKLLEELQKLLPPRVIIPENRLEHLVEQALTLQKDACIFHNLLDKEMSLYSDHQCGRDQIPSRTLQILEAHSDEVWFLQFSHNGKYLASSSSDQSAIIWEIDVNGGVSLKHRLSGHQKPVSSVSWSPDDHQLLTCGVEEVVRRWDVSSGECLQVYEKVGLGLVSCGWFPDGKWIFSGINDKSICMWELDGKEVECWKGQRTLKISDLEITSDGKQIISMCRGTALLLLDREAKVERVIEEDQAITSFSLSRDNRFLLVNLLNQEIHLWNIDGDIRLVATYKGHKRTRFVIRSCFGGHEQAFIASGSEDSQIYLWHRASRELVEALPGHSGAVNCVSWNPANPHMLASASDDRTIRIWGVNALQVKHKSAHCNGIHYCNGGS >Potri.007G072000.1.v4.1 pep chromosome:Pop_tri_v4:7:9407410:9412458:1 gene:Potri.007G072000.v4.1 transcript:Potri.007G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072000.v4.1 MASIVTTVRNAEKLVERTMKGNDASHDAAHVWRVRDLALSLAQEEGLSSDSMEIVELAALLHDIGDYKYLRDPSEEKIVENFLEEEGVDEVKKMKILAIIKGMGFKEELAGHANGEFSPEFRVVQDADRLDAIGAVGIARCFTFGGSRNRVLHDPAIHPRSDLSKEQYMKKEEQTTVNHFHEKLLKLKDLMKTKAGQRRAERRHKFMEEFLKEFYEEWDGRA >Potri.019G092600.1.v4.1 pep chromosome:Pop_tri_v4:19:13012177:13017423:1 gene:Potri.019G092600.v4.1 transcript:Potri.019G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G092600.v4.1 MAQESSINRASRRTRILNNHLVQSPSDPTSCLRSNSCLSYTPPEETESLDFDTKEMRKILDLHNLEDRDWLFGVIKQGKVFNGKERGGRLFVLPEYNQTMEQQREMTMKRIEYLFERGVFDGWLMKKGVEAELKKLAFLDVIQNFDQSLAVMLGVHFFLWGGAIQFMGTKRHHDKWLRDTETFGLKGCFSMTELGHGSNVRGIETVTTYDLKTGEFVINTPCESAQKYWIGGAANHATHTIVFSQLNIDGVNQGVHAFIAQIRDADGNICPNIRIAECGHKIGLNGVDNGRIWFDNLRIPRENLLNSVADVSPDGQYLSAIKDPDQRFAAFLAPLTSGRVTIATSAVFSSKVGLATAIRYSLSRRAFSVTPNGPEVLLLDYPSHQRRLLPLLAKSYAMTFGGNYLKMTYVNRTPGSAKTLHVVSSAFKAIFTWHNMRTLQECREACGGQGLKTENKIGHLKGEFDVQSTFEGDNNVLMQQVSKALLSEYAAAKKKNKPFKGLGLEHMNGPVPVIPSNLTSSTLRSSQFQMNAFCLRERDLLNRFAAEVSLYQSKGESKEHAFILSYQLAEDLGRAFSDRAILQTFIDAEANVSAGSLKNVLGLLRSMYALICLEEDAAFLRYGYLSTDNAAAVRKEVTKLCGELRPHALALVSSLGIPDAFLGPIAFNWIDANSWSSVKH >Potri.008G136800.7.v4.1 pep chromosome:Pop_tri_v4:8:9187458:9190917:1 gene:Potri.008G136800.v4.1 transcript:Potri.008G136800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136800.v4.1 MNFFQGFRKIDTDHWEFANDGFIRGQKHLLKNISRRKNSQGTDNRKLVQQQDNSVEHHESVENAGLWKEVENLKTGKIALTQELVKLSQHQETADNKLLLLRDRLQGMEKNQQQMLSFLVMAMQKSPGFLAQLLHKKENNWRMAEPGSIVEQVADDADPLASEGMIVKYQPPVDETFEPMHAPPIGPENPRESNPSSDGMKDFFFSSEFTELLMDENLGFENHAPFVLPELADDGAWEQLLLANPFVANIKDSETDYEEPTDAETDTGTAVPGTQLDRSQDFENLIEQMEKYHNLENQATDEGPHFEKPRNLEILTKQMGLLASETNH >Potri.008G136800.2.v4.1 pep chromosome:Pop_tri_v4:8:9187538:9192040:1 gene:Potri.008G136800.v4.1 transcript:Potri.008G136800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136800.v4.1 MVKSSDSGVAPFLKKCYEMVGDESTNSIISWSQTNDSFVIWDMTEFCVHLLPKYFKHSNSSSFVRQLNIYGFRKIDTDHWEFANDGFIRGQKHLLKNISRRKNSQGTDNRKLVQQQDNSVEHHESVENAGLWKEVENLKTGKIALTQELVKLSQHQETADNKLLLLRDRLQGMEKNQQQMLSFLVMAMQKSPGFLAQLLHKKENNWRMAEPGSIVEQVADDADPLASEGMIVKYQPPVDETFEPMHAPPIGPENPRESNPSSDGMKDFFFSSEFTELLMDENLGFENHAPFVLPELADDGAWEQLLLANPFVANIKDSETDYEEPTDAETDTGTAVPGTQLDRSQDFENLIEQMEKYHNLENQATDEGPHFEKPRNLEILTKQMGLLASETNH >Potri.001G099400.2.v4.1 pep chromosome:Pop_tri_v4:1:7922778:7926103:1 gene:Potri.001G099400.v4.1 transcript:Potri.001G099400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099400.v4.1 MVFKLNSHLLSRIASSNGHGEDSPYFDGWKAYDTDPHHPTDNPNGVIQMGLAENQLCFDLIQDWLKKNPKASICSPEGLNEFREIAIFQDYHGLPEFRNAVANFMEKVRGNRVTFDPDRIVMSGGATGAHETIAFCLADPGEAFLVPTPYYPGFDRDLRWRTGVKLIPVDSDSSNNFMVTREALENAYEMAQLDNIKVKGLLITNPSNPLGTILDRETLRSIVRFINEKNIHLVCDEIYAATVFSQPDFISVAEILQEDIECNLDLVHIVYSLSKDMGFPGLRVGIIYSYNDAVVSCARKMSSFGLVSTQTQYLIASMLSDNEFVEMFIRESKSRLAARYRVFTRGLDQVGIECLKTSNAGLFLWMDLSRLLKEQTFEAEMELWRVIIHEVKLNVSPGCSFHCLKPGWFRVCFANMDDETMQVALSRIKTFVNKEAGTKKSKKNLRWQGSLKLLNSPRIYDDFINSPHSPIPQSPLVRARN >Potri.012G068700.2.v4.1 pep chromosome:Pop_tri_v4:12:8977345:8980112:-1 gene:Potri.012G068700.v4.1 transcript:Potri.012G068700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068700.v4.1 MKFEVALAIWVVVAVLGVTIDGGECKIVQFIFGDSLSDVGNNMYLSRSLAQASLPWYGIDFGNGLPNGRFTNGRTVADIIGDNTGLPRPPAFLSPSLTENLILENGVNYASGGGGILNETGGYFIQRLSLNKQIELFQGTQQMIISKIGKEKSDEFFKESQYVVALGSNDFINNYLMPVYSDSWKYNDQSFIDYLMETLEGQLRKLHSFGARKLMVFGLGPMGCIPLQRVLSTTGKCQEKTNKLAIAFNRASSKLLDNLSTKLVNASFKFGEAYDVVNDVISNPTKYGFDNADSPCCSFGQIRPALTCLPASTLCEDRSKYVFWDEYHPSDSANELIANELIKKFGFTRVDGTNAPPPSAPSPVTAPSPVIAPSPVG >Potri.011G007100.1.v4.1 pep chromosome:Pop_tri_v4:11:558336:561769:1 gene:Potri.011G007100.v4.1 transcript:Potri.011G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007100.v4.1 MGSVAKKGLQQYMLQLQQHPLRTKAITAGVLSALSDIVSQKLSGIQKLQIKRILLKVLFGFGYLGPFGHYLHILLDKLFKGKKDTTTVAKKVAVEQLTASPWNNLVFMVYYGMVIDGRPWLQVKTKLKKEYPAVQFTSWTFWPVVGWVNHQYIPQQFRVIFHSLIAVGWGIFLNLRARSMALTKG >Potri.004G134300.1.v4.1 pep chromosome:Pop_tri_v4:4:15593118:15596091:-1 gene:Potri.004G134300.v4.1 transcript:Potri.004G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134300.v4.1 MVGPYLKAWNTMISDCNGDFRQDYQAVASILKSCAGLSAIKWGRALHGSIVRIGHVSCHAVSKALLNMYAKCGALDESKKLFGEIGSCNDRDPIFWNILLSGYAGSRVYDAETLRLFREMHGANYPKPSSVTAAIVLPVCARLGDVYMGRSVNCYAIKSGLDTHTLAGNALVSMYAKCGLVCQDAYAAFDSIDEKDVVSWNAIISGFAENNLMEDAFRLFSSMLKGQIKPNYTTLANILPVCASFDEYIAYWFGKEIHGYVLRHNELLADVFVWNALVSFYLRVGRVEEAELLFRRMELRDLVSWNAIIAGYASNGEWSKALELFHELLTLDMIEPDSVTLLCIIPACAQSRNLHVGKMIHGYVLRHPLLCEDTSVGNALVSFYAKCDDIEGAYETFFMISRRDLISWNSMLDALVESGYNTWFLELLRWMLSEGTTPDSVTILSVVHFCVNVLKEDKVKEAHSYSIRHRLLASKFDVEPTIGNAILDAYAKCGNIEYASKVFQSLSENRNLVTFKAIISGYINCGLLDEAYITFNRMPSSDLSVWNLMVRLYAENDCSSQALGLFHELQAHGIKPDAVTIMSLLPACAEMASVQLIKQCHGYAIRSCFGDLHLDGALQDVYAKCGSIGYAFKLFQLIPNKDLIIFTAMIRGYAMHGMGKEALGTFFHMIELGIKPDHVIITTVLSACSHAGLVDEGLNIFYSIEKVHGMKLTMEQYSCVVDLLARGGRIDDAFSMVTGMPIEANANIWGTLLGACRTHHEVELGRFVADRLFKIEAENIGNYVVLSNLYAADARWDGVMEIRKLMRTRDLKKPAGCSWIEVERRKNVFVAGDTSHPHRIDIYRILSTLNGQIKEPFQLNQLLNLQLSEC >Potri.014G016800.2.v4.1 pep chromosome:Pop_tri_v4:14:1025948:1030156:1 gene:Potri.014G016800.v4.1 transcript:Potri.014G016800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016800.v4.1 MGKRNNQRKNKAMLDSDDENSSVSSSSTARSDLMSVSGTDEVQVDKDSLLEQALDALYEKRGSTREKALAAIIDAFNTSLQHQFVEKKFATLLHLCLNSIKKGSSKEMSLACHAIGLLALTVGSGDNAHEILEESVIPISQALKSPSDSLKTVSLVECLAIVTFVGATKTEETERSMQIMWQLLHPKLGSNVVAVKLSAVVITAVVSAWAFILTTMDGCSLNSKDWQEYISYFSTLLDKDDRSVRIASGEALALIFETGSIEKFATENKTTPDGSVPEGNKSREGYTHILGLKSKILNQVRSLSAEAGGKGSAKKDLNSQRNLFKDVLEFLEDGYAPDISMKIGGDSLQTSTWSELIKLNFMKHFLGGGFIKHMQDNEFLQVVLGFTPKRKQLGVEHQMSSGEKRMFRSPNSIQNKARTQFLNKQRMLSKDRNVGHFAVGMGDEDM >Potri.002G030500.1.v4.1 pep chromosome:Pop_tri_v4:2:2026053:2031968:1 gene:Potri.002G030500.v4.1 transcript:Potri.002G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030500.v4.1 MTTKLLLTFAICRLIVTVGLTVDPSELLLLGVDGQLSVDQFDVETASLDFGLLTRAEPMAVLHPGSADDIARLVRAAYISSHGFTVSARGHGHSINGQAQTSNGVVIEMSGGSRGSRFGLRKLDKPQVSIKEKHVDVWGGELWIDVLRSTLEHGLAPKSWTDYLYLSVGGTLSNGGISGQAFNHGPQISNVYELDVVTGKGELSTCSEEKNSELFHAVLGGLGQFGIITRARIALEPAPQRVRWIRVLYSNFSTFTGDQEYLISLHGNPYSQKFDYVEGFVIVDEGLINNWRSSFFSPRNPVKISSIGANGGVLYCLEITKNYDEATADTIDQEVEALMKRLNFIPSSVFTTDLPYIDFLDRVHKAELKLRAKGLWEVPHPWLNLFVPKSRMADLDRGVFKGILGNNKTSGPILIYPMNKNKWDQRSSVVTPDEDVFYLVALLRSALDNGEETQSLEYLTNQNHKILRFCDDAGIKVKQYLPHYTTQEEWMDHFGDKWDQFSRRKMEFDPRHILATGQRIFNPSFPSSTRTVSPW >Potri.012G053301.1.v4.1 pep chromosome:Pop_tri_v4:12:5060993:5062047:-1 gene:Potri.012G053301.v4.1 transcript:Potri.012G053301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053301.v4.1 MNAYKTVSKVQELEMAFSRLIRSLFLAMLMIASSSMITHKALVDADMKHRKLQGRRPMPSPPPPPIPADRDHHH >Potri.006G218500.3.v4.1 pep chromosome:Pop_tri_v4:6:22373685:22378930:1 gene:Potri.006G218500.v4.1 transcript:Potri.006G218500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218500.v4.1 MHGKLSRLLSFMLLGNIVEFMITKMKNVRCRGGCHLTSVVWLIIFCFFTAAFAKTPVRKIPRTSDSSALGVQLNIKNRQRVVIDNGLVEVTFSSPDGDVTGIKYKGIDNVLEIGNDEDNRGYWDVVWNKPGDPIIFDKLVATDFKVIMEDENQVEVSFTKTWDLSMGNSTVPLNVDKRYIVRRGQSGLYLYTILERLEGWPDVDMDQIRVVFKLQKDKFHYMALSDSRKRTMPMPEDRVSGQPLAYPEAVLLTKPINLKLRGEVDDKYQYSCEDKDNKVHGWTAEDPPVGFWMITPSNEFRVGGPNKQDLTSHVGPVVLNMFTSTHYAGKDLNTAYRNGEPWKKVLGPVFVYLNSISPKENSSMLWEDAKEQMSIEVNRWPYDFPQSEDFPSSDQRGAVTGQLLVRDRYVNQRLTYASSAYVGLAAPGDVGSWQTESKGYQFWIRADRHGHFVIKNVRAGNYSLYAWVPGVIGDYKYGANITINPGSRIRFGHLIYEPPRNGPTLWDIGIPDRTAAEFYVPDGYPTLMNTLYTREPAEKFRQYGLWNRYSDLYPNDDLVYTIGISNVKDWFFAHVTRDAGNKTYDPTTWQIIFELENVNRNENYTLRLALASATASEIQVRFNNPSSDRPLFTTGAVGKDNAIARHGIHGLYWLYGIDVPGSQLVEGKNTIYLTQARSDGPFQGVMYDYIRFEGPRGT >Potri.006G218500.1.v4.1 pep chromosome:Pop_tri_v4:6:22373449:22379135:1 gene:Potri.006G218500.v4.1 transcript:Potri.006G218500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218500.v4.1 MITKMKNVRCRGGCHLTSVVWLIIFCFFTAAFAKTPVRKIPRTSDSSALGVQLNIKNRQRVVIDNGLVEVTFSSPDGDVTGIKYKGIDNVLEIGNDEDNRGYWDVVWNKPGDPIIFDKLVATDFKVIMEDENQVEVSFTKTWDLSMGNSTVPLNVDKRYIVRRGQSGLYLYTILERLEGWPDVDMDQIRVVFKLQKDKFHYMALSDSRKRTMPMPEDRVSGQPLAYPEAVLLTKPINLKLRGEVDDKYQYSCEDKDNKVHGWTAEDPPVGFWMITPSNEFRVGGPNKQDLTSHVGPVVLNMFTSTHYAGKDLNTAYRNGEPWKKVLGPVFVYLNSISPKENSSMLWEDAKEQMSIEVNRWPYDFPQSEDFPSSDQRGAVTGQLLVRDRYVNQRLTYASSAYVGLAAPGDVGSWQTESKGYQFWIRADRHGHFVIKNVRAGNYSLYAWVPGVIGDYKYGANITINPGSRIRFGHLIYEPPRNGPTLWDIGIPDRTAAEFYVPDGYPTLMNTLYTREPAEKFRQYGLWNRYSDLYPNDDLVYTIGISNVKDWFFAHVTRDAGNKTYDPTTWQIIFELENVNRNENYTLRLALASATASEIQVRFNNPSSDRPLFTTGAVGKDNAIARHGIHGLYWLYGIDVPGSQLVEGKNTIYLTQARSDGPFQGVMYDYIRFEGPRGT >Potri.006G218500.4.v4.1 pep chromosome:Pop_tri_v4:6:22373608:22379016:1 gene:Potri.006G218500.v4.1 transcript:Potri.006G218500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218500.v4.1 MITKMKNVRCRGGCHLTSVVWLIIFCFFTAAFAKTPVRKIPRTSDSSALGVQLNIKNRQRVVIDNGLVEVTFSSPDGDVTGIKYKGIDNVLEIGNDEDNRGYWDVVWNKPGDPIIFDKLVATDFKVIMEDENQVEVSFTKTWDLSMGNSTVPLNVDKRYIVRRGQSGLYLYTILERLEGWPDVDMDQIRVVFKLQKDKFHYMALSDSRKRTMPMPEDRVSGQPLAYPEAVLLTKPINLKLRGEVDDKYQYSCEDKDNKVHGWTAEDPPVGFWMITPSNEFRVGGPNKQDLTSHVGPVVLNMFTSTHYAGKDLNTAYRNGEPWKKVLGPVFVYLNSISPKENSSMLWEDAKEQMSIEVNRWPYDFPQSEDFPSSDQRGAVTGQLLVRDRYVNQRLTYASSAYVGLAAPGDVGSWQTESKGYQFWIRADRHGHFVIKNVRAGNYSLYAWVPGVIGDYKYGANITINPGSRIRFGHLIYEPPRNGPTLWDIGIPDRTAAEFYVPDGYPTLMNTLYTREPAEKFRQYGLWNRYSDLYPNDDLVYTIGISNVKDWFFAHVTRDAGNKTYDPTTWQIIFELENVNRNENYTLRLALASATASEIQVRFNNPSSDRPLFTTGAVGKDNAIARHGIHGLYWLYGIDVPGSQLVEGKNTIYLTQARSDGPFQGVMYDYIRFEGPRGT >Potri.002G028900.3.v4.1 pep chromosome:Pop_tri_v4:2:1945325:1948429:1 gene:Potri.002G028900.v4.1 transcript:Potri.002G028900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028900.v4.1 MEEDHSNGGNSSSSGNLETSKADKAVWLMKCPVVVAKSWKSHHTSSSDSAPLAKVVLSLDPLQSDDPSAIQFTMEMARTETGNVPKSYSLNMFKDFVPMGVFSETPQGRVSMEGKVEHKFDMKPHEENIEEYSKLCRDRTKKSMIKNRQIRVIDNDRGVHMRPMPGMVGLISSTSKDKKKTQPVKQSDVKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKTAEDTGAD >Potri.010G209701.1.v4.1 pep chromosome:Pop_tri_v4:10:19905343:19907890:-1 gene:Potri.010G209701.v4.1 transcript:Potri.010G209701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209701.v4.1 MVLSNKKLKQKLRAVKAEALVSKVSNGPERDSKNGSLGNPESNSQLQELLEAAKQRQRLSKREQRRKTQSLQGIDSENKSQAGDNGEEDKKVEDIREGDLLVGEDGDGESSEKKIKTKKRKRKREEGESEKKGLEEDVEVKEAKKAKKKKKKKKKKKTKKKTKAKTEEEKAGGEAEGVVEAAKVAGESKISEDIADRVYVGGIPYYSTEDDIRSFFEGCGTITEVDCMTFPDSGKFRGIAIISFKTEAAAKRALDLDGSDMGGFYLKIQPYKTTKVNKEPNFAPGIVEGYNRIYVGNLSWDITEDDLKKFFSDCKISSIRFGMDKETGEFRGYGHVDFSDNDSLVKALKLDQRIVCGRPIKISCAVPKKGGKLQENQNQIVEEAKIQSTAMPMITSDEVNNGVPSISSGKIKRRTCYECGEKGHISTACPKKLTADPTDSNTS >Potri.006G084900.4.v4.1 pep chromosome:Pop_tri_v4:6:6324350:6328735:1 gene:Potri.006G084900.v4.1 transcript:Potri.006G084900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084900.v4.1 MANVNPNLRLRGLFLWWRKWGKKDWAIAAVGFSIIVFILTYLSRPLPLDPAATTSTTDNLVGLTLLHNARDRGALCLDGSLPGYHFRKGFGSGSNSWILHIEGGGWCNTIASCLQRKSTALGSSSYMDHQVPFSGILSHQSSQNPDFFNWNKVKIRYCDGASFAGHSQYEFKNGTKLLFRGHLIWEALMDELLSIGLSNAKQALLSGCSAGGLATLIHCDDFRELLPKDATVKCLADAGFFLDEKDVLGNNTMGSFYQDVTQLQGVVKSLRKNCITRMDPYKCLFPQEIIKETRTPIFLVNPAYDFWQIQHILVPDASDPQGYWKRCRMNLHYCNPSQMEILQGFRSSMLKALSDFQQKKEGGLFINSCFSHCQTWMAETWHSSTSPRINDKTIAESVGDWYFNRNMVKQIDCPYPCNPTCYNMDFS >Potri.002G141100.2.v4.1 pep chromosome:Pop_tri_v4:2:10587527:10591759:1 gene:Potri.002G141100.v4.1 transcript:Potri.002G141100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141100.v4.1 MFGRAPRRSDNTKYYEVLGVSKGASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPDKRDIYDQYGEDALKEGMGPGGGGGGHNPYDIFESFFGGGGFGGGGSSRGRRQKQGEDVVHPLKVSLEDLYNGTSKKLSLSRNILCAKCKGKGSKSGASGTCRGCQGTGMKVSIRQIGLGMVQQMQHVCPECRGSGELISEKDKCPHCRGNKVTQEKRVLEVHVERGMRHGQKIVFEGQADEAPDTITGDIVFVLQLKEHSKFERKMDDLFVEHSVSLTEALCGYQFALTHLDGRQLLIKSNPGEIVKPGQYKAINDEGMPHHHRPFMKGKLYIHFNVEFPESGTLSPEQCRTLETILPPRQSKNLSEMELDNCEETIMHDVNIEEEKRRKQQQRQQQEAYDEDDDDEESPMPRVQCAQQ >Potri.002G141100.6.v4.1 pep chromosome:Pop_tri_v4:2:10587805:10591745:1 gene:Potri.002G141100.v4.1 transcript:Potri.002G141100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141100.v4.1 MFGRAPRRSDNTKYYEVLGVSKGASQDELKKAYRKAAIKNHPDKGGDPEKFKELSQAYEVLSDPDKRDIYDQYGEDALKEGMGPGGGGGGHNPYDIFESFFGGGGFGGGGSSRGRRQKQGEDVVHPLKVSLEDLYNGTSKKLSLSRNILCAKCKGKGSKSGASGTCRGCQGTGMKVSIRQIGLGMVQQMQHVCPECRGSGELISEKDKCPHCRGNKVTQEKRVLEVHVERGMRHGQKIVFEGQADEAPDTITGDIVFVLQLKEHSKFERKMDDLFVEHSVSLTEALCGYQFALTHLDGRQLLIKSNPGEIVKPGQYKAINDEGMPHHHRPFMKGKLYIHFNVEFPESGTLSPEQCRTLETILPPRQSKNLSEMELDNCEETIMHDVNIEEEKRRKQQQRQQQEAYDEDDDDEESPMPRVQCAQQ >Potri.001G148900.2.v4.1 pep chromosome:Pop_tri_v4:1:12445674:12449466:1 gene:Potri.001G148900.v4.1 transcript:Potri.001G148900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148900.v4.1 MTSALQSSTSFISLKDTRSPKTPDDFSGTICFAHIKPSCRLQAKNSMQEAQLSHDEILMTEGRKSKKGGELHAISGPRSSNDSKVPVFVMLPLDTITIGGNLNKPRAMNASLMALRSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVQMVQKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEMSKNPDLVYTDRSGRRNPEYISLGCDSLPILRGRTPIQVYSDYMRSFRERFKDYLGDVIMEIQVGMGPCGELRYPAYPETNGTWRFPGIGEFQCYDKYMRASLEASAEALGKKDWGRGGPHDSGQYNHFPEETGFFRRDGTWNTEYGQFFLEWYSGKLLEHGEKILAAAEGIFQGTGAQLSGKVAGIHWHYRTRSHAAELTAGYYNTRHHDGYLPIARMFSKHGVVFNFTCMEMRDGEQPEHANCSPQGLVRQVKMATRTAGTELAGENALERYDAGAYTQVLATSRSESGNGLTAFTYLRMNKKLFEGDNWRQLVEFVKSMSEGGRNEKLSECDSHGTNLYIGFIKDKSVQKTKEAALA >Potri.009G164300.4.v4.1 pep chromosome:Pop_tri_v4:9:12606039:12614049:1 gene:Potri.009G164300.v4.1 transcript:Potri.009G164300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164300.v4.1 MASHRIGETGLSDSGPSNQHLPYALLHGINTPSTSFICIFAYSNQEGSAFDFGELEEAIVLQGVKIRNDEAKAPLFTVTGRPAATLEMFPSWPMRFQETPRGSSRSGGESTDSGSALNTLSSKAEAHLEPESPISKKVSSSDHYNQAFYQKHLQFQEQQQVDMANDTSRTGGPSQQNQSPAKSPQEKRKGSTSEKQLDAKTLRRLAQNREAAKKSRLRKKAYVQQLETSRIKLTQLEQDLQRARQQGLFLGGCGGAGGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDGYISHYDEIFRLKVVAAKSDVFHLITGMWSTPAERCFLWMGGFRPSELIKMLISQLDPLTEQQVMGIYSLQQSSQQAEEALSQGLEQLQQSLVDTIAGGPVIGGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRETMISEDNSCQTTTDLQMVQPSQNHFSNF >Potri.009G164300.5.v4.1 pep chromosome:Pop_tri_v4:9:12605786:12614049:1 gene:Potri.009G164300.v4.1 transcript:Potri.009G164300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164300.v4.1 MASHRIGETGLSDSGPSNQHLPYALLHGINTPSTSFINQEGSAFDFGELEEAIVLQGVKIRNDEAKAPLFTVTGRPAATLEMFPSWPMRFQETPRVGSSRSGGESTDSGSALNTLSSKAEAHLEPESPISKKVSSSDHYNQAFYQKHLQFQEQQQVDMANDTSRTGGPSQQNQSPAKSPQEKRKGSTSEKQLDAKTLRRLAQNREAAKKSRLRKKAYVQQLETSRIKLTQLEQDLQRARQQGLFLGGCGGAGGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDGYISHYDEIFRLKVVAAKSDVFHLITGMWSTPAERCFLWMGGFRPSELIKMLISQLDPLTEQQVMGIYSLQQSSQQAEEALSQGLEQLQQSLVDTIAGGPVIGGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRETMISEDNSCQTTTDLQMVQPSQNHFSNF >Potri.009G164300.1.v4.1 pep chromosome:Pop_tri_v4:9:12605905:12614048:1 gene:Potri.009G164300.v4.1 transcript:Potri.009G164300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164300.v4.1 MASHRIGETGLSDSGPSNQHLPYALLHGINTPSTSFINQEGSAFDFGELEEAIVLQGVKIRNDEAKAPLFTVTGRPAATLEMFPSWPMRFQETPRGSSRSGGESTDSGSALNTLSSKAEAHLEPESPISKKVSSSDHYNQAFYQKHLQFQEQQQVDMANDTSRTGGPSQQNQSPAKSPQEKRKGSTSEKQLDAKTLRRLAQNREAAKKSRLRKKAYVQQLETSRIKLTQLEQDLQRARQQGLFLGGCGGAGGNISSGAAIFDMEYARWLEDDHRHMSELRTGLQAHLSDGDLRVIVDGYISHYDEIFRLKVVAAKSDVFHLITGMWSTPAERCFLWMGGFRPSELIKMLISQLDPLTEQQVMGIYSLQQSSQQAEEALSQGLEQLQQSLVDTIAGGPVIGGMQQMAVALGKLANLEGFVRQADNLRQQTLHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWASRPRETMISEDNSCQTTTDLQMVQPSQNHFSNF >Potri.011G070900.1.v4.1 pep chromosome:Pop_tri_v4:11:6263794:6268263:1 gene:Potri.011G070900.v4.1 transcript:Potri.011G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070900.v4.1 MMSRSYTNLLDLASGNFPAMGQPRERKRLPRVMTVPGVISELDDDVANSVTSDVPSSVVQDRIIIVGNQLPVKAKRRPDNKGWSFSWDEDSLLLQLKDGLPEEMEVLYVGSLRADIDLSEQEDVSQILLDRFKCVPAFLPPDILSKFYHGFCKQYLWPLFHYMLPISGNHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGQIQSVLKLADKDWRVEELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQLLKQHPKWQRRAVLVQITNPARGRGRDLEEVQAEIQESCRRINETFGRPGYEPVVFIDRPVSLSERSAYFTIAECVVVAAVRDGMNLTPYEYIVCRQGVSGSESSSGSSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADSEKQLRHEKHYRYVSTHDVAYWSRSFYQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFKKLNIDQIESAYIKSKNRAILLDYDGTVMPQTTINKTPNQEVISIINTLCSDVKNTVFVVSGRGRDSLGKWFAHCKKLGIAAEHGYFMRWSVDEDWENCGQSSDFGWTQIAEPVMNLYTEATDGSSIETKESALVWHHRDADPGFGAAQAKELLDHLESVLANEPVAVKSGQCIVEVKPQGISKGSVAEKIFTSMAESGRQADFVLCIGDDRSDEDMFESIDNAIANGILTSSKSVFACTVGQKPSKAKYYLDDTTDVINMLEALAEASDPSPSAGSSP >Potri.011G070900.5.v4.1 pep chromosome:Pop_tri_v4:11:6264060:6268502:1 gene:Potri.011G070900.v4.1 transcript:Potri.011G070900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070900.v4.1 MMSRSYTNLLDLASGNFPAMGQPRERKRLPRVMTVPGVISELDDDVANSVTSDVPSSVVQDRIIIVGNQLPVKAKRRPDNKGWSFSWDEDSLLLQLKDGLPEEMEVLYVGSLRADIDLSEQEDVSQILLDRFKCVPAFLPPDILSKFYHGFCKQYLWPLFHYMLPISGNHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGQIQSVLKLADKDWRVEELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQLLKQHPKWQRRAVLVQITNPARGRGRDLEEVQAEIQESCRRINETFGRPGYEPVVFIDRPVSLSERSAYFTIAECVVVAAVRDGMNLTPYEYIVCRQGVSGSESSSGSSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADSEKQLRHEKHYRYVSTHDVAYWSRSFYQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFKKLNIDQIESAYIKSKNRAILLDYDGTVMPQTTINKTPNQEVISIINTLCSDVKNTVFVVSGRGRDSLGKWFAHCKKLGIAAEHGYFMRWSVDEDWENCGQSSDFGWTQIAEPVMNLYTEATDGSSIETKESALVWHHRDADPGFGAAQAKELLDHLESVLANEPVAVKSGQCIVEVKPQGISKGSVAEKIFTSMAESGRQADFVLCIGDDRSDEDMFESIDNAIANGILTSSKSVFACTVGQKPSKAKYYLDDTTDVINMLEALAEASDPSPSAGSSP >Potri.011G070900.4.v4.1 pep chromosome:Pop_tri_v4:11:6263794:6268569:1 gene:Potri.011G070900.v4.1 transcript:Potri.011G070900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070900.v4.1 MMSRSYTNLLDLASGNFPAMGQPRERKRLPRVMTVPGVISELDDDVANSVTSDVPSSVVQDRIIIVGNQLPVKAKRRPDNKGWSFSWDEDSLLLQLKDGLPEEMEVLYVGSLRADIDLSEQEDVSQILLDRFKCVPAFLPPDILSKFYHGFCKQYLWPLFHYMLPISGNHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGQIQSVLKLADKDWRVEELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQLLKQHPKWQRRAVLVQITNPARGRGRDLEEVQAEIQESCRRINETFGRPGYEPVVFIDRPVSLSERSAYFTIAECVVVAAVRDGMNLTPYEYIVCRQGVSGSESSSGSSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADSEKQLRHEKHYRYVSTHDVAYWSRSFYQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFKKLNIDQIESAYIKSKNRAILLDYDGTVMPQTTINKTPNQEVISIINTLCSDVKNTVFVVSGRGRDSLGKWFAHCKKLGIAAEHGYFMRWSVDEDWENCGQSSDFGWTQIAEPVMNLYTEATDGSSIETKESALVWHHRDADPGFGAAQAKELLDHLESVLANEPVAVKSGQCIVEVKPQGISKGSVAEKIFTSMAESGRQADFVLCIGDDRSDEDMFESIDNAIANGILTSSKSVFACTVGQKPSKAKYYLDDTTDVINMLEALAEASDPSPSAGSSP >Potri.011G070900.7.v4.1 pep chromosome:Pop_tri_v4:11:6263794:6268490:1 gene:Potri.011G070900.v4.1 transcript:Potri.011G070900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070900.v4.1 MMSRSYTNLLDLASGNFPAMGQPRERKRLPRVMTVPGVISELDDDVANSVTSDVPSSVVQDRIIIVGNQLPVKAKRRPDNKGWSFSWDEDSLLLQLKDGLPEEMEVLYVGSLRADIDLSEQEDVSQILLDRFKCVPAFLPPDILSKFYHGFCKQYLWPLFHYMLPISGNHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGQIQSVLKLADKDWRVEELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQLLKQHPKWQRRAVLVQITNPARGRGRDLEEVQAEIQESCRRINETFGRPGYEPVVFIDRPVSLSERSAYFTIAECVVVAAVRDGMNLTPYEYIVCRQGVSGSESSSGSSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADSEKQLRHEKHYRYVSTHDVAYWSRSFYQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFKKLNIDQIESAYIKSKNRAILLDYDGTVMPQTTINKTPNQEVISIINTLCSDVKNTVFVVSGRGRDSLGKWFAHCKKLGIAAEHGYFMRWSVDEDWENCGQSSDFGWTQIAEPVMNLYTEATDGSSIETKESALVWHHRDADPGFGAAQAKELLDHLESVLANEPVAVKSGQCIVEVKPQGISKGSVAEKIFTSMAESGRQADFVLCIGDDRSDEDMFESIDNAIANGILTSSKSVFACTVGQKPSKAKYYLDDTTDVINMLEALAEASDPSPSAGSSP >Potri.011G070900.2.v4.1 pep chromosome:Pop_tri_v4:11:6263794:6267729:1 gene:Potri.011G070900.v4.1 transcript:Potri.011G070900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070900.v4.1 MMSRSYTNLLDLASGNFPAMGQPRERKRLPRVMTVPGVISELDDDVANSVTSDVPSSVVQDRIIIVGNQLPVKAKRRPDNKGWSFSWDEDSLLLQLKDGLPEEMEVLYVGSLRADIDLSEQEDVSQILLDRFKCVPAFLPPDILSKFYHGFCKQYLWPLFHYMLPISGNHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGQIQSVLKLADKDWRVEELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQLLKQHPKWQRRAVLVQITNPARGRGRDLEEVQAEIQESCRRINETFGRPGYEPVVFIDRPVSLSERSAYFTIAECVVVAAVRDGMNLTPYEYIVCRQGVSGSESSSGSSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADSEKQLRHEKHYRYVSTHDVAYWSRSFYQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFKKLNIDQIESAYIKSKNRAILLDYDGTVMPQTTINKTPNQEVISIINTLCSDVKNTVFVVSGRGRDSLGKWFAHCKKLGIAAEHGYFMRWSVDEDWENCGQSSDFGWTQIAEPVMNLYTEATDGSSIETKESALVWHHRDADPGFGAAQAKELLDHLESVLANEPVAVKSGQCIVEVKPQGISKGSVAEKIFTSMAESGRQADFVLCIGDDRSDEDMFESIDNAIANGILTSSKSVFACTVGQKPSKAKYYLDDTTDVINMLEALAEASDPSPSAGSSP >Potri.011G070900.6.v4.1 pep chromosome:Pop_tri_v4:11:6263794:6268492:1 gene:Potri.011G070900.v4.1 transcript:Potri.011G070900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070900.v4.1 MMSRSYTNLLDLASGNFPAMGQPRERKRLPRVMTVPGVISELDDDVANSVTSDVPSSVVQDRIIIVGNQLPVKAKRRPDNKGWSFSWDEDSLLLQLKDGLPEEMEVLYVGSLRADIDLSEQEDVSQILLDRFKCVPAFLPPDILSKFYHGFCKQYLWPLFHYMLPISGNHGGRFDRSLWEAYVAANKIFSQRVIEVINPEDDYVWIHDYHLMVLPTFLRRRFNRLRMGFFLHSPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGLEYYGRTVGIKIMPVGIHMGQIQSVLKLADKDWRVEELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQLLKQHPKWQRRAVLVQITNPARGRGRDLEEVQAEIQESCRRINETFGRPGYEPVVFIDRPVSLSERSAYFTIAECVVVAAVRDGMNLTPYEYIVCRQGVSGSESSSGSSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAMNEAISMADSEKQLRHEKHYRYVSTHDVAYWSRSFYQDMERTCKDHFRRRCWGIGLSFGFRVVALDPNFKKLNIDQIESAYIKSKNRAILLDYDGTVMPQTTINKTPNQEVISIINTLCSDVKNTVFVVSGRGRDSLGKWFAHCKKLGIAAEHGYFMRWSVDEDWENCGQSSDFGWTQIAEPVMNLYTEATDGSSIETKESALVWHHRDADPGFGAAQAKELLDHLESVLANEPVAVKSGQCIVEVKPQGISKGSVAEKIFTSMAESGRQADFVLCIGDDRSDEDMFESIDNAIANGILTSSKSVFACTVGQKPSKAKYYLDDTTDVINMLEALAEASDPSPSAGSSP >Potri.001G021100.1.v4.1 pep chromosome:Pop_tri_v4:1:1638979:1642947:1 gene:Potri.001G021100.v4.1 transcript:Potri.001G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G021100.v4.1 MGNWRNRPSRRFFNKTSTTYSYDYPESPPHSSFVDDGIPSWEKKFCSLIGSVPWRKVVDAKKYMYCHGNILNWDDSAGEEAFHNAKKRFWAEINGVSCGISPPDPNLFIDEIKWNAYIDPEVIKDLEQDLFVPDEGDTGGKVGRKNKKRRNFVSIPSNGCYENTDDVKNPWESNNNTQSSLSLIDKAKSWNQWDSDINKSSNLNKVDNPWERGFSQESEAVKGKTWGVCGNKSWGWNHSGNHVDQSNDWNNNSNPWQHSRQGVDPANDKGWGNLRDSSRGYNQHESRKWNNDCKSSGNGFFQGSGASKDRKWEDNGSNSQGWKQWDNYGKNTKGLDFRKHGGGWETRNEGSWQREGAHQHITGYESTRFQGDGFQTGHSWSGGRTKRRVSFAFE >Potri.008G054600.1.v4.1 pep chromosome:Pop_tri_v4:8:3203401:3206829:-1 gene:Potri.008G054600.v4.1 transcript:Potri.008G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054600.v4.1 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRYSDASVQSDIKLWPFKVIPGPAEKPMIVVTYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDAVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGASVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEDIEKMVQEAEKYKSEDEEHKKKVEAKNALENYSYNMRNTIKDDKISSKLAADDKKKIEDAIDQAIQWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGAGGDMGGGMDDDAPPASGSAAGPKIEEVD >Potri.006G102100.1.v4.1 pep chromosome:Pop_tri_v4:6:7872319:7877515:1 gene:Potri.006G102100.v4.1 transcript:Potri.006G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102100.v4.1 MASVLNSALSLNLSNFKSKRVRTHSLVSPLSLPLTRRRVTIRAADTDTNEVKPQAPVSGGAGGSSFNQLLGIKGAAQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFNWTLEDVAKSIVCMLMSGPFLTGYTQTINDYYDREIDAINEPYRPIPSGAISENEVITQLWVLLLGGLGLAGLLDVWAGHDFPVVFYLALGGSLLSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGIAIVNDFKSIEGDRALGLQSLPVAFGAETAKWICVGAIDITQLSIAGYLLADGKPYYALALVGLIIPQIVFQFQYFLKDPVKYDVKYQASAQPFLVLGLLVTALATSH >Potri.018G109900.1.v4.1 pep chromosome:Pop_tri_v4:18:12783559:12784926:-1 gene:Potri.018G109900.v4.1 transcript:Potri.018G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109900.v4.1 MVVTIKSSHVVKPAKPTWTGRVSLSEWDQIGTVTHVPTIYFYKSSPKWPTQSNAIINNLKDSLSHALVPFYLLAGRLHWIGRGRLELECNAMGVTLTEAESESKLEDLGDLTSPEYQYLIPNVDYTVPLHELPLLLVQLTIFQCGGISLGLRISHAVVDGKSALQFMSEWARISRGEPLGMLPFLDRKVLRAGDPPLARPQFDHAEFGLPPLLLGELSNAEERKKKTTIAMLRLTKIQVEKLKNMANEGRSIDSGRGYTRYETLTGHIWRSACKARRHKPEQPTALGVCVDSRGRMQPPLPDGYFGNASLDVIAVSQAGELLSKPLGYAASKIREAIETVTNEYVISAIDFLKNQPDLTRFQDIHALGGAEGPFYGNPNIAVVSWLTLPIYGLDFGWGEEIYMGPGTHDFDGDSLLLPSPNEDGSVILAICLQVAHMEAFKKCFYEDILSNSLQA >Potri.006G235200.3.v4.1 pep chromosome:Pop_tri_v4:6:23759862:23761961:1 gene:Potri.006G235200.v4.1 transcript:Potri.006G235200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235200.v4.1 MSWQQYVDEHLMCDIDGNTLTSAAIIGHDGSVWAQSATFPQFTAEEVAAIMKDFDEPGSLAPTGLFLGGAKYMVIQGEAGAVIRGKKGSGGVTIKKTNQALVIGVYDEPLAPGQCNMIVERLGDYLYDQGL >Potri.006G235200.2.v4.1 pep chromosome:Pop_tri_v4:6:23759892:23762001:1 gene:Potri.006G235200.v4.1 transcript:Potri.006G235200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235200.v4.1 MSWQQYVDEHLMCDIDGNTLTSAAIIGHDGSVWAQSATFPQFTAEEVAAIMKDFDEPGSLAPTGLFLGGAKYMVIQGEAGAVIRGKKGSGGVTIKKTNQALVIGVYDEPLAPGQCNMIVERLGDYLYDQGL >Potri.002G013601.4.v4.1 pep chromosome:Pop_tri_v4:2:841649:842141:1 gene:Potri.002G013601.v4.1 transcript:Potri.002G013601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013601.v4.1 MASSSSAGNAAQNPRKSLGLVANAMKRKDSFIQLFAMTGIFLLSIRSLGQKYQIHDLQEDTIALKEEQKNLTDRMKNIKRSLLHEASLDSSGLFASRLRLLFGEDH >Potri.005G240500.4.v4.1 pep chromosome:Pop_tri_v4:5:23734756:23735174:1 gene:Potri.005G240500.v4.1 transcript:Potri.005G240500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240500.v4.1 MREVVGIQVITMQFPRNRARGLSKRGPNRNSSAVISLIKYSIILKFNICDEEHEFHGLKEEGVREEEQSSVNNRWVRLAGTKIHSRG >Potri.005G240500.2.v4.1 pep chromosome:Pop_tri_v4:5:23734226:23736138:1 gene:Potri.005G240500.v4.1 transcript:Potri.005G240500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240500.v4.1 MREVVGIQVITMQFPRNRARGLSKRGPNRNSSAVISLIKYSIILKFNICDEEHEFHGLKEEGVREEEQSSVNNRWVRLAGTKIHSRG >Potri.005G240500.3.v4.1 pep chromosome:Pop_tri_v4:5:23734226:23736138:1 gene:Potri.005G240500.v4.1 transcript:Potri.005G240500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240500.v4.1 MREVVGIQVITMQFPRNRARGLSKRGPNRNSSAVISLIKYSIILKFNICDEEHEFHGLKEEGVREEEQSSVNNRWVRLAGTKIHSRG >Potri.004G142000.1.v4.1 pep chromosome:Pop_tri_v4:4:16459148:16463811:-1 gene:Potri.004G142000.v4.1 transcript:Potri.004G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G142000.v4.1 MFGGGGGSVYWGRKEGESKGIVVIFAWNSIPEEHLNSYLDLYSSLGWNSLVSHADFLSAFYPERALSLAYILLNELVEDLRVRPCPIVFVAFSGGPKACMYKVFQIIQGTCEGHLNMDESRLVKNCISGHIYDSCPIDFTSDLGARFALHPAIQRMPGPSKFVSWVAKGLASGLDGLYLTRFESQRAEYWQTLYSSIDMGAPYLILCSENDNLAPYNVISKFAQRLQDQGGDVKLVKWNHSPHIGHYQHNPIQYRAAVTNLLDKAPSVYYRRIQQLREGIGLDSMHDEMSELICDLQKAAVNSNQSLRRVAVEPGDHFFVPSSAEYYNSRESGPLQDERKERSIYLPNPPSISAHSVLGQILFDACVPKNVEGWDIRFSGCLNGQPIASAQRRHSPFHGIKFTRRSRL >Potri.012G021940.1.v4.1 pep chromosome:Pop_tri_v4:12:712923:714675:1 gene:Potri.012G021940.v4.1 transcript:Potri.012G021940.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021940.v4.1 MPQCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNEIEGKISSSIINCTMLQVLDLGNNKIEDTFPYFLEILPKLQILILKSNKLQGLVKDLNAYNSFSKLRIFDVSDNNFSGSLPTRYFNSLGTMMTSDQNMIYMGATNYTSYVYSIEMTWKGVEIEFTKIRSTIRVLDLSNNNFTGEIPKVIGKLKALQQLNLSHNSLNGHIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSYNQLEGPIPSGEQFNTFDASSFEGNLGLCGSQVLKKCYGDEARSLPPSSFDEGDDSTLFGEGFGWKAVTVGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLQSKKTKKNAGRYGARRN >Potri.008G020700.1.v4.1 pep chromosome:Pop_tri_v4:8:1031119:1038124:1 gene:Potri.008G020700.v4.1 transcript:Potri.008G020700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020700.v4.1 MALSNSILCSSSSSLSQIHYGYRDFNNSPQVFSPPERSSLAFSPIRNRFSELGNGMIGLSKKKNNEHASANDLNASASSTSLKPEQDADGVPIPVVLIDQDSDSESTIVQLSFGDRLGALIDTMNALKDLGLDVAKGTVLTEGPVKQTKFFITRLDSGRKVEDPDMLERIRLTIINNLLKYHPESSERLAMGEAFGIKAPEKKLDVDITTHVHVKEDGPKRSLLCVETADRPGLLVEIIKIIADVNIDVESAEIDTEGLVAKDKFHVSYGGAALNSSLSQVLVNCLRYYLRRPETDTESY >Potri.008G020700.2.v4.1 pep chromosome:Pop_tri_v4:8:1031116:1035732:1 gene:Potri.008G020700.v4.1 transcript:Potri.008G020700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020700.v4.1 MALSNSILCSSSSSLSQIHYGYRDFNNSPQVFSPPERSSLAFSPIRNRFSELGNGMIGLSKKKNNEHASANDLNASASSTSLKPEQDADGVPIPVVLIDQDSDSESTIVQLSFGDRLGALIDTMNALKDLGLDVAKGTVLTEGPVKQTKFFITRLDSGRKVEDPDMLERIRLTIINNLLKYHPESSERLAMGEAFGIKAPEKKLDVDITTHVHVKEDGPKRSLLCVETADRPGLLVEIIKIIADVNIDVESAEIDTEGLVAKDKFHVSYGGAALNSSLSQVLVNCLRYYLRRPETDTESY >Potri.018G005300.1.v4.1 pep chromosome:Pop_tri_v4:18:414652:416428:-1 gene:Potri.018G005300.v4.1 transcript:Potri.018G005300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005300.v4.1 MGRKPGCSKDGLNKGAWTPLEDKMLMDYLKIHGEGKWSNIVKETGLKRCGKSCRLRWMNYLRPNIKRGNFSEDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWHTTIAKKAQHRQLRGQPKVDRKQIASGSQNGAASNLKNQSTIESQCTTGMVAATTTLQENTAQDHQDSIAIPKSTNSDLQLDMPKTYENEPSSKGSQNGAASNFKNQSTIESQCTTGVVAATPTLQENTAQDHQDSIAMAQSTNSNLQYDIPKTNENESSSKGLASGEDDNSSNIMMYYDYMEDFSKILDSDFTKFSDVHDIISTDHHSNNTIEVNGDHHGVSINGCKSRETAEFPGKLVESDHWSSNKCIQADQGFDFMSLLSFLDSTDDEWTADALGTKVL >Potri.008G103300.1.v4.1 pep chromosome:Pop_tri_v4:8:6516337:6518211:-1 gene:Potri.008G103300.v4.1 transcript:Potri.008G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103300.v4.1 MNEMKQNHNISIVRINSVEKREEKKELTRTALKTENFNMANSTFITPPPTSDIDHMPNVFSLSTPPPPPTSSIFDMIPCDITTGGDQKAGSLGFMDLLDINQDFGASASLFEWFNQNPVVGSPQQQQSFVPSPASTVPETSEVLNNPATPNSSASISSSSNEAGEDAFQQVKAGDQEEEQDQDKNKKQLKPKKRSQKRLREPRFAFMTKSEVDHLDDGYRWRKYGQKAVKNSPYPRSYYRCTSAGCGVKKRVERSSDDPSIVVTTYEGQHIHPSPIIRGSIGIFADSSGFGAATSSFVFPQPALYQQKHASMYSSSPSLNFTSSTTRFSPSFSLQERRLGNPPSLLRDHGLLQDIVPSQMIRKEAKEE >Potri.008G146450.1.v4.1 pep chromosome:Pop_tri_v4:8:9970420:9971318:1 gene:Potri.008G146450.v4.1 transcript:Potri.008G146450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G146450.v4.1 MIRGFYLRSRQIPVSTFGFCERRHSTDTVVGDCQWVLLPHNQIRQPPSRSPLFSKCWLCDN >Potri.009G125200.2.v4.1 pep chromosome:Pop_tri_v4:9:10406699:10410634:-1 gene:Potri.009G125200.v4.1 transcript:Potri.009G125200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125200.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMQSSSHRKFTVPQRFFTHFYVVAVAWTTLLLLGTSIYAYRMTPIVSEPFFYSDLGSYLAGRSNIFSFHRSRLMSLENRYRVWHSVFLLLLMEVQVSRRLFETAYVFNYSASARMHIFGYLTGLFFYTAAPLTLCCTCAPEVLKFGINEVSEFILKGTSSMQNIEFHWWDFVNPLLKLGWCQWIGAVIFLWGWIHQHRCHAILGSLREHVGKADEYVIPRGDWFEIVSSPHYLAEIVIYAGMVFASGGADLTIWLVFGFVVSNLVFAAAETHRWYLQKFDNYPSNRVAIIPFLC >Potri.009G125200.6.v4.1 pep chromosome:Pop_tri_v4:9:10406699:10410618:-1 gene:Potri.009G125200.v4.1 transcript:Potri.009G125200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125200.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMQSSSHRKFTVPQRFFTHFYVVAVAWTTLLLLGTSIYAYRMTPIVSEPFFYSDLGSYLAGRSNIFSFHRSRLMSLENRYRVWHSVFLLLLMEVQVSRRLFETAYVFNYSASARMHIFGYLTGLFFYTAAPLTLCCTCAPEVLKFGINEVSEFILKGTSSMQNIEFHWWDFVNPLLKLGWCQWIGAVIFLWGWIHQHRCHAILVSITIL >Potri.009G125200.5.v4.1 pep chromosome:Pop_tri_v4:9:10406699:10410629:-1 gene:Potri.009G125200.v4.1 transcript:Potri.009G125200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125200.v4.1 MELGLVELLRAAWIAGTLPILIASLPCSWLGSFHGLVLGFARRGKIMQSSSHRKFTVPQRFFTHFYVVAVAWTTLLLLGTSIYAYRMTPIVSEPFFYSDLGSYLAGRSNIFSFHRSRLMSLENRYRVWHSVFLLLLMEVQVSRRLFETAYVFNYSASARMHIFGYLTGLFFYTAAPLTLCCTCAPEVLKFGINEVSEFILKGTSSMQNIEFHWWDFVNPLLKLGWCQWIGAVIFLWGWIHQHRCHAILLPKGSLWKLDDFQCNEVILLYVCRREFTSILTLGSLREHVGKADEYVIPRGDWFEIVSSPHYLAEIVIYAGMVFASGGADLTIWLVFGFVVSNLVFAAAETHRWYLQKFDNYPSNRVAIIPFLC >Potri.001G133500.2.v4.1 pep chromosome:Pop_tri_v4:1:10854745:10862096:-1 gene:Potri.001G133500.v4.1 transcript:Potri.001G133500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133500.v4.1 MGSIKFLVSRTSPKNLYQLLQNTSLNPSYFPRNIHSLFSRQFHSSQTATFSSSSLEPQQTSASAPGPNTRVPATVITGFLGSGKTTLLNHILTSQHGKRIAVIENEFGEVDIDGSLVASHSTASDDIIMVNNGCLCCTVRGDLVKMLLELAKKNRDKFDHIVIETTGLAKPGPVIETFCSDELVSRYVKLDGVVTMVDSKHVLQHLNELKPRFVVNEAVEQVAYADRIILNKIDLVSEEELKGLTMRIKHINGMAPIKLAKYGSVDMDFVLGVGGYDLERIDSEVHVDNSCSSMHQHGTTQEHHQRHHHNHVHDSAVSSVSIVFEGMLDLDEVDDWLERLIEEKGDDLYRMKGVLSVTGSDQRYIFQGVHSLLDGCPGKTWGPDEKRINKLVFIGRNLDETALRKGFKGCLA >Potri.001G250100.5.v4.1 pep chromosome:Pop_tri_v4:1:26595717:26599107:-1 gene:Potri.001G250100.v4.1 transcript:Potri.001G250100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250100.v4.1 MGVKVATTTCFQWPQPIIHHSPSSSQALASAIASPSSKRQRGFDGAGGGMLVCRYVQRLGRRALFGSPFTTKLQRARSCESQKPRGQTTIRASSASLDAFSDEEFSKKIQDLARRFRLSDDDDDSGDAVDSESEILSDSGDNLGSNNDGGIYNNCSSDDSSNLVQDKRQFPLDSSVKPQWPEIRQEPPDWSGRDDIIPASIERKANSVDIPLSLRMIKRKMQWQEGFREAGESAYCSVKAAFSSMVFIIRELHSHSLQMREFLFTEDLQGILARVQQEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVHSMANNTAIAAPPNTGSYAATTESVSVVENLDQKNQKFYSSSVKTFSVPSSSGKTTSIGGNNGGGGKVRPVASGTEGDGWFDQSSQFRTIVPDGASQLSSLGTSREAESVSEQVSGAEELSLWNSIVDEASKMQSSLRDVSLDHETTHRFVSPISAKIEADDYEDYFRTDLLYQTGLSQDPNNPLLLANYAQFLYIVAHDYDRAEDYFKRAIGVEPPDGEAYSKYASFLWHVKRDLWAAEETFLEAISADPTNSYYAANYAHFLWNTGGEDTCFPLGSPDNGREV >Potri.001G250100.6.v4.1 pep chromosome:Pop_tri_v4:1:26596281:26599654:-1 gene:Potri.001G250100.v4.1 transcript:Potri.001G250100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250100.v4.1 MGVKVATTTCFQWPQPIIHHSPSSSQALASAIASPSSKRQRGFDGAGGGMLVCRYVQRLGRRALFGSPFTTKLQRARSCESQKPRGQTTIRASSASLDAFSDEEFSKKIQDLARRFRLSDDDDDSGDAVDSESEILSDSGDNLGSNNDGGIYNNCSSDDSSNLVQDKRQFPLDSSVKPQWPEIRQEPPDWSGRDDIIPASIERKANSVDIPLSLRMIKRKMQWQEGFREAGESAYCSVKAAFSSMVFIIRELHSHSLQMREFLFTEDLQGILARVQQEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVHSMANNTAIAAPPNTGSYAATTESVSVVENLDQKNQKFYSSSVKTFSVPSSSGKTTSIGGNNGGGGKVRPVASGTEGDGWFDQSSQFRTIVPDGASQLSSLGTSREAESVSEQVSGAEELSLWNSIVDEASKMQSSLRDVSLDHETTHRFVSPISAKIEADDYEDYFRTDLLYQTGLSQDPNNPLLLANYAQFLYIVAHDYDRAEDYFKRAIGVEPPDGEAYSKYASFLWHVKRDLWAAEETFLEAISADPTNSYYAANYAHFLWNTGGEDTCFPLGSPDNGREV >Potri.013G099600.7.v4.1 pep chromosome:Pop_tri_v4:13:10489900:10497274:1 gene:Potri.013G099600.v4.1 transcript:Potri.013G099600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099600.v4.1 MHTIFSFTLHGQLLTTQTAATTRTSFLSYPTPHSLLKMRTLLNSRPLTPPPPFLTSNFHSSPPPCTLFHYSFRPNKRIHFLTPCSSLKQRKKQPETLRKTNAPQSLRWFLNPKGDDSDDKIKGDGGEAEEGLEGDTAFKGTLLAGVLLVGVVGGFGAVGYIYKDQINAFLNQFSGFIEGYGPAGYALFVAVYAGLEILAIPAIPLTMSAGLLFGSLIGTIIVSISGTVAASIAFLIARYFARERILKLVQGNKKFLAIDKAIGENGFKVVTLLRLSPLLPFSLGNYLYGLTSVKFIPYVLGSWLGMLPGTWAYVSAGAFGRAIIQEESEIGLGGGNGGLWTLGLGLLVTAIAATYVTRLAKDAVKDIE >Potri.014G003451.1.v4.1 pep chromosome:Pop_tri_v4:14:260335:266235:-1 gene:Potri.014G003451.v4.1 transcript:Potri.014G003451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003451.v4.1 MEQKKKLTLQLQTALALKILLSYGLIWLLNTCWEIEMQFWSDCVPLYKPKILQTPFYICSCGASPPAAAFSLLRNQRIYINGMPRLKHLNKFSHSLKLKLSPSNSSFRRPHVKVCFYR >Potri.019G013140.4.v4.1 pep chromosome:Pop_tri_v4:19:216184:220872:1 gene:Potri.019G013140.v4.1 transcript:Potri.019G013140.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013140.v4.1 MVRSNDPFWNDVEDMNNGGMKCKFCGHLFSENTSISRIKWHLSGVKGWGVKICEKVPEEVQNVVRAAMDGPPEKKLKTVAGSSNNKVTIAVLASAQEQNNDEVMAQEGDALFVGEREHWLSSFTDEDIELLRGIFHERTSINQADEPRGDPSQPTDPLCLDHGRYYDRPRAPLVNNDVILNLVEDMVRVRTEPVEEKEEKKDVEKNSGRLVQPGAAASSSGGFASNTNEIKGDALPTRKLVGQAFEDHKKTIWSWLMHDKVSRISIYGMGGVGKTTLVTHIYNQLLERPDTFNHVFWITVSQDTSINSLQKSFARCIGLDLSNEDEELHRAVILRKELMKKKKWVLILDDLWKAFDLQKLGIPDQVKGCKLIITTRSGRICQQMKTQHTIKVQPILKEEAWILFIERLGNDIALSPEVERIAVDVARECAGLPLGIITMAGSMRGVDDLHEWRNTLKKLKESKFRDMEDEVFQLLRLSYDRLENDLALQQCLLYCALYPEDHKIEREELICYLIDEGIVEGTSSRQAAFDEGHTMLNKLENVCLLESCFDRYHHRCVKMHDLIRDMALQILQMNSPVMVREYEEEIPDVDMWKEDLVRVSLKDCYFKEIPSSHSPRCPNLSTLLLRNNEGLEFIAASFFEQLHGLKVLNLSHTNIINLPDSVSELVSLTALLLKGCVNLRLVPSLKKLKALKRLDLSRTALEKMPQGMECVSNLRYLRMNGFGEKEFPNGILPKLSHLQVFVLEDAFLTCPIIVKGKEVGCLKELETVVCHFEGQSDFVEYLNSRDKTQSLSTYQIFVGLLDEDDYSRMMDDYHTSKTIALCNLSINRDGDFQVMFPNDIQELVIYKCSCDVSSLIKYATDLEVIKIWDSNSIESLVSSSWFCSAPLPLPSYNGIFSGLKEFNCYGCRSMKKLFPILLLPYLVNLKVIRVFYCEKMEEIVGTRSEEEAVMVEESSSSSTEFKLPKLRNLELRGLPELKSICSAKLVCDSLQHIWIANCQKLKRMPICLPLLENGQPSALPSLQNIFIYPREWWESVVEWDHPNAKNVLRPFVKFL >Potri.019G013140.5.v4.1 pep chromosome:Pop_tri_v4:19:216184:220873:1 gene:Potri.019G013140.v4.1 transcript:Potri.019G013140.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013140.v4.1 MVRSNDPFWNDVEDMNNGGMKCKFCGHLFSENTSISRIKWHLSGVKGWGVKICEKVPEEVQNVVRAAMDGPPEKKLKTVAGSSNNKVTIAVLASAQEQNNDEVMAQEGDALFVGEREHWLSSFTDEDIELLRGIFHERTSINQADEPRGDPSQPTDPLCLDHGRYYDRPRAPLVNNDVILNLVEDMVRVRTEPVEEKEEKKDVEKNSGRLVQPGAAASSSGGFASNTNEIKGDALPTRKLVGQAFEDHKKTIWSWLMHDKVSRISIYGMGGVGKTTLVTHIYNQLLERPDTFNHVFWITVSQDTSINSLQKSFARCIGLDLSNEDEELHRAVILRKELMKKKKWVLILDDLWKAFDLQKLGIPDQVKGCKLIITTRSGRICQQMKTQHTIKVQPILKEEAWILFIERLGNDIALSPEVERIAVDVARECAGLPLGIITMAGSMRGVDDLHEWRNTLKKLKESKFRDMEDEVFQLLRLSYDRLENDLALQQCLLYCALYPEDHKIEREELICYLIDEGIVEGTSSRQAAFDEGHTMLNKLENVCLLESCFDRYHHRCVKMHDLIRDMALQILQMNSPVMVREYEEEIPDVDMWKEDLVRVSLKDCYFKEIPSSHSPRCPNLSTLLLRNNEGLEFIAASFFEQLHGLKVLNLSHTNIINLPDSVSELVSLTALLLKGCVNLRLVPSLKKLKALKRLDLSRTALEKMPQGMECVSNLRYLRMNGFGEKEFPNGILPKLSHLQVFVLEDAFLTCPIIVKGKEVGCLKELETVVCHFEGQSDFVEYLNSRDKTQSLSTYQIFVGLLDEDDYSRMMDDYHTSKTIALCNLSINRDGDFQVMFPNDIQELVIYKCSCDVSSLIKYATDLEVIKIWDSNSIESLVSSSWFCSAPLPLPSYNDGGDSRDKIRRRSGYG >Potri.019G013140.1.v4.1 pep chromosome:Pop_tri_v4:19:216184:220872:1 gene:Potri.019G013140.v4.1 transcript:Potri.019G013140.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013140.v4.1 MVRSNDPFWNDVEDMNNGGMKCKFCGHLFSENTSISRIKWHLSGVKGWGVKICEKVPEEVQNVVRAAMDGPPEKKLKTVAGSSNNKVTIAVLASAQEQNNDEVMAQEGDALFVGEREHWLSSFTDEDIELLRGIFHERTSINQADEPRGDPSQPTDPLCLDHGRYYDRPRAPLVNNDVILNLVEDMVRVRTEPVEEKEEKKDVEKNSGRLVQPGAAASSSGGFASNTNEIKGDALPTRKLVGQAFEDHKKTIWSWLMHDKVSRISIYGMGGVGKTTLVTHIYNQLLERPDTFNHVFWITVSQDTSINSLQKSFARCIGLDLSNEDEELHRAVILRKELMKKKKWVLILDDLWKAFDLQKLGIPDQVKGCKLIITTRSGRICQQMKTQHTIKVQPILKEEAWILFIERLGNDIALSPEVERIAVDVARECAGLPLGIITMAGSMRGVDDLHEWRNTLKKLKESKFRDMEDEVFQLLRLSYDRLENDLALQQCLLYCALYPEDHKIEREELICYLIDEGIVEGTSSRQAAFDEGHTMLNKLENVCLLESCFDRYHHRCVKMHDLIRDMALQILQMNSPVMVREYEEEIPDVDMWKEDLVRVSLKDCYFKEIPSSHSPRCPNLSTLLLRNNEGLEFIAASFFEQLHGLKVLNLSHTNIINLPDSVSELVSLTALLLKGCVNLRLVPSLKKLKALKRLDLSRTALEKMPQGMECVSNLRYLRMNGFGEKEFPNGILPKLSHLQVFVLEDAFLTCPIIVKGKEVGCLKELETVVCHFEGQSDFVEYLNSRDKTQSLSTYQIFVGLLDEDDYSRMMDDYHTSKTIALCNLSINRDGDFQVMFPNDIQELVIYKCSCDVSSLIKYATDLEVIKIWDSNSIESLVSSSWFCSAPLPLPSYNGIFSGLKEFNCYGCRSMKKLFPILLLPYLVNLKVIRVFYCEKMEEIVGTRSEEEAVMVEESSSSSTEFKLPKLRNLELRGLPELKSICSAKLVCDSLQHIWIANCQKLKRMPICLPLLENGQPSALPSLQNIFIYPREWWESVVEWDHPNAKNVLRPFVKFL >Potri.019G013140.2.v4.1 pep chromosome:Pop_tri_v4:19:216077:220902:1 gene:Potri.019G013140.v4.1 transcript:Potri.019G013140.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013140.v4.1 MVRSNDPFWNDVEDMNNGGMKCKFCGHLFSENTSISRIKWHLSGVKGWGVKICEKVPEEVQNVVRAAMDGPPEKKLKTVAGSSNNKVTIAVLASAQEQNNDEVMAQEGDALFVGEREHWLSSFTDEDIELLRGIFHERTSINQADEPRGDPSQPTDPLCLDHGRYYDRPRAPLVNNDVILNLVEDMVRVRTEPVEEKEEKKDVEKNSGRLVQPGAAASSSGGFASNTNEIKGDALPTRKLVGQAFEDHKKTIWSWLMHDKVSRISIYGMGGVGKTTLVTHIYNQLLERPDTFNHVFWITVSQDTSINSLQKSFARCIGLDLSNEDEELHRAVILRKELMKKKKWVLILDDLWKAFDLQKLGIPDQVKGCKLIITTRSGRICQQMKTQHTIKVQPILKEEAWILFIERLGNDIALSPEVERIAVDVARECAGLPLGIITMAGSMRGVDDLHEWRNTLKKLKESKFRDMEDEVFQLLRLSYDRLENDLALQQCLLYCALYPEDHKIEREELICYLIDEGIVEGTSSRQAAFDEGHTMLNKLENVCLLESCFDRYHHRCVKMHDLIRDMALQILQMNSPVMVREYEEEIPDVDMWKEDLVRVSLKDCYFKEIPSSHSPRCPNLSTLLLRNNEGLEFIAASFFEQLHGLKVLNLSHTNIINLPDSVSELVSLTALLLKGCVNLRLVPSLKKLKALKRLDLSRTALEKMPQGMECVSNLRYLRMNGFGEKEFPNGILPKLSHLQVFVLEDAFLTCPIIVKGKEVGCLKELETVVCHFEGQSDFVEYLNSRDKTQSLSTYQIFVGLLDEDDYSRMMDDYHTSKTIALCNLSINRDGDFQVMFPNDIQELVIYKCSCDVSSLIKYATDLEVIKIWDSNSIESLVSSSWFCSAPLPLPSYNGIFSGLKEFNCYGCRSMKKLFPILLLPYLVNLKVIRVFYCEKMEEIVGTRSEEEAVMVEESSSSSTEFKLPKLRNLELRGLPELKSICSAKLVCDSLQHIWIANCQKLKRMPICLPLLENGQPSALPSLQNIFIYPREWWESVVEWDHPNAKNVLRPFVKFL >Potri.019G013140.3.v4.1 pep chromosome:Pop_tri_v4:19:216169:220902:1 gene:Potri.019G013140.v4.1 transcript:Potri.019G013140.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013140.v4.1 MVRSNDPFWNDVEDMNNGGMKCKFCGHLFSENTSISRIKWHLSGVKGWGVKICEKVPEEVQNVVRAAMDGPPEKKLKTVAGSSNNKVTIAVLASAQEQNNDEVMAQEGDALFVGEREHWLSSFTDEDIELLRGIFHERTSINQADEPRGDPSQPTDPLCLDHGRYYDRPRAPLVNNDVILNLVEDMVRVRTEPVEEKEEKKDVEKNSGRLVQPGAAASSSGGFASNTNEIKGDALPTRKLVGQAFEDHKKTIWSWLMHDKVSRISIYGMGGVGKTTLVTHIYNQLLERPDTFNHVFWITVSQDTSINSLQKSFARCIGLDLSNEDEELHRAVILRKELMKKKKWVLILDDLWKAFDLQKLGIPDQVKGCKLIITTRSGRICQQMKTQHTIKVQPILKEEAWILFIERLGNDIALSPEVERIAVDVARECAGLPLGIITMAGSMRGVDDLHEWRNTLKKLKESKFRDMEDEVFQLLRLSYDRLENDLALQQCLLYCALYPEDHKIEREELICYLIDEGIVEGTSSRQAAFDEGHTMLNKLENVCLLESCFDRYHHRCVKMHDLIRDMALQILQMNSPVMVREYEEEIPDVDMWKEDLVRVSLKDCYFKEIPSSHSPRCPNLSTLLLRNNEGLEFIAASFFEQLHGLKVLNLSHTNIINLPDSVSELVSLTALLLKGCVNLRLVPSLKKLKALKRLDLSRTALEKMPQGMECVSNLRYLRMNGFGEKEFPNGILPKLSHLQVFVLEDAFLTCPIIVKGKEVGCLKELETVVCHFEGQSDFVEYLNSRDKTQSLSTYQIFVGLLDEDDYSRMMDDYHTSKTIALCNLSINRDGDFQVMFPNDIQELVIYKCSCDVSSLIKYATDLEVIKIWDSNSIESLVSSSWFCSAPLPLPSYNGIFSGLKEFNCYGCRSMKKLFPILLLPYLVNLKVIRVFYCEKMEEIVGTRSEEEAVMVEESSSSSTEFKLPKLRNLELRGLPELKSICSAKLVCDSLQHIWIANCQKLKRMPICLPLLENGQPSALPSLQNIFIYPREWWESVVEWDHPNAKNVLRPFVKFL >Potri.001G065345.1.v4.1 pep chromosome:Pop_tri_v4:1:6221351:6222191:-1 gene:Potri.001G065345.v4.1 transcript:Potri.001G065345.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065345.v4.1 MFSCSHQSIFDRLYAREKKLYQEVRQLGGDPHRVPISSKRFGAVLSVE >Potri.007G052300.1.v4.1 pep chromosome:Pop_tri_v4:7:5186013:5188649:-1 gene:Potri.007G052300.v4.1 transcript:Potri.007G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052300.v4.1 MESQSQKKSLDRISSVQEPACTNPSCFFCTMNEQDPSLRKAKLARCFKEMPLRDDQEHVLVLRGIWNIAMTQPDDPEFPSLGVFDCMGKLIQRAVNDKEWLLRDQNIYIPYYAAHIIGSYTMNKVQFAEKAVKSNVVLPLIELLRGKISWVEQRVAVRSLGHLASHARTFEAIAEHEVEIIKLAMEIACNCIKTVYRRFIGVKDSKRVKYHSDLLTTGLGDLEIENRKAEEWASQLQCWSLHLLNCFACKGRSLNLICNKHFLKELGGMWGGLANCASPGGIGCIRTLCNFKTGRESLANSKEVIEILCNISRSSDDWQCMAIDCLLLLLKDTDTRYIVINTAALSLADLVELRSLNERKKVGEAITQALLQDYHKIKYGDLKLSSQDAERALKETWDLMVERRKREELMSEEELKERKNLVGMLKQEGNKKFWSGHIEKAVTRYTKALDLCPSKMRKERIVLYSNRAQGYLLLNNPEAVISDTTRALCLSTTANPHRKSLWRRAQAYDMKGLAKESLMDCLMFIHGRMKAEKTKNAKIPYFATRMIHKQMNATWLFATVAKSKDKYEAEVEKPKVLEHGEDQCQVTEIKERNDSVPDGSFMEGERRSRSKQGRPRQRKDAAGHCCRIGSSRCSEMC >Potri.012G120952.1.v4.1 pep chromosome:Pop_tri_v4:12:13856286:13857100:1 gene:Potri.012G120952.v4.1 transcript:Potri.012G120952.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120952.v4.1 MSYDSNKYQDSEGVYPPPPPPPCPPPMQGYYEAGPHVAPPRVSDPMKYGPQHLQQPPPPERTSQRDDDFGTGCCCC >Potri.015G095900.1.v4.1 pep chromosome:Pop_tri_v4:15:11741933:11747116:-1 gene:Potri.015G095900.v4.1 transcript:Potri.015G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G095900.v4.1 MESFSSLQILIILLVGCLCVDLGRLDVAAHSKNGMGVQPLSKIAIHKAVYSLHDNASITAYPYVLGAKGGSSQWITVEIECPNPTEDDWVAVFSPAKFNSSTCSSDDDKQDEPYICSAPIKYKFANDSDAGYTKTGKASLKFQLINQRADFSFALFSGGLSNPKLVAVSNFIKFANPKAPLYPRLSQGKSWDEMTVTWTSGYGITEAVPMVEWGLKGESQTRSPAGTLTFHQNSMCGIPARTVGWRDPGFIHTSFLRDLWPNSMYSYKLGHKLVNGSYIWSKSYSFKSSPYPGQESLQRVVIFGDMGKAERDGSNEFNNYQPGSLNTTDQLIKDLNAIDIVFHIGDITYANGYISQWDQFTSQVEPIASTVPYMIASGNHERDSPGTGSFYDGNDSGGECGVLAETMFYVPAENRAKFWYSTDYGMFHFCIADSEHDWREGSEQYKFIEKCLASADRKKQPWLIFAAHRVLGYSSSYWQSGSYGEPMGRESLQKLWQKYKVDIAFFGHVHNYERTCPIYQNQCVNTERSHYSGTVNGTIHVVVGGGGSHLGEFGPVQTTWSIYKDSDFGFVKLTAFNYSSLLFEYKKSSDGKVYDSFTISRDYRDVLACVHDGCEPITLAR >Potri.003G097300.1.v4.1 pep chromosome:Pop_tri_v4:3:12317285:12321260:-1 gene:Potri.003G097300.v4.1 transcript:Potri.003G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097300.v4.1 MDCLCCWSVSKPLYLLLFFYFFSCTAKAAFNLSTISFDEGYSPLFGDGNLVRSPDGRSARLLLDRFTGAGFISSRMYKYGFFSANIKLPGYYTAGLCVAFYTSNGDVFKKTHDELDIEFLGNTKGEPWRFQTNLYGNGSTSHGREERYRLWFDPSKEFHRYSILWTAKNIIFYIDDVPIREVIRNEEMGSEFPSKPMSLYATIWDASNWATSGGKYKVNYKYAPFVSEFKDLALEGCPSDPIEEFPSFDCYESDIARLENADYATITRRQRSAMRRFRQRYMYYSYCYDALRYPVPLPECVIIPSEKERFRDTGRLKFGGSHQGGKRRSRRRGRGRVQASNLEYDPDM >Potri.019G040200.1.v4.1 pep chromosome:Pop_tri_v4:19:5549655:5551715:1 gene:Potri.019G040200.v4.1 transcript:Potri.019G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040200.v4.1 MASFSTSRAKSKTIILFLNITFLFLFFFYLSTSYFIDQSTEPKVTANHSLVLQESIKTDGCSGIHDYIDYRSKCIYVKSHIGCRPKGYINYLQIFYCTCGQFSILGYIMLLLWLAVLFYLLGNTAADYFCPALESLSKLLKLSPTIAGVTLLSLGNGAPDVFASIVSFTRSSNGGVGLNSILGGAFFVSSVVVGVISLLSGPREIYVDKSSFIRDVCFFLFSLCSLLLIIIVGKISLWGAISFLSIYLGYVGVVCIMHFDRYRKENRLTVDGNQEEDFLERGIPLLGYVDHEKPILVDKTTADDQRSSLIFCNLNSPFFYYLGSLLYVLELPLSLPRRLTIPVVSEARWSRPFAVISVALAPILLAALCTSQKEKEFGSRSSLVAYVIAVLIGMVLCNLACVTTTKCSPPKKSLFPWLAGGFLMSVTWTYIIAEELVSLLISLGYVLGINPSVLGLTVLAWGNSLGDLIANVAMAMTGGADGAQIAISGCYAGPMFNTLLGLGISLVISSGSKYPSSFVVPEDPSLYETIGFLMGGLLWALVILPRKDMRLDKSLGIGLLAIYLCFLSLTLARSLGLLKLHGFS >Potri.018G145700.1.v4.1 pep chromosome:Pop_tri_v4:18:15091446:15099643:-1 gene:Potri.018G145700.v4.1 transcript:Potri.018G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145700.v4.1 MEGIVSSKQQQPLVFAYYVTGHGFGHATRVVEVVRHLILEGHIVHVVTAAPDFVYTSAIQSPRLFLRKELLDCGAVQCDALTVDRIASLEKYSETAVIPRETILATEVKWLQSIKADLVVSDVVPVACRAAADAGIRSVCCTNFSWDFIYAEYVVDAGSQHRSIVLQIAEDYSHCEFLIRLPGYCPMPAFRDVLDIPLVVRGLHKSRAEVRKELGVEEDVKLVIFNFGGQPAGWKLQEEFLPPGWKCVVCGGSDKEEYPPNFIKLPKDAYTPDVIAASDCMLGKIGYGTFSEAMAYKLPFVFVRRDYFNEELFLRNMLEHYHGGVEMIRRDLLTGCWQPYLERAIRLKPCYDGGVNGGEVAARILQDTALGKNHASDKPCGARRLRDAIVLGYQLQRVNNRIIGIPDWYNLEENKLVGRSSEGSQFLREVEDFEILHGDPQGLPDTMAFLKSLADIGAGHDSKKDTDDEVREDLAAAGLFNWEEEIFVARAPGRLDVLGGIADYSGSLVLQMPIREACHVAVQKNHPSKQKLWKHAQARHHADHEKLAPILEIVSLGSELNNRGPTFDMDLSDFKDDEKPISYEAARKYFAKDPSQKWAAYVAGTIVVLMTELGVCFENSISILVSSGVPEGKGVSSSAALEVATMSAIAAAHGLNIPPRDLALLCQKVENHVVGAPCGVMDQMTSACGEANKLLAMVCQPAEVLGVVDIPSHVRFWGIDSGLRHSVGGSDYGSVRIGTYMGRKIIKSTAAGLSKGNKKDDMDKDGIELLDNEASLDYLCNLPPHRYEGVYVDKLPETVTGEEFIKKYVNHEDSVTTIDPKHIYAVKAPTRHPVYENFRVEAFKALLTATTSDGQLSALGELMYQCHYSYGACGLGSDGTDRLVELVQEMQHSKASKSENGTLYGAKITGGGSGGTVCVIGKNFVGSNEQILEIQRRYHVATGFKPYVFEGSSPGAGKFGYLKIRRRNATE >Potri.008G043700.6.v4.1 pep chromosome:Pop_tri_v4:8:2463448:2469571:1 gene:Potri.008G043700.v4.1 transcript:Potri.008G043700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043700.v4.1 MVSTNDPIESFMNSIQVVRDALSPLELGIRKAAKDLETCWGVSKNDHKATRDSDTDNSSKVSIFTVKKKSVSLGNSENRHCGVSEEKRKGFLSIKVPVRSLLRMFSMNLESGHRNGGDDKVGVSKKLLKEKETRNEDGSCVNCLRFALTWSLLVNGFVQAFPSPFKTNKKRFQKAGDEDKEYLHLCKNGSKAKVSGELKQRELKVQSVKGYQNVNEKGKTEKHVSIECFIGFLFDLLIQNLQKFDQSLQERNVKGCKNNCSNSTPVPSQFDHLTAIMSIWEGQKVHVDGFLGNLSFARVGGLPSSIVGVSSSVNEEGDDGVSSAPTNSTEDTGGSSPQKLASGILSIPLSNVERLRSTLSTVSFTELIELVQQLGRSSKEYPDKKKLFSVQDFFRYTETEGRRFFEELDRDGDGQVTLEDLEIALRKRKLPRKYAREFMHRTRSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNSGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPPDRLQDDPRNIWFEAATVVAVAPPVEIPAGSVLRSALAGGLSCALSCSLMHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSIPAIWGQFSSHGLRTGIFEATKLVLINVAPTLPDIQVMLIIMLLGLLIFHLQVLNHLNLGLPKSLEWCLIAKSSNWQ >Potri.008G043700.3.v4.1 pep chromosome:Pop_tri_v4:8:2463403:2469709:1 gene:Potri.008G043700.v4.1 transcript:Potri.008G043700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043700.v4.1 MVSTNDPIESFMNSIQVVRDALSPLELGIRKAAKDLETCWGVSKNDHKATRDSDTDNSSKVSIFTVKKKSVSLGNSENRHCGVSEEKRKGFLSIKVPVRSLLRMFSMNLESGHRNGGDDKVGVSKKLLKEKETRNEDGSCVNCLRFALTWSLLVNGFVQAFPSPFKTNKKRFQKAGDEDKEYLHLCKNGSKAKVSGELKQRELKVQSVKGYQNVNEKGKTEKHVSIECFIGFLFDLLIQNLQKFDQSLQERNVKGCKNNCSNSTPVPSQFDHLTAIMSIWEGQKVHVDGFLGNLSFARVGGLPSSIVGVSSSVNEEGDDGVSSAPTNSTEDTGGSSPQKLASGILSIPLSNVERLRSTLSTVSFTELIELVQQLGRSSKEYPDKKKLFSVQDFFRYTETEGRRFFEELDRDGDGQVTLEDLEIALRKRKLPRKYAREFMHRTRSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNSGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPPDRLQDDPRNIWFEAATVVAVAPPVEIPAGSVLRSALAGGLSCALSCSLMHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSIPAIWGQFSSHGLRTGIFEATKLVLINVAPTLPDIQVQSVASFCSTFLGTAVRIPCEVLKQRLQAGLFDNVGQAIVGTWQQDGLKGFFRGTGATLFREVPFYVAGMCLYGESKKVAQQLLRRELEPWETIAVGALSGGLTAVVTTPFDVMKTRMMTAPPGRTVSMSFIVFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKNEEAARSAVSEKS >Potri.008G043700.5.v4.1 pep chromosome:Pop_tri_v4:8:2463477:2469711:1 gene:Potri.008G043700.v4.1 transcript:Potri.008G043700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043700.v4.1 MVSTNDPIESFMNSIQVVRDALSPLELGIRKAAKDLETCWGVSKNDHKATRDSDTDNSSKVSIFTVKKKSVSLGNSENRHCGVSEEKRKGFLSIKVPVRSLLRMFSMNLESGHRNGGDDKVGVSKKLLKEKETRNEDGSCVNCLRFALTWSLLVNGFVQAFPSPFKTNKKRFQKAGDEDKEYLHLCKNGSKAKVSGELKQRELKVQSVKGYQNVNEKGKTEKHVSIECFIGFLFDLLIQNLQKFDQSLQERNVKGCKNNCSNSTPVPSQFDHLTAIMSIWEGQKVHVDGFLGNLSFARVGGLPSSIVGVSSSVNEEGDDGVSSAPTNSTEDTGGSSPQKLASGILSIPLSNVERLRSTLSTVSFTELIELVQQLGRSSKEYPDKKKLFSVQDFFRYTETEGRRFFEELDRDGDGQVTLEDLEIALRKRKLPRKYAREFMHRTRSHLFSKSFGWKQFLSLMEQKEPTILRAYTSLCLSKSGTLQKSEILASLKNSGLPANEDNAVAMMRFLNADTEESISYGHFRNFMLLLPPDRLQDDPRNIWFEAATVVAVAPPVEIPAGSVLRSALAGGLSCALSCSLMHPVDTIKTRVQASTLTFPEIISKLPQIGVRGLYRGSIPAIWGQFSSHGLRTGIFEATKLVLINVAPTLPDIQVQSVASFCSTFLGTAVRIPCEVLKQRLQAGLFDNVGQAIVGTWQQDGLKGFFRGTGATLFREVPFYVAGMCLYGESKKVAQQLLRRELEPWETIAVGALSGGLTAVVTTPFDVMKTRMMTAPPGRTVSMSFIVFSILRHEGPLGLFKGAVPRFFWIAPLGAMNFAGYELARKAMDKNEEAARSAVSEKS >Potri.014G181600.3.v4.1 pep chromosome:Pop_tri_v4:14:14187851:14188506:1 gene:Potri.014G181600.v4.1 transcript:Potri.014G181600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G181600.v4.1 MNEDDAPTVITIPSTVTSTSTPTTTTTTTVVKRESSESSLLCKTRYKFWVLSAILLLAFWSMFTGSITLKWSTGDLSQHPDNLGFQTQDDVDILEVEEKKKLVWRMWDVYTHSSRGTRLPRFWERAFQAAYEALASDVTAVRDAAVYEIAKLSISSTINLDDPFPVQSTTVSNSLLLLAAA >Potri.014G181600.1.v4.1 pep chromosome:Pop_tri_v4:14:14187851:14188916:1 gene:Potri.014G181600.v4.1 transcript:Potri.014G181600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G181600.v4.1 MNEDDAPTVITIPSTVTSTSTPTTTTTTTVVKRESSESSLLCKTRYKFWVLSAILLLAFWSMFTGSITLKWSTGDLSQHPDNLGFQTQDDVDILEVEEKKKLVWRMWDVYTHSSRGTRLPRFWERAFQAAYEALASDVTAVRDAAVYEIAKLSISSTINLDDPFPVQSTTPKEKISQVSNGKY >Potri.018G087400.1.v4.1 pep chromosome:Pop_tri_v4:18:10530506:10532744:-1 gene:Potri.018G087400.v4.1 transcript:Potri.018G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087400.v4.1 MGNGTSATKEALPIATPFKLPSPLPSLPQGEGFGNGIINLGSGLQVCQVVSLNKIWATNEGGPDNLGATFFEASQMPQGFSMLGCYSQPNNRMLHGWVLAGKDETGDALTKPVDYTLVWSSESLQIKQEGVGYIWLPTPLDGYKALGCVVTTSPEKPSLEKVRCVRSDLTDDCQIDKWIWGQGKESDPNGFNVFSLRPSNRGTQAMGVSVGTFVAQNTTSASISLACLKNIESNLSCMPALDQVQAIFQAYSPRIYFHPDEEYLPSSARWYFTNGALLYKKDEESKPVPIESTGSNLPQGGSNDGSYWLDLPVEEAARERVKRGDLQDCQVYLHIKPMLGATFTDIVAWLFYPFNGPGRAKVDLITVPLGKTGQHVGDWEHVTLRISNFNGQLCGIYLSAHSGGTWYDASELEFQDGNKAVIYSSLNGHAMYAKPGLVLQGSGNIGIRNDTAKSNKFIDTGARFSVVSAEYMGSSVVEPPWLNYLRKWGPNITYDLAEEAETVEKLLPGKLKSAFDKFVKSLPKEIFGEEGPTGPKLKRNWTGDEV >Potri.007G064401.1.v4.1 pep chromosome:Pop_tri_v4:7:8057879:8058991:1 gene:Potri.007G064401.v4.1 transcript:Potri.007G064401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064401.v4.1 MPRFSRILYASADAAAPPAAVSVQSDLMVILAALLCALLCVVGLILMARCACTRRVTGGSPSSDKANKGVKKKNLQLLPRFSYSAGDGSGEGGGATTKFGSTECAICLGEFVEGDEVRVLPQCGHSFHVVCIDTWLRSHSSCPSCRQILVVARCQKCSHFPASTSSASCGGGPATGEDCNVKNSDTNHAQEM >Potri.012G005100.1.v4.1 pep chromosome:Pop_tri_v4:12:69867:71733:1 gene:Potri.012G005100.v4.1 transcript:Potri.012G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005100.v4.1 MGFEGDEESAANQVSTKSRFRYNSPLVQITLIGLVCFCCPGMFNALSGMGGGGQVNPTAANNANTALYTTFAIFGVLGGGIYNILGPRLTLAAGCSTYVLYAGSFLYYNHKQHQSFAIVAGAILGIGAGFLWAGEGAIMTSYPPPHRKGTYISLFWSIFNMGGVIGGLIPFILNYNRSEAASVNDGTYIGFMCFMTAGTLLSLAILPPSKVVRDDGTRCTNIKYSKVSTEAVEIGKLFFNWKMLLIAPAAWASNFFYSYQFNNVNGALFNLRTRGLNNVFYWGAQMVGSVGIGYILDFSFQSRRKRGFVGIGIVAALGTAIWAGGLAKQVGYKHNDLPPKLDFKDSGSDFAGPFVLYFSYGLLDAMFQSMVYWVIGALADDSEILSRYVGFYKGVQSAGAAVAWQVDAHKVPLLSQLIVNWSLTTVSYPLLAILVMLAVKDDQKGEEPSINPASMDNNSKPV >Potri.019G060210.1.v4.1 pep chromosome:Pop_tri_v4:19:9982484:9984171:-1 gene:Potri.019G060210.v4.1 transcript:Potri.019G060210.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060210.v4.1 MTERKMHDNRFQRKWVMCPTCRQHTDFGNIAYADDKRDKSCSSAMLDAIQGCEKTEASLSVQGSYGTKVEAVTRRILWMKSSDPREKVLVFSSWNDVFDVLEHALNANEITYIRMKGGRKSHVAISEFRAQNSSPKRTDRHQQETKSVQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPADEAQAVSRVHRFGQEKRTLVHRFIVCSFSFSFFLFFFC >Potri.013G090300.1.v4.1 pep chromosome:Pop_tri_v4:13:9151508:9153317:1 gene:Potri.013G090300.v4.1 transcript:Potri.013G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090300.v4.1 MGTCLSEKLSSSRERVIKELVRGHEFAAQLQIRLQKPCGNFDGRFSSAGELVGKILRSFSETLSVITSSESAGGEICQNLASSLGDSACYDDRRSEDSGESKKRPATTKDRRGCYKRKKISQSWTTVCPTIEDSHAWRKYGQKGILNAKYPRSYFRCSRKYEQGCKATKQVQRMEDNPDLYHTTYIGSHTCRNIPEAPQIITDSDPWESYNLTSSVISSHSKIPRYEEQDHHPIMGSPCEVEQESKEDQTPSGLADNVSSLDSFMWKDLIPFEEPVDEPSMILRSDYEAVDSIHLFSCTEVTSQSLDMDFVVKSFDFDCDFHFD >Potri.013G071501.1.v4.1 pep chromosome:Pop_tri_v4:13:5850751:5855917:1 gene:Potri.013G071501.v4.1 transcript:Potri.013G071501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G071501.v4.1 MSLRFSLSLCFPSLNHDPVLIMSNSMTSPHGHVQPDEYVPSSRYSEFAFNDYETPVDRILLSDNPSSSNTTSAPQHTQGVGTLCRHCDTFFWFQERLARSTKTNSCFTLCCNNGKVVLPAPQETPNYLDNLLNPTSGSSSKKFRREIHAYNSMFAFTSMGAAIDHSVNAQPGSYVFKVNGYCHHLMGSLLPMDNRVRLFRHARQRFGDAEVPKFKLRLIGKRDNDSRQYDDPSLNDIGGLVVGDIGHSRSDRDIIIESSSGTLQRISKLHPKFMALHYPLLFPYGEDGFHTDIMLAHQEQQHPKKDKREKQESTLIKGGRLYQQFLVDAFVNVEEDRLDFIRANKENLRSEHYKGIHDAIARGDVNGSTTGKIILPSSLTGSPRYMINNYQDAMAICRCYGNPDLFITFTCNVKWLEIHREIKKTRNYQPEDKPDIIVRVFHSKLIDMMSFIKSGKPFGRTITVPKRGLPYTHLLVWLAPEFKFRSPKDVDSVISAEIPEKNQDPVCYEIVSKFMMHGPCGAANPKAQCMEKNKSYGAHINVEICCQSMLIKYLFKYVSKEADRCRMVMKKETNDEIQAYLNCRFICPYEAVWRLFQFPIHSRSPAVERLQVHLPLQHHVFFSSNESLPSVLRRPEINKTMLTEWFERNRVDFPSKYVWDARQKEWIIRSRGFCFSRIVYVHLAAKELYFLRMLLNHVKGAKSFEDLRQISGIVFATFQLACKALGLLGDDKEWSDVFGEAIPTTSSPQLRQLFVNIIMFCEVADPNSLFDQFWHFMHDDIEYRLRSSFSMLNLHHKLPMPNGRLMDEVRNKLLREELDYDLAELRNNHSLAIPHLNPCQKNIYDSVITSILQNKQALIFVHGHGGTRKTFLWHTIINRVRSEGLILPVVASSGIASLLLLRGRTSHSRFKIPLEVNENSTCEIKHNTHLSRLLEMTSLIVWDEAPMNNRFYFEALDRSLQDILKTLDGRRLNNPFGGKSILLGGDFRQILPEELRQFAEWILLISDGQLCDLAIPDDHDAAFIKIPCELQGLAHLDPFYFKERAIVTLKNLTVSEINNFILDIIPGHKYSFLSCDSIQTTSGDIDNIDLLYPIEFINQLDFNGVPQHNISLKIGTPIMLLRNLSPSAGLCNGTRLIVTQLAERIIEAQIITGQSLKIVGVFLKEQVFSHGQLYVALSRVTSKNGLKIISFDHEGKPSCYAKSIGYKDIIQLLPKG >Potri.001G286900.2.v4.1 pep chromosome:Pop_tri_v4:1:29943458:29946759:-1 gene:Potri.001G286900.v4.1 transcript:Potri.001G286900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286900.v4.1 MATARMMSAASNTSASCLPHKRPFFSLSQKVPNFNSLCFNNTTKRVSKRLFSCKSIYNPDVRIKEEGQPETLDYRVYFLDSSDKKVSPWHGIPLHLGDGAFNYVVEIPKESSAKMEVATDEQFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSLANAEVEGAFGDNDPVDVVEIGERRGKIGEILKIKPLAALAMIDEGELDWKIVAISLDDPRASLVNDIDDVEKHFPGTLTAIRDWFRDYKIPDGKPANKFGLGNKAANKDYALKVIMETNESWAKLVKRSVPAGELSLV >Potri.008G215600.1.v4.1 pep chromosome:Pop_tri_v4:8:16639225:16640534:-1 gene:Potri.008G215600.v4.1 transcript:Potri.008G215600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G215600.v4.1 MSTSKTFDKPLEGYDTLNIHAGFALLQRNTTPPQTGERRGRRKQAEPGRFLGVRRRPWGRYAAEIRDPTTKERHWLGTFDTAHEAALAYDRAALSMKGTQARTNFNYSDNTTFHSLLTPFDIQAFLPQSQFLTNTQIKQPTNQSSPVKLETCQNMTPNQSSSDTYAESSDGSAHETNSFFFSNEDSNSGYLGCIVPDNCLRPPPSSTSSKTTKSNASNDQYSCSMTASTSLEDHSQCNKYAPPLEITNVPTKAYTPGNFPGFDELNHGFWGDQLSWDGNSGDLSAMMNNPLMVENVCMDTLYSVDNPPTYGLMPQATSSVSSSSLSYPAYGDAVNFGYSLF >Potri.009G047200.1.v4.1 pep chromosome:Pop_tri_v4:9:5305274:5305583:-1 gene:Potri.009G047200.v4.1 transcript:Potri.009G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047200.v4.1 MRFGICHFAYVFFALMMMMSISPNTIRLSGGNENKLAVARRLVKVGINDYEEPGASVKHRPAPPGDEFGDKAQDHQ >Potri.017G097001.1.v4.1 pep chromosome:Pop_tri_v4:17:10880629:10887560:1 gene:Potri.017G097001.v4.1 transcript:Potri.017G097001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G097001.v4.1 MSSSSSSKYLSLTNSSLFTPLHLSFLFLHLTILVTGDLPPPYVPLDSIALDCGSSANSVGNVGQWTADINSRVALLDQNNLSTHPTENVASTSFVPYYTARVSLSQFTYTFRVNKTGPKFVRLHFNPASYTAFGLRWFFDSFNRSKASFSVTSGRYTFLSNFSGFHYTDPLGERSYAREFILNVEGEQKNLSITFTPNPHLADAYAFINGIEIVSMPTNLYYTTAGDPGLHNVDKDVNSPLQKETALELMYRINVAANYIEPYNDSGMFRSWVNDVDYLTDARPSVYQYNGTIQIQHNNHTRYAAPDVLYRTARTMGPNSTVNEEYNMTWEFPVHSTFTYFVRLHFCQFIPIILREGDLIFQIYIANQTAELYADIISWADGNGVPIYKVYEVKMHARGIEEVQNLSIALHPSPQSFSFSEAMLNGAEIFKLSKSDRLSGPNPGVYQDRPISNTPPSATSTKPKHSLRRTVAIIGAAVSGIVVVSVLFFLIFRRRVQKFKDLVSGDAASNLSPLVSSSRKSTKTHRPSLPSELCYRFSLVEIIAATNNFDDSFIIGVGGFGNVYKGLFDGGVNRAAIKRLNPSSQQAMLSQLRFRHLVSLIGYCNDNNEMILVYDYMARGTLRDYLYRTDNPPLSWTQRLEICIGAARGLHYLHTGAKHTVIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTSTSKALRDDL >Potri.010G227000.3.v4.1 pep chromosome:Pop_tri_v4:10:21039860:21047992:1 gene:Potri.010G227000.v4.1 transcript:Potri.010G227000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227000.v4.1 MASNSTVRVSSRGAERQLLQTQTNPLHGSSSSSSRNGNSQQNNNSNSNSSHSAVRSKAPPPPAASRRSVTPSRSHSFDFDNDHGRVRVAVRSRPRNAEELILDADFNDCVELFPELKRLKLKKNNWSSESYRFDEVLTETASQKRVYEVVAKPVVQSVLSGYNGTVMAYGQTGTGKTYTVGKLGKDDASERGIMVRALEDILASTTRGSDIVEVSYLQLYMESIQDLLAPEKINIPINEDARTGEISLPGASVVKVEDLDHFSELLQIGEANRHAANTKQNTESSRSHAILMVYVRRSINQKAEDETTSQEKDVKSNLSGGNGIPRVRKSKLLIVDLAGSERLDKSGSEGHLLEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSGQHHAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLETQVDHLTAELEREKKLRECEKLDLEKQLKQCQVSFSESEKNLVTRSEFLQKENTRLEVEMQDILSELESQKGCNDLMRDKVSQLEISLNNSQQQQQLENSTYQKMLADTTQMYEKKISELIKQLENECARCERAEERLNLTKNLLGDYQKSIKQHESEDSKYQKVLADTTQMYEKKIAELNKLLGDERSHFASAEEQLDLMKKLLSDSQKSIEQHEVENSVYQKALADTTQLYEKKIAELIKQVEDEHTRLEGAEEQLDLANKLLSDQQHLMQDLKETAELRMKLQRICQAHESAQTELQSLKLEHKNLSREKAILSEELHDMKQALAAEEKQRKSIEHELDKLKKSAPESDKDFEDKKPFGKENIGNGSSTFGNLKGLHKSNSSKAALSSQRATIAKICEEVGLKKILQLLTSEDSDVQIHAVKVIANLAAEDINQEKIVEEGGLDALLMLLKSSQNTTVLRVASGAIANLAMNELNQGLIMSKGGGQLLAKTAFKTDDPQTLRMVAGALANLCGNESLHMILKEDGGINALLGMARSGNNDVIAQVARGMANFAKCESRGIIQGHRKGRSLLIEDGVLEWLVSYSNTASASTRRHVELALCHLAQNDNNDREFISCGGVRELVRISVESNREDIRNLAKKTLKMNPTFQAEVNPEWL >Potri.010G227000.4.v4.1 pep chromosome:Pop_tri_v4:10:21039720:21048055:1 gene:Potri.010G227000.v4.1 transcript:Potri.010G227000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227000.v4.1 MASNSTVRVSSRGAERQLLQTQTNPLHGSSSSSSRNGNSQQNNNSNSNSSHSAVRSKAPPPPAASRRSVTPSRSHSFDFDNDHGRVRVAVRSRPRNAEELILDADFNDCVELFPELKRLKLKKNNWSSESYRFDEVLTETASQKRVYEVVAKPVVQSVLSGYNGTVMAYGQTGTGKTYTVGKLGKDDASERGIMVRALEDILASTTRGSDIVEVSYLQLYMESIQDLLAPEKINIPINEDARTGEISLPGASVVKVEDLDHFSELLQIGEANRHAANTKQNTESSRSHAILMVYVRRSINQKAEDETTSQEKDVKSNLSGGNGIPRVRKSKLLIVDLAGSERLDKSGSEGHLLEEAKFINLSLTSLGKCINALAENSPHIPTRDSKLTRLLRDSFGGSARTSLIITIGPSGQHHAETTSTIMFGQRAMKIVNMVKLKEEFDYESLCRKLETQVDHLTAELEREKKLRECEKLDLEKQLKQCQVSFSESEKNLVTRSEFLQKENTRLEVEMQDILSELESQKGCNDLMRDKVSQLEISLNNSQQQQLENSTYQKMLADTTQMYEKKISELIKQLENECARCERAEERLNLTKNLLGDYQKSIKQHESEDSKYQKVLADTTQMYEKKIAELNKLLGDERSHFASAEEQLDLMKKLLSDSQKSIEQHEVENSVYQKALADTTQLYEKKIAELIKQVEDEHTRLEGAEEQLDLANKLLSDQQHLMQDLKETAELRMKLQRICQAHESAQTELQSLKLEHKNLSREKAILSEELHDMKQALAAEEKQRKSIEHELDKLKKSAPESDKDFEDKKPFGKENIGNGSSTFGNLKGLHKSNSSKAALSSQRATIAKICEEVGLKKILQLLTSEDSDVQIHAVKVIANLAAEDINQEKIVEEGGLDALLMLLKSSQNTTVLRVASGAIANLAMNELNQGLIMSKGGGQLLAKTAFKTDDPQTLRMVAGALANLCGNESLHMILKEDGGINALLGMARSGNNDVIAQVARGMANFAKCESRGIIQGHRKGRSLLIEDGVLEWLVSYSNTASASTRRHVELALCHLAQNDNNDREFISCGGVRELVRISVESNREDIRNLAKKTLKMNPTFQAEVNPEWL >Potri.011G113784.1.v4.1 pep chromosome:Pop_tri_v4:11:14385883:14388016:1 gene:Potri.011G113784.v4.1 transcript:Potri.011G113784.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113784.v4.1 MIYDWFEERLEIQTIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTVANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLMHFPMIRKQGISGPL >Potri.017G122200.4.v4.1 pep chromosome:Pop_tri_v4:17:12734318:12738132:1 gene:Potri.017G122200.v4.1 transcript:Potri.017G122200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122200.v4.1 MALQNIGASNSDDAFYRYKMPKMVTKVEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGSHETIKLAGLLENFIKKYVQCYGCGNPETEIIITKNQMLQLKCAACGFVSDVDMRDKLTSFILKNPPESKKGSKEKKALRRAEKERLKEGEAADEELKKLKKEGKKKGSSSKDVPPKASSTKKKAHGSDEERISPTHSQVDEKEDVDDNDDDDVQWQTDTSLEAARQRIQEQLSAATADMVVLSTDETEKKAKAKASSKENGSPKSASPAREEKPKPDNGSSSTHETLVIEIKENLKKGVSASQLRSTLSSLNGSAQEKIDALFEALFEGVVKGFVKEVDKKKNYLAAAVAQDERSQLLLLRAIEAFCIKSSSSALREVGLVLKALYDADVLEEECIVHWYQEGLARGNKDSQIWKNVEPFIKWLQSAESESEEE >Potri.001G421000.2.v4.1 pep chromosome:Pop_tri_v4:1:44940366:44943673:-1 gene:Potri.001G421000.v4.1 transcript:Potri.001G421000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G421000.v4.1 MVQKLEAIKGGGGSIRVGTTGTIGDLMTREMESIKPSPQASASGQGKPRTFPVSMPCSATTPRKLQARKSLDEASSSGCRSGIGHRSPETTRKVKSFNKSTHRMPMLGSDNSTLDRTPSREKSDKKATHIVEIVDIKCGNPDRAWANPITNKLKKLGFSKLSESID >Potri.010G028700.1.v4.1 pep chromosome:Pop_tri_v4:10:4135609:4147140:1 gene:Potri.010G028700.v4.1 transcript:Potri.010G028700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028700.v4.1 MSFSFFKASRPKTPQEVVKAMKDSLVALDTKTVVEVKALEKALEEVEKNFVSMRCMLCGDGEVESNTDQVSQLALEVCKEDVLALMIHKLPNLGWEARKDLVHCWSILLKQKVDSRYCSVEYIENHFEFLDFLVVCYDNKEIALNCGLMLRECIKFPTLAKYILESASFELFFKFVELPNFDVASDAFSTFKDLLTKHGTVVAEYLTAHYDEFFDQYEKLLTSSNYVTRRQSLKLLSEFLLEPPSSHIMKRYIQEVRYLKVMMTLLKDSSKNIQISAFHIFKVFVANPNKPREVKVILAKNHGKLLELLHNLSAGKGAEDEQFEEEKELIIKEIDRLSRLSNPDH >Potri.008G142500.1.v4.1 pep chromosome:Pop_tri_v4:8:9607301:9611863:-1 gene:Potri.008G142500.v4.1 transcript:Potri.008G142500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142500.v4.1 MAAASSSATFFGRAREENENQMIQQRSSTTTSSTVPTTEPPKKRRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTSLNTIGTNLYGNNHMSLGLSQVGSQISQLQVHHPSGNNMLRLGNAGAAKFEHLIPPPNPSSIPMMHSSGFFMGDANQGPFPNKSLQGLMQLPDLQSNTNNSSASNLFNLGFFPNNSNASRVSSNENANTGSTSLVNSGFLNANLFNNVNGADQGTTLFSSNMGGDHVGSGTMSSLFNTSMQNISPYMSATALLQKAAQMGSTTSSNSPTSLLKGLGSSSTTGAKSVRPHVSTNFGSSFGNPTVGESLETQMESESQFQGLMNSLANGSSSIFGNEQDNSYTGFNSSNFSKVGEEKLHQSLAGSDKLTLDFLGVGGRVRNVGGGFPQGDQHSMQ >Potri.008G142500.2.v4.1 pep chromosome:Pop_tri_v4:8:9607265:9611782:-1 gene:Potri.008G142500.v4.1 transcript:Potri.008G142500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142500.v4.1 MAAASSSATFFGRAREENENQMIQQRSSTTTSSTVPTTEPPKKRRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTSLNTIGTNLYGNNHMSLGLSQVGSQISQLQVHHPSGNNMLRLGNAGAAKFEHLIPPPNPSSIPMMHSSGFFMGDANQGPFPNKSLQGLMQLPDLQSNTNNSSASNLFNLGFFPNNSNASRVSSNENANTGSTSLVNSGFLNANLFNNVNGADQGTTLFSSNMGGDHVGSGTMSSLFNTSMQNISPYMSATALLQKAAQMGSTTSSNSPTSLLKGLGSSSTTGAKSVRPHVSTNFGSSFGNPTVGESLETQMESESQFQGLMNSLANGSSSIFGNEQDNSYTGFNSSNFSKVGEEKLHQSLAGSDKLTLDFLGVGGRVRNVGGGFPQGDQHSMQ >Potri.011G128600.2.v4.1 pep chromosome:Pop_tri_v4:11:16169171:16172732:-1 gene:Potri.011G128600.v4.1 transcript:Potri.011G128600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128600.v4.1 MPWRVMVTQGGDVLRFTWIEQTQSWLLYLTLDTDNCERFALCGANGICSINSSPMCGCLNGFVPKVQSEWELMDWSSGCVRRTPLNCSGDGFQKVSAVKLPQTKTSWFNRSMNLEECKNTCLNNCSCTAYSNLDIRDGGNGCLLWFNDLLDVRILVENEPDIYIRMAASELDNGYGVKIETKAKEKKRIILRVVLSTGILFLGLALVFYVWKRHQMKNRKMTGVSGISSNNKHKNKDLEVLLFTIDTLASATNNFSLNNMLGGGGVGHVYKGTLKDGLEIAVKRLSKSSRQGLDEFKNEVRHIVNLQHRNLVKLLGCCIEGEEKMLIYEFLPNKSLDFFIFDDTRSVLLDWPKRYNIINGIARGLLYLHQDSRLGVIHRDLKASNILLDYNMHPKISDFGMARGVEGNETESKTRKVVGTYGYISPEYAFHGLYSLKSDVFSFGVLVLETVSGNRNRGFYHSDHQLNLLGHAWTLFNEGRPSELIAESTIETCNLSEVLRVIQLGLLCVQESPEDRPSISYVVLMLGNEDKLPQPKQPGYFTARDVIEASNLPSHSKRYSTNQCSISLVEAR >Potri.006G176300.2.v4.1 pep chromosome:Pop_tri_v4:6:18118962:18119715:1 gene:Potri.006G176300.v4.1 transcript:Potri.006G176300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G176300.v4.1 MGKRILIAMGIFASLLSVAVAIPGIATFYTNYVPSACYGNKSFGVMIAAANDSLWNNGAACGKVFHVTCKGPRNPVPHPCTGKTVTVKVVDHCPGCPSTLDLSKEAFTQIANPVAGIINIDYIQ >Potri.010G173050.1.v4.1 pep chromosome:Pop_tri_v4:10:17405466:17406568:-1 gene:Potri.010G173050.v4.1 transcript:Potri.010G173050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173050.v4.1 MTFPQFTIHRHSSQTTESFDPLSRFSNDLIALLETRESSGFSNFTVNLGHLLSNSSIFYFHDPTSCKKEIHECNPPQACQTKEQFPTPRRYMLILSLPWNKSCSGKQQMKTRTLPFSDYWRSFREKDPPGRPGKDTEWTENESFGE >Potri.004G088100.1.v4.1 pep chromosome:Pop_tri_v4:4:7389334:7390664:1 gene:Potri.004G088100.v4.1 transcript:Potri.004G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088100.v4.1 MRKPCCDKQGNNKGAWSTQEDQKLIDYIQTHGEGCWRSLPEAAGLHRCGKSCRLRWINYLRPDIKRGNFGQDEEDLIIKLHALLGNRWALIAGRLPGRTDNEVKNYWNSHLKKKLINMGIDPNNHRLNQILPRLQAEPAAPVIAISTTTGSKNNVAASKPKNLSNGDSDRVSDTATCLEDEYESLVTQQAATSGSSSINIDLNIAAPASPGHRTTFGNKQQNCKLGKTSQVERDPSSLPTLLLFR >Potri.018G027700.1.v4.1 pep chromosome:Pop_tri_v4:18:2059213:2063343:-1 gene:Potri.018G027700.v4.1 transcript:Potri.018G027700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027700.v4.1 MSKVFEFAGENDFCPGGPIYTNPKEPSLFLSLGLPVDVYFPSRKRSRISAPFVFSEERFEQKKQASIEVLPDECLFEIFRRLPGGEERSACACVSKRWLILLSSICRDELCSQNRSAVKNTEVKSKIEDEEIEGDGCLSRSLEGKKATDIRLAAIAVGTANCGGLGKLFIRGSNSSQGVTKVGLRAIARGCPSLKVLSLWNLPSVGDEGLSEISNGCHMLEKLDLSQCPAITDKGLLAIAKNCINLTDLVLESCSNIGNEGLQAVGKHCTNLKSISITNCPGVGDQGIAALVSSASNVLTKLKLQSLNITDVSLAVVGHYGKAVTDLVLTSLPNVSERGFWVMGNGQGLHKLKSLTVTSCLGVTDIGLEAVGKGCPNLKQFCLHKCAFLSDNGLVSFAKAAETLESLQLEECHRITQFGFFGSLLNCGANLKAISLVNCFGIRDLKLDLPELSPCNSLRSLSIRNCPGFGDGSLALLGNLCPQLRNVELSGLQGVTDAGFLSVLENCEAGLVKVNLSGCINLSDKVVSVMTEQHGWTLEMLNLDGCRRITDASLVAIAENCFLLYDLDVSKCATTDSGIAAMARSKQLCLQVLSVSGCSMISDKSLPALVKLGQTLLGLNLQHCNAISSSTVDILVERLWRCDILL >Potri.006G081200.2.v4.1 pep chromosome:Pop_tri_v4:6:6024108:6027149:1 gene:Potri.006G081200.v4.1 transcript:Potri.006G081200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081200.v4.1 MSSPPRLFLILFLLVSTSSFLFQISSAAHEDEDHDIDVDPNLKFENPRIRRAYIALQSWKQAIYSDPLNFTANWKGSDVCSYMGIFCAPSPQNKKVRVVAGIDLNHADIAGYLPTELGLLTDLALFHINSNRFCGVVPNSFSKMKLLHELDLSNNRFVGKFPKVVLSLPSLKYLDLRFNEFEGSVPSQLFDKPLDAIFLNDNRFQFGIPENLGNSPVSVLVFANNNLGGCIPGSIGKMGKTLNEIILMNDNLTGCLPPQIGMLKEVTVFDVSFNHLQGSLPSSIGNMKSVEQLDIAHNSFTGAIPASVCQLPNLQNFTFSFNYFTGEAPSCAAIGGVSNGTQNCIPGKTNQRSAKQCSSEAARPVDCSKFKCGGGGGGGGSGSPLTPPPRSWRGSPVRRPAPNPAPIRKPFFASPPPPPPTSKSSPSTRSHPPPPPTRSFHPPPSPHFASPPPPSKKVSPRTHLPPPPPPPPSPPPPVEQQPPTYHHIPPPPSPPPPPSHYHSNHPAPPPIEKVSPGTHYHVPPPPPSHQYQAPPPPKQSAEVPAPSYHPNSPPPPPPSCQYQAPPPPKQSAEVPAPSYHTNSPPPPPPPTNGYTHSPPPTQPTAPVPSPVEYYPPKAASSPPPPPLYQTPPSPSYHTNSPPPPPPPTNGYTHSPPPTQPTAPVPSPVEYYPPKAASSPPPPPPPPPLYQTPPSPPPPSEEPWLPLPPPPSTGCITPESPPPPSPTPSYQHPHPPPPPPTPSYHHPQSPSPPPPPPMSSYEHPQSPPPPPPVPSYHHPQSPPPPPHQQQWHYPPSISHQQSPPPPPHNSYAPPPPPPTPSPPPPAIENTVPLPPIVGVSYASPPPPTIPYY >Potri.008G063600.1.v4.1 pep chromosome:Pop_tri_v4:8:3842317:3845690:-1 gene:Potri.008G063600.v4.1 transcript:Potri.008G063600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063600.v4.1 MSFTGTQQKCKACEKTVYPMELLSTDGVAYHKSCFKCFHCKGTLKLSNYSSMEGVLYCKPHFDQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCAITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKCASMKRAAAPVPEA >Potri.008G063600.5.v4.1 pep chromosome:Pop_tri_v4:8:3842386:3845345:-1 gene:Potri.008G063600.v4.1 transcript:Potri.008G063600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063600.v4.1 MSFTGTQQKCKACEKTVYPMELLSTDGVAYHKSCFKCFHCKGTLKLSNYSSMEGVLYCKPHFDQLFKETGNFNKNFQSPAKSAEKLTPELTRSPSKAAGMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCAITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKCASMKRAAAPVPEA >Potri.015G083100.1.v4.1 pep chromosome:Pop_tri_v4:15:10832920:10836121:-1 gene:Potri.015G083100.v4.1 transcript:Potri.015G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083100.v4.1 MEEEKYVPASSMRYSARIMSPEIVEIGDDSKTITNSIDGINDDVYVAVGKNDTDVLKWALDHAVSPGARVFLVHVFPPLSYIPTPVGRLSRSQLSQDQVRFYINEENNRRRNQLQKYIRLCANAKVTVDTMLLESNLTAKTILELIPVLNITHLVMGNKRLPRSRLLRKKLGKGEFVKKKAPDYCEVSIIHNGKKIMDGKDGIEPVSSCARRPDVIRSSANKFFNLPCFPVCRNMVMDS >Potri.001G077900.3.v4.1 pep chromosome:Pop_tri_v4:1:5854387:5858834:-1 gene:Potri.001G077900.v4.1 transcript:Potri.001G077900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077900.v4.1 MDSDMYCFDFSDAEIGENMYGIGTGSHELKYGLADHRHQQQTEIPNQWMVNMNNMSVNHQAALEQPAGSSRSSKDPKKLARQRSDKNYREKKKQETKDLKRKVDVLMERNDHLEKENANLKKEGDRMEKALKQGKRDVHQLKGKHDGLSTTVTEISRALAESKYNMEIQRENERLKCKINLLAKQMKNPDSLDTIKLQARIAQLEGENSARQLVIDALCAKMKKDTDDEP >Potri.010G184500.2.v4.1 pep chromosome:Pop_tri_v4:10:18204192:18206870:1 gene:Potri.010G184500.v4.1 transcript:Potri.010G184500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184500.v4.1 MLLKAAPAFSLLNATGDNLGSLFPSVSSLSNKNLSVSPSFLRSKTGSGFVVCASKGANNRPLTGVVFEPFEEVKKELNLVPNVPQVSLARQKFTDESEAAINEQINVEYNVSYVYHAMFAYFDRDNVALKGLAKFFKESSIEEREHAEKLMEYQNKRGGKVKLQSILMPLSEFDHAEKGDALYAMELALSLEKLTNEKLLNLHSVAEKNKDVQLTDFVESEFLAEQVDAIKKISEYVAQLRRVGKGHGVWHFDQMLLHGEEVVA >Potri.019G055300.1.v4.1 pep chromosome:Pop_tri_v4:19:9341553:9343963:-1 gene:Potri.019G055300.v4.1 transcript:Potri.019G055300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055300.v4.1 MAARGALLKYLRVKVQAMPTTRNPNNNGLVGLSFNSIRRRFSEEVRGTFLDKSEVTDRVVNVVKNFQKVDPSKVTPDAHFQNDLGLDSLDSVEIVMALEEEFQFEIPDNEADKINSISLAIDFISSHPQAK >Potri.009G153300.1.v4.1 pep chromosome:Pop_tri_v4:9:12024793:12035931:1 gene:Potri.009G153300.v4.1 transcript:Potri.009G153300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153300.v4.1 MAVDTNFSSFFDKLKLLEEDPLILPPKTWESIPSQNAPTSSSYFPPQPPPPLLHHSPSPSLSEESLVRLALNALQGSESALISIEKLSAVFISDPADRTYHTIPNLWNRSTSTHALGKFLTSIARSGFVIFLLRKFVDYFNNFNFEAYLDAASSYSLVNQAFSVAVGKVLEGYMSALGTLYASIDLRRSSKSNGVDLKNCRVSCFTSVVHSEVTLLEVFLHTKELRTQVEVLGNVCNVQSVALCFLESSVEELTAKASLEFCNFYRGGDLLTYLYRQLQVADPAHRALLKFLFIRSCQPYIGFIRSWIYEAEISDPYKEFMVEYADNLSPHPHYKGGIPIDFVLASIQDRVAVPCFLKDFFIPIVRAGQQLQVLKKLLELCNYVGPEEYTCEDLLPSWRGYLSSHLFSASPLTFSKGYLEAMVIARNNYYENMLEKIKNLSSKLEFRHRQVVPHGTISIAFDNGEGSSKNAVSHMFFDRAVDNTDFDDSSTSDECYVLGTSDSSECSSLSGSEEQAEAEQLIEQGNGLVGDEQRYLSSLRFSMSSPTDTALRKPTQSEISRDIETDSRKNSEENNFVGHFMRVYDKKRTSSHEFPPPDSEESNSSCMFDNIDSVIGKGWQLGLPKNSVYNDKWQSFYPWSDHCDSVQEASKTNMGILKADLPYFTHMTSAKDVLIEKASGADQLKNRNSTSSLFALQPWKVNYHSNFLSRNPMLKKNACFHLVTMPKEKCSTAYVPSLPCFDFSTVEDPCKASVEKFAASFRHEFGSPVPLHITAPATSGKSHDKGKQGCDGEAVLFDNARACVSDSSVHLKEQDKEAVVSTNGCGGTSWQSLLKSFSYTENESVGDHRESLSSTFEIPLDFVIDKCLLQEILLQYKYVSRLAIKLLEEGFDLQGHLQALRRYYFMESADWADLFIMSLWHHKWCVAEAEQRVLEIQRFLELSVKRSSCERDPNKDRLFVYMKGNDTMPLSAFTIGVHSFNFLGLGYRVDWPISIVLTPSGLKIYAEIFSFLIHVKLAVFSLTEVWRSLKDMTHMVTRNHSTTQERGIRHLNILITMRHHINHFISALQQYVQSQLSYVSWCKFLRSLKYKVKDMMDLESVHMAYLTDSLHICFLSNETRSVAIIIESILQCAFEFRSCFTGGMWDMGLDQGDLLGKLSRINISQVLAIKQKFDKNLKQLHLCYLKFPKHGEFGLSRFWGYLNYNKYYSDVGNEMDLYAL >Potri.009G153300.3.v4.1 pep chromosome:Pop_tri_v4:9:12024791:12035916:1 gene:Potri.009G153300.v4.1 transcript:Potri.009G153300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153300.v4.1 MAVDTNFSSFFDKLKLLEEDPLILPPKTWESIPSQNAPTSSSYFPPQPPPPLLHHSPSPSLSEESLVRLALNALQGSESALISIEKLSAVFISDPADRTYHTIPNLWNRSTSTHALGKFLTSIARSGFVIFLLRKFVDYFNNFNFEAYLDAASSYSLVNQAFSVAVGKVLEGYMSALGTLYASIDLRRSSKSNGVDLKNCRVSCFTSVVHSEVTLLEVFLHTKELRTQVEVLGNVCNVQSVALCFLESSVEELTAKASLEFCNFYRGGDLLTYLYRQLQVADPAHRALLKFLFIRSCQPYIGFIRSWIYEAEISDPYKEFMVEYADNLSPHPHYKGGIPIDFVLASIQVVPHGTISIAFDNGEGSSKNAVSHMFFDRAVDNTDFDDSSTSDECYVLGTSDSSECSSLSGSEEQAEAEQLIEQGNGLVGDEQRYLSSLRFSMSSPTDTALRKPTQSEISRDIETDSRKNSEENNFVGHFMRVYDKKRTSSHEFPPPDSEESNSSCMFDNIDSVIGKGWQLGLPKNSVYNDKWQSFYPWSDHCDSVQEASKTNMGILKADLPYFTHMTSAKDVLIEKASGADQLKNRNSTSSLFALQPWKVNYHSNFLSRNPMLKKNACFHLVTMPKEKCSTAYVPSLPCFDFSTVEDPCKASVEKFAASFRHEFGSPVPLHITAPATSGKSHDKGKQGCDGEAVLFDNARACVSDSSVHLKEQDKEAVVSTNGCGGTSWQSLLKSFSYTENESVGDHRESLSSTFEIPLDFVIDKCLLQEILLQYKYVSRLAIKLLEEGFDLQGHLQALRRYYFMESADWADLFIMSLWHHKWCVAEAEQRVLEIQRFLELSVKRSSCERDPNKDRLFVYMKGNDTMPLSAFTIGVHSFNFLGLGYRVDWPISIVLTPSGLKIYAEIFSFLIHVKLAVFSLTEVWRSLKDMTHMVTRNHSTTQERGIRHLNILITMRHHINHFISALQQYVQSQLSYVSWCKFLRSLKYKVKDMMDLESVHMAYLTDSLHICFLSNETRSVAIIIESILQCAFEFRSCFTGGMWDMGLDQGDLLGKLSRINISQVLAIKQKFDKNLKQLHLCYLKFPKHGEFGLSRFWGYLNYNKYYSDVGNEMDLYAL >Potri.005G012900.2.v4.1 pep chromosome:Pop_tri_v4:5:1012991:1018811:1 gene:Potri.005G012900.v4.1 transcript:Potri.005G012900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012900.v4.1 MAMVTVSQSFNFHGFFVRKASLLPSSASRVRYSINDRRWLNLDSASSSCRFRNLNSRCSITNTDLHLSDVLTEDEAPQDLSAAECDCSTPIVHLKTDILESESLSLLAETTYVDSVLTALPVLSEEEQNALAATPAHPVGLYALYASSLAGNLVEQLWNFAWPSAIALLHPSLLPVAVMCFFSKLAIIVGGPLVGKLMDYSPRVPAYMGLNVVQAAAQLLSATMIIHAHTVSPTSVSSVLLRPWFIVLVLAGAVERLCGVATGVAVERDWVILLAGMNRPIALAQANAVLNRIDLICEIAGASVFGILLSKYEPVTCLKFAAASMIWSLPVMIGLTWLTNKLATGVLDRPRSCQTCCGESSEEAAVDAGSIVDRGLETIKLGWKEYMQQPVLPASLAYVLLFFNVVLAPSSLMTAFLTQRGVNPSVIGGFSGLCAFMGVAATFLSATLVKQLGILKAGAAGLVFQASLLSLAVAVYWSGSLSQQSPVLFFLGLIVLSRLGHMSYDVVGAQILQTGIPTSKANLIGTTEVSVASLAESVMLGVAIIANDASHFGFLAMLSLLSVVGAAWMFCRLLLNPTDEQRSLFAVESNT >Potri.001G310100.1.v4.1 pep chromosome:Pop_tri_v4:1:32068320:32070617:1 gene:Potri.001G310100.v4.1 transcript:Potri.001G310100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310100.v4.1 MSAKRSWTSYNLRSFLELIHRYRASSSNVSTVSKIGQGLSVHKGLQLINANSQEGRRFDSNMMKSDKVAPAPVPNPPGSNFPRWAKWILGTILSILLPFWQQEWKKLRRIEGESEIIVEEVEHVAEVVEKVATVAEKVSEEVAEVLPENGKLKETALLMEAVSKATAHDAKLTQDFIHKVDAVRHDIDDLETMVEPVIDKLVQQNSQGK >Potri.011G013000.1.v4.1 pep chromosome:Pop_tri_v4:11:1217041:1218206:-1 gene:Potri.011G013000.v4.1 transcript:Potri.011G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G013000.v4.1 MIARQNLSNPFLKKLESNPESLGEDAHFICQERQTFGVADGVGGWAMKGIDSGIFARELMSNYLTALRSLKPKGDVNLKKILLKTHSKTVALGSSTACVVTLKRDRLCYANVGDSGFMVFRGKRLVYRSPTQHSFFNYPFSLGNWVQKGKRRASVFLGEFDVEQGDIVVAGTDGLFDNLFGSEIEEILQEHGGRSCPQALAWTIATVASMNSTSEDYDSPFAVAAESEGIEHIFFGLTRVNPSDP >Potri.001G115000.1.v4.1 pep chromosome:Pop_tri_v4:1:9307587:9310629:1 gene:Potri.001G115000.v4.1 transcript:Potri.001G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115000.v4.1 MGSEQNEGTSFPSSQPQLCANGCGFFGTAANMNLCSKCYRDLRAEEEQAAFAKAAMEKTLNMKSQQHIDSRVAVDAPQVAVANSMQSAEASSSAETAVAAGDQVSSKPANRCFSCNKKVGLTGFMCKCGGTYCGTHRYSENHECSFDFKGAGRNAIAKANPVIKADKVGRF >Potri.001G115000.2.v4.1 pep chromosome:Pop_tri_v4:1:9308495:9309480:1 gene:Potri.001G115000.v4.1 transcript:Potri.001G115000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115000.v4.1 MGSEQNEGTSFPSSQPQLCANGCGFFGTAANMNLCSKCYRDLRAEEEQAAFAKAAMEKTLNMKSQQHIDSRVAVDAPQVAVANSMQSAEASSSAETAVAAGDQVSSKPANRCFSCNKKVGLTGFMCKCGGTYCGTHRYSENHECSFDFKGAGRNAIAKANPVIKADKVGRF >Potri.008G067900.1.v4.1 pep chromosome:Pop_tri_v4:8:4108480:4114173:1 gene:Potri.008G067900.v4.1 transcript:Potri.008G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067900.v4.1 MATRSLWRTRGKLAVAATALLTGGATATVATSEDPATALKLCTAVPVRLYRNTVTAASIAFDYEYSLWGLSEGSVEKAKVKHEVHLRSARKLQELCFKNGGIYIKLGQHLGQLEYLVPEEYVQTMRESMLNKCPVSSYDQVCEVFKKELGETPDKIFEEFDPVPIASASLAQVHVARTLDGQKVAVKVQHTHMTDTATADRATVEVLVNTLHGVFPSFDYRWLIDEIRESLPKELDFLVEAKNSEKCLENFRKLSPHIAEYVYAPKVHWNLSTSKLLTMEFMDGAHVNDVKTIQKLGIQPNEVATLVSRVFAEMMFRHGFVHCDPHAANLIVRPLPSGKRTILGKRKPQLVLLDHGLYKELDFTTRFNYASLWKALIFSDANAIKENSVKLGAGEDLYALFAAILTMKPWNRIIDPSVDHLVIKGDDSERSERQMYASQFFPQISELLRRLPRVILLMLKTNDCLRSVNSCLLQGSSVETFFIIGKVSSEAVVEAKKLQRKSLLSRLDVLLEEILLEVRLLGMQIALWLLHLRRALTG >Potri.001G073600.1.v4.1 pep chromosome:Pop_tri_v4:1:5534353:5539060:1 gene:Potri.001G073600.v4.1 transcript:Potri.001G073600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073600.v4.1 MAASNTRLAVFSSLLLLFLINSCQSLSSHNTYLKRQASILVSLKQSLESYDPSLDSWNVPNYQLLCSWTGIQCDDMNRSVVALDISNSNISGTLSPAITELRSLVNLSIQGNSFSDEFPREIHKLIRLQFLNISNNLFSGELAWEFSQLKELQVLDVYNNNFNGTLPLGVTQLAKLKYLDFGGNYFQGTIPPSYGSMQQLNYLSLKGNDLRGLIPGELGNLTSLEQLYLGYYNEFDGGIPPEFGKLINLVHIDLANCSLSGPIPPELGGLSKLDTLFLQTNELTGPIPPELGNLSSIISLDLSNNALTGDIPLEFYGLRRLTLLNLFLNKLHGEIPYFIAELPELEVLKLWHNNFTGAIPAKLGENGRLTELDLSSNKLTGLVPKSLCLGRKLQILILRINFLFGPLPDDLGHCDTLWRVRLGQNYLTGSIPSGFLYLPELSLMELQNNYLSGQVPQQISKTPSKLAQMNLADNRLSGPLPASIGNFSNLQILLLSGNRFTGEIPSQIGQLNNVFTLDMSRNNLSGNIPPEIGDCRTLTYLDLSQNQLSGPIPVQITQIHILNYLNISWNHLNQSLPKEIGSMKSLTSADFSHNNFSGSIPEFGQYSFFNSTSFSGNPQLCGSYLNPCNYSSTSPLQFHDQNSSTSQVPGKFKLLFALGLLGCSLVFAVLAIIKTRKIRRNSNSWKLTAFQKLEFGCENILECVKENNIIGRGGAGIVYRGLMPNGEPVAVKKLLGISRGSSHDNGLSAEVQTLGQIRHRNIVRLLAFCSNKETNLLVYEYMPNGSLGEVLHGKRGGFLKWDTRLKIAIEAAKGLCYLHHDCSPLIIHRDVKSNNILLSSDFEAHVADFGLAKFLQDTGASECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDIVQWTKTQTKSSKEGVVKILDQGLTDIPLIEAMQVFFVAMLCVQEQSVERPTMREVVQMLAEAKQPNTYHKQ >Potri.001G149800.1.v4.1 pep chromosome:Pop_tri_v4:1:12505985:12508887:-1 gene:Potri.001G149800.v4.1 transcript:Potri.001G149800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149800.v4.1 MQQREVEGEVEGERERGKEVRKEAMESMTERLSSLENLYFPRALQSHATNPSQRKSILLDLLSRDAAVFLERYGAQLNSEELREFDILNYDYEINWHLKNIRTKMSPTSEELKSRSVTVKNRRLAYLDKLVLDGKYFSEDAMREREPYLHHEFVGKFQDPSARGMARPGERWSETLMRRCEEAILVSKIREEQQRLGVDEMEWVGNERNQQQQEEEEEEEEEEEHDVEEGNEEAKKVNGGVSSTEMSEDSKLAQSSKAEHDEAATLSAEEMGDMMGQFTYIMHQKFLAGEDHQHLDYSKIDDDETLDDHWLREANHDAEDKYFAEDEEDG >Potri.008G001700.1.v4.1 pep chromosome:Pop_tri_v4:8:764532:767178:-1 gene:Potri.008G001700.v4.1 transcript:Potri.008G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G001700.v4.1 MKRQPPPAYGDGNMNPYGGGGQRMRGNTGAMSNSYGGGGRQDGYSSVEAEQHPGYKSSKAEGQWQWDRDSQNVHNQLPTHTFSEGQVGSGARSYYHGQPPDPKMGLESQSNKEAGGTQPHDQDMELGFEDKSLPMSFEGLERKFFDEVTKLAKEQGDAEVAENARHREKIIEINTRYQEKLSALRAQQTNRREEFLRKESQARLSQYQQASRSHYPNTGLQDARGYSGAAATGPISAGETHRAYASSQFESYRGRPQYGGGGRAQGNEGRIPYPEGRVYNNAGARHY >Potri.008G001700.2.v4.1 pep chromosome:Pop_tri_v4:8:764441:767194:-1 gene:Potri.008G001700.v4.1 transcript:Potri.008G001700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G001700.v4.1 MKRQPPPAYGDGNMNPYGGGGQRMRGNTGAMSNSYGGGGRQDGYSSVEAEQHPGYKSSKAEGQWQWDRDSQNVHNQLPTHTFSEGQVGSGARSYYHGQPPDPKMGLESQSNKEAGGTQPHDQDMELGFEDKSLPMSFEGLERKFFDEVTKLAKEQGDAEVAENARHREKIIEINTRYQEKLSALRAQQTNRREEFLRKESQARLSQYQQASRSHYPNTGLQDARGYSGAAATGPISAGETHRAYASSQFESYRGRPQYGGGGRAQGNEGRIPYPEGRVYNNAGARHY >Potri.003G176000.1.v4.1 pep chromosome:Pop_tri_v4:3:18311789:18316584:1 gene:Potri.003G176000.v4.1 transcript:Potri.003G176000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176000.v4.1 MNHLKLWLLINTFFITIITTQTLLAQNQIPTTLDGPFKPVTRRFDPSLRRGSDDLPMNHPRLKKNATSNFPEQISLAISSPTSMWVSWVTGEAQIGSDVIPLDPASVASEVWYGKESGKYASRGKGNSTVYTQLYPFEGLSNYTSGIIHHVRIDGLEPGTKYFYKCGDSSIPAMSEEHVFETLPLPSPNAYPHRIAIIGDLGLTSNSSTTIDHVIVNDPSMILMVGDLTYANQYLTTGGKGAPCYSCAFPDAPIRETYQPRWDGWGRFMEPLISSSPMMVIEGNHEIEPQVSGITFKSYLTRYAVPSEESGSNSNFYYSFDAGGIHFVMLGAYVDYNSTGAQYSWLKQDLNQVDRAKTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYRVDIVFSGHVHAYERMNRVYNYTLDPCGPVYITVGDGGNIEKVDVDHADEPGNCPSAGDNIPEFGGVCHINFSSGPAEGKFCWDKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDIYKDDSHGDQIYVVRQPELCIASTLEGSGKPEDGGGLTNEAADLLARWTRFAASIEMLTVAVIWTSFY >Potri.003G176000.3.v4.1 pep chromosome:Pop_tri_v4:3:18311759:18316778:1 gene:Potri.003G176000.v4.1 transcript:Potri.003G176000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176000.v4.1 MNHLKLWLLINTFFITIITTQTLLAQNQIPTTLDGPFKPVTRRFDPSLRRGSDDLPMNHPRLKKNATSNFPEQISLAISSPTSMWVSWVTGEAQIGSDVIPLDPASVASEVWYGKESGKYASRGKGNSTVYTQLYPFEGLSNYTSGIIHHVRIDGLEPGTKYFYKCGDSSIPAMSEEHVFETLPLPSPNAYPHRIAIIGDLGLTSNSSTTIDHVIVNDPSMILMVGDLTYANQYLTTGGKGAPCYSCAFPDAPIRETYQPRWDGWGRFMEPLISSSPMMVIEGNHEIEPQVSGITFKSYLTRYAVPSEESGSNSNFYYSFDAGGIHFVMLGAYVDYNSTGAQYSWLKQDLNQVDRAKTPWLVAAWHPPWYNSYSSHYQEFECMRQEMEALLYQYRVDIVFSGHVHAYERMNRVYNYTLDPCGPVYITVGDGGNIEKVDVDHADEPGNCPSAGDNIPEFGGVCHINFSSGPAEGKFCWDKQPEWSAFRESSFGHGILEVVNSTYALWTWHRNQDIYKDDSHGDQIYVVRQPELCIASTLEVIS >Potri.004G202433.1.v4.1 pep chromosome:Pop_tri_v4:4:21187359:21188823:1 gene:Potri.004G202433.v4.1 transcript:Potri.004G202433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202433.v4.1 MSYARQGRAGLDFFQRLLDVSSCQKFEEDSCLSQSFSLHDGLSSAIFHDVLNILTEMVFLLTFGQRKKDTKDKIHNLGKETSSRRKHTIMLKRWRTVAES >Potri.001G104000.11.v4.1 pep chromosome:Pop_tri_v4:1:8376227:8385147:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MDISPERSLSENGGYDSSNEAHADDVNIQSLVEWLNHALPHLNMPLDASEEELRAYLIDGTVLCSILNKFCPGLVEMRGSSEPGPENIRKFLAAMDELALPRFVLADIQEGYMEPVLQCLVTLKTHIEFNGGKESIREHLRRRWNLPKMEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKSRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.001G104000.16.v4.1 pep chromosome:Pop_tri_v4:1:8378100:8385188:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.001G104000.9.v4.1 pep chromosome:Pop_tri_v4:1:8376277:8385720:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MDISPERSLSENGGYDSSNEAHADDVNIQSLVEWLNHALPHLNMPLDASEEELRAYLIDGTVLCSILNKFCPGLVEMRGSSEPGPENIRKFLAAMDELALPRFVLADIQEGYMEPVLQCLVTLKTHIEFNGGKESIREHLRRRWNLPKMEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKSRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.001G104000.14.v4.1 pep chromosome:Pop_tri_v4:1:8376284:8385170:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MDISPERSLSENGGYDSSNEAHADDVNIQSLVEWLNHALPHLNMPLDASEEELRAYLIDGTVLCSILNKFCPGLVEMRGSSEPGPENIRKFLAAMDELALPRFVLADIQEGYMEPVLQCLVTLKTHIEFNGGKESIREHLRRRWNLPKMEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.001G104000.10.v4.1 pep chromosome:Pop_tri_v4:1:8376208:8385147:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MDISPERSLSENGGYDSSNEAHADDVNIQSLVEWLNHALPHLNMPLDASEEELRAYLIDGTVLCSILNKFCPGLVEMRGSSEPGPENIRKFLAAMDELALPRFVLADIQEGYMEPVLQCLVTLKTHIEFNGGKESIREHLRRRWNLPKMEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHQMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.001G104000.13.v4.1 pep chromosome:Pop_tri_v4:1:8376278:8385126:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MDISPERSLSENGGYDSSNEAHADDVNIQSLVEWLNHALPHLNMPLDASEEELRAYLIDGTVLCSILNKFCPGLVEMRGSSEPGPENIRKFLAAMDELALPRFVLADIQEGYMEPVLQCLVTLKTHIEFNGGKESIREHLRRRWNLPKMEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKSRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.001G104000.12.v4.1 pep chromosome:Pop_tri_v4:1:8376857:8385127:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MDISPERSLSENGGYDSSNEAHADDVNIQSLVEWLNHALPHLNMPLDASEEELRAYLIDGTVLCSILNKFCPGLVEMRGSSEPGPENIRKFLAAMDELALPRFVLADIQEGYMEPVLQCLVTLKTHIEFNGGKESIREHLRRRWNLPKMEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHQMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.001G104000.6.v4.1 pep chromosome:Pop_tri_v4:1:8376229:8385127:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MDISPERSLSENGGYDSSNEAHADDVNIQSLVEWLNHALPHLNMPLDASEEELRAYLIDGTVLCSILNKFCPGLVEMRGSSEPGPENIRKFLAAMDELALPRFVLADIQEGYMEPVLQCLVTLKTHIEFNGGKESIREHLRRRWNLPKMEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHQMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKSRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.001G104000.15.v4.1 pep chromosome:Pop_tri_v4:1:8376277:8385106:1 gene:Potri.001G104000.v4.1 transcript:Potri.001G104000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104000.v4.1 MDISPERSLSENGGYDSSNEAHADDVNIQSLVEWLNHALPHLNMPLDASEEELRAYLIDGTVLCSILNKFCPGLVEMRGSSEPGPENIRKFLAAMDELALPRFVLADIQEGYMEPVLQCLVTLKTHIEFNGGKESIREHLRRRWNLPKMEFSEGIDNSQVDTMTYGENSSIYGEERQRDSFDNKYGSASAALVHDVVHNLSEMFQQKQGSYADLSDSNILELMKSNGLDNTSTRTLFSLVNRILEESIERKNGHVHHMAHIVKKVVQVIEQRVSTLAVNLKDQNNLYKVRLGKCQSRIKVLETLAAGTTEEIRVLLSQLQQIKIEKTKIEEKKKLEEQELLRTKQEKIHSDIENSTLKHELEIAKTTHEEHCLLLQVQAEETKVELEKKLKELECFLAESMKRVKELESFSESKSQRWKSKEGSYRSFIDYQSRALKELRAAADSVKHEILKAKRSYAEEFNFLGVKLKGLADAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKLNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNMTSQEDWGVNYRALHDLFQISQNRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHRRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMRIGLMNRAVGATALNERSSRSHSVLTIHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEATGERLREAQHINKSLSALGDVIFSLAQKSQHVPYRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETISTLKFAERVSGVELGAAKSNKEGRNIRELMEQVGLLKETISRKDEEIERLQHLQASGNSVKCDMNSRRYDSSSPRRHSIGTALHNHRLSGGKGSGLFEKASSDTDNCSGNSERHSEAGSVKSMDDFKDLKTLLPQSKSMDYLSLKKEFVSQPKFVGPGVDQNDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEVAKPAGKAENKRLTFASGLPKPSQRPAQTRLPRLSLAKSSASKASSSMSESRKPPASGFSATKPGKRWH >Potri.013G116600.1.v4.1 pep chromosome:Pop_tri_v4:13:12517069:12518449:-1 gene:Potri.013G116600.v4.1 transcript:Potri.013G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116600.v4.1 MKFLVGAHKSLLLMLIFMVFMVGKSLRPHHYTKSSYSKEGASNGDEAHDFPKEAAREELGMELYPTGSSLPDCSHACGPCTPCKRVMVSFKCSVAESCPIVYRCMCKGKYYHVPSA >Potri.019G007884.1.v4.1 pep chromosome:Pop_tri_v4:19:117005:123131:-1 gene:Potri.019G007884.v4.1 transcript:Potri.019G007884.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007884.v4.1 MSLLPENHQSSLEPFSSEGRTGQQFQLSLLPENHQSSLEPFSSGPMSTLSRASPSSSSRHGGTYDVFLSFRGEDTRKQFIDHLYVALAHAGIHTFRDDDELSRGEEISPALSYAIRESKISLVVFSKNYASSRWCLDELVTILERRKMGQIVVPVFYDIDPSDVRKQTGSYADAFARHGERFNGETDRVIKWRGALTEAANLSGWSLKDIANGYESELIRRIVGDILVKLSHNYFHFPNQTVGIDSRVEDIIKSLTVVTEDVRIVGLHGMSGCGKTTLAKAVFNKLYHGFGKRCFLFNVKEMSQQPNGRVRLQEEFLRRVFKLGEFKQIDDVDKGMNMIKERLWDQRVLAVLDDVDQPEQLHELVEVRSWFGPGSIVIITTGNEHLLTQLEVNVKYRVAKLSHAESLELFSRHAFRDTQPIEDYAMLSNDVLSYCGGHPLALELLGSFLFKREKPEWESLIDSLKKITPDQIQQKLRISFEALGGGPVKSIFLDIACFFVGRDKEYVKTILDARYGFNTEIAIKNLIERSFITIDSKKEINLNNLLRDMGREINREMSPDHPGNRSRICFHDDALDVLYNKKGTKSVQGLALDVRFSKSETLSSESFMKMRCLKLLQINGVYVTGCFQHLSKELLWLCWHECPLRSLPSDFHLQDIVILDFQNSNIEELWKEIKFLNKLKILDLSYSKFLSRTPNLHSPSLEKLILEGCSSLVELHQSIGRSKGLVYLNLRGCCRLKNLPESICELEFLETLNITMCSQLEKLPKHIGNMECLRGLLADGTSIKQLPLSIVFFKKLEKLSLCGRNYDKLDSPSGPWHLPFSSWFSPRNTDSKTLLPVSFGCLMSLRELDISYHGLSEGSISVAFESLSALRRLDLSGNKFLYMPFGIGGIPKLEILLVVNCKNLQSIAELPSSLLLLDATNCTSMESLAVPSNKCALKLRGCQKLREIHGIEGGSDCTSVVHMECCNNLSNNFKRSLVQALCKGKRDEIFFPADEIPEWFSHHGDGSSLSFLLPALSFGDELLAMIVWVVFAVDERRFLNLYPSAIIRNKSNGKKLVERSMHIGFISSSHSWVSHAPFVVLPCAMEGGGELELNVEVEEDAIVEKCGVHLVVKRADLRDGQGTFHENLEAAIVEKPDEVATVKRGQDYNHDERSLKRLKPLCRTSSSNWVVTSEEII >Potri.019G007884.4.v4.1 pep chromosome:Pop_tri_v4:19:117026:120503:-1 gene:Potri.019G007884.v4.1 transcript:Potri.019G007884.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007884.v4.1 MSGCGKTTLAKAVFNKLYHGFGKRCFLFNVKEMSQQPNGRVRLQEEFLRRVFKLGEFKQIDDVDKGMNMIKERLWDQRVLAVLDDVDQPEQLHELVEVRSWFGPGSIVIITTGNEHLLTQLEVNVKYRVAKLSHAESLELFSRHAFRDTQPIEDYAMLSNDVLSYCGGHPLALELLGSFLFKREKPEWESLIDSLKKITPDQIQQKLRISFEALGGGPVKSIFLDIACFFVGRDKEYVKTILDARYGFNTEIAIKNLIERSFITIDSKKEINLNNLLRDMGREINREMSPDHPGNRSRICFHDDALDVLYNKKGTKSVQGLALDVRFSKSETLSSESFMKMRCLKLLQINGVYVTGCFQHLSKELLWLCWHECPLRSLPSDFHLQDIVILDFQNSNIEELWKEIKFLNKLKILDLSYSKFLSRTPNLHSPSLEKLILEGCSSLVELHQSIGRSKGLVYLNLRGCCRLKNLPESICELEFLETLNITMCSQLEKLPKHIGNMECLRGLLADGTSIKQLPLSIVFFKKLEKLSLCGRNYDKLDSPSGPWHLPFSSWFSPRNTDSKTLLPVSFGCLMSLRELDISYHGLSEGSISVAFESLSALRRLDLSGNKFLYMPFGIGGIPKLEILLVVNCKNLQSIAELPSSLLLLDATNCTSMESLAVPSNKCALKLRGCQKLREIHGIEGGSDCTSVVHMECCNNLSNNFKRSLVQALCKGKRDEIFFPADEIPEWFSHHGDGSSLSFLLPALSFGDELLAMIVWVVFAVDERRFLNLYPSAIIRNKSNGKKLVERSMHIGFISSSHSWVSHAPFVVLPCAMEGGGELELNVEVEEDAIVEKCGVHLVVKRADLRDGQGTFHENLEAAIVEKPDEVATVKRGQDYNHDERSLKRLKPLCRTSSSNWVVTSEEII >Potri.019G007884.2.v4.1 pep chromosome:Pop_tri_v4:19:116980:123151:-1 gene:Potri.019G007884.v4.1 transcript:Potri.019G007884.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007884.v4.1 MSLLPENHQSSLEPFSSEGRTGQQFQLSLLPENHQSSLEPFSSGPMSTLSRASPSSSSRHGGTYDVFLSFRGEDTRKQFIDHLYVALAHAGIHTFRDDDELSRGEEISPALSYAIRESKISLVVFSKNYASSRWCLDELVTILERRKMGQIVVPVFYDIDPSDVRKQTGSYADAFARHGERFNGETDRVIKWRGALTEAANLSGWSLKDIANGYESELIRRIVGDILVKLSHNYFHFPNQTVGIDSRVEDIIKSLTVVTEDVRIVGLHGMSGCGKTTLAKAVFNKLYHGFGKRCFLFNVKEMSQQPNGRVRLQEEFLRRVFKLGEFKQIDDVDKGMNMIKERLWDQRVLAVLDDVDQPEQLHELVEVRSWFGPGSIVIITTGNEHLLTQLEVNVKYRVAKLSHAESLELFSRHAFRDTQPIEDYAMLSNDVLSYCGGHPLALELLGSFLFKREKPEWESLIDSLKKITPDQIQQKLRISFEALGGGPVKSIFLDIACFFVGRDKEYVKTILDARYGFNTEIAIKNLIERSFITIDSKKEINLNNLLRDMGREINREMSPDHPGNRSRICFHDDALDVLYNKKGTKSVQGLALDVRFSKSETLSSESFMKMRCLKLLQINGVYVTGCFQHLSKELLWLCWHECPLRSLPSDFHLQDIVILDFQNSNIEELWKEIKFLNKLKILDLSYSKFLSRTPNLHSPSLEKLILEGCSSLVELHQSIGRSKGLVYLNLRGCCRLKNLPESICELEFLETLNITMCSQLEKLPKHIGNMECLRGLLADGTSIKQLPLSIVFFKKLEKLSLCGRNYDKLDSPSGPWHLPFSSWFSPRNTDSKTLLPVSFGCLMSLRELDISYHGLSEGSISVAFESLSALRRLDLSGNKFLYMPFGIGGIPKLEILLVVNCKNLQSIAELPSSLLLLDATNCTSMESLAVPSNKCALKLRGCQKLREIHGIEGGSDCTSVVHMECCNNLSNNFKRSLVQALCKGKRDEIFFPADEIPEWFSHHGDGSSLSFLLPALSFGDELLAMIVWVVFAVDERRFLNLYPSAIIRNKSNGKKLVERSMHIGFISSSHSWVSHAPFVVLPCAMEGGGELELNVEVEEDAIVEKCGVHLVVKRADLRDGQGTFHENLEAAIVEKPDEVATVKRGQDYNHDERSLKRLKPLCRTSSSNWVVTSEEII >Potri.019G007884.3.v4.1 pep chromosome:Pop_tri_v4:19:116452:121850:-1 gene:Potri.019G007884.v4.1 transcript:Potri.019G007884.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007884.v4.1 MSTLSRASPSSSSRHGGTYDVFLSFRGEDTRKQFIDHLYVALAHAGIHTFRDDDELSRGEEISPALSYAIRESKISLVVFSKNYASSRWCLDELVTILERRKMGQIVVPVFYDIDPSDVRKQTGSYADAFARHGERFNGETDRVIKWRGALTEAANLSGWSLKDIANGYESELIRRIVGDILVKLSHNYFHFPNQTVGIDSRVEDIIKSLTVVTEDVRIVGLHGMSGCGKTTLAKAVFNKLYHGFGKRCFLFNVKEMSQQPNGRVRLQEEFLRRVFKLGEFKQIDDVDKGMNMIKERLWDQRVLAVLDDVDQPEQLHELVEVRSWFGPGSIVIITTGNEHLLTQLEVNVKYRVAKLSHAESLELFSRHAFRDTQPIEDYAMLSNDVLSYCGGHPLALELLGSFLFKREKPEWESLIDSLKKITPDQIQQKLRISFEALGGGPVKSIFLDIACFFVGRDKEYVKTILDARYGFNTEIAIKNLIERSFITIDSKKEINLNNLLRDMGREINREMSPDHPGNRSRICFHDDALDVLYNKKGTKSVQGLALDVRFSKSETLSSESFMKMRCLKLLQINGVYVTGCFQHLSKELLWLCWHECPLRSLPSDFHLQDIVILDFQNSNIEELWKEIKFLNKLKILDLSYSKFLSRTPNLHSPSLEKLILEGCSSLVELHQSIGRSKGLVYLNLRGCCRLKNLPESICELEFLETLNITMCSQLEKLPKHIGNMECLRGLLADGTSIKQLPLSIVFFKKLEKLSLCGRNYDKLDSPSGPWHLPFSSWFSPRNTDSKTLLPVSFGCLMSLRELDISYHGLSEGSISVAFESLSALRRLDLSGNKFLYMPFGIGGIPKLEILLVVNCKNLQSIAELPSSLLLLDATNCTSMESLAVPSNKCALKLRGCQKLREIHGIEGGSDCTSVVHMECCNNLSNNFKRSLVQALCKGKRDEIFFPADEIPEWFSHHGDGSSLSFLLPALSFGDELLAMIVWVVFAVDERRFLNLYPSAIIRNKSNGKKLVERSMHIGFISSSHSWVSHAPFVVLPCAMEGGGELELNVEVEEDAIVEKCGVHLVVKRADLRDGQGTFHENLEAAIVEKPDEVATVKRGQDYNHDERSLKRLKPLCRTSSSNWVVTSEEII >Potri.012G037500.1.v4.1 pep chromosome:Pop_tri_v4:12:3368477:3371113:1 gene:Potri.012G037500.v4.1 transcript:Potri.012G037500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037500.v4.1 MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERLAQGPGAEKPVVAPPAAPTAPQPAQGSKKSKK >Potri.015G016300.3.v4.1 pep chromosome:Pop_tri_v4:15:1118644:1119957:-1 gene:Potri.015G016300.v4.1 transcript:Potri.015G016300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016300.v4.1 MIAFDMRCVGSMMAKMVLRELMDPLIFMKFKSFLMKILDRNWGAGWNLLSLLLATKPSKRISCLDALRHPFLCGPRWPVAPSMDIIRWVLNSTAVRITEEYIYKQPQFHVLSIE >Potri.003G128400.1.v4.1 pep chromosome:Pop_tri_v4:3:14721082:14724496:1 gene:Potri.003G128400.v4.1 transcript:Potri.003G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128400.v4.1 MMSANSKMAEQLKLHQRWEFRRKDNDSDSSSNDSKPSGEPALKTYKLISSFISVDNEETSEASKLHQNGNCDPGISDQMKSGKAENGRRRRKSKRDDSAPNEIKKGPRKGVRRKKSKTITDEQAVFYDLKKYMNFLLEDLKVSRENLLKWMREEMQKLVAEETVSELETRERSFRGEKVQLQNQANFEENAEVQHRNIFEKNIPAQHQNNIQGYGQLQAHKEFEENVHRQNLINFENTEVHHQETIFLQNRNAFKSFKGAQDCNDESTERFGETNKSADFSNCSLSLDSQAGYSRANVPSSRTEKDREERMALSAKLNSKPSSSDQNVQVQQLNSIVLGIRAQSNNKSSERSAKGRKTSDSNRHHQVPEHQSDCAQVIGSLTSTERDKGERLPLSVQPMFPANSNQVASSMYLTLPTVLTKPHVANHRFDTSSLNSIQPRLAGNQIGLSSERPNLLLGSSSLHGYFQDMQPEERSRNFAQVSSRDISYFNQNSTSSIFGNGLPDPFLQAVNSNFNIPTQVSLENLARESSMPSLSMRGGATRLPGGSYSFSEQFTASNFLNHSSYKVDGRLMAYQDGYQFQK >Potri.009G015600.1.v4.1 pep chromosome:Pop_tri_v4:9:2683739:2686493:1 gene:Potri.009G015600.v4.1 transcript:Potri.009G015600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015600.v4.1 MDRLIRKMRPYLLAWHHRSRSSPESSVRRFSYKDIKRATDGFHRIIYSNSHGAAYRARFQDGEVALVKEVKDLNQGKDNFLKEVQLLGQLHHRHLLALKGFSTGHKRLLVYDNIEMGSLKEHLNDPLKTPLNWKTRLQIAIGVAAALEYLLLFSNPPVYHVSISASNIMLDENYIAKISDVGLINSVGANVTVPHSSNSEDCMDHTFGNLTFQLGVLILELITGQSSENGITDLIQWIQESRYRSSIQKMIDPDLGNNYDSRELKNLLAVARLCIKSGDKPKFSIPQIFRYLQKKAENTCN >Potri.007G095600.1.v4.1 pep chromosome:Pop_tri_v4:7:12054497:12058500:-1 gene:Potri.007G095600.v4.1 transcript:Potri.007G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G095600.v4.1 MSLTTYHHHHHHLLFNNSPHRITLLFTSTSLSLRNLTLSRHVNTSLHSHNFHFKPQTPKSSFNLTLKAYQSDPTIPTQDSKQFNLDHFLSVAELLCIFSSSIITISYALNYTVLNSKRGVLGVIGSNTGFAWGMVVMVSGVVIGAWIRRRMWWRVSRETGREGSRESLNLVGRIEKLEEDLRSSATIIRVLSRQLEKLGIRFRVTRKALKEPIAETAALAQKNSDATRALAVQEDILEKELGEIQKVLLAMQEQQQKQLELILAIGKSGKLWDNRREPVQEQELIKTSDLTEGVNQLQTHETQPLVTSKGSNNDIP >Potri.008G179100.2.v4.1 pep chromosome:Pop_tri_v4:8:12366829:12371432:-1 gene:Potri.008G179100.v4.1 transcript:Potri.008G179100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179100.v4.1 MPPSHFPLRWESTGDQWWYATPIDFAAANGHYDLVRELLYLDTNLLIKLTSLRRIRRLETVWDDEEQFNDVAKCRSHVARQLLLECETKRGHNTLIRAGYGGWLLYTAASAGDGSFVKELLERDPLLVFGEGEYGVTDIFYAAARSRNSEVFRLLLDFSISPRCGLGSGGELVEQQIESHSEFNWEMMNRAVHAAARGGNLEILKELLGDCSDILAYRDAQGSTVLHAAAGRGQVEVVKDLITSFDIIASKDYQENTALHVAAYRGYLAVAEVLILASPSLATFTNSYGDTFLHMAVSGFRTSGFRRVDWQIELIKQLASGKILNIKDVINVKNNDGRTVLHLAVIENIQSDLVELLMTVPSINLNIRDANAMTPLDLLKQRPRSASSQILIKELISAGGISNCQDNIARSAMVSHLKGHGIGMSPGTSFRIPDAEIFLYTGIENAFDASCDDTSVGDNSCFSDPSDIDMGNSLDNKKSGSVNNTARRLRSLLQLPRRKEKKAAFMELEDDDPLNSFNLCQTLEDRPIPLRQRYSKLFSFSTNKRTFSGRSSLPSPLTRKKFTIGLMHGVIQAKQHLAVSPSSPFPRSSLSSPISLDKEKDIDIAGTSYSNQPLKHKQASFNKKLMNQYFCFGAQGLTVEASGTNQSYKHANPLVA >Potri.008G179100.3.v4.1 pep chromosome:Pop_tri_v4:8:12366873:12370951:-1 gene:Potri.008G179100.v4.1 transcript:Potri.008G179100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179100.v4.1 MPPSHFPLRWESTGDQWWYATPIDFAAANGHYDLVRELLYLDTNLLIKLTSLRRIRRLETVWDDEEQFNDVAKCRSHVARQLLLECETKRGHNTLIRAGYGGWLLYTAASAGDGSFVKELLERDPLLVFGEGEYGVTDIFYAAARSRNSEVFRLLLDFSISPRCGLGSGGELVEQQIESHSEFNWEMMNRAVHAAARGGNLEILKELLGDCSDILAYRDAQGSTVLHAAAGRGQVEVVKDLITSFDIIASKDYQENTALHVAAYRGYLAVAEVLILASPSLATFTNSYGDTFLHMAVSGFRTSGFRRVDWQIELIKQLASGKILNIKDVINVKNNDGRTVLHLAVIENIQSDLVELLMTVPSINLNIRDANAMTPLDLLKQRPRSASSQILIKELISAGGISNCQDNIARSAMVSHLKGHGIGMSPGTSFRIPDAEIFLYTGIENAFDASCDDTSVGDNSCFSDPSDIDMGNSLDNKKSGSVNNTARRLRSLLQLPRRKEKKAAFMELEDDDPLNSFNLCQTLEDRPIPLRQRYSKLFSFSTNKRTFSGRSSLPSPLTRKKFTIGLMHGVIQAKQHLAVSPSSPFPRSSLSSPISLDKEKDIDIAGTSYSNQPLKHKQASFNKKLMNQYFCFGAQGLTVEASGTNQSYKHANPLVA >Potri.001G169100.1.v4.1 pep chromosome:Pop_tri_v4:1:14437794:14440369:1 gene:Potri.001G169100.v4.1 transcript:Potri.001G169100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169100.v4.1 MLNTTISRNRFPFTATQWQELEHQALIYKYMVSGVPVPPELLYSVKRSLGSSLASRLFPHQPIGWGCFQAGFGRKADPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGRSRSRKPVELTSSTTTTATTIPLTSINRNLSNPTISPSSSSYSFSHPSSAESEVYAHQNPSHGTFLNPFLYPHSSSSGPPDSGFSPLNSTPHNLFLESGSSPQVDKEHRYYHGMREDVDERAFFPDGLGSARGVQDSYNQLTMSSYKGYSLSQFQTFADTSKEEQQQPGQHCFVLGTDIIKSSATRSIKLEKETETLKPLHHFFDEWEPKDADSWLDLASSSRPHTSDD >Potri.013G152900.1.v4.1 pep chromosome:Pop_tri_v4:13:14866695:14868759:1 gene:Potri.013G152900.v4.1 transcript:Potri.013G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152900.v4.1 MAAENGEEKLIAVARHIAKTLGHNESMADDILQIFSKFDGRFSREKLADKLTTAGQEEDPRALDHTIKSLERQISQYVAADHPIWSDSADSSAFLDCVDELISTVRGLSASSSAGAYLARAEDLLQQTMFRLEEEFRLLIERGGESFETTRSYNNGNGELTEDNSNLLFDDSDEDDHDYSDSEIPVAQRLSNYDVIIDALPSGIINDLHEIAKRMVVSGSGKECSHVYSSFRREFLEESLSRLGLGKLSNEEVQKMQWQELEDEIDKWIKAANVSLRILFPSERRLCDRVFYGLGSVSDLSFMEVCRGAVVQILNFADAIAIGSRSPERLFKVLDLFETMRDLMPEFEFNFSDQYCLVLRNDALGIWKRLGEAIRGIFMELENLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSRESLELVFEESVNVAPSKDSTLSSLSVQMAWIMELLESNLEVKAKIYGDTALCSVFLMNNERYIVQKVKDSELGLLLGDDWIRKHTAKIKQYLSSYQRSSWNKLLGVLRADSSPVAANVGGKSMSMKDRIKAFNSQFEEIYKSHSQWIIFDEQLRNELRNSLYNLVMPAYRNFIARFQNAPDVGRHADRYIKYTLEDIGTQINELFEGVNGSAGGRK >Potri.013G152900.2.v4.1 pep chromosome:Pop_tri_v4:13:14866688:14872183:1 gene:Potri.013G152900.v4.1 transcript:Potri.013G152900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152900.v4.1 MAAENGEEKLIAVARHIAKTLGHNESMADDILQIFSKFDGRFSREKLADKLTTAGQEEDPRALDHTIKSLERQISQYVAADHPIWSDSADSSAFLDCVDELISTVRGLSASSSAGAYLARAEDLLQQTMFRLEEEFRLLIERGGESFETTRSYNNGNGELTEDNSNLLFDDSDEDDHDYSDSEIPVAQRLSNYDVIIDALPSGIINDLHEIAKRMVVSGSGKECSHVYSSFRREFLEESLSRLGLGKLSNEEVQKMQWQELEDEIDKWIKAANVSLRILFPSERRLCDRVFYGLGSVSDLSFMEVCRGAVVQILNFADAIAIGSRSPERLFKVLDLFETMRDLMPEFEFNFSDQYCLVLRNDALGIWKRLGEAIRGIFMELENLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSRESLELVFEESVNVAPSKDSTLSSLSVQMAWIMELLESNLEVKAKIYGDTALCSVFLMNNERYIVQKVKDSELGLLLGDDWIRKHTAKIKQYLSSYQRSSWNKLLGVLRADSSPVAANVGGKSMSMKDRIKAFNSQFEEIYKSHSQWIIFDEQLRNELRNSLYNLVMPAYRNFIARFQNAPDVGRHADRYIKYTLEDIGTQINELFEGVNGSAGGRK >Potri.013G152900.3.v4.1 pep chromosome:Pop_tri_v4:13:14867034:14868839:1 gene:Potri.013G152900.v4.1 transcript:Potri.013G152900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152900.v4.1 MFRLEEEFRLLIERGGESFETTRSYNNGNGELTEDNSNLLFDDSDEDDHDYSDSEIPVAQRLSNYDVIIDALPSGIINDLHEIAKRMVVSGSGKECSHVYSSFRREFLEESLSRLGLGKLSNEEVQKMQWQELEDEIDKWIKAANVSLRILFPSERRLCDRVFYGLGSVSDLSFMEVCRGAVVQILNFADAIAIGSRSPERLFKVLDLFETMRDLMPEFEFNFSDQYCLVLRNDALGIWKRLGEAIRGIFMELENLIRRDPAKAPVPRGGLHPITRYVMNYLRAACRSRESLELVFEESVNVAPSKDSTLSSLSVQMAWIMELLESNLEVKAKIYGDTALCSVFLMNNERYIVQKVKDSELGLLLGDDWIRKHTAKIKQYLSSYQRSSWNKLLGVLRADSSPVAANVGGKSMSMKDRIKAFNSQFEEIYKSHSQWIIFDEQLRNELRNSLYNLVMPAYRNFIARFQNAPDVGRHADRYIKYTLEDIGTQINELFEGVNGSAGGRK >Potri.019G117900.1.v4.1 pep chromosome:Pop_tri_v4:19:14404024:14407785:1 gene:Potri.019G117900.v4.1 transcript:Potri.019G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117900.v4.1 MAAVTPVSFSAISQSSSDRRAFCTVARNLGFEGFRFRSSFSCHYVGVRASNSTSRMVIHCMSTSTDVPPTVADTKLNFLKAYKRPIPSIYNTVLQELIVQQHLMKYKKTFRYDPVFGLGFVTVYDQLMEGYPSDEDREAIFQAYIKALEEDPEQYRIDAKKLEEWARAQTPSSLVDFSSREGEIEGTLKDIAERVASGNFSYSRFFAVGLFRLLELSNASEPTVLEKLCSALNINKRSVDRDLDVYRGLLSKLVQARELLKEYVDREKKKQEERAESQKASETVTKCLGEPQFVGQ >Potri.001G224800.2.v4.1 pep chromosome:Pop_tri_v4:1:24278848:24282588:1 gene:Potri.001G224800.v4.1 transcript:Potri.001G224800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224800.v4.1 MEGAQRVLVIQDASREVSSSAIKWALHGLSLKPGDMVTLLGVLHLVNTPLGYKSRTDSSMFGVNQNIVDREVTGKINEYENHGELKELSKLYEIQKVELKIEVATGPSPKTVALKIAQDLKATWIILDRTMKKDRKYFLRKLSCGISRMKRNNSIEQLRGPKDSTEANQNERVRNICLSYDEIIPGSLEEQEFFSIELLPPRQTEVIQPSQVEALTRSSGGDEVVVEEWQPEVIFQNSICNLCKIRRPHSGWIRDFTFEELQAATDDFSAKNTIYEGGIGTACRGKLSNNLKIVVKQHKSSSHQGEMNFKSAVHLLKKARHDNVLMLLGSCTEPSVRLLVYEYACNGSVNQHISKHCPLPLTWTERMKVAMGAARGLDYLHKNNIIHGNMRTRNIALNHDFEPMLGDFGLSTENPSDDIDFETGYVAPEYQENRKLSTRTDVYAFGIVLLELITGRNAADKKLGEKGLVKWARPFLKYKRLLEILDPRIDSSLDSEQLYWIGLVTQKCLCDNPKKRLTMDKVASALECITERKSCQLIQDLAAAKSYFYSTFEFNGFRRYDKSFKRDSFSVEIDDESRASTSFSLNSASFSRSPTSSVKSDKMWREKSGNGISLRYAEMLD >Potri.001G224800.3.v4.1 pep chromosome:Pop_tri_v4:1:24278848:24282588:1 gene:Potri.001G224800.v4.1 transcript:Potri.001G224800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224800.v4.1 MEGAQRVLVIQDASREVSSSAIKWALHGLSLKPGDMVTLLGVLHLVNTPLGYKSRTDSSMFGVNQNIVDREVTGKINEYENHGELKELSKLYEIQKVELKIEVATGPSPKTVALKIAQDLKATWIILDRTMKKDRKYFLRKLSCGISRMKRNNSIEQLRGPKDSTEANQNERVRNICLSYDEIIPGSLEEQEFFSIELLPPKVIQPSQVEALTRSSGGDEVVVEEWQPEVIFQNSICNLCKIRRPHSGWIRDFTFEELQAATDDFSAKNTIYEGGIGTACRGKLSNNLKIVVKQHKSSSHQGEMNFKSAVHLLKKARHDNVLMLLGSCTEPSVRLLVYEYACNGSVNQHISKHCPLPLTWTERMKVAMGAARGLDYLHKNNIIHGNMRTRNIALNHDFEPMLGDFGLSTENPSDDIDFETGYVAPEYQENRKLSTRTDVYAFGIVLLELITGRNAADKKLGEKGLVKWARPFLKYKRLLEILDPRIDSSLDSEQLYWIGLVTQKCLCDNPKKRLTMDKVASALECITERKSCQLIQDLAAAKSYFYSTFEFNGFRRYDKSFKRDSFSVEIDDESRASTSFSLNSASFSRSPTSSVKSDKMWREKSGNGISLRYAEMLD >Potri.001G224800.4.v4.1 pep chromosome:Pop_tri_v4:1:24278848:24282589:1 gene:Potri.001G224800.v4.1 transcript:Potri.001G224800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224800.v4.1 MEGAQRVLVIQDASREVSSSAIKWALHGLSLKPGDMVTLLGVLHLVNTPLGYKSRTDSSMFGVNQNIVDREVTGKINEYENHGELKELSKLYEIQKVELKIEVATGPSPKTVALKIAQDLKATWIILDRTMKKDRKYFLRKLSCGISRMKRNNSIEQLRGPKDSTEANQNERVRNICLSYDEIIPGSLEEQEFFSIELLPPRQTEVIQPSQVEALTRSSGGDEVVVEEWQPEVIFQNSICNLCKIRRPHSGWIRDFTFEELQAATDDFSAKNTIYEGGIGTACRGKLSNNLKIVVKQHKSSSHQGEMNFKSAVHLLKKARHDNVLMLLGSCTEPSVRLLVYEYACNGSVNQHISKHCPLPLTWTERMKVAMGAARGLDYLHKNNIIHGNMRTRNIALNHDFEPMLGDFGLSTENPSDDIDFETGYVAPEYQENRKLSTRTDVYAFGIVLLELITGRNAADKKLGEKGLVKWVASALECITERKSCQLIQDLAAAKSYFYSTFEFNGFRRYDKSFKRDSFSVEIDDESRASTSFSLNSASFSRSPTSSVKSDKMWREKSGNGISLRYAEMLD >Potri.001G224800.5.v4.1 pep chromosome:Pop_tri_v4:1:24278848:24282589:1 gene:Potri.001G224800.v4.1 transcript:Potri.001G224800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224800.v4.1 MEGAQRVLVIQDASREVSSSAIKWALHGLSLKPGDMVTLLGVLHLVNTPLGYKSRTDSSMFGVNQNIVDREVTGKINEYENHGELKELSKLYEIQKVELKIEVATGPSPKTVALKIAQDLKATWIILDRTMKKDRKYFLRKLSCGISRMKRNNSIEQLRGPKDSTEANQNERVRNICLSYDEIIPGSLEEQEFFSIELLPPKVIQPSQVEALTRSSGGDEVVVEEWQPEVIFQNSICNLCKIRRPHSGWIRDFTFEELQAATDDFSAKNTIYEGGIGTACRGKLSNNLKIVVKQHKSSSHQGEMNFKSAVHLLKKARHDNVLMLLGSCTEPSVRLLVYEYACNGSVNQHISKHCPLPLTWTERMKVAMGAARGLDYLHKNNIIHGNMRTRNIALNHDFEPMLGDFGLSTENPSDDIDFETGYVAPEYQENRKLSTRTDVYAFGIVLLELITGRNAADKKLGEKGLVKWVASALECITERKSCQLIQDLAAAKSYFYSTFEFNGFRRYDKSFKRDSFSVEIDDESRASTSFSLNSASFSRSPTSSVKSDKMWREKSGNGISLRYAEMLD >Potri.002G260000.1.v4.1 pep chromosome:Pop_tri_v4:2:24787256:24788115:-1 gene:Potri.002G260000.v4.1 transcript:Potri.002G260000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260000.v4.1 MASGSSNWTSKQNKLFENALAIYDQESPDRWHNLARAVGKTVEEVKKHYQMLVEDVQQIEAGEIPLPNYTRRSGASNKSYHCNDDQAQRVKNLNLN >Potri.002G170600.2.v4.1 pep chromosome:Pop_tri_v4:2:13124927:13135678:-1 gene:Potri.002G170600.v4.1 transcript:Potri.002G170600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170600.v4.1 MIIKKIMKGEMRRGNTREGACNEFDEEENFEYELIPKKRKLNGYNSNSMGVYSESDDFRRGSYTYRSEESYWANEVQSNSKKRLKNQSSVSSRKSISRSSRGRVKMLPSRFNDSVVDIWKGEECRIDDTDMGIEDDEFQDRKDFCSEKYRYNSKFDFVSSNSYPFYAAEGNREAGQLGCNDFQYRNCNTSEFLSSGNLLIEDGEFVPKYRYTGLNKMRRERAHKKDVYKPEDFALGDIVWAKCGKRYPWWPAIVIDPILQAPDAVLSCCVPGAICIMFYGYSKNGTQRDYAWVKQGMVFPFAEFMERFQVQSQMFKCKLSDFQVALEEAILAESGFQGMDSSCVEIAYPEAHPTRFQEASCSIQDQDFYNQQQDACYKDMKICDGCNLILPCKIVKKRRRSTFQTELLCKHCAKLRKSKQYCGICKKTWHHSDGGNWVCCDGCNVWVHAECDNISSKLFKDMEDIDYYCPDCKVKFKFVQPDLERRKPPVKSTENSGQAAPLDKVTVICNGMEGTYFPKLHLIECHCSSCGSRKQAPSEWEKHTGCRSKKWKHSVKIKDTMLPLAQWIAEYNACVDPLKLDEQKLLAFVQEKYEPIYAKWTSERCSVCRWVEDWDDNKIIICNRCQIAVHQECYGAINVQDFASWVCRACETPDVKKECCLCPVKGGALKPSDIEKLWVHVICAWFQPEVGFLNHEKMEPATGILRIPSTSFIKRCVICKQTYGSCTQCCKCATYFHATCASRAGYFMELNCTEKSGMQVTEKLIYCAVHRKPNPDSVVVVRTPSGIFSGRSFLQNRNGCLRGSRLVSSKKVELPDPSTRESNDFEPVSAAKCRAFKRTNYKVSEGEPIFHRLMGPRHDSLHSIISLSTYKETGDSTVFSSFKERLCHLQKTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYRGEKVRRSVADLREARYRLEGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTDVSAGNELTYDYLFDPDERDDLKVPCLCKAPNCRKFMN >Potri.016G137700.1.v4.1 pep chromosome:Pop_tri_v4:16:14117602:14121651:-1 gene:Potri.016G137700.v4.1 transcript:Potri.016G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137700.v4.1 MERGEFRGAKACGKGFRISLLLFLCMITTQVKGSIHEYRNEAFTTKSNAFFFHGGSEGIYASKASRHPDSSALSQSGKSFIRFEGVTFRRTKESASRQEVMQTSTGVVEAIILDVKDRERIGGEFLKTSAICCNHTLAETGSCKLGEVIFKKNLDNPNWPRVIKTDFAGTIDEAKLEADEIEINSNGMYYLYFMFCNPELKGTVINGRTVWKNPNGYLPGKMTPLMTFFGIMSLAYLVLGLAWFVRFVQFWKDIIHLHYHITAVIALGMCEMAVWYFEYANFNSTGLRPMGITLWAVTFTTVKKTLSRLLLLVVSMGFGVVRPTLGGITSKVLLLGLVYFIASEALELIEHLGNINDFSKKTKVFVVLPVVFLDSCFILWIFSSLSKTLEKLQMRRNMAKLELYRKFTNALAVSVLLSIAWIGFELYFNATDPLSELWQVAWIIPAFWTLLAYSLLVVICVLWAPSRNPTRYAYSEGEDFDEEGISLTTGDIANKLERNALVEDLEEDKRE >Potri.016G137700.2.v4.1 pep chromosome:Pop_tri_v4:16:14117608:14121645:-1 gene:Potri.016G137700.v4.1 transcript:Potri.016G137700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137700.v4.1 MQTSTGVVEAIILDVKDRERIGGEFLKTSAICCNHTLAETGSCKLGEVIFKKNLDNPNWPRVIKTDFAGTIDEAKLEADEIEINSNGMYYLYFMFCNPELKGTVINGRTVWKNPNGYLPGKMTPLMTFFGIMSLAYLVLGLAWFVRFVQFWKDIIHLHYHITAVIALGMCEMAVWYFEYANFNSTGLRPMGITLWAVTFTTVKKTLSRLLLLVVSMGFGVVRPTLGGITSKVLLLGLVYFIASEALELIEHLGNINDFSKKTKVFVVLPVVFLDSCFILWIFSSLSKTLEKLQMRRNMAKLELYRKFTNALAVSVLLSIAWIGFELYFNATDPLSELWQVAWIIPAFWTLLAYSLLVVICVLWAPSRNPTRYAYSEGEDFDEEGISLTTGDIANKLERNALVEDLEEDKRE >Potri.019G076400.2.v4.1 pep chromosome:Pop_tri_v4:19:11735677:11737389:1 gene:Potri.019G076400.v4.1 transcript:Potri.019G076400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076400.v4.1 MTKAKKELLSKAPWRGEDDDESNKFKDAKLKVTSQPGSTPTMHVPRKKSQRFDDEDDDDQALEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPAMAYNVSRNLSFFTRIFTQFFDPEGIANAQKSLGLGQEEKARRVR >Potri.019G076400.3.v4.1 pep chromosome:Pop_tri_v4:19:11735725:11737376:1 gene:Potri.019G076400.v4.1 transcript:Potri.019G076400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076400.v4.1 MTKAKKELLSKAPWRGEDDDESNKFKDAKLKVTSQPGSTPTMHVPRKKSQRFDDEDDDDQALEIDPELRYSFQRNFQFLQRVFSIDTVVKPLPPAMAYNVSRNLSFFTRIFTQFFGEFDFLLSLGRLHIESFIISSPCIISYCSTFYFILL >Potri.008G141300.2.v4.1 pep chromosome:Pop_tri_v4:8:9530122:9531026:1 gene:Potri.008G141300.v4.1 transcript:Potri.008G141300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141300.v4.1 MPSQKIETGHEDTVHDVAMDYYGKRIATASSDHSIKIIGVNNNTSQHLANLTGHQGPVWQEGNQNDWTQAHVFDDHKSSVNSIAWAPHELGLSLACGSSDGNISVFTARADGNWDTSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLYNGNWKLDCFPALNMHTDWVRDVAWAPNLGFPKSTIASASQDGKVIIWTVAKEGDQWEGKVLHDFKAPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVDA >Potri.012G048600.2.v4.1 pep chromosome:Pop_tri_v4:12:4525603:4532429:1 gene:Potri.012G048600.v4.1 transcript:Potri.012G048600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048600.v4.1 MGGSGTLVNGVRRWFQRRSNNNNSSCIPQSSVKVKEEIEETEEQELTVIEDFDISGLQLIRVPKRIHFPPGFTMDPHKKGSAEADFFTEYGEGNRYQVQEVVGKGSYGVVGSAIDTHTGEKVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFRDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAIFWTDYVATRWYRAPELCGSFFSKYTPAIDTWSIGCIFAEMLTGKPLFPGKNVVHQLDLMSDLLGTPSPESLSRIRNEKARRYLSSMRKKPPVPFSQKFPNVDPLALRLLERLLAFDPKDRPSAEEALADPYFNGLSNVEREPSTQPISKLEFEFERRKLTKDDVRELIYREILEYHPQMLQEYLRGGEQTSFMYPSGVDRFKRQFAHLEEHYGKGEKNTPLQRQHASLPRERVPAPKDETAARNTDIEGRTAASVATSLQSLPGSQQPDGSEDATAAAQNGSSKPNYSNRSLLKSASISASKCVVVKPEGDTEEETITEANDEVVNDLSEKVAAL >Potri.001G336200.1.v4.1 pep chromosome:Pop_tri_v4:1:34447768:34448593:1 gene:Potri.001G336200.v4.1 transcript:Potri.001G336200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336200.v4.1 MRREGRPHGMVRAYAVLPPPWNPKPGGDRKFNKFDTPTTAGLFTKVSSKPTNHSKFTGKCGKPRCLECHLQPCCKAKHKTKGSHKVKSQDVLSNSNAQFIAWRVVNGRHGSNLSGFSATGMLNHLASTGDHDHADEVDDSGHEIDQEFVDDDDENYIGDGVNIKNIEEEDGMSYCDVGFEVDLAEGDEDWCLVAEKA >Potri.016G090000.1.v4.1 pep chromosome:Pop_tri_v4:16:7311498:7314652:1 gene:Potri.016G090000.v4.1 transcript:Potri.016G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090000.v4.1 MGGERKRILVGLMVAMILGIAVYFRLWTIDYAISSDDTELIRRQFDLANREALDESAEWRMKYDEEVERSAKCDKQLIEIKQKVEDAASINQQLVMLQKENMALVGRMEVLKRELEATKLKCRSKIDR >Potri.015G142200.1.v4.1 pep chromosome:Pop_tri_v4:15:14818803:14823572:-1 gene:Potri.015G142200.v4.1 transcript:Potri.015G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142200.v4.1 MGFFRRLFGAKKKSRSSKTTPSLSQSKKVDQILLSTTPYDANNLDANKHAIAVAAATAAVAEAALAAAQAAAEVVRLTSGGCGGGGGGNVLGGSHRRFVEEVSAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQARARASRSHVSDSWHTTSKSSHSRYAVPASPSKDHLFRASSTKFDGPSILKRCGSNANFRESIDFDKVKLGSNWLERWMEESLWNDHGSNPLRNRHADDERSDKILEVDTWKPHVKSQQSNRTFQTSQHALASDHNNQIFMTFDSPSKISKKAPNPMPSIPSGEILYSLKLPLGNDEAVSRTAENSPRLFSATSRPGSSGRKGGGHFTPTRSECSWGFFNGYPGYPNYMSNTESSRAKVRSQSAPRQRLEFEKYGSSRRSVQGYYDADIRSERGFAQNTELPSGYSNRLGTSNLR >Potri.017G080100.1.v4.1 pep chromosome:Pop_tri_v4:17:8921570:8925639:1 gene:Potri.017G080100.v4.1 transcript:Potri.017G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G080100.v4.1 MAKFSASFCFIILVISGCACIDSAMGGEIGIYELKKGNLSMKLTNYGARIISLVLPDKNGKLGDVALGFDTIEEFMNASSPFGATVGRVANRISNAQFTLNGTVYKLPANSGNNTIHGGPIGFSKVVWKVKKYSPDGPVPHIVFAYHSFDGEQGFPGDLLVKTSYTLLGDNQLCITMEAKALNKATPVCLVNHAFWNLGGHNSGDILSEKIQIFASRYLPVDSTLIPTGEIVTVKETPYDFLKPNTIGSRINELPKGYDTNYALDGSGNKKLRKAAIVHDEKSGRAMEILTNQPGVQLFTSNTLNVKGKGGFMYKPHGALSLETQGFPDSVNRPNFPSQIVNPGKPYKHYMLFKFSTF >Potri.013G073400.1.v4.1 pep chromosome:Pop_tri_v4:13:6078691:6095435:1 gene:Potri.013G073400.v4.1 transcript:Potri.013G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073400.v4.1 MQELNNERVCPQNSDSINRHDGEAHLAPRKLKTNGNLNYFEDREAMELHLRLRAQKEEIQILHGQIAAACLRELQLLDEKYILERKFSYLRMAIDEKQTEAITSASNELARRKGDLEENLQLTHDLKVVDDDRYIFVSSLLGLLAEYGIRPHVVNASAISNSVKLLHDQLQWNIRTSHDIIREIASVLGSKNGSSSHDIDNPVSGILTGQTTHRSTGHHGTSASNHDIAERHLELNDNMPKFVHETNLADKSNLTLHNGMHQLLNNNNFPEFSFDPDRKVAGPLSNSLFGKSDMNARAGQMANDVPHPSSMNDEIASSVSDDLPGIEGFQIIGDATPGEKLLGCGFPVRGTSLCMFQWVHHLEDGTRQYIEGATNPEYIVTADDVDKLIAVECIPMDDQGRQGELVRLFANDQNKIKCDPDMQREIDTYISKGEATFSVLLLTDSSENWDSTTLVLRRSGYQIKSDGRGNVVIAEKFSKDLSIKIPAGLSTQFVLTCSNGSSHPLSTYDVRMRDTLVLAMRMFQSKALDDKRKGRA >Potri.013G073400.2.v4.1 pep chromosome:Pop_tri_v4:13:6078773:6095464:1 gene:Potri.013G073400.v4.1 transcript:Potri.013G073400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073400.v4.1 MQELNNERVCPQNSDSINRHDGEAHLAPRKLKTNGNLNYFEDREAMELHLRLRAQKEEIQILHGQIAAACLRELQLLDEKYILERKFSYLRMAIDEKQTEAITSASNELARRKGDLEENLQLTHDLKVVDDDRYIFVSSLLGLLAEYGIRPHVVNASAISNSVKLLHDQLQWNIRTSHDIIREIASVLGSKNGSSSHDIDNPVSGILTGQTTHRSTVLLGHHGTSASNHDIAERHLELNDNMPKFVHETNLADKSNLTLHNGMHQLLNNNNFPEFSFDPDRKVAGPLSNSLFGKSDMNARAGQMANDVPHPSSMNDEIASSVSDDLPGIEGFQIIGDATPGEKLLGCGFPVRGTSLCMFQWVHHLEDGTRQYIEGATNPEYIVTADDVDKLIAVECIPMDDQGRQGELVRLFANDQNKIKCDPDMQREIDTYISKGEATFSVLLLTDSSENWDSTTLVLRRSGYQIKSDGRGNVVIAEKFSKDLSIKIPAGLSTQFVLTCSNGSSHPLSTYDVRMRDTLVLAMRMFQSKALDDKRKGRA >Potri.010G218001.1.v4.1 pep chromosome:Pop_tri_v4:10:20454127:20454470:1 gene:Potri.010G218001.v4.1 transcript:Potri.010G218001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218001.v4.1 MKLKETGIEVRIEVILVQRIEEENQATRRNQGIIHHTVCLLTGLPRFIFFPSCTCVAVLLSATILHF >Potri.019G028000.1.v4.1 pep chromosome:Pop_tri_v4:19:4048130:4049068:1 gene:Potri.019G028000.v4.1 transcript:Potri.019G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G028000.v4.1 MSSDINELYRRVIYRNNTLTDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGKPMRDGHNKVYKLFSDVIEGKEGRFRETMLGKRVDYSGRSVIFVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIGVAKSKIREKEPIVWGILQEVMRGHPILLNRAPTLHRLGIQAFQPILVEGRAICLHPLVRKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPISVPTQDMLMGLYVLTSGNRRGICANRYNPFNCRNFQNEKIDANANKDKYIKEPFFVIHMMQLELIARKESI >Potri.016G052301.1.v4.1 pep chromosome:Pop_tri_v4:16:3385370:3385881:1 gene:Potri.016G052301.v4.1 transcript:Potri.016G052301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052301.v4.1 MAAQVIVSKTFLLVLVIAAFAVASAQDSESMAPAPAPGMDAGAAGFSSPVSGAIVGLSLIVSLLGFLKH >Potri.008G167001.1.v4.1 pep chromosome:Pop_tri_v4:8:11534117:11534953:-1 gene:Potri.008G167001.v4.1 transcript:Potri.008G167001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167001.v4.1 IGNGDISDLPFSGELDDSFISIPSDLLLHASCDPIPAIVSAIYPSISEPQMDPCYFRERAIVTPKNTTVAEINDFVLAMTSGDKHIYLSTDSISTSSRETDIANSLYPTEYINQLEFNGVPSHTLALKIGTPVMLLRNINPSIGLCNGTRLIVTQLSARVIEAQIITGSNIGNRVFIPRIIFPINEGRCPFTIKRRQFPLRLCYAMTINKSQGQSLKTVGVFLKEQVFTHGQLYVALSRVTSRKGLKIISCNNQGEPSHYAKNIVYKDIINALPRGCF >Potri.003G188700.7.v4.1 pep chromosome:Pop_tri_v4:3:19291393:19295619:-1 gene:Potri.003G188700.v4.1 transcript:Potri.003G188700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188700.v4.1 MEGGGSGHNSTPRIDKTRVLDVKPLRTLAPVFPSSSEAPPFVSTSPFGPHSSGFAPFYPFSAPQATQATPDLNQHTHATPAAPLRSFRGTESNGDAFNGEAGSFDGSKGSAKRKTKSSLQKRARKSQDLDFTLSVENNFVVGVSLSERDDGNGEVVHSIRMRFDALRRRLSQLEDAKESPVGIIRRADLKAGNILMTKQVRTNMRKRIGAVPGVEIGDIFFFRIEMCLLGLHAPSMAGIDYMSLRNDLEEEPLAVSIVSSGYYEDNAEDKDVLIYSGQGGAANKDKGATDQKLERGNLALERSLRRGNEVRVIRGMKDSVNQASKVYVYDGLYRVQESWVEKAKSGCNIFKYKLVRIPGQPDAFGVWKSIEKWKEGLSSRAGLILPDLTSGAESTAVSLLNDVDEEKGPAYFTYVSTVKYSKSFKLTQPAYGCNCPNACQPGNLNCSCIRKNEGNFPYTANGVLVCRAPMIDECGPTCPCFPNCKNRVSQTGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVVEKVSQPGEEGDGDDYVFDTSRVYESFRWNYEPGLVEEDSSIEAIEEPKVPSPLVISSRNVGNVARFMNHGCYPNVFWQPIMYEHNSESFIHIGFFAMRHIPPMTELTYDYGKSCVGEAEADGGSTPRGRRKCLCGAPRCRGYFA >Potri.003G188700.5.v4.1 pep chromosome:Pop_tri_v4:3:19292127:19295716:-1 gene:Potri.003G188700.v4.1 transcript:Potri.003G188700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188700.v4.1 MEGGGSGHNSTPRIDKTRVLDVKPLRTLAPVFPSSSEAPPFVSTSPFGPHSSGFAPFYPFSAPQATQATPDLNQHTHATPAAPLRSFRGTESNGDAFNGEAGSFDGSKGSAKRKTKSSLQKRARKSQDLDFTLSVENNFVVGVSLSERDDGNGEVVHSIRMRFDALRRRLSQLEDAKESPVGIIRRADLKAGNILMTKQVRTNMRKRIGAVPGVEIGDIFFFRIEMCLLGLHAPSMAGIDYMSLRNDLEEEPLAVSIVSSGYYEDNAEDKDVLIYSGQGGAANKDKGATDQKLERGNLALERSLRRGNEVRVIRGMKDSVNQASKVYVYDGLYRVQESWVEKAKSGCNIFKYKLVRIPGQPDAFGVWKSIEKWKEGLSSRAGLILPDLTSGAESTAVSLLNDVDEEKGPAYFTYVSTVKYSKSFKLTQPAYGCNCPNACQPGNLNCSCIRKNEGNFPYTANGVLVCRAPMIDECGPTCPCFPNCKNRVSQTGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVVEKVSQPGEEGDGDDYVFDTSRVYESFRWNYEPGLVEEDSSIEAIEEPKVPSPLVISSRNVGNVARFMNHGCYPNVFWQPIMYEHNSESFIHIGFFAMRHIPPMTELTYDYGKSCVGEAEADGGSTPRGRRKCLCGAPRCRGYFA >Potri.003G188700.1.v4.1 pep chromosome:Pop_tri_v4:3:19291213:19295746:-1 gene:Potri.003G188700.v4.1 transcript:Potri.003G188700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188700.v4.1 MEGGGSGHNSTPRIDKTRVLDVKPLRTLAPVFPSSSEAPPFVSTSPFGPHSSGFAPFYPFSAPQATQATPDLNQHTHATPAAPLRSFRGTESNGDAFNGEAGSFDGSKGSAKRKTKSSLQKRARKSQDLDFTLSVENNFVVGVSLSERDDGNGEVVHSIRMRFDALRRRLSQLEDAKESPVGIIRRADLKAGNILMTKQVRTNMRKRIGAVPGVEIGDIFFFRIEMCLLGLHAPSMAGIDYMSLRNDLEEEPLAVSIVSSGYYEDNAEDKDVLIYSGQGGAANKDKGATDQKLERGNLALERSLRRGNEVRVIRGMKDSVNQASKVYVYDGLYRVQESWVEKAKSGCNIFKYKLVRIPGQPDAFGVWKSIEKWKEGLSSRAGLILPDLTSGAESTAVSLLNDVDEEKGPAYFTYVSTVKYSKSFKLTQPAYGCNCPNACQPGNLNCSCIRKNEGNFPYTANGVLVCRAPMIDECGPTCPCFPNCKNRVSQTGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVVEKVSQPGEEGDGDDYVFDTSRVYESFRWNYEPGLVEEDSSIEAIEEPKVPSPLVISSRNVGNVARFMNHGCYPNVFWQPIMYEHNSESFIHIGFFAMRHIPPMTELTYDYGKSCVGEAEADGGSTPRGRRKCLCGAPRCRGYFA >Potri.003G188700.2.v4.1 pep chromosome:Pop_tri_v4:3:19291207:19295724:-1 gene:Potri.003G188700.v4.1 transcript:Potri.003G188700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188700.v4.1 MEGGGSGHNSTPRIDKTRVLDVKPLRTLAPVFPSSSEAPPFVSTSPFGPHSSGFAPFYPFSAPQATQATPDLNQHTHATPAAPLRSFRGTESNGDAFNGEAGSFDGSKGSAKRKTKSSLQKRARKSQDLDFTLSVENNFVVGVSLSERDDGNGEVVHSIRMRFDALRRRLSQLEDAKESPVGIIRRADLKAGNILMTKQVRTNMRKRIGAVPGVEIGDIFFFRIEMCLLGLHAPSMAGIDYMSLRNDLEEEPLAVSIVSSGYYEDNAEDKDVLIYSGQGGAANKDKGATDQKLERGNLALERSLRRGNEVRVIRGMKDSVNQASKVYVYDGLYRVQESWVEKAKSGCNIFKYKLVRIPGQPDAFGVWKSIEKWKEGLSSRAGLILPDLTSGAESTAVSLLNDVDEEKGPAYFTYVSTVKYSKSFKLTQPAYGCNCPNACQPGNLNCSCIRKNEGNFPYTANGVLVCRAPMIDECGPTCPCFPNCKNRVSQTGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVVEKVSQPGEEGDGDDYVFDTSRVYESFRWNYEPGLVEEDSSIEAIEEPKVPSPLVISSRNVGNVARFMNHGCYPNVFWQPIMYEHNSESFIHIGFFAMRHIPPMTELTYDYGKSCVGEAEADGGSTPRGRRKCLCGAPRCRGYFA >Potri.003G188700.6.v4.1 pep chromosome:Pop_tri_v4:3:19291397:19295757:-1 gene:Potri.003G188700.v4.1 transcript:Potri.003G188700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188700.v4.1 MEGGGSGHNSTPRIDKTRVLDVKPLRTLAPVFPSSSEAPPFVSTSPFGPHSSGFAPFYPFSAPQATQATPDLNQHTHATPAAPLRSFRGTESNGDAFNGEAGSFDGSKGSAKRKTKSSLQKRARKSQDLDFTLSVENNFVVGVSLSERDDGNGEVVHSIRMRFDALRRRLSQLEDAKESPVGIIRRADLKAGNILMTKQVRTNMRKRIGAVPGVEIGDIFFFRIEMCLLGLHAPSMAGIDYMSLRNDLEEEPLAVSIVSSGYYEDNAEDKDVLIYSGQGGAANKDKGATDQKLERGNLALERSLRRGNEVRVIRGMKDSVNQASKVYVYDGLYRVQESWVEKAKSGCNIFKYKLVRIPGQPDAFGVWKSIEKWKEGLSSRAGLILPDLTSGAESTAVSLLNDVDEEKGPAYFTYVSTVKYSKSFKLTQPAYGCNCPNACQPGNLNCSCIRKNEGNFPYTANGVLVCRAPMIDECGPTCPCFPNCKNRVSQTGLKVRLEVFKTKDRGWGLRSWDPIRAGTFICEYAGEVVEKVSQPGEEGDGDDYVFDTSRVYESFRWNYEPGLVEEDSSIEAIEEPKVPSPLVISSRNVGNVARFMNHGCYPNVFWQPIMYEHNSESFIHIGFFAMRHIPPMTELTYDYGKSCVGEAEADGGSTPRGRRKCLCGAPRCRGYFA >Potri.012G014100.2.v4.1 pep chromosome:Pop_tri_v4:12:1689310:1692753:-1 gene:Potri.012G014100.v4.1 transcript:Potri.012G014100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014100.v4.1 MAILSDYEEDQNDKKSSPSPSCTSPKTPMFTAAFNPQNPIRIVETVLDFLGKESNFLEKDTAEKEIFAVVKRAVEEKKRKKAEEEAKVKEENKRLKKEEVKENEEKVQEGENKEQSAKRVPNKGNGLDLEKYSWTQTLQEVNIQVPVPSGTKSRFVVCDIKKNHLKVGLKGLPPIIEGEPYKPVKVDDCYWSIEDQNTISILLTKHDQMDWWKSLVKGDPEIDTQKVEPENSKLSDLDAETRQTVEKMMFDQRQKKMGLPTSDEMQKQEILKKFMAEHPEMDFSKAKIA >Potri.001G076920.2.v4.1 pep chromosome:Pop_tri_v4:1:5799715:5803608:-1 gene:Potri.001G076920.v4.1 transcript:Potri.001G076920.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076920.v4.1 MKMTYGLLDGMVPHYPPLQHDSTGVPQHGINIAVTPEDQKEKKRKIDANYRQRCKIRKEELGINLQILREENAHLKRENESCRKENDSMAQKLQSKEVEIGNLKREIGNSKKVISNQEICWNHCHKTLLCNN >Potri.001G076920.3.v4.1 pep chromosome:Pop_tri_v4:1:5799715:5803267:-1 gene:Potri.001G076920.v4.1 transcript:Potri.001G076920.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076920.v4.1 MGSEETNMLTVPQHMLAQPGVPQHGINIAVTPEDQKEKKRKIDANYRQRCKIRKEELGINLQILREENAHLKRENESCRKENDSMAQKLQSKEVEIGNLKREIGNSKKVISNQEICWNHCHKTLLCNN >Potri.001G076920.1.v4.1 pep chromosome:Pop_tri_v4:1:5799715:5803608:-1 gene:Potri.001G076920.v4.1 transcript:Potri.001G076920.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076920.v4.1 MKMTYGLLDGMVPHYPPLQHDSTEETNMLTVPQHMLAQPGVPQHGINIAVTPEDQKEKKRKIDANYRQRCKIRKEELGINLQILREENAHLKRENESCRKENDSMAQKLQSKEVEIGNLKREIGNSKKVISNQEICWNHCHKTLLCNN >Potri.017G089250.2.v4.1 pep chromosome:Pop_tri_v4:17:10201147:10212041:-1 gene:Potri.017G089250.v4.1 transcript:Potri.017G089250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089250.v4.1 MGKTKKPSSAAPRHKQAQTTARPLQSTVDDSSSEIIRSACEQALRDVSSNPQKSLKRIKDLISKHPNSAPVHHTQSFVHFKIYSQTSSSFSTLKQKYLNNAADSAKKSLSLFPNSITLNYLNARIFIKMATYSSDYQRIIDHCWKALKALPSGLGPGEDIIASQPEMGGNSQESRIQTLKQVLLDVMEKAKIESLLIGKDVSEKMKESACLRIKARVPKDEELPCEYERNEKRKFIKNEIKILRDCEKRVCAGFVVKDPQSILNKVKADSSNITIVSNYWKEMSSEETRGLLQVSIDEIAGYYKKHDRLVADYLLEAVDFARKTNKWKCLKCFSCALFFFEWKELRSHVFLKHLGGLSEQQMELVPFGLEDSYVEEIENGVWKPVDVDNMAQELSTFRHCKNDVYQEKCKIYSDQKKWMFCDDAERQELLKKIHRLLKLFLKNQCLAPRILSWMWDYTIQELEESMELGFKDLVPILEQTQTPLSICFLWLEQLEVVFDFLEELSNDCDLEDNISDDGGDSKEEFCDYEPIHFNSDSSCLILDKKFIRSMLDAGEHSNIVADEGTAVIPFFDDPEKDIQFDRYRFVNWLFVSDKIQELLYSWINLRKLDKELAGMVFQFVETDFSLLKYFCERKCRLLEYQETFTDVENICLEEYKRREEISEYKEQNFASLLVERQDELVDAQSDIIGNEHACILNVLRFAQYVGHKKFGLAETLISTYTQFPDLECHEDKANRDILVDVCVKEAIKMEKQNVVREFCEHDVLIMKNVASIKRMEPKFVLVSALDYQFILFYMVKSFIRAHLEDLANKDAVKKANDAEMLLALADLAPEPSKNMTKGSDNSRKTRKKSKLKKHKNQGKAMDEGAGGSQEHLPLDKENAEKVCHSIASDGGYSDFGIVVSTSTEDLKQMEEEHRSKIGSKVPQKSFLKEEESGKSPSGSGIFGVVLKDDIGENNCILNVIIQCLWNIQLVRNELCSITDSGHEHIGDPCIVCELADVFGELNEASTCTRREIVSTTSLRLAISKCSPHRDSFQEGQMNDVDEVLQNILVILHQSFTSCPAPDASSESEKSKRVECQQWTSNKCLAHRLFGMDIYGYCDSCGLEWRHQTFSDFSHYIRSSQLREKKNINQASSFDELLKLMLMDDCLTCNQDAGGCGKPDRIQFILRTPPQVFVCVLSMQTARESREDIRDTLTALDTEVVIGDVYLGLGPGNGYFLASMVCYDELHYVCFCYSHEGKRWTMYDDVHVEVIGFWHNLLDKCVDELLQPRILFFEAGVIKCPQFDDLRKLSGSSGMLCNLGDGEVKQAEDIPEESTLHNDWQTAKDEGGNLRSHAQNEMKFLRQDKFQDACRVQKHVPLEMDQKLLQNLFLEGEETGKCPTDSKVDYMDGSEILGSGLKNDIGKNYSSLNVIIQSLWHIPQFRNELACKTAPGHKHVGDPCVVCGLAEIFDKLSAAIINPSREIVYPTSLSIAIDKLSPCGDLFQKGKMNNAFEVLWIILDSLHHSLTNVEDFSLPESEKRNCVGSLECTTDTCLVHTLFGMTVYKSVNYDSCGLESRQQKHTLFFHTISAFELRKQVSTLIRQGTSSFAELLKPMLVDYHLTLEPDADGCGENHIKYFLQTPSHVFTSVLEWTTIWVAREDIRETLAALATEIDIGILYQGLGKGKKYRLVSVVCYCGLLYSCFIYSDECKRWMMYDDTHVEVIGSWDCLCKKCVEKHFQPRILFFVESAPTEIDQNMPPKSFFEEEESGKSQSGSKVNYEDSSGIFGAGLKNDVGENSCFLNAIIQCLWNVQLVRNELRSITDSGHEHVGDPCIVCGLAEVFGELSEASTRTRREIVSTTSLRLAISKYSPHRNSFLEGQMNDADEVLQNILVILHQSFTSCPAPDASSESEKSKRVECQQWTSNKCLAHRLFGMDIYGYCDSCGLEWRHQTFSDFSHYIRSSQLREKKNKNQASSFDELMKLMLMDDCSTCNRDAGGCGKPNRIQFILRTPPLVFICVLVQTAHESREDTRKTLTALGTELDIGVVYQGLGPGKKYCLVSLVCYHHQHYVCFSYSHEHKRWTMFNDANVEVVGCWDDLLSKCSHEQFQPQILFFEAVQ >Potri.017G089250.3.v4.1 pep chromosome:Pop_tri_v4:17:10201147:10212041:-1 gene:Potri.017G089250.v4.1 transcript:Potri.017G089250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089250.v4.1 MGKTKKPSSAAPRHKQAQTTARPLQSTVDDSSSEIIRSACEQALRDVSSNPQKSLKRIKDLISKHPNSAPVHHTQSFVHFKIYSQTSSSFSTLKQKYLNNAADSAKKSLSLFPNSITLNYLNARIFIKMATYSSDYQRIIDHCWKALKALPSGLGPGEDIIASQPEMGGNSQESRIQTLKQVLLDVMEKAKIESLLIGKDVSEKMKESACLRIKARVPKDEELPCEYERNEKRKFIKNEIKILRDCEKRVCAGFVVKDPQSILNKVKADSSNITIVSNYWKEMSSEETRGLLQVSIDEIAGYYKKHDRLVADYLLEAVDFARKTNKWKCLKCFSCALFFFEWKELRSHVFLKHLGGLSEQQMELVPFGLEDSYVEEIENGVWKPVDVDNMAQELSTFRHCKNDVYQEKCKIYSDQKKWMFCDDAERQELLKKIHRLLKLFLKNQCLAPRILSWMWDYTIQELEESMELGFKDLVPILEQTQTPLSICFLWLEQLEVVFDFLEELSNDCDLEDNISDDGGDSKEEFCDYEPIHFNSDSSCLILDKKFIRSMLDAGEHSNIVADEGTAVIPFFDDPEKDIQFDRYRFVNWLFVSDKIQELLYSWINLRKLDKELAGMVFQFVETDFSLLKYFCERKCRLLEYQETFTDVENICLEEYKRREEISEYKEQNFASLLVERQDELVDAQSDIIGNEHACILNVLRFAQYVGHKKFGLAETLISTYTQFPDLECHEDKANRDILVDVCVKEAIKMEKQNVVREFCEHDVLIMKNVASIKRMEPKFVLVSALDYQFILFYMVKSFIRAHLEDLANKDAVKKANDAEMLLALADLAPEPSKNMTKGSDNSRKTRKKSKLKKHKNQGKAMDEGAGGSQEHLPLDKENAEKVCHSIASDGGYSDFGIVVSTSTEDLKQMEEEHRSKIGSKVPQKSFLKEEESGKSPSGSGIFGVVLKDDIGENNCILNVIIQCLWNIQLVRNELCSITDSGHEHIGDPCIVCELADVFGELNEASTCTRREIVSTTSLRLAISKCSPHRDSFQEGQMNDVDEVLQNILVILHQSFTSCPAPDASSESEKSKRVECQQWTSNKCLAHRLFGMDIYGYCDSCGLEWRHQTFSDFSHYIRSSQLREKKNINQASSFDELLKLMLMDDCLTCNQDAGGCGKPDRIQFILRTPPQVFVCVLSMQTARESREDIRDTLTALDTEVVIGDVYLGLGPGNGYFLASMVCYDELHYVCFCYSHEGKRWTMYDDVHVEVIGFWHNLLDKCVDELLQPRILFFEAGVIKCPQFDDLRKLSGSSGMLCNLGDGEVKQAEDIPEESTLHNDWQTAKDEGGNLRSHAQNEMKFLRQDKFQDACRVQKHVPLEMDQKLLQNLFLEGEETGKCPTDSKVDYMDGSEILGSGLKNDIGKNYSSLNVIIQSLWHIPQFRNELACKTAPGHKHVGDPCVVCGLAEIFDKLSAAIINPSREIVYPTSLSIAIDKLSPCGDLFQKGKMNNAFEVLWIILDSLHHSLTNVEDFSLPESEKRNCVGSLECTTDTCLVHTLFGMTVYKSVNYDSCGLESRQQKHTLFFHTISAFELRKQVSTLIRQGTSSFAELLKPMLVDYHLTLEPDADGCGENHIKYFLQTPSHVFTSGLLLWATLLVLHLQR >Potri.017G089250.1.v4.1 pep chromosome:Pop_tri_v4:17:10201147:10212041:-1 gene:Potri.017G089250.v4.1 transcript:Potri.017G089250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089250.v4.1 MGKTKKPSSAAPRHKQAQTTARPLQSTVDDSSSEIIRSACEQALRDVSSNPQKSLKRIKDLISKHPNSAPVHHTQSFVHFKIYSQTSSSFSTLKQKYLNNAADSAKKSLSLFPNSITLNYLNARIFIKMATYSSDYQRIIDHCWKALKALPSGLGPGEDIIASQPEMGGNSQESRIQTLKQVLLDVMEKAKIESLLIGKDVSEKMKESACLRIKARVPKDEELPCEYERNEKRKFIKNEIKILRDCEKRVCAGFVVKDPQSILNKVKADSSNITIVSNYWKEMSSEETRGLLQVSIDEIAGYYKKHDRLVADYLLEAVDFARKTNKWKCLKCFSCALFFFEWKELRSHVFLKHLGGLSEQQMELVPFGLEDSYVEEIENGVWKPVDVDNMAQELSTFRHCKNDVYQEKCKIYSDQKKWMFCDDAERQELLKKIHRLLKLFLKNQCLAPRILSWMWDYTIQELEESMELGFKDLVPILEQTQTPLSICFLWLEQLEVVFDFLEELSNDCDLEDNISDDGGDSKEEFCDYEPIHFNSDSSCLILDKKFIRSMLDAGEHSNIVADEGTAVIPFFDDPEKDIQFDRYRFVNWLFVSDKIQELLYSWINLRKLDKELAGMVFQFVETDFSLLKYFCERKCRLLEYQETFTDVENICLEEYKRREEISEYKEQNFASLLVERQDELVDAQSDIIGNEHACILNVLRFAQYVGHKKFGLAETLISTYTQFPDLECHEDKANRDILVDVCVKEAIKMEKQNVVREFCEHDVLIMKNVASIKRMEPKFVLVSALDYQFILFYMVKSFIRAHLEDLANKDAVKKANDAEMLLALADLAPEPSKNMTKGSDNSRKTRKKSKLKKHKNQGKAMDEGAGGSQEHLPLDKENAEKVCHSIASDGGYSDFGIVVSTSTEDLKQMEEEHRSKIGSKVPQKSFLKEEESGKSPSGSGIFGVVLKDDIGENNCILNVIIQCLWNIQLVRNELCSITDSGHEHIGDPCIVCELADVFGELNEASTCTRREIVSTTSLRLAISKCSPHRDSFQEGQMNDVDEVLQNILVILHQSFTSCPAPDASSESEKSKRVECQQWTSNKCLAHRLFGMDIYGYCDSCGLEWRHQTFSDFSHYIRSSQLREKKNINQASSFDELLKLMLMDDCLTCNQDAGGCGKPDRIQFILRTPPQVFVCVLSMQTARESREDIRDTLTALDTEVVIGDVYLGLGPGNGYFLASMVCYDELHYVCFCYSHEGKRWTMYDDVHVEVIGFWHNLLDKCVDELLQPRILFFEAGVIKCPQFDDLRKLSGSSGMLCNLGDGEVKQAEDIPEESTLHNDWQTAKDEGGNLRSHAQNEMKFLRQDKFQDACRVQKHVPLEMDQKLLQNLFLEGEETGKCPTDSKVDYMDGSEILGSGLKNDIGKNYSSLNVIIQSLWHIPQFRNELACKTAPGHKHVGDPCVVCGLAEIFDKLSAAIINPSREIVYPTSLSIAIDKLSPCGDLFQKGKMNNAFEVLWIILDSLHHSLTNVEDFSLPESEKRNCVGSLECTTDTCLVHTLFGMTVYKSVNYDSCGLESRQQKHTLFFHTISAFELRKQVSTLIRQGTSSFAELLKPMLVDYHLTLEPDADGCGENHIKYFLQTPSHVFTSVLEWTTIWVAREDIRETLAALATEIDIGILYQGLGKGKKYRLVSVVCYCGLLYSCFIYSDECKRWMMYDDTHVEVIGSWDCLCKKCVEKHFQPRILFFVESAPTEIDQNMPPKSFFEEEESGKSQSGSKVNYEDSSGIFGAGLKNDVGENSCFLNAIIQCLWNVQLVRNELRSITDSGHEHVGDPCIVCGLAEVFGELSEASTRTRREIVSTTSLRLAISKYSPHRNSFLEGQMNDADEVLQNILVILHQSFTSCPAPDASSESEKSKRVECQQWTSNKCLAHRLFGMDIYGYCDSCGLEWRHQTFSDFSHYIRSSQLREKKANKNQASSFDELMKLMLMDDCSTCNRDAGGCGKPNRIQFILRTPPLVFICVLVQTAHESREDTRKTLTALGTELDIGVVYQGLGPGKKYCLVSLVCYHHQHYVCFSYSHEHKRWTMFNDANVEVVGCWDDLLSKCSHEQFQPQILFFEAVQ >Potri.006G155400.1.v4.1 pep chromosome:Pop_tri_v4:6:13967792:13971657:1 gene:Potri.006G155400.v4.1 transcript:Potri.006G155400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155400.v4.1 MASLAFTPTPTPKLDLFHSKAKPTKSFKKVIRKPPHLSMPQIQNKNCQSNLQQSYFNTIFSLCGQGQIQAAFKILTEMDRRKIPIGPDIYGLLLQGCLYDRALFMGQQIHSRIIKIGDSLATNEYLETKLFIFYAKCHLFEVANNFFSRLSVKNVFSWAAIIGLNCRMGFYREALMGLCEMIDTGILADNFVVPNILKACAALQWISFGRGVHGYVVKMGFDRCVFVSSSLVDAYGKCGILEDARKVFDNMSDKNVVTWNSMIGSYVQNGFDVEAARVFSEMRLEDVEPNQVTLLSFLSASANLGAVEEGKQAHAIAVLGGYELDSILGGSILNFYSKVGLIKDAELVFGMMLEKDAVAWNLLISSYVQYGQVEKALDLCHLMRLENMRFDSVTLASILSACSIMGNIELGKEGHCYCIRNYLVSDLAVANSMIDMYAKCEKIADARHVFNSTMNKDLLLWNTLLTAYAELGVTGEVLKLFYGMQLESVPPNVMSWNAVILGFIRNGQINEAQDMFSHMQAVGIHPNLMTFTTLICGLVQNGFGNEAILVFQKMQECGIRANLPIIISTISACTDVASLQYGRAIHGHILRHDLLSPIPVATALAEMYSKCGNMDQAKRVLV >Potri.006G155400.2.v4.1 pep chromosome:Pop_tri_v4:6:13967750:13970736:1 gene:Potri.006G155400.v4.1 transcript:Potri.006G155400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155400.v4.1 MASLAFTPTPTPKLDLFHSKAKPTKSFKKVIRKPPHLSMPQIQNKNCQSNLQQSYFNTIFSLCGQGQIQAAFKILTEMDRRKIPIGPDIYGLLLQGCLYDRALFMGQQIHSRIIKIGDSLATNEYLETKLFIFYAKCHLFEVANNFFSRLSVKNVFSWAAIIGLNCRMGFYREALMGLCEMIDTGILADNFVVPNILKACAALQWISFGRGVHGYVVKMGFDRCVFVSSSLVDAYGKCGILEDARKVFDNMSDKNVVTWNSMIGSYVQNGFDVEAARVFSEMRLEDVEPNQVTLLSFLSASANLGAVEEGKQAHAIAVLGGYELDSILGGSILNFYSKVGLIKDAELVFGMMLEKDAVAWNLLISSYVQYGQVEKALDLCHLMRLENMRFDSVTLASILSACSIMGNIELGKEGHCYCIRNYLVSDLAVANSMIDMYAKCEKIADARHVFNSTMNKDLLLWNTLLTAYAELGVTGEVLKLFYGMQLESVPPNVMSWNAVILGFIRNGQINEAQDMFSHMQAVGIHPNLMTFTTLICGLVQNGFGNEAILVFQKMQECGIRANLPIIISTISACTDVASLQYGRAIHGHILRHDLLSPIPVATALAEMYSKCGNMDQAKRVLV >Potri.016G053400.1.v4.1 pep chromosome:Pop_tri_v4:16:3497151:3498872:-1 gene:Potri.016G053400.v4.1 transcript:Potri.016G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053400.v4.1 MLQLFFAVAFSAVPLTLYVPPIRSLNLFVETMEDVLRQTSLYTVRAYPRIRLVFSRFFRNLFNLSSVTNGILIRSKRTGGAEREIGAFMC >Potri.010G051600.2.v4.1 pep chromosome:Pop_tri_v4:10:8331048:8334016:1 gene:Potri.010G051600.v4.1 transcript:Potri.010G051600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051600.v4.1 MDFEEEEKMQYQGSGAIPALAEEELGEDDEYDDLYNDVNVGENFLQMHGSEAPAPPATAGNGGFQTRNAHESRVETGVSQVLATSGAGVAVEGKYSNAGAHFPEQKQAAIGVEANDVGSIGYGDGSSVAQKGRFMEMGHDVHVRNMGFQKPASVPPGTGVDPSDTSRKIANEPEPLPNTGSAGPRGVPQMQVNQMNMNADVNRPVVNENQVRPPIENGPTTLYVGELHWWTTDAELESVASQYGRVKEIKFFDERASGKSKGYCQVDFYEAAAAAACKEGMNEHVFNGRPCVVAFASAQTLKQMGASYMSKTQGQPQPQSQGRGSMNDGMGRGGNANYQSGDGGRNYGRGGWGRGGQGVLNRGPGGGPMRGRGGMGPKNMAGNVAGVGSGANGGGYGQGIAGPAFGGPAGGMMHHQGMMGAGFDPLYMGRGGGYGGFPGHGFPGMLPSFPAVNSMGLAGVAPHVNPAFFARGMAPNGMGMMASSGMEGPNPGKWPDTSMGGWGEEPGRRTRESSYDGDEGASEYGYGEGNHEKGARSSGASREKERVSERDWSGNSDRRHRDEREQDWDRSEREPKYREEKDTYRGHRQRERDSGYEDDRDRGHSSSRARSRSRAAPEEDYRSRSRDVDYGKRRRPPSE >Potri.010G051600.6.v4.1 pep chromosome:Pop_tri_v4:10:8331091:8336336:1 gene:Potri.010G051600.v4.1 transcript:Potri.010G051600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051600.v4.1 MDFEEEEKMQYQGSGAIPALAEEELGEDDEYDDLYNDVNVGENFLQMHGSEAPAPPATAGNGGFQTRNAHESRVETGVSQVLATSGAGVAVEGKYSNAGAHFPEQKQAAIGVEANDVGSIGYGDGSSVAQKGRFMEMGHDVHVRNMGFQKPASVPPGTGVDPSDTSRKIANEPEPLPNTGSAGPRGVPQMQVNQMNMNADVNRPVVNENQVRPPIENGPTTLYVGELHWWTTDAELESVASQYGRVKEIKFFDERASGKSKGYCQVDFYEAAAAAACKEGMNEHVFNGRPCVVAFASAQTLKQMGASYMSKTQGQPQPQSQGRGSMNDGMGRGGNANYQSGDGGRNYGRGGWGRGGQGVLNRGPGGGPMRGRGGMGPKNMAGNVAGVGSGANGGGYGQGIAGPAFGGPAGGMMHHQGMMGAGFDPLYMGRGGGYGGFPGHGFPGMLPSFPAVNSMGLAGVAPHVNPAFFARGMAPNGMGMMASSGMEGPNPGKWPDTSMGGWGEEPGRRTRESSYDGDEGASEYGYGEGNHEKGARSSGASREKERVSERDWSGNSDRRHRDEREQDWDRSEREPKYREEKDTYRGHRQRERDSGYEDDRDRGHSSSRARSRSRAAPEEDYRSRSRDVDYGKRRRPPSE >Potri.010G051600.7.v4.1 pep chromosome:Pop_tri_v4:10:8331087:8336016:1 gene:Potri.010G051600.v4.1 transcript:Potri.010G051600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051600.v4.1 MDFEEEEKMQYQGSGAIPALAEEELGEDDEYDDLYNDVNVGENFLQMHGSEAPAPPATAGNGGFQTRNAHESRVETGVSQVLATSGAGVAVEGKYSNAGAHFPEQKQAAIGVEANDVGSIGYGDGSSVAQKGRFMEMGHDVHVRNMGFQKPASVPPGTGVDPSDTSRKIANEPEPLPNTGSAGPRGVPQMQVNQMNMNADVNRPVVNENQVRPPIENGPTTLYVGELHWWTTDAELESVASQYGRVKEIKFFDERASGKSKGYCQVDFYEAAAAAACKEGMNEHVFNGRPCVVAFASAQTLKQMGASYMSKTQGQPQPQSQGRGSMNDGMGRGGNANYQSGDGGRNYGRGGWGRGGQGVLNRGPGGGPMRGRGGMGPKNMAGNVAGVGSGANGGGYGQGIAGPAFGGPAGGMMHHQGMMGAGFDPLYMGRGGGYGGFPGHGFPGMLPSFPAVNSMGLAGVAPHVNPAFFARGMAPNGMGMMASSGMEGPNPGKWPDTSMGGWGEEPGRRTRESSYDGDEGASEYGYGEGNHEKGARSSGASREKERVSERDWSGNSDRRHRDEREQDWDRSEREPKYREEKDTYRGHRQRERDSGYEDDRDRGHSSSRARSRSRAAPEEDYRSRSRDVDYGKRRRPPSE >Potri.010G051600.1.v4.1 pep chromosome:Pop_tri_v4:10:8331048:8336426:1 gene:Potri.010G051600.v4.1 transcript:Potri.010G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051600.v4.1 MDFEEEEKMQYQGSGAIPALAEEELGEDDEYDDLYNDVNVGENFLQMHGSEAPAPPATAGNGGFQTRNAHESRVETGVSQVLATSGAGVAVEGKYSNAGAHFPEQKQAAIGVEANDVGSIGYGDGSSVAQKGRFMEMGHDVHVRNMGFQKPASVPPGTGVDPSDTSRKIANEPEPLPNTGSAGPRGVPQMQVNQMNMNADVNRPVVNENQVRPPIENGPTTLYVGELHWWTTDAELESVASQYGRVKEIKFFDERASGKSKGYCQVDFYEAAAAAACKEGMNEHVFNGRPCVVAFASAQTLKQMGASYMSKTQGQPQPQSQGRGSMNDGMGRGGNANYQSGDGGRNYGRGGWGRGGQGVLNRGPGGGPMRGRGGMGPKNMAGNVAGVGSGANGGGYGQGIAGPAFGGPAGGMMHHQGMMGAGFDPLYMGRGGGYGGFPGHGFPGMLPSFPAVNSMGLAGVAPHVNPAFFARGMAPNGMGMMASSGMEGPNPGKWPDTSMGGWGEEPGRRTRESSYDGDEGASEYGYGEGNHEKGARSSGASREKERVSERDWSGNSDRRHRDEREQDWDRSEREPKYREEKDTYRGHRQRERDSGYEDDRDRGHSSSRARSRSRAAPEEDYRSRSRDVDYGKRRRPPSE >Potri.006G132501.1.v4.1 pep chromosome:Pop_tri_v4:6:10849238:10851725:-1 gene:Potri.006G132501.v4.1 transcript:Potri.006G132501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132501.v4.1 MPEINEETLPDEEAMARLGVVEAEKFKLPVYGDNDDEESAIGNGKASNASKKRKPVSENTAKESANYNWPNLTDNGHDAFICFKYDGLPMCCPTLVYGRIDTGVSPLYLKCISLANFLLIAVQ >Potri.010G243600.1.v4.1 pep chromosome:Pop_tri_v4:10:21996232:21997425:-1 gene:Potri.010G243600.v4.1 transcript:Potri.010G243600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243600.v4.1 MALQRHPCIAILFAQAIIATFDATSGLRYTVGGSIWSIPPHPDFYCNWSSSHTFYIGDVLVFDFEYEFFNVIQVPKLDYESCTALNPIRILTRSPALAILIHEGVNYYICNISNYCDLGLRFSVVVHKFYYSTGHSPAPSPLPSLPPSSPPTLSPYPAPGPSQAGWTDVSQPSVPNNSPIAPNAGRRKGLRANSGVTVVGLACALCLGTLFVLL >Potri.011G151700.4.v4.1 pep chromosome:Pop_tri_v4:11:17867188:17869213:-1 gene:Potri.011G151700.v4.1 transcript:Potri.011G151700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151700.v4.1 MALMTSPLTKSKPCLFLPFTHAKKLGSPPAILLNYGHYNPKFLPLTTNKCKKEKLSSLLDASNKNYSSTKKALQVVADAGNAPLSEAEPRNLGKIFLSDVVVRRRRQVFWGRKWNSSDIVNAGVISAVHFLSLFGPFYFTWPAFWVALGIGIVTGLLGITLSFHRNLSHRSFKLPKWLEYFFAYCGVQALQRDPIFWVSTHRHHHQFCDSERDPHSPTEGFWFSHITWLFDNNYITEKCGGQNNVGDLEKQPFYKFMQNTYFLHPIALGVLLYAVGGFPFLVWGMGVRTALALHITWLINSASHLWGKRAWNTGDLSRNNWWLALLTFGEGWHNNHHAFEYSARHGLEWWQIDMTWYVVRFLQAIGLATDVKLPSEVQKQRMAFNN >Potri.002G251200.1.v4.1 pep chromosome:Pop_tri_v4:2:24078278:24079649:-1 gene:Potri.002G251200.v4.1 transcript:Potri.002G251200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G251200.v4.1 MALEEGQNGGAEKVAVEVSFKSFKPQLFVEAPKANDAVQFYKTAFGAVETCRTTQPKRKADQELPHIVSAQLQLAGSTFLVSDLSDDSASTKAGGTVFAMCLETEDVEAAVTKAVAAGAVAEGGVVDGEGACCCAERVTTVKDPYGFVWQFCSPADKCGANVEA >Potri.001G245700.2.v4.1 pep chromosome:Pop_tri_v4:1:26251003:26259329:-1 gene:Potri.001G245700.v4.1 transcript:Potri.001G245700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245700.v4.1 MQEEGKQQRKEGTTMEAGLLDSIISRLLESRQTRLAKQPQVQLSENEIRQLCAVAKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHESASINRIYGFYDECKRRFNVKLWKTFTDCFNCLPVAALIDDKILCMHGGLSPELTNLDQIRNLPRPTDVPDSGLLCDLLWSDPDRDIKGWGMNDRGVSYTFGPDKVAEFLMRNDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKRFRFM >Potri.001G245700.1.v4.1 pep chromosome:Pop_tri_v4:1:26250857:26259323:-1 gene:Potri.001G245700.v4.1 transcript:Potri.001G245700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245700.v4.1 MQEEGKQQRKEGTTMEAGLLDSIISRLLESRQTRLAKQPQVQLSENEIRQLCAVAKEIFLQQPNLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPSANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHESASINRIYGFYDECKRRFNVKLWKTFTDCFNCLPVAALIDDKILCMHGGLSPELTNLDQIRNLPRPTDVPDSGLLCDLLWSDPDRDIKGWGMNDRGVSYTFGPDKVAEFLMRNDMDLVCRAHQVVEDGYEFFAERQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPAEKRFRFM >Potri.012G145200.1.v4.1 pep chromosome:Pop_tri_v4:12:15550929:15554369:1 gene:Potri.012G145200.v4.1 transcript:Potri.012G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145200.v4.1 MGACFSKKKNEVSSSPSLKAAAAPASQPVLNHPHNNNNATLKVDQKNEITIKNKIVEQKEVVNKQVVEEEEEEDSLLKKEIFVIKHRKSHDRDKRIPPPNDDGPAANANASAAAAGEILLANSNTNAGVNNMVVRTSSCTKEEVDAILIQCGRLSRSNSSGAGKPLSSGRKYSGSKRSYDFDNNNDQDQDVKPATSADYDSRRKGNDDDEGEVTAERRQHRQRHRQRQSSRPSASPSAQGRRRTPSRERDQNQRSGSRERASGSSGRRVSRSPGRRSEIAQNTSITPGNLNATIPANNTGGTGNRPGKMVSVPATVSSLVMDKSNNIGVEPQATAGTKRISVKRNVGEAAVAGSRTAASPRSQSPARANAKTSNENNQQPCLSRSNSRKADQSPYRRNPLSEIDPNSLQHSQPSGNKATCTSNNRSQIRNKDIEGQAVAKETFNPLNQTPMKKQNSEKNNRVNVQVANYRCSSMASLENKLSKEQQMEEAKGHPPVTTNVVDLGGESLKPQALTRSRSARRSRDLDLNPETLLNPTPSYTALLLEDIQNFHQKNTPPSFSLPACVTKACSILEAVADLNSTTSSNLSCAFSDDRISPPAVAAVNLVGKKLPEAKDPFVESEIIASDDLMEPSFHKYVTVRRGGGTLCGEDMDGQESSGSNSFVGGSQQHLGLSTSSWEPNSADSTDRWSSRSNTRDEDDKSPLGYQKHGLPETGRDVEQARRAFSGQRTGIGRGRHGTSKNAHTTPILATATQT >Potri.010G247900.1.v4.1 pep chromosome:Pop_tri_v4:10:22213101:22216871:-1 gene:Potri.010G247900.v4.1 transcript:Potri.010G247900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247900.v4.1 MSASTVSITANPASARRRPVVVSDKKSPSNNIELVVPSEQQINGGGGGGKAKVTAAASRDLSHHSILERTVKDLQVKKTSSTISPRRARKVEKPRWMKVVSVFTKNFVLLLVLAGLVQMVRKLAVKSGGIESASVGTQMGLSEFDGRIAEMESMVKTAVKMIQVQVEVVDKKIESEVGGLRREMSKKIDDKGVILEKELRKLVERSEGLEKKIGELKAGDWLSKEDFEKFYEQFKKAKGGEFDGSDVSLDDIMVYAREIVQKEIEKHAADGLGRVDYALATSGGMVVKHSDPYMAGRGVNWFLKGRGVHPNADEMLKPSFGEPGKCFALKGSSGFVQIKLRGAIVPEAVTLEHVAKSVAYDRSTAPKDCRVSGWLQNRDLHTADDEEKMLLLTEFTYDLEKSNAQTFNVLDNTASGLVDTVRLDFTSNHGSPTLTCIYRLRVHGYEPDPSSMTAMQP >Potri.002G043200.1.v4.1 pep chromosome:Pop_tri_v4:2:2829829:2832484:1 gene:Potri.002G043200.v4.1 transcript:Potri.002G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043200.v4.1 MADVETDVAAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSVIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Potri.018G150100.4.v4.1 pep chromosome:Pop_tri_v4:18:16112120:16113670:1 gene:Potri.018G150100.v4.1 transcript:Potri.018G150100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150100.v4.1 MKSVVGMVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDENDLCNIGDRVRLDPSRPLSKRKHWVVAEILKKARIYVPPSAGDSGAPNTAGMKASASSTS >Potri.018G150100.1.v4.1 pep chromosome:Pop_tri_v4:18:16112109:16113705:1 gene:Potri.018G150100.v4.1 transcript:Potri.018G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150100.v4.1 MKSVVGMVVSNKMQKSVVVAVDRLFHHKLYNRYVKRTSKFMAHDENDLCNIGDRVRLDPSRPLSKRKHWVVAEILKKARIYVPPSAGDSGAPNTAGMKASASSTS >Potri.014G142600.1.v4.1 pep chromosome:Pop_tri_v4:14:9705175:9708357:1 gene:Potri.014G142600.v4.1 transcript:Potri.014G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142600.v4.1 MASSSMAMAATMNDLPDVILSIIFSSVSDTRTRNSLSLVNRKFLALERSTRTSLTLRGKARDIYMIPTCFRSVTHLDLSLLSPWGRSDLLSTASSVPFLLAQRLRLAFPLVTSLTVYARSPSTLHILLPQWPNLSHVKLIRWHPRSSSPHLGNDVVPLFEHCQALSSIDLSSFYYWTEDIPPVLQAYPSVSKALTCLDLLTVSLTDGFKSEEIQAITAACPSLTRFLLVCIFDPSYFGCVGDETLLAIVANCPRLRVLHLVDRASLGSTRGEPEDDGYTREDARITKVGLVDFFTGLPLLQELVLDFYQNVRDSALALEALHSKCPELKLLKLGQFHGICMAIESQLDGVALCSGLVSLTIKNSADLTDMGLIEIGRGCCNLARFEVEGCKKITMKGMRTMASLLHKTLIEVKISCCKNLNAVASLRSLEPIQGRIERLHFDCVWEGLEEDGGILCFDLNEGLCQSVEHEYGSKRKKSKYSSDPDSSSSCMQSNGNGMFSKSWDRLKYLSLWIGAGVLLTPLPMAGLYDCPNLEEIRIKVEGDCRTGHKPSQREFGLSCLAYYPRLSKMQLDCSDTIGFALTAPSGQMDLSLWERFFLNGIGNLSIYELDYWPPQDRDVNQRSLSLPGAGLLAECLAMRKLFIHGTAHEHFIMFLLRIPNLRDVQLREDYYPAPDNDTCTEMRVGSCSRFEDALNRRQILD >Potri.001G341600.1.v4.1 pep chromosome:Pop_tri_v4:1:35219633:35222365:1 gene:Potri.001G341600.v4.1 transcript:Potri.001G341600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341600.v4.1 MGASILPPPAFRALLFSFSIFCLLPEHAFAVTRHYKFDIKLQNVTRLCHSKSMVTVNGQFPGPRIVAREGDNLFIKVVNHVQNNISIHWHGIRQLQSGWADGPAYITQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRSTVYGPLIILPKRGVQYPFAKPYKEVPIIFGEWFNVDPEAVISQALQTGGGPNVSDAYTINGLPGPLYNCSAEDTFKLKVKPGKTYMLRLINAALNDELFFSIANHSVTIVDVDAVYVKPFDTETLLITPGQTTNVLLKTKPYFPNATFFMTARPYATGQGTFDNSTVAAILEYESPKTIHSSQLSLKNLPLFKPTLPPLNDTAFAANFTSKLRSLASAQFPAKVPQKVDMRFFFTVGLGTNPCPKNQTCQGPNGTKFAASVNNVSFSLPTTALLQAHFFGKSNGVYIPDFPITPIFPFNYTGNPPNNTMVSTGTRLVVLPFNTSVELIMQDTSILGVESHPLHLHGYNFFVVGQGFGNFDPNKDPAKFNLVDPVERNTVGVPSGGWAAIRFQADNPGVWFMHCHLEVHTSWGLEMAWVVLDGKLPNQKLIPPPADLPKC >Potri.010G220600.1.v4.1 pep chromosome:Pop_tri_v4:10:20597232:20599271:1 gene:Potri.010G220600.v4.1 transcript:Potri.010G220600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220600.v4.1 MEVRPNETVDNSTQYRRHVPATPSKQPVVPPNTVETTSVSQRLQKELMSLMMIGGDLGVSAFPEGESIFAWIGTIAGGEGTAYEGLSYKLSLRFPLDYPFKPPQIKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNPDSPLNSYAAELWSNKEDYKRKVHKQYYAGEAFES >Potri.T062072.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:38261:40338:1 gene:Potri.T062072.v4.1 transcript:Potri.T062072.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T062072.v4.1 MKERGKAVEMHNNDLFDQDYCTSSDLPCKKHPSSSSVGICAYCLKDRLVNLVCSDCGEQRLSSCSCSEISSNRNSCTVEVGSVGRISFLIENENQKNDQVLHSNSSTANPKSSSGDQKGDELNFLVKRNSSSCVEIKRKNGFWGIGRLFSKKRGKGCDRSSAGGLEEKSDLWVVDYMGVSRCRSLCSFRGGGFFGSEDGTFSGARSSISAARSSISAARNSGVNGGLLFDPDRKSGFSEAEPRKSGFDGEKRDTTSTALESERLDSGHDGANTRRVFSLKEGNFTTVDDSGFIDLKFDFPPESKADLSAVKMVSSSDSNSAFGSMRGGDVVAQDQYGGFGSLMGDGPCSNGSSCRITVSDRGIKRSRKSFKSWRWIFRQHPSSNKKD >Potri.002G004900.1.v4.1 pep chromosome:Pop_tri_v4:2:282675:284183:1 gene:Potri.002G004900.v4.1 transcript:Potri.002G004900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G004900.v4.1 MERKRQRICLDKKMHKRERQRMFLDRGGELLEELIAFCNARSNPIRNFSLNQLQKAIDDYHPSHPLETLTDLEDSGFEWYEGILEQRLVFIKSFTRCTKEVYRDIVVSSQMSSHNNVLKLSGCCLEIPAGPALVFEYPENGCLERLIHDGSLTWGTRLKIAKEIANAVTYLHTAFPRPTIHRDIKPRNIFLGQNYDAKLSNFSLSISIPEGESQVEDQLAGTIFFVDPVYVQTGFVTEKTDVYSFGVLLLVLLTGRITLQERIFLIDYVKDLVEQDQVNEVVDPRIRGNRGEAIDQQLVEASIELALRCTNGSGEDRPLMIEVAKELQRIERSITAAP >Potri.005G068500.8.v4.1 pep chromosome:Pop_tri_v4:5:4441692:4442825:1 gene:Potri.005G068500.v4.1 transcript:Potri.005G068500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068500.v4.1 MALQLAFSFPNHNPYQQNHMLKPSSLKTFNISTSSSPSTSFSTPKHYHPQSTSHPLQEAFRSSSRRDLNLSVLALLITGSLQNLSNNITLAQELELERYTDSKEGFTLRRPSSYVQVDKAGATVLFEEANKGSNNIGVVVTPVRLTSLGEFGTEKKKESTKDAEVISVAERSGHGGLQVDLLTAFVSSKKLYLLNITHSDKTESPLEAHTRTILEEVLNSFDVAPVT >Potri.002G020200.2.v4.1 pep chromosome:Pop_tri_v4:2:1247964:1248701:-1 gene:Potri.002G020200.v4.1 transcript:Potri.002G020200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020200.v4.1 MALSDAVIGNLTTIYVAVIAGIKAYGLVCGRSFSGVFVLIVSTAVVGLILIGTLTWDISRKAMYAISQDRVNNVHEMCKGGICWHGVAVRSPASQVRFRLPQR >Potri.003G099000.1.v4.1 pep chromosome:Pop_tri_v4:3:12451494:12454885:1 gene:Potri.003G099000.v4.1 transcript:Potri.003G099000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099000.v4.1 MAEGSVNFLLSKLAQILEEEGQLLTGVRTEAEYISDELEFMKAFLRVADAMEERDPSLEVLVKKVRDIAYEMEDALDDFKLRLTHDRGQRFFAPLLRSFDHFVNLRARHQIASRIRAIKSRVIGISEAHRRYLIRNNIMGQGSTFSSISRLESQGDGLLLEEADLVGIEKPKRQLIEWLLERKSGREVVSVVGMGGLGKSTLVKKVYDDPDVKKQFKFRAWITVSQSFKKEELLKDIIQQLFRVHRKPGPKGVDSMDYDKLRTVINKFLQQKKYLIVLDDVWHTSTWGAFQHALPNNNCGSRIMVTTRNTEVASTACMDFPDRVLPLDPLSQEESWILFCKKIFQNNTCPPHLKNVSETILGRCEGLPLAIVSISGVLAAKDKNKIDEWEMVHRSLGAGFENNDTLMSTRKILSLSYNDLPYYLKSCLLYFSIFPAGNPIERMKLIRLWIAEGFVEGKEVMTLEEVAEDYLNELIKRSLVRVVEATSDGRVKTCRIHDLLREIMITKAKDQDFVAIAKEEGMVWSEKVRRVSIHKAVPSIQRRHVPSRLRSVLIFWGADSCPDSPAPNLSFGHLRLLNVLDLEGAPLKEFPSKVSSLFLLKYLSLRNTNVNSIPSSISKLLNLETLDLKHTQISELPVGILKLRKLRHLLVYRYEIDSDDRIHTKYGFQPPPQIGSLQSLQKLCFVEANQGGDLLLELGRLNQLRRLGIVRFRKEHGKALCSSVTKLTDLRALSITSITDSEFIDLEYLSNPPRFLQRLYLTGRLQSLPEWLHSSDSLVKLVLKWSRLSDDPLLSLQHLPNLVHLELVQVYDGEMLCFQAKGFQRLKFLGINKLESLRVITVQQGAMPCLEKLIVQSCKELKRVPSGIEHLTTLKVLEFFNMPKELIMTLQPSEENGDYLKVAHVPDVYSTYWNNGILDNFALLTKEDGSSSLHSPSGSRRDYIWK >Potri.010G254700.15.v4.1 pep chromosome:Pop_tri_v4:10:22573102:22580554:-1 gene:Potri.010G254700.v4.1 transcript:Potri.010G254700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254700.v4.1 MAVAMTMALRRLSSSFDKPLRPGLFKATSLYYMSSLPNEAVYEKEKPGVSWPKQLNAPLEAVDPQVADIIELEKARQWKGLELIPSENFTSVSVMQAVGSVMTNKYSEGYPGARYYGGNEYIDMAESLCQKRALEAFRLDPAKWGVNVQSLSGSPANFQVYTALLKPHERIMALDLPHGGHLSHGYQTDTKKISAVSIFFETMPYRLNESTGYIDYDQLEKSATLFRPKLIVAGASAYARLYDYARIRKVCDKQKATLLADMAHISGLVAADVIPSPFEYADIVTTTTHKSLRGPRGAMIFFRKGLKEVNKQGKEVFYDYEDKINQAVFPGLQGGPHNHTIAGLAVALKQATTVEYKAYQEQVLSNCAKFAQSLVEKGYELVSGGTENHLVLVNLKNKGIDGSRVEKVLESVHIAANKNTVPGDVSAMVPGGIRMGTPALTSRGFVEEDFAKVADFFDAAVKLAVKIKAETKGTKLKDFLATQSAPHFQSEISKLRRDVEEYAKQFPTIGFEKETMKYKN >Potri.008G021301.1.v4.1 pep chromosome:Pop_tri_v4:8:1058782:1059087:-1 gene:Potri.008G021301.v4.1 transcript:Potri.008G021301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021301.v4.1 MIKEITVVLCLGLAVWAYQATQPPPPKIYGGPPITASREKLRDGRHLAYKEHGVSSESANYKIIIVHGFASTKHDTMFLTNMIPVHHFYIYLLIWLLVFPS >Potri.001G192600.1.v4.1 pep chromosome:Pop_tri_v4:1:17762684:17765144:-1 gene:Potri.001G192600.v4.1 transcript:Potri.001G192600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G192600.v4.1 MSLARLALRNLPQRTSFSASSSVNHGVSIDGVLGGVRRQRWNHDGLLKRFMTTTTSDQIAGRETSDGKEVAIDKDSDKSKLFRRKKGKKGLWRKSDGIDFVPALYEFFPSGLGNALVQATDNINKLFQNLHIPAPSNLIGRVKEKDECYKLRYEVPGVSKEDLKIAIDDGVLTIKGELKEEEEEGSDGEHWSMRSYGCYNTSIMLPDDAKADEIKAELKDGVLYITIPRTEKPKKDVKEIDIH >Potri.015G030700.1.v4.1 pep chromosome:Pop_tri_v4:15:2352434:2353596:-1 gene:Potri.015G030700.v4.1 transcript:Potri.015G030700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030700.v4.1 MALVRERRQINLRLPELSDCRPRFPLPLPPTSTTTTTTTSNNSSNISCNDIEKIHVLGHGNGGTVYKVRHKRNSQIYALKVVHGDSDPLVRRQIYREIEILRRTDSPYIVQCHGSYEKPSGDIGIVMEYMELGTLDSILQKYGAFDESKLSHVARQVLHGLSYLHGQKIIHRDIKPSNLLVNKDMEVKIADFGVSKIMQRTLDACNSYVGTCAYMSPERFDPDTYGVNYDGYAGDIWSLGLTLMELYLGHFPFLPPGQRPDWATLMCAICFGDPPSLPEGASEEFRDFIQCCLQKESSKRWTTSQLLSHPFVCKNPISDLVDL >Potri.002G157300.1.v4.1 pep chromosome:Pop_tri_v4:2:11993065:11995216:-1 gene:Potri.002G157300.v4.1 transcript:Potri.002G157300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157300.v4.1 MAFTGTLDKCKACDKTVYVVDMMSLEGVPYHKSCFKCSHCKGTLVMSNYSSMDGVLYCKTHFEQLFKEGGDFSKNFQKGKPERTHELIRTPSKLSSVFCGTQDKCSTCGKTVYPLEKVTMEGECYHKTCFRCAHGGCPLTHSSYAALDGVLYCKVHFAQLFMEKGTYSHVLAGATHKRSTSTPPPELAESNTEEAAAAEDKSEEQS >Potri.008G096100.1.v4.1 pep chromosome:Pop_tri_v4:8:5985611:5988378:1 gene:Potri.008G096100.v4.1 transcript:Potri.008G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096100.v4.1 MDLWVVAVAAGAGYAVKANYFKNSSARLRERSFESFSLDYFHSQSQSWNLLQRIREQTCPFCRLGICKHSATDESLGRDFIGVPSSSATKGEIQLQSHRPYGRGYFLYLSSELLPDSIKREVSSFRRLTADRQLGNRSRGYINKFLDSSDSILTPELYGGDIGFEEYEYASLPSSSTEMAQPWIIRGSHDFGQEMPLEKEKGSLMLTRKQMQYFDMGQSRRFSRSSRRVTGGLFYSQGSYDRMLLFFLGITVGIMSHVAANKREVDYLNELLKQNENLVQDLNEELVSEGSSFQGTTEKPSNSASSEVSTKKESDKSIKFYGREPDDEKAANSEAMSKIEAELEAELERLELNMKSSSLERMLDLIEMDPNFELDVEGDLSPDEGHKKPDELSDSDDDTSGSSTNPIDTANYAVSPKELSLRLHEVIQSRLVVRIMELEAALENSQKRLYALESDSTSPKMDLHGEVESSSIQQAPSFTGEGNGVHCQFATSGKADD >Potri.004G181000.1.v4.1 pep chromosome:Pop_tri_v4:4:19529603:19534230:-1 gene:Potri.004G181000.v4.1 transcript:Potri.004G181000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181000.v4.1 MPLTESRNGNVATAVFHLLSSGIGIQALLLPVAFATLGWVWGAISLSLAFTWQLYTIWTLVQLHEPIPGIRTRYSRYLQLAIAAFGPKLGKLLAIFPVMYLSGSTCIMLIIKGAGVMELLFKLMCEGGATCDAKSLTGAEWFLVFTCMAIALAQRPNLNSIAGFSLVGAMSAIGYCTLIWALPISKDRPSGVSYDSRKGGSTMAGMFDVLNAIGIIVLAFRGHNLVLEIQGTLPSSLTNPSKRTMWRGVSVSYIIIAMCQFPLAIAGFWAYGNKIPSNGGMLTAFMQFHGHDTSRFAKGLVYLLVVINCLSSFQIYAMPVFDNLEFRYISMKNRRCPWWVRIGFRLFFGGLAFFIAVALPFLPSLAPLVGGITLPLTLAYPCFMWILIKKPHQKGHDALWCLNLGLGCLGIVLSVLLVVAAAWNLAIKGLHASFFKPL >Potri.004G165500.1.v4.1 pep chromosome:Pop_tri_v4:4:18472720:18473575:-1 gene:Potri.004G165500.v4.1 transcript:Potri.004G165500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G165500.v4.1 MGFRLSAIVRAKQVLQLSPSATSQAASNVPKGCLAVYVGEIQKKRFVIPISYLNQPNFQELLSQAEEEFGYVHPMGGLTIPCREDIFLAVISCLSQS >Potri.001G209900.2.v4.1 pep chromosome:Pop_tri_v4:1:21484748:21486877:1 gene:Potri.001G209900.v4.1 transcript:Potri.001G209900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209900.v4.1 MVEAMAQVGGLVMLQPEVGGSRENFFFAGIDKVRFWKPVIADDTLVMRMTLIKLQKRFGIAKMEGKAYVGGEVVCDGEFLMATGSE >Potri.017G146800.2.v4.1 pep chromosome:Pop_tri_v4:17:14662847:14666599:-1 gene:Potri.017G146800.v4.1 transcript:Potri.017G146800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146800.v4.1 MVSKNPNPADGFYLDPNGMAALPGLSTFPTTTTTTASDSSSEDPSKKIRKPYTITKSRESWTEPEHDKFLEALQLFDRDWKKIEAFIGSKTVIQIRSHAQKYFLKVQKSGTSEHLPPPRPKRKAAHPYPQKASKNAVVLSQPSGSSHSSSAPLESGCALRPDSSSIPMNPIVSAAAASSWTNNVPTVSVSNQTTGALVANNCCSSSESTPRTKPVGKTAEKGNHGQSMRVLPDFAQVYGFIGSVFDPNVTGHLHNLKKMDPIDVETVLLLMRNLAINLTSPSFEEHRKLLSSNEMDSEAMGVNKNVDQPMDVA >Potri.015G126800.3.v4.1 pep chromosome:Pop_tri_v4:15:13844498:13848861:1 gene:Potri.015G126800.v4.1 transcript:Potri.015G126800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G126800.v4.1 MSRFRRIEIFEPHYAPVFLREASVFTSKTLAFPSFEEPAELSFAALDLLHLKPSSLECFYTATDLVKTPLFCPSKSVLDRFETDLRLQTLSDRVSELESQFDRLVNAKINGGSGAERKYTWTAEIKGPVTERKYKWTAEIKGGEEEKKKKKKEEQVKNYKWTAEIKGKGEEEIPMSRKYTFETSSGFAGEGSKEEKKENEKKKEGKKEKKGACATRLVEIEDYPDHGAVVLRKAFAKRAGVVEKRKGKKKELSPQDAALLIQVTFRTYLIRRSQALRALRELAIAKAKLKELRALFNNFSYRRHLARDAEERQRFSEKIIVLLLTVDAIEVNRVFFCLKLCAL >Potri.015G126800.1.v4.1 pep chromosome:Pop_tri_v4:15:13844476:13848928:1 gene:Potri.015G126800.v4.1 transcript:Potri.015G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G126800.v4.1 MSRFRRIEIFEPHYAPVFLREASVFTSKTLAFPSFEEPAELSFAALDLLHLKPSSLECFYTATDLVKTPLFCPSKSVLDRFETDLRLQTLSDRVSELESQFDRLVNAKINGGSGAERKYTWTAEIKGPVTERKYKWTAEIKGGEEEKKKKKKEEQVKNYKWTAEIKGKGEEEIPMSRKYTFETSSGFAGEGSKEEKKENEKKKEGKKEKKGACATRLVEIEDYPDHGAVVLRKAFAKRAGVVEKRKGKKKELSPQDAALLIQVTFRTYLIRRSQALRALRELAIAKAKLKELRALFNNFSYRRHLARDAEERQRFSEKIIVLLLTVDAIEGAELMVRSAKRSMVDELEAMLDVVDPQPAGRSLSMRRRTFDMPDGVIHKEIAESVAQVVQMLDNEENGTNTFEACL >Potri.001G149150.1.v4.1 pep chromosome:Pop_tri_v4:1:12466865:12471272:1 gene:Potri.001G149150.v4.1 transcript:Potri.001G149150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149150.v4.1 MEESSANAILQHQREPSTFPEPDLVNHPTEKAVDFGFSVDSRRKAEVNKQAWKRKTAGRESTRSIFNFPCVHLSRENSGLLLNHSHFEVYANGYMTRM >Potri.008G022501.1.v4.1 pep chromosome:Pop_tri_v4:8:1135345:1136882:-1 gene:Potri.008G022501.v4.1 transcript:Potri.008G022501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX53 MAAKAGAAASFMFMLFFLNTACQAQLSPAFYDSSCPNALSAIGTAIRSAIASDRRMAASLIRLHFHDCFVQGCDASILLDETTSIQSEKTALGNLNSARGYNVIDKAKTEVEKICPGVVSCADIIAVAARDASAYVGGPSYAVKLGRRDSTTASRTLANAELPAFFESLESLISRFQKKGLTARDMVALSGSHTLGQAQCFTFRERIYNHSNIDAGFASTRRRRCPRVGSNSTLAPLDLVTPNSFDNNYFKNLMQNKGLLQSDQVLFNGGSTDSIVSEYSRNPARFRSDFGSAMIKMGDIGLLTGSAGQIRRICSAVNN >Potri.002G119300.1.v4.1 pep chromosome:Pop_tri_v4:2:9060627:9061756:1 gene:Potri.002G119300.v4.1 transcript:Potri.002G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119300.v4.1 MALEALNSPTTATPSFQFEESSTHCVVEPWAKRKRSKRPRLDHQPTEEEYLALCLVMLARGSTNLPIPALDGHHKKSLAPPTASTSSEQKISYKCSVCNKEFPSYQALGGHKASHRKLAGGGEDQTTSCTTTSATTTPVSNGSGRVHECSICHRTFPTGQALGGHKRCHYEGIIGGAEKSGVTSTSEGAGSTNTRTHSHNHSHHDFDLNVPALPEFSSDFFVSGDDEVMSPLPAAKRIRILMAPRIEVSQAQ >Potri.019G033500.1.v4.1 pep chromosome:Pop_tri_v4:19:4635998:4637274:1 gene:Potri.019G033500.v4.1 transcript:Potri.019G033500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033500.v4.1 MATTDLVNPSSLPLQDRVAIVTGSSRGIGKAIAIHLASLGAKLVINYASNKDQADLVAEEINSCCSENTPHATVVQADISVPAQVKLLFDEAERVFGSQVHVLVNSAAISNTKYPTIANTSVEDFDRIFSVNCRGTFLCCKEAAKRIKQGGGGRIILLSSSSVGALRPNSATYTASKAAVETMTKILAKELKGTGITANCVAPGPIATEMFFVGRSEEHVKRVIEVCPHGRLGETKDVAPLVGFLASDAGEWINGQVIRVNGGYV >Potri.009G135500.5.v4.1 pep chromosome:Pop_tri_v4:9:10952345:10955185:1 gene:Potri.009G135500.v4.1 transcript:Potri.009G135500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135500.v4.1 MAKNNKSRPIKPSKLSVYLYIPNIIGYTRVLMNCYAFAICFSNKWLFCALYFISFVCDGIDGWAARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPGMVFLSLLALDIGSHWLQMYSTFLLGKASHKDVKDSTNWLFKAYYGNRMFMAYCCVACEVLYISLFLLAQNETEKKLMDVLKASITEGSLVSVLVVLSLFGWAIKQLVNIIQMKTASDVCVLHDINKKERP >Potri.009G135500.4.v4.1 pep chromosome:Pop_tri_v4:9:10951452:10955480:1 gene:Potri.009G135500.v4.1 transcript:Potri.009G135500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135500.v4.1 MAKNNKSRPIKPSKLSVYLYIPNIIGYTRVLMNCYAFAICFSNKWLFCALYFISFVCDGIDGWAARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPGMVFLSLLALDIGSHWLQMYSTFLLGKASHKDVKDSTNWLFKAYYGNRMFMAYCCVACEVLYISLFLLAQNETEKKLMDVLKASITEGSLVSVLVVLSLFGWAIKQLVNIIQMKTASDVCVLHDINKKERP >Potri.009G135500.2.v4.1 pep chromosome:Pop_tri_v4:9:10952245:10955563:1 gene:Potri.009G135500.v4.1 transcript:Potri.009G135500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135500.v4.1 MAKNNKSRPIKPSKLSVYLYIPNIIGYTRVLMNCYAFAICFSNKWLFCALYFISFVCDGIDGWAARKFNQVSTFGAVLDMVTDRISTACLLVILSQVYRPGMVFLSLLALDIGSHWLQMYSTFLLGKASHKDVKDSTNWLFKAYYGNRMFMAYCCVACEVLYISLFLLAQNETEKKLMDVLKASITEGSLVSVLVVLSLFGWAIKQLVNIIQMKTASDVCVLHDINKKERP >Potri.003G031900.1.v4.1 pep chromosome:Pop_tri_v4:3:3590892:3602464:1 gene:Potri.003G031900.v4.1 transcript:Potri.003G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031900.v4.1 MWNDTGEQHIAPPGTAGPSIPPPPPSQPSYTVLAPSQTVSNPADAEAKLEEKARKWQQLNTKRYSDKRKFGFVETQKEDMPPEHVRKIIRDHGDMSSKKYRHDKRVYLGALKFIPHAVYKLLENMPMPWEQVRDVKVLYHITGAITFVNEIPWVVEPIYLAQWGTMWIMMRREKRDRRHFKRMRFPPFDDEEPPLDYADNLLDVDPLEPIQLELDEEEDSAVYTWFYDHKPLVKTKLINGPSYRKWHLSLPIMATLHRLAGQLLSDLIDRNYFYLFDMESFFTAKALNMCIPGGPKFEPLYRDMEKGDEDWNEFNDINKLIIRSPLRTEYRIAFPHLYNNRPRKVKLCVYHTPMIMYIKAEDPDLPAFYYDPLIHPITSSNKERREKKTHDDDDDDEDFVMPEGVEPFLEDTQLYTDTTAAGISLLFANRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLAATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGTAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSSLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYQNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQSCLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTAHARVLENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVKHTVSMKYGIKLGTPREYYHEDHRPTHFLEFSNLEEGETAEGDREDTFT >Potri.003G031900.4.v4.1 pep chromosome:Pop_tri_v4:3:3593749:3602486:1 gene:Potri.003G031900.v4.1 transcript:Potri.003G031900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031900.v4.1 MLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGTAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSSLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYQNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQSCLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTAHARVLENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVKHTVSMKYGIKLGTPREYYHEDHRPTHFLEFSNLEEGETAEGDREDTFT >Potri.003G031900.3.v4.1 pep chromosome:Pop_tri_v4:3:3593227:3602470:1 gene:Potri.003G031900.v4.1 transcript:Potri.003G031900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031900.v4.1 MIMYIKAEDPDLPAFYYDPLIHPITSSNKERREKKTHDDDDDDEDFVMPEGVEPFLEDTQLYTDTTAAGISLLFANRPFNMRSGRMRRAEDIPLVSEWYKEHCPPSYPVKVRVSYQKLLKCFVLNELHHRPPKAQKKKHLFRSLAATKFFQTTELDWAEAGLQVCKQGYNMLNLLIHRKNLNYLHLDYNFNLKPVKTLTTKERKKSRFGNAFHLCREILRLTKLVVDANIQFRLGNVDAFQLADGLQYTFSHVGQLTGMYRYKYRLMRQIRMCKDLKHLIYYRFNTGPVGKGPGCGFWAPMWRVWLFFLRGIVPLLERWLGNLLARQFEGRHSKGTAKTVTKQRVESHFDLELRAAVMHDVLDAMPEGIKQNKARTILQHLSEAWRCWKANIPWKVPGLPVPIENMILRYVKSKADWWTNVAHYNRERIRRGATVDKTVCRKNLGRLTRLWLKAEQERQHNYLKDGPYVTPEEAVAIYTTTVHWLESRKFSPIPFPPLSYKHDTKLLILALERLKESYSVAVRLNQLQREELGLIEQAYDNPHEALSRIKRHLLTQRAFKEVGIEFMDLYSSLIPVYEIEPLEKITDAYLDQYLWYEGDKRHLFPNWIKPADSEPPPLLVYKWCQGINNLQGIWDTSEGQCVVMLQTKFEKFFEKIDLTMLNRLLRLVLDHNIADYVTAKNNVVLSYKDMSHTNSYGLIRGLQFASFVVQYYGLVLDLLLLGLTRASEIAGPPQMPNEFITYWDTKVETRHPIRLYSRYIDRVHILFRFTHEEARDLIQRYLTEHPDPNNENMVGYQNKKCWPRDARMRLMKHDVNLGRSVFWDMKNRLPRSITTLEWENSFVSVYSKDNPNLLFSMCGFEVRILPKIRMTQEAFSNTRDGVWNLQNEQTKERTAVAFLRVDDEHMKVFENRVRQILMSSGSTTFTKIVNKWNTALIGLMTYFREATVHTQELLDLLVKCENKIQTRIKIGLNSKMPSRFPPVIFYTPKEIGGLGMLSMGHILIPQSDLRYSQQTDVGVTHFRSGMSHEEDQLIPNLYRYIQPWESEFIDSQRVWAEYALKRQEAQAQNRRLTLEDLEDSWDRGIPRINTLFQKDRHTLAYDKGWRVRTDFKQYQVLKQNPFWWTHQRHDGKLWNLNNYRTDVIQALGGVEGILEHTLFKGTYFPTWEGLFWEKASGFEESMKYKKLTNAQRSGLNQIPNRRFTLWWSPTINRANVYVGFQVQLDLTGIFMHGKIPTLKISLIQIFRAHLWQKIHESVVMDLCQVLDQELDALEIETVQKETIHPRKSYKMNSSCADVLLFAAHRWPMSKPSLVAESKDVFDQKASNKYWIDVQLRWGDYDSHDIERYTRAKFMDYTTDNMSIYPSPTGVMIGLDLAYNLHSAFGNWFPGSKPLLAQAMNKIMKSNPALYVLRERIRKGLQLYSSEPTEPYLSSQNYGEIFSNQIIWFVDDTNVYRVTIHKTFEGNLTTKPINGAIFIFNPRTGQLFLKVIHTSVWAGQKRLGQLAKWKTAEEVAALVRSLPVEEQPKQIIVTRKGMLDPLEVHLLDFPNIVIKGSELQLPFQSCLKIEKFGDLILKATEPQMVLFNIYDDWLKSISSYTAFSRLILILRALHVNNEKAKMLLKPDKTIVTEPHHIWPSLTDDQWMKVEVALRDLILSDYAKKNNVNTSALTQSEIRDIILGAEITPPSQQRQQIAEIEKQAKEASQLTAVTTRTTNVHGDELIVTTTSPYEQAAFGSKTDWRVRAISATNLYLRVNHIYVNSEDIKETGYTYIMPKNILKKFICIADLRTQISGYLYGISPPDNPQVKEIRCIAMPPQWGTHQQVHLPSALPEHDFLNDLEPLGWMHTQPNELPQLSPQDLTAHARVLENNKQWDGEKCIILTCSFTPGSCSLTAYKLTPSGYEWGRVNKDTGSNPHGYLPTHYEKVQMLLSDRFLGFYMIPDNGPWNYNFMGVKHTVSMKYGIKLGTPREYYHEDHRPTHFLEFSNLEEGETAEGDREDTFT >Potri.011G014101.1.v4.1 pep chromosome:Pop_tri_v4:11:1302759:1310196:1 gene:Potri.011G014101.v4.1 transcript:Potri.011G014101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G014101.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYKALVDAGFHTFRDDDEIRRGKNIELELQKAIQQSKIAIIVFSKNYAWSRWCLDELVMIMERKRNADCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEAPFVQSIVENVSKNLDPKIFYVPLHFIGRDARVQDINSLLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDEDEGILKIKDALCCRRTLIILDDVDKRDQFNKIIVMQNLLCKGSKIIVTTRNKGLFSANDIQWIRWNAFGQANPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALKQMEMIPNFDVQKVLQISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDRRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTVLKGTTDAEKLRGLTIDMHALMEDHYAEVVCTDSMVCRKRRRLNFIQQWLSDFSDGGKLQTGQTSLFPILSTDAFRKMPDIKFLQLNYTKFHGSFEHFPKNLIWLCWHGLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGR >Potri.014G031151.1.v4.1 pep chromosome:Pop_tri_v4:14:1956849:1957369:1 gene:Potri.014G031151.v4.1 transcript:Potri.014G031151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031151.v4.1 MKGREYYERKKKTRHGIKQRFQFHTRNSIRSFGAEKTFSISCFCLWPLDILFFLSVERSSHRKSNDQTKTLSFKHTCTHTW >Potri.001G140200.1.v4.1 pep chromosome:Pop_tri_v4:1:11461833:11464312:1 gene:Potri.001G140200.v4.1 transcript:Potri.001G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140200.v4.1 MKGKGGHVGSRFPLSSWEVAAASSVLLGFVLGLLGVYLTMPESDYSFLKLPRTLEDLQILRDHLETYTSDYTAQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVFKGVALVVFTATAGASSCYFLSKLIGRPLVFSLWPDKLSFFQEQVARRRGGLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFLATLIGLVPAAYVTVKAGIALGELQSIGDLYDFNSIATLFLIGIVSITPTLISKSKS >Potri.002G182100.1.v4.1 pep chromosome:Pop_tri_v4:2:14467319:14471631:-1 gene:Potri.002G182100.v4.1 transcript:Potri.002G182100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182100.v4.1 MGSHVENNAVLNNNQEVDAALLQSLIDNHGASFGFPFALQSQNHVQNNNQEVDAEQLQFLIDSQEAGFDSPSALQSQNLDDLDEEDVIDFADSLPIAPDEYGVEIPPHLLNDYIPPTPRSSSHAYVLDSSDRETYLAYGESPNLYGGHGSSREYRRKQMAHSDNTLSMGPSSMDSTTATRHEQINLIQSGFLRILKAQHAPIPHSFMELEGISAKGDQLPGGISSTASKHKAREGAKQIANIDLPKKVGIESEKDREIVQVMNAKPDVKSRSNGSAGNERRGRFIHLETTTSSHISSPPSVYLSNLVVGLFLFIIMLREVLIVH >Potri.002G182100.2.v4.1 pep chromosome:Pop_tri_v4:2:14467322:14471600:-1 gene:Potri.002G182100.v4.1 transcript:Potri.002G182100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182100.v4.1 MCRSWIGESGCDDDEGEPIQVMGSHVENNAVLNNNQEVDAALLQSLIDNHGASFGFPFALQSQNHVQNNNQEVDAEQLQFLIDSQEAGFDSPSALQSQNLDDLDEEDVIDFADSLPIAPDEYGVEIPPHLLNDYIPPTPRSSSHAYVLDSSDRETYLAYGESPNLYGGHGSSREYRRKQMAHSDNTLSMGPSSMDSTTATRHEQINLIQSGFLRILKAQHAPIPHSFMELEGISAKGDQLPGGISSTASKHKAREGAKQIANIDLPKKVGIESEKDREIVQVMNAKPDVKSRSNGSAGNERRGRFIHLETTTSSHISSPPSVYLSNLVVGLFLFIIMLREVLIVH >Potri.012G117600.2.v4.1 pep chromosome:Pop_tri_v4:12:13625943:13628430:-1 gene:Potri.012G117600.v4.1 transcript:Potri.012G117600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117600.v4.1 MATNTASPAPPRELSQKEADIQMMLAAEVHLGTKNCNFQMERYVFKRRNDGIYIINLGKTWDKLLLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQCTGANAIAGRHTPGTFTNQLQTTFCEPRLLVLTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGHKWDMMVDLFFYREPEETKPQEEEDVVAPVDYALTGADYMGGATDGWSNPVADGGWTNEAIPIPAAPATTSLTPDQGGLDDWGAAAAPAPVPAPPPQPVVDDGGSWS >Potri.012G117600.1.v4.1 pep chromosome:Pop_tri_v4:12:13625942:13628464:-1 gene:Potri.012G117600.v4.1 transcript:Potri.012G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117600.v4.1 MATNTASPAPPRELSQKEADIQMMLAAEVHLGTKNCNFQMERYVFKRRNDGIYIINLGKTWDKLLLAARVIVAIENPQDIIVQSARPYGQRAVLKFAQCTGANAIAGRHTPGTFTNQLQTTFCEPRLLVLTDPRTDHQPIKEAALGNIPTIAFCDTDSPMRFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIRPGHKWDMMVDLFFYREPEETKPQEEEDVVAPVDYALTGADYMGGATDGWSNPVADGGWTNEAIPIPAAPATTSLTPDQAGGLDDWGAAAAPAPVPAPPPQPVVDDGGSWS >Potri.011G078200.1.v4.1 pep chromosome:Pop_tri_v4:11:8416182:8418442:-1 gene:Potri.011G078200.v4.1 transcript:Potri.011G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078200.v4.1 MAVPLLTKKIVKKRVKKFKRPQSDRKISVKTSWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNCFKKFVVHNVKELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Potri.016G097600.1.v4.1 pep chromosome:Pop_tri_v4:16:9771072:9776668:-1 gene:Potri.016G097600.v4.1 transcript:Potri.016G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097600.v4.1 MDREWGSKPGSGGAASAQNEAIDRRERLRRLALETIDLAKDPYFMRNHLGSYECKLCLTLHNNEGNYLAHTQGKRHQTNLAKRAAREAKDAPALPQPNKRKVNIRKTVKIGRPGYRVTKQFDPETKQRSLLFQIEYPEIEDNTKPRHRFMSSYEQRIEANDKRFQYLLFSAEPYEIIAFKVPSTEIDKSTPKFFSHWDPDSKMFTLQLYFKLKPPEANKPQSVAAANSTVPSQPPPPLPPQGLPAGSRPPPPPMPASLPPPPPPAMANGPRPMPPGGAPPAPPPPPGGSGAMVNFTPGTQAGRPSSMLPPHGFLGQQMQGQTIRPPLLPPNMGQ >Potri.018G068200.2.v4.1 pep chromosome:Pop_tri_v4:18:8234059:8241696:1 gene:Potri.018G068200.v4.1 transcript:Potri.018G068200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068200.v4.1 MEPAATTAQVPKKRGRKPKPKEDQQQQQQQQQQQSAGARMKEGRKAQQPSIDDKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCDVVKSRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAVLGATNSRPDLILTGHQDNAEFALAMCPTEPYVLSGGKDKLVVLWSIQDHITSSASDPATKSPGSGGSIIKKTGDGSDKATDGPSVGPRGIYQGHEDTVEDVAFCPSSAQEFCSVGDDSCLILWDARAGTSPAIKVERAHNADLHCVDWNPQDDNLILTGSADTSVCMFDRRNLTSNGVGLPVYKFEGHKAAVLCVQWSPDKASVFGSSAEDGLLNIWDYEKVGKKTERPTRAPSSPAGLFFQHAGHRDKVVDFHWNASDPWTVVSVSDDCDTTGGGGTLQIWRMSDLIYRPEDEVLAELEKFKSHVVSCASKP >Potri.013G036500.4.v4.1 pep chromosome:Pop_tri_v4:13:2405617:2410127:-1 gene:Potri.013G036500.v4.1 transcript:Potri.013G036500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036500.v4.1 MSVTKMAGICSLNPTTLMTFKTIHGIRCCSGATDNEKKSQTRTKTPQILKLAVSGVTELLRVFSFSGKERLEKVNNKDRDEISVSGIDDVIMILKSDYENAYFVTGVFTSAIYDEDCVFEDPTIKFQGTKLYSRNLKLLVPFFDCPSIGLQDIEKGVNSETYFVLARWKLRTYLKFPWRPLISIDGSTVYELDNKLKIVRHAESWNVSALEAIGQIFTPSFDRPGE >Potri.007G012000.1.v4.1 pep chromosome:Pop_tri_v4:7:946690:949712:1 gene:Potri.007G012000.v4.1 transcript:Potri.007G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012000.v4.1 MQHHQKHHRHKRPPRQPLIELQPGQVAFRVVCHASKIGALIGHSGSVISQIRLETGCLVHCEEAVKGSEHRAIVVAGSASPERKIAVGEDETVEVSAAQEAVVRVLERMWEVDAVKDGGDCEGYCGLLANTSQIGAVVGREGRNIKRMKRASGAHIWILPAPLCALKEDQLIQITGSSTVAVKKAVIAITSCLQDCPPYEKDEVDLSLEAVRRRRSGSSGDPHAEFFPHLCSLLPTYSENIATDKDHKKPNEQLQVQFRMICPHGAAGSIIGKGGSVVRALQNQTGASIILAPPITNSDGRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNRVSCLIERGGRIDSEMIETTGADIQILQGDQFFYCASNNDVVLQITGESKNVQNALFQVTSKLRDNLLPTEMLNGLRTGSPYRRAGEISRLHQSADESLDSNLETSLKNSVDQVRDPPSSSLQSPQKLHRERTTYSTDNGSSSTTSGKVSELGRALHFLLPREGGA >Potri.004G056200.1.v4.1 pep chromosome:Pop_tri_v4:4:4667807:4669861:-1 gene:Potri.004G056200.v4.1 transcript:Potri.004G056200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056200.v4.1 MAAKKCNTRIFVPFLLILAAWATKIACRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMYHGYKRQSSKLMSSSFRYENLSDIPTSMDWRNDGAVTPVKDQGTCGCCWAFSTVAAIEGIIKLQTGNLISLSEQQLVDCTAGNKGCQGGLMDTAFQYIIRNGGLTSEDNYPYQGVDGTCSSEKAASTEAQITGYEDVPQNNENALLQAVAKQPVSVGVDGGGNDFQFYKSGVFNGDCGTQQNHAVTAIGYGTDIDGTDYWLVKNSWGTSWGENGYMRMRRGIGSSEGLCGVAMAASYPTA >Potri.016G093900.1.v4.1 pep chromosome:Pop_tri_v4:16:8080375:8080791:1 gene:Potri.016G093900.v4.1 transcript:Potri.016G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093900.v4.1 MSQLSEQYSGRQEPRRGKKPTKHKKEPVKITYISSPTMVKATNASEFRAIVQELTGKDSKVEDPFDAYSMISNEEASQVPHYGTPQFNVAGVHDVFPNNTPFLQTKDGFFGGDVSEMSFEFRSPCAGLKLKLMPEMRK >Potri.002G015900.3.v4.1 pep chromosome:Pop_tri_v4:2:965928:969152:1 gene:Potri.002G015900.v4.1 transcript:Potri.002G015900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015900.v4.1 MEALSSSAAISSSLSSFSPKRKELNTPTILLRFHVSSKKDKKDSDLQSSSHDSSIVPLFNNPSFSKDAAMGLVLSAASVRGWTTGSGMEGPSVTAVSEDGFNTEKVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVNPGFNYRDAKWDGGFKLFDVDDDRDDGGSDVFPI >Potri.006G195900.1.v4.1 pep chromosome:Pop_tri_v4:6:20420764:20423102:1 gene:Potri.006G195900.v4.1 transcript:Potri.006G195900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195900.v4.1 MMFKTHNSLITLKTLLQSKSQLEPQSNKLYDFFQSRSIVKVRLKWVKNRSLDNIIDTETDLKAACLLKDAIKRSPTGFLTAKSVSEWQKLLGLTVPVLRFMRRYPTLFNEFPHAQYTNLPCFRLTDTALLLDSQEQTIHQTYESDTVERLCRVLMMMKSQTVPLQSLHPLKWDLGLPVNFEKVLIPKYPDHFQFAKAPNGTRSLRLVQWHEEFAVTALQRSNETGEKGKEYRQFKSGYTALTFPMSFPKGYGGQKKVRAWMEEFHKLPYISPYDDSKRIDPDSELMEKRVVGVLHELLSLTIHKKTKRNYVRSLREELILPHKFTRLFTRYPGIFYLSLKCKTTTVALREGYRRGKLANPHPLARLREKFYHVMRTGLLYRDRGVKMIPQEILLNDVDDETGQEESEEEEVEIGDDCYEETSEMGEDSDED >Potri.006G225500.2.v4.1 pep chromosome:Pop_tri_v4:6:22998749:23004222:1 gene:Potri.006G225500.v4.1 transcript:Potri.006G225500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225500.v4.1 MEITENGQDMSSAVTSLLPLASVSQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVVNYRAFIAAADALLAIRQQVSSIDNHLESLITEIPKLTSGCTEFIESAEEILEKRKMNQTLLANHSTLLDLLEIPQLMDTCVRNGNYDEALDLEAFVCKLSTMHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFSEYEMRLQFLRCREAWLTGILEDLDQRNAYEYLKGMINCHRTHLFDVVNQYRAIFADDTSGSEENYDGGLLFSWAMHQITSHLKTLKIMLPKITEGGSLSNILDSCMYCAVGLNWVGLDFRGLLPPLFEEAVLNLFSKNMNTAVENFQLVLDSHRWVPLPAVGFPSYSIGEEQQEDVTPPSYLMEHPPLAVFINGVSAAMNELRPCAPVSLKHVLAQELIKGLQAVSDSLLRYNATRMLRDNESGLFLSLCRSFIEVAYPHCATCFGRCYPGGAALIMDAKNLYDGVGRLLATSSRELQRPANNTEGKKITENGDQLVVENGVTPEVEPSGVAIADEKDQISPTVQIDEKQGDSVASV >Potri.007G135700.1.v4.1 pep chromosome:Pop_tri_v4:7:14789498:14792171:-1 gene:Potri.007G135700.v4.1 transcript:Potri.007G135700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135700.v4.1 MGVDYYKILQVDKTAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAQFKKISEAYDVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGPGGASFFSAGDGPTSFRFNPRNADDIFAEFFGFSSPFGGMGGGSGGMRGTRFPGGMFGDDIFSSFGEGGGGSMHQSAPRKAPPIENKLRCSLEELYKGASRRMKISRETFDASGKLVPVEEILTIDIKPGWKKGTKITFPEKGNEQQHIIPADLVFIIDEKPHPMFSRDGNDLILSQKISLSEALTGYTVHLTTLDGRNLTIPINTVIHPNYEEVVPREGMPIPKDPTKRGNLRIKFSIKFPTRLTSEQKAGIKSLMGP >Potri.015G002100.2.v4.1 pep chromosome:Pop_tri_v4:15:101173:104959:-1 gene:Potri.015G002100.v4.1 transcript:Potri.015G002100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002100.v4.1 MENEEDKARSSCRSENIDRLSDLPDSIIHKILSLVDLRCAVQTSVLSKRWRFLWTSLTDLNFNGTCMDFTNFVTFNRFVSRVLSTREDSNVYKIQLYSTWSPHHSLLDMVIKYAVSHHIQNMVINYGHSTIRSFSLPPAFLTCQSLKTLQLSGFNFKALGFALPNLISLSLSHCSFTNPSDFDDPFASCLKLKNLSLRSCSFLSSKVLKISGLQLLNLEIVGLGYMNLAGLLYRIDIFAPKLISFVYKLSHVVDFSGLHLPSLNIARVHVCTKQDKKKEAYLGLINMFKGLGNAQSVMLHSQTIEVLGSFPGLLEQEPSPFKRLKSLQVAPNNKPFVPPHVMTYLLGGTPLAETIYADYL >Potri.015G002100.3.v4.1 pep chromosome:Pop_tri_v4:15:101172:104956:-1 gene:Potri.015G002100.v4.1 transcript:Potri.015G002100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002100.v4.1 MENEEDKARSSCRSENIDRLSDLPDSIIHKILSLVDLRCAVQTSVLSKRWRFLWTSLTDLNFNGTCMDFTNFVTFNRFVSRVLSTREDSNVYKIQLYSTWSPHHSLLDMVIKYAVSHHIQNMVINYGHSTIRSFSLPPAFLTCQSLKTLQLSGFNFKALGFALPNLISLSLSHCSFTNPSDFDDPFASCLKLKNLSLRSCSFLSSKVLKISGLQLLNLEIVGLGYMNLAGLLYRIDIFAPKLISFVYKLSHVVDFSGLHLPSLNIARVHVCTKQDKKKEAYLGLINMFKGLGNAQSVMLHSQTIEVFICTYLVHQLRYF >Potri.002G263900.1.v4.1 pep chromosome:Pop_tri_v4:2:25228000:25228401:-1 gene:Potri.002G263900.v4.1 transcript:Potri.002G263900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G263900.v4.1 MATWIHFLLFFNFALCVLSARSIEDQAEQNLPTIEIINSLPANSEPVRFYCASNNISLGMHSLSVGEVFQWKVVEKDTYYCAAEWKQYFAAWYGFEVPRDENHGTVYSLMKEDGIYLSWDKANWVLQNTWKAI >Potri.018G074300.1.v4.1 pep chromosome:Pop_tri_v4:18:8904177:8908490:-1 gene:Potri.018G074300.v4.1 transcript:Potri.018G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074300.v4.1 MSLIFDSLTVILVSFLLLLSHGRVDSEPVQDKQALLAFLSKVPHENRLQWNASASVCTWFGIECDANQSFVYSLRLPGVGLIGSIPPNTLGRMSQLRVLSLRSNRLSGEIPSDFSNLTLLRSLYLQNNVFTGDFPPSLTRLTRLSRLDLSSNNFTGSIPFSVNNLTHLTGLLLQNNHFAGSLPSVNPLNLTDFNVSNNSLNGSIPQVLAKFPASSFSGNLQLCGRPLPPCNPFFPSPAPSPSEIPPGPPSSHKKSRKLSTVAIVLIAVGSALVALLLLLFLILCLRRKQRSRPAKTPKPTATARAVAVEAGTSSSKDDITGGSAEAERNKLVFFEGGIYSFDLEDLLRASAEVLGKGSVGTSYKAVLEEGTTVVVKRLKDVVVTKRDFETQMEVLGKIKHDNVVPLRAYYYSKDEKLLVSDFMPVGSLSALLHGSRGSGRTPLDWDNRMRIAMSTARGLAHLHIAGKVIHGNIKSSNILLRPDNDACVSDYGLNPLFGTSTPPSRVAGYRAPEVVETRKVTFKSDVYSFGVLLLELLTGKAPNQASLGEEGIDLPRWVQSVVREEWTAEVFDVELMRYHNIEEEMVQLLQIAMACVSTVPDQRPAMQEVVRMIEDMNRGETDDGLRQSSDDPSKGSESHTPPPESRTPPSTVTP >Potri.010G067200.1.v4.1 pep chromosome:Pop_tri_v4:10:9516850:9519245:1 gene:Potri.010G067200.v4.1 transcript:Potri.010G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067200.v4.1 MDKHVNKDANGLKNEPLIMTLDSPKAEEDVEGNGEDYSEFKALLPPRKGGMSRNSDKVRRKVQWNDNNGNKLVEVLEFEPSDVSDSDEEDTDSCLCTIM >Potri.011G064300.1.v4.1 pep chromosome:Pop_tri_v4:11:5469709:5471674:-1 gene:Potri.011G064300.v4.1 transcript:Potri.011G064300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064300.v4.1 MQSPVRFSSCRGVAFEIKPHENPFAITAPSNDELKGSKRIWFPWPLGSSSKVFPSSIIRSTSRASSHFCDLDLDGEDDISLEALEEGKEEQKGQKLTPFPSATKKEQPPKPARKQESRLSVILLDQGLFTVYKRLFVVCLTLNITGLVLAETGKFPYARNRATLFSIANILALTLCRSEAFLRVVFWLAVEVFGRSWIPLPIKTATTSLLQSLGGIHSSCGISSVAWLIYALVLTLKNRENTSSEIIAVASIILSLLCLSCLAAFPLVRHLHHNVFERFHRFTGWTALALLWTFIILTTSYDPKAKSYSNELGSRLIKQQEFWMTAAITILIIIPWITVRRVPVKVSAPSSHASIIKFEGGVKAGILGRISPSPLSEWHAFGIISDGKTEHMMLAGAVGDFTKSLVSNPPSHLWVRQVHFAGLPYLVNMYDRVLLVATGSGICVFLSFLLQPCRANVCVLWVAKGIEQNFGKEIQDMMSRHPKDKVIVHDTAVLGRPNVSEMSVEAAKKWGAEVVVVTSNPEGSRDVVNSCKAAGIAAFGPIWDS >Potri.019G048900.2.v4.1 pep chromosome:Pop_tri_v4:19:8052698:8056047:-1 gene:Potri.019G048900.v4.1 transcript:Potri.019G048900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048900.v4.1 MSSICSFTSSISSISPFTSPRKSLNYNNKYTPIIHSSLQGTVAEPKASSVAEPLLLNAVRGEDVERPPVWLMRQAGRYMKSYQIICEKHPSFRERSENVDLVVEISLQPWKVFRPDGVILFSDILTPLSGMNIPFDIVKGKGPVIFNPLRTADDVDQVREFVPEESVPYVGEALTVLRKEVENKAAVLGFVGAPFTLASYVVEGGSSKHFTKIKRLAFSQPKVLHALLQKFATSMAKYIQYQADSGAQTVQIFDSWATELSPVDFEEFSLPYLKQIVDTVKQSHPNLPLILYASGSGGLLERLALTGVDVVSLDWSVDMAEGRKRLGPDVAVQGNVDPGVLFGSKEFITNRIYDVVKKAGKGKHILNLGHGIVVGTPEENVAHFFEVAKGIRY >Potri.019G121100.1.v4.1 pep chromosome:Pop_tri_v4:19:14762808:14763812:-1 gene:Potri.019G121100.v4.1 transcript:Potri.019G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G121100.v4.1 MKQQSISFFIFLLFLQCTYTFAQSPAAAPAQAPAVVVAQPPAATPTQAAAPHGITNVTKILEKAGHFTIFIRLLRSTQEENHLFSALNDSSTGLTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVIPTFLSTSQFQTVSNPLGTWAGTGSRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFASNAPAPAPVAPAPEKPAKAVPAANVESPVAPVDISSAVWFMHNNVAGSVGIVAAAVFAL >Potri.011G073491.2.v4.1 pep chromosome:Pop_tri_v4:11:7596419:7602956:1 gene:Potri.011G073491.v4.1 transcript:Potri.011G073491.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073491.v4.1 MLMMLQLCQVMVMISFSSSITLLASELHPGEVEALKQIGKTVNEDGQLSLNFVDSCQSGRVVDTELTSAPPNFEEGNSTIDCNCNITDDNYCHITSFQLEDYGLPGRLPPELANLTYVEKIEFTRNYLYGTIPVEWASMKNLSSMSLTANRLSGNIPAHLGSFTALTYLSLESNQFSGVVPPELGQLANLKTLILSGNKLVGTLPEALAQIKTMKDFDNNLNGTVPQFIGNWTQLQKLELYATGLQGPIPLEIFHLEKLSDLRIADMPGPEFQLPNVPIKRENLVLRNINLNGTIPEDAWKVETTLDLTFNKLVGEIPPNTIQRQFT >Potri.011G073491.1.v4.1 pep chromosome:Pop_tri_v4:11:7596318:7603105:1 gene:Potri.011G073491.v4.1 transcript:Potri.011G073491.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073491.v4.1 MLMMLQLCQVMVMISFSSSITLLASELHPGEVEALKQIGKTVNEDGQLSLNFVDSCQSGRVVDTELTSAPPNFEEGNSTIDCNCNITDDNYCHITSFQLEDYGLPGRLPPELANLTYVEKIEFTRNYLYGTIPVEWASMKNLSSMSLTANRLSGNIPAHLGSFTALTYLSLESNQFSGVVPPELGQLANLKTLILSGNKLVGTLPEALAQIKTMKDFRVSDNNLNGTVPQFIGNWTQLQKLELYATGLQGPIPLEIFHLEKLSDLRIADMPGPEFQLPNVPIKRENLVLRNINLNGTIPEDAWKVETTLDLTFNKLVGEIPPNTIQRQFT >Potri.001G123200.3.v4.1 pep chromosome:Pop_tri_v4:1:10102367:10103818:1 gene:Potri.001G123200.v4.1 transcript:Potri.001G123200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123200.v4.1 MGGHGGLNILPQKKWNVYNYDNREKVRKDEEAAARDEQLKREEVRKRDAEFRLERLRAARGLPPMQKPEEPVPVVEAEASISEPKSNHINLFEGIKIFDPVMGLEKERGDEGEGSKKKRKMMKKEEVRIVTAEDEKYRLGYGVAGKGVKLPWYLERRSDEVNNKERGEDDGSTRGKKEVGKKSGKKTLEELRDERLKREKREKERERALLVEKSRRDGSRLKEKGFSRRSVY >Potri.011G025200.1.v4.1 pep chromosome:Pop_tri_v4:11:1877110:1878975:-1 gene:Potri.011G025200.v4.1 transcript:Potri.011G025200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MATTLTPYLSANLKSSFLDNKSSFHGTPITTTTRFTPIKSTSPAISMSLTQPSYDLQSFKFQPIKESIVSREMTRRYMTDMVTYADTDVVIVGAGSAGLSCAYELSKNPSVRVAIIEQSVSPGGGAWLGGQLFSAMIVRKPAHRFLDELEIEYDEADNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVSGVVTNWALVSMNHNTQSCMDPNVMEAKVVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNAAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNALDGTFSLQPELVLAAAEAGDTVDA >Potri.011G025200.4.v4.1 pep chromosome:Pop_tri_v4:11:1877422:1877796:-1 gene:Potri.011G025200.v4.1 transcript:Potri.011G025200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MMISGQKAAHLALKALGQPNALDGTFSLQPELVLAAAEAGDTVDA >Potri.014G076800.2.v4.1 pep chromosome:Pop_tri_v4:14:4966930:4969594:-1 gene:Potri.014G076800.v4.1 transcript:Potri.014G076800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076800.v4.1 MFDAAMNLTTTVIGFGMSVTFIVFVCTRIICGRLRGAESRQMLEIESRIDIEQPEHGISGLEPVLVAAIPTLRFTREAFSSAEDAQCSICLGEYQEKEVLRIMPKCGHNFHLSCIDEWLRKHSTCPVCRFQIQDSFKAKHMRQAAISMVQSIDSPDTPSEHSRQWLLPSYQHQAGNHSNQRHLDPVPGNSEITPGEPQTSHS >Potri.001G058800.1.v4.1 pep chromosome:Pop_tri_v4:1:4483345:4489597:-1 gene:Potri.001G058800.v4.1 transcript:Potri.001G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058800.v4.1 MSLNMVPNSYASTDLEGAKGNGFLGLMQEMEAPAASLNVDNISQNRGFVGSITSEGRLEKKRGEKKIRKPKYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTHQGCNVKKQVQRLTKDEGIVVTTYEGTHSHQIEKSTDNFEHILRQMQIYS >Potri.006G278500.3.v4.1 pep chromosome:Pop_tri_v4:6:26885387:26887780:-1 gene:Potri.006G278500.v4.1 transcript:Potri.006G278500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278500.v4.1 MAIISACASTSCYTPPPSGGEGRLCKYTGQSVRALPIRIITVGKKRSAGVQLLVEEYTNKLKNYCSLHDLPIRSNPRNARDEKAQVDDEDKAVMNLIRSDDWVVLLDERGRDIGSEQMAELIGDAGNTGASRLSFCIGGPYGHGQQIRKRANISIRLSSMVLNHQIALLVLVEQLYRSWTILKGQNYHH >Potri.011G104600.1.v4.1 pep chromosome:Pop_tri_v4:11:13282234:13286556:-1 gene:Potri.011G104600.v4.1 transcript:Potri.011G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104600.v4.1 MMGSSLLLAQFLCLLFFHSHSQPAHSSSNFSSSVKLCPGDQSLALLQFKHSFPMTPSSPHGFSCYPPKKVLWKEGTDCCSWDGVTCNMQTGHVIGLDLGCSMLYGTLHSNSTLFSLHHLQKLDLSRNDFNRSVISSSFGQFLHLTHLNLDSSNFAGQVPPEISHLSRLVSLDLSSNSEELMLEPISFNKLAQNLTQLRELYLDGVNMSFVVPSSLMNLSFSLSSLRLWYCGLQGELPDNFFRRSNLQSLDLSSNEGLTGSFPPYNLSNAISHLALSETRISIHLEPHSISQLKSVEVMYLNWCNFVGSNLGLLGNLTQLIELALEGNQLGGQIPFSFGKLKQLEYLDLKFNNFIGPIPDVFVNQTQLTSLELSYNSFQGHLPFSLINLKKLDSLTLSSNNFSGKIPYGFFNLTQLTSLDLSYNSFQGHLPLSLRNLKKLDSLTLSSNNFSGKIPYGFFNLTQLTSLDLSYNSFQGHLPLSLRNLKKLDSLTLSSNNFSGKIPYGFFNLTQLTSLDLSYNSFQGHLPLSLRNLKKLDFLTLSSNNFSGKIPYGFFNLTQLLWLDLSNNRFDGQIPDGFFNLTQLFSLDLSNNRFDGQIPDGFFNLTQLTSLDLSNNKIDGQIPSSFGNLKKLYFLKLSSNNFSSKIPYGFFNLTQLPLLDLSNNRFDGQIPDGFFNLTQLTSLDLSNNRFDGQIPDGFFNLTRLTSLDLSNNILIGSIPSQISRLSGLNSLDLSHNLLDGTIPSSLFSMPSLRALLLHNNLLYGQISPFLCNSLQYIDFSHNRLYGQIPPSVFKLEHLRALMLSSNYKLTGNISSVICELKFLEILDLSNNSFSGFIPQCLGNFSDGLLVLHLGGNNLHGNIPSIYSEGNDLRYLNFNGNQLKGVIPPSIINCVNLEFLDLGNNMIDDTFPSFLEMLPQLEVVILRSNKFHGSFKGPTVKDSFSKLQIFDLSNNSLSGPLPTEYFNNFKAMMSVDQDMDYMRPKNKNISTSYVYSVTLAWKGSEIEFSKIQIALATLDLSCNKFTGKIPESLGKLKSLIQLNLSHNSLIGYIQPSLGNLTNLESLDLSSNLLAGRIPQQLVDLTFLQVLNLSYNQLEGPIPVGKQFNTFEIGSYEGNLGLCGLPLQVKCNEGEGQQPPPSNFEKEDSMFEEGFGWKAVAMGYGCGFVFGVSIGYVVFRARKPAWFVKMVEASAHQYGKRLRRKNAPARNGGRRH >Potri.014G039100.4.v4.1 pep chromosome:Pop_tri_v4:14:2525840:2535409:-1 gene:Potri.014G039100.v4.1 transcript:Potri.014G039100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039100.v4.1 MAKSSADDEELRRACEAAIEGTKQKIVLSIRVAKSQGIWGKSGKLGRHMAKPRVLALSTKSKGQRTKAFLRVLKYSNGGVLEPAKLYKLKHLSKVEVIANDPSGCTFTLGFDNLRSQSVAPPQWTMRNVDDRNRLLFCILNICKDVLGRLPKVVGIDVVEMALWAKENTPTVPKQTNQQDGGGPVEATVTESDLKVSVERELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELLALEAANVHAILESEPLVEEVLQGLEAATNCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNVSLIEELDKLLERLRVPSEYAACLTGGSFDEERMLQNIEACEWLTGALRGLQVPNLDPIYANMCAVKEKRTELEKLKTTFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEASTGSSQSAHNTDTSSVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGVVNGNKGGNYNDADDDDDDDLGIMDIDENDGKAGKNSADLAALNESLQDLLNGIQDDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISMQFSRFVDEACHQIERNERNVRQTGVLSYIPRFATLATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQTDPKYADVFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIFYQFEKLFQFARKIEDLMYTITPEEIPFQLGLSKMDLRKMLKSSLSGVDKSISAMYKRLQKNLTSEELLPSLWDKCKKEFLDKYESFAQLVAKIYPNESIPSVSEMRELLASM >Potri.004G197200.1.v4.1 pep chromosome:Pop_tri_v4:4:20910905:20915194:-1 gene:Potri.004G197200.v4.1 transcript:Potri.004G197200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197200.v4.1 MRVKKQKRHRRAVRFYTACFGFRQPYKVLCDGTFIHHLIVNNIAPADTAISNILGGSVKLFTTRCVLAELKRLGKSYTESLQAANTLMIARCDHEQIKNAEGCIVEIIGENNPDHFYVGTQDTDMRKKFQEVPGVPLIFGLRNALFLQPPSAFQRQFAKNSEEERSHMTEKEVALLKKRTKDLVENWEIGDSSDENGGPEDENLEMQPQKYSSRKGMKVKDRPQFKRNKAKGPNPLSVQKKKSRQNTNSMSGKESKGGDETVRSRGRKRKRSRKGKSSAPAES >Potri.003G116000.1.v4.1 pep chromosome:Pop_tri_v4:3:13802790:13806671:-1 gene:Potri.003G116000.v4.1 transcript:Potri.003G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116000.v4.1 MLPYATLDEAAAALGRNLTVAETLWFNYSAKKSDYYLYCHNILFLFLIFSVVPLPVVFISLWRSSGFDKYKIQPKVKLSPSETFKCYKDVMFMFFFVVGPLQLVSYPSVKLIGIRTSLPLPSGWEVFLQLVVYFMVEDFTNYWIHRFLHGKWGYEKIHKVHHEYTAPIGFAAPYAHWAEVLILGIPSFLGPAMVPGHMITFWLWIALRQIEAIETHSGYDFPWTPTKYIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDFIYGTDKGYRFQKKLLWKLKKGVENGVEQNGGSYYVPTQDLKSD >Potri.013G062100.4.v4.1 pep chromosome:Pop_tri_v4:13:4587578:4588619:-1 gene:Potri.013G062100.v4.1 transcript:Potri.013G062100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062100.v4.1 MAGIVHKIEDTLNNGGKKDERKGETQGGCNQQEHRGGAQGERKEGFADQMKDKIPGGGGGGHKGETQGGCNQQEHRGGAQGERKEGFVGQMKDKIPGGGGGGVGGKGETQGGCNQQEHRGGAQGERKEGFVGQMKDKIPGGGGGGVGGVRGEGGEK >Potri.013G062100.3.v4.1 pep chromosome:Pop_tri_v4:13:4587601:4588617:-1 gene:Potri.013G062100.v4.1 transcript:Potri.013G062100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062100.v4.1 MAGIVHKIEDTLNNGGKKDERKGETQGGCNQQEHRGGAQGERKEGFADQMKDKIPGGGGGGHKGETQGGCNQQEHRGGAQGERKEGFVGQMKDKIPGGGGGGVGGKGETQGGCNQQEHRGGAQGERKEGFVGQMKDKIPGGGGGGVGGVRGEGGEK >Potri.001G059900.1.v4.1 pep chromosome:Pop_tri_v4:1:4565787:4570259:1 gene:Potri.001G059900.v4.1 transcript:Potri.001G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G059900.v4.1 MATLYSTTCRSISYSLTPSSSSSSSLSINGQNHHQRLNFSSCIAKRVLPVKVSFHSRRNFHLNVVLMQDGAVATPVTPVENETPFKKLKDGFLSSVPSTEEIKEAASFDVNKDESTVSITVVGASGDLAKKKIFPALFALYYEGCLPEHFTIFGYARSKMTDAELRNMVSKTLTCRIDKRENCDEKMDQFLKRCFYHSGQYGSQENFAELDKKLKEHEGARVSNRLFYLSIPPNIFIEAVKCASSSASSGIGWTRVIVEKPFGRDSDSSAALTKALKQYLDEDQIFRIDHYLGKELVENLSVLRFSNLIFEPLWSRQYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDIRNEKVKVLRSMRPLQLEDVVVGQYKNHTKGGVTYPAYTDDNTVPKGSLTPTFAAAALFIDNARWDGVPFLMKAGKALHNKSAEIRVQFRHVPGNLYNRNFGTDLDRATNELVIRVQPDEAIYLKINNKVPGLGMRLDRSNLHLHYAARYSKEIPDAYERLLLDAIEGERRLFIRSDELDAAWALFTPVLKELEEKKIIPEYYPYGSRGPVGAHYLAARYKVRWGDLGIEQ >Potri.017G132500.1.v4.1 pep chromosome:Pop_tri_v4:17:13473573:13475634:1 gene:Potri.017G132500.v4.1 transcript:Potri.017G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G132500.v4.1 MESDMVIHNGGCHCRRVRWRVQAPSSVVAWNCNCSDCSMRGNIQFIVPSEKFELLGDSKEFLTTYTFGTHTAKHTFCKFCGITSFYVPRSHPDGVSITCRCVDPGTLTHVEIKHFDGQNWERSYNQTFASCSQGAGC >Potri.002G064632.1.v4.1 pep chromosome:Pop_tri_v4:2:4425214:4427864:1 gene:Potri.002G064632.v4.1 transcript:Potri.002G064632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064632.v4.1 MTIVANPCQFKIPDWFLNRQKDYTDGKYSQVVSNALDMKLRDDLECLKKIRNHRGLRHYWGLIVRGQHTDYWPRGKTVGVSKKR >Potri.015G076300.1.v4.1 pep chromosome:Pop_tri_v4:15:10247274:10248505:1 gene:Potri.015G076300.v4.1 transcript:Potri.015G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076300.v4.1 MHLSILDNMRLIFFHFALVRAALPYCPFRRREVCCPVFRYYEIEKKIRNKAKAVNGKEVLQYSGGDVEVMAGLKADLDRYPRNIRYLWVPVSYRLWHRMLEQR >Potri.010G004700.2.v4.1 pep chromosome:Pop_tri_v4:10:433774:440293:1 gene:Potri.010G004700.v4.1 transcript:Potri.010G004700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004700.v4.1 MAHPLLVSLRPQSIIIAYHSHSHSHSHSHIELKLNSSSPRVLSLVRAVPHLQASASHSHLLDDLHHTPSPPSPPSNKDDSGIETKGVAANFGKLKAHKLKLIARKAKQQFNKHQHFREEEEEADKEPISRNSDERGVNRTSRHDIKPRKSDSKVAGTHISSSHSRGWGKGVDSRSMQVSMQRGYENDFFSRKSFRDLGCTDFMIESLKGQVFVRPSHIQAMAFAPVIDGKSCIIADQSGSGKTMAYLIPLIQRLRQEELQGLGQSSSQSPRVLILVPTAELASQVLNNCRSMSKHGVPFRSMVVTGGFRQRTQLENLEQGVDVLIATPGRFMFLIKEGFLKLQNLKCAVLDEIDILFNDENFEASLQGLINSSPVTTQYLFVTATLPVDVCNKLIEVFPDCEVIMGPGVHRTSARLEEILVDCSGEIEAEKTPETAFLNKKSALLQLVEQSPVSKTIIFCNKIETCRKVENALKRTDRKGTLVRVLPFHAALAQESRLANMKEFMNPSRPKEESLFLVCTDRASRGIDFSGVDHVVLFDFPRDPSEYVRRVGRTARGARGNGKAFIFAVGKQVFLARKIIQRNEKGHPLHDVPFAYEQVG >Potri.010G004700.3.v4.1 pep chromosome:Pop_tri_v4:10:433774:440178:1 gene:Potri.010G004700.v4.1 transcript:Potri.010G004700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004700.v4.1 MSKHGVPFRSMVVTGGFRQRTQLENLEQGVDVLIATPGRFMFLIKEGFLKLQNLKCAVLDEIDILFNDENFEASLQGLINSSPVTTQYLFVTATLPVDVCNKLIEVFPDCEVIMGPGVHRTSARLEEILVDCSGEIEAEKTPETAFLNKKSALLQLVEQSPVSKTIIFCNKIETCRKVENALKRTDRKGTLVRVLPFHAALAQESRLANMKEFMNPSRPKEESLFLVCTDRASRGIDFSGVDHVVLFDFPRDPSEYVRRVGRTARGARGNGKAFIFAVGKQVFLARKIIQRNEKGHPLHDVPFAYEQVG >Potri.018G078701.3.v4.1 pep chromosome:Pop_tri_v4:18:9632499:9636118:-1 gene:Potri.018G078701.v4.1 transcript:Potri.018G078701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G078701.v4.1 MATELAIETERTQKFFNDLDARKTILSSCTQLFTTLTTHFKSLQNSLSQKSLSLESKFQSLESNSQLTLESLSCREKSIPERESAAAAKVEEQRETALSEFRNSHSFDNLSDSLKSLCRRMDSSGLLRFVVSKRKESVFLRAEISRAIMEAVDPARLTLDAVDELVRDKVGKVGVTDKRWACGILVQALFPEGCCFGRKDKGPEFARSVVERAAGILENWKEEDEVEEKADGEGGGGGGGGGGVVGPAEAVMFLQMVLGFGLKSRFDEEFLRKLVAENASRRDMAKLAAAIGFGEKMGDIIDELVKNGKEIEAVYFASESGLTKRFSPVSLLKSYLKNSKKITTTVLKNGNYSAAATVCLISIYIV >Potri.018G078701.2.v4.1 pep chromosome:Pop_tri_v4:18:9632587:9636118:-1 gene:Potri.018G078701.v4.1 transcript:Potri.018G078701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G078701.v4.1 MATELAIETERTQKFFNDLDARKTILSSCTQLFTTLTTHFKSLQNSLSQKSLSLESKFQSLESNSQLTLESLSCREKSIPERESAAAAKVEEQRETALSEFRNSHSFDNLSDSLKSLCRRMDSSGLLRFVVSKRKESVFLRAEISRAIMEAVDPARLTLDAVDELVRDKVGKVGVTDKRWACGILVQALFPEGCCFGRKDKGPEFARSVVERAAGILENWKEEDEVEEKADGEGGGGGGGGGGVVGPAEAVMFLQMVLGFGLKSRFDEEFLRKLVAENASRRDMAKLAAAIGFGEKMGDIIDELVKNGKEIEAVYFASESGLTKRFSPVSLLKSYLKNSKKITTTVLKNGNYSAAATDESSTLELNSIKAIIKCVEDHKLESEFSLDSLRKRASLLEKTKAERKRGTSAATATKSQNKRGHGSSGARDSGPTPYRQAKAAKFSNNYSSFSRRNAPPPAQHSPARRYSGPFHYPSQSVYEGPAAAPYASTYGISHAQSPSAISQQPYHHSQSPSAIPQQLYSQPAENMSAAGFRASGSYGSQTSYGAYDYGSAAPVTYQPSSYTQ >Potri.008G182300.1.v4.1 pep chromosome:Pop_tri_v4:8:12558527:12563627:-1 gene:Potri.008G182300.v4.1 transcript:Potri.008G182300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182300.v4.1 MALAFDEFGRPFVIIKEQDQKVRLRGLDAQKANIASGMAVSRILRTSLGPKGMDKMLQSPDGDVTITNDGATILEQMDVDNQIAKLLVELSRSQDYEIGDGTTGVVVLAGALLEQSQKLLERGIHPIRVAEGYETASRIAVEHLEKISQKYDFGVNNMEPLVQTCMTTLSSKIVNRCKRSLAEISVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGILVDKEMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDVMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKAFGTTKDRMLYVEHCANSRAVTIFIRGGNKMIIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKENNHYCGIDCNDAGTNDMREQNVFETLIGKQQQIFLATQVVKMILKIDDVISPSEY >Potri.008G182300.4.v4.1 pep chromosome:Pop_tri_v4:8:12558623:12563523:-1 gene:Potri.008G182300.v4.1 transcript:Potri.008G182300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182300.v4.1 MDVDNQIAKLLVELSRSQDYEIGDGTTGVVVLAGALLEQSQKLLERGIHPIRVAEGYETASRIAVEHLEKISQKYDFGVNNMEPLVQTCMTTLSSKIVNRCKRSLAEISVKAVLAVADLERKDVNLDLIKVEGKVGGKLEDTELIYGILVDKEMSHPQMPKQIEDAKIAILTCPFEPPKPKTKHKVDIDTVEKFQTLRKQEQQYFDVMVQKCKDVGATLVICQWGFDDEANHLLMHRNLPAVRWVGGVELELIAIATGGRIVPRFQELTPEKLGKAGLVREKAFGTTKDRMLYVEHCANSRAVTIFIRGGNKMIIEETKRSIHDALCVARNLIRNNSIVYGGGSAEISCSVAVEAAADRYPGVEQYAIRAFADALDSVPMALAENSGLQPIETLSAVKSQQIKENNHYCGIDCNDAGTNDMREQNVFETLIGKQQQIFLATQVVKMILKIDDVISPSEY >Potri.008G119100.4.v4.1 pep chromosome:Pop_tri_v4:8:7651343:7657886:-1 gene:Potri.008G119100.v4.1 transcript:Potri.008G119100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119100.v4.1 MASDSDASRRKHRGSSSDDEVEKSSKRQKHRHHHHRHHRHRSKKHGGDTKQGGEEIAPPTLPPPVPVAHSNRADNDDVEEGEILEEEGFGGVDIKANELREEITDSQNLQGFDKKDNGFVSNQLVVDKFDNDVSFQRDSKAELQDELVSKIDSEDLIKGSLGHKNHTDDKKRHGESRYPSKGSKRKNNRDIDPTEGDDSKLKDWKKSPSSESSEDKHKRARSPSHDKYHDEIHARSRSMSRDIVRERSRSRSVIEDEALLRRKRHHDRIENDSYDERMSRYSRNLRHNIGDSVRNVDREWSVSYIKSFDGEEWHHNKDAPDGERSRERERERRREKEQERSREREVDRDRRREMENERSKESDWRREKEQDRELDGERRREKGQERSRDRVEEIDRKKRMETDMTKHKNMQRASNRDRDKERERENGRDRYRERDRARDHDSGKERDRERRNDRDRDREKSRVIKSNSEKFYNSNSNLLGQGRDNLNRDEDDQDDFEERIALKLAEQEEDNLDRIKEESRKRRDAILEKYRNQQLQQLKESGSEDADKNKEPIEGSGQSAAADNVAPETLDGRTDGADVYVIETSFSVGKSPLQNGIQASKRTSGTTGLGEGSPKSERSDEKLCDDIFGETPVGVCKSGKGDEKYCDDIFGETPVGVRKTGKGEGLPIVRSGLHDNWDDPVGYYSHRFGEVLDGRYEIVAAHGKGVFSTVVRARDLNAGIDEPEEVAIKIIRNNETMRKAGDTEVSILKKLAGQDPENKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRDIGLKLTAVRAYAKQLFIALKHLRNCGVLHSDIKPDNMLVNEAKNVLKLCDFGNAMFSGKNEITPYLVSRFYRAPEIILGLPYDHPMDMWSVGCCLYELYSGKVLFPGSTNNDMLRLHMELKGPFPKKMLKKGAFVDQHFDNDLNFHATEEDPVTKKIMKKIIVNIKAKDVGSIISGSPGEDPKMLANFKDLLEKIFVLDPEKRMTVYQALAHPFITGK >Potri.008G119100.1.v4.1 pep chromosome:Pop_tri_v4:8:7651481:7657855:-1 gene:Potri.008G119100.v4.1 transcript:Potri.008G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119100.v4.1 MASDSDASRRKHRGSSSDDEVEKSSKRQKHRHHHHRHHRHRSKKHGGDTKQGGEEIAPPTLPPPVPVAHSNRADNDDVEEGEILEEEGFGGVDIKANELREEITDSQNLGFDKKDNGFVSNQLVVDKFDNDVSFQRDSKAELQDELVSKIDSEDLIKGSLGHKNHTDDKKRHGESRYPSKGSKRKNNRDIDPTEGDDSKLKDWKKSPSSESSEDKHKRARSPSHDKYHDEIHARSRSMSRDIVRERSRSRSVIEDEALLRRKRHHDRIENDSYDERMSRYSRNLRHNIGDSVRNVDREWSVSYIKSFDGEEWHHNKDAPDGERSRERERERRREKEQERSREREVDRDRRREMENERSKESDWRREKEQDRELDGERRREKGQERSRDRVEEIDRKKRMETDMTKHKNMQRASNRDRDKERERENGRDRYRERDRARDHDSGKERDRERRNDRDRDREKSRVIKSNSEKFYNSNSNLLGQGRDNLNRDEDDQDDFEERIALKLAEQEEDNLDRIKEESRKRRDAILEKYRNQQLQQLKESGSEDADKNKEPIEGSGQSAAADNVAPETLDGRTDGADVYVIETSFSVGKSPLQNGIQASKRTSGTTGLGEGSPKSERSDEKLCDDIFGETPVGVCKSGKGDEKYCDDIFGETPVGVRKTGKGEGLPIVRSGLHDNWDDPVGYYSHRFGEVLDGRYEIVAAHGKGVFSTVVRARDLNAGIDEPEEVAIKIIRNNETMRKAGDTEVSILKKLAGQDPENKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRDIGLKLTAVRAYAKQLFIALKHLRNCGVLHSDIKPDNMLVNEAKNVLKLCDFGNAMFSGKNEITPYLVSRFYRAPEIILGLPYDHPMDMWSVGCCLYELYSGKVLFPGSTNNDMLRLHMELKGPFPKKMLKKGAFVDQHFDNDLNFHATEEDPVTKKIMKKIIVNIKAKDVGSIISGSPGEDPKMLANFKDLLEKIFVLDPEKRMTVYQALAHPFITGK >Potri.012G092900.2.v4.1 pep chromosome:Pop_tri_v4:12:11767028:11769348:1 gene:Potri.012G092900.v4.1 transcript:Potri.012G092900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092900.v4.1 MLKSTFVPILSTFPTKPKKLPTKLPWLTVITNTYTQSPQPVHQNASLTTPPPPPPPPPPLHQHNHPPTSAYIHLPFCRKRCHYCDFPIVALGSTNPTDNDPRISNYIELLQREIVSTRSDFDTIPPLETVFFGGGTPSLVSPRMVLSILDTLRVKFGVCEGAEISMEMDPGTFDGRKVKDLMGMGVNRVSLGVQAFQEELLKSCGRAHGVKEVYEAIEILGSCGVENWSVDLISSLPLQTPQMWEESLRLTIEARPKHVSVYDLQVEQGTKFGALYTPGEFPLPCETQSAEFYRMASRMLADVGYRHYEISSYCEDGYECKHNYTYWKNKPFYGFGLGSASYLNGLRFSRPRKMKEYMGYVENLENGVVNYCGNNLVDAKDLAVDIVMLSLRTARGLDLRSFAEAFGGSLVHSLCKVYQPYIESGHVVCLDEERRAMTVDEFNTLFLNEDEIAKGLSYIRLSDPDGFLLSNELISLAFRVIDP >Potri.001G155300.2.v4.1 pep chromosome:Pop_tri_v4:1:13036194:13039252:1 gene:Potri.001G155300.v4.1 transcript:Potri.001G155300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155300.v4.1 MTRRCSHCSHNGHNSRTCPNRVVKLFGVRLTDGSIRKSASMGNLSLYTGSSNMGGPHASGSNNPGSPSDTPDHGAAAAADGYASEDFVPGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRSPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEQLDTPMVSQDFLSTNHPRVDTQTDNPLPAPPPLDEECESMDSTNSNEGEPLPPKPDSSQPAYPVVYPAYLSPFFPCSFPFWSGCSAEPSKTETHEVLKPTAVHSKSPINVDELVGMSKLSIGESIGDDGSSSLSLKLLEGPSRQSAFHANPASNSSGINRSSSPIHAV >Potri.011G053300.1.v4.1 pep chromosome:Pop_tri_v4:11:4281805:4283212:1 gene:Potri.011G053300.v4.1 transcript:Potri.011G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053300.v4.1 MMCSARIRIPFGQLNLMSNVNQFELLDQQAPSLSDMVFGFLEDGESWSESGSSEGYHENKRMDLEEEEEEEENNGNVEENRSFWENQHQLLHATLCRTSSLESSIRSITKDALKERQMAGNCGCGRPMAAGCRSCLMAEVSSRLRNAGHNSAICKTKWRSSPDIPAGEHTFMDVIDNTVSKRGEVRVIIELNFRAEFEMAKASEEYNQLVHRLPEVFVGKVERLNSVIKTLCLAAKKCMKEKKMHLGPWRKQRYMQAKWLATACERATSMPPLSMGHSGRLLRPKASMLTVDLKEMLPHVHCTAATVVW >Potri.016G112900.1.v4.1 pep chromosome:Pop_tri_v4:16:11642886:11644974:-1 gene:Potri.016G112900.v4.1 transcript:Potri.016G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112900.v4.1 MENEISNSTANTLPSDRIKLNVGGKLFETTISTLQSGGPDSLLSTLSTRPGHDPIFIDRDPEIFSVLLSLLRSNRLPSTARRFSKQELADEALYYGIDSHIRDAISPPPLSGIDASIVSTICPASDGLVSSFTAAADDGSVCTAHGGQISFYDHNLTHLSTVRTHLEDITSIRHVWPDVAAVGSESAAGIHFYNFSTARYIESIHWTDSTDPRIYKARVSSIADSSSQIFASFDCPHRENCILSIDKSTLQISSEITRQSGGASKNTVPGKLTWLPETGALIGSAVTCGAFGYSGYIRMWDPRNGEVIWETSEPGSGRSSRFGDSFADVDVDVGGLTLFKVCSKSGDLAVADLRKLGDDPWVYINEKNPSMRFIGGASSSVLHCYKSQVFVGRDGSLEVWSKENNGVGEGLYRRNFVDKVEDSNRGVVRKIEGGGDRLFVSRENVEGIEVWESSYFSGAILV >Potri.017G095000.3.v4.1 pep chromosome:Pop_tri_v4:17:10756675:10760392:-1 gene:Potri.017G095000.v4.1 transcript:Potri.017G095000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095000.v4.1 MEPSSFSQSVAPENHARNSHTYKPNASLQSSQQSLDLRDVVKDSINREPRGLSVKTATTGEARGQTLKYIDSPRPLHYLNSVNPKDPGPRESFRVLHKLRESPYKSSEGKSNFLTGGLKDARRFSYDGWESRDTLKSTIKLKELPRLSLDSRAGSVRGSNPEMKSNFLSRDLGRDDLNSNSFLNNQQDPGSNKRPSSVVAKLMGLEALPDPMSTSGNQTTQIKTHLDEENKFLGSSRTTDLDKQNRISGSPRNLHKEPTSPSQRNAASDKKLTASLKFPIEPAPWRQPDGSRGSQAPAQKNRVTLTKVPSSSLSVYGEIEKRLAQLEFQKSGKDLRALKQILEAMQKTKEILETRKEDSSFETRRSIISSLDQGSKLANLRDLQSNSPISVSTKGTTSPKSFKSSIVIMKPAKLIGKTINSVSAINATDSSSGIHRLRVATPEDGRKESVDKQAAKDVSPRIKNLTDHSNKPLHRNPMDKNAGSRSIRLAQPSKEIRSTTREATNSGKRSETMNLRQQQKKLGFEKQSRPATASLESNRRRRQPSKQPTDSCSPHQKPRAKSLDLQPSDYELSDISDLRDSSHHSDAVSLQSESNIGLASQYDDEVSSNDRSNKINKTFIQQAHLRQRNLVERSIKGTSIPEPRPASSEQPSPVSVLDAAFYGDELPSPIKKISIAFKDDEALKSDGVEWIPIDEDYSFNSMNSGLHSMINQKNVQNLKPLIQNLKEMLSTHKEYITDETTPFYNHANPDHEYISQIYLASGLHKDFESGLRTINLHPTGTPINPDIFHALEQAKASSGHFNDDHNGKRISLSETHAKIQRKLLFDVVNEILVHKLLSENSSKQRLSSKMLAGKGQKRQQLLGDLCSEIDRLQCLHYLLDDEDDNSRSIQWEDLMRESIHWTACHDEIQGIVLAVERLIFKDLITEVINSEMIGRQGRLAGHHRQLFPK >Potri.017G095000.2.v4.1 pep chromosome:Pop_tri_v4:17:10756756:10762413:-1 gene:Potri.017G095000.v4.1 transcript:Potri.017G095000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095000.v4.1 MSAKYMYRLSDENPDLQKHIGCMNGIFQLFDRNHILGGSRRATSQNQKKLPSGQNGNHGNGIQPKGAPQKKTTEKRAKALKEKHRTSTESSRTSFSSSSCSSSISSLECSKASQMEPSSFSQSVAPENHARNSHTYKPNASLQSSQQSLDLRDVVKDSINREPRGLSVKTATTGEARGQTLKYIDSPRPLHYLNSVNPKDPGPRESFRVLHKLRESPYKSSEGKSNFLTGGLKDARRFSYDGWESRDTLKSTIKLKELPRLSLDSRAGSVRGSNPEMKSNFLSRDLGRDDLNSNSFLNNQQDPGSNKRPSSVVAKLMGLEALPDPMSTSGNQTTQIKTHLDEENKFLGSSRTTDLDKQNRISGSPRNLHKEPTSPSQRNAASDKKLTASLKFPIEPAPWRQPDGSRGSQAPAQKNRVTLTKVPSSSLSVYGEIEKRLAQLEFQKSGKDLRALKQILEAMQKTKEILETRKEDSSFETRRSIISSLDQGSKLANLRDLQSNSPISVSTKGTTSPKSFKSSIVIMKPAKLIGKTINSVSAINATDSSSGIHRLRVATPEDGRKESVDKQAAKDVSPRIKNLTDHSNKPLHRNPMDKNAGSRSIRLAQPSKEIRSTTREATNSGKRSETMNLRQQQKKLGFEKQSRPATASLESNRRRRQPSKQPTDSCSPHQKPRAKSLDLQPSDYELSDISDLRDSSHHSDAVSLQSESNIGLASQYDDEVSSNDRSNKINKTFIQQAHLRQRNLVERSIKGTSIPEPRPASSEQPSPVSVLDAAFYGDELPSPIKKISIAFKDDEALKSDGVEWIPIDEDYSFNSMNSGLHSMINQKNVQNLKPLIQNLKEMLSTHKEYITDETTPFYNHANPDHEYISQIYLASGLHKDFESGLRTINLHPTGTPINPDIFHALEQAKASSGHFNDDHNGKRISLSETHAKIQRKLLFDVVNEILVHKLLSENSSKQRLSSKMLAGKGQKRQQLLGDLCSEIDRLQCLHYLLDDEDDNSRSIQWEDLMRESIHWTACHDEIQGIVLAVERLIFKDLITEVINSEMIGRQGRLAGHHRQLFPK >Potri.009G075600.1.v4.1 pep chromosome:Pop_tri_v4:9:7341808:7343035:1 gene:Potri.009G075600.v4.1 transcript:Potri.009G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075600.v4.1 MWRPRAKSTVKIRDTPSSPFSFSTFKDIQNLCIEDHPSSPIKKSSSIFHRVRLATTFLRSLSLSLSEPEHNATPAHNKTTTPPLQEQPLITIPGADKRIVVYYTSLRVVRSTFEDCKTVQSILRGFRVLIDERDLSMDSSFLNELNQIFSGRGNCGRKLTLPRVFIGGRYMGGAEEIRQLNESGELKKFIEGLPVVDSGVCDVCGGYRFILCGQCSGSHKLYIEKAGFKSCTACNENGLIRCPSCAV >Potri.013G116750.1.v4.1 pep chromosome:Pop_tri_v4:13:12524856:12525761:-1 gene:Potri.013G116750.v4.1 transcript:Potri.013G116750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116750.v4.1 MCSYKLHSCFKGIKQSVTITLMMRKTISFVVGVLLVHAFVSEGLIHKETGRDLSRRICAARTRLQF >Potri.019G049800.2.v4.1 pep chromosome:Pop_tri_v4:19:8189994:8198563:1 gene:Potri.019G049800.v4.1 transcript:Potri.019G049800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049800.v4.1 MANKQLHILSSRSRSPILLFTICLFAFSLLFLLFSLSTRHPSASPYPNTNPNLSLKPETSFVASLEHFLDHKYPTSSSSSSPFPTVSEEDVSRFDDQVFSKERDRFYREPYYPLDLPIRVYLYEMPSKFTYDLLWLFRNTYRNTDNLTSNGSPVHRLIEQHSVDYWLWADLIAPESERLLKSVVRVERQEDADLFYVPFFTTISFFLLEKQQCKALYREALKWVTDQPAWKRSEGRNHIFPIHHPWSFKSVRRYVKNAIWLLPDMDSTGNWYKPGQVFLEKDLILPYVPNVNLCDTKCISESESKRSTLLYFRGRLKRNAGGKIRAKLVAELSGAEGVFIEEGTAGEGGKAAAQIGMRKSIFCLSPAGDTPSSARLFDAIVSGCIPVVVSDELELPFEGILDYRKIALFVSSSDAVQPGWLLKFLKGISLAQIRGMQRNLAKYSRHFIYSSPALPLGPEDLVWRMMAGKLVNIRLHTRRSQRVVKESRSVCACDCKRANFTVPVL >Potri.001G307900.1.v4.1 pep chromosome:Pop_tri_v4:1:31794469:31798751:-1 gene:Potri.001G307900.v4.1 transcript:Potri.001G307900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307900.v4.1 MACTCFGSVHPGIAMIFVQIVFGGINIFYKLVINDGMSIRVAVAYRLLFGAAFLCPIALIVERNKRPKLTWVVFFQIFFLALFGGSIYHNLYLASLNLTSMTFAAALYNLSPAFTYIVALLFRMETLSLNNARGIANVAGTAICIGGAMLLTFYKGIEINIWHTNINLLKYHHNHHQNTAKINERYPCFYSSTALMSLMGSIQAIIYALCFERKWSDWKLESNIRLISVIYLGFLASGLNITLMAWCIAKRGPLYVAIFNPLMLLVVALAGSLVFQEKLHLGSILGGVLIITGLYTVLWGKSKNAKNKKQPAVLPNNSDQESPEVAVTPQSEVNKGSAV >Potri.002G254900.6.v4.1 pep chromosome:Pop_tri_v4:2:24396506:24400966:1 gene:Potri.002G254900.v4.1 transcript:Potri.002G254900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254900.v4.1 MATQGQVITCRAAVAWEANKPLVIEEVQVAPPQAGEVRVKILFAALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRTATGVGVMMNDRKSRFSINGKPIYHFMGTSTFSQYTVVHDVSVAKIDPKAPLEKVCLLGCGVPTGLGAVWNTAKVEAGSIVAIFGLGTVGLAVAEGAKAAGASRIIGIDIDSKKFDRAKDFGVTEFVNPKDHDKPIQQVLIDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPMLVDKYMKKEIKVDEYITHNLTLPEMNQAFDLLHEGSCLRCVLDMQV >Potri.001G303600.1.v4.1 pep chromosome:Pop_tri_v4:1:31363569:31365240:-1 gene:Potri.001G303600.v4.1 transcript:Potri.001G303600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G303600.v4.1 MGSLGHQLHIFFFPFFAHGHMIPSVDMAKLFASRGIKTTIITTPLNAPLFSKTIQKTKELGFDINILTIKFPAAEAGFPEGYENTDTFIFSENARAMTTKFFKATTLLQAPFEKALQECHPDCIVADMFFPWATDAAAKFGIPRLVFHGTSNFALSAAECVRLYEPHKKVSSDSEPFVVPDLPGDIKLTKKQLPDYVRENVENDFSKILKASKEAELRSFGVVVNSFYELEPAYADYYKKVLGRRAWNVGPVSLCNRDTEDKAGRGKETSIDHHECLKWLDSKKPNSVVYICFGSTTNFSDSQLKEIAAGLEASGQQFIWVVRRNKKGQEDKEDWLPEGFGERMEGVGLIIRGWAPQVLILDHEAIGAFVTHCGWNSTLEGITAGKPMVTWPIFAEQFYNEKLVTDVLKTGVGVGVKEWLRVHGDHVKSEAVEKKITQIMVGEEAEEMRSRAKKLGQTARKAVEEGGSSYSDFNALIEELRWRRP >Potri.019G016400.2.v4.1 pep chromosome:Pop_tri_v4:19:2660607:2663599:1 gene:Potri.019G016400.v4.1 transcript:Potri.019G016400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016400.v4.1 MENTNQQNNSRRKADFPPSLWGCSFASFSFPQTEFKSYSRQAEELKENVKDMLMASKKDPVEHIEFINLLCRLGVSYHFDKEIENNLKEIFADLPNLLEKHDFYLYTLSLLFRVFRQHGFKMLCVVFDKFKDTNGEFKKTIINDVKGILSLYEASFLSVHGEQILDDALVFTKANLERTRIEARKYISFYEEDESRNDTLLKFAKIDFNRVQLIHQQELSILSRWWNDLNFAEEFPYARDRIVEIYFWANGVHFEPQYAFSRMMVTKYMKIVSLVDDTYDAYASFEEIQHFTNAIERCSMNAIDQLPADYMKVLFRALLNLFNETENDMGKQGRSYASYYLKEEFKELVRGYHAEAEWADKCHVPTFNEYVRNGLTTSAYGVVMAASFLGMEEVAGGEEYEWLKSNPKIIKAGNMIGRLMNDLASHEDEQKRGDCASGVECYMKQYDVSEKKAIEEIQKMDSDAWKDINEDCMRPTNAPMLLLQHFVNLVRVTDVIYENDDDSYTIPLGLKDYVALLYIEQLPLYE >Potri.002G247700.1.v4.1 pep chromosome:Pop_tri_v4:2:23806603:23810096:-1 gene:Potri.002G247700.v4.1 transcript:Potri.002G247700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247700.v4.1 MDYSLYTTSNKTLSLRFLPSAVKSRKLPSISSPSWTFKSSTAFLNRKVERKPTRGVAVIVVARAGSSSHCEPSSSSSSSLNTPLELRSAAGKFLSGVFQNQKQLFHVAVADELKLLADDRDSALSRMVRSSGSDEASLHRRIAELKEHECQVAVEDVMYMLVLYKFSEIRVPLVPKLSRCIYNGRLEIRPSKDWELESIHSFEVLEMVREHVSTVIGLKANSSVADSWATTEVQRCRLGRVYAASILYGYFLKSASLRHHLEWCLVLPHQDIHLGHRSTLQFPESLPSYGLTNLVFGHISNKQSTSQGTRLNRPKSEHEKLKCYMMGFDSETLQRCAKLKSKEAVNLIEKHSCALFGDEKTGVLENDEVILTSFSSLKRLVLEAVAFGCFLWDTEEDVNSVYKLKDN >Potri.002G247700.2.v4.1 pep chromosome:Pop_tri_v4:2:23807424:23810017:-1 gene:Potri.002G247700.v4.1 transcript:Potri.002G247700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247700.v4.1 MYMLVLYKFSEIRVPLVPKLSRCIYNGRLEIRPSKDWELESIHSFEVLEMVREHVSTVIGLKANSSVADSWATTEVQRCRLGRVYAASILYGYFLKSASLRHHLEWCLVLPHQDIHLGHRSTLQFPESLPSYGLTNLVFGHISNKQSTSQGTRLNRPKSEHEKLKCYMMGFDSETLQRCAKLKSKEAVNLIEKHSCALFGDEKTGVLENDEVILTSFSSLKRLVLEAVAFGCFLWDTEEDVNSVYKLKDN >Potri.008G204750.1.v4.1 pep chromosome:Pop_tri_v4:8:14939775:14939921:1 gene:Potri.008G204750.v4.1 transcript:Potri.008G204750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204750.v4.1 MGKVCCSESDDAAFDMTKVFMVIIIAFVLMIICSPRPRPTPYAIYRCR >Potri.002G110600.1.v4.1 pep chromosome:Pop_tri_v4:2:8269976:8276645:-1 gene:Potri.002G110600.v4.1 transcript:Potri.002G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110600.v4.1 MDSMLCVSGSRSIQSGLTNTNNFKERPVIFVNAGGGAIKKEGDINLDIEKDCCFEGGDVIRTDESIINGGDIPSVYQSARFGTNLSYKFNDMPAGEYLVDLHFAEIVYTNGPKGMRVFDVFIQEEKVISELDVYSIVGDNKPLQVVDVRVSVGEDGVIFMRFDGVVGSPIVSGIYIKQATELPKSSVKQELSLCNNCAAEVKVSSDQNRVMRTNSLARYEKKIEELKAQCQLKTDECHEAWMSLTAANEELEKIRMELDNRFFRNMQLDQAMQKQKAELRDVSRRYECDKKLWAAAIDDFEKKIKMMKIEHSQLFHDAHACANTIPELNKMIIAVRDIVAQHEDLKLKLNEEQAKSKKLYNQALEAKGNIRVFCRCRPLTKEEMSIGCQTVVDFSAAKDGDLTVITNGSTKKNFKFDRVYAPKDDQVDVFADASALVTSVLDGYNVCIFAYGQTGTGKTFTMEGTKQNRGVNYRTLHQLFKIAQQRKETVTYDISVSVLEVYNEQIRDLLATSTTTTKRLDIKQVSDGVQHVPGIVEAKVENIKQAWDVLQAGSNARAVGSNNVNERSSRSHCMLCTMVRAKNLVNDECTMSKLWLVDLAGSERLAKTEVQGERLKEAQNINRSLSALGDVISCLANKSSHIPYRNSKLTHLLQDSLGGDSKTLMLVQISPSEHDIGETLSSLNFATRVRGVELGPAKKQIDMGELQKFKTMLDKAKQELRSKDDAMRKLEEGFQNVEGKAKVKDQLFKNQQEKVNELESQLASKTELCRQLEKQLLQLSEGKKEKEEICSDFQQKVNELEKKLKEQEEAASMNLHCKVKELENRMKERTQEFELHTKSLQQKVKELENRMKERTQEFELHTKSLQQKLKEAENKLWEKENSESQSLQHKINVLGEGLRQHEQGDCLPRPPSAEKSEATPVLSRMENIYDVDPLGQKSLNSTNRTINQEPSLLHGNTSLRELRRKGDIKSRGMENNFLISASSLEKKRLPSESSKAKHLDSSRASAKITTSTKSIRGAQKTTSNTANRINKDQGAGARDNKFKVWLR >Potri.006G090600.3.v4.1 pep chromosome:Pop_tri_v4:6:6912197:6915239:1 gene:Potri.006G090600.v4.1 transcript:Potri.006G090600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090600.v4.1 MANEIRFFELNTGAKMPSVGLGTWQSDPGVVGAAVTAAVKIGYRHIDCAQAYKNEEEVGLALKKLFQDGVVKREDLFITSKLWCSNHAPEDVSEAFAGTLKDLQLDYVDLYLIHWPVRMKKGSIGIKPENFAQPDLPLTWQAMEAVYDSGKARAIGVSNFSTKKLADLLEVARVPPVVNQVECHPAWNQAKLHSFCQSKGVHLSGYSPLGSPGTTWLKSDVLKNPYLNMVAEKLGKTPAQVALRWGLQKGHSVLPKSTHEAWIKENFDVFTWSIPEDLIDQFSKIEQARLLRGTSFVHETLSPYKTLEELWDGEI >Potri.017G096400.2.v4.1 pep chromosome:Pop_tri_v4:17:10951645:10953340:1 gene:Potri.017G096400.v4.1 transcript:Potri.017G096400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096400.v4.1 MVIGIVGGSIGAVFALSLILYFFAFKQKRVKDPSKSEEKSSWTIISQTSRSTTTISSSLPTDLCRRFTFVEIKEATRNFDDQNIIGSGGFGTVYKGYIEYGFIAVAIKRLDSSSKQGTREFQTEIEMLSNLRHLHLVSLIGYCDDHGEMILVYDYMSRGTLREHLYKTKSSPLPWKQRLEICIGAAKGLHYLHSGAKHTIIHRDVKSTNILLDENWVAKVSDFGLSRLGPTSTSQTHVSTVVRGSIGYVDPEYYRRQHLTEKSDVYSFGVVLFEVLCARPPVIPSSPKDQASLAEWARKCYQRGTLDEIVDPHLKGEVAPVSLNKFAEIANSCLHGQGIERPKMGDVVWGLEFALQLQQSAEKNAKSVDGINMENKSSFSPHRDVMTTDDDDMFSGAESHSRSTVSTRESVTQSDPDQRARGVFSEIIDPKAR >Potri.002G048000.1.v4.1 pep chromosome:Pop_tri_v4:2:3182020:3185288:-1 gene:Potri.002G048000.v4.1 transcript:Potri.002G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048000.v4.1 MFPAAMSNSTSLSEEASVSSGTRIQDFGSLNQLASTISPLQQQQQQQQRTIKKKRNLPGNPDPDAEVIALSPKTLLTTNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRNSKEIKKKAYVCPEPTCVHHHPSRALGDLTGIKKHYCRKHGEKKWKCEKCSKIYAVQSDWKAHSKTCGTREYRCDCGTLFSRKDSFITHRAFCDALAEESARLSAHQLIISQNPNAHALLLQNPLQTHHPHSLFSTTAHHQISFTSPWDPPHHQNPCSNNPLNPVHIKPETTGHFQIPASLLQEPPLTMPSHKGLLAPTFQSLSNAATSQAASHHLSATALLQKAASVGATQTSVGHSHMTQLDMGELGSAGQVHVDSVSHVSQGPSYNLNSLATWQKSDRLTRDFLGLTAPECGDHHGHAASNANGSVNVSMNVREILTYTGGVGFHQQQYNERDHSLLKPHGGFGFAQPSASEAWGDC >Potri.009G095550.1.v4.1 pep chromosome:Pop_tri_v4:9:8634538:8635737:-1 gene:Potri.009G095550.v4.1 transcript:Potri.009G095550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095550.v4.1 MEILKENKEEEIHVLMVALSSQGHLNPMVRLGKRLVNKGLHVTLATTEFTRHRMLKSSTINPTSSTISISGVQTAEIAFCPPRVWDQLSGWFSLDGLLFFFFLVWNKKGNT >Potri.006G262900.2.v4.1 pep chromosome:Pop_tri_v4:6:25877678:25879017:-1 gene:Potri.006G262900.v4.1 transcript:Potri.006G262900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262900.v4.1 MKNSQTMMEEKQLGFFDLLKESLKTPFRNPNLIIFAFFSSLPLFSFLIMYETVFQQTMIKTLKDILKERTSHFDVLDYYDAIPGATERLIEGISSEFFLLCLIYLGILHLLDLFSMIAIVDIASMIYKGDRKAMNLKDMLSRCIKETRIKGPLITSIYALLLDSLISVGLVSTVMYIFLGSISSFFSMVFALVFIGLLSKYIEWSAVWNMGILISILEEKHGDVALIISAYLSRGSRQRGFLLMLVYFLWRFALRLGCVYVGWDKGGSGVAVTAVHASLVCLAKMWLWLIFMVYFYDCKKKRLHEKIDVEGGQEEST >Potri.001G374901.1.v4.1 pep chromosome:Pop_tri_v4:1:39325593:39326416:1 gene:Potri.001G374901.v4.1 transcript:Potri.001G374901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374901.v4.1 MTRPSLSRICSALFSLQIGLQRSQPPLTLLLSLTVALPRGQDNTTVHHPASLPRSVFLSSYCPSQTPTGSPRPETTTPRRPNQVSISTSHHRSPLYNCQIGHWKKEEQQTITENRPKNRSEKEKRKTKINCCLCIFLLLQ >Potri.003G115400.2.v4.1 pep chromosome:Pop_tri_v4:3:13707282:13710259:-1 gene:Potri.003G115400.v4.1 transcript:Potri.003G115400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115400.v4.1 MQGGRGNRDPFFGNGGPFGGFGSQRSLLSGFFGGRDPFDDPFFTRPFGGLFESTFFGSSGNPFPNMHPSPFDNMHPSGYIEQQVPEPKKSRGPIIEELDPDNEKTEGDEEKKENPRKHGMRSKEPFVEDPDDEAEVRKSKHLQYRNDYSRFNGIESQPQGRRFTFQSSTVTHGGANGAYYTSSITRRAGSDGVTFEESKEANSATGQATHRVSRGLHNKGHSLTRKLKSDGRVDTMQTLHNLNEDELSGFEEAWKGNAGKHLPGWTGSFTGIDNMGTSSSGQNAQARRGGWALPSTEGSQHSERMVPDTTVGAGSSRIQKSGRRKGSSDVKDMNGYPRWKPNN >Potri.001G403900.5.v4.1 pep chromosome:Pop_tri_v4:1:42987689:42991863:-1 gene:Potri.001G403900.v4.1 transcript:Potri.001G403900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403900.v4.1 MFLFLSFLLLLKNPSLAQSLNDDGQDVKRSHFPDGFFFGTSTSSYQVEGAYIEDGKGLNNWDVFSHIPGNIKNNDNGDIADNHYYRFLEDIELMCSLGTNAYRFSISWTRILPRGKFGQVNPRGIMFYNKLIDNLLERGLEPFVTIHHHDIPQELVDRYGGWLSPLMQEDFVYFAEICFKSFGDRIKNWITMNEPNLLVDMSYIRGWYPPAHCSPPFGNCSAGNSDIEPLIAMHNMILGHAKAVKLYREHFQLKQGGSIGIVGFTEYFEPLRDNELDRQAVSRALAFTNAWLFDAVVFGDYPAEMRLYLGSALPTFSPEETSYVKGSLDFIGMNFYTSLYAKDCIHSACTSGGDRPIRGFVHTTGERDGEPIGGRCGNPRFFVVPEGMEKIVNYMKERYNNMPMFVTENGFSPPQQNDQVQALLQDTNRVNFHKSYLAALARAIRNGADVRGYFVWSLVDNFEWIDGYSQRYGLYYVDRQTLERVPKLSAKWYKNFLANGSSNHNNEEDLTIRSFRNTNAII >Potri.013G013400.1.v4.1 pep chromosome:Pop_tri_v4:13:873454:875633:1 gene:Potri.013G013400.v4.1 transcript:Potri.013G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013400.v4.1 MSYGDDSKRKRRLAIIGVSSMLLVAMVVAVTVGVGLNNDGNDGLNGSSHKSTSQVSASVKAVKAICQPTDYRKTCEESLQKAAGNTTDPKELIKIAFKIAEKQINEASEKSKLLEELSKDPRTRGALQSCKELMNMSVGELKQSLDKVTDFDLSELEKMMADVKTWLSASITYQETCLDGFENTTTDAGKKMKKGMKLGMELSANLLDIVSGISSAIPSLESFTHRRLLQDDLPVLGHGDQFPTWTDFGTRRLLAAPVSKIKADIVVAKDGSGDFSTIREALKHVPIKSKKAFVLHIKAGVYQEYLEISKGMINLVVIGDGKENTRIIGNKNFVDGINTFHTATVAVLGDNFVAKNIGFENNAGAIKHQAVALRVSADYAIFYNCSMDGHQDTLYTHAKRQFYRDCSISGTIDFVFGDASAVFQNCKFLVRKPLENQQCIVTAQGRKMRRQPSALIIQSSTITAHPDLFPERKQFKSYLGRPWKEYSRTIIMESFIDDLIQPEGWLPWLGTFGLKTCWYTEFNNYGPGSSKNLRVKWNGIKTINRQHAMDFTPGRFLKGDSWIKATGIPYTPFLVRK >Potri.009G028600.1.v4.1 pep chromosome:Pop_tri_v4:9:3969275:3972230:-1 gene:Potri.009G028600.v4.1 transcript:Potri.009G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G028600.v4.1 MSAATDRARAVWLRCLASAFRTALACTIVGCTTLYGPASIRHHIAFPAFSYVTVILIVTDATLGDALHGCWLALYATVQSVGPALLSLWLIGPAMLTSGTISLAVALGAFVVVFPEGTHLVAKRIALGQIVIVYVIAFINGVHTEAIMHTLHVAASTAIGVLACVLALLLPYPRLACWELKLNCERLAENVSARLNLYVKAFCAEDSALALTSISQAKPLAVAGAKLLQSIKRYQESVKWERLPLRFLRNLYLNPGERLQELEIPLRGMEMALTSCTTSLPVRILDGETKHGLVQLVENVSLIQKQIKNCLPRDSLTVPESNADNIVESHQTPQTISTRHQDLPSFFFLFCMKLLHCKSLGKPITPTQQKGSSTPSKQTGFFKSTWMSNWSTSVSSKRLMPAFKCSLSLGLAVLFGLIYSKKDGYWSGLPVAISLAAAREATFKVANVKAQGTVLGTVYGVFGCFVFERYLSIRFISLLPWFVITSFLRHSKTYGQAGGISAVIGAVLVLGRKNFGPPSEFAIARIVETFIGLSCSIMVDLLLQPTRASSLAKAQLSKCFETLSACIGSISLAANNKTSLLENQRRLKLDVSELGKFIGEAEVEPNFWFLPFPSPCYFKLLGSLSRLVDLLLFSADAVGLLEHESQKFGASWKEYVTKLDGDLEIFKEMSGSLVKCFEDVTMLLSLEFLEKELENKNISHDLEMGKSSNRNIFKVSGSNEDKIDSVTSSYLQHSKEMVDKFHAADEGERELKSQVVLCFSALGFCMSNLIKETKEIEKGIIEILQWENPSKHINLYEISCKIRALYN >Potri.010G201600.1.v4.1 pep chromosome:Pop_tri_v4:10:19367570:19370045:-1 gene:Potri.010G201600.v4.1 transcript:Potri.010G201600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201600.v4.1 MSDSIDLSGDGGVVKTILRKAKADALCPSDDLPLVDVQYEGTLAETGEVFDTTRMDNTVFSFELGKGSVIQAWEIAVKTMKVGEVAKITCKPEFAYGSAGSPPDIPPDATLIFEVELVACKPRKGSSVTSVSEERARLEELKKQRELAAAAKEEEKKRREEAKAAAAARIQAKLESKKGQGKGKGKAK >Potri.002G131400.1.v4.1 pep chromosome:Pop_tri_v4:2:9947233:9956874:-1 gene:Potri.002G131400.v4.1 transcript:Potri.002G131400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G131400.v4.1 MAKSSADDEELRRACEAAIEGTKQKIVLSIRVAKSHGIWGKSGKLGRHMAKPRVLSLSTKSKGQRTKAFLRVLKYSTGGVLEPAKLYKLKHLSKVEVIANDPSGCSFTLGFDNLRSQSVTPPQWTMRNIDDRNRLLFCLLNICKDVLGRLPKVVGIDVVEMALWAKENTPAVPKQTNQQDGVPVAATVTESDLKVTVERELVSQAKEEDMEALLGNYLMGIGEAEVFSERLKRELLALEAANVHAILENEPLIEEVLQGLEAATYCVDDMDEWLGIFNVKLRHMREDIESIETRNNKLEMQSVNNVSLIEELDKLLERLRVPSEYAACLTGGSFDEAHMLQNIEACEWLTGALRGLQVPNLDPSYANTRAVKEKRTELEKLKTMFVRRASEFLRNYFASLVDFMISDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKSLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKASRNPTVWLEASAGSSHSSHNADTSAVSEAYAKMLTIFIPLLVDESSFFAHFMCFEVPALVPPGGVANGNKGGYNDADDNDDLGIMDIDENDGKAGKNSADLAALNESLQDLLNGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRISVQFNRFVDEACHQIERNERNVRQMGVLSYIPRFATLATRMEQYIQGQSRDLADQAHTKFVSIMFVTLEKIAQTDPKYADVFLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISIIILYQFEKLFQFTRKIEDLMFTITPEEIPFQLGLSKMDLRKMLKSSLSGVDKSISAMYKRLQKNLTSEELLPSLWDKCKKDFLDKYESFAQLVAKIYPNESIPSVSEMRELLASM >Potri.014G126300.2.v4.1 pep chromosome:Pop_tri_v4:14:8485868:8487442:1 gene:Potri.014G126300.v4.1 transcript:Potri.014G126300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126300.v4.1 MALTHSSSATFLLLLSLSVIASAGGYGYDQKPDTTKPNYTYNPKPQPDTSKPKYSYDPKPQPDVVKPDLHKPYYDSNPKPTLPKPKFTEPKPDNGYDSKPYLGQPTIPKPDTAKPNYGYNPKPEVPKPKLTMPKPNYGNDPKPKLIVPKPDTAKPNYGYNPKPDVPKPNYEYVPKPKMTVPKPDHGYVDPKEKVYDQPKSTTPKPEIITPHDGYAQKPKLYIPKPSKDTLDYEYSPIGIEGFVLCKQGSNYTPIEGAVIRVACTAVDQYGYKKVPFSCLTEATNAKGYYFKTLPALKLTECKAYLESSPLKTCNVPTDMNYGITGAPLSAYHILHDKKIKLYSMRTFFYTSTTPTSTPAGY >Potri.001G265600.1.v4.1 pep chromosome:Pop_tri_v4:1:28060258:28070259:1 gene:Potri.001G265600.v4.1 transcript:Potri.001G265600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265600.v4.1 MAEKKQENGVGAGCLIVEVNPKPQKGLTSKLVDYLEKLIMKLMHDTSQSHPYLSGNFAPVEETAPVKDLPVEGHLPECMNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGMRIKDGKAAYVSRFVRTSRLKQEEFFGGAKFMKIGDLKGLFGLLMVNIQILRLKTKVLDNSYGIGTGNTNLIYHNGKLLALQEADKPYVVKVMEDGDLQTLGLLDYDKRLKHPFTAHPKVDPFTGEMFTFGYSHEPPYVTYRVISKDGAMHDPVPITISDPIMMHDFAITENYAVFLDLPLYFRPKEMVKDKKFVFTFDATKKARFGVLPRYAKDDLLIKWFELPNCFIFHNANAWEEEDEIVLITCRLQDPGFDVANWAVKEKQENFVDELYEMRFNMKTGVASQKKLSESAVDFPRVNESYTGRKQRYVYGTLLDSIAKVTGIVKFDLHSEPEPGKGKIEVGGNVKGIFDLGPGRFGSEAVFIPRELGTTSEEDDGYLMFFAHDENTGKSSVNVIDAKTMSANPVAVVELPHRVPYGFHAFFVSEEQLQEQEKL >Potri.001G265600.4.v4.1 pep chromosome:Pop_tri_v4:1:28060061:28069896:1 gene:Potri.001G265600.v4.1 transcript:Potri.001G265600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265600.v4.1 MAEKKQENGVGAGCLIVEVNPKPQKGLTSKLVDYLEKLIMKLMHDTSQSHPYLSGNFAPVEETAPVKDLPVEGHLPECMNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGMRIKDGKAAYVSRFVRTSRLKQEEFFGGAKFMKIGDLKGLFGLLMVNIQILRLKTKVLDNSYGIGTGNTNLIYHNGKLLALQEADKPYVVKVMEDGDLQTLGLLDYDKRLKHPFTAHPKVDPFTGEMFTFGYSHEPPYVTYRVISKDGAMHDPVPITISDPIMMHDFAITENYAVFLDLPLYFRPKEMVKDKKFVFTFDATKKARFGVLPRYAKDDLLIKWFELPNCFIFHNGELLSFTCFKFQKH >Potri.001G265600.3.v4.1 pep chromosome:Pop_tri_v4:1:28065782:28069818:1 gene:Potri.001G265600.v4.1 transcript:Potri.001G265600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265600.v4.1 MVNIQILRLKTKVLDNSYGIGTGNTNLIYHNGKLLALQEADKPYVVKVMEDGDLQTLGLLDYDKRLKHPFTAHPKVDPFTGEMFTFGYSHEPPYVTYRVISKDGAMHDPVPITISDPIMMHDFAITENYAVFLDLPLYFRPKEMVKDKKFVFTFDATKKARFGVLPRYAKDDLLIKWFELPNCFIFHNANAWEEEDEIVLITCRLQDPGFDVANWAVKEKQENFVDELYEMRFNMKTGVASQKKLSESAVDFPRVNESYTGRKQRYVYGTLLDSIAKVTGIVKFDLHSEPEPGKGKIEVGGNVKGIFDLGPGRFGSEAVFIPRELGTTSEEDDGYLMFFAHDENTGKSSVNVIDAKTMSANPVAVVELPHRVPYGFHAFFVSEEQLQEQEKL >Potri.001G208800.2.v4.1 pep chromosome:Pop_tri_v4:1:21352975:21356146:1 gene:Potri.001G208800.v4.1 transcript:Potri.001G208800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208800.v4.1 MPKGNRARRRIASQQYRQAPYSLPGYNQHIATDPCSKKCSKILDKKDWENVTCSVCMECPHNAVLLLCSSHDKGCRPYMCGTSFRYSNCLDQYKKAYTKSISSTRAADNPILVSDSSWPVDKCEATELACPFCRGQVKGWTVVEPAREYLNAKRRSCMQDDCSFVGTYKELRKHMRANHPSAQPRAVDPILEQKWRGLEGEFEHDDVISTIRSTMPGAMVFGDYVIEGSHNGFETDEDGGFDADAAERNGGFDMGFNQNLVNVFLLWHAFGSTGDDHSRRLMHRHSERTDRQMSDESAGIDHSSPDGGLGLSDE >Potri.006G042200.2.v4.1 pep chromosome:Pop_tri_v4:6:2823401:2836643:-1 gene:Potri.006G042200.v4.1 transcript:Potri.006G042200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042200.v4.1 MAELFVEQAKQYAETRPSYPQELFESIASKTPSRDLVWDVGTGSGQAARSLAGIYKNVIGTDTSLKQLEFAPKLPNVRYQQTPPVMSMGELEQTVSTQSSVDLVTIAQAMHWFDLPSFYQQVKWVLKKPHGVIAAWCYTIPEVNDSVDSVFNPFYSIDSDPYWEPQRKLVDNKYMSIDFPFEPVEGTDNTGPFKFVTEKMMDLDEYFTYIRSWSAYQTAKAKGVELLRDDVIESFKRAWNEDGHGQKVVKFPVHLKIGKVGNV >Potri.006G042200.1.v4.1 pep chromosome:Pop_tri_v4:6:2823234:2826032:-1 gene:Potri.006G042200.v4.1 transcript:Potri.006G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042200.v4.1 MAELFVEQAKQYAETRPSYPQELFEFIASKTPSRDLVWDVGTGSGQAARSLAGIYKNVIGTDTSLKQLEFAPKLPNVRYQQTPPVMSMGELEQTVSTQSSVDLVTIAQAMHWFDLPSFYQQVKWVLKKPHGVIAAWCYTIPEVNDSVDSVFNPFYSIDSDPYWEPQRKLVDNKYMSIDFPFEPVEGTDNTGPFKFVTEKMMDLDEYFTYIRSWSAYQTAKAKGVELLRDDVIESFKRAWNEDGHGQKVVKFPVHLKIGKVGNV >Potri.014G158400.3.v4.1 pep chromosome:Pop_tri_v4:14:11196974:11199147:1 gene:Potri.014G158400.v4.1 transcript:Potri.014G158400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158400.v4.1 MKNIHQALVKFNLHSSIKVSSPIALSALQSSYPSSAGSFRPELIEPVFKPMLDFLRQTGSYLMVNAYPFFAYESNSDVISLDYALFRENPGVVDSGNGLKYFNLFDAQIDAVFAALSALKYDDVKMVVTETGWPSKGDENEVGASVENAAAYNGNLVRRILTGGGTPLKPQADLTVYLFALFNENEKDGPTSERNYGLFYPDQQKVYDIPFTVEGLKNYKAPSRSPVSGGQQVSAPVRGGVSKSTTGNTWCVANPDAGKEKLQAALDFACGEGGADCRPIQPDATCYSPNTLVAHSSFAFNSYYQKKGRGMGDCYFGGAAFVVTQEPKFGVCEFPTGY >Potri.014G158400.2.v4.1 pep chromosome:Pop_tri_v4:14:11196339:11199147:1 gene:Potri.014G158400.v4.1 transcript:Potri.014G158400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158400.v4.1 MDPNFLPCFLLLLCVVAFADAGSVGVNYGRIANNLPSAVKVVNLVKSQGLERVKVYDTDPAVLKALSGSGIKVTVDLPNQLLYSAAKYPNFARSWVQKNIVAYHPSTQIESIAVGNEVFVDPHNTTKFLIPAMKNIHQALVKFNLHSSIKVSSPIALSALQSSYPSSAGSFRPELIEPVFKPMLDFLRQTGSYLMVNAYPFFAYESNSDVISLDYALFRENPGVVDSGNGLKYFNLFDAQIDAVFAALSALKYDDVKMVVTETGWPSKGDENEVGASVENAAAYNGNLVRRILTGGGTPLKPQADLTVYLFALFNENEKDGPTSERNYGLFYPDQQKVYDIPFTVEGLKNYKAPSRSPVSGGQQVSAPVRGGVSKSTTGNTWCVANPDAGKEKLQAALDFACGEGGADCRPIQPDATCYSPNTLVAHSSFAFNSYYQKKGRGMGDCYFGGAAFVVTQEPKFGVCEFPTGY >Potri.003G034200.1.v4.1 pep chromosome:Pop_tri_v4:3:3885171:3885878:-1 gene:Potri.003G034200.v4.1 transcript:Potri.003G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034200.v4.1 MQDPSTAFHTIKPQFPEQEQLKCPRCDSNNTKFCYYNNYNLSQPRHFCKNCKRYWTKGGALRNIPVGGGSRKNTKRSSNTKRANPDPNPDPVKPTRRVADSSSSSATSSTSGQQLAGNGNQDPTRVYGVEADPDRKILDMGGSFSSLLASSGQFGSIFEGLDSGGSGLKMVRMGGFGEDLNAGPSRDPGLDLQGSSNNNTTNDGGGESYLQGGEWGNSNNGWPGLAIYTPGSSFQ >Potri.010G132000.1.v4.1 pep chromosome:Pop_tri_v4:10:14820474:14821077:1 gene:Potri.010G132000.v4.1 transcript:Potri.010G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132000.v4.1 MLSLKAMKPAGPQPTEPVKKEPAAKSSSSAPKVPASKPVPKKAEQKPRELKKKASGSSKPAAK >Potri.005G037700.3.v4.1 pep chromosome:Pop_tri_v4:5:2423448:2439096:-1 gene:Potri.005G037700.v4.1 transcript:Potri.005G037700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037700.v4.1 MRGFVSGFVLPMLLLAAALFNWSLISLADLLAFLFIQHSAPKNGLRSRRRSLVPWCTLTLSSLAILSSATFHIIWAIKGDDWSVADAQWVKLVGFRSVQTWGSPSLLYFLVIHVLAAFAAVIEIHRSRFDQVLWNSPEITIGSHLRVLCCLLLPAVQLVVGISHPSWASLPFFICSCIGLVNWSLTSNFLGLFQWWRYLFIYAGLNIFLLYVYQLPIEVSGTIECVAGFIGLYKIPARPELSEICSGLSLLLFYIMLSWIRCDLTEMNFIMSTRENNLNERLLPLKHSFFISESRSGARNTNVLLRGAVFRTFSVNFFTYGFPISLFALSFWSFHFASLCAFGLLAYVGYILYAFPSLFRLHRLNGLLLVFILLWATSTYIFNVAFTVLNKKMWKDVEIWETIGLWHYPIPGFYLLAQFCLGVLVSLVNLVNNSVFLYLSDEDRELSNHDFVVEESEETKVMIVATIAWGLRKSSRAIVLLLIFLIALKPAGFIQSVYMIFFLIYLLSHLISREIRQSLVLLCEAHFAMLYILQLKLISEVLKQKFSFTTELLSQLGFLSQTSTGDFLKIIALACICTIHNHGFEMLSSFSAVVQNTPCTPIGLSILRAGLIKSVLLSIHTSRSRQNHDNNSSHEKKIVSFLNAIGQKFLSVYRSCGTPIALLTILLSVYQVRPNFISFGYLFFLLLWVIGRQLVGKTKKRLWLPLKVYAVVVFILIYGLSVFSSFRTWLSRMVDVSFAFAYKPEASILENIWESLAVLIVMQLYSYERRESKNSQSRYVNAPKKCSLIFMKRLLILHSEKILSLSLFYASLSPLSIFGFLYLVGLVVCSTLPKSSRSPSKLFIVYSGLLVMIEYLFQLFIDQDKIFSGQKQSSLFLFLGLQLYKPSFSGLESGLRGKVLVIASCILQYNVFRWLEKRPCSFGNVRKGEEPCALFGTSEEVSSETTESKLSTAASVLSASVLSEKRKDARRNSWPSLSTFVPQGPDPTSYETGVTESYTQKYLCVSGSSRGGHKLNRKRIRILRKERLHMQIATLKVFLKFWIENMFNVYGLEINMIALLLASFSVLNFISLLYVASLATCILLPRGIIQKLWPKFVVLFGSVITLEYLASWQTSWKQHALGDVEVPCNDCWRSSDMYFNRCKKCWLGTLVDDPRMLISYYLVFMLSCFKFRADRLSSFSVLETYQQMMSRYKNSSLSDLSFETKCLWTILDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFFRMRLEILKRKNEIFKFLRMYNFALIVLSLAYQSPFAGDFCEGNCETIDYISEVVGFHKYDYGFRITSRSALVEIIIFMLVALQSFMFAAQDFDHVSRYLEAEQIDTIIKEQEKRAAWKTAQLHQIRKSEEQKRLRNLQVEKMKSEMLNLQTQLRSLNTCANCGDIQNEGIRRRRNSSLNADAGNSILENLEINFRKQPVNLSSDLIYSFDMNESLRSERFGSSSAVNSRNHSMDFLGDVILLNNRAASYQCWDSHKRDGERFRVKTNPLVSVVHLFSDGVSQAKSVGKMAVSNLVTFFNIKHEEPDSSDDSSDGDVYYEIENQNIGSEPIERAFSTQSDSVQTTSAYRQIQMILLYMWEQMRSNNDIVCYCCFVLIFLWNFSLLSMVYPAVLFLYALCVNTGPLSMFWVIMLIYTEMCIFVQYLYQIIIQHCGLTFNISLLQELGFPTHKILSSFVISNLPLFLVYLFTLLQTSITARDRTITDARSQKRRNNFQEEVVQGCMMRIETLLLSAKNVLKRLIRSLFRYWKSLTQGAETPPYFVQVSMKVDVWPEDGIQPERIKSGINKLLKVMHNKRCQKKNHLHSVSNIRVQSIERSPENDNIALAVFEVLCASPLTGCTNVDLYKSLTPAADVAYEILEAQRAGIVKEIRFPYPILSVIGGGKKDIDLYAYVFCADLAVFFLVAIFYQSVIKNNSEFLEVYQLEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATGKVLFYLFNLALFTYSVTEYAWYTEPQHRHAGRLVLRAIYLTKAISLALQATQIRFGVPHESTLYRQFLTSSISRINHMGFRVYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHASLFLVKCDAVLNRAKHRQGEKQPKRTKFCNGICLFFVLLCVIWTPILMYSSGNPTNIANPIKDASIHIDIKTLSGRLTLFETTLCEKISWDELDSHDDLDPQGYLSEFNEKDIQLICCQADASTLWHVPPVVQTRYMRSLRSNMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYSEVMEVLNGTTNSFRIFNVYPRYFRVTGSGEVRLLEQSVDLVSGDLVLNRGNPEWWSFHDIDVSSGCGQFGGPMAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCADLRMRIPFENLPSCDRLMAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >Potri.005G037700.4.v4.1 pep chromosome:Pop_tri_v4:5:2423447:2439096:-1 gene:Potri.005G037700.v4.1 transcript:Potri.005G037700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037700.v4.1 MRGFVSGFVLPMLLLAAALFNWSLISLADLLAFLFIQHSAPKNGLRSRRRSLVPWCTLTLSSLAILSSATFHIIWAIKGDDWSVADAQWVKLVGFRSVQTWGSPSLLYFLVIHVLAAFAAVIEIHRSRFDQVLWNSPEITIGSHLRVLCCLLLPAVQLVVGISHPSWASLPFFICSCIGLVNWSLTSNFLGLFQWWRYLFIYAGLNIFLLYVYQLPIEVSGTIECVAGFIGLYKIPARPELSEICSGLSLLLFYIMLSWIRCDLTEMNFIMSTRENNLNERLLPLKHSFFISESRSGARNTNVLLRGAVFRTFSVNFFTYGFPISLFALSFWSFHFASLCAFGLLAYVGYILYAFPSLFRLHRLNGLLLVFILLWATSTYIFNVAFTVLNKKMWKDVEIWETIGLWHYPIPGFYLLAQFCLGVLVSLVNLVNNSVFLYLSDEDRELSNHDFVVEESEETKVMIVATIAWGLRKSSRAIVLLLIFLIALKPAGFIQSVYMIFFLIYLLSHLISREIRQSLVLLCEAHFAMLYILQLKLISEVLKQKFSFTTELLSQLGFLSQTSTGDFLKIIALACICTIHNHGFEMLSSFSAVVQNTPCTPIGLSILRAGLIKSVLLSIHTSRSRQNHDNNSSHEKKIVSFLNAIGQKFLSVYRSCGTPIALLTILLSVYQVRPNFISFGYLFFLLLWVIGRQLVGKTKKRLWLPLKVYAVVVFILIYGLSVFSSFRTWLSRMVDVSFAFAYKPEASILENIWESLAVLIVMQLYSYERRESKNSQSRYVNAPKKCSLIFMKRLLILHSEKILSLSLFYASLSPLSIFGFLYLVGLVVCSTLPKSSRSPSKLFIVYSGLLVMIEYLFQLFIDQDKIFSGQKQSSLFLFLGLQLYKPSFSGLESGLRGKVLVIASCILQYNVFRWLEKRPCSFGNVRKGEEPCALFGTSEEVSSETTESKLSTAASVLSASVLSEKRKDARRNSWPSLSTFVPQGPDPTSYETGVTESYTQKYLCVSGSSRGGHKLNRKRIRILRKERLHMQIATLKVFLKFWIENMFNVYGLEINMIALLLASFSVLNFISLLYVASLATCILLPRGIIQKLWPKFVVLFGSVITLEYLASWQTSWKQHALGDVEVPCNDCWRSSDMYFNRCKKCWLGTLVDDPRMLISYYLVFMLSCFKFRADRLSSFSVLETYQQMMSRYKNSSLSDLSFETKCLWTILDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFFRMRLEILKRKNEIFKFLRMYNFALIVLSLAYQSPFAGDFCEGNCETIDYISEVVGFHKYDYGFRITSRSALVEIIIFMLVALQSFMFAAQDFDHVSRYLEAEQIDTIIKEQEKRAAWKTAQLHQIRKSEEQKRLRNLQVEKMKSEMLNLQTQLRSLNTCANCGDIQNEGIRRRRNSSLNADAGNSILENLEINFRKQPVNLSSDLIYSFDMNESLRSERFGSSSAVNSRNHSMDFLGDVILLNNRAASYQCWDSHKRDGERFRVKTNPLVSVVHLFSDGVSQAKSVGKMAVSNLVTFFNIKHEEPDSSDDSSDGDVYYEIENQNIGSEPIERAFSTQSDSVQTTSAYRQIQMILLYMWEQMRSNNDIVCYCCFVLIFLWNFSLLSMVYPAVLFLYALCVNTGPLSMFWVIMLIYTEMCIFVQYLYQIIIQHCGLTFNISLLQELGFPTHKILSSFVISNLPLFLVYLFTLLQTSITARDRTITDARSQKRRNNFQEEVVQGCMMRIETLLLSAKNVLKRLIRSLFRYWKSLTQGAETPPYFVQVSMKVDVWPEDGIQPERIKSGINKLLKVMHNKRCQKKNHLHSVSNIRVQSIERSPENDNIALAVFEVLCASPLTGCTNVDLYKSLTPAADVAYEILEAQRAGIVKEIRFPYPILSVIGGGKKDIDLYAYVFCADLAVFFLVAIFYQSVIKNNSEFLEVYQLEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATGKVLFYLFNLALFTYSVTEYAWYTEPQHRHAGRLVLRAIYLTKAISLALQATQIRFGVPHESTLYRQFLTSSISRINHMGFRVYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHASLFLVKCDAVLNRAKHRQGEKQPKRTKFCNGICLFFVLLCVIWTPILMYSSGNPTNIANPIKDASIHIDIKTLSGRLTLFETTLCEKISWDELDSHDDLDPQGYLSEFNEKDIQLICCQADASTLWHVPPVVQTRYMRSLRSNMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYSEVMEVLNGTTNSFRIFNVYPRYFRVTGSGEVRLLEQSVDLVSGDLVLNRGNPEWWSFHDIDVSSGCGQFGGPMAIIVSEETPLGRFIRLQCADLRMRIPFENLPSCDRLMAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >Potri.005G037700.5.v4.1 pep chromosome:Pop_tri_v4:5:2423448:2439097:-1 gene:Potri.005G037700.v4.1 transcript:Potri.005G037700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037700.v4.1 MRGFVSGFVLPMLLLAAALFNWSLISLADLLAFLFIQHSAPKNGLRSRRRSLVPWCTLTLSSLAILSSATFHIIWAIKGDDWSVADAQWVKLVGFRSVQTWGSPSLLYFLVIHVLAAFAAVIEIHRSRFDQVLWNSPEITIGSHLRVLCCLLLPAVQLVVGISHPSWASLPFFICSCIGLVNWSLTSNFLGLFQWWRYLFIYAGLNIFLLYVYQLPIEVSGTIECVAGFIGLYKIPARPELSEICSGLSLLLFYIMLSWIRCDLTEMNFIMSTRENNLNERLLPLKHSFFISESRSGARNTNVLLRGAVFRTFSVNFFTYGFPISLFALSFWSFHFASLCAFGLLAYVGYILYAFPSLFRLHRLNGLLLVFILLWATSTYIFNVAFTVLNKKMWKDVEIWETIGLWHYPIPGFYLLAQFCLGVLVSLVNLVNNSVFLYLSDEDRELSNHDFVVEESEETKVMIVATIAWGLRKSSRAIVLLLIFLIALKPAGFIQSVYMIFFLIYLLSHLISREIRQSLVLLCEAHFAMLYILQLKLISEVLKQKFSFTTELLSQLAVVQNTPCTPIGLSILRAGLIKSVLLSIHTSRSRQNHDNNSSHEKKIVSFLNAIGQKFLSVYRSCGTPIALLTILLSVYQVRPNFISFGYLFFLLLWVIGRQLVGKTKKRLWLPLKVYAVVVFILIYGLSVFSSFRTWLSRMVDVSFAFAYKPEASILENIWESLAVLIVMQLYSYERRESKNSQSRYVNAPKKCSLIFMKRLLILHSEKILSLSLFYASLSPLSIFGFLYLVGLVVCSTLPKSSRSPSKLFIVYSGLLVMIEYLFQLFIDQDKIFSGQKQSSLFLFLGLQLYKPSFSGLESGLRGKVLVIASCILQYNVFRWLEKRPCSFGNVRKGEEPCALFGTSEEVSSETTESKLSTAASVLSASVLSEKRKDARRNSWPSLSTFVPQGPDPTSYETGVTESYTQKYLCVSGSSRGGHKLNRKRIRILRKERLHMQIATLKVFLKFWIENMFNVYGLEINMIALLLASFSVLNFISLLYVASLATCILLPRGIIQKLWPKFVVLFGSVITLEYLASWQTSWKQHALGDVEVPCNDCWRSSDMYFNRCKKCWLGTLVDDPRMLISYYLVFMLSCFKFRADRLSSFSVLETYQQMMSRYKNSSLSDLSFETKCLWTILDYLRLYSYCHLLDLVLALILITGTLEFDILHLGYLGFALVFFRMRLEILKRKNEIFKFLRMYNFALIVLSLAYQSPFAGDFCEGNCETIDYISEVVGFHKYDYGFRITSRSALVEIIIFMLVALQSFMFAAQDFDHVSRYLEAEQIDTIIKEQEKRAAWKTAQLHQIRKSEEQKRLRNLQVEKMKSEMLNLQTQLRSLNTCANCGDIQNEGIRRRRNSSLNADAGNSILENLEINFRKQPVNLSSDLIYSFDMNESLRSERFGSSSAVNSRNHSMDFLGDVILLNNRAASYQCWDSHKRDGERFRVKTNPLVSVVHLFSDGVSQAKSVGKMAVSNLVTFFNIKHEEPDSSDDSSDGDVYYEIENQNIGSEPIERAFSTQSDSVQTTSAYRQIQMILLYMWEQMRSNNDIVCYCCFVLIFLWNFSLLSMVYPAVLFLYALCVNTGPLSMFWVIMLIYTEMCIFVQYLYQIIIQHCGLTFNISLLQELGFPTHKILSSFVISNLPLFLVYLFTLLQTSITARDRTITDARSQKRRNNFQEEVVQGCMMRIETLLLSAKNVLKRLIRSLFRYWKSLTQGAETPPYFVQVSMKVDVWPEDGIQPERIKSGINKLLKVMHNKRCQKKNHLHSVSNIRVQSIERSPENDNIALAVFEVLCASPLTGCTNVDLYKSLTPAADVAYEILEAQRAGIVKEIRFPYPILSVIGGGKKDIDLYAYVFCADLAVFFLVAIFYQSVIKNNSEFLEVYQLEDQFPKEFVFILMVIFFLIVLDRVIYLCSFATGKVLFYLFNLALFTYSVTEYAWYTEPQHRHAGRLVLRAIYLTKAISLALQATQIRFGVPHESTLYRQFLTSSISRINHMGFRVYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIHASLFLVKCDAVLNRAKHRQGEKQPKRTKFCNGICLFFVLLCVIWTPILMYSSGNPTNIANPIKDASIHIDIKTLSGRLTLFETTLCEKISWDELDSHDDLDPQGYLSEFNEKDIQLICCQADASTLWHVPPVVQTRYMRSLRSNMDIIFSWQLTRDRPKGKEVVRYELIVQDEDLPTYSEVMEVLNGTTNSFRIFNVYPRYFRVTGSGEVRLLEQSVDLVSGDLVLNRGNPEWWSFHDIDVSSGCGQFGGPMAIIVSEETPQGILGETLSKFSIWGLYITFVLAVGRFIRLQCADLRMRIPFENLPSCDRLMAICEDIYAARAEGELEVEEVLYWTLVKIYRSPHMLLEYTKPD >Potri.004G122900.2.v4.1 pep chromosome:Pop_tri_v4:4:11724879:11725961:1 gene:Potri.004G122900.v4.1 transcript:Potri.004G122900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G122900.v4.1 MEACHLASLITVQKASSNANQSFSLLFKMVHLFLCKLIFSRFNNFQKLFSRFRFFIQSQLSLGNSELWEDDDGNQDSETSMKSQESCFDNKRDERNICREDVEMVMENFGLFCSRESEDLKEWMDSDELSQLFDEKEPSLEEIKETFNVFDHNSDGFIEASELQRVFYILGLKEELELEKCRKIIRIFDENGDGRLDFNEFVKFMENSFC >Potri.003G008500.3.v4.1 pep chromosome:Pop_tri_v4:3:807191:811055:1 gene:Potri.003G008500.v4.1 transcript:Potri.003G008500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008500.v4.1 MTEVNPKASPEESPNERPSKISKTLQEEGDSSEEEEEKEAVDKSMKPGLQRYLVAVEYIGTRFCGSQQQLNHRTVVGVLQEAFHKFIGQPVTICLSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPAVVRKAVNHFLQKEGDIMVTDVRSVSAHFHARFKAQERTYFYRLLIGPELLSTFEKDRAWHVSEELDLVSMQEACKVLVGHHDFSSFRASGCQAKSPFRTLEELSVLEVVSTPYFPSITEREQNSSNGEGPHSFHNKCKTGLPTGSISNGFLAGGSNSGTDLGFGIRRRHRCYVITARSRSFLYHQLKEY >Potri.003G008500.2.v4.1 pep chromosome:Pop_tri_v4:3:807190:811189:1 gene:Potri.003G008500.v4.1 transcript:Potri.003G008500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008500.v4.1 MTEVNPKASPEESPNERPSKISKTLQEEGDSSEEEEEKEAVDKSMKPGLQRYLVAVEYIGTRFCGSQQQLNHRTVVGVLQEAFHKFIGQPVTICLSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPAVVRKAVNHFLQEGDIMVTDVRSVSAHFHARFKAQERTYFYRLLIGPELLSTFEKDRAWHVSEELDLVSMQEACKVLVGHHDFSSFRASGCQAKSPFRTLEELSVLEVVSTPYFPSITEREQNSSNGEGPHSFHNKCKTGLPTGSISNGFLAGGSNSGTDLGFGIRRRHRCYVITARSRSFLYHQVRLLVGVLKSVGTGDLTVSDVERILNARSITAASPMAPACGLYLGHVKYEPL >Potri.003G008500.4.v4.1 pep chromosome:Pop_tri_v4:3:807191:811055:1 gene:Potri.003G008500.v4.1 transcript:Potri.003G008500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008500.v4.1 MTEVNPKASPEESPNERPSKISKTLQEEGDSSEEEEEKEAVDKSMKPGLQRYLVAVEYIGTRFCGSQQQLNHRTVVGVLQEAFHKFIGQPVTICLSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPAVVRKAVNHFLQEGDIMVTDVRSVSAHFHARFKAQERTYFYRLLIGPELLSTFEKDRAWHVSEELDLVSMQEACKVLVGHHDFSSFRASGCQAKSPFRTLEELSVLEVVSTPYFPSITEREQNSSNGEGPHSFHNKCKTGLPTGSISNGFLAGGSNSGTDLGFGIRRRHRCYVITARSRSFLYHQLKEY >Potri.003G008500.1.v4.1 pep chromosome:Pop_tri_v4:3:807191:811055:1 gene:Potri.003G008500.v4.1 transcript:Potri.003G008500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008500.v4.1 MTEVNPKASPEESPNERPSKISKTLQEEGDSSEEEEEKEAVDKSMKPGLQRYLVAVEYIGTRFCGSQQQLNHRTVVGVLQEAFHKFIGQPVTICLSSRTDAGVHALSNVCHVDVERISKRKPGEVLPPHEPAVVRKAVNHFLQKEGDIMVTDVRSVSAHFHARFKAQERTYFYRLLIGPELLSTFEKDRAWHVSEELDLVSMQEACKVLVGHHDFSSFRASGCQAKSPFRTLEELSVLEVVSTPYFPSITEREQNSSNGEGPHSFHNKCKTGLPTGSISNGFLAGGSNSGTDLGFGIRRRHRCYVITARSRSFLYHQVRLLVGVLKSVGTGDLTVSDVERILNARSITAASPMAPACGLYLGHVKYEPL >Potri.003G104400.1.v4.1 pep chromosome:Pop_tri_v4:3:12804411:12806687:-1 gene:Potri.003G104400.v4.1 transcript:Potri.003G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104400.v4.1 MPQEASVAVSLRRNLSRRRSSRSVGDVDRDDRGWNLLHIGARKGDLKQVKRLLDEGMDVNVPAWGPKSKGLTPLHLAAQGGHLEIMAELLERGANIDARTLGACGWTPLHSAAKERKKEAVKFLIENGAFLPDDINDSRFNPPLHYCPGLEWAYEEMKRHQRENLSSGEASYSSES >Potri.005G190000.1.v4.1 pep chromosome:Pop_tri_v4:5:19751839:19758463:-1 gene:Potri.005G190000.v4.1 transcript:Potri.005G190000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190000.v4.1 MADLVSYGNAERDIEQALIALKKGSQLLKYGRKGKPKFCPFRLSNDETTLIWISSGGERSLKLASISKIIPGQRTAVFQRYLRPEKDYLSFSLIYNNGKRSLDLICKDKVEAEVWIAGLKALISSSQGGRSKIDGWSDGGLYLDDGRDLTSNSASDSSVSISRDISSPEISVSLNPNTSPKNFWPESSLHSDRSHVASENTNMQVKGSGSDAFRVSVSSAPSTSSHGSAPDDCDALGDVYIWGEVLCSVKVGADKNTSYLSTRADVLLPRPLESNVVLDVHHIACGVRHAAMVTRQGEVFTWGEESGGRLGHGAGKDVIQPCLVESLAATTVDFVACGEFHSCAVTMAGELYTWGDGTHNAGLLGHGTDVSHWIPKRISGPLEGLQVASVTCGPWHTALVTSMGQLFTFGDGTFGVLGHGNRENVAYPREVESLAGLRTIAVACGVWHTAAVVEVIVAQSSSSASSGKLFTWGDGDKNRLGHGDKEPRLEPTCVPALIDYNFHKIACGHSLTVGLTTAGHVFTMGSTVYGQLGNPCADGKVPCLVEDKLYGESVEEIACGAYHVAALTSRNEVYTWGKGANGRLGHGDGEDRKTPTIVEALKDRHVKYIACGANYSAAICFHKWVSGSEQSQCSSCRQAFGFTRKRHNCYNCGLVHCHSCSSRKATRAALAPNPSKPYRVCDSCFVKLNKMSEPSNTNRRNAGPRLSGENKDRLDKADLRLSKSTPPSNLDLIKQLDSKAAKQGKKADTFSLVRSSQAPSLLQLKDVVLSSAIDLRPKVSKPVLTPSGVSSRSVSPFSRRPSPPRSATPVPTMSGLSFTKSIADSLKKTNELLNQEALKLRTQVESLRQRCEFQELELQKSAKKIQEAMAVAAEESAKSKAAKDVIKSLTAQLKDMAERLPPGVYDTESMKLSYLPNGLETNGIHYPDTNGGRHSRSDSIRGTSLASPTRSDSTSINGTLSIAQSFRDSPGTNGRDDHLAARLSNGGGGVQPSGNSMSEAIDGKEPWSPQDGDNGMKSRDSSLVANGNQVEAEWIEQYEPGVYITLVALRDGTRDLKRVRFSRRRFGEHQAETWWSENREKVYEKYNVKGSDKSSVTGQAARRSEGGMSSSSIP >Potri.010G229300.7.v4.1 pep chromosome:Pop_tri_v4:10:21206316:21218358:1 gene:Potri.010G229300.v4.1 transcript:Potri.010G229300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229300.v4.1 MMVLTSLSSSLLSSLSSLTGPPPSNSNVYFSSSLPSPRRRLNPEPNHHHRNRHLSLPLLSRTSPTRSIHCSSSFSSMPLEVSTSPSQDSLLYSRAFWVTQSIIAWNADVVRDGSCYLYASQTAALSVTDGEVEGHDFKIKLEEDSGGIPQNVIAKFPHVRDYKAFKVPSTVDAKSLVKCQLAVATFGSDGKCSYATGLQLPGVLDELFAYDGPLGAHYSEDAVSLYLWAPTAQAVCACVYKNANSRDPVEVVQLKEVNGVWSVEGSKDWEGCYYVYEVSVYHPSTLHVEKCYANDPYARGLSPDSQRTLFVNLDSDTLKPEGWEKLADEKPIILSFSDISIYELHVRDFSANDHTVHPDFQGGYLAFTLEDSAGVLHLKKLSNAGITHVHLLPTFQFAGVDDVKENWKCVDSTVLEKLLPDSTEQQAQITLIQDDDGYNWGYNPVLWGVPKGSYASNPSGSCRTIEFRKMVQALNHIGLRVVLDVVYNHLHGNGPFDENSVLDKIVPGYYLRRNTDGFIEHSTCVNNTASEHYMVERMIIDDMLNWAGNYKVDGFRFDLMGHIMKSTMVKAKDAVNRLTKERDGIDGSSVYIYGEGWDFGEVANNGRGINASQFNVGGTGIGSFNDRIRDAMLGGSPFGHPLQQGFVTGLMLQPNGHDHGGKDVEELMLSAAKDHIQVGMAGNLRDYVLTNSDGKEVKGMEVLTYGGAPVAYALHPAETINYVSAHDNETLFDVVSMKTPMEISVDERCRLNHLASSVIALSQGIPFFHSGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNEKHWPLIRPRLADPSFKPQKNHILAAINNFLDVLQIRYSSPLFRLTTANAIQERVRFHNTGPSWVPGVIVMSFEDGHRGVPGLTQLDPIYSFIVVIFNASPSEVSFASPVLRARTFQLHPIQAMSADEVVKNSSYETSTGCFTVPPRTTSVFVEYR >Potri.010G229300.6.v4.1 pep chromosome:Pop_tri_v4:10:21206335:21218360:1 gene:Potri.010G229300.v4.1 transcript:Potri.010G229300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229300.v4.1 MMVLTSLSSSLLSSLSSLTGPPPSNSNVYFSSSLPSPRRRLNPEPNHHHRNRHLSLPLLSRTSPTRSIHCSSSFSSMPLEVSTSPSQLQDSLLYSRAFWVTQSIIAWNADVVRDGSCYLYASQTAALSVTDGEVEGHDFKIKLEEDSGGIPQNVIAKFPHVRDYKAFKVPSTVDAKSLVKCQLAVATFGSDGKCSYATGLQLPGVLDELFAYDGPLGAHYSEDAVSLYLWAPTAQAVCACVYKNANSRDPVEVVQLKEVNGVWSVEGSKDWEGCYYVYEVSVYHPSTLHVEKCYANDPYARGLSPDSQRTLFVNLDSDTLKPEGWEKLADEKPIILSFSDISIYELHVRDFSANDHTVHPDFQGGYLAFTLEDSAGVLHLKKLSNAGITHVHLLPTFQFAGVDDVKENWKCVDSTVLEKLLPDSTEQQAQITLIQDDDGYNWGYNPVLWGVPKGSYASNPSGSCRTIEFRKMVQALNHIGLRVVLDVVYNHLHGNGPFDENSVLDKIVPGYYLRRNTDGFIEHSTCVNNTASEHYMVERMIIDDMLNWAGNYKVDGFRFDLMGHIMKSTMVKAKDAVNRLTKERDGIDGSSVYIYGEGWDFGEVANNGRGINASQFNVGGTGIGSFNDRIRDAMLGGSPFGHPLQQGFVTGLMLQPNGHDHGGKDVEELMLSAAKDHIQVGMAGNLRDYVLTNSDGKEVKGMEVLTYGGAPVAYALHPAETINYVSAHDNETLFDVVSMKTPMEISVDERCRLNHLASSVIALSQGIPFFHSGDEMLRSKSLDRDSYNSGDWFNRLDFTYNSNNWGVGLPPKQKNEKHWPLIRPRLADPSFKPQKNHILAAINNFLDVLQIRYSSPLFRLTTANAIQERVRFHNTGPSWVPGVIVMSFEDGHRGVPGLTQLDPIYSFIVVIFNASPSEVSFASPVLRARTFQLHPIQAMSADEVVKNSSYETSTGCFTVPPRTTSVFVEYR >Potri.005G094100.1.v4.1 pep chromosome:Pop_tri_v4:5:6591882:6593776:1 gene:Potri.005G094100.v4.1 transcript:Potri.005G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094100.v4.1 MAKGLIWATAEDLARNRGRVISLYRQILRSLNSPSLPLNLAERLAKKAEVRAIFMLGSEETSVHNIEDLFDTAEYALSILKKGEIPNTRNPL >Potri.015G055400.3.v4.1 pep chromosome:Pop_tri_v4:15:7637738:7651138:1 gene:Potri.015G055400.v4.1 transcript:Potri.015G055400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055400.v4.1 MAQDTASPGVDSPRRRSGLLRDQVQAVKKDSDRYEIVPIGETLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDSSRIIDGNFDDPRLTDYNTLLDNIHGLKAGKPVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYILKSTRSVTVEQIKTILSEEYKETKEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFCDDRVSIKTDWLEQLNRHYVQVQGKERLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLGRSLSLTYSNQREKNLSKLTRLAVNNRRFDGRTVESPAAVANQGVITQLSEQISTLNERMDEFTSRIEELNSKFSTRKVSASQQNLALQGEPCNGSGPTSLFVTGLGNGSLTGSILPNSSSSSQLSRESPLMEEVLLIARGQRQIMHQLDNLTNLLHEHRGERSRADTINSTVDIDSIAFPLILTLAIGGLGVLLFSSMTSRK >Potri.015G055400.4.v4.1 pep chromosome:Pop_tri_v4:15:7637955:7651128:1 gene:Potri.015G055400.v4.1 transcript:Potri.015G055400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055400.v4.1 MAQDTASPGVDSPRRRSGLLRDQVQAVKKDSDRYEIVPIGETLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDSSRIIDGNFDDPRLTDYNTLLDNIHGLKAGKPVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYILKSTRSVTVEQIKTILSEEYKETKEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFCDDRVSIKTDWLEQLNRHYVQVQGKERLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLGRLSLTYSNQREKNLSKLTRLAVNNRRFDGRTVESPAAVANQGVITQLSEQISTLNERMDEFTSRIEELNSKFSTRKVSASQQNLALQGEPCNGSGPTSLFVTGLGNGSLTGSILPNSSSSSQLSRESPLMEEVLLIARGQRQIMHQLDNLTNLLHEHRGERSRADTINSTVDIDSIAFPLILTLAIGGLGVLLFSSMTSRK >Potri.015G055400.5.v4.1 pep chromosome:Pop_tri_v4:15:7637955:7650205:1 gene:Potri.015G055400.v4.1 transcript:Potri.015G055400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055400.v4.1 MAQDTASPGVDSPRRRSGLLRDQVQAVKKDSDRYEIVPIGETLSFEKGFFIVIRACQLLAQKNDGLILVGVAGPSGAGKTVFTEKVLNFMPSIAVITMDNYNDSSRIIDGNFDDPRLTDYNTLLDNIHGLKAGKPVQVPIYDFKTSSRIGYRTVEVPSSRIVIIEGIYALSERLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIHQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQNPTYILKSTRSVTVEQIKTILSEEYKETKEETYDIYLLPPGEDPEACQSYLRMRNRDGKYNLMFEEWVTDSPFIISPRITFEVSVRLLGGLMALGYTIATILKRSSHIFCDDRVSIKTDWLEQLNRHYVQVQGKERLYVKYVAEQLGLDGSYVPRTYIEQIQLEKLVNDVMALPDDLKTKLSIDDDLVSSPKEALSRASADRRNKYLGRSLSLTYSNQREKNLSKLTRLAVNNRRFDGRTVESPAAVANQGVITQLSEQISTLNERMDEFTSRIEELNSKFSTRKVSASQQNLALQGEPCNGSGPTSLFVTGLGNGSLTGSILPNSSSSSQLSRESPLMEEVPQLYLLEFLA >Potri.010G095500.1.v4.1 pep chromosome:Pop_tri_v4:10:11941205:11946225:-1 gene:Potri.010G095500.v4.1 transcript:Potri.010G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G095500.v4.1 MAGGGGAAPPPKQEELQPHPAKDQLPNIAYCITSPPPWPEAILLGFQHYLVMLGTTVFIPTALVPQMGGRNEEKAKMIQTLLFVAGLNTFFQTFFGTRLPAVIGGSFSYLPATISIVLAGRYSEILDPVERFEKTMRGIQGALIVASTLQIVVGFSGLWRNVARLLSPLSAVPLVALSGFGLYEFGFPLVAKCVEIGLPQIIFLLIFSQYIPHWIRGEMAVFNRFAVIFSVVIVWVYAHLLTVSGAYKNAAHQTQTSCRTDRAGIIGAAPWIRVPYPFQWGAPTFDAGEAFAMMATSFVALVESTGAFIAVSRYASATPLPPSILSRGVGWQGVGILFSGIFGTGSGSSVSVENAGLLALTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPSPIIAALYCLFFAYVGSAGLSFLQFCNLNSFKTKFILGFSVFMGLSIPQYFNEYTAIKGYGPVHTGARWFNDMINVPFSSEPFVAGFLAMFLDVTLHKKDTATRKDRGMHWWDRFRSFKTDTRSEEFYSLPFNLNKFFPSV >Potri.014G162700.4.v4.1 pep chromosome:Pop_tri_v4:14:11708906:11711050:-1 gene:Potri.014G162700.v4.1 transcript:Potri.014G162700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162700.v4.1 MAASLAKATVLARGKDEVYVAATPLRATKGPAQLLMSTTYSLNLWDLQHFVVIIKPNLPVPPQNSQVIVFDFQPKDPENIYTALAVLSGVVLVRKLSKLPRRKCWFVGSSKLDAVDVATKFNSDWRTDLRVGHHDCRDYTNGLVELLIGEKQVLERLRKDRGDQG >Potri.014G162700.5.v4.1 pep chromosome:Pop_tri_v4:14:11708710:11711124:-1 gene:Potri.014G162700.v4.1 transcript:Potri.014G162700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162700.v4.1 MAASLAKATVLARGKDEVYVAATPLRATKGPAQLLMSTTYSLNLWDLQHFVVIIKPNLPVIVFDFQPKDPENIYTALAVLSGRAVPGVVLVRKLSKLPRRKCWFVGSSKLDAVDVATKFNSDWRTDLRVGHHDCRDYTNGLVELLIGEKQVLERLRKDRGDQG >Potri.014G162700.1.v4.1 pep chromosome:Pop_tri_v4:14:11708710:11711124:-1 gene:Potri.014G162700.v4.1 transcript:Potri.014G162700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162700.v4.1 MAASLAKATVLARGKDEVYVAATPLRATKGPAQLLMSTTYSLNLWDLQHFVVIIKPNLPVPPQNSQVIVFDFQPKDPENIYTALAVLSGRAVPGVVLVRKLSKLPRRKCWFVGSSKLDAVDVATKFNSDWRTDLRVGHHDCRDYTNGLVELLIGEKQVLERLRKDRGDQG >Potri.014G162700.2.v4.1 pep chromosome:Pop_tri_v4:14:11706870:11711124:-1 gene:Potri.014G162700.v4.1 transcript:Potri.014G162700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162700.v4.1 MAASLAKATVLARGKDEVYVAATPLRATKGPAQLLMSTTYSLNLWDLQHFVVIIKPNLPVPPQNSQVIVFDFQPKDPENIYTALAVLSGRAVPGVVLVRKLSKLPRRKCWFVGSSKLDAVDVATKFNSDWRTDLRVGHHDCRDYTNGLVELLIGEKQVLERLRKDRGDQG >Potri.014G162700.3.v4.1 pep chromosome:Pop_tri_v4:14:11708710:11711124:-1 gene:Potri.014G162700.v4.1 transcript:Potri.014G162700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162700.v4.1 MAASLAKATVLARGKDEVYVAATPLRATKGPAQLLMSTTYSLNLWDLQHFVVIIKPNLPVPPQNSQVIVFDFQPKDPENIYTALAVLSGRAVPGVVLVRKLSKLPRRKCWFVGSSKLDAVDVATKFNSDWRTDLRVGHHDCRDYTNELLIGEKQVLERLRKDRGDQG >Potri.013G042800.1.v4.1 pep chromosome:Pop_tri_v4:13:2947076:2952037:-1 gene:Potri.013G042800.v4.1 transcript:Potri.013G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042800.v4.1 MGRELKCADMEKKPNGLAVKFNGVSHDRVHFAPKLSEGVIKAKEYVEKETAEESEKQDVLGVKSTNFDADVSDEKDEKPEAQKSSDDRNSSSPSLKAGGVGNAHVRQTVPQPFALATDKRVGRNTFTNSNNAQSPATMKNSQQNSPSTARKPLQPDNKKRHDEEDSWSVASSTAASVRTVKSVTVGTAPTFRSAERAAKRKEYYSKLEEKHRALEKERSQAEERTKEEQEAAIRQLRKNMAYKANPVPNFYYEPPPPKVERKKLPLTRPQSPKLNRRKSCSDAVQTSQEEVGKHCARHRHSIGNHKDSTATSTAKAKVQISSQTANGIRKVTGRSKQERVTAEAVPEKTAEPTNADISVQS >Potri.013G042800.3.v4.1 pep chromosome:Pop_tri_v4:13:2947238:2951228:-1 gene:Potri.013G042800.v4.1 transcript:Potri.013G042800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042800.v4.1 MGRELKCADMEKKPNGLAVKFNGVSHDRVHFAPKLSEGVIKAKEYVEKETAEESEKQDVLGVKSTNFDADVSDEKDEKPEAQKSSDDRNSSSPSLKAGGVGNAHVRQTVPQPFALATDKRVGRNTFTNSNNAQSPATMKNSQQNSPSTARKPLQPDNKKRHDEEDSWSVASSTAASVRTVKSVTVGTAPTFRSAERAAKRKEYYSKLEEKHRALEKERSQAEERTKEEQEAAIRQLRKNMAYKANPVPNFYYEPPPPKVERKKLPLTRPQSPKLNRRKSCSDAVQTSQEEVGKHCARHRHSIGNHKDSTATSTAKAKVQISSQTANGIRKVTGRSKQERVTAEAVPEKTAEPTNADISVQS >Potri.004G212700.6.v4.1 pep chromosome:Pop_tri_v4:4:21921556:21931012:-1 gene:Potri.004G212700.v4.1 transcript:Potri.004G212700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212700.v4.1 MILKLVAKLRMRKPMVQKGKNIVSRSSSSSGSAPDTSALRRSARETSSKKSMAPSPSSTRKSERLENRTPTTPAVMRKSGRVEKQSMLSPLRRSKRGKNQSSSSSFGSKKSGKSLGSSVMKKKHRKEKSVKLLTLEPNEIGYSEKHIIKAVQVETKITDARVYRSLFKQQQKKANLEGFCEETKNKKAKSSQGYCSNLRASASENVDGGGDCSQRELSAGGSPEFDNNHLDFVNYLLECWHRGENVVLIDDQEQIAKVIYFILSISSNATWPFLIITTSAALHSWEEGLFRLAPSLYAVVYHGNKDIRKSIRTLEFYSEGGCIMFQILITSPEVIIEDLNMLESMKWEAIIVDECQRSRIYSHFKQIKLLSTAMRLLLVNGQLKDGITEHLLSLLVQQSDPDGSECLVIDSSHKTGIFKERLSQYIANGCKPDSSRLKEYWVPVQLSIMQLEQYCAILLLNSLLLCSSSKNDLAGSLHDILISARKCCDHPYIMDPSLQISLTKDSKEADILDIGIKASGKLQLLDAMLFNIKERGLRVLVLFQSSGGSGKDNVGDILDDFIRQRFGKGCYERVDGHVLPSRKQAALKNFNNLQEGRFVFLLETRACSPSIKLSSVDTVIIFASDWKPNTDIRNLQKITLYSESEQINIFRLYSSCTVEEKVLIVARQDKTLDRNLQRINQGASHMLLMWGVSYLFDKLSEFNCGNDPASSGTLLSEQSHMKDVIQEFLTIVTQKGKDKNLINSIILNVKQNQGSYTTNLPLHGEPKIQLLDEELPHVFWERLLKGKQPQWKYSSGLFQRNRKRVQYFDDTQKNPEVEADEVVKKRKKVAIDNSNSPSLKAAPIGTSGAPVCSMSQFMPSSTGCLTTTDANHVSNFTHLNNKLSLLPKANTVDYNERMNLHYSRKSLHLVLKPEIEKLSEILQLPEDVKVMVDQFLEYVLNNHHVSREPASILQAFLISLISKLSAFAKSVHSFIFHGCLYKCTSTEFQKSTSRAEALFPLLGSD >Potri.004G212700.5.v4.1 pep chromosome:Pop_tri_v4:4:21916882:21930124:-1 gene:Potri.004G212700.v4.1 transcript:Potri.004G212700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212700.v4.1 MILKLVAKLRMRKPMVQKGKNIVSRSSSSSGSAPDTSALRRSARETSSKKSMAPSPSSTRKSERLENRTPTTPAVMRKSGRVEKQSMLSPLRRSKRGKNQSSSSSFGSKKSGKSLGSSVMKKKHRKEKSVKLLTLEPNEIGYSEKHIIKAVQVETKITDARVYRSLFKQQQKKANLEGFCEETKNKKAKSSQGYCSNLRASASENVDGGGDCSQREVEELIEECILKDSEKKMLGNSVLSAGGSPEFDNNHLDFVNYLLECWHRGENVVLIDDQEQIAKVIYFILSISSNATWPFLIITTSAALHSWEEGLFRLAPSLYAVVYHGNKDIRKSIRTLEFYSEGGCIMFQILITSPEVIIEDLNMLESMKWEAIIVDECQRSRIYSHFKQIKLLSTAMRLLLVNGQLKDGITEHLLSLLVQQSDPDGSECLVIDSSHKTGIFKERLSQYIANGCKPDSSRLKEYWVPVQLSIMQLEQYCAILLLNSLLLCSSSKNDLAGSLHDILISARKCCDHPYIMDPSLQISLTKDSKEADILDIGIKASGKLQLLDAMLFNIKERGLRVLVLFQSSGGSGKDNVGDILDDFIRQRFGKGCYERVDGHVLPSRKQAALKNFNNLQEGRFVFLLETRACSPSIKLSSVDTVIIFASDWKPNTDIRNLQKITLYSESEQINIFRLYSSCTVEEKVLIVARQDKTLDRNLQRINQGASHMLLMWGVSYLFDKLSEFNCGNDPASSGTLLSEQSHMKDVIQEFLTIVTQKGKDKNLINSIILNVKQNQGSYTTNLPLHGEPKIQLLDEELPHVFWERLLKGKQPQWKYSSGLFQRNRKRVQYFDDTQKNPEVEADEVVKKRKKVAIDNSNSPSLKAAPIGTSGAPVCSMSQFMPSSTGCLTTTDANHVSNFTHLNNKLSLLPKANTVDYNERMNLHYSRKSLHLVLKPEIEKLSEILQLPEDVKVMVDQFLEYVLNNHHVSREPASILQAFLISLCWTAASMIKYKLDRKESLALAKQHLNFCCTKDEADFVYSKLRYLKKVFLYHTGNFKLAGSPKAAEFSTKDLSTNQSNGRPSLSTPSNMQKVRIEVENLRPSQEFFIDQALSHLGLTQKDYSENIGEKCDEQMNKLLQRQREEREEFKKKYEEEKAELELMQRTEAAVIHLHSNSSMRTDKLKVLDNVFAKEFRELKRKMEIRLNNVLEFQLATRNKLQERKAHWIGVKLSGLLNKPLADESGYDQQNAATLNSCSKEQTSERAQSMPDGEVLLEALETVSLNEDVFSGVLSASEPMFDGASSSMLDREVPLEMPQTASVRNISENIVYLNASSGEGQIPVTQVAVRVLEAISSSDGPENTIHKSSSESRNRDALMVPDSEFPLGVTEIVSSTGGLENAASANPSPSEGCTVRTTSCMDGREVLLEVPETASLEAEHGNRVMEKDGISAMVSDNATEEDQQNGLVSMLNQDSQSDNIIAVNQQNGEVLLGVPQTNEVGLQDEEVPSGVHGTPVEGSASNGGENTGVYVTAFSIGTGVDQLAGVLPSGGFETATSAELEGSRTQREIDSIHAVASDTSQSAESSRLQDGVAQVCDNQIAFQQVDASASQPLVVASGQSPNDASVTEHLLELLLSTGSPTPSGSQPATSFAQLSPIDSIAVGGSGMHISNMRAAPVTPGISNRPGTALAVRMPVSMSQDPLQNELDRLSKETEEIIKIHEDTKLQLKSDCEKEIVEVVAQIHKKHDIKLQEIESDFQCKKKEMNDNQNKVLMNKILAEAFKTKCMDSRASSTLGKQQEITSSAVQQLLRQSQPTAQRPPIVASSGVSADGHQTSPSLSPPSPPLEVVRCSSLLSGTPTRPPHIGSISPITNNLQLGSGIRAPAPHLQPFRPSASISTTGLSSFLHGMQSQQVPSTSPTLSEIPSRAPASVQQSGPQTTTNCCESMGVSPSSTYLSGLDSLMDGGYQTSTNATQPCSFPPVTNLISNPNQLTQPELSMLHSVNSVLTNPASEELQLSPSFC >Potri.004G206200.1.v4.1 pep chromosome:Pop_tri_v4:4:21381645:21387620:-1 gene:Potri.004G206200.v4.1 transcript:Potri.004G206200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206200.v4.1 MGKSKIVEKMKKKKGRPSLLDLQKRSLKEQQNHQQQQQQQKKRIHHHRHRNVSPSHSSSSISTTTTPNSSQNHKSATTTTAPPRRSARRNPTNDDSCRDSSDDEDIAESNGKRRREKKLKLVLKLPKSDTNSTSFNSSGEESNGEEEKNTASNKKKRKINGSESEKGDQKSTTGTNPTSNVKDSGPSTPLPDKKLLLFILDRLQKKDTYGVFSEPVDLNELPDYLEVIEHPMDFGTVRKKLLNGAYASLEQFEKDVFLICTNAMQYNAPDTIYFRQARSIQELARKNFENLRQDTDDNEAEHKVVKRGRPPSENLKKSPGRPSLDPAGSEFPSGATLATGGENRPSEKPGFADSSEQFHGSRNEAYSLTDNRFERHDETAGSVLKGKHSKKPLAIDENRRNTYKQFHPSAGGRVPSVLTTFDAERKQLVAVGLLTEHGYARSIARFAANIGPFSWTIAVKKIERSLAPGIKFGPGWVGENDITPQRPLFSSPPPSQPALPPLPQRPFSVLESSAANATRCNVKSKEEKLSVKLEKDVLSEKQAPSTHLSDTRLTPVPPSTSMTTSSAANKSEPCTERAEALAKLNSHSAFNVLNSSTGVARHRPPFQLHQNPAIHPGMIGFNATYGFNLAAQMGKLVGVSRPAGLNIHSSQMADMVSRTSSNLVHSANANSLNSEKTKFPENSSSINFNGALPNSVSEAVEAPRPVDQPQPTWQGLYPKSKPDSGSSPHQKSDAVPPDLNVRYQSPGSPSSGRIDSAQPDLALQL >Potri.016G034800.1.v4.1 pep chromosome:Pop_tri_v4:16:2003332:2005607:-1 gene:Potri.016G034800.v4.1 transcript:Potri.016G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034800.v4.1 MDQLPKCDANYVPLTPINFLKRAAKVYGNRLSVVHERTHFTWQQTYERCLRLADSLRSFNIAKNDVVSVLAPNIPALYEMHFAVPMAGGVINAINTRLNPNHVATILRHSEAKVFFVDYQFVQLARQALQIMMTSESISSKLVLPSVVLIDDIESPTGAIFGEWEYEQLVRKGNPGYIPYEVQDEWDPIALNYTSGTTSEPKGVVYSHRGVFLGSLGIIIGWEMESEPVYLWSLPMFHCNGWTLAWGIAARGGTNVCLRNTTAKDMYRNIAQHKVTHMCCAPIVFNIILEAKPDERREISSPVQILVGGAPPPASLLEKMKPLGFHVTHSYGLTEVGPALVCEWQAKWNNLPSQDQSRIMARQGINTVALAHMDVKDLSTMISVPWDGKTMGEIVLRGSTVMKGYFKDPKATAKAFKNGWFATGDIGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYSHPRVLEAAVVAMPHPVWGESPCAFLAIKKNSEGKSDDVKEADIIAYCRKKLPHYMVPKKVEFMSELPKTSTGKVQKFQLRDLTRNFVVSENFPSKKTAQINAKTETQGHKAQPVRVPSSRL >Potri.015G056000.1.v4.1 pep chromosome:Pop_tri_v4:15:7734881:7744718:-1 gene:Potri.015G056000.v4.1 transcript:Potri.015G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056000.v4.1 MASLHYKALDSISVSDIEALGISSSIALKLYEDISEIINTHGPSSPQTWTLLSKRLLHPLLPFSFHQMMYYGCFKDFGPDPPAWSPDPEAAMLTNVGQLLERRGKEFLGSAYKDPISSFSNFQEFSVSNPEVYWKTILDEMSISFSVPPQCILSENTSRESSLANPGGQWLPGAYVNPAKTCLTLNCKRNLDDVVIRWRDEGNDDMPVSSLTLEELRSEVWLVAYALNALGLDRGSAIAIDMPMNVESVIIYLAIVLAGHVVVSIADSFAPLEISTRLKISEAKAIFTQDLIIRGDKSIPLYSRVVHAQAPMAIVLPTKGCSFSMNLRDGDISWHDFLEKATDLRGDEFAAVEQPVEAFTNILFSSGTTGEPKAIPWTHLTPFKAAADAWCHMDIRKGDIVAWPTNLGWMMGPWLVYASLLNGASIALYNGSPLGSGFAKFVQDASVTMLGVIPSIVRIWKSANSTSGYDWSAIRCFASTGEASSVDEYLWLMGRAQYKPIIEYCGGTEIGGGFVSGSLLQPQSLAAFSTPAMGCSLFILGDDGHPIPQNVPGMGELALGPLMFGASSTLLNADHYNVYFKGMPLWNGKILRRHGDVFERTSRGYYHAHGRADDTMNLGGIKVSSVEIERVCNAVDSNVLETAAVGVPPPQGGPEQLVIAVVFKDSDESTVDLDKLRISYNSAVQKKLNPLFRISHVVPFSSLPRTATNKVMRRVLRQQLSQQDQNSKL >Potri.008G157100.1.v4.1 pep chromosome:Pop_tri_v4:8:10774206:10777285:-1 gene:Potri.008G157100.v4.1 transcript:Potri.008G157100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157100.v4.1 MESNNKKGFDMEAGISNQLYPMMQEPPQLRWAFIRKVYIILAMQLLLTVGVAATVVFVPPIPRFILHTTPGLAIYIVSLILTLILLWPLHVYSKRHPWNYFFMALFTICIAFAVGLSCALTKGRIVLEAAILTSVVVVGLTLYTFWAAKRGQDFSFLGPFLFSAVLVLIVFGLIQFLFPLGKWSLMIYGCLGAIVFSGFIVYDTGNLIKRFSYDEYISAAINLYLDIINLFLALLNIFNAVDN >Potri.003G014356.1.v4.1 pep chromosome:Pop_tri_v4:3:3045874:3046797:-1 gene:Potri.003G014356.v4.1 transcript:Potri.003G014356.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014356.v4.1 MVMPSSSTDFFSPPLFSPFSFCLPALPYSSSFCSLVLLTVSFFFFFSMPLLLSVCSLPPVFSSLRFTFSVFFLLCLLPSPVFPPSPLAFGFSSGFYSRRMRMFLVSRRASRW >Potri.019G004000.1.v4.1 pep chromosome:Pop_tri_v4:19:959179:966514:-1 gene:Potri.019G004000.v4.1 transcript:Potri.019G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G004000.v4.1 MIIPKPFSSKTLLSLSTKPPSSSQFLYSIFISHFSTSSLTPRHSHSHFHSESKSVRVSVWWDFENCHLPSGVNVYRVSQAITAAVRGNGIKGPIQITAFGDVLQLSRANQEALSSTGINLAHIPNGGKNSADRSLLIDLMCWVSQNPPPAHLFLISGDRDFANVLHRLRMNNYNILLATKDTAPSVLCSAASIMWLWNSLVKGENLSGRHFNQPPDGPYASWYGYYKGPLEDPFAVVEQPICSKVEDMPEASSEPAVRPIPKAVMKKICHILSSCPKGMSITDLRIELMKSKVSVDKDLYGYKKFSRFLLSMPHILKLKDNGDGQFNVRGVTVKVPEPFQPGLCKSTPTAIDNGNQPITRSSKSNSEEISVSGPVDGKLSLPSSPKLNLEAPPTKVQQPSSLNENAVKMHIQQLPKQMKQLQQAQPPKQIEQPPAVAEKVEMVNAKVIKDHLPAVKEPVSASEMGFFRKFWRRLFGGKDDDSMLESDNALVESPGDSMVKKNEYTLEECDPSGESPQEKVEKKIVKTPTQGDDLVHPIVEPTSENKTAIRSELHGEMPKKSPGLFKRILNWCKLQGNSSDTSSDQPTEIPEQINSHAGKTEVFSEHSFWREMKSFIDTKKGSLLISQSRTREQIARNLLKEGPLVLRSHNESDVLQLVDMIISEKKWVEEFPSEAFPFKLTRFAAQSTVGDSPASNGLSSMFLSSLSQSNLQRQPGHEGDKKIQNISHTGVSSPVSDAKPSARSRSEILGDCQKLVKETLKEFPGGYNMGSFRKLFLERYGYNLNAKKLGYPKLASLLQIMPGVEIESNYIIPSNEMAKRSSAGRTVLNNTYPRSASSDSELSDASKKDDESDSTWEELGPVDNSISGKEANESVSRMKGIGESVRQPSPDYEYPLSDDEFSDSEKESGKVTRPGGKAKPAFKDVNSALLQMLDSWYSSNEGDIKNKPENPKSMLDSSTNGFQSSDSSVADLVENKNEVVVDSILSSLKKSKKPRIGA >Potri.001G361700.2.v4.1 pep chromosome:Pop_tri_v4:1:38009731:38014316:-1 gene:Potri.001G361700.v4.1 transcript:Potri.001G361700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361700.v4.1 MQTSQKKTISDGSRRYGDQPMQYQESYCWPPIQNIDAGSQGTHLSAMTSDQYCTLESSSETSAYPVHNSPSTASFSPNESVVSQPNSRSYPSDLQDSSENACGSPTSESYVTHKLRELETAMLGPDSDNLDMYSMTAMPGPNQIVSEAEKWKFLVEMMSRGDLKEALCTCALAIANGDMFTVEWLMSELRQMVSVTGEPIQRLGAYMLEGLVARLASSGSSIYNALRCKEPAGADLLSYMLLLYEACPYFKFGYMSANGAIADAMKDEISVHIIDFQIAQGSQWVTLIQALAARPGGPPRIRITGIDDSTSAYARGGGLDIVGKRLLKLAESYKVPFEFHTAGVSASEIQIENLGIQPGEAVAVNFALTLHHLPDESVGTQNHRDRLLRLVKSLSPKVVTLVEHESNTNTVPFFARFVETLNYYLAIFESIDVTLPRENKKRISVEQHCLAREVVNIVACEGAERVERHEPLGKWRSRFEMAGFTPYPLSSFVNSTIKILLENYSEKYTLEERDGALFLGWMNRPLVASCAWR >Potri.001G361700.4.v4.1 pep chromosome:Pop_tri_v4:1:38009926:38014473:-1 gene:Potri.001G361700.v4.1 transcript:Potri.001G361700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361700.v4.1 MQTSQKKTISDGSRRYGDQPMQYQESYCWPPIQNIDAGSQGTHLSAMTSDQYCTLESSSETSAYPVHNSPSTASFSPNESVVSQPNSRSYPSDLQDSSENACGSPTSESYVTHKLRELETAMLGPDSDNLDMYSMTAMPGPNQIVSEAEKWKFLVEMMSRGDLKEALCTCALAIANGDMFTVEWLMSELRQMVSVTGEPIQRLGAYMLEGLVARLASSGSSIYNALRCKEPAGADLLSYMLLLYEACPYFKFGYMSANGAIADAMKDEISVHIIDFQIAQGSQWVTLIQALAARPGGPPRIRITGIDDSTSAYARGGGLDIVGKRLLKLAESYKVPFEFHTAGVSASEIQIENLGIQPGEAVAVNFALTLHHLPDESVGTQNHRDRLLRLVKSLSPKVVTLVEHESNTNTVPFFARFVETLNYYLAIFESIDVTLPRENKKRISVEQHCLAREVVNIVACEGAERVERHEPLGKWRSRFEMAGFTPYPLSSFVNSTIKILLENYSEKYTLEERDGALFLGWMNRPLVASCAWR >Potri.001G361700.5.v4.1 pep chromosome:Pop_tri_v4:1:38009724:38014071:-1 gene:Potri.001G361700.v4.1 transcript:Potri.001G361700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361700.v4.1 MQTSQKKTISDGSRRYGDQPMQYQESYCWPPIQNIDAGSQGTHLSAMTSDQYCTLESSSETSAYPVHNSPSTASFSPNESVVSQPNSRSYPSDLQDSSENACGSPTSESYVTHKLRELETAMLGPDSDNLDMYSMTAMPGPNQIVSEAEKWKFLVEMMSRGDLKEALCTCALAIANGDMFTVEWLMSELRQMVSVTGEPIQRLGAYMLEGLVARLASSGSSIYNALRCKEPAGADLLSYMLLLYEACPYFKFGYMSANGAIADAMKDEISVHIIDFQIAQGSQWVTLIQALAARPGGPPRIRITGIDDSTSAYARGGGLDIVGKRLLKLAESYKVPFEFHTAGVSASEIQIENLGIQPGEAVAVNFALTLHHLPDESVGTQNHRDRLLRLVKSLSPKVVTLVEHESNTNTVPFFARFVETLNYYLAIFESIDVTLPRENKKRISVEQHCLAREVVNIVACEGAERVERHEPLGKWRSRFEMAGFTPYPLSSFVNSTIKILLENYSEKYTLEERDGALFLGWMNRPLVASCAWR >Potri.018G063000.4.v4.1 pep chromosome:Pop_tri_v4:18:7398132:7404979:1 gene:Potri.018G063000.v4.1 transcript:Potri.018G063000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063000.v4.1 MQKETDFVPSYPNLTSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYDKEALLASDLGQKQSRQPTEFFCKTLTASDTSTHGGFFVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRIFTGDSVLFIRDEKSQLLLGIRHANRQQPALSSSLISSDSMHIGILAAAAHAAANNSPFTIFYNPSASPSEFVIPFSKYNKAMYTQGSLGMRFRMMFTTEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTASERPNRVSIWEIEPVVTPFYICPPPFFRPKFPKQPGMPNDESDTENAFKRAVPWLGDEFGKKDAASSIFPGLSLVQWMSMQQNNQFQAAQSGFFPPMVPSNDLQKNLSTDDPSKLLNFQAPGLSAPSIQFNKTNSENQVGQLRRPPMAWTQQQQLQQLLQTSINQQQPPYPQQPQPQLQQSISQEQHHEHQHRPQPQQQPQPQLQPQQIQQLPPQWQQLQQLQQQIFQPPALNDSVVSPNQIPNQNFQKPFVYSQQQQQQQQQLLASNIQSQSIPSPNRSSYQLTSLPQDSQFHQQMEQQSNFSHRQQTQLQQSPLLLLQQNPSQRVQPQPHQQIQQLSQPDNSEQQLHLQLLQNLQQQQQQQLLSPESLLLQSQKLQQQQQTHQQNQQLHQSPLTQNQQPLGSNSFPTAAALMRTQSFPMNQLQGMQNATMAVRYHSSITDGEAPSCSTSPSTNNWQISPLNFLNRNQQAPAMLMGDSAIEPASNLVQELQSKSEIHIKNEFPSLKGLDQLKYKGTVTDQLEASSSGTSYCLDAGTIQQNFSAPTFGLDGDVQSHPASNIDALAPDTLLSREYDSQKDLQNLLVNYGGTAQDINMELSTAAISAQSFGVSNIPFKPDGSNDIAINDTGILNNGAWTNQNQRMRTYTKVQKRGSVGRSIDITCYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDRENDILLVGDDPWEEFMSCVQSIKILSSAEVQQMSLDGDLGNVPAPNQACSGTDSGNVWRGQ >Potri.018G063000.1.v4.1 pep chromosome:Pop_tri_v4:18:7397463:7404966:1 gene:Potri.018G063000.v4.1 transcript:Potri.018G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063000.v4.1 MKVPSNGFLPNSAEGERKSINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFVPSYPNLTSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYDKEALLASDLGQKQSRQPTEFFCKTLTASDTSTHGGFFVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRIFTGDSVLFIRDEKSQLLLGIRHANRQQPALSSSLISSDSMHIGILAAAAHAAANNSPFTIFYNPSASPSEFVIPFSKYNKAMYTQGSLGMRFRMMFTTEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTASERPNRVSIWEIEPVVTPFYICPPPFFRPKFPKQPGMPNDESDTENAFKRAVPWLGDEFGKKDAASSIFPGLSLVQWMSMQQNNQFQAAQSGFFPPMVPSNDLQKNLSTDDPSKLLNFQAPGLSAPSIQFNKTNSENQVGQLRRPPMAWTQQQQLQQLLQTSINQQQPPYPQQPQPQLQQSISQEQHHEHQHRPQPQQQPQPQLQPQQIQQLPPQWQQLQQLQQQIFQPPALNDSVVSPNQIPNQNFQKPFVYSQQQQQQQQQLLASNIQSQSIPSPNRSSYQLTSLPQDSQFHQQMEQQSNFSHRQQTQLQQSPLLLLQQNPSQRVQPQPHQQIQQLSQPDNSEQQLHLQLLQNLQQQQQQQLLSPESLLLQSQKLQQQQQTHQQNQQLHQSPLTQNQQPLGSNSFPTAAALMRTQSFPMNQLQGMQNATMAVRYHSSITDGEAPSCSTSPSTNNWQISPLNFLNRNQQAPAMLMGDSAIEPASNLVQELQSKSEIHIKNEFPSLKGLDQLKYKGTVTDQLEASSSGTSYCLDAGTIQQNFSAPTFGLDGDVQSHPASNIDALAPDTLLSREYDSQKDLQNLLVNYGGTAQDINMELSTAAISAQSFGVSNIPFKPDGSNDIAINDTGILNNGAWTNQNQRMRTYTKVQKRGSVGRSIDITCYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDRENDILLVGDDPWEEFMSCVQSIKILSSAEVQQMSLDGDLGNVPAPNQACSGTDSGNVWRGQ >Potri.018G063000.5.v4.1 pep chromosome:Pop_tri_v4:18:7397535:7404955:1 gene:Potri.018G063000.v4.1 transcript:Potri.018G063000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063000.v4.1 MFTQADVETDEVYAQMTLQPVSKYDKEALLASDLGQKQSRQPTEFFCKTLTASDTSTHGGFFVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRIFTGDSVLFIRDEKSQLLLGIRHANRQQPALSSSLISSDSMHIGILAAAAHAAANNSPFTIFYNPSASPSEFVIPFSKYNKAMYTQGSLGMRFRMMFTTEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTASERPNRVSIWEIEPVVTPFYICPPPFFRPKFPKQPGMPNDESDTENAFKRAVPWLGDEFGKKDAASSIFPGLSLVQWMSMQQNNQFQAAQSGFFPPMVPSNDLQKNLSTDDPSKLLNFQAPGLSAPSIQFNKTNSENQVGQLRRPPMAWTQQQQLQQLLQTSINQQQPPYPQQPQPQLQQSISQEQHHEHQHRPQPQQQPQPQLQPQQIQQLPPQWQQLQQLQQQIFQPPALNDSVVSPNQIPNQNFQKPFVYSQQQQQQQQQLLASNIQSQSIPSPNRSSYQLTSLPQDSQFHQQMEQQSNFSHRQQTQLQQSPLLLLQQNPSQRVQPQPHQQIQQLSQPDNSEQQLHLQLLQNLQQQQQQQLLSPESLLLQSQKLQQQQQTHQQNQQLHQSPLTQNQQPLGSNSFPTAAALMRTQSFPMNQLQGMQNATMAVRYHSSITDGEAPSCSTSPSTNNWQISPLNFLNRNQQAPAMLMGDSAIEPASNLVQELQSKSEIHIKNEFPSLKGLDQLKYKGTVTDQLEASSSGTSYCLDAGTIQQNFSAPTFGLDGDVQSHPASNIDALAPDTLLSREYDSQKDLQNLLVNYGGTAQDINMELSTAAISAQSFGVSNIPFKPDGSNDIAINDTGILNNGAWTNQNQRMRTYTKVQKRGSVGRSIDITCYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDRENDILLVGDDPWEEFMSCVQSIKILSSAEVQQMSLDGDLGNVPAPNQACSGTDSGNVWRGQ >Potri.018G063000.3.v4.1 pep chromosome:Pop_tri_v4:18:7397464:7404954:1 gene:Potri.018G063000.v4.1 transcript:Potri.018G063000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063000.v4.1 MKVPSNGFLPNSAEGERKSINSELWHACAGPLVSLPPVGSLVVYFPQGHSEQVAASMQKETDFVPSYPNLTSKLICMLHNVTLHADVETDEVYAQMTLQPVSKYDKEALLASDLGQKQSRQPTEFFCKTLTASDTSTHGGFFVPRRAAEKIFPPLDFSMQPPAQELVARDLHDNTWTFRHIYRGQPKRHLLTTGWSVFVSTKRIFTGDSVLFIRDEKSQLLLGIRHANRQQPALSSSLISSDSMHIGILAAAAHAAANNSPFTIFYNPSASPSEFVIPFSKYNKAMYTQGSLGMRFRMMFTTEESGVRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTASERPNRVSIWEIEPVVTPFYICPPPFFRPKFPKQPGMPNDESDTENAFKRAVPWLGDEFGKKDAASSIFPGLSLVQWMSMQQNNQFQAAQSGFFPPMVPSNDLQKNLSTDDPSKLLNFQAPGLSAPSIQFNKTNSENQVGQLRRPPMAWTQQQQLQQLLQTSINQQQPPYPQQPQPQLQQSISQEQHHEHQHRPQPQQQPQPQLQPQQIQQLPPQWQQLQQLQQQIFQPPALNDSVVSPNQIPNQNFQKPFVYSQQQQQQQQQLLASNIQSQSIPSPNRSSYQLTSLPQDSQFHQQMEQQSNFSHRQQTQLQQSPLLLLQQNPSQRVQPQPHQQIQQLSQPDNSEQQLHLQLLQNLQQQQQQQLLSPESLLLQSQKLQQQQQTHQQNQQLHQSPLTQNQQPLGSNSFPTAAALMRTQSFPMNQLQGMQNATMAVRYHSSITDGEAPSCSTSPSTNNWQISPLNFLNRNQQAPAMLMGDSAIEPASNLVQELQSKSEIHIKNEFPSLKGLDQLKYKGTVTDQLEASSSGTSYCLDAGTIQQNFSAPTFGLDGDVQSHPASNIDALAPDTLLSREYDSQKDLQNLLVNYGGTAQDINMELSTAAISAQSFGVSNIPFKPDGSNDIAINDTGILNNGAWTNQNQRMRTYTKVQKRGSVGRSIDITCYKGYDELRHDLARMFGIEGQLEDPQSSDWKLVYVDRENDILLVGDDPWEEFMSCVQSIKILSSAEVQQMSLDGDLGNVPAPNQACSGTDSGNVWRGQ >Potri.008G165000.1.v4.1 pep chromosome:Pop_tri_v4:8:11369794:11380957:-1 gene:Potri.008G165000.v4.1 transcript:Potri.008G165000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165000.v4.1 MDYLKTVIPSQLVADRGSNLVVINPGSANIRIGLAPQDAPLNIPHCIARYTNQRPKFNLQDQMLNSQVTTAQHMEREKAYDTIASLLKIPFLDEEVANNSFPRKIGRADGYNPQSGRSVAFQWANVYEQDAGSSLALGTSKSKGITGESVEKHEGTGAEEIYSGNHKFRGHIFGEEALRISPTEPYCLSRPIRRGHFNISQHYPLQQVLEDMHAIWDWILIEKLHIPHSERNMYSAVLVLPETFDNREIKEMLSIALRDLRFSSAVVHQEGLAAVFGNGLSTACIVNMGAQVTSVVCIEDGVALPNTEKTLPFGGEDISRCLLWTQRHHQTWPQIRTDMLTKPIDLLMLNRLKESYCGIKEGELDAVAVVHSYEDGMPAGSHKTRLTALNVPPMGLFYPTLLIPDIYPPPPRSWFHDYENMLEDTLHMEYSRRPDMSDGLFPGISVGYPMWESYPIFSSKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLIGGVALTAGVIPAVEERVLHAIPPNEAIDTVEVLQSRTNPTFVPWKGGAILGILDFGRDAWIYREDWIHNGIHFRSGRKYKDSYYLQAQATCYINS >Potri.001G017900.3.v4.1 pep chromosome:Pop_tri_v4:1:1310048:1311689:-1 gene:Potri.001G017900.v4.1 transcript:Potri.001G017900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G017900.v4.1 MRDHSMRHRTEIAGTSTDQLMNKGDHGSVNTNKLATSMQEEFNKLRPFSDECSIYRVPKRLLKLNRRAYTPQVVSIGPLHHGKKELQEMEEHKKMYLQDFLKFSEVSLEDFIAFIAEKETRLRNCYAATFEKLSSEKFVKMMLLDCAFVIMVLLRAWYGNNGCRNDRIFGKPWMISDVSRDMCLLENQLPFFILEDLNKLSKIRCPISLKELTFVFLTNRWSSWVPQDNLEKIKFCEAEHFVAFLRICQQPTEQKQQKKIDTLSTPSAMDLHQAGVRFKLGSSKKLLDIKFDADKGTLEIPCLKIVDDTETLFRNVQAFEQCHSDSGFIGNYITMINLVVQASKDTEILARKGITENWLRDNDALLSLLHNLSKENIVDRHDFYFSDVVEHLNKYYSRRRHKWKAALKQKYFDNPWTIISVIAAGILLLLTVIQAVCSIIQVV >Potri.008G181500.1.v4.1 pep chromosome:Pop_tri_v4:8:12513255:12519719:1 gene:Potri.008G181500.v4.1 transcript:Potri.008G181500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181500.v4.1 MMKEEVNGSRSCAVQGGCPSDYIAISIAILAFFLLLSRLLFPFLIHKIPRTNGSGFWIPVIQVFGSFNLLLSIVMSINFLKFEKSHWWQSCYVWAVWIEGPLGFGLLLSCRIAQAFQLHHIFVKRQLPPIRSYFFLPLILLPWVAGAAFVHVKRPLNDRCHMGTHWIVPVVCLHTIYVAALVGFTWAIRHIEFRFNELKDLWQGILVSSLSIGIWFAAYISNETRDDIWWLQVASRILLLITASVLVLFFFSISSSQPLLSQISLRKREPLEFQTMGQALGIPDSGLLLQIDSVPVIDPNEPLDKLLLNKRFRQSFMAFADSCLAGESVHFYNEVHEHDKIPVDDPVRRIYMARHIIEKYIVAGASMEVNISYRTRQEILTTTNLAHPDLFNNALNELMQLMKTNLVKDYWSSMFFIKFKEANMRFNGHEQESMAGWNFSPRLSSVRGADDPFHQEHVLKGFGCDNRDLDTQ >Potri.019G100100.1.v4.1 pep chromosome:Pop_tri_v4:19:13667407:13668269:-1 gene:Potri.019G100100.v4.1 transcript:Potri.019G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100100.v4.1 MKKQVWSSVLLICLSFLFASSLCVEAQTCRPSGKIMGRKAPKGQCNEENDSLCCIKGRLYTTYKCSPPATNHTKATLTLNSFEKGGDGGAPSECDNQYHSDDTPVVALSTGWFNHKSRCLNYINIYGNGRSVKAMVVDECDSTMGCDADHDYQPPCPNNIVDASKAVWTALEVPLNQWGEMEIHWSDA >Potri.001G326500.3.v4.1 pep chromosome:Pop_tri_v4:1:33547634:33555533:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MTDGKPNLPDDLLLLSCKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVTADNTIPLSPQWLYAKPVDAKSSITGASGETRASNSLSHGNSSDSNLKDNWRLDGSQDKKDWRRIAPDVESSRRWREEERDTGLLGRKDRRKEDHRADSVSARDISETRTLPSSDRWHDGNNRNSAHESRRDSKWSSRWGPEEKEKDSRNDKRADVEKDEAHSDKQNFGTSSRPTSERENDSRDKWRPSSERENDSRDKWRPRHRMEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGGNGGLHGYEMGLDKLTGEEVSIDRMPSILTRGLDSALHKRPPVSRAYSSKDVSSDMASSSHIKQRNRASLATSDERRSEPVENVAATRGGDSQTSGKKEAHFRRSSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGPLSGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHPYE >Potri.001G326500.9.v4.1 pep chromosome:Pop_tri_v4:1:33547681:33555454:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MTDGKPNLPDDLLLLSCKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVTADNTIPLSPQWLYAKPVDAKSSITGASGETRASNSLSHGNSSDSNLKDNWRLDGSQDKKDWRRIAPDVESSRRWREEERDTGLLGRKDRRKEDHRADSVSARDISETRTLPSSDRWHDGNNRNSAHESRRDSKWSSRWGPEEKEKDSRNDKRADVEKDEAHSDKQNFGTSSRPTSERENDSRDKWRPSSERENDSRDKWRPRHRMEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGIFSDIHLIRYHAYA >Potri.001G326500.5.v4.1 pep chromosome:Pop_tri_v4:1:33547631:33555534:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MTDGKPNLPDDLLLLSCKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVTADNTIPLSPQWLYAKPVDAKSSITGASGETRASNSLSHGNSSDSNLKDNWRLDGSQDKKDWRRIAPDVESSRRWREEERDTGLLGRKDRRKEDHRADSVSARDISETRTLPSSDRWHDGNNRNSAHESRRDSKWSSRWGPEEKEKDSRNDKRADVEKDEAHSDKQNFGTSSRPTSERENDSRDKWRPSSERENDSRDKWRPRHRMEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGGNGGLHGYEMGLDKLTGEEVSIDRMPSILTRGLDSALHKRPPVSRAYSSKDVSSDMASSSHIKQRNRASLATSDERRSEPVENVAATRGGDSQTSGKKEAHFRRSSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGPLSGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHPYE >Potri.001G326500.4.v4.1 pep chromosome:Pop_tri_v4:1:33547684:33555527:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MTDGKPNLPDDLLLLSCKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVTADNTIPLSPQWLYAKPVDAKSSITGASGETRASNSLSHGNSSDSNLKDNWRLDGSQDKKDWRRIAPDVESSRRWREEERDTGLLGRKDRRKEDHRADSVSARDISETRTLPSSDRWHDGNNRNSAHESRRDSKWSSRWGPEEKEKDSRNDKRADVEKDEAHSDKQNFGTSSRPTSERENDSRDKWRPSSERENDSRDKWRPRHRMEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGGNGGLHGYEMGLDKLTGEEVSIDRMPSILTRGLDSALHKRPPVSRAYSSKDVSSDMASSSHIKQRNRASLATSDERRSEPVENVAATRGGDSQTSGKKEAHFRRSSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGPLSGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHPYE >Potri.001G326500.11.v4.1 pep chromosome:Pop_tri_v4:1:33549117:33555528:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGIFSDIHLIRYHAYA >Potri.001G326500.8.v4.1 pep chromosome:Pop_tri_v4:1:33547681:33555580:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MTDGKPNLPDDLLLLSCKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVTADNTIPLSPQWLYAKPVDAKSSITGASGETRASNSLSHGNSSDSNLKDNWRLDGSQDKKDWRRIAPDVESSRRWREEERDTGLLGRKDRRKEDHRADSVSARDISETRTLPSSDRWHDGNNRNSAHESRRDSKWSSRWGPEEKEKDSRNDKRADVEKDEAHSDKQNFGTSSRPTSERENDSRDKWRPSSERENDSRDKWRPRHRMEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGGNGGLHGYEMGLDKLTGEEVSIDRMPSILTRGLDSALHKRPPVSRAYSSKDVSSDMASSSHIKQRNRKGGVSL >Potri.001G326500.2.v4.1 pep chromosome:Pop_tri_v4:1:33547634:33555583:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MTDGKPNLPDDLLLLSCKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVTADNTIPLSPQWLYAKPVDAKSSITGASGETRASNSLSHGNSSDSNLKDNWRLDGSQDKKDWRRIAPDVESSRRWREEERDTGLLGRKDRRKEDHRADSVSARDISETRTLPSSDRWHDGNNRNSAHESRRDSKWSSRWGPEEKEKDSRNDKRADVEKDEAHSDKQNFGTSSRPTSERENDSRDKWRPSSERENDSRDKWRPRHRMEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGGNGGLHGYEMGLDKLTGEEVSIDRMPSILTRGLDSALHKRPPVSRAYSSKDVSSDMASSSHIKQRNRASLATSDERRSEPVENVAATRGGDSQTSGKKEAHFRRSSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGPLSGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHPYE >Potri.001G326500.6.v4.1 pep chromosome:Pop_tri_v4:1:33547681:33555532:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MTDGKPNLPDDLLLLSCKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVTADNTIPLSPQWLYAKPVDAKSSITGASGETRASNSLSHGNSSDSNLKDNWRLDGSQDKKDWRRIAPDVESSRRWREEERDTGLLGRKDRRKEDHRADSVSARDISETRTLPSSDRWHDGNNRNSAHESRRDSKWSSRWGPEEKEKDSRNDKRADVEKDEAHSDKQNFGTSSRPTSERENDSRDKWRPSSERENDSRDKWRPRHRMEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGGNGGLHGYEMGLDKLTGEEVSIDRMPSILTRGLDSALHKRPPVSRAYSSKDVSSDMASSSHIKQRNRASLATSDERRSEPVENVAATRGGDSQTSGKKEAHFRRSSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGPLSGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHPYE >Potri.001G326500.7.v4.1 pep chromosome:Pop_tri_v4:1:33547666:33555529:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MTQKVSEECLFADQVTADNTIPLSPQWLYAKPVDAKSSITGASGETRASNSLSHGNSSDSNLKDNWRLDGSQDKKDWRRIAPDVESSRRWREEERDTGLLGRKDRRKEDHRADSVSARDISETRTLPSSDRWHDGNNRNSAHESRRDSKWSSRWGPEEKEKDSRNDKRADVEKDEAHSDKQNFGTSSRPTSERENDSRDKWRPSSERENDSRDKWRPRHRMEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGGNGGLHGYEMGLDKLTGEEVSIDRMPSILTRGLDSALHKRPPVSRAYSSKDVSSDMASSSHIKQRNRASLATSDERRSEPVENVAATRGGDSQTSGKKEAHFRRSSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGPLSGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHPYE >Potri.001G326500.10.v4.1 pep chromosome:Pop_tri_v4:1:33549070:33555614:1 gene:Potri.001G326500.v4.1 transcript:Potri.001G326500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326500.v4.1 MEVHSGGPAAYRSAPGFGSDRGRVESPNVPFAAGRGRSNNSGNLQIGRHLTASSIGSIPVDKNHVFCYPRGKLLDIYRKHKTLPSFDTIPDGMELVSPLTQEIAIKPLAFVAPDAEQEAVLGDIWLGKITSSGGLNNSFREKNESSNNNTAGIGEENVSFSVKTEEIADSFGKITGNASGQGTGAETFNASMAEEKDTHKDDKQKCVTTIGRALMDGFVPAVFKRDESSSVGESGLIDNVVDLKAFERQPVADVAFQNNLKLEDIESATSFEIGSQLPDDSSSLFDFSSAQQNSRSHQFSLNINNDGHQFTGTFMPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASSTSPSAKVQLSDDVGESLEGSTATSASLEYKVSAVREDQQRVSSGFEAISNVSGQSRVPDHGFLGGMEYSDDQRFQNVVTPDEEIVFPGRPGSSGNPLMRDVADVHRFGPNPSTNPAILNEFSEAGMHTHQDEIVHPFGLSMSELSSNSNLRRAQSSNMASGMGDEFPVQVHAMDSYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLSSADARHLFHRQPEFNDFDQQHLMLQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLEFQRQQQLELRQRQQQLELQHQQQLELQQRRQLELQQRRQVELQQQQRQFELQQQHHLLHQQQQQLRQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLTLEQIIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGIDGERQVGWPWSNDEAGQTFRNTTGHHQSKSTGFSASDFYLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKMDNVSGHSQGPDSAEHLYMHSTDQPGSFSSNVSSRHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSARGDEESSKQVLADLHQNMGLQSIRSSEDDYRNLISSSKSQESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHQGNGERLSLRSKSGALTEEPTFFSGIVDTSHTNHVDNMFVDKSAMDKELAELDNRYGSKGMTAMARSVSHIEENFVEQAETAMDFANASSRQSSRHSSLSSAGGNGGLHGYEMGLDKLTGEEVSIDRMPSILTRGLDSALHKRPPVSRAYSSKDVSSDMASSSHIKQRNRASLATSDERRSEPVENVAATRGGDSQTSGKKEAHFRRSSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGPLSGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHPYE >Potri.001G013600.3.v4.1 pep chromosome:Pop_tri_v4:1:955984:961194:1 gene:Potri.001G013600.v4.1 transcript:Potri.001G013600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013600.v4.1 MSSGNFASMSFGVKCIDVMRLSSLEMKVKRLEEDRERSLKEMEKSSDLAKPSPEIVAGKSDGGESGDGDERSFNESNSTSQQPQKAEAETEKEQNANTELKPEPDVIREDPDPSRLGSDPEPEREWSHNGKLEDDDDKKPKKEMKIERLSRESGLGPDSNELGESVGESKREDKEKDNIKQIINNNNNSDVQSSVSLTMRKKKRRRSSEEGSSSGEEEREGGDGEVSPARKNVPAVKSEPWLKLLGIIRSHRLGSVFEKRLRSQESERYRKMVRQHMDLQMIQSRLNKGVYSNCFQKFFKDLLILLNNAIVFFRKNSPENLAANELRAVVLKEVKEKLRKPKPQPVDAKPATEQHSAALSRPNKSSSSTLVACCKHSSMKAISGGAGKKGDKEMEGKHKVNEKKVEVSIDRIEEKGLKKKTTKERSVSGRRNSKTSNKNGEIKHQYGGNELSSHDALEIKVDRKEHTGRKKQGAASFLKRMKQNSPSRVTEEDSSSSEEDESKDGRKDDKKRRGREADGIAKRVTRSSRGRGPGEDSRNIKRGRPPKKQMESGSGTGKRGRDNEDSEVGVGGGGRVKKRSKRW >Potri.001G013600.2.v4.1 pep chromosome:Pop_tri_v4:1:955950:961194:1 gene:Potri.001G013600.v4.1 transcript:Potri.001G013600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013600.v4.1 MAKQQQQQQQQPSPPSPWTTLEELLLACAVNRHGSDSWDSIAMELSNRTSTFSSLTSQNCIDKFNDLKRRYGFTSLRNDTASLVDELRKLRVDELRREVHRRDASIVSLEMKVKRLEEDRERSLKEMEKSSDLAKPSPEIVAGKSDGGESGDGDERSFNESNSTSQQPQKAEAETEKEQNANTELKPEPDVIREDPDPSRLGSDPEPEREWSHNGKLEDDDDKKPKKEMKIERLSRESGLGPDSNELGESVGESKREDKEKDNIKQIINNNNNSDVQSSVSLTMRKKKRRRSSEEGSSSGEEEREGGDGEVSPARKNVPAVKSEPWLKLLGIIRSHRLGSVFEKRLRSQESERYRKMVRQHMDLQMIQSRLNKGVYSNCFQKFFKDLLILLNNAIVFFRKNSPENLAANELRAVVLKEVKEKLRKPKPQPVDAKPATEQHSAALSRPNKSSSSTLVACCKHSSMKAISGGAGKKGDKEMEGKHKVNEKKVEVSIDRIEEKGLKKKTTKERSVSGRRNSKTSNKNGEIKHQYGGNELSSHDALEIKVDRKEHTGRKKQGAASFLKRMKQNSPSRVTEEDSSSSEEDESKDGRKDDKKRRGREADGIAKRVTRSSRGRGPGEDSRNIKRGRPPKKQMESGSGTGKRGRDNEDSEVGVGGGGRVKKRSKRW >Potri.011G115600.1.v4.1 pep chromosome:Pop_tri_v4:11:14629279:14631942:1 gene:Potri.011G115600.v4.1 transcript:Potri.011G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G115600.v4.1 MAPRERSNNNNSPNSPRSEIRFRGVRKRPWGRYAAEIRDPGKKTRVWLGTFDTAEEAARAYDAAAREFRGAKAKTNFPTIGELNPNPTRSPSQSSTVESSSPPPPRAASPPPPLDLTLNISRHKPDRQPFPNGVSFPGGAWFPFPAVARPVFFFDAFAHAKNDIPNNNSIVNNINMCRFDRTVMVNGGGAQSDSDSSSVVDYDHRRDSKGLSLDLDLNLAPPPEVS >Potri.017G131300.1.v4.1 pep chromosome:Pop_tri_v4:17:13424428:13426290:1 gene:Potri.017G131300.v4.1 transcript:Potri.017G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131300.v4.1 MPFITPFKVFMEKKKPKGGDSTGDLTLVKAAAWAWYQHGSGSEGKPMCESYVTSTRQAPRPSRYKLEDMRLRVKEDTMDGSGAPSPIGADNSLLDNYEVESISKHLDYLMESSSKRSYGVEIFDRDDHLHRGKSISLLHGDQTCGMKQKKNKSKFFLQGWWLRHSVVCGTREDVVNIQEL >Potri.001G175000.1.v4.1 pep chromosome:Pop_tri_v4:1:15048240:15050382:1 gene:Potri.001G175000.v4.1 transcript:Potri.001G175000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175000.v4.1 MSSETQQPETPPNFWGDMPEEEYYASQGVTTTQSYFETPNGKLFTQGFLPLDKKVKATVYMTHGYGSDTGWLFQKICISFANWGYAVFAADLLGHGRSDGIRCYMGDMDKIAATSLSFFKHERFSEPYKGLPAFLFGESMGGLTTMLMYFQSEPNMWTGLIFSAPLFVIPEAMKPSKVHLFMYGLLFGLADTWAAMPDNKMVGKAIKDPEKLKIIASNPRRYTGKPRVGTMREIARMCQYIQDNFSKVTAPFLTVHGTADGVTCPTSSQLLFEKASSEDKSLKMYEGMYHSLIQGEPDENANLVLKDMRGWIDERVERYGSKKGDD >Potri.001G370100.1.v4.1 pep chromosome:Pop_tri_v4:1:38777955:38782172:1 gene:Potri.001G370100.v4.1 transcript:Potri.001G370100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370100.v4.1 MANLVDEAAPQFKLPDHEKHHFEIDEAEAEEVNDNPIEQVRLTVPITDDPTQAVLTFRTWVLGLAACILLSFVNQFFQYRSNQLSIGSVTIQILVLPIGKFMAAKLPKKQISIPFTKCSFSLNPGPFNMKEHVLITIFANCGAGGVYAVYIITIIKAFYHRGLHPVAAMLLAQTTQLLGYGWAGTFRNILVDSPYMWWPATLIQVSLFRALHEKEKRKKGERTRLQFFAVVFVASFAYYIVPGHFFPSLSALSFVCWIWKRSITAQQIGAGLNGLGIGSFGLDWATVASFLGTPLAYPFFAIVNTMVGFILVMYVLVPIAYWSNFREAKRFPIFTSHTFDEDGQIFNITRVLNEKTFDLNLVEYENYSKLYLSIFFAFLYGLSFASLTATLTHVALFDGKNIINMWKKTTTAVKDEFSDVHTRIMKKNYAVVPQWWFTAILVISLALSLLAVEGFDHQLQLPWWGLLLACFIALIFTLPVGVVQATTNMQIGLNVITELVIGYMYPGKPLANVAFKTYGYISMTQALSFLGDFKIGHYMKIPPKSMFIVQLVGTVVSSFVYFATAWWLLSSVENICNPDLLPDGSPWTCPGSDVFYNASIIWGVVGPLRMFTDKGVYPEQNWWFLIGFLAPFPMWFLQRKFPEKKWIKLIHIPLILSASSAMPSAKTVHYWSWAFVGFIFNYIIYRRYKGWWAKHTYILSAALDAGVAFLGVILYFTLQSKDIYGPAWWGADVSDHCPLAKCPTAAGIKVKGCPVL >Potri.005G058800.2.v4.1 pep chromosome:Pop_tri_v4:5:3720076:3721941:1 gene:Potri.005G058800.v4.1 transcript:Potri.005G058800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058800.v4.1 MMEGDAAMVRIQRKSSMESEPRTLTMDQIQFAREAALYVVNTRSIEEALSIFTEGLEPVGGRNGDRMKDMSREMQSVDELEQRQLTEIRDIASAPF >Potri.012G057400.2.v4.1 pep chromosome:Pop_tri_v4:12:5662043:5666164:1 gene:Potri.012G057400.v4.1 transcript:Potri.012G057400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G057400.v4.1 MVEQQNSSSKVQALYELCKKTFTSSGVPPSSRAIQKLCSLLDTVGPADVGLREENRDDDRGHGFLGPNRLTRVARWAQSITYVDIFECDSFTMCIFCFPTSSVIPLHDHPSMTVFSKVLYGSLHVKAYDWVEPSRYQKSKGPGYPAVRLAKLTVDKVSTAPCGTSVLFPKSGGNLHCFTAVTSCAVLDILTPPYREDAGRKCTYYHDYPYSTSSIGNGAELSAEKIDDQAWLAEVETPDLYMRQGAYTGPTVKV >Potri.012G057400.6.v4.1 pep chromosome:Pop_tri_v4:12:5662192:5663559:1 gene:Potri.012G057400.v4.1 transcript:Potri.012G057400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G057400.v4.1 MVEQQNSSSKVQALYELCKKTFTSSGVPPSSRAIQKLCSLLDTVGPADVGLREENRDDDRGHGFLGPNRLTRVARWAQSITYVDIFECDSFTMCIFCFPTSSVIPLHDHPSMTVFSKVLYGSLHVKAYDWVEPSRYQKSKGPGYPAGLPFESLFNIQPVSFRTFTIFFILFFLLPSRIGIVCVLIWGFNSKSSTVI >Potri.001G195601.1.v4.1 pep chromosome:Pop_tri_v4:1:18601114:18602100:-1 gene:Potri.001G195601.v4.1 transcript:Potri.001G195601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G195601.v4.1 MEGPESMDKAAWTKEILHVFCDLCIKAIDMGMKPNTHFDKAEQTDHAFTKAQLKNKWDGSKKDWRIWNKLISETGVGWSTKLGTISARAKKFRHFGIEPSLCMKYDRMFTNIIATSNYAWAPSSGVLPDHDVGVDGNQHAKVDQPDLEEESGDSEEDGISNFTGDVCNMVRGVNMSTRSNNRSSGKRKEREHFKVQAGEKKGIPAYQEGCNIPEVMTELHSIEGVHIGDDFHGFATEFLGLRRNREMWSTMGNIENKMK >Potri.005G123200.3.v4.1 pep chromosome:Pop_tri_v4:5:9146510:9148109:-1 gene:Potri.005G123200.v4.1 transcript:Potri.005G123200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123200.v4.1 MLEETLKWRATYKPEEICWHEVAHEGETGKVSRADFHDRSGRTVLILRPGKQNTTCAEDNIRHLVYLIENSILNLADGQEQMSWLIDFTGWGLSVKVPIKTARDCINILQNHYPERLAVALLYNPPRIFEAFWKVVKYFLDPLTFQKVKFVYPKKEDSVELMKSFFDVDNLPNEFGGKATLNYDHEEFSRLMSQDDVKTAKFWGLDEKPSHIANGHSGAQVAPEPTPLALPAC >Potri.005G123200.2.v4.1 pep chromosome:Pop_tri_v4:5:9146652:9149656:-1 gene:Potri.005G123200.v4.1 transcript:Potri.005G123200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123200.v4.1 MFRRKQSQNHQENGIEEQEAKVSELRAALGPLSGRSLKYCTDACLRRYLIARNWNVDKAKKMLEETLKWRATYKPEEICWHEVAHEGETGKVSRADFHDRSGRTVLILRPGKQNTTCAEDNIRHLVYLIENSILNLADGQEQMSWLIDFTGWGLSVKVPIKTARDCINILQNHYPERLAVALLYNPPRIFEAFWKVVKYFLDPLTFQKVKFVYPKKEDSVELMKSFFDVDNLPNEFGGKATLNYDHEEFSRLMSQDDVKTAKFWGLDEKPSHIANGHSGAQVAPEPTPLALPAC >Potri.005G123200.1.v4.1 pep chromosome:Pop_tri_v4:5:9146596:9149468:-1 gene:Potri.005G123200.v4.1 transcript:Potri.005G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123200.v4.1 MFRRKQSQNHQENGIEEQEAKVSELRAALGPLSGRSLKYCTDACLRRYLIARNWNVDKAKKMLEETLKWRATYKPEEICWHEVAHEGETGKVSRADFHDRSGRTVLILRPGKQNTTCAEDNIRHLVYLIENSILNLADGQEQMSWLIDFTGWGLSVKVPIKTARDCINILQNHYPERLAVALLYNPPRIFEAFWKVVKYFLDPLTFQKVKFVYPKKEDSVELMKSFFDVDNLPNEFGGKATLNYDHEEFSRLMSQDDVKTAKFWGLDEKPSHIANGHSGAQVAPEPTPLALPAC >Potri.015G025200.4.v4.1 pep chromosome:Pop_tri_v4:15:1889850:1893201:1 gene:Potri.015G025200.v4.1 transcript:Potri.015G025200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025200.v4.1 MPKNYVLIIVLVLLHIPFPGFITGATGGEIGCIERERQALLKFKEDIIDEDGVLSSWGGEEEKRDCCKWRGVGCDNITGHVTSLNLHSSPLYEHHFTPLTGKVSNSLLELQHLNYLDLSLNNLDESIMDFIGSLSSLRYLNLSYNLFTVTIPYHLRNLSRLQSLDLSYSFDASVENLGWLSHLSSLEHLDLSGSDLSKVNDWLQVVTNLPRLKDLRLNQCSLTDIIPSPLSFMNSSKFLAVLHLSNNNLSSAIYPWLYNLSNSLADLDLSGNQLQGLVPDGFRKMSALTNLVLSRNQLEGGIPRSLGEMCSLHTLDLCHNNLTGELSDLTRNLYGRTESSLEILRLCQNQLRGSLTDIARFSSLRELDISNNQLNGSIPESIGFLSKLDYFDVSFNSLQGLVSGGHFSNLSKLKHLDLSYNSLVLRFKSDWDPAFQLKNIHLSSCHLGPCFPKWLRTQIKVRLLDISSASISDTVPNWFWNLLPKLAFLNISHNLMRGTLPDFSSVDAVDDTFPGFDLSFNRFEGLLPAFPFNTASLILSNNLFSGPISLICNIVGKALSFLDLSNNLLTGQLPNCFMNWSTLVVLNLANNNLSGEIPSSVGSLFSLQTLSLNKNSLYGELPMSLKNCSMLKFLDLSRNQLSGEIPAWIGESLSSLMFLSLKSNEFIGSIPLHLCQLTNLRILDLSQNTISGAIPKCLNNLTTMVLKGEAETIIDNLYLTSMRCGAIFSGRYYINKAWVGWKGRDYEYERYLGLLRVIDFAGNNLSGEIPEEITGLLGLVALNLSRNNLTGVIPQTIGLLKSLESLDLSGNQFSGAIPVTMGDLNFLSYLNVSYNNLSGQIPSSTQLQSFDASAFIGNPALCGLPVTNKCLGGDLPRNLVMNGVIQDNQETVHEFSAWFCTAMGIGFSVFFWGVSGALLLIRSWRHAYFRFLDESWDWLYVKVAVRKARLQREFQRLHEHVLA >Potri.016G121100.1.v4.1 pep chromosome:Pop_tri_v4:16:12586735:12592494:1 gene:Potri.016G121100.v4.1 transcript:Potri.016G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121100.v4.1 MPRRYSSSSRESSDEERDRRRLRDSKRDSKSDSHRIRGGRGDESDESLDNKRQDKRDERSRRRDRAGSDESLEKKQDKRGERSKLLDRAGSDESLEKKQDKRGERSKLIDRAGSDESLEKKQDKRGERSKLIDRARDEEIFSRERKEVKESSEEEGELVEKNRSHEGRRENREKEKEKERVSRSSDEEDDRRKKRERRERERNDRFENGHRRREIEDSYRRRDRHERHRALDDEDDSGVRTKSEQDRNRDRRRIDRDYDDGGDRRNGRGKERNKMGKEAENLKEKEEGRSRTAEESKPRREMAVEEGNGGADASILGKTGGVYIPPFRLARMMKEVEDKSSTEYQRLTWDALRKSINGLVNKVNASNIKNIIPELFSENLIRGRGLFCRSCMKSQMASSRFTDVFAALVAVVNTKFPEVGDLLLRRIVLQLKRAFKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLAVLLENPTDDSVEVAVGFVTECGSMLQDVSPKGLDGAFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVDQEDQLTHEISLSEDIVAEITLDVFKPDPNFLENEKRYEELKKSLLGEESEDEDGSDAASGDEEDDDDDDEDESEEEDEEQMRIDDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKSFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRTLNERLTDPTMRDSFDSIFPKDNPKNTRFAINFFTSIGLGGITENLREYLKNMPRMIMQQQEQLPESESGSDDESESSGSSDSDSSSSESESDSPSSDEDERRRRKQHRSSERDERHKKRHRSSERDERHRKRRRSSERDERHRKR >Potri.016G121100.13.v4.1 pep chromosome:Pop_tri_v4:16:12586770:12592578:1 gene:Potri.016G121100.v4.1 transcript:Potri.016G121100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121100.v4.1 MPRRYSSSSRESSDEERDRRRLRDSKRDSKSDSHRIRGGRGDESDESLDNKRQDKRDERSRRRDRAGSDESLEKKQDKRGERSKLLDRAGSDESLEKKQDKRGERSKLIDRAGSDESLEKKQDKRGERSKLIDRARDEEIFSRERKEVKESSEEEGELVEKNRSHEGRRENREKEKEKERVSRSSDEEDDRRKKRERRERERNDRFENGHRRREIEDSYRRRDRHERHRALDDEDDSGVRTKSEQDRNRDRRRIDRDYDDGGDRRNGRGKERNKMGKEAENLKEKEEGRSRTAEESKPRREMAVEEGNGGADASILGKTGGVYIPPFRLARMMKEVEDKSSTEYQRLTWDALRKSINGLVNKVNASNIKNIIPELFSENLIRGRGLFCRSCMKSQMASSRFTDVFAALVAVVNTKFPEVGDLLLRRIVLQLKRAFKRNDKPQLLAAVKFIAHLVNQQVAHEIIALELLAVLLENPTDDSVEVAVGFVTECGSMLQDVSPKGLDGAFERFRGILHEGEIDKRVQFLIEGLFAIRKAKFQGYPAVRPELDLVDQEDQLTHEISLSEDIVAEITLDVFKPDPNFLENEKRYEELKKSLLGEESEDEDGSDAASGDEEDDDDDDEDESEEEDEEQMRIDDQTETNLINLRRTIYLTIMSSVDFEEAGHKLLKIKLEPGQEMELCIMLLECCSQERTYLRYYGLLGQRFCMINKVHQENFEKSFVQQYSMIHRLETNKLRNVAKFFAHLLGTDALPWHVLAYIRLTEEDTTSSSRIFIKILFQELSEHLGIRTLNERLTDPTMRDSFDSIFPKDNPKNTRFAINFFTSIGLGGITENLREYLKNMPRMIMQQQEQLPESESGSDDESESSGSSDSDSSSSESESDSPSSDEDERRRRKQHRSSERDERHKKRHRSSERDERHRKRRRSSERDERHRKR >Potri.006G170900.5.v4.1 pep chromosome:Pop_tri_v4:6:17306063:17308264:-1 gene:Potri.006G170900.v4.1 transcript:Potri.006G170900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170900.v4.1 MLGIHLQRAMIVLLLASVPLAVVWANAGAILVFLKQDPEISAEAGRYARYMIPTIFGFAIQECHVRFLQSQNNVIPMMVCAGITTFLHIFTCWILVFKSGLGNKGAALANAISYWANALLLILYVRISPSCKKTWTGLSKEALHGIPNFLKLAIPSAIMVSLEIWSFEMMVLLSGLLPNPKLETSVLSISLNTCALTYMIPLGLSAAISTRVSNELGAGKPQAARLAVCVATFLVGTEGISVASLMILGRNVWGTFYTTEKIVVNYVGEMLVFVAVSHFFDGIQSVFSGTARGCGWQKIGAVINLGAYYLLGIPCSVILAFVYHFGGKGLWTGIIVALFFQALALFVVTLRTNWENDSKKANDRVYRAVILDNSST >Potri.006G170900.1.v4.1 pep chromosome:Pop_tri_v4:6:17306143:17308999:-1 gene:Potri.006G170900.v4.1 transcript:Potri.006G170900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170900.v4.1 MDLEEEKPSIDLPLISPETAIKSRHGFSKVEIVEEVKKQLVLAGPLVTVNFFMFLLQVISVMFVGHLGELALSGASMATSFASVTGLSLLKGLASALDTYCGQSYGAKQYHMLGIHLQRAMIVLLLASVPLAVVWANAGAILVFLKQDPEISAEAGRYARYMIPTIFGFAIQECHVRFLQSQNNVIPMMVCAGITTFLHIFTCWILVFKSGLGNKGAALANAISYWANALLLILYVRISPSCKKTWTGLSKEALHGIPNFLKLAIPSAIMVSLEIWSFEMMVLLSGLLPNPKLETSVLSISLNTCALTYMIPLGLSAAISTRVSNELGAGKPQAARLAVCVATFLVGTEGISVASLMILGRNVWGTFYTTEKIVVNYVGEMLVFVAVSHFFDGIQSVFSGTARGCGWQKIGAVINLGAYYLLGIPCSVILAFVYHFGGKGLWTGIIVALFFQALALFVVTLRTNWENDSKKANDRVYRAVILDNSST >Potri.007G004001.1.v4.1 pep chromosome:Pop_tri_v4:7:289518:290946:1 gene:Potri.007G004001.v4.1 transcript:Potri.007G004001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004001.v4.1 MLPATKRLDVADSEADFIFTPPRRMSIIESPPLNGSESSSAYTIDFPPRTNSSTRQSSSSEQHASANKFLASTSETTAFISLAKESKPRRKSGSEKLRNFPQRQAEKFSSSKVKNVQAFSLGNIFPSILRARKIF >Potri.004G054701.1.v4.1 pep chromosome:Pop_tri_v4:4:4476150:4476426:1 gene:Potri.004G054701.v4.1 transcript:Potri.004G054701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054701.v4.1 MAGKSIQRQKKEGTVCYAAAIMLFTRAEITCRKIPMKEKDSTHQIKGLKMYVAAFATQD >Potri.004G155800.2.v4.1 pep chromosome:Pop_tri_v4:4:17681356:17684400:1 gene:Potri.004G155800.v4.1 transcript:Potri.004G155800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155800.v4.1 MVNVLTMYMSLLRALMKLVGVKPQAVEIEPGTVMRFWVPSDQTTSNTKNKPDKPAVVFVHGFELDGILTWQFQVLALAKEYAVYVPDLLFFGESITDKKERKVAFQAECTAKGLTKLGVEKCTLVGMSYGGVVCFKMAEMYPDLVESMVVGCTVMAMTESISRAGLERIGFSSWSEYLMPDTVKGVKDLLLVATYKLPWMPDFVFKSILEVMFDNRKERLELLQELVVSDKDFIVPRFSQATRRQSNVAVHRECRPPGSIGATFCLQ >Potri.004G155800.1.v4.1 pep chromosome:Pop_tri_v4:4:17681357:17684399:1 gene:Potri.004G155800.v4.1 transcript:Potri.004G155800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155800.v4.1 MVNVLTMYMSLLRALMKLVGVKPQAVEIEPGTVMRFWVPSDQTTSNTKNKPDKPAVVFVHGFELDGILTWQFQVLALAKEYAVYVPDLLFFGESITDKKERKVAFQAECTAKGLTKLGVEKCTLVGMSYGGVVCFKMAEMYPDLVESMVVGCTVMAMTESISRAGLERIGFSSWSEYLMPDTVKGVKDLLLVATYKLPWMPDFVFKSILEVMFDNRKERLELLQELVVSDKDFIVPRFSQKIHLLWGGDDIIFNMEEARNLKEQLEGKATLQFIENAGHLVQSERPSAYNKHLKKILASLHEDGKKK >Potri.006G221301.1.v4.1 pep chromosome:Pop_tri_v4:6:22669937:22672393:-1 gene:Potri.006G221301.v4.1 transcript:Potri.006G221301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221301.v4.1 MPPRYRDPSTPPTVVRVYTICDESRYLIVRNIPALGCGDDLFKLFASYGDVEECRPMDAEDCEKFTINARFAKRKLDESIFLGNPLSDKLEGRRKLVSARLNPGRTKGPKVHNLGTSSQASLLTSQIDHVSQHLNSNQSWKAIGDPPVTRVSSCQDYFPAQSMNQTVRLAREKFNKLLEHLQAGPASKKHELTIEEESDTTFILD >Potri.018G106600.1.v4.1 pep chromosome:Pop_tri_v4:18:12532755:12536889:-1 gene:Potri.018G106600.v4.1 transcript:Potri.018G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106600.v4.1 MAGVSLALRLGISFMKFSLLLLLLAYQSHSFYLPGVAPQDFVTGAELKVKVNKLTSTKTQLPYSYYSLPYCPPDRIVDSAENLGEVLRGDRIENSPYVFQMREPQQCRILCRITLDAKTAKQFKEKIDDDYRVNMILDNLPLVVPITRFDQENAVVYQHGFQVGLKGQYAGSKDQKHFIHNHLTFTVKFHKDSQSNLARIVGFEVKPFSVKHDYDGQWKNENTRLTTCDPHARRAVTSSESPQVIEDKKDVIFTYDVAFEESEVKWASRWDTYLLMADDQIHWFSIVNSLMIVLFLSGMVAMIMLRTLFRDISTYNQLETQEEAQEETGWKLVHGDAFRPPTNSDLLCVYAGTGVQFFGMILVTMIFAALGFLSPSNRGGLMTAMLLLWVFMGLFSGYASARLYKMFKGTEWKKITLKTAFMFPATIFAIFFVLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLVFAGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFVILIVTCAEITIVLCYFQLCGEDYLWWWRSYLTSGSSAIYLFLYAAFYFFTKLDITKPVSGILYFGYMLIASYAFFVLTGTIGFYACFWFTRLMYSSVKID >Potri.016G048900.2.v4.1 pep chromosome:Pop_tri_v4:16:3148080:3150070:1 gene:Potri.016G048900.v4.1 transcript:Potri.016G048900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048900.v4.1 MATTSIHRLLNIVFPLTIIISILVILPPYLVFKLLSYIKRSMFTENVAGKVVLITGASSGIGEHLLLYLILIILLSYCMWRKTKGLAYEYARRGARLALVARREDRLREVADKAHELGSPEAFVIRADVAKVEDCKRIVDEAVNHFGQLDHLVSNAGILRAELFENCKLSDFAPILDVNFLGATYCARFAIPVLRKSKGKIVAITSVAAWSPAPRATFYNASKAALVSFFETLRVECDSHIGITIVLPGLIESEMTVPDSLSKFQAKLVPPIESTRQCAEAIVHSACRGDMYLTEPSWSNALFMLKLLCPELFDWFYRWNFMSGPKIDQL >Potri.016G048900.1.v4.1 pep chromosome:Pop_tri_v4:16:3148000:3150181:1 gene:Potri.016G048900.v4.1 transcript:Potri.016G048900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048900.v4.1 MATTSIHRLLNIVFPLTIIISILVILPPYLVFKLLSYIKRSMFTENVAGKVVLITGASSGIGEGLAYEYARRGARLALVARREDRLREVADKAHELGSPEAFVIRADVAKVEDCKRIVDEAVNHFGQLDHLVSNAGILRAELFENCKLSDFAPILDVNFLGATYCARFAIPVLRKSKGKIVAITSVAAWSPAPRATFYNASKAALVSFFETLRVECDSHIGITIVLPGLIESEMTVPDSLSKFQAKLVPPIESTRQCAEAIVHSACRGDMYLTEPSWSNALFMLKLLCPELFDWFYRWNFMSGPKIDQL >Potri.015G064300.1.v4.1 pep chromosome:Pop_tri_v4:15:9003280:9005177:1 gene:Potri.015G064300.v4.1 transcript:Potri.015G064300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064300.v4.1 MSLLQVITKASDDSDHVVSQSEYPIILNTDDIFLNLKPALENLDATSLANPVTGWQLSQSDSQLIDSGKKFYTKLKRKLKDHSNFNKDGFFEILIPFLEKIGHKAGIAVGIDSSDAAYTRVLIEKVGFSMGRDVAGLVMKACISLEIWDLVETLIVNRIVDHSSYSDLVMSLVMKKRSDLLSLTIQYASDFGLSELLSILKYFLCPSKDAYSCMVNVRKEWESQALLAIEMASDKNLLEKKSQIAKDSSILLMLAHDGFLTSELCLHYLLASPIVDEAILTSAISKLNGKEMMSLIRYLGKWLRKYEMFPQAGPCPKASSALGLKACDWVPKLEDIVRCLGLVLDENFSSLVLHPGFHEELNSIGGFAASLASEAKLSCTVANVIENLRTQSKGEQI >Potri.001G233200.2.v4.1 pep chromosome:Pop_tri_v4:1:25179762:25181407:-1 gene:Potri.001G233200.v4.1 transcript:Potri.001G233200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233200.v4.1 MLKEGERLLEGLWGEVRELSLGNRIQRLDSAPTPLQFLRDFVSPNKPCIISNAISHWPALTRWPSLSYLSSTLSNSIVSLHLTPDGRADSLVPLENPKKEEICFASAHVERVPFPCALDLVLNPERKNLVAYLQQQNDCFREEYSALASDCDAHIPWATEALGGYLPEAVNLWIGNHLSETSFHKDHYENLYAVVSGEKQFLLLPPTDMHRMYIQDFPAAQYSYSSDGGEFRLELEKPLRYVPWCSVNPYPSPETKEIEMSKFPLYFNGPTPFHCTVKAGEILYL >Potri.001G233200.1.v4.1 pep chromosome:Pop_tri_v4:1:25178970:25181407:-1 gene:Potri.001G233200.v4.1 transcript:Potri.001G233200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233200.v4.1 MLKEGERLLEGLWGEVRELSLGNRIQRLDSAPTPLQFLRDFVSPNKPCIISNAISHWPALTRWPSLSYLSSTLSNSIVSLHLTPDGRADSLVPLENPKKEEICFASAHVERVPFPCALDLVLNPERKNLVAYLQQQNDCFREEYSALASDCDAHIPWATEALGGYLPEAVNLWIGNHLSETSFHKDHYENLYAVVSGEKQFLLLPPTDMHRMYIQDFPAAQYSYSSDGGEFRLELEKPLRYVPWCSVNPYPSPETKEIEMSKFPLYFNGPTPFHCTVKAGEILYLPSMWFHHVRQSPDDNGCAIAINYWYDMQFDIKYAYFNFLQSIHHGSRLMNCDASKCTLSDELCIDASEWEANQEDTKDG >Potri.011G002100.1.v4.1 pep chromosome:Pop_tri_v4:11:115841:116827:1 gene:Potri.011G002100.v4.1 transcript:Potri.011G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002100.v4.1 MSTLARRSSYGYSKLDKEDPEEVMHRRAQFLIYKALQQADSPRRRPSFLRIRLCRLKIKIGKKLKKLGKGKLLSISAARVRVYGQVTSHWKRLFGNGEAIASLPPMLALKARN >Potri.002G035700.1.v4.1 pep chromosome:Pop_tri_v4:2:2349823:2352005:1 gene:Potri.002G035700.v4.1 transcript:Potri.002G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035700.v4.1 MPFKRYVEIGRVALVNYGKDYGKLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIEINRVPKKKALIEAMEKADVKNKWENSSWGRRLTVQKRRASLNDFDRFKLMLAKIKKAGIVRQELARLKKEAA >Potri.014G128200.1.v4.1 pep chromosome:Pop_tri_v4:14:8592108:8600953:1 gene:Potri.014G128200.v4.1 transcript:Potri.014G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128200.v4.1 MANKLKVDELRAELAKRGLDTTGTKPSLVRRLESALEQENKQSEVDSVDGGGSSNNKRERESEDGGGDSNNGTEKIKAIEKFRDMNVKQLREQASLLGVSTAGTKRQLIDRLCNAEPDNHHSLQPKEEEQEQGEKEKDKIVTATKKGAAVLDQFLSDQIKSEYHVLQIGDDVYDAMLNQTNVGDNNNKFYVIQLLESDGGGRYMVYNRWGRVGVNGQVKLFGPYTSRDPAVSEFERKFYAKTKNDWSKRKEFICYPKCYALLEMDYSEQEKEPVVKEKLDSAIAIQPRETQLESRIAKFISLICNVSMMKQQMMEIGYNANKLPLGKLSKSTILKGYDVLKRISDVIGTADMLKLEQLSGEFYTVIPHDFGFKKMREFVIDTPQKLKCKLEMVEALGEIQVATKLLEDEPGMQEDPLYSHYNRLRCELTPVEVDSEDFAMIAMYLQNTHAKTHSQYTVDIAQIFKVSREDENESFRKFSNTKNRMLLWHGSRLTNWTGILSQGLRIAPPEAPVTGYMFGKGVYFADMFSKSANYCYANHAAPAGVLLLCEVALGDMAELLYANYDADKLPSGKLSTKGVGRTAPDLSDARALEDGVVVPLGKPKEQRGSKGALLYNEYIVYNVGQIRMRYVVQVNFNYKH >Potri.012G066100.1.v4.1 pep chromosome:Pop_tri_v4:12:8468036:8473033:-1 gene:Potri.012G066100.v4.1 transcript:Potri.012G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066100.v4.1 MAMAAAFRREGRRFVSPQPITDVRSSLIPEDHAPLGVRSISTQVVRNRMKSVKNIQKITKAMKMVAASKLRLIQTRAENSRGLWQPFTALLGDSPSVDVKKNVIVTVSSDKGLCGGINSTAVKISRAFNKLTLGPEKETKYVILGEKAKAQLIRDSKKNIAICMTELQRNPLNYTQVSVLADDVLKNVEYDALRIVFNKFQSVVSFLPTMATVLSPEVVEREAESGGRLGDLDSYEIEGGETKGEILQNLAEFQFSCVLFNAVMESACSEQGARMSAMDSSSRNAGDMLDRLTLTYNRTRQASITTELTEIISGAAALEG >Potri.006G092000.5.v4.1 pep chromosome:Pop_tri_v4:6:6984081:6988759:-1 gene:Potri.006G092000.v4.1 transcript:Potri.006G092000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092000.v4.1 MELKKGVETRGVNEEKWVHDSSVDHKGRVPLRASTGAWKASLFIIAIEFSERLSYFGIATSLIIYLSKVMHQDLKTAARSVNYWGGVTTLMPLFGGFLADAYLGRFTTVFVSSIVYLLGLILLTMSAFVPSLKACEAAVCPKPRKVHEVVFFLAIYLISVGTGGHKPSLESFGADQFDENHDDERKKKMSYFNWWNFGLCCGLLLGVTVVVYVQDHVGWGAGDIIFTAVMALSLVIFIIGRPFYRYQVPTGSPLTPMLQVLVAAIRNRNLPYPSNPAELYEVPKSRTDKGRLLCHTESLKFLDKATIVKDREIGAENQNPWRLATVTKVEEMKLILNLIPIWLAMLPFGISVAQTATFFIKQGTTLDRNIGNGFQIPPASVYALAAIGMIVSVTIYEKILVPVLRRATGNERGIKILQRIGFGMLFSISTMVVSALVERKRLGVVAKDPVKGSLSMSVFWLAPQFIIIGVGDAFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITAVDHVTEKTGKSWFGKDLNSSRLDNFYWLLAGMTAANLILYVFLAQRYPYKNVQSSVAVADCYKDGLEGSMA >Potri.006G092000.4.v4.1 pep chromosome:Pop_tri_v4:6:6984079:6988821:-1 gene:Potri.006G092000.v4.1 transcript:Potri.006G092000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092000.v4.1 MELKKGVETRGVNEEKWVHDSSVDHKGRVPLRASTGAWKASLFIIAIEFSERLSYFGIATSLIIYLSKVMHQDLKTAARSVNYWGGVTTLMPLFGGFLADAYLGRFTTVFVSSIVYLLGLILLTMSAFVPSLKACEAAVCPKPRKVHEVVFFLAIYLISVGTGGHKPSLESFGADQFDENHDDERKKKMSYFNWWNFGLCCGLLLGVTVVVYVQDHVGWGAGDIIFTAVMALSLVIFIIGRPFYRYQVPTGSPLTPMLQVLVAAIRNRNLPYPSNPAELYEVPKSRTDKGRLLCHTESLKFLDKATIVKDREIGAENQNPWRLATVTKVEEMKLILNLIPIWLAMLPFGISVAQTATFFIKQGTTLDRNIGNGFQIPPASVYALAAIGMIVSVTIYEKILVPVLRRATGNERGIKILQRIGFGMLFSISTMVVSALVERKRLGVVAKDPVKGSLSMSVFWLAPQFIIIGVGDAFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFLSSLLITAVDHVTEKTGKSWFGKDLNSSRLDNFYWLLAGMTAANLILYVFLAQRYPYKNVQSSVAVADCYKDGLEGSMA >Potri.019G093900.1.v4.1 pep chromosome:Pop_tri_v4:19:13088083:13089433:-1 gene:Potri.019G093900.v4.1 transcript:Potri.019G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093900.v4.1 MRSNILVTIIFAIVLAGALPKNVVEAQNCGCAANLCCSQYGYCGTGNAYCGQGCKQGPCNSSPTPTTPSGSGSVADIVTPAFFNGIINQAAASCAGKNFYTRNAFLSAVNSYPQFGKLGSAEASKREIAAFFAHVTHETGHFCYIEEINGASGDYCDENNREYPCVPGKKYYGRGPLQLSWNYNYGPAGRSNNFDGLNNPDIVARDAVVSFKTALWFWMNRVRPVVSQGFGATIRAINGIECNGGNPGAVQARVRYYRDYCSQLGVSTENNLTC >Potri.007G115900.1.v4.1 pep chromosome:Pop_tri_v4:7:13569157:13571936:1 gene:Potri.007G115900.v4.1 transcript:Potri.007G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115900.v4.1 MDNIEEEIAAQNGNLEESSSAKDGSICGYESLHHLLSVNLKPHLYKEVSRLLIGLNCGKPLELIALPESAKALSSKHDFDLQAFSFDADKELVREPRVVRVGLIQNSVALPTTAPLLDQKRAIFQKLKPIIDSAGASGVNILCLQEAWMMPFAFCTREKRWCEFAEPVDGESTQFLQEYARKYNMVIINPILERDVNHGETLWNTAIIIGNRGNIIGKHRKNHIPRVGDFNESTYYMEGNTGHPVFETAYGKIAVNICYGRHHPLNWLAFGLNGAEIVFNPSATVGELSEPMWPIEARNAAIANSYFVGSINRVGTETFPNPFTSGDGKPQHADFGHFYGSSHFSAPDASCTPSLSRYKDGLLISDMDLNLCRQLKDKWGFRMTARYELYADMLARYLKPDFEPQVISDPLLHKKSL >Potri.017G013900.1.v4.1 pep chromosome:Pop_tri_v4:17:974072:976705:1 gene:Potri.017G013900.v4.1 transcript:Potri.017G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013900.v4.1 MENGTEKWSFQASKGKNSTASITVRGVLNRLAETLNKEDKREVIPLAHGDPSAFPCFRTTPVADEAIFDAVRSAKNNHYAPTVGLLPARRAAADYLNRDLPYKLSPDDVFLTLGCTQAIEIAVTVLAAIPGANVLLPRPGFPYYEARAARSCLDVRHFDLLPEKGWEVDLEAVEALADENTVAMVIINPGNPCGSVYSYQHLEKVAETARMLGIMVISDEVYGHLTFGSAPFVPMGVFASTVPVLTLGSISKRWIVPGWRMGWLVTNDPNGILQDSGIVASIKDYLNISSDPPTFIQAAVPQIIENTKDGFFSKINNILGEAADTCYGKIQDIPCIICPHKPEGSMFVMVKLNLTLLEGIDDDVDFCLKLAKEESVMVLPGIAVGMKNWLRITFAIEPSALEVGLERLKVFCQRHAKKQ >Potri.003G185688.1.v4.1 pep chromosome:Pop_tri_v4:3:19025340:19028646:1 gene:Potri.003G185688.v4.1 transcript:Potri.003G185688.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185688.v4.1 MEKMVSKLVFKMTLLMLMFQLAKAAAPVAKFGCPDRCGDITIPYPFGTGKDCYKDEWFAVECNKTTNPPRAFISQINVEVLNISVETGLATVKSPVISFNCTGRKDGGSLNLTGSPFVFSDFWNVFIAVGCDTRAFMSGIEPQVLGCVPTCGNQRNNVSLQENNMCSGRNCCEASIPSLLQVFKPTLVSTNVDQGREACKLAVLVNETWFASNISDPFALQHIDYVPTDLGWVMNVNYSHDISISCMYYNESLNSECACRRGFEGNPYLELGCIDVDECKTPEKNTCQGMLKCVNTRGGFRCGINKIYIIIIVVGSIIFILVLLFGLWWIYRLVKKRQNKELKKKFFKRNGGLLLQQQLSTSDGSVQKTKIYSSKELEVATDGFNVNRILGEGGQGTVYKGMLTDGRIIAVKKSKVIDEENLEEFINEVVILSQINHRNVVKLLGCCLETEVPILVYEFISNGNLYKYIHVQSDDFLLSWEMRLRIAIEVAGALSYLHSTASIPIYHRDIKSTNILLDEKYRATISDFGSSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLSGQKPIFSASPTESRSLATHFIMMMEDNRLFDILDARVKEHCHNEEVVAVGNLARKCLNLNGKNRPTMKEVTTELERIIKKGSNVQQDTQENENIMVDLSMQYMGCISDINNDL >Potri.T126806.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:257504:261728:1 gene:Potri.T126806.v4.1 transcript:Potri.T126806.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126806.v4.1 MAEALVGGAFLSAFLNVLLDRMASRQVVNFFSGQKINNSLLERLETAMRSASRVLDDAEEKQITSTDVWDWLAEIKDAVYKADDFLDAIAYKALRQELKAEDQTFTCHMQRFLSFFNLCKNGLGEIEEELKVLLQDLDRLVDRTVALGLITRTGKEPSSPKTPGETSLVDERGVYGRDNDEKAILKLLLSDDANGQSLGVVRIVGMGGVGKTTLAQIVYNNSEVQRCFNLKAWVCVSEDFSVSKLTKVILEEVGSKPDFESLNLLQIQLKERLLEKKFLLVLDDVWEENYAKWVSLLEPLKSGAQGSKILVTTRHERVASVMPTVPTHHLKELTEDFCWSLFAKHAFGDENSIADEELQKIGRAIAKKCKGLPLAAKSLGGLLCNERDVEEWRDILESNLWDLPKDNILPALRLSYHHLPSHLKQCFAYCAIFPKDYSFGKDELVLLWMAEGFLVHSAVEMEKAGAKCFDDLLSRSFFQQSSASRPSFLMHDLMHDLATHVSGQFCFSSRLGENNSSKATRRTRHLSLVVDTEDGDTEGVFSSTKLENIRKAQHLRTFRTFPHNRMCPPEFYKEIFRSTHCRLRVLFMTNCQDASMLSCSTSKLKHLRYLDLSGSDLVTLPEEVSALLNLQTLILESCHLLASLPDLGNLKHLRHLNLQRTRIERLPASLERLINLRYLNISDTPLKEMPPHIGQLTKLQTLTAFIVGRQSESSFKELGKLRHLRGELHIRNLQNVVDARDAVGANLKGRKHLVSLRFTWDGDTHDPQHVTSTLEKLEPNRNVKDLQIDGYGGLRFPEWVGESSFSNIVSLKLSRCKNCTSLPPLGQLTSLKDLTIEAFDKVETVDSEFYGNCTAMKKPFESLKTLRFEGMPEWREWISDEGSREAFPLLEFLSIKECPNLTKALPGHNLPRVTRLKIKGCEQLATPLPRIPRLHSLSVSGFHSLASLPEEIEQMGWSPSDLGKINIKGWASLKCISLDLFPKLTTLSISDCPDLESLCAHERPLNDLTSLHSLEIEQCPKLVSFPKGGLPAPVLTELTLRHCRNLKQLPERMPSLLPSLDFLGIFGCSEVELCPEGGFPSTLHFLWIRNCNKLIAGRMQWGLQTLTSLSVFFIGEDENVESFPEEMLLPSSLTYLNISDLQHLKSLDYKGLQHLTSLKQLNIHDCPLLESMPEEGLPSSLSALFIVDCPMLGESCERKGKYWPKISHIPILSINRAKSSFSFNRPTYFLRYCS >Potri.007G077000.6.v4.1 pep chromosome:Pop_tri_v4:7:10135597:10139054:-1 gene:Potri.007G077000.v4.1 transcript:Potri.007G077000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077000.v4.1 MARRVYHVWKGRNVLVLLFLTSARDPGIVPRNSHPPEEEICYDPSTSVDVGGRHTPTPRLPRTKEVTVNGFSVKVKYCDTCMIYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGLAMKESPASVILIVYSFIFLWFVGGLTCFHLYLIGRNQTTYENFRYGAANRHNVYDQGCFRNFLEVFCTKTNPSRNNFQAYVQEEMPMRINREVKIDDSEGDSRTKVQDNLEIDNDLLKISQRRDAVEAVNS >Potri.007G077000.4.v4.1 pep chromosome:Pop_tri_v4:7:10135566:10139061:-1 gene:Potri.007G077000.v4.1 transcript:Potri.007G077000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077000.v4.1 MARRVYHVWKGRNVLVLLFLTSARDPGIVPRNSHPPEEEICYDPSTSVDVGGRHTPTPRLPRTKEVTVNGFSVKVKYCDTCMIYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSSALLCIFIFSMSALNVKFLMDDYGSPWKAMKESPASVILIVYSFIFLWFVGGLTCFHLYLIGRNQTTYENFRYGAANRHNVYDQGCFRNFLEVFCTKTNPSRNNFQAYVQEEMPMRINREVKIDDSEGDSRTKVQDNLEIDNDLLKISQRRDAVEAVNS >Potri.007G077000.1.v4.1 pep chromosome:Pop_tri_v4:7:10135566:10139061:-1 gene:Potri.007G077000.v4.1 transcript:Potri.007G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077000.v4.1 MARRVYHVWKGRNIFFCKGRLIFGPDAKSLIITLLLILVPVVIFCTNVARNLLHEFPTSNTGYVILVVTILFTIYVLVLLFLTSARDPGIVPRNSHPPEEEICYDPSTSVDVGGRHTPTPRLPRTKEVTVNGFSVKVKYCDTCMIYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSSALLCIFIFSMSALNVKFLMDDYGSPWKAMKESPASVILIVYSFIFLWFVGGLTCFHLYLIGRNQTTYENFRYGAANRHNVYDQGCFRNFLEVFCTKTNPSRNNFQAYVQEEMPMRINREVKIDDSEGDSRTKVQDNLEIDNDLLKISQRRDAVEAVNS >Potri.007G077000.7.v4.1 pep chromosome:Pop_tri_v4:7:10135568:10138980:-1 gene:Potri.007G077000.v4.1 transcript:Potri.007G077000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077000.v4.1 MIYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGLRNYRYFFLFVSSSALLCIFIFSMSALNVKFLMDDYGSPWKAMKESPASVILIVYSFIFLWFVGGLTCFHLYLIGRNQTTYENFRYGAANRHNVYDQGCFRNFLEVFCTKTNPSRNNFQAYVQEEMPMRINREVKIDDSEGDSRTKVQDNLEIDNDLLKISQRRDAVEAVNS >Potri.007G077000.5.v4.1 pep chromosome:Pop_tri_v4:7:10135597:10139054:-1 gene:Potri.007G077000.v4.1 transcript:Potri.007G077000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077000.v4.1 MARRVYHVWKGRNIFFCKGRLIFGPDAKSLIITLLLILVPVVIFCTNVARNLLHEFPTSNTGYVILVVTILFTIYVLVLLFLTSARDPGIVPRNSHPPEEEICYDPSTSVDVGGRHTPTPRLPRTKEVTVNGFSVKVKYCDTCMIYRPPRCSHCSVCDNCVERFDHHCPWVGQCIGLAMKESPASVILIVYSFIFLWFVGGLTCFHLYLIGRNQTTYENFRYGAANRHNVYDQGCFRNFLEVFCTKTNPSRNNFQAYVQEEMPMRINREVKIDDSEGDSRTKVQDNLEIDNDLLKISQRRDAVEAVNS >Potri.015G078200.1.v4.1 pep chromosome:Pop_tri_v4:15:10446347:10449972:1 gene:Potri.015G078200.v4.1 transcript:Potri.015G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078200.v4.1 MSFITGSPKDTWQPVMTADTTTASYWLNWRFLLCAIWVLILMTIASILIWKNEHRCEVERDSGGNRQEAEAPFYDDETWRPCLKGIHPAWLLVFRLFAFFALSVLLIISVFVDGGSIFYYYTQWTFTSVTIYFGLGSLLSIRGCYQYHKRAGDKRVDNVEADPEQGTCVSPSVGQSFNTSMAMKSSNSHERLDVRQPAGKLAFIFQIIFQMNAGAVMLTDCVFWFILVPFLAIKDYHLNALIIGIHSLNAVFLLGDTALNSLRFPWFRIAYCFTWTIVYVLFQWILHATFRLWWPYPFLDLSSPYAPLWYFSVAVMHIPCYCVFAFIVKLKRTVFSRWFPDSYRSLR >Potri.017G017600.1.v4.1 pep chromosome:Pop_tri_v4:17:1289104:1291385:1 gene:Potri.017G017600.v4.1 transcript:Potri.017G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017600.v4.1 MSTRGHWRPAEDEKLRKLVDQYGPHNWNSIAEKLQGKSGKSCRLRWFNQLDPRINRSPFTEEEEERLLACQQIHGNKWAVIAKQFPGRTDNAVKNHWHIIMARKCRERSRIHAKRAASQALLVNEQTHSFSNQDVMRIVNCEPRNLAPSFFHKFCESYCDCYHRPFCLNYPTSFCNENTSHHEGKPLEFYDFLQVKTDSSKSEALDNARRDDVEVDQEARELHQRKANFPFIDFLSAGNSN >Potri.007G082100.1.v4.1 pep chromosome:Pop_tri_v4:7:10669065:10673617:-1 gene:Potri.007G082100.v4.1 transcript:Potri.007G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082100.v4.1 MDTDPSPSPSLTIQEPTPTDHSNPAVENDYNLKPTYTKKKKRIFKDTTIKPPPPSSSSSSSVTASMNRSSHVAYKRRSPKVVFAPLRHRGGVGDGNVEAIALHLGMSFAAVVAQVLERKDAAGERLSVDHLSMICTSAVRESLASVFGDKFDFFARNFQNSFGSTLSTLRLIDESSINKRPPALIHQNLDISAPDRTPNNSVGCASSTGIKDCDSETDLPATLTGDQIHILEKVEENISVGCLGQELALHRQTNQLACAPSSYPVINMFSTIERSVVEQARSNDLKEIEIGLAMKKLKLEEELLNLTSESNYLGRSKLVMGMSKASFEVDKFKTQLEDTRHAELHRKCTDCLVAGLIIMSASLSYSAYVYSYKRIKEATESCSLLHKESKFGWSVKPFSSFNSWLQVLMCQVQVVSQMAFGILIILAVAFLLVQRSSSQHRTMPVTFILLLLGAVCGFTGKLCVDTLGGSGSLWLLYWEALCALQFFSNVCPSTLFCLLHGPVTVTAIARGTKPTTICPYWLRRSLFYTTSLLLLPLCCGLLPFAGPGEWADHFLSGKTDD >Potri.007G082100.3.v4.1 pep chromosome:Pop_tri_v4:7:10669067:10673617:-1 gene:Potri.007G082100.v4.1 transcript:Potri.007G082100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082100.v4.1 MDTDPSPSPSLTIQEPTPTDHSNPAVENDYNLKPTYTKKKKRIFKDTTIKPPPPSSSSSSSVTASMNRSSHVAYKRRSPKVVFAPLRHRGGVGDGNVEAIALHLGMSFAAVVAQVLERKDAAGERLSVDHLSMICTSAVRESLASVFGDKFDFFARNFQNSFGSTLSTLRLIDESSINKRPPALIHQNLDISAPDRTPNNSVGCASSTGIKDCDSETDLPATLTGDQIHILEKVEENISVGCLGQELALHRQTNQLACAPSSYPVINMFSTIERSVVEQARSNDLKEIEIGLAMKKLKLEEELLNLTSESNYLGRSKLVMGMSKASFEVDKFKTQLEDTRHAELHRKCTDCLVAGLIIMSASLSYSAYVYSYKRIKEATESCSLLHKARTMSSC >Potri.007G082100.2.v4.1 pep chromosome:Pop_tri_v4:7:10669065:10673617:-1 gene:Potri.007G082100.v4.1 transcript:Potri.007G082100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082100.v4.1 MDTDPSPSPSLTIQEPTPTDHSNPAVENDYNLKPTYTKKKKRIFKDTTIKPPPPSSSSSSSVTASMNRSSHVAYKRRSPKVVFAPLRHRGGVGDGNVEAIALHLGMSFAAVVAQVLERKDAAGERLSVDHLSMICTSAVRESLASVFGDKFDFFARNFQNSFGSTLSTLRLIDESSINKRPPALIHQNLDISAPDRTPNNSVGCASSTGIKDCDSETDLPATLTGDQIHILEKVEENISVGCLGQELALHRQTNQLACAPSSYPVINMFSTIERSVVEQARSNDLKEIEIGLAMKKLKLEEELLNLTSESNYLGRSKLVMGMSKASFEVDKFKTQLEDTRHAELHRKCTDCLVAGLIIMSASLSYSAYVYSYKRIKEATESCSLLHKARTMSSC >Potri.005G140200.1.v4.1 pep chromosome:Pop_tri_v4:5:11099845:11108130:-1 gene:Potri.005G140200.v4.1 transcript:Potri.005G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140200.v4.1 MASPPPSPPPSASITRSEPPKDSPMIKSNQDTTLASEEVLLVIESLKKQVAADRCVYVMKRMEENRQKLVGITNHLDKLSKERKNNWISGTDNSIDLFTKRQNDALSMHGGIDSTNVDKDSHGSEEDGHASTAVLLGSSIPVKNAVRPIKLPEVNRLPPYTSWVFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEIIDEEEAKRYFVESEDYILRMTIKEAGSSDPVVESLAHCFSRSPSEVKARFEVLKKEEKAVEDSKNKDIEAQTLNSFLVKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFLAEKQSPWSYPEDNITCGSHCYKLVLKSERIASGISPQHGVIEENSICQSDGARVPISSRKKTSASSARRNVKSCQSESASSNAKNISESSDSEIGPHQDTSPTSQISPSKSMLVGKGGTCKRNSKRVAERVLSCMRKRQKKMVASDSDSVASGGLLSIDLKRRSTSHKGKEDASSSHKNAKSPTIARSRRKELMNQDSHNLVQGEFHDGLSSEMVANPPVTSSDDTLRKEEFIDEHKCKKELSDDRSWKAIEKGLFEKGVEIFGGNSCLIARNLLNGLKTCWEVFQYMTRSENRPACEAGDAGTLGEGYSKFDFNGTMVKNEARRRSRFLRRRSKVRRLKYSWKSTAYHSFRKRITERKDQPCRQYNPCSCQTACGKQCTCLLNGTCCEKYCRCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWISCGDGTLGIPSQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVTGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGGHSSGRAKKLA >Potri.005G140200.3.v4.1 pep chromosome:Pop_tri_v4:5:11099788:11107005:-1 gene:Potri.005G140200.v4.1 transcript:Potri.005G140200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140200.v4.1 MEENRQKLVGITNHLDKLSKERKNNWISGTDNSIDLFTKRQNDALSMHGGIDSTNVDKDSHGSEEDGHASTAVLLGSSIPVKNAVRPIKLPEVNRLPPYTSWVFLDRNQRMTEDQSVVGRRRIYYDQNGGEALICSDSEEEIIDEEEAKRYFVESEDYILRMTIKEAGSSDPVVESLAHCFSRSPSEVKARFEVLKKEEKAVEDSKNKDIEAQTLNSFLVKDLEAALDSFDNLFCRRCLVFDCRLHGCSQDLIFLAEKQSPWSYPEDNITCGSHCYKLVLKSERIASGISPQHGVIEENSICQSDGARVPISSRKKTSASSARRNVKSCQSESASSNAKNISESSDSEIGPHQDTSPTSQISPSKSMLVGKGGTCKRNSKRVAERVLSCMRKRQKKMVASDSDSVASGGLLSIDLKRRSTSHKGKEDASSSHKNAKSPTIARSRRKELMNQDSHNLVQGEFHDGLSSEMVANPPVTSSDDTLRKEEFIDEHKCKKELSDDRSWKAIEKGLFEKGVEIFGGNSCLIARNLLNGLKTCWEVFQYMTRSENRPACEAGDAGTLGEGYSKFDFNGTMVKNEARRRSRFLRRRSKVRRLKYSWKSTAYHSFRKRITERKDQPCRQYNPCSCQTACGKQCTCLLNGTCCEKYCRCPKSCKNRFRGCHCAKSQCRSRQCPCFAADRECDPDVCRNCWISCGDGTLGIPSQRGDNYECRNMKLLLKQQQRVLLGRSDVSGWGAFLKNSVGKHEYLGEYTGELISHREADKRGKIYDRENSSFLFNLNDQFVLDAYRKGDKLKFANHSPDPNCYAKVIMVTGDHRVGIFAKERINAGEELFYDYRYEPDRAPAWARKPEASGSKKEDGGHSSGRAKKLA >Potri.006G135500.3.v4.1 pep chromosome:Pop_tri_v4:6:11164718:11173751:1 gene:Potri.006G135500.v4.1 transcript:Potri.006G135500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135500.v4.1 MMFHAQGPLRNHCTLLAVLCGKSGDNKQKQPLSDDKPRFPFPELASAGRLEVQVLTNPSTDEFQRVLHSLEPSIVYFQGEQIEDSEEIGPLRWGDIDLSTPESLCGLFGSTLPPTVYLEIPNGEKLAEALHSKGVPYVIYWKSMFSCYAVSHFRQALLSVVQSSCSHTCDAFQLAYASFRLYCGRNNNTLASNGQKVGGKPGPQLLGDPPKFDITLPEADDQGEESSSGALPAIKIYDDDVTMRFLVCGLSCTLDACLLESLEDGLNALLNIEIRGSKLHNRTSAPPPPLQAGTFSRGVVTMRCDLSTCSSAHISLLVSGSAQTCFNDQLLENHIKNELIENSQLVHALTSFEESKSPSSEPRKSASIACGASVFEVSMKVPTWASQVLRQLAPDVSYRSLVMLGIASIQGLSVASFEKDDADRLLFFCSEQGKESHPLNTFLTRPPTWLIPPAPCRKRSEPTRETKPLTSGRGGENGGNVKHKFHVAAMRPIPHTHRHKMLPFSGFFDAERYDGEQAKPSLPPPPPKHSVVGPAPVTHRKSLSSSYQAQQIISLNPLPLKKHGCGRSPIQVCSEEEFLRDVMQFLILRGHSRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPNCSIANFKKKSQKTTNGY >Potri.007G019300.1.v4.1 pep chromosome:Pop_tri_v4:7:1470992:1472556:-1 gene:Potri.007G019300.v4.1 transcript:Potri.007G019300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019300.v4.1 MAQSISIVLVLALALLAFAPLCFCGKTSGGYLYPQFYDRSCPKAQEIVNSIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSSGSIITEKSSNPNRNSVRGFEVIDEIKSALEKECPKTVSCADIMALAARDSTVIAGGPSWEVPLGRRDSRGASLSGSNNNIPAPNNTFQTILTKFKLQGLDVVDLVALSGSHTIGNARCTSFRQRLYNQSGNGQPDSTLQQSFAAQLRTRCPRSGGDQNLFFLDFVSPRKFDNSYFNNILASKGLLSSDQVLLTKNEASMELVKKYAENNELFFEQFAKSMVKMGNISPLTGSRGEIRKSCRKINA >Potri.001G291800.1.v4.1 pep chromosome:Pop_tri_v4:1:30376684:30380454:1 gene:Potri.001G291800.v4.1 transcript:Potri.001G291800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291800.v4.1 MSVIRLRNTHCHLINMMLFLVFTLLLLSNVAASKSIIKSLPGFDGNLPFVLETGYIGVGELEAVQLFYYFIESERSPKDDPLVLWLTGGPGCSALSGIIYEIGPLSFDYAKSSGGGKPVFALNPYSWTKIANIIFVDAPVGTGFSYSTTWEGYHVSDTISAAETYEFLRKWLVDHPKFLANQLYVAGDSFSGIVAPIIVQEISDGNEVGRQPTMNLKGYVLGNPLTDHEIDTNSIVPFAHLKALISDKLYESFMKNCKGEYLNPDQSNASCMEDILAIKECIGKVYTGQILEPTCKDVSPKPVALKWDPRFLIADDADILLPRPRVPGPWCRSYNHVYIYGWANGETVRDALHIRKGTIKDWRRCNKTLAYSYNVESTVDYHRNLTKKPYRSLIYSGDHDMTIPYIGTHEWIESLNLTIKYDWEPWFVDGQVAGYAMLYADNAQDYITYDLTFATVKGGGHTAPEYRPEQCFAMMDRWFDYYPL >Potri.009G099300.1.v4.1 pep chromosome:Pop_tri_v4:9:8844674:8848962:1 gene:Potri.009G099300.v4.1 transcript:Potri.009G099300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099300.v4.1 MAQVQVPAQPQNVNGGANNPNFVTTSLYVGDLEANVTDSQLYDLFNQVGQVVSVRVCRDLTSRRSLGYGYVNYSNPQDAARALEVLNFTPVNGSPIRVMYSHRDPSVRKSGAGNIFIKNLDKAIDHKALHDTFSVFGNILSCKVATDPSGQSKGYGFVQFDSEEAAQKAIEKLNGMLLNDKQVYVGPFLRKQERDTATDKTRFNNVFVKNLSETTAEEDLNKAFGEFGTITSVVVMRDGDGKSRCFGFVNFENADDAAKAAEALNGKKFDDKEWFVGKAQKKYEREVELKQRFEQSMKEAADKFQGANLYIKNLDDSIGDEKIKELFSPFGTITSCKVMRDPNGISRGSGFVAFSTPEEASRALLEMNGKMVASKPLYVALAQRKEDRRARLQAQFSQMRPVAMAPSVGRMPMYPPTGPGLGQQIFYGQGPPAIMPPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGTGQQSQQPVQLMQQQMLPRGRVYRYPPGRGLPDVPMTGVAGGMLSVPYDMGGMPMRDAALSQPIPIGALATALANATPDQQRTMLGENLYPLVEQLEPEAAAKVTGMLLEMDQTEVLHLLESPEALKSKVNEAMEVLRTVQQQATGTADQLASLSLNDNLGP >Potri.014G175200.4.v4.1 pep chromosome:Pop_tri_v4:14:13085377:13090522:1 gene:Potri.014G175200.v4.1 transcript:Potri.014G175200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175200.v4.1 MEDSESVATLMDSTTSKIHQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKQYETKTRRAREILEKREAAVVAKEQDSLEKLQEKRDAAIFSISNALQKHRKVSSVEPAVVSYDDQFGSPAIDDQPPEAMTAESNLGEIIDPSENGNLEYPQLVELCEQMDSEGLHKFISDNRKNLAVLKEEIPLALKAAANPAQFVLNSLEDFYPKEVSNVDGKKDSTLLGVRRTCIMLMECLSILLMYADLVSVSDVISEDVKDQAKAIAEEWKPRLDSLDVDANNGNSLEAHAFLQLLATFGIASDFDEEELSRLIPMVSRRRQAAELCRFLGLSEKMPGVIEVLVNSGRQIDAVNLAFAFDLTEQFSPVTLLKSYLKEARKVSSSFKPGNASPITGQNEVNERELAALKAVIKCIEEHKLEEQYPGDPLQKRLLQLEKAKAEKKRATEAAKPQPKRPRASGVGCGPRVTSSVPERTFYPRVPERYPQYVYDRPYIYTGPADNHVPQLMSSAATYNFSPSHGNYFGNGYQYQTPYHH >Potri.014G175200.7.v4.1 pep chromosome:Pop_tri_v4:14:13085377:13090413:1 gene:Potri.014G175200.v4.1 transcript:Potri.014G175200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175200.v4.1 MEDSESVATLMDSTTSKIHQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKQYETKTRRAREILEKREAAVVAKEQDSLEKLQEKRDAAIFSISNALQKHRKVSSVEPAVVSYDDQFGSPAIDDQPPEAMTAESNLGEIIDPSENGNLEYPQLVELCEQMDSEGLHKFISDNRKNLAVLKEEIPLALKAAANPAQFVLNSLEDFYPKEVSNVDGKKDSTLLGVRRTCIMLMECLSILLMYADLVSVSDVISEDVKDQAKAIAEEWKPRLDSLDVDANNGNSLEAHAFLQLLATFGIASDFDEEELSRLIPMVSRRRQAAELCRFLGLSEKMPGVIEVLVNSGRQIDAVNLAFAFDLTEQFSPVTLLKSYLKEARKVSSSFKPGNASPITGQNEVNERELAALKAVIKCIEEHKLEEQYPGDPLQKRLLQLEKAKAEKKRATEAAKPQPKRPRASGVGCGPRVTSSVPERTFYPRVPERYPQYVYDRPYIYTGPADNHVPQLMSSAATYNFSPSHGNYFGNGYQYQTPYHH >Potri.014G175200.5.v4.1 pep chromosome:Pop_tri_v4:14:13085377:13090522:1 gene:Potri.014G175200.v4.1 transcript:Potri.014G175200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175200.v4.1 MEDSESVATLMDSTTSKIHQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKQYETKTRRAREILEKREAAVVAKEQDSLEKLQEKRDAAIFSISNALQKHRKVSSVEPAVVSYDDQFGSPAIDDQPPEAMTAESNLGEIIDPSENGNLEYPQLVELCEQMDSEGLHKFISDNRKNLAVLKEEIPLALKAAANPAQFVLNSLEDFYPKEVSNVDGKKDSTLLGVRRTCIMLMECLSILLMYADLVSVSDVISEDVKDQAKAIAEEWKPRLDSLDVDANNGNSLEAHAFLQLLATFGIASDFDEEELSRLIPMVSRRRQAAELCRFLGLSEKMPGVIEVLVNSGRQIDAVNLAFAFDLTEQFSPVTLLKSYLKEARKVSSSFKPGNASPITGQNEVNERELAALKAVIKCIEEHKLEEQYPGDPLQKRLLQLEKAKAEKKRATEAAKPQPKRPRASGVGCGPRVTSSVPERTFYPRVPERYPQYVYDRPYIYTGPADNHVPQLMSSAATYNFSPSHGNYFGNGYQYQTPYHH >Potri.014G175200.6.v4.1 pep chromosome:Pop_tri_v4:14:13085453:13090518:1 gene:Potri.014G175200.v4.1 transcript:Potri.014G175200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175200.v4.1 MEDSESVATLMDSTTSKIHQLQKAFAELESHRAVTLNLKWKELEEHFHGLERSLKRRFHELEDQEKQYETKTRRAREILEKREAAVVAKEQDSLEKLQEKRDAAIFSISNALQKHRKVSSVEPAVVSYDDQFGSPAIDDQPPEAMTAESNLGEIIDPSENGNLEYPQLVELCEQMDSEGLHKFISDNRKNLAVLKEEIPLALKAAANPAQFVLNSLEDFYPKEVSNVDGKKDSTLLGVRRTCIMLMECLSILLMYADLVSVSDVISEDVKDQAKAIAEEWKPRLDSLDVDANNGNSLEAHAFLQLLATFGIASDFDEEELSRLIPMVSRRRQAAELCRFLGLSEKMPGVIEVLVNSGRQIDAVNLAFAFDLTEQFSPVTLLKSYLKEARKVSSSFKPGNASPITGQNEVNERELAALKAVIKCIEEHKLEEQYPGDPLQKRLLQLEKAKAEKKRATEAAKPQPKRPRASGVGCGPRVTSSVPERTFYPRVPERYPQYVYDRPYIYTGPADNHVPQLMSSAATYNFSPSHGNYFGNGYQYQTPYHH >Potri.011G126800.9.v4.1 pep chromosome:Pop_tri_v4:11:15653774:15666773:1 gene:Potri.011G126800.v4.1 transcript:Potri.011G126800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126800.v4.1 MMLSASPAAVTRSSLEEMLDSLRRRDEGLEKSKDLPPALPARPTSRARLPSARHSLPTDFKVGSNGQVESKVETRVSKVKGDTKRKGKELGYKSGNFGSKKMRKDQNCVDSNPYVEENNEKVNGLVAGSVPRGKEPEWDDNIGYFIKKRLRVWCRLPNGQWGLGKIQETFGDEATISLLSGTVVKVSTAELLPANPDILEGVDDLIQLSYLNEPSVLHNIKHRYAQDLIYSKAGPVLIAVNPFKEIPIYGNEILTSYKQKAKDSPHVYAIADAAYNEMMRDEKNQSIIISGESGAGKTETAKYAMQYLAALGCGNDGMEYRILQTNCILQAFGNAKTSRNNNSSRFGKLIEIHFTTSGKIRGAKIQTFLLEKSRVVQLANDERSYHIFYQLCAGAPSTLRDRLNLRMASEYKYLNQSECLVVDGVDDGMEFHKLVDALDIVQICKDDQEQAFAMLAAVLWLGNISFQVIDNGNHVEVLANEAVENAARLINCSAQDLVLALSTHKIQAGKDFIAKKLTMQKAIDRRDALAKFIYARLFEWLVVQINKSVEMGELSTGRSISILDVYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQEEYEEDGINWTKVDFEDNQECLNLFEKKPLGLLSVLDEESNIPNATDLTFANKLKQYFNDNPCFKGERGRAFGVCHYAGEVVYDTNGFLEKNRDPMHSDFIHLLSSSGCQLPKSASLSCQSGGLESSMQSVGTKFKSQLFKLMHQLEKTTPHFIRCIKPNAKQFPDQYEDDLVSQQLRCCGVLEVVRISRYGYPTRMTHQEFAGRYGFLLMETNVSWDPLSMSVAILKKFNFLPEMYEVGYTKVYLRMGQIGRLEEQRKQFLLGIVEVQKYFRGGQARRHFHELKQGVVILQSFIRGENMRRKYNHMIKRRTANAPLAVDDQLVAALYLQSVIRGWLARKQFNSMHKMKQLTHENSNSKRKPGKKISEVKVIPQEQVDIQTSILAELQKRVVKAEVTVAQKEEENAALKDQLQQNEKRWLDYEAKMKAMEEMWQVQMASLQTSLAAARKSLAADNTAGQPGKLDSSTSPHYYDSEDYVSTESRTPGGNTPNIFANTFPDLRAVRENNGPVHAVSNLTKEFEQQKQNFDDNVKALVEVRAGQSASNMNPDEELRRLKLGFETWKKDYKVRLRETKARLHKLGHGEVDRNRRKWWRR >Potri.011G126800.8.v4.1 pep chromosome:Pop_tri_v4:11:15652865:15666777:1 gene:Potri.011G126800.v4.1 transcript:Potri.011G126800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126800.v4.1 MMLSASPAAVTRSSLEEMLDSLRRRDEGLEKSKDLPPALPARPTSRARLPSARHSLPTDFKVGSNGQVESKVETRVSKVKGDTKRKGKELGYKSGNFGSKKMRKDQNCVDSNPYVEENNEKVNGLVAGSVPRGKEPEWDDNIGYFIKKRLRVWCRLPNGQWGLGKIQETFGDEATISLLSGTVVKVSTAELLPANPDILEGVDDLIQLSYLNEPSVLHNIKHRYAQDLIYSKAGPVLIAVNPFKEIPIYGNEILTSYKQKAKDSPHVYAIADAAYNEMMRDEKNQSIIISGESGAGKTETAKYAMQYLAALGCGNDGMEYRILQTNCILQAFGNAKTSRNNNSSRFGKLIEIHFTTSGKIRGAKIQTFLLEKSRVVQLANDERSYHIFYQLCAGAPSTLRDRLNLRMASEYKYLNQSECLVVDGVDDGMEFHKLVDALDIVQICKDDQEQAFAMLAAVLWLGNISFQVIDNGNHVEVLANEAVENAARLINCSAQDLVLALSTHKIQAGKDFIAKKLTMQKAIDRRDALAKFIYARLFEWLVVQINKSVEMGELSTGRSISILDVYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQEEYEEDGINWTKVDFEDNQECLNLFEKKPLGLLSVLDEESNIPNATDLTFANKLKQYFNDNPCFKGERGRAFGVCHYAGEVVYDTNGFLEKNRDPMHSDFIHLLSSSGCQLPKSASLSCQSGGLESSMQSVGTKFKSQLFKLMHQLEKTTPHFIRCIKPNAKQFPDQYEDDLVSQQLRCCGVLEVVRISRYGYPTRMTHQEFAGRYGFLLMETNVSWDPLSMSVAILKKFNFLPEMYEVGYTKVYLRMGQIGRLEEQRKQFLLGIVEVQKYFRGGQARRHFHELKQGVVILQSFIRGENMRRKYNHMIKRRTANAPLAVDDQLVAALYLQSVIRGWLARKQFNSMHKMKQLTHENSNSKRKPGKKISEVKVIPQEQVDIQTSILAELQKRVVKAEVTVAQKEEENAALKDQLQQNEKRWLDYEAKMKAMEEMWQVQMASLQTSLAAARKSLAADNTAGQPGKLDSSTSPHYYDSEDYVSTESRTPGGNTPNIFANTFPDLRAVRENNGPVHAVSNLTKEFEQQKQNFDDNVKALVEVRAGQSASNMNPDEELRRLKLGFETWKKDYKVRLRETKARLHKLGHGEVDRNRRKWWRR >Potri.003G091500.1.v4.1 pep chromosome:Pop_tri_v4:3:11791568:11794550:1 gene:Potri.003G091500.v4.1 transcript:Potri.003G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091500.v4.1 MGDVAKDLTAGTIGGVAQLIVGHPFDTIKVKLQSQPAPLPGQAPKYAGAVDAVKQTLSAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEGLLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVTRHVLKSEGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGEDTSQLGRGSLMVAGGLAGASFWVSVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSMG >Potri.003G091500.6.v4.1 pep chromosome:Pop_tri_v4:3:11791566:11794453:1 gene:Potri.003G091500.v4.1 transcript:Potri.003G091500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091500.v4.1 MGDVAKDLTAGTIGGVAQLIVGHPFDTIKVKLQSQPAPLPGQAPKYAGAVDAVKQTLSAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEGLLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVTRHVLKSEGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGEDTSQLGRGSLMVAGGLAGASFWVSVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSMG >Potri.003G091500.2.v4.1 pep chromosome:Pop_tri_v4:3:11791584:11794449:1 gene:Potri.003G091500.v4.1 transcript:Potri.003G091500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091500.v4.1 MGDVAKDLTAGTIGGVAQLIVGHPFDTIKVKLQSQPAPLPGQAPKYAGAVDAVKQTLSAEGPRGLYKGMGAPLATVAAFNAVLFTVRGQMEGLLRSQPGAPLTVNQQVVAGAGAGVAVSFLACPTELIKCRLQAQSALASSDSAVVAVKYGGPMDVTRHVLKSEGGVRGLFKGLVPTMAREIPGNAAMFGVYELLKQSFAGGEDTSQLGRGSLMVAGGLAGASFWVSVYPTDVVKSVIQVDDYKNPKFSGSIDAFRKILASEGIKGLYKGFGPAMARSVPANAACFLAYEVTRSSMG >Potri.004G208300.1.v4.1 pep chromosome:Pop_tri_v4:4:21530909:21532836:1 gene:Potri.004G208300.v4.1 transcript:Potri.004G208300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208300.v4.1 MIDQSYPSSRACCTSLHFGLRSPKEPICQNFTVSSKGWPSNQQSYLHFSKEIRVISRRKGWSFSSLKTIISQRREEREKTRRKESHQVQIRILIVVAYQMGALKAMLLYLIIHQTWKITADDEEWKSATATYVKETNGSIVVEGACGYADLHKATYGKYSAGLSSMLFNRGSTCGACFEVRCVDHILWCLQGSPSVILTATDFCPPNYGLSADYGGWCNFPKEHFDMSEAAFTEIAEKKADIVPVQHRRVKCERRGGLRFTVSGNFHFYQVLISNVGLDGEVIAVKVKGSKTAWIPMARNWGQNWQSNVNLIGQPLSFEVTTSSRKTLTSYNVAPANWQFGQTFEGKQF >Potri.005G209900.1.v4.1 pep chromosome:Pop_tri_v4:5:21394657:21398538:-1 gene:Potri.005G209900.v4.1 transcript:Potri.005G209900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209900.v4.1 MGVEVLGLWLPNPAIRFNRPPFLHLSPPTRASLVTPASLSSPPPTIQIVGGKSTPSWQGSGNDVCGGGGEETDWYDFETDLYHWTKPLRPVQWYPGHIAKTEKELKEQLKLMDVVIEVRDARIPLSTTHPQMDAWLGNRKRILVLNREDMISKADRNAWATYFARQGIKVVLSNGQLGMGTMKLSRFAKSLAASVNVKRREKGLLPRPVRAGIVGYPNVGKSSLINRLLKRRMCPAAPRPGVTRELRWVRFGNDLELLDSPGIIPMRISDQSAAIKLAICDDIGERSYDVTDVAAILVQILTKLPTVGAKALNNRYKMDADDFCGKIFVQKLSVHLFNGDTHQAAFRILSDFRKGKFGWAALERPPR >Potri.003G092300.1.v4.1 pep chromosome:Pop_tri_v4:3:11863389:11868145:1 gene:Potri.003G092300.v4.1 transcript:Potri.003G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092300.v4.1 MASENFTDKNVVFRKLKAKSENKMCFDCNAKNPTWASVTYGIFLCIDCSAFHRSLGVHISFVRSTNLDSWSPEQLRTMSFGGNNRAQIFFKQHGWSDGGKTEAKYTSRAAELYRQLLSKEVAKSMTEDAGLPSSPVASQAAQAANGLPDVKINDTPKESSVGKQETPDIVRSPKASHTVITSSVKKPLGAKRTGKTGGLGARKLTVKPSENLYDQKPEEPALPVPSTTNNTTTKSGTSFASRFEYVDNVQPAELISGDPQVISHVSPPKSSSFFAEFGMDSGFPKKGSSNSSKVQIQETDEARKKFTNAKSISSAQYFGDQNKADADAQVSLQKFSGSSAISSADLFGHSDDQTIDLAASDLINRISFQAQQDISSLKNIAGETGKKLSSFASTFMTDLQDRIL >Potri.006G118200.2.v4.1 pep chromosome:Pop_tri_v4:6:9283524:9284072:-1 gene:Potri.006G118200.v4.1 transcript:Potri.006G118200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118200.v4.1 MQAQEKTQIEDATPEAIRSTPWIECNSTDTSGNNQLYQIYLCVDTTGKNLIEFPVFPKGKCGSEIEFRKA >Potri.012G046800.1.v4.1 pep chromosome:Pop_tri_v4:12:4274162:4275472:1 gene:Potri.012G046800.v4.1 transcript:Potri.012G046800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046800.v4.1 MFYFLCKTILHHGSGRQTTATTLMLKHKHYLLQNLFMNARCVSSDANPNQQSFPVSYLINKCGFSLGSALSASKRLTFEIPDQPDAVIHMFKRYGFCDADIFRLIKRYPRVLSCNPDKTLLPRLEFFYSKGMSNTDIAHILCGCPLLLGRSLQNHVTPNFNLLSDLLLSDNKVISAARNDPFILFRHGDRYLKPFINLLQDHGVPRTQIASLICNWPRSIGVCLNHFRKCVEEVREKGYDPSSAEFIRAVVVLSQLGKSGWERKAVVYKSWGWSEKDILVAFRKNPWSLMTSESKIMAVMKFFVEKMDCESLYLAKHPNLLMYSLEKRLIPRALVLHFLLRNKLIDKKPNLNTLFVYSEKLFLEKFVNCFDEAPQLLKLYRDQSDLAK >Potri.002G098200.1.v4.1 pep chromosome:Pop_tri_v4:2:7166899:7168412:1 gene:Potri.002G098200.v4.1 transcript:Potri.002G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098200.v4.1 MYPFKLISMHCSSRMEPSEISINSCLIASSSTNHNDIEPLDLFPGTSHSSRGPIPFLSSLINSPEAPFSENPKAIGDEDMDADVTMALRIGLPDYSGYSSINQNVKGNVNVTAKQYWIPTPEQILIGFTHFSCHVCFKTFNRYNNLQMHLWGHGSQYRRGHESLKGTQPRAMTGIPCFCCAEGCKNNIEHPRAKPLKDFRTLQTHYKRKHGLKPFMCRKCGKFLAVKGDWRTHEKNCGKRWLCVCGSDFKHKRSLKDHIKSFGLGHGPFPPSFDGVELLDDASSLPI >Potri.007G057300.1.v4.1 pep chromosome:Pop_tri_v4:7:6014439:6014957:-1 gene:Potri.007G057300.v4.1 transcript:Potri.007G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057300.v4.1 MDQIHRFSRHLLNANLPRQEERADEGGSFVGIGIAYGVIFALVILFFVSRCKCSISSRNLSSNNQQSPTTSSSCSHEPNTQTHQELVALPIFVFGEQTPPSISSIALPQLSSSESSSSFVFPDGDCAICLDDYVHGESIRVLPRCKHMFHKDCIDHWLSSRTSSCPICRAKS >Potri.004G111100.1.v4.1 pep chromosome:Pop_tri_v4:4:10145689:10147091:-1 gene:Potri.004G111100.v4.1 transcript:Potri.004G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111100.v4.1 MQPNEIAGLHYLVPPNSSPYSAHFSMTQDNSQMFQFNQFTNQSYNFQIPPQLQEFSLQASCMSSHSTSDEADEQQLSLINERKQRRMISNRESARRSRMRKQKHLDELWSQVVWLRNENHQLVDKVNHVSECHDQVVQENNQLKEEISELRQVLTDMQLSSPYPSLKGLEDITCDTAYLRAESSNQSITSSSDLLG >Potri.005G192600.7.v4.1 pep chromosome:Pop_tri_v4:5:20030795:20032322:1 gene:Potri.005G192600.v4.1 transcript:Potri.005G192600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192600.v4.1 MDSPQTTSTLELELDAFQKQVTDRFLDLSAVGPDRLLSLAWIRKLLDSFLCCQEEFRVILFNNKSLVHRPPLDRLVQEFFERTVKALDVCNAIRDGIEQIREWKKLLEIVLCALDDQRLFGEGQFRRAKKALIDLSISMLDEKDSTASTLAQRNRSFGRQQASSRDQHHRNLGHFRSLSWSVSRSWSAARQLQAIGNNLVVPRGNEVVATNGIAVAVYSMNTILLFVMWALVAAIPCQDRGLQVHFSIPKQFPWAQSVLSLHERILEESRKRDRRNACGLLRELYQMDKCTRVMSELTDWAQFPLTEEKEGEVRQKVMELANVCEVLKEGLDPLERQVREVFHRIVRSRTEGLDSLGRPNHE >Potri.005G192600.6.v4.1 pep chromosome:Pop_tri_v4:5:20030616:20032109:1 gene:Potri.005G192600.v4.1 transcript:Potri.005G192600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192600.v4.1 MPATDYQSSSASLRHSILGLRRDQVHSMDSPQTTSTLELELDAFQKQVTDRFLDLSAVGPDRLLSLAWIRKLLDSFLCCQEEFRVILFNNKSLVHRPPLDRLVQEFFERTVKALDVCNAIRDGIEQIREWKKLLEIVLCALDDQRLFGEGQFRRAKKALIDLSISMLDEKDSTASTLAQRNRSFGRQQASSRDQHHRNLGHFRSLSWSVSRSWSAARQLQAIGNNLVVPRGNEVVATNGIAVAVYSMNTILLFVMWALVAAIPCQDRGLQVHFSIPKQFPWAQSVLSLHERILEESRKRDRRNACGLLRELYQMDKCTRVMSELTDWAQFPLTEEKEGEVRQKVMELANVCEVLKEGLDPLERQVREVFHRIVRSRTEGLDSLGRPNHE >Potri.017G054902.1.v4.1 pep chromosome:Pop_tri_v4:17:4229867:4230475:1 gene:Potri.017G054902.v4.1 transcript:Potri.017G054902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054902.v4.1 MWFPQFNEELKHIRGVFEQYDEDVNGSIDLEELKKCLQKLTLTLKEEVEDLFHSCDIDNSTSSMFLEVINLLVEHSSSPPKSIHLTSKMGSPELQATFDTIVEAFLFLDKNGNGKLLKERHDQSIQRGFSLGKIPSTHHRIQN >Potri.010G130600.1.v4.1 pep chromosome:Pop_tri_v4:10:14706493:14707726:1 gene:Potri.010G130600.v4.1 transcript:Potri.010G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130600.v4.1 MVRVLMVDHVGHHIEGSVPLAIGLFISVSVLVALCAKHSIWRTQQKHQYSCAATSNSKCPTPNKSPSASPKKLWPKISNKAIPFMDRKKDGADDEDAKVPETEIKEEAGGYEEDGLWQKSILMGEKCQPPEFSGVIFYDGRGNQLPQMPRSPRASPLPSISFRAVKDAN >Potri.002G200400.4.v4.1 pep chromosome:Pop_tri_v4:2:16329293:16332454:-1 gene:Potri.002G200400.v4.1 transcript:Potri.002G200400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200400.v4.1 MKSRACVLLYHRRCPVLAVRLSKCGDAFTIGLPLAWVDDSEEISLNIQRIRTKMGELVKAHAKALMPTFGDGKEDERVIEGLTREITGLLRNSGTRLKKISASESFEDSNVRKNVQRALATELQNLSMDLRRKQSMYLKRLQQQKEGHDGVDLEMSLNANKFRSEDDGFSDVGFNEGQMLKLKKSEQFTVDRESEIKQVTESVHELAQIMKDLSVLVIDQGTIVDRIDYNIHNVASTVEEGFKQLQKVQFSLSLRLAEAGMITYSHVCIHATTHGTAHPSF >Potri.002G200400.5.v4.1 pep chromosome:Pop_tri_v4:2:16329293:16332454:-1 gene:Potri.002G200400.v4.1 transcript:Potri.002G200400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200400.v4.1 MATRNRTVVYKKHRDEVKSVRAPLSSSLPGSSGPVIEMVSASFLRSQHSSYTPLSTEDPGPSTSSGDAFTIGLPLAWVDDSEEISLNIQRIRTKMGELVKAHAKALMPTFGDGKEDERVIEGLTREITGLLRNSGTRLKKISASESFEDSNVRKNVQRALATELQNLSMDLRRKQSMYLKRLQQQKEGHDGVDLEMSLNANKFRSEDDGFSDVGFNEGQMLKLKKSEQFTVDRESEIKQVTESVHELAQIMKDLSVLVIDQGTIVDRIDYNIHNVASTVEEGFKQLQKREIRRKEEW >Potri.002G200400.1.v4.1 pep chromosome:Pop_tri_v4:2:16329293:16332454:-1 gene:Potri.002G200400.v4.1 transcript:Potri.002G200400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200400.v4.1 MATRNRTVVYKKHRDEVKSVRAPLSSSLPGSSGPVIEMVSASFLRSQHSSYTPLSTEDPGPSTSSGDAFTIGLPLAWVDDSEEISLNIQRIRTKMGELVKAHAKALMPTFGDGKEDERVIEGLTREITGLLRNSGTRLKKISASESFEDSNVRKNVQRALATELQNLSMDLRRKQSMYLKRLQQQKEGHDGVDLEMSLNANKFRSEDDGFSDVGFNEGQMLKLKKSEQFTVDRESEIKQVTESVHELAQIMKDLSVLVIDQGTIVDRIDYNIHNVASTVEEGFKQLQKAERNQKKGGMVMCATVLVIMCFIMLTLLILKESLL >Potri.002G200400.6.v4.1 pep chromosome:Pop_tri_v4:2:16329293:16332454:-1 gene:Potri.002G200400.v4.1 transcript:Potri.002G200400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200400.v4.1 MGELVKAHAKALMPTFGDGKEDERVIEGLTREITGLLRNSGTRLKKISASESFEDSNVRKNVQRALATELQNLSMDLRRKQSMYLKRLQQQKEGHDGVDLEMSLNANKFRSEDDGFSDVGFNEGQMLKLKKSEQFTVDRESEIKQVTESVHELAQIMKDLSVLVIDQGTIVDRIDYNIHNVASTVEEGFKQLQKAERNQKKGGMVMCATVLVIMCFIMLTLLILKESLL >Potri.002G200400.2.v4.1 pep chromosome:Pop_tri_v4:2:16329293:16332454:-1 gene:Potri.002G200400.v4.1 transcript:Potri.002G200400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200400.v4.1 MATRNRTVVYKKHRDEVKSVRAPLSSSLPGSSGPVIEMVSASFLRSQHSSYTPLSTEDPGPSTSSGDAFTIGLPLAWVDDSEEISLNIQRIRTKMGELVKAHAKALMPTFGDGKEDERVIEGLTREITGLLRNSGTRLKKISASESFEDSNVRKNVQRALATELQNLSMDLRRKQSMYLKRLQQQKEGHDGVDLEMSLNANKFRSEDDGFSDVGFNEGQMLKLKKSEQFTVDRESEIKQVTESVHELAQIMKDLSVLVIDQGTIVDRIDYNIHNVASTVEEGFKQLQKVQFSLSLRLAEAGMITYSHVCIHATTHGTAHPSF >Potri.002G200400.3.v4.1 pep chromosome:Pop_tri_v4:2:16329293:16332454:-1 gene:Potri.002G200400.v4.1 transcript:Potri.002G200400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200400.v4.1 MKSRACVLLYHRRCPVLAVRLSKCGDAFTIGLPLAWVDDSEEISLNIQRIRTKMGELVKAHAKALMPTFGDGKEDERVIEGLTREITGLLRNSGTRLKKISASESFEDSNVRKNVQRALATELQNLSMDLRRKQSMYLKRLQQQKEGHDGVDLEMSLNANKFRSEDDGFSDVGFNEGQMLKLKKSEQFTVDRESEIKQVTESVHELAQIMKDLSVLVIDQGTIVDRIDYNIHNVASTVEEGFKQLQKAERNQKKGGMVMCATVLVIMCFIMLTLLILKESLL >Potri.007G120200.1.v4.1 pep chromosome:Pop_tri_v4:7:13817604:13818703:-1 gene:Potri.007G120200.v4.1 transcript:Potri.007G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120200.v4.1 MVRTFTSLALMAMMLRLAMAANYTVGGPNGGWDATTNLQAWAASNQFLVGDNLIFQYGLVHDVNEVSKADYDSCQITSPLKSYSGGTTVIPLSSPGKRYFTCATPGHCAGGMKLEIDSLATSTPPPASPLTPPPASPLTPPPASPSLPSPPTTSTLPPASTDIPPASSPAPEIFNLSPSQSPEMTPTMSPSAPRTSPLTSPTPSPATAPSIDGFMKTPLASSASKESLQRSLTMGISLVIMMILLAI >Potri.010G111400.1.v4.1 pep chromosome:Pop_tri_v4:10:13138120:13140525:1 gene:Potri.010G111400.v4.1 transcript:Potri.010G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111400.v4.1 MWMINGGDSNEPSMNDFFNPKPNTTTLCTYTTATPLTYVGLKHHLAKTSEQSRGRKLKEQAEATRSSRWNPTAEQLLALEEKYSCGVRTPTTNQIQQITSELRRFGKIEGKNVFYWFQNHKARERQKHRQVQQKHNNTDHESSNKMKESGPRRTVLGVDQTNNLAPHSKCSTDHVEGPVSVNGAAIAESGTHGWSEFEERELQQMKSISLDMHAMWQTMDLSSSTPVHRLTSTMTTTASKFSSLEEHSSLLRPTKTATHANHDGEIREVQTLQLFPLCSDDGNGANGTNNDRNVPIRTINTTFTPSQFFEFLPLKN >Potri.001G068500.1.v4.1 pep chromosome:Pop_tri_v4:1:5151211:5154549:-1 gene:Potri.001G068500.v4.1 transcript:Potri.001G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068500.v4.1 MDDVEEVEGYKLEPGFEEDSREPLADISLSDSTELWLIQWPINELPDFNGKELSLSLDQDGCLGSFEASPGKSFDLVSCSAQGLDATVFLSSELETKIVGKISRQVSLVHYPDPKELEKQEAEKKSKRSYQMSAGSSLMNSSLHSGTTTPSSKLRNSQLSRGHAASTHSSRHKSSLSEAGEQSNSKQRRMHNRSGSTDRSTLDSGRGHSGHAYSGSSGLSHQGKSEEISNE >Potri.017G059600.1.v4.1 pep chromosome:Pop_tri_v4:17:4833834:4836772:-1 gene:Potri.017G059600.v4.1 transcript:Potri.017G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059600.v4.1 MEAGSSPSAAAGGGPAPFLIKTYDMVDDSSTDEIVSWSSNKNSFVVWNPPEFARLLLPTFFKHNNFSSFIRQLNTYGFRKIDPEKWEFANEDFLKDQKHLLKNIHRRKPIHSHSNPQGSLVDQERAAYEEEIDKLSRHKAKLEASILGFSQQRSSAKLHVEDLTQRIDTMQQRQEKLLSFLEKAVQNPAFVEHLACKIESMDFSAYSKKRRLPQVDHSMPTAENSFVENHCSSRPESNVIYQDFSNKLRLELSPAVSDINLISRSTQSSNEDGGSPQRKISEGNPKDGPTRTSGLLLAPETLELSDTGTSYTFKVNPAVPRDLPASGSQAQHSLQANLTSNEEVDGLISCQLNLTLASSALQVNKNPYLARMPPLRQEIVKSPESRFNESNKDSDMRVIQNMNLGNEGTTLSSSQEIPNNSQVPASAPARVNDGFWEQFLTERPGSYENEEASSNYRANSYDEQDERRLGFGVPRNAKNMEQLSL >Potri.017G059600.2.v4.1 pep chromosome:Pop_tri_v4:17:4833952:4836770:-1 gene:Potri.017G059600.v4.1 transcript:Potri.017G059600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059600.v4.1 MIWLQGFRKIDPEKWEFANEDFLKDQKHLLKNIHRRKPIHSHSNPQGSLVDQERAAYEEEIDKLSRHKAKLEASILGFSQQRSSAKLHVEDLTQRIDTMQQRQEKLLSFLEKAVQNPAFVEHLACKIESMDFSAYSKKRRLPQVDHSMPTAENSFVENHCSSRPESNVIYQDFSNKLRLELSPAVSDINLISRSTQSSNEDGGSPQRKISEGNPKDGPTRTSGLLLAPETLELSDTGTSYTFKVNPAVPRDLPASGSQAQHSLQANLTSNEEVDGLISCQLNLTLASSALQVNKNPYLARMPPLRQEIVKSPESRFNESNKDSDMRVIQNMNLGNEGTTLSSSQEIPNNSQVPASAPARVNDGFWEQFLTERPGSYENEEASSNYRANSYDEQDERRLGFGVPRNAKNMEQLSL >Potri.008G078500.6.v4.1 pep chromosome:Pop_tri_v4:8:4842486:4849798:-1 gene:Potri.008G078500.v4.1 transcript:Potri.008G078500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078500.v4.1 MVSQASNSESLDYAQAPSTPGLVEEPNLSSVQDGLACDDHLKSEDNKLTDGIESTGNASSKPNHHRDDTMNLSLGNHLNCDTVVCIPAEENGCLSGDLEINQAESPGELLSTTVNIDYLAADGMVCALDGSDNVEVINNFVCNGEVTVPSVDKINGECRESTGVRLHEPDNLEIANAVEDLSSLGKAVDANTGCPLELAGAPEGDAQAHQGPEDPDSLSKDVDGEKTHNSMGVLRACNSYMSGPDSSFHGINNDDFQLPPETQGHAPCSLEMSSGEEAFHASGISTKVQGEKCHATDVIQSVENQISELNLPGEIQADGGKQDEQPDNTFPSDNQLENLNSSLTSELPTPEKLLSVPQGLLDKPNDLLVESTPVEEIVDGGDRSSAGTNITGKKRSFTESSLTVQSLNSVDSFGVSRSKRTVDSIPDDDDLLSSILVGRRSSVLKVKTTPPAPEVASMKRARSASRPSAMKRKVLMDDSMVLHGDTIRQQLTNTEDIRRIRKKAPCTRTEILMIQRQSLDEEIFSEPVLTGMSAELTCLHSETFDLSRIEIDDSDDNNASVVAKDSSRPAVAQVNELEASTEPVICRKDVDGQPAENLIWTEKQGQMSAIVDVSDYRSSEHGILGEITEMEVDKGHVEVTDAANHTAILHFDGSHTELISGDAGDMVDGLALMDGFTGTDGSLQMDTSILPSDMMDTQVFGEVDLRDVSDGKTLDDIEVLKHHKQNIVAVETESREWELLLEESKAGAPAEIRVDFQADGSAPADDADTLLANISSEIGGCINLTSVNVDRTQDDVENDKLGDGNEDGGLAMSSGHVDKDRDSNHICNEELMMNPTFPVGSDTDFKNASLNGGDYPVSREADPQRIVDAEITYADHPADLQDVAFANDTEFLNVDDDEMGGNDDDGIPGPEDVRLLDNSGWSSRTRAVAKYLQTIFDNEGGNGRKVISVDNLLAGKTRKEASRMFFETLVLKTRDYIHVDQLKPFDSISVKPRAKLMKSDF >Potri.008G078500.5.v4.1 pep chromosome:Pop_tri_v4:8:4842540:4852135:-1 gene:Potri.008G078500.v4.1 transcript:Potri.008G078500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078500.v4.1 MDGVVYSTSQFGLDERFGDGDTSHVDLDLEEDLFLDKVAAPRSDEVSELSLQTSAESLEPKVEEDHDVIGTAEAMPVNGTRNKMVSQASNSESLDYAQAPSTPGLVEEPNLSSVQDGLACDDHLKSEDNKLTDGIESTGNASSKPNHHRDDTMNLSLGNHLNCDTVVCIPAEENGCLSGDLEINQAESPGELLSTTVNIDYLAADGMVCALDGSDNVEVINNFVCNGEVTVPSVDKINGECRESTGVRLHEPDNLEIANAVEDLSSLGKAVDANTGCPLELAGAPEGDAQAHQGPEDPDSLSKDVDGEKTHNSMGVLRACNSYMSGPDSSFHGINNDDFQLPPETQGHAPCSLEMSSGEEAFHASGISTKVQGEKCHATDVIQSVENQISELNLPGEIQADGGKQDEQPDNTFPSDNQLENLNSSLTSELPTPEKLLSVPQGLLDKPNDLLVESTPVEEIVDGGDRSSAGTNITGKKRSFTESSLTVQSLNSVDSFGVSRSKRTVDSIPDDDDLLSSILVGRRSSVLKVKTTPPAPEVASMKRARSASRPSAMKRKVLMDDSMVLHGDTIRQQLTNTEDIRRIRKKAPCTRTEILMIQRQSLDEEIFSEPVLTGMSAELTCLHSETFDLSRIEIDDSDDNNASVVAKDSSRPAVAQVNELEASTEPVICRKDVDGQPAENLIWTEKQGQMSAIVDVSDYRSSEHGILGEITEMEVDKGHVEVTDAANHTAILHFDGSHTELISGDAGDMVDGLALMDGFTGTDGSLQMDTSILPSDMMDTQVFGEVDLRDVSDGKTLDDIEVLKHHKQNIVAVETESREWELLLEESKAGAPAEIRVDFQADGSAPADDADTLLANISSEIGGCINLTSVNVDRTQDDVENDKLGDGNEDGGLAMSSGHVDKDRDSNHICNEELMMNPTFPVGSDTDFKNASLNGGDYPVSREADPQRIVDAEITYADHPADLQDVAFANDTEFLNVDDDEMGGNDDDGIPGPEDVRLLDNSGWSSRTRAVAKYLQTIFDNEGGNGRKVISVDNLLAGKTRKEASRMFFETLVLKTRDYIHVDQLKPFDSISVKPRAKLMKSDF >Potri.008G078500.1.v4.1 pep chromosome:Pop_tri_v4:8:4842542:4854877:-1 gene:Potri.008G078500.v4.1 transcript:Potri.008G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078500.v4.1 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVSYLFDDCSEALLKVKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGNYVDHHISTREQITLQDTMDGVVYSTSQFGLDERFGDGDTSHVDLDLEEDLFLDKVAAPRSDEVSELSLQTSAESLEPKVEEDHDVIGTAEAMPVNGTRNKMVSQASNSESLDYAQAPSTPGLVEEPNLSSVQDGLACDDHLKSEDNKLTDGIESTGNASSKPNHHRDDTMNLSLGNHLNCDTVVCIPAEENGCLSGDLEINQAESPGELLSTTVNIDYLAADGMVCALDGSDNVEVINNFVCNGEVTVPSVDKINGECRESTGVRLHEPDNLEIANAVEDLSSLGKAVDANTGCPLELAGAPEGDAQAHQGPEDPDSLSKDVDGEKTHNSMGVLRACNSYMSGPDSSFHGINNDDFQLPPETQGHAPCSLEMSSGEEAFHASGISTKVQGEKCHATDVIQSVENQISELNLPGEIQADGGKQDEQPDNTFPSDNQLENLNSSLTSELPTPEKLLSVPQGLLDKPNDLLVESTPVEEIVDGGDRSSAGTNITGKKRSFTESSLTVQSLNSVDSFGVSRSKRTVDSIPDDDDLLSSILVGRRSSVLKVKTTPPAPEVASMKRARSASRPSAMKRKVLMDDSMVLHGDTIRQQLTNTEDIRRIRKKAPCTRTEILMIQRQSLDEEIFSEPVLTGMSAELTCLHSETFDLSRIEIDDSDDNNASVVAKDSSRPAVAQVNELEASTEPVICRKDVDGQPAENLIWTEKQGQMSAIVDVSDYRSSEHGILGEITEMEVDKGHVEVTDAANHTAILHFDGSHTELISGDAGDMVDGLALMDGFTGTDGSLQMDTSILPSDMMDTQVFGEVDLRDVSDGKTLDDIEVLKHHKQNIVAVETESREWELLLEESKAGAPAEIRVDFQADGSAPADDADTLLANISSEIGGCINLTSVNVDRTQDDVENDKLGDGNEDGGLAMSSGHVDKDRDSNHICNEELMMNPTFPVGSDTDFKNASLNGGDYPVSREADPQRIVDAEITYADHPADLQDVAFANDTEFLNVDDDEMGGNDDDGIPGPEDVRLLDNSGWSSRTRAVAKYLQTIFDNEGGNGRKVISVDNLLAGKTRKEASRMFFETLVLKTRDYIHVDQLKPFDSISVKPRAKLMKSDF >Potri.008G078500.4.v4.1 pep chromosome:Pop_tri_v4:8:4842491:4854796:-1 gene:Potri.008G078500.v4.1 transcript:Potri.008G078500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078500.v4.1 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPDVPIALRLSSHLLLGVVRIYSRKVSYLFDDCSEALLKVKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNDIFQGNYVDHHISTREQITLQDTMDGVVYSTSQFGLDERFGDGDTSHVDLDLEEDLFLDKVAAPRSDEVSELSLQTSAESLEPKVEEDHDVIGTAEAMPVNGTRNKMVSQASNSESLDYAQAPSTPGLVEEPNLSSVQDGLACDDHLKSEDNKLTDGIESTGNASSKPNHHRDDTMNLSLGNHLNCDTVVCIPAEENGCLSGDLEINQAESPGELLSTTVNIDYLAADGMVCALDGSDNVEVINNFVCNGEVTVPSVDKINGECRESTGVRLHEPDNLEIANAVEDLSSLGKAVDANTGCPLELAGAPEGDAQAHQGPEDPDSLSKDVDGEKTHNSMGVLRACNSYMSGPDSSFHGINNDDFQLPPETQGHAPCSLEMSSGEEAFHASGISTKVQGEKCHATDVIQSVENQISELNLPGEIQADGGKQDEQPDNTFPSDNQLENLNSSLTSELPTPEKLLSVPQGLLDKPNDLLVESTPVEEIVDGGDRSSAGTNITGKKRSFTESSLTVQSLNSVDSFGVSRSKRTVDSIPDDDDLLSSILVGRRSSVLKVKTTPPAPEVASMKRARSASRPSAMKRKVLMDDSMVLHGDTIRQQLTNTEDIRRIRKKAPCTRTEILMIQRQSLDEEIFSEPVLTGMSAELTCLHSETFDLSRIEIDDSDDNNASVVAKDSSRPAVAQVNELEASTEPVICRKDVDGQPAENLIWTEKQGQMSAIVDVSDYRSSEHGILGEITEMEVDKGHVEVTDAANHTAILHFDGSHTELISGDAGDMVDGLALMDGFTGTDGSLQMDTSILPSDMMDTQVFGEVDLRDVSDGKTLDDIEVLKHHKQNIVAVETESREWELLLEESKAGAPAEIRVDFQADGSAPADDADTLLANISSEIGGCINLTSVNVDRTQDDVENDKLGDGNEDGGLAMSSGHVDKDRDSNHICNEELMMNPTFPVGSDTDFKNASLNGGDYPVSREADPQRIVDAEITYADHPAQLANREVTKYDAQDLQDVAFANDTEFLNVDDDEMGGNDDDGIPGPEDVRLLDNSGWSSRTRAVAKYLQTIFDNEGGNGRKVISVDNLLAGKTRKEASRMFFETLVLKTRDYIHVDQLKPFDSISVKPRAKLMKSDF >Potri.018G031100.2.v4.1 pep chromosome:Pop_tri_v4:18:2289949:2293576:-1 gene:Potri.018G031100.v4.1 transcript:Potri.018G031100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031100.v4.1 MPSEDACHHDVSLAISFKSKGFFASRHAYKLMLSPSFALHAFSSSSSSSSLSFPWTRNCKGLEMRGAAVLLSVLLFATNCHFAFSFIEGLVENGNFELSPKQSDMKGTQMIGRYALPKWEISGFVEYIKAGQKQGDMLLVVPEGAYAIRLGNEASIKQRLNLTKGMYYSITFSAARTCAQEETLNISVSPEWGVLPMQTMYSSNGWDSYAWAFKALISPVEFVIHNPGVEEDPACGPLIDSVAFTSLYPPRPTNKNILKNGGFEEGPYVFPNTTWGVLIPPNIEDKHSPLPGWMVESLKAVKYIDVEHFSVPQGRRAVELVAGKESAIAQVARTVIGKTYTLSFAVGDASNSCEGSMVVEAFAGKDTLKVPYESKGKGGFKRAVLKFVAVSTRTRIMFYSTFYTMRSDDFSSLCGPVIDDIKLLSLRGT >Potri.018G031100.4.v4.1 pep chromosome:Pop_tri_v4:18:2290061:2293335:-1 gene:Potri.018G031100.v4.1 transcript:Potri.018G031100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031100.v4.1 MKGTQMIGRYALPKWEISGFVEYIKAGQKQGDMLLVVPEGAYAIRLGNEASIKQRLNLTKGMYYSITFSAARTCAQEETLNISVSPEWGVLPMQTMYSSNGWDSYAWAFKALISPVEFVIHNPGVEEDPACGPLIDSVAFTSLYPPRPTNKNILKNGGFEEGPYVFPNTTWGVLIPPNIEDKHSPLPGWMVESLKAVKYIDVEHFSVPQGRRAVELVAGKESAIAQVARTVIGKTYTLSFAVGDASNSCEGSMVVEAFAGKDTLKVPYESKGKGGFKRAVLKFVAVSTRTRIMFYSTFYTMRSDDFSSLCGPVIDDIKLLSLRGT >Potri.008G174400.1.v4.1 pep chromosome:Pop_tri_v4:8:12040189:12042315:-1 gene:Potri.008G174400.v4.1 transcript:Potri.008G174400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174400.v4.1 MQFTHLPSNSNPIMDCLGGKKKSKKCEKGLRGLTEKVWLFQEEIQAIMYEREKEARAYERDMIVFAFKRAEWKQERKKLKEEVKRLRKVVEEKDDRIRVLEERSIGRESEKREEFLGTTSFLVEQMREEFLGTTSFLVEQMREERVWRDEAVDKWKKLYLAIKAELDDLIQKTHHGDGLYWRAEEEEMIEELKMEVKAKEGCIEELKAQLALAKKEEYNRAREVDILRQSLKIMSSRKASSFALSKPKLELVKQATKA >Potri.018G140500.1.v4.1 pep chromosome:Pop_tri_v4:18:14560444:14561219:-1 gene:Potri.018G140500.v4.1 transcript:Potri.018G140500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140500.v4.1 MCFVFLCDEEERELGRQQASGSCPHCGGKVQAVDFESRWRFCFLPICYKNKRKYLCTLCSRRLELYQY >Potri.004G147000.1.v4.1 pep chromosome:Pop_tri_v4:4:16929460:16932125:1 gene:Potri.004G147000.v4.1 transcript:Potri.004G147000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147000.v4.1 MSQAAVKPDGSDSPARKSCMFYKLMVASILQDKKLKIPKKFVNKYGDELSSVATLTVPCGRICLVELQKVNGKLWFHKGWHEFVECYSIRVGYFLVFIYEGKSNFNVHMFDLTVSEIKNPCNSLSQLQESSHDNPCLLPNEKDDGLEKVLGFRPPSPNLLSSITSKNCNEYIHCNWIQSTSTASLEKPHVRTDVYNMRENFQSSRDIGTQFNGMELTSTEDGAGSVIPGITRKTRGRKRMSENSIQNVKLKSTHVRNTSETLTRRRRAVTPEEKERTIRAAHMFRSDNPFFRVILRPSYVYRGFLLHIPSSFARTFLNTVTGFVTLQVSDGKQWPVRCSFKDGKAKLGQGWTEFVWENNLEEGDVCIFELIHAKEIVLKVAVFRVLEDAAPTGQLSN >Potri.005G245700.1.v4.1 pep chromosome:Pop_tri_v4:5:24055524:24056873:-1 gene:Potri.005G245700.v4.1 transcript:Potri.005G245700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245700.v4.1 MAAITNFLSKPPLSLSNTPKLSPPCLHSSFLVPFVTKEVKPLAAKLGTGRTHFMTKATAAPGTKKPVNDEKVQKIHSVEEFDEALKTAKNKLVVVEYAASHSYHSSQIYPFMVELSRTCNDVDFLLVMADESGKTRELCKREKIEKVPHFSFYKSLEKIHEEKGITQDKLMGDVLYYGDNHSAVVQLHLREDVEKLIDDHKADHKLIVLDVGLKHCGPCVKVYPTVIKLSRQMSDTVVFARMNGDENDSCMQFLKDMDVVEVPTFLFIRDGKICGRYVGSGKGELIGEILRYQGVRVTY >Potri.012G019400.1.v4.1 pep chromosome:Pop_tri_v4:12:2069966:2071019:1 gene:Potri.012G019400.v4.1 transcript:Potri.012G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019400.v4.1 MATPKTQSTTISDHQTCTKAHRFLSLLALLFIFILLTTSTKVPINPTNMAASISIKRLLLESSEPASTTMNLHPKQTQDARTSSSSTSSSKSTRTKFGAAAHEVPSGPNPISNR >Potri.017G045200.4.v4.1 pep chromosome:Pop_tri_v4:17:3180876:3182095:-1 gene:Potri.017G045200.v4.1 transcript:Potri.017G045200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045200.v4.1 MVRMQADGRLPIEQRRNYKSVVDALSQMSKQEGVASLWRGSRLTVNRAMIVTASQLASYDQAKEMILEKGLMSDGIGTHVAASFLAGFVASVASNPIDVIKTRVMNMKVEPGVEPPYKGALDCALKTVKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Potri.007G143200.2.v4.1 pep chromosome:Pop_tri_v4:7:15221235:15224288:1 gene:Potri.007G143200.v4.1 transcript:Potri.007G143200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G143200.v4.1 MKYSNNIRELWKEKKILNNLKIIDLSYSKNLVKTPNLHSSSLEKLLLEGCSSLVELYQSIGHSKSLVCLNISGCSQLTELPECMRDIESFTELLADGINNEQFLSSVGHLKCVRKLSLRGHWKGDRKLPYRPSPNSSWISAFLLTPTSTIWRVLGKLKLLNCGFSEHATNSVDFGGFSSLEELDLSRNEFFSLPSGIGILSQLRLLTVQECGNLVSIPELPSNLERLDAFGCKSMQWVRLPIQAKKYLRLSLFGCADLIEIQGMEGLSNHGWIITYVTKSKLSNNYKKSLVEALCYGGYGYQILFNRCHTFSHRDKFTMIPNWFSYRGKGTSLSFHVPPVFQGLVVGVACQCLMGILGAAKLCIQNKSNGIQLFEAYVCDSAASNLMTYISTREMAMEEYCEDEELELCVELYMGEDAEVFEFGIHVIVEKTDSFEGSEWDHESEVGRDGGIPAPPHLSQYPLYNFFEIDGKQGLSNLSKNTKDWLLQRIFNYHFREYFILSFQNGSATIGEGCSLSFVLTVASQLQDLISCML >Potri.001G383900.24.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKVDLIPADWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.28.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKDWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.22.v4.1 pep chromosome:Pop_tri_v4:1:40341515:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFT >Potri.001G383900.27.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKVDLIPADWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.11.v4.1 pep chromosome:Pop_tri_v4:1:40341515:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKVDLIPADWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFT >Potri.001G383900.30.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKDWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.34.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.34.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.32.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.32.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.37.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40342672:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.37.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MHLPQINSVMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKVDLIPADWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.31.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.31.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.23.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKVDLIPADWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.36.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.36.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.25.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKVDLIPADWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.33.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.33.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.21.v4.1 pep chromosome:Pop_tri_v4:1:40341515:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFT >Potri.001G383900.29.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKDWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.26.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKVDLIPADWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.001G383900.10.v4.1 pep chromosome:Pop_tri_v4:1:40341515:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKVDLIPADWTVSTPPTPGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFT >Potri.001G383900.35.v4.1 pep chromosome:Pop_tri_v4:1:40341070:40344645:-1 gene:Potri.001G383900.v4.1 transcript:Potri.001G383900.35.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383900.v4.1 MRCNACWRELEGRAVSTTCGHLLCTEDASKILNSDAACPICDQVLSESLMKPVEINPNDEWINMAMAGISPQILMISAYRSVMFYIGQRELEMQYKMNRVVAQCRQKCESMQEKFTEKLEQLHTAYQKIAKRCQMMEQEIDSLSKDKQELEEKFSEKSRQKRKLDEMYDQLRNEYDSMKRSAIQPANNFFSRNEPDLFSNHAATMMDNRNPIWKGPREDIWPARQNSPNSSPFDISDGSPAKQAAMRVDFGNRRPGAVHAFGAGSGNPSMTLRNLILSPIKRPQLSRSRPQMFTL >Potri.006G107100.3.v4.1 pep chromosome:Pop_tri_v4:6:8303274:8306918:1 gene:Potri.006G107100.v4.1 transcript:Potri.006G107100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107100.v4.1 MWKCFLTRTSRCFSTSAGNKALKWWDHVAPAPKDPITSVTEAFLADASPTKINLGVGAYRDDEGKPVVLQCVREAEAKIAGCDFLGIGRESVSSAVSSKLVEESVKLVYGMNSDIIKEGRFAGVQALSGTGACRLFAEFQRRFYPGSQIYMTGPTWSNHHNIWRDALVPERTFHYYHPDSKGLNFSALMDDVKNAPDGSFFLLHPCAHNPTGVDPTEEQWREISYLFKVKNHFPFFDMAYQGFASGDLDRDAQSIRIFVEDGNLIGCAQSFAKNMGLYGHRVGCLNVLCNDAKQAVAIKSQLQQIARAMYSSPPVHGILLVSGILSDPNMKALWVEEVKVMANRIQSLRTTLRKSLEQLSSSLNWEHITNQVGMFCFSGLTPEQVDRLQRGFHIYMTLDGRMSMAGVTTGNVSYLANAIHEVTKFG >Potri.006G107100.4.v4.1 pep chromosome:Pop_tri_v4:6:8303273:8307466:1 gene:Potri.006G107100.v4.1 transcript:Potri.006G107100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107100.v4.1 MWKCFLTRTSRCFSTSAGNKALKWWDHVAPAPKDPITSVTEAFLADASPTKINLGVGAYRDDEGKPVVLQCVREAEAKIAGCDFLESVSSAVSSKLVEESVKLVYGMNSDIIKEGRFAGVQALSGTGACRLFAEFQRRFYPGSQIYMTGPTWSNHHNIWRDALVPERTFHYYHPDSKGLNFSALMDDVKNAPDGSFFLLHPCAHNPTGVDPTEEQWREISYLFKVKNHFPFFDMAYQGFASGDLDRDAQSIRIFVEDGNLIGCAQSFAKNMGLYGHRVGCLNVLCNDAKQAVAIKSQLQQIARAMYSSPPVHGILLVSGILSDPNMKALWVEEVKVMANRIQSLRTTLRKSLEQLSSSLNWEHITNQVGMFCFSGLTPEQVDRLQRGFHIYMTLDGRMR >Potri.006G107100.1.v4.1 pep chromosome:Pop_tri_v4:6:8303106:8306938:1 gene:Potri.006G107100.v4.1 transcript:Potri.006G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107100.v4.1 MWKCFLTRTSRCFSTSAGNKALKWWDHVAPAPKDPITSVTEAFLADASPTKINLGVGAYRDDEGKPVVLQCVREAEAKIAGCDFLESVSSAVSSKLVEESVKLVYGMNSDIIKEGRFAGVQALSGTGACRLFAEFQRRFYPGSQIYMTGPTWSNHHNIWRDALVPERTFHYYHPDSKGLNFSALMDDVKNAPDGSFFLLHPCAHNPTGVDPTEEQWREISYLFKVKNHFPFFDMAYQGFASGDLDRDAQSIRIFVEDGNLIGCAQSFAKNMGLYGHRVGCLNVLCNDAKQAVAIKSQLQQIARAMYSSPPVHGILLVSGILSDPNMKALWVEEVKVMANRIQSLRTTLRKSLEQLSSSLNWEHITNQVGMFCFSGLTPEQVDRLQRGFHIYMTLDGRMSMAGVTTGNVSYLANAIHEVTKFG >Potri.008G025500.3.v4.1 pep chromosome:Pop_tri_v4:8:1287317:1288591:-1 gene:Potri.008G025500.v4.1 transcript:Potri.008G025500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025500.v4.1 MVQNMLSSSRIKEFRNVRDSAMDTLINRLRTEAEANSGDVWVIKNVRFAVFCILLAMCFGIEMDDETIEKMDQVMKSVLIVLDPRIDDFLPILSPFFSKQRKRASEVRKAQVNFMVSFIEKRRNAIRNPGSDKSAMSFSYLDTLFDLTFEGRKSTPSNEELVTLCSEFLNGGTDTTATAVEWGIAQLIANPEVQTKLYNEIKSTVGDRKVDEKDVEKMEYLHAVVKELLRKHPPTYFVLSHAVTEPTTLAGYDIPLDASVEFFSYGIGEDPKVWNNPEKFNPDRFISDGEDADITGVTGVKMMPFGVGRRICPGLGLATVHLHLMIARMVQEFEWTAYPPNSKLDFSGKLEFTVSMKNSLRAMIKPRV >Potri.008G025500.2.v4.1 pep chromosome:Pop_tri_v4:8:1287326:1289037:-1 gene:Potri.008G025500.v4.1 transcript:Potri.008G025500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025500.v4.1 MSLLSFSSATLDPYYHLFFTILALFISGLIFLLSRKPKSKRSHLPPGPPGWPIVGNLFQVAQSGKPFFEYVDDIRSKYGSIFTLKMGTRTMIIISDAKLAHEALIERGACFASRPKENPTRTIFSCNKFSVNAAVYGSVWRSLRRNMVQNMLSSSRIKEFRNVRDSAMDTLINRLRTEAEANSGDVWVIKNVRFAVFCILLAMCFGIEMDDETIEKMDQVMKSVLIVLDPRIDDFLPILSPFFSKQRKRASEVRKAQVNFMVSFIEKRRNAIRNPGSDKSAMSFSYLDTLFDLTFEGRKSTPSNEELVTLCSEFLNGGTDTTATAVEWGIAQLIANPEVQTKLYNEIKSTVGDRKVDEKDVEKMEYLHAVVKELLRKHPPTYFVLSHAVTEPTTLAGYDIPLDASVEFFSYGIGEDPKVWNNPEKFNPDRFISDGEDADITGVTGVKMMPFGVGRRICPGLGLATVHLHLMIARMVQEFEWTAYPPNSKLDFSGKLEFTVSMKNSLRAMIKPRV >Potri.015G010900.6.v4.1 pep chromosome:Pop_tri_v4:15:704421:709857:1 gene:Potri.015G010900.v4.1 transcript:Potri.015G010900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010900.v4.1 MAKDQSTVVKDTIYKLQLSLLDGIQNEDQLFAAGSIMSHSDYEDVVTERTIANLCGYPLCGNSLPSDRPQKGRYRISLKEHKVYDLHETYMYCSSSCVINSRTFSGSLQEERCLVLNPAKLNEVLMLFDNFSLGSEGSLGKNGDLGFSNLKIEEKTEKVEGEVSFEQWIGPSNAIEGYVPQRDRNSKSLPLKNHKEGLEEDFIIDDMDFTSSIITQDEYSISKTPSGLTDTNTDKKTQKPKAKGSHKGSKGSKAKGTKQSSKQESFINDMNFTSTIIITQDEYSISKSPSGLAGTTSKTKIQKQKEKVSQKSSENQSSATRKVGSSKTSRKVKEDRSKVAIKDELSSQDLSSPFDSCQTSSITITAEAKEKSVSEKAAKPVESSLKPSLKTSGAKQLTRSVTWADEKVGSSGSRDLCEVRGMEDTKAGPEIVDNIDKRDDGYVSKFESAEACAKALSQAAEAVASGDADAMSEAGLVILPQPHDLDQGDPMEDVDVLDEESSTIKWPGKPGIPQSECFDPENSWYDAPPEGFSLELSSFATIWMALFAWVTSSSLAYVYGKDESSHEEYLMVNGREYPRKIVLGDGRSFEIQQTIEGCLGRAFPVVVADLRLPIPISTLEQGAANLLGTMSFVDAVPAFRMKQWQVIALLFIEALSVCRIPALISYMDNRRMVIQKVVDGVRMSAEEYEVMKDLMIPLGRAPQFSPQSGA >Potri.015G010900.9.v4.1 pep chromosome:Pop_tri_v4:15:704304:709859:1 gene:Potri.015G010900.v4.1 transcript:Potri.015G010900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010900.v4.1 MAKDQSTVVKDTIYKLQLSLLDGIQNEDQLFAAGSIMSHSDYEDVVTERTIANLCGYPLCGNSLPSDRPQKGRYRISLKEHKVYDLHETYMYCSSSCVINSRTFSGSLQEERCLVLNPAKLNEVLMLFDNFSLGSEGSLGKNGDLGFSNLKIEEKTEKVEGEVSFEQWIGPSNAIEGYVPQRDRNSKSLPLKNHKEGLEEDFIIDDMDFTSSIITQDEYSISKTPSGLTDTNTDKKTQKPKAKGSHKGSKGSKAKGTKQSSKQESFINDMNFTSTIIITQDEYSISKSPSGLAGTTSKTKIQKQKEKVSQKSSENQSSATRKVGSSKTSRKVKEDRSKVAIKDELSSQDLSSPFDSCQTSSITITAEAKEKSVSEKAAKPVESSLKPSLKTSGAKQLTRSVTWADEKVGSSGSRDLCEVRGMEDTKAGPEIVDNIDKRDDGYVSKFESAEACAKALSQAAEAVASGDADASNALSEAGLVILPQPHDLDQGDPMEDVDVLDEESSTIKWPGKPGIPQSECFDPENSWYDAPPEGFSLELSSFATIWMALFAWVTSSSLAYVYGKDESSHEEYLMVNGREYPRKIVLGDGRSFEIQQTIEGCLGRAFPVVVADLRLPIPISTLEQGAANLLGTMSFVDAVPAFRMKQWQVIALLFIEALSVCRIPALISYMDNRRMVIQKVVDGVRMSAEEYEVMKDLMIPLGRAPQFSPQSGA >Potri.015G010900.8.v4.1 pep chromosome:Pop_tri_v4:15:704302:709861:1 gene:Potri.015G010900.v4.1 transcript:Potri.015G010900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010900.v4.1 MAKDQSTVVKDTIYKLQLSLLDGIQNEDQLFAAGSIMSHSDYEDVVTERTIANLCGYPLCGNSLPSDRPQKGRYRISLKEHKVYDLHETYMYCSSSCVINSRTFSGSLQEERCLVLNPAKLNEVLMLFDNFSLGSEGSLGKNGDLGFSNLKIEEKTEKVEGEVSFEQWIGPSNAIEGYVPQRDRNSKSLPLKNHKEGLEEDFIIDDMDFTSSIITQDEYSISKTPSGLTDTNTDKKTQKPKAKGSHKGSKGSKAKGTKQSSKQESFINDMNFTSTIIITQDEYSISKSPSGLAGTTSKTKIQKQKEKVSQKSSENQSSATRKVGSSKTSRKVKEDRSKVAIKDELSSQDLSSPFDSCQTSSITITAEAKEKSVSEKAAKPVESSLKPSLKTSGAKQLTRSVTWADEKVGSSGSRDLCEVRGMEDTKAGPEIVDNIDKRDDGYVSKFESAEACAKALSQAAEAVASGDADASNALSEAGLVILPQPHDLDQGDPMEDVDVLDEESSTIKWPGKPGIPQSECFDPENSWYDAPPEGFSLELSSFATIWMALFAWVTSSSLAYVYGKDESSHEEYLMVNGREYPRKIVLGDGRSFEIQQTIEGCLGRAFPVVVADLRLPIPISTLEQGAANLLGTMSFVDAVPAFRMKQWQVIALLFIEALSVCRIPALISYMDNRRMVIQKVVDGVRMSAEEYEVMKDLMIPLGRAPQFSPQSGA >Potri.015G010900.7.v4.1 pep chromosome:Pop_tri_v4:15:704415:709861:1 gene:Potri.015G010900.v4.1 transcript:Potri.015G010900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010900.v4.1 MAKDQSTVVKDTIYKLQLSLLDGIQNEDQLFAAGSIMSHSDYEDVVTERTIANLCGYPLCGNSLPSDRPQKGRYRISLKEHKVYDLHETYMYCSSSCVINSRTFSGSLQEERCLVLNPAKLNEVLMLFDNFSLGSEGSLGKNGDLGFSNLKIEEKTEKVEGEVSFEQWIGPSNAIEGYVPQRDRNSKSLPLKNHKEGLEEDFIIDDMDFTSSIITQDEYSISKTPSGLTDTNTDKKTQKPKAKGSHKGSKGSKAKGTKQSSKQESFINDMNFTSTIIITQDEYSISKSPSGLAGTTSKTKIQKQKEKVSQKSSENQSSATRKVGSSKTSRKVKEDRSKVAIKDELSSQDLSSPFDSCQTSSITITAEAKEKSVSEKAAKPVESSLKPSLKTSGAKQLTRSVTWADEKVGSSGSRDLCEVRGMEDTKAGPEIVDNIDKRDDGYVSKFESAEACAKALSQAAEAVASGDADASNALSEAGLVILPQPHDLDQGDPMEDVDVLDEESSTIKWPGKPGIPQSECFDPENSWYDAPPEGFSLELSSFATIWMALFAWVTSSSLAYVYGKDESSHEEYLMVNGREYPRKIVLGDGRSFEIQQTIEGCLGRAFPVVVADLRLPIPISTLEQGAANLLGTMSFVDAVPAFRMKQWQVIALLFIEALSVCRIPALISYMDNRRMVIQKVVDGVRMSAEEYEVMKDLMIPLGRAPQFSPQSGA >Potri.015G010900.5.v4.1 pep chromosome:Pop_tri_v4:15:704426:709857:1 gene:Potri.015G010900.v4.1 transcript:Potri.015G010900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010900.v4.1 MAKDQSTVVKDTIYKLQLSLLDGIQNEDQLFAAGSIMSHSDYEDVVTERTIANLCGYPLCGNSLPSDRPQKGRYRISLKEHKVYDLHETYMYCSSSCVINSRTFSGSLQEERCLVLNPAKLNEVLMLFDNFSLGSEGSLGKNGDLGFSNLKIEEKTEKVEGEVSFEQWIGPSNAIEGYVPQRDRNSKSLPLKNHKEGLEEDFIIDDMDFTSSIITQDEYSISKTPSGLTDTNTDKKTQKPKAKGSHKGSKGSKAKGTKQSSKQESFINDMNFTSTIIITQDEYSISKSPSGLAGTTSKTKIQKQKEKVSQKSSENQSSATRKVGSSKTSRKVKEDRSKVAIKDELSSQDLSSPFDSCQTSSITITAEAKEKSVSEKAAKPVESSLKPSLKTSGAKQLTRSVTWADEKVGSSGSRDLCEVRGMEDTKAGPEIVDNIDKRDDGYVSKFESAEACAKALSQAAEAVASGDADAMSEAGLVILPQPHDLDQGDPMEDVDVLDEESSTIKWPGKPGIPQSECFDPENSWYDAPPEGFSLELSSFATIWMALFAWVTSSSLAYVYGKDESSHEEYLMVNGREYPRKIVLGDGRSFEIQQTIEGCLGRAFPVVVADLRLPIPISTLEQGAANLLGTMSFVDAVPAFRMKQWQVIALLFIEALSVCRIPALISYMDNRRMVIQKVVDGVRMSAEEYEVMKDLMIPLGRAPQFSPQSGA >Potri.001G387600.3.v4.1 pep chromosome:Pop_tri_v4:1:40667097:40673216:1 gene:Potri.001G387600.v4.1 transcript:Potri.001G387600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387600.v4.1 MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGGQFVPVGGLAYYITAPSSLADMAANPFHALFYFVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >Potri.001G387600.4.v4.1 pep chromosome:Pop_tri_v4:1:40667097:40673326:1 gene:Potri.001G387600.v4.1 transcript:Potri.001G387600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387600.v4.1 MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGGQFVPVGGLAYYITAPSSLADMAANPFHALFYFVFMLSACALFSKTWIEVSGSSAKDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >Potri.008G138600.1.v4.1 pep chromosome:Pop_tri_v4:8:9324954:9327111:1 gene:Potri.008G138600.v4.1 transcript:Potri.008G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138600.v4.1 MDQIKGRVCVTGASGYLASWLVKRLLLSGYHVTGTVRDPENEKKVAHLWRLEGAKERLRLVKADLMEEGSFDDAIMECRGVFHTASPVLKPSSDPKAEILEPAIEGTLNVLRSCKRNPSLKRVILTSSSSTLRVRDDFDSNIPLDESSWSSVELCERLQIWYALSKTLAEKAAWEFCNGNGIDLITVLPSFVIGPSLSPDLCSTATDVLGLLTGESEKFHWHGRMGYVHIDDVALSHILVYEDETAGGRYLCSSIVLDNDELASFLSQRYPSLPIPKRFEQLRRPYYEFNTSRLERLGFKFKPIQEMFDDCIASLVEQGHLSSFSLAIN >Potri.008G138600.3.v4.1 pep chromosome:Pop_tri_v4:8:9325586:9327111:1 gene:Potri.008G138600.v4.1 transcript:Potri.008G138600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138600.v4.1 MVAHIKWISPSHPQNNHSFDNSLQAEILEPAIEGTLNVLRSCKRNPSLKRVILTSSSSTLRVRDDFDSNIPLDESSWSSVELCERLQIWYALSKTLAEKAAWEFCNGNGIDLITVLPSFVIGPSLSPDLCSTATDVLGLLTGESEKFHWHGRMGYVHIDDVALSHILVYEDETAGGRYLCSSIVLDNDELASFLSQRYPSLPIPKRFEQLRRPYYEFNTSRLERLGFKFKPIQEMFDDCIASLVEQGHLSSFSLAIN >Potri.015G073000.2.v4.1 pep chromosome:Pop_tri_v4:15:9870686:9876954:-1 gene:Potri.015G073000.v4.1 transcript:Potri.015G073000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073000.v4.1 MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLVPVTMAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIKPAQKQKERKKKPRRGCLLSVPSFSALLRVFF >Potri.015G073000.1.v4.1 pep chromosome:Pop_tri_v4:15:9870685:9876960:-1 gene:Potri.015G073000.v4.1 transcript:Potri.015G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073000.v4.1 MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAFSLVSRASYENVLKKWIPELQHYAPGVPVVLVGTKLDLREDKHYLADHPGLVPVTMAQGEELRKQIGAAYYIECSSKTQQNVKAVFDAAIKVVIKPAQKQKERKKKPRRGCLLNVFCGRRLLCLE >Potri.005G013600.1.v4.1 pep chromosome:Pop_tri_v4:5:1086450:1088502:1 gene:Potri.005G013600.v4.1 transcript:Potri.005G013600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013600.v4.1 MEKLVSSWNNVQTVPEKYIFPPEKRPGNVTFNASKSIPVIDLEAIAISQDRDATIQKILKAGQDYGFFQVINHGVAEDLMNDTMSVFKEFFELPEEDKTSFYCEDAMRNNHCILYTSSLLYATEDVHLWRDNLRNSCHPLEECIQHWPEKPTRYRHVVGAYATEVKKLAATILELLCEGLGLESGHFGGKLSEIPSLLVNHYPPCPDPSLTLGVSEHCDPNLITILQQDSDVFGLQVLKNGEWIGVEPISNAFVVNMGYQMQIVSNNKLRSVEHRAVTNSEKARTSVAMFFIPNGDSIVEPAKALVDPRNPAIYKSFQYKEFMSHFLKKTGGTDVALEAFKLQAN >Potri.006G004750.2.v4.1 pep chromosome:Pop_tri_v4:6:347338:362013:-1 gene:Potri.006G004750.v4.1 transcript:Potri.006G004750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004750.v4.1 MEEEKKLEEKLKEVGSKLETLPSTKDGVIKLLKQAAACLSEMDQSPLVSVSESTQPFLDAIVKPDLLKHQDRDVKLLVATCICEITRITAPEAPYSDEVLKDIFHLIVGTFSGLSDTGSPSFGRRVVILETLAKYRSCVVMLDLECNDLVNKMCSTFFTVASDDHQESVLSSMETIVVVLIEESEDVREDLLLIILSVLGRNRNDISMAGRKLALNVIEHCAGKLEAGIKQFLISSMSEDSRLENCKIDYHEVIYDIYRCAPQILSGAIPYLTGELLTDQLDTRLKAVGLVGDLFALPGSAITETFQSIFSEFLKRLTDRVVAVRMCVLERVKSCLLSNPFRAEAAQIISALCDRLLDYDENVRKQVVDVLCDVACHTLNSVPVETIKLVAERLRDKSQLVKRYTMERLAEIFRVYCVKSSDGSVNPGEFDWIPGRILRCLYDKDFRSDTIEFVLCGSLFPTECAAEDRSKHWVSVFSVLDKVEVKALEKILEQKQRLQQEILRYLSLRQMRQDGDTPEIQKKILFCFRIMSRSFAEPAKTEENFQILDQLKDVNIWKILTNLLDPNTSFHQACTGRDDLLKILGEKHRLHDFLSSLSMKCSYLLVNKEHVKEIILDVNKHNSAGNMNFTKSCLDLLVILARFSPLLLGGSGEELINFLKDDNEIIKEGALHVLAKAGGTIREQLAESSSSIDLMLERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETRENEIEEFIKSKILERSSKPEDNTKACWDDRSELCLLKVYGLKTLVKSYLPVKDVQLRRGIDGLLEILRNILLFGEISKDIESSSVDKAHLRFASAKAVLRLSKHWDQKIPVDLFHLTLRTPEIAFPQARKLFLIKVHQYIKDRVLDTKYACAFLFNTTGSKSLDFEEEKQNLADIIQMHQQARTRQVSVQSDANPSAVYPEYIIPYLVHALAHQSCPNVNECKDVKAFEPIYRQLYLIVSMLVHKDEGVKLEAGTDKEKEKEKEKEKEKEKEKDKEEKEKEKDNDKDKETNSLIASIFQNIKCSEDVVDREKSKNSHAISVLGLSIIKRLVQKEDESLLPSVSLPPMLYKTYEYKEGEEALANEGKVWLADESVLTHFESLKFETDGNASSHAARDEDVNDSEREANEVPLGKMIKQLKSQGNKGGKTKKNSSSLAKAKDAENDVDILKMVREINLDNLGLSNKFESSNGHKDPSEKTKSESEHQKVKKGNITVTPVPVPKRRRSLSAHSASRLPRSSLMAPSRAPEDDSSPDLKGKKLKAERTGSELLVYSIQKKKNVTSKLKGKNSELGDNGRENEVGESDDDIPVQPGMLMETDKINTTNSPQSLTSSMKKRKRRSVAGLAKCPTKKSGIDIEDIIGYRIKVWWPMDKKFYGGTIKSYDPLKRKHVILYDDGDIEVLRLDKERWELADNGPKRTKKSISFKRTPSKDMSPAPKNRSPSSLSQNKKSVTIVKKKRTPTKNSKRVYKEPKDKVDSDVSSPEHAVASEGDKLKLDDPKGDHAEKVSQGMTDVEESDKEVVSISKGKHLEDTEERSNNSEESDGEEKSNFEAEVSEDMESTPQDDKKGDDGEESHSEEKEVDESSEALGVEANKEKSDSEGNQDVDIRKPSRKPKKLSKKSSNAEDADISDDEPLSKWMHKTGNTASRR >Potri.006G004750.3.v4.1 pep chromosome:Pop_tri_v4:6:347349:362009:-1 gene:Potri.006G004750.v4.1 transcript:Potri.006G004750.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004750.v4.1 MEEEKKLEEKLKEVGSKLETLPSTKDGVIKLLKQAAACLSEMDQSPLVSVSESTQPFLDAIVKPDLLKHQDRDVKLLVATCICEITRITAPEAPYSDEVLKDIFHLIVGTFSGLSDTGSPSFGRRVVILETLAKYRSCVVMLDLECNDLVNKMCSTFFTVASDDHQESVLSSMETIVVVLIEESEDVREDLLLIILSVLGRNRNDISMAGRKLALNVIEHCAGKLEAGIKQFLISSMSEDSRLENCKIDYHEVIYDIYRCAPQILSGAIPYLTGELLTDQLDTRLKAVGLVGDLFALPGSAITETFQSIFSEFLKRLTDRVVAVRMCVLERVKSCLLSNPFRAEAAQIISALCDRLLDYDENVRKQVVDVLCDVACHTLNSVPVETIKLVAERLRDKSQLVKRYTMERLAEIFRVYCVKSSDGSVNPGEFDWIPGRILRCLYDKDFRSDTIEFVLCGSLFPTECAAEDRSKHWVSVFSVLDKVEVKALEKILEQKQRLQQEILRYLSLRQMRQDGDTPEIQKKILFCFRIMSRSFAEPAKTEENFQILDQLKDVNIWKILTNLLDPNTSFHQACTGRDDLLKILGEKHRLHDFLSSLSMKCSYLLVNKEHVKEIILDVNKHNSAGNMNFTKSCLDLLVILARFSPLLLGGSGEELINFLKDDNEIIKEGALHVLAKAGGTIREQLAESSSSIDLMLERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETRENEIEEFIKSKILERSSKPEDNTKACWDDRSELCLLKVYGLKTLVKSYLPVKDVQLRRGIDGLLEILRNILLFGEISKDIESSSVDKAHLRFASAKAVLRLSKHWDQKIPVDLFHLTLRTPEIAFPQARKLFLIKVHQYIKDRVLDTKYACAFLFNTTGSKSLDFEEEKQNLADIIQMHQQARTRQVSVQSDANPSAVYPEYIIPYLVHALAHQSCPNVNECKDVKAFEPIYRQLYLIVSMLVHKDEGVKLEAGTDKEKEKEKEKEKEKEKEKDKEEKEKEKDNDKDKETNSLIASIFQNIKCSEDVVDREKSKNSHAISVLGLSIIKRLVQKEDESLLPSVSLPPMLYKTYEYKEGEEALANEGKVWLADESVLTHFESLKFETDGNASSHAARDEDVNDSEREANEVPLGKMIKQLKSQGNKGGKTKKNSSSLAKAKDAENDVDILKMVREINLDNLGLSNKFESSNGHKDPSEKTKSESEHQKVKKGNITVTPVPVPKRRRSLSAHSASRLPRSSLMAPSRAPEDDSSPDLKGKKLKAERTGSELLVYSIQKKKNVTSKLKGKNSELGDNGRENEVGESDDDIPVPGMLMETDKINTTNSPQSLTSSMKKRKRRSVAGLAKCPTKKSGIDIEDIIGYRIKVWWPMDKKFYGGTIKSYDPLKRKHVILYDDGDIEVLRLDKERWELADNGPKRTKKSISFKRTPSKDMSPAPKNRSPSSLSQNKKSVTIVKKKRTPTKNSKRVYKEPKDKVDSDVSSPEHAVASEGDKLKLDDPKGDHAEKVSQGMTDVEESDKEVVSISKGKHLEDTEERSNNSEESDGEEKSNFEAEVSEDMESTPQDDKKGDDGEESHSEEKEVDESSEALGVEANKEKSDSEGNQDVDIRKPSRKPKKLSKKSSNAEDADISDDEPLSKWMHKTGNTASRR >Potri.006G004750.1.v4.1 pep chromosome:Pop_tri_v4:6:347990:362089:-1 gene:Potri.006G004750.v4.1 transcript:Potri.006G004750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004750.v4.1 MEEEKKLEEKLKEVGSKLETLPSTKDGVIKLLKQAAACLSEMDQSPLVSVSESTQPFLDAIVKPDLLKHQDRDVKLLVATCICEITRITAPEAPYSDEVLKDIFHLIVGTFSGLSDTGSPSFGRRVVILETLAKYRSCVVMLDLECNDLVNKMCSTFFTVASDDHQESVLSSMETIVVVLIEESEDVREDLLLIILSVLGRNRNDISMAGRKLALNVIEHCAGKLEAGIKQFLISSMSEDSRLENCKIDYHEVIYDIYRCAPQILSGAIPYLTGELLTDQLDTRLKAVGLVGDLFALPGSAITETFQSIFSEFLKRLTDRVVAVRMCVLERVKSCLLSNPFRAEAAQIISALCDRLLDYDENVRKQVVDVLCDVACHTLNSVPVETIKLVAERLRDKSQLVKRYTMERLAEIFRVYCVKSSDGSVNPGEFDWIPGRILRCLYDKDFRSDTIEFVLCGSLFPTECAAEDRSKHWVSVFSVLDKVEVKALEKILEQKQRLQQEILRYLSLRQMRQDGDTPEIQKKILFCFRIMSRSFAEPAKTEENFQILDQLKDVNIWKILTNLLDPNTSFHQACTGRDDLLKILGEKHRLHDFLSSLSMKCSYLLVNKEHVKEIILDVNKHNSAGNMNFTKSCLDLLVILARFSPLLLGGSGEELINFLKDDNEIIKEGALHVLAKAGGTIREQLAESSSSIDLMLERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETRENEIEEFIKSKILERSSKPEDNTKACWDDRSELCLLKVYGLKTLVKSYLPVKDVQLRRGIDGLLEILRNILLFGEISKDIESSSVDKAHLRFASAKAVLRLSKHWDQKIPVDLFHLTLRTPEIAFPQARKLFLIKVHQYIKDRVLDTKYACAFLFNTTGSKSLDFEEEKQNLADIIQMHQQARTRQVSVQSDANPSAVYPEYIIPYLVHALAHQSCPNVNECKDVKAFEPIYRQLYLIVSMLVHKDEGVKLEAGTDKEKEKEKEKEKEKEKEKDKEEKEKEKDNDKDKETNSLIASIFQNIKCSEDVVDREKSKNSHAISVLGLSIIKRLVQKEDESLLPSVSLPPMLYKTYEYKEGEEALANEGKVWLADESVLTHFESLKFETDGNASSHAARDEDVNDSEREANEVPLGKMIKQLKSQGNKGGKTKKNSSSLAKAKDAENDVDILKMVREINLDNLGLSNKFESSNGHKDPSEKTKSESEHQKVKKGNITVTPVPVPKRRRSLSAHSASRLPRSSLMAPSRAPEDDSSPDLKGKKLKAERTGSELLVYSIQKKKNVTSKLKGKNSELGDNGRENEVGESDDDIPVQPGMLMETDKINTTNSPQSLTSSMKKRKRRSVAGLAKCPTKKSGIDIEDIIGYRIKVWWPMDKKFYGGTIKSYDPLKRKHVILYDDGDIEVLRLDKERWELADNGPKRTKKSISFKRTPSKDMSPAPKNRSPSSLSQNKKSVTIVKKKRTPTKNSKRVYKEPKDKVDSDVSSPEHAVASEGDKLKLDDPKGDHAEKVSQGMTDVEESDKEVVSISKGKHLEDTEERSNNSEESDGEEKSNFEAEVSEDMESTPQDDKKGDDGEESHSEEKEVDESSEALGVEANKEKSDSEGNQDVDIRKPSRKPKKLSKKSSNAEDADISDDEPLVLASNPLFSLCIIILEKFYDSKSSCE >Potri.006G004750.4.v4.1 pep chromosome:Pop_tri_v4:6:347380:358923:-1 gene:Potri.006G004750.v4.1 transcript:Potri.006G004750.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004750.v4.1 MCVLERVKSCLLSNPFRAEAAQIISALCDRLLDYDENVRKQVVDVLCDVACHTLNSVPVETIKLVAERLRDKSQLVKRYTMERLAEIFRVYCVKSSDGSVNPGEFDWIPGRILRCLYDKDFRSDTIEFVLCGSLFPTECAAEDRSKHWVSVFSVLDKVEVKALEKILEQKQRLQQEILRYLSLRQMRQDGDTPEIQKKILFCFRIMSRSFAEPAKTEENFQILDQLKDVNIWKILTNLLDPNTSFHQACTGRDDLLKILGEKHRLHDFLSSLSMKCSYLLVNKEHVKEIILDVNKHNSAGNMNFTKSCLDLLVILARFSPLLLGGSGEELINFLKDDNEIIKEGALHVLAKAGGTIREQLAESSSSIDLMLERLCLEGSRRQAKYAVHALAAITKDDGLKSLSVLYKRLVDMLEEKTHLPAVLQSLGCIAQTAMPVFETRENEIEEFIKSKILERSSKPEDNTKACWDDRSELCLLKVYGLKTLVKSYLPVKDVQLRRGIDGLLEILRNILLFGEISKDIESSSVDKAHLRFASAKAVLRLSKHWDQKIPVDLFHLTLRTPEIAFPQARKLFLIKVHQYIKDRVLDTKYACAFLFNTTGSKSLDFEEEKQNLADIIQMHQQARTRQVSVQSDANPSAVYPEYIIPYLVHALAHQSCPNVNECKDVKAFEPIYRQLYLIVSMLVHKDEGVKLEAGTDKEKEKEKEKEKEKEKEKDKEEKEKEKDNDKDKETNSLIASIFQNIKCSEDVVDREKSKNSHAISVLGLSIIKRLVQKEDESLLPSVSLPPMLYKTYEYKEGEEALANEGKVWLADESVLTHFESLKFETDGNASSHAARDEDVNDSEREANEVPLGKMIKQLKSQGNKGGKTKKNSSSLAKAKDAENDVDILKMVREINLDNLGLSNKFESSNGHKDPSEKTKSESEHQKVKKGNITVTPVPVPKRRRSLSAHSASRLPRSSLMAPSRAPEDDSSPDLKGKKLKAERTGSELLVYSIQKKKNVTSKLKGKNSELGDNGRENEVGESDDDIPVPGMLMETDKINTTNSPQSLTSSMKKRKRRSVAGLAKCPTKKSGIDIEDIIGYRIKVWWPMDKKFYGGTIKSYDPLKRKHVILYDDGDIEVLRLDKERWELADNGPKRTKKSISFKRTPSKDMSPAPKNRSPSSLSQNKKSVTIVKKKRTPTKNSKRVYKEPKDKVDSDVSSPEHAVASEGDKLKLDDPKGDHAEKVSQGMTDVEESDKEVVSISKGKHLEDTEERSNNSEESDGEEKSNFEAEVSEDMESTPQDDKKGDDGEESHSEEKEVDESSEALGVEANKEKSDSEGNQDVDIRKPSRKPKKLSKKSSNAEDADISDDEPLSKWMHKTGNTASRR >Potri.016G100100.3.v4.1 pep chromosome:Pop_tri_v4:16:10117554:10120067:-1 gene:Potri.016G100100.v4.1 transcript:Potri.016G100100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100100.v4.1 MFLSLPDMDEDNDEINSFQLEEAFNSKCFYLNKKRKTRAEQLGLPISKHKCLDHRFPLKTSKIYEEKDLITCIITGNAERQAIDEGSDPESAKDSNSFVEDSDFAMSIDGEAKFEMEVSKIWPPDRPSTSSFSCNSLKDAQYSSDSAAAARHAGKEELTFVKGEIDQCGHDDGLQASQNLEEPILEFESPLDHSCSEFGTDSIETCTEAQSGPRKPTIDQEFEQYFSMLML >Potri.001G056750.1.v4.1 pep chromosome:Pop_tri_v4:1:4263101:4264576:-1 gene:Potri.001G056750.v4.1 transcript:Potri.001G056750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056750.v4.1 MLAWKSGVRDPRSRFRFVVGGGGQPCENLVLKFE >Potri.016G069100.2.v4.1 pep chromosome:Pop_tri_v4:16:4944261:4961781:1 gene:Potri.016G069100.v4.1 transcript:Potri.016G069100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069100.v4.1 MGRPEPSVLFSQTFVHPQLDEYVDEVLFAEPIVITACEFLEQNASSASQAVSVLGATSPPSFALEVFVKCEGETRFRRLCQPFLYSHSSSHVLEVEVAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFSIEFDDSSLTNLVSSAEGKLEDLPLALHSTNRTVEDSLSSLNVLSLPVAASHISAEVKQFLQLILKLLELPNLSDSVHRVLTTVVKAVCSFVTRDLCCETVNQKHIKMCGSKNIEEFHHVINEARNELLQVLGQVLGDESAELLADCTFLESEADLATSKQLVDMLSQYFSFERNSTNVGACQLSQNKSVILGLSLALLLCSGRESCFHFVSSGGMEQLAHIFSNEVQNSSAIILLSLGVVEQATRHPIGCEGFLGWWPREDENIPSGTSKGYSQLLKLVLQRPQHDVASLATYVLHRLRFYEVVSRYEFSVLSALGGLSALGRVTSVTSAMLNSAKSQLKMLLKLINLRGPIEDPSIAASASRSLIIGQTEGLLSYKATSNLVGSSHCCFSNWDIDSHLLALLKERGFLPLSAALLSSPILRSEAVDAMDTFVDIASTIGAILLSLLMCRSGLIFLLNYPELCTTLIDALRGVGGMNREECVPLRYASVLLSKGFVCSPHEVGVIVETHLRVVNAIDRLLISTPHPEEFLWVLWELCGLSRSDCGRQALLVLGYFPEAISILIEALHSVKESEPVASGASPINLAIFHSAAEIFEVIVTDSTASSLDSWIGHAMELHKALHSSSPGSNRKDTPTRLLEWFDAGVVYHKNGAIGLLRYSAVLASGGDAHLTSTSILVADLTDVEQVVGDALGGSDINVMDNLGKLISDKSFEDNPLRDSSITQMTTAIRILAFVSENSTVAAALYDEGALIVIYAILIKCSLMLERSSNSYDYLVDEGTERNSTSDLLLERNREQSLVDLLVPTLVLLINLLQKLQEAKEQHRNTKLMNALLRLHREVSPKLAASAADLSSPYPDSALGFGAVCHLVVSALTCWPLYGWTPGLFHSLLANVQATSLLALGPKETCSLLCLLNDLFPEEGVWLWKNGMPMLSALRKLAVGTLLGPQKEKQVDWYLETSHREKLLNQLTPHLDKIAQIIEHYAISALVVIQDMLRVFIIRIACQKIEYASLLLQPILCCIRNHLSDLTSPSEIDAYKVYRYLDFLASILEHPCAKELLLEEGIAEMLTQVLERCLVAIGSDGKQISDSKISAKSGFTLISWCCPVFKSFSLLCVPRTPLPYPVRHDLHSSASLSAKDCSLILPYLLKSCQVLPVGKELLSCLAFFKDLGSCNEGQSACVTTLHHINTSIEEHESGKGQERNGNYNLDDIEWRKHPPLLSCWIRLLESVDSKDDASICALEAVTTLSIGALCFCLDSKCNLNLNGVAAIKKLFGIHDDMDGTDSSPENIGFILEMITLLSSKLNDDDYLATDMRESLYQASDSAKSLLLLLQKPTGSVTIDDIMSSEGIQSLPSNELLVHSRINQMADGTAEKFDGYLYLGGLGDKFLWECPETLPDRLSQNPSMKRKLASLDGSGKRVKGETSVAEATVQNAFSRGMGSSTAPSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERSVDGVSNSNVIAVQRVGSTGGRPPSIHVDEFMARQRERQNPMVAVVGEPSAKVKNATPANDVDKEKDNKSKQLKTVLDDDLQGIDIVFDGEESESDDKLPFPQPDDNLEQLAPVIGDQSSPHSIVEETESDVNGNNQFSHSHTPLASHVDENTQSEFSSRMSVSRPEMPLTREPSVSSDKKFFEQPDDAKNTIKTSAGFDSISAASTSGFPHQIPVDSRMPPQNFYMKNSLQHSSGSRGLYDSKIPLNQPPLPPMPPPAMSSMIPQNHDPGPTQSSPYVNSGTEVQPPLPAAFQVQSDYLSAFGSNPSIQMPDSKYSRASISSPSGSAGPHPPLPPTPPPFSSSPYNLPSLNPSTSQSSVYTVGTNELPQTSTSPPIDPRLGNLSVSGAGLTSYMPPPLMPPMVFSRPATIPVTPYGSIPTQQQGESPNVLQNLSIPQPSVQSIHQLQPLQPPLRRPPQPPQHLWSLAQSSQQLEQGGSLQSSIQMQGHQLQMLQQQQLPSVHAHYQAQQQELSQSRQQLVEHAQPHVIHQQGDVSSQQQQDLGMSLQEYFKDPKAITSLLSNKEELCRLLEQNPKLMQMLQERLGQQ >Potri.016G069100.1.v4.1 pep chromosome:Pop_tri_v4:16:4944308:4962806:1 gene:Potri.016G069100.v4.1 transcript:Potri.016G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069100.v4.1 MGRPEPSVLFSQTFVHPQLDEYVDEVLFAEPIVITACEFLEQNASSASQAVSVLGATSPPSFALEVFVKCEGETRFRRLCQPFLYSHSSSHVLEVEAVVTNHLVVRGSYRSLSLVIYGNTAEDLGQFSIEFDDSSLTNLVSSAEGKLEDLPLALHSTNRTVEDSLSSLNVLSLPVAASHISAEVKQFLQLILKLLELPNLSDSVHRVLTTVVKAVCSFVTRDLCCETVNQKHIKMCGSKNIEEFHHVINEARNELLQVLGQVLGDESAELLADCTFLESEADLATSKQLVDMLSQYFSFERNSTNVGACQLSQNKSVILGLSLALLLCSGRESCFHFVSSGGMEQLAHIFSNEVQNSSAIILLSLGVVEQATRHPIGCEGFLGWWPREDENIPSGTSKGYSQLLKLVLQRPQHDVASLATYVLHRLRFYEVVSRYEFSVLSALGGLSALGRVTSVTSAMLNSAKSQLKMLLKLINLRGPIEDPSIAASASRSLIIGQTEGLLSYKATSNLVGSSHCCFSNWDIDSHLLALLKERGFLPLSAALLSSPILRSEAVDAMDTFVDIASTIGAILLSLLMCRSGLIFLLNYPELCTTLIDALRGVGGMNREECVPLRYASVLLSKGFVCSPHEVGVIVETHLRVVNAIDRLLISTPHPEEFLWVLWELCGLSRSDCGRQALLVLGYFPEAISILIEALHSVKESEPVASGASPINLAIFHSAAEIFEVIVTDSTASSLDSWIGHAMELHKALHSSSPGSNRKDTPTRLLEWFDAGVVYHKNGAIGLLRYSAVLASGGDAHLTSTSILVADLTDVEQVVGDALGGSDINVMDNLGKLISDKSFEDNPLRDSSITQMTTAIRILAFVSENSTVAAALYDEGALIVIYAILIKCSLMLERSSNSYDYLVDEGTERNSTSDLLLERNREQSLVDLLVPTLVLLINLLQKLQEAKEQHRNTKLMNALLRLHREVSPKLAASAADLSSPYPDSALGFGAVCHLVVSALTCWPLYGWTPGLFHSLLANVQATSLLALGPKETCSLLCLLNDLFPEEGVWLWKNGMPMLSALRKLAVGTLLGPQKEKQVDWYLETSHREKLLNQLTPHLDKIAQIIEHYAISALVVIQDMLRVFIIRIACQKIEYASLLLQPILCCIRNHLSDLTSPSEIDAYKVYRYLDFLASILEHPCAKELLLEEGIAEMLTQVLERCLVAIGSDGKQISDSKISAKSGFTLISWCCPVFKSFSLLCVPRTPLPYPVRHDLHSSASLSAKDCSLILPYLLKSCQVLPVGKELLSCLAFFKDLGSCNEGQSACVTTLHHINTSIEEHESGKGQERNGNYNLDDIEWRKHPPLLSCWIRLLESVDSKDDASICALEAVTTLSIGALCFCLDSKCNLNLNGVAAIKKLFGIHDDMDGTDSSPENIGFILEMITLLSSKLNDDDYLATDMRESLYQASDSAKSLLLLLQKPTGSVTIDDIMSSEGIQSLPSNELLVHSRINQMADGTAEKFDGYLYLGGLGDKFLWECPETLPDRLSQNPSMKRKLASLDGSGKRVKGETSVAEATVQNAFSRGMGSSTAPSGPTRRDTFRQRKPNTSRPPSMHVDDYVARERSVDGVSNSNVIAVQRVGSTGGRPPSIHVDEFMARQRERQNPMVAVVGEPSAKVKNATPANDVDKEKDNKSKQLKTVLDDDLQGIDIVFDGEESESDDKLPFPQPDDNLEQLAPVIGDQSSPHSIVEETESDVNGNNQFSHSHTPLASHVDENTQSEFSSRMSVSRPEMPLTREPSVSSDKKFFEQPDDAKNTIKTSAGFDSISAASTSGFPHQIPVDSRMPPQNFYMKNSLQHSSGSRGLYDSKIPLNQPPLPPMPPPAMSSMIPQNHDPGPTQSSPYVNSGTEVQPPLPAAFQVQSDYLSAFGSNPSIQMPDSKYSRASISSPSGSAGPHPPLPPTPPPFSSSPYNLPSLNPSTSQSSVYTVGTNELPQTSTSPPIDPRLGNLSVSGAGLTSYMPPPLMPPMVFSRPATIPVTPYGSIPTQQQGESPNVLQNLSIPQPSVQSIHQLQPLQPPLRRPPQPPQHLWSLAQSSQQLEQGGSLQSSIQMQGHQLQMLQQQQLPSVHAHYQAQQQELSQSRQQLVEHAQPHVIHQQGDVSSQQQQDLGMSLQEYFKDPKAITSLLSNKEELCRLLEQNPKLMQMLQERLGQQ >Potri.013G015300.1.v4.1 pep chromosome:Pop_tri_v4:13:976447:978331:-1 gene:Potri.013G015300.v4.1 transcript:Potri.013G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015300.v4.1 MSKATAPGPKGKKKGATFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDAVTVTREKNKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVIASNKDRNVYELRYFNIAENEGEEED >Potri.014G044100.1.v4.1 pep chromosome:Pop_tri_v4:14:2855859:2857454:-1 gene:Potri.014G044100.v4.1 transcript:Potri.014G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044100.v4.1 MPTFSYCLLLLFLPLLFCTFHANIAQNLIPETCKKCAANDPNLSYNFCVTSLQASNRSQCDNLRGLGMMSIKLIKYNVTNTRHYVKNLLKNKKMDPFIRACLNDCLDLYSDAIPTLKQAMIDYKSKHYKDANIEVSSVIDAATTCEDGFEEKEGAVSPLTKRNNDTFQLSAIALALINML >Potri.003G113300.1.v4.1 pep chromosome:Pop_tri_v4:3:13580427:13584488:-1 gene:Potri.003G113300.v4.1 transcript:Potri.003G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G113300.v4.1 METHKPYFLSAIFVLVMLSFLHEQSSQAARLNPVEAGILMPTEKDGPEVDDDDGKEIGTRWAVLVAGSNGYGNYRHQADVCHAYQLLRKGGIKEENIVVFMYDDIAKHEFNPRPGVIINHPQGDDVYAGVPKDYTGVQVTTENLYAVLLGNKSAVKGGSGKVVDSMPNDRIFLYYSDHGGPGVLGMPTMPFLYAMDFIEVLKKKHASGSYKEMVMYIEACESGSIFEGIMPKDINIYVTTASNAEENSWGTYCPGMEPAPPPEYFTCLGDLYSVSWMEDSGKHNLRRETIEQQYHSVKERTSNYNTFTSGSHVMQYGNKSIKGEKLYLYQGFNPASVNFPPNNVHIGGRMDVVNQRDAELVFLWQMYKRSEDGSEKKTQILNQIKETMRHRTQLDSSMELIGTLLFGHKKGSAILKSVREPGSPLVDDWTCLKSMVRRFETHCGSLTQYGMKHMRAFANICNGGVSQASMEEACIAACSGHEFGDLRPSDQGYSA >Potri.008G062900.1.v4.1 pep chromosome:Pop_tri_v4:8:3805844:3809805:1 gene:Potri.008G062900.v4.1 transcript:Potri.008G062900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062900.v4.1 MEVNNGDGVGNSNMSRKVIDEEKSTVVIPETAHQISSDSWFQVGFVLTTGINSAYVLGYSGTIMVPLGWIPGVIGLIIATAISLYANSLVAKLHEFGGRRHIRYRDLAGFIYGRKAYSITWALQYVNLFMINTGYIILAGSALKAFYVLFSDDQVMKLPYFIAISGFVCALFGISIPHLSALRLWLGVSTVLSLIYIVVAFVLSVKDGIEAPSRDYNIPGTTTSKIFTTIGASANLVFAFNTGMLPEIQATIKEPVVSNMMKALYFQFTAGVLPMYAVTFIGYWAYGSSTSTYLLSSVNGPVWVKGLANISAFLQTVIALHIFASPMYEYLDTKFGVKGSPLAIRNLSFRIGVRGGYLTINTLVAALLPFLGDFMSLTGAISTFPLTFILANHMYLKAKHNKLTSLQKLWHWLNVCFFGLMSIAALVSALRLIAVDSKTYSVFADI >Potri.014G140000.1.v4.1 pep chromosome:Pop_tri_v4:14:9513320:9514398:1 gene:Potri.014G140000.v4.1 transcript:Potri.014G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140000.v4.1 MKKKAGGFLKRVMSVLASMAKAKTLALKSKTNALRTRLIIFSLLGNKKIMMSSITEKLHSLMGQHEKDQEEALELECLDQNKALVFHNHLDSMSPLLNPTHTELMENIVAEDGQDNIIGYVYEEAGDGEEKYPDLTHSLFDSEGVEFEDSGVSVIDMVKNSKQEGETFSLEDEIDQVADLFIKRFHHQMRLQKQLSMKRYQEMLQGATA >Potri.004G078000.1.v4.1 pep chromosome:Pop_tri_v4:4:6449790:6452096:1 gene:Potri.004G078000.v4.1 transcript:Potri.004G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078000.v4.1 MVSLKLQKRLAASVLKCGKGKVWLDPNEGNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERLAMGPGAEKPVAAPPAAVSQPAEGTKKSKK >Potri.010G070500.1.v4.1 pep chromosome:Pop_tri_v4:10:9849995:9851665:-1 gene:Potri.010G070500.v4.1 transcript:Potri.010G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070500.v4.1 MQASDRFNINSQLEHLQAKYVGTGHADLNRFEWAVNIQRDSYASYMGHYPMLAYFALAENESIGRERYNFMQKMLLPCGLPPEREDD >Potri.019G034800.18.v4.1 pep chromosome:Pop_tri_v4:19:4768993:4773388:-1 gene:Potri.019G034800.v4.1 transcript:Potri.019G034800.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034800.v4.1 MNALVATNRNFKRAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLKEHGKTISGQRFVIQGFGNVGAWAAQLISEQGGKIVAVSDITGAIKNNKGLDIPSLLKHANEHKGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENASDIKSKFIIEAANHPTDPEADEILTKKGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKNYMTRGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWGA >Potri.019G034800.14.v4.1 pep chromosome:Pop_tri_v4:19:4769024:4774369:-1 gene:Potri.019G034800.v4.1 transcript:Potri.019G034800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034800.v4.1 MNALVATNRNFKRAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLKEHGKTISGQRFVIQGFGNVGAWAAQLISEQGGKIVAVSDITGAIKNNKGLDIPSLLKHANEHKGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENASDIKSKFIIEAANHPTDPEADEILTKKGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKNYMTRGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWGA >Potri.019G034800.15.v4.1 pep chromosome:Pop_tri_v4:19:4769023:4774653:-1 gene:Potri.019G034800.v4.1 transcript:Potri.019G034800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034800.v4.1 MNALVATNRNFKRAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLKEHGKTISGQRFVIQGFGNVGAWAAQLISEQGGKIVAVSDITGAIKNNKGLDIPSLLKHANEHKGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENASDIKSKFIIEAANHPTDPEADEILTKKGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKNYMTRGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWGA >Potri.019G034800.16.v4.1 pep chromosome:Pop_tri_v4:19:4768986:4774548:-1 gene:Potri.019G034800.v4.1 transcript:Potri.019G034800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034800.v4.1 MNALVATNRNFKRAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLKEHGKTISGQRFVIQGFGNVGAWAAQLISEQGGKIVAVSDITGAIKNNKGLDIPSLLKHANEHKGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENASDIKSKFIIEAANHPTDPEADEILTKKGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKNYMTRGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWGA >Potri.019G034800.17.v4.1 pep chromosome:Pop_tri_v4:19:4769026:4774216:-1 gene:Potri.019G034800.v4.1 transcript:Potri.019G034800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034800.v4.1 MNALVATNRNFKRAAKLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGRGVLFATEALLKEHGKTISGQRFVIQGFGNVGAWAAQLISEQGGKIVAVSDITGAIKNNKGLDIPSLLKHANEHKGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENASDIKSKFIIEAANHPTDPEADEILTKKGVVILPDIFANSGGVTVSYFEWVQNIQGFMWDEEKVNNELKNYMTRGFKDVKEMCKTHNCDLRMGAFTLGVNRVARATVLRGWGA >Potri.011G056000.4.v4.1 pep chromosome:Pop_tri_v4:11:4530816:4533677:-1 gene:Potri.011G056000.v4.1 transcript:Potri.011G056000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056000.v4.1 MGETFAYKEYLAGLLAGVATVITGHPFDTVKVMLQKHNTEAHGIKYKNGLHCTARILQTEGVKGLYRGATSSFVGVAFESSLLFGIYSQTKQSLQGGGQSDVPRPQVIIPSAAYGGAIISFVLCPSELVKCRMQIQGTDSLVPKFSRYSSPLDCALQTMKNEGAFFVEVLQHC >Potri.011G056000.1.v4.1 pep chromosome:Pop_tri_v4:11:4529773:4533677:-1 gene:Potri.011G056000.v4.1 transcript:Potri.011G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056000.v4.1 MGETFAYKEYLAGLLAGVATVITGHPFDTVKVMLQKHNTEAHGIKYKNGLHCTARILQTEGVKGLYRGATSSFVGVAFESSLLFGIYSQTKQSLQGGGQSDVPRPQVIIPSAAYGGAIISFVLCPSELVKCRMQIQGTDSLVPKFSRYSSPLDCALQTMKNEGVTGIFRGGFTTLLRESIGSAVFFSVYEYVRYYMHLQLKPTLSDHSNLTDMGIGIVTGGLSGVAFWSAVLPLDVAKTIIQTAPDKSSTRNPFAVLNSIYSRAGLKGCYTGFGPTIVRAFPANAAAIVTWELAMKMLGDKS >Potri.011G056000.5.v4.1 pep chromosome:Pop_tri_v4:11:4530866:4533652:-1 gene:Potri.011G056000.v4.1 transcript:Potri.011G056000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056000.v4.1 MGETFAYKEYLAGLLAGVATVITGHPFDTVKVMLQKHNTEAHGIKYKNGLHCTARILQTEGVKGLYRGATSSFVGVAFESSLLFGIYSQTKQSLQGGGQSDVPRPQVIIPSAAYGGAIISFVLCPSELVKCRMQIQGTDSLVPKFSRYSSPLDCALQTMKNEGQFN >Potri.011G056000.3.v4.1 pep chromosome:Pop_tri_v4:11:4530866:4533417:-1 gene:Potri.011G056000.v4.1 transcript:Potri.011G056000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056000.v4.1 MLQKHNTEAHGIKYKNGLHCTARILQTEGVKGLYRGATSSFVGVAFESSLLFGIYSQTKQSLQGGGQSDVPRPQVIIPSAAYGGAIISFVLCPSELVKCRMQIQGTDSLVPKFSRYSSPLDCALQTMKNEGVTGIFRGGFTTLLRESIGSAVFFSVYDGVAFWSAVLPLDVAKTIIQTAPDKSSTRNPFAVLNSIYSRAGLKGCYTGFGPTIVRAFPANAAAIVTWELAMKMLGDKS >Potri.011G056000.2.v4.1 pep chromosome:Pop_tri_v4:11:4530816:4533677:-1 gene:Potri.011G056000.v4.1 transcript:Potri.011G056000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056000.v4.1 MGETFAYKEYLAGLLAGVATVITGHPFDTVKVMLQKHNTEAHGIKYKNGLHCTARILQTEGVKGLYRGATSSFVGVAFESSLLFGIYSQTKQSLQGGGQSDVPRPQVIIPSAAYGGAIISFVLCPSELVKCRMQIQGTDSLVPKFSRYSSPLDCALQTMKNEGVTGIFRGGFTTLLRESIGSAVFFSVYEYVRYYMHLQLKPTLSDHSNLTDMGIGIVTGGLSGVAFWSAVLPLDVAKTIIQTAPDKSSTRNPFAVLNSIYSRAGLKGCYTGFGPTIVRAFPANAAAIVTWELAMKMLGDKS >Potri.013G119900.1.v4.1 pep chromosome:Pop_tri_v4:13:12870727:12873151:-1 gene:Potri.013G119900.v4.1 transcript:Potri.013G119900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119900.v4.1 MSGGKPSSKRKGVEKYEDASVNTEVELHVEHEAIFHDHVPIVSYYNDRITPLLDAVDRLRQLQVMKEGIQLPTIVVVGDQSSGKSSVLESLACINLPRGDGICTRVPLIVRLKHHPSLVPEIFLQFNGKTVPTDEAHVADAINLVTDEIAGNGKGISNTELTLVVKKNGVPDLTLVDLPGITRVPVHGQPENIYEQIAYIIMKYISPDESVILNVLSASVDFSTCESIRMSQKVDKNGQRTLAVVTKVDKSPEGLLEKVTRNDVNIGLGYVCVRNRIGNESYEDARKEEAALFATHQLLSKIDKSTVGIQVLAQKLVQIQANIIAKCLPDIVRKIDEKLSASISELNRIPRRLLSVAEVMAAFMGIIGSSKDSLRKILLRGEIDEYRHEKDMHCTARLVEMLNQFSTELHKCSDHTKNFMINEIEVLEETKGIELPNFLPHAAILAILQQKVEEISELQIGFVEKVWAYIRGVVISVLNHHSANYHQLQLFIGRAAHKLVDKMKDRSIDWVTEILQMEKETDYTCNPEYMKEWNKLIAQQQAVIDNITKFGSSRVTIDGSREVVVGDLRGHKHVLLQAFDLKMRLIAYWKIVLMRLVDNMALHLQLSIRNLVNKEMEKEIVNALLGTGGGVAIERMLEEPPSVASKRERLNTSIKLLRESKEVLANIRDKIECGDH >Potri.009G116300.2.v4.1 pep chromosome:Pop_tri_v4:9:9815095:9821244:-1 gene:Potri.009G116300.v4.1 transcript:Potri.009G116300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116300.v4.1 MADQRIVLALKFLLLVLFFESTFEQQQQERLTSPIERAALLGLRSSLGLRSRDWPIKADPCSIWNGIKCENGSVSEINISGFKRTRLGSQNPQFRVDSLVNLTRLKSFNASGFYLPGSIPDWFGQRLVSLQALDLSSCLISNAIPGSLGNLTSLTVLYLHDNNLTGMIPSSLGQLVGLSVLDLSSNMFTGSIPVSFGSLQNLTRLDISMNFLFGSVPPGIGMLSKLQYLNLSINDLSSSIPAQLGDLRNLVDLDLSFNSLSGSLPAELRGLRNLQRMLIGINLLGGSLPVNLFPVPSQLQTVVLKSNGFIGAVPDVLWSMPRLRLLDISGNNFTGMLSNASLNTNTTTAELNVSQNLFYGGLTPVLRRFSFVDLSGNYFEGRVPDYVSDNASLVSNCLQNLSNQRSLLGCTSFYTEKGLIFDNFGLPNSTQPPARENSGKSKRKVIILVSVLGGFGLILLLVILIVLLLFFIRKTGNKTQRGVGVGPATPVPSGSSPPPPGVSIDFSSLGDIYTYQQLLLATGDFGDVNLIKYGHSGDLYKGISESGIPVVIKKIDLQSHRKEAYLLELDFFSKVSNPRLVPLLGHCLEKENEKFLIYKHMPNGDLSSSLYRKTNSEDGLKSLDWITRLKIAIGAAEGLSYLHHECTPPIVHRDVQASSILLDDKFEVRLGSLSEVCTQEGDTHHSRITRFLRLPQSLEQGTSGSLTTTCAYDVYCFGKVLLELVTGKLGISASSDAQLKEFSEQILPFISIYDKELVIKIVDPSLIIDEDLLEEVWAMAIVARSCLNPKPSRRPLMRYILKALENPLKVVREENSGSARLRTTSSRSWNGSLFGSWRHSSSDVAVIPAASSARPGGSSFKQSGTSNSQGSGQNGGGDHSSSHRRHSREIFPEPYDEQDVEKQD >Potri.001G460400.1.v4.1 pep chromosome:Pop_tri_v4:1:48581656:48582924:1 gene:Potri.001G460400.v4.1 transcript:Potri.001G460400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G460400.v4.1 MSAAVAAAAAAAIMSCNSARSSGSSGASRSHQLQKGLLLPSPSPSPSPPSPISLPLPLSRYESQKRRDWNTFGQYLRNHRPPLALARCSGAHVLEFLRYLDQFGKTKVHSENCPFFGHPHPPCPCSCPLKQAWGSLDALIGRLRAAFEENGGLPETNPFGARAVRLYLREVRDAQSKARGIAYEKKKRKNPTPQQQQQKLQTAGNGTYQVQQGISNGGYVVPRNALSDEAAVLGVGDVPVFN >Potri.018G022700.4.v4.1 pep chromosome:Pop_tri_v4:18:1664139:1669215:1 gene:Potri.018G022700.v4.1 transcript:Potri.018G022700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022700.v4.1 MAYHHNLSSQDLPLHHFTDQQATENHTAPPNWLNTALLRSQQPPQQQTHHHFTDNNNTNNFLNLHTATTTATATTSDSNSHNPVQWLSRSSSSLLNRNHSDVIDDVAAGGDHAIITSISQESSELKNMNKGEGEAMDSGGGESVVNWQNARYKADILTHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVVTKYSALGSHQGLVPDDKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFVGSLEGADTLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKR >Potri.018G022700.3.v4.1 pep chromosome:Pop_tri_v4:18:1664201:1669215:1 gene:Potri.018G022700.v4.1 transcript:Potri.018G022700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022700.v4.1 MAYHHNLSSQDLPLHHFTDQQATENHTAPPNWLNTALLRSQQPPQQQTHHHFTDNNNTNNFLNLHTATTTATATTSDSNSHNPVQWLSRSSSSLLNRNHSDVIDDVAAGGDHAIITSISQESSELKNMNKGEGEAMDSGGGESVVNWQNARYKADILTHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVVTKYSALGSHQGLVPDDKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFVGSLEGADTLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKSNAGDNNGDRFV >Potri.018G022700.8.v4.1 pep chromosome:Pop_tri_v4:18:1664257:1669142:1 gene:Potri.018G022700.v4.1 transcript:Potri.018G022700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022700.v4.1 MAYHHNLSSQDLPLHHFTDQQATENHTAPPNWLNTALLRSQQPPQQQTHHHFTDNNNTNNFLNLHTATTTATATTSDSNSHNPVQWLSRSSSSLLNRNHSDVIDDVAAGGDHAIITSISQESSELKNMNKGEGEAMDSGGGESVVNWQNARYKADILTHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVVTKYSALGSHQGLVPDDKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSDANLFVGSLEGADTLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKRSNAGDNNGDRFV >Potri.010G026100.1.v4.1 pep chromosome:Pop_tri_v4:10:3800469:3804802:-1 gene:Potri.010G026100.v4.1 transcript:Potri.010G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026100.v4.1 MPRHRSRSRSYSPGRRSRTPPRGRKRYDDEDRHRDTRSYRDRRSPASSGLLIRNLPLDARPEDLRGPFEKFGPLKDIYLPKNYHTGEPRGFGFVKYRYGEDAAEAKKRMDHKIIGGREIRIVFAEENRKTPQEMRRTPRTSDRHGGSHGGRTPPRSPRHRYRSYSRSPSPARHDSRDRGVKEDYCSPRRSRSISRSRSPRDERDFQVDQRSLSPSEKGRNPKERNHASRGSRTLRANSRSPSRSHSQSYGSR >Potri.010G026100.3.v4.1 pep chromosome:Pop_tri_v4:10:3800487:3804784:-1 gene:Potri.010G026100.v4.1 transcript:Potri.010G026100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026100.v4.1 MPRHRSRSRSYSPGRRSRTPPRGRKRYDDEDRHRDTRSYRDRRSPASSGLLIRNLPLDAREPRGFGFVKYRYGEDAAEAKKRMDHKIIGGREIRIVFAEENRKTPQEMRRTPRTSDRHGGSHGGRTPPRSPRHRYRSYSRSPSPARHDSRDRGVKEDYCSPRRSRSISRSRSPRDERDFQVDQRSLSPSEKGRNPKERNHASRGSRTLRANSRSPSRSHSQSYGSR >Potri.010G026100.2.v4.1 pep chromosome:Pop_tri_v4:10:3800489:3804773:-1 gene:Potri.010G026100.v4.1 transcript:Potri.010G026100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026100.v4.1 MPRHRSRSRSYSPGRRSRTPPRGRKRYDDEDRHRDTRSYRDRRSPASSGLLIRNLPLDARPEDLRGPFEKFGPLKDIYLPKNYHTGEPRGFGFVKYRYGEDAAEAKKRMDHKIIGGREIRIVFAEENRKTPQEMRRTPRTSDRHGGSHGGRTPPRSPRHRYRSYSRSPSPARHDSRFDFICSVSVLLSL >Potri.010G139300.1.v4.1 pep chromosome:Pop_tri_v4:10:15336551:15338875:-1 gene:Potri.010G139300.v4.1 transcript:Potri.010G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139300.v4.1 MEALIIFILSCLCVLFILHLIKFFIKVWWDPIRIQSAMRSQGMKGPPYRFLHGNTKEIYNMRNEIMSSPMELSHQMLARIQPHVYSWIKLYGMNFLNWYGPQAQLVITEPKLIKEVLSNKDGAYPKLVIQNYAEKLLGDGLVLSQGKKWLKMRKLAIHAFHAESLKGTIPAMIVSVETMLDRWRREGIKEIEVFQEFKVLTSEIISRAAFRSSYLEGKNIFDMLTRMALIVGRNNYKVGISGIKRFFKTRDDIESEKLDRGIRDSMLKVIKRREEVMMGTEPDGYGSDFLGLLLKAYHENDKTKKISIDDLIDECKTFYVAGHETTTSSLTWTLLFLAIHTDWQNRAREEVLQIFGQQNPCPDSIGRLKTMTMIVNESLRLYPPVFNLTREVQREVKLGKLIVPAKMTLSLSVLALHNNPQIWGEDAHLFKPERFAGGVAEATKNNATAFLPFGLGPRSCVGLNFALSEIKIALSMILQHYRFTLSPTYVHSPEHILTISPRYGLQILFEAL >Potri.005G128000.1.v4.1 pep chromosome:Pop_tri_v4:5:9659406:9660885:1 gene:Potri.005G128000.v4.1 transcript:Potri.005G128000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128000.v4.1 MYKPGRKLTLPSPPHLLLSLLLLLSIIIINATATATVNTKRFREAPQFYNSPTCPSISTKDMCSQQAVHVAMTLDFPYLRGTMAAILSILQHSSCPENTRFHFISSPSSTYLHETITSSFPYLRSQIHPFDTNSVSGLISTSIRSALDSPLNYARNYLANILPPCVRKAVYLDSDLVLVDDIAMLAATPLGTGTVLAAPEYCNANITAYFTPTFWANPSLSLTFSGRNACYFNTGVMIIDLERWREGDYTTKIVEWMELQKRMRIYELGSLPPFLLVFAGNIAAVDHKWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWVRLDENRPCPLDALWAPYDLMQTPFAIDF >Potri.017G127200.1.v4.1 pep chromosome:Pop_tri_v4:17:13114352:13119787:1 gene:Potri.017G127200.v4.1 transcript:Potri.017G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G127200.v4.1 MADAVLSALASTIMGNLNSSFLQELGLAGSMETERENLNRTIRTIRAVLQDAEEKQWKSEPIKVWLRDLKDAAYDADDLLNEFVNEARWHQQRRVLKNRVRSFFSGNHNQPIFRQKMVRKFKNVREKLDAIAMERQKFHLREGAVEIEADSFAWRQTWSWVNESEICGRRKEKEDLINMLLTSSDEFSVYAICGMGGLGKTTLAQLVYNDGRIKGHFDLWIWVCVSVDFSIQKLTSAIIESSLGTCPDIQQLDTLLRRLQEKLGGKKFLLILDDVWEDDHDNWSKLKDALSCGAKGSAVIVTTRLGIVADKMATTPVQHMATLSDEDSWLLFEQLAFRMTTAEERGRLKEIGVAIVNKCGGVPLAIRALGSLMRSKKTVSEWLSVKESEIWDLPNEGSRILPALSLSYMNLKPSVKQCFAFCSIFPKDYVMEKELLVALWMANGFISCNGKIDLHDRGEEIFHELVGRSFFQEVEDDGLGNITCKMHDLIHDLAQYIMNGECYLIEDDTRLPIPKKVRHVSAYNTSWFAPEDKDFKSLHSIILSNLFHSQPVSYNLDLCFTQQKYLRALCIRIENLNTLPQSICNLKHLRFLDVSGSGIRKLPESTTSLQNLQTLNLRDCTVLIQLPEDMRRMQSLVYVDIRGCHSLLSMPRGMGELTCLRKLGIFIVGKEDGRGIEELGRLNNLAGEFRITYLDKVKNSTDARSANLNLKTALLSLTLSWNLKGDYNSPSGQSIPNNVHSEVLDRLQPHSNLKKLRICGYGGSKFPNWMMNLMLPNLVEMELRDCYNCEQLPPFGKLQFLEDLVLQGIDGVKCIDSHVNGDGQNPFPSLERLAIYSMKRLEQWDACSFPCLRQLHVSSCPLLAEIPIIPSVKTLHIDGGNVSLLTSVRNLTSITSLNISKSSNMMELPDGFLQNHTLLEYLQINELRNMQSLSNNVLDNLSSLKTLSITACDELESLPEEGLRNLNSLEVLSINGCGRLNSLPMNCLSSLRRLSIKYCDQFASLSEGVRHLTALEDLSLFGCPELNSLPESIQHLTSLRSLSIWYCKGLTSLPYQIGYLTSLSSLKIRGCPNLMSFPDGVQSLSKLSKLTIDECPNLEKRCAKKRGEDWPKIAHIPSIQINDKEIQ >Potri.004G232900.1.v4.1 pep chromosome:Pop_tri_v4:4:23807417:23810175:-1 gene:Potri.004G232900.v4.1 transcript:Potri.004G232900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232900.v4.1 MILPRLFLFVFFFSLVLCNEQFNPHILPRPLILETTQLREVDNEVKLQCTSWRFGVEANNLNPWKTVPLECGEYVKDYMLGRAYSLDLERVSNESGVYAKSLKLSGDGKDIWVFDVDETLLSHLPYYADHGYGLEIFDPAEFNKWVDKAIAPALEPSLKLYKEVMDLGFKVFLLTGRSETQRSVTEENLINAGFQNWDKLILRGSEDHGKLATIFKSDKRSEMVKEGFRILGNSGDQWSDLLGSFMSNRSFKLPNPMYYIL >Potri.010G222000.7.v4.1 pep chromosome:Pop_tri_v4:10:20686953:20688569:-1 gene:Potri.010G222000.v4.1 transcript:Potri.010G222000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222000.v4.1 MEANRGQNGIQLLLAVEQEAQHIVNAARNEKMARLKQAKEEADKEIAEFRAQMEAEFQRKLAESSGDSGANVKRLEQETEAKIGHLKKEAARISHDVVQMLLKHVTTVKN >Potri.010G222000.6.v4.1 pep chromosome:Pop_tri_v4:10:20686910:20689424:-1 gene:Potri.010G222000.v4.1 transcript:Potri.010G222000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222000.v4.1 MEANRGQNGIQLLLAVEQEAQHIVNAARNEKMARLKQAKEEADKEIAEFRAQMEAEFQRKLAESSGDSGANVKRLEQETEAKIGHLKKEAARISHDVVQMLLKHVTTVKN >Potri.001G153400.5.v4.1 pep chromosome:Pop_tri_v4:1:12855749:12859237:-1 gene:Potri.001G153400.v4.1 transcript:Potri.001G153400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153400.v4.1 MELAIKNRIALTTQNFLTPKPKPKVKSLRVFSVKCTASLSSLDGVVERPWKTSDARLVLEDGSVWRAKSFGARGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSISTSNWRCTKALGDYLLERNIMGIYDVDTRAITRRLRQDGSLVGVLTTEESKTDEELLEMSRSWDIVGIDLISGVTCTAPYEWVDKTDPEWDFNCEGRGEIYHVIAYDFGIKHNILRRLSSYGCKITVVPSSWPASETLKMKPDGVLFSNGPGDPSAVPCAVKTVKELLGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLVANRVEISAQNHNYAVDPASLPKGVEVTHINLNDGSCAGLAFPALNVMSLQYHPEASPGPHDSDSVFGEFIELMKKVKQKA >Potri.001G153400.1.v4.1 pep chromosome:Pop_tri_v4:1:12855520:12859209:-1 gene:Potri.001G153400.v4.1 transcript:Potri.001G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153400.v4.1 MELAIKNRIALTTQNFLTPKPKPKVKSLRVFSVKCTASLSSLDGAATGVVERPWKTSDARLVLEDGSVWRAKSFGARGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSISTSNWRCTKALGDYLLERNIMGIYDVDTRAITRRLRQDGSLVGVLTTEESKTDEELLEMSRSWDIVGIDLISGVTCTAPYEWVDKTDPEWDFNCEGRGEIYHVIAYDFGIKHNILRRLSSYGCKITVVPSSWPASETLKMKPDGVLFSNGPGDPSAVPCAVKTVKELLGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLVANRVEISAQNHNYAVDPASLPKGVEVTHINLNDGSCAGLAFPALNVMSLQYHPEASPGPHDSDSVFGEFIELMKKVKQKA >Potri.001G153400.4.v4.1 pep chromosome:Pop_tri_v4:1:12855647:12859259:-1 gene:Potri.001G153400.v4.1 transcript:Potri.001G153400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153400.v4.1 MELAIKNRIALTTQNFLTPKPKPKVKSLRVFSVKCTASLSSLDGAATGVVERPWKTSDARLVLEDGSVWRAKSFGARGTQVGEVVFNTSLTGYQEILTDPSYAGQFVLMTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSISTSNWRCTKALGDYLLERNIMGIYDVDTRAITRRLRQDGSLVGVLTTEESKTDEELLEMSRSWDIVGIDLISGVTCTAPYEWVDKTDPEWDFNCEGRGEIYHVIAYDFGIKHNILRRLSSYGCKITVVPSSWPASETLKMKPDGVLFSNGPGDPSAVPCAVKTVKELLGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLVANRVEISAQNHNYAVDPASLPKGVEVTHINLNDGSCAGLAFPALNVMSLQYHPEASPGPHDSDSVFGEFIELMKKVKQKA >Potri.001G153400.6.v4.1 pep chromosome:Pop_tri_v4:1:12855745:12859246:-1 gene:Potri.001G153400.v4.1 transcript:Potri.001G153400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153400.v4.1 MTNPHIGNTGVNFDDEESRQCFLAGLVIRSLSISTSNWRCTKALGDYLLERNIMGIYDVDTRAITRRLRQDGSLVGVLTTEESKTDEELLEMSRSWDIVGIDLISGVTCTAPYEWVDKTDPEWDFNCEGRGEIYHVIAYDFGIKHNILRRLSSYGCKITVVPSSWPASETLKMKPDGVLFSNGPGDPSAVPCAVKTVKELLGKVPVFGICMGHQLLGQALGGKTFKMKFGHHGGNHPVRNLVANRVEISAQNHNYAVDPASLPKGVEVTHINLNDGSCAGLAFPALNVMSLQYHPEASPGPHDSDSVFGEFIELMKKVKQKA >Potri.002G008450.1.v4.1 pep chromosome:Pop_tri_v4:2:480729:483169:-1 gene:Potri.002G008450.v4.1 transcript:Potri.002G008450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008450.v4.1 MNFSCFSNLVRLHLPNHELNGSIPPQISILPQLRYLNLSSNNLAGELPSSLGNLSRLVELDFSSNNFINSIPPELSNLKNLVTLTLSDNSFSGPIPSALCHLENLRHLFMDHNSLEGALPREIGNMRNLESLDVSYNTLNGPIPRTLGRLAKLRSLILSRNAINGFIPLEIGNLTNLKDLQLISNILVGSIPSTIGFLSDLTNLDLSYNVINGSIPSQIGNLTNLEHLDLSSNILAGSIPSTFGFLSNLILLHLFDNQINGSISLEIGNLTNLCRLFLKGNKISGSIPISLGDLRNLAFLDLSNNQINGSIASSLKNCKYLTYLDLSYNNLSGQIPSQLHNLPSLSYVNFRYNNLSGFVPLQLPQPFDVSFTCDSLHGQRTNSPEIFQATVFEGNKDLHPDFSPTENFDLRYCIGSGGYGSVYRAQLPSGKLVALKKLHHREAEEPAFDKSLKNEVELLTQIRHRSIVKLYGFCLHQRCMFLVYEYMEKGSLFCALRNDVGAVELKWMKRAHIIKDIAHALSYLHHDCNPPIVHRDISSSNVLLNSEFKSFVADFGVARLLDPDSSNHTVLAGTYGYIAPELAYTMAVTEKCDVYSFGVVALETLMGRHPGDILSSSAQAITLKEVLDPRLPPPTNEIVIQNICTIASLIFSCLHSNPKYRPSMKFVSQEFLSPKRLLGGLEISFLELRNLGMHTNVGEITVPR >Potri.005G032650.1.v4.1 pep chromosome:Pop_tri_v4:5:2155962:2156270:1 gene:Potri.005G032650.v4.1 transcript:Potri.005G032650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032650.v4.1 MFQNIVTAIASCCAGDSKMKIKGTVVLMKKNVLEFNDFPASVLDRGHELLGQGVSLQVISAAKSDPPGIYTTVLSSFCCWFSASCMPFQSHDVFLLLLDEVH >Potri.004G086700.2.v4.1 pep chromosome:Pop_tri_v4:4:7276824:7278667:-1 gene:Potri.004G086700.v4.1 transcript:Potri.004G086700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086700.v4.1 MAIQGLALAAFILAAKAITLVSAASLVTYIFGDSLTEVGNNNYLQYSLARSDYPWYGIDYSGRKATGRFTNGRTIGDIISAKLGIPPPPPYLSLSKNDDALLTGVNYASGGAGILNDTGLYFIQRLSFDDQIDCFKKTKESITTKIGEDAANKLCNEAMYFIGIGSNDYVNNYLQPFLADSQQYTPEEFGELLISTLDKQLTRLYQLGARKMAFHGLGPLGCIPSQRVKSKTGLCLKRVNEWVLEFNSGVKKLIATLNHRFPNAKFTFADTYGDVLDLIDNPTAYGFKISNTSCCNVDTNIGGLCLPNSKLCSNRTDYVFWDAFHPSDKANEILAEKFFSTLFSGPPSTAPKPSH >Potri.001G094100.1.v4.1 pep chromosome:Pop_tri_v4:1:7451498:7455209:1 gene:Potri.001G094100.v4.1 transcript:Potri.001G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094100.v4.1 MASEDVKTSESAVSTIVNFAEEAKLAREGVKAPGPALLSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGMFKGNGTNCARIVPNSAVKFFSYEEASKRILWFYRQQTGNDDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDKSPRQYRGIAHALSTVLKEEGPRALYKGWLPSVIGVIPYVGLNFSVYESLKDWLLKTNPFGLVEDNELGVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGRSKAPREYSGMVDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDVLGVEMRISD >Potri.001G395100.2.v4.1 pep chromosome:Pop_tri_v4:1:41899779:41900784:-1 gene:Potri.001G395100.v4.1 transcript:Potri.001G395100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395100.v4.1 MDFFNSQTQQKQQYLPKHNNKKRLTEDQVRLLERTFTTNKKLEPELKVQLANQLGVPPRQIAIWYQNKRARWKTQSLELDYNTLQVRLENALADRRRLEREVVRLQEELWQAQQMVFAVNQETAAQPAVNFSCNSSCDDRGSSSLHEGVNGEVLQLEELYACLYGAGGGF >Potri.001G238000.1.v4.1 pep chromosome:Pop_tri_v4:1:25578638:25579623:1 gene:Potri.001G238000.v4.1 transcript:Potri.001G238000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238000.v4.1 MLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLISETGVGWNSELGIISASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGTFAWALSSGVPADSDVDPGTSNADIAHDGLEEGSGDSEEDVIPNFQTDMVRMVGGINMSSSSNTKSSGKRKERDHYDVRGRKKKTSGIQLLSRCNQLLESISTKSDSTFVNLDRDGCSIREVMAELHSIPGVSIEDEFHDFATEYLSLRRKREMWASMGDKEQKLRWLQ >Potri.001G190000.2.v4.1 pep chromosome:Pop_tri_v4:1:17266138:17273428:1 gene:Potri.001G190000.v4.1 transcript:Potri.001G190000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190000.v4.1 MASYRPFPAPPPPPPPPQQPPQPQPQPPPPPQQLQRPNQYPQNYNPQLAPPPPPQNYQNFSQHYPQPPRPAPPPPLPHQQYPYQPPPPPESSYPPPPPPAPQQQRPPPPNLYYPPSHYGHQPMQHPPPPPPPPPSSPPPSSSAPPPPPPSSPPPAPPAVERDKGVYRESREYDNSNHGKHHHQQHKPQLPPVGKKANGHSGRAETDQERRLRKKREFDKQRQEEKHRQLLKESQNPALPKNQMMSSQKGHGSIAGSRLGDRRATPLLGAERTENRLKKPTTFLCKLKFRNELPDPSAQPKLMPLKRDKDRYTKYTITSLEKMYKPQLYVEPDLGIPLDLLDLSVYNPPSIRPPLAPEDEELLRDDETVAPVKRDGIRRKERPTDKGVSWLVKTQYISPLSMESTKSLNKNQAKELREMKGGRNLLDNLNNRERQIKEIQASFEANKLPPVHATNKNLHPIEVLPLLPDFDRYEDKFVTATFDGAPTVDAENYNKFDSSDREAYESRAIMKACVATGSDPTNPEKFLAYMVPSPDEMSKDMHDESEDISYSWIRGYHWDIRGDDANDPTTFLVSFDEAEARYLPLPTKINLTKRRAREGRSGDEIEHFSVPSRVTVRKRAIAATIEQRNLGAASSSRGNDSRMGGRFEDDDGLGRLQRVAQDEDLEQSSEAEDEMSE >Potri.005G176400.1.v4.1 pep chromosome:Pop_tri_v4:5:18233191:18237641:-1 gene:Potri.005G176400.v4.1 transcript:Potri.005G176400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176400.v4.1 MAEEMQEQGTETVKKEMLDIESQVKEAMVSRVSHFKEQADSLTFEGVRRLLEKDLGLEKFALDVHKRFVKQCLFECLDGAVADNASKGSRETGEKHVGSPKEGTESPEILESKNYIKEPSSEEEEKMEECPVTGLLTGQKTTKSKTKDTQANAIKVPSDHASKDFGGTGGKHVGSPKEGTESPERLESKNNIKEPSSEEEEKMEDSPVMGLMTGKKTTKSKTKDAQANEIKEVPSEGSIKKAMMKRASYIKANSEEITMAGLRRLLEDDLKLDKFSLDPYKKFISKQLDEVLKSSRVSEPKKKNLKNNSHAKASKRVSSEESADSSDKESDEEEEVKPKKKKTGAERKMQNAEGSKKRGRPEKEMKVSAKKRIKPSETVSEDNNNDMEDSGNVSEDNDSPSSAEKPLKKKEASTPAYGKRVELLKSVIKSCGMSVPPVIYKKVKQVAENKREAQLIKELEDILSREGLSSNPSEKEIKEVRKRKERAKELEGIDLSNIVTTSRRRSATSFVAPKPKVLVESESDDTDDTEEDDEDGEDNNEDDGDDNGGVDSPSEEADEEQDDGSD >Potri.014G123000.1.v4.1 pep chromosome:Pop_tri_v4:14:8284075:8287161:1 gene:Potri.014G123000.v4.1 transcript:Potri.014G123000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G123000.v4.1 MATTSIASNTELSRVVAVSIRPSSNKPLVTHQSIGRYSFSRFSNGFPLLSVRATSNSSMSKENSSKSCDGAGGRLTYKDAGVDIDAGSELIRRIAKMAPGIGGFGGLFPLGDSYLVAGTDGVGTKLKLAFETGIHETIGIDLVAMSVNDIVTSGAKPLFFLDYYATSRLNVDLAEKVIKGIVGGCQQSDCTLLGGETAEMPDFYAEGEYDLSGFAVGIVKKDSVIDGKNIVAGDVLIGLPSSGVHSNGFSLVRRVLAQSGLSLNDQLPGGSVSLGEALMAPTVIYVKQVLDLISKGCVKGIAHITGGGFTDNIPRVFPKGLGASIYKESWEVPTLFKWIQEAGRIEDAEMSRTFNMGIGMVLVVTEEASHKILEEGQHKAYRIGEVVCGEGVRYC >Potri.018G082400.5.v4.1 pep chromosome:Pop_tri_v4:18:10014042:10017249:1 gene:Potri.018G082400.v4.1 transcript:Potri.018G082400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082400.v4.1 MVRALSREQSILKTKYPNPTKMFDQLPGRQVQSFTLENNADDGDDVDQSRSYIVPRVLGERLGDCQYIGSPLNRVRGPVKASRTDGHGCAAPETPTRQEKQFLSDMELMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQKKLEPMNPERKARWRKEIDWLLSVTDHIVEFVPSQQSKDGIDMEIMTTRQRSDLLMNIPALRKLDTILIDLLDQFGSQNEFWYVSKDEDGLEQGTPPRNDDKWWIPTVKVPQNGLADVTRRWMQFQKDSVNQVLKAAMAINAQVLSEMEIPENYIESLPKNGRASLGDSIYKSITVEYFDPEQFLSTMDMSTEHKVLDLKNRIEASIVIWQRKMNQKDGKSTWGSAVSLEKRELFEERAETILLILKQRFPGISQSSLDISKIQYNKDVGQAILESYSRIIESLAYAILSKIEDVLYADSLAQSPQLESNQKPEEELDNLTSAETPTSKTLSDFMGWKVDQGEANMNKTTSTDNMENCQDKIKDKTDTTPKKFSYLEKLENLSGLRSPTARQFL >Potri.001G037700.1.v4.1 pep chromosome:Pop_tri_v4:1:2727746:2732311:-1 gene:Potri.001G037700.v4.1 transcript:Potri.001G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037700.v4.1 MSVGTRRGMSLETKYVELCKGINGLDKIILREVRGCSAEVYLYGGHVTSWKNEHGEELLFVSSKAIFKPPKTIRGGIPICFPQFSNLGSLEPHGFARTRFWTIDNDPPPFPINSSNKAFIDLILKHSEEDVKIWPHRYEFRLRITLGPGGDLMLTSRIRNTNTDGKSFTFTFAYHTYFHVTDISEVRVEGLETLDYLDNLKNRERFTEQGDAIVFESEVDKVYLSTPTKIAILDHERKRTFELRKDGLPDAVVWNPWDKKAKTIPDLGDDEYKHMLCVEAACVEKPITLKPGEEWRGRQELSAVPSSYCSGQLDPQRAFLSDKFGLA >Potri.017G050300.1.v4.1 pep chromosome:Pop_tri_v4:17:3554098:3554805:-1 gene:Potri.017G050300.v4.1 transcript:Potri.017G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050300.v4.1 MAGNKSIVFLMLITFLASSTIAQPPASSPATSPSKSPPKASSPAPSTVKPPASAPSPLTTPPPSADAPSPVTTTSPPSPPPETAPSSSPTGVPTSTISDTPAAAPGPNSGAVLNRFAFGGSVAVGVFAAVLVL >Potri.010G035900.1.v4.1 pep chromosome:Pop_tri_v4:10:6500384:6502824:-1 gene:Potri.010G035900.v4.1 transcript:Potri.010G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G035900.v4.1 MSSALTVAGKGKLAMDKWVVRSSIFLLFIAMSLAFRFSLNPNVKHFDSLLTCQNNNNDNISSSSYLKERIVELANDPHTVDWMKKIRRQIHENPELAFEEFETSKLIRQQLDQMGIAYRWPVARTGVVATLGSGSSPFVALRADMDALPIQEMVEWEHKSKVDGKMHACGHDAHAAMLLGAARILKQLQDTLQGTVVLIFQPAEEQGQGGKDMIAEGVLDNVDAIFGLHTVHRYPTGVVASRPGEFLAGCGSFKAKIIGKGGHAAIPQDSIDPILAASTAVISLQNIVSREIDPLDSQVVSVAMIHGGTAFNVIPDSATIEGTFRAFSKKSFNALRERIKEVIEGQAAVHRCTCEVNFTGTEHPIIPPTVNDARIYEHVRRVSIDIVGEGNVELAPIFMGSEDFAFYLDKVPGSFLFLGMRNEKIGSIYLPHSPYYTIDEDVFPIGASIYAVFAHSYLSNSTRNLNSYD >Potri.011G077320.1.v4.1 pep chromosome:Pop_tri_v4:11:8303194:8304857:1 gene:Potri.011G077320.v4.1 transcript:Potri.011G077320.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077320.v4.1 MEIYVFSVIVFLPSLFMASFMAASAGNFNQEVDLTWGGDRAKILSGGSVLSLTLDKVSGSGFQSKSEYLFGRIDMQIKLVGGNSAGSVTAYYLSSEGPYHDEIDFEFLGNLSGEPYTVHTNVYTQGKGDREQQFHLWFDPTKDFHLYSVVWNHQRIIFLVDDTPIRVYENQESIGVPFPKNQPMKLYSSLWNADQWATRGGLVKADWSKAPFTAYYRNFKANACLWSSGSSSCSLKTTSSITNNAWQTQGLDSTSRRSLRWVQKYYMIYNYCTDYKRFPMGRPRECRLSKHF >Potri.005G208800.1.v4.1 pep chromosome:Pop_tri_v4:5:21309132:21312083:-1 gene:Potri.005G208800.v4.1 transcript:Potri.005G208800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208800.v4.1 MAGIDDGEVGFEEAISCLPSHVLDEAIWDSKEYVKQHHHHHHHQYRSLPKLPQDPHPQRPKSSPRPDCRTKYATNWASGGPGMQAVFLDSGKKSSGTGVFLPQRAGTNMQSSKKPACAPVLLPARVVQALNINVHEIGLHISRRQDAKNKSKGRDCNSFKKKNSKDVSAQCAVAINNENSSPEIFLPKEWTY >Potri.009G042200.5.v4.1 pep chromosome:Pop_tri_v4:9:4929251:4931966:1 gene:Potri.009G042200.v4.1 transcript:Potri.009G042200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042200.v4.1 MTQPPQPHLPKHRHPSCHRHPSKPITGFCASCLRERLAGIDPDTHQETPVTHLAAELRRSKSYSSTTTRNNPNNNNASTTATTSEPRRKSCDVGPFRNTLSDLFHVDDKRRSSSIEKTKGFDSGLELKEEENLGEIRVSAQGANNSEGNVEDLEEDGELKTMKEFIDLEWERKKTGGRDLKDIAGSFWEVASVFSKKLGKWQRKHKRKEKIDGGNLGGLVKNEKLSVRKLRETQSEIGEYGFGRRSCDTDPRLSVDVARLSVDDSHYSFDEPRASWDGNLIGKTYPRLTPLVSVMEDVKLPSGGVENAKENDSLKNEGESSPGGTMLTMNYYSDRRRKSFDRSGSNRRVGLGDEEFKSMSNAKVSPETVGLFHGAKLLVTEKELRDSNWYSLKDHREENADAVSKDVSSITGGGVRKKGFKFKKSHKWYGVWNIWGLMQRSSQSKCGDEESRVSGNAVDGPIPESWQNLSGVADGEANRIVNPKLIRSYTVSARDSCRMACSTNGAESKANDIKRGEELLLQRNRSTRYSPNNLDNGLLRFYLSPLRSYGRSKSGKSRLKNTNSMSRNVL >Potri.007G031400.2.v4.1 pep chromosome:Pop_tri_v4:7:2409427:2412025:1 gene:Potri.007G031400.v4.1 transcript:Potri.007G031400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031400.v4.1 MSLKKSLAERVLKFSKIPNQYLTNSRISSSLQTRIHQNPAQSNTIPDHPGEPGIFRRLFHKPAIFSPELRQLPIGEGLIDRIRGFDIVKDRIRWDVLSPPPVVTEEVAAEEGLTAEDARKLLKVAQLEGLKSRLREMEKTWICYSEFVRVCSEGCSDLEYGIRVARNLDESGTVVVLGNLVLLKPEQENETFVVTQARSKGHSVSTVTRFVSLFWCFLMLTKEKLFN >Potri.007G031400.1.v4.1 pep chromosome:Pop_tri_v4:7:2409476:2412000:1 gene:Potri.007G031400.v4.1 transcript:Potri.007G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031400.v4.1 MSLKKSLAERVLKFSKIPNQYLTNSRISSSLQTRIHQNPAQSNTIPDHPGEPGIFRRLFHKPAIFSPELRQLPIGEGLIDRIRGFDIVKDRIRWDVLSPPPVVTEEVAAEEGLTAEDARKLLKVAQLEGLKSRLREMEKTWICYSEFVRVCSEGCSDLEYGIRVARNLDESGTVVVLGNLVLLKPEQVLKAIGGLIPLPATDPNDPRRKELQEMEKQKAVIDQKAGTLVRRELWCGLGFLILQTAGFMRLTFWELTWDVMEPICFYVTSFYFVAGYTFFLRTSKEPSFEGFYQSRFSAKQKQLMKLNNFNMERYDELRKICHPLPSSVEQIQAMNSLDQAGKIC >Potri.009G035950.1.v4.1 pep chromosome:Pop_tri_v4:9:4562816:4563179:-1 gene:Potri.009G035950.v4.1 transcript:Potri.009G035950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035950.v4.1 MNTSVPYELVFPKMESSHFMFTLLCLDAGKAAQQVNVLCPKRLRCLICNKL >Potri.009G102850.1.v4.1 pep chromosome:Pop_tri_v4:9:9052225:9052780:-1 gene:Potri.009G102850.v4.1 transcript:Potri.009G102850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102850.v4.1 MASSYGNFIFFSQKSCISCQDAWTLPLLPLRL >Potri.T012500.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:212556:215086:-1 gene:Potri.T012500.v4.1 transcript:Potri.T012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012500.v4.1 MSIANSCALLLLFFLTLSLYITFSTAANTIGVSHTTVAVAADNVPLPKKVATFLPSDISPPTEKYWYSRLPNTPLPKALEYLLQPGHYPSITREFANENVSATVIQRIYRRVYKRGYKRGDKKSLKSALPDSTIFYQYNDLHPGKKLKILFTDSGTKVSFLPRQIAESIPFSSDKIPEILKYFTLEVNSKEAQVIREEIGGCEEPNMEGEDKYCATSLESLIDYSVERLGQNVRVLSTGAGKKQEYTVSAEVRMIGDHKAAVCHKMRYPYAVHYCHVIADTEVDEVPLVGADGTRVKAVTVCHLNTSAWSPDHMAFEVLKIKPGPAVCHFIDSDTLVWVPNKDKEMTP >Potri.019G070479.2.v4.1 pep chromosome:Pop_tri_v4:19:11120003:11122591:1 gene:Potri.019G070479.v4.1 transcript:Potri.019G070479.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070479.v4.1 MLFELCLQLPDVPEGACSFCLPADAIPEWFSHQSWGSTVTFQLSSHWANSQFLGFSLCAVIAFYRVSYGLQVKCTYHFRNEHGDSHDLYCYLHGWYDEKQIDSTHILVGFDPCVVAKEDYMFNEYSEVSVEFQLEDINGNLLALDKVYECGVRLLYEDGIHPFDLIMPGSSRFHHLDRDGLEARFQAKRARFQANRGEDSSIMRRTYELLDFKLHFKRFRLPRRVVCFSSL >Potri.019G070479.1.v4.1 pep chromosome:Pop_tri_v4:19:11116634:11122755:1 gene:Potri.019G070479.v4.1 transcript:Potri.019G070479.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070479.v4.1 MQSAPSSWPRSLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNQLWRGDQNLVNLKDVNLSNCEHITFMPDLSKARNLERLNLQFCTSLVKFPSSVQHLDKLVDLDLRGCKRLINLPSRFNSSFLETLNLSGCSNIKKCPETARKLTYLNLNETAVEELPQSIGEQSGLVALNLKNCKHLVNLPENIYLLKSLLVADFSGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGGLRELIYLDLVGCNLLKNLPSAVSKLGCLKKLDLSGCSSITEFPKVSNTIKELYLNGTAIREIPSSIECLFDLAELHLRNCKQFEILPSGICKLRKLERLNLSGCVQFRNFPEVLEPMVCLRYPYLEQTSITELPSPIGNLMGLACLEVGNCKYLKDIECLGDLQMPERCVDLDCLRKLNLDGCCLSEVPDSLGLLPSLEVLDLSGNNFQTIPISINKLFELQYLGLRNCMRLQSLPELPPRLSKLDADNCERLHYLVSSSSTVVEGNIFDFIFTNCSRSRWFNQILAYSLLKFRLYTKRLYHQLPDVPEGACSFCLPADAIPEWFSHQSWGSTVTFQLSSHWANSQFLGFSLCAVIAFYRVSYGLQVKCTYHFRNEHGDSHDLYCYLHGWYDEKQIDSTHILVGFDPCVVAKEDYMFNEYSEVSVEFQLEDINGNLLALDKVYECGVRLLYEDGIHPFDLIMPGSSRFHHLDRDGLEARFQAKRARFQANRGEDSSIMRRTYELLDFKLHFKRFRLPRRVGGSYVQASASSFYLLGDVSPEWFSHQSWGSIVTFQLSSYRDSSEFLGFCLCAVIASYSFNFELKVKCTYHFRNEHGDSHDLYCYLHEKFGERRIYSDLIFVGFDPCLVAKEKDMFSEYSEVSIEFQPVETIGNFNSLLLDRYQVVECGVRLLHAERAMLPDSDDWGNGFDDVTHKLLF >Potri.002G084600.2.v4.1 pep chromosome:Pop_tri_v4:2:6017277:6020182:-1 gene:Potri.002G084600.v4.1 transcript:Potri.002G084600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084600.v4.1 MPSSGSFLRQIRGRSTSWRWSTSNSKCSTVEGAYYCERSLKQMEGLYMYGNDNAGLATRKRVMVVVDHTSHSKHAMMWALTHLANKGDLLTLLHIIPPSHKGSSGRTSGSGTDSSSPYLASSLGSLCKASRPEVEVEALVIQGPKLATVMNQVKKLEVTVLVLGQRRPSTLFSCLCATSNIEDFAEQCINNAECWAIGVRKQTEGMSGYLITTKRQKDFWLLA >Potri.013G144700.1.v4.1 pep chromosome:Pop_tri_v4:13:14287140:14292243:1 gene:Potri.013G144700.v4.1 transcript:Potri.013G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144700.v4.1 MSRYDSNPFDEEEVNPFADQGGKGKGSGQSNYGGGAFYMPNPGSVPPATSRLSPLPHEPYDRGATIDIPLDSGKDIKAKEKELQAKEAELKRREQELKRKEDAIARAGIVIEDKNWPPFFPIIHHDIGNEIPIHLQKMQYVAFTTLLGLFVCLSWNIIAVTTAWIKGEGPTIWFLAIIYFIAGVPGGYVMWYRPLYRAMRTDSALKFGWFFFAYLFHIGFCIFAAVAPPIVFKGKSLAGILPAIDLMGNHALVGIFYFIGFGFFCVESLLSIWVIQQVYMYFRGSGKAAEMKREAATRTMMAAL >Potri.002G221400.1.v4.1 pep chromosome:Pop_tri_v4:2:20569835:20572044:1 gene:Potri.002G221400.v4.1 transcript:Potri.002G221400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221400.v4.1 MAASAIQQSAFAGQTALKQPNDLVRKVGSFGGGRVTMRRTVKSAPQSIWYGPDRPKFLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGESVWFKAGAQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPVENLLDHLADPVANNAWAYATNFVPGK >Potri.001G289600.1.v4.1 pep chromosome:Pop_tri_v4:1:30196205:30199191:-1 gene:Potri.001G289600.v4.1 transcript:Potri.001G289600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289600.v4.1 MSFTVAHTSSLSPFSPKTHQNPRTFPSHSKLILHFHSSKSPNSLLQASRRTSNLDGPRNWSRSINSDFADDHEDGGDDDEAEEEDRSLDLLVRFISNVFKKVSKRARKAVRSVLPVPISTKLVEFSVNGVLLLAFLWVLKAFLEVVCTLGSMVFVSILLIRGIWSGVTYLQERRNLRINELDDDHRAWTGTQPAA >Potri.001G289600.5.v4.1 pep chromosome:Pop_tri_v4:1:30198397:30199052:-1 gene:Potri.001G289600.v4.1 transcript:Potri.001G289600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289600.v4.1 MSFTVAHTSSLSPFSPKTHQNPRTFPSHSKLILHFHSSKSPNSLLQASRRTSNLDGPRNWSRSINSDFADDHEDGGDDDEAEEEDRSLDLLVRFISNVFKKVSKRARKAVRSVLPVPISTKLVEFSVNGVLLLAFLWVLKAFLEVMLILDHLLLLFFNLSTLLVGVVFNSNWYNR >Potri.016G132700.1.v4.1 pep chromosome:Pop_tri_v4:16:13713752:13715786:1 gene:Potri.016G132700.v4.1 transcript:Potri.016G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX83 MASFNSSSSTITTFKFHFGAFLLLVGVASAQLASNFYGTSCPSVLSVIKSAVDSAVSNEARMGASLLRLHFHDCFVNGCDASVLLDGGEKTAPANTNSLRGFEVIDSIKTQLESSCPGVVSCADILSVAARDSVVALGGPSWQVQLGRRDSATAGSVSDVNNNVPSPALSVSGLISAFSNKGFTAKEMVALSGSHTIGQARCTTFLTRINNETNIDSSFKTSTQAQCQNTNNFVPLDVTSPTSFDSAYYRNLLNQKGLLHSDQQLFSGGSTDAQVRAYSSNQAAFRTDFANAMIKMGNLSPLTGTNGQIRTNCRKAN >Potri.009G090600.1.v4.1 pep chromosome:Pop_tri_v4:9:8331313:8334531:-1 gene:Potri.009G090600.v4.1 transcript:Potri.009G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090600.v4.1 MSGSFMRNSTIPRLLRIHTITDPAAATTTTTTTVHSILRQQHQTRRAYFDFSNFFKRSREYNELSPSSLLTSSFSSKAGTSEFSKFGFVGWYLGMVKSRPVLTKSATSSLIYIAADLSSQTMSLPSSEAYDLVRILRMAGYGLLIIGPSLHFWFNFVSKLFPKRDLITTFKKIIMGQTIYGPIMTVVFFSSNACLQGENSAEIIARLKRDLLPTMINGVMYWPVCDFVTFKFIPVHLQPLVSNSFSYLWTVYMTYMASLDKAGSSS >Potri.017G070000.1.v4.1 pep chromosome:Pop_tri_v4:17:7548075:7548987:-1 gene:Potri.017G070000.v4.1 transcript:Potri.017G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070000.v4.1 MGFSKKTQVDSGLDSEGKKWVIAGIAIRTSLKPVNTKSRVKDCDGDEEEFSTTPTAKEARIPERLPCPPAPRKRRPSSRCNYSGVREFFTPPDLETVFKCYVEKAN >Potri.003G131550.1.v4.1 pep chromosome:Pop_tri_v4:3:14976841:14977215:-1 gene:Potri.003G131550.v4.1 transcript:Potri.003G131550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131550.v4.1 MRGIAALLPAKYKFKQIRPMTGSWGTVATSTRKRQEGNASFEKVLAPNEKMDPIVAFSKPPPLPPVFGPLIALSLFEMWSSHDGDDD >Potri.016G016400.1.v4.1 pep chromosome:Pop_tri_v4:16:856317:858178:1 gene:Potri.016G016400.v4.1 transcript:Potri.016G016400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016400.v4.1 MKKAELVFIPLPAMGHIIAAVEIAKLIVERDDRISTSVLIMRPTLDSTTTKYTESLSPSTLPNRMRVVDLPCLEHTAVHKTGASWMASLAEAQKNHVKEFVSKIKAQSELSPNDSPRLAGFVLDTFVLGMNDLAAEFGVPWYVFSASGAAFIGSMLYLQALHDEQKADLPEYKDSDAELEIPSLVNRLPAKLLPSLVFDRESLPIFLGAARRLKHARGILINTFKELESHAINSLSKGEIPPVYPLGPIVRCKGNSYDVGSSQINDYKDIMLWLDDQPPCSVVFLCFRSWGSFSVDQVKENAYALEQCGHRFLWCLRERPCKGKIESPSDYVNFQDILPEGFLDRSVKIGKVIKWAPQVEILGHKAIGGFVSHCGWNSTLESTLSGVPIATWPLYGEQQFNAFEIVIELGLGVEIKIDSGINIIEGAIGEIVSSDDIKRGLKLLMENGSEIRKKVKEMSQLSRKALMEDGSSYSALTRLIEDVMDSIACHDCSLLS >Potri.015G147200.3.v4.1 pep chromosome:Pop_tri_v4:15:15100865:15106399:-1 gene:Potri.015G147200.v4.1 transcript:Potri.015G147200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147200.v4.1 MESPPERSSRSYAKRDAEDSSDVKSDRGGDDDEWDGSDKRKHRSTKSRKSTSGDDAEGFDGSGRRRSSTGDRSDSRKRGGGCSSAVSIKAGSDEDDYETRKDTRSKQLKKKQDESSLEKLSSWYQDGELDNKQGGGDKSVSKGHVQPDESERRKLTSKISKHEGSRTAIKSKEERSYDGENEKALDRDTRYSERKDSSREKGHSSAEAGKNSRRRGDESDSNRKAEETLSEKPGPRSGKVSDSKYESKERSARNEPSESKSRGLDSNSEKGVKTSNRDDRRVEAEREKYKSKGRSETAEEDNRASPLTREDRSGRETIEKHREQRTPTRRDVAESHERSSNAEEDGNTWTRDKGAREVGRSNRSKTPERGIRRHQDLQQSEIEYERNVDMRRKDQEKDGYRDDRSKGRDDSWNDRNRDRESSKENWKRRQSSGNDREPKDGDIAYDRSKDWEPRHGRERNDNERPHGRSRGEAVKTSSNFGISNDNYDVIEVPLDHGRPESRSNFARRIEANQQSDGRSAPNTEEWAYMQDERARRNDSPFVGDSKEKYMDDDAPMRDPSSWRDDIEYHGGKGRGQKGAMPSHGGGGQSSSSGSQPPYGNQDSGSFGRGPLQGLKGSRVGRGGRVRPAGRDNQQVGLPLPLMGSPFGHLGMPHPGALQPLAPSMSPAPGPPISPGVFIPPFSPPVVWAGARGVEMNMLGVPPVLSAVPPGPAAPRFSPNMGTPPSNPAIFFNQAGPGRGVPPSISGPGFNASGPVGRGTPPDKSAGGWVPPRNNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQKKDEIVAKSASPPMYMKCDLHEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHMEYWTYEEILNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIRGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTVGKGLSSSNFNSEAYIKNFSDKDGKVWQGGGGRNPPAEAPHLVVTTPDIEALRPKSPMKNQQQQQQSVSISLTTANSSNRRPAGNYSPQNPSTFGLNQEATSSNPSTPAPWASSPMEGYRGREGGNMPSEDKVFDVYGYNGQANADYLDFESHRPMNLL >Potri.015G147200.2.v4.1 pep chromosome:Pop_tri_v4:15:15100856:15106395:-1 gene:Potri.015G147200.v4.1 transcript:Potri.015G147200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147200.v4.1 MESPPERSSRSYAKRDAEDSSDVKSDRGGDDDEWDGSDKRKHRSTKSRKSTSGDDAEGFDGSGRRRSSTGDRSDSRKRGGGCSSAVSIKAGSDEDDYETRKDTRSKQLKKKQDESSLEKLSSWYQDGELDNKQGGGDKSVSKGHVQPDESERRKLTSKISKHEGSRTAIKSKEERSYDGENEKALDRDTRYSERKDSSREKGHSSAEAGKNSRRRGDESDSNRKAEETLSEKPGPRSGKVSDSKYESKERSARNEPSESKSRGLDSNSEKGVKTSNRDDRRVEAEREKYKSKGRSETAEEDNRASPLTREDRSGRETIEKHREQRTPTRRDVAESHERSSNAEEDGNTWTRDKGAREVGRSNRSKTPERGIRRHQDLQQSEIEYERNVDMRRKDQEKDGYRDDRSKGRDDSWNDRNRDRESSKENWKRRQSSGNDREPKDGDIAYDRSKDWEPRHGRERNDNERPHGRSRGEAVKTSSNFGISNDNYDVIEVPLDHGRPESRSNFARRIEANQQSDGRSAPNTEEWAYMQDERARRNDSPFVGDSKEKYMDDDAPMRDPSSWRDDIEYHGGKGRGQKGAMPSHGGGGQSSSSGSQPPYGNQDSGSFGRGPLQGLKGSRVGRGGRVRPAGRDNQQVGLPLPLMGSPFGHLGMPHPGALQPLAPSMSPAPGPPISPGVFIPPFSPPVVWAGARGVEMNMLGVPPVLSAVPPGPAAPRFSPNMGTPPSNPAIFFNQAGPGRGVPPSISGPGFNASGPVGRGTPPDKSAGGWVPPRNNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQKKDEIVAKSASPPMYMKCDLHEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHMEYWTYEEILNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIRGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTVGKGLSSSNFNSEAYIKNFSDKDGKVWQGGGGRNPPAEAPHLVVTTPDIEALRPKSPMKNQQQQQQSVSISLTTANSSNRRPAGNYSPQNPSTFGLNQEATSSNPSTPAPWASSPMEGYRGREGGNMPSEDKVFDVYGYNGQANADYLDFESHRPMNLL >Potri.015G147200.1.v4.1 pep chromosome:Pop_tri_v4:15:15100958:15105922:-1 gene:Potri.015G147200.v4.1 transcript:Potri.015G147200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147200.v4.1 MESPPERSSRSYAKRDAEDSSDVKSDRGGDDDEWDGSDKRKHRSTKSRKSTSGDDAEGFDGSGRRRSSTGDRSDSRKRGGGCSSAVSIKAGSDEDDYETRKDTRSKQLKKKQDESSLEKLSSWYQDGELDNKQGGGDKSVSKGHVQPDESERRKLTSKISKHEGSRTAIKSKEERSYDGENEKALDRDTRYSERKDSSREKGHSSAEAGKNSRRRGDESDSNRKAEETLSEKPGPRSGKVSDSKYESKERSARNEPSESKSRGLDSNSEKGVKTSNRDDRRVEAEREKYKSKGRSETAEEDNRASPLTREDRSGRETIEKHREQRTPTRRDVAESHERSSNAEEDGNTWTRDKGAREVGRSNRSKTPERGIRRHQDLQQSEIEYERNVDMRRKDQEKDGYRDDRSKGRDDSWNDRNRDRESSKENWKRRQSSGNDREPKDGDIAYDRSKDWEPRHGRERNDNERPHGRSRGEAVKTSSNFGISNDNYDVIEVPLDHGRPESRSNFARRIEANQQSDGRSAPNTEEWAYMQDERARRNDSPFVGDSKEKYMDDDAPMRDPSSWRDDIEYHGGKGRGQKGAMPSHGGGGQSSSSGSQPPYGNQDSGSFGRGPLQGLKGSRVGRGGRVRPAGRDNQQVGLPLPLMGSPFGHLGMPHPGALQPLAPSMSPAPGPPISPGVFIPPFSPPVVWAGARGVEMNMLGVPPVLSAVPPGPAAPRFSPNMGTPPSNPAIFFNQAGPGRGVPPSISGPGFNASGPVGRGTPPDKSAGGWVPPRNNGPPGKAPSRGEQNDYSQNFVDTGMRPQNFIRELELTSVVEDYPKLRELIQKKDEIVAKSASPPMYMKCDLHEFELSPEFFGTKFDVILVDPPWEEYVHRAPGVADHMEYWTYEEILNLKIEAIADTPSFIFLWVGDGVGLEQGRQCLKKWGFRRCEDICWVKTNKSNATPGLRHDSHTLFQHSKEHCLMGIRGTVRRSTDGHIIHANIDTDVIIAEEPPYGSTQKPEDMYRIIEHFSLGRRRLELFGEDHNIRSGWLTVGKGLSSSNFNSEAYIKNFSDKDGKVWQGGGGRNPPAEAPHLVVTTPDIEALRPKSPMKNQQQQQQSVSISLTTANSSNRRPAGNYSPQNPSTFGLNQEATSSNPSTPAPWASSPMEGYRGREGGNMPSEDKVFDVYGYNGQANADYLDFESHRPMNLL >Potri.007G010600.1.v4.1 pep chromosome:Pop_tri_v4:7:804863:813704:-1 gene:Potri.007G010600.v4.1 transcript:Potri.007G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010600.v4.1 MKLSIIITVIISAVILQIIGLSLFIFGFFPVKPALSGVSGPESFYYDDGGGSDSVANHTRASMPPHLLKSLYQELSGIHPSFERLILMVIDGLPAEFVLGKDGQPPREDFREAMPYTQALLSNGMATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFHTQAMLDDNLLGQFFRIGWKMVMLGDETWLKLFPGLFTRHDGVSSFYVKDTVQVDQNVSRHLENELNRDDWNLLILHYLGLDHVGHIGGRNSILMAPKLKEMDEVVKMIHLSTIQTRDNDQGKTLLVVVSDHGMTENGNHGGSSYEETDSLALFVGLKNDLSDYAASSCDSIYQVDIAPTLALLFGVPIPKNNVGVLISEAFDLLTDDKQLRVLELNSWQLLRLIQAQLPGLSCRNLPSHDGFTDGLASTTVECSGSMEKMLCCLYMNAINLHSSWKSKSLSRSKSRDDYSCTVAAYHQFLKTASEWLSRRVTDKPVGLLAFGIVAMAISSLTLLGLMICMSTEDQPGENQRLCNSITGLHKWSVNEIFLLGVMLILVMSMASSSMVEEEQYIWHFVLSTSYVLFLRKAVQPLAPGSAKSFFKLMKGQTERLDFRISSIILLLISGRILRSWHQGGVNWTYLPDISKWLEQAGVNHVRSIQLASGLLVISLSIFALFLFGSRRKITQLVGFCFLICGFLVLWHLYHNNAFVSASCDAAIQAQIIYAILGIATIGTFVALPWFIPLWFPGTCSKPNVKSTLVTFDGQCIFSLVEFRDSSYLIGLAYIICWCLLQLLLQQPINSMPILLLLMQILSSMLYFSCSGLQHIEVEVALLYYMGMAGHFALGNSNTLATIDVAGAFIGLSSHSMFLSGILMFIITYASPMLFLLSMLMYISVKCTSYLANHQNVDSGHLAKMILGFPCLVPVGLNSILLTSYTIVLLLMRNHLFVWSVFSPKYLYVCATTVCIYVGVFVVAATEIYTCWVLALRRKKQISIR >Potri.007G010600.4.v4.1 pep chromosome:Pop_tri_v4:7:804953:813641:-1 gene:Potri.007G010600.v4.1 transcript:Potri.007G010600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010600.v4.1 MKLSIIITVIISAVILQIIGLSLFIFGFFPVKPALSGVSGPESFYYDDGGGSDSVANHTRASMPPHLLKSLYQELSGIHPSFERLILMVIDGLPAEFVLGKDGQPPREDFREAMPYTQALLSNGMATGYHAKAAPPTVTMPRLKAMVSGAIGGFLDVAFNFHTQAMLDDNLLGQFFRIGWKMVMLGDETWLKLFPGLFTRHDGVSSFYVKDTVQVDQNVSRHLENELNRDDWNLLILHYLGLDHVGHIGGRNSILMAPKLKEMDEVVKMIHLSTIQTRDNDQGKTLLVVVSDHGMTENGNHGGSSYEETDSLALFVGLKNDLSDYAASSCDSIYQVDIAPTLALLFGVPIPKNNVGVLISEAFDLLTDDKQLRVLELNSWQLLRLIQAQLPGLSCRNLPSHDGFTDGLASTTVECSGSMEKMLCCLYMNAINLHSSWKSKSLSRSKSRDDYSCTVAAYHQFLKTAKTCWLTCFWDCGNGHIISNIIRPHDLHEYRGSTWREPTPL >Potri.019G085100.1.v4.1 pep chromosome:Pop_tri_v4:19:12445737:12450963:1 gene:Potri.019G085100.v4.1 transcript:Potri.019G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085100.v4.1 MGLSKKLSRKSSRDSDDFEEFDDMESEQLLTTMEDYDDDREDDEDEEDEEEEDGEEEEEDEDEEDGEEGEKQEEEDEESGKDGEMEDLEKEYMNLRNQEQDIWKNLKRHKDEDLIKGQAVKNQKALWDKTLEFRFLLQKAFANSNRLPQEPVRSSFCDSDEVVGMAYADVITSSKKTLESLLELQEALLEKNPSISQSSNGDSGQVTKKHSKDSTNSDAEEDIDWLRISEMQKRIAPFRNKSIDKWQRKTQVTTGAAAMTGKLQAFNQNISEQVAAYMRDPTKMVRQMQQRRSTIGVFGAVPELTSNARGEETCPDGDPELLNDTEFYQQLLKEFLENVDPSSTEATFYALKRLQTKKRKIVDRRASKSRKIRYNVHEKIVNFMAPQPMNIPPMAPKVFENLFGLKTQKPAVDS >Potri.019G085100.4.v4.1 pep chromosome:Pop_tri_v4:19:12445739:12450906:1 gene:Potri.019G085100.v4.1 transcript:Potri.019G085100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085100.v4.1 MGLSKKLSRKSSRDSDDFEEFDDMESEQLLTTMEDYDDDREDDEDEEDEEEEDGEEEEEDEDEEDGEEGEKQEEEDEESGKDGEMEDLEKEYMNLRNQEQDIWKNLKRHKDEDLIKGQAVKNQKALWDKTLEFRFLLQKAFANSNRLPQEPVRSSFCDSDEVVGMAYADVITSSKKTLESLLELQEALLEKNPSISQSSNGDSGQVTKKHSKDSTNSDAEEDIDWLRISEMQKRIAPFRNKSIDKWQRKTQVTTGAAAMTGKLQAFNQNISEQVAAYMRDPTKMVRQMQQRRSTIGVFGAVPELTSNARGEVIL >Potri.003G055600.5.v4.1 pep chromosome:Pop_tri_v4:3:8139884:8144191:1 gene:Potri.003G055600.v4.1 transcript:Potri.003G055600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055600.v4.1 MAEALVGGSFLSAFLQVLFDRMASPQVWGFFKGQKLDDGLLKDLKATMRSVNKLLNDAEEKQIADSEVKDWLDDLKDAVYEADDFFDEIAYEAMRLEVEAGSRTSTDQGVIFLSSFSPFNKVKEKMVAKLEEISRTLERLLKRNGVLGLKEVIGQKESTQKLPTTSLTEDSFFYGREDDQETIVKLLLSPDANGKTVGAIPIVGMGGVGKTTLSQFVLNDSRVQKGFDLKAWVCVSVDFDVHKLTKDILMEVGSQNCDAKTLNGLHQELEEKLKGKKVLLVLDDVWSSDQSRWDFLLKPFKSVAEGSKLIVTTRNENIVPAMHRAIPRNQNKESSPCPISIHRLMGLTEDICWILFKEHAFNGEDPREHPDLQGISRQIASKCKGLPLAAKTLGRLLCFERNAEKWEEILKSHIWESPNDEIIPALQLSYYYLPPHLKRCFAFCSIYPKDYRFLKEDLVRLWLAEGLVQPKGCKEIVKLGEEYFDDLLSRSLFQRSRCNESVFVMHDLINDLAKVVSGEFSFTLVGNYSSKISGRVRHLSFSTTAYDALDKFEGIDKAQVLRTFLPFSHRRSSRVDSKIQHDLLPTFMRLRVLSLAPYQNVVQLHDSIGRLKHLRYLDLTATSLKKLPEFVCSLYNLQTLLLDSCMCLVELPNSIGNLKNLLFLRLHWTAIQSLPESIVNLSNLHTLVLSECKNLTELPTNMGKLTKLERLTDFFVGKQSGSGIEDLGKLQNLQGELRIWNLQNVFPSQDGETAKLLDKQRVKELELRWAGDTEDSQHERRVLEKLKPHKDVKRLSIIGFGGTRFPDWVGSSSFPKIVFLKLKGCNYCTSLPPLGQLVSLKELRIEAFDLIDVVFPELFGNGESKIRILSFEDMKEWREWNSDGVTFPLLQLLQIRRCPELRGALPGHLPALEELCIDRCPLLMASLPRAPTIRQMELFDTSRSVQLTKYQSGMLSLMVQKFHSQNSPLQGIEHIGVSTTLDKIEVHCCDSLKLFQPKSFPNLEILHIWDSPHLESLVDLNTSSLSISSLHIQSLSFPNLSELCVGHCSKLKSLPQGMHSLLPSLKSLSIEDCPELESFPEGGLPSKLQSLNVQNCNKLIDSRKHWGLQSLLSLSKFRIGYNEDVESFPEEMLLPSTLISLELSCLENLKYLDYKGVRHLTSLAKLTISSCPKLESLPEEGFAASKLQSLAIWGCHSLIARRMQWDLCKLPSLSRFRIGYCDDVESFPEETLLPSTLTSLEIWSLEKLKSLNYKGLQHLTSLARLKIRFCRNLHSMPEEKLPSSLTYLDICGCPVLEKRCEKEKGEDWPKISHIPNINN >Potri.008G189000.1.v4.1 pep chromosome:Pop_tri_v4:8:13245540:13247168:1 gene:Potri.008G189000.v4.1 transcript:Potri.008G189000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189000.v4.1 MSTLNHLFDLPDQICYVQCGFCTTILLVSVPCSSLSTVVTVICGHCTSLFSVNMKKFSFLPFNRSTSLSYEDEQKEQLRPEFNAQKGLEMQNSFMAISSNNDEDDRINQVNRVINKPPEKRQRGPSAYNRFIKEEIRRIKTENPRIAHKEAFSTAAKNWAHSPLVQYCKDIDGESSGLEEENGSWSCDAADQVNVESKGLHERKVPRHFMWAKTPFE >Potri.006G075600.1.v4.1 pep chromosome:Pop_tri_v4:6:5577632:5580797:-1 gene:Potri.006G075600.v4.1 transcript:Potri.006G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075600.v4.1 MALRKFYSEIKGLKVKEFPNHVKPMLSLDYVKKSVQRGMDNYHAKYIETSSVDPVYHVCFGGMVLSYFLALPEERRHLEHQQHSKEHGGH >Potri.016G049800.1.v4.1 pep chromosome:Pop_tri_v4:16:3230638:3233055:-1 gene:Potri.016G049800.v4.1 transcript:Potri.016G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049800.v4.1 MADIQGYIILFLLWLLSTILVRAILNKTRAKPRLPPSPLALPIIGHLHLLAPIPHQALHKLSTRYGPLIHLFLGSVPCVVASTPETAKEFLKTHENSFCDRPKSTAVDFLTYGSADFSFAPYGPYWKFMKKICMTELLGGRMLDQLLPVKHEEIRQFLQFLLKKANARESIDVGSQLIRLTNNVISRMAMSQRCSDNDDEADEVRNLVHEVADLTGKFNLSDFIWFCKNLDLQGFGKRLKEVRKRFDTMTERIIMEHEEARKKKKETGEGDPVKDLLDILLDISEDDSSEMKLTRENIKAFILDIFAAGTDTSAVTMEWALAELINNPNILERAREEIDSVVGQSRLVQESDIANLPYVQAILKETLRLHPTGPIILRESSESCTINGYEIPARTRLFVNVWAINRDPNYWENPLEFEPERFLCAGENGKSQLDVRGQHFHFLPFGSGRRGCPGTTLALQMVQTGLAAMIQCFDWKVNGTVDMQEGTGITLPRAHPLICVPVARLNPFPSF >Potri.006G113000.1.v4.1 pep chromosome:Pop_tri_v4:6:8753418:8758554:1 gene:Potri.006G113000.v4.1 transcript:Potri.006G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113000.v4.1 MMEGRRISAANPRPCSGRRILAAKKRGRSDGFLNSVKKLQRREISSKPDRSFSITNAQERFRNMRLMEEYDTHDPKGHCSVVLPFLMKRTKVIEIVAAQDIIFALAHSGVCAAFSRVTNHRICFLNASPDEVILSLFYNKNNDSVITVSVYASDNFSSLKCRSTRIEYIRRGNPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSMYKVFDLKNYTMLYSISDKDVQEIKISPGIMLLILNRSSSYVPLKILSIEDGTILKDFHHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRHTGIREVSRTEFLTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWRPDCNTNNTYITSDQDLIISYCKAESDDQWMEGNGGSINISNILTGKCLAKINATNGSPKDEECCSSTGSSKQSCSLMKSTVAEALEDITALFYDEDRNEIYTGNRHGLVHVWSN >Potri.013G157900.1.v4.1 pep chromosome:Pop_tri_v4:13:15271321:15276091:-1 gene:Potri.013G157900.v4.1 transcript:Potri.013G157900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157900.v4.1 MDLLLLEKTLLGSFVAILVAILVSKLRGKRFKLPPGPIPVPVFGNWLQVGDDLNHRNLTDLAKKFGDIFLLRMGQRNLVVVSSPDLSKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRYGWEEEAAQVVEDVKKNPEAATNGIVLRRRLQLMMYNNMYRIMFDRRFESEDDPLFNKLKALNGERSRLAQSFDYNYGDFIPILRPFLRGYLKICQEVKERRLQLFKDYFVDERKKLASTKNMNNEGLKCAIDHILDAQKKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKLRHELDTLLGPGHQITEPDTYKLPYLNAVIKETLRLRMAIPLLVPHMNLHDAKLGGFDIPAESKILVNAWWLANNPAHWKNPEEFRPERFLEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSKIDTAEKGGQFSLHILKHSTIVAKPRSF >Potri.002G093000.2.v4.1 pep chromosome:Pop_tri_v4:2:6766941:6769181:-1 gene:Potri.002G093000.v4.1 transcript:Potri.002G093000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093000.v4.1 MPMGLTSGRSEKLEIVRNSNDSIRVRIKASKGFFLQDHWRAFIVEDDFKFISQKRINSVRIPVGWWIASDPTPPQPCVGGSLKALDNAFLWALKYESKVVIDQHAAPDSQNGWELSSSTDGSQEWDQTDANAEQTVDVIDFLTAGMQKSPSLYAVELMNERRAPGASLDSATKYYKAGDDAVRKHSPAAYVVMSNRLSSDGPRELFPRAGGLTGSVIDVHYYNLFSDVFNGMRVQQNIDFIHTNRSAQLNYVTTAGLPKIC >Potri.005G155300.5.v4.1 pep chromosome:Pop_tri_v4:5:14695468:14707063:-1 gene:Potri.005G155300.v4.1 transcript:Potri.005G155300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155300.v4.1 MAREKIKIKKIDNVAARQVTFSKRRRGLLKKAEELSVLCDVEVAVIIFSATGKLFEYSSSSMKDVLARYNLHSNNLDKLNQPSLELQLENSNHMRLRKEVSEKSHQLRRMRGEELQGLNIEELQQLEKVLEVGLCCVLETKGERIMNEISTLERKGAQLLEENKHLKQKMTTICKGKRPALVDLDTAVQEERMSSESTSNVCCSSSGPPVEDDSSDTSLKLG >Potri.005G155300.4.v4.1 pep chromosome:Pop_tri_v4:5:14695469:14707118:-1 gene:Potri.005G155300.v4.1 transcript:Potri.005G155300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155300.v4.1 MAREKIKIKKIDNVAARQVTFSKRRRGLLKKAEELSVLCDVEVAVIIFSATGKLFEYSSSSMKDVLARYNLHSNNLDKLNQPSLELQLENSNHMRLRKEVSEKSHQLRRMRGEELQGLNIEELQQLEKVLEVGLCCVLETKGERIMNEISTLERKGAQLLEENKHLKQKMTTICKGKRPALVDLDTAVQEERMSSESTSNVCCSSSGPPVEDDSSDTSLKLGLAILS >Potri.015G053300.2.v4.1 pep chromosome:Pop_tri_v4:15:7082292:7089562:1 gene:Potri.015G053300.v4.1 transcript:Potri.015G053300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053300.v4.1 MESDDDQSPQLKGVVIISLPPPDNPSLGKTITAFTLTNNDYPQSHQTPQTHQEDQLPISSPPPPPSQNSQLQFPSSRLFLGTPRKLLSFVFISLFALAIYSSLFTNTFQELKSNNNDDDDQKPKSYVFPLYHKLGIREIPLNDLENHLRRFVYKENLVASVDHLNGPHKISKLASSNAAAAMDSSAIFPVRGNLYPDGLYFTYMLVGSPPQPYYLDFDTGSDLTWIQCDAPCTSCAKGANAWYKPRRGNIVPPKDLLCMEVQRNQKAGYCETCDQCDYEIEYADHSSSMGVLATDKLLLMVANGSLTKLNFIFGCAYDQQGLLLKTLVKTDGILGLSRAKVSLPSQLASQGIINNVIGHCLTTDLGGGGYMFLGDDFVPRWGMAWVPMLDSPSMEFYHTEVVKLNYGSSPLSLGGMESRVKHILFDSGSSYTYFPKEAYSELVASLNEVSGAGLVQSTSDTTLPLCWRANFPIRSVKDVKKFFKTLTFQFGTKWLVISTKFRIPPEGYLMMSDKGNVCLGILEGSKVHDGSTIILGDISLRGQLVVYDNVNKKIGWTPSDCAKPKRSDSLQFFDGLPFFDG >Potri.003G186100.1.v4.1 pep chromosome:Pop_tri_v4:3:19140903:19144324:1 gene:Potri.003G186100.v4.1 transcript:Potri.003G186100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186100.v4.1 MKMRSIFRISLLLLPILFQLATAAPPVTKPGCQNRCGNISIPYPFGTAQDCYANEMFKIVCRETTNESAPRAFISMINMEVLNITDGAAIVNGPIMSSNCSDRQSNLPALNLTGSPFFFSFGNVFTAVGCNVRALLTGIGRQVVGCDSTCSADSNQKTLLYGQEINSLCADGNCCVARAPYRMQVFQPSLDTKNGSEDSSGCKLAFLTDETNFSFLNITNPQALQGWRSVPLVLAWMMDYSIWRYDKSTMDCKYFLYESSVSNVSGYECSCSNGYEGNPYLGCTDINECKDPNRHSCLGITKCVNTLGSYKCEVNKYWIVPILVVVIAGILSLLAGISWLYKLAKKRKNIELKRKFFKRNGGLLLQQQLSSNHGSVQKTKIFTSKELETATDRFNENRILGQGGQGTVYKGMLEDGRIVAVKRSTIVGEEKLEEFINEVVILSQINHRNVVKLFGCCLETEVPLLVYEFISNGNLFQYLHNFYQNEDFILSWEMRLQIAIEVAGALSYLHSAASIPIYHRDIKSANILLDDKYRAKVSDFGSSRSMAIDQTHLTTNVQGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLSGKKPIISSTSQETRSLATHFIVLMEENRLFDILDVQVKEDCLEEEIMAVANLAKRCLNVSRKHRPTMKEVSAELERIGLLHRKSIVQQNEEDTNEKSTMVERLCNWDAVPISATCDFDNARSVQSTVAEPLITYNTL >Potri.002G050400.2.v4.1 pep chromosome:Pop_tri_v4:2:3395817:3398835:1 gene:Potri.002G050400.v4.1 transcript:Potri.002G050400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050400.v4.1 MASKGVQLSLMLVLSMMLCHGATAQSGCTTALMGLVPCLNYVTGNSSTPSSSCCSQLATIVQSQPQCLCTLVNGGGSSFGIAINQTLALALPGACNVKTPPASQCNAANVPATSPASSPVLSPPADSPVLSPPADSSDATPEAPTTVSTPSIPAGSGSKTVPTSTGTSDASIMRMQPHLTIFVFISALCASGIVRF >Potri.013G004300.2.v4.1 pep chromosome:Pop_tri_v4:13:300054:300695:-1 gene:Potri.013G004300.v4.1 transcript:Potri.013G004300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004300.v4.1 MPSVERSAKMERTQKMLLILAIFMLVLASNVGAGRKLKDTKEKVDHPQNYLGGFGTSGGFIPTPNGPVFAFGPSGFCSYPGVGCVRIPGTGVIGSPP >Potri.013G142972.1.v4.1 pep chromosome:Pop_tri_v4:13:15609203:15609689:1 gene:Potri.013G142972.v4.1 transcript:Potri.013G142972.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142972.v4.1 MANPITKVSSESNSNDSKHFFLHYFGNPRTQSYGYGKYRISNPSRKRRETDTQFKVSKQNSILNLIDTYRILWKAVFDESRMYGLEGGLSYLSRSTLQYGVKKPK >Potri.004G058300.1.v4.1 pep chromosome:Pop_tri_v4:4:4876221:4878061:-1 gene:Potri.004G058300.v4.1 transcript:Potri.004G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058300.v4.1 MATNKKLGNKSNNSQSQSPLLGRYEIGKLLGHGTFAKVYLARNIKTNESVAIKVIDKEKVLKGGLIAHIKREISILRLVRHPYIVQLFEVMATKAKIYFVMEYVRGGELFNKVAKGRLKEEVARKYFQQLISAVSFCHARGVFHRDLKPENLLLDENGNLKVSDFGLSAVSDQIRQDGLFHTFCGTPAYVAPEVLARKGYDAAKVDIWSCGIVLFVLMAGYLPFHDQNVMVMYKKIYKGEFRCPRWFSSELVRLLHKLLDTNPVTRITIPEIMENRWFKKGFKNIKFYIEDDKVCSVEEEEDVGSSSDQSLSESESEFETRRRVTSLPRPASLNAFDIISFSPGFDLSGLFEEGGEGARFVSGAPVSKIISKLEEIAKVVSFTVRKKDCRVSLEGSREGVRGPLTIAAEIFELTPKLVVVEVKKKGGDRGEYEEFCNKELKPGLQKLMQEESEAAAAPKVITTVPVPSGSPQFTIDPFPTDSSQLPVDPFPTDSTQLPISPFPTDSTQLPIDPFPILSSHLPSDSE >Potri.005G059900.1.v4.1 pep chromosome:Pop_tri_v4:5:3782343:3787963:-1 gene:Potri.005G059900.v4.1 transcript:Potri.005G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059900.v4.1 MEMELEQSMQRETTPSAQAMPSKNTWTSTILANDVSPSVTTTGISSSSSSLISSSAKDLFRCSKSFRTLDIGAPKSNTTDKKLAWLRSQIIGDDVEFDSPFGKRRLTYADHTASGRSLRYIENFIINNVLPFYGNTHTSDSHVGHKTTKMLHEAAEYIKKCLGGGQDDAVMFCGSGTTAAIKRLQEVMAIAVPSTLRDRLIKCLSNEERWLVFVGPYEHHSNLLSWRQSLAEVIEIGLDDNGLIDIEDLRQRLESYKHANRPILGSFSACSNVTGIFSDTRGIAQLLHQHGGFACFDFAASGPYVKIDMRSGEIDGYDAIFLSPHKFLGGPGSPGILLMSKALYQLGSSAPSTCGGGTVDFVNGFCEKHTLYLNEIEERENGGTPQIIQTIRAALAFWVKEYISYRVIEEQENMYIAKALDRLLPNKNIWVLGNTSAKRQAILSFLIYSTTNSSSTRMIHESSTTDRKNINDEVLYMWGEVGNKRDKPLHGPFVAALLNDLFGIQARGGCACAGPYGHSLLHVNEPGSLAFRSAINKGYVGVKPGWTRVSFPYYMSSEEFEFILAAIEFTAIYGQRFLPLYHFNWKTGSWTFRNNEFKNPVDKENNNDTNKFASYFTRAKHIANLLPKFPSQRKIPREIDLDLLYFRV >Potri.018G066300.2.v4.1 pep chromosome:Pop_tri_v4:18:7934458:7937930:-1 gene:Potri.018G066300.v4.1 transcript:Potri.018G066300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066300.v4.1 MGNPKQKWKSEEEEALRAGIAKHGTGKWKNIQRDPEFNPYLRSRSNIDLKDKWRNMTVSAGSQSVKDKSRTLKAKSSPDAAAVVAASTPLSNPHTSAVAAVDVVIDDSSEAAADSKTAPKYNAMIFEAISALNEPNGADTSAIISYIERRQELPQNFRRQLSSRLRRLVAQEKLEKVQNFYKIKKASSFGTKTPTPKQKEVRPKPEQNTGLINSGDTVAEAADDAAYMVAEAENKSFVATEAVKESERVSKMAEDANSLLQLANEILEKCLRGEIVVMG >Potri.012G032500.2.v4.1 pep chromosome:Pop_tri_v4:12:844132:844859:1 gene:Potri.012G032500.v4.1 transcript:Potri.012G032500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G032500.v4.1 MGKYVEWLDVAHLGVRIASRFHSRCPQTGRSYYHPPSGSEDHHHHQKGAGESDQVPVVEDLTQMDNLGVHVDREFDTSQEMLFYSVL >Potri.015G104600.7.v4.1 pep chromosome:Pop_tri_v4:15:12339932:12348403:1 gene:Potri.015G104600.v4.1 transcript:Potri.015G104600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104600.v4.1 MDRRRTESPVYARQWSSDSRGSSGTGSSSPARMSPAHPNSRLGSSMSTIKRTQNVAAKAAAQRLAQVMASSQTADDDEDDDDLGFRFPAPPNPVSASSGFSSVNHRGSNNGVSVIRPNRSPSPALGRNFMENVPSARSTSAGRSSMSVRTVTVVPPSKQSLRTPISIPPIDPPSSRSRDNKRFTSDMGQLKTDAGDQREASALRDELDMLQEENEVIHDKLRSAEEKREEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQSTDGRDAEIATLRTELENLKDEAAAAAEQLQEAESETKSLRTMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADVAVSKHEHWSALAPLPFEVVISAGQKAKEESDRGGRDSDRSKIVRDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPSTVRQTFSDSRPPGDPKFTEAIELSEAEADDVLFKEAWLTYYWRRALVHGVEEDIAEDRLQFWISRSEQAPTSHDAVDVERGVVELRKLSIEQQLWEASRREIDQSSFAPVANHKRTDSELSS >Potri.015G104600.3.v4.1 pep chromosome:Pop_tri_v4:15:12339921:12348323:1 gene:Potri.015G104600.v4.1 transcript:Potri.015G104600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104600.v4.1 MDRRRTESPVYARQWSSDSRGSSGTGSSSPARMSPAHPNSRLGSSMSTIKRTQNVAAKAAAQRLAQVMASSQTADDDEDDDDLGFRFPAPPNPVSASSGFSSVNHRGSNNGVSVIRPNRSPSPALGRNFMENVPSARSTSAGRSSMSVRTVTVVPPSKQSLRTPISIPPIDPPSSRSRDNKRFTSDMGQLKTDAGDQREASALRDELDMLQEENEVIHDKLRSAEEKREEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQSTDGRDAEIATLRTELENLKDEAAAAAEQLQEAESETKSLRTMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADVAVSKHEHWSALAPLPFEVVISAGQKAKEESDRGGRDSDRSKIVRDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPSTVRQTFSDSRPPGDPKFTEAIELSEAEADDVLFKEAWLTYYWRRALVHGVEEDIAEDRLQFWISRSEQAPTSHDAVDVERGVVELRKLSIEQQLWEASRREIDQSSFAPVANHKRTDSELSS >Potri.015G104600.8.v4.1 pep chromosome:Pop_tri_v4:15:12339932:12348323:1 gene:Potri.015G104600.v4.1 transcript:Potri.015G104600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104600.v4.1 MDRRRTESPVYARQWSSDSRGSSGTGSSSPARMSPAHPNSRLGSSMSTIKRTQNVAAKAAAQRLAQVMASSQTADDDEDDDDLGFRFPAPPNPVSASSGFSSVNHRGSNNGVSVIRPNRSPSPALGRNFMENVPSARSTSAGRSSMSVRTVTVVPPSKQSLRTPISIPPIDPPSSRSRDNKRFTSDMGQLKTDAGDQREASALRDELDMLQEENEVIHDKLRSAEEKREEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQSTDGRDAEIATLRTELENLKDEAAAAAEQLQEAESETKSLRTMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADVAVSKHEHWSALAPLPFEVVISAGQKAKEESDRGGRDSDRSKIVRDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPSTVRQTFSDSRPPGDPKFTEAIELSEAEADDVLFKEAWLTYYWRRALVHGVEEDIAEDRLQFWISRSEQAPTSHDAVDVERGVVELRKLSIEQQLWEASRREIDQSSFAPVANHKRTDSELSS >Potri.015G104600.6.v4.1 pep chromosome:Pop_tri_v4:15:12339892:12348272:1 gene:Potri.015G104600.v4.1 transcript:Potri.015G104600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104600.v4.1 MDRRRTESPVYARQWSSDSRGSSGTGSSSPARMSPAHPNSRLGSSMSTIKRTQNVAAKAAAQRLAQVMASSQTADDDEDDDDLGFRFPAPPNPVSASSGFSSVNHRGSNNGVSVIRPNRSPSPALGRNFMENVPSARSTSAGRSSMSVRTVTVVPPSKQSLRTPISIPPIDPPSSRSRDNKRFTSDMGQLKTDAGDQREASALRDELDMLQEENEVIHDKLRSAEEKREEAEARARELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKAAKQSTDGRDAEIATLRTELENLKDEAAAAAEQLQEAESETKSLRTMTQRMILTQEEMEEVVLKRCWLARYWGLAVQHGICADVAVSKHEHWSALAPLPFEVVISAGQKAKEESDRGGRDSDRSKIVRDLSDLTGEGNIESMLSVEMGLRELASLKVEDAVVLALAQHRRPSTVRQTFSDSRPPGDPKFTEAIELSEAEADDVLFKEAWLTYYWRRALVHGVEEDIAEDRLQFWISRSEQAPTSHDAVDGNLNALITKYSKNSSKQALIMCLFLFLYALFSYLWSKVSFAKTYLKNSPCGPLMTYLYPRMFFFSFCFNLIYNRTIDGD >Potri.012G055401.1.v4.1 pep chromosome:Pop_tri_v4:12:5307969:5308985:-1 gene:Potri.012G055401.v4.1 transcript:Potri.012G055401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055401.v4.1 MLPEAVAIYCHGTKRFFEEASRTIDFTNGLQDVRAVYKLNKKDRKREVAGACIQFFKSYKSFSRCHLFILCDNRIIKTKELKEDENSGAVK >Potri.014G104200.6.v4.1 pep chromosome:Pop_tri_v4:14:6975701:6980818:1 gene:Potri.014G104200.v4.1 transcript:Potri.014G104200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK13 MSAKGQGTRTRVGKYELGKTIGEGSFAKVKVAKNVETGDVVAIKILDREQVLRHKMVEQLKREISTMKLIKHPNVIKIFEVMASKTKIYIVIEFVDGGELFDKIAKHGRLREDEARRYFQQLINAVDYCHSRGVFHRDLKPENLLLDSHGVLKVSDFGLSALSQQLQGDGLLHTACGTPNYVAPEVLKDKGYDGTASDVWSCGVILYVLMAGYLPFDETSLMALYLKICSADFTFPSWFSSGAKKLIKRILDPEPLTRITVAEIIEDEWFKKGYRPPQFEQEEHVNVDDVDAVFNDLKEHLVTEKKKKPASMNAFELISKTQGFSLENLFGKQAGFVKRETRIASHSPANEIMSRIEEAAKPLGFNVDKRNYKMKLKGDKGGRKGQLSVATEVFEVAPSLHMVELRKIGGDTLEFHNFYKSFSSGLKDVVWKSDQTIEGLRS >Potri.014G104200.5.v4.1 pep chromosome:Pop_tri_v4:14:6975548:6980869:1 gene:Potri.014G104200.v4.1 transcript:Potri.014G104200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK13 MSAKGQGTRTRVGKYELGKTIGEGSFAKVKVAKNVETGDVVAIKILDREQVLRHKMVEQLKREISTMKLIKHPNVIKIFEVMASKTKIYIVIEFVDGGELFDKIAKHGRLREDEARRYFQQLINAVDYCHSRGVFHRDLKPENLLLDSHGVLKVSDFGLSALSQQLQGDGLLHTACGTPNYVAPEVLKDKGYDGTASDVWSCGVILYVLMAGYLPFDETSLMALYLKICSADFTFPSWFSSGAKKLIKRILDPEPLTRITVAEIIEDEWFKKGYRPPQFEQEEHVNVDDVDAVFNDLKEHLVTEKKKKPASMNAFELISKTQGFSLENLFGKQAGFVKRETRIASHSPANEIMSRIEEAAKPLGFNVDKRNYKMKLKGDKGGRKGQLSVATEVFEVAPSLHMVELRKIGGDTLEFHNFYKSFSSGLKDVVWKSDQTIEGLRS >Potri.001G197600.4.v4.1 pep chromosome:Pop_tri_v4:1:19425857:19430121:1 gene:Potri.001G197600.v4.1 transcript:Potri.001G197600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197600.v4.1 MEPRVGNKFRLGRKIGSGSFGEIYLGANIQTNEEVAIKLENVKTRHPQLLYESKLYKMLQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIANPTTRAHGPGAGPSSGIPPPGANNADRQSGRRVAA >Potri.001G197600.1.v4.1 pep chromosome:Pop_tri_v4:1:19425866:19430211:1 gene:Potri.001G197600.v4.1 transcript:Potri.001G197600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197600.v4.1 MEPRVGNKFRLGRKIGSGSFGEIYLGANIQTNEEVAIKLENVKTRHPQLLYESKLYKMLQGGTGIPNVKWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKTVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYAIDFGLAKKYRDTSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRLFRDLFIREGFQFDYVFDWTILKYQQSQIANPTTRAHGPGAGPSSGIPPPGANNADRQSGGEEGGPGWPSIDHARRRNSGPIVNSGNLSKQKSPVTNDLSLSKDATLSSSNFLRSIVSSRNPVVSSSRGAAFGNDSDPSRPRTTDASFAVLQNGQRSSAALSSEQKHSSSGRNTNIKTLESTLKGIESLHVNIEGRLHH >Potri.006G178200.1.v4.1 pep chromosome:Pop_tri_v4:6:18450589:18451331:1 gene:Potri.006G178200.v4.1 transcript:Potri.006G178200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178200.v4.1 MGNLKCLAIIVLLVATSAVSESRVARKDLGLDLGGLGVGLGVGVGIGLGGGGSGSGAGAGSGSGSRSGSSSSSSSSSSSSSSSSGSGGGSGAGSEAGSYAGSRAGSGSGGGSGAGSEAGSYAGSRASSGSRNGRG >Potri.003G023800.4.v4.1 pep chromosome:Pop_tri_v4:3:2483907:2486147:-1 gene:Potri.003G023800.v4.1 transcript:Potri.003G023800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023800.v4.1 MSHFGRSGPPDIADTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGRVVDGREITVQFAKYGPKAERIHKGRIVETPSKSRHRSRSRSPRRRDRDSRKRSRSRSRDRDRSDRDRYRAKDKDYRRRSRSRSASPDYSRGRGRSRYDDERRSSSRSRDSLSPRRSLEPRRSFSPRKNSPVKGESPDRRSRDGGSPTPRSASPRGRPAASRSPSPRNSDVDE >Potri.003G023800.7.v4.1 pep chromosome:Pop_tri_v4:3:2482925:2486147:-1 gene:Potri.003G023800.v4.1 transcript:Potri.003G023800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023800.v4.1 MSHFGRSGPPDIADTYSLLVLNITFRTTADDLFPLFDKYGKVVDIFIPRDRRTGESRGFAFVRYKYADEAQKAVERLDGRVVDGREITVQFAKYGPKAERIHKGRIVETPSKSRHRSRSRSPRRRYRDDSRDRDSRKRSRSRSRDRDRSDRDRYRAKDKDYRRRSRSRSASPDYSRGRGRSRYDDERRSSSRSRDSLSPRRSLEPRRSFSPRKNSPVKGESPDRRSRDGGSPTPRSASPRGRPAASRSPSPRNSDVDE >Potri.013G125800.1.v4.1 pep chromosome:Pop_tri_v4:13:13358890:13366778:1 gene:Potri.013G125800.v4.1 transcript:Potri.013G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125800.v4.1 MAKKGRSQKKDGSSRSSKRKTRGEYVDPQDMDDDIDSFHKQRDIIPFDVDGDVGELSDDGEEPIFDDEAINNDEDEDNDDSQDTGLAAKIIKQQKFLRAKFGDFDDELQDEEEDEEGAQNILWSGNRSHDYHGGDNRDIELDSSDEALKEEEDEVLKLQRERAKNLSVEDFGLKDDEDESDKELTLGEISNQGKTAKKARLSKEAIDDLGTTFELNKDLNELSRKEQMEIISSSAPELVGLLSELNSTLEELENKVNPLLKKVKVGGIVLESGLRYLEVKQLLLLSYCQTITFYLLLKSEGQPVLDHPVIARLVEIRGLLDKMKQLDENLPSELDEFLKKNPGMQTIESLDREGDGPALASQSVTRDHGLSLLSADTQEPAELCDAIELLKTESLTGSNSKEGKHKRKNDEVGVQSMEMLKVRAALEEKLKQKGAFSSFSSKPDKAQKHRRPVNERLESHEDYDDDAVDDEKGNHRLSNEHTSMLGSKKLSKLVVSKQNKPKVVSGDDDLPMRDDIGERRRKHELRVLADAGVKTEDDAGLGTEDDAGIMSEDELAIESDKNDDMDEDAESSEDDLYEQVKQKRAAKLAAKAEIYTRTSAPPSMPETVDGKRHITYQIEKNRGLTRPRNKLTKNPRKKYRTKHDKAQKRRLGQVRQIKKPSGPYGGESSGINARISRSIRL >Potri.013G125800.2.v4.1 pep chromosome:Pop_tri_v4:13:13358932:13366703:1 gene:Potri.013G125800.v4.1 transcript:Potri.013G125800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125800.v4.1 MAKKGRSQKKDGSSRSSKRKTRGEYVDPQDMDDDIDSFHKQRDIIPFDVDGDVGELSDDGEEPIFDDEAINNDEDEDNDDSQDTGLAAKIIKQQKFLRAKFGDFDDELQDEEEDEEGAQNILWSGNRSHDYHGGDNRDIELDSSDEALKEEEDEVLKLQRERAKNLSVEDFGLKDDEDESDKELTLGEISNQGKTAKKARLSKEAIDDLGTTFELNKDLNELSRKEQMEIISSSAPELVGLLSELNSTLEELENKVNPLLKKVKVGGIVLESGLRYLEVKQLLLLSYCQTITFYLLLKSEGQPVLDHPVIARLVEIRGLLDKMKQLDENLPSELDEFLKKNPGMQTIESLDREGDGPALASQSVTRDHGLSLLSADTQEPAELCDAIELLKTESLTGSNSKEGKHKRKNDEVGVQSMEMLKVRAALEEKLKQKGAFSSFSSKPDKAQKHRRPVNERLESHEDYDDDAVDDEKGNHRLSNEHTSMLGSKKLSKLVVSKQNKPKVVSGDDDLPMRDDIGERRRKHELRVLADAGVKTEDDAGLGTEDDAGIMSEDELAIESDKNDDMDEDAESSEDDLYEQVKQKRAAKLAAKAEIYTRTSAPPSMPETVDGKRHITYQIEKNRGLTRPRNKLTKNPRKKYRTKHDKAQKRRLGQVRQIKKPSGPYGGESSGINARISRSIRL >Potri.016G040200.10.v4.1 pep chromosome:Pop_tri_v4:16:2496869:2498277:-1 gene:Potri.016G040200.v4.1 transcript:Potri.016G040200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040200.v4.1 MLQKCISNGVKFHQTKVIKVIHEESKSLLICNDGVTIQASVVLDATGFSRCLVQYDKPYDPGYQVAYGILAEVEEHPFDVDKMVFMDWRDSHLNNNLELKESNSKIPTFLYAMPFSSDRIFLEETSLVARPGVPMKDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANSIVQYLGSDRSFSGSELSAKVWKDLWPIERRRQREFFCFGMDVLLKLDLPATRRFFDAFFNLEPRYWHGFLSSRLFLPELVLFGLSLFSHASNPSRLEIMAKGTLPLVNMINNLIQDRK >Potri.016G040200.9.v4.1 pep chromosome:Pop_tri_v4:16:2497113:2499159:-1 gene:Potri.016G040200.v4.1 transcript:Potri.016G040200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040200.v4.1 MDTLLKTHNKLEFLPQSHGFSEKLSNLSSIKIQSQQLRFGHKKFRSKRERNGCVKASSNALLELVPETKKENLEFDLPMYDLSKGLVVDLAVVGGGPAGLAVAQQVSEAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDMLDCLDTTWSGAVVYVDDKTKKDLDRPYGRVNRRQLKSKMLQKCISNGVKFHQTKVIKVIHEESKSLLICNDGVTIQASVVLDATGFSRCLVQYDKPYDPGYQVAYGILAEVEEHPFDVDKMVFMDWRDSHLNNNLELKESNSKIPTFLYAMPFSSDRIFLEETSLVARPGVPMKDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANSIVQYLGSDRSFSGSELSAKVWKDLWPIERRRQREFFCFGMDVLLKLDLPATRRFFDAFFNLEPRYWHGFLSSRLFLPELVLFGLSLFSHASNPSRLEIMAKGTLPLVNMINNLIQDRK >Potri.016G040200.8.v4.1 pep chromosome:Pop_tri_v4:16:2496921:2499220:-1 gene:Potri.016G040200.v4.1 transcript:Potri.016G040200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040200.v4.1 MDTLLKTHNKLEFLPQSHGFSEKLSNLSSIKIQSQQLRFGHKKFRSKRERNGCVKASSNALLELVPETKKENLEFDLPMYDLSKGLVVDLAVVGGGPAGLAVAQQVSEAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDMLDCLDTTWSGAVVYVDDKTKKDLDRPYGRVNRRQLKSKMLQKCISNGVKFHQTKVIKVIHEESKSLLICNDGVTIQASVVLDATGFSRCLVQYDKPYDPGYQVAYGILAEVEEHPFDVDKMVFMDWRDSHLNNNLELKESNSKIPTFLYAMPFSSDRIFLEETSLVARPGVPMKDIQERMVARLRHLGIKVKSIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANSIVQYLGSDRSFSGSELSAKVWKDLWPIERRRQREFFCFGMDVLLKLDLPATRRFFDAFFNLEPRYWHGFLSSRLFLPELVLFGLSLFSHASNPSRLEIMAKGTLPLVNMINNLIQDRK >Potri.001G223500.3.v4.1 pep chromosome:Pop_tri_v4:1:23898032:23904225:1 gene:Potri.001G223500.v4.1 transcript:Potri.001G223500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223500.v4.1 MGSIAKLSRNSFPDGFVFGSASSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNNIQPYVTLFHWDTPQAIEDKYGGFLSSNILNDFRDFVELCFQKFGDRVKHWITLNEPYMFSVNGYDTGTIAPGRLSTLENYPSQPKISGVTEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSYWFEPYSTSESDRMATKRSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVNYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLRSINDHGVDIKGFFAWSFLDDFEWGSGYSSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEDGSARSRKKSRIE >Potri.001G193900.4.v4.1 pep chromosome:Pop_tri_v4:1:17988353:17994375:1 gene:Potri.001G193900.v4.1 transcript:Potri.001G193900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193900.v4.1 MGSSSDNLNSNNNNNTTKAIDASVGALVWVRRRNGSWWPGRIVGLDEISEGSLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKASAANGNKRVVKYARREDAILHALEIENARLGQDQLDFFSRSDNLGEEHGSSAKESSMSFSGKEDGDMTDGDSDSEDNSDAIADSDSSLDSDSGSHSDLAPELSQSGTSSEDPNHLGACKVQSLPGKRRRTPNDSEDDGTEGIKRMRGLEDLGIGVGDSSIGNCMPNFSPVNGSKGYNSLLKRKRSQVANVNELLKRKNRHRPLTKVLESTTMVCVPVICDQIPSSSSSPLPGLSDSKISGLESNESRKDCSIAINNNSDNTGVSCENDGSLKSSEHVYDAPLINHNLKKEKDISSVSGLTENDSADRLFDVPFVGEEKHSTGISPMSCSSGRQQIGGLGRQSSQSRQAEAVLFKNEACNESGSTSLAVNCVYNNISQRIEKGMEHLDEFCQGPGQEVDCSGGKSQPFTEDHGDAVRDWSKSFPQGDLSMKGLMVEMSVPQRSLPYRQSRFTVNSRYQTSDFSGRNFSSGSKLFNVDIKVQQNYRQQHVPLVSLMSKLNGKAIVGHPLTIENLQDGYSDLLLGSNEGDTVHVTEIETPKLVYAAMRNLEAGRIPARHMTMKPLSSPSKSHKLRKCGLLSKKIRKLSSLTGKKVEDRKLVVEKSKGPAVTCIPLKLVFSRINEAVNGSARQTHCAFTSSNS >Potri.001G193900.1.v4.1 pep chromosome:Pop_tri_v4:1:17988293:17994601:1 gene:Potri.001G193900.v4.1 transcript:Potri.001G193900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193900.v4.1 MGSSSDNLNSNNNNNTTKAIDASVGALVWVRRRNGSWWPGRIVGLDEISEGSLVSPRSGTPVKLLGREDASVDWYNLEKSKRVKAFRCGEYDECIEKAKASAANGNKRVVKYARREDAILHALEIENARLGQDQLDFFSRSDNLGEEHGSSAKESSMSFSGKEDGDMTDGDSDSEDNSDAIADSDSSLDSDSGSHSDLAPELSQSGTSSEDPNHLGACKVQSLPGKRRRTPNDSEDDGTEGIKRMRGLEDLGIGVGDSSIGNCMPNFSPVNGSKGYNSLLKRKRSQVANVNELLKRKNRHRPLTKVLESTTMVCVPVICDQIPSSSSSPLPGLSDSKISGLESNESRKDCSIAINNNSDNTGVSCENDGSLKSSEHVYDAPLINHNLKKEKDISSVSGLTENDSADRLFDVPFVGEEKHSTGISPMSCSSGRQQIGGLGRQSSQSRQAEAVLFKNEACNESGSTSLAVNCVYNNISQRIEKGTSKWQSKGKRNSRHTSKNKNQDSRKDLDMDDEPNVFLAGMEHLDEFCQGPGQEVDCSGGKSQPFTEDHGDAVRDWSKSFPQGDLSMKGLMVEMSVPQRSLPYRQSRFTVNSRYQTSDFSGRNFSSGSKLFNVDIKVQQNYRQQHVPLVSLMSKLNGKAIVGHPLTIENLQDGYSDLLLGSNEGDTVHVTEIETPKLVYAAMRNLEAGRIPARHMTMKPLSSPSKSHKLRKCGLLSKKIRKLSSLTGKKVEDRKLVVEKSKGPAVTCIPLKLVFSRINEAVNGSARQTHCAFTSSNS >Potri.002G152300.4.v4.1 pep chromosome:Pop_tri_v4:2:11666544:11669749:-1 gene:Potri.002G152300.v4.1 transcript:Potri.002G152300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152300.v4.1 METYKKWVRRNKDYVHSLESLANGLTWLLPERFSASEIGPEAVTAILGIITAINEHIIDTTPTQMHVGPMEPNSFPYSLCISAIKDLETLVEVAAQHYFGDNKKWNFIAVTEATKVLVRLILFRNSGYKMLLHGGETPNIEKHSDFSSSQHNVGGFPKPGSRHGPNGLNPWNLEGRALSALSRFGENARMSSDPVWLRRAGHQQAIMEPPSSAIERPTLSMILSEKGVQGALFLMGEVLFIIRPLIYVLFIRKYGIRSWIPWFVSLAVDAGGVGFLTQVTKSRDGGKEQHYHLTASEQDELKRRKLLWALYLMRDPFFTKYTRQRLQSTEKLVEPVPIIGLLTAKIVELVVGAQTRYTYMSGS >Potri.011G095900.1.v4.1 pep chromosome:Pop_tri_v4:11:12389140:12390095:1 gene:Potri.011G095900.v4.1 transcript:Potri.011G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095900.v4.1 MAGLVREPVKVVIINTEYVQTDASSFKSVVQKLTGKDSAPSGNRDELKMGFSRKLESRDQIREKRVRLDVDDNASYASGDPVLVRDLSFKEFEILLNEMPSVDELYRLLCADI >Potri.014G034400.10.v4.1 pep chromosome:Pop_tri_v4:14:2138777:2147919:-1 gene:Potri.014G034400.v4.1 transcript:Potri.014G034400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034400.v4.1 MGSDKQTTGLLETLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNIKWWSMYACLLGFFYFFSSPFLGKTIKPSYSNFSRWYIAWILVATLYHLPSFQSMGVDMRMNLSLFLTISVSSILFLLVFHIIFIGLWYIGLVSRVAGRRPAILTILQNCAVLSVACCVFYSHCGNLANLRDRRSQRKYSSWFSFWKKEERSTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELGCNGSGCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSVEEYEKSKKKQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRMMQAAMNRAQDGAQQGLLYDHFNDKDELWFDFMADTGDGGNSSYTVARLLAQPSIQVTRGDSVLSLPRGNLLLIGGDLAYPNPSSFTYERRLFCPFEYALQPPPWYKQDHIAVNKPELPDGVAELKQYDGPQCFLIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFAELIQEKVADNDSVILITHEPNWLLDWYWNDVSGKNVSHLICDYLKGRCKIRVAGDLHHYMRHSFVPADGPVHVQHLLVNGCGGAFLHPTHVFSNFKKLYGTSYENKAAYPSLEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLSFSMFPQCKLDHILQDNTFSGHLWSFFGTVWNVFMHVLEHSYVSMTGAILLLILAIAFVPPKVSRKKRAVIGILHVSSHLAAALILMLLLELGIETCIRHKLLATSGYHTLYEWYRYVESEHFPDPTGLRSRIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGMESLSRGGAIIYYASVFIYFWVFSTPVVSLVFGSYLYICINWLHMHFDEAFSSLRIANYKAFTRFHINKDGDLEVFTLAVDKVPKEWKLDPHWDAEPKQPQQLSHHRKFPSKWSAAVAQQEPLNTVKIVDHFVVRQTEKPDFGTSSGSVIH >Potri.014G034400.11.v4.1 pep chromosome:Pop_tri_v4:14:2139221:2147919:-1 gene:Potri.014G034400.v4.1 transcript:Potri.014G034400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034400.v4.1 MGSDKQTTGLLETLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNIKWWSMYACLLGFFYFFSSPFLGKTIKPSYSNFSRWYIAWILVATLYHLPSFQSMGVDMRMNLSLFLTISVSSILFLLVFHIIFIGLWYIGLVSRVAGRRPAILTILQNCAVLSVACCVFYSHCGNLANLRDRRSQRKYSSWFSFWKKEERSTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELGCNGSGCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSVEEYEKSKKKQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRMMQAAMNRAQDGAQQGLLYDHFNDKDELWFDFMADTGDGGNSSYTVARLLAQPSIQVTRGDSVLSLPRGNLLLIGGDLAYPNPSSFTYERRLFCPFEYALQPPPWYKQDHIAVNKPELPDGVAELKQYDGPQCFLIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFAELIQEKVADNDSVILITHEPNWLLDWYWNDVSGKNVSHLICDYLKGRCKIRVAGDLHHYMRHSFVPADGPVHVQHLLVNGCGGAFLHPTHVFSNFKKLYGTSYENKAAYPSLEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLSFSMFPQCKLDHILQDNTFSGHLWSFFGTVWNVFMHVLEHSYVSMTGAILLLILAIAFVPPKVSRKKRAVIGILHVSSHLAAALILMLLLELGIETCIRHKLLATSGYHTLYEWYRYVESEHFPDPTGLRSRIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGMESLSRGGAIIYYASVFIYFWVFSTPVVSLVFGSYLYICINWLHMHFDEAFSSLRIANYKAFTRFHINKDGDLEVFTLAVDKVPKEWKLDPHWDAEPKQPQQLSHHRKFPSKWSAAVAQQEPLNTVKIVDHFVVRQTEKPDFGTSSGSVIH >Potri.014G034400.7.v4.1 pep chromosome:Pop_tri_v4:14:2138809:2148072:-1 gene:Potri.014G034400.v4.1 transcript:Potri.014G034400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034400.v4.1 MGSDKQTTGLLETLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNIKWWSMYACLLGFFYFFSSPFLGKTIKPSYSNFSRWYIAWILVATLYHLPSFQSMGVDMRMNLSLFLTISVSSILFLLVFHIIFIGLWYIGLVSRVAGRRPAILTILQNCAVLSVACCVFYSHCGNLANLRDRRSQRKYSSWFSFWKKEERSTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELGCNGSGCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSVEEYEKSKKKQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRMMQAAMNRAQDGAQQGLLYDHFNDKDELWFDFMADTGDGGNSSYTVARLLAQPSIQVTRGDSVLSLPRGNLLLIGGDLAYPNPSSFTYERRLFCPFEYALQPPPWYKQDHIAVNKPELPDGVAELKQYDGPQCFLIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFAELIQEKVADNDSVILITHEPNWLLDWYWNDVSGKNVSHLICDYLKGRCKIRVAGDLHHYMRHSFVPADGPVHVQHLLVNGCGGAFLHPTHVFSNFKKLYGTSYENKAAYPSLEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLSFSMFPQCKLDHILQDNTFSGHLWSFFGTVWNVFMHVLEHSYVSMTGAILLLILAIAFVPPKVSRKKRAVIGILHVSSHLAAALILMLLLELGIETCIRHKLLATSGYHTLYEWYRYVESEHFPDPTGLRSRIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGMESLSRGGAIIYYASVFIYFWVFSTPVVSLVFGSYLYICINWLHMHFDEAFSSLRIANYKAFTRFHINKDGDLEVFTLAVDKVPKEWKLDPHWDAEPKQPQQLSHHRKFPSKWSAAVAQQEPLNTVKIVDHFVVRQTEKPDFGTSSGSVIH >Potri.014G034400.5.v4.1 pep chromosome:Pop_tri_v4:14:2138777:2147958:-1 gene:Potri.014G034400.v4.1 transcript:Potri.014G034400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034400.v4.1 MGSDKQTTGLLETLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNIKWWSMYACLLGFFYFFSSPFLGKTIKPSYSNFSRWYIAWILVATLYHLPSFQSMGVDMRMNLSLFLTISVSSILFLLVFHIIFIGLWYIGLVSRVAGRRPAILTILQNCAVLSVACCVFYSHCGNLANLRDRRSQRKYSSWFSFWKKEERSTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELGCNGSGCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSVEEYEKSKKKQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRMMQAAMNRAQDGAQQGLLYDHFNDKDELWFDFMADTGDGGNSSYTVARLLAQPSIQVTRGDSVLSLPRGNLLLIGGDLAYPNPSSFTYERRLFCPFEYALQPPPWYKQDHIAVNKPELPDGVAELKQYDGPQCFLIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFAELIQEKVADNDSVILITHEPNWLLDWYWNDVSGKNVSHLICDYLKGRCKIRVAGDLHHYMRHSFVPADGPVHVQHLLVNGCGGAFLHPTHVFSNFKKLYGTSYENKAAYPSLEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLSFSMFPQCKLDHILQDNTFSGHLWSFFGTVWNVFMHVLEHSYVSMTGAILLLILAIAFVPPKVSRKKRAVIGILHVSSHLAAALILMLLLELGIETCIRHKLLATSGYHTLYEWYRYVESEHFPDPTGLRSRIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGMESLSRGGAIIYYASVFIYFWVFSTPVVSLVFGSYLYICINWLHMHFDEAFSSLRIANYKAFTRFHINKDGDLEVFTLAVDKVPKEWKLDPHWDAEPKQPQQLSHHRKFPSKWSAAVAQQEPLNTVKIVDHFVVRQTEKPDFGTSSGSVIH >Potri.014G034400.12.v4.1 pep chromosome:Pop_tri_v4:14:2139118:2147799:-1 gene:Potri.014G034400.v4.1 transcript:Potri.014G034400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034400.v4.1 MGSDKQTTGLLETLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNIKWWSMYACLLGFFYFFSSPFLGKTIKPSYSNFSRWYIAWILVATLYHLPSFQSMGVDMRMNLSLFLTISVSSILFLLVFHIIFIGLWYIGLVSRVAGRRPAILTILQNCAVLSVACCVFYSHCGNLANLRDRRSQRKYSSWFSFWKKEERSTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELGCNGSGCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSVEEYEKSKKKQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRMMQAAMNRAQDGAQQGLLYDHFNDKDELWFDFMADTGDGGNSSYTVARLLAQPSIQVTRGDSVLSLPRGNLLLIGGDLAYPNPSSFTYERRLFCPFEYALQPPPWYKQDHIAVNKPELPDGVAELKQYDGPQCFLIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFAELIQEKVADNDSVILITHEPNWLLDWYWNDVSGKNVSHLICDYLKGRCKIRVAGDLHHYMRHSFVPADGPVHVQHLLVNGCGGAFLHPTHVFSNFKKLYGTSYENKAAYPSLEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLSFSMFPQCKLDHILQDNTFSGHLWSFFGTVWNVFMHVLEHSYVSMTGAILLLILAIAFVPPKVSRKKRAVIGILHVSSHLAAALILMLLLELGIETCIRHKLLATSGYHTLYEWYRYVESEHFPDPTGLRSRIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGMESLSRGGAIIYYASVFIYFWVFSTPVVSLVFGSYLYICINWLHMHFDEAFSSLRIANYKAFTRFHINKDGDLEVFTLAVDKVPKEWKLDPHWDAEPKQPQQLSHHRKFPSKWSAAVAQQEPLNTVKIVDHFVVRQTEKPDFGTSSGSVIH >Potri.014G034400.8.v4.1 pep chromosome:Pop_tri_v4:14:2139098:2147966:-1 gene:Potri.014G034400.v4.1 transcript:Potri.014G034400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034400.v4.1 MGSDKQTTGLLETLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNIKWWSMYACLLGFFYFFSSPFLGKTIKPSYSNFSRWYIAWILVATLYHLPSFQSMGVDMRMNLSLFLTISVSSILFLLVFHIIFIGLWYIGLVSRVAGRRPAILTILQNCAVLSVACCVFYSHCGNLANLRDRRSQRKYSSWFSFWKKEERSTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELGCNGSGCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSVEEYEKSKKKQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRMMQAAMNRAQDGAQQGLLYDHFNDKDELWFDFMADTGDGGNSSYTVARLLAQPSIQVTRGDSVLSLPRGNLLLIGGDLAYPNPSSFTYERRLFCPFEYALQPPPWYKQDHIAVNKPELPDGVAELKQYDGPQCFLIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFAELIQEKVADNDSVILITHEPNWLLDWYWNDVSGKNVSHLICDYLKGRCKIRVAGDLHHYMRHSFVPADGPVHVQHLLVNGCGGAFLHPTHVFSNFKKLYGTSYENKAAYPSLEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLSFSMFPQCKLDHILQDNTFSGHLWSFFGTVWNVFMHVLEHSYVSMTGAILLLILAIAFVPPKVSRKKRAVIGILHVSSHLAAALILMLLLELGIETCIRHKLLATSGYHTLYEWYRYVESEHFPDPTGLRSRIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGMESLSRGGAIIYYASVFIYFWVFSTPVVSLVFGSYLYICINWLHMHFDEAFSSLRIANYKAFTRFHINKDGDLEVFTLAVDKVPKEWKLDPHWDAEPKQPQQLSHHRKFPSKWSAAVAQQEPLNTVKIVDHFVVRQTEKPDFGTSSGSVIH >Potri.014G034400.9.v4.1 pep chromosome:Pop_tri_v4:14:2139226:2147958:-1 gene:Potri.014G034400.v4.1 transcript:Potri.014G034400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034400.v4.1 MGSDKQTTGLLETLRMERVRTILTHTYPYPHEHSRHAIIAVVVGCLFFISSDNMHTLIEKLDNNIKWWSMYACLLGFFYFFSSPFLGKTIKPSYSNFSRWYIAWILVATLYHLPSFQSMGVDMRMNLSLFLTISVSSILFLLVFHIIFIGLWYIGLVSRVAGRRPAILTILQNCAVLSVACCVFYSHCGNLANLRDRRSQRKYSSWFSFWKKEERSTWLAKFLRMNELKDQVCSSWFAPVGSASDYPLLSKWVIYGELGCNGSGCAGSSDEISPLYSLWATFIGLYIANYVVERSTGWALTHPLSVEEYEKSKKKQMKPDFLDMVPWYSGTSADLFKTAFDLLVSVTVFVGRFDMRMMQAAMNRAQDGAQQGLLYDHFNDKDELWFDFMADTGDGGNSSYTVARLLAQPSIQVTRGDSVLSLPRGNLLLIGGDLAYPNPSSFTYERRLFCPFEYALQPPPWYKQDHIAVNKPELPDGVAELKQYDGPQCFLIPGNHDWFDGLHTFMRYICHKSWLGGWFMPQKKSYFALQLPKRWWVFGLDLALHNDIDVYQFKFFAELIQEKVADNDSVILITHEPNWLLDWYWNDVSGKNVSHLICDYLKGRCKIRVAGDLHHYMRHSFVPADGPVHVQHLLVNGCGGAFLHPTHVFSNFKKLYGTSYENKAAYPSLEDSSRIALGNILKFRKKNWQFDIIGGFIYFVLSFSMFPQCKLDHILQDNTFSGHLWSFFGTVWNVFMHVLEHSYVSMTGAILLLILAIAFVPPKVSRKKRAVIGILHVSSHLAAALILMLLLELGIETCIRHKLLATSGYHTLYEWYRYVESEHFPDPTGLRSRIEQWTFGLYPACIKYLMSAFDVPEVMAVSRSNICKNGMESLSRGGAIIYYASVFIYFWVFSTPVVSLVFGSYLYICINWLHMHFDEAFSSLRIANYKAFTRFHINKDGDLEVFTLAVDKVPKEWKLDPHWDAEPKQPQQLSHHRKFPSKWSAAVAQQEPLNTVKIVDHFVVRQTEKPDFGTSSGSVIH >Potri.014G138500.1.v4.1 pep chromosome:Pop_tri_v4:14:9427136:9429414:1 gene:Potri.014G138500.v4.1 transcript:Potri.014G138500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138500.v4.1 MEAKVFPLTCTPPLLPSIPFQANMRAVYFKPRRLSCCAAMRKSTAKTKKGEEQLLDGMPKEYYDEEWQAQQREKTKELERLRKQEDDEEERMVENYREIGIRLKGYPEEDVKKAKKLVSSFIRAEEEVEEKIEEAAEKGELTELVLMVIWNRLDLARRDDEKDAIRSLDLLYRRIETEILKREATPAMRLLNDLLNMHDGFNDDEWMKECRKRMIDTFPREDPFSILAPPGFDIDQHAGPVRPPLEADDILLRVDFVREVDALLKEVRQEQSEEQNVEGFDPESVASKLKQQEKQRTIHKVEALLDLAISLKW >Potri.004G171100.1.v4.1 pep chromosome:Pop_tri_v4:4:18691113:18691636:1 gene:Potri.004G171100.v4.1 transcript:Potri.004G171100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G171100.v4.1 MVFKKTLVIFFLTTALCGVSMAARLYQVGGSAGWTSMGDVDYHDWAANKKFHVGDTLQVTRQDFKSCNVASPIASYYNHHGYDSLTLNRLGHFYFISAFPDHCQAGQKIDILVTPETSSPTPPPLSSPISAASATSSAPSLHLSWTLSVLAFCLLGFAC >Potri.014G120900.1.v4.1 pep chromosome:Pop_tri_v4:14:8110825:8119636:1 gene:Potri.014G120900.v4.1 transcript:Potri.014G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120900.v4.1 MGEDDMPFDDKAKRTRDLLSSFYSPDPSVSNTSNSFKFASLDAINTTSFDAEQYMNLLVQKSNLEGLLQRHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKSNIVGMEASMEQLLGKITSVQSRSDGVNTSLFEKREHIEKLHRTHNLLRKIQFIYDLPARLGKCIKSETYADAVKIYIGAMPIFKAYGDSSFQDCKQASEEAMATITKNLQGKLFLDSESIQARAEAAVLLKKLNFPMDNLKAKLFEKLEQSLEGLQLKHEDIANVLVESNNPSEQGNNTESVPGSAHDALVCEFAEAVRAYQVIFPDSEKQLIKLSQDLIAKHFEITAGYIKEWIPIANFLGALRIIWKDVHLMDKVLREALLPDCSLKASQVAVKQYIKSTFSHLLHDISDSLTNVHIKPKEEAKEHLLVVFLEAGKTAVLQGSVNVLLDFRQLLEENLGGLQLSNLIIVWVREGFQDFFRALHDRLLLISGKNKSASQDENSTEGMQVEKVIPGLVLVLAQLSVFIEQTAIPRITEEIAAYFSGGGGLASENGPALVPGEICRTFHSDGEILLQHYINMITQKILVLLRKRFTAPNWVKHKEPREVHMFVDLFLQELEAKRTEAKQILPHGVLRKHRRSESNGSTASSRSNPLREDKMIRSNTHRARSQLLETHLAKLFKQKVEIFTKTEYTQESVVTTVVKLCLKSLLEFVRLQTFNRSGFQQIQLDIQFLRASLKEIVEDEAAIDFLLDEVIVGASERCLDPIPLEPPILDKLIQAKLAKEKEQTPISL >Potri.014G120900.2.v4.1 pep chromosome:Pop_tri_v4:14:8110825:8119334:1 gene:Potri.014G120900.v4.1 transcript:Potri.014G120900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120900.v4.1 MPIFKAYGDSSFQDCKQASEEAMATITKNLQGKLFLDSESIQARAEAAVLLKKLNFPMDNLKAKLFEKLEQSLEGLQLKHEDIANVLVESNNPSEQGNNTESVPGSAHDALVCEFAEAVRAYQVIFPDSEKQLIKLSQDLIAKHFEITAGYIKEWIPIANFLGALRIIWKDVHLMDKVLREALLPDCSLKASQVAVKQYIKSTFSHLLHDISDSLTNVHIKPKEEAKEHLLVVFLEAGKTAVLQGSVNVLLDFRQLLEENLGGLQLSNLIIVWVREGFQDFFRALHDRLLLISGKNKSASQDENSTEGMQVEKVIPGLVLVLAQLSVFIEQTAIPRITEEIAAYFSGGGGLASENGPALVPGEICRTFHSDGEILLQHYINMITQKILVLLRKRFTAPNWVKHKEPREVHMFVDLFLQELEAKRTEAKQILPHGVLRKHRRSESNGSTASSRSNPLREDKMIRSNTHRARSQLLETHLAKLFKQKVEIFTKTEYTQESVVTTVVKLCLKSLLEFVRLQTFNRSGFQQIQLDIQFLRASLKEIVEDEAAIDFLLDEVIVGASERCLDPIPLEPPILDKLIQAKLAKEKEQTPISL >Potri.003G158200.1.v4.1 pep chromosome:Pop_tri_v4:3:16913222:16915230:1 gene:Potri.003G158200.v4.1 transcript:Potri.003G158200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158200.v4.1 MALNPQLYANGMAVPFENELFVLARDGVEFEVDKIPGCGKVKAKGTIYLSNIRMVFVASQPVGNFYAFDMPLLFIHEEKFNQPIFHCNNISGFVEPVVPETENRALYSTHSFKILFKEGGCGTFVPLFLNLISAVRQYNQQVNSAPRPSIDPLQAARTPVDEMMRHAYVDPNDPTRIFLQQPTPQSELRRRTYQPSSAERTM >Potri.003G184925.1.v4.1 pep chromosome:Pop_tri_v4:3:18938443:18941225:1 gene:Potri.003G184925.v4.1 transcript:Potri.003G184925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184925.v4.1 MGKENDNNIKDMKMVEAEPLNGFSPVSSTRVFWKSRKRSASGRNLDKVTENTVNETPNKQEESSTDEKMEDPNPASELSERRKALFEPLEPVTNINGKRLPAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRTEVERENAMLQDQISMLMNMLQENEQLGDEDVGDEDPDGP >Potri.003G184925.2.v4.1 pep chromosome:Pop_tri_v4:3:18940440:18940982:1 gene:Potri.003G184925.v4.1 transcript:Potri.003G184925.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184925.v4.1 MEDPNPASELSERRKALFEPLEPVTNINGKRLPAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRTEVERENAMLQDQISMLMNMLQENEQLGDEDVGDEDPDGP >Potri.011G030650.1.v4.1 pep chromosome:Pop_tri_v4:11:2495108:2502289:1 gene:Potri.011G030650.v4.1 transcript:Potri.011G030650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030650.v4.1 MAQSKENKKILSLLHQIHSITISILASPFSLKLILMKIMFLLLNPTSFLSVLITIITLISSIASQPANTYPFCSDPQNITENASYTSNLSALLSSLSSKASLNSFYADSSNGIYSLYLCRGDVSSNTCQTCINNATREIQRRCASNGTAIIWYDDQCMLRYSNKNFHGVYQTYPRLFMWNNENTTSPDEQNFGALSLIYTLIEDIVPYTDMMFGTNQSASHDGSQKRYALAQCSRDINSGDCSSCLGTLRDAVTQCCQAKRGWRIFAPSCSLRYEEYPFYQLPSVPSTPVPVPSAQVPEPVPGGNGGNNTTMIVIATVVSSLAVAVALLLFWYFSCWKGPRRTEEERSQEILLRGNSNHCYLNEGELPITGYDNGEQMHYFNLTTIRLATNNFSDENKLGEGGFGPVYKGILPAGEEIAVKRLSMVSKQGLEEFRNEVMVIAKLQHKNLVRLLGYCLEGDEKVLVYEYLANTSLDAFLFDPEKSRELDWPKRANIISGTARGLQYLHEDSRLKIVHRDMKASNILLDDQMNPKISDFGTARIFGGNQLEDNTNKVVGTFGYMAPEYALEGIISTKSDVYSFGILLLEIITGKKNRGFYSQYQAQSLLLHAWQLWNEGRGKELIDRNIIDSCSVSEALRWIHIALLCVQDDPARRPTMSLVVLMLGSNAVNLPQPSTGPKSLVKFTSILSHQSSASVSGSSFLASDQSTASASW >Potri.011G030650.3.v4.1 pep chromosome:Pop_tri_v4:11:2495185:2502223:1 gene:Potri.011G030650.v4.1 transcript:Potri.011G030650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030650.v4.1 MKIMFLLLNPTSFLSVLITIITLISSIASQPANTYPFCSDPQNITENASYTSNLSALLSSLSSKASLNSFYADSSNGIYSLYLCRGDVSSNTCQTCINNATREIQRRCASNGTAIIWYDDQCMLRYSNKNFHGVYQTYPRLFMWNNENTTSPDEQNFGALSLIYTLIEDIVPYTDMMFGTNQSASHDGSQKRYALAQCSRDINSGDCSSCLGTLRDAVTQCCQAKRGWRIFAPSCSLRYEEYPFYQLPSVPSTPVPVPSAQVPEPVPGGNGGNNTTMIVIATVVSSLAVAVALLLFWYFSCWKGPRRTEEERSQEILLRGNSNHCYLNEGELPITGYDNGEQMHYFNLTTIRLATNNFSDENKLGEGGFGPVYKGILPAGEEIAVKRLSMVSKQGLEEFRNEVMVIAKLQHKNLVRLLGYCLEGDEKVLVYEYLANTSLDAFLFGLLSIPLIVFPFFSKYMHPLLPPNGVSLSFPL >Potri.011G030650.2.v4.1 pep chromosome:Pop_tri_v4:11:2495108:2502289:1 gene:Potri.011G030650.v4.1 transcript:Potri.011G030650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030650.v4.1 MAQSKENKKILSLLHQIHSITISILASPFSLKLILMKIMFLLLNPTSFLSVLITIITLISSIASQPANTYPFCSDPQNITENASYTSNLSALLSSLSSKASLNSFYADSSNGIYSLYLCRGDVSSNTCQTCINNATREIQRRCASNGTAIIWYDDQCMLRYSNKNFHGVYQTYPRLFMWNNENTTSPDEQNFGALSLIYTLIEDIVPYTDMMFGTNQSASHDGSQKRYALAQCSRDINSGDCSSCLGTLRDAVTQCCQAKRGWRIFAPSCSLRYEEYPFYQLPSVPSTPVPVPSAQVPEPVPGGNGGNNTTMIVIATVVSSLAVAVALLLFWYFSCWKGPRRTEEERSQEILLRGNSNHCYLNEGELPITGYDNGEQMHYFNLTTIRLATNNFSDENKLGEGGFGPVYKGILPAGEEIAVKRLSMVSKQGLEEFRNEVMVIAKLQHKNLVRLLGYCLEGDEKVLVYEYLANTSLDAFLFDPEKSRELDWPKRANIISGTARGLQYLHEDSRLKIVHRDMKASNILLDDQMNPKISDFGTARIFGGNQLEDNTNKVVGTFNYFS >Potri.009G026800.1.v4.1 pep chromosome:Pop_tri_v4:9:3816957:3818527:-1 gene:Potri.009G026800.v4.1 transcript:Potri.009G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026800.v4.1 MDFLLLVYAFLIFYPLFVLWRGIDRKRDRECYILDYECYKPTDDRKLDTEFSGKVIMRSKQLGLNEYKFLLKAIVSSGIGEQTYGPRIMFKGQEENPTLQDSVSEVEEFFHDSIEKLLARSGISPREIDVLVVNVSMLSSVPSLAARIINHYKLREDVKVFNLSGMGCSASLISVDIVQNVFKSCKNVYALVVTSESLSPNWYSGNDRSMILANCLFRSGGCAILLTNKRALKHRAMFKLKCLVRTHHGARDESYDCCLQREDEQGRLGFHLGKSLPKAATRALVDNLREITPKILPVRELLRFMVVSFIRKYWSHRSTKGVVSPKPVINFKTGVDHFCIHTGGKAVIDGIGVNLDLTEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKRGDRVLMMSFGAGFKCNSCLWEVVRDLDADNVWKDCIDSYPPKSLANPFMEKFGWINNEDPSTFVMR >Potri.009G026800.2.v4.1 pep chromosome:Pop_tri_v4:9:3816908:3818113:-1 gene:Potri.009G026800.v4.1 transcript:Potri.009G026800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026800.v4.1 MLSSVPSLAARIINHYKLREDVKVFNLSGMGCSASLISVDIVQNVFKSCKNVYALVVTSESLSPNWYSGNDRSMILANCLFRSGGCAILLTNKRALKHRAMFKLKCLVRTHHGARDESYDCCLQREDEQGRLGFHLGKSLPKAATRALVDNLREITPKILPVRELLRFMVVSFIRKYWSHRSTKGVVSPKPVINFKTGVDHFCIHTGGKAVIDGIGVNLDLTEYDLEPARMTLHRFGNTSASSLWYVLGYMEAKKRLKRGDRVLMMSFGAGFKCNSCLWEVVRDLDADNVWKDCIDSYPPKSLANPFMEKFGWINNEDPSTFVMR >Potri.010G171400.1.v4.1 pep chromosome:Pop_tri_v4:10:17291568:17295726:-1 gene:Potri.010G171400.v4.1 transcript:Potri.010G171400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171400.v4.1 MAFSSQQLLFSSQFSLPCPRTPPNRNLKAIPRAALREWKEYEDAVKRKDLARALRFLKSKETQTNNDSLADSVNGSFSNDSATRSGLGDLGLFDVLERDWEVLDTCLNADDMRLVSSAYGFLKNRGFLPSFGKFSNIVLEGPREVTPILLQSSTGLEVTKLSPKKWGATGISSLVFIAFTGGANFLVDRGIDIRVNLAAILGLAFLDSIFLGGACLAQISSYWPPNKRRILVHEAGHLLVAYLMGCPVRGVILDPMVAMQMGTQGQAGTQFWDEKLSNELAEGKLSGTSFDRYCMVLFAGIAAEALVYGEAEGGENDENLFRSTCVLLQPPLSVAQMSNQARWSVLQSFNLLKWHRDAHRAAVKALESGGSLSVVIRRIEEAMSSG >Potri.008G114400.1.v4.1 pep chromosome:Pop_tri_v4:8:7282859:7284497:1 gene:Potri.008G114400.v4.1 transcript:Potri.008G114400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114400.v4.1 MASIFHGLGGQRSLTTSNKFQFPSCKTLSAGSALSFSQLSSILHPPTSFGDRNIFKKQVNGESKRVGHLRPVICGVEKEAGRVEAPVPRVGVVVFVLKGKSVLLGWRRATICNSAFALPGGHLEFGESFEACAAREVKEETGLDIDNIEVLKVTNNLFHEGAEPSHYIMILLRAVLANPNQLPQNLEPDKCDGWDWYEWDSLPRPLFWPLEKLLQDGFHPFLIV >Potri.007G059000.1.v4.1 pep chromosome:Pop_tri_v4:7:6260766:6266439:-1 gene:Potri.007G059000.v4.1 transcript:Potri.007G059000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G059000.v4.1 MKMFSARFFCLLLILIYMFSSSIGDVLDSERAGIVLTSGLDFTESTRAGGRSLKSFSQYEDSTELVALLNGTIYFKDKISGKILWSFSSGGPTYSSYQAPAKHDSDKEKGPGGLTGFFLDYGDDWQLYAHYKYSGGMKLPMNIEDFIKITPHMSEDGAVMLGSKKTTVFVVEAKTGRLIRTFKSPDSPSSLQSFEEGSGLHDDLNNNKDLLKSGSSNTAQVIYILRTDYALQTFGPNSDKVSWSTKVATIGATFLCKDVENPSEVFNLSFELDSDTPLSCQSRRIVVQRQDKSQYSSGDIHGEDKLPLSAPNLMLTTQPGVEKSLDDHHARMLLAAPSEHGKEMLALPSASAAGEVHYRFGMLLMWSTTQSFILFVGILLLCFVLYLSKESFTLEGQLSGTGLKASSSKKKKAKKPGKNNVSVENGNGIAPGEGVNKTLSDLNKLVDGGANGRRIGKLFVSNTEIAKGSNGTVVLEGVYEGRLVAVKRLVQTHHDVAWKEIQNLIASDRHPNIVRWYGVEYDEDFVYLSLERCTCSLDDLIQIYSDSSLNPVYGKDRTSRAAIEHKLRLDSVKGVMQDLNLWKATGHPSPLLLTLMRDMVSGLVHLHELGIIHRDLKPQNVLIIKERSLCAKLSDMGISKRLLGDMSSLAYHATGSGSSGWQAPEQLHHRRETRAVDLFSLGCVLFYCITGGRHPFGDHLERDVNIVKNQKDLFLVEYIPEAEDLISRLLNPDPELRPKALEVLHHPMFWNSELRLSFLRDTSDRVELEDRVSDSDILKALEGIAPTALGGGKWNEKMEPAFITDIGRHRRYKFDGIRDLLRVIRNKLNHYRELPNEIQELVGPVPEGYDNYFASRFPKLLIEVYKVVRKYCREEEWFQKYIKSNV >Potri.005G133500.3.v4.1 pep chromosome:Pop_tri_v4:5:10193585:10198972:1 gene:Potri.005G133500.v4.1 transcript:Potri.005G133500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G133500.v4.1 MSPDSKLSLLCRLVDNLPEPETTKTKERDLLISLSQILKVIQTWIRELDKETESKKICYGESVLHHEEHSCLIKIVTDLMLLLTVESQYVQHSVGNVLVVFSEFVALSGSGWDSFIHSLSTCLELAIANVFLCSWEPSRTEVEDSNCDFSSYEVVKSSLKGGDWSTAAGIVRVLRNILKHLKQECDDQLLEVYLGSVSSFLSNVPWESMDEIHVDQSCDAWDGDPQNCCSKDASVFRSFGAKEPKVLFLGIFIQFLCSLVEQSSAVETEVGSQVQYPVLSMVISLVPKLACWCLCKKGKSVKLSVSQYFRHKLLMLMLRISYVTCLGCSTLILWLQLLHEYFEELLQKPISKLEAGQDECLEGSPFLLGLSNGELDGMHSFHLQRQTLLLFLRCCFSLMSFTGETSKQCVTSKTILKSCLTVASVSDLDYCSRNKGLLELYNWLQGHLPDDILVDHERYLEKCMGFSLSFLQLYMHEDDVLFMVLLQLLSVPFCSEQWLNGEKQTSQYLKDATHHVSNLFNPVHLFHLFLAELHYDHQVLLDYLISKDVGISCAEYLLRCLRMVHNSWNVFATFSMDWKVVNQSCCKKRRLLLDVSDFQGELSSIPEQCISQSLEEEDEKEFEYTCENHQNKRQPFKEAKDCLISLKASVESLHRKNLFPYNPLVLLKRLSQFQELCHS >Potri.015G035500.1.v4.1 pep chromosome:Pop_tri_v4:15:2964046:2967403:1 gene:Potri.015G035500.v4.1 transcript:Potri.015G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035500.v4.1 METITLLLFLLLISLPHSKPDLSPDHSALLSLRSAVHGRTLLWNVSLQSPCSWTGVKCEQNRVTVLRLPGFALTGEIPLGIFSNLTQLRTLSLRLNALTGNLPQDLANCKSLRNLYLQGNLFSGEIPDFLFSLKDLVRLNLAENNFTGEISPGFDNFTRLRTLFLEDNLLTGSLPDLKLEKLKQFNVSNNLLNGSIPDTFKGFGPSSFGGTSLCGKPLPDCKDSGGAIVVPSTPNGGGQGKRKKLSGGAIAGIVIGSIVGLLLIVMILMFLCRKNSSNKSRSIDIASIKQEMEIQGDKPIVEAENGGGYGNGYSVAAAAAAAMVGNGKGGDLNSGGAKKLVFFGKAPRVFDLEDLLRASAEVLGKGTFGTAYKAVLEMGTVVAVKRLRDVTISEIEFREKIETVGAMDHENLVPLRAYYYSRDEKLLVYDYMSMGSLSALLHGNKGAGRAPLNWEIRSGIALAAARGIEYLHSQGPNVSHGNIKSSNILLTQSYDARVSDFGLAHLVGPPSTPNRVAGYRAPEVTDPRKVSQKADVYSFGVLLLELLTGKAPAHALLNEEGVDLPRWVQSIVREEWTSEVFDLELLRYQNVEEEMVQLLQLGIDCAAQYPDNRPSMSAVTRRIEELCRSSLREHHGPQPEPSNDADDNSSR >Potri.005G250100.1.v4.1 pep chromosome:Pop_tri_v4:5:24378104:24383884:-1 gene:Potri.005G250100.v4.1 transcript:Potri.005G250100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250100.v4.1 MGGSRAQLNKPHKSRFSTKSSRNLHKTSLKDKSRIAKSERNVAKGARAARLQRNKMLREQKKAALLKEKRASSSSTSAPLVILLFGLSASVNVESLAEDLLRVLSNDGAGDVSSTVASSEYKMRITVLKAPHGNLLSCMEMAKVADLIAFVASTNSLYEENASDFGYIDSFGSQCLSVFRQLGLPNTVVFLRDLPSDLKGKNELKKMSISNLAGEFPEDCKFYPADTKDELHKFLWLFKEQRLTVPHWRNQRPYLMSQKVDVVADELNSGKCTLLLTGYLHAHSLSVNQLVHVSGAGDFQLQKIEILKDPNPLKLRKESDAMDSDDVIDVEVVRSLDPDSMTQEPLVVENVLDPLAGEQTWPTEAEMDEADRNQKQKRLKKRILPRGTSEYQAAWILDETDDEGSASGSDTDDGMVLDETEGYFRGPKETNNSDVDDDDQASLDDRDADEETDTDSVMMEDDNLTKEQIEEEIKKIKAAHAEDEEYPDEVDTPLDNPARKRFTKYRGLKSFRTSSWDPKESLPPEYARIFAFDKFAKTQKHVIAKFLDMEQENRYDCVPAGQYARLHIKEVPTPVASKLCLLAKTVPIIASGLFQHESKMSVLHFSIKKHDTYDAPIKAKEELVFHVGFRQFVARPVFSTDDMNSDKHKMERFLHAGRFSVASIYAPISFPPLPLIVLKSAEGSAAPAIAAVGSLRSIDPDRIILKKIVLTGYPQRVSKLKASVRYMFHSPEDVRWFKPVEVYTKCGRHGRIKEPVGTHGAMKCTFNGVLQQHDTVCMSLYKRAYPKWPEHRFPILDT >Potri.004G160700.1.v4.1 pep chromosome:Pop_tri_v4:4:18055030:18055920:-1 gene:Potri.004G160700.v4.1 transcript:Potri.004G160700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160700.v4.1 MAKKSITMKPIFQEQDAVFLDEANDFSNWELINESDAEDSDTDSLQSLENGFVSWSSPRSPKTSQEIVAQDTQQDRDVVFHFNSHDHEVDDDDDDDGDVYRGRSILFPAARIQHVDFDFDDEEDDDDDGYGLNDELVPWNVSGKLGRQRIRKLGKRVFPKMSNSKRSPFLHVKPGCVHGKHGLGLKA >Potri.016G023200.1.v4.1 pep chromosome:Pop_tri_v4:16:1293032:1294282:1 gene:Potri.016G023200.v4.1 transcript:Potri.016G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023200.v4.1 MAEEVKVFRTWSSPFALRVIWALKLKGVEFDTIYEDLSNKSPLLLQYNPIHKKVPVLVHNGKVICESLVILEYIDETWKQNPLLPEDPHQQASARFWAKFGDDKVLQSIVWGVLLKEGKELEEGVLASLENLKYLEEEIRGKKFFGGETIGLADIALGWLAYYLDIFEEILGLKLIDQEKFPSLAAWKQEFANAPIIHENWPDRDKLVNKFVAMREAKLGKETPK >Potri.006G125200.1.v4.1 pep chromosome:Pop_tri_v4:6:10003426:10005351:1 gene:Potri.006G125200.v4.1 transcript:Potri.006G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125200.v4.1 MSNGGEDNTPGRPKSDDFGRAVSRMAVAQICESVGFHGFKESALDSLNDITIRYLCDLGKIASFYANLSGRTQCNFFDIVRSFEDIVGASQGFLGASISGNCLVNSGTIKEIIDFVGSNDEIPFAQPVPRFPVIRVRKLIPSFESMSEAPPGKHIPAWLPALPDPHTYLHTPMWNERAVDPRAEKIEQARQRRKAERALLSLQQRLLSNGSAGASSLGVSNNVKELGVVESNQFLATPLESGKKDVSPVVLPDKLKNHVSVMEAFAPVIEAAKEGGICDDVDVERKSLPEKRLAVAFKFKTGKKLLGESLDLSLLKKGEGRTGHWLGRDDERDDKKRRAEYILRQSMENPQELTQL >Potri.014G147600.1.v4.1 pep chromosome:Pop_tri_v4:14:10129522:10130954:-1 gene:Potri.014G147600.v4.1 transcript:Potri.014G147600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147600.v4.1 MNNPPRITGSSGILQSQPPSDSISVETVEHLVQNLIKSRHRRQEWMHLCNPKLVLDLNREPWRNHLIKFLESTPVHVFTISLLLLDVILTVLELSSSLQSCTPEEDHYKKNKVWYHLAGICILSLLSAKSVALVVGFGSSFFKRPGYVVDGAVVIGALFLETFLGTKGGGLLVVVSLWRVVRLVESAFELSDEAIEAQIEGILSQFEALSNENSRLSQTIAEKDSMIEKLQEIIEKLEKELDQYRSTRLCCDH >Potri.019G059550.2.v4.1 pep chromosome:Pop_tri_v4:19:9885350:9887640:-1 gene:Potri.019G059550.v4.1 transcript:Potri.019G059550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059550.v4.1 MLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTRDIPRELGQLQKLETLNVSHNMLSGRIPSTFKDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPTSSKTVKRKSNKLVVLIVLPLLGSLLLVFVVLGALSILCKRARKRNAEPENEQDRNMFTILGHDGKKFYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHTKHSFLVYEFIERGSLRKIISSEEQAIEFDWTKRLNVVKGVGGALSYLHHSCSPPIIHRDITSNNILLDLEYEAHVSDFGTARLLMPDSSNWTSFAELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHMMKITLACLHPNPQSRPTMEKISFELTTKWPPLPQAFGTISLGDLFS >Potri.019G059550.1.v4.1 pep chromosome:Pop_tri_v4:19:9885350:9887640:-1 gene:Potri.019G059550.v4.1 transcript:Potri.019G059550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059550.v4.1 MLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTRDIPRELGQLQKLETLNVSHNMLSGRIPSTFKDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPTSSKTVKRKSNKLVVLIVLPLLGSLLLVFVVLGALSILCKRARKRNAEPENEQDRNMFTILGHDGKKFYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHTKHSFLVYEFIERGSLRKIISSEEQAIEFDWTKRLNVVKGVGGALSYLHHSCSPPIIHRDITSNNILLDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHMMKITLACLHPNPQSRPTMEKISFELTTKWPPLPQAFGTISLGDLFS >Potri.003G040800.1.v4.1 pep chromosome:Pop_tri_v4:3:4699846:4704677:-1 gene:Potri.003G040800.v4.1 transcript:Potri.003G040800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G040800.v4.1 MSLLLKASNGHLFLSSSIASMATCSSFCAQLPIHFRPIPIASSFPTNQPFKPFSAQASISPANDGGSPNTIGFLGLGIMGSPMAQNLIKSGCDVTVWNRTKSKCDPLISLGAKYKPSPEEVTAACDVTFAMLADPECAVEVACGKHGAASGMGPGKGYVDVSTVDGGTSKLICGHIKASGASFLEAPVSGSKKPAEDGQLIFLTAGDKSLYETVAPFLDIMGKSRFYLGEVGNGAAMKLIVNMIMGSMMATFSEGLLLSEKVGLDPNVLVEVVSEGAISAPMYSLKGPSMVKSLYPTAFPLKHQQKDMRLALGLAESVSQPTPIAAAANELYKVAKSHGLSDSDFSAVIEALKGKVQS >Potri.014G143900.8.v4.1 pep chromosome:Pop_tri_v4:14:9828512:9842388:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MVEQCVSNGPKRKEVAKNGKKEKQKTEETETQRRTSDRIKSKQREEKERLVRKRVQILDEQEEKGNLRKRSNANVTEEEEVVMAEKLLNQVLGSTEKQKEVTLSDAGGVGNVVTEKSATVKVKDTLRLFNKFYLQLVQEEELRCAKAKVDKNVSKGSKKTSKGSKKSVDKSKKENTAEDDKKKSKRPDLKAISKMMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRFSVLLRKDGLLDHGTFYLLGHLSVST >Potri.014G143900.15.v4.1 pep chromosome:Pop_tri_v4:14:9828214:9840276:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGREEQEY >Potri.014G143900.13.v4.1 pep chromosome:Pop_tri_v4:14:9830930:9840276:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGRESDVHPTQSTSLKVTRQIKELTGKNRP >Potri.014G143900.7.v4.1 pep chromosome:Pop_tri_v4:14:9824051:9842388:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MVEQCVSNGPKRKEVAKNGKKEKQKTEETETQRRTSDRIKSKQREEKERLVRKRVQILDEQEEKGNLRKRSNANVTEEEEVVMAEKLLNQVLGSTEKQKEVTLSDAGGVGNVVTEKSATVKVKDTLRLFNKFYLQLVQEEELRCAKAKVDKNVSKGSKKTSKGSKKSVDKSKKENTAEDDKKKSKRPDLKAISKMMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRFSVLLRKDGLLDHGTFYLLGHLSVST >Potri.014G143900.16.v4.1 pep chromosome:Pop_tri_v4:14:9828155:9839821:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGREEQEY >Potri.014G143900.3.v4.1 pep chromosome:Pop_tri_v4:14:9828083:9842388:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MVEQCVSNGPKRKEVAKNGKKEKQKTEETETQRRTSDRIKSKQREEKERLVRKRVQILDEQEEKGNLRKRSNANVTEEEEVVMAEKLLNQVLGSTEKQKEVTLSDAGGVGNVVTEKSATVKVKDTLRLFNKFYLQLVQEEELRCAKAKVDKNVSKGSKKTSKGSKKSVDKSKKENTAEDDKKKSKRPDLKAISKMMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGREEQEY >Potri.014G143900.9.v4.1 pep chromosome:Pop_tri_v4:14:9824051:9840276:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGRERRLGDVSVPAINNFDGDDQKSESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSSHHDVKLARVMLFAADNIPPMQELTYDYGYALDSVSGPDGKIIQMPCYCGAADCRKRLL >Potri.014G143900.4.v4.1 pep chromosome:Pop_tri_v4:14:9824236:9838846:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGRERRLGDVSVPAINNFDGDDQKSESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSSHHDVKLARVMLFAADNIPPMQELTYDYGYALDSVSGPDGKIIQMPCYCGAADCRKRLL >Potri.014G143900.11.v4.1 pep chromosome:Pop_tri_v4:14:9824051:9839821:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGRERRLGDVSVPAINNFDGDDQKSESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSSHHDVKLARVMLFAADNIPPMQELTYDYGYALDSVSGPDGKIIQMPCYCGAADCRKRLL >Potri.014G143900.12.v4.1 pep chromosome:Pop_tri_v4:14:9830930:9840276:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGRESDVHPTQSTSLKVTRQIKELTGKNRP >Potri.014G143900.6.v4.1 pep chromosome:Pop_tri_v4:14:9824051:9842388:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MVEQCVSNGPKRKEVAKNGKKEKQKTEETETQRRTSDRIKSKQREEKERLVRKRVQILDEQEEKGNLRKRSNANVTEEEEVVMAEKLLNQVLGSTEKQKEVTLSDAGGVGNVVTEKSATVKVKDTLRLFNKFYLQLVQEEELRCAKAKVDKNVSKGSKKTSKGSKKSVDKSKKENTAEDDKKKSKRPDLKAISKMMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGREEQEY >Potri.014G143900.10.v4.1 pep chromosome:Pop_tri_v4:14:9824051:9840276:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGRERRLGDVSVPAINNFDGDDQKSESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSSHHDVKLARVMLFAADNIPPMQELTYDYGYALDSVSGPDGKIIQMPCYCGAADCRKRLL >Potri.014G143900.14.v4.1 pep chromosome:Pop_tri_v4:14:9828214:9840276:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGREEQEY >Potri.014G143900.1.v4.1 pep chromosome:Pop_tri_v4:14:9824051:9842388:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MVEQCVSNGPKRKEVAKNGKKEKQKTEETETQRRTSDRIKSKQREEKERLVRKRVQILDEQEEKGNLRKRSNANVTEEEEVVMAEKLLNQVLGSTEKQKEVTLSDAGGVGNVVTEKSATVKVKDTLRLFNKFYLQLVQEEELRCAKAKVDKNVSKGSKKTSKGSKKSVDKSKKENTAEDDKKKSKRPDLKAISKMMEANAIMYPEKTIGDLPGINVGHRFYSRAEMVAVGFHSHWLNGIDYMGQFYKKGVYHNYMFPLAVAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGRERRLGDVSVPAINNFDGDDQKSESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSSHHDVKLARVMLFAADNIPPMQELTYDYGYALDSVSGPDGKIIQMPCYCGAADCRKRLL >Potri.014G143900.5.v4.1 pep chromosome:Pop_tri_v4:14:9824236:9838846:-1 gene:Potri.014G143900.v4.1 transcript:Potri.014G143900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143900.v4.1 MEDSSSPHAIVISGMYEDDLDNAEDVIYTGQGGHDLTGNKRQIRDQKLERGNLALKNCVEQCVPVRVVRGHECASSYCGKVYTYDGLYKVVQYWAEKGLSGFTVFKYRLRRLEGQPLLTTNQVQFSYGRVPQSVAEIRGLVCEDISGGQEDVPIPATNLVDDPPVAPSGYTYRKSLQIAKNVKLPTNVSGCNCKGTCVDPRTCACAKLNGSYFPYVNCHGGRLIEARAVVFECGPGCGCGPGCVNRTSQRGIKHRLEVFRTPKKGWAVRSWDFLPAGAPVCEYIGVLMRTEDTDHVCENNYIFDIDCLQTMRGLDGRERRLGDVSVPAINNFDGDDQKSESVPEFCIDAGSTGNIARFINHSCEPNLFVQCVLSSHHDVKLARVMLFAADNIPPMQELTYDYGYALDSVSGPDGKIIQMPCYCGAADCRKRLL >Potri.001G240500.2.v4.1 pep chromosome:Pop_tri_v4:1:25803951:25807086:-1 gene:Potri.001G240500.v4.1 transcript:Potri.001G240500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240500.v4.1 MKCFHFTNGERREDEDVVVSKVSRVSWARSFSVASSSLDARRSDLDSDSYCYSSRDLSESVGFFELLSQRRANDLRVFSFSELKSATRGFSRGLLIGEGGFGCVYRGVVKVPDDHDSNSKMDVAIKQLNRHGFQGHKEWINEVSFLGVVKHPNLVKLVGYSAEDDERGIQRLLVYELMRNKSLEDHLLAKVLPVPLPWMTRLKIAQDAARGLAYLHEEMDFQLIFRDFKASNVLLDEDFNAKLSDFGLARLGPPEGLGHVSTSVVGTVGYAAPEYVQTGRLTAKSDVWSFGVVMYELITGRRAVERNLPRGEQKLLEWVKPFVSDSKKLHLILDPRLEGQYCIKSAQKLSALANKCVAKQPKSRPKMSEVVEMLGNIIGEISSQDEDAPEPASEIEEVKEEATGETEAESNKQGNSNRKKVFDIREMVNLRNRSIGKLDWRNWTPGLVRTT >Potri.T010901.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:10423:16642:1 gene:Potri.T010901.v4.1 transcript:Potri.T010901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010901.v4.1 MMMNCGAVNATTPSSTSWSASHSSKVPMLLPRAICTTQRMCCVSGVHVDACVSFNVSRNCAVAKDSLGWSGTSPSLYSKQPFNKFLLPLKALVTSTSQDFPIASLIGEGKVGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWEKQVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELEKFDRPEQAVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRKIINAYTLAYQSRVGPVEWLKPYTDETIIELGKKGVKRLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAVYDSKRRELPSPVTVWEWGWTRSAETWNGRAAMLAVLVLLVLEVTTGQGFLHQWGIFPSFH >Potri.T010901.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:10352:16641:1 gene:Potri.T010901.v4.1 transcript:Potri.T010901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010901.v4.1 MMMNCGAVNATTPSSTSWSASHSSKVPMLLPRAICTTQRMCCVSGVHVDACVSFNVSRNCAVAKDSLGWSGTSPSLYSKQPFNKFLLPLKALVTSTSQDFPIASLIGEGKVGVLLLNLGGPETLEDVQPFLFNLFADPDIIRLPRLFRFLQKPLAQFISVARAPKSKEGYASIGGGSPLRQITDAQAEELRKSLWEKQVPAKVYVGMRYWHPFTEEAIEQIKRDGITKLVVLPLYPQFSISTSGSSLRLLESIFREDEYLVNMQHTVIPSWYQREGYIKAMANLIEKELEKFDRPEQAVIFFSAHGVPLAYVEEAGDPYKAEMEECVDLIMEELEKRKIINAYTLAYQSRVGPVEWLKPYTDETIIELGKKGVKRLLAVPISFVSEHIETLEEIDVEYKELALKSGIEKWGRVPALGCEPTFISDLADAVIESLPYVGAMAVSNLEARQSLVPLGSVEELLAVYDSKRRELPSPVTVWEWGWTRSAETWNGRAAMLAVLVLLVLEVTTGQGFLHQWGIFPSFH >Potri.008G098700.6.v4.1 pep chromosome:Pop_tri_v4:8:6171253:6173339:1 gene:Potri.008G098700.v4.1 transcript:Potri.008G098700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G098700.v4.1 MFEMLKEQPFYQPKEATYMKLLVLLGRCGQPQRAHQIFDEMIEEGIEPTSEFYTALLAAYCRNNLIEEGFSIINQMKTVPRCQPDVYTYSTLLKACVDASRFELIDILYQEMDERLISPNTVTQNIVLSGFGKVGMYDQMERVLSGMLESKTCKPDVWTMNIILSVFGNKGHVDLMERWYEKFRNFGIEPETRTFNILIGAYGKKRMYDNMSSVMEYMRKLQFPWTTSTYNNVIEAFADVGDAKNMEYTFDQMRAEDMKADTKTFCCLINGYANAGLFHKVISSVQLAAKFEIPENTSLYKAVISACAKADDLVEMERVFKQMKDKQCLPDSRTYSIMVEAYRKEGMNDKIYYLEQEKQKMIANGALSD >Potri.008G098700.5.v4.1 pep chromosome:Pop_tri_v4:8:6171274:6173376:1 gene:Potri.008G098700.v4.1 transcript:Potri.008G098700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G098700.v4.1 MSLSFSSSLLPSPLPHNKNTPKHKTHQQLQQSQNPLHTHKLLLRCAFTSAATALPSSSSPKKKHWKQGEFPGITDTSVPRRTAIKNIKKKLDRKNKAKAWVNTVAEALTDCVLKKQWLQALQMFEMLKEQPFYQPKEATYMKLLVLLGRCGQPQRAHQIFDEMIEEGIEPTSEFYTALLAAYCRNNLIEEGFSIINQMKTVPRCQPDVYTYSTLLKACVDASRFELIDILYQEMDERLISPNTVTQNIVLSGFGKVGMYDQMERVLSGMLESKTCKPDVWTMNIILSVFGNKGHVDLMERWYEKFRNFGIEPETRTFNILIGAYGKKRMYDNMSSVMEYMRKLQFPWTTSTYNNVIEAFADVGDAKNMEYTFDQMRAEDMKADTKTFCCLINGYANAGLFHKVISSVQLAAKFEIPENTSLYKAVISACAKADDLVEMERVFKQMKDKQCLPDSRTYSIMVEAYRKEGMNDKIYYLEQEKQKMIANGALSD >Potri.015G070900.1.v4.1 pep chromosome:Pop_tri_v4:15:9648835:9650277:1 gene:Potri.015G070900.v4.1 transcript:Potri.015G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070900.v4.1 MSFHQTSYSDYDSQSHSDHLQANLPTLCSQPSLPSVPFLTSKPHHRHQEILSTTHHHCLTTLKGHSSYISSLTLAGKFLYSGSDKEIRLWKRNPLDSEIDQENLSNNVVAVGNGAVKSLVVWAEKLFSAHQDNKIRVWKANNQETNQQKYTRLATLPTLGDRAFKSLLPRNQVQIRRHKTCTWVHHVDTVSALALSSDESHLYSVSWDRTIKIWRTNDFKCLESVANAHDDAINAVALSNDGNVYTGSADKKIKVWRKSSEENKHSLVATLEKHMSGINALALSTDGSVLYSGACDRSIVVWEKDDDGNMVVLGALRGHTQSILCLAVVSDLVFSGSADKTIRIWRGVDRSYSCLAVLEGHGGPVKCLTASIDRRSSSDASFLLYSGSLDCDIKVWQINVPLL >Potri.010G242900.3.v4.1 pep chromosome:Pop_tri_v4:10:21967352:21970939:-1 gene:Potri.010G242900.v4.1 transcript:Potri.010G242900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242900.v4.1 MLSSPILYSFSLLLSFSLIYLFTPQILVPLQNALSYELDDPTLFKKALKPCKTIPPLATNNPTPKIAFLFLTNSDLSFAPLWERFFEGYNNLYNIYVHADPFSKVSNPDGIFKNRFIPGKKTERGSPSLILAEKRLLARAILDDPLNLYFALVSQHCVPLHSFQYIHDTLFGHNILKTFTTQSRHQSFIEILSEDPNLPDRYNARGENIMLPEIPYEKFRVGSQFFVLAKRHALLVLKDRKLWRKFKLPCLNTESCYPEEHYFPTLLSMKNPRGCSHYTLTNVNWTDCFDGHPHLYQAEEVSPNLVHGLRQSNSSYSYFFARKFAPDCLQPLMEMADDVIFKD >Potri.010G242900.2.v4.1 pep chromosome:Pop_tri_v4:10:21968274:21970565:-1 gene:Potri.010G242900.v4.1 transcript:Potri.010G242900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242900.v4.1 MLSSPILYSFSLLLSFSLIYLFTPQILVPLQNALSYELDDPTLFKKALKPCKTIPPLATNNPTPKIAFLFLTNSDLSFAPLWERFFEGYNNLYNIYVHADPFSKVSNPDGIFKNRFIPGKKTERGSPSLILAEKRLLARAILDDPLNLYFALVSQHCVPLHSFQYIHDTLFGHNILKTFTTQSRHQSFIEILSEDPNLPDRYNARGENIMLPEIPYEKFRVGSQFFVLAKRHALLVLKDRKLWRKFKLPCLNTESCYPEEHYFPTLLSMKNPRGCSHYTLTNVNWTDCFDGHPHLYQAEEVSPNLVHGLRQSNSSYSYFFARKFAPDCLQPLMEMADDVIFKD >Potri.010G242900.1.v4.1 pep chromosome:Pop_tri_v4:10:21967270:21970998:-1 gene:Potri.010G242900.v4.1 transcript:Potri.010G242900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242900.v4.1 MLSSPILYSFSLLLSFSLIYLFTPQILVPLQNALSYELDDPTLFKKALKPCKTIPPLATNNPTPKIAFLFLTNSDLSFAPLWERFFEGYNNLYNIYVHADPFSKVSNPDGIFKNRFIPGKKTERGSPSLILAEKRLLARAILDDPLNLYFALVSQHCVPLHSFQYIHDTLFGHNILKTFTTQSRHQSFIEILSEDPNLPDRYNARGENIMLPEIPYEKFRVGSQFFVLAKRHALLVLKDRKLWRKFKLPCLNTESCYPEEHYFPTLLSMKNPRGCSHYTLTNVNWTDCFDGHPHLYQAEEVSPNLVHGLRQSNSSYSYFFARKFAPDCLQPLMEMADDVIFKD >Potri.005G021100.1.v4.1 pep chromosome:Pop_tri_v4:5:1353082:1359877:1 gene:Potri.005G021100.v4.1 transcript:Potri.005G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021100.v4.1 METEQQQQVWPFSAASVVEDMIQENIGARPRDVDLVASRKAEEASLRRYEAAGWLRKTAGVVGGKDLPAEPSEEEFRFGLRSGIILCTVLNKIQPGAVPKVVEGPGDSVEVPDGAALSAFQYFENVRNFLVAVEEMGIPNFEASDLEQGGKSARVVNCILALKSYNEWKQSGGIGTWKYGGNLKPSSCGGGKLFMRKNSEPFMSSFSRMSSGDPSSFDEQFRDLSEAGASRSLKMLVRTVLSNRKQEEIPNIVESMLNKVMEEFERRLVSQNEQMKTTAKDMEESSPDMPLSSSSDTRMEEDSSTQINTEEWCTYKGNPHEESNDQLLKQQVLVDRQQKDIKELKLTLHATKEGMHFLQMKYLEEFNNLGKHLYGLAHAASGYQRVLEENRKLYNQVQDLKGNIRVYCRVRPFLTGQPNRFGTVDRIDEGSISIITPSKYGKEGRKSFSFNKVFGPLATQGEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPEDLTEESLGVNYRALSDLFLLSDQRKEVICYDISVQMLEIYNEQVRDLLATDGLNRRLDIRNSSQNGINVPDASLVSVSSPSDVLNLMNIGQRNRAVSATAMNDRSSRSHSCLTVHVQGRDLTSGAVLRGSMHLVDLAGSERVDKSEVTGDRLKEAQHINRSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEADALGETISTLKFAERVATVELGAARVNKDSSEVKELKEQMANLKAALAMKEGESENSQHSRSSTPERLKRKPGLPFSYSWHSASSITSGHRQMEDGNAEVRNHYSSIPRRRSLDPQDLIMYSPPWSSAGSPALSGKEDDKESVFGDWVDKVMVNRLDAARRDENPAGQWEVDSRQLPGMFYQVYAREPSKIYPEQPYKSPQNTKDNQEYDAQRSRFEMASTDDSDELEAATSDSSEPDLLWQSNIPRMTSLPNPNVLGSKTKKTTNPRGFKSTETRSLIPSLIPSPSRKLPNGVSPGLNKPGRQLVSVDGKRKTGHAK >Potri.005G148900.1.v4.1 pep chromosome:Pop_tri_v4:5:12598453:12603276:-1 gene:Potri.005G148900.v4.1 transcript:Potri.005G148900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148900.v4.1 MGKKQHSKDRMYITKTEWATEWGGAKSKQLQTPFKRLPFYCCALTFTPFEFPVCTADGSVFDLMHITPYIRKYGKHPVTGAPLKQGDLIPLNFHKNSEGEYHCPVLNKVFTEFTHIVSVKTTGNVFCYEAIKELNIKTKNWKELLTDELFTKDDLITIQNPNAIDSRSTLDFDHVKNALEIDDEELKKMSSDPSYNINISGDIKQMLAELGTEKGRQIALHGGGGSKAQNERAAALVAILAARSRIKEDPQSTSNKLPRAYSIVDAASASVHGRSAAAAKAAPDDKTAARIAMHMAGERAPVNSTMVKSRFTTGAASRSFTSTSFDPVTKNEFEYVKVEKNPKKKGYVQLQTTHGDLNIELHCDITPRTCENFITHCERGYYTGVAFHRSIRNFMIQGGDPTGTGRGGESIWGKPFNDEPNSKLLHSGRGVVSMANSGPHTNGSQFFILYKSANHLNFKHTVFGGVVGGMTTLAAMEKVPVDDNDRPLEEIKITSVTVFVNPYTELDEEEQEKAKDEKDIGDEENEKIGSWYSNPGTGTTESGTVGGGGGVGKYLKAKNAKTESTAIDSGSSTIAVTKKRKVGVSTAEFKDFSGW >Potri.007G128900.2.v4.1 pep chromosome:Pop_tri_v4:7:14381199:14383660:-1 gene:Potri.007G128900.v4.1 transcript:Potri.007G128900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128900.v4.1 MMASTSGEQFNSNRNYRCWLAETFDDQETADDELAGNLDGNDNIIQQSIQDFPVSLEPFEPFIGMEFESAEDAREFYELYGRRMGFTIRNNRTRRSLKDNSIIGREFVCSKEGFRGGKSPKGENRVFQSRPITREGCNAMLRIAAKDGGKWVIYGFIKEHNHDLNPSKIPPRRSHRIAFSEDEKDLKIRELSTELHREKKKSAAYQKQLQMVLTYIEEHTQRLSLKAEVVANKVKELESEEPEDPDSD >Potri.007G128900.1.v4.1 pep chromosome:Pop_tri_v4:7:14381199:14383660:-1 gene:Potri.007G128900.v4.1 transcript:Potri.007G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128900.v4.1 MMASTSGEQFNSNRNYRCWLAETFDDQETADDELAGNLDGNDNIIQQSIQDFPVSLEPFEPFIGMEFESAEDAREFYELYGRRMGFTIRNNRTRRSLKDNSIIGREFVCSKEGFRGGKSPKGENRVFQSRPITREGCNAMLRIAAKDGGKWVIYGFIKEHNHDLNPSKIPPRRSHRIAFSEDEKDLKIRELSTELHREKKKSAAYQKQLQMVLTYIEEHTQRLSLKAEVVANKVKELESEEPEDPDSD >Potri.003G060100.5.v4.1 pep chromosome:Pop_tri_v4:3:8685252:8689955:1 gene:Potri.003G060100.v4.1 transcript:Potri.003G060100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060100.v4.1 MWRRLASSHLKTLATASASASVRSTQFLLLNRSPSASVFNRYLSAASVDGAVKKRVEDVMPIATGHEREELEAELEGKKLLDIDFPEGPFGTKEEPSVIKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQHFALEVVGPGGPPDGHGDDDDHHH >Potri.001G338300.1.v4.1 pep chromosome:Pop_tri_v4:1:34719105:34719585:-1 gene:Potri.001G338300.v4.1 transcript:Potri.001G338300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338300.v4.1 MKFLGITWILVFIMVPSTDFVQASSRISLLLSSVDLGPPTPRFVTVAGCNNDCDTACCNCDIKKQPPLCVQCCQEDP >Potri.001G093001.3.v4.1 pep chromosome:Pop_tri_v4:1:7372548:7374039:-1 gene:Potri.001G093001.v4.1 transcript:Potri.001G093001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093001.v4.1 MLPVDPWAPNIDSQSLASQLFAFSLFPYIGILYFITKSKSAPKLTLFGFYFLLAFVGATTFVSYMSFFVIVPWWLHFC >Potri.001G093001.2.v4.1 pep chromosome:Pop_tri_v4:1:7372548:7374039:-1 gene:Potri.001G093001.v4.1 transcript:Potri.001G093001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093001.v4.1 MLPVDPWAPNIDSQSLASQLFAFSLFPYIGILYFITKSKSAPKLTLFGFYFLLAFVGATTKVRYGTSLPNVDGLVARWG >Potri.001G093001.4.v4.1 pep chromosome:Pop_tri_v4:1:7372548:7374039:-1 gene:Potri.001G093001.v4.1 transcript:Potri.001G093001.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093001.v4.1 MLPVDPWAPNIDSQSLASQLFAFSLFPYIGILYFITKSKSAPKLTLFGFYFLLAFVGATTFVSYMSFFVIVPWWLHFC >Potri.007G041100.12.v4.1 pep chromosome:Pop_tri_v4:7:3427700:3431776:-1 gene:Potri.007G041100.v4.1 transcript:Potri.007G041100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041100.v4.1 MNTRVRTALQAMKAPLNHDTNKKEKMEAQGRSRAVGGHKSAMNWRKANKERKLALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPPYILELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYVSSKKNVENSKDAIDQQPSTTRSKHARSKSLSLNETNSATFAARPQPSLARCTSSRRLFSTDPIIERSGQCSNRPSNRGKYASGKPNSSSSLVDDGRGKENRSCINYVKDKQSPDKMAKITTPVKRTPNKRESEEKSLEPSKLQLECRLIEQERAQESTSAYMNDRICENNITPNKLTEDIVKCLSSIFLRMSTLKDKVVELGTFSSRATLTSPEGDRGNEIRDPYGMSAEFKIRDIGSYKHLYAIEASSIDLNRTTSALFLLQRLRFLLGKLAAANLEGLTHQQKLAFWINTYNSCMMNAILEHGIPETPEMVVALMQKATITVGGHLLNAITIEHFILRLPYHLKFTCPKAVKNDEMKARSIFGFEWSEPLVTFALCCGSWSSPAVRVYTASRVEEELEVAKRDYLQATVGISRTNKLIIPKLLDWYLLDFAKDMESLLDWICLQLPNELRNEAVKCLERRGRDPLSQIVQVMPYDFSFRLLLHR >Potri.007G041100.3.v4.1 pep chromosome:Pop_tri_v4:7:3427509:3432165:-1 gene:Potri.007G041100.v4.1 transcript:Potri.007G041100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041100.v4.1 MNTRVRTALQAMKAPLNHDTNKKEKMEAQGRSRAVGGHKSAMNWRKANKERKLALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPPYILELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYVSSKKNVENSKDAIDQQPSTTRSKHARSKSLSLNETNSATFAARPQPSLARCTSSRRLFSTDPIIERSGQCSNRPSNRGKYASGKPNSSSSLVDDGRGKENRSCINYVKDKQSPDKMAKITTPVKRTPNKRESEEKSLEPSKLQLECRLIEQERAQESTSAYMNDRICENNITPNKLTEDIVKCLSSIFLRMSTLKDKVVELGTFSSRATLTSPEGDRGNEIRDPYGMSAEFKIRDIGSYKHLYAIEASSIDLNRTTSALFLLQRLRFLLGKLAAANLEGLTHQQKLAFWINTYNSCMMNAILEHGIPETPEMVVALMQKATITVGGHLLNAITIEHFILRLPYHLKFTCPKAVKNDEMKARSIFGFEWSEPLVTFALCCGSWSSPAVRVYTASRVEEELEVAKRDYLQATVGISRTNKLIIPKLLDWYLLDFAKDMESLLDWICLQLPNELRNEAVKCLERRGRDPLSQIVQVMPYDFSFRLLLHR >Potri.007G041100.11.v4.1 pep chromosome:Pop_tri_v4:7:3427695:3432165:-1 gene:Potri.007G041100.v4.1 transcript:Potri.007G041100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041100.v4.1 MNTRVRTALQAMKAPLNHDTNKKEKMEAQGRSRAVGGHKSAMNWRKANKERKLALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPPYILELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYVSSKKNVENSKDAIDQQPSTTRSKHARSKSLSLNETNSATFAARPQPSLARCTSSRRLFSTDPIIERSGQCSNRPSNRGKYASGKPNSSSSLVDDGRGKENRSCINYVKDKQSPDKMAKITTPVKRTPNKRESEEKSLEPSKLQLECRLIEQERAQESTSAYMNDRICENNITPNKLTEDIVKCLSSIFLRMSTLKDKVVELGTFSSRATLTSPEGDRGNEIRDPYGMSAEFKIRDIGSYKHLYAIEASSIDLNRTTSALFLLQRLRFLLGKLAAANLEGLTHQQKLAFWINTYNSCMMNAILEHGIPETPEMVVALMQKATITVGGHLLNAITIEHFILRLPYHLKFTCPKAVKNDEMKARSIFGFEWSEPLVTFALCCGSWSSPAVRVYTASRVEEELEVAKRDYLQATVGISRTNKLIIPKLLDWYLLDFAKDMESLLDWICLQLPNELRNEAVKCLERRGRDPLSQIVQVMPYDFSFRLLLHR >Potri.007G041100.10.v4.1 pep chromosome:Pop_tri_v4:7:3427471:3432082:-1 gene:Potri.007G041100.v4.1 transcript:Potri.007G041100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041100.v4.1 MNTRVRTALQAMKAPLNHDTNKKEKMEAQGRSRAVGGHKSAMNWRKANKERKLALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPPYILELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVYVSSKKNVENSKDAIDQQPSTTRSKHARSKSLSLNETNSATFAARPQPSLARCTSSRRLFSTDPIIERSGQCSNRPSNRGKYASGKPNSSSSLVDDGRGKENRSCINYVKDKQSPDKMAKITTPVKRTPNKRESEEKSLEPSKLQLECRLIEQERAQESTSAYMNDRICENNITPNKLTEDIVKCLSSIFLRMSTLKDKVVELGTFSSRATLTSPEGDRGNEIRDPYGMSAEFKIRDIGSYKHLYAIEASSIDLNRTTSALFLLQRLRFLLGKLAAANLEGLTHQQKLAFWINTYNSCMMNAILEHGIPETPEMVVALMQKATITVGGHLLNAITIEHFILRLPYHLKFTCPKAVKNDEMKARSIFGFEWSEPLVTFALCCGSWSSPAVRVYTASRVEEELEVAKRDYLQATVGISRTNKLIIPKLLDWYLLDFAKDMESLLDWICLQLPNELRNEAVKCLERRGRDPLSQIVQVMPYDFSFRLLLHR >Potri.003G109100.1.v4.1 pep chromosome:Pop_tri_v4:3:13152612:13159407:-1 gene:Potri.003G109100.v4.1 transcript:Potri.003G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109100.v4.1 MLDLGPFSDDKFDPKKWINSACKTRHQQESLDKHLVDLEMKLQMVSEEIAASLEEQSAAALLRVPRATRDVVRLRDDAVSLRTSVSSILQKLKKAEGTSAESIAALAKVDTVKQRMEAAYETLQDAAGLTQLSSTVEDVFASGDLPRAAETLANMRHCLSAVGEVAEFANVRKQLEVLEDRLDSMVQPRLMDALSNRKVDIAQDLRGILMRIGRFKSLEMHYTKVHLKPLRQLWEDFETRQRANKLASERNEMDRLSGSNDSPAISFASWLPSFYDELLLYLEQEWKWCTIAFPEDYRTLVPKLLIETMAALGASFISRINLATGDVVPETKTLAKGILDILSGDMPKGIKIQAKHLEALIELHNMTATFARNVQHLFSESDLRVLMDTLKAVYLPYESFKQRYGQMERAILSSEIAGADLRGAVTRGVGAQGIELSETVRRMEESTPHVIVLLEAAVERCISFTGGSEADELVLALDDIMLQYISLLQETLKSLRAVSGVDNIGDPKKDTSLEKKEGSQNARKVDMVSNEEEWSIVQGALQILTVADCLTSRSSVFEASLRSTLARISTSLSFSVFGSSLDQKQSHMTIIDGNGEPSLGQRAALDVAVVRLVDAPEKARKLFNLLDQSKDPRFHALPLASQRVSAFADAVNELVYDVLISKVRQRLSDVSRLPIWSAVDEHSSFRLPTFSAYPQSYVTSVGEYLLTLPQQLEPLADGISNNDANNEEAQFFATEWMFKVAEGATALYMEQLRGIQYITDRGAQQLSVDIEYLSNVLSALSMPIPPILATFHTCLSTPRDQLKQLVKSDSGNQLDLSTANLVCKIRRVSLD >Potri.012G028432.1.v4.1 pep chromosome:Pop_tri_v4:12:2816875:2817309:-1 gene:Potri.012G028432.v4.1 transcript:Potri.012G028432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028432.v4.1 MFCCLSEGNYMLLHLLVLIDGDLLVVDLGSWILRVLFEAVVDRKKKRSKKKEEPYK >Potri.016G051050.1.v4.1 pep chromosome:Pop_tri_v4:16:3291536:3291619:-1 gene:Potri.016G051050.v4.1 transcript:Potri.016G051050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051050.v4.1 MPMMFFYFLKIIFNISTSKRSKTYKPY >Potri.008G106000.1.v4.1 pep chromosome:Pop_tri_v4:8:6695472:6700776:-1 gene:Potri.008G106000.v4.1 transcript:Potri.008G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106000.v4.1 MAFWWPLIVAASAYAICRFLLMLIPFNVPSIDVDASDVTEGNQTQENSFIYIPPRGRAQQSDKKVQCYEPATMKYLGFFPALSPAEVHDRVAQARKAQKIWAESSFKQRRQFLRILLKYIIEHQELICEVSSRDTGKTMVDASLGEIMTTCEKITWLLSEGEKWLKPEYRCSGRAMFYKKSRVEFHPLGVIGAIVSWNYPFHNIFNPMLAAVFSGNSIVIKVSENASWSGLFYFRIIQAALAAVGAPENLVDVITGFAETGEALVSSVDKIIFVGSPGVGKMIMRNASDTLIPVTLELGGKDPFIVCEDADVSHVAQIAVRAVLQSSGQNCAGAERFYVHRDIYSSFVSEVTKIVKSVSVGPPLAGRYDMGAICLQEHSDKLQILVNDALEKGAEIVVRGSFGHLGEGAVDQFYPPTVLVNVDHTMKLMQEETFGPIMPIMKFSTDEEAVKLANDSRYGLGCAVFSGSQRRAREIASQIHCGVAAVNDFASNYMCQSLPFGGVKHSGFGRFAGVEGLRACCLVKSVVEDRLWPYIKTKIPKPIQYPVGENSFEFQQSLVEALYGLNIRDKLRAGVNVLKIMSEQNSSNSKSRNE >Potri.003G071700.12.v4.1 pep chromosome:Pop_tri_v4:3:9906286:9910451:-1 gene:Potri.003G071700.v4.1 transcript:Potri.003G071700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071700.v4.1 MCGGAIISDFIAPTTTARSSRRLTSGFEWLELKKPFNNKHLKPVVADPEDDFEADFQEFKDESDVDEDYDVFADAKPFAFSASVSEPAQKRGLPRGSTAVKSAGFSGPAEKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEAPCASARHPIKENSQKRLTKANLSQDFSYLSNPETDYNNMGFVEEKPQVSQFGIMNSFPAIGDSGVTPLTPDNASMYFNSDKGSNSFDCDFGWGEQGPEILSVLAATPEVDESVFVDANPKKLKSYSENEVPVEEKNGKSLSEELLAFDNQLMNLQMPDLVGNWEASLDSFLNGDTTQDGTNAVDLWSFEDFPSMVGGVY >Potri.003G071700.6.v4.1 pep chromosome:Pop_tri_v4:3:9906275:9910463:-1 gene:Potri.003G071700.v4.1 transcript:Potri.003G071700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071700.v4.1 MCGGAIISDFIAPTTTARSSRRLTSGFEWLELKKPFNNKHLKPVVADPEDDFEADFQEFKDESDVDEDYDVFADAKPFAFSASVSEPAQKRGLPRGSTAVKSAGFSGPAEKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEAPCASARHPIKENSQKRLTKANLSQDFSYLSNPETDYNNMGFVEEKPQVSQFGIMNSFPAIGDSGVTPLTPDNASMYFNSDKGSNSFDCDFGWGEQGPEILSVLAATPEVDESVFVDANPKKLKSYSENEVPVEEKNGKSLSEELLAFDNQLMNLQMPDLVGNWEASLDSFLNGDTTQDGTNAVDLWSFEDFPSMVGGVY >Potri.003G071700.14.v4.1 pep chromosome:Pop_tri_v4:3:9907372:9910447:-1 gene:Potri.003G071700.v4.1 transcript:Potri.003G071700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071700.v4.1 MCGGAIISDFIAPTTTARSSRRLTSGFEWLELKKPFNNKHLKPVVADPEDDFEADFQEFKDESDVDEDYDVFADAKPFAFSASVSEPAQKRGLPRGSTAVKSAGFSGPAEKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEAPCASARHPIKENSQKRLTKANLSQDFSYLSNPETDYNNMGFVEEKPQVSQFGIMNSFPAIGDSGVTPLTPDNASMYFNSDKGSNSFDCDFGWGEQGPEILSVLAATPEVDESVFVDANPKKLKSYSENEVPVEEKNGKSLSEELLAFDNQLMNLQMPDLVGNWEASLDSFLNGDTTQDGTNAVDLWSFEDFPSMVGGVY >Potri.003G071700.10.v4.1 pep chromosome:Pop_tri_v4:3:9906255:9910457:-1 gene:Potri.003G071700.v4.1 transcript:Potri.003G071700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071700.v4.1 MCGGAIISDFIAPTTTARSSRRLTSGFEWLELKKPFNNKHLKPVVADPEDDFEADFQEFKDESDVDEDYDVFADAKPFAFSASVSEPAQKRGLPRGSTAVKSAGFSGPAEKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEAPCASARHPIKENSQKRLTKANLSQDFSYLSNPETDYNNMGFVEEKPQVSQFGIMNSFPAIGDSGVTPLTPDNASMYFNSDKGSNSFDCDFGWGEQGPEILSVLAATPEVDESVFVDANPKKLKSYSENEVPVEEKNGKSLSEELLAFDNQLMNLQMPDLVGNWEASLDSFLNGDTTQDGTNAVDLWSFEDFPSMVGGVY >Potri.003G071700.13.v4.1 pep chromosome:Pop_tri_v4:3:9906305:9910447:-1 gene:Potri.003G071700.v4.1 transcript:Potri.003G071700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071700.v4.1 MCGGAIISDFIAPTTTARSSRRLTSGFEWLELKKPFNNKHLKPVVADPEDDFEADFQEFKDESDVDEDYDVFADAKPFAFSASVSEPAQKRGLPRGSTAVKSAGFSGPAEKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEAPCASARHPIKENSQKRLTKANLSQDFSYLSNPETDYNNMGFVEEKPQVSQFGIMNSFPAIGDSGVTPLTPDNASMYFNSDKGSNSFDCDFGWGEQGPEILSVLAATPEVDESVFVDANPKKLKSYSENEVPVEEKNGKSLSEELLAFDNQLMNLQMPDLVGNWEASLDSFLNGDTTQDGTNAVDLWSFEDFPSMVGGVY >Potri.003G071700.9.v4.1 pep chromosome:Pop_tri_v4:3:9906303:9910500:-1 gene:Potri.003G071700.v4.1 transcript:Potri.003G071700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071700.v4.1 MCGGAIISDFIAPTTTARSSRRLTSGFEWLELKKPFNNKHLKPVVADPEDDFEADFQEFKDESDVDEDYDVFADAKPFAFSASVSEPAQKRGLPRGSTAVKSAGFSGPAEKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEAPCASARHPIKENSQKRLTKANLSQDFSYLSNPETDYNNMGFVEEKPQVSQFGIMNSFPAIGDSGVTPLTPDNASMYFNSDKGSNSFDCDFGWGEQGPEILSVLAATPEVDESVFVDANPKKLKSYSENEVPVEEKNGKSLSEELLAFDNQLMNLQMPDLVGNWEASLDSFLNGDTTQDGTNAVDLWSFEDFPSMVGGVY >Potri.003G071700.5.v4.1 pep chromosome:Pop_tri_v4:3:9907391:9910447:-1 gene:Potri.003G071700.v4.1 transcript:Potri.003G071700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071700.v4.1 MCGGAIISDFIAPTTTARSSRRLTSGFEWLELKKPFNNKHLKPVVADPEDDFEADFQEFKDESDVDEDYDVFADAKPFAFSASVSEPAQKRSTAVKSAGFSGPAEKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEAPCASARHPIKENSQKRLTKANLSQDFSYLSNPETDYNNMGFVEEKPQVSQFGIMNSFPAIGDSGVTPLTPDNASMYFNSDKGSNSFDCDFGWGEQGPEILSVLAATPEVDESVFVDANPKKLKSYSENEVPVEEKNGKSLSEELLAFDNQLMNLQMPDLVGNWEASLDSFLNGDTTQDGTNAVDLWSFEDFPSMVGGVY >Potri.003G071700.11.v4.1 pep chromosome:Pop_tri_v4:3:9906305:9910453:-1 gene:Potri.003G071700.v4.1 transcript:Potri.003G071700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071700.v4.1 MCGGAIISDFIAPTTTARSSRRLTSGFEWLELKKPFNNKHLKPVVADPEDDFEADFQEFKDESDVDEDYDVFADAKPFAFSASVSEPAQKRGLPRGSTAVKSAGFSGPAEKSAKRKRKNQFRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDSEARRIRGKKAKVNFPDEAPCASARHPIKENSQKRLTKANLSQDFSYLSNPETDYNNMGFVEEKPQVSQFGIMNSFPAIGDSGVTPLTPDNASMYFNSDKGSNSFDCDFGWGEQGPEILSVLAATPEVDESVFVDANPKKLKSYSENEVPVEEKNGKSLSEELLAFDNQLMNLQMPDLVGNWEASLDSFLNGDTTQDGTNAVDLWSFEDFPSMVGGVY >Potri.015G007100.1.v4.1 pep chromosome:Pop_tri_v4:15:427377:429656:-1 gene:Potri.015G007100.v4.1 transcript:Potri.015G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007100.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Potri.015G063002.1.v4.1 pep chromosome:Pop_tri_v4:15:8803151:8803679:-1 gene:Potri.015G063002.v4.1 transcript:Potri.015G063002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063002.v4.1 MPVATTGFLALGFMSPASRGIDAADRDDFTVSFPGYCGRLCCSPFAESHRRSSRRKKVYFLVDCVLIPWNCLRYPYNISELSLISREAIALDSKGHEPRPFSTQ >Potri.002G090900.6.v4.1 pep chromosome:Pop_tri_v4:2:6578045:6584108:-1 gene:Potri.002G090900.v4.1 transcript:Potri.002G090900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090900.v4.1 MNKKSSCCAICENSNRASICPICVNYRLNEYGTLLKSLNSRRDSLYSKLSVVLIAKGKADDQFNWRVQQNEKLASSREKLHRNKEQLAQGKAKVEKLSQDLKKKNGMLESARNVLEKNRMEQLEKFYPNLICTQSLGHMAITSELLHKQSVVIKQICKLFPQRRVNVDGERNFSGQYDQICNARLPRGLDPHSVSSEELAASLGYMVQLLNLVAHNLAAPTLHNAGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDKSSSNFGVASMESERRPHLDSTRSNSFNYSSVSPHSVETHKDLQKGVSLLKKSVACVTAYCYNLLCLDVPSDTSTFEAFAKLLSTLSSSKEVRSVFNLKMACSRSCKQVQKLNKSVWNVNSAISSSALLESAHALQLMKNTSDNNLPNSAASFLFATGISDGKNESFIDGWDLVEHPTFPPPPSQVEDIEHWTRAMFIDATKK >Potri.002G090900.5.v4.1 pep chromosome:Pop_tri_v4:2:6578132:6584118:-1 gene:Potri.002G090900.v4.1 transcript:Potri.002G090900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090900.v4.1 MNKKSSCCAICENSNRASICPICVNYRLNEYGTLLKSLNSRRDSLYSKLSVVLIAKGKADDQFNWRVQQNEKLASSREKLHRNKEQLAQGKAKVEKLSQDLKKKNGMLESARNVLEKNRMEQLEKFYPNLICTQSLGHMAITSELLHKQSVVIKQICKLFPQRRVNVDGERNFSGQYDQICNARLPRGLDPHSVSSEELAASLGYMVQLLNLVAHNLAAPTLHNAGFAGSCSRIWQRDSYWNACPSSRSNEYPLFIPRQNYCSTSSENSWTDKSSSNFGVASMESERRPHLDSTRSNSFNYSSVSPHSVETHKDLQKGVSLLKKSVACVTAYCYNLLCLDVPSDTSTFEAFAKLLSTLSSSKEVRSVFNLKMACSRSCKQVQKLNKSVWNVNSAISSSALLESAHALQLMKNTSDNNLPNSAASFLFATGISDGKNESFIDGWDLVEHPTFPPPPSQVEDIEHWTRAMFIDATKK >Potri.006G110900.4.v4.1 pep chromosome:Pop_tri_v4:6:8606600:8615134:1 gene:Potri.006G110900.v4.1 transcript:Potri.006G110900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110900.v4.1 MIPEGASYYLSWRLDVEANNVRAWRTVPSQCLRYVEAYMRGGQYDRDLDLIVDQVLSYINEIDPSNDGMDAWILDVDDTCISNLLYYREKRYGCDPFDPAGFKAWALKGGCQAIPAVLGLFNNLVQNGFKVFLITGRDQETLGQVTSDNLHDQGFIGYERLILNGVFRELKKHQNDFSSWCGDCRREKKERQHLSVAATKLELLKRDGISAS >Potri.006G110900.3.v4.1 pep chromosome:Pop_tri_v4:6:8606600:8613105:1 gene:Potri.006G110900.v4.1 transcript:Potri.006G110900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110900.v4.1 MIPEGASYYLSWRLDVEANNVRAWRTVPSQCLRYVEAYMRGGQYDRDLDLIVDQVLSYINEIDPSNDGMDAWILDVDDTCISNLLYYREKRYGCDPFDPAGFKAWALKGGCQAIPAVLGLFNNLVQNGFKVFLITGRDQETLGQVTSDNLHDQGFIGYERLILKTAGFKGQNALAYKSEIRRRLEKEGYRIWGNVGDQWSDLQGECLGNRTFKLPNLMYFVP >Potri.006G280400.2.v4.1 pep chromosome:Pop_tri_v4:6:26992488:26999112:-1 gene:Potri.006G280400.v4.1 transcript:Potri.006G280400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280400.v4.1 MHPLAPSTVLNMLRDNGFQKVKLFDADSATLNALANSGIQIMVGIPNDMLYNLANSEQAADTWVAKNVSSHISSGGADIRYVAVGNEPFLSSYNGSFLGTTLPALRNIQSALTKAGLSTRVKVTVPLNADVYESPANLPSDGDFRSDIHDLMLSIVKFLSDNGAPFTVNIYPFISLCSDPNSPLGFAFFGNKSFPLNDGGTIYDNVFDANHDTLIRALQKNGYGSLPVVIGEIGWPTNEHKTRPVVTSVFA >Potri.005G099400.3.v4.1 pep chromosome:Pop_tri_v4:5:7165470:7174007:1 gene:Potri.005G099400.v4.1 transcript:Potri.005G099400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099400.v4.1 MLAAVFRYNYSFTLHVMATDPPMATKSRPASSPSSPKPTSRKTSWPIFTVLLTILSPVLVATLVFQLDSFEPAPLPIHELTQPPLKALKKNDHMLQGSELVGFKQLIGPEDIAYDSSSGVIYTSCADGWVKRVTINDSVADTIVEGWVNAGGRPLVLALGHDNEVIVGDAYKYLDNIIQPPMATKSRPASSPSSPKPTSRKPSWPFFTVLLTILSPVLVATLVCQLDSFEPAHLPIHELTQPPLKALKKNDHMLQGSELVGFKQLIGPEDIAYDSSSGVIYTSCADGWVKRVTINDSVADTIVESWVNTGGRPLGLALGHDNEVIVADAFKGLLKISGEGKVELLADEAEGVKLKLTDAVDIAEDGTIYFTDASYKYNLLEFFWDFLEGKPYGRAISYDPVTKETKVLAHDLYFANGVAVSPDQQYVVFCETFMRRCRKYYIQGKKKGSLETFIDNLPGLPDNIHHDGHGHYYIALASGITVALDLALKHPFLRKLMGIYTKYIGEINVVKNSGVFIVDLEGKPTEHYYDPGLALISSGIRIGNHIYCGSVVSPYIVRLDVTKHPARATV >Potri.006G243700.1.v4.1 pep chromosome:Pop_tri_v4:6:24484425:24486344:1 gene:Potri.006G243700.v4.1 transcript:Potri.006G243700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G243700.v4.1 MPKSVRDLLHPDLVEDKSTSVAVGKGGVNVDAGKGKPGGTAVNVGKGGVSVDAGKGKPGGTNVNAGKGGVNVDAGKGKPGSGTHVSVGGKGVGVAAGKPGKRTDVGVGKGGVSVTKGHHGKPVIVGVRPGPGPFNYIYAATETQLHDDPNVALFFLEKDMHPGKIMNLQFTENTNTATFLPRQVADSIPFSSDKLPEIYSEFSVKPGSMEAAEMENTIKECESPGIKGEEKYCATSLESMIDFSTSKLGKNVQAISTEVDNQTKMQKYTIKTGVKKVAGDKSVVCHKQNYAYSVFYCHATQTTRAYTVPLEGDDGTKAKAVAVCHTDTSAWNPKHLAFQVLNVKPGTVPVCHFLPQDHVVWFSN >Potri.003G164000.2.v4.1 pep chromosome:Pop_tri_v4:3:17323922:17326799:-1 gene:Potri.003G164000.v4.1 transcript:Potri.003G164000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164000.v4.1 MPYVSREIMALPLALLVCFFIGVESSFSQFDRITQLPGQPPVWFQQYSGYVTVDEKKEKALFYYFAEAELDCVSKPLVLWLNGGPGCSSLGVGAFSENGPFRPSGEVLVKNQYSWNREANMLYLETPIGVGFSYSTNASSYEGVNDKITARDNLVFLQKWFVNFPHYRNRSLFITGESYAGHYVPQLADLMLQFNRKEKLFNLKGIAMGNPVLEYSTDFNSRAEFFWSHGLISDTTYKMFTTVCNYSRYVSEYYRGSVSPQCSRVMSQVTRETSRFVDKYDVTLDVCISSALSQSKILSPQQQLGDNIDVCVEDETVNYLNRPDVQMALHARLVGVRRWAVCSNILDYELLDLEIPTITIVGRLIKAGIPVLVYSGDQDSVIPLTGSRTLVHGLAEELGLQTTVPYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKAFLGGQPLPEAF >Potri.006G196632.1.v4.1 pep chromosome:Pop_tri_v4:6:20453128:20454284:-1 gene:Potri.006G196632.v4.1 transcript:Potri.006G196632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196632.v4.1 MSHLVRYSRYLSRFKTPLFPRSQHSTLPFSTTTEDYNKPSRPDPPPIRVALTESAGRGVFSTRKICAGDLIHTAKPILAHPSLSTINTVCYFCLKKLTSTEFHGKGVAFCSQECKENAKVLS >Potri.006G051700.1.v4.1 pep chromosome:Pop_tri_v4:6:3587454:3591432:1 gene:Potri.006G051700.v4.1 transcript:Potri.006G051700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051700.v4.1 MAFSCLVYLFLGFLSKSLLVTAQLDDQAILLAINRELGVPGWGANNTNYCKWAGISCGLNHSMVEGLDLSRLGLRGNVTLISELKALKQLDLSSNSFHGEIPSAIGNLSQLEFLDLSLNKFGGVIPMELGSLKNLKSLNLSNNMLVGQIPDEFQGLEKLEDFQISSNKLNGSIPSWVGNLTNLRVFTAYENDLGGAIPDNLGSVSELKVLNLHSNMLEGPIPKSIFSMGKLEVLILTLNRLKGELPESVGNCRGLSNIRIGNNDLVGVIPKAIGNVSSLTYFEVANNHMSGEIVSEFAQCSNLILLNLASNGFTGVIPAELGQLVNLQELILSGNSLIGDIPISIIGCKSLNKLDLSNNRFNGTVPNGICNMSRLQYLLLGQNSIKGEIPHEIGNCLKLLELQMGSNYLTGNIPPEIGHIRNLQIALNLSFNHLHGPLPPELGKLDKLVSLDVSNNQLSGTIPPLFKGMLSLIEINFSNNLLSGPVPTFVPFQKSPNSSFFGNKGLCGEPLSLSCGNSYPSGRENYHHKVSYRIILAVIGSGLAVFVSVTIVVLLFMMRERQEKAAKTAGIADEKTNDQPAIIAGNVFVENLKQAIDLDAVVKATLKDSNKLSIGTFSTVYKAVMPSGMVLMARRLKSMDRTIIHHQNKMIRELERLSKLCHDNLVRPVGFVIYEDVVLLLHHYLPNGTLAQLLHESSKKSEYEPDWPMRLSIAIGVAEGLAFLHHVATIHLDISSFNVLLDADFQPLVGEVEISKLLDPSRGTASISAVAGSFGYIPPEYAYTMQVTAPGNVYSYGVVLLEILTTRLPVDEDFGEGLDLVKWVHGAPARGETPEQILDARLSTVSFGWRREMLAALKVALLCTDSTPAKRPKMKKVVEMLQEIKQS >Potri.004G224300.1.v4.1 pep chromosome:Pop_tri_v4:4:22897483:22898302:-1 gene:Potri.004G224300.v4.1 transcript:Potri.004G224300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224300.v4.1 MSSITTNLASKTLKRRLLPFTPKPITIISRSSSSSSSETQTQKLERIADVLLDLTKIERHDYAILFRHKMGLGRYGPAVSGAILSGPAAPGSASGDVKTAAAAEKTAFDIKLEKFDAAAKIKVIKEVRTFTDLGLKEAKDLVEKVPVVLKKGLTKEEAGPIIEKLKALGATVVLE >Potri.017G073900.1.v4.1 pep chromosome:Pop_tri_v4:17:8148256:8153983:1 gene:Potri.017G073900.v4.1 transcript:Potri.017G073900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G073900.v4.1 MSFSSYTGSGGNTRRGNIWPNSSPLPTTSPTPTKSKHPSRKSRKGTALINFIFSNFFTIALSISLLFLLITILLFGVPNPLISSPFKSKPPPSFKVRNRKPPQKDNNRNKNNNSINEGGGGATVDITTKGLYDRIQFLDEDGGPWKQGWRVSYKGNEWDSEKLKVFVVPHSHNDPGWKLTVEEYYDRQTRHILDTIVHTLSKDSRRKFIWEEMSYLERWWRDATVEKRESFTNLVKAGQLEIVGGGWVMNDEANSHFFAIIEQITEGNMWLNDTIGVVPKNSWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELALRKNLEYVWRQNWDAEESTDIFAHMMPFYSYDIPHTCGPEPAICCQFDFARMHGFNYELCPWGEHPVEINHENVQERAVKLLDQYRKKSTLYRTNTLLVPLGDDFRYINIDEAEAQFRNYQMLFDYINSNPSLNAEAKFGTLEDYFRTLREEVDRINYSLPGEVGSGQIGGFPSLSGDFFTYADRQQDYWSGYYVSRPFFKAVDRVLEQTLRAAEIMMALLHGYCQRAQCEKLATGFAYKMTAARRNLALFQHHDGVTGTAKDHVVWDYGTRMHTSLQDLQIFMSKAIEVLLGIRHDKSDHNPSQFESEQVRSKYDVQPVHKAIGAREGTSQSAVFFNPLEQSREEIVMLIVNRPDVTILNSNWTCVPSQVSPELQHDKSKTFTGRHRVHWKASVPAMGLQTYYVANGFVGCEKAKPAKLKYFSMSNSFSCPAPYDCSKIEGGVAEIQNQHQTLTFDIKHGLLRKVTHKDGSINDVGEEIGMYSSYGSGAYLFKPNGDAQPIIEAGGHMVISEGLMVQEVYSYPKTTWEKTPISHSTRIYNGDNTVGELLIEKEYHVELLGQDFNDRELIVRYKTDLDNRRIFYSDLNGFQMSRRETYDKIPMQGNYYPMPSLAFMQGSNGKRFSVHSRQSLGVAGLKEGWLEIMLDRRLLRDDGRGLGQGVMDNRPMNVIFHILFESNISSTSNPVSNPLPLSPSLLSHCVGARLNYPLHAFVAKNPQELSMQPPPRSFSPLAAPLPCDLHIVNFKVPRPSKYSQQVTGDSRFVLILQRRHWDTSYCQNCHSQCTSVANKPVNLFNMFKELEVLNVKATSLNLLHEDIEMLGYMEQVGDVGQEGHVFIPPMEIQAYKLVLRPHQ >Potri.018G003400.3.v4.1 pep chromosome:Pop_tri_v4:18:235416:242647:-1 gene:Potri.018G003400.v4.1 transcript:Potri.018G003400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003400.v4.1 MGWGNIYRRRAKVFTLAMIIYIDYKALQKREKFMKKPKSDALWKKAHERNAKRVFNLMVELEGLWVKLGQYMSSRADVLPSAFISNLKQLQDSLPPRPFEEVCHTIEKELGKSTKEIFLDFDENPLATASIAQVHRATLIDGQKVVVKVQHEDIKKIILEDLKDAKSIVDWIAWAEPQYNFSPMIDEWCKEAPQELDFNHEAENTRTVSRNLGCTSKYDSNKPINQVDVLIPEVIQSTEKVLILEYMDGIRLNDFESLEACGANNQKIVEEITRAFAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKRISSSMKQSLAKMFLATAEGDHVALLSSFSEMGLKLRLDFPEQAMDFISVFFRTSTSASEAAEYAKSLGEQRARNMKVLQEKMNLSQKEVKRFNPIDAFPGDMVIFSRVIGLLRGLSTTLDARIVYHDVMRPFAESVLQEKIAKGPSDNAQWINDTPVHSDVEAKLRQILVELGNDDKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGIAAGMLHWLVDNGKLNLNENIANIWPEFGTNGKNLIKVHHVLNHTSGLQNALANLREENPLLMADWDECLKRIAMSAPETEPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVRPLNIEGELYVGIPPGVESRLASLTLDKDDFSKLSKIASRPELPSTFQPENISQLVTAVPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGLVPPPHSSLSMPPLGTHPHIPKFPSEITSKKQKGKKIKAAGSASKKKGNGYELKMNHSKDFKDGGESNSDGYTRLANDSAGGGGSSSSSSDASPPKGFAASENSRQNNANKIFNNPRIHDEFMGVGEYRNLVLPNGKFGLGFRRFSSSDGSFYGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSLGTATRRIVQFVCSELNVPLPDEFAVLSETAPDEELSIARPLIN >Potri.018G003400.4.v4.1 pep chromosome:Pop_tri_v4:18:235493:241956:-1 gene:Potri.018G003400.v4.1 transcript:Potri.018G003400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003400.v4.1 MIDEWCKEAPQELDFNHEAENTRTVSRNLGCTSKYDSNKPINQVDVLIPEVIQSTEKVLILEYMDGIRLNDFESLEACGANNQKIVEEITRAFAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKRISSSMKQSLAKMFLATAEGDHVALLSSFSEMGLKLRLDFPEQAMDFISVFFRTSTSASEAAEYAKSLGEQRARNMKVLQEKMNLSQKEVKRFNPIDAFPGDMVIFSRVIGLLRGLSTTLDARIVYHDVMRPFAESVLQEKIAKGPSDNAQWINDTPVHSDVEAKLRQILVELGNDDKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGIAAGMLHWLVDNGKLNLNENIANIWPEFGTNGKNLIKVHHVLNHTSGLQNALANLREENPLLMADWDECLKRIAMSAPETEPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVRPLNIEGELYVGIPPGVESRLASLTLDKDDFSKLSKIASRPELPSTFQPENISQLVTAVPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGLVPPPHSSLSMPPLGTHPHIPKFPSEITSKKQKGKKIKAAGSASKKKGNGYELKMNHSKDFKDGGESNSDGYTRLANDSAGGGGSSSSSSDASPPKGFAASENSRQNNANKIFNNPRIHDEFMGVGEYRNLVLPNGKFGLGFRRFSSSDGSFYGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSLGTATRRIVQFVCSELNVPLPDEFAVLSETAPDEELSIARPLIN >Potri.018G003400.5.v4.1 pep chromosome:Pop_tri_v4:18:235347:240768:-1 gene:Potri.018G003400.v4.1 transcript:Potri.018G003400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003400.v4.1 MDGIRLNDFESLEACGANNQKIVEEITRAFAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKRISSSMKQSLAKMFLATAEGDHVALLSSFSEMGLKLRLDFPEQAMDFISVFFRTSTSASEAAEYAKSLGEQRARNMKVLQEKMNLSQKEVKRFNPIDAFPGDMVIFSRVIGLLRGLSTTLDARIVYHDVMRPFAESVLQEKIAKGPSDNAQWINDTPVHSDVEAKLRQILVELGNDDKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGIAAGMLHWLVDNGKLNLNENIANIWPEFGTNGKNLIKVHHVLNHTSGLQNALANLREENPLLMADWDECLKRIAMSAPETEPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVRPLNIEGELYVGIPPGVESRLASLTLDKDDFSKLSKIASRPELPSTFQPENISQLVTAVPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGLVPPPHSSLSMPPLGTHPHIPKFPSEITSKKQKGKKIKAAGSASKKKGNGYELKMNHSKDFKDGGESNSDGYTRLANDSAGGGGSSSSSSDASPPKGFAASENSRQNNANKIFNNPRIHDEFMGVGEYRNLVLPNGKFGLGFRRFSSSDGSFYGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSLGTATRRIVQFVCSELNVPLPDEFAVLSETAPDEELSIARPLIN >Potri.018G003400.1.v4.1 pep chromosome:Pop_tri_v4:18:235347:243206:-1 gene:Potri.018G003400.v4.1 transcript:Potri.018G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003400.v4.1 MGWGNIYRRRAKVFTLAMIIYIDYKALQKREKFMKKPKSDALWKKAHERNAKRVFNLMVELEGLWVKLGQYMSSRADVLPSAFISNLKQLQDSLPPRPFEEVCHTIEKELGKSTKEIFLDFDENPLATASIAQVHRATLIDGQKVVVKVQHEDIKKIILEDLKDAKSIVDWIAWAEPQYNFSPMIDEWCKEAPQELDFNHEAENTRTVSRNLGCTSKYDSNKPINQVDVLIPEVIQSTEKVLILEYMDGIRLNDFESLEACGANNQKIVEEITRAFAHQIYVDGFFNGDPHPGNFLVSKEPPHRPILLDFGLTKRISSSMKQSLAKMFLATAEGDHVALLSSFSEMGLKLRLDFPEQAMDFISVFFRTSTSASEAAEYAKSLGEQRARNMKVLQEKMNLSQKEVKRFNPIDAFPGDMVIFSRVIGLLRGLSTTLDARIVYHDVMRPFAESVLQEKIAKGPSDNAQWINDTPVHSDVEAKLRQILVELGNDDKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDSLFPVFSVTKGIAAGMLHWLVDNGKLNLNENIANIWPEFGTNGKNLIKVHHVLNHTSGLQNALANLREENPLLMADWDECLKRIAMSAPETEPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVRPLNIEGELYVGIPPGVESRLASLTLDKDDFSKLSKIASRPELPSTFQPENISQLVTAVPALFNMLNVRRAIIPAANGHCSARALARYYAALVDGGLVPPPHSSLSMPPLGTHPHIPKFPSEITSKKQKGKKIKAAGSASKKKGNGYELKMNHSKDFKDGGESNSDGYTRLANDSAGGGGSSSSSSDASPPKGFAASENSRQNNANKIFNNPRIHDEFMGVGEYRNLVLPNGKFGLGFRRFSSSDGSFYGFGHSGMGGSTGFCDIKNRFAIAVTLNKMSLGTATRRIVQFVCSELNVPLPDEFAVLSETAPDEELSIARPLIN >Potri.002G187100.2.v4.1 pep chromosome:Pop_tri_v4:2:14920973:14926320:-1 gene:Potri.002G187100.v4.1 transcript:Potri.002G187100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187100.v4.1 MANPPQPSLGYSVTAVPSNPDSSTPQPEKNSVPPPTMLPGASRFPPPKLHQDQIPSPSFQNPRLLSPANGVKTGSPVPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSTLPTSSPPHFSNGSIELQHQVPLATNDSTPFEESSCALFSARKVLKQKKLANVPSLGFGALFSPGGEISPGPQIIQRDPHRCHNCGAYANLYCKILLGSGQWQCVICQKLNGSEGEYVAPSKEELRNLPELSSPIIDYIQIGNKRPGFIPVSDSRMSAPTVLVIDECLDETHLQHLQSSLHAFVDSLPPTARIGIILYGRTVSVYDFSEELMASADVLPGDKSPTRESLKALIYGTGVYLSPMHASKEVAHKIFSSLRPFGLNIAESSRDRCLGTAVEVALAIIQGPSAEMSRGIIKRAGGNSRIIACAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMENLGREAHRHNTVVDILCAGTCPVRIPVLQPLAKASGGVLVLHDDFGEAFGVNLQRAASRASRFHGLLEIRCSDDILITQVVGPGEEAHVDTHETFKNNNALCIQMLSVEETQSFALSMETKEDIKSDCVFFQFAVRYANVYQADISRVVTVRLPTVDSVSAYLESVQDEVAAILMAKRTLLRAKNHSDVMDMRGTIDERIKDIALKFGSLVPKSKLHQFPKELSALSELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASSDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEEITELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRSLTIEQRMKLKTSFIHFDDPSFCEWMRSLKVVPP >Potri.002G187100.4.v4.1 pep chromosome:Pop_tri_v4:2:14920991:14926102:-1 gene:Potri.002G187100.v4.1 transcript:Potri.002G187100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187100.v4.1 MANPPQPSLGYSVTAVPSNPDSSTPQPEKNSVPPPTMLPGASRFPPPKLHQDQIPSPSFQNPRLLSPANGVKTGSPVPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSTLPTSSPPHFSNGSIELQHQVPLATNDSTPFEESSCALFSARKVLKQKKLANVPSLGFGALFSPGGEISPGPQIIQRDPHRCHNCGAYANLYCKILLGSGQWQCVICQKLNGSEGEYVAPSKEELRNLPELSSPIIDYIQIGNKRPGFIPVSDSRMSAPTVLVIDECLDETHLQHLQSSLHAFVDSLPPTARIGIILYGRTVSVYDFSEELMASADVLPGDKSPTRESLKALIYGTGVYLSPMHASKEVAHKIFSSLRPFGLNIAESSRDRCLGTAVEVALAIIQGPSAEMSRGIIKRAGGNSRIIACAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMENLGREAHRHNTVVDILCAGTCPVRIPVLQPLAKASGGVLVLHDDFGEAFGVNLQRAASRASRFHGLLEIRCSDDILITQVVGPGEEAHVDTHETFKNNNALCIQMLSVEETQSFALSMETKEDIKSDCVFFQFAVRYANVYQADISRVVTVRLPTVDSVSAYLESVQDEVAAILMAKRTLLRAKNHSDVMDMRGTIDERIKDIALKFGSLVPKSKLHQFPKELSALSELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASSDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEEITELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRSLTIEQRMKLKTSFIHFDDPSFCEWMRSLKVVPP >Potri.002G187100.1.v4.1 pep chromosome:Pop_tri_v4:2:14920923:14926312:-1 gene:Potri.002G187100.v4.1 transcript:Potri.002G187100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187100.v4.1 MANPPQPSLGYSVTAVPSNPDSSTPQPEKNSVPPPTMLPGASRFPPPKLHQDQIPSPSFQNPRLLSPANGVKTGSPVPHLSTPPGPPVFTSPVRPAAVPFRTSPATPQPVAFSSGSTLPTSSPPHFSNGSIELQHQVPLATNDSTPFEESSCALFSARKVLKQKKLANVPSLGFGALFSPGGEISPGPQIIQRDPHRCHNCGAYANLYCKILLGSGQWQCVICQKLNGSEGEYVAPSKEELRNLPELSSPIIDYIQIGNKRPGFIPVSDSRMSAPTVLVIDECLDETHLQHLQSSLHAFVDSLPPTARIGIILYGRTVSVYDFSEELMASADVLPGDKSPTRESLKALIYGTGVYLSPMHASKEVAHKIFSSLRPFGLNIAESSRDRCLGTAVEVALAIIQGPSAEMSRGIIKRAGGNSRIIACAGGPNTYGPGSVPHSFSHPNYPHMEKTALKWMENLGREAHRHNTVVDILCAGTCPVRIPVLQPLAKASGGVLVLHDDFGEAFGVNLQRAASRASRFHGLLEIRCSDDILITQVVGPGEEAHVDTHETFKNNNALCIQMLSVEETQSFALSMETKEDIKSDCVFFQFAVRYANVYQADISRVVTVRLPTVDSVSAYLESVQDEVAAILMAKRTLLRAKNHSDVMDMRGTIDERIKDIALKFGSLVPKSKLHQFPKELSALSELLFHLRRGPLLGSIVGHEDERSVLRNLFLNASSDLSLRMVAPRCLMHREGGTFEELPAYDLAMQSDTAVVLDHGTDVFIWLGAELAADEGRSAAALAACRTLAEEITELRFPAPRILAFKEGSSQARYFVSRLIPAHKDPPYEQEARFPQLRSLTIEQRMKLKTSFIHFDDPSFCEWMRSLKVVPP >Potri.001G206600.1.v4.1 pep chromosome:Pop_tri_v4:1:21069075:21074882:1 gene:Potri.001G206600.v4.1 transcript:Potri.001G206600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206600.v4.1 MNLIKKGPRFSHNNELPKNDCFGDTALSLNCLGYGGSSSTNAEGADNNLKVDFSNASDDGCKLVLGLGPTPSAYFDDCYSFGVNKNKGLASGAIFPKGLLSESDSILKLGLSGGAKEALSGLGCAIEGTDTDTPMLNQISGDDIRVPIPVVDEGSTSAKKSGGYIASLLLAPRMDVGKALSQTELLNFGTGSHHQFQLSHELPANADFSVGTTSEQAISSTSSDHRTKIPKKCKFFGCSKGARGASGLCIGHGGGQRCHKPGCNKGAESRTAYCKAHGGGRRCQHLGCTKSAEGKTENCIAHGGGRRCGFPGGCAKAARGKSGLCIRHGGGKRCKVEGCTRSAEGQAGLCISHGGGRRCLHQACTKGAQGSTMFCKAHGGGRRCIFAGCSKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTDFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCKFEDCGKSAQGSTDFCKAHGGGKRCTWGEGKCEKFARGKSGLCAAHSSMVQERKANKTGLIGPGLFHGLVSASSVAGSSIDTNHSYSGVSAVSDSIDSLEKPAKRQHLIPAQVLVPLSMKVSSSCTGFMNTENLEEGTNGYGASNGGIKGCDYLVPEGRVHGGALMSLFGGNLKNSIDGV >Potri.001G206600.3.v4.1 pep chromosome:Pop_tri_v4:1:21069123:21074782:1 gene:Potri.001G206600.v4.1 transcript:Potri.001G206600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206600.v4.1 MNLIKKGPRFSHNNELPKNDCFGDTALSLNCLGYGGSSSTNAEGADNNLKVDFSNASDDGCKLVLGLGPTPSAYFDDCYSFGVNKNKGLASGAIFPKGLLSESDSILKLGLSGGAKEALSGLGCAIEGTDTDTPMLNQISGDDIRVPIPVVDEGSTSAKKSGGYIASLLLAPRMDVGKALSQTELLNFGTGSHHQFQLSHELPANADFSVGTTSEQAISSTSSDHRTKIPKKCKFFGCSKGARGASGLCIGHGGGQRCHKPGCNKGAESRTAYCKAHGGGRRCQHLGCTKSAEGKTENCIAHGGGRRCGFPGGCAKAARGKSGLCIRHGGGKRCKVEGCTRSAEGQAGLCISHGGGRRCLHQACTKGAQGSTMFCKAHGGGRRCIFAGCSKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTDFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCKFEDCGKSAQGSTDFCKAHGGGKRCTWGEGKCEKFARGKSGLCAAHSSMVQERKANKTGLIGPGLFHGLVSASSVAGSSIDTNHSYSGVSAVSDSIDSLEKPAKRQHLIPAQVLVPLSMKVSSSCTGFMNTENLEEGTNGYGASNGGIKGCDYLVPEGRVHGGALMSLFGGNLKNSIDGV >Potri.001G206600.2.v4.1 pep chromosome:Pop_tri_v4:1:21069115:21074933:1 gene:Potri.001G206600.v4.1 transcript:Potri.001G206600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206600.v4.1 MNLIKKGPRFSHNNELPKNDCFGDTALSLNCLGYGGSSSTNAEGADNNLKVDFSNASDDGCKLVLGLGPTPSAYFDDCYSFGVNKNKGLASGAIFPKGLLSESDSILKLGLSGGAKEALSGLGCAIEGTDTDTPMLNQISGDDIRVPIPVVDEGSTSAKKSGGYIASLLLAPRMDVGKALSQTELLNFGTGSHHQFQLSHELPANADFSVGTTSEQAISSTSSDHRTKIPKKCKFFGCSKGARGASGLCIGHGGGQRCHKPGCNKGAESRTAYCKAHGGGRRCQHLGCTKSAEGKTENCIAHGGGRRCGFPGGCAKAARGKSGLCIRHGGGKRCKVEGCTRSAEGQAGLCISHGGGRRCLHQACTKGAQGSTMFCKAHGGGRRCIFAGCSKGAEGSTPLCKGHGGGKRCLFDGGGICPKSVHGGTDFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCKFEDCGKSAQGSTDFCKAHGGGKRCTWGEGKCEKFARGKSGLCAAHSSMVQERKANKTGLIGPGLFHGLVSASSVAGSSIDTNHSYSGVSAVSDSIDSLEKPAKRQHLIPAQVLVPLSMKVSSSCTGFMNTENLEEGTNGYGASNGGIKGCDYLVPEGRVHGGALMSLFGGNLKNSIDGV >Potri.012G112600.2.v4.1 pep chromosome:Pop_tri_v4:12:13176774:13178644:1 gene:Potri.012G112600.v4.1 transcript:Potri.012G112600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G112600.v4.1 MNGLKNHCNHIISFSLLFLTLLCGLITFKPFSPFQYYTRNVTSTSQKDALGLALAEASTENKAVIIAMVNKAFVEGDDKSMLDLFLDSFWHGENTRGLVDNLLLVNVDQASYERCKFLRLHCYKLETDGVKFDKEEVYMSDEFIKMMWRRTLFLGQVLRRGYNFIFTDADVLWLRNPFPRLSFNKNIDLQISTDRFNGDQWSQTNPINTGFFMIRSNKNTIQLFDLWYERKDKSTGQKEQDVLNGMLHGGVFKKLGLRVRFLDTLYFSGFCQDSKDIRAVTTVHANCCRTISAKITDLSAVIDDWKRFKRSAVNETSTFGNLKHEACAHSWGK >Potri.016G092600.2.v4.1 pep chromosome:Pop_tri_v4:16:7721465:7724569:1 gene:Potri.016G092600.v4.1 transcript:Potri.016G092600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092600.v4.1 MAVETVLIENLGDQVFSALMNQAQFAIDFKNQFQALKTQLDLVKAFLADTNNLKMKKETLKTSLIKLRDLVYEADDILTDCVLRYDYQKDGSCSKYTPDEFFFRCRMGRQLMDLNSRMGKMGSDLRAYLTPQHLLSLGDNPYRAKVFTSQDFEPSEVIGLEEDIEKLKRWIFSASGVLQRIGIVGMGGLGKTTIAQKFFGDRAVAGCFDKKIWVSVSQDFSDEKIIKSILEQLRKNPSPVSDLGQMLHAINQSLQGHSCLIVMDDVWSFNQELWGKLCSAIQKTEKRSCVMITTRHEDVATHMGVESSRIHHPKVLDDKDSWSLFCNFAFQETKGKCHKPQFEIVGKEIVGKCGGLPLAIKTIAASLATEVHNLGKWKDILEHFHELTTRKQNSSVKTSLQLSYDALPTHLKQFLLCFSIYPEDSVIQAEQLVHWWVGEGFIQRTEEHSKTAEDLGYEYLTDLVRRCLVEVVKRRGYDGRVYSCKMHDLVRDLTTMFAEDEMLCSFEAGKQKLSPDSRWLGLTSEMSTATLKHCSKLRALLLMASSQGQFTFSKNQMVSLDSLRVLDLSRIRLDSTSMEKLLSWIFSLQRLAYLNLSGAVGLKEMPSSIRKLRNLHLLILAECSDLTKLHPSISYLKNLIVLDCGSCGLQYLPQGIGNLSQLQELSGFRVVRQSTPQSCHLLELKQLVQLRVLRMNLSNESEITESEGELLSKLVKLRVLAIDTEDCKDRTILEMLDRLHPPPNLKELYLRRYPHKSLPKWINPTKLSVLQYLCLENGSALKSINPSAHSEEEIAFSWNYLEGLCLKFLPFLDEDWTDLQKTMQSIRYVEVSNCFNLKNFPCPVNKPGTWRKVED >Potri.016G092600.3.v4.1 pep chromosome:Pop_tri_v4:16:7720921:7724584:1 gene:Potri.016G092600.v4.1 transcript:Potri.016G092600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092600.v4.1 MAVETVLIENLGDQVFSALMNQAQFAIDFKNQFQALKTQLDLVKAFLADTNNLKMKKETLKTSLIKLRDLVYEADDILTDCVLRYDYQKDGSCSKYTPDEFFFRCRMGRQLMDLNSRMGKMGSDLRAYLTPQHLLSLGDNPYRAKVFTSQDFEPSEVIGLEEDIEKLKRWIFSASGVLQRIGIVGMGGLGKTTIAQKFFGDRAVAGCFDKKIWVSVSQDFSDEKIIKSILEQLRKNPSPVSDLGQMLHAINQSLQGHSCLIVMDDVWSFNQELWGKLCSAIQKTEKRSCVMITTRHEDVATHMGVESSRIHHPKVLDDKDSWSLFCNFAFQETKGKCHKPQFEIVGKEIVGKCGGLPLAIKTIAASLATEVHNLGKWKDILEHFHELTTRKQNSSVKTSLQLSYDALPTHLKQFLLCFSIYPEDSVIQAEQLVHWWVGEGFIQRTEEHSKTAEDLGYEYLTDLVRRCLVEVVKRRGYDGRVYSCKMHDLVRDLTTMFAEDEMLCSFEAGKQKLSPDSRWLGLTSEMSTATLKHCSKLRALLLMASSQGQFTFSKNQMVSLDSLRVLDLSRIRLDSTSMEKLLSWIFSLQRLAYLNLSGAVGLKEMPSSIRKLRNLHLLILAECSDLTKLHPSISYLKNLIVLDCGSCGLQYLPQGIGNLSQLQELSGFRVVRQSTPQSCHLLELKQLVQLRVLRMNLSNESEITESEGELLSKLVKLRVLAIDTEDCKDRTILEMLDRLHPPPNLKELYLRRYPHKSLPKWINPTKLSVLQYLCLENGSALKSINPSAHSEEEIAFSWNYLEGLCLKFLPFLDEDWTDLQKTMQSIRYVEVSNCFNLKNFPCPVNKPGTWRKVED >Potri.016G092600.5.v4.1 pep chromosome:Pop_tri_v4:16:7721418:7724568:1 gene:Potri.016G092600.v4.1 transcript:Potri.016G092600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092600.v4.1 MAVETVLIENLGDQVFSALMNQAQFAIDFKNQFQALKTQLDLVKAFLADTNNLKMKKETLKTSLIKLRDLVYEADDILTDCVLRYDYQKDGSCSKYTPDEFFFRCRMGRQLMDLNSRMGKMGSDLRAYLTPQHLLSLGDNPYRAKVFTSQDFEPSEVIGLEEDIEKLKRWIFSASGVLQRIGIVGMGGLGKTTIAQKFFGDRAVAGCFDKKIWVSVSQDFSDEKIIKSILEQLRKNPSPVSDLGQMLHAINQSLQGHSCLIVMDDVWSFNQELWGKLCSAIQKTEKRSCVMITTRHEDVATHMGVESSRIHHPKVLDDKDSWSLFCNFAFQETKGKCHKPQFEIVGKEIVGKCGGLPLAIKTIAASLATEVHNLGKWKDILEHFHELTTRKQNSSVKTSLQLSYDALPTHLKQFLLCFSIYPEDSVIQAEQLVHWWVGEGFIQRTEEHSKTAEDLGYEYLTDLVRRCLVEVVKRRGYDGRVYSCKMHDLVRDLTTMFAEDEMLCSFEAGKQKLSPDSRWLGLTSEMSTATLKHCSKLRALLLMASSQGQFTFSKNQMVSLDSLRVLDLSRIRLDSTSMEKLLSWIFSLQRLAYLNLSGAVGLKEMPSSIRKLRNLHLLILAECSDLTKLHPSISYLKNLIVLDCGSCGLQYLPQGIGNLSQLQELSGFRVVRQSTPQSCHLLELKQLVQLRVLRMNLSNESEITESEGELLSKLVKLRVLAIDTEDCKDRTILEMLDRLHPPPNLKELYLRRYPHKSLPKWINPTKLSVLQYLCLENGSALKSINPSAHSEEEIAFSWNYLEGLCLKFLPFLDEDWTDLQKTMQSIRYVEVSNCFNLKNFPCPVNKPGTWRKVED >Potri.016G092600.1.v4.1 pep chromosome:Pop_tri_v4:16:7720478:7724589:1 gene:Potri.016G092600.v4.1 transcript:Potri.016G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092600.v4.1 MAVETVLIENLGDQVFSALMNQAQFAIDFKNQFQALKTQLDLVKAFLADTNNLKMKKETLKTSLIKLRDLVYEADDILTDCVLRYDYQKDGSCSKYTPDEFFFRCRMGRQLMDLNSRMGKMGSDLRAYLTPQHLLSLGDNPYRAKVFTSQDFEPSEVIGLEEDIEKLKRWIFSASGVLQRIGIVGMGGLGKTTIAQKFFGDRAVAGCFDKKIWVSVSQDFSDEKIIKSILEQLRKNPSPVSDLGQMLHAINQSLQGHSCLIVMDDVWSFNQELWGKLCSAIQKTEKRSCVMITTRHEDVATHMGVESSRIHHPKVLDDKDSWSLFCNFAFQETKGKCHKPQFEIVGKEIVGKCGGLPLAIKTIAASLATEVHNLGKWKDILEHFHELTTRKQNSSVKTSLQLSYDALPTHLKQFLLCFSIYPEDSVIQAEQLVHWWVGEGFIQRTEEHSKTAEDLGYEYLTDLVRRCLVEVVKRRGYDGRVYSCKMHDLVRDLTTMFAEDEMLCSFEAGKQKLSPDSRWLGLTSEMSTATLKHCSKLRALLLMASSQGQFTFSKNQMVSLDSLRVLDLSRIRLDSTSMEKLLSWIFSLQRLAYLNLSGAVGLKEMPSSIRKLRNLHLLILAECSDLTKLHPSISYLKNLIVLDCGSCGLQYLPQGIGNLSQLQELSGFRVVRQSTPQSCHLLELKQLVQLRVLRMNLSNESEITESEGELLSKLVKLRVLAIDTEDCKDRTILEMLDRLHPPPNLKELYLRRYPHKSLPKWINPTKLSVLQYLCLENGSALKSINPSAHSEEEIAFSWNYLEGLCLKFLPFLDEDWTDLQKTMQSIRYVEVSNCFNLKNFPCPVNKPGTWRKVED >Potri.016G092600.4.v4.1 pep chromosome:Pop_tri_v4:16:7720921:7724568:1 gene:Potri.016G092600.v4.1 transcript:Potri.016G092600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092600.v4.1 MAVETVLIENLGDQVFSALMNQAQFAIDFKNQFQALKTQLDLVKAFLADTNNLKMKKETLKTSLIKLRDLVYEADDILTDCVLRYDYQKDGSCSKYTPDEFFFRCRMGRQLMDLNSRMGKMGSDLRAYLTPQHLLSLGDNPYRAKVFTSQDFEPSEVIGLEEDIEKLKRWIFSASGVLQRIGIVGMGGLGKTTIAQKFFGDRAVAGCFDKKIWVSVSQDFSDEKIIKSILEQLRKNPSPVSDLGQMLHAINQSLQGHSCLIVMDDVWSFNQELWGKLCSAIQKTEKRSCVMITTRHEDVATHMGVESSRIHHPKVLDDKDSWSLFCNFAFQETKGKCHKPQFEIVGKEIVGKCGGLPLAIKTIAASLATEVHNLGKWKDILEHFHELTTRKQNSSVKTSLQLSYDALPTHLKQFLLCFSIYPEDSVIQAEQLVHWWVGEGFIQRTEEHSKTAEDLGYEYLTDLVRRCLVEVVKRRGYDGRVYSCKMHDLVRDLTTMFAEDEMLCSFEAGKQKLSPDSRWLGLTSEMSTATLKHCSKLRALLLMASSQGQFTFSKNQMVSLDSLRVLDLSRIRLDSTSMEKLLSWIFSLQRLAYLNLSGAVGLKEMPSSIRKLRNLHLLILAECSDLTKLHPSISYLKNLIVLDCGSCGLQYLPQGIGNLSQLQELSGFRVVRQSTPQSCHLLELKQLVQLRVLRMNLSNESEITESEGELLSKLVKLRVLAIDTEDCKDRTILEMLDRLHPPPNLKELYLRRYPHKSLPKWINPTKLSVLQYLCLENGSALKSINPSAHSEEEIAFSWNYLEGLCLKFLPFLDEDWTDLQKTMQSIRYVEVSNCFNLKNFPCPVNKPGTWRKVED >Potri.002G172000.5.v4.1 pep chromosome:Pop_tri_v4:2:13233203:13237577:-1 gene:Potri.002G172000.v4.1 transcript:Potri.002G172000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172000.v4.1 MQQVKTMTFTLRKNQHNRYYTKSNLQLVYCARLLSEYLGLETMLGIVCKTFDGIKALEKYDGNGKIKDIAGLHGLGSSIGRKIDGRFTAFCLEDLRPFAGGFIANDPQKKLALLKPKLPDGKCPSGFLDFVVNMVNLDDRNLFCVTAGGHGLRETLFYNLFSYLQVYKTRADMLSALPCITHGALSLDGGMITKNGLFLLGSRENFEVKFPLITGRSGLSLNYSQIETRIRKLRWEQHNIEQDMLREQQLLDKARAASSGKPQV >Potri.002G172000.1.v4.1 pep chromosome:Pop_tri_v4:2:13233203:13237577:-1 gene:Potri.002G172000.v4.1 transcript:Potri.002G172000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172000.v4.1 MLQSNSEDNFESPIKDIKRHEDNLKFLKSQANHLDESILDLQVRLGKYHSKDAASENNDLHPEEESTQQILHQEQSAAGILCKVKSYHAIHASNLSLIKDVLGIVATLARVDNDTLSRLLSEYLGLETMLGIVCKTFDGIKALEKYDGNGKIKDIAGLHGLGSSIGRKIDGRFTAFCLEDLRPFAGGFIANDPQKKLALLKPKLPDGKCPSGFLDFVVNMVNLDDRNLFCVTAGGHGLRETLFYNLFSYLQVYKTRADMLSALPCITHGALSLDGGMITKNGLFLLGSRENFEVKFPLITGRSGLSLNYSQIETRIRKLRWEQHNIEQDMLREQQLLDKARAASSGKPQV >Potri.002G172000.4.v4.1 pep chromosome:Pop_tri_v4:2:13233203:13236404:-1 gene:Potri.002G172000.v4.1 transcript:Potri.002G172000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172000.v4.1 MGHKMDSLRRLTDNFESPIKDIKRHEDNLKFLKSQANHLDESILDLQVRLGKYHSKDAASENNDLHPEEESTQQILHQEQSAAGILCKVKSYHAIHASNLSLIKDVLGIVATLARVDNDTLSRLLSEYLGLETMLGIVCKTFDGIKALEKYDGNGKIKDIAGLHGLGSSIGRKIDGRFTAFCLEDLRPFAGGFIANDPQKKLALLKPKLPDGKCPSGFLDFVVNMVNLDDRNLFCVTAGGHGLRETLFYNLFSYLQVYKTRADMLSALPCITHGALSLDGGMITKNGLFLLGSRENFEVKFPLITGRSGLSLNYSQIETRIRKLRWEQHNIEQDMLREQQLLDKARAASSGKPQV >Potri.002G172000.6.v4.1 pep chromosome:Pop_tri_v4:2:13233203:13237367:-1 gene:Potri.002G172000.v4.1 transcript:Potri.002G172000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172000.v4.1 MLGIVCKTFDGIKALEKYDGNGKIKDIAGLHGLGSSIGRKIDGRFTAFCLEDLRPFAGGFIANDPQKKLALLKPKLPDGKCPSGFLDFVVNMVNLDDRNLFCVTAGGHGLRETLFYNLFSYLQVYKTRADMLSALPCITHGALSLDGGMITKNGLFLLGSRENFEVKFPLITGRSGLSLNYSQIETRIRKLRWEQHNIEQDMLREQQLLDKARAASSGKPQV >Potri.009G097000.1.v4.1 pep chromosome:Pop_tri_v4:9:8719574:8721443:-1 gene:Potri.009G097000.v4.1 transcript:Potri.009G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097000.v4.1 MGKSRQDAASKADRKFEKKVQFYSKVRDAVAVLTAQKSITKKKKLRSRQKKLKAYDLSTLTEFLPELKSPQQSKPTAEFKLNSKSRQKLILKEGKQLSMVLNHPAFQADPLGSIHQHLLSTQPVAEEKPKKKMNKNGGKKVKGKKSKSLTTPQSMDF >Potri.008G035200.1.v4.1 pep chromosome:Pop_tri_v4:8:1907935:1913453:-1 gene:Potri.008G035200.v4.1 transcript:Potri.008G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035200.v4.1 MNPPIPTPEEFSSLPLEFIPFLPLPSQTTPSPTQNQPAPAPAPALPDPNAFLSFPIPKKRRRGRPQKAQTSFHFPQFFSQNQHQKQPIPDISEEIIVINKDPTNEAVIGLSAGFPADSLTEEEIDARVVTNIGGIEQVNYILIRNHIIAKWRENVNVWVTKEMFLNSVPKHCHGLLESAYNYLVSRGYINFGVSQSIKEQFPQEDTKSNVIVVGAGLAGLSAARQLMRLGFKVTVLEGRKRAGGRVYTKRMEGGAGNRVSASVDLGGSVLTGTLGNPLGILARQLGYSMHKVRDKCPLYSVDGKPVDLDMDMKVETAFNRLLDKASRLRQLMGDVSVDVSLGAALETFRQVYEDAVNKEEINLFNWHCANLEYANAGLLSKLSLAFWDQDDPYDMGGDHCFLPGGNGRLVQALAENVPILYEKTVHTVRYGSDGVRVIAGSQVFEGDMVLCTVPLGVLKSGSIKFIPELPQRKLDGIKRLGYGLLNKVAMLFPSVFWETDLDTFGHLTDNTSSRGEFFLFYSYATVAGGPVLIALVAGEAAHTFESMPPTDAVTQVIQILKGIYEPQGITVPEPIQTICTRWGSDPFTLGSYSNVAVGASGDDYDILAESVGDGRLFFAGEATMRRYPATMHGAFLSGLREAANIAHYAGARALRVKVNQSPSNNAHACASLLADLFREPDIEFGSFSVIFGRNNPDLKSTAILRVTFNEPRKKSQESSRPDQHHSNKLLFQQLQSHFNQQQPLHVYTLLSKQQVLELREVRGGDEMRMNYLCEKLGVKLIGRKGLGPTADSLIASIKAERGGRKASATSLGLKSGMSKLQKGTLKRKLVRRAKIVRSSNKPAPLPNSNMVNAKASEEIRTTNQAPPETNSAGQSQVYMLKNDQTAPP >Potri.018G133200.1.v4.1 pep chromosome:Pop_tri_v4:18:14262491:14263142:-1 gene:Potri.018G133200.v4.1 transcript:Potri.018G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133200.v4.1 MAKFFHYVLFIFATVATMELSAEAAVCTRQIGACAPSCSDICASKYPNGGKGACQGDSCVCFYECGTEKRCNVGLGRCSAQCNDACCAAKCAQQGSLSEGFCDRLGYNFLCQCSYDCS >Potri.006G254600.1.v4.1 pep chromosome:Pop_tri_v4:6:25233121:25236841:-1 gene:Potri.006G254600.v4.1 transcript:Potri.006G254600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254600.v4.1 MDRWCLIRFMGSISLFVLLLPEGCKAGVQHVGTIYPGFQGSQMTWINLNGLFLISNNSNFAFGFSTTQDVTQFLLVVVHMGSSKVIWSANRGSPVSYSDKFIFGGDGKVSLQKGEAVVWTADTGGKRVSAIEMQDSGNLVLLGNGGSVLWQSFSHPTDTLISNQDFVDGMKLVSDPNSNKLTHILEIKSGDMMLSAGFQTPQPYWSIQKERRMTIDKGGGKPAVASLSGNSWKFYDGNKVFLSQFIFSDSTDANGTWIAVLGNDGFISFYNLDDGGSDSQTKIPSDPCSRPEPCDAHYVCSGNNVCQCPSGLSNRLNCQTEVVSSCDGSNGSTELVSAGDRLNYFALGFVPPSSITDLEGCKSACHGNCSCLAFFFHNSSGNCFLFSDIGSFQNSNAGSSFVAYIKVSSDGGSGSNAGGDGSGEKSFPIVVIIVIGTLIVICGLLYMAFRYHRKKKKMLESPPNTSEDDNFLETLSGMPIRFSYRDLQTATNNFSVKLGQGGFGSVYQGALPDGTQLAVKKLEGMGQGKKEFRAEVSIIGSIHHHHLVRIKGFCAEGTHRLLAYEFMANGSLDKWIFKRNKEEFLLDWETRFNIAVGTAKGLAYLHEDCDVKIIHCDIKPENVLLDGQFLAKVSDFGLAKLMNREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFDPTESSEKSHFPSYAFKMMEEGKLKEILDSKLRLDNDDDRVSTSIKVALWCIQEDMNLRPSMTKVVHMLEGLSPVPLPPTSSPLGSRLYSSFFKSTSEEGTSSGPSDCNSDAYLSAVRLSGPR >Potri.009G130700.1.v4.1 pep chromosome:Pop_tri_v4:9:10669354:10671782:1 gene:Potri.009G130700.v4.1 transcript:Potri.009G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130700.v4.1 MAINTPLSFSLLSSLTFILLTISSHAFSIEEASICDLQLAFKQNQLTSRKLVEFYIGEINRLNPILKGVMETSPDALFQADKADYERRIKAPGSLAGLHGIPILLKDNIATKDKLNTTAGSFALLGSVVPRDAGIVVKLRKAGAIILGKASLNEWAGFMSVKAPNGFSARGGQGENPYLLSANPCGSSSGSAISVAANLVTVSLGTENDGSILCPSNANSVVGIKPTVGLTSRAGVIPISLRQDTVGPICRTVSDAVYVLDAIVGIDYHDHATQEAAKYIPHGGYKQFLKRHALKGKRLGIVRNPFLSSASESESQAFEHHLQTLSQRGAVLVDRLEIANMDTISTGTAEGTALLAEFKISLNAYLKELVASPVRTLADVIAFNQKFADVENIKEFGQDLFLAAQATNGIGNRENAALSNLAKLTRDGFQKLMCDYNLDALVTPGAGIARVLAIGGFPGINVPAGYDDSGVPFGINFGGLKGSEPKLIEIAYGFEQASKIRKPPTFKALNFTRMLLSSLTDV >Potri.007G017000.1.v4.1 pep chromosome:Pop_tri_v4:7:1305488:1307702:-1 gene:Potri.007G017000.v4.1 transcript:Potri.007G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTD MGRGKIEIKKIENPTNRQVTYSKRRNGIFKKAQELTVLCDAKVSLIMFSNTNKLNEYISPSTSTKKIYDQYQNALGIDLWGTQYEKMQEHLRKLNDINHKLRQEIRQRRGEGLNDLSIDHLRGLEQHMTEALNGVRGRKYHVIKTQNETYRKKVKNLEERHGNLLMEYEAKLEDRQYGLVDNEAAVALANGASNLYAFRLHHGHNHHHHLPNLHLGDGFGAHELRLP >Potri.019G002628.1.v4.1 pep chromosome:Pop_tri_v4:19:39175:39537:-1 gene:Potri.019G002628.v4.1 transcript:Potri.019G002628.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002628.v4.1 MCVATFKNKSNGIELFETMAAVTFDRTITKHSWIQRIPLIGLEESLQGVEELEVNVKLSLYDVRKCGVEKCGVHLIMEKNKADSDQEIDIHDLGSDDQRLESSLIRELQKWKITSCSKFG >Potri.015G018200.1.v4.1 pep chromosome:Pop_tri_v4:15:1278435:1281562:-1 gene:Potri.015G018200.v4.1 transcript:Potri.015G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018200.v4.1 MDLSVVGLLMLVLVGHGVGLPDQLLPDRCQEVRCKKDGPAIRFPFSLKEKQPDDCGYPGFELSCTDRTETLLQLPNSVKLYVNKIDYTSQLIFAADPDKCFPKQLRNFNLSTSPFKFRDMQQDDYAIFNCTSWKGDTYQKLACLSGPGYDIFAYRSDYLISYTDLTSCTKIYNLSSVPMEIMMEEKILRLNWSMPACRLCEAQGKFCRWKTSARLDTECYDKPKSNEGIRIKIAAAVATVGSVLLLLVFFAAYRVYSSDKAAKENQKRIENFLADYKAFKPTRYTYADIKRITNEFKDKLGQGAYGTVFKGQLSDEIFVAVKILNNSTGNGEEFVNEVGTMGKIHHVNVIRLVGYCADGFRRALVYDYLSNESLEKFISSEHGDASALSWEKLQDIALGMAKGIEYLHQGCDQRILHFDIKPHNILLDDNFNPKISDFGLAKLCSKDQSAVSMTTARGTLGYIAPEVFSRNFGNVSYKSDVYSFGMVLLEMVGGRKTIDDKIENNNQIYFPEWVYNSLDNGEELRIRIEKEGDAQIAKKLTIVGLWCIQWHPVDRPSMNAVVQMLEGAGDTLTMPPSPFASAGQERRNVNMPGRPLHQALEVISEAE >Potri.002G151500.1.v4.1 pep chromosome:Pop_tri_v4:2:11575519:11578363:-1 gene:Potri.002G151500.v4.1 transcript:Potri.002G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151500.v4.1 MAGMAEMQRRQGGRPFALTARAFPPPPPPPPPIDREKTCPLLLRVFTKIGDHHSNEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVTPAARRRDARLSFAFVYPDKNGRFVVREVGKTNSHRNGKFDDNKALAQLGFQIGDYLDVAIF >Potri.007G079300.1.v4.1 pep chromosome:Pop_tri_v4:7:10376158:10386803:1 gene:Potri.007G079300.v4.1 transcript:Potri.007G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079300.v4.1 MRKKVDERIRTLIENGVKLRHRSLFLIIGDKSRDQIVNLHYMLSKAVVKSRPSVLWCYKDKLELSSHKKKRAKQVKKLMQRGLLDPEKVDPFSLFLETGGLTYCLYKDTERILGNTFGMCILQDFEALTPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHERFRTESHSEATGRFNERFLLSLASCKACVVMDDELNILPISSHIRSITPNPVKEDSEGLSEAERNLKNLKEQLHEDFPVGPLVKKCCTLDQGKAVITFLDSILDKTPRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIFITAPSPENLKTLFEFICKGFDALEYKEHIDYDVVKSANPEFKKATVRINIFKQHRQTIQYLQPHEHEKLSQVELLVIDEAAAIPLPVVRSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQISSKNVEGSLSGRLFRKIELSESIRYASRDPIESWLNALLCLDVANSIPSISRLPLPSECDLYYVNRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVLLGPVDESKNQLPDILCVIQVCLEGQISRKSAIQSLSEGHQPSGDQIPWKFCEQFRDTVFPSFSGVRIVRIATHPSAMRLGYGSAAVELLTRYFEGKITPISEVDDENDVEIPRVRVTEAAEKVSLLEENIKPRTDLPHLLVHLHERKPEKLHYLGVSFGLTLDLLRFWKRRKFAPFYIGQIPNTVTGEHSCMVLKPLNSDDSEVSGSDEWGFFGPFYQDFKRRFARLLEGDGFRSMEYKLAMSVLDPKINYADMEQEPMPSAPDGFWRSLTDDLSLYDLERLKVYTENLADFHLILDIVPILARLYFRGKLPISLSYVQASVLLCVGLQQRNITFIEEQMKLERTQILSLFMKVMKKFYKYLHGIASKDVESTLPRLKERELRPHSISVDDDLKEAAKQVEDGMKSKMEGLLSPEFLQQYAIEGEKEEFDDALQKHGGKINPGSVISVKSNRVKPEKHGKQESSRSGKKRGKEDRGSKSNKKSKS >Potri.014G044850.1.v4.1 pep chromosome:Pop_tri_v4:14:2891854:2892709:-1 gene:Potri.014G044850.v4.1 transcript:Potri.014G044850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044850.v4.1 MLNDHGCCIFSSLSAYGGCIEIEGRSPRDVDDSWTISLLMKLAPPLSPLQIKWTWKLEKADGQAAEL >Potri.004G013200.2.v4.1 pep chromosome:Pop_tri_v4:4:805426:809014:-1 gene:Potri.004G013200.v4.1 transcript:Potri.004G013200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013200.v4.1 MAEEAAKAVTPNAELLEWPKKDKRRLLHAVYRVGDLDRTIKFYTEGFGMKLLRHRDIPEEKYSNAFLGFGPEESNFVVELTYNYGVTSYDIGEGFGHFAIATEDVYKLVEKLRALGGNVTREPGPVKGGASVIAFVKDPDGYAFELIQRGPTPEPLCQLMLRVGDLDRSIKFYEKALGMKLLRKIDRPEYKYTLAMMGYADEYETTVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSAEVVNLVTQELGGKITRQPGPIPGINTKITSFLDPDGWKSVLVDNEDFLKELHKEE >Potri.004G013200.10.v4.1 pep chromosome:Pop_tri_v4:4:805552:808977:-1 gene:Potri.004G013200.v4.1 transcript:Potri.004G013200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013200.v4.1 MENYGMAEEAAKAVTPNAELLEWPKKDKRRLLHAVYRVGDLDRTIKFYTEGFGMKLLRHRDIPEEKYSNAFLGFGPEESNFVVELTYNYGVTSYDIGEGFGHFAIATEDVYKLVEKLRALGGNVTREPGPVKGGASVIAFVKDPDGYAFELIQRGPTPEPLCQLMLRVGDLDRSIKFYEKALGMKLLRKIDRPEYKYTLAMMGYADEYETTVLELTYNYGVTEYTKGNAYAQVAISTDDVYKSAEVVNLVTQELGGKITRQPGPIPGINTKITSFLDPDGWKSVLVDNEDFLKELHKEE >Potri.014G115200.1.v4.1 pep chromosome:Pop_tri_v4:14:7747122:7753482:-1 gene:Potri.014G115200.v4.1 transcript:Potri.014G115200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115200.v4.1 MALVVYWYDFVCFGIVAAALLVSLWMLWRRELASTSEDNIMYQSLLVARPDTYDRTVQAIAIPRNHVGSAQLWTSCWKGVHPGWLLATRFISFLVMAGFLLADFLKWDATIFVYYTEWTFTLALVYFALGTVISAYGCFVSLKKPAASGKGENPVFLEGDVEETGTATSITYKEKESRSTVRLQSHRAEEAIQERAGFWGYLMQIIYQTCAGAIVLTDIVFWCVIVPFLSNTHLGLNVLMGCMHSLNAFFLLLDTVLNSLPFPWFRIAYFVLWSCLYVIFQWIIHACGFSWWPYPFLELDTPWSPLWYFLVALMHIPCYGIYALIFKAKNAIFPRLFPRAFVRSF >Potri.006G220700.1.v4.1 pep chromosome:Pop_tri_v4:6:22592281:22595269:-1 gene:Potri.006G220700.v4.1 transcript:Potri.006G220700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220700.v4.1 MATFFLLLFSLLFFSASAHIHYVARVTDNPADQLVAALNDNRTAKKESTLYDNPGLGCIALQYIKAYQGDCSVVGGDDAKKPFESQFADTFAPNCGVIASTLSQITGRLVACQSKYVEPSKAFSEILMKDSKSLEILHNKNHTEVGAAVTGTDGGAPYFWCVLFSNGTRNSSFTLEGGEAKITKPGCFSGANDVCSSANDWPRSITLWSYIATAFIAAAYAFGL >Potri.009G155400.2.v4.1 pep chromosome:Pop_tri_v4:9:12139138:12148700:-1 gene:Potri.009G155400.v4.1 transcript:Potri.009G155400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155400.v4.1 MSDDMLMHFSSNSSNQSDQSLPTKIAKLEARMAGKVPSVTPGPPVQLQQQQPQHQQQQQQQPIWSSVSPGPKFGPPEELAESSDSDDDNGGEFLIQANTQKRQRLQENNNSAVIEHLETQAVNDGRQKTGETEETKGGSDTNRKKQGRGRGQSNSGRGRGSRANDQIRSQVSVSTASPSNGQLENSYLKDNRPKEHFRNDDHISLEEELASLRAKVSILEEDLRKSRQEASNNHDLCHQLEKELKELKDYEQQMKPKRTKIISDLLISVSKAERQEARMKVRQDSLRLGSVGVIRAGTIISETWEDGQMLKDLNIHLRQLLETKEAIERQRKSLKKRQSDKGDGTDAESGVQEEDFLIQDEIFKSRLVSMKREEETILRERDRYELEKGRLIREMKRIRDEDGSRFNNFQILNHRYALLNLLGKGGFSEVYKAYDLVDHRYVACKLHGLNAQWSEDKKQSYIRHAMREYNIHKTLVHNHIVRLWDIFEIDQNTFCTVLEYCSGKDLDAVLKATPVLPEREARIIIVQIFQGLVYLNKRAQKIIHYDLKPGNVLFDEFGIAKVTDFGLSKIVEEDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGVLFYQMLYGRRPFGHDQTQERILREDTIIKARRVEFPSKPTISNEAKDLIRRCLTYNQADRPDVLTIAQDPYLTYVKR >Potri.017G114100.1.v4.1 pep chromosome:Pop_tri_v4:17:12147341:12153359:1 gene:Potri.017G114100.v4.1 transcript:Potri.017G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114100.v4.1 MEKKQRKKVKNPMSETESNDLDDISCLFFQKGIMGLFLLISIFSLLLRVAVSLHSYSGAGTPPKFGDFEAQRHWMEITTNLPIKDWYFNTTNNDLSYWGLDYPPLTAYQSYFHGLFLKHFDPNSVSLFTSRGYETHFGKLLMRWTVLSSDLLIFFPAVLYFVLVYYGGNRSGGNKSDVAWHIAVILINPCLILTDHGHFQYNCISLGLTLGAVAAVLSQKDLLACVLFCLSLNHKQMSAYYAPAFFSHLFGSCLRRKNPPLEVLKLGLTVLGTFAIVWWPFLHSRDAFSVVLSRLAPFERGIYEDYVANFWCCTSVLIKWKRLFTTNSLRFLSLVATILTFLPSMIQQIWSPSSKGFLYGLLNSSLAFYLFSFQVHEKSILLPLLPATLLAMELPGVLSMLLMHSALLSMFPLLCRDKMAVPYMALYMLSILLYLAPCGKQHIKRHLSRSMITFSIVISYLCSLVLHIIYLTIRPPEKYPFLFEAMIMNFCFSHFLAFTVYTNAKQWMLSRQSTSRDKEKKLN >Potri.019G053400.2.v4.1 pep chromosome:Pop_tri_v4:19:8976606:8982708:-1 gene:Potri.019G053400.v4.1 transcript:Potri.019G053400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053400.v4.1 MGFRSNPRFIILVVAAAVLLLLLSLSHTAAAQENEGDESRSFQDLGRRGMVVRNGGDAKSAGNGLKLESGLGIFDAFFASLSMILVSEIGDETFIIAALMAMRHPKSTVLSGALTALIVMTVLSTGLGRIVPNLISRKHTNSAATVLYAFFGLRLLYIAWRSDSKSSQKKEMEEVEEKLEAGQGKTSFRRYFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNAIGVAVGATLGHTICTSLAVVGGSLLASKISQGTVATIGGLLFLCFSLSSYFYPPL >Potri.013G144650.1.v4.1 pep chromosome:Pop_tri_v4:13:14284062:14285080:-1 gene:Potri.013G144650.v4.1 transcript:Potri.013G144650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144650.v4.1 MLHIFCDICIKAIDMGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAFAWAPSSGVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGEKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.016G028000.1.v4.1 pep chromosome:Pop_tri_v4:16:1552943:1556888:1 gene:Potri.016G028000.v4.1 transcript:Potri.016G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028000.v4.1 MSAMVLDPKPAPATEPRSDLVLDPSTIPIEDDDLYSRLKSLQRQLEFIEIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQNNGIVGSTTGSNYYVRILSTINRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVELPLTHHELYKQIGIDPPRGVLLYGPPGTGKTMLAKAVANHTTAAFIRVVGSEFVQKYLGEGPRMVRDVFRLAKENAPAIIFIDEVDAIATARFDAQTGADREVQRILMELLNQMDGFDQTVNVKVIMATNRADTLDPALLRPGRLDRKIEFPLPDRRQKRLVFQVCTAKMNLSDEVDLEDYVSRPDKISAAEIQAICQEAGMHAVRKNRYVILPKDFEKGYRTNVKKPDTDFEFYK >Potri.001G402600.2.v4.1 pep chromosome:Pop_tri_v4:1:42841033:42845529:1 gene:Potri.001G402600.v4.1 transcript:Potri.001G402600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402600.v4.1 MDFDLSPRLAQQLFDGEAGSYYSWSSSEFPLLAEEKVGAGRLVLQPRGFALPHYADSSKIGYVLQGSDGIVGMVLPNSSEEVVLRLKKGDVIPVPLGALSWWYNNGDHSEEVVVVFLGQTSKAHIPGEFTYFFLSGGQGIMGGFSTEFISRAYKMNGKEADKLAKSQTGILLIKLEPGISMPHPNTEIVEKMVYNIDAALADVDVRGGGVFKALTAARFPFLEEAGLSVNHVKMEANAMYSPSYTADGTFQVFYVARGTGRVQVVGIGGKRVLDTKIQAGQLLVVPRFFVVAQIADSEGMEFVSILPGTSPAVEEFASKKSVWNALSPIVSQVALSVTPEFEEFFKSNMQKTTILIPPTN >Potri.019G005402.1.v4.1 pep chromosome:Pop_tri_v4:19:1191539:1193959:1 gene:Potri.019G005402.v4.1 transcript:Potri.019G005402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G005402.v4.1 MADKIKVWCFLLFLLRLASNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRTVVDIIGELLGFNQFIPPFATARGRDILVGVNYASGASGIRDESGRQLGDRISLNEQLQNHAATLSRLTQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYHLGARKIALPGLRPIGSIPYSFSTLCRNNVSCVTNINNAVLPFNAGLVSLVDQLNRELNDARFIYLNSTGMSSGDPSVLGFRVTNVGCCPARSDGQCIQDPCQNRTEYAFWDAIHPTEALNQFTARRSYNAILPSDAYPTDISHLIS >Potri.011G142200.1.v4.1 pep chromosome:Pop_tri_v4:11:17215154:17217172:1 gene:Potri.011G142200.v4.1 transcript:Potri.011G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142200.v4.1 MAASVMASLNLKPSPFTVEKSSVRGLPTLSRRSFKIEASGVKKIKTDTPYGTGGGMNLRNGLDASGRKPRGKGVYQYVDKYGANVDGYSPIYNADEWSPSGDVYAGGKTGLLIWAVTLAGILAGGALLVYTTSALAQ >Potri.011G142200.2.v4.1 pep chromosome:Pop_tri_v4:11:17216000:17217206:1 gene:Potri.011G142200.v4.1 transcript:Potri.011G142200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142200.v4.1 MNLRNGLDASGRKPRGKGVYQYVDKYGANVDGYSPIYNADEWSPSGDVYAGGKTGLLIWAVTLAGILAGGALLVYTTSALAQ >Potri.011G142200.3.v4.1 pep chromosome:Pop_tri_v4:11:17216764:17217151:1 gene:Potri.011G142200.v4.1 transcript:Potri.011G142200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142200.v4.1 MLGVSNSMRFENYTPMMRRKNIKLLISLFMRSIYLLMTWIM >Potri.002G242700.3.v4.1 pep chromosome:Pop_tri_v4:2:23473794:23478521:1 gene:Potri.002G242700.v4.1 transcript:Potri.002G242700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242700.v4.1 MVLVRARFVYKNYDRLGKPPVFSVSLGTAITSTVNLTGNDPWIEEFVWPVNYKDTLSFCLHSVPHGGSPVISSLEVRPLPRGAYHTGMRDFPNKSLRKAYRINSGYNESLRYPLDPYDRIWDADQSYTPFHVSSGFNFLLGFNLSSVIESPPPAVLQTARVLARTDVLTYNLPLDALGDYYIILYFAGIVPASPSFDVLVNGDVVQSNYHVKMSEASALHLTQKGIENLNITMKSISFYPQINAIEVYEIVDIPLESSSTTVSALQVIQQSTGLDLGWEDDPCSPTMWEHIGCEGSIVTSLELSDINLRSISPTFGDLLDLKTLDLHNTSLAGEIQNLGSLQHLEKLNLSFNQLTSFGTELENLVSLQILDLQNNSLDGIVPDDLGELEDLHLLNLENNKLQGTLPQSLNRESLEVRTSGNLCLSFSTLSCNDVSSNPSIETPQVTIFTKKKPDKNTHMAIMLGAIGGTLLALIVISLSVLLYMRRERTEITYSERAVDMRNWNAARIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKLVAVKVRFDKSQLGADSFINEVYLLSQVRHQNLVCLEGFCHESKQQILVYEYLPGGSLADQLYGPNSQKVSLSWVRRLKIAADAAKGLDYLHNASDPRIIHRDVKCSNILLDKEMNAKVCDFGLSKQVMQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLRRSGTPDSFNLVLWAKPYLQAGALEIVDENLKGTFDVESMRKAAIVAVRSVERDASQRPTIAEVLAELKEAYSIQLSFLASFDM >Potri.002G242700.1.v4.1 pep chromosome:Pop_tri_v4:2:23473567:23478524:1 gene:Potri.002G242700.v4.1 transcript:Potri.002G242700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242700.v4.1 MYCPGSTEWSSSGSGSMAFKCFLLGFVLLCSLWTVGFCNQDDTGFGFLSLSCGGTTGYVDASNISWVSDGAYISSGKTTSIDYIEGTSSSNSPLRFFPDDKSPKCYKLPVKKNVSSSMVLVRARFVYKNYDRLGKPPVFSVSLGTAITSTVNLTGNDPWIEEFVWPVNYKDTLSFCLHSVPHGGSPVISSLEVRPLPRGAYHTGMRDFPNKSLRKAYRINSGYNESLRYPLDPYDRIWDADQSYTPFHVSSGFNFLLGFNLSSVIESPPPAVLQTARVLARTDVLTYNLPLDALGDYYIILYFAGIVPASPSFDVLVNGDVVQSNYHVKMSEASALHLTQKGIENLNITMKSISFYPQINAIEVYEIVDIPLESSSTTVSALQVIQQSTGLDLGWEDDPCSPTMWEHIGCEGSIVTSLELSDINLRSISPTFGDLLDLKTLDLHNTSLAGEIQNLGSLQHLEKLNLSFNQLTSFGTELENLVSLQILDLQNNSLDGIVPDDLGELEDLHLLNLENNKLQGTLPQSLNRESLEVRTSGNLCLSFSTLSCNDVSSNPSIETPQVTIFTKKKPDKNTHMAIMLGAIGGTLLALIVISLSVLLYMRRERTEITYSERAVDMRNWNAARIFSYKEIKAATNNFKEVIGRGSFGSVYLGKLSDGKLVAVKVRFDKSQLGADSFINEVYLLSQVRHQNLVCLEGFCHESKQQILVYEYLPGGSLADQLYGPNSQKVSLSWVRRLKIAADAAKGLDYLHNASDPRIIHRDVKCSNILLDKEMNAKVCDFGLSKQVMQADATHVTTVVKGTAGYLDPEYYSTQQLTEKSDVYSFGVVLLELICGREPLRRSGTPDSFNLVLWAKPYLQAGALEIVDENLKGTFDVESMRKAAIVAVRSVERDASQRPTIAEVLAELKEAYSIQLSFLASFDM >Potri.013G056400.1.v4.1 pep chromosome:Pop_tri_v4:13:4106993:4108727:-1 gene:Potri.013G056400.v4.1 transcript:Potri.013G056400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056400.v4.1 MRNLSSRNSTRSRSTPTPCCSKVGIKRGPWTPEEDELLANYIKNDGEGRWRTLPKQAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLYPNPNSSEIANIAPTQNSNPNSFPLEENARVDRATATRVIENLTITNLDQFPNQVIDDGAKNWPSCDGFNKGLQSHHEQNKEEDYIGNENEDTFSLFLDSLINENVFAYQQQQQCNIIGPSGQPVISSSQAFHHGSIWEAEVTSSMAAFGEKDGALNSDDLA >Potri.014G101900.1.v4.1 pep chromosome:Pop_tri_v4:14:6738385:6744422:1 gene:Potri.014G101900.v4.1 transcript:Potri.014G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101900.v4.1 MEEKPFPAWSWSVEQCLKEFNVKLDKGLSSYEVEKRRERYGWNELAKEKGKPLWWLVLEQFDDMLVKILLVAAFISFILAYLHAGESGEAGFEAYVEPLVIVLILALNAIVGVWQETNAEKALEALKEMQCESGKVLRDGYMMPELPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKGTAPIFMDDCELQAKENMVFAGTTVVNGSCICIVISTGMKTEIGKIQKQIHEASLEESDTPLKKKLDEFGGRLTTAIGFACLVVWIINYKNFLSWDVVDGWPTNIRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTSSRIFRVEGTTYDPKDGGIVDWTCYNMDANLQAMAEICAVCNDAGIFCDGRLFRATGLPTEAALKVLVEKMGVPDAKAREKIRDMQLAANYLIDRSTVKLGSCEWWTKRLKRLATLEFDRIRKSMSIIVREPNGQNRLLVKGAVESLLERSSHVQLADGSVVPIDEPCRQLLSLRLLEMSSKGLRCLGLAYKDDLGEFSDYHAENHPAHKKLLDPAYYMSIESDLVFVGVVGLRDPPREEVHKAIEDCRDAGIRVMVITGDNKSTAEAICKEIKLFDEGEGLRGRSFTGKEFTALSPSEQMEILSKPGGKVFSRAEPRHKQEIVRMLKDMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSSIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMRKPPRKCNDALINSWVLFRYLVIGSYVGIATVGIFVLWYTQASFLGINLVSDGHTLVQLSQLRNWGECPTWSNFTVTPYQVGGGRMITFSNPCDYFSAGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLVTMPPWRNPWLLVAMSVSFGLHCVILYVPFLADVFGIVPLSLKEWFLVILVSAPVILIDEALKFVGRSGRCRAKKEKIA >Potri.014G101900.5.v4.1 pep chromosome:Pop_tri_v4:14:6738341:6744354:1 gene:Potri.014G101900.v4.1 transcript:Potri.014G101900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101900.v4.1 MEEKPFPAWSWSVEQCLKEFNVKLDKGLSSYEVEKRRERYGWNELAKEKGKPLWWLVLEQFDDMLVKILLVAAFISFILAYLHAGESGEAGFEAYVEPLVIVLILALNAIVGVWQETNAEKALEALKEMQCESGKVLRDGYMMPELPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKGTAPIFMDDCELQAKENMVFAGTTVVNGSCICIVISTGMKTEIGKIQKQIHEASLEESDTPLKKKLDEFGGRLTTAIGFACLVVWIINYKNFLSWDVVDGWPTNIRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTSSRIFRVEGTTYDPKDGGIVDWTCYNMDANLQAMAEICAVCNDAGIFCDGRLFRATGLPTEAALKVLVEKMGVPDAKAREKIRDMQLAANYLIDRSTVKLGSCEWWTKRLKRLATLEFDRIRKSMSIIVREPNGQNRLLVKGAVESLLERSSHVQLADGSVVPIDEPCRQLLSLRLLEMSSKGLRCLGLAYKDDLGEFSDYHAENHPAHKKLLDPAYYMSIESDLVFVGVVGLRDPPREEVHKAIEDCRDAGIRVMVITGDNKSTAEAICKEIKLFDEGEGLRGRSFTGKEFTALSPSEQMEILSKPGGKVFSRAEPRHKQEIVRMLKDMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSSIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMRKPPRKCNDALINSWVLFRYLVIGSYVGIATVGIFVLWYTQASFLGINLVSDGHTLVQLSQLRNWGECPTWSNFTVTPYQVGGGRMITFSNPCDYFSAGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLVTMPPWRNPWLLVAMSVSFGLHCVILYVPFLADVFGIVPLSLKEWFLVILVSAPVILIDEALKFVGRSGRCRAKKEKIA >Potri.014G101900.4.v4.1 pep chromosome:Pop_tri_v4:14:6738576:6744401:1 gene:Potri.014G101900.v4.1 transcript:Potri.014G101900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101900.v4.1 MEEKPFPAWSWSVEQCLKEFNVKLDKGLSSYEVEKRRERYGWNELAKEKGKPLWWLVLEQFDDMLVKILLVAAFISFILAYLHAGESGEAGFEAYVEPLVIVLILALNAIVGVWQETNAEKALEALKEMQCESGKVLRDGYMMPELPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKGTAPIFMDDCELQAKENMVFAGTTVVNGSCICIVISTGMKTEIGKIQKQIHEASLEESDTPLKKKLDEFGGRLTTAIGFACLVVWIINYKNFLSWDVVDGWPTNIRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTSSRIFRVEGTTYDPKDGGIVDWTCYNMDANLQAMAEICAVCNDAGIFCDGRLFRATGLPTEAALKVLVEKMGVPDAKAREKIRDMQLAANYLIDRSTVKLGSCEWWTKRLKRLATLEFDRIRKSMSIIVREPNGQNRLLVKGAVESLLERSSHVQLADGSVVPIDEPCRQLLSLRLLEMSSKGLRCLGLAYKDDLGEFSDYHAENHPAHKKLLDPAYYMSIESDLVFVGVVGLRDPPREEVHKAIEDCRDAGIRVMVITGDNKSTAEAICKEIKLFDEGEGLRGRSFTGKEFTALSPSEQMEILSKPGGKVFSRAEPRHKQEIVRMLKDMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSSIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMRKPPRKCNDALINSWVLFRYLVIGSYVGIATVGIFVLWYTQASFLGINLVSDGHTLVQLSQLRNWGECPTWSNFTVTPYQVGGGRMITFSNPCDYFSAGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLVTMPPWRNPWLLVAMSVSFGLHCVILYVPFLADVFGIVPLSLKEWFLVILVSAPVILIDEALKFVGRSGRCRAKKEKIA >Potri.014G101900.6.v4.1 pep chromosome:Pop_tri_v4:14:6738870:6744229:1 gene:Potri.014G101900.v4.1 transcript:Potri.014G101900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101900.v4.1 MEEKPFPAWSWSVEQCLKEFNVKLDKGLSSYEVEKRRERYGWNELAKEKGKPLWWLVLEQFDDMLVKILLVAAFISFILAYLHAGESGEAGFEAYVEPLVIVLILALNAIVGVWQETNAEKALEALKEMQCESGKVLRDGYMMPELPARELVPGDIVELRVGDKVPADMRVAVLKTSTLRVEQSSLTGEAMPVLKGTAPIFMDDCELQAKENMVFAGTTVVNGSCICIVISTGMKTEIGKIQKQIHEASLEESDTPLKKKLDEFGGRLTTAIGFACLVVWIINYKNFLSWDVVDGWPTNIRFSFEKCTYYFKIAVALAVAAIPEGLPAVITTSLALGTRKMAQKNAIVRKLPSVETLGCTTVICSDKTGTLTTNQMSVTEFFTLGGKTTSSRIFRVEGTTYDPKDGGIVDWTCYNMDANLQAMAEICAVCNDAGIFCDGRLFRATGLPTEAALKVLVEKMGVPDAKAREKIRDMQLAANYLIDRSTVKLGSCEWWTKRLKRLATLEFDRIRKSMSIIVREPNGQNRLLVKGAVESLLERSSHVQLADGSVVPIDEPCRQLLSLRLLEMSSKGLRCLGLAYKDDLGEFSDYHAENHPAHKKLLDPAYYMSIESDLVFVGVVGLRDPPREEVHKAIEDCRDAGIRVMVITGDNKSTAEAICKEIKLFDEGEGLRGRSFTGKEFTALSPSEQMEILSKPGGKVFSRAEPRHKQEIVRMLKDMGEIVAMTGDGVNDAPALKLADIGIAMGITGTEVAKEASDMVLADDNFSSIVSAVAEGRSIYNNMKAFIRYMISSNVGEVISIFLTAALGIPECMIPVQLLWVNLVTDGPPATALGFNPADVDIMRKPPRKCNDALINSWVLFRYLVIGSYVGIATVGIFVLWYTQASFLGINLVSDGHTLVQLSQLRNWGECPTWSNFTVTPYQVGGGRMITFSNPCDYFSAGKVKAMTLSLSVLVAIEMFNSLNALSEDNSLVTMPPWRNPWLLVAMSVSFGLHCVILYVPFLADVFGIVPLSLKEWFLVILVSAPVILIDEALKFVGRSGRCRAKKEKIA >Potri.006G263600.1.v4.1 pep chromosome:Pop_tri_v4:6:25985182:25986841:1 gene:Potri.006G263600.v4.1 transcript:Potri.006G263600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263600.v4.1 MDSSWVNTSLDLNINPFKHVNETQAQESKEFEGYSTRVEQKLQVKKEAGVSALVEELNRVNSENQKLTEVLGVVCEKYLTLQKHLADLTSKNSEKELMTTPVISMKRKAESEDYSNLINAINGGNTESSSSDEDSSKRPQENLKTKISRAYFPTNASDTSLVVRDGYQWRKYGQKVTRDNPSPRAYFKCSFAPSCPVKKKVQKSAENPSILVATYEGEHNHASHSQPELSLGSSQSSSFGPVPSPSSIRTSVPTVTLDLIQSGMHVDSAKKTVQENLQVPEVQKVLVQQMASSLTRDPNFTAALAAAISGRFNQTRIEKL >Potri.016G076400.3.v4.1 pep chromosome:Pop_tri_v4:16:5763571:5768125:1 gene:Potri.016G076400.v4.1 transcript:Potri.016G076400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076400.v4.1 MDQQQSGSPSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNLPEYQGHEKIVIRRYRDFVWLRDRLFDKFKGVFIPPLPEKSAVEKFRFSAEFIEMRRQGLDIFVNRIASHQELQQSEDLRTFLQADEETMERLRSQETGIFKKKPADFMQIFKDVQSKVSDVVLGKEKPVEESNPEYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLLDFGKAAKLLGACEGDILGKAFSDLGTKSEALSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKATITERANAFRHQCELAETMKLKEINLDKLMLTRSDKVGEAEHEYRELKAESEEATRRFETIVRLMNEEIVRFQEQKTLDMGIAFHEFAKGQARLANSIADAWRSLLPKLEACSS >Potri.016G076400.1.v4.1 pep chromosome:Pop_tri_v4:16:5763296:5768108:1 gene:Potri.016G076400.v4.1 transcript:Potri.016G076400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076400.v4.1 MFSTINKMDQQQSGSPSPRSPSSQPYLSVSVTDPVKLGNGVQAYISYRVITKTNLPEYQGHEKIVIRRYRDFVWLRDRLFDKFKGVFIPPLPEKSAVEKFRFSAEFIEMRRQGLDIFVNRIASHQELQQSEDLRTFLQADEETMERLRSQETGIFKKKPADFMQIFKDVQSKVSDVVLGKEKPVEESNPEYEKLKHYIFELENHLAEAQKHAYRLVKRHRELGQSLLDFGKAAKLLGACEGDILGKAFSDLGTKSEALSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKATITERANAFRHQCELAETMKLKEINLDKLMLTRSDKVGEAEHEYRELKAESEEATRRFETIVRLMNEEIVRFQEQKTLDMGIAFHEFAKGQARLANSIADAWRSLLPKLEACSS >Potri.019G090000.2.v4.1 pep chromosome:Pop_tri_v4:19:12838234:12840262:-1 gene:Potri.019G090000.v4.1 transcript:Potri.019G090000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090000.v4.1 MDLSTTLKKHKHTEGMEAGSSTIGKNWRLCIFASFMSFIVLMFFQKQCQNSPKLSSLLNVGVSMSMSSLTSTSSELLESTNLVKNESTNLVENDLNPMKEEEVKCNIFDGKWVYEPEGGPQHTAAECPFLSEQVSCQRNGRPDFEYEKWSWEAKDCDVPRFNGIDMLERLRGKRVIIVGDSLNRNQWESLACLLYSAIPSSQAHVDVRGGVYKVFKAKDYNCSVEFYWSPFLVRLKQENGSRILRLDKLSPLAKKWRGADVMVFNTAHWWVHSGKVKSWDLFQYKGKLVEEMEIESALRKGMRTWARWIDHHVDASKTTVFFRSISPQHQGKQWCYNITQPNMDESYVSAFPKPMKEIIEITIRSMMIPVRYLNITKLSGYRRDAHPALYARKQEKLLKTEQQLQEESHPDCSHWCLPGLPDTWNRLLYASLVLDTSDSKHLVV >Potri.019G090000.1.v4.1 pep chromosome:Pop_tri_v4:19:12838229:12840284:-1 gene:Potri.019G090000.v4.1 transcript:Potri.019G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090000.v4.1 MDLSTTLKKHKHTEGMEAGSSTIGKNWRLCIFASFMSFIVLMFFQKQCQNSPKLSSLLNVGVSMSMSSLTSTSSELLESTNLVKNESTNLVENDLNPMKEEEVKCNIFDGKWVYEPEGGPQHTAAECPFLSEQVSCQRNGRPDFEYEKWSWEAKDCDVPRFNGIDMLERLRGKRVIIVGDSLNRNQWESLACLLYSAIPSSQAHVDVRGGVYKVFKAKDYNCSVEFYWSPFLVRLKQENGSRILRLDKLSPLAKKWRGADVMVFNTAHWWVHSGKVKSWDLFQYKGKLVEEMEIESALRKGMRTWARWIDHHVDASKTTVFFRSISPQHQGKQWCYNITQPNMDESYVSAFPKPMKEIIEITIRSMMIPVRYLNITKLSGYRRDAHPALYARKQEKLLKTEQQLQEESHPDCSHWCLPGLPDTWNRLLYASLVLDTSDSKHLVV >Potri.007G070600.11.v4.1 pep chromosome:Pop_tri_v4:7:9215082:9219037:1 gene:Potri.007G070600.v4.1 transcript:Potri.007G070600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070600.v4.1 MVDSNLNKEHLVTVVSEDHETPCWGCGLHLLHPPNAPVFKCGWCGAITDKYESKCDHKNYWWRHMRDRCFVCVLIGFILFVICGGVWAVYPVIFSISYFCGIFHLTITGILSITTVLLFTLTALRSAGTPPLIEWGGYPAVGKKQLENYTFCHYCSKPKSPRTHHCRTCGICVLDMDHHCPFIGNCVGAANHQHFIAFLISVLVSTMYVTIMSAYAVLHVWPPLTYRYLDHFRASDRSLAWRALQDVAIALVSSAVLLSTRGLVLVYLFVSSISLEIGISVLLWQQFCYIYEGQTYLSSLSMRGGDEAGEKDCKNLFRFFGCPYPVLRFLPNFWNSPKRHEK >Potri.017G010000.1.v4.1 pep chromosome:Pop_tri_v4:17:764571:765414:1 gene:Potri.017G010000.v4.1 transcript:Potri.017G010000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010000.v4.1 MEVEILSRKLIAPSSPRPLHLQKSPISLSFSEQLAPSFYVPRIFFYPAEADQEHGHVDINEERSMQLQKSFPE >Potri.006G267900.1.v4.1 pep chromosome:Pop_tri_v4:6:26242365:26243218:-1 gene:Potri.006G267900.v4.1 transcript:Potri.006G267900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267900.v4.1 MRPVSHLGTTMSSSKAAATPPAIAQPRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRLSSRLDSENEGNDQRDLESGNEKEGSNPGKAEKRVYEEKFLVIMAGNQKPTFLATPVCSKVSSSGAQIDNQEEEKTESTGDHYKLKNEEMIGDSHDQARTTAEENIETQENQQEEQN >Potri.017G148600.1.v4.1 pep chromosome:Pop_tri_v4:17:14747177:14752615:-1 gene:Potri.017G148600.v4.1 transcript:Potri.017G148600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148600.v4.1 MMMAMAMMIETTTAVRFPASTTPAANFCSSLPRSSSVILWNKFRRLNGVSFLRRSSHLKSKIQASAENLDSNLDSSKQNGKLQYHPSEGIAESTSETSSDAMLTPQETCRTIVEAKSKATLMLTGVINDDIHENIIWPDLPYVTDEHGNIYFQVKNDEDILQTLTTENNFVQAIIGFDATEMLSEMESLGTSEIDFGVEEIEEEDSDVEDGANEDDDDYDEDLVAVLDDDDDEEDDDDEALGDWAKLETMSSSHPMYFAKKLAQVASDDPIDWMEQPPAGVAIQGLIRPAFMEEQSDIQRHMSGNQSHHAGTNQVGQSMEGKLEEPGVINGHEHKSGSSEDISLWREELEKDEVPSSGTSFYKLEMIKIQLISAHGHQTTVEVEDFRKAQPDAIALSAAKIISRLKAGGEKITQAFKSLCWRCKGIQVEEATIIDVDSLGFDLRVCSGTQIQTLRFAFNSRATSEYSAERQLNDLLFPRIQSRLQKKNTAQMP >Potri.004G106500.3.v4.1 pep chromosome:Pop_tri_v4:4:9354387:9358288:-1 gene:Potri.004G106500.v4.1 transcript:Potri.004G106500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106500.v4.1 MASFSAVCNNGFLNFKLNSGVNNGNTKSLKRNSTICYSPVLIPSLGLCSAGKLQHLLLWRSKFRSSFYDGKTGVHLSGFCGGCKSQNGMSCKSSFRPLSSEKSRDEESHLRKRGNGNLRKRFSLRLKTRLRLLKIRLKGVSIRSMLNDFGMFLRRNIRRMTLYTSISVALGMCYLFLRLTALPSPKIVPYSELIASLQNGYVTNVLFEEGSRRIYYNTDSVGTENSEDKSSVLNLPNENAAETVAIERVVSKTGLASRVDVFKKFSRPRASTPEWQFSTRKVDRDEKFLLTLMRAKGTAYSSAPQSILMSIRSLLITIISLWIPLTPMMWLLYRQLSAANSPARKRRSNNQEVTFDDVEGVDVAKIELMEIVLCLQGAMNYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFNAARKSSPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESEMKVVVIAATNRPEALDPALCRPGRFSRKVVVGEPDEEGRRKILAVHLRGVPIDEDADLICNLVASLTPGFVGADLANIINEAALLAARRGGDIVTREDVMEAIERAKFGIGDRQLRPSTISKELGKLFPWIPSLMGTIDTRQDGLQGSLGYQTLS >Potri.004G106500.6.v4.1 pep chromosome:Pop_tri_v4:4:9354382:9358287:-1 gene:Potri.004G106500.v4.1 transcript:Potri.004G106500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106500.v4.1 MASFSAVCNNGFLNFKLNSGVNNGNTKSLKRNSTICYSPVLIPSLGLCSAGKLQHLLLWRSKFRSSFYDGKTGVHLSGFCGGCKSQNGMSCKSSFRPLSSEKSRDEESHLRKRGNGNLRKRFSLRLKTRLRLLKIRLKGVSIRSMLNDFGMFLRRNIRRMTLYTSISVALGMCYLFLRLTALPSPKIVPYSELIASLQNGYVTNVLFEEGSRRIYYNTDSVGTENSEDKSSVLNLPNENAAETVAIERVVSKTGLASRVDVFKKFSRPRASTPEWQFSTRKVDRDEKFLLTLMRAKGTAYSSAPQSILMSIRSLLITIISLWIPLTPMMWLLYRQLSAANSPARKRRSNNQEVTFDDVEGVDVAKIELMEIVLCLQGAMNYQKLGAKLPRGVLLVGPPGTGKTLLARAVAGEAGVPFFSVSASEFVELFVGRGAARIRDLFNAARKSSPSIIFIDELDAVGGKRGRSFNDERDQTLNQLLTEMDGFESEMKVVVIAATNRPEALDPALCRPGRFSRKVVVGEPDEEGRRKILAVHLRGVPIDEDADLICNLVASLTPGFVGADLANIINEAALLAARRGGDIVTREDVMEAIERAKFGIGDRQLRPSTISKELGKLFPWIPSLMGTIDTRQDGLQGSLGYQTLS >Potri.002G084750.2.v4.1 pep chromosome:Pop_tri_v4:2:6043142:6045550:-1 gene:Potri.002G084750.v4.1 transcript:Potri.002G084750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084750.v4.1 MESLNKAVNKDSILVAYLRGGVGSPMKSPGGDRFSRNYQRRSSGSSRSPLSPLENMKTPMVEEDEVLVMDGVPVSPLVGSGSSSNSSSSSSGKSVYKKQLRRAWEDLGHCRYAAMPPTFAHGKDEFHPSGFSIKNKELVQTCKSHATSPRSSPYVPKCGILPPAMTNAAVAAKQTAFSTIPEYTSIGPTIITSEKSSKNSQTIATKSQTASIKSEDSRMDITTTVSSDYWSPQDDGIDIALPHQTNKRISREEVNACGPATKKRLPVFSEFCPG >Potri.005G000100.2.v4.1 pep chromosome:Pop_tri_v4:5:97630:104100:-1 gene:Potri.005G000100.v4.1 transcript:Potri.005G000100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000100.v4.1 MASTSTVIGVSSIFHSKTTTSCRRAAAATTTTAANLSSAMPLSSSSASFLSEKPPHFNSLIAKTQLSFKHSLIINTNSTFTPPSAIATPNPILSEEAFKGLDGFSDFEADADTDDAVDYDSSETEPNSNTSEDELDISKLGLPQRLVQTLQNRGITHLFPIQRAVLIPTLEGRDLIARAKTGTGKTLAFGIPIIKRLTEDAELRGSQRRTGRLPKVLVLAPTRELAKQVEKEIKESAPYLSTVCVYGGVSYATQQNALSRGVDVVVGTPGRIIDLLKGNSLKLGEVEYLVLDEADQMLSFGFEEDVEVILESLPSKRQSMLFSATMPTWVKKLARKYLDNPLQIDLVGDREEKLAEGIKLYAISTTATSKRTILSDLVTVYAKGEKTIIFTRTKRDADEVSMALTQSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTNSQRRTVRSLERDAGCKFEFVSPPAIEELLESSTEQVVATLNGVHPESVEFFTPTAQKLIEEQGTSALAAALAHLSGFSRPPSSRSLISHEQGWTTLQLTRDPTYSRGFLSARSVTGFLSDVYPAAADEIGKIHLIADERVQGAVFDLPEEIAKELLNKQLPPGNTIEKITKLPALQDDGPPSDFYGRFSSRDRPARGGPRGQRGGFRSSRGQGSGRYSDDEGTNRRGGRSNSNENTRSWMSRSSGDDWLVGGRRSSRPSSRDRSFGGSCFNCGRSGHRASECPN >Potri.005G000100.1.v4.1 pep chromosome:Pop_tri_v4:5:97700:104135:-1 gene:Potri.005G000100.v4.1 transcript:Potri.005G000100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000100.v4.1 MASTSTVIGVSSIFHSKTTTSCRRAAAATTTTAANLSSAMPLSSSSASFLSEKPPHFNSLIAKTQLSFKHSLIINTNSTFTPPSAIATPNPILSEEAFKGLDGFSDFEADADTDDAVDYDSSETEPNSNTSEDELDISKLGLPQRLVQTLQNRGITHLFPIQRAVLIPTLEGRDLIARAKTGTGKTLAFGIPIIKRLTEDAELRGSQRRTGRLPKVLVLAPTRELAKQVEKEIKESAPYLSTVCVYGGVSYATQQNALSRGVDVVVGTPGRIIDLLKGNSLKLGEVEYLVLDEADQMLSFGFEEDVEVILESLPSKRQSMLFSATMPTWVKKLARKYLDNPLQIDLVGDREEKLAEGIKLYAISTTATSKRTILSDLVTVYAKGEKTIIFTRTKRDADEVSMALTQSIASEALHGDISQHQRERTLNGFRQGKFTVLVATDVASRGLDIPNVDLIIHYELPNDPETFVHRSGRTGRAGKEGTAILMFTNSQRRTVRSLERDAGCKFEFVSPPAIEELLESSTEQVVATLNGVHPESVEFFTPTAQKLIEEQGTSALAAALAHLSGFSRPPSSRSLISHEQGWTTLQLTRDPTYSRGFLSARSVTGFLSDVYPAAADEIGKIHLIADERVQGAVFDLPEEIAKELLNKQLPPGNTIEKITKLPALQDDGPPSDFYGRFSSRDRPARGGPRGQRGGFRSSRGQGSGRYSDDEGTNRRGGRSNSNENTRSWMSRSSGDDWLVGGRRSSRPSSRDSRSFGGSCFNCGRSGHRASECPN >Potri.005G224800.2.v4.1 pep chromosome:Pop_tri_v4:5:22553959:22556222:-1 gene:Potri.005G224800.v4.1 transcript:Potri.005G224800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224800.v4.1 MIESSYQQQLMEEKIEKRHQDNEDRMIKKGQEEGNGKKVTFQDQEIYIENLDQPAVQENEETAASIELEMRKQKALNKFRSMVEGAIIDNYLLENPKKSFLRRESEREKQQQREISLWGVPLLPSKGHASTDLVLLKFLTATDFKVNEAFKMLRNALKWRNECRIDAIPEENLHLGLEKFVYINSVGKQGQPVYYILYGAFKDKELYRKVLGTEENREKFLRLRIQLMEKSIEQLSFKAGGADSILQITDLKHSPGPEREEFRSVHKRASTLIQANYPELIQKHILINVPFWYYTSRFLTSRLKHQRGKKKVVLARPSKVTKTLLKHISPENLPVKYGGLKRENDIEFFPEDKASELIVKPNSASCIQIPVIEAGVTIVWDFTVVGWEVTCKQQFIPDDEGSYEVLLRKDKEKKMGDSVRNSFYISEPGKIVITIDNATLKKKRVYYRSKAKPTAPTYIIFKKQL >Potri.018G031850.1.v4.1 pep chromosome:Pop_tri_v4:18:2335801:2336031:-1 gene:Potri.018G031850.v4.1 transcript:Potri.018G031850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031850.v4.1 MTQSNLLWFLPSLANGCLSSPQAFQLLLLYAKSSHKLGTCVTTAVAVDSHINLSNFYTKLSNASLILLVVYSNSWF >Potri.005G169100.4.v4.1 pep chromosome:Pop_tri_v4:5:17175323:17189884:1 gene:Potri.005G169100.v4.1 transcript:Potri.005G169100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G169100.v4.1 MSESLRRPPITLGVGRGNGYINGVASIRSPATISLVDQFCHALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTDKALLLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALDAKGIVFLGPPSTSMAALGDKIGSSLIAQAADVPTLPWSGSHVKISSESCLVIIPDEIYREACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSIQRRHQKIIEEGPITVAPPETVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQISEIRRFYGMEYGGGYDAWRKTSLVATPFDFDKAESIRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTINLLHASDYRDNKIHTGWLDSRIAMRVRAERLPWYLSVVGGSLYKACASSAALVSDYIGYLEKGQIPPKHISLVNSQVSLNIEGSKYTIDMVREGPGSYRLRMNESQIEVEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVSDGSHVDADMPYAEVEVMKMCMPLLSPASGVIQFKMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLAPPTAISGKVHQRCAASLNAARMILAGYDHNIDEVVQNLLVCLDSPELPFLQWQECLAVLATRLPKDLRTALEAKFREFEGISSSLNIDFPAKLLKGVLEVHLSSCPEKEKGAHERLVEPLMSLVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLRVVDIVLSHQGVRSKNKLILRLMEQLVYPSPAAYRDKLIRFSQLNHTNYSELALKASQLLEHTKLSELRSTIARSLSELEMFTEDGENMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERKNGFEDQMPDKPLVEKHREQKWGAMVIIKSLQFLPAIISAALLETTHDPREVVLNGSVEPTGFGNMMHIALVGINNPMSLLQDSGDEDQAQERIKKLAKILKEQEVSSSLHSAGVRVISCIIQRDEGRAPMRHSFHWSVEKLYYAEEPLLRHLEPPLSIYLELDKLKGYEDIHYTLSRDRQWHLYTVVDKPGPIRRMFLRTLVRQPTMNEGFTAYQGLGIETTGTQWTVSLTSRSILRSLVTALEELELNVHNATVKPDHAHMYLCILREQQIDDLVPYPKKLDIDAEQEEVAVEAILEGLAREIHAAVGVRMHRLSACEWEVKLWMASSGQANGAWRIVVTNVTGHTCAVHIYRELEHTSKQKVVYHSISVHGPLHLVPVNAHYQPLGSLDRKRLLARRSSTTYCYDFPLAFETVLEQIWASQFSGMKKPKDKVIKVTELVFADEKGSWGTPLVSLERPAGLNDFGMVAWCMEILTPEFPLGRTILVVANDVTFKAGSFGQREDAFFLAVTDLACNKKIPLIYLAANSGARIGAADEVKSCFKVGWSDEVFPDRGFQYVYLSPEDHARIGSSVIAHELKLENGETRWVIEAIVGKEDGLGVENLSGSGAIASAYSRAYNETFTLTYVTGRTVGIGAYLTRLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSCIPPCVGGALPILSPSDSPERPVEYFPENSCDPRAAICGIFDGNGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMKVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIFDFNREELPLFILANWRGFSGGQRDLFEGILQAGATIVENLRTYKQPVFVYIPMMGELRGGAWAVLDSKINSDHIEMYADRTAKGNVLEPEGMIEIKFRTKDLLECMGRLDQQLINLKAKLQETRSSAPYGMVDSLQQQIKTREKQLLPVYTQVATKFAELHDSSLRMEAKGVIREVVDWARSRLFFCRRLCRRIAECSLIKDVIDAAGEQLLHKSAMDMIKTWFLNSDIAKGREDAWMDDEAFFAWKDDSGNYEAKLQELRAHKVLLQLTNIGESQSDLKALPQGLAALLSKVEPSSRERLVDELRKVLG >Potri.005G169100.5.v4.1 pep chromosome:Pop_tri_v4:5:17176984:17189753:1 gene:Potri.005G169100.v4.1 transcript:Potri.005G169100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G169100.v4.1 MSESLRRPPITLGVGRGNGYINGVASIRSPATISLVDQFCHALGGKKPIHSILIANNGMAAVKFIRSIRTWAYETFGTDKALLLVAMATPEDMRINAEHIRIADQFVEVPGGTNNNNYANVQLIVEMAEITHVDAVWPGWGHASENPELPDALDAKGIVFLGPPSTSMAALGDKIGSSLIAQAADVPTLPWSGSHVKISSESCLVIIPDEIYREACVYTTEEAIASCQVVGYPAMIKASWGGGGKGIRKVHNDDEVRALFKQVQGEVPGSPIFIMKVASQSRHLEVQLLCDQYGNVAALHSRDCSIQRRHQKIIEEGPITVAPPETVKKLEQAARRLAKCVNYVGAATVEYLYSMDTGEYYFLELNPRLQVEHPVTEWIAEINLPAAQVAVGMGIPLWQISEIRRFYGMEYGGGYDAWRKTSLVATPFDFDKAESIRPKGHCVAVRVTSEDPDDGFKPTSGKVQELSFKSKPNVWAYFSVKSGGGIHEFSDSQFGHVFAFGESRALAIANMVLGLKEIQIRGEIRTNVDYTINLLHASDYRDNKIHTGWLDSRIAMRVRAERLPWYLSVVGGSLYKACASSAALVSDYIGYLEKGQIPPKHISLVNSQVSLNIEGSKYTIDMVREGPGSYRLRMNESQIEVEIHTLRDGGLLMQLDGNSHVIYAEEEAAGTRLLIDGRTCLLQNDHDPSKLVAETPCKLLRYLVSDGSHVDADMPYAEVEVMKMCMPLLSPASGVIQFKMSEGQAMQAGELIARLDLDDPSAVRKAEPFHGSFPVLAPPTAISGKVHQRCAASLNAARMILAGYDHNIDEVVQNLLVCLDSPELPFLQWQECLAVLATRLPKDLRTALEAKFREFEGISSSLNIDFPAKLLKGVLEVHLSSCPEKEKGAHERLVEPLMSLVKSYEGGRESHARVIVQSLFEEYLSVEELFSDNIQADVIERLRLQYKKDLLRVVDIVLSHQGVRSKNKLILRLMEQLVYPSPAAYRDKLIRFSQLNHTNYSELALKASQLLEHTKLSELRSTIARSLSELEMFTEDGENMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERKNGFEDQMPDKPLVEKHREQKWGAMVIIKSLQFLPAIISAALLETTHDPREVVLNGSVEPTGFGNMMHIALVGINNPMSLLQDSGDEDQAQERIKKLAKILKEQEVSSSLHSAGVRVISCIIQRDEGRAPMRHSFHWSVEKLYYAEEPLLRHLEPPLSIYLELDKLKGYEDIHYTLSRDRQWHLYTVVDKPGPIRRMFLRTLVRQPTMNEGFTAYQGLGIETTGTQWTVSLTSRSILRSLVTALEELELNVHNATVKPDHAHMYLCILREQQIDDLVPYPKKLDIDAEQEEVAVEAILEGLAREIHAAVGVRMHRLSACEWEVKLWMASSGQANGAWRIVVTNVTGHTCAVHIYRELEHTSKQKVVYHSISVHGPLHLVPVNAHYQPLGSLDRKRLLARRSSTTYCYDFPLAFETVLEQIWASQFSGMKKPKDKVIKVTELVFADEKGSWGTPLVSLERPAGLNDFGMVAWCMEILTPEFPLGRTILVVANDVTFKAGSFGQREDAFFLAVTDLACNKKIPLIYLAANSGARIGAADEVKSCFKVGWSDEVFPDRGFQYVYLSPEDHARIGSSVIAHELKLENGETRWVIEAIVGKEDGLGVENLSGSGAIASAYSRAYNETFTLTYVTGRTVGIGAYLTRLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSCIPPCVGGALPILSPSDSPERPVEYFPENSCDPRAAICGIFDGNGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMKVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIFDFNREELPLFILANWRGFSGGQRDLFEGILQAGATIVENLRTYKQPVFVYIPMMGELRGGAWAVLDSKINSDHIEMYADRTAKGNVLEPEGMIEIKFRTKDLLECMGRLDQQLINLKAKLQETRSSAPYGMVDSLQQQIKTREKQLLPVYTQVATKFAELHDSSLRMEAKGVIREVVDWARSRLFFCRRLCRRIAECSLIKDVIDAAGEQLLHKSAMDMIKTWFLNSDIAKGREDAWMDDEAFFAWKDDSGNYEAKLQELRAHKVLLQLTNIGESQSDLKALPQGLAALLSKVEPSSRERLVDELRKVLG >Potri.005G169100.6.v4.1 pep chromosome:Pop_tri_v4:5:17184072:17189752:1 gene:Potri.005G169100.v4.1 transcript:Potri.005G169100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G169100.v4.1 MFTEDGENMDTPKRKSAINERMEDLVSAPLAVEDALVGLFDHSDHTLQRRVVETYVRRLYQPYLVKGSVRMQWHRSGLIASWEFLEEHIERKNGFEDQMPDKPLVEKHREQKWGAMVIIKSLQFLPAIISAALLETTHDPREVVLNGSVEPTGFGNMMHIALVGINNPMSLLQDSGDEDQAQERIKKLAKILKEQEVSSSLHSAGVRVISCIIQRDEGRAPMRHSFHWSVEKLYYAEEPLLRHLEPPLSIYLELDKLKGYEDIHYTLSRDRQWHLYTVVDKPGPIRRMFLRTLVRQPTMNEGFTAYQGLGIETTGTQWTVSLTSRSILRSLVTALEELELNVHNATVKPDHAHMYLCILREQQIDDLVPYPKKLDIDAEQEEVAVEAILEGLAREIHAAVGVRMHRLSACEWEVKLWMASSGQANGAWRIVVTNVTGHTCAVHIYRELEHTSKQKVVYHSISVHGPLHLVPVNAHYQPLGSLDRKRLLARRSSTTYCYDFPLAFETVLEQIWASQFSGMKKPKDKVIKVTELVFADEKGSWGTPLVSLERPAGLNDFGMVAWCMEILTPEFPLGRTILVVANDVTFKAGSFGQREDAFFLAVTDLACNKKIPLIYLAANSGARIGAADEVKSCFKVGWSDEVFPDRGFQYVYLSPEDHARIGSSVIAHELKLENGETRWVIEAIVGKEDGLGVENLSGSGAIASAYSRAYNETFTLTYVTGRTVGIGAYLTRLGMRCIQRLDQPIILTGFSALNKLLGREVYSSHMQLGGPKIMATNGVVHLTVSDDLEGVSAILKWLSCIPPCVGGALPILSPSDSPERPVEYFPENSCDPRAAICGIFDGNGKWLGGIFDKDSFVETLEGWARTVVTGRAKLGGIPVGIVAVETQTVMKVIPADPGQLDSHERVVPQAGQVWFPDSATKTAQAIFDFNREELPLFILANWRGFSGGQRDLFEGILQAGATIVENLRTYKQPVFVYIPMMGELRGGAWAVLDSKINSDHIEMYADRTAKGNVLEPEGMIEIKFRTKDLLECMGRLDQQLINLKAKLQETRSSAPYGMVDSLQQQIKTREKQLLPVYTQVATKFAELHDSSLRMEAKGVIREVVDWARSRLFFCRRLCRRIAECSLIKDVIDAAGEQLLHKSAMDMIKTWFLNSDIAKGREDAWMDDEAFFAWKDDSGNYEAKLQELRAHKVLLQLTNIGESQSDLKALPQGLAALLSKVEPSSRERLVDELRKVLG >Potri.013G055000.1.v4.1 pep chromosome:Pop_tri_v4:13:4005958:4007488:-1 gene:Potri.013G055000.v4.1 transcript:Potri.013G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055000.v4.1 MGTKILTSSSHGFENECKEIHDSWGRLNHLVRSLAGRSKLERQQIRETYKAMYGEDMAILLQKMQFQNGSKVCAALYRWMMDTHERDAIVAREAFGQGDVNYKALVEIFVGRKSSHMVLIKQAYYARFRRHLDQEIINLEPPHPYQKILVALATSHKAHQEDVSQHIAKCDARRLYEAGEGSSQGAVEEAVVLEILSKRSIPQTKLTLSSYKHIYGHEYTKSLKNAKYMEFEDALKVVMKCMCNPPTYYAKVLYTSIKGTTADNGALARVMISRAEVDLYEIRSIFKRKYGMELKDAICERIPSGDYRDFLAAIASTTTIITSHNSVSL >Potri.016G075000.1.v4.1 pep chromosome:Pop_tri_v4:16:5645107:5650319:1 gene:Potri.016G075000.v4.1 transcript:Potri.016G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075000.v4.1 MAIAVRRIGGEKSWFCHLHRFFSTSTENAASSISDIEGEFKSLCSAGRIKEAFKTYNAEIWTDQHLFSYLIQSFIPQKSLLIAKQLHSLAITSGYYFKDKFVRNHLLNMYFKMGEIQEAIAFFNAMPMRNIMSHNILINGHVQHGDLDSAIKVFDEMLERNVATWNAMVSGLIQFEFNENGLFLFREMHELGFLPDEFTLGSVLRGCAGLRASYAGKQVHAYVLKYGYEFNLVVGSSLAHMYMKSGSLGEGEKVIKAMRIRNVVAWNTLIAGNAQNGHFEGVLDLYNMMKMSGLRPDKITLVSVISSSAELATLFQGQQIHAEAIKAGANSAVAVLSSLISMYSKCGCLEDSMKALLDCEHPDSVLWSSMIAAYGFHGRGEEAVHLFEQMEQEGVGGNDVTFLSLLYACSHNGLKEKGMGFFKLMVEKYGLKPRLEHYTCVVDLLGRSGCLDEAEAMIRSMPLEADVVIWKTLLSACRIHRNADMATRTAEEILRLNPQDSATYVLLSNIHASAKRWKDVSKVRTTMRDRNVKKEPGVSWLEVKNRVFQFSMGDKSHPMSEEIDLYLKELMEEMKLRGYVPDTATVFHDTDSEEKENSLVNHSEKLAIAFGLMNIPPGSPIRVMKNLRICSDCHVAIKLISDINNREIIVRDTSRFHHFKHGKCSCGDYW >Potri.002G195600.1.v4.1 pep chromosome:Pop_tri_v4:2:15838596:15840438:1 gene:Potri.002G195600.v4.1 transcript:Potri.002G195600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195600.v4.1 MIKGEKSRLFSMEDLGQVYPLCSEYGAYQDNTENKGLNFFRDQQQPQQQQSPPKSSYLTLDDFLGSCLPAPAQPMQEIKRLESIAKNSKETLYTPALSSSLQLLRKYGSRKEIECSQLGGASDETWFSTRKKLSTEEIIRVAGSRFIQFSDQRYDDFSMLMHPFGYALSGLSEEETRDVELTHLLLATAEKVGYQQFDRASRLLSRCEWVASERSNPLQRVVYYFAEALQGRIHKATGRFIPEEMKGKPNCETLHGLSTHLAHLSMHQNVPISQVMQLTAIQAIIENVGSARKIHLIDLEIRSGVQWTALMQALADRQRRLDHLKITAVGLRGIQKIEETGKRLEIFARSMNFPFTFKPIQVSCMSEIKEELFETAADEAMVVVANMILRTMLSRPACLENLMRVIKNLNPSIMIVGEVEANHNSPTFVNRFIEALFFYGAYFDCLETCLKQNTEHRTITEATFSNGIENIVTMEGTDRIARSVKMDVWRAFFSRFRMVEVGFSESSLYQAGLIPKQFPCGSSCTLEKNGKCLIVGWKGTPLHSLSAWKFSTERLWRPLANNNF >Potri.016G113600.5.v4.1 pep chromosome:Pop_tri_v4:16:11774458:11778049:1 gene:Potri.016G113600.v4.1 transcript:Potri.016G113600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113600.v4.1 MLSQKQAEEAIVSNYSETDQHEGKEEEAEENHSLFSIKSALWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQIFYGIVGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHMDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAALIHGQVGVVTHSAPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSATAVYWAFGDQLLNHSNAFALLPRNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKSSARQNAAEKPPFFMPSWTAMYVINTFVVVWVLVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPAGAAPPRPH >Potri.016G113600.1.v4.1 pep chromosome:Pop_tri_v4:16:11773664:11778172:1 gene:Potri.016G113600.v4.1 transcript:Potri.016G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113600.v4.1 MLSQKQAEEAIVSNYSETDQHEGKEEEAEENHSLFSIKSALWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQIFYGIVGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHMDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAALIHGQVGVVTHSAPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSATAVYWAFGDQLLNHSNAFALLPRNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKSSARQNAAEKPPFFMPSWTAMYVINTFVVVWVLVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPAGAAPPRPH >Potri.016G113600.8.v4.1 pep chromosome:Pop_tri_v4:16:11773693:11778110:1 gene:Potri.016G113600.v4.1 transcript:Potri.016G113600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113600.v4.1 MLSQKQAEEAIVSNYSETDQHEGKEEEAEENHSLFSIKSALWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQIFYGIVGSWTAYLISVLYIEYRSRKEKENVNFKNHVIQWFEVLDGLLGPYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHMDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAALIHGQVGVVTHSAPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSATAVYWAFGDQLLNHSNAFALLPRNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKSSARQNAAEKPPFFMPSWTAMYVINTFVVVWVLVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPAGAAPPRPH >Potri.012G093600.1.v4.1 pep chromosome:Pop_tri_v4:12:11809433:11815374:1 gene:Potri.012G093600.v4.1 transcript:Potri.012G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G093600.v4.1 MPPLLDFKDIQEKLSTQFRPWQRSFQFWVRAADIYTGYKVFQLRVSLVKDEEKQEAMWEGQHELAAEKIYAMCSDLGGFFLKVAQIIGKPDLAPAAWVRRLVTLYDRAPATPFDAVKLVLEKELGRSIEDIFERFDVEPLGSASIAQVHRARLKGDKSDVVVKVQHPGVQDLMMTDIHNLQAFALYMQKTDIKFDLFSVTKEMEKQIGYEFDFTREANAMERIRQFLYENNKTSPVLVPRVLKDMVSRRAFVMEYMDGIPILNLGDEIAKRGINPGGKIAAAAKQNILKSLTLAYGQMILKSGFFHADPHPGNILISKGSKSGCLVRLWAGEDLPDKLRLGYANLVLAIADGDPIRAAESYRELGIDTLSKCENEMQEFFRLAQTMFDTKLPPGVAMLQPFSEDSSIKKISVETFPEELYSVLRTVHLLRGLSVGLGISYSCAEQWRPIAEEALYLAGRLKDTDLKTKRRRQSFFRRLL >Potri.011G016300.1.v4.1 pep chromosome:Pop_tri_v4:11:1490903:1494007:-1 gene:Potri.011G016300.v4.1 transcript:Potri.011G016300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G016300.v4.1 MFQPLMGSCNADVLDTKQKINGRLYKALVTCNKKDVVDLCQRISDHALHVITVNDDTVLHMATYAKEAALVERLLDELPDHHVDKLTRQNRVGNTILHETATSNHAISVADKLLKRAPGLLGMRNHNGETALFRAARYGKTDMFNFLAAKVSGYDEAGLQFYVQRSDKTTILHIAILSEHFDLAYQIALDYRHLISEKDGDGMTSLQLLSCNPSAFKQEPEDGFIKLAKSCCSTAWQEKVQNQKDKYKSAVELAKLLSRNDTSWEVTYSSIDQSKPKIHRYGEIGGQEGMSLAARIPERMDDVGETPLILATKSGIVEIVEEILRLYPQAVEHVDDEGRNVLHVAIKYRELKIFELVTKMEVPMKRLVRKIDNEGNSILHTVGIKRKDFVSEKMEGPAFLLQEELLWFERVEKVTPPHFISHHNSQNLSAECLFITANSELRSSAKEWMKSTAEGSSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVSDVLSLTFALTSVVTFLSILSSPFRFKDFKHTLPNKLMAGFTFLFLSVAMMMVAFGSTIFLTIYNKENWAKVTLYTVSFIPVCIFALSYFPLYSSLSKTYKYLLENFPLTKLVLSKPCMMMSKCLKCCQVQTSESHIP >Potri.017G137500.1.v4.1 pep chromosome:Pop_tri_v4:17:13860339:13861768:-1 gene:Potri.017G137500.v4.1 transcript:Potri.017G137500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137500.v4.1 MAEEAGMFMVLQTIGSKHVILVHCPECDTCLDPPTTGIRAQLESRELMEFCLKKLKLKSEGVNLVNAEFIWTEPHSKRIKLRVRVQKEVLNGAILEQAYVVEYVQQEHMCDSCTRVQANPDQWVAAVQLRQHLILKHDAAVRAIKIKQMDHGIDFFFGNRSHGVKFVEFVGKVAPVRSRNDKQLLSHDTKSNNYNYKYTFSVEISPICREELICLSPRVAVGLGNLGPLVICTKVTNSIALLDPFTLRQCFLDQYWRTPFNILYLMWMDIVSPEVNVGGSRCALADAQVARVSDFGKNDTMFYIKTHLGHILKPGDYAHGYDLHGANSNDMELNKYRDLAIPELILVKKSYEEKRHRKRGKPRRGDEEKMNSEYEQFLRDLEENPELRFNISLYRNKEYQPSDWWG >Potri.001G134800.2.v4.1 pep chromosome:Pop_tri_v4:1:10990116:10997597:-1 gene:Potri.001G134800.v4.1 transcript:Potri.001G134800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134800.v4.1 MKEGEGEGFEEWDADFLDQLIQVEELALSSQLPSSSESPLPPTTTTKLPYLPPPQQLQHDYQNNSISYSPPRELSQRPIEFSINNNSSSITFDRFSNGFSHSAPSTSASKHNAKDLEIDRLKRELGRASKHLTDLEQECFELKKERRKRDEQIKSLYADTEEKDVHIHSRKKTNFGRERGDHSKDAHGISQHSESAKPLEGQIDIASTSKAIGVQTERSIDFTKVELKNDSPSHPELSETLLGIWGSTSEQQLGRNLISKLFMMCPTDFQVLFGCMSMNKSSKVQMDYLQDESSSHAALQYHMCSFPASEAAKVSHLYSVLTKINNGVLQLEALFRSLLDFCDVTHVAILSSSLHILLAFLKQLLSLGAKLGGDNIKIEGLCSGDSVEGQDLFSMISYEASNVGCSSLGIKSFYPKHLCKKRCWNAEISLLLSSVNWVLLLEMMLQIAVRNTEECVRLEAVSIMNVILMSSNAQTEMEKFGQSPIFESIAQLLKREAGLRVQKEALHLLFLLLNCSKLLSIFCSGCKEEEIADSTNNKKNTSTPKGFSSILVGLAECIACSGNSLQDIELCKRAIIMLAFLASSGKFGFEIMVTHKLRGETNFLMLILQVLVSEMNVEASAEPARSIKARTSLIREALILLNRLVSNTGYSAIVLRILTARRDMATLTIDIASRLSQEDQSLRLSDVNGHVKESEIVELGQAFKKRVFAYLGDKIS >Potri.001G134800.4.v4.1 pep chromosome:Pop_tri_v4:1:10990178:10997650:-1 gene:Potri.001G134800.v4.1 transcript:Potri.001G134800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134800.v4.1 MKEGEGEGFEEWDADFLDQLIQVEELALSSQLPSSSESPLPPTTTTKLPYLPPPQQLQHDYQNNSISYSPPRELSQRPIEFSINNNSSSITFDRFSNGFSHSAPSTSASKHNAKDLEIDRLKRELGRASKHLTDLEQECFELKKERRKRDEQIKSLYADTEEKDVHIHSRKKTNFGRERGDHSKDAHGISQHSESAKPLEGQIDIASTSKAIGVQTERSIDFTKVELKNDSPSHPELSETLLGIWGSTSEQQLGRNLISKLFMMCPTDFQVLFGCMSMNKSSKVQMDYLQDESSSHAALQYHMCSFPASEAAKVSHLYSVLTKINNGVLQLEALFRSLLDFCDVTHVAILSSSLHILLAFLKQLLSLGAKLGGALYSGTISRLRDFAPGTALKARICLV >Potri.009G156000.1.v4.1 pep chromosome:Pop_tri_v4:9:12172647:12181609:1 gene:Potri.009G156000.v4.1 transcript:Potri.009G156000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156000.v4.1 MPFLLDTASAIKRRFGFQDQSVSESVPCTPDLLKSVSRDHHLASTQSVFATSAVRRISDMDDDDHGGATGSEVAAPRHAQSFEFSEDPSFWKDHNVQVIIRLRPLSGSEISVQGHSKCVRQESCQTITWTGHPESRFTFDLVADETVTQEKMFKVAGLPMVDNCMGGYNSCMFAYGQTGSGKTHTMLGDIEGGTRRHSVNCGMTPRVFEYLFSRIQKEKEARKEEKIKFTCKCSFLEIYNEQILDLLDPSSSNLQIREDVKKGIYVDNLKEIEVASARDVLQQLIQGAANRKVAATNMNCASSRSHSVFTCIIESKWESQGVTHHRFARLNLVDLAGSERQKSSGAEGERLKEATNINKSLSTLGLVIMNLVSISNGKSLHVPYRDSKLTFLLQDSLGGNSKTIIIANISPSLCCSLETLSTLKFAQRAKFIKNNAIINEDALGDVIVMRMQIQQLKKEVSRLRSLVNEGVENLDNDTSSLSFLGSPGQFKWEGLHGSSSPLMPEKRMSQKKDYEVALIGAFRREKDKDIALKALKAENQAAMQLAKQREDEIKSLKMILRFREAGVKRLEAVAAGKISAETHLLKEKEEHLKEIEVWRTQVDRNQEVTRFATENLRLKEEIRRLKSFCEEGEREMMNEQIMVLQNKLLEALDWKLMHETDSLAVQKTSLDMETEVQDDLPISIQEPRSPCQSSINEENEFLRIQTIQNQAEIDLLHKQLEFCFEEKDRLERHVNDLMMKLEEERSYRATNERTEQLELPLSTDASVVNGNDQMELKTMVDAIAAASQREAEAHEKAITLYTENNELQLKLETFIVANEELQSKLKALIEEKNSLIEMYERAASKSSYNNVNDSESEQNGMEVRDDDNSAERGQNGMEVHNNDSASELANLSELEMKTVENLEQQRMELHEENEKLMGLYEIAMHERDELRRRLSSYEQNRVESRGELHCPEKHVEVDGEKCLQSCAPPIYLGTKVSMEEIRARLLNAEQAFVDFDEVLREIEATEEGLQLKQEEFRSLELLSSEMQDKKALVDKKLSALRYSLSNFSSSVAYFEQREVRAKARVNASVSYFRKKKEELARLQVCKEDAEANLGRIQQSEIELRNILAVLKSKLEEKNQRQESEKVLFAIDNIEKVDTSQRNWQLGGKATELLKSEEEKTKLQSEMKLSREKLGLVKREFDDLSKRLDKIESEIQAVQMDIQKGSKSVEEMELALQTVIHEKETLLEITENGMSEIQSMILEYQQCVFDTDLKEAELKTLEEELQLEFRRIEDLRKVRAAASEKMTRLLQNTSSHSCFAEKMEE >Potri.008G187300.1.v4.1 pep chromosome:Pop_tri_v4:8:13088929:13089267:-1 gene:Potri.008G187300.v4.1 transcript:Potri.008G187300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187300.v4.1 MGFSEKPQVVDGGLDLDGNKRWVIAGIPLRAPLKPIFTNPVEKEIYDESDDGQSNCTTSTTPTSEEAKIPSKLVCPPAPRKRKATFKCNYTSGMREFFTPPDLETLFIQRAN >Potri.003G190400.1.v4.1 pep chromosome:Pop_tri_v4:3:19418418:19419548:1 gene:Potri.003G190400.v4.1 transcript:Potri.003G190400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190400.v4.1 MSTNINSNIPLRNLLSLIQRRFLKTSSTTRPPNASSSFTVQYLVNSCGLPLQSALSVSKKFQIDENNLQKPQSVIQFLKSYDFQDAHVAKLIEKWPAVLRSRTEGNLKPKFDFFIKNGFVGQLLPQLAVLDPRIFRASLHAHIKPCFELLKRFLESNENILAALSRAPFLMSFSFNATVRPNLDLLKKEGVTADRVAKLLLSQPRSLQHSNNRMVYAVTYLKQLGIEPGKTMYIHALTVIARMNESAWRKKIDMFKSVGWTEEEVLWAFKRFPCLLLSSEEKIRSMMDFFLNKMKLERKIIVANPALLKYSFGNRILPRCNVLEVLKSRKLIKGDTNIATFLKLSEKDFMERCVAKYEDKVPGLLEMYGGIDKGKG >Potri.007G129800.6.v4.1 pep chromosome:Pop_tri_v4:7:14418014:14425153:-1 gene:Potri.007G129800.v4.1 transcript:Potri.007G129800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129800.v4.1 MAIAALGPATSLRLNPGSTLRLLSFYPKSNPSNLPEILKTMTKPHAIPPKFHHFFQLSGLPRRRFFCSVISGALQSNESAKVGFLEKEKSEVGNRVGEFRKKLKIADIKGGPDEGLDRVGKTIVVMGWVRTLRVQSSVTFIEVNDGSCLSNMQCVMGLEAEGYDQVESGLITTGASILVQGTVVESQGSKQKVELKVNKIVLVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPGSQEVANSPVDRIPKTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLTDDMACATAYLQYVVRHILENCKEDMEFFNTWIEKGIIDRLTDVAEKDFVQLTYTDAVELLLKAKKKFEFPVEWGCDLQSEHERYITEEAFGGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLEYLEDRLDELKLNKESFWWYLDLRRYGSVPHAGFGLGFERLVQFATGLENIRDAIPFPRVPGSAEF >Potri.007G129800.8.v4.1 pep chromosome:Pop_tri_v4:7:14417991:14425154:-1 gene:Potri.007G129800.v4.1 transcript:Potri.007G129800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129800.v4.1 MAIAALGPATSLRLNPGSTLRLLSFYPKSNPSNLPEILKTMTKPHAIPPKFHHFFQLSGLPRRRFFCSVISGALQSNESAKVGFLEKEKSEVGNRVGEFRKKLKIADIKGGPDEGLDRVGKTIVVMGWVRTLRVQSSVTFIEVNDGSCLSNMQCVMGLEAEGYDQVESGLITTGASILVQGTVVESQGSKQKVELKVNKIVLVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPGSQEVANSPVDRIPKTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLTDDMACATAYLQYVVRHILENCKEDMEFFNTWIEKGIIDRLTDVAEKDFVQLTYTDAVELLLKAKKKFEFPVEWGCDLQSEHERYITEEAFGGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLEYLEDRLDELKLNKESFWWYLDLRRYGSVPHAGFGLGFERLVQFATGLENIRDAIPFPRVPGSAEF >Potri.007G129800.7.v4.1 pep chromosome:Pop_tri_v4:7:14418048:14425069:-1 gene:Potri.007G129800.v4.1 transcript:Potri.007G129800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129800.v4.1 MAIAALGPATSLRLNPGSTLRLLSFYPKSNPSNLPEILKTMTKPHAIPPKFHHFFQLSGLPRRRFFCSVISGALQSNESAKVGFLEKEKSEVGNRVGEFRKKLKIADIKGGPDEGLDRVGKTIVVMGWVRTLRVQSSVTFIEVNDGSCLSNMQCVMGLEAEGYDQVESGLITTGASILVQGTVVESQGSKQKVELKVNKIVLVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPGSQEVANSPVDRIPKTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLTDDMACATAYLQYVVRHILENCKEDMEFFNTWIEKGIIDRLTDVAEKDFVQLTYTDAVELLLKAKKKFEFPVEWGCDLQSEHERYITEEAFGGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLEYLEDRLDELKLNKESFWWYLDLRRYGSVPHAGFGLGFERLVQFATGLENIRDAIPFPRVPGSAEF >Potri.005G149800.4.v4.1 pep chromosome:Pop_tri_v4:5:12767026:12772297:1 gene:Potri.005G149800.v4.1 transcript:Potri.005G149800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G149800.v4.1 MGLQGQIIPYKNGEKEEDDDERAGKEISPFPPPPLHSKFAVVGYALTSKKIKSFLKPKLEGLARNKGILFVAIDQNRPLSDQGPFDIVLHKLTGKEWRQILEDYRRTHPEVTVLDPPDAIQHLHNRQSMLQCVADMNLSNSYGKVGIPKQIVIKKDASSIPGAVAKAGLMLPIVAKPLVADGSAKSHELSLAYDQQSLQKLEPPLVLQEFVNHGGVMFKVYIVGETIKVVRRFSLPDVCKRELSNIAGVFRFPRVSCAAASADNADLDPGVAELPPRPLLEKLARELCRRLGLRLFNLDIIREHGTRDRFYVIDINYFPGYGKMPEYEHIFTDFLLSLVQNQYKKKST >Potri.005G073600.3.v4.1 pep chromosome:Pop_tri_v4:5:4898549:4902672:1 gene:Potri.005G073600.v4.1 transcript:Potri.005G073600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073600.v4.1 MEELKKLEEAQRMITLMESHALLSSPSSNNHHSNRFLANLLLLLIQQCGDLDFKDKLTLINQHLPKISGSFLEEVSLLFNREEAVLQTESKLIANSNSDLEDMALVGLDAMQRANSTLEDFMDSLNEKIVNMQTNIVGAVERKPHMEVSESWIGKFIDMLKNDPFGPLVGQLQHHGLLTERINEELRFGEEYWDLERKLCSALVNQTEILVEDVMRAIHLKSFDYRVLNLLLYQLRGEKVNDVHMEFLSISEFLVEVSDDLFDYEDDVLENNFNILRMFVRIYGPAMAPAMLAKYIAEAEEKYGSLLKTLDPQLSTNYQRRCEEATKEGGKMSGYPLGSWNIPPAIVDEELYRSNWLNSESVVPLG >Potri.005G073600.5.v4.1 pep chromosome:Pop_tri_v4:5:4898619:4902616:1 gene:Potri.005G073600.v4.1 transcript:Potri.005G073600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073600.v4.1 MEELKKLEEAQRMITLMESHALLSSPSSNNHHSNRFLANLLLLLIQQCGDLDFKDKLTLINQHLPKISGSFLEEVSLLFNREEAVLQTESKLIANSNSDLEDMALVGLDAMQRANSTLEDFCRSYFMFHEMDINKPQSIFKYLPLLSFTESYIYQMDSLNEKIVNMQTNIVGAVERKPHMEVSESWIGKFIDMLKNDPFGPLVGQLQHHGLLTERINEELRFGEEYWDLERKLCSALVNQTEILVEDVMRAIHLKSFDYRVLNLLLYQLRGEKVNDVHMEFLSISEFLVEVSDDLLNTLLKRKRSMAVY >Potri.005G073600.1.v4.1 pep chromosome:Pop_tri_v4:5:4898549:4902702:1 gene:Potri.005G073600.v4.1 transcript:Potri.005G073600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073600.v4.1 MEELKKLEEAQRMITLMESHALLSSPSSNNHHSNRFLANLLLLLIQQCGDLDFKDKLTLINQHLPKISGSFLEEVSLLFNREEAVLQTESKLIANSNSDLEDMALVGLDAMQRANSTLEDFCRSYFMFHEMDINKPQSIFKYLPLLSFTESYIYQMDSLNEKIVNMQTNIVGAVERKPHMEVSESWIGKFIDMLKNDPFGPLVGQLQHHGLLTERINEELRFGEEYWDLERKLCSALVNQTEILVEDVMRAIHLKSFDYRVLNLLLYQLRGEKVNDVHMEFLSISEFLVEVSDDLFDYEDDVLENNFNILRMFVRIYGPAMAPAMLAKYIAEAEEKYGSLLKTLDPQLSTNYQRRCEEATKEGGKMSGYPLGSWNIPPAIVDEELYRSNWLNSESVVPLG >Potri.005G073600.4.v4.1 pep chromosome:Pop_tri_v4:5:4898619:4902616:1 gene:Potri.005G073600.v4.1 transcript:Potri.005G073600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073600.v4.1 MEELKKLEEAQRMITLMESHALLSSPSSNNHHSNRFLANLLLLLIQQCGDLDFKDKLTLINQHLPKISGSFLEEVSLLFNREEAVLQTESKLIANSNSDLEDMALVGLDAMQRANSTLEDFCRSYFMFHEMDINKPQSIFKYLPLLSFTESYIYQMDSLNEKIVNMQTNIVGAVERKPHMEVSESWIGKFIDMLKNDPFGPLVGQLQHHGLLTERINEELRFGEEYWDLERKLCSALVNQTEILVEDVMRAIHLKSFDYRVLNLLLYQLRGEKVNDVHMEFLSISEFLVEVSDDLFDYEAKYIAEAEEKYGSLLKTLDPQLSTNYQRRCEEATKEGGKMSGYPLGSWNIPPAIVDEELYRSNWLNSESVVPLG >Potri.018G138000.2.v4.1 pep chromosome:Pop_tri_v4:18:15775005:15784201:-1 gene:Potri.018G138000.v4.1 transcript:Potri.018G138000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138000.v4.1 MNVGMRKNKKGKQKSSVSNVKKEVPEKNTKGKKRNSPDTNKEEPTGGDGSLKRPKRAAACKDFKEKSVRLHEEKSYVVESKKEQVVDEEILAVRLTQGQEEGRPNRRLIDFVVHDANGNPQPLEMVEVDDMFISGIIMPHEESLDKEKEVHVRCDGFGRIEAWDISGYEDGSPVIWLSTEVADYDCIKPAGGYKKFFDHFFQKALACVEVYKKLSRFSGGNPEFTLDELLAGVVRAMSGNKCFSGAVSIKNFLISQGEFIYHQIIGLDETSTKNDKKFADLPVLVALRDESRNHGNVLIAKAANSGGNLVIGPESVDGAVVNQSNQSSTTVEEDEDAKLARLLQDEEYWQSNMRQKKSRGSVSASNTIYIKINEDEIANDYPLPAFYKHSNEETDEYIAVASDDVIDHPDDLPRRMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGSMTEDDGSGFCLDDGPDQSSSRGLEAQDDMGLPIFLSAIKEWMIEFGSSMIFISLRTDMAWYRLGKPSKQYASWYKPVLKTVKLARTIITLLKEQSRVSRLSFADVIRKVSEFKKDHHAYISSDLAAVERYVVVHGQIILQLFAEFPDQKIKKCAFVVGLTRMMEERHHTKWVVNKKAIVQKCHSNLNPRAAMDTVASGASKRKLMQATTTRLINRIWGEYYSNYSPEDLKEGNDCDVKEEDELEEQDENEDDDKEVVVEKTLKPYSVFEHCKSHTSQKEVRWDGNPVRKISSGEDIYKQAIVCGQVIVVGAAVLVEVDEPDELPAIYFVEYMFETRNGSKMFHGRMMKWGSETVLGNTANDREVFLTNECMNYKLQDVKQTIILEVRKRPWGHHHRKDNANADRIDREKAEERKKKGLPLEYYCKSLYWPERGAFFTLPFDTMGLGSGVCHSCNLKISEEDKNISKVNSSQTGFSYKGTEYSVHDFVYVSPHQFAVESGETETFKGGRNVGLKPYAVCQLLEVVPMETKQSETRSTEVKVQRFFRPDDISPEKAYCSDIREIYYSEETHLLSVEVIEGKCEVRKKIDIPTCSAPAIFDHTFFCEHMYDPSNGSLKQLPAHIKSKFSAVSKDGDVASRKRKGKSKEGENDTEDDKQLEASPEYRLATLDIFAGCGGLSEGLQQAGVSTTKWAIEYEEPAGEAFKLNHAESLMFINNCNVILRAVMERCGDADDCISTSEAAKMASSLDAKVIDGLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTIASLLQMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAAPELKITLSEKSQYAAVRSTAYGAPFRAITVRDTIGDLPDVANGASKTNLEYGNDPISWFQKKIRGDMVVLTDHISKEMNELNLIRCKNIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDNYQFFGNIQHKHRQIGNAVPPPLAYALGRKLKEALDSKRQK >Potri.018G138000.1.v4.1 pep chromosome:Pop_tri_v4:18:15775106:15784192:-1 gene:Potri.018G138000.v4.1 transcript:Potri.018G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138000.v4.1 MGSSSILDTTTNDPVDNTISSSSSVGMRKNKKGKQKSSVSNVKKEVPEKNTKGKKRNSPDTNKEEPTGGDGSLKRPKRAAACKDFKEKSVRLHEEKSYVVESKKEQVVDEEILAVRLTQGQEEGRPNRRLIDFVVHDANGNPQPLEMVEVDDMFISGIIMPHEESLDKEKEVHVRCDGFGRIEAWDISGYEDGSPVIWLSTEVADYDCIKPAGGYKKFFDHFFQKALACVEVYKKLSRFSGGNPEFTLDELLAGVVRAMSGNKCFSGAVSIKNFLISQGEFIYHQIIGLDETSTKNDKKFADLPVLVALRDESRNHGNVLIAKAANSGGNLVIGPESVDGAVVNQSNQSSTTVEEDEDAKLARLLQDEEYWQSNMRQKKSRGSVSASNTIYIKINEDEIANDYPLPAFYKHSNEETDEYIAVASDDVIDHPDDLPRRMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGSMTEDDGSGFCLDDGPDQSSSRGLEAQDDMGLPIFLSAIKEWMIEFGSSMIFISLRTDMAWYRLGKPSKQYASWYKPVLKTVKLARTIITLLKEQSRVSRLSFADVIRKVSEFKKDHHAYISSDLAAVERYVVVHGQIILQLFAEFPDQKIKKCAFVVGLTRMMEERHHTKWVVNKKAIVQKCHSNLNPRAAMDTVASGASKRKLMQATTTRLINRIWGEYYSNYSPEDLKEGNDCDVKEEDELEEQDENEDDDKEVVVEKTLKPYSVFEHCKSHTSQKEVRWDGNPVRKISSGEDIYKQAIVCGQVIVVGAAVLVEVDEPDELPAIYFVEYMFETRNGSKMFHGRMMKWGSETVLGNTANDREVFLTNECMNYKLQDVKQTIILEVRKRPWGHHHRKDNANADRIDREKAEERKKKGLPLEYYCKSLYWPERGAFFTLPFDTMGLGSGVCHSCNLKISEEDKNISKVNSSQTGFSYKGTEYSVHDFVYVSPHQFAVESGETETFKGGRNVGLKPYAVCQLLEVVPMETKQSETRSTEVKVQRFFRPDDISPEKAYCSDIREIYYSEETHLLSVEVIEGKCEVRKKIDIPTCSAPAIFDHTFFCEHMYDPSNGSLKQLPAHIKSKFSAVSKDGDVASRKRKGKSKEGENDTEDDKQLEASPEYRLATLDIFAGCGGLSEGLQQAGVSTTKWAIEYEEPAGEAFKLNHAESLMFINNCNVILRAVMERCGDADDCISTSEAAKMASSLDAKVIDGLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTIASLLQMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAAPELKITLSEKSQYAAVRSTAYGAPFRAITVRDTIGDLPDVANGASKTNLEYGNDPISWFQKKIRGDMVVLTDHISKEMNELNLIRCKNIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDNYQFFGNIQHKHRQIGNAVPPPLAYALGRKLKEALDSKRQK >Potri.016G055300.2.v4.1 pep chromosome:Pop_tri_v4:16:3673906:3676980:1 gene:Potri.016G055300.v4.1 transcript:Potri.016G055300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055300.v4.1 MGDLDTAKPLRKIAEAFKELEATINSQSQEVEVAPFSHACSLVSPLFGCLGIAFKFAEVDYVAKVLDLAEASKSIGTLQSLLEKDIQGNSVRKSGSHSRNLLRVKRGLVMVKVLFELILVTEGNSLKGPASKAYEQVFAPHHGWAIRKAVAAGMYALPTKAQLLNNLNEDESSASIQMQSYVAASAPVIMYVEKLFLTRGLGIDW >Potri.017G066388.1.v4.1 pep chromosome:Pop_tri_v4:17:5558142:5559302:1 gene:Potri.017G066388.v4.1 transcript:Potri.017G066388.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066388.v4.1 MGLTNFIITVAGVSAVILLLRSDVKQSATILRRNVKHIRHWLEEETAAASKASKEASPKELESKVPRKDIPKED >Potri.006G153300.2.v4.1 pep chromosome:Pop_tri_v4:6:13622555:13634940:-1 gene:Potri.006G153300.v4.1 transcript:Potri.006G153300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153300.v4.1 MKEQWSISHSHNYTKLKHSMIKIAIVSFLAIGIATSFSFLLSSSLPKSYYHSLYISNSISDNASISHDLYMLTRRPHAAGTEANAQAAAYVLSTLVSYNIDSHIVSYDVSLTYPISRSLILTQPEPASEQLPITFDLRQEIYDGDPYADVAHEVLPTFHAYAKSGTVRGAVVYANYGRVEDYKTLKEMGVNVTGTIVLARYGKIFRGDIVNNAFEAGAIGAIVYTDRKDYGGGGDEGWFPKAKWMPPSGVQVGSVYDGAGDPSTPGWPSIQGCERISDDEVEKQGNVPLIPSLPVSAADGETIMRSVGGQVANEDWQGSKDSPTYRLGPGPGILNLTYTGKKAIETIQNVIAIIEGVEEPDRFVILGNHRDAWTFGAVDPNSGTAALLEVARRLMKLQEKGWKPRRTIVLCNWDAEEYGLIGSTEWVEDNRELLTSRAVAYLNVDCAVTGAGFHASATPQLDKLLAKTTQQVGDPDNSSQTIYESWVAPDNSPTIERLGGGGSDYAAFVQHIGIASADVSFGKGYPVYHSMYDDFVWMEKFGDPMFRRHIAVASVWGLTALQLADEEFLPFDYLSYAYELQKNAKDLEDEISDKGIRLAPLFESIKRLRDAATKINQERKAIEENRVWAWKFKKDQVKVREINDRLMMAERAFTDAEGLSGRSWYKHLIYAPSKHDDYGSSYFPGIDDAIEEARSLSTPEAWRSVQHQVWRVSRAVRHVSQVLTGELT >Potri.015G013000.1.v4.1 pep chromosome:Pop_tri_v4:15:835474:838240:1 gene:Potri.015G013000.v4.1 transcript:Potri.015G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013000.v4.1 MEFDNIECVSSSDGLDEDEIHHHNLQHHLHQFASSKPHNVNNNHNNGNTIVVGGPTAIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVQNRCPTCRQELGDIRCLALEKVAESLEFPCKFYNLGCPEIFPYYSKLKHEAICNFRPYNCPYAGSECSVVGDIPFLVTHLRDDHKVDMHTGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDETEARNYTYSLEVGGHGRKLTWEGTPQSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQNPETGVCIPNLCS >Potri.005G115000.1.v4.1 pep chromosome:Pop_tri_v4:5:8403883:8404676:1 gene:Potri.005G115000.v4.1 transcript:Potri.005G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115000.v4.1 METNILPFLPSKSPPSTIPIHSNLSILTPPPATTRIQNQLCFLRRQRSLRLNATNSTSSDETPPAAQPPTITPPDTVEIRFKRGSRRRTRMQKGDGGVGVSQPVKAQASAPKKWEDMSLSEKAIELYVGEKGMLFWLNKFAYASIFIVIGGWILFRFVGPSLNLYQLDTAPLSPSSILKGS >Potri.003G173300.1.v4.1 pep chromosome:Pop_tri_v4:3:18120321:18124982:1 gene:Potri.003G173300.v4.1 transcript:Potri.003G173300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173300.v4.1 MGSFQIGMRSSALLETSCGYLLQELQMIWDEVGKDQFEREKVLHDLEQECLEVYRRKVDSANISRARLHQELAESEAEFTHLLLSLGERSLPGRPEKMSGTLKEQLDAITPALREMRLRKEERMNQFRSVQGQIQKISAEIAGQSVYDDSITNVIVNENDLSLKKLEEYQIELQRLCDEKNDRLQLVDTYIDTIHDLSSTLGMESSMIITKVHPTLNELCGISKNISDSILAKLNSTVESLKAEKQTQLEKLHQLGKALTNLWNLMDTPYKDRHSFSNVTALLSLTSAEVSDHGSLTLNIIQQAEAEVKRLDQLKASKMKELFFKKQSELDQICNKSHMEIPSQPGMENIINLINSGEIDHADLLMSLDEQISAAKEEATSRKAIMEKVERWMLAHDEERWLEEYSMDENRYSVRRGAHKNLRRAERARIIVNKIPVLVALLVAKTKSWEEERNKIFLYDGVPLMEMLEEYNMSRQEREEEKQRQREKKVPSYVVAGQENVVGSRPDTSSRRLSNRSLNGSLSNATPLNRRLSLCLQQLGTNSINSANQGISYIKEGRKMQGQRMFPRPDLTSHLRDEAASVVSSFSGPLSP >Potri.013G075300.2.v4.1 pep chromosome:Pop_tri_v4:13:6315257:6329000:1 gene:Potri.013G075300.v4.1 transcript:Potri.013G075300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075300.v4.1 MDEVGSNELDEPSIPWRPRQLAFGQYRQESEPANKEQILRVVVRRPLVARLTKDIVETYQICNPQFKYSEELNPKRYLTSPSAGVLNDGHDNVNSDLILTVNFALVNLDTQRRYIVKDVLGHGTFGQVAKCWVAETNSFVAVKIIKNQPAYYQQALVEVSILTTLNKKYDPEDKHHIVRIYDYFVYQRHLCICFELLDTNLYELIKINQFRGLSLSIVQLFSKQILRGLALLKDAGIIHCDLKPENILLCTSLKPAEIKIIDFGSACMEDRTVYSYIQSRYYRSPEVLLGCQYSTAIDMWSFGCIVAELFLGLPLFPGASEFDLLRRMIEILGGQPPDYVLKEAKNVSKFFKCIGSVQNLESGEVSLGGRNAYQALTVEEYESRERKKPSIGKEYFHHMNLEAIVTNYPYRKNLPLEDMKKESQIRLALIDFLRGLVEFDPAKRWSPFQASKHPFVTGEPFTCPYKPPLETPRMPVSQNIKVDHHPGGGHWFAAGLSPNNHGRARVSLHNSPHFQAVPYGHGTSYGSVGSHGSYNDGIGLGSSYGSYGDGSNMFAYYSPVAPSGMNMHPQAGLALLGSSPDARWRFIQYSHGNGLGMSPSAGNFAPLPLGTSPSQFTPPSSYSQASAGSPGHYGPTSPARSCSHGSPLGKMAAVTQFNRRKSWGHSGSYQSQDCTSSNWQGQSTDGACSNQSEENPSVLGSSPSHRQSSWMQQQGGSGSAAGPSTIQSIPGSFKPAPNMKCPQSAGPIHDKPEASLSLPDPGDWDPNYSDELLLQEDGSDVSSISTEFSNSVHLGSGVPVVGVGRSNRASNASSSSLNQRNGPFHAFSHVDAGSPPSAHDLHAGYGRSMSKPSYFTPHISQNSPSRLGQQPPQRFSHGRPTVRGSEWNHIKVQPPSSSFNSGGQRSPGSSSLNNSMPWGRRANFNSIPPPSRGRKDFERIA >Potri.001G380000.2.v4.1 pep chromosome:Pop_tri_v4:1:40025385:40026744:-1 gene:Potri.001G380000.v4.1 transcript:Potri.001G380000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380000.v4.1 MATLYFFSTLVLTIVVSVPINVLGSAYCGPVEAIDKDLVQFPLNLEFLEAEFFLNGALGLGLDAFEPGFAAGGPPPIGAQKANLDPVTRRIIEEFGYQEVGHLRAIITTVGGVPRPLYDLSPEAFAQLFDKAVGYKLDPPFNPYSNTVNYLLASYAIPYVGLVGYVGTIPHLANYTSRRLVASLLGVESGQDAVIRTLLYEKADEKVLPYDITVAEFTNAISGIRNELAMCGIRDEGLIVPLNLGAENRTESNILSADTNSLSYARTPQQILRIIYGTGSEYMPGGFLPRGGSGKIARSFLDKV >Potri.011G083100.2.v4.1 pep chromosome:Pop_tri_v4:11:9057503:9064902:-1 gene:Potri.011G083100.v4.1 transcript:Potri.011G083100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083100.v4.1 MEAEEIRRQPCKFSRVGNGINDASRIAQKGNGTDHQYPDDEEDGELKRTNSNNGAGCGGGGIDAAGGTFNGLRAWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAVEWLIKAAQDAINELPSLNHSFPDTPKQLSDEKKTSDSTEQGFDSADVELEDPNFRQNQNLSLSKSACSSTSETSKGSGLSLSRSVSRDKARERARERTAKEKEKENDSRIAHHHNINPISQNSTFTELLTGGIGSVRNNSSSNNNNNSTASPTGSDANNLFQKAAAARQWPSSPMDCFGSGLLGPSSSRSTHHSSGFPGQIQLGNSIPQAMTMSIPPFCVSGENHQEHLQHFPFVSDHLIPVAATTQPPASSGDYNLNFTISSGLAAGYHRGTLQSNSSSPSLLPHLQRFSTSSTIDGSTTNVPFFIGAAAPQAMENHHQFPPGLQLCYGDGTRHSDQKGKGKN >Potri.011G083100.6.v4.1 pep chromosome:Pop_tri_v4:11:9057709:9064771:-1 gene:Potri.011G083100.v4.1 transcript:Potri.011G083100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083100.v4.1 MEAEEIRRQPCKFSRVGNGINDASRIAQKGNGTDHQYPDDEEDGELKRTNSNNGAGCGGGGIDAAGGTFNGLRAWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAVEWLIKAAQDAINELPSLNHSFPDTPKQLSDEKKTSDSTEQGFDSADVELEDPNFRQNQNLSLSKSACSSTSETSKGSGLSLSRSVSRDKARERARERTAKEKEKENDSRIAHHHNINPISQNSTFTELLTGGIGSVRNNSSSNNNNNSTASPTGSDANNLFQKAAAARQWPSSPMDCFGSGLLGPSSSRSTHHSSGFPGQIQLGNSIPQAMTMSIPPFCVSGENHQEHLQHFPFVSDHLIPVAATTQPPASSGDYNLNFTISSGLAAGYHRGTLQSNSSSPSLLPHLQRFSTSSTIDGSTTNVPFFIGAAAPQAMENHHQFPPGLQLCYGDGTRHSDQKGKGKN >Potri.011G083100.5.v4.1 pep chromosome:Pop_tri_v4:11:9057580:9064777:-1 gene:Potri.011G083100.v4.1 transcript:Potri.011G083100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083100.v4.1 MEAEEIRRQPCKFSRVGNGINDASRIAQKGNGTDHQYPDDEEDGELKRTNSNNGAGCGGGGIDAAGGTFNGLRAWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAVEWLIKAAQDAINELPSLNHSFPDTPKQLSDEKKTSDSTEQGFDSADVELEDPNFRQNQNLSLSKSACSSTSETSKGSGLSLSRSVSRDKARERARERTAKEKEKENDSRIAHHHNINPISQNSTFTELLTGGIGSVRNNSSSNNNNNSTASPTGSDANNLFQKAAAARQWPSSPMDCFGSGLLGPSSSRSTHHSSGFPGQIQLGNSIPQAMTMSIPPFCVSGENHQEHLQHFPFVSDHLIPVAATTQPPASSGDYNLNFTISSGLAAGYHRGTLQSNSSSPSLLPHLQRFSTSSTIDGSTTNVPFFIGAAAPQAMENHHQFPPGLQLCYGDGTRHSDQKGKGKN >Potri.011G083100.7.v4.1 pep chromosome:Pop_tri_v4:11:9057716:9063545:-1 gene:Potri.011G083100.v4.1 transcript:Potri.011G083100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083100.v4.1 MEAEEIRRQPCKFSRVGNGINDASRIAQKGNGTDHQYPDDEEDGELKRTNSNNGAGCGGGGIDAAGGTFNGLRAWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAVEWLIKAAQDAINELPSLNHSFPDTPKQLSDEKKTSDSTEQGFDSADVELEDPNFRQNQNLSLSKSACSSTSETSKGSGLSLSRSVSRDKARERARERTAKEKEKENDSRIAHHHNINPISQNSTFTELLTGGIGSVRNNSSSNNNNNSTASPTGSDANNLFQKAAAARQWPSSPMDCFGSGLLGPSSSRSTHHSSGFPGQIQLGNSIPQAMTMSIPPFCVSGENHQEHLQHFPFVSDHLIPVAATTQPPASSGDYNLNFTISSGLAAGYHRGTLQSNSSSPSLLPHLQRFSTSSTIDGSTTNVPFFIGAAAPQAMENHHQFPPGLQLCYGDGTRHSDQKGKGKN >Potri.011G083100.1.v4.1 pep chromosome:Pop_tri_v4:11:9057645:9064781:-1 gene:Potri.011G083100.v4.1 transcript:Potri.011G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083100.v4.1 MEAEEIRRQPCKFSRVGNGINDASRIAQKGNGTDHQYPDDEEDGELKRTNSNNGAGCGGGGIDAAGGTFNGLRAWHHSSRIIRVSRASGGKDRHSKVWTSKGLRDRRVRLSVSTAIQFYDLQDRLGYDQPSKAVEWLIKAAQDAINELPSLNHSFPDTPKQLSDEKKTSDSTEQGFDSADVELEDPNFRQNQNLSLSKSACSSTSETSKGSGLSLSRSVSRDKARERARERTAKEKEKENDSRIAHHHNINPISQNSTFTELLTGGIGSVRNNSSSNNNNNSTASPTGSDANNLFQKAAAARQWPSSPMDCFGSGLLGPSSSRSTHHSSGFPGQIQLGNSIPQAMTMSIPPFCVSGENHQEHLQHFPFVSDHLIPVAATTQPPASSGDYNLNFTISSGLAAGYHRGTLQSNSSSPSLLPHLQRFSTSSTIDGSTTNVPFFIGAAAPQAMENHHQFPPGLQLCYGDGTRHSDQKGKGKN >Potri.004G018000.1.v4.1 pep chromosome:Pop_tri_v4:4:1282452:1283830:-1 gene:Potri.004G018000.v4.1 transcript:Potri.004G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018000.v4.1 MALFARLRLQSILPLRRLHHHHLNFSTSPLSSISKTRVALSRLKTETNPEKILEICRSASLTPEANIDRITFSVAIDKLAKSNNFSYIDELLTELRTSRPDLRTEQFAAHSIVLFGKAGMIDHAIRLFKEYHEKNQNGVVLSSGSVKLLNSLLYSCILAKKYNEVKRVFVDFTKSFKIEPNLDTYNTIIQAFSEGGSSSSCYSILNEMDKKGVKPNTTTIGNLITGFYKEEKYEDVGKVLKMMEEDYGTKAGIDIYNIRIRSLCKLKRSKEAEALLGGCIARGVKPKAVTYRYLIFGFCKEGDLEGAKSLFKSMVNRGCKPDYYCYATLVCFLSKGRDFESAYRICKESMEKKLVPNSSTMKMLVEGLASSGNVEKAKELIGEIKEKFSKNVELWNEVEAGLPQ >Potri.008G152100.1.v4.1 pep chromosome:Pop_tri_v4:8:10441805:10445142:-1 gene:Potri.008G152100.v4.1 transcript:Potri.008G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152100.v4.1 MGKKEQYQQQQRQKDHRDNDRVEAVLKLLRKQATLTVKQEKFCNNACVERFLRAKGDSVKKAAKHLRACLSWRESTGTENLIADEFSAELAEGVAYVAGHDEESRPVMIFRIKQDYQKLHSQKLFARLLVFTLEVAIGTMPKNAEQFVLLFDASFFRSASAFMNLLLGTLKIVAEYYPGRLYKAFVIDPPSLFSCLWKGVRPFVELSTATVVVSSLDFEESLEFNDFSSYPRASSLRFDPSSINSTAKIGSCSSSRFSFTVSHHFDSLKPWHLSLTDTSSSKVGPTSLSHLGPALVSPLNARSYSFASPVARAPRGSINGCSYTRPTRKSLFPSTPLPQRVTEGDPIKISLPRTPRPSFLQSPALFFKKECHVSKAERSRESFVPFFKFYRRPYDEMIYRSKMRPPLGGLISIVSPHIRRRHVSVSQRF >Potri.010G010500.1.v4.1 pep chromosome:Pop_tri_v4:10:1912591:1914089:-1 gene:Potri.010G010500.v4.1 transcript:Potri.010G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010500.v4.1 MDSDLGDPPKEYREGFALSGKIMLSAVVILFLVIILMVCLHFYARWYLIRARRRHIRHARNRRNHLVFYVDSAHNPTIVTTQVTRGLEETVLKSLPVFVYSEKTHQDSMECAVCLSEFQENETGRTLPKCNHSFHIECIDMWFLSHSTCPLCRSPVEPVTENPVQDGPGYEISVPGSGSSSGTMCQHEEGRVGSASTSSFNDRRKQMELIGVTIEVPRRNGNFEDESNTESPSASHAFRSPMSRMLSFKRMLSRERRGTASPNVATSVSCGGESGTTEMDVERGQDESMPQQTRC >Potri.004G140600.2.v4.1 pep chromosome:Pop_tri_v4:4:16298705:16303197:-1 gene:Potri.004G140600.v4.1 transcript:Potri.004G140600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ABF2-1 MDSQWDYKNFGNAPPGQSSVTKPQENPFLIRQSSVYSLTFDEFQNTWGGGLRKDFGSMNMEELLKNIWTAEETQAMTNTLGVGSEGSAPGGNLQRQGSLTLPRTLSQKTVDELWRDLIKETSGAAEDGSGSAGSNLPQRQQTLGETTLEEFLVRAGVVREDTQQIGRPDNSGFFGELSLLNNNNDSSLAIGFQQPNGNNGLMGTWRMENNGNLVANQPPSLTLDAGGIRPTQQLPQSRQLSQQQQLLFPKPAATVAFASPLHLSNNAQLASPGVRRSVVGIADRSVNNGLAHSGGMGIVSLATGGVTIATGSSANRVSPDVIAKSNADTSSLSPVPYVFSRGRKASTALEKVAERRQRRMIKNRESAARSRTLKQAHTQKLEDEVAKLKELNEVLQRKQAEIIEMQQNQFFETKKAQWGGKRQCLRRTLTGPW >Potri.004G140600.12.v4.1 pep chromosome:Pop_tri_v4:4:16298703:16303091:-1 gene:Potri.004G140600.v4.1 transcript:Potri.004G140600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ABF2-1 MDSQWDYKNFGNAPPGQSSVTKPQENPFLIRQSSVYSLTFDEFQNTWGGGLRKDFGSMNMEELLKNIWTAEETQAMTNTLGVGSEGSAPGGNLQRQGSLTLPRTLSQKTVDELWRDLIKETSGAAEDGSGSAGSNLPQRQQTLGETTLEEFLVRAGVVREDTQQIGRPDNSGFFGELSLLNNNNDSSLAIGFQQPNGNNGLMGTWRMENNGNLVANQPPSLTLDAGGIRPTQQLPQSRQLSQQQQLLFPKPAATVAFASPLHLSNNAQLASPGVRRSVVGIADRSVNNGLAHSGGMGIVSLATGGVTIATGSSANRVSPDVIAKSNADTSSLSPVPYVFSRGRKASTALEKVAERRQRRMIKNRESAARSRTLKQAHTQKLEDEVAKLKELNEVLQRKQAEIIEMQQNQFFETKKAQWGGKRQCLRRTLTGPW >Potri.004G140600.14.v4.1 pep chromosome:Pop_tri_v4:4:16298719:16302785:-1 gene:Potri.004G140600.v4.1 transcript:Potri.004G140600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ABF2-1 MDSQWDYKNFGNAPPGQSSVTKPQENPFLIRQSSVYSLTFDEFQNTWGGGLRKDFGSMNMEELLKNIWTAEETQAMTNTLGVGSEGSAPGGNLQRQGSLTLPRTLSQKTVDELWRDLIKETSGAAEDGSGSAGSNLPQRQQTLGETTLEEFLVRAGVVREDTQQIGRPDNSGFFGELSLLNNNNDSSLAIGFQQPNGNNGLMGTWRMENNGNLVANQPPSLTLDAGGIRPTQQLPQSRQLSQQQQLLFPKPAATVAFASPLHLSNNAQLASPGVRRSVVGIADRSVNNGLAHSGGMGIVSLATGGVTIATGSSANRVSPDVIAKSNADTSSLSPVPYVFSRGRKASTALEKVAERRQRRMIKNRESAARSRTLKQAHTQKLEDEVAKLKELNEVLQRKQAEIIEMQQNQFFETKKAQWGGKRQCLRRTLTGPW >Potri.004G140600.10.v4.1 pep chromosome:Pop_tri_v4:4:16298788:16303197:-1 gene:Potri.004G140600.v4.1 transcript:Potri.004G140600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ABF2-1 MDSQWDYKNFGNAPPGQSSVTKPQENPFLIRQSSVYSLTFDEFQNTWGGGLRKDFGSMNMEELLKNIWTAEETQAMTNTLGVGSEGSAPGGNLQRQGSLTLPRTLSQKTVDELWRDLIKETSGAAEDGSGSAGSNLPQRQQTLGETTLEEFLVRAGVVREDTQQIGRPDNSGFFGELSLLNNNNDSSLAIGFQQPNGNNGLMGTWRMENNGNLVANQPPSLTLDAGGIRPTQQLPQSRQLSQQQQLLFPKPAATVAFASPLHLSNNAQLASPGVRRSVVGIADRSVNNGLAHSGGMGIVSLATGGVTIATGSSANRVSPDVIAKSNADTSSLSPVPYVFSRGRKASTALEKVAERRQRRMIKNRESAARSRTLKQLSSIVW >Potri.004G140600.13.v4.1 pep chromosome:Pop_tri_v4:4:16298823:16303197:-1 gene:Potri.004G140600.v4.1 transcript:Potri.004G140600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ABF2-1 MDSQWDYKNFGNAPPGQSSVTKPQENPFLIRQSSVYSLTFDEFQNTWGGGLRKDFGSMNMEELLKNIWTAEETQAMTNTLGVGSEGSAPGGNLQRQGSLTLPRTLSQKTVDELWRDLIKETSGAAEDGSGSAGSNLPQRQQTLGETTLEEFLVRAGVVREDTQQIGRPDNSGFFGELSLLNNNNDSSLAIGFQQPNGNNGLMGTWRMENNGNLVANQPPSLTLDAGGIRPTQQLPQSRQLSQQQQLLFPKPAATVAFASPLHLSNNAQLASPGVRRSVVGIADRSVNNGLAHSGGMGIVSLATGGVTIATGSSANRVSPDVIAKSNADTSSLSPVPYVFSRGRKASTALEKVAERRQRRMIKNRESAARSRTLKQAHTQKLEDEVAKLKELNEVLQRKQAEIIEMQQNQFFETKKAQWGGKRQCLRRTLTGPW >Potri.004G217700.2.v4.1 pep chromosome:Pop_tri_v4:4:22344565:22350021:1 gene:Potri.004G217700.v4.1 transcript:Potri.004G217700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217700.v4.1 MAIASLHNVSVLDSSFLRESQSEVVRRRGDDGRSTRTSSHLQIQQELEDEHVVSHVQGRVSDRLVQHQSDGSSTDLLRVDASDSHSNGQSGSSEGGSAGESEYGQWSPGPIGSENGQEDSSDLGEVGRERVRQIFREWMNSGARECASSISRRNNGSRAEWLGETEQERVRIIREWVQMNTQQRGACVDRREEQGSEVAGQIEQVLDGLAVNHNDGQAENTRRGIRRLCGRQALLDMLKKHERERQSELRGLSEHQVVTQFAHRNRIQSLLRGRFLRNDRIIEDERPASPAASELGLLRQRHTVSDLREGFFSRLDHSVYGQASSNVSDTSSNTDMNGNRSEQIEANNSQQVIDEFYVQTESNTEEIGSLGLLDGRADIEDNIIDETSGQNFTAHSVEGPRQVSDSDVGGRQQLANVAFVERRDGTREEASDHRWGGTNNESSQETVGSEAEEDMQLLSTTEVFSQQSEADRGDSVIPLLSNHLIDLEATANESVNWQESAAPLEQWQNQLLENEGQHSFEASLTSGEWGDGIQDNIDGHQHETAANEWSENEDREGASEVWHEDDGFQEAVHSWLTEPSDLEAAPVGRMDPFYFPDDDNVYSMELRELLSRRSVSTLLRSGFRESLDQLIRSYVERQSHAPLEWELQTAPTPASTEQDLEQQTVDQNESEGNIVQSPSLDLPSRPIRPAEQLWDQESRHYTWPQHDMHPRFGIEWDIVSDLRIDMARLQQRMNNMQRMLEACMDMQLELQRSIQQEVSAALNRSAGSPGICEDSAPEDRTKWDHVRKGICCICCDGSIDSLLYRCGHMCTCSKCANELVQSGEKCPMCRAPVIEVIRAYAVL >Potri.013G047800.2.v4.1 pep chromosome:Pop_tri_v4:13:3404121:3409058:-1 gene:Potri.013G047800.v4.1 transcript:Potri.013G047800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047800.v4.1 MLFQVGGQGTRPTFFEMAAAQQLPASLRAALTYSIGVMALRRPFLHKVLDCEDEFFSLLMLVLETHSLRTTDASFAESLYGLRRRAVKIRVKKEDARPKSGDGIQHSGLEKHQRILSVVFLVVLPYFKSKLHSIYNKEREARLQASLWGNGDETVEDAEYFSGGDASLVSGETLDAEATIRARLTKKIQKIVFSCYPWLHASSEGMSFAYQLLYLLDATGFYSFGLHALGIHVCRATGQELMDTSSRISKIRSNERDRLRGPPWLKTFQGALLSCAYTMLDYAQTGLIAAVFIFKMMEWWYQSAEERMSAPTVYPPPPPPPPPKVAKEGIPLPPDRTICPLCSQMRANPSVVTISGFVFCYACIFKYVSQYNRCPVTLMPANVDHIRRLFHDM >Potri.009G081200.1.v4.1 pep chromosome:Pop_tri_v4:9:7740424:7743814:-1 gene:Potri.009G081200.v4.1 transcript:Potri.009G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081200.v4.1 MATARVMSAATNTTASCLLLKRPFFSLNQKAPYFNNNLRFNTTTKRVSKRLFSCKSIYNPDVQIKEEGQPETLDYRVYFLDNSGKKVSPWHDIPLHLGDGAFNYVVEIPKESSAKMEIATDEQFTPIKQDTKKGKLRYYPYNINWNYGLLPQTWEDPSFANAEVEGAYGDNDPVDVVEIGERRGKIGEILKVKPLAALAMIDEGELDWKIVAISLDDPRASLVNDVDDVEKHFPGTLTAIRDWFRDYKIPDGKPANRFGLGNKAASKDYALKVITETNESWAKLVKRSIPAGGLSLV >Potri.014G078600.1.v4.1 pep chromosome:Pop_tri_v4:14:5085764:5086941:-1 gene:Potri.014G078600.v4.1 transcript:Potri.014G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078600.v4.1 MSPFNLTETSSFHTIEITVLSCEDLRINGRSVKKNTCVVVRTDPLNFRETTTDTEGGSYPSWDQKLTLDMSIRETCITLEVHCRTLSVDRIIGSARMPVSDFMGGYFPEGYLSFLSYRLKDTKGFENGIINVSVRLRAPEYLARKKKVILPEDKCSTSRPALALPAMDGKNYDGVDWYSCSKY >Potri.006G004600.2.v4.1 pep chromosome:Pop_tri_v4:6:343555:345906:-1 gene:Potri.006G004600.v4.1 transcript:Potri.006G004600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004600.v4.1 MGEQLGLLKVTVVLGRRLVIRDFKTSDPYVVLKLGNQTAKTKVINSCLNPVWNEELSFSLREPVGVLSLEVFDKDRFKADDKMGHAHLNLQPIASAARLKQFAKVSSGETILRKVVPDTDNCLARESSISCINGEVVQSVWLRLCAVESGEIELKIKLIDPPVASSK >Potri.006G004600.3.v4.1 pep chromosome:Pop_tri_v4:6:342716:345906:-1 gene:Potri.006G004600.v4.1 transcript:Potri.006G004600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004600.v4.1 MGEQLGLLKVTVVLGRRLVIRDFKTSDPYVVLKLGNQTAKTKVINSCLNPVWNEELSFSLREPVGVLSLEVFDKDRFKADDKMGHAHLNLQPIASAARLKQFAKVSSGETILRKVVPDTDNCLARESSISCINGEVVQSVWLRLCAVESGEIELKIKLIDPPVASSK >Potri.018G041400.1.v4.1 pep chromosome:Pop_tri_v4:18:3238315:3241622:-1 gene:Potri.018G041400.v4.1 transcript:Potri.018G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G041400.v4.1 MITEPLLSSPKGGIRALFFIIANEALERLASFGLSTNMILYLTREYGMDAASGAQILFLYSAAGNFMPIIGAFLADTYVGRYPMIGFGCIASLLGMVLLWLTTIIPGATVPSCAQFSSICNNDATTPQLLFLYFCLGLMSIGAGGIRSCSLAFGADQLSKRDSLKHAGILESFFSWYYVISSASVFISMTCVVYIQEAMGWKVGFGVPVVLMILSTLSFFLASSIYVKPKAKASWLIGFARVLVASFRKRRIELSSLDTDELYHHRKGSALVVPSERIRFLNKACVIKNPEEDLMPDGRASDPWSLCTVDQVEELKALIKVIPIWSTGVLVSVNVCQNSFLLLQASTMNRHITSKFEIPAGSFYAFLLLSATMWIALYDRVIIPLASKITGKPTRLGLKQKIGIGILVSAASMAVLAIIERVRREIAIREGISDIPDAVTHMSAMWLLPFYFLLGFSEAMNGVGLNEFFYTELPKSMSSVASNLFSIGLSAASLVASFIVSNVRGFIGEANQESWVSSNINKGHYDYYYWLLSSLGFANFIYYLACSKAYGPCKGGQRGITGDVREGLIDDDDDDDDDYDDDVV >Potri.015G128600.1.v4.1 pep chromosome:Pop_tri_v4:15:13967326:13969887:1 gene:Potri.015G128600.v4.1 transcript:Potri.015G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128600.v4.1 MDLNPIKDAFDRVAKKQKMSGSKTQEVVAQMILEIENSLEIIKAEHFGSEVDCKSVFGELKKKLLEIAPLSQLEGTQKELNIALSKYPKQLEKSFNPDIAKAYRNIDFDAHTVNQIIAGHFYRQGLFDVGDCFINEANVPESTAAMKSLFSEMYLILEAMKNKNLEPALNWATANSNKLKENGSDLLLKLHCLQFVEILQGGSRSKALSYVRTHISPFGANHFSEIQKLMACLLWSGRLHHSPYSDLLSPTNWNVVAEELTRQFCNLLGQSFDSPLSVTIAAGFQGLPPLLKFMNVMAGKKHEWQSMKQLPVPVELDREFQFHSIFVCPVLKEQSTDENPPMLMQCGHVLCKQSINKMSKNGSKTFKCPYCPSDIDSTQCRQLHF >Potri.006G201700.1.v4.1 pep chromosome:Pop_tri_v4:6:20916675:20918084:-1 gene:Potri.006G201700.v4.1 transcript:Potri.006G201700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201700.v4.1 MTRKKVKLTWIVNDAARKASLKKRRVGLLKKVSELTILCGIEAFVIIYSPDEPEPAVWPSRPEVRQLLMRFQNMPDMERYKKMTNQESYLKERMAKLNDQSRKHLKTNRELEMAGLMQQVYQDKGFDGLDQTQLRGLTLLVEEKMKEIRKRVEYFQQIPPLQPGAFPPGPFPSNHQDPNKDDGGDDDGTSGQAGGESRDGRNNPKDGGASWDHWFTDIINNPEHNVAGGSSARNVLGRPHLINFTGVSSGGGPDIGFHPGHNGGGGSSFRDIVGLGLPHSRNMGGIRGGHSFDLGQLPHENESLRGSSSAGGNNFDLRLPLGSNMTGGNNAGNSFDHWLPRGLGFNPGGNNSVGHNFDLDLPQGNDVGGNSTGGNNFDLWLPHVNNMGRNSAVVNNVDPWLARGDMGGENLGLGLLPGSDFAGSSTGGNPFHGLQGMHRRGYSGGGAGSASGSDLGLPGSFGAGSRDIG >Potri.017G065250.1.v4.1 pep chromosome:Pop_tri_v4:17:7474148:7474531:-1 gene:Potri.017G065250.v4.1 transcript:Potri.017G065250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065250.v4.1 MCTDFSIISWNVCGGTNKIRKRHHKELARRYRPSLFALLEIHVNFLSGYTLVAFSKAQGYAGGIWIISSISYVSLSTLDITRQCVTIQVSMGSSSWFLSAVYISPIPGVQLQLWDYLNTIKLKVQGL >Potri.013G028300.1.v4.1 pep chromosome:Pop_tri_v4:13:1854201:1855753:1 gene:Potri.013G028300.v4.1 transcript:Potri.013G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028300.v4.1 MDSVVQLQRQLVDYSAQLFNEGFLDDQFNQLQQLQDESNPDFVVEVVTLFFEDSERLLDELAKALEQQSVDYRKIDAHVHQLKGSSSSIGAQRVQKVCIGFRNFCEERNIEGCQKCLQQVRHEYSLVKTKLETLFKLEQKILAAGGSIPWPM >Potri.014G167700.1.v4.1 pep chromosome:Pop_tri_v4:14:12205738:12206924:1 gene:Potri.014G167700.v4.1 transcript:Potri.014G167700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167700.v4.1 MKLQNKVLEQMASPLCFFKIFSLVLPLMFALAPVLILAGFLGYGLLSIFLTSTALILSSLILTFSKQKQTQVQKSVTDEIVMPDQASTSPYIEDVISKPLVLEKEFITEEEEEEEEEEEGGGGGGGGRGQVDEYLVRSSQVITSESDCLGRSSTSEDSEVEWLFQDKMFQSRECSDGSISDEDSLIEISLPGGHYICDHEEDEVIYNLQNKLPDFAPGSFFKQHGLMELLAELNEMNEEENLIEIDISMGSIKCPRFEIEA >Potri.012G022200.5.v4.1 pep chromosome:Pop_tri_v4:12:2265885:2270135:1 gene:Potri.012G022200.v4.1 transcript:Potri.012G022200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022200.v4.1 MEEIEKGNKGGSEERWKGAVDNLTEMTSNLDSLQKLLVKKAVFVDDETFSKASLTSEQARTIKVLEQRVETLERGLDAAISAAARARAEKRQAEAAQKAAELRAQEITRELENTTKVFELHMEELRAKQDEISKRDGDIKLLEAIIQTLGGKESHSLNG >Potri.004G123600.13.v4.1 pep chromosome:Pop_tri_v4:4:11912020:11922193:1 gene:Potri.004G123600.v4.1 transcript:Potri.004G123600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123600.v4.1 MATTSSHFSPTSHWCSNGSSISRLVDFGSKWRRKQQLFSMNSRRVVKRRSVSVSIKNVSSSEPKQKLKDDALIEEEVPRILNPSTPNASSIASSIKYHAEFTPLFSPERFELPKAYYATAQSVRDALIINWNSTYESYERLNAKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGHSLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEILRNDISYPIKFYGKVVSGSDGKKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKAPSEDLDLYAFNAGDHTKAYEALSNAEKICHVLYPGDDSLEGKILRLKQQYTLCSASLQDIISCFERRSGSNIDWEKFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIELIDEELICTIVSEYGTEDSDLLEKKLKEMRILENVDLPSAFAELIVKPKQSSVGVTSEELENSDEETKLVYNSEEETLSKRANDFEEETKRANDLEEETKRANDLEEETKRANDFEEEMELVDEKDESKSKVTQKKEKIMAEPPPKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPDKFQNKTNGVTPRRWIHFCNPGLSKIITDWIGMDDWVLNTEKLAELRKFSDNEDLQVQWKAAKRSNKMKVISFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAAERKAKYVPRVCIFGGKAFSTYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIAGLRKERADGEFVPDPSFEEVKDFVKSGVFGPCNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEEVDKAYHDQKTWTKMSIMNTAGSYKFSSDRTIHEYAREIWNIEPVELP >Potri.004G123600.10.v4.1 pep chromosome:Pop_tri_v4:4:11911864:11922189:1 gene:Potri.004G123600.v4.1 transcript:Potri.004G123600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123600.v4.1 MATTSSHFSPTSHWCSNGSSISRLVDFGSKWRRKQQLFSMNSRRVVKRRSVSVSIKNVSSSEPKQKLKDDALIEEEEVPRILNPSTPNASSIASSIKYHAEFTPLFSPERFELPKAYYATAQSVRDALIINWNSTYESYERLNAKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGHSLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEILRNDISYPIKFYGKVVSGSDGKKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKAPSEDLDLYAFNAGDHTKAYEALSNAEKICHVLYPGDDSLEGKILRLKQQYTLCSASLQDIISCFERRSGSNIDWEKFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIELIDEELICTIVSEYGTEDSDLLEKKLKEMRILENVDLPSAFAELIVKPKQSSVGVTSEELENSDEETKLVYNSEEETLSKRANDFEEETKRANDLEEETKRANDLEEETKRANDFEEEMELVDEKDESKSKVTQKKEKIMAEPPPKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPDKFQNKTNGVTPRRWIHFCNPGLSKIITDWIGMDDWVLNTEKLAELRKFSDNEDLQVQWKAAKRSNKMKVISFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAAERKAKYVPRVCIFGGKAFSTYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIAGLRKERADGEFVPDPSFEEVKDFVKSGVFGPCNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEEVDKAYHDQKTWTKMSIMNTAGSYKFSSDRTIHEYAREIWNIEPVELP >Potri.004G123600.7.v4.1 pep chromosome:Pop_tri_v4:4:11911864:11922236:1 gene:Potri.004G123600.v4.1 transcript:Potri.004G123600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123600.v4.1 MATTSSHFSPTSHWCSNGSSISRLVDFGSKWRRKQQLFSMNSRRVVKRRSVSVSIKNVSSSEPKQKLKDDALIEEEEVPRILNPSTPNASSIASSIKYHAEFTPLFSPERFELPKAYYATAQSVRDALIINWNSTYESYERLNAKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGHSLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEILRNDISYPIKFYGKVVSGSDGKKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKAPSEDLDLYAFNAGDHTKAYEALSNAEKICHVLYPGDDSLEGKILRLKQQYTLCSASLQDIISCFERRSGSNIDWEKFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIELIDEELICTIVSEYGTEDSDLLEKKLKEMRILENVDLPSAFAELIVKPKQSSVGVTSEELENSDEETKLVYNSEEETLSKRANDFEEETKRANDLEEETKRANDLEEETKRANDFEEEMELVDEKDESKSKVTQKKEKIMAEPPPKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPDKFQNKTNGVTPRRWIHFCNPGLSKIITDWIGMDDWVLNTEKLAELRKFSDNEDLQVQWKAAKRSNKMKVISFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAAERKAKYVPRVCIFGGKAFSTYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIAGLRKERADGEFVPDPSFEEVKDFVKSGVFGPCNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEEVDKAYHDQKTWTKMSIMNTAGSYKFSSDRTIHEYAREIWNIEPVELP >Potri.004G123600.6.v4.1 pep chromosome:Pop_tri_v4:4:11911864:11922242:1 gene:Potri.004G123600.v4.1 transcript:Potri.004G123600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123600.v4.1 MATTSSHFSPTSHWCSNGSSISRLVDFGSKWRRKQQLFSMNSRRVVKRRSVSVSIKNVSSSEPKQKLKDDALIEEEEVPRILNPSTPNASSIASSIKYHAEFTPLFSPERFELPKAYYATAQSVRDALIINWNSTYESYERLNAKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGHSLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEILRNDISYPIKFYGKVVSGSDGKKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKAPSEDLDLYAFNAGDHTKAYEALSNAEKICHVLYPGDDSLEGKILRLKQQYTLCSASLQDIISCFERRSGSNIDWEKFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIELIDEELICTIVSEYGTEDSDLLEKKLKEMRILENVDLPSAFAELIVKPKQSSVGVTSEELENSDEETKLVYNSEEETLSKRANDFEEETKRANDLEEETKRANDLEEETKRANDFEEEMELVDEKDESKSKVTQKKEKIMAEPPPKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPDKFQNKTNGVTPRRWIHFCNPGLSKIITDWIGMDDWVLNTEKLAELRKFSDNEDLQVQWKAAKRSNKMKVISFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAAERKAKYVPRVCIFGGKAFSTYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIAGLRKERADGEFVPDPSFEEVKDFVKSGVFGPCNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEEVDKAYHDQKTWTKMSIMNTAGSYKFSSDRTIHEYAREIWNIEPVELP >Potri.004G123600.12.v4.1 pep chromosome:Pop_tri_v4:4:11911904:11922187:1 gene:Potri.004G123600.v4.1 transcript:Potri.004G123600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123600.v4.1 MATTSSHFSPTSHWCSNGSSISRLVDFGSKWRRKQQLFSMNSRRVVKRRSVSVSIKNVSSSEPKQKLKDDALIEEEEVPRILNPSTPNASSIASSIKYHAEFTPLFSPERFELPKAYYATAQSVRDALIINWNSTYESYERLNAKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGHSLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEILRNDISYPIKFYGKVVSGSDGKKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKAPSEDLDLYAFNAGDHTKAYEALSNAEKICHVLYPGDDSLEGKILRLKQQYTLCSASLQDIISCFERRSGSNIDWEKFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIELIDEELICTIVSEYGTEDSDLLEKKLKEMRILENVDLPSAFAELIVKPKQSSVGVTSEELENSDEETKLVYNSEEETLSKRANDFEEETKRANDLEEETKRANDLEEETKRANDFEEEMELVDEKDESKSKVTQKKEKIMAEPPPKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPDKFQNKTNGVTPRRWIHFCNPGLSKIITDWIGMDDWVLNTEKLAELRKFSDNEDLQVQWKAAKRSNKMKVISFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAAERKAKYVPRVCIFGGKAFSTYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIAGLRKERADGEFVPDPSFEEVKDFVKSGVFGPCNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEEVDKAYHDQKTWTKMSIMNTAGSYKFSSDRTIHEYAREIWNIEPVELP >Potri.004G123600.8.v4.1 pep chromosome:Pop_tri_v4:4:11911864:11922192:1 gene:Potri.004G123600.v4.1 transcript:Potri.004G123600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123600.v4.1 MATTSSHFSPTSHWCSNGSSISRLVDFGSKWRRKQQLFSMNSRRVVKRRSVSVSIKNVSSSEPKQKLKDDALIEEEEVPRILNPSTPNASSIASSIKYHAEFTPLFSPERFELPKAYYATAQSVRDALIINWNSTYESYERLNAKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGHSLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEILRNDISYPIKFYGKVVSGSDGKKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKAPSEDLDLYAFNAGDHTKAYEALSNAEKICHVLYPGDDSLEGKILRLKQQYTLCSASLQDIISCFERRSGSNIDWEKFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIELIDEELICTIVSEYGTEDSDLLEKKLKEMRILENVDLPSAFAELIVKPKQSSVGVTSEELENSDEETKLVYNSEEETLSKRANDFEEETKRANDLEEETKRANDLEEETKRANDFEEEMELVDEKDESKSKVTQKKEKIMAEPPPKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPDKFQNKTNGVTPRRWIHFCNPGLSKIITDWIGMDDWVLNTEKLAELRKFSDNEDLQVQWKAAKRSNKMKVISFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAAERKAKYVPRVCIFGGKAFSTYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIAGLRKERADGEFVPDPSFEEVKDFVKSGVFGPCNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEEVDKAYHDQKTWTKMSIMNTAGSYKFSSDRTIHEYAREIWNIEPVELP >Potri.004G123600.11.v4.1 pep chromosome:Pop_tri_v4:4:11911869:11922188:1 gene:Potri.004G123600.v4.1 transcript:Potri.004G123600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123600.v4.1 MATTSSHFSPTSHWCSNGSSISRLVDFGSKWRRKQQLFSMNSRRVVKRRSVSVSIKNVSSSEPKQKLKDDALIEEEEVPRILNPSTPNASSIASSIKYHAEFTPLFSPERFELPKAYYATAQSVRDALIINWNSTYESYERLNAKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGHSLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEILRNDISYPIKFYGKVVSGSDGKKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKAPSEDLDLYAFNAGDHTKAYEALSNAEKICHVLYPGDDSLEGKILRLKQQYTLCSASLQDIISCFERRSGSNIDWEKFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIELIDEELICTIVSEYGTEDSDLLEKKLKEMRILENVDLPSAFAELIVKPKQSSVGVTSEELENSDEETKLVYNSEEETLSKRANDFEEETKRANDLEEETKRANDLEEETKRANDFEEEMELVDEKDESKSKVTQKKEKIMAEPPPKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPDKFQNKTNGVTPRRWIHFCNPGLSKIITDWIGMDDWVLNTEKLAELRKFSDNEDLQVQWKAAKRSNKMKVISFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAAERKAKYVPRVCIFGGKAFSTYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIAGLRKERADGEFVPDPSFEEVKDFVKSGVFGPCNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEEVDKAYHDQKTWTKMSIMNTAGSYKFSSDRTIHEYAREIWNIEPVELP >Potri.004G123600.9.v4.1 pep chromosome:Pop_tri_v4:4:11911864:11922191:1 gene:Potri.004G123600.v4.1 transcript:Potri.004G123600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123600.v4.1 MATTSSHFSPTSHWCSNGSSISRLVDFGSKWRRKQQLFSMNSRRVVKRRSVSVSIKNVSSSEPKQKLKDDALIEEEEVPRILNPSTPNASSIASSIKYHAEFTPLFSPERFELPKAYYATAQSVRDALIINWNSTYESYERLNAKQAYYLSMEFLQGRALLNAIGNLELTGAYAEALSKLGHSLENVACQEPDAALGNGGLGRLASCFLDSLATLNYPAWGYGLRYKYGLFKQQITKDGQEEVAEDWLEMGNPWEILRNDISYPIKFYGKVVSGSDGKKHWIGGEDIKAVAYDVPIPGYKTKTTINLRLWSTKAPSEDLDLYAFNAGDHTKAYEALSNAEKICHVLYPGDDSLEGKILRLKQQYTLCSASLQDIISCFERRSGSNIDWEKFPEKVAVQMNDTHPTLCIPELMRILIDLKGLSWKEAWNITQRTVAYTNHTVLPEALEKWSLELMQKLLPRHVEIIELIDEELICTIVSEYGTEDSDLLEKKLKEMRILENVDLPSAFAELIVKPKQSSVGVTSEELENSDEETKLVYNSEEETLSKRANDFEEETKRANDLEEETKRANDLEEETKRANDFEEEMELVDEKDESKSKVTQKKEKIMAEPPPKPPKMVRMANLAVVGGHAVNGVAEIHSEIVKDEVFNAFYKLWPDKFQNKTNGVTPRRWIHFCNPGLSKIITDWIGMDDWVLNTEKLAELRKFSDNEDLQVQWKAAKRSNKMKVISFLKEKTGYSVSPDAMFDIQVKRIHEYKRQLLNILGIVYRYKKMKEMTAAERKAKYVPRVCIFGGKAFSTYVQAKRIVKFITDVGATVNHDPEIGDLLKVVFVPDYNVSVAELLIPASELSQHISTAGMEASGTSNMKFAMNGCILIGTLDGANVEIREEVGEDNFFLFGARAHEIAGLRKERADGEFVPDPSFEEVKDFVKSGVFGPCNYDELIGSLEGNEGFGRADYFLVGKDFPSYIECQEEVDKAYHDQKTWTKMSIMNTAGSYKFSSDRTIHEYAREIWNIEPVELP >Potri.014G025600.1.v4.1 pep chromosome:Pop_tri_v4:14:1583437:1585040:1 gene:Potri.014G025600.v4.1 transcript:Potri.014G025600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025600.v4.1 MNPTTVTHISEYFIKPKHIVDEATQPYYLAPWELAMLSSHYIQKGLLFAKPPQTENQLGFKIMDFLEDLKQSLSLTLVHFYPLAGRLATSKSENPPSYVVFVDCNNSPGARFTYATVDLTASDVLSPTYVPLVVQSFFDHDRALNHDGHTKSLLTIQVTELIDGIFIGCSVNHSIVDGSSFWHFFNMWSEIFQGKGDDVSISRPPVLNRWFPDGHGPALSLPFTHHDQFLRPFEAPLLKERMFHFSSESIARLKAKANAEFNTNKISSFQSLSALVWRCITRARNLPRDQVTCCRLATNNRSRLNPPLSPDYFGCSIQALRAGVSTAGEVLEQNLGWTAWQLHQAVVSHGDEKAREFLNLWLKSPFINHIGKLFDPHSVMMGSSPRFNKYGNEFGLGKALALRSGYALKFSGKASAYPGHEGGGSIELEICLSPDEMTAIESDKEFMDVVSPSPC >Potri.006G047200.1.v4.1 pep chromosome:Pop_tri_v4:6:3218954:3220568:-1 gene:Potri.006G047200.v4.1 transcript:Potri.006G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047200.v4.1 MAMANQQQHQPCHGITEQAQVVVVMVPFPAQGHLNQLLQLSRLVLSYNIPVHYVGATTHNRQAKQRVHGWDPDAAASIHFHDIEIPPFHCPPPNPNAKIKFPSHLQPAFNASSHLTEPVSMLVRALSCKARKIIVIHDSLMGSVIQEARLLPNVESYIFHSVSAFTVSSYAWEQQGKNIIEDNELFPQDIPSLEGCFTAEFADFFARQSNYQKFNTGCVYNTCKLVEGAYTDLLEKETAKEGIKHWALGPFNPVTIPERSEKKRFCLDWLDKHARNSVIYVSFGTTTTLDDEQIKELAIGLRESKQKFIWALRDADKGDVFNGEERRAELPEGYEDSVDGIGLVLRDWAPQLEILAHPATGGIMSHCGWNSCMESITMGVPIAAWPMHSDQPRNAVLITKILKIGVVVKEWELRDEIVTSKIVESAVKKLMASTEGDEMRRRAEEMGESVRVSAAEGGVSRMEMESFVAHITS >Potri.005G116400.2.v4.1 pep chromosome:Pop_tri_v4:5:8481022:8485631:1 gene:Potri.005G116400.v4.1 transcript:Potri.005G116400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116400.v4.1 MKATKAAATKKTPAGRKPAAAKAARDSATEETPVPKTPGNAKQTQNNDMIPLPITGSDSKLEQSPGTNVHMDVSMATLETTKPLPIKKKVVRRVVKVVKKTPANAKVLSEQAPKTVIATKSKVEESSKEEELAAENVGESLKKEEVTMKVENTKVESVAVSVQEEEGVEEPVLDSSKDDGAISDLVWDSVTKKQTAVEELVKVSRNGEPDNGGKGVDVKEDERKDMDDEVKPINEAVKMEETLKDEVRREEAQPEQDEYGGDDGYEEYGDRVDFEDPGEDDFEDPDEPAEEADAMEDERRELTAVAKERKIKKEYEIFVGGLDRDATEEDLRKVFEKIGEVVEVRLHKNLSTNRNKGYAFVKFASKEHVKRALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIRQKLKDYGVEGVENITVVSDAQHEGRSRGFAFLEFACHADAMLAYKRLQKPDVVFGHPERTAKVAFAEPIREPDPEIMAQVKTIFLDGLPPHWDEDRVRECLKGYGEIVRVVLARNMSTAKRKDFGFVDFSTHDDAVACIEGINNREFGNGNTKTRVKARLSNPLPKTQAVKGGMCGGFRIGHSGSGNFSRFGRGFGRGGRHSNWANFQRGRGFYQRGRGQTSRMVPHEYDYNDRYDMLHGRQGGRRGTFRGGYHTAGRGMTAGPSRSNMNRAWHDIPERGHRDHVSSRRQPFSPEEAFDRRFVGRHFDDPYFYDDGPHGMKRPFYMTDQDPDYMEPSRLRPRLDYSDPRLDYADPAASFRGTHYRDAYGAGSEPYFHEYRGSDYASYPPYYGSDHSYGGGYRY >Potri.005G116400.1.v4.1 pep chromosome:Pop_tri_v4:5:8481028:8488242:1 gene:Potri.005G116400.v4.1 transcript:Potri.005G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116400.v4.1 MKATKAAATKKTPAGRKPAAAKAARDSATEETPVPKTPGNAKQTQNNDMIPLPITGSDSKLEQSPVEGTNVHMDVSMATLETTKPLPIKKKVVRRVVKVVKKTPANAKVLSEQAPKTVIATKSKVEESSKEEELAAENVGESLKKEEVTMKVENTKVESVAVSVQEEEGVEEPVLDSSKDDGAISDLVWDSVTKKQTAVEELVKVSRNGEPDNGGKGVDVKEDERKDMDDEVKPINEAVKMEETLKDEVRREEAQPEQDEYGGDDGYEEYGDRVDFEDPGEDDFEDPDEPAEEADAMEDERRELTAVAKERKIKKEYEIFVGGLDRDATEEDLRKVFEKIGEVVEVRLHKNLSTNRNKGYAFVKFASKEHVKRALSEMKNPVIRGKRCGTAPSEDNDTLFLGNICNTWTKEAIRQKLKDYGVEGVENITVVSDAQHEGRSRGFAFLEFACHADAMLAYKRLQKPDVVFGHPERTAKVAFAEPIREPDPEIMAQVKTIFLDGLPPHWDEDRVRECLKGYGEIVRVVLARNMSTAKRKDFGFVDFSTHDDAVACIEGINNREFGNGNTKTRVKARLSNPLPKTQAVKGGMCGGFRIGHSGSGNFSRFGRGFGRGGRHSNWANFQRGRGFYQRGRGQTSRMVPHEYDYNDRYDMLHGRQGGRRGTFRGGYHTAGRGMTAGPSRSNMNRAWHDIPERGHRDHVSSRRQPFSPEEAFDRRFVGRHFDDPYFYDDGPHGMKRPFYMTDQDPDYMEPSRLRPRLDYSDPRLDYADPAASFRGTHYRDAYGAGSEPYFHEYRGSDYASYPPYYGSDHSYGGGYRY >Potri.010G090700.1.v4.1 pep chromosome:Pop_tri_v4:10:11567327:11568605:1 gene:Potri.010G090700.v4.1 transcript:Potri.010G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090700.v4.1 MISLETVQATSRSIEPSSPRISFSADFLHDKNFIPISPNPQAEKDGEAEREKARNAEFEFLSSKMSSQTMLTADELFFEGRLLPFWQMQHSEKLNKISLKTKEAEEGEGEEMSKEEPRVWFVDEDPSPRPPKCTVLWKELLRLKKQRASSLSPSSSSSSTSSTSSSALADIVTKEGKHGSWNREKHVKRIKKGLERTRSASIRIRPMINVPICTPVKSSALPPLFPLKKGRLER >Potri.007G003100.3.v4.1 pep chromosome:Pop_tri_v4:7:205333:210194:-1 gene:Potri.007G003100.v4.1 transcript:Potri.007G003100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003100.v4.1 MTDGGPRYTVDDAILAMGFGKFQYFVLLYAGMGWVSEAMEMMILSFIGPAVKSDWNLTSQQESLITSVVFAGMLVGAYSWGVVSDRYGRRKGFLVTAIITSGAGFLSAFAPNYIALLVFRCLVGLGLGGGPVLFAWFLEFVPAPNRGMWMVIISAFWTFGAIFEASLAWIIMPRLNWRWLLAVSALPSFFLLIFYVMTPESPRYFCLKGQKGDALRVLEKIAKQNRKELPLGALATDNEIELQGKNIPIEGMVSLPASRDGDVAPLHPPPKWKDSDMGVLKSLLLLISPKLARSTVLLWVVFFGNAFSYYGLVLLTTELNNRNNTCNHTKAQSDKSSAVDYKEVFITSFAEFPGLIVSALIVDRIGRKLSMAALFFVACIFLLPLVVHQSPRITTILLFGARICITGTFTIVFIYAPEIYPTSVRSTGMGVASAMGRIGGMICPLVAVSLVQGCHQTAALVLFVCVMFVAGCCVMLFPHETKGLELTESVSSTKNEKPKAVKQQEP >Potri.007G003100.10.v4.1 pep chromosome:Pop_tri_v4:7:205333:207715:-1 gene:Potri.007G003100.v4.1 transcript:Potri.007G003100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003100.v4.1 MPRLNWRWLLAVSALPSFFLLIFYVMTPESPRYFCLKGQKGDALRVLEKIAKQNRKELPLGALATDNEIELQGKNIPIEGMVSLPASRDGDVAPLHPPPKWKDSDMGVLKSLLLLISPKLARSTVLLWVVFFGNAFSYYGLVLLTTELNNRNNTCNHTKAQSDKSSAVDYKEVFITSFAEFPGLIVSALIVDRIGRKLSMAALFFVACIFLLPLVVHQSPRITTILLFGARICITGTFTIVFIYAPEIYPTSVRSTGMGVASAMGRIGGMICPLVAVSLVQGCHQTAALVLFVCVMFVAGCCVMLFPHETKGLELTESVSSTKNEKPKAVKQQEP >Potri.007G003100.1.v4.1 pep chromosome:Pop_tri_v4:7:205222:210601:-1 gene:Potri.007G003100.v4.1 transcript:Potri.007G003100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003100.v4.1 MSKQPHKNKLHLEEQEDRSAFIKMTDGGPRYTVDDAILAMGFGKFQYFVLLYAGMGWVSEAMEMMILSFIGPAVKSDWNLTSQQESLITSVVFAGMLVGAYSWGVVSDRYGRRKGFLVTAIITSGAGFLSAFAPNYIALLVFRCLVGLGLGGGPVLFAWFLEFVPAPNRGMWMVIISAFWTFGAIFEASLAWIIMPRLNWRWLLAVSALPSFFLLIFYVMTPESPRYFCLKGQKGDALRVLEKIAKQNRKELPLGALATDNEIELQGKNIPIEGMVSLPASRDGDVAPLHPPPKWKDSDMGVLKSLLLLISPKLARSTVLLWVVFFGNAFSYYGLVLLTTELNNRNNTCNHTKAQSDKSSAVDYKEVFITSFAEFPGLIVSALIVDRIGRKLSMAALFFVACIFLLPLVVHQSPRITTILLFGARICITGTFTIVFIYAPEIYPTSVRSTGMGVASAMGRIGGMICPLVAVSLVQGCHQTAALVLFVCVMFVAGCCVMLFPHETKGLELTESVSSTKNEKPKAVKQQEP >Potri.010G137000.1.v4.1 pep chromosome:Pop_tri_v4:10:15170569:15172898:1 gene:Potri.010G137000.v4.1 transcript:Potri.010G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137000.v4.1 MTTTTTTKQPPHVLVFPYPALGHTLPLLDLTHQLSLHNLTITILTTPKNLPTVSPLLSTHPQIHTLVLPFPSHPLIPAGVENVKELGNSGNLAIIAASTKLSEPITLWFKSHTNPPVAIISDFFLGWTQHLAQHLNIRGFAFYPSAAFFAGILNYCWGNLESVKVLDVVDFVDLPRSPSFKEEHLPSVFRKYRESDPDCQLVKDSLVANKLSYGFIFNSFESLEGEYLGFLKREFGHERVYAVGPINLLGPESTDRGNPVTDSSGNVFKWLDGCPDESVLYVCFGSQKLLNKKQMEALADGLEKSMVRFIWVVKTGTAQQVEDGYGVVPDGFDERLAGRGLVIRGWAPQVKILSHRAVGWFLSHCGWNSMLEGIVAGAMILAWPMEADQFIDARLLVEELGAGVGACEGTATVPDSEELAKVIGESMSEKGAGVKMKAKELRRKALEAVKEGGSSLNDLNGLIEELCKLKIQ >Potri.002G068501.1.v4.1 pep chromosome:Pop_tri_v4:2:4746490:4747215:-1 gene:Potri.002G068501.v4.1 transcript:Potri.002G068501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068501.v4.1 MPSTDMISVGVTQPELMLLPVIEHLPLSSSETKAKLDQIKVLSFEKADALNFGG >Potri.005G032700.3.v4.1 pep chromosome:Pop_tri_v4:5:2166182:2170763:1 gene:Potri.005G032700.v4.1 transcript:Potri.005G032700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032700.v4.1 MSLNIYVPRDERFGHLKMSDFLAYALKSVAQFIRPELEALCDSTPNEFDSFDDVLDLYEGGFKLPDGPLLENLTKNIPVEMLKEIIPTDGEGLFRFPKPQVIQESNSAWRTDEEFGREMLSGVNPVIIRRLEEFPPKSKLDSKLYGDQNSTITEEHIKDSLDGLSIDEAIEKNRMFILDHHDALMPYLRRINTTTTKTYASRTLLFLKDDGTLKPLVIELSLPHEEGDEFGAISKVYTPAEHGVEGSIWDLAKAYVAVNDSGYHQLISHFLNTHAVSEPFVIATNRQLSVLHPIYKLLEPHFRDTMNINALARQTLINAGGILESTVYPAKYAMEMSSVIYRNWNFTEQALPEDLKKRGVAVEDPKSPHGVRLLIEDYPYAVDGLEIWSAIKEWVRDYCSFYYKNDEMIQKDSELQSWWKEVREEGHGDLKDAPWWPKMLTREELIDSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEEGSPEYEELKSNPDKAFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTHEWTADKKPLEAFEKFGKKLAEIEDKMLDMNKAGKWKNRVGPVEVPYTLLVPTSEGGLTGRGIPNSVSL >Potri.005G032700.1.v4.1 pep chromosome:Pop_tri_v4:5:2166182:2170763:1 gene:Potri.005G032700.v4.1 transcript:Potri.005G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032700.v4.1 MLHSIIDAITGDHSNGTKKMVKKIKGTVVLMKKNVLDFNDFNASVLDRVHEFLGQRVSLQLVSAVNSDPSENDLKGKLGEPAYLEEWITTITSLTAGESAFKVTFDWDEEIGVPGAFLIRNNHHSEFYLKTVTLEDVPGQGRVHFVCNSWIYPTTRYNYDRVFFTNQNYLPHETPAPLRKYREEELVKLRGDGKGELKEWDRVYDYAYYNDLGDPDKGAKYARPVLGGSSEYPYPRRGRTGRAPAKSDPNTESRQPLLMSLNIYVPRDERFGHLKMSDFLAYALKSVAQFIRPELEALCDSTPNEFDSFDDVLDLYEGGFKLPDGPLLENLTKNIPVEMLKEIIPTDGEGLFRFPKPQVIQESNSAWRTDEEFGREMLSGVNPVIIRRLEEFPPKSKLDSKLYGDQNSTITEEHIKDSLDGLSIDEAIEKNRMFILDHHDALMPYLRRINTTTTKTYASRTLLFLKDDGTLKPLVIELSLPHEEGDEFGAISKVYTPAEHGVEGSIWDLAKAYVAVNDSGYHQLISHFLNTHAVSEPFVIATNRQLSVLHPIYKLLEPHFRDTMNINALARQTLINAGGILESTVYPAKYAMEMSSVIYRNWNFTEQALPEDLKKRGVAVEDPKSPHGVRLLIEDYPYAVDGLEIWSAIKEWVRDYCSFYYKNDEMIQKDSELQSWWKEVREEGHGDLKDAPWWPKMLTREELIDSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEEGSPEYEELKSNPDKAFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTHEWTADKKPLEAFEKFGKKLAEIEDKMLDMNKAGKWKNRVGPVEVPYTLLVPTSEGGLTGRGIPNSVSL >Potri.008G157750.1.v4.1 pep chromosome:Pop_tri_v4:8:10817793:10819124:-1 gene:Potri.008G157750.v4.1 transcript:Potri.008G157750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157750.v4.1 MATSTVMQTVLATPVPSGLVKNRSRVSNLFSATFVPRLRGSASKRVQCSKLDDQIKKLAEPSSTPMPKARTKFLDLFAFSGPAPERINGRLAMIGFVAAMAVELSKGQDLSYQISNGGVSWFVGTSILLSVASLIPLFKGVSAESKSDGVMTSDAEMWNGRFAMLGLVALAYTEYVSGGTLL >Potri.014G096500.2.v4.1 pep chromosome:Pop_tri_v4:14:6326177:6330839:-1 gene:Potri.014G096500.v4.1 transcript:Potri.014G096500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096500.v4.1 MEAFNAASSTPLSVLCERRSESRKSLSLPTVSPLKISHSFSTSTSRSTTQECLSRTLHGGIVLLSSVLGNGLARALTYQEALEQSARSFSSDANGVLDSVIKFGTENPTIVAGSVTVLAVPLVLSLVLNKSKSWGVESAKKAYAALGVDANAQLLDIRAPVEFRQVGSPDIRGLRKKPVPIVYEGEDKPGFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTVNGFKAAYAIKDGAEGPRGWMNSGLPWIPPKKAFSLDLGDLSDAIGGALGEGSDALPVTFAIAAATGLGVLAFSEIEAILQVLGSAALIQFVSKKLLFAEDRKQTLEQVDEFLTTKIAPKELVDELKDIGKALLPVAVTSKALPAPAEASPEPAAADSSVQNAEAAPQINSAPKTEAKAEPLSGFSRPLSPYPTYPDLKPPTSPTPSQP >Potri.009G069000.1.v4.1 pep chromosome:Pop_tri_v4:9:6826686:6829220:-1 gene:Potri.009G069000.v4.1 transcript:Potri.009G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069000.v4.1 MDEQEFRRLLDLFPVVRPRNYHIEIDPSRQSSSRSFPEPIELVPSERSSHLSLPAFKSWKEAEWEEDKKEINNQAIDVQDAFWEKLKLAVEKKVGAAEAERFSKAFHEVHRKLVYEELSLDAACRFINSSKISRQ >Potri.008G063100.2.v4.1 pep chromosome:Pop_tri_v4:8:3813028:3816313:1 gene:Potri.008G063100.v4.1 transcript:Potri.008G063100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063100.v4.1 MAKIRDRTEDFKDAVRHIAISLGYNETRLTAIMASFIIHKPRQRSPFTRAALKTLESIGALEQFMLKHRKDYVDLHRTTEQERDSIEQEVTAFIKACKEQIDILKNSINDEAANTKGWLGIKADTSTTDTIAHKHGVVLILSEKLHSVTARFDQLRAIRFQDAINKRIPRRKLNRAANTNTTTVDSSKTNNLEFSEPDDIQPESLRVQQQVLDDETRALQVELTSLLEAVQETETKMLEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Potri.008G063100.6.v4.1 pep chromosome:Pop_tri_v4:8:3813028:3816313:1 gene:Potri.008G063100.v4.1 transcript:Potri.008G063100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063100.v4.1 MRLTAIMASFIIHKPRQRSPFTRAALKTLESIGALEQFMLKHRKDYVDLHRTTEQERDSIEQEVTAFIKACKEQIDILKNSINDEAANTKGWLGIKADTSTTDTIAHKHGVVLILSEKLHSVTARFDQLRAIRFQDAINKRIPRRKLNRAANTNTTTVDSSKTNNLEFSEPDDIQPESLRVQQQVLDDETRALQVELTSLLEAVQETETKMLEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Potri.008G063100.7.v4.1 pep chromosome:Pop_tri_v4:8:3812281:3816313:1 gene:Potri.008G063100.v4.1 transcript:Potri.008G063100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063100.v4.1 MAKIRDRTEDFKDAVRHIAISLGYNETRLTAIMASFIIHKPRQRSPFTRAALKTLESIGALEQFMLKHRKDYVDLHRTTEQERDSIEQEVTAFIKACKEQIDILKNSINDEAANTKGWLGIKADTSTTDTIAHKHGVVLILSEKLHSVTARFDQLRAIRFQDAINKRIPRRKLNRAANTNTTTVDSSKTNNLEFSEPDDIQPESLRVQQQVLDDETRALQVIRISIDELLTTFSSGID >Potri.008G063100.3.v4.1 pep chromosome:Pop_tri_v4:8:3812928:3816313:1 gene:Potri.008G063100.v4.1 transcript:Potri.008G063100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063100.v4.1 MAKIRDRTEDFKDAVRHIAISLGYNETRLTAIMASFIIHKPRQRSPFTRAALKTLESIGALEQFMLKHRKDYVDLHRTTEQERDSIEQEVTAFIKACKEQIDILKNSINDEAANTKGWLGIKADTSTTDTIAHKHGVVLILSEKLHSVTARFDQLRAIRFQDAINKRIPRRKLNRAANTNTTTVDSSKTNNLEFSEPDDIQPESLRVQQQVLDDETRALQVIRISIDELLTTFSSGID >Potri.008G063100.5.v4.1 pep chromosome:Pop_tri_v4:8:3812281:3816313:1 gene:Potri.008G063100.v4.1 transcript:Potri.008G063100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063100.v4.1 MAKIRDRTEDFKDAVRHIAISLGYNETRLTAIMASFIIHKPRQRSPFTRAALKTLESIGALEQFMLKHRKDYVDLHRTTEQERDSIEQEVTAFIKACKEQIDILKNSINDEAANTKGWLGIKADTSTTDTIAHKHGVVLILSEKLHSVTARFDQLRAIRFQDAINKRIPRRKLNRAANTNTTTVDSSKTNNLEFSEPDDIQPESLRVQQQVLDDETRALQVELTSLLEAVQETETKMLEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Potri.008G063100.1.v4.1 pep chromosome:Pop_tri_v4:8:3812928:3816313:1 gene:Potri.008G063100.v4.1 transcript:Potri.008G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063100.v4.1 MAKIRDRTEDFKDAVRHIAISLGYNETRLTAIMASFIIHKPRQRSPFTRAALKTLESIGALEQFMLKHRKDYVDLHRTTEQERDSIEQEVTAFIKACKEQIDILKNSINDEAANTKGWLGIKADTSTTDTIAHKHGVVLILSEKLHSVTARFDQLRAIRFQDAINKRIPRRKLNRAANTNTTTVDSSKTNNLEFSEPDDIQPESLRVQQQVLDDETRALQVELTSLLEAVQETETKMLEMSALNHLMSTHVLQQAQQIELLYEQAVEATKNVELGNKELSQAIQRNSSSRTFLLLFLFVLTFSILFLDWYS >Potri.008G063100.4.v4.1 pep chromosome:Pop_tri_v4:8:3813028:3816313:1 gene:Potri.008G063100.v4.1 transcript:Potri.008G063100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063100.v4.1 MAKIRDRTEDFKDAVRHIAISLGYNETRLTAIMASFIIHKPRQRSPFTRAALKTLESIGALEQFMLKHRKDYVDLHRTTEQERDSIEQEVTAFIKACKEQIDILKNSINDEAANTKGWLGIKADTSTTDTIAHKHGVVLILSEKLHSVTARFDQLRAIRFQDAINKRIPRRKLNRAANTNTTTVDSSKTNNLEFSEPDDIQPESLRVQQQVLDDETRALQVIRISIDELLTTFSSGID >Potri.005G185600.1.v4.1 pep chromosome:Pop_tri_v4:5:19327212:19334041:1 gene:Potri.005G185600.v4.1 transcript:Potri.005G185600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185600.v4.1 MAKVCWPYFDPEYENLSTRINPPRVSVDNTSCSDSTLIKVDSMNKPGILLEVVQILTDLDLIITKAYISSDGGWFMDVFHVTDQQGKKIADLKTIDYIEKALGPKGQEEVTTWSGKPVGVHSVGDHTAIELTGRDRPGLLSEISAVLANLHFNVVAAEVWTHNRRIACVVYVNDDTTSRAVDDPTRLSAMEDQLKNILRGCDDDEKEGRTSFSMGFTHVDRRLHQMLFADRDYEGGIVATEIHDPPSFKPKITVEHCEEKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDAPHASQEYYIRHMDGCVLDTEGEKDRVIKCLEAAIRRRVSEGLSLELCAKDRVGLLSEVTRILRENGLSVSRAGVMTIGEQAMNVFYVRDASGNPVDMKIIEALRREIGHTMMLNVKKPPVSSREPEARGWAKTSFFFGNLLERFLA >Potri.001G073100.5.v4.1 pep chromosome:Pop_tri_v4:1:5475899:5481720:-1 gene:Potri.001G073100.v4.1 transcript:Potri.001G073100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073100.v4.1 MNKGGGMKKMKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDAIQEWIERVALIPVDGQPGPADVCVIELGGTIGDIESMPFTEALGQFSYRVGAGNFCLIHVSLVPVISAVGEQKTKPTQHSVRGLRSLGLTPNILACRSTSALEENVKQKLSQFCHVPAENIITLYDVPNIWHLPSLLRDQKAHEGILGALNLPGVAREPNLKEWTSRAEFCDMLLEPVRIAVVGKYTGLSDSYLSLLKALLHASVSLRKKLVVDWVPASDIEDETARENPDAYKAAWKLLKGADGVLVPGGFGDRGVEGKILAAKYARENRIPFLGICLGMQIAVIEFARSILGLHDANSTEFDPDTKSPCVVFMPEGSKTHLGGTMRLGSRRTYFQVMDSKSAKLYGNRGFVDERHRHRYEVNPDMVSSLEDAGLSFTGKDETGQRMEIVELPNHPYYIGTQFHPEFKSRPGKPSALFLGLIAAACGQLDPLLHTHKFPNGMAKKISLYQNGNATKFAKIPADGIYSNCNGVHA >Potri.001G073100.2.v4.1 pep chromosome:Pop_tri_v4:1:5475912:5481369:-1 gene:Potri.001G073100.v4.1 transcript:Potri.001G073100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073100.v4.1 MNKGGGMKKMKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDAIQEWIERVALIPVDGQPGPADVCVIELGGTIGDIESMPFTEALGQFSYRVGAGNFCLIHVSLVPVISAVGEQKTKPTQHSVRGLRSLGLTPNILACRSTSALEENVKQKLSQFCHVPAENIITLYDVPNIWHLPSLLRDQKAHEGILGALNLPGFVNVAREPNLKEWTSRAEFCDMLLEPVRIAVVGKYTGLSDSYLSLLKALLHASVSLRKKLVVDWVPASDIEDETARENPDAYKAAWKLLKGADGVLVPGGFGDRGVEGKILAAKYARENRIPFLGICLGMQIAVIEFARSILGLHDANSTEFDPDTKSPCVVFMPEGSKTHLGGTMRLGSRRTYFQVMDSKSAKLYGNRGFVDERHRHRYEVNPDMVSSLEDAGLSFTGKDETGQRMEIVELPNHPYYIGTQFHPEFKSRPGKPSALFLGLIAAACGQLDPLLHTHKFPNGMAKKISLYQNGNATKFAKIPADGIYSNCNGVHA >Potri.001G073100.8.v4.1 pep chromosome:Pop_tri_v4:1:5475899:5481720:-1 gene:Potri.001G073100.v4.1 transcript:Potri.001G073100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073100.v4.1 MNKGGGMKKMKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVYVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDAIQEWIERVALIPVDGQPGPADVCVIELGGTIGDIESMPFTEALGQFSYRVGAGNFCLIHVSLVPVISAVGEQKTKPTQHSVRGLRSLGLTPNILACRSTSALEENVKQKLSQFCHVPAENIITLYDVPNIWHLPSLLRDQKAHEGILGALNLPGFVNVAREPNLKEWTSRAEFCDMLLEPVRIAVVGKYTGLSDSYLSLLKALLHASVSLRKKLVVDWVPASDIEDETARENPDAYKAAWKLLKGADGVLVPGGFGDRGVEGKILAAKYARENRIPFLGICLGMQIAVIEFARSILGLHDANSTEFDPDTKSPCVVFMPEGSKTHLGGTMRLGSRRTYFQVMDSKSAKLYGNRGFVDERHRHRYEVNPDMVSSLEDAGLSFTGKDETGQRMEIVELPNHPYYIGTQFHPEFKSRPGKPSALFLGLIAAACGQLDPLLHTHKFPNGMAKKISLYQNGNATKFAKIPADGIYSNCNGVHA >Potri.003G085300.3.v4.1 pep chromosome:Pop_tri_v4:3:11158923:11163187:1 gene:Potri.003G085300.v4.1 transcript:Potri.003G085300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085300.v4.1 MKCFYIFKDKSKNMKGKANLAPELGGQRKSDIPSSSRTAKSLPCPRSIPELYNVSEHNLQVFSFQELRKATTGFNRLLTIGEGGFGSVYKGTIRPANGQGDPIVVAIKKLNNHGLQGHKQWLAEVQFLRVVSHPNVVKLIGYCSVDGERGIQRLLVYEYMPKGSLEDHLFRRGLPNLPWRKRLEIILGAAEGLAYLHGGMEVQVIYRDFKSSNVLLDEDFKPKLSDFGLAREGPTGDRTHVSTAVLGTYGYAAPEYLETGHLTSQSDIWSFGVVLYEILTGRRTVETNRPAAEQKLLYWVKQFPVDSSRFSMIIDPRLRNEYSIKAARQIAKLADSCLNKNAKERPAMTQVVASLKQIIQDLEGGSTSTNKNCRIRHRGGLKKLWNLWKERISGILFFNGEWSCFKESPPSIMVTRNPNWSTEIL >Potri.004G091900.3.v4.1 pep chromosome:Pop_tri_v4:4:7804563:7808458:-1 gene:Potri.004G091900.v4.1 transcript:Potri.004G091900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091900.v4.1 MNGHAACSSCCSKLAHICPSCSLPIGYIHCLAIEKVLESAKISCQNMKYGCKETVSYSKKCDHEKSCIYATCSCPVSGCSFVSSSKQLYSHLSSTHVGDVKHFEYDCKIPVSFTASKKFVVLQEKKEGVVFILNNALQIMGNVIMVSCIGPSSKGGYFYELSANSKGNSLIFRSFTPCFRSRVDNPPSVRFLLVPGGFFGSGEKVTLDLCIWA >Potri.001G310600.1.v4.1 pep chromosome:Pop_tri_v4:1:32102815:32107617:1 gene:Potri.001G310600.v4.1 transcript:Potri.001G310600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310600.v4.1 MESFALHSLSTTTTTTTTFSTSLYTRISLLHRLPKPLSITKSSPSIPLLSTIRSSTQKPLFFSAFHTKNKTFDSKFRILALDTKSSDNPLSDSSEKPTPPQKGAKLIPLLISVSIGLIVRFAVPKPIGITPQAWQLLSIFLSTIAGLILSPLPVAAWAFLGLTTLLVTRTLSFTTAFSAFTNEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEVLIAPAMPSTTARAGGVFLPIIKSLSLSAGSKPGDSSSAKLGSYLIQSQMQCAASSSSLFLTAAAQNLLCFKLAGELGVMIPNPWISWLKAASLPALTSLLVTPLVLYKLYPPETKDTPDAPAVAAKKLETMGSVTKNEWTMVGTMLLAVSLWVFGDAIGIPSVVAAMIGLSILLLLGVLDWDDCLSEKSAWDTLAWFAVLVGMASQLTNLGVISWMSGCVSKNLQSLSLSWPAAFGVLQASYFFIHYLFAGQIGHVGALYSAFLAMHLAAGVPATLAALALAYNTNLFGALTHYSSGQSAVYYGAGYMDLPDVFKLGFVMALINAIIWGVTGTFWWKFLGLY >Potri.015G087900.12.v4.1 pep chromosome:Pop_tri_v4:15:11157899:11162334:1 gene:Potri.015G087900.v4.1 transcript:Potri.015G087900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087900.v4.1 MPPLKALAALVIFLGIASILLQQRYWLPLSSKGKSTLYGLQQSTAGVHHSEEFFLLNYEAMEKDLKVFVYPGGNPKTCYHSIDKKLKSNYASEHYFFMNLRNGSFLTENPDEAHLFFIPLSCQPMEDQDALPRYKEMVIQNYVRALTIKYPYWNRTLGADHFFVSCHGIGNRATAAFPFLLKNAIRLVCSPSYDSNYIPHKDVSLPQILELSFPPEGDGMWNDSTMESLPIQLSPVETHPSRTKLCFWAGSPNSEVRKNLRVHYKGLEEFEIHFVENVKRALVLDTFQKEIHRSKFCICPRGKTQVGGVCLAESMAFGCVPVIMSDYYDLPFNDILDWNAFSVILKEHDVPIMGEILKGIPEDMFEKMRQNVLKVSKYFKWHFRPVKYDEFHMVMYELWKRRHIIRY >Potri.006G062300.2.v4.1 pep chromosome:Pop_tri_v4:6:4441008:4449388:-1 gene:Potri.006G062300.v4.1 transcript:Potri.006G062300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062300.v4.1 MANFTFKAENFSSLSHHTNTQTSLLNTTTSFKTNSLRLLHSKNSLTFRVWCGIKEKENVTGSERVPDVLTGPKRVDELDPKRGSSLDFEQKLGNDSGSSEVGFDWNWPPWKNIPQRYKLIGTTSLAFVICNMDKVNLSVAIIPMSHQFGWNASTAGLVQSSFFWGYALSQLPGGWLAKIFGGRKVLKFGVLTWSVATALLPFLAGYMPGLVLSRVLVGIGEGVSPSAATDLIARSIPLEERSRAVAFVFGGLSVGSVIGLLLAPPLIQNFGWASVFYIFGFLGLAWFLGFQYLEEGQASHSAKPASRPQSIHMEKSFSNSLAALGGSLKDVPWKAFFRTPAVWAMIYAHFCGSWGHYNCLSWLPSYFSEELSLNLTEAAWVSILPPLASVFVTSFAAQLADNLIANGVETTTVRKICQTIAFLSPALCMTLSSVDLGLPPWEIVGILTCGLALSSFALSGLYCTHQDMSPEYASILLGITNTVGAIPGIVGVALTGYLLDTTHSWSISLFAPSIFFYLTGTIVWLAFASSKPQNFSNTD >Potri.004G088600.2.v4.1 pep chromosome:Pop_tri_v4:4:7472847:7489590:-1 gene:Potri.004G088600.v4.1 transcript:Potri.004G088600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088600.v4.1 MEDSNSREIVESEMESMDLRSQESTDQVELDSELPPEKEVITDDWRDAINKVVPAVVVLQTTACRSFDTELPSSGSATGFVVDKNHGIILTNRHVVKPGPVVAQAIFVNNEEIPVYPIYRDPVHDFGFFRYDPSAIQFHKYEEIPLAPEAASVGLEIRVIGNDSCEKVSILAGTLARLDRNAPTYRRDGYNDFNTFYMQAASGTKRGSSGSPVIDKQGRAVALNAGGSVSSSSAFYLHLERVVRALAFLQKSKDACKNKWEAVSIPRGTLQVTFLHKGFDETRRLGLPNETEQMVRQASAPGETGMLVVDSVVPCGPADRQLESGDVLVRVNGEVTTQFLKLEALLDDSVDKKIELQIERGGTSLTVDIVVQDLHLITPDCFLEVSGAVIHRLSYQQARNFCFQCGLVYVSDPGYMLSRAGIPRHAIIKKFADDEISQLEDVISVLSKLCKGDRVPLEYISYRDRHRRKSTLVTIDRHEWYDAPKIYTRDDSSGLWMARPAIQPTTLQLSPCSSNVTQGLNSQASSLNSESTPAEGTDQANNQELTHDILRTEAGYEHISEEVHSREECDVKTNKQQVQGNLSSDEIAVADHSSLEIGEMKLETPGTTEITVSNGYEGAIAAATNASFAECVVEPTLVTLEVNVPPSCLLDGIHSVHASGTGVVVHHSQDMGLVAIDKNTVETSACDVMLSFAAFPIEIPGEVVFLHPVYNYALVGYDPSALGAVGASMVRAAELLPEPALCRGDPIYLIGLSKNQRAKSRKSIVTNPYVTLNFGYADRPRYRAINMEVIELDTDFGNAFTGVLCNEHGKVQAIWGSFSNKPKSSHTTSKDHQFVRGVPIYMISQVLDKIISGANGASILINGIKRSMPLVRTLEVELCSRLLSKARSFGLGDEWIQRLVKKDPMRRQVLRVKGCLAGSNTENLLKQGDMLLAINKEPVTCFQDVENACQALENCVDSDGKLKITICRQGGEVDLLVGTDIRDGNGTTRAVNWCGCLVQDPHPAVRALGFLPGEGHGVYAAMCCRGSPADRYALGALRWIVRVNGKPTPDLDAFVNVTKGLRYDEFVRVKTINLDGKPRVLTLKQDLHYWPTWELRFDPNTARWRRETIKALDCNIE >Potri.001G331400.1.v4.1 pep chromosome:Pop_tri_v4:1:34006735:34009962:-1 gene:Potri.001G331400.v4.1 transcript:Potri.001G331400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331400.v4.1 MSMNNNNNNNNPPKSLGQSSSPFGNSGMVNPSMGANPTFPQAQAQMGAGFQGGQFQLSQAQATLQAHLKAQQAHAQAQAAHAAQVQAAHAQFQAQLQAQGVSLNQNQSAGIGNLGSSSPSFSTPGNASAKRLPQKPLGRPPGVPMSSMVSPLKPMDLSSAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFETRVDAALARKKVDIQEALKSPPCVQKTLRIYVFNTFANQIRTIPKKPNADPPTWTLKVIGRILEDGVDPDQPGAVQKSNPLYPKFSSFFKRVSIQLDQRLYPDNHIIIWEHARSPAPHEGFEVKRKGDKEFSVNIRLEMNYVPEKFKLSPALMEVLGIEVETRPRIIAAIWHYVKARKLQNPEDPSFFNCDAPLQKVFGESKMKFTMVSQRISQHLSPPQPIHLEHKIKLSGNSPAGTVCYDVVVDVPFPIQRELSALLANAEKNKEIDTCDEAICTAIRKIHEHRRRRAFFLGFSQSPVEFVNALIESQSKDLKLVAGEASRNAEKERRSDFFNQPWVEDAVIRYLNRKPAAGSDAPRSM >Potri.001G331400.2.v4.1 pep chromosome:Pop_tri_v4:1:34006679:34009879:-1 gene:Potri.001G331400.v4.1 transcript:Potri.001G331400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331400.v4.1 MSMNNNNNNNNPPKSLGQSSSPFGNSGMVNPSMGANPTFPQAQAQMGAGFQGGQFQLSQAQATLQAHLKAQQAHAQAQAAHAAQVQAAHAQFQAQLQAQGVSLNQNQSAGIGNLGSSSPSFSTPGNASAKRLPQKPLGRPPGVPMSSMVSPLKPMDLSSAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFETRVDAALARKKVDIQEALKSPPCVQKTLRIYVFNTFANQIRTIPKKPNADPPTWTLKVIGRILEDGVDPDQPGAVQKSNPLYPKFSSFFKRVSIQLDQRLYPDNHIIIWEHARSPAPHEGFEVKRKGDKEFSVNIRLEMNYVPEKFKLSPALMEVLGIEVETRPRIIAAIWHYVKARKLQNPEDPSFFNCDAPLQKVFGESKMKFTMVSQRISQHLSPPQPIHLEHKIKLSGNSPAGTVCYDVVVDVPFPIQRELSALLANAEKNKEIDTCDEAICTAIRKIHEHRRRRAFFLGFSQSPVEFVNALIESQSKDLKLVAGEASRNAEKERRSDFFNQPWVEDAVIRYLNRKPAAGSDAPRSM >Potri.019G071200.4.v4.1 pep chromosome:Pop_tri_v4:19:11291314:11293804:1 gene:Potri.019G071200.v4.1 transcript:Potri.019G071200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071200.v4.1 MNFLYWYGPQPHLMVTEPELVKEILSNKDGDYKKIPVETHVKRLLGDGLVTSSGEKWFKMRKLGNQAFHGESLKGMIPDMIASVEVMLKRWRNHEGKEIDTFQEFKVLTSEVISRTAFGSSYLEGQHIFDMIMNMIDIIYRNNYRISIPFIGKIFKSSDDIESENLEKRVRESIIKMMKKREEEATSGHLDGYGNDFFGLLLKAYHDPDNSKKISVDDLIDECKTIYVAGQETTTSLLSWTVLLLAICPDWQDKVRKEVLELIGQQNPSPDRMTKLKIMSMVINESLRLYAPSNYLARKVDKEVRLGNLILPANMEIYMSTLAHHYNPEIWGEDVHLFKPERFAEGVAKATNKSIATFFPFGMGPRTCLGFNYAIIEGKIALSMILQRYRFTLSPTYVHHPVHLLTVCPKRGIQVILQPL >Potri.019G071200.2.v4.1 pep chromosome:Pop_tri_v4:19:11290997:11293804:1 gene:Potri.019G071200.v4.1 transcript:Potri.019G071200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071200.v4.1 MGTLLMCFSSCLCFFLLVILLKFLNKVWWAPIRVQSLMKSQGIEGPPYRFLYGSTTEILNIINAMDGSSQELSHNTFARILPHAYSWVKVYGMNFLYWYGPQPHLMVTEPELVKEILSNKDGDYKKIPVETHVKRLLGDGLVTSSGEKWFKMRKLGNQAFHGESLKGMIPDMIASVEVMLKRWRNHEGKEIDTFQEFKVLTSEVISRTAFGSSYLEGQHIFDMIMNMIDIIYRNNYRISIPFIGKIFKSSDDIESENLEKRVRESIIKMMKKREEEATSGHLDGYGNDFFGLLLKAYHDPDNSKKISVDDLIDECKTIYVAGQETTTSLLSWTVLLLAICPDWQDKVRKEVLELIGQQNPSPDRMTKLKIMSMVINESLRLYAPSNYLARKVDKEVRLGNLILPANMEIYMSTLAHHYNPEIWGEDVHLFKPERFAEGVAKATNKSIATFFPFGMGPRTCLGFNYAIIEGKIALSMILQRYRFTLSPTYVHHPVHLLTVCPKRGIQVILQPL >Potri.019G071200.3.v4.1 pep chromosome:Pop_tri_v4:19:11290997:11293804:1 gene:Potri.019G071200.v4.1 transcript:Potri.019G071200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071200.v4.1 MQWMAVPRSCHTIHSLEFFLMHILGSRFMGMIPDMIASVEVMLKRWRNHEGKEIDTFQEFKVLTSEVISRTAFGSSYLEGQHIFDMIMNMIDIIYRNNYRISIPFIGKIFKSSDDIESENLEKRVRESIIKMMKKREEEATSGHLDGYGNDFFGLLLKAYHDPDNSKKISVDDLIDECKTIYVAGQETTTSLLSWTVLLLAICPDWQDKVRKEVLELIGQQNPSPDRMTKLKIMSMVINESLRLYAPSNYLARKVDKEVRLGNLILPANMEIYMSTLAHHYNPEIWGEDVHLFKPERFAEGVAKATNKSIATFFPFGMGPRTCLGFNYAIIEGKIALSMILQRYRFTLSPTYVHHPVHLLTVCPKRGIQVILQPL >Potri.005G056100.1.v4.1 pep chromosome:Pop_tri_v4:5:3526551:3534435:1 gene:Potri.005G056100.v4.1 transcript:Potri.005G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G056100.v4.1 MAEVDQGFGEHEIDHGVDLSADQGVGHPVDHDLDNAADHGLDHAVDHSLGHSVDHGLDHATDPGLAVEHDIDHPIDHHVDPSIDHDVDHPVNHDIDHAIDQVPENFEASKQGQDEDTVSGGGSEKRWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKEEPDSSLPPAMDGLLRVHKRIIDGLEGDSSHAPPSSGAKVSTRLLVPASQAGSLIGKQGGTVKSIQEASACIVRVLGAEDLPVFSLQDDRVVEVLGEAVGVHKAVELIASQLRKFLVDRSIIPLFEMQMQMANPPMEQMPPHQSWGGPQSLPLNPVGGPGYGQNPQYMQPPRQHDNYYPPSDMPPPMEKQPHQGISAYGRQTPMGGHASSNAQAAPSMITQITQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPEAMTVEISGTASQVQTAQQLIQNFMAEAGAPAQPQTGGPADQGYNPYSHSSVYASPPSNPEHTGHTGGYSSMYGASFGY >Potri.005G056100.5.v4.1 pep chromosome:Pop_tri_v4:5:3527376:3534436:1 gene:Potri.005G056100.v4.1 transcript:Potri.005G056100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G056100.v4.1 MAEVDQGFGEHEIDHGVDLSADQGVGHPVDHDLDNAADHGLDHAVDHSLGHSVDHGLDHATDPGLAVEHDIDHPIDHHVDPSIDHDVDHPVNHDIDHAIDQVPENFEASKQGQDEDTVSGGGSEKRWPGWPGESVFRMLVPAQKVGSIIGRKGEFIKKIVEETRARIKILDGPPGTTERAVMVSAKEEPDSSLPPAMDGLLRVHKRIIDGLEGDSSHAPPSSGAKVSTRLLVPASQAGSLIGKQGGTVKSIQEASACIVRVLGAEDLPVFSLQDDRVVEVLGEAVGVHKAVELIASQLRKFLVDRSIIPLFEMQMQMANPPMEQMPPHQSWGGPQSLPLNPVGGPGYGQNPQYMQPPRQHDNYYPPSDMPPPMEKQPHQGISAYGRQTPMGGHASSNAQAAPSMITQITQQMQIPLSYADAVIGTAGASISYIRRASGATVTIQETRGVPEAMTVEISGTASQVQTAQQLIQNFMAEAGAPAQPQTGGPADQGYNPYSHSSVYASPPSNPEHTGHTGGYSSMYGASFGY >Potri.008G224201.1.v4.1 pep chromosome:Pop_tri_v4:8:19012630:19012878:1 gene:Potri.008G224201.v4.1 transcript:Potri.008G224201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224201.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.003G219400.1.v4.1 pep chromosome:Pop_tri_v4:3:21398113:21400718:-1 gene:Potri.003G219400.v4.1 transcript:Potri.003G219400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G219400.v4.1 MEVAVKSSLTSIHAPISVREAPFTKPKLLSFQFHPRPNSSISRMLKKTPSKSKPFATMSSPVPSSVSTESMEKPEAELEAASQEEKFDWYAQWYPVMPVCDLDKRVPHAKTVMGLDLVVWWDRNESEWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGNCKFIPQAPPDGPPVHTSMKACVAVYPSTVHHDIVWFWPNTDPQYRDIITKKQPPFIPDLDDPSFTKTMGNRDFPYGYDVLIENLLDPAHLPYAHYGLVNAPKPQVKSDREGGGPIDLSVKKLDSQGFFENQDHFGDTKFIAPCISYASSSPGDAPEKGSMQKRMAFVFICIPVSPGRSRLIWIFPRNFGLWIDKVVPRWIFHLKYNLVFDSDLYLLHMQERKFMDVGPANWQKACFVPTKSDALLVGYRRWFNKYSGGQVDWKGKYCGALPPTSPREQLFDRYWSHVVNCRSCNAAYKGLNALEVILQVASLAFIGVAAATKQNTNTMVVIAVVCFAGSRWLARFISKNFHYHDYNHAFL >Potri.009G004100.2.v4.1 pep chromosome:Pop_tri_v4:9:1052349:1057506:1 gene:Potri.009G004100.v4.1 transcript:Potri.009G004100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004100.v4.1 MALPLSTACISKSLTRTNPTTPFNSTPFSLTKTLNTKEPTRRALKTSPLHVAAPPHKTEYGVDDESGEEVNDSKFTWKDHWYPVSSLKDLDPLLPTPFQLLVRDLVLWFDKANQEWVAFDDQCPHRLAPLSVRRIDENGHLQCSYRGWSFDGCGWCAQIPQAMPEGPEAHAVKSPRAYATRSPTMVSQGLLFVWPDENGWERAQASKPLMLPADLDKPEFSTVIIQHDLLYCYDTILENVSDPSQIDFSHHKVTGRRDRAKPLPFKVESSGSWGFAGTNDGNPRISAEFVAPCYYMNKVEIDTKLPIVGDQKWIIWICSFNVPMAPGKIHSTVCSARNFFQFTMPGLCQVVPRWHEHWTSNKVYDGDMIVFQGKRRYFFHNPWEVLLLMFTHTQADRFVLAFRSWLSDIIMNFLLQLLSSNPKNEIFSYFHIVLDRFEPHTRKCSSCKRAYEFQTLQKFLIGATVAFCATAGIPSDQFRAVLAALALVSAGLAYTLNQHEKNFVFIDYVHAEID >Potri.005G010016.1.v4.1 pep chromosome:Pop_tri_v4:5:862128:862361:1 gene:Potri.005G010016.v4.1 transcript:Potri.005G010016.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010016.v4.1 MANFTASQLKEIAACLKASGHQFIWVVRRSKKGQEDKEDCLPEGFEGRMEGKGLIIRGWALQVLILDHEAIGAFVTH >Potri.013G000400.1.v4.1 pep chromosome:Pop_tri_v4:13:32979:37820:1 gene:Potri.013G000400.v4.1 transcript:Potri.013G000400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000400.v4.1 MEIKDFCFASDSTPPLSVIAAAKVASLTLPPPTAAAADSASLPAFVFSNGLKLQGTYVLLRYIGRVAILYGQDPFQSSQIDQWLDYAPVLSVGSEFENACNFIDNYLQSRTFLVEHSLSIADIAIWSGLAGTGLRWERWRKSKKFPNLARWFNSIFDEYSDALNEAISTYAGKKGSGKPAAAKPKGQQVVSGDNPEKGKASSRPSSEVDLPDAEIGKVCLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGQMIIRFDDTNPSKESNEFVDNLLKDIETLSIKYETVTHTSDYFPQLMEMAESLIRQGKAYVDDTPREQMQKERMDGIESKCRSNNVEEHLKLWKEMITGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYKIYPTYDFACPFVDSVEGITHALRSSEYHDRNAQYDRIQADMGLRKVHIYEFSRLNMVYTILSKRHLRWFVENGKVDGWDDARFPTVQGIVRRGLKIEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPMCPRHTAVIEEQRVPLTLTNGPEQPFVRIIQRHKKHEGAGEKATTYTNRIWIDHADAESISVNEEITLMDWGNAIVKEIEKDQDGKVTHLSGVLHLEGSVKTTKLKFTWLPDTSELVNLTLVDFDYLITKKKLEEGESFHDVLNPCTKKETAALGDSNMRNLKRGEILQLERKGYFRCDAPFVRPSKPVVLFAIPDGRQATSLRKTFTFD >Potri.013G000400.3.v4.1 pep chromosome:Pop_tri_v4:13:33002:37711:1 gene:Potri.013G000400.v4.1 transcript:Potri.013G000400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000400.v4.1 MEIKDFCFASDSTPPLSVIAAAKVASLTLPPPTAAAADSASLPAFVFSNGLKLQGTYVLLRYIGRVAILYGQDPFQSSQIDQWLDYAPVLSVGSEFENACNFIDNYLQSRTFLVEHSLSIADIAIWSGLAGTGLRWERWRKSKKFPNLARWFNSIFDEYSDALNEAISTYAGKKGSGKPAAAKPKGQQVVSGDNPEKGKASSRPSSEVDLPDAEIGKVCLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGQMIIRFDDTNPSKESNEFVDNLLKDIETLSIKYETVTHTSDYFPQLMEMAESLIRQGKAYVDDTPREQMQKERMDGIESKCRSNNVEEHLKLWKEMITGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYKIYPTYDFACPFVDSVEGITHALRSSEYHDRNAQYDRIQADMGLRKVHIYEFSRLNMVYTILSKRHLRWFVENGKVDGWDDARFPTVQGIVRRGLKIEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPMCPRHTAVIEEQRVPLTLTNGPEQPFVRIIQRHKKHEGAGEKATTYTNRIWIDHADAESISVNEEITLMDWGNAIVKEIEKDQDGKVTHLSGVLHLEGSVKTTKLKFTWLPDTSELVNLTLVDFDYLITKKKVILHLWTLELFVLFLFKRVQLCDSLKLVYFENFSC >Potri.014G132400.1.v4.1 pep chromosome:Pop_tri_v4:14:8847555:8851622:1 gene:Potri.014G132400.v4.1 transcript:Potri.014G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132400.v4.1 MKRKTLTKRSYTKKNRRSSSTTAKMKKGTLITHSQQVQHHQIQSSSATSAAAASSSRFQNVLDSDLIDPQLLAEDVDEKDFILSQDFFCTPDYITPDNQNLLYSFDCNKENIPCPKSPEKVNTVISKKLRQVHPLSPTLSDQQPIVDIGKDNISEEEMLIEKPSTLETKKPQNYVSQSAVFLRCRVMPPPCIKNPYLTDASEVGIDPFGNQRSKCAGFFAAFAGGDGLSRYHTDFHEIQQIGTGNFSCVFKVLKRIDGCLYAVKHSTRQLHQDAERRKALMEVQALAALGYHENIVGYYSSWFENEQLYIQMELCDGSLSINRSSESITEGEALQVLLQIAKALKFIHERGIAHLDVKPDNIYFKNGVYKLGDFGCATLLDQSLPVEEGDARYMPQEILNENYNYLDKVDIFSLGAAIYELIRGSTLPQSGSHLFNLREGKLPLLPGHSLQLQNLLKTFMEMGLNCLEGGILKEKKWPWFVLIRFGGLLLRN >Potri.014G132400.4.v4.1 pep chromosome:Pop_tri_v4:14:8847555:8851623:1 gene:Potri.014G132400.v4.1 transcript:Potri.014G132400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132400.v4.1 MKRKTLTKRSYTKKNRRSSSTTAKMKKGTLITHSQQVQHHQIQSSSATSAAAASSSRFQNVLDSDLIDPQLLAEDVDEKDFILSQDFFCTPDYITPDNQNLLYSFDCNKENIPCPKSPEKVNTVISKKLRQVHPLSPTLSDQQPIVDIGKDNISEEEMLIEKPSTLETKKPQNYVSQSAVFLRCRVMPPPCIKNPYLTDASEVGIDPFGNQRSKCAGFFAAFAGGDGLSRYHTDFHEIQQIGTGNFSCVFKVLKRIDGCLYAVKHSTRQLHQDAERRKALMEVQALAALGYHENIVGYYSSWFENEQLYIQMELCDGSLSINRSSESITEGEALQVLLQIAKALKFIHERGIAHLDVKPDNIYFKNGVYKLGDFGCATLLDQSLPVEEGDARYMPQEILNENYNYLDKVDIFSLGAAIYELIRGSTLPQSGSHLFNLREGKLPLLPGHSLQLQNLLKAGWVGYSPNLAKLSSCLQTFMEMGLNCLEGGILKEKKWYLMTMFSFTVLFKYVVQNGSLTFTCCCILFHWLELSLCSIVCRNCI >Potri.014G132400.2.v4.1 pep chromosome:Pop_tri_v4:14:8847555:8851622:1 gene:Potri.014G132400.v4.1 transcript:Potri.014G132400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132400.v4.1 MKRKTLTKRSYTKKNRRSSSTTAKMKKGTLITHSQQVQHHQIQSSSATSAAAASSSRFQNVLDSDLIDPQLLAEDVDEKDFILSQDFFCTPDYITPDNQNLLYSFDCNKENIPCPKSPEKVNTVISKKLRQVHPLSPTLSDQQPIVDIGKDNISEEEMLIEKPSTLETKKPQNYVSQSAVFLRCRVMPPPCIKNPYLTDASEVGIDPFGNQRSKCAGFFAAFAGGDGLSRYHTDFHEIQQIGTGNFSCVFKVLKRIDGCLYAVKHSTRQLHQDAERRKALMEVQALAALGYHENIVGYYSSWFENEQLYIQMELCDGSLSINRSSESITEGEALQVLLQIAKALKFIHERGIAHLDVKPDNIYFKNGVYKLGDFGCATLLDQSLPVEEGDARYMPQEILNENYNYLDKVDIFSLGAAIYELIRGSTLPQSGSHLFNLREGKLPLLPGHSLQLQNLLKAMVCPDPIRRPSAKELIENPMFGKFKEI >Potri.015G094000.1.v4.1 pep chromosome:Pop_tri_v4:15:11620871:11626386:-1 gene:Potri.015G094000.v4.1 transcript:Potri.015G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094000.v4.1 MAVDPIQTPPPPPPRSNAYLFSDPLDSHPLWFKPDLFLSPNFDSDSYISELRTFVPFDTLRSELQAHLTSLNHELIDLINRDYADFVNLSTKLVDVDSAVVRMRAPLLELREKIEGFRGSVESSLVALKNGLEQRSEAAATREVLELLLDTFHVVSKVEKLIKELPSVPADWSNGDVNLAEKNVVSNGSIENVTNVRETQSMLLERIASEMNRLKFYIAHAQNLPFIQNMEKRIQSASLLLDASLGHCFVDGLEHRDESVIYNCLRAYAAIDNTSSAEEIFRTTVVAPLVQKIIPHGPSGVAVGASGDGLENDYQEIKTCINKDCKFLLEISSAENSGLHVFDFLANSILKEVLSAIQKGKPGAFSPGRPTEFLINYKSSLDFLAHLEGYCPSRSSVTKFRAEAIYNEFMKQWNVGVYFSLRFQEIAGALESALAATSLIPVHNSHSGHWNSQDLTLKQSITLLESLRSCWREDVLIFSCADKFLRLTLQLLSRFSNWLSSGLDARKTGNTSSNSGYEWAASAVPSDFLYIIHDINCLVTEVCGGYLDDVLQLLSSCSIDILDLVKQSILQGGKSLNGLTPLVINAITESLVDEAVKGLKDVKAIATTFRMTNKPIPTRHSLYVSGLLTPLKKDFLDTEKHSPYLTKETMNELRHGAATAITGRYYDMVAEIVSVARKTESSLQRLKKGAQRRTGVSSDVSDPTVSDTDKLCMQYFLDIQEYGRNLSTLGVDAKEIPAYQSLWQCVAPLDRQNVINL >Potri.001G046500.2.v4.1 pep chromosome:Pop_tri_v4:1:3432420:3443169:-1 gene:Potri.001G046500.v4.1 transcript:Potri.001G046500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046500.v4.1 MPYSETELQERLKEAGKSLLNPPSSVNELLDLLDKLERFLANVEQAPPRSMQDALLPTMKALISSALLRHSDEDVRFAVASCTSEITRITAPDAPYNDDQMKEIFQLTVASFEKLSQTSGHCYTKAVSILENVARVRSCLVMLDLELDELIIEMFQHFLKFIRSNHPKTVILAMETIMTLVIDESEEISAELLTLLLASVKKQNQSVSPMAWELGERVITNSAAKLKPYLKEAVQSTGILLDEYAPIVASIFQDDSCTLEGDYSNRSGEHLGEIFEGKDVIPKSKASKGTASTRNARTVKKDNASKMLDPCSLTEHSKSTDAQDKAEPKVRLEKEPKTVPSKRGWKPNSLMNPEEGYDPWFNTGRKTTKLPREKRHDKGTDVLPSETPVSKKVALSLKHLSVTKPTGFTPKTGQISGSSSLSPQQRISAGSHPKSSRPKKKGICMNEDADPSPLSLSKSESLSAQVEEKAPEPDDIIWRKRSKETSDSEAKKQKHLRKVGLGSKITKKISLSSGHVVSAKKSVVLSEPEKKPHHQSIVIAVRRFNKHSSSVPTDTKKRRSLDGTSDEDVKEAFRDKKVKSLHGEGSYLEETPKTKLKRKRTPRKEVSSETPDLSEQLVGSKIKVWWPMDKRFYEGVVDSYDPIKKKHRVLYADGDEEKLNLKRQRWELIKDDSFPVQEQEIDVPKAATSSDVLQKAKCETKSESRKRSKAVSSSKRSRAANISKTRARRSAYGAVPNEPIIVNKPADHDTSGSDSGSEDDGKNTPGQDSGSEDDGENSTVKLKIDNPLTDIKSKQAMPETVNTSDNGSPKAGTEYCSFNSEQTTSVGVTPSKDESSKGDDESHGSDGSNREQQGEVSSSFSPETE >Potri.001G046500.3.v4.1 pep chromosome:Pop_tri_v4:1:3432415:3443204:-1 gene:Potri.001G046500.v4.1 transcript:Potri.001G046500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046500.v4.1 MPYSETELQERLKEAGKSLLNPPSSVNELLDLLDKLERFLANVEQAPPRSMQDALLPTMKALISSALLRHSDEDVRFAVASCTSEITRITAPDAPYNDDQMKEIFQLTVASFEKLSQTSGHCYTKAVSILENVARVRSCLVMLDLELDELIIEMFQHFLKFIRSNHPKTVILAMETIMTLVIDESEEISAELLTLLLASVKKQNQSVSPMAWELGERVITNSAAKLKPYLKEAVQSTGILLDEYAPIVASIFQDDSCTLEGDYSNRSGEHLGLSPNAACQGEIFEGKDVIPKSKASKGTASTRNARTVKKDNASKMLDPCSLTEHSKSTDAQDKAEPKVRLEKEPKTVPSKRGWKPNSLMNPEEGYDPWFNTGRKTTKLPREKRHDKGTDVLPSETPVSKKVALSLKHLSVTKPTGFTPKTGQISGSSSLSPQQRISAGSHPKSSRPKKKGICMNEDADPSPLSLSKSESLSAQVEEKAPEPDDIIWRKRSKETSDSEAKKQKHLRKVGLGSKITKKISLSSGHVVSAKKSVVLSEPEKKPHHQSIVIAVRRFNKHSSSVPTDTKKRRSLDGTSDEDVKEAFRDKKVKSLHGEGSYLEETPKTKLKRKRTPRKEVSSETPDLSEQLVGSKIKVWWPMDKRFYEGVVDSYDPIKKKHRVLYADGDEEKLNLKRQRWELIKDDSFPVQEQEIDVPKAATSSDVLQKAKCETKSESRKRSKAVSSSKRSRAANISKTRARRSAYGAVPNEPIIVNKPADHDTSGSDSGSEDDGKNTPGQDSGSEDDGENSTVKLKIDNPLTDIKSKQAMPETVNTSDNGSPKAGTEYCSFNSEQTTSVGVTPSKDESSKGDDESHGSDGSNREQQGEVSSSFSPETE >Potri.002G054300.3.v4.1 pep chromosome:Pop_tri_v4:2:3681921:3684538:1 gene:Potri.002G054300.v4.1 transcript:Potri.002G054300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054300.v4.1 MWAIMTVVVIFEFHAGATLGKGFYRGIGTVLGGGLGCIAAILGEQVGGIGNPFIVGGAATYARLIPNIKKRYDYGVMIFILTFNLVSVSGIREENVMEIARERLVMIVMGFAICICTSLFFFPTWASDEIHNSMVSKFEDLASSIEGCVEEYFRLVGDKENQSVHPIASFRNCVSVLNSKAKDESLVNFAKWEPWHGKFGLFHPWEKYQKIGEVLRELAATILSLKGSLNSSKEPLQALRVSIKEPCEAAGSSLAWTLRELGESIKKMRRCQSEPFIVPRLKLARQGLSQVMSPFKLGKLDTAEGLAIACFVFSLMELAEKLEGLAKEVEELGELAGFNRS >Potri.002G054300.2.v4.1 pep chromosome:Pop_tri_v4:2:3681701:3684641:1 gene:Potri.002G054300.v4.1 transcript:Potri.002G054300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054300.v4.1 MGSTVIPIPDGDQGFADLKEKRKFQVSLSPIVSFVQKNKDSIKKIIHCIKVGTALVLVSLVYFVDRLYKEIGDDNAMWAIMTVVVIFEFHAGATLGKGFYRGIGTVLGGGLGCIAAILGGAATYARLIPNIKKRYDYGVMIFILTFNLVSVSGIREENVMEIARERLVMIVMGFAICICTSLFFFPTWASDEIHNSMVSKFEDLASSIEGCVEEYFRLVGDKENQSVHPIASFRNCVSVLNSKAKDESLVNFAKWEPWHGKFGLFHPWEKYQKIGEVLRELAATILSLKGSLNSSKEPLQALRVSIKEPCEAAGSSLAWTLRELGESIKKMRRCQSEPFIVPRLKLARQGLSQVMSPFKLGKLDTAEGLAIACFVFSLMELAEKLEGLAKEVEELGELAGFNRS >Potri.002G054300.1.v4.1 pep chromosome:Pop_tri_v4:2:3681701:3684641:1 gene:Potri.002G054300.v4.1 transcript:Potri.002G054300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054300.v4.1 MGSTVIPIPDGDQGFADLKEKRKFQVSLSPIVSFVQKNKDSIKKIIHCIKVGTALVLVSLVYFVDRLYKEIGDDNAMWAIMTVVVIFEFHAGATLGKGFYRGIGTVLGGGLGCIAAILGEQVGGIGNPFIVGVSLFIFGGAATYARLIPNIKKRYDYGVMIFILTFNLVSVSGIREENVMEIARERLVMIVMGFAICICTSLFFFPTWASDEIHNSMVSKFEDLASSIEGCVEEYFRLVGDKENQSVHPIASFRNCVSVLNSKAKDESLVNFAKWEPWHGKFGLFHPWEKYQKIGEVLRELAATILSLKGSLNSSKEPLQALRVSIKEPCEAAGSSLAWTLRELGESIKKMRRCQSEPFIVPRLKLARQGLSQVMSPFKLGKLDTAEGLAIACFVFSLMELAEKLEGLAKEVEELGELAGFNRS >Potri.005G252000.5.v4.1 pep chromosome:Pop_tri_v4:5:24496040:24499811:-1 gene:Potri.005G252000.v4.1 transcript:Potri.005G252000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252000.v4.1 MRMRCFSCIKPNRNDIKIDTEHAPRSSSRCSYGSSGTQNARFNVNEHGKNQSPEDGARSFTFRELAAATRNFREVNLIGEGGFGRVYKGRLETGELVAVKQLNQDGLQGDQEFIVEVLMLSLLHHSNLVTLTGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPGKEPLSWSTRIKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFQPKLSDFGIAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLAAWVS >Potri.005G252000.4.v4.1 pep chromosome:Pop_tri_v4:5:24496508:24499811:-1 gene:Potri.005G252000.v4.1 transcript:Potri.005G252000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252000.v4.1 MRMRCFSCIKPNRNDIKIDTEHAPRSSSRCSYGSSGTQNARFNVNEHGKNQSPEDGARSFTFRELAAATRNFREVNLIGEGGFGRVYKGRLETGELVAVKQLNQDGLQGDQEFIVEVLMLSLLHHSNLVTLTGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPGKEPLSWSTRIKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFQPKLSDFGIAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLAAWSQPFLKDQKKYCQLADPLLEGCYPRRCFNYAIAITAMCLNEQASFRPLIGDILGALEYLAAQCHVSEPNTGRVRGTNPSSPYSDRKVVSQERDSRSRASI >Potri.005G252000.3.v4.1 pep chromosome:Pop_tri_v4:5:24496041:24500184:-1 gene:Potri.005G252000.v4.1 transcript:Potri.005G252000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252000.v4.1 MRMRCFSCIKPNRNDIKIDTEHAPRSSSRCSYGSSGTQNARFNVNEHGKNQSPEDGARSFTFRELAAATRNFREVNLIGEGGFGRVYKGRLETGELVAVKQLNQDGLQGDQEFIVEVLMLSLLHHSNLVTLTGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPGKEPLSWSTRIKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFQPKLSDFGIAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLAAWSQPFLKDQKKYCQLADPLLEGCYPRRCFNYAIAITAMCLNEQASFRPLIGDILGALEYLAAQCHVSEPNTGRVRGTNPSSPYSDRKVVSQERDSRSRASI >Potri.005G252000.7.v4.1 pep chromosome:Pop_tri_v4:5:24496051:24499734:-1 gene:Potri.005G252000.v4.1 transcript:Potri.005G252000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252000.v4.1 MRMRCFSCIKPNRNDIKIDTEHAPRSSSRCSYGSSGVGFVGTQNARFNVNEHGKNQSPEDGARSFTFRELAAATRNFREVNLIGEGGFGRVYKGRLETGELVAVKQLNQDGLQGDQEFIVEVLMLSLLHHSNLVTLTGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPGKEPLSWSTRIKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFQPKLSDFGIAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLAAWSQPFLKDQKKYCQLADPLLEGCYPRRCFNYAIAITAMCLNEQASFRPLIGDILGALEYLAAQCHVSEPNTGRVRGTNPSSPYSDRKVVSQERDSRSRASI >Potri.005G252000.8.v4.1 pep chromosome:Pop_tri_v4:5:24496594:24500173:-1 gene:Potri.005G252000.v4.1 transcript:Potri.005G252000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252000.v4.1 MRMRCFSCIKPNRNDIKIDTEHAPRSSSRCSYGSSGTQNARFNVNEHGKNQSPEDGARSFTFRELAAATRNFREVNLIGEGGFGRVYKGRLETGELVAVKQLNQDGLQGDQEFIVEVLMLSLLHHSNLVTLTGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPGKEPLSWSTRIKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFQPKLSDFGIAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLAAWSQPFLKDQKKYCQLADPLLEGCYPRRCFNYAIAITAMCLNEQASFRPLIGDILGALEYLAAQCHVSEPNTGRVRGTNPSSPYSDRKVVSQERDSRSRASI >Potri.005G252000.2.v4.1 pep chromosome:Pop_tri_v4:5:24496041:24500172:-1 gene:Potri.005G252000.v4.1 transcript:Potri.005G252000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252000.v4.1 MRMRCFSCIKPNRNDIKIDTEHAPRSSSRCSYGSSGTQNARFNVNEHGKNQSPEDGARSFTFRELAAATRNFREVNLIGEGGFGRVYKGRLETGELVAVKQLNQDGLQGDQEFIVEVLMLSLLHHSNLVTLTGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPGKEPLSWSTRIKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFQPKLSDFGIAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLAAWSQPFLKDQKKYCQLADPLLEGCYPRRCFNYAIAITAMCLNEQASFRPLIGDILGALEYLAAQCHVSEPNTGRVRGTNPSSPYSDRKVVSQERDSRSRASI >Potri.005G252000.6.v4.1 pep chromosome:Pop_tri_v4:5:24496508:24499811:-1 gene:Potri.005G252000.v4.1 transcript:Potri.005G252000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252000.v4.1 MRMRCFSCIKPNRNDIKIDTEHAPRSSSRCSYGSSGTQNARFNVNEHGKNQSPEDGARSFTFRELAAATRNFREVNLIGEGGFGRVYKGRLETGELVAVKQLNQDGLQGDQEFIVEVLMLSLLHHSNLVTLTGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPGKEPLSWSTRIKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFQPKLSDFGIAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTLKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLAAWVS >Potri.016G087601.1.v4.1 pep chromosome:Pop_tri_v4:16:6929646:6929993:1 gene:Potri.016G087601.v4.1 transcript:Potri.016G087601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G087601.v4.1 MTTPTARSSVFVASSSLLISLAALTNNFSTHLNADNYLLWHDQITPLLIYNDLYGHIDGTDPPPSKTILIDGAASSNPEYARWFKIDQLVVGGIKNTLSSTAYAEVLGQNIAKDS >Potri.001G065327.2.v4.1 pep chromosome:Pop_tri_v4:1:6184143:6188792:-1 gene:Potri.001G065327.v4.1 transcript:Potri.001G065327.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065327.v4.1 MGLFLQVLTVLVITVSLQGWVPLGCLEEERIALLHLKDALNYPNGTSLPSWRIAHANCCDWERIVCNSSTGRVTELYLGSTRNEELGDWYLNASLFLPFQQLNILYLWGNRIAGWVEKKGGYELQKLSNLEILDLESNSFNNSILSFVEGLPSLKSLYLDYNRLEGSIDLKESLTSLETLSLGGNNISNLVASRGPSNLRTLYLENITTYGSSFQLLQSLGAFPNLTTLSLEYNDFRGRILELQNLSSLESLYLDRCSLDEHSLQSLGALPSLKNLSLQEVNDTISSGAFLDLKNLEYLDLSYITLNNSIFQAIRTMTSLKTLNLMGCSLNGQIPTTQGFLNLKNLEYLDLSDNTLDNNILQTIGTMTSLKTLSLSSCKLNIQIPTTQGLCDLNHLQVLYMYDNDLSGFLPPCLANLTSLQRLDLSYNHFKIPMSLRPLYNLSKLKSFDGSSNEIFAEEDDHNLSPKFQLESLYLSSIGQGARALPKFLYHQFNLQFLDLTNIQIQGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSILSISMNHFQGQIPSEIGAHLPGLEVLFMSDNGFNGSIPFSLGNISSLQWLDLSNNILQGQIPGWIGNMSSLEFLDLSGNNFSGRLPPRFGTSFNLRYVYLSRNKLQGPIAMTFYDSSKIFALDLSHNNFTGTIPKWIDRLSNLRFLLLSYNNLEGEIPIQLSRLDQLILIDLSHNHLSGNILSWMISTHNFPVESTYFDFLAISHQSFEFTTKNVSLSYRGDIIWYFKGIDFSCNNFTGGIPPEIGNLNMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGNTPVRVAQFATFEENCYKDNPFLCGEPLPKICGAAMSPSPTPTSTNNKDNGGFMDIEVFYVTFWVAYIMVLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLVDNLSILSKFGFS >Potri.001G065327.1.v4.1 pep chromosome:Pop_tri_v4:1:6184144:6188792:-1 gene:Potri.001G065327.v4.1 transcript:Potri.001G065327.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065327.v4.1 MGLFLQVLTVLVITVSLQGWVPLGCLEEERIALLHLKDALNYPNGTSLPSWRIAHANCCDWERIVCNSSTGRVTELYLGSTRNEELGDWYLNASLFLPFQQLNILYLWGNRIAGWVEKKGGYELQKLSNLEILDLESNSFNNSILSFVEGLPSLKSLYLDYNRLEGSIDLKESLTSLETLSLGGNNISNLVASRGPSNLRTLYLENITTYGSSFQLLQSLGAFPNLTTLSLEYNDFRGRILGDKLQNLSSLESLYLDRCSLDEHSLQSLGALPSLKNLSLQEVNDTISSGAFLDLKNLEYLDLSYITLNNSIFQAIRTMTSLKTLNLMGCSLNGQIPTTQGFLNLKNLEYLDLSDNTLDNNILQTIGTMTSLKTLSLSSCKLNIQIPTTQGLCDLNHLQVLYMYDNDLSGFLPPCLANLTSLQRLDLSYNHFKIPMSLRPLYNLSKLKSFDGSSNEIFAEEDDHNLSPKFQLESLYLSSIGQGARALPKFLYHQFNLQFLDLTNIQIQGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSILSISMNHFQGQIPSEIGAHLPGLEVLFMSDNGFNGSIPFSLGNISSLQWLDLSNNILQGQIPGWIGNMSSLEFLDLSGNNFSGRLPPRFGTSFNLRYVYLSRNKLQGPIAMTFYDSSKIFALDLSHNNFTGTIPKWIDRLSNLRFLLLSYNNLEGEIPIQLSRLDQLILIDLSHNHLSGNILSWMISTHNFPVESTYFDFLAISHQSFEFTTKNVSLSYRGDIIWYFKGIDFSCNNFTGGIPPEIGNLNMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGNTPVRVAQFATFEENCYKDNPFLCGEPLPKICGAAMSPSPTPTSTNNKDNGGFMDIEVFYVTFWVAYIMVLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLVDNLSILSKFGFS >Potri.013G122701.1.v4.1 pep chromosome:Pop_tri_v4:13:13179205:13180260:-1 gene:Potri.013G122701.v4.1 transcript:Potri.013G122701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G122701.v4.1 MLGLDRMPRPNDMGVISHIGSERPEPGPYKCMLTLTSKTRFGAMRGCQEQSREGDLGGSPGHGWIGRPKEDNILLIHVEPKCLWVSHDARPNTLELSCTLSPSAYGSYKIPVPTPLGSTTCYVYEHMGLARYSTQHPWFWN >Potri.011G041900.1.v4.1 pep chromosome:Pop_tri_v4:11:3258580:3261891:1 gene:Potri.011G041900.v4.1 transcript:Potri.011G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041900.v4.1 MVIADQRSPADITNNNNNNNNKVWGLFKLPFLGGSDANTTPSSSSTTTATTPTMMMQQQQHQHHHHHHNHQHNSQIEGSSNPPHPSNSVSSVARSLLPTRRRLKLDPASKLYFPYEPGKQVRSAIRIKNTSKSHVAFKFQTTAPKSCFMRPPGAILAPGESIIATVFKFVELPENNEKPMEQKSKVKFKIMSLKVKGVMDYVPELFDEQKDQVAIEQILRVIFLDPERPSPALEKLKRQLADADAAVEARKKPPEDAGPRIIGEGLVIDEWKERRERYLARQQGEGVDSV >Potri.004G233100.1.v4.1 pep chromosome:Pop_tri_v4:4:23824806:23827440:-1 gene:Potri.004G233100.v4.1 transcript:Potri.004G233100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233100.v4.1 MSTPGEYYRSLPPVSKAYGVACLMTTAAYYLGLYQASSIALYYDDVIKRFQVWRLITNFFFLGPFSFPFAFRLIIIARYGVQLERGPFDKRTADFVWMFFFGALSLLVMAAVPFLWSGFMGVSLVFMIVYIWGREFPNAQVSIYGLVSLKGFYLPWAMLALDLIFGDPLMPDILGMLAGHLYYFLTVLHPLSGGKFIFKTPIWVHKLVAFWGEGTQVNAPVQRDPSAGTAFRGRSYRLNGTRNNSAGQAQENPQTQQPDSNNGVAFRGRGYRLGGQ >Potri.010G158000.8.v4.1 pep chromosome:Pop_tri_v4:10:16478426:16482193:-1 gene:Potri.010G158000.v4.1 transcript:Potri.010G158000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158000.v4.1 MDLEHGSRSGKHSESHPEVINGTGSSDHGDTYPRLRVRLGSSGHLQIDPEKIEGSERSRNSELHSEVINGSDSSKHIEPKPESTVIEGDIYMPNIKFGFSSSSSSSSSSSGSSLYDLFDLIPKESADPGAGSADFESSNKPHEVTQPASKDHENGVSIDSSHRSEENEDGSSDHALTPTVSDVTRESPAHNMSPKQSPPLQVMERPGGYDPLRIPSSIFEKNKGTAPMDWSVASNESLFSIHAGNNSFSRDHVLLLGDLGKSGDITKSGELIMFSPLPPREMVATDNQSSVPDVETKKQKGGANGIADNTIKDPAEYQNEENKTNQAVSWKSPSTSNHSHGSADSVKSFSFPILANGMRSGSLKAGVEQRKQQHLESSPAPVSQKSASFHWFHCFSCCSWGCSFNRCCSCQKIKCCC >Potri.014G016700.3.v4.1 pep chromosome:Pop_tri_v4:14:1009990:1012674:-1 gene:Potri.014G016700.v4.1 transcript:Potri.014G016700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016700.v4.1 MLSACNEIKKMADESLSPGDLFCYEDFLGELAVADEDDTYIDITSTYVGDPDTEDEYLTLLANREPHQGFNANETLVLDASFRTARLEAITWILRTRKNFGFHFHTAYLSMIYFDRFLSSRFIDRNYTRVVSLISVGCISLAAKMEEVRVPSLPQLQTEGVTFESTNVERVELGILSTLQWRMNYATPFAFLRYFIIKFSRQDSPPRETVSRTVQSILALMREIHLMSHRPSVIAAAATLVVLNNSLTRTTLETQMNSIAYPGFLNIEEVFQCYNLLQQLDVENLHTPRNVNSASRSNDIKRRRLQFDNDGPA >Potri.T124408.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:11794:15004:1 gene:Potri.T124408.v4.1 transcript:Potri.T124408.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124408.v4.1 MESLRLICDRDAQIQLQKMDSFTASFSNSMDSVKARAEETVQNQGKLGRLKSSLKEADGEFVKVLAVKTQKEAKQLVTRDSISATRARIQKLQKSVQVQRARRDEHAAIMSQQSLETEHQDIDHRREIQEAMLWYNMVLGFKIEGGRGVKFTFNNINLKNPYKEYSFTIRYENDMYTLLACDPQLNDTKQLIHELNKTNGLFKFVRKLREKFQEAAPLGFLPQSTTLHQETTISVSAPVFSDVSESPSTTSQTSDELKRNSKRSRHGRGGRQAIMSPVSVRRSPRFKVT >Potri.005G067400.2.v4.1 pep chromosome:Pop_tri_v4:5:4382810:4383277:1 gene:Potri.005G067400.v4.1 transcript:Potri.005G067400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067400.v4.1 MSTTATTTTVMRTPPSSSTTTTFLENLTRQQQQTLTLRLNRPKKKVSWKEGTVDNEYMQKKSSKICCIFHKEKPFDEDDSDDDCNHDHKSDGACSSSQNNGGD >Potri.005G067400.3.v4.1 pep chromosome:Pop_tri_v4:5:4382747:4383858:1 gene:Potri.005G067400.v4.1 transcript:Potri.005G067400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067400.v4.1 MSTTATTTTVMRTPPSSSTTTTFLENLTRQQQQTLTLRLNRPKKKVSWKEGTVDNEYMQKKSSKICCIFHKEKPFDEDDSDDDCNHDHKSDGACSSSQNNGGES >Potri.012G005600.3.v4.1 pep chromosome:Pop_tri_v4:12:149241:153289:-1 gene:Potri.012G005600.v4.1 transcript:Potri.012G005600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005600.v4.1 MGFSPLSLSQFLSSILFLFHFHATISSSNYSSSSHFCAPDQSLSLLQFKESFSISSSASGRCQHPKTESWKEGTDCCLWDGVTCDMKTGQVTALDLACSMLYGTLHPNSTLFSLHHLQKLDLSDNHFNSSRISSRFGQFSNLTHLNLNYSVFAGQVPSEISRLSKLVSLDLSGDRNDYLSLEPISLDKLVRNLTQLRELDLSRVNMSLVVPNSLMNLSSSLSSLILNSCRLQGKFPFSMRKFKHLQQLELAENNLTGPLPYDLGQLTELVSLALSGNENDHLSLEPISFDKLVQNLTQLRELDLSWVNISLVAPNSLMNLSSSLSSLILYSCGLQGKFPSSMRKFKHLQQLDLRKNNLTGSIPDDLGQLTELVSIDLSFNDYLSVEPSSFDKTIQNLTKLRGLRLGYVNMPLVIPNSLANLSSSLSALSLWGCGLHGKFPDNIFLLPNLEELDLTYNDDLTGSFPSSNVSNVLWLLGLTRTRISVSLENDFFNNLKLLEVLVLRNSNITRSNLSLIGDLTHLTRLDLAGSNLSGQVPSSLTNLVQLQSLYLDNNNFSGRIPEFLGNLTLLENLGLSNNQLSGPIPSQISTLSLRLFDMSKNNLHGPIPSSIFKQENLEALSLASNSKLTGEISSSICKLKVLQLLDLSNNSLSGFIPQCLGNFSNSLSVLNLGMNNLQGTIFSPFSKGNNLKYLNLNGNELEGKIPSSIINCIMLQVLDLGDNKIEDTFPYFLETLPELYILALKSNKLHGFVSSPTTKNSFSKLRVFDISNNNLSGPLPIGYFNSFEAMMAYDQNPFYMMAYSIKVTWKGVEIEFEKIQSTLRILDLSNNSFTGEIPKEIGKLKAVQQLNLSHNSLTGHIQSSFGMLTYLESLDLSSNLLTGRIPVQLADLTFLAVLDLSHNRLKGAIPTGKQFNTFNASSFEGNLDLCGFPMPKECNNDEAPPLQPSNFHDGDDSKFFGEGFGWKAVAIGYGSGFVFGVTMGYVVFRTRKPAWFLKVVEDQWNLKARRTKKNARRNGARRN >Potri.006G089301.1.v4.1 pep chromosome:Pop_tri_v4:6:6789484:6790334:-1 gene:Potri.006G089301.v4.1 transcript:Potri.006G089301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089301.v4.1 MDISPTSSTGKSDQIVCDSDYLQDSSHVRSYTCAFCKRGFSNAQALGGHMNIHRRDRAKLKQASDENFLSLDITKSTEEISRAPKTPCALPVDQHVSFTLRNKASEEVQPLPFIVDVKAGTDGDEDNKMQLNQATMQAGLDLELRLGSDPHESSTRSSPREFL >Potri.002G103600.1.v4.1 pep chromosome:Pop_tri_v4:2:7592215:7594307:1 gene:Potri.002G103600.v4.1 transcript:Potri.002G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103600.v4.1 MNSVKVVICHKHRSFSSLPWISPLHFLTPKLDPPPKTLLEPRRKPKFISHETAVNLIKHERDPQHALEIFNLVVEQKGFNHNHATYSTIIDKLARAKKFQAVDALLRQMMYETCKFHESLFLNLMKYFAKSSEFERVVEMFNKIQPIVREKPSLKAISTCLNLLVESKQVDLLRGFLLDLNKDHMLKPNTCIFNIFIKYHCKSGDLESAFAVVKEMKKSSISYPNLITYSTLMDGLCESGRLKEAIELFEEMVSKDQILPDALTYNVLINGFSCWGKVDRAKKIMEFMKSNGCSPNVFNYSALMSGFCKEGRLEEAMDAFEEMKIFGLKQDTVGYTILINYFCRFGRIDEAMALLEEMKETKCKADIVTVNVLLRGFCGEGRTEEALGMLNRLSSEGIYLNKASYRIVLNSLCQKGDLDKALELLGLTLSRGFVPHHATSNELLVGLCKAGMADDAVVALYGLAEMGFKPEQDSWALLVEFVCRERKLLLAFELLDELTANECEDFVPS >Potri.002G103600.2.v4.1 pep chromosome:Pop_tri_v4:2:7593113:7598092:1 gene:Potri.002G103600.v4.1 transcript:Potri.002G103600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103600.v4.1 MKYFAKSSEFERVVEMFNKIQPIVREKPSLKAISTCLNLLVESKQVDLLRGFLLDLNKDHMLKPNTCIFNIFIKYHCKSGDLESAFAVVKEMKKSSISYPNLITYSTLMDGLCESGRLKEAIELFEEMVSKDQILPDALTYNVLINGFSCWGKVDRAKKIMEFMKSNGCSPNVFNYSALMSGFCKEGRLEEAMDAFEEMKIFGLKQDTVGYTILINYFCRFGRIDEAMALLEEMKETKCKADIVTVNVLLRGFCGEGRTEEALGMLNRLSSEGIYLNKASYRIVLNSLCQKGDLDKALELLGLTLSRGFVPHHATSNELLVGLCKAGMADDAVVALYGLAEMGFKPEQDSWALLVEFVCRERKLLLAFELLDELTANECEDFVPS >Potri.008G012400.5.v4.1 pep chromosome:Pop_tri_v4:8:630826:633204:-1 gene:Potri.008G012400.v4.1 transcript:Potri.008G012400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012400.v4.1 MAMAPSPPCIHIFFASILLLSNFHLGFSSSSSTLQENHSNGSYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNITIFAPRNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPNRINLSHNSSLHHHSTLCRDRIKLGSQSGEKLIDSAKIIQVNAVERPDGVIHGIERLLIPRSVQQDFNNRRSLQSISAVKPEGAPEVDPRTQRLKKPAPPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGERQVKDFIETLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKISYDTLRLPHKVLAQEADGSVKFGHAENSAYLFDPDIYTDGRISVQGIDGVLFPLEEKEKSDTKTEMKSVKVAAKPQRRGMFP >Potri.008G012400.1.v4.1 pep chromosome:Pop_tri_v4:8:628376:633222:-1 gene:Potri.008G012400.v4.1 transcript:Potri.008G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012400.v4.1 MAMAPSPPCIHIFFASILLLSNFHLGFSSSSSTLQENHSNGSYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNITIFAPRNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPNRINLSHNSSLHHHSTLCRDRIKLGSQSGEKLIDSAKIIQVNAVERPDGVIHGIERLLIPRSVQQDFNNRRSLQSISAVKPEGAPEVDPRTQRLKKPAPPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGERQVKDFIETLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKISYDTLRLPHKVLAQEADGSVKFGHAENSAYLFDPDIYTDGRISVQGIDGVLFPLEEKEKSDTKTEMKSVKVAAKPQRRGKLLEVACRMLGTFGQDSHFTTCQ >Potri.010G233400.1.v4.1 pep chromosome:Pop_tri_v4:10:21464280:21466665:1 gene:Potri.010G233400.v4.1 transcript:Potri.010G233400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233400.v4.1 MAETENSKIVTQKDSSQKPGNLFSIFPKFELKVPFFNKPVPLAKEEPKIAVVTEGGENESGIQKPNIVSFPNTRSLAPSSIEVEVEEGSGRTHNPVIIWQVYALGGFIVLKWIWARWQERNEKAKKASSDDDQSNDGYQSPADEE >Potri.017G069550.1.v4.1 pep chromosome:Pop_tri_v4:17:5784685:5785518:-1 gene:Potri.017G069550.v4.1 transcript:Potri.017G069550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069550.v4.1 MLLGSFACAVAWGCVVICWRFCLSYWLKIVLILLWFDGGGCDARVWDCLVSSCCVCAAFLALGFFVQQASLAFVAVQGSLFFFIKGVCPLCLNMSVYLTIGSPALFY >Potri.009G051800.2.v4.1 pep chromosome:Pop_tri_v4:9:5667104:5671439:-1 gene:Potri.009G051800.v4.1 transcript:Potri.009G051800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051800.v4.1 MAIAACFFTASIPNNTNAKLINLRSFNPSSSLHLHLRLPLPPLSSPLRHQHNNHRLPLFIPCSSGGDGGINHHPPPSGGGGGDNSDDNSHNGDGDSAEDKNRGEAMVVLAEAKRSLESFPKDLAAAIQAGKIPGSVVSRFLELEESRFFGWLMQFDGFRERLLADDLFMAKVGMECFVGMFTKTAAEYERRRENFFNELEVVFADVVMAIIADFMLVYLPAPTVSLRPPLAGNAGSIAKFLHNCPDNAFQVALAGTSYSLLQRLGAIARNGAKLFAVGTASSLVGTAVTNALINARKAVDKSATGEVENVPIVSTSVAYGVYMAVSSNLRYQILAGVIEQRILEPMLHQHKIMLSALSFAVRTGNTFLGSLLWVDYARMIGIQKAQEEHKELTD >Potri.008G215100.3.v4.1 pep chromosome:Pop_tri_v4:8:16699051:16706713:1 gene:Potri.008G215100.v4.1 transcript:Potri.008G215100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G215100.v4.1 MDVPKDQITALLDHELYNSAQILGSFLVSSATVSLETSPQLKAENQILLGDALFREREFRRAIHTYKQALHYYKIIPKQSSTTSRSSLSNRSSSPNSFNISAINENEVKFKIASCHATLNETRAALVEMEGIPSKARTLQMSLLMAKLYRSSRHTRLAITCYKECLRHCPFVIEAIVALAELGVAAKDVISLFSQVSNRSGRAPLDHTDSTRWLQRYVEAQCCIASNDYKGGLELFGELLQRFPNNIHILLEIARAEAIIGKNDEAIMNFEKVRSIDPYVVTYMDEYAMLLKTKGDFSKLNKLVHDLLSIDPTRPEIFVALSVLWEKKDEIGALSYAEKSIRIDERHIPGYIMKGTLLLSLKRPEAAVIAFRGAQELRADLRSYQGLVHSYLAFSKIKEALHAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEALSHYQAALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEENEVEDADGDQEETDLL >Potri.008G215100.1.v4.1 pep chromosome:Pop_tri_v4:8:16699225:16706256:1 gene:Potri.008G215100.v4.1 transcript:Potri.008G215100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G215100.v4.1 MDVPKDQITALLDHELYNSAQILGSFLVSSATVSLETSPQLKAENQILLGDALFREREFRRAIHTYKQALHYYKIIPKQSSTTSRSSLSNRSSSPNSFNISAINENEVKFKIASCHATLNETRAALVEMEGIPSKARTLQMSLLMAKLYRSSRHTRLAITCYKECLRHCPFVIEAIVALAELGVAAKDVISLFSQVSNRSGRAPLDHTDSTRWLQRYVEAQCCIASNDYKGGLELFGELLQRFPNNIHILLEIARAEAIIGKNDEAIMNFEKVRSIDPYVVTYMDEYAMLLKTKGDFSKLNKLVHDLLSIDPTRPEIFVALSVLWEKKDEIGALSYAEKSIRIDERHIPGYIMKGTLLLSLKRPEAAVIAFRGAQELRADLRSYQGLVHSYLAFSKIKEALHAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEALSHYQAALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEENEVEDADGDQEETDLL >Potri.008G020800.1.v4.1 pep chromosome:Pop_tri_v4:8:1037183:1037815:1 gene:Potri.008G020800.v4.1 transcript:Potri.008G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020800.v4.1 MSLTVTVLFAVLLHGCVTLASDPDPVRDFCIANTDSATNIPCKNSSDATVEDFIFSGIKSHGKFSKTGLASIPVNVNNFPCLNTLGVSLVRADFEAGGVNVPHFHPRATEVAYVLEGKIYSGFVDTQNRVFAKVLEKGEVMVFPRGLVHFQMNVGDKPATILGSFNSENPGLMRIPTAVFGCGIKEELLEKAFGLSVKDISKVRKNLHLC >Potri.008G204100.1.v4.1 pep chromosome:Pop_tri_v4:8:14852648:14853105:1 gene:Potri.008G204100.v4.1 transcript:Potri.008G204100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204100.v4.1 MEMAMELEDDLFFADLSKQISLLIMDDDDEDPSVSFQAFSRGNYPSAPSHSMYEQNCRRESCKGTGVFIPKSSQPRRKHRQGRYSQKSNNRQHDNTRVVSQVSYSNAFKSKKS >Potri.002G161700.1.v4.1 pep chromosome:Pop_tri_v4:2:12373298:12375164:-1 gene:Potri.002G161700.v4.1 transcript:Potri.002G161700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161700.v4.1 MRIRKNAKLSSLVFSHGSGAQPLQTHVCQLNQSPWDVISFSQETYYPSSSPSQFEGEDSLNGNGSLGDSIGAVESVASMMMEDSEQKGMIKMKVDNMVIIDDNHDNEGNRRSEMLDDYEGMKIDSEFELKNCNKTDGKGWHCKNETRNGLPMCDHHHHLTSLKSSSCNNGNINGSAIATKKPDKGTCITGARRGRAKSAKKGSSSNSNPYEFYYYSGFGPLWGKRRGDRDAVNKNEDKDVDNGTIIDSMTQNTTPFSSSSPIENNRQFDYVEEEEDDDDDKEEDSGKKRMRKPVKARSLKSFM >Potri.002G074000.1.v4.1 pep chromosome:Pop_tri_v4:2:5183179:5184150:1 gene:Potri.002G074000.v4.1 transcript:Potri.002G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074000.v4.1 MVQGRGSADLVMVNVAALLCLMVLTGHVHAATYTVGGSGGWTLNMDSWPKGKRFKAGDTLVFTYDPTIHNVVAVNRGGYSSCITPAGAKVYKSGKDQIKLSKGQNFFICNVAGHCESGMKIAINAA >Potri.001G217300.1.v4.1 pep chromosome:Pop_tri_v4:1:22671374:22674032:1 gene:Potri.001G217300.v4.1 transcript:Potri.001G217300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217300.v4.1 MEVASGSGDKAGFFTQGRSWLKALPVKFKANMVGMARNIKKLGQEDPRRVVHSLKVGLALTLVSTLYYLRLFYKNYRLDAIWAVMTVVLVFEFSVGATLGKGLNRGMATLLAGALGVGAHYLAGGPILILFLVFLQATISTFLRFLPKIKARYDYAMLIFILTFSMITVSGFQENILESAQSRLSTVSIGAAVCVIVSIVIFPVWAGEDLHNLIALNIEKLGNSLEGFGDEYFKRTGGEESKDDKKFLEGYKSVLNSKKSEESLANFAAWEPCHGRFPFGHPWKLYLKVGTLARECAYRIEALNGYLNADIQASSEVSNIIQEACTKMSRESGKSLKELALAIKIMVQPSSADSHIENAKSAAKNIKSLLKSGIWEDIDLLKVIPGVTVASILIDVVTYTEKISESIYELASKAQFKSVEPTLSPKKLHSGQNQSVKSAQIVNCPDVGINVRESTLPSPPSENSSAPKASKQRMGV >Potri.012G014600.1.v4.1 pep chromosome:Pop_tri_v4:12:1738841:1742887:-1 gene:Potri.012G014600.v4.1 transcript:Potri.012G014600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014600.v4.1 MSVASVALSPVCGESLDSRCNFPWLCGLRSSYSIVIYLSFGGSVIPMRVMESDSIASVKLRIQASEGFFVKKQKLVFEGRELARNNSQVRDYGVANGKVLHLVLRLYDLQTITVRTVCGKVCDFHVDRGRSVGYVKKQIARKGKCFELVEQELICDGEELEDQRLITDICKSNDSVIHLLIRKSAKVRVKPVEKDFELSVEALDLNDKEAGSVGEHKQGALSMGYRGIERKPLLQQFLLEPLIVNSKIQLPLVIRELIKSTLNGLERGNEPIRSSEGSGGAYFMQDSSGLKYVSVFKPIDEEPMAVNNPQGLPLSVDGEGLKKGTRVGQGALREVAAYILDHPKSGPRSFSGEERGFAGVPPTAMVKCLHRGFNHPDGYEFDSKNIKIGSLQMFIENNGNCEDMGPCAFPVAEVHKISVLDIRLANADRHAGNILVSKDGERGKIVLIPIDHGYCLPTNFEDCTFDWLYWPQAQQPYSPDTVEYIKALDAEQDIALLKFHGWDIPPECARTLRISTMLLKKGVERGLTPFAIGSLMCRETVKKESVIEQIVQEAHDAVLPGSSEAAFLEAVSLIMDRRLDKLSS >Potri.001G464300.1.v4.1 pep chromosome:Pop_tri_v4:1:48986672:48988386:-1 gene:Potri.001G464300.v4.1 transcript:Potri.001G464300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464300.v4.1 MPLPWKKTRVSRFSRLVADLQSPKRGNSLVVETGFPTSLIDLFHKNRERLKKPTAKKKKRRQQQLQEVEEELVISDPIPLSSSRDLVDVPEPIQNLENVESFDACELVSQLLPVVEGVDDVDNVTVTDKKCWDEKEKRLLFVVLKMFLVLVLGLSTKGLVVGITMSAFVLIFLEYVGKHVLCFLKLCLSVELVLEPFVERVSSAFFMLKGVRKCEDSSKGLIIQEIDQEEAVSGVDSCDLIETLEMKSSVEEIQAVEVNFDMIVPVEENRGAESRMDLLSCDGKKMRIVEIEEDRSGVLVCEKEKSRNSKIRRKIIQKLVPKKLRAVKKAKKNNDKEPDFGSESSSCWGDVEGRIEEGINERKGEEPYQGISSSSTGWQAKMEVVVVEKGKTEKKGSSDYLILFFVALAGLVGGRSLSLVLTLAACLMIKLVARYRCVNEPMNRSPASISS >Potri.001G279000.1.v4.1 pep chromosome:Pop_tri_v4:1:29259748:29262296:1 gene:Potri.001G279000.v4.1 transcript:Potri.001G279000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279000.v4.1 MRFQSPVIAPMKAEQERKMRKEEDESLIIYLKKEVEAALLRTDSLEKENQDLRQEVVRLKAQICSLKAHDNERKSMLWKKLQNPFDSSKTEVFLQKQSDFVKVSERSVEHSSPRPSIQELAAIKEKHAKVPNPPPRPTYVAPPSLKEANDNKLPLTSAPPPPPPPPNMCAGSKAVRRVPEVVEFYRLLTRRDAHMENRTNSAAIPVVAFTPNMIGEIENRSSYLSAIKSDVEKQKEFINFLIKEVESSAFKDISDVKAFVKWLDDELSSLVDERAVLKHFPQWPERKADALREAAFNYRDLMNLESEVSSFQDNPKDLLTLALGRMQALQDRLERSIDNMERTRESMIKRYRDFQIPWEWLLNTGLIGEMKLSSLRLAKVYLKRITKELQLNECSGEDNLLLQGARFAYRVHQFAGGFDAETIRAFQELKKIGMGSLKQ >Potri.002G170100.1.v4.1 pep chromosome:Pop_tri_v4:2:13100858:13107348:-1 gene:Potri.002G170100.v4.1 transcript:Potri.002G170100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170100.v4.1 MKEEDPMSDRNQTFQADPNLPRWVCQNCRNPLCIVGVDSFADKFLNDSSSRSGMQGCSVHGANSILGLTRMDNSFVVLPKQKPQAPGVPPRPRSGAVQPDTGQSGKAMEESFVVVYKSEPSSDGGGSHLPSIEGGPNGQLHPNNAGFNSTITVLKRAFEIATTQTQVEQPLCLECMRVLSDKLNKEVEDVNRDIEAYEACLQRLEGEARDVLSEADFLKEKLKIEEEERKLEAAIQETEKQYAEVNAELKELEIKSDRFKDLEERYWQEFNNFQFQLISHQEERDAILAKIEVSQAHLELLKKTNVLNDAFPIHHDGEFGTINNFRLGRLPKIAVEWDEINAAWGQACLLLHTMCQYFKPKFQYRIKILPMGSYPRIMDSSNNIYELFGPVNLFWSTRYDKAMTLFLTCLKDFAGFAYSKDQENNIPPEKRFKLPYKIENDKVENHSITQSFNKQENWTKALKYTLCNLKWALYWFIGNTNFQPLTAMVSPRVEVAAVNSFYTKRGNDSKAESRRP >Potri.012G121900.1.v4.1 pep chromosome:Pop_tri_v4:12:13989666:13994631:1 gene:Potri.012G121900.v4.1 transcript:Potri.012G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121900.v4.1 MACGALRRDCYEGAEGMRRFREQVDSCQGGELSNDIVILRVQEEVNLVVGVKKQCDKLKSNLLDIQSVLEDADRKQVKDKAVRDWVDKLKDACYDMDDVLDEWSTAILRWKMEEAEENTHSRQKIRCSFLGSPCFCFNQVVRRRDIALKIKEVSEKVDDIAKERAKYGFDLYKATDELQRRPTRTSTSFVDESSVIGRDGEKKTVLSKLVGESSQEARDVDVISLVGLGGIGKSTLAQLAFNDAEVTAHFEKKIWVCVSEPFDEVRIAKAILEQLEGRPTNLVELQSLLQGVSESITGKRLLLVLDDVWTENHEQWEKLKPSLTGCARGSRILVTTRKDAVATMMGTTHRINIEKLSDEICRSIFNHVAFQERSEDERERLTDIGDKIANKCKGLPLAAKVLGGLMQSKRTREEWERVLSSELWRLDEVDRDQVERRIFLPLLLSYYDLHYVVRRCFLYCAMFPKDYEMGKYELVKMWIAQGYLKETSGGDMELVGEQYFQVLAARSFFQDFETDEGEGMKFKMHDIVHDFAQYMTKNECLTVDVNTLREATVDTSIERVRHLSMMLSEETSFPKSIHKAKGLRSLLIDTRDPSLGAALPDLFKHLTCIRLLNLSGSSIKEIPNEVWKLIHLRHLNLAYCRELVSLPETMCDLCNLQSLDVTWCHCLKELPKAIGKLIKLRHLRIYASGVAFIPKGIERITCLRTLDVFTVCGGGENESKAANLRELKNLNHIGGSLNIQNLGGGKEDASDAAEAQLKNKKRLLRLLLDFNHNQDNGILIEALRPPSDLESLIIRGYGGLDLPNWMMTLTRLQVLTLRICENVEVLPPLGRLPNLESLLLISLKVRRLDGGFLGIEKDENASINEGEIARVTAFPKLKTLSFWHLKEVEEWDGIERRSVGEEDANTTSIPIMPQLQELRIMNCPLLRAVPDYVLAAPLQTLVIDVCPNLRKRYGKKGEDWQKISHIPNTSYCLKNS >Potri.002G126500.1.v4.1 pep chromosome:Pop_tri_v4:2:9605432:9609088:1 gene:Potri.002G126500.v4.1 transcript:Potri.002G126500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126500.v4.1 MGGSQSREDLELSDSDTEGQQEEETEEEENYQDVSNETPEKSSSTGRNRPKTPSSLDEVESKLKALKLKYPSTITRTQQSPNSNFKNGVKLYLHIGGNTPKAKWVTSEKLTSYSFIKTSKINGQDEEEDSESESEGVSWWVLKVSTKIRAKVAVEMQLKTFKEQRRVDFVADGVWAIKFFSDEDYKVFNSKYQECLFENTFGYESNEANKVKVYGKDFVGWANPEKADDSMWEDAEDDFLKSPGSVTPVRGNQDLQEGFEEAANGGIQSLALGALDNSFLVGSSGIQVVKNFSHGIHGKGAYVNFGSGNHRSSSNLVHSTPKKALLMRAETNMLLMSPMNEGKLHSTGLHQLDIETGKIITEWRFEKDGTDITMRDIANDSKGAQLDPSGSTFLGLDDNRLCRWDMRDRHGIVQNLATANTPVLNWTQGHQFSRGTNFQCFASTGDGSIVVGSRDGKIRLYSGNSMRQAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILICTLFTDKDGKMKTGFNGRMGNRIAAPRLLKLTPLDSHLAGVNNKFQKAQFSWVTENGKQERHLVATAGKFSAIWNFQQVKNGSHECYRNQEGLKSCYCYKVVLKDDSIVDSRFMHDKFAVSDSPEAPLVIATPMKVSSFSISSRR >Potri.006G086400.1.v4.1 pep chromosome:Pop_tri_v4:6:6474089:6481975:1 gene:Potri.006G086400.v4.1 transcript:Potri.006G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086400.v4.1 MAPPNDPKQSESGSSSGSGSGGGFFASIASSLSNFGTAMTRSVNGLVPYEGLEVVNPEGGTEDAEEEASKGRWKQEDRDSYWKMMQKYVGSDVTSMVTLPVLIFEPMSMLQKMAELMEYSHLLDLADECEDPYMRLVYSASFFISVYYAFQRTWKPFNPILGETYELTNHGDLTFISEQVSHHPPISAGHAENEHFTYDITSKVKTKFLGNSIEIYPLGRTRVTLKRDGVILDLVPPLTKVSNLIFGRTWIDSPGEMVLTNMTTGDKVVLYFQPCGWFGAGRYEVDGYVYNAAEEPQILMTGKWNGSMSYQPCDMEGEPRAGTEMKEVWRVAEAPKNDKFQYTHFAHKINSFDTAPKKLLGSDSRLRPDRYALEHGDISKAGYEKSSLEERQRAEKRNREVKGHQFTPRWFDRTDEIHPTPWGDLEVYQYNGKYSEHRAAIDSSGSIEETDIRSMEFNPWQFENLAAE >Potri.011G141001.1.v4.1 pep chromosome:Pop_tri_v4:11:17148564:17149994:1 gene:Potri.011G141001.v4.1 transcript:Potri.011G141001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141001.v4.1 MQVQPCKTRGRHQSKKATANVFKYILPAIGAVGMAMAFTVLYVMRGRRNEKKKQGDFPDLATWRRVSFQELERATDGFDEVNLLGTGSFGSVYKGLFSDGANAAVKVFHSQLEGAFKSFDVECEVLRSIRHRNLVKIITSCCNIDFKALVLEFMPNWSLEKWLYSHNYFLDLLQRLNIMIDVASALEYLHHGNATLVVHCDLKPSNILLDENMVAHVSDFGIAKLLGEGHSITQTMTLATVGYMAPEYGSEGIVSVKGDVYSYGILLMETFTRRKPTDEMFTGETNLKLWVKDSLPGAVTQIADANLKDHGQYPAAKKDCLSSILELALAPCNVLQNSLRRG >Potri.006G277400.11.v4.1 pep chromosome:Pop_tri_v4:6:26832800:26839123:1 gene:Potri.006G277400.v4.1 transcript:Potri.006G277400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277400.v4.1 MSGHFEVDGFDQIREDLNPSTAILYPEPYQHGMLNLSPRWVPPEIRRDEAAWQVNIMLHSLIPSSVEAALPFAPNYSRQDLFSEWVPEKAWKDGSNPLIAGNCYEIPGSYVARKRRKKSAIMSSVEPETDKAPTNAVNCDPPVVNDDGVRARTIPSVVAKSKSGMGVGSITARINISNIVELPDSELEVSGDDLSIRELCTSVLRSHGLLAGDCPVSNSAPIEVLGNIKNNNFFQSCELCGNLEKALNMLLCDHCEEAFHLSCCNLNMEMLPTDLWFCPSCSKLNHNVSQETSFLKTCSISWWNEKSKLGPIASMLKYPEAHTSRVRIGTSYQATVPEWSDQLSMDSDCFGEPIEIDTSQTVCLHECPQDRSSNAKPMSNWLQCREVLHDDARGIEGTICGKWRRAPFSEVQTDSWDCSCSVLWDPSHSDCTAPQELETDEVLRQLKYVEQLRLRLVAKKRRIP >Potri.006G277400.5.v4.1 pep chromosome:Pop_tri_v4:6:26832708:26839067:1 gene:Potri.006G277400.v4.1 transcript:Potri.006G277400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277400.v4.1 MLHSLIPSSVEAALPFAPNYSRQDLFSEWVPEKAWKDGSNPLIAGNCYEIPGSYVARKRRKKSAIMSSVEPETDKAPTNAVNCDPPVVNDDGVRARTIPSVVAKSKSGMGVGSITARINISNIVELPDSELEVSGDDLSIRELCTSVLRSHGLLAGDCPVSNSAPIEVLGNIKNNNFFQSCELCGNLEKALNMLLCDHCEEAFHLSCCNLNMEMLPTDLWFCPSCSKLNHNVSQETSFLKTCSISWWNEKSKLGPIASMLKYPEAHTSRVRIGTSYQATVPEWSDQLSMDSDCFGEPIEIDTSQTVCLHECPQDRSSNAKPMSNWLQCREVLHDDARGIEGTICGKWRRAPFSEVQTDSWDCSCSVLWDPSHSDCTAPQELETDEVLRQLKYVEQLRLRLVAKKRRIP >Potri.010G125300.1.v4.1 pep chromosome:Pop_tri_v4:10:14266293:14268718:-1 gene:Potri.010G125300.v4.1 transcript:Potri.010G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125300.v4.1 MPPILPDFSNSVKIKYVKLGYQYLVNHILYLLLIPVMVGILIEVLRLGPDEILSLWRSLHFNTVQILCSSFLIIFIATVYFMSKPRTIYLVDYACYKPPVTCRVPFSTFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPPAIHYIPPKPTMEAARGEAELVIFSAMDSLFKKTGLKPKDIDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISIDLARDILQVHPNSNAVVVSTEIITPNYYQGNERAMLLPNCLFRMGGAAILLSNRRSHRWLAKYRLVHVVRTHKGADDKAYRCVFEQEDKEGKVGINLSKDLMAIAGEALKSNITTIGPLVLPASEQLLFLLTLIGRKIFNPKWKPYIPDFKQAFEHFCIHAGGRAVIDELQKNLQLSAEHVEASRMTLHRFGNTSSSSLWYELGYIEAKGRMRRGDRVWQIAFGSGFKCNSAVWKCNRTIKTPTDSPWADCIDRYPVHIPEVVKL >Potri.008G179600.3.v4.1 pep chromosome:Pop_tri_v4:8:12397870:12400706:1 gene:Potri.008G179600.v4.1 transcript:Potri.008G179600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179600.v4.1 MASRTVAKDIITLRGSAAIVSEFFGYAANSILYNRGVYPEESFVKVKKYGLPMLLTQDEGVRSFISNLNAQLSEWLEAGKLQRVVLVIMSKATNEVLERWNFSIETDSEVVEQGVSREKSDKEIMREIQAIMRQIASSITYLPCLDESCVFDVLAYTDKDVAVPFTWIESDPKLIANPQMVKLHSFDTKIHKVDTLVSYKNDEWDEQ >Potri.008G043800.1.v4.1 pep chromosome:Pop_tri_v4:8:2471171:2474516:-1 gene:Potri.008G043800.v4.1 transcript:Potri.008G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043800.v4.1 MSGPSKKVVDVAFKASRTIDWDGMAKLLVSDEARKEFATLRRAFNEVNSQLETKFSQEPEPIDWEYYRRGIGSRLVDMYKQAYESIEIPKFQDKVTPEYKPKFDQLLVELKEAEQQSLKESERLEKEIAEVQELKTKISTMTAEEYFEKHPELKKKFDDEIRNDYWGY >Potri.018G145800.2.v4.1 pep chromosome:Pop_tri_v4:18:15066896:15075516:-1 gene:Potri.018G145800.v4.1 transcript:Potri.018G145800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145800.v4.1 MKSPANGAAAAVTNGEGVEKKSINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASLKKDVNAQIPNYPNLPSKLLCLLHNVTLHADPETDEVYVQMTLQPVSSFDKDALLRSDLALKSNKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKTFPPLDFSMQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFMRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVYYNPRASPSEFVIPLAKYYKAVYSNQISLGMRFRMMFETEESGTRRHMGTITGISDLDAVRWKNSQWRNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPPPFFRSKHPRQPGMPDDDSTDFDSLFKRTMPWLGDDIYMKDPQVLPGLSLAQRMNMQQNPSLANSMQPNYMQSLSGSVLQNLPGGDLSRQLGLSSPQMPQPNNLQFNAQRLPQQAQQLDQLPKLQSLLNPLGSIIQSQQQMGDITQQSRQNMMAQTLPSSQVQAQLLQPQTLAQTNNILQQQPSIQSHQLLRNLPQTLHQQQQNQQQHIMGQNQQQSLMQSQLSDQVNQHMQMSDNQIQSQLMQKLQQQQQSVSAQQSAMHQAGQLGQLQDSQRQLLDASQSFSRSMTPSQMLEIPQTTPTSLPQPNTIPQQMTKNNNQTNTRFSHLPQQLKPQQQHSGIMLLSEMAGHMGLPPSSMANQLSTAGSSILTAAAGPGQSGITDDVPSCSTSPSTNNCPNIVQPMINGWAHRSTAMGEDMAQSAVTLFSPSALETVSSNGNLVKDLLQKSEVKPSLNISKNQNPGLFSSQTYLNGVAAQIDYLDTSSSTTSVCLSQNDVHLQQNNNSLSYNPQSVLLRDASHDGELQGDPRNNILYGTNIDSQLVMPINSDHLLTKGMMGLGKDFSNNFSSGGMLTNCENSKDPQQELSSAIVSKSFGVPDMPFNSIDSTINDSSLLNRGSWAPPQQQFQRMRTYTKVYKRGAVGRSIDITRYSGYDELKQDLARRFGIEGQLEDQQRIGWKLVYTDHENDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNSVLPNQAGSSSDNVNA >Potri.016G066900.2.v4.1 pep chromosome:Pop_tri_v4:16:4719244:4720125:1 gene:Potri.016G066900.v4.1 transcript:Potri.016G066900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066900.v4.1 MEIARPSYFSGRKIKSSPSDMKAATVLAVAVILFISTGSGQVVPIAPRYHLHILNGLSPDKILLVHCQSKNNDLGVHNIPVNSEFDWSFRTNAWGTTLFWCYLAPDDHSHADFNAFQDKEKITDSCDGNGNCCWIAKDDGVYLRDFPKNSTDDLKYHWVAGT >Potri.018G119000.3.v4.1 pep chromosome:Pop_tri_v4:18:13450741:13452405:1 gene:Potri.018G119000.v4.1 transcript:Potri.018G119000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119000.v4.1 MKEILSRFSKKKPAQCEKCDGLKSDIASLIGKVIEKDIQVSALGKLLALKDEEISRLKSKQNPQLREKIAHKDKKLSRLEDQQLLTDTRMNKLRADIDFVLKEFDEAVKINEEGFDKYSDRSLNKSEKHERLAPTIGIANRHDDKPQSTIPLPHTYERSPPYFPTLKGGSSPLMEENIMVAASSNNDEPPSSFSMFNDDSTLPTEAKITVAPRSDRTASLTSNSSRTTEQNIKVAAPLSSHDILPPYVPRFHASPTAEGSITVTAPSSDHANGRANGDGKLHIFYDSLYGFHRPPTPYPFYVLKKKNKNNVVK >Potri.018G119000.2.v4.1 pep chromosome:Pop_tri_v4:18:13451028:13452623:1 gene:Potri.018G119000.v4.1 transcript:Potri.018G119000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119000.v4.1 MKEILSRFSKKKPAQCEKCDGLKSDIASLIGKVIEKDIQVSALGKLLALKDEEISRLKSKQNPQLREKIAHKDKKLSRLEDQQLLTDTRMNKLRADIDFVLKEFDEAVKINEEGFDKYSDRSLNKSEKHERLAPTIGIANRHDDKPQSTIPLPHTYERSPPYFPTLKGGSSPLMEENIMVAASSNNDEPPSSFSMFNDDSTLPTEAKITVAPRSDRTASLTSNSSRTTEQNIKVAAPLSSHDILPPYVPRFHASPTAEGSITVTAPSSDHANGRANGDGKLHIFYDSLYGFHRPPTPYPFYVLKKKNKNNVVK >Potri.009G015100.1.v4.1 pep chromosome:Pop_tri_v4:9:2656825:2661076:1 gene:Potri.009G015100.v4.1 transcript:Potri.009G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015100.v4.1 MRSSNHLIGVLNFLTFLLSIPILGGGIWLSSRANNTDCLKFLQWPLIIIGISIMVVSLAGFAGACYRNTFLMWAYLFVMFFIIAALLGFIIFAYVVTDKGSGRPLPNRAYSDYYLHDYSGWLKDRVASDSYWHKIGSCIRDSKVCGKMGRTTSGVPETADTFYSRKLSSIESGCCKPPTECGFTYMNETFWSSSGGVVYSTDCNTWNNDQERLCYSCNSCKAGLLANLRKSWRKVSVINIVILIILVIAYVVGCAAFRNNRRIDNDEPYGEARMTKSQPSRIHL >Potri.017G054400.4.v4.1 pep chromosome:Pop_tri_v4:17:4165821:4172706:1 gene:Potri.017G054400.v4.1 transcript:Potri.017G054400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054400.v4.1 MPETMVSSAGGLLAMLNESHPLLKQHALYNLNNFVDQFWPEISTSVPIIESLYEDDEFDLHQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFDVSEDSDYVHTLLAKAIDEYASLKSKAAESNSDGADVDPRLEAIVERLLDKCIMDGKYQQAMGIAIECRRLDKLEEAIMKSDNVHGTLSYCINVSHSFVNRREYRLEVLQLLVNVYQKLPSPDYLSICQCLMFLDEPEGVASILEKLLRSGNKDEALLAFQIAFDLVENEHQAFLLNVRNRLPPPKSQISEPEQPKSLVPDSSQNENSSAPEDVQMTEGTSSSTVHEPDPSEVVYAERLTKIKGILSGEMSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRESIRSTSVEVIQHGACLGLGLAALGTADEDIYDDFKSALYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQMNEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLAFSPTAFIGLNYDLKVPKFEFISNAKPSLFEYPKPTTVPTMASAVKLPTAVLSTSVKAKARAKKEAEQKASLEKAAGAESSPAATTAGKGKASNEKDGDAMQVDGQPEKKAEPEPSHEILTNPARVVPTQEKFIKFMEDSRYVPVKSAPSGFVLLRDLQPTEPEVLSLTDTPSSTASPASGSATGQQGSASAMAVDEEPQPPQPFEYTS >Potri.017G054400.3.v4.1 pep chromosome:Pop_tri_v4:17:4165845:4172875:1 gene:Potri.017G054400.v4.1 transcript:Potri.017G054400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054400.v4.1 MPETMVSSAGGLLAMLNESHPLLKQHALYNLNNFVDQFWPEISTSVPIIESLYEDDEFDLHQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFDVSEDSDYVHTLLAKAIDEYASLKSKAAESNSDGADVDPRLEAIVERLLDKCIMDGKYQQAMGIAIECRRLDKLEEAIMKSDNVHGTLSYCINVSHSFVNRREYRLEVLQLLVNVYQKLPSPDYLSICQCLMFLDEPEGVASILEKLLRSGNKDEALLAFQIAFDLVENEHQAFLLNVRNRLPPPKSQISEPEQPKSLVPDSSQNENSSAPEDVQMTEGTSSSTVHEPDPSEVVYAERLTKIKGILSGEMSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRESIRSTSVEVIQHGACLGLGLAALGTADEDIYDDFKSALYTDSAVAGEAAGISMGLLMVGTASEKASEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQMNEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLAFSPTAFIGLNYDLKVPKFEFISNAKPSLFEYPKPTTVPTMASAVKLPTAVLSTSVKAKARAKKEAEQKASLEKAAGAESSPAATTAGKGKASNEKDGDAMQVDGQPEKKAEPEPSHEILTNPARVVPTQEKFIKFMEDSRYVPVKSAPSGFVLLRDLQPTEPEVLSLTDTPSSTASPASGSATGQQGSASAMAVDEEPQPPQPFEYTS >Potri.005G108633.1.v4.1 pep chromosome:Pop_tri_v4:5:7862231:7863799:1 gene:Potri.005G108633.v4.1 transcript:Potri.005G108633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108633.v4.1 MYRLPVKSNLVDSGSDTTKKGFGDNNQPLCPKPRRLGPALPEFLKPFRCSKHCQPITDERSGILSLVADKAIDGGETICTGCSPCCYSGSPPGRTDNPLVHDVQFIHQMELLSPFTRTKLTDKFGFTSTSPI >Potri.001G000600.1.v4.1 pep chromosome:Pop_tri_v4:1:50630:52697:1 gene:Potri.001G000600.v4.1 transcript:Potri.001G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000600.v4.1 MAGVMFMVLLCLSAGAMLGVQGEDPYLFFTWNVTYGTLSPLGVPQQVILINDQFPGPNINSTSNNNIVINVFNNIDEPFLLTWSGIQQRKNSWQDGVLGTNCPILPGTNFTYHFQVKDQIGSYIYYPTTGMHRAAGGFGGLRINSRLLIPVPYADPEDDYTVVLNDWYTKSHTALQKLLDSGRSLARPDGVLINGKNAMGDGKDEPLFTMKPEKTYKYRICNAGLKNTLNFRIQGHTMKLVEMEGSHVVQNVYESLDVHVGQCFSVLVTANQAPKDYYMVASTRFNKQVLTAKGIIRYTNGKGPASPELPEAPVGWAWSLNQFRSFRWNLTASAARPNPQGSYHYGSINITRTIKLVNSASREGGKLRYALNGVSHVDPETPLKIAEYYGVADKLFKYDTMQDSPQADIAHAKIVSQPNVLNLTFRNFVEIIFENHEKSMQLYHLDGYSFFAVAVEPGTWTPEKRKHYNLLDAVSRTTVQVFPKSWAAILLTFDNAGMWNIRSEMWERAYLGQQLYASVLSPARSLRDEYNIPDNTLLCGLVKDLPKPEPYSI >Potri.006G061500.5.v4.1 pep chromosome:Pop_tri_v4:6:4380405:4384708:1 gene:Potri.006G061500.v4.1 transcript:Potri.006G061500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061500.v4.1 MSSPSMAKLKVAGTWAGVLEVEPENWTVLMLREEIAKRSNMGTESINLIFAGKVLKDCTSEEKNSLSQLGIKNNSKILACRVSVEEGKTLKNELLADDERNRRLARIKAAVTALSKRHADGALPIEDFDIELEDQSGKKVHFSETDRQAIMTGLMLHTSGKRFIRKQMFSDALEVLTMGEEAFSLCNPKSIELVDNIPILQIDMVWCYFMLQDIAWLSVAGLRLEKAREGLERAHGKDSSRFRLLQAGCSSELALYLRLELLEGVVAYHSGQFDKSRKFLTSAQAKFFQLQVPDEALSVVMSIGFGEQDAKRALRMSNQDVQSAVNFLVLEREKREQKREDDIRRRNEIKEQKRYGVTPLKKAVDLQKLTELVSIGFEKELAAEALRKNENGSQEALDDLTNPEANTELQRAIESRKRKRQQRATDATVEQLVSMGFERSRVIGAVQAGGSLEEVMHQLLTHPQADTTAAADDSSNAHDSSGNNNASTDLNANDNACAPDSTPTNPAVENLSPDTLGIDNSNEGPSAEQRDLEMEDEIADELTRGDALSDYNIDVTQEGDAINEYLALLDSGGGNGKASSSQLSH >Potri.006G061500.7.v4.1 pep chromosome:Pop_tri_v4:6:4380400:4384752:1 gene:Potri.006G061500.v4.1 transcript:Potri.006G061500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061500.v4.1 MTGLMLHTSGKRFIRKQMFSDALEVLTMGEEAFSLCNPKSIELVDNIPILQIDMVWCYFMLQDIAWLSVAGLRLEKAREGLERAHGKDSSRFRLLQAGCSSELALYLRLELLEGVVAYHSGQFDKSRKFLTSAQAKFFQLQVPDEALSVVMSIGFGEQDAKRALRMSNQDVQSAVNFLVLEREKREQKREDDIRRRNEIKEQKRYGVTPLKKAVDLQKLTELVSIGFEKELAAEALRKNENGSQEALDDLTNPEANTELQRAIESRKRKRQQRATDATVEQLVSMGFERSRVIGAVQAGGSLEEVMHQLLTHPQADTTAAADDSSNAHDSSGNNNASTDLNANDNACAPDSTPTNPAVENLSPDTLGIDNSNEGPSAEQRDLEMEDEIADELTRGDALSDYNIDVTQEGDAINEYLALLDSGGGNGKASSSQLSH >Potri.001G169600.1.v4.1 pep chromosome:Pop_tri_v4:1:14531017:14532564:1 gene:Potri.001G169600.v4.1 transcript:Potri.001G169600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169600.v4.1 MATMKKESVKTMETMPNKGAWTAEEDRKLAEVIATHGAKRWRTIASKAALNRCGKSCRLRWLNYLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKINKKGKQIEASNRECQKIEKKTVEISLELKEDNKPHNGSEEGSNVNFNIDDLFDFTDEDTLNMEWMSRFLEMDEA >Potri.006G085000.1.v4.1 pep chromosome:Pop_tri_v4:6:6331672:6334455:-1 gene:Potri.006G085000.v4.1 transcript:Potri.006G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085000.v4.1 MGNCVTKVSHCFARAGDISARHHNISIDNYGREGLGHSFCYIRPHIHPASRLCSNSSSSSSSSNKIHSELEKTTFRSISGASLSANTSTTSSTSLNDTLCSNSSGLDRASTFESSDSFASLPLQPVPRGSGVLSSSGYSGPIERGFLSGPIERGFLSGPIDPASFYSGPFDKEKDKDISTVNYSTTNQLQSSSLSHAALITSIDEVEVKPKKQQAGLIKTLKRAISNTISRGQKLTVAPIRVKESTSTRYVKVINQVVVVDDDTGHEDLASEFSIGSQNLQWAQGKAGEDRVHVVISEEHGWIFVGIYDGFNGPDAPDYLLSYLYTNIHKELKELLWNNNDNVESTATKAEGVLHLIDQENSPLGGNYYDDLKRKHGKNLKRTTKGGDTKRWEEKLNLKLKERMNCYSNGVNHYDVLRALSQALRKTEEAYFESADRMATDNPELALMGSCVLVMLMKGEDVYLMNVGDSRAVLAQKGITVPGLRKGIQDLEIINEESKRDRIEDFDGDELCRLRNLNSIQLTMDHTTYVDKEVERIKKEHPEDVSAVMNDRVKGYLKVTRAFGVGFLKQPKWNDILLEMFRIDYIGTSPYVTCTPSLYHHRLSPKDRFLILSSDGLYQYFTNQEAVLEVGSFIAAFPEGDPAQHLIEEVLFRAARNAGMDFHELLEIPQGERRRYHDDVSVIIISLEGRIWRSSV >Potri.013G116100.3.v4.1 pep chromosome:Pop_tri_v4:13:12480376:12480961:1 gene:Potri.013G116100.v4.1 transcript:Potri.013G116100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116100.v4.1 MSLACLVCHSVESPSHSFRSYSVSSSDNEGRCSAIANCITRKLSLPPPRANSSFASSSKVTPQPSSPGNDDMTGPPRLVRSRAVRRDLVRDWNFDGFVMER >Potri.003G062000.1.v4.1 pep chromosome:Pop_tri_v4:3:8874280:8875536:1 gene:Potri.003G062000.v4.1 transcript:Potri.003G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062000.v4.1 MWNRLPSELLANIFSFLSPDSLARAKTACRYWRTCANSYPLSTAASMVRHHQAWFVALPTRSRGLCCYLHNPNIDKWHVLSLDFQPHPTRPIASIGSLILSRPTDSTTLQLAISNPFTKEFRCLPMLKIARTNPAVGVVILGPAQHGPSLHFRVYVAGGMSEAPRGAATYEPTMEVYDSEDDAWRIVGSVPVEFAVRLTVWTPNESVYSKGVLYWMTSARAYSIMGFEIRSNKWQELSVHMADKLEFATLAQLNGRLTLVGGTSGGDACVWELDERHAWCLKEKMPVELTRKLLGGKASWATTKCVRGDGAICLYRDLGSGMAVWRKVGERGRWEWFWVEGCCSIKGKRVQNLPIKGVLIPPNLAPSCAFSKQRR >Potri.003G062000.2.v4.1 pep chromosome:Pop_tri_v4:3:8874301:8875586:1 gene:Potri.003G062000.v4.1 transcript:Potri.003G062000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062000.v4.1 MVRHHQAWFVALPTRSRGLCCYLHNPNIDKWHVLSLDFQPHPTRPIASIGSLILSRPTDSTTLQLAISNPFTKEFRCLPMLKIARTNPAVGVVILGPAQHGPSLHFRVYVAGGMSEAPRGAATYEPTMEVYDSEDDAWRIVGSVPVEFAVRLTVWTPNESVYSKGVLYWMTSARAYSIMGFEIRSNKWQELSVHMADKLEFATLAQLNGRLTLVGGTSGGDACVWELDERHAWCLKEKMPVELTRKLLGGKASWATTKCVRGDGAICLYRDLGSGMAVWRKVGERGRWEWFWVEGCCSIKGKRVQNLPIKGVLIPPNLAPSCAFSKQRR >Potri.010G029900.1.v4.1 pep chromosome:Pop_tri_v4:10:4412022:4414685:-1 gene:Potri.010G029900.v4.1 transcript:Potri.010G029900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029900.v4.1 MTQSFKLRSLLRSLISSPPPSPTLTSLKWVSTKTSPASLLQSQQYLLHHIQHLLSLKAHQKNFNYERSLISALKSCATTHLAISQGRGQQIYCLVFKSGLDSNTFIRNSLINMYSKCGFFGLAKSLFDSCPKLDPVSCNIMISGYVKSGNLDDARKLFEVMPQKGCVSYTTMIMGFVKNDFWGEAIKVYKEMRSVGVVPNEVTMASVISACCRVGRIWDCRMLHGLVIKMMFDGFVLVSTNLLNMYCASSSLGEARALFDEMQEKNVVSWNVMLNGYSKAGFANLAKEVFEMIPDKDIVSWGTIIDGYVRVERLREALMMYRLMVSTGLGPSEVTMIDLISACGRAMAIVEGQQLHCVVVKTSFDCYDFVQATVIHFYSACGRINEACFQLEFGIKDHVASRNALIAGFIRNRIIDQARELFNEMPERDVFSWSTMISGYTQSDQPGMALELFHRMVTSGIRPNEVTMVSVFSAIAALGTLKEGRWAHEYVHYNSIPLNDNLSASIIDMYAKCGSINTALEVFYQIRDKASTVSPWNTIICGLATHGHAKLSLEIYSDLQRRHIKLSAITFIGVLSACCHAGLVGLGKSYFKSMKSKYNIDPDIRHYGCMVDLLGKAGRLEEVEELIRSMPMKADVVIWGMLLSACKTHRNITIGERAAENLAKLDPSHGPSRILLSNLYADVGRWEDAFLVRRVMQSHRMHRLPGYSGVV >Potri.004G039000.1.v4.1 pep chromosome:Pop_tri_v4:4:3099430:3106820:-1 gene:Potri.004G039000.v4.1 transcript:Potri.004G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039000.v4.1 MTVETLPYQNGVVSNGDLASANPNSTKKSKVNERRRRRRKQKKNKSQVTDASANGYDSDTANDDGDDAKENADPQQVVDQVVIEYVPEKAELEDGMDEFRNIFEKFNLLQSAGSEENDKKDESVQNAEAKKKADSDSDDEEQENEQKEKGVSNKKKKLQRRMKIANLKQICSRPDVVEVWDATSADPKLLVFLKSYRNTVPVPRHWCQKRKFLQGKRGIEKQPFQLPDFIAATGIEKIRQAYIEKEDSKKLKQKQRERMQPKMGKMDIDYQVLHDAFFKYQTKPKLTTLGDLYHEGKEFEVKLREMKPGSLSQELKESLGMPEGAPPPWLINMQRYGPPPSYPHLKIPGLNAPIPPGASFGYHAGGWGKPPVDEYGRPLYGDVFGVQIQDQPNYEEEPVDKTKHWGDLEEEEEEEEEEEDEEEEEEEQLDEEELEDGAQSVDSLSSTPTGVETPDVIDLRKQQRKEPERPLYQVLEEKQEKIAAGTLLGTTHTYVVNTGTQDKAAGKRVDLLRGQKTDRVDVTLLPEELEVMDNVLPSKYEEAREEEKLRSQREDFSDMVAENEKKRKRKMQDKDGKSKKKDFKF >Potri.006G026700.1.v4.1 pep chromosome:Pop_tri_v4:6:1745425:1746735:1 gene:Potri.006G026700.v4.1 transcript:Potri.006G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026700.v4.1 MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Potri.008G149201.1.v4.1 pep chromosome:Pop_tri_v4:8:10170690:10174842:1 gene:Potri.008G149201.v4.1 transcript:Potri.008G149201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149201.v4.1 MEEQRKPQFPPQTQPQQPGKEYVMCPLPLAINPDYKPSEKLNGKVALVTGGDSGIGRSVCYHFALEGATVAFTYVQGIEDRDKDDTLKMLLKAKSSDAEDPIAIATDVSSEEDCKRVVEQVASKYGRIDILVNNAGVQHYTNLVEEITEEWLVRMFRTNIFGYFFMTKHSLKHMKEGSCIINTASVTAYAGSPHQLLDYLSTKGSIVSFTRGLALRLVDKGIRVNGVAPGPIWTPLQPASLPAYEVEYLGSDVPMRRAGQPYEMAPSYVFLASNQCSSYMTGQVLHPNGGTIING >Potri.011G038401.1.v4.1 pep chromosome:Pop_tri_v4:11:2969010:2974223:-1 gene:Potri.011G038401.v4.1 transcript:Potri.011G038401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038401.v4.1 MGLGNCEVAVALLLFLSCSSSVYGDAGDTITTSQPIKDPEAIVSAGNKFKLGFFSPVNSTYRYVGIWYSNISVATPVLWVANRNNPINDSSGMMTISEDGNLVVLNGQGEVLWSSNVSIGFNQSTAQLTDDGNLVLKAGPNGNLVWQSFQQPTDTYLIKMRLSANARTGKKTLLMSWRSSSDPSVGNFSAGINPLGIPEFFMWYNGHPFWRSGPWCGQTFIGIPGMYTSVYLRGFTLQDEGDGTFTLSSIQDPAYRLTHVLTSHGKFTEQYWDYGKQGWENNLEVPSTECDIYGKCGPFGSCDAQNSPICRCLKGFVPENQDEWNKGIWTSGCVRMTSLQCDRIQNGSEVGKEDGFMKLEMRKVPTFAEYWSNASSSGQQCEDECLRNCSCVAYSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLAYTELGDTITTSQPIKDPEAIESAGKMFQLGFFSPVNSRYRYVGIWYSNISASTPVLWVANRNNPINDSSGMMTISEDGNLVVLNGQGEVLWSSNVSIGFNLSTAQLTDDGNLVLKAGPNGNPVWQSFQQPTDTYLPKMRLSANARTGNKTLLMSWRSSSDPSVGNFSAGVNPLGIPEFFIWYNGHPFWRSGPWGGQNFTGKPGMSTSVYLSGFTPQDEGDGTFTLSSIQDPAFRLTYVLTSHGKLTEQYWDYGKGGWKYDWEAPSTECDIYGKCGPFGSCDAQNSPICTCLKGFDAKNLDEWNKGIWTSGCVRMTSLQCDGIHNGSEVRKEDRFMKLEMMKVPAFAEYWPYLSSEQECKDECLKNCSCVAYSYYNGFGCMAWTGNLIDIQQFSEGGTDLNIRLGYTEHVADNKRNMKVIISMSVIVGAIAIFICVFFSWKWMAAHRERKLTSEETLSFKTREAQETVFDGNLPENVREVKLEPLFKLQILETATNNFDISKKLGQGGFGAVYRGKLPDGQEIAVKRLSKTSGQGLEEFMNEVAVISKLQHRNLVRLLGCCVEGEEMMLVYEYMPNKSLDAFLFDSLRKGQLDWKRRFNIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDHELNPKISDFGIARISGGNEVNTTRVVGTFGFMSPEYLMEGRFSEKSDVFSFGVLLLEIVSGRKNAHFYSDEHALSLIGFAWKLWNEGDIAALVDPAISDPCSQVEIFRCIHVALFCVQELAKDRPAVSTIISMLNSEIVDLPTPKKPAFVERQTSLGTEAITQSQKINSINNVTISDLKGR >Potri.010G164200.1.v4.1 pep chromosome:Pop_tri_v4:10:16857495:16862342:1 gene:Potri.010G164200.v4.1 transcript:Potri.010G164200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164200.v4.1 MSTSHLPATTDSIAQALEAKNPSEAISIYYIILENPSSSPESLRIKEQAITNLSDLLRQENRAEELRSLLTKLRPFFALIPKAKTAKIVRGIIDTVAKIPGTSDLQISLCKEMVLWTRAEKRTFLRQRVEARLAALLMENKEYSEALNLLSGLIKEVRRLDDKLLLVDIDLLESKLHFSLRNLPKAKAALTAARTAANAIYVPPAQQGTIDLQSGILHAEEKDYKTAYSYFFEAFEAFNALEDPRAVFSLKYMLLCKIMVSQADDVAGIISSKAGLQYVGPELDAMKAVADAHAKRSLKLFETALRDFKAQLEEDPIVHRHLSSLYDTLLEQNLCRLIEPFSRVEIAHIADLIELPIDHVEKKLSQMILDKKFAGTLDQGAGCLVIFEDLKTDAIYPATLETISNIGKVVDSLYVRSAKIMA >Potri.001G160300.2.v4.1 pep chromosome:Pop_tri_v4:1:13553529:13558906:-1 gene:Potri.001G160300.v4.1 transcript:Potri.001G160300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160300.v4.1 MKLICLQFAVILITFVGLVASQTTSKNETIQVQLVASNNQTSRAWCPSGWAISPNKSKCFKLIRRFKSWNESENRCMRYGGHVAGLTSSEELSFAQKLCGQTANGCWAGGRVMNSTIGFIWKWSDSTSHMNQSIVPEPFPLNCTSLSCRNSIAADLCTVVNGTADLVAERCNSSHAFICMLDVEKKCYHMHCHREYLIILAVVSGLILCTTLAVVIWLLAYRRSKKRRKSRKLSNPAASALVPPSWKVFTNEELRSITKNFSEGNRLLGDAKTGGTYSGFLPDGSRVAVKRLKRSSFQRKKEFYSEIGRVARLHHPNLVAIKGCCYDHGDRYIVYEFILNGPLDRWLHHVPRGGRSLDWAMRMKIATTLAQGIAFLHDKVKPHVVHRDIRASSVLLDEEFGAHLMGVGLSKFMPWEVMHERTMMAGGTYGYLAPEFVYRNELTTKSDVYSFGVLLLEIVSGRRPMQAVDSVGWQSIFEWATPLVQAHRYPELLDPLITPPSSDVPEAGVIQKVVDLVYACTQHVPSMRPRMSHVVHQLQQLAQP >Potri.001G009300.1.v4.1 pep chromosome:Pop_tri_v4:1:631467:632170:-1 gene:Potri.001G009300.v4.1 transcript:Potri.001G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009300.v4.1 MVSAPRPRPSTFTPSEQWLLMTATLLICGFLGYVVYDAVMATASEMLQRLLVVSPLILIIAVHLLSAGSQFNIPIPGSEPGAIHRAGGSPWGVAFVLLLLVFLISYQPSLHGLIF >Potri.010G153200.1.v4.1 pep chromosome:Pop_tri_v4:10:16254392:16257191:-1 gene:Potri.010G153200.v4.1 transcript:Potri.010G153200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153200.v4.1 MGTSTQAYGEPWYWDNRYSSESGPFDWYQKYPSLAPLINLYIPRHVHPRILVVGCGNSAFSEGMVSDGYEDVVNIDISSVVIEAMKKKYSNHPQLKYIGMDVRDMSEFQSGSFNAVIDKGTLDSILCGNDSRKNAPKMLKEVWRVLKDNGVYILVTYGAPLYRLQLLGDSCSWRIKLHVIDKLLSDEGSEHPVQELMNPVSIDDNGSSVEAVLGKNPDVHYIYVCTKDESLTPEQKHEELV >Potri.006G231000.2.v4.1 pep chromosome:Pop_tri_v4:6:23445765:23452690:1 gene:Potri.006G231000.v4.1 transcript:Potri.006G231000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231000.v4.1 MNGGGSEEAAEAVTAAAAPVGAPLQLEWKFAQVFGERTAGEEVQEVDIISAIEFDRTGDHLATGDRGGRVVLFERTDTRDHGGNRRDLERMDFPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQSANGALFLLSTNDKTIKFWKVQEKKVKKICDMNVDPAKAAGNGPLVGPSISTSSKPYTANGGCLDKPLGLSNDFSFPPGGVSSLHLPVLTSIETSLVPRCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSRGSIRLVDMRQSALCDSHSKLFEEQEVPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTDGSTEATTLEASKNPMRRQVQTPSRPSRSLGTLSRGFRRGADTSGADTNGNAFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >Potri.006G231000.1.v4.1 pep chromosome:Pop_tri_v4:6:23445686:23452398:1 gene:Potri.006G231000.v4.1 transcript:Potri.006G231000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231000.v4.1 MNGGGSEEAAEAVTAAAAPVGAPLQLEWKFAQVFGERTAGEEVQEVDIISAIEFDRTGDHLATGDRGGRVVLFERTDTRDHGGNRRDLERMDFPINRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIKWCQSANGALFLLSTNDKTIKFWKVQEKKVKKICDMNVDPAKAAGNGPLVGPSISTSSKPYTANGGCLDKPLGLSNDFSFPPGGVSSLHLPVVLTSIETSLVPRCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSRGSIRLVDMRQSALCDSHSKLFEEQEVPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTDGSTEATTLEASKNPMRRQVQTPSRPSRSLGTLSRGFRRGADTSGADTNGNAFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >Potri.006G231000.4.v4.1 pep chromosome:Pop_tri_v4:6:23445842:23452689:1 gene:Potri.006G231000.v4.1 transcript:Potri.006G231000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231000.v4.1 MLIIIFSTLVISGSNTYYIFVIQLTSIETSLVPRCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNMLAYSSSRGSIRLVDMRQSALCDSHSKLFEEQEVPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHEYLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCTDGSTEATTLEASKNPMRRQVQTPSRPSRSLGTLSRGFRRGADTSGADTNGNAFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >Potri.002G141600.1.v4.1 pep chromosome:Pop_tri_v4:2:10630645:10632962:1 gene:Potri.002G141600.v4.1 transcript:Potri.002G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141600.v4.1 MMDQDDHHAKRSRRYVPLTREQEAPVEDIEPGELNQPINVSQRKCIECGQYLPERYEPPADEDWTTGILGCLEDTDGCFTGLFCPCVLFGRNVELREDIPWPSACVGHAVCVEGGIALAAATAFCNGIDPNTSVLICEGLLFAWWVCGIYTGLFRESLQKKYHLKNSPCDPCMVHCCLHWCALCQEHREMRNHLSDPADMQMTVVNPPPVQEMKSGESQDSASSAPDAPSVQSSGNGEHTGLEIQPV >Potri.008G170300.11.v4.1 pep chromosome:Pop_tri_v4:8:11781517:11793766:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.16.v4.1 pep chromosome:Pop_tri_v4:8:11781739:11793733:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.9.v4.1 pep chromosome:Pop_tri_v4:8:11781814:11793772:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.13.v4.1 pep chromosome:Pop_tri_v4:8:11781748:11793766:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.14.v4.1 pep chromosome:Pop_tri_v4:8:11781833:11793739:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.10.v4.1 pep chromosome:Pop_tri_v4:8:11781814:11793745:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.7.v4.1 pep chromosome:Pop_tri_v4:8:11781540:11793796:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.6.v4.1 pep chromosome:Pop_tri_v4:8:11781688:11793771:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.12.v4.1 pep chromosome:Pop_tri_v4:8:11781577:11793766:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.17.v4.1 pep chromosome:Pop_tri_v4:8:11781814:11793729:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.008G170300.15.v4.1 pep chromosome:Pop_tri_v4:8:11781721:11793733:1 gene:Potri.008G170300.v4.1 transcript:Potri.008G170300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170300.v4.1 MRVAVVGAGISGLVSAYVLAKAGVEVVLYEKEDYLGGHAKTVSFDGVDLDLGFMVFNRVTYPNMMEFFESLGIDMELSDMSFSVSLDEGQGCEWGSRNGLSGLFAQKKNMLNPYFWKMLREIIKFKDDVLSYLEMLENNPDVDRNETLGKFVKSRGYSELFQKAYLIPVCGSIWSCPSEGVMSFSAFSVLSFCRNHHLLEVFGRPQWLTVTRRSHSYVDKVREKLESWGCQIRTGCEIQAVSTTDEGCAVLCRDGLLEMYSGCIMAVHAPDALALLGKQATFDETRILGAFQYMYSDIFLHRDKKFMPQNSAAWSAWNFLGSTDNKVCLTYWLNVLQNIDETGLPFLVTLNPDHAPDHTLVKWSTGHPVPSVAATKASLELDHIQGKRRIWFCGAYQGYGFHEDGLKSGMVAAHGLLGNSCAILSNPKHMAPSMLETGARLFVTRFLGHYISTGCLILLEEGGTVFSFEGTSKKCSLKTVLKVHNPQFYWKIMTQADLGLADAYINGDFSFVNKDEGLLNLFMILIVNRDANKSASKLNKKRGWWTPLLFTAGIASAKFFIQHISRQNTLTQARRNISRHYDLSNELFALFLDETMTYSCGVFKTEDEDLKDAQMRKISLLIEKARISKDHEILEIGCGWGTLAIEAVQRTGCKYTGITLSEEQLKYAEMKVKEAGLQDRIAFHLCDYRQLPKTHKYDRIISCEMIEAVGHEYMEEFFGCCESVLAENGLLVLQFISIPEERYDEYRQSSDFIKEYIFPGGCLPSLTRITSAMATSSRLCVEHVENIGIHYYQTLKYWRKNFLEKQRKILALGFNEKFIRTWEYYFDYCAAGFKTHTLGNYQVVFSRPGNVVALSNPYKSFPSAY >Potri.010G107700.2.v4.1 pep chromosome:Pop_tri_v4:10:12870979:12875787:1 gene:Potri.010G107700.v4.1 transcript:Potri.010G107700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107700.v4.1 MGSEGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDADDIFMQSWTGTIIGPPNTVHEGRIYQLKLFCGKEYPDNPPSVRFQTRINMTCVNPESGVVEPSLFPMLANWQREHTMEDILTQLKKEMMTSQNRKLAQPPEGNEEARLDQKGLVLKCCIL >Potri.012G025351.1.v4.1 pep chromosome:Pop_tri_v4:12:2464596:2469727:1 gene:Potri.012G025351.v4.1 transcript:Potri.012G025351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G025351.v4.1 MNQMMRWNHGYNCPSQPHVSPKGGGATNLDQVFARTSAALTCLVLFARLRKPDPNHEASKKALEHKKEIDKRLGHSLIQTNPYEGCMCVKSIGGLESIKQALYELVILPLRKPELFSHGKLLGTGKTMLAKAIVRESGVIFINTRISNLISKWFDDAQKLGDAVVFSLAYKLQPAFILIDEVDSFLGQRRTTDHEALTNMKTKFMALWNGFTIDQNAQEMKIESKIDFDYIASLCESYTSSDFLEPCKKAPRPLSQSDLQRVLGTSTKTRVAANEYSSSSSHSPRWPVSQILNLQSDNQDP >Potri.011G003900.1.v4.1 pep chromosome:Pop_tri_v4:11:282050:284896:-1 gene:Potri.011G003900.v4.1 transcript:Potri.011G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003900.v4.1 MSRIILFMHLSSFLVAHLFISGVISTTFTITNSCNHTVWPGVSSSSSGTFPTTGFSLEKNESKIIKAPASWNGRFWGRTYCTEDYSGNFSCITGDCGSGKLECSGNGGASPVTLAEFALGNFNGLDFFDVSLVDGFNLPLLVVPSGQNCSSTGCIGDLNESCPTELEVTNGTEEKSVGCRSACDALKLPIYCCDGGSMSSVKCKPSLYSRFFKNSCPQGYSYIYDDNTNTTYTCPSTDYQITFCPAGKSINTTSYRSSSINTTSYRPNSRNTTATANNYRPSSGEIKPMPEIRSNATSRRQWKPIIAGVVGGVLAIISFVVIIVLRVRWSKSENTEEDVEDDHIQQVPGMPVRFSYKDLCDATDDFKETLGRGGFGSVFKGVLADGTGIAVKRLDNLGQGKREFLAEVETIGSVHHFNLVRLIGFCAEKSYRLLVYEYMSNGSLDSWIFKKSQRSSLDWKTRKKIILDIAKGLAYLHEECRQTIIHLDIKPQNILLDPKFNAKISDFGLSKLIDREMSKVQLSMRGTPGYLAPEWHKALGHVTIKVDVYSFGIVLLEVVCARRNIDHSQPESAFHLLRMLQNKAENILGYLDEYMQSDKEEIIRMLKVAAWCLQDDPERRPLMSTVVKVLEGVMEVGSNLVYKFSHALAPPPADGAHISSAPPPASVLSNPR >Potri.011G003900.2.v4.1 pep chromosome:Pop_tri_v4:11:282186:284896:-1 gene:Potri.011G003900.v4.1 transcript:Potri.011G003900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003900.v4.1 MSRIILFMHLSSFLVAHLFISGVISTTFTITNSCNHTVWPGVSSSSSGTFPTTGFSLEKNESKIIKAPASWNGRFWGRTYCTEDYSGNFSCITGDCGSGKLECSGNGGASPVTLAEFALGNFNGLDFFDVSLVDGFNLPLLVVPSGQNCSSTGCIGDLNESCPTELEVTNGTEEKSVGCRSACDALKLPIYCCDGGSMSSVKCKPSLYSRFFKNSCPQGYSYIYDDNTNTTYTCPSTDYQITFCPGKSINTTSYRSSSINTTSYRPNSRNTTATANNYRPSSGEIKPMPEIRSNATSRRQWKPIIAGVVGGVLAIISFVVIIVLRVRWSKSENTEEDVEDDHIQQVPGMPVRFSYKDLCDATDDFKETLGRGGFGSVFKGVLADGTGIAVKRLDNLGQGKREFLAEVETIGSVHHFNLVRLIGFCAEKSYRLLVYEYMSNGSLDSWIFKKSQRSSLDWKTRKKIILDIAKGLAYLHEECRQTIIHLDIKPQNILLDPKFNAKISDFGLSKLIDREMSKVQLSMRGTPGYLAPEWHKALGHVTIKVDVYSFGIVLLEVVCARRNIDHSQPESAFHLLRMLQNKAENILGYLDEYMQSDKEEIIRMLKVAAWCLQDDPERRPLMSTVVKVLEGVMEVGSNLVYKFSHALAPPPADGAHISSAPPPASVLSNPR >Potri.001G105900.1.v4.1 pep chromosome:Pop_tri_v4:1:8510988:8514249:1 gene:Potri.001G105900.v4.1 transcript:Potri.001G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105900.v4.1 MESLTLTALLNKSAKDFPNRRAISLSGRLDLTHAQLQQIVDHAASLLISAGINPGDVVALTFPNTIEFVVMFLAVIRCRATAAPLNQAYTAEEFEFYLSDSGSKLLIMPQEPILPALTAASKLKIPHVTSTLDIQSKRVTLSTESESVPDAVDDVVNDSSDVALFLHTSGTTSRPKGVPLTQLNLASSVLNIKSVYKLTESDSTVLVLPLFHVHGLLAGLLSSLVAGAAVALPAAGRFSASTFWKDMVSYNATWYTAVPTIHQIILDRHVSKPEPAYPKLRFIRSCSASLAPVIMERLEEAFGAPVLEAYAMTEAAHLMASNPLPEDGPHKPGSVGRPIGQEMAILNENGVVQPVGVSGEVCIRGPNVTKGYENNPEGNKAAFQFGWFHTGDVGFLDEDGFLHLVGRIKELINRGGEKISPIEVDAVLLSHPNIAQAVAFGVPDEKYGEEINCAIIPRKGSNIDEDEVLSFCKKNLATFKVPKKVFITDSLPKTATGKIQRRLVAEHFLAQISTAKVPKFGA >Potri.006G244500.1.v4.1 pep chromosome:Pop_tri_v4:6:24528269:24531156:-1 gene:Potri.006G244500.v4.1 transcript:Potri.006G244500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244500.v4.1 MNRGLFSVLNERQKFANFLRFCSKSLLLDQGMQVHGALVKMGFGFDLMLSNDLIVMYGKCGRLGVACDVFDRMLKRNVVSWTALMCGHIQNGNPLESLLLFSKMGLSGVKPNDFTFSTNLKACGLLNGLDIGRQIHDICVKTGFDMVNVVGNSIIDMYSKCGRINEAACMFEVMPVRNLISWNAMIAGYTVAGFCEKALVLFQKMQEVGGFLDEFTFTSTLKACSDLGAIKEGNQIHAFLITGGFLYSVNTAVAGALIDLYVKCGKLFMARRVFSHIEEKHVISWTALILGYAQEGNLAESMELFRQLRESSIQVDGFILSSMMGVFADFALVQQGKQMHAFAIKVPSGVDISVCNSILDMYLKCGMINEAERLFSEMPARNVISWTVMITGYGKHGLGKEAIRLFDEMQLDSTEPDDVTYLAVLLGCSHSGLVEKGQEYFSRLCSYHGIKARVEHYACMVDLLGRAGRLKEAKNLVDSMPLEANVGIWQTLLSACRVHGDLELGKEVGGILLRLDSENPVNYVMMSNIYADAGYWKECERIRELVKSKKLKKEAGRSWVEIDKEVHFFYGGDDTHPLTEKIHEILKEMERRMKEELGYVYGVKYALHDVEEESKMDNLRVHSEKLAIGLALVCGGLEEGRKVIRVFKNLRVCGDCHEFIKGLSKILRVVFVVRDANRFHRFEDGLCSCRDYW >Potri.013G081700.3.v4.1 pep chromosome:Pop_tri_v4:13:7273504:7277519:-1 gene:Potri.013G081700.v4.1 transcript:Potri.013G081700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081700.v4.1 MAANFKNTGILGKRVVNQIWTPNSTRSFSVSNTPSLSRGAHTSVYDKNLDDELQPNVVPDDVIKPQSDKYWAPHPRTGVFGPATEQHLSEISGDSASVGDGGQDPVLEEKAWFRPTSLEDLEKPHRLN >Potri.013G081700.1.v4.1 pep chromosome:Pop_tri_v4:13:7273565:7277551:-1 gene:Potri.013G081700.v4.1 transcript:Potri.013G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081700.v4.1 MAANFKNTGILGKRVVNQIWTPNSTRSFSVSNTPSLRGAHTSVYDKNLDDELQPNVVPDDVIKPQSDKYWAPHPRTGVFGPATEQHLSEISGDSASVGDGGQDPVLEEKAWFRPTSLEDLEKPHRLN >Potri.011G007600.6.v4.1 pep chromosome:Pop_tri_v4:11:574536:579548:-1 gene:Potri.011G007600.v4.1 transcript:Potri.011G007600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007600.v4.1 MGVNLKAIGGFVLLSFLLFAVVLSESNDGLLRIGLKKVKFDKNNRIAARLDSQEALRASIRKYNLLGNLGESEDTDIVALKNYFDAQYYGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYLSVACYFHSKYNSGKSSSYKKNGKSAEIQYGSGSISGFFSIDAVEVGNLVVKDQEFIEATKEPSITFLVGKFDGILGLGFKEIAVGGAVPVWDNMIKQGLIKEPVFSFWLNRNADDEEGGEIVFGGMDPNHYKGKHTYVPVTQKGYWQFDMGDVIVGDKSTGYCAGGCAAIADSGTSLLAGPTAIITMINHAIGASGVVSQQCKAVVSQYGEVIMDLLLSEVQPKKICSQIGLCTFDGTRGISMGIQSVVDEGNDKSSGVLGDAMCSACEMAVFWMRSQLQQNQTQDRVLDYANQLCERVPNPTGQSTVDCGSVLSMPRIAFTIGGKEFELAPEEYILKVGQGSAAQCISGFTALDIPPPRGPLWILGDVFMGRYHTVFDSGKLRVGFAEAA >Potri.011G007600.5.v4.1 pep chromosome:Pop_tri_v4:11:574223:579599:-1 gene:Potri.011G007600.v4.1 transcript:Potri.011G007600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007600.v4.1 MGVNLKAIGGFVLLSFLLFAVVLSESNDGLLRIGLKKVKFDKNNRIAARLDSQEALRASIRKYNLLGNLGESEDTDIVALKNYFDAQYYGEIGVGTPPQKFTVIFDTGSSNLWVPSSKCYLSVACYFHSKYNSGKSSSYKKNGKSAEIQYGSGSISGFFSIDAVEVGNLVVKDQEFIEATKEPSITFLVGKFDGILGLGFKEIAVGGAVPVWDNMIKQGLIKEPVFSFWLNRNADDEEGGEIVFGGMDPNHYKGKHTYVPVTQKGYWQFDMGDVIVGDKSTGYCAGGCAAIADSGTSLLAGPTAIITMINHAIGASGVVSQQCKAVVSQYGEVIMDLLLSEVQPKKICSQIGLCTFDGTRGISMGIQSVVDEGNDKSSGVLGDAMCSACEMAVFWMRSQLQQNQTQDRVLDYANQLCERVPNPTGQSTVDCGSVLSMPRIAFTIGGKEFELAPEEYILKVGQGSAAQCISGFTALDIPPPRGPLWILGDVFMGRYHTVFDSGKLRVGFAEAA >Potri.009G121000.2.v4.1 pep chromosome:Pop_tri_v4:9:10140260:10143002:1 gene:Potri.009G121000.v4.1 transcript:Potri.009G121000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121000.v4.1 MAKAKAPRRTLDSYTVKPINKIVKPGDCVLLRPSDPSTPSYVAKIERIESDGRGANARVHVRWYYRPEESIGGRRQFHGSKEVFFSDHYDIQSADTIEGKCTVHSFKSYTKLDAVGNDDFFCRFEYNSSTGAFIPDRVAVYCKCEMPYNPDDLMVQCEICSDWFHPACIEMSAEEAKRLDHFFCENCSSESQKKLQNPHNTRQSDAKVETKRRRR >Potri.004G094200.2.v4.1 pep chromosome:Pop_tri_v4:4:8052857:8066110:1 gene:Potri.004G094200.v4.1 transcript:Potri.004G094200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094200.v4.1 MAFQSDHQTPQSINSQTLYYKSLTSLSLQIPPTPSSFLPLPPSLPPSKLIPKTRFLIDGFRFSSPSITAYFLSHFHSDHYTGLSSHWSQGIIFCSPITTSLVTSILNVPECFVFSLPLNRAVDIDGVEVSLVDANHCPGAVQFLLKVPICKNLDNFELYVHTGDFRYSCEMKDDVFLRGFVGCNTVFLDTTYCNPKFVFPLQEESVDYVVSAIEKIGGEGFSGGLEKRVLFLVATYVVGKEKILIEIARRCNRKVYVDARKMEVLRVLGCGESGVFTEDENESDVHVVGWNVLGETWPYFRPNFVKMKEIMVERGYNKVVGFVPTGWTYEVKRNKFAVRSKDSCEIHLVPYSEHSNYNELREYVKFLRPKRVIPTVGVDVEKLDSKHAAKMQKHFAGLVDEMANKKEFLMGFLRGSSENDKKVEMDAVSGLNEGLAQEKELVESVEMKAHENNDTVACLNSSSILQESPTHNLSMLNDEERDKLVHELSDCLPTWVTRDQMLDLISTHGRNIVEAVSSFYEREMEFHDQVISCRVTVSSSETVPLYDSESPSKPASINTDSRSMGFLSGQNYKSPSKNPKLKGGNSPGKRKRSVGNKPGKKSKINSKLESGLSKQSTITKFFNKVLPDASQVSVVASISEQCPGDENLLQNDDVTESYREEVDQFIQIIDGNESTRSYAATILKKTEGDINKALDMHYGDPMGNLGKSIEALVVSGNMVERQCETGSSSAREKELFGEIENMVDLSVQGSLIKNVDATLVSLPTEKYNPIEHACWNGGQPAPYIHLARAFDLVEAEKGKIKVTSLLCNMFRSLLALSPEDVLPAAYLCTNKIAADHENVELNIGGTLVTSALEEACGTKRSKIREMYNSMGDLGDVAQVCRQTQTLLAPPPPLLIKDVFSALQKISVQTGSGSTGRKKSLIVNLMRSCREKEMKFIVRTLVRNLRIGAMMRTILPALAQAVALNSFSSDECKAENVKEKLQYISTAVVEAYNILPTLDLVVPSLINEGVGFSSSTLSMVAGIPLKPMLAKITNGVAQVLKLFENKAFTCEYKYDGQRAQIHKMPNGTVRIYSRNGDETTSRFPDLIKIIEESCKPAAATLIVDAEVVAVDRKNGCKLMSFQELSSRERGSKDSSIAVNKIKVDICVFVFDIMFANGEQLLELPLRQRRQYLKDLFCDERLGCFEYAKEMTVEAQDACLTNDATLTKMKSFLEDALRSSCEGIMVKSLDIDAGYCPSKRTDAWLKVKKDYVEGLNDSLDLVPIGAWHGNGRKAGWYSPFLMACYNPETEEFQSVCRVMSGFSDAFYIEMKEFFSGDRILAKKPPYYRTVEAPDMWFSPEVVWEIRGADFTISPVHQAAVGLVHQSRGISIRFPRFIHSISDRNPEECSTAADIAEMFNSQTRKMDVTAER >Potri.001G032800.1.v4.1 pep chromosome:Pop_tri_v4:1:2448649:2453802:1 gene:Potri.001G032800.v4.1 transcript:Potri.001G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032800.v4.1 MNSVLNSVNDFVSSKSTKEYAKKELNAILWVALITITVFSLEKVFKLFRLWSKASQIPGPPCNSFFGHGNLGSRENFIDLLSVSHDKYGSVFKLWLGPTQLLVSIKDPALIKEMLLKAEDKLPFIGKAFRLAFGRSSLFFCSYDQAQKRRESLALELNGKMLGRANVIPKNVVDCIMERVDAIMSKGSVDCKSVSQHMAFTILGTALFGDTFLAWSKATFYEELLMMIAKDASFWASYRVTPFWKRGFWRYQSLCTKLKCLTLDIVQQCGKNYGLFSHMDQNSHIGIEKVGIKAASGAPPSNGVEMQDKLFSPELDGHLNEREEPCGNIMGVMFHGCIATASLIGSILERLVTDVEMQDKIYSEIIKVKQGSVKEDQNVEKMLLLLATIYESARLLPAGPLLQRCSLKDDLIFKSGVVIPAGAVLVVPAQLLQMDDSSWGSDASKFNPYRFLSKAGKDSDLVQDTSFTEEAVDPIQCSFILNDPNDNAAFLPFGSGMRACVGQKFAIHGVATLFASLLQRYEVRLDPQLANNPKSTTGPQIVFVRRNS >Potri.002G204300.1.v4.1 pep chromosome:Pop_tri_v4:2:16904041:16906618:1 gene:Potri.002G204300.v4.1 transcript:Potri.002G204300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204300.v4.1 MSRPMEEDAPSKNEEEEFSTGPLSVLMMSVKNNTQVLINCRNNKKLLGRVRAFDRHCNMVLENVREMWTEVPKTGKGKKKAQPVNKDRFISKMFLRGDSVIIVLRNPK >Potri.T045200.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:465630:469637:1 gene:Potri.T045200.v4.1 transcript:Potri.T045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045200.v4.1 MVQSNYPFWHHVAEMKCMYCGHQFAKDTSISRIKWHLSGEKGHGVAICERVPKQVQEAAFLAMHGANKRHKSIASSSNVNDNAISTTPQEQNNNEVDKLAGDAGKTQAPDRMDHALEKRMEELSKLLMEDDIENGTGGVVQPGAGASSSGGLTGDTNEIPGDAVPTTKLVGQAFKDHKKTIWTWLMHDEVSTIGIYGMGGVGKTTLVKHIYDQLQKRRDSFCNVYWITVSQDTNINKLQYSIARRIGLDLSNEDEELYRAAELSKELTKKQKWVLILDDLWKAIELHKVGVPIQAVKGCKLIVTTRSENVCQQMGKQHIIKVEPISKEEAWALFIERLGHDTALSPEVEQIAKSVARECAGLPLGVITMAATMRGVVDVREWRNALEELRESKVRKDDMEPDVFYILRFSYNHLSDSELQQSFLYCALFLEDFKIRREDLIAYLIDEGVIKGLKSREAEFNKGHSILNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGAQLRELPGEEEWTEHLMRVSLMHNQIKEIPSSHSPRCPSLSTLLLRGNSELQFIADSFFEQLRGLKVLDLSYTGITKLPDSVSELVSLTALLLIGCKMLRHVPSLEKLRVLKRLDLSGTRALEKIPQGMECLCNLRHLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPPGTKDNRRGQPAPLTVKGKEVGCLRKLESLVCHFEGYSDYVEFIKSRDETKSLTTYQTLVGPLDKYDYDYDDYDYGCRRKTIVWGSLSIDRDGGFQVMFPKDIQQLTIDNNDDATSLCDVSSQIKYATDLEVIKIFSCNSMESLVSSSWFRSTPPPSPSYNGIFSGLKKFFCSGCSSMKKLFPLVLLPNLVKLEEIIVEDCEKMKEIIGGTRPDEEGVMGEETSSSNIEFKLPKLRNMELRGLPELKSICSAKLICDSIEGIEVRNCEKLKRMPICLPLLENGEPSPPPSLRRMYIEPEEWWESVVEWEHPNAKDVLRPFVEFFVC >Potri.005G206100.2.v4.1 pep chromosome:Pop_tri_v4:5:21110299:21114499:-1 gene:Potri.005G206100.v4.1 transcript:Potri.005G206100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206100.v4.1 MENAIETTSSFSFDSSSYLSKGPSSHRVPIPDVPEPGVSLENFSLSKLSGNLERLLLDGEYDYSDAEIVVEGIPVGVHRCILAARSQFFHELFKKVDSNSTSGDKPRYLMSDLMPYGGVGYEAFNVFLHYLYTGKHKSSPPEVSQCVYDACAHDACRPAINYAVELMYASATFQMKELVLLFQRRLLSFIDKALDEDVIPIVMAAFHCQLDQLLSLCIERLVRSDLDSVCIDKELPHEISSKVKLLRKKSLEEAESSVEEVDPMREKRMSRIHKALESDDVELVQLLLSESNFTLDDAYALHYAVSYCDPKVVKEVLALGLADLNLRNSRGYTVLHVAARRKESSILVALLAKGARASEITMDGRNAVSIWRSLTRPKDYNANTKQGQESNKDRICIEILETEMRRTSMSANISMISPDLNMKPDDLEDRVAFARLFFPAEARLAKDMANADSTSMYTGLPASKSKGSSGDTREVDLNETPSVQDKRLQLRLQELRKTVEMGRLYFPHCSEVLDKFLDDDVPDALYLDKGTPAEQKTKKMRFLELKEDVQMAFNKDMEKNRSVLSSSSSFSSSPKSGVTRKARRKC >Potri.010G075800.1.v4.1 pep chromosome:Pop_tri_v4:10:10345926:10346472:1 gene:Potri.010G075800.v4.1 transcript:Potri.010G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075800.v4.1 MASTYCEGKSSWPELLGVEGKVAAATIERENPLVRAKMVPEGSAVIHNFRCDRVWVWVDKNNIVYTVPVIG >Potri.019G092100.1.v4.1 pep chromosome:Pop_tri_v4:19:12985699:12988580:-1 gene:Potri.019G092100.v4.1 transcript:Potri.019G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G092100.v4.1 MEITTQCTNANKLIPFIISLYFLGSSVQSLSPLLGIHPLDEKYFGSQVIKCKDGSKSFSRDRLNDNFCDCLDGTDEPGTSACPSGKFYCRNAGSTPKFIFSSRVNDQICDCCDGSDEYGSGINCPNTCVMGGDLEYKAGNYISHIDMKESKRGLILEELFQKARGLKVIIILQVVILACVVIYRIYYQRIKFKRRRYR >Potri.005G180001.1.v4.1 pep chromosome:Pop_tri_v4:5:18607897:18609619:1 gene:Potri.005G180001.v4.1 transcript:Potri.005G180001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180001.v4.1 MLDCKSARKETQSKRQRELKAYYNPYQGQGLWPSPSCLPCQHKWLPSKLQKKLYTRALETHCPKTLRIFEIKTRKGLALVDIVREVTTCYSNRFVFQIKMKSDVRVPLINDLADIEYRLVFGCNDKLHLGSLIASFARARSALVAAAS >Potri.018G027800.4.v4.1 pep chromosome:Pop_tri_v4:18:2070691:2074376:-1 gene:Potri.018G027800.v4.1 transcript:Potri.018G027800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027800.v4.1 MRLCGLLPPWICHLFACMGGCFGCCAKPPTIIAIDASSKGLRVQKRSVKKSSKSNNFWSTSAGDMENSTMHSQGSLSSISTLNQQLDPSNAGSTSNSSEFVNRGLLLWNQTRQQWLGNKKTQNRKQVREPTISWNATYESLLGSNKPFARPVPLAEMVDFLVDVWEQEGLYD >Potri.018G027800.5.v4.1 pep chromosome:Pop_tri_v4:18:2070610:2074343:-1 gene:Potri.018G027800.v4.1 transcript:Potri.018G027800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027800.v4.1 MHGSRGCFGCCAKPPTIIAIDASSKGLRVQKRSVKKSSKSNNFWSTSAGDMENSTMHSQGSLSSISTLNQQLDPSNAGSTSNSSEFVNRGLLLWNQTRQQWLGNKKTQNRKQVREPTISWNATYESLLGSNKPFARPVPLAEMVDFLVDVWEQEGLYD >Potri.018G027800.1.v4.1 pep chromosome:Pop_tri_v4:18:2070693:2074343:-1 gene:Potri.018G027800.v4.1 transcript:Potri.018G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027800.v4.1 MYSRCSFLTQTGRSFGNKPFCSFAVFSGTYFRALLLLGMESFKRKCKAVFSSRGCFGCCAKPPTIIAIDASSKGLRVQKRSVKKSSKSNNFWSTSAGDMENSTMHSQGSLSSISTLNQQLDPSNAGSTSNSSEFVNRGLLLWNQTRQQWLGNKKTQNRKQVREPTISWNATYESLLGSNKPFARPVPLAEMVDFLVDVWEQEGLYD >Potri.008G039500.1.v4.1 pep chromosome:Pop_tri_v4:8:2209935:2214473:1 gene:Potri.008G039500.v4.1 transcript:Potri.008G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039500.v4.1 MSGLNRSSSAAFKNGGLPPQELLDDLCSRFVLNVPKEDQQSFERILFLVEYAHWFYEDNSVEKNPSLKSFTLKEFTSLMFNSCDVLRPYVAHIDDIFKDFTSYKVKVPVTGAIILDETFERCLLVKGWKGTSWSFPRGKKNKDEEDHACAVREVLEETGFDVSNLLNKDDYIEEMFGQQRVRLYIIAGVKDDTAFAPLTKKEISEIAWQRLDDLQPASYEVISRSITGLKLYMVAPFLASLKSWISSHQLPVAPRLDMPLKAMCVWKARNNSIGSSTVIMESHLNKPGSDAHPPDMGPGKSFRNFRFDTASILRAMESGFSA >Potri.011G044200.8.v4.1 pep chromosome:Pop_tri_v4:11:3448184:3452368:-1 gene:Potri.011G044200.v4.1 transcript:Potri.011G044200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044200.v4.1 MDFSNMDRGQITLLGCAFCVLLTLLFTVQLLSQHLFYWKNPKEQKAIIIIILMAPIYAVDSFVGLLNFQGSEAFFTFLDSVKECYEALVIAKFLSLLYSYLKISISKNIVPDEVKGREIHHAFPMTLFVPHTVRLDHRNLVVLKHWTWQFVIIRPTCSILMITLQMLGIYPNWLSWTFTIILNISVSLALYSLLLFYHVFAKELAPHKPLAKFLCIKGVVFFCFWQGIVLEMLVSMGIIRPNHFWLDVEHLEEAYQNVLVILEMVVFSVLQRYAYNVAPYSGEVDAKMLKKRE >Potri.013G021400.4.v4.1 pep chromosome:Pop_tri_v4:13:1387033:1393936:-1 gene:Potri.013G021400.v4.1 transcript:Potri.013G021400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021400.v4.1 MHSIPLPAVMHPLSLSASSRFLSQKLLIPSSFFSNFKTTHQRFDKNFTKVPIITRNCTSPPVSAKPSSQIRWSRAKKSEPDEKLQALRELFSKPGIGIDAYIIPSQDAHQSEFIAECYMRRTYISGFTGSAGTAVVTKEKAALWTDGRYFLQAEKQLNSNWILMRAGNPGVPTTSEWLNDVLAPGAKVGVDPFLFSFDAAEELKEAIAKKNHKLVYLYNPNLVDEIWKGSRPMPPNKPIRIHELKYAGVDVASKLSFLRSELIDTCSSAIIVSMLDEIAWLLNLRGGDVPHSPVMYAYLIVEVDGAKLFVDNSKVTPEVLNHLKNAGVELKPYDTILSEIESLAAKGAELWFDTSSVNAAIVNTYKSACDRHFEIHASDRKGNLHNGSNNQSWGPSGVYRASPISLAKAVKNPAELEGMHNSHLRDAAALAEFWVWLEGEIDKDVKLTEVDVADKLLEFRSKQAGFIDTSFDTISGSGANGAIIHYKPEPESCSVVDPKKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVKQVDTPNRYGGIEYLGFEKLTYVPIQTKLVDLSLLSVAEVDWLNNYHAQVWEKVSPLLDGSAREWLRNNTRPLVKQ >Potri.013G021400.7.v4.1 pep chromosome:Pop_tri_v4:13:1387379:1393954:-1 gene:Potri.013G021400.v4.1 transcript:Potri.013G021400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021400.v4.1 MHSIPLPAVMHPLSLSASSRFLSQKLLIPSSFFSNFKTTHQRFDKNFTKVPIITRNCTSPPVSAKPSSQIRWSRAKKSEPDEKLQALRELFSKPGIGIDAYIIPSQDAHQSEFIAECYMRRTYISGFTGSAGTAVVTKEKAALWTDGRYFLQAEKQLNSNWILMRAGNPGVPTTSEWLNDVLAPGAKVGVDPFLFSFDAAEELKEAIAKKNHKLVYLYNPNLVDEIWKGSRPMPPNKPIRIHELKYAGVDVASKLSFLRSELIDTCSSAIIVSMLDEIAWLLNLRGGDVPHSPVMYAYLIVEVDGAKLFVDNSKVTPEVLNHLKNAGVELKPYDTILSEIESLAAKGAELWFDTSSVNAAIVNTYKSACDRHFEIHASDRKGNLHNGSNNQSWGPSGVYRASPISLAKAVKNPAELEGMHNSHLRDAAALAEFWVWLEGEIDKDVKLTEVDVADKLLEFRSKQAGFIDTSFDTISGSGANGAIIHYKPEPESCSVVDPKKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVKQVDTPNRYGGIEYLGFEKLTYVPIQTKLVDLSLLSVAEVDWLNNYHAQVWEKVSPLLDGSAREWLRNNTRPLVKQ >Potri.013G021400.6.v4.1 pep chromosome:Pop_tri_v4:13:1387146:1393954:-1 gene:Potri.013G021400.v4.1 transcript:Potri.013G021400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021400.v4.1 MHSIPLPAVMHPLSLSASSRFLSQKLLIPSSFFSNFKTTHQRFDKNFTKVPIITRNCTSPPVSAKPSSQIRWSRAKKSEPDEKLQALRELFSKPGIGIDAYIIPSQDAHQSEFIAECYMRRTYISGFTGSAGTAVVTKEKAALWTDGRYFLQAEKQLNSNWILMRAGNPGVPTTSEWLNDVLAPGAKVGVDPFLFSFDAAEELKEAIAKKNHKLVYLYNPNLVDEIWKGSRPMPPNKPIRIHELKYAGVDVASKLSFLRSELIDTCSSAIIVSMLDEIAWLLNLRGGDVPHSPVMYAYLIVEVDGAKLFVDNSKVTPEVLNHLKNAGVELKPYDTILSEIESLAAKGAELWFDTSSVNAAIVNTYKSACDRHFEIHASDRKGNLHNGSNNQSWGPSGVYRASPISLAKAVKNPAELEGMHNSHLRDAAALAEFWVWLEGEIDKDVKLTEVDVADKLLEFRSKQAGFIDTSFDTISGSGANGAIIHYKPEPESCSVVDPKKLFLLDSGAQYVDGTTDITRTVHFGEPTAREKECFTRVLQGHIALDQAVFPENTPGFVLDAFARSSLWKIGLDYRHGTGHGVGAALNVHEGPQSISFRFGNMTPLQKGMIVSNEPGYYEDHAFGIRIENLLCVKQVDTPNRYGGIEYLGFEKLTYVPIQTKLVDLSLLSVAEVDWLNNYHAQVWEKVSPLLDGSAREWLRNNTRPLVKQ >Potri.004G213716.1.v4.1 pep chromosome:Pop_tri_v4:4:22045706:22046812:1 gene:Potri.004G213716.v4.1 transcript:Potri.004G213716.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213716.v4.1 MGDMICSCTLPVTLVYFPQWGGMFFPASKDVVKSTEKSYYASEWNEEEKQKGMHQQSLKFAENSGPV >Potri.001G224700.1.v4.1 pep chromosome:Pop_tri_v4:1:24241071:24243670:-1 gene:Potri.001G224700.v4.1 transcript:Potri.001G224700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224700.v4.1 MAVTFSDIHTESGLKSLDEYLAGKSYISGDQISKDDIKVYGAVLENPGDAFTNASKWYDSVSSQLASSFPGKATGVRVGAAAAAPVEAAPAKEAAGDDDDDDLDLFGDETEEDKKAAEEREKAKKGSSKKKESGKSSVLLDVKPWDDETDMVALEKAVRSIEMPGLFWGASKLAPVGYGIKKLQIMLTIIDDLVSVDSLIEERLTVEPCSEYIQSCDIVAFNKI >Potri.012G124200.1.v4.1 pep chromosome:Pop_tri_v4:12:14105097:14108468:-1 gene:Potri.012G124200.v4.1 transcript:Potri.012G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124200.v4.1 MASISKSSQFSFFSTITFLVYNLLACATFITSIPDSTTSGAGFKEAQALQKWKASLDNESQSLLSSWNGDTPCKWVGVDCYQAGGIANLSLQNAGLRGTIHSLNFSSFPSLMKLNLSNNSLYGTIPSQISNLSRLTILDLSYNDISGNIPSEISFLKSLRIFSLSNNDMNGSFPPEIGMMSSLSEINLENNHLTGFLPHSIGNMSHLSKFLVSANKLFGPIPEEVGTMTSLAVLDLNTNSLTGVIPRSIGNLTNLLKLCLYENKLSGSVPEEVGNMRSLLYFYLCDNNLSGMIPSSIGNLTSLTVLDLGPNNLTGKVPASLGNLRNLSHLYLPYNNLFGSLPPEINNLTHLEHLQIYSNKFTGHLPRDMCLGGSLLFFAASGNYFTGPIPKSLRNCTSLLRFMLNRNQISGNISEDFGIYPHLYYMDLSDNELYGKLSWKWEQFHNLTTLKISRNKISGEIPAELGKASNLKALDLSSNHLVGQIPIEVGKLKLLELKLSNNRLLGDISSVFEVLPDVKKLDLAANNLSGPIPRQIGMHSQLLFLNLSKNSFKGIIPAEIGYLRFLQSLDLSWNSLMGDLPQELGNLQRLESLNISHNMLSGFIPTTFSSMRGMTTVDVSNNKLEGPIPDIKAFHEAPFQAIHNNTNLCGNATGLEVCETLLGSRTLHRKGKKVVILITLPLLGFLFFLFTLIGGFFILRQRIRSRRKMSMERGDLFSIWGHQGEINHEDIIEATEGFNPSHCIGAGGFAAVYKAALPTGLVVAVKKFHQSPDDEMIGLKAFTSEMHSLLGIRHRNIVKLYGFCSHRKHSFLVYEFLERGSLRTILDNEEQAMEMDWMKRINLVRGVANALSYLHHNCSPPIVHRDISSNNILLDSEYEAHVSDFGTARLLLPDSSNWTSLAGTAGYTAPELAYTMEVNEKCDVYSFGVVAMEIMMGRHPGDFISSLLSSASSSTTAATSQNTLFKDILDQRLPPPEHRVVAGVVYIAELAFACLNAVPKSRPSMKQVASDFLIRWPPLS >Potri.015G109400.1.v4.1 pep chromosome:Pop_tri_v4:15:12707074:12711943:-1 gene:Potri.015G109400.v4.1 transcript:Potri.015G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109400.v4.1 MELDGCPSPSTGLQRFCFFFVLFCFLFEARSACLSQTHEDPTFNPPFLTLHNRFLAESNDMIMDSKNNRCSEKINKGSQLREAFKMLDANFFDDTKVLDIAREAKELNLPIFAANRELVASENGGLHNPSCLIFNPEWTNEQVENASNRFSYPTLSGIQKPKTEEDIAFMSILELGELIRTKQITSLELVQIFLQRLKRYNPVLESVITYTDELAYKQAKEADELLAKGVYLGPLHGIPYGLKDIIVVPGYKTTWGSGSFKDQVHNIEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVGRTGVMSIAESLDKLGPFCRSAEDCTVVLDTIRGKDPDDPSSRYIPLDDPFSVDIKRLTVGYTDDAEMEVVNVLKSKGVNTVPFKLNYTVNSVQGILNFTMDVEMLAHFDEWQRTRQDDLYEAQDQWPTELRRARVIPAVDYVQAQRARRKLIQEVKKSFTVDAFIGNATDWERVCLGNLVGFPVIVVPTGFRNISNPPSEGTQRRTTITTGIYARPNHDHIALALAMAYQSVTCHHKQRPPIDNLGPGDIMPYPPTSIPHRRLHL >Potri.015G109400.5.v4.1 pep chromosome:Pop_tri_v4:15:12707072:12711898:-1 gene:Potri.015G109400.v4.1 transcript:Potri.015G109400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109400.v4.1 MELDGCPSPSTGLQRFCFFFVLFCFLFEARSACLSQTHEDPTFNPPFLTLHNRFLAESNDMIMDSKNNRCSEKINKGSQLREAFKMLDANFFDDTKVLDIAREAKELNLPIFAANRELVASENGGLHNPSCLIFNPEWTNEQVENASNRFSYPTLSGIQKPKTEEDIAFMSILELGELIRTKQITSLELVQIFLQRLKRYNPVLESVITYTDELAYKQAKEADELLAKGVYLGPLHGIPYGLKDIIVVPGYKTTWGSGSFKDQVHNIEAWVYKRLKSAGAVLVAKLVSGSLAYDDIWFGGRTRNPWNIEEFSTGSSAGPAASTSAGMVPFAIGSETAGSITYPAARCGVTALRPTFGTVGRTGVMSIAESLDKLGPFCRSAEDCTVVLDTIRGKDPDDPSSRYIPLDDPFSVDIKRLTVGYTDDAEMEVSAPISLSYITQLNPEVAYKKKESKKTGNTCHKQFM >Potri.015G060400.5.v4.1 pep chromosome:Pop_tri_v4:15:8427824:8432454:-1 gene:Potri.015G060400.v4.1 transcript:Potri.015G060400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060400.v4.1 MESGSYVLGGKGHVSNEPTSSSDTFSRSKNVLMSCDLKNQCNFEYNFLASGSQAIENGARGELGYQQLIEKHAPDTSIRNALISEVSGRKNINPFVVTPNSFSLEDESTSRLSSTAMDSDCRVSAFIDLNLGRFGDPRDVQNCRRIPKSTSIVSPSKSSAPPRRHRAGMNSHTAYCQVYDCNKDLSSSKEYHKRHKVCEVHSRTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGLQSGRTGRLLHPYSGFSSSRFHETVLPTTSFICQDILQSGLSHPDKYGANDWCKRVKIEDGTGFSPPSAIPITNAYLHSKPLFPSNNSEKMFPTFHEDGASTASGSIFNESTHRYPDVMGCRTSSSHSFLQDTSLGNDEFAAFDAASTIQELSGITASGCARSLLSSQSQNSSSHSSGIPMAQQLLVSCRNTCYSMDQIIGVSSQVSSSTGVPNKFPSWVTSSVKGSHLGPMPIPENSHVVNFDITDGVYHGFNAKDHFGSEDDTTIDLLQLSSQLQRVEHQKQSMQEKQEMILSAAPASLKKASKFL >Potri.015G060400.1.v4.1 pep chromosome:Pop_tri_v4:15:8427823:8432442:-1 gene:Potri.015G060400.v4.1 transcript:Potri.015G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060400.v4.1 MESGSYVLGGKGHVSNEPTSSSDTFSRSKNVLMSCDLKNQCNFEYNFLASGSQAIENGARGELGYQQLIEKHAPDTSIRNALISEVSGRKNINPFVVTPNSFSLEDESTSRLSSTAMDSDCRVSAFIDLNLGRFGDPRDVQNCRRIPKSTSIVSPSKSSAPPRRHRAGMNSHTAYCQVYDCNKDLSSSKEYHKRHKVCEVHSRTAKVIVNGIEQRFCQQCSRFHLLAEFDDGKRSCRKRLAGHNERRRKPQVGLQSGRTGRLLHPYSGFSSSRFHETVLPTTSFICQDILQSGLSHPDKYGANDWCKRVKIEDGTGFSPPSAIPITNAYLHSKPLFPSNNSEKMFPTFHEDGASTASGSIFNESTHRYPDVMGCRTSSSHSFLQDTSLGNDEFAAFDAASTIQELSGITASGCARSLLSSQSQNSSSHSSGIPMAQQLLVSCRNTCYSMDQIIGVSSQVSSSTGVPNKFPSWVTSSVKGSHLGPMPIPENSHVVNFDITDGVYHGFNAKDHFGSEDDTTIDLLQLSSQLQRVEHQKQSMQEKQEMILSAAPASLKKASKFL >Potri.015G039200.1.v4.1 pep chromosome:Pop_tri_v4:15:3494517:3495905:1 gene:Potri.015G039200.v4.1 transcript:Potri.015G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039200.v4.1 MAAMLRSLLTLTLFTLIFSHISEVSSTTITLHNKCTHPVWPGVQPSAGRPVLARGGFKLPPNKAYTLNLPPLWSGRLWGRHGCSFDASGRGGCATGDCGGSLYCNGIGGTPPATLAEITLGNDQDFYDVSLVDGYNLAISITPFKGSGKCSYAGCVRDLNMMCPVGLQVRSKDNNRVVACKSACSAFNSPRYCCTGSFGTPQACKPTAYSRIFKAACPKAYSYAYDDPTSIATCTRGNYLVTFCPNQH >Potri.012G069900.2.v4.1 pep chromosome:Pop_tri_v4:12:9192698:9199785:-1 gene:Potri.012G069900.v4.1 transcript:Potri.012G069900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069900.v4.1 MGRKSPAKWIKTVLFGKKSSKSLIVKGRERTVNDKETLVAVRAVEADVTSVPPVVKPTAPTTTNITERMLELESRETTESSRDGGILSTGNQDANHSQLYTPDAPPSDADKIRLDEAATMAQAAFRGYLARRAFRALKGIIRLQALIRGHLVRRQAVATLCCVLGVVKLQALARGRMVRNSEIGYEVHKLCSQVKLPEGKLADSSGVGIQMAKLSSNAFVRKLLAPSPAVMPLQLPYDSMEPNSVANWLECWSASSFWKPVPQPKKITCSKTQRKQSNGQIVEAETGRPKRSVRRVPAANVDSTSVQAASEFEKPKRNLRKVSSHPADSAENSQIELEKVKRSLRKVNNPVIENSAHSEVENEKPKQGLEKVSGTSGDNVLGWSVSNSAEKMKKEATLTTSNVPDVVKNDPNLMSKLPDAETADEPVEMIKTLESSHDDQAVVESKASVDTGGIVENMQINGKSKHQDDPTSNENHKTAKKPSFTMKPERAENGLQSSPTLPSYMAATESAKAKLRMQGSPRFSEDRVEKNNITRRHSLPSSTNSKISSESPRTQRAVHGSGKGGNKSDKSLLSSRDGNAKGAQPEWKR >Potri.018G096000.2.v4.1 pep chromosome:Pop_tri_v4:18:11594829:11598453:-1 gene:Potri.018G096000.v4.1 transcript:Potri.018G096000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096000.v4.1 MSEAKNDLKHIAVLAFPFATHAAPLFSLIRRLSTMAPQAKFSFFSTKESNSKIFSNQGRMESIKPYNVNDGLPEDYMISFANPHEPVEYFLKAVPGNFKQAMEVAVQVIGREITCIISDAFFWFGADIARELHVPWVPLWTAGPRPLLLHLETDLVRQKMGGDGKVFDGVPEDRTMDFLPGFSEIRAADIPKELLYEDEKPGIPAILYKMGKMLPRAAAGVLSSWEKLDPDVVNQLQSRLHNFLEVGPLVLTSPDPVMSDPQCCLEWLDKQKRGSVLYVCFGSMIMPPPHELAELAEALEECDSPFLWSFRDNPEAKLPEGFLERTKEKGKVVSWTPQLKVLQHNATGVFLTHAGWNSISESIVGCVPMICRPFFGDQALNTRTVEAIWKIGVGIEGGTITKDGVTKAIKLILSTEEGEKMRKNVEHLQHLALDAVSNGSSSKNFEALLVVVTK >Potri.018G096000.1.v4.1 pep chromosome:Pop_tri_v4:18:11594822:11598477:-1 gene:Potri.018G096000.v4.1 transcript:Potri.018G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096000.v4.1 MSEAKNDLKHIAVLAFPFATHAAPLFSLIRRLSTMAPQAKFSFFSTKESNSKIFSNQGRMESIKPYNVNDGLPEDYMISFANPHEPVEYFLKAVPGNFKQAMEVAVQVIGREITCIISDAFFWFGADIARELHVPWVPLWTAGPRPLLLHLETDLVRQKMGGDGVPEDRTMDFLPGFSEIRAADIPKELLYEDEKPGIPAILYKMGKMLPRAAAGVLSSWEKLDPDVVNQLQSRLHNFLEVGPLVLTSPDPVMSDPQCCLEWLDKQKRGSVLYVCFGSMIMPPPHELAELAEALEECDSPFLWSFRDNPEAKLPEGFLERTKEKGKVVSWTPQLKVLQHNATGVFLTHAGWNSISESIVGCVPMICRPFFGDQALNTRTVEAIWKIGVGIEGGTITKDGVTKAIKLILSTEEGEKMRKNVEHLQHLALDAVSNGSSSKNFEALLVVVTK >Potri.012G100900.1.v4.1 pep chromosome:Pop_tri_v4:12:12393896:12396742:1 gene:Potri.012G100900.v4.1 transcript:Potri.012G100900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100900.v4.1 MVECEKETDPTIERPGKEGKEIIDKLYQQLMHLEQEWDLIKQSKTRTLRRASTDSKLMVKAHKLLYNSPRDLMSSLQHGRSPPEGGGGAWKVRNNDLAIDEILKERRAGIESAGLKGRRPLGAMGSSVSEMGFGGIEEMICNNMDGLAQESEIRSVFSYDSDDENENVEASKEGLSPFAHHCSYSSSSSSSSSSSSSSSSYICDGCVERESAEKEGEKVAVSSVQEENTVLITDQERYGTNRMVALGWLIIAFIVCAIGIVSKRSFGGHGVGNEIKGFAMFSDEGEPEGLDGSETGRKI >Potri.002G029700.6.v4.1 pep chromosome:Pop_tri_v4:2:1985633:1988058:-1 gene:Potri.002G029700.v4.1 transcript:Potri.002G029700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G029700.v4.1 MDVDPRHYEQIAIKDSDIHNIVLSYLVHNCYGETLESFVACSGMPEPADFIEDMEKRKGIVRCALEGNALKAVELTEQVAGDLLENNKDLHFDLLSLHFADLVCAKKCTEALEFAQKKLTPFGKEKKYVEKLEDFMALLAYEEPEKSPVFHLLGLEYRQHVADKLNRAILAHTNLPSYTAIERLIQQTTVVRQSLNQDHGKDGIPLFSLKDFLKG >Potri.010G216500.1.v4.1 pep chromosome:Pop_tri_v4:10:20362223:20365206:1 gene:Potri.010G216500.v4.1 transcript:Potri.010G216500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216500.v4.1 MTSTGFLYSNGMIFYPPDTPPISTFLESNPGAYTTTRTHNNTASLLFWDRHLQRLSNSVKILLTSNPQFLFKSLNSTINPLLTPPPPPNPMWESTIKSLVNETVNKVLPVALRETRNEGEELAVTALVTGNTENLSEVKGNVYEALDVHVHVGSHVPHVFGVKGNGARVAVVGPGRDIAEAKYSDWVRLRKSLEKLRPPSVTELLLSKDGDRILEGCVTNFFVVCRKDDRNEKYLGVNENTFPYEVQTAPIGDGVLPGVIRQLVIEVCLSKGIPFREVAPSWSEHEFWQEAFITSSLRIIQRVEKIQAPSSWQSLELKALKEISWEEKQFEEDPGMITALIQKEIMEKAGL >Potri.003G137200.1.v4.1 pep chromosome:Pop_tri_v4:3:15437929:15443243:1 gene:Potri.003G137200.v4.1 transcript:Potri.003G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137200.v4.1 MASNKDGDPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEADIRPPKQKITDSTELGDYRLRKRKEFEDLIRRVRWNISVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRVDQLWYKYIHMEEMLGNIAGARQIFERWMGWMPDQQGWLSYIKFELRYNEVERARGIFERFVQCHPKVSAWIRFAKFEMKNGEVARARNVYEKAVQKLADDEEAEMLFVAFAEFEERCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDAWFDYIRLEESVTNKVRIREVYERAIANVPPAQEKRYWQRYIYLWINYALYEELDAEDIERTREVYRECLNLIPHEKFSFAKIWLLAAQFEIRQLNLNGARQVLGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLSETERARSIFELAIAQPALDMPELLWKAYIDFEISEGEYDRTRELFERLLDRTKHLKVWISCAKFEASAMEEQNLCIQNARRVFEKALNYFRMSAPELKEERAMLLDEWLDMEKSFGQLGDVSLVEPKLPKKLKKRKQIASEDGLAGYEEYIDYVFPEEAHAHNLKILEKAREWKRQRLASGADD >Potri.013G099100.2.v4.1 pep chromosome:Pop_tri_v4:13:10389620:10391570:-1 gene:Potri.013G099100.v4.1 transcript:Potri.013G099100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099100.v4.1 MGGVSSSRTNPNDSNKSNLSPSSSIPGNSQNHNSSTTSSNLPLPSSGLSVATETPEASDQSKKPTDANGDEGQEVEEEEEEEGECGFCVYMKGGGCKDAFIAWEDCVKEAEDSNEDIVEKCHEVTRSLTKCMEAHADYYEPILQAEKAVKEEAVNELKEKAAKESESNVAEKEAVIVVD >Potri.002G063300.1.v4.1 pep chromosome:Pop_tri_v4:2:4320137:4324920:-1 gene:Potri.002G063300.v4.1 transcript:Potri.002G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063300.v4.1 MEKPSHHSLLFCSFLVMMITSTKTFFVVSLSSDGEALLSLISAADQSAKASSPILSSWNPSSPTPCSWQGITCSPQNRVTSLSLPNTFLNLSSLPSQLSSLSSLQLVNLSSTNISGAIPPSFGLLTHLRLLDLSSNSLSGTIPQELGQLSSLQFLYLNSNKLSGRIPPQLANLTFLQVLCLQDNLFNGSIPSQLGSLVSLQEFRVGGNPFLTGEIPVQLGLLTNLTTFGAAATGLSGVLPPTFGNLINLQTLSLYDTEVFGSIPPELGLCSELRNLYLHMNKLTGSIPPQLGKLQKLTSLLLWGNALSGAIPAELSNCSSLVLLDASANDLSGEIPADLGKLVFLEQLHLSDNSLTGLIPWQLSNCTSLTALQLDKNQLSGTIPWQVGNLKYLQSLFLWGNLVSGTIPASFGNCTELYALDLSRNKLTGSIPEEIFSLKKLSKLLLLGNSLSGGLPRTVANCESLVRLRLGENQLSGHIPKEIGQLQNLVFLDLYMNHFSGGLPLEIANITVLELLDVHNNYITGEIPSLLGELVNLEQLDLSRNSFTGEIPWSFGNFSYLNKLILNNNLLTGAIPRSIRNLQKLTLLDLSYNSLSGPIPPEIGYVTSLTISLDLSLNGFTGELPETMSSLTLLQSLDLSRNFLYGKIKVLGSLTSLTSLNISYNNFSGPIPVSPFFRTLSSNSYLQNPRLCESTDGTSCSSRIVQRNGLKSAKTVALILVILASVTIIVIASLVIVVRNHRYAMEKSSGALTASSGAEDFSYPWTFIPFQKLNFTVDNILDCLKEENVIGKGCSGIVYKAEMPNGQLIAVKKLWKTKQDEDPVDSFAAEIQILGHIRHRNIVKLLGYCSNRSVKLLLYNYISNGNLQQLLQGNRNLDWETRYKIAVGSAQGLAYLHHDCVPTILHRDVKCNNILLDSKHEAYLADFGLAKLMNSTNYHHAMSRVAGSYGYIAPEYGYTMNITEKSDVYSYGVVLLEIISGRSAVEPHLGDGLHIVEWVKKKMGSFEPAVSILDAKLQGLPDQMVQEMLQTLGIAMFCVNSSPAERPTMKEVVALLMEVKSPPEEWGKTSQPLIKQSSNQS >Potri.005G102901.1.v4.1 pep chromosome:Pop_tri_v4:5:7466905:7475687:-1 gene:Potri.005G102901.v4.1 transcript:Potri.005G102901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102901.v4.1 MEGIYHCPANYVPLSPISFLERAATVYGDKVSIVYGSNVRFSWKDTFDRCVKVASALVQLKICPGDIVVAFAPNVPALYELHFGVPMAGAVISALNTRLDASTLALALEQLEAKLIFVDYQFTDVVLKALDLLSQKKVNPPHLVVIPECDKSSSMDRKHIASDLDYNCLLEMGRDDFRIIRPNNECDPISVNYTSGSTGKPKGVVYSHRAAYLNSLAEIFRFDMRQMPVFLWTVDMFRCNGWCLTWAMAALGGTNICLRNVSADIIFDAVSLHKVTHFCGPPAILNTIANAPARASSQSPFSCSVNVVVAGSLPNSEILTKVEGLGFNVYHGYGMTEALGPATIRPWKPESDSTFEIEQEEIRRREGLHNLLLEGVDVKDPKTMESVPNDGKTIGEVMFRGNILMSGYLKSTEITQETLKGGWFHTGDLGVRHPNGYLQMKDRAKDIIISGGEAISTLEVEAVLLSHPKVSEAAVVGQPDALLNEVPCAFVKLKEGFGASAEEIIEFCGDQLPDLMIPRTIVFGELPVNFSGKVQKFAMRETVNANTSLANC >Potri.014G157500.1.v4.1 pep chromosome:Pop_tri_v4:14:11114089:11117116:-1 gene:Potri.014G157500.v4.1 transcript:Potri.014G157500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157500.v4.1 MVLATSHSGKDGAHADPAVDFRGNPVDKSRTGGWLAAGLILGTELSERICVMGISMNLVTYLVGELHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYLAVAIFASITALGLSLLTMATTIPTMRPPQCDDYRKQHYQCIEASGNQLALLYAALYTTALGGGGIKSNVSGFGSDQFDQTDPKEEKAMHFFFNRFYFCISIGSLFAVIVLVYIQDNVGRGWGYGISAGTMVIAVAVLLCGTPWYRFKKPRGSPLTTIWRVSLLAWKKRRHPYPSHPSLLNDYDSATVPHTEKFKYLDKAAIMDPTSSAQKNDPWIVSTVTEVEEVKMVLKLIPIWSTCILFWTVYSQMTTFTIEQATFMNRKVGSLVIPSGSYSTFLFITILLFTSLNERLFIPLARKLTHNAQGLTSLQRIGIGLFLSILAMVAAAIIEKERRETVIQQNTMISAFWLVPQYFLVGAGEAFVYVGQLEFFIREAPERMKSMSTGLFLSSISMGFFVSSLLVSLVDKATKKIWLRSNLNKGRLNNFYWMLAVLGLLNLFIFLVFAREHQYKVQQYIKPKNSEEKELKTANDLTVLEMVKEEEMI >Potri.014G157500.7.v4.1 pep chromosome:Pop_tri_v4:14:11114088:11116440:-1 gene:Potri.014G157500.v4.1 transcript:Potri.014G157500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157500.v4.1 MGISMNLVTYLVGELHISSAKSATIVTNFMGTLNLLGLLGGFLADAKLGRYLAVAIFASITALGLSLLTMATTIPTMRPPQCDDYRKQHYQCIEASGNQLALLYAALYTTALGGGGIKSNVSGFGSDQFDQTDPKEEKAMHFFFNRFYFCISIGSLFAVIVLVYIQDNVGRGWGYGISAGTMVIAVAVLLCGTPWYRFKKPRGSPLTTIWRVSLLAWKKRRHPYPSHPSLLNDYDSATVPHTEKFKYLDKAAIMDPTSSAQKNDPWIVSTVTEVEEVKMVLKLIPIWSTCILFWTVYSQMTTFTIEQATFMNRKVGSLVIPSGSYSTFLFITILLFTSLNERLFIPLARKLTHNAQGLTSLQRIGIGLFLSILAMVAAAIIEKERRETVIQQNTMISAFWLVPQYFLVGAGEAFVYVGQLEFFIREAPERMKSMSTGLFLSSISMGFFVSSLLVSLVDKATKKIWLRSNLNKGRLNNFYWMLAVLGLLNLFIFLVFAREHQYKVQQYIKPKNSEEKELKTANDLTVLEMVKEEEMI >Potri.006G054401.5.v4.1 pep chromosome:Pop_tri_v4:6:3834216:3838780:1 gene:Potri.006G054401.v4.1 transcript:Potri.006G054401.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054401.v4.1 MHKRAFMPKCALEKVKDAILEGIHPYASLKEYSGLVDANDGDLGAPAWRIDGSGTDGQINAPEENSIPLRGIEVEDDSCNRNSLHFKRDRSGSANENLAGDLEDQNCVDDGDHLHPQAKRFKQDAFCDYQSLEHISTLQQHMEMVEDSIQRVTGDSENKDCHMEEKTSQGGLEESRSVENGHDECVGMDRHGKSLDPDNAFQHNQHEIAHNANKIPQDISGNGLHLYSLVDEINCAKPRISNTAPSVGTPNKFFVNENKDNSDHSGQLKPSNSLCHPDATVRTNHISNGEKVGEEMVRKNPSGTGLDRNQHEVCVDPIDSDVDQSCKEKDVFSGSQSKDGQRQKAVCATNIDGGETSDDENDKSSTSNYFIQFRNIEKQCSYPAMPQLRRKKVPWTVQEEEMLKEGVQKFSSDGKFPWKDILEYGSSVFLSGRTTIDLKDKWRNMCKSIGSYSYLSST >Potri.006G054401.4.v4.1 pep chromosome:Pop_tri_v4:6:3834216:3838029:1 gene:Potri.006G054401.v4.1 transcript:Potri.006G054401.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054401.v4.1 MLKKQAWMDDPSSSATTLAWHWVIEYLASFPEIEASILHDLIEAAPKIPEDLRKNTREIVALRCLEDFFCHNNGITNDVPSKEPKVTFDLSESSEDVLQSILQETSVSDLKRAGPGRLKWDTHPFIMHKRAFMPKCALEKVKDAILEGIHPYASLKEYSGLVDANDGDLGAPAWRIDGSGTDGQINAPEENSIPLRGIEVEDDSCNRNSLHFKRDRSGSANENLAGDLEDQNCVDDGDHLHPQAKRFKQDAFCDYQSLEHISTLQQHMEMVEDSIQRVTGDSENKDCHMEEKTSQGGLEESRSVENGHDECVGMDRHGKSLDPDNAFQHNQHEIAHNANKIPQDISGNGLHLYSLVDEINCAKPRISNTAPSVGTPNKFFVNENKDNSDHSGQLKPSNSLCHPDATVRTNHISNGEKVGEEMVRKNPSGTGLDRNQHEVCVDPIDSDVDQSCKEKDVFSGSQSKDGQRQKAVCATNIDGGETSDDENDKSSTSNYFIQFRNIEKQCSYPAMPQLRRKKVPWTVQEEEMLKEGVQKFSSDGKFPWKDILEYGSSVFLSGRTTIDLKDKWRNMCKVSPKFK >Potri.006G054401.3.v4.1 pep chromosome:Pop_tri_v4:6:3834216:3838780:1 gene:Potri.006G054401.v4.1 transcript:Potri.006G054401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054401.v4.1 MLKKQAWMDDPSSSATTLAWHWVIEYLASFPEIEASILHDLIEAAPKIPEDLRKNTREIVALRCLEDFFCHNNGITNDVPSKEPKVTFDLSESSEDVLQSILQETSVSDLKRAGPGRLKWDTHPFIMHKRAFMPKCALEKVKDAILEGIHPYASLKEYSGLVDANDGDLGAPAWRIDGSGTDGQINAPEENSIPLRGIEVEDDSCNRNSLHFKRDRSGSANENLAGDLEDQNCVDDGDHLHPQAKRFKQDAFCDYQSLEHISTLQQHMEMVEDSIQRVTGDSENKDCHMEEKTSQGGLEESRSVENGHDECVGMDRHGKSLDPDNAFQHNQHEIAHNANKIPQDISGNGLHLYSLVDEINCAKPRISNTAPSVGTPNKFFVNENKDNSDHSGQLKPSNSLCHPDATVRTNHISNGEKVGEEMVRKNPSGTGLDRNQHEVCVDPIDSDVDQSCKEKDVFSGSQSKDGQRQKAVCATNIDGGETSDDENDKSSTSNYFIQFRNIEKQCSYPAMPQLRRKKVPWTVQEEEMLKEGVQKFSSDGKFPWKDILEYGSSVFLSGRTTIDLKDKWRNMCKSIGSYSYLSST >Potri.006G054401.6.v4.1 pep chromosome:Pop_tri_v4:6:3834216:3838029:1 gene:Potri.006G054401.v4.1 transcript:Potri.006G054401.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054401.v4.1 MHKRAFMPKCALEKVKDAILEGIHPYASLKEYSGLVDANDGDLGAPAWRIDGSGTDGQINAPEENSIPLRGIEVEDDSCNRNSLHFKRDRSGSANENLAGDLEDQNCVDDGDHLHPQAKRFKQDAFCDYQSLEHISTLQQHMEMVEDSIQRVTGDSENKDCHMEEKTSQGGLEESRSVENGHDECVGMDRHGKSLDPDNAFQHNQHEIAHNANKIPQDISGNGLHLYSLVDEINCAKPRISNTAPSVGTPNKFFVNENKDNSDHSGQLKPSNSLCHPDATVRTNHISNGEKVGEEMVRKNPSGTGLDRNQHEVCVDPIDSDVDQSCKEKDVFSGSQSKDGQRQKAVCATNIDGGETSDDENDKSSTSNYFIQFRNIEKQCSYPAMPQLRRKKVPWTVQEEEMLKEGVQKFSSDGKFPWKDILEYGSSVFLSGRTTIDLKDKWRNMCKVSPKFK >Potri.008G150000.1.v4.1 pep chromosome:Pop_tri_v4:8:10258876:10259611:-1 gene:Potri.008G150000.v4.1 transcript:Potri.008G150000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150000.v4.1 MAAPTESVQCFGRKKTAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRQRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCETKKFGGRGARARFQKSYR >Potri.003G188800.2.v4.1 pep chromosome:Pop_tri_v4:3:19302826:19304156:1 gene:Potri.003G188800.v4.1 transcript:Potri.003G188800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188800.v4.1 METTTISSNEESEVKDEIQGLIASFPSEKNWDGSPLYFYKGVWYPFFAIRGALSFQQHFIAHDTDIILASMPKSGTTWLKALTFSVVNRNIYSPKESPLLSTPPHELVRFFEMDLYSKKQLPDLEQLPSPRICSSHSHYETLPQSIRDSGCKIVYICRNPLDQVVSYFHFARKFKRENVNPLSSIDEGFDNVCLGILSHGPFWDNVLGYWKASLERPDKVLFLKYEDLKEDIISNLKKIAEFLGIPFTDKEEKEGVVEEISRLCSLDNLRNLEVNKNSVRPSGLPNSSFFRKGEVGDWANYLSPSMAENYLKIVEEKLSGSGLTFRTSQ >Potri.017G061501.1.v4.1 pep chromosome:Pop_tri_v4:17:5063015:5064377:1 gene:Potri.017G061501.v4.1 transcript:Potri.017G061501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061501.v4.1 MAKYPPPLPCSNTQLNCLAPFCANFWPLDFLEKNFALSGSVGTLPFFSYMRVLVSTLCTKDSTCSEDLVLACVCSCVHIWPVPGLFFQIFQAGIVLGDGSWMTCCTFFYVLYPS >Potri.001G114900.1.v4.1 pep chromosome:Pop_tri_v4:1:9299122:9300147:-1 gene:Potri.001G114900.v4.1 transcript:Potri.001G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114900.v4.1 MCTNGVERFPSPFHPSKRRQRSKKCKVQVIGLTCRRSGYEEMEGKDMELKNLKLCLENQRIVEENEKLRKKANLLRQENLALMSEFQKKFPHLDRLSTTLLLLHNTSKM >Potri.002G089400.4.v4.1 pep chromosome:Pop_tri_v4:2:6456756:6473172:1 gene:Potri.002G089400.v4.1 transcript:Potri.002G089400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089400.v4.1 MTGGRCHRRKKMMGRGPDGGCGADERPCRSVSRVPAANSLANEPEIPQPTVKKPTSLEVDFFSQANKVLSVHSPFDVAENASGSGVPSFPILSTLPSRLASLLRQSDGSRKKHKRSHSGVDKKSSSRVSDRSKGGNIWVETEEFFRGLTLPDIDALFELSSLFNSLGYTKCFYIPYIGNEKIERIETTATNVKTEENLNGKAEGNNTNEQSDTSANVENANDNVEMDCVDGNGKKLIVKDEGSQEDGQFMEIDSVATQSDGAECLTQEEANGCSVSDFSSSVEWLLGCRNRNILTSERPSMKRKLLGSDAGLEKVLVGRPCEGNLSLCDFCCKGETGNVSNRLIVCSSCKVAVHLKCYGVQGDVNKFWLCSWCKQKSDDNDLVKQSCVLCPKEGGALKPVNVENGGSVLEFVHLFCSQWTPEVYIEDLTKMEPVMNVGGIKETRRKLVCNVCKVKSGTCVRCSHGTCRTSFHPICAREARHRMEVWGKYGSNNVELRAFCSKHSELPDDKDTHQLGEAFVAASHNCSVASHDPSELQMDKQHKLNSGRNGDKLAVHIETSDTNSGKPGDGESWEIELNDLKSDAVPLSESGDVDQLIDTGIFERGGYGDASPSDFQNLLLILKKLIDQGKVNAEELTTEIGISPDSLIPTLAEVNLVPDFQAKLVRWFQNHVHLASRHKNLKVQLKSTIFPKAEIGTADHSDGITVSESDITDAVAVKSVPPGRRTKSNIRILRDNSVICSPEEILSANGIIMNGIKAVDQLGSEEPENFREVSIPNVAEKIPDVLQDSSVLHLPKSEDGSLSVKIEQVHAAIPDKSNSINTDGAVSVFSDVNFVIPNLIEPEAYSNSYVHPCIHEKLSQIQSGMLLQKGISELEGSKDGEISRLEASSNASVCCNHRHKHSKCNDLICSSSEVNPEQLAKAKKLGILKLSPVDEVEGEIIYFQNRLLGNAVARKHFTDNLISKVARHLPQEIDASRGQRWDEVLVNRYLCDVREAKKQGRKERRHKEAQAVLAAATAAAAASSRTSSFRKDALDESAHQEVAVHLDCYRCVKESTGPWHCELCEESLSSRCSGAPVNFWDRANGVECGLCGGIKGAFRKSTDGRWVHAFCAEWVFEPTFRRGQVNPVEGMEKIAKEINICCVCCHRHGVCVKCSAGHCQATFHPTCARSAGFYMNVKTLNGKMQHKAYCEKHSLEQKAKAETQKHGEEEIKSMRQVRGQLERLRLLCERIVRREKIKRELVLCSHSILACKRDQVARSVLVRSPPFPTDVSSESATTSLIGNTDGYKSCSDAVQRSDDVTVDSTISVKHRVKVALTMDTDQKTDDSSTSQNLFTPKPSERMPFAGKQIPQRPSSASHNLLDEGEWSSKSKHYETFEKELVMTSDEASMKNQKLPKGYFYIPVDCLPKEKRNNQNACSGEPLEHNG >Potri.002G089400.2.v4.1 pep chromosome:Pop_tri_v4:2:6456677:6473148:1 gene:Potri.002G089400.v4.1 transcript:Potri.002G089400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089400.v4.1 MTGGRCHRRKKMMGRGPDGGCGADERPCRSVSRVPAANSLANEPEIPQPTVKKPTSLEVDFFSQANKVLSVHSPFDVAENASGSGVPSFPILSTLPSRLASLLRQSDGSRKKHKRSHSGVDKKSSSRVSDRSKGGNIWVETEEFFRGLTLPDIDALFELSSLFNSLGYTKCFYIPYIGNEKIERIETTATNVKTEENLNGKAEGNNTNEQSDTSANVENANDNVEMDCVDGNGKKLIVKDEGSQEDGQFMEIDSVATQSDGAECLTQEEANGCSVSDFSSSVEWLLGCRNRNILTSERPSMKRKLLGSDAGLEKVLVGRPCEGNLSLCDFCCKGETGNVSNRLIVCSSCKVAVHLKCYGVQGDVNKFWLCSWCKQKSDDNDLVKQSCVLCPKEGGALKPVNVENGGSVLEFVHLFCSQWTPEVYIEDLTKMEPVMNVGGIKETRRKLVCNVCKVKSGTCVRCSHGTCRTSFHPICAREARHRMEVWGKYGSNNVELRAFCSKHSELPDDKDTHQLGEAFVAASHNCSVASHDPSELQMDKQHKLNSGRNGDKLAVHIETSDTNSGKPGDGESWEIELNDLKSDAVPLSESGDVDQLIDTGIFERGGYGDASPSDFQNLLLILKKLIDQGKVNAEELTTEIGISPDSLIPTLAEVNLVPDFQAKLVRWFQNHVHLASRHKNLKVQLKSTIFPKAEIGTADHSDGITVSESDITDAVAVKSVPPGRRTKSNIRILRDNSVICSPEEILSANGIIMNGIKAVDQLGSEEPENFREVSIPNVAEKIPDVLQDSSVLHLPKSEDGSLSVKIEQVHAAIPDKSNSINTDGAVSVFSDVNFVIPNLIEPEAYSNSYVHPCIHEKLSQIQSGMLLQKGISELEGSKDGEISRLEASSNASVCCNHRHKHSKCNDLICSSSEVNPEQLAKAKKLGILKLSPVDEVEGEIIYFQNRLLGNAVARKHFTDNLISKVARHLPQEIDASRGQRWDEVLVNRYLCDVREAKKQGRKERRHKEAQAVLAAATAAAAASSRTSSFRKDALDESAHQEKYNTSNGRAGISSQLMPRPKEMLSRVAVPRISSEKYSDFVQSISDFSKDHPGPCDICRRFETILNPILVCSGCKVAVHLDCYRCVKESTGPWHCELCEESLSSRCSGAPVNFWDRANGVECGLCGGIKGAFRKSTDGRWVHAFCAEWVFEPTFRRGQVNPVEGMEKIAKEINICCVCCHRHGVCVKCSAGHCQATFHPTCARSAGFYMNVKTLNGKMQHKAYCEKHSLEQKAKAETQKHGEEEIKSMRQVRGQLERLRLLCERIVRREKIKRELVLCSHSILACKRDQVARSVLVRSPPFPTDVSSESATTSLIGNTDGYKSCSDAVQRSDDVTVDSTISVKHRVKVALTMDTDQKTDDSSTSQNLFTPKPSERMPFAGKQIPQRPSSASHNLLDEGEWSSKSKHYETFEKELVMTSDEASMKNQKLPKGYFYIPVDCLPKEKRNNQNACSGEPLEHNG >Potri.006G129500.1.v4.1 pep chromosome:Pop_tri_v4:6:10522296:10526668:-1 gene:Potri.006G129500.v4.1 transcript:Potri.006G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129500.v4.1 MSVIDILTRVDAICNKYDKYDVEKQKDLNVSGDDAFARLYAAVDADIEAALQKAELASKEKSKASAVAINAELRRTKARLLEEVPKLQRLAIKKVKGLSIEELAARNDLVHALPDRIQAIPDGSSAALKQTGGWGSSAPRTEIKFDSDGQFDNEYFQESETSSQFRQEYEMRKMKQDQGLSMISEGLDTLKNMAHDMNEELDRQVPLMDEIDTKVDKAAADLKNTNVRLKDTVNQLRSSRNFCIDIVLLIIILGIAAYLYNVLKK >Potri.019G107000.2.v4.1 pep chromosome:Pop_tri_v4:19:14230097:14234828:-1 gene:Potri.019G107000.v4.1 transcript:Potri.019G107000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G107000.v4.1 MLRTANEEQDTALHVAARNIQAQVVEILTKEDPEFSYSANVHGETPLYIAANMRFNWRFKRHEENRKKVIDEILSNCKSVEYSGSHGRTALHAAAMHGDHETARKILKRNPSLTRRTDDDGWSPLHYAAFFPYLSHGVPTVKVLLEHDVSAAYIVDSEKRTALHLAVVRGDVAAVRAIMNPCPACCELVDNRGWNVLHYAATTIKGYFYFPQWIPHFEKLKYEKDNDGNTPLHLYAALGNFPQQRLSSDWIHAYKKMCGLNKRNLSVDDILGRNFPETKKEILESLKDVRSGPLQRPIAMMKKEYLSISERGMETRVLVAALVATVTFAAAFTMPGGYKNEQGIAVLLKNAAFVVFVISDAIAMLLSISALFMHFCWAPIGTRGQVEEDMKGNWTSTLTICAIPAMVIAFITGSYAVLAPSSWLAITTCFIGAAFIFFASTAFIVDGRTLFKILKIQRLKFDSTLLTVESFVDKKN >Potri.019G107000.1.v4.1 pep chromosome:Pop_tri_v4:19:14230097:14234083:-1 gene:Potri.019G107000.v4.1 transcript:Potri.019G107000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G107000.v4.1 MNSSDQQMNSITYMEPKLYKAAEAGNINPFKDRLPTSLNELLTPKKNTILHVYLENQRKGSKSTDFVGQIIDMCPPLLLQANKKGEIPLHFAARYGRSNVVRVLIDRAKARPTDPESGVTEAKKMLRTANEEQDTALHVAARNIQAQVVEILTKEDPEFSYSANVHGETPLYIAANMRFNWRFKRHEENRKKVIDEILSNCKSVEYSGSHGRTALHAAAMHGDHETARKILKRNPSLTRRTDDDGWSPLHYAAFFPYLSHGVPTVKVLLEHDVSAAYIVDSEKRTALHLAVVRGDVAAVRAIMNPCPACCELVDNRGWNVLHYAATTIKGYFYFPQWIPHFEKLKYEKDNDGNTPLHLYAALGNFPQQRLSSDWIHAYKKMCGLNKRNLSVDDILGRNFPETKKEILESLKDVRSGPLQRPIAMMKKEYLSISERGMETRVLVAALVATVTFAAAFTMPGGYKNEQGIAVLLKNAAFVVFVISDAIAMLLSISALFMHFCWAPIGTRGQVEEDMKGNWTSTLTICAIPAMVIAFITGSYAVLAPSSWLAITTCFIGAAFIFFASTAFIVDGRTLFKILKIQRLKFDSTLLTVESFVDKKN >Potri.001G021600.2.v4.1 pep chromosome:Pop_tri_v4:1:1679673:1681359:1 gene:Potri.001G021600.v4.1 transcript:Potri.001G021600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G021600.v4.1 MSVSKLTKKGCISDVSCRFIQLPDELIVSILKKTGDPKTLICCSAVCKHLQFLVSKVDSVSLRFSYPGTAGDYLPCWKSHYHIPQSAIPALMKVFANMISLKIELCLCPSLVPWYSGIARTHGFKFQLKAMDMNDEMHTQVCMAFEVGLLSSDGEGMLLPESEALDILNVKNPLMLSFFLVILFYRPKSLRSVVILSAGLLGYGLERYLPKHKEMYRSGGNVFMESGQLAKFRTLNSSTRLNESWLKNPQNLICWLKKHEENNYRLGEKLWLIHKWEGERCNMKQSIVKKTDVDELLRAFDEDVDEKP >Potri.006G102600.1.v4.1 pep chromosome:Pop_tri_v4:6:7905729:7906585:-1 gene:Potri.006G102600.v4.1 transcript:Potri.006G102600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102600.v4.1 MDYHSTTNPSSSGSSSAPKNGREKRTGGKKSNGGVKLSTDPQSVAARERRHRISDRFKILQSLVPGGTKMDTVSMLEEAINYVKFLKNQILLHQTIMNSVDDERSLDYHLPAGSASLPTEQPSYLDSNLASVVHPSSSLPCPDSYFQADENYTHYDAFDSKNYYF >Potri.018G011950.1.v4.1 pep chromosome:Pop_tri_v4:18:757016:760859:-1 gene:Potri.018G011950.v4.1 transcript:Potri.018G011950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011950.v4.1 METRRNMITIVALDSNKATYSSRRHTIEDSSTPLLKTKSIVELYAQTKPTLKLTSTLNARGAQDLASKMKPQATPLQKSSGAREILFGTQGDSDNSSMETSPCQSPSKALSFDTMSVMTTGTTSLEDQVANLTKLVEGLSTSLEVKDHEIAKLMNKLESLNEGGQTSTTKAFQVDQLDVIEESAIGAVKNICGITDGIFTMNQLKELVKEAITDQVESSVQPSYSYAKPYTQRIDLLKMPPNYQPPKFQQFDGKGNPRQYIAHFVETCNNAETNGALMVKQFVRSLKGNAFDWYTDL >Potri.008G193400.1.v4.1 pep chromosome:Pop_tri_v4:8:13629848:13631448:1 gene:Potri.008G193400.v4.1 transcript:Potri.008G193400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193400.v4.1 MEKEASNTLSSILDGDQNGYSAESCTVERKLRLFGFELNPYKHNESSMKGSTEGDHESVNSSNTASSERAKPVKEKSSSAGPGDKKFGCQYCFKEFANSQALGGHQNAHKKERMKKRLLQFQARKASLGGYLQPYQDNLSNNYQYGSTCTPWFFDPSCSTTPEFTLHDQESQISFNPYQEYSHLNDSQISKWDAVPADQVPFQQHTRNNFTFSHADQRSRDKPSPSLASKKACRKSLDLQLGLSTGNSPLPS >Potri.008G054100.1.v4.1 pep chromosome:Pop_tri_v4:8:3177539:3181283:-1 gene:Potri.008G054100.v4.1 transcript:Potri.008G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054100.v4.1 MGEALLTTLSMENYHPSTLLSMDSGSLTHDDLEREMNRSVILSRPPDINLPLSSEPSPPPPLTWNDPCDILDVGLGPQIYEAETIVSIPKVVKKCTKRLDSIWGAWLFFSFYFKPVLNEKSKCKIIRDSNGVSGFEKSDLQLDAFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGEPSFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPSLMNLDDEERKRWVELTGRDINFSIPPEASDFGSWRNLPSTEFELERPPPALKSNGNAHPRKLLNGTGLNLSTQSSDHSNGEGIDLSPMSNKRKHGSDDEFLHSVDMNIHPVERTWLNEFSGVMKNVNGPVTAAKTIYEDDKAFLVIVSLPFADLQRVKVTWRNTKSHGIVKISCISTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNLIPEDAKLEAYRDETGTVLEIIVPKHRVGPEEHEVRVCLRPSPWSE >Potri.005G080900.1.v4.1 pep chromosome:Pop_tri_v4:5:5502107:5504280:-1 gene:Potri.005G080900.v4.1 transcript:Potri.005G080900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080900.v4.1 MESYGQVVVLITGCTQGGIGHALAREFAANNCLVVATARSLTSMRDLDQDTRFFLQELDVLSDESVQNVVSNVVERYGRVDILVNNAGIQCVGPLAEIPLSAAQNTFDTNVFGTMRMVQAVVPHMASRKKGKILNVGSASVLAPLPWAGVYTATKAALHSLTDNMRLELRLFGIQVINVVPGAVKSNIGNSAISSYNHMPEWRLYKPFEAAIWNRACLFQGPKTTPTEEFAKKTVATVLKKNPPAWFSFGRFSTILSIMYHLPLYVKDCIARQVFKSMFETNSTPRFSSNR >Potri.016G137800.2.v4.1 pep chromosome:Pop_tri_v4:16:14125685:14127286:1 gene:Potri.016G137800.v4.1 transcript:Potri.016G137800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137800.v4.1 MQASSFGFKTRPPECLGRKMGVIDYLDTILAPLSLFLMVGYHAYLWHCFKNKPSQITEGIAALKRKTWFVQLKEGDNRTGMLAVQSLRNAQMTTILTAATAIIINLALAALTNNNYKASHLLSGSAFFGSQSGKLYVLKFGSASLFLLYLNEVSCWLLWAIGSYASPFLYWRGCLAQCQ >Potri.016G137800.1.v4.1 pep chromosome:Pop_tri_v4:16:14125685:14127286:1 gene:Potri.016G137800.v4.1 transcript:Potri.016G137800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137800.v4.1 MQASSFGFKTRPPECLGRKMGVIDYLDTILAPLSLFLMVGYHAYLWHCFKNKPSQITEGIAALKRKTWFVQLKEGDNRTGMLAVQSLRNAQMTTILTAATAIIINLALAALTNNNYKASHLLSGSAFFGSQSGKLYVLKFGSASLFLLVSFLCSSMGLAFLIDANFLINAASREFSPSPTYTQTVFERGFMLALMGNRVLCITFPLLAWMFGPVPVALSSVALVWVLHGLDFPGKSICSEMYT >Potri.009G028100.4.v4.1 pep chromosome:Pop_tri_v4:9:3938181:3940650:1 gene:Potri.009G028100.v4.1 transcript:Potri.009G028100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G028100.v4.1 MANAASGMAVHDDCKLKFLELKAKRTYRFIVYKIEEEQKQVIVEKLGEPAQSYEDFTASLPADECRYAVYDFDFVTEENVQKSRIFFIAWCPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIKSRAS >Potri.002G119250.1.v4.1 pep chromosome:Pop_tri_v4:2:9052537:9054371:1 gene:Potri.002G119250.v4.1 transcript:Potri.002G119250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119250.v4.1 MFNCKFAIKYFVLFFLIPTELATTRTITTQDSFLQQICGCRSTKVTDLDMDAKKNYSPITRSLLLSHNLQPPYPPQAATSPQMLLPPSRA >Potri.010G118300.1.v4.1 pep chromosome:Pop_tri_v4:10:13717482:13720061:1 gene:Potri.010G118300.v4.1 transcript:Potri.010G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G118300.v4.1 MIRRQESPVPSTTLFSVLIFILSTEVEARFIPHGCSRSSCGDIEIIDYPFRLKTDPPGCGETVFQLSCENNKTILEFHSGKYYVKQISYDDNRLRVVDFNLASGSCSLPYKSVSVDEVRDDHHYRLVSNTYTSFIKCSNNLSDQAYRLLPCLSGNGSSFYVSYDNYIISNLQGPCSFISRVPTVYQTVLFPSFDSILQLMQTGFDLEWSIECWDSSFSYDCYKSGNYHPPWFAAFSMTWNVLSAIYLVGRFIIAPIGIFGFLIHKYMTTKKAIDNEQRFLRRQQHSMPRRYSYSDIIAITNNFENKLGQGGFGTVYKGQLRDGFSVAVKMLDNPKCNDEDFINEVSIIGRIHQVNIVWLMGFCSEGCHRALVFEYMANGSLDKLLFSREAERHLVGWEKLLQIALGTARGIEHLHGGCNVCILHSDIKPQNVLLDNNFIPKVSDFGLSKFYPEEKDFVSISTTRGTIGYIAPEMISRNLGAVSCKSDVYSFGMLLLEMAGRRNSNSKGNCSSELYFLSWVYDHLIERADLQLENVTEIEAAIPRKLCLVGLWCIQKAASDRPSMTKVVEMLEANVDDLQLPPNALSFPQSISKEPQSDSSTELLISDTVEQSL >Potri.001G407100.1.v4.1 pep chromosome:Pop_tri_v4:1:43446176:43447767:-1 gene:Potri.001G407100.v4.1 transcript:Potri.001G407100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407100.v4.1 MASLASSSTIVRPTPFLGKRGSNANPLRDVVSMGNGKYIMSNELWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWVKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGQYFDPLGLADDPATFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >Potri.012G074600.4.v4.1 pep chromosome:Pop_tri_v4:12:9807370:9809333:-1 gene:Potri.012G074600.v4.1 transcript:Potri.012G074600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074600.v4.1 MTLSLPRISLTPLSAIEQQLQQVLDFFNPKSKFYKQEHADQVPGFFVIVMENASSVARYAACNTMGSPNLLLETLSSFIKLSPYTPLGTYVFCNRKLVVESNPDAILQILFNSSQLSTVEEKVTVARGSLRDHLNYKSKLYLVLIMVI >Potri.012G074600.3.v4.1 pep chromosome:Pop_tri_v4:12:9807340:9809327:-1 gene:Potri.012G074600.v4.1 transcript:Potri.012G074600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074600.v4.1 MGTLKIGEVVGINKQAHKSPEKHYLSKKINESSFIFSFPGSWTFQLFPSLKYTGLDETATCDEAFLKRSKAEGAIAILATIWFLELYVRQGSKDTSPLCFTFGSSLAGDRTMSHALRHMTLSLPRISLTPLSAIEQQLQQVLDFFNPKSKFYKQEHADQVPGFFVIVMENASSVARYAACNTMGSPNLLLETLSSFIKLSPYTPLGTYVFCNRKLVVESNPDAILQILFNSSQLSTVEEKVTVARGSLRDHLNYKSKLYLSDRARLCISAAKELEKQKLRNQAVIDEKKRDIEEKIQKLEAYKSNCELSKSCYDEEELYYKCFHLLLWFNKQICMAGMGKIELY >Potri.010G201100.1.v4.1 pep chromosome:Pop_tri_v4:10:19322157:19329871:-1 gene:Potri.010G201100.v4.1 transcript:Potri.010G201100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201100.v4.1 MITDIYSKVLPDISKRSMLKNEELNKLIREQRLQQEAASEREKELNIYRSGSAPPTVEGSLSSIGGLFDGTGIPGIKKSNKGEFLSEEDFRSDPAYVNYYYSNVNLNPRLPPPLLSKEDWRFAQRLHGSSGGSNSVVGDRSKGSRGGDNEGQRSLFAVQPGFGGGQEENGNGNGVEWGGDGLIGLPGLGLGSRQKSIAEIIQDDMGHANPISRHPSRPTSRNAFDDNVETSEAHFSQLRGDLASIDALCSSSNKQGISAVQNVGASASHTYASALGASLSRSTTPDPQLVARAPSPRIPPIGGGRTNSMDKRDVSGSHSYNGISTSLNDSELIAALSGLKMSTNGLVDEENHSRSRTQHEIDDRHHLFNLQGDQNHVKKQSYLNKSPASTNLKVPSTLTLNGRGGSPSNHQNADNMNSPYANYGLSGYPVNPSSPSMIGSPLGNGSLPPLFENAAAAAMAGTGLDSRALGALGPNLMATAAELQNHSRLGNHTAGLPLVDPLYLQYLRSNEYAAAQLAALNDPMLDREYVGNAYDLLQKLQLETLMSSQKSQYGVPYLGKSGSLNHNYYGNPGFGLGMSYSGSPLGGPLLPNSSVGSGGPLRHSERNMLFSPAMRNLSGGVMGSWHSEAGSNLDESFPSSLLEEFKSNKTRCFELSEIAGHVVEFSADQYGSRFIQQKLETAMTEEKNMVFDEIMPQALSLMTDVFGNYVIQKFFEHGSASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVELDQQTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCQDTKTQRIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSAIIKKLTGQIVQMSQQKFASNVIEKCLTFGTPAERQALVDEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQLELILNRIKVHLNALKKYTYGKHIVARVEKLVAAGERRISFLTLHPAAA >Potri.010G201100.4.v4.1 pep chromosome:Pop_tri_v4:10:19322156:19329856:-1 gene:Potri.010G201100.v4.1 transcript:Potri.010G201100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201100.v4.1 MITDIYSKVLPDISKRSMLKNEELNKLIREQRLQQEAASEREKELNIYRSGSAPPTVEGSLSSIGGLFDGTGIPGIKKSNKGEFLSEEDFRSDPAYVNYYYSNVNLNPRLPPPLLSKEDWRFAQRLHGSSGGSNSVVGDRSKGSRGGDNEGQRSLFAVQPGFGGGQEENGNGNGVEWGGDGLIGLPGLGLGSRQKSIAEIIQDDMGHANPISRHPSRPTSRNAFDDNVETSEAHFSQLRGDLASIDALCSSSNKQGISAVQNVGASASHTYASALGASLSRSTTPDPQLVARAPSPRIPPIGGGRTNSMDKRDVSGSHSYNGISTSLNDSELIAALSGLKMSTNGLVDEENHSRSRTQHEIDDRHHLFNLQGDQNHVKKQSYLNKSPASTNLKVPSTLTLNGRGGSPSNHQNADNMNSPYANYGLSGYPVNPSSPSMIGSPLGNGSLPPLFENAAAAAMAGTGLDSRALGALGPNLMATAAELQNHSRLGNHTAGLPLVDPLYLQYLRSNEYAAAQLAALNDPMLDREYVGNAYDLLQKLQLETLMSSQKSQYGVPYLGKSGSLNHNYYGNPGFGLGMSYSGSPLGGPLLPNSSVGSGGPLRHSERNMLFSPAMRNLSGGVMGSWHSEAGSNLDESFPSSLLEEFKSNKTRCFELSEIAGHVVEFSADQYGSRFIQQKLETAMTEEKNMVFDEIMPQALSLMTDVFGNYVIQKFFEHGSASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVELDQQTKMVTELDGHIMRCVRDQNGNHVIQKCIECVPEDAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCQDTKTQRIMMDEILQSVCMLAQDQYGNYVVQVCCTYVNASKSICIYLTWMPIQGQCVGSCM >Potri.002G014000.1.v4.1 pep chromosome:Pop_tri_v4:2:863953:867739:1 gene:Potri.002G014000.v4.1 transcript:Potri.002G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014000.v4.1 MGSLSALSLPLQYPTARRDDSVIDDYHGVKIADPYRWLEDPDAEEVKEFVQEQVTLTESVLKTCDTRERLREKITKLFDHPRYYVPFKRGNKFFYFHNTGLQAQDVLYVQDCLEGEPEVLLDPNGFSEDGTVSLNTLSISEDAKYLAYGLSTSGSDWITIKVMHVEEKIVEADTVNWVKFTSIGWTHDSKGFFYSRYPAPKEGENLDAGTETHANLYHELYHHFVGTDQSEDILCWRDSENPKYMFGAGVTDDGKYLLLYITENCDPVNKVYYCDMSAFSDGLEGFKGGKSLLPFIKLIDNFDAQYQHIANDDTVFTFLTNKDAPKYKVVRVDLKEPGSWIDVVPEYGKDVLESACAVNGDKMIVSYLRDVKYVLQIRDLNTGSLLHQLPIDIGSVTGISARRKDSTVFIGFTSFLTPGIIYQCNLDTGVPDMKIFREITVPGFDRTEFQVNQVFVPSKDGTKIPMFIVAKKNIKLDGSHPCLLYAYGGFNISLTPSFSISRTVLTRHLGAVFCIANIRGGGEYGEEWHKAGSLARKQNCFDDFISASEYLVTAGYTQPKKLCIEGGSNGGLLIGACINQRPDLFGCALAHVGVMDMLRFHKFTIGHAWTSDFGCSDKKEEFGWLIKYSPLHNVRRPWEQHPEQPSQYPSTMLLTADHDDRVVPLHSLKLLATMQHILCTSLKKSPQTNPIIGRIDCKAGHGAGRPTQKLIDQAADRYSFMAKMVGASWNQ >Potri.006G194000.1.v4.1 pep chromosome:Pop_tri_v4:6:20136153:20139328:-1 gene:Potri.006G194000.v4.1 transcript:Potri.006G194000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194000.v4.1 MFCCGDKEEEPHGPPANQYTAPPRGGNPYGGGSGRGEPRSANPARNGAPQKVLPIEIPAIPLDELNRMTGNFGTKALIGEGSYGRVFYAKLSDGMRAAIKKLDTSSSQEPDSDFAAQLSVVSRLKHEHFVELTGYCLDANNRILVYQFAVMGSLHDVLHGRKGVQGAEPGPVLNWNQRVKIAYGAAKGLEYLHEKVQPPIVHRDVRSSNVLLFDDFMSRIADFNLSNAHSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPDAHA >Potri.006G194000.2.v4.1 pep chromosome:Pop_tri_v4:6:20136153:20139328:-1 gene:Potri.006G194000.v4.1 transcript:Potri.006G194000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194000.v4.1 MFCCGDKEEEPHGPPANQYTAPPRGGNPYGGGSGRGEPRSANPARNGAPQKALIGEGSYGRVFYAKLSDGMRAAIKKLDTSSSQEPDSDFAAQLSVVSRLKHEHFVELTGYCLDANNRILVYQFAVMGSLHDVLHGRKGVQGAEPGPVLNWNQRVKIAYGAAKGLEYLHEKVQPPIVHRDVRSSNVLLFDDFMSRIADFNLSNAHSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPDAHA >Potri.001G245800.1.v4.1 pep chromosome:Pop_tri_v4:1:26264215:26266130:1 gene:Potri.001G245800.v4.1 transcript:Potri.001G245800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245800.v4.1 MEHDGVSILRSEDQNDIEMAAIASEEKVILPIEAQLTSVCIFPKQENFTLESEERSKSATKLSGLIIFYLIVMAVEVVGGVKANSLAVITDAAHLLTDVAGFSISLFAVWVSGWKATSHQSFGYSRLEVLGALLSVQLIWLISGVLIYEAIDRILHKNARVNGGLMFAIALFGFIINFIMVMWLGHDHSHHACHDHNHDHTHNHEGEDYCATNEGEETKLVSGTPAKTKIWNINIQGAYVHVMADLIQSVGVMIAGAIIWAKPDWLVVDLICTLLFSTFVLFTTLPMLRDIFCILMERTPHEIDVGRLESALKCIEGVQDVHNLHVWSITAGKLVLSSHVMAEPGASSPEILRMIGEYCEKMHRIHNITIQIE >Potri.006G090100.1.v4.1 pep chromosome:Pop_tri_v4:6:6880002:6880304:1 gene:Potri.006G090100.v4.1 transcript:Potri.006G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090100.v4.1 MEGLIPFVYRAIIQYKNGKEAGPLGSWFNESPSASYMRLPGDSGRFQTSDIRIFGSDYGFSTSSTSSSNMNSSTTQIIVSTGAQSPLNCRLTSRRVATKS >Potri.002G110100.4.v4.1 pep chromosome:Pop_tri_v4:2:8219367:8225324:1 gene:Potri.002G110100.v4.1 transcript:Potri.002G110100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110100.v4.1 MGSGKILCTILSLFSQFMAAFSNLQQNENPSMGFHGGEKGTGSSLLSEVVQLHIQKDYVVLDNGILQVTLSNPGGHVTGIQYNGLENLLETDNGESDRGYWDVVWSGEGVTRKKGNLDRLDGTNLTVVVESEEKVEISFTRMWNSSLQAKVVPLNFDKRYVMLRGSSGFYTYAIYEHLRGWPAFDLDNTRIVFKLTRQKFRYMAIADNRQRYMPLPEDRSPERGQTLAYPEAVLLVHPVEPEFEGEVDDKYAYSCESKDISVHGWISADPLVGFWQITPSHEFRTGGPLKQFLTSHVGPTNLGVMHSTHYAGADVTIKIGPNEPWKKVYGPVFAYVNSLSDGRDPLSLWKDAKKQMMNEVHKWPYDFIASEDFPPSKQRGSVGGRLLVLERYVSNATISAEGAYVGLAAPGEAGSWQLESKGYQFWTKTDEGGNFTINGIRPGDYNLYAWVPGFIGDYKFTSVININSGSNIDIGDLVYEAPRNGSTLWEIGFPDRSAAEFYIPDANPKYINKLYLKQERYRQYGLWERYAELYPKADLVFTVGKSNHTTDWFFAQVTRKKDNTTYEGTTWQIKFLLDEVDERAAYKLRMALATANVAELEVRVNEPNANPVFSTGEIGKDNTIARHGIHGLYRLFNVDIPGAQLLIGNNTIFLTQTASISPFQGVMYDYIRLEGPPPPHKAL >Potri.002G110100.1.v4.1 pep chromosome:Pop_tri_v4:2:8220982:8225399:1 gene:Potri.002G110100.v4.1 transcript:Potri.002G110100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110100.v4.1 MGSGKILCTILSLFSQFMAAFSNLQQNENPSMGFHGGEKGTGSSLLSEVVQLHIQKDYVVLDNGILQVTLSNPGGHVTGIQYNGLENLLETDNGESDRGYWDVVWSGEGVTRKKGNLDRLDGTNLTVVVESEEKVEISFTRMWNSSLQAKVVPLNFDKRYVMLRGSSGFYTYAIYEHLRGWPAFDLDNTRIVFKLTRQKFRYMAIADNRQRYMPLPEDRSPERGQTLAYPEAVLLVHPVEPEFEGEVDDKYAYSCESKDISVHGWISADPLVGFWQITPSHEFRTGGPLKQFLTSHVGPTNLGVMHSTHYAGADVTIKIGPNEPWKKVYGPVFAYVNSLSDGRDPLSLWKDAKKQMMNEVHKWPYDFIASEDFPPSKQRGSVGGRLLVLERYVSNATISAEGAYVGLAAPGEAGSWQLESKGYQFWTKTDEGGNFTINGIRPGDYNLYAWVPGFIGDYKFTSVININSGSNIDIGDLVYEAPRNGSTLWEIGFPDRSAAEFYIPDANPKYINKLYLKQERYRQYGLWERYAELYPKADLVFTVGKSNHTTDWFFAQVTRKKDNTTYEGTTWQIKFLLDEVDERAAYKLRMALATANVAELEVRVNEPNANPVFSTGEIGKDNTIARHGIHGLYRLFNVDIPGAQLLIGNNTIFLTQTASISPFQGVMYDYIRLEGPPPPHKAL >Potri.002G110100.3.v4.1 pep chromosome:Pop_tri_v4:2:8219296:8225324:1 gene:Potri.002G110100.v4.1 transcript:Potri.002G110100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110100.v4.1 MGFHGGEKGTGSSLLSEVVQLHIQKDYVVLDNGILQVTLSNPGGHVTGIQYNGLENLLETDNGESDRGYWDVVWSGEGVTRKKGNLDRLDGTNLTVVVESEEKVEISFTRMWNSSLQAKVVPLNFDKRYVMLRGSSGFYTYAIYEHLRGWPAFDLDNTRIVFKLTRQKFRYMAIADNRQRYMPLPEDRSPERGQTLAYPEAVLLVHPVEPEFEGEVDDKYAYSCESKDISVHGWISADPLVGFWQITPSHEFRTGGPLKQFLTSHVGPTNLGVMHSTHYAGADVTIKIGPNEPWKKVYGPVFAYVNSLSDGRDPLSLWKDAKKQMMNEVHKWPYDFIASEDFPPSKQRGSVGGRLLVLERYVSNATISAEGAYVGLAAPGEAGSWQLESKGYQFWTKTDEGGNFTINGIRPGDYNLYAWVPGFIGDYKFTSVININSGSNIDIGDLVYEAPRNGSTLWEIGFPDRSAAEFYIPDANPKYINKLYLKQERYRQYGLWERYAELYPKADLVFTVGKSNHTTDWFFAQVTRKKDNTTYEGTTWQIKFLLDEVDERAAYKLRMALATANVAELEVRVNEPNANPVFSTGEIGKDNTIARHGIHGLYRLFNVDIPGAQLLIGNNTIFLTQTASISPFQGVMYDYIRLEGPPPPHKAL >Potri.011G151800.1.v4.1 pep chromosome:Pop_tri_v4:11:17884139:17886072:-1 gene:Potri.011G151800.v4.1 transcript:Potri.011G151800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151800.v4.1 MALMTSPLTKSKPCLFLPFTHAKKLGSPPAILLNYGHYNPKFLPLTTNKCKKEKLSSLLDASNKNYSSTKKALQVVADADNAPLSEAEPRNLGKIFLSDVVVRRRRQVFWGRKWNSSDIANAAIVSSVHFLSLFAPFYFTWPAFWVTIGLGIVTGLLGITLSFHRNLSHRSFKLPKWLEYFFAYCGVQALQRDPIFWVSTHRHHHQFCDSERDPHSPTEGFWFSHITWLFDNNYITEKCGGQNNVGDLEKQPFYKFMQNTYFLHPIALGVLLYAVGGFPFLVWGMGVRTALALHITWLINSASHLWGKRAWNTGDLSRNNWWLALLTFGEGWHNNHHAFEYSARHGLEWWQIDMTWYVVRFLQAIGLATDVKLPSEVQKQRMAFNN >Potri.011G151800.2.v4.1 pep chromosome:Pop_tri_v4:11:17884187:17886069:-1 gene:Potri.011G151800.v4.1 transcript:Potri.011G151800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151800.v4.1 MALMTSPLTKSKPCLFLPFTHAKKLGSPPAILLNYGHYNPKFLPLTTNKCKKEKLSSLLDASNKNYSSTKKALQVVADADNAPLSEAEPRNLGKIFLSDVVVRRRRQVFWGRKWNSSDIANAAIVSSVHFLSLFAPFYFTWPAFWVTIGLGIVTGLLGITLSFHRNLSHRSFKLPKWLEYFFAYCGVQALQRDPIFWVSTHRHHHQFCDSERDPHSPTEGFWFSHITWLFDNNYITEKGVRTALALHITWLINSASHLWGKRAWNTGDLSRNNWWLALLTFGEGWHNNHHAFEYSARHGLEWWQIDMTWYVVRFLQAIGLATDVKLPSEVQKQRMAFNN >Potri.004G201400.1.v4.1 pep chromosome:Pop_tri_v4:4:21130819:21134281:1 gene:Potri.004G201400.v4.1 transcript:Potri.004G201400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201400.v4.1 MEKGKGREVVVSALQFACTDDVAANLATAERLVRAAHKKGSNIILIQELFEGYYFCQAQREDFFQRAKPYKGHPTILSMQKLAKELGVVIPVSFFEEANNAHYNSIAMIDADGADLGLYRKSHIPDGPGYQEKFYFNPGDTGFRVFQTKFAKIGIAICWDQWFPEAARAMVLQGAEILLYPTAIGSEPQDQGLDSLDHWKRVMQGHAGANLVPLVASNRIGKEIIQTEHGNSEITFYGNSFIAGPTGEIVAAADDKEEAVLVAKFDLEKIKSKRHGWGVFRDRRPDLYKVLLTLDGSNPLL >Potri.003G045500.5.v4.1 pep chromosome:Pop_tri_v4:3:5206996:5212670:-1 gene:Potri.003G045500.v4.1 transcript:Potri.003G045500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045500.v4.1 MATVPGQLIWEIVKKNNCFLVKQFGRGTASLRFSKESNNLYNLNSYKHSGLANKKTVTIQAGDKDQAVVLATSKTKKQNKPAALFHKSVMKKEFRRMAKAVENQVEGNYYRPDLKKAALARLSAVHRSLKVAKSGVKKRNRQGLKIC >Potri.003G045500.1.v4.1 pep chromosome:Pop_tri_v4:3:5207726:5212657:-1 gene:Potri.003G045500.v4.1 transcript:Potri.003G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045500.v4.1 MATVPGQLIWEIVKKNNCFLVKQFGRGTASLRFSKESNNLYNLNSYKHSGLANKKTVTIQAGDKDQAVVLATSKTKKQNKPAALFHKSVMKKEFRRMAKAVENQVEGNYYRPDLKKAALARLSAVHRSLKVAKSGVKKRNRQGLKIC >Potri.007G080000.1.v4.1 pep chromosome:Pop_tri_v4:7:10447329:10449391:-1 gene:Potri.007G080000.v4.1 transcript:Potri.007G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080000.v4.1 MLLSLSFENCLTIPQVTPNSIYQGIGNGLPLGAVVTTPEIARVMAQKIQFNTFGGNPVCSAGGLEVLRVIDQEKRQEHCAAVGSHLSGRLRELQRSHDIIGDVRGRGLMVGIELVTDRKEKTPAKAETAILFEKLKELGILVGKGGIHGNVFRIKPPMCFTKDDADCLVDALDYSMSKL >Potri.017G146700.4.v4.1 pep chromosome:Pop_tri_v4:17:14656995:14658699:-1 gene:Potri.017G146700.v4.1 transcript:Potri.017G146700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146700.v4.1 MIISEKNRREISKYLFQEGVCYAKKDYNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMYYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGGRPFGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGVEGGEKGGAPADYQPAFRGSGGRPGFGRGGGGYGAGQTSTPDFA >Potri.009G075100.1.v4.1 pep chromosome:Pop_tri_v4:9:7303554:7305935:-1 gene:Potri.009G075100.v4.1 transcript:Potri.009G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075100.v4.1 MMPGSDQNCDGLKFDPSGKNNEEGDDESKTKNSGSSSNSIVEECEKASSSGVRPYARSKVPRLRWTPDLHLCFVQAVERLGGHERATPKLVLQLMNFKGLSIAHVKSHLQMYRSKKIDDQGQVINGTGDLIGSSDKFSHDFWQHSLLPNIDHNHNSNFRYGNVPWSGHGNWITNPSVPDSINVRRGAGFYSSMAEKISGEKLMQESQDTEYHKSMYNSESIRSQTSSTIKQPYFVAQLSKRRGEANESVSLETKWSFNVEKCNKAKRKVDDLDLTLSLCTKLKGKEVKRSLSWDVEDEGSDLYLSLSSTSTKECNSITLSMPSKYPK >Potri.006G187900.1.v4.1 pep chromosome:Pop_tri_v4:6:19488189:19493761:1 gene:Potri.006G187900.v4.1 transcript:Potri.006G187900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187900.v4.1 MESSRGRRVEKRGYDQSVDDEADNLPESKKAKLPALASVIVEALKVDSLQRLCSSLEPLFRRIVSEEVERALTRLGPAKLAGRSSPPKLPGPEGNNLQLHFRTRMPPHLFTGGKVEGEQGAAIHVVLLDASTGTVVQTGPESAAKLNVVVLEGDFNEEADEDWAMEHFESHEVKEREGKRPLLTGDLQVTLKEGVGTLGEITFTDNSSWIRSRKFRLGVKVAPGYCEGFRVREAKTEAFAVKDHRGELYKKHYPPALHDEVWRLDRIAKDGALHKKLVKAYITTVEDFLRVLIRDPQKLRHILGSGMSNKMWENTVEHAKTCVLGGKLYVYYADGNHSTGVVFNNIYELRGLISDGQFLSLESLNHNQKVSVDSLVKQAYENWHQVLEYDGKVLNSLSSNNRGKGASTAPLVENSYERNDYITSAQNKQQFDPSEPSPQHQAINNHPSVPQLIEFPFVRSDQNAVMTLNNINNPQAALPGSADYMSVGTPSVGGVYFPGDWSRTRNENGLEDCFAEEIRLRSSEMLESDDMQRLLKTFGVGGVGMGPGFGHSDEACYSYSIQAYEPRMNQAYAQERGKGSGKAVVGWLKLKAALRWGIFIRKKAAERRAQLVELD >Potri.008G119600.1.v4.1 pep chromosome:Pop_tri_v4:8:7677796:7680308:-1 gene:Potri.008G119600.v4.1 transcript:Potri.008G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119600.v4.1 MMPPPPRSSTTLYSQQQHEAQDEFVVEEEATEANMTTTTTTRAKTPLSEIVEAFEELAKSLNSRRIRNSDKGAGGELRLDAFSKTCSLVSVLFSCLGLAFRFAESEYVAKVRDLVEASKEYNTLQTILDLDVANGTVRTSGSHSRNLRRVRQGLDLIRALFEQFLSTNDYSLREAATTAYSQVCAPYHTWAVRTAVYAGMYTLPTRDQLLLNLNETEHSAEKNMRRYINASLPVIEYIDRLYISRNIRLDW >Potri.006G217400.1.v4.1 pep chromosome:Pop_tri_v4:6:22278448:22280289:-1 gene:Potri.006G217400.v4.1 transcript:Potri.006G217400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217400.v4.1 MAEIAFLGGGGVVAASQSSLLLQPSKFGFHTLAPPSISLLRLHQPSTSLFSSPNPKLHTSIFAAKVVSSYSEATSSPSSTIITDNVDLSTEGIEIELDTGGGGSDGYKDISGGGGDGGGGGKNEGEGGSPDESGSEKKMALSMSQKLTLGYAALVGIGGAMGYMKSGSQKSLLAGGISASVLYYVYTQLPANPVYASSIGLGISAALMGVMGSRFLKSRKIFPAGVVSLVSFIMTGGYLHGILRSMH >Potri.001G303100.2.v4.1 pep chromosome:Pop_tri_v4:1:31318134:31334409:-1 gene:Potri.001G303100.v4.1 transcript:Potri.001G303100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G303100.v4.1 MGGEENQVHIFFFPFMANGHMIPNMFPEQSRGQKFFCSPLDINIKTIKFPAVDVGLPEGCENTDLITSHEIEGEMTKFFSMATTMHQQPLEKLLQECHPDCLTIDMFLPWTTNAATKFGIPRLVFHGISCFSLCTLDCLNIYMPYKKSSSDSKLFVVPELPGDIKFRSKHLPEYVKQNVETDFTRLIQKVRESSLKIFGIVLIQRVQDGRRERENYVILIIKTYIYISVIGKKALIDEHECLKWLDSKKPNSVVYICFKTVAIFSDSQLKEIVLKFASTLEASGQQFIWVVRKDKKARDKEEWLPEGFEKRMESKGLIIRGWAPQVVILDHEAIGGFVTHCGWNSTIEGIAAGKPMVTWPVSAEQFFNEKLVTDVLKIGVAVGVQHWVTVYGDKITSGAVEKAVTRIMTGEEAKEMRSRVEALGGMAKRAIEEDGSSYSNLNALIEELRGRRH >Potri.006G183600.1.v4.1 pep chromosome:Pop_tri_v4:6:18987395:18991583:1 gene:Potri.006G183600.v4.1 transcript:Potri.006G183600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183600.v4.1 MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGTASYNYDAPGASYTLKWTFHNDLGLVFVAVYQRILHLLYVDELLAMVKHEFSQIYDPKRVEYFDFDETFRQLRKEAEARAEELRKVKPVGKGVNDGRKLVMKKGSGFGGGNKKNKSEANEGGNGDDGKGRKLENGHSNGNHNAVVVEGNRGMGLANGKENASSNNEAFDVTKLQKLKSKGGKKTSDTSVVSKGSNVDPKKKVTKKNRVWDDSPKDAKLDFTDHVEGNGNENIEVVAADQGESMMDKEEIVSSDSEDEEDEEVSKDSKPDAKKKGWFSSMFQSIAGKANLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLSSFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAATEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKAIVKTLLK >Potri.006G183600.2.v4.1 pep chromosome:Pop_tri_v4:6:18987398:18991718:1 gene:Potri.006G183600.v4.1 transcript:Potri.006G183600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183600.v4.1 MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGTASYNYDAPGASYTLKWTFHNDLGLVFVAVYQRILHLLYVDELLAMVKHEFSQIYDPKRVEYFDFDETFRQLRKEAEARAEELRKVKPVGKGVNDGRKLVMKKGSGFGGGNKKNKSEANEGGNGDDGKGRKLENGHSNGNHNAVVVEGNRGMGLANGKENASSNNEAFDVTKLQKLKSKGGKKTSDTSVVSKGSNVDPKKKVTKKNRVWDDSPKDAKLDFTDHVEGNGNENIEVVAADQGESMMDKEEIVSSDSEDEEDEEVSKDSKPDAKKKGWFSSMFQSIAGKANLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLSSFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAATEQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLIYLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKAIVKTLLK >Potri.010G254800.1.v4.1 pep chromosome:Pop_tri_v4:10:22583059:22586019:1 gene:Potri.010G254800.v4.1 transcript:Potri.010G254800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254800.v4.1 MAFLATATATASSSCSQRLGSPKLPHPLPSISRRTPSFPLVSFPNSISLHAQRAGARVVGVVAAAAADGKSSSNAIQKDKNGKKEEEEEETIEVEEELPWIQEKALDLVEFTGSVTQAIPGPRVGQSSLPWILALPLAYAGITFVIAFVKTVKKFGSPRYKRKKLVNKNAMLCKSIDELFQKGGGGEVGADASQQHAALEGMEKRTGFTMVDIVRKYIRYALNEKPFNPELVANLIQLRQASMLDDSQVAEILNDISRRIVREKGPVVMNMSGYSEKGFKRKLAVQALFGKVFYLSELPEFCSRDSSLVVKEIFGVADEDADKLRLHTLSEAGDMDSLEKMVDGSDSEDSNERTSNAP >Potri.016G085600.3.v4.1 pep chromosome:Pop_tri_v4:16:6712841:6721092:1 gene:Potri.016G085600.v4.1 transcript:Potri.016G085600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085600.v4.1 MNESEQNFCFEDRRLSLIDVSFEDDCLYSSPSHGFHVRFSDAAKTEAKSNLGFTDANFTQFDGGEVGAEPVESVEAERVKKKNKYNLRKSIAWDSAFFTSAGVLEPEELSTMIGSGKHMLPGIEEDIHKSTDSISTLASDNLTLENLEADLFGDIRASIQRSTKGSDVANSTSKVVSPETENASIPSLEKADVASQNKLKAKTAPNKPNAVMQGTEKTAKQSNPVHGDSKSLCRPPKIVSRVGPILTPATKRASLGANRVKVEKYKDSPENEKRLTGRGAKVPALGGPRNVVPRPTLPIKSSLRSSSALKTQLKTSSCVDSSGRLSSDSSSKSSLNFLRKDDSRTGNHSSSTSNVKTALKLPSRNKNQSTSSHLSPYLKSVTKLSSNISPASSISEWSSASLSPTSTLNKTSNSSRSSFDISSCKDASGDGDASQVMDSQNYFNDEHSVGHGTQVGLSGECVKKATTASSSVLHPDSAKPSGLRLPSPKIGFFDGVRSAVRTPNRNKQSHEALPSGLPGFGAGSVVSPSGGSNEAKVGKLQPARTAVRGTRIMDQVTASGMKSKSPLLLQESSIAAPRVSSAVKNEKRNASTSLKAQSRMSFQGERKSNLKDKKVGLEECNKSLNNSDSGFTERNGDACFLKDKKETECKGDAPEKDTEVTLCNGLPYITTDSSSIENITSLEKVSGDVICSQNYIKDSLPYPYSTNEKEKASIEDRVDGLTKQIGAVDFYIEMHKETVGDSLSLSKDDVSRVDSGIQEEFKHSSKPTNSLNPTMASTIMEAEAVIERDFVEDQVGDLTKQVGAVDFHLDMHKEGGGDSLSLSRHDVIRVDSGIQEECKELSNPACSPVPDIASSMVETGKAEAGLHKASAEDQVDGLTKQIGAFGIHIKMHKEAVGDSLSFSQDDVSRVHSHIGEQLKELSNPTSSPYPAIASTMVEAEKAEAGIEKVSVKDLVDSLTKQIRALDIHVDVHKELVSDSLSHSQDDASKVDFGIQEEYNELSKPACSATPARASTTVEAQMAEAAILLHQTTTNGKPKDGETSQQ >Potri.016G085600.2.v4.1 pep chromosome:Pop_tri_v4:16:6712841:6721145:1 gene:Potri.016G085600.v4.1 transcript:Potri.016G085600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085600.v4.1 MNESEQNFCFEDRRLSLIDVSFEDDCLYSSPSHGFHVRFSDAAKTEAKSNLGFTDANFTQFDGGEVGAEPVESVEAERVKKKNKYNLRKSIAWDSAFFTSAGVLEPEELSTMIGSGKHMLPGIEEDIHKSTDSISTLASDNLTLENLEADLFGDIRASIQRSTKGSDVANSTSKVVSPETENASIPSLEKADVASQNKLKAKTAPNKPNAVMQGTEKTAKQSNPVHGDSKSLCRPPKIVSRVGPILTPATKRASLGANRVKVEKYKDSPENEKRLTGRGAKVPALGGPRNVVPRPTLPIKSSLRSSSALKTQLKTSSCVDSSGRLSSDSSSKSSLNFLRKDDSRTGNHSSSTSNVKTALKLPSRNKNQSTSSHLSPYLKSVTKLSSNISPASSISEWSSASLSPTSTLNKTSNSSRSSFDISSCKDASGDGDASQVMDSQNYFNDEHSVGHGTQVGLSGECVKKATTASSSVLHPDSAKPSGLRLPSPKIGFFDGVRSAVRTPNRNKQSHEALPSGLPGFGAGSVVSPSGGSNEAKVGKLQPARTAVRGTRIMDQVTASGMKSKSPLLLQESSIAAPRVSSAVKNEKRNASTSLKAQSRMSFQGERKSNLKDKKVGLEECNKSLNNSDSGFTERNGDACFLKDKKETECKGDAPEKDTEVTLCNGLPYITTDSSSIENITSLEKVSGDVICSQNYIKDSLPYPYSTNEKEKASIEDRVDGLTKQIGAVDFYIEMHKETVGDSLSLSKDDVSRVDSGIQEEFKHSSKPTNSLNPTMASTIMEAEAVIERDFVEDQVGDLTKQVGAVDFHLDMHKEGGGDSLSLSRHDVIRVDSGIQEECKELSNPACSPVPDIASSMVETGKAEAGLHKASAEDQVDGLTKQIGAFGIHIKMHKEAVGDSLSFSQDDVSRVHSHIGEQLKELSNPTSSPYPAIASTMVEAEKAEAGIEKVSVKDLVDSLTKQIRALDIHVDVHKELVSDSLSHSQDDASKVDFGIQEEYNELSKPACSATPARASTTVEAQMAEAAILLHQTTTNGKPKDGETSQQ >Potri.011G169000.3.v4.1 pep chromosome:Pop_tri_v4:11:19185964:19189906:-1 gene:Potri.011G169000.v4.1 transcript:Potri.011G169000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169000.v4.1 MDLESESCALESVEDNELTTTTITTTTPDGALSHRDGDDDGNSKIKANGPCSNGIIHETGTSGNGDENTMPHDGEVQGGVGIEPVGNSVDSLPLMANSPTMGSPPNMKGFGLKKWRRIRRDIIKDASAGVDNSKVLKRVSSGAVNPAKPTNLTLVEVMQNSEGSVGSANLFRNAALGDGFVTHGSNLEYRFTVASAFSAGTDSENSEDQSSRSSTAASAPRVRHDLLSVSGYAWDKNGVKYLGGKSGGSSAQRVQRGMGWVENSKKPRGERVKIEKENSHSSMESDSRSSNFVFVQGDFSVTSNGKQSGRSMNYDGENSDEAHAVEQQFSGGVHTSYGQENVGEVEDLSENELAAEASWTDKGEKSDNHQPSADQDPFVESILSLQSVQKALENEVKKLGEIGKVSSVVEDSSSANPEIQKSSLPNQFDSESIKESNSLEFRVLSLTQTVQYLESRLEEAKAMIKVKELRVAELEATLNGDRSPKEESASTTELQQEKYREIENELEGLFKQKIEAEIEHLALASLQKLGVAAGDQITLFEEQEALAGEQVQMLNKLGEAGNKAASLKKQAEELEKYCGDVLGTEEVFKMQNKVCKVAACFLIQLILLILVFWLLVLQLSPYCGVVVPT >Potri.011G169000.4.v4.1 pep chromosome:Pop_tri_v4:11:19186014:19189863:-1 gene:Potri.011G169000.v4.1 transcript:Potri.011G169000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169000.v4.1 MDLESESCALESVEDNELTTTTITTTTPDGALSHRDGDDDGNSKIKANGPCSNGIIHETGTSGNGDENTMPHDGEVQGGVGIEPVGNSVDSLPLMANSPTMGSPPNMKGFGLKKWRRIRRDIIKDASAGVDNSKVLKRVSSGAVNPAKPTNLTLVEVMQNSEGSVGSANLFRNAALGDGFVTHGSNLEYRFTVASAFSAGTDSENSEDQSSRSSTAASAPRVRHDLLSVSGYAWDKNGVKYLGGKSGGSSAQRVQRGMGWVENSKKPRGERVKIEKENSHSSMESDSRSSNFVFVQGDFSVTSNGKQSGRSMNYDGENSDEAHAVEQQFSGGVHTSYGQENVGEVEDLSENELAAEASWTDKGEKSDNHQPSADQDPFVESILSLQSVQKALENEVKKLGEIGKVSSVVEDSSSANPEIQKSSLPNQFDSESIKESNSLEFRVLSLTQTVQYLESRLEEAKAMIKVKELRVAELEATLNGDRSPKEESASTTELQQEKYREIENELEGLFKQKIEAEIEHLALASLQKLGVAAGDQITLFEEQEALAGEQVQMLNKLGEAGNKAASLKKQAEELEKYCGDVLGTEEVFKMQNKVCKVAACFLIQLILLILVFWLLVLQLSPYCGVVVPT >Potri.011G169000.5.v4.1 pep chromosome:Pop_tri_v4:11:19186006:19189867:-1 gene:Potri.011G169000.v4.1 transcript:Potri.011G169000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169000.v4.1 MNYDGENSDEAHAVEQQFSGGVHTSYGQENVGEVEDLSENELAAEASWTDKGEKSDNHQPSADQDPFVESILSLQSVQKALENEVKKLGEIGKVSSVVEDSSSANPEIQKSSLPNQFDSESIKESNSLEFRVLSLTQTVQYLESRLEEAKAMIKVKELRVAELEATLNGDRSPKEESASTTELQQEKYREIENELEGLFKQKIEAEIEHLALASLQKLGVAAGDQITLFEEQEALAGEQVQMLNKLGEAGNKAASLKKQAEELEKYCGDVLGTEEVFKMQNKVCKVAACFLIQLILLILVFWLLVLQLSPYCGVVVPT >Potri.013G062600.2.v4.1 pep chromosome:Pop_tri_v4:13:4645165:4649320:1 gene:Potri.013G062600.v4.1 transcript:Potri.013G062600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062600.v4.1 MGSMSRHPEVLWAQRSDKVYLTIALPDARDISVKCEAEGLFSFSAVGVQGESFDFSLELFGNVVPEGCKTKAGLRNIICSIQKEEKGWWKRLLKSEEKPAPYIKVDWNKWCDEDDNESASDASDDNNAAHGEDDESSDDDGMLYLPDLEKARGN >Potri.001G086300.1.v4.1 pep chromosome:Pop_tri_v4:1:6860364:6863827:1 gene:Potri.001G086300.v4.1 transcript:Potri.001G086300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086300.v4.1 MYVKAEPATDVNRNTEWFTYPGVWTIYMLIVCMSLLLVLSIFGCSAGMAWTIVHLCHFAVTYHFFHWKKGTPFADDQGIYNGLTWWEQIENGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFFNTLAVFVLVVAKFPHMHKVRIFGINADH >Potri.014G039600.1.v4.1 pep chromosome:Pop_tri_v4:14:2561345:2563787:-1 gene:Potri.014G039600.v4.1 transcript:Potri.014G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039600.v4.1 MAISIASLTTSLSSLSFSSQISQKPNTLSFSRSNSLSFPLSHPSKQPLTLRVSATVASPETTTTTTDLKKLVKSRLPGGFAAQTIHGTGRRKCAIARVVLQEGTGKVIINYRDAKEYLQGNPLWLQYVKVPLVTLGYESSYDVFVKAHGGGLAGQAQAISLGVARALLKVSQDHRIPLKREGLLTRDSRIVERKKVGLKKARKAPQFSKR >Potri.001G418200.1.v4.1 pep chromosome:Pop_tri_v4:1:44624921:44629187:-1 gene:Potri.001G418200.v4.1 transcript:Potri.001G418200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418200.v4.1 MDPPFQEKYRSLFNDYTIVSKDKDDSLMNANDECELPLIDLHRLTLEYSEREQCVKEIKQAASEWGFLQVVNHGIPQEMLKSLQYEQRKAFEHPFRKKAEDNILNLSANSYRWGNPRATCLRQLAWSEAFHVPLTDISRIGDAYKSLSASIEAFTTTANALAKGVAEILAENLGVSSTFFEENCPEETSYLRMNRYPPCPFSSEVFGLIPHTDSSFLTVLNQDQIGGLQLLKNGRWINVKPNPEALVINIGDLFQALSNDVYKSIKHRVLAPQQVERFSLAFFYCPTYETVIESSIKPSKYKEFTFREFMMQIQRDLKATGDKVGVSRFLL >Potri.011G104100.4.v4.1 pep chromosome:Pop_tri_v4:11:13241609:13244914:1 gene:Potri.011G104100.v4.1 transcript:Potri.011G104100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104100.v4.1 MESRTRKRSSLNNNEDRISTLPNVLLHHILSFLDAVQVVQTCVLSKRWMNVWKSHPYLDFNFETFSSLISSYYYDDDMNNFTDFISHVLVRRNNVKAFKLSLDTRRDCQYSVVESLIYYAVKHHVEEISINTAHWDVPIVLPRCFFDCESLRSLKLKVDGGLALPKSLGLQSLKTLHLGGAQNFDGKIFSSCPNLENLTIEDICLNVIENFNIHALSLKSLEILNWRYNRIKQGCKVMLVAPKLTSFKFDGNTPLFWSEVNLTSLDDVNVVLQRYYHNHYYPFYVVEDEYISEEEETEQGLGLNLIKMLHQFCSAKSLTLSMNIIEVHILKHSTI >Potri.011G104100.2.v4.1 pep chromosome:Pop_tri_v4:11:13241574:13244936:1 gene:Potri.011G104100.v4.1 transcript:Potri.011G104100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104100.v4.1 MESRTRKRSSLNNNEDRISTLPNVLLHHILSFLDAVQVVQTCVLSKRWMNVWKSHPYLDFNFETFSSLISSYYYDDDMNNFTDFISHVLVRRNNVKAFKLSLDTRRDCQYSVVESLIYYAVKHHVEEISINTAHWDVPIVLPRCFFDCESLRSLKLKVDGGLALPKSLGLQSLKTLHLGGAQNFDGKIFSSCPNLENLTIEDICLNVIENFNIHALSLKSLEILNWRYNRIKQGCKVMLVAPKLTSFKFDGNTPLFWSEVNLTSLDDVNVVLQRYYHNHYYPFYVVEDEYISEEEETEQGLGLNLIKMLHQFCSAKSLTLSMNIIEVLSKVPAALNKHPSPFSNLKYLKLKTDHKDVTLPAHVLNYFLRSSSLLKVCF >Potri.011G104100.3.v4.1 pep chromosome:Pop_tri_v4:11:13241576:13244945:1 gene:Potri.011G104100.v4.1 transcript:Potri.011G104100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104100.v4.1 MESRTRKRSSLNNNEDRISTLPNVLLHHILSFLDAVQVVQTCVLSKRWMNVWKSHPYLDFNFETFSSLISSYYYDDDMNNFTDFISHVLVRRNNVKAFKLSLDTRRDCQYSVVESLIYYAVKHHVEEISINTAHWDVPIVLPRCFFDCESLRSLKLKVDGGLALPKSLGLQSLKTLHLGGAQNFDGKIFSSCPNLENLTIEDICLNVIENFNIHALSLKSLEILNWRYNRIKQGCKVMLVAPKLTSFKFDGNTPLFWSEVNLTSLDDVNVVLQRYYHNHYYPFYVVEDEYISEEEETEQGLGLNLIKMLHQFCSAKSLTLSMNIIEVLSKVPAALNKHPSPFSNLKYLKLKTDHKDVTLPAHVLNYFLRSSSLLKVCF >Potri.016G114550.1.v4.1 pep chromosome:Pop_tri_v4:16:11877920:11878355:-1 gene:Potri.016G114550.v4.1 transcript:Potri.016G114550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114550.v4.1 MGGGTSSRITVDCLLSRESSFKGKSPFEYTTIIWAAVKSQLKQYVIVIVCAGNNRQYRNISTAFTHFFNLQHSGENNSVLLAKLPLFSSPCHT >Potri.008G161200.2.v4.1 pep chromosome:Pop_tri_v4:8:11089704:11092209:1 gene:Potri.008G161200.v4.1 transcript:Potri.008G161200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161200.v4.1 MTTSVLGTERTDLNYKETELCLGLPGAVGAKNEVETPNKATGKRGFAETVDLKLNLQAKEGVMDLNENIKNITSKDKNHLPAVTIKDPAKPPAKAQVVGWPPVRSYRKNVMAQKNASEEGEKASTGGSSAAFVKVCMDGAPYLRKVDLKMYRSYQELSDALAKMFSSFTMGNYGAQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVNSCKRLRIMKGSEAIGLAPRAMEKCKSRT >Potri.001G392601.1.v4.1 pep chromosome:Pop_tri_v4:1:41568198:41573017:-1 gene:Potri.001G392601.v4.1 transcript:Potri.001G392601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392601.v4.1 MPQSAKPSIGGDLDLSSLTDQLRDSLSSLEANKPDFRELDLGSPVSPLRTRGGLTTKTTATTTTTTTTTTSSSSSSSGSASGAQNRLHKPNNNNHSGELSNSSESSPTTSAKKGQPGHSRSDSLTYSGQTGSQSAVNSPVTVNVLPTGNICPSGRILKTGMGMVNRSAKPDVLGSGTANYGHGSIMRGGGSAKCASSDVVNSASRNAWSARGGSVDPEEVKKAGNEMYKKGCFGEALGLYDKAIALAPGNAAYRSNRAAALMGLGRVVEAVKECEEAVRLDPNYWRAHQRLGALLIRLGQVESAKKHLCFPGQHPDSAELHKLQLVEKHLNKCSDARKVNDWKGALREADAAIAAGADYCPQLFMCRTEALLKLHQLEDAQYCLSKVKVSLKPAQHMGKALGLILRTLFFIATEQHVGLSVDCGRDPLMTAIKL >Potri.001G171200.1.v4.1 pep chromosome:Pop_tri_v4:1:14729208:14734116:1 gene:Potri.001G171200.v4.1 transcript:Potri.001G171200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171200.v4.1 MGWIGDTVDSIKSIQIRQLLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRTGEIVVFNVDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >Potri.013G120500.1.v4.1 pep chromosome:Pop_tri_v4:13:12925537:12929248:-1 gene:Potri.013G120500.v4.1 transcript:Potri.013G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120500.v4.1 MSSSNIIMFEDIFVVDKLDPDGKKFDNVTRIEAHSQNCDMFMHLDVNTDVYPMFVNDKFTMALAHTLNLDGTPDTGYYTQGGRKTLADKYEYVMHGKLYQIQEEGSRRATRTEMVITFGGLLMLLKGDPSYVSQFELDQRLFCLIRKL >Potri.013G138900.3.v4.1 pep chromosome:Pop_tri_v4:13:15609876:15610293:1 gene:Potri.013G138900.v4.1 transcript:Potri.013G138900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MKKIQQKTETNPLSVLHQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMVFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Potri.013G138900.2.v4.1 pep chromosome:Pop_tri_v4:13:15609815:15610279:1 gene:Potri.013G138900.v4.1 transcript:Potri.013G138900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLHQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMVFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Potri.013G138900.1.v4.1 pep chromosome:Pop_tri_v4:13:15609748:15610215:1 gene:Potri.013G138900.v4.1 transcript:Potri.013G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps7 MSRRGTAEEKTAKSDPIYRNRLVNMLVNRILKHGKKSLAYQILYRAMKKIQQKTETNPLSVLHQAIRGVTPDIAVKARRVGGSTHQVPIEIGSTQGKALAIRWLLGASRKRPGRNMVFKLSSELVDAAKGSGDAIRKKEETHRMAEANRAFAHFR >Potri.001G435500.2.v4.1 pep chromosome:Pop_tri_v4:1:46144735:46149366:-1 gene:Potri.001G435500.v4.1 transcript:Potri.001G435500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435500.v4.1 MEGIILRRVIPSDNSCLFNAVGYVMDHDKNKAPELRQVIAATVASDPEKYNEAFLGKPNGEYCTWIIDPEKWGGAIELSILADYYGREIAAYDIQTMRCDLYGQERTYSERAMLIYDGLHYDALAMSPFEGAPEEFDQTIFAVQNDRTIGQAEGHALNLVKEQQRRRSYTDTANFTLRCGVCQIGVIGQKEAVEHAQATGHVNFQEYR >Potri.005G083000.1.v4.1 pep chromosome:Pop_tri_v4:5:5674799:5681144:-1 gene:Potri.005G083000.v4.1 transcript:Potri.005G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083000.v4.1 MTLFTFLFTLSLLFFFTSGSDLRSLLEFKKGIQSDPLHMISKWDPSALPDPNSCPHSWPGISCDPNSDSVISITLDRLGLAGDLKFSTLLSLNSLQSISLSGNQFTGRLVPALGSMSSLQYLDLSNNNFSGPIPGRIAELWNLKYLNLSTNGFEGGFPVGLPVGFRNLQQLRVLDLSSNRFWGDISAVLSELIHLEKVDLSDNEFSGGFSDISGENVSGLANTLHLLNLRKNKFNGGFLKADVIGLFRNLEVLDLGNNEINGELPSFGSLTNLKVLRLGNNQLYGGIPEELLNGSIPIEELDLSGNGFTGYINEIHSTTLNVLNVSSNGLKGHLPTFLQRCSVLDLSGNMITGDMSVMQNWGATLEVLDLSSNQLSRSLPNLTPQFVRLTKLNLRNNSLKGNLPPQLWDISTLSSVDLSLNQLNGPIPGSFFTSLTLTNLNLSGNQFSGPIPVQGSGAGELLVLPSYPLMESLDVSQNSLSGPLPSGIGNFANLKSLNLSHNNLTGQLPIELSKLTYLQYLDLSANNFQGKIPDKLPSSLIGLNMSYNDLSGNIPQNLRNKFDITSFLPGNPSLIIPKAGGPSTNSVPHHISGGGKHGSKRNITIAIIVATVGAAAMVAFVLLAYQRAQRKEFHGRSDFSGQTAMEDAKLGRSSRISLFKFQLNAHRPPTSLSFSNNHLLTANSRSLSGQTESATEIVEHSLYEGMMASSSIPNLLDDHPTTSGRKSSPGSPLSSSPRFVEPAKLDVYSPDRLAGELSFLDSSLAFTAEELSRAPAEVLGRSSHGTLYKATLDSGHMLTVKWLRVGLVKHKKEFAKEVKKIGSIRHPNIVPLRAYYWGPREQERLLLADYIQGDSLALHLYETTPRRYSLLSFSQRLKVAVDVARCLLYLHDRGMLHGNLKPANILLEGPDYNTRLTDYGLHRLMTPAGIAEQILNLGALGYRAPELDNASKPAPSFKADVYAFGVILMELLTRRSAGDIISGQSGAVDLTDWVQLCDQEGRRMDCIDRDIAGGEEPTKAMDDLLAISLKCILPVNERPNIRQVFDDLCSISV >Potri.002G006400.1.v4.1 pep chromosome:Pop_tri_v4:2:359238:359840:-1 gene:Potri.002G006400.v4.1 transcript:Potri.002G006400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006400.v4.1 MARPFSFLNAVANSSATTTGSPPQASATVDSDFMVILAALLCALICVLGLIAVARCAWLRRFSSRNPTPPVPPPPPSVANKGLKKKVLRSLPKQTFSEDFSGKLPDCAICLTEFSAGDEIRVLPQCGHGFHVSCIDTWLGSHSSCPSCRQILVVARCQKCGGLPSGSSSSNGGGGGGGGAETETEASLKEREDGANRFLP >Potri.011G082900.1.v4.1 pep chromosome:Pop_tri_v4:11:9032602:9036186:1 gene:Potri.011G082900.v4.1 transcript:Potri.011G082900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082900.v4.1 MSSLRPDPTSRIHPEPEPTTTTSSPSIDHFDRLPDSLLLLVFNKIGDVKALGRCCVVCLRFHSLVPQVDNVVVRVDCVISDDDTSSSSSSTKSHSPSSSSGFSSIFRLVFGGIVKPFQVLGQLLGPKVNSRNGFLNSSLSVGTTTSTDDDTEPDQGGVTHHSPTQVLKNFNEIRFLRIELPSGELGIDDGVLLKWRADFGSTLDNCVILGAASVFTNNKNSFVMQENDAICNSTAAINNNNGVDDNGSIPESFYTNGGLKLRVVWTISSLIAASARHYLLQPIIAEHKTLDSLVLTDADGQGVLRMNREQLEELRVKPLSASSASKRTLVPALNMRLWYAPHLELPDGVVLKGATLVAIRPSDQAATKKDVSDISCLSTAFEEPYGTAAKMLVKRRTYCLEMNSF >Potri.019G069600.2.v4.1 pep chromosome:Pop_tri_v4:19:11026484:11032704:1 gene:Potri.019G069600.v4.1 transcript:Potri.019G069600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069600.v4.1 MASSSAVAHKWKYDVFLSFRGKDTRNNFTSHLYDALCRKKIKTFIDDGLERGEEITPALLKTIEESRISVVIFSKNYASSPWCVDELVKILECKETYGQIVLPVFYHVDPSDVDEQTGSFGNAFAELEKNFKWKMDKVPRWRADLTYAASISGWDSQVTSPESKLVREVVQTIWKRLNRASPSKLRGLVGVHSRIEKINNLLSTVPSDVHTIGIWGMGGIGKTTIAEAFFYSISSQYEGCHFLPNIRQESEKGRLNDLRDELLSKLLEEENLGVGTPHIGPTFIRDRLCQKKVLLVLDDVNDVRQFQYLIGERSFGEGSVVVVTSRDKQVLKNVADEIYEVEELNSHEALQLFSLNAFKGNHPPKAYMELSITAINYAKGNPLALRVLGSFLFRRERHFWESQLNNIESFPELNIYDLLRIGFDALRDNNTKSIFLDIACFFRGHQVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHEVVRKESLNELGGQSRLWSPKDVYQVLTNNQGTGKVEGIFLDVSKIREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNQLWRGDQNLVNLKDVNLSNCEHITFMPNLSKARNLERLNLQFCTSLVKFPSSVQHLDKLVDLDLRGCKRLINLPSRFNSSFLETLNLSGCSNIKKCPETARKLTYLNLNETAVEELPQSIGEQSGLVALNLKNCKHLVNLPENIYLLKSLLIADFSGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGGLRELIYLDLVGCNLLKNLPSAVSKLGCLKKLDLSGCSSVTEFPKVSNTIKELYLNGTAIREIPSSIECLFDLAELHLRNCKQFEILPSSICKLRKLERLNLSGCVQFRDFPEVLEPMVCLRYLYLEQTSITELPSPIGNLMGLACLEVGNCKYLKDIECFVDLQLPERCVDLDSLRKLNLDGCSLSEVPDSLGRFSSLEVLELSGNNFQTIPISINKLFELQYLGLRNCTRLESLPELPPRLSKLDADNCESLHYLVSSSSTVVEGNIFEFIFTNCSRSRWFNQILAYSLLKFQLYTKRLYHQLPDVPEGACSFCLPANATPEWFSHQSWGSTVTFQLSSHWANSQFLGFSLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDIKGNLLPLDLCQVHKCGVRLLYEDEIHPFDLIMPGSSRFHPLERDGLEARFQAKRARFQANRGEDSSIMRRTYELLDYELYSERFRLPRHVEASSFYLLGDVSPEWFSHQSWGSIVTFQLSSYRDSSEFLGFCLCAVIASYSFNPDLVVKCTYHFRNEHGDSHDLYCYLHEKFDERCIYSDLIFVGFDPCLVVKEKDMFSEYSEVSVEFQPEDFYGNLFPLDCCQVVECGVRVLQ >Potri.019G069600.3.v4.1 pep chromosome:Pop_tri_v4:19:11027956:11032705:1 gene:Potri.019G069600.v4.1 transcript:Potri.019G069600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069600.v4.1 MGGIGKTTIAEAFFYSISSQYEGCHFLPNIRQESEKGRLNDLRDELLSKLLEEENLGVGTPHIGPTFIRDRLCQKKVLLVLDDVNDVRQFQYLIGERSFGEGSVVVVTSRDKQVLKNVADEIYEVEELNSHEALQLFSLNAFKGNHPPKAYMELSITAINYAKGNPLALRVLGSFLFRRERHFWESQLNNIESFPELNIYDLLRIGFDALRDNNTKSIFLDIACFFRGHQVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHEVVRKESLNELGGQSRLWSPKDVYQVLTNNQGTGKVEGIFLDVSKIREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNQLWRGDQNLVNLKDVNLSNCEHITFMPNLSKARNLERLNLQFCTSLVKFPSSVQHLDKLVDLDLRGCKRLINLPSRFNSSFLETLNLSGCSNIKKCPETARKLTYLNLNETAVEELPQSIGEQSGLVALNLKNCKHLVNLPENIYLLKSLLIADFSGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGGLRELIYLDLVGCNLLKNLPSAVSKLGCLKKLDLSGCSSVTEFPKVSNTIKELYLNGTAIREIPSSIECLFDLAELHLRNCKQFEILPSSICKLRKLERLNLSGCVQFRDFPEVLEPMVCLRYLYLEQTSITELPSPIGNLMGLACLEVGNCKYLKDIECFVDLQLPERCVDLDSLRKLNLDGCSLSEVPDSLGRFSSLEVLELSGNNFQTIPISINKLFELQYLGLRNCTRLESLPELPPRLSKLDADNCESLHYLVSSSSTVVEGNIFEFIFTNCSRSRWFNQILAYSLLKFQLYTKRLYHQLPDVPEGACSFCLPANATPEWFSHQSWGSTVTFQLSSHWANSQFLGFSLCAVIAFHSFGHSLQVKCTYHFSNEHGDSHDLYCYLHGWYDEKRIDSEHILVGFDPCLVAKEDYMFSEYSEVSVEFQLEDIKGNLLPLDLCQVHKCGVRLLYEDEIHPFDLIMPGSSRFHPLERDGLEARFQAKRARFQANRGEDSSIMRRTYELLDYELYSERFRLPRHVEASSFYLLGDVSPEWFSHQSWGSIVTFQLSSYRDSSEFLGFCLCAVIASYSFNPDLVVKCTYHFRNEHGDSHDLYCYLHEKFDERCIYSDLIFVGFDPCLVVKEKDMFSEYSEVSVEFQPEDFYGNLFPLDCCQVVECGVRVLQ >Potri.019G069600.4.v4.1 pep chromosome:Pop_tri_v4:19:11026533:11032626:1 gene:Potri.019G069600.v4.1 transcript:Potri.019G069600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069600.v4.1 MASSSAVAHKWKYDVFLSFRGKDTRNNFTSHLYDALCRKKIKTFIDDGLERGEEITPALLKTIEESRISVVIFSKNYASSPWCVDELVKILECKETYGQIVLPVFYHVDPSDVDEQTGSFGNAFAELEKNFKWKMDKVPRWRADLTYAASISGWDSQVTSPESKLVREVVQTIWKRLNRASPSKLRGLVGVHSRIEKINNLLSTVPSDVHTIGIWGMGGIGKTTIAEAFFYSISSQYEGCHFLPNIRQESEKGRLNDLRDELLSKLLEEENLGVGTPHIGPTFIRDRLCQKKVLLVLDDVNDVRQFQYLIGERSFGEGSVVVVTSRDKQVLKNVADEIYEVEELNSHEALQLFSLNAFKGNHPPKAYMELSITAINYAKGNPLALRVLGSFLFRRERHFWESQLNNIESFPELNIYDLLRIGFDALRDNNTKSIFLDIACFFRGHQVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKVEMHDLLQEMAHEVVRKESLNELGGQSRLWSPKDVYQVLTNNQGTGKVEGIFLDVSKIREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNQLWRGDQNLVNLKDVNLSNCEHITFMPNLSKARNLERLNLQFCTSLVKFPSSVQHLDKLVDLDLRGCKRLINLPSRFNSSFLETLNLSGCSNIKKCPETARKLTYLNLNETAVEELPQSIGEQSGLVALNLKNCKHLVNLPENIYLLKSLLIADFSGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGGLRELIYLDLVGCNLLKNLPSAVSKLGCLKKLDLSGCSSVTEFPKVSNTIKELYLNGTAIREIPSSIECLFDLAELHLRNCKQFEILPSSICKLRKLERLNLSGCVQFRDFPEVLEPMVCLRYLYLEQTSITELPSPIGNLMGLACLEVGNCKYLKDIECFVDLQLPERCVDLDSLRKLNLDGCSLSEVPDSLGRFSSLEVLELSGNNFQTIPISINKLFELQYLGLRNCTRLESLPELPPRLSKLDADNCESLHYLVSSSSTVVEGNIFEFIFTNCSRSRWFNQILAYSLLKFQLYTKRLYHQVCFSNL >Potri.004G075450.3.v4.1 pep chromosome:Pop_tri_v4:4:6281083:6285707:1 gene:Potri.004G075450.v4.1 transcript:Potri.004G075450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075450.v4.1 MFYCGSLLMIFITGPTKDKKEALGELLNGDRLVTAPYKLDFLNDKDSEIACKNKLTKEQVAQFREAISKDFYFQMYYDDLPIWGFIGKVEKEGKNDPSEYKYYLLKHLHFTIFYNKDRVIEITALSDPKNVVDLTEDKEVNVEFMYSVKWKETEIPYEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTFFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.004G075450.2.v4.1 pep chromosome:Pop_tri_v4:4:6281004:6285712:1 gene:Potri.004G075450.v4.1 transcript:Potri.004G075450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075450.v4.1 MEKKLVPCLVVSILILCSVTLVRSDASDNRYKVGEDVPLYVNKVGPFHNPSETYRYFDLPFCSSGPTKDKKEALGELLNGDRLVTAPYKLDFLNDKDSEIACKNKLTKEQVAQFREAISKDFYFQMYYDDLPIWGFIGKVEKEGKNDPSEYKYYLLKHLHFTIFYNKDRVIEITALSDPKNVVDLTEDKEVNVEFMYSVKWKETEIPYEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTFFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.004G075450.1.v4.1 pep chromosome:Pop_tri_v4:4:6281011:6285725:1 gene:Potri.004G075450.v4.1 transcript:Potri.004G075450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075450.v4.1 MEKKLVPCLVVSILILCSVTLVRSDASDNRYKVGEDVPLYVNKVGPFHNPSETYRYFDLPFCSSGPTKDKKEALGELLNGDRLVTAPYKLDFLNDKDSEIACKNKLTKEQVAQFREAISKDFYFQMYYDDLPIWGFIGKVEKEGKNDPSEYKYYLLKHLHFTIFYNKDRVIEITALSDPKNVVDLTEDKEVNVEFMYSVKWKETEIPYEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTFFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.001G370600.1.v4.1 pep chromosome:Pop_tri_v4:1:38848191:38851467:-1 gene:Potri.001G370600.v4.1 transcript:Potri.001G370600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370600.v4.1 MNMETKREEEIEDMSSLSPPTMGSMQIAGSNGFGHSIDFMSEAYLRNRYSGIDIEEDCLAMNKDRPLPIFLKFEDVEYKVRNSKASSANPVKAVVSKVASQLNLDHDNYKMILKGVTGSVCPGEILALMGPSGSGKTTLLKIIGGRLSENVKGRITYDDIAYNAVIKRRIGFVTQDDVLLPQLTVEETLVFAAFLRLPGNMSRQQKYARVEMIIKELGIERCRHSRVGGGFVKGISGGERKRTSIGYEILVDPSLLLLDEPTSGLDSTSANRLLQILQGLAKAGRTIITTIHQPSSRIFHMFDKLLLISEGYPVYYGKARESMEYFSCLRFIPEIAMNPAEFLLDLATGQVNDISVPEDLSAPHGVLDSEKAVIKYLHLKYKTQLEPKEKEENHQSKRVPEPLQLAIQVKRDWTLSWWEQFVIMYKRTFRERCRDYFDKLRLVQALGVAVLLGLLWWKSKTGTEAQLRDQVGLMFYICIFWTSSSIFGAVYVFPFEKIYLVKERKADMYRLSVYYVCSTLSDMVAHVFYPTFFMVIVYFMAGFKRTVPCFFFTLFVMLLIVITSQGVGELCGAASLSIKRAGMFASLILMLFLLTGGYYVQHIPKFMQWMKFLSFMFYGFRLLLKVQYDGDELYECESEGGCRPLQSSPSFDMVNLKGGLQEVWVLLAMALGYRLIAYFCLRRRINLCHL >Potri.002G136200.2.v4.1 pep chromosome:Pop_tri_v4:2:10235321:10236625:-1 gene:Potri.002G136200.v4.1 transcript:Potri.002G136200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136200.v4.1 MVFRSISATHPNTTNIVMASSTTVKPHQPLHNFPLQDLKWSMNPSNNATNHHRFRSNKSPHRDAAAADSDGDGGVKVEKLSKQKSDDAETLEKKSKIFIRLRTNKNSSGSSSSKCMVDDVAADAGDLDSAAVVEDVEESIPKTWNLRPRRAVNKGLNGSGGAVKIGGGAVQEIKSQVTSSNRSEWTRSNRNGNDATNYDNNNNNNNKEKEKEKEKEKKLRFSIPLTREEIEEDIYSLTGSKPARRSKKRAKHVQKQLDCLFPGMWLASITPECYKVHEAPSKG >Potri.002G136200.1.v4.1 pep chromosome:Pop_tri_v4:2:10235343:10236625:-1 gene:Potri.002G136200.v4.1 transcript:Potri.002G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136200.v4.1 MVFRSISATHPNTTNIVMASSTTVKPHQPLHNFPLQDLKWSMNPSNNATNHHRFRSNKSPHRDAAAADSDGDGGVKVEKLSKQKSDDAETLEKKSKIFIRLRTNKNSSGSSSSKCMVDDVAADAGDLDSAAVVEDVEESIPKTWNLRPRRAVNKGLNGSGGAVKIGGGAVQEIKSQVTSSNRSEWTRSNRNGNDATNYDNNNNNNNKEKEKEKEKEKKLRFSIPLTREEIEEDIYSLTGSKPARRSKKRAKHVQKQLDCLFPGMWLASITPECYKVHEAPSKLRM >Potri.005G166900.1.v4.1 pep chromosome:Pop_tri_v4:5:17311294:17314449:1 gene:Potri.005G166900.v4.1 transcript:Potri.005G166900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G166900.v4.1 MKSQNQETSTQTHNSLQQSLLALRLETPEEEKFINSCDSLSSSNSMAYNVSSPSSSTLNGEYIGMESCLDLKNNEDIFASPPKKEETNYGSCNREKRDQRWAKKKEFPPPIPLLARTENLPSHMPWVLKRYYTSDGRLILRKEKVRHHEYFRAHRCNGRLTLHLVPLDDEVSALPFVFNHERRYGIENDLECNDIEEDEQVLEQEHEEELEENESLVEESTDVEVNGNDGENDYCVKNVDSDDTLEEEEEEEEVVHDDKRVPSVESSGSAGKCLNYSSVRTSSTCIFGVPVPAVRPVHS >Potri.014G088000.1.v4.1 pep chromosome:Pop_tri_v4:14:5717578:5722932:-1 gene:Potri.014G088000.v4.1 transcript:Potri.014G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088000.v4.1 MNMIWAGIRLLLMIVLLGYAMVWIMRPTKTFKEIWLPNIRKKLNSSTYFGTQGTGFLVFSFPILFVAVVGCVYLHFRKRSKDRKLESNGKKNRLVTLKKPMLVKGPLGIVSGTELAFLIMFVALLIWSFSVYLLNGFATITPQSAAKKGLKEWQAKLASASLSLGLLGNICLALLFLPVARGSSLLPLFGLPSEASIKYHIWLGHTVLVFFTAHGLGYITLWAVKNQISAMLEWARDDVSNVAGELSLLSGLVMWATTYPGIRRKMFELFFYTHYLYILFMVFFIFHVGISFACLMLPGFYLFLVDRFLRFLQSRQRVRLVSARILPCDTVELNFSKNPRLSYNPTSILFINVPSISGLQWHPFTITSNSNLEPEKLSVVIKSDGSWTRKLHQMLSSPSSIDRLEVSVEGPYGPASTHFIRHDQLVMVSGGSGIAPFISIIRELIFASTTYKCKTPEVLLVCAFKKSSELTMLDLLLPISGSPSDISKLQLKIEAFVTREKGPTTDSSKTPRAIWFNPHATDAPISAILGPKSWLWLGAIISSSFIIFLIIIGLITGYYIYPIDQNTEGGFPLAFRSFLNMLVICICIAMTASAAVLWNKKQNARDVKQIQNVEGPTPAGSPQSWVYRADRELESVPHQSLFQAINVHYGERPDLKKILFECKGSSVGVLASGPKMMRHGIANICSSGLADNLHFESISFTW >Potri.006G259400.7.v4.1 pep chromosome:Pop_tri_v4:6:25563181:25568311:-1 gene:Potri.006G259400.v4.1 transcript:Potri.006G259400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259400.v4.1 MAFHHNLPSQDLPLHHFTDQQATENTTAPPNWLNTALLRSQQPPQQRTHHHFTDNNNTNNFLNLHSATPSTTATTSDSASHNPTQWLSRSSSSLLNRNHSTVIDDVSAAAAGGDHPIIASMSQESSELKSMNKSEGETMVESGGGGGEAVVNWQNAKCKADILAHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVVAKYSALGSHQGLVPDDKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSEANMFDGSLEGVDTMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKR >Potri.006G259400.1.v4.1 pep chromosome:Pop_tri_v4:6:25563202:25568367:-1 gene:Potri.006G259400.v4.1 transcript:Potri.006G259400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259400.v4.1 MAFHHNLPSQDLPLHHFTDQQATENTTAPPNWLNTALLRSQQPPQQRTHHHFTDNNNTNNFLNLHSATPSTTATTSDSASHNPTQWLSRSSSSLLNRNHSTVIDDVSAAAAGGDHPIIASMSQESSELKSMNKSEGETMVESGGGGGEAVVNWQNAKCKADILAHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVVAKYSALGSHQGLVPDDKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSEANMFDGSLEGVDTMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKRSNAGDNNGDRFV >Potri.006G259400.2.v4.1 pep chromosome:Pop_tri_v4:6:25563203:25568367:-1 gene:Potri.006G259400.v4.1 transcript:Potri.006G259400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259400.v4.1 MAFHHNLPSQDLPLHHFTDQQATENTTAPPNWLNTALLRSQQPPQQRTHHHFTDNNNTNNFLNLHSATPSTTATTSDSASHNPTQWLSRSSSSLLNRNHSTVIDDVSAAAAGGDHPIIASMSQESSELKSMNKSEGETMVESGGGGGEAVVNWQNAKCKADILAHPLYDQLLSAHVACLRIATPVDQLPRIDAQLAQSQQVVAKYSALGSHQGLVPDDKELDQFMTHYFLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGTGATMSDDDEDQVDSEANMFDGSLEGVDTMGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEILRKRRAGKLPGDTTSVLKAWWQSHSKWPYPTEEDKARLVQETGLQLKQINNWFINQRKRNWHSNPSTSTVLKSKRKSNAGDNNGDRFV >Potri.008G157600.1.v4.1 pep chromosome:Pop_tri_v4:8:10807252:10809953:1 gene:Potri.008G157600.v4.1 transcript:Potri.008G157600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157600.v4.1 MASTAIPQPMEGLRDSVPPPFLTKTYDIIEDASTNHIVSWSRGNNSFIIWDPQAFSTSLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKHLLKSIRRRKAPQTLTSQACVEVGTFGLDGEVNRLRRDKQVLMVELVKLRQQQQTTKACIQLIERKLKRTENKQQQMMSFLARAMQNPNFVQQLAQQKEMRKELEEAISKKRRRPIDQGRSNFEVAEFGHGEGVGTFVKIEHQEFGDLSEFDDLSEFDVPEFHNPAMNMQGLSENQLINLVEERIEKGEEHGNKGNEIDEGFWEDLLNEDIIDEEIAVLGSEGEDEEDVSVLVEQLGYLGSSTELRT >Potri.009G067300.1.v4.1 pep chromosome:Pop_tri_v4:9:6738774:6742289:1 gene:Potri.009G067300.v4.1 transcript:Potri.009G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067300.v4.1 MEQMTGLLFLSFSSLILLSFSGSVDAYKNYTVGDSLGWYDTTVKSNVNYQKWADGKNFSLGDFLIFNTDNNHSVVQTYNFTTYKLCDYDNSVDNVTVEWSSANPSNTLTQGVTVAVPLLKEGPTYFFSGDYDGEQCDNGQHFKLTVSHGKGLPDSLKDPSDQAPAPNAADYDSTPDTTVPFDLNNPHDQDTDVKKDSGSISLYGKFLDMKLHGILLLLGIVYLF >Potri.008G153900.1.v4.1 pep chromosome:Pop_tri_v4:8:10551744:10553173:1 gene:Potri.008G153900.v4.1 transcript:Potri.008G153900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153900.v4.1 MAESDRNEPINEQVVANMYTAMRSELNQIYSKITELEMDVSEHSLVINAIQPLDPSRRCYRMIGGVLVERTIKEVLPAVQRNKEGIEEVIVRLNEAAERKKKEIADFEAKYKIRIRKSDSEVKDDTNKKEGSSQGVLVGPAGSSE >Potri.018G055900.1.v4.1 pep chromosome:Pop_tri_v4:18:5521314:5523245:1 gene:Potri.018G055900.v4.1 transcript:Potri.018G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055900.v4.1 MRMGVEYANVQRLAIQSGFGKDGMKEKINGSLNCQEMMRRQGATLSVLGVKYASLLRQTNTIQFWEGGGEGMDKQKQRTQNRKEEISWKGQQVRKQNLRVI >Potri.015G126700.1.v4.1 pep chromosome:Pop_tri_v4:15:13840451:13843465:1 gene:Potri.015G126700.v4.1 transcript:Potri.015G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G126700.v4.1 MAKQSSMLQKKLQELEHELINKVFSLPAETQLSELYIQDVEQRFFFLKNLLSAETTSSSKRPQYRLQQIGKRLSDLETAFYDRNNNNRTATTQVQLENVSCSASCLDGDGDQTSNETGLCEFEESGNAFQSLMKEATPLTVTTQDQLDESCLDEDGDQTSEETGSFEFEEAENAFQSSIEEKTPSMKTEMVKVLVKKRSGRVFWGMASGVVIGMALMGFMTESSSGIFPHLKHMWTQPI >Potri.001G470900.1.v4.1 pep chromosome:Pop_tri_v4:1:49415997:49418724:-1 gene:Potri.001G470900.v4.1 transcript:Potri.001G470900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470900.v4.1 MEETIPFKNLHSREYQGHKKKVHSVAWNCTGTKLASGSVDQTARVWHIDLHGHGKIKDIELKGHADSVDQLCWDPKHADLIATASGDKTVRLWDARSGKCSQQTELSGENINITYRPDGTHVAVGNRDDELTILDVRKFKPIHRRKFNYEVNEIAWNMTGEMFLLTTGNGTVEVLSYPSLQPLETVMAHTAGCYCIAIDPKGRYFAVGSADSLVSLWDISEMLCVRTFTKLEWPVRTISFNHTGDFIASASEDLFIDISNVHTGRSVHQIPCRAAMNSVEWNPKYNLLAYAGDDKNKYQTDEGVFRIFGFESA >Potri.001G257000.2.v4.1 pep chromosome:Pop_tri_v4:1:27286222:27291771:-1 gene:Potri.001G257000.v4.1 transcript:Potri.001G257000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257000.v4.1 MAAAASLGAANTAVLKEVKIEIGSFDGLRSWNPVGLSRRRVNFYPVSSSTSRPNSLIKAVSTPVKPETETKRSKVEIIKEHSNFIRYPLNEELLTDAPNINESAIQLIKFHGSYQQYNREERGGRSYSFMLRTKNPCGKVPNKLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSSIVHSMGSTLGACGDLNRNVLAPAAPFARKDYQFAQQTADNIAALLTPQSGFYYDMWVDGEKIMSAEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDVLTNDIGVVVVTDADGEPQGFNLYVGGGMGRTHRLETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGRKFEPSRELPEWEFKSYLGWHEQGDGGLFCGLHVDSGRVGGKMKATLREIIEKYNLDVRLTPNQNIILCGIRKAWKHPITTALAQAGLLQPKYVDPLNLTAMACPAFPLCPLAITEAERGMPDILKRVRAVFEKVGLKYNESVVIRATGCPNGCARPYMAELGFVGDGPNSYQIWLGGTPNQTSIARTFMNKVKIHDLEKVLEPLFYYWKRKRQSKESFGDFTNRVGFEMLQEWVDKWDGVVATRPTYNLRLFTDKDTYEKMDELAKLQNKTAHQLAMEVIRNYAATQQNEKGE >Potri.001G257000.6.v4.1 pep chromosome:Pop_tri_v4:1:27286176:27291372:-1 gene:Potri.001G257000.v4.1 transcript:Potri.001G257000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257000.v4.1 MAAAASLGAANTAVLKEVKIEIGSFDGLRSWNPVGLSRRRVNFYPVSSSTSRPNSLIKAVSTPVKPETETKRSKVEIIKEHSNFIRYPLNEELLTDAPNINESAIQLIKFHGSYQQYNREERGGRSYSFMLRTKNPCGKVPNKLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSSIVHSMGSTLGACGDLNRNVLAPAAPFARKDYQFAQQTADNIAALLTPQSGFYYDMWVDGEKIMSAEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDVLTNDIGVVVVTDADGEPQGFNLYVGGGMGRTHRLETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGRKFEPSRELPEWEFKSYLGWHEQGDGGLFCGLHVDSGRVGGKMKATLREIIEKYNLDVRLTPNQNIILCGIRKAWKHPITTALAQAGLLQPKYVDPLNLTAMACPAFPLCPLAITEAERGMPDILKRVRAVFEKVGLKYNESVVIRATGCPNGCARPYMAELGFVGDGPNSYQIWLGGTPNQTSIARTFMNKVKIHDLEKVLEPLFYYWKRKRQSKESFGDFTNRVGFEMLQEWVDKWDGVVATRPTYNLRLFTDKDTYEKMDELAKLQNKTAHQLAMEVIRNYAATQQNEKGE >Potri.001G257000.5.v4.1 pep chromosome:Pop_tri_v4:1:27286227:27291481:-1 gene:Potri.001G257000.v4.1 transcript:Potri.001G257000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257000.v4.1 MAAAASLGAANTAVLKEVKIEIGSFDGLRSWNPVGLSRRRVNFYPVSSSTSRPNSLIKAVSTPVKPETETKRSKVEIIKEHSNFIRYPLNEELLTDAPNINESAIQLIKFHGSYQQYNREERGGRSYSFMLRTKNPCGKVPNKLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSSIVHSMGSTLGACGDLNRNVLAPAAPFARKDYQFAQQTADNIAALLTPQSGFYYDMWVDGEKIMSAEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDVLTNDIGVVVVTDADGEPQGFNLYVGGGMGRTHRLETTFPRLAEPLGYVPKEDILYAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGRKFEPSRELPEWEFKSYLGWHEQGDGGLFCGLHVDSGRVGGKMKATLREIIEKYNLDVRLTPNQNIILCGIRKAWKHPITTALAQAGLLQPKYVDPLNLTAMACPAFPLCPLAITEAERGMPDILKRVRAVFEKVGLKYNESVVIRATGCPNGCARPYMAELGFVGDGPNSYQIWLGGTPNQTSIARTFMNKVKIHDLEKVLEPLFYYWKRKRQSKESFGDFTNRVGFEMLQEWVDKWDGVVATRPTYNLRLFTDKDTYEKMDELAKLQNKTAHQLAMEVIRNYAATQQNEKGE >Potri.005G094600.12.v4.1 pep chromosome:Pop_tri_v4:5:6649565:6653241:1 gene:Potri.005G094600.v4.1 transcript:Potri.005G094600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094600.v4.1 MSSTAILPLPAPPVNGDGSPPPPSQVVEQQPREDRPASEEQSRAPVATHTRTIGIIHPPPDIRSIVDKTSQFVAKNGPEFEKRIIANNANNAKFNFLNSSDPYHAYYQHRLAEFRAQNQAPGQQPTSQPADSAAPDSAPKPDSAADENEAATKPDPSAQFRLPPPKVLEPPEAEQYTVRLPEGITGEELDIIKLTAQFVARNGQAFLTGLTNREMNNPQFHFLKPTHSMFTFFTGLADAYSKVLMPPKGLTEKLTKSVSDMTTVLERGLHRLEWERSQEQARQKAEDEIEQERMQMAMIDWHDFVVVETIDFADDEDEDLPPPMTLEEVIRRSKISAMDEDEIIEPGKEVEMEMDEEEMQLVEEGMRVASIEENDGEKGTRTNEEQEPPMRIVKNWKRPEERIPAERDPTKFVVSPITGELIPISEMSEHMRISLIDPKYKEQKERMFAKIRETTLAQDDEISRNIVGLARLRPDIFGTTEEEVSNAVKAEIEKKKDEQPKQVIWDGHTGSIGRTANQAMSQNANGEDQNEAVNNDVRNLPGPAAPPPRPGMMTVRPLPPPPGLQLNLPRAPPNTVQYSGPTAGAYLVHPQRPVSIPIMQPNYPPPMQMAPGQQHIMVNRPPPMPPLMSGNPSLPVPPPPGSEYNSMAVPRSFAPHPVSQPGLHMMPPPPPLPQGMPPPPPPEDAPPPLPDEPEPKRQRLDDSALVPEDQFLAQHPGLVRVTVAVPNIDEGNLKGQVLEITMQSLSETVGSLKEKIAGEIQLPANKQKLSGKAGFLKDNMSLAYYNVGPGELLALSLRERGGRKR >Potri.001G058700.3.v4.1 pep chromosome:Pop_tri_v4:1:4474168:4478283:-1 gene:Potri.001G058700.v4.1 transcript:Potri.001G058700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058700.v4.1 MCFRLKCLLEIESTRLHVLQVTSLRQELQMLASSRPVTIVTASGTGANKYGVVVVVIVVGYGYVWWKGWKLPDMMFATRRSLSDACTSIGQQLENVYGSIRSTRRHLSSKIDGVDTNLNAIAELTASTQERVTELREDSSKIGNDVRYVRDAVETLELKISRIEGKQDLTTLGVKRLCDYASSLENNLLEENVQTSASSSRLAFSSKAGALPAPSSEPSTPSSEPSTPSALNGSQEVQRLPRNAASASSQKGSTGISGVAEVASSLGISNGIHTPEETSNGTSWFKPAFLMRTRSAINSVVQQTSSSKQQS >Potri.001G058700.1.v4.1 pep chromosome:Pop_tri_v4:1:4473705:4480808:-1 gene:Potri.001G058700.v4.1 transcript:Potri.001G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058700.v4.1 MAIPLGKLTILVGAGILGSVLAKEGRMPDVSSFVSGAFKIALRQLKRDDSTSSVSKSSKPPNDSLMAQVTSLRQELQMLASSRPVTIVTASGTGANKYGVVVVVIVVGYGYVWWKGWKLPDMMFATRRSLSDACTSIGQQLENVYGSIRSTRRHLSSKIDGVDTNLNAIAELTASTQERVTELREDSSKIGNDVRYVRDAVETLELKISRIEGKQDLTTLGVKRLCDYASSLENNLLEENVQTSASSSRLAFSSKAGALPAPSSEPSTPSSEPSTPSALNGSQEVQRLPRNAASASSQKGSTGISGVAEVASSLGISNGIHTPEETSNGTSWFKPAFLMRTRSAINSVVQQTSSSKQQS >Potri.018G079901.1.v4.1 pep chromosome:Pop_tri_v4:18:9819528:9820855:1 gene:Potri.018G079901.v4.1 transcript:Potri.018G079901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079901.v4.1 MMEANNNNNIVAPFVLKIYQMVSDPTTDSLISWGRANNSFIVIDPLDFSQRILPVYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEWFLRGQKQLLKNIVRRKHSSNNKGSSYMQVNIKGEDFDDEDIIMEIARLKQEQKALEQELEGMNKRLEATERRPQQMMAFIYKVVEDPDLLPRMILEKERTRQIKDKKQRLMISSSATSPSGMAISSTSTIIKSEVVHEEGSIGVISSPETVFNVDKFCQSSPSPDESDINAIGWLDQVNYGLAVAGPSPFTTGSMGAGIGATVAVLPQGNSTVIGYGGDWGDHINYFGEMAAGVEDRPRPSYPFSLLGGGF >Potri.003G194300.5.v4.1 pep chromosome:Pop_tri_v4:3:19694607:19699538:-1 gene:Potri.003G194300.v4.1 transcript:Potri.003G194300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194300.v4.1 MQRSCEASLKCLQIKGFPYGNTFESFRFKEEIGAHPGRDVVEPVHSLSSEFLELPSEFQNKPAYHHDFGSWSTFYPDSQKMPPCQMNCFESQFYPFPLETRFQYAPFNMFSQGYPCEIQLQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQHLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLENKGIKNTSFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFCEIFGGARCLKEAVEMAGLEWQGRAHCGLDDAKNTARLLALLMHRGIRFSITNSLMWNTTDSSLPCKQSPENLSFSPHQPQKLKEMRIPVFPYHPFCFCGVKSSKGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWASP >Potri.003G194300.1.v4.1 pep chromosome:Pop_tri_v4:3:19694459:19699587:-1 gene:Potri.003G194300.v4.1 transcript:Potri.003G194300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194300.v4.1 MMALENKETMQRSCEASLKCLQIKGFPYGNTFESFRFKEEIGAHPGRDVVEPVHSLSSEFLELPSEFQNKPAYHHDFGSWSTFYPDSQKMPPCQMNCFESQFYPFPLETRFQYAPFNMFSQGYPCEIQLQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQHLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLENKGIKNTSFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFCEIFGGARCLKEAVEMAGLEWQGRAHCGLDDAKNTARLLALLMHRGIRFSITNSLMWNTTDSSLPCKQSPENLSFSPHQPQKLKEMRIPVFPYHPFCFCGVKSSKGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWASP >Potri.003G194300.6.v4.1 pep chromosome:Pop_tri_v4:3:19694702:19699538:-1 gene:Potri.003G194300.v4.1 transcript:Potri.003G194300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194300.v4.1 MQRSCEASLKCLQIKGFPYGNTFESFRFKEEIGAHPGRDVVEPVHSLSSEFLELPSEFQNKPAYHHDFGSWSTFYPDSQKMPPCQMNCFESQFYPFPLETRFQYAPFNMFSQGYPCEIQLQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQHLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLENKGIKNTSFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFCEIFGGARCLKEAVEMAGLEWQGRAHCGLDDAKNTARLLALLMHRGIRFSITNSLMWNTTDSSLPCKQSPENLSFSPHQPQKLKEMRIPVFPYHPFCFCGVKSSKGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWASP >Potri.003G194300.3.v4.1 pep chromosome:Pop_tri_v4:3:19694704:19699538:-1 gene:Potri.003G194300.v4.1 transcript:Potri.003G194300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194300.v4.1 MMALENKETMQRSCEASLKCLQIKGFPYGNTFESFRFKEEIGAHPGRDVVEPVHSLSSEFLELPSEFQNKPAYHHDFGSWSTFYPDSQKMPPCQMNCFESQFYPFPLETRFQYAPFNMFSQGYPCEIQLQDFQYFVVIDFEATCDKERNPHPQEIIEFPSVIVSSVTGQLEACFQTYVRPTCNQHLSDFCKDLTGIQQIQVDRGVTLSEALLRHDKWLENKGIKNTSFAVVTWSNWDCRVMLESECRFKKIRKPPYFNRWINLKVPFCEIFGGARCLKEAVEMAGLEWQGRAHCGLDDAKNTARLLALLMHRGIRFSITNSLMWNTTDSSLPCKQSPENLSFSPHQPQKLKEMRIPVFPYHPFCFCGVKSSKGMVRKPGPKQGSLFFGCGNWTATRGARCHYFEWASP >Potri.007G002900.1.v4.1 pep chromosome:Pop_tri_v4:7:197864:199391:1 gene:Potri.007G002900.v4.1 transcript:Potri.007G002900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002900.v4.1 MAARIKSIAIIVAALGVKAFVFGILAEIKKPASGEASMSHGVVTCKYPSDPAVFFGFLSIAALIACTLVGICSIFYPYKGKSVPYKGLFHSTRMVVFFQIALSVSMLAGGMLLWATTTELIHLTKNVHRHNVDSTYCPTAKTGLFGGAAFLALDASLFWLVCLMLTSNAREDYFDEAGEEQKGEYGQVLITDYETAGERPQEEAKLEISSGLGERIKHY >Potri.008G072400.1.v4.1 pep chromosome:Pop_tri_v4:8:4463049:4465144:1 gene:Potri.008G072400.v4.1 transcript:Potri.008G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072400.v4.1 MQPFPAMFLLLLLTVSLPLTHSTSPERFFKPSSPPLSSSHKPKPKSKEYFELTHPLPSDRLKPSCELHIIQHSFANTMNQPPYSTPYFPPFQCPPPWSHVALEFHVKSKGDQHDRISALWLGGSELLRTSTAEPGKRGIFWKVRKDITRYSSLLQQNNLNFTVMLENIVDDIYTGVYHVDVTLYFYTDNAIKVPFTGITQNLIAPALQLPFFGDKSMYDPPADLIIPISASDSTKGYWFIVEGDLDVKFEKVRFPLNTRKVVLELYVSFHGTDEFWYSNPSSSYIRMNNMSNPRGNGAFREVFVSIDGKLVGSEMPFPVIFTGGINPLFWKPIVAIGAFNLPSYDFDLTPFLGMVLDDEDHVFGVGVTDGIEYWLVDANLHIWLDSSSTIVEAKNVVNVYPASEISRGEEFQSLDGSFEIKAEKFTRIEGWVKSSSGNLTTSILQEVKFRSAIKFKRKGTYNTVKQNIEVRREARVLNDVGGLVSRVIVKRKYPLKVITVTLPGLKNDTFMLVTNVTHAVNERIKNGKLSSHVINKQVSNGWMEVRDHSVLSGEAMTNQTYVCRDELGCYVRTVGTLNGRLVKDDTAYACPSLM >Potri.001G265100.1.v4.1 pep chromosome:Pop_tri_v4:1:28019702:28024728:1 gene:Potri.001G265100.v4.1 transcript:Potri.001G265100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265100.v4.1 MESSQNHDTSWTAATNWTIAGGSLVDSLAFESSLSLITHDDNNDQGQSSTVDSKSKSPLILYAPAPDSAPCEITINFAQKHEVRQVYVRSTARVYEIYCAPEQQSSTEYLCTVRCGIAARDEDVLHATNVEEAVLAHASSIQESAEEKLRNGSSLSPNEDDWIEVKALDSPLLINRNSSSSNSDIKPERNSQDFYEATAEITDANPSTSLTLRLLSLQNKGYVCVDEVYVFGDPADASNSDNQVGPKENSAGNSLMAMLVPAFFQLSKTKGIGGGEDKCNIDTRERQNSQDNGSKAAAPVDVEKKIQEEVRLQEAAGPTSKPVQHEISQQVSNTESKPNISHNHFEGVLDQLVSRVNRIEDLFLRFEESMLKPINSIDLRLQRVEQQLDVLTKKTESSALVSCTRISAPDFSCSEPETNSYNSSSDISYVACEENRSHSPSPLTSVLPDATPVSVNDTMLQPGLVVTAPEFSNYDGEEEDHAVESVKESPKDKQKHTMSIDDALAYALAGFLSSTSIQSQKYSQTLVVKTPDFTSEEENIIENVTPRIVESERNMDPPTCFCESDGTEHLGNSLSSVSNITSLEGDENVMRSLSDNNSSKMVNGVDEQCHRSEGGESDSQDICVGHAVAPATHDVVGTDSYHLENDIKDGEIDNKISKTPDLQKTESLKQFSKDQTDDGSVTTQEIAVSNELVASKGTEEESKQDILQNIVELSRASSVVDFESPILEVKFVSQQNSGIKSPLEALLAGMPDLEVEIPSVMEDNNDGSKNGEQCSLISVEDFGAEGSGTDTHISVDMDYYSLNETPSNIEADKLYDCHTSSSQEMPAVSLI >Potri.001G265100.2.v4.1 pep chromosome:Pop_tri_v4:1:28019453:28024773:1 gene:Potri.001G265100.v4.1 transcript:Potri.001G265100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265100.v4.1 MESSQNHDTSWTAATNWTIAGGSLVDSLAFESSLSLITHDDNNDQGQSSTVDSKSKSPLILYAPAPDSAPCEITINFAQKHEVRQVYVRSTARVYEIYCAPEQQSSTEYLCTVRCGIAARDEDVLHATNVEEAVLAHASSIQESAEEKLRNGSSLSPNEDDWIEVKALDSPLLINRNSSSSNSDIKPERNSQNFQDFYEATAEITDANPSTSLTLRLLSLQNKGYVCVDEVYVFGDPADASNSDNQVGPKENSAGNSLMAMLVPAFFQLSKTKGIGGGEDKCNIDTRERQNSQDNGSKAAAPVDVEKKIQEEVRLQEAAGPTSKPVQHEISQQVSNTESKPNISHNHFEGVLDQLVSRVNRIEDLFLRFEESMLKPINSIDLRLQRVEQQLDVLTKKTESSALVSCTRISAPDFSCSEPETNSYNSSSDISYVACEENRSHSPSPLTSVLPDATPVSVNDTMLQPGLVVTAPEFSNYDGEEEDHAVESVKESPKDKQKHTMSIDDALAYALAGFLSSTSIQSQKYSQTLVVKTPDFTSEEENIIENVTPRIVESERNMDPPTCFCESDGTEHLGNSLSSVSNITSLEGDENVMRSLSDNNSSKMVNGVDEQCHRSEGGESDSQDICVGHAVAPATHDVVGTDSYHLENDIKDGEIDNKISKTPDLQKTESLKQFSKDQTDDGSVTTQEIAVSNELVASKGTEEESKQDILQNIVELSRASSVVDFESPILEVKFVSQQNSGIKSPLEALLAGMPDLEVEIPSVMEDNNDGSKNGEQCSLISVEDFGAEGSGTDTHISVDMDYYSLNETPSNIEADKLYDCHTSSSQEMPAVSLI >Potri.001G441180.1.v4.1 pep chromosome:Pop_tri_v4:1:46654296:46658016:1 gene:Potri.001G441180.v4.1 transcript:Potri.001G441180.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G441180.v4.1 MLPCMASWIYRFLLFCFCASHALAADTLYQGDDSLSSPNTLVSKNGLFTLGFTGLGSAESNASYLGIWYNNDTSHPFWLANRGKPIADNSGVLAIDGSGNMKLTYSGGDPVEFYSSQSSTTNITAILEDSGNFVLKDENSGSQQVLWQSFDSPTDTFLPGMKLGINHRTGQNWSLLSWLSDLVPTPAGAFTFEWDTNGKKLVIKRRGVFYWTSGPLRSNTSFENFYLNSGKEVDFSFINDSNADEDYFMFKVSANEYASRDQRNFSMWQLRSDGSIVDLTTSQAFGGPICKGNNADAGCERWTCRSNRNSFELRSGSFNYTVPRKYDDNSGLGISDCKDICWKDCQCVGVTPMANNVNNTGCVFWYGSFTQSLTGNNFQHYIIVDQGSSGKRKSKWIWIILASVGFVSLMGLAGLLWYLRRRRLGEKYLFELLTMDATNDMLELENDGNKGHNLNVYSAATIMAATNSFSAENKLGQGGFGPV >Potri.001G441180.3.v4.1 pep chromosome:Pop_tri_v4:1:46656155:46657777:1 gene:Potri.001G441180.v4.1 transcript:Potri.001G441180.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G441180.v4.1 MDMDYFSFRGICFIDGARGTLVVSEKEKTWRPSIELRAVLLQGTLPDGRETAVKRLSKSSGQGLVEFKNELILIAKLQHMNLVRLLGCCIQGEEKMLVYEYMPNKSLDTFIFDESKRELLDWKKRFEIIEGIAQGLLYLHKYSRLRIIHRDLKAGNILLDENLNPKISDFGMARIFKINDLEGNTNQIVGTRKIHGHLQIDGRPLNLVGYAWELWKAGSPFELVDAILRESCSKDQVLRCIHVGLLCVEDNAVDRPIMSDVISMLTSEAQLPLPKQPAFSNARSIVEEKPAESGSINDVSMSTMYAR >Potri.001G441180.2.v4.1 pep chromosome:Pop_tri_v4:1:46654325:46668390:1 gene:Potri.001G441180.v4.1 transcript:Potri.001G441180.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G441180.v4.1 MLPCMASWIYRFLLFCFCASHALAADTLYQGDDSLSSPNTLVSKNGLFTLGFTGLGSAESNASYLGIWYNNDTSHPFWLANRGKPIADNSGVLAIDGSGNMKLTYSGGDPVEFYSSQSSTTNITAILEDSGNFVLKDENSGSQQVLWQSFDSPTDTFLPGMKLGINHRTGQNWSLLSWLSDLVPTPAGAFTFEWDTNGKKLVIKRRGVFYWTSGPLRSNTSFENFYLNSGKEVDFSFINDSNADEDYFMFKVSANEYASRDQRNFSMWQLRSDGSIVDLTTSQAFGGPICKGNNADAGCERWSGPTCRSNWNSFELRSGYFFETVPRKVDYNSSLSTSDCKDICWKNCTCVGVTPIANNANNTGCVFWYGSFTQGLTGNNIQHYIIVDQGSSGKMNWIWIILASVGVVSLTGLAGLLLYRRRRRHGEKYLFELLTMDATNDTLELENDGNKGHNLKVFSAATIMAATNSFSAENKLGQGGFGPVYKGTLPDGREIAVKRLSKSSGQGLVEFKNELILIAKLQHMNLVRLVGCCIQGEEKMLVYEYMPNKSLDSFIFDE >Potri.002G005000.1.v4.1 pep chromosome:Pop_tri_v4:2:287164:291729:1 gene:Potri.002G005000.v4.1 transcript:Potri.002G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005000.v4.1 MSGSETGVMTSRDPFSVTGLQHKTEVPSQPVIQNMRLAFSADGAAVYKPITTATTTTVASPTYQPGGVEGSAVGASVSPHWINVGGSGGDPMKRKRGRPRKYGPDGTMALALASAPQSVAVTPLTSSGLSSPPAQAQVQPLVLTPSPGSDVGVAGPAVALGGSVSPTGVKKARGRPPGSSKKQQLDALGSAGIGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQQATSGGTVTYEGRFEILALSGSYLPSENGGQRSRTGGLSVCLSGPDGRVLGGSVAGLLMAAAPVQVVVSSFIADGRKVSKSANHMEPSSATSKLPPTGGSTGVSSPPSRGTLSESSGGPGSPLNQSTGACNNNPQGISNMPWK >Potri.002G005000.2.v4.1 pep chromosome:Pop_tri_v4:2:287392:291776:1 gene:Potri.002G005000.v4.1 transcript:Potri.002G005000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005000.v4.1 MSGSETGVMTSRDPFSVTGLQHKTEVPSQPVIQNMRLAFSADGAAVYKPITTATTTTVASPTYQPGGVEGSAVGASVSPHWINVGGSGGDPMKRKRGRPRKYGPDGTMALALASAPQSVAVTPLTSSGLSSPPAQAQVQPLVLTPSPGSDVGVAGPAVALGGSVSPTGVKKARGRPPGSSKKQQLDALGSAGIGFTPHVITVKAGEDVSSKIMSFSQHGPRAVCILSANGAISNVTLRQQATSGGTVTYEGRFEILALSGSYLPSENGGQRSRTGGLSVCLSGPDGRVLGGSVAGLLMAAAPVQVVVSSFIADGRKVSKSANHMEPSSATSKLPPTGGSTGVSSPPSRGTLSESSGGPGSPLNQSTGACNNNPQGISNMPWK >Potri.012G103000.2.v4.1 pep chromosome:Pop_tri_v4:12:12543993:12546071:1 gene:Potri.012G103000.v4.1 transcript:Potri.012G103000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103000.v4.1 MASIITGDSVGLGLSCSATRKLYQTRNPSSSRLSFCSIKMAVSEMMPGGVNSPVRAFKSVGGQPVVFDSVLAALAETMKKGTSFGAPCLLENVLAEMVIKAVPSIEMVRFVNSGTEACMGFEGCYHGHADPFLVKAGSGVATLGLPDSPGVPRAATYETLTAPYNDIAPMENLFENNEGEICAVILEPFVGNAGFIPPKPEFLNALRKITKENNALLIFDEVMARFRLCGAQEYFGTTPDLTTLGKIIGGGLPVGAYGGRREIVEMVAPAGPVYQAGTLTALDKITSELVQGIIDAGKKTEHAICGGYIRGMFGFFFTEGPVYNFADAKKSDTAKFARFFQGMLREGVCFAPSQFEAGFTSLAHTDEDIQKTIAAAEKVLRQI >Potri.007G135800.1.v4.1 pep chromosome:Pop_tri_v4:7:14792998:14794330:-1 gene:Potri.007G135800.v4.1 transcript:Potri.007G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135800.v4.1 MNSQYRAINDAIYAEFLRSNSPNQNRRGAGFSSNINGDDNDIFRSFEQSFVVSAPGKDPAIKHTLPCSLEELYQGATKRVKITREVADRSGLTRKIEEILTIDTKPGWKKGTKITFEEKGNERPNITPADVVFIVDEKPHSEFTRDGNDLIVTRRISVTEAFTGYTVHLITLDGRNLTLPINDVIHPNYQKFVPNEGMPILGDPTKRGILKIKFDIRFPTRVNAEQKAGMRRLFGP >Potri.005G211500.6.v4.1 pep chromosome:Pop_tri_v4:5:21565870:21568458:-1 gene:Potri.005G211500.v4.1 transcript:Potri.005G211500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211500.v4.1 MLRNRSRAVTSKQTLMTEHGTQSPSNQNYTKLTTSFLSSPRFKAFTFKALPEAEPMMSPTSILDTTKPLFPFKTPFSYDINQPKSPKVFSENRHSWDKTDSKGIGVALIDDTPNYIKPVKENDNHFSKPSNGTVLFGTKLRVQIPPPPNSILSPVQSPKSPGDFGIKTSMNSQLSASGSVNSGIQAKDSPQVFTGCMSMSEMELSEDYTCVITHGPNPKTTHIFDNCIVENYCSLSDTSKSVPRSFLSFCYTCKKNLEQKNDIYIYRGEKAFCSQECRYQEMLLDEVDA >Potri.005G211500.4.v4.1 pep chromosome:Pop_tri_v4:5:21565876:21568337:-1 gene:Potri.005G211500.v4.1 transcript:Potri.005G211500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211500.v4.1 MLRNRSRAVTSKQTLMTEHGTQSPSNQNYTKLTTSFLSSPRFKAFTFKALPEAEPMMSPTSILDTTKPLFPFKTPFSYDINQPKSPKVFSENRHSWDKTDSKGIGVALIDDTPNYIKPVKENDNHFSKPSNGTVLFGTKLRVQIPPPPNSILSPVQSPKSPGDFGIKTSMNSQLSASGSVNSGIQAKDSPQVFTGCMSMSEMELSEDYTCVITHGPNPKTTHIFDNCIVENYCSLSDTSKSVPRSFLSFCYTCKKNLEQKNDIYIYRGEKAFCSQECRYQEMLLDEVDA >Potri.005G211500.7.v4.1 pep chromosome:Pop_tri_v4:5:21565801:21568112:-1 gene:Potri.005G211500.v4.1 transcript:Potri.005G211500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211500.v4.1 MLRNRSRAVTSKQTLMTEHGTQSPSNQNYTKLTTSFLSSPRFKAFTFKALPEAEPMMSPTSILDTTKPLFPFKTPFSYDINQPKSPKVFSENRHSWDKTDSKGIGVALIDDTPNYIKPVKENDNHFSKPSNGTVLFGTKLRVQIPPPPNSILSPVQSPKSPGDFGIKTSMNSQLSASGSVNSGIQAKDSPQVFTGCMSMSEMELSEDYTCVITHGPNPKTTHIFDNCIVENYCSLSDTSKSVPRSFLSFCYTCKKNLEQKNDIYIYRGEKAFCSQECRYQEMLLDEVDA >Potri.001G192100.1.v4.1 pep chromosome:Pop_tri_v4:1:17718164:17719373:-1 gene:Potri.001G192100.v4.1 transcript:Potri.001G192100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G192100.v4.1 MAMFNIGVTFGFAMMVLFQRSVAQTVYVVGDNDGWTVPQAGAQAYITWASGKNFMVGDTLTFNFTTNNHDVLRVQKESFDACTSSNSIGDVISTGPVNITLDSTGEHYYICTIGRHCQFGQKLAITVSSRTTGASPPSTTPRPPPPRSPTATPSPSSNNTSDGCAPTPAPSPTSSMIPESLPTIPSPPGSSSSNVLASFLMTMLAAIVGLVF >Potri.002G228300.2.v4.1 pep chromosome:Pop_tri_v4:2:21771783:21778008:-1 gene:Potri.002G228300.v4.1 transcript:Potri.002G228300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228300.v4.1 MGTQFTAIWMALLLSFPVVLSARDDGLMRIGLKKKKLDHLGRRVVPGSVNFIPKEEGGGASKPAATKKYYNIGETEADIVALKNYLDAQYYGEIAIGTPPQTFTVIFDTGSSNLWVPSSKCYFSLACYFHSKYKSSASTTYVKNGTSAAIQYGTGSISGFFSQDSVEVGDLVVKNQGFIEATKEPGVTFLASKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEKVFSFWLNRNVEGEEGGEIVFGGVDPNHYKGEHTYVPVTHKGYWQFDMGDLLIGTETTGLCAGGCKAIADSGTSLLAGPTTVITQINNAIGASGIVSEECKTVVAQYGKIILEMLVAQAQPRKVCSQISFCTFDGTQGVSMNIESVVEENSDKSSDGLHDAMCTACEMMVVWMENRLRLNDTEDQILDYVNNLCDRLPSPNGESAVECSSLSSMPSISFEIGGKLFELSPEQYVLKVGEGVSAQCISGFTALDVPPPHGPLWILGDVFMGRYHTVFDYGNLTVGFADAA >Potri.002G228300.4.v4.1 pep chromosome:Pop_tri_v4:2:21771906:21778011:-1 gene:Potri.002G228300.v4.1 transcript:Potri.002G228300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228300.v4.1 MGTQFTAIWMALLLSFPVVLSARDDGLMRIGLKKKKLDHLGRRVVPGSVNFIPKEEGGGASKPAATKKYYNIGETEADIVALKNYLDAQYYGEIAIGTPPQTFTVIFDTGSSNLWVPSSKCYFSLACYFHSKYKSSASTTYVKNGTSAAIQYGTGSISGFFSQDSVEVGDLVVKNQGFIEATKEPGVTFLASKFDGILGLGFQEISVGNAVPVWYNMVNQGLVKEKVFSFWLNRNVEGEEGGEIVFGGVDPNHYKGEHTYVPVTHKGYWQFDMGDLLIGTETTGLCAGGCKAIADSGTSLLAGPTTVITQINNAIGASGIVSEECKTVVAQYGKIILEMLVAQAQPRKVCSQISFCTFDGTQGVSMNIESVVEENSDKSSDGLHDAMCTACEMMVVWMENRLRLNDTEDQILDYVNNLCDRLPSPNGESAVECSSLSSMPSISFEIGGKLFELSPEQVWQFCSVYLLCSSYCIQNFSYFISFNLIKLEGSRLIARVDLSINSILLHSSPLKCRSIYLVEIFFHVLFHIQI >Potri.003G222200.2.v4.1 pep chromosome:Pop_tri_v4:3:21561204:21563561:-1 gene:Potri.003G222200.v4.1 transcript:Potri.003G222200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222200.v4.1 MSLAPSRYPALYSSSPLPTSSVQAKQIPLLFSQNTHFLSKNYSFLSTSTAFSNTLLLKPSNSILKASETESQTSKSAESGSGGEGEGEGEGEEKYEEYEVEIEQPYGIKFAKGRDGSTYIDAIAPGGSADKNGKFSVGDKVIATSAVFGTEIWPAAEYGRTMYTIRQRIGPLFMKMQKRYGNMDYTGELTEKEIIRAERNSGVISNRVREIQMQNYLRKKEQKEQREKDLREGLQLYKNAKYEEALEKFESMLGSKPDPTEAAVASYNVACCYSKLNQLQAGLSALEDAMKAGFEDFKRIRTDPDLSNLRTAEGFEPLMKRFDESFINENAINAIKSLFGFNKK >Potri.019G049700.3.v4.1 pep chromosome:Pop_tri_v4:19:8165522:8171997:-1 gene:Potri.019G049700.v4.1 transcript:Potri.019G049700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049700.v4.1 MASRSFKGTRSNLSISSDAAESHKPPLPQTVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHLPPTPDNQPMDPSISQRVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCFIDAVKIGGGICPGCKEPYKNTELDEVVVDSGRPLPLPPPGTVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETRGTYGYGNAIWPSDGGFGNGNDEEVGGPKELMNKPWRPLTRKLKIPAAIISPYRLLIFVRIVILALFLHWRIRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSPSNPTGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDGPVESVKIPKATWMADGTHWPGTWLNPSPEHSRGDHAGIIQVMLKPPSDEPLLGTSDETKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEDHPDCCSCCFARRKKHSSAANTPEENRALRMGDYDDEEMNLSLLPKKFGNSTFLIDSIPVTEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVIVWSGLIAITISLLWVAINPPSGTTQIGGSFQFP >Potri.019G049700.5.v4.1 pep chromosome:Pop_tri_v4:19:8165318:8172019:-1 gene:Potri.019G049700.v4.1 transcript:Potri.019G049700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049700.v4.1 MASRSFKGTRSNLSISSDAAESHKPPLPQTVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHLPPTPDNQPMDPSISQRVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCFIDAVKIGGGICPGCKEPYKNTELDEVVVDSGRPLPLPPPGTVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETRGTYGYGNAIWPSDGGFGNGNDEEVGGPKELMNKPWRPLTRKLKIPAAIISPYRLLIFVRIVILALFLHWRIRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSPSNPTGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDGPVESVKIPKATWMADGTHWPGTWLNPSPEHSRGDHAGIIQVMLKPPSDEPLLGTSDETKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEDHPDCCSCCFARRKKHSSAANTPEENRALRMGDYDDEEMNLSLLPKKFGNSTFLIDSIPVTEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVIVWSGLIAITISLLWVAINPPSGTTQIGGSFQFP >Potri.019G049700.4.v4.1 pep chromosome:Pop_tri_v4:19:8165224:8172028:-1 gene:Potri.019G049700.v4.1 transcript:Potri.019G049700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049700.v4.1 MASRSFKGTRSNLSISSDAAESHKPPLPQTVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHLPPTPDNQPMDPSISQRVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCFIDAVKIGGGICPGCKEPYKNTELDEVVVDSGRPLPLPPPGTVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETRGTYGYGNAIWPSDGGFGNGNDEEVGGPKELMNKPWRPLTRKLKIPAAIISPYRLLIFVRIVILALFLHWRIRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSPSNPTGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDGPVESVKIPKATWMADGTHWPGTWLNPSPEHSRGDHAGIIQVMLKPPSDEPLLGTSDETKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEDHPDCCSCCFARRKKHSSAANTPEENRALRMGDYDDEEMNLSLLPKKFGNSTFLIDSIPVTEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVIVWSGLIAITISLLWVAINPPSGTTQIGGSFQFP >Potri.019G049700.8.v4.1 pep chromosome:Pop_tri_v4:19:8165432:8169708:-1 gene:Potri.019G049700.v4.1 transcript:Potri.019G049700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049700.v4.1 MPCASCCISSYRLLIFVRIVILALFLHWRIRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSPSNPTGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDGPVESVKIPKATWMADGTHWPGTWLNPSPEHSRGDHAGIIQVMLKPPSDEPLLGTSDETKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEDHPDCCSCCFARRKKHSSAANTPEENRALRMGDYDDEEMNLSLLPKKFGNSTFLIDSIPVTEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVIVWSGLIAITISLLWVAINPPSGTTQIGGSFQFP >Potri.019G049700.2.v4.1 pep chromosome:Pop_tri_v4:19:8165318:8172028:-1 gene:Potri.019G049700.v4.1 transcript:Potri.019G049700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049700.v4.1 MASRSFKGTRSNLSISSDAAESHKPPLPQTVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHLPPTPDNQPMDPSISQRVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCFIDAVKIGGGICPGCKEPYKNTELDEVVVDSGRPLPLPPPGTVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETRGTYGYGNAIWPSDGGFGNGNDEEVGGPKELMNKPWRPLTRKLKIPAAIISPYRLLIFVRIVILALFLHWRIRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSPSNPTGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDGPVESVKIPKATWMADGTHWPGTWLNPSPEHSRGDHAGIIQVMLKPPSDEPLLGTSDETKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEDHPDCCSCCFARRKKHSSAANTPEENRALRMGDYDDEEMNLSLLPKKFGNSTFLIDSIPVTEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVIVWSGLIAITISLLWVAINPPSGTTQIGGSFQFP >Potri.019G049700.6.v4.1 pep chromosome:Pop_tri_v4:19:8165204:8171997:-1 gene:Potri.019G049700.v4.1 transcript:Potri.019G049700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049700.v4.1 MASRSFKGTRSNLSISSDAAESHKPPLPQTVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHLPPTPDNQPMDPSISQRVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCFIDAVKIGGGICPGCKEPYKNTELDEVVVDSGRPLPLPPPGTVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETRGTYGYGNAIWPSDGGFGNGNDEEVGGPKELMNKPWRPLTRKLKIPAAIISPYRLLIFVRIVILALFLHWRIRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSPSNPTGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDGPVESVKIPKATWMADGTHWPGTWLNPSPEHSRGDHAGIIQVMLKPPSDEPLLGTSDETKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEDHPDCCSCCFARRKKHSSAANTPEENRALRMGDYDDEEMNLSLLPKKFGNSTFLIDSIPVTEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVIVWSGLIAITISLLWVAINPPSGTTQIGGSFQFP >Potri.019G049700.7.v4.1 pep chromosome:Pop_tri_v4:19:8165361:8171997:-1 gene:Potri.019G049700.v4.1 transcript:Potri.019G049700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049700.v4.1 MASRSFKGTRSNLSISSDAAESHKPPLPQTVTFGRRTSSGRYISYSRDDLDSELGSSDFMNYTVHLPPTPDNQPMDPSISQRVEEQYVSNSLFTGGFNSVTRAHLMDKVIESEASHPQMAGAKGSSCAIPGCDAKVMSDERGVDILPCECDFKICRDCFIDAVKIGGGICPGCKEPYKNTELDEVVVDSGRPLPLPPPGTVSKMERRLSLMKSTKSALMRSQTGDFDHNRWLFETRGTYGYGNAIWPSDGGFGNGNDEEVGGPKELMNKPWRPLTRKLKIPAAIISPYRLLIFVRIVILALFLHWRIRHPNNDAIWLWGMSVVCEIWFAFSWLLDQLPKLCPINRATDLNVLKDKFETPSPSNPTGKSDLPGVDVFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFANVWVPFCRKHDIEPRNPESYFSLKRDPYKNKVKQDFVKDRRRVKREYDEFKVRINSLPDSIRRRSDAYHAREEIKAMKLQKQHKDDGPVESVKIPKATWMADGTHWPGTWLNPSPEHSRGDHAGIIQVMLKPPSDEPLLGTSDETKIMDFTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQAMREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEDHPDCCSCCFARRKKHSSAANTPEENRALRMGDYDDEEMNLSLLPKKFGNSTFLIDSIPVTEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAISVISCWYEDKTEWGNRVGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASRRMKFLQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLAYLLIITLTLCLLAVLEIKWSGIDLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFADLYVVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSRLLGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVIVWSGLIAITISLLWVAINPPSGTTQIGGSFQFP >Potri.004G220400.2.v4.1 pep chromosome:Pop_tri_v4:4:22590634:22591982:1 gene:Potri.004G220400.v4.1 transcript:Potri.004G220400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G220400.v4.1 MALVFSKFLTADDIENGLSIPGCSLGPLPFQEGQSMNMHVHDGNGHEWIFSCTIKRNESMGHFLSVGWNKFVRERDLRVDDKVTIHEEAMKNQAMGTWIKVEVKRKIRLFGEDVWADV >Potri.001G054301.1.v4.1 pep chromosome:Pop_tri_v4:1:4096328:4099430:1 gene:Potri.001G054301.v4.1 transcript:Potri.001G054301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054301.v4.1 MRRVLDSLITEEIKLLNYKEAMESRLSLLDSGDTNLEGSQKIGNVKQCLVRHKALVTGDILGDPHQGVCYLFYVYRYNVSVFVDDYCAVRDTNTRRFKLLAGTQMTTDVQEATNGKEALYLHLAGCSFDLVLMDNQMEFRRIRKTQECYS >Potri.001G054301.2.v4.1 pep chromosome:Pop_tri_v4:1:4096329:4099429:1 gene:Potri.001G054301.v4.1 transcript:Potri.001G054301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054301.v4.1 MRRVLDSLITEEIKLLNYKEAMESRLSLLDSGDTNLEGSQKIGNVKQCLVRHKALVTGDILGDPHQGYNVSVFVDDYCAVRDTNTRRFKLLAGTQMTTDVQEATNGKEALYLHLAGCSFDLVLMDNQMEFRRIRKTQECYS >Potri.018G078801.1.v4.1 pep chromosome:Pop_tri_v4:18:9643072:9643387:-1 gene:Potri.018G078801.v4.1 transcript:Potri.018G078801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G078801.v4.1 MSSMRLHALPIIGFMFLALLEFGFGQGTAPSPAEGPTNDGKTIDQGIAYILLMLALAITYLFH >Potri.001G341100.5.v4.1 pep chromosome:Pop_tri_v4:1:35159183:35164107:-1 gene:Potri.001G341100.v4.1 transcript:Potri.001G341100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341100.v4.1 MAAECARNALLQKVVDNKENEEKFKSDLMKIAMTTLSSKILSQDKEYFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSRVAEIEAAEKGKMKEKVDKIIAHGINCFVNRQLIYNFPEELFANAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASRHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKDVDELARITPGKKSHAIEAFSRALIAIPTIIADNAGLDSAELVAQLRAEHHKEGCTAGIDVISGSIGDMVERGISEAFKVKQAVLLSATEAAEMIIRVDEIITCAPRKREDRM >Potri.001G341100.1.v4.1 pep chromosome:Pop_tri_v4:1:35159138:35164136:-1 gene:Potri.001G341100.v4.1 transcript:Potri.001G341100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341100.v4.1 MVEKIFKDEATEEKGERARLASLIGGMAIADLVKTTLGPKGMDKILQSTGRGREVTVTNDGATILKSLHIDNPAAKILVDISKVQDDEVGDGTTSVVVLAGELLREAEKLLASKIHPMTIIAGFRMAAECARNALLQKVVDNKENEEKFKSDLMKIAMTTLSSKILSQDKEYFAKLAVDAVMRLKGSTNLESIQIIKKPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSRVAEIEAAEKGKMKEKVDKIIAHGINCFVNRQLIYNFPEELFANAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASRHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKDVDELARITPGKKSHAIEAFSRALIAIPTIIADNAGLDSAELVAQLRAEHHKEGCTAGIDVISGSIGDMVERGISEAFKVKQAVLLSATEAAEMIIRVDEIITCAPRKREDRM >Potri.007G038300.2.v4.1 pep chromosome:Pop_tri_v4:7:3080296:3084451:-1 gene:Potri.007G038300.v4.1 transcript:Potri.007G038300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038300.v4.1 MGNAVLLHLLCGVLAVLSASLVNADDPYRYYTWTVTYGTVKLLDVPQQVILINGQFPGPRLDVVTNDNIILNLFNKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGSFTYFPSTLLHRAAGGYGAINIYERPRIPIPFPVPDGDFTLLIGDWYKTSHKTLQAYLDSGKTLPFPDGVLINGQTYSTFSGDQGKTYMFRISNMGMSTSLNFRIQGHSMKLVEVEGSHTVQNIYDSLDVHVGQSVAVLVTLNQAPKDYYIIASTRFNRKILTTTAVLHYSNSRTPASGPLPAAPSYGYHGSMMQARTYKWNLTASAARPNPQGSYHYGKITPTKTIELANSAPLINGKQRYAVNRVSYINSDTPLKLADYFGIPGVFSVDSIESSPSGGAAFLSTSVMSANLHDFLEVVFQNDEKTMQSWHLDGYDFWVIGYGNGQWTSAKRSMYNLVDALTRHTVQVYPKSWTAIYVSLDNQGMWNMRSALWERQYLGQQFYLRVWTQVHSLANEYDIPSNALRCGKAIGIHS >Potri.007G038300.3.v4.1 pep chromosome:Pop_tri_v4:7:3080358:3084167:-1 gene:Potri.007G038300.v4.1 transcript:Potri.007G038300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038300.v4.1 MAFFIFADPMGNAVLLHLLCGVLAVLSASLVNADDPYRYYTWTVTYGTVKLLDVPQQVILINGQFPGPRLDVVTNDNIILNLFNKLDQPFLLTWNGIKQRKNSWQDGVLGTNCPIPPNSNYTYKFQTKDQIGSFTYFPSTLLHRAAGGYGAINIYERPRIPIPFPVPDGDFTLLIGDWYKTSHKTLQAYLDSGKTLPFPDGVLINGQTYSTFSGDQGKTYMFRISNMGMSTSLNFRIQGHSMKLVEVEGSHTVQNIYDSLDVHVGQSVAVLVTLNQAPKDYYIIASTRFNRKILTTTAVLHYSNSRTPASGPLPAAPSYGYHGSMMQARTYKWNLTASAARPNPQGSYHYGKITPTKTIELANSAPLINGKQRYAVNRVSYINSDTPLKLADYFGIPGVFSVDSIESSPSGGAAFLSTSVMSANLHDFLEVVFQNDEKTMQSWHLDGYDFWVIGYGNGQWTSAKRSMYNLVDALTRHTVQVYPKSWTAIYVSLDNQGMWNMRSALWERQYLGQQFYLRVWTQVHSLANEYDIPSNALRCGKAIGIHS >Potri.010G220100.1.v4.1 pep chromosome:Pop_tri_v4:10:20567039:20573757:1 gene:Potri.010G220100.v4.1 transcript:Potri.010G220100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220100.v4.1 MGHSLLSILCLLVSLFVYSCICAPLEDQGRDKITYLPGQPGSVEFNQYSGYVTVNQQSGRALFYWLVEAPTSRRPESRPLVLWLNGGPGCSSVAYGAAEEIGPFRIRPDGKTLYSNPYAWNKLANLLFLESPAGVGFSYSNTSSDLYTAGDQRTAEDAYTFLVNWFERFPQYKYRDFYIAGESYAGHYVPQLSQIVYQKNKGIKNPVINFKGFLVGNAVTDDYHDYVGTFEYWWTHGLISDSTYRTLRLTCDFVSSTHPSVECMKALKLAELEQGNIDPYSIFTQPCNNTAALRHNLRGHYPWMSRAYDPCTERYSKVYFNRPEVQKALHANVTGIPYPWETCSNIVGDYWADSPLSMLPIYKELIAAGLRIWVYSGDTDAVVPVTATRYSIDALKLPTIINWYPWYDSGKVGGWSQVYTGLTFVTVTGAGHEVPLHRPRQAFILFMSFLGNKSMPGRSF >Potri.010G004800.1.v4.1 pep chromosome:Pop_tri_v4:10:451069:454134:1 gene:Potri.010G004800.v4.1 transcript:Potri.010G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004800.v4.1 MLLESILDLGNFWWVFVQGSCENMATNTSNVTAALPKPMKATSNGAFQHENPLDYALPLLILQICLVVAFTRTLAFLLKPLRQPRVIAEIIGGILLGPSALGRSERFLHTVFPPKSMTVLDTVANIGLLFFLFLVGLELDIRSIRRTGKKSLAIAGAGITLPFLLGIGTSFVLRSTISKGSAHAPFLVFMGVSLSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVVAWILLALAIALSGSNTSPLISLWVLLCGVGFVVFSVYVIRPLLELMARRSPDGEPVKEIYICITLSLVLASSFVTDSIGIHALFGAFVVGILVPKDSPFPGVLIEKIEDLVAGLFLPLYFASSGLKTNVATISGAQSWGLLVLVIATACFGKIIGTMFVSRMFFKVPFREAAALGFLMNTKGLVELIVLNIGKDRKVLNDQTFSVLVLMALFTTFITTPIVMAVYKPARRVAPYKNRTVKRKDLDTELRLLACFHSSRNIPTMINLVECSRGTRKRGRLCVYAMHLMELSERSSAISMVHKARKNGLPFWNKKRDDRDQMVIAFEAYQQLSSVTIRPMTAISALNTIYEDICTSAHQKRAAMILLPFHKHQRVDGSLESLGHSLQEVNQRVLRHSPCSAGILIDRGFGGTTQVSASDVSYKIAVPFFGGSDDMEALAYGIRMAEHPGIMLTVLKFVPASGKTLLTLEGHDTSVIRVENDKNSNSEADSEIFFSEFVQLAAKKLQDSVTHEERVVESKADVVAALKSMSKSNLFLVGRMPPIAPLLISTDTPELGPVGSFLASSNFSNTASVLVIQHYNPNGNLHPFVEEEENEVADDVSYTLV >Potri.001G413000.1.v4.1 pep chromosome:Pop_tri_v4:1:43881322:43889463:-1 gene:Potri.001G413000.v4.1 transcript:Potri.001G413000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413000.v4.1 MGYIPVLLFCFFSMLNRVTATAIDIINTTQFIRDGDTIVSADGTYELGFFSPGNSKNRYLGIWYGKIPVQTVVWVANRETPLNDSLGVLKITNKGILILLDRSGSVIWSSNTARPARNPTAQLLESGNLVVKEEGDHNLENSLWQSFEHPTDTILPGMKLGRSRITGMDWSMTSWKSEDDPSRGTITCKLAPYGYPDMVVMEGSEVKYRSGLWDGLRFSGVPSTKPNPIYKYEFVFNEKEIFYRESLVDKSMHWRLVTRQNGDIASFTWIEKTQSWLLYETANTDNCDRYALCGANGFCDIQSSPVCDCLNGFAPKSPGDWDETDWSNGCVRRTPLNCSGDGFRKLAGVKMPETKSSWFSKTMNLEECRNTCLEKCNCTAYSNLDIRNGGSGCLLWFGDLVDIRVFAENEQEIYIRMAESELDIGDGARINKKSETKKRIIKSTVLSTGILFVGLALVLYAWMKKHQKNRQMSMEKSSNNMQRKEDLELPLFDFSNLACATNNFSIDNKLGEGGFGTVYKGTLADGREIAVKRLSKISRQGLDELKNEANYIMKLQHRNLVKLLGCCIERDEKMLIYEFLPNKSLDFFIFEKTRSFLLDWPKRYNIINGIARGLLYLHQDSRLRVIHRDLKAGNILLDYELNPKISDFGLARSFGGNEIEANTNKVAGTYGYISPEYANYGLYSVKSDIFSFGVLVLEIVSGNKNRGFSHPDHHLNLLGHAWILFKENRSLELAADSIVITCNLSEVLRSIHVGLLCVQENPEIRPTMSNVVLMLGNDDVLPQPKQPGFFTERDVIGASYSSSLSKPCSVNECSVSELEPR >Potri.001G413000.2.v4.1 pep chromosome:Pop_tri_v4:1:43881390:43889459:-1 gene:Potri.001G413000.v4.1 transcript:Potri.001G413000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413000.v4.1 MGYIPVLLFCFFSMLNRVTATAIDIINTTQFIRDGDTIVSADGTYELGFFSPGNSKNRYLGIWYGKIPVQTVVWVANRETPLNDSLGVLKITNKGILILLDRSGSVIWSSNTARPARNPTAQLLESGNLVVKEEGDHNLENSLWQSFEHPTDTILPGMKLGRSRITGMDWSMTSWKSEDDPSRGTITCKLAPYGYPDMVVMEGSEVKYRSGLWDGLRFSGVPSTKPNPIYKYEFVFNEKEIFYRESLVDKSMHWRLVTRQNGDIASFTWIEKTQSWLLYETANTDNCDRYALCGANGFCDIQSSPVCDCLNGFAPKSPGDWDETDWSNGCVRRTPLNCSGDGFRKLAGVKMPETKSSWFSKTMNLEECRNTCLEKCNCTAYSNLDIRNGGSGCLLWFGDLVDIRVFAENEQEIYIRMAESELDIGDGARINKKSETKKRIIKSTVLSTGILFVGLALVLYAWMKKHQKNIILPTGQMSMEKSSNNMQRKEDLELPLFDFSNLACATNNFSIDNKLGEGGFGTVYKGTLADGREIAVKRLSKISRQGLDELKNEANYIMKLQHRNLVKLLGCCIERDEKMLIYEFLPNKSLDFFIFEKTRSFLLDWPKRYNIINGIARGLLYLHQDSRLRVIHRDLKAGNILLDYELNPKISDFGLARSFGGNEIEANTNKVAGTYGYISPEYANYGLYSVKSDIFSFGVLVLEIVSGNKNRGFSHPDHHLNLLGHAWILFKENRSLELAADSIVITCNLSEVLRSIHVGLLCVQENPEIRPTMSNVVLMLGNDDVLPQPKQPGFFTERDVIGASYSSSLSKPCSVNECSVSELEPR >Potri.011G005601.1.v4.1 pep chromosome:Pop_tri_v4:11:464074:464952:1 gene:Potri.011G005601.v4.1 transcript:Potri.011G005601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005601.v4.1 MEVMNKILSESDAKNKRLEFPARSLSAFPMPDGQNSVQFVAFDMNDQQWSLKVSIRNEGKYAKPWLKGEWDDYVHQKGLKKGDKVILTMHEEENGERIYRIRAERKHFGFWYSIDDQQ >Potri.018G088500.1.v4.1 pep chromosome:Pop_tri_v4:18:10723620:10725495:-1 gene:Potri.018G088500.v4.1 transcript:Potri.018G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088500.v4.1 MESGVKVLWVLSVVLLVSNWQHWTSGKAIPQVPCYFVFGDSLFDNGNNNYLSTPAKVNYLPYGIDFDTGASGRCSNGLNIADTIAEQLGFDSYISDFGVGSCSDFLDGVNYGSNGAGILDLTGYLTGELYTMNIQLYNHNITVSRIAKILGSEEVARKYLSQCIYVSDMGHNDYLNNYFLDDYNSSKLYTPEEYAQLLIETYETQLEKLYCSGARKIAVFGLIRVGCMPSNIQKNPNELDASTCAYKLNDYVQIFNDKLQELLRKLNDRHTDAVFTYINSYEIDSDDQTNTGFTQTRKSCCEVEPGSVPCKSLSFPCSNRSDYVYWDGAHFTEAKAWAFGKRAYKRQSPKDAYPYDISELVKLKLDDSDAYDINHAQL >Potri.018G150600.2.v4.1 pep chromosome:Pop_tri_v4:18:16128291:16140674:1 gene:Potri.018G150600.v4.1 transcript:Potri.018G150600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150600.v4.1 MGARNFTNAGNIANYLKLQEVDSMYLPVPVNFIFIGFEGKGNQAFKLHSEELERWFTKIDHIFGHTRVPKIGEVLTPFYKIHVDKEQHHHLPLVSQINYNFSVHAIQMGEKVTSIFEHAINFLARKDDLTDNRDDKDVLWQVDMDVMDALFTSLVDYLQLDNAYNVFILNPKHDLKRARYGYRRGLSESEITFLKENKSLQTKILQSGGVSESVLVLDKIKRPLYEKHPMTKYAWTMTEETDTVEWYNLCLDALNNAEKLYKGKDTSDIIQNKVLQLLKGKNEDMELFFGKELKSGDFSDFSAECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVQKTIGAVAEISEDEAEERLQEAIQEKFSVFGDKDHQAIDILLAEIDIYELFAFKHCKGRRTKLALCEELDERMHDLKNELQSLDGEENDESHKKKAIEALKRMESWNLFSDTHEPEFRNYTVARDTFLAHLGATLWGSMRHIISPSLSDGAFHYYEKISFQLFFVTHEKVRNVKHLPVDLKALKNGLSSLLVSSQKAMFSENLVVLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTTRSYLDSSILQHQLQRQLHDRGSLKGVHAHSMSTLEVPIFWFISGEPLLVDKHYQAKALSDMVIVVQSEPSSWESHLQCNGQSVLWDLRRPVKAALAAVSEHLAGLLPLHLVYSHAHETAIEDWVWSVGCNPFSITSQGWHVSQFQSDTIARSYIITALEDSIQLVNSAVRRLLMERTSEKTFKMFQSEERELVDKYNYVVSLWRRISTIHGELRYMDAMRFLYTLEDASERFASQVNATIAILHPIHCTRERKVHVVIDMTTVPAFLVVLGVLYIVLKPRRPKPKIN >Potri.018G150600.6.v4.1 pep chromosome:Pop_tri_v4:18:16127753:16140626:1 gene:Potri.018G150600.v4.1 transcript:Potri.018G150600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150600.v4.1 MGVDQSTASFRFITITSAFLTLLIATGSYGSPSGTRKTGKSSSSSSSSSSSSVFSLFNLKEKSRFWSESVIHSGDFDDLESLSPAKMGARNFTNAGNIANYLKLQEVDSMYLPVPVNFIFIGFEGKGNQAFKLHSEELERWFTKIDHIFGHTRVPKIGEVLTPFYKIHVDKEQHHHLPLVSQINYNFSVHAIQMGEKVTSIFEHAINFLARKDDLTDNRDDKDVLWQVDMDVMDALFTSLVDYLQLDNAYNVFILNPKHDLKRARYGYRRGLSESEITFLKENKSLQTKILQSGGVSESVLVLDKIKRPLYEKHPMTKYAWTMTEETDTVEWYNLCLDALNNAEKLYKGKDTSDIIQNKVLQLLKGKNEDMELFFGKELKSGDFSDFSAECLTDTWIGKDRWAFIDLTAGPFSWGPAVGGEGVRTELSLPNVQKTIGAVAEISEDEAEERLQEAIQEKFSVFGDKDHQAIDILLAEIDIYELFAFKHCKGRRTKLALCEELDERMHDLKNELQSLDGEENDESHKKKAIEALKRMESWNLFSDTHEPEFRNYTVARDTFLAHLGATLWGSMRHIISPSLSDGAFHYYEKISFQLFFVTHEKVRNVKHLPVDLKALKNGLSSLLVSSQKAMFSENLVVLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTTRSYLDSSILQHQLQRQLHDRGSLKGVHAHSMSTLEVPIFWFISGEPLLVDKHYQAKALSDMVIVVQSEPSSWESHLQCNGQSVLWDLRRPVKAALAAVSEHLAGLLPLHLVYSHAHETAIEDWVWSVGCNPFSITSQGWHVSQFQSDTIARSYIITALEDSIQLVNSAVRRLLMERTSEKTFKMFQSEERELVDKYNYVVSLWRRISTIHGELRYMDAMRFLYTLEDASERFASQVNATIAILHPIHCTRERKVHVVIDMTTVPAFLVVLGVLYIVLKPRRPKPKIN >Potri.008G164900.1.v4.1 pep chromosome:Pop_tri_v4:8:11365612:11366625:1 gene:Potri.008G164900.v4.1 transcript:Potri.008G164900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164900.v4.1 MCTLEKTANNIFVLTLTGDDEHRLNPTLIGSILSALHEAKAQATSGSVLITTSRGKFFSNGLDLAWAHAAGSKPKASERLTHMVKLLKPVVAELISLPMPTIAAVNGHAAAAGMVLALSHDYVYMKRDRGVLYMSEVDIGLTIPDYVHALFRAKIGSPSARRDVLLRGAKVRGDEAVRMGIVEGAHDNEERLSEAAMRHGIQLASRKWNGEVYREIRKSLYPELCVVLGLGDAKVIAKL >Potri.017G049500.2.v4.1 pep chromosome:Pop_tri_v4:17:3486966:3489343:-1 gene:Potri.017G049500.v4.1 transcript:Potri.017G049500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G049500.v4.1 MWAIHFLSNSSLNEQRYLVLIAGEAIKPGTMKEEDVNCCQIQEWYPKFKSVSIKTIIHELPESFVEYLLLKYFICILKVYLALKILN >Potri.001G379300.2.v4.1 pep chromosome:Pop_tri_v4:1:39977041:39977731:1 gene:Potri.001G379300.v4.1 transcript:Potri.001G379300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379300.v4.1 MASSSVTENWVLINGDVDSSGGSEVSEMNNSAGFLMSLLEETQSEDYDNERLSSLIQSLEAEIDPKRMDGGDTSMEPMMDPNICQTCDAGQVEGHDCWGADLTIWNIDMEMDSPTSSSDHDDHDISFWYPIGDQMDAMTEFGGLRNCSQIYSGVDFDNGNIDLWQEPCEETMYS >Potri.008G029200.2.v4.1 pep chromosome:Pop_tri_v4:8:1547946:1551228:1 gene:Potri.008G029200.v4.1 transcript:Potri.008G029200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029200.v4.1 MESGQGTVTCGSWIRRPENLNLAVLGRSSKKKNSASPSVLEIFSFDTETTSLSTSPQVTYVFEETEGELVTIAVHPSGDDLVCSSTKGGCKLFELQCQETNLKLLAKDLPPLQDVGPQTCMAFSVDGSKFATGGVDGRLRILEWPSLRIILDEPKAHKSVRDMDFSLDSEFLASTSTDGSARIWKAEDGSPVVSLTRNSDEKIELCRFSKDGTKPFLFCAVQKGDKAVTAVYDISTWNKIGYKRLLRKPASIMSISLDGKYLALGSKDGDVCVAEVKKMEVSHLSKRLHLGTCITSLEFCPGQRVVLTTSDEWGAVVTKLNVPADWKEWQIYLLLVVLFLASAVAFYIFFQKSDSFWNVPPGRDQPGKQFEILDPQYSEDAFGPVDM >Potri.019G060300.2.v4.1 pep chromosome:Pop_tri_v4:19:9994840:9997204:-1 gene:Potri.019G060300.v4.1 transcript:Potri.019G060300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060300.v4.1 MIACMHVLRHTCMLACFFAMTERKMHDNRFQRKWVMCPTCRQHTDFGNIAYADDKRDKSCSSAMLDAIQGCEKTEASLAVQGSYGTKVEAITRRILWIKSSDPKAKVLVFSSWNDVLDVLEHAFNANEVTYIWMKGGRKSHVAISEFRAQNGSPKITPRQQQETKSVQVLLLLIQHGTNGLNLLEAQHVVLVEPLLNPAAEAQAEKRTLVHRFIVKDTVEESIYELNRSRSTSSFISGNTKNQDQTLLTLKDVESLFATVPSTVPESDGKPTENLRHLPPSVAAALAAERRLKENTAGISV >Potri.003G106900.2.v4.1 pep chromosome:Pop_tri_v4:3:12983783:12985469:1 gene:Potri.003G106900.v4.1 transcript:Potri.003G106900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106900.v4.1 MMPESHSSIELPVFDISQPISPSCLSSLSLACNEWGFFHIINHGVSKDVYRKLYSLSTHIFSLPYESKIKLGPSSSVRTYTPHFIASPFFESLRVSGPDFFASAQSSTGILLDNPNPDEFSETVKEYGIEMSELSRRIVRAVLMSMGGDFARKFYESDFNNCHGYLRINSYSPPEISTVEGKEVEGLGMHTDMSCVTIVCQDEIGGLQVRSREGKWMDINPREDTLVVNIGDLMHAWSNGKLRSSEHRVVLKRNVHRFSLAFFWCFEDEKVIFAPDEVVGEGSMRIYNPFVCREYLKYRESSEKGRFDKVGFTVKDFAGNLER >Potri.001G174900.1.v4.1 pep chromosome:Pop_tri_v4:1:15022963:15028594:-1 gene:Potri.001G174900.v4.1 transcript:Potri.001G174900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174900.v4.1 MAPAARGCWGVVVEKARRSVRTVIFMVAMVASLLASSIPVLVAIGDVVVAFFLVSSFTCLTCYGFKSHLRRYSFKSSFTDIPIISLIRSFLIICVYSMCDAPALSHGPYLGTVTLCSVVSVMLLSIKTCVFTVNSQIEAEASISSISRQKLHLKKSWGMPVLFLSSVVFALGHSVVAYRTSSRARRKLMFHRVDPEAVLSCKSVFSGYQKVPRSPTPTAGRTPKSDSEMKRRPFGTTRDEGELPVRLLADIDSLFTTCLGLTVHYKLCFPGAPPRYLSSTTVLESSSCGSSPKLVVGRLRLERQPFSAVAKTQHHLCRSYSNQFYSSSLYAPLLGGSPTSALSEEIPVLNLDDAVQEDGMCELNSVIPKLDMEGNGQLGIVLVHGFGGGVFSWRHVMGVLSRQVGCAVAAFDRPGWGLTSRLRRKDWEDKELPNPYKLETQVDLLLSFCSEMGFSSVVLVGHDDGGLLALKATQRVQESMTSFNVTIKGVVLLNVSLSREVVPAFARILMRTSLGKKHLVRPLLQTEIIQVVNRRAWYDATKLTTEILSLYKAQLCVEGWDEAVHEIGKLSCETVLSPQNSAALLKAVAGMPVLVIAGAEDVLVPLKSSQAMASKLVNSRLVAISGCGHLPHEECPKALLAAISPFISRLLLESDLEKQ >Potri.011G021000.1.v4.1 pep chromosome:Pop_tri_v4:11:1601718:1604881:-1 gene:Potri.011G021000.v4.1 transcript:Potri.011G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021000.v4.1 MGKSGGRKKKGSGAGANQALKGGNNGNTNSVATPNANGGIDLNSLIFLKRAHELKEEGNKRFQNKDFAGALDQYDNALRLIPKTHPDRAVFHSNRAACLMQMKPIDYETVITECTMALQVQPQFVRALLRRARAYEAIGKYEMAMQDVQVLLGADPNHRDALDIARRLRTAFGPRQEAQQDLQSRPSPAALGASAVRGAPIAGLGPCLPARPVSKKAAAPSGVSLVSPINKMEKPLMNSVSENGPETKNQLPKLVLKPSSGSSKASANPGKDRQGKGSLSSSVSLPRQVSEVPVRLRPLKLVYDHDIRLAQMPVNCTFKVLREIVSKRFSSSKSVLIKYKDTDGDLVTITCTTELRLAESSVDSLLVKEPGTDKTDSVGMLRLHVVEVSPEQEPPLVEEEEEEEEEEEKPPESEENKGDESGSHSSLGESVSEVIDTEINKAEKETTKEKLEASGDPESREVEMDDWLFEFAQLFRTHVGIDPDAHIDLHEIGMELCSEALEETVTSEEAQSLFDKAASKFQEVAALAFFNWGNVHMCAARKRIPLDESAGKEVVSAQLQTAYEWVKDKYSLAREKYEEALLIKPDFYEGLLALGQQQFEMAKLHWSFVLAKKIDLSSWDSAETLKLFDSADEKMKVATEMWEKMEEQKANELKDPNASKKDEMLRRRKKQGSNVEGESSESGAQGEISPEEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDDWKRKLDAAVERFRLAGASEGDISMVLKNHCSNGDAAEGDDKKVQNSNTDNVNEADKSEEVNKV >Potri.009G107600.1.v4.1 pep chromosome:Pop_tri_v4:9:9344340:9346116:-1 gene:Potri.009G107600.v4.1 transcript:Potri.009G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G107600.v4.1 MGEIDPEFIQETEYRPKFKTIEADEEIPVIDLSVSTPSDTKEVVSKIGEACKKWGFFQVINHGVPLELRQKIEKVAKEFFDQPMEEKRKVKRDEVNPMGYHDSEHTKNIRDWKEVFDFLVVDPTLIPASDDPDDKELRAMTNQWPHKPSEFRELCQEYTRQVEKLAFKLLELISLSLGLPADRLNGYFKDQISFARFNHYPPCPAPHLALGVGRHKDGGALTVLSQDDVGGLQIGRRSDGEWIPVKPIPDAFIINIGNCMQVWSNDLYWSAEHRVVVNSQRERFSIPFFFFPSQYVDIKPLDELINEQNLAKYKEFNWGKFFASRNRSDYKKREVENIQIDHFKVPE >Potri.010G243100.11.v4.1 pep chromosome:Pop_tri_v4:10:21981139:21984445:1 gene:Potri.010G243100.v4.1 transcript:Potri.010G243100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243100.v4.1 MFGRAPKKSDNTKYYEILGVSKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGGAHDPFDIFQSFFGGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDIYNGTSKKLSLSRNVICSKCKGKGSKSGASLKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGEAINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNAQRITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLAEALCGFQFILTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDSLSLDQCKALETVLPPRTSAELTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMHGGGGQRVQCAQQ >Potri.010G243100.10.v4.1 pep chromosome:Pop_tri_v4:10:21981069:21984456:1 gene:Potri.010G243100.v4.1 transcript:Potri.010G243100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243100.v4.1 MFGRAPKKSDNTKYYEILGVSKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGGAHDPFDIFQSFFGGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDIYNGTSKKLSLSRNVICSKCKGKGSKSGASLKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGEAINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNAQRITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLAEALCGFQFILTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDSLSLDQCKALETVLPPRTSAELTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMHGGGGQRVQCAQQ >Potri.010G243100.12.v4.1 pep chromosome:Pop_tri_v4:10:21981088:21984162:1 gene:Potri.010G243100.v4.1 transcript:Potri.010G243100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243100.v4.1 MFGRAPKKSDNTKYYEILGVSKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGGAHDPFDIFQSFFGGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDIYNGTSKKLSLSRNVICSKCKGKGSKSGASLKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGEAINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNAQRITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLAEALCGFQFILTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDSLSLDQCKALETVLPPRTSAELTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMHGGGGQRVQCAQQ >Potri.010G243100.2.v4.1 pep chromosome:Pop_tri_v4:10:21980703:21984489:1 gene:Potri.010G243100.v4.1 transcript:Potri.010G243100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243100.v4.1 MFGRAPKKSDNTKYYEILGVSKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGGGGAHDPFDIFQSFFGGGNPFGGGGSSRGRRQRRGEDVIHPLKVSLEDIYNGTSKKLSLSRNVICSKCKGKGSKSGASLKCSGCQGSGMKVSIRHLGPSMIQQMQHPCNDCKGTGEAINDKDRCPQCKGEKVVQEKKVLEVVVEKGMQNAQRITFPGEADEAPDTVTGDIVFVLQQKEHPKFKRKGDDLFVEHTLSLAEALCGFQFILTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFTVDFPDSLSLDQCKALETVLPPRTSAELTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMHGGGGQRVQCAQQ >Potri.010G051100.1.v4.1 pep chromosome:Pop_tri_v4:10:8280704:8284034:-1 gene:Potri.010G051100.v4.1 transcript:Potri.010G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051100.v4.1 MEETGFVPFPGNLDPRAQEFRPRHNNLQDFTTKFPPFGPPPPPPPPQLPQLLHQVYYPYTPQAVPFCDFVGFTQYHHHVPPMYDTVGTPLPLPPTGAPTRTLVLSSVPSDVSETLIRRELEVFGEVRGVQMERVGDGIVTVHFYDLRHAERALREIREQHMLHQARLRNLFIQNCESLSLNIAPPPPARGLIAGCVVWAQFIIPSCKAVPDGQNQGTLVVFNLDPNVSTRCLKETFQAFGAVKELRETPLKRHQRFVEFYDVRDAAKALGEMNGKEIYGKQVDIEFSRPGGYGKKFFNASTTTSKTSFSAPDINSTTNLNRSRISTYASPPSPPLLRRFSSGCSSPNISPRSFLSETHSSAGKKPSGNPGKGNPNEASNEAASSGCLSLGGGAVGDGIVEKVTDHGHPKKSSKKSQNSQSFIATKHQQKSAKSWKGTRQAKKFDTRFLISGDDSMVETSGSDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIADGDDQPLSSYDFLYLPIDFNNKCNVGYGFVNMTSPQAAWRLYKAFHNQHWEVFNSRKICAVTYARVQGLEALKEHFKNSKFPCEMDHYLPVVFSPPRDGRQQTEPLPIIGLKQLQQPINLGHRPHHEIEDGVDDSSLKTCNKLCGNTDQEGENQHKCCSSICSSQNGGDVGDDDKDSSGGSN >Potri.019G132800.5.v4.1 pep chromosome:Pop_tri_v4:19:15472564:15483963:-1 gene:Potri.019G132800.v4.1 transcript:Potri.019G132800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132800.v4.1 MLVTATAATVASASNKCFRAHNNLHLLRHHHSNYYKYKNNNKNPLLFLCCFNHSLPNHHHTRRCRLLLGPSSTDSSTALHASFPFLSNTSYSTPPSWNPNAFLSSSSSSSTPFRPPNSMLNGHAFFSTSAPKDKDDDDAANKASSSPSITTPAIADKSDQQLADMKILRTLASYLWMKDNPEFRLRVLLALGFLLVAKVLNVQVPFLFKLAVDWLTVATGNATALASFTAANSTFLALFATPASVLIGYGIARCGSSAFNELRTAVFSKVALRTIRTVSGKVFSHLHELDLRFHLSRETGGLSRIIDRGSRAINFILSSMVFNVVPTILEISMVSSILAYKFGAPFALITSLSVAAYVTFTLSVTQWRTNFRKAMNKADNDASTKAIDSLINYETVKYFNNEAYEAEQYDEYLKRYEDAALKTSQSLAFLNFGQNVIFSTALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFHLLEEKPDIRDKDDAKPLMLKGGGIQFDNVHFSYLEERKILDGVTFSVPAGKSVAIVGTSGSGKSTILRLLFRLFDTISGSIQIDGQDTRDVTLDSLRRSIGVVPQDTVLFNDTIFHNIHYGRLSATREEVYDAARHAAIHDTVMNFPEKYSTIVGERGLKLSGGEKQRVALARAFLKAAPIMLCDEATSALDSTTEAEILNALKSLSSNRTSVFIAHRLTTAMQCDEIIVLENGKVVEQGPHEVLLTKAGRYAQLWAQQNSTVDALDSAIKLEA >Potri.019G132800.4.v4.1 pep chromosome:Pop_tri_v4:19:15472480:15483991:-1 gene:Potri.019G132800.v4.1 transcript:Potri.019G132800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132800.v4.1 MLVTATAATVASASNKCFRAHNNLHLLRHHHSNYYKYKNNNKNPLLFLCCFNHSLPNHHHTRRCRLLLGPSSTDSSTALHASFPFLSNTSYSTPPSWNPNAFLSSSSSSSTPFRPPNSMLNGHAFFSTSAPKDKDDDDAANKASSSPSITTPAIADKSDQQLADMKILRTLASYLWMKDNPEFRLRVLLALGFLLVAKVLNVQVPFLFKLAVDWLTVATGNATALASFTAANSTFLALFATPASVLIGYGIARCGSSAFNELRTAVFSKVALRTIRTVSGKVFSHLHELDLRFHLSRETGGLSRIIDRGSRAINFILSSMVFNVVPTILEISMVSSILAYKFGAPFALITSLSVAAYVTFTLSVTQWRTNFRKAMNKADNDASTKAIDSLINYETVKYFNNEAYEAEQYDEYLKRYEDAALKTSQSLAFLNFGQNVIFSTALSTAMVLCSHGIMNGQMTVGDLVMVNGLLFQLSLPLNFLGSVYRETIQSLVDMKSMFHLLEEKPDIRDKDDAKPLMLKGGGIQFDNVHFSYLEERKILDGVTFSVPAGKSVAIVGTSGSGKSTILRLLFRLFDTISGSIQIDGQDTRDVTLDSLRRSIGVVPQDTVLFNDTIFHNIHYGRLSATREEVYDAARHAAIHDTVMNFPEKYSTIVGERGLKLSGGEKQRVALARAFLKAAPIMLCDEATSALDSTTEAEILNALKSLSSNRTSVFIAHRLTTAMQCDEIIVLENGKVVEQGPHEVLLTKAGRYAQLWAQQNSTVDALDSAIKLEA >Potri.002G247800.1.v4.1 pep chromosome:Pop_tri_v4:2:23816288:23818959:-1 gene:Potri.002G247800.v4.1 transcript:Potri.002G247800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247800.v4.1 MGPVAAIHVFIFALLISISGAVISSEVGINYGQLGNNLPSPSRSVELIKSLKAKRVKIYDANPDILKSLKNTDIQVSIMVPNALIPNISKSQYFSDQWVKTNVVPYYSDVKIRYLLVGNEILTNPDTGTWFNLVPAMRRIKISLTRHNIRKIKVGTPSAINVLESSFPPSNGTFRPDISGTVIKPMLQFLNRTKSFFFIDFYPFFAWSENAHNISLDYALFNAQNVTYTDPGTNLTYTNLFDQMFDAVVFAMKRLGYPGIRVFIAETGWPNGGDFEQLGANIYNSATYNRNVVKKLTTIPAIGTPAQPGVVIPAFIFSLYNENQKPGPGTERQFGLYYPNGTEVFEIDLSGKTPLSGYKKPLPLPTNNEPYKGKLWCIVAKEANRSAVKDALAWACSQGNKTCDEIQPGKGCYKPVSLFWHASYAFSSYWAEFKKIGGVCSFNGLATTTVKDPSFGQCKFPSVTL >Potri.014G162800.1.v4.1 pep chromosome:Pop_tri_v4:14:11718315:11719701:-1 gene:Potri.014G162800.v4.1 transcript:Potri.014G162800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162800.v4.1 MATVEVVSAQSALAEEKTEQPIKIETATEEAVAAAPEAVAEEPKEEVETPAASEEAVAPVPEAPAEVETKEVVEETKILAEEPTVVEKTEEETPKETPEPVVEETKEESPEEVPAEQVVEEAKEATESGETQAPEPEVAVEAPKEEEEVKGEEKPVEAVEKVETETPVEKTE >Potri.017G094900.2.v4.1 pep chromosome:Pop_tri_v4:17:10751669:10753680:1 gene:Potri.017G094900.v4.1 transcript:Potri.017G094900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094900.v4.1 MSHGGGESGRLHDKAGDSGKRKSRVSGDEFTRAIAKIAVAQMCETVGFQSFQQSALEKLSDVTTWYIRNLGKTAQFYANLAGRTEGNVFDVIQGMEELGLSQGFAGASNVDHCLASSGIVREIVQYIGDAEDIPFVYSIPPFPVARERKPVPSFFQICEESPAEHIPAWLPAFPDPQTHVQLPAGNEGDAVFNADKIEPARHHLKMDMSSMNLPQHFTCNGSGGPSSVTFGNSARATQGTESNPFLAAPLQFGEKEVSHLVPPARLSDEAAVRYPVEQNRIMDNHISVLETFAPAIEAMKSRFCDSEEGQKKVLLNQRPAVQFKIQVGKNSLAGAPDLSPQKIGIEKISKWFGKDSENDDKKRRAEKILKQSMENPSELGEL >Potri.017G094900.1.v4.1 pep chromosome:Pop_tri_v4:17:10751669:10753684:1 gene:Potri.017G094900.v4.1 transcript:Potri.017G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094900.v4.1 MSHGGGESGRLHDKAGDSGKRKSRVSGDEFTRAIAKIAVAQMCETVGFQSFQQSALEKLSDVTTWYIRNLGKTAQFYANLAGRTEGNVFDVIQGMEELGLSQGFAGASNVDHCLASSGIVREIVQYIGDAEDIPFVYSIPPFPVARERKPVPSFFQICEESPAEHIPAWLPAFPDPQTHVQLPAGNEGDAVFNADKIEPARHHLKMDMSSMNLPQHFTCNGSGGPSSVTFGNSARATQGTESNPFLAAPLQFGEKEVSHLVPPARLSDEAAVRYPVEQNRIMDNHISVLETFAPAIEAMKSRFCDSEEGQKKVLLNQRPAVQFKIQVGKNSLAGAPDLSPQKIGIEKISKWFGKDSENDDKKRRAEKILKQSMENPSELGEL >Potri.012G141000.1.v4.1 pep chromosome:Pop_tri_v4:12:15291423:15293880:-1 gene:Potri.012G141000.v4.1 transcript:Potri.012G141000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141000.v4.1 MTIGVEALPLTFVAHALAVAGAVTVLVWNLYYRGGLAWEATNKSLIFNLHPVLMLIGLIIIGGEAIMSYKSLPLKKEVKKGIHLVLHAIAIILGSVGIAAAFKNHNESNIANLYSLHSWLGISIISLYGIQWIYGFIVFFYPGGSAIIRSESLPWHVLFGIFVYILAVGNAALGCLEKLTFLESSGIDKYGPEALLVNFTAVITILYGAFVILSVLGQAPVEDDDK >Potri.008G110300.1.v4.1 pep chromosome:Pop_tri_v4:8:6996550:7000966:1 gene:Potri.008G110300.v4.1 transcript:Potri.008G110300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G110300.v4.1 MEAGKKNALFCCVASLICLWTTAYGELTAAGVNYEVEALMGIKNSLHDPHNILNWDEHAVDPCSWAMVTCSPDNFVTSLGAPSQRLSGTLSPSIGNLTNLQSLLLQDNNISGHIPSELGRLSKLKTIDLSSNNFSGQIPSALSNLNSLQYLRLNNNSLDGAIPASLVNMTQLTFLDLSYNDLSTPVPPVHAKTFNIVGNPLICGTEQGCAGTTPVPQSVALNNSQNSQPSGNNKSHKIALAFGSSLGCICLLVLGFGFILWWRQRHNQQIFFDVNEQHNEELNLGNLRSFQFKELQVATNNFSSKNLIGKGGFGNVYKGYLQDGTVVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTTTERLLVYPYMSNGSVATRLKAKPALDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRALEFGKSTNQKGALLDWVKKIHQEKKLELLVDKDLKNNYDRIELEEIVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAEETRSRANEFSSSERYSDLTDDSSLLVQAMELSGPR >Potri.008G110300.3.v4.1 pep chromosome:Pop_tri_v4:8:6996550:7000922:1 gene:Potri.008G110300.v4.1 transcript:Potri.008G110300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G110300.v4.1 MVFCRLLQDNNISGHIPSELGRLSKLKTIDLSSNNFSGQIPSALSNLNSLQYLRLNNNSLDGAIPASLVNMTQLTFLDLSYNDLSTPVPPVHAKTFNIVGNPLICGTEQGCAGTTPVPQSVALNNSQNSQPSGNNKSHKIALAFGSSLGCICLLVLGFGFILWWRQRHNQQIFFDVNEQHNEELNLGNLRSFQFKELQVATNNFSSKNLIGKGGFGNVYKGYLQDGTVVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTTTERLLVYPYMSNGSVATRLKAKPALDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELISGLRALEFGKSTNQKGALLDWVKKIHQEKKLELLVDKDLKNNYDRIELEEIVQVALLCTQYLPSHRPKMSEVVRMLEGDGLAEKWEASQRAEETRSRANEFSSSERYSDLTDDSSLLVQAMELSGPR >Potri.001G359000.1.v4.1 pep chromosome:Pop_tri_v4:1:37516745:37520654:1 gene:Potri.001G359000.v4.1 transcript:Potri.001G359000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH1 MAPKGDNVVVSSMKLEKLLCMKGGKGEASYANNSQAQALHARSMLHLLEETLDRVHLNSPEFPFQVADLGCSSGNNTIHIIDVIIKHMIKRFESSGLEPPEFSAFFADLPSNDFNTLFQLLPPPANYGGSMEECLAASGHRNYFAAGVPGSFHRRLFPARSIDVFHSAFSLHWLSQVPECVLDKRSAAYNKGRVFIHNASESTTNAYKKQFQTDLAGFLSARSQEMKSGGSMFLVCLGRTSADPTDQGGAGLLFGTHFQDAWDDLVQEGLITSEKRDNFNIPVYAPSLQDFKEVVEANGSFTIDKLEVFKGGSPLVVNHPDNEAEVSRAMANSCRSVAGVLVDAHIGDGLSEELFLRVEHRAKSHAKELLEKLQFFHIVASLSFA >Potri.003G127500.3.v4.1 pep chromosome:Pop_tri_v4:3:14668130:14671772:1 gene:Potri.003G127500.v4.1 transcript:Potri.003G127500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127500.v4.1 MELEMELDSEKSSTSDLSPNTVLPHRRCSKAEKRNANGKLTRKDDILRMKEGFTDISFRHYRSSSCKNVPSRPVGLQGNIELKRGSIYQSSREVRRTKEMGSNGGRRTIELSRASDTSFSFRIVDSLCSLDEESMPKRSLASSVNSNSNSKFVRRPCVEPRSSDDFIEICPNLDKRDKHSVGAVRSDSIGNPNFKCEKVVGPLNDGNELLERDTALTYHQPVCAKVEMPCSPCSSESDFSTRAISESQFSPIRKMFDPFTKSKSIRSPFCHVPEPSDAETTGMSNMGRNQTFRRSLFHDFSHTAQKSDFGSQNVKKDHHHSAVVCSPVHLHGCLKMEIKHGVPFFEFSLNRPEEVLVAKTWKANNAFNWVYTFHSISNRKKSNATGRGLSDGNKESSVVGQMQVSCYLCSELKDGGNFDNSLVTEFVLYDNVHARQRVSTEESPGVRPDIGAKPGLVGGSHEMDGNSDAAKFKHQPQHAFDRGDLDSSNPYPWAAAVLHPDLEIAAVVIKLPFAKRESLKYKRGDKGSDKMHSNLLNLSVGEQRMKTIRDEENQEKVKVVIPTGKHSLPRGDGRGPSSLLERWRSGGGCDCGGWDMACPLTVFGNPGIQCAEDEPLLDNQRPLELFLQGMKENNIPAMTMTVLEEGQYAVDFHAQLSTLQAFSICVAVLHGTKATGVTGEERGNRLSHCNSLKMLMEEEVKFFIESVTEEEKRKASKKVEGIKQSYVLNPPFSPIARV >Potri.003G127500.2.v4.1 pep chromosome:Pop_tri_v4:3:14667766:14671774:1 gene:Potri.003G127500.v4.1 transcript:Potri.003G127500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127500.v4.1 MELEMELDSEKSSTSDLSPNTVLPHRRCSKAEKRNANGKLTRKDDILRMKEGFTDISFRHYRSSSCKNVPSRPVGLQGNIELKRGSIYQSSREVRRTKEMGSNGGRRTIELSRASDTSFSFRIVDSLCSLDEESMPKRSLASSVNSNSNSKFVRRPCVEPRSSDDFIEICPNLDKRDKHSVGAVRSDSIGNPNFKCEKVVGPLNDGNELLERDTALTYHQPVCAKVEMPCSPCSSESDFSTRAISESQFSPIRKMFDPFTKSKSIRSPFCHVPEPSDAETTGMSNMGRNQTFRRSLFHDFSHTAQKSDFGSQNVKKDHHHSAVVCSPVHLHGCLKMEIKHGVPFFEFSLNRPEEVLVAKTWKANNAFNWVYTFHSISNRKKSNATGRGLSDGNKESSVVGQMQVSCYLCSELKDGGNFDNSLVTEFVLYDNVHARQRVSTEESPGVRPDIGAKPGLVGGSHEMDGNSDAAKFKHQPQHAFDRGDLDSSNPYPWAAAVLHPDLEIAAVVIKLPFAKRESLKYKRGDKGSDKMHSNLLNLSVGEQRMKTIRDEENQEKVKVVIPTGKHSLPRGDGRGPSSLLERWRSGGGCDCGGWDMACPLTVFGNPGIQCAEDEPLLDNQRPLELFLQGMKENNIPAMTMTVLEEGQYAVDFHAQLSTLQAFSICVAVLHGTKATGVTGEERGNRLSHCNSLKMLMEEEVKFFIESVTEEEKRKASKKVEGIKQSYVLNPPFSPIARV >Potri.003G127500.4.v4.1 pep chromosome:Pop_tri_v4:3:14667557:14671774:1 gene:Potri.003G127500.v4.1 transcript:Potri.003G127500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127500.v4.1 MELEMELDSEKSSTSDLSPNTVLPHRRCSKAEKRNANGKLTRKDDILRMKEGFTDISFRHYRSSSCKNVPSRPVGLQGNIELKRGSIYQSSREVRRTKEMGSNGGRRTIELSRASDTSFSFRIVDSLCSLDEESMPKRSLASSVNSNSNSKFVRRPCVEPRSSDDFIEICPNLDKRDKHSVGAVRSDSIGNPNFKCEKVVGPLNDGNELLERDTALTYHQPVCAKVEMPCSPCSSESDFSTRAISESQFSPIRKMFDPFTKSKSIRSPFCHVPEPSDAETTGMSNMGRNQTFRRSLFHDFSHTAQKSDFGSQNVKKDHHHSAVVCSPVHLHGCLKMEIKHGVPFFEFSLNRPEEVLVAKTWKANNAFNWVYTFHSISNRKKSNATGRGLSDGNKESSVVGQMQVSCYLCSELKDGGNFDNSLVTEFVLYDNVHARQRVSTEESPGVRPDIGAKPGLVGGSHEMDGNSDAAKFKHQPQHAFDRGDLDSSNPYPWAAAVLHPDLEIAAVVIKLPFAKRESLKYKRGDKGSDKMHSNLLNLSVGEQRMKTIRDEENQEKVKVVIPTGKHSLPRGDGRGPSSLLERWRSGGGCDCGGWDMACPLTVFGNPGIQCAEDEPLLDNQRPLELFLQGMKENNIPAMTMTVLEEGQYAVDFHAQLSTLQAFSICVAVLHGTKATGVTGEERGNRLSHCNSLKMLMEEEVKFFIESVTEEEKRKASKKVEGIKQSYVLNPPFSPIARV >Potri.003G127500.5.v4.1 pep chromosome:Pop_tri_v4:3:14668230:14671756:1 gene:Potri.003G127500.v4.1 transcript:Potri.003G127500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127500.v4.1 MELEMELDSEKSSTSDLSPNTVLPHRRCSKAEKRNANGKLTRKDDILRMKEGFTDISFRHYRSSSCKNVPSRPVGLQGNIELKRGSIYQSSREVRRTKEMGSNGGRRTIELSRASDTSFSFRIVDSLCSLDEESMPKRSLASSVNSNSNSKFVRRPCVEPRSSDDFIEICPNLDKRDKHSVGAVRSDSIGNPNFKCEKVVGPLNDGNELLERDTALTYHQPVCAKVEMPCSPCSSESDFSTRAISESQFSPIRKMFDPFTKSKSIRSPFCHVPEPSDAETTGMSNMGRNQTFRRSLFHDFSHTAQKSDFGSQNVKKDHHHSAVVCSPVHLHGCLKMEIKHGVPFFEFSLNRPEEVLVAKTWKANNAFNWVYTFHSISNRKKSNATGRGLSDGNKESSVVGQMQVSCYLCSELKDGGNFDNSLVTEFVLYDNVHARQRVSTEESPGVRPDIGAKPGLVGGSHEMDGNSDAAKFKHQPQHAFDRGDLDSSNPYPWAAAVLHPDLEIAAVVIKLPFAKRESLKYKRGDKGSDKMHSNLLNLSVGEQRMKTIRDEENQEKVKVVIPTGKHSLPRGDGRGPSSLLERWRSGGGCDCGGWDMACPLTVFGNPGIQCAEDEPLLDNQRPLELFLQGMKENNIPAMTMTVLEEGQYAVDFHAQLSTLQAFSICVAVLHGTKATGVTGEERGNRLSHCNSLKMLMEEEVKFFIESVTEEEKRKASKKVEGIKQSYVLNPPFSPIARV >Potri.002G255000.1.v4.1 pep chromosome:Pop_tri_v4:2:24403954:24406241:1 gene:Potri.002G255000.v4.1 transcript:Potri.002G255000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255000.v4.1 MASSPLSCSASDLYPLLGDGANATAAAEFFCGRFEAISNKFVDTGYAVDSTYLLFSAYLVFAMQLGFAMLCAGSVREKNTMNIMLTNVLDAAAGGLFYYTFGFALAFGSPSNGFIGQHFFGLSKFPSPSFDYGYFLYQWAFAIAVAGITSGSIAERTQFVAYLVYSSFLTGLVYPIVSHWFWSADGWASPARAENLLFGSGVIDFAGSGVVHLVGAVAGLWGALIEGPRIGRFDHAGRAVTLRGHSGTLVVLGTFLLWFGWYGFNPGSFINISKSYESGSYYGQWSAIGRTAATTTLAGCTAALTTLFGKRLLAGHWNVTDVCNGLLGGFAAITGGCSVVDPWAAVLCGFVSAWVLIGCNMLAEKFHYDDPLEATQLHGGCGSWGIIFTALFAKEAYVNEVYPGQPGRPYGLFMGGGARLLAAHIVQILVIVAWVSVTMGTVFFILHKLKLLRISAEEEMAGMDLTSHGGLAYVYTDHEDEVKKQLGVV >Potri.001G444350.2.v4.1 pep chromosome:Pop_tri_v4:1:47002222:47009644:1 gene:Potri.001G444350.v4.1 transcript:Potri.001G444350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444350.v4.1 MAIESVGGSILSKIAELLVEPTIRQFRYMFCFNNFVQEFNEQKKNLALTLDRLQNAVEVAERNAEEIEKDVNKWLEDAKNEIEGVNRLENEKGKNSKCFNWCPNWIPQFKLSKALAKKIETLKKLEENRP >Potri.012G134500.1.v4.1 pep chromosome:Pop_tri_v4:12:14947043:14947851:-1 gene:Potri.012G134500.v4.1 transcript:Potri.012G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134500.v4.1 MGCKINTPSPPVLFKFSTRKPSHRIKAIAFSSYSPNQPESIPSTTLPKPDVYTVNFKTLGGCKLGISRYPDFEYNAQGGTGTGTCTKAKDSSDLNTVSVSFDMKTLYIPPLTSGTTKFLGLPLPPFLKIDMVPELFQGTIDQDSGKVDLEFMAKFWFSVGTIYRAPPLLVKTRLTSEESTGTIRSGQGERLDKEGKCRLVGVATVDPINDVFMNTFLGLPTECLAKLSAAISFSSSS >Potri.015G002600.1.v4.1 pep chromosome:Pop_tri_v4:15:136587:137609:1 gene:Potri.015G002600.v4.1 transcript:Potri.015G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002600.v4.1 MESSTQESHLRSENTVTYESPYPLYAMAISSTPSRTNQYQRIALGSFTEDYNNRIDIVSFDPETLSVKTHQNLSLEHPYPPTKLMFSPSSLHKSNDLLASSGDYLRLWEVRDSASIEPVFVLNNSKTGEFCAPLTSFDWNDIEPKRIGTCSVDTTCTIWDIEKGAVETQLIAHDKEVYDIAWGEARVFASVSADGSVRIFDLRDKEHSTIIYESPRPDTPLLRLAWNKQDLRYMATTLMDSNKVVILDIRSPTIPVAELERHRSSVNAIAWAPQSCCHICSAGDDSQALIWELPTVAGPNGIDPMSMCSAASEINQLQWSAALPDWIAIAFSNKMQLLKV >Potri.012G038400.1.v4.1 pep chromosome:Pop_tri_v4:12:3418367:3419671:-1 gene:Potri.012G038400.v4.1 transcript:Potri.012G038400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038400.v4.1 MGKLNHDPYINHFSHPHPLELSNAQSLYMNSCSACNLQPSGWMYSCKPCNFTLHISCTQMPTLITHPCHPIHPLTLFSTPVYPGGSFNCDGCGLQGNGFNYHCTTCDFDVHMMCATNPLSLAHQSHPHQLNLAFYPPYQTKGFCCDICHKIGSNHWLYRCSACEFDAHMKCAMSVNNTPLPHVQHSNSFPVANNVNIQYQQQPGLGGANYGYRHSQSMGAMPMLQQQQQQQQQQRQLQQVSYQQPSGGPNGAANGTIMDTMVQGFVDAAAQQIGQTFAQSIMNPDGSNNSDPNGGGDNSSNPSIISIGSSILSGVFGGDSDNSQNQEV >Potri.013G102300.4.v4.1 pep chromosome:Pop_tri_v4:13:11076680:11080640:-1 gene:Potri.013G102300.v4.1 transcript:Potri.013G102300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102300.v4.1 MEVKLSHRRFSDLSLPRFHFPNPKTTFPSQSLKWWVNSKKHFTVLAERWRFHIQDISKGQSSTNPYLLHVVKEGETLTSISKQYGVSIYSVAAANKNILDVDLVFEGQLLNIPAAAPAGTQVYQIKKCESPSFDQLERLQNFMKIMDGVLNQKPFITVTTLRLPHAKATGYFLVLVPALAFCIRCIIGAFHTRARRNLGCQASNESRRHHDVPESKRWKHALSDIREPDNLDGEPILNSTGTSADQDQNSFEEVSHAYDKLEHEYQKFLSECGISNSGYWRGGSTG >Potri.015G029500.1.v4.1 pep chromosome:Pop_tri_v4:15:2275979:2278537:1 gene:Potri.015G029500.v4.1 transcript:Potri.015G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029500.v4.1 MVSLKLQKRLSASVLKCGKGKVWLDPNEVNEISMANSRQNIRKLVKDGFIIRKPTKIHSRSRARRMKEAKRKGRHSGYGKRKGTREARLPTKVLWMRRMRVLRRLLRKYRESKKIDKHMYHDMYMKVKGNVFKNKRVLMESIHKSKAEKAREKTLSDQFEAKRAKNKASRERKFARREERLAQGPGAEKPVAPPPAAAAAPQPAQGAKKSKK >Potri.001G007000.1.v4.1 pep chromosome:Pop_tri_v4:1:508480:509517:1 gene:Potri.001G007000.v4.1 transcript:Potri.001G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007000.v4.1 MESTLFTEFIPSLPQELGLECMTRLPYTAHRVASQVCKQWRELLESKDFYYHRKKLGYTHKVACLVQAAHRADVSQGSKPGNSPSFGITVFDSVSQTWQRLDPVPKYPNGLPLFCQLASCEGKLVVMGGWDPVSYEQVSHVFVYDFTTRKWREGKEMPSKRSFFAIGAYSGRIYVVGGHDENKNALKTGWVYDLSKEEWTELNQMSQERDECEGVVIGDEFWAVSGYRTDNQGEFEESAEVYEFRSGQWRRVKEAWIPGRCPRSSVGAGKDGRLMSWADLDPAVRVAVRGIMFGLRVMVSGSDSPGAPQGFYMVEMKDGQNGQLEKINVPEEFSGFVQSGCCVEI >Potri.001G208700.1.v4.1 pep chromosome:Pop_tri_v4:1:21337740:21343548:-1 gene:Potri.001G208700.v4.1 transcript:Potri.001G208700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208700.v4.1 MRGASHRRPTNSFNPQPTPDHHRHQYANNTSRDSDASFASSRPSSIGVGRTADPYTEKAHQASAIRAINAYLSSHSSKLLPPNSTPSGKDITETLKYLLHQLDYESTKLEDDLASILKSLNCPFKFNKSTLRAPNTPHNWPSYVAIIHWLVQLAMYREDLAAKTGSLVENNSMFMYALDSYLNYIRGNDDSVLELDNEFMGKLGKERESVLENVRVLEASLKETEAKAEALRAGPTERERLEKERSVLEEDVKKFHAMIGEFTQGIEVLEKGLEEKRKEMETKVEEKKKLDEENDELKKRVEEQSFNPRDAERMKRELQVVDRDIVEAEASRNAWEEKMWDLDATIAHKFKEIEALAMECNQATRRLKLGNGYQYVPNANGSTAAEIMGLDYKTTIKPGLESFAAAVKGSSMAKLEELILLQKQSSELAAKIEGKRNRTSTLQSHIDEMEAQLNLSRKETEDSTSRCAAEAKKLVEDVQIEAHNLDVLEREAAEILKAAEQKLQEAIKTSEEEIQMQAVELLALVDSVSKCKEQIESKISESKIKLSETVVAVSNAYKGSLSAQFGINLDINH >Potri.012G108200.6.v4.1 pep chromosome:Pop_tri_v4:12:12942254:12949107:1 gene:Potri.012G108200.v4.1 transcript:Potri.012G108200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108200.v4.1 MGNCFSDVAGGRAAVGGSAAAASAAAGNDAVDHFLKSRGLYGSQIELSFSATNMRDRDVLSKSDPMLVGYTKGRDGTLTEAFRTEVVLNSLNPTWIAKHTITFQFEVVQTLVFHAYDVDTQFHNIDVKMLKLEEQQFLGEASCVLSEVVTRPSRSLTLDLVYREDPTIPGNPRRCGQLIVHAEECISSKTTTEMILKCSNLEQKDLFSRSDPFLLISKIVEAGLPIPVCKTEVLKNDNHPIWKPVFLSIQQVGSKENPLMIECFNFNSSGKHDLIGKVQKSLAELEKLHSSGEGEHLFLPTTVGHNYQNKVLKSQIFVENFSQSSRHTFLDYLTGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQRAIIEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVDGVQGIMIAYTSALYNVSLAGPTLFGPVISNAALIASQSLANGGRKYFVLLIITVRMR >Potri.012G108200.4.v4.1 pep chromosome:Pop_tri_v4:12:12942254:12949107:1 gene:Potri.012G108200.v4.1 transcript:Potri.012G108200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108200.v4.1 MGNCFSDVAGGRAAVGGSAAAASAAAGNDAVDHFLKSRGLYGSQIELSFSATNMRDRDVLSKSDPMLVGYTKGRDGTLTEAFRTEVVLNSLNPTWIAKHTITFQFEVVQTLVFHAYDVDTQFHNIDVKMLKLEEQQFLGEASCVLSEVVTRPSRSLTLDLVYREDPTIPGNPRRCGQLIVHAEECISSKTTTEMILKCSNLEQKDLFSRSDPFLLISKIVEAGLPIPVCKTEVLKNDNHPIWKPVFLSIQQVGSKENPLMIECFNFNSSGKHDLIGKVQKSLAELEKLHSSGEGEHLFLPTTVGHNYQNKVLKSQIFVENFSQSSRHTFLDYLTGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQRAIIEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVDGVQGIMIAYTSALYNVSLAGPTLFGPVISNAALIASQSLANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGDRLESSTGRVASRDIVQFIPLRDVQSGEISVVQELLAELPTQFLSYMRSRNIQPDI >Potri.012G108200.7.v4.1 pep chromosome:Pop_tri_v4:12:12942344:12949086:1 gene:Potri.012G108200.v4.1 transcript:Potri.012G108200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108200.v4.1 MGNCFSDVAGGRAAVGGSAAAASAAAGNDAVDHFLKSRGLYGSQIELSFSATNMRDRDVLSKSDPMLVGYTKGRDGTLTEAFRTEVVLNSLNPTWIAKHTITFQFEVVQTLVFHAYDVDTQFHNIDVKMLKLEEQQFLGEASCVLSEVVTRPSRSLTLDLVYREDPTIPGNPRRCGQLIVHAEECISSKTTTEMILKCSNLEQKDLFSRSDPFLLISKIVEAGLPIPVCKTEVLKNDNHPIWKPVFLSIQQVGSKENPLMIECFNFNSSGKHDLIGKVQKSLAELEKLHSSGEGEHLFLPTTVGHNYQNKVLKSQIFVENFSQSSRHTFLDYLTGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQRAIIEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVDGVQGIMIAYTSALYNVSLAGPTLFGPVISNAALIASQSLANGGRKYFVLLIITVRMR >Potri.012G108200.5.v4.1 pep chromosome:Pop_tri_v4:12:12942222:12949105:1 gene:Potri.012G108200.v4.1 transcript:Potri.012G108200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108200.v4.1 MGNCFSDVAGGRAAVGGSAAAASAAAGNDAVDHFLKSRGLYGSQIELSFSATNMRDRDVLSKSDPMLVGYTKGRDGTLTEAFRTEVVLNSLNPTWIAKHTITFQFEVVQTLVFHAYDVDTQFHNIDVKMLKLEEQQFLGEASCVLSEVVTRPSRSLTLDLVYREDPTIPGNPRRCGQLIVHAEECISSKTTTEMILKCSNLEQKDLFSRSDPFLLISKIVEAGLPIPVCKTEVLKNDNHPIWKPVFLSIQQVGSKENPLMIECFNFNSSGKHDLIGKVQKSLAELEKLHSSGEGEHLFLPTTVGHNYQNKVLKSQIFVENFSQSSRHTFLDYLTGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQRAIIEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVDGVQGIMIAYTSALYNVSLAGPTLFGPVISNAALIASQSLANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGDRLESSTGRVASRDIVQFIPLRDVQSGEISVVQELLAELPTQFLSYMRSRNIQPDI >Potri.012G108200.1.v4.1 pep chromosome:Pop_tri_v4:12:12942320:12949114:1 gene:Potri.012G108200.v4.1 transcript:Potri.012G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108200.v4.1 MGNCFSDVAGGRAAVGGSAAAASAAAGNDAVDHFLKSRGLYGSQIELSFSATNMRDRDVLSKSDPMLVGYTKGRDGTLTEAFRTEVVLNSLNPTWIAKHTITFQFEVVQTLVFHAYDVDTQFHNIDVKMLKLEEQQFLGEASCVLSEVVTRPSRSLTLDLVYREDPTIPGNPRRCGQLIVHAEECISSKTTTEMILKCSNLEQKDLFSRSDPFLLISKIVEAGLPIPVCKTEVLKNDNHPIWKPVFLSIQQVGSKENPLMIECFNFNSSGKHDLIGKVQKSLAELEKLHSSGEGEHLFLPTTVGHNYQNKVLKSQIFVENFSQSSRHTFLDYLTGGCELNFMVAIDFTASNGNPRLPDSLHYLDPSGRLNAYQRAIIEVGEVLQFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHCEVDGVQGIMIAYTSALYNVSLAGPTLFGPVISNAALIASQSLANGGRKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGDRLESSTGRVASRDIVQFIPLRDVQSGEISVVQELLAELPTQFLSYMRSRNIQPDI >Potri.002G182600.2.v4.1 pep chromosome:Pop_tri_v4:2:14503230:14506532:-1 gene:Potri.002G182600.v4.1 transcript:Potri.002G182600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182600.v4.1 MGGKRRTSESEAMEEYGSDVELDRKEKKKKMEKGKTREILPSMIKNKEKRSAVHAKLKHEKKVEKRKKLKARDAEEKRALELGEEPPPRKIPRTIENTRENDETVCKPDDEELFAGNDADEFSSILKRERTPKILITTSRFNSTRGPAFISELLQVIPNAHYYKRGTYDLKKIVEYAKKQDFTSLIVVHTNRREPDALLIIGLPDGPTAHFKLSRLVLRKDIKNHGNPTSHEPELVLTNFTTRLGHRAGRIIQSLFPQEPNFRGRRVVTFHNQRDYIFFRHHRYIFETKESKQSDSKGKKGKDGKGEKISQQKVIARLQECGPRFTLKLRSLQHGTFDTKGGEYEWVHKPEMDTSRRRFFL >Potri.006G139200.1.v4.1 pep chromosome:Pop_tri_v4:6:11623185:11624987:1 gene:Potri.006G139200.v4.1 transcript:Potri.006G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139200.v4.1 MLGKRTNPMIGRLSELLVSGTRAKFMDANTSPRSPLDYMNQSPKGLKNYHDLGGVGLGIVAALDKPSNTDVGHEVLAKYAVCRSNLNRSNPIPVNSGKDCEIFNCGFEEMDMKSLEDYTYVTIHAPNQSFTKVYYDGGEYGKQGHDRRCENMGCTSVPKGFPARLVEDVPVYPTSDFLSSCHLCRKKLYGRDIYIYRGEKAFCSVECRSSQIMIDERKEQCRSEVARSADVSSSPFKTSPIFSTGILAI >Potri.006G139200.2.v4.1 pep chromosome:Pop_tri_v4:6:11623283:11624398:1 gene:Potri.006G139200.v4.1 transcript:Potri.006G139200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139200.v4.1 MLGKRTNPMIGRLSELLVSGTRAKFMDANTSPRSPLDYMNQSPKGLKNYHDLGGVGLGIVAALDKPSNTDVGHEVLAKYAVCRSNLNRSNPIPVNSGKDCEIFNCGFEEMDMKSLEDYTYVTIHAPNQSFTKVYYDGGEYGKQGHDRRCENMGCTSVPKGFPARLVEDVPVYPTSDFLSSCHLCRKKLYGRDIYIYRYNNFSSGSLKFSLEVICSCILYMGFKTRIEA >Potri.016G097100.1.v4.1 pep chromosome:Pop_tri_v4:16:9689173:9691224:1 gene:Potri.016G097100.v4.1 transcript:Potri.016G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097100.v4.1 MDTMLVPPWLESLLSTSFFTVCRTHGDAARNECNMYCLDCEGGAFCFYCRSSRHKDHQVIQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLKERPQPKYGKGVAHICVICGRSLLDPFRFCSLGCKLVGVKRNGEASFTIEAKNEALMERREGISRGEEELREGSQQQQDIYPPTPPPPSSSARRRKGIPHRAPFGS >Potri.016G097100.3.v4.1 pep chromosome:Pop_tri_v4:16:9689173:9691224:1 gene:Potri.016G097100.v4.1 transcript:Potri.016G097100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097100.v4.1 MDTMLVPPWLESLLSTSFFTVCRTHGDAARNECNMYCLDCEGGAFCFYCRSSRHKDHQIRRSSYHDVVRVTEIQKVLDISGVQTYVINSARVLFLKERPQPKYGKGVAHICVICGRSLLDPFRFCSLGCKLVGVKRNGEASFTIEAKNEALMERREGISRGEEELREGSQQQQDIYPPTPPPPSSSARRRKGIPHRAPFGS >Potri.012G117100.3.v4.1 pep chromosome:Pop_tri_v4:12:13583417:13590612:-1 gene:Potri.012G117100.v4.1 transcript:Potri.012G117100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117100.v4.1 MAEDCCSFQLISGAGVLNVEGLENFTRTTNLAQRRLSYAAVAVIGPQSSGKSTLLNKLFRTDFTMMDAYEGRGQTTQGIWIGKGIGIEPFTIAIDVEGSDSSERGQDGTTTFEKRSALFALAIADIVIINMWCHDIGRDNAASRPLLKTVFEAMTRLFGARKTTLLFVLRDQTPTPLERLKHILRRDIDQIWAAAAKSKAQTLGDFFNVEIIALPNFLYEKERFNEQVALLRQRFIHSISPGGLVGDRKDVQPASGFPLRVEQIWKTIKENKDLDLPALEVMVATFRCEQIAKEKLSSLKLDGTWLAMSKALKSGPVSEFGKKLSSILESYLSQYDKEAMDYEESIRKDKQQRLETEALQFVYPAYAAMLQHLRSTALKSFKTRLEKTVKEKRGDGFEESVDNCGWFGMLEFERGCEDATIKKRVSGWLAEKVNVKDRLRGDIETLKSNKKAEYKVISLVLKSIPSFPCIFVTYCNLILGSCTNKNK >Potri.012G117100.1.v4.1 pep chromosome:Pop_tri_v4:12:13581967:13590516:-1 gene:Potri.012G117100.v4.1 transcript:Potri.012G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117100.v4.1 MAEDCCSFQLISGAGVLNVEGLENFTRTTNLAQRRLSYAAVAVIGPQSSGKSTLLNKLFRTDFTMMDAYEGRGQTTQGIWIGKGIGIEPFTIAIDVEGSDSSERGQDGTTTFEKRSALFALAIADIVIINMWCHDIGRDNAASRPLLKTVFEAMTRLFGARKTTLLFVLRDQTPTPLERLKHILRRDIDQIWAAAAKSKAQTLGDFFNVEIIALPNFLYEKERFNEQVALLRQRFIHSISPGGLVGDRKDVQPASGFPLRVEQIWKTIKENKDLDLPALEVMVATFRCEQIAKEKLSSLKLDGTWLAMSKALKSGPVSEFGKKLSSILESYLSQYDKEAMDYEESIRKDKQQRLETEALQFVYPAYAAMLQHLRSTALKSFKTRLEKTVKEKRGDGFEESVDNCGWFGMLEFERGCEDATIKKRVSGWLAEKVNVKDRLRGDIETLKSNKKAEYKSERKKIKEEKIKKGVTTTAGVVAGVTVGAAMTLVSDPVTASATGIGVSTATIQLIDIARDIFRRS >Potri.006G009400.1.v4.1 pep chromosome:Pop_tri_v4:6:630562:633706:1 gene:Potri.006G009400.v4.1 transcript:Potri.006G009400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009400.v4.1 MPDKMFTFSDEYRWLVSLIIGEQTTYFCVLTFCSRNQEMDSQWRAKRLFPFLGILLLLSLLYLDFNNSNSSYFSFPIFLWQPKMGFVSTNSTQFIIIDDGGGGRVSAFYVNGWNSYWLMMKSVWSPSRSKVSEMLKRGAQMGLTVCRTWAFSDGRGPDALQVSPGLFNERVFRGLDYVIVEARRNHIRLILSLVNNLAAFGGKNQYVKWAKEAGVNVSLSDDSFFSNPVIKDYYKAYIKAVVKRKNSLSGVRYSEEPAIFAWELMNEPRCASSSSAPVLQAWIAEMAAYIKSLDKRHLVTVGLEGFYGLNTTNKSEVNPGIWAASLGTDFILNSAIDNIDFASVHAYPDSWIPHADLEAKTTYLSNWVDSHISDGDFVLRKPVLFTEVGSRWDVDGKGVHERDVLLKIVYDKIYESAKKRQAGAGALIWQLLVEDVDGYSDQFSFVPQYSPSTYKLIEEQSCRLQRISAGHNRARKPE >Potri.017G072100.1.v4.1 pep chromosome:Pop_tri_v4:17:7850346:7859345:-1 gene:Potri.017G072100.v4.1 transcript:Potri.017G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072100.v4.1 MELKVSTLKTGGLSPADCISDPEEKEVSDEDDDDRNHKHRRREIRSQSLERDSLEPVFTRPYRKRNKPFENGQFYQENDYNSVPLEKGFATKFERIRPGLVSLPRMPVDWNQRIRSNQTFYGDPGLGRGRGREPVSWSQHDSRFSPVDIASQMVHQGQSLFTGRGLANVSNAQSASWNAYGLFPGIPNGGLDKLRPIGLQATLRPAVTSSLNMGIPQQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPRAQLVGTTARPGALPTVGAPSATLINSKGMHSKCNKPGIVNAGLGFNGAHTGSASVSGGDLYDPDQPLWNDSVPETSSALIAPHSPKIDEIESMISIDSFDHNHVSLRGGADNECPIRSTGIAVHSESLNSSVWGKVRASNNRLHVKEKTDLTVSMLNNMESESKENQGALANVRGTSRQGKQINFEDSSAKTIDSPAKTQSNTMRHTPKPSQKALRTLFVNGIPQKSNKREALLSHFQKFGEVIDIYIPLNTERAFVQFSKREEAEAALRAPDAVMGNRFIRLWWANRDSIPDGGAGSSNSVSVTPRGVTSTSFPPHLPIGNSGKDNLQLSVSKATAVPPSVAPVPAIDHSKVITTNVPKVPPPMQKLESLEQLKEELRKKQELLDQKRNDFRRQLDKLEKQATGVKGEVTAEPASKRHKTGIPASDIVKAATPRSADPGSASPHAEMVDKNKTVENVVSSSSKTCVMMALQDSAGSKPSIHPLAPVGPPFLMNKYKLDNRPTAFKIISPLPAGLANVATLKEYFSSYGDLSAVELEDEPSDYDGVGSETLKNCSACVTFTTRRSAERAFLNGKCWQGKNLKFAWLTSSTSSNEPGSGQNSFAPNCLVDTDQSVEKLACLDSQEASASGNGGPENSEINNGTGPIELHEALQCHSASILGEAESPKCEPSPTSISHEKESTKKDPSQTAMSEKETPEGIVW >Potri.017G072100.5.v4.1 pep chromosome:Pop_tri_v4:17:7851354:7858178:-1 gene:Potri.017G072100.v4.1 transcript:Potri.017G072100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072100.v4.1 MELKVSTLKTGGLSPADCISDPEEKEVSDEDDDDRNHKHRRREIRSQSLERDSLEPVFTRPYRKRNKPFENGQFYQENDYNSVPLEKGFATKFERIRPGLVSLPRMPVDWNQRIRSNQTFYGDPGLGRGRGREPVSWSQHDSRFSPVDIASQMVHQGQSLFTGRGLANVSNAQSASWNAYGLFPGIPNGGLDKLRPIGLQATLRPAVTSSLNMGIPQQRCRDFEERGFCLRGDMCPMEHGVNRIVVEDVQSLSQFNLPVSLPRAQLVGTTARPGALPTVGAPSATLINSKGMHSKCNKPGIVNAGLGFNGAHTGSASVSGGDLYDPDQPLWNDSVPETSSALIAPHSPKIDEIESMISIDSFDHNHVSLRGGADNECPIRSTGIAVHSESLNSSVWGKVRASNNRLHVKEKTDLTVSMLNNMESESKENQGALANVRGTSRQGKQINFEDSSAKTIDSPAKTQSNTMRHTPKPSQKALRTLFVNGIPQKSNKREALLSHFQKFGEVIDIYIPLNTERAFVQFSKREEAEAALRAPDAVMGNRFIRLWWANRDSIPDGGAGSSNSVSVTPRGVTSTSFPPHLPIGNSGKDNLQLSVSKATAVPPSVAPVPAIDHSKVITTNVPKVPPPMQKLESLEQLKEELRKKQELLDQKRNDFRRQLDKLEKQATGVKGEVTAEPASKRHKTGIPASDIVKAATPRSADPGSASPHAEMVDKNKTVENVVSSSSKTCVMMALQDSAGSKPSIHPLAPVGPPFLMNKYKLDNRPTAFKIISPLPAGLANVATLKEYFSSYGDLSAVELEDEPSDYDGVGSETLKNCSACVTFTTRRSAERAFLNGKCWQGKNLKFAWLTSSTSSNEPGSGQNSFAPNCLVDTDQSVEKLACLDSQEASASGNGGPENSEINNGTGPIELHEALQCHSASILGEAESPKCEPSPTSISHEKESTKKDPSQTAMSEKETPEGIVW >Potri.010G049000.1.v4.1 pep chromosome:Pop_tri_v4:10:8088905:8090482:1 gene:Potri.010G049000.v4.1 transcript:Potri.010G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049000.v4.1 MSWHPETNPHFIKTPLQQREGQPSQTPPSHGEPSSGRQDQQNQPPQGPLVTRLRSKGQGSKKNGARVVKTEHEGQLRSPWIIPAQQHPGHHPDSHFPLHDNQVQSPPTQTPQHQDHPHFPSHNVTFQSPWVPPLPRGPHPQPHGPKHDSEPHVPPRNHDQDRGPSPRVTLPQHQERNPRQPPGLVDRPAQFSGLPKPGGTKPLIWLGAVFCAILWIVIFLGGLIVLIVYLVYRPRSPRFDVSSASLNMAYIDAGSLLNADLTVLANFTNPNKKVSVDFSYMIIDLYYGSTLIATQYIEPFSAERAESRFVNVHMVTSQVRLPVLESARLQEQISKNGAIFDVKGVFRVRSKLGTLLKYSYRLYGHCTILVTAPPSGVLRATKCRTKR >Potri.017G087000.1.v4.1 pep chromosome:Pop_tri_v4:17:10000532:10002819:1 gene:Potri.017G087000.v4.1 transcript:Potri.017G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G087000.v4.1 MHPPSNQQCKPILTLASTLISMAEACNSMSRLKQIHAHSLLTGLHDHSIILAKMLRFAAVSPSGDLAYAQRLFDQLPHPNTFFYNTLIRGYAKSSIPSYSLHLVNQMRQNGVDPDEFTFNFLIKARSRVRVNINRNLPLVVECDEIHGAVLKLGFSSHLFVRNALIHLYAARGNPVVAWRVFDETVGVDVVSWSGLVLAHVRAGELERARWVFDQMPERDVVSWTTMVSAYSQAKYSREALELYVTMLDKGVRPDEVTLVSVISACTNLGDLQMGYSVHSYIDENGFRWMVSLCNALIDMYAKCGCMDRAWQVFNSMSRKSLVTWNSMISACANNRNPEDAFGLFSRMFNYGVAPDGVTFLAVLTAYAHVGLVDEGYRLFESMQRDHGIEARIEHYGCVVNMLGQAGWLEEAFELITSMPLPSNDVVWGVLLAACRKHGDVYMGERVVKKLLELKLDGGYYTS >Potri.005G088200.1.v4.1 pep chromosome:Pop_tri_v4:5:6155540:6156711:1 gene:Potri.005G088200.v4.1 transcript:Potri.005G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G088200.v4.1 MNNTFQDQVIGIPINSGKFTVRRSPVRYLPGPDGQYPPALKKTKKDSILERMNKLGRKADSFANGVREHVRLGSKITETVKGKLSLGAKILQEGGVEKTFKLLFVVSEDEKLLKVSQSYLSTTAGPLAGLLFISNQKLAFCSERSIKFSSPNGKSVRVHYKVVIPLRKIKRVSQSENVKKPSQKYMQIVTVDDFDFWFMGFFNHKKTFKYLQLAISQISDELQVTLVT >Potri.006G160400.1.v4.1 pep chromosome:Pop_tri_v4:6:14474904:14482609:-1 gene:Potri.006G160400.v4.1 transcript:Potri.006G160400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160400.v4.1 MGESEGSEFPPKKLQQSETADFPAKKLARQLDFTQGVLPELQPSPVVVTPASAQCQPQPQPHPQPQPQVVSIPVAPQQQTQQQPAARAVKPESPKSRPRLNAELKDGTPKKQKQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREETGEGLVFVKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHGNNMAYIQQAANAAITGAIGSSGYASLPVSRKRKGQELFFGQTVKDPSFHRLGHFQQASHIRPAAPSSPLPSNPVARAGNTTTLGSSKITYRSLLADIIQSQDLKELCSVLVVLSGEAAKTLSDQRNSMKKRVEDQRDTFLASSTQERLQSQKEIDADKIVSNDCSSANHEGGLDDSSLDGVDMPKGRPMSPGTMALMCDEQDTIFMAAASPNGLMGHGCDSSSQLPCGQGMTEAHAEQERIVLMKFRDCLNRLITFGEIKETKCSSLARTELGNEKGPPSTGLANTRTRTGSQQGPFSNGVVKVPATAKPPQMVTSIVATSSSDLKKIPSLPQNGDNKLKS >Potri.010G015800.1.v4.1 pep chromosome:Pop_tri_v4:10:2604896:2609264:-1 gene:Potri.010G015800.v4.1 transcript:Potri.010G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G015800.v4.1 MDCIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFTPEKSRNRYLGIWYGKISVQTAVWVANRETPLNDSSGVVRLTNQGLLVLLNRSGSIIWSSNTSTPARNPVAQLLDSGNLVVKEEGDNNMENSLWQSFDYPSNTLLPGMKVGRNIITGTDWHLTSWKSQDDPSSGNVTGALIPDGYPEYAALEDSKVKYRAGPWNGLGFSGLPRLKPNPVYTFEFVFNDKEIFYRENLVNNSTRWRVVLSQSCDFLLLLWMEQTQSWFLYSTANTDNCERYNLCGANGICSIDHSPVCDCLNGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYGNLDIRNGGSGCLLWFNDLIDMRTFTQIEQDIFIRMAASELDNSDSAKVNTKSKVNKRIIVSSVLSTGIVFIGLSLVLYVWKKKQQKNRKMTGNLQRRSNNKDLKEELELPFFNMDELACATNNFSVSNKLGQGGFGPVYKGTLSDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDETRSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVGGYRNRGFRHPDHHLNLIGHAWILFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEASYSSRQSKPPSANVCSVSVLEAR >Potri.010G015800.3.v4.1 pep chromosome:Pop_tri_v4:10:2604896:2609264:-1 gene:Potri.010G015800.v4.1 transcript:Potri.010G015800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G015800.v4.1 MDCIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFTPEKSRNRYLGIWYGKISVQTAVWVANRETPLNDSSGVVRLTNQGLLVLLNRSGSIIWSSNTSTPARNPVAQLLDSGNLVVKEEGDNNMENSLWQSFDYPSNTLLPGMKVGRNIITGTDWHLTSWKSQDDPSSGNVTGALIPDGYPEYAALEDSKVKYRAGPWNGLGFSGLPRLKPNPVYTFEFVFNDKEIFYRENLVNNSTRWRVVLSQSCDFLLLLWMEQTQSWFLYSTANTDNCERYNLCGANGICSIDHSPVCDCLNGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYGNLDIRNGGSGCLLWFNDLIDMRTFTQIEQDIFIRMAASELDNSDSAKVNTKSKVNKRIIVSSVLSTGIVFIGLSLVLYVWKKKQQKNSNLQRRSNNKDLKEELELPFFNMDELACATNNFSVSNKLGQGGFGPVYKGTLSDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDETRSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVGGYRNRGFRHPDHHLNLIGHAWILFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEASYSSRQSKPPSANVCSVSVLEAR >Potri.014G179500.1.v4.1 pep chromosome:Pop_tri_v4:14:13693910:13700916:1 gene:Potri.014G179500.v4.1 transcript:Potri.014G179500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179500.v4.1 MGGVRQRAMTTTLPKLIQSLRKECPKPRIKQLPSLRRAFSLYDQINLIDNVPEDQLRFQGYTDTGFRVNGVEYEGSLLCVGNLLTSWAPSSFSQITPDSLSIFQIVRPIPEILIIGCGRYTQPVDPELRRFIRSTGMKLEAVDSRNAASTYNILNEEGRPVAAALLPYGVSS >Potri.014G126250.1.v4.1 pep chromosome:Pop_tri_v4:14:8480059:8481669:1 gene:Potri.014G126250.v4.1 transcript:Potri.014G126250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126250.v4.1 MALTHSSSATFLLLLSLSVIASAGGYGYDQKPDTPKPNYTYNPKPQPDTSKPKYSYDPKPQPDTSKPKYSYDPKPQPDVVKPDLHKPYYDSNPKPTLPEPKFTEPKPDNGYDSKPYLGQPTIPKPDTAKPNYGYNPKPEVPKPKLTMPKPNHGNDPKPKLIVPKPDTAKPNYGYNPKPEVPKPNYEYVPKPNLLKPKMTVPKPDHGYDPKEKVYEQPKSTTPKPEIITPHDGYAHKPNLPEPKLYIPKPGNDKLDYDYEYSPIGIEGFVLCKQGSNYTPIEGAVIRIACTAVDQYGYKKVPFSCLTEATNAKGYYFKTLPALKLTECKAYLESSPLKACNVPTDMNYGITGAPLSAYHILHDKKIKLYSMRTFFYTSTTPTSTPAGY >Potri.018G124677.1.v4.1 pep chromosome:Pop_tri_v4:18:13648068:13656687:1 gene:Potri.018G124677.v4.1 transcript:Potri.018G124677.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124677.v4.1 MAGNDWINSYLEAILDVGPGLDDKKSSLLLRERGRFSPTRYFVEEVVSGFDETDLYRSWVRAQATRSPQERNTRLENMCWRIWNLARQKKQLEGDLAQRNAKRRLERERGRREAVADMSEDLSEGEKGDTVGDLSAHGDSVRGRLPRINSVDAMEAWVNQQKGKKLYIILISLHGLLRGDNMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNIRNEDFLDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIPEFVDGALNHIIRMSKSLGEQIGGGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELSLDVSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRNVSCYGRFMPRMAIIPPGMEFHHIVPQDGDMDGEIEGNEDHPSSHPSIWIEIMRFFTNSHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVAEKHLWAKCRQNGLKNIHHFSWPEHCKAYLSKIAGCKPRHPQWQKSDDGADTSDTDSPGDSLRDIQDLSLNLRFSLDGEKTGGSGNDSSLGSEGNAADKKSKIENAVLAWSKGVVKDTRKAVDHNSSSGKFPSLRRRKQIFVVAVDFDNFASLAEATRKIFEAVEKERVEGSIGFILSTSLAISEICSFLASGGFSPSDFDAFICNSGSDLYYSTPNPEDGPFVIDFYYHSHIEYRWGGEGLRKTLFRWSSSVIDKKAEDAERIVSSAEQLSTDYCYAFTVKKPGSVPPVKELQKVLRIQALRCHAIYCQNGTRINVIPVLASRSQALRYLYVRWGVELASMVVFVGECGDTDYEGLLGGLHKSVILKGVCSSASSQFHANRSYPLSDIMPLESPNVVQAAEESSAIRSSLEQLGCLKS >Potri.018G124677.2.v4.1 pep chromosome:Pop_tri_v4:18:13647945:13656074:1 gene:Potri.018G124677.v4.1 transcript:Potri.018G124677.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124677.v4.1 MAGNDWINSYLEAILDVGPGLDDKKSSLLLRERGRFSPTRYFVEEVVSGFDETDLYRSWVRAQATRSPQERNTRLENMCWRIWNLARQKKQLEGDLAQRNAKRRLERERGRREAVADMSEDLSEGEKGDTVGDLSAHGDSVRGRLPRINSVDAMEAWVNQQKGKKLYIILISLHGLLRGDNMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNIRNEDFLDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIPEFVDGALNHIIRMSKSLGEQIGGGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELSLDVSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRNVSCYGRFMPRMAIIPPGMEFHHIVPQDGDMDGEIEGNEDHPSSHPSIWIEIMRFFTNSHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVAEKHLWAKCRQNGLKNIHHFSWPEHCKAYLSKIAGCKPRHPQWQKSDDGADTSDTDSPGDSLRDIQDLSLNLRFSLDGEKTGGSGNDSSLGSEGNAADKKSKIENAVLAWSKGVVKDTRKAVDHNSSSGKFPSLRRRKQIFVVAVDFDNFASLAEATRKIFEAVEKERVEGSIGFILSTSLAISEICSFLASGGFSPSDFDAFICNSGSDLYYSTPNPEDGPFVIDFYYHSHIEYRWGGEGLRKTLFRWSSSVIDKKAEDAERIVSSAEQLSTDYCYAFTVKKPGSVPPVKELQKVLRIQALRCHAIYCQNGTRINVIPVLASRSQALRYLYVRWGVELASMVVFVGECGDTDYEGLLGGLHKSVILKGVCSSASSQFHANRSYPLSDIMPLESPNVVQAAEESSAIRSSLEQLGCLKS >Potri.018G124677.3.v4.1 pep chromosome:Pop_tri_v4:18:13649019:13656102:1 gene:Potri.018G124677.v4.1 transcript:Potri.018G124677.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124677.v4.1 MSEDLSEGEKGDTVGDLSAHGDSVRGRLPRINSVDAMEAWVNQQKGKKLYIILISLHGLLRGDNMELGRDSDTGGQVKYVVELARALASMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNIRNEDFLDEMGESSGAYIVRIPFGPKDKYIPKELLWPHIPEFVDGALNHIIRMSKSLGEQIGGGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELSLDVSEIVITSTRQEIEEQWRLYDGFDPILERKLRARIRRNVSCYGRFMPRMAIIPPGMEFHHIVPQDGDMDGEIEGNEDHPSSHPSIWIEIMRFFTNSHKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDGIDEMSSTSASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPMVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVAEKHLWAKCRQNGLKNIHHFSWPEHCKAYLSKIAGCKPRHPQWQKSDDGADTSDTDSPGDSLRDIQDLSLNLRFSLDGEKTGGSGNDSSLGSEGNAADKKSKIENAVLAWSKGVVKDTRKAVDHNSSSGKFPSLRRRKQIFVVAVDFDNFASLAEATRKIFEAVEKERVEGSIGFILSTSLAISEICSFLASGGFSPSDFDAFICNSGSDLYYSTPNPEDGPFVIDFYYHSHIEYRWGGEGLRKTLFRWSSSVIDKKAEDAERIVSSAEQLSTDYCYAFTVKKPGSVPPVKELQKVLRIQALRCHAIYCQNGTRINVIPVLASRSQALRYLYVRWGVELASMVVFVGECGDTDYEGLLGGLHKSVILKGVCSSASSQFHANRSYPLSDIMPLESPNVVQAAEESSAIRSSLEQLGCLKS >Potri.001G361800.13.v4.1 pep chromosome:Pop_tri_v4:1:38027828:38031945:1 gene:Potri.001G361800.v4.1 transcript:Potri.001G361800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361800.v4.1 MAVCSVYPLNPNLLSHSLALSLSHHSHAYWSVESVSRIRRNGRKGSMTVRVLSTHSNAKILNPKRKSRYGHTFSLYDTDIGLDDWLLDDEFAEPVDFEVDGKKRVNSQKKTSTREGGQRLFNSRTSKNTREKSMVGNFYGTKTRTKEADSSDINGQGKLMTGKSTEDRYPKLSEEIDLDEKWLPLLDYLSTFGLKESHFIQIYERHMPSLQINVCSARERLEYLQSIGVKHRDIKRILLRQPQILEYTVESNLKSHTAFLIGLGIPNSRIGQIIAAAPSLFSYSVENSLKPTVRYMVEEVGIDEKNIGKVVQLSPQILVQRIDVSWNTRYLFLSRELGASRDSVVKMVTKHPQLLHYSIDDGFIPRINFLRSIGMHNGDILKVLTSLTQVLSLSLEDNLKPKYKYLINELRNEVQSLTKYPTYLSLSLDQRIRPRHRFLVALKKAPKGPFPLSSFVPTDESFCQQWAGTSVDKYLAFRQQLLLKEFAKKYEKRG >Potri.001G361800.11.v4.1 pep chromosome:Pop_tri_v4:1:38027828:38031960:1 gene:Potri.001G361800.v4.1 transcript:Potri.001G361800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361800.v4.1 MAVCSVYPLNPNLLSHSLALSLSHHSHAYWSVESVSRIRRNGRKGSMTVRVLSTHSNAKILNPKRKSRYGHTFSLYDTDIGLDDWLLDDEFAEPVDFEVDGKKRVNSQKKTSTREGGQRLFNSRTSKNTREKSMVGNFYGTKTRTKEADSSDINGQGKLMTGKSTEDRYPKLSEEIDLDEKWLPLLDYLSTFGLKESHFIQIYERHMPSLQINVCSARERLEYLQSIGVKHRDIKRILLRQPQILEYTVESNLKSHTAFLIGLGIPNSRIGQIIAAAPSLFSYSVENSLKPTVRYMVEEVGIDEKNIGKVVQLSPQILVQRIDVSWNTRYLFLSRELGASRDSVVKMVTKHPQLLHYSIDDGFIPRINFLRSIGMHNGDILKVLTSLTQVLSLSLEDNLKPKYKYLINELRNEVQSLTKYPTYLSLSLDQRIRPRHRFLVALKKAPKGPFPLSSFVPTDESFCQQWAGTSVDKYLAFRQQLLLKEFAKKYEKRG >Potri.001G361800.12.v4.1 pep chromosome:Pop_tri_v4:1:38027828:38031945:1 gene:Potri.001G361800.v4.1 transcript:Potri.001G361800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361800.v4.1 MAVCSVYPLNPNLLSHSLALSLSHHSHAYWSVESVSRIRRNGRKGSMTVRVLSTHSNAKILNPKRKSRYGHTFSLYDTDIGLDDWLLDDEFAEPVDFEVDGKKRVNSQKKTSTREGGQRLFNSRTSKNTREKSMVGNFYGTKTRTKEADSSDINGQGKLMTGKSTEDRYPKLSEEIDLDEKWLPLLDYLSTFGLKESHFIQIYERHMPSLQINVCSARERLEYLQSIGVKHRDIKRILLRQPQILEYTVESNLKSHTAFLIGLGIPNSRIGQIIAAAPSLFSYSVENSLKPTVRYMVEEVGIDEKNIGKVVQLSPQILVQRIDVSWNTRYLFLSRELGASRDSVVKMVTKHPQLLHYSIDDGFIPRINFLRSIGMHNGDILKVLTSLTQVLSLSLEDNLKPKYKYLINELRNEVQSLTKYPTYLSLSLDQRIRPRHRFLVALKKAPKGPFPLSSFVPTDESFCQQWAGTSVDKYLAFRQQLLLKEFAKKYEKRG >Potri.008G160101.2.v4.1 pep chromosome:Pop_tri_v4:8:11001859:11004333:-1 gene:Potri.008G160101.v4.1 transcript:Potri.008G160101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160101.v4.1 MSSLGTSKGILEIAKFGVYVTVPIVLMYSFANNTNNLQKFMGNRSYIVFPSNTVRPPSPEEMRERARALGRRKDIH >Potri.014G083200.1.v4.1 pep chromosome:Pop_tri_v4:14:5335563:5337593:-1 gene:Potri.014G083200.v4.1 transcript:Potri.014G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083200.v4.1 MEENQMSLICGLPDDIALSCLARVPRKYHAVLKCVSKRWREFVCSDELYDYRRMHSLSETWIYALCCDKYGKIWFYVVDPNESQRRWKCVPGLPARALNKMGMGFEVLGKKVYLLGGGGWLEATNEAFCYDVSRNSWTQVASLSTARYDSACQVYDGKIYAIGGLASTSNDPYSWDIFYPRTNSWEFHSNDCAVPEVEDCVVLDGKIYIRCQASASTMSSPFYAVVYEPSSGMWQRADADMVSGWQGPAIVVDGTLYVLDQSSGTKLMMWQKDKREWVVVKRLSTLLTKPPCQLAAIGKKLFIVGRGLSTVVLDTSQTGSVEGAMVGTSIPGLITADKVLNCKCQTL >Potri.004G185200.2.v4.1 pep chromosome:Pop_tri_v4:4:19865389:19871481:-1 gene:Potri.004G185200.v4.1 transcript:Potri.004G185200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185200.v4.1 MAMASPANKKKPKSNQTPESQFSYNFNFYSKSKDLHSAISLYDTAISQNTRLNQHNFNTLLYLCSISLNDPSTKDLSLQYGFRVFDHMVSNGIKPNEASITAVARLAAAKGDGDYAFDLVKNIGVYNELPRLRTYDPALFCYCENLEGDKAYEVEEHMGRMGVGLEEGEIAALLKVSVETRREERVYEYLQKLRKMVRCVREETAKVIEHWFEVFEGNGVELDVGLVREAVSRNGGGWHGLGWIGKEKWVVRRGSVDAGGKCCCCGGQLVSVDIDDDETERFAESVAGLAMEREVKANFSEFQNWLEKHANYEAIMDGANIGLYQQNFAEGGFSISQLDAVIKDLYNQSGKKRPLVILHNKRLRALLQNPSTRELIQEWIEKDVLYTTPHGSNDDWYWLYAAVKLRCWLVTNDEMRDHIFELLGSDFFVKWKERHQVRYTFVKGNLELQMPPLFSIVIQESENGSWHVPVAGDGNDSPQSWLCVSRPRSCDALKEASCMEESKDSNDSRCNSKLPTFGRPESLASCKHKLHSRDSFQESDDKITALTGKRKERSPSPS >Potri.013G042700.1.v4.1 pep chromosome:Pop_tri_v4:13:2944266:2945296:1 gene:Potri.013G042700.v4.1 transcript:Potri.013G042700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042700.v4.1 MSATTKAKKPKSPRAYPSFHVMISDAILTLKERTGSSQYAITKFLEEKHKKKLPANFRKLLLVQLKKLVASQKLVKVKNSFKLPSARPAPAKKPQATKAKTAKSKLKKITTTAKPKPRKIATPAKPKPKPRKIATPAKPKPKPKANVAAKPKVKTPVKAKPAAKPKKAIAKPTKVARTKKVASPGKKAVAVKLKSVKRKAAKK >Potri.004G212800.2.v4.1 pep chromosome:Pop_tri_v4:4:21949447:21952141:-1 gene:Potri.004G212800.v4.1 transcript:Potri.004G212800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212800.v4.1 MGKLAIQLLFLITFFLVLVSHILPITSQELEDETEFDYNPYSERGPAHWGRIHPEWVACSNGSMQSPIDLLNERVDIVSYLGRLNRSYKPGNATLRNRGHDIMLKWESGAGTIEINGTEYVLKQCHWHSPSEHTIDGKRFALEAHMVHESLDGKVAVVGILYKIGRPDSFLSSLTEQLESVAGTNERDTVVGVVDPRNIEIGSRKYYRYLGSLTTPPCTENVLWTIVKKVRTATREQVRLLRVAVHDDSDTNARPLQPLNGRSVKLFVPEDKHD >Potri.002G256400.4.v4.1 pep chromosome:Pop_tri_v4:2:24526912:24528319:-1 gene:Potri.002G256400.v4.1 transcript:Potri.002G256400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256400.v4.1 MATLQSLALASPVAQHLQHQTRSFSGSGSWAVNKSSYSLFNGQSLCLPRLRLPLIRRKLRTSGLVTMMVKPAIQFVQGADEQTVPDVRLTKSRDGTNGMAIFTFDQPSVFDSSAEVGDITGFYMIDEEGILQSVDVNAKFVNGKPERIEAKYIMRTPREWDRFMRFMERYANANGLQFVKK >Potri.005G062400.1.v4.1 pep chromosome:Pop_tri_v4:5:3963592:3964550:-1 gene:Potri.005G062400.v4.1 transcript:Potri.005G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062400.v4.1 MAIWIKYSSCVNFKPQENHQESQRHMIIGQPLHEEDSMLRVLFSLYKFYFLGNLTGGSMFTTRPVKINSKETCIPITSSLVDDHDQEFKEILTVMGVPGRKQSKILRKMASLARSKGTFSGVFMEVELLVGTYQEITEADIARAERGSMDIEAGQIPATKSSIDALERVVFDGSSSTRDCTVCMEGIEAGSEATRMPCSHVYHSDCIVQWLRTSYSCPLCRYHMPGEL >Potri.008G017400.1.v4.1 pep chromosome:Pop_tri_v4:8:861604:869193:1 gene:Potri.008G017400.v4.1 transcript:Potri.008G017400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017400.v4.1 MDILPLSTIPHFPHCPPPFSSNTTTTTTTASISFSLKPPPPPPPEPTNSSSIRRPKSLNPTPAPTPTPTPTPAPTTPKIPQNPLKTLLNPSKPQITSSSATSTTDPLSLSTKLRLSSKLSHPPPPPPPLETTRTPEAETQETRKVENEAPKTDFCQKGKIFIGNLPNWIKKHELSEFFRQFGPIKNVVLINGHNETERNAGFGFIIYDGPTAEKSAMKAEEFDGMEFHGRVLTVKLDDGRRLKAKAEERKNWVDGEDGGDYRSKWHEEREGSTKAFRKVLDTQPENWQAVVSAFERIKKPARREFGLMVGYYARRGDMHRARQTFESMRARGIYPSSHVYTSLIHAYAVGRDMEEALSCVRKMKEEGVEMSLVTYSIVVGGFAKIGNAEAAEHWFKEAKERHTNLNAIIYGSIIYAYCQSCNMDRAESLVREMEEEGIDAPIDIYHTMMNGYTMIGNEEKCLIVFKRLKECGFAPSVISYGCLINMYTKIGKVSKALEVSKMMESAGIKHNMKTYSMLINGFLKLKDWTNAFTVFEDVIEDGLKPDVVLYNNIIKAFCGMGNMDRAIHMVKEMQKKRHRPTSRTFMPIIHGFARAGEMRRALEIFDMMRRSGCIPTVHTFNALVLGLVEKRQMEKAVEILDEMALAGVSPDEHTYTTIMNGYAALGDTGKAFEYFTKLRNEGLELDVFTYEALLKACCKSGRMQSALAVTREMSAQNIPRNTFVYNILIDGWARRGDVWEAADLMQQMKQEGVQPDIHTYTSFINACCKAGDMLRATKTIQEMEALGVKPNVKTYTTLIHGWACASLPEKALRCFEEMKLAGLKPDKAVYHCLMTSLLSRATVAEAYIYSGILSICREMIESELTVDMGTAVYWSKCLRKIEGTGGELTEALQKTFPPDWNAHHSPSPEANYESGIESDIDDEPSNDGDNNMFLAGVNDGDSEDDIDDHDENKDFKQRLWV >Potri.001G211500.1.v4.1 pep chromosome:Pop_tri_v4:1:21606486:21608118:1 gene:Potri.001G211500.v4.1 transcript:Potri.001G211500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211500.v4.1 METPYPESISPGKTRIGWIGIGVMGAAMASRLLSAGYFITIYARSPSKALPLQSEGAQLVDSPYKVAKCSDVVFTMVGHPSDVRSVVLDTNHGILAGLNPGGVIVDSTTSSPAQAREIFQAAKAKGCHSIDSPVSGGDIGARDGKLAIFAGGDQVVVNWLSPLYEVLGKVTYMGQAGCGQSCKIANQIVVGANLLGLSEGLVFADKAGLDARKFLDAVRTGAAGSMVMELFGGRMIDRDFKAGGFAEYMVKDMGMGVDVVEESEDERVPVLPGAALGKQLFAGMVANGDGNLGTQALITVIERLNGK >Potri.012G133800.3.v4.1 pep chromosome:Pop_tri_v4:12:14903387:14910862:-1 gene:Potri.012G133800.v4.1 transcript:Potri.012G133800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133800.v4.1 MASTQSSNALSSSLPKIHILIVDDDSTSLSVVSATLKTVSYKDNRNLELIVVTVKKPFDALSILRLKKGLFDLVVSDLHMPEMNGMELQKQVEEEFKLPVIIMSSDESKNVISRSLEGGAAFYIVKPANKVDLKNVWHYAVAIKTGNSLSIKEIEGSREPSYSSTLVERLSLEDVNSATSINDEKRYRKNGRKEERKSTKDDQEVDSQPASKKPKKYRIFLKKVAERGGCSSKNLSGRDDLRTNFAPSQPFMMFKNVQQEYSQRVTRASIQPGLGGNTIPALGGSSFRNLHSPNQQAPSNNSILQSPYGQSRLFGNANSANQANQIRSGLESNYSIGENMPSYGGVIPNGLTHGTRPMQMYHPQNQARSYLQNFGSPPLINRFGAVGIQATNYGSGVGDIGIINNSLNTNNNYVGIRVTTDGRLIGSGQMQLNTNELSNGFSDGGHPCLMNWARNGNMNVASIGFNIASENYVARRGSFSAGFEGANQFFPPTPFTSVYHGNSTPMLLPPPPPQHQLGLGNGGQNDFVFGLMNNSPPILGGNSNQQPQIGEGEPNVSDMLLEPTNNPPAYQLPQGVAQMSTPLHELLTPDFLNSLHIDDNTPRNEQPSSQVQSGEGAMNPICGSDANSMDGYFPNFDQQNGNGDLVDSELNNPQPVDNGPTGNQASCKYLRRRCPSDCIFSPYFPSNNPQRFACVHRIYGASNVAKMLQQLPAHLRAEAANSLYYEAQSRTQDPVYGCVGIISLLHQQIHGVESQLAKTKAEIAVLNSLAQEPAQIQENEVEASFNHFLLEQDNIASGQYFSHSTCIPSNFM >Potri.002G035800.2.v4.1 pep chromosome:Pop_tri_v4:2:2353464:2361633:-1 gene:Potri.002G035800.v4.1 transcript:Potri.002G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035800.v4.1 MDIDRVAGEEVCCLDAELLQLPEVSSFVLKENPQLAEDLFSQWISLSDTSRLVKTLIDDAKAGNPINIPGSSTNASASGVNSLPSMFPAGSAPPISPRSSSGSPCTSKQKTSPSSLGSPLKLVREPVREVIPQFYFQNGRPAASELKENCLSRINHLFRNDLDGLLIDEFKSVTKIVCKLPSFFSYALFRKIDVDCTGIVTRDAFVKYWVDSNMLTLDVATQIFNILKQPDCKYLTQSDFKPILRELLATHSGLEFLQNTPEFQERYAETVIYRIFYYINRSGNGCLTLRELKRGNLIDAMHHADEEDDINKVIRYFSYEHFYVIYCKFWELDTDHDFLIDKENLVRYGNHALTYRIVDRIFSQVPRKFTSNVEGKMCYEDFVYFMLSEEDKSSEPSLEYWFKCLDLDGNGVLTPIELQYFYEEQLHRIECMAQEPVLFEDILCQIVDMIAPEREDYITLRDLKGCKLSGNVFNILFNLNKFVAFESRDPFVIRQEREEPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESFEAPF >Potri.002G035800.1.v4.1 pep chromosome:Pop_tri_v4:2:2353536:2361586:-1 gene:Potri.002G035800.v4.1 transcript:Potri.002G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035800.v4.1 MDIDRVAGEEVCCLDAELLQLPEVSSFVLKENPQLAEDLFSQWISLSDTSRLVKTLIDDAKAGNPINIPGSSTNASASGVNSLPSMFPAGSAPPISPRSSSGSPCTSKQKTSPSSLGSPLKLVREPVREVIPQFYFQNGRPAASELKENCLSRINHLFRNDLDGLLIDEFKSVTKIVCKLPSFFSYALFRKIDVDCTGIVTRDAFVKYWVDSNMLTLDVATQIFNILKQPDCKYLTQSDFKPILRELLATHSGLEFLQNTPEFQERYAETVIYRIFYYINRSGNGCLTLRELKRGNLIDAMHHADEEDDINKVIRYFSYEHFYVIYCKFWELDTDHDFLIDKENLVRYGNHALTYRIVDRIFSQVPRKFTSNVEGKMCYEDFVYFMLSEEDKSSEPSLEYWFKCLDLDGNGVLTPIELQYFYEEQLHRIECMAQEPVLFEDILCQIVDMIAPEREDYITLRDLKGCKLSGNVFNILFNLNKFVAFESRDPFVIRQEREEPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESFEAPF >Potri.002G102900.1.v4.1 pep chromosome:Pop_tri_v4:2:7528612:7530081:-1 gene:Potri.002G102900.v4.1 transcript:Potri.002G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102900.v4.1 MEFDEHEDQEEEMTGMAVMPPGYDSISNSVAARSKIGPAGGGGEGASTTTANTNTRKSSIRYRECQKNHAVGIGGHALDGCGEFMAAGDEGTLDALKCAACNCHRNFHRKESGGGGGEVILYHGHHHQQQPQFSPYYRAPPPTGYLHHLTPTPQSRPLALPAASGGGAAAAAGYSREEEDVSNPSSSGGGGGGGGSSSKKRHRTKFTQEQKEKMLAFAESLGWRIQKHDEAAVEQFCAETGVKRHVLKVWMHNNKHTLGKKP >Potri.010G099200.7.v4.1 pep chromosome:Pop_tri_v4:10:12236090:12242303:-1 gene:Potri.010G099200.v4.1 transcript:Potri.010G099200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099200.v4.1 MAASSSSAPFFGIREEEQNQQMKQQHSSTPTSSSAQAPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPTNLNTIGSHLYGSSNMTLGLSQVGTQISSLQDHNNQSTDILRLGGGGARTGQFDHLLPSSIGSSSFRPPQQMPSPAFFMQEPNQNYHDENQSQQDLLQNKPFHHGLMQFADIHNTTGNPPSAGNLFNLSFLSNSSTASSISNSNNANNSNSNLPTSGLLMPSHFNNQNGVGGGEGSNIFSNNVMGNQMTSGVPSLYSSSVQNDNMVSHMSATALLQKAAQMGSSSSNNSASLLRSFGSSSSSGNKSDRQLVGGNFSGMFSENENNLHDLMNSFAPGNSSMFGSGHAQENPYGGYTASRTSLEQEKQHHGPNFGNTSMDEAKLHQSLNASIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQPQRQHHGMDMGGSSLDSERHNTNISAAPTSQPFGGNGSFQ >Potri.010G099200.1.v4.1 pep chromosome:Pop_tri_v4:10:12236083:12242158:-1 gene:Potri.010G099200.v4.1 transcript:Potri.010G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099200.v4.1 MAASSSSAPFFGIREEEQNQQMKQQHSSTPTSSSAQAPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPTNLNTIGSHLYGSSNMTLGLSQVGTQISSLQDHNNQSTDILRLGGGGARTGQFDHLLPSSIGSSSFRPPQQMPSPAFFMQEPNQNYHDENQSQQDLLQNKPFHHGLMQFADIHNTTGNPPSAGNLFNLSFLSNSSTASSISNSNNANNSNSNLPTSGLLMPSHFNNQNGVGGGEGSNIFSNNVMGNQMTSGVPSLYSSSVQNDNMVSHMSATALLQKAAQMGSSSSNNSASLLRSFGSSSSSGNKSDRQLVGGNFSGMFSENENNLHDLMNSFAPGNSSMFGSGHAQENPYGGYTASRTSLEQEKQHHGPNFGNTSMDEAKLHQSLNASIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQPQRQHHGMDMGGSSLDSERHNTNISAAPTSQPFGGNGSFQ >Potri.010G099200.9.v4.1 pep chromosome:Pop_tri_v4:10:12236021:12242279:-1 gene:Potri.010G099200.v4.1 transcript:Potri.010G099200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099200.v4.1 MAASSSSAPFFGIREEEQNQQMKQQHSSTPTSSSAQAPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPTNLNTIGSHLYGSSNMTLGLSQVGTQISSLQDHNNQSTDILRLGGGGARTGQFDHLLPSSIGSSSFRPPQQMPSPAFFMQEPNQNYHDENQSQQDLLQNKPFHHGLMQFADIHNTTGNPPSAGNLFNLSFLSNSSTASSISNSNNANNSNSNLPTSGLLMPSHFNNQNGVGGGEGSNIFSNNVMGNQMTSGVPSLYSSSVQNDNMVSHMSATALLQKAAQMGSSSSNNSASLLRSFGSSSSSGNKSDRQLVGGNFSGMFSENENNLHDLMNSFAPGNSSMFGSGHAQENPYGGYTASRTSLEQEKQHHGPNFGNTSMDEAKLHQSLNASIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQPQRQHHGMDMGGSSLDSERHNTNISAAPTSQPFGGNGSFQ >Potri.010G099200.2.v4.1 pep chromosome:Pop_tri_v4:10:12235615:12242233:-1 gene:Potri.010G099200.v4.1 transcript:Potri.010G099200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099200.v4.1 MAASSSSAPFFGIREEEQNQQMKQQHSSTPTSSSAQAPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPTNLNTIGSHLYGSSNMTLGLSQVGTQISSLQDHNNQSTDILRLGGGGARTGQFDHLLPSSIGSSSFRPPQQMPSPAFFMQEPNQNYHDENQSQQDLLQNKPFHHGLMQFADIHNTTGNPPSAGNLFNLSFLSNSSTASSISNSNNANNSNSNLPTSGLLMPSHFNNQNGVGGGEGSNIFSNNVMGNQMTSGVPSLYSSSVQNDNMVSHMSATALLQKAAQMGSSSSNNSASLLRSFGSSSSSGNKSDRQLVGGNFSGMFSENENNLHDLMNSFAPGNSSMFGSGHAQENPYGGYTASRTSLEQEKQHHGPNFGNTSMDEAKLHQSLNASIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQPQRQHHGMDMGGSSLDSERHNTNISAAPTSQPFGGNGSFQ >Potri.010G099200.8.v4.1 pep chromosome:Pop_tri_v4:10:12236030:12242303:-1 gene:Potri.010G099200.v4.1 transcript:Potri.010G099200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099200.v4.1 MAASSSSAPFFGIREEEQNQQMKQQHSSTPTSSSAQAPPPQKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHEPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPTNLNTIGSHLYGSSNMTLGLSQVGTQISSLQDHNNQSTDILRLGGGGARTGQFDHLLPSSIGSSSFRPPQQMPSPAFFMQEPNQNYHDENQSQQDLLQNKPFHHGLMQFADIHNTTGNPPSAGNLFNLSFLSNSSTASSISNSNNANNSNSNLPTSGLLMPSHFNNQNGVGGGEGSNIFSNNVMGNQMTSGVPSLYSSSVQNDNMVSHMSATALLQKAAQMGSSSSNNSASLLRSFGSSSSSGNKSDRQLVGGNFSGMFSENENNLHDLMNSFAPGNSSMFGSGHAQENPYGGYTASRTSLEQEKQHHGPNFGNTSMDEAKLHQSLNASIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQPQRQHHGMDMGGSSLDSERHNTNISAAPTSQPFGGNGSFQ >Potri.007G105000.1.v4.1 pep chromosome:Pop_tri_v4:7:12827935:12829176:1 gene:Potri.007G105000.v4.1 transcript:Potri.007G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105000.v4.1 MGDHGCSGNLPPGFVFSPTDEELVLHFLHRKASLLPCNPDIIPDLGLYPHDPWQLEGKALSSGNQWFYFSQVMENQVTENGFWKSLDTEEPIFSSAGKKVGLKKYLVYCIGAEGVETSWMMQEYHLCNSKLSGTSYKRKKKLDCHQWILCRVYEREGSCIQNVGNTTDDDDGTELSCLDEMFLSMDDDLDDISFPN >Potri.004G155700.1.v4.1 pep chromosome:Pop_tri_v4:4:17668136:17671045:1 gene:Potri.004G155700.v4.1 transcript:Potri.004G155700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155700.v4.1 MVNTLSLYKPLLYGLMKVAGMRRQVVEIESGTVINFWVPSDETTAKRKSAVVFLHGFGFDGILTWQFQVLALANKYAVYVPDFLFFGDSITDKSERSPAFQAECMAKGLRKHGVEKCTLVGLSYGGMVGFKMAEMYPNLVDSMVITCSVMALTKSISRAGLQRIGFSSWAEYLIPETVKGVKTLLDVAFYKLPWMPNFIYKDILEDMCFDHRKERHELLEELIVEDKNFTAPRFTQRIHLLWGGDDIIFDMKECRNLIDLLQGKATLHCIERAGHLAELERPFVYNRQLKKILASLYEDGKEN >Potri.004G155700.4.v4.1 pep chromosome:Pop_tri_v4:4:17668136:17671045:1 gene:Potri.004G155700.v4.1 transcript:Potri.004G155700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155700.v4.1 MVNTLSLYKPLLYGLMKVAGMRRQVVEIESGTVINFWVPSDETTAKRKSAVVFLHGFGFDGILTWQFQVLALANKYAVYVPDFLFFGDSITDKSERSPAFQAECMAKGLRKHGVEKCTLVGLSYGGMVGFKMAEMYPNLVDSMVITCSVMALTKSISRAGLQRIGFSSWAEYLIPETVKGVKTLLDVAFYKLPWMPNFIYKDILEDMCFDHRKERHELLEELIVEDKNFTAPRFTQFNVGVRASLRAPRLISRALKLTTM >Potri.014G053700.9.v4.1 pep chromosome:Pop_tri_v4:14:3443113:3445751:1 gene:Potri.014G053700.v4.1 transcript:Potri.014G053700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053700.v4.1 MEENELSNSMNDNEKPCKDIAIEIPEDLETTFRREECIYKAPAALCDSNRASYTPRVISIGPFHHDSESEKLRPMEIQKQRYLKEFCKRLRGETKEQVRESLNVLSSTIEDEKDKIKHCYADNTFHQFSRNQFVKMILFDAVFIFELFLKNEEDIRGNKRYQHDFIIGKPWLRAAIQRDLILLENQLPFFILEKLYSLAIEETNPDYRSFLDLSCRYFEKYGKNKTKPDETKPYEVLHFTDLVRHFLSLKHPQLESPDGKQIKNLYSATMLHQAGIKFKALSDHFTDLVRHFLSFKHPQLESPDGKQIKNLYSATMLHRAGIKFKALPDACLLDIRAWKENENPVKKGELHMPPLEIDNSTECLFRNLMALEQCRYPTEEFICRYVKLLDFLVDDKKDVDLLIENKVIVSRLGDSKAVAELINKLCLEIVEVTSGYDALSQLLNDYYESSWNKNKAYLVSVYFHNVWIGTGTVIGLIILAITVTRFILFFFR >Potri.014G053700.8.v4.1 pep chromosome:Pop_tri_v4:14:3443113:3445836:1 gene:Potri.014G053700.v4.1 transcript:Potri.014G053700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053700.v4.1 MEENELSNSMNDNEKPCKDIAIEIPEDLETTFRREECIYKAPAALCDSNRASYTPRVISIGPFHHDSESEKLRPMEIQKQRYLKEFCKRLRGETKEQVRESLNVLSSTIEDEKDKIKHCYADNTFHQFSRNQFVKMILFDAVFIFELFLKNEEDIRGNKRYQHDFIIGKPWLRAAIQRDLILLENQLPFFILEKLYSLAIEETNPDYRSFLDLSCRYFEKYGKNKTKPDETKPYEVLHFTDLVRHFLSLKHPQLESPDGKQIKNLYSATMLHQAGIKFKALSDHFTDLVRHFLSFKHPQLESPDGKQIKNLYSATMLHRAGIKFKALPDACLLDIRAWKENENPVKKGELHMPPLEIDNSTECLFRNLMALEQCRYPTEEFICRYVKLLDFLVDDKKDVDLLIENKVIVSRLGDSKAVAELINKLCLEIVEVTSGYDALSQLLNDYYESSWNKNKAYLVSVYFHNVWIGTGTVIGLIILAITVTRFILFFFR >Potri.014G053700.7.v4.1 pep chromosome:Pop_tri_v4:14:3443116:3445836:1 gene:Potri.014G053700.v4.1 transcript:Potri.014G053700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053700.v4.1 MEENELSNSMNDNEKPCKDIAIEIPEDLETTFRREECIYKAPAALCDSNRASYTPRVISIGPFHHDSESEKLRPMEIQKQRYLKEFCKRLRGETKEQVRESLNVLSSTIEDEKDKIKHCYADNTFHQFSRNQFVKMILFDAVFIFELFLKNEEDIRGNKRYQHDFIIGKPWLRAAIQRDLILLENQLPFFILEKLYSLAIEETNPDYRSFLDLSCRYFEKYGKNKTKPDETKPYEVLHFTDLVRHFLSLKHPQLESPDGKQIKNLYSATMLHQAGIKFKALSDHFTDLVRHFLSFKHPQLESPDGKQIKNLYSATMLHRAGIKFKALPDACLLDIRAWKENENPVKKGELHMPPLEIDNSTECLFRNLMALEQCRYPTEEFICRYVKLLDFLVDDKKDVDLLIENKVIVSRLGDSKAVAELINKLCLEIVEVTSGYDALSQLLNDYYESSWNKNKAYLVSVYFHNVWIGTGTVIGLIILAITVTRFILFFFR >Potri.014G053700.10.v4.1 pep chromosome:Pop_tri_v4:14:3443113:3445744:1 gene:Potri.014G053700.v4.1 transcript:Potri.014G053700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053700.v4.1 MEENELSNSMNDNEKPCKDIAIEIPEDLETTFRREECIYKAPAALCDSNRASYTPRVISIGPFHHDSESEKLRPMEIQKQRYLKEFCKRLRGETKEQVRESLNVLSSTIEDEKDKIKHCYADNTFHQFSRNQFVKMILFDAVFIFELFLKNEEDIRGNKRYQHDFIIGKPWLRAAIQRDLILLENQLPFFILEKLYSLAIEETNPDYRSFLDLSCRYFEKYGKNKTKPDETKPYEVLHFTDLVRHFLSLKHPQLESPDGKQIKNLYSATMLHQAGIKFKALSDHFTDLVRHFLSFKHPQLESPDGKQIKNLYSATMLHRAGIKFKALPDACLLDIRAWKENENPVKKGELHMPPLEIDNSTECLFRNLMALEQCRYPTEEFICRYVKLLDFLVDDKKDVDLLIENKVIVSRLGDSKAVAELINKLCLEIVEVTSGYDALSQLLNDYYESSWNKNKAYLVSVYFHNVWIGTGTVIGLIILAITVTRFILFFFR >Potri.014G137400.1.v4.1 pep chromosome:Pop_tri_v4:14:9346386:9349770:-1 gene:Potri.014G137400.v4.1 transcript:Potri.014G137400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137400.v4.1 MTIVSYAGITRPSFLLAHPELPVLVYCKLQLNSTSALRIPSNDMKRTEVTRFRCYVAERTFESTSVGSTLSNTGNVRLGRKQRSSSSLYSRPSLLEMKNEKIANRAKVYEFLRGIGIVPDELDGLELPVTTEVMRERVDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLDPVVKYLQGMDIKPNDIPRVLERYPEILGFKLEGTMSTSVAYLVGIGLARREVGGVLTRYPEILGMRVGRVIKPFVEYLESLGIPRLAVARLIEKRPHILGFGLEEQVKPNVGSLLEFNVRKSSLPSVVAQYPEIIGIELKEKLLGQQCLLHSVIDLGPEDFGRVVEKMPQVVSLSRLPIVKHVDFLKDCGFSLQQVRAMVVGCPQLLALNLDIMKHSFDYFQVEMERPLDDLVTFPAFFTYGLESTIKPRHKRVAKKGMKCSLSWLLNCSDEKFEQRMEYDTIDMEEMEMPSFDMNTLTEPRSEDSASDYDDSDDEHI >Potri.014G137400.4.v4.1 pep chromosome:Pop_tri_v4:14:9346443:9349770:-1 gene:Potri.014G137400.v4.1 transcript:Potri.014G137400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137400.v4.1 MTIVSYAGITRPSFLLAHPELPVLVYCKLQLNSTSALRIPSNDMKRTEVTRFRCYVAERTFESTSVGSTLSNTGNVRLGRKQRSSSSLYSRPSLLEMKNEKIANRAKVYEFLRGIGIVPDELDGLELPVTTEVMRERVDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLDPVVKYLQGMDIKPNDIPRVLERYPEILGFKLEGTMSTSVAYLVGIGLARREVGGVLTRYPEILGMRVGRVIKPFVEYLESLGIPRLAVARLIEKRPHILGFGLEEQVKPNVGSLLEFNVRKSSLPSVVAQYPEIIGIELKEKLLGQQCLLHSVIDLGPEDFGRVVEKMPQVVSLSRLPIVKHVDFLKDCGFSLQQVRAMVVGCPQLLALNLDIMKHSFDYFQVEMERPLDDLVTFPAFFTYGLESTIKPRHKRVAKKGMKCSLSWLLNCSDEKFEQRMEYDTIDMEEMEMPSFDMNTLTEPRSEDSASDYDDSDDEHI >Potri.014G137400.3.v4.1 pep chromosome:Pop_tri_v4:14:9345877:9349770:-1 gene:Potri.014G137400.v4.1 transcript:Potri.014G137400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137400.v4.1 MTIVSYAGITRPSFLLAHPELPVLVYCKLQLNSTSALRIPSNDMKRTEVTRFRCYVAERTFESTSVGSTLSNTGNVRLGRKQRSSSSLYSRPSLLEMKNEKIANRAKVYEFLRGIGIVPDELDGLELPVTTEVMRERVDFLHKLGLTIEDINNYPLVLGCSVKKNMIPVLDYLGKLGVRKSTFTEFLRRYPQVLHASVVVDLDPVVKYLQGMDIKPNDIPRVLERYPEILGFKLEGTMSTSVAYLVGIGLARREVGGVLTRYPEILGMRVGRVIKPFVEYLESLGIPRLAVARLIEKRPHILGFGLEEQVKPNVGSLLEFNVRKSSLPSVVAQYPEIIGIELKEKLLGQQCLLHSVIDLGPEDFGRVVEKMPQVVSLSRLPIVKHVDFLKDCGFSLQQVRAMVVGCPQLLALNLDIMKHSFDYFQVEMERPLDDLVTFPAFFTYGLESTIKPRHKRVAKKGMKCSLSWLLNCSDEKFEQRMEYDTIDMEEMEMPSFDMNTLTEPRSEDSASDYDDSDDEHI >Potri.012G134051.1.v4.1 pep chromosome:Pop_tri_v4:12:14933189:14933454:-1 gene:Potri.012G134051.v4.1 transcript:Potri.012G134051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134051.v4.1 MSMMFFHFLKIIFNISTSKRSKTYYSYKTQSV >Potri.005G058700.1.v4.1 pep chromosome:Pop_tri_v4:5:3707677:3711816:-1 gene:Potri.005G058700.v4.1 transcript:Potri.005G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058700.v4.1 MAQSNHIRQCSTSHPRRRRSSWCCSFAVQPSSPENRPFTKPAFRKNRKPDSSVSKPGPYSVPNSPKPGLNFVGRIDPRRILSPGRVSPIDSDPTADTTRDIIPDPSPQVDLSSKSRSESFRGRSERRSFSDTGSDSGRGVFDVRLNLRGRNGGGLVLELNSEVLIANSEVFAGSICEYRKKLGSKCNSNEDGGNLSRKICRIEVPDVENLGVFRDTIELMFEEDIAKRLLKIGAYRAIGILEVSAGIMFTKGVLSCLKYLEAVPWTEEEEDKLRNLFTVFKFDETTTRDILARLHLLSSEDSQQNLARQLVWSITTCADANARNELKSLVKGLLCKSSVYEKDQHDLTKEDLYDVCHSCLGSIVSLMEEASDIIPQGTVVKKETSKPLIGRISRQVDNIIWLLEILLDRQIGEEFVGMWADQVDLLKMHESTSPMVRYELSRVSAILFIAMGTRKLHCRSEARAALLQAWFGPMLLDFGWLQRCKKGLDMKALEEAMGQTLLTLPMKQQYMLFTEWFGYFSKHGTECPNLSKAFQIWWRRSFLRGSETHAIESR >Potri.014G007200.2.v4.1 pep chromosome:Pop_tri_v4:14:592843:596266:-1 gene:Potri.014G007200.v4.1 transcript:Potri.014G007200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007200.v4.1 MFSYVAGYDTGGLNAGMHGPLTGVRGPFTPSQWMELEHQALIYKYITARVPVPSNLIIPLKKSVYPYSLPGSSTGSFPHNSLGWSAFHLGYPGNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQTGHAATGTASSKVVPMSNSMSKLAITSGGASNSIAMTTQQQFKILQPAAANTSADVDVNRAQDAQSISMMSSTINRKSDESSFFVPKQDILMEQCSQTEFGFVSSDSLLNPSQKSSYINSKPYESFLNFNDEESQDQHPLRQFIDEWPKDQSNCSVISWPEELKSDWTQLSMSIPMASSDFSSSSSSPTQEKLALSPMSLSCEFDPVQMGLRVSVDHNESSQKQTNWIPISWGTSIGGPLGEVLTTSTSHADSCKSSSALSLLREGCDGSPQLGSSPTGVLQKSTFCSLSNSSSGSSPRAESKKNNDTASLYEDVGGSIIASSSPIPSL >Potri.014G007200.1.v4.1 pep chromosome:Pop_tri_v4:14:592836:596363:-1 gene:Potri.014G007200.v4.1 transcript:Potri.014G007200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007200.v4.1 MDFGVLGLEGLVGPETSSEAPSHVSPPETKPKILGSVLSKRERSASSAQDDYWRTSKMPKNDDFSVTKTMSLHQPTSLLRSNYMLSDDSRQQEHMMSFSSPRPETTPFLSKDGELVERSTQNHTALSFRYHQNTASSYIRSAGYDTGGLNAGMHGPLTGVRGPFTPSQWMELEHQALIYKYITARVPVPSNLIIPLKKSVYPYSLPGSSTGSFPHNSLGWSAFHLGYPGNNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQTGHAATGTASSKVVPMSNSMSKLAITSGGASNSIAMTTQQQFKILQPAAANTSADVDVNRAQDAQSISMMSSTINRKSDESSFFVPKQDILMEQCSQTEFGFVSSDSLLNPSQKSSYINSKPYESFLNFNDEESQDQHPLRQFIDEWPKDQSNCSVISWPEELKSDWTQLSMSIPMASSDFSSSSSSPTQEKLALSPMSLSCEFDPVQMGLRVSVDHNESSQKQTNWIPISWGTSIGGPLGEVLTTSTSHADSCKSSSALSLLREGCDGSPQLGSSPTGVLQKSTFCSLSNSSSGSSPRAESKKNNDTASLYEDVGGSIIASSSPIPSL >Potri.001G094600.1.v4.1 pep chromosome:Pop_tri_v4:1:7493094:7496694:1 gene:Potri.001G094600.v4.1 transcript:Potri.001G094600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094600.v4.1 MQWYFVALLLTFLTSSQGILTTLSQSNGKYLYDYATVPFLAEVFKLVLSSLLVWRECQISPSTRMTTEWKSVRLYVVPSIIYLIHNNVQFATLTYVDASTYQIMGNLKIVTTGILFRLFLRRRLSNLQWMAIILLAVGTTTSQVKGCGEASCDSLFAAPIQGYMLGAVSACLSALAGVYTEFLMKKNNDSLYWQNVQLYTFGSIFNLARLVLDDYRGGYENGSWWQRLFNGYSITTWMVVLNLGSTGLLVSWLMKYADNIVKVYATSMAMLLTMVWSVYLFSFKPTLQLFLGIIICMMSLHMYFAPPNMLLDLPTQVRAAPESLKEVTVERRTDS >Potri.005G257000.1.v4.1 pep chromosome:Pop_tri_v4:5:24759544:24762589:1 gene:Potri.005G257000.v4.1 transcript:Potri.005G257000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257000.v4.1 MDTRRRPPKPRRSTSSQDGGGHHLHQKRLPPSIDNSSPSPPPKASDALPLPLYLTNAIFFTLFFSVAYYLLYRWRDKIRNSTPLHVVTFPEIAAIISLMASFIYLLGFFGIDFVQSFITRSSHDAWELEGSDHPNFLINKDHRLVTCPPPASIDPIPKLPNPETIITPLASVEDEEIVKSVTEGTLPSYSLETKLGDCKRAAVIRREALQRTTGRSLEGLPIEGFDYDSILGQCCEMPVGYVQIPVGIAGPLSLNGMEFMVPMATTEGCLVASTNRGCKAIYASGGASSVLYADGMTRAPVVRFETAKRASELKFFLEDPDNFDTLSIVFNRSSRFGRLQGIQCAMAGKNLYIRFKCSTGDAMGMNMVSKGVQNVLDFLQADFPDMEVIGLSGNYCSDKKPAAVNWIGGRGKSVVCEAIIKEEIVKKVLKTSVASLVELNMLKNLAGSAIAGALGGFNAHASNIVSAVFIATGQDPAQNVESSNCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASREAAGSNSVLLANIVAGSVLAGELSLMAAIAQGQLVKSHMKYNRSSKDISTVAS >Potri.011G126700.1.v4.1 pep chromosome:Pop_tri_v4:11:15666768:15668389:-1 gene:Potri.011G126700.v4.1 transcript:Potri.011G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126700.v4.1 MGNGKYIMGNELWYGPDRVKYLGPFSAQTPSYLTGEFPGDYGWDTAGLSADPEAFAKNRALEVIHGRWAMLGALGCITPEVLEKWVKVDFKEPVWFKAGAQIFSEGGLDYLGNPNLVHAQSILAVLGFQVVLMGLVEGFRINGLPGVGEGNDLYPGGQYFDPLGLADDPTTFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLLDHLDNPVANNAWVYATKFVPGS >Potri.002G226200.1.v4.1 pep chromosome:Pop_tri_v4:2:21472645:21477710:1 gene:Potri.002G226200.v4.1 transcript:Potri.002G226200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226200.v4.1 MIKQILGKLPRKPSKPSSNDSNNDGGLHAYSSLNSSNGPSSINNSKLSSNSSKSLNPSSGASRLNNGSLVPNSKGNQGKKSAPQLDPLMTSGVYEALPSFRDVPNSEKHNLFMKKLNMCCVVFDFSDPSKNLKEKDIKRQTLHELLDYISTVTSKFNEIAMQEITRMVAANLFRTLPSMNHDKKILEMFDPEDEEPAMEPAWPHLQIVYDFLLRFVASSETDAKLAKRYIDHSFVLRLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYIFIFETERHNGIAEMLEILGSIINGFALPLKEEHKLFLLRALIPLHKPKCVSMYHQQLSYCITQFVEKDFKLADTVVRGLLKYWPMTNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFHQIGRCLNSSHFQVAERTLFLWNNDHIRNLITQNRRVILPIIFPALERNTRGHWNQAVQSLTLNVKKIFSDADQEIFDECLVKFEEDEVKQMETREKRDLTWKCLEDVATSKVVSNEAVLVSKFISSVAIASGTSPRATAAG >Potri.006G067300.2.v4.1 pep chromosome:Pop_tri_v4:6:4923375:4924563:-1 gene:Potri.006G067300.v4.1 transcript:Potri.006G067300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067300.v4.1 MARGLNMAFLAAIAIAALVQTSVAQTTHTVGDTTGWAIPTGDPAFYSSWAANQTFNVGEILVFNFMANAHDVAKVTKADYDACTTSSPISLVETSPARINLDASGEHYFICNFTGHCSAGQKMMINVSAASSSPSPAPQTSSPAPQPSTPTPQTSSPAPQPSTPTPQPSPSPQPSTPAPQPSTPAPQPSTPAPQPSTPTPASGPSPPAPTPASGSPPSPPTATPPTPAPASGSPPSPPTATPPSTVAPPNSARSLGFAGFTTFLSIFVVFLCY >Potri.014G018100.1.v4.1 pep chromosome:Pop_tri_v4:14:1122051:1123107:-1 gene:Potri.014G018100.v4.1 transcript:Potri.014G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018100.v4.1 MGGGNGQKAKMAREKNLEKQKAGSKGSQLESNKKAMSIQCKVCMQTFICTTSEVKCREHAEAKHPKSDVNTCFPHLQK >Potri.001G242104.1.v4.1 pep chromosome:Pop_tri_v4:1:25999163:26003928:-1 gene:Potri.001G242104.v4.1 transcript:Potri.001G242104.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242104.v4.1 MAKQELSCTLKNLMFIQRAAQRDERIRKQEEEVKPGGNFFFPGIINKW >Potri.001G291700.3.v4.1 pep chromosome:Pop_tri_v4:1:30362023:30367974:-1 gene:Potri.001G291700.v4.1 transcript:Potri.001G291700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291700.v4.1 MSVIRLRNTRCHLINMMLFLAFTLLLLSDAAASKSIIKSLPGFDGNLPFVLETGYIGVGELEAVQLFYYFIESERSPKDDPLVLWLTGGPGCSALSGIIYEIGPLSFDYAKSSGGGKPVLALNPYSWTKIANIIFVDAPVGTGFSYSTTWEGYHVSDTISAAETYEFLRKWLVDHPKFLTNPLYVAGDSYTGIVAPIIVQEISDGNEVGHQPTMNLKGYVLGNPRTDYEIQINSIVPFAHLKVLISDKLYESFMKNCKGEYLNPDQRNASCMEDILAIKECIRNLCNAHILEPTCKDISPKPVPLKWDPRFLIADDADILLSSPRVPGPWCRSYNYVYIYMWANDETVRDALHIRKGTIKDWRRCNKTLAYSYNVKSTVDYHRNLTKKPYRALIYSGDHDMLIPYVGTLEWIESLNLTIKYDWEPWFVDGQVAGYAMLYADNVQDYITYDLTFGTVKLNQGTFILGYVQTDSIHSGHLLLLILVGRLY >Potri.016G011200.6.v4.1 pep chromosome:Pop_tri_v4:16:538500:548872:-1 gene:Potri.016G011200.v4.1 transcript:Potri.016G011200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011200.v4.1 MAKLYLKLFLKLVSSLAVLLLLCHFGYVACQPTGFSNSSRLPDGEAKALDELMTTLGWDSTFQQFSRSSCDNYFQGIKCDCTYENSTVCHVTGLELSNRELTGQINATALTSLDFLEKIDLSNNQLYGSIPDVTMGNLPSLYYLDLSTNFFKGSIPSSLVNLSSLQYLRLSRNFLNGSIPSSLGNLSSLKYLNLKYNMLSGQIPKELGNLSNLQLMALGSNELTGQLPPELGRLRSLGYLDLNSNKLSGELPGNYASFTSELERFTVAGNRLTGQIPRFIANWTRLYYLSLSGNDFEGQLPLELLFNMSKLEYLFVSDVRSSAGFPFPKYANMTEIRRLVIRNCSISGEIPPDIGDWSSLKYLDLSFNSLTGGIPDSMEKLNLSKMFLTGNMLSGTVPPWLPHKIEDKADLSYNNFEIPRDGTKKGERKLNIQPNMNYIRDFIKQCRGKPKYDSLYINCGGGETVVDGKVFEADSTTSNYHSAPRKNWAYSCSGDFGSKTYDSSDYIKNEECGVCDSAGTQLYNSSRLCPLSLTYYGFCLFKGYYTVKLYFAETVYQNDEDYSNLGKRVFDVYIQGKRELKDFNIKENATGTNKTWTASFTAYVGDDHLLNIHFFWAGKGSFQVPGFSYRTAALSLNGPLVSGISVTANFKVGTGLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQIIDATRKFSPKMEIGRGRFGIVYKAELPNEIKLAVKKISPHSKQQGKDELQREIFNLKSLHHENLVQLLDGYSNKGLHLLVYDYMHKGSLHRALFEPNSTTKLDWRARFDICLGIARGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLHDKGRILELVDKKLASSYNRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLEGEKRIDEISECDTPSANIGGLCGACSMVLEIEPIS >Potri.016G011200.8.v4.1 pep chromosome:Pop_tri_v4:16:538500:548861:-1 gene:Potri.016G011200.v4.1 transcript:Potri.016G011200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011200.v4.1 MAKLYLKLFLKLVSSLAVLLLLCHFGYVACQPTGFSNSSRLPDGEAKALDELMTTLGWDSTFQQFSRSSCDNYFQGIKCDCTYENSTVCHVTGLELSNRELTGQINATALTSLDFLEKIDLSNNQLYGSIPDVTMGNLPSLYYLDLSTNFFKGSIPSSLVNLSSLQYLRLSRNFLNGSIPSSLGNLSSLKYLNLKYNMLSGQIPKELGNLSNLQLMALGSNELTGQLPPELGRLRSLGYLDLNSNKLSGELPGNYASFTSELERFTVAGNRLTGQIPRFIANWTRLYYLSLSGNDFEGQLPLELLFNMSKLEYLFVSDVRSSAGFPFPKYANMTEIRRLVIRNCSISGEIPPDIGDWSSLKYLDLSFNSLTGGIPDSMEKLNLSKMFLTGNMLSGTVPPWLPHKIEDKADLSYNNFEIPRDGTKKGERKLNISQPNMNYIRDFIKQCRGKPKYDSLYINCGGGETVVDGKVFEADSTTSNYHSAPRKNWAYSCSGDFGSKTYDSSDYIKNEECGVCDSAGTQLYNSSRLCPLSLTYYGFCLFKGYYTVKLYFAETVYQNDEDYSNLGKRVFDVYIQGKRELKDFNIKENATGTNKTWTASFTAYVGDDHLLNIHFFWAGKGSFQVPGFSYRTAALSLNGPLVSGISVTANFKVGTGLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQIIDATRKFSPKMEIGRGRFGIVYKAELPNEIKLAVKKISPHSKQQGKDELQREIFNLKSLHHENLVQLLDGYSNKGLHLLVYDYMHKGSLHRALFEPNSTTKLDWRARFDICLGIARGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLHDKGRILELVDKKLASSYNRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLEGEKRIDEISECDTPSANIGGLCGACSMVLEIEPIS >Potri.016G011200.9.v4.1 pep chromosome:Pop_tri_v4:16:538501:548871:-1 gene:Potri.016G011200.v4.1 transcript:Potri.016G011200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011200.v4.1 MAKLYLKLFLKLVSSLAVLLLLCHFGYVACQPTGFSNSSRLPDGEAKALDELMTTLGWDSTFQQFSRSSCDNYFQGIKCDCTYENSTVCHVTGLELSNRELTGQINATALTSLDFLEKIDLSNNQLYGSIPDVTMGNLPSLYYLDLSTNFFKGSIPSSLVNLSSLQYLRLSRNFLNGSIPSSLGNLSSLKYLNLKYNMLSGQIPKELGNLSNLQLMALGSNELTGQLPPELGRLRSLGYLDLNSNKLSGELPGNYASFTSELERFTVAGNRLTGQIPRFIANWTRLYYLSLSGNDFEGQLPLELLFNMSKLEYLFVSDVRSSAGFPFPKYANMTEIRRLVIRNCSISGEIPPDIGDWSSLKYLDLSFNSLTGGIPDSMEKLNLSKMFLTGNMLSGTVPPWLPHKIEDKADLSYNNFEIPRDGTKKGERKLNMNYIRDFIKQCRGKPKYDSLYINCGGGETVVDGKVFEADSTTSNYHSAPRKNWAYSCSGDFGSKTYDSSDYIKNEECGVCDSAGTQLYNSSRLCPLSLTYYGFCLFKGYYTVKLYFAETVYQNDEDYSNLGKRVFDVYIQGKRELKDFNIKENATGTNKTWTASFTAYVGDDHLLNIHFFWAGKGSFQVPGFSYRTAALSLNGPLVSGISVTANFKVGTGLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQIIDATRKFSPKMEIGRGRFGIVYKAELPNEIKLAVKKISPHSKQQGKDELQREIFNLKSLHHENLVQLLDGYSNKGLHLLVYDYMHKGSLHRALFEPNSTTKLDWRARFDICLGIARGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLHDKGRILELVDKKLASSYNRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLEGEKRIDEISECDTPSANIGGLCGACSMVLEIEPIS >Potri.005G013100.4.v4.1 pep chromosome:Pop_tri_v4:5:1030138:1035684:1 gene:Potri.005G013100.v4.1 transcript:Potri.005G013100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013100.v4.1 MKMRQMWVCMLLMALAFVNERCHCCLEEERISLLEIKAWFSHAGAGSHELEGWDKGHFNCCNWDMVVCDNTTNRVIKLYLSFVNSDAVEDLDLNASLFLPFKELENLDLSGNQLVGGLKNQGFQVLASGLRNLKELYLNDNKFNDSILTSLSGFSTLKSLYLSNNRFTVTIDLKGFQVLASGLRNLEQLDLSYNKLNDSVLSSLSGFSTLKFLDLSNNRFTGSTGLNGLRKLETLYLDSTDFKESILIESLGALPSLKTLHARYSRFTHFGKGWCELKNLEHLFLSGNNLKGVLPPCFGNLSSLQILDLSYNQLEGNIAFSHISHLTQLEYLSVSNNYFQVPISFGSFMNHSNLKFFECDNNELIAAPSFQPLVPKFRLRVFSASNCTPKPLEAGFPNFLQSQYDLVFVDLSHNKFVGEPFPSWLFENNTKLNRLYLRDTSFIGPLQLPQHPTPNLQTVDMSGNSIHGQLARNICSIFPRLKNFMMANNSLTGCIPPCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWSLKLSNNNFKGRLPLSVFNMTSLEYLFLDGNKFAGQVSGTFSLASSFSWFDISNNLLSGMLPRGIGNSSIYRFQAIDLSRNHFEGTIPKEYFNSYWLEFLDLSENNLSGSLPLGFLAPHLRHVHLYGNRLTGPLPNAFYNISSLVTLDLGYNNLTGPIPNWIASLSELSILLLKSNQFNGELPVQLCLLRKLSILDLSENNFSGLLPSCLSNLDFTESYEKTLVHTSTESRDDGSRKEIFASIGGRELGNEGFYLFDKILWPEISVKISVELTSKKNFYTYEGDILRYMSVMDLSCNRFTGEIPTEWGNLSGIYALNLSQNNFNGLIPPSFSNLKQIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNKLSGRTPEMKNQFATFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDSNGDGGFIDMYSFYASFGVCYIIVVLTIAAVLCINPDWRRRWFYFIEECMDTCYCFLAINFPKLSRFRR >Potri.005G013100.1.v4.1 pep chromosome:Pop_tri_v4:5:1030670:1035688:1 gene:Potri.005G013100.v4.1 transcript:Potri.005G013100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013100.v4.1 MKMRQMWVCMLLMALAFVNERCHCCLEEERISLLEIKAWFSHAGAGSHELEGWDKGHFNCCNWDMVVCDNTTNRVIKLYLSFVNSDAVEDLDLNASLFLPFKELENLDLSGNQLVGGLKNQGFQVLASGLRNLKELYLNDNKFNDSILTSLSGFSTLKSLYLSNNRFTVTIDLKGFQVLASGLRNLEQLDLSYNKLNDSVLSSLSGFSTLKFLDLSNNRFTGSTGLNGLRKLETLYLDSTDFKESILIESLGALPSLKTLHARYSRFTHFGKGWCELKNLEHLFLSGNNLKGVLPPCFGNLSSLQILDLSYNQLEGNIAFSHISHLTQLEYLSVSNNYFQVPISFGSFMNHSNLKFFECDNNELIAAPSFQPLVPKFRLRVFSASNCTPKPLEAGFPNFLQSQYDLVFVDLSHNKFVGEPFPSWLFENNTKLNRLYLRDTSFIGPLQLPQHPTPNLQTVDMSGNSIHGQLARNICSIFPRLKNFMMANNSLTGCIPPCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWSLKLSNNNFKGRLPLSVFNMTSLEYLFLDGNKFAGQVSGTFSLASSFSWFDISNNLLSGMLPRGIGNSSIYRFQAIDLSRNHFEGTIPKEYFNSYWLEFLDLSENNLSGSLPLGFLAPHLRHVHLYGNRLTGPLPNAFYNISSLVTLDLGYNNLTGPIPNWIASLSELSILLLKSNQFNGELPVQLCLLRKLSILDLSENNFSGLLPSCLSNLDFTESYEKTLVHTSTESRDDGSRKEIFASIGGRELGNEGFYLFDKILWPEISVKISVELTSKKNFYTYEGDILRYMSVMDLSCNRFTGEIPTEWGNLSGIYALNLSQNNFNGLIPPSFSNLKQIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNKLSGRTPEMKNQFATFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDSNGDGGFIDMYSFYASFGVCYIIVVLTIAAVLCINPDWRRRWFYFIEECMDTCYCFLAINFPKLSRFRR >Potri.001G166900.1.v4.1 pep chromosome:Pop_tri_v4:1:14225820:14227609:1 gene:Potri.001G166900.v4.1 transcript:Potri.001G166900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166900.v4.1 MSATIISDPMVVSAPETQATAAATTVTKLIAQIEVESAKCDCCGLTEECTPAYIERVRERYHGKWICGLCAEAIKDEIVRTERLISTEEAMAKHMNFCKKFVSSGPPPDPTIHLIAAMRQILRRSLDSPRGLRSTPSSPTKTKGESRAAALTRSESCFPTLSG >Potri.008G138100.1.v4.1 pep chromosome:Pop_tri_v4:8:9282637:9288215:1 gene:Potri.008G138100.v4.1 transcript:Potri.008G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138100.v4.1 MYGEKDHRASNGEVESERSLNKENPVEKMIGLSRKGIDFLRESKMGFEGFGLKPQELTLSYLCENNNNNPKLGFSLKGKEVIFSELSIQDEKWVERDFLNLSETKSNSSSKRQVRHEEDEEEVEENSSRDKKQKLGRTLNLSLALPDVSLSLTASNALQNVDPLIEPNRNESLGTAAAAAAAETQSKNNNTKTTCSNDFTAASLSYSYSHPFSHNPSCSMTLNSTENYEYSVGRDDQIWCGGEGTNGSVHSRFRPIGDGIVALNNNNHGGGGSIMQGNRATNKDSCNNSVYKTTSSDNLSFFPSELPARPRLDAYSGDSRRRDSENLRGLESGDGEGEAKKLSRPGRILREIVSESIPVMAQIIQEVAEETLELTKEYLKNLIATTEKRDELVGLQNRLQRRSDLTKEALLKCQREQLEILVAVKMGHGSFVSGKVRVPTNELVEIFLFMRCRNVNCKSILPVDDCDCKFCSGNKGFCSSCMCPVCMNFDCASNTCSWVGCDVCSHWCHAACGTQKNLIRPGPSLRGPSGTREMQFHCIGCNHASEMFGFVKDVFVCCAKDWGQETLIKELDCVRKIFKGSEDFKGKELHTKAEDLLSKLERKLMSSREACNVIIQFFNYADGMSDFPASGVSAKELMPTEATHRKDAVPMLPATSLTPKYAIYNMGSSSGRRDSLPNDLHRNDLKASLLDVLKLENEFQFGKLSKNDGFNSLESIVQIKEAEARMFQNKADEAQREAEGYRQMIRAKSDKLEEEYAEKLAKMCFQDTEETRRKKMEELKTLENTYCNYFNMKLRMQAEIAGLLERMEATKQQWV >Potri.007G036200.1.v4.1 pep chromosome:Pop_tri_v4:7:2832076:2837195:-1 gene:Potri.007G036200.v4.1 transcript:Potri.007G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036200.v4.1 MSTLRKRRPRDHQEPNKNTKPNEEINNNEHHETPKISVYEQTREERIKENLERMQKLGLMDLSLKLKACTAPPKRTPRTSPSSTKHPTPFLPRGPLRRSSRLQNSTPVSYSEVALTKKDGLLEDENIMQEVGSKPEIYTEEHEKLLGNTERSWTLFVDGCGKDGKRIYDPINGKTCHQCRQKTLGYRTHCCECKMVQGQFCGDCLYMRYGEHVLEALENPNWLCPVCRGICNCSLCRQAKGWPPTGTLYRKISSLGYKSVAHYLIQTKRLQNTANEVSAKRSLPFSNMEVVSKESPQFIYKTAEQLEHQSEDKILDELKSKTENKISSSRNLANDGQTKRALTFSSSEVKSENVEYAKVNHEVHDNLGLSKPQCEEMNNEKHVQSNGNRATACQTDKSLTFSGSEARSKKVESAGTHEILDDLALSMPKFEDMYENEFRSEEEKKETRICHVIHDDLALSMPKFEGNIPSESCLKHKRKHASAINPSPDSIAARLRQRRWKSNGKDDAEFMGVDEKASNVKPAVNVMSSSQNMEEENEMHVEDDKRVVLESSQLKKRTHAEPNPDSIGARLRQRHRMGKGHENNELPGTRLRSRC >Potri.011G161500.1.v4.1 pep chromosome:Pop_tri_v4:11:18660988:18663004:1 gene:Potri.011G161500.v4.1 transcript:Potri.011G161500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161500.v4.1 MVPSRFSVLSILVVLVLTPSRMGSHPIQDQDRFLQCLSRNSESSIPFSTVLYSPINSSFTAILRSSAQNLRFTLPSLPKPEFIFTPLEESHIQAAVICSKQLGIHLRVRSGGHDYEGLSYVSETDTPFVVVDIAELHSISVDIDNNSAWVQAGATNGELYYRIAEQSTTHGYPAGTCTSLGIGGHITGGAYGSMMRKYGLAVDNVIDARIINVHGRVLDRQTMGEDLYWAIRGGGGGSFGIITAWKVKLVPVPSTVTIFTVTKSLEQGATKLLFRWQQVADKLDEDLFIRVNIQTVNVSNKGGRTITTSYDALFLGDANRLLQVMRESFPELGLARQDCIETSWINSTVYLGGYTINTSPEVLLQRRNILKHYFKAKSDFVRQPIPETALKGLWEIMLEEDNPAIVLTPYGGNMGKISESQTPFPHRKGTLFMIQYLANWQDAKENVRKHTDWTRMVYRYMKPYVSMFPRQAYVNYRDLDLGINKETNTSFPEASVWGTKYFKDNFYRLVRVKTKVDPDNFFRHEQSIPTLPHHMRKRN >Potri.014G044600.2.v4.1 pep chromosome:Pop_tri_v4:14:2874507:2875221:-1 gene:Potri.014G044600.v4.1 transcript:Potri.014G044600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044600.v4.1 MKPTSLSVLNSSAMSSQQTVPRQQPEIREPKLSVRLNINNKRPTGNATDDVEGSLLKGNSNDEPRDHDHIFVQSVSKKKRVSNKKGIKKRSFSISLTKEEIELDFLQLTGEKPKRKPQKRDKDVRTKLDSLFPGLRYL >Potri.015G082900.1.v4.1 pep chromosome:Pop_tri_v4:15:10809836:10818031:-1 gene:Potri.015G082900.v4.1 transcript:Potri.015G082900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082900.v4.1 MASTSKKTTSSPSCCDSSATTPPLPIRRDATKTSALVRQDWTIPVPSPEHRPVVDSLPEPSRTASATKTAIPVMLRAQTSHPLDPLSAAEISVAVATVRAAGATPELRDSMRFIEVVLLEPDKHVVALADAYFFPPFQPSLLPRTKGGPIIPMKLPPRRARLVVYNKRSNETSIWIVELSEVHAATRGGHHRGKVISSQVVPDVQPPMDAVEYAECEAVVKDFPPFREAMKKRGIEDMELVMVDAWCVGYHSDADAPSRRLAKPLIFCRTESDCPMENGYARPVEGIHVLVDMQNMKVVEFEDHKLVPLPLADPLRNYTPGETRGGVDRSDVKPLQIIQLEGPSFRVKGHYIEWQKWNFRIGFTPREGLVIHSVAYVDGSRGRRPVAHRLSFVEMVVPYGDPNEPHYRKNAFDAGEDGLGKNAHSLKKGCDCLGFIKYFDAHFTNFTGGVETIENCVCLHEEDHGILWKHQDWRTGLAEVRRSRRLTVSFICTVANYEYGFFWHFYQDGKIEAEVKLTGILSLGALQPGETRKYGTTIAPGLYAPVHQHFFVARMDMAVDCKSGEAFNQVVEVNVEVEKPGEKNVHNNAFYAKETLLQSELQAMRDCNPQTARHWIVRNTRTVNRTGQLTGYKLVPGSNCLPLAGPEAKFLRRAAFLNHNLWVTPYTHGEMFPGGEFPNQNPRVGEGLATWVKQNRPLEETDIVLWYVFGITHVPRLEDWPVMPVERIGFMLMPHGFFNCSPAVDVPPSACELDAKDNDAKDNSVSKPLQNGVLAKL >Potri.007G106400.2.v4.1 pep chromosome:Pop_tri_v4:7:12926436:12935689:-1 gene:Potri.007G106400.v4.1 transcript:Potri.007G106400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106400.v4.1 MKSLENDEIDLEDGKLEKDKDRPIRSKGVVRIQNEALLSGFAYCISSCSMILVNKYVLSSYDFNAGISLMLYQNFISVIIVSTLSLLGVISTEPLTWRLIKVWLPVNFIFVGMLVTSMFSLKYINVAMVTILKNVTNVITAVGEMYLFQKDHDSRVWAALFLMIISAISGGITDLSFHAVGYAWQIINCFLTASYSLTLRRVMDTAKHVTKSGNLNEFSMVMLNNTLSLPLGLILIFVFNEVDYLSRTPLLRLPTFWFVVTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIFLFHVPTSLQNSASILFGLLAGVFFARAKMRERSQS >Potri.007G106400.1.v4.1 pep chromosome:Pop_tri_v4:7:12926457:12935691:-1 gene:Potri.007G106400.v4.1 transcript:Potri.007G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106400.v4.1 MGTRLISLDSPDSLYERSLIDDTDKVNQSEERVLKLHELNGVVDRSSSPLKREVVNRLSYSFSMKSLENDEIDLEDGKLEKDKDRPIRSKGVVRIQNEALLSGFAYCISSCSMILVNKYVLSSYDFNAGISLMLYQNFISVIIVSTLSLLGVISTEPLTWRLIKVWLPVNFIFVGMLVTSMFSLKYINVAMVTILKNVTNVITAVGEMYLFQKDHDSRVWAALFLMIISAISGGITDLSFHAVGYAWQIINCFLTASYSLTLRRVMDTAKHVTKSGNLNEFSMVMLNNTLSLPLGLILIFVFNEVDYLSRTPLLRLPTFWFVVTLSGFLGLAISFTSMWFLHQTGATTYSLVGSLNKIPLSVAGIFLFHVPTSLQNSASILFGLLAGVFFARAKMRERSQS >Potri.008G176900.1.v4.1 pep chromosome:Pop_tri_v4:8:12214045:12218498:-1 gene:Potri.008G176900.v4.1 transcript:Potri.008G176900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176900.v4.1 MKKNLLLTLVFLFFHFLANTELSHSLTPDGLSLLSLKSAVDQTSAGSAFSDWNEDDPTPCLWTGISCMNITGLPDPHVVGIAISGKNLRGYIPSELGNLIYLRRLNLHNNNFYGPIPDQLFNATALHGLFLYGNNLSGSLPPSICNLPRLQNLDLSNNSLSGSLPQNLNSCKQLQRLILAKNKFSGPIPAGIWPDLDNLLQLDLSDNAFNGSIPNDVGELKSLSNTLNLSFNHLSGRIPKSLGNLPITVSFDLRSNNFSGEIPQTGSFANQGPTAFLNNPLLCGFPLHKSCKDSAKSSPGNQNSTPVSDNNSKKGLSPGLIILISVANAAGVALLGLVIVYIYWKKKDDSNGCSCTGKSKFGGNEKSHLCSLCYCVNGLRIEESDLEDQEKVERGKPEGELVAIDKGFTFELDELLKASAYVLGKSGLGIVYKVVLDNGSPVAVRRLGEGGEQRYKEFVAEAQAIGKVKHPNVVKLRAYYWAPDEKLLISDFISNGNLANALRGRNGQPSSLSWSTRLRIAKGTARGLAYLHECSPRKFVHGDLKPSNILLDNKFQPYISDFGLNRLINITGNNPSSSGGFIGGALPYLKSVQTERPNNYRPPEARVSGNRPTQKWDVYSFGVVLLELLTGKSPELSPSASTSIEVPDLVRWVRKGFEEENPLSDMVDPLLLQEVHAKKEVIAVFHVALACAEADPEVRPRMKTVSENLERIGT >Potri.016G100600.2.v4.1 pep chromosome:Pop_tri_v4:16:10159791:10162402:-1 gene:Potri.016G100600.v4.1 transcript:Potri.016G100600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100600.v4.1 MPVRVEETSSSSSTSSSSSAPSQLSGHTSPPVCTLLTVGQAFSGTQNVSSLQKDEAWRVNVRIQGCDLDHGYLCGTMEALNVPMADTPVVTFWEGEIVDGKNYTFFTGKWEASSEDDIRHWTKFPSFQPFLEKVKVDGGKSLDLISYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCTDGSINGFYYDPNSSPFQKLELKSTNEGRSGFSFSSYELQ >Potri.016G100600.1.v4.1 pep chromosome:Pop_tri_v4:16:10160028:10162406:-1 gene:Potri.016G100600.v4.1 transcript:Potri.016G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100600.v4.1 MPVRVEETSSSSSTSSSSSAPSQLSGENSSGHTSPPVCTLLTVGQAFSGTQNVSSLQKDEAWRVNVRIQGCDLDHGYLCGTMEALNVPMADTPVVTFWEGEIVDGKNYTFFTGKWEASSEDDIRHWTKFPSFQPFLEKVKVDGGKSLDLISYPYIFMRWKEQYFVNVGTDCGLTIAGFYYVCFSCTDGSINGFYYDPNSSPFQKLELKSTNEGRSGFSFSSYELQ >Potri.010G003500.1.v4.1 pep chromosome:Pop_tri_v4:10:325921:327999:-1 gene:Potri.010G003500.v4.1 transcript:Potri.010G003500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003500.v4.1 MEEADVVETKKGTISVASAFPVHQEAVQDRDHKFLTKAVEEAYKGVDSGDGGPFGAVVVLNDEVVVACHNMVLKHTDPTAHAEVTAIREACKKLNQIELSDCEIYASCEPCPMCFGAIHLSRIKRLVYGAKAEAAIAIGFDDFIADALRGTSFYQKSQLEIKRADGTQAIIAEQVFEKTKEKFQMY >Potri.003G186600.1.v4.1 pep chromosome:Pop_tri_v4:3:19170556:19176185:-1 gene:Potri.003G186600.v4.1 transcript:Potri.003G186600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186600.v4.1 MKLTVKTLKGSHFEIKVHPTDTIMGVKKNIEDAQGKDNYPCGQQLLIHNGKVLKDETTLADNKVTEDGFLVVMLSKSKTGTAGTSSTQPVSTPPTTTPTSISTPAPDAQALDSKSASASDSATANAQSDTYGQAASNLVAGSNLEQTLQQIMDMGGGTWDKETVTRALRAAYNNPERAVDYLYSGIPETAEVAVPVARFPADQATETGAAPAAPAPAFGAPNSSPLNMFPETISGGGGGAGGGLGSLDFLRNNQQFQALRSMVQANPQILQPMLQELGKQNPQLLRIIQEHHAEFLQLINEPLDGSEGDIFDQPDQDMPHAINVTPAEQEAIERLEAMGFDRALVIEAFLACDRNEQLAANYLLENAGDFED >Potri.003G067000.1.v4.1 pep chromosome:Pop_tri_v4:3:9433818:9436578:1 gene:Potri.003G067000.v4.1 transcript:Potri.003G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067000.v4.1 MAISPLPFLYCLLFFLLTLPTQSSSSSDHPQTFIIHVSRSHKPSLFSSHHDWYTSIIHSLPPSPHPAKLLYNYNHAIRGFSAQLTTTQVEKLRRVPGILSVIPDQIRQLHTTHTPAFLGLSESSGLWENSGYGDGVIIGVLDTGIWPEHRSLSDSGLSDVPANWKGICETGPDFPASSCNKKLIGARAFNKGYISHKGRHIDESKESASPRDTEGHGTHTSTTAAGSSVHNASLFEYASGEARGMASKARIAAYKICWSSGCYDSDILAAMDQAIYDGVHVISLSVGATGHAPQYDHDSIAIGAFSASQHGIVVSCSAGNSGPDPYTAVNIAPWILTVGASTIDREFPADVVLGNGWVFGGVSLYSGDPLVDFKLPLVYAGDVGNRYCYMGSISPSKVQGKIVVCDRGGNARVEKGAAVKLAGGLGMILANTADSGEELIADSHLLPATEVGEIAADKIREYVKLSQYPTATINFRGTIIGTSPSAPKVAAFSSRGPNYLTPEILKPDVIAPGVNILAGWTGFVGPTDLEIDPRRVEFNIISGTSMSCPHVSGIVALLRKAYPDWSPAAIKSSLVTTAHNLDNSGKNIKDLASSEESTPFIHGAGHVDPNSALNPGLVYDMDTSDYIAFLCAIGYDSKRIAVFVREPPSSDICSGKEGSPGNLNYPSFSVVFQSNSDEVTYRRTVKNVGNSLDAVYEVEVNAPANVDIKVSPSKLVFNAENKTVSYDITFSSVSSGWSSINSATFGSIEWSNGIHRVRSPIAVKWRQGSSRESI >Potri.015G104100.1.v4.1 pep chromosome:Pop_tri_v4:15:12287025:12291948:-1 gene:Potri.015G104100.v4.1 transcript:Potri.015G104100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104100.v4.1 MRLLQLGFFLALASGLSALLIYITGVSDLHVSNSLSNQDLEALQSLQNGFKKCVSVNGLGLQAVTTGSDYCKVTINFPSDTVPKWKDPKTGELEGLWFEFNLCEAVATWEQVRNSTTILTSEFIDALPNGWEDYAWRRINKGVLLNQCKNKTLCLEKLSLVLPETPPYVPRQFDRCAVIGNSGDLLKTKFGKEIDDYDVVIRENGAPIKNYTEYVGKKSSFRLLNRGSAKALDKVVELDETRKEVLMIKTTIHDIMNKMIREVPINNPVYLMLGASFGSAAKGTGLKALEFALSICESVDMYGFTVDPGYKEWTRYFSESRQGHTPLHGRAYYQMMECLGLIKIHSPMRADPNRVVHSVPSQRTITAARIASEKLLRRVGAGSEDPLRACSIRKKVAKRKSAAISGLRKAAVDHQNFVKGTTMYPLEHHPGHGLLCTLPKD >Potri.005G086300.2.v4.1 pep chromosome:Pop_tri_v4:5:5976247:5977817:1 gene:Potri.005G086300.v4.1 transcript:Potri.005G086300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086300.v4.1 MGCCISSSNNNKRGSSNPEPPSHRHSPPQPRKPHVSTTSASPPPEEETVKEVLSETPIILEPQMTTTQTQEPKTLMQRNRKKHREDQEISQASETCSNITGTLSTPTTTTTTATTTTTTITEIREDEVTSKKRVNRSPAKVHRKRPYTGDRERVLKYPAKTTGQVIRTAAGQRNVGSRGVRSDFGRSPATRTAGGAGRGRAGASPGKAGGRSVERKNKEDSENGSVLRQQEEGNESLENPLVSLECFIFL >Potri.003G055100.1.v4.1 pep chromosome:Pop_tri_v4:3:8114811:8115591:1 gene:Potri.003G055100.v4.1 transcript:Potri.003G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055100.v4.1 MAWCNSGSRNMNSYAKRGYDRIGSFKTLVSHESKSPRWRLLWKKIVKEKRKIFYCSSSAQANITYDPYTYSQNFDHGLIMSNPDDSSRSFSARFPVPSRIFEKGGLV >Potri.013G153100.1.v4.1 pep chromosome:Pop_tri_v4:13:14887565:14888542:1 gene:Potri.013G153100.v4.1 transcript:Potri.013G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G153100.v4.1 MGNSLRCCLACVLPCGALDLIRIVHLNGYVEEITGPITAAEVLKANPNHVLSKPSSQGVVRKILILSPESELKRGSIYFLIPSSSLPGDKKKSGNNCGHQMKSSSKKSKRYCNNKDARDCDRYLTDIVSEKKSSRRDRRTGRVGVWRPHLQSISED >Potri.019G085600.1.v4.1 pep chromosome:Pop_tri_v4:19:12488256:12490131:-1 gene:Potri.019G085600.v4.1 transcript:Potri.019G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085600.v4.1 MEGMEDQEELLNLSLAIVTDSNGDMKRKRKRSRADVSNPLMNTYEGRSEGKIFRLLQMREQMLKLDHKRKGAVEENGKGLHLIHLLLIAATALDENNVGSALENLTELYQSVSLSGDSVQRVVAYFADGLAARLLGKKSPFYDMIMKEPTCEEEFLAFTDLYRVSPYYQFAHFTANQAILEAYEKEEENNNSSLHVIDFDVSYGFQWPSLIQSLSEKASSGNRISLRITGFGRRIEELQETESRLLSFAKGFRNLVFEFQGLLRGSKLFNLRKKKNETVAVNLVFHLNTLNDSLKISDTLKSVHSLNPSIVVLVEQEGSRSPRSFLSRFMESLHYFAAMFDSLDDCLPLESSERLSIEKNHLGKDIKRMLNCDKDDANCPRYDKMETWKGRMEGHGFAGIKLSSKSLIQAKLLLKIRTHYCPLQFDGDFCGGFKVFERDDGKGISLGWQDRYLITASAWRICA >Potri.006G272400.1.v4.1 pep chromosome:Pop_tri_v4:6:26509030:26510262:-1 gene:Potri.006G272400.v4.1 transcript:Potri.006G272400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272400.v4.1 MSRVYVGNLDPRVSERDLEDEFRRFGVIRSVWVARRPPGYAFIDFDDKRDAQDAIHELDGKNGWRVELSHNSRGGGGGGGGGRGGGRGRSGGSDLKCYECGEPGHFARECRLRVGSGGGGRRRSRSPRYRRSPSYGRRSYSPRGRSPKRRSLSPRGRSYSRSPQYRGREELPYANGNGIRDRRRSRS >Potri.013G094400.1.v4.1 pep chromosome:Pop_tri_v4:13:9732889:9738458:1 gene:Potri.013G094400.v4.1 transcript:Potri.013G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094400.v4.1 MTPSNLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVIADKATGRSKGYGFVTFREPDAAMRACVDASPVIDGRRANCNLASLGVQRSKPSTPKHGAAGRNFRVMSSFQTGFGGGVGTAFPSAASFPHYAIQQGIPYNVYGYSSYSPDYTYPASYYNMYGGATAQYPMYGSGHGGMMNGAAAAFYPYLQFGEGSTGGATSYSNTSGQSYGVQYPHHLFQYSSINSTTGGYPQHYGAPMSLAPTAALPSVCFAVPQA >Potri.013G094400.2.v4.1 pep chromosome:Pop_tri_v4:13:9732892:9738458:1 gene:Potri.013G094400.v4.1 transcript:Potri.013G094400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094400.v4.1 MTPSNLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVIADKATGRSKGYGFVTFREPDAAMRACVDASPVIDGRRANCNLASLGVQRSKPSTPKHAGRNFRVMSSFQTGFGGGVGTAFPSAASFPHYAIQQGIPYNVYGYSSYSPDYTYPASYYNMYGGATAQYPMYGSGHGGMMNGAAAAFYPYLQFGEGSTGGATSYSNTSGQSYGVQYPHHLFQYSSINSTTGGYPQHYGAPMSLAPTAALPSVCFAVPQA >Potri.013G094400.3.v4.1 pep chromosome:Pop_tri_v4:13:9733242:9737023:1 gene:Potri.013G094400.v4.1 transcript:Potri.013G094400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094400.v4.1 MTPSNLAGQFGDTTYTKVFVGGLAWETQKETMKKYFEQFGEILEAVVIADKATGRSKGYGFVTFREPDAAMRACVDASPVIDGRRANCNLASLGVQRSKPSTPKHGAAGRNFRVMSSFQTGFGGGVGTAFPSAASFPHYAIQQGIPYNVYGYSSYSPDYTYPASYYNMYGGATAQYPMYGSGHGGMMNGAAAAFYPYLQFGEGSTGGATSYSNTSGQSYGVQYPHHLFQYSSINSTTGGYPQHYGAPMSLAPTAALPSGVTMALQAPPIPHR >Potri.012G046700.1.v4.1 pep chromosome:Pop_tri_v4:12:4266492:4267658:1 gene:Potri.012G046700.v4.1 transcript:Potri.012G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046700.v4.1 MSYCFCKTILHHHGIHYLRLSPTHFIEANSHQLFLLPFTRCISSTANPNQHSFAASYLIKKCGFSPESALSASKHLKFETPDKPDSVIDTFRRYGFPEDKIFKLVKKFPKVLSCNPEKTLLPRLDFFLSRGMSSTELATLFCIIPPLLHRSLENIITPTFNFLSDLLQSNDKAITVAKTYPFIIYHRPESYLQPYVSILRENGIPKSHIASLIYKWPRTVRACPIRFRNTVETVKEMGFDPSKLVFTLAVLARSAQSKSGWEKKVGVYKRWGWSDEEVLAAFKRNPWCMMSSEDKIMAVMDFLVNNMGCESSYVAEHPILLLLSLEKRLIPRASVLQFLQSNKLIDEKPNLATLFKYSEKSFLHKFVDGFDEAPQLLKLYREKLNLSK >Potri.011G030800.1.v4.1 pep chromosome:Pop_tri_v4:11:2511057:2512239:-1 gene:Potri.011G030800.v4.1 transcript:Potri.011G030800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030800.v4.1 MTSALRFPWTQSMELLIFILFSTFLLLSSPCHAYSKSDLGSQCTEVEYQANLSDLLNSLVANAPIQNGFYMTAAGKGANKIYGLTQCRGDISATDCAACIKNVTVLQGCSNSKGVTLWFQWCLVRYSDRSFFGEWDQSGIMAIYNDTNFEDAEVVSEGLNFTKTLASKTPNQPSMFYTAVLDVGQSGKRYGMAQCTRDLSKSNCGKCLFQLVTSLNIIGNYMRSWDISGSSCRMWYYDYQFYFNYSTPAAKGGSTRSSSHRVAIGVAFPVLVFLLVL >Potri.006G075100.2.v4.1 pep chromosome:Pop_tri_v4:6:5529822:5531652:-1 gene:Potri.006G075100.v4.1 transcript:Potri.006G075100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075100.v4.1 MPTLNLFTNIPVDAVTTSDILKDATKALSKIIGKPESYVMVVMHGGVPIVFAGTEAPAAFAEVISIGGLGQSVNAKLSSTIADILQTKLSIDSSRFYIKFFDSPRPFFGFNGTTF >Potri.001G289100.1.v4.1 pep chromosome:Pop_tri_v4:1:30119079:30122916:1 gene:Potri.001G289100.v4.1 transcript:Potri.001G289100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289100.v4.1 MAASKKLDSRSPIPSRPTNPTSRNSESSNPMRRSFSGSPFVKPSIISNQRGGSFNPNTPVNTPSDYPRRNSISRENIVVAFPDHEDKENGKDQNWKSVRIRSPAKGAKNFMSPTISAASKINASPRKKILADWNEQIRTSISFSDTKSPLMEDLYSKPNKGLNQKKEVSFDSTVTYLGDNEDSKSEEHVDLMVDSSSKDDLDLSSENLTMEKDCVNLDPSFEISPRVSSSFPNPALAPLDADPSVPTYDPKTNYLSPRPQFLHYRPNPRIELYLNKERDGQPLEEIFASECSSETEVSEAEDSHSDDSRKESDASLADEVKESDASSSDEVKEEEELEELLPASEPISIGTYVEEEELLVSEPNSISTSVEKAEEKRVPKSRFYTRRKFIALLSVLTVGFLYVSVSKSQVMDPSVLNNFTFFEPYVPPEFSEYNRQTFDVLAQKVQLWLHQSLCYTHNLINCFRGVHILGPFQYANLTVLLEDDIVDSQFVFDQSILRSKVKYEEKVLESIKGAEVNFNLADEEDQPRAVDENIEVVGDKNEWDFNSAPDSEEFGVPESIKGAEVSVNLSDEEDQPSAVDETIEVVGVKNDWDFNSAPDSEEFSVPESEVANLRPGSGVTETGKSAQEVIQESAETAANVVELQSNMVLEDQSVLIPQAAEIQPEILNSMPSQGINDISSAGIESPASEVNFEILAGAATENLRSSDLVNTRSAQIMVGISLIVFSLLGTAFVYMKSQTPTTRNAASAVDQMPATKKLDDSPMPVAAEHTDIVGESCPSEMSSFSLSCSKKGQGGTSEAHSCERKPRKNIYRREFMASPDYSVGSMGSPSYGSFTTYEKISKHGNEDEEVITPVRRSSRIRNQVTSP >Potri.001G289100.2.v4.1 pep chromosome:Pop_tri_v4:1:30116333:30122724:1 gene:Potri.001G289100.v4.1 transcript:Potri.001G289100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289100.v4.1 MSPTISAASKINASPRKKILADWNEQIRTSISFSDTKSPLMEDLYSKPNKGLNQKKEVSFDSTVTYLGDNEDSKSEEHVDLMVDSSSKDDLDLSSENLTMEKDCVNLDPSFEISPRVSSSFPNPALAPLDADPSVPTYDPKTNYLSPRPQFLHYRPNPRIELYLNKERDGQPLEEIFASECSSETEVSEAEDSHSDDSRKESDASLADEVKESDASSSDEVKEEEELEELLPASEPISIGTYVEEEELLVSEPNSISTSVEKAEEKRVPKSRFYTRRKFIALLSVLTVGFLYVSVSKSQVMDPSVLNNFTFFEPYVPPEFSEYNRQTFDVLAQKVQLWLHQSLCYTHNLINCFRGVHILGPFQYANLTVLLEDDIVDSQFVFDQSILRSKVKYEEKVLESIKGAEVNFNLADEEDQPRAVDENIEVVGDKNEWDFNSAPDSEEFGVPESIKGAEVSVNLSDEEDQPSAVDETIEVVGVKNDWDFNSAPDSEEFSVPESEVANLRPGSGVTETGKSAQEVIQESAETAANVVELQSNMVLEDQSVLIPQAAEIQPEILNSMPSQGINDISSAGIESPASEVNFEILAGAATENLRSSDLVNTRSAQIMVGISLIVFSLLGTAFVYMKSQTPTTRNAASAVDQMPATKKLDDSPMPVAAEHTDIVGESCPSEMSSFSLSCSKKGQGGTSEAHSCERKPRKNIYRREFMASPDYSVGSMGSPSYGSFTTYEKISKHGNEDEEVITPVRRSSRIRNQVTSP >Potri.002G180000.1.v4.1 pep chromosome:Pop_tri_v4:2:14128807:14129761:1 gene:Potri.002G180000.v4.1 transcript:Potri.002G180000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180000.v4.1 MASPPRNTLRALCTLITIFLLLAGLAVLIVWLIYRPHKPQFTVVGAAIYDLNTTCPPFISTSMQFSLVTRNPNRRVSIMYDKLTTYVSYRNQAITPSLALPPLYHATKSTVALSPVLGGAGVPVSVEVSNGLVMDEAYGVVALSVVLLGRLRWKAGAIKTLRYGVYVKCDVWVGLKKGFVGQVPLLGSPKCKVDI >Potri.005G219000.8.v4.1 pep chromosome:Pop_tri_v4:5:22170744:22175828:1 gene:Potri.005G219000.v4.1 transcript:Potri.005G219000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219000.v4.1 MGNQNHQHRVVKVRRETIEACMTCPLCNKLLRDATTISECLHTFCRKCIYQRISDEGLDSCPICNINLGCIPLEKLRPDHNLQDVRSKIFPYKRRKVEAPEVVESVTLPVIRRKERSLSSLVVSTPKVSTQTTTTGRRTKPFPRKAAALRGSGFSIEKPIKKEHDRAEDSPESSSSPETPKKFNQNTRQNSSSAEPSQPAPDDEAENGAEPRDGKSDLWQPLNFLVEVANRTKSFKSIPQVNDAKLESRPVHENEPQVHKTKFKENKDKSKVKDEKNNIDNVSEGTVEPKRLRRIRRKKAAFNDVSGISSPAVLHTAAAKQERRSGPVWFSLLASEEQ >Potri.005G219000.6.v4.1 pep chromosome:Pop_tri_v4:5:22170959:22175828:1 gene:Potri.005G219000.v4.1 transcript:Potri.005G219000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219000.v4.1 MGNQNHQHRVVKVRRETIEACMTCPLCNKLLRDATTISECLHTFCRKCIYQRISDEGLDSCPICNINLGCIPLEKLRPDHNLQDVRSKIFPYKRRKVEAPEVVESVTLPVIRRKERSLSSLVVSTPKVSTQTTTTGRRTKPFPRKAAALRGSGFSIEKPIKKEHDRAEDSPESSSSPETPKKFNQNTRQNSSSAEPSQPAPDDEAENGAEPRDGKSDLWQPLNFLVEVANRTKSFKSIPQVNDAKLESRPVHENEPQVHKTKFKENKDKSKVKDEKNNIDNVSEGTVEPKRLRRIRRKKAAFNDVSGISSPAVLHTAAAKQERRSGPVWFSLLASEEQEGDAPLPQIPSSYLRLKDGNVPVSFIQKYLVKKLDLTSEVEVEIRCMGRPVIPTLLLCNLVDQWLQAAPKPEQVPVSAGSSAKDFVMVLAYARKVPNP >Potri.005G245800.1.v4.1 pep chromosome:Pop_tri_v4:5:24059227:24060724:-1 gene:Potri.005G245800.v4.1 transcript:Potri.005G245800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245800.v4.1 MDSLALFCTGALIAGGIYWFVCILGPAEQKGKRAVDLSGGSISAEKVQDNYKQYWSFFRSPKEIETAEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHLEATRLHEEMAVDLINVKPGDRILDVGCGVGGPMRAIAAHSRAKVVGITINDYQVSRARTHNKKAGLDSLCEVVQGNFLEMPFPENSFDGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYKSSDPEHVEVIQGIERGDALPGLRNYSDIAETARKVGFEVVKEKDLAKPPAQPWWTRLQMGRIAYWRNHIVVTILSALGIAPKGTVDVHEMLFKTADYLTKGGDTGIFTPMHMILCRKPEKKTEETSNS >Potri.009G090100.1.v4.1 pep chromosome:Pop_tri_v4:9:8312047:8313242:1 gene:Potri.009G090100.v4.1 transcript:Potri.009G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090100.v4.1 MANQAGASSSAPLEPGFEDDENDMYGAESGWVEARTSCDHLASLSSDLAHIPTPDTPCNRCQHPSENWLCLSCKDVLCSRFVNKHMLQHFHQTSHCLALSYSDLSIWCFACDSYLDARVIIQLKPVSETAHILKFGEAPPFRSVECSKGNQADGGASSDS >Potri.004G128961.1.v4.1 pep chromosome:Pop_tri_v4:4:14073711:14074946:1 gene:Potri.004G128961.v4.1 transcript:Potri.004G128961.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128961.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQKRIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMQKLDRVLVNEKWNLKFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRHNRNKLLSLTREDGEVVEGHEAVKIRSNCILPSCVRS >Potri.008G076001.2.v4.1 pep chromosome:Pop_tri_v4:8:4706569:4708054:-1 gene:Potri.008G076001.v4.1 transcript:Potri.008G076001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076001.v4.1 MNSFHDHRKGSHIPAQKKNPFHHHHGHREEDFLLQFSLPAKAEDDTVAARNTPTPKIHIGRSGSPSRATKLRLQSLHFLVTKCSNMFSGIGPWTWTIM >Potri.008G076001.1.v4.1 pep chromosome:Pop_tri_v4:8:4706569:4708054:-1 gene:Potri.008G076001.v4.1 transcript:Potri.008G076001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076001.v4.1 MNTGFNFISHRGNGHPLEIGMNSFHDHRKGSHIPAQKKNPFHHHHGHREEDFLLQFSLPAKAEDDTVAARNTPTPKIHIGRSGSPSRATKLRLQSLHFLVTKCSNMFSGIGPWTWTIM >Potri.014G175350.2.v4.1 pep chromosome:Pop_tri_v4:14:13091444:13096092:1 gene:Potri.014G175350.v4.1 transcript:Potri.014G175350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175350.v4.1 MKITEMYSPDLRKPNHDNQFIQSNHLHQHLLSQIESLIIQTENLSPNYHSLPETISSDLRQTLTHLTQLPPFPNSLKLHIWKLAYRLWNACIDISNAVSILPSSPSPSHSSSFVENHAKLRHIAADMISLAGDVTSVTSPAVKSASFYLKTGLIWHDLRTFDLASSCFERATDIVSKLDIAAISDSGERKMLLDLNLARSRTAWEISDRNLAIILLTRAKTLLFGSSDHYKQLANQYLIFGKSVLSKNNDTDNSLKEALKLMSEALDLSEKGSSAARTREQIMELKELRSKSLRFISAVHLQKGEYESVIKCVKVLREGNGGGDGGDHHASLPVLAMKAWLGLGRYGEAEKELRDMVVNKGIPESVWVSAVEAYFDAAGTAGAETVKGVFLGLLGRCQVSARAAFRVANRVLGCVGSGGEGSSLRTKVVADLVSDERVVALFASEATAKERAAMHAVLWNRASEHFRSKDYETSAVMFEKSLLYISHDIENRILRAKGFRVLCLCYLGLSQFDRAQEYINEAEKLEPNIACAFLKFKIYLQNNDHNGAINQVQAMKTCFDFTPDFLSLSAHEAVACHALPVAISSLSNLLSFYTLGRSMPTTEVVVLRTLITILIQDPGNEVEVLKFMKRVHDRASELGTECFFGKEETGRREKNWFAVTSWNTGTKCGKEKKYELCAEFLRLVSGFYGLVDCQEEEHSIMVCKSLILSVSAMVASENQKKTALTDSEVKQAVELLDRAGKILTSISAGTQLGGDKITTVEPDLFFVHTFNAYDIYGRLGNFGPQQQLHFVKSFATSKACDPKYLLQIGLSTSQGPRSNPEVASFALNECLSALLSSPSPDYPDVALVVRRLIALASIHKGDSDDNAVQNLYKQAYRIMVGLKEGEYPTEEGKWLAMTAWNRAAVPVRLGQVDAAPRWMDAGLELAREVSGMETYRACMEDFATAFNKKSHSHSNG >Potri.004G021200.1.v4.1 pep chromosome:Pop_tri_v4:4:1515781:1517608:1 gene:Potri.004G021200.v4.1 transcript:Potri.004G021200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G021200.v4.1 MVCEKCEKKLSKVIVPDKWKEGASNTTEGGGRKINENKLLSKKKRWSPYGNTKCMICKQQVHQDGKYCHTCAYSKGVCAMCGKQVLDTKLYKQSNA >Potri.008G059400.2.v4.1 pep chromosome:Pop_tri_v4:8:3559454:3561888:-1 gene:Potri.008G059400.v4.1 transcript:Potri.008G059400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059400.v4.1 MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKKQGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGTRVRVFMYPSNI >Potri.006G141900.3.v4.1 pep chromosome:Pop_tri_v4:6:11946972:11955145:-1 gene:Potri.006G141900.v4.1 transcript:Potri.006G141900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G141900.v4.1 MTKIQAYEDFVKVHGILLAASGLPRTLHRKLFDKLTSETFDGGAYFQVDPCQDGRQRRLLLTSAASMPKDSNVFLIDHAWTFRLSDAYKQLQEVPGLAQRMAALMCVDIDSNSDVEEIDGDGVSRDTYSKLNVTDIVENEIGYAKERGYDTVKWLELEELDIDDDMLLSLDLSSKFPDLLALSLCGNKLENVEIVVQEVTKLKNLKALWLNNNPVLENCDGCMADTIFKGCPGLEIYNSCFTSNFGEWALGFCGGVYEKDNPCPIHQDNHPLQSVTSLDLSNRSIHSLINKAFSPVEMPSLSHLNIRGNPLKQNSVSELFKVLKGFTSLQTLEVDLPGPLGESAIEILESVPNLSQLNGVNVSKILETGNHVIDAVLQPRLPEWTAEEPLADRVINAMWLYLMTYRLADEEKIDETSVWYVMDELGSALRHSDEPNFRVAPFLFMPEGNLDSAVSYSILWPIQNVQNGDECTRDFLFGIGEDKQRSARLTAYFHTPQYYFIQEYEKFHQKLQSKSSTPLPVKSSSSRTLRRTDGCALRVYTDLPQVEGFLTRTEFIITTELKDADIIWTGMQVDDDVKRAAGITDQQYINQFPFEACLVMKHHLAETIQKAHGSPDWLHPTYNLESHLSQLIGDYYARKRDGMNNLWILKPWNMARTIDTTVTDNLSAIIRLMETGPKICQKYIEHPALFEGKKFDIRYIVLVRSVKPLELFLADVFWVRLANNQYTLDKHSLFEYETHFTVMNYRGILNHKNTPEFVKEFEQEHQVKWLDIHERVRNMIRSVFEAAATVHPEMHSPMSRAMYGVDVMLDSSFQPKLLEVTYCPDCTRACKYDTQAIGGGGELLKGSDFYNYVFGCLFLDETRHVCPL >Potri.013G118700.1.v4.1 pep chromosome:Pop_tri_v4:13:12679162:12680821:1 gene:Potri.013G118700.v4.1 transcript:Potri.013G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118700.v4.1 MHQLILKMPQTAAGPDHVAVLAFPFSSHAAPLLAIIHRLATSSPNTHFSFFSTQQSNNSIFSIYKQNRNIKAYDVWDGVPEGYVFAGKPQEHIELFMKSAPNSFKKAMEVAVSETGRKVSCLVSDAFFWFAGEMAEEIGVVWLPFWTAGPTSLSAHVYTDLIRDTFGVGGVAGHEDELLSLIPGMSKIRIRDLPEGVLFGNLEAVFPNMLHKMGRALPKAAAVFINSFEELDPRITRDLKSRFKEFLNIGPFNMISPAPPAADTYGCITWLDRQKLASVAYLSFGSITTPPPHELVALAEALETSGVPFIWSLKDNSKVHLPNGFLDRTTSQGLLVPWTPQMEVLAHKAVGVFITHCGWNSLLESIAGGVPMICRPFFGDQRLNGRMVEDAWKIGLQVEDGVFRKHGVLNSLDKVLSQDSGEEMRENIRALQQLAKKAIGPNGSSINNFVSLSDLVFNTKI >Potri.002G084100.2.v4.1 pep chromosome:Pop_tri_v4:2:5972797:5979469:-1 gene:Potri.002G084100.v4.1 transcript:Potri.002G084100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084100.v4.1 MSPYIVGVLVPLLVTLLYQNSKNTKKRGVPVDVGGEPGYAIRNSRFPILLETAWEGVFTLAQLFELACKKHADKYLLGTRTLISKDTQVSADGRSFEKLHLGEYEWLTYGEVFEKVCNFASGLAHLGHRSEERVAIFADTRAEWFMALQGSFRRNISVVTIYASLGEEALCYSLNETEVTTVICGNKELKKLVEVSGQLDTVKRVICMDDDIPSSASLVAQSGRWRVVSMADVEKLGRENPVDDVLPLAADVAVIMYTSGSTGLPKGVMMTHGNVLAVVSSVRTIVPGLEGNDVYLAYLPLAHILEIAAENLVAGVGSAIGYGSPLTLTDTSNKIKRGTKGDATVLRPTVMAAVPAILDRVREGVRKKVDEKGGIAKKLFDFAYARRISAINGSWFGARGLEMLLWNFLVFRKVRAILGGRIRFLLSGGAPLSGDTQRFINICLGAPIGQGYGLTETCAGGTFSEFDDTSVGRVGNPVPCSYIKLVDWPEGGYLISDSPMPRGEIVIGGPNVTLGYFKNEAKSKEVYKVDERGMRWFYTGDIGQFHADGCLEIIDRRKDIVKLQHGEYVSLGKVEAALIVSPYVDNMMLHADPFHSYCVALIVVAQPVLEEWASKRGIAFTSFAELCEKEEAIKEVQASLVKAAKAARLEKLEIPAKIKLLSDPWTPETGLVTAALKLKREAIRKAFSEELSKLYE >Potri.012G106300.5.v4.1 pep chromosome:Pop_tri_v4:12:12824533:12832496:1 gene:Potri.012G106300.v4.1 transcript:Potri.012G106300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106300.v4.1 MCLHVETAENLPMGDEASGSKALESPPVKLALEVDAKKSKKRKRRTHCSTMVANDCHITKLEKSYTRVMLSLTKPSYLLGLGSNFIRHENRMRLFHLLQKLVRQHNWTEAAGVLSVFLRATCKDKSPILNRFKYTVSLDLLKHIEGDDVSLSAISSIYDTWMARIGTNLSNTRNKDEQMKEDSFVVRLQFILSRVMQGDIEAERHNVKILMEERGYENHPFFNMILGLISSQLWYSSLPEEMQWKDTFQIHSPTHSDMPATPSQLEMSAMRFSHEVGDSERHNAICNEESGASFHCDSETSVMKDKEISVEVDGSFNREALPVEVGKLHKENLQKDFQPQGFYVNSAESDASFDNNGGHMHLVPNPTAFENSGSWLLPLRTGNWDLDQIVRDEEYRNAVKYLQEAVHSTPPVPAALLPLVQLLLIGCKDKEALDEIEKFCANSSGALPKRMKANILEHVDPNNSVVLATCYEDTLKSDPKCSQSLARLISLHQKGDYSPQSLLEMIALHLDAVFVEYDTWREFALCFFKVSLSEEDEMSVCQHGNEGEKRQSYSVCYNGIPKMFTQGKSVGLWRSRCRWWSTRHFSKSILASEIDAGDWQLLTYKAACASHMYGQDFGYVAKAYTCIQKENNRDLSMFLRQHMQNSLGLYSQLQRGNN >Potri.012G106300.4.v4.1 pep chromosome:Pop_tri_v4:12:12824505:12832497:1 gene:Potri.012G106300.v4.1 transcript:Potri.012G106300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106300.v4.1 MCLHVETAENLPMGDEASGSKALESPPVKLALEVDAKKSKKRKRRTHCSTMVANDCHITKLEKSYTRVMLSLTKPSYLLGLGSNFIRHENRMRLFHLLQKLVRQHNWTEAAGVLSVFLRATCKDKSPILNRFKYTVSLDLLKHIEGDDVSLSAISSIYDTWMARIGTNLSNTRNKDEQMKEDSFVVRLQFILSRVMQGDIEAERHNVKILMEERGYENHPFFNMILGLISSQLWYSSLPEEMQWKDTFQIHSPTHSDMPATPSQLEMSAMRFSHEVGDSERHNAICNEESGASFHCDSETSVMKDKEISVEVDGSFNREALPVEVGKLHKENLQKDFQPQGFYVNSAESDASFDNNGGHMHLVPNPTAFENSGSWLLPLRTGNWDLDQIVRDEEYRNAVKYLQEAVHSTPPVPAALLPLVQLLLIGCKDKEALDEIEKFCANSSGALPKRMKANILEHVDPNNSVVLATCYEDTLKSDPKCSQSLARLISLHQKGDYSPQSLLEMIALHLDAVFVEYDTWREFALCFFKVSLSEEDEMSVCQHGNEGEKRQSYSVCYNGIPKMFTQGKSVGLWRSRCRWWSTRHFSKSILASEIDAGDWQLLTYKAACASHMYGQDFGYVAKAYTCIQKENNRDLSMFLRQHMQNSLGLYSQLQRGNN >Potri.012G106300.3.v4.1 pep chromosome:Pop_tri_v4:12:12824535:12833081:1 gene:Potri.012G106300.v4.1 transcript:Potri.012G106300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106300.v4.1 MCLHVETAENLPMGDEASGSKALESPPVKLALEVDAKKSKKRKRRTHCSTMVANDCHITKLEKSYTRVMLSLTKPSYLLGLGSNFIRHENRMRLFHLLQKLVRQHNWTEAAGVLSVFLRATCKDKSPILNRFKYTVSLDLLKHIEGDDVSLSAISSIYDTWMARIGTNLSNTRNKDEQMKEDSFVVRLQFILSRVMQGDIEAERHNVKILMEERGYENHPFFNMILGLISSQLWYSSLPEEMQWKDTFQIHSPTHSDMPATPSQLEMSAMRFSHEVGDSERHNAICNEESGASFHCDSETSVMKDKEISVEVDGSFNREALPVEVGKLHKENLQKDFQPQGFYVNSAESDASFDNNGGHMHLVPNPTAFENSGSWLLPLRTGNWDLDQIVRDEEYRNAVKYLQEAVHSTPPVPAALLPLVQLLLIGCKDKEALDEIEKFCANSSGALPKRMKANILEHVDPNNSVVLATCYEDTLKSDPKCSQSLARLISLHQKGDYSPQSLLEMIALHLDAVFVEYDTWREFALCFFKVSLSEEDEMSVCQHGNEGEKRQSYSVCYNGIPKMFTQGKSVGLWRSRCRWWSTRHFSKSILASEIDAGDWQLLTYKAACASHMYGQDFGYVAKAYTCIQKENNRDLSMFLRQHMQNSLGLYSQLQRGNN >Potri.012G106300.6.v4.1 pep chromosome:Pop_tri_v4:12:12824551:12832472:1 gene:Potri.012G106300.v4.1 transcript:Potri.012G106300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106300.v4.1 MCLHVETAENLPMGDEASGSKALESPPVKLALEVDAKKSKKRKRRTHCSTMVANDCHITKLEKSYTRVMLSLTKPSYLLGLGSNFIRHENRMRLFHLLQKLVRQHNWTEAAGVLSVFLRATCKDKSPILNRFKYTVSLDLLKHIEGDDVSLSAISSIYDTWMARIGTNLSNTRNKDEQMKEDSFVVRLQFILSRVMQGDIEAERHNVKILMEERGYENHPFFNMILGLISSQLWYSSLPEEMQWKDTFQIHSPTHSDMPATPSQLEMSAMRFSHEVGDSERHNAICNEESGASFHCDSETSVMKDKEISVEVDGSFNREALPVEVGKLHKENLQKDFQPQGFYVNSAESDASFDNNGGHMHLVPNPTAFENSGSWLLPLRTGNWDLDQIVRDEEYRNAVKYLQEAVHSTPPVPAALLPLVQLLLIGCKDKEALDEIEKFCANSSGALPKRMKANILEHVDPNNSVVLATCYEDTLKSDPKCSQSLARLISLHQKGDYSPQSLLEMIALHLDAVFVEYDTWREFALCFFKVSLSEEDEMSVCQHGNEGEKRQSYSVCYNGIPKMFTQGKSVGLWRSRCRWWSTRHFSKSILASEIDAGDWQLLTYKAACASHMYGQDFGYVAKAYTCIQKENNRDLSMFLRQHMQNSLGLYSQLQRGNN >Potri.001G205966.1.v4.1 pep chromosome:Pop_tri_v4:1:20987733:20988128:-1 gene:Potri.001G205966.v4.1 transcript:Potri.001G205966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205966.v4.1 MSATSIITSSGNCSSTVNISHLFSNVRNKDFFTSSSLSQQQSLLLLTAAAFSSTLQQQHYEQQYKIQPKKTTEAISSQSQQQNSSSLPQQHQRYLYPSRNSRTHSKLGAVEVVLPNQQQQTPTSQPIANKN >Potri.001G212300.2.v4.1 pep chromosome:Pop_tri_v4:1:21815366:21816140:-1 gene:Potri.001G212300.v4.1 transcript:Potri.001G212300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212300.v4.1 MSEDHINQRDLPKLLQSAPQGHEQGEGELGLQAVDDQEECRTVPASSDHKIPTIQSCPPTPPSIDQAVDDQEECRTPTSSDHKIPTIRSCPPTPRKKVQVFAHKRKIPEFFDTTNKDEVESFFRSSFEVPSRVNQSRPMKRRCRSY >Potri.010G042300.6.v4.1 pep chromosome:Pop_tri_v4:10:7405849:7406670:1 gene:Potri.010G042300.v4.1 transcript:Potri.010G042300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042300.v4.1 MYHYGHHHRLPCLRCHPHSYIRMVQHLIERCLLLHMSRDQCVKALAKHASVHPLVTLTVWRELQQENREFFHAYFHSISPRHFTSRRTRRVLRLTREI >Potri.018G022800.1.v4.1 pep chromosome:Pop_tri_v4:18:1673750:1680738:1 gene:Potri.018G022800.v4.1 transcript:Potri.018G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022800.v4.1 MEQQQQQTPSLDDCLKLLKGERDEQRLAGLLLVTKFCNGDDLVSLRKVYNAVGVHFLDRLLRTGMGKGSVSGGDNRDMYLQLSVTVLAAFCRVPDIAASKEMVSKIPLILEIMPEVSGSPVLEECYEFLYLVTTSYEDGATTFYESGGMKVLASQMSSLPDGSHMMELAMKIVQSILSRLSQDVVTNTYVSELSMMVATVARQFALLHNSLKFEALHLLSEIFSSKYSKPLCDALCAMAGNKWPDHMRAGIVAILQNRVEPAEKLHALILAESVISIMGEGWLLGQPNLPDLPDSIPADRCFLLVLESARVEVAVLLNDLAYLKYEASKDTSTTVETILLKQRNVAIAFSLVERVIKLTSTMDEVEGSIIGERTLIKVINGLNETINVVLEYLEDAKEHGQKKGNDLLASVRVVGSYLAETPNACKEKVRELLDYMLTIEGEDEPSPFHSISFLLPMMCQITMDIEGCEALISSGGYKAVAECLVKLIGPHGNMVVDDGCTFLACDTVLNLLLKKEQMQFRMDESTFIDLLKALGYWAGNANDLSVIIMASSICALIFDYTSEETLLNHPNLDNSSLHNLYKIIARSLASCKQDMSDMVREETDLLEIVTSGFSRWAHRFPRLREAAESA >Potri.019G034700.2.v4.1 pep chromosome:Pop_tri_v4:19:4762156:4763340:1 gene:Potri.019G034700.v4.1 transcript:Potri.019G034700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034700.v4.1 MIASSGGTIMESSSGRSNLSSTKTERKVSEKNRRNQMKTLYSKLNSLLPDKESTEKQPLPDQIDEAISYIKSLEEKLEKTKEKKESLTFATSKSPKLKIQETGSALEIVFTSGLDNQFLFYEIISILHEEGVEVVSANSQALGDSFFHVVHAQMKESADGLGAARVTGRLNRLINGSTCEIELDSELWDFVNHPETNLEF >Potri.006G229200.3.v4.1 pep chromosome:Pop_tri_v4:6:23300350:23302215:1 gene:Potri.006G229200.v4.1 transcript:Potri.006G229200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229200.v4.1 MTPAYLNPASSSFPFVDLREEQNLQLFLSPHQAATSLSGPTNFFNTSAHDHQRETKPGESRQHDNQEVDMYNISHGGSSSSFQPEVNDHNYNSNFHNLSSSKMEDGAEESGESSVKWMPSKMRLMQKMTNSNCSETDHMPMKFMLKFHNQQYQNNEINSSSNSNSNIRVCSDCNTTSTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAAAAANGTVIAIEASSSTRSTKVNNKVKKSRTNHVSQNKKLSKPPESSLQSQKKLCFKNLALSLSKNPALQQVLPHDVEEAAILLMELSCGFIHS >Potri.009G160400.1.v4.1 pep chromosome:Pop_tri_v4:9:12409243:12416023:-1 gene:Potri.009G160400.v4.1 transcript:Potri.009G160400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160400.v4.1 MQSNPKTMSSKNPRERQMLESIYSMVALVFILVTCVDLCDAATVVDVYRLIQYDISGVPFGSRFATLNHHAGSLHFAAGVDLSRTVLIIPVRELNITFVKEYISQRKPLGGLLFLLPQMFNFENREAATESKYQIREKELMKTVLVELERLLIHANIPYPVYFAFEDDDIDAVLADVKRSDVSGQPATATTGGYKLVVSAPEPKRIASPSITNIQGWLPGLKADGDPNQLPTIAIVASYDTFGTAPALSVGSDSNGSGVVALLELARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTHKWLRSFDQRLRESIDYAICLNSIGSWDNELWIHVSKPPENTFIKQIFEGFSTVAEELGFEVGLKHKKINISNPRVAWEHEQFSKLRVTAATLSELSTAPELLESTGGLSDSRHFINETAIVRSIKLVAESVARHIYGCQGKNIQIFADDTSLAVNAPYIRSWLDLLSKTPRVVPFLTKNDPFVMALKKELEDHTHEVNVHHEVLDGMFTLYDSTKAKLNIYQVASVTFDLLLLLVLGSYLIILFTFLVITTRGLDDLISLFRRPPSRKVKTA >Potri.001G088200.1.v4.1 pep chromosome:Pop_tri_v4:1:7018093:7021805:-1 gene:Potri.001G088200.v4.1 transcript:Potri.001G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088200.v4.1 MDPKLTEVSQLFERFKAACTRDDFNTSTNLLSQLKVLLIGFRSLPPLFENTPHSVQELIIARDIYEHAVLLSVKIGDQDAFERDFFQLKPYYTDAGGRLAPSPQEYMILGLNLLRLLVQNRIAEFHTELELLSPAALENPCIKHAVELEQSFMEGAYNRVLSAKQNVPYKTFDYFMDLLAKTVRDEIAGCSEKAYDYLSISDARQMLLFSSDDELLEYIKEGHLEWEIKNGSVVFQKANESAPCKEIPSLHLINQTLSYARELERIV >Potri.003G145950.1.v4.1 pep chromosome:Pop_tri_v4:3:16059315:16060633:1 gene:Potri.003G145950.v4.1 transcript:Potri.003G145950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145950.v4.1 MTGVAKDSITKDMVMQILLKLPVKSMLRFRCASKSWNSLVTSPGFMKNHLDKAKQLLLLRTENPVVSYSLHLDNDRVDMRSRLEFPIPNEAGLFLIYVSVRASLRAPRLIPRPTEHPANSVGIRRLVLWNPSIRKTLDLPAPSSWAAIDKTLLGLGYDPQSDDYKVARVVRLKSPEYEDERPFAFQFYSLNSGSWNENADVSSSLANEDTLRSITLHGFGNPAIVNGVIHWLLHPRENNGMLALSFDLSNNSFGELMLPECFDPTERIAAMSISVFKNSLSFNVLKDYGGNYVCEVWVMSQYGTRESWDKQYRIEMLDIARPVVFRSNGEILMAGYANSQLVSCDPQTQEIHDTGLEVLLDDYADYFVESLALLDRSN >Potri.003G214100.3.v4.1 pep chromosome:Pop_tri_v4:3:21049032:21054615:-1 gene:Potri.003G214100.v4.1 transcript:Potri.003G214100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214100.v4.1 MATSLEELLAKEGFRGGRSGTRARPSFKAEAASMPRYPFGDQGKRDSPSGPSMRRIKTERTRSDVTRYTLRGESPGSNSSLSRRPRDDLVKREKLDSRLKAEHRGRGSKDVKEDKTLKVETLEDVKGSEIVEVGVEENETFKDIHSDIAYYSERTERSSKGNGSKERQREGKGKDKKVPERHHSISNENLEKHSEFSNDNRRSVDQSEAVYESSVRGSKIGNGFEDDQRPKNQKRAPAVPEIALDEVAVKAVISILNGYIKRFFKDAEFRTTLRQNCFSSLASIEIEEGNSIEIKAKANLEQAIETVEKAVEAAAGTKDLKTAALLLSVITSLNSNDLKDDYTSGTPNSRLSACAHIYLSVIYKLRKKDKVSAKHLLQVFCDSPFLARTLLLSELWDYLFFPHLSHLKTWYKKEADPLFNTASKITKLKFLDKVYNEVLDSCTYQFAVYYKDWLAEGVEAPSIPSVNIPFISQQGGTQDHSSGPASPAAPFLPQPMVSKKLYDAVFGHSSKPRVYDAEENWKADNFNNGANSSGSSPIQVKQTLTSSSEMVKYPGQDIENHSPENLHDNTSILDNGLLSASDEEWKLVNVSVSPDTDLKDDNRKSSAGQVSAGDTHMLNSSSHTKENELTLKTLAKSVFKIQRTEDSGDLTVSDLLHPKKAINASASIEGLNGSHESFDEGSIFESIPQDFVCPLTRQLFEDPVTLETGQTFEREAIRKWFNQGNRTCPLTGKTLECPTIPLTNFILKRMIDSWKLERCNHLLSFASQIFKNSEAYDSRQRNEDALFILEKLLASSSREERLTNAKHLISLGVLEFLIKRFEFGSLEEKTLVAALLSCCIEAESSCRNHIAIKIDKQCLFELLHGNQSKSARNVVGLLIELVCLSRRKGVTQFISGLPSETIVHAMDILLVYLQSSPAEEPLVAVLILHLDLLVEPRKYSIYRKEAVDAISMALESSLTDEKVREQSCRALNVLGGIFSASGNSSTESWILKQAGFDKNHEVNSREDNLLLDDPLSPEDEEESSEEWLRNLSESLLANGKMSILETISKCLSSGILDLVRACLTTIAWLSCGISLLPDSELQLFGFPTLISGLKEILEDDEQIEHQVLASMSLLNLSKNPECGSLLMIIAEEISVPLQSLAEVTWTAKELHAIISGEDP >Potri.009G009400.1.v4.1 pep chromosome:Pop_tri_v4:9:1820401:1820934:1 gene:Potri.009G009400.v4.1 transcript:Potri.009G009400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009400.v4.1 MNKASTSKTKVTDPKPRKDRHAKVNGRDRRIRLPVNCAARVFQLTQELGNKTDGETIEWLLRVAEPTIIAVTGKGIGTTNTIRGHAQAARVNTTSVSYSSDLHPLVSTGLSSPLMDPSEPRYMEPIQTQGLISPNCEVSADEPLSFPSEFDNLETNFDMEFPVNDMFPSVSGNDHEE >Potri.012G066600.8.v4.1 pep chromosome:Pop_tri_v4:12:8520753:8526724:1 gene:Potri.012G066600.v4.1 transcript:Potri.012G066600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066600.v4.1 MDFNESPVNYDFFGGQQQQISDQHPGMLQSLPRQQSGISDMQLLQQQLMLKQMQEMQRQQQLQKQQEARKMNSINQVSAFAKQAAANPSQALINGIPIRETSNYSWQPELMAANTTWQQRGLSPVMQGSFRGHVFSPEQGQGMPRLMGTVPQQVDQSLYGVPISATRVTQSQYSPVPMDKPSMQQILGSSNSLTSNQYTGFPEQVSMQDGTLVSRQGYQGKNMIASSDGQGINTGFNLESLQQLNPLKSNGPVQEICTRQYLAGPSEASQEETALQVAPSQNVATLDPTEAQILFGPDDNLWDTFGRGANMGSGGYNMSDGTDFFSSLPSVQSGSWSALMQSAVAETSSGDTGLQEEWSCLNFRNSEPPAENQQTPSVIASSKQQSNWADNSLQSASSLKSRPSRLSHEINTGTSYNNIPGAQQSGVNTSHEHRERLQSLSPRRHIQQFPEDGSKWSDRNLMQKAAFEGSHFHGKATHSSDAGSNTKGVPGSWTNQRSMPSYSSNDQPLNSPSGWNFMDSIPPSTTAALKNQENENSFQDSHNVDKEVPIFEVMGHGAGTWKTNSISELEHSKSAIGNPQAIPLASPSQQLLIPERAFPSQSPSQVIGSLSTNQVISNTGEKGHTLLARASSVLSLPFSCDTSHGHLRNTISGTSVHAGSSALGKFSAAFSPGFPYSKNHLPNQDMPDTGGQTTACESVNESFDRFSSQPKQTDESFERGQTNQSAQPDTSRHTTHNDFSSSAEMPQPNDDNQNHARNSAQQFPVLETAPAPQHCSSSHDGVSSKIQPPVWTSVPKQLRPFGAQPFQTPSDMFKPNLQSHKCSGITFSQPQKLEDQTMQTGGNSQAESDECSMNSHGYVGKEQPAKEDHLQQVPPENNWAQKTKCASHEKESAVNHLTEPASNLSSTQKQIEAFGRFLKPNNILHQSYSLLHQRQGMRNEEADHANRSLKRFKSPGGSVNAHLVATQGSQQIYEHNNMARDASANHTSFPPGDSKMLSLSEKTADNQDINAPSKDMLAFGRNDFQNFANSNSAVSVRDEHSQMSNQMGPSWFDNYGIFKNEQILPMQDACKDVTMKASELPFIAGRPDSSHAHSSLEQGIVAAANQFGIFQKSSISSSIAYENFSQSLQPDSADVNVVVMRSKKRKSTISKLVPWHKEVTLGSQRFQNLSAAEVEWALAVNRLTEKVEDELEMVDDGLPVHRSKRRLLLTTQLMQILLHPPLALILSADAILHYETAAYFVARSTLGDACSTFSCTGSDTPVPSNSGDLLPEKIKSSEKISDQYFSKVMEDLISRTRKLENDLLRLEKRASVSNLRVECQDLERFSVINRFAKFHGRDRTDGTESSSTSDAAANAHKSCLQRYVTALPMPRNLPDRVQCLSL >Potri.012G066600.6.v4.1 pep chromosome:Pop_tri_v4:12:8520677:8527424:1 gene:Potri.012G066600.v4.1 transcript:Potri.012G066600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066600.v4.1 MFTLSFSLLKKIKIKNRSLLLSSQLWTPNTTYISISHSIQNPKLKIKKSQANRGSFRLELKLRRQIENKISVRMDFNESPVNYDFFGGQQQQISDQHPGMLQSLPRQQSGISDMQLLQQQLMLKQMQEMQRQQQLQKQQEARKMNSINQVSAFAKQAAANPSQALINGIPIRETSNYSWQPELMAANTTWQQRGLSPVMQGSFRGHVFSPEQGQGMPRLMGTVPQQVDQSLYGVPISATRVTQSQYSPVPMDKPSMQQILGSSNSLTSNQYTGFPEQVSMQDGTLVSRQGYQGKNMIASSDGQGINTGFNLESLQQLNPLKSNGPVQEICTRQYLAGPSEASQEETALQVAPSQNVATLDPTEAQILFGPDDNLWDTFGRGANMGSGGYNMSDGTDFFSSLPSVQSGSWSALMQSAVAETSSGDTGLQEEWSCLNFRNSEPPAENQQTPSVIASSKQQSNWADNSLQSASSLKSRPSRLSHEINTGTSYNNIPGAQQSGVNTSHEHRERLQSLSPRRHIQQFPEDGSKWSDRNLMQKAAFEGSHFHGKATHSSDAGSNTKGVPGSWTNQRSMPSYSSNDQPLNSPSGWNFMDSIPPSTTAALKNQENENSFQDSHNVDKEVPIFEVMGHGAGTWKTNSISELEHSKSAIGNPQAIPLASPSQQLLIPERAFPSQSPSQVIGSLSTNQVISNTGEKGHTLLARASSVLSLPFSCDTSHGHLRNTISGTSVHAGSSALGKFSAAFSPGFPYSKNHLPNQDMPDTGGQTTACESVNESFDRFSSQPKQTDESFERGQTNQSAQPDTSRHTTHNDFSSSAEMPQPNDDNQNHARNSAQQFPVLETAPAPQHCSSSHDGVSSKIQPPVWTSVPKQLRPFGAQPFQTPSDMFKPNLQSHKCSGITFSQPQKLEDQTMQTGGNSQAESDECSMNSHGYVGKEQPAKEDHLQQVPPENNWAQKTKCASHEKESAVNHLTEPASNLSSTQKQIEAFGRFLKPNNILHQSYSLLHQRQGMRNEEADHANRSLKRFKSPGGSVNAHLVATQGSQQIYEHNNMARDASANHTSFPPGDSKMLSLSEKTADNQDINAPSKDMLAFGRNDFQNFANSNSAVSVRDEHSQMSNQMGPSWFDNYGIFKNEQILPMQDACKDVTMKASELPFIAGRPDSSHAHSSLEQGIVAAANQFGIFQKSSISSSIAYENFSQSLQPDSADVNVVVMRSKKRKSTISKLVPWHKEVTLGSQRFQNLSAAEVEWALAVNRLTEKVEDELEMVDDGLPVHRSKRRLLLTTQLMQILLHPPLALILSADAILHYETAAYFVARSTLGDACSTFSCTGSDTPVPSNSGDLLPEKIKSSEKISDQYFSKVMEDLISRTRKLENDLLRLEKRASVSNLRVECQDLERFSVINRFAKFHGRDRTDGTESSSTSDAAANAHKSCLQRYVTALPMPRNLPDRVQCLSL >Potri.012G066600.7.v4.1 pep chromosome:Pop_tri_v4:12:8520733:8526749:1 gene:Potri.012G066600.v4.1 transcript:Potri.012G066600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066600.v4.1 MDFNESPVNYDFFGGQQQQISDQHPGMLQSLPRQQSGISDMQLLQQQLMLKQMQEMQRQQQLQKQQEARKMNSINQVSAFAKQAAANPSQALINGIPIRETSNYSWQPELMAANTTWQQRGLSPVMQGSFRGHVFSPEQGQGMPRLMGTVPQQVDQSLYGVPISATRVTQSQYSPVPMDKPSMQQILGSSNSLTSNQYTGFPEQVSMQDGTLVSRQGYQGKNMIASSDGQGINTGFNLESLQQLNPLKSNGPVQEICTRQYLAGPSEASQEETALQVAPSQNVATLDPTEAQILFGPDDNLWDTFGRGANMGSGGYNMSDGTDFFSSLPSVQSGSWSALMQSAVAETSSGDTGLQEEWSCLNFRNSEPPAENQQTPSVIASSKQQSNWADNSLQSASSLKSRPSRLSHEINTGTSYNNIPGAQQSGVNTSHEHRERLQSLSPRRHIQQFPEDGSKWSDRNLMQKAAFEGSHFHGKATHSSDAGSNTKGVPGSWTNQRSMPSYSSNDQPLNSPSGWNFMDSIPPSTTAALKNQENENSFQDSHNVDKEVPIFEVMGHGAGTWKTNSISELEHSKSAIGNPQAIPLASPSQQLLIPERAFPSQSPSQVIGSLSTNQVISNTGEKGHTLLARASSVLSLPFSCDTSHGHLRNTISGTSVHAGSSALGKFSAAFSPGFPYSKNHLPNQDMPDTGGQTTACESVNESFDRFSSQPKQTDESFERGQTNQSAQPDTSRHTTHNDFSSSAEMPQPNDDNQNHARNSAQQFPVLETAPAPQHCSSSHDGVSSKIQPPVWTSVPKQLRPFGAQPFQTPSDMFKPNLQSHKCSGITFSQPQKLEDQTMQTGGNSQAESDECSMNSHGYVGKEQPAKEDHLQQVPPENNWAQKTKCASHEKESAVNHLTEPASNLSSTQKQIEAFGRFLKPNNILHQSYSLLHQRQGMRNEEADHANRSLKRFKSPGGSVNAHLVATQGSQQIYEHNNMARDASANHTSFPPGDSKMLSLSEKTADNQDINAPSKDMLAFGRNDFQNFANSNSAVSVRDEHSQMSNQMGPSWFDNYGIFKNEQILPMQDACKDVTMKASELPFIAGRPDSSHAHSSLEQGIVAAANQFGIFQKSSISSSIAYENFSQSLQPDSADVNVVVMRSKKRKSTISKLVPWHKEVTLGSQRFQNLSAAEVEWALAVNRLTEKVEDELEMVDDGLPVHRSKRRLLLTTQLMQILLHPPLALILSADAILHYETAAYFVARSTLGDACSTFSCTGSDTPVPSNSGDLLPEKIKSSEKISDQYFSKVMEDLISRTRKLENDLLRLEKRASVSNLRVECQDLERFSVINRFAKFHGRDRTDGTESSSTSDAAANAHKSCLQRYVTALPMPRNLPDRVQCLSL >Potri.012G066600.4.v4.1 pep chromosome:Pop_tri_v4:12:8520710:8526989:1 gene:Potri.012G066600.v4.1 transcript:Potri.012G066600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066600.v4.1 MFTLSFSLLKKIKIKNRSLLLSSQLWTPNTTYISISHSIQNPKLKIKKSQANRGSFRLELKLRRQIENQQQISDQHPGMLQSLPRQQSGISDMQLLQQQLMLKQMQEMQRQQQLQKQQEARKMNSINQVSAFAKQAAANPSQALINGIPIRETSNYSWQPELMAANTTWQQRGLSPVMQGSFRGHVFSPEQGQGMPRLMGTVPQQVDQSLYGVPISATRVTQSQYSPVPMDKPSMQQILGSSNSLTSNQYTGFPEQVSMQDGTLVSRQGYQGKNMIASSDGQGINTGFNLESLQQLNPLKSNGPVQEICTRQYLAGPSEASQEETALQVAPSQNVATLDPTEAQILFGPDDNLWDTFGRGANMGSGGYNMSDGTDFFSSLPSVQSGSWSALMQSAVAETSSGDTGLQEEWSCLNFRNSEPPAENQQTPSVIASSKQQSNWADNSLQSASSLKSRPSRLSHEINTGTSYNNIPGAQQSGVNTSHEHRERLQSLSPRRHIQQFPEDGSKWSDRNLMQKAAFEGSHFHGKATHSSDAGSNTKGVPGSWTNQRSMPSYSSNDQPLNSPSGWNFMDSIPPSTTAALKNQENENSFQDSHNVDKEVPIFEVMGHGAGTWKTNSISELEHSKSAIGNPQAIPLASPSQQLLIPERAFPSQSPSQVIGSLSTNQVISNTGEKGHTLLARASSVLSLPFSCDTSHGHLRNTISGTSVHAGSSALGKFSAAFSPGFPYSKNHLPNQDMPDTGGQTTACESVNESFDRFSSQPKQTDESFERGQTNQSAQPDTSRHTTHNDFSSSAEMPQPNDDNQNHARNSAQQFPVLETAPAPQHCSSSHDGVSSKIQPPVWTSVPKQLRPFGAQPFQTPSDMFKPNLQSHKCSGITFSQPQKLEDQTMQTGGNSQAESDECSMNSHGYVGKEQPAKEDHLQQVPPENNWAQKTKCASHEKESAVNHLTEPASNLSSTQKQIEAFGRFLKPNNILHQSYSLLHQRQGMRNEEADHANRSLKRFKSPGGSVNAHLVATQGSQQIYEHNNMARDASANHTSFPPGDSKMLSLSEKTADNQDINAPSKDMLAFGRNDFQNFANSNSAVSVRDEHSQMSNQMGPSWFDNYGIFKNEQILPMQDACKDVTMKASELPFIAGRPDSSHAHSSLEQGIVAAANQFGIFQKSSISSSIAYENFSQSLQPDSADVNVVVMRSKKRKSTISKLVPWHKEVTLGSQRFQNLSAAEVEWALAVNRLTEKVEDELEMVDDGLPVHRSKRRLLLTTQLMQILLHPPLALILSADAILHYETAAYFVARSTLGDACSTFSCTGSDTPVPSNSGDLLPEKIKSSEKISDQYFSKVMEDLISRTRKLENDLLRLEKRASVSNLRVECQDLERFSVINRFAKFHGRDRTDGTESSSTSDAAANAHKSCLQRYVTALPMPRNLPDRVQCLSL >Potri.014G151300.2.v4.1 pep chromosome:Pop_tri_v4:14:10518585:10526305:1 gene:Potri.014G151300.v4.1 transcript:Potri.014G151300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151300.v4.1 MKKVFGQTVRDFKREVNKKVLKVPSIEQKVLDATSNEPWGPHGSLLADIAQASRNYHEYQMIMAVLWKRINDTGKNWRHVYKALTVLEYLVAHGSERAIDEIREHSYQITTLSDFQYIDSSGKDQGNNVRKKSQSLVVLVNDKERIVEARQKAAANRDKFRNASPGGMNRPGSYDDDGRYGNRDEDRNGYGYGKEREYNYRDDERYGKYGDSYGRDGDHNGEERYGRDGYRDDDYQGRSRSIDDYGSRSRSSDRDRDHAFDDDGQSSSRGARADDQSHDGSIAKRLERKFSEQNISGPPSYEEALSESRSPAHSERNGEALAVPAPVASSPPAPRSFSPPAFNAASPPPSNPGQENTFFATPASPADQEVVVADEFDPRGPISAPPTATSVQTASAFTPTSNNAEMDLLGSLSDVFTPNPLAIMPVTSATTTSEADSQTNFSGSMFAATQSPSNVMNQAFEDPFGDSPFKATPTDAFSAQQPTASSAPFQPTMNQNTEMPNAVAPPNGDTFSAMTYSAPNVQPPSTNPHFLPQEMSSSHPETDILADILPPSGPSAVASQAGFSLPSGQHPQPGASVYGNFNSPPGNMVLLAAPHMAPQGQQLSSANFFTQGGSPAPIHSNMSLQPPAGPVVLFNNGNLVPQQGSTAPVVSQFSHHTPTGSAPQYNSGNFLPQHGSTFPVASQFTYQTPPASSPQHNDVLGNLFSQGPNTSMASQTALPSSTGSLAIVPQPSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKQPMTPVVSTITMGRAMGSGTGLGRAGAGVLRPPPNPTIGSGMGMGGGVNMGMGMGMGGPGSGIGMGGYGGMQQPMGGVGMGAGMNAGMNMGMMQGGQMPPGSAMPGGYNPMMGSGGYPSQQPYGGGYR >Potri.014G151300.6.v4.1 pep chromosome:Pop_tri_v4:14:10518780:10526228:1 gene:Potri.014G151300.v4.1 transcript:Potri.014G151300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151300.v4.1 MKKVFGQTVRDFKREVNKKVLKVPSIEQKVLDATSNEPWGPHGSLLADIAQASRNYHEYQMIMAVLWKRINDTGKNWRHVYKALTVLEYLVAHGSERAIDEIREHSYQITTLSDFQYIDSSGKDQGNNVRKKSQSLVVLVNDKERIVEARQKAAANRDKFRNASPGGMNRPGSYDDDGRYGNRDEDRNGYGYGKEREYNYRDDERYGKYGDSYGRDGDHNGEERYGRDGYRDDDYQGRSRSIDDYGSRSRSSDRDRDHAFDDDGQSSSRIAKRLERKFSEQNISGPPSYEEALSESRSPAHSERNGEALAVPAPVASSPPAPRSFSPPAFNAASPPPSNPGQENTFFATPASPADQEVVVADEFDPRGPISAPPTATSVQTASAFTPTSNNAEMDLLGSLSDVFTPNPLAIMPVTSATTTSEADSQTNFSGSMFAATQSPSNVMNQAFEDPFGDSPFKATPTDAFSAQQPTASSAPFQPTMNQNTEMPNAVAPPNGDTFSAMTYSAPNVQPPSTNPHFLPQEMSSSHPETDILADILPPSGPSAVASQAGFSLPSGQHPQPGASVYGNFNSPPGNMVLLAAPHMAPQGQQLSSANFFTQGGSPAPIHSNMSLQPPAGPVVLFNNGNLVPQQGSTAPVVSQFSHHTPTGSAPQYNSGNFLPQHGSTFPVASQFTYQTPPASSPQHNDVLGNLFSQGPNTSMASQTALPSSTGSLAIVPQPSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKQPMTPVVSTITMGRAMGSGTGLGRAGAGVLRPPPNPTIGSGMGMGGGVNMGMGMGMGGPGSGIGMGGYGGMQQPMGGVGMGAGMNAGMNMGMMQGGQMPPGSAMPGGYNPMMGSGGYPSQQPYGGGYR >Potri.014G151300.5.v4.1 pep chromosome:Pop_tri_v4:14:10518797:10526268:1 gene:Potri.014G151300.v4.1 transcript:Potri.014G151300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151300.v4.1 MKKVFGQTVRDFKREVNKKVLKVPSIEQKVLDATSNEPWGPHGSLLADIAQASRNYHEYQMIMAVLWKRINDTGKNWRHVYKALTVLEYLVAHGSERAIDEIREHSYQITTLSDFQYIDSSGKDQGNNVRKKSQSLVVLVNDKERIVEARQKAAANRDKFRNASPGGMNRPGSYDDDGRYGNRDEDRNGYGYGKEREYNYRDDERYGKYGDSYGRDGDHNGEERYGRDGYRDDDYQGRSRSIDDYGSRSRSSDRDRDHAFDDDGQSSSRGARADDQSHDGSIAKRLERKFSEQNISGPPSYEEALSESRSPAHSERNGEALAVPAPVASSPPAPRSFSPPAFNAASPPPSNPGQENTFFATPASPADQEVVVADEFDPRGPISAPPTATSVQTASAFTPTSNNAEMDLLGSLSDVFTPNPLAIMPVTSATTTSEADSQTNFSGSMFAATQSPSNVMNQAFEDPFGDSPFKATPTDAFSAQQPTASSAPFQPTMNQNTEMPNAVAPPNGDTFSAMTYSAPNVQPPSTNPHFLPQEMSSSHPETDILADILPPSGPSAVASQAGFSLPSGQHPQPGASVYGNFNSPPGNMVLLAAPHMAPQGQQLSSANFFTQGGSPAPIHSNMSLQPPAGPVVLFNNGNLVPQQGSTAPVVSQFSHHTPTGSAPQYNSGNFLPQHGSTFPVASQFTYQTPPASSPQHNDVLGNLFSQGPNTSMASQTALPSSTGSLAIVPQPSKDKFETKSTVWADTLSRGLVNLNISGPKTNPLADIGVDFDALNRKEKRMEKQPMTPVVSTITMGRAMGSGTGLGRAGAGVLRPPPNPTIGSGMGMGGGVNMGMGMGMGGPGSGIGMGGYGGMQQPMGGVGMGAGMNAGMNMGMMQGGQMPPGSAMPGGYNPMMGSGGYPSQQPYGGGYR >Potri.014G151300.4.v4.1 pep chromosome:Pop_tri_v4:14:10518797:10526284:1 gene:Potri.014G151300.v4.1 transcript:Potri.014G151300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151300.v4.1 MKKVFGQTVRDFKREVNKKVLKVPSIEQKVLDATSNEPWGPHGSLLADIAQASRNYHEYQMIMAVLWKRINDTGKNWRHVYKALTVLEYLVAHGSERAIDEIREHSYQITTLSDFQYIDSSGKDQGNNVRKKSQSLVVLVNDKERIVEARQKAAANRDKFRNASPGGMNRPGSYDDDGRYGNRDEDRNGYGYGKEREYNYRDDERYGKYGDSYGRDGDHNGEERYGRDGYRDDDYQGRSRSIDDYGSRSRSSDRDRDHAFDDDGQSSSRGARADDQSHDGSIAKRLERKFSEQNISGPPSYEEALSESRSPAHSERNGEALAVPAPVASSPPAPRSFSPPAFNAASPPPSNPGQENTFFATPASPADQEVVVADEFDPRGPISAPPTATSVQTASAFTPTSNNAEMDLLGSLSDVFTPNPLAIMPVTSATTTSEADSQTNFSGSMFAATQSPSNVMNQAFEDPFGDSPFKATPTDAFSAQQPTASSAPFQPTMNQNTEMPNAVAPPNGDTFSAMTYSAPNVQPPSTNPHFLPQEMSSSHPETDILADILPPSGPSAVASQAGFSLPSGQHPQPGASVYGNFNSPPGNMVLLAAPHMAPQGQQLSSANFFTQGGSPAPIHSNMSLQPPAGPVVLFNNGNLVPQQGSTAPVVSQFSHHTPTGSAPQYNSGNFLPQHGSTFPVASQFTYQTPPASSPQHNDVLGNLFSQGPNTSMASQTALPSSTGSLAIVPQPSKDKFETKSTVWADTLSRGLVNLNISGREYIQYLKANKTSKTNPLADIGVDFDALNRKEKRMEKQPMTPVVSTITMGRAMGSGTGLGRAGAGVLRPPPNPTIGSGMGMGGGVNMGMGMGMGGPGSGIGMGGYGGMQQPMGGVGMGAGMNAGMNMGMMQGGQMPPGSAMPGGYNPMMGSGGYPSQQPYGGGYR >Potri.001G416300.3.v4.1 pep chromosome:Pop_tri_v4:1:44471244:44478272:1 gene:Potri.001G416300.v4.1 transcript:Potri.001G416300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416300.v4.1 MVGTANNGRMRHAFSVVNGEQEGGLNSAPPSNAGSEYGGFEFTREDVYALLCERMKYKNKFNYKERCENMMDYIKRLRLCIKWFQELEGSYLFEQEKLQNALDFAESRCAEMDLIVKNKEEELNLIIVELRKSLASLQEKLSKEESEKLAAMDSLAREKEARLTVEKSQASLSEELGKIQGELQNANQRITSVSDMYKLLQEYNSSLQLYNSKLQTDLDTAHENVKRGEKEKAAIVENLSTLGGQYMSLQDQFNSCKASVNDAAKQKDALVKEVASVRAELQQVREDRDQLQLQVQTLTAEVVNCEELVIKSNELKERCVSQSNQLKTLQDQLDAAQNKLRVSDLSAFEAKTEFEEQKKLICELQNRLEDAELKIVEGETLRKKLHNTILELKGNIRVFCRVRPLLPEDSPGADGKDVSYPTTTEALGRGIDLTQNGQKYSFTFDKVFMPDSTQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGNLEQKGLIPRSLEQIFQTRQSLQSQGWKYEMQVSMLEIYNETIRDLLSTKDSSRTEYGSNGKQYTIKHDANGNTHVSDLTVVDVCSSREVSFLLDQASHSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGSTGDRLRETQAINKSLSSLSDVIFSLAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDHSSLGESLCSLRFASRVNACEIGIPRRQANMRSFDSRLSLG >Potri.001G416300.2.v4.1 pep chromosome:Pop_tri_v4:1:44471536:44478353:1 gene:Potri.001G416300.v4.1 transcript:Potri.001G416300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416300.v4.1 MVGTANNGRMRHAFSVVNGEQEGGLNSAPPSNAGSEYGGFEFTREDVYALLCERMKYKNKFNYKERCENMMDYIKRLRLCIKWFQELEGSYLFEQEKLQNALDFAESRCAEMDLIVKNKEEELNLIIVELRKSLASLQEKLSKEESEKLAAMDSLAREKEARLTVEKSQASLSEELGKIQGELQNANQRITSVSDMYKLLQEYNSSLQLYNSKLQTDLDTAHENVKRGEKEKAAIVENLSTLGGQYMSLQDQFNSCKASVNDAAKQKDALVKEVASVRAELQQVREDRDQLQLQVQTLTAEVVNCEELVIKSNELKERCVSQSNQLKTLQDQLDAAQNKLRVSDLSAFEAKTEFEEQKKLICELQNRLEDAELKIVEGETLRKKLHNTILELKGNIRVFCRVRPLLPEDSPGADGKDVSYPTTTEALGRGIDLTQNGQKYSFTFDKVFMPDSTQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGNLEQKGLIPRSLEQIFQTRQSLQSQGWKYEMQVSMLEIYNETIRDLLSTKDSSRTEYGSNGKQYTIKHDANGNTHVSDLTVVDVCSSREVSFLLDQASHSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGSTGDRLRETQAINKSLSSLSDVIFSLAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDHSSLGESLCSLRFASRVNACEIGIPRRQANMRSFDSRLSLG >Potri.001G416300.5.v4.1 pep chromosome:Pop_tri_v4:1:44471469:44478197:1 gene:Potri.001G416300.v4.1 transcript:Potri.001G416300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416300.v4.1 MVGTANNGRMRHAFSVVNGEQEGGLNSAPPSNAGSEYGGFEFTREDVYALLCERMKYKNKFNYKERCENMMDYIKRLRLCIKWFQELEGSYLFEQEKLQNALDFAESRCAEMDLIVKNKEEELNLIIVELRKSLASLQEKLSKEESEKLAAMDSLAREKEARLTVEKSQASLSEELGKIQGELQNANQRITSVSDMYKLLQEYNSSLQLYNSKLQTDLDTAHENVKRGEKEKAAIVENLSTLGGQYMSLQDQFNSCKASVNDAAKQKDALVKEVASVRAELQQVREDRDQLQLQVQTLTAEVVNCEELVIKSNELKERCVSQSNQLKTLQDQLDAAQNKLRVSDLSAFEAKTEFEEQKKLICELQNRLEDAELKIVEGETLRKKLHNTILELKGNIRVFCRVRPLLPEDSPGADGKDVSYPTTTEALGRGIDLTQNGQKYSFTFDKVFMPDSTQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGNLEQKGLIPRSLEQIFQTRQSLQSQGWKYEMQVSMLEIYNETIRDLLSTKDSSRTEYGSNGKQYTIKHDANGNTHVSDLTVVDVCSSREVSFLLDQASHSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGSTGDRLRETQAINKSLSSLSDVIFSLAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDHSSLGESLCSLRFASRVNACEIGIPRRQANMRSFDSRLSLG >Potri.001G416300.4.v4.1 pep chromosome:Pop_tri_v4:1:44471473:44478227:1 gene:Potri.001G416300.v4.1 transcript:Potri.001G416300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416300.v4.1 MVGTANNGRMRHAFSVVNGEQEGGLNSAPPSNAGSEYGGFEFTREDVYALLCERMKYKNKFNYKERCENMMDYIKRLRLCIKWFQELEGSYLFEQEKLQNALDFAESRCAEMDLIVKNKEEELNLIIVELRKSLASLQEKLSKEESEKLAAMDSLAREKEARLTVEKSQASLSEELGKIQGELQNANQRITSVSDMYKLLQEYNSSLQLYNSKLQTDLDTAHENVKRGEKEKAAIVENLSTLGGQYMSLQDQFNSCKASVNDAAKQKDALVKEVASVRAELQQVREDRDQLQLQVQTLTAEVVNCEELVIKSNELKERCVSQSNQLKTLQDQLDAAQNKLRVSDLSAFEAKTEFEEQKKLICELQNRLEDAELKIVEGETLRKKLHNTILELKGNIRVFCRVRPLLPEDSPGADGKDVSYPTTTEALGRGIDLTQNGQKYSFTFDKVFMPDSTQEDVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGKPGNLEQKGLIPRSLEQIFQTRQSLQSQGWKYEMQVSMLEIYNETIRDLLSTKDSSRTEYGSNGKQYTIKHDANGNTHVSDLTVVDVCSSREVSFLLDQASHSRSVGKTQMNEQSSRSHFVFTLRISGVNENTEQQVQGVLNLIDLAGSERLSKSGSTGDRLRETQAINKSLSSLSDVIFSLAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDHSSLGESLCSLRFASRVNACEIGIPRRQANMRSFDSRLSLG >Potri.017G017100.1.v4.1 pep chromosome:Pop_tri_v4:17:1256643:1259480:-1 gene:Potri.017G017100.v4.1 transcript:Potri.017G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017100.v4.1 MLEKIMATEEAITSSNNGFVENPVGGSNPPALKKKRNLPGTPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVKKRVYVCPEKTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVNAVSSINNLTAGSINYHLMGNPLGPNMAQHFSSIFKPISSNDHQTRQGGVSLWMNQGVPQVSEALMGNNIQEIHQLRSANSSGAMFGDLLAVSCSHAPPSDHYQFNWPVFGNKISSNNAHEELTSTLVLPLTNVKEAAAASQLASVPSLYSTQQQQSHQTTSANMSATALLQKAAQIGATSTDPSFLGSFALKSNAKKVQDGNKFCGLYGSSPVSTNPASDVENSGSDQISSLNQLQMYPKRQKIFQSDQDSPAGGQTRDFLGVGVQAICHPSSINGWI >Potri.007G082600.2.v4.1 pep chromosome:Pop_tri_v4:7:10740305:10741254:-1 gene:Potri.007G082600.v4.1 transcript:Potri.007G082600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082600.v4.1 MVKFTTLSVCVSVLFFLHLVFFVHGKDDTNQLLVGNGDSAHMGRADHECRGKERGKCKKLGDEESEETLYENEDYIYTQSNP >Potri.006G220150.1.v4.1 pep chromosome:Pop_tri_v4:6:22564279:22566091:-1 gene:Potri.006G220150.v4.1 transcript:Potri.006G220150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220150.v4.1 MVGDGINDAPSLALADVGIAIQNEAQENAASDVASIVLLGNRLSQVVDALDLSRATMAKVYQNLSWAIAYNVVAIPIAAGVLLPQYDFAMAPSLSGGLMALSSVFVVTNSLPLQLHKS >Potri.019G097100.3.v4.1 pep chromosome:Pop_tri_v4:19:13458285:13463070:1 gene:Potri.019G097100.v4.1 transcript:Potri.019G097100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097100.v4.1 MAMFFLFLSIFLFVYIWRFIIRSRHISPSPSTPSTLTTAQPQVIKYDVFLSFRGEDTRFDFTSHLYAALKRKQILTFIDYQLVRGDEISASLLRTVEEAKLSVIVFSENYASSKWCLEELAKIFERRKNNGQIVIPVFYQVDPSHVRNRTGSFGDAFARLIKKKALTMDKEQNFRDALTDAANLSGWSLGKSELESEFIEKIVEDVLNKLHDMSSSHTTGLFGIDVRVKKVESLLNMESQDVLIVGIWGMGGIGKTTIAEAVCNKVRSRFEGIFFANFRQELEPGSMADLQRSFLSQLLGQEILNMGSLSFRDSFVRERLRRKKVFILLDDVDDLMPLEEWKDLLDGRHSSFGLGSKVLITSRDKQVLNNIVDETYEVERLNYEEALQLFSSKALKNCIPTIDHRDSIKRIASHVQGNPLALIVLGSSLYGKSPEEWYSALNKLALNSRIENALRISYNGLDQEQRSIFLDIAHFFRGFEQNQATRILDGFYGRPVIFDISTLIDKCLITTSRNMLEIHDLLQEMAFSIVRAESKFPGKRSRLCHFTNVVHVLEENKGTEEIEGISLDMSRLSRQIHLKSDAFATMNGLRFLKFYFGHFSEDNKNKMHLPPTGLKYLSNKLRYLHWDGFPSKSLPQVFCAELLVELNLRRSKVEKLWEGVQDVGNLRKFVLSFSPYLMELPDLSKAKNLVCLYLVDCSSLTEVPSSLQSLDKLEELDLYFCYNLRSFPMLDSKVLRVLAISRCLDMTKCPTISQNMKSLYLEETSIKEVPRSITSKLESLGLHGCSKITKFPEISGDIKTLYLSGTAIKEVPSSIQFLTRLRVLDMSGCSKLESFPKILVPMKSLVDLNLSKTGIKEIPSSFKHMISLRSLGLDGTPIEELPLSIKDMVCLRYLALHGTPIKALPELPPSLRSLTTHDCASLETMISTINIGRLWDGLNFANCFKLDQKPLITAMHLKIQSGDKIPYDRMQMVLPGSEIPEWFGDKGIGSSLTIQLPSNCRQLKGIAFCLVFLLPLPSHEMLYEFDDHPEVRVYFDCQVKSKNGEHDGDDEEVFVSKKSYSIFNFLKTCDSDHMFLHYELELVNHFRKYSGNEVTFKFYHEVVNGSTNVGHEIRKPCKLKSCGVYLHFDENLQADTLLRIFLNKQKFRRKLREK >Potri.009G139200.1.v4.1 pep chromosome:Pop_tri_v4:9:11156134:11159203:-1 gene:Potri.009G139200.v4.1 transcript:Potri.009G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139200.v4.1 MLRCISAVVSKRGFIRARLLSSYTSPHSSAQDLYLYEFNKKISNLAKNGRIDEARALFDQMEETNTVSWNAIIRAYVKRREIAKARKLFDEMPQRDIVSWNLMISGYVSCHGIRFLKEGRNLFDRMPERDIVSWNTMISGYAKNGRMDEALRMFKLMPEGDVVSWNAIVTGFLQNGDVARALEYFERMPERDAASLSALVSGLIRNGELDEAARVVVRFERDGGRKENLLQAYNTLIAGYGRRDRVDEARKLFDQIPFCDGKGKGGDGRFGRNVVSWNTMIMCYVKAGNIVFARELFDQMMERDTISWNTMISGYVNMLDMDEASRLFCEMPNPDIFSWNKMIAGHAQIGDLDRVNDLFGRMPQKNLVSWNSVITGYEKNDDYIGAIKIFIQMQVEGEKPDRHTLSSVLSVSAGIVDLQLGMQIHQLVTKTVIPDVPINNALITMYSRCGAIIEAGTIFDEVKLQKEVISWNAMIGGYASHGYAVEALEVFKLMKSFDVRPTHITFISVLHACAHAGLVEEGREIFESMAGEFGIEPSVEHYASLVDIMSRHGQLEQALDLINSMPFEPDKAVWGALLSAAKVHNKIEVARVAAEALIRLEPDSSAPYVLLYNMYADVGQWDSAAEVRIMMERSNIKKQAAYSWVDSSHW >Potri.008G084300.2.v4.1 pep chromosome:Pop_tri_v4:8:5271311:5272434:1 gene:Potri.008G084300.v4.1 transcript:Potri.008G084300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084300.v4.1 MASALLNAVLFVTFFALVTASDPNIITDFEIPANSSTKIGGDFFTFTDLRGFFDRAYPPNSKVTKASLAEFPALNGQSVSFATLEYPAGTINPPHTHPRSAELLFVVDGSLEVGFIDTTNKLYTQTLQLGDMFVFPKGLVHYQSNANAKNPATAISAFGSANAGTVSVPSTVFATGIDDNILAKAFKTDIGTIQKIKAGLAVKG >Potri.005G208700.1.v4.1 pep chromosome:Pop_tri_v4:5:21306759:21309841:1 gene:Potri.005G208700.v4.1 transcript:Potri.005G208700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208700.v4.1 MEVSSSDMEFTEIETNADSIDNSVVFHVIKSVFGFVLYMHQQIPSIFQDISLEFDSLQTEYKELETTITNTELKASVRRNHISRMREVKHGIKRLEKLVNTVGGLESALQLIISEVLCIEEVILVLGASPIRPQHVYELCFSRGNVVPRDDGGFAKSKVAEGLSRKAVRALISKGAGSSSYPGPSKLFLLVKAPSSFNLPLHFLPKRDFRYSKKIVPSRLQIKCRKVLEMDAPDCGSQTSSSRNSRESASNDLIWFQCRHVVKGLAFKIPTEE >Potri.005G208700.3.v4.1 pep chromosome:Pop_tri_v4:5:21306759:21309841:1 gene:Potri.005G208700.v4.1 transcript:Potri.005G208700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208700.v4.1 MEVSSSDMEFTEIETNADSIDNSVVFHVIKSVFGFVLYMHQQIPSIFQDISLEFDSLQTEYKELASVRRNHISRMREVKHGIKRLEKLVNTVGGLESALQLIISEVLCIEEVILVLGASPIRPQHVYELCFSRGNVVPRDDGGFAKSKVAEGLSRKAVRALISKGAGSSSYPGPSKLFLLVKAPSSFNLPLHFLPKRDFRYSKKIVPSRLQIKCRKVLEMDAPDCGSQTSSSRNSRESASNDLIWFQCRHVVKGLAFKIPTEE >Potri.001G352300.6.v4.1 pep chromosome:Pop_tri_v4:1:36587223:36594011:-1 gene:Potri.001G352300.v4.1 transcript:Potri.001G352300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352300.v4.1 MVVSGPITPGQVSFLLGFIPVFVAWIYSEFLEYKKTSSPQKVHSDNNLLDLEKETIKEDDRAVLLEGGLPRSASAKFHSSAIKMNLIRFMTLDDSFLLENRATLRAMSEFGAVLLYFYICDRTNILGESTKSYNRDLFVFLYILLIIVSSMTSLRKHTDKSAFTGKSMLYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRIFIAAYVWMTGFGNFSYYYIRKDFSVARFSQMMWRLNFFVAFCCIILNNDYMLYYICPMHTLFTLMVYGALGIFNKYNENSSVMAVKILSCFLVVILIWEIPGVFDFLWSPLTFLLGYSDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYFHPNIEKWMEKLEESETKKKLSMKTGIVAVSVSVGYLWYEYIYKLDKVSYNKYHPYTSWIPITVYICLRNCTQQLRSFSSTLFAWLGKITLETYISQFHIWLRSDIPNGQPKWLLSFIPEYPLLNFMLTTAIYVLVSHRLFELTNTLKTVFIPTKDNKRLFYNSVAGAAISVCLYCVAVILLHIPHSPA >Potri.001G352300.4.v4.1 pep chromosome:Pop_tri_v4:1:36587218:36593978:-1 gene:Potri.001G352300.v4.1 transcript:Potri.001G352300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352300.v4.1 MVVSGPITPGQVSFLLGFIPVFVAWIYSEFLEYKKTSSPQKVHSDNNLLDLEKETIKEDDRAVLLEGGLPRSASAKFHSSAIKMNLIRFMTLDDSFLLENRATLRAMSEFGAVLLYFYICDRTNILGESTKSYNRDLFVFLYILLIIVSSMTSLRKHTDKSAFTGKSMLYLNRHQTEEWKGWMQVLFLMYHYFAAAEIYNAIRIFIAAYVWMTGFGNFSYYYIRKDFSVARFSQMMWRLNFFVAFCCIILNNDYMLYYICPMHTLFTLMVYGALGIFNKYNENSSVMAVKILSCFLVVILIWEIPGVFDFLWSPLTFLLGYSDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYFHPNIEKWMEKLEESETKKKLSMKTGIVAVSVSVGYLWYEYIYKLDKVSYNKYHPYTSWIPITVYICLRNCTQQLRSFSSTLFAWLGKITLETYISQFHIWLRSDIPNGQPKWLLSFIPEYPLLNFMLTTAIYVLVSHRLFELTNTLKTVFIPTKDNKRLFYNSVAGAAISVCLYCVAVILLHIPHSPA >Potri.010G102700.1.v4.1 pep chromosome:Pop_tri_v4:10:12519948:12523864:-1 gene:Potri.010G102700.v4.1 transcript:Potri.010G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102700.v4.1 MIPPKSNLDFENDSEVSSQVASNLPMHAPSPDPSKESTTPSSSLTDLIKIQENPVPVSLDLSLHFNSSEIELKGTGETSSEVAAHAPNTTIPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRMGILSDRYTSLASLPLHGSAFRSLGIKAHAAMHQSLIQSQTPANTRGGARFGQGYYGMPMFMEEDDVGSYWPGSFRQVDEDVGGNSGLEFAQSPNMNFEARAPPSRTDSSAPDLTLKL >Potri.011G029500.2.v4.1 pep chromosome:Pop_tri_v4:11:2238362:2239583:-1 gene:Potri.011G029500.v4.1 transcript:Potri.011G029500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029500.v4.1 MRLSKMASTRPQQVKVLTRFMALHNAEVIFLPQTVQPASRMLLGPELWFKWCFLRYSDRSFFGELDQSGMVATYNDTNFEDAKVVSEGLNFTKTLASTTPNQPSMFYTAVLDVGQSGKRYGMAQCTRDLSKSDCGKCLDFQLATYLNIIGNKRSWDIYGSSCRMWYYDYQFYFNFSTPAAKGGSTRSSPHRVAIGMAFPVLVFLLVL >Potri.010G116200.1.v4.1 pep chromosome:Pop_tri_v4:10:13527417:13535802:1 gene:Potri.010G116200.v4.1 transcript:Potri.010G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116200.v4.1 MGERKMSSGSRLVREGEGEGEEGEDIWAKATKAADDLYILRDTYFPPNPLDKISKLQSQSDLSLNLLDSIPLEYRKSPVQRATYEYLRGKLLDVVPEYNKEAEDHLSKAVKLNPSLADAWLCLGNCIWKKGDLPSAKNCFNLALSKGANKKILCLLSMLERRMAQGSENQAQIVEESIQHAKDAITLDVKDGNSWYNLGNACLTSFFVTGAWDHSKLLQSLKAYQNAEKDERMKSNPDLYFNCATVNRYLENYERALSGFEAAALKDPSLNATEEVQKMVNLLDNLENLLRGQARTKRLASLASSLAAVKLNSSYKRASVDQLSEGLNRAVAIVGKVLFFVKQENATPLYYLVCDSNQICFVLSVYGMRNDAIKEGDQLTLLEPYYHYVDFSWKGKHYNFKSVRVDFTEQVLVNGKVLSSHHAVRSSIYAQHKP >Potri.018G106100.6.v4.1 pep chromosome:Pop_tri_v4:18:12510189:12523483:-1 gene:Potri.018G106100.v4.1 transcript:Potri.018G106100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106100.v4.1 MMFDCGSKSQYLGGGQRDKFVRLDDLDSRLSSPSSAGVRSCGFGIEGFRRTGQGADTPSRSFKRGIRKGSEGLKSIGRSLRFGVPRGVFPEDLKVSEKKIFDPQDKFLQFCNKLFLISCILAVSVDPLFFYLPVFSDSETCLGIDRKLATIATTLRTIVDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPTQIAKRYMQRYFIIDLLSVLPLPQIVVWRFLLRSKGSDVLATKQALLYIILLQYIPRFFRILPLTSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGSFWYLLAVERNDACWQKNCTAAVKCKKDFLYCGNRGMEDYRAWDSSILNSNCSADDNNQFDYGIYSNALSSGIVSSKKFVSKYCFCLWWGLQNLSTLGQGLETSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLREGDFCGEELLTWALDPKSGANLPSSTRTVKALREVEAFALIAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKSLELRRKEEEDEAEADGNRSNMRGGGSYSIGATFLATRFAANALRGVHRNRNAKTARELVKLQKPPEPDFTADDAD >Potri.018G106100.7.v4.1 pep chromosome:Pop_tri_v4:18:12510324:12523272:-1 gene:Potri.018G106100.v4.1 transcript:Potri.018G106100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106100.v4.1 MMFDCGSKSQYLGGGQRDKFVRLSSPSSAGVRSCGFGIEGFRRTGQGADTPSRSFKRGIRKGSEGLKSIGRSLRFGVPRGVFPEDLKVSEKKIFDPQDKFLQFCNKLFLISCILAVSVDPLFFYLPVFSDSETCLGIDRKLATIATTLRTIVDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPTQIAKRYMQRYFIIDLLSVLPLPQIVVWRFLLRSKGSDVLATKQALLYIILLQYIPRFFRILPLTSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGSFWYLLAVERNDACWQKNCTAAVKCKKDFLYCGNRGMEDYRAWDSSILNSNCSADDNNQFDYGIYSNALSSGIVSSKKFVSKYCFCLWWGLQNLSTLGQGLETSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLREGDFCGEELLTWALDPKSGANLPSSTRTVKALREVEAFALIAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKSLELRRKEEEDEAEADGNRSNMRGGGSYSIGATFLATRFAANALRGVHRNRNAKTARELVKLQKPPEPDFTADDAD >Potri.018G106100.5.v4.1 pep chromosome:Pop_tri_v4:18:12510164:12523515:-1 gene:Potri.018G106100.v4.1 transcript:Potri.018G106100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106100.v4.1 MMFDCGSKSQYLGGGQRDKFVRLDDLDSRLSSPSSAGVRSCGFGIEGFRRTGQGADTPSRSFKRGIRKGSEGLKSIGRSLRFGVPRGVFPEDLKVSEKKIFDPQDKFLQFCNKLFLISCILAVSVDPLFFYLPVFSDSETCLGIDRKLATIATTLRTIVDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPTQIAKRYMQRYFIIDLLSVLPLPQIVVWRFLLRSKGSDVLATKQALLYIILLQYIPRFFRILPLTSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGSFWYLLAVERNDACWQKNCTAAVKCKKDFLYCGNRGMEDYRAWDSSILNSNCSADDNNQFDYGIYSNALSSGIVSSKKFVSKYCFCLWWGLQNLSTLGQGLETSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLREGDFCGEELLTWALDPKSGANLPSSTRTVKALREVEAFALIAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKSLELRRKEEEDEAEADGNRSNMRGGGSYSIGATFLATRFAANALRGVHRNRNAKTARELVKLQKPPEPDFTADDAD >Potri.018G106100.1.v4.1 pep chromosome:Pop_tri_v4:18:12510190:12523483:-1 gene:Potri.018G106100.v4.1 transcript:Potri.018G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106100.v4.1 MMFDCGSKSQYLGGGQRDKFVRLDDLDSRLSSPSSAGVRSCGFGIEGFRRTGQGADTPSRSFKRGIRKGSEGLKSIGRSLRFGVPRGVFPEDLKVSEKKIFDPQDKFLQFCNKLFLISCILAVSVDPLFFYLPVFSDSETCLGIDRKLATIATTLRTIVDAFYLIRMALQFRTAYIAPSSRVFGRGELVIDPTQIAKRYMQRYFIIDLLSVLPLPQIVVWRFLLRSKGSDVLATKQALLYIILLQYIPRFFRILPLTSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGSFWYLLAVERNDACWQKNCTAAVKCKKDFLYCGNRGMEDYRAWDSSILNSNCSADDNNQFDYGIYSNALSSGIVSSKKFVSKYCFCLWWGLQNLSTLGQGLETSTYPGEVIFSIALAIFGLILFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEENLVQSLPKDLRRDIKRHLCLALVRRVPLFENMDERLLDAICERLKPCLFTESTYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRSLLREGDFCGEELLTWALDPKSGANLPSSTRTVKALREVEAFALIAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQAAWRRYSKRKSLELRRKEEEDEAEADGNRSNMRGGGSYSIGATFLATRFAANALRGVHRNRNAKTARELVKLQKPPEPDFTADDAD >Potri.001G037800.2.v4.1 pep chromosome:Pop_tri_v4:1:2734478:2735765:-1 gene:Potri.001G037800.v4.1 transcript:Potri.001G037800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037800.v4.1 MTSFQKMSLKSSSLFALCFSSFLLFNTVRASNITQILSQYPDFSTFSSYLTQTQLAGEINSRQTITVLVVENGNMSPLSGKPNGEIKNVLSGHVILDYYDVAKLQKLQNKTAMLTTLFQSSGQAKGQQGFLNVTVLGSNSVAFGSAVPGSSLSSNLVKSVSSQPYNISVLQVSNIIVSAGTGNANSTTSPVPVGPPKTSPTPASSPNKPPSTSNPPPSKAPAPSTAKPPPTHAPAPSMAKPPAASAPSATPPAMSISPVGGPSPTTADGPAADSPAPSPPAMDGPIAATPAADGPLADAPLDPKSDASVLTTGNNLALLALILLSAFFLA >Potri.017G034500.3.v4.1 pep chromosome:Pop_tri_v4:17:2341225:2342415:-1 gene:Potri.017G034500.v4.1 transcript:Potri.017G034500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G034500.v4.1 MPNGSLDSHLFSQEGSISLSWQKLHQISLGVACGIDYLHLGCDMQILHFDIKPHNILLDENFTPKVSDFGLARLYPTNGSITSLTAARGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEMAGKRKNLNALAENSSQIYWPYWVHDQVSDGKAIEIGDDATEEESKIVKKMIMVGLWCIQMKPMDRPTMKNVVEMLEGDLENLRLPPKPVFNVDETPTNIEGESSSLSGDSTESTSLVENAY >Potri.017G034500.1.v4.1 pep chromosome:Pop_tri_v4:17:2341309:2342446:-1 gene:Potri.017G034500.v4.1 transcript:Potri.017G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G034500.v4.1 MPVRYSYSDIKKITRGFKDELGKGGFGTVYKGKLRSGRFAAIKLLGKSKANGQDFINEVATIGRIHHTNVVQLIGFCAEGSKRALVYDFMPNGSLDSHLFSQEGSISLSWQKLHQISLGVACGIDYLHLGCDMQILHFDIKPHNILLDENFTPKVSDFGLARLYPTNGSITSLTAARGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEMAGKRKNLNALAENSSQIYWPYWVHDQVSDGKAIEIGDDATEEESKIVKKMIMVGLWCIQMKPMDRPTMKNVVEMLEGDLENLRLPPKPVFNVDETPTNIEGESSSLSGDSTESTSLVENAY >Potri.006G087550.1.v4.1 pep chromosome:Pop_tri_v4:6:6596826:6597989:-1 gene:Potri.006G087550.v4.1 transcript:Potri.006G087550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087550.v4.1 MVIDTGSELSWLHCNKTLSYPTTFDPTGSTSYQTIPCSSPICTNRTQDFPIPASCDSNNLCHATLSYADASSSDGNLASDVFHIGSSDISGLDFGCMDSVFSSNSDEDSKNTCLMGMNRGSLSFVSQLGFPKFSYCISGTDFSGLLLLGESNLTWSVPLNYTPLIQISTHCLTLIGLPTRYSLKA >Potri.011G002600.2.v4.1 pep chromosome:Pop_tri_v4:11:165589:171291:-1 gene:Potri.011G002600.v4.1 transcript:Potri.011G002600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002600.v4.1 MSSNSIENTIDDSSTGNDEIHQPMLLDKQPSQEPVISSELEDVLSDTSLSYFKRLQRATFIELKTLFQLAGPAVVVYLLNNVISMSTQILCGHLGNLELAASSLGNNGIQIFAYGLMLGMGSAVETLCGQAYGAHKYEILGIYLQRSTVLLMATGIPLMMIYIFSKSLLILLGESDTIASAAAIFVYGLIPQIFAYAANFPIQKFLQAQSIIFPSAYISVGVLVVHLLLSWVAIYKLGWGLLGASLVLSLSWWLLVGAQFVYILVSRNFKHTWKGFSLQAFSGLWEFFKLSIASAVMLCLETWYYQILVLIAGLLKDAEIALDSLTVCMTISGWVFMISVGFNAAASVRVSNELGAGHPKSASFAVVAVTLSSFIIALALAIVLLLLRHVISYAFTGGSTVADAVAELSPFLAISILLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYFIGIPLGCVMGFKLDFGVKGIWSGMIGGTLIQTIILLWVTFRTDWNKEVEKATNRLNTWDNIKQPLLKD >Potri.013G109000.1.v4.1 pep chromosome:Pop_tri_v4:13:11749928:11751198:1 gene:Potri.013G109000.v4.1 transcript:Potri.013G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109000.v4.1 MASTTATQAPFSLFLRTQNGSGHRRKKHLSIRKAPSGRTGNRGRAFGVYAEQGSPEKPQRAPPGVDTRIHWDNEDEGWVGGSSTSSEQTKQAEDEQKDMLGKRFADLLNDSSDSHYQFLGVSADADMEEIKTAYRRLSKEYHPDTTSLPLKSASEKFMRLREVYDILSNDEKRKFYDWTLAQEAASRQAEQMRMRLEDPYEQEINRYESVPDMVDRLGGRNMGLSDQAMSALTFDIFIILFSIGCLIFVLFFKEPY >Potri.001G195101.1.v4.1 pep chromosome:Pop_tri_v4:1:18559158:18559657:1 gene:Potri.001G195101.v4.1 transcript:Potri.001G195101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G195101.v4.1 MVQRLTYRKRHSYATKSNQHRVVKNPGGKLVYQTTKKRASGPKCPVTGKRIKEIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERFFRAFLVEEQKIVKKVLKIQKAKEKQASK >Potri.017G041000.1.v4.1 pep chromosome:Pop_tri_v4:17:2727121:2729216:-1 gene:Potri.017G041000.v4.1 transcript:Potri.017G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041000.v4.1 MEMEIEDFDSDFVFNGDPAIQLFPLISGHDHADRATTFNSSVFSDVGTPATATPMFNSVFSDTGMLAPSLLSYYYSSNDLLTSMPPPPSTTTSNFTNLLHEDGDNYYYYTPPASEQLGLGNSFVLAPELSPAHESAQPYDFFSSIPSQPAESTQPHDLFSSVPNESATRSVLNDSQTLESVLGKFNAVTKKPKRSGQMKKPKRSAFDYGTGSSSSSASVLAKQRIAERVKCLRKVMPWITTKMDTATVLEEACRYIMNLQEQIRALVQPRPATSFDHYNNFVHGANDGGGGYGEGVVSREQIMEMVLKANVDQNFVGF >Potri.013G060000.1.v4.1 pep chromosome:Pop_tri_v4:13:4401625:4404281:1 gene:Potri.013G060000.v4.1 transcript:Potri.013G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060000.v4.1 MTIQKILPFLLLQFLYFHELHAQIPPNISLGSSIKAGSGNSWRSLSDEFAFGFYSLPNNLYLVGIWFNKIPEKTLVWSANRDSPAAAGSTVRLTFDGQLTLTHLNGSIQSIYRGRRAGLGFMLNDGNFVLRDDSSSVIWQSFNSPTDTILPGQVLSDDQKLYSNANGTVDYSTGNFMLQMQFDGNLVLSAYHFSDPGYWYTGTVRNNVSLVFSNHTFFMYLVNSTGDNIYPLTRNVSTPVGDYYHRATINDHGDFQQFAYHKSNSSGWTRVWRAIDEPCVVNAICGVYGMCFSLNNETATCKCIPGYIPLDPNHVSKGCRPETVVNYCADPSMRNFTINVIDDADFPFESDADLARVKNVDLEGCKKALMDDCYSLSASLVDSRCIKKRMPLLNARKSFSTKGRQALVKVPMKSNPGIQEHKKNNDFDTRVFLKISLIVTATLAFCFGVSAIYYHPAPRRFIKRKRYSNANSIGINFQEFKYLELQKATNGFSKTLGRGSSAKVYSGILSMKDIQIDIAVKVLTKSIEKGEKEFMTELKIIGRTYHKNLVRLLGFCVENDQQLLVYELMANGSLANLLFGKGSERPNWVRRAEMVLEIARGLLYLHDECEAQIIHCDIKPENVLIDNNYTAKLADFGLSKLLNKDQTRTDTNLRGTVGYLAPEWIRNERVTSKVDVYSFGVMLLEILCCRRHIEPSRVEEESEEDDLVLSDWVISCMAAGKLGTVVGHDPEVLSDFKRFERMTLVGLWCIHPDAMSRPSMKKVTQMLEGTSEIGIPPSLSDQMSVSN >Potri.016G014900.1.v4.1 pep chromosome:Pop_tri_v4:16:788893:789928:-1 gene:Potri.016G014900.v4.1 transcript:Potri.016G014900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014900.v4.1 MNPSSNFSYFASSTATVTYSFHNDHTTPTKTIEIPIKPSSPKSTTQSSTATSAATKIQSCYRTHMIRTLYKKISAVSSEADQLQNQIQRQETVDAIRSSEKEKLGMNEALMGLLLRLDSVPGVDPTVREARRKVSRRIVGLQEILDSICEAKVGADGGDYFGWQWDPYGGWDMVLEEMEEEICMERGGEEMEKFCAQYLGFRCLQRFLKEP >Potri.003G025904.1.v4.1 pep chromosome:Pop_tri_v4:3:2732617:2736258:-1 gene:Potri.003G025904.v4.1 transcript:Potri.003G025904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025904.v4.1 MEETLKRLSSIAAEGIRLAWGFEGQLRKLNQSLTTIKDVLQDAARRAVTDESVKRWLQNLQVVAYDAEDVLDEFAYEILRKEQKKGKVCDCFSLHNPVAFRLIMGQKVKKINEALDEIRKDASGFGLGLTSLPVDSAQEVSWGPDRETDSFLDRSEIVGRKDDVSIVMDMLTSLTKHRVLSVVPIVGMVGLGKTTVAKNILGALLQMIDKTTGGLSNLNAIMENLKKKLEKKTFFLILDDVWNEDHDKWDDLKEQLLKINRKNGNAVVVITHSKKVADMMETSPGIQHEPGRLSADQCWSIIKQKKCGGLSLLANVLGGTLRQKETQEWQSILNSRIWDSHDGNKAFRVLRLSFDYLSSPTLKKCFAYCSIFPKDFEIEREELIQLWMAEGFLRPSNGRMEDEGNKCFNDLLANSFFQDVERNAYEIVTSCKMHDLVHDLALEVSKSETLNLEVDSAVDGASHIRHLNLISCGDVESALKAGYARKLLTVFPVGDAKKLRTVFSMVDVLNGSWKFNRLRTLKLRRSDITELPDSICKPRHLRYLDVSDTAIRILPESITKLYLLETLRFTDCKSLEKFPKKMRKVSLRHLHFDDPKLVPAEVRLLTRLQTLPFFCCGSKSYGNNSVNSEDALEGLQPHPDIRSFTIKGYCGEYFPSWMSTLQLNNLTVLTLNGCSKSRQLPTLGCLPRLKILHITGMPSVKCIGNEFYSSSGSAAVLFLALKELTLSSMDGLEEWMVPGGEGDQVFPCLEKLCIEGCGKLKSIPICHPSSLVQFVIRKCDELRYLCGEFHGITSLRILMIINCEKLASIPSVQHSAALVELIIWNCPELISIPGDFRELKYSLKKLFIYRCKMGALPSGLQCCDELISFDWHALRQLRSLVQLEISWCRSLSHFPEEDCLGGFTQLKELSIGGYSEKLEAFPAGVLNSIQHLNLRWDKLKSVPHELQHLTALESLWICDFRGEEFEEALPEWLANLSSLRSLWIWDCKNLKYMPSSTVIQRLSKLKELGISECPLLSENCRKENGSEWPKISQIPSIIVEGTRVQVSWH >Potri.006G103900.2.v4.1 pep chromosome:Pop_tri_v4:6:7978833:7981340:-1 gene:Potri.006G103900.v4.1 transcript:Potri.006G103900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103900.v4.1 MAATFLSPVPAFSLAAKQGDTARVLITSPTSDGHGISCSSVSAFPSASRKKRNTSLVVSATGETLTGVVFQPFEEVKKEVFVVPNSPQVSFARQYFVDECEAAINEQINVEYTASYVYHAMFAYFDRDNIALKGLAKFFKESSEEEREHAEKLMEYQNIRGGKVVLHSILTSPSEFEHVEKGDALYAMELALSLEKLTNEKLLSLHKVADENNDPQMADFVESEFLTEQVESIKKIAEYVAQLRMVGKGHGVWHFDQMLLN >Potri.016G005900.1.v4.1 pep chromosome:Pop_tri_v4:16:289383:291555:-1 gene:Potri.016G005900.v4.1 transcript:Potri.016G005900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005900.v4.1 MDLLITGDRQIQNPNDFPLQITPFPPTGNFTIPTSAAAPSAAEAGSSEAASLPPQKLRPIRRTGRVPSSSREDGEESLRGLVSGQPSEVAAGGGGGSGGGLFDDEFSLCSSDGNDDDLSVGVGESVGRKRKRKSKIEKFLESLVMKVMEKQEEMHKQLVEMIESRERERVIRDEAWKQQEIERMKRDSEARAQETSRNLALISFIQNMTSGHVIEVPQPSMTFSHMVNDGGNADAPIQNDFMGDQSNQRWPEAEVQALIMLQTAWEQQSRVTGSKGTNIWDAISAGMYNMGYNRTAKKCKEKWENINKHFKMSLGTAPKKPFQNSTVSPYFPELDTLYNDDFVNLGNGSANTDNQANCALGKG >Potri.019G102000.2.v4.1 pep chromosome:Pop_tri_v4:19:13789487:13792695:1 gene:Potri.019G102000.v4.1 transcript:Potri.019G102000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102000.v4.1 MSSSFDSFTPEGDQNDPTVLHVQHDHVSPTSHPFVDDGYTGDDSSFPGETPLPQENFFNDNMHSSEGYGFGLPSPSTEFSSPFETDGGGGGDGVFASDGPILPEPGEMQELGVKFREWRRQNALHLEEKEKREKEMRNQIINEAEEYIRAFYEKRQQNCETNKAQNREREKLYLANQEKFHKEADKHYWKAIAEIIPREVPNIKRRGGKKEDDKKPSIMVIQGPKPGKPTDLSRTRQLFLKLKQNPPPHMMPPPPTPAKDGKDAKDGKDAKDGKDGKDAKDGKDGKGENEGKDAKNEKTPAPTSATAAAAADGNKPASPCKDIAKSSSFDLSKLDVLAAAAAEGEQAAEGEQAAEGEQSAEGEQVAEGEQAAEGEQSAEGEQAAEGEQSAEGEQATA >Potri.019G102000.3.v4.1 pep chromosome:Pop_tri_v4:19:13790401:13792804:1 gene:Potri.019G102000.v4.1 transcript:Potri.019G102000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102000.v4.1 MRNQIINEAEEYIRAFYEKRQQNCETNKAQNREREKLYLANQEKFHKEADKHYWKAIAEIIPREVPNIKRRGGKKEDDKKPSIMVIQGPKPGKPTDLSRTRQLFLKLKQNPPPHMMPPPPTPAKDGKDAKDGKDAKDGKDGKDAKDGKDGKGENEGKDAKNEKTPAPTSATAAAAADGNKPASPCKDIAKSSSFDLSKLDVLAAAAAEGEQAAEGEQAAEGEQSAEGEQVAEGEQAAEGEQSAEGEQAAEGEQSAEGEQATA >Potri.019G102000.4.v4.1 pep chromosome:Pop_tri_v4:19:13791050:13792804:1 gene:Potri.019G102000.v4.1 transcript:Potri.019G102000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102000.v4.1 MRNQIINEAEEYIRAFYEKRQQNCETNKAQNREREKLYLANQEKFHKEADKHYWKAIAEIIPREVPNIKRRGGKKEDDKKPSIMVIQGPKPGKPTDLSRTRQLFLKLKQNPPPHMMPPPPTPAKDGKDAKDGKDAKDGKDGKDAKDGKDGKGENEGKDAKNEKTPAPTSATAAAAADGNKPASPCKDIAKSSSFDLSKLDVLAAAAAEGEQAAEGEQAAEGEQSAEGEQVAEGEQAAEGEQSAEGEQAAEGEQSAEGEQATA >Potri.019G102000.1.v4.1 pep chromosome:Pop_tri_v4:19:13789473:13792804:1 gene:Potri.019G102000.v4.1 transcript:Potri.019G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102000.v4.1 MSSSFDSFTPEGDQNDPTVLHVQHDHVSPTSHPFVDDGYTGDDSSFPGETPLPQENFFNDNMHSSEGYGFGLPSPSTEFSSPFETDGGGGGDGVFASDGPILPEPGEMQELGVKFREWRRQNALHLEEKEKREKEMRNQIINEAEEYIRAFYEKRQQNCETNKAQNREREKLYLANQEKFHKEADKHYWKAIAEIIPREVPNIKRRGGKKEDDKKPSIMVIQGPKPGKPTDLSRTRQLFLKLKQNPPPHMMPPPPTPAKDGKDAKDGKDAKDGKDGKDAKDGKDGKGENEGKDAKNEKTPAPTSATAAAAADGNKPASPCKDIAKSSSFDLSKLDVLAAAAAEGEQAAEGEQAAEGEQATA >Potri.001G387100.1.v4.1 pep chromosome:Pop_tri_v4:1:40622102:40627939:1 gene:Potri.001G387100.v4.1 transcript:Potri.001G387100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387100.v4.1 MPSHFLSNSPSTPTTKASDRQNDTSVSLPSTSTSSSISPDMWNYLWIPFLISLSKELTLARSATATTSPSILLPSQLADDSPSAPKCPAPDTNLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARIIPLIYNEPREILFEKLNLVNGVLFTGGWAKTGLYFDTAKAIFKEVLARNDAGVHFPVYAICLGFEILTMIISEDNQILETYNATDQASTLQFMENISIEGTVFQRFPPVLLKKLSTDCLVMQNHHYGISPQRFQGNEHLSSFFEILTNSADEDNQVYVSTVQARNYPVTAFQWHPEKNAFEWGLSMIPHSEDAIQVTQHVANFFVSEARKSLNRPPARKVLDNLIYNYSPTYCGKAGKGYDEVYIFAEPETPNNTRCAHGNCHGRVKL >Potri.016G132800.1.v4.1 pep chromosome:Pop_tri_v4:16:13721834:13723610:1 gene:Potri.016G132800.v4.1 transcript:Potri.016G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G132800.v4.1 MVSRTIFCLSAFLVLLVLATSASSSKVLSPYYYNHVCPKALATIKRVVEAAVYKERRMGASLLRLHFHDCFVNGCDASILLDSTSTIDSEKNALPNINSLRGFEVIDQVKSEVDKICGRPVVSCADIVAVAARDSVVALGGPTWAVQLGRKDSTTASRDKANNDLPSPFMDLPALINNFKRQGLNERDLVALSGGHTLGSAQCFTFRNRIHNETNIDPKFVKQRKPTCPLVGGDSNLAPLDPTPAHFDVAYFNSLVKKRGLLRSDQALFNGGSTDGLVKAYSSNAKAFWADFAKSMVKMGNINVLTGKQGQVRLNCRKVN >Potri.006G107600.1.v4.1 pep chromosome:Pop_tri_v4:6:8341114:8343646:1 gene:Potri.006G107600.v4.1 transcript:Potri.006G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107600.v4.1 MAVSPQVFPPRKRRPSAGAFIPPKFSDQRLLQTLLELSQEISSLKPLQFLLKRTSSSILRKTKILSILFEELLKNPILFLSPTLLCFEEMYLVLQRFKTLLEDCVNGSRMWLLMQSDSVANNFLELTVELATLLDIFPVKEVEISEEVEELFLLLRKQCSKAKTFVDKRDYNLRQDVLAMLDRIQKEIVPDHSKLAEIFYLLGFRNSLSCKEEIENLEDEVQNQKDEKSKSDLIALIGLVRYVKCVLFEPSTPGADSRSKKLASDVNVPVDFRCPISLDLMRDPVVVATGQTYDRESINLWIESGHSTCPKTGQALVNTNLIPNRALKNLIAMWCREQKIPFETAEGNNRKDRVIKSKAALEANKMTVSFLVNKMSASQSMEAVNGVIYELRALAKSNSDSRACIAEAGAIPVLARYLGPDIGSEFPNLQVNAVTAMLNLSILEANKTKIIENGRALNGVIEVLRTGATWEAKGNAAATIFSLSGVHSYRKRLGRKTRVVKGLVDLAKSGPASSKRDAMVAILNLAGDRETVGRLVKEGVVDMVNEVINEMPEEAAAVLEMVVKRGGIVAVAAAYNAIKKLGVLMREGSDIVRESAAATLVTICRKGGADTIAELASIMGIERIIWELLASGTMRARRKASTLLRILRRWAAGLDVEFFYGHSTVATVSSASSTVVLPA >Potri.001G372000.2.v4.1 pep chromosome:Pop_tri_v4:1:38944721:38953540:1 gene:Potri.001G372000.v4.1 transcript:Potri.001G372000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372000.v4.1 MSRLSELQQVASGKRRLKDLLLQSDNRFCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEIDAMIEVGGNLSANSIYEAFLPEGVSKPGPNSSNEERTRFIRSKYELQEFLKPSLRITSGKTSSSSLKSSLSTNLFDSFQIPSVSQNLDGIVEFMGILKVKVIKGTNLAIRDMMSSDPYVIVALGKQTAQTTVMKSNLNPVWNEELMLSVPQDFGPIKLSVFDHDTFSADDIMGEAEIDIQPLITSAMAFGDPEMFGNMQIGKWLKSNDNALIDDSIINIVDGKVKQEISLKLQNVESGELQVELEWMPLDQ >Potri.001G372000.1.v4.1 pep chromosome:Pop_tri_v4:1:38944721:38953570:1 gene:Potri.001G372000.v4.1 transcript:Potri.001G372000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372000.v4.1 MSRLSELQQVASGKRRLKDLLLQSDNRFCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEIDAMIEVGGNLSANSIYEAFLPEGVSKPGPNSSNEERTRFIRSKYELQEFLKPSLRITSGKTSSSSLKSSLSTNLFDSFQIPSVSQNLDGIVEFMGILKVKVIKGTNLAIRDMMSSDPYVIVALGKQTAQTTVMKSNLNPVWNEELMLSVPQDFGPIKLSVFDHDTFSADDIMGEAEIDIQPLITSAMAFGDPEMFGNMQIGKWLKSNDNALIDDSIINIVDGKVKQEISLKLQNVESGELQVELEWMPLDQ >Potri.012G031250.1.v4.1 pep chromosome:Pop_tri_v4:12:940298:948789:1 gene:Potri.012G031250.v4.1 transcript:Potri.012G031250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031250.v4.1 MLGDENYIDYSNLICFFMKRFIHIIPQKSPLSGKGTRSRVEADGKKREIVDSEGLNWTVDQMVKKEFSFQFESFLSELILTFYSLARLKLHSHLQPLKLLLRLWIHLYIKPHAFISPQNC >Potri.013G144800.4.v4.1 pep chromosome:Pop_tri_v4:13:14294197:14298237:1 gene:Potri.013G144800.v4.1 transcript:Potri.013G144800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144800.v4.1 MLHSGSYSYSYSQSHNQTSRELGHQNLLQLNIYSPSKLLSLHSPRVFIGFNNNHLKNHNFSRRKHFPLPNNALLGDKRVLYSQTQKQSSKESKVFLGFKLQCHSKTLTLPTKGFSVNGKKKKYGGVLPSILRSLESNTDVEKTLYSFCENLSPKEQTVVLKEQRNWERVVRVFEFFKSQKDYVPNVIHYNIVLRVLGRAKRWDELRLCWMDMAKNGVLPTNNTYGMLVDVYAKAGLVEALLWIKHMRLRGLFPDEVTMNTVVKVLKDVGEFDKAERFYKDWCAGRVELDGLELDSMLDSENGSRSEPVSFKHFLLTELFKTGGRVKIGGSSDEETLVRKPCLTSTYNTLIDLYGKAGRLKDAAEVFSEMLKSGVAMDTITFNTMIFTCGSHGLLSEAESLLDKMEERRISPDTRTYNIFLSLYADAGNINAALECYWKIRNVGLVPDIVSHRTILHILCGRNMVREVEAVIEEMKKSSQKIDVHSVPGIIKMYINEGLHDRANNLLDKCQFDVGFSSKVRAAIIDAYAERGLWAEAEAVFYGKRDLLGPEKGVLEYNVMVKAYGKAKLYDKAFSLFKGMRNHGTWPDEVTYNSLIQMFSGGDLMDQARDLLDEMQEAGFKPQCLTFSAVMACYARLGQLSDAVDVYQEMVKAGVKPNEVVYGSLINGFAEVGNVEEALKYFRMMEESGIPANQIVLTSLIKVYSKLGCFDGAKHLYKKMKDLEGGPDIIASNSMISLYADLGMVSEAELVFKNLRENGQADGVSFATMMYLYKSMGMLDEAIDIAEEMKQSGLLRDCVSYNKVMACYATNGQLRECAELLHEMIGQKLLPDGGTFKILFTVLKKGGFPSEGIAQLESAYLEGKPYARQAVITSIFSVVGLHALALESCESFTKAEVALDSFAYNVAIYAYGSSGEIDKALKTFMKRQDEGLEPDLVTSINLVHCYGKAGMVEGVKRIYSQLKYGEIKPNDSLVKAVVDAYKNANRHDLAELVNQDIRFGFDSQQYSDSEIEAGSDESSLGF >Potri.006G225232.1.v4.1 pep chromosome:Pop_tri_v4:6:22985063:22985384:1 gene:Potri.006G225232.v4.1 transcript:Potri.006G225232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225232.v4.1 MGGRGVIGDKWSSRILWAYASYRKSNQCLYMVVVERQLQNRERMMAEGLKNTVAEVGTGTDNV >Potri.005G174900.1.v4.1 pep chromosome:Pop_tri_v4:5:18027683:18031888:1 gene:Potri.005G174900.v4.1 transcript:Potri.005G174900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174900.v4.1 MTSAIGWYGPLVDLCKTDHHIGDIVQLLVFVHRSTPVQYKLSKGGEVIRTDIQVGDDTLPFFSVSLWKKQMGTMVVAGDILLLQNVKITKFGHAVEARTVEWSSLVSLVHPYGSLLSKGVDELIEESQVGKTTLEKLCKVIKWVQRARSALNTIGSHSFVKPRNWKLPKQSESQNLLLLSEVLGLSNSCNAIFNASIGEIFLPITWRALDDSDKEKMFVSRRTMEDKDNSLAEDFICIGCHLCGSPLVSENGSICKQNNISLYCPKSPNHLHAVTLIYRPFMLYVWDESEYLPLLVRNKAAVVLFGNIRAERVYSCFRGQNRSHNSNQAYFCRENNPEAVEKGLVGSCSSDADKSLEVKEKNHHNKNTNFHLIWLVLLKILLQQGKNSPLKFEATVNTSLDTEHGKFEMLSVSVPCARNKLFSG >Potri.005G174900.4.v4.1 pep chromosome:Pop_tri_v4:5:18027639:18031902:1 gene:Potri.005G174900.v4.1 transcript:Potri.005G174900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174900.v4.1 MTSAIGWYGPLVDLCKTDHHIGDIVQLLVFVHRSTPVQYKLSKGGEVIRTDIQVGDDTLPFFSVSLWKKQMGTMVVAGDILLLQNVKITKFGHAVEARTVEWSSLVSLVHPYGSLLSKGVDELIEESQVGKTTLEKLCKVIKWVQRARSALNTIGSHSFVLYVWDESEYLPLLVRNKAAVVLFGNIRAERVYSCFRGQNRSHNSNQAYFCRENNPEAVEKGLVGSCSSDADKSLEVKEKNHHNKNTNFHLIWLVLLKILLQQGKNSPLKFEATVNTSLDTEHGKFEMLSVSVPCARNKLFSG >Potri.016G060300.1.v4.1 pep chromosome:Pop_tri_v4:16:4141678:4142631:1 gene:Potri.016G060300.v4.1 transcript:Potri.016G060300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060300.v4.1 MATPQFFLSSSKYSDSLTVVGISICTAIICETISWILIYRTNSYKSLKSSIDKASKKLETMKTDTAKITTKKSKTKKIDRVESSLKESSRDLSLFKFKSGAVVALVLIVVFGLLNSLFEGKAVAKLPFQPITLVMKMSHRGLPGTDSTDCSMAFLYFLCSISIRTNLQKFLGFSPPRGSAPGAGFLPLPDTKTN >Potri.004G130400.7.v4.1 pep chromosome:Pop_tri_v4:4:14734395:14739379:-1 gene:Potri.004G130400.v4.1 transcript:Potri.004G130400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130400.v4.1 MSWCTIESDPGVFTELIQQMHVKGVQVEELYSLDLDSLDSLRPVYGLVFLFKWRPEEKDERVVITDPNPNLFFARQVINNACASQAILSILMNCPDMDIGPELSKLKEFTKNFPPELKGLAINNCEAIRAAHNSFARLGPFVPEEQKAASKEDDVYHFISYLPVDGVLYELDGLKEGPISLGQCTGGHGDMDWLLMVQPVIQERIERHSNSEIRFNLLAIVKNRKEMYTAELKELQKRRERIVQQLAAFQAERLVDNGNYESLNKSLSEVNAAIESATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSASK >Potri.004G130400.8.v4.1 pep chromosome:Pop_tri_v4:4:14734372:14738965:-1 gene:Potri.004G130400.v4.1 transcript:Potri.004G130400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130400.v4.1 MSWCTIESDPGVFTELIQQMHVKGVQVEELYSLDLDSLDSLRPVYGLVFLFKWRPEEKDERVVITDPNPNLFFARQVINNACASQAILSILMNCPDMDIGPELSKLKEFTKNFPPELKGLAINNCEAIRAAHNSFARLGPFVPEEQKAASKEDDVYHFISYLPVDGVLYELDGLKEGPISLGQCTGGHGDMDWLLMVQPVIQERIERHSNSEIRFNLLAIVKNRKEMYTAELKELQKRRERIVQQLAAFQAERLVDNGNYESLNKSLSEVNAAIESATEKILMEEEKFKKWRTENIRRKHNYIPFLFNFLKILAEKKQLKPLIEKAKQKTSASK >Potri.004G024900.4.v4.1 pep chromosome:Pop_tri_v4:4:1829170:1840110:1 gene:Potri.004G024900.v4.1 transcript:Potri.004G024900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024900.v4.1 MKSLNFFIALLSLLSLATITKSQDPSYLYRSCSNETTYTRNSTYQANLNLLLSSLVSNATRNNLNGFYNSSLGLDPDDVYGLFLCRGDVNKNACQNCVALAAKEAIQRCPVEKVVVLWYDLCFLRYSNRAFFATMDQDPGVTLYNTQNIAYEPERFNRLVATSMNDTATQATSATSGAKKFAAKEVYFNEFLNLYSLVQCTPDLSSSDCNRCLRIAISSLTSCCGQRAGARVLYPSCNIRYETYEFYNTTAVAAESPPPPPPVIRAPPPEIRAPPPSPVSGSKGKVRVSTVVIIAIVVPVGVSIALFCLGFGFLRRRGRKNRDSVKEKDVGDEISTEESLQFDLSTIEAATNNFSPDNKLGEGGFGEVYKGTFPNGQHIAVKRLSKYSGHGAAEFKNEIVLVAKLQHRNLVRLLGYCLEGEEKLLIYEFVPNKSLDYFLFDPAKQGLLDWLSRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNVLLDGEMNPRIADFGVAKIFGVDQSQGITSRIAGTFGYMSPEYAMHGQYSVKSDVYSFGVLILEIISGKKNSSFYQSDNGMDLLRYAWQQWKNGAALELVDPSLGDSYSRNEITRCLHIALLCVQEDPNDRPTLTSVVLMLTSFSISLPLPREPSSFEQSMTISSLPLTELESDQSNIKSKPLSVNDVSITELYPR >Potri.003G132200.4.v4.1 pep chromosome:Pop_tri_v4:3:15038736:15040811:1 gene:Potri.003G132200.v4.1 transcript:Potri.003G132200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132200.v4.1 MKKVVLKLDLHDDKGKQKAMKAVSRLSGIDSISMDMKEKKMTVVGDIDPVDVVSKLRKIWHAEILTVGPAKEEKKDEGKKDEGKKDEGKKDEGKKDEGKKEGDKKDPNEQQMADLMALCKNYYNYNPYPPQYHYRVVRDEENPNACAIC >Potri.003G205100.1.v4.1 pep chromosome:Pop_tri_v4:3:20429039:20434605:-1 gene:Potri.003G205100.v4.1 transcript:Potri.003G205100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205100.v4.1 MFLSLKPSLSFSSLYSFPLSTIIPSVEWLYKLALNSTWVIVIYRKEAFLSMDSQVMVALALSLVGGLSTSIGALFVILCQTPNLKMLGLLQGFAAGLMLCISFLDLAHNAINSIGFLKGNLWFFGGVVFFGIIANFIPEPTLTSSLDVKSKKKNGDQGGKEILKKQRRQVLFSGIITAIGISLHNFPEGMAVFLGSMKGLRVGINLAVAIALHNIPEGVAVALPIYFATQSKWQAFKLATLCGLAEPLGVVLVAYLFPSSLSPEILEGLLGSVGGVMAFLTLHEMLPLAFDYAGQKQAVKAVFFGMAFMSASLYFLEISLPDEMSL >Potri.017G127350.1.v4.1 pep chromosome:Pop_tri_v4:17:13125795:13126418:-1 gene:Potri.017G127350.v4.1 transcript:Potri.017G127350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G127350.v4.1 MGAVVVFGALAFGWQAIEMAFKPFLDKALFAMDKFDPARNPDGDDDFVDRKEKGSLSKSDAFFSDKNPTVT >Potri.017G059100.1.v4.1 pep chromosome:Pop_tri_v4:17:4768136:4770239:-1 gene:Potri.017G059100.v4.1 transcript:Potri.017G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059100.v4.1 MASPPHTSKTLKLERYNSYLRRLHSTKVLNASSKLIFRVTLLIALVLILFFTLNYPPLSDKNPNHAHLHHHNFLSAALFTSSAGGDAWEKQVRHSSTPKKPNGLSVLVTGAAGFVGSHCSIALKKRGDGVLGLDNFNSYYDPSLKRARQKLLLKNQVFIVEGDLNDASLLTKLFDVVPFTHILHLAAQAGVRYAMQNPQSYVSSNIAGFVNLLEVAKTANPQPAIVWASSSSVYGLNTQVPFSELDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKPIDVYQTQDKKQVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVGKLVSILEGLLRTKARKHVIKMPRNGDVPYTHANVTLAYRDFGYKPTTDLATGLRKFVKWYVDYYGIQTRVKKDSDINSEHPEESV >Potri.004G169200.2.v4.1 pep chromosome:Pop_tri_v4:4:18661061:18662576:1 gene:Potri.004G169200.v4.1 transcript:Potri.004G169200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169200.v4.1 MTSLLIYFVFLTIAAVFITSSLYILLLKSWLCFLYLSRNMDWSHKLLFCVFLIFAAAIGGTQADATVTGTVFCDQCKDGQVSLFDYPIYGIKVTMTCADASGQITMSREETTNWFGNYVMTFDGSPDLSNCYAQVSSSGQGSNACGAAAGPAQKLRLTFRMFDMEFYAVDSLLTEPSASMSFCPRSVNPVPAPVTPVTPVRPPVTPTTPPPAFRLPRMPPLPPLPPMSPVPILEASACPHQSWTMPEYKCYWRAVSPDMKVAVVFGLLAARRYGTDMTLWQGLQGRGDPYRTLLREGTAALLNSYNSIEFPYNAISVVTRMNWALMGSQRSVLLTALRFMRANSGYGRVTCKFNTCK >Potri.006G144200.1.v4.1 pep chromosome:Pop_tri_v4:6:12208339:12209534:1 gene:Potri.006G144200.v4.1 transcript:Potri.006G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144200.v4.1 MVDNITSPLFESPPPPPPPPPPHKSNLPMLYYGLVVVGTAAIVLAVYNLIIIKWCAQRGGRSGQGPNVFTEVTASQSFEHSNSNLPSSFKYKKGKIDGDQDQGSGYECAVCLSAFEEGEEVRQLPRCKHSFHAPCIDMWLYSHSDCPLCRSSVDPPLAVCNRRTAAAAADTSENSREGLLDSAINNTV >Potri.018G031600.2.v4.1 pep chromosome:Pop_tri_v4:18:2310405:2316494:1 gene:Potri.018G031600.v4.1 transcript:Potri.018G031600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031600.v4.1 MLRLWRLRRVSKFFSRLEKDRNYNYFVVRCVKLICVTLFVVHMAGCFYYRIAVNYKDPSKTWIGSVWEDFHGESLWIRYVKSLYWSTTTLSTTGYGDLHAVNPQEMIFVMFYMMFNLGLTSYLIGNMTNLVVHATFRTRQFRDTIQAVSSFAQRNRLPIRLQEQMLAHLCLKYRTDSEGLHQQETIGSLPKAIRSSISNYLFYSLVDKVYLFRGVSNDLLFQLVTEMKAEYFPPREDVILQNEAPTDLYILVTGAVELIVHRNGIEQVVGEAATGDVIGEIGLLCYRPQLFTVRTKRLSQLLRLNRTAFLNNVQSNVGDGTVIMNNLLQHLKELNDPEMEGILHHTEHMLNQDRMDLPLTLCIAAMRGDDLLLHQLLKQGSDPNESDENGRTALHIAASNGNEHCVVLLLEYGVDPNIKDSEGNVPLWEALQGNHKSVFKLLSDNGATITSGDVGQFAYTAAEQNNLDLLKEIVKYGGDVTLPARCGTTAIHTAISEGNTEMVKFILDQGADVDKPDLHGWTPRALADHQGQEEIQALFENRMQTNKKTVSTIPKHPGVPFGRKPMARYNSEPTIPPFSPSFRHDVMPPVPEVSWPDRPRRRRADNFHNSLVGMMSVASTGENDIISSPARFTGFASLNCRARVTLSCPDKGEVAGKIVALPNSLQELLDIGSKKFGCNASKILTKEGAEIEDIEVLRDGDHLVLVSDAGT >Potri.018G031600.1.v4.1 pep chromosome:Pop_tri_v4:18:2310206:2316639:1 gene:Potri.018G031600.v4.1 transcript:Potri.018G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031600.v4.1 MTKKRVLFCGQGGLENGSLYGSEQGNNGIRDKEHDELSGDDASHFSLTGEILPSLGATARSNRRVILRRYILSPFAPNYRLWDTFLVFLVFYTAWVSPFEFGYLSKPSGGLAITDNVVNGFFAIDIVLTFFVAYLDKSSYLLVDNRKKIAWRYTKSWLVLDVISTIPSELIREILPDKLQSYGYFSMLRLWRLRRVSKFFSRLEKDRNYNYFVVRCVKLICVTLFVVHMAGCFYYRIAVNYKDPSKTWIGSVWEDFHGESLWIRYVKSLYWSTTTLSTTGYGDLHAVNPQEMIFVMFYMMFNLGLTSYLIGNMTNLVVHATFRTRQFRDTIQAVSSFAQRNRLPIRLQEQMLAHLCLKYRTDSEGLHQQETIGSLPKAIRSSISNYLFYSLVDKVYLFRGVSNDLLFQLVTEMKAEYFPPREDVILQNEAPTDLYILVTGAVELIVHRNGIEQVVGEAATGDVIGEIGLLCYRPQLFTVRTKRLSQLLRLNRTAFLNNVQSNVGDGTVIMNNLLQHLKELNDPEMEGILHHTEHMLNQDRMDLPLTLCIAAMRGDDLLLHQLLKQGSDPNESDENGRTALHIAASNGNEHCVVLLLEYGVDPNIKDSEGNVPLWEALQGNHKSVFKLLSDNGATITSGDVGQFAYTAAEQNNLDLLKEIVKYGGDVTLPARCGTTAIHTAISEGNTEMVKFILDQGADVDKPDLHGWTPRALADHQGQEEIQALFENRMQTNKKTVSTIPKHPGVPFGRKPMARYNSEPTIPPFSPSFRHDVMPPVPEVSWPDRPRRRRADNFHNSLVGMMSVASTGENDIISSPARFTGFASLNCRARVTLSCPDKGEVAGKIVALPNSLQELLDIGSKKFGCNASKILTKEGAEIEDIEVLRDGDHLVLVSDAGT >Potri.002G138300.1.v4.1 pep chromosome:Pop_tri_v4:2:10388277:10391662:1 gene:Potri.002G138300.v4.1 transcript:Potri.002G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138300.v4.1 MATINPFDLLDDDAEDPSLIAAQKPVVSPPAAAAAAAKKGSAQTQAKPAAPAAKLPSKPLPPSQAVREAKNEGGRGGRGGGRGYGRGRGGYNRDFNNIDSSFANTGAPATQGASEDGDAGKSSERRGYGGPRAASGFRGGRHGGFANGEDGEGERPRRIYERRSGTGHGNEMKREGAGRGNWGTQTDELAEITEEVGNEGDKNLGDEKPVTEAAADGNKENLDNEPGEKEPEEKEMTLEEYEKVLEEKRKALLALKTEERKVDTREFQSMQQISSKKENNDVFIKLGSDKDKRKEASEKAKKSVSINEFLKPAEGERYHGSGGRGRGRGRGARGFGNRDGISIVPAPSIEDPGQFPTLGGK >Potri.019G117402.1.v4.1 pep chromosome:Pop_tri_v4:19:14377528:14383242:-1 gene:Potri.019G117402.v4.1 transcript:Potri.019G117402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117402.v4.1 MSSASKAWLVAAAIGGVEALKDQGFCRWNYTLRSLHHHAKNHVRSASQAKKLSSSSSAMISNIVKEEKAKQSEESLRKVMYLSCWGPN >Potri.019G117402.2.v4.1 pep chromosome:Pop_tri_v4:19:14377480:14383493:-1 gene:Potri.019G117402.v4.1 transcript:Potri.019G117402.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117402.v4.1 MSSASKAWLVAAAIGGVEALKDQGFCRNYTLRSLHHHAKNHVRSASQAKKLSSSSSAMISNIVKEEKAKQSEESLRKVMYLSCWGPN >Potri.009G042350.1.v4.1 pep chromosome:Pop_tri_v4:9:4938094:4938784:1 gene:Potri.009G042350.v4.1 transcript:Potri.009G042350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042350.v4.1 MYSTSTAQSLPLLAFISLLLLSPALTFDADHRVNGRFDSSNPICSVLFRFDSGVLP >Potri.014G097450.1.v4.1 pep chromosome:Pop_tri_v4:14:6380852:6381211:-1 gene:Potri.014G097450.v4.1 transcript:Potri.014G097450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097450.v4.1 NLNLLLLIYLGITFLSLIIDAEIHLEAMNLGETIKEENNTSLQDRAKAMIFIRQYLHKVLKVENLNIKERYDHQKFNVLPQACYNWLHLRLQDFKLISEYNSVFFLITS >Potri.016G049700.1.v4.1 pep chromosome:Pop_tri_v4:16:3226631:3229687:-1 gene:Potri.016G049700.v4.1 transcript:Potri.016G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049700.v4.1 MVTVNIGMLHYVIDHIYGAFMHRTKISPPFFSRGWGGSKLELLERMIKDLFPEVEGQNWPPSLIQPIWRTVWETRSACLREGVFRTTCDEQLISALPPESHTARVAFLAPKHVPPQKMACVVHLAGTGDHSFDRRLHLGGPLLKENIATMVLESPFYGRRRPMLQHGAKLLCVSDLLLLGRTTIDETRSLLHWLDSEAGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCEGILRYGTAWEALREDLAVQKPAMTLEDVRERMRNVLSLTDVTRFPIPKNPNAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRRAIIDGLNRLEWKEPPF >Potri.010G253500.1.v4.1 pep chromosome:Pop_tri_v4:10:22494736:22502073:-1 gene:Potri.010G253500.v4.1 transcript:Potri.010G253500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253500.v4.1 MPSVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGHSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIARISGDVYIPRGVSVPALDKDTLWEFQPKKIGEGDLVTGGDLYATVFENTLMQHHVALPPDAMGKITFIAPPGQYSLKDTVLELEFQGVKKQFTMLQTWPVRSPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYERFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAETDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFCNLANQAVERGAGMDGQKISYSLIKHRLGDLFYRLVSQKFEDPAEGEAVLVEKFSKLHEDLTAGFRALEDETR >Potri.010G041500.2.v4.1 pep chromosome:Pop_tri_v4:10:7250253:7251080:-1 gene:Potri.010G041500.v4.1 transcript:Potri.010G041500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041500.v4.1 MSRFSRLQRESSEIYPGSESDGYKSKNLVTERNRRTRIKTGLFSLSARVPKISKMDKAAILGDAIDYISELLKDVKNLRDEIKNAEEEECRASNMELKTSKLETCQKGCMSSTKVNQDPSGFVKKERTEVQLEVDQIGKRHFLLKFLCEKKRGGFGRLMETIHSLGLQIHDANITTFNGKFLNILKIES >Potri.005G192100.1.v4.1 pep chromosome:Pop_tri_v4:5:19961500:19964623:1 gene:Potri.005G192100.v4.1 transcript:Potri.005G192100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192100.v4.1 MKGLFGCLDAMENHKPYIAMVLVQFVYAGMALFSKAAIARGMNSFVFVVYRQAFASVSLLPFAFFLERKEAAPLSYGLLFKIFLVSLCGVTLSLNLYYIAINYTTATLAAATTNAIPAITFAMAALLRMESISIKHVHGIAKVLGSVIGVSGVLVIAFVKGPPIKFMNWHPANDHGQIQDSSKTCCSREEWIKGTLLMISANTLWSLWLVLQGPIIKQYPAKLRLTTLQCMFSCIQSAFWAIAVERNPSSWKLGWDVNLLSVAYCGIVVTGITYWLQIWTIEKKGPVFTSMFTPFALIITAIFSAFLWKETFNWGSVGGDVLLVGGLYGVLWGKKKEDGRNVTTNGQNTTETKEKITLECITYD >Potri.004G111600.1.v4.1 pep chromosome:Pop_tri_v4:4:10248483:10259643:-1 gene:Potri.004G111600.v4.1 transcript:Potri.004G111600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111600.v4.1 MSSANPHIFSTKKIDPLIAFDKKPSILSLINSKPSTVMWRNVAKQAILKPQSKSLNFPSFPKTHSFLGAPQEPIFFEKSKFHSVNNSSPQLCFRRIGEISTHKDYMGKPSFGFLRNGDSSNGNLCSGLCPRGYVSVAEAVSSTDVEDNVVVDEELQELLQDTRKEEEKEKDFRRRRRLHANRGMGSGKYKALRRRQVKIEAEAWEQAAKEYKELLKDMCEHKLAPNLPYMKGLFLGWFEPLRDAIAKEQESIRRGKTRPDYSPYFDLLPADMMSVITMHKLTAMVMIGGEHGCARVVAAACMIGDAIEQEIRIHNFLEKTRKKKENADNSKNSVEGESPAVMNEEEKLRKKVTDLIKKQKLPAVRKIVKGHDDSKSWSTDAKAKVGSRLIELLLQTAYIQPPPDQLADSPPDLRPAFVHTFRTVSYENKKTSRKYGVIQCDSLVLKGLEQTDRHIVIPYMPMLVPPLRWRGYDKGAHLFLPSYVMRIHGAKQQREAVKRTPKKQLQLVFEALDTLGNTKWRVNKRVLSVVDRIWNNGGRLADLVDRSDVPLPEKPETEDEALLKKWKWKVKSVKKENRERHSRRCDTELKIAVARKMKNEEGFYYPHNLDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHLANLFACGVDKLSHEGRIAFTENHLDDIFDSADKPLEGKRWWLHAEDPFQCLAVCINLTEALRSSSPETCLSHIPIHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPTDVYSGIAARVLDIMRSDAQEDPEVFPDALRARRLISQVDRKLVKQTVMTSVYGVTYIGARDQIERRLKERGLTDNSEIFGCSCYAAKVTLTALGEMFEAARSIMNWLGECAKIIASENEPVRWTTPLGLPVVQPYRKLGKHVIKTSLQFLTLQKETDKVMVKRQRTAFPPNFVHSLDGSHMMMTAVACKRAGLKFAGVHDSYWTHACDVDEMNRILREKFVELYETPILKNLLESFQKSFPTLSFPPLPEWGDFELRQVLESPYFFN >Potri.005G111200.2.v4.1 pep chromosome:Pop_tri_v4:5:8076300:8078179:1 gene:Potri.005G111200.v4.1 transcript:Potri.005G111200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111200.v4.1 MGSLDKSTGAEVVTVDVKATKGLLESGYTYLDVRTVEEYNKGHVDGEKIFNIPYLFNTPEGRVKNPNFLKEVSGVCKEEDKLLVGCQSGVRSLYATADLLSAGFKDVSNVGGGYLAWTENVFPVKIEKKERDEL >Potri.005G111200.1.v4.1 pep chromosome:Pop_tri_v4:5:8076447:8078165:1 gene:Potri.005G111200.v4.1 transcript:Potri.005G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111200.v4.1 MGLFLRGLFLLSLIYFSTGAEVVTVDVKATKGLLESGYTYLDVRTVEEYNKGHVDGEKIFNIPYLFNTPEGRVKNPNFLKEVSGVCKEEDKLLVGCQSGVRSLYATADLLSAGFKDVSNVGGGYLAWTENVFPVKIEKKERDEL >Potri.004G160800.2.v4.1 pep chromosome:Pop_tri_v4:4:18058670:18063164:-1 gene:Potri.004G160800.v4.1 transcript:Potri.004G160800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160800.v4.1 MATLFVVQPKFPLVISLFSSSSHYQGWRVRPFTVQKLNPFLSKSLTESKKRRTLICAVNQDPEESFKKTVEVDRLIDMLRDANPRELQKLVVENVLAFNEGFWIRLAARTDTCKSEDDKKDYEELAISVMSIVDCLVHKTNEKIESATDILKEILKPIVDGEEEIHWPPTDPEALKLMEKDIIQREQEGQLDEGFLAEVSAQLRQAKEDGDKPGLEAMLQKVLQLYASSILSKRSYAKKGEEVLKAEQFLETIIKAPEEEWNKLLLNGITVGKGEISPEELYAVIKKRIERTLIRTEGGSYQQRILTEYVKGIQSRAEEIVQVLQGKP >Potri.013G067600.1.v4.1 pep chromosome:Pop_tri_v4:13:5307193:5310609:-1 gene:Potri.013G067600.v4.1 transcript:Potri.013G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067600.v4.1 MGGVPDELWRQILEMGIQNSKLNYKDLCCISISCRRLHRLSAENSLWLLLLSSDFPSPNQQNPNPNNSTSASAKSTYKIKFEREKSRKVAAHRRAVLRKESEVLEFERKIREIENGLRQETEKMRATAAELSNLHKVRQASVALNVWQPEVIRGRQKQIVEQSAVPVESRVHSLEMELKLCRQRISGFDKAYRDEKRRLELAMEQLASMKYHPLRDHKLINSGDKEQKKKRKKLKTSVIFPEKQG >Potri.004G009800.2.v4.1 pep chromosome:Pop_tri_v4:4:568048:569973:1 gene:Potri.004G009800.v4.1 transcript:Potri.004G009800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009800.v4.1 MGISSKFLYLALFVLSIASDLNGIDYGGDMGDESNYGGRSGWKSDNDDNSDNCYKNWRSCGSFFGNGRDFSTQGKGGSHGSGGGGGGGGGGGGSHEDEGGGHGEGYGAGAGGGDASVGGGGGGGDGGGGGDASGGFGHGKGYGFGAGVGENGDAGGGGGGGGGEGGGGGKGASGGFGHGSGYGAGEGIGGGGNASGDVGGGGGGGAGGGGGGGGARGSSQGYGHGSGFGVGGGGGGGVGEGEVGGGGGGGGGGGGGSGSSGGYGHGSGFGAGGGVSSNRGGGGGGGGGGGGGGGGGSGSSGGYGHGSGFGAGGGVSSNRGGGGGGSGGGGGGGGGGGDSSGNGEGYGHGEGGGFGVGGGKAGAPTGQGQGGYKGHNSNNGVSMGFGFGIGFGFGIGNVEGNDSNDSDNGNP >Potri.009G026700.1.v4.1 pep chromosome:Pop_tri_v4:9:3801958:3806536:-1 gene:Potri.009G026700.v4.1 transcript:Potri.009G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026700.v4.1 MEKTNYELVLSCPSGLSPSQVSVVFDPSYDRIAHPDIELENSISEIWDQRVQKNASLFNGKKFRYGGYTLCNRGGSQQDFHVCLHLGLTDYRTFVGTNLNPLWEKFLVPSEDDLMQCQHTSSPLGNGAILETSDKKIVVLQRSYNVGEFPGHIVFPGGHPEPEEVGAASHQMGERLTNSEHNNTKVSQEMFDSIIREVVEEIGVPVTSLCNPLFIGISRRVLNVRPAAFFFIKCNIESKEIQRLYAGAQDGYESTQLYTVSLIELENMASKMPGCHQGGFALYKLMLEAMKNF >Potri.003G165900.1.v4.1 pep chromosome:Pop_tri_v4:3:17506806:17508624:1 gene:Potri.003G165900.v4.1 transcript:Potri.003G165900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165900.v4.1 MGQQDQPVVGVPYYAGQNPYQAGAIPPNAIVGDPKGIPIQQTMYRDTPAPFSCVYCGNSGVTFVRSKPSLAAVVGCMMPLMLGFCFLCPSMDCLWHKYHYCPSCKEKVADFEKSDPCAVMDPPHWTQESFALPA >Potri.009G061500.1.v4.1 pep chromosome:Pop_tri_v4:9:6343986:6346774:-1 gene:Potri.009G061500.v4.1 transcript:Potri.009G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MYB20 MRKPDLVGKDRVPSNSSINKAKLRKGLWSPEEDEKLIKYMLTNGQGCWSDIARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEELIIHLHTILGNRWSQIAARLPGRTDNEIKNFWNSTLKKRLKINNTSTSSPNDSDSSEPRDHAIGNIMPTHDPDTMTLCMDSSSSSSISMQGMITSNRFDSFSMLNNRYDVTGAASLFDMSTCLTQVGMGDGFYGDHYGILEANNKTGLESDLSLPPLESRSFEENNTVSNNRIGMKSSSNNNNNCFDNTCFDNTCFNNNTDQRFKVEDMLGLENHWQGENLRMGEWDFEGLMENITSFPLLDFHVE >Potri.009G085000.3.v4.1 pep chromosome:Pop_tri_v4:9:7992075:7995339:1 gene:Potri.009G085000.v4.1 transcript:Potri.009G085000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085000.v4.1 MATMPVNGQSFALDIVKTDDDGRLKRDGNLMSASAHIITAVIGSGVLSLAWAMAQLGWIAGPISLLIFSFITWFNSCLLADCYRFPGPLGGTRTYTYMGAVKAHLGGIKYTLCGISQYTNLVGTSIGYTITASISMAAIKRSNCFHREGHDAECHASTNMFMIIFGIVQVMMSQLPNFHELVGLSTLAAIMSFAYSLIGIGLSIAAIAGGNDVKTSLTGTVVGVDVTSTEKAWNCFQAIGNIAFAYTYSSILVEIQDTLKSSPPENQVMKKASLVGVATTTVFYMLCGTLGYAAFGNVAPGNFLTGFGFYEPYWLVDFANLCIVIHLVGAYQVYGQPIFKLVEDSCRKKWPESGFITNEHPVDIPFCGVFHVNSFRLLWRTAYVIASSVIAMTFPFFNSVLGFIGAISFWPLTLYFPVQMYISQARIRRFTFTWTWLTILTVACLIVSLAAAAACVQGLIMQLRNFEPFKSVS >Potri.009G085000.2.v4.1 pep chromosome:Pop_tri_v4:9:7989725:7995339:1 gene:Potri.009G085000.v4.1 transcript:Potri.009G085000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085000.v4.1 MATMPVNGQSFALDIVKTDDDGRLKRDGNLMSASAHIITAVIGSGVLSLAWAMAQLGWIAGPISLLIFSFITWFNSCLLADCYRFPGPLGGTRTYTYMGAVKAHLGGIKYTLCGISQYTNLVGTSIGYTITASISMAAIKRSNCFHREGHDAECHASTNMFMIIFGIVQVMMSQLPNFHELVGLSTLAAIMSFAYSLIGIGLSIAAIAGGNDVKTSLTGTVVGVDVTSTEKAWNCFQAIGNIAFAYTYSSILVEIQDTLKSSPPENQVMKKASLVGVATTTVFYMLCGTLGYAAFGNVAPGNFLTGFGFYEPYWLVDFANLCIVIHLVGAYQVYGQPIFKLVEDSCRKKWPESGFITNEHPVDIPFCGVFHVNSFRLLWRTAYVIASSVIAMTFPFFNSVLGFIGAISFWPLTLYFPVQMYISQARIRRFTFTWTWLTILTVACLIVSLAAAAACVQGLIMQLRNFEPFKSVS >Potri.006G212400.7.v4.1 pep chromosome:Pop_tri_v4:6:21904841:21910425:1 gene:Potri.006G212400.v4.1 transcript:Potri.006G212400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212400.v4.1 MPRSRASGASADEPDVPEKSAEHEEQVDLDGDNEGEETMEEEVEYEEVEEEEEVEEIEEEVEEEVEEEENEEASDEADSPKGSDGDEEEDEHRKHSELLALPPHGSEVYLGGIPPDASEEDLKEFCESIGEVTEIRIMKGKDSSESKGYAFVTFRTKELASKAIEELNNTEFKGKKVKCSTSQANHRLFIGNVPRNWGEEDMKKAVKKTGPGVNSVELLKDPQNPSRNRGFAFIEYYNHACAEYSRKMMSSPEFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPEDINQDRLRQLFEHHGKVTKVVLPPAKPGHEKSRFGFVHFAERSSAMKALKNTEKYKIDGQVLDCSLAKPQADLKSSGGPNSQKSSPHSSFPPRVGYSLVGSSYGALGAGFGAAGFAQPVIYGRGPTPAGMSMMPMLLPDGRIGYVLQQPGMQMHSPPPQPWGGRGSGAGSSSGGRRNDGGRGRSRYNPY >Potri.006G212400.6.v4.1 pep chromosome:Pop_tri_v4:6:21904813:21910427:1 gene:Potri.006G212400.v4.1 transcript:Potri.006G212400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212400.v4.1 MPRSRASGASADEPDVPEKSAEHEEQVDLDGDNEGEETMEEEVEYEEVEEEEEVEEIEEEVEEEVEEEENEEASDEADSPKGSDGDEEEDEHRKHSELLALPPHGSEVYLGGIPPDASEEDLKEFCESIGEVTEIRIMKGKDSSESKGYAFVTFRTKELASKAIEELNNTEFKGKKVKCSTSQANHRLFIGNVPRNWGEEDMKKAVKKTGPGVNSVELLKDPQNPSRNRGFAFIEYYNHACAEYSRKMMSSPEFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPEDINQDRLRQLFEHHGKVTKVVLPPAKPGHEKSRFGFVHFAERSSAMKALKNTEKYKIDGQVLDCSLAKPQADLKSSGGPNSQKSSPHSSFPPRVGYSLVGSSYGALGAGFGAAGFAQPVIYGRGPTPAGMSMMPMLLPDGRIGYVLQQPGMQMHSPPPQPWGGRGSGAGSSSGGRRNDGGRGRSRYNPY >Potri.006G212400.8.v4.1 pep chromosome:Pop_tri_v4:6:21904834:21910095:1 gene:Potri.006G212400.v4.1 transcript:Potri.006G212400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212400.v4.1 MKGKDSSESKGYAFVTFRTKELASKAIEELNNTEFKGKKVKCSTSQANHRLFIGNVPRNWGEEDMKKAVKKTGPGVNSVELLKDPQNPSRNRGFAFIEYYNHACAEYSRKMMSSPEFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPEDINQDRLRQLFEHHGKVTKVVLPPAKPGHEKSRFGFVHFAERSSAMKALKNTEKYKIDGQVLDCSLAKPQADLKSSGGPNSQKSSPHSSFPPRVGYSLVGSSYGALGAGFGAAGFAQPVIYGRGPTPAGMSMMPMLLPDGRIGYVLQQPGMQMHSPPPQPWGGRGSGAGSSSGGRRNDGGRGRSRYNPY >Potri.005G000600.3.v4.1 pep chromosome:Pop_tri_v4:5:125291:130525:1 gene:Potri.005G000600.v4.1 transcript:Potri.005G000600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000600.v4.1 MAELLPIYFSIIAFFCALGAMALAIFHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLIFPESSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRAMKPSWCLMTCCFPPVPLDGRFIRRCKQGCLQFVILKPFLVAVTLILYAKGKYKDGNFSPNQSYLYLTIIYTISYTMALYALALFYVACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKDAEEAAQFQDFIICVEMLIAAVGHLYAFPYKEYAGANIAGSCDLTRSLAHALKLNDLYHDTVHQFAPTYHDYVLYNHTEGDEGTRKYRSRTFVPTGHEMDAVRKNKLVFGNKIDEIQLSSQSSSATSTPKNDTSVLNSAHSDAMKSSLLVDSSNSVSAPYDMSLIDMDFSNYPAKVAAANETSR >Potri.005G000600.1.v4.1 pep chromosome:Pop_tri_v4:5:125293:130716:1 gene:Potri.005G000600.v4.1 transcript:Potri.005G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000600.v4.1 MAELLPIYFSIIAFFCALGAMALAIFHIYRHLLNYTEPTYQRYIVRIIFMVPVYALMSFLSLIFPESSIYFNSIREVYEAWVIYNFLSLCLAWVGGPGAVVLSLSGRAMKPSWCLMTCCFPPVPLDGRFIRRCKQGCLQFVILKPFLVAVTLILYAKGKYKDGNFSPNQSYLYLTIIYTISYTMALYALALFYVACKDLLQPFNPVPKFIIIKSVVFLTYWQGVLVFLAAKSGFIKDAEEAAQFQDFIICVEMLIAAVGHLYAFPYKEYAGANIAGSCDLTRSLAHALKLNDLYHDTVHQFAPTYHDYVLYNHTEGDEGTRKYRSRTFVPTGHEMDAVRKNKLVFGNKIDEIQLSSQSSSATSTPKNDTSVLNSAHSDAMKSSLLVDSSNSVSAPYDMSLIDMDFSNYPAKVAAANETSR >Potri.003G069300.1.v4.1 pep chromosome:Pop_tri_v4:3:9640428:9647462:-1 gene:Potri.003G069300.v4.1 transcript:Potri.003G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069300.v4.1 MADEIVNVNEFQVLARQALPKMYYDFYAGGAEDEHTLKKNVQEFQRIILLPRVLVDVSSIALSTNILGYTISAPIMIAPTSMHKLAHPEGELATARAAAACNTIMMLSFTASCSVEEVAASCDAVRFFQLYVCKRRDIAVNLVQRAEKSGYKAIVLTADRPRRGRKEADIKNKMILPQLKNLEGLMSIEVFSDKGSNIKPNTNEIFDPSLCWRDIAWLKSITSLPILIKGILTREDAIKAMEVGAAGIIVSNHGARQLDYTPATISVLEEVVQAVGRRVPVLLDGGVRRGTDVFKALALGAQAVLVGRPVIYGLAAKGEAGVRKVMQMLKDELELTMALAGCPSVEDISRSHVRTDRDRLQSML >Potri.006G015100.1.v4.1 pep chromosome:Pop_tri_v4:6:962216:965441:1 gene:Potri.006G015100.v4.1 transcript:Potri.006G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015100.v4.1 MVSLQDSHSQYSTPNRFPLTSASPSPIKIHRHAGRSMRTIRSTFFQTSSSSFASLPNNSAASTPFDSENLTESVIDMRLGELAKTLNQNSNGNHGSFKKKLLSTSSSSEEEFLDIAEAFSDFSGCSSDISGELQRLACLPSSPMRDGEVEGCKEKNPSMEIVAEPCHGFLQRESFSTEIIESISPEDLQPTVNICVDGLQSPSITVKRSAAEKLRFLAKNRADNRALIGESGAISALIPLLKQSDPWAQEHAVTALLNLSLYEENKKRITNSGAIKSFVYVLKTGTENAKQNAACALLSLALIEENKNSIGACGAIPPLVSLLINGSNRGKKDALTTLYKICSIKQNKERAVAAGAVKPLVGMVVEAGAGMMAEKAMVVLSSLAAIEEGRETIVEECGIAALVEAIEDGSVKGKEFAVVTLLQLCNDSVRNRGLLVREGAIPPLVALSQNGSIPAKNKAERLLGYLREPRQESAAASSSSPQRGGGF >Potri.006G015100.2.v4.1 pep chromosome:Pop_tri_v4:6:962233:965931:1 gene:Potri.006G015100.v4.1 transcript:Potri.006G015100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015100.v4.1 MVSLQDSHSQYSTPNRFPLTSASPSPIKIHRHAGRSMRTIRSTFFQTSSSSFASLPNNSAASTPFDSENLTESVIDMRLGELAKTLNQNSNGNHGSFKKKLLSTSSSSEEEFLDIAEAFSDFSGCSSDISGELQRLACLPSSPMRDGEVEGCKEKNPSMEIVAEPCHGFLQRESFSTEIIESISPEDLQPTVNICVDGLQSPSITVKRSAAEKLRFLAKNRADNRALIGESGAISALIPLLKQSDPWAQEHAVTALLNLSLYEENKKRITNSGAIKSFVYVLKTGTENAKQNAACALLSLALIEENKNSIGACGAIPPLVSLLINGSNRGKKDALTTLYKICSIKQNKERAVAAGAVKPLVGMVVEAGAGMMAEKAMVVLSSLAAIEEGRETIVEECGIAALVEAIEDGSVKGKEFAVVTLLQLCNDSVRNRGLLVREGAIPPLVALSQNGSIPAKNKAERLLGYLREPRQESAAASSSSPQRGGGF >Potri.016G113100.1.v4.1 pep chromosome:Pop_tri_v4:16:11676496:11679797:-1 gene:Potri.016G113100.v4.1 transcript:Potri.016G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113100.v4.1 MVMGAHRHFEPIAKCSTEGRSNQTVAADLDGTLLVSRSAFPYFLLVAIEAGSLLRGLILLSSVPFVYFTYLIISEEMAIKTFIFIAFAGLKIRDIELVSRSVLPKFYADDVHPETWRVFNSFGKRYIVTANPRIMVEPFAKNFLGADKVLGTEIQATKSGRATGFVKKPGVLVGDHKRNALLKEFGTSLPDLGLGDRETDHDFMSICKEGYIVPRSKCEPLPRNKLLSPVIFHEGRLVQRPTPLVALLTFLWMPIGIILSVLRVYLNIPLPERIAWYNYKLLGIRVVVKGNPPPPPRKGQSGVLFVCNHRTVLDPVVTAVALRRKISCVTYSISKFTEIISPIKAVALSRERDRDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFNGTTVRGHKLLDPYFVFMNPMPTYEITFLNQLPTELTCKGGKSSIEVANYIQRVLAGTLGFECTNLTRKDKYAILAGTDGRVATKKEKASEN >Potri.013G125700.2.v4.1 pep chromosome:Pop_tri_v4:13:13339681:13353164:-1 gene:Potri.013G125700.v4.1 transcript:Potri.013G125700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125700.v4.1 MAYYYKSLNLHHMWKGGFHCVGGNFAGASRIVGFNNLSNFKRKVFLSRPSSAVLSNKGYCSLSQVVSAIPQSNVLTSSKSENEVVHQDLVKREENAAEAINPSNGRVMLIDGTSVIYRAYFKLLAKVHHGHLTHADGNGDWVLTIFSALSFIIDVLGFMPSHAVVVFDHDGLNFRHTLYSLYKSNRPPTPDTVIQGLPYLKAAIKAMSVKVIEVPGVEADDVIGTLAVNSVKDGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLEMVSFGMEDFAEKYGGLKPSQFVDVMALMGDKSDNIPGVEGIGVVHAVELISRFGTLENLLKCVDQVEGESIRKALRQNANQAVLSKELAKLRCELPEYMVPFATTDLIFKKPEDNGEKFTNLLTAVSSYAEGFSADMIIRRASKLWEKLEAR >Potri.013G125700.1.v4.1 pep chromosome:Pop_tri_v4:13:13339653:13353179:-1 gene:Potri.013G125700.v4.1 transcript:Potri.013G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125700.v4.1 MAYYYKSLNLHHMWKGGFHCVGGNFAGASRIVGFNNLSNFKRKVFLSRPSSAVLSNKGYCSLSQVVSAIPQSNVLTSSKSENEVVHQDLVKREENAAEAINPSNGRVMLIDGTSVIYRAYFKLLAKVHHGHLTHADGNGDWVLTIFSALSFIIDVLGFMPSHAVVVFDHDGVPYGKSSVSPNKTVMEKGLNFRHTLYSLYKSNRPPTPDTVIQGLPYLKAAIKAMSVKVIEVPGVEADDVIGTLAVNSVKDGFKVRVVSPDKDFFQILSPSLRLLRIAPRGLEMVSFGMEDFAEKYGGLKPSQFVDVMALMGDKSDNIPGVEGIGVVHAVELISRFGTLENLLKCVDQVEGESIRKALRQNANQAVLSKELAKLRCELPEYMVPFATTDLIFKKPEDNGEKFTNLLTAVSSYAEGFSADMIIRRASKLWEKLEAR >Potri.003G100300.15.v4.1 pep chromosome:Pop_tri_v4:3:12543900:12546479:1 gene:Potri.003G100300.v4.1 transcript:Potri.003G100300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100300.v4.1 MALSYTTTIASSLLHAATPSLPNKKSFWIEKEALFRIASKLTVPRNGRSSSVEFDVPFPRDYEELLDQAKKATELAWEDNKQLMEIEFPTAGLESVPGDGEGGIEMTGSMQLIREFCDRFVSPEKTTRTRIFFPEANEVKFARQSAFEGSSLKLDYLTKPSFFEDFGFVEKVKMTDRVKPEDELFLVAYPYFNVNEMLVVEELYKEAVVETARKLIIFNGELDRIRSGYYPSFFYPKLASLLKTLFPLMETVYYIHNFKGRNGGTLFRCYPGPWQVLRKVRNAYICLHQQEAMPSLKEVALDILPSV >Potri.004G032300.1.v4.1 pep chromosome:Pop_tri_v4:4:2486436:2489610:1 gene:Potri.004G032300.v4.1 transcript:Potri.004G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032300.v4.1 MTIANGKIDAPTPTRPGGKTKGIVVCWFLGLGSLISWNSMLTIGDYYYTLFPKYHPSRVLTLVYQPFAIGTMAILAYNEAKINTRKRNIAGYILFTASTLMLMVVDLATSGRGGVGPFIGICAIVAAFGVADAHVQGGMVGDMAFMCPEFMQSFFAGLAASGALTSGLRLITKAAFDKSKNGPRKGVMLFLGISTFAEFLCVLLYAYLFPKLPIVKYYRSKAASEGSKTVSADLAAAGIQTPADHQASDVAKSPERLSNKQLLFQNIDYALDLYLIYVLTLSIFPGFLYENTGEHQLGTWYPLVLIAVYNVLDLISRYLPLVPWLKLESRKGLMIAILSRFLLVPAFYFTAKYGDQGWMIFLVSFLGLTNGYLTVCVLTIAPRGYKGPEANALGNLLVLCLLGGIFSGVALDWLWLIGKKNAF >Potri.004G032300.2.v4.1 pep chromosome:Pop_tri_v4:4:2485619:2489691:1 gene:Potri.004G032300.v4.1 transcript:Potri.004G032300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032300.v4.1 MTIANGKIDAPTPTRPGGKTKGIVVCWFLGLGSLISWNSMLTIGDYYYTLFPKYHPSRVLTLVYQPFAIGTMAILAYNEAKINTRKRNIAGYILFTASTLMLMVVDLATSGRGGVGPFIGICAIVAAFGVADAHVQGGMVGDMAFMCPEFMQSFFAGLAASGALTSGLRLITKAAFDKSKNGPRKGVMLFLGISTFAEFLCVLLYAYLFPKLPIVKYYRSKAASEGSKTVSADLAAAGIQTPADHQASDVAKSPERLSNKQLLFQNIDYALDLYLIYVLTLSIFPGFLYENTGEHQLGTWYPLVLIAVYNVLDLISRYLPLVPWLKLESRKGLMIAILSRFLLVPAFYFTAKYGDQGWMIFLVSFLGLTNGYLTVCVLTIAPRGYKGPEANALGNLLVLCLLGGIFSGVALDWLWLIGKKNAF >Potri.003G023700.3.v4.1 pep chromosome:Pop_tri_v4:3:2471678:2477731:1 gene:Potri.003G023700.v4.1 transcript:Potri.003G023700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023700.v4.1 MEGKRKRPFLEDIEDDDKSNKQKKVRFPKGKKVKSVDERVDRGKAEEEGPSDLKDPRLAAKERAMLRSLITDEGFSGDINDASAAEVAYEENENFVEDGIQIEPFNLEKEREEGYFDADGNFVEYINENEIKDAWLDSVQVHERYVGKTSVASINEDDEKDDGRDLSSEEIGMMKSRIANLLEPGETVLQALRRLKGRSNKSKEKMSTETQLLFDQLTEDANKLLDHGEYNVYHDKQEVFKREAEGYERLAIARGKVAAISEGLEDSGNGMEKGLSSGVTGLGAASSAPSDGDVGPSIPSVSTAEISGCDGDAFDMFGDDEDNATAIASQPSSDGLNAISGAGSLQSDYVYDETSGYYYSSSLGYYYDPSTGLFCQATSGQWYSYNKETGTYSEIQEVASNAN >Potri.006G263100.1.v4.1 pep chromosome:Pop_tri_v4:6:25890591:25894993:1 gene:Potri.006G263100.v4.1 transcript:Potri.006G263100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263100.v4.1 MAKCHTNNIGSLLLDHTSTTATATGTHHSRLWTAFSRKIFDAVSCGGSSRYRHYEDDIPSFTTTATNATASVSREKQNKTALSVTNNSRKEENGNQQNLNVEGEKGRVKARNGKSEKLSDLLSMAEAESESETRKKVEVLEGMKRVVRELQVDEGVKRREAACNVRLLCKEDGKARVTLSMLGAIPPLVGMLDLEDFECQIDALYALLNLGIGNDVNKAAIVKAGAVHKMLKIIESPNAANPSVSEAIVANFLGLSALDSNKPIIGSSGAIPFLVNSLKDLDHKNRSQAQQDALRALYNLSISPSNISFILETDLISFLMGSLGDMEVSERVLSILSNAVSTPEGRKAISAMPDAFPILVDVLNWTDSPGCQEKASYILMVMAHKAYGDRQAMIEAGIVSSLLELTLLGSTLAQKRASRILECLRVDKGKQVSGNYGGNMGAAVSAPIYGTPSSSSNPNLVSKECLEETEDMMSEEKKAVKQLVQQSLQNNMRRIVKRANLPQDFVPSEHFKSLTSSSTSKSLPF >Potri.006G263100.2.v4.1 pep chromosome:Pop_tri_v4:6:25890634:25895149:1 gene:Potri.006G263100.v4.1 transcript:Potri.006G263100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263100.v4.1 MAKCHTNNIGSLLLDHTSTTATATGTHHSRLWTAFSRKIFDAVSCGGSSRYRHYEDDIPSFTTTATNATASVSREKQNKTALSVTNNSRKEENGNQQNLNVEGEKGRVKARNGKSEKLSDLLSMAEAESESETRKKVEVLEGMKRVVRELQVDEGVKRREAACNVRLLCKEDGKARVTLSMLGAIPPLVGMLDLEDFECQIDALYALLNLGIGNDVNKAAIVKAGAVHKMLKIIESPNAANPSVSEAIVANFLGLSALDSNKPIIGSSGAIPFLVNSLKDLDHKNRSQAQQDALRALYNLSISPSNISFILETDLISFLMGSLGDMEVSERVLSILSNAVSTPEGRKAISAMPDAFPILVDVLNWTDSPGCQEKASYILMVMAHKAYGDRQAMIEAGIVSSLLELTLLGSTLAQKRASRILECLRVDKGKQVSGNYGGNMGAAVSAPIYGTPSSSSNPNLVSKECLEETEDMMSEEKKAVKQLVQQSLQNNMRRIVKRANLPQDFVPSEHFKSLTSSSTSKSLPF >Potri.009G086400.4.v4.1 pep chromosome:Pop_tri_v4:9:8065909:8066264:1 gene:Potri.009G086400.v4.1 transcript:Potri.009G086400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086400.v4.1 MTSISQSVLMALTVTVNKFASSNVHAVNKKEGKRPPKAATRAADIGRRGVLLSTMVDVFSVNDSISTELLKRYLKRSEENKAKK >Potri.007G026500.1.v4.1 pep chromosome:Pop_tri_v4:7:2027513:2033272:-1 gene:Potri.007G026500.v4.1 transcript:Potri.007G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026500.v4.1 MSHSELVVFLLPSILSLLLLFILVQRKQVRFNLPPGNMGWPFLGETIGYLKPYSATSIGEFMEQHISRYGKIYKSNLFGEPTIVSADAGLNRFILQNEGRLFECSYPKSIGGILGKWSMLVLVGDMHRDMRIISLNFLSHARLRTHLLKEVEKQTLLVLSSWKENCTFSAQDEAKKFTFNLMAKHIMSLDPGKPETEQLKKEYVTFMKGVVSAPINLPGTPYRKALKSRSIILKFIERKMEERIAETKGGVENLEDDDLLGWVLKHSNLSTEQILDLILSLLFAGHETSSVSIALAIYFLQACPGAIQQLKEEHIQISRAKKRSGETELNWDDYKKMEFTQCVISETLRLGNVVRFLHRKALKDVRYKGYDIPCGWKVLPVISAVHLDSTLFDQPQHFNPWRWQHNNARGASTSSSGTTSSNYFMPFGGGPRLCAGSELAKLEMAVFIHHLVLNFHWELVDTDQAFAFPFVDFPKGLPIRVKHLLTVT >Potri.018G046200.1.v4.1 pep chromosome:Pop_tri_v4:18:3953312:3957175:1 gene:Potri.018G046200.v4.1 transcript:Potri.018G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G046200.v4.1 MDRLRRRQRPVFSGFTTAEIEKMERLLKESDQQLDKEFFQKVARRFSSSAARAGKPVVKWTEVQSWFRTRQQDCLSKVASSTDASNHDSPLPKSNSFNKTKESSRIPEGETIPDLSELKFEARSSKDGAWYDVDMFLSHRILASGDAEVRVRFVGFGAEEDEWVNVKNAVRERSIPLEHSECHKLKVGDLVCCFQERRDQAQYFDAHIVDIQRKTHDIRGCRCLFLVRYDHDNTEERVRLRRLCCRPA >Potri.017G148100.1.v4.1 pep chromosome:Pop_tri_v4:17:14726732:14729565:-1 gene:Potri.017G148100.v4.1 transcript:Potri.017G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148100.v4.1 MAKFSLEDGEGSSFPRPKRQRPSSPSSPPPDFTMGEIVREDEESEEDEEEDDVDTSDGSEEEDEEEEEEEEQVEEIVRPQPPPPQRQPQPPPPPPPPPQQQQQPPPPRPQENNQITTVGLQPPQHRLFEASVFLGHTLVGPSRNGVIYATLSDPEVLDCPICCEPLTIPVFQCDNGHTACSSCCIKLQHKCPSCTMPIGYNRCRAIEKVLESLKVSCSNSSYGCKESICYSKKYEHDKSCTHAPCTCPLPACNYQGSSKRLYQHCRIKHLCDLTSFQFNTSFPLFFMVDHKFRVLQEEKEDVLFILTNRSECLGNVITVSCMGPSSSKQGYFYELTAKAEGSNVRFQSSTRNIQTRVDHPPSLGFLLVPNDFLGTHGGITLDVCIWRLGSYPSVSSALGSSIQCK >Potri.017G148100.2.v4.1 pep chromosome:Pop_tri_v4:17:14726833:14727976:-1 gene:Potri.017G148100.v4.1 transcript:Potri.017G148100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148100.v4.1 MPIGYNRCRAIEKVLESLKVSCSNSSYGCKESICYSKKYEHDKSCTHAPCTCPLPACNYQGSSKRLYQHCRIKHLCDLTSFQFNTSFPLFFMVDHKFRVLQEEKEDVLFILTNRSECLGNVITVSCMGPSSSKQGYFYELTAKAEGSNVRFQSSTRNIQTRVDHPPSLGFLLVPNDFLGTHGGITLDVCIWRLGSYPSVSSALGSSIQCK >Potri.012G140133.1.v4.1 pep chromosome:Pop_tri_v4:12:15244552:15245454:-1 gene:Potri.012G140133.v4.1 transcript:Potri.012G140133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140133.v4.1 MRKITNPARTYGCVTCQILLHQSCFDLPLQIRHFFHPCPLTLCIQPNCFNCGACDRAILQSFYFYCKWCNFAMDIECALLPTVKAQSGEQFCHFTNGHPLTLTEIKDEDEISCSACGRCCSGPAYDCSKCSFILDKSCFELPREIQHNFHPNHPLTLLTQLCELE >Potri.019G014348.2.v4.1 pep chromosome:Pop_tri_v4:19:2191954:2194446:1 gene:Potri.019G014348.v4.1 transcript:Potri.019G014348.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014348.v4.1 MGGVGKTTIVKHIYNKLRERKDICDHVWWVIVSQDFSINRLQNLIAKRLDLNLSSEDDDLHRTAKLSEELRKKKKWILILDDLWNNFELEEVGIPEKLKGCKLIMTTRSKIVCDRMACHPKIKVKPLSEGEAWTLFMEKLRNDIALSREVEGIAKAVARECAGLPLGIIAVAGSLRGVDDLHDWRNTLNKLRESEFRDMDEKVFKLLKFSYDRLGDLALKQCLLYCALFPEDDRIKRKRLIGYLIDEGIIKGKRSRGDAFDEGHTMLNRLENVCLLESANCNNGRRVKMHDLIRDMAIQILLENSQGMVKAGAQLKELPDAEEWMKNLTRVSLMQNKIEEIPSSHSPMCPNLSTLFLCDNRGLRFVADSFFKQLHGLKVLDLSCTGIENLPDSVSDLVSLTALLLKKCENLRHVPSLKKLMALKRLDLSRTALKKMPQGMECLNNLRYLRMNGCGEKEFPSGILSKLSHLQVFVLEETLIDRRYAPITVKGKEVGSLRNLDTLECHFKGFSDFVEYLRSQDGIQSLSGYRISVGMVGTYFWKYMDNLPCKRVRLCNLSINRDRDFQVMSLNDIQGLVCECIDARSLCDVLSLENATELKHISIWDCNSMESSVSSSWFCCAPPPLPSCMFSGLKEFYCVRCKSMKKLFPLVLLSNLVNLEVIDVRDCEKMEEIIGTTDEESSTSNSITELILPKLRTLRLRYLPELKSICSAKLICNSLEDIKLMYCEKLKRMPICLPLLENGQPSPPLSLRIMHIEPKEWWETVVEWEHPNA >Potri.019G014348.1.v4.1 pep chromosome:Pop_tri_v4:19:2191422:2194435:1 gene:Potri.019G014348.v4.1 transcript:Potri.019G014348.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014348.v4.1 MQEDEDVERLHDAFETVPRTEQVQHLERGSSCERPSINQAVYNVQNMVRVRTEPVEEDVENSGRLARPDAGARSSISLKYNTSETRGVPLPTSSTKPVGQAFEENTKVIWSLLMDDKVSTIGIYGMGGVGKTTIVKHIYNKLRERKDICDHVWWVIVSQDFSINRLQNLIAKRLDLNLSSEDDDLHRTAKLSEELRKKKKWILILDDLWNNFELEEVGIPEKLKGCKLIMTTRSKIVCDRMACHPKIKVKPLSEGEAWTLFMEKLRNDIALSREVEGIAKAVARECAGLPLGIIAVAGSLRGVDDLHDWRNTLNKLRESEFRDMDEKVFKLLKFSYDRLGDLALKQCLLYCALFPEDDRIKRKRLIGYLIDEGIIKGKRSRGDAFDEGHTMLNRLENVCLLESANCNNGRRVKMHDLIRDMAIQILLENSQGMVKAGAQLKELPDAEEWMKNLTRVSLMQNKIEEIPSSHSPMCPNLSTLFLCDNRGLRFVADSFFKQLHGLKVLDLSCTGIENLPDSVSDLVSLTALLLKKCENLRHVPSLKKLMALKRLDLSRTALKKMPQGMECLNNLRYLRMNGCGEKEFPSGILSKLSHLQVFVLEETLIDRRYAPITVKGKEVGSLRNLDTLECHFKGFSDFVEYLRSQDGIQSLSGYRISVGMVGTYFWKYMDNLPCKRVRLCNLSINRDRDFQVMSLNDIQGLVCECIDARSLCDVLSLENATELKHISIWDCNSMESSVSSSWFCCAPPPLPSCMFSGLKEFYCVRCKSMKKLFPLVLLSNLVNLEVIDVRDCEKMEEIIGTTDEESSTSNSITELILPKLRTLRLRYLPELKSICSAKLICNSLEDIKLMYCEKLKRMPICLPLLENGQPSPPLSLRIMHIEPKEWWETVVEWEHPNA >Potri.007G070100.2.v4.1 pep chromosome:Pop_tri_v4:7:9109239:9123206:-1 gene:Potri.007G070100.v4.1 transcript:Potri.007G070100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070100.v4.1 MSRQTPSSHFLKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSSKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDNPPIPDSLSPDITDFLRQCFKKDATQRPDAKTLLSHPWILNSRRALNSFRHSGSIRSIQEDVSVDAVILNGDNQSTGQISSVDKTEASVADFEAVSRKELLTVSDDVSKSCKDNSSNDEVEERADKLDNDLHSDQVPTLAIHENSSLKTSSGRLSMNKVAAACAPLHGSAHMHDQDQALSNCDMESPDARGKNIDRRDGGKTNSTHVENGSFGFATRSQDNGLQKAVKTSMNLGGNELSKFSDTPRDASLDDLFHPLNKNPEDRAAEASTSASTSHMNQGNAVVADAGKNDLATRLRATIAQKQMENEMGKTNGGGDLFSLMMGVLKDGVIDIDGLVFDEKLPPENLFPLQAVEFSRLVGSLRPEESEEVIVSACQKLISIFHQRPEQKIVFITQHGLLPLMELLEVPKTRVICSVLQLINQIVKDNTDFQENACLVGLIPVVMGFAGPDRPREVRMEAAYFLQQLCQSSSLTLQMFIACRGIPILVGFLEADHAKYRDMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILFRLINTLYSLNEATRLASISMGTGFPLDGLAQRPRSGPLDFNHPIFIQSEPPLSASDQPDILKFRHGMIDHPLPSVTQEPSRASTSHSQRLDAIQPDARYLGTDTDGPQSSNEAIEATVASKLPDPAALGKAANMGIKEPPGIASKERDNLDRWKSDPSRPETELRQQRVTGSTQRTSTDRPPKLIESASNGLTSVVSAQPEQVRPLLSLLEKEPPSKHFSGQLEYARHLSGLERHESILPLLHGSEKKTNGELDFLMAEFAEVSGRGRENGNLDSMPRISHKTVSKKVGPVAPNEGAASTSGIVSQTASGVLSGSGVLNARPGSATSSGLLSQMVSAEVAREYLEKVADLLLEFSQADTTVKSYMCSQSLLSRLFQMFNRIELPILLKILKCIDNLSTDPNCLENLQRADAIKYLIPNLELKDGPLVDQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKSHALPLLCDMAHASRNSREQLRAHGGLDAYLSLLDDVVWSVTALDSIAVCLAHDNDNHKVEQALLKKDAVQKLVKFFQCCPEQQFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLLNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Potri.007G070100.3.v4.1 pep chromosome:Pop_tri_v4:7:9109257:9123251:-1 gene:Potri.007G070100.v4.1 transcript:Potri.007G070100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070100.v4.1 MSRQTPSSHFLKSKTLDNKYMLGDEIGKGAYGRVYKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSSKTKTHLHIILEYVENGSLANIIKPNKFGPFPESLVAVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDNPPIPDSLSPDITDFLRQCFKKDATQRPDAKTLLSHPWILNSRRALNSFRHSGSIRSIQEDVSVDAVILNGDNQSTGQISSVDKTEASVADFEAVSRKELLTVSDDVSKSCKDNSSNDEVEERADKLDNDLHSDQVPTLAIHENSSLKTSSGRLSMNKVAAACAPLHGSAHMHDQDQALSNCDMESPDARGKNIDRRDGGKTNSTHVENGSFGFATRSQDNGLQKAVKTSMNLGGNELSKFSDTPRDASLDDLFHPLNKNPEDRAAEASTSASTSHMNQGNAVVADAGKNDLATRLRATIAQKQMENEMGKTNGGGDLFSLMMGVLKDGVIDIDGLVFDEKLPPENLFPLQAVEFSRLVGSLRPEESEEVIVSACQKLISIFHQRPEQKIVFITQHGLLPLMELLEVPKTRVICSVLQLINQIVKDNTDFQENACLVGLIPVVMGFAGPDRPREVRMEAAYFLQQLCQSSSLTLQMFIACRGIPILVGFLEADHAKYRDMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILFRLINTLYSLNEATRLASISMGTGFPLDGLAQRPRSGPLDFNHPIFIQSEPPLSASDQPDILKFRHGMIDHPLPSVTQEPSRASTSHSQRLDAIQPDARYLGTDTDGPQSSNEAIEATVASKLPDPAALGKAANMGIKEPPGIASKERDNLDRWKSDPSRPETELRQQRVTGSTQRTSTDRPPKLIESASNGLTSVVSAQPEQVRPLLSLLEKEPPSKHFSGQLEYARHLSGLERHESILPLLHGSEKKTNGELDFLMAEFAEVSGRGRENGNLDSMPRISHKTVSKKVGPVAPNEGAASTSGIVSQTASGVLSGSGVLNARPGSATSSGLLSQMVSAEVAREYLEKVADLLLEFSQADTTVKSYMCSQSLLSRLFQMFNRIELPILLKILKCIDNLSTDPNCLENLQRADAIKYLIPNLELKDGPLVDQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKSHALPLLCDMAHASRNSREQLRAHGGLDAYLSLLDDVVWSVTALDSIAVCLAHDNDNHKVEQALLKKDAVQKLVKFFQCCPEQQFVHILEPFLKIITKSSRINTTLAVNGLTPLLIARLDHQDAIARLNLLKLIKAVYEHHPRPKQLIVENDLPQKLLNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Potri.002G236500.2.v4.1 pep chromosome:Pop_tri_v4:2:22946238:22947991:1 gene:Potri.002G236500.v4.1 transcript:Potri.002G236500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236500.v4.1 MAVQPHFLLLTYPAQGHINPALQFAKGLTRIGALVTLVTSLSAGRRMSKTLFPDGLSFVTFSDGYDDGLKPEDDREHFKSELKRRGSQTLNELIVDSAKEGKPVTCLVYTMFLHWAAEVARAQHLPAALLWIQLATVFDIYYYYFNGYGDIFNNCKDTSYAIELPGLPPLASRDLPSLVLPSNTYAWALQMFQEQLEQLSQEANPKVLVNSFDALELGAMNATEKFNLTGIGPLIPSAFLDGKDPLDKSFGGDIFHGSEDYTEWLNSKTKSSVVYVSFGSILVLSKRQMEEIARGLVDSDLPFLWVIRDEQNKNEVKEEEEEDHLRACREAILERQGMIVPWCCQVGVLSHPSIGCFVTHCGWNSTLESLVCEVPVVAFPHWTDQGTNAKLIADVWKTGVRVVANEEGIVEGDEIKRCLDLVMAHGKTGEDIRKNAKKWKDLARDAVKEGGSSDKNLKAFVQDVGEGCF >Potri.017G114600.1.v4.1 pep chromosome:Pop_tri_v4:17:12218964:12220366:1 gene:Potri.017G114600.v4.1 transcript:Potri.017G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSVISSAAVATVNRTPAQANMVAPFNGLKSTSAFPVTRKANNDITSIASNGGRVQCMQVWPPTGLKKFETLSYLPDLTTEQLAQEIEYLLRNKWVPCLEFELEKGWVYREHHQSPGYYDGRYWTMWKLPMFGCTEASQVLIELEEAKKAYPNSFIRIIGFDNTRQVQCISFIASKPKGV >Potri.017G114600.6.v4.1 pep chromosome:Pop_tri_v4:17:12218973:12220390:1 gene:Potri.017G114600.v4.1 transcript:Potri.017G114600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSVISSAAVATVNRTPAQANMVAPFNGLKSTSAFPVTRKANNDITSIASNGGRVQCMQVWPPTGLKKFETLSYLPDLTTEQLAQEIEYLLRNKKVGSTASTTSPQGTMMDATGLCGNYPCLDALRHLRC >Potri.005G217300.12.v4.1 pep chromosome:Pop_tri_v4:5:22039196:22049277:1 gene:Potri.005G217300.v4.1 transcript:Potri.005G217300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217300.v4.1 MEEGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALQHVPNNDGDRNIDQVNQIRDSLAIMGDNSTAFSLPQPHLLRTKLCDMKDGELDLVYVKKRDQLKELVASIIRPKIVQGRTLNGKEFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSEMMAKLPLPLSEKSLQNVHENSKGEAMKSFDEQHFGRHHAKRSVMQLDEEIEKVYKNFVMANEYQSAKLCEALYSRCEDRMDQLQVLRLPSMAKFNAGFLQCNQNFEQECVGPSKANYELRMMKMMGKSKSSFINEYNHRLFNWLVVFSLVMVVVGRFIIKFILIEIGAWILFIFLETYTRMFWSVESLYYNPVWHFIVATWETLVYSPFLDLDRWAIPLGLVAAILVVYWRCYGRRKHGSQRLLPLYNNPKGGTHRPRTD >Potri.005G217300.3.v4.1 pep chromosome:Pop_tri_v4:5:22039170:22049227:1 gene:Potri.005G217300.v4.1 transcript:Potri.005G217300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217300.v4.1 MKISRWILFYWLFLCVFASGSLSIDNFNRAFPIIEPDPNHTKLHLASEGLEAIKRITNPIAAVAVIGPYRSGKSFLLNQLLSLSCYEGFGVGHMRDTKTKGIWVWGTPVELDINGVKTSVFYLDTEGFESIGKSNVYDDRIFALATVLSSVLIYNLPETIREADISRLSFAVELAEEFYGRVKGQDVAFEPAKLLWLIQRDFLQGKSVQEMVNEALQHVPNNDGDRNIDQVNQIRDSLAIMGDNSTAFSLPQPHLLRTKLCDMKDGELDLVYVKKRDQLKELVASIIRPKIVQGRTLNGKEFVAFLEQILEALNKGEIPSTGSLVEVFNKGILERCLKLYSEMMAKLPLPLSEKSLQNVHENSKGEAMKSFDEQHFGRHHAKRSVMQLDEEIEKVYKNFVMANEYQSAKLCEALYSRCEDRMDQLQVLRLPSMAKFNAGFLQCNQNFEQECVGPSKANYELRMMKMMGKSKSSFINEYNHRLFNWLVVFSLVMVVVGRFIIKFILIEIGAWILFIFLETYTRMFWSVESLYYNPVWHFIVATWETLVYSPFLDLDRWAIPLGLVAAILVVYWRCYGRRKHGSQRLLPLYNNPKGGTHRPRTD >Potri.003G083400.1.v4.1 pep chromosome:Pop_tri_v4:3:10993928:11000122:1 gene:Potri.003G083400.v4.1 transcript:Potri.003G083400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083400.v4.1 MRTRTSTGIRVQTHVRKELADENVAEVETSDFPEEIDWYLVGGSLITASSTTKGRKLMDNEIVHFGFSSFGSKYHRQGIIRFSTKRFGEIGRLPMEWGKSVVPLVSSGKVRVRGRCVAAPENLQMLQDVVLYVSFYVHQSIFTELCISTWRLDAPPNIDPTVHPLATLFKLLDMKPYQKAEFSPAELDSRKRPLNYEDPEEDAVMLHVVKRGSGCQQDQEKDEQAFSESSLNKLVGAVDTYDLEEMEPPWTLTCNLKPYQKQALYWMAESEKGNKAEKAAETLNPCWAAYRISDKRASSPSIYLNIFSGEATTQFPTASQMTKGGILADAMGLGKTVMTIALILARPGKGSSDCKERVNRIEDPRTNTMPKAKGGTLIICPMALLGQWKDELETHSEPGSISIFVHYGGYKTNNPRIISGYDVVLTTYGVLTEAYKHDMENSIFNRVEWHRVVLDEAHTIKSWKTLAAKATFSLSSYCKWCLTGTPIQNTLEDLYSLLCFLHVEPWCNWPWWNKLIQRPHENGDPRALKLIKAILRPLMLRRTKDTKDKEGRPILVLPPTDIQLIKCEQSEAERDFYDALFRRSKVQFDEFVAQGKVLHNYASVLELLLRLRQCCNHPFLVMSRADIQRYEELNKYARRFVETNSNFSTPRQSAATEACIEEVVEAIRHASSATIRQSAPTPAYIEEVVEGIRRGENTECPICMESADDPVLTPCVHRMCRECLISCWQTPISGICPICRTSLKANDLIACPTEGKFQVDVENDWKESSKVSKLLECLEHIHRSGFGEKSIVFSQWTSFLDLLEIPLKRRGIGFLRFDGKLAQKQRERTLKEFNETREKMVLLMSLKAGGVGLNLTAASNVFLMDPWWNPAVEEQAIMRIHRIGQKRTVFVRRFIVESTVEERLQQVQAKKQRMIAGALTDEEVRSARIEELKMLFR >Potri.011G038524.1.v4.1 pep chromosome:Pop_tri_v4:11:3002428:3004413:-1 gene:Potri.011G038524.v4.1 transcript:Potri.011G038524.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038524.v4.1 MEPTDTYLPKMRLSANARTGNKTLLMSWRSSSDPSVGNFSAGLNPLGIPEFFIWNNGHPFWRSGPWGGKNFIGIPGMYTSVYLSGFTLQDEGDGTFTLSSIQDPAYRLTHVLTSHGKFTEQYWDYGKQGWEYDWEVPSTECDIYGKCGPFGSCDAQNSPICTCLKGFVAKNQDEWKKGIWTSGCVRMTSLQCDRIQNGSEVGKEDGFKKLEMMKVPTFAEYWSYASSSEQECKDECLKNCSCVAYSYYNDLGCMAWTGNLIDIQKFSEGGTDLNIRLAYTELVADNKRNMKVIIGMSVIVGVIAICICVFFSCKWMATHRERNLINEETLSSEAQETVFDGNLPENVREVKLEPFFKLQILETATNNFDISKKLGQGGFGAVYRGKLPDGQEIAVKRLSRTSGQGREEFMNEVAVISKLQHRNLVRLLGCCVEGEEMMLVYEYLPNKSLDAFLFDSLRKRHLDWERRFELLTGFVEVFFTFTEILD >Potri.003G040700.1.v4.1 pep chromosome:Pop_tri_v4:3:4696650:4699739:-1 gene:Potri.003G040700.v4.1 transcript:Potri.003G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G040700.v4.1 MSNYNMKVSSSPKVSKHVECLRHLQSQHDELEAQFLEERKALEAKYNKLYQPLYTKRYEIVNGLKEVDGVMSAELDSIKEDQAIEEKGVPEFWLTAMKTHEVLAEEIKGQDEGALKFIKDIKWSRLQNPEGFELEFYFNPCPYFKNSVLTKTYHIIDESDPILSQAIGTEIEWYPEKCLTTKVVKRKQRMVSKKTKTTMTIKNCESFFTFFNTSQIPENEDELDDDDYDELQDRLKQDYNIGIIIRDKIIPHAVSWFTGEAIEEDELDGIDYDGNGDDDDDDDEEEEVEDEENDDGNEEDNESDKEVEQEEKRKQGVKKDIKKDYDEDEDEEKERKWGLKKDDDDDDEAGDEENDDGDEEDNESDKEAEQEEKRKQGVKKDIKKDHDEDEDEDEDEERKWDLKKDDDDEAEDEENDDGDEEDNASNKEAEQEEKRKQGVKKDIKEDNDEDGDEEKERKWGLKKDVEDEDEEEKEEKQVWKKSSDGHKKIGGAQIQKGQQREHPPECKQQ >Potri.001G272300.4.v4.1 pep chromosome:Pop_tri_v4:1:28667840:28675420:-1 gene:Potri.001G272300.v4.1 transcript:Potri.001G272300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272300.v4.1 MTRVTRDFGDTMQKDAVLAVSADVAFASSRFPNYKIGATNQILDAKDDPKVLSMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAYARAEIESARATVQRVEEALQEHERMSRALGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRMQLAEKSKCSLQLQKELARSRRGGQSMFHLFELDGSEALGSCLRINPCSENAPELSECSIQWFRLSSEDVKKALISGATKPVYAPEPFDVGRVLQAEIISDGQQFTLTTTCAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNGLDHTSESIHVLHVGKMRMKLRKGKSTIAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGVSFVLAFESARERNAAIMLARRFAFDCNIMLAGPDDRAPLGS >Potri.001G272300.1.v4.1 pep chromosome:Pop_tri_v4:1:28667832:28675144:-1 gene:Potri.001G272300.v4.1 transcript:Potri.001G272300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272300.v4.1 MTRVTRDFGDTMQKDAVLAVSADVAFASSRFPNYKIGATNQILDAKDDPKVLSMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAYARAEIESARATVQRVEEALQEHERMSRALGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRMQLAEKSKCSLQLQKELARSRRGGQSMFHLFELDGSEALGSCLRINPCSENAPELSECSIQWFRLSSEDVKKALISGATKPVYAPEPFDVGRVLQAEIISDGQQFTLTTTCAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNGLDHTSESIHVLHVGKMRMKLRKGKSTIAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGVSFVLAFESARERNAAIMLARRFAFDCNIMLAGPDDRAPLGS >Potri.001G272300.5.v4.1 pep chromosome:Pop_tri_v4:1:28667756:28672960:-1 gene:Potri.001G272300.v4.1 transcript:Potri.001G272300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272300.v4.1 MSRALGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRMQLAEKSKCSLQLQKELARSRRGGQSMFHLFELDGSEALGSCLRINPCSENAPELSECSIQWFRLSSEDVKKALISGATKPVYAPEPFDVGRVLQAEIISDGQQFTLTTTCAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNGLDHTSESIHVLHVGKMRMKLRKGKSTIAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGVSFVLAFESARERNAAIMLARRFAFDCNIMLAGPDDRAPLGS >Potri.001G272300.6.v4.1 pep chromosome:Pop_tri_v4:1:28667840:28671306:-1 gene:Potri.001G272300.v4.1 transcript:Potri.001G272300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272300.v4.1 MFHLFELDGSEALGSCLRINPCSENAPELSECSIQWFRLSSEDVKKALISGATKPVYAPEPFDVGRVLQAEIISDGQQFTLTTTCAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNGLDHTSESIHVLHVGKMRMKLRKGKSTIAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGVSFVLAFESARERNAAIMLARRFAFDCNIMLAGPDDRAPLGS >Potri.001G272300.3.v4.1 pep chromosome:Pop_tri_v4:1:28667798:28675437:-1 gene:Potri.001G272300.v4.1 transcript:Potri.001G272300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272300.v4.1 MTRVTRDFGDTMQKDAVLAVSADVAFASSRFPNYKIGATNQILDAKDDPKVLSMKEVVARETALLLEQQKRLSVRDLASKFEKGLAAAAKLSEEARLREAASLEKHVLLKKLRDALESLKGRVAGRNKDDVEEAIAMVEALAVQLTQREGELIQEKAEVKKLANFLKQASEDAKKLVDEERAYARAEIESARATVQRVEEALQEHERMSRALGKQDLEELMKEVQEARRIKMLHQPSKVMDMEHELRALRMQLAEKSKCSLQLQKELARSRRGGQSMFHLFELDGSEALGSCLRINPCSENAPELSECSIQWFRLSSEDVKKALISGATKPVYAPEPFDVGRVLQAEIISDGQQFTLTTTCAIDPAAGLGSYVEALVRKHDVEFNVVVTQMNGLDHTSESIHVLHVGKMRMKLRKGKSTIAKEYYSTSMQLCGVRGGGNAAAQALFWQAKKGVSFVLAFESARERNAAIMLARRFAFDCNIMLAGPDDRAPLGS >Potri.001G402100.1.v4.1 pep chromosome:Pop_tri_v4:1:42796243:42797316:1 gene:Potri.001G402100.v4.1 transcript:Potri.001G402100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402100.v4.1 MAALKCAYVGLLVLLCSSFSFAHRFFLDNDDQMHEGERHGSTDDPGTGTGIGKDFGFGSGAGSSGVGVGFGSGSSGHGNGLGSGSGGYWLGYGVGYGSGRNGYEDPGTGGSGWGIGVGSDGSVSGTGGVGFIPGLPGFGLGGSIRWPGFDFGGNIGGGIGTGQGSGGSSGGIGQGGSSGGTIAGIGMPPPHFIPGYGQIGNGCTCSPECYNQVSTMKGGSASKTNDEHNSGAQNEVSRDEAHESIDVAMEPWSPHETHESNVATTEPYSP >Potri.014G025100.1.v4.1 pep chromosome:Pop_tri_v4:14:1526317:1528809:1 gene:Potri.014G025100.v4.1 transcript:Potri.014G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025100.v4.1 MTPLCKAIDTTTASLLKPSIILHKTPLNFCHSLNYTPNLSNNNLTLTSKPPQNHLRPITATANASHPAATSEIDMVKNRQGIYTSKQNKVVVLWDLDNKPPRGPPYPAAMALKTVAQRFGEVIDMCAYANRHAFIHLPHWVLEERRERKHLDILERKEIVSPSQPYVCGVCGRKCKTNLDLKKHFKQLHERERQKKVNRMRSLKGKKRQRYKERFVSGNHKYNEEARRLLTPKIGYGLAAELKRAGVYVKTVEDKPQAADWALKRQIEHSMSRGVDWLVLVSDDSDFSEILRKAREANLGTVVVGDRDRALGRHADLWVPWIGVENGELTEKDLVPKGRWRSEDLENDEGLFSVTDFDEDGDYGGNDLEGFVDGLVMVRSGFNGTRISAFSEGEEEDEQDYLLYDSEYEEIELEDGGFW >Potri.005G259300.1.v4.1 pep chromosome:Pop_tri_v4:5:24908377:24909930:1 gene:Potri.005G259300.v4.1 transcript:Potri.005G259300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259300.v4.1 MRMMIDLGNQRGMVPIMDTPTSVDCGREVRFRRSFRSLVECMVPACCGFQASADSLASDTDERYTSSSPSITTTTVTGTFFGYRKGRVSFCLQDDTRSSPLLLLEFAVPTAYLAKEMQHGLLRIALECCHPRQNDSQKERCSLLEVPVWSMYCNGRKVGFAIRRKMSVSDVAVLKLMQSVSVGAGVLPLAAGEAGDLLMYLRASFDRVVGSADSESFHMINPVGSSGQELSIFLLRS >Potri.006G051800.2.v4.1 pep chromosome:Pop_tri_v4:6:3592546:3595686:-1 gene:Potri.006G051800.v4.1 transcript:Potri.006G051800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051800.v4.1 MGDLETEKPLKKIAEAFKELEATIKSQTQEVEVAPFSHACSLVSPLFGCLGIAFKFAEMDYVAKVHDLAEASKSIGTLQSVLDKDVERNSVRKGGSHSRNLLRVKRGLDMVRVLFEQIMVTEGNSLKGPASKAYAQVFAPHHGWAIRKAVAAGMYALPTKAQLLKKLNEDESSAIIQMQSYVAASAPVIMYVDKLFLSRELGIDW >Potri.001G271500.1.v4.1 pep chromosome:Pop_tri_v4:1:28625014:28627240:-1 gene:Potri.001G271500.v4.1 transcript:Potri.001G271500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271500.v4.1 MAPRKTPQVTRNPDLVRGIGKYSRSQMYHKRGLWAIKAKNGGVFPKHAPKAKAPTSAEKPPKFYPADDVKKPLPNKRKPKPTKLRDSITPGTVLIILAGRFKGKRVVFLKQLTSGLLLVTGPFKINGVPLRRVNQSYLIATSTKVDISGVNVEKFDDKYFAKKAEKKKKKGEDNFFEGEKEGENALPQEKKDDQKLVDAQVIKSIESVPELKAYLGARFSLKDGMKPHELVF >Potri.007G007900.1.v4.1 pep chromosome:Pop_tri_v4:7:592855:594643:-1 gene:Potri.007G007900.v4.1 transcript:Potri.007G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007900.v4.1 MGRAPCCDKANVKKGPWSPEEDAILKAYIEQHGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICSLYISIGSRWSIIAAQLPGRTDNDIKNYWNTRLKKKLLGKQRKEQAARRASLKQEIMTKREINESFMVPGAIPHQQSPYWPEVPALVMNQNQDSHLMDQESIRNLLIKLGGRFSDNNQESALFTTINNYPLDGSSRQDQVPYTNSIDVLSSSAPMRSMDSTCCSQFPNSNYNGPNMCQAGLENLLVELGGLVCSNPQRVEGLDSFYGMDMAASGSTGASSAESNSWGHISSLGYPQLVSDYETCLQSMPQDSSFEDSSFFGPH >Potri.008G077400.3.v4.1 pep chromosome:Pop_tri_v4:8:4786787:4790666:-1 gene:Potri.008G077400.v4.1 transcript:Potri.008G077400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077400.v4.1 MLSRTVVSVSAVSSTSSTSLSRFLCKVNQTIPKFLGQKKLSHNFTNCTTWNKNLNLNRKCSTRMDNFTTKASAAAQPLTNADELIGSVETFIFDCDGVIWKGDKLIDGVPQTLDMLRSRGKRLVFVTNNSTKSRKQYGKKFESLGLDVSEEEIFASSFAAAAYLKSIDFPKDKKVYVVGEDGILKELELAGFQYLGGPEDGGKKIELKPGFLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAFVGSTQREPLVVGKPSTFMMDYLANKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPSNSIKPDFYTNKISDFLSLKASAV >Potri.001G067400.2.v4.1 pep chromosome:Pop_tri_v4:1:5055990:5059113:-1 gene:Potri.001G067400.v4.1 transcript:Potri.001G067400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067400.v4.1 MQNEEQLTCKIEDLANCCGGVDDDIMQKQSSIPLLGDKEPLSTLAAEYQSASPILLEKIKVLGDKYVAIRRTRGDGNCFFRSFMFSYLEHILETQDRAEVDRIKLNVEECRKTLQSLGYVDFTFEDFFALFLEQLDDVLQGNENSISHEELLNRSRDQSVSDYVVMFFRFVTTGEIRKRSQFFEPFIFGLTNTTVEQFCKSSVEPMGEESDHMHITALSDALGVPIRVVYLDRSSCDAGVVNVNHHDFVPAPGNLPSATSASSESINPFITLLYRPGHYDILYPK >Potri.004G180766.1.v4.1 pep chromosome:Pop_tri_v4:4:19522735:19523671:-1 gene:Potri.004G180766.v4.1 transcript:Potri.004G180766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180766.v4.1 MNLALTHSLAPPSFHFTKYSISLPFPLFSNISCTSAYSNISSSKILIILSNPNLLSNCIGFPFGVTLKISLAKSKGVNPLSSFTSGSAPTSTNNLTTPGLTYPAAI >Potri.001G094750.1.v4.1 pep chromosome:Pop_tri_v4:1:7504210:7505520:-1 gene:Potri.001G094750.v4.1 transcript:Potri.001G094750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094750.v4.1 MEEALDLLNCVAQSPYDQDKCVGLLQVLRQCVLCKVKKFSLTDQEQREANSVSKKA >Potri.003G071800.2.v4.1 pep chromosome:Pop_tri_v4:3:9937916:9940833:-1 gene:Potri.003G071800.v4.1 transcript:Potri.003G071800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071800.v4.1 MLSLLCLLSLLSLSTSSSTNEQPRTFIVQVQHDTKPSIFPTHQHWYISSLSSISPGTTPRLLHTYDTVFHGFSAKLSLTEALKLQTLPHIVAVIPERVRHLHTTRSPQFLGLRTSDSAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRDLGPVPSKWKGVCVSGKDFASSSCNRKLIGARFFCNGYEATNGKMNETTEYRSPRDSDGHGTHTASIAAGRYVFPASTFGYARGVAAGMAPKARLAAYKVCWNAGCYDSDILAAFDAAVSDGVDVISLSVGGVVVPYYLDAIAIGSFGAVDCGVFVSASAGNGGPGGLTVTNVAPWVTTVGAGTIDRDFPADVKLGNGKVISGVSLYGGPGLASGKMYPVVYAGSGDGGDGYSGSLCVEGSLDPKFVEGKIVLCDRGINSRAAKGEVVKMAGGVGMILANGVFDGEGLVADCHVLPATAVGASGGDEIRKYLSAAAKSKSSPPTATIVFKGTRVNVRPAPVVSSFSARGPNPESPEILKPDVIAPGLNILAAWPDKIGPSGIPSDKRKIEFNILSGTSMACPHVSGLAALLKAAHPEWSPAAIRSALMTTAYTVDNRGGTMLDESTGNVSTVLDFGAGHVHPQKAMDPGLIYDITSFDYIDFLCNSNYTLNNIQVVTRRNADCSGAKRAGHAGNLNYPSLSVVFQQYGKHQMSTHFIRTVTNVGDAKSVYKVTIRPPGETVVTVQPEKLVFRRVGQKLNFLVRVQTTAVKLAPGASSMRSGSIIWSDGKHTVTSPIVVTMQQPL >Potri.013G066620.1.v4.1 pep chromosome:Pop_tri_v4:13:4997449:4997799:1 gene:Potri.013G066620.v4.1 transcript:Potri.013G066620.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066620.v4.1 MLMVDFPIRCNRSRAYGRDSCCCVIAAPEKHPPRSNISPQGPIFCHSCGPFSIMMEMGESCFELNLYYSAIHFRNITKPDTEQGIHHRLPAAKVLCLTALKRRPRPPSLARFGYAL >Potri.007G003600.1.v4.1 pep chromosome:Pop_tri_v4:7:248376:249434:1 gene:Potri.007G003600.v4.1 transcript:Potri.007G003600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003600.v4.1 MLIYAPSPVVFNTTSTSSYILAGAVKSSSNINKSTGYITRGSSRFSMTITSLFAAGAVLASAAAGASIEAPQQQQQQQAETETLSNIPQTLSGGECASVSPKDCKKARIQRPKSRKAESCTIKCVTTCIRGGEGSPGEGPLNVRRPIVVFKQGFRSRNYCLVECSDICNLIGDADDGP >Potri.015G041900.1.v4.1 pep chromosome:Pop_tri_v4:15:3900061:3901938:1 gene:Potri.015G041900.v4.1 transcript:Potri.015G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041900.v4.1 MASTASPMASQLRSSFASSSTKRLAVPKHINIGATFRISPAKRSFIIKAVQIPREMEAIEEYPMNGGHGIYSYAKNSYRQGQAINAVKELIKEEITEKLDINKFPSSDTFRIVDMGCSAGPNTFFAVQNVLEAVEKKYQREGLDHCRLPEFQVFYNDHSSNDFNTLFTSLPPNKNYYVAGVPGSFHARLFPEASLHIVISSYAIQWISHIPKELVDRSSPAWNKGRTYYAHAGAETIKAYADQFAKDMDNFFHFRAQEVVPGGMVLLTIPGGRLDYQILSNTLYDLLESTIVDLAKKGIISEEKLDSFNVPQYFPSPQEMEAAVKRNGCFSIERIECLHDEKKQANPKEARVFSSHMRAGLEFLLSEHFGHEIMDELFDLFTKKIEECEVFDMGVAFKLLVVLKRK >Potri.014G057950.2.v4.1 pep chromosome:Pop_tri_v4:14:3693340:3696856:1 gene:Potri.014G057950.v4.1 transcript:Potri.014G057950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057950.v4.1 MGTPSEMKPAYIATLEEDWKSLAEFYEKHKDRLLTPMPVSKDTAFHMAVYSEDEKLLKCLLDYAQDVPTSQDHKHPISITNVYGHTPLHLAASRGNSEAVKLLVEESKKILVGESENEKKDIMLMKNKFGETPLFRAAAFGQTKIVKYLTHQPAQIVNDELLLVHRQRNDGQSILHVAVLGENFETAVLLLELDKSLSKLTDSGGKTCLGLLAEIPSAFKSGHSMSIFSRYLYMCMFYTKCLLVFFFFRKT >Potri.014G057950.1.v4.1 pep chromosome:Pop_tri_v4:14:3693011:3696894:1 gene:Potri.014G057950.v4.1 transcript:Potri.014G057950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057950.v4.1 MGTPSEMKPAYIATLEEDWKSLAEFYEKHKDRLLTPMPVSKDTAFHMAVYSEDEKLLKCLLDYAQDVPTSQDHKHPISITNVYGHTPLHLAASRGNSEAVKLLVEESKKILVGESENEKKDIMLMKNKFGETPLFRAAAFGQTKIVKYLTHQPAQIVNDELLLVHRQRNDGQSILHVAVLGENFETAVLLLELDKSLSKLTDSGGKTCLGLLAEIPSAFKSGHSMSIFSRYLYMCLPVQDECDGAINEETRRVREDPESGQRRNMNSRFSNHVHGVLEWINGSVCRFSFKGWPMVERIWENKRKHKFALRLAKMLIKSDVSWDQVIAVQGLYGASTGSPVSGPSHPLLTATKTGILEVVYEMLTEHPPSVDLLDKEGKNILHVAIMYRRKDIFNLIQSNRIISNRMSYGIGKDGYTLLHQVANNKYYSVGSKHGPALQLHEELKWFTRVEKVIPSYYAKLRDSKRKMTAEELFNYMHKKQLLAAQQWVKETAQSCSAVAVLVATIVFAAAYTVPGGSNDKGIPIFLHKNFFLFFTIMDVIALASSLTSVVMFLSILTSPFDYEDFRNSIPRKLTLGFTLLFFSVMATMLAFAATILLIVQSEKQLTASLISMAAFFPVSVFALMQFRLFAAFMRSTKGIRKAMSRSLPWFGAPSLFRKRKQRRHLFQKK >Potri.015G128100.1.v4.1 pep chromosome:Pop_tri_v4:15:13938107:13939078:-1 gene:Potri.015G128100.v4.1 transcript:Potri.015G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128100.v4.1 MAALSSNSLAEFLLLLIAISSYLNSSSAARVTTKSSTEFIRTSCSTTTYPRLCYTSLSIHSSTIQTSPKLLANAALNVTLSSAKSTSTMISTLSQTHRMKPREVSAMKDCVEELGDAVNELGKSMDEMSHARQSNFQSMIDDVQTWVSAALTDESTCSDGFAGNAMNGNLKTAVRGRIVNIAQLTSNALALINNYASDPEMLG >Potri.001G252000.1.v4.1 pep chromosome:Pop_tri_v4:1:26822604:26825762:1 gene:Potri.001G252000.v4.1 transcript:Potri.001G252000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252000.v4.1 MAAPLSRNKAPARLSSSPLLWIIALASLTVFFLYKVDNLALQTKTVAGHNLPPTPWHLFPPKNFDDQSRHARAYQILHCSYLTCPYSNTTVSKGHGFNSPSSSPKCPRFFMFIHHDLEPWAQSRITVDHIMGAKNYASFRVVIYKGRLYLDPYYACVQSRMMFTIWGFLQLLKRYPGMVPDVDIMFDCMDKPSINKTEHDSFPLPLFRYCTTKDHFDIPFPDWSFWGWPEVNIRPWDEEFRDIKRGAQARSWPKKWPRAYWKGNPDVGSPTRTSLLECNHTKKWGAQIMRQDWEEEAKGGYVSSKLSHQCDYRYKIYAEGFAWSVSLKYIISCGSLALIISPQYEDFFSRGLIPEKNYWPVSSDGLCQSIKFAVDWGNTNPTEAQKIGKAGQDLMESLSMDRVYDYMFHLISEYSKLQDFKPVPPSSALEVCVDSLTCFADEKQKRFFERATAFPSPSPPCTLQPANSDFIKSWMQQKQRTITNVREMELKA >Potri.011G094000.2.v4.1 pep chromosome:Pop_tri_v4:11:12140970:12143132:1 gene:Potri.011G094000.v4.1 transcript:Potri.011G094000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094000.v4.1 MEDLESFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTISTSDEWWKQKIQEIRGAKKFRHIGIEPSLKNKFDRMYSNIVATRAFAWAPSSGVSAGSDVDPGTSNIACDGLEEGSDDSEEDVIPDFQTNMARMVGGINMSSSSNTKSGCKRKERDHYDVRGRKKKTSGIGVQLLSRCN >Potri.010G123400.1.v4.1 pep chromosome:Pop_tri_v4:10:14114755:14116955:1 gene:Potri.010G123400.v4.1 transcript:Potri.010G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123400.v4.1 MAEHVTENGGVPLERKVMVAVDDGEYSHYALMWVLDNLEESITKSPLVIFTAQPPPSNNHSFTAAALSSARMYCSVSANPEYTYTIQDQNKKIAFALLEKAKEICAGRGVDAETLTEVGDPQTAICDAVQRLNISLLVLGERGIGKIKRAIQGSVSSYCLHNAKCPVLVVKKP >Potri.005G046500.1.v4.1 pep chromosome:Pop_tri_v4:5:2936103:2945795:1 gene:Potri.005G046500.v4.1 transcript:Potri.005G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046500.v4.1 MHRVGSAGNTTNSVRPRKEKRLTYVLSDADDTKHCAGINCLKVLKSTVSNGCDYLFTGSRDGTLKRWAISEDSATCSATFESHVDWVNDAVLAGDSTLVSCSSDTALKTWNCLSDGTCTKTLRQHSDYVTCLAAAEKNSNIVASGGLGGEVFIWDVEAALTPVSKSGDAMEDDYLNGVNGSTNSLPMTSLRTISSSNSISVHTTQGYVPIGAKGHKESVYALAMNDSGTRLVSGGIEKVVRVWDPRTGSKAMKLRGHTDNIRALLLDSTGRYCLSGSSDSMIRLWDLGMQRCVHSYAVHTDSVWALASTPTFSHVYSGGRDLSLYLTDLATRESLLLCKKEHPILQLALHDDSIWVATTDSSVHRWPAEAHNPQKVFERGGSFLAGNLSFSRARVSLEGSIPVPVYKEPTLTIPGTSAIVQHEILNNRRHVLTKDTAGSVKLWEITRGIVIEDYGKVSFEERKEQLFEMVSIPAWFTVDTRLGSLSVHLDTPQCFSAEMYSADLNIVGKPEDDKVNLARETLKGLLAHWLAKRRQRLGSQASANGDVLSGKDIAPRSLAHSRVDVDGGAENDSMVYPPFEFSTVSPPSVVTEGSQGGPWRKKITDLDGSEDEKDFPWWCLDCVLNNRLPPRENTKCSFYLHPCEGSAFQILTQGKLSAPRILRIHKVVNYVVEKMVLDKPLDNVNTDGTFAPGLGGHLQHSVVGDGSFRPGVKPWQKLKPSIEILCNNQVLSPDMSLATVRAYIWKKPEDLILNYRLAQGR >Potri.010G143166.1.v4.1 pep chromosome:Pop_tri_v4:10:15600418:15602225:1 gene:Potri.010G143166.v4.1 transcript:Potri.010G143166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143166.v4.1 MTGAQVGICYGMMGNDLPPATEVIALYKQHNIKRMRLYDPNQAALNALRDSGIEVMLGVPNSDLQRLSNPSDANSWVKNNVLNFWPSVRFRYIAVGNEISPVNGGTSWMAPFVLPALVNVFNAVRAAGLQDQIKVSIAVDMTLIGTSYPPSAGAFRGDVISYLAPIVGHLSYAKTPLFANIYTYFSYSDNPRDISLPYALFTSPSVLVWDSGRGYQNLFDAMLDSLYSALERLGGGNTLDVVVSESGWPSAGGFGTTSDNAGTYLSNLIRHVEGGTPKRPGRAIETYIFAMFDENQKQPELEKHFGAFSPNKQPKYNLNFGKKL >Potri.013G051200.2.v4.1 pep chromosome:Pop_tri_v4:13:3632782:3652731:1 gene:Potri.013G051200.v4.1 transcript:Potri.013G051200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051200.v4.1 MAVPVEEAIAALSTFSLEDEQAEVQGAGLLVSSERGATNSPIEYTDVSAYRLSLSEDTKALNQLNGLIQEGKEMASVLYTYRSCVKALPQLPESMKQSQADLYLETYQVLDLEMSRLREIQQWQASASSKLAADMQRFSRPERRINGPTITHLWTMLKLLDVLVQLDHLKNAKASIPNDFSWYKRTFTQVSVQWQDIDSIREELDDLQIFLSTRWAILLNLHVEMFRVNTVEDILQVLIVFAIESLELDFALLFPERHILLRVLPVLVVLATSSEKDSESLYKRVKINRLINIFKNDPIIPAFPDLHLSPAAILKELSIYFQRFAAQTRLLTLPAPHELPPREAQDYQRHYLIVNHIGTIRAEHDDFTIRFASSLNQLLLLKSIDGADVDWCKEVKGNMYDMVVEGFQLLSRWTARIWEQCAWKFSRPCKDAIPSESNGTSESFFDYEKVVRYNYSAEERKALVELVSYIKSVGSLMHRCDTLVADALWETIHAEVQDFVQNTLATMLKTTFRKKKDLSRIVSDMRTLSADWMANTNKPESYLQSHGGDESKGNFFYPRPVAPTATQVHCLQFLIYEVVSGGNLRKPGGLFGNSGSEIPVNDLKQLETFFYKLGFFLHILDFSATVATLTDLGFLWFREFYLESSRVIQFPIECSLPWMLVDHVLESQNAGLLESVLMPFDIYNDSAQQALAALRQRFLYDEIEAEVDHCFDLFVSKLCEIIFTYYKSWAASELLDPSFLFASDNREKYSVQPMRFTALFKMTRVKLLGRTVDLRRLVSERMNKVFRDNLEFLFDRFESQDLCAVVELEKLVEILKHAHGLLSKDLSIDSFSLMLNEMQENLSLVSFSSRLATQIWSEMQNDFLPNFILCNTTQRFVRSSRVPLVPMQKPSVPCAKPNFYCGTQELNSAHQSFARLHSGFFGIPHMFSTVRLLGSRSLPWLIRALLDHISNKVSTLEPMITGLQEALPKSIGLLPFDGGVTGCMRVVKENLNWGTKSELKAEVLRGIKEIGSVLYWMGLLDVVLREVDTMHFMQTAPWLGLFPDADGQILLSQDGGDSPVVNLFKSATAAVMSNPGCPNPTSFYTMSKQAEAADLLYKANMNTGSVLEYALAFTSAALDKYCCKWSAAPKTGFIDITTSKDFYRIYSGLQIGHLEDSVQVSSNFEVLGDSVAWGGCTIIYLLGQQMHFELFDFSYQVLNVAEVEAGLLTQAHKNPHVAQGWETLLEAMKKARRLNNHVFSMLKARCPLEDKIACAIKQSGAPLHRIKFENTVSAFETLPQKGA >Potri.006G004100.1.v4.1 pep chromosome:Pop_tri_v4:6:320340:322947:-1 gene:Potri.006G004100.v4.1 transcript:Potri.006G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004100.v4.1 MVTVWGGTDQQKAVGSPRGEVGEIDTKKPFQSVKAAVSLFGEVAIKGKPAARRSRLSSENVLDKETQIVLAQRDVDKFKREGENAETTQARADSELEKAKRTLNDLTTKLKAVDETKKLAIETVEAVKEKAKKLEAAKSQQLMENAARELELDEARQQYEMTACELDAAKEQINQIRQDFDAALEAKSSSFKQAAEAQRSAKTNKERAGDLSQEIGAMQESAQHLKIASAQIQEQQAKVVAEKDARIQVCKTAMEEAEKNLEILKKEYDPEITKNLQAKLAETSAEIELLQDEMKKGHALEMERMRALTIEFNEATKALQEIAIEESSLRNMVTSLRMESENVKMEKTELLVKEIEKEYAAIEKETENARREAEEMKNNAEEVKEEAKNARLLAQDAEGKLELALKEVEEAKAAEKKAREEMKTLSERKSIEDQDADNKIKISLGEFESLKKKVEKSGNIADTTVTDVMAQVEAMNGSNNEVEKKLEENLKAIEEIKEATSMALRSAEMSEAAQKTLKAQLQRWREEEQTVLVVA >Potri.014G078100.1.v4.1 pep chromosome:Pop_tri_v4:14:5054720:5059991:1 gene:Potri.014G078100.v4.1 transcript:Potri.014G078100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078100.v4.1 MSTADIPEGTVRNILEQDTLKWVFVGGKGGVGKTTCSSILSILLAQVRSSVLIISTDPAHNLSDVFQQRFTKTPTLVNGFSNLYAMEVDPNVENDDIGGNEGMDSLFSELSNAIPGIDEAMSFAEMLKLVQTMGYSCIVFDTAPTGHTLRLLQLPSTLEKGLQKVMSLKSKFGGLISQMTRLFGLDDEFGEDAILGRLESMKDVIEKVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELAKVEIDTHNIIINQVLYDEEDVESKLLKARMRMQKKYLDQFYMLYDDFNITKLPLLPQEVTGVESLKAFSRNFISPYQPSTSRGTVEDVERRISTLKLQLEDTEAELDRHRKGKQKV >Potri.006G220800.2.v4.1 pep chromosome:Pop_tri_v4:6:22601515:22605485:1 gene:Potri.006G220800.v4.1 transcript:Potri.006G220800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220800.v4.1 MATLNTTSSSFHSSALPYHFSLSSSKPNIRSGGRGVIRCCALMSSSTTSKWQGQSRRSLLSNSLLLSHFLFVPNDAIAGSFFDNYVKRKKLDPLEAYVPAVILTELQIKDLEKILDVDQPQFGNYRSLLRSGPAASLRVNIRAVAQYASDAGNGNAAFNNVDQCLSALEELDSLLLRASRNEPDASVKSMKAKVGIALAAMESLLQTVPSDVLDKGKAVADAYRTPDEDSEAEILDPELKQLESIL >Potri.004G031500.1.v4.1 pep chromosome:Pop_tri_v4:4:2428369:2430291:-1 gene:Potri.004G031500.v4.1 transcript:Potri.004G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031500.v4.1 MAQAMASMAGLRGTSQAVLEGSLQLSGSNRLLNVPSNTTRVAVARPGLAVRAQQQVSGELETSRRAMLGLVAAGLASGSFVQVVLADALPIKLGPPPPPSGGLPGTLNSDEARDFDLPLKQRFFLQPLPPAEAAVRAKESAKDILGVKSLIDQKAWPYVQNDLRLKAGYLRFDLNTVISAKSKDEKKSLKELTGKLFDTISNLDHAAKIKSTPEAEKYYAQTVSSLNDVLAKLG >Potri.004G140100.1.v4.1 pep chromosome:Pop_tri_v4:4:16245406:16248453:-1 gene:Potri.004G140100.v4.1 transcript:Potri.004G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140100.v4.1 MPRSLEPLDLTVQIPYHFRCPISLELMCDPVTVNTGQTYDRSSIESWVATGNTTCPVTRALLTDFTLIPNHTLRRLIQDWCVANRAFGVERIPTPKQPAEPSLVRSLLSQAASESNPTHSRLSALRRLRGLARDSDKNRSLISSHNVREILVNLVFSSSQSLELSHESLAILVMFPLTEFDCVAIASDPERISCLANLLFHSSIEVRVNSAALIENVIAGTRSSDLRLQISNMEIIFEGVIDILKNPLSSPRALKIGIKGLFALCLVKQTRHKAVSAGAAETLIDRLADLDKCDAERALATIELLCRIQVGCAAFVAHALTVPLLVKTILKISDRATEYAAGALLALCSASEQSQKEAVCAGILTQLLLLVQSDCTDRAKRKAQMLLKLLRDSWPEDSAGNSDDFVCSEVVPF >Potri.008G152600.1.v4.1 pep chromosome:Pop_tri_v4:8:10479630:10489531:1 gene:Potri.008G152600.v4.1 transcript:Potri.008G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152600.v4.1 MEEGRRSGDPSGYIVKNRSSSGCLIVRKKGNDGVGGAGSSGSHKVFESKKEKKRLRVEYSDSGSSDELLMPPRRRVGPETSRACNGLSGYEESYTGRKRSRGEDIRRNEVGLIGRNGGDLSERKRNKLDMFGFDEYDGNGLEMSRRQQFDDGGIEGRGYFRPMMPGRSGIAREYESGSSRHAVIDRRKSSYFERSSGPSQGGDMVGARSSLSFLRDSYDSDEPIRVQGKNGVLKVMVNKKKKVGGYLNSYDLLEAEGNRKVLRAEESVKKNVLIRPPVYSDPKSLDKAGSVSRTEKNAMNMKKSVSTKNGKVSDRNSEDSETLLKLGPKKVEAHNPMKTPLATKKSKDLDMDSDDSDASLKPGPKHEGKKAGDKQGTSSEGEKTPYNQHPETRIKEGKVKRGSGTEKQKLRERIREMLLNSGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLDEVEARSRGDGSPFTPLSNDVLSQLTRKTKKKIEKELKRKKRDVSDSEDARETTVRKSSTRYDEESLDSGSREEKLSSFVKQVGKSLKSRTSGNGSVSINSISESSTHHLHDGTEKPSSGSNSLLGSKSRKLGRCMLLVRNSNEGTFLDGDGFVPYSGKRTLLSWLIDCGTVQLSEKVCYMNRRRTKVMLEGWLTRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLDCQIEAWNRQESVKRLGFQAVDIDGNDPNDDTCGLCGDGGDLMCCDGCPSTFHQSCLDIKMLPPGDWHCPNCTCKFCGIASDKNAQGDDTTVSKLLTCSLCVKKYHSSCTQEFDALSIDTNSPVARFCEKKCRELFEQLQKYLGVKHELDAGFSWSLIHRVDADSDASLQGLPQRVECNSKLAVSLSVMDECFLPVVDRRSGINLIQNVLYNCGSNFNRLNFGGFYAVILERGDEIISTASIRFHGTRLAEMPFIGTRHMYRRQGMCRRLFYAIESTLCSLKVEKLIIPAISELMHTWTEVFGFTTLDELLKQELKSMNMLVFPGIDMFQKELRQENIDGKRSTSIGFGANQMEFEEDSECIKTVVNKSDADSPAGHDAHDCDNSGLECSIGKNEVASASSDSQCADVSSNNVSTMNSSLDVSHGLQSAVPLKEETIQTNSKSEDKLVQSAVDKKSECVSYTTHDVREMVKSKSDSPVEDTSLTGFKGDVAAMDTDTQLPGASLGDASGMSGSLDASCELKDAAPFDGTSSADSESEGKILGSASITILNVSGDSQEENKSEMASPAKDSDQSCKVDGINLFHSLNLNESVSGELKVSKSVEESGCADSQSEETFVEITLKMETMPLSDSSVVNNNVACNDGDLSDIPTGSVDMVSLEAVPSIEKTTAENFVEKVNEISGVSVSGFSGAEENNMQIESNPNSEIGHERGIKLDVVPEAVSDAMYCGGAASQVPEESSVIDASEGKIESGSI >Potri.008G152600.2.v4.1 pep chromosome:Pop_tri_v4:8:10479562:10489515:1 gene:Potri.008G152600.v4.1 transcript:Potri.008G152600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152600.v4.1 MEEGRRSGDPSGYIVKNRSSSGCLIVRKKGNDGVGGAGSSGSHKVFESKKEKKRLRVEYSDSGSSDELLMPPRRRVGPETSRACNGLSGYEESYTGRKRSRGEDIRRNEVGLIGRNGGDLSERKRNKLDMFGFDEYDGNGLEMSRRQQFDDGGIEGRGYFRPMMPGRSGIAREYESGSSRHAVIDRRKSSYFERSSGPSQGGDMVGARSSLSFLRDSYDSDEPIRVQGKNGVLKVMVNKKKKVGGYLNSYDLLEAEGNRKVLRAEESVKKNVLIRPPVYSDPKSLDKAGSVSRTEKNAMNMKKSVSTKNGKVSDRNSEDSETLLKLGPKKVEAHNPMKTPLATKKSKDLDMDSDDSDASLKPGPKHEGKKAGDKQGTSSEGEKTPYNQHPETRIKEGKVKRGSGTEKQKLRERIREMLLNSGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLDEVEARSRGDGSPFTPLSNDVLSQLTRKTKKKIEKELKRKKRDVSDSEDARETTVRKSSTRYDEESLDSGSREEKLSSFVKQVGKSLKSRTSGNGSVSINSISESSTHHLHDGTEKPSSGSNSLLGSKSRKLGRCMLLVRNSNEGTFLDGDGFVPYSGKRTLLSWLIDCGTVQLSEKVCYMNRRRTKVMLEGWLTRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLDCQIEAWNRQESVKRLGFQAVDIDGNDPNDDTCGLCGDGGDLMCCDGCPSTFHQSCLDIKMLPPGDWHCPNCTCKFCGIASDKNAQGDDTTVSKLLTCSLCVKKYHSSCTQEFDALSIDTNSPVARFCEKKCRELFEQLQKYLGVKHELDAGFSWSLIHRVDADSDASLQGLPQRVECNSKLAVSLSVMDECFLPVVDRRSGINLIQNVLYNCGSNFNRLNFGGFYAVILERGDEIISTASIRFHGTRLAEMPFIGTRHMYRRQGMCRRLFYAIESTLCSLKVEKLIIPAISELMHTWTEVFGFTTLDELLKQELKSMNMLVFPGIDMFQKELRQENIDGKRSTSIGANQMEFEEDSECIKTVVNKSDADSPAGHDAHDCDNSGLECSIGKNEVASASSDSQCADVSSNNVSTMNSSLDVSHGLQSAVPLKEETIQTNSKSEDKLVQSAVDKKSECVSYTTHDVREMVKSKSDSPVEDTSLTGFKGDVAAMDTDTQLPGASLGDASGMSGSLDASCELKDAAPFDGTSSADSESEGKILGSASITILNVSGDSQEENKSEMASPAKDSDQSCKVDGINLFHSLNLNESVSGELKVSKSVEESGCADSQSEETFVEITLKMETMPLSDSSVVNNNVACNDGDLSDIPTGSVDMVSLEAVPSIEKTTAENFVEKVNEISGVSVSGFSGAEENNMQIESNPNSEIGHERGIKLDVVPEAVSDAMYCGGAASQVPEESSVIDASEGKIESGSI >Potri.008G152600.3.v4.1 pep chromosome:Pop_tri_v4:8:10480947:10489467:1 gene:Potri.008G152600.v4.1 transcript:Potri.008G152600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152600.v4.1 MKTPLATKKSKDLDMDSDDSDASLKPGPKHEGKKAGDKQGTSSEGEKTPYNQHPETRIKEGKVKRGSGTEKQKLRERIREMLLNSGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALLKQLDEVEARSRGDGSPFTPLSNDVLSQLTRKTKKKIEKELKRKKRDVSDSEDARETTVRKSSTRYDEESLDSGSREEKLSSFVKQVGKSLKSRTSGNGSVSINSISESSTHHLHDGTEKPSSGSNSLLGSKSRKLGRCMLLVRNSNEGTFLDGDGFVPYSGKRTLLSWLIDCGTVQLSEKVCYMNRRRTKVMLEGWLTRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLDSGVSLLDCQIEAWNRQESVKRLGFQAVDIDGNDPNDDTCGLCGDGGDLMCCDGCPSTFHQSCLDIKMLPPGDWHCPNCTCKFCGIASDKNAQGDDTTVSKLLTCSLCVKKYHSSCTQEFDALSIDTNSPVARFCEKKCRELFEQLQKYLGVKHELDAGFSWSLIHRVDADSDASLQGLPQRVECNSKLAVSLSVMDECFLPVVDRRSGINLIQNVLYNCGSNFNRLNFGGFYAVILERGDEIISTASIRFHGTRLAEMPFIGTRHMYRRQGMCRRLFYAIESTLCSLKVEKLIIPAISELMHTWTEVFGFTTLDELLKQELKSMNMLVFPGIDMFQKELRQENIDGKRSTSIGFGQMLCSIFSF >Potri.014G036900.1.v4.1 pep chromosome:Pop_tri_v4:14:2331349:2334161:1 gene:Potri.014G036900.v4.1 transcript:Potri.014G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036900.v4.1 MGEETCEDCRGWEEELYWTHFQCTHFFQILSAGFDHQLPIPEKFSNHLKNKLLENVTLKGPSGSTWQVELTTDDNTMFFKHGWEDFVKDHFLEEKDLLIFKYNGESYFDVLIFDGQSFCEKAASYFVRKCGHREGDSFVQTKRKAVEDSVEVTNACPHNGLGGTPEKSADGYIYKTPVRNSVVSKAINKKTRREVKFSKPIQTRKRVRYEGPSSTAEEIETKPDVQHIPIGTTYVSSRRMVTEQDKLNALRLAQTAQTNEGFVVVMKPTHVYRKFYMVIPSAWSTRHFRTLEKKVVILRVKENTWNTNFLYYKSKNSGGLSSGWKSFALDNNLQEFDVCLFEPSGTMNNSFVLDVNIFRVL >Potri.009G062300.1.v4.1 pep chromosome:Pop_tri_v4:9:6411295:6413704:1 gene:Potri.009G062300.v4.1 transcript:Potri.009G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062300.v4.1 MADPCFNLITRSFNFMPLNPHYSTAFTSYPNNHLFNTKSSIPFAPPQKEPPPLVEYLGPVRQQEDHDLESSSSSMEENKKMKKDDENLFFSTKDGNDKPVSVVLHIGLPNPSSDLQTVLRVSPSANGPDKEEISAVSGYPLEKLNKDQYWIPTPSQILIGPSQFSCPLCFKTFNRYNNLQMHMWGHGSQYRKGPDSLRGTQPTGMLRLRCYCYAQGCKHNIDHPRARPLKDFRTLQTHYKRKHGIKPFMCRKCGKSFAVKGDWRTHEKNCGKIWYCICGSDFKHKRSLKDHIKAFGHGHAAFGIDCFEEEDELASESEHDCESSM >Potri.011G101451.1.v4.1 pep chromosome:Pop_tri_v4:11:12893236:12896918:-1 gene:Potri.011G101451.v4.1 transcript:Potri.011G101451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101451.v4.1 MANEQKTWFVSKRSSDQATLLANINYACSHVDCQILQKGYPCFSPDSLRSHASIAMNLYYQCKGRNRWNCDFRDSGLIVKTDPSYSNCIYA >Potri.018G039701.1.v4.1 pep chromosome:Pop_tri_v4:18:3165901:3167278:-1 gene:Potri.018G039701.v4.1 transcript:Potri.018G039701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039701.v4.1 MNAHKLILVPPLLTHLFSLYLPPQQAPPPHPPQQHSNQPHQKSPQAESSSSSSSTSTSQSFTQGRFPLSNSPPHQPKRPKPDLNQRSTFLQQLAPLVQSTNLQELLHMAELQLTKGLESEQLSALYFLEHSLVSDAPSHQVCSVPELIRGWWQI >Potri.016G071200.1.v4.1 pep chromosome:Pop_tri_v4:16:5190499:5191320:1 gene:Potri.016G071200.v4.1 transcript:Potri.016G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071200.v4.1 MASESQQHNKGESQPSESTLFPSGRHKRPPPMSYPPTLGYAPPPPHSAYPPPGYYPYARAPPPAYYVNSTVHQQGCQKSSSFLQGILSTIIFLVMFIFTLQLIMLLALRPELPVFHVEDFSVLNFNATMPTFTAIWEAYVSVKNPNTRLKIDFGPIHSHMYYDKDYILASANSPKFSMETKTRNVIHARLSANNTDNSVESRVVDKLAKERSNGAVGFHFRMVFSTCSRSGSWFRSNPRSMEVVCEDIKIAFAGASGDGNIAASADRDCLVLS >Potri.001G056100.2.v4.1 pep chromosome:Pop_tri_v4:1:4223219:4229241:1 gene:Potri.001G056100.v4.1 transcript:Potri.001G056100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056100.v4.1 MDENVVDPSKVAISVGPLRVDASNNASPSRIQSVYRGGYGNMIGRPGTCFPDTNVECLENGSHGIYSDSSSLLFHGHPQMQQRSLGPFMPVLPSISGHGRLYNARELPNSDPRYHQHLVSQNISYVAAQDPFSQTKLPDNIELPGDDNRMGPRPSYLPLPGSLGGGSSFSRHSGGFKFLQQGFEGIESGELWADWSKPSNGKSTLVHFSSQAASPKQIGSVGLSANHCGMVSQRKELFYGLGSHRSPSYKCVPQGLNDRDFGYDVLPSSIFGTNGRNWPTLNEGRQGGRCNDFSCSCTIALDTLSERNRGPRAFKPRSQATENPSVVDNHQKAVADVHSESHNQVDFATDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENHGTCPIFLLFSVNASAQFCGVAEMVGPVDFDKNVDFWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEQGAEMLGIFKNYESHSSILDDFQFYEERQKVMQVRKSRPQASMVSAPVAGISERNPVPFSNDLIKKMSKSFAEAVLLKEDERVHPRSHLLQDIMGAEPEKRS >Potri.001G056100.3.v4.1 pep chromosome:Pop_tri_v4:1:4223219:4229242:1 gene:Potri.001G056100.v4.1 transcript:Potri.001G056100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056100.v4.1 MDENVVDPSKVAISVGPLRVDASNNASPSRIQSVYRGGYGNMIGRPGTCFPDTNVECLENGSHGIYSDSSSLLFHGHPQMQQRSLGPFMPVLPSISGHGRLYNARELPNSDPRYHQHLVSQNISYVAAQDPFSQTKLPDNIELPGDDNRMGPRPSYLPLPGSLGGGSSFSRHSGGFKFLQQGFEGIESGELWADWSKPSNGKSTLVHFSSQAASPKQIGSVGLSANHCGMVSQRKELFYGLGSHRSPSYKCVPQGLNDRDFGYDVLPSSIFGTNGRNWPTLNEGRQGGRCNDFSCSCTIALDTLSERNRGPRAFKPRSQATENPSVVDNHQKAVADVHSESHNQVDFATDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENHGTCPIFLLFSVINLIFSACVL >Potri.001G056100.1.v4.1 pep chromosome:Pop_tri_v4:1:4223186:4229301:1 gene:Potri.001G056100.v4.1 transcript:Potri.001G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056100.v4.1 MDENVVDPSKVAISVGPLRVDASNNASPSRIQSVYRGGYGNMIGRPGTCFPDTNVECLENGSHGIYSDSSSLLFHGHPQMQQRSLGPFMPVLPSISGHGRLYNARELPNSDPRYHQHLVSQNISYVAAQDPFSQTKLPDNIELPGDDNRMGPRPSYLPLPGSLGGGSSFSRHSGGFKFLQQGFEGIESGELWADWSKPSNGKSTLVHFSSQAASPKQIGSVGLSANHCGMVSQRKELFYGLGSHRSPSYKCVPQGLNDRDFGYDVLPSSIFGTNGRNWPTLNEGRQGGRCNDFSCSCTIALDTLSERNRGPRAFKPRSQATENPSVVDNHQKAVADVHSESHNQVDFATDYKDAKFFVIKSYSEDNVHKSIKYGVWASTPNGNKKLDAAYREAKENHGTCPIFLLFSVNASAQFCGVAEMVGPVDFDKNVDFWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVELEQGAEMLGIFKNYESHSSILDDFQFYEERQKVMQVRKSRPQASMVSAPVAGISERNPVPFSNDLIKKMSKSFAEAVLLKEDERVHPRSHLLQDIMGAEPEKRS >Potri.018G111500.2.v4.1 pep chromosome:Pop_tri_v4:18:12901210:12902102:-1 gene:Potri.018G111500.v4.1 transcript:Potri.018G111500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111500.v4.1 MTVVDANKTVYLDGAIVPRARRLVVEQGTDNTNSMNDETSVFSPESGGTLRAFTSLEVLEGRVDKIAETWVSVIRDETALEEEHVLFRHGN >Potri.010G183700.1.v4.1 pep chromosome:Pop_tri_v4:10:18133134:18135261:1 gene:Potri.010G183700.v4.1 transcript:Potri.010G183700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DREB1 MGTLDQYSKATSMPTDPTKKRKRVINKSVAETLKKWKEYNEYLDSQGDGGNKPVRKVPAKGSKKGCMKGKGGPENSVCNYRGVRQRTWGKWVAEIREPNRGPRLWLGTFPTAYEAALAYDEAARAMYGPYARLNVPDVLNSTSSSKDNFSSATPSCYSPAASSADSATTSTHSEVCVYEDPKQNVYSQAEVCGKDVSSQAEVLAHHISSQQHIEDGSQGVENSTLRDEQKSQSENPLWTPSENSLWTNDWHNYSMDEIFSFDELLGGIDAGMMGAEGYFNLGF >Potri.011G087900.2.v4.1 pep chromosome:Pop_tri_v4:11:11219961:11226944:1 gene:Potri.011G087900.v4.1 transcript:Potri.011G087900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087900.v4.1 MVSADMNHQRSAQCVKVQSQCQSQSFPSSPSVKGEMAVCTNELTLSTPLHMVTSGSSVPAEVDSDELNQMGLSSSGLRASQSGSAPTVSSDDGYKWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFECSHDGQITEIIYKGTHDHPKPQPSRRYASGSGLFMLEERFDKFSSLPSQDDKSPGAYGQVSHAIEPDGAPELSPGTTNDDTGEGAEDDKDPFSKRRRLDAGGFDVTPVIKPIREPRVVVQTQSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTNSHDTAGPSAVNGTSRTRPDKNETISLDLGVGISSTSENLSSDQQQALHAELVRFENQSSSGSSFRIVHASPIAAYYGVLNGGMNQYGSRQIPSEGRSIEIPPLNRSSYPYPQNVGSLLTGP >Potri.011G087900.8.v4.1 pep chromosome:Pop_tri_v4:11:11219991:11226939:1 gene:Potri.011G087900.v4.1 transcript:Potri.011G087900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087900.v4.1 MRALGHSGIMKLAFILILYSFKSCLLDLLVLCLRNIFRLIPIFLTSQAEPSPTTGTFTNPQTVLGSLSSTSYPATTVYSTNFGERKSNCFEFRPHARSDMVSADMNHQRSAQCVKVQSQCQSQSFPSSPSVKGEMAVCTNELTLSTPLHMVTSGSSVPAEVDSDELNQMGLSSSGLRASQSGSAPTVSSDDGYKWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFECSHDGQITEIIYKGTHDHPKPQPSRRYASGSGLFMLEERFDKFSSLPSQDDKSPGAYGQVSHAIEPDGAPELSPGTTNDDTGEGAEDDKDPFSKRRRLDAGGFDVTPVIKPIREPRVVVQTQSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTNSHDTAGPSAVNGTSRTRPDKNETISLDLGVGISSTSENLSSDQQQALHAELVRFENQSSSGSSFRIVHASPIAAYYGVLNGGMNQYGSRQIPSEGRSIEIPPLNRSSYPYPQNVGSLLTGP >Potri.011G087900.6.v4.1 pep chromosome:Pop_tri_v4:11:11219957:11227046:1 gene:Potri.011G087900.v4.1 transcript:Potri.011G087900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087900.v4.1 MRALGHSGIMKLAFILILYSFKSCLLDLLVLCLRNIFRLIPIFLTSQAEPSPTTGTFTNPQTVLGSLSSTSYPATTVYSTNFGERKSNCFEFRPHARSDMVSADMNHQRSAQCVKVQSQCQSQSFPSSPSVKGEMAVCTNELTLSTPLHMVTSGSSVPAEVDSDELNQMGLSSSGLRASQSGSAPTVSSDDGYKWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFECSHDGQITEIIYKGTHDHPKPQPSRRYASGSGLFMLEERFDKFSSLPSQDDKSPGAYGQVSHAIEPDGAPELSPGTTNDDTGEGAEDDKDPFSKRRRLDAGGFDVTPVIKPIREPRVVVQTQSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTNSHDTAGPSAVNGTSRTRPDKNETISLDLGVGISSTSENLSSDQQQALHAELVRFENQSSSGSSFRIVHASPIAAYYGVLNGGMNQYGSRQIPSEGRSIEIPPLNRSSYPYPQNVGSLLTGP >Potri.011G087900.7.v4.1 pep chromosome:Pop_tri_v4:11:11220049:11227161:1 gene:Potri.011G087900.v4.1 transcript:Potri.011G087900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087900.v4.1 MDNINSRSEVPDGSDPTRPDTCGGGARYKLMSPAKLPISRSACTMISPGLSPTSFLESPVLLSNVKAEPSPTTGTFTNPQTVLGSLSSTSYPATTVYSTNFGERKSNCFEFRPHARSDMVSADMNHQRSAQCVKVQSQCQSQSFPSSPSVKGEMAVCTNELTLSTPLHMVTSGSSVPAEVDSDELNQMGLSSSGLRASQSGSAPTVSSDDGYKWRKYGQKHVKGSEFPRSYYKCTHPNCEVKKLFECSHDGQITEIIYKGTHDHPKPQPSRRYASGSGLFMLEERFDKFSSLPSQDDKSPGAYGQVSHAIEPDGAPELSPGTTNDDTGEGAEDDKDPFSKRRRLDAGGFDVTPVIKPIREPRVVVQTQSEVDILDDGYRWRKYGQKVVRGNPNPRSYYKCTNAGCPVRKHVERASHDPKAVITTYEGKHNHDVPTARTNSHDTAGPSAVNGTSRTRPDKNETISLDLGVGISSTSENLSSDQQQALHAELVRFENQSSSGSSFRIVHASPIAAYYGVLNGGMNQYGSRQIPSEGRSIEIPPLNRSSYPYPQNVGSLLTGP >Potri.005G073100.2.v4.1 pep chromosome:Pop_tri_v4:5:4873541:4877669:1 gene:Potri.005G073100.v4.1 transcript:Potri.005G073100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073100.v4.1 MDSAVNVPRWTPSPSPTRSLLKEPETKANVVPSKREAGVDDLELQSIISEEDGKPTTVDNTFPFSPGTTAGPDPPPYSGTYEASSMMTEMEPLGTLPKGGKNYDGEGLTSKGNRNGLLMTWIDLWVTVPDGKNGGRPILHGLTGYAQPGEVLAIMGPSGSGKTTLLDALAGRLSSNTQQTGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAVYYSAQLQLPDSMSTSEKKERAEITIREMGLQGSADTRIGGWSVKGISGGQKRRVSICIEILTQPKLLFLDEPTSGLDSAASYHVMNHIVKLARQEGRTIVASIHQPSSEVFELFHNLCLLSSGRTVYFGPVSMAEQFFSSNGFPCAPLRNPSDHYLRTINADFDMDIEQGHGGSTEEAINILVKSYKSSEIFLQVRQRVASICEQKGGILEKKGSQASFITQCLVLTRRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFYDIGLTFGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFGRERLNGHYGVGAYVVGNTLSSIPYLLMISLIPGAMAYYLVGLQKSLEHFVCFALILFVCMMLVESLMMIVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWRYPMYYIAFHKYANQGFYKNEFQGLTFPNNLAGGPPTITGEEILKNTWQVEMGYSKWIDIAILLGMVILYRLMFLGIIKLVEKVKPIIRAAFAGAPRQSKHV >Potri.008G116900.1.v4.1 pep chromosome:Pop_tri_v4:8:7468055:7469602:-1 gene:Potri.008G116900.v4.1 transcript:Potri.008G116900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G116900.v4.1 MSILCIFLFLTTVVVSVQSLPNAELPAFREAPAFRNGRECPKKTWPSSFNNLNHHRHDPSIIHIAMTLDATYLRGSVAGVLSVLQHAACPEHIVFHFIATHRRADLRRTITSTFPYLTFHLYHFNTDLVRGKISSSIRRALDQPLNYARIYLADLLPFTVRRIIYFDSDLIVVDDVAKLWNINLGAHVLGAPEYCHVNFSYYFNSRFWSSPVYATSFTGRRACYFNTGVMVIDLRKWREGKYTEKLEYWMRVQKKNRIYELGSLPPFLLVFAGDVEGVEHRWNQHGLGGDNLEGLCRDLHPGPVSLLHWSGKGKPWLRLNSKRPCPLDSLWAPYDLYRHPTLFCDT >Potri.006G069000.1.v4.1 pep chromosome:Pop_tri_v4:6:5054571:5056871:-1 gene:Potri.006G069000.v4.1 transcript:Potri.006G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069000.v4.1 MKVRSSVKKMCEFCQIVKRRGRIYVICNSNPKHKQRQGFSTLAYGGLISAETSAPQGIIPSQSTGVGLATLIPKKHEPSAMYGWRAALSSFVFKQGN >Potri.006G069000.3.v4.1 pep chromosome:Pop_tri_v4:6:5054571:5056871:-1 gene:Potri.006G069000.v4.1 transcript:Potri.006G069000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069000.v4.1 MKVRSSVKKMCEFCQIVKRRGRIYVICNSNPKHKQRQGFSTLAYGGLISAETSAPQGIIPSQSTGVGLATLIPKKHEPSAMYGWRAALSSFVFKQGN >Potri.004G044000.1.v4.1 pep chromosome:Pop_tri_v4:4:3465120:3465790:-1 gene:Potri.004G044000.v4.1 transcript:Potri.004G044000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044000.v4.1 MSSAFPVICILHSLIAITSGTLMMFHMKEIYTFTHGNETATILMGSTPQDQLLIRTSDSFSGLLLFAIGWLIFMVSFIKDGEFQYFFAKGCTLLHVFMAIWRVNFERRVEVLAWDWLRQTVGDILLGLSWVLFLVYSWREKYD >Potri.011G083600.2.v4.1 pep chromosome:Pop_tri_v4:11:9203188:9203979:-1 gene:Potri.011G083600.v4.1 transcript:Potri.011G083600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083600.v4.1 MGKPPPNLAQGSINAVKSKDYPQKAKGGPFIAFLFSIFIYIYIYISIFYIFNLSPSTTLSFGINKHDIYEEHVVMRRQRSVPTSPSSAYSEFIKTVRLNEETINKESREKTRAAHQRKLPVVHESVEHESPSSVNSQERKRLETSAVRSGEAIRHKKKAVVPKALQRSKSEIVKRVVIDESKNIIRRIETENYEPPDSVEEKNEYANMSNEDLNRRVEEFIQRFNKQVRLQGEVYSRK >Potri.001G185600.7.v4.1 pep chromosome:Pop_tri_v4:1:16464624:16471839:1 gene:Potri.001G185600.v4.1 transcript:Potri.001G185600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185600.v4.1 MIASASSMQINALHPIKPIFLLKPASLRPSRIRCAAATTKQSKRYSITLLPGDGIGPEVISVAKNVLNLAGSLEGIEFNFQEMPMGGSALDMVGVPLPDETLLAAKKSDAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLEVFANLRPATVLPQLVDASTLKKEVAEGVDVMVVRELTGGIYFGKPRGFGTNEDGEEIGFNTEVYATYEVDRIARVAFETARKRHGKLCSVDKANVLEASMFWRKRVISIAAEYPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGSSGPGLFEPIHGSAPDIANQDKANPLATVLSAAMLLKYGLGEENAAKRIENAVLDTLNKGFRTGDIYSAGNKLVGCKEMGEEVLKSVDSQVAAAV >Potri.001G185600.8.v4.1 pep chromosome:Pop_tri_v4:1:16465885:16471804:1 gene:Potri.001G185600.v4.1 transcript:Potri.001G185600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185600.v4.1 MPMGGSALDMVGVPLPDETLLAAKKSDAVLLGAIGGYKWDKNEKHLKPETGLLQLREGLEVFANLRPATVLPQLVDASTLKKEVAEGVDVMVVRELTGGIYFGKPRGFGTNEDGEEIGFNTEVYATYEVDRIARVAFETARKRHGKLCSVDKANVLEASMFWRKRVISIAAEYPDVELSHMYVDNAAMQLVRNPKQFDTIVTNNIFGDILSDEASMITGSIGMLPSASLGSSGPGLFEPIHGSAPDIANQDKANPLATVLSAAMLLKYGLGEENAAKRIENAVLDTLNKGFRTGDIYSAGNKLVGCKEMGEEVLKSVDSQVAAAV >Potri.010G140400.1.v4.1 pep chromosome:Pop_tri_v4:10:15392177:15393399:-1 gene:Potri.010G140400.v4.1 transcript:Potri.010G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140400.v4.1 MGKTRAVTHADLAPSHGSTGLGSKTAAFLMVLTIVCGLFCFVLCLIAEAARSQVTWVNSDSKENDEDSQCIYTGSGKIPLLCAAIAFVGLAVAMVVEHMYMLIAVSKATPPALVVWDPNSANSKTITWKAGLFFVTTWICFAVGEILLLIGLSVESGHLKNWSRPRPNCLIVREGLFSAAGIFALLTVFFAAGLYLTVLCAQRTIQEHENTRREILEASALYASPPRSPQHQMITSIARENPVVREDQIEQSSFTYPPAFAKQLHLVL >Potri.001G080900.2.v4.1 pep chromosome:Pop_tri_v4:1:6421100:6422800:1 gene:Potri.001G080900.v4.1 transcript:Potri.001G080900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080900.v4.1 MELDRLSASSKDVEEDDDILLPGFRFHPTDEELVGFYLKKKVEKKPIRIDLIKHVDVYKYEPWDLPKEASCSAGEREWYFFCRRGRKYKNSIRPNRVTRSGFWKATGIDKPIYSVGKIHYCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPACENTASLTNPDGCDIHEAEVWTLCRIFQREAPFNKKYLSSKQQCVSTDSSASPCESDNRRHNDESFEVSANNKVIESQATTDHFYGNNNQSFAAQMSLTSQVPFTTSYLSFLNQNGDQLFGDENWDELRPMVEFALDPSEIYCIR >Potri.001G080900.3.v4.1 pep chromosome:Pop_tri_v4:1:6421100:6422800:1 gene:Potri.001G080900.v4.1 transcript:Potri.001G080900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080900.v4.1 MELDRLSASSKDVEEDDDILLPGFRFHPTDEELVGFYLKKKVEKKPIRIDLIKHVDVYKYEPWDLPKASCSAGEREWYFFCRRGRKYKNSIRPNRVTRSGFWKATGIDKPIYSVGKIHYCIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPACENTASLTNPDGCDIHEAEVWTLCRIFQREAPFNKKYLSSKQQCVSTDSSASPCESDNRRHNDESFEVSANNKVIESQATTDHFYGNNNQSFAAQMSLTSQVPFTTSYLSFLNQNGDQLFGDENWDELRPMVEFALDPSEIYCIR >Potri.011G072641.1.v4.1 pep chromosome:Pop_tri_v4:11:6634881:6637333:-1 gene:Potri.011G072641.v4.1 transcript:Potri.011G072641.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072641.v4.1 MKTYSSFFPTPPPNMFTTLQPPHGLLQFCRVMVVVITLFSSATLVVSYQLHPDEVTALRQIGKTLTPGGQPFEVGDACNQPGTLHDMNLSKDSEASSTVMCNCTLNLNNDGYCHITSLYLKTLSFSGELPPEMANLTYLEIM >Potri.003G121300.1.v4.1 pep chromosome:Pop_tri_v4:3:14239935:14241710:1 gene:Potri.003G121300.v4.1 transcript:Potri.003G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121300.v4.1 MDVFLKKFFPDVYKRESSVKPSDDQYCKFDSQILTLFTSSLYLSALVSSIFASMATRKYGRRPTMMTSGLLFAAGAIVNGLAMNVPMLIIGRLLLGFGIGCANQSVPIYLSEVAPYKYRGALNMTFQLFITIGILIANFLNFAFAKWIEGEMAWRLNLGGVIVPGLIIFIGSCLLPDTPNSEIERGNYDRAKEQLLKLRKVDNVDEEFNDLVEASEKAKLVQHAWLNIFERKYRPQLFFAFCIPMFQQLTGMNVIVFYAPILFKTIGFGSNASLFSSLITGIVNMLATFVSISTVDKFGRKKLFLYGGLQMLVSQIVITIAIAMKFGLSGNPGVISNGYAYTVVVFICVYVAAFAWSWGPLGWLVPSEIFPLEVRSTAQSITVSVNMIITFVIAQIFTAMLCHLKFGLFICFAVCVIVMSIVIYKLLPETKGVPIEEMTTVWRNHPHWSKYFYEDDAKFETNKSIVIGILLSLFLVFILRLA >Potri.009G102600.3.v4.1 pep chromosome:Pop_tri_v4:9:9037780:9039062:-1 gene:Potri.009G102600.v4.1 transcript:Potri.009G102600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102600.v4.1 MGTAGTLDRFRNLPHKIAYQILLFISMGELARFRLVSKRCKRWMDLRQDDGIKISTLYLNRSFEGSYSYEEFYVGKLLSQAAGSEVEQLKIEVITRSDTAFELPVSVLKCEPLTILMLILTNQGIVRFPSLSSSYFYNSQTIKVRKCTSRV >Potri.009G102600.2.v4.1 pep chromosome:Pop_tri_v4:9:9037780:9039062:-1 gene:Potri.009G102600.v4.1 transcript:Potri.009G102600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102600.v4.1 MGTAGTLDRFRNLPHKIAYQILLFISMGELARFRLVSKRCKRWMDLRQDDGIKISTLYLNRSFEGSYSYEEFYVGKLLSQAAGSEVEQLKIEVITRSDTAFELPVSVLKCEPLTILMLILTNQGIVRFPSLSSSYFYNSQTIKVRKCTSRV >Potri.009G102600.4.v4.1 pep chromosome:Pop_tri_v4:9:9037780:9039062:-1 gene:Potri.009G102600.v4.1 transcript:Potri.009G102600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102600.v4.1 MGTAGTLDRFRNLPHKIAYQILLFISMGELARFRLVSKRCKRWMDLRQDDGIKISTLYLNRSFEGSYSYEEFYVGKLLSQAAGSEVEQLKIEVITRSDTAFELPVSVLKCEPLTILMLILTNQGIVRFPSLSSSYFYNSQTIKVRKCTSRV >Potri.014G135560.1.v4.1 pep chromosome:Pop_tri_v4:14:9138416:9139241:1 gene:Potri.014G135560.v4.1 transcript:Potri.014G135560.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135560.v4.1 MDRVKNSVDVSPFLLVEAAGDSEVDSDPTMSTIDLVDDDDDAESCSCDTSDHSCVSDIIKGACSEVEAYQVNYNVVDDDDDDEEEEEEGVEVCQSWVHHVHVGLPVKQKSCVSVDSSNESMNEKEKDRLFWEACLAS >Potri.002G242800.1.v4.1 pep chromosome:Pop_tri_v4:2:23479618:23485924:-1 gene:Potri.002G242800.v4.1 transcript:Potri.002G242800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242800.v4.1 MALMSVLTHGSSLNLPKPAPRCFSPSRRARALVLNHSRSGHKVFFCNRGPSAFRIRRFQSKDSRNYEAAKDTQGDLDLPSDEAVVNKKNEPTSTGSSFLLILAIVLGVAAIFTIMSVGLKQSSTGSFFGVQFLAEGSPSPVMAASPIGFTFKAFGYRIILPEYAPGCVYFWLLMAAGCGLFISEEALNIWVGITLSRMLSLDGTWQSFAGSFSRNAPYIISTVLWVYWGVCISDMIPFYLGKLFKQSGASDDVCSKLGISEEKVLSITSVVQKYGNLVGVVERFSLGARNPTAFLAGTMGVSPECFFTGVCCGGLITLPLQLGIGFLLRERPMFALATVATVVGVWTVFPYVTAASTALFFYLKRRYST >Potri.009G129500.1.v4.1 pep chromosome:Pop_tri_v4:9:10594232:10597731:-1 gene:Potri.009G129500.v4.1 transcript:Potri.009G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129500.v4.1 MAMLSTSLSGPKSATSYCAPEFSGLRRLCPNSNNNNSNSHSQSFLRFCSPRKPLKSVVAMAGTGTFFVGGNWKCNGTKESITKLVSDLNSTKLESDVDVVVAPPFVYIDQVKSSLTDRIEIAAQNSWVSKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGEDNQFIGKKAAYALSQGLEVIACIGELLEEREAGKTFDVCYQQLKAFADAVPSWDNIVIAYEPVWAIGTGVVATPVQAQEVHVAIRDWLKNNVSAEVASKTRIIYGGSVNGGNCAELAKQEDIDGFLVGGASLKGPEFATIVNSVTFKKVAA >Potri.001G455400.1.v4.1 pep chromosome:Pop_tri_v4:1:48179677:48181447:1 gene:Potri.001G455400.v4.1 transcript:Potri.001G455400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455400.v4.1 MGESGVPTIDLQEFPGQYEKLRRACEEWGCFRLVNHNISLALMADMKRVVRSLLDLPFDVKKRNLDVISGSGYMAPSQVNPLYEALGLYDMGSSQAVETFCSQLDASSYQREVIEMYAKAIHGVAKDVARKLAESLGLSGDFFESWVCQFRINKYNFTPETIGSSGVQIHTDSGFLTILQDDKNVGGLEVMNPSGVYVAVDPVPGTLLINLGDIAKAWSNGRFRNVQHRVQCKEATIRISIASFLLASDEEVEAPPELVDSEHPRLYVPFTYEDYRKLRLTTKLQAGEALELKRIKS >Potri.005G021600.2.v4.1 pep chromosome:Pop_tri_v4:5:1383977:1387980:-1 gene:Potri.005G021600.v4.1 transcript:Potri.005G021600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021600.v4.1 MELDHLGGTYGGNRKPTPFMCLITKVLQIQPEKDIVVEFIKYVRVLGAFYLRLTGTDIDVYRYLEPLYNDYRKLRQKLTDGNYSCDIVLPRIKKRWTLETLGALETTKCVLEDDFEKEEEREENEQLDGLDNGADERDYCHERSPARERDRDRRRDSRRYRDHDYDRERGHGRERDRERDRDWDRDRYRLKDERDYGREREREREREGSERERRDRDRGRQRSLSQSQSRSRDRKRHAHSSSPKRRRDGAEEPKKKREKKEKKDDGTDHPDPEIAEANKLRAALGLKPP >Potri.002G083001.1.v4.1 pep chromosome:Pop_tri_v4:2:5906276:5906944:1 gene:Potri.002G083001.v4.1 transcript:Potri.002G083001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083001.v4.1 MAPSTIYQYHMRQDFSTNVDSNNSTAPTTDAIIIHLQFSHVLELCYPETIQIPLNTTNESHLFPRQLFSSHVNRESIVKEILSSMGCSSDFIESAAPDISSFALDMVTNPCNASSSEVLTMVLAIHVTTPYDEREEIDRALSESLMQEASRFKPASKSCIDGLKRMSLEGSCSMKECMVCLEEFLMGSEVVCLPCGHIFHGDCIVRWLETSHLCPLCRFAMP >Potri.010G007822.1.v4.1 pep chromosome:Pop_tri_v4:10:1164541:1165368:-1 gene:Potri.010G007822.v4.1 transcript:Potri.010G007822.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007822.v4.1 MKITNILGLSFLFFAFIGTSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTINGQVLCNSDVILSTLNESLPITFSPVIQSTDSVIREGTHLNVNFAGPSAMCLMGGVTPMWKIRFSTTLKGYTVTTGGVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVGVNGDKNLVPGAGPLLVMFEPDE >Potri.014G147100.1.v4.1 pep chromosome:Pop_tri_v4:14:10090797:10096353:1 gene:Potri.014G147100.v4.1 transcript:Potri.014G147100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147100.v4.1 MANTTTPTMARSFLQVAATEEVAPPLRAVQIEGLAVLKIIKHCKEFSPSLVTGQLLGLDVGSVLEVTNCFPFPIREEDEEIEAEGANYQLEMMRCLREVNVDNNTVGWYQSMLFGCFQTVELIETFMNYQENIRRCVCIIYDPSRANQGVLALKALKLSDSFMDLYRNNNFTGEKLREKNLSWVDIFEEIPIKVSNSALISAFMTELENDTPVTQCDYDRLQLSTSPYLERNVEFLIECMDDLSMEQQKFQYYYRNLSRQQAQQQAWLQKRRSENMSRKAAGEEPLPEEDPLNPIFKPIPEPSRLDSFLITNQIANYCNQINGVSGQSFSRLYLMKALHEN >Potri.010G082500.6.v4.1 pep chromosome:Pop_tri_v4:10:11007431:11010569:-1 gene:Potri.010G082500.v4.1 transcript:Potri.010G082500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082500.v4.1 MAYASTCVTWVAILFLLQPLIARSGFLSPLLSPVFDDVCKKVECGKGTCKPSDNSTWFFECECDPGWKQTSSDHDDHLKFLPCIVPDCTLNSSCMAAPSPVQEKARKDNESIFDSCSWTDCGGGSCNKTSTFTYSCACAEGYNNLLNASAFPCYKDCAIGMDCRNLGISVSNKSASVDNSRNQASSILQEKFHWLMTLIMLLSMIDWM >Potri.010G082500.7.v4.1 pep chromosome:Pop_tri_v4:10:11007389:11010569:-1 gene:Potri.010G082500.v4.1 transcript:Potri.010G082500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082500.v4.1 MAYASTCVTWVAILFLLQPLIARSGFLSPLLSPVFDDVCKKVECGKGTCKPSDNSTWFFECECDPGWKQTSSDHDDHLKFLPCIVPDCTLNSSCMAAPSPVQEKARKDNESIFDSCSWTDCGGGSCNKTSTFTYSCACAEGYNNLLNASAFPCYKDCAIGMDCRNLGISVSNKSASVDNSRNQASSILQEKFHWLMTLIMLLSMIDWM >Potri.005G114900.1.v4.1 pep chromosome:Pop_tri_v4:5:8398441:8400295:1 gene:Potri.005G114900.v4.1 transcript:Potri.005G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114900.v4.1 MALSLRRYAWPSYHNLDDLNCILRERSQKEREMSIAMETMTGDPLPEDVIIEILSRLPVKNLLQFKCVCKSWHAIITSPKLISKHLRNYYDKNDSDCLLAQYRVTQAGEIASFELLVDETPTRALSYGLLDRMPFQSPYIYGPCDGIFYLYGHFYDFHALWNPAINELKTLPPIPNPPFSFSYSPLWNAYGFRLHPVTKDCEVIVMREYWREEEGAWEDRYPLSVFVYTLSSDSWRYWGDLSRYYHLRNNKCYICVEGVFYWLGSYGACGDPEVVINFDMATNVCQEIQLPDYDKSINSESLAVYNDSIALLVVQESVLHVWTLDERCWTKKFVVGPLLGVQYPVGHWQNNTIILISDSYELLLCDPRTQEMSGLGFEGGTIRCEGIFAYKESLVPVKNGHEEAETISDCRIICSPPFNRNVASNEKSFLMDPDYEEALKLFD >Potri.006G239500.1.v4.1 pep chromosome:Pop_tri_v4:6:24154141:24155786:1 gene:Potri.006G239500.v4.1 transcript:Potri.006G239500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239500.v4.1 MNRLLLIIILTLFFHVKAQITSGSDSATVIPVHQGLPLILGILSTMLLITFFVLAYAKYCGRNQNNFLGRYLHHQNFHGLIRSSSRFSGIGEEVINSMPFFRFSSLKGSKEGLECAVCISKFEDSDVLRLLPKCKHAFHENCIDQWLKSHSSCPLCRYKIDPKDVKSFTYSRSWRHLQNPSNLAEDPNLEIFVEREHDRQVSSCFNPGSSFQISNDNSKKEELLVQAGGNADDNRKLFHKFMHKIIISDVLIKSRWSDANSSDFLSLNTEMLGVMSSNRFTPLKSSSARFYNGLSRVENLEKVKDDTERKRLSKPQFPTVDRSNSVPSSSLNSSKMLNPVGKRSTSEITIFSRFRQLSAKNKMKESASLGNGGKDERIRMLWLPIARRTVQWFAGRERNLRQLEYERQASNV >Potri.017G015101.1.v4.1 pep chromosome:Pop_tri_v4:17:1054014:1055881:1 gene:Potri.017G015101.v4.1 transcript:Potri.017G015101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015101.v4.1 MDGTWFFIPARGVEEMEDIGEKYFDDLVSRSFFRQSLYAPSYFSMHDIISDLVEYVSGEFCFKLGINESGSGLEGEHSCSLPERTRYLSITSAAVRTFRSIHGVQHLRALFPLYIFGEDDSEALNDIWLNLKRLRRLSLCHLKDISSQLLNSIGNLKHLRHLDLSRTAIKRLPKSVCTLYYLQSLLLKKSRHLMQLPSNLFNLVALQHLDIEGTNLKKMPPKMGKLTRLRTLQYYVVGKERGSSMKELGKLSHLRKKLSIRNLRDVANAQDALDANLKGKKKIEELRLIWDGNTDDTQQEREVLEKLEPSEIVKQLAIKGYGGTMFPDWLGNSSFLNMVALTLSGCKNCISLPPLGQLPSLEELHIEGFDDVVAVSSEFYGSDPSMEKPFKSLKILKFEGMRNWQEWKTDVAGAFPHLANLLIAGCPELTNGLPNYLPSLLILEIQACPQLVVSIPEAPLLTEINVKVTKTFIPSHRWNALSDEDC >Potri.007G129700.1.v4.1 pep chromosome:Pop_tri_v4:7:14413748:14417547:1 gene:Potri.007G129700.v4.1 transcript:Potri.007G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129700.v4.1 MAYNGVNDKSLIVSFGEMLIDFVPTTSGVSLAEAPGFLKAPGGAPANVAIAVSRLGGKSAFVGKLGDDEFGHMLAGILKENDVIASGINFDTGARTALAFVTLRADGEREFMFYRNPSADMLLRPEELNLELIRSAKVFHYGSISLIVEPCRSAHLEAMKVAKEAGALLSYDPNLRLPLWPSAEEAREQILKIWDEADVIKVSDVELEFLTGSNKIDDETALTLWRPSFKLLLVTLGENGCKYYTKDFHGTVEAFHVETIDTTGAGDSFIGALLCKIVDDQSVLEDEQKLREVLRFANACGAITTTKKGAIPALPTPSEVHKLLNASK >Potri.004G111750.1.v4.1 pep chromosome:Pop_tri_v4:4:10265675:10266118:1 gene:Potri.004G111750.v4.1 transcript:Potri.004G111750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111750.v4.1 MATGNYVKSYADVNMEENTEGQSISIENEGEYEETSKGKETSSSSTQKRQHRKRNRMYEDDGVEKLSKQIGDVALAIQSLSKNQLDVNALYAEVMKIEGFDEITLGEAFDHLVQNEMLAKTFIAKNANLRKIWVQNFVNQHFYRPAC >Potri.008G031000.2.v4.1 pep chromosome:Pop_tri_v4:8:1671028:1676061:-1 gene:Potri.008G031000.v4.1 transcript:Potri.008G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G031000.v4.1 MASSRVIGAAFLILLILDLTFAARLPKAMSKGGGGGGGGGGGGGGGGGGGGGGGGSGSGYGSGSGSGYGSGSGSGGGGGGGGGGGGGGGGASSGSGYGSGSGYGEGYGSGYGSGEGYGAGGRGGGGGGGGGGGGGGGGGGGSGSGSGSGSGSGSGSGSGSGSGNGGGGGGGGGGGGGGGGGGSGSGSGSGSGSGSGYGSGYGGGKGKRLP >Potri.005G250600.1.v4.1 pep chromosome:Pop_tri_v4:5:24400620:24403642:-1 gene:Potri.005G250600.v4.1 transcript:Potri.005G250600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250600.v4.1 MEKKIAIIGAGISGLLACKHILEKGFSPIVFEARSGIGGVWSQTIESTKLQIPKKMYQFSDFAWPPSVTETFPDDEQVLEYIKAYAVHFNILPRIRFNCKVTCIDYVVPGNEDFPSWDLWGGTGWPFSPTGRWNVTVQDARDPTAPVEVYQLDFVILCIGKYSDLPNIPDFPLNSGPEVFNGKVLHSMDYAAMANDCAAELVTNKRVTIIGFQKSAVDIATEVADRNGVDHPCTLIFRTVHWIVPDYFIALTFKSLNRFTEFMVHKPDQGFFIWLLVILLSPLLWIFSKLVEAYLKRKQPLKKYNMVPEHGFLKQISSCMFTVLPANFYDKVEEGSLVLKKSQSFNFCKNGLVIDSEETPIATDIVIFATGYKSDEKLKNIFKSSYFQKCITESLAPFYRECIHPQIPQLAILGYADSPAFLYTTEMKSKWLAHFLAGKFKLPTIGEMEADMKKWEKCMKYYANESYKRSCISVLLQIYCNDQICKDMGFNPRRKKWLLAELFAPYCPDDYKYLCWQM >Potri.005G250600.3.v4.1 pep chromosome:Pop_tri_v4:5:24400773:24403627:-1 gene:Potri.005G250600.v4.1 transcript:Potri.005G250600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250600.v4.1 MRISHLGICGVALVGLFLLLEDGMSLYRMPGIPLHQLSGPEVFNGKVLHSMDYAAMANDCAAELVTNKRVTIIGFQKSAVDIATEVADRNGVDHPCTLIFRTVHWIVPDYFIALTFKSLNRFTEFMVHKPDQGFFIWLLVILLSPLLWIFSKLVEAYLKRKQPLKKYNMVPEHGFLKQISSCMFTVLPANFYDKVEEGSLVLKKSQSFNFCKNGLVIDSEETPIATDIVIFATGYKSDEKLKNIFKSSYFQKCITESLAPFYRECIHPQIPQLAILGYADSPAFLYTTEMKSKWLAHFLAGKFKLPTIGEMEADMKKWEKCMKYYANESYKRSCISVLLQIYCNDQICKDMGFNPRRKKWLLAELFAPYCPDDYKYLCWQM >Potri.005G250600.2.v4.1 pep chromosome:Pop_tri_v4:5:24400729:24403610:-1 gene:Potri.005G250600.v4.1 transcript:Potri.005G250600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250600.v4.1 MEKKIAIIGAGISGLLACKHILEKGFSPIVFEARSGIGGVWSQTIESTKLQIPKKMYQFSDFAWPPSVTETFPDDEQVLEYIKAYAVHFNILPRIRFNCKVTCIDYVVPGNEDFPSWDLWGGTGWPFSPTGRWNVTVQDARDPTAPVEVYQLDFVILCIGKYSDLPNIPDFPLNSGPEVFNGKVLHSMDYAAMANDCAAELVTNKRVTIIGFQKSAVDIATEVADRNGVDHPCTLIFRTVHWIVPDYFIALTFKSLNRFTEFMVHKPDQGFFIWLLVILLSPLLWIFSKLVEAYLKRKQPLKKYNMVPEHGFLKQISSCMFTVLPANFYDKVEEGSLVLKKSQSFNFCKNGLVIDSEETPIATDIVIFATGYKSDEKLKNIFKSSYFQKCITESLAPFYRECIHPQIPQLAILGYADSPAFLYTTEMKSKWLAHFLAGKFKLPTIGEMEADMKKWEKCMKYYANESYKRSCISVLLQIYCNDQICKDMGFNPRRKKWLLAELFAPYCPDDYKYLCWQM >Potri.008G148400.12.v4.1 pep chromosome:Pop_tri_v4:8:10124747:10131084:-1 gene:Potri.008G148400.v4.1 transcript:Potri.008G148400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148400.v4.1 MTSKSKRIACGNHEEESKKKERHIVTWTQQEDDILRQQISQHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDLLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENKNSHINLNNKRIILHDGFNTDGRPENDPPIKKIRKSYIPSLAGSCNFGDRSHPQRGNQQPRPPFAVLAQNFHNVNMAGQHHVSSVKEVPIDVQSSRIQGTFLKKDDPKITALMQQAELLSSLASKVGEENTDQSLENAWKVLQDFLNRSKENDLFGQKICDIDFKLEDFKDLIEDLRISNDGSRPSWRQPDLYEESPSSSEYSTGSTHLPYTAPDKTEQTRAGVLHKDIEIELQMVHIHEGNFVGACDKEIISSANITQVEMFPSCDEQTKNDIIVSASSGTEFSSPLQVTPIFRSLAAGIPSPKFSESERNFLLKTLGVESPCPNPAINPSQPPLCKRALLQSL >Potri.008G148400.7.v4.1 pep chromosome:Pop_tri_v4:8:10124745:10131097:-1 gene:Potri.008G148400.v4.1 transcript:Potri.008G148400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148400.v4.1 MTSKSKRIACGNHEEESKKKERHIVTWTQQEDDILRQQISQHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDLLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENKNSHINLNNKRIILHDGFNTDGRPENDPPIKKIRKSYIPSLAGSCNFGDRSHPQRGNQQPRPPFAVLAQNFHNVNMAGQHHVSSVKEVPIDVQSSRIQGTFLKKDDPKITALMQQAELLSSLASKVGEENTDQSLENAWKVLQDFLNRSKENDLFGQKICDIDFKLEDFKDLIEDLRISNDGSRPSWRQPDLYEESPSSSEYSTGSTHLPYTAPDKTEQTRAGVLHKDIEIELQMVHIHEGNFVGACDKEIISSANITQVEMFPSCDEQTKNDIIVSASSGTEFSSPLQVTPIFRSLAAGIPSPKFSESERNFLLKTLGVESPCPNPAINPSQPPLCKRALLQSL >Potri.008G148400.5.v4.1 pep chromosome:Pop_tri_v4:8:10124746:10130912:-1 gene:Potri.008G148400.v4.1 transcript:Potri.008G148400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148400.v4.1 MTSKSKRIACGNHEEESKKKERHIVTWTQQEDDILRQQISQHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDLLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENKNSHINLNNKRIILHDGFNTDGRPENDPPIKKIRKSYIPSLAGSCNFGDRSHPQRGNQQPRPPFAVLAQNFHNVNMAGQHHVSSVKEVPIDAVQSSRIQGTFLKKDDPKITALMQQAELLSSLASKVGEENTDQSLENAWKVLQDFLNRSKENDLFGQKICDIDFKLEDFKDLIEDLRISNDGSRPSWRQPDLYEESPSSSEYSTGSTHLPYTAPDKTEQTRAGVLHKDIEIELQMVHIHEGNFVGACDKEIISSANITQVEMFPSCDEQTKNDIIVSASSGTEFSSPLQVTPIFRSLAAGIPSPKFSESERNFLLKTLGVESPCPNPAINPSQPPLCKRALLQSL >Potri.008G148400.13.v4.1 pep chromosome:Pop_tri_v4:8:10124747:10131041:-1 gene:Potri.008G148400.v4.1 transcript:Potri.008G148400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148400.v4.1 MTSKSKRIACGNHEEESKKKERHIVTWTQQEDDILRQQISQHGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPEEDLLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENKNSHINLNNKRIILHDGFNTDGRPENDPPIKKIRKSYIPSLAGSCNFGDRSHPQRGNQQPRPPFAVLAQNFHNVNMAGQHHVSSVKEVPIDVQSSRIQGTFLKKDDPKITALMQQAELLSSLASKVGEENTDQSLENAWKVLQDFLNRSKENDLFGQKICDIDFKLEDFKDLIEDLRISNDGSRPSWRQPDLYEESPSSSEYSTGSTHLPYTAPDKTEQTRAGVLHKDIEIELQMVHIHEGNFVGACDKEIISSANITQGTEFSSPLQVTPIFRSLAAGIPSPKFSESERNFLLKTLGVESPCPNPAINPSQPPLCKRALLQSL >Potri.017G000900.1.v4.1 pep chromosome:Pop_tri_v4:17:58855:63866:1 gene:Potri.017G000900.v4.1 transcript:Potri.017G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000900.v4.1 MAEGGTTLEYTPTWVVAVVCSVIVLISLIVERTLHYLGKLLKRKHQKPLFEALQKIKEELMLLGFISLLLTVFQGRINTICISKDLSKKMLPCKDESKETTTAHFQTFFSFVPGGISRRLLAEASSANSCSEGKVPMLSTTALHHLHIFIFVLACVHVVFCVLTILFGSARIRQWKLWEDSISKKEQDPEEAQGPKFTHVKDHDFIKSRYLGFGKNSYILGWVHSFFKQFYASVTRSDYTTLRLGFIMTHCRGNPKFDFHKYMMRVLEADFKKVVGISWYLWLFVVIFLCLNVSGWHAYFWIAFIPFILLLAVGTKLEHVIIQLAHEVAEKHVAVQGDLVVRPSDDHFWFNKPKIVLLLIHIILFQNSFELAFFFWIWVQYGFDSCIMGQVGYIIPRLVIGAFVQFLCSYSTLPLYAIVTQMGSSFKREIFEEHIQEGLVGWAKQAKKKAGLRRDANESSHNQVGPKVESPLIQMAKAGSRETAVEENKSGEIIHGTAS >Potri.011G039200.1.v4.1 pep chromosome:Pop_tri_v4:11:3029778:3033347:-1 gene:Potri.011G039200.v4.1 transcript:Potri.011G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039200.v4.1 MDLGSCTSIIALHLILYCFCLEFGASIDTISLSQFIRDPETIVSAGKKFELGFFSPVNSTNRYVAIWYSNISITTPVWVANRNKPLNDSSGIMTISEDGNLVVLNGQKETLWSSNVSTGMNDSRAQLMDDGNLVLGGSENGNSLWQSFQEPSDTYIPKMRLTANPRTGKKTPLTSWKSPSDPSIGSFSLGIDPSSIPEVVLWNDSRPIWRTGPWNGQVFIGVPEMNSVYLDGFNLADDGNGGFTLSVGFADESYITNFVLSSEGKFGQVFWDDMNEGSWRYQWESVQDECDVYGKCGSFASCDAKNTPICSCLKGFEPKNADEWNSRNWTHGCVRRKAMRCERIQNGGELGKEDGFSKLERVKVPGFAEWSSSITEQKCRDDCWNNCSCIAYAYYTGIYCMLWKGNLTDIKKFSSGGADLYIRLAYTELDNKKINMKVIISLTVVVGAIAIAICVFYSWRWIERKRTSKKVLLPKRKHPILLDENVIQDNLNHVKLQELPLFSLQMLIVATDNFNTANKLGQGGFGPVYKGKFPDGQEIALKRLSRASGQGQEEFMTEVVVISKLQHMNLVRLLGCCVEGEEKMLVYEYMPNRSLDAFLFDPSRKQLLDWKKRFNIVEGICRGLLYLHRDSRLRIIHRDLKASNILLDQELNPKISDFGMARIFGRNEDQADTGRVVGTFGYMSPEYAMEGRFSEKSDVFSFGVLLLEIISGRKNTSFYGNEEALSLLGYAWKLWNEGNIAALVDPGISYPSFHEEIFRCVHVGLLCVQEFAKDRPAIFTVISMLNSEIVDLPTPKQPAFSERRSELDTASLQHDQRPESINNVTVTLLSGR >Potri.018G070400.1.v4.1 pep chromosome:Pop_tri_v4:18:8482509:8494524:1 gene:Potri.018G070400.v4.1 transcript:Potri.018G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070400.v4.1 MPSLLSSSSTFTLCCTLSKKFILSPKATTFFFTRKAVSYFGTKTTMSTNLQSHAFAGNPLRSKTPKSTDPLSPPLAFETLKTQLLGNTHQLSSLNFKVLPFRKGRPLASSSSTDGDLGPKWHLGWISLDDCKGLFSGSGDELSGDNMVYLGSRSEEDVVYWAINVSGENSLVTDSVSKQFCFVELRTLMVATDWADERAMSDLAVAGHAKALLEWHNISRFCGYCGEKTITMESGRRKQCSNELCRRKIYPRVDPVVIMLVIDRENDRVLLGRQSRFVPRMWSCLAGFIEPGESLEEAVRRETWEETAIEVGEVMYHSSQPWPVGPSSMPCQLMVGFFAYAKSFEINVDKEELEDAQWHSREDVRKALLCAEYKKAQRTAAAKVEQMCKGIERGQSFSSDFNLESGELAPMFFPGPYAIAHHLITSWANQGSAFDAVQLKQPPSSSESNL >Potri.008G044500.2.v4.1 pep chromosome:Pop_tri_v4:8:2516456:2520648:-1 gene:Potri.008G044500.v4.1 transcript:Potri.008G044500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044500.v4.1 MQNHVDRVGHKETDTSESESEFNLRKSLAWDSAFFTSPGVLDPEELETISIRVVDNGVDTAGGGDLRSVPSRSAGPEGKSAIDECSLRKSLAWDSAFFTSSGVLNAAELSLVNGGFRLSQGHTLPGIKDVRRSADSNSTRNADAYSLASLEIDLFDNMRASMQKSNDASSTRETSTRKVRRENETRRGNTSKTSDASSRLRPKQGPNKESKSFIKSPNIFCQNRNLSAAPNKRASSGANHVKLEDKGAQAASGRTKIVSKKTCIRDSCSIIRSSTPPVKSSSSAAPFGKEFGGSGCAPNFTVKSSPDSLRRTINSQVSASASISRTPRQLSAGNIKELVNSSYSTCLLSTPKSSSCTSPASSTDGCSSESSSIILNPRSGAIHATTACRGISFSKDAFQISDSKRRQCNESYLVHESHETKFMNAQLNKIPERTSLIASIVSKGLQSSSLRMPSPKIGYFDAGNSVDITPNGGLKFSGVKSTSSKNRSGISNANGAADRTRNRMHQFVGATSISVNRAGAKEPVCPQEKKQPLKELEVVKAHGPENKVHRFNEDNKENIGSFENQVDDLSRRMEGIAF >Potri.008G044500.4.v4.1 pep chromosome:Pop_tri_v4:8:2516456:2520648:-1 gene:Potri.008G044500.v4.1 transcript:Potri.008G044500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044500.v4.1 MQNHVDRVGHKETDTSESESEFNLRKSLAWDSAFFTSPGVLDPEELETISIRVVDNGVDTAGGGDLRSVPSRSAGPEGKSAIDECSLRKSLAWDSAFFTSSGVLNAAELSLVNGGFRLSQGHTLPGIKDVRRSADSNSTRNADAYSLASLEIDLFDNMRASMQKSNDASSTRETSTRKVRRENETRRASKTSDASSRLRPKQGPNKESKSFIKSPNIFCQNRNLSAAPNKRASSGANHVKLEDKGAQAASGRTKIVSKKTCIRDSCSIIRSSTPPVKSSSSAAPFGKEFGGSGCAPNFTVKSSPDSLRRTINSQVSASASISRTPRQLSAGNIKELVNSSYSTCLLSTPKSSSCTSPASSTDGCSSESSSIILNPRSGAIHATTACRGISFSKDAFQISDSKRRQCNESYLVHESHETKFMNAQLNKIPERTSLIASIVSKGLQSSSLRMPSPKIGYFDAGNSVDITPNGGLKFSGVKSTSSKNRSGISNANGAADRTRNRMHQFVGATSISVNRAGAKEPVCPQEKKQPLKELEVVKAHGPENKVHRFNEDNKENIGSFENQVDDLSRRMEGIAF >Potri.008G044500.1.v4.1 pep chromosome:Pop_tri_v4:8:2516456:2520772:-1 gene:Potri.008G044500.v4.1 transcript:Potri.008G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044500.v4.1 MSPVRNFQDFQFSEVSASRKKVDVQSLDPQHMQNHVDRVGHKETDTSESESEFNLRKSLAWDSAFFTSPGVLDPEELETISIRVVDNGVDTAGGGDLRSVPSRSAGPEGKSAIDECSLRKSLAWDSAFFTSSGVLNAAELSLVNGGFRLSQGHTLPGIKDVRRSADSNSTRNADAYSLASLEIDLFDNMRASMQKSNDASSTRETSTRKVRRENETRRGNTSKTSDASSRLRPKQGPNKESKSFIKSPNIFCQNRNLSAAPNKRASSGANHVKLEDKGAQAASGRTKIVSKKTCIRDSCSIIRSSTPPVKSSSSAAPFGKEFGGSGCAPNFTVKSSPDSLRRTINSQVSASASISRTPRQLSAGNIKELVNSSYSTCLLSTPKSSSCTSPASSTDGCSSESSSIILNPRSGAIHATTACRGISFSKDAFQISDSKRRQCNESYLVHESHETKFMNAQLNKIPERTSLIASIVSKGLQSSSLRMPSPKIGYFDAGNSVDITPNGGLKFSGVKSTSSKNRSGISNANGAADRTRNRMHQFVGATSISVNRAGAKEPVCPQEKKQPLKELEVVKAHGPENKVHRFNEDNKENIGSFENQVDDLSRRMEGIAF >Potri.004G171600.9.v4.1 pep chromosome:Pop_tri_v4:4:18710270:18715197:-1 gene:Potri.004G171600.v4.1 transcript:Potri.004G171600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G171600.v4.1 MENGVERVVVEEKSNVGNEGFGVKVEEERVVVGSDESKDLEDEVFEEAIESHEHLQEEEEEEEEEEGMKVESVGFVESIGESSPAFDDENLNLGNETEKFKEVIFVPADNGNPEELGGVVGEEKVEDLVGGDSVDKIDEGGTAKEAGSNESSGGEVAEIIDNGGTEVLKAEGEGEVDSKRETELIEEILPKDDEKKVKEEDELDIEYQATSDNSVKISEDKDEGTGQNLIKMDSEHLDDKSGSLKDDGEAAEEVGNDELNGGEKVSEIAVNGETRALRSEDEANFNRGIESSNELKSDGESAQEAGNNEMSGGEKVSEIAGNGETRALRSEDEANFNSGIDSSKELKSDGESSQEAENNEMSGGDESSQEAENNEMSGGEESSQEAENNEMSGGEEVSEIAGNGGTEALKGEDESHFNQEIELNMEILPEDGKREELKEDKLGAEYQEANDLFNGSGDLQDDKSEGLDENLERKDIKHEVEKNGNFESAIVGLDSGNEVNKSEQFRDISAGVNIENQDGSNGNLKDVSAVIDSDQNGKTSELKAASAIPLTVEEEKLAPEVFASSSSENSVMERNEEIQAHASTLRSEDNKGSELHHADNNINRASKNTTVTESPQKTAEKGQEDKKNAPANIERKIQHLPEIASSSAKSLSAAPSPSRPAGLGRAAPLLEPAPRATPQLRANGTVSHMQSQQIEDPTNGESEEFDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVAGFDRASAMAEHLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRQNEKILHSVKCFIKKTPPDIVLYLDRLDMQSRDFGDMPLLRTITDIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHAVQQAIRLAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDSTPAKPFATRARAPPLPFLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDESEYDELPPFKSLTKAQIAKLTKVQKKAYFDELEYREKLFMKKQLKDDKRRRKLMEKMAAAAKDLPSEYAENAEEEGGAASVPVPMPDLALPASFDSDNPTHRYRYLDTSNQWLVRPVLETHGWDHDVGYEGINVERLFVVKDKIPISFSGQVTKDKKDANVQMELASSLKYGEGKATSLGFDMQTVGKDLAYTLRSETRFSNFRKNKATAGLSVTLLGDVLSAGVKVEDKLIAGKRLQMVMSGGAMAGRGDVAYGGSLEVQLRDKDYPLGRSLSTLGLSVMDWHGDLAIGCNVQSQIPIGRSTNLIGRANLNNRGAGQISIRVNSSEQLQLALISLFPLLKKLIDYSQQMQYGQ >Potri.004G171600.1.v4.1 pep chromosome:Pop_tri_v4:4:18710265:18715307:-1 gene:Potri.004G171600.v4.1 transcript:Potri.004G171600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G171600.v4.1 MENGVERVVVEEKSNVGNEGFGVKVEEERVVVGSDESKDLEDEVFEEAIESHEHLQEEEEEEEEEEGMKVESVGFVESIGESSPAFDDENLNLGNETEKFKEVIFVPADNGNPEELGGVVGEEKVEDLVGGDSVDKIDEGGTAKEAGSNESSGGEVAEIIDNGGTEVLKAEGEGEVDSKRETELIEEILPKDDEKKVKEEDELDIEYQATSDNSVKISEDKDEGTGQNLIKMDSEHLDDKSGSLKDDGEAAEEVGNDELNGGEKVSEIAVNGETRALRSEDEANFNRGIESSNELKSDGESAQEAGNNEMSGGEKVSEIAGNGETRALRSEDEANFNSGIDSSKELKSDGESSQEAENNEMSGGDESSQEAENNEMSGGEESSQEAENNEMSGGEEVSEIAGNGGTEALKGEDESHFNQEIELNMEILPEDGKREELKEDKLGAEYQEANDLFNGSGDLQDDKSEGLDENLERKDIKHEVEKNGNFESAIVGLDSGNEVNKSEQFRDISAGVNIENQDGSNGNLKDVSAVIDSDQNGKTSELKAASAIPLTVEEEKLAPEVFASSSSENSVMERNEEIQAHASTLRSEDNKGSELHHADNNINRASKNTTVTESPQKTAEKGQEDKKNAPANIERKIQHLPEIASSSAKSLSAAPSPSRPAGLGRAAPLLEPAPRATPQLRANGTVSHMQSQQIEDPTNGESEEFDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRNGGRVAGFDRASAMAEHLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRQNEKILHSVKCFIKKTPPDIVLYLDRLDMQSRDFGDMPLLRTITDIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHAVQQAIRLAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDSTPAKPFATRARAPPLPFLLSSLLQSRPQVKLPEEQYGDEDGLDDDLDESSDSEDESEYDELPPFKSLTKAQIAKLTKVQKKAYFDELEYREKLFMKKQLKDDKRRRKLMEKMAAAAKDLPSEYAENAEEEGGAASVPVPMPDLALPASFDSDNPTHRYRYLDTSNQWLVRPVLETHGWDHDVGYEGINVERLFVVKDKIPISFSGQVTKDKKDANVQMELASSLKYGEGKATSLGFDMQTVGKDLAYTLRSETRFSNFRKNKATAGLSVTLLGDVLSAGVKVEDKLIAGKRLQMVMSGGAMAGRGDVAYGGSLEVQLRDKDYPLGRSLSTLGLSVMDWHGDLAIGCNVQSQIPIGRSTNLIGRANLNNRGAGQISIRVNSSEQLQLALISLFPLLKKLIDYSQQMQYGQ >Potri.014G187766.1.v4.1 pep chromosome:Pop_tri_v4:14:15861416:15862558:1 gene:Potri.014G187766.v4.1 transcript:Potri.014G187766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G187766.v4.1 MGGLNEKNGFYNFGISRKKEWNSKKALENETIFLQRGECKRREEGKVRRKRRISSVMAKQEVVMDGRLFRGTGQASSSASSTRPSIKKVEREEEAQKKKRKRSPRLTAAQMRDVAYLRRRPNNRWIPPKSPHELLQENHYHDPWRVLVICMLLNCTSGGQVRPILNDFFTLCPDAKTTTNVDQNEIAQLTRSLEDWTHVTFLPGVGKYAADAYAIFCTGRWDRVVPEDHMLTRYWEFLRKGRWIIE >Potri.005G224700.3.v4.1 pep chromosome:Pop_tri_v4:5:22550132:22551888:-1 gene:Potri.005G224700.v4.1 transcript:Potri.005G224700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224700.v4.1 MAKTNVLLSFSLFLVLFHGSLGLTADRSRQHQGQCQLDRLNALKPGNRIKSEAGETESWDPNHDQFQCAGVAVVRRTIEPNGLLLPSYSNAPQLVYIVQGRGMTGTLMPGCPETFQESQESQGQGRRRLQDQHQKVHRFREGDVIALPAGVAHWCYNDGKERVIAVTVLDMANSANQLDDMNPRNFYLAGNPQEEFQQVQGQPRHRGEQRTGREPFRGHGQQQCNNIFCGMDTRFLAEAFNVSEQVARKLQSESDRRGNIVRLRQEEQEQGQRGEHRNGLEETMCTMRIRENIGDPSRADVFTPEAGRISTVNSHNLPILRYIQLSAERGVLYNEAMMMPHWNLNAHSIMYAIRGQAHVQVVDHSGRTVFDGEMREGQVLTVPQNFAVVKRSDQQSFEWVSFKTNDNAMISPLAGRTSALRAMPAEVLANAFRISVEDAKRIKFARQETTLTSHRSTRSGSWAEA >Potri.001G456000.1.v4.1 pep chromosome:Pop_tri_v4:1:48234095:48237912:1 gene:Potri.001G456000.v4.1 transcript:Potri.001G456000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456000.v4.1 MASHQISETCAEHKISVADEDAQKVQRLRRAQWLRAAILGANDGLLSTTSLMLGVGAAKEDSRSMVLSGLAGALAGACSMAVGEFVSVSTQRDIERETVSDFSSKNDGKDSPGIRLDVTATLASMNGEAKLDDLPGNIQFAKLISEPTQRRSPSMIHEPKLPPGMSPGRSPAMKVIQEDAKKSAEIMLEDDREEVLTNPYKASIASGLSFLIGSCVPLLSAVLVAQNVVSIVMIPVVASVALAFFGGLGAYLGGSPVRISAVRILLGGWIAMAITYGLLKPFDKDRS >Potri.014G111000.1.v4.1 pep chromosome:Pop_tri_v4:14:7427835:7429719:-1 gene:Potri.014G111000.v4.1 transcript:Potri.014G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111000.v4.1 MASDESMALLSSSVQEDDPYDHDTDDGYQPPSLHNLSRLSMCTSSLYTNEDDDYQDCDGMKMFLSRLPAENFDADEELSDDHKEGNNKNTLDLSSDSDEEPGCYSLPATPPRRRNRGGLINQVQLIGAKDYASENEAQKGITRQKMRKNLRKRRVIRERWMDNNNMSFKKKEEEMTGVSNYGYCNSFSGESEGGGLVVITRPKGGRRSLCMDLDEVKACRDLGFELEHERMLEMPGRVSLSGSTLDTSSGGNSPIANWRISSPGDDPRDVKARLKVWAQAVAIASASRHGGV >Potri.008G195300.13.v4.1 pep chromosome:Pop_tri_v4:8:13787727:13796156:-1 gene:Potri.008G195300.v4.1 transcript:Potri.008G195300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195300.v4.1 MVCSIGNGRMAVMARLLVTGSLSQNIAEEVSQQKFVTRYICRELHESDEPNLLDEEDMHVFGLMPMTDPLDLVCCNACKKPVMASQYAAHAELCRLLNSAEEMTLELDGGTGCRKPPRKERKKLLTAYSNQATSVGERERSEFIVADDSVASESHLDGQPRVPSCFSLDKKRNSASVDVASMMDGEGVIPGNTDYSACVMPPPTKRYKFLSTEHRLLSDDPETASGLAKVTSTVDPFTYIPVPLATKVYYSQRNTHLRSAVAYLHHAASSEGLQNNMMSSGISQESIMQLQALSQRGSFDAQTDGLTKEKRDPSVHQPDQILSQSSETCVDKSGGCPPLTNFSNQCPVDNIQIPQTASIGMLRSKYLPKPYSFAGKPGQSLGTMQQPSGTVPVL >Potri.010G154866.1.v4.1 pep chromosome:Pop_tri_v4:10:22682744:22683927:-1 gene:Potri.010G154866.v4.1 transcript:Potri.010G154866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154866.v4.1 MFKNSLACKPTPKVCFLKLIGMYNIQSWEVISDELKKLIWEYLKDKRSRYSHEMPYPDPGMNDLKEILAERGDQALKSMGCLEEFRWAVVEIDFHGSLLLWHIATDICYHDDIRKKKVDAKNPPPYCKMSRSLSNYMLYLLIERPNMLPKGIGEARYKQTGIQLTEFSWCWRSEKITPTDWGSEEFMKEIKKNGSNVSMLHDACKLAKELQSLENNWTNEKKWRMISQIWVEMLTYAASNCGWKEHAQALTRGGELLTRVCLLMAHLGLSEQCLTSASASSWKAEEFSKDSKFASCASARKLMIHSFKSCCYKTICLKY >Potri.002G231567.1.v4.1 pep chromosome:Pop_tri_v4:2:22338760:22340666:1 gene:Potri.002G231567.v4.1 transcript:Potri.002G231567.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231567.v4.1 MTLSVYTYTESENSSGLLSSLLGAGCECDGYSLRIVGHSLGGAIAALLGLRLYKLYPTLHVYAYGPLTCVDLVIAEACSEFVTSIVHNNEFSTRLSVGSLLRLRAAAIVALAQDSKADKALICRLARQFLFVSMNQRGRIEVVDPSELHSAATTVEELDHKDYVGSKKADHSYSLWNELDRTNSGGDTDDDNFENPFYDKTAVMNSLDDPVSQFLETVPRAENESAGDDVEMFLPGLVIHMVPQQRHVSMPFWKGWSVQEKIFKDIVVSPNMFLDHLPWRCHNAMRKVLESQNDKGLLDVSQIV >Potri.013G047700.1.v4.1 pep chromosome:Pop_tri_v4:13:3401532:3403465:1 gene:Potri.013G047700.v4.1 transcript:Potri.013G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047700.v4.1 MGCSLFACFTACKHRKCRHLVNVTPSKPHDDGATEPSKVHEPKKQEGVPQVPIEPILESKENLEEQMSCNEKKKLTFNLNVETYEGLFTDEEVINNVVEYKEEERESENKEEEPSNVNKSVTNVVASNVGCYPPNNRYQNRVDEEDYEDLDLEASDLNDAVQVLIQEESSESLFSLSIDSRKQVYGAELGEKEVTSPMPKGESPPKEEPKLVGSNQNARVRSRLDDNVLNPVENLTQWKVAKARATSPWQHEDKENVNVEQDFDKNISPETIFKLYRKDSKENPKQKKLVDQEIAVDTSLSSWLATPPMSKGSPSSVGNSPSARSCSPRSHEDRPILGALTVEDLEQHSASNTPRRSRSLTPVETPLTGTVGSYWIHTGRVVESDSGSSSKGMLRTKKVEDEKMKWNSIPFEERLDRALEGAIAQV >Potri.004G217800.4.v4.1 pep chromosome:Pop_tri_v4:4:22363102:22370534:1 gene:Potri.004G217800.v4.1 transcript:Potri.004G217800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217800.v4.1 MDTGVESSPETGVVVGGIALDFPVNDTVSFSSPRRIPRKLQKRLLEAKTPTTGSVEEIEAKLRHAHLRRQEFYERLSSKARPKPRSPSQCSSHEEDLAQRLEAKLHAAEQKRLSILANAQMRLARLHELRQAAKTGVEKRFERERERLGTKVELRVQQAEANRMLMLKAYRQRRATLKERTSQSLLRRRARESKYKERVRAAINQKRAAAEMKRMGLLEAEKKRACARLLQVQRVARSVSHQREIERRRMREKLEDRLQRAKRQRAEFLRQRGLQHSSVRVNWNKMHQQADLLSRKLARCWRQFLRSRRTTIDLAKDYDALKINENCVKSMPFEQLARLIQLTGTLQTVEGLLDRLESRLRVSMAVAAMDHPSSLDNIDHLLKRVATPKKRTTPRSCTRSREAKKVGASGESARRAAKMSRYPVRIVLCAYMILGHPDAVFSGQGEREIALAKSAESFIREFELLIRIILDGPMHSSDEESESISRKRCTFRSQLAAFDKEWCSYLNCFVVWKVKDAQSLEEDLVRAASQLELSMIQKCKLTPGGSNDNLTHDMKAIQNQVAEDQKLLREKVQHLSGDAGIERMEIALSETRSKYFQAKENGSPVGSPIMHLPSPSMPIYAPSVANTANRNNVSDGIERPSHVDRSLFREDTSSAKEFGSSDGPSGSAVGKLLTENEMIVNEFLHANRHGFVDRFNISDKDESSIKAKVRETMEAAFWDSVMESMKQDEPKYDRVVQLVGEVRDGIQELAPENWKQEIVEAIDLDLLSQVLKSGNLDIGYCGKILEFAIVTLQKLSSPAQEDLMKALHQKLLKELTETCQTQDESKHPHIAAMIKGLRFVLEQIQALKQEISKVRIRMMEPLLTGPAGLDYLRKAFANHYGSDSDACISLPLTMQWLSSVKNSEDQEWEEHKNSLSSLKSNDSSSQVFVPLTTLRTGGSFLVKTNGSAMGSTSVPSETDNQQPEPECTGERIDLLVRLGLLKIVSGVSGLTKETLPETFMLNLSRLRSVQAEIQKMIVISTSILVYQQTLLTERAVNSNADMESILLERGNKLSEVLDRVDDVGIEEIVEVVSGFSQDDEEKHKPRKLVMARMLAKSLQAGDPVFEIVSRAVYLALRGIVLGGSGPRGRKLSQTALRSIGAVMLAERVVAAAEVLVVAATVSIGVHRPWYITLTDNM >Potri.004G217800.6.v4.1 pep chromosome:Pop_tri_v4:4:22365193:22370488:1 gene:Potri.004G217800.v4.1 transcript:Potri.004G217800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217800.v4.1 MRLARLHELRQAAKTGVEKRFERERERLGTKVELRVQQAEANRMLMLKAYRQRRATLKERTSQSLLRRRARESKYKERVRAAINQKRAAAEMKRMGLLEAEKKRACARLLQVQRVARSVSHQREIERRRMREKLEDRLQRAKRQRAEFLRQRGLQHSSVRVNWNKMHQQADLLSRKLARCWRQFLRSRRTTIDLAKDYDALKINENCVKSMPFEQLARLIQLTGTLQTVEGLLDRLESRLRVSMAVAAMDHPSSLDNIDHLLKRVATPKKRTTPRSCTRSREAKKVGASGESARRAAKMSRYPVRIVLCAYMILGHPDAVFSGQGEREIALAKSAESFIREFELLIRIILDGPMHSSDEESESISRKRCTFRSQLAAFDKEWCSYLNCFVVWKVKDAQSLEEDLVRAASQLELSMIQKCKLTPGGSNDNLTHDMKAIQNQVAEDQKLLREKVQHLSGDAGIERMEIALSETRSKYFQAKENGSPVGSPIMHLPSPSMPIYAPSVANTANRNNVSDGIERPSHVDRSLFREDTSSAKEFGSSDGPSGSAVGKLLTENEMIVNEFLHANRHGFVDRFNISDKDESSIKAKVRETMEAAFWDSVMESMKQDEPKYDRVVQLVGEVRDGIQELAPENWKQEIVEAIDLDLLSQVLKSGNLDIGYCGKILEFAIVTLQKLSSPAQEDLMKALHQKLLKELTETCQTQDESKHPHIAAMIKGLRFVLEQIQALKQEISKVRIRMMEPLLTGPAGLDYLRKAFANHYGSDSDACISLPLTMQWLSSVKNSEDQEWEEHKNSLSSLKSNDSSSQVFVPLTTLRTGGSFLVKTNGSAMGSTSVPSETDNQQPEPECTGERIDLLVRLGLLKIVSGVSGLTKETLPETFMLNLSRLRSVQAEIQKMIVISTSILVYQQTLLTERAVNSNADMESILLERGNKLSEVLDRVDDVGIEEIVEVVSGFSQDDEEKHKPRKLVMARMLAKSLQAGDPVFEIVSRAVYLALRGIVLGGSGPRGRKLSQTALRSIGAVMLAERVVAAAEVLVVAATVSIGVHRPWYITLTDNM >Potri.002G187600.1.v4.1 pep chromosome:Pop_tri_v4:2:14994027:14996690:1 gene:Potri.002G187600.v4.1 transcript:Potri.002G187600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187600.v4.1 MENELFLGNQKTHEPQPFITLTQSLSTPLLFHEIQDEPTTPSPPPGPSNTRFKKPGTLHRSKTAPAMVVMREFQPTKPQIPKPQSESNSIIRQAIFLLSLYLLLGVVIYSFNTDHFSGTETHPVVDALYFCIVTMCTIGYGDIAPLTPVTKVFACVFVLVGFGFIDILLSGLVNYVLDLQESMILTGIEMSKNRNREGFSAADYIIDVKKGRMRIRLKVGLALGVVILCIGIGTLVLYFLEDLDWIDSVYLAVMSVTTVGYGDRAFKSLPGRLFAAIWLLVSTLAVARAFLYLAEARIDKRHRRISNWVLHRDITVEDLLAADMNNNGFISKSEYVIYKLKEMGKIGEKDVLQICNQFSKLDPNNLGKITLPDLLGHRL >Potri.001G206100.1.v4.1 pep chromosome:Pop_tri_v4:1:21000684:21010748:1 gene:Potri.001G206100.v4.1 transcript:Potri.001G206100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206100.v4.1 MEGIYQKLRNLDAYPKINEDFYSRTLSGGLITLISSIIMLFLFFSEFSLYLHAVTETKLLVDTTRGQTLRINFDITFPAIRCSLLSVDAIDISGEQHHDIRHDITKKRINAHGDVIEVRQDGIGAPKIDKPLQKHGGRLEHNEEYCGSCFGAEMSDDHCCNSCDEVREAYRKKGWALTNMDLIDQCIREGFVQMIKDEEGEGCNINGSLEVNRVAGNFHFVPGKSFHQSNFQLLDLLDMQKESYNISHRINRLAFGDYFPGVVNPLDGIQLMHGTQNGVQQFFIKVVPTIYTDIRGRTVHSNQYSVTEHFTKSELMRLDSLPGVYFIYDFSPIKVTFKEEHTSFLHFMTSICAIIGGIFTIAGIVDSFIYHGRRAIKKKMEIGKFS >Potri.016G092100.3.v4.1 pep chromosome:Pop_tri_v4:16:7573062:7574445:1 gene:Potri.016G092100.v4.1 transcript:Potri.016G092100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092100.v4.1 MEKYFGNAYRGDPGVPHADPDRFVNIWIGSAAFSILTWSNPYLWTLTNQFNWHDKAFLFEQYHWKKAMKKKEPYKFKWNKMDREVRDSYYFNWPIYFP >Potri.008G099900.3.v4.1 pep chromosome:Pop_tri_v4:8:6230669:6233190:-1 gene:Potri.008G099900.v4.1 transcript:Potri.008G099900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099900.v4.1 MDMLPRRNLEEDNPVLLVPVAELLESRDKRQVTNIEQDWQISTTNQTCETCPRGCMARPQKTTLHALNRSSHSHDTCHVLSMQTPSQVSTLLNPHLPNSYLTITKKQRKQTISHTLTMPGLTIGDSVPNLEVETTHGVIKLHDYIDTWTILFSHPGDFTPVCTTELGKMAAHAPEFAKRGVKLLGLSCDDVSSHAEWVKDIEAYTPGCKVTYPIIADPKRELIKILNMVDPDEKDSSGHNVPSRALHIVGADKRIKLSFLYPASTGRNMDEVVRVLDSLERSSKNKIATPANWKPGEDVVISPSVSDEEAKKLFPQGFKTVGIPSNKGYLRFTNVDH >Potri.002G066000.5.v4.1 pep chromosome:Pop_tri_v4:2:4548368:4555051:-1 gene:Potri.002G066000.v4.1 transcript:Potri.002G066000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066000.v4.1 MLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQSRQCAVQARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPSEQKGRSSQQPAYGDVVGYPPQMPNAAAIAAAFGGGLPPGISGTNDRCTVLASNLNPDSIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEEEIGNLVEEHGTIVNTKLFEMNGKKQALVLFETEEEATEALVCKHATSLAGSIVRISFSQLQSIRENQ >Potri.002G066000.1.v4.1 pep chromosome:Pop_tri_v4:2:4548377:4555145:-1 gene:Potri.002G066000.v4.1 transcript:Potri.002G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066000.v4.1 MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAINALQLYTNIQPTIRGRNVYVQFSSHQELTTMDQNTQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQSRQCAVQARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPSEQKGRSSQQPAYGDVVGYPPQMPNAAAIAAAFGGGLPPGISGTNDRCTVLASNLNPDSIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEEEIGNLVEEHGTIVNTKLFEMNGKKQALVLFETEEEATEALVCKHATSLAGSIVRISFSQLQSIRENQ >Potri.002G066000.4.v4.1 pep chromosome:Pop_tri_v4:2:4548337:4555051:-1 gene:Potri.002G066000.v4.1 transcript:Potri.002G066000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066000.v4.1 MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAINALQLYTNIQPTIRGRNVYVQFSSHQELTTMDQNTQGRGDEPNRILLVTIHHMLYPITVEVLHQVFSPHGFVEKIVTFQKSAGFQALIQYQSRQCAVQARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPSEQKGRSSQPAYGDVVGYPPQMPNAAAIAAAFGGGLPPGISGTNDRCTVLASNLNPDSIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEEEIGNLVEEHGTIVNTKLFEMNGKKQALVLFETEEEATEALVCKHATSLAGSIVRISFSQLQSIRENQ >Potri.002G066000.6.v4.1 pep chromosome:Pop_tri_v4:2:4548415:4555051:-1 gene:Potri.002G066000.v4.1 transcript:Potri.002G066000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066000.v4.1 MFCIFLGFQALIQYQSRQCAVQARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDRSRDFTNPNLPSEQKGRSSQQPAYGDVVGYPPQMPNAAAIAAAFGGGLPPGISGTNDRCTVLASNLNPDSIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRLEVNFSKHPNITQGADTHEYMNSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQDITEEEIGNLVEEHGTIVNTKLFEMNGKKQALVLFETEEEATEALVCKHATSLAGSIVRISFSQLQSIRENQ >Potri.017G082000.1.v4.1 pep chromosome:Pop_tri_v4:17:9217239:9219918:1 gene:Potri.017G082000.v4.1 transcript:Potri.017G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082000.v4.1 MEEKNDVDKIDDVMLPGFRFHPTDEELVGFYLKRKIQQRSLPIELIKQVDIYKYDPWDLPKELATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTRCIGLKKSLVFYRGRAAKGMKTDWMMHEFRLPSLAEPPPPKKLLDKSLPPNDAWAICRIFKKTNSMAQRALSHSWVSPVLPETTASDFFAQGAHCTQFSSENMSCTTEIGSNFHLGSNNDFQQASPAGLSVLDIPSYRPINPIVHTSYIFPVSNGDLPNNFLFSPLENSGPIKSTDDAPSMLLNPALMGEAGKASESTEYEGSQQQFNGFSINLLQEMQGDIGTGDETGLRKNPSSIQDNNLFGTIRSIGFPFSLPSNLPDAWKSNLPWDSPSCPSEMSTTYSTNKCHT >Potri.017G082000.2.v4.1 pep chromosome:Pop_tri_v4:17:9217239:9219918:1 gene:Potri.017G082000.v4.1 transcript:Potri.017G082000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082000.v4.1 MEEKNDVDKIDDVMLPGFRFHPTDEELVGFYLKRKIQQRSLPIELIKQVDIYKYDPWDLPKLATTGEKEWYFYCPRDRKYRNSARPNRVTGAGFWKATGTDRPIYSSDGTRCIGLKKSLVFYRGRAAKGMKTDWMMHEFRLPSLAEPPPPKKLLDKSLPPNDAWAICRIFKKTNSMAQRALSHSWVSPVLPETTASDFFAQGAHCTQFSSENMSCTTEIGSNFHLGSNNDFQQASPAGLSVLDIPSYRPINPIVHTSYIFPVSNGDLPNNFLFSPLENSGPIKSTDDAPSMLLNPALMGEAGKASESTEYEGSQQQFNGFSINLLQEMQGDIGTGDETGLRKNPSSIQDNNLFGTIRSIGFPFSLPSNLPDAWKSNLPWDSPSCPSEMSTTYSTNKCHT >Potri.006G254100.1.v4.1 pep chromosome:Pop_tri_v4:6:25195955:25200315:1 gene:Potri.006G254100.v4.1 transcript:Potri.006G254100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254100.v4.1 MSKVFGFAGENDFCPGGPIYTNHKEQNLFLSIGRPVDVYFPSRKRSRISAPFVFTEERFEQKKQASIEFLPDECLFEIFRRLPGGDERGACACVSKRWLSLLSNICKDELCSQNESAKKNTQVKSEVEDEEIEGDGYLSRSLEGKKATDIRLAAIAVGTASRGGLGKLFIRGSNSSQGVTKVGLRAIARGCPSLKVLSLWNLPSVGDEGLSEIANGCHKLEKLDLSQCPAITDKGLLAIAKSCPNLTDLVIESCTNIGNEGLQAVGQHCTNLKSISIKNCPAIGDQGIAALVSSATNVLTKVKLQALNITDVSLAVVGHYGKAVTDLFLTSLSNVSERGFWVMGNGQGLQKLKSMTVASCVGLTDTGLEAVGKGCPNLKQFNLHKCSFLSDNGLVSFAKSAVSLESLLLEECHRITQFGFFGSLLNCGANLKAASLVNCFGIKDLKLDLPELSPCKSLRSLSIRNCPGFGDGSLALLGKLCPQLQNVELSGLQGVTDAGFLPVLENCEAGLVKVNLSGCVNLSDKVVSVMTEQHGWTLEVLNLDGCRRITDASLVAIAENCFLLSDLDVSKCATTDSGIAAMARSNQLNLQVLSMSGCSMISDKSLLALIKLGRTLLGLNLQHCNAISSSTVDVLVERLWRCDILS >Potri.006G254100.2.v4.1 pep chromosome:Pop_tri_v4:6:25195959:25200314:1 gene:Potri.006G254100.v4.1 transcript:Potri.006G254100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254100.v4.1 MSKVFGFAGENDFCPGGPIYTNHKEQNLFLSIGRPVDVYFPSRKRSRISAPFVFTEERFEQKKQASIEFLPDECLFEIFRRLPGGDERGACACVSKRWLSLLSNICKDELCSQNESAKKNTQVKSEVEDEEIEGDGYLSRSLEGKKATDIRLAAIAVGTASRGGLGKLFIRGSNSSQGVTKVGLRAIARGCPSLKVLSLWNLPSVGDEGLSEIANGCHKLEKLDLSQCPAITDKGLLAIAKSCPNLTDLVIESCTNIGNEGLQAVGQHCTNLKSISIKNCPAIGDQGIAALVSSATNVLTKVKLQALNITDVSLAVVGHYGKAVTDLFLTSLSNVSERGFWVMGNGQGLQKLKSMTVASCVGLTDTGLEAVGKGCPNLKQFNLHKCSFLSDNGLVSFAKSAVSLESLLLEECHRITQFGFFGSLLNCGANLKAASLVNCFGIKDLKLDLPELSPCKSLRSLSIRNCPGFGDGSLALLGKLCPQLQNVELSGLQGVTDAGFLPVLENCEAGLVKVNLSGCVNLSDKVVSVMTEQHGWTLEVLNLDGCRRITDASLVAIAENCFLLSDLDVSKCATTDSGIAAMARSNQLNLQVLSMSGCSMISDKSLLALIKLGRTLLGLNLQHCNAISSSTVDVLVERLWRCDILS >Potri.017G077100.1.v4.1 pep chromosome:Pop_tri_v4:17:8572022:8574039:-1 gene:Potri.017G077100.v4.1 transcript:Potri.017G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077100.v4.1 MLPNHFHGENPTSLARNNCNVCSESLRFTHSIAYFYLTFNSIRTICRAYMHNDIPMVAFIVFAYFSYFIVDHCLTVFNRLPSDEESPKKELLKVTIWSLTSAIMFGFAYQFSTFMGPTVVFLMYGIAIVSSAILFYAHFIYDAQRGYKAFCSVKSIKILQFPAPADRDVDPDIKTLEEV >Potri.002G183900.3.v4.1 pep chromosome:Pop_tri_v4:2:14577899:14585132:-1 gene:Potri.002G183900.v4.1 transcript:Potri.002G183900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183900.v4.1 MLSLPNFTGGTTALSSPRSLAPPRSRHSVFKKVTVPSRYPCCCSSLRLQELSIQEPLEESREIFRLKTQNDENDPVPRDQEEERGISKIQVSREKYIPVSKAELLDAVLLKLFDSQDDDANQFLLLSSCLDSILHAEHKSILEEMRIDYSLTHSIDDEATSDEKSGSSDGREEADRENPGSVSNKINGNGSVGKLEEETEVDRPLHFDYGLDLRNFLSSPVTNDKRCSNGECRLAVATRFQRSFMQLLNNAQFQELSAGDLMLTSALNSDYLLTLPVYVDWKKASESNAIIFRRGYATERQKGLLIVEKLDYVQSRLLQGIFFLISKPVGKVGIWIKEAIRNAFESHEVQDWIKKMTPWLEQLSLFQQSYFSNEQASDNPPEIDQLSDTELPIWLAAQRAVSRYEGFLSPVGPRGRLFRKLLTWIGFLPPLPEKPFELDSYSNASEPRLRPIFLSRISLSDIWRPATRKYCRNNIWKMLKTSFSILLSQSVLQEAAFQELILLYTTETSDSNPKDKADVPALQLKIYERIPIPDLPVVFPHKKLSFRIIDTVRLDAATILGLLAYFINYKFEILSSPSAIFLDVVAITALIIYVTRVALGYKQTWDRYQLLVNKTLYEKTLASGFGSVHFLLDASEQQQYKEAILAYAILLKETNGQVACHRSVGDECERFLYDVFKVKVEMPVEEAMNTLIRLGLVIETPIDGRGTRLQAVPCEKAHEILKERWNSLLC >Potri.002G213400.3.v4.1 pep chromosome:Pop_tri_v4:2:19684961:19695785:-1 gene:Potri.002G213400.v4.1 transcript:Potri.002G213400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G213400.v4.1 MLSATKNVGIIVYVGNCGLSCPGSCMQVAEEEPSMAEQVIDLISAVKELHGLSCQELNKLLRDSENFTIHFHSEKGSTIKIDVEKLAGFLPLHLIAVLMSSDRDESLLRYLLCGIRLLHSLCDLAPRNSKLEQVLLDDVKVSEQLLDLVFYLLIVLSGYRQENCISCSLLLVHSALVASSLHLLSGCISLQWQDLVQVLLAHPKVDIFMDAAFGAVHVAIRFLQVKLSDQYTGLHAKSPTAEQIVNYICQQCEASLQILQSLCQQKVFRERLLRNKELCGRGGVLFLARAILNLNVTPPFVDSFTVVAAISRLKAKVLSILLHLCEAESISYLDEVASSPGSLDLAKSVVLEILELLKAALSKDPNHLSPCSDRTFPMGLLRLNAMRLADIFSDDSNFRSFITTCFTKVMTAIFSLPHGDFLSIWCSSEFPPREEDATLEYDTFAAAGWFLDTFAAANLSNAINLEITLIPSNMPQAMYAHQRTSLFVKLIANLHCFVPNICEEQERNLFLHKFLECMRMDPSKSLPGFSFTSGAQRAVTVCRNLRSLLSHAESLIPNFLNEEDVQLLRVFFNQLQSLINPADFEENQVQEIKSERSISLDKFSRLSIDEHLQEAQSTRASSSPMARKEPSSLNNRTDIQKEEMSENSAIQEEEKHNFRNEHMNQANVMRGDKAKSGACASDVLREMDRDSHNVETSGSDTSSTRGKTFVGQVVNGDLLKSSAHIKGSGCQGVRNGEKAESLHFEEKQPRKRKRTIMNDYQIALMEKALLDEPEMQRNAAALQSWADKLSLNGSEVTSSQLKNWLNNRKARLARAGKDVRAPMEVDITFPENQVGQALQHESPESPGEDNITSSVRGLQNTSEIGVYEDPEAGIGLSDFVDIGAS >Potri.005G032800.1.v4.1 pep chromosome:Pop_tri_v4:5:2177631:2184171:1 gene:Potri.005G032800.v4.1 transcript:Potri.005G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032800.v4.1 MFQNIVNAIASCCAGDSKMKIKGTVVLMKKNVLEFNDFHASVLDRGHELLGQGVSLQVIGTAKSDPPENGLKEKLGKPANLENWITTIDPLIAGETEFKVTFDWDEEIGIPVALLIRNNHHSEFYLKTVTLEDVPGQGRVHFVCNSWIYPAKRYNYDRVFFTNKTHLPQDAPAPLRKYREEELVKLRGDGKGELKEWDRVYDYAYYNDLGDPDEGAKYVRPVLGGSSEYPYPRRGRTGRAATESDPNSESRLPILTSLNIYVPRDERFGHLRMADFLGYALKLVAQVVKPGLEGLFDSTPNEFDSFDDVFKLYEGGIELPHGPLVDNIREKIPLQMLKEIFRTDGENLFEFPMPQVIEGNKTGWRTDEEFGREMLAGLNPVVIRRLEEFPPKSKLDSKQYGDQNSKITEEDIKNNLEGLTTDEAIKKNRMFILDHHDALMPYLRKINTPSKNTYATRTLLFLKDDGTLKPLVIELSLPHEEGDEFGAISKIYTPAEHGVEGSIWQLAKAYVGVNDSGYHQLISHWLHTHAAIEPFVIATNRHLSVLHPIYELLKPHFRDTMNINALARQVLINAGGILELTVYPLKYALEMSASLYKSWDFTEQALPEDLKKRGVAVEDPSSPHGVRLLIEDYPYAVDGLEIWSAIKEWVRDYCSFYYKTDDRVQEDYEIQSWWKEVREEGHGDLKDAPWWPKMQTREELIDSCTIIIWVASALHAAINFGQYPFGGFLPNRPSMSRRLMPEEGSAEYEELKSNPEKAFLKTITSQYQTLLGISLIEILSRHTSDEVYLGQRDTLEWTTDSKPMEALDKFRKKLADIEKRIFDMNRDDKLKNRFGPVKMPYTLLVPTSKVGLTGRGIPNSVSI >Potri.010G176200.1.v4.1 pep chromosome:Pop_tri_v4:10:17631527:17632209:1 gene:Potri.010G176200.v4.1 transcript:Potri.010G176200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176200.v4.1 MSGAQGAQPPGSTTATTYESVPGGENKTRVKVDSKEDQGAIQVDKLQEKVPDAAGEGGPVFGAGKDENKKDLGVTGTGE >Potri.001G177366.1.v4.1 pep chromosome:Pop_tri_v4:1:15389154:15391769:-1 gene:Potri.001G177366.v4.1 transcript:Potri.001G177366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177366.v4.1 MDAPSQQEMSYYDHVKRRHEDKGCLYAFFFALCCCCCCSETCDGKTCELCCLSGCECCVHLCAVSLLCLDH >Potri.010G090800.1.v4.1 pep chromosome:Pop_tri_v4:10:11568778:11573005:-1 gene:Potri.010G090800.v4.1 transcript:Potri.010G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090800.v4.1 MPPPSLIILISLFSLLSLSSSQPPPLLRTLIDCGATVPSTINGLQWIPDTGYITSGTSKNLTIPVLHQTLSTVRSFPLQNNLHRKFCYVVSVFRGAKYMIRSTYFYGGINGNDPLPVFDQIVDGTLWSVVNTTGDYSDGMASYYEGVFLARGKTMSFCIAANSYTESDPFISALEFVILGNSLYNSTDFKQVGLSLVARHSFGHKEVIRYPDDQFDRVWEPFGEPVIPPSKNVSVSGIWNLPPSKIFETEFAMGRSSLQELRWPPVPLPSSMYYIALYFADDHNSSTGGSRMIDVGINGVPYYKNLSVTPAGAVVFATKWPLSGPTTVALSPATGSSVDPLINGGEVFEVIALGERTLTRDVIALEALKSSLQNAPLDWNGDPCMPLQYSWTGITCSEGPRIRVVTLNLTGMGLSGSLPPSIARLTALADIWLGNNTLSGSIPDLSSLKMLETLHLEDNRFTGEIPLSLGNIKGLRELFLQNNNLTGQIPNNLLKPGLNLRTSGNQFLAPPPS >Potri.006G121000.1.v4.1 pep chromosome:Pop_tri_v4:6:9570985:9580815:1 gene:Potri.006G121000.v4.1 transcript:Potri.006G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121000.v4.1 MEFSELREAIEKVGLVDAHAHNIVALDSSFSFINAFTEATGTAALSFAPHSLSFKRNVREIAELYGCENSLKGVEEYRRSSGLESSSLKCFEAARISAILIDDGLKLDEKLGIEWHRSLAPFVGRILRIETLAEEILDSEIPDGWTLDKFTEAFVRKLKSVADKIVGLKSIAAYRSGLEINTNVARKDAEKGLTEVLRDGNPTRIANKSFIDYIFTLSLEVSLSFDLPMQIHTGFGDKDLDLRLSNPLHLRMLLDDERFSKCRVVLLHASYPFSKEASYLASVYPQVYLDFGLAVPKLSVHGMISSVNELLELAPIKKVMFSTDGYAFPETYYLGAKKARECLFSVLRDACIDGDLTLAEAIEAAKDIFALNAIKFYKINVDANAFSSKDTVSVNPVKIESRALENSSSLVRVMWVDTSGQHRCRAVPVKRFSDIVRKNGVGLTHASMGMSSAADSPSDETGLTGVGEIRLIPDVTTRKKIPWMERQEMVLADMHLRPGEPWEYCPREALRRVLKVLKDEFDLVMDAGFENEFVLLKSVSWEGKEEWVPIDSAPYCSTASFDLVSPILDEIVGALHSLDITVEQLHAESGKGQFELAMGHTTCPLSADNLIYTREVIRAIARKHGLLATFVPKLALDDIGSGSHVHISLLRNGENVFMASGGSSKHGISSIGEEFMAGVLHHLPSILAFTAPIPNSYDRIQPNTWSGAYQCWGKENREAPLRTACPPGIIDGLVSNFEIKSFDACANPYLGLAAIGAAGIDGLRKHLRLPEPIDKNPSLCANLPRLPQSLPESLEALKKDSVLEDLIGEKLLVAIKGVRKAEIDYYSQNKEAYKQLIHRY >Potri.015G007600.1.v4.1 pep chromosome:Pop_tri_v4:15:453323:455650:-1 gene:Potri.015G007600.v4.1 transcript:Potri.015G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007600.v4.1 MASSKVYLFDEIAKHNKTEDCWLIISGKVYDVTSFMDDHPGGGEVLLSSTGKDATNDFEDVGHSDDARGMMGKYVIGEVDVTTVPTKRLYVAPGLGGTSSKDDKSEFLIKILQLLVPLLILGLALAARTYTKKE >Potri.002G065400.1.v4.1 pep chromosome:Pop_tri_v4:2:4488267:4490163:-1 gene:Potri.002G065400.v4.1 transcript:Potri.002G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G065400.v4.1 MDSSLQAVLAAAASFFLITLIFAFIVIVCKSTSNQDYRRRRQRHHHQSRTVPNPELSMTIDESATFDPEMNRISMEELKVSTKNFSADLIIGDGSFGLVYKATLFTGSTVAIKKLDPDAFQGFREFRAEMETLGKLRHRNIVKILGYCVSGLERVLILEFVERGSLDQWIHDTSSTNNEQFVKIPLPWETRIKVIRGVANGLAYLHGLETPIIHRDIKASNVLLDSEFEAHIADFGLARRIDASHSHVSTQVAGTMGYMPPEYREGAGATVKLDVYSFGILMFEVATAERPNLPKVVEEKEVWLIEWVRKMLGQNRHMEMLDSNTPKEGLSEDEVKEYFRIASLCTGECIDRPAMSEVVGLLKKLST >Potri.010G081300.12.v4.1 pep chromosome:Pop_tri_v4:10:10877623:10885861:1 gene:Potri.010G081300.v4.1 transcript:Potri.010G081300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081300.v4.1 MPIRQMKESSEQHLVIKTHMQNSINQPQKHHKTAQNGKGPPQPLQESSNTKPQNQTSPPAKNRGRRRGRGGRKSDQGDVCMRPCSRPCTVVHKPVVNPIGDLLSTTSSGPIETGKNVCEMEMGPGFPTSSKSLSFARRPGYGQVGSKCIVKANHFFAELPDKDLNQYDVTITPEVASRTVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGKLPFAWKEFAIKLVDAQDGISGPKREREYKVVIKFVARANMYHLSQFLAGKHADAPQEALQILDIVLRELSTKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQNNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRTSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMHETGSAGSGAGHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.010G081300.16.v4.1 pep chromosome:Pop_tri_v4:10:10876487:10885540:1 gene:Potri.010G081300.v4.1 transcript:Potri.010G081300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081300.v4.1 MPIRQMKESSEQHLVIKTHMQNSINQPQKHHKTAQNGKGPPQPLQESSNTKPQNQTSPPAKNRGRRRGRGGRKSDQGDVCMRPCSRPCTVVHKPVVNPIGDLLSTTSSGPIETGKNVCEMEMGPGFPTSSKSLSFARRPGYGQVGSKCIVKANHFFAELPDKDLNQYDVTITPEVASRTVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGKLPFAWKEFAIKLVDAQDGISGPKREREYKVVIKFVARANMYHLSQFLAGKHADAPQEALQILDIVLRELSTKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQNNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRTSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMHETGSAGSGAGHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.010G081300.15.v4.1 pep chromosome:Pop_tri_v4:10:10876352:10885575:1 gene:Potri.010G081300.v4.1 transcript:Potri.010G081300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081300.v4.1 MPIRQMKESSEQHLVIKTHMQNSINQPQKHHKTAQNGKGPPQPLQESSNTKPQNQTSPPAKNRGRRRGRGGRKSDQGDVCMRPCSRPCTVVHKPVVNPIGDLLSTTSSGPIETGKNVCEMEMGPGFPTSSKSLSFARRPGYGQVGSKCIVKANHFFAELPDKDLNQYDVTITPEVASRTVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGKLPFAWKEFAIKLVDAQDGISGPKREREYKVVIKFVARANMYHLSQFLAGKHADAPQEALQILDIVLRELSTKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQNNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRTSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMHETGSAGSGAGHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.010G081300.14.v4.1 pep chromosome:Pop_tri_v4:10:10876585:10885708:1 gene:Potri.010G081300.v4.1 transcript:Potri.010G081300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081300.v4.1 MPIRQMKESSEQHLVIKTHMQNSINQPQKHHKTAQNGKGPPQPLQESSNTKPQNQTSPPAKNRGRRRGRGGRKSDQGDVCMRPCSRPCTVVHKPVVNPIGDLLSTTSSGPIETGKNVCEMEMGPGFPTSSKSLSFARRPGYGQVGSKCIVKANHFFAELPDKDLNQYDVTITPEVASRTVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGKLPFAWKEFAIKLVDAQDGISGPKREREYKVVIKFVARANMYHLSQFLAGKHADAPQEALQILDIVLRELSTKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQNNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRTSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMHETGSAGSGAGHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.010G081300.13.v4.1 pep chromosome:Pop_tri_v4:10:10876487:10885764:1 gene:Potri.010G081300.v4.1 transcript:Potri.010G081300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081300.v4.1 MPIRQMKESSEQHLVIKTHMQNSINQPQKHHKTAQNGKGPPQPLQESSNTKPQNQTSPPAKNRGRRRGRGGRKSDQGDVCMRPCSRPCTVVHKPVVNPIGDLLSTTSSGPIETGKNVCEMEMGPGFPTSSKSLSFARRPGYGQVGSKCIVKANHFFAELPDKDLNQYDVTITPEVASRTVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGKLPFAWKEFAIKLVDAQDGISGPKREREYKVVIKFVARANMYHLSQFLAGKHADAPQEALQILDIVLRELSTKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQNNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRTSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMHETGSAGSGAGHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.010G081300.11.v4.1 pep chromosome:Pop_tri_v4:10:10875630:10885776:1 gene:Potri.010G081300.v4.1 transcript:Potri.010G081300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081300.v4.1 MPIRQMKESSEQHLVIKTHMQNSINQPQKHHKTAQNGKGPPQPLQESSNTKPQNQTSPPAKNRGRRRGRGGRKSDQGDVCMRPCSRPCTVVHKPVVNPIGDLLSTTSSGPIETGKNVCEMEMGPGFPTSSKSLSFARRPGYGQVGSKCIVKANHFFAELPDKDLNQYDVTITPEVASRTVNRAIMAELVRLYKESDLGMRLPAYDGRKSLYTAGKLPFAWKEFAIKLVDAQDGISGPKREREYKVVIKFVARANMYHLSQFLAGKHADAPQEALQILDIVLRELSTKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDVLSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHAHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLKVTCQRPRDRENDILQTVQNNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANVSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRTSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMHETGSAGSGAGHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.013G107300.2.v4.1 pep chromosome:Pop_tri_v4:13:11617853:11618751:-1 gene:Potri.013G107300.v4.1 transcript:Potri.013G107300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107300.v4.1 MPSMYKNPHSHYTPSPLPSSKAPPPPPPPPPIYRHDFRLHHHYHNQSPTMCSPPYRVMLATSTWLRTRRSRCVFLLLFSPILLPFLCVTLPLLCASELCIRLCRRGRGKNKEDGGDRLRRCEEGFCDCDCEEEEGKEVGLLQRYLEDQLRLVGSVYECGDEFDDHDDDQDGQNDHEYNLNTPLLG >Potri.001G002000.7.v4.1 pep chromosome:Pop_tri_v4:1:133590:137181:-1 gene:Potri.001G002000.v4.1 transcript:Potri.001G002000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002000.v4.1 MDPTVCYLPNGYPSYYYGGYNGTGEWDDYSKYLNTEGVDMTSGNGSAMYPHGYWYGPYGPYSPAASPVPTMGNDGQLYGPQHYQYPTPYFQPLTPTSEPFIPSHVAPSQGDLSITTAADQKSLPVETGKENSNGIANGGDVKGANGAVPYKPKYQNSYGRGSYTKGIPASGYKDLRSCFDRLQPDIPLLDSSVLSDGLYRNTDISSSFSKASNAPSSRNQNFHQNSHFMGWQHPGLASGMGSTHGYMNRMYSNKLYGQYGNGFKSGMGFGSGGYNAGINGQGWLPIDSKYKPKGQGNGYFGFRNENIDGLNELNRGPRAKGYFKNQKGFVPSTVAVKGQSVPSSDANAEEKDKTTEVPDREQYNKADFPVEYVDAKFFIIKSYSEDDVHKCIKYNVWASTPNGNKKLDAAYQEAGQKSGGCPVFLLFSVNTSGQFVGLAEMTGRVDFDKSVEYWQQDKWTGYFPVKWHFVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLEMIKIFKEHSSKTCILDDFGFYEDREKMIQEKKAKQQQLKKQVWDGKPSDEKKELVNGS >Potri.001G002000.8.v4.1 pep chromosome:Pop_tri_v4:1:133158:136449:-1 gene:Potri.001G002000.v4.1 transcript:Potri.001G002000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002000.v4.1 MTSGNGSAMYPHGYWYGPYGPYSPAASPVPTMGNDGQLYGPQHYQYPTPYFQPLTPTSEPFIPSHVAPSQGDLSITTAADQKSLPVETGKENSNGIANGGDVKGANGAVPYKPKYQNSYGRGSYTKGIPASGYKDLRSCFDRLQPDIPLLDSSVLSDGLYRNTDISSSFSKASNAPSSRNQNFHQNSHFMGWQHPGLASGMGSTHGYMNRMYSNKLYGQYGNGFKSGMGFGSGGYNAGINGQGWLPIDSKYKPKGQGNGYFGFRNENIDGLNELNRGPRAKGYFKNQKGFVPSTVAVKGQSVPSSDANAEEKDKTTEVPDREQYNKADFPVEYVDAKFFIIKSYSEDDVHKCIKYNVWASTPNGNKKLDAAYQEAGQKSGGCPVFLLFSVNTSGQFVGLAEMTGRVDFDKSVEYWQQDKWTGYFPVKWHFVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLEMIKIFKEHSSKTCILDDFGFYEDREKMIQEKKAKQQQLKKQVWDGKPSDEKKELVNGS >Potri.001G002000.6.v4.1 pep chromosome:Pop_tri_v4:1:133589:137372:-1 gene:Potri.001G002000.v4.1 transcript:Potri.001G002000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002000.v4.1 MATLSSSAEQTSDLLQKLSIDSQTKTLDMPEPTNKIQPSDRSVTPVLSNFMDPTVCYLPNGYPSYYYGGYNGTGEWDDYSKYLNTEGVDMTSGNGSAMYPHGYWYGPYGPYSPAASPVPTMGNDGQLYGPQHYQYPTPYFQPLTPTSKENSNGIANGGDVKGANGAVPYKPKYQNSYGRGSYTKGIPASGYKDLRSCFDRLQPDIPLLDSSVLSDGLYRNTDISSSFSKASNAPSSRNQNFHQNSHFMGWQHPGLASGMGSTHGYMNRMYSNKLYGQYGNGFKSGMGFGSGGYNAGINGQGWLPIDSKYKPKGQGNGYFGFRNENIDGLNELNRGPRAKGYFKNQKGFVPSTVAVKGQSVPSSDANAEEKDKTTEVPDREQYNKADFPVEYVDAKFFIIKSYSEDDVHKCIKYNVWASTPNGNKKLDAAYQEAGQKSGGCPVFLLFSVNTSGQFVGLAEMTGRVDFDKSVEYWQQDKWTGYFPVKWHFVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLEMIKIFKEHSSKTCILDDFGFYEDREKMIQEKKAKQQQLKKQVWDGKPSDEKKELVNGS >Potri.001G002000.5.v4.1 pep chromosome:Pop_tri_v4:1:133590:137390:-1 gene:Potri.001G002000.v4.1 transcript:Potri.001G002000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002000.v4.1 MPEPTNKIQPSDRSVTPVLSNFMDPTVCYLPNGYPSYYYGGYNGTGEWDDYSKYLNTEGVDMTSGNGSAMYPHGYWYGPYGPYSPAASPVPTMGNDGQLYGPQHYQYPTPYFQPLTPTSEPFIPSHVAPSQGDLSITTAADQKSLPVETGKENSNGIANGGDVKGANGAVPYKPKYQNSYGRGSYTKGIPASGYKDLRSCFDRLQPDIPLLDSSVLSDGLYRNTDISSSFSKASNAPSSRNQNFHQNSHFMGWQHPGLASGMGSTHGYMNRMYSNKLYGQYGNGFKSGMGFGSGGYNAGINGQGWLPIDSKYKPKGQGNGYFGFRNENIDGLNELNRGPRAKGYFKNQKGFVPSTVAVKGQSVPSSDANAEEKDKTTEVPDREQYNKADFPVEYVDAKFFIIKSYSEDDVHKCIKYNVWASTPNGNKKLDAAYQEAGQKSGGCPVFLLFSVNTSGQFVGLAEMTGRVDFDKSVEYWQQDKWTGYFPVKWHFVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLEMIKIFKEHSSKTCILDDFGFYEDREKMIQEKKAKQQQLKKQVWDGKPSDEKKELVNGS >Potri.001G002000.1.v4.1 pep chromosome:Pop_tri_v4:1:133591:138366:-1 gene:Potri.001G002000.v4.1 transcript:Potri.001G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002000.v4.1 MATLSSSAEQTSDLLQKLSIDSQTKTLDMPEPTNKIQPSDRSVTPVLSNFMDPTVCYLPNGYPSYYYGGYNGTGEWDDYSKYLNTEGVDMTSGNGSAMYPHGYWYGPYGPYSPAASPVPTMGNDGQLYGPQHYQYPTPYFQPLTPTSEPFIPSHVAPSQGDLSITTAADQKSLPVETGKENSNGIANGGDVKGANGAVPYKPKYQNSYGRGSYTKGIPASGYKDLRSCFDRLQPDIPLLDSSVLSDGLYRNTDISSSFSKASNAPSSRNQNFHQNSHFMGWQHPGLASGMGSTHGYMNRMYSNKLYGQYGNGFKSGMGFGSGGYNAGINGQGWLPIDSKYKPKGQGNGYFGFRNENIDGLNELNRGPRAKGYFKNQKGFVPSTVAVKGQSVPSSDANAEEKDKTTEVPDREQYNKADFPVEYVDAKFFIIKSYSEDDVHKCIKYNVWASTPNGNKKLDAAYQEAGQKSGGCPVFLLFSVNTSGQFVGLAEMTGRVDFDKSVEYWQQDKWTGYFPVKWHFVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLEMIKIFKEHSSKTCILDDFGFYEDREKMIQEKKAKQQQLKKQVWDGKPSDEKKELVNGS >Potri.001G002000.4.v4.1 pep chromosome:Pop_tri_v4:1:133588:137370:-1 gene:Potri.001G002000.v4.1 transcript:Potri.001G002000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002000.v4.1 MATLSSSAEQTSDLLQKLSIDSQTKTLDMPEPTNKIQPSDRSVTPVLSNFMDPTVCYLPNGYPSYYYGGYNGTGEWDDYSKYLNTEGVDMTSGNGSAMYPHGYWYGPYGPYSPAASPVPTMGNDGQLYGPQHYQYPTPYFQPLTPTSEPFIPSHVAPSQGDLSITTAADQKSLPVETGKENSNGIANGGDVKGANGAVPYKPKYQNSYGRGSYTKGIPASGYKDLRSCFDRLQPDIPLLDSSVLSDGLYRNTDISSSFSKASNAPSSRNQNFHQNSHFMGWQHPGLASGMGSTHGYMNRMYSNKLYGQYGNGFKSGMGFGSGGYNAGINGQGWLPIDSKYKPKGQGNGYFGFRNENIDGLNELNRGPRAKGYFKNQKGFVPSTVAVKGQSVPSSDANAEEKDKTTEVPDREQYNKADFPVEYVDAKFFIIKSYSEDDVHKCIKYNVWASTPNGNKKLDAAYQEAGQKSGGCPVFLLFSVNTSGQFVGLAEMTGRVDFDKSVEYWQQDKWTGYFPVKWHFVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLEMIKIFKEHSSKTCILDDFGFYEDREKMIQEKKAKQQQLKKQCRYGMESQVMKRKSW >Potri.001G002000.3.v4.1 pep chromosome:Pop_tri_v4:1:133591:137483:-1 gene:Potri.001G002000.v4.1 transcript:Potri.001G002000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002000.v4.1 MATLSSSAEQTSDLLQKLSIDSQTKTLDMPEPTNKPSDRSVTPVLSNFMDPTVCYLPNGYPSYYYGGYNGTGEWDDYSKYLNTEGVDMTSGNGSAMYPHGYWYGPYGPYSPAASPVPTMGNDGQLYGPQHYQYPTPYFQPLTPTSEPFIPSHVAPSQGDLSITTAADQKSLPVETGKENSNGIANGGDVKGANGAVPYKPKYQNSYGRGSYTKGIPASGYKDLRSCFDRLQPDIPLLDSSVLSDGLYRNTDISSSFSKASNAPSSRNQNFHQNSHFMGWQHPGLASGMGSTHGYMNRMYSNKLYGQYGNGFKSGMGFGSGGYNAGINGQGWLPIDSKYKPKGQGNGYFGFRNENIDGLNELNRGPRAKGYFKNQKGFVPSTVAVKGQSVPSSDANAEEKDKTTEVPDREQYNKADFPVEYVDAKFFIIKSYSEDDVHKCIKYNVWASTPNGNKKLDAAYQEAGQKSGGCPVFLLFSVNTSGQFVGLAEMTGRVDFDKSVEYWQQDKWTGYFPVKWHFVKDVPNSLLKHITLENNENKPVTNSRDTQEVKLEQGLEMIKIFKEHSSKTCILDDFGFYEDREKMIQEKKAKQQQLKKQVWDGKPSDEKKELVNGS >Potri.015G003900.1.v4.1 pep chromosome:Pop_tri_v4:15:247156:249705:-1 gene:Potri.015G003900.v4.1 transcript:Potri.015G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003900.v4.1 MGEEAKQEQAKAEAKPEEKKEENVEEKKEEKPAEEAKKEEPKPPSPFVLFVDLHCVGCAKKIERSIMKIRGVEGVVMDMAQNQVTIKGIVETQAVCNKIMKKTRRRAKILSPLPENEGEPMPQVVASQVSGLTTVELDINMHCDACAEQLKKMILKMRGVQTAVTDLSTSKVTVTGTMEANKLVDYVYRRTKKQAKIVPQPEPEPEPAKVEEKPAEEAKPAEEKKEEQKEEKKEEEKPAEEDKKEGGGDSPEKNEKKEDEGHKEVVENIDIMNNIDEENMKRMMHYYDQPLYVIERIPPPQLFSDENPNACCIS >Potri.005G105900.3.v4.1 pep chromosome:Pop_tri_v4:5:7620616:7623985:1 gene:Potri.005G105900.v4.1 transcript:Potri.005G105900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105900.v4.1 MLGAQFPARTFSGFKTLKTTDQGNYPTCRLISPQKNPPPSPSCSFQFSVKRIRCRFQCLRSISVSCKASSDSSGSTNAHNNNNGLYYLPASLLVSETISHYRMRKQGFQEEVRWHSSGKLVFPFGLQERKEARPNTGLLGHGFLRGFQSPTIFLKVSCDGDFVLPIIVGEFAIEKLIDGIQGDDNAVCADQFQLVGNVAEELGYDVKMVRITERVANTYFARLCFSKPGEKDILSVDARPSDAINVASRCKAPIYISKQIVLTDAIRIGYGVGRVRNSKPIYDVSLDSAADGPDSLVEELDLVRNMNLAVKEERYTDAAMWRDKLMELRKSRQEH >Potri.014G167800.1.v4.1 pep chromosome:Pop_tri_v4:14:12227467:12228842:1 gene:Potri.014G167800.v4.1 transcript:Potri.014G167800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167800.v4.1 MADSDNESGGHNAVSELSAKEQDRFLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKIYLQKYREMEGEKSSMGRPAGEKDGPGGGSGGSGAAGGGGGANSTGGGGGGFNGGGGQGMYGGMMMMGHHHQGHVYGAGGFHHQGNSR >Potri.003G060600.2.v4.1 pep chromosome:Pop_tri_v4:3:8735531:8738831:-1 gene:Potri.003G060600.v4.1 transcript:Potri.003G060600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060600.v4.1 MARLLSNTILKGISRASQSPRIVPASFNHVKLRFSTTIPNDPDSHADFQPNNKAVNESGSCSGINIKELVDKDVKEHPIVIYMKGYPDLPQCGFSALAVRVLKQYNVPITARNILEYPDLRTGVKAYSNWPTFPQIFIKGEFIGGSDIIMNMHQTGELKEKLQDISGKEESE >Potri.013G054900.1.v4.1 pep chromosome:Pop_tri_v4:13:3996004:3997259:-1 gene:Potri.013G054900.v4.1 transcript:Potri.013G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054900.v4.1 MARSNVKPSYEDFEPYCKWRIEEGKDTIEVHLHGFRKEQVRVQLSSIGNMTITGERRVDESRWTRFRKEIKVPKECNNNEVRANLSTGILYIVMPKKITLPSSQDQVNQENGQSSPKINQDTVAKDTATENLDGSAENNKMTTENATMLTTRPLTSFIMQLRDSFLRLQMGKKMGMNVTVAVALMIALVVFVIYKHRHVEN >Potri.016G074900.12.v4.1 pep chromosome:Pop_tri_v4:16:5632962:5644359:-1 gene:Potri.016G074900.v4.1 transcript:Potri.016G074900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074900.v4.1 MEVKLQHHSFLNSSSSNPWLPQNSIVPSISCKRVAHLDYLLINWGNSRKRCLVKLALRGNGNQSLNYQLVRYKKFNLAYRKTRRMGHLFPLSSADDGVTVNGTPSASTSSDVEEMRLKLNQSLQGDDSSDKLVQSLHDAARVFEVAIKEQGLLSKFSWLSMAWLGVDRNAWLKTLCYQASVYSLLQAAHEISSQGDGKDRDVNIFVQRSFLQQSAPLESLIRDKLSTKQPEAYEWFWSKQVPMVVASFLNYLEEDPRFTSATAVFGKGLSSISGNGSDISLLLLALTCNAAITKLGTTKVSCPQFFSVISDITGRLMDMLVDFIPVRQAYHSIKHIGLRREFLFHFGPRFAACRVKNDRGSEEVIFWVNLVQKQLQQAIDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTRSFLSDHGFDVLDDPIEGFIGYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGNVGTTKLSLGHKNKQKGPPNAEAIPQVLDVCSHWMQSFIKYSKWLQNPSNVKAARFLSRGHAKLMECREELGMSWKMTESNINYSVEITRPEINLMTYKETDSFNKALESVEGALVRLEKLHQELPASSSNSGKEHIKAACSDLEKIRKLKKEAEFLEASFRTKAASLQQGEDESSLQSCISEQQQYLKGNGRKNADVRLDRSKSKFQGLWNFLVYSPTKKPGSDVAVVDASGDADIGQTTTSMGIGELESNEIRRFELLRNELMELEKRVQKSTDQYENEEDIKVTDDGANYHDEAASSQLIQVPRNENIIEKSIVKLKKTSTDVLQGTQLLAIDVAASMGLLKRLLIGDELTEKERKTLRRTMMDLASVIPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLDLLRQLEKVKEMETSELDTKENGEVLS >Potri.016G074900.13.v4.1 pep chromosome:Pop_tri_v4:16:5632728:5643684:-1 gene:Potri.016G074900.v4.1 transcript:Potri.016G074900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074900.v4.1 MGHLFPLSSADDGVTVNGTPSASTSSDVEEMRLKLNQSLQGDDSSDKLVQSLHDAARVFEVAIKEQGLLSKFSWLSMAWLGVDRNAWLKTLCYQASVYSLLQAAHEISSQGDGKDRDVNIFVQRSFLQQSAPLESLIRDKLSTKQPEAYEWFWSKQVPMVVASFLNYLEEDPRFTSATAVFGKGLSSISGNGSDISLLLLALTCNAAITKLGTTKVSCPQFFSVISDITGRLMDMLVDFIPVRQAYHSIKHIGLRREFLFHFGPRFAACRVKNDRGSEEVIFWVNLVQKQLQQAIDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTRSFLSDHGFDVLDDPIEGFIGYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGNVGTTKLSLGHKNKQKGPPNAEAIPQVLDVCSHWMQSFIKYSKWLQNPSNVKAARFLSRGHAKLMECREELGMSWKMTESNINYSVEITRPEINLMTYKETDSFNKALESVEGALVRLEKLHQELPASSSNSGKEHIKAACSDLEKIRKLKKEAEFLEASFRTKAASLQQGEDESSLQSCISEQQQYLKGNGRKNADVRLDRSKSKFQGLWNFLVYSPTKKPGSDVAVVDASGDADIGQTTTSMGIGELESNEIRRFELLRNELMELEKRVQKSTDQYENEEDIKVTDDGANYHDEAASSQLIQVPRNENIIEKSIVKLKKTSTDVLQGTQLLAIDVAASMGLLKRLLIGDELTEKERKTLRRTMMDLASVIPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLDLLRQLEKVKEMETSELDTKENGEVLS >Potri.016G074900.11.v4.1 pep chromosome:Pop_tri_v4:16:5632757:5644528:-1 gene:Potri.016G074900.v4.1 transcript:Potri.016G074900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074900.v4.1 MEVKLQHHSFLNSSSSNPWLPQNSIVPSISCKRVAHLDYLLINWGNSRKRCLVKLALRGNGNQSLNYQLVRYKKFNLAYRKTRRMGHLFPLSSADDGVTVNGTPSASTSSDVEEMRLKLNQSLQGDDSSDKLVQSLHDAARVFEVAIKEQGLLSKFSWLSMAWLGVDRNAWLKTLCYQASVYSLLQAAHEISSQGDGKDRDVNIFVQRSFLQQSAPLESLIRDKLSTKQPEAYEWFWSKQVPMVVASFLNYLEEDPRFTSATAVFGKGLSSISGNGSDISLLLLALTCNAAITKLGTTKVSCPQFFSVISDITGRLMDMLVDFIPVRQAYHSIKHIGLRREFLFHFGPRFAACRVKNDRGSEEVIFWVNLVQKQLQQAIDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTRSFLSDHGFDVLDDPIEGFIGYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGNVGTTKLSLGHKNKQKGPPNAEAIPQVLDVCSHWMQSFIKYSKWLQNPSNVKAARFLSRGHAKLMECREELGMSWKMTESNINYSVEITRPEINLMTYKETDSFNKALESVEGALVRLEKLHQELPASSSNSGKEHIKAACSDLEKIRKLKKEAEFLEASFRTKAASLQQGEDESSLQSCISEQQQYLKGNGRKNADVRLDRSKSKFQGLWNFLVYSPTKKPGSDVAVVDASGDADIGQTTTSMGIGELESNEIRRFELLRNELMELEKRVQKSTDQYENEEDIKVTDDGANYHDEAASSQLIQVPRNENIIEKSIVKLKKTSTDVLQGTQLLAIDVAASMGLLKRLLIGDELTEKERKTLRRTMMDLASVIPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLDLLRQLEKVKEMETSELDTKENGEVLS >Potri.016G074900.10.v4.1 pep chromosome:Pop_tri_v4:16:5632761:5644574:-1 gene:Potri.016G074900.v4.1 transcript:Potri.016G074900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074900.v4.1 MEVKLQHHSFLNSSSSNPWLPQNSIVPSISCKRVAHLDYLLINWGNSRKRCLVKLALRGNGNQSLNYQLVRYKKFNLAYRKTRRMGHLFPLSSADDGVTVNGTPSASTSSDVEEMRLKLNQSLQGDDSSDKLVQSLHDAARVFEVAIKEQGLLSKFSWLSMAWLGVDRNAWLKTLCYQASVYSLLQAAHEISSQGDGKDRDVNIFVQRSFLQQSAPLESLIRDKLSTKQPEAYEWFWSKQVPMVVASFLNYLEEDPRFTSATAVFGKGLSSISGNGSDISLLLLALTCNAAITKLGTTKVSCPQFFSVISDITGRLMDMLVDFIPVRQAYHSIKHIGLRREFLFHFGPRFAACRVKNDRGSEEVIFWVNLVQKQLQQAIDREKIWSRLTTSESIEVLEKDLAIFGFFIALGRSTRSFLSDHGFDVLDDPIEGFIGYLIGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGNVGTTKLSLGHKNKQKGPPNAEAIPQVLDVCSHWMQSFIKYSKWLQNPSNVKAARFLSRGHAKLMECREELGMSWKMTESNINYSVEITRPEINLMTYKETDSFNKALESVEGALVRLEKLHQELPASSSNSGKEHIKAACSDLEKIRKLKKEAEFLEASFRTKAASLQQGEDESSLQSCISEQQQYLKGNGRKNADVRLDRSKSKFQGLWNFLVYSPTKKPGSDVAVVDASGDADIGQTTTSMGIGELESNEIRRFELLRNELMELEKRVQKSTDQYENEEDIKVTDDGANYHDEAASSQLIQVPRNENIIEKSIVKLKKTSTDVLQGTQLLAIDVAASMGLLKRLLIGDELTEKERKTLRRTMMDLASVIPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGPERLDLLRQLEKVKEMETSELDTKENGEVLS >Potri.003G122500.1.v4.1 pep chromosome:Pop_tri_v4:3:14326793:14330041:1 gene:Potri.003G122500.v4.1 transcript:Potri.003G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122500.v4.1 MWALFFGALIIISITHWVHRWRNPRCNGTLPPGSMGLPLIGETLQFFAPNTSFDIPPFVKERMKRYGPIFRTNLVGRPVVVSTDPDLNYFIFQQEGQLFQSWYPDTFTEIFGRQNVGSLHGFMYKYLKNMVLNLFGPESLKKMLPEVEQTTSKRLQLWSHQESVELKETTATMIFDLTAKKLISYDQDNSSENLRDNFVAFIHGLISFPLDIPGTAYHECLRGRKKAMRMLKNQLQERRANPRKYRSDFFDYVLEELQEDRGILTEEIALDLMFVLLFASFETTSLALTLAVKFLSDNPLVLKKLTEEHEGILRNRVDSNSGLTWNEYKSMKFTFQVINETVRLANIVPGIFRKALRDIQFKGYTIPEGWAVMVCPPAVHLSPEKYEDPLAFNPWRWEGLELNGASKKFMAFGGGMRFCVGTEFTKVQMAVFLHCLVTKFRWQVIKGGNIVRTPGLQFPSGYHIQLMERDKKIQLTQSYAQ >Potri.005G009300.3.v4.1 pep chromosome:Pop_tri_v4:5:810324:815694:-1 gene:Potri.005G009300.v4.1 transcript:Potri.005G009300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009300.v4.1 MMKKMWAWMLLTLLTSVGQWYGHCHGCLEEERIGLLEIKALIDPNNVQWQLSDWMVNQEDIADCCGWDGIECDNTTRRVIQLSLGGARDQSLGDWVLNASLFLPFKELQSLDLKANELVGCFENQGFEVLSSKLTKLNVLDLSFNLFNDDSILSCLTGLLSLKSLDLSANRLKGSRGFNGFEVLSSRLKKLENLHLSGNQYNDSIFSSLTGFSSLKSLDLSENQLTGSTGANSLEILSSQLRKLENLDLSYNKLNDSILSNLCGFPSLKSLNLSGNILLRSTAINGLRKLEVLGLDKLTIIGSFLLQSLGALPSLKTLSLQETNLSRTSISQGTFFNSTILEELYLDHTALPINFLQNIGPLPALKVLSVGECDLHGTLLAQGCCELKNLEQLDLSGNNLEGSLPDCLKNLSSLKLLDVSGNQFTGNFTSGPLTNIISLEFLSLSNNHFEVPISMKPFMNHSSLKFFSSDNNILVTEPTAFHNLIPKFQLVYFSLSNSSTSEALNLEIFSFLYNQYNLRFLDLSHINFSGMFPSWLLKNNTRLEQLFLSENSFVGTLQLQDHPNPHMTILDISNNNMRGQFPKHICLMFPNLVTLRMAKNGITGCIPSCLGNISSLRDLDLSSNQLSTVKLEQFTTLTFLDLSKNNLGGQLPVSVVNSTTLNYLCLSGNKFWGQITDFPSLLKKKWAALGLSNNQFSGMLPRWFVNSTQLRTLDLSKNHFKGPIPIDFCKLDGLKYLDLSENKLFGSIPSCFNPPNITHVHLSKNRLSGPLTYGFHNSSSLVTLDLRDNNFTSTIPNWFGNLSTLNVLLLRANHFDGEFPVQLCFLEQLSILDVSQNQLSGPLPSCLGNLTFKESSKKALVYLGIVFSSRSIRKAYYETMGPPLVESIYNLDSSYLPITIEEVIEFATKSMYYSYKGKILSFMSGIDLSNNNFFGAIPPKLGNLSEVHSLNLSHNYLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTVLNTLEVFSVAHNNLSGETPERKYQFGTFDESSYEGNPFLCGPPLRNNCSEEESPLQPMLNDEQEDDGFIDMDFFYISFVVCYIIVMMTISAVLYINPYWRHRWFYFIEDCIDTCYYFVLSSFCKFSNFRR >Potri.005G009300.2.v4.1 pep chromosome:Pop_tri_v4:5:810319:815694:-1 gene:Potri.005G009300.v4.1 transcript:Potri.005G009300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009300.v4.1 MMKKMWAWMLLTLLTSVGQWYGHCHGCLEEERIGLLEIKALIDPNNVQWQLSDWMVNQEDIADCCGWDGIECDNTTRRVIQLSLGGARDQSLGDWVLNASLFLPFKELQSLDLKANELVGCFENQGFEVLSSKLTKLNVLDLSFNLFNDDSILSCLTGLLSLKSLDLSANRLKGSRGFNGFEVLSSRLKKLENLHLSGNQYNDSIFSSLTGFSSLKSLDLSENQLTGSTGANTFQFQPMWLRKLENLDLSFNKLNDNVLSILSGLSSLKSLDLSYNKLIGSSINGLEILSSQLRKLENLDLSYNKLNDSILSNLCGFPSLKSLNLSGNILLRSTAINGLRKLEVLGLDKLTIIGSFLLQSLGALPSLKTLSLQETNLSRTSISQGTFFNSTILEELYLDHTALPINFLQNIGPLPALKVLSVGECDLHGTLLAQGCCELKNLEQLDLSGNNLEGSLPDCLKNLSSLKLLDVSGNQFTGNFTSGPLTNIISLEFLSLSNNHFEVPISMKPFMNHSSLKFFSSDNNILVTEPTAFHNLIPKFQLVYFSLSNSSTSEALNLEIFSFLYNQYNLRFLDLSHINFSGMFPSWLLKNNTRLEQLFLSENSFVGTLQLQDHPNPHMTILDISNNNMRGQFPKHICLMFPNLVTLRMAKNGITGCIPSCLGNISSLRDLDLSSNQLSTVKLEQFTTLTFLDLSKNNLGGQLPVSVVNSTTLNYLCLSGNKFWGQITDFPSLLKKKWAALGLSNNQFSGMLPRWFVNSTQLRTLDLSKNHFKGPIPIDFCKLDGLKYLDLSENKLFGSIPSCFNPPNITHVHLSKNRLSGPLTYGFHNSSSLVTLDLRDNNFTSTIPNWFGNLSTLNVLLLRANHFDDRELGSFLQQLEWCHPSTTHCTQHSRSFQCGTQ >Potri.005G009300.1.v4.1 pep chromosome:Pop_tri_v4:5:810319:815694:-1 gene:Potri.005G009300.v4.1 transcript:Potri.005G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009300.v4.1 MMKKMWAWMLLTLLTSVGQWYGHCHGCLEEERIGLLEIKALIDPNNVQWQLSDWMVNQEDIADCCGWDGIECDNTTRRVIQLSLGGARDQSLGDWVLNASLFLPFKELQSLDLKANELVGCFENQGFEVLSSKLTKLNVLDLSFNLFNDDSILSCLTGLLSLKSLDLSANRLKGSRGFNGFEVLSSRLKKLENLHLSGNQYNDSIFSSLTGFSSLKSLDLSENQLTGSTGANTFQFQPMWLRKLENLDLSFNKLNDNVLSILSGLSSLKSLDLSYNKLIGSSINGLEILSSQLRKLENLDLSYNKLNDSILSNLCGFPSLKSLNLSGNILLRSTAINGLRKLEVLGLDKLTIIGSFLLQSLGALPSLKTLSLQETNLSRTSISQGTFFNSTILEELYLDHTALPINFLQNIGPLPALKVLSVGECDLHGTLLAQGCCELKNLEQLDLSGNNLEGSLPDCLKNLSSLKLLDVSGNQFTGNFTSGPLTNIISLEFLSLSNNHFEVPISMKPFMNHSSLKFFSSDNNILVTEPTAFHNLIPKFQLVYFSLSNSSTSEALNLEIFSFLYNQYNLRFLDLSHINFSGMFPSWLLKNNTRLEQLFLSENSFVGTLQLQDHPNPHMTILDISNNNMRGQFPKHICLMFPNLVTLRMAKNGITGCIPSCLGNISSLRDLDLSSNQLSTVKLEQFTTLTFLDLSKNNLGGQLPVSVVNSTTLNYLCLSGNKFWGQITDFPSLLKKKWAALGLSNNQFSGMLPRWFVNSTQLRTLDLSKNHFKGPIPIDFCKLDGLKYLDLSENKLFGSIPSCFNPPNITHVHLSKNRLSGPLTYGFHNSSSLVTLDLRDNNFTSTIPNWFGNLSTLNVLLLRANHFDGEFPVQLCFLEQLSILDVSQNQLSGPLPSCLGNLTFKESSKKALVYLGIVFSSRSIRKAYYETMGPPLVESIYNLDSSYLPITIEEVIEFATKSMYYSYKGKILSFMSGIDLSNNNFFGAIPPKLGNLSEVHSLNLSHNYLTGSIPATFSNLKQIESLDLSYNNLNGVIPPQLTVLNTLEVFSVAHNNLSGETPERKYQFGTFDESSYEGNPFLCGPPLRNNCSEEESPLQPMLNDEQEDDGFIDMDFFYISFVVCYIIVMMTISAVLYINPYWRHRWFYFIEDCIDTCYYFVLSSFCKFSNFRR >Potri.005G160300.1.v4.1 pep chromosome:Pop_tri_v4:5:15414197:15415654:-1 gene:Potri.005G160300.v4.1 transcript:Potri.005G160300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160300.v4.1 METKEETQGKTTMKKTLLIFNCLILSIGNCGGPLIMRLYFIHGGKRIWLSAWLETGGWPIILIPLAISYFHRRATDPTTKLFYMKPPLFIAAAIIGVLTGLDDYLYAYGVARLPVSTSALIIATQLAFTAGFAFLLVKQKFTSYSINAVVLLTVGAGVLAMHTGSDKPAHESSREYILGFILTLVAAALYGFIMPLVELTYKKSRQEMNYTLVMEIQMIMCLLATVFCTVGMLINKDFQAIPREARNYELGEVKYYVVMVWSAIIWQCFFLGAIGVIFCASSLLSGIIITVLLPATEILAVIFFQEKFQVEKGVALGLSLWGFVSYFYGEMKENKKKKPAAPETLMAPSNNPVGNV >Potri.008G216223.1.v4.1 pep chromosome:Pop_tri_v4:8:16562188:16564017:1 gene:Potri.008G216223.v4.1 transcript:Potri.008G216223.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216223.v4.1 MKFVPGINVPYNDFLIENSCNGLLCISQTFQDGSHDDIYLCNPILGEYISIPPAAGQETRHQSNFALGYCAIAKEYKVLHTFCSKTGSYYQPEAEIYTIGTGKWRSIQKALLNLRMFIVDSFVCGSIHWELRDEDDCVNSIGSFNFENEQFSELSLPPRYDEGDVTLTAFEGCLGVSFFHTYSDPQYEIWIMKEYGNKESWTKQFTVKNLGFAKLYDPLIFLNNGLILMMQYREFVVCYDTRRKFMEIIRIWQTQGNNYAIAYKPSFVSLKDVGKGEQLKMSRKQAGREDDESSSEGAYNCTSHDQLSESIPPQHSAEFLPVIASS >Potri.001G356500.2.v4.1 pep chromosome:Pop_tri_v4:1:37082246:37087796:1 gene:Potri.001G356500.v4.1 transcript:Potri.001G356500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356500.v4.1 MAVTDAQNPLVGEATCGTLLQKLQEIWDEVGESDEDRDKMLIQIEQECLDVYKKKVEQAAKSRVQLLEALSDAKIELARLLSALGEKSFAGIPEKTSGTIKEQLAAIAPALEQLWEQKAERVKEFSDVHSQIQKICGEIAGNLNINDAPAVDEADLSLKKLDEYHAQLQELQKEKSGRLHKVLEFVSSVHDLCAVLGMDFFSTVTDVHPSLIDSTGAQSKSISNDTLARLAKTVLALKEDKKQRLHKLQELTNQLIDLWNLMDTPMEERKLFDHVTCNMLASVDEVAVPGALALDLIEQAEVEVERLDQLKASRMKEIAFRKQAELEEIYVHAHIEIDPEAAREKIMTLIDSGNVEPTELLADMDNQISRAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEEDRGIQFAYDGVPLLAMLDEYAMLRQEREEEKRRMRDQKKFHEQQNTEQDAIFSARPSPARPVGTKKVVGPRANGSANGTPSRRLSLNSNQNGSSSATKGGRRDNRLSAPVNYVSISKDDAASHFSGTDTVSASP >Potri.001G356500.3.v4.1 pep chromosome:Pop_tri_v4:1:37083625:37087637:1 gene:Potri.001G356500.v4.1 transcript:Potri.001G356500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356500.v4.1 MAVTDAQNPLVGEATCGTLLQKLQEIWDEVGESDEDRDKMLIQIEQECLDVYKKKVEQAAKSRVQLLEALSDAKIELARLLSALGEKSFAGIPEKTSGTIKEQLAAIAPALEQLWEQKAERVKEFSDVHSQIQKICGEIAGNLNINDAPAVDEADLSLKKLDEYHAQLQELQKEKSGRLHKVLEFVSSVHDLCAVLGMDFFSTVTDVHPSLIDSTGAQSKSISNDTLARLAKTVLALKEDKKQRLHKLQELTNQLIDLWNLMDTPMEERKLFDHVTCNMLASVDEVAVPGALALDLIEQAEVEVERLDQLKASRMKEIAFRKQAELEEIYVHAHIEIDPEAAREKIMTLIDSGNVEPTELLADMDNQISRAKEEALSRKEILDKVEKWMSACEEESWLEDYNRDENRYNASRGAHLNLKRAEKARILVNKIPALVDTLVAKTRAWEEDRGIQFAYDGVPLLAMLDEYAMLRQEREEEKRRMRDQKKFHEQQNTEQDAIFSARPSPARPVGTKKVVGPRANGSANGTPSRRLSLNSNQNGSSSATKGGRRDNRLSAPVNYVSISKDDAASHFSGTDTVSASP >Potri.001G356500.4.v4.1 pep chromosome:Pop_tri_v4:1:37082434:37087544:1 gene:Potri.001G356500.v4.1 transcript:Potri.001G356500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356500.v4.1 MAVTDAQNPLVGEATCGTLLQKLQEIWDEVGESDEDRDKMLIQIEQECLDVYKKKVEQAAKSRVQLLEALSDAKIELARLLSALGEKSFAGIPEKTSGTIKEQLAAIAPALEQLWEQKAERVKEFSDVHSQIQKICGEIAGNLNINDAPAVDEADLSLKKLDEYHAQLQELQKEKSGRLHKVLEFVSSVHDLCAVLGMDFFSTVTDVHPSLIDSTGAQSKSISNDTLARLAKTVLALKEDKKQRLHKLQELTNQLIDLWNLMDTPMEERKLFDHVTCNMLASVDEVAVPGALALDLIEQAEVEVERLDQLKASRMKEIAFRKQAELEEIYVHAHIEIDPEAAREKIMTLIDSGNVEPTELLADMDNQISRAKEEALSRKEILDKVEKWMSACEEESWLEDYNRVF >Potri.015G078400.2.v4.1 pep chromosome:Pop_tri_v4:15:10470695:10471815:-1 gene:Potri.015G078400.v4.1 transcript:Potri.015G078400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078400.v4.1 MASTGPEHELREDEEVFVFVGFVEFEVKKMVENNFNLWHKNVFFYAAEEVRESFDVRMRNLPIIDPDLSPYSPVEKLGRGPCYDKDGVNKGP >Potri.007G112800.1.v4.1 pep chromosome:Pop_tri_v4:7:13371631:13375673:-1 gene:Potri.007G112800.v4.1 transcript:Potri.007G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112800.v4.1 MVKAEGSWLFPPLSTWQSPNFNRMTTSLDPAQLQCLPACMNPGTYMTSANMSMPGLAVPSIPNFETQQGNETYGLPPCLPPHFQNFLPGTNPYVKENLSVFSYGLGRGGVPNPIVGCQRRFFIFDQSGNEKRLMYSSFGLTVPKPTTADAKPIPGYFNYKEYAAKMDQMKLKLHEVSDENHFSGEETEMHEDTEEINALLDSDGDDYDEDDGGSDDDDSDDDEVRSTGHFPILIKSHGTQEQVEEITEEVTSSDGPNKRQKLIDGGYKKSSPVDTASSVKVERFLGYDNGYDSDMGSSYAIGQTQKEGMVSILGSKQFRKDKIHATLKILESIIPGAKNKEPLLVLDEAINYLKSLKLKAKTLGVNYH >Potri.005G183700.4.v4.1 pep chromosome:Pop_tri_v4:5:19012623:19016839:1 gene:Potri.005G183700.v4.1 transcript:Potri.005G183700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183700.v4.1 MGQMANGTHSTTKGHAGVLSNGALDESRHHSVPELCQHAFLSILLSEKFTSLCKLLFENFQGMKTGSILSLSFIDRRMKDGAYDHSPMLFCEDIEQFWRKLQGFGAELISLSKSLSDISKTCCNERVGGSVRCIFEDEKHGFCIKGSDSHGQPEQKDACCVYRVCSCRRCGEKADGRDCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCDNCAASGMGSIHENCVACERLNCPRTQINQAGDEIGLSTQEPFNDFEEASNFSTNNEVQLSSEGTENIRICKICGSPVSNGEKINICDHSECPGKYYHVRCLTNRQLILYGPRWYCPSCLCRGCLTDKDDDKIVLCDGCDHAYHLYCMIPPRISVPKGKWFCRRCDLKIQKLRRVRRAYEKSERYVKKKGEGVKKECENRKKLYKEGGEESDKGREGMDMLVTAALKCEVGCQSIEELKST >Potri.005G183700.1.v4.1 pep chromosome:Pop_tri_v4:5:19012318:19016843:1 gene:Potri.005G183700.v4.1 transcript:Potri.005G183700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183700.v4.1 MVGKEGTSNGEGAKERVQHLNMEAMDNGFGNDGGDASSGGSEGFRTYKRRRNMRSSSDSKGQEDGKCFMEAASRLSDQTIKDDDSRGHLCGNHASMNRLNDVSQRQWRKFVLDHMYQSLSNDENGIQGCLRGALMMAESGYCNADKSPLMGQMANGTHSTTKGHAGVLSNGALDESRHHSVPELCQHAFLSILLSEKFTSLCKLLFENFQGMKTGSILSLSFIDRRMKDGAYDHSPMLFCEDIEQFWRKLQGFGAELISLSKSLSDISKTCCNERVGGSVRCIFEDEKHGFCIKGSDSHGQPEQKDACCVYRVCSCRRCGEKADGRDCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCDNCAASGMGSIHENCVACERLNCPRTQINQAGDEIGLSTQEPFNDFEEASNFSTNNEVQLSSEGTENIRICKICGSPVSNGEKINICDHSECPGKYYHVRCLTNRQLILYGPRWYCPSCLCRGCLTDKDDDKIVLCDGCDHAYHLYCMIPPRISVPKGKWFCRRCDLKIQKLRRVRRAYEKSERYVKKKGEGVKKECENRKKLYKEGGEESDKGREGMDMLVTAALKCEVGCQSIEELKST >Potri.005G183700.3.v4.1 pep chromosome:Pop_tri_v4:5:19012743:19016842:1 gene:Potri.005G183700.v4.1 transcript:Potri.005G183700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183700.v4.1 MVGKEGTSNGEGAKERVQHLNMEAMDNGFGNDGGDASSGGSEGFRTYKRRRNMRSSSDSKGQEDGKCFMEAASRLSDQTIKDDDSRGHLCGNHASMNRLNDVSQRQWRKFVLDHMYQSLSNDENGIQGCLRGALMMAESGYCNADKSPLMGQMANGTHSTTKGHAGVLSNGALDESRHHSVPELCQHAFLSILLSEKFTSLCKLLFENFQGMKTGSILSLSFIDRRMKDGAYDHSPMLFCEDIEQFWRKLQGFGAELISLSKSLSDISKTCCNERVGGSVRCIFEDEKHGFCIKGSDSHGQPEQKDACCVYRVCSCRRCGEKADGRDCLVCDSCEEMYHVSCIEPAVKEIPPKSWYCDNCAASGMGSIHENCVACERLNCPRTQINQAGDEIGLSTQEPFNDFEEASNFSTNNEVQLSSEGTENIRICKICGSPVSNGEKINICDHSECPGKYYHVRCLTNRQLILYGPRWYCPSCLCRGCLTDKDDDKIVLCDGCDHAYHLYCMIPPRISVPKGKWFCRRCDLKIQKLRRVRRAYEKSERYVKKKGEGVKKECENRKKLYKEGGEESDKGREGMDMLVTAALKCEVGCQSIEELKST >Potri.002G149900.1.v4.1 pep chromosome:Pop_tri_v4:2:11304504:11309369:-1 gene:Potri.002G149900.v4.1 transcript:Potri.002G149900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149900.v4.1 MASPKLPSNSSSISISVADDDDSDELSRMRARVRRKRKKHHHRFKNNFSQRIIRFVLKYWTLLIFLPAAGLLIFEASKIGRKPSLDDAKVSEQVIEVRKPSFQADLNNNKSGGNLNRLDPVTHIVGGVRERCLKLLPYEELQHLDIPIHDNFSGPVKNVVYISEKDTRHIGGNITLSGQHTEGTRFNLFTGHQTFDQRERSFKVNETAELHCGFYNENGGFKISDEDRSYMQTCKVVVSTCAFGGGDDLHQPIGMSEATLEKVCYVAFWDEITLAAQESQGHRIGEDHFIGKWRVVVVRDLPFADQRLNGKIPKMLGHRLFPQAKYSIWVDSKSQFRRDPLGVLEALLWRSNSVLAISEHGARSSVYDEAKAVVKKHKATPEEVEVQITQYRHDGLPEDKRLYGKKALNEASIIVREHTPLTNLFMCLWFNEVVRFTSRDQMSFPYVLWRLKVLKDIHRFPVCIRKDLVNSMGHVRKAKPLIS >Potri.015G085700.4.v4.1 pep chromosome:Pop_tri_v4:15:11016498:11020057:-1 gene:Potri.015G085700.v4.1 transcript:Potri.015G085700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085700.v4.1 MHDLHMIHTDLKPENILLVSSDYVKVPDYKNSTRSPKDSSYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDVWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQNMLKRVDRHAEKFVRRGRLDWPEGAASRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYDPMDRLTAREALRHPFFAKDHRRI >Potri.015G085700.1.v4.1 pep chromosome:Pop_tri_v4:15:11016551:11020160:-1 gene:Potri.015G085700.v4.1 transcript:Potri.015G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085700.v4.1 MEMEHVTIFPITHLDRPRKRPRFGWDVPQAQVGNFCGQEVGNVASYASSGAISDHTSSLFVKGVAQNGSPPWREDDKDGHYMFALGDNLTSRYKIHNKMGEGTFGQVLECWDRERKEMVAIKIVRGIKKYKEAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDHVREIGRQLLECVAFMHDLHMIHTDLKPENILLVSSDYVKVPDYKNSTRSPKDSSYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDVWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQNMLKRVDRHAEKFVRRGRLDWPEGAASRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYDPMDRLTAREALRHPFFAKDHRRI >Potri.015G085700.2.v4.1 pep chromosome:Pop_tri_v4:15:11016551:11020057:-1 gene:Potri.015G085700.v4.1 transcript:Potri.015G085700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085700.v4.1 MGEGTFGQVLECWDRERKEMVAIKIVRGIKKYKEAAMIEIEVLQQLGKHDKGGNRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNNYRSFPIDHVREIGRQLLECVAFMHDLHMIHTDLKPENILLVSSDYVKVPDYKNSTRSPKDSSYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDVWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQNMLKRVDRHAEKFVRRGRLDWPEGAASRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYDPMDRLTAREALRHPFFAKDHRRI >Potri.015G085700.3.v4.1 pep chromosome:Pop_tri_v4:15:11016515:11020057:-1 gene:Potri.015G085700.v4.1 transcript:Potri.015G085700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085700.v4.1 MIKVATVMHDLHMIHTDLKPENILLVSSDYVKVPDYKNSTRSPKDSSYYKRVPKSSAIKVIDFGSTTYERQDQNYIVSTRHYRAPEVILGLGWSYPCDVWSVGCILVELCTGEALFQTHENLEHLAMMERVLGPMPQNMLKRVDRHAEKFVRRGRLDWPEGAASRESIKAVLKLPRLQNLVMQHVDHSAGDLIHLLQGLLRYDPMDRLTAREALRHPFFAKDHRRI >Potri.010G073100.1.v4.1 pep chromosome:Pop_tri_v4:10:10117863:10119716:-1 gene:Potri.010G073100.v4.1 transcript:Potri.010G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073100.v4.1 MAVTADSTTGVSATYDRYQEIRSFDESKCGVKGLVDAGITKVPRFFIRPPEDIAADDINTGEWINTQFTIPVIDLKNMDSRRAEAVAGVKRAAEEVGFFQVVNHGMENRVLEEMLEAVRGFHEQPREVKEEYYSREMTRKVKYVSNFDLYKSKYANWRDTLFCVMGPDPLDPQELPLVSRDIMMEYSNKVCKLATVLFELLSEALGLKSDHLKEMDCVKGHALLSHYYPACPEPELAMGTTKHSDPDFLTILLQDDIGGLQIFHQNHWIDVPPVHGALVVNIGDLLQLISNDKFKSVEHRVVANHIGPRVSVASFFTPHLYPSTRLYGPIKELLSEENPPIYCEITVKDFIAYYDSKGLDGNSALPHFKV >Potri.008G127100.1.v4.1 pep chromosome:Pop_tri_v4:8:8272212:8274742:1 gene:Potri.008G127100.v4.1 transcript:Potri.008G127100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127100.v4.1 MEKLFLILLLLSSCSLLVSSANVSAIFIFGDSIFDAGNNHFNKNCSAQADFPPYGSSFFHRPTGRFTNGRTVADFISQFLGIEFQKPFLEAQLAVVNGSREDYPSNGINFASAGSGVLQATNPDWGVISIQQQLRQFQALVQQNQIDKDLVQKSLFLVESGSNDIFNYFLPYDTPTLDPGAFVQAMLTEVGDLIDQIYKLGARRIALFSLGPVGCIPARALLPGAPVDKCFGKMNLMVKKYNKGLESLVNDMPIKYPGAVGVFGAVYDIVQRFRAIPAQYRFSDVTNACCGDGTLGGLLQCGREGYKICPNPNAFLFWDYFHPTEHTYKLISKALWGGKNSRIRPVNLKTLASTP >Potri.016G103500.1.v4.1 pep chromosome:Pop_tri_v4:16:10592957:10597289:-1 gene:Potri.016G103500.v4.1 transcript:Potri.016G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103500.v4.1 MELKKGVETRGVDEEKWVYDSSVDHKGRVPLRASTGVWKATLFIIVIEFSERLSYFGVATSLIIYLTKVIHQDLKTAARNVNYWAGVTTLMPLFGGFLADAYFGRFTTVFLSSVVYLLGLILLTMSTFVPSLKACDAAVCLEPRKVHEMVFFIAIYMISIGTGGHKPSLESFGADQFDENHSEERKKKMSYFNWWNFGLCCGLLLGVTVIVYVQDRVGWGAADIILATVMASSLAIFIIGRPFYRYHVPPGSPLTPMLQVLAAAIKKRNLPYPSDPAELHEVPKSRVNKGRLLCHTESLKFLDKAAIVEDWENCAEKQDPWTLATVTKVEEMKLILNLIPIWLATLPFGISVAQTATFFVKQGTMLDRNIGNGVEIPPASIFALSAFGMIVAVAIYEKILVPVLRRATGNERGIKILQRIGFGMLFSISTMVVSALVERKRLGVVEKDPVKGSLSMSVFWLAPQFIIIGVGDAFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFISSLLITTIDHVTGRFGKSWFGKDLNSSRLDYFYWLIAGMTAANLIVYVFLARRYSYKNVQRSVVVADCCEDGFEVSMA >Potri.016G103500.4.v4.1 pep chromosome:Pop_tri_v4:16:10592974:10595528:-1 gene:Potri.016G103500.v4.1 transcript:Potri.016G103500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103500.v4.1 MSTFVPSLKACDAAVCLEPRKVHEMVFFIAIYMISIGTGGHKPSLESFGADQFDENHSEERKKKMSYFNWWNFGLCCGLLLGVTVIVYVQDRVGWGAADIILATVMASSLAIFIIGRPFYRYHVPPGSPLTPMLQVLAAAIKKRNLPYPSDPAELHEVPKSRVNKGRLLCHTESLKFLDKAAIVEDWENCAEKQDPWTLATVTKVEEMKLILNLIPIWLATLPFGISVAQTATFFVKQGTMLDRNIGNGVEIPPASIFALSAFGMIVAVAIYEKILVPVLRRATGNERGIKILQRIGFGMLFSISTMVVSALVERKRLGVVEKDPVKGSLSMSVFWLAPQFIIIGVGDAFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFISSLLITTIDHVTGRFGKSWFGKDLNSSRLDYFYWLIAGMTAANLIVYVFLARRYSYKNVQRSVVVADCCEDGFEVSMA >Potri.016G103500.3.v4.1 pep chromosome:Pop_tri_v4:16:10592981:10597179:-1 gene:Potri.016G103500.v4.1 transcript:Potri.016G103500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103500.v4.1 MPLFGGFLADAYFGRFTTVFLSSVVYLLGLILLTMSTFVPSLKACDAAVCLEPRKVHEMVFFIAIYMISIGTGGHKPSLESFGADQFDENHSEERKKKMSYFNWWNFGLCCGLLLGVTVIVYVQDRVGWGAADIILATVMASSLAIFIIGRPFYRYHVPPGSPLTPMLQVLAAAIKKRNLPYPSDPAELHEVPKSRVNKGRLLCHTESLKFLDKAAIVEDWENCAEKQDPWTLATVTKVEEMKLILNLIPIWLATLPFGISVAQTATFFVKQGTMLDRNIGNGVEIPPASIFALSAFGMIVAVAIYEKILVPVLRRATGNERGIKILQRIGFGMLFSISTMVVSALVERKRLGVVEKDPVKGSLSMSVFWLAPQFIIIGVGDAFTLVGLQEYFYDQVPDSMRSLGIAFYLSVIGAANFISSLLITTIDHVTGRFGKSWFGKDLNSSRLDYFYWLIAGMTAANLIVYVFLARRYSYKNVQRSVVVADCCEDGFEVSMA >Potri.006G005500.3.v4.1 pep chromosome:Pop_tri_v4:6:385548:386323:-1 gene:Potri.006G005500.v4.1 transcript:Potri.006G005500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005500.v4.1 MADSDNESGGQNNSNTNYSETSSREQDKLLPIANVSRIMKKALPANAKISKDGKETVQECVSEFISFITGEASDKCQREKRKTVNGDDLLWAMTTLGFEDYAEPLKIYLQKFRETEGERTAAMGTVRQGDQRDGAVVNSGDSGGGFGGSGGNVYGGMQSSMMMMGHHPLHQGNMYGSASGRPK >Potri.001G441801.1.v4.1 pep chromosome:Pop_tri_v4:1:46694487:46695555:1 gene:Potri.001G441801.v4.1 transcript:Potri.001G441801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G441801.v4.1 MSCASRIQGEEKMLVYEYMPNKSLDSFIFVQSKRELIDWKKRFEIIEGIAQGLLYLHKYSRLRIIHRDLKAGNILLDENLNPKISDFGMARIFKINDLEGNTNQIVGTRGYMSPEYVMEGIFSVKSDVFSFGVLLLEIVSGRRIQGLLEIDGSPLNLVGYAWELWKAGSPFELVDPVLRESCSKDQVLRCIHVGLLCVEDNAVDRPIMSDVILMLTSEAQLRLPKQPAFSSARSIVEEKSLSKPAEIDTSINYVSLSTMDAR >Potri.004G073400.3.v4.1 pep chromosome:Pop_tri_v4:4:6128581:6132013:-1 gene:Potri.004G073400.v4.1 transcript:Potri.004G073400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073400.v4.1 MIGIIYNAGRRDGIQSPDSWLNPANGLPQQNPANDHSQQCPANDHSQQYPAYGHPQQNPANGLLGNRYLLRAPVCVAGSSAQNVRSCNLLNKSIAPYRPPHLYKMNLLSGRENKDEKNGETFGFTECPRLESAEGERRGRESPEWTRKEHKNGVQENRKNISDEHKENLDPDVATLLDDFRTEKRLFNKCTQSEECALSSTPSDASPCSSTPRTIISKLLPHEMMSKCNESEECLVSSNKYDARPYYSMQSSILSKLLPHELMSKSPEQSLETKSNKKAKQHEVTSLQLVSDEICLPDEDSLITSDDFKLPMDLVLLPESNVTETDLFASSSRMPGNVSQMLAALNVPLDERSQSSLEVPPTCSGSDNINGLDTSHGNLRARQEFPQSHHSQTNRSSALFCPSDSQRARRNKFKPHLKNLSYNHPPISYIPLYVLPLDPYDASTSFDIPTMQPLPQQILVPAEFPHQVNGLPRGPSYPPINGMASYSPLNYCHQTYRGSTTTSPGSHVVANSDQAAINNRLPDMEHITNQMHPGWPWTGSVQQFSR >Potri.004G073400.2.v4.1 pep chromosome:Pop_tri_v4:4:6128601:6133533:-1 gene:Potri.004G073400.v4.1 transcript:Potri.004G073400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073400.v4.1 MSTEIEDVAKSTSDDDTIQEFHKETRYAYKKGFLLSLAEMDECKRLPRGFDSSTLCELNAAATTRSTYLLYDSGRRDGIQSPDSWLNPANGLPQQNPANDHSQQCPANDHSQQYPAYGHPQQNPANGLLGNRYLLRAPVCVAGSSAQNVRSCNLLNKSIAPYRPPHLYKMNLLSGRENKDEKNGETFGFTECPRLESAEGERRGRESPEWTRKEHKNGVQENRKNISDEHKENLDPDVATLLDDFRTEKRLFNKCTQSEECALSSTPSDASPCSSTPRTIISKLLPHEMMSKCNESEECLVSSNKYDARPYYSMQSSILSKLLPHELMSKSPEQSLETKSNKKAKQHEVTSLQLVSDEICLPDEDSLITSDDFKLPMDLVLLPESNVTETDLFASSSRMPGNVSQMLAALNVPLDERSQSSLEVPPTCSGSDNINGLDTSHGNLRARQEFPQSHHSQTNRSSALFCPSDSQRARRNKFKPHLKNLSYNHPPISYIPLYVLPLDPYDASTSFDIPTMQPLPQQILVPAEFPHQVNGLPRGPSYPPINGMASYSPLNYCHQTYRGSTTTSPGSHVVANSDQAAINNRLPDMEHITNQMHPGWPWTGSVQQFSR >Potri.012G143300.1.v4.1 pep chromosome:Pop_tri_v4:12:15442699:15443343:1 gene:Potri.012G143300.v4.1 transcript:Potri.012G143300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143300.v4.1 MSGGVGPSCSDISLPNEREQEQKLQEDLSSLKNPKSTTSSKKAVAFPTTINPPRESLVFYPKTKILGLYVFVGAIVGLFLPLAYIFEGIFEGDKEGIKAAAPAAQVFMEGVAFSDRFSIPIRVFVPVFCNSRRIFTLVDWLRNEITKVEQDYGESTRRLHIGRALAVANLAFWCLFASLEPSRSTTLD >Potri.009G001301.1.v4.1 pep chromosome:Pop_tri_v4:9:647054:647389:1 gene:Potri.009G001301.v4.1 transcript:Potri.009G001301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G001301.v4.1 MERWSLVKVKNISKEEGKMEVLREIEQEQHRRHKTPFNFSWNFTYWFSLSSLDGCVMNDSVLYLCLLVYLRGLIVRHFIENLMLTDMKVVLAKWVFVVLFYLLHFSILASS >Potri.010G046500.1.v4.1 pep chromosome:Pop_tri_v4:10:7849022:7851913:1 gene:Potri.010G046500.v4.1 transcript:Potri.010G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046500.v4.1 MEMDSISKKNDDFPILSYLLSQTDPNSQQFDQNLSAHFPYLNYPKVLSSLTQAIPSSATNIFLLLKSLGPRPNPDVVSMARSNLTQMQEPGKTEIYKAVLKFEEMHEEYERQLKEVEEMLVGVYKDVVVREIESGEQVDEEVVAILREAESGGAVERVNLSARQLRLIPESIGRLHGLLVLNLSQNQLEVLPDSIAGLEKLVELDVSSNLLVFLPDSIGLLRNLKILNVSANKVKALPESIALSSSLVEIDASFNNLVSLPANIGYGLVNLERLSVQLNKIRLLPPSICEMKSLRFLDVHFNMLRGLPRAIGRLTNLEVLNLSSNFSDLEELPEEIGDLINLRELDLSNNQIRALPDRFARLENLTKLDLNENPLLVPPKEIVNKGVQAIREFMAKRWLDMVEEKQTNMVEANQQAAQSGWLVWGSSMVSNFVSGVSQSVSGYLGETSPKDPYLDQLL >Potri.014G176000.2.v4.1 pep chromosome:Pop_tri_v4:14:13190583:13191357:1 gene:Potri.014G176000.v4.1 transcript:Potri.014G176000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176000.v4.1 MTTRGSSRSSISTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPNQRSLENRTSCDASIDVEKNLLVFEVVSFSFFLFSSLIAQGLKLAINLLNSKDVDEAFRAHINLKALRFGMMGSAIGSVIGCVFLMLSMINVIEIRLGMLSCGSKSTVHAVTALVLLVSSALLVYISTAVYAFLH >Potri.014G176000.1.v4.1 pep chromosome:Pop_tri_v4:14:13190210:13191377:1 gene:Potri.014G176000.v4.1 transcript:Potri.014G176000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176000.v4.1 MAESDPYPKDSYYSENMTTRGSSRSSISTTSVHVTALDGLVNVNSLFTIAVFVGLSLTTPNQRSLENRTSCDASIDVEKNLLVFEVVSFSFFLFSSLIAQGLKLAINLLNSKDVDEAFRAHINLKALRFGMMGSAIGSVIGCVFLMLSMINVIEIRLGMLSCGSKSTVHAVTALVLLVSSALLVYISTAVYAFLH >Potri.001G158000.1.v4.1 pep chromosome:Pop_tri_v4:1:13345053:13349448:-1 gene:Potri.001G158000.v4.1 transcript:Potri.001G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158000.v4.1 MASSREPWLMLRFLLVLVFAVYKTTAFGEVMRAPQIEQQQQEGQRQRHKNAYATMMYMGTPRDYDFYVAIRVMLRSLARLHVDADLVVIASHDVPLRWVHTMEQEDGARVMRVENVDNPYKNQPNFNRRFKLTLNKLYVWKLIEYERVVMLDADNLFLRRADELFQCGQFCAVFINPCIFHTGLFVLQPSMEVFKDMLHQLEIGKENPDGADQGFISGYFPDLLDMPMFHPPLNGTTVNGSYRLPLGYQMDATYYYLRLRWNVPCGPNSVITFPGASWLKPWYWWSWPVLPLGIQWHEQRRQSLGYGTETPMALIQCIVYLGIIAVTRLARPNISKICYRRTEKNISVIQAGLKMLAIWSILAAYLLPCIIIPCTIHPLLGWGLYLLGSFALCSMAINAFMLPMLPVLTPCLGIFGVLLVMAFPLYPNGIIRCLSIFGYAFCAAPFLWVSLVKIMASLQASLERENFFPRLGESSPPSGFNKLY >Potri.001G158000.4.v4.1 pep chromosome:Pop_tri_v4:1:13345131:13349448:-1 gene:Potri.001G158000.v4.1 transcript:Potri.001G158000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158000.v4.1 MRVENVDNPYKNQPNFNRRFKLTLNKLYVWKLIEYERVVMLDADNLFLRRADELFQCGQFCAVFINPCIFHTGLFVLQPSMEVFKDMLHQLEIGKENPDGADQGFISGYFPDLLDMPMFHPPLNGTTVNGSYRLPLGYQMDATYYYLRLRWNVPCGPNSVITFPGASWLKPWYWWSWPVLPLGIQWHEQRRQSLGYGTETPMALIQCIVYLGIIAVTRLARPNISKICYRRTEKNISVIQAGLKMLAIWSILAAYLLPCIIIPCTIHPLLGWGLYLLGSFALCSMAINAFMLPMLPVLTPCLGIFGVLLVMAFPLYPNGIIRCLSIFGYAFCAAPFLWVSLVKIMASLQASLERENFFPRLGESSPPSGFNKLY >Potri.019G018300.1.v4.1 pep chromosome:Pop_tri_v4:19:3024037:3029409:1 gene:Potri.019G018300.v4.1 transcript:Potri.019G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018300.v4.1 MALAISNVLNCPKPQISLRNYTLKSSVLKSPTSFIIFPRAVREHKSFVCASASAAGGSGSDNDLNPYEVLGVNPIEGFDKVKAAYEKKRKEAEKQGDEAAAAQLEKAYDKLMMAQLSNRKKGVTYGSFKVAKDIKYADKQPVVPWGPRFAKSSENDMRINLAISAAFTAWILIKRNAEYKPLQFLAFAFVYRIFEKLKTFEPPVSPTYSEDGEDEGRTLRLGKRILRALALVFGSITFASLAYTGILNLIEMAGSYIPAFLYNNQELLITTATSAILYILASYYR >Potri.019G018300.3.v4.1 pep chromosome:Pop_tri_v4:19:3024036:3029497:1 gene:Potri.019G018300.v4.1 transcript:Potri.019G018300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018300.v4.1 MALAISNVLNCPKPQISLRNYTLKSSVLKSPTSFIIFPRAVREHKSFVCASASAAGGSGSDNDLNPYEVLGVNPIEGFDKVKAAYEKKRKEAEKQGDEAAAAQLEKAYDKLMMAQLSNRKKGVTYGSFKVAKDIKYADKQPVVPWGPRFAKSSENDMRINLAISAAFTAWILIKRNAEYKPLQFLAFAFVYRIFEKLKTFEPPVSPTYSEDGEDEGRTLRLGKRILRALALVFGSITFASLAYTGILNLIEMAGSYIPAFLYNNQVAILIHCLE >Potri.002G003050.1.v4.1 pep chromosome:Pop_tri_v4:2:192488:193368:1 gene:Potri.002G003050.v4.1 transcript:Potri.002G003050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003050.v4.1 MPICVVKDACTKCYQLPCAKLVTFVDPESLLWFLGIGRLFTQPAMPQPTLVAVLLETMEKKAPENAALRGEPFIYILGKQQEMQSFKTKDETG >Potri.017G098300.2.v4.1 pep chromosome:Pop_tri_v4:17:11018134:11018730:1 gene:Potri.017G098300.v4.1 transcript:Potri.017G098300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098300.v4.1 MESEVHQRLLASQGNFINYRSLERQPICNAQIYGNCAKPINGNTRPCTYYNRCKRGS >Potri.001G233400.10.v4.1 pep chromosome:Pop_tri_v4:1:25197471:25204279:1 gene:Potri.001G233400.v4.1 transcript:Potri.001G233400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233400.v4.1 MGKGGEDHGKKEENGIESRKKDDDTFPAWAKDVKECEEKYEVNREVGLSDADVEKRRKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAVISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDAKKFSSLPAKELVPGDIVELRVGDKVPADMRVLHLISSTLRVEQGSLTGESEAVSKTVKPVAENTDIQGKKCMVFAGTTVVNGNCMCLVMATGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTVLIGIVCAVVWLINVKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRAFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDADVEQSGNHYVAGGMPTEAALKVMVEKMGFPEGRHNESSLGCGDVLACCQLWNKMEQRIATLEFDRDRKSMGVIVNSISHKKSLLVKGAVENLLDRSTSIQLLDGSVVALDQYSKDLILQSLHEMSTSALRCLGFAYKEDLSEFETYNGDEDHPAHQLLLEPRNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCRAAGIRVMVITGDNKHTAEAICREIGVFGPYDDISSQSLTGKEFMDHRDKKTHLRHSGGLLISRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRRSDDSLINTWILFRYLVQKLLFPQSESFSSLHNQKTFLFLLFS >Potri.001G233400.7.v4.1 pep chromosome:Pop_tri_v4:1:25197475:25204350:1 gene:Potri.001G233400.v4.1 transcript:Potri.001G233400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233400.v4.1 MGKGGEDHGKKEENGIESRKKDDDTFPAWAKDVKECEEKYEVNREVGLSDADVEKRRKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAVISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDAKKFSSLPAKELVPGDIVELRVGDKVPADMRVLHLISSTLRVEQGSLTGESEAVSKTVKPVAENTDIQGKKCMVFAGTTVVNGNCMCLVMATGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTVLIGIVCAVVWLINVKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRAFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDADVEQSGNHYVAGGMPTEAALKVMVEKMGFPEGRHNESSLGCGDVLACCQLWNKMEQRIATLEFDRDRKSMGVIVNSISHKKSLLVKGAVENLLDRSTSIQLLDGSVVALDQYSKDLILQSLHEMSTSALRCLGFAYKEDLSEFETYNGDEDHPAHQLLLEPRNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCRAAGIRVMVITGDNKHTAEAICREIGVFGPYDDISSQSLTGKEFMDHRDKKTHLRHSGGLLISRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRRSDDSLINTWILFRYLVIGLYVGIATVGVFIIWYTHHTFMGIDLSGDGHSLVTYSQLANWGQCGSWKDFSVSPFTAGSQVFSFDANPCEYFRSGKIKASTLSLSVLVAIEMFNSLNALSEDCSLLRMPPWVNPWLLLAMSISFGLHALILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILIDEVLKFVGRCTRGLRQSNSTRHSKHKAE >Potri.001G233400.5.v4.1 pep chromosome:Pop_tri_v4:1:25197479:25204350:1 gene:Potri.001G233400.v4.1 transcript:Potri.001G233400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233400.v4.1 MGKGGEDHGKKEENGIESRKKDDDTFPAWAKDVKECEEKYEVNREVGLSDADVEKRRKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAVISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDAKKFSSLPAKELVPGDIVELRVGDKVPADMRVLHLISSTLRVEQGSLTGESEAVSKTVKPVAENTDIQGKKCMVFAGTTVVNGNCMCLVMATGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTVLIGIVCAVVWLINVKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRAFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDADVEQSGNHYVAGGMPTEAALKVMVEKMGFPEGRHNESSLGCGDVLACCQLWNKMEQRIATLEFDRDRKSMGVIVNSISHKKSLLVKGAVENLLDRSTSIQLLDGSVVALDQYSKDLILQSLHEMSTSALRCLGFAYKEDLSEFETYNGDEDHPAHQLLLEPRNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCRAAGIRVMVITGDNKHTAEAICREIGVFGPYDDISSQSLTGKEFMDHRDKKTHLRHSGGLLISRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRRSDDSLINTWILFRYLVIGLYVGIATVGVFIIWYTHHTFMGIDLSGDGHSLVTYSQLANWGQCGSWKDFSVSPFTAGSQVFSFDANPCEYFRSGKIKASTLSLSVLVAIEMFNSLNALSEDCSLLRMPPWVNPWLLLAMSISFGLHALILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILIDEVLKFVGRCTRGLRQSNSTRHSKHKAE >Potri.001G233400.6.v4.1 pep chromosome:Pop_tri_v4:1:25197487:25204479:1 gene:Potri.001G233400.v4.1 transcript:Potri.001G233400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233400.v4.1 MGKGGEDHGKKEENGIESRKKDDDTFPAWAKDVKECEEKYEVNREVGLSDADVEKRRKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAVISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDAKKFSSLPAKELVPGDIVELRVGDKVPADMRVLHLISSTLRVEQGSLTGESEAVSKTVKPVAENTDIQGKKCMVFAGTTVVNGNCMCLVMATGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTVLIGIVCAVVWLINVKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRAFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDADVEQSGNHYVAGGMPTEAALKVMVEKMGFPEGRHNESSLGCGDVLACCQLWNKMEQRIATLEFDRDRKSMGVIVNSISHKKSLLVKGAVENLLDRSTSIQLLDGSVVALDQYSKDLILQSLHEMSTSALRCLGFAYKEDLSEFETYNGDEDHPAHQLLLEPRNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCRAAGIRVMVITGDNKHTAEAICREIGVFGPYDDISSQSLTGKEFMDHRDKKTHLRHSGGLLISRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRRSDDSLINTWILFRYLVIGLYVGIATVGVFIIWYTHHTFMGIDLSGDGHSLVTYSQLANWGQCGSWKDFSVSPFTAGSQVFSFDANPCEYFRSGKIKASTLSLSVLVAIEMFNSLNALSEDCSLLRMPPWVNPWLLLAMSISFGLHALILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILIDEVLKFVGRCTRGLRQSNSTRHSKHKAE >Potri.001G233400.9.v4.1 pep chromosome:Pop_tri_v4:1:25197474:25204350:1 gene:Potri.001G233400.v4.1 transcript:Potri.001G233400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233400.v4.1 MGKGGEDHGKKEENGIESRKKDDDTFPAWAKDVKECEEKYEVNREVGLSDADVEKRRKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAVISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDAKKFSSLPAKELVPGDIVELRVGDKVPADMRVLHLISSTLRVEQGSLTGESEAVSKTVKPVAENTDIQGKKCMVFAGTTVVNGNCMCLVMATGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTVLIGIVCAVVWLINVKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRAFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDADVEQSGNHYVAGGMPTEAALKVMVEKMGFPEGRHNESSLACCQLWNKMEQRIATLEFDRDRKSMGVIVNSISHKKSLLVKGAVENLLDRSTSIQLLDGSVVALDQYSKDLILQSLHEMSTSALRCLGFAYKEDLSEFETYNGDEDHPAHQLLLEPRNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCRAAGIRVMVITGDNKHTAEAICREIGVFGPYDDISSQSLTGKEFMDHRDKKTHLRHSGGLLISRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRRSDDSLINTWILFRYLVIGLYVGIATVGVFIIWYTHHTFMGIDLSGDGHSLVTYSQLANWGQCGSWKDFSVSPFTAGSQVFSFDANPCEYFRSGKIKASTLSLSVLVAIEMFNSLNALSEDCSLLRMPPWVNPWLLLAMSISFGLHALILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILIDEVLKFVGRCTRGLRQSNSTRHSKHKAE >Potri.001G233400.8.v4.1 pep chromosome:Pop_tri_v4:1:25197421:25204256:1 gene:Potri.001G233400.v4.1 transcript:Potri.001G233400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233400.v4.1 MGKGGEDHGKKEENGIESRKKDDDTFPAWAKDVKECEEKYEVNREVGLSDADVEKRRKIYGYNELEKHEGVSIFKLILDQFNDTLVRILLAAAVISFVLAWYDGDEGGEMEITAFVEPLVIFLILIVNAIVGVWQESNAEKALEALKEIQSEHATVIRDAKKFSSLPAKELVPGDIVELRVGDKVPADMRVLHLISSTLRVEQGSLTGESEAVSKTVKPVAENTDIQGKKCMVFAGTTVVNGNCMCLVMATGMNTEIGKVHSQIHEAAQNEEDTPLKKKLNEFGEVLTVLIGIVCAVVWLINVKYFLTWEYVDGWPKNFKFSFEKCTYYFEIAVALAVAAIPEGLPAVITTCLALGTRKMAQKNALVRKLPSVETLGCTTVICSDKTGTLTTNQMAVSKLVAMGSRVGTLRAFNVEGTTYSPFDGKIEDWPVGRMDSNLQMIAKIAAVCNDADVEQSGNHYVAGGMPTEAALKVMVEKMGFPEGRHNESSLGCGDVLACCQLWNKMEQRIATLEFDRDRKSMGVIVNSISHKKSLLVKGAVENLLDRSTSIQLLDGSVVALDQYSKDLILQSLHEMSTSALRCLGFAYKEDLSEFETYNGDEDHPAHQLLLEPRNYSSIESNLTFVGLAGLRDPPRKEVRQAIEDCRAAGIRVMVITGDNKHTAEAICREIGVFGPYDDISSQSLTGKEFMDHRDKKTHLRHSGGLLISRAEPRHKQEIVRLLKEDGEVVAMTGDGVNDAPALKLADIGIAMGIAGTEVAKEASDMVLADDNFSTIVAAVGEGRSIYNNMKAFIRYMISSNIGEVASIFLTAALGIPEGMIPVQLLWVNLVTDGPPATALGFNPPDGDVMKKPPRRSDDSLINTWILFRYLVIGLYVGIATVGVFIIWYTHHTFMGIDLSGDGHSLVTYSQLANWGQCGSWKDFSVSPFTAGSQVFSFDANPCEYFRSGKIKASTLSLSVLVAIEMFNSLNALSEDCSLLRMPPWVNPWLLLAMSISFGLHALILYVPFLAQVFGIVPLSFNEWLLVLAVAFPVILIDEVLKFVGRCTRGLRQSNSTRHSKHKAE >Potri.018G036600.8.v4.1 pep chromosome:Pop_tri_v4:18:2832548:2846401:1 gene:Potri.018G036600.v4.1 transcript:Potri.018G036600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036600.v4.1 MSDSPRTRIDQFFASRKRKSSSPNLKSGRIEKDARSKVVEGSPSAKGTLDNYLVNSKDDNRGRGLLVKRSLALGIDEVLNEEKEESFVSGRLCVGSCEGGKEVQKEMSQGSSDAGNVGNIAVERVVKGCLDLGNGVENSELKQFATDFLSLYCGELQSTATSPSKTKLNDHKRNGGPLLVDVDYKTSKKRLCMSNQLNSEVETPCPIEKKPEDKQSAFVVKNGASVFNAFGEVAVGNDPVQLQASLRKCNKMANSTVNMAECCTPGSSICRERGSDGPKSTRGSSIFSPGDAFWNEAIQVADSLFSEADNPFVQAVGNIINGKSGNLSRELLNKAGSRGCSLEFIRKHMEKVSPLPVKQLDLLFEDRNLEVSTPPCAKDDSNVDRSSEISEHGSINLKSLKNANIVTYHLKDEPREEMHKEEEKTTVDTETTKKVDLPNQDADSIIYHLPVKETRNVIDNNECVEAGTPSSFGPLKDRLDLSNWLPLEVCSIYKRKGISKLYPWQVDCLQVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVVSTGKIALLVLPYVSICAEKAEHLEGLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEVGIMVIDELHMVGDQSRGYLLELLLTKLRYAAGEGNSESSSGESSGTSSKGDPAHGLQIVGMSATMPNVAAVADWLQAALYQTNFRPVPLEEYIKAGNTIYDKKMDIVRTLSRAADLGGKDPDHIVELCNEVVQDGHSVLIFCSSRKGCESTARHVSKFVKKFSINIQNESEFGDITSAIDALRRCPAGLDSTLEETLPSGVAYHHAGLTVEEREIIETCYRKGLLRILTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESVLICKPEELKRIMGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFRDVVKSAQDSLRWLCHRKFLEWNEDTKLYGTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVDVEPNWELYYERFMELSILDQSVGNRVGVSEPFLMRMAHGAPMRSLNRSIDNTKALHASKYENQSGVTNKSAISDDQTLRVCKRFYVALILSRLVQEAPVPEVCEAFKVARGMVQSLQENAGRFASMVSVFCERLGWHDLEGLVAKFQNRVSFGVRAEIVELTNIPYVKGSRARALYKAGLRTPQAIAEASIPEIGKALFESSSWSAQEGSAQRRVQLGIAKKIKNGARKIVLDKAEEARVIAFAAFKSLGFNVPQFSPPLLSNAPENLVEQGNAGTLFGDKSNIILHADQRDHASSMLFIEGSKNSGKVTMATGEDKLMKPVSVGLVVAAEGNSNGPIQCYIGAENSTVPAKKSSNLGTELCTTGDHKNSAETILSVQFGHSGDGTGTNDRITDTRVQGQCSGENLSSDKKDSACKKGPTNASNISGGFDSFLDLWDATQEFYFDIHYNKRSEVNSVSLFEIHGIAICWENSPVYFINLPKDLLWSHKQRNDSTPSQSGDKNNVQPPENWLEIVRKRWNKIGEIMGKRGVLKFTWNLKIQLQVLKGAVVSIQRFGCPSFPRKIFGPELIDSSHLTLSPINIEEAIDMCIVAWILWPDEERSSNPNLEKEVKKRLSSEAVAAANQNGRWKNQMRRVAHNGCCRRVAQTRALCSGLWKLLTSEGLFEALKNIEIPLVNVLADMELWGIGVDMEGCLNARTVLGKRLRYLEKKAYKLAGMTFSLYTAADIANILYRHLKLPIPEGHNKGKKHPSTDKHCLDLLRHEHPIVPVIREHRTLAKLLNSTLGSICSLARLSMETQKYTLHGHWLQTSTATGRLSIEEPNLQVIIIYIHPCFCYSDSFLYFFW >Potri.018G036600.1.v4.1 pep chromosome:Pop_tri_v4:18:2832548:2848907:1 gene:Potri.018G036600.v4.1 transcript:Potri.018G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036600.v4.1 MSDSPRTRIDQFFASRKRKSSSPNLKSGRIEKDARSKVVEGSPSAKGTLDNYLVNSKDDNRGRGLLVKRSLALGIDEVLNEEKEESFVSGRLCVGSCEGGKEVQKEMSQGSSDAGNVGNIAVERVVKGCLDLGNGVENSELKQFATDFLSLYCGELQSTATSPSKTKLNDHKRNGGPLLVDVDYKTSKKRLCMSNQLNSEVETPCPIEKKPEDKQSAFVVKNGASVFNAFGEVAVGNDPVQLQASLRKCNKMANSTVNMAECCTPGSSICRERGSDGPKSTRGSSIFSPGDAFWNEAIQVADSLFSEADNPFVQAVGNIINGKSGNLSRELLNKAGSRGCSLEFIRKHMEKVSPLPVKQLDLLFEDRNLEVSTPPCAKDDSNVDRSSEISEHGSINLKSLKNANIVTYHLKDEPREEMHKEEEKTTVDTETTKKVDLPNQDADSIIYHLPVKETRNVIDNNECVEAGTPSSFGPLKDRLDLSNWLPLEVCSIYKRKGISKLYPWQVDCLQVDGVLQRRNLVYCASTSAGKSFVAEILMLRRVVSTGKIALLVLPYVSICAEKAEHLEGLLEPLGKHVRSYYGNQGGGTLPKDTSVAVCTIEKANSLINRLLEEGRLSEVGIMVIDELHMVGDQSRGYLLELLLTKLRYAAGEGNSESSSGESSGTSSKGDPAHGLQIVGMSATMPNVAAVADWLQAALYQTNFRPVPLEEYIKAGNTIYDKKMDIVRTLSRAADLGGKDPDHIVELCNEVVQDGHSVLIFCSSRKGCESTARHVSKFVKKFSINIQNESEFGDITSAIDALRRCPAGLDSTLEETLPSGVAYHHAGLTVEEREIIETCYRKGLLRILTATSTLAAGVNLPARRVIFRQPRIGRDFIDGTRYRQMAGRAGRTGIDTKGESVLICKPEELKRIMGLLNESCPPLQSCLSEDKNGMTHAILEVVAGGIVQTANDIHRYVRCTLLNSTKPFRDVVKSAQDSLRWLCHRKFLEWNEDTKLYGTTPLGRAAFGSSLCPEESLIVLDDLSRAREGFVLASDLHLVYLVTPINVDVEPNWELYYERFMELSILDQSVGNRVGVSEPFLMRMAHGAPMRSLNRSIDNTKALHASKYENQSGVTNKSAISDDQTLRVCKRFYVALILSRLVQEAPVPEVCEAFKVARGMVQSLQENAGRFASMVSVFCERLGWHDLEGLVAKFQNRVSFGVRAEIVELTNIPYVKGSRARALYKAGLRTPQAIAEASIPEIGKALFESSSWSAQEGSAQRRVQLGIAKKIKNGARKIVLDKAEEARVIAFAAFKSLGFNVPQFSPPLLSNAPENLVEQGNAGTLFGDKSNIILHADQRDHASSMLFIEGSKNSGKVTMATGEDKLMKPVSVGLVVAAEGNSNGPIQCYIGAENSTVPAKKSSNLGTELCTTGDHKNSAETILSVQFGHSGDGTGTNDRITDTRVQGQCSGENLSSDKKDSACKKGPTNASNISGGFDSFLDLWDATQEFYFDIHYNKRSEVNSVSLFEIHGIAICWENSPVYFINLPKDLLWSHKQRNDSTPSQSGDKNNVQPPENWLEIVRKRWNKIGEIMGKRGVLKFTWNLKIQLQVLKGAVVSIQRFGCPSFPRKIFGPELIDSSHLTLSPINIEEAIDMCIVAWILWPDEERSSNPNLEKEVKKRLSSEAVAAANQNGRWKNQMRRVAHNGCCRRVAQTRALCSGLWKLLTSEGLFEALKNIEIPLVNVLADMELWGIGVDMEGCLNARTVLGKRLRYLEKKAYKLAGMTFSLYTAADIANILYRHLKLPIPEGHNKGKKHPSTDKHCLDLLRHEHPIVPVIREHRTLAKLLNSTLGSICSLARLSMETQKYTLHGHWLQTSTATGRLSIEEPNLQCVEHAVDFEMSSDKEGDDAEPEHYKINARDFFVPTQDNWLLLTADYSQIELRLMAHFSKDTALIKLLTKPHGDVFNLIAARWTGKPEASVSSVERDQTKRMVYGILYGMGANTLAEQLDCSSDEAAEKIKSFKSSFPGVASWLHEAVASCREKGYVETIKGRKRFLSKIKFGNSEEKSKAQRQAVNSICQGSAADLIKIAMINIHSLIVDGVGRPESSSLHANKFHMLKGQCRILLQVHDELVLEVDPSLINEAAVLLQMSMEDAASLLVPLHVKLKVGRTWGSLEPFLADQHVNEVLMPES >Potri.014G024500.3.v4.1 pep chromosome:Pop_tri_v4:14:1488021:1488446:1 gene:Potri.014G024500.v4.1 transcript:Potri.014G024500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024500.v4.1 MRCSEYFPEPVLTECLAQVVMAMRTKGGDQAVFQSLHRARELYLNRLQENTAVDHLASLFAECAIAEAQPFLAEQTPLNVGASSLSAESDVHVHSILAETGRTQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCGKP >Potri.014G024500.1.v4.1 pep chromosome:Pop_tri_v4:14:1485992:1489012:1 gene:Potri.014G024500.v4.1 transcript:Potri.014G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024500.v4.1 MVDAMEHRTTDTEMSEVTAPPPPLQVPDDNNNVRGLLTLARQLISQGKPSQALQAVVMAMRTKGGDQAVFQSLHRARELYLNRLQENTAVDHLASLFAECAIAEAQPFLAEQTPLNVGASSLSAESDVHVHSILAETGRTQIVLDAFSDGSSFICLQCGGLVSNHRKDEHYAYWCGKP >Potri.014G128400.1.v4.1 pep chromosome:Pop_tri_v4:14:8618403:8625219:1 gene:Potri.014G128400.v4.1 transcript:Potri.014G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128400.v4.1 MLHELLLSLLGYTGDLIIDEREHQNSLGIPVSDEHRSFKLAPDISFIQPSDRDLIERIISLGFYYRELDRFATKSRNLSWIRSANPNNELSNKNVQDKQSVYRRAIANGIVEILSVYRSAVLHIEQKLLSESIPILATITQGLNKFFVLLPPLYELVLEIERDDIRGGQLLNLLHKRCHCGVPELQSCIQRLLWHGHQVMYNQLASWVVYGILQDQHGEFFIRRQEDRDVEHGSSNQDMSEKLARLSTDDASLTDWHLGFHIFLDMLPEYVHMRVAESILFAGKAIRVLRNPSPAFQFKDPVYNQQIPKGAQKNQVSTGRFPFQKESFEDTNLIGEELLPQSEADKIENMLRDLKESSEFHKRSFECAVDSIRAIAASHLWQLVVVRADLNGHLKALKDYFLLAKGDFFQCFLEESRQMMRLPPRQSTAEADLMVPFQLAAIKTIGEEEKYFSRVSLRMPSFGSAVKSSQVDLPKTGSTSASLSNASSEISLDGWDGIALEYSVDWPLQLFFTQEVLSQYLRVFQYLLRLKRTQMELEKSWASVMHQDHTDFAKRRNDRLNCSVSQQRRQRFRPMWHVREHMAFLIRNLQFYIQVDVIESQWNVLQAHIRDSHDFTELVGFHQEYLSALISQSFLDIGSVSRILDSIMKLCLQFCWSIENQENNPNTSELEHLTEEFNKKSNSLYTILRSSRLAGSQRAPFLRRFLLRLNFNLFFETTAQGVLNIVRPSPTLPVFNQQ >Potri.004G176750.1.v4.1 pep chromosome:Pop_tri_v4:4:19166535:19168784:-1 gene:Potri.004G176750.v4.1 transcript:Potri.004G176750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176750.v4.1 MELVEEVVIVGAGIAGLTTSLGLHRLGIRSLVLESSAGLRVTGFAFTTWTNAWRALDAVGIGHSLRQQHGFLEGLVASSTVVSKPGRQTSFKVKGTIGDHEVRCVRRKLLLEALEKELPDGTIRYSSKVVSIEESGYLKLVHLADDTIIKTKVLIGCDGVNSVVARFIGFKKPAFAGRSAIRGYADFKVNHGFGSKFLLLFGKGVRSGFLPCDDTTIYWFFTYIPTGQDKELEDNPTEMKQFVLSKLGIVPDHARTSVEITELDSITSSPLRFRPPWEVLWGNISKGNVSVAGDALHPMTPDLGQGGCAALEDGVVLARCLAEALKKELNVEGKEKEREEYKRVEMGLKKYAAERRWRSFELISTAYIVGAIQQGDGKIMKILRDAIMAKFLAGLLLKKADFDCGKLNIS >Potri.011G132100.1.v4.1 pep chromosome:Pop_tri_v4:11:16580242:16581976:1 gene:Potri.011G132100.v4.1 transcript:Potri.011G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132100.v4.1 MSVEKVPVCCIMGQTDCGKTKLLEYMGSYDDIKKQQQQQNHGGRSAAFFPAKYIEERAAAGAAAAEEREVDGPLSINLPGLLIVDISAVESDSNKRLRAWLSCDLPVLVLDIAVGVDHEIIDLVKLLRLFHKDFVLVLNKVDKLLGWKNCPNTPISRAVPLQRTEVQSELSSRIKQVKTALTKEGVEAVRILPTSTVSGEGVADLLLTLYDRIQKTMDEKLSFH >Potri.011G132100.2.v4.1 pep chromosome:Pop_tri_v4:11:16580242:16581977:1 gene:Potri.011G132100.v4.1 transcript:Potri.011G132100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132100.v4.1 MSVEKVPVCCIMGQTDCGKTKLLEYMGSYDDIKKQQQQQNHGGRSAAFFPAKYIEERAAAGAAAAEEREVDGPLSINLPGLLIVDISAVESDSNKRLRAWLSCDLPVLVLDIAVGVDHEIIDLVKLLRLFHKDFVLVLNKVDKLLGWKNCPNTPISRAVPLQRTEVKTALTKEGVEAVRILPTSTVSGEGVADLLLTLYDRIQKTMDEKLSFH >Potri.002G105700.14.v4.1 pep chromosome:Pop_tri_v4:2:7801915:7810368:1 gene:Potri.002G105700.v4.1 transcript:Potri.002G105700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105700.v4.1 MKNGLEVFDFKEENEIAELAAGKVLVAQECDVPGKESGSLVCVDADAIGCDNADTCVQPGTVRDDLITEEGNSGSDAVPLLTSLSHDQGFCFRVDDFESKRLFSEDERIISCHEAPLPGESQLNRGHRDSPPSSSEADDGQLDVDDHMEDCSPSSPTPDITEASVILNGPTPTNCFSYAEVGGINLLVDYVVYRGKHCSGCVMTFSYGGVKINGATAHGDEGTFGFEAGIEDIVSIESQNLQRFGTVTIKLNILSKDAVQADTTHGMSGVEELEVAVVEPNWSRKWEEISSLNLKYSALLSVIHDMDSAMDGGVDLLQQRRYFPSFDVEFEDVIYPKGDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPPEEKHRYHFFNSFFFRKLADLDKDPSSVKDGRAAFLRVHKWTRKVDIFGKDYIFIPVNFNLHWSLLVICHPGEVAGVKDEDTSKSVIVPCILHLDSIKGTHAGLKNLVQSYLWEEWKVRQKDTSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINEFNKFLNGDWFPPAEASLKRTLIQRLISELLQNRSREVSSGGCSNEPQSDFSEMNGKESGLGLVSERCTPAGACHVNLSSSDPGQGIEITLLEASSVRNSHCVDDSGLVLREFFEPGVAAGSLLTHCPSFDQSSSYYHLNDTMSQIEDDTETGEQFVYFSSGEAVFQQIAGIPPQDGSISCSFRGFGADDSWNPGISLQADDNGSSSETSDCASDDSDVGIIENCPVKEDVGLCQKEKSDQQTSSLMENIECLTTSLAAASSEMLENPAFGDIQNLEGSEDTHRIDDGNENVSLASCQGNFSAPLQEDNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENGNLASCQENLSASLQEDHALLGNGLHQDLQKTEATENDMQMFGDHVILVESDEQQAAKRPRLFPPHEVDGEITRSLSKDLNL >Potri.002G105700.13.v4.1 pep chromosome:Pop_tri_v4:2:7801918:7810394:1 gene:Potri.002G105700.v4.1 transcript:Potri.002G105700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105700.v4.1 MKNGLEVFDFKEENEIAELAAGKVLVAQECDVPGKESGSLVCVDADAIGCDNADTCVQPGTVRDDLITEEGNSGSDAVPLLTSLSHDQGFCFRVDDFESKRLFSEDERIISCHEAPLPGESQLNRGHRDSPPSSSEADDGQLDVDDHMEDCSPSSPTPDITEASVILNGPTPTNCFSYAEVGGINLLVDYVVYRGKHCSGCVMTFSYGGVKINGATAHGDEGTFGFEAGIEDIVSIESQNLQRFGTVTIKLNILSKDAVQADTTHGMSGVEELEVAVVEPNWSRKWEEISSLNLKYSALLSVIHDMDSAMDGGVDLLQQRRYFPSFDVEFEDVIYPKGDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPPEEKHRYHFFNSFFFRKLADLDKDPSSVKDGRAAFLRVHKWTRKVDIFGKDYIFIPVNFNLHWSLLVICHPGEVAGVKDEDTSKSVIVPCILHLDSIKGTHAGLKNLVQSYLWEEWKVRQKDTSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINEFNKFLNGDWFPPAEASLKRTLIQRLISELLQNRSREVSSGGCSNEPQSDFSEMNGKESGLGLVSERCTPAGACHVNLSSSDPGQGIEITLLEASSVRNSHCVDDSGLVLREFFEPGVAAGSLLTHCPSFDQSSSYYHLNDTMSQIEQDDTETGEQFVYFSSGEAVFQQIAGIPPQDGSISCSFRGFGADDSWNPGISLQADDNGSSSETSDCASDDSDVGIIENCPVKEDVGLCQKEKSDQQTSSLMENIECLTTSLAAASSEMLENPAFGDIQNLEGSEDTHRIDDGNENVSLASCQGNFSAPLQEDNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENGNLASCQENLSASLQEDHALLGNGLHQDLQKTEATENDMQMFGDHVILVESDEQQAAKRPRLFPPHEVDGEITRSLSKDLNL >Potri.002G105700.15.v4.1 pep chromosome:Pop_tri_v4:2:7801919:7810336:1 gene:Potri.002G105700.v4.1 transcript:Potri.002G105700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105700.v4.1 MKNGLEVFDFKEENEIAELAAVAQECDVPGKESGSLVCVDADAIGCDNADTCVQPGTVRDDLITEEGNSGSDAVPLLTSLSHDQGFCFRVDDFESKRLFSEDERIISCHEAPLPGESQLNRGHRDSPPSSSEADDGQLDVDDHMEDCSPSSPTPDITEASVILNGPTPTNCFSYAEVGGINLLVDYVVYRGKHCSGCVMTFSYGGVKINGATAHGDEGTFGFEAGIEDIVSIESQNLQRFGTVTIKLNILSKDAVQADTTHGMSGVEELEVAVVEPNWSRKWEEISSLNLKYSALLSVIHDMDSAMDGGVDLLQQRRYFPSFDVEFEDVIYPKGDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPPEEKHRYHFFNSFFFRKLADLDKDPSSVKDGRAAFLRVHKWTRKVDIFGKDYIFIPVNFNLHWSLLVICHPGEVAGVKDEDTSKSVIVPCILHLDSIKGTHAGLKNLVQSYLWEEWKVRQKDTSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINEFNKFLNGDWFPPAEASLKRTLIQRLISELLQNRSREVSSGGCSNEPQSDFSEMNGKESGLGLVSERCTPAGACHVNLSSSDPGQGIEITLLEASSVRNSHCVDDSGLVLREFFEPGVAAGSLLTHCPSFDQSSSYYHLNDTMSQIEQDDTETGEQFVYFSSGEAVFQQIAGIPPQDGSISCSFRGFGADDSWNPGISLQADDNGSSSETSDCASDDSDVGIIENCPVKEDVGLCQKEKSDQQTSSLMENIECLTTSLAAASSEMLENPAFGDIQNLEGSEDTHRIDDGNENVSLASCQGNFSAPLQEDNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENGNLASCQENLSASLQEDHALLGNGLHQDLQKTEATENDMQMFGDHVILVESDEQQAAKRPRLFPPHEVDGEITRSLSKDLNL >Potri.002G105700.2.v4.1 pep chromosome:Pop_tri_v4:2:7801954:7810935:1 gene:Potri.002G105700.v4.1 transcript:Potri.002G105700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105700.v4.1 MKNGLEVFDFKEENEIAELAAGKVLVAQECDVPGKESGSLVCVDADAIGCDNADTCVQPGTVRDDLITEEGNSGSDAVPLLTSLSHDQGFCFRVDDFESKRLFSEDERIISCHEAPLPGESQLNRGHRDSPPSSSEADDGQLDVDDHMEDCSPSSPTPDITEASVILNGPTPTNCFSYAEVGGINLLVDYVVYRGKHCSGCVMTFSYGGVKINGATAHGDEGTFGFEAGIEDIVSIESQNLQRFGTVTIKLNILSKDAVQADTTHGMSGVEELEVAVVEPNWSRKWEEISSLNLKYSALLSVIHDMDSAMDGGVDLLQQRRYFPSFDVEFEDVIYPKGDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPPEEKHRYHFFNSFFFRKLADLDKDPSSVKDGRAAFLRVHKWTRKVDIFGKDYIFIPVNFNLHWSLLVICHPGEVAGVKDEDTSKSVIVPCILHLDSIKGTHAGLKNLVQSYLWEEWKVRQKDTSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINEFNKFLNGDWFPPAEASLKRTLIQRLISELLQNRSREVSSGGCSNEPQSDFSEMNGKESGLGLVSERCTPAGACHVNLSSSDPGQGIEITLLEASSVRNSHCVDDSGLVLREFFEPGVAAGSLLTHCPSFDQSSSYYHLNDTMSQIEQDDTETGEQFVYFSSGEAVFQQIAGIPPQDGSISCSFRGFGADDSWNPGISLQADDNGSSSETSDCASDDSDVGIIENCPVKEDVGLCQKEKSDQQTSSLMENIECLTTSLAAASSEMLENPAFGDIQNLEGSEDTHRIDDGNENVSLASCQGNFSAPLQEDNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENGNLASCQENLSASLQEDHALLGNGLHQDLQKTEATENDMQMFGDHVILVESDEQQAAKRPRLFPPHEVDGEITRSLSKDLNL >Potri.002G105700.12.v4.1 pep chromosome:Pop_tri_v4:2:7801929:7810379:1 gene:Potri.002G105700.v4.1 transcript:Potri.002G105700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105700.v4.1 MKNGLEVFDFKEENEIAELAAGKVLVAQECDVPGKESGSLVCVDADAIGCDNADTCVQPGTVRDDLITEEGNSGSDAVPLLTSLSHDQGFCFRVDDFESKRLFSEDERIISCHEAPLPGESQLNRGHRDSPPSSSEADDGQLDVDDHMEDCSPSSPTPDITEASVILNGPTPTNCFSYAEVGGINLLVDYVVYRGKHCSGCVMTFSYGGVKINGATAHGDEGTFGFEAGIEDIVSIESQNLQRFGTVTIKLNILSKDAVQADTTHGMSGVEELEVAVVEPNWSRKWEEISSLNLKYSALLSVIHDMDSAMDGGVDLLQQRRYFPSFDVEFEDVIYPKGDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPPEEKHRYHFFNSFFFRKLADLDKDPSSVKDGRAAFLRVHKWTRKVDIFGKDYIFIPVNFNLHWSLLVICHPGEVAGVKDEDTSKSVIVPCILHLDSIKGTHAGLKNLVQSYLWEEWKVRQKDTSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINEFNKFLNGDWFPPAEASLKRTLIQRLISELLQNRSREVSSGGCSNEPQSDFSEMNGKESGLGLVSERCTPAGACHVNLSSSDPGQGIEITLLEASSVRNSHCVDDSGLVLREFFEPGVAAGSLLTHCPSFDQSSSYYHLNDTMSQIEDDTETGEQFVYFSSGEAVFQQIAGIPPQDGSISCSFRGFGADDSWNPGISLQADDNGSSSETSDCASDDSDVGIIENCPVKEDVGLCQKEKSDQQTSSLMENIECLTTSLAAASSEMLENPAFGDIQNLEGSEDTHRIDDGNENVSLASCQGNFSAPLQEDNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENGNLASCQENLSASLQEDHALLGNGLHQDLQKTEATENDMQMFGDHVILVESDEQQAAKRPRLFPPHEVDGEITRSLSKDLNL >Potri.002G105700.11.v4.1 pep chromosome:Pop_tri_v4:2:7801919:7810349:1 gene:Potri.002G105700.v4.1 transcript:Potri.002G105700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105700.v4.1 MKNGLEVFDFKEENEIAELAAGKVLVAQECDVPGKESGSLVCVDADAIGCDNADTCVQPGTVRDDLITEEGNSGSDAVPLLTSLSHDQGFCFRVDDFESKRLFSEDERIISCHEAPLPGESQLNRGHRDSPPSSSEADDGQLDVDDHMEDCSPSSPTPDITEASVILNGPTPTNCFSYAEVGGINLLVDYVVYRGKHCSGCVMTFSYGGVKINGATAHGDEGTFGFEAGIEDIVSIESQNLQRFGTVTIKLNILSKDAVQADTTHGMSGVEELEVAVVEPNWSRKWEEISSLNLKYSALLSVIHDMDSAMDGGVDLLQQRRYFPSFDVEFEDVIYPKGDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPPEEKHRYHFFNSFFFRKLADLDKDPSSVKDGRAAFLRVHKWTRKVDIFGKDYIFIPVNFNLHWSLLVICHPGEVAGVKDEDTSKSVIVPCILHLDSIKGTHAGLKNLVQSYLWEEWKVRQKDTSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINEFNKFLNGDWFPPAEASLKRTLIQRLISELLQNRSREVSSGGCSNEPQSDFSEMNGKESGLGLVSERCTPAGACHVNLSSSDPGQGIEITLLEASSVRNSHCVDDSGLVLREFFEPGVAAGSLLTHCPSFDQSSSYYHLNDTMSQIEQDDTETGEQFVYFSSGEAVFQQIAGIPPQDGSISCSFRGFGADDSWNPGISLQADDNGSSSETSDCASDDSDVGIIENCPVKEDVGLCQKEKSDQQTSSLMENIECLTTSLAAASSEMLENPAFGDIQNLEGSEDTHRIDDGNENVSLASCQGNFSAPLQEDNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENGNLASCQENLSASLQEDHALLGNGLHQDLQKTEATENDMQMFGDHVILVESDEQQAAKRPRLFPPHEVDGEITRSLSKDLNL >Potri.002G105700.16.v4.1 pep chromosome:Pop_tri_v4:2:7801956:7810334:1 gene:Potri.002G105700.v4.1 transcript:Potri.002G105700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105700.v4.1 MKNGLEVFDFKEENEIAELAAGKVLVAQECDVPGKESGSLVCVDADAIGCDNADTCVQPGTVRDDLITEEGNSGSDAVPLLTSLSHDQGFCFRVDDFESKRLFSEDERIISCHEAPLPGESQLNRGHRDSPPSSSEADDGQLDVDDHMEDCSPSSPTPDITEASVILNGPTPTNCFSYAEVGGINLLVDYVVYRGKHCSGCVMTFSYGGVKINGATAHGDEGTFGFEAGIEDIVSIESQNLQRFGTVTIKLNILSKDAVQADTTHGMSGVEELEVAVVEPNWSRKWEEISSLNLKYSALLSVIHDMDSAMDGGVDLLQQRRYFPSFDVEFEDVIYPKGDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPPEEKHRYHFFNSFFFRKLADLDKDPSSVKDGRAAFLRVHKWTRKVDIFGKDYIFIPVNFNLHWSLLVICHPGEVAGVKDEDTSKSVIVPCILHLDSIKGTHAGLKNLVQSYLWEEWKVRQKDTSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINEFNKFLNGDWFPPAEASLKRTLIQRLISELLQNRSREVSSGGCSNEPQSDFSEMNGKESGLGLVSERCTPAGACHVNLSSSDPGQGIEITLLEASSVRNSHCVDDSGLVLREFFEPGVAAGSLLTHCPSFDQSSSYYHLNDTMSQIEQDDTETGEQFVYFSSGEAVFQQIAGIPPQDGSISCSFRGFGADDSWNPGISLQADDNGSSSETSDCASDDSDVGIIENCPVKEDVGLCQKEKSDQQTSSLMENIECLTTSLAAASSEMLENPAFGDIQNLEGSEDTHRIDDGNENVSLASCQGNFSAPLQEDNNLVENVAEVTQDDMQTIEGIHNLEGIDDTDRIGHGNENNNLVENVAERSLRMICKQLKVYITLKVLMTLTGLVMAMRTVTLPRVRKIYLHHCKKTMLC >Potri.012G003700.2.v4.1 pep chromosome:Pop_tri_v4:12:1281220:1287572:-1 gene:Potri.012G003700.v4.1 transcript:Potri.012G003700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003700.v4.1 MAAAGALAAPGQLNLTESPYWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSQGPEKDDQGKPDDNKYKGGIYMVFEYMDHDLTGLADRPGIRFTVPQIKCYMRQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNEHQSNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLALDPAQRISAKDALDAEYFWTDPPPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQPHSRLLPVQQSGARPQMRTGPNQSMHGSQPPVTGPGHYGRPRGPPVGPGRYPSGGTSGGYNHPSHGGQGGGGYGSGPYPPQGRAPPYPSTGMPGGAPRGGGGSGYGVGAPNYPQGGPYGGSGAGRGSNMMGGNRNQQYGWQQ >Potri.012G003700.3.v4.1 pep chromosome:Pop_tri_v4:12:1281221:1287511:-1 gene:Potri.012G003700.v4.1 transcript:Potri.012G003700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003700.v4.1 MAAAGALAAPGQLNLTESPYWGSRSVDCFEKLEQIGEGTYGQVYMAREIKTGEIVALKKIRMDNEREGFPITAIREIKILKKLHHENVIKLKEIVTSQGPEKDDQGKPGKCSTLHVHCLSIDDNKYKGGIYMVFEYMDHDLTGLADRPGIRFTVPQIKCYMRQLLTGLHYCHINQVLHRDIKGSNLLIDNEGNLKLADFGLARSFSNEHQSNLTNRVITLWYRPPELLLGSTKYGPAVDMWSVGCIFAELLHGKPIFPGKDEPEQLNKIFELCGAPDEVNWPGVSKIPWYNNFKPTRPMKRRLREVFRHFDRHALELLERMLALDPAQRISAKDALDAEYFWTDPPPCDPKSLPKYESSHEFQTKKKRQQQRQHEENAKRQKLQHPQPHSRLLPVQQSGARPQMRTGPNQSMHGSQPPVTGPGHYGRPRGPPVGPGRYPSGGTSGGYNHPSHGGQGGGGYGSGPYPPQGRAPPYPSTGMPGGAPRGGGGSGYGVGAPNYPQGGPYGGSGAGRGSNMMGGNRNQQYGWQQ >Potri.004G152900.1.v4.1 pep chromosome:Pop_tri_v4:4:17395125:17398688:-1 gene:Potri.004G152900.v4.1 transcript:Potri.004G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152900.v4.1 MVGASAISAPATSFSIFPRAKSPSLSLFSHRRNSVVSALPRPYSDSSTRLSLRIDEKGFRDAKQSYVTVEAKGGNPPIMPAVMTPGGPMDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDEKTDILLYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLSGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYATFTGQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.002G114700.1.v4.1 pep chromosome:Pop_tri_v4:2:8728591:8732321:-1 gene:Potri.002G114700.v4.1 transcript:Potri.002G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114700.v4.1 MDGSGDSNDDLGYQNRGESVMNCPSSGMNTNPFYVSAWDPVVSLSQPGNFGGSSIVSQSGFSNSPFPIVMENPGISNLVHYPSGSGFVELVPKFPGFGSGNFSEMVGSLGLTECGQITHTGCPPNYNKEANNAQHQEDQQLSEETSIGASPNGKRRKRVPESNSPLDPNKNTEGELRKDLSGESCDIAKELDEKTQKTEQNPGPNLRGKQAAKQAKDDTQSGEVPKENYFHVRARRGQATNSHSLAERVRREKISERMRMLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELQIDVERILSKDILHSRGGNAAILGFSPGISSHPYSHRIFQPGIQVIPNSNPQFSPAPHAVLDNELQSYFQMGFDSSSAIDNLGPNAARLKPEL >Potri.002G114700.2.v4.1 pep chromosome:Pop_tri_v4:2:8728591:8732303:-1 gene:Potri.002G114700.v4.1 transcript:Potri.002G114700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114700.v4.1 MDGSGDSNDDLGYQNRGESVMNCPSSGMNTNPFYVSAWDPVVSLSQPGNFGGSSIVSQSGFSNSPFPIVMENPGISNLVHYPSGSGFVELVPKFPGFGSGNFSEMVGSLGLTECGQITHTGCPPNYNKEANNAQHQEDQQLSEETSIGASPNGKRRKRVPESNSPLDPNKNTEGELRKDLSGESCDIAKELDEKTQKTEQNPGPNLRGKQAAKQAKDDTQSGEVPKENYFHVRARRGQATNSHSLAERVRREKISERMRMLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELQIDVERILSKDILHSRGGNAAILGFSPGISSHPYSHRIFQPGIQVIPNSNPQFSPAPHAVLDNELQSYFQMGFDSSSAIDNLGPNARLKPEL >Potri.013G088100.3.v4.1 pep chromosome:Pop_tri_v4:13:8432321:8457073:1 gene:Potri.013G088100.v4.1 transcript:Potri.013G088100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088100.v4.1 MAANISLLNVPSSPSICVHKLQIPPIITSSSFPAIQYGGKYKFSSILACASSSNGREPESVDNGVKKVDKILEQKRRAELSARIASGEFTVQQSGFPSVLRNGLSKLGISNEILDFLFKWAVDLDKDYPKIPEAKGKISAIRSEPFFIPLYELYLTYGGIFRLTFGPKSFLIVSDPSIAKHILRDNSKAYSKGILAEILEFVMGKGLIPADGELWRVRRRAIVPSLHQKYVAAMISLFGEATDRLCKKLDAAAFYGEDVEMESLFSRLTLDIIGRAVFNYDFDSLTNDTGIVEAVYTVLREAEDRSVAPIPIWEIPIWKDISPKQKKVAAALKLINDTLDDLIAICKRMVDEEDPQFHEEYMNEQDPSILHFLLASGDDVSSKQLRDDLMTMLIAGHETSAAVLTWTFYLLSKEPIVMSKLQNEVDCVLGDRFPTIEDMKKLKYTTRVINESLRLYPQPPVLIRRSLEGDMLGKYPIERGEDIFISIWNLHRSPNLWDDADKFIPDRWPLDGPNPNETNQNFCYLPFGGGPRKCVGDMFASFEAVVAVSMLVRRFNFQVALGAPPVGMTTGATIHTTEGLKMTVTRRTRPPIMPKLEKTMFEVDESTSGPEGGTQLGPKSEVSSANS >Potri.006G094700.1.v4.1 pep chromosome:Pop_tri_v4:6:7188716:7190726:-1 gene:Potri.006G094700.v4.1 transcript:Potri.006G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094700.v4.1 MEWLWPSNLSISLSLFSLALLSLLLLRAKSSQKRHPPGPSGWPIFGNLFDLGSMPHRTLTDMRQKYGNVIWLRLGAMNTMVILSAKAATEFFKNHDLSFADRTITETMRAHGYDQGSLALAPYGSYWRVLRRLVTVDMIVTKRINETASIRRKCVDDMLQWIEEESCKVGKAAGIHVSRFVFLMTFNMLGNLMLSRDLLDPESKVGSEFFDAMMGLMEWSGHANLADFFPWLRRLDLQGLRKNMERDLGKAMEIASKFVKERVEDKIVTSDSRKDFLDVLLEFRGSGKDEPDKLSERDVNIFILEIFLAGSETTSSTVEWALTELLCNPESMIKVKAELAQVVRASKKVEESDMENLPFLQAVVKETLRLHPPIPFLVPRRAMQDTNFMGYDIPKNTQVLVNAWAIGRDPDAWDDPSCFMPERFIGKRVDYRGQDLEFIPFGAGRRMCAGVPLAHRVLHLILGSLLHHFDWEFEANVNPASVDKKDRMGITVRKSEPLMAVPKRFNKA >Potri.011G040300.1.v4.1 pep chromosome:Pop_tri_v4:11:3132229:3133918:1 gene:Potri.011G040300.v4.1 transcript:Potri.011G040300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040300.v4.1 MRSISQKYQNLFLKRGTWDPDEDQKLRAYIKRHSIWNWNEMPRAAGLLRSGKSCRLRWMNYLRPDIKRGSFSKEEVQTIIKLQEMLGNRWSTIAAKLPGRTDNDIKNFWNTHVRNRFNNNISNTTVQAPKLQEIQTSEEEFKQRKSSAIDVSLPTAPKILNSEEYSSKGTLPMLPILCSDNFSSSSSSPVNDINENMRMEENDGSFESFAELSLLDQPLSMEAQKCNAEDYGEAYTDEMWVQELLNYPNASHNFDVGQEFWMNCLMQAQLHGN >Potri.009G058600.2.v4.1 pep chromosome:Pop_tri_v4:9:6122650:6128661:-1 gene:Potri.009G058600.v4.1 transcript:Potri.009G058600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058600.v4.1 MVNKYYDLVTSFYEFGWGESFHFAPRFKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQISRGKELNRTAGVDRTCDFVKGDFMKMPFPDNIYDAVYAIEATCHAPDAYGCYSEIYRVLKPGQCFAAYEWCMTDSFDPHNQEHQKIKAEIEIGDGLPDIRLTGQCIDALTKAGFEVIWSKDLAVGSPVPWYLPLDTSHFSLSSFRLTAVGRFFTKNMVKALEFVGLAPKGSQRVQDFLEKAAEGLVEGGRKEIFTPLFFFVARKPHSGSQ >Potri.009G058600.1.v4.1 pep chromosome:Pop_tri_v4:9:6122460:6128690:-1 gene:Potri.009G058600.v4.1 transcript:Potri.009G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058600.v4.1 MSKAGALDLATGLGGKIDKSDVLSAVEKYEKYHVCYGGDEEERKANYSDMVNKYYDLVTSFYEFGWGESFHFAPRFKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQISRGKELNRTAGVDRTCDFVKGDFMKMPFPDNIYDAVYAIEATCHAPDAYGCYSEIYRVLKPGQCFAAYEWCMTDSFDPHNQEHQKIKAEIEIGDGLPDIRLTGQCIDALTKAGFEVIWSKDLAVGSPVPWYLPLDTSHFSLSSFRLTAVGRFFTKNMVKALEFVGLAPKGSQRVQDFLEKAAEGLVEGGRKEIFTPLFFFVARKPHSGSQ >Potri.008G037401.1.v4.1 pep chromosome:Pop_tri_v4:8:2054686:2056840:-1 gene:Potri.008G037401.v4.1 transcript:Potri.008G037401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037401.v4.1 MNIINSLYPKSKTSKSLKSSPSPSPSPSPSPSATSSSPASSLINPSSNNYPSTNDSPTCSEASKSLSFSSKTSLSSLKDSLPENPHIYDFSEICKTTNNFLKKPFSSSSSSTSWRCSIRGKEVIIFQRKFRRQIGLPELRKKLLTICRSHHSSVIKLLGVSSPGNSIYLVYEYVHGANLATCLRNPQNPSYTVLSSWLSRMQIATDIANGLDYILHCSGLNSEFVHGRIKSSSILVTEDSLNAKICHFGTAELCGEMVGNESSLSKNFGRSDSREMKFEGTTGYMAPEFQASGFVTQKCDVYAFGVVILELVSGEEALRYVFDKGGGVYERISVIEMAREVVAAGEGELRKWVDKRLKDSYPVEVAEKMVLLGLECVDDDPEKRPHTGLIDVRVSKLYLKSKKWAKKFGLPTDFSVSLAPR >Potri.001G178300.1.v4.1 pep chromosome:Pop_tri_v4:1:15570417:15571828:-1 gene:Potri.001G178300.v4.1 transcript:Potri.001G178300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178300.v4.1 MELIPGLPYDIARDCLIRVKYKQLATVVSICKSWKSETESPEFRRLRRATCTGQKLVVMAQARVNPNQASNIMKNGVSPVYRFTLLEPDTGDWCELPPIPGFSNGLPLFCQVASVGSDLVVLGGLDPVTWEVSVSVFIFNFVSATWRRGADMPGVRRSFFGFASDFDRMVYVVGGHDGDKNALRSTMAYDTAKDEWLSLPDMARERDECKAIFRHGNLHVIGGYSTEMQGRFERTAEVFEIASWQWKNVLDDLLEDAMCPKTCVCGSDGELYMCRGGYVVALKGSTWKPVADLPADVSNIANVTAWQDKLVVIGTAGFREPYMAYVLDLKNYKWKKMETPQQYSGHVQSGCYLEI >Potri.014G156300.1.v4.1 pep chromosome:Pop_tri_v4:14:11027469:11031240:-1 gene:Potri.014G156300.v4.1 transcript:Potri.014G156300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156300.v4.1 MDFELRRAREKLEKEQKERKERAKLRLDRERKAKEEARKQREAIEAVHRSRRLDAIQAQLKVDEQMQENLLAGRGIVFSRILEAVSFQGSGDKIKLPPSCFTGLSDQGAFDKGPLYFQLSVVHQEGSSEMIDTDSKQSTTHSGVLEFTAEEGSVGLPPHVWSNLFPIDSPKAPLIEVQYVWLPKGTYAKLQPDVVGFSDLPNHKAVLETSLRQHATLSEGDVITVNHGILTYKLQVLELRPSSSVSVLETDIEVDVVGPDSGLESSQPVLKPLIFGKAESGMVEEGNYNYFKFSIDNDIWDRIAAEDVRVEVRIEAETNNGDTDLYMSKHPLMFPTRHQHEWSSHDIGSKVLILGSKDKNLGMGAYSIGVHGFKGTTKYNVAVSVQENSNHKVGQQAGSSSSMDIDAVECRNCKHFIPSRSIALHEAFCSRHNIVCSHPGCGIVLRIEESKNHLHCDKCGQAFQQGEMEKHMKVFHEPLQCPCGVVLEKNQMVQHQASICPVRLITCRFCGDMVQAGTSAMDVRDRLRGLTEHESVCGSRTAPCDSCGRSVMLKEMDIHQIAVHQKS >Potri.014G156300.2.v4.1 pep chromosome:Pop_tri_v4:14:11027506:11031240:-1 gene:Potri.014G156300.v4.1 transcript:Potri.014G156300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156300.v4.1 MFRMLIDGHESHHIVLTYVYEHTRRFSCLVFLFQIYFYFYFVQIRMLMVFFMLKRSWFSLQVDEQMQENLLAGRGIVFSRILEAVSFQGSGDKIKLPPSCFTGLSDQGAFDKGPLYFQLSVVHQEGSSEMIDTDSKQSTTHSGVLEFTAEEGSVGLPPHVWSNLFPIDSPKAPLIEVQYVWLPKGTYAKLQPDVVGFSDLPNHKAVLETSLRQHATLSEGDVITVNHGILTYKLQVLELRPSSSVSVLETDIEVDVVGPDSGLESSQPVLKPLIFGKAESGMVEEGNYNYFKFSIDNDIWDRIAAEDVRVEVRIEAETNNGDTDLYMSKHPLMFPTRHQHEWSSHDIGSKVLILGSKDKNLGMGAYSIGVHGFKGTTKYNVAVSVQENSNHKVGQQAGSSSSMDIDAVECRNCKHFIPSRSIALHEAFCSRHNIVCSHPGCGIVLRIEESKNHLHCDKCGQAFQQGEMEKHMKVFHEPLQCPCGVVLEKNQMVQHQASICPVRLITCRFCGDMVQAGTSAMDVRDRLRGLTEHESVCGSRTAPCDSCGRSVMLKEMDIHQIAVHQKS >Potri.001G411300.1.v4.1 pep chromosome:Pop_tri_v4:1:44052399:44056619:-1 gene:Potri.001G411300.v4.1 transcript:Potri.001G411300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G411300.v4.1 MDTLSSMLIIIANLLLLFSRFCNTANTLTLSQSIRDGGTRTLVSKDGSFELGFFSPGSSRNRYVGIWYKNIPVRTVVWVANRNNPINDSSGFLMLDNTGNLVLVSNNNSTVVWSSNSKKAAQSAMGELLDSGNLVLRDEKDVNSGIYLWQSFDYPSDTLLPGMKLGWDLRIGLDRRLSAWKSPDDPSSGDFTWGTQLQSNPELVMWKGSKKYYRSGPWNGIGFSGGPELRINPVFYFDFVDDGEEVYYTYNLKNKSLITRIVMNQSTYFRQRYTWNEINQTWVLYANVPRDYCDTYNLCGAYGNCIISQSPVCECLEKFTPKSPESWNSMDWSQGCVRNKPLDCQKGDGFVKYVGLKLPDATNSWVNKTMNLKECRSICLQNCSCMAYTTTNIKERSGCAIWFGDLIDIKQFPAAGQEIYIRMNASESKAKAASKIKMAVGSALSIFVACGILLVAYYIFKRKAKHIGGNREENDQIDSGPKEDLELPIFQFTTIANATNGFSFNNKIGEGGFGPVYKGTLEDGQEIAAKTLSRSSGQGINEFKNEVILITKLQHRNLVKLLGCCIQGEEKILVYEYMPNKSLDSFIFDETRGKLLDWSKRFSIICGIARGLLYLHQDSRLRIVHRDLKASNVLLDKDMNPKISDFGLARMFGGDQTEGNTTRVVGTYGYMAPEYATDGLFSVKSDVFSFGILMLEIISGKKSRGFYHPDHSLSLIGHAWRLWKDGKPLDLIEAFPGESRNLSEVIMRCINISLLCVQQHPDDRPSMATVVWMLGGENTLPQPNEPGFFKGSGPFGPSSSSSNIELSSNNEFTASLLYPR >Potri.008G168300.2.v4.1 pep chromosome:Pop_tri_v4:8:11638912:11647478:1 gene:Potri.008G168300.v4.1 transcript:Potri.008G168300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168300.v4.1 MKSSTRLDSAVFQLTPTRTRCDLIICVNGKIEKVASGLVQPFLDHLKTAQDQVAKGGYSIILEPGSDATWFTKGTVERFVRFVSTPEVLERVYYLESEISQIEKGIAIQSNNDTGLSSVEDHQAKPVERIEGSKPLTDSSEEKAIVLYKPGSDPHEADGSTVQEGNSKVQLMKALETRKTLLQKEQGMAFARAVAAGFDIDHMAHLISFAESFGALRLMDACVRFMELWKRKHETGQWVEIEGAEAMSSRSDFSSMNASGIVLSNTINKQWPETPDSKRKAGADPSADERPPTDQQPSPGQQEYFQGQFPHPMFPPWPIHSPPGAVPVFPGYPMQGIPYYQNYPGNSPVFQPPYSSGDDPRINAGQRMSHRRHSMDSNTEPEAWEVDALRTRSQDETEEKETSGGREPGRKGSRSGKRQSGTVVIRNINYITSKRQEASGSESQSASGSENDEEDEVLLNTTPNSKHRNSLRSSKRKGSHKKSVDKLNSSDVARTSYGKEDDGEHWKAFQNYLLKDADEAERSVDQGMFAMEKNVRAKRRQNTMGDDPLVIDGRDPGDIQEGDVTDMQKISGNWTRMTNASKDELLISRKMGEPNDGTGFVNGQMDLQSVDIDGRRGRYRMNANDDFVIHGRENKSGYRSSSSDPLAINGFETAKGDLDRRSSNNMDDDSYVVSLRSTSLDQVVTEGRNIIDVDSEFPSTAQKTENLSNRVGSQVKYEPDDLSLMPERGTEKGSIGYDPALDYDMQASLHKKNKVVTGQGSTKSDKYRKPKLIPDTSDRKKTVGPIRKGKPSKLSPLDEARARAEKLRAFKADLQKMKKEKEEEEIKRLEALKLERQKRIAARGSSTTALPALQQTRKQLPTKLSPSSHRGSKFSDSEPGSLSPLQRFSIKSVSAGSGDSRKVSRSSKLSTGPSTAGNRLTLSLSSLSEPKNEKSGVTSDSKASMARIRRLSEPKVSSSNHASIKPRKTGPVLKPKLSSGTESKKISAIMNHDKSKAASLPELKTKTTKGHDFAPGNSAAKEIPQKMHESKAIATSESNELKQNGNKISHHSDEDDNPIIEKTVVVLECEKPSIPYVHTSEHKIEVQDGYSNNYKLGEKTETVVDYAAIRAPVSPLTMDGIGRKHTEHQLPKHPGLHEAASVHASHAEKESPKLTSTIVEKPYHAPYARVSSLEDPCTGNSEYGKAPPSSVTDSAGTETIKAHVSGLKSLKLEEIPEALEKPHTKESSKGFRRLLKFGRKSHTTGERNAEINHVSLNGSQTDDNAASSSEVHTLKNLISQDETLTAGSNQKTSRHFSLLSPFRSKTGEKKLTT >Potri.008G168300.8.v4.1 pep chromosome:Pop_tri_v4:8:11638904:11647234:1 gene:Potri.008G168300.v4.1 transcript:Potri.008G168300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168300.v4.1 MKSSTRLDSAVFQLTPTRTRCDLIICVNGKIEKVASGLVQPFLDHLKTAQDQVAKGGYSIILEPGSDATWFTKGTVERFVRFVSTPEVLERVYYLESEISQIEKGIAIQSNNDTGLSSVEDHQAKPVERIEGSKPLTDSSEEKAIVLYKPGSDPHEADGSTVQEGNSKVQLMKALETRKTLLQKEQGMAFARAVAAGFDIDHMAHLISFAESFGALRLMDACVRFMELWKRKHETGQWVEIEGAEAMSSRSDFSSMNASGIVLSNTINKQWPETPDSKRKAGADPSAGMNSKYERPPTDQQPSPGQQEYFQGQFPHPMFPPWPIHSPPGAVPVFPGYPMQGIPYYQNYPGNSPVFQPPYSSGDDPRINAGQRMSHRRHSMDSNTEPEAWEVDALRTRSQDETEEKETSGGREPGRKGSRSGKRQSGTVVIRNINYITSKRQEASGSESQSASGSENDEEDEVLLNTTPNSKHRNSLRSSKRKGSHKKSVDKLNSSDVARTSYGKEDDGEHWKAFQNYLLKDADEAERSVDQGMFAMEKNVRAKRRQNTMGDDPLVIDGRDPGDIQEGDVTDMQKISGNWTRMTNASKDELLISRKMGEPNDGTGFVNGQMDLQSVDIDGRRGRYRMNANDDFVIHGRENKSGYRSSSSDPLAINGFETAKGDLDRRSSNNMDDDSYVVSLRSTSLDQVVTEGRNIIDVDSEFPSTAQKTENLSNRVGSQVKYEPDDLSLMPERGTEKGSIGYDPALDYDMQASLHKKNKVVTGQGSTKSDKYRKPKLIPDTSDRKKTVGPIRKGKPSKLSPLDEARARAEKLRAFKADLQKMKKEKEEEEIKRLEALKLERQKRIAARGSSTTALPALQQTRKQLPTKLSPSSHRGSKFSDSEPGSLSPLQRFSIKSVSAGSGDSRKVSRSSKLSTGPSTAGNRLTLSLSSLSEPKNEKSGVTSDSKASMARIRRLSEPKVSSSNHASIKPRKTGPVLKPKLSSGTESKKISAIMNHDKSKAASLPELKTKTTKGHDFAPGNSAAKEIPQKMHESKAIATSESNELKQNGNKISHHSDEDDNPIIEKTVVVLECEKPSIPYVHTSEHKIEVQDGYSNNYKLGEKTETVVDYAAIRAPVSPLTMDGIGRKHTEHQLPKHPGLHEAASVHASHAEKESPKLTSTIVEKPYHAPYARVSSLEDPCTGNSEYGKAPPSSVTDSAGTETIKAHVSGLKSLKLEEIPEALEKPHTKESSKGFRRLLKFGRKSHTTGERNAEINHVSLNGSQTDDNAASSSEVHTLKNLISQDETLTAGSNQKTSRHFSLLSPFRSKTGEKKLTT >Potri.012G061600.1.v4.1 pep chromosome:Pop_tri_v4:12:6362021:6364442:-1 gene:Potri.012G061600.v4.1 transcript:Potri.012G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061600.v4.1 MAFLTKMGNMLRQTASRQMASEISASRPSIYQAMRCMSSSKLFVGGISFQTDDNSLKEAFDKYGNVVEARIIMDRETGRSRGFGFVTYTSSEEASSAIQALDGQDLHGRRVRVNYATERPQRTFNNNYGSYGGGNYGGGYGTGGGYGYGTGGGYGTNDGGNHGGRNATYGGNDGNYAVQNTFDGGAGGIAGGVGGSDSYVSTNADGGYDGNAGLGYGSGNQFGANDSSGGGLNPDDALDANYKDEDDAGDFAKRA >Potri.010G217300.1.v4.1 pep chromosome:Pop_tri_v4:10:20405083:20405890:-1 gene:Potri.010G217300.v4.1 transcript:Potri.010G217300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217300.v4.1 LQASNVYRDLLKSVNKHIGKEGYKKHFGDYITQEFRENCNVLDRSSVLQKLKLARDYTFLFNSVQHHKGLRFSYNLAVDRSEEMKRILGKSAASVGLQLPEAYQA >Potri.006G037100.1.v4.1 pep chromosome:Pop_tri_v4:6:2402879:2405210:1 gene:Potri.006G037100.v4.1 transcript:Potri.006G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G037100.v4.1 MQPENCQENSQLYRFLTENGMINVGPYGFPAAMQTLCTSSSTSYHNSNYHFERSVITDMTPEDRALAALKNHKEAEKRRRERINSHLDKLRGLLPCNSKTDKASLLAKVVQRVRELKQQTSELPGLESFPSETDEVTVLSGEYSSDGQLIFKASLCCEDRSDLMPDLIEILKSLHLKTLKAEMVTLGGRIRNVLIIAADKDHSVESVHFLQNALKSLLERSNSSERSKRRRVLDRKLVIQ >Potri.012G019200.5.v4.1 pep chromosome:Pop_tri_v4:12:2050539:2052019:-1 gene:Potri.012G019200.v4.1 transcript:Potri.012G019200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019200.v4.1 MAESGENPLYSCRNCRNPLAFSTDLLSKSYQARSGQAYMFSHVMNIVLGHKKDMKMITGMYTIAGIHCSSCGQELGWKYVRAFDPTQRFKEGNFILEKLKLVKEY >Potri.012G019200.4.v4.1 pep chromosome:Pop_tri_v4:12:2050984:2052019:-1 gene:Potri.012G019200.v4.1 transcript:Potri.012G019200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019200.v4.1 MAESGENPLYSCRNCRNPLAFSTDLLSKSYQARSGQAYMFSHVMNIVLGHKKDMKMITGMYTIAGIHCSSCGQELGWKYVRAFDPTQRFKEGNFILEKLKLVKEY >Potri.012G019200.3.v4.1 pep chromosome:Pop_tri_v4:12:2050988:2052019:-1 gene:Potri.012G019200.v4.1 transcript:Potri.012G019200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019200.v4.1 MAESGENPLYSCRNCRNPLAFSTDLLSKSYQARSGQAYMFSHVMNIVLGHKKDMKMITGMYTIAGIHCSSCGQELGWKYVRAFDPTQRFKEGNFILEKLKLVKEY >Potri.012G019200.6.v4.1 pep chromosome:Pop_tri_v4:12:2050988:2052019:-1 gene:Potri.012G019200.v4.1 transcript:Potri.012G019200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019200.v4.1 MAESGENPLYSCRNCRNPLAFSTDLLSKSYQARSGQAYMFSHVMNIVLGHKKDMKMITGMYTIAGIHCSSCGQELGWKYVRAFDPTQRFKEGNFILEKLKLVKEY >Potri.017G045400.2.v4.1 pep chromosome:Pop_tri_v4:17:3193928:3195632:-1 gene:Potri.017G045400.v4.1 transcript:Potri.017G045400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045400.v4.1 MGLKGFAEGGVASIIAGASTHPLDLIKVRMQLQGESPVPNPSSVQSYRTAFALSSTANISLPTTLELPPPPRVGPLSIGVRIIQSEGAAALFSGVSATILRQTLYSTTRMGLYDVLKHKWTDPDTNTMPLVRKIMAGLISGAVGAAVGNPADVAMVRMQADGRLPIEQRRNYKSVVDALGQMSKQEGVASLCRGSSLTVNRAMIVTASQLASYDQAKEMILEKGLMSDEIGTHVAASFLAGFVASVASNPIDVIKTRVMNMKVDNLFSVSLFIIKTKIKLKFFFYLFHIKYFTRKLIGIKYFIVNLQT >Potri.011G069700.2.v4.1 pep chromosome:Pop_tri_v4:11:6097847:6102377:-1 gene:Potri.011G069700.v4.1 transcript:Potri.011G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069700.v4.1 MTEPRRLRGHKATATCCIASRDRSGVVATAGEDGCICLFDMRCKDAQHIAEVAQEPISSLSFKPGNEDIMYVSCGNAVKCFDLHNMASPWTPFKSYTYNKEEINQISCNSKATFLASTDDGGDVKIIDIRQHCLYKTLRSGHSSICSSVQFIPWRSWEVITGGLDSKLVMWDFSKGRPVKIVDFGLPDIKNGSNGAQCLNPAFVHAIAVPDVDMLDKSDKICVVARGDGIVDVINIESELAVIRSKTSAKARKGSSSTSKDGVPAAGTGTLDENARKRLHLDYSVGGHAAAVSCVTFSQFGEKGKLIISGGNDKSVKVWDCSKYDDAVQTGGNSDVLRLNINLSKKVNWLCTTPTDSENLVVCDTTKVVKVYSVS >Potri.011G069700.3.v4.1 pep chromosome:Pop_tri_v4:11:6097859:6102341:-1 gene:Potri.011G069700.v4.1 transcript:Potri.011G069700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069700.v4.1 MWDFSKGRPVKIVDFGLPDIKNGSNGAQCLNPAFVHAIAVPDVDMLDKSDKICVVARGDGIVDVINIESELAVIRSKTSAKARKGSSSTSKDGVPAAGTGTLDENARKRLHLDYSVGGHAAAVSCVTFSQFGEKGKLIISGGNDKSVKVWDCSKYDDAVQTGGNSDVLRLNINLSKKVNWLCTTPTDSENLVVCDTTKVVKVYSVS >Potri.005G026425.1.v4.1 pep chromosome:Pop_tri_v4:5:1677846:1678500:-1 gene:Potri.005G026425.v4.1 transcript:Potri.005G026425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026425.v4.1 MSLFDLLQGRQEEKERRREASNGRNKMAIAHEQSFSSCLCIRRTNLQKNILKCFSSCLCIYGAQMIPCFHRGTSLLFNALLLYCTRCNM >Potri.002G200200.1.v4.1 pep chromosome:Pop_tri_v4:2:16307166:16308911:1 gene:Potri.002G200200.v4.1 transcript:Potri.002G200200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200200.v4.1 MLWILRFSGFFSAALVMIILSPSFQSFPPAEAIHSSNLDGHLRFPLLLSPADSLTQLSFRKSTIFRNADECGFSDHQSRGKTSVCYPSLVHVAITLDVEYLRGSVAAVHSILQHSMCPENVFFHFLVSETNLESLVRSTFPQLKFKVYYFDPEIVRSLISTSVRQALEQPLNYARNYLADLLEPCVKRVIYLDSDLVVVDDIAKLWTTNLGSRIIGAPEYCHANFTKYFTADFWSDKRFSGTFRGRKPCYFNTGVMVIDLVKWRWAGYTKRIERWMEIQKSHRIYELGSLPSYLLVFAGHVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRLDSKQPCPLDALWAPYDLYGRPP >Potri.002G200200.2.v4.1 pep chromosome:Pop_tri_v4:2:16307923:16308981:1 gene:Potri.002G200200.v4.1 transcript:Potri.002G200200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200200.v4.1 MCPENVFFHFLVSETNLESLVRSTFPQLKFKVYYFDPEIVRSLISTSVRQALEQPLNYARNYLADLLEPCVKRVIYLDSDLVVVDDIAKLWTTNLGSRIIGAPEYCHANFTKYFTADFWSDKRFSGTFRGRKPCYFNTGVMVIDLVKWRWAGYTKRIERWMEIQKSHRIYELGSLPSYLLVFAGHVAPIEHRWNQHGLGGDNVRGSCRDLHPGPVSLLHWSGSGKPWLRLDSKQPCPLDALWAPYDLYGRPP >Potri.013G037533.1.v4.1 pep chromosome:Pop_tri_v4:13:2457988:2461180:1 gene:Potri.013G037533.v4.1 transcript:Potri.013G037533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037533.v4.1 MMSLLQKPVSMFLQVLFFLLLMCFPSFFAFPPNSSATSFGAATYAVAEGNEEAEALLKWKASLDDNHSQSVLSSWVGSSPCKWLGITCDNSGSVAHFSLQHFDLRGTLHSFNFSSFPNLLTLNLRNNSLYGTIPLEIGLLTSLNVLYLDKNNLTDLIPSSIGNLRNLSILNIAENNLSGSVPREIGQLESLVFLGLSFNNLSGSLPPEMNNLTHLMTLRLSLNNFTGHLPRDLCLGGLLVNFTAVRNHFSGPIPKSLRNCTSLFRVRLEGNQLTGNISEDFGLYPNLNYMDLSHNNLYGALTWKWGGFHNLASLKLSNNNISGEIPSEFGKAPELQMIDLSSNLLKGTIPKELGQLKALYNLTLHNNHLSGVVPFEIQMLSQLRALNLASNNLGGSITKQLGECSNLLQLNLSHNKFIGSIPSEIGFLHFLEDLDLSGNVLAGEIPSEIGQLKQLETMNFSHNKLSGLIPTAFVDLVSLTAVDISYNELEGPIPKIKGFNEAPLEAFMNNSGLCGNASGLKPCTLLKSRRRSSKIVILILFPLLGSLLLLLTMVECLYFLRRTSRERISFLREQQSPLSLAVWGYEEEMLHETIIQATNNFNSNNCIGKGGYGIVYQAMLPTGQVVAVKKLHPSREGELMDLKTFRNEIRMLIDIRHRNIVKLYGFCSLVEHSFLVYEFIERGSLKMNLSSEEQAMDLDWNRRLNVVKGVANALSYLHHDCSPPIIHRDISSSNVLLDLEYEAHVSDFGTARLLMPDSTNWTSFAGTFGYTAPELAYTMRVNEKCDVYSFGVVTMEVIMGMHPGDLISSLSASAFSSSSCSQINQHALLKDVIDQRIPLPENRVAEGVVSIIKIAFACLLANPQSRPTMRQVASELISRWPPLSKSFSAITLEDLMPQTTVTG >Potri.010G169600.2.v4.1 pep chromosome:Pop_tri_v4:10:17157786:17161963:-1 gene:Potri.010G169600.v4.1 transcript:Potri.010G169600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169600.v4.1 MAASSKTIMDFLQPAKRLKLSSSSPSPIDPLNLLNKSLSAKSTSTDLTPDQVSRIELNKLRAKSKRNLKLCSQLVSNSKGSSGHVNLEELLVENTWREVLPGELEKPYFKNLCKFVESEISNGSVAIYPPQHLIFNALNSTPFNTLKAVIIGQDPYHGPGQAMGLSFSVPQGVKAPSSLVNIFKELKQDLGCSIPSHGNLEKWAIQGVLLLNTVLTVRNHQANSHSKKGWEHFTDAVIKTISQKKEGVVFLLWGNSAQEKSKLIDQTKHHILKAAHPSGLSANRGFFGCRHFSRTNKLLAQMGISPIEWQL >Potri.002G194500.1.v4.1 pep chromosome:Pop_tri_v4:2:15736423:15738204:1 gene:Potri.002G194500.v4.1 transcript:Potri.002G194500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194500.v4.1 MKAVALLRPPYIPSFPSRPHFYCLPKRTNLPASCSSHRTESPVKAEDSTIPRSEEYRYQFYDDWFLDLFRNKMVKEVGWDSEKAGYDGLIEVASRLMLRRTPSDTTDAAVRILRSLFPPFLLHLYKSLVSPIGGGKLAAMMVARVTVITCQWLMGICKVNSVDLPDGSSWESGVFVERCKYLEESKCVGICVNTCKLPTQTFFKDYMGIPLLMEPNFNDYSCQFKFGVLPPLPEDDGTLKEPCLEVCPIASKRRGAASDMVIMRCPKA >Potri.002G224900.14.v4.1 pep chromosome:Pop_tri_v4:2:21313371:21319231:-1 gene:Potri.002G224900.v4.1 transcript:Potri.002G224900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224900.v4.1 MGKADDSECVFPLTSLQIGDLQSYLSDLSLFVAFESGKLYILVDNRPWLRNLGSHPAHLWQLMVTKSRLSPFANSKARRGRKEGKDASSQSNPSKSTKLERWFLLIEVATLSRKRGLPPVKKLRNSLFLSSELHRTLYGFIVFEVAWNDVRGINYLNELLTDTSLAIEAKIMQRWEFDSVAQAASSLSSWFSGTLSELLQLKGYLDSATGDTFYDAEENFSMTPSIDDDDKICDDNLWAEDNSSCCLGGSSDVFPGTPENITSEPLTPPPTGPYKRRRAIRSIGTRVEVDCYAEETQGGNEYLLDSSGASDCENVHEPKLYRDVLILFRFNDHDLPFKLKQVIMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARALYVLISVITVLIGFFDLYKNVPVLKATASSLFGPLLDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLMVTHTTRSFFSVFTQPLVEPLTEILGFLLPLWNIFIEVIESLYSIFWVVIESSCSVLGGLLEIFVWPISVIWSIATSIIYPIFWIVWEILYAPIRLVLALAGLVAFTCAWISEMIGDIWKYVNGIFQLAAASDARVSTCEVSMWRSLWNDLFSQVFRAVRSILNGFVAFFAACNRHRLSIYNHIQDFIQRILGRAPRSQPLDYRNSRMTPETRSRASEGSRKIHMR >Potri.002G224900.15.v4.1 pep chromosome:Pop_tri_v4:2:21313358:21319209:-1 gene:Potri.002G224900.v4.1 transcript:Potri.002G224900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224900.v4.1 MRFSFNKSPDWSRLSPFANSKARRGRKEGKDASSQSNPSKSTKLERWFLLIEVATLSRKRGLPPVKKLRNSLFLSSELHRTLYGFIVFEVAWNDVRGINYLNELLTDTSLAIEAKIMQRWEFDSVAQAASSLSSWFSGTLSELLQLKGYLDSATGDTFYDAEENFSMTPSIDDDDKICDDNLWAEDNSSCCLGGSSDVFPGTPENITSEPLTPPPTGPYKRRRAIRSIGTRVEVDCYAEETQGGNEYLLDSSGASDCENVHEPKLYRDVLILFRFNDHDLPFKLKQVIMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARALYVLISVITVLIGFFDLYKNVPVLKATASSLFGPLLDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLMVTHTTRSFFSVFTQPLVEPLTEILGFLLPLWNIFIEVIESLYSIFWVVIESSCSVLGGLLEIFVWPISVIWSIATSIIYPIFWIVWEILYAPIRLVLALAGLVAFTCAWISEMIGDIWKYVNGIFQLAAASDARVSTCEVSMWRSLWNDLFSQVFRAVRSILNGFVAFFAACNRHRLSIYNHIQDFIQRILGRAPRSQPLDYRNSRMTPETRSRASEGSRKIHMR >Potri.002G224900.2.v4.1 pep chromosome:Pop_tri_v4:2:21313560:21319183:-1 gene:Potri.002G224900.v4.1 transcript:Potri.002G224900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224900.v4.1 MGKADDSECVFPLTSLQIGDLQSYLSDLSLFVAFESGKLYILVDNRPWLRNLGSHPAHLWQLMVTKSRLSPFANSKARRGRKEGKDASSQSNPSKSTKLERWFLLIEVATLSRKRGLPPVKKLRNSLFLSSELHRTLYGFIVFEVAWNDVRGINYLNELLTDTSLAIEAKIMQRWEFDSVAQAASSLSSWFSGTLSELLQLKGYLDSATGDTFYDAEENFSMTPSIDDDDKICDDNLWAEDNSSCCLGGSSDVFPGTPENITSEPLTPPPTGPYKRRRAIRSIGTRVEVDCYAEETQGGNEYLLDSSGASDCENVHEPKLYRDVLILFRFNDHDLPFKLKQVIMSDLRLLTLLEAGLPSWVLFLQSYPGFCHLYRPWMCPLARALYVLISVITVLIGFFDLYKNVPVLKATASSLFGPLLDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLMVTHTTRSFFSVFTQPLVEPLTEILGFLLPLWNIFIEVIESLYSIFWVVIESSCSVLGGLLEIFVWPISVIWSIATSIIYPIFWIVWEILYAPIRLVLALAGLVAFTCAWISEMIGDIWKYVNGIFQLAAASDARVSTCEVSMWRSLWNDLFSQVFRAVRSILNGFVAFFAACNRHRLSIYNHIQDFIQRILGRAPRSQPLDYRNSRMTPETRSRASEGSRKIHMR >Potri.006G014500.1.v4.1 pep chromosome:Pop_tri_v4:6:919003:919574:1 gene:Potri.006G014500.v4.1 transcript:Potri.006G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014500.v4.1 MKRQILTLSLLFIAVTVVVVDAALLGGWSPIKDLKDKHVVEIAEFAVAEHNKEAKSNLMLESIVKGESQVVSGTNYRLVLAVKGRANATYQAVVYEKPWENLKSLTSFQPFKG >Potri.015G097800.1.v4.1 pep chromosome:Pop_tri_v4:15:11843190:11845958:1 gene:Potri.015G097800.v4.1 transcript:Potri.015G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097800.v4.1 MEYNSNPISPATPQHSKTTQELAIEGQKHLEETIQAGYQILSSMNDELCNPTLWSTTSTATTAVTSPITSKNAQNGAVVITVNGDANAAVSDSTHELSGGGGGVGNGALDEARFRYKNSVAALRDVLAAIPNSHKAKPFETSSPADEAEIKKLEERASSLRKELAKKNTYVKLLIDQLREIITDISTWQTPCSV >Potri.006G118400.1.v4.1 pep chromosome:Pop_tri_v4:6:9287894:9292420:-1 gene:Potri.006G118400.v4.1 transcript:Potri.006G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118400.v4.1 MEALRLQPPQGPPLLRPSRSRLPSQILTRPHYNPNKSIKPFINATLSKPAAEIPPLSTSSSTSHDNPLRSSMLSSPPYPAKKVSANSLQYPSGYLGAVPERTVNDGDNESIINAMEYLTNILSSKVYDVAIESPLQLAPKLSERLGVKVWLKREDLQPVFSFKLRGAYNMMAKLPKEQLQRGVICSSAGNHAQGVALAAKRLGCDAVIAMPVTTPEIKWQSVERLGATVVLVGDSYDEAQTYAKKRAKEEDRTFIPPFDHPDVIMGQGTVGMEIVRQMQGPLHAIFVPVGGGGLIAGIAAYVKRVNPEVKIIGVEPSDANAMALSLHHGQRVMLDQVGGFADGVAVKEVGEETFRLCKELVDGVVLVSRDAICASIKDMFEEKRSILEPAGALALAGAEAYCKYYGVKGANVVAITSGANMNFDKLRVVTELANVGRQQEALLATVMPEVPGSFKHFCELVGPMNISEFKYRSNSEKDAVVLYSVGLHTAFELEAMKKRMESSQLRTYNLTASDLVKDHLRYLIGGKLNVPDEVLCRFVFPERPGALMKFLDSFSPRWNISLFHYRGQGETGANVLVGIQVPQSEMDEFCSRANSLGYDYVVVTDDNDFHLLMH >Potri.002G098400.1.v4.1 pep chromosome:Pop_tri_v4:2:7179252:7181160:-1 gene:Potri.002G098400.v4.1 transcript:Potri.002G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098400.v4.1 MGSMAFLENPHAVCIPYPAQGHINPMLKLAKLLHHKGFHITFVNTEYNHKRILRSRGLNSLDGLPSFQFKAIPDGLPPTSNDVTQDIPSLCESTSKTCMVPFKDLITNLNDTSSSNVPPVTCIVSDGVMSFTLEAAQELGIPEVLFWTTSACGFLAYAHCRQLIEKGLTPLKDESYLSNGYLDSVIDWIPGMKGIRLRDIPSFVRTTDPEDFMLKFIKAESERAKKASAIVLNTYDALEHEGLVSLASMLPPVYSIGPLHLLLNQVTDSDLKLIGSNLWIEESGCLEWLDSKEPNSVVYVNFGSITVMTSDQLTEFAWGLANSDQTFLWVIRPDLVAGDSAMLPPEFVSATKERGLFASWCSQEQVLSHPSIGGFLTHNGWNSTIESICGGVPMICWPFFAEQQTNCRYCCTEWGIGMEINSDVKRGEVESLVRELMGGEKGSEMKKKTREWKKMAEEAITSTGSSCMNLDDMINKVLLSPRD >Potri.010G000600.1.v4.1 pep chromosome:Pop_tri_v4:10:61529:62479:-1 gene:Potri.010G000600.v4.1 transcript:Potri.010G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G000600.v4.1 MGVITCEKEIALSIPPAKIFKAFVLDGNHLIPKAVPGVIESLALLEGDGGPGSIKQVNFGEGTGYKYVKERIDVIDKENCIYEYTMIEGDVLGSEFEKVSNVVKFEASPDGGSICKGSSKYYTIGDIKVNEEEIDAFKEKQMGLFKAIEAYLLANPDA >Potri.018G079700.1.v4.1 pep chromosome:Pop_tri_v4:18:9737957:9740692:-1 gene:Potri.018G079700.v4.1 transcript:Potri.018G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079700.v4.1 MASIYLSICSTSKPLAAPPKSAGRTTASASSSSSCFKSQFCGWFLAGRLVCSGSRKQKKQMQVVSMAPDEEKLTRRSPLDFPIEWERPKPGSRPDIFPQFSPMKTPIPPPLPYDPPEEDEEEEEEKKKEEEEEDPEKEEEPDKPEKQ >Potri.004G081800.7.v4.1 pep chromosome:Pop_tri_v4:4:6743030:6754688:1 gene:Potri.004G081800.v4.1 transcript:Potri.004G081800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081800.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRRGGGSYDERRFINDRYSRDNGYPRHAIPRNILERENYPPPPAVDVWPQSRRRSYEEEYPLDRESRRHERPYFDTYHDIDAVHDRDGNHSIDNYRDHGLDRASRFGERDRDDYAYDDYDYKSHTSYQNREDSHERDYEYGRHSYDSDYERGSRRDGNWRRRGSHDREHDKRGLSQEKSQSPHRRHERSRSRGYDDRPRSRSPRSRSHSRSQREDSYDGGRHERSERRRDREHKRQRGHYDVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACTMMDRIGDDGLVVDGRKLFFEYSKPTGGAGGPFGQEKSGQHRNITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPSADMTLSNPPSSGKKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATNGTTLEKNGQILRVAYAKSILGPGSSGPSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDEKQSVGGQEQAGGEITVQKDGSAAQSAFVWDEASGYYYDAASGFYFDGNTGLYYDGNSGVWYTYDQQTQQYIPYTENSDNKASGKQSENSKSSDGSSNRKVVISAPAATITSSVEKPASLHDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLSMWKQRSHEGQATRVALDDSHPSVPADDRLFSAGQSTKSKFKSDSTTTKENTMSSSGVAATPSAQTTGLESPVKPRPASNSSGGTLMGVIRGSGRGVVKSDTSYSIPSAGVSTSNAAIPLTMAGSSTNSDTFPAATPFRTDASALGSYTPPVAAVSGKRRFSEMPLPSASTHKEQPQTSYRDRAAERRSLYGSSSVGDDLPDMDSHRESAFKRSALDSMPFPPGVGGGRGIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGSGMIEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREMS >Potri.004G081800.1.v4.1 pep chromosome:Pop_tri_v4:4:6743034:6754712:1 gene:Potri.004G081800.v4.1 transcript:Potri.004G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081800.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRGGGSYDERRFINDRYSRDNGYPRHAIPRNILERENYPPPPAVDVWPQSRRRSYEEEYPLDRESRRHERPYFDTYHDIDAVHDRDGNHSIDNYRDHGLDRASRFGERDRDDYAYDDYDYKSHTSYQNREDSHERDYEYGRHSYDSDYERGSRRDGNWRRRGSHDREHDKRGLSQEKSQSPHRRHERSRSRGYDDRPRSRSPRSRSHSRSQREDSYDGGRHERSERRRDREHKRQRGHYDVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACTMMDRIGDDGLVVDGRKLFFEYSSKPTGGAGGPFGQEKSGQHRNITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPSADMTLSNPPSSGKKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATNGTTLEKNGQILRVAYAKSILGPGSSGPSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDEKQSVGGQEQAGGEITVQKDGSAAQSAFVWDEASGYYYDAASGFYFDGNTGLYYDGNSGVWYTYDQQTQQYIPYTENSDNKASGKQSENSKSSDGSSNRKVVISAPAATITSSVEKPASLHDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLSMWKQRSHEGQATRVALDDSHPSVPADDRLFSAGQSTKSKFKSDSTTTKENTMSSSGVAATPSAQTTGLESPVKPRPASNSSGGTLMGVIRGSGRGVVKSDTSYSIPSAGVSTSNAAIPLTMAGSSTNSDTFPAATPFRTDASALGSYTPPVAAVSGKRRFSEMPLPSASTHKEQPQTSYRDRAAERRSLYGSSSVGDDLPDMDSHRESAFKRSALDSMPFPPGVGGGRGIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGSGMIEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREMS >Potri.004G081800.6.v4.1 pep chromosome:Pop_tri_v4:4:6743034:6755170:1 gene:Potri.004G081800.v4.1 transcript:Potri.004G081800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081800.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRRGGGSYDERRFINDRYSRDNGYPRHAIPRNILERENYPPPPAVDVWPQSRRRSYEEEYPLDRESRRHERPYFDTYHDIDAVHDRDGNHSIDNYRDHGLDRASRFGERDRDDYAYDDYDYKSHTSYQNREDSHERDYEYGRHSYDSDYERGSRRDGNWRRRGSHDREHDKRGLSQEKSQSPHRRHERSRSRGYDDRPRSRSPRSRSHSRSQREDSYDGGRHERSERRRDREHKRQRGHYDVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACTMMDRIGDDGLVVDGRKLFFEYSSKPTGGAGGPFGQEKSGQHRNITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPSADMTLSNPPSSGKKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATNGTTLEKNGQILRVAYAKSILGPGSSGPSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDEKQSVGGQEQAGGEITVQKDGSAAQSAFVWDEASGYYYDAASGFYFDGNTGLYYDGNSGVWYTYDQQTQQYIPYTENSDNKASGKQSENSKSSDGSSNRKVVISAPAATITSSVEKPASLHDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLSMWKQRSHEGQATRVALDDSHPSVPADDRLFSAGQSTKSKFKSDSTTTKENTMSSSGVAATPSAQTTGLESPVKPRPASNSSGGTLMGVIRGSGRGVVKSDTSYSIPSAGVSTSNAAIPLTMAGSSTNSDTFPAATPFRTDASALGSYTPPVAAVSGKRRFSEMPLPSASTHKEQPQTSYRDRAAERRSLYGSSSVGDDLPDMDSHRESAFKRSALDSMPFPPGVGGGRGIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGSGMIEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREMS >Potri.004G081800.8.v4.1 pep chromosome:Pop_tri_v4:4:6743030:6754696:1 gene:Potri.004G081800.v4.1 transcript:Potri.004G081800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081800.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRGGGSYDERRFINDRYSRDNGYPRHAIPRNILERENYPPPPAVDVWPQSRRRSYEEEYPLDRESRRHERPYFDTYHDIDAVHDRDGNHSIDNYRDHGLDRASRFGERDRDDYAYDDYDYKSHTSYQNREDSHERDYEYGRHSYDSDYERGSRRDGNWRRRGSHDREHDKRGLSQEKSQSPHRRHERSRSRGYDDRPRSRSPRSRSHSRSQREDSYDGGRHERSERRRDREHKRQRGHYDVAPSATVVVKGLSQKTTEEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACTMMDRIGDDGLVVDGRKLFFEYSKPTGGAGGPFGQEKSGQHRNITVPSDWMCTICGCVNFARRTSCFQCNEPRTDDAPSADMTLSNPPSSGKKGFEAGPTHVLVVRGLDENADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATNGTTLEKNGQILRVAYAKSILGPGSSGPSQSSSLAAAAIEAAAFAQQYDAVGWAPKEYNPDEKQSVGGQEQAGGEITVQKDGSAAQSAFVWDEASGYYYDAASGFYFDGNTGLYYDGNSGVWYTYDQQTQQYIPYTENSDNKASGKQSENSKSSDGSSNRKVVISAPAATITSSVEKPASLHDAVQAAATAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLSMWKQRSHEGQATRVALDDSHPSVPADDRLFSAGQSTKSKFKSDSTTTKENTMSSSGVAATPSAQTTGLESPVKPRPASNSSGGTLMGVIRGSGRGVVKSDTSYSIPSAGVSTSNAAIPLTMAGSSTNSDTFPAATPFRTDASALGSYTPPVAAVSGKRRFSEMPLPSASTHKEQPQTSYRDRAAERRSLYGSSSVGDDLPDMDSHRESAFKRSALDSMPFPPGVGGGRGIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGSGMIEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREMS >Potri.008G135920.1.v4.1 pep chromosome:Pop_tri_v4:8:9074044:9076502:-1 gene:Potri.008G135920.v4.1 transcript:Potri.008G135920.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135920.v4.1 MQKSASASSLGPGGLDLSDMFFKPIQNTSPPSPTKRQTKISVIGAGNVGMAIAQTILTQDLADEIALVDAQPEKLRGEMLDLQHAAAFLPRTKIIASTDYLVTVGSDLCIVTAGARQIAGESRLNLLQRNVALFRGIIPPLAKYSPGTILMIVSNPVDVLTYVAWKLSGFPSNRVVGSGTNLDSSRFRFLIADHLDVNAQDVQASIIGEHGDSSVALWSSISVGGVPVLSFLEKQQIPYEKEH >Potri.001G029900.1.v4.1 pep chromosome:Pop_tri_v4:1:2243751:2246431:-1 gene:Potri.001G029900.v4.1 transcript:Potri.001G029900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029900.v4.1 MATATAQALRVMKVSGNSRSVEEARARVFDFFKLACRSLPAVMDIYNLDDVVNKSQLRSAISSQIRKNSHITNAKVIDMLLFKGMEELGNIVEHHKQRHHIIGQYILGKEGTLHDSSPKDPSTSDFLKNFYSGNYF >Potri.005G235300.1.v4.1 pep chromosome:Pop_tri_v4:5:23346582:23348389:-1 gene:Potri.005G235300.v4.1 transcript:Potri.005G235300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235300.v4.1 MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Potri.007G120400.1.v4.1 pep chromosome:Pop_tri_v4:7:13854635:13855371:1 gene:Potri.007G120400.v4.1 transcript:Potri.007G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120400.v4.1 MSFSNFASFLCLLAFSLLVHTGFGADPLFHFCSTPENFTANGPYESNLNKLTSFLYYQAPRTGFGMGSKGQKPVQAYGLALCRGDASTSDCKTCVVEAGSEIRKRCPYNEAAIIWYDNCLLKYSNKGFFGQIDNGNKFYMWNVNAVSEPVPFNEKTKELLTQLANKAKATPKLYATGGMELGESTKLYGLVQCTRDLSSAVCKKCLDGIIGELPSCCDGKEGGRVVSGSCNFRYEIYPFVNA >Potri.018G101100.2.v4.1 pep chromosome:Pop_tri_v4:18:12105152:12107280:1 gene:Potri.018G101100.v4.1 transcript:Potri.018G101100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101100.v4.1 MANQGAKKRKEENSRHMANLRRLIIACNVIYVLVRLVIFHSSFTWKHWIGLILTSVAYFIPYRQLAAMASPAYADDGDLLDGGYDMSTGGICGYLHDVIYITSFVQLMSIISGKFWYTYLVIPAFGAYKSFGFFRGFLSNGSEEGAEDEKTRKKREKLEKKASRGKFVKTRTR >Potri.010G111200.1.v4.1 pep chromosome:Pop_tri_v4:10:13126095:13126409:-1 gene:Potri.010G111200.v4.1 transcript:Potri.010G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111200.v4.1 MVSHHKVGVEAARARRYAGAARAAIIFLFWILLILAQLGVFLVVHEETDKPVKSLPRKARVFETGSVHASPNQDQPVNIDGGDPDAVYEDDKRTIHTGPNPLHN >Potri.001G164200.1.v4.1 pep chromosome:Pop_tri_v4:1:13942913:13943275:-1 gene:Potri.001G164200.v4.1 transcript:Potri.001G164200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164200.v4.1 MDEPGLSKSDILMTVEDENTLVIKSAGKRKREDDDEEGCKYIRLERKAPQKLLRKFRLPENAIVSSAITAKCEIGVLPVVVEKHPPPPKTDS >Potri.016G126600.3.v4.1 pep chromosome:Pop_tri_v4:16:12984867:12986639:-1 gene:Potri.016G126600.v4.1 transcript:Potri.016G126600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126600.v4.1 MPCLNISTNVNLDGVNTSAILSEASSQVAKIIKKPESYVMIVLKGSVPISFGGTEQPAAYGELVSVGGLNSDVNNKLSSAIATILESKLSVPKSRFFLKFFDAKAHQSQEYAQCLHALHQY >Potri.016G126600.2.v4.1 pep chromosome:Pop_tri_v4:16:12984634:12986670:-1 gene:Potri.016G126600.v4.1 transcript:Potri.016G126600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126600.v4.1 MPCLNISTNVNLDGVNTSAILSEASSQVAKIIKKPESYVMIVLKGSVPISFGGTEQPAAYGELVSVGGLNSDVNNKLSSAIATILESKLSVPKSRFFLKFFDAKGSHFGWNGSTF >Potri.007G034900.11.v4.1 pep chromosome:Pop_tri_v4:7:2726412:2747481:-1 gene:Potri.007G034900.v4.1 transcript:Potri.007G034900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034900.v4.1 MFFHDAVYRRLVSLLRPWLQEEPEIELQLGFINSELTAKKLKFDVSALNNESESSRFQFKEVTVDHLSFRFSNWSSPACKIGIRGVNITLLAGEVKEEGSLRRARKLSEEKKKAVAGFDPEGSALHNVLERILLNPPSRNWFKTSLLNLLLKHCHLQISDTNLQVQFPDLNDAVVFLLELKDFNGESEHSDPGCLLRGVVGAVFKPLKVVSFVMDFRGFGFAYKMEDQINHISSFTDLLSCIKLNDLRVADFNIRVPKLSLLFSPLDLLVLSAFGKLSTKERKHVRSGRQLWKLAANRLGYVPSSPRLSLHKLVDFICLWLRYQNAYEYLLSLLGYSADNLLKKSVIKLSEDKMFLNSVKHNWGEISGIEKELPAEAIAQARRIARYRAVSNIQNGKNSFKESSMDKQVNVFSKILSVFIVIWNVMYKILLSILHCFFFIILFFQRPKLDWNPGNNSEDYSSRYCFLLNFGKILVTFSSTSKHKNVDERIESHTGISYSDIHSFSLSIHMLLLAYVDEVFEQSLSLSCGKLKVKSSSVMETAIVDRSVKNPFSSKKVRRKGSVDKLKTILMGKPAQVFLPSQTSETSVANPAEGTCNPYLQTLMGEMWLAWQKSSAGYKDNEIAYSETPWLLCEIKNCLMDPNLKRPVSGFWKCSLTAGKLNLALGYSSVLSLAILLGQIQHALNLNESTGRATVPLNFPPTIENQEEISWEDKYELYSNRLKLTFLRMLPEKHIELGVFVTGPCIEMTPRKVGLNSGDKDTNQDDFQLGFDIQNIEVVVWPTSKSDLALTGWSESDGAEPESHKLREPQIIEIPKPDNEKYASEGWISLGSYFKISGFDIYKGNSAEREQNQIFSMKPIAARLSFFREFVYTFSTTVIAFSTTFSVTASGFHIISYMDELYVLFQVVAGLLSTVSLSYAFRSFDISGFMPLQNFVRQNMVFNELENDEISAEGAALICNSTLVSTTGTFNFKSMDVILQNSRIDDKEGSSVKTYAAMSNQMAGHDLPDCGILISVHQTHAEVSLEEHKLKILCDLQGIQFVISRYPDHMLKSFDHSVVRNLLQQTEGGLYEIFLSDFTFTFWLGQPHNSLNNSVGKTSSSGNTSQTVDNAHLISECETSTAQSSRFTQKSDFATDITASSPSQWILVNVTLGIIFVAKGSLKNSLVGPNQFNKLTALLEVGRNLQTFSWGIKGGVLVLETAALTMFFRCVVSYLQRIKHLLSIISSSVKQAENAENEAQETLHMTRQTKWEWLEASTIDVSQFSLTLVVEDGSGGFQELVIEVDVHMKFESAILLRKFIFNLSRMVIFSQVHRNYVENENHGSHQSKMLNNLVASISAEKHENDHKSNQVWVGMGSISDFEMTISLSEIQMILSMVSSFSSASNKEIGSDLKRRRLSSNQEPDNCLKAMVPDGAIVAIQDVHQHLYFAVEERENKYSLFGVMHHSLVGEKALFRVKHHKQGMWKSSVLWFSLISLHAKNNVGEPLRLNYHSGSGFVGISSANDSGWSLWRILPCEPESYNSDIDWEPYNKLVKDTFYLVNKKSDSAVAFVDGVPLFVKKPGHPFKFKVCNQFPWTHEDAGRISGQCRSLPCLQIKIDHVSVTIVHELLDTRDRFPLLRGCISNTELNLQILYYKTRVMSTSIALLDYFDAQKNLWRELVHPLEICTFYRSVFHIQDSETVQHGAPVHFYCRSKELDISLTELSLDVLLFVIGKLQLAGPFSSRSSMILSNSCKVENQTGLSLICHFYNKKSVTIARKQSASVSLSQILANQPPESKSLVTIQLSDLGSFATSSLDISILETRVLAWRTSIVSLQDSRTYPGPFVVVETSRKSEDGLSISVSPLIRIHNETEFSMELCFRRSQQDEDVFAPILLKKGSSVDDSMKVFEAIGSSGGLKKALMSFTVGNFLFSFRPEITDDLINSKSPLSAEWSDELKGGKAVFLSGIFDKLSYKVRKALSVDTIKCSFSTAACTLKSGDAHATNLHFLIQSIGRDVPIIQPDKSSGSSDMTSAVALQEQKEIFILPTVRVSNLLHSEIHVLLTEKGLCTTVGSDSFGKQAAIPRGSTVDFYANPAILYFTVTLTAFSMSCKPVNSGDWVKKLLKNKNKVHFLDIDLEFGGGKYFASLRLSRGYRGILEVSVFTQYSLKNDTEFSLFMFAPHQKPLSRDEVRRFGSTIPPDLGLFSPPNSIRSWFLKSHKTRLKLLEDSASEALLDLDALSGLTEISLDKEEGSGEKSIVKFGVSVGPSSSSVMVPSQIVTMVPRHVVFNESEEHITVRQYYLEKDEVTSLVHINSKQRTALKLWNGISERKEFSLFENFIRKHRNDIDTSLVYIQFRLNDPESSWSGPVCIVSLGRFFIKFRKQSNQDQALDNSAFEFAAIHVVEEGSTVGVHFHKPPNVTLPYRIENHLHDLSLTFCQKDSSEREILGSGCSAYYVWDDLTLPRKLVVLINDLQREINLDKVRAWKPFFKSTKLRGLASHSFLHKESRDQKSYFDNLNSMDIMKVGYEVYAEGTTRVLRICEFLDSHKRDRLSQLRAKIQVRVFHFAIHFLEHEKKDVDEVVDLTYTPLIVARLGNISVDSVFTDLKKFNRISVQSLNVDQKWLGSPFAAMLRRHQSDYSDSNASVLEFVLVLLSTSSNVRQVEYSSMILQPIDLNLDEETLMRIASFWRTSLSDSSTPSRQHYFDHFEIHPVKIITNFLPGDTYSSYNSAQETLRSLLHSVVKVPPIKNMVVELNGVLVTHALITMHELFIRCAQHYSWYAMRAIYIAKGSPLLPPAFASIFDDLASSSLDVYFDPSRGLIKIPGFNLGAFKFLSKCINARGFSGTKRYFGDLEKTLRTVGSNMVFAAATEISDSVLKGAETNGFDGMASGFHQGILKLAMEPSLLGTALKGGGPDRKVQLDRNPGIDELYVEGYLQAMLDTTYRQEYLRVRVIDDQVFLKNLPPNSALIDEIMDRVKGFLISKGLLKGDPSTSYRPLRHLQGESEWKIGPTVWTLCEHLVVSFAIRMLRKQTGKFVAKINLKKEPESDDGKAIVPADSREQEKKGKFIWKRGIRSFVFSGILAYIDGRLCRSIPNPLARRIVSGFLFSFLDKNDSG >Potri.007G034900.6.v4.1 pep chromosome:Pop_tri_v4:7:2726402:2747446:-1 gene:Potri.007G034900.v4.1 transcript:Potri.007G034900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034900.v4.1 MFFHDAVYRRLVSLLRPWLQEEPEIELQLGFINSELTAKKLKFDVSALNNESESSRFQFKEVTVDHLSFRFSNWSSPACKIGIRGVNITLLAGEVKEEGSLRRARKLSEEKKKAVAGFDPEGSALHNVLERILLNPPSRNWFKTSLLNLLLKHCHLQISDTNLQVQFPDLNDAVVFLLELKDFNGESEHSDPGCLLRGVVGAVFKPLKVVSFVMDFRGFGFAYKMEDQINHISSFTDLLSCIKLNDLRVADFNIRVPKLSLLFSPLDLLVLSAFGKLSTKERKHVRSGRQLWKLAANRLGYVPSSPRLSLHKLVDFICLWLRYQNAYEYLLSLLGYSADNLLKKSVIKLSEDKMFLNSVKHNWGEISGIEKELPAEAIAQARRIARYRAVSNIQNGKNSFKESSMDKQVNVFSKILSVFIVIWNVMYKILLSILHCFFFIILFFQRPKLDWNPGNNSEDYSSRYCFLLNFGKILVTFSSTSKHKNVDERIESHTGISYSDIHSFSLSIHMLLLAYVDEVFEQSLSLSCGKLKVKSSSVMETAIVDRSVKNPFSSKKVRRKGSVDKLKTILMGKPAQVFLPSQTSETSVANPAEGTCNPYLQTLMGEMWLAWQKSSAGYKDNEIAYSETPWLLCEIKNCLMDPNLKRPVSGFWKCSLTAGKLNLALGYSSVLSLAILLGQIQHALNLNESTGRATVPLNFPPTIENQEEISWEDKYELYSNRLKLTFLRMLPEKHIELGVFVTGPCIEMTPRKVGLNSGDKDTNQDDFQLGFDIQNIEVVVWPTSKSDLALTGWSESDGAEPESHKLREPQIIEIPKPDNEKYASEGWISLGSYFKISGFDIYKGNSAEREQNQIFSMKPIAARLSFFREFVYTFSTTVIAFSTTFSVTASGFHIISYMDELYVLFQVVAGLLSTVSLSYAFRSFDISGFMPLQNFVRQNMVFNELENDEISAEGAALICNSTLVSTTGTFNFKSMDVILQNSRIDDKEGSSVKTYAAMSNQMAGHDLPDCGILISVHQTHAEVSLEEHKLKILCDLQGIQFVISRYPDHMLKSFDHSVVRNLLQQTEGGLYEIFLSDFTFTFWLGQPHNSLNNSVGKTSSSGNTSQTVDNAHLISECETSTAQSSRFTQKSDFATDITASSPSQWILVNVTLGIIFVAKGSLKNSLVGPNQFNKLTALLEVGRNLQTFSWGIKGGVLVLETAALTMFFRCVVSYLQRIKHLLSIISSSVKQAENAENEAQETLHMTRQTKWEWLEASTIDVSQFSLTLVVEDGSGGFQELVIEVDVHMKFESAILLRKFIFNLSRMVIFSQVHRNYVENENHGSHQSKMLNNLVASISAEKHENDHKSNQVWVGMGSISDFEMTISLSEIQMILSMVSSFSSASNKEIGSDLKRRRLSSNQEPDNCLKAMVPDGAIVAIQDVHQHLYFAVEERENKYSLFGVMHHSLVGEKALFRVKHHKQGMWKSSVLWFSLISLHAKNNVGEPLRLNYHSGSGFVGISSANDSGWSLWRILPCEPESYNSDIDWEPYNKLVKDTFYLVNKKSDSAVAFVDGVPLFVKKPGHPFKFKVCNQFPWTHEDAGRISGQCRSLPCLQIKIDHVSVTIVHELLDTRDRFPLLRGCISNTELNLQILYYKTRVMSTSIALLDYFDAQKNLWRELVHPLEICTFYRSVFHIQDSETVQHGAPVHFYCRSKELDISLTELSLDVLLFVIGKLQLAGPFSSRSSMILSNSCKVENQTGLSLICHFYNKKSVTIARKQSASVSLSSQILANQPPESKSLVTIQLSDLGSFATSSLDISILETRVLAWRTSIVSLQDSRTYPGPFVVVETSRKSEDGLSISVSPLIRIHNETEFSMELCFRRSQQDEDVFAPILLKKGSSVDDSMKVFEAIGSSGGLKKALMSFTVGNFLFSFRPEITDDLINSKSPLSAEWSDELKGGKAVFLSGIFDKLSYKVRKALSVDTIKCSFSTAACTLKSGDAHATNLHFLIQSIGRDVPIIQPDKSSGSSDMTSAVALQEQKEIFILPTVRVSNLLHSEIHVLLTEKGLCTTVGSDSFGKQAAIPRGSTVDFYANPAILYFTVTLTAFSMSCKPVNSGDWVKKLLKNKNKVHFLDIDLEFGGGKYFASLRLSRGYRGILEVSVFTQYSLKNDTEFSLFMFAPHQKPLSRDEVRRFGSTIPPDLGLFSPPNSIRSWFLKSHKTRLKLLEDSASEALLDLDALSGLTEISLDKEEGSGEKSIVKFGVSVGPSSSSVMVPSQIVTMVPRHVVFNESEEHITVRQYYLEKDEVTSLVHINSKQRTALKLWNGISERKEFSLFENFIRKHRNDIDTSLVYIQFRLNDPESSWSGPVCIVSLGRFFIKFRKQSNQDQALDNSAFEFAAIHVVEEGSTVGVHFHKPPNVTLPYRIENHLHDLSLTFCQKDSSEREILGSGCSAYYVWDDLTLPRKLVVLINDLQREINLDKVRAWKPFFKSTKLRGLASHSFLHKESRDQKSYFDNLNSMDIMKVGYEVYAEGTTRVLRICEFLDSHKRDRLSQLRAKIQVRVFHFAIHFLEHEKKDVDEVVDLTYTPLIVARLGNISVDSVFTDLKKFNRISVQSLNVDQKWLGSPFAAMLRRHQSDYSDSNASVLEFVLVLLSTSSNVRQVEYSSMILQPIDLNLDEETLMRIASFWRTSLSDSSTPSRQHYFDHFEIHPVKIITNFLPGDTYSSYNSAQETLRSLLHSVVKVPPIKNMVVELNGVLVTHALITMHELFIRCAQHYSWYAMRAIYIAKGSPLLPPAFASIFDDLASSSLDVYFDPSRGLIKIPGFNLGAFKFLSKCINARGFSGTKRYFGDLEKTLRTVGSNMVFAAATEISDSVLKGAETNGFDGMASGFHQGILKLAMEPSLLGTALKGGGPDRKVQLDRNPGIDELYVEGYLQAMLDTTYRQEYLRVRVIDDQVFLKNLPPNSALIDEIMDRVKGFLISKGLLKGDPSTSYRPLRHLQGESEWKIGPTVWTLCEHLVVSFAIRMLRKQTGKFVAKINLKKEPESDDGKAIVPADSREQEKKGKFIWKRGIRSFVFSGILAYIDGRLCRSIPNPLARRIVSGFLFSFLDKNDSG >Potri.007G034900.12.v4.1 pep chromosome:Pop_tri_v4:7:2726412:2733319:-1 gene:Potri.007G034900.v4.1 transcript:Potri.007G034900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034900.v4.1 MSCKPVNSGDWVKKLLKNKNKVHFLDIDLEFGGGKYFASLRLSRGYRGILEVSVFTQYSLKNDTEFSLFMFAPHQKPLSRDEVRRFGSTIPPDLGLFSPPNSIRSWFLKSHKTRLKLLEDSASEALLDLDALSGLTEISLDKEEGSGEKSIVKFGVSVGPSSSSVMVPSQIVTMVPRHVVFNESEEHITVRQYYLEKDEVTSLVHINSKQRTALKLWNGISERKEFSLFENFIRKHRNDIDTSLVYIQFRLNDPESSWSGPVCIVSLGRFFIKFRKQSNQDQALDNSAFEFAAIHVVEEGSTVGVHFHKPPNVTLPYRIENHLHDLSLTFCQKDSSEREILGSGCSAYYVWDDLTLPRKLVVLINDLQREINLDKVRAWKPFFKSTKLRGLASHSFLHKESRDQKSYFDNLNSMDIMKVGYEVYAEGTTRVLRICEFLDSHKRDRLSQLRAKIQVRVFHFAIHFLEHEKKDVDEVVDLTYTPLIVARLGNISVDSVFTDLKKFNRISVQSLNVDQKWLGSPFAAMLRRHQSDYSDSNASVLEFVLVLLSTSSNVRQVEYSSMILQPIDLNLDEETLMRIASFWRTSLSDSSTPSRQHYFDHFEIHPVKIITNFLPGDTYSSYNSAQETLRSLLHSVVKVPPIKNMVVELNGVLVTHALITMHELFIRCAQHYSWYAMRAIYIAKGSPLLPPAFASIFDDLASSSLDVYFDPSRGLIKIPGFNLGAFKFLSKCINARGFSGTKRYFGDLEKTLRTVGSNMVFAAATEISDSVLKGAETNGFDGMASGFHQGILKLAMEPSLLGTALKGGGPDRKVQLDRNPGIDELYVEGYLQAMLDTTYRQEYLRVRVIDDQVFLKNLPPNSALIDEIMDRVKGFLISKGLLKGDPSTSYRPLRHLQGESEWKIGPTVWTLCEHLVVSFAIRMLRKQTGKFVAKINLKKEPESDDGKAIVPADSREQEKKGKFIWKRGIRSFVFSGILAYIDGRLCRSIPNPLARRIVSGFLFSFLDKNDSG >Potri.011G055200.1.v4.1 pep chromosome:Pop_tri_v4:11:2349343:2352358:1 gene:Potri.011G055200.v4.1 transcript:Potri.011G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055200.v4.1 MGFSPLCLSQSLSFILFLFHFHSTISAPLSSNYQSLSLLQFKQSFSISRSASSEYYCQYPFPKTESWKEGTDCCLWDGVSCDLKTGHVTGLDLSCSMLYGTLLPNNSLFSLHHLQQLDLSFNDFNSSHISSRFGQFSNLTHLNLSSSDLAGQVPLEVSHLSKLVSLDLSWNYDLSLEPICFDKLVRNLTKLRELDLSSVDMSLVVPSSLMNLSSSLSSLKLNDCRLQGKLPSSMGKFKHLQYLDLGENNLTGPIPYDFEQLSELVSLHLSSNNYLSLEPISFDKIVQNLTKLRDLALGSVNMSLVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPNLESLDLSDNKGLTGSFPSSNLSNVLSRLGLSNTRISVYLENDLISNLKSLEYMYLSNSNIIRSDLAPLGNLTHLIYLDLSVNNLSGEIPSSLGNLVHLHSLLLGSNNFMGQVPDSLNSLVNLLYLDLSNNQLIGSIHSQLNTLSNLQSLYLSNNLFNGTIPSFLLALPSLQHLDLHNNNLIGNISELQHYSLVYLDLSNNHLHGTIPSSVFKQQNLEVLILASNSGLTGEISSFICKLRFLRVLDLSNSSFSGSMPLCLGNFSNMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNELEGKISPSIINCTMLEVLDLGNNKIEDTFPCFLETLPRLQILVLKSNKLQGFVKGPTAYNSFSKLRILDISDNNFSGPLPTEYFNSLEAMMASDQNMIYMRARNYSSYVYSIEITWKGVEIELLKIQSTIRVLDLSNNNFTGEIPKVIGKLKALQQLNLSHNSLTGHIQSSLGILANLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLEGPIPSGEQFNTFNASSFEGNLGLCGFQVLKECYGDEAPSLPPSLPPSSFNEGDDSTLFGDGCGWKAVTMGYGCGFVFGVATGYFVFRTKKYLWFLRMVEDKWNLEVNWALLL >Potri.001G153200.2.v4.1 pep chromosome:Pop_tri_v4:1:12837550:12841042:-1 gene:Potri.001G153200.v4.1 transcript:Potri.001G153200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153200.v4.1 MNINRNAPIIITRYSNSYKILSCVPKTQILEAKPGFLKSRNMAYKLRARKQKRPYVSCDDDDDDDGHESDSSRRMDPDFTRNVRPRRSNTMITESSEQRRAGRPPKRTRKSTPSAGEASTSGSKPAEYVARTIMSRLIDHGMIIENEKIYYVADREGDSDARKQSKKEVLMKGRARREGVRCECCNEVMTVWDFETHAGSVLQRPYEHIHVARSNSSLLQCQFEVWQSNVEVERRTFNEIVPRNGASDKHDDACLICADGGDLICCEKCWSTSHLKCMGLERIPQGDWICPYCVCKHCNKNDKDLQTCVQCDKKYHCQCLVSNKELDLNASGETLACDSHCGEVYEKLQSLVGVKHELEGGFCWTLLQRMEPDNLDFKDLHLITECNSKIALAWEVLDECFTTIIDRHTQINVVQSVAYSRGSNLNRINFRGFYTAILEKNDDIISAATIRVHGTDLAEMPFIGTRHLYRQNGMSRMLLVTLESIFSVMGVEHLIIPSVQELTEMWEGKCGFSPIEDAVSQKITNWNTLTFPSAVRLQKALLSTPASSSSAVMNADVGVDVDEGVDMVSDNCAKLAGLDLNHEYIESGDEEAEDK >Potri.012G041700.1.v4.1 pep chromosome:Pop_tri_v4:12:3715289:3719552:1 gene:Potri.012G041700.v4.1 transcript:Potri.012G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041700.v4.1 MGWSFVVVSLIVLWIASLWKVLFSSSSTSSSKTSFLSDDRALSKRNVLLVVAHPDDESMFFSPTINYLISRGHNLYILCFSIGNADGMGNTRKDEFYQACAVLKVPLQQVKVLDHPDLQDGFGKVWDHELLAKIIEEEVSSHGIDMIITFDNYGVSGHCNHRDVHYGVCQLLHNVSERSVEAWELISTCILRKYSGPVDIWLSMLLSLRYTDGMTHCLLNEHPRKSFHAMAEHSSQWVWFRKLFVSFSSYTYVNTLRKVEEVKQS >Potri.004G235800.1.v4.1 pep chromosome:Pop_tri_v4:4:24023586:24029703:1 gene:Potri.004G235800.v4.1 transcript:Potri.004G235800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235800.v4.1 MVGGGGGGGGASSTWRNAYQEIANSKPLFVAIYATVFLGIVFSSLYVLSAVYSANSSSSSTSWLSSPPIPTSIDNTRHVDQSSNFSKPTTLAAGSSPPTSNPQTMQIKPIWEPPPHGTKFPPLKTFRLTKQLVQQRVKDNVIIVTFGNYAFMDFILSWVKHLTDLGLSNLLVGAMDTKLLEALYWKGIPVFDMGSHMSTADVGWGSPTFHKMGREKVILIDAILPYGVELLMCDTDMVWLKDPLPYLARYPEADVLTSSDQVVPTVVDDSLDLWQQVGAAYNIGIFHWRPTESAKKLAREWKDMLLADDKIWDQNGFNDIVRKQLGPSVDGDSGLAYAFDGNLKLGVLPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREAMVFYDPPEYYDAPGGFVSFKPSIPKSMLLDGDHNLETHFSLINYQIKQIRTALAIASLLKRALVMPPLWCRLDRLWFAHPGVLIGSMTRQPFLCPLDHVFEVNNMLKEQPEEEFGPAINFREYSFLDNPLLPRHVKESWLDVQLCQEGAEDCGASNKTSRPGILRFPKRSNEDMFKTTFSSFKDVKVIQFSSMQDAFVGFTDKRREEKFRNRMKRYVGIWCCVENHDPGHIYYDMYWDEKSNWKPMPPQSAEDDHPPW >Potri.019G049901.1.v4.1 pep chromosome:Pop_tri_v4:19:8199019:8199327:1 gene:Potri.019G049901.v4.1 transcript:Potri.019G049901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049901.v4.1 MSSVEHCSKTWTGPAVEPFLLLVPAFFNSVFDCCFSQSSLFCFLTYVLFHLLSATLAIVFFNFYDCDESCLNILVSDASIYSLLTLSFLLGCLYSAFLEAWA >Potri.004G099600.1.v4.1 pep chromosome:Pop_tri_v4:4:8602722:8603485:1 gene:Potri.004G099600.v4.1 transcript:Potri.004G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099600.v4.1 MEKHGGFGRGEVPWNQPRNKESAEELERSRVAFNLRRMRTSYTLGDLLEESSRGVSTHLSLYHHYDPFTIKKKMKPSDLGNLCRLLVPSDLVEKYILPFLNTDQIKQVNQETNLGLKVSVWDMNTQSMHQLVFKRWSTSRSYIFNDGWTKDFVRRRNLVEGDEIGLYWDTYHSRFNFNVLSRAAAAAS >Potri.005G081300.1.v4.1 pep chromosome:Pop_tri_v4:5:5532729:5533854:1 gene:Potri.005G081300.v4.1 transcript:Potri.005G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081300.v4.1 MAALLKVFSHLYTAIMSSFNLILLKTLFLIRSLLPGSKLTNPDKLFLIISTQYLSLIEKTNPAIHYSEKFSRQQSRECAVCLSGFMKGERVRKLRCNHTFHKECLDKWLQLYLATCPLCRTRVLPDEIVVNYHQLQDIIRNGGSYDDTMFLLSALYGNSLKKLF >Potri.002G168100.1.v4.1 pep chromosome:Pop_tri_v4:2:12859323:12864355:-1 gene:Potri.002G168100.v4.1 transcript:Potri.002G168100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168100.v4.1 MASEDVKASESAVTTIVNLAEEAKLAREGVKAPSLAILSICKSLVAGGVAGGVSRTAVAPLERMKILLQVQNPHNIKYNGTIQGLKYIWRTEGFHGLFKGNGTNCARIVPNSAVKFFSYEQASKGILYLYQQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPLDMVRGRLTVQTEKSPHQYRGMFHALSTVLRQEGPRALYKGWLPSVIGVIPYVGLNFSVYESLKDWLVKARPSGLVEDSELNVTTRLACGAAAGTVGQTVAYPLDVIRRRMQMVGWKGAASIVTGDGRSKAPLEYTGMIDAFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDILGVQIRISD >Potri.014G097300.1.v4.1 pep chromosome:Pop_tri_v4:14:6373637:6375654:-1 gene:Potri.014G097300.v4.1 transcript:Potri.014G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097300.v4.1 MADPTEREPQQTEAVCNFFRKPMKNKNIRKRMIDEDEDEDEDSKTQTSLLHSQKKAPKADNKLYFSTGPLKKSSESNAEPERLLFQFESSKEIQVQHDSRATATLETETEFSKDARAIRERALKQADDALKGKKMSSGDEKLYKGIHGYTDHKAGFRREQTISSEKAGGSHGPLRASAHIRVSARFDYQPDICKDYKETGYCGYGDSCKFMHDRGDYKSGWQMEKEWEEAEKARKRNLALGMDDEEVGGAGHDDDDEDELPFACFICRENFVDPVMTKCKHYFCEHCALKHHAKNKKCFVCNEPTLGIFNAAHEIRKKMADAGKR >Potri.010G105900.1.v4.1 pep chromosome:Pop_tri_v4:10:12728041:12737314:-1 gene:Potri.010G105900.v4.1 transcript:Potri.010G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105900.v4.1 MATMESLIGLVNRIQRACTVLGDYGGEGMSLWEALPSVAVVGGQSSGKSSVLESVVGRDFLPRGSGIVTRRPLVLQLHKIDGGSDYAEFLHAPRKKFTDFASVRKEIADETDRITGKSKQISNVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVEDIENMVRSYVEKPNSIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNALDVIEGRSYRLQHPWVGIVNRSQADINKNVDMIAARRKEREYFETSPEYGHLSSKMGAEYLAKLLSKHLETVIRQRIPSIIALINKTIDELNAELDRIGRPIAVDSGAQLYTILELCRAFDRVFKEHLDGGRPGGDRIYGVFDHQLPAALKKLPFDRHLSMKNVQKVVSEADGYQPHLIAPEQGYRRLIDGSISYFKGPAEATVDAVHFVLKELVRKSIALTEELKRFPTLQSDIAAAANEALERFRDESRRTVQRLVDMESSYLTVEFFRKLHLEPEKNTNTNPNQPGPNADRYNDNHFRRIGSNVSAYIGMVCDTLRNSIPKAVVYCQVREAKRCLLNNFYAQVGRREKERLGAMLDEDPQLMERRTTIAKRLELYKSARDEIDSVAWK >Potri.009G042400.3.v4.1 pep chromosome:Pop_tri_v4:9:4941542:4944982:-1 gene:Potri.009G042400.v4.1 transcript:Potri.009G042400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042400.v4.1 MAEEDVYTKDGTVDYLGNPANRKETGTWRACPFIIGNEFCERLAYYGMSSNLVLYFKHRLNQSSATATRNNLNWGGTCYLTPLIGAFVADAYLGRYWTIASFSTIYVAGMTLLTMSATVHGLRPECYSKDHCHPTGGQTAVTFVALYLIALGTGGIKPCVSSYGADQFDDADETEKKHKSSFFNWFYLSINVGALIAGSVLVWIQDNVSWGWGFGIPAIAMAIAVVSFFSGTKLFRYQKPGGSPLTRICQVLVASFRKQKVEVPADKSLLHETADAESNIKGSRKLDHTEEFSFLDKAAVETEKDDIKGTGDPWNLCTVTQVEELKAIIRLLPIWATGIIFSAVYSQMGNLFVLQGETMDKFVGNSTFEIPSASLSIFDTLSVIFWVPVYDRIIVPVARKFTGHKNGLTQLQRMGIGLFISIFAMVSAAILELKRLQMVRNNNYYELDSVPISIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSMCSALSLTTVALGNYLSSLLVTIVTTISTKNGKAGWIPDNLNYGHIDYFFWLLGILSVLNLGAFLLISNWYTYKKAVGTLR >Potri.009G042400.2.v4.1 pep chromosome:Pop_tri_v4:9:4941477:4945913:-1 gene:Potri.009G042400.v4.1 transcript:Potri.009G042400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042400.v4.1 MAEEDVYTKDGTVDYLGNPANRKETGTWRACPFIIGNEFCERLAYYGMSSNLVLYFKHRLNQSSATATRNNLNWGGTCYLTPLIGAFVADAYLGRYWTIASFSTIYVAGMTLLTMSATVHGLRPECYSKDHCHPTGGQTAVTFVALYLIALGTGGIKPCVSSYGADQFDDADETEKKHKSSFFNWFYLSINVGALIAGSVLVWIQDNVSWGWGFGIPAIAMAIAVVSFFSGTKLFRYQKPGGSPLTRICQVLVASFRKQKVEVPADKSLLHETADAESNIKGSRKLDHTEEFSFLDKAAVETEKDDIKGTGDPWNLCTVTQVEELKAIIRLLPIWATGIIFSAVYSQMGNLFVLQGETMDKFVGNSTFEIPSASLSIFDTLSVIFWVPVYDRIIVPVARKFTGHKNGLTQLQRMGIGLFISIFAMVSAAILELKRLQMVRNNNYYELDSVPISIFWQVPQYFLIGCAEVFTFIGQLEFFYEQAPDAMRSMCSALSLTTVALGNYLSSLLVTIVTTISTKNGKAGWIPDNLNYGHIDYFFWLLGILSVLNLGAFLLISNWYTYKKAVGTLR >Potri.005G176200.1.v4.1 pep chromosome:Pop_tri_v4:5:18227090:18229928:1 gene:Potri.005G176200.v4.1 transcript:Potri.005G176200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176200.v4.1 MGDQTPCEKLCLGLKKVKHYMAMVSLQFGYAGMYIITMVSLKHGMSHYILAVYRHVVATLVIAPFAFVLERKIRPKLTLSIFLRIMVLGFLEPVLDQNLYYLGMKYTSATFSSATTNALPAITFLMALCFRLETVNFKKLHSAAKAIGTVITVTGAMVMTLYKGPVIDFIRSHGAAHHGTSNESGNQHLLTGTLMLLGSCCAWAGFFILQSFTLKKYPAELSLTALICVMGVVEGAAVSLVMERDMGAWKIGFDSRLLAAAYSGVVCSGIAYYVQGVVIRERGPVFVTSFSPLCMITTAALGSLVLAEQIHLGSIIGAVLIVWGLYTVVWGKSKERINSSKLQMTNEKTGTQELPIKDSSTKLSSSINFANSIQGPDDGMSKIPPKS >Potri.003G181600.1.v4.1 pep chromosome:Pop_tri_v4:3:18711580:18717068:1 gene:Potri.003G181600.v4.1 transcript:Potri.003G181600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181600.v4.1 MSRRDPLIVQIDEKLGDLPSNHSTCSIFKVPSRLRHVNERAFEPEILSIGPYHRGKDKLKMMEEHKKRYLQKLLQRRGESSVERYVMAMIRVEGEARKFYDQSVSLGQDEFVEMLLLDGCFIVELIRKSNNIPVVRDQNDPVFEVSWILSSIETDMFLLENQLPFFVLWELFTMTSEVQNEDFFGTALSFCQRIFPGSGNHRIPENEYKHLLDILYHIGYQTPNSRESPEDNDRYFIGNAKELQEAGIKFKKREGSRRLFDVDFSENGTIEIPCLRIYDTTESLFRNLVAYEQCSQRKHLYVTDYIRLMDCLINSQEDVQILRHSGIIENGLGDDGMVCSLFNTLGINVILSHSRYFYYHKVFDGAKEHCNRKRNVWMAKLKHDYFNSPWALLSFLAAVALLLFTLVQTVFTVLSYFQKD >Potri.010G032800.1.v4.1 pep chromosome:Pop_tri_v4:10:5476247:5477518:1 gene:Potri.010G032800.v4.1 transcript:Potri.010G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032800.v4.1 MAKSDVKLIGAWPSPFVMRPRIALNIKSAGYEFLEETLGSKSQLLLESNPVHKKIPVLIHDGKPICESLVIVEYIDEVWSSGPTILPSDPYDRALARFWAAYLDEKWFPTMRSIATAKEEEARKALIEQAGEGVMMLEDAFSRCSKGKGFFGGDQIGYLDIAFGSFLGWLRAIEKMNGVKLIDETKTPSLLKWATSFSSHPAVKDVLPETQKLVEFAKVLAKFKAPSSNS >Potri.005G090800.1.v4.1 pep chromosome:Pop_tri_v4:5:6314540:6319338:1 gene:Potri.005G090800.v4.1 transcript:Potri.005G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090800.v4.1 MDDDDNNNNSSSNTKLGSGESKNNNSEQQEENPNLSSNGEQQEGDGEREGGGGGPQRQTSRRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEAGSYLRDDEDDFGDPDDETDEDDDTDVDEADAFDAAAAAADADAGDHDNTEVEIDPSVYSSDEAYARALQEAEEREVAARLLALAGLNDITGEAEEDTEEDHEDNSQDTWEEVDPDELSYEELLALGEVVGTESRGLSADTIASLPSINYKTGSSQNGSNDSCVICRLDYEDGETLTLLSCKHSYHSECINNWLKINKACPVCNTEVSTSAHS >Potri.005G090800.2.v4.1 pep chromosome:Pop_tri_v4:5:6314511:6319338:1 gene:Potri.005G090800.v4.1 transcript:Potri.005G090800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090800.v4.1 MDDDDNNNNSSSNTKLGSGESKNNNSEQQEENPNLSSNGEQQEGDGEREGGGGGPQRQTSRRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEAGSYLRDDEDDFGDPDDETDEDDDTDVDEADAFDAAAAAADADAGDHDNTEVEIDPSVYSSDEAYARALQEAEEREVAARLLALAGLNDREAEEDTEEDHEDNSQDTWEEVDPDELSYEELLALGEVVGTESRGLSADTIASLPSINYKTGSSQNGSNDSCVICRLDYEDGETLTLLSCKHSYHSECINNWLKINKACPVCNTEVSTSAHS >Potri.008G065800.2.v4.1 pep chromosome:Pop_tri_v4:8:3973247:3978237:1 gene:Potri.008G065800.v4.1 transcript:Potri.008G065800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065800.v4.1 MNTWKRYDLLKQSISHYASCSGLESIHIVWSEPNPPSDSLSTFLNHVIESKTRGLKKVELSFDINKEDSLNNRFKEIPGLKTDAVFSIDDDVIFPCSSVEFAFKVWQSAPNAMVGFVPRAHWVDKTLGKTDYYTYGGWWSVWWTGTYSMVLSKAAFFHKKYLRMYTNEMPKSIKEFVTKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHGERRTRCINRFAAELGRMPLVPTTAKAVDSRYTWFW >Potri.008G065800.1.v4.1 pep chromosome:Pop_tri_v4:8:3973239:3978307:1 gene:Potri.008G065800.v4.1 transcript:Potri.008G065800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065800.v4.1 MRGTLLANRRGMQRFRQLATTAVKSSKIKLLLFCCIAFTLVVVATRASDFMGWTNHSDSLDQFLPPGKGYAIVMNTWKRYDLLKQSISHYASCSGLESIHIVWSEPNPPSDSLSTFLNHVIESKTRGLKKVELSFDINKEDSLNNRFKEIPGLKTDAVFSIDDDVIFPCSSVEFAFKVWQSAPNAMVGFVPRAHWVDKTLGKTDYYTYGGWWSVWWTGTYSMVLSKAAFFHKKYLRMYTNEMPKSIKEFVTKNRNCEDIAMSFLVANATGAPPIWVKGKIFEIGSTGISSLGGHGERRTRCINRFAAELGRMPLVPTTAKAVDSRYTWFW >Potri.019G070522.1.v4.1 pep chromosome:Pop_tri_v4:19:11129996:11131813:1 gene:Potri.019G070522.v4.1 transcript:Potri.019G070522.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070522.v4.1 MASSSAVSHVCKYDVFLSFRGKDTRNNFTSHLYDALCRKQIKTFIDNDLERGEEIALIVSYWVSCCALLLHLNPLMMTLVDSKLNVLTISRMTMPIPAFSIATLPVAMAHCTNDLSIQITYFLDMIECYKRFLVW >Potri.006G146900.1.v4.1 pep chromosome:Pop_tri_v4:6:12562107:12573481:-1 gene:Potri.006G146900.v4.1 transcript:Potri.006G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146900.v4.1 MEEDDRLNKAKEEEEEEEEEEGGDQVGSSLTLERVAAAKIFIENHYKAQMKHIQQRKERRLELKKQLASSQVSEEEQINILKDLEHKETQYMRLKRHKICVDDFDLLTIIGRGAFGEVRLCREKKSGDIYAMKKLKKSEMLSRGQVEHVKAERNLLAEVASHCIVKLYYSFQDAEYLYLIMEYLPGGDIMTLLIREETLTETMARFYISQSVLAIESIHKHNYIHRDIKPDNLLLDKNGHMKLSDFGLCKPLDCTNLSAINENEALDDENLKESMDADGHFPEAGGRRWKSPLEQLQHWQINRRKLAYSTVGTPDYIAPEVLLKKGYGIECDWWSLGAIMYEMLVGYPPFYSDDPVTTCRKIVHWRNHLIFPEEARLTPEAKDLICRLLCDVDHRLGTLGADQIKAHPWFKDIVWDKLYEMEAAFKPEVNGELDTQNFMKFDEVEPPSTRRGSGSMRKTMLNPQDLSFVGYTYKNFDAVKGLHHSFDVKRSSLPRQASTDSCHSDSAVDYSSKDSIGDLHALTLSSSVDACHSEELSNSLRGNHLA >Potri.010G149700.4.v4.1 pep chromosome:Pop_tri_v4:10:15996596:16000727:1 gene:Potri.010G149700.v4.1 transcript:Potri.010G149700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149700.v4.1 MVVASPTQIHGEKLLAIELPVIDLSGERSMVSSLIVKACEEYGFFKVKNHGVPHDIIAKMENESFNFFAKTFDEKQKAGLDNSFGYGCKNIGFNGDTGEVEYLLCNTNPLSIAERSKTISNDPTEFSSAMSGYIEAVRELACELLDLMAEGLWVPDRSVFSRLIRDDDSDSIIRLNHYPAMPILCKDKDSSSPCNHNKVGFGEHSDPQILTILRSNDVGGLQISLNDGAWVPVTPDPTAFCVNVGDLLQAMTNGRFVSVRHKALTNSYKSRMSMAYFAAPPLNARIAVPPEMVTPIKPALYRPFSWAEFKKAAFALRLGDSRLGLFMLEVDDQVA >Potri.016G030100.3.v4.1 pep chromosome:Pop_tri_v4:16:1684546:1687131:1 gene:Potri.016G030100.v4.1 transcript:Potri.016G030100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G030100.v4.1 MSTPSASWMQEFNEASKLGDEINGMISGKNSLPSSGPETQRHLSASRRKITILRTKLDILQSLLSELPSKQPITGKEMNRRQDMLKNLSTKVNQMASILNMSSAANRENLLGPDKKTDDIMNRATGLNNHGLVGFQRQIMKEQDQGLEKLEETVISTKHIALAVNEELSLHTRLLDDLDEHVDVTNSRLQMVQRKLAFLNKSTKGGRSCWILLVIAVVILIVVIWQLFQHL >Potri.006G255500.1.v4.1 pep chromosome:Pop_tri_v4:6:25302527:25305519:1 gene:Potri.006G255500.v4.1 transcript:Potri.006G255500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255500.v4.1 MEEEEEKEEESSLIQLADFRIDGSYSPPTSSSSSSSGRHLHGRSTSLPSLMTLDGRRSSFGNVTKALFYSYNKLPEEPLRLSVLKLDASSFEIEVMKSATVEELRQAVEAAFSYMPQEGPGKISWPHLWGHFCLCYGDQKLLMETDYIKNYGIKDGDQLQFIRHVSGNYSLKKKRSLKKIAGSESPKISLSPSNRSEEKEQNYEDKGYDDLENGECQHFQDKNDGIITQDEARWSCFFRRWFMYSRLSTMDRTIGWKTSPSRSACGFLGSFRRILQFYGNNSYSRKGTLRED >Potri.009G157201.3.v4.1 pep chromosome:Pop_tri_v4:9:12239913:12241496:-1 gene:Potri.009G157201.v4.1 transcript:Potri.009G157201.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157201.v4.1 MLADGVIVAVKRSTMVSEENLEGFINEVCILSQINQRNIVRILGCCLEAEVPLLVYEFIPNGTLYEYLHRQNEEFPLSWEMRLQIAAETAGALCYLHSAASIPIYHRDIKSTNILLDNKYRAKIADFGTSRSLSVDQTHLTTNVQGTFGYLDPEYFWSSQYTDKSDVYSFGVVLAELLTRQKAILTNESQERKNLAAHFVLLMEENRIFDIVDAQIKEHCPKEDVIGVANIAMRCLNLNGKMRPTMKQVTSELERIIQLSQKKDVQQNNEEADQGITAEVISAWDDASTSITCSSFQVDQALSSSDVEPLVPFKTW >Potri.009G157201.2.v4.1 pep chromosome:Pop_tri_v4:9:12239916:12243809:-1 gene:Potri.009G157201.v4.1 transcript:Potri.009G157201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157201.v4.1 MMSVFCQSLLAIYCLPGNEVAQLKISGRESWFGASLYTYIKLGGVYKMILQRWVFLLMMLLLVAAVTGATANPDVRDGCQERCGDVIVPYPFGIGEPRCALNENFFLDCNSTDDGHLELWFGENMPARNISLLNGTVTVGVYTSFDCYNKSGLQDKLFNPYVSLGPAYTFSDSRNMFTAVGCDTIALVTNEDVTFGAACLSLCTTNVTMSKKNPCSGSGCCQTSIPKGLKSLNITIQSIRNHADVYEFNPCGFAFLEDKDSLDLSDWPLSRTPTDFDTSNVVIEWVAQNETCENAPANKSSYACGINTNCCYSDNGQGYRCACNEGFEGNPYLEKGCQDIDECKYPETYTCYGKCHNTIGDYECKCSLGMHGDGKVGCSGFGIITIIIAVVVGVLLLLIGGWWLYKIMEKRKSIKLKQKFFRQNGGLLLQQQLSSSDQGISKTKVFSSEELETATDGFNVNRILGQGGQGTVYKGMLADGVIVAVKRSTMVSEENLEGFINEVCILSQINQRNIVRILGCCLEAEVPLLVYEFIPNGTLYEYLHRQNEEFPLSWEMRLQIAAETAGALCYLHSAASIPIYHRDIKSTNILLDNKYRAKIADFGTSRSLSVDQTHLTTNVQGTFGYLDPEYFWSSQYTDKSDVYSFGVVLAELLTRQKAILTNESQERKNLAAHFVLLMEENRIFDIVDAQIKEHCPKEDVIGVANIAMRCLNLNGKMRPTMKQVTSELERIIQLSQKKDVQQNNEEADQGITAEVISAWDDASTSITCSSFQVDQALSSSDVEPLVPFKTW >Potri.011G049600.4.v4.1 pep chromosome:Pop_tri_v4:11:3940501:3945453:-1 gene:Potri.011G049600.v4.1 transcript:Potri.011G049600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049600.v4.1 MRCSCFGGPRVDRKKGPAAGTLHGINGDLLIQDINHLSYKELRSATDNFHTSNKIGRGGFGTVYKGTLKSGTQVAVKTLSAQSNQGVQEFLNEIKTISKVKHPNLVELIGCCAQGSNRILVYEYVENNSLDRALLGSRSTDIKLDWGRRSAICLGIARGLDFLHKEVVPHIVHRDIKASNILLDKDFNPKIGDFGLAKLFPDNITHISTRIAGTTGYLAPEYALGGQLTMKADVYSFGVLILEIVSGRSSAKPSWGGTQKLLLEWAWQLHEEGKHLELVDPEMGEFPEEEVIRYIKVAFFCTQSAANRRPIMTQVVDMLSRQIQLNDKELTAPGFFQDSDSPSGGPSSMKGSYADSTCFQMSSVPVRITEVTPR >Potri.001G075800.1.v4.1 pep chromosome:Pop_tri_v4:1:5724999:5728016:-1 gene:Potri.001G075800.v4.1 transcript:Potri.001G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075800.v4.1 MSTYTQHPLSPISLPFSPSTSLPSHQQPTKPPISSSSPKPISSSSPKPISISHSQASWIESLRSRSRSNLFREAISTYIEMIGSGVSPDNFAFPAVLKAVAGIQELYLGKQIHAHVFKFGYGSFSSVTIDNTLVNMYGKCGGLGDAYKVFDRITERDQVSWNSIISALCRFEEWEVAIKAFRLMLMEGFEPSSFTLVSMALACSNLRKRDGLWLGKQIHGCCFRKGHWRTFSNNALMAMYAKLGRLDDAKSLLVLFEDRDLVTWNSMISSFSQNERFMEALMFLRLMVLEGVKPDGVTFASVLPACSHLDLLRTGKEIHAYALRTDDVIENSFVGSALVDMYCNCGQVESGRLVFDSVLDRKIGLWNAMIAGYAQSEHDEKALMLFIEMEAAAGLYSNATTMSSIVPAYVRCEGISRKEGIHGYVIKRGLETNRYVQNALIDMYSRMGDIKTSKRIFDSMEDRDIVSWNTIITSYVICGRSSDALLLLHEMQRIEEKSTYDGDYNDEKQVPFKPNSITLMTVLPGCASLSALAKGKEIHAYAIRNLLASQVTVGSALVDMYAKCGCLNLARRVFDQMPIRNVITWNVIIMAYGMHGKGKESLELFEDMVAEGAKGGEVKPTEVTFIALFASCSHSGMVDEGLSLFHKMKNEHGIEPAPDHYACIVDLVGRAGKVEEAYGLVNTMPSGFDKVGAWSSLLGACRIYHNIEIGEIAAENLLQLQPDVASHYVLLSNIYSSAGLWDKAMNLRRRMKAMGVKKEPGCSWIEYGDEVHKFLAGDLSHPQSEKLHDFLETLSERLKKEGYVPDTACVLHDIDEEEKETILCGHSEKLAIAFGILNTPPGTTIRVAKNLRVCNDCHTASKFISKIEDREIILRDARRFHHFKDGTCSCGDYW >Potri.004G026200.3.v4.1 pep chromosome:Pop_tri_v4:4:2000201:2007962:1 gene:Potri.004G026200.v4.1 transcript:Potri.004G026200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G026200.v4.1 MAFLQMLFLLCPIFMHFIVPITAQPEINFQLCVAENGNYTENSTYQANLKYLLNSVYSNTEIDYGFYNFSYGESSDKVYAIGLCRGDVKPDACRDCLNYSSRVLTARCPTEKEAIIGFDSCILRYASRSIFGLNEVAPYFFVYSLTNVSDEKGFNRSLNSLLDSLQDEAAAGDSRRKYATRKISAPNFQTIYALSQCTPDLSQTECSSCLRNASARVGQCCQERQGGRVIYPSCNFRYEINQFYEIPIGEDPSPPPSKGKNNTVIIVIILSVVISVMVILIISFYTFSGKRKSREKVKSGSVDDEITRVESLQYNLEIIHLATENFSEVNKLGQGGFGSVYKGTLPNGQYIAVKRLSRDSTQGEQEFKNEVLLVAKLQHKNLVRLLGYCFEQEERLLIYEFMPNSSLNNFIFDQTKRSQLDWERRYKIIEGISRGLLYLHEDSRLRIIHRDLKPSNILLDAEMNAKISDFGMARLFAGDQTQESTSRVVGTFGYMPPEYVMRGHFSVKSDIFSFGVLVLEIVSGRKRTFINEGEVEDLLTYTWENWNSGPNLDKLIDATLRAGSRNEMLRCIHVGLLCVQENALDRPNMASVVIMLSSYSVTLPVPQKPAFFARGTVLPGTSSTWTESDQSRSASVPFSINEASISELYPR >Potri.010G013850.1.v4.1 pep chromosome:Pop_tri_v4:10:2351910:2352618:-1 gene:Potri.010G013850.v4.1 transcript:Potri.010G013850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013850.v4.1 MNIFSDFSVLSWNVRGASSLRSKRHFRELISGYHPNLFVLLETHTQFITNLSAVYASPILSLRVRLWDHLNEIKSHIGRSWVVIGDFNEGIHLSETLGGHFLRVRAMKSKEVMETCSLMDLGFKGQKYTWHIHIYVVMHIAKRLDRVIVNCDWRMQFLEVFVETLCGRQLLRDALVSEV >Potri.001G374800.1.v4.1 pep chromosome:Pop_tri_v4:1:39308517:39312385:-1 gene:Potri.001G374800.v4.1 transcript:Potri.001G374800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374800.v4.1 MGTLPHAFSLFALILLLTSGTGADQSTKTQAIFKGSARIGAIVDTSSRIGKEEIVAMEVAKEDFYGFGNLTFLLINDSQKDTIHAALEAKDLIDTRQVQAIIGPQTWEEVSLVAEIARETQVPILSFADTAPEWAPERWPSLLQASPDKRAQMKAIAAIVQSWNWHQVIVIYEDTDSSARGVIPHLHDALREVNSEVSQFVAFSPFNSSDSMSKELENIKSKQYCRVFVVHLSFKLAVRLFEMANKMEMMKRDYVWITTDPFTSLVHSINASVISSMKGILGVRSYFPKMGPHFVNFNQRFRTRFRRKYPREERNEPGIYAVQAYDAMRTIALGLNKTGSKRGGKELLENILDADFHGLSGKVKFKNQNVAAAEIFEIVNVIGTGYNELGYWSNGLGFSENIHENSSYNSASMIDLEQVHWPGGPRYTPRGWTALTSAKLFRIGVASLSGYEEYVKVESDDRLGTNFSGFANEVFKATTASMPFFPQYEFQYFNGSYNELLEQLHLKNFDAVVGDVERVASRHQYVEFTYPYTETGLVLIVPVRSSNKAWSFIKPFTATMWVLISVITVYNGFVVWWIERKHCDELQGSIPNQIGIMIWLSFNTLFSLNGPKLHSNLSRMSGVVWLFVSLIIIQTYTANLTSMLTVQRLEPTIPTVEELLNSNEMVGYCTGTYMERYLAEVLKFKNQNLLHFPSAASYAKGFEDKKISAAFLGTPSAKIFLAKYCNSFIQIGPPYKIGGFGFAFPRGSPLLASMNEALLNLSENGALQELEKTWITPQKCPKMPSDSSSLGPSGFRELFFITAGTTTIAFVIYVCRTNLLRHKNIWGIISAVLKRWLSSRRHLTSRRVANVEIPPKAFPEAPVSLA >Potri.001G460200.1.v4.1 pep chromosome:Pop_tri_v4:1:48569891:48571080:1 gene:Potri.001G460200.v4.1 transcript:Potri.001G460200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G460200.v4.1 MTTEAPFRPREKLVEHQKYFQSIHKHTYLKGPLDKVTSVAIPIAFAATSLFLIGRGIYNMSHGIGKKE >Potri.016G134000.1.v4.1 pep chromosome:Pop_tri_v4:16:13828043:13831517:1 gene:Potri.016G134000.v4.1 transcript:Potri.016G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G134000.v4.1 MAIIPPQVFPPRKRRPSAGAFIPPKFSDQRLLQSLFLLSQEISSLKPLPFLLKRNSLSIIRKAKILAILFEELLKKPILVLSPTLLCFEEMYLVLQRIKTLLEDCVNGSKMWLLMQSDSVANNFHELTVELATLLDIFSVKEVEVSEDVEELFLLLRKQCSQAKVFVDKRDSSLRLDVLTMLDRIQKEIVPDNSKLAEIFDFLGLPNSLSCKEEIENLEDEVQNQKDEKAKSDMIALIGLVRYAKCVLFEPLTPGSDSKTKKLASDANIPADFRCPISLDLMRDPVVMATGQTYDRESIALWIESGHNTCPKTGQALVHTSLIPNQALKNLIAMWCRELKIPFETAGDNNRTNGVIKNKAALEATKMTASFLVNKMSASQSMEAVNGVIYELRTLAKSNSDSRACIAEAGAIPVLARYLGSDVGVGSLNLQVNAVTAMLNLSILEANKTKIMENGKALNGVIEVLRTGATWEAKGNAAATIFSLSCVHSHRKLLGRKTRVIKGLMDLAKSGPPGPKRDALVAILNLAGDREAARRLVEEGVVDVVKEMINVLPVEAAAILEMVVKRGGIMAVAAAHNTIKKLGTLMREGSETARESAVATLVTICRKGGAEMVAELATITGIERIIWELMGSGTMRARRKASSLLRTVKRWAAGLDGDFLEGHSTVATSSSSSSRVVISV >Potri.009G013800.1.v4.1 pep chromosome:Pop_tri_v4:9:2375648:2376338:-1 gene:Potri.009G013800.v4.1 transcript:Potri.009G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013800.v4.1 MALIPSFFLFFSSSLTLVFFTGAGAQGRAPHGLVYENPVAFSPSAVEFFHPKTHEPNVKSPCAASSSCSPLPLAAQLEVTDQAQESEISTSQKGGNRLRAGGIAGIVLGVAFAVLLTMGVYHVMVTRKANLNRANSVQTNA >Potri.007G093800.2.v4.1 pep chromosome:Pop_tri_v4:7:11920568:11921499:1 gene:Potri.007G093800.v4.1 transcript:Potri.007G093800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093800.v4.1 MEEESPPPSDLSSPNPTSPMDHALQKKHQAMLDRLSNRHQSRQKTASTASFLSTFTDSKSSIESQLTQHNRSCSLKISYSQHLFPRKTQNQTLTKNLKNFKEFGEFSLSDMNSCEVKLIGCVNAMFVNRLRNCRVYTGPVIGSILIEEVENCLFVCDFYLRVRSGPIIEDCGAVRFAPFFLRYEGIEEDLREAGLEEEDLNWENVDDFKWLRAVKPPSWSVLEDSERIGRVEVEDPERA >Potri.018G143100.1.v4.1 pep chromosome:Pop_tri_v4:18:14817404:14821883:-1 gene:Potri.018G143100.v4.1 transcript:Potri.018G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143100.v4.1 MGSASIEQEMPAGEGIQSRFENLRGVQWRIDLGILPSPSSSSVDDVRRVTAESRRRYAGLRRRLLVDPHLSKDGRSSPDPVIDNPLSQNPDSTWGRFFRNAELEKTLDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLRHPEYGYRQGMHELLAPFLYVLHIDAEHLSEVRKQYEDHFTDKFDGLAFQENDLTYNFDFKKFLDSMEDEIGSHGNAVKVKSLNELDPEIQTTVLLTDAYGAEGELGIVISEKFMEHDAYCMFDALMSGSHGSVAVVDFYSHSPAHGSHSGLPPVIEASAALYHLLSVVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLENLLLIWDEIFAADNNVILEKGAEDDADSGFRIFISPRGALIPAMSVSMILHLRSSLLATEHATTCLQRLLNFPENIDLRKLINKAKSLQSLALDTNMSSVSPPFDGIYNHSKSMVVRGHTHALSSGSVSPKTPLNAVPDSYWEEKWRDLHKTEELKHDHLGKLKPSQKKRWTEKVRLPLSRTESAPAPVKAGSGKKDQKSSIKRSLLEDLSHELGMDGDIGKSDCHEVSGKKDHQTAEVEGGGPDSVNNDFTCSTEERCLSGNSGSEENSSVFSDPSSSLSGGNEHENDSEKSSVASNMSVDENDDQPEALQEDPTLPVSHPPEGVSLNSGTNNEPAGKQVAGPKERKLSGKFQWFWKFGRNTAGEETSEKGSGTFEATKPVNDASNQINSIGSSSVNGSCNSYASSKGESVDQNVMGTLRNFGQSMLEHIQIIESVFQQDRGQVGSLENFSKTALVGKGQVTAMTALKELRKISNLLSEM >Potri.018G143100.5.v4.1 pep chromosome:Pop_tri_v4:18:14817680:14821800:-1 gene:Potri.018G143100.v4.1 transcript:Potri.018G143100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143100.v4.1 MLRRILLLWCLRHPEYGYRQGMHELLAPFLYVLHIDAEHLSEVRKQYEDHFTDKFDGLAFQENDLTYNFDFKKFLDSMEDEIGSHGNAVKVKSLNELDPEIQTTVLLTDAYGAEGELGIVISEKFMEHDAYCMFDALMSGSHGSVAVVDFYSHSPAHGSHSGLPPVIEASAALYHLLSVVDSSLHSHLVELGVEPQYFALRWLRVLFGREFSLENLLLIWDEIFAADNNVILEKGAEDDADSGFRIFISPRGALIPAMSVSMILHLRSSLLATEHATTCLQRLLNFPENIDLRKLINKAKSLQSLALDTNMSSVSPPFDGIYNHSKSMVVRGHTHALSSGSVSPKTPLNAVPDSYWEEKWRDLHKTEELKHDHLGKLKPSQKKRWTEKVRLPLSRTESAPAPVKAGSGKKDQKSSIKRSLLEDLSHELGMDGDIGKSDCHEVSGKKDHQTAEVEGGGPDSVNNDFTCSTEERCLSGNSGSEENSSVFSDPSSSLSGGNEHENDSEKSSVASNMSVDENDDQPEALQEDPTLPVSHPPEGVSLNSGTNNEPAGKQVAGPKERKLSGKFQWFWKFGRNTAGEETSEKGSGTFEATKPVNDASNQINSIGSSSVNGSCNSYASSKGESVDQNVMGTLRNFGQSMLEHIQIIESVFQQDRGQVGSLENFSKTALVGKGQVTAMTALKELRKISNLLSEM >Potri.010G224700.2.v4.1 pep chromosome:Pop_tri_v4:10:20875129:20882841:1 gene:Potri.010G224700.v4.1 transcript:Potri.010G224700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224700.v4.1 MGNVEIPEWLKGLPLAPEFRPTDTEFADPIAYISKIEKEASAFGICKIIPPLPKPSKRYVFSNLNKALSKCPELGDDVDLSNGVLRDGGNDGENRAVFTTRQQELGQSAKKAKGVDKENPQSGVHQQVWQSGEVYTLEQFESKSKAFARSLLGIIKEVNPLAVEALFWKAASEKPIYVEYANDVPGSGFGEPEGHFRYFQRRRRKRASYQSYRRSREIPVCRENDMDGVKNSHNDDVTVKNEPSMCLKMTPRSSMASATPSAEDSLKSSKQKSVAASNDMEGTAGWKLSNSPWNLQVIARSPGSLTRFMPDDIPGVTSPMVYIGMLFSWFAWHVEDHELHSMNFLHIGSPKTWYAVPGDYVFAFEEVIRTKAYGGNIDRLAALSLLGEKTTLLSPEAIISSGIPCCRLVQNPGEFVVTFPRAYHVGFSHGFNCGEAANFGTPQWLKVAKEAAVRRAAMNYLPMLSHQQLLYLLTMSFVSRVPRSLLPGARSSRLRDRQREERELSVKKAFLEDMLKENDVLSAFLEKNSTCHVVIWNPDLLPCASKESQLLSITSTITTTSNENASHVHSDLNSNSNENDLFKEMSLYMETLNDLYMEDDLSCDFQVDSGTLACVACGILGFPFMSVVQPSERAFIELTPGDYLLAQEEPGVTRSDNVQPSSNPDISVKGSIPDDHAPVSDLSVSLKDLPAPTGWNTSRKFLRPRIFCLEHGVQIEELLQSKGGANMLIICHSDYQKIKAHAFAIAEEIENPFNYNEVPLEAASQENLNLINLAIDDEDHHECGEDWTSKLGINLRYCVKIRKNSPSKKVQHALALGGLFSDRSLSSDFLNIKWQSRRSRSRIKFNQPVNCKPCKIMETNKDELLGNKSDGLTDKKEKKLIHYTRRKYKVKIDYSTNGLRRCSRRCLAEEVSGASGDDPDKHAEQTTVIYPCNIGITGSGSAAFGFSPIEDSEMLHEVQVLEAASGLTLNSAPSQIAGSILTATMAVESVAGQIEDQLLEESNTERNICNVKASGSCEIEHEINASGGTSERQDFCTAKCCSPFDTAANERFEMQIEDQIMGNVNIMSETCDLVSEGQQRILYDDDDASMHEVSDLANSASLHVSHLPVAQMANVVVENSSINNEVSPPVTLDNEVQREIETKSRTNGDQCSSSDDTLMNQPPTTPDERCDHEQETCAAENKMQQETEITNGSNEELVLSDVISGPNIVPMDESSEFHREPHAAVNLCNGVAFESGEQLVFLTTNDSNKELTSCSGTQMEINPSTASPEFSKLNRQDSAENDLCSGSTLGTVVPLEIPTTDISTVEEFAPNSATKNQVLAEASREICVIQDLYSCMDLEPEVEQEIQSNDGVIGDSVAQKMHESSSSINEDRPVSTCVILVNQPTPSSVKKCCDIEYKSCGGESVVKCNEVCSSQEIESIESTVVDFRSNAGKGRKRKGEVEQPTENKLNSNGFIRSPCEGLRPRAGKDATCKSEVDVGKSAEENPVTKRSRKPSDASVPRPKRKEITKRSHKCNLEGCRMSFETKTELQLHKRNRCTYDGCGKKFRSHKYAIVHQRVHEDDRPLKCPWKGCSMSFKWAWARIEHIRVHTGEKPYLCKVEGCGLSFRFVSDFSRHRRKTGHYSNTPA >Potri.008G045100.1.v4.1 pep chromosome:Pop_tri_v4:8:2559630:2562327:-1 gene:Potri.008G045100.v4.1 transcript:Potri.008G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045100.v4.1 MESLQTTSIPSDQAPSMKHSKRGGWTTFPFIIGAVMGLTLAAGAGSANLIVFLVTVMNIKSINATQINNIIIGCYSLFPVAGAVIADSFFSSFYVVSVFAFVSLLGLIMLTLASTIHSLRPPTCVIGSLACEAPSKLQYAVLYLALALASLGVGGTRFTISTMGADQFKKPNEQGTFFSWYFFTLYLASAISLTAIIYVQDSVSWGLGFGIGVVANAIGLAVFLLGKRFYCHTKPKGSPFVGIARVLVAAIRKRRKLETFQSQGYFHGDNTKAISSPTESLRFLNCAALRYEDDRKSDGSYSSSRWLCTVEEVEDLKTLIRIMPLWSSGILLSTTIAMINSLTVLQALTMDRHLGPHFKIPAGSFLVFSILATALSISIIDRFLLPMWKNLTRRSLKPLQQIGIGHVINIFAMVGSALVETRRLRVVRTHHLNGEPPGSVVPMSGLWLVVPLFVIGVGEAFHFPGQVALYYQEFPTSLRSTSTAMISLLIAIGYYLSTAIIDSVRRSTGWLPDNINDGRLDYVFWLLTAIAFVNFGYYLLCTKFFKYQNTENHENEASA >Potri.017G138350.1.v4.1 pep chromosome:Pop_tri_v4:17:13951709:13953945:-1 gene:Potri.017G138350.v4.1 transcript:Potri.017G138350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138350.v4.1 MDQLLKCDANYVPLTPITFLKRANAVYANRTSVIYEGTRFTWSQTYERCCRLADSLRSLNVGKNDVVSVLAPNIPAVYEMHFAVPMAGAVLNTINIRLDAKNIATILSHSGAKVFFVDYQYKELASKALSFLDGAVPSIIACIDDIDTPTGVQFGQLEYEQLVQRGNPGYTGELVQDEWDPIALNYTSGTTSAPKGVVYSHRGAYLSSLSLILGWEMGNAPVYLWSLPMFHCNGWTFTWGVAARGGTNVCIRNTSAKDMYHNIAEHAVTHMCCAPIVFNVLLEARPHERREITSPVEILTGGAPPPASLLQDIERLGFHVTHAYGLTEATGPALVCEWQKKWNKLPQQDQAKLKARQGISILTLADADVKDLDTMVSVPRDGKTMGEIVLRGSSIMKGYFKDPEATSKAFRNGWFATGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPRVLEAAVVAMPHPKWGESPCAFISVKKNSNGDTNDVKESDIIAYCKKNLPHFTVPKRVEFMAELPKTSTGKIQKFQLRALAQNFVVNEILPSKKINGHSQPSASGRVNTEVTEYAQGHEQVLALSRL >Potri.002G127300.1.v4.1 pep chromosome:Pop_tri_v4:2:9640954:9645817:-1 gene:Potri.002G127300.v4.1 transcript:Potri.002G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127300.v4.1 MMAAAREHHTVPLSVLLKRELASEKVEKPEILYGQACQSKKGEDFTLLKTECQRIVGDGITTYSVFGLFDGHNGSAAAIYTKENLLNNFIAAMPPDLNRDEWVAALPRALVAGFVKTDKDFQQRARTSGTTVTFAVIEGLVITVASVGDSRCILESAEGDLYYLSADHRLECNEEERERITASGGEVGRLNTGGGAEIGPLRCWPGGLCLSRSIGDVDVGEYIVPVPYVKQIKLSTGGGRLIISSDGVWDALSDEVALDCCRGMPVEAAAAQIVKEAVQVKGLRDDTTCIVIDIVPPEKPAAPLPPPKKLGRGVFKSMFRKRSLESSSQIDKEYLEPDVVEELFEEGSAMLSERLDTKYPLCKMFKLFICAVCQVEIKPDEGISIHVGSSDFGKLRPWDGPFLCSSCQEKKEAMEGKRPPGDRHSSDSD >Potri.016G142300.1.v4.1 pep chromosome:Pop_tri_v4:16:14465466:14466086:-1 gene:Potri.016G142300.v4.1 transcript:Potri.016G142300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142300.v4.1 MDVESSKAAAMKAESPKKHKRRNICLGVTAAVILFIFLLLLILGLTVFKPKQPTTTVDSTSISDMKVSFDIARLRVDVNVSLDVDLSIKNPNKVSVKYKNSSAFLNYRGQVVGEAPIPAGKILADKTQPINVTVTLMADRLLSDSQFFSDVMAGTIPFNTLTKISGKASVFNLFNVHITSTSSCDLLVFVSNRTIGDQKCKYKTKL >Potri.013G134300.1.v4.1 pep chromosome:Pop_tri_v4:13:13985554:13988596:1 gene:Potri.013G134300.v4.1 transcript:Potri.013G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134300.v4.1 MQLLGLHVVVLLKCFLVVFGQQYHTHHDVADLHWKPATATWYGSPDGDGSNGGACGYGSLVDVKPLRARVGAVSPILFKNGEGCGACYKVRCLDKSICSERAVTIIVTDECPGGYCSNGNTHFDLSGAAFGHMAISGENGQLRNRGEIPVIYRRTPCKYPGKNIAFHVNEGSTDYWLSLLVEFEDGDGDVGSMHIREAGGTEWLEMNHLWGANWCVIRGPLKGPLSVKLTTLSTGRTLSARDVIPRNWVPKATYTSRLNFFH >Potri.012G129600.5.v4.1 pep chromosome:Pop_tri_v4:12:14485272:14490228:-1 gene:Potri.012G129600.v4.1 transcript:Potri.012G129600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129600.v4.1 MSNVQSDRLLQVETTCGTLLYELQIIWNEVGETDTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAVICSSMGERPVHIRQADQNAGSLKEELGRILPQLEEMRKRKLDRRKQFHEVVEDIRKISSEIYGSADHNILVDEADLSLRRLEELHRQLHELEKEKSDRLKQVQQHLDTLNALCLVLGMDFKHTASEVHTSFGDSGGSRDISNQTIQLLAAAIHKLREVKIHRMQRLQDLATTMLELWNLMDTPVEEQQEFQNVTCNIAASEHEITEPNTLSLDFIKYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKMHMIPEADAAVEYAVEAIESGNLDPASILEQIELQIANIKEEAFSRKEILEKVEKWLNACEEESWLEEYNRDDNRYNAGRGTHLILKRAEKARSLVNKMPGMVEALASKTMAWEKERGAEFLYDGIRLLSMLEEYTILRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKPSPSKPPSVKKTPRVSTGGASNRRLSLGGTMLQTPRPDLPHSSKATPHPRAGNKVDRTHQNDHLKPQQDDGFDALSAGRRGLDIAGLATKKHAFGAVNAREPQSPMLRQPFSPISSTVSSKSNILEEATTPHNDTFKKTLPINDVSFKTPSKTNTIADEENRRTPKAMPIPMPMTPSTVSVPMQTAMTPAVNPVETPEEIEYSFEERRAGFVLPNTHIKSVIQLNPNHW >Potri.012G129600.1.v4.1 pep chromosome:Pop_tri_v4:12:14485268:14491817:-1 gene:Potri.012G129600.v4.1 transcript:Potri.012G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129600.v4.1 MSNVQSDRLLQVETTCGTLLYELQIIWNEVGETDTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAVICSSMGERPVHIRQADQNAGSLKEELGRILPQLEEMRKRKLDRRKQFHEVVEDIRKISSEIYGSADHNILVDEADLSLRRLEELHRQLHELEKEKSDRLKQVQQHLDTLNALCLVLGMDFKHTASEVHTSFGDSGGSRDISNQTIQLLAAAIHKLREVKIHRMQRLQDLATTMLELWNLMDTPVEEQQEFQNVTCNIAASEHEITEPNTLSLDFIKYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKMHMIPEADAAVEYAVEAIESGNLDPASILEQIELQIANIKEEAFSRKEILEKVEKWLNACEEESWLEEYNRDDNRYNAGRGTHLILKRAEKARSLVNKMPGMVEALASKTMAWEKERGAEFLYDGIRLLSMLEEYTILRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKPSPSKPPSVKKTPRVSTGGASNRRLSLGGTMLQTPRPDLPHSSKATPHPRAGNKVDRTHQNDHLKPQQDDGFDALSAGRRGLDIAGLATKKHAFGAVNAREPQSPMLRQPFSPISSTVSSKSNILEEATTPHNDTFKKTLPINDVSFKTPSKTNTIADEENRRTPKAMPIPMPMTPSTVSVPMQTAMTPAVNPVETPEEIEYSFEERRAGFVLPNTHIKSVIQLNPNHW >Potri.012G129600.2.v4.1 pep chromosome:Pop_tri_v4:12:14485272:14490214:-1 gene:Potri.012G129600.v4.1 transcript:Potri.012G129600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129600.v4.1 MSNVQSDRLLQVETTCGTLLYELQIIWNEVGETDTDRDKMLLELEQECLEVYRRKVDQANRNRAQLRQAIADSEAELAVICSSMGERPVHIRQADQNAGSLKEELGRILPQLEEMRKRKLDRRKQFHEVVEDIRKISSEIYGSADHNILVDEADLSLRRLEELHRQLHELEKEKSDRLKQVQQHLDTLNALCLVLGMDFKHTASEVHTSFGDSGGSRDISNQTIQLLAAAIHKLREVKIHRMQRLQDLATTMLELWNLMDTPVEEQQEFQNVTCNIAASEHEITEPNTLSLDFIKYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKMHMIPEADAAVEYAVEAIESGNLDPASILEQIELQIANIKEEAFSRKEILEKVEKWLNACEEESWLEEYNRDDNRYNAGRGTHLILKRAEKARSLVNKMPGMVEALASKTMAWEKERGAEFLYDGIRLLSMLEEYTILRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKPSPSKPPSVKKTPRVSTGGASNRRLSLGGTMLQTPRPDLPHSSKATPHPRAGNKVDRTHQNDHLKPQQDDGFDALSAGRRGLDIAGLATKKHAFGAVNAREPQSPMLRQPFSPISSTVSSKSNILEEATTPHNDTFKKTLPINDVSFKTPSKTNTIADEENRRTPKAMPIPMPMTPSTVSVPMQTAMTPAVNPVETPEEIEYSFEERRAGFVLPNTHIKSVIQLNPNHW >Potri.010G250600.1.v4.1 pep chromosome:Pop_tri_v4:10:22353724:22357608:1 gene:Potri.010G250600.v4.1 transcript:Potri.010G250600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250600.v4.1 MGVDLRQVVAGVLTLTMFVMLGNMIKRDHFDSVEGKFPGARDVEFDGEKVSEQGLVTFSKKSTNGPWVEGGLELKPCWKESNFDDVESKGFVTFSLTNGPEYHVSQIADAVVVARYIGATLVLPDIRGNKPGDERKFEEIYDVEKFVKSLVGVVKVVKRLPEDVSIRDFAVVKVPNRVSEDHIAEQIEPVFRTNSNVRLATFFPSVNMRKTTKTSASDSVACLAMFGTLELQPEVNEVVDSMIERLRTLSRKSDGRFIAVDLRVEILDKKGCHGSSATGTKSCFSAQEIAIFLRKIGFGKDTTIYLTQPRWDESLDVLKDIFPKTYTKESILPADKKAKFLESEDSEFEKVIDFYMCSQSDVFVPAISGLFYANVAGKRIASGKTQILVPADIPGTSSSVTNHFSPYISKKNHMAHSCFC >Potri.002G050200.1.v4.1 pep chromosome:Pop_tri_v4:2:3388688:3390123:-1 gene:Potri.002G050200.v4.1 transcript:Potri.002G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050200.v4.1 MEGLKVIKLMALLSTLLVISVNGQINTPCTMSMISSFTPCVNFITGSTSNGSPPTASCCSSLKSLMSTGMDCACLLLTANVPVQLPINRTLAISLPGACGVPGQCKSSGTPLPAPGPFSLGPTLPPPAAAPLSPRASKAVALAPAPESEITLPLTPASPPVQVASPPTTAGIRPVLSPPASMSSHVSPPSSLLIFLAIMVFKKFY >Potri.001G216500.2.v4.1 pep chromosome:Pop_tri_v4:1:22389174:22396313:-1 gene:Potri.001G216500.v4.1 transcript:Potri.001G216500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216500.v4.1 MERVKAQEEDEEQSNQNWSETVEDLVTAGDTEGAITLLETEVSRLETLNPSEAANLQLVSALTELAKLYSSKHFSLKSDELLFRASFIKQRSSGDVESVEKEDEISKCNAVSNDGHLEKSSNPRDDVSPCSDDDWEAIADHAPDELLSPQSLPSVSNICLEDAKVQTSKRRGRGPFTYKKHELYSDRQSDATLVDDVDDEDLGRSTQNTELTNSKYGTHHVLVLADFPPSMRTTDLEKLFEDFKDRGFVIRWINDTAALAVFQTPSIALEARNHIQCSFTVRILDADDELMGSIPTKDLEPPRQRPKTSARTAQRLIAHGMGLKLPMTFGSRELKNQEETRKNRIVTRQKMKDDAWGDD >Potri.012G102500.5.v4.1 pep chromosome:Pop_tri_v4:12:12500156:12507239:1 gene:Potri.012G102500.v4.1 transcript:Potri.012G102500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102500.v4.1 MASEALEEKKSEEEAPAAEESKPGAEKEQTENEGPMDSAENEAKEEADNEEVKGEEETAKEGAEKEESLGNEEKEEEAKDKGEEEVENKKSKRRSKKSSKNSTGKKVKELVTPSSDRPTRERKVVERYSVPEPGRSATKPFSIEKGRGTPLKDIPNVAFKLSKRKADDNLQMLHLILFGKKGKAHNLKKNISQFSGYVWVGNEEKQKAKVREKLDKCVKEKLMDFCDVLNIPINRSVVKKEELTVKILEFLESPHSTTDVLLADKEQKGKKRKVSTSKNVSPGEASTTPAKKRKQTTQSGQKRKHSFKDEDDDDEDNVESLDAKDDSEDDGENEAGTKDMSDHEETKSEEEEDEPKEQTPSKKSKKSSKQSSVAKSAEKATLGKKRTPAKPVKGLEKSTKKSSDSSSKKGAKDTDGTSGSISKSKGSASKKQKVEKESPKDRSASSKDKVNAKKQSTKSPSKASAKDQGVFVKPETRLQWIMVHWQFADCVCLALW >Potri.012G102500.4.v4.1 pep chromosome:Pop_tri_v4:12:12500096:12508200:1 gene:Potri.012G102500.v4.1 transcript:Potri.012G102500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102500.v4.1 MASEALEEKKSEEEAPAAEESKPGAEKEQTENEGPMDSAENEAKEEADNEEVKGEEETAKEGAEKEESLGNEEKEEEAKDKGEEEVENKKSKRRSKKSSKNSTGKKVKELVTPSSDRPTRERKVVERYSVPEPGRSATKPFSIEKGRGTPLKDIPNVAFKLSKRKADDNLQMLHLILFGKKGKAHNLKKNISQFSGYVWVGNEEKQKAKVREKLDKCVKEKLMDFCDVLNIPINRSVVKKEELTVKILEFLESPHSTTDVLLADKEQKGKKRKVSTSKNVSPGEASTTPAKKRKQTTQSGQKRKHSFKDEDDDDEDNVESLDAKDDSEDDGENEAGTKDMSDHEETKSEEEEDEPKEQTPSKKSKKSSKQSSVAKSAEKATLGKKRTPAKPVKGLEKSTKKSSDSSSKKGAKDTDGTSGSISKSKGSASKKQKVEKESPKDRSASSKDKVNAKKQSTKSPSKASAKDQGKAKSNKKAKAEPTRQEMHAVVVNILKEVDFNTATLSDILRQLGAHFGIDLMHRKAEVKDIITDVINSMSDDEEEGEGEEAEDDAEAGDDADKDGDEEDD >Potri.012G102500.2.v4.1 pep chromosome:Pop_tri_v4:12:12499499:12507272:1 gene:Potri.012G102500.v4.1 transcript:Potri.012G102500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102500.v4.1 MASEALEEKKSEEEAPAAEESKPGAEKEQTENEGPMDSAENEAKEEADNEEVKGEEETAKEGAEKEESLGNEEKEEEAKDKGEEEVENKKSKRRSKKSSKNSTGKKVKELVTPSSDRPTRERKVVERYSVPEPGRSATKPFSIEKGRGTPLKDIPNVAFKLSKRKADDNLQMLHLILFGKKGKAHNLKKNISQFSGYVWVGNEEKQKAKVREKLDKCVKEKLMDFCDVLNIPINRSVVKKEELTVKILEFLESPHSTTDVLLADKEQKGKKRKVSTSKNVSPGEASTTPAKKRKQTTQSGQKRKHSFKDEDDDDEDNVESLDAKDDSEDDGENEAGTKDMSDHEETKSEEEEDEPKEQTPSKKSKKSSKQSSVAKSAEKATLGKKRTPAKPVKGLEKSTKKSSDSSSKKGAKDTDGTSGSISKSKGSASKKQKVEKESPKDRSASSKDKVNAKKQSTKSPSKASAKDQGKAKSNKKAKAEPTRQEMHAVVVNILKEVDFNTATLSDILRQLGAHFGIDLMHRKAEVKDIITDVINSMSDDEEEGEGEEAEDDAEAGDDADKDGDEEDD >Potri.015G034600.1.v4.1 pep chromosome:Pop_tri_v4:15:2853511:2859868:1 gene:Potri.015G034600.v4.1 transcript:Potri.015G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034600.v4.1 MDTMASNVLQLVNGTLERVTSALNFPSARAVVFGVQIGGHLFVEVLLLVVILFLLSQKSYKHPKRPLTKKEIDELCDEWIPESLIPRITEEMRYEPPALESAAGPHAIINGKDVVNFASANYLGLTAHEKLLESSTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTSDSILYSYGLSTMFSTIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLRNTLEKITAGNKRAKELRRYIVVEAVYQNSGQIAPLNEIIRLKEKYLFRVLLDESNSFGVLGRFGRGLTEYHGVPIEKVDIVTAAMGHALATEGGFCTGSSRVIDHQRLSSSGYVFSASLPPYLASAAITAINVLEDNPALITKLKENISVLWKGLSNIQGLSIASNPESPIVFLKLEMSTGSMKDDLQLLEAIADRALKEDSVFFVTSKRSTIDKCRLPVGIRLFVSAAHSDSDLLKASESLKRVSASVLTKQ >Potri.015G034600.3.v4.1 pep chromosome:Pop_tri_v4:15:2853540:2859876:1 gene:Potri.015G034600.v4.1 transcript:Potri.015G034600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034600.v4.1 MDTMASNVLQLVNGTLERVTSALNFPSARAVVFGVQIGGHLFVEVLLLVVILFLLSQKSYKHPKRPLTKKEIDELCDEWIPESLIPRITEEMRYEPPALESAAGPHAIINGKDVVNFASANYLGLTAHEKLLESSTSALEKYGVGSCGPRGFYGTIDVHLDCEARIAKFLGTSDSILYSYGLSTMFSTIPCFCKKGDIIVVDEGVHWGIQNGLYLSRSTIVYFKHNDMESLRNTLEKITAGNKRAKELRRYIVVEAVYQNSGQIAPLNEIIRLKEKYLFRVLLDESNSFGVLGRFGRGLTEYHGVPIEKVDIVTAAMGHALATEGGFCTGSSRVIDHQRLSSSGYVFSASLPPYLASAAITAINVLEDNPALITKLKENISVLWKGLSNIQGLSIASNPESPIVFLKLEMSTGSMKDDLQLLEAIADRALKEDSVFFVTSKRSTIDKCRLPVGIRLFVSAAHSDSDLLKASESLKRVSAVGADETIIECCASGWSRFLPLSCIRFFSWHSSIISSSDPSQGRIFV >Potri.008G171900.1.v4.1 pep chromosome:Pop_tri_v4:8:11869290:11873225:-1 gene:Potri.008G171900.v4.1 transcript:Potri.008G171900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171900.v4.1 MMWHRARLISTRRLCTATRRCIDDEGDWFYSSEWWGTCTDGHTVLRSPSDKGNGIVSVLAYPSSRPSEVEWRETEKWLERRYAEEIHKNNHKGEVGGGFSIIGYQWRTLHFNDETRQSAVKVMAAYHRQQSQPSAAGSIFLMQQPHCLAVPYLKSMVSAGLATIASCNNDIVDAIYGKTTIRILCIGHGGGSLPLFLATKIQGAVVDIVEIDPVVISASIRAMGFPSFSVMTSSGQRALPKPNPIDEVLWKGIHERLCLYEADAENFVLNTSNTYDMIFIDAYDGDDIFPCQLWDPDSPFLKALSNRLHPGHGTVVVNIHSDSEVLSADPSISHYYQQLLPMGKHVSKVCRAYKSVLAGNGEEGSGLGFTVAVPWVYNTSLVVCRGSGMNTRHCSRDFIMNAIVSKSREVENVLNLPFSFSQYINRGFTLVD >Potri.011G166500.1.v4.1 pep chromosome:Pop_tri_v4:11:19047398:19048150:-1 gene:Potri.011G166500.v4.1 transcript:Potri.011G166500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166500.v4.1 MYTTFAPSPLTAVTSVITAAATSNTVQKPILLSPVKTTAGRSCRGLQIKASVSTFPDSTRVDSRYSTLSLYDVLRVNPAASQVEIKSAYRSLAKIYHPDAFLSHDRDHDDEQSDGGDFIEIHSAYETLSDPTARAVYDLSLSAAARCFYRRAAGYSGGDYTTRRWETDQCW >Potri.007G031200.3.v4.1 pep chromosome:Pop_tri_v4:7:2390201:2392242:1 gene:Potri.007G031200.v4.1 transcript:Potri.007G031200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031200.v4.1 MMGGQSSKTRGSDTPSLPLQANTNSQLTEDLTSYEDACNRDPELQSFDAALHERTNHVINSLATGVGILSLGSFKEVTNCLLEMNQDVVKVILESKEDIWNNRELFGLVEEYFENSVKTMEFCAALESSLKRAQNSQLIIQFAIKQFEEEVEMQDGAVEKKFVKTLEGLQKFKAAGDPFTPQFFALFQSVSEQQVSMLKKLQSRKKKLDKKMKSMKTWRRVSNVLFVSAFVSVLIISVVAAAIAAPPVLTAVAGAIAVPVGSVGKWCNMLWNRYEKALKEQKELVRSMQVGTFVTINDMDSIRVLVIKLQMGIQSLLDNADFAIREEDAVKLVIDEIKKKMAVFMEIIEDLAAHADKCNRDISLARTMILNRILKYADQ >Potri.007G031200.1.v4.1 pep chromosome:Pop_tri_v4:7:2390209:2392367:1 gene:Potri.007G031200.v4.1 transcript:Potri.007G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031200.v4.1 MMGGQSSKTRGSDTPSLPLQANTNSQLTEDLTSYEDACNRDPELQSFDAALHERTNHVINSLATGVGILSLGSFKEVTNCLLEMNQDVVKVILESKEDIWNNRELFGLVEEYFENSVKTMEFCAALESSLKRAQNSQLIIQFAIKQFEEEVEMQDGAVEKKFVKTLEGLQKFKAAGDPFTPQFFALFQSVSEQQVSMLKKLQSRKKKLDKKMKSMKTWRRVSNVLFVSAFVSVLIISVVAAAIAAPPVLTAVAGAIAVPVGSVGKWCNMLWNRYEKALKEQKELVRSMQVGTFVTINDMDSIRVLVIKLQMGIQSLLDNADFAIREEDAVKLVIDEIKKKMAVFMEIIEDLAAHADKCNRDISLARTMILNRILKYADQ >Potri.017G118401.1.v4.1 pep chromosome:Pop_tri_v4:17:12512601:12513589:-1 gene:Potri.017G118401.v4.1 transcript:Potri.017G118401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118401.v4.1 MNINQVAYRVLGIHQDDRILRIATEDSFVGLCSPQFMNSMFNPKVFESVEGYTNLIFIYGCKDGPPTIPFTCKINKVNDQDVYIQVGDTGPGECYRSVLVPFSITNWPRIQQPVTVQVLEEQLRKGFEVRWKVDRPEACGECIRSKGVCGIDHVTKQTTCYCPNQSSGSKTCARGMYLNFSSNQFMINSSHLLMLHIP >Potri.012G012535.2.v4.1 pep chromosome:Pop_tri_v4:12:624727:628036:1 gene:Potri.012G012535.v4.1 transcript:Potri.012G012535.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012535.v4.1 MLYGTLHPNSTLFSLHHLQQLDLSDNDFNSSHISSRFGQFSNLTLLNLNYSIFAGQVPSEIIHLSKLVSLDLSQNDDLSLEPISFDKLVRNLTNLRELDLSDVNMPLLVPSSLMNLSSSLSSLKLNYCGLQGKLLSSMGKFKHLQYLDLGGNNLTGSIPYDFEQLTELVSLDLSINFYLSLEPIFFDKIVQNLTRLRDLILGYVNMSLVAPSSLTNLSSSLSSLSLWGCGLQGKFPGYIFLLPNLESLDLSYNDGLTGSFPSTNLSNVLSRLDLSNTRISVYLENDLISNLKSLEYMSLRNCNIIMSDIALLSNLTQLINLDLSSNNFSGQIPSSFGNLTQLTYLDLSSNNFSGQIPDSLGSLVNLSYLVLSNNQLRGPIHSQLKTLSNLLGLSLYGNLFNGTIPSFLFALPSLYYLDLHDNNLIGNISELQHYSLIYLDLSNNHLHGTIPSSIFKQENLRVLILASNSKLTGEISSSICKLRYLRVMDLSNSSFSGSMPLCLGNFSNMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNELEGKISPSIINCTMLEVLDLGNNKIEDAFPYFLETLPKLQILVLKSNKLQGFVKGPTAHNSFSKLQILDISDNGFSGSLPIGYFNSLEAMMASDQNMIYMKATNYSSYVYSIEMTWKGVEIEFPKIQSTIRILDLSKNNFIGEIPKVIGKLKALQQLNLSHNSLTAGGSNISCNPKPFT >Potri.012G012535.1.v4.1 pep chromosome:Pop_tri_v4:12:624727:628036:1 gene:Potri.012G012535.v4.1 transcript:Potri.012G012535.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012535.v4.1 MLYGTLHPNSTLFSLHHLQQLDLSDNDFNSSHISSRFGQFSNLTLLNLNYSIFAGQVPSEIIHLSKLVSLDLSQNDDLSLEPISFDKLVRNLTNLRELDLSDVNMPLLVPSSLMNLSSSLSSLKLNYCGLQGKLLSSMGKFKHLQYLDLGGNNLTGSIPYDFEQLTELVSLDLSINFYLSLEPIFFDKIVQNLTRLRDLILGYVNMSLVAPSSLTNLSSSLSSLSLWGCGLQGKFPGYIFLLPNLESLDLSYNDGLTGSFPSTNLSNVLSRLDLSNTRISVYLENDLISNLKSLEYMSLRNCNIIMSDIALLSNLTQLINLDLSSNNFSGQIPSSFGNLTQLTYLDLSSNNFSGQIPDSLGSLVNLSYLVLSNNQLRGPIHSQLKTLSNLLGLSLYGNLFNGTIPSFLFALPSLYYLDLHDNNLIGNISELQHYSLIYLDLSNNHLHGTIPSSIFKQENLRVLILASNSKLTGEISSSICKLRYLRVMDLSNSSFSGSMPLCLGNFSNMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNELEGKISPSIINCTMLEVLDLGNNKIEDAFPYFLETLPKLQILVLKSNKLQGFVKGPTAHNSFSKLQILDISDNGFSGSLPIGYFNSLEAMMASDQNMIYMKATNYSSYVYSIEMTWKGVEIEFPKIQSTIRILDLSKNNFIGEIPKVIGKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGVYQVESSSTPLIQAHLKETWVYVDFKY >Potri.001G161900.1.v4.1 pep chromosome:Pop_tri_v4:1:13727106:13731741:-1 gene:Potri.001G161900.v4.1 transcript:Potri.001G161900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161900.v4.1 MPLLDIATAQASLQNPLGPLRAQSHVHCKVFSSPFTFGDDKRLLSLGKCIEFRRKINGFERSKLWIKAIATFEPQSSVHKGDGNRKTSRGNEQLGANSDTLTAQVESLREDSMTLDDREELRRKRISKANKGNTPWNKGRKHSPETLQKIRERTRLAMQDPKIKMKLANLGHAQSKETRVKIGHGVRLGWQKRREKQMMQEGCYFEWQNLITEASRRGYTGEGELQWDSYNILRQQLEFEWVESVEKRKTTPRPKGSKRAPKSLEQRRKISEAIAAKWADPEYRERVFSGISKYHGTPVGAERKPRRRPSGGSQSARQDSTRRTNDTEKGDTRSPTQQLRRRSKTPSYKDPLARSKLEMIKNIRAERTATETKKNEAVERARSLITEAEKAANTLEAAAVRSPIARASLIEARKLIAEAIQSIESVDTGYSISNDSISNEIDRHPDPSLAPTKQVSEVEKEINAGNGGLGQVALRQVNGTKILETSKDEDLNFCNLAFNDILNGEKELHHLGTGAYGLPSLSMASPVDHSSSRKQPGQVEPNGSLKSEKINLPNGSRVQYVKEETPSKPDTTKKWVRGRLVEGTGG >Potri.010G114900.1.v4.1 pep chromosome:Pop_tri_v4:10:13442537:13445460:-1 gene:Potri.010G114900.v4.1 transcript:Potri.010G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114900.v4.1 MANNSKEEPKSAPQPDRWYNLALGPSFKEQSSNKFCTLRYEFKPASIDKTKPGLFHKNKDNRVSVEFQNNQLGKSKVTFEGSSEDYKENDAVLFFDGQTFRLERLHRSVKQLRHLRLPGESAAAASAQSMLAVEAPGLSPPVGKGAKPVQIGRSAFPTVPVEVERIDVGEPHVLGTKAANEGITEHPTHVPNVSTSSPSPKNDEAEEHQDIDIEDIFGAGSPDDGNATEEKVDVDFDMHAPQQNNTDDEIADVDDNGDEADKGLNAAEALRAQENADERDEQTSSSSSSSGSGSSGSGSSSSSSDSEGSDEDSVNSI >Potri.005G082500.2.v4.1 pep chromosome:Pop_tri_v4:5:5620714:5624015:-1 gene:Potri.005G082500.v4.1 transcript:Potri.005G082500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082500.v4.1 MARRRVKKTVKESAPSNQENQPQIEEPKHFPLIDQEVERQIVAIRSMRDVGIEHLLTELRLLRSYFSKEQLNTPALQFFKENLPNLSIERNEENGEFLVKWNDNVDELSDGANINASLLRRLSLAYPSCSANLSVGRFGLSSNAVKTSIFGAADNLQMTDIVLEGQSDSQMLGMHDGLRTPGVTSQRLSIGMTPKTQRLPKRGEILLSVRGSPLGVFKEDNMEAINESDED >Potri.005G082500.4.v4.1 pep chromosome:Pop_tri_v4:5:5620714:5624069:-1 gene:Potri.005G082500.v4.1 transcript:Potri.005G082500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082500.v4.1 MARRRVKKTVKESAPSNQENQPQIEEPKHFPLIDQEVERQIVAIRSMRDVGIEHLLTELRLLRSYFSKEQLNTPALQFFKENLPNLSIERNEENGEFLVKWNDNVDELSDGANINASLLRRLSLAYPSCSANLSVGRFGLSSNAVKTSIFGAADNLQMTDIVTSQRLSIGMTPKTQRLPKRGEILLSVRGSPLGVFKEDNMEAINESDED >Potri.015G147400.3.v4.1 pep chromosome:Pop_tri_v4:15:15131956:15134069:-1 gene:Potri.015G147400.v4.1 transcript:Potri.015G147400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147400.v4.1 MKKRIGARIKEILSCSDTRLGATSTPNLPMEIDVREEYANAFRTESYNLFWARLQALSNRDPTTCTVLAAESTTSAARLPSYRLFVENLLDPDQSTVIRTLSLIHTRPSTHSLLSKYFTQTANASLLCGLLLKDIDHIRVKYRSLKATLDQIPKTQFPNPEILTRVTEFVNARNPFDRSGSTPSRVQVMQADCFKLLKQLESKRDKAKAKLNLKNKLKHSSAIFLVALTASLTIIIATHALALLVATPGLITATSLGPGSSTRRLARVAAQLDAAAKGTYILSRDLETISRLVNRVKDEMEHLHSTVKYLVERGDDEGNWLHGINGELVVRQFSKINECCSFSNQVDDLEEHLYLCFLTINRARNLVLKEIVDPGQ >Potri.015G147400.2.v4.1 pep chromosome:Pop_tri_v4:15:15131957:15134069:-1 gene:Potri.015G147400.v4.1 transcript:Potri.015G147400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147400.v4.1 MKKRIGARIKEILSCSDTRLGATSTPNLPMEIDVREEYANAFRTESYNLFWARLQALSNRDPTTCTVLAAESTTSAARLPSYRLFVENLLDPDQSTVIRTLSLIHTRPSTHSLLSKYFTQTANASLLCGLLLKDIDHIRVKYRSLKATLDQIPKTQFPNPEILTRVTEFVNARNPFDRSGSTPSRVQVMQADCFKLLKQLESKRDKAKAKLNLKNKLKHSSAIFLVALTASLTIIIATHALALLVATPGLITATSLGPGSSTRRLARVAAQLDAAAKGTYILSRDLETISRLVNRVKDEMEHLHSTVKYLVERGDDEGNWLHGINGELVVRQFSKINECCSFSNQVDDLEEHLYLCFLTINRARNLVLKEIVDPGQ >Potri.016G016200.1.v4.1 pep chromosome:Pop_tri_v4:16:845465:847953:1 gene:Potri.016G016200.v4.1 transcript:Potri.016G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016200.v4.1 MKKAEVVLIPLPAMGHKVAVVEIAKLLVQRDDRIYTTVLVMHPTVDPSTTTFNESLAASTLPDRQKPHVKEYVSKIRTQSELSLDAPRLAGFIFYSFVTGLKEVANEFGLPWYAFSASGAAFLGCLLHLQALHDEQGADLTEFKNSDVELSIPSLVNPFPAKLLPSVLFEKDSLTTVLEQPRALAEARGILVNTFLEFESHAVNSLSNGRTPPIYPVGPIVKHKRDGHDVGSEGSNNYRNIIEWLDDQPPSSVLFLCFGSGGSFREKQVKEIACALEKCGHRFLWSLRKPPPRGKWEYSPSDYANFQEILPKEFLNRTAKIGKVIGWAPQVDILAHPAIGMFASHCGWNSILESIRFGVPIVAWPLYAEQQFNAFQMVIELGLAVEIKMDCRKNSHGDNEINVSADGIMKAIKHVMEQGKEIRKKVKEMSRIGEKTLMAGGCSYSSLGRLVDDIIIDNQP >Potri.016G002700.2.v4.1 pep chromosome:Pop_tri_v4:16:139358:142331:1 gene:Potri.016G002700.v4.1 transcript:Potri.016G002700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFK MALSSPEATNLNTAKIPENPSSPCSIHLQKLPHLTDYLPNLKPLPNPLEKNTLYHPPAGFYINQSEVVLGKIIVDLSADSEAVSSHSPQVAYNRAGPRWQVFFEPKDIKAAIVTCGGLCPGMNTVIRELVVGLWEMYGVRQIYGVTAGYRGFYSRDPIELNPKLVHNWHKLGGTALETSRGGFDLNKIVDAIEHHGFNQVYIIGGDGTMRGAVNIFNEIQRRKLNIAVAGIPKTVDNDIGIIDRSFGFQTAVEMAQQAINAAHIEAESAVNGIGLVKLMGRSTGHIALHATLSSRDVDCCLIPEIDFYLEGEGGLLEFLEKRLKENGHAVLVVAEGAGQDMIPRTEAQKEERDESGNLVFLDFGAWLKSELKNWWTRDHANELFTVKYIDPTYMIRAVPANATDNLYCTLVAYSAIHGVMAGYTGFVSGLINGNYAYIPVEEVAHARNEVNTKDHKWAWVRSVTNQPDFVKP >Potri.001G296700.2.v4.1 pep chromosome:Pop_tri_v4:1:30746183:30749408:-1 gene:Potri.001G296700.v4.1 transcript:Potri.001G296700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296700.v4.1 MIWQSMDVGCLDLGCISVLDKNSGHTVLDSVDKECDSSEKVSSGSKPGKNKSPKGTGQSALNALNKFTSQIKKPSHRKNSPINWFPRKKGDSYLQRKIKMLQELDGMSMTLDEALGDSNPHYSRVLREKIAAREAANKAVEARKAALVEASWCRILKAARIQSKEAEELLLKAEKTAAEAFEVAKAMEVIMFDIPNSPQVSCQVQTSTVSGGGSTPYTATTSFASVFEVDKQVAAAVKTAFTRLANCPTFDNEEFKDLLDSNSEFSEYELESGSEFEPISQDMDFTLPIPGTRLKKYKRRQSLDTLNMTKIVDMMFERLRCLNEDELSSLATIVATCGLNAALAEVENSKVHDPGSAADYTSSQAVNRHRRMSSVGSGTIRRNEVQLELPSLDKFLVKHVSKLEREVQEAKDRRKNELMEGNQGNTDTTGDGKVTLDGKKTSSESISDLGTILVKHSSKLEKEIEEAKKNTRKSFKIISKKLASDLTISEGISDLGSMLIKHPSKLEKEVQEMRKNSGKTFDIDGKELGRRAPNSPRKYVPEVPSLDKILVKHVSRLEKEVQEAKNRKKNESVEERRLEKENVNLNKEENGLETEKTQALSLGSSCGNYRHQNKFGGNATADCEGLDRVLVKHSSRLEKEKMALSLNQEEMHVERSGRKAHMQTNEGGLDQILVKHKSKLEREKMASAQQSGEEVPARLSVSRREARERELQEAWGGLSLGNSIRPHLSRLERDKAAWIKAEEEARRRAMEEVV >Potri.018G024000.2.v4.1 pep chromosome:Pop_tri_v4:18:1783442:1785394:1 gene:Potri.018G024000.v4.1 transcript:Potri.018G024000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024000.v4.1 MEGLVCSNAVVLEGFLSSLIEAVVLETAIAAWKALALSLFMIGSLPNRSSDIPEESGTSRGFPFTGLHVRKKPDPDNQDASDTEDDEDDEDGQDDQDEDDEGDDEDVPDKGEDGEDLEDEPEANGDGGSDDDDDEEDDDDEEDEDDDDDEEDEDEDEEEDEEDIPQPPSKKRK >Potri.011G121700.1.v4.1 pep chromosome:Pop_tri_v4:11:15219828:15227415:1 gene:Potri.011G121700.v4.1 transcript:Potri.011G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121700.v4.1 MDRVLKAARSSGSLNLSNRSLSEVPDEVYKILDAVGEGEKWWENVELQKLILAHNNIEAIKEDLKNLSQLTVLNVSHNKLSALPAAIGELPMLKLLDVSFNLIQKVPDEIGSATSLVKFDCSSNQLRELPSSLGGCLALSDLKASNNSITSLPEDLARCSKLTKVDVEGNKLKVLSGNLMASWTMLTEFNASKNLLSDIPDNFGSLSRLIRLDLHQNRISTIPPSIMGCCSLAEFYMGNNALSTLPAEIGALSRLGTLDLHSNQLKEIPAEACKLQLSMLDLSNNSLTGLPPELGKMTTLRKLLLNGNPLRTLRSSLVSGPTATLLNYLRSRLSEGEDSKATTPAKKDLISMTARLSVSSKELSLQGLGLSAVPSEVWESNEIVKVDLSRNSIQELPLELSLCVSLQCLILSRNKISDWPCAILKSLPNLICLKLDNNALTQIPSDGFQAVPMLQILDLSGNPASLLGHPAFSSLPHLKELYLRQVQLREIPSDILSLQQLQILNLSQNSLHSIPEGLKNLTSLTELDLSDNNISALPPELGLLEPSLQALRLDGNPLRSIRRTILDRGTKAVLKYLMDKIPEH >Potri.001G118300.4.v4.1 pep chromosome:Pop_tri_v4:1:9620665:9624193:1 gene:Potri.001G118300.v4.1 transcript:Potri.001G118300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118300.v4.1 MEPRNFLRFLFIFLSVSLVLFFTWVHLPYAPQPNPTTDLLDCSTNSPWCTSKNRFQPINKPSTADNILEKPPNPKHHNHESDTPHHPLDPLTISEINKVRTILKSHTLFKSSSPCAFHSIVLEEPDKTLVLKWKKGDSMLPRKAAVVARVAGKSHVLTVDISSGEVAVQETGSRSGYPTMTIEDMTSSTWAPLSNADFNRTIIERGVDLQDLACLPISLGWFGENEENRRLIKVQCYSMKGTANFYMRPIEGLTVLLDMDSKEVVEISDKGRDIPIPKAANTDYRYSVQEINPEMMFVNPISIEQPKGPSFTVEDEHLVKWANWEFHLKPDPRAGVIISRAKVRDPDSGEMRNVMYKGYTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFAAGDGTPYVRSHMVCVFESYAGDIGWRHSESPITGMEVRRFGSENLIYFKHSLSSFLYKLTN >Potri.001G118300.1.v4.1 pep chromosome:Pop_tri_v4:1:9620655:9624185:1 gene:Potri.001G118300.v4.1 transcript:Potri.001G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118300.v4.1 MEPRNFLRFLFIFLSVSLVLFFTWVHLPYAPQPNPTTDLLDCSTNSPWCTSKNRFQPINKPSTADNILEKPPNPKHHNHESDTPHHPLDPLTISEINKVRTILKSHTLFKSSSPCAFHSIVLEEPDKTLVLKWKKGDSMLPRKAAVVARVAGKSHVLTVDISSGEVAVQETGSRSGYPTMTIEDMTSSTWAPLSNADFNRTIIERGVDLQDLACLPISLGWFGENEENRRLIKVQCYSMKGTANFYMRPIEGLTVLLDMDSKEVVEISDKGRDIPIPKAANTDYRYSVQEINPEMMFVNPISIEQPKGPSFTVEDEHLVKWANWEFHLKPDPRAGVIISRAKVRDPDSGEMRNVMYKGYTSELFVPYMDPTDAWYFKTYMDAGEYGFGLQAMPLDPLNDCPRNAYYMDGVFAAGDGTPYVRSHMVCVFESYAGDIGWRHSESPITGMEIREVRPKVTLVVRMAASVANYDYIVDWEFQTDGLIRIKVGLSGILMVKGTSYVNTNQVPGQQNLYGTLLSENVIGVIHDHYITFYLDMDIDGSDNSFVKVNIQKQRTSPGESPRRSYLKAIRNVAKTEKDAQIQLKLYDPSEFHVVNPMKRTRVGNPVGYKLVPGGTAASLLDHDDPPQKRGAFTNNQIWVTPYNQSEQWAGGLFVYQSQGEDTLAVWSERDRPIENKDIVLWYTLGFHHVPCQEDFPIMPTVSSSFDLKPVNFFESNPILRIPPNVEKDLPVCKPAASA >Potri.018G099500.1.v4.1 pep chromosome:Pop_tri_v4:18:12006070:12008511:1 gene:Potri.018G099500.v4.1 transcript:Potri.018G099500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099500.v4.1 MGAFEDSKPALAMLGMQFSYAIVSLIIRAALIQGMSPRVFVVYRQAIATVVIAPLAHFSRKSGGTSMGLRSFSLVFSASLIGVTINQNVFAEGLYLASSSMASAMGNLVPAITFVMAFLIGLEKINIRSFRSIAKIVGTVICVSGAISMALLRGPKLLNTTIFESGGEDWLLGCLLIFASTFCWSIWLILQVPVTASYPDHLSLSAWLCFLATLQSGILTLFLEKDLEAWKLHSYLEVVGCLFTGIIGSGISFFVQAWVISQRGPLFSAMFNPLCTVIVTILAAIFLHEEIYTGSLIGAVAVIIGLYIVLWGKAKDFTKEEDKVDPKLEIDERQTVKITIEESRGVEPVLEEPLLSDKSNDIEESSNFHKK >Potri.019G058000.1.v4.1 pep chromosome:Pop_tri_v4:19:9745841:9747239:-1 gene:Potri.019G058000.v4.1 transcript:Potri.019G058000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058000.v4.1 MASAGIRLEGNGLCRFSGNNPVKNAHLWPCNVKISSNLCDGARFRRGRVSMSMKSITTPGIVKTADSSDGNKKSAVLYEKVEQWMRDSVVEIVNNLREAPLLVHVYAEESGGMTVLKTEKEVAEEKWPGLMERWEKRETQLPDGVIFVEKLEDGEEEEEDAITRAWGIVVQGRGVDCGPVCYLLKTSRVGAGPGLGLCCTHFCLMKVQSFRETARSQFKNCWLSQGL >Potri.004G042800.2.v4.1 pep chromosome:Pop_tri_v4:4:3395033:3398094:1 gene:Potri.004G042800.v4.1 transcript:Potri.004G042800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G042800.v4.1 MSAAKTSSKKRVAESLLTKSASKFRVEDEFDPDFSSDIKGIMSALQQIREKSQKDGQKKNEQTISSVGSEIKSMIDELKCKIEKDRQTFAKALTKSSKECENCLKSETAKFQEIYDKFCKEKATHLQAQKDTVSRFEEDKETLCMRYEQMRKKEKSMISEHEKTCADKIAQLAESLKKKKQDDKTFCILRKSLGSFLEYASDEDFLPDD >Potri.002G174700.1.v4.1 pep chromosome:Pop_tri_v4:2:13467657:13470595:-1 gene:Potri.002G174700.v4.1 transcript:Potri.002G174700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174700.v4.1 MGFLSHRVERSEIKPGDHIYSYRAVFTYSHHGIFVGGSKVVHFTPRQIANSSSDTSSDFYNSMSSIPSSCETFPDCGFRQLDSGVVLSCLDCFLKKGSLYCFEYGVPPTVFLAKVRGGTCTTAASDPPETVIHRAMYLLQNGFGNYDVFQNNCEDFAMYCKTGLLVMDRLGVGRSGQASTVIGAPLAAILSSPLNLLIPSPVGVATVTAGMFCMSRYATDIGVRSDVIKVAVEDLAMKLSWAGPLEEVPEDDDASGAMIAR >Potri.016G023400.8.v4.1 pep chromosome:Pop_tri_v4:16:1316519:1319547:1 gene:Potri.016G023400.v4.1 transcript:Potri.016G023400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023400.v4.1 MKATVSSQTEEAGRIRQGNSDAGAQVNNAGKQRNETASPDGANGSSSPIPFPTLFQKSSPGRELYYMSARSLSSSHTPAVGSFLAQRVASPSFSMRNSIEDPLLGGDATTQSAPNLNTKTMLCVVSVVTLLGTLNLHQSANNRLARAFENKHQGFVIQVGRKILQASSRMSHENYSEGSGIGTFLGWSMAAIYMGGRLPQIFLNIKRGNVEGLNPLMFVFALIGNITYVASILVDSVAWSKISANLPWLVDAGGCVLLDTCILLQFAYFRHRRRQLLENKLLNCNSA >Potri.016G023400.5.v4.1 pep chromosome:Pop_tri_v4:16:1314080:1319547:1 gene:Potri.016G023400.v4.1 transcript:Potri.016G023400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023400.v4.1 MPTCPQYCSQWARIYVKYCLCSMKDGVSLTLGVISVLSWGVAEIPQIITNYKEKSTEGLSLAFLLTWIIGDLFNVFGCMLEPATLPTQYYMAVLYTITTSVLTAQTIYYGHIYHRLKRNRRCIKATVSSQTEEAGRIRQGNSDAGAQVNNAGKQRNETASPDGANGSSSPIPFPTLFQKSSPGRELYYMSARSLSSSHTPAVGSFLAQRVASPSFSMRNSIEDPLLGGDATTQSAPNLNTKTMLCVVSVVTLLGTLNLHQSANNRLARAFENKHQGFVIQVGRKILQASSRMSHENYSEGSGIGTFLGWSMAAIYMGGRLPQIFLNIKRGNVEGLNPLMFVFALIGNITYVASILVDSVAWSKISANLPWLVDAGGCVLLDTCILLQFAYFRHRRRQLLENKLLNCNSA >Potri.016G023400.7.v4.1 pep chromosome:Pop_tri_v4:16:1315361:1319547:1 gene:Potri.016G023400.v4.1 transcript:Potri.016G023400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023400.v4.1 MPTCPQYCSQWARIYVKYCLCSMKDGVSLTLGVISVLSWGVAEIPQIITNYKEKSTEGLSLAFLLTWIIGDLFNVFGCMLEPATLPTQYYMAVLYTITTSVLTAQTIYYGHIYHRLKRNRRCIKATVSSQTEEAGRIRQGNSDAGAQVNNAGKQRNETASPDGANGSSSPIPFPTLFQKSSPGRELYYMSARSLSSSHTPAVGSFLAQRVASPSFSMRNSIEDPLLGGDATTQSAPNLNTKTMLCVVSVVTLLGTLNLHQSANNRLARAFENKHQGFVIQVGRKILQASSRMSHENYSEGSGIGTFLGWSMAAIYMGGRLPQIFLNIKRGNVEGLNPLMFVFALIGNITYVASILVDSVAWSKISANLPWLVDAGGCVLLDTCILLQFAYFRHRRRQLLENKLLNCNSA >Potri.016G023400.4.v4.1 pep chromosome:Pop_tri_v4:16:1315024:1319547:1 gene:Potri.016G023400.v4.1 transcript:Potri.016G023400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023400.v4.1 MPTCPQYCSQWARIYVKYCLCSMKDGVSLTLGVISVLSWGVAEIPQIITNYKEKSTEGLSLAFLLTWIIGDLFNVFGCMLEPATLPTQYYMAVLYTITTSVLTAQTIYYGHIYHRLKRNRRCIKATVSSQTEEAGRIRQGNSDAGAQVNNAGKQRNETASPDGANGSSSPIPFPTLFQKSSPGRELYYMSARSLSSSHTPAVGSFLAQRVASPSFSMRNSIEDPLLGGDATTQSAPNLNTKTMLCVVSVVTLLGTLNLHQSANNRLARAFENKHQGFVIQVGRKILQASSRMSHENYSEGSGIGTFLGWSMAAIYMGGRLPQIFLNIKRGNVEGLNPLMFVFALIGNITYVASILVDSVAWSKISANLPWLVDAGGCVLLDTCILLQFAYFRHRRRQLLENKLLNCNSA >Potri.002G061000.1.v4.1 pep chromosome:Pop_tri_v4:2:4217900:4225429:1 gene:Potri.002G061000.v4.1 transcript:Potri.002G061000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061000.v4.1 MDYKRDVTFPYSPSHHLFTLFSHNQKLQKKMLLYSSPSLNSFLFNSNSNSNFLSKNHKSLKSAPQTLRVVNNNDVDAFTEKSGYLFKLSSSEADSLNDYDLKKIAAIYKRKPFILLRRLFQIGSTFGRWLAARYIDSITEKSDLMFKIRAAELRQILLELGPAYIKIAQAVSSRPDLIPPSYLDELSLLQDRIAPFSTEVALNTIEQELGLPIDMIFSEISPEPTAAASLGQVYQARLRSNGQVVAVKVQRPGVQAAISLDILILHFMAGVVKKAGRFNSDLQAVVDEWASSLFREMDYIKEAKNGLKFRKLYGGIEDVLVPYMYLENTTRKVLVMEWVEGKKLSEVKDLYLVEVGVYCSFNQLLEYGFYHADPHPGNLLRTYNGKLAYIDFGMMGEFNQEFRDGFIEACLHLVNRDFDALAKDFVTLGFLPPTSDKEGVTKALTGVFQNAVAKGVSNISFGDLLGNLGTTMYKLKFQIPSYFSLVIRSVAVLEGIAIGFDPNYKVLGSTYPWIARKVLTDSSPQLRSSLQALLYEKGVFRIDRLESLLSESLRARTEKALVKSQLEDNDSKVAVKQILSFTLTEKGAFVREILLQEIAKGLDAFGLATLDYLTSMANTSIPFAASSSSSMTEEDMMNLRTFRRLMLILSGFQKNGGSPVELKRTVPSKNQNMHSEEASLIFYQFPSAEEILPILSVIPELPPELQQQLLLLPADLAGRLISRVTARTIRRVFL >Potri.006G125400.9.v4.1 pep chromosome:Pop_tri_v4:6:10023551:10029276:1 gene:Potri.006G125400.v4.1 transcript:Potri.006G125400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125400.v4.1 MEPQVSLDSERHLHRNSSIQVGDPGVTSSTPDRVEPRQPEKRPTRQWAAWTHQEEESFFNALRQVGKNFEKITRHVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLAKYSCKASKLHLKPRRFKIFVEALENQLLKDRRKNVRKRSSQGENGSPTTPSIITNQNRASGHDTRTVKLVLVDSQNIQKLGGGKGSLKRNVNLGVIRNNNKGDSTAMKPARQRRKPASSAAYKKWEKAAIAGVSLVADAAEHLERTAPDKEFEHDQGQNGLDSVENVLPHLPASLLHYVESSAHNNMKLKLQLFPIDDGTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGNSTVASGELMLFPYVVNRENLVGYQRWTQDSLVSAADVYLSIGSPPVFRLRYGWFSNANFASMTLRAPSTYSCLTDGGNEKGKAMDSVSTTEPSTGDQFLEDPSRDCPTSMNSNHASTPHSAGVSNETNEFIAIGPINNLVKSFDPAANTSWHRTETDDRTSTQQLEDVDGLRLSNVNVLSAGEWADSLTDVSIGDLLSELPHEADFNCVEPPIVQSNQCLQQIPFSCDSFDAAIAAHISRHQSKVGFVSSVTSHTSSIWDGEETCDAFAFQRNHSLRKEVTTSAVASPQVGKQMDRTSSIASGAFLEELPDFVGPMDYPTGEDRMCLSDLQVVNNQAKNFNGLTDIYWPDSLGLLDLDIPSSKYHAEDLILSDSLGGLNHLIASSLDAFQNCSFFGLNKKDSTSTVEARETTSFSDFKIGSGI >Potri.006G125400.3.v4.1 pep chromosome:Pop_tri_v4:6:10023551:10029391:1 gene:Potri.006G125400.v4.1 transcript:Potri.006G125400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125400.v4.1 MEPQVSLDSERHLHRNSSIQVGDPGVTSSTPDRVEPRQPEKRPTRQWAAWTHQEEESFFNALRQVGKNFEKITRHVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLAKYSCKASKLHLKPRRFKIFVEALENQLLKDRRKNVRKRSSQGENGSPTTPSIITNQNRASGHDTRTVKLVLVDSQNIQKLGGGKGSLKRNVNLGVIRNNNKGDSTAMKPARQRRKPASSAAYKKWEKAAIAGVSLVADAAEHLERTAPDKEFEHDQGQNGLDSVENVLPHLPASLLHYVESSAHNNMKLKLQLFPIDDGTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGNSTVASGELMLFPYVVNRENLVGYQRWTQDSLVSAADVYLSIGSPPVFRLRYGWFSNANFASMTLRAPSTYSCLTDGGNEKGKAMDSVSTTEPSTGDQFLEDPSRDCPTSMNSNHASTPHSAGVSNETNEFIAIGPINNLVKSFDPAANTSWHRTETDDRTSTQQLEDVDGLRLSNVNVLSAGEWADSLTDVSIGDLLSELPHEADFNCVEPPIVQSNQCLQQIPFSCDSFDAAIAAHISRHQSKVGFVSSVTSHTSSIWDGEETCDAFAFQRNHSLRKEVTTSAVASPQVGKQMDRTSSIASGAFLEELPDFVGPMDYPTGEDRMCLSDLQVVNNQAKNFNGLTDIYWPDSLGLLDLDIPSSKYHAEDLILSDSLGGLNHLIASSLDAFQNCSFFGLNKKDSTSTVEARETTSFSDFKIGSGI >Potri.006G125400.5.v4.1 pep chromosome:Pop_tri_v4:6:10023552:10029416:1 gene:Potri.006G125400.v4.1 transcript:Potri.006G125400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125400.v4.1 MEPQVSLDSERHLHRNSSIQVGDPGVTSSTPDRVEPRQPEKRPTRQWAAWTHQEEESFFNALRQVGKNFEKITRHVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLAKYSCKASKLHLKPRRFKIFVEALENQLLKDRRKNVRKRSSQGENGSPTTPSIITNQNRASGHDTRTVKLVLVDSQNIQKLGGGKGSLKRNVNLGVIRNNNKGDSTAMKPARQRRKPAAYKKWEKAAIAGVSLVADAAEHLERTAPDKEFEHDQGQNGLDSVENVLPHLPASLLHYVESSAHNNMKLKLQLFPIDDGTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGNSTVASGELMLFPYVVNRENLVGYQRWTQDSLVSAADVYLSIGSPPVFRLRYGWFSNANFASMTLRAPSTYSCLTDGGNEKGKAMDSVSTTEPSTGDQFLEDPSRDCPTSMNSNHASTPHSAGVSNETNEFIAIGPINNLVKSFDPAANTSWHRTETDDRTSTQQLEDVDGLRLSNVNVLSAGEWADSLTDVSIGDLLSELPHEADFNCVEPPIVQSNQCLQQIPFSCDSFDAAIAAHISRHQSKVGFVSSVTSHTSSIWDGEETCDAFAFQRNHSLRKEVTTSAVASPQVGKQMDRTSSIASGAFLEELPDFVGPMDYPTGEDRMCLSDLQVVNNQAKNFNGLTDIYWPDSLGLLDLDIPSSKYHAEDLILSDSLGGLNHLIASSLDAFQNCSFFGLNKKDSTSTVEARETTSFSDFKIGSGI >Potri.006G125400.8.v4.1 pep chromosome:Pop_tri_v4:6:10023357:10029282:1 gene:Potri.006G125400.v4.1 transcript:Potri.006G125400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125400.v4.1 MEPQVSLDSERHLHRNSSIQVGDPGVTSSTPDRVEPRQPEKRPTRQWAAWTHQEEESFFNALRQVGKNFEKITRHVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLAKYSCKASKLHLKPRRFKIFVEALENQLLKDRRKNVRKRSSQGENGSPTTPSIITNQNRASGHDTRTVKLVLVDSQNIQKLGGGKGSLKRNVNLGVIRNNNKGDSTAMKPARQRRKPASSAAYKKWEKAAIAGVSLVADAAEHLERTAPDKEFEHDQGQNGLDSVENVLPHLPASLLHYVESSAHNNMKLKLQLFPIDDGTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGNSTVASGELMLFPYVVNRENLVGYQRWTQDSLVSAADVYLSIGSPPVFRLRYGWFSNANFASMTLRAPSTYSCLTDGGNEKGKAMDSVSTTEPSTGDQFLEDPSRDCPTSMNSNHASTPHSAGVSNETNEFIAIGPINNLVKSFDPAANTSWHRTETDDRTSTQQLEDVDGLRLSNVNVLSAGEWADSLTDVSIGDLLSELPHEADFNCVEPPIVQSNQCLQQIPFSCDSFDAAIAAHISRHQSKVGFVSSVTSHTSSIWDGEETCDAFAFQRNHSLRKEVTTSAVASPQVGKQMDRTSSIASGAFLEELPDFVGPMDYPTGEDRMCLSDLQVVNNQAKNFNGLTDIYWPDSLGLLDLDIPSSKYHAEDLILSDSLGGLNHLIASSLDAFQNCSFFGLNKKDSTSTVEARETTSFSDFKIGSGI >Potri.006G125400.6.v4.1 pep chromosome:Pop_tri_v4:6:10023261:10029421:1 gene:Potri.006G125400.v4.1 transcript:Potri.006G125400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125400.v4.1 MEPQVSLDSERHLHRNSSIQVGDPGVTSSTPDRVEPRQPEKRPTRQWAAWTHQEEESFFNALRQVGKNFEKITRHVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLAKYSCKASKLHLKPRRFKIFVEALENQLLKDRRKNVRKRSSQGENGSPTTPSIITNQNRASGHDTRTVKLVLVDSQNIQKLGGGKGSLKRNVNLGVIRNNNKGDSTAMKPARQRRKPASSAAYKKWEKAAIAGVSLVADAAEHLERTAPDKEFEHDQGQNGLDSVENVLPHLPASLLHYVESSAHNNMKLKLQLFPIDDGTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGNSTVASGELMLFPYVVNRENLVGYQRWTQDSLVSAADVYLSIGSPPVFRLRYGWFSNANFASMTLRAPSTYSCLTDGGNEKGKAMDSVSTTEPSTGDQFLEDPSRDCPTSMNSNHASTPHSAGVSNETNEFIAIGPINNLVKSFDPAANTSWHRTETDDRTSTQQLEDVDGLRLSNVNVLSAGEWADSLTDVSIGDLLSELPHEADFNCVEPPIVQSNQCLQQIPFSCDSFDAAIAAHISRHQSKVGFVSSVTSHTSSIWDGEETCDAFAFQRNHSLRKEVTTSAVASPQVGKQMDRTSSIASGAFLEELPDFVGPMDYPTGEDRMCLSDLQVVNNQAKNFNGLTDIYWPDSLGLLDLDIPSSKYHAEDLILSDSLGGLNHLIASSLDAFQNCSFFGLNKKDSTSTVEARETTSFSDFKIGSGI >Potri.006G125400.7.v4.1 pep chromosome:Pop_tri_v4:6:10024116:10029298:1 gene:Potri.006G125400.v4.1 transcript:Potri.006G125400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125400.v4.1 MEPQVSLDSERHLHRNSSIQVGDPGVTSSTPDRVEPRQPEKRPTRQWAAWTHQEEESFFNALRQVGKNFEKITRHVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLAKYSCKASKLHLKPRRFKIFVEALENQLLKDRRKNVRKRSSQGENGSPTTPSIITNQNRASGHDTRTVKLVLVDSQNIQKLGGGKGSLKRNVNLGVIRNNNKGDSTAMKPARQRRKPASSAAYKKWEKAAIAGVSLVADAAEHLERTAPDKEFEHDQGQNGLDSVENVLPHLPASLLHYVESSAHNNMKLKLQLFPIDDGTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGNSTVASGELMLFPYVVNRENLVGYQRWTQDSLVSAADVYLSIGSPPVFRLRYGWFSNANFASMTLRAPSTYSCLTDGGNEKGKAMDSVSTTEPSTGDQFLEDPSRDCPTSMNSNHASTPHSAGVSNETNEFIAIGPINNLVKSFDPAANTSWHRTETDDRTSTQQLEDVDGLRLSNVNVLSAGEWADSLTDVSIGDLLSELPHEADFNCVEPPIVQSNQCLQQIPFSCDSFDAAIAAHISRHQSKVGFVSSVTSHTSSIWDGEETCDAFAFQRNHSLRKEVTTSAVASPQVGKQMDRTSSIASGAFLEELPDFVGPMDYPTGEDRMCLSDLQVVNNQAKNFNGLTDIYWPDSLGLLDLDIPSSKYHAEDLILSDSLGGLNHLIASSLDAFQNCSFFGLNKKDSTSTVEARETTSFSDFKIGSGI >Potri.002G085500.3.v4.1 pep chromosome:Pop_tri_v4:2:6100583:6103975:1 gene:Potri.002G085500.v4.1 transcript:Potri.002G085500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085500.v4.1 MVNALTLALLLTLALLLLPSSRLSLATSPPQQDPETPITRYQQYLRFNTAHPNPNYTAPVSFLTSLAQSLGLKTQTLEFISNKPTLLITWQGSNPSLPSLLFNSHLDSVPAEPSKWTHPPFSATLTPEGKIFARGAQDDKCIAIQYLEAIRNLKARNFVPTRTLHISFVPDEEIGGIDGADKFVKSKEFKDLDVGFVLDEGLASVNDEFRVFYADRSPWNLIIKAKGVPGHGSRMYDNGAMENLMDSIEVINRFRDSQFDIVKAGKAANSEVISVNPVFLKAGIPSPTGFVMNMQPSEAEAGFDLRLPPTADPDPMKKRIAEEWAPAVRNMTYEIIEKGPLRDYMGRPLMTATDDSNPWWSVFKQAIAAAGGKLAKPEILRSTTDARFMRQLGIPTFGFSPMTNTPVLSHDHNEFLKDTIFLKGIEVYEHIIHALSSFEEANSI >Potri.002G085500.4.v4.1 pep chromosome:Pop_tri_v4:2:6100569:6104615:1 gene:Potri.002G085500.v4.1 transcript:Potri.002G085500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085500.v4.1 MVNALTLALLLTLALLLLPSSRLSLATSPPQQDPETPITRYQQYLRFNTAHPNPNYTAPVSFLTSLAQSLGLKTQTLEFISNKPTLLITWQGSNPSLPSLLFNSHLDSVPAEPSKWTHPPFSATLTPEGKIFARGAQDDKCIAIQYLEAIRNLKARNFVPTRTLHISFVPDEEIGGIDGADKFVKSKEFKDLDVGFVLDEGLASVNDEFRVFYADRSPWNLIIKAKGVPGHGSRMYDNGAMENLMDSIEVINRFRDSQFDIVKAGKAANSEVISVNPVFLKAGIPSPTGFVMNMQPSEAEAGFDLRLPPTADPDPMKKRIAEEWAPAVRNMTYEVNHDGYFSSISEVLGGIFNSFIACFPFLSTRWRPFCYLNWC >Potri.002G085500.1.v4.1 pep chromosome:Pop_tri_v4:2:6100620:6104598:1 gene:Potri.002G085500.v4.1 transcript:Potri.002G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085500.v4.1 MVNALTLALLLTLALLLLPSSRLSLATSPPQQDPETPITRYQQYLRFNTAHPNPNYTAPVSFLTSLAQSLGLKTQTLEFISNKPTLLITWQGSNPSLPSLLFNSHLDSVPAEPSKWTHPPFSATLTPEGKIFARGAQDDKCIAIQYLEAIRNLKARNFVPTRTLHISFVPDEEIGGIDGADKFVKSKEFKDLDVGFVLDEGLASVNDEFRVFYADRSPWNLIIKAKGVPGHGSRMYDNGAMENLMDSIEVINRFRDSQFDIVKAGKAANSEVISVNPVFLKAGIPSPTGFVMNMQPSEAEAGFDLRLPPTADPDPMKKRIAEEWAPAVRNMTYEIIEKGPLRDYMGRPLMTATDDSNPWWSVFKQAIAAAGGKLAKPEILRSTTDARFMRQLGIPTFGFSPMTNTPVLSHDHNEFLKDTIFLKGIEVYEHIIHALSSFEEANSI >Potri.001G201100.1.v4.1 pep chromosome:Pop_tri_v4:1:20092394:20093814:1 gene:Potri.001G201100.v4.1 transcript:Potri.001G201100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201100.v4.1 MLPLISTVPQTLSPSLSFHFHPFPSSSTPSLSLHIPLKNTLRIRSIDAAQPYDYESHLRTQHLKSQSLKIAILGFGNFGQFLSKTLSRQGHTLLAYSRSDYTDIAKNLGVTFYSNPHDLFESHPEVVILCTSILSTEKVLQTLPFQRLKRSTLIVDVLSVKEFAKNILLKYLPVEFDILCTHPMFGPESGKISWVGLPFVYDKVRIGNEEDRITRVERFLDVFAKEGCRMVEMTCAEHDRYAAGSQFVTHTMGRVLERFGLDSSPINTKGYDTLLDLVENTGGDSFELYYGLFMYNKNAMEQLERLDMAFEAIKKELFGKLHHVYRKQLFGNADEGAEERPKVQKLLHNGAPPSDDVVKQETS >Potri.011G101301.1.v4.1 pep chromosome:Pop_tri_v4:11:12863253:12867197:-1 gene:Potri.011G101301.v4.1 transcript:Potri.011G101301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101301.v4.1 MTCRNLDFGRPKLHLVACSFSSSDSIHRYSVRNYAKSVSKSCNRDYGFRRMVCCRRDIARCRVSSTKTPQALLARVAEGPTGLLNLKKESREPVSLTNLFEVVADDLLNLKKNLRSIDAAENMVLMSAAEQIFGAGGKRMRPALVFLLSRATVEVVGDLTTEHQRLAEIIEMIHTASLIHDDLLDESGMRRGKETYWLGEFMFAQSSWYLANLENIELIKLISSVGLQLHSVYPSIYSPVSDITLEFLHYAIYYLILKNSYYKTASLIAASTKGAAIFSGVDSNVCVLIYEYGKNLGLSFQVVDDILDFTQSAKLPGKPAGSDLAKGNLTAPVIFALEQSPKLREIIETEFRVSVSLDEATELVMDCGGIERAQELAKERADLAIQNLRSLPRGSYQPALEEMVLCNLERVH >Potri.001G471300.5.v4.1 pep chromosome:Pop_tri_v4:1:49447104:49453071:1 gene:Potri.001G471300.v4.1 transcript:Potri.001G471300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471300.v4.1 MSGVEGIVVNDENRERRSDFENSEDERRRSKIGNFKKKALNASNKLTHSFKKRGKRIIDNGVSSVSIEDVRDAKEESAVHELRQKLLERDLLPPGHDDYHTLLRFLKAREFNIDKTIQMWEEMLNWRKEYGTDSILEDFEFEELEEVLQFYPHGYHGVDKEGRPVYIERLGKAHPSKLMRITTIERYLKYHVQEFERAIQEKFSACSIAAKRRICSTTTILDVQGLGIKNFTRTAATLLGGVTKIDSSYYPETLHRMFIVNAGPGFKKMLWPVAQKFLDAQTIAKIQVLEPRSLPKLLEVIESSQLPDFLGGSCSCSAEGECLRSSKGPWNDPEILKLVHNAEPIFVTEISRVSNEKQAFDSYIQIHPLKGKSSDRVTAESGSDIDDPSSPFRQKNSTFPCSTPVDEEARASDPSIYYSCDDNFTLAEKTVLRGDYSEDQSLEINNLGNIPHEVTSNLEGLFIRWFDFVKEKVGKTSIPNATRTLLSFVIKLFALCRSLPFEYWRRQNNIYPSNLMEHNTDVHSTAAEAMNEEDHVRPCIYRLQRLEKIYEELSKRPAVIPLEKEKMLTESLERIKSVESDLEKTKTLCSQVLHTTVVKQLEIAKLLDNLRESKCRQRRLFC >Potri.001G471300.7.v4.1 pep chromosome:Pop_tri_v4:1:49447117:49452884:1 gene:Potri.001G471300.v4.1 transcript:Potri.001G471300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471300.v4.1 MSGVEGIVVNDENRERRSDFENSEDERRRSKIGNFKKKALNASNKLTHSFKKRGKRIIDNGVSSVSIEDVRDAKEESAVHELRQKLLERDLLPPGHDDYHTLLRFLKAREFNIDKTIQMWEEMLNWRKEYGTDSILEDFEFEELEEVLQFYPHGYHGVDKEGRPVYIERLGKAHPSKLMRITTIERYLKYHVQEFERAIQEKFSACSIAAKRRICSTTTILDVQGLGIKNFTRTAATLLGGVTKIDSSYYPETLHRMFIVNAGPGFKKMLWPVAQKFLDAQTIAKIQVLEPRSLPKLLEVIESSQLPDFLGGSCSCSAEGECLRSSKGPWNDPEILKLVHNAEPIFVTEISRVSNEKQAFDSYIQIHPLKGKSSDRVTAESGSDIDDPSSPFRQKNSTFPCSTPVDEEARASDPSIYYSCDDNFTLAEKTVLRGDYSEDQSLEINNLGNIPHEVTSNLEGLFIRWFDFVKEKVGKTSIPNATRTLLSFVIKLFALCRSLPFEYWRRQNNIYPSNLMEHNTDVHSTAAEAMNEEDHVRPCIYRLQRLEKIYEELSKRPAVIPLEKEKMLTESLERIKSVESDLEKTKTLCSQVLHTTVVKQLEIAKLLDNLRESKCRQRRLFC >Potri.001G471300.8.v4.1 pep chromosome:Pop_tri_v4:1:49447137:49452801:1 gene:Potri.001G471300.v4.1 transcript:Potri.001G471300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471300.v4.1 MSGVEGIVVNDENRERRSDFENSEDERRRSKIGNFKKKALNASNKLTHSFKKRGKRIIDNGVSSVSIEDVRDAKEESAVHELRQKLLERDLLPPGHDDYHTLLRFLKAREFNIDKTIQMWEEMLNWRKEYGTDSILEDFEFEELEEVLQFYPHGYHGVDKEGRPVYIERLGKAHPSKLMRITTIERYLKYHVQEFERAIQEKFSACSIAAKRRICSTTTILDVQGLGIKNFTRTAATLLGGVTKIDSSYYPETLHRMFIVNAGPGFKKMLWPVAQKFLDAQTIAKIQVLEPRSLPKLLEVIESSQLPDFLGGSCSCSAEGECLRSSKGPWNDPEILKLVHNAEPIFVTEISRVSNEKQAFDSYIQIHPLKGKSSDRVTAESGSDIDDPSSPFRQKNSTFPCSTPVDEEARASDPSIYYSCDDNFTLAEKTVLRGDYSEDQSLEINNLGNIPHEVTSNLEGLFIRWFDFVKEKVGKTSIPNATRTLLSFVIKLFALCRSLPFEYWRRQNNIYPSNLMEHNTDVHSTAAEAMNEEDHVRPCIYRLQRLEKIYEELSKRPAVIPLEKEKMLTESLERIKSVESDLEKTKTLCSQVLHTTVVKQLEIAKLLDNLRESKCRQRRLFC >Potri.001G471300.9.v4.1 pep chromosome:Pop_tri_v4:1:49447118:49453075:1 gene:Potri.001G471300.v4.1 transcript:Potri.001G471300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471300.v4.1 MSGVEGIVVNDENRERRSDFENSEDERRRSKIGNFKKKALNASNKLTHSFKKRGKRIIDNGVSSVSIEDVRDAKEESAVHELRQKLLERDLLPPGHDDYHTLLRFLKAREFNIDKTIQMWEEMLNWRKEYGTDSILEDFEFEELEEVLQFYPHGYHGVDKEGRPVYIERLGKAHPSKLMRITTIERYLKYHVQEFERAIQEKFSACSIAAKRRICSTTTILDVQGLGIKNFTRTAATLLGGVTKIDSSYYPETLHRMFIVNAGPGFKKMLWPVAQKFLDAQTIAKIQVLEPRSLPKLLEVIESSQLPDFLGGSCSCSAEGECLRSSKGPWNDPEILKLVHNAEPIFVTEISRVSNEKQAFDSYIQIHPLKASHPSHDDQSCL >Potri.001G471300.6.v4.1 pep chromosome:Pop_tri_v4:1:49447122:49453077:1 gene:Potri.001G471300.v4.1 transcript:Potri.001G471300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471300.v4.1 MSGVEGIVVNDENRERRSDFENSEDERRRSKIGNFKKKALNASNKLTHSFKKRGKRIIDNGVSSVSIEDVRDAKEESAVHELRQKLLERDLLPPGHDDYHTLLRFLKAREFNIDKTIQMWEEMLNWRKEYGTDSILEDFEFEELEEVLQFYPHGYHGVDKEGRPVYIERLGKAHPSKLMRITTIERYLKYHVQEFERAIQEKFSACSIAAKRRICSTTTILDVQGLGIKNFTRTAATLLGGVTKIDSSYYPETLHRMFIVNAGPGFKKMLWPVAQKFLDAQTIAKIQVLEPRSLPKLLEVIESSQLPDFLGGSCSCSAEGECLRSSKGPWNDPEILKLVHNAEPIFVTEISRVSNEKQAFDSYIQIHPLKGKSSDRVTAESGSDIDDPSSPFRQKNSTFPCSTPVDEEARASDPSIYYSCDDNFTLAEKTVLRGDYSEDQSLEINNLGNIPHEVTSNLEGLFIRWFDFVKEKVGKTSIPNATRTLLSFVIKLFALCRSLPFEYWRRQNNIYPSNLMEHNTDVHSTAAEAMNEEDHVRPCIYRLQRLEKIYEELSKRPAVIPLEKEKMLTESLERIKSVESDLEKTKTLCSQVLHTTVVKQLEIAKLLDNLRESKCRQRRLFC >Potri.010G219000.2.v4.1 pep chromosome:Pop_tri_v4:10:20509911:20511089:1 gene:Potri.010G219000.v4.1 transcript:Potri.010G219000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219000.v4.1 MVTLAPEGSFFSTFLITPTATVWRMSLTAKRPSGGYAEKVSTTMGLVGIILIIPASPFFRNLGSFSSYHPCRFGTWPHDQCAKLNFNHASLTATQKRWASRATTTKDDNKISIGPRRGKEAGEDEKETGVVYYGPITSTIKKVKMLSLSTCCLSVSLGPVVTFMTSQDTNVILKGAVASSVIFFSASTTAALHWFVSPYIHKLRWQPGSDSFEVEMMSWLATYIPKTIKFADIRLPETNRPFVTFKANGNFYFVDSEHCHNKALLNRLTPQKSAHESAFKNL >Potri.009G032100.5.v4.1 pep chromosome:Pop_tri_v4:9:4305654:4309096:1 gene:Potri.009G032100.v4.1 transcript:Potri.009G032100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032100.v4.1 MKEGKSAAKLNNSNNQQQHQHQNGHFSPSKFAKLLDPEASWDKDQLGDVLHWIRQVVALVCGILWGTIPLVGGIWIGLFLLISSGIIYGYYAMILKIDEDDFGGHSALLQEGLFASITLFLLSWILVYSLAHF >Potri.008G200700.1.v4.1 pep chromosome:Pop_tri_v4:8:14246242:14251373:1 gene:Potri.008G200700.v4.1 transcript:Potri.008G200700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200700.v4.1 MGTIRFSSRDLSAGPASLNPSSSIPTTTSTSITETVNGSHEFKVDGYSLSKGMGIGKYVASDTFYIGGYAWAIYFYPDGKSSEDNASYVSLFIALASEGTDVRALFELTLMDQSGKERHKVHSHFGRALESGPYTLKYRGSMWGYKRFYKRTQLETSDFLKDDTLLIRCCVGVVKSHTEGPKTYTIAVPPSNIGQHFGKLLDSGKRTDVNFEVDGEICASHKIVLAARSPVFRAQLFGPMKDQNTQCIKVEDMEAPVFKALIHFIYWDALPDMEELVGLNSKWASTLMAQHLLAAADRYALERLRLLCEARLCEDVAINTVATTLALAEQHQCIQLKSVCLKFIALPENLKAVMQTDGFEYLKESCPSVITELLQYVAKNGEHSVIACTHGNDNLDSDMNGRRVKQRIH >Potri.008G200700.4.v4.1 pep chromosome:Pop_tri_v4:8:14246294:14251373:1 gene:Potri.008G200700.v4.1 transcript:Potri.008G200700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200700.v4.1 MGTIRFSSRDLSAGPASLNPSSSIPTTTSTSITETVNGSHEFKVDGYSLSKGMGIGKYVASDTFYIGGYAWAIYFYPDGKSSEDNASYVSLFIALASEGTDVRALFELTLMDQSGKERHKVHSHFGRALESGPYTLKYRGSMWGYKRFYKRTQLETSDFLKDDTLLIRCCVGVVKSHTEGPKTYTIAVPPSNIGQHFGKLLDSGKRTDVNFEVDGEICASHKIVLAARSPVFRAQLFGPMKDQNTQCIKVEDMEAPVFKVLSLTHTHACLHTHTDQNAAKQPDNV >Potri.012G032376.1.v4.1 pep chromosome:Pop_tri_v4:12:3010384:3013945:-1 gene:Potri.012G032376.v4.1 transcript:Potri.012G032376.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G032376.v4.1 MFAFTSMGAQIDHTVNSQPGPYIFKINGQCHHLMGSLVPIDAESPRFAQLYIFDTDNEIANRLHPFNNDNCQSSLDENVVNKLIDMLDSSNALVKLFRQVRHRLNNDEFPNFKLRLIGKRDGDSKQYDDPSSNDVCGLIVGDIGESQTDRDIIIEGYSRNLRRISKLHPKFMSLQYPLLFPYGEDGYHTDILFTNQEHYTPSKRQKVTMRAYYAYVIQERLGDSSTLTKGGRLYQQFLVDAFMNVEQERLDFIRSNQENLRTESYKGVQDAVLRGDVNGSSTGKIILPSSLTGSPRYMINNYHDAMAICRHYGNPDLFITFTCNVNWPEIQREIKKSRNYKAEDKPDIIARVFRYKLNDMISFIKSGQPFGKTIADVCAIEFKKRGLPHTHLLIWLASEYKFRSPQDVDSVISAELLNKADDPHCYAIVSKFMLHGPCGIASPKAQCMKGNQCSKKFPKKFKQSTVFGENGFVFYKRRNFPASFVMKNGIALSNSYVVPYNKELLIRYNAHVNVEICCQSMLIKYLFKYVSKGSDRCRAVIQGQTNDEIQAYLNCRFVCPYEAVWRLLQFPIHSRNPAVERLQIHLPMQHSVVFFGNQNLSSVLRKNGLNKTMLTGWFDQNKEDVEATQLYYSQFPNKYVWDARQKEWIYRTRGFSLGRITYVHPAAGELYFLKMLLNHVKGATSFEYLRCVSGIVYPTFQLACKALGLLDDGKEWAEAFSEAVLTASSSQLRQLFVSVTLFCQIASPQDLLDQFWHTMHDDIRIKLSSFSPHNLHFSDNELKNYVLYELEQLFNALATSLKDYNLPLPNDRLMSEIRNNLLREELNYDISELRSNNEASISLLNTFQKKIYDRVMESISKNQQSLIFVYGHGGTGKTFLWHSLINSIRSEGLIVLAVASSGIASILLPGGRTAHSRFKIPLAINENSTCEIKKNTHLSRLIETTTLIVWDEAPMNNRYCFETLDRSLRDIMGQTGHSNHNQPFGGKSILLGGDYRQILPVIPGGTKEDIINASLSSSPLWPKFEIMLLKQNMRLSIAGLGSDEINEIKTFAKWILKIGDGDLCDIPFFDELDESLIKIPCDLQLHTSGDPIKAMVSAIYPSIEQPALEPSYFKERAIITPKTLLLLKSIISYLELHMVLNAFILAMILLMHLPVITTI >Potri.012G079200.1.v4.1 pep chromosome:Pop_tri_v4:12:10354013:10356717:1 gene:Potri.012G079200.v4.1 transcript:Potri.012G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079200.v4.1 MGREVMAGMSVLFLILFLSFSSCKVSFAATTTSSLHKQNLSTPLNPFSPRASLIRYWNKHISNSLPKPLFLLSKASPLSAIDSAILTKLATQNSLSLHLDSFCSLANLFCFFDTKQSLRNHDQDSNFALYSNKRFANYGGSRLSGVDSFKNYSNGLNTVADSFIRYSRESTGHSETFTNYGNDGNVANATFGNYGSAATGGSGTFKNYDNRVNVPGLRFTTYASDGNDHKLSFSSYSDETNVGAQGFNSYGKKGNGVPSEFVSYSRDSNGIESKFTGYGELGNAANDSFTSYGNSGNNPHNNFKSYGSGANSASDRFSSYRNGANVGQDSFQSYAKDSNSGKVSFANYGKSFNPGNDSFIDYGKGSKGMTTIGFKSYSLDRSFMVYKDKGVTFAGYSNTSSMPSDNGVSVNKRRVEPGKFFRESMLKPGNVMVMPDIRDKMPERSFLPRSIVSKLPFSTTKMAKLKDLFHASDNSTMTRVLINALAECERAPSHGETKRCVGSVEDMIDFAVSVLGHNVTVRTTENVNGSKKRVMIGSVEGINGGQVTKSVSCHQSLYPYLLYYCHSVPRVRVYEADIVDVESKEKINVGVAICHVDTSAWGPEHGAFVALGSSPGKIEVCHWIFENDMTWTIADRG >Potri.010G182751.1.v4.1 pep chromosome:Pop_tri_v4:10:18080534:18081620:1 gene:Potri.010G182751.v4.1 transcript:Potri.010G182751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182751.v4.1 MLEQAYRATHINFIDVTVRDGSDTSAIVQSIVGEYDLIMVGRCHENETKVLPGLSERIHSRELGPLGGMLASENIEYFQLMYCLSPSCP >Potri.006G185700.3.v4.1 pep chromosome:Pop_tri_v4:6:19219189:19223905:1 gene:Potri.006G185700.v4.1 transcript:Potri.006G185700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185700.v4.1 MDVSRRRAGVKTSVVAGSVWESRMKLDEVKGGIKVFNGEENVEESRSSNGDTAKKIVKRGGGQTGISTGVAVSGKRKTWKSEIFEGPIQIARGKTSEVQCKELSVSVDGIRKSPAQARKGRSEGSNKELRLSVDGIDKSFIQVEKVSKELDGSPIQVKKGSSEANREVGVSVDENENSPSQTRKQRSDLREVFEYDVELRKVKSDSVKVSKQSGIGKDPVPDGGDESNSVQLRKAKSEPDKVLNESVNRIEKSPPEIEETGSEETCEEFGMCQEKVISSSETNELIKKPAPDLLVYNPPPGDDEFEGDEEEEEIEIEIEKKTLDIKEIKIPEERPKKVETNVAEQKPKKMEICIAEQKPRRVEVGTPQHKPKKVEVSTPGQKPKKVEFSTPEEKPKKVVSEVKKVERFNNRTAPTSSDVNKQPPPVLRRATLYQNLAKAAASPFANEYQSFKETRRHSKLQNLVDLVMWRDLSRSALAFGLGTFIIISSSYSKDLNVSFISVISYLGLVYLATIFLYRSLICRGVIDIDDDRSYVVGEGEAIWLLKLVLPYLNECLLKIRALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLGFFGVFIVPKVCSSYSTQLTAYGKFWIRRFRDAWESCSHKKAVALGIFSLVWNLSSMVARIWAVFMMFVAVRYYQQTMERDDWVEEEDAEADETWHGDSGGQRQGSGPASIDVNEVRKGS >Potri.006G185700.2.v4.1 pep chromosome:Pop_tri_v4:6:19219178:19223873:1 gene:Potri.006G185700.v4.1 transcript:Potri.006G185700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185700.v4.1 MDVSRRRAGVKTSVVAGSVWESRMKLDEVKGGIKVFNGEENVEESRSSNGDTAKKIVKRGGGQTGISTGVAVSGKRKTWKSEIFEGPIQIARGKTSEVQCKELSVSVDGIRKSPAQARKGRSEGSNKELRLSVDGIDKSFIQVEKVSKELDGSPIQVKKGSSEANREVGVSVDENENSPSQTRKQRSDLREVFEYDVELRKVKSDSVKVSKQSGIGKDPVPDGGDESNSVQLRKAKSEPDKVLNESVNRIEKSPPEIEETGSEETCEEFGMCQEKVISSSETNELIKKPAPDLLVYNPPPGDDEFEGDEEEEEIEIEIEKKTLDIKEIKIPEERPKKVETNVAEQKPKKMEICIAEQKPRRVEVGTPQHKPKKVEVSTPGQKPKKVEFSTPEEKPKKVVSEVKKVERFNNRTAPTSSDVNKQPPPVLRRATLYQNLAKAAASPSIPVANEYQSFKETRRHSKLQNLVDLVMWRDLSRSALAFGLGTFIIISSSYSKDLNVSFISVISYLGLVYLATIFLYRSLICRGVIDIDDDRSYVVGEGEAIWLLKLVLPYLNECLLKIRALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLGFFGVFIVPKVCSSYSTQLTAYGKFWIRRFRDAWESCSHKKAVALGIFSLVWNLSSMVARIWAVFMMFVAVRYYQQTMERDDWVEEEDAEADETWHGDSGGQRQGSGPASIDVNEVRKGS >Potri.006G185700.5.v4.1 pep chromosome:Pop_tri_v4:6:19219217:19223741:1 gene:Potri.006G185700.v4.1 transcript:Potri.006G185700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185700.v4.1 MDVSRRRAGVKTSVVAGSVWESRMKLDEVKGGIKVFNGEENVEESRSSNGDTAKKIVKRGGGQTGISTGVAVSGKRKTWKSEIFEGPIQIARGKTSEVQCKELSVSVDGIRKSPAQARKGRSEGSNKELRLSVDGIDKSFIQVEKVSKELDGSPIQVKKGSSEANREVGVSVDENENSPSQTRKQRSDLREVFEYDVELRKVKSDSVKVSKQSGIGKDPVPDGGDESNSVQLRKAKSEPDKVLNESVNRIEKSPPEIEETGSEETCEEFGMCQEKVISSSETNELIKKPAPDLLVYNPPPGDDEFEGDEEEEEIEIEIEKKTLDIKEIKIPEERPKKVETNVAEQKPKKMEICIAEQKPRRVEVGTPQHKPKKVEVSTPGQKPKKVEFSTPEEKPKKVVSEVKKVERFNNRTAPTSSDVNKQPPPVLRRATLYQNLAKAAASPSIPVANEYQSFKETRRHSKLQNLVDLVMWRDLSRSALAFGLGTFIIISSSYSKDLNVSFISVISYLGLVYLATIFLYRSLICRGVIDIDDDRSYVVGEGEAIWLLKLVLPYLNECLLKIRALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLGFFGVFIVPKVCSSYSTQLTAYGKFWIRRFRDAWESCSHKKAVALGIFSLVWNLSSMVARIWAVFMMFVAVRYYQQTMERDDWVEEEDAEADETWHGDSGGQRQGSGPASIDVNED >Potri.006G185700.4.v4.1 pep chromosome:Pop_tri_v4:6:19219205:19223824:1 gene:Potri.006G185700.v4.1 transcript:Potri.006G185700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185700.v4.1 MDVSRRRAGVKTSVVAGSVWESRMKLDEVKGGIKVFNGEENVEESRSSNGDTAKKIVKRGGGQTGISTGVAVSGKRKTWKSEIFEGPIQIARGKTSEVQCKELSVSVDGIRKSPAQARKGRSEGSNKELRLSVDGIDKSFIQVEKVSKELDGSPIQVKKGSSEANREVGVSVDENENSPSQTRKQRSDLREVFEYDVELRKVKSDSVKVSKQSGIGKDPVPDGGDESNSVQLRKAKSEPDKVLNESVNRIEKSPPEIEETGSEETCEEFGMCQEKVISSSETNELIKKPAPDLLVYNPPPGDDEFEGDEEEEEIEIEIEKKTLDIKEIKIPEERPKKVETNVAEQKPKKMEICIAEQKPRRVEVGTPQHKPKKVEVSTPGQKPKKVEFSTPEEKPKKVVSEVKKVERFNNRTAPTSSDVNKQPPPVLRRATLYQNLAKAAASPSIPVANEYQSFKETRRHSKLQNLVDLVMWRDLSRSALAFGLGTFIIISSSYSKDLNVSFISVISYLGLVYLATIFLYRSLICRGVIDIDDDRSYVVGEGEAIWLLKLVLPYLNECLLKIRALFSGDPSTTMKMAVLLFVLARCGSSITIWKMAKLGFFGVFIVPKVCSSYSTQLTAYGKFWIRRFRDAWESCSHKKAVALGIFSLVWNLSSMVARIWAVFMMFVAVRYYQQTMERDDWVEEEDAEADETWHGDSGGQRQGSGPASIDVNEVRKGS >Potri.003G054500.1.v4.1 pep chromosome:Pop_tri_v4:3:7941597:7945878:1 gene:Potri.003G054500.v4.1 transcript:Potri.003G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054500.v4.1 MFDCLTRSNFYTKCKTSVKITKTRLEALKKKKNSVIKYLKNDMADLIRTDHAYKAFCRAEGLLAEQNMIIYYNFIEQLCDCISGNLSLMNKQKECPEECKEAVQSLIYAAARFSEFPELRDLRSEFINRYGPPLEALVNKEFVDMLKPKSITEEMKLQLMHDIALEFSIEWNSKSLEQKLFKPPPPQQDQHRHEHNDEYEPKKSKDDAFSLNDDDGYKWVKNKDDACTKRDSHDLANKVHDKREHTFQERDDERIFTYRGRQNASDEKYKLQSSSEDEVFSVSRRDSTDQDSLLASSSSVGSVSEDEVDSKKPIPYRFIPPPYRRTTIEKESKIEETLQPNDKIAAEEANHPDDSIKETKPKPRSVRSRPLKPQPGHENFGSIERPLKPPPGRERVGSISDESARTKSSAMKQEEPRRGSRILKTDDDERDEEEKVKDGLLMHYGKKDSPHEPSKSSPCIKPPPSSQASDDAAKTSRLRSVISELTLPTGRTSSLREPGTTRLGRAVSAEPDIMTGRVHPNLPDCDELAARIAALKGR >Potri.014G034200.1.v4.1 pep chromosome:Pop_tri_v4:14:2128851:2131284:1 gene:Potri.014G034200.v4.1 transcript:Potri.014G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034200.v4.1 MALLSLTASCKPPSMEPLVHSSSFISLLFPSQLKFPNPIKNKNHKIITCCSNSNSSLPTTATPSVGPPVMKKRKRYRKPYPGENKGITEEMRFVAMKLRNIKGKHTHKTVKSDDDDDDSCQDSENDSVSEKEEEGNGDGDGDGDGDGDGDGDIWIPGMEGFVKYLVDSKLVFDTLERIVDKSEDVSYTYFRKTGLERSEGLAKDLEWFSQRNIEIPEPSTPGTSYVKYLEELAEDNAPLFLSHFYNIYFSHIAGGQVISRKVSDKILQGRELEFYRWDGDAQELLKGVREKLNMLGEHWTRDEKNKCLKEAAKSFRYLGQIVRLIIL >Potri.014G034200.5.v4.1 pep chromosome:Pop_tri_v4:14:2128833:2131266:1 gene:Potri.014G034200.v4.1 transcript:Potri.014G034200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034200.v4.1 MALLSLTASCKPPSMEPLVHSSSFISLLFPSQLKFPNPIKNKNHKIITCCSNSNSSLPTTATPSVGPPVMKKRKRYRKPYPGENKGITEEMRFVAMKLRNIKGKHTHKTVKSDDDDDDSCQDSENDSVSEKEEEGNGDGDGDGDGDGDGDGDIWIPGMEGFVKYLVDSKLVFDTLERIVDKSEDVSYTYFRKTGLERSEGLAKDLEWFSQRNIEIPEPSTPGTSYVKYLEELAEDNAPLFLSHFYNIYFSHIAGGQVISRKVSDKILQGRELEFYRWDGDAQELLKGVREKLNMLGEHWTRDEKNKCLKEAAKSFRYLGQIVRLIIL >Potri.014G034200.4.v4.1 pep chromosome:Pop_tri_v4:14:2128835:2131279:1 gene:Potri.014G034200.v4.1 transcript:Potri.014G034200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034200.v4.1 MALLSLTASCKPPSMEPLVHSSSFISLLFPSQLKFPNPIKNKNHKIITCCSNSNSSLPTTATPSVGPPVMKKRKRYRKPYPGENKGITEEMRFVAMKLRNIKGKHTHKTVKSDDDDDDSCQDSENDSVSEKEEEGNGDGDGDGDGDGDGDGDIWIPGMEGFVKYLVDSKLVFDTLERIVDKSEDVSYTYFRKTGLERSEGLAKDLEWFSQRNIEIPEPSTPGTSYVKYLEELAEDNAPLFLSHFYNIYFSHIAGGQVISRKVSDKILQGRELEFYRWDGDAQELLKGVREKLNMLGEHWTRDEKNKCLKEAAKSFRYLGQIVRLIIL >Potri.014G034200.3.v4.1 pep chromosome:Pop_tri_v4:14:2128835:2131537:1 gene:Potri.014G034200.v4.1 transcript:Potri.014G034200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034200.v4.1 MALLSLTASCKPPSMEPLVHSSSFISLLFPSQLKFPNPIKNKNHKIITCCSNSNSSLPTTATPSVGPPVMKKRKRYRKPYPGENKGITEEMRFVAMKLRNIKGKHTHKTVKSDDDDDDSCQDSENDSVSEKEEEGNGDGDGDGDGDGDGDGDIWIPGMEGFVKYLVDSKLVFDTLERIVDKSEDVSYTYFRKTGLERSEGLAKDLEWFSQRNIEIPEPSTPGTSYVKYLEELAEDNAPLFLSHFYNIYFSHIAGGQVISRKVSDKILQGRELEFYRWDGDAQELLKGVREKLNMLGEHWTRDEKNKCLKEAAKSFRYLGQIVRLIIL >Potri.014G034200.2.v4.1 pep chromosome:Pop_tri_v4:14:2128835:2131386:1 gene:Potri.014G034200.v4.1 transcript:Potri.014G034200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034200.v4.1 MALLSLTASCKPPSMEPLVHSSSFISLLFPSQLKFPNPIKNKNHKIITCCSNSNSSLPTTATPSVGPPVMKKRKRYRKPYPGENKGITEEMRFVAMKLRNIKGKHTHKTVKSDDDDDDSCQDSENDSVSEKEEEGNGDGDGDGDGDGDGDGDIWIPGMEGFVKYLVDSKLVFDTLERIVDKSEDVSYTYFRKTGLERSEGLAKDLEWFSQRNIEIPEPSTPGTSYVKYLEELAEDNAPLFLSHFYNIYFSHIAGGQVISRKVSDKILQGRELEFYRWDGDAQELLKGVREKLNMLGEHWTRDEKNKCLKEAAKSFRYLGQIVRLIIL >Potri.011G092800.1.v4.1 pep chromosome:Pop_tri_v4:11:11959897:11962381:-1 gene:Potri.011G092800.v4.1 transcript:Potri.011G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092800.v4.1 MMSASSFTTTLIPSLTTSSSFPCKTTKLSTSCFSFNNNVLKTTLKPSSLSTCRVFAAPEALDSQTTLDPLPDTLDGPESDTFQVGDSETPSHQSVSIAADADMMAPKQKIRIKLRSFWVKLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRVYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Potri.011G092800.3.v4.1 pep chromosome:Pop_tri_v4:11:11959781:11962451:-1 gene:Potri.011G092800.v4.1 transcript:Potri.011G092800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092800.v4.1 MMSASSFTTTLIPSLTTSSSFPCKTTKLSTSCFSFNNNVLKTTLKPSSLSTCRVFAAPEALDSQTTLDPLPDTLDGPESDTFQVGDSETPSHQSVSIAADADMMAPKQKIRIKLRSFWVKLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRVYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Potri.011G092800.2.v4.1 pep chromosome:Pop_tri_v4:11:11959870:11962382:-1 gene:Potri.011G092800.v4.1 transcript:Potri.011G092800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092800.v4.1 MMSASSFTTTLIPSLTTSSSFPCKTTKLSTSCFSFNNNVLKTTLKPSSLSTCRVFAAPEALDSQTTLDPLPDTLDGPESDTFQVGDSETPSHQSVSIAADADMMAPKQKIRIKLRSFWVKLIEDSCKQIMDAARTTNAKTMGPVPLPTKKRVYCVLKSPHVHKDARFHFEIRTHQRLIDILYPTAQTIDSLMQLDLPAGVDVEVKL >Potri.003G158400.1.v4.1 pep chromosome:Pop_tri_v4:3:16918450:16923871:1 gene:Potri.003G158400.v4.1 transcript:Potri.003G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158400.v4.1 MESCCIWSAHQHLIQSHHRFIGFSNHKNQLVHLLAANGPRKCNTRSSRIFCTYEDPVKKPPQPSPSSSSSSSSAIQLYNQIERLLTETSRQSQDYWGGSKDWSEVEGSWVLKPKSSRPKSVVHFIGGIFVGAAPQLTYRLFLERLAEKGVLVIATPYASGFDYFFIADEVQFKFDRCLRFLQETVQDVPTFGIGHSLGSVIHLLIGSRYAVQRSGNILMAFNNKEASSAIPLFSPVLVPVAQSFGPFLSQIASSPTVRLGAEMTMKQLENFSPPIMKQVFPLVEQLPPLYMDLVNGREDFSPKPEETRRLIKSYYGISRNLLIKFRDDAIDETSMLAQVLSSEAAISSMLDMSIRMLPGDHGLPLQQVFPDVPPAMADAVNRGSELFANLTMGTPWETVAKEVGNTLGADSSILRARASKDVDQLVEVIISWMASNSGPKF >Potri.006G206800.1.v4.1 pep chromosome:Pop_tri_v4:6:21492367:21493605:-1 gene:Potri.006G206800.v4.1 transcript:Potri.006G206800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G206800.v4.1 MNSSSSLASFAKRLAGKVAIITGGASGIGESTSRLFVEHGANVIIADVQDQVGQSLCKELGTENNVYYVHCDVTSDTDVKNVVDFAISKYGKLDIMYNNAGITGNIDPTILGTENENFKRVFEVNVYGGFLGAKHAARVMIPVKKGVILFTSSVASMACGESPHAYTMSKHAVVGLMKNLCVELGQYGIRVNCISPCALATPLLRNAMGADKSFVEHVVCESANLKGVVPSPKDVAEAALYLGSDESKYVSGLNLMVDGGYSTTNQSFNMVLRNILS >Potri.001G440250.1.v4.1 pep chromosome:Pop_tri_v4:1:46601182:46603083:-1 gene:Potri.001G440250.v4.1 transcript:Potri.001G440250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440250.v4.1 MALMEKPGATAKNIKNSGNKNQHHPVAKVFLTIPDPTANKALSQIKQAPTKTNPTVFLKSPIHSPSANKSCSLLFTIVHGNSQGDSLSLTQNRNNSGGEFQGTRQGGYTSLQPTKGQSRSVKEKPLLLENLIVFLVLFQSKH >Potri.018G019700.1.v4.1 pep chromosome:Pop_tri_v4:18:1334208:1336017:-1 gene:Potri.018G019700.v4.1 transcript:Potri.018G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019700.v4.1 MDVFPSCKEKVEALQFELEHRQKENESLRFMLGVMTRRFSILQAEIQETEEHQQKTASNLADGDQACHEILDSNKRARFEVPITKASRILVRSHSNDKSLIVKDGYQWRKYGQKVTKDNPSPRAYFRCSMAPNCPVKKKVQRCVEDDSVLVASYDGEHNHEPNGSHGQYLCSPHTSSSKISITNHVLKCPIEIPPLQPSIALDLTLSSPSNQQKENPSKRSMEDCGKINNNCNKNYIEEYVASLTKDPTFSVALAAAVASSMSDLSSSRML >Potri.001G254800.1.v4.1 pep chromosome:Pop_tri_v4:1:27085478:27092070:-1 gene:Potri.001G254800.v4.1 transcript:Potri.001G254800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254800.v4.1 MGKVAAGVAVGVAVAACAVAGVVVGRRVGSRRKWKRVVRVLEELEEACETPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDHLPTGSEIGTYYALDLGGTNFRVLRIQLGGRRSSILSQDVERQPIPQHLMTSTSEDLFDFIASTLKQFVEKEESGSEPSPVRTRELGFTFSFPVKQSSLRSGILIKWTKGFAIEDMVGKEVVELLEAALVRSGLDMRVALLVNDTVGTLALGRYHDADTVAAVIIGTGTNACYLERADAIIKCQGLLTTSGYMVVNMEWGNFWSSHLPRTSYDIDLDSESPNPNDQGFEKMISGMYLGDIVRRVILRISLESDIFGPVSSRLSIPFILQTPLLAAMHEDDSPELKEVAKILKETLEISEVSLKVRKLVVRICDVVTCRAARLAAAGIVGILKKIGRDGSGAGGTGGRNRSDVKMKRTVVAIEGGLYTSYTMFRKYLHEALNEILGDVAQHVILQVTEDGSGIGGALLAASYSSYSVDGVKLL >Potri.007G046600.6.v4.1 pep chromosome:Pop_tri_v4:7:4271374:4277243:-1 gene:Potri.007G046600.v4.1 transcript:Potri.007G046600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046600.v4.1 MEENMAAYYPPPPPPPPGHYPTYYQPQPPPPTVAPIPPPPPHHLPYIPHPQPPPAPFVSYVSVPAYVPHDQVRTLFVAGLPDDIKPREMYNLFREFPGYESSHLRTPSQNSQPFAFATFTDQPSAVAAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDEWSSLDKKARVSSGFSMGTPDSAGFGSVHLPGMANSAFNTIGFPSAQSPGSIDDRSRNESKAGRMNNSSAPPCPTLFVANLGQNCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTASSTGALNHLQGTVLYSSVAGEGLRLEYAKSRMGMRRKPR >Potri.007G046600.7.v4.1 pep chromosome:Pop_tri_v4:7:4273614:4277253:-1 gene:Potri.007G046600.v4.1 transcript:Potri.007G046600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046600.v4.1 MEENMAAYYPPPPPPPPGHYPTYYQPQPPPPTVAPIPPPPPHHLPYIPHPQPPPAPFVSYVSVPAYVPHDQVRTLFVAGLPDDIKPREMYNLFREFPGYESSHLRTPSQNSQPFAFATFTDQPSAVAAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDEWSSLDKKARVSSGFSMGTPDSGFGSVHLPGMANSAFNTIGFPSAQSPGSIDDRSRNESKAGRMNNSSAPPCPTLFVANLGQNCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTASSTGALNHLQGTVLYSSVAGEGLRLEYAKSRMGMRRKPR >Potri.007G046600.11.v4.1 pep chromosome:Pop_tri_v4:7:4273058:4277241:-1 gene:Potri.007G046600.v4.1 transcript:Potri.007G046600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046600.v4.1 MEENMAAYYPPPPPPPPGHYPTYYQPQPPPPTVAPIPPPPPHHLPYIPHPQPPPAPFVSYVSVPAYVPHDQVRTLFVAGLPDDIKPREMYNLFREFPGYESSHLRTPSQNSQPFAFATFTDQPSAVAAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDEWSSLDKKARVSSGFSMGTPDSAGFGSVHLPGMANSAFNTIGFPSAQSPGSIDDRSRNESKAGRMNNSSAPPCPTLFVANLGQNCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTASSTGALNHLQGTVLYSSVAGEGLRLEYAKSRMGMRRKPR >Potri.007G046600.10.v4.1 pep chromosome:Pop_tri_v4:7:4273058:4277243:-1 gene:Potri.007G046600.v4.1 transcript:Potri.007G046600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046600.v4.1 MEENMAAYYPPPPPPPPGHYPTYYQPQPPPPTVAPIPPPPPHHLPYIPHPQPPPAPFVSYVSVPAYVPHDQVRTLFVAGLPDDIKPREMYNLFREFPGYESSHLRTPSQNSQPFAFATFTDQPSAVAAMHALNGMVFDLEKGSTLYIDLAKSNSRSKRSRTDDEWSSLDKKARVSSGFSMGTPDSAGFGSVHLPGMANSAFNTIGFPSAQSPGSIDDRSRNESKAGRMNNSSAPPCPTLFVANLGQNCTEEELIQVFSRCPGFLKLKMQSTYGAPVAFVDFQDTASSTGALNHLQGTVLYSSVAGEGLRLEYAKSRMGMRRKPR >Potri.006G074500.1.v4.1 pep chromosome:Pop_tri_v4:6:5446131:5448710:-1 gene:Potri.006G074500.v4.1 transcript:Potri.006G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074500.v4.1 MSKTMEGLYSLLYLTLVFTILFTLHTQIAHKLLVGHHPLHLKKSPHLPLRFNSDGTFKILQVADMHYGTGMLTRCRDVLASEFDYCSDLNTTRFLKRIIQSEKPDFIAFTGDNIFGPSTHDAAESLLRAFGPAMDSGLPWAAVLGNHDQESTMTREELMSFISLMDYSVSQTNQPVDDLSSAAEGDVTKNIDGFGNYNLRVYGAPGSHLANRSVLNLFFLDSGDREVVQGIRTYGWIKESQLRWLRSVSKGYQGQRQDNNHLEEASVCAIPPAMVFFHIPIPEIQQLYNQQIVGKFQQRVSCSSMNSGVLQTIISMGVVKAVFVGHDHTNDFCGNLEGIWFCYGGGFGYHGYGKAGWPRRARIILAELEKGEKSWMGVERISTWKRLDDEKLSKLDEQVLWQSHPSFKMT >Potri.008G114200.1.v4.1 pep chromosome:Pop_tri_v4:8:7261809:7273238:-1 gene:Potri.008G114200.v4.1 transcript:Potri.008G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114200.v4.1 MTDTTDDIAEEISFQGFDDYCKLLKNLLNDVLQREVGTDFVEKLERNRTLAQSACNLRLAGIEDTAELLEKQLASEISKMTLEEALTLARAFSHYLNLMGIAETHHRVRKTRDLAHLSKSCDEVFNQLLQGGTSADELYDSVCKQEVEIVLTAHPTQINRRTLQYKHVRIAHLLDYNDRPDLTQEDREMLIEDLVREITSIWQTDELRRHKPTPADEARSGLHIVEQSLWKAVPHYLRRVSTALKKHTGKPLPLTCTPIKFGSWMGGDRDGNPNVTAKVTRDVSLLSRWMAIDLYIREADSLRFELSMSRCSDKLSREAHDILEQETSPEDRHEGWNQLMSRNQTKHHGQQTPSLPTQLPATADLPSCTECGNNGGSQYPKLQLPGTDYLPLNCQDVQDSSNSESSFQDSSHGCCKSITNGSTANSDSHQSAPSPRGSFTSSQLLAQRKLLAESKIVRSSFQKLLEPSLPQRPGIAPYRIVLGHVKDKLTKTRRRLELLLEDLPCEYEPWDYYETTDQLLEPLLLCYESLQSCGAGVLADGRLADLIRRVATFGMVLMKLDLRQESGRHSEALDAITKYLDMGTYSEWDEEKKLEFLTRELKSKRPLVPSTIQVTPDVKEVLDTFRVAAELGSDSLGAYVISMASNASDVLAVELLQKDARLAVSGELGKPCPGGTLRVVPLFETVKDLRGAGLVIRKLLSIDWYSEHIIKNHSGHQEVMVGYSDSGKDAGRFTAAWELYKAQEDVVAACKDNQIKVTLFHGRGGSIGRGGGPTYLAIQSQPPGSVMGTLRSTEQGEMVQAKFGLPHTAVRQLEIYTTAVLLATLKPPERPREEKWRNLMDEISKISCQSYRSTVYENPEFLAYFHEATPQAELGFLNIGSRPTRRKSSTGIGHLRAIPWVFAWTQTRFVLPAWLGVGAGLKGVCEKGHTQDLKAMYKEWPFFQSTIDLIEMVLGKADVPIAKHYDEVLVSDKSRRELGAALRRELLTTEKFVLVVSGHERLSENNRSLRRLIESRLPYLNPINMLQVEILKRLRSDDDNHKLRDALLITINGIAAGMRNTG >Potri.002G059800.6.v4.1 pep chromosome:Pop_tri_v4:2:4121755:4128872:-1 gene:Potri.002G059800.v4.1 transcript:Potri.002G059800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059800.v4.1 MEQDLKGTMSGPSKIPVVNSSKKVGTGAWGIPLRTDARLFSSSLPVLPHEKLNFHESENCGRSIDDSSPNLNKLDLETEVTDLFEDIEPSAIGNLLPDDDELLSGIMDDFDLSGLPSQVEDLEECDFFGPGGGMELDFESQESLRIGMSKLNMSDGIPANGVGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTACKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRLIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNKSDIAGKRIKLEPSRPGGARRNMMQQISQELEQDEVRSFRHQVGSPVGNSPPGAWLGSPVEHNPLHGFSKSPGLGTLSPVNGNNLPGLASILPPHVSNPAKIAPIGKDHGRANHANQMVTNSGSMQGAPYQHSCSFTDQKLSTSPVPTSNSSGIGTLTGPQFLWGSSAAWPTSSVGNAFPSRGQGQGFPYTSRHGSLLGSHHHHVGSAPSGLPLDRHFGFFPESPETSFMNQVALGGMGLNRNTGNYMMNMGGRAAVGAGIGLPGPLTENGSPSYRVMSLPRHNPMFMGAGSYSGPVTIGNEGFVERVRSRRVENNGSQIDCKKQYQLDLEKIISGEDTRTTLMIKNIPNK >Potri.002G059800.2.v4.1 pep chromosome:Pop_tri_v4:2:4121754:4128894:-1 gene:Potri.002G059800.v4.1 transcript:Potri.002G059800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059800.v4.1 MEQDLKGTMSGPSKIPVVNSSKKVGTGAWGIPLRTDARLFSSSLPVLPHEKLNFHESENCGRSIDDSSPNLNKLDLETEVTDLFEDIEPSAIGNLLPDDDELLSGIMDDFDLSGLPSQVEDLEECDFFGPGGGMELDFESQESLRIGMSKLNMSDGIPANGVGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTACKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRLIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNKSDIAGKRIKLEPSRPGGARRNMMQQISQELEQDEVRSFRHQVGSPVGNSPPGAWLGSPVEHNPLHGFSKSPGLGTLSPVNGNNLPGLASILPPHVSNPAKIAPIGKDHGRANHANQMVTNSGSMQGAPYQHSCSFTDQKLSTSPVPTSNSSGIGTLTGPQFLWGSSAAWPTSSVGNAFPSRGQGQGFPYTSRHGSLLGSHHHHVGSAPSGLPLDRHFGFFPESPETSFMNQVALGGMGLNRNTGNYMMNMGGRAAVGAGIGLPGPLTENGSPSYRVMSLPRHNPMFMGAGSYSGPVTIGNEGFVERVRSRRVENNGSQIDCKKQYQLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDEIHRGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEAFNGKRWEKFNSEKVASLAYARIQGKGALVTHFQNSSLMNEDKRCRPILFHSEGQEAADQEPFLSGNLNICIRQPDGSYFGDSFDSPEDSLDEKAEKN >Potri.002G059800.3.v4.1 pep chromosome:Pop_tri_v4:2:4121751:4128438:-1 gene:Potri.002G059800.v4.1 transcript:Potri.002G059800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059800.v4.1 MEQDLKGTMSGPSKIPVVNSSKKVGTGAWGIPLRTDARLFSSSLPVLPHEKLNFHESENCGRSIDDSSPNLNKLDLETEVTDLFEDIEPSAIGNLLPDDDELLSGIMDDFDLSGLPSQVEDLEECDFFGPGGGMELDFESQESLRIGMSKLNMSDGIPANGVGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTACKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRLIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNKSDIAGKRIKLEPSRPGGARRNMMQQISQELEQDEVRSFRHQVGSPVGNSPPGAWLGSPVEHNPLHGFSKSPGLGTLSPVNGNNLPGLASILPPHVSNPAKIAPIGKDHGRANHANQMVTNSGSMQGAPYQHSCSFTDQKLSTSPVPTSNSSGIGTLTGPQFLWGSSAAWPTSSVGNAFPSRGQGQGFPYTSRHGSLLGSHHHHVGSAPSGLPLDRHFGFFPESPETSFMNQVALGGMGLNRNTGNYMMNMGGRAAVGAGIGLPGPLTENGSPSYRVMSLPRHNPMFMGAGSYSGPVTIGNEGFVERVRSRRVENNGSQIDCKKQYQLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDEIHRGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEAFNGKRWEKFNSEKVASLAYARIQGKGALVTHFQNSSLMNEDKRCRPILFHSEGQEAADQEPFLSGNLNICIRQPDGSYFGDSFDSPEDSLDEKAEKN >Potri.002G059800.4.v4.1 pep chromosome:Pop_tri_v4:2:4121753:4128000:-1 gene:Potri.002G059800.v4.1 transcript:Potri.002G059800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059800.v4.1 MEQDLKGTMSGPSKIPVVNSSKKVGTGAWGIPLRTDARLFSSSLPVLPHEKLNFHESENCGRSIDDSSPNLNKLDLETEVTDLFEDIEPSAIGNLLPDDDELLSGIMDDFDLSGLPSQVEDLEECDFFGPGGGMELDFESQESLRIGMSKLNMSDGIPANGVGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTACKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRLIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNKSDIAGKRIKLEPSRPGGARRNMMQQISQELEQDEVRSFRHQVGSPVGNSPPGAWLGSPVEHNPLHGFSKSPGLGTLSPVNGNNLPGLASILPPHVSNPAKIAPIGKDHGRANHANQMVTNSGSMQGAPYQHSCSFTDQKLSTSPVPTSNSSGIGTLTGPQFLWGSSAAWPTSSVGNAFPSRGQGQGFPYTSRHGSLLGSHHHHVGSAPSGLPLDRHFGFFPESPETSFMNQVALGGMGLNRNTGNYMMNMGGRAAVGAGIGLPGPLTENGSPSYRVMSLPRHNPMFMGAGSYSGPVTIGNEGFVERVRSRRVENNGSQIDCKKQYQLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDEIHRGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEAFNGKRWEKFNSEKVASLAYARIQGKGALVTHFQNSSLMNEDKRCRPILFHSEGQEAADQEPFLSGNLNICIRQPDGSYFGDSFDSPEDSLDEKAEKN >Potri.002G059800.5.v4.1 pep chromosome:Pop_tri_v4:2:4121750:4127281:-1 gene:Potri.002G059800.v4.1 transcript:Potri.002G059800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059800.v4.1 MDDFDLSGLPSQVEDLEECDFFGPGGGMELDFESQESLRIGMSKLNMSDGIPANGVGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTACKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRLIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNKSDIAGKRIKLEPSRPGGARRNMMQQISQELEQDEVRSFRHQVGSPVGNSPPGAWLGSPVEHNPLHGFSKSPGLGTLSPVNGNNLPGLASILPPHVSNPAKIAPIGKDHGRANHANQMVTNSGSMQGAPYQHSCSFTDQKLSTSPVPTSNSSGIGTLTGPQFLWGSSAAWPTSSVGNAFPSRGQGQGFPYTSRHGSLLGSHHHHVGSAPSGLPLDRHFGFFPESPETSFMNQVALGGMGLNRNTGNYMMNMGGRAAVGAGIGLPGPLTENGSPSYRVMSLPRHNPMFMGAGSYSGPVTIGNEGFVERVRSRRVENNGSQIDCKKQYQLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDEIHRGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEAFNGKRWEKFNSEKVASLAYARIQGKGALVTHFQNSSLMNEDKRCRPILFHSEGQEAADQEPFLSGNLNICIRQPDGSYFGDSFDSPEDSLDEKAEKN >Potri.002G059800.1.v4.1 pep chromosome:Pop_tri_v4:2:4121754:4128799:-1 gene:Potri.002G059800.v4.1 transcript:Potri.002G059800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059800.v4.1 MEQDLKGTMSGPSKIPVVNSSKKVGTGAWGIPLRTDARLFSSSLPVLPHEKLNFHESENCGRSIDDSSPNLNKLDLETEVTDLFEDIEPSAIGNLLPDDDELLSGIMDDFDLSGLPSQVEDLEECDFFGPGGGMELDFESQESLRIGMSKLNMSDGIPANGVGHYPLPNGVGTVAGEHPYGEHPSRTLFVRNINSNVEDSELRSLFEQFGDIRTLYTACKHRGFVMISYYDIRDARTAMRALQNKPLRRRKLDIHFSIPKDNPSEKDINQGTLVVFNLDASVSNDDLRLIFGAYGEVKEIRETPHKRHHKFIEFYDVRAAEAALRALNKSDIAGKRIKLEPSRPGGARRNMMQQISQELEQDEVRSFRHQVGSPVGNSPPGAWLGSPVEHNPLHGFSKSPGLGTLSPVNGNNLPGLASILPPHVSNPAKIAPIGKDHGRANHANQMVTNSGSMQGAPYQHSCSFTDQKLSTSPVPTSNSSGIGTLTGPQFLWGSSAAWPTSSVGNAFPSRGQGQGFPYTSRHGSLLGSHHHHVGSAPSGLPLDRHFGFFPESPETSFMNQVALGGMGLNRNTGNYMMNMGGRAAVGAGIGLPGPLTENGSPSYRVMSLPRHNPMFMGAGSYSGPVTIGNEGFVERVRSRRVENNGSQIDCKKQYQLDLEKIISGEDTRTTLMIKNIPNKYTSKMLLAAIDEIHRGTYDFLYLPIDFKNKCNVGYAFINMVSPSHIISFYEAFNGKRWEKFNSEKVASLAYARIQGKGALVTHFQNSSLMNEDKRCRPILFHSEGQEAADQEPFLSGNLNICIRQPDGSYFGDSFDSPEDSLDEKAEKN >Potri.010G086300.1.v4.1 pep chromosome:Pop_tri_v4:10:11243738:11247562:1 gene:Potri.010G086300.v4.1 transcript:Potri.010G086300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086300.v4.1 MGEALFDLEQVLRSQKETLTHQEMNILQSCKSKAVRQFTFGVLTGATVAWAATWKLSWFARANISGGAAIFFGFWRFGKSLDSGVDHILAMDGSRMQKELANIMVNKYRDDPWTMQHLNRRFYSEKVFDDSNLHRPIISLQYRNSFGDNVAYGQRTHDSDSHDDSHSDSDIKRDDVESKKVPMNLGAKVMEDPLESIFGFMAPVEEIHHLDTSGKPARVLNRSHKRSHRRHRMRYQEASLNSERLQPQQI >Potri.004G139700.1.v4.1 pep chromosome:Pop_tri_v4:4:16171118:16174092:1 gene:Potri.004G139700.v4.1 transcript:Potri.004G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G139700.v4.1 MEFDRVQTIASLSFDKEAIPLEFIRPEKEQPAITTFRGLAPEIPAIDLSDPDQEKLVGLIADASKEWGIFQVINHGIPSGVIAELQGAGKKFFELPQEEKEVCARPPDSKSIEGYDSKLQKDPQEKKSWVDHLFHRIWPPPSINYQFWPENPPSYREVTKEYAKYMRDVVDKLFTTLSLGLGLEGHVLKEAAGGEQIEYMLKINYYPPCPRPDLTLGVVAHTDLSAITILVPNEVPGLQIFKDDHWIEAKYIPDALIIHIGDQIEILSNGKYKAVLHRTTVAKNRTRMSWPVFLEPPGELVVGPLPQFINKDNPPKFKTKKFKDYTYCKLNKLPQ >Potri.005G003500.2.v4.1 pep chromosome:Pop_tri_v4:5:310428:313764:1 gene:Potri.005G003500.v4.1 transcript:Potri.005G003500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003500.v4.1 MMAKVASKGLFLFFLSLLTLSSSGTFVGFSYNARGITSAASLGRIVSFLELNKVSASHIRVFAADHRVLSTLSNFNVSADLYLDDSLVEKLTKSKPSAISWLKAQIVTFLPHVYSSIIVSGNNGLSKLLSALKSIHSVLSSFHVDNEVKVSVAFSLSFLENLNRTQEKDLRRILGFIKRTTSFVIVETSLDMDVELGMKDLFIQSMIQKVAVATSLLSPNDAPIVMIIKSLVIPGAKEVAEFGDRVSKSLENTKIRGQVAGLYAEVSSVEDFAEKELEREHEQIFPSSRREILRNFKTTLHDDIINPPTVFPTNPGSTPPVVTLLPDTPTPTIVTVPATNPVTVTPTNPVSTPLPFPNTTPVNVPPTNPSVNPPPPITNPVTTPAPITVPGAQPVSPVTTYPAPAGNVPVTAPVTNPVAPPATTNAPAIPGQSWCVARSGVMDTALQSALDYACGMGGADCSQIQQGGNCYNPNSLQNHASYAFNSYYQKNPVATSCDFGGTATTVNVNPSTGSCIYPSSSSSSSSSTPSLPATTTSPANPATTSPANPATTSPANPTTSPPAIGVPGAPPSVLNSSTNPASSFGFNTPPALNSSASKSATLQPFIGCAILVTSFVARTIILDN >Potri.015G105500.1.v4.1 pep chromosome:Pop_tri_v4:15:12431420:12442973:-1 gene:Potri.015G105500.v4.1 transcript:Potri.015G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105500.v4.1 MQFSKLDDSPMFRQQMQCMEESAEALRGRCLKFYKGCRKYTEGLGEGYDGDIAFASALEAFGGGHNDPICVAFGGPVMNKFTITLREIGTYKEVLRSQVEHMLNDKLQHFVNFDLQDIKEARKRFDKASVMYDQARDKFLSLRKSTRMDVTSAIQEELHNARSSFEQARFNLISALSVVEAKRRFEFLEAVSGTMDAHLRFFKQGYELLHQMEPFINQVLAYAQQCRECSNYDQVSLHERMQEFMKHIDQESRHSVNDIFSSPREDSVRPAARSSQKVIEEVMQSAAKGKVQTIRQGYLSKRSSNLRGDWKRRFFVLDNRGMLYYYRKPFNWSSSGGSQSAVQRNGSSENGQGLLSRWLSSHYHGGVHDEKSVARHTVNLLTSTIKVDADQSDLRFCFRIISPMKVYTLQAENALDQMDWIEKINGVITSLLSSQITERFLSSSPMGSGDHHSVSESGSFIDSPDQTEIEEFTFDTGSHLHPTRSLRRQDYTIKIEKPIDMLRRVPGNDRCADCGAPEPDWASLNLGILICIECSGVHRNLGVHISKVRSLTLDVKVWDPSILNLFQSLGNYCANTIWEELLHPTSSTSDEALRFSKSDRHKQFRPRKPNQDDPISVKEQFIHAKYAQKVFVFKSRDNQHPVVQQMWESIHANDKKGVYRHIVCSGIDVNAIHGQASFSDSLNKLSASYLTSLNRSEDQLIEEISDGCPLLHLACLTADNGMVELLLQYGANINASDSKGHTALHYCIISKRYPIAKLLLRRGANPRAVNGEGKTALQLAPGSSIDDGELVILLTGKLFTERR >Potri.006G126000.5.v4.1 pep chromosome:Pop_tri_v4:6:10100099:10104481:-1 gene:Potri.006G126000.v4.1 transcript:Potri.006G126000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126000.v4.1 MNTVVFEFLGSCILSRLSFFAPEFVTTRFIYAHSLGAMKCFSFHSGDKTDEPKTPKSVSVQSVNSPGADREIGRSGSELNSQNVSGTSTESMVRPSLPSMSQRPSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYKGSIKSTEDPTTKLEIAVKQLGKRGVQGHKEWVTEVNVLGVVEHPNLVKLVGYCADEDERGMQRLLIYEFMSKGSVEDHLSIRSDKPLPWAMRLRIAQDAARGLKYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYVQTGRLTSKSDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWIRPYLSDAKKFKQIVDPRLEQKDILKSAHKLANIANRCLVRNPKLRPKMSEVLEKMNQIVDASTGTESAQQSSKNSAPIKTSHGTTAKNKRRNVDLKTGESGWFGWMWNPKHVRTC >Potri.006G126000.4.v4.1 pep chromosome:Pop_tri_v4:6:10100059:10104597:-1 gene:Potri.006G126000.v4.1 transcript:Potri.006G126000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126000.v4.1 MKCFSFHSGDKTDEPKTPKSVSVQSVNSPGADREIGRSGSELNSQNVSGTSTESMVRPSLPSMSQRPSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYKGSIKSTEDPTTKLEIAVKQLGKRGVQGHKEWVTEVNVLGVVEHPNLVKLVGYCADEDERGMQRLLIYEFMSKGSVEDHLSIRSDKPLPWAMRLRIAQDAARGLKYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYVQTGRLTSKSDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWIRPYLSDAKKFKQIVDPRLEQKDILKSAHKLANIANRCLVRNPKLRPKMSEVLEKMNQIVDASTGTESAQQSSKNSAPIKTSHGTTAKNKRRNVDLKTGESGWFGWMWNPKHVRTC >Potri.006G126000.3.v4.1 pep chromosome:Pop_tri_v4:6:10100100:10104350:-1 gene:Potri.006G126000.v4.1 transcript:Potri.006G126000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126000.v4.1 MKCFSFHSGDKTDEPKTPKSVSVQSVNSPGADREIGRSGSELNSQNVSGTSTESMVRPSLPSMSQRPSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYKGSIKSTEDPTTKLEIAVKQLGKRGVQGHKEWVTEVNVLGVVEHPNLVKLVGYCADEDERGMQRLLIYEFMSKGSVEDHLSIRSDKPLPWAMRLRIAQDAARGLKYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYVQTGRLTSKSDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWIRPYLSDAKKFKQIVDPRLEQKDILKSAHKLANIANRCLVRNPKLRPKMSEVLEKMNQIVDASTGTESAQQSSKNSAPIKTSHGTTAKNKRRNVDLKTGESGWFGWMWNPKHVRTC >Potri.006G126000.6.v4.1 pep chromosome:Pop_tri_v4:6:10100060:10104348:-1 gene:Potri.006G126000.v4.1 transcript:Potri.006G126000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126000.v4.1 MKCFSFHSGDKTDEPKTPKSVSVQSVNSPGADREIGRSGSELNSQNVSGTSTESMVRPSLPSMSQRPSNLRVFTVSELKSATKNFSRSVMIGEGGFGCVYKGSIKSTEDPTTKLEIAVKQLGKRGVQGHKEWVTEVNVLGVVEHPNLVKLVGYCADEDERGMQRLLIYEFMSKGSVEDHLSIRSDKPLPWAMRLRIAQDAARGLKYLHEEMDFQIIFRDFKSSNILLDEQWNAKLSDFGLARLGPSEGLTHVSTAVVGTMGYAAPEYVQTGRLTSKSDVWSYGVFLYELITGRRPLDRNRPKSEQKLLEWIRPYLSDAKKFKQIVDPRLEQKDILKSAHKLANIANRCLVRNPKLRPKMSEVLEKMNQIVDASTGTESAQQSSKNSAPIKTSHGTTAKNKRRNVDLKTGESGWFGWMWNPKHVRTC >Potri.003G162700.2.v4.1 pep chromosome:Pop_tri_v4:3:17219053:17227037:-1 gene:Potri.003G162700.v4.1 transcript:Potri.003G162700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162700.v4.1 MPSEIMDLQGLSSSSFFSEDASFPSERQVGFWKSDTMPDQRVGKSYVLSPSEKLVAVESVQSLEHPQPSLMHDQKMNHSLDKHAVGAERALNRSFTLLRPVDNDTGTGTSLNVQPTSYFAEVGKVNAMATQHENSLFSSSLSELFSRKLRLSSTNSLYGHSVDTIASHFEEEEPFQSLEEIEAQTIGNLLPNDDDLFSGVTDRVENINHPSGGDDMEDLDFFSSVGGMDLGDDGSVAQIDSEFHGGASNGQLGACNLSVAGEHPYGEHPSRTLFVRNINSNVEESELRAIFEQYGDIRTLYTACKHRGFVMISYYDIRAAKNAMKALQNRPLRCRKLDIHYSIPKDNPSEKDFNQGTLAVFNLDSSVSNDDLRRIFGVYGEIKEIRETPHRNHHKFVEFYDVRAAEAALHALNKSDIAGKRIKLEASCPGGLRRLLHQIPPELEQDEFGPFVQQSSPPNNSTTEFSGTVISTGMDNGPILGAHSATQAPFFESALHHGISSSVPNSMSSLSRVESAGNQTGFAELSHSPGHLKFDIQSTLNFHPHSLPEYDGLNSGVHCNSPGAMAANINPRLLERIDTRHLARISPNGNPIEFSEGVFGSARNGSCSRPGHHYTWGNSYHHQPPGMIWPNSPSFVNGISVAHPGPRLHGPPRAPPPMLNPVLPINNQHVGSVPAVNPSLWDRQHAYAGESPDASGFHPCSLGSMRISNNSLHSMEFLSPKMFPHVGGNCLELPMPPQNVGFQSQQQRSMVFPGRGQMIPMINTFDAPGERARSRRNEGSTSQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLAAIDERHKGTYNFVYLPIDFKESAFLS >Potri.003G162700.5.v4.1 pep chromosome:Pop_tri_v4:3:17218988:17227109:-1 gene:Potri.003G162700.v4.1 transcript:Potri.003G162700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162700.v4.1 MPSEIMDLQGLSSSSFFSEDASFPSERQVGFWKSDTMPDQRVGKSYVLSPSEKLVAVESVQSLEHPQPSLMHDQKMNHSLDKHAVGAERALNRSFTLLRPVDNDTGTGTSLNVQPTSYFAEVGKVNAMATQHENSLFSSSLSELFSRKLRLSSTNSLYGHSVDTIASHFEEEEPFQSLEEIEAQTIGNLLPNDDDLFSGVTDRVENINHPSGGDDMEDLDFFSSVGGMDLGDDGSVAQIDSEFHGGASNGQLGACNLSVAGEHPYGEHPSRTLFVRNINSNVEESELRAIFEQYGDIRTLYTACKHRGFVMISYYDIRAAKNAMKALQNRPLRCRKLDIHYSIPKDNPSEKDFNQGTLAVFNLDSSVSNDDLRRIFGVYGEIKEIRETPHRNHHKFVEFYDVRAAEAALHALNKSDIAGKRIKLEASCPGGLRRLLHQIPPELEQDEFGPFVQQSSPPNNSTTEFSGTVISTGMDNGPILGAHSATQAPFFESALHHGISSSVPNSMSSLSRVESAGNQTGFAELSHSPGHLKFDIQSTLNFHPHSLPEYDGLNSGVHCNSPGAMAANINPRLLERIDTRHLARISPNGNPIEFSEGVFGSARNGSCSRPGHHYTWGNSYHHQPPGMIWPNSPSFVNGISVAHPGPRLHGPPRAPPPMLNPVLPINNQHVGSVPAVNPSLWDRQHAYAGESPDASGFHPCSLGSMRISNNSLHSMEFLSPKMFPHVGGNCLELPMPPQNVGFQSQQQRSMVFPGRGQMIPMINTFDAPGERARSRRNEGSTSQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLAAIDERHKGTYNFVYLPIDFKNKCNVGYAFINMIDPRQIIPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKPRTITHEENQQGSPSNLAGGEDSSNGDASSGSGKESD >Potri.003G162700.1.v4.1 pep chromosome:Pop_tri_v4:3:17218941:17227120:-1 gene:Potri.003G162700.v4.1 transcript:Potri.003G162700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162700.v4.1 MPSEIMDLQGLSSSSFFSEDASFPSERQVGFWKSDTMPDQRGQYIRDTLGKSYVLSPSEKLVAVESVQSLEHPQPSLMHDQKMNHSLDKHAVGAERALNRSFTLLRPVDNDTGTGTSLNVQPTSYFAEVGKVNAMATQHENSLFSSSLSELFSRKLRLSSTNSLYGHSVDTIASHFEEEEPFQSLEEIEAQTIGNLLPNDDDLFSGVTDRVENINHPSGGDDMEDLDFFSSVGGMDLGDDGSVAQIDSEFHGGASNGQLGACNLSVAGEHPYGEHPSRTLFVRNINSNVEESELRAIFEQYGDIRTLYTACKHRGFVMISYYDIRAAKNAMKALQNRPLRCRKLDIHYSIPKDNPSEKDFNQGTLAVFNLDSSVSNDDLRRIFGVYGEIKEIRETPHRNHHKFVEFYDVRAAEAALHALNKSDIAGKRIKLEASCPGGLRRLLHQIPPELEQDEFGPFVQQSSPPNNSTTEFSGTVISTGMDNGPILGAHSATQAPFFESALHHGISSSVPNSMSSLSRVESAGNQTGFAELSHSPGHLKFDIQSTLNFHPHSLPEYDGLNSGVHCNSPGAMAANINPRLLERIDTRHLARISPNGNPIEFSEGVFGSARNGSCSRPGHHYTWGNSYHHQPPGMIWPNSPSFVNGISVAHPGPRLHGPPRAPPPMLNPVLPINNQHVGSVPAVNPSLWDRQHAYAGESPDASGFHPCSLGSMRISNNSLHSMEFLSPKMFPHVGGNCLELPMPPQNVGFQSQQQRSMVFPGRGQMIPMINTFDAPGERARSRRNEGSTSQADKKQYELDIDRILQGEDNRTTLMIKNIPNKYTSKMLLAAIDERHKGTYNFVYLPIDFKNKCNVGYAFINMIDPRQIIPFYQAFNGKKWEKFNSEKVASLAYARIQGKAALIAHFQNSSLMNEDKRCRPILFNTDGPNAGDQVPFPMGVNVRTRPGKPRTITHEENQQGSPSNLAGGEDSSNGDASSGSGKESD >Potri.001G449100.2.v4.1 pep chromosome:Pop_tri_v4:1:47544200:47547255:-1 gene:Potri.001G449100.v4.1 transcript:Potri.001G449100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449100.v4.1 MEHSRFYYHVSLILSISGLVFPVMVGSIGINYGQIANNLPTPDNVIPLVKSIGATKVKLYDADPRVLKAFANTGVEFIVGLGNEYLSKMRDPDKAQAWVKANVQAYLPATKITCITIGNEILTLNDTSLTDNLLPAMQGIQTALVNLGLDKQVSVTTAHSLAVLDVSFPPSAGSFRKDLVGSITPILNFHAKTNSPFLINAYPFFAFKSNPKQVSLDFVLFQPNQGVVDPKSNFHYDNMLFAQIDAVYSALASLGYSKLPVHISETGWPSKGDEDEVGATLENAKKYNGNLFKTICQRKGTPMRPNTDLNIYVFALFNENMKPGPTSERNYGLFKPDGSPAYLLGINGTDAISTNSTPTTAATTTTTPAPRSPESSSTGYLSISAAVKGRWISCIGQLLFPLLLLSCLAFRLAF >Potri.001G449100.6.v4.1 pep chromosome:Pop_tri_v4:1:47544176:47547255:-1 gene:Potri.001G449100.v4.1 transcript:Potri.001G449100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449100.v4.1 MEHSRFYYHVSLILSISGLVFPVMVGSIGINYGQIANNLPTPDNVIPLVKSIGATKVKLYDADPRVLKAFANTGVEFIVGLGNEYLSKMRDPDKAQAWVKANVQAYLPATKITCITIGNEILTLNDTSLTDNLLPAMQGIQTALVNLGLDKQVSVTTAHSLAVLDVSFPPSAGSFRKDLVGSITPILNFHAKTNSPFLINAYPFFAFKSNPKQVSLDFVLFQPNQGVVDPKSNFHYDNMLFAQIDAVYSALASLGYSKLPVHISETGWPSKGDEDEVGATLENAKKYNGNLFKTICQRKGTPMRPNTDLNIYVFALFNENMKPGPTSERNYGLFKPDGSPAYLLGINGTDAISTNSTPTTAATTTTTPAPRSPESSSTGYLSISAAVKVMFWTSWWCFYFRFFCCCFVYLTWESVVVCGMI >Potri.001G449100.7.v4.1 pep chromosome:Pop_tri_v4:1:47544240:47547255:-1 gene:Potri.001G449100.v4.1 transcript:Potri.001G449100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449100.v4.1 MEHSRFYYHVSLILSISGLVFPVMVGSIGINYGQIANNLPTPDNVIPLVKSIGATKVKLYDADPRVLKAFANTGVEFIVGLGNEYLSKMRDPDKAQAWVKANVQAYLPATKITCITIGNEILTLNDTSLTDNLLPAMQGIQTALVNLGLDKQVSVTTAHSLAVLDVSFPPSAGSFRKDLVGSITPILNFHAKTNSPFLINAYPFFAFKSNPKQVSLDFVLFQPNQGVVDPKSNFHYDNMLFAQIDAVYSALASLGYSKLPVHISETGWPSKGDEDEVGATLENAKKYNGNLFKTICQRKGTPMRPNTDLNIYVFALFNENMKPGPTSERNYGLFKPDGSPAYLLGINGTDAISTNSTPTTAATTTTTPAPRSPESSSTGYLSISAAVKGRWISCIGQLLFPLLLLSCLAFRLAF >Potri.010G171200.1.v4.1 pep chromosome:Pop_tri_v4:10:17273740:17277280:-1 gene:Potri.010G171200.v4.1 transcript:Potri.010G171200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171200.v4.1 MDTPEDIVNVSGKCYENVKVFSEGLWSVKPGESILQHSLVRFHFELLAMFFLAGSFHFFLKRFHFSRFTSDVLAGIAVGPTVMGYYFGRTSDDLFHTSIPNQVVSSLSKIGYILFVFFAGVQMDTTLIWKTGRKAFSLGAFIFATNFIMASSIAIFFPKDNGLIIGIKGGMIFTGNMYFESMTQTEFVGVCYILMQLQIINSQLGRLALASSMHYKLMSLVYNITNGFGRGFRTTSIRVGVQMVIFSLAYILFIVIILRQMIFTFIRITPQGQPLKEIYATITMACVFLLASSGDCVGLNYVFGPLILGLIVPARSPLAEILVQKFNTAASGFLLPLMTMFCASKIDLHQFISEFNTLVAFKISLIGFAIKVAVTFLAVYFYKLPLRHAAALTVILNAKGHFEVGTFISFNPLKEIQSTSGILLLFLLQAFQPLLVKTLYHPAEHYVSYKQKSIEQVSNDAELQILVCAHREEDVMAAITLLEYSNPTKQNPLSIYGLCLEELVSSFIPVLINHQLGQKISYSEGSRSQPIIDIFKYFKTQHNKFVQMHVFTAISPFKQMHEDICWLSFDKDCSLVIIPFHKKWNSKGKMVSSNTDLRNLNINVLKNAPCSVGTLIDRKRAQGLSSIFSTSATYRVAALFVGGSDDREAISYALRMARSPRVHLTIMHLVAHNDDVHNWENMVNDDFLRKVKAEMSGHKNIDYMEETVRDGSDTSEVLQSIVEDRDLIMVGRQHENEPQALAGLSAEWIDFPELGPMGDLLASEYISNPVSVLVVQQQKKKVNVTASN >Potri.015G114500.1.v4.1 pep chromosome:Pop_tri_v4:15:13144472:13144675:1 gene:Potri.015G114500.v4.1 transcript:Potri.015G114500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G114500.v4.1 MMRKVLVAVLIVSMVASHFENVASDASDCMDACTTGCVQSNTRLTSRCDIKCGIRCGPDSEVEDHTG >Potri.001G348700.2.v4.1 pep chromosome:Pop_tri_v4:1:36042417:36050819:1 gene:Potri.001G348700.v4.1 transcript:Potri.001G348700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348700.v4.1 MGNLMNKEPPPPMVLVPPLFDFPPLAARTRMLESSYNILFGKLALKCLFEDYFEEASHFTTRIMLKPIDDPHVDFIATLSGPLNHKPEENIVGNALFRWQSDLDDPHSFMDLFVSSSDPILQMRSSAYYPKYGFGAFGIFPLLMRKRISTEDYGVVGLRYGSENLSVGAALMPLASKYESPKHAWLVSKMGRLTVGVQYEPQYGSTDGAKYKNLMNWSAAVGYGVGSGSPLSPSFNFSLELAKTSQFIASFYQHVVVQRRVKNPLEENEIVGITNYIDFGFELQTRVDDPKTSNNIPDSTFQAAASWQANKNFLLKGKVGPLSSTLAFAFKSWWKPSFTFNISATRDRIIGKTSYGFGIRIENLREASYQRADPNFVMLTPSKEHLAEGIIWKIGKRPMLQSDVNAGNFDGLPRELRPLGKIL >Potri.008G035400.8.v4.1 pep chromosome:Pop_tri_v4:8:1928109:1930969:-1 gene:Potri.008G035400.v4.1 transcript:Potri.008G035400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035400.v4.1 MAYGQTGTGKTYTVGKLGKDDAAERGIMVRALEDVLASTMPGSDVVELYMESIQDLLAPEKASIPINEDARTGEVSLPDVSVVKVQDLNHFSDLLQIGETNRHAANTKQNTESSRSHAILMVHVRRSINQKAGDETASQEKDVKSNLAGGNGLPRVRKSKLLVVDLAGSERLDKSGSEGHLLEEAKFINLSLTSLGKCINAQAENSPHIPTRDSKLTRLLRDSFGALQGLH >Potri.008G035400.6.v4.1 pep chromosome:Pop_tri_v4:8:1928109:1930969:-1 gene:Potri.008G035400.v4.1 transcript:Potri.008G035400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035400.v4.1 MAYGQTGTGKTYTVGKLGKDDAAERGIMVRALEDVLASTMPGSDVVELYMESIQDLLAPEKASIPINEDARTGEVSLPDVSVVKVQDLNHFSDLLQIGETNRHAANTKQNTESSRSHAILMVHVRRSINQKAGDETASQEKDVKSNLAGGNGLPRVRKSKLLVVDLAGSERLDKSGSEGHLLEEAKFINLSLTSLGKCINAQAENSPHIPTRDSKLTRLLRDSFGVSTAGKYSSRVGDARHRK >Potri.008G035400.5.v4.1 pep chromosome:Pop_tri_v4:8:1928103:1930969:-1 gene:Potri.008G035400.v4.1 transcript:Potri.008G035400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035400.v4.1 MAYGQTGTGKTYTVGKLGKDDAAERGIMVRALEDVLASTMPGSDVVEVSYLHLYMESIQDLLAPEKASIPINEDARTGEVSLPDVSVVKVQDLNHFSDLLQIGETNRHAANTKQNTESSRSHAILMVHVRRSINQKAGDETASQEKDVKSNLAGGNGLPRVRKSKLLVVDLAGSERLDKSGSEGHLLEEAKFINLSLTSLGKCINAQAENSPHIPTRDSKLTRLLRDSFGVSTAGKYSSRVGDARHRK >Potri.008G035400.7.v4.1 pep chromosome:Pop_tri_v4:8:1928103:1930969:-1 gene:Potri.008G035400.v4.1 transcript:Potri.008G035400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035400.v4.1 MAYGQTGTGKTYTVGKLGKDDAAERGIMVRALEDVLASTMPGSDVVEVSYLHLYMESIQDLLAPEKASIPINEDARTGEVSLPDVSVVKVQDLNHFSDLLQIGETNRHAANTKQNTESSRSHAILMVHVRRSINQKAGDETASQEKDVKSNLAGGNGLPRVRKSKLLVVDLAGSERLDKSGSEGHLLEEAKFINLSLTSLGKCINAQAENSPHIPTRDSKLTRLLRDSFGALQGLH >Potri.018G133400.1.v4.1 pep chromosome:Pop_tri_v4:18:14269286:14272113:-1 gene:Potri.018G133400.v4.1 transcript:Potri.018G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX56 MATRIRLPSILATAVTLTVLAASLTWAAGSPEATFVKKTISSHQIVIFSKSYCPYCKKAKGVFKELNQTPHVVELDQREDGHDIQDAMSEIVGRRTVPQVFIDGKHIGGSDDTVEAYESGELAKLLGVASEQKDDL >Potri.002G017800.3.v4.1 pep chromosome:Pop_tri_v4:2:1080595:1083422:1 gene:Potri.002G017800.v4.1 transcript:Potri.002G017800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017800.v4.1 MSPDSEINSNSTASSYTSAFISTYESLMKIVSHIELSSDVLRTARAVRLTNCLRKNLTEDTLIQYLLHFGLLKNSELNARLSSFLIIEEDEEADSAMSVCAIEFSLELEFYCYFLVLIFLIDQKRYNKAKVCSLDGITRVMSLNMAELDSVSVLAARLYFYYSYSYEVSGDLAEIRWNLLDWYSVAALNHDELGQAEKLRSKAPKFLSRSSQQTRRYLFYTGKIQTIRLAYTDAKESFLQAVWRGPVAARGFRIQCTKWTVLVRLLLGEIPERTVFMQSGMERTLRPYFELTNAVRIGDLELFGNVAEEFAGTFISDGTKNLIVRLQHSVIRTGLRSISISYSSISLAEVADKLKMHSRNLLADVENVVAKAIRDGAINAKIDHANGWLISKETRDIYATAEPQVAFSSRISFYLNLYNETVRALLLPSGNDMHGNRRSASQVLYGELLELLEEVRGKYLKK >Potri.002G017800.2.v4.1 pep chromosome:Pop_tri_v4:2:1080595:1083421:1 gene:Potri.002G017800.v4.1 transcript:Potri.002G017800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017800.v4.1 MSPDSEINSNSTASSYTSAFISTYESLMKIVSHIELSSDVLRTARAVRLTNCLRKNLTEDTLIQYLLHFGLLKNSELNARLSSFLIIEEDEEADSAMSVCAIEFSLELEFYCYFLVLIFLIDQKRYNKAKVCSLDGITRVMSLNMAELDSVSVLAARLYFYYSYSYEVSGDLAEIRWNLLDWYSVAALNHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPKFLSRSSQQTRRYLFYTGKIQTIRLAYTDAKESFLQAVWRGPVAARGFRIQCTKWTVLVRLLLGEIPERTVFMQSGMERTLRPYFELTNAVRIGDLELFGNVAEEFAGTFISDGTKNLIVRLQHSVIRTGLRSISISYSSISLAEVADKLKMHSRNLLADVENVVAKAIRDGAINAKIDHANGWLISKETRDIYATAEPQVAFSSRISFYLNLYNETVRALLLPSGNDMHGNRRSASQVLYGELLELLEEVRGKYLKK >Potri.008G105200.5.v4.1 pep chromosome:Pop_tri_v4:8:6641257:6649610:-1 gene:Potri.008G105200.v4.1 transcript:Potri.008G105200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105200.v4.1 MASQSQRQSNQRQHQNQAAQSSGTSNMRQHHHATESVSKAIAQYTVDAQLHAVFEQSGGSGKSFDYSQSVRTTSQSVPEEQITAYLSKIQRGGHIQPFGCMIAVDEGSFRVIAYSENAKEMLGLTPQSVPSLDKQEILSDGTDVRTLFRPSSSAMLEKAFGAREITLLNPIWIHSKNSGKPFYAILHRIDVGIVIDLEPARTEDPALSIAGAVQSQKLAVRSISQLQSLPGGDIKLLCDTVVESVRELTGYDRVMVYKFHEDEHGEVVAENKRADLEPYIGLHYPSTDIPQASRFLFKQNRVRMIVDCHATPVRVIQDEALMQPLCLVGSTLRAPHGCHAQYMANMGSIASLAMAVIINGNEEEAIGGRNSTRLWGLVVCHHTSARCIPFPLRYACEFLMQAFGLQLNMELQLASQLSEKHVLRTQTLLCDMLLRDSPTGIVTQSPSIMDLVKCDGAALYYQGQYYPLGVTPTEAQIKDIVEWLLALHGDSTGLSTDSLADAGYPGAASLGNAVCGMAVAYITKRDFLFWFRSHTAKEIKWGGAKHHPEDKDDGQRMHPRSSFKAFLEVVKSRSLLWENAEMDAIHSLQLILRDSFRDVEATNSKAVVHAQLEDTELQGMDELSSVAREMVRLIETATAPIFAVDVDGCINGWNAKVAELTGLSVDKAMGKSLVHDLVYKEYEETVDKLLHRALRGEEDKNVEIKLRTFGSEHQKKALFVVVNACSSKDYMNNIVGVCFVGQDVTGQKVVMDKYVHIQGDYKAIVHSPNPLIPPIFASDENTCCLEWNTAMEKFTGWSRGEVIGKMLVGEVFGSCCQLKGSDALTKFMIALHNAIGGQDTDKLPFSFFDRNGKYVQALLTANKRVNMEGEIVGAFCFLQIASNELQQALKVQRQQEKKCSARMKELAYICQEIRNPLSGLRFTNSLLENTDLTEDQKQFLETSAACEKQILKITRDVDLESIENGLLELEKAEFLFGSVINAVVSQAMLLLRERNLQLLRDIPEEIKTLVVYGDQARIQQVLADFLLNMVRYAPSSAGWVEIHVCPTLKQISDGHTLVHMEFKIVCPGEGLPPELVQDMFHSSRWVTQEGLGLSMCRKILKLMNGEVQYIRESERCYFLVILEVPMPNSVRGITVKSAAD >Potri.010G013100.1.v4.1 pep chromosome:Pop_tri_v4:10:2282247:2283039:1 gene:Potri.010G013100.v4.1 transcript:Potri.010G013100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013100.v4.1 MFQERHRHQHRGPPHGILLAVVVCVVVLAPFIFGDQGAAITEGFAELLSPMGLLLLPIILLLAIQFLSSDRGSFVSSVFSTGEPDSFHRLSGSPVGVALFLALVLFLLYNRMSIFGGGDDSGD >Potri.009G169700.1.v4.1 pep chromosome:Pop_tri_v4:9:12888236:12892918:1 gene:Potri.009G169700.v4.1 transcript:Potri.009G169700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169700.v4.1 MANSIIAGTPWMRIRVIPEISFPILRSSSSLYNHKVSFLFPTRRRFSVSAIASTEKEQVRVRFAPSPTGNLHVGGARTALFNYLFARSKGGKFVLRIEDTDLERSTKESEEAVLRDLSWLGLDWDEGPGVGGDYGPYRQSERNSLYKQHAEKLVESGHVYRCFCSNEELEQMKEIAKLKQLPPVYTGKWANAMDDEVQEELAKGTPYTYRFRVPKEGRLTINDLIRGEVSWNYDTLGDFVIMRSNGQPVYNFCVTVDDATMAISHVIRAEEHLPNTLRQALIYKALGFPMPHFAHVSLILAPDRSKLSKRHGATSVGQYREMGYLPRAMVNYLALLGWGDGTENEFFTLEQLVEKFSIGRVNKSGAVFDSTKLRWMNGQHLRALPSDKLNKLVGERWKSSGILVESEGPFIEEAVLLLKDGIELVTDSDKVLSNLLSYPLHATLSSPEGKVMIQNKLSEVSSGLLAAYDSGELLNALEEGHSGWQKWVKNFGKTMKLKGKSLFMPLRVLLTGKLHGPDMGSSIILLHQAGTGDMVSSQAEFVTLKERFGVLREIDWEALNKDQPPLEPAAIAAN >Potri.019G064200.1.v4.1 pep chromosome:Pop_tri_v4:19:10458910:10462377:1 gene:Potri.019G064200.v4.1 transcript:Potri.019G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064200.v4.1 MEVSLPCLRLVSSLTLLALVFFLVHVCYTVLLKSERIKRKLRMQGIQGPPPSFLYGNLPEMQKIQLNTLKASSFQAPDFIAHDYTSTVFPYFEQWRKEYGPVYTYSTGLRQHLYVNQPELVKEMNQMISLDLGKPSYLTKRMAPLLGNGIVRSNGLVWAQQRKIVAPEFYMDKVKGMVGLMVESAQPLLKKWEECIEAQGGITADVKVDEDLRELSANVISRACFGSSYSKGKQIFSKLRSLQQTFSNQNILFGVTNFGFLPVKKQNKISNLEREVESLIWEAVKERRQECQETNSSEKDLMLLLLEGAINDQSLGKDASKSFVVDNCKTIYFAGHESTAVAASWCLMLLALHPEWQGGIRKELAEISKDGLLSVDSLHHLKTVTMVIQEALRLYPPAAFVSREALEETQLGNIVVSKGVCLWTLIPTLHRDPAVWGSDANEFKPERFTWGVSKACKCPQAYIPFGVGPRLCLGKNFAMVELKVVLSLIVSKFSFSLSPKYHHSPAYRMIVEPGDGVQILIQKI >Potri.004G013400.1.v4.1 pep chromosome:Pop_tri_v4:4:820348:826116:-1 gene:Potri.004G013400.v4.1 transcript:Potri.004G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013400.v4.1 MAASHIWVSPLRPHSNVGVSDLGLRRCVDMRCNWDLERLPRWECCCLSVLAQRAITPVEDEKPLIPQVETSEAIDQVQDTQSRGFHKDLNLLPKPLSATDIFSSPRNSAKVRVAYQGMPGAYGEAAALKAYPKCETVPCEEFEAAFKAVELWLVDKAVLPIESSVGGSIHRNYDLLLRHRLHIVGEVQMVVNHCLLGLPGVQKEELKRVLSHPQALDQCDMILTKLGVVRVSTDDTAGAALMVAASGERDSGVIASDRAAEIYGLNILLEKIQDDDDNITRFLILAREPIIPGTDRPHKTSIVFTLEEGPGMLFKALAVFASRDINLTKIESRPQRKRPLRVVDDSNKGSARYFDYLFYIDFEASMAEPRAQHAMAHLQEFASFLRVLGCYATDSAL >Potri.005G026600.1.v4.1 pep chromosome:Pop_tri_v4:5:1683975:1687478:-1 gene:Potri.005G026600.v4.1 transcript:Potri.005G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026600.v4.1 MATRPGCDFEPDHPKTSSTAAAITHNPNRVATPPIYHLSFNQNHTCFLVGLQNGFRIFDTDPFKPSFRRDIDTHSGIGLVAMLYRSNIFCLVCGGPDPMYPRNKVMIWDDHDSRCVGELSFRSEVKNVKLRRDMIVVVLNQKIFVYNFLDLKLLNQIETVSNPTGLCEISHNSSPMVLVCLGLQKGQIRVENFGSKKSKFVMAHDSRVVCMSLTQDGRRLATASSKGTLIRVFNSLDGTLLQEVRRGADRADIYSLAFSSNAQFLAVSSDKGTVHIFSLKVDSGSLPSLPNDRSHFASEPIHSRLSSLSIFKGVLPKYFSSEWSVARFRLPEGLQYCVGFGHQKNTIVIIGMDGSFYRCEFDPVTGGEMIQLEYINFLNVENF >Potri.014G115400.1.v4.1 pep chromosome:Pop_tri_v4:14:7761302:7764506:1 gene:Potri.014G115400.v4.1 transcript:Potri.014G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115400.v4.1 MAAAAAASMTVAHWSTPTSLHFSTAKNRNAAVLLNSLRTCKITASSSISKVQYGYGYSKRSSILTGFKPFSSPVMEFQDCTVKMEIDVPVGLAYKLYSDRESIPRWMPFISSVQVLKDKPDLSRWSLKYKALGQELEYSWLARNMQPTPNQKIHWRSLEGLPNRGSVRFFPKGSSSCLVELTVSYEVPRILAPLATALQPLLESLLRGGLERFATLAKSTLAD >Potri.011G080500.2.v4.1 pep chromosome:Pop_tri_v4:11:8689681:8692792:-1 gene:Potri.011G080500.v4.1 transcript:Potri.011G080500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080500.v4.1 MSKRRTREPKEENVTLGPTVREGEHAFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALARSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL >Potri.019G129900.1.v4.1 pep chromosome:Pop_tri_v4:19:15265966:15272900:-1 gene:Potri.019G129900.v4.1 transcript:Potri.019G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129900.v4.1 MGSEVKSEASADSPTSVLEDEEQCNLKVEEEVFVEAKNGDASLISISMAEEEEKLLKSRMKEEEIEKAAAEEAQLDESQFNRLDQLLTQTQLYSEFLLEKIDQITANGAEQESEPVEQKKRGRGSKRKAAAQYNSRKAKRAVTAMLTRSKEVDKVEDANLTEEERAEKEQRELVPLLTGGRLKSYQIKGVKWLISLWTNGLNGILADQMGLGKTIQTIGFLAHLKGNGLNGPYMVIAPLSTLSNWVNEISRFAPSMDSIIYHGSKKQRDEIRRKHMPRSIGSKFPIIVTSYEIALSDAKKYLRHYPWKYVVVDEGHRLKNSKCKLLKELKYLHVDNKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDLSGKCNNETMKEEVEERRRAQAVTKLHAILRPFLLRRMKTDVEQMLPRKKEIILYATLTEHQKKFQEHLINKTLEDYLREKLDTGRGMKGRLNNLMIQLRKNCYHPDLLESAFDGSYFYPPVEQIVGKCGKFQLLDRLLNRLFALQHKVLIFSQWTKILDIMDYYFSEKGFEVCRIDGSVKLDERKKQIQEFNDENSQFRIFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLTTAQSVEGRILKRAFSKLKLEHVVIGKGQFHQERTKSTGTDLMEEEMLALLRDEETAEDKLIQTDISDEDLERVLDRSDLVVGSSSDDIENMAAAVSIPLKGPGWEVVVPTASGGVLSTLNS >Potri.019G129900.2.v4.1 pep chromosome:Pop_tri_v4:19:15265916:15272137:-1 gene:Potri.019G129900.v4.1 transcript:Potri.019G129900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129900.v4.1 MAEEEEKLLKSRMKEEEIEKAAAEEAQLDESQFNRLDQLLTQTQLYSEFLLEKIDQITANGAEQESEPVEQKKRGRGSKRKAAAQYNSRKAKRAVTAMLTRSKEVDKVEDANLTEEERAEKEQRELVPLLTGGRLKSYQIKGVKWLISLWTNGLNGILADQMGLGKTIQTIGFLAHLKGNGLNGPYMVIAPLSTLSNWVNEISRFAPSMDSIIYHGSKKQRDEIRRKHMPRSIGSKFPIIVTSYEIALSDAKKYLRHYPWKYVVVDEGHRLKNSKCKLLKELKYLHVDNKLLLTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDLSGKCNNETMKEEVEERRRAQAVTKLHAILRPFLLRRMKTDVEQMLPRKKEIILYATLTEHQKKFQEHLINKTLEDYLREKLDTGRGMKGRLNNLMIQLRKNCYHPDLLESAFDGSYFYPPVEQIVGKCGKFQLLDRLLNRLFALQHKVLIFSQWTKILDIMDYYFSEKGFEVCRIDGSVKLDERKKQIQEFNDENSQFRIFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLTTAQSVEGRILKRAFSKLKLEHVVIGKGQFHQERTKSTGTDLMEEEMLALLRDEETAEDKLIQTDISDEDLERVLDRSDLVVGSSSDDIENMAAAVSIPLKGPGWEVVVPTASGGVLSTLNS >Potri.003G126300.2.v4.1 pep chromosome:Pop_tri_v4:3:14579538:14581828:1 gene:Potri.003G126300.v4.1 transcript:Potri.003G126300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126300.v4.1 MADTSPLLPFSHSLGPGSTYSSIRWRSKIVLLLVFSGLFLVPLIVSIASNDNGFKQHVQYLQEDDQNVSFSPPKETTKPQILRPGSRGVSAGVSEKANVNLKGAQEKGYPQNDSMLSWQRTSFHFQPEKNWMNDPNGPLYYKGWYHFFYQHNPHAAVWGDIVWGHAVSRDLINWFHLPLAIVSDEWFDINGVWTGSATILLNGKIVMLYTGSTNESVQVQNLAYPADHNDPLLLKWVKYSGNPVLVSPPGIDPNDFRDPTTAWYTSEGKWRITIGSKANNTGIALVYDTEDFINFKLSGVLHGVPGTGMWECVDFYPVSKTGQNGLDTSANGPHVKHVVKTSLDDVRKDSYALGTYDDKTGKWYPDNPEIDVGIGIMLDYGMFYASKTFYDQDKGRRVLWGWVAESDTEVDDVKKGWASLQGIPRTILLDTKTSSNLLQWPVEEVERLRLKGKEFNNIEVKTGSVMPLELDGATQLDIAAEFELDKKALESTAESNVDFSCSTSGGAAQRGALGPFGLLVLADDSLAEHTSVYFYVAKGNNGTHKTFFCTDQSRSSVANDVKKEIYGSYVPVLEGEKLSVRILVDHSIVESFAQGGRTVITSRVYPTRAIYGAARLFLFNNAIEATVTSSLKIWQMNSAFIRRYSNEQ >Potri.001G469800.1.v4.1 pep chromosome:Pop_tri_v4:1:49363301:49368069:1 gene:Potri.001G469800.v4.1 transcript:Potri.001G469800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469800.v4.1 MDQNLQVVGTQSQLEMGTVAQNGSPHEFEEQSLFSLELGTQKMQPGHISEYGSAPGGFQPGVSKMWRNVVYKSIKTVIFSNKLNVLIPFGPLAILVHILTGHNGLVFLLSLLGIIPLAERLGYATEQLAVYTGPTIGGLLNATFGNATELIIAIYALRHGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFYRKEQVFNKATATVNSGLLLMAVMGLLFPAVLHYTHTEVHYGKSELALSRFSSCIMLGVYAAYLFFQLKTQKDPYIPLSEEGSQNGENDNDDDETPEISKWESVFWLSIMTAWISILSEYLVDAIEGTSHTWNIPISFIGVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWIMGQPMDLNFKLFETATLFITVIVVAFFLQEGSSNYFKGLMLILCYVIVAASFFVHEDPPPEGKP >Potri.001G469800.7.v4.1 pep chromosome:Pop_tri_v4:1:49363254:49368101:1 gene:Potri.001G469800.v4.1 transcript:Potri.001G469800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469800.v4.1 MGTVAQNGSPHEFEEQSLFSLELGTQKMQPGHISEYGSAPGGFQPGVSKMWRNVVYKSIKTVIFSNKLNVLIPFGPLAILVHILTGHNGLVFLLSLLGIIPLAERLGYATEQLAVYTGPTIGGLLNATFGNATELIIAIYALRHGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFYRKEQVFNKATATVNSGLLLMAVMGLLFPAVLHYTHTEVHYGKSELALSRFSSCIMLGVYAAYLFFQLKTQKDPYIPLSEEGSQNGENDNDDDETPEISKWESVFWLSIMTAWISILSEYLVDAIEGTSHTWNIPISFIGVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWIMGQPMDLNFKLFETATLFITVIVVAFFLQEGSSNYFKGLMLILCYVIVAASFFVHEDPPPEGKP >Potri.001G469800.5.v4.1 pep chromosome:Pop_tri_v4:1:49363242:49368090:1 gene:Potri.001G469800.v4.1 transcript:Potri.001G469800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469800.v4.1 MDQNLQVVGTQSQLEMGTVAQNGSPHEFEEQSLFSLELGTQKMQPGHISEYGSAPGGFQPGVSKMWRNVVYKSIKTVIFSNKLNVLIPFGPLAILVHILTGHNGLVFLLSLLGIIPLAERLGYATEQLAVYTGPTIGGLLNATFGNATELIIAIYALRHGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFYRKEQVFNKATATVNSGLLLMAVMGLLFPAVLHYTHTEVHYGKSELALSRFSSCIMLGVYAAYLFFQLKTQKDPYIPLSEEGSQNGENDNDDDETPEISKWESVFWLSIMTAWISILSEYLVDAIEGTSHTWNIPISFIGVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWIMGQPMDLNFKLFETATLFITVIVVAFFLQEGSSNYFKGLMLILCYVIVAASFFVHEDPPPEGKP >Potri.001G469800.6.v4.1 pep chromosome:Pop_tri_v4:1:49363306:49368190:1 gene:Potri.001G469800.v4.1 transcript:Potri.001G469800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469800.v4.1 MDQNLQVVGTQSQLEMGTVAQNGSPHEFEEQSLFSLELGTQKMQPGHISEYGSAPGGFQPGVSKMWRNVVYKSIKTVIFSNKLNVLIPFGPLAILVHILTGHNGLVFLLSLLGIIPLAERLGYATEQLAVYTGPTIGGLLNATFGNATELIIAIYALRHGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFYRKEQVFNKATATVNSGLLLMAVMGLLFPAVLHYTHTEVHYGKSELALSRFSSCIMLGVYAAYLFFQLKTQKDPYIPLSEEGSQNGENDNDDDETPEISKWESVFWLSIMTAWISILSEYLVDAIEGTSHTWNIPISFIGVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWIMGQPMDLNFKLFETATLFITVIVVAFFLQEGSSNYFKGLMLILCYVIVAASFFVHEDPPPEGKP >Potri.001G469800.3.v4.1 pep chromosome:Pop_tri_v4:1:49363306:49368089:1 gene:Potri.001G469800.v4.1 transcript:Potri.001G469800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469800.v4.1 MDQNLQVMGTVAQNGSPHEFEEQSLFSLELGTQKMQPGHISEYGSAPGGFQPGVSKMWRNVVYKSIKTVIFSNKLNVLIPFGPLAILVHILTGHNGLVFLLSLLGIIPLAERLGYATEQLAVYTGPTIGGLLNATFGNATELIIAIYALRHGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFYRKEQVFNKATATVNSGLLLMAVMGLLFPAVLHYTHTEVHYGKSELALSRFSSCIMLGVYAAYLFFQLKTQKDPYIPLSEEGSQNGENDNDDDETPEISKWESVFWLSIMTAWISILSEYLVDAIEGTSHTWNIPISFIGVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWIMGQPMDLNFKLFETATLFITVIVVAFFLQEGSSNYFKGLMLILCYVIVAASFFVHEDPPPEGKP >Potri.001G469800.2.v4.1 pep chromosome:Pop_tri_v4:1:49363241:49368090:1 gene:Potri.001G469800.v4.1 transcript:Potri.001G469800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469800.v4.1 MGTVAQNGSPHEFEEQSLFSLELGTQKMQPGHISEYGSAPGGFQPGVSKMWRNVVYKSIKTVIFSNKLNVLIPFGPLAILVHILTGHNGLVFLLSLLGIIPLAERLGYATEQLAVYTGPTIGGLLNATFGNATELIIAIYALRHGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFYRKEQVFNKATATVNSGLLLMAVMGLLFPAVLHYTHTEVHYGKSELALSRFSSCIMLGVYAAYLFFQLKTQKDPYIPLSEEGSQNGENDNDDDETPEISKWESVFWLSIMTAWISILSEYLVDAIEGTSHTWNIPISFIGVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVIGWIMGQPMDLNFKLFETATLFITVIVVAFFLQEGSSNYFKGLMLILCYVIVAASFFVHEDPPPEGKP >Potri.018G057700.2.v4.1 pep chromosome:Pop_tri_v4:18:5743663:5746894:-1 gene:Potri.018G057700.v4.1 transcript:Potri.018G057700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057700.v4.1 MAIAAEAQPQEKARTDVSGAEKKRWTLNDFDMGKPLGRGKFGHVYLAREKRSNHIVALKVLFKSQLQQSQVEHQLRREVEIQSHLRHPNILRLYGYFYDQKRVYLILEYAAKGELYKELQRCKYFSERRAATYVASLARALIYCHSKHVIHRDIKPENLLIGAQGELKIADFGWSVHTFNRRRTMCGTLDYLPPEMVQSVEHDASVDIWSLGVLCYEFLYGIPPFEAKEHSDTYKRIVQVDLKFPPKPIVSSAAKDLISQMLVKDSVERLPLHKLLEHPWIVQNVDPSGIFRG >Potri.010G058700.1.v4.1 pep chromosome:Pop_tri_v4:10:8911051:8913291:1 gene:Potri.010G058700.v4.1 transcript:Potri.010G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058700.v4.1 MGNCASVRKKAGPAMKFSCPIDSRGNCIHIESPVKGSSKVYGDHSMTEKLNSKPQSLSPKPCQASFHDMGNQEDMFFDSHPWIESDCEDYLSVDGDFTPSRGTTPIHQGSYIETPPREESLCIITSARSIAEPSPADMKKQLIELFRENISSDLANNNQSFQDKVNGKPIAAYLSPKYTSRSPYQSAESSVRSSETTPHRDSKSGKEKPTHSAHCCLPNVVRSLSFTERKRRLSHAYGGGQ >Potri.018G044900.1.v4.1 pep chromosome:Pop_tri_v4:18:3768036:3769919:-1 gene:Potri.018G044900.v4.1 transcript:Potri.018G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G044900.v4.1 MEAPEFYGASGFFSSQFTSNEKHHSLDSTNKSIGGGDHFIVEDLLDFSNEDDDAMITDPNNNNTIVTATTNSTDSSTVTVVDSCNSSSFSGREPSSFNGDIGSHHTNNFSHHDVHLGSELCVPYDDLAELEWLSNFVEESFSSEDLQRLQLISGMKARPDESSKSRHFRTHGDTDDNNNGDVSNISNINNTMFNPETAVPAKARSKRSRAAPGNWASRLLVLSPTTSSSDTEIIAGPTPHPNSGKKTIKVEARQKKRDGGVEGCDGRKCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFMLTKHSNSHRKVLELRRQKEMVRAQQQHQHQQFLHHHQNMVFGVSNGGDDYLIHQHVGPDFRQMI >Potri.014G116000.1.v4.1 pep chromosome:Pop_tri_v4:14:7809084:7813718:-1 gene:Potri.014G116000.v4.1 transcript:Potri.014G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116000.v4.1 MEETFVPLRGIKNDLRGRLRCYKQDWTGGFKSGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTSICGIIHSIVGGQPLLILGVAEPTVIMYTFMFNFAKERADLGRDLFLAWTGWVCVWTALLLFLLAVLGASSIINRFTRIAGELFGLLIAMLFMQQAIKGLVEEFRIPQREDPKSTEFIPSWRFANGMFALVLSFGLLLTGLRSRKARSWRYGSGWLRSLIADYGVPLMVLVWTAVSYIPTGNVPKGIPRRLFSPNPWTPGAYENWTVIKEMLNVPIFYIIGAFVPATMIAVLYYFDHSVASQLSQQKEFNLRKPSSYHYDLLLLGFLTLMCGLLGIPPSNGVIPQSPMHTKSLATLKYQLLRNRLVQTARRSLRKNASLGQLYGNMQEAYQQMQTPLVYQQPAQGLKEFKESTIQASTCTGHIDAPVDETVFDIEKEIDDLLPVEVKEQRLSNILQATMVGGCVAAMPFLKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDYHATFVETVPFKTIAMFTIFQTTYLLICFGLTWVPIAGVMFPLMIMLLVPVRQYCLPKFFKGAHLQDLDAAAYEEAPALPFNLATEAEMGAGAAYGGDGEILDEVITRSRGEFRHTSSPKITSSTATPANNPKSHQSPRLSYTYSPRVSELRGEKSPKPGARGSNSPITGDQKFSKLGKSPSSSEQN >Potri.004G062100.1.v4.1 pep chromosome:Pop_tri_v4:4:5242034:5243618:1 gene:Potri.004G062100.v4.1 transcript:Potri.004G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062100.v4.1 MKWGRKKTPSSSRPSLISHVFPTSWLTKFKHMSINPGQEHAKAKQKGKWNSVSASPLPFARGEGGGRFYGGDGDAFWRLSFGDESASTGALSSFHNDLDSELQAPPSSCHSCRSNATRVNNRKEDKIRFSNKVSEARKMRGLPREIEILPEMDACISEKVAEIRTPRLRVGREEKLRKTDQRVFEAQQFNLDGESYEAERVSRKETSKNISETESERTIGRIEREDCKLTASHSKKDFSTHLRKTKKDFVFAAQNESDGFSAENLSSEWQTLKDMKIEELKTKREKQRKSLYINRELQRKKKSKVRAISPRTASKVEICRIKALEDMKKAKMKKKKKVREKKMEGFTGLENFAVVKTSFDPQKDFRDSMIEMIEEKRISRSEELEELLACYLTLNADEYHDLIVKVFRQVWFDLNEACSDTELENEQGYDE >Potri.007G000500.12.v4.1 pep chromosome:Pop_tri_v4:7:28323:34374:1 gene:Potri.007G000500.v4.1 transcript:Potri.007G000500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000500.v4.1 MAFWGVEVKPGKPFIHAPNNGRRLHISQATLGTGSSMKNSVVQCNVGNSSPVYLCSLFPEKTEISQLHLEFEETVEVVFSVIGPRSVHLTGYYLGGRCGQHFHPDDETESYGEDIADTETERSANGSDEDEYEGSFINDDEDPEIMSPSTVYSSEVEEIFDKKKRKNGKGSHKRLRKKFQFIESDDEDKMPISFLHERESAVKSMGSEAGEKCEKEKGETSEKKVKDNGNWVTVSKGNAGAILGVSKRQIDDHHSFLPSSDMGSQNGAKPKKKGEKHYREEVPLEDDFFFCRALGQQKSIQSEVEADKLDLDLPVTKEDQKTTNDKNVEKLKRRRKKYAKEKESLDADNHLSYKHKAQSDEAEAKNTLQDMLVTDKESHKETNDEVKNTLQDMLVTDKGNQKDTNDELVQFCITCHTNMHITRPPLPPQIKY >Potri.007G000500.3.v4.1 pep chromosome:Pop_tri_v4:7:28321:34837:1 gene:Potri.007G000500.v4.1 transcript:Potri.007G000500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000500.v4.1 MAFWGVEVKPGKPFIHAPNNGRRLHISQATLGTGSSMKNSVVQCNVGNSSPVYLCSLFPEKTEISQLHLEFEETVEVVFSVIGPRSVHLTGYYLGGRCGQHFHPDDETESYGEDIADTETERSANGSDEDEYEGSFINDDEDPEIMSPSTVYSSEVEEIFDKKKRKNGKGSHKRLRKKFQFIESDDEDKMPISFLHERESAVKSMGSEAGEKCEKEKGETSEKKVKDNGNWVTVSKGNAGAILGVSKRQIDDHHSFLPSSDMGSQNGAKPKKKGEKHYREEVPLEDDFFFCRALGQQKSIQSEVEADKLDLDLPVTKEDQKTTNDKNVEKLKRRRKKYAKEKESLDADNHLSYKHKAQSDEAEAKNTLQDMLVTDKESHKETNDEVKNTLQDMLVTDKGNQKDTNDEEDKVQDEAKAGKTGQDMLLVKKENQKQADDDRAVLAWNSNLPPTQLDLESVTKPKKKRKHANKKVLDAIKEHEGKEDDFKTDCHDHELSVQDEHEIGAKPKRKRKEQADKKIPGTCSGSHNNAIKEEEGKQDEDKPTSLDPVVFMQEEQNQKQQSFVTFNVDQSAEESHSKKKKKMRKNEESKKSRTRESMEASNGINA >Potri.007G000500.8.v4.1 pep chromosome:Pop_tri_v4:7:28323:34791:1 gene:Potri.007G000500.v4.1 transcript:Potri.007G000500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000500.v4.1 MAFWGVEVKPGKPFIHAPNNGRRLHISQATLGTGSSMKNSVVQCNVGNSSPVYLCSLFPEKTEISQLHLEFEETVEVVFSVIGPRSVHLTGYYLGGRCGQHFHPDDETESYGEDIADTETERSANGSDEDEYEGSFINDDEDPEIMSPSTVYSSEVEEIFDKKKRKNGKGSHKRLRKKFQFIESDDEDKMPISFLHERESAVKSMGSEAGEKCEKEKGETSEKKVKDNGNWVTVSKGNAGAILGVSKRQIDDHHSFLPSSDMGSQNGAKPKKKGEKHYREEVPLEDDFFFCRALGQQKSIQSEVEADKLDLDLPVTKEDQKTTNDKNVEKLKRRRKKYAKEKESLDADNHLSYKHKAQSDEAEAKNTLQDMLVTDKESHKETNDEVKNTLQDMLVTDKGNQKDTNDEEDKVQDEAKAGKTGQDMLLVKKENQKQADDEAVLAWNSNLPPTQLDLESVTKPKKKRKHANKKVLDAIKEHEGKEDDFKTDCHDHELSVQDEHEIGAKPKRKRKEQADKKIPGTCSGSHNNAIKEEEGKQDEDKPTSLDPVVFMQEEQNQKQQSFVTFNVDQSAEESHSKKKKKMRKNEESKKSRTRESMEASNGINA >Potri.007G000500.9.v4.1 pep chromosome:Pop_tri_v4:7:28327:34781:1 gene:Potri.007G000500.v4.1 transcript:Potri.007G000500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000500.v4.1 MKNSVVQCNVGNSSPVYLCSLFPEKTEISQLHLEFEETVEVVFSVIGPRSVHLTGYYLGGRCGQHFHPDDETESYGEDIADTETERSANGSDEDEYEGSFINDDEDPEIMSPSTVYSSEVEEIFDKKKRKNGKGSHKRLRKKFQFIESDDEDKMPISFLHERESAVKSMGSEAGEKCEKEKGETSEKKVKDNGNWVTVSKGNAGAILGVSKRQIDDHHSFLPSSDMGSQNGAKPKKKGEKHYREEVPLEDDFFFCRALGQQKSIQSEVEADKLDLDLPVTKEDQKTTNDKNVEKLKRRRKKYAKEKESLDADNHLSYKHKAQSDEAEAKNTLQDMLVTDKESHKETNDEVKNTLQDMLVTDKGNQKDTNDEEDKVQDEAKAGKTGQDMLLVKKENQKQADDDRAVLAWNSNLPPTQLDLESVTKPKKKRKHANKKVLDAIKEHEGKEDDFKTDCHDHELSVQDEHEIGAKPKRKRKEQADKKIPGTCSGSHNNAIKEEEGKQDEDKPTSLDPVVFMQEEQNQKQQSFVTFNVDQSAEESHSKKKKKMRKNEESKKSRTRESMEASNGINA >Potri.007G000500.10.v4.1 pep chromosome:Pop_tri_v4:7:28321:34837:1 gene:Potri.007G000500.v4.1 transcript:Potri.007G000500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000500.v4.1 MKNSVVQCNVGNSSPVYLCSLFPEKTEISQLHLEFEETVEVVFSVIGPRSVHLTGYYLGGRCGQHFHPDDETESYGEDIADTETERSANGSDEDEYEGSFINDDEDPEIMSPSTVYSSEVEEIFDKKKRKNGKGSHKRLRKKFQFIESDDEDKMPISFLHERESAVKSMGSEAGEKCEKEKGETSEKKVKDNGNWVTVSKGNAGAILGVSKRQIDDHHSFLPSSDMGSQNGAKPKKKGEKHYREEVPLEDDFFFCRALGQQKSIQSEVEADKLDLDLPVTKEDQKTTNDKNVEKLKRRRKKYAKEKESLDADNHLSYKHKAQSDEAEAKNTLQDMLVTDKESHKETNDEVKNTLQDMLVTDKGNQKDTNDEEDKVQDEAKAGKTGQDMLLVKKENQKQADDEAVLAWNSNLPPTQLDLESVTKPKKKRKHANKKVLDAIKEHEGKEDDFKTDCHDHELSVQDEHEIGAKPKRKRKEQADKKIPGTCSGSHNNAIKEEEGKQDEDKPTSLDPVVFMQEEQNQKQQSFVTFNVDQSAEESHSKKKKKMRKNEESKKSRTRESMEASNGINA >Potri.007G000500.11.v4.1 pep chromosome:Pop_tri_v4:7:28318:33649:1 gene:Potri.007G000500.v4.1 transcript:Potri.007G000500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000500.v4.1 MAFWGVEVKPGKPFIHAPNNGRRLHISQATLGTGSSMKNSVVQCNVGNSSPVYLCSLFPEKTEISQLHLEFEETVEVVFSVIGPRSVHLTGYYLGGRCGQHFHPDDETESYGEDIADTETERSANGSDEDEYEGSFINDDEDPEIMSPSTVYSSEVEEIFDKKKRKNGKGSHKRLRKKFQFIESDDEDKMPISFLHERESAVKSMGSEAGEKCEKEKGETSEKKVKDNGNWVTVSKGNAGAILGVSKRQIDDHHSFLPSSDMGSQNGAKPKKKGEKHYREEVPLEDDFFFCRALGQQKSIQSEVEADKLDLDLPVTKEDQKTTNDKNVEKLKRRRKKYAKEKESLDADNHLSYKHKAQSDEAEAKNTLQDMLVTDKESHKETNDEVKNTLQDMLVTDKGNQKDTNDEEDKVQDEAKAGKTGQDMLLVKKENQKQADDDRAVLAWNSNLPPTQLDLESVTKPKKKRKHANKKVLDAIKEHEGKEDDFKTDCHDHELSVQDEHEIGAKPKRKRKEQADKKIPGTCSGSHNNAIKEEEGKQDEDKPTSLDPVVFMQEEQNQKQQSFV >Potri.003G039100.3.v4.1 pep chromosome:Pop_tri_v4:3:4396810:4403828:-1 gene:Potri.003G039100.v4.1 transcript:Potri.003G039100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039100.v4.1 MGMQLEGFVLVIMFVVGGALSVLGSPVPVAPSPSRLPQKPPTASPIRPSQQPSIQPDPAFYSKQAPSNLSRGRHRNKGVTPSYKASHISSSSDHSPTKVVAPHPHYAIRPSSIALAPSISSFGTPAKEWVHRPAYSPSISNHRHHGRGRNHVKIPEPSYRIPPPEYNPLGPSASPFPSMSWESPVPALSPASNFTMRSHPPAMSPFDPSLKKMKAPPPLQILTLPPPPPNEDCTSPTCSEPLTYTPAGSPCGCVWPIQVKLRFSVAIDTFFTLVTELAEEIAASVALNHSQVRIMGANAASQELEKSTVLINLVPRGVKFDDSSAFSIYKKFWNRQVLIKPSLFGAYEVLYVHYPGLPPSPPSPESTSTVDDGPYTGHDNNGRTNKPLGVDVPRRKKKGLGGSMVAVIVLSSFTVLVICMAIAWLLLLKCGTQVHEPQQVLQELESSPVKASGATGPMIFGSMPTSESMSLSSGAMTYTGSAKTFTLNDIERATNSFDASRILGEGGFGLVYGGLLDDGREVAVKVLKRDDQHGGREFLAEVEMLSRLHHRNLVKLVGICTEGHTRCLVYELIPNGSVESHLHGVDQETDPLDWDARMKIALGAARGLAYLHEDSSPSVIHRDFKSSNILLEPDFTPKVSDFGLAKAAVDGGNKHISTHIMGTFGYLAPEYAMMGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVVYARPLLTCKEGLEAIVDPTIRSSVSFDTITKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEANMQRSRSCSHENLLIDLESKDEVSGENIESSHPVPLSKSDLLTTSMGFEGQEVGSFRRHASSGTLRIGRRHFWQRLRNLSRGSMSEHGFSHKLWP >Potri.003G039100.5.v4.1 pep chromosome:Pop_tri_v4:3:4396806:4403552:-1 gene:Potri.003G039100.v4.1 transcript:Potri.003G039100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039100.v4.1 MGMQLEGFVLVIMFVVGGALSVLGSPVPVAPSPSRLPQKPPTASPIRPSQQPSIQPDPAFYSKQAPSNLSRGRHRNKGVTPSYKASHISSSSDHSPTKGPSASPFPSMSWESPVPALSPASNFTMRSHPPAMSPFDPSLKKMKAPPPLQILTLPPPPPNEDCTSPTCSEPLTYTPAGSPCGCVWPIQVKLRFSVAIDTFFTLVTELAEEIAASVALNHSQVRIMGANAASQELEKSTVLINLVPRGVKFDDSSAFSIYKKFWNRQVLIKPSLFGAYEVLYVHYPGLPPSPPSPESTSTVDDGPYTGHDNNGRTNKPLGVDVPRRKKKGLGGSMVAVIVLSSFTVLVICMAIAWLLLLKCGTQVHEPQQVLQELESSPVKASGATGPMIFGSMPTSESMSLSSGAMTYTGSAKTFTLNDIERATNSFDASRILGEGGFGLVYGGLLDDGREVAVKVLKRDDQHGGREFLAEVEMLSRLHHRNLVKLVGICTEGHTRCLVYELIPNGSVESHLHGVDQETDPLDWDARMKIALGAARGLAYLHEDSSPSVIHRDFKSSNILLEPDFTPKVSDFGLAKAAVDGGNKHISTHIMGTFGYLAPEYAMMGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVVYARPLLTCKEGLEAIVDPTIRSSVSFDTITKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEANMQRSRSCSHENLLIDLESKDEVSGENIESSHPVPLSKSDLLTTSMGFEGQEVGSFRRHASSGTLRIGRRHFWQRLRNLSRGSMSEHGFSHKLWP >Potri.003G039100.4.v4.1 pep chromosome:Pop_tri_v4:3:4396808:4403387:-1 gene:Potri.003G039100.v4.1 transcript:Potri.003G039100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039100.v4.1 MGMQLEGFVLVIMFVVGGALSVLGSPVAPSPSRLPQKPPTASPIRPSQQPSIQPDPAFYSKQAPSNLSRGRHRNKGVTPSYKASHISSSSDHSPTKVVAPHPHYAIRPSSIALAPSISSFGTPAKEWVHRPAYSPSISNHRHHGRGRNHVKIPEPSYRIPPPEYNPLGPSASPFPSMSWESPVPALSPASNFTMRSHPPAMSPFDPSLKKMKAPPPLQILTLPPPPPNEDCTSPTCSEPLTYTPAGSPCGCVWPIQVKLRFSVAIDTFFTLVTELAEEIAASVALNHSQVRIMGANAASQELEKSTVLINLVPRGVKFDDSSAFSIYKKFWNRQVLIKPSLFGAYEVLYVHYPGLPPSPPSPESTSTVDDGPYTGHDNNGRTNKPLGVDVPRRKKKGLGGSMVAVIVLSSFTVLVICMAIAWLLLLKCGTQVHEPQQVLQELESSPVKASGATGPMIFGSMPTSESMSLSSGAMTYTGSAKTFTLNDIERATNSFDASRILGEGGFGLVYGGLLDDGREVAVKVLKRDDQHGGREFLAEVEMLSRLHHRNLVKLVGICTEGHTRCLVYELIPNGSVESHLHGVDQETDPLDWDARMKIALGAARGLAYLHEDSSPSVIHRDFKSSNILLEPDFTPKVSDFGLAKAAVDGGNKHISTHIMGTFGYLAPEYAMMGHLLVKSDVYSYGVVLLELLTGRKPVDLSQPPGQENLVVYARPLLTCKEGLEAIVDPTIRSSVSFDTITKVAAIASMCVQPEVSHRPFMGEVVQALKLVCNEFDEANMQRSRSCSHENLLIDLESKDEVSGENIESSHPVPLSKSDLLTTSMGFEGQEVGSFRRHASSGTLRIGRRHFWQRLRNLSRGSMSEHGFSHKLWP >Potri.004G176600.1.v4.1 pep chromosome:Pop_tri_v4:4:19152469:19156333:-1 gene:Potri.004G176600.v4.1 transcript:Potri.004G176600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176600.v4.1 MTQDVEMKEQQQQPSNSTTSSSPSTLHHLKEIASLIETGAYAKEARRIVRAVRLTMTLRRKLKASVLSAFLNFALSAGSEPFNRLISYLPKEDAHEMEVDSVTSVTQAPAKYPLPELEIYCYLLVLIFLIDQKKYNEAKTCSSASIARLKNLNRRTVDVLASRLYSYYSLSYELTGDLAEIRGNLLALHRVATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPAAALGFRVLCNKWAVIVRLLLGEIPERTVFMQKGMENALRPYFELTNAVRIGDLELFKSIAEKFSSTFNADRTLNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSANPVAEAESIVAKAIRDGAIDATLDHANGWMVSKETGDIYSTNEPQIAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >Potri.T001933.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:136964:142401:1 gene:Potri.T001933.v4.1 transcript:Potri.T001933.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T001933.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLTAMTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVLPIFYDIDPSYVRKQDGSFAEAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIEGIIKDVLNKLDPKYLYVPEHLVGMDRVAHNIYDFLSTATDDVRIGGMHGMPGIGKTTIAKVVFNKLCYGFEGSCFLSDINERSKQVNGLVPLQKQLLHDILKQDVANFDCADRGKVLIKERLRRKRVLVVADDVAHLDQLNALMGDRSWFGPGSRVIITTRYSSLLREADQTYQIKELEPDESLQLFSRHAFKDSKPAKDYIKLSKDAVDYCGGLPLALEVIGALLYRKNRGEWESEIDNLSRIPNQDIQGKLLISYHALDGELQRAFLDIACFFIGIEKEYVAKVLGARCRLNPEVVLKTLRERSLIQFHWCNIKDERQLFGEMVAMHDLLRDMGREVVCNASPLHLGKRTRIWNKDDAWNVLDHQKGTDVVEGLALDVRASEAKSLGGGSFAEMKFLNLLQINGVHLTGSFKLLSKELMWICWHECPLKYFPSNFTLDNLVVLDMQHSNLKELWKEKKVRNMLQSPKFLQYVIYIYM >Potri.T001933.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:137230:142387:1 gene:Potri.T001933.v4.1 transcript:Potri.T001933.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T001933.v4.1 MTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVLPIFYDIDPSYVRKQDGSFAEAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIEGIIKDVLNKLDPKYLYVPEHLVGMDRVAHNIYDFLSTATDDVRIGGMHGMPGIGKTTIAKVVFNKLCYGFEGSCFLSDINERSKQVNGLVPLQKQLLHDILKQDVANFDCADRGKVLIKERLRRKRVLVVADDVAHLDQLNALMGDRSWFGPGSRVIITTRYSSLLREADQTYQIKELEPDESLQLFSRHAFKDSKPAKDYIKLSKDAVDYCGGLPLALEVIGALLYRKNRGEWESEIDNLSRIPNQDIQGKLLISYHALDGELQRAFLDIACFFIGIEKEYVAKVLGARCRLNPEVVLKTLRERSLIQFHWCNIKDERQLFGEMVAMHDLLRDMGREVVCNASPLHLGKRTRIWNKDDAWNVLDHQKGTDVVEGLALDVRASEAKSLGGGSFAEMKFLNLLQINGVHLTGSFKLLSKELMWICWHECPLKYFPSNFTLDNLVVLDMQHSNLKELWKEKKMLDKLKILDLSHSQHLIKTPNLHSSSLEKLILEGCSSLVEVHQSIENLTSLVFLNLEGCWRLKILPESFANVKSLKCLNISGCLQLEKLPERMGDMESLTKLLAYGIENEQFLSSIGQLKYVGTLSLRGCSSAPPSSSLISTGVLNWKRWLPASFIEWISVKHLKLSNCGLSDRATNCVDFSGLSALEYLNLDGNKFSSLPTGLGFLPYLLTLIVDACKYLVSIPDLPSRLCILHANHCKSLKRVRIPIEREEELCIQLGGSHSLEEIQGIESLSNSFWYVCVGEHSHSPNKFQKSVVEAMCNGRDPYCIANIPGKMPNWMSYRGEGCSLSFHIPPVFQGLVLWFHKDSHCHFHIDIIIIIRNKSNGIQLFEEKQLPIDTVRGRVGWTRYISRSEMAMEDYCGDDELELYVYSKPSILAVYRGWQLKPGHIKEYGVHVIAGKSDSFEESAVGRDAVMPSPPLYHLLPHPHCGSITASTPKQWSDYLSAKLEGHSLDLRLDGRKWLEGGEGFD >Potri.T001933.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:137028:142424:1 gene:Potri.T001933.v4.1 transcript:Potri.T001933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T001933.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLTAMTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVLPIFYDIDPSYVRKQDGSFAEAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIEGIIKDVLNKLDPKYLYVPEHLVGMDRVAHNIYDFLSTATDDVRIGGMHGMPGIGKTTIAKVVFNKLCYGFEGSCFLSDINERSKQVNGLVPLQKQLLHDILKQDVANFDCADRGKVLIKERLRRKRVLVVADDVAHLDQLNALMGDRSWFGPGSRVIITTRYSSLLREADQTYQIKELEPDESLQLFSRHAFKDSKPAKDYIKLSKDAVDYCGGLPLALEVIGALLYRKNRGEWESEIDNLSRIPNQDIQGKLLISYHALDGELQRAFLDIACFFIGIEKEYVAKVLGARCRLNPEVVLKTLRERSLIQFHWCNIKDERQLFGEMVAMHDLLRDMGREVVCNASPLHLGKRTRIWNKDDAWNVLDHQKGTDVVEGLALDVRASEAKSLGGGSFAEMKFLNLLQINGVHLTGSFKLLSKELMWICWHECPLKYFPSNFTLDNLVVLDMQHSNLKELWKEKKMLDKLKILDLSHSQHLIKTPNLHSSSLEKLILEGCSSLVEVHQSIENLTSLVFLNLEGCWRLKILPESFANVKSLKCLNISGCLQLEKLPERMGDMESLTKLLAYGIENEQFLSSIGQLKYVGTLSLRGCSSAPPSSSLISTGVLNWKRWLPASFIEWISVKHLKLSNCGLSDRATNCVDFSGLSALEYLNLDGNKFSSLPTGLGFLPYLLTLIVDACKYLVSIPDLPSRLCILHANHCKSLKRVRIPIEREEELCIQLGGSHSLEEIQGIESLSNSFWYVCVGEHSHSPNKFQKSVVEAMCNGRDPYCIANIPGKMPNWMSYRGEGCSLSFHIPPVFQGLVLWFHKDSHCHFHIDIIIIIRNKSNGIQLFEEKQLPIDTVRGRVGWTRYISRSEMAMEDYCGDDELELYVYSKPSILAVYRGWQLKPGHIKEYGVHVIAGKSDSFEESAVGRDAVMPSPPLYHLLPHPHCGSITASTPKQWSDYLSAKLEGHSLDLRLDGRKWLEGGEGFD >Potri.011G158600.1.v4.1 pep chromosome:Pop_tri_v4:11:18424395:18426305:1 gene:Potri.011G158600.v4.1 transcript:Potri.011G158600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158600.v4.1 MERTIFNMRFLLLIFLAPSVWPASSSSAHEKFLQCFSSRIQPSNPVSEVILTKNSSDYSSVLRSSIRNYRFLNTSIVKPQFIITPFDESHIQAAIVCAKEYGMQIRVRSGGHDYEGLSFESYQEFVLVDLAKLSSIIVDIENETAWIGAGASIGELYYKIADKSKVHGFPAGSCPTVGVGGHFSGGGFGTIFRKYGLAADNVIDAQIVDANGRILDRESMGEDLFWAIRGGGAASFGVIFSWKLRLVSVPPTVTVFNIKRTLEQGASNLLQKWQSIGDKFHEDLFLHAAIEVVTSSSNGNKTIGVSFVSLFLGRAEELLPVMQDSFPELGLMRENCSEMSWIQSILYFGGFSPRDSLDVLLSRTAQFKGFFKGKSDYVKEPISETGLEGLYRRLLEEEASMLILTPYGGRMSEISDSEIPFPHRSGNIFKIQYLITWDVEEETERHLKWMRRLYAYMAPYVSNSPRAAYLNYRDLDLGRNNNGNTSFAKASVWGLKYFKNNFKRLVQVKTATDPSNFFRNEQSIPVLPSWRQRKMNLK >Potri.009G140300.1.v4.1 pep chromosome:Pop_tri_v4:9:11235799:11238445:1 gene:Potri.009G140300.v4.1 transcript:Potri.009G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140300.v4.1 MAGKASREWKVFPLVKSKEEKKRTIIVGLKSDNYSREMLLRFLHKVVNPRDNVLAIHVQEPSDTFDPNTFYIHEDICKAKQVDFLVKVCNGDSYISELGYQVRVNYATILAVGRSLSGIRQSVVNDCLKELPPTCSFLVMDKSGKIALQRQGTSQQGSIYALFRHPLSSSSKKSYFHQQRAASQLRKSLTVPSSSTASSIQQTDITARNNIRKAVQVPDFWAEKVSHGLLILEAKGLVKHFKFQELNLATNNFSPEMVIGVGGHSKVYRANLVDGQAVAVKILKETHFPAEDLLHEVRILSDVKHENIIQIIGYCYSKEMHAIVYNLLIGSLKQNLRQLKWNERMGVAVGVAQALEYLHHSFNPPIIHRDVKSSNILLSGTCQPQLSDFGAAMVNQPSKQNSASTKPFKVVGTFGYLAPEYMMYGKVDEKVDVYSYGVVLLELITGQEAIQTNEANHESLVLWARSLLNSGLCERLIDPHLSGDYKREEMEIMISVARLCLVHSSSRRPTMKMILRLFQEPEYWLNMQRERDKLLNAKSEEEKFTWRMDDSTSTVKSTLPVDDSYHEILPSEAPD >Potri.019G078300.1.v4.1 pep chromosome:Pop_tri_v4:19:11889262:11892071:-1 gene:Potri.019G078300.v4.1 transcript:Potri.019G078300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078300.v4.1 MACCFFNQPLLHLFFYFILFSSFIPFVFPLSTVSISETSNQTLVCALVHVPGATQSVLNCLSFPSGIQIPFNSNTSFSAIVSGDGFLCGLISLSPATSTLVCWRFSVNGTNMYYKRIYQDLALSQLEAGNSVTCGLVNDTNRLECWPRRKFKTSSVGQNFSSMAVGEDFVCGLLESGNITCLGSNNAVVRQQPKGNYSTIAAGSTHACAIKFNGELDCWGSMAGEKPPQGGFISMALGENRGCALRTNETVVCWGQGNFSLPERLRETYFSTIEAKRKVFCGVLKSNFSLYCWGNENFNPNSIVFKDVLPGPCRSSCGLDRIIEGSGRLCPEGQQICSHSKSNIHNSVPPAVAPPPPPTLENNQERCCSRCKWSGKMIAFLVVGCVGSLILLLVVGFFLFKYCKCRGCRVHDSGRLDGTGPGAPVEQGGGPSQPHAPRTEEASPVLEKRLSQLASMGNAGHLEEFSLQVILEATNNFSHDKTIGTGSFGSVYQATLEDGREVAIKRAEISNTSSYAVGTRRQEDKDSAFINELESLSRLHHKNLVRLLGFCEDSNERVLVYEYVHNGTLHDHLHKLDNSPLMSWAARIKVALDAARGVEYLHRYAVPPIIHRDIKSSNILLDSTWTAKVSDFGLSLMGPEDDMSHLSLSAAGTVGYIDPEYYRLQQLTTKSDVYSFGVVLLEILSGLKAIHKNENGVPRNVVDFVVPYIVQDEIHRVLDARVPPPTPFEIEAVIYIGYLAADCVTLEGRDRPSMAGVVNSLEKALAACLVHPTSLSRSTTGGST >Potri.017G134601.1.v4.1 pep chromosome:Pop_tri_v4:17:13652527:13655603:-1 gene:Potri.017G134601.v4.1 transcript:Potri.017G134601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134601.v4.1 MGSSRSNILCFLMLCASFLVPTSRHSYSHGALFIFGDSFYDAGNNIYLNTNIPKLNIFPYGETYFKHPTGRASDGRLIPDFISEFAKLPLIPLYLLPGNNQFTNGVNFASGGAGALVETNQGLIMDLKTQLSNFKNMEKQLRQKLGASEVKTLLSTAVYMFSIGSNDYLVPFITNSTVLQSYSKKEYVKMVIGNITTVIQEIYKIGGRKFGLSKLLPLGCPPISRALEIVRTGGSGCMEEVTVLSKLHNRALPKALKELKSQLKGYTYSIFDAYTAGTAIFNNPSKYGFEEVKMACCGRGPLRGSITCGQKVYQLCDNVSEYFFFDGIHPTEKANYQFAKLMWDGSPEIVKPYNLKTLFEK >Potri.005G099200.2.v4.1 pep chromosome:Pop_tri_v4:5:7128546:7134322:-1 gene:Potri.005G099200.v4.1 transcript:Potri.005G099200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MKVLLGLSVWTVYGGVVVVGAASESSDTTRKLDQTPTWAVAGICAVIIIISILLEKILHKVGTWFTEKHKKALFEALEKVKAELMILGFISLLLTFGQSYIAKICVPQDVAGTMLPCKKEGSEKSSSTEGEHRRALLWFDRRFLAGAESAVKCKDGYEQLISVEGLHQLHILIFFLAIFHVLFSVITMTLGRLKSRAWKRWELETLSHDYEFSNDPSRFRLAHETSFVRAHTNFWSRVPFFFHVGCFFQQFFSSVSRSDYLTLRNGFITVHLAPGSKFNFRKYLKRSLEDDFKLVVGVSPVLWASFVIFLLLNVNGWQSLFWASIIPVIIILAVGTKLQVIMMKMALEIKDRHAVVQGMPLVQGSDRYFWFGRPQLLLHLIHFALFQNAFQITYFLWIWYSFGLKSCFHDNFDVVIAKVALGVGALFLCSYITLPLYALVTQMGSRMKKSVFDEQTSKALKKWHMAVKKRHGKGGKTPARTLGSVSPAVSTVSSSHTLQRFKTTGHSTRSSYSYDDQDVSDLEAEALSPTTATTSFIVRVDDDHDDVHPTEVNLPQYEEEETRNEDDFSFVKPAPPKEP >Potri.015G137100.1.v4.1 pep chromosome:Pop_tri_v4:15:14573480:14574697:-1 gene:Potri.015G137100.v4.1 transcript:Potri.015G137100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137100.v4.1 MSGQTSPSNPTITDPYQHIQIIPNHDGTITRDPNRYPNSSPSPDPKDPTPVLSKDIIVNQSEKTWVRIFLPRQTIVDSSSTSKLPLIVYFHGGGFINCSASSTVFHDFCSSMVLDLHVVIVSVDYRLAPEHRLPAAYDDAMEVLQWIKTTQEDWLREYVDYSRCFLMGSSAGANAAYHAGLCASQEADNLVPLKIKGLILHHPFIGGVQRTGSEVKLVNEPHLPLCINDLMWNLALPLGVDRDHEYCNPMVDGGSKLWKNVRLLGWKVMVTGCDGDPMIDRQMEFVDMLVTKDVRVVGHFSTGGYHVVELKEPSKAKALHALLKDFMLDAPAA >Potri.008G036000.1.v4.1 pep chromosome:Pop_tri_v4:8:1972669:1973335:-1 gene:Potri.008G036000.v4.1 transcript:Potri.008G036000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036000.v4.1 MSSPTLFSMAFFYLILLLSPPPMLIGTNSMARVAVATRPLESKSSRYETLKPKTNHGQQEFHGREVENCLPKGFHPTSAPSRYINYHTLGSTRCTPSKHVDAP >Potri.011G073516.1.v4.1 pep chromosome:Pop_tri_v4:11:7629253:7633422:1 gene:Potri.011G073516.v4.1 transcript:Potri.011G073516.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073516.v4.1 MGDNNFNDNRYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNRNFTIAFNTTVTDRTLEIRLYWAGKGTTSIPRRGNYGPIISAISVCSELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSFLTLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKRNSSYRPENENVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVRMLEGQTSIPEVTSDPSIYGDDLHSKRVKGHYQQVTDQSLNSTQDLFPPSDKSWIGNSSTSAPDLYPINPESISLKLSETSSLI >Potri.010G062400.2.v4.1 pep chromosome:Pop_tri_v4:10:9164932:9167475:-1 gene:Potri.010G062400.v4.1 transcript:Potri.010G062400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062400.v4.1 MAMTLPYLTPSATSFSPTPFTSNKLHFILLSTLRPIHLSTQTSSLLVSSFGRQHPRHSFLLSLIFYFPLWRLTTFCSKTCPFLLIPSFHFKEPTHTLILMYNTCLKIPDMFPTSGPNHGFFAHRCVWVNGPVIVGAGPSGLAVGAGLKRQGVPFVILERANCIASLWQNRTYDRLKLHLPKQFCQLPDFPFPEEFPEYPTKYQFISYLASYAKHFDINPHFNEIVQSAKYDETFGLWRVKTISTSSSDIPSEVEYICKWLVVATGENAEKVLPEFEGLQDFGGHVMHACDYKSGESYHGKRVLVVGCGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREVLGRSTFELAVTMMKWLPLWMVDKVLLLLSRLILGNVEKYGLKRPCLGPLQLKDTQGKTPVLDIGALEKIRSGKIKVVPGIKRFSSGKVELVNSEILEIDSVILATGYRSNVPSWLKENEFFTEDGIPKNPFPNGWKGNAGLYAVGFTRRGLSGASLDAMSVALDIAKIWKEETKQKKKTVAARHRRCISHF >Potri.006G280200.1.v4.1 pep chromosome:Pop_tri_v4:6:26977449:26987610:-1 gene:Potri.006G280200.v4.1 transcript:Potri.006G280200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280200.v4.1 MEGSNSLDDSPSRQAQAQTQTHSGGGGGGDAPSNPSQPQPQSQREGEEVDETKKEEEELIEKAQKWIDKITSSPDNPNPTLLHALSSLLETQESLYMKENGNSSFNNSRASHNIGRLGSLVRDNDEFFELISSRFLSETRYSTSIQAAAARLLMTCSLTWIYPHVFEDPVMENIKAWVMDEATRFPSEDRNWKHDMERKEGSDSEMLKTYSTGLLAVGLASGGQIVEDVLTSGLSAKLMRYLRIRVLGEASASQKDASYLTEGKNASSATCIRGREEGRCRVRQLPEATLENNIRAADERSLVDLDERSLESVGEDNDDIDADGGERRHGRDLRDVKTKFAELDESGRDDLLRRRPSRGWTRHRGRGRVNETALENEQVSTSPDSGSRSGPGRSARDRNSKNLLDVKKGPDTRKFQGNMHSDGLAVERDDNDDCFQGCRIGTKDISDLVKKAVQAAEAEARGANAPAGAIKAAGDAAAEDVKSAALEEFKSSNSEEAAVLAASRAASTVIDAANAIEVSRNSGGTNDDSMNLGGVEPEVNEDAEEYFIPDLESLAQLREKYCIQCLEILGEYVEVLGPVLHEKGVDVCLALLQRSYKHKGSSTAVTLLPDVMKLICALAAHRKFAALFVDRSGMQKLLSIPRVDETFFGLSSCLFTIGSLQGIMERVCALPSDVVHQVVELAIQLLECLQDQARKNAALFFGAAFVFRAVIDAFDAQDGLHKLLTLLNDAASVRSGVNSGALNLSNSTALRNDRSSAEVLTSSEKQIAYHTCVALRQYFRAHLLLLVDSIRPNKNNRNVARNVPSVRAAYKPLDISNEAMDAVFLQLQKDRKLGSAFVRTRFPAVDKFLGFNGHVTMLELCQAPPIVERYLHDLLQYAFGVLHIVTLVNDSRKMIVNATLSNNRVGIAIILDAANISSNYVDPEIIQPALNVLINLVCPPPSISNKPPLIAPGQQSVSGQSSNPVQMPGQTEQRNGESSAVDRSIAVGSASRSASSTSQTPVPTAASGLVGDRRIYLGTGAGCAGLAAQMEQVYRQARDAVRANNGIKVLLHLLQPRVYSPPAALDCIRALACRVLLGLARDDTIAHILTKLQVGKKLSELIRDLGSHTPGTEQGRWQAELAQMAIELIAIVTNSGRASTLAATDAATPALKRIERAAIAAATPITYHSRELLLLIHEHLQASGLASAAAMLLKEAQLTPLPSLAAASSLSHQASTQETPSIQIHWPSGRTPCGFLYDKLKATGCSDNSSLKCEATVSSKKKSLVFSPTFGSQSRNQSQFIDSEQLPLKKVLSSLKQSTASPNPLEAPPESLQKSNPETESICKTPILLPMKRKLSDLKDFGLASSGKRINTGEHGLRSPGCLTPNTARKIGSLSDAVGFSTPASGLRDIHGRSTPSTLADYADDNQYGSYMQSGPLNDNQSSNSERLTLDSLVVQYLKHQHRQCPAPITTLPPLSLLHPHVCPEPKRSLDAPSNVTARLGTREFRSIYGGVHGNRRDRQFVYSRFRPWRTCRDDAGALLTCITFLGDSSHIAVGSHAGELKIFDSNSNNVLESCTSHQSPLTLVQSYVCGETQLVLSSSSQDVRLWDASSISGGPIHSLDGCKAATFSNSGNVFAALTTEQARREIMLYDVQTCHVESTLSDTVSSSTGRGHVYSLVHFSPSDTMLLWNGVLWDRRQSGPVHRFDQFTDYGGGGFHPAGNEVIINSEVWDLRKFRLFRSVPSLDQTVITFNARGDVIYAILRRNLDDVMSAVHTRRVKHPLFAAFRTVDSINYSEIATTPVDRCVLDFATEATDSFAGLITMDDQEEMFSSARVYEIGRRRPTDDDSDPDDAESEEDEDDDDEDDGDVDPILGPGLDGDGDSDADDMSNDDDDDDSVSDLDDDDDDGDFMMDDVDFGGGAGILEIVTDGDEDDDDSQLLESYSSGDDDDFVGNGFY >Potri.005G130100.1.v4.1 pep chromosome:Pop_tri_v4:5:9991743:9992567:-1 gene:Potri.005G130100.v4.1 transcript:Potri.005G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130100.v4.1 MACSSLLPLLFLALCHSSTHGAAPASDHNPTQVTTAPVPLPNVAKEFLQSHNQARAAVGVGPLKWSEMLANATSRLVRYQRNKMGCQFANLSNSKYGANQLWASGMAVTPLMAVDHWVQEKNYYNHTNNSCAPSHRCGVYTQVVWRKSLELGCAQATCVKDQASLTICFYNPPGNIIGESPY >Potri.005G253500.1.v4.1 pep chromosome:Pop_tri_v4:5:24569949:24572872:-1 gene:Potri.005G253500.v4.1 transcript:Potri.005G253500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253500.v4.1 MFSERLTGEASLRRELEALSVSQRLVRSVSQKLRKRNHRGEGEEEDDSRGVSLKCLTLYGMGGGCKVGADTGEEFGDPSGRRRSSSASEEGKGYRPICGNEETGFDCFSYGMREKFWKKNSRKDLELEDLIQNSRLHMFLPDDVLELCLVRLPLTSLMNARLVCKKWRYLTTTPRFLQMRREGPYLNPWLFLFGAVKDGYCSGDIYALDVYQDQWHRIDSDILKGRFMFSVASFQDDIYVVGGCSSLTHFGRVDRSSFRTHKGVWAFSPLTKSWRKIASMRYARSMPVLGISEVRSDFSVVHSHQHRQERRFPRSRIAGVSDVYEDPHRFSLRRQYRNAFDEYEPSSLPNRKSHKFTRQKSGQSNMKDCKRFVLIAVGGLGSWDEPLDSGEIYDPIANKWTEIQRLPMDFGVVCSGVICNGMFYVYSETDKLMGYDIERGFWMAIQSSPFPPRVQEYYPKLVSCNGQLFMLSVSWCEGDGQIGQRNKAVRKLWELDLMYLNWTEVSVHPDAPMDWNAAFVADKNLIFGVEMFKIFGQVLDFLTVCDVSDTGTNWSHIARNHMTHEFDASSCMTKSLAVLYL >Potri.005G253500.2.v4.1 pep chromosome:Pop_tri_v4:5:24570174:24572878:-1 gene:Potri.005G253500.v4.1 transcript:Potri.005G253500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253500.v4.1 MFSERLTGEASLRRELEALSVSQRLVRSVSQKLRKRNHRGEGEEEDDSRGVSLKCLTLYGMGGGCKVGADTGEEFGDPSGRRRSSSASEEGKGYRPICGNEETGFDCFSYGMREKFWKKNSRKDLELEDLIQNSRLHMFLPDDVLELCLVRLPLTSLMNARLVCKKWRYLTTTPRFLQMRREGPYLNPWLFLFGAVKDGYCSGDIYALDVYQDQWHRIDSDILKGRFMFSVASFQDDIYVVGGCSSLTHFGRVDRSSFRTHKGVWAFSPLTKSWRKIASMRYARSMPVLGISEVRSDFSVVHSHQHRQERRFPRSRIAGVSDVYEDPHRFSLRRQYRNAFDEYEPSSLPNRKSHKFTRQKSGQSNMKDCKRFVLIAVGGLGSWDEPLDSGEIYDPIANKWTEIQRLPMDFGVVCSGVICNGMFYVYSETDKLMGYDIERGFWMAIQSSPFPPRVQEYYPKLVSCNGQLFMLSVSWCEGDGQIGQRNKAVRKLWELDLMYLNWTEVSVHPDAPMDWNAAFVADKNLIFGVEMFKIFGQVLDFLTVCDVSDTGTNWSHIARNHMTHEFDASSCMTKSLAVLYL >Potri.007G022300.1.v4.1 pep chromosome:Pop_tri_v4:7:1717725:1718311:-1 gene:Potri.007G022300.v4.1 transcript:Potri.007G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022300.v4.1 MLRALSTCRNHGGYERLVDEPVNISLLDGKLKRAKSVPASVFGSSKSRKLGPELALQNIFPAKPSSRKVSKSHPLFSLFNNRRKKKPTARPEFARYLQYVKEGGIWL >Potri.009G073800.1.v4.1 pep chromosome:Pop_tri_v4:9:7220968:7231567:1 gene:Potri.009G073800.v4.1 transcript:Potri.009G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073800.v4.1 MGTGLSKDADGSSHGNEGEENPDHAGGQLYVSLKMENYRLKAELIPHVYGSVPLVGSWDSSKALSMEPESASMWELSFVVPSNHETLDFKFLLKPKYSNSPCVVEEGPNRLLTRGTLQGESRLAVFKNGDVTVEFRVFIKADRVSPFDLAASWRVYQENLQPSTVRGIPDVSINSVPMAGIENGSPASLELDLEHYVVPAPSTSANSAFVYAANNTENPRFSNVDCPGNASYSFKDSGVSADQPTTIKEMEVVIPDPSKVYSGSGMVESKSVGTFSSLQKQDGHRGLFVDRGVGSPRLVKSSSSSAFSCDLKLDTETKNSMPAAAGAVAAGAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSTRKRRNMLMKMAEGKCKLQIIFLETLCNDEHIIERNIRLKIQQSPDYAEQPDFEAGLQDFKSRLSNYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDTVLSDAGEIYAKKLANFVEKRLKSEKAASIWTSTLQRTIITASPIIGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYEARRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >Potri.009G073800.2.v4.1 pep chromosome:Pop_tri_v4:9:7220948:7231570:1 gene:Potri.009G073800.v4.1 transcript:Potri.009G073800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073800.v4.1 MGTGLSKDADGSSHGNEGEENPDHAGGQLYVSLKMENYRLKAELIPHVYGSVPLVGSWDSSKALSMEPESASMWELSFVVPSNHETLDFKFLLKPKYSNSPCVVEEGPNRLLTRGTLQGESRLAVFKNGDVTVEFRVFIKADRVSPFDLAASWRVYQENLQPSTVRGIPDVSINSVPMAGIENGSPASLELDLEHYVVPAPSTSANSAFVYAANNTENPRFSNVDCPGNASYSFKDSGVSADQPTTIKEMEVVIPDPSKVYSGSGMVESKSVGTFSSLQKQDGHRGLFVDRGVGSPRLVKSSSSSAFSCDLKLDTETKNSMPAAAGAVAAGAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGANQSADFFRADNPEGMEARNEVAALAMDDMIAWMQEGGQVGIFDATNSTRKRRNMLMKMAEGKCKIIFLETLCNDEHIIERNIRLKIQQSPDYAEQPDFEAGLQDFKSRLSNYEKVYEPVEEGSYIKMIDMVSGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDTVLSDAGEIYAKKLANFVEKRLKSEKAASIWTSTLQRTIITASPIIGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYEARRKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >Potri.009G149600.2.v4.1 pep chromosome:Pop_tri_v4:9:11812132:11812652:-1 gene:Potri.009G149600.v4.1 transcript:Potri.009G149600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149600.v4.1 MAEHLASIFGTEKDRVNCPFYFKIRACRHTKPSISRTLLLSNMYRRPDMITPGVDALGNTIDPRKIQQYFEDLYEELSKYGEIRA >Potri.010G192100.1.v4.1 pep chromosome:Pop_tri_v4:10:18735168:18736245:1 gene:Potri.010G192100.v4.1 transcript:Potri.010G192100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192100.v4.1 MEERMSGFCITKAGRGGGSGNNCGTGTKCGRWNPTTEQVKLLTDLFRSGLRTPSTDEIQNISTQLSFYGKIESKNVFYWFQNHKARERQKRRRVSVDEKDAMIRRDDRFSSARYFTEINHVNEPERVIETLQLFPLNSFDEAGPEKFRFQANECNEAAAAFSYKFGTEMDHPHLDLRLSFV >Potri.008G221700.1.v4.1 pep chromosome:Pop_tri_v4:8:18518661:18520295:1 gene:Potri.008G221700.v4.1 transcript:Potri.008G221700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221700.v4.1 MALRPIDNSLPIAPERPKKHVKVVSVPIQKQSEVGVNDENKAPLPDSTIDYISSDDLKPISDPESKIQGLIERLDSKDWTKVCESLNNARRFALYHSSLLLPFLEKVMSVVVKAMKNPRSALIKTSIMASSDIFYAFGDQLLDSTSDAFDSLLLQLLLKASQDKKFVCEEADRALNALVKSMTPLPLLNKLKLYVSHVNLRIRAKAAISISNFVSKMELEGMNEFGLVSLVQVAADLLNDRLPEAREAARRTVISIYEAYTGNEEQKQEEWQNFCQSSLPPIHAQSIVKITSSSR >Potri.001G305800.1.v4.1 pep chromosome:Pop_tri_v4:1:31577100:31583901:1 gene:Potri.001G305800.v4.1 transcript:Potri.001G305800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305800.v4.1 MGGTCSKKSNASNKKSNPYAKSKGNGVDSYCNKPHISSTQQVKEKIEKKELQEANLKQRTKESFLYAKNDVGDEFYDGIPRYPSSSIKSRSIRRQAAVAKVSEVSSRISRAGTLGLGKAVEVLDTLGSSITNLNPNIFASSVATKGNELGILAFEVANTVVKGSNLMQSLSIRSVSYLKEEVLPSEGVQNLISKDMDELLRIVAADKREELKIFSGEVVRFGNRCKDSQWHNLDRYFEKISRVQTPSKGLREEAESIIELLTILVQYTAELYHELQILDKMEQECQQREDAAASNQKGESPAMLRTEIRNQRKRIQNVKKKSLWSRSLEEVMEKFVDIVHFLILEIGNAYGSFDDSIQDKESVSNPARLGPAGLSLHYANVVMQIDNLVARSSSMPPNSKDALYQNLLPGVKSALRSKLLSFHVKDELTITEIKDEMEKTLQWLVPMSINTAKAHHGFGWVGEWASIGSEPNRKSAAAAADIIRIETLHHADKEKTEAYILEQVLWLHHLVRKTKSVSGGGSTLGQKSNQKQKQELPNAPELPDTIVMSDAPSLITNDQTILLEASEKKQILENRKSQDYDSVDTQLRDDDGPSKSNSHSPPRENEDSATVKSVPSVLPITDVGIDKENESDTIDRVNVLKNEGKHGPVTAK >Potri.015G046900.3.v4.1 pep chromosome:Pop_tri_v4:15:4655411:4658987:1 gene:Potri.015G046900.v4.1 transcript:Potri.015G046900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046900.v4.1 MTCSREALARLFHNVSSSSFLLLLFLYFSSTLLLKLINFIGSYPIIQRNQNVYEFNFSDEEEEEENETYSYYAQSMEKDNLVADIIHGCEYESVIQVMPNNSTHTNHNHVEDFVSTPEAVLEEQDDSFASDEMLSVLASAGSESEHGDADMVEEEMQTSDADSVHDSVPNQQGPTAPITKDLHKSDFMDGDENSGEDCTNQEIENKKLKDTNLSVDEKFLIYAPNRLELGKLQVQEKDEEEIFGDSCTVGSTSKSSSEWRSLIKDSGTEDPFSSSSRRSCPRWESYTVFQKYDEEMMYLDRISAQKLHETESLKSIHVNPRSISDRIVHKFSTINKKPSDNCQNPYHELEGAYVAQICLTWEALNWNYKNFGLKRASQCDFDPGCPAHIAQKFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDSEDDQKGDAKFGSRISSAAFLMIMEDGIRTFMDFLEDDKEKTCQILKAFFRRNRRGSVDPVLLQLMKKVNKKKKMKLKDLRRARTCIRKRKLTVEEEMEFLMGLIDLKLVSRVLRMADMSEEKLHWCEEKMSKVRVLDGKLQRDSSPLFFPAH >Potri.015G046900.4.v4.1 pep chromosome:Pop_tri_v4:15:4655469:4658987:1 gene:Potri.015G046900.v4.1 transcript:Potri.015G046900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046900.v4.1 MEKDNLVADIIHGCEYESVIQVMPNNSTHTNHNHVEDFVSTPEAVLEEQDDSFASDEMLSVLASAGSESEHGDADMVEEEMQTSDADSVHDSVPNQQGPTAPITKDLHKSDFMDGDENSGEDCTNQEIENKKLKDTNLSVDEKFLIYAPNRLELGKLQVQEKDEEEIFGDSCTVGSTSKSSSEWRSLIKDSGTEDPFSSSSRRSCPRWESYTVFQKYDEEMMYLDRISAQKLHETESLKSIHVNPRSISDRIVHKFSTINKKPSDNCQNPYHELEGAYVAQICLTWEALNWNYKNFGLKRASQCDFDPGCPAHIAQKFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDSEDDQKGDAKFGSRISSAAFLMIMEDGIRTFMDFLEDDKEKTCQILKAFFRRNRRGSVDPVLLQLMKKVNKKKKMKLKDLRRARTCIRKRKLTVEEEMEFLMGLIDLKLVSRVLRMADMSEEKLHWCEEKMSKVRVLDGKLQRDSSPLFFPAH >Potri.001G368600.9.v4.1 pep chromosome:Pop_tri_v4:1:38559446:38574532:1 gene:Potri.001G368600.v4.1 transcript:Potri.001G368600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368600.v4.1 MTKLKRRRSSEVPPKIKSFINNVTTTPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRRDLQVEDNFLESDPPFPREAVLQILCVIRIILENCTNKHFYSSYEQHLSNLLASTDADVLEACLQTLAAFLKKTLGRYSIRDTSLNTKLFSLAQGWGGKDEGLGLIASTAQNGCDPVAYELGCTLHFEFYALDELSSQVSATERSTQGLQTIHLPNVNACPETDLELLNKLVVEYKVPPSLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFINELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSGGHRGILSSLMQKTIDSVISDTSKWSVVFSEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVATAVHILEAFMDYSNPAAALFRELGGLDDTISRLKVEVSHIENCSKQQGEDSDLRRNLRVVASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYASGNTSRIYGSEESLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLDAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGEAPGSLSSGLDELMRHASSLRGPGVDMVIEILNAISKIGSGVDASYSPTDPSCSAPVPMETDAEERSPVLSDERESFRMETLEQTTEQSSDASVANVESLFPECLSNVARLLETILQNSDTCRIFVEKKGIDAVLQLFTLPLMPLSTPIGQIISVAFKNFSPQHSASLARSVCAFLREHLKSTNELLVSIGGAHLAVVESANQAKVLRYLSSLEGILSLSNFLLKGNSTVVSELGTADADVLKDLGNAYREIVWQVSLYNDSKVDEKRCAEQETESADVSSSNAVGRESDDDANVPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRGGRTGRHLDALSVDSEIPSDEPETSLPKLKRRTPDEILNKLASILRTFFSALVKGFTLPNRRRADVGSLSAASKTLGTTLAKIFLEALSFSGYSTTGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYAAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPTPSVDQEKAGEGNNLSHSTWLLDTLHSYCRALEYFVNSSLLLSSTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDVILPVWNHQMFPSCSAGFIASIVSLVTHIYSGVGDVKRSRGGIAGSTNQRFMPPPPDENTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQDDDELARALALSLGSSSEGSKVGNVDKSIDALTEEGQMKVPPIEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAATDVLMNFKASNASGSEILVPKCVSALLLILDNMLQSRPRISSETMGGTQTVSPPDSSVPASGTEEKVTSDFTEKESGTALEKILGKSTGYLTIEESHKVLLVVCDLMKQHVPAVIMQAILQLCARLTKTHVLALQFLENGGLTALFNLPRSCFFPGYQTVASAIVRHLLEDPQTLQTAMELEIRQTLSGNRHAGRFSPRTFLTSMAPVISRDPVVFMKAAAAVCQLESSGGRTFVVLSKEKEKEKDKSKASGAEESVRISESKMHDGSGKCAKGHKKIPANLTQVIDQLLDIVLKYPLQKSQEGCVGDLNSMDVDEPATKLKGKSKVDEAKKTESESEISAGLAKVNFVLKLLSDILLMYVHAVGVILRRDLELCHLRGSNQTGSSGLGGIIHHILHQLLPIATDKSAGPDEWRDKLSEKASWFLVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHKNILLPDKKVFAFSDLVYAILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTGILQAIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSEGLNRKKTTGSIGRHDEQTAASAAETVEHNQNVGGTQEVPDEEGTDIQQQEGTTHVDGNHAVHQNESAEQDMRLESEDTMATNPSMEVGLDFMREEMEEGGVLHNTGQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDEDEDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEEDDDFHENRVIEVRWREALDGLDHLQVLGQPGASGGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEVNGFQHPLLLRPSQSGDLVSMWSSGMDSLHTQGRRGPGDGRWTDDGQPQAGAQAAAIAQAIEEQFLSQLCSVPATNVPTERQFQNSGVQENQPSDPLSNDGQVVVDGDNTSNQQLEVHQENGNEDTRYQPNPTVETVPCNEQVDPRPSFSGAGEGPQVDEPMLVQPISLNSTPNGLDNMEIGDGDGTACDQVETMPELANSSAEQHAALHYEGVPEVPASLNEVPIQAVGSAIGGLSDNPLLVDSVSAMPNVDHVNADVEMNGADADGNQLEQSTLASERGADEPSSRQETLVARDAAQADQTGLDNGAPATNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLSSRRNGLGFDRQTVMDRGVGVTIGRRATSTIADSMEVKEMEGKPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSTTRATLVRLLLDMIKPEAEGSISGLATINSQRLYGCQSNVVYGRSQLLDGLPPLVLRRILEILTYLSTNHTSIANMLFYLDPSIVSEPLSPKYLETKMDKGKEKIDDGGDSLKPLGDTDDIPLILFLKLLNRPLFLRSTAHLEQVMGLLQVVVFMAASKLESQAQSGQARETSQKQTVGEASSDVPSVPPVVAESSEEDKAASAGLSVSDGKRSIDASSVFLQLPQADLRNLCSLLGREGLSDKVYMLAGEVLKKLASVVATHRKFFTLELSELAHGLSSSAVSELVTLRNTHMLGLSSGSMAGAAILRVLQALSSLTSPTVDENMNVEHNGEQEEQATMWNLSIALEPLWQELSECISVTEMQLIQSTFGRTMSNITVGEHVQGSSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHMSITAREVKESSGSSSSTTAYMGDSQRKLDGAVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNVTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSCVPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQITSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTSASSVIQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSREQLQERLLLAIHEASEGFGFG >Potri.001G368600.4.v4.1 pep chromosome:Pop_tri_v4:1:38559446:38574691:1 gene:Potri.001G368600.v4.1 transcript:Potri.001G368600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368600.v4.1 MTKLKRRRSSEVPPKIKSFINNVTTTPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRRDLQVEDNFLESDPPFPREAVLQILCVIRIILENCTNKHFYSSYEQHLSNLLASTDADVLEACLQTLAAFLKKTLGRYSIRDTSLNTKLFSLAQGWGGKDEGLGLIASTAQNGCDPVAYELGCTLHFEFYALDELSSQVSATERSTQGLQTIHLPNVNACPETDLELLNKLVVEYKVPPSLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFINELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSGGHRGILSSLMQKTIDSVISDTSKWSVVFSEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVATAVHILEAFMDYSNPAAALFRELGGLDDTISRLKVEVSHIENCSKQQGEDSDLRRNLRVVASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYASGNTSRIYGSEESLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLDAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGEAPGSLSSGLDELMRHASSLRGPGVDMVIEILNAISKIGSGVDASYSPTDPSCSAPVPMETDAEERSPVLSDERESFRMETLEQTTEQSSDASVANVESLFPECLSNVARLLETILQNSDTCRIFVEKKGIDAVLQLFTLPLMPLSTPIGQIISVAFKNFSPQHSASLARSVCAFLREHLKSTNELLVSIGGAHLAVVESANQAKVLRYLSSLEGILSLSNFLLKGNSTVVSELGTADADVLKDLGNAYREIVWQVSLYNDSKVDEKRCAEQETESADVSSSNAVGRESDDDANVPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRGGRTGRHLDALSVDSEIPSDEPETSLPKLKRRTPDEILNKLASILRTFFSALVKGFTLPNRRRADVGSLSAASKTLGTTLAKIFLEALSFSGYSTTGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYAAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPTPSVDQEKAGEGNNLSHSTWLLDTLHSYCRALEYFVNSSLLLSSTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDVILPVWNHQMFPSCSAGFIASIVSLVTHIYSGVGDVKRSRGGIAGSTNQRFMPPPPDENTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQDDDELARALALSLGSSSEGSKVGNVDKSIDALTEEGQMKVPPIEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAATDVLMNFKASNASGSEILVPKCVSALLLILDNMLQSRPRISSETMGGTQTVSPPDSSVPASGTEEKVTSDFTEKESGTALEKILGKSTGYLTIEESHKVLLVVCDLMKQHVPAVIMQAILQLCARLTKTHVLALQFLENGGLTALFNLPRSCFFPGYQTVASAIVRHLLEDPQTLQTAMELEIRQTLSGNRHAGRFSPRTFLTSMAPVISRDPVVFMKAAAAVCQLESSGGRTFVVLSKEKEKEKDKSKASGAEESVRISESKMHDGSGKCAKGHKKIPANLTQVIDQLLDIVLKYPLQKSQEGCVGDLNSMDVDEPATKLKGKSKVDEAKKTESESEISAGLAKVNFVLKLLSDILLMYVHAVGVILRRDLELCHLRGSNQTGSSGLGGIIHHILHQLLPIATDKSAGPDEWRDKLSEKASWFLVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHKNILLPDKKVFAFSDLVYAILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTGILQAIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSEGLNRKKTTGSIGRHDEQTAASAAETVEHNQNVGGTQEVPDEEGTDIQQQEGTTHVDGNHAVHQNESAEQDMRLESEDTMATNPSMEVGLDFMREEMEEGGVLHNTGQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDEDEDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEEDDDFHENRVIEVRWREALDGLDHLQVLGQPGASGGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEVNGFQHPLLLRPSQSGDLVSMWSSGMDSLHTQGRRGPGDGRWTDDGQPQAGAQAAAIAQAIEEQFLSQLCSVPATNVPTERQFQNSGVQENQPSDPLSNDGQVVVDGDNTSNQQLEVHQENGNEDTRYQPNPTVETVPCNEQVDPRPSFSGAGEGPQVDEPMLVQPISLNSTPNGLDNMEIGDGDGTACDQVETMPELANSSAEQHAALHYEGVPEVPASLNEVPIQAVGSAIGGLSDNPLLVDSVSAMPNVDHVNADVEMNGADADGNQLEQSTLASERGADEPSSRQETLVARDAAQADQTGLDNGAPATNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLSSRRNGLGFDRQTVMDRGVGVTIGRRATSTIADSMEVKEMEGKPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSTTRATLVRLLLDMIKPEAEGSISGLATINSQRLYGCQSNVVYGLPPLVLRRILEILTYLSTNHTSIANMLFYLDPSIVSEPLSPKYLETKMDKGKEKIDDGGDSLKPLGDTDDIPLILFLKLLNRPLFLRSTAHLEQVMGLLQVVVFMAASKLESQAQSGQARETSQKQTVGEASSDVPSVPPVVAESSEEDKAASAGLSVSDGKRSIDASSVFLQLPQADLRNLCSLLGREGLSDKVYMLAGEVLKKLASVVATHRKFFTLELSELAHGLSSSAVSELVTLRNTHMLGLSSGSMAGAAILRVLQALSSLTSPTVDENMNVEHNGEQEEQATMWNLSIALEPLWQELSECISVTEMQLIQSTFGRTMSNITVGEHVQGSSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHMSITAREVKESSGSSSSTTAYMGDSQRKLDGAVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNVTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSCVPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQITSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTSASSVIQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSREQLQERLLLAIHEASEGFGFG >Potri.001G368600.5.v4.1 pep chromosome:Pop_tri_v4:1:38559446:38574725:1 gene:Potri.001G368600.v4.1 transcript:Potri.001G368600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368600.v4.1 MTKLKRRRSSEVPPKIKSFINNVTTTPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRRDLQVEDNFLESDPPFPREAVLQILCVIRIILENCTNKHFYSSYEQHLSNLLASTDADVLEACLQTLAAFLKKTLGRYSIRDTSLNTKLFSLAQGWGGKDEGLGLIASTAQNGCDPVAYELGCTLHFEFYALDELSSQVSATERSTQGLQTIHLPNVNACPETDLELLNKLVVEYKVPPSLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFINELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSGGHRGILSSLMQKTIDSVISDTSKWSVVFSEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVATAVHILEAFMDYSNPAAALFRELGGLDDTISRLKVEVSHIENCSKQQGEDSDLRRNLRVVASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYASGNTSRIYGSEESLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLDAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGEAPGSLSSGLDELMRHASSLRGPGVDMVIEILNAISKIGSGVDASYSPTDPSCSAPVPMETDAEERSPVLSDERESFRMETLEQTTEQSSDASVANVESLFPECLSNVARLLETILQNSDTCRIFVEKKGIDAVLQLFTLPLMPLSTPIGQIISVAFKNFSPQHSASLARSVCAFLREHLKSTNELLVSIGGAHLAVVESANQAKVLRYLSSLEGILSLSNFLLKGNSTVVSELGTADADVLKDLGNAYREIVWQVSLYNDSKVDEKRCAEQETESADVSSSNAVGRESDDDANVPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRGGRTGRHLDALSVDSEIPSDEPETSLPKLKRRTPDEILNKLASILRTFFSALVKGFTLPNRRRADVGSLSAASKTLGTTLAKIFLEALSFSGYSTTGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYAAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPTPSVDQEKAGEGNNLSHSTWLLDTLHSYCRALEYFVNSSLLLSSTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDVILPVWNHQMFPSCSAGFIASIVSLVTHIYSGVGDVKRSRGGIAGSTNQRFMPPPPDENTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQDDDELARALALSLGSSSEGSKVGNVDKSIDALTEEGQMKVPPIEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAATDVLMNFKASNASGSEILVPKCVSALLLILDNMLQSRPRISSETMGGTQTVSPPDSSVPASGTEEKVTSDFTEKESGTALEKILGKSTGYLTIEESHKVLLVVCDLMKQHVPAVIMQAILQLCARLTKTHVLALQFLENGGLTALFNLPRSCFFPGYQTVASAIVRHLLEDPQTLQTAMELEIRQTLSGNRHAGRFSPRTFLTSMAPVISRDPVVFMKAAAAVCQLESSGGRTFVVLSKEKEKEKDKSKASGAEESVRISESKMHDGSGKCAKGHKKIPANLTQVIDQLLDIVLKYPLQKSQEGCVGDLNSMDVDEPATKLKGKSKVDEAKKTESESEISAGLAKVNFVLKLLSDILLMYVHAVGVILRRDLELCHLRGSNQTGSSGLGGIIHHILHQLLPIATDKSAGPDEWRDKLSEKASWFLVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHKNILLPDKKVFAFSDLVYAILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTGILQAIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSEGLNRKKTTGSIGRHDEQTAASAAETVEHNQNVGGTQEVPDEEGTDIQQQEGTTHVDGNHAVHQNESAEQDMRLESEDTMATNPSMEVGLDFMREEMEEGGVLHNTGQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDEDEDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEEDDDFHENRVIEVRWREALDGLDHLQVLGQPGASGGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEVNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSSGSFDVAHFYIDAPVLPYEHVPSSIFVDRSGSAAPPPLSDYSVGMDSLHTQGRRGPGDGRWTDDGQPQAGAQAAAIAQAIEEQFLSQLCSVPATNVPTERQFQNSGVQENQPSDPLSNDGQVVVDGDNTSNQQLEVHQENGNEDTRYQPNPTVETVPCNEQVDPRPSFSGAGEGPQVDEPMLVQPISLNSTPNGLDNMEIGDGDGTACDQVETMPELANSSAEQHAALHYEGVPEVPASLNEVPIQAVGSAIGGLSDNPLLVDSVSAMPNVDHVNADVEMNGADADGNQLEQSTLASERGADEPSSRQETLVARDAAQADQTGLDNGAPATNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLSSRRNGLGFDRQTVMDRGVGVTIGRRATSTIADSMEVKEMEGKPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSTTRATLVRLLLDMIKPEAEGSISGLATINSQRLYGCQSNVVYGRSQLLDGLPPLVLRRILEILTYLSTNHTSIANMLFYLDPSIVSEPLSPKYLETKMDKGKEKIDDGGDSLKPLGDTDDIPLILFLKLLNRPLFLRSTAHLEQVMGLLQVVVFMAASKLESQAQSGQARETSQKQTVGEASSDVPSVPPVVAESSEEDKAASAGLSVSDGKRSIDASSVFLQLPQADLRNLCSLLGREGLSDKVYMLAGEVLKKLASVVATHRKFFTLELSELAHGLSSSAVSELVTLRNTHMLGLSSGSMAGAAILRVLQALSSLTSPTVDENMNVEHNGEQEEQATMWNLSIALEPLWQELSECISVTEMQLIQSTFGRTMSNITVGEHVQGSSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHMSITAREVKESSGSSSSTTAYMGDSQRKLDGAVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNVTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSCVPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQITSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTSASSVIQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSREQLQERLLLAIHEASEGFGFG >Potri.001G368600.1.v4.1 pep chromosome:Pop_tri_v4:1:38559446:38574666:1 gene:Potri.001G368600.v4.1 transcript:Potri.001G368600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368600.v4.1 MTKLKRRRSSEVPPKIKSFINNVTTTPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRRDLQVEDNFLESDPPFPREAVLQILCVIRIILENCTNKHFYSSYEQHLSNLLASTDADVLEACLQTLAAFLKKTLGRYSIRDTSLNTKLFSLAQGWGGKDEGLGLIASTAQNGCDPVAYELGCTLHFEFYALDELSSQVSATERSTQGLQTIHLPNVNACPETDLELLNKLVVEYKVPPSLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFINELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSGGHRGILSSLMQKTIDSVISDTSKWSVVFSEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVATAVHILEAFMDYSNPAAALFRELGGLDDTISRLKVEVSHIENCSKQQGEDSDLRRNLRVVASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYASGNTSRIYGSEESLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLDAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGEAPGSLSSGLDELMRHASSLRGPGVDMVIEILNAISKIGSGVDASYSPTDPSCSAPVPMETDAEERSPVLSDERESFRMETLEQTTEQSSDASVANVESLFPECLSNVARLLETILQNSDTCRIFVEKKGIDAVLQLFTLPLMPLSTPIGQIISVAFKNFSPQHSASLARSVCAFLREHLKSTNELLVSIGGAHLAVVESANQAKVLRYLSSLEGILSLSNFLLKGNSTVVSELGTADADVLKDLGNAYREIVWQVSLYNDSKVDEKRCAEQETESADVSSSNAVGRESDDDANVPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRGGRTGRHLDALSVDSEIPSDEPETSLPKLKRRTPDEILNKLASILRTFFSALVKGFTLPNRRRADVGSLSAASKTLGTTLAKIFLEALSFSGYSTTGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYAAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPTPSVDQEKAGEGNNLSHSTWLLDTLHSYCRALEYFVNSSLLLSSTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDVILPVWNHQMFPSCSAGFIASIVSLVTHIYSGVGDVKRSRGGIAGSTNQRFMPPPPDENTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQDDDELARALALSLGSSSEGSKVGNVDKSIDALTEEGQMKVPPIEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAATDVLMNFKASNASGSEILVPKCVSALLLILDNMLQSRPRISSETMGGTQTVSPPDSSVPASGTEEKVTSDFTEKESGTALEKILGKSTGYLTIEESHKVLLVVCDLMKQHVPAVIMQAILQLCARLTKTHVLALQFLENGGLTALFNLPRSCFFPGYQTVASAIVRHLLEDPQTLQTAMELEIRQTLSGNRHAGRFSPRTFLTSMAPVISRDPVVFMKAAAAVCQLESSGGRTFVVLSKEKEKEKDKSKASGAEESVRISESKMHDGSGKCAKGHKKIPANLTQVIDQLLDIVLKYPLQKSQEGCVGDLNSMDVDEPATKLKGKSKVDEAKKTESESEISAGLAKVNFVLKLLSDILLMYVHAVGVILRRDLELCHLRGSNQTGSSGLGGIIHHILHQLLPIATDKSAGPDEWRDKLSEKASWFLVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHKNILLPDKKVFAFSDLVYAILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTGILQAIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSEGLNRKKTTGSIGRHDEQTAASAAETVEHNQNVGGTQEVPDEEGTDIQQQEGTTHVDGNHAVHQNESAEQDMRLESEDTMATNPSMEVGLDFMREEMEEGGVLHNTGQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDEDEDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEEDDDFHENRVIEVRWREALDGLDHLQVLGQPGASGGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEVNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSSGSFDVAHFYIDAPVLPYEHVPSSIFVDRSGSAAPPPLSDYSVGMDSLHTQGRRGPGDGRWTDDGQPQAGAQAAAIAQAIEEQFLSQLCSVPATNVPTERQFQNSGVQENQPSDPLSNDGQVVVDGDNTSNQQLEVHQENGNEDTRYQPNPTVETVPCNEQVDPRPSFSGAGEGPQVDEPMLVQPISLNSTPNGLDNMEIGDGDGTACDQVETMPELANSSAEQHAALHYEGVPEVPASLNEVPIQAVGSAIGGLSDNPLLVDSVSAMPNVDHVNADVEMNGADADGNQLEQSTLASERGADEPSSRQETLVARDAAQADQTGLDNGAPATNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLSSRRNGLGFDRQTVMDRGVGVTIGRRATSTIADSMEVKEMEGKPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSTTRATLVRLLLDMIKPEAEGSISGLATINSQRLYGCQSNVVYGRSQLLDGLPPLVLRRILEILTYLSTNHTSIANMLFYLDPSIVSEPLSPKYLETKMDKGKEKIDDGGDSLKPLGDTDDIPLILFLKLLNRPLFLRSTAHLEQVMGLLQVVVFMAASKLESQAQSGQARETSQKQTVGEASSDVPSVPPVVAESSEEDKAASAGLSVSDGKRSIDASSVFLQLPQADLRNLCSLLGREGLSDKVYMLAGEVLKKLASVVATHRKFFTLELSELAHGLSSSAVSELVTLRNTHMLGLSSGSMAGAAILRVLQALSSLTSPTVDENMNVEHNGEQEEQATMWNLSIALEPLWQELSECISVTEMQLIQSTFGRTMSNITVGEHVQGSSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHMSITAREVKESSGSSSSTTAYMGDSQRKLDGAVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNVTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSCVPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQITSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTSASSVIQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSREQLQERLLLAIHEASEGFGFG >Potri.001G368600.6.v4.1 pep chromosome:Pop_tri_v4:1:38559482:38574725:1 gene:Potri.001G368600.v4.1 transcript:Potri.001G368600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368600.v4.1 MTKLKRRRSSEVPPKIKSFINNVTTTPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRRDLQVEDNFLESDPPFPREAVLQILCVIRIILENCTNKHFYSSYEHLSNLLASTDADVLEACLQTLAAFLKKTLGRYSIRDTSLNTKLFSLAQGWGGKDEGLGLIASTAQNGCDPVAYELGCTLHFEFYALDELSSQVSATERSTQGLQTIHLPNVNACPETDLELLNKLVVEYKVPPSLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFINELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSGGHRGILSSLMQKTIDSVISDTSKWSVVFSEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVATAVHILEAFMDYSNPAAALFRELGGLDDTISRLKVEVSHIENCSKQQGEDSDLRRNLRVVASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYASGNTSRIYGSEESLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLDAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGEAPGSLSSGLDELMRHASSLRGPGVDMVIEILNAISKIGSGVDASYSPTDPSCSAPVPMETDAEERSPVLSDERESFRMETLEQTTEQSSDASVANVESLFPECLSNVARLLETILQNSDTCRIFVEKKGIDAVLQLFTLPLMPLSTPIGQIISVAFKNFSPQHSASLARSVCAFLREHLKSTNELLVSIGGAHLAVVESANQAKVLRYLSSLEGILSLSNFLLKGNSTVVSELGTADADVLKDLGNAYREIVWQVSLYNDSKVDEKRCAEQETESADVSSSNAVGRESDDDANVPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRGGRTGRHLDALSVDSEIPSDEPETSLPKLKRRTPDEILNKLASILRTFFSALVKGFTLPNRRRADVGSLSAASKTLGTTLAKIFLEALSFSGYSTTGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYAAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPTPSVDQEKAGEGNNLSHSTWLLDTLHSYCRALEYFVNSSLLLSSTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDVILPVWNHQMFPSCSAGFIASIVSLVTHIYSGVGDVKRSRGGIAGSTNQRFMPPPPDENTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQDDDELARALALSLGSSSEGSKVGNVDKSIDALTEEGQMKVPPIEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAATDVLMNFKASNASGSEILVPKCVSALLLILDNMLQSRPRISSETMGGTQTVSPPDSSVPASGTEEKVTSDFTEKESGTALEKILGKSTGYLTIEESHKVLLVVCDLMKQHVPAVIMQAILQLCARLTKTHVLALQFLENGGLTALFNLPRSCFFPGYQTVASAIVRHLLEDPQTLQTAMELEIRQTLSGNRHAGRFSPRTFLTSMAPVISRDPVVFMKAAAAVCQLESSGGRTFVVLSKEKEKEKDKSKASGAEESVRISESKMHDGSGKCAKGHKKIPANLTQVIDQLLDIVLKYPLQKSQEGCVGDLNSMDVDEPATKLKGKSKVDEAKKTESESEISAGLAKVNFVLKLLSDILLMYVHAVGVILRRDLELCHLRGSNQTGSSGLGGIIHHILHQLLPIATDKSAGPDEWRDKLSEKASWFLVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHKNILLPDKKVFAFSDLVYAILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTGILQAIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSEGLNRKKTTGSIGRHDEQTAASAAETVEHNQNVGGTQEVPDEEGTDIQQQEGTTHVDGNHAVHQNESAEQDMRLESEDTMATNPSMEVGLDFMREEMEEGGVLHNTGQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDEDEDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEEDDDFHENRVIEVRWREALDGLDHLQVLGQPGASGGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEVNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSSGSFDVAHFYIDAPVLPYEHVPSSIFVDRSGSAAPPPLSDYSVGMDSLHTQGRRGPGDGRWTDDGQPQAGAQAAAIAQAIEEQFLSQLCSVPATNVPTERQFQNSGVQENQPSDPLSNDGQVVVDGDNTSNQQLEVHQENGNEDTRYQPNPTVETVPCNEQVDPRPSFSGAGEGPQVDEPMLVQPISLNSTPNGLDNMEIGDGDGTACDQVETMPELANSSAEQHAALHYEGVPEVPASLNEVPIQAVGSAIGGLSDNPLLVDSVSAMPNVDHVNADVEMNGADADGNQLEQSTLASERGADEPSSRQETLVARDAAQADQTGLDNGAPATNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLSSRRNGLGFDRQTVMDRGVGVTIGRRATSTIADSMEVKEMEGKPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSTTRATLVRLLLDMIKPEAEGSISGLATINSQRLYGCQSNVVYGRSQLLDGLPPLVLRRILEILTYLSTNHTSIANMLFYLDPSIVSEPLSPKYLETKMDKGKEKIDDGGDSLKPLGDTDDIPLILFLKLLNRPLFLRSTAHLEQVMGLLQVVVFMAASKLESQAQSGQARETSQKQTVGEASSDVPSVPPVVAESSEEDKAASAGLSVSDGKRSIDASSVFLQLPQADLRNLCSLLGREGLSDKVYMLAGEVLKKLASVVATHRKFFTLELSELAHGLSSSAVSELVTLRNTHMLGLSSGSMAGAAILRVLQALSSLTSPTVDENMNVEHNGEQEEQATMWNLSIALEPLWQELSECISVTEMQLIQSTFGRTMSNITVGEHVQGSSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHMSITAREVKESSGSSSSTTAYMGDSQRKLDGAVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNVTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSCVPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQITSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTSASSVIQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSREQLQERLLLAIHEASEGFGFG >Potri.001G368600.8.v4.1 pep chromosome:Pop_tri_v4:1:38559435:38574725:1 gene:Potri.001G368600.v4.1 transcript:Potri.001G368600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368600.v4.1 MTKLKRRRSSEVPPKIKSFINNVTTTPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRRDLQVEDNFLESDPPFPREAVLQILCVIRIILENCTNKHFYSSYEQHLSNLLASTDADVLEACLQTLAAFLKKTLGRYSIRDTSLNTKLFSLAQGWGGKDEGLGLIASTAQNGCDPVAYELGCTLHFEFYALDELSSQVSATERSTQGLQTIHLPNVNACPETDLELLNKLVVEYKVPPSLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFINELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSGGHRGILSSLMQKTIDSVISDTSKWSVVFSEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVATAVHILEAFMDYSNPAAALFRELGGLDDTISRLKVEVSHIENCSKQQGEDSDLRRNLRVVASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYASGNTSRIYGSEESLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLDAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGEAPGSLSSGLDELMRHASSLRGPGVDMVIEILNAISKIGSGVDASYSPTDPSCSAPVPMETDAEERSPVLSDERESFRMETLEQTTEQSSDASVANVESLFPECLSNVARLLETILQNSDTCRIFVEKKGIDAVLQLFTLPLMPLSTPIGQIISVAFKNFSPQHSASLARSVCAFLREHLKSTNELLVSIGGAHLAVVESANQAKVLRYLSSLEGILSLSNFLLKGNSTVVSELGTADADVLKDLGNAYREIVWQVSLYNDSKVDEKRCAEQETESADVSSSNAVGRESDDDANVPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRGGRTGRHLDALSVDSEIPSDEPETSLPKLKRRTPDEILNKLASILRTFFSALVKGFTLPNRRRADVGSLSAASKTLGTTLAKIFLEALSFSGYSTTGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYAAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPTPSVDQEKAGEGNNLSHSTWLLDTLHSYCRALEYFVNSSLLLSSTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDVILPVWNHQMFPSCSAGFIASIVSLVTHIYSGVGDVKRSRGGIAGSTNQRFMPPPPDENTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQDDDELARALALSLGSSSEGSKVGNVDKSIDALTEEGQMKVPPIEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAATDVLMNFKASNASGSEILVPKCVSALLLILDNMLQSRPRISSETMGGTQTVSPPDSSVPASGTEEKVTSDFTEKESGTALEKILGKSTGYLTIEESHKVLLVVCDLMKQHVPAVIMQAILQLCARLTKTHVLALQFLENGGLTALFNLPRSCFFPGYQTVASAIVRHLLEDPQTLQTAMELEIRQTLSGNRHAGRFSPRTFLTSMAPVISRDPVVFMKAAAAVCQLESSGGRTFVVLSKEKEKEKDKSKASGAEESVRISESKMHDGSGKCAKGHKKIPANLTQVIDQLLDIVLKYPLQKSQEGCVGDLNSMDVDEPATKLKGKSKVDEAKKTESESEISAGLAKVNFVLKLLSDILLMYVHAVGVILRRDLELCHLRGSNQTGSSGLGGIIHHILHQLLPIATDKSAGPDEWRDKLSEKASWFLVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHKNILLPDKKVFAFSDLVYAILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTGILQAIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSEGLNRKKTTGSIGRHDEQTAASAAETVEHNQNVGGTQEVPDEEGTDIQQQEGTTHVDGNHAVHQNESAEQDMRLESEDTMATNPSMEVGLDFMREEMEEGGVLHNTGQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDEDEDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEEDDDFHENRVIEVRWREALDGLDHLQVLGQPGASGGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEVNGFQHPLLLRPSQSGDLVSMWSSGMDSLHTQGRRGPGDGRWTDDGQPQAGAQAAAIAQAIEEQFLSQLCSVPATNVPTERQFQNSGVQENQPSDPLSNDGQVVVDGDNTSNQQLEVHQENGNEDTRYQPNPTVETVPCNEQVDPRPSFSGAGEGPQVDEPMLVQPISLNSTPNGLDNMEIGDGDGTACDQVETMPELANSSAEQHAALHYEGVPEVPASLNEVPIQAVGSAIGGLSDNPLLVDSVSAMPNVDHVNADVEMNGADADGNQLEQSTLASERGADEPSSRQETLVARDAAQADQTGLDNGAPATNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLSSRRNGLGFDRQTVMDRGVGVTIGRRATSTIADSMEVKEMEGKPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSTTRATLVRLLLDMIKPEAEGSISGLATINSQRLYGCQSNVVYGRSQLLDGLPPLVLRRILEILTYLSTNHTSIANMLFYLDPSIVSEPLSPKYLETKMDKGKEKIDDGGDSLKPLGDTDDIPLILFLKLLNRPLFLRSTAHLEQVMGLLQVVVFMAASKLESQAQSGQARETSQKQTVGEASSDVPSVPPVVAESSEEDKAASAGLSVSDGKRSIDASSVFLQLPQADLRNLCSLLGREGLSDKVYMLAGEVLKKLASVVATHRKFFTLELSELAHGLSSSAVSELVTLRNTHMLGLSSGSMAGAAILRVLQALSSLTSPTVDENMNVEHNGEQEEQATMWNLSIALEPLWQELSECISVTEMQLIQSTFGRTMSNITVGEHVQGSSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHMSITAREVKESSGSSSSTTAYMGDSQRKLDGAVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNVTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSCVPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQITSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTSASSVIQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSREQLQERLLLAIHEASEGFGFG >Potri.001G368600.10.v4.1 pep chromosome:Pop_tri_v4:1:38559446:38574529:1 gene:Potri.001G368600.v4.1 transcript:Potri.001G368600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368600.v4.1 MTKLKRRRSSEVPPKIKSFINNVTTTPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRRDLQVEDNFLESDPPFPREAVLQILCVIRIILENCTNKHFYSSYEQHLSNLLASTDADVLEACLQTLAAFLKKTLGRYSIRDTSLNTKLFSLAQGWGGKDEGLGLIASTAQNGCDPVAYELGCTLHFEFYALDELSSQVSATERSTQGLQTIHLPNVNACPETDLELLNKLVVEYKVPPSLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFINELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSGGHRGILSSLMQKTIDSVISDTSKWSVVFSEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVATAVHILEAFMDYSNPAAALFRELGGLDDTISRLKVEVSHIENCSKQQGEDSDLRRNLRVVASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYASGNTSRIYGSEESLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLDAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGEAPGSLSSGLDELMRHASSLRGPGVDMVIEILNAISKIGSGVDASYSPTDPSCSAPVPMETDAEERSPVLSDERESFRMETLEQTTEQSSDASVANVESLFPECLSNVARLLETILQNSDTCRIFVEKKGIDAVLQLFTLPLMPLSTPIGQIISVAFKNFSPQHSASLARSVCAFLREHLKSTNELLVSIGGAHLAVVESANQAKVLRYLSSLEGILSLSNFLLKGNSTVVSELGTADADVLKDLGNAYREIVWQVSLYNDSKVDEKRCAEQETESADVSSSNAVGRESDDDANVPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRGGRTGRHLDALSVDSEIPSDEPETSLPKLKRRTPDEILNKLASILRTFFSALVKGFTLPNRRRADVGSLSAASKTLGTTLAKIFLEALSFSGYSTTGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYAAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPTPSVDQEKAGEGNNLSHSTWLLDTLHSYCRALEYFVNSSLLLSSTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDVILPVWNHQMFPSCSAGFIASIVSLVTHIYSGVGDVKRSRGGIAGSTNQRFMPPPPDENTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQDDDELARALALSLGSSSEGSKVGNVDKSIDALTEEGQMKVPPIEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAATDVLMNFKASNASGSEILVPKCVSALLLILDNMLQSRPRISSETMGGTQTVSPPDSSVPASGTEEKVTSDFTEKESGTALEKILGKSTGYLTIEESHKVLLVVCDLMKQHVPAVIMQAILQLCARLTKTHVLALQFLENGGLTALFNLPRSCFFPGYQTVASAIVRHLLEDPQTLQTAMELEIRQTLSGNRHAGRFSPRTFLTSMAPVISRDPVVFMKAAAAVCQLESSGGRTFVVLSKEKEKEKDKSKASGAEESVRISESKMHDGSGKCAKGHKKIPANLTQVIDQLLDIVLKYPLQKSQEGCVGDLNSMDVDEPATKLKGKSKVDEAKKTESESEISAGLAKVNFVLKLLSDILLMYVHAVGVILRRDLELCHLRGSNQTGSSGLGGIIHHILHQLLPIATDKSAGPDEWRDKLSEKASWFLVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHKNILLPDKKVFAFSDLVYAILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTGILQAIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSEGLNRKKTTGSIGRHDEQTAASAAETVEHNQNVGGTQEVPDEEGTDIQQQEGTTHVDGNHAVHQNESAEQDMRLESEDTMATNPSMEVGLDFMREEMEEGGVLHNTGQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDEDEDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEEDDDFHENRVIEVRWREALDGLDHLQVLGQPGASGGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEVNGFQHPLLLRPSQSGDLVSMWSSGMDSLHTQGRRGPGDGRWTDDGQPQAGAQAAAIAQAIEEQFLSQLCSVPATNVPTERQFQNSGVQENQPSDPLSNDGQVVVDGDNTSNQQLEVHQENGNEDTRYQPNPTVETVPCNEQVDPRPSFSGAGEGPQVDEPMLVQPISLNSTPNGLDNMEIGDGDGTACDQVETMPELANSSAEQHAALHYEGVPEVPASLNEVPIQAVGSAIGGLSDNPLLVDSVSAMPNVDHVNADVEMNGADADGNQLEQSTLASERGADEPSSRQETLVARDAAQADQTGLDNGAPATNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLSSRRNGLGFDRQTVMDRGVGVTIGRRATSTIADSMEVKEMEGKPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSTTRATLVRLLLDMIKPEAEGSISGLATINSQRLYGCQSNVVYGRSQLLDGLPPLVLRRILEILTYLSTNHTSIANMLFYLDPSIVSEPLSPKYLETKMDKGKEKIDDGGDSLKPLGDTDDIPLILFLKLLNRPLFLRSTAHLEQVMGLLQVVVFMAASKLESQAQSGQARETSQKQTVGEASSDVPSVPPVVAESSEEDKAASAGLSVSDGKRSIDASSVFLQLPQADLRNLCSLLGREGLSDKVYMLAGEVLKKLASVVATHRKFFTLELSELAHGLSSSAVSELVTLRNTHMLGLSSGSMAGAAILRVLQALSSLTSPTVDENMNVEHNGEQEEQATMWNLSIALEPLWQELSECISVTEMQLIQSTFGRTMSNITVGEHVQGSSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHMSITAREVKESSGSSSSTTAYMGDSQRKLDGAVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNVTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSCVPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQITSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTSASSVIQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSREQLQERLLLAIHEASEGFGFG >Potri.001G368600.7.v4.1 pep chromosome:Pop_tri_v4:1:38559446:38574691:1 gene:Potri.001G368600.v4.1 transcript:Potri.001G368600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368600.v4.1 MTKLKRRRSSEVPPKIKSFINNVTTTPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRRDLQVEDNFLESDPPFPREAVLQILCVIRIILENCTNKHFYSSYEQHLSNLLASTDADVLEACLQTLAAFLKKTLGRYSIRDTSLNTKLFSLAQGWGGKDEGLGLIASTAQNGCDPVAYELGCTLHFEFYALDELSSQVSATERSTQGLQTIHLPNVNACPETDLELLNKLVVEYKVPPSLRFSLLTRLRFARAFGSLASRQQYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFINELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSGGHRGILSSLMQKTIDSVISDTSKWSVVFSEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVATAVHILEAFMDYSNPAAALFRELGGLDDTISRLKVEVSHIENCSKQQGEDSDLRRNLRVVASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYASGNTSRIYGSEESLLPQCLCIIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLDAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGEAPGSLSSGLDELMRHASSLRGPGVDMVIEILNAISKIGSGVDASYSPTDPSCSAPVPMETDAEERSPVLSDERESFRMETLEQTTEQSSDASVANVESLFPECLSNVARLLETILQNSDTCRIFVEKKGIDAVLQLFTLPLMPLSTPIGQIISVAFKNFSPQHSASLARSVCAFLREHLKSTNELLVSIGGAHLAVVESANQAKVLRYLSSLEGILSLSNFLLKGNSTVVSELGTADADVLKDLGNAYREIVWQVSLYNDSKVDEKRCAEQETESADVSSSNAVGRESDDDANVPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRGGRTGRHLDALSVDSEIPSDEPETSLPKLKRRTPDEILNKLASILRTFFSALVKGFTLPNRRRADVGSLSAASKTLGTTLAKIFLEALSFSGYSTTGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYAAMVNNFYVHGTFRELLTTFEATSQLLWTLPYPFPTPSVDQEKAGEGNNLSHSTWLLDTLHSYCRALEYFVNSSLLLSSTSASQAQLLVQPVAVGLSIGLFPVPKDPEVFVRMLQSQVLDVILPVWNHQMFPSCSAGFIASIVSLVTHIYSGVGDVKRSRGGIAGSTNQRFMPPPPDENTIATIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQDDDELARALALSLGSSSEGSKVGNVDKSIDALTEEGQMKVPPIEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAATDVLMNFKASNASGSEILVPKCVSALLLILDNMLQSRPRISSETMGGTQTVSPPDSSVPASGTEEKVTSDFTEKESGTALEKILGKSTGYLTIEESHKVLLVVCDLMKQHVPAVIMQAILQLCARLTKTHVLALQFLENGGLTALFNLPRSCFFPGYQTVASAIVRHLLEDPQTLQTAMELEIRQTLSGNRHAGRFSPRTFLTSMAPVISRDPVVFMKAAAAVCQLESSGGRTFVVLSKEKEKEKDKSKASGAEESVRISESKMHDGSGKCAKGHKKIPANLTQVIDQLLDIVLKYPLQKSQEGCVGDLNSMDVDEPATKLKGKSKVDEAKKTESESEISAGLAKVNFVLKLLSDILLMYVHAVGVILRRDLELCHLRGSNQTGSSGLGGIIHHILHQLLPIATDKSAGPDEWRDKLSEKASWFLVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHKNILLPDKKVFAFSDLVYAILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTGILQAIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSEGLNRKKTTGSIGRHDEQTAASAAETVEHNQNVGGTQEVPDEEGTDIQQQEGTTHVDGNHAVHQNESAEQDMRLESEDTMATNPSMEVGLDFMREEMEEGGVLHNTGQIEMTFHVENRADDDMGDEDDDMGDDGDEDEDEDEDEGEDEDEDIAEDGAGMMSLADTDVEDHDDTGLGDDYNDEMIDEEDDDFHENRVIEVRWREALDGLDHLQVLGQPGASGGLIDVAAEPFEGVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEVNGFQHPLLLRPSQSGDLVSMWSSGGHSSRDLEALSSGSFDVAHFYIDAPVLPYEHVPSSIFVDRSGSAAPPPLSDYSVGMDSLHTQGRRGPGDGRWTDDGQPQAGAQAAAIAQAIEEQFLSQLCSVPATNVPTERQFQNSGVQENQPSDPLSNDGQVVVDGDNTSNQQLEVHQENGNEDTRYQPNPTVETVPCNEQVDPRPSFSGAGEGPQVDEPMLVQPISLNSTPNGLDNMEIGDGDGTACDQVETMPELANSSAEQHAALHYEGVPEVPASLNEVPIQAVGSAIGGLSDNPLLVDSVSAMPNVDHVNADVEMNGADADGNQLEQSTLASERGADEPSSRQETLVARDAAQADQTGLDNGAPATNAIDPTFLEALPEDLRAEVLASQQAQSVQPPTYAPPSVDDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADLREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLSSRRNGLGFDRQTVMDRGVGVTIGRRATSTIADSMEVKEMEGKPLLDANALKALIRLLRLAQPLGKGLLQRLLLNLCAHSTTRATLVRLLLDMIKPEAEGSISGLATINSQRLYGCQSNVVYGLPPLVLRRILEILTYLSTNHTSIANMLFYLDPSIVSEPLSPKYLETKMDKGKEKIDDGGDSLKPLGDTDDIPLILFLKLLNRPLFLRSTAHLEQVMGLLQVVVFMAASKLESQAQSGQARETSQKQTVGEASSDVPSVPPVVAESSEEDKAASAGLSVSDGKRSIDASSVFLQLPQADLRNLCSLLGREGLSDKVYMLAGEVLKKLASVVATHRKFFTLELSELAHGLSSSAVSELVTLRNTHMLGLSSGSMAGAAILRVLQALSSLTSPTVDENMNVEHNGEQEEQATMWNLSIALEPLWQELSECISVTEMQLIQSTFGRTMSNITVGEHVQGSSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHMSITAREVKESSGSSSSTTAYMGDSQRKLDGAVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHLSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNNVTFQPNPNSVYQTEHLSYFKFVGRVVAKALFDGQLLDVYFTRSFYKHILGVKVTYHDIEAVDPDYYKNLKWMLENDVSCVPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQITSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTSASSVIQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKFQIHKAYGAPERLPSAHTCFNQLDLPEYTSREQLQERLLLAIHEASEGFGFG >Potri.001G004800.1.v4.1 pep chromosome:Pop_tri_v4:1:311465:321307:-1 gene:Potri.001G004800.v4.1 transcript:Potri.001G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004800.v4.1 MDESTQFQQSQLAAILAGDPSQFEILISSLMSSSNETRSQAELLFNLAKQHDPNSLSLKLAQLLQFSPHLDARAMSAVLLRKLLTRDDSYLWPRLSLQTQSSLKSILLACLQQESVKSITKKLCDTVSELASGILPDNGWPELLPFMFQCVTSDSVKLQESAFLIFAQLSQYIGESLVPYIKELHGVFLQCLGSSTNFDVKIAALNAVTNFIQCLNNTSERDRFQDLLPSMIRTLTEALNNGNEATAQEALELLIELAGAEPRFLRRQLVDVVGSMLQIAEAEGLEEGTRHLAIEFVITLAEARERAPGMMRKLPQFISRLFAILMSMLLDIEDDPAWHSAENEDEDAGESSNYSMGQECLDRLAISLGGNTIVPVASEQLPAYLAAPEWQKHHAALIALAQIAEGCSKVMLKNLEQVVTMVLNSFYDPHPRVRWAAINAIGQLSTDLGPDLQNQYHQRVLPALAAAMDDFQNPRVQAHAASAVLNFSENCTPEILTPYLDGVVSKLLVLLQNGKQMVQEGALTALASVADSSQEHFQKYYDAVMPYLKTILVNANDKANCMLRAKSMECISLVGMAVGKDKFRDDAKQVMDVLMSLQGSQMESDDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDNDIDDTDDESMETITLGDKRIGIKTSVLEEKATACNMLCCYADELKEGFFPWIDQVAPTLVPLLKFYFHEEVRKAAVSAMPELLRSAKLAVEKGLAQGRNESYIKQLSDYIIPALVEALHKEPDTEICANMLDALNECLQISGTFVDENQVRSIVDEIKLVITASSSRKRERADRAKAEDFDAEESELIKEENEQEEDVFDQVGEILGTLIKTFKASFLPLFEELSSYLTPMWGKDKTAEERRIAICIFDDVAEQCREAALKYYDTYLPFLLEACNDENPDVRQAAVYGLGVCAEFGGSVFKSLVGEALSRLNVVIRHPNAKQPDNVMAYDNAVSALGKICQFHRDSIDSAQVVPAWLNCLPITGDLIEAKVVHEQLCSMVERSDIELLGPNNQYLPKIVSVFAEVLCGKDLATEQTLSRMVNLLRHLQQTLPPATLASTLSLLHPQQQLALQSILST >Potri.017G007500.1.v4.1 pep chromosome:Pop_tri_v4:17:559574:564038:-1 gene:Potri.017G007500.v4.1 transcript:Potri.017G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007500.v4.1 MGSLVKGVMIHESLLIHDYATPSLHSSAHSLLRKLRHSNLHIGISFSPSLPHNKVSVLKKMAMEYSFDCFLLDDESSVDGVNEITLSWGGIGGKILFLVPSDKKVAFGQLSNLGWIIVVFDVEGAGACESSGVVCISKLEELPMIICASIRKAIGDGVVTVGYIMKPSREEDFAKRGAFPMNPSPNGLMFLPLTFELPLQSQLQLVDIVLHKATDEIISVDLSGSSESSNRITFSAGMQELQRYMEHHSDCFAIDPLDKIYPVLDRLKIQQILLGLDALNKERCRAIRGPHFLKVNGFNDPDLAQSLSEAKLSLPSIVKPQVACGVADAHSMAITFRVEDFKDLNVPLPAIVQEYVDHSSTIFKIYVLGEQVFYAVKKSIPNADVLTKSSERNELRPLLFDSLKSLPTSTGHSTGADSIKTNVNSFDLELVTDAANWLARKLDLTIFGFDVVIQEGTSDHVIVDVNYLPSFKEVPDDIAVPAFWNAIRHKFESRKRR >Potri.001G220100.1.v4.1 pep chromosome:Pop_tri_v4:1:22964828:22975725:-1 gene:Potri.001G220100.v4.1 transcript:Potri.001G220100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220100.v4.1 MAENERGDDQKGSSMVGPKSPWKTPVVADAPVMGTAESWPALSDAQQQQQQQQRSKLTDSASKPPPPPTVTVASGGDTAAPPEASPRGLSGQQRSHGSGNTISSNKHSPSRHQKSGSKRNPNGAPPFPAPFPYQQPHIPPVYPAIVPPPHIAVSGFAYQPGPPPFPPVENHLVKSGSDASPMQPFVPPVNVQPPPRGDPNAYAVNFPNRRLNGQESGGHLNQLWHHQRAFGPRDNIVLQQGMGPRHLIRPPFFASPPGFMVGPTYPGPPICYIPVASPGSLRGPHPPRFVPYPINPGAPMLPQEIQTLRASIIRQIEYYFSDENLLNDHYLISLMDDQGWVPISTIAEFKRVKKMTTDISLILDALQSSGSIEVQGEKIRKRDYWSKWIPASSQQAMSLKAQTSEGQPGKNAEEDSTSGLSKESAEFSPCTTVKEAKKLSNGDIGKLEGDEKSVLFKAGKPGFDGDSDLGACYTTPYPDNTQGFRPLALNYHVTEGMEDAQNLADFSNDFANTFMLDEELELEQKSLKNEGCSPVRRVDDEEDEMVVNDQDVQRLVIVTQNSRPGEESIKSGDKSKSISIELASAINDGLYFYEQELKTKRSNRRKNSSSYSKAGEISAASCVHEESGSSNHTRKQNKGFPKQQSSHTQRFFSSNFRNHGTGRNNFGIISESPPSNSVGFFFSSTPPENHGPRSSKLSVSPHGMLSGSSPPVGSMPNSFPPFQHPSHQLLEENGFKQQKYLKYRKRCLNDRKKMGIGCSEEMNTLYRFWSYFLRNMFVPSMYNEFRKFALEDASANYYYGMECLFRFYSYGLEKEFRDDLYKDFEELTLDFYCKGNIYGLEKYWAFHHYCGLGDKEPKKHPELDRLLREEYRSLEDFHARERSMKKDGH >Potri.003G076800.1.v4.1 pep chromosome:Pop_tri_v4:3:10420592:10425547:1 gene:Potri.003G076800.v4.1 transcript:Potri.003G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076800.v4.1 MASSREPWLMLRFLLLLVLAVYKTTAFGVVVKAGQIEQQQQLQQEGPPQRHKNAYATMMYMGTPRDYEFYVAIRVMLRSLAKLQVDADLVVIASHDVPHRWVHTMEQEDGARVMRVENVNNPYKNQPNFDRRFLLTLNKLYVWKLVEYERVVMLDADNLFLRKPDELFQCGQFCAVFINPCIFHTGLFVLQPSMEVFNDMLHQLEIGKHNPDGADQGFISSYFPDLLDMPMFHPPLNGTTVNGSYRLPLGYQMEATYYYLRLRWNVPCGPNSVITFPGALWLKPWYWWSWPVLPLGIQWHEQRRQNMGYGAETTMALIQCIVFVGIIAVTRLARPNISKLCYRRTEKNISAIQAGLKMLAIWSILAAYILPIIIIPCTIHPLLGWGLYLLGSFALCTIAINAFMLPTLPVLTPCLGIFGVLLVMAFPLYSNGIIRALSIFGYAFCAAPFLWVSVVKIMASLQASLERENFFPRLGESSPPSGFNKLY >Potri.003G190200.1.v4.1 pep chromosome:Pop_tri_v4:3:19408080:19410052:-1 gene:Potri.003G190200.v4.1 transcript:Potri.003G190200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190200.v4.1 MERYMLVKELGEGAFGSVRQAINQETGEVVAIKQIKREYDSWDECLSLREVKSLQKLNHPKIVKLKELILRNKLLYFVFEYMEQNLYQVIADRKTLFSEAEVRDLCRQVFQGLAYMQKQGYFHRDLKPENLLVTRGAVKIADFGLAREINSRPPFTQYVSTRWYRAPEVILQSDFYNSKVDMWAMGAIMAELFTLRPLFPGTGEANQMYKICSVLGAPTMDSWADGIHLARTINYQFPEFDGVPLSALIPSASEDAISLISMLCSWNPCNRPTADEALKHPFFRSCFYIPPSLRFRDAASAGTSGESEQECYKRYHGALSNSILNHSFPSPNKLHAYSSTPVHRDLNRANQHVSIPAKQFRFGPQSSNMGGTTARGVSDTADTLPHMTNGSRKQFVVQSRLLPLKAGEQGIAESADMFIRPAQKFHPATIYTGKVAV >Potri.010G224650.1.v4.1 pep chromosome:Pop_tri_v4:10:20872063:20872886:1 gene:Potri.010G224650.v4.1 transcript:Potri.010G224650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224650.v4.1 MAIKKIVAAFLILTFLVMVCAVDDPLTTCVNSCLPQCIETAPSSLRPKCEAACLEFCTAH >Potri.002G129000.1.v4.1 pep chromosome:Pop_tri_v4:2:9762816:9771836:-1 gene:Potri.002G129000.v4.1 transcript:Potri.002G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129000.v4.1 MEETAAVLTVKELVLRAQPLTATSSLKSKLNSTNQKPQSQSPPPSTNPNPKILTPLNHSVILLGTLTLPTETLKCPIRNCFRFSDDSSTICCDILDFRVNIIGKKIRVLAWNFIPLRQFCGGGFLEIIKWSFVDSTSSVISRSSSSLDLFPLVSGSPSNEEDQSKARYSVNGPIESISPVFIVPCSIGDGKSQNLRGFVARIMVCQCKTCNSPESIKFSHSVDQGNDSHSFTKPSFVYFCGASWCWHPVITKLVGIVIMLSGLKKKLVFIGKEESQLMFVTTEYSVLQLPKVLKKWPPLSRNVIRGNGECGVYTGVVRGVYMQGMVVELDKEVWLLLTDQLVTAPHSLREGAIITARNVHFVNPKFSWTKMLVLGACFKTSITVESFSPMETGCHMVAQSQSQLGKFIESLTFSARLWTLLVISCFRKKFAGILSEKEILGSKHKEGLAQMFARSHLPESIFRARLGVLTELCRHESCGCGSEPYHGNLKLVAPISILLSHCDNMWMRTVSKDCHTSQEKSRFNLLSHERTSYCLPLRRNFSSEDIGILLLGSLKISPSSGRLQLVDATGSIDVLVPDLPSTWKTNNIYEVVDYSLIMEGMPKVVDHLGLLNNESLSCSHIFHYVPRATEMNLAIYSYFHLSRATCKNLCFYPSVPFNEDLQELQSGRFHLIWISHKYPLLHQLQGDPVIPNRANVFVEAVALPWDLSIAGMDPIAHSGEISRIWLNKPLRHYIGENNEEYLPNKKCKMDCMPSQDFVSVLVDDQSYVGSELSACSGSLRESTKWKCGELSCPEIPCLAIARNANSHNLVSSGKLCCTNCKVKIGADYKPSGRKILLEFSSDSLFRYQMLQIGGYYVIKHRKEESFCCLKDYNNTGGGKICVSSRICLWSLSFSSDDVTNDKSLDDALPGDSSLSNEEVLMKNQVELLLRRSTGNSPECSDVQLHLSADVMHLLVLKLNKMKEGFMPVVMPEEAFNGSPHFMTKMSASSTPFASSNSCCVFPEGNLISVLGNVVAFHFLNSNLANAHSSCETVSDIPHMGCFQGIPSSCCVHVLVDKQMVRIFGSLSKHAYAVGFGAGVNATFHRVLKLRGTNRLMLTPASFIVINSIRVANEASQENSSDLWLYRSSAASSDKVSFVMISELNQRAESKPVKFYCRVVAVHVLVLENRKYLASKVHSMQHFLDIPLASFVLDDGSSSCYCWANAERAATLLRLHEELPMRAFESSGCTLKWVGITKSSWKTTMYHLEKMLKKHHRIVVKNHGSMVDSSYQDLNVSVSSDGDLSSSDDNLLKFIIFHACFGTFWTVTASAMEMNAVNQLEKEHLMQMEMAPHPMQNVWAKEVCYTDTRTEAWNMVQEQLRR >Potri.001G276500.6.v4.1 pep chromosome:Pop_tri_v4:1:29031829:29034553:-1 gene:Potri.001G276500.v4.1 transcript:Potri.001G276500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276500.v4.1 MDLFLLNQKHTLTILKTHKRYNFISYLLNPASKSSFRCLQTIETSQNPYKEPFSSATTLPDSLLVEKIIFNLKHGNVNSLLSYQLRLNPSVIVDVLCRCNGNLQLGQRFIDSSVLNGSNFKHSSVSLSAMVHVFVRSRRLSDAQALILRMIRRSGVSRVEVVEALVSSMCGNCGTNNLVFDLLIRTYVQARKLREGTEAFRILRSKGYLVSINACNSLLGGLVKIDWVELAWEVHREVVRSGIELNVYTLNIMVNALCKDGKFDDVKSFLSEMEGNGIYADMVTYNTLIGAYCREGLLEEAFEIMNSMADKGLKPSLFTYNAIINGLCKKGRYARAKGILIEMLNIGLSPDTTTYNTLLVESCRRDNFSEAKEIFGEMLRQGVVPDLVSFSSLIAVFSRNRHLDQALVYFRDMKKFGLVPDNVIYTVLMHGYCRNGNMLEALKIRDEMLEQGCVLDVIAYNTILNGLCKEKMLTDADKLFDEMVERGALPDFYTFTTLIHGHCQDGNMTKALSLFGTMTQRNIKPDIVAYNTLIDGFCKVGEMEKASELWDGMISRKIFPNHITYGILINAYCSVGHVSEAFRLWDVMIEKGIKPTLVTCNTVIKGYCRSGDSSKADEFLGRMIAKGVAPDHISYNTLINGFVREDNMDKAFLWINKMEKEGLLPDIITYNVVMNGFCRQGRMQEAELVLRKMIEKGINPDRSTYTALINGHVTQDNLNEAFRFHDEMLQRGFAPDDVF >Potri.003G204500.9.v4.1 pep chromosome:Pop_tri_v4:3:20368529:20373207:-1 gene:Potri.003G204500.v4.1 transcript:Potri.003G204500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204500.v4.1 MNWNTLMDGHSMNASYPYNSAGSFIEYFEGLTYDHVNFIFNGASHVQDSVYPSMNANFYKFGLSPPGSASYYDPAYVYEVYNHGLRNEEYRRPPSENSSTATNERTSRVNTEWEVNENRSSHDDPVECLRRHHNVQDYQAIWQDHVDPDNMTYEELLELGETVGTQNRGLSQEQISLLPTSKYKRSFFSRRKSRSERCVICQMEYKRGDRQITLPCKHIYHAGCGTRWLSINKACPICYSEVFGDASKH >Potri.003G204500.13.v4.1 pep chromosome:Pop_tri_v4:3:20369097:20373189:-1 gene:Potri.003G204500.v4.1 transcript:Potri.003G204500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204500.v4.1 MNWNTLMDGHSMNASYPYNSAGSFIEYFEGLTYDHVNFIFNGASHVQDSVYPSMNANFYKFGLSPPGSASYYDPAYVYEVYNHGLRNEEYRRPPSENSSTATNERTSRVNTEWEVNENRSSHDDPVECLRRHHNVQDYQAIWQDHVDPDNMTYEELLELGETVGTQNRGLSQEQISLLPTSKYKRSFFSRRKSRSERCVICQMEYKRGDRQITLPCKHIYHAGCGTRWLSINKACPICYSEVFGDASKH >Potri.006G056800.2.v4.1 pep chromosome:Pop_tri_v4:6:4006834:4011544:-1 gene:Potri.006G056800.v4.1 transcript:Potri.006G056800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056800.v4.1 MKETDMRRTPNTNQSKRSGKTERRDRKPNQASNIKGVESKALFLAKPDSSSAVLVSDSNTGSEPPEVYENLVIHYVDDANRSEEAPRDSKVNPMIAKASKDEALDDHSDELGREANQGKEGEWDSETIKDSVSSQGDSVIAEDEKVENVSRVPKSVSNKNSSESGPRGSRVRSDRDNGKSRSKAVNNTTKKPAKTNKGLSKVTSKSSFDKNSKDMTVPPKPSPESSEGADDKSAEDVREIDVLDEASNGTQSVASDNETADAEENGEHEDEAELIQKIEDMEMRIGKLEAELREVAALEISIYSVVPEHGNSAHKVHTPARRLSRLYIHACKQWTQDKRATIARNTVSGLALISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRNSSPLARHAGSNGGSKKSEGKSTAVKWKSGSGSKQVNGFMQFADDWQETGTFTAALEKVESWIFSRIVESVWWQALTPHMQSPAADLPSNKTSGRLLGPALGDQQQGSFSINLWKNAFKDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESSHEIPTDPISDPIVDSKILPIPAGDLSFGSGAQLKNSVGDWSRWLTDMFGIDADDSLKEDQHDSEGDDRRYGETKSFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFWPDPVSGAVLEALNAESIVERRLLGDAARNFPYTAAPVVYTLPSSSDVAVAGTKSQLSRNASSVQRKGYTSDEELEELDSPLTTIIEKLPSSPTIMANGNGNGKHKEHAGDLVANARYELLREVWSA >Potri.006G056800.3.v4.1 pep chromosome:Pop_tri_v4:6:4006822:4011543:-1 gene:Potri.006G056800.v4.1 transcript:Potri.006G056800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056800.v4.1 MKETDMRRTPNTNQSKRSGKTERRDRKPNQASNIKGVESKALFLAKPDSSSAVLVSDSNTGSEPPEVYENLVIHYVDDANRSEEAPRDSKVNPMIAKASKDEALDDHSDELGREANQGKEGEWDSETIKDSVSSQGDSVIAEDEKVENVSRVPKSVSNKNSSESGPRGSRVRSDRDNGKSRSKAVNNTTKKPAKTNKGLSKVTSKSSFDKNSKDMTVPPKPSPESSEGADDKSAEDVREIDVLDEASNGTQSVASDNETADAEENGEHEDEAELIQKIEDMEMRIGKLEAELREVAALEISIYSVVPEHGNSAHKVHTPARRLSRLYIHACKQWTQDKRATIARNTVSGLALISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRNSSPLARHAGSNGGSKKSEGKSTAVKWKSGSGSKQVNGFMQFADDWQETGTFTAALEKVESWIFSRIVESVWWQALTPHMQSPAADLPSNKTSGRLLGPALGDQQQGSFSINLWKNAFKDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESSHEIPTDPISDPIVDSKILPIPAGDLSFGSGAQLKNSVGDWSRWLTDMFGIDADDSLKEDQHDSEGDDRRYGETKSFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFWPDPVSGAVLEALNAESIVERRLLGDAARNFPYTAAPVVYTLPSSSDVAVAGTKSQLSRNASSVQRKGYTSDEELEELDSPLTTIIEKLPSSPTIMANGNGNGKHKEHAGDLVANARYELLREVWSA >Potri.004G192400.1.v4.1 pep chromosome:Pop_tri_v4:4:20634205:20639501:-1 gene:Potri.004G192400.v4.1 transcript:Potri.004G192400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G192400.v4.1 MWVFLLMMPLLLCPTAASTARAPDVKPRCQDKCGDVSVPYPFGIREPRCAMNDDFFLNCSSGAELLFGRNIPVRKISVLEGTVTVGIVAAFDCYNKTWDKADKLSRRRISLGPGPFMFSDTRNVFTAIGCDTSAQVTNKNGTYGAACLSICTEYVNMSDGNPCSGSGCCQTSIPKGLKSLKISTSLYSGNMNVADFNPCGVAFLADRSSLKLSDWPLSRKPKYGNDAYRTDAVIEWVVENKTCDQAKADSTSAYACGTNANCNYPEIGQGYRCSCNEGFEGNPYLPDGCQDIDECKVRGKNPCQEGTCENVNGDYKCRCPLGKYGDGKTGCKGVGIIAIIAAVGASIFLVVICLLLYMICTKRIKEKNFQENGGKILKNQRVRIFSEAELVKATNNYADDRKLGEGGFGSVYKGVLTDNTLVAVKKSKGVDKAQMNEEFQKEMSIVSQVNHKNVVKLLGLCLETKVPLLVYEFISNGTLSKHIHDKGSRTLASWTNRLRVASEAALALDYLHSLADPPVIHGDIKSVNILLDNNYTAKVADFGASVLMSPGQTNILATKIQGTLGYLDPEYLMTGILTVQSDVYSFGVVLVELLTGEMPNSISKSGEKRNVIQHFISALENNHLFKILDFQTADEGEIDEIEAVAELAKGCLNSMGVNRPTMKEVSDELAKLKALHQKSWVQQNSEETDYLLGESSQSFCKNASPPMNQSQSVISLQIENYTNSN >Potri.005G034000.1.v4.1 pep chromosome:Pop_tri_v4:5:2250808:2251448:1 gene:Potri.005G034000.v4.1 transcript:Potri.005G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G034000.v4.1 MFTSKNKVGYLLLILLSISAFDHGVLGARNLKERVEYTKKTEGSVNIQDATVSRAVPSGPDPLNNR >Potri.005G009450.1.v4.1 pep chromosome:Pop_tri_v4:5:832058:833547:-1 gene:Potri.005G009450.v4.1 transcript:Potri.005G009450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009450.v4.1 MREIIQMKSREESFVMIANGTRISKNEKVRRLSIHENSEEVQSDMRFPYLWSFLSFSSHHSFEHGFRNYNLLRVLNLDRAPLSSFLPELIELIHLRYLSLRWTMIRELPESIRKLKYLEILDLKGSPVSSLPAGITQLTCLCQLRNYRHSFQSSSFFPDTHGMRVPSGIGRLTNLQTLGSVEVKEDYELVRELGKLTQLRRLGILKLREEQGMDLCYTLDSFTRMDSFTPVYFQASASIV >Potri.001G468900.1.v4.1 pep chromosome:Pop_tri_v4:1:49303940:49307847:1 gene:Potri.001G468900.v4.1 transcript:Potri.001G468900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G468900.v4.1 MRLSMFSPLSLSILLLYIFQSQNCAAKKSYIVYMGESSFSPSSSSGEKSFSESSLTTLDVKAMTKSHFDMLGTYLDRKEKVEDQMLYSYTRCINGFAAVLDESQVAALNDNPGVVSIFENKENRMYTTHSWDFLGFEKNGVPSLYSLQKKANFGEDIIIGNLDSGVWPESKSFNDEGMGPVPSKWKGTCDDGGGVTCNKKLIGARYFNKGFAANNGPVPEEWNTARDDASGHGTHTLSTAGGSYVPGVNVYGVGNGTAKGGAPKARVATYKVCWPSANGGCTDADILAAYDAAISDGVDVISVSLGSDEPIQFYEDGISIGSLHAIKKGIPVIAAGGNNGPSDGSITNGAPWLFTIGASTMDREIFTTVTLGDKKLFKGKTLASKNLPDGKLYPLINGAEAALAEATPRDAQLCLDGTLDPNKVSGKIILCLRGQSPRLPKGYEAERAGAVGMILANDIISGDELYLEAYELPSAHITYADGESVMDYIKATRNPTASISPAITNFGVKPSPAMAKFSSRGPSKIEPAVLKPDVTAPGVDVIAAFTEAIGPSRRPFDKRRTPYMVMSGTSMSCPHVSGIVGLLRAIHPDWSPAALKSAIMTTAKTKCNNKKRMLDYDGQLATPFMYGAGHVQPNLAADPGLVYDTNVNDYLSFLCAHGYNKTLLNAFSDGPYTCPENFSFADFNYPSITVPDLKGPVTVTRRVKNVGAPGTYTVSIKAPAKVSVVVEPSSLEFKQAGEEQLFKLTLKPIMDGMPKDYEFGHLTWSDGLHRVKSPLVVKHV >Potri.017G084800.1.v4.1 pep chromosome:Pop_tri_v4:17:9760222:9761148:1 gene:Potri.017G084800.v4.1 transcript:Potri.017G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084800.v4.1 MDASQLVSSLVILQKESSNANQSFSPLFTMVHLVLSELIFSRFSNLQKFLARFWFFLQSQLGLGNSELWEDDDGNQDSELSKQTQESCFDNKRPDERSICREDVEMVMENLGLFCSSESEELKEWMGSDEISQLFDEKEPSLEEVKEAFNVFDHNRDGFVDASELQRVFYKLGLKEGLQLEKCRKIIRTFDENGDGRIDFNEFVKFMENSFC >Potri.001G087500.1.v4.1 pep chromosome:Pop_tri_v4:1:6964047:6967455:-1 gene:Potri.001G087500.v4.1 transcript:Potri.001G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087500.v4.1 MAGTWTARGVVVSAIILFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAVNAERTIFDVKRLIGRKFEDKEVQKDMKLFPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGIIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRECERAKRALSSQHQVRVEIESLYDGMDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTGVPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDKGRLSQEEIERMVREAEEFAEEDKMVKERIDARNSLETYVYNMKNQINDKDKLADKLESDEKEKIETATKETLEWLDDNQNAEKEDYEEKLKEVEAVCNPIITAVYQRSGGAPGGGSAEDPEDDSHDEL >Potri.008G111900.1.v4.1 pep chromosome:Pop_tri_v4:8:7111892:7114921:1 gene:Potri.008G111900.v4.1 transcript:Potri.008G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111900.v4.1 MEDFWKRAKVFAEEAAKKSQTFTTSSNTIADLVTETAKKSKELALEASKRADQLKIAALKQADSIQFKSISDIIPPQLSSLSIVNTNAFSSASSSGSGPVVSDSELREFGVTDDLRDFVKGLTSSTFQNFPIQDEGEPSDVETTESNVRKDLSEWQERHATLVLTTVKQISKLRYELCPRVMKERRFWRIYFTLVSTHVATYEKQYTEEVKRKAEEQMKDEKAKESSGVGENSSKSESTEKSLKSKASSDEQELDSFLLGDIEDSDGGPDDGDVSFDDDFDKIGNSDIEDEKHSKKGTGTTS >Potri.019G128800.1.v4.1 pep chromosome:Pop_tri_v4:19:15147552:15154052:-1 gene:Potri.019G128800.v4.1 transcript:Potri.019G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128800.v4.1 MGDLQVCDFPTENGHFHDHHHHQNDHDHHHGLLGSGQDPVSPSFSSNPDPWSIVEENWERAEEFTREIVYRIHPTVESNFKRKQIIGYVQRLIKSSLGFEVFPYGSVPLKTYLPDGDIDLTSISSPAIEEALVSDIHAVLRREELNEDSTFEVKDVHCIDAEVKLIKCIVQNTVVDISFNQLGGLCTLCFLEEVDRLVGKNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLILYIFHLFHCSLNGPLAVLYRFLEYFSKFDWENYCISLNGPVCKSSLPNIVAEPLENGQGELLLSDEFLKDCADRFSVPSRKPEMNSRPFPQKHLNIVDPLKENNNLGRSVNRGNFFRIRSAFKYGARKLGQILLLPKERIADELKIFFANTLDRHGSDYWTEVGNSELASGARSSDNSVSRSSHSDTCSEDDMHLKLNGGYDNDTLFSEKSNHTPPLHFPGLSEGNREMLINFSADDEMSCIFRPEPKQNHFQNSNSVCSCTKHEGIAPSVSTTPNPADDVPENLSTTRVEKDFAGITGNSQPLKSLLGLRGDHNGHLQSLAYSQYCHMHAVSAPIPPCPSMLPLSENKNRWETVQQSLQLKQNGHSQMNTNHVFGTQLYCVNPVGPFRAATDSEEKKKRRGTGTYIPNMSYHSSRGDRLSLGRGRTQPQANHGQLHKYTHENGLPTTLQEKNLSEHGHDLSEAEYPHLGNGKPVPLEAHHSYPSVWGSSNANGSSRAFVRTDCGSRGLQHPEGPPSTSDLVVLSCPGTSATSPVASTAKDLEILENEQERALLQQYHLKDNVHFPPLTQ >Potri.010G174900.7.v4.1 pep chromosome:Pop_tri_v4:10:17553877:17572245:1 gene:Potri.010G174900.v4.1 transcript:Potri.010G174900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174900.v4.1 MTQPSAPPPLASQPSPQPHSAGGSVQVRCAGCRMILTVGPGITEFVCPSCKMPQMLPPELMKKAVAPPPQNNNNMLHKITSPSQLQTPAHGVDPTKMQLPCANCKAILNVPHGLARFQCPQCFIDLAVDLSKIKQLFPPPPAIPPPSRAVLPLPPLPRPVLPPPPEEVNEVAIEVEREEDEGGTVGETFTDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLKIKDDLESSKALSCLQIETLVYACQRHLQHLPNGARAGFFVGDGAGVGKGRTIAGLIWENWHHARRKALWISVGSDLKFDARRDLDDVGAAHVEVHALNKLPYSKLDSKSVGVREGVVFLTYSSLIASSEKGRSRLQQLVQWCGSGFDGLLIFDECHKAKNLIPEAGSQPTRTGEAVLDIQARLPGARVIYCSATGASEPRNMGYMVRLGLWGDGTCFDVFQKFLGVLEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEIVEAPLEPEMMDMYKKAAEFWAELRVELLSASTFLTNDKPNSSQLWRVYWSSHQRFFRHMCMSAKVPAAVRIAKQALTEEKCVVIGLQSTGEARTEEAVSKYGSELDDFISGPRELLLKFVEENYPLPEKPEQQGEEGVKELQRKRHSATPGVSSKGRVRKAARWKPESDDDFDEGFGTDSGGESNGSDDEFQICEICNSEEGRKKLLQCSCCGQLVHPSCLVPPVTDVVSEDWSCHSCKEKTEEFLQQQHAYLVELTKRYETALERKSKILEIIRSLDLPNNPLDDIIDQLGGPDKISEMTGRRGMLVRATSGKGVTYQPRNSKDVSMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAKNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPMYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKKALTVMYRGIMEQDTLPVVPPGCSSEKPETVQDFIMKAKAALVSVGIVRDSVLGNGKDYGKLSGRIIDSDMHDVGRFLNRILGLPPDIQNRLFDLFVSILDLLVQNARIEGNLDSGIVDMKANIIELQGTPKTVHIDQMSGASTVLFTFTLDRGITWESASTMLEEKQKDGLSSLNDGFYESKREWLGRRHFILAFESSASGMFKIVRPAVGESVREMPLAELKNKYRKLLSLEKARSGWEDEYEVSSKQCMHGPNCKLGNFCTVGRRQQEVNVLGGLILPVWGTIEKALSKQARQSQKRLRVVRLETTTDNKRIVGLLVPNAAVESVLQDLAWVQDIDD >Potri.010G174900.1.v4.1 pep chromosome:Pop_tri_v4:10:17553761:17572247:1 gene:Potri.010G174900.v4.1 transcript:Potri.010G174900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174900.v4.1 MTQPSAPPPLASQPSPQPHSAGGSVQVRCAGCRMILTVGPGITEFVCPSCKMPQMLPPELMKKAVAPPPQNNNNMLHKITSPSQLQTPAHGVDPTKMQLPCANCKAILNVPHGLARFQCPQCFIDLAVDLSKIKQLFPPPPAIPPPSRAVLPLPPLPRPVLPPPPEEVNEVAIEVEREEDEGGTVGETFTDYRPPKLSIGPPHPDPIVETSSLSAVQPPEPTYDLKIKDDLESSKALSCLQIETLVYACQRHLQHLPNGARAGFFVGDGAGVGKGRTIAGLIWENWHHARRKALWISVGSDLKFDARRDLDDVGAAHVEVHALNKLPYSKLDSKSVGVREGVVFLTYSSLIASSEKGRSRLQQLVQWCGSGFDGLLIFDECHKAKNLIPEAGSQPTRTGEAVLDIQARLPGARVIYCSATGASEPRNMGYMVRLGLWGDGTCFDVFQKFLGVLEKGGVGALELVAMDMKARGMYVCRTLSYKGAEFEIVEAPLEPEMMDMYKKAAEFWAELRVELLSASTFLTNDKPNSSQLWRVYWSSHQRFFRHMCMSAKVPAAVRIAKQALTEEKCVVIGLQSTGEARTEEAVSKYGSELDDFISGPRELLLKFVEENYPLPEKPEQQGEEGVKELQRKRHSATPGVSSKGRVRKAARWKPESDDDFDEGFGTDSGGESNGSDDEFQICEICNSEEGRKKLLQCSCCGQLVHPSCLVPPVTDVVSEDWSCHSCKEKTEEFLQQQHAYLVELTKRYETALERKSKILEIIRSLDLPNNPLDDIIDQLGGPDKISEMTGRRGMLVRATSGKGVTYQPRNSKDVSMEMVNMHEKQLFMDGKKLVAIISEAGSAGVSLQADRRAKNQKRRVHLTLELPWSADRAIQQFGRTHRSNQASAPMYRLLFTNLGGERRFASIVAKRLESLGALTQGDRRAGPSLSAYNYDSAYGKKALTVMYRGIMEQDTLPVVPPGCSSEKPETVQDFIMKAKAALVSVGIVRDSVLGNGKDYGKLSGRIIDSDMHDVGRFLNRILGLPPDIQNRLFDLFVSILDLLVQNARIEGNLDSGIVDMKANIIELQGTPKTVHIDQMSGASTVLFTFTLDRGITWESASTMLEEKQKDGLSSLNDGFYESKREWLGRRHFILAFESSASGMFKIVRPAVGESVREMPLAELKNKYRKLLSLEKARSGWEDEYEVSSKQCMHGPNCKLGNFCTVGRRQQEVNVLGGLILPVWGTIEKALSKQARQSQKRLRVVRLETTTDNKRIVGLLVPNAAVESVLQDLAWVQDIDD >Potri.009G155200.5.v4.1 pep chromosome:Pop_tri_v4:9:12120198:12133742:-1 gene:Potri.009G155200.v4.1 transcript:Potri.009G155200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155200.v4.1 MHIKQVIIEGFKSYREQIATEPFSPKVNCVVGANGSGKTNFFHAIRFVLSDLFQNLRNDDRHQLLHEGAGHQVLSAFVEIVFDNSDNRIPVDKEEVRLRRTIGLKKDEYFLDGKHITKTEVMNLLESAGFSRSNPYYVVQQGKIASLTLMKDSERLDLLKEIGGTRVYEERRRESLKIMQETGNKRKQIIQVVQYLDERLKELDEEKEELRKYQQLDKQRKSLEYTIYDKELHDARQKLLEVEDARSKVSEKSAKMYNDVLNAHEESKDLEKVLKDLTKEVQALNKEKEAAEKQQTEAIKKQTELELDVKDMLERFSGNIQAKDDAMKQLHILQKEIQDSQKELNKISPIYEEHLSKEKDITKRIMEREKQLSILYQKQGRATQFSSKAARDKWLQKEIDDLQRVLSSNLAQEQKLHEEIYRLNADLKERDAYIESRKAEIATLDSLIFQSREGFNSHKAQRDKLQDERKSLWKKESELSAEIDKLRTEVDKAEKSLDHATPGDVRRGLNSIRRICREYKISGVFGPIIELLDCDEKYFTAVEVTAGNSLFHVVVEDDNISTQIIRHLNALKGGRVTFIPLNRVKAPRVTYPQSSDVVPLLKKLKFSPNFTPAFAQVFARTVICRDLDVATRVARTDGLDCITVDGDQVSKKGGMTGGFYDHRRSKLKFMNMIMQNTKSINIKEEELEKVRFMLQDIDQRITERVTEQQKIDAKRAHDKSELEQLKQDIANANKQKQFISTALENKEKSLADVRNQIEQLNASMVMKQAEMGTELIDHLTPEEKYELSQLNPEIKDLKEKLITCRTDRIETETRKAELETNLTTNLKRRKQELEAIISTVDSDTLHGEDELKRQELNDAKSLAEVTTLELKRVSDKIDRLKEELKEKKDKKTELKVLEDRYEKTLQDEAKELEQLLSKRSIFLAKQEEYSNKIRELGPLSSDAFETYKRRGVKDLHKMLHRCNEQLQQFSHVNKKALDQYVNFTEQREELQKRQAELEAGDEKIRELISALDQRKDESIERTFKGVARHFREVFSELVQGGHGHLVMMKKKDGDHGDDDYDDDGPREADLEGRVEKYIGVKVKVSFTGQGETQSMKQLSGGQKTVVALTLIFAIQRCDPAPFYLFDEIDAALDPQYRTAVGNMIRRLADMANTQFITTTFRPELVKVADKLYGVTHKNRVSRVNVVSKEDAIDFIEHDQSHNVE >Potri.019G053200.2.v4.1 pep chromosome:Pop_tri_v4:19:8902841:8914495:-1 gene:Potri.019G053200.v4.1 transcript:Potri.019G053200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053200.v4.1 MDFDAGIPMSRGGGGLPAVTEETSLSPSLSEDAMWQMNLRSSETMEAGPYPERPGEPDCSYYIRTGLCRFGPTCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCKFHHPRDKAGVSGRVSLNILGYPLRLNEMECAYYLRTGQCKFGSTCKFHHPQPANVMVPLRGSPVYPTVNSPTTPGQQSYPGGLATNWSRASFITSPRWQAPSNYTPLILPQGVVSVPGWNAYSGQVGSVSSPESQQQTGNSQIYGTSRQNESVNAGSQGTFSPYRSDSVPMGFYALQRESVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSAIGLPLRPGEPLCIFYSRYGICKFGPSCKFHHPMGIFTYNLTASSSADAPVRRLLGSSSGSAALTLSSEGLVEAGSTKPRRLSLSEPRQMPPGDDNIDTGG >Potri.008G050700.2.v4.1 pep chromosome:Pop_tri_v4:8:2972954:2974137:1 gene:Potri.008G050700.v4.1 transcript:Potri.008G050700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050700.v4.1 MPINRIAVGTPGEASHPDSLRAALAEFISTLIFVFAGSGSGMAFNKLTDSASTTPAGLVAAALAHAFALFVAVSVGANISGGHVNPAVTFGALIGGNITLLRSILYWIAQLLGSVVACLLLKFSTGGLETPAFGLSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGNLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWLGPFIGAGIAALVYDNIFIGSGGHEPLPTNDF >Potri.018G096084.1.v4.1 pep chromosome:Pop_tri_v4:18:11697477:11700055:1 gene:Potri.018G096084.v4.1 transcript:Potri.018G096084.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096084.v4.1 MGIEVESLKNLTGGWSVAAKRCDSCKTAAAAAFCRADSAFLCLNCDTKIHHSQVNSKIMSRHERVWMCEVCEQAPAAVTCKADAAALCVTCDADIHSANPLARRHERVPIEPFYNSAESIVKTSTAFNILIPGENGVSGYDQNDDVEGVSWLLQSNHTTHDHNSKLQIENPVVKTGDMFFSEIDPFLELEYQNSIDASYEKIHGGAGADSVVPVQTKPAPLPVINHESCFDIDFCRSKLTSFSYSSQSLSHSVSSSSLDVGVVPDGNSMSDISYPFSRSMNTTTDPSMPLSGWTANQAATQLAGIDREARVLRYRERRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEMESDMDNLYNSPSSVPFMADTQYGVVPSF >Potri.014G031900.1.v4.1 pep chromosome:Pop_tri_v4:14:1989321:1993928:1 gene:Potri.014G031900.v4.1 transcript:Potri.014G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031900.v4.1 MARGVKWGCSYKRSTLIVCSINIVVALYVLRSLYASLYLYSNSDFNKVVKYTPDQVRKMEESIRIRRAKEPLELVKIVKELKEEFNRGEMVAGLPKEVTGRITDEILQRLRSLNANANISEQRDAIESWRKEKLQEVKQLVHGTGGLNSSILKQEAGMLERALESDWAVLSENIGLWVPAEIIHQEHNDKPEGEEDPEEEVLPGRPLSPECHAELHTDYGGVAVRWGLTHHKESAADCCQACLDQAKYAKPGEKKCNIWVYCPSETGCYSPDIYQHKNQECWLKHAEKPKLNFKDKYSDSFRDSHPNAPLIVPWVSGVVSA >Potri.001G243100.2.v4.1 pep chromosome:Pop_tri_v4:1:26058917:26061937:-1 gene:Potri.001G243100.v4.1 transcript:Potri.001G243100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243100.v4.1 MDACDSANQPKLKPLVILSGTAKEGSAGPPIGLVDIGVSENAYLFRVALPGIRRNESNLKCDIQHNGTVHIKGVVTVDAGMLKDSSSVFQMRVQQLCPPGPFTISFKLPGPVDPRLFCPNFRNDGVLEVAVMKYRPPSAPTNGVPPL >Potri.001G045301.1.v4.1 pep chromosome:Pop_tri_v4:1:3290107:3290319:1 gene:Potri.001G045301.v4.1 transcript:Potri.001G045301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045301.v4.1 MEVMKYRQACCLDICIIMCTCLVVERRKRDREREVFSFSLDLYLFYIRPKSEDAREFILIPKNFLIDKIR >Potri.006G281101.1.v4.1 pep chromosome:Pop_tri_v4:6:27044315:27047315:-1 gene:Potri.006G281101.v4.1 transcript:Potri.006G281101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281101.v4.1 MILILTGILTCFVFVSLSLHLFFLQTDGSLYTATPVDHVFILLPIFEEARMKKRDDLGKFRQLDEIIFINDYPGYQHLMSIAENCIGVVCEIKEIGSSNFFRLDDSKVLAWLYCKVFQLKKALCSLDKNYAAQDEKYTLAEAVSILKEYVKDEPWLMLLLDHLKLNLLEVTSKVPEAKNFPTNAEHNPVSSRVKIKQREVESKLKRPRWRQNQGT >Potri.019G007700.1.v4.1 pep chromosome:Pop_tri_v4:19:1603063:1605642:1 gene:Potri.019G007700.v4.1 transcript:Potri.019G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007700.v4.1 MALVSGLLLLMLSILFVEARTQPNQFGEIHLGSQLSPISNLNSWQSPSGNFAFGFYSQGNGFAVGIWMMGQPNNTVVWTANRDDEPVSFNATIHLSEEGKLLLRTEQGNENLIANVSEIAASASMLDSGNFVLYNGSSVIWQSFDYPTDTILVGQNLTYSDKLVSSVSSSNHSSGRFFLAMQADGNLVAYPTNSAGLSVDAYWASNTYKDSKKGLSLYFNHQGFLFMDTVPKKPVLLARSSYPCNNKTTIFRATLDADGIFRLYSHCLENKTSRSVHIEWSALNNTCNVRGFCDFNSYCSGMGTNADCSCYPGFAFNDPSEKFSGCYKNVPESFCTDTKDGQMNDVITVENILFERYPYSVLDEKKENCGLSCLEDCLCDVALYMNERCEKYTAPIRYGIKDINASSIAFFKVKPTPAAPPMSLTIIIESKKSLLVFLAIAFGSVTFLCFVIAISTFCVYRDRAYLYEKLSGIISLAGEFTLRSFSYSELEKATSGFREELGRGSIGAVYRGTIPGGDRTVAVKRLEKVLDEGEKKFRAEITVIGQTYHRNLVRLLGFCVEGSRRVLVYEYLRNGTLADLLFQSERRPIWKERVRIALDIARGILYLHEECQACIIHCNITPQNILMDDSWMAKISDFGLSKLLYPDEIRSSMALSQSRGHMAPEWQNNALMSVKADIYSFGVVLLEIICCRSSIKVDVSTPDEMNLPSWAYQCFAAGQLDKLVKDEDIEFESLERMVKIGLLCVQHDPALRPCIKNVILMLEGSDDIPAPPAIASFRITA >Potri.004G036500.1.v4.1 pep chromosome:Pop_tri_v4:4:2868548:2869370:1 gene:Potri.004G036500.v4.1 transcript:Potri.004G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036500.v4.1 MLLFTFKYSFPFLFLLSLSHPQKTTHPFCAKMKSFASFFLLALLLVACSLSVATQPDPDPDQSTKGKPTNQNNRAGGNDGGMGGFFGPGPGFGIPGFDKGWGNIGGGYGAGYGGPKGGYSRGGVVRPSVVCKERGPCYKKKLTCPAKCFTSHSRSGKGYGGGGGGGGCTLDCKKCVAYC >Potri.013G056200.5.v4.1 pep chromosome:Pop_tri_v4:13:4089225:4096876:-1 gene:Potri.013G056200.v4.1 transcript:Potri.013G056200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056200.v4.1 MKMVFISKIKWIALFVLMLSMVSLVVHLSITRLSGAYSMQSTLMPFNGFDFTASIFAPQSDRFVRNMKLWGPVMSLKSLQPYANPRSNYPVPAERNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLQSKGISYKFKSFSYLFDEDQFIASLKNDVNIVKSLPENLKAARRRNEVRTYKPKRSASPNFYVKEILPVLKKSKVIGLVLHDGGCLQSILPPSMSEFQRLRCRVAFHALKFRREIQMVGQLMVQRLRASGQPFLAFHPGLVRNILAYHGCAELFQDVHAELIQYRRAQMIKQGILNGELGVDSHIHRDNGSCPLMPEEVGLLLQTMGYPNRTVIYVAGSETFGGQRVLIPLRAMFSNTVDRTRVCTKQELSDLVGPETPLPLNPFQPPPTKSEEQLKEEWNMAGPRPRPLPPPPDRPIYQHEKEGWYGWITESDTEPDPSPVDLRMQAHRLLWDALDYIVSVEADAFFPGFHNDGSGWPDFSSLVMGQRLYESASSITYRPDRRVLAELFNITRDNMYYHLNYSWKLSVREHLNKCLSEDGLIRQSLLSKPTTFLSHPLPECSCRIPSAEVPKQVKGNDGRLLDGGEDECPTWMKHSQEDAHLESAVAEDGSNGNNELEYENDGVEQQESDDNAVKSRLTQLPVDQDDEWDPND >Potri.013G056200.4.v4.1 pep chromosome:Pop_tri_v4:13:4089225:4096887:-1 gene:Potri.013G056200.v4.1 transcript:Potri.013G056200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056200.v4.1 MKMVFISKIKWIALFVLMLSMVSLVVHLSITRLSGAYSMQSTLMPFNGFDFTASIFAPQSDRFVRNMKLWGPVMSLKSLQPYANPRSNYPVPAERNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLQSKGISYKFKSFSYLFDEDQFIASLKNDVNIVKSLPENLKAARRRNEVRTYKPKRSASPNFYVKEILPVLKKSKVIGLVLHDGGCLQSILPPSMSEFQRLRCRVAFHALKFRREIQMVGQLMVQRLRASGQPFLAFHPGLVRNILAYHGCAELFQDVHAELIQYRRAQMIKQGILNGELGVDSHIHRDNGSCPLMPEEVGLLLQTMGYPNRTVIYVAGSETFGGQRVLIPLRAMFSNTVDRTRVCTKQELSDLVGPETPLPLNPFQPPPTKSEEQLKEEWNMAGPRPRPLPPPPDRPIYQHEKEGWYGWITESDTEPDPSPVDLRMQAHRLLWDALDYIVSVEADAFFPGFHNDGSGWPDFSSLVMGQRLYESASSITYRPDRRVLAELFNITRDNMYYHLNYSWKLSVREHLNKCLSEDGLIRQSLLSKPTTFLSHPLPECSCRIPSAEVPKQVKGNDGRLLDGGEDECPTWMKHSQEDAHLESAVAEDGSNGNNELEYENDGVEQQESDDNAVKSRLTQLPVDQDDEWDPND >Potri.013G056200.3.v4.1 pep chromosome:Pop_tri_v4:13:4089225:4096876:-1 gene:Potri.013G056200.v4.1 transcript:Potri.013G056200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056200.v4.1 MKMVFISKIKWIALFVLMLSMVSLVVHLSITRLSGAYSMQSTLMPFNGFDFTASIFAPQSDRFVRNMKLWGPVMSLKSLQPYANPRSNYPVPAERNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLQSKGISYKFKSFSYLFDEDQFIASLKNDVNIVKSLPENLKAARRRNEVRTYKPKRSASPNFYVKEILPVLKKSKVIGLVLHDGGCLQSILPPSMSEFQRLRCRVAFHALKFRREIQMVGQLMVQRLRASGQPFLAFHPGLVRNILAYHGCAELFQDVHAELIQYRRAQMIKQGILNGELGVDSHIHRDNGSCPLMPEEVGLLLQTMGYPNRTVIYVAGSETFGGQRVLIPLRAMFSNTVDRTRVCTKQELSDLVGPETPLPLNPFQPPPTKSEEQLKEEWNMAGPRPRPLPPPPDRPIYQHEKEGWYGWITESDTEPDPSPVDLRMQAHRLLWDALDYIVSVEADAFFPGFHNDGSGWPDFSSLVMGQRLYESASSITYRPDRRVLAELFNITRDNMYYHLNYSWKLSVREHLNKCLSEDGLIRQSLLSKPTTFLSHPLPECSCRIPSAEVPKQVKGNDGRLLDGGEDECPTWMKHSQEDAHLESAVAEDGSNGNNELEYENDGVEQQESDDNAVKSRLTQLPVDQDDEWDPND >Potri.013G056200.6.v4.1 pep chromosome:Pop_tri_v4:13:4089221:4096772:-1 gene:Potri.013G056200.v4.1 transcript:Potri.013G056200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056200.v4.1 MKMVFISKIKWIALFVLMLSMVSLVVHLSITRLSGAYSMQSTLMPFNGFDFTASIFAPQSDRFVRNMKLWGPVMSLKSLQPYANPRSNYPAERNNGYIYAKIFGGFEKIRSSICDLVTISRLLNATLVIPEIQESLQSKGISYKFKSFSYLFDEDQFIASLKNDVNIVKSLPENLKAARRRNEVRTYKPKRSASPNFYVKEILPVLKKSKVIGLVLHDGGCLQSILPPSMSEFQRLRCRVAFHALKFRREIQMVGQLMVQRLRASGQPFLAFHPGLVRNILAYHGCAELFQDVHAELIQYRRAQMIKQGILNGELGVDSHIHRDNGSCPLMPEEVGLLLQTMGYPNRTVIYVAGSETFGGQRVLIPLRAMFSNTVDRTRVCTKQELSDLVGPETPLPLNPFQPPPTKSEEQLKEEWNMAGPRPRPLPPPPDRPIYQHEKEGWYGWITESDTEPDPSPVDLRMQAHRLLWDALDYIVSVEADAFFPGFHNDGSGWPDFSSLVMGQRLYESASSITYRPDRRVLAELFNITRDNMYYHLNYSWKLSVREHLNKCLSEDGLIRQSLLSKPTTFLSHPLPECSCRIPSAEVPKQVKGNDGRLLDGGEDECPTWMKHSQEDAHLESAVAEDGSNGNNELEYENDGVEQQESDDNAVKSRLTQLPVDQDDEWDPND >Potri.012G022400.1.v4.1 pep chromosome:Pop_tri_v4:12:2276224:2278333:-1 gene:Potri.012G022400.v4.1 transcript:Potri.012G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022400.v4.1 MASSTLSWSSAPLLSSKGEVVPSTKPITPCSVSFPSRGGFGKSTTKPSRLALVRAEAAGDHKDTSVDVHVNQQGNNQGTAVERKPRKLAVDISPFGLLDPLSPMRTMRQMLDTMDRLFDDALTIPSSRNRTGGEVRAPWEIKDEEHEIKMRFDMPGLSKEDVKVSVEDDVLVIKGEHKKEETGDDSWSSSSVSSYDTRLRLPDNCGKDKIKAELKNGVLFINIPKTKVEPKVIDVQIQ >Potri.019G061900.1.v4.1 pep chromosome:Pop_tri_v4:19:10208543:10210610:-1 gene:Potri.019G061900.v4.1 transcript:Potri.019G061900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061900.v4.1 MSDGTRAVFSALDNAKTQLYHFKAIVIAGMGFFTDAYDLFCITAVTKLIGRLYYFDPAKGAPGKLPVNINNAITGVALCGTLAGQLFFGWLGDKLGRKKVYGITLVTMVGCALASGLSFGSTSTSVIGSLCFFRFWLGFGIGGDYPLSAVIMSEYANQKTRGAFIAAVFAMQGVGILFAGAVSTIVSKAFLSAFPSQPFSKVNVLSTQPEADFVWRIVLMFGAVPAALTYYWRMKMPETARYTALVEGNHKKAANDMAKVLEKDITIDEASSKAPINPNSSYGFFSKEFVYKHGTQLLGTASTWFLLDIAFYTLNLTQKDVYPAAGLLNKASSMNALEEMYHLSKAMSLIALVAIVPGYWFTVFLVDRIGRFIIQLGGFLMMSIFMAILGFKYGDLRGEKNACGPDSKKDFCGGHPIMFTVLYGLTFFFANFGPNSTTFIVPAELFPARFRSTCHGISAASGKAGAIIGAFVVQYYTQDGDSNGIKKAIIGLSVVNLIGFFFTFLVPETKGKSLEELSGEDQDIGKNAEANGKNYRTDVGPESEMV >Potri.009G066500.1.v4.1 pep chromosome:Pop_tri_v4:9:6690460:6691975:-1 gene:Potri.009G066500.v4.1 transcript:Potri.009G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066500.v4.1 MPESCNSRPFSWLMKSCFPNPQDPSSNPLSPNPIITVATTTFSFLPDDLLLECLSRVPSSSLPSISHVCRRWSLLLHSPSFLYLRRLNHSIHPTIFTLSAPLVASLRLQNGNDANTNDPLWKVASCLPFPLASLDSFSHARLSVIGPRIYIIGRNEMFCYDVWSGIITSRCSMIFPRKKFATAVVSGKIYVAGGGSRAGATLEEYDPDTDTWRVVSNALRRRYGCLGAAVDGVFYVIGGLKIGGALGNEITRAATAGTEAYMYASSMDLFDVEARAWLRSRAVPGGGCVVAACAVAGYVYILASHAVELSFWSFDARRHGGGGGSNGSGFGKWCRIKSPPLPAQVRLDSTVRFSCVGVENKMVLIQVRGCIDDLLRRSGRSVRGLKEGLVLIYDCISGEWSRGPDLPEVIRRGACVTVEC >Potri.014G099000.1.v4.1 pep chromosome:Pop_tri_v4:14:6495324:6498328:1 gene:Potri.014G099000.v4.1 transcript:Potri.014G099000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099000.v4.1 MSSGVALSPIQSEPALSFNCMSGKPVPSPDESILIYLSVSGSVMPMRVLKSDSIESVKLRIQSYKGFVVKNQKLVCGGRELARSNSLVRDYGVTDGNVLHLVLRLSDLQVINVRTTSGKQLTFYVERGRDVGYVKQQIAKKEKEFDDLDEQEVVCEGEPLEDQRLIDDVCRYNNNNNDAAIHLLVRKSSKVRARPVEKNFELDIVASRLNDRENVSRQYDTSEENDRRGYEVDRGIVPRKPPDRDFSLEPIIVNPKIEFPSAIWDMVNATIDGLDVGFDPIRSMEGTGGAYFMQDSYGARFVSVFKPLDEEPMAVNNPRGLPLSSDGEGLKKGTRVGEGAFREVAAYILDHPESGRRSLFGGSKGFAGVPSTLMIKCLHKGFNHPEGVTIKIGSLQMFMENNGSCEDMGPGAFPLKEVHKISVLDIRMANADRHAGNILLGKDQEDGQTVLIPIDHGYCLPESFEDCTFEWLYWPQARQPYDSKTIDYIKSLDAEEDIALLKFHGWDMPVECARTLRISTMLLKKGVERGLTPFAIGSIMCRETLKKESIIEEIVQEAQDSTLPITSEALFLETVSHIMDRRLDEIAELNF >Potri.001G263800.1.v4.1 pep chromosome:Pop_tri_v4:1:27942324:27945557:1 gene:Potri.001G263800.v4.1 transcript:Potri.001G263800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G263800.v4.1 MDNDNDFTFCQVGLPVDKNELEAENLASDIGGIIIKDGFSNGTNSSQGGGVVWRDSLPSDADSKNERTVGSLSFNVIDASARGESSGVPRQVASGNAGTSAKNFDEKKVSARKPVARSKVPFEKGYSQMDWLKLTRTHPDLAGLKGQSNKRLISMDEVKLHQTEGSMWTVLKGRVYNLSPYLKFHPGGADILMKAVGKDCTSLFNKYHAWVNAEFLLEKCLVGTLDAGQ >Potri.018G118035.4.v4.1 pep chromosome:Pop_tri_v4:18:13341337:13347373:1 gene:Potri.018G118035.v4.1 transcript:Potri.018G118035.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118035.v4.1 MKEFDSLSMLVELRLGGNEIQNFAISTGFERSLRLNKLEILKLSFNKINDSTLSFLKGLSSLKYLNLDNNQLKGSIDMTGLCELKQLQELDISYNDLNGLPSCLTNLNNLQVLDISFNNFSGNISLSRIGSLTSIRDLKLSDNHFQIPISLGPFFNLSNLKNLNGDHNEIYESTELVHNLIPRFQLQRLSLACHGFGGTFPKFLYYQHDLQFVDLSHIKIIGEFPSWLLQNNTKLEALYLVNSSLSGSLQLPNDSHVNLSRLDISRNHIQNQIPTKIGAYFPWLEFLNLSRNYFSGSIPSSISNMSSLGVLDLSNNGLSGNIPEQLVEGCLSLRGLVLSNNHLKGQFFWRSFNLAYLTDLILSGNQLTGILPNSLSNGSRLEALDVSLNNLSGKIPRWIGYMSSLQYLDLSENNLYGSLPSSFCSSRTMTEVYLSKNKLEGSLIGALDGCLSLNRLDLSHNYFGGGIPESIGSLLELSFLLLGYNNLEGKIPSQLCKLEKLSLIDLSHNHLFGHILPCLQPTSKWQRERETSLNPSGNSLGRENRGPQIVFPVPAVEDPSMNKSVEFTTKSISYSFKGIILKYISGIDLSCNNLTGEIPVELGNLSNIQCSPQ >Potri.018G118035.1.v4.1 pep chromosome:Pop_tri_v4:18:13340510:13347373:1 gene:Potri.018G118035.v4.1 transcript:Potri.018G118035.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118035.v4.1 MGLNRFSLAVVVMMMINAMLPLEGCLEEERIALLQIKTSMVDPNHMGSPLLSWGEDALCCNWAGVTCDSITGRVIVIFLHNARGWFIDPSKGVWDRNASMGDWYLNATMFLPFQELNTLGLSNNDIAGCVPNEGFERLSRLTKLESLDLGLNNFNNSILSSFKGLSSLKHIYLESNQLKGSIDIKEFDSLSKLQELDLSRNEIQNLVTSTGSGEPSRLNKLETLDLSSNKINDSTLSFFKGLSSLKHLYLNNNQLKGSIDMKEFDSLSMLVELRLGGNEIQNFAISTGFERSLRLNKLEILKLSFNKINDSTLSFLKGLSSLKYLNLDNNQLKGSIDMTGLCELKQLQELDISYNDLNGLPSCLTNLNNLQVLDISFNNFSGNISLSRIGSLTSIRDLKLSDNHFQIPISLGPFFNLSNLKNLNGDHNEIYESTELVHNLIPRFQLQRLSLACHGFGGTFPKFLYYQHDLQFVDLSHIKIIGEFPSWLLQNNTKLEALYLVNSSLSGSLQLPNDSHVNLSRLDISRNHIQNQIPTKIGAYFPWLEFLNLSRNYFSGSIPSSISNMSSLGVLDLSNNGLSGNIPEQLVEGCLSLRGLVLSNNHLKGQFFWRSFNLAYLTDLILSGNQLTGILPNSLSNGSRLEALDVSLNNLSGKIPRWIGYMSSLQYLDLSENNLYGSLPSSFCSSRTMTEVYLSKNKLEGSLIGALDGCLSLNRLDLSHNYFGGGIPESIGSLLELSFLLLGYNNLEGKIPSQLCKLEKLSLIDLSHNHLFGHILPCLQPTSKWQRERETSLNPSGNSLGRENRGPQIVFPVPAVEDPSMNKSVEFTTKSISYSFKGIILKYISGIDLSCNNLTGEIPVELGNLSNIQVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNNLNGEIPRQLLDLNFLSAFSVAHNNLSGKTPEMVAQFSTFNKSCYEGNPLLCGPPLARNCTRALPPSPLPRSQTHKKEENGVIDMEAFIVTFSVAYIMVLLTIGSVLYINPRWRRAWFYFIGESINNCYYFLVDNLPVPARFRRFQPCV >Potri.018G118035.2.v4.1 pep chromosome:Pop_tri_v4:18:13340510:13347373:1 gene:Potri.018G118035.v4.1 transcript:Potri.018G118035.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118035.v4.1 MGLNRFSLAVVVMMMINAMLPLEGCLEEERIALLQIKTSMVDPNHMGSPLLSWGEDALCCNWAGVTCDSITGRVIVIFLHNARGWFIDPSKGVWDRNASMGDWYLNATMFLPFQELNTLGLSNNDIAGCVPNEGFERLSRLTKLESLDLGLNNFNNSILSSFKGLSSLKHIYLESNQLKGSIDIKEFDSLSKLQELDLSRNEIQNLVTSTGSGEPSRLNKLETLDLSSNKINDSTLSFFKGLSSLKHLYLNNNQLKGSIDMKEFDSLSMLVELRLGGNEIQNFAISTGFERSLRLNKLEILKLSFNKINDSTLSFLKGLSSLKYLNLDNNQLKGSIDMTGLCELKQLQELDISYNDLNGLPSCLTNLNNLQVLDISFNNFSGNISLSRIGSLTSIRDLKLSDNHFQIPISLGPFFNLSNLKNLNGDHNEIYESTELVHNLIPRFQLQRLSLACHGFGGTFPKFLYYQHDLQFVDLSHIKIIGEFPSWLLQNNTKLEALYLVNSSLSGSLQLPNDSHVNLSRLDISRNHIQNQIPTKIGAYFPWLEFLNLSRNYFSGSIPSSISNMSSLGVLDLSNNGLSGNIPEQLVEGCLSLRGLVLSNNHLKGQFFWRSFNLAYLTDLILSGNQLTGILPNSLSNGSRLEALDVSLNNLSGKIPRWIGYMSSLQYLDLSENNLYGSLPSSFCSSRTMTEVYLSKNKLEGSLIGALDGCLSLNRLDLSHNYFGGGIPESIGSLLELSFLLLGYNNLEGKIPSQLCKLEKLSLIDLSHNHLFGHILPCLQPTSKWQRERETSLNPSGNSLGRENRGPQIVFPVPAVEDPSMNKSVEFTTKSISYSFKGIILKYISGIDLSCNNLTGEIPVELGNLSNIQCSPQ >Potri.018G118035.3.v4.1 pep chromosome:Pop_tri_v4:18:13341337:13347373:1 gene:Potri.018G118035.v4.1 transcript:Potri.018G118035.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118035.v4.1 MKGKAHTNISYQKHQNLDNNLLKGSRDSTRNVRHTHILFFEFDSLSMLVELRLGGNEIQNFAISTGFERSLRLNKLEILKLSFNKINDSTLSFLKGLSSLKYLNLDNNQLKGSIDMTGLCELKQLQELDISYNDLNGLPSCLTNLNNLQVLDISFNNFSGNISLSRIGSLTSIRDLKLSDNHFQIPISLGPFFNLSNLKNLNGDHNEIYESTELVHNLIPRFQLQRLSLACHGFGGTFPKFLYYQHDLQFVDLSHIKIIGEFPSWLLQNNTKLEALYLVNSSLSGSLQLPNDSHVNLSRLDISRNHIQNQIPTKIGAYFPWLEFLNLSRNYFSGSIPSSISNMSSLGVLDLSNNGLSGNIPEQLVEGCLSLRGLVLSNNHLKGQFFWRSFNLAYLTDLILSGNQLTGILPNSLSNGSRLEALDVSLNNLSGKIPRWIGYMSSLQYLDLSENNLYGSLPSSFCSSRTMTEVYLSKNKLEGSLIGALDGCLSLNRLDLSHNYFGGGIPESIGSLLELSFLLLGYNNLEGKIPSQLCKLEKLSLIDLSHNHLFGHILPCLQPTSKWQRERETSLNPSGNSLGRENRGPQIVFPVPAVEDPSMNKSVEFTTKSISYSFKGIILKYISGIDLSCNNLTGEIPVELGNLSNIQCSPQ >Potri.003G063500.2.v4.1 pep chromosome:Pop_tri_v4:3:9100493:9105728:-1 gene:Potri.003G063500.v4.1 transcript:Potri.003G063500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063500.v4.1 MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLHLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNFDRLLAKMWEAMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRNLIKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEREDGGGRGRFKSHSTAPARISDREKKAPLKT >Potri.003G063500.5.v4.1 pep chromosome:Pop_tri_v4:3:9100473:9105763:-1 gene:Potri.003G063500.v4.1 transcript:Potri.003G063500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063500.v4.1 MGIIEKIKEIEAEMARTQKNKATEYHLGQLKAKIAKLRTQLLEPPKGSSGAGDGFEVTKFGHGRVALIGFPSVGKSTLLTMLTGTHSEAASYEFTTLTCIPGIIHYNDTKIQLLDLPGIIEGASEGKGRGRQVIAVSKSSDIVLMVLDASKSEGHRQILTRELEAVGLRLNKRPPQIYFKKKKTGGISFNSTLHLTHVDEKLCYQILHEYKIHNAEVLFREDATVDDLIDVIEGNRKYMKCIYVYNKIDVIGIDDVDKLARQPNSVVISCNLKLNFDRLLAKMWEAMGLVRVYTKPQGQQPDFSDPVVLSADRGGCTVEDFCNHIHRNLIKDVKYVLVWGTSARHYPQHCGLGHVLQDEDVVQIVKKKEREDGGGRGRFKSHSTAPARISDREKKAPLKT >Potri.010G208700.7.v4.1 pep chromosome:Pop_tri_v4:10:19838776:19840449:1 gene:Potri.010G208700.v4.1 transcript:Potri.010G208700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208700.v4.1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEFVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIETWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Potri.010G007000.1.v4.1 pep chromosome:Pop_tri_v4:10:661566:664337:-1 gene:Potri.010G007000.v4.1 transcript:Potri.010G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007000.v4.1 MSNFNFDFGIGSNRPKSLNDQRNPNPSPYSSSTQQSKPTWQPNKPSWTHQPAPAQSMVRPGGLNGPASMVGDITGKSWNSGIGSGSGIGIVEKNPNLFCDLVTSAIGNTKGSSNSNVPLKNVNKSAYSMGNLADSLPKTSGSTAKSGGGWVGNDNLGGYSSGYNRNSGNANVSVGVNKSSNLGGASMKSMSGGGMSGSKDPFSSLVDFGMNQKQGNINSASKDNKPSGNATGDAFGAFQNASSAKSVPSVGVGASNNGFMGSNTSSKSNIDDFGMFNNSFPTQKQQAPVQPSVGDPLDMFFTPSSGNATTVSGGSGGQPLSEVDDWGLDSGLGGGGVNDSHGVTTELEGLPAPPAGVTASAAKNKGIENQKQGQYADAIKWLSWAVVLLEKTGDKASTMEVLSTRASCYKEVGEYKKAVADCSKVLEHDDANVSVLVQRALLYESMEKYRLGAEDLRVVLKIDPANRVARSTVHRLTKMAD >Potri.006G047400.1.v4.1 pep chromosome:Pop_tri_v4:6:3236242:3239449:-1 gene:Potri.006G047400.v4.1 transcript:Potri.006G047400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047400.v4.1 MASTSHFNVYNINISSFLLLVSLVIVSFSLTICFASESEVEKESEFAYVEGTGKGPKNWGKINPHWETCGKGQMQSPIDLLDGRPVQVFPNLGKLRRDYQAAPAAVKNRGHDITVIWKGDAGKITINNTSYQLKQGHWHSPSEHTFNGSRYDLELHLVHYSSQGGVAVSAIVYKYGRPDRFLSRLFHHITHVDPEEEIDAGIVNPGDIKFGSRKYYRYIGSLTSPPCTEGVIWTIVKKVRTVSREQVKALRDAVHDGYEANARPTQSSDGRAVFEYIPRVIQASA >Potri.005G102700.6.v4.1 pep chromosome:Pop_tri_v4:5:7447813:7452102:1 gene:Potri.005G102700.v4.1 transcript:Potri.005G102700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102700.v4.1 MKLIWVLVLVVCYNGVCLNGVTTNVTTRPPFVNIGALLSYNSTIGKVAKVAIQAAVDDVNSDPSVLGGTKLRLQMQNTNNSGFLGIVESLKFMETDTVAIIGPQSSVTAHVISFVANELQVPLLSYSSTDPTLSSLQFPYFIMTSRNDLYQMAAIAEIVDYYGWREVIAIYGDDDYGRNGIAALSDKLAERRCKISYKAPLTPTATQQEITDLLVEVALTESRILVVHTFSSWGPVVFSVAQHLGMMGPGYVWIATNWLSTLLETDYLSSDTLDDIQGVLTLRMYTPDSELKRKFRSRWSNLTRGTTGYGLNPIGLSTYGLYAYDTVWLLARAINAFLDQGGNISFSTESRLAKLREGSLHLDAMNIFNGGELLRENILQANMTGVTGQLKFNPDGNLINPAYEVINVIGNGIRKIGYWTNYSGLSVVPPGTLYSNPPNRSSSSQNLYSVLWPGQTAQKPRGWVFPNNGRHLRIGVPNRVSYRDFVSQVPGTDMFTGYCIDVFTAAINLLPYAVPYKLIPYGDGINNPSCTELVRLITAGVYDAAIGDIAIITNRTRMADFTQPYIESGLVVVAPVKKMNSSAWSFLKPFTRQMWGVTALFFIIVGAVVWILEHRLNDDFRGPPRRQLITILWFSFSTWFFAHRENTISTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSPIKGIDSLISSKDPIGYQQGSFTRDYLINELGIHKSRLISLKMPEDYAKALKDGPHKGGVAAVVDERAYLELFLSNQCEFSIVGREFTKNGWGFAFPRDSPLAVDLSTAILKLSENGDLQRIHDKWLMRSACSSQGAKFEVDRLDLRSFWGLYLICGIACLLALFLYFLKMVRQFSRHYSSELDSSGRGSTSARLQTFLSFVDEKEQEVKSRSKRRQLEMASNRNESMDNYSSKRRHIESPPDGSPQASNEA >Potri.005G102700.3.v4.1 pep chromosome:Pop_tri_v4:5:7447469:7452207:1 gene:Potri.005G102700.v4.1 transcript:Potri.005G102700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102700.v4.1 MKLIWVLVLVVCYNGVCLNGVTTNVTTRPPFVNIGALLSYNSTIGKVAKVAIQAAVDDVNSDPSVLGGTKLRLQMQNTNNSGFLGIVESLKFMETDTVAIIGPQSSVTAHVISFVANELQVPLLSYSSTDPTLSSLQFPYFIMTSRNDLYQMAAIAEIVDYYGWREVIAIYGDDDYGRNGIAALSDKLAERRCKISYKAPLTPTATQQEITDLLVEVALTESRILVVHTFSSWGPVVFSVAQHLGMMGPGYVWIATNWLSTLLETDYLSSDTLDDIQGVLTLRMYTPDSELKRKFRSRWSNLTRGTTGYGLNPIGLSTYGLYAYDTVWLLARAINAFLDQGGNISFSTESRLAKLREGSLHLDAMNIFNGGELLRENILQANMTGVTGQLKFNPDGNLINPAYEVINVIGNGIRKIGYWTNYSGLSVVPPGTLYSNPPNRSSSSQNLYSVLWPGQTAQKPRGWVFPNNGRHLRIGVPNRVSYRDFVSQVPGTDMFTGYCIDVFTAAINLLPYAVPYKLIPYGDGINNPSCTELVRLITAGVYDAAIGDIAIITNRTRMADFTQPYIESGLVVVAPVKKMNSSAWSFLKPFTRQMWGVTALFFIIVGAVVWILEHRLNDDFRGPPRRQLITILWFSFSTWFFAHRENTISTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSPIKGIDSLISSKDPIGYQQGSFTRDYLINELGIHKSRLISLKMPEDYAKALKDGPHKGGVAAVVDERAYLELFLSNQCEFSIVGREFTKNGWGFAFPRDSPLAVDLSTAILKLSENGDLQRIHDKWLMRSACSSQGAKFEVDRLDLRSFWGLYLICGIACLLALFLYFLKMVRQFSRHYSSELDSSGRGSTSARLQTFLSFVDEKEQEVKSRSKRRQLEMASNRNESMDNYSSKRRHIESPPDGSPQASNEA >Potri.005G102700.5.v4.1 pep chromosome:Pop_tri_v4:5:7447809:7452109:1 gene:Potri.005G102700.v4.1 transcript:Potri.005G102700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102700.v4.1 MKLIWVLVLVVCYNGVCLNGVTTNVTTRPPFVNIGALLSYNSTIGKVAKVAIQAAVDDVNSDPSVLGGTKLRLQMQNTNNSGFLGIVESLKFMETDTVAIIGPQSSVTAHVISFVANELQVPLLSYSSTDPTLSSLQFPYFIMTSRNDLYQMAAIAEIVDYYGWREVIAIYGDDDYGRNGIAALSDKLAERRCKISYKAPLTPTATQQEITDLLVEVALTESRILVVHTFSSWGPVVFSVAQHLGMMGPGYVWIATNWLSTLLETDYLSSDTLDDIQGVLTLRMYTPDSELKRKFRSRWSNLTRGTTGYGLNPIGLSTYGLYAYDTVWLLARAINAFLDQGGNISFSTESRLAKLREGSLHLDAMNIFNGGELLRENILQANMTGVTGQLKFNPDGNLINPAYEVINVIGNGIRKIGYWTNYSGLSVVPPGTLYSNPPNRSSSSQNLYSVLWPGQTAQKPRGWVFPNNGRHLRIGVPNRVSYRDFVSQVPGTDMFTGYCIDVFTAAINLLPYAVPYKLIPYGDGINNPSCTELVRLITAGVYDAAIGDIAIITNRTRMADFTQPYIESGLVVVAPVKKMNSSAWSFLKPFTRQMWGVTALFFIIVGAVVWILEHRLNDDFRGPPRRQLITILWFSFSTWFFAHRENTISTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSPIKGIDSLISSKDPIGYQQGSFTRDYLINELGIHKSRLISLKMPEDYAKALKDGPHKGGVAAVVDERAYLELFLSNQCEFSIVGREFTKNGWGFAFPRDSPLAVDLSTAILKLSENGDLQRIHDKWLMRSACSSQGAKFEVDRLDLRSFWGLYLICGIACLLALFLYFLKMVRQFSRHYSSELDSSGRGSTSARLQTFLSFVDEKEQEVKSRSKRRQLEMASNRNESMDNYSSKRRHIESPPDGSPQASNEA >Potri.005G116200.1.v4.1 pep chromosome:Pop_tri_v4:5:8475167:8476621:1 gene:Potri.005G116200.v4.1 transcript:Potri.005G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116200.v4.1 MASESDQPRSASATPVNGEIKPKIALVTGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRINHIYIDPHNVHKARMKLHYADLSDASSLRRWLDTIYPDEVYNLAAQSHVAVSFEVPDYTADVVATGTVRLLEAVRSHIAATGRSHVKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLFACNGILFNHESPRRGENFVTRKITRALGRIKVGLQSKLYLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLEVAFGYVGMNWKDHVVIDKKYFRPAEVDNLKGDSSKARKVLGWKPKVGFEQLVRMMVDEDVDLAKREKVLVDAGYINAQQQP >Potri.018G008000.1.v4.1 pep chromosome:Pop_tri_v4:18:584811:586668:-1 gene:Potri.018G008000.v4.1 transcript:Potri.018G008000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008000.v4.1 MATLLSPRRFTPSLRHLTPSRSKIRARDLSFPFRVTTRSLTQLASSSMSQSTTDSEPNPQNEEKKAGNSDEVLVQYVVLRRDLIDTWPMGSVVTQGCHASVSAIWSHKEDPHTLQYCSPENIDSMHKVTLEVKGEPQILNLSEKLKAGGIAHKLWIEQPENIPTCLATKPYPKSVVALFFKKLKLCK >Potri.007G066700.2.v4.1 pep chromosome:Pop_tri_v4:7:8542229:8544376:1 gene:Potri.007G066700.v4.1 transcript:Potri.007G066700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066700.v4.1 MFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQKQIDSLQTQLAIAQAEVVHMRVRQFTSSSNPGVMDMAVDQATMGESLWSC >Potri.007G066700.1.v4.1 pep chromosome:Pop_tri_v4:7:8542213:8544391:1 gene:Potri.007G066700.v4.1 transcript:Potri.007G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066700.v4.1 MKESGRKLGALSPCAACKLLRRRCAQDCMFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRSDAVSSMVYEANARVRDPVYGCVGAISSLQKQIDSLQTQLAIAQAEVVHMRVRQFTSSSNPGVMDMAVDQATMGESLWSC >Potri.016G000501.1.v4.1 pep chromosome:Pop_tri_v4:16:29758:30068:1 gene:Potri.016G000501.v4.1 transcript:Potri.016G000501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000501.v4.1 MALKLRDRTRGSLRNIDNAWKAEPKHRAEQKKLIQDERERSEFRLLQQAGLVTTSLTPPMTLRGNSILILSF >Potri.011G113800.2.v4.1 pep chromosome:Pop_tri_v4:11:14385173:14385280:1 gene:Potri.011G113800.v4.1 transcript:Potri.011G113800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbT MEALVYTFLLVSTLGIIFFAIFFREPPKVPTKKVK >Potri.012G082700.4.v4.1 pep chromosome:Pop_tri_v4:12:10809472:10813801:1 gene:Potri.012G082700.v4.1 transcript:Potri.012G082700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082700.v4.1 MAASLSAASLSLISQRPKSPVLDFEDKNCCFCARPGYYAKQSHIIRNVIGGRSRKGASLVSASLPTISENSASTVPAFNELIESLINKVDLSESEAEASLDYLLDDASEALISAFLVLLRAKGETFEEVVGLARAMFKHARKVEGLVDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEELGVVIDLDPEGVSRCVNEAGIGFMMSPKYHPAMKIVSPVRKKLKIKTIFNILGPMLNPASVPFAVVGVFHEDLVLKMAKALQRFGMKRALVVHSEGLDEMSPIGPGVVFEVNPEKISKFSFDPLDFGIPRCTLFDLQGGGPDYNADALRRVLSGEKGAIADAIILNAAAALFVSGRSSSLAEGVVLARETQLSGKALNTLNLWIEMSNKVKEDSVAQVA >Potri.012G082700.2.v4.1 pep chromosome:Pop_tri_v4:12:10809202:10813841:1 gene:Potri.012G082700.v4.1 transcript:Potri.012G082700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082700.v4.1 MAASLSAASLSLISQRPKSPVLDFEDKNCCFCARPGYYAKQSHIIRNVIGGRSRKGASLVSASLPTISENSASTVPAFNELIESLINKVDLSESEAEASLDYLLDDASEALISAFLVLLRAKGETFEEVVGLARAMFKHARKVEGLVDAVDIVGTGGDGANTVNISTGASILAAACGAKVAKQGNRSSSSACGSADVLEELGVVIDLDPEGVSRCVNEAGIGFMMSPKYHPAMKIVSPVRKKLKIKTIFNILGPMLNPASVPFAVVGVFHEDLVLKMAKALQRFGMKRALVVHSEGLDEMSPIGPGVVFEVNPEKISKFSFDPLDFGIPRCTLFDLQGGGPDYNADALRRVLSGEKGAIADAIILNAAAALFVSGRSSSLAEGVVLARETQLSGKALNTLNLWIEMSNKVKEDSVAQVA >Potri.001G049000.3.v4.1 pep chromosome:Pop_tri_v4:1:3665368:3671712:1 gene:Potri.001G049000.v4.1 transcript:Potri.001G049000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049000.v4.1 MNEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGYLHEMLAELSRREKEANIMPDPDVDVFMKAAATQAEEANVSTDYVLKILGLEVCADTMVGDGMIRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTYQIVNSLRQTVHILNCTAVISLLQPAPETYDLFDDIILLSDGHIVYQGPRDDVHEFFEHMGFKCPERKGVADFLQEVTSRKDQEQYWARKDQPYKFVTVNEFAEAFQSVSVGRRIIEELSIPFDKTKNHPAALVNKKYGAGKMDLLKANFSREYLLMKRNSFVYIFRISQLTILAIISMTLFFRTNMHRDTVMDGGIYTGALFFTVAAIMFNGTAEQSTTIAKLPVFYKHRELLFFPPLAYSIPSWVLKIPISFVEVATWVFITYYVIGFDPNIARFFKLYVVLVLINQMASALFRFIAAAGRNMIVANTFGSFVLLAIFALGGFVLSREQIKKWWIWGYWISPLMYGQNAIVVNEFLGNSWSHIPAGSTEPLGIQVLKSRGFFTEAYWYWIGIGATVGFILLFNLCFVLALTFLNAFDKPQAVISEDPESDESARKTERAIQLSNHASSHRTNTEGGGGISRSSSEAIGEVSNNRKKGMVLPFEPHSITFDDVIYSVDMPQEMIVQGVDKDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKKQQTFARIAGYCEQTDIHSPQVTVYESLLYSAWLRLPLEVDSESRKMFIEEVMDLVELNPLRHALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGQEIYVGPLGRHSTHLIKYFEAIEGVGKIRDGYNPATWMLEVSSSAQEMALEVDFSNIYKNSDLFRRNKALIAGLSTPAPGSTDLCFPTKYSTSFFTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKLNSTQDLLNAMGSMYAAVFFIGVQNASSVQPVVAVERTVFYRERAAGMYSALPYAFAQVLIELPYIFVQASAYGFIVYAMIGFEWTVAKFFWYLFFMYFTLLYFTFYGMMAVAITPNHHIAAIVSSAFYGIWNLFSGFIVPRPSIPIWWRWYYWACPVSWSLYGLLVSQFGDIQKDLTETQTVKQFVKDYFGFDHDFLGVVAAAVLGWTVLFAFLFAAAIKAFNFQRR >Potri.003G142600.1.v4.1 pep chromosome:Pop_tri_v4:3:15811954:15814923:-1 gene:Potri.003G142600.v4.1 transcript:Potri.003G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142600.v4.1 MGLPQSPTPSHLYPQELQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRTPSLSSPPRVIQRSSSQATRYHVSSIYQKEEITNKLPTVLFDEELRTKDSQCCVCLGEFEIKEELLQIPSCKHVFHIDCIHHWLHSNSTCPLCRCYVIFPANIFCTNPPQSSGPVLLLQSSVGANSHQPQNITSELQQQQDVGAGSTEQLVIPMEEPSSVTSQLRDSSRLPELSISMENGRGSPNGESVILHIQRHST >Potri.006G134600.1.v4.1 pep chromosome:Pop_tri_v4:6:11079732:11081824:1 gene:Potri.006G134600.v4.1 transcript:Potri.006G134600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134600.v4.1 MAFSILKLLLLLISSVFFTSTWALNTSSTTSLDAHLSTIRTFCNSRPYPDACFNSLKLSISINISPNIINLLLQTLQTAISEAGKLTNLFSIAGGSNIIERQRGTIQDCLELHQITVSSLQRSVSRVRAGDSRKLVDARAYLSAAVTNKNTCLEGLDSASGPLKPALLNSLTSTYQHVTNSLSMLPKSKHSKQGYKNRRLLGFPKWMSKKDRRILQSDEDEYDPSEELIVAADGTGNFSTITDAINFAPNNSYDRIIIRVREGVYAENVEIPIYKTNIVLLGDGTDVTFITGNRSVVDGWTTFRSATLAVSGDGFLALDITIDNTAGPEKHQAVALRVSADLVALYRCSINGYQDTLYVHSFRQFYRECDISGTIDYLFGNAAVVFQACNIISRKPLPNQFTVITAQSKETPDEYTGISIQNCSILATEDLYSNSNTVKSYLGRPWKVYSTTVVLESYIDDFINPEGWSKWSNDDDQGLDTLYYGEYENYGPGSGTENRVTWAGYHVMDDIDAYNFTVSYFITGDEWLDSTSIPYYDGIQS >Potri.002G244000.3.v4.1 pep chromosome:Pop_tri_v4:2:23580925:23584738:-1 gene:Potri.002G244000.v4.1 transcript:Potri.002G244000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244000.v4.1 MDYNNDNGNGERWGWQGEDYCFQKDAGAVDVSQRLWNEVTLNGEDLSYMLDETTPVKACADLAYHVNHDDNMNKEPEERRETCFQFKRRRLQFDTHLADSPFCDEDLTSVFLKSNETEESLEEVFPQASQWDSGYQDMSASCYNGLDPSSDPWIADCFNDSGMHFSPNDMNFPGASDIQIDISDFCNDPPEFEASTVQKCVPRTPRNVVFKGTKSFIQTPTKLATSVAYPFAFIKPCGVHGDVTLNEINQRIRTPPPSKSKQKDEDPVVYPMSAFSGKPVVGKTKIRTEGGKGSITIMRTKG >Potri.010G149650.1.v4.1 pep chromosome:Pop_tri_v4:10:15986311:15987152:-1 gene:Potri.010G149650.v4.1 transcript:Potri.010G149650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149650.v4.1 MEGWPVNATFSSCVCFVPFSGLLSLFLLFFFDFWFPSSSTSLLLSLSISTSLSVLCFFFFVFRPLSLVLPRSRCRPLSCVILGFVAYLPLFTYSFAVPRFVLFFSSWFLALFFPASPFSFFVFPSVQPLWFFTFFWGFLFCSLFSSPPPPFRGLLWLL >Potri.001G314800.1.v4.1 pep chromosome:Pop_tri_v4:1:32569346:32574020:1 gene:Potri.001G314800.v4.1 transcript:Potri.001G314800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314800.v4.1 MYSAIHSLPLDGHGDFQAALDGTNLPGDACLVLTTDPKPRLRWTAELHERFVDAVAQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDVGIAASVAESQDTGSSTSASSRMIAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKALNDQAVATAGLEAAREELSELAIKVSNERAGIAPLDTMKMPSISELAAALENKHASNVPARVGDCSVESCLTSTGSPVSPMGVGAQVASTKKRSRPVFGNGDSLPFDGNIQQEVEWTMNNIV >Potri.007G021500.1.v4.1 pep chromosome:Pop_tri_v4:7:1662744:1663920:-1 gene:Potri.007G021500.v4.1 transcript:Potri.007G021500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G021500.v4.1 MDFNNFDTVKAEKVNAMLKYNNLQPLRKVFRLLEFCLALILFSWIFNKLPFAVKISGEFLRHLAGIIASPLFVFLLSNGIIATLIAKSGRFSGENHGADNADTQLYEELVKNTDSNRNCPKPFLSENPDLLLSHAATATATEMETTTKEEEVVFQEKHIVISEENTSTRTRENGDEDHAPDAEIYSDLDSDCENMKFRRTKSEKMERKNYVKEKKQLLRRSETEKLGSGEENLLCRENELSDEEFQRAVDEFIARNLMFRRQESMSVVVQNQITNIAEIEKQC >Potri.009G081400.1.v4.1 pep chromosome:Pop_tri_v4:9:7753191:7755251:1 gene:Potri.009G081400.v4.1 transcript:Potri.009G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081400.v4.1 METSSITALYELGMEDPGFTNQWYMNSLDDISLLPLAAAAFGENVHHPFSNQNFNLKTSMDSTPTSINVRPTKQMKTFHLSDPQSAFSPNFLSFVNPNHANQMGLVKPKEEAVCSKSINNFPSDMVVSQDIFGSQNYVIKGCQGPERISTNTPRLSQSQDHIIAERKRREKLSQRFIALSAVVPGLKKMDKASVLGDAIKYLKQLQEKVKTLEEQTKRKTMESVVIVKKSHIYVDEGDVNASSDESKGPIHETLPEIEARFCDKHVLIRIHCEKRKGVLEKTVAEIEKLHLSVINSSVLAFGTSALHVTFIAQMDIDFNMSLKDLVKTLRSAFEFFM >Potri.004G153600.1.v4.1 pep chromosome:Pop_tri_v4:4:17448768:17452690:1 gene:Potri.004G153600.v4.1 transcript:Potri.004G153600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153600.v4.1 MSSPAPEESPLSPPPSSAPPPSLKEPPPPPLESSPPPSTSPPPVEVSPSPPPPPTDSTPPSDQSSPSPPPPENSDSSPPPPSSSKENNSPPPPPTTKDNGSNIWSPPPPPSSSKSRNSPPPPRSLGQSGNSSPNNTPATSSGNGDEANLVPIIAGTVVGVGLLLLLALLVFLCTCRSKKKRSPQYNYYKDHSPAPKAAGGPYYNATPLQQPVSNGHNESKLTEQVVNLPPPPGGGHGAWSPALPPPPPPQVAIMSSSEMSSNYSGSHSSSGLPPSHPSLTLGFTKSSFSYGELAAATAGFSQANLLGQGGFGYVHKGVLPNGKEIAVKSLKTGSGQGDREFQAEVEIISRVHHRHLVSLVGYCIEGGQRLLVYEFVPNSTLEFHLHGKGHPPMGWPTRLKIALGSARGLAYLHEDCHPRIIHRDIKAANILLDYSFEAMVADFGLAKLSSDNYTHVSTRIMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDLSGDMDDSLVDWARPFCAKALEDGNYDELVDPALEGNYDLQEMACMVACAGASVSHSAKRRPKMSRIVRALEGEVSLEEGRKAGLIFSSASSSDHDQSSYSTDMRRFRRTALDSNDYVSSEFGHTSEYGLNPSSSSSEEMSQMTKSRTGSQRRSP >Potri.004G153600.4.v4.1 pep chromosome:Pop_tri_v4:4:17449089:17452690:1 gene:Potri.004G153600.v4.1 transcript:Potri.004G153600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153600.v4.1 MSSPAPEESPLSPPPSSAPPPSLKEPPPPPLESSPPPSTSPPPVEVSPSPPPPPTDSTPPSDQSSPSPPPPENSDSSPPPPSSSKENNSPPPPPTTKDNGSNIWSPPPPPSSSKSRNSPPPPRSLGQSGNSSPNNTPATSSGNGDEANLVPIIAGTVVGVGLLLLLALLVFLCTCRSKKKRSPQYNYYKDHSPAPKGGPYYNATPLQQPVSNGHNESKLTEQVVNLPPPPGGGHGAWSPALPPPPPPQVAIMSSSEMSSNYSGSHSSSGLPPSHPSLTLGFTKSSFSYGELAAATAGFSQANLLGQGGFGYVHKGVLPNGKEIAVKSLKTGSGQGDREFQAEVEIISRVHHRHLVSLVGYCIEGGQRLLVYEFVPNSTLEFHLHGKGHPPMGWPTRLKIALGSARGLAYLHEDCHPRIIHRDIKAANILLDYSFEAMVADFGLAKLSSDNYTHVSTRIMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDLSGDMDDSLVDWARPFCAKALEDGNYDELVDPALEGNYDLQEMACMVACAGASVSHSAKRRPKMSRIVRALEGEVSLEEGRKAGLIFSSASSSDHDQSSYSTDMRRFRRTALDSNDYVSSEFGHTSEYGLNPSSSSSEEMSQMTKSRTGSQRRSP >Potri.004G153600.3.v4.1 pep chromosome:Pop_tri_v4:4:17448906:17452686:1 gene:Potri.004G153600.v4.1 transcript:Potri.004G153600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153600.v4.1 MSSPAPEESPLSPPPSSAPPPSLKEPPPPPLESSPPPSTSPPPVEVSPSPPPPPTDSTPPSDQSSPSPPPPENSDSSPPPPSSSKENNSPPPPPTTKDNGSNIWSPPPPPSSSKSRNSPPPPRSLGQSGNSSPNNTPATSSGNGDEANLVPIIAGTVVGVGLLLLLALLVFLCTCRSKKKRSPQYNYYKDHSPAPKAAGGPYYNATPLQQPVSNGHNESKLTEQVVNLPPPPGGGHGAWSPALPPPPPPQVAIMSSSEMSSNYSGSHSSSGLPPSHPSLTLGFTKSSFSYGELAAATAGFSQANLLGQGGFGYVHKGVLPNGKEIAVKSLKTGSGQGDREFQAEVEIISRVHHRHLVSLVGYCIEGGQRLLVYEFVPNSTLEFHLHGKGHPPMGWPTRLKIALGSARGLAYLHEDCHPRIIHRDIKAANILLDYSFEAMVADFGLAKLSSDNYTHVSTRIMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDLSGDMDDSLVDWARPFCAKALEDGNYDELVDPALEGNYDLQEMACMVACAGASVSHSAKRRPKMSRIVRALEGEVSLEEGRKAGLIFSSASSSDHDQSSYSTDMRRFRRTALDSNDYVSSEFGHTSEYGLNPSSSSSEEMSQMTKSRTGSQRRSP >Potri.004G153600.2.v4.1 pep chromosome:Pop_tri_v4:4:17448768:17452690:1 gene:Potri.004G153600.v4.1 transcript:Potri.004G153600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153600.v4.1 MSSPAPEESPLSPPPSSAPPPSLKEPPPPPLESSPPPSTSPPPVEVSPSPPPPPTDSTPPSDQSSPSPPPPENSDSSPPPPSSSKENNSPPPPPTTKDNGSNIWSPPPPPSSSKSRNSPPPPRSLGQSGNSSPNNTPATSSGNGDEANLVPIIAGTVVGVGLLLLLALLVFLCTCRSKKKRSPQYNYYKDHSPAPKGGPYYNATPLQQPVSNGHNESKLTEQVVNLPPPPGGGHGAWSPALPPPPPPQVAIMSSSEMSSNYSGSHSSSGLPPSHPSLTLGFTKSSFSYGELAAATAGFSQANLLGQGGFGYVHKGVLPNGKEIAVKSLKTGSGQGDREFQAEVEIISRVHHRHLVSLVGYCIEGGQRLLVYEFVPNSTLEFHLHGKGHPPMGWPTRLKIALGSARGLAYLHEDCHPRIIHRDIKAANILLDYSFEAMVADFGLAKLSSDNYTHVSTRIMGTFGYLAPEYASSGKLTDKSDVFSFGVMLLELITGRRPVDLSGDMDDSLVDWARPFCAKALEDGNYDELVDPALEGNYDLQEMACMVACAGASVSHSAKRRPKMSRIVRALEGEVSLEEGRKAGLIFSSASSSDHDQSSYSTDMRRFRRTALDSNDYVSSEFGHTSEYGLNPSSSSSEEMSQMTKSRTGSQRRSP >Potri.008G006800.2.v4.1 pep chromosome:Pop_tri_v4:8:314750:316945:1 gene:Potri.008G006800.v4.1 transcript:Potri.008G006800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006800.v4.1 MDRKVAEEVKRDRIPEHVVTKILLKSPIKSILRFRCVSQSWNSLITLPYFIKEHLAKAKPLILRTENPVVSLSLLIDNDRLDRSSQIKFHRPYAFEIVASCNGVVCLRGRYPEFDGSRRLILWNPSIKKTLRLPPPRSFASTVPTLLGLGYDPRSDDYKVPRIVRLGNSAEHPFVFQFFSLNSGSWNENVDFFSSTSLENKDALRSITLYRYDNQAIVNGVIHWLLNRRDEIDTEMSSNRWSPLMLYNDVFVLAFHLSNDSFGEIRPPECFDDTTKVVTCRTISVFKDLLSFNVFEGGPYSRRYNCEIWVMEQYGVRESWTRLYQIEMLHVARPVVLRSNGEILMAGYGSDRLVSCQPLAPRIRDMGLDLSIDGYAAYFVESLALLDK >Potri.001G264500.1.v4.1 pep chromosome:Pop_tri_v4:1:27993128:27994877:1 gene:Potri.001G264500.v4.1 transcript:Potri.001G264500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264500.v4.1 MIVDGSSPLHLKRRRFATADTMEAEAAKAKFAAVKEKFGRDIRVFETSGVSSSPTQVSNDDERDDFYEFTAEDYYRMLATKKADKYLKTKKIREAEVAAHRSRITKTTIRVRFPDNHTLEVVFHPSEKIQSLYDLLSRMVAQPEVPFYVYTTPPKKQIKDMSQDFYSAGLIPGAIVYFSYDMPNQVDNEAVNSGPFLQEEVMSLKGLDVMPEPVEPIHTTPEPVTTAPPPVPQEPKAAGKKPVKPKWLKM >Potri.001G264500.3.v4.1 pep chromosome:Pop_tri_v4:1:27993033:27994882:1 gene:Potri.001G264500.v4.1 transcript:Potri.001G264500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264500.v4.1 MIVDGSSPLHLKRRRFATADTMEAEAAKAKFAAVKEKFGRDIRVFETSGVSSSPTQVSNDDERDDFYEFTAEDYYRMLATKKADKYLKTKKIREAEVAAHRSRITKTTIRVRFPDNHTLEVVFHPSEKIQSLYDLLSRMVAQPEVPFYVYTTPPKKQIKDMSQDFYSAGLIPGAIVYFSYDMPNQVDNEAVNSGPFLQEEVMSLKGLDVMPEPVEPIHTTPEPVTTAPPPVPQEPKAAGKKPVKPKWLKM >Potri.001G264500.2.v4.1 pep chromosome:Pop_tri_v4:1:27993107:27994691:1 gene:Potri.001G264500.v4.1 transcript:Potri.001G264500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264500.v4.1 MEAEAAKAKFAAVKEKFGRDIRVFETSGVSSSPTQVSNDDERDDFYEFTAEDYYRMLATKKADKYLKTKKIREAEVAAHRSRITKTTIRVRFPDNHTLEVVFHPSEKIQSLYDLLSRMVAQPEVPFYVYTTPPKKQIKDMSQDFYSAGLIPGAIVYFSYDMPNQVDNEAVNSGPFLQEEVMSLKGLDVMPEPVEPIHTTPEPVTTAPPPVPQEPKAAGKKPVKPKWLKM >Potri.010G211500.1.v4.1 pep chromosome:Pop_tri_v4:10:20015096:20016408:1 gene:Potri.010G211500.v4.1 transcript:Potri.010G211500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211500.v4.1 MGDSSASYIHMVQHLIEKCLIFNMTKEECMEALSKHANIEPVITSTVWKELEKENKEFFEAYAQSKSKDDRMSEEETSRVIQRMISQQSESESSKNHDPDE >Potri.008G091750.2.v4.1 pep chromosome:Pop_tri_v4:8:5732036:5732993:-1 gene:Potri.008G091750.v4.1 transcript:Potri.008G091750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091750.v4.1 MSVLIGLCFVAPVIFFLPCPCSCLSTIPQSYMEGLLSLSRWRLMKSQKHSTISATEIDEVFSDAIHHLMLKYACLRFYQYISRITCIVIYNIVRT >Potri.004G157900.1.v4.1 pep chromosome:Pop_tri_v4:4:17877889:17878594:-1 gene:Potri.004G157900.v4.1 transcript:Potri.004G157900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157900.v4.1 MERNRFSILTILLVTLVLILSLSFSTYSPTDRRLLVLLDDLSLKSSHSIFFNSIKSRGFNLDFKLADDPKLALQRYDQYLYDGLILFSPSIRRFGGALDLALATGCGVDFDDADVEFMMH >Potri.001G393700.3.v4.1 pep chromosome:Pop_tri_v4:1:41710294:41714086:-1 gene:Potri.001G393700.v4.1 transcript:Potri.001G393700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393700.v4.1 MSFFHFHVPNNLLWPTLGYVLEYTHFQPNVRQSNNCTSIGKKICPAYASISTFPRIDDFVALQISDDVGETTGSSKHYQSKIPLDPAEKQTMSEEVQPDGCQYSAEIINDLPRKRRKRKKKHGNKGRIPWNKGRKHTAETRALIKQRTIEALTNPQVRKKMSGHPRAHNEAIRAKISSSLRQLWGKRLRWKRLRENFFLSWTKRIAIAAKEGSIDQQELDWDGYEKIKKEITLKQLQGAMEKAKGEEIAKRKVEREKKEKEEKMERVAQKRKEREEKAMEREETKRKAHRQSKKKTEESSVARKLTLKKRLTKIRKKKPTDDQMISQGASSPSLSRAWEKLNAEISKREKVPRETSLADQIQAAKNKKTESNTRKALAAPSFPLVC >Potri.001G393700.2.v4.1 pep chromosome:Pop_tri_v4:1:41710300:41714198:-1 gene:Potri.001G393700.v4.1 transcript:Potri.001G393700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393700.v4.1 MSFFHLRLSVPHLTCSHVPNNLLWPTLGYVLEYTHFQPNVRQSNNCTSIGKKICPAYASISTFPRIDDFVALQISDDVGETTGSSKHYQSKIPLDPAEKQTMSEEVQPDGCQYSAEIINDLPRKRRKRKKKHGNKGRIPWNKGRKHTAETRALIKQRTIEALTNPQVRKKMSGHPRAHNEAIRAKISSSLRQLWGKRLRWKRLRENFFLSWTKRIAIAAKEGSIDQQELDWDGYEKIKKEITLKQLQGAMEKAKGEEIAKRKVEREKKEKEEKMERVAQKRKEREEKAMEREETKRKAHRQSKKKTEESSVARKLTLKKRLTKIRKKKPTDDQMISQGASSPSLSRAWEKLNAEISKREKVPRETSLADQIQAAKNKKTESNTRKALAAPSFPLVC >Potri.015G102501.2.v4.1 pep chromosome:Pop_tri_v4:15:12159344:12159776:-1 gene:Potri.015G102501.v4.1 transcript:Potri.015G102501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102501.v4.1 MFQFLRIMSSDYPTSKSGRQSIKEKLAEEQIAPSESSTSPGKLGRIVPSFWSILSRAKMQGVSLHNLSSHG >Potri.015G102501.1.v4.1 pep chromosome:Pop_tri_v4:15:12158658:12160131:-1 gene:Potri.015G102501.v4.1 transcript:Potri.015G102501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102501.v4.1 MFQFLRIMSSDYPTSKSGRQSIKEKLAEEQIAPSESSTSPGKLGRIVPSFWSILSRAKMQGVSLHNLSSHGCAICRKLRAKEFSAREIWKRSHSRNKLFA >Potri.001G099200.1.v4.1 pep chromosome:Pop_tri_v4:1:7898669:7902425:1 gene:Potri.001G099200.v4.1 transcript:Potri.001G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099200.v4.1 MGSLLGDWPSFDPHNFSQLRPSDPSNPSKMTPATYHPTHSRTLPPPDQVITTEAKNILLRNFYERAEEKLRQKRAASEHLMPEHGCKQARASTS >Potri.011G137100.1.v4.1 pep chromosome:Pop_tri_v4:11:16914401:16916513:1 gene:Potri.011G137100.v4.1 transcript:Potri.011G137100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137100.v4.1 MDSQLRKLVAGLFLLSAIFVSGVKAWTGEIHGRVVCDVCGDSSIGPEDHVLEGAEVAVLCITKSGEVLNYQAFTNDKGIYTVAETMSESDRWDACLARPISSFHEHCTQLGETSTGVKFSYNRPSGFSHRVKPFVYSPANVPTYCI >Potri.016G078300.1.v4.1 pep chromosome:Pop_tri_v4:16:5938989:5943138:1 gene:Potri.016G078300.v4.1 transcript:Potri.016G078300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078300.v4.1 MSKSPEEEHPVKAFGWAARDQSGHLSPFNFSRRATGEEDVRFKVLYCGICHSDLHSIKNDWGFSMYPLVPGHEIVGEVTEVGSKVKKVNVGDKVGVGCLVGACHSCESCASDLENYCPKMILTYASIYHDGTITYGGYSDHMVANERYIIRFPDNMPLDGGAPLLCAGITVYSPLKYFGLDEPGKHIGIVGLGGLGHVAVKFAKAFGSKVTVISTSPSKKEEALKNLGADSFLVSRDQEQMQAAAGTLDGIIDTVSAVHPLLPLFGLLKSHGKLILVGAPEKPLELPAFSLIAGRKTVAGSGIGGMKETQEMIDFAAKHNITADIEVISTDYLNTAMERLAKNDVRYRFVIDVGNTLAATKP >Potri.006G140300.8.v4.1 pep chromosome:Pop_tri_v4:6:11753778:11757533:-1 gene:Potri.006G140300.v4.1 transcript:Potri.006G140300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140300.v4.1 MKAGFSIFKSTAFSLLLIVLVCATVLVWAWQRTPLLTAFLPPNSLLQLSPEDKDNQPVLTVGRNGTAETSTGLVEAEVKHDQKMETNLKTDVQIMEFAPPERSENTSSTTGKEQYNENVNDQGCNYAKGKWVLDNSRPLYSGFHCNQWLSHMWACRLMQRKDFAYEKLRWQPKNCQMEEFEVSNFLERMRDKTLAFVGDSLGRQQFQSLMCMITGGKESHDVKDVGKEYGLVMPHGGTRPNGWAYRFSSTNTTVLYYWSTCLCDLEPINTTNPETDYAMHLDRPPGFLRQFLHKLDVLVLNTGHHWNRGKLKANRWVMYVGGMPNTNRRLAMIGDAKNFTIHSIVNWVNSQLPKHPHLKAFYRSISPRHFVNGDWNTGGSCDNTTPMSIGKEVLQDESSDYSAGNAVKGTGVKLLDITALSQLRDEGHISHYRTTAAKGVHDCLHWCLPGVPDNWNEILFAHISATSVINS >Potri.006G140300.6.v4.1 pep chromosome:Pop_tri_v4:6:11754023:11757602:-1 gene:Potri.006G140300.v4.1 transcript:Potri.006G140300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140300.v4.1 MKAGFSIFKSTAFSLLLIVLVCATVLVWAWQRTPLLTAFLPPNSLLQLSPEDKDNQPVLTVGRNGTAETSTGLVEAEVKHDQKMETNLKTDVQIMEFAPPERSENTSSTTGKEQYNENVNDQGCNYAKGKWVLDNSRPLYSGFHCNQWLSHMWACRLMQRKDFAYEKLRWQPKNCQMEEFEVSNFLERMRDKTLAFVGDSLGRQQFQSLMCMITGGKESHDVKDVGKEYGLVMPHGGTRPNGWAYRFSSTNTTVLYYWSTCLCDLEPINTTNPETDYAMHLDRPPGFLRQFLHKLDVLVLNTGHHWNRGKLKANRWVMYVGGMPNTNRRLAMIGDAKNFTIHSIVNWVNSQLPKHPHLKAFYRSISPRHFVNGDWNTGGSCDNTTPMSIGKEVLQDESSDYSAGNAVKGTGVKLLDITALSQLRDEGHISHYRTTAAKGVHDCLHWCLPGVPDNWNEILFAHISATSVINS >Potri.006G140300.5.v4.1 pep chromosome:Pop_tri_v4:6:11753967:11757605:-1 gene:Potri.006G140300.v4.1 transcript:Potri.006G140300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140300.v4.1 MKAGFSIFKSTAFSLLLIVLVCATVLVWAWQRTPLLTAFLPPNSLLQLSPEDKDNQPVLTVGRNGTAETSTGLVEAEVKHDQKMETNLKTDVQIMEFAPPERSENTSSTTGKEQYNENVNDQGCNYAKGKWVLDNSRPLYSGFHCNQWLSHMWACRLMQRKDFAYEKLRWQPKNCQMEEFEVSNFLERMRDKTLAFVGDSLGRQQFQSLMCMITGGKESHDVKDVGKEYGLVMPHGGTRPNGWAYRFSSTNTTVLYYWSTCLCDLEPINTTNPETDYAMHLDRPPGFLRQFLHKLDVLVLNTGHHWNRGKLKANRWVMYVGGMPNTNRRLAMIGDAKNFTIHSIVNWVNSQLPKHPHLKAFYRSISPRHFVNGDWNTGGSCDNTTPMSIGKEVLQDESSDYSAGNAVKGTGVKLLDITALSQLRDEGHISHYRTTAAKGVHDCLHWCLPGVPDNWNEILFAHISATSVINS >Potri.002G100500.1.v4.1 pep chromosome:Pop_tri_v4:2:7340775:7341473:-1 gene:Potri.002G100500.v4.1 transcript:Potri.002G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100500.v4.1 MASLWRSKEVMGRSKPDGKCKKHPKHKQSPGVCSVCLSEKLSQLSTSASNSRSSSTNTMDCSSSSSLSSYYSSSSSCSYSSPMHRFQYPPQGKGYSLPSLFNGKSNFLTKSRSLALVSIIGNKDCHEIKKKGGLWSKLLRRPKDKKVEEGLVHSRTMRERIVITS >Potri.T155180.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:82283:86289:1 gene:Potri.T155180.v4.1 transcript:Potri.T155180.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T155180.v4.1 MKGKGVSRDYSRRRIRSGKRTTRSLDNIVVIDVDSDDEFDNVIIIDVPESLQQKLRGSNVVREGRSFPCIISIDDDDDTVDDHEINAQGDGNLDSDGTSSHSSPASDCIGKSVYRDADGCRVAEENRPVFKLRKCNRTYPEKAPSRKRYGLDSDSESDSSEDSTSDCEVMEGSFGEVREQWEKASLKRKSKSCKGLDDQASPCSSHGDVHPNAEVENRTKQNPDPSVCSSSKNVNFEKVNTCASTSTRDGVLGGCSSSAKMENPFANYNQKGESFSRPQKSRTNENIHFHWKSDDLCGGERSMDDSSTSYNKFRTLNGLGTRFPPGPSSWSNQEKDDKQYHHRRACFQDMEQNTATGHSFPNDQSGPNLHSDDGKASVLNEDASLPDGHFLGEKHDVINSQVDSKEEYKEFTQVPSSCKILSNEAQCREKFVSYARSSEDKVVENVIASSCTTQEVSDEKSGHQKMVERAAREKSSQCHDRLGRPGTSNSAEGKEVCTDFASSSQLHHERDPLCALPGARFPYAVKDIINDREKLKETEEYKQAMEEEWAARQQQLQIQAEEAQRLRKRRKAETLRILDMERRQKQRVEEMRETQKKDEENLNIKERFRVEVRKELYRLEVTCINMASLLRGLGIHVEGGFQPLPNQVHAAYKRALLKFHPDRASKTDIRRQVEAEEKFKLISRMKEKFLSTSCY >Potri.T155180.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:82246:86472:1 gene:Potri.T155180.v4.1 transcript:Potri.T155180.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T155180.v4.1 MKGKGVSRDYSRRRIRSGKRTTRSLDNIVVIDVDSDDEFDNVIIIDVPESLQQKLRGSNVVREGRSFPCIISIDDDDDTVDDHEINAQGDGNLDSDGTSSHSSPASDCIGKSVYRDADGCRVAEENRPVFKLRKCNRTYPEKAPSRKRYGLDSDSESDSSEDSTSDCEVMEGSFGEVREQWEKASLKRKSKSCKGLDDQASPCSSHGDVHPNAEVENRTKQNPDPSVCSSSKNVNFEKVNTCASTSTRDGVLGGCSSSAKMENPFANYNQKGESFSRPQKSRTNENIHFHWKSDDLCGGERSMDDSSTSYNKFRTLNGLGTRFPPGPSSWSNQEKDDKQYHHRRACFQDMEQNTATGHSFPNDQSGPNLHSDDGKASVLNEDASLPDGHFLGEKHDVINSQVDSKEEYKEFTQVPSSCKILSNEAQCREKFVSYARSSEDKVVENVIASSCTTQEVSDEKSGHQKMVERAAREKSSQCHDRLGRPGTSNSAEGKEVCTDFASSSQLHHERDPLCALPGARFPYAVKDIINDREKLKETEEYKQAMEEEWAARQQQLQIQAEEAQRLRKRRKAETLRILDMERRQKQRVEEMRETQKKDEENLNIKERFRVEVRKELYRLEVTCINMASLLRGLGIHVEGGFQPLPNQVHAAYKRALLKFHPDRASKTDIRRQVEAEEKFKLISRMKEKFLSTSCY >Potri.012G052200.1.v4.1 pep chromosome:Pop_tri_v4:12:4774270:4776268:-1 gene:Potri.012G052200.v4.1 transcript:Potri.012G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052200.v4.1 MGEVKVIGSSKSLFCARVEWALKLKGVEYEYLQEDIWNKSPLLLKHNPVHKKVPVLVHDDKPIAESLVILEYIDETWKDYPLLPEDPYERAMARFWAKFAEEKCLMGAFAAFWKEGEEKEKAIESALESFTFLEKQIQGKKFFSGDDNIGYLDLVMGWIPLWLNVMEEAGGMKLMDAQKFPSLHEWTQNFIEIPLIKECFPPRDALVNYFNFSLSYNRSMAAAKQ >Potri.013G058300.11.v4.1 pep chromosome:Pop_tri_v4:13:4258543:4266269:1 gene:Potri.013G058300.v4.1 transcript:Potri.013G058300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058300.v4.1 MNALVATNRNFKLAARLLGLDSKLEKSLLIPFREIKVECTIPKDDGTLASFVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKTAVANIPYGGAKGGIGCNPGELSVSELERLTRVFTQKIHDLIGIHTDVPAPDMGTGPQTMAWILDEYSKFHGYSPAVVTGKPIDLGGSLGRDAATGQGVLFATEALLNEHGKTISGQRFVIQGFGNVGAWAAQLISEQGGKIVAISDITGAMKNSKGIDIPSLLKHAKEHNGVKGFHGGDPIDPKSILVEDCDILIPAALGGVINRENANDIKAKFIIEAANHPTDPEADEILSKKGVVILPDIYANSGGVTVSYFEWVQNIQGFMWDEKKVNSELKNYMTKGFKDVKEMCKTHDCDLRMGAFTLGVNRVARATVLRGWEA >Potri.002G069500.1.v4.1 pep chromosome:Pop_tri_v4:2:4834067:4839240:1 gene:Potri.002G069500.v4.1 transcript:Potri.002G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069500.v4.1 MDPTKFRGKQPMTVDIEQMPETPYRGSHHRRAHSDTSFRFDDLLFLDASDFDLSSLDDLPTPNTTTTTTTTTHPPPAAPMAVDSLSDDSTSNGQNQKPKPVNHLRSLSMDSDFFDGLGLGAAGGADEKFDGKAVAGEKRAANPHHRHSYSMDGSFEVDSIMIDGVKKAMAPDRLAELSLIDPKRAKRILANRQSAARSKERKIRYTGELERKVQTLQTEATTLSAQVTMLQRDTTGLTVENKELKLRLQAMEQQAHLRDALNEALREEVQRLKIATGQVPAVNGNPFNRGLPPQFSSHQGLQTFGNQQAQQQLHMPQPSTTGQTHNGQPHPSFSNFSQRV >Potri.010G094600.1.v4.1 pep chromosome:Pop_tri_v4:10:11856781:11860210:-1 gene:Potri.010G094600.v4.1 transcript:Potri.010G094600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094600.v4.1 MAEESVVTDLPVKRPREDEENGASAATEAMETETNNNDYISSVITGWFSEISPMWPGEAHSLKVEKILFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIANPKKVLVIGGGDGGVLREVARHSSVEQIDICEIDKMVVDVSKKFFPDVSVGYEDPRVSLHIGDGVAFLKAVPEGTYDAVIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVSTQAESIWLHMNIIEDIVANCRQIFKGSVNYAWTTVPTYPSGMIGFMLCSTEGPAVDFKHPVNPIDSNDSQSKSKPLKFYNSELHTAAFCLPSFAKKVIDSKAK >Potri.004G125150.1.v4.1 pep chromosome:Pop_tri_v4:4:12116203:12116921:-1 gene:Potri.004G125150.v4.1 transcript:Potri.004G125150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125150.v4.1 MSANYTSSSNNRGGGRKYNGGRGQNYTPNTSNYTYKGRGHGGRYGQNGTHNSTNSEKPQCQLCGKFCHTVQNSGTPSSNAGNQNSIPFMVASSNNLADDTWYLDSRASHHLTQNMGNLTSSTPYTGIDKVIIGNGKHLSISNTGSQRLVSNSHSFQLKKVFHVSFISANLISVAKFCSDNNALIKFRSNSFFVKDLHTKKVFAQGSIEQQENGLY >Potri.019G133101.1.v4.1 pep chromosome:Pop_tri_v4:19:15501453:15501877:1 gene:Potri.019G133101.v4.1 transcript:Potri.019G133101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133101.v4.1 MIKAAQVLLPSIAFAHSITIDSCLLPLLRPQDYSSSKGFRPISTTINTTKMSAATESYQFGPYKIDPKEVFYATHLSYAMVNLRPLLPGLFSIPLPSTSHLFPFSIFICFL >Potri.019G133101.2.v4.1 pep chromosome:Pop_tri_v4:19:15501453:15503635:1 gene:Potri.019G133101.v4.1 transcript:Potri.019G133101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133101.v4.1 MIKAAQVLLPSIAFAHSITIDSCLLPLLRPQDYSSSKGFRPISTTINTTKMSAATESYQFGPYKIDPKEVFYATHLSYAMVNLRPLLPGHILFQSKV >Potri.001G313500.1.v4.1 pep chromosome:Pop_tri_v4:1:32437148:32438299:1 gene:Potri.001G313500.v4.1 transcript:Potri.001G313500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313500.v4.1 MNNQKLLPIPFFPKPETYHLSEMFRLLTENSSFCGSLLQPQNSFSSPFTSNHYDPSAYFPVSTDISAIVSLPGFIKKPPETPPVLDGIGAVVGQEVLFGTTNTKNSETSDFASISKRIGSKERKHERGAKRNCANNGVPVQRTYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDAAARRLRGAKARTNFEIPPVLPPISSSPSPPNACSSSSLNNAEVKRRTNNSNNKLIGNGGRKCAVVTSVAHLFSNFEGKGTSGGGNVELDLKLATGLGGHHDGNKSAASAPPSMVV >Potri.016G129900.1.v4.1 pep chromosome:Pop_tri_v4:16:13280609:13283369:-1 gene:Potri.016G129900.v4.1 transcript:Potri.016G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129900.v4.1 MGDHIRRGEEFEKKADKKINGWVLLGSKYEDAADLLTQSANQFKLAKSWDKAGSVFIKLSNCHLKLDSRHEAATAYVDAASCYKKTSTKGAISCYLQAVDIYVDMGRYSNAAKYCKEIGELYELEQNSDKAIMYFEKAADYFEFQESNSLANKCKLKVAEISAQLEQYQKAIQIYEDVARQSLNNNLLKYGVRGHLLNAGICQLCRGDVVAITNALEQYENLDPTFSRTREYKFLSDLATAIDEEDVPKLTSIIKEFDSISKLDSWKVTLLLKVKNALKSKELEDDLT >Potri.008G122100.1.v4.1 pep chromosome:Pop_tri_v4:8:7930651:7932326:1 gene:Potri.008G122100.v4.1 transcript:Potri.008G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G122100.v4.1 MLGVRKRICNPREEESELRRGPWTLEEDTLLTHYITRHGEGRWNMLARGAGLKRTGKSCRLRWLNYLKPDIKRGNLTPQEQLLILELHSKWGNRWSKIAQHLPGRTDNEIKNYWRTKVQKEARQLNIEANSKRFLDAVRSYWMPRLIQKIEQASYSSSLTTLDSPADREVRSTSSNPPVHNSSPTLLCPPESRFTHCSNPGSENSFSFTSPYLLSTNSNTISQQPDEILENPSCSPLLGDTVCNNLILSDTYNKEGSSYDMDVFNVASAGMGTHDNSPLECQVAEGNWVFDSVEDTLWNMDDMWVFRDLRETGI >Potri.004G077301.1.v4.1 pep chromosome:Pop_tri_v4:4:6398151:6398862:-1 gene:Potri.004G077301.v4.1 transcript:Potri.004G077301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077301.v4.1 MLCSLTFCLQPQTRRPSGLLPPPLRTGESSTLFTLSKPCDELQIDPNGIFKFAVDIDLESSLKKEAIREIKVTWNVVLRGWKAVFNMMESCSGKASLVPEAEDLFSKELPLPGCCSNMVTASSLVAEIKLGFCSENYTDEEEGIEDDGKFKRGKLSLAIMNTKHWRYLSMDDALRHLQHFLLPCDAYVVLISSLITSGKLAWWLL >Potri.T084500.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:97969:102231:1 gene:Potri.T084500.v4.1 transcript:Potri.T084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084500.v4.1 MAFPILHLSFSLLIMLPPFAVSQTGGNITVGASLSTSENTSWLSPSGDFAFGFHPLYGNKYLFLLAIWYDKIPEKTIVWYANGDKPAPTGSKAVLTANRGISLTDPQGRELWRSETIIGVVAYGAMTDKGNFVLQDRVSDKLWESFKNPADTLLPSQVLDRGMTLSSRQSENNFSMGRFQLKLTDDGNLELATINLPSDYTNEPYYKSGTDGGLDSSSPGYQVVFNESGYLYILRENDQIFSLTQRVTASTGDFYRRATLNFDGLFTQYYHPKASTGNERWTPIWSQPDNICQASFVSSGSGTCGFNSVCRLNSDRRPICECPGGYSLLDPSDQYGSCRPNYTQSCEEDEVAPVEDLYDFEELTNTDWPTSDYALLKPFTEEKCRQSCLNDCMCAVAIFRSGDMCWKKKLPLSNGRVQTNLDGKALLKVRRSNVNPRSPYFPNNKKDRDGLILVGSVFLGCSVFVNFLLVCAIFMCFFFIYRRRTKRIPQKDGAVETNLRCFTYQELAEATEGFKEELGRGAFGVVYKGVVHIGSSIVVAVKKLNNVAEDRVREFKTEVNVIGQTHHKNLVRLLGFCEEGDQRLLVYEFMSNGSLSSFIFQDAKPGWKIRIQIAFGVARGLLYLHEECSNQIIHCDIKPQNILLDEYYNARISDFGLAKLLLLDQSQTHTAIRGTKGYVAPEWFRNLPVTVKVDVYSYGVLLLEIICCRRNVDSKATIEEQAILTDWAYDCYREGTLDALVGSDTGALDDIEKLERFLMIAFWCIQEDPSLRPTMRKVTQMLEGVVEVPVPPCPCLLYAAS >Potri.T084500.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:99813:102292:1 gene:Potri.T084500.v4.1 transcript:Potri.T084500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084500.v4.1 MTDKGNFVLQDRVSDKLWESFKNPADTLLPSQVLDRGMTLSSRQSENNFSMGRFQLKLTDDGNLELATINLPSDYTNEPYYKSGTDGGLDSSSPGYQVVFNESGYLYILRENDQIFSLTQRVTASTGDFYRRATLNFDGLFTQYYHPKASTGNERWTPIWSQPDNICQASFVSSGSGTCGFNSVCRLNSDRRPICECPGGYSLLDPSDQYGSCRPNYTQSCEEDEVAPVEDLYDFEELTNTDWPTSDYALLKPFTEEKCRQSCLNDCMCAVAIFRSGDMCWKKKLPLSNGRVQTNLDGKALLKVRRSNVNPRSPYFPNNKKDRDGLILVGSVFLGCSVFVNFLLVCAIFMCFFFIYRRRTKRIPQKDGAVETNLRCFTYQELAEATEGFKEELGRGAFGVVYKGVVHIGSSIVVAVKKLNNVAEDRVREFKTEVNVIGQTHHKNLVRLLGFCEEGDQRLLVYEFMSNGSLSSFIFQDAKPGWKIRIQIAFGVARGLLYLHEECSNQIIHCDIKPQNILLDEYYNARISDFGLAKLLLLDQSQTHTAIRGTKGYVAPEWFRNLPVTVKVDVYSYGVLLLEIICCRRNVDSKATIEEQAILTDWAYDCYREGTLDALVGSDTGALDDIEKLERFLMIAFWCIQEDPSLRPTMRKVTQMLEGVVEVPVPPCPCLLYAAS >Potri.016G064200.1.v4.1 pep chromosome:Pop_tri_v4:16:4495415:4498276:-1 gene:Potri.016G064200.v4.1 transcript:Potri.016G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064200.v4.1 MEETISEFSKSVGSFCNHLQSSCDALKQSIDRRPIPLDSASSTYIQCLNRSVASASSDLNLLESMSFGTVSFEELLGHCNEVYKNSENRILDIQDRLRSFGYVPAEVEIDDEADLSVSMALGLNLKDEMDPSAAYNEPVSVASSIMKSLEEDPLMDETLSLKSLGLSDVCLATLAAEANSKINDPDISMRDTKKYYGDKLHNEKSLDQNTANMGVIEGELEPAEALRAVVKASKDDYESLPSYMKSLTSWEDLLTAVEKINSSLKKKDKTKGNNYFRQNEITSMDLGPKARTYLLLLTRMNQLAVETVDGLISYKVL >Potri.014G049200.1.v4.1 pep chromosome:Pop_tri_v4:14:3162807:3180206:1 gene:Potri.014G049200.v4.1 transcript:Potri.014G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049200.v4.1 MATLALPLVISVLKPQFTRLFLFRSPATVVGRPNANRFFLNRHRPRPRHFTKTTASAISTNSSIQQHSSTNPYNEPQNTSVPTFQQAIQRLQEYWASVGCAVMQCSNTEVGAGTMNPLTFLRVLGPEPWNVAYVEPSIRPDDSRYGENPNRLQRHTQFQVILKPDPGNSQDLFIRSLSALGVDVNAHDIRFVEDNWESPVLGAWGLGWEIWMDGMEITQFTYFQQAGSLQLSPISVEITYGLERILMLLQGVDHFKKIRYADGITYGELFLENEKEMSAYYLEHASVHHLQKHFDFFEEEARSLLASGLPIPAYDQLLKTSHAFNILDSRGFVGVTERARYFGRMRSLARQCAQLWLKTRESLGHPLGTVSEPAQLVSAKELLEAAVKKVHDEQRFFVLEIGTEEMPPQDVVHAGQQLKDLVLQLLEKQRLSHGKVEAFGTPRRLVVCVESLSTKQAEIELEVRGPPVSKAFDQEGNPTKAAEGFCRRYNISLDSLFRKVDGKTEYVHAHVRETARFALEILSEDLPSTISKISFPKSMRWNSQVMFSRPIRWIMALHGDVVVPFAFAGVLSGNLSYGLRNTPSATVQVESAESYEGVMQNAGINIEIEGRKRSILEQSNELAKSVKGRILIQESLLNEVVNLVEAPVPVLGKFKESFLELPEDLLTMVMQKHQKYFAITDDSGRLLPFFIAVANGAINETVVKKGNEAVLRARYEDAKFFYEMDTRKKFSEFRNQLDGILFHEKLGTMLDKMMRVENMITKLTVELGVNEDVIQVVQDAASLAMSDLATAVVTEFTALSGIMARHYALREGYSAQIAEALLEITLPRFSGDMVPKTDAGIVLAIADRLDSLVGLFAAGCQPSSANDPFGLRRISYALVQILVDNDKNLDLVRALRLAADVQPIKADVSMINDVHLFVTRRLEQFLVDKGIRPEIVRSVLAERASSPCLAAKTAYKMEALSRENLFPKVVEAYSRPTRIVRGKDVDTDMKVDEAAFETDEERALWSTFTSTKSKIYPGIEIDEFVEISSELLQPLEDFFNNVFVMVEDERIRKNRLALLNKIADLPRGIADLSVLPGF >Potri.001G026600.1.v4.1 pep chromosome:Pop_tri_v4:1:1985519:1987154:-1 gene:Potri.001G026600.v4.1 transcript:Potri.001G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX31 MAEVEKNQEIIPNSKPATKNTTSSSIFNRSVTIHSASLANSYLQSTSRFYNSFESMKGKVKKLRSLFESPKPQKPNPDELQIQATHKLQSFKSMGPEYNRFPSFGFINNSKIRLPGTEDRIVVYLTSLRGVRRTYEDCYAVRMIFRGFRVWIDERDVSMDSAYKKELQSVLGEKNVSLPQVFIRGDHVGGAEVIKQMFETGELVRVLDRFPRQQPGFVCEGCGGARFVPCGNCSGSRKLFDEDEGVLKRCLECNENGLIRCPDCCS >Potri.006G123300.4.v4.1 pep chromosome:Pop_tri_v4:6:9804700:9812232:-1 gene:Potri.006G123300.v4.1 transcript:Potri.006G123300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123300.v4.1 MATLYKLPSFVSISYHKPFLYHKQQQLQQREINLATCACSIKKPRGSRKVRNNVELCNDLREFLSTFELPEGHVPSIKELQDHGRNDLANVVRRRGYKLIRDLLSSSTESDSDELPNMEKNLAKGQDTINHSADIIATGTITKNHSGNIDIELENKSGGQICMPIESPIDLSLEKKALYDVEQPDEKFQIIVKDRLLLSSLSTFEQQDEEVKCMVEDNSMSTSLYDVKQQDLNSNEDTSMPVETSANFSFEEKVKYDSVQDEKVGIGAEEMSLSSGVSDTQYYANVKNISGLIDNNNSCMPANSSLVEKVAKFIQNGDLDTIEDNVYGLSNGSGSGESKGFREPENMTEDHSKISSEENFENAVGESDTASTLNENLSTSMQVVPSVTVSRALRNESPAEGLAGADVDQDLDIETNKKDNQIEINHLKFILHQKELELSQLKEQIEKEKLALSALQTKAEREISKAQKLISEKDAELLVAEESLSGLVEVEVAYCGNGEMVEVAGSFNGWHHPVRLDPQPSSSIKDHFGSRKSRLWSAMLWLYPGVYEIKFIVDGHWRVDPQMESVTKGGICNNVLRVNR >Potri.006G123300.6.v4.1 pep chromosome:Pop_tri_v4:6:9804701:9812245:-1 gene:Potri.006G123300.v4.1 transcript:Potri.006G123300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123300.v4.1 MATLYKLPSFVSISYHKPFLYHKQQQLQQREINLATCACSIKKPRGSRKVRNNVELCNDLREFLSTFELPEGHVPSIKELQDHGRNDLANVVRRRGYKLIRDLLSSSTESDSDELPNMEKNLAKGQDTINHSADIIATGTITKNHSGNIDIELENKSGGQICMPIESPIDLSLEKKALYDVEQPDEKFQIIVKDRLLLSSLSTFEQQDEEVKCMVEDNSMSTSLYDVKQQGEEDLSMVKQFSLSAEVSIADSNLGVLNVYPDLNSNEDTSMPVETSANFSFEEKVKYDSVQDEKVGIGAEEMSLSSGVSDTQYYANVKNISGLIDNNNSCMPANSSLVEKVAKFIQNGDLDTIEDNVYGLSNGSGSGESKGFREPENMTEDHSKISSEENFENAVGESDTASTLNENLSTSMQVVPSVTVSRALRNESPAEGLAGADVDQDLDIETNKKDNQIEINHLKFILHQKELELSQLKEQIEKEKLALSALQTKAEREISKAQKLISEKDAELLVAEESLSGLVEVEVAYCGNGEMVEVAGSFNGWHHPVRLDPQPSSSIKDHFGSRKSRLWSAMLWLYPGVYEIKFIVDGHWRVDPQMESVTKGGICNNVLRVNR >Potri.006G123300.12.v4.1 pep chromosome:Pop_tri_v4:6:9804700:9812212:-1 gene:Potri.006G123300.v4.1 transcript:Potri.006G123300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123300.v4.1 MATLYKLPSFVSISYHKPFLYHKQQQLQQREINLATCACSIKKPRGSRKVRNNVELCNDLREFLSTFELPEGHVPSIKELQDHGRNDLANVVRRRGYKLIRDLLSSSTESDSDELPNMEKNLAKGQDTINHSADIIATGQDEKVKDCSLSTEGTITKNHSGNIDIELENKSGGQICMPIESPIDLSLEKKALYDVEQPDEKFQIIVKDRLLLSSLSTFEQQDEEVKCMVEDNSMSTSLYDVKQQDLNSNEDTSMPVETSANFSFEEKVKYDSVQDEKVGIGAEEMSLSSGVSDTQYYANVKNISGLIDNNNSCMPANSSLVEKVAKFIQNGDLDTIEDNVYGLSNGSGSGESKGFREPENMTEDHSKISSEENFENAVGESDTASTLNENLSTSMQVVPSVTVSRALRNESPAEGLAGADVDQDLDIETNKKDNQIEINHLKFILHQKELELSQLKEQIEKEKLALSALQTKAEREISKAQKLISEKDAELLVAEESLSGLVEVEVAYCGNGEMVEVAGSFNGWHHPVRLDPQPSSSIKDHFGSRKSRLWSAMLWLYPGVYEIKFIVDGHWRVDPQMESVTKGGICNNVLRVNR >Potri.016G025300.6.v4.1 pep chromosome:Pop_tri_v4:16:1415414:1419419:-1 gene:Potri.016G025300.v4.1 transcript:Potri.016G025300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025300.v4.1 MEESPGEGSIDKSRVLNVKPLRTLTPVFSAPPNSNSFPQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSVPSGDHTPISSAVPINSFRSPEPPSARAANGNAGSSRRANRNNRVVEEDGYGDNQTWSSQSISQKRTRGKKDKIASPDVDMDVMVENIYQSYNLVEFEEARRYNGDKDSVGCVLLVFNLLRRQIVQLEDSKEATAGQSRRPDLKAGNVLMTKGVRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPIMAGIDYMSVKVSQDEEPIAVSIVSSGGYEDDVEEDDGLIYSGQGKEMDQKLERGNLALEKSLHRGNDIRVTRGIKDVGNPTGKVYVYDGLYRIQESWVEKGKSGSNVFRYKLGRLPGQPDAYKMWKKIQQWKDGIIPRMGIILPDLTSGAETLPVSLVNDVDHEKGPAYFNYSPTLKYSKPVPRDPFVGCACNGACLPGNENCDCVQKNGGYLPHIVNGVIVSQKSVIYECGPPCRCPPTCRNRVSQGGLRVRLEVFKTKDRGWGLRSWDPIRAGAFICVYAGEAVDDSEAQELAGENEDDHIFDGTRIYQPVEVLPGDLNNAPNLQFPLIINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHVPPMTELTYSYGMVPPEKADRGKKKCFCGSPKCRGFFY >Potri.016G025300.8.v4.1 pep chromosome:Pop_tri_v4:16:1415433:1419240:-1 gene:Potri.016G025300.v4.1 transcript:Potri.016G025300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025300.v4.1 MEESPGEGSIDKSRVLNVKPLRTLTPVFSAPPNSNSFPQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSVPSGDHTPISSAVPINSFRSPEPPSARAANGNAGSSRRANRNNRVVEEDGYGDNQTWSSQSISQKRTRGKKDKIASPDVDMDVMVENIYQSYNLVEFEEARRYNGDKDSVGCVLLVFNLLRRQIVQLEDSKEATAGQSRRPDLKAGNVLMTKGVRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPIMAGIDYMSVKVSQDEEPIAVSIVSSGGYEDDVEEDDGLIYSGQGKEMDQKLERGNLALEKSLHRGNDIRVTRGIKDVGNPTGKVYVYDGLYRIQESWVEKGKSGSNVFRYKLGRLPGQPDAYKMWKKIQQWKDGIIPRMGIILPDLTSGAETLPVSLVNDVDHEKGPAYFNYSPTLKYSKPVPRDPFVGCACNGACLPGNENCDCVQKNGGYLPHIVNGVIVSQKSVIYECGPPCRCPPTCRNRVSQGGLRVRLEVFKTKDRGWGLRSWDPIRAGAFICVYAGEAVDDSEAQELAGENEDDHIFDGTRIYQPVEVLPGDLNNAPNLQFPLIINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHVPPMTELTYSYGMVPPEKADRGKKKCFCGSPKCRGFFY >Potri.016G025300.7.v4.1 pep chromosome:Pop_tri_v4:16:1415322:1419414:-1 gene:Potri.016G025300.v4.1 transcript:Potri.016G025300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025300.v4.1 MEESPGEGSIDKSRVLNVKPLRTLTPVFSAPPNSNSFPQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSVPSGDHTPISSAVPINSFRSPEPPSARAANGNAGSSRRANRNNRVVEEDGYGDNQTWSSQSISQKRTRGKKDKIASPDVDMDVMVENIYQSYNLVEFEEARRYNGDKDSVGCVLLVFNLLRRQIVQLEDSKEATAGQSRRPDLKAGNVLMTKGVRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPIMAGIDYMSVKVSQDEEPIAVSIVSSGGYEDDVEEDDGLIYSGQGKEMDQKLERGNLALEKSLHRGNDIRVTRGIKDVGNPTGKVYVYDGLYRIQESWVEKGKSGSNVFRYKLGRLPGQPDAYKMWKKIQQWKDGIIPRMGIILPDLTSGAETLPVSLVNDVDHEKGPAYFNYSPTLKYSKPVPRDPFVGCACNGACLPGNENCDCVQKNGGYLPHIVNGVIVSQKSVIYECGPPCRCPPTCRNRVSQGGLRVRLEVFKTKDRGWGLRSWDPIRAGAFICVYAGEAVDDSEAQELAGENEDDHIFDGTRIYQPVEVLPGDLNNAPNLQFPLIINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHVPPMTELTYSYGMVPPEKADRGKKKCFCGSPKCRGFFY >Potri.016G025300.4.v4.1 pep chromosome:Pop_tri_v4:16:1415425:1419396:-1 gene:Potri.016G025300.v4.1 transcript:Potri.016G025300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025300.v4.1 MEESPGEGSIDKSRVLNVKPLRTLTPVFSAPPNSNSFPQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSVPSGDHTPISSAVPINSFRSPEPPSARAANGNAGSSRRANRNNRVVEEDGYGDNQTWSSQSISQKRTRGKKDKIASPDVDMDVMVENIYQSYNLVEFEEARRYNGDKDSVGCVLLVFNLLRRQIVQLEDSKEATAGQSRRPDLKAGNVLMTKGVRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPIMAGIDYMSVKVSQDEEPIAVSIVSSGGYEDDVEEDDGLIYSGQGKEMDQKLERGNLALEKSLHRGNDIRVTRGIKDVGNPTGKVYVYDGLYRIQESWVEKGKSGSNVFRYKLGRLPGQPDAYKMWKKIQQWKDGIIPRMGIILPDLTSGAETLPVSLVNDVDHEKGPAYFNYSPTLKYSKPVPRDPFVGCACNGACLPGNENCDCVQKNGGYLPHIVNGVIVSQKSVIYECGPPCRCPPTCRNRVSQGGLRVRLEVFKTKDRGWGLRSWDPIRAGAFICVYAGEAVDDSEAQELAGENEDDHIFDGTRIYQPVEVLPGDLNNAPNLQFPLIINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHVPPMTELTYSYGMVPPEKADRGKKKCFCGSPKCRGFFY >Potri.016G025300.5.v4.1 pep chromosome:Pop_tri_v4:16:1415308:1419516:-1 gene:Potri.016G025300.v4.1 transcript:Potri.016G025300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025300.v4.1 MEESPGEGSIDKSRVLNVKPLRTLTPVFSAPPNSNSFPQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSVPSGDHTPISSAVPINSFRSPEPPSARAANGNAGSSRRANRNNRVVEEDGYGDNQTWSSQSISQKRTRGKKDKIASPDVDMDVMVENIYQSYNLVEFEEARRYNGDKDSVGCVLLVFNLLRRQIVQLEDSKEATAGQSRRPDLKAGNVLMTKGVRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPIMAGIDYMSVKVSQDEEPIAVSIVSSGGYEDDVEEDDGLIYSGQGKEMDQKLERGNLALEKSLHRGNDIRVTRGIKDVGNPTGKVYVYDGLYRIQESWVEKGKSGSNVFRYKLGRLPGQPDAYKMWKKIQQWKDGIIPRMGIILPDLTSGAETLPVSLVNDVDHEKGPAYFNYSPTLKYSKPVPRDPFVGCACNGACLPGNENCDCVQKNGGYLPHIVNGVIVSQKSVIYECGPPCRCPPTCRNRVSQGGLRVRLEVFKTKDRGWGLRSWDPIRAGAFICVYAGEAVDDSEAQELAGENEDDHIFDGTRIYQPVEVLPGDLNNAPNLQFPLIINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHVPPMTELTYSYGMVPPEKADRGKKKCFCGSPKCRGFFY >Potri.016G025300.1.v4.1 pep chromosome:Pop_tri_v4:16:1415389:1419394:-1 gene:Potri.016G025300.v4.1 transcript:Potri.016G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025300.v4.1 MEESPGEGSIDKSRVLNVKPLRTLTPVFSAPPNSNSFPQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSVPSGDHTPISSAVPINSFRSPEPPSARAANGNAGSSRRANRNNRVVEEDGYGDNQTWSSQSISQKRTRGKKDKIASPDVDMDVMVENIYQSYNLVEFEEARRYNGDKDSVGCVLLVFNLLRRQIVQLEDSKEATAGQSRRPDLKAGNVLMTKGVRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPIMAGIDYMSVKVSQDEEPIAVSIVSSGGYEDDVEEDDGLIYSGQGKEMDQKLERGNLALEKSLHRGNDIRVTRGIKDVGNPTGKVYVYDGLYRIQESWVEKGKSGSNVFRYKLGRLPGQPDAYKMWKKIQQWKDGIIPRMGIILPDLTSGAETLPVSLVNDVDHEKGPAYFNYSPTLKYSKPVPRDPFVGCACNGACLPGNENCDCVQKNGGYLPHIVNGVIVSQKSVIYECGPPCRCPPTCRNRVSQGGLRVRLEVFKTKDRGWGLRSWDPIRAGAFICVYAGEAVDDSEAQELAGENEDDHIFDGTRIYQPVEVLPGDLNNAPNLQFPLIINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHVPPMTELTYSYGMVPPEKADRGKKKCFCGSPKCRGFFY >Potri.006G000700.8.v4.1 pep chromosome:Pop_tri_v4:6:58979:65294:1 gene:Potri.006G000700.v4.1 transcript:Potri.006G000700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000700.v4.1 MIGQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLRCSHYLPSSFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGDYVSLGWHERDDLKVVVSYLRSNKQISCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLFDLMMELVDVYKIRLPKFTVKMAVQYMRWVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPCHADLIFNSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPQTSASSNKLERYYDLGDLKVSAGMDEVISLPSFFEQLKHQLQISC >Potri.006G000700.6.v4.1 pep chromosome:Pop_tri_v4:6:58976:65336:1 gene:Potri.006G000700.v4.1 transcript:Potri.006G000700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000700.v4.1 MIGQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLRCSHYLPSSFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGDYVSLGWHERDDLKVVVSYLRSNKQISCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLFDLMMELVDVYKIRLPKFTVKMAVQYMRWVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPCHADLIFNSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPQTSASSNKLERYYDLGDLKVSAGMDESLLYEIITGLRSACTDAASSSVRPSIPTTKSVSELLSEVAPLANIVDSMASENAGLCSDEPSNLQGKPNGQSECCSYTSSNRESWGRCSSLGSSDEESPAECRTVDNSHEMTLKASATPLGSIQQKSPVPTKEEKKKKKKKKKKALTVPKKLKGEKFEKLEAFSKRLRHCILRRVNHHRHRSS >Potri.006G000700.7.v4.1 pep chromosome:Pop_tri_v4:6:58668:65293:1 gene:Potri.006G000700.v4.1 transcript:Potri.006G000700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000700.v4.1 MIGQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLRCSHYLPSSFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGDYVSLGWHERDDLKVVVSYLRSNKQISCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLFDLMMELVDVYKIRLPKFTVKMAVQYMRWVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPCHADLIFNSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPQTSASSNKLERYYDLGDLKVSAGMDESLLYEIITGLRSACTDAASSSVRPSIPTTKSVSELLSEVAPLANIVDSMASENAGLCSDEPSNLQGKPNGQSECCSYTSSNRESWGRCSSLGSSDEESPAECRTVDNSHEMTLKASATPLGSIQQKSPVPTKEEKKKKKKKKKKALTVPKKLKGEKFEKLEAFSKRLRHCILRRVNHHRHRSS >Potri.006G000700.5.v4.1 pep chromosome:Pop_tri_v4:6:58974:65354:1 gene:Potri.006G000700.v4.1 transcript:Potri.006G000700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000700.v4.1 MIGQFINFVIRPPRADYNPDQYLWEKDFTLAGRAYKRQDLELTNARGHTLRCSHYLPSSFPEDTPLPCVIYCHGNSGCRADANEAAVILLPSNITVFALDFSGSGLSDGDYVSLGWHERDDLKVVVSYLRSNKQISCIGLWGRSMGAVTSLLYGAEDPSIAGMVLDSAFANLFDLMMELVDVYKIRLPKFTVKMAVQYMRWVIQKKAKFDIMDLNCLKVAPKTFIPALFGHASEDKFIQPCHADLIFNSYAGDKNMIKFDGDHNSSRPQFYYDSVSIFFFNVLHPPQTSASSNKLERYYDLGDLKVSAGMDESLLYEIITGLRSACTDAASSSVRPSIPTTKSVSELLSEVAPLANIVDSMASENAGLCSDEPSNLQGKPNGQSECCSYTSSNRESWGRCSSLGSSDEESPAECRTVDNSHEMTLKASATPLGSIQQKSPVPTKEEKKKKKKKKKKALTVPKKLKGEKFEKLEAFSKRLRHCILRRVNHHRHRSS >Potri.002G072800.2.v4.1 pep chromosome:Pop_tri_v4:2:5116941:5120072:1 gene:Potri.002G072800.v4.1 transcript:Potri.002G072800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072800.v4.1 MEIAHFLFGIFGNATALFLFLAPTITFRRIIRSKSTELFSGIPYVMTMLNCLLSAWYGMPFVSKNNILVSTINGTGAVIEAVYVLTFIIYAPKKEKAKFIGLLTLVLTTFAGVALVSLVVLHGKPREIFCGFAAAIFSIIMYGSPLSIMRTVVKTKSVEFMPFFLSLFVFLCGTSWFVFGLLGGDLFVAVPNGVGCGLGALQLILYFIYRNNKGEDKKPALPVKSMQMGIAKLHQQKELVANGSHVADKV >Potri.006G169700.1.v4.1 pep chromosome:Pop_tri_v4:6:17054408:17062375:1 gene:Potri.006G169700.v4.1 transcript:Potri.006G169700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G169700.v4.1 MEAENDQAQEFIFRSKLPDIHIPNHLPLHTYCFENLSRFKDSPCLINGPTGEIHTYADVELTSRKVASGLNKLGIKQGDVILLLLQNSPEFVFAFLGASIIGAISSTANPFYTPAEVAKQATASKAKLIITQAVYAEKVQQFVKENDHVKIVTVDSPPENYLHFSELTNSDEDDIPAVEINPDDVVALPYSSGTTGLPKGVMLTHKGLVTSVAQQVDGENPNLYFHEKDVILCVLPLFHIYSLNSVLLCGLRVGSAILLMQKFEIVTLMELVQKYKVTIAPFVPPVVLAVAKCPVVDKYDLSSIRTVMSGAAPMGKELEDTVRAKLPNAKLGQGYGMTEAGPVLSMCLAFAKEPFEIKSGACGTVVRNAEMKIVDPDTGRSLPRNQAGEICIRGSQIMKGYLNDPEATERTVDNDGWLHTGDIGYIDGDDELFIVDRLKELIKYKGFQVAPAELEAMLIAHPDISDCAVVPMKDEAAGEVPIAFVVRANGSKITEDEIKQYISKQVVFYKRISRVFFTEAIPKAPSGKILRKDLRARLATGDLPH >Potri.004G144700.1.v4.1 pep chromosome:Pop_tri_v4:4:16745148:16748613:-1 gene:Potri.004G144700.v4.1 transcript:Potri.004G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144700.v4.1 MAQAQPERKTEEICVEKKEMKETTKPKNDSNKNSDSVSFKFNAQAPEFVPRSHTNTTRLPISGYFYPCFHYFGATASAAGGSDWIFVGDQDHAAAYLISNNPNHAMSICPSKNRGVLTDDLREKIIKQVEYQLSDMSLLANESMSKHVSKDPEGYVPIAVIASTKKMRSLVSDNDLLAQALKSSSKLVLAEDGKKVKRKLPFTDKHREELQSRIVVVENLPDDHSHQNVQKIFSVIGSAKTIRICHPQESNSSRAKNGFFVTNKLHALVELESRVIAEKAVEKLNDERNWRKGLKVRLLLRCSPKSVLTRGRKSEFDNILDGEDSPLDESTEDTSQPNNSESAIESCAEDNPGASKKAWAKGRGKGKGRGQIICARGMLAPPKCGSTPHCEASPKKTCKGPRMPDGTKGFTVGRGKPLVTSGLTSSMME >Potri.007G117800.1.v4.1 pep chromosome:Pop_tri_v4:7:13661834:13664280:-1 gene:Potri.007G117800.v4.1 transcript:Potri.007G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117800.v4.1 MNNLQRGLSCFLVVLSICFSIYHPQSPNSFQVLARSLKENATDPEIFSELFHIPDSFKKDYKEMEKNFKIFVYPHNTDKHTTACNKPTELGCFRSEGYFYHNLNHSRFLTKDPEKAHLFFIPIYCHSMSPEEKSKKERAIAVQDFVKFLISKYPYWNRTLGADHFFVSCSEVDVAATARIADRLKNSIGLMCSPSYNSKYVPHKDVSLPQSVQPYAYTEARNIEKNRTMLGFWSGVEDSYIRERLYLTWEYDSELYIEARDWPTSIEQGHWQAREDFYNSKFCICPGGPQLDGFIAFAIHYGCIPVILSDYYDLPFNDILDWRKFSVILKENDVYSLKKILQDIPKQTYESLQNHTFMVQKHFQWNLSPVKYDAFHMVMYDLWLRHHVTKYRY >Potri.011G114500.2.v4.1 pep chromosome:Pop_tri_v4:11:14463331:14463843:-1 gene:Potri.011G114500.v4.1 transcript:Potri.011G114500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114500.v4.1 MDFFHSQNQHSPKHQNSSNKKRLTHDQVRLLERTFTTTKKLEPELKVQLANQLGVPPRQIAIWYQNKRARWKTQSLELDYNSLHAKLEDALADRRRLEREVVQLQEELRRAQQMVFHLNQGPAQPPVNVSSNSSCDEGGSSSLHEEANGEVLQLEELYACLYGAGGSTWG >Potri.010G083000.1.v4.1 pep chromosome:Pop_tri_v4:10:11053607:11055148:1 gene:Potri.010G083000.v4.1 transcript:Potri.010G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083000.v4.1 MKNPCLTLAFLGILGIIVFFPKPSYQLSVDLDLPPIPNPRLLKAYIALQAWKHAITSDPKNFTSNWCGPNVCNYTGVYCAPAPDDRDTLTVAGIDLNHAKIAGSLPEDLGLLTDLALFHINSNRFFGSIPGSFCELNLLYELDVSNNQFSGKFPSVVLSLPSLKFLDIRYNEFRGKIPSKLFDKNLDALFINNNKFQSSVPKNLGKSPVSVIVLANNNFNSCFPSSLTKMAGTLDEIILTNMGLTGCLPSDIGLMNQLTVFDVSSNKLVGSLPESIGDMKKLEQLNVAHNMLYGDIPRSICLLPRLENFTYSYNYFCGEPPACLKLQAKDDTENCIPYRPLQRSPQECKSFYAFPPSCSASGCSPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPHKFYYP >Potri.007G061901.1.v4.1 pep chromosome:Pop_tri_v4:7:7012131:7013186:1 gene:Potri.007G061901.v4.1 transcript:Potri.007G061901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061901.v4.1 MRDFSWIRSFPFFGSFFGPSEKDSKPSRKQARENEGAPGGKRLSIIVARLPNRQLLKYQRLLSLAFISGLFTTSYQRCFRDLTLSTPGANLLSVLPSRRRTRARVEPFLSGVTAVERNKILRSRNIRAGSTVNSAKI >Potri.001G003500.2.v4.1 pep chromosome:Pop_tri_v4:1:209905:211955:1 gene:Potri.001G003500.v4.1 transcript:Potri.001G003500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003500.v4.1 MVFNSLIVLSVAHVSADVWQQIACIRFQDRVSSHQLLDLVCCFPLQQLGRLALFVWTFLCLPPPDSFLSSYSYYSTSSDDEDRHQYGHHHHQASSSSSSADVEYYYYDSD >Potri.011G105500.1.v4.1 pep chromosome:Pop_tri_v4:11:13411844:13416824:-1 gene:Potri.011G105500.v4.1 transcript:Potri.011G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G105500.v4.1 MALNSTDLKEVIVSMDGFDDSGVKVMLNNRGGGGRGGGGIWRESSYDFLNDANKKDKRRVINGDDDDDDDDNNNNNNNSDGNGSHEFDFKGHQNINSNNGVTVSASGSGSSKEEEDPPSKLIGQFLHKQKASGEFCLDMDSEMMTHLQDDAVVFHKSLAPVSESPTAIMNRVSFDPNPPGSSESVRRRRDFKDSSPTKESNGGTDDGEILKCSSRNHRSFSSNPSFKRKSTLLKDRPKSRLMDPPPQPPEKSGRVAVGRSGLLKSGFLGKGSVVDEEEDDPLLEEDLPEEYKKDRLDIWILLEWVSLIIIIAALVCSLAIPYLREKDFWRLRLWKWEVFVLVLICGRLVSGWVIRIIVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLIAWHCLFDKKVERETRSDKLRYVTKVLVCLVVGTLLWLVKTLVVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEMRRNEEEEERLLAEVQKLQNAGATVPPGLKATASLSPPQSAKVIGSGRLQKSPRIGTPKLSRSLSNKFDEGDEGITIDHLHKLNPKNVSAWNMKRLMNIIRHGALSTLDEKIQNSNDGDEESATKIRSEIEAKAAARKIFQNVARPGCRYIYLDDITRFMQDDEAAKTMSLFEGASESKKISKKCLKNWVVNAFRERRALALTLNDTKTAVNKLHRMVNIMVGIVIAVIWLLILGIATSKFLLFLSSQLLLVAFIFGNTCKTVFESIIFLFVIHPFDVGDRCEVDGVQMVVEEMNILTTVFLRFDNQKIIITNSVLATKAIGNYYRSPDMGDAVEFLIHLATPAEKIVIVKQRINSYIENKKDHWYPSPMIIFKDAEDLTRVRIAVWLTHRMNHQDMGERFVRRSLLLDEMMRIFRELDMQYRLLPLDINVRALPPVTSDRLPANWTS >Potri.009G126000.2.v4.1 pep chromosome:Pop_tri_v4:9:10463277:10463969:-1 gene:Potri.009G126000.v4.1 transcript:Potri.009G126000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126000.v4.1 MAIRKSQKLPQTAVLKQILKRCSSLGKKHGYDDDGLPLDVPKGHFAVYVGENRSRYIVPISFLSHPEFQFLLQRAEEEFGFDHDMGLTIPCEEVVFRSLTSMLR >Potri.013G086700.1.v4.1 pep chromosome:Pop_tri_v4:13:8044880:8051435:-1 gene:Potri.013G086700.v4.1 transcript:Potri.013G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086700.v4.1 MGFRSNPRVLFVGAAAFLLLFSFSYIAAAEADGVENEGDESRSFQDLGRRGMIVTNGGDAKSVLKLDSGLGLFDAFFASFSMIMVSEIGDETFIIAALMAMRHPKSTVLSGALTALIVMTVLSTGLGRIVPNLISRKHTNSAATILYAFFGLRLLYIAWRSDSKLSQKKEMEEVEEKLESGQGKTSFRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGATLGHTICTSLAVVGGSMLASKISQGTVATIGGLLFLCFSLSSYFYPPL >Potri.013G086700.3.v4.1 pep chromosome:Pop_tri_v4:13:8044899:8051396:-1 gene:Potri.013G086700.v4.1 transcript:Potri.013G086700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086700.v4.1 MIVTNGGDAKSVLKLDSGLGLFDAFFASFSMIMVSEIGDETFIIAALMAMRHPKSTVLSGALTALIVMTVLSTGLGRIVPNLISRKHTNSAATILYAFFGLRLLYIAWRSDSKLSQKKEMEEVEEKLESGQGKTSFRRFFSRFCTPIFLESFILTFLAEWGDRSQIATIALATHKNALGVAVGATLGHTICTSLAVVGGSMLASKISQGTVATIGGLLFLCFSLSSYFYPPL >Potri.003G203800.1.v4.1 pep chromosome:Pop_tri_v4:3:20289840:20294291:1 gene:Potri.003G203800.v4.1 transcript:Potri.003G203800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203800.v4.1 MVENTEEMNHTVYSNGSIQNLNGVLEEKLDELRALIGKAEGDPLRIVGIGAGAWGSVFTALLQDSYGHLRDKVLIRIWRRPGRSVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRILHADELLKDGFCVNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETHEVFEEISRYWKERITVPIIISLAKGVEAELEPEPRIITPTQMINRATGVPMENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPNFIVWDNGDLFTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHMLAEKPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLEMGDSIKGKGMIQGVSAVKAFYELLSQSALSVLHPEENTPVAPVELCPILKMLYGILITREFTVPAILQALRDETMNDPRDRIEIAQTRVYYRPSLLGQNP >Potri.003G203800.3.v4.1 pep chromosome:Pop_tri_v4:3:20289828:20293786:1 gene:Potri.003G203800.v4.1 transcript:Potri.003G203800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203800.v4.1 MVENTEEMNHTVYSNGSIQNLNGVLEEKLDELRALIGKAEGDPLRIVGIGAGAWGSVFTALLQDSYGHLRDKVLIRIWRRPGRSVDRSTAEHLFEVINSREDVLRRLIRRCAYLKYVEARLGDRILHADELLKDGFCVNMIDTPLCPLKVVTNLQEAVWDADIVINGLPSTETHEVFEEISRYWKERITVPIIISLAKGVEAELEPEPRIITPTQMINRATGVPMENILYLGGPNIASEIYNKEYANARICGAEKWRKPLAKFLRQPNFIVWDNGDLFTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHMLAEKPEKLAGPLLADTYVTLLKGRNAWYGQKLAKGELSLEMGDSIKGKGMIQGVSAVKAFYELLSQSALSVLHPEENTPVAPVELCPILKMLYGILITRYAGRCCILGHYSSSHWPWNCNSLMLTICLDPCIS >Potri.013G025000.1.v4.1 pep chromosome:Pop_tri_v4:13:1588671:1591550:-1 gene:Potri.013G025000.v4.1 transcript:Potri.013G025000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025000.v4.1 MDQQGYGTNPYQPNQMPAASNPGSVPGQPAGAQLEQHQLAYQQIHQQQQQQLQQQRQSFWTNQYKEIDKVTDFKNHSLPLARIKKIMKADEDVKMISAEAPVIFARACEMFILELTLQSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDMKDEVLASIPRGTMPVGGPVDALPYCYMPHPHAPQVGTPGMIMGKPVTDPAMYAQQSHPYMAQHMWPQGPEQQQSPSDQ >Potri.019G040400.2.v4.1 pep chromosome:Pop_tri_v4:19:5569023:5571204:1 gene:Potri.019G040400.v4.1 transcript:Potri.019G040400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040400.v4.1 MGSTIRIGSSILLPISSSSSSPKSSNILRNDKIGYGFQFSGNGCITKISAITPNGSVFPSASSHGESSSMGDVHRRRSSLESLFCYDKPIPEERIEEPVGVSLAAKVIGDNPRCTDCQAKGAVLCTTCSGSGLYVDSIMESQGIIVKVRCLGCGGTGNIMCSECGGLGHLGPK >Potri.006G089451.1.v4.1 pep chromosome:Pop_tri_v4:6:6804228:6804635:-1 gene:Potri.006G089451.v4.1 transcript:Potri.006G089451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089451.v4.1 MRAYMKRFNEEMLKLEDLIELIALEALISRVREKHLWKELYALLDRKLLKVKEAMENYIRVKETSTLCHDPPHFSRDKQLDRSSKWGHSPKKDRSPKRDNNPKRGQKKLMREHLSYFKVHTFTLNTTLILVLVAI >Potri.002G146600.1.v4.1 pep chromosome:Pop_tri_v4:2:11040856:11041891:-1 gene:Potri.002G146600.v4.1 transcript:Potri.002G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146600.v4.1 MALAKMKPVKPGLEEYHDQVQRVRITLSSKNVKNLEKVCADLIRGAKDKSLKVKGPVRIPTKVLRITTRKAPCGEGTNTWDRFELRIHKRVIDLFSSADVVKQITSITIEPGVEVEVTIAS >Potri.005G254800.2.v4.1 pep chromosome:Pop_tri_v4:5:24638766:24642696:1 gene:Potri.005G254800.v4.1 transcript:Potri.005G254800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254800.v4.1 MAGGEAPGARDLEKTPTWAVSAVCAAMIVISIILEKVLHKTGQWFHKKHNTALFDALEKVKTELMVLGFISLTLTFSQNYIVGICIPLSEGKLHRRLLWYQRRVLAGDSGSKECKDGSVPLISARGLHQLRIFKFFLAVFHVVYSVITMLLRRMKTTRNWKDWERESWLEHEGMNDPTRFRLTHETSFVCFFRQFFRSVRKADYLTMRHGFISVHLAPGSKFNFKKYIKLEDDFEVTLAVGTKLQAVIKTMAIEIKEKHAVVQGIPLVVGAQILCSYATLPLYALVTQMGSTMKRSIFDHQTSKALKNWHKKAVKKTNEGKPGQLQTRTLVHSPSLTPPRPMKFGTEDPDFTDVEADNMATVDLDANHQRRPSDSSFPFDHRDLLS >Potri.006G236000.1.v4.1 pep chromosome:Pop_tri_v4:6:23811498:23816471:-1 gene:Potri.006G236000.v4.1 transcript:Potri.006G236000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236000.v4.1 MGTAIGRQEFMIQHAVYCESGSDPRTISDGEVDDDGKPRRTGIVWTASAHIITAIIGSGVLSLAWGMAQLGWIAGIGILLTFSVITYYTSSLLADCYRYPKSASGKRNYTYMAAVNAYLGENMRKVCGLFQFLILSGATIGYTITASVSLVAIRKSNCFHKRGHGAPCKFSNNQYMIGLGITEILVSQIPNFHKLSWLSIVAAIMSFAYSSIGLGLAFTKVISGHGHRTTLTGVEVGVDVTAAEKIWTIFRAIGDMAFACAYSVILIEIQDTLRSSPPENKAMKKANMIAILTSTTFYLMCGCFGYAAFGNKAPGNMLTGFGFYEPFWLIDLANVCIVVHLVGAYQVLAQPIFSTFESWASMRWPNSEFVNTEYPLRIGSKKFNFSINFLRLTGRTTFVVVATLLAMALPFFNEILALLGAISYGPMTVYFPVEMHIAQNKIKRLSIRGLALQLLNLVCFLVSIAAASGAIQGMGHGLRASKPFQYKE >Potri.015G092800.1.v4.1 pep chromosome:Pop_tri_v4:15:11544156:11548006:-1 gene:Potri.015G092800.v4.1 transcript:Potri.015G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092800.v4.1 MAGSAAAAANLEDVPSLDLMSELLRRLKCSDKPDKRLILIGPPGSGKGTQSPIIKDEHCLCHLATGDMLRAAVAAKTPLGIKAKEAMEKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAQKLDDMLQKQGSKIDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKAPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHKQTEPVIDYYKKKGAVAELHAEKPPKEVTTEVKKVLSS >Potri.019G083700.4.v4.1 pep chromosome:Pop_tri_v4:19:12355288:12363073:-1 gene:Potri.019G083700.v4.1 transcript:Potri.019G083700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083700.v4.1 MGRRTKRKHREDTPTSPSSSDDDGDEDTDSSIERRRSRHRNRGRSDGSSRRDKDRKREKEEKRRRKDRDRERKRRKSKKRRDYESESSASGSGSEDREIDRVRVNPGDVVKEMLSEFPNVGGDLKQLLQMIDDGQAVDIKGISERSLIKHLKRLFISLNLKENGDRVFLLRPKAGPTLEVVGPLIQACAEPKEQQVDHSIPSDDVDSMPPDAEHKQETDDNNVAVPSSRDDASAPRRRVIGPEMPSAELLAAAAKLTEAQAELREAELEEDTELFIGPAPPAVVAEAASANEAERFEEVTRIMDAVGDSLYDVVGVNRNMSADNIKKSLNKLGGVSYMNHDFSSIAFGMVCPSAAFSREIAGNAGEKFSCIQYFS >Potri.019G083700.1.v4.1 pep chromosome:Pop_tri_v4:19:12355067:12363079:-1 gene:Potri.019G083700.v4.1 transcript:Potri.019G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083700.v4.1 MGRRTKRKHREDTPTSPSSSDDDGDEDTDSSIERRRSRHRNRGRSDGSSRRDKDRKREKEEKRRRKDRDRERKRRKSKKRRDYESESSASGSGSEDREIDRVRVNPGDVVKEMLSEFPNVGGDLKQLLQMIDDGQAVDIKGISERSLIKHLKRLFISLNLKENGDRVFLLRPKAGPTLEVVGPLIQACAEPKEQQVDHSIPSDDVDSMPPDAEHKQETDDNNVAVPSSRDDASAPRRRVIGPEMPSAELLAAAAKLTEAQAELREAELEEDTELFIGPAPPAVVAEAASANEAERFEEVTRIMDAVGDSLYDVVGVNRNMSADNIKKRYWKLSLLVHPDKCSHPQAHQAFVKLNKAFKDLQDPEKRKLLDDEIKRKEEQEAFKAELRAMREAAQWRRLQGISMEGDDELLAETEVKVAPSRDEWMTTLPPERKPGGMPTQSRSFSKNSKEGRGDTSVWTDNPLDRAQKAKMNYLEAYNEAAALASNEEEKQRGSADADLVDKYNKAKRSKSLVQKHQEEASSRSKKKSKQKQEKGEWVGQHPWKPWDREKDLEAGRKSIKLDSDNMSQGLSSRFSSGNFQRSFL >Potri.013G026400.1.v4.1 pep chromosome:Pop_tri_v4:13:1711436:1713919:-1 gene:Potri.013G026400.v4.1 transcript:Potri.013G026400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026400.v4.1 METLLSSHSLSPLLNSKPSSSKSHLLPSLQTRSNSLSFTHKSITSSLKRHTSQSLSVPNSWFSYAQQGLAALALALALNFSPLLYIGNAQASEFDVLNEGPPKESYIFDDAGVLSRVTKSDLKQLLSDLESRKNFKINFITVRKLTSKADAFEYADQVLEKWYPTVEEGSNKGIVVLVTSQKEGAITGGPAFIQAVGETVLDSTVSENLPVLATEEKYNEAIYSSAKRLVAAIDGLPDPGGPTTKENKRESNFKSKEETEEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >Potri.006G235400.7.v4.1 pep chromosome:Pop_tri_v4:6:23771855:23778317:1 gene:Potri.006G235400.v4.1 transcript:Potri.006G235400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235400.v4.1 MVGSQSPNGTAAKRYGIMKPISVAGPTEPDLHRNAELEKFLVDSGLYESKEEAMKREDVLGRIDQIVKDWVKRLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREGDFFIVLHDKLAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISNGSVLYEVDEQTVRSLNGCRVADQILKLVPNVEHFRATLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDALGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQSGNRICEKIELNKEQWSALFEPYLFFEAYKNYLQVDIVAADAVDLLAWKGWVESRLRQLTLKIERDTDGMLQCHPYPNEYIDPSKQCAHCAFFMGLQRKEGVTGQEGQQFDIRGTVDEFRQDINMYLPWKPGMDIYVSHVRRRQLPGFVFPDGYKRSRPSRHVNQQTNRTSEDVARSQSGSAERHVKRKNDCEMADLKPVKPEKRASTSPQRLQSVSPSSSAGRSGMTSLASSCEGVILGCSTIGDIVSNCEDVASNSEVRSTSWQLESEKSDLGDARQLGVTVYQESPSNRQTSMDVHDSPIVRNELEPADHMNGSEPMGLMFDRITKQEVVSSHEVPNFETGEKHEVGVNENIEDLGSNFLENGSSRKLMNWVGGASRGMEVDQELVKPCSQTAVVEFAESVISSHSGSQNLNYEVSYSV >Potri.006G235400.2.v4.1 pep chromosome:Pop_tri_v4:6:23771819:23778358:1 gene:Potri.006G235400.v4.1 transcript:Potri.006G235400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235400.v4.1 MVGSQSPNGTAAKRYGIMKPISVAGPTEPDLHRNAELEKFLVDSGLYESKEEAMKREDVLGRIDQIVKDWVKRLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREGDFFIVLHDKLAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISNGSVLYEVDEQTVRSLNGCRVADQILKLVPNVEHFRATLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDALGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQSGNRICEKIELNKEQWSALFEPYLFFEAYKNYLQVDIVAADAVDLLAWKGWVESRLRQLTLKIERDTDGMLQCHPYPNEYIDPSKQCAHCAFFMGLQRKEGVTGQEGQQFDIRGTVDEFRQDINMYLPWKPGMDIYVSHVRRRQLPGFVFPDGYKRSRPSRHVNQQTNRTSEDVARSQSGSAERHVKRKNDCEMADLKPVKPEKRASTSPQRLQSVSPSSSAGRSGMTSLASSCEGVILGCSTIGDIVSNCEDVASNSEVRSTSWQLESEKSDLGDARQLGVTVYQESPSNRQTSMDVHDSPIVRNELEPADHMNGSEPMGLMFDRITKQEVVSSHEVPNFETGEKHEVGVNENIEDLGSNFLENGSSRKLMNWVGGASRGMEVDQELVKPCSQTAVVEFAESVISSHSGSQNLNYEGNVCAVDADSLLESGCLNVSGAEYYCRTACQKN >Potri.006G235400.3.v4.1 pep chromosome:Pop_tri_v4:6:23771828:23778355:1 gene:Potri.006G235400.v4.1 transcript:Potri.006G235400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235400.v4.1 MVGSQSPNGTAAKRYGIMKPISVAGPTEPDLHRNAELEKFLVDSGLYESKEEAMKREDVLGRIDQIVKDWVKRLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREGDFFIVLHDKLAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISNGSVLYEVDEQTVRSLNGCRVADQILKLVPNVEHFRATLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDALGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQSGNRICEKIELNKEQWSALFEPYLFFEAYKNYLQVDIVAADAVDLLAWKGWVESRLRQLTLKIERDTDGMLQCHPYPNEYIDPSKQCAHCAFFMGLQRKEGVTGQEGQQFDIRGTVDEFRQDINMYLPWKPGMDIYVSHVRRRQLPGFVFPDGYKRSRPSRHVNQQTNRTSEDVARSQSGSAERHVKRKNDCEMADLKPVKPEKRASTSPQRLQSVSPSSSAGRSGMTSLASSCEGVILGCSTIGDIVSNCEDVASNSEVRSTSWQLESEKSDLGDARQLGVTVYQESPSNRQTSMDVHDSPIVRNELEPADHMNGSEPMGLMFDRITKQEVVSSHEVPNFETGEKHEVGVNENIEDLGSNFLENGSSRKLMNWVGGASRGMEVDQELVKPCSQTAVVEFAESVISSHSGSQNLNYEGNVCAVDADSLLESGCLNVSGAEYYCRTACQKN >Potri.006G235400.6.v4.1 pep chromosome:Pop_tri_v4:6:23771848:23778358:1 gene:Potri.006G235400.v4.1 transcript:Potri.006G235400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235400.v4.1 MVGSQSPNGTAAKRYGIMKPISVAGPTEPDLHRNAELEKFLVDSGLYESKEEAMKREDVLGRIDQIVKDWVKRLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREGDFFIVLHDKLAEMEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISNGSVLYEVDEQTVRSLNGCRVADQILKLVPNVEHFRATLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDALGFPVWDPRKNPRDRFHHMPIITPAYPCMNSSYNVSTSTLRVMTEQFQSGNRICEKIELNKEQWSALFEPYLFFEAYKNYLQVDIVAADAVDLLAWKGWVESRLRQLTLKIERDTDGMLQCHPYPNEYIDPSKQCAHCAFFMGLQRKEGVTGQEGQQFDIRGTVDEFRQDINMYLPWKPGMDIYVSHVRRRQLPGFVFPDGYKRSRPSRHVNQQTNRTSEDVARSQSGSAERHVKRKNDCEMADLKPVKPEKRASTSPQRLQSVSPSSSAGRSGMTSLASSCEGVILGCSTIGDIVSNCEDVASNSEVRSTSWQLESEKSDLGDARQLGVTVYQESPSNRQTSMDVHDSPIVRNELEPADHMNGSEPMGLMFDRITKQEVVSSHEVPNFETGEKHEVGVNENIEDLGSNFLENGSSRKLMNWVGGASRGMEVDQELVKPCSQTAVVEFAESVISSHSGSQNLNYEGNVCAVDADSLLESGCLNVSGAEYYCRTACQKN >Potri.004G015300.2.v4.1 pep chromosome:Pop_tri_v4:4:1059848:1062023:1 gene:Potri.004G015300.v4.1 transcript:Potri.004G015300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX25 MDAKALFFFALLSFSAVSVRPALAENEEDPGLVMNFYKDTCPQAEDIVKEQVRLLYKRHKNTAFSWLRNIFHDCAVQSCDASLLLDSTRRTLSEKETDRSFGLRNFRYFDDIKEAVERECPGVVSCADILVLSARDGIVSLGGPHIPLKTGRRDGRKSRADVIEDYLPDHNESISVVLDRFASMGIDTPGLVALLGAHSVGRTHCVKLVHRLYPEVDPALNPDHVEHMLYKCPDSIPDPKAVQYVRNDRGTPMVLDNNYYRNILDNKGLLIVDHQLATDKRTKPYVKKMAKSQDYFFKEFSRAITILSENNPLTGTKGEIRKQCTVANKHH >Potri.003G044100.1.v4.1 pep chromosome:Pop_tri_v4:3:5000290:5001225:1 gene:Potri.003G044100.v4.1 transcript:Potri.003G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G044100.v4.1 MSYSDSNSDQPSPATRLYNPYQDLHLPSQTLYHLPTSPEFLFTEESLRQRRSWGENLTFYTGSAYLTASLAGGGSGFFSALRSFEPTDTLKLKVNRILNSSGHSGRIWGNRVGIAGLIYAMMESGIVAVTDRDDVWSSVAAGLGTGAVCRAARGVRSAAVAGALGGLAAGAVVAGKQALKRYAMI >Potri.004G213101.1.v4.1 pep chromosome:Pop_tri_v4:4:21968154:21969025:-1 gene:Potri.004G213101.v4.1 transcript:Potri.004G213101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213101.v4.1 MDAKKVTSSLLIITLLVEMGFDEVGANEAVDELLFKTCMAVCMKEDGATIPICTKSCEEATEIVSRNPGFLMGLRLNRLL >Potri.006G032100.1.v4.1 pep chromosome:Pop_tri_v4:6:2005761:2008286:-1 gene:Potri.006G032100.v4.1 transcript:Potri.006G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032100.v4.1 MSSSRNTHWCYSCRRPVRLRGRDAACPYCSGGFVQELDDMHRINPLDFFGMDGDDDHDNMFGLMEAFSAFMRQRMADRSHNHDIRSRSDSIPEHNPGFGPLLIFGGQIPFRLSGNGGLEALFSGSPGVAFARGNAGDYFVGPGLEELFEQLSANDRRGPAPATRSSIDAMPTVKITQRHLRTDSHCPVCKDKFELGSEARQMPCNHLYHSDCIVPWLVQHNSCPVCRQELPPQGSSSGHSYQSSSSRSRNSNYSGRENSREGRRNPLSYLWPFRSSNSSSNHDETPGSSSPTMHENNHQMGYSGWPFN >Potri.006G032100.3.v4.1 pep chromosome:Pop_tri_v4:6:2004823:2008183:-1 gene:Potri.006G032100.v4.1 transcript:Potri.006G032100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032100.v4.1 MSSSRNTHWCYSCRRPVRLRGRDAACPYCSGGFVQELDDMHRINPLDFFGMDGDDDHDNMFGLMEAFSAFMRQRMADRSHNHDIRSRSDSIPEHNPGFGPLLIFGGQIPFRLSGNGGLEALFSGSPGVAFARGNAGDYFVGPGLEELFEQLSANDRRGPAPATRSSIDAMPTVKITQRHLRTDSHCPVCKDKFELGSEARQMPCNHLYHSDCIVPWLVQHNSCPVCRQELPPQGSSSGHSYQSSSSRSRNSNYSGRENSREGRRNPLSYLWPFRSSNSSSNHDETPGSSSPTMHENNHQMGYSGWPFN >Potri.017G083500.1.v4.1 pep chromosome:Pop_tri_v4:17:9632779:9635214:1 gene:Potri.017G083500.v4.1 transcript:Potri.017G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G083500.v4.1 MDTMPHDELSLTVPLLVDKEQHRLGCKLRDVESNYSFTSSGSTSTFKTVFHGLNALSGVGILSIPYALSSGGWLSLILLCVISTAAFYTGLLIQRCMDLDSNIRTYPDIGERAFGKKGRILVSVLMYTELYLVSTGFLILEGDNLQNLFPNMGIEVAGFEIGGRQSSVIIVALIILPTVWLDDMSILSYISASGVIASAIVLISIFWTGAFDGVGFKEKGTLVNWHGIPTAVSLYAFCYCAHPVFPTLYTSMKNKHQFSNVMILCFILCSLSYASMAVMGYLMFGPSVQSQITLNLPTEKLSSKLTIYTTLVSPITKYALMIIPIVNATKSWLPMNGKKRPFSLFIGTALVISNVIVALSLPFFGDLMSLVGAFLSMTASIILPSLCYMKISGTYQRFGFEMVVLWTVVLLGVAVVILGTYTSLLEIIRHF >Potri.019G023400.1.v4.1 pep chromosome:Pop_tri_v4:19:3490321:3493918:-1 gene:Potri.019G023400.v4.1 transcript:Potri.019G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023400.v4.1 MGTHFLLLQLSFPAKTTPPSLPLLNHNNHQLSTGNHTSKPCGPHWAWKNHGPTSTGRSRFNFEDELYTDSGDYDDEFGFSGTGEKRVWWFDDDDDDDDWDDDYEENEFGIFKIIKAFGWMIPAVAVSFLLGTDNPNAFLMALVVPLGQTALSLVMDKVWGTTSTNPKRRSRTKTRKKPFARAASKTKKTEPKAGEYKTNERKGSYQSWVATDDGSNKKNTNRAPSFGGWDDLDKASNKAAGKTSKKGDERPRPKQNKGKLSRRGRVRDRPLLLRLLIAVFPFLASWSKFLF >Potri.003G140000.4.v4.1 pep chromosome:Pop_tri_v4:3:15634168:15638120:1 gene:Potri.003G140000.v4.1 transcript:Potri.003G140000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140000.v4.1 MDGVFDDEVEQTVTIDEYLKNVEAEELNADLVLGGDEGNECTYNMGYMKRQAIFSCLSCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGEFVCKLFPKKDVENAENSYNHNFKGLYCSCDRPYPDPDVEAQEEMIQCIMCEDWFHDEHLGLESSNEIPRDEEGEPLYEDFICKTCSTVCSFLTLYPKTIRAAGGKGDATYSNAKDKDVLEDVPTACGSGKLENDICANNSSEKDNATAGKASAVGESSWRNSGSNNSNQCTKDTNLDTTCVLGVDVEVTSPVSEGKPLFLSKNWRDILCRCEKCLDMYNQKHISYLIDREDTIVEYEKMAKQKREEKLQQQEGAELSFFNKLGHIEKVEILNGIADFKDEFRSFLESFDKSKTITSSDVHQIFENLAKKRRRMQ >Potri.003G140000.1.v4.1 pep chromosome:Pop_tri_v4:3:15634040:15638162:1 gene:Potri.003G140000.v4.1 transcript:Potri.003G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140000.v4.1 MDGVFDDEVEQTVTIDEYLKNVEAEELNADLVLGGDEGNECTYNMGYMKRQAIFSCLSCTPDGNAGVCTACSLSCHDGHEIVELWTKRNFRCDCGNSKFGEFVCKLFPKKDVENAENSYNHNFKGLYCSCDRPYPDPDVEAQEEMIQCIMCEDWFHDEHLGLESSNEIPRDEEGEPLYEDFICKTCSTVCSFLTLYPKTIRAAGGKGDATYSNAKDKDVLEDVPTACGSGKLENDICANNSSEKDNATAGKASAVGESSWRNSGSNNSNQCTKDTNLDTTCVLGVDVEVTSPVSEGKPLFLSKNWRDILCRCEKCLDMYNQKHISYLIDREDTIVEYEKMAKQKREEKLQQQEGAELSFFNKLGHIEKVEILNGIADFKDEFRSFLESFDKSKTITSSDVHQIFENLAKKRRRMQ >Potri.009G125400.1.v4.1 pep chromosome:Pop_tri_v4:9:10425865:10430474:1 gene:Potri.009G125400.v4.1 transcript:Potri.009G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125400.v4.1 MGDTEDANSEMIHRLQSSFGTTQSSSATMSKQPFSLINQLDVSQLNLNQTQLRARHFANFYQNFSGDSNKRVGIPPSHPNQIPPISPYSQIPVSRPANQQMSTQNFSMGPTHSRSLSQPSSFFCLDSLPPLSPAPFRDSSSPTVSDPISTDVSMEDKDGSSHSLLPPSPFNRGNAPRVGESLPPRKAHRRSNSDIPFGNVLQCSPPLIPLRGSGGLERSLSGRENPAMAKPAQLVKKEWERGGESIAEGTGERKSEGDVDDLFSAYMNLDNIDALNSSGTDEKNGNENREDLDSRASGTKTNGGDSSDNEAESSVNESGGSVPRGGFSSSTEKREGIKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGTRPGQLSPTNSMDGNAFSLEFGNGEFSGAELKKIMANEKLAEIASTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTSQNNELKFRLQAMEQQAQLRDALNEALNGEVRRLKIATAEQGGDSDPSKGLVQQQLSVNPQMFMQQPRPSQLNRQQLQQQQQPSASQINMHQLQQQQQSSQPQPQQNGSTTLKSDSNQ >Potri.009G125400.2.v4.1 pep chromosome:Pop_tri_v4:9:10425865:10429612:1 gene:Potri.009G125400.v4.1 transcript:Potri.009G125400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125400.v4.1 MGDTEDANSEMIHRLQSSFGTTQSSSATMSKQPFSLINQLDVSQLNLNQTQLRARHFANFYQNFSGDSNKRVGIPPSHPNQIPPISPYSQIPVSRPANQQMSTQNFSMGPTHSRSLSQPSSFFCLDSLPPLSPAPFRDSSSPTVSDPISTDVSMEDKDGSSHSLLPPSPFNRGNAPRVGESLPPRKAHRRSNSDIPFGNVLQCSPPLIPLRGSGGLERSLSGRENPAMAKPAQLVKKEWERGGESIAEGTGERKSEGDVDDLFSAYMNLDNIDALNSSGTDEKNGNENREDLDSRASGTKTNGGDSSDNEAESSVNESGGSVPRGGFSSSTEKREGIKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGTRPGQLSPTNSMDGNAFSLEFGNGEFSGAELKKIMANEKLAEIASTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTSQNNELKFRLQAMEQQAQLRDALNEALNGEVRRLKIATAEQGGDSDPSKGLVQQQLSVNPQMFMQQPRPSQLNRQQLQQQQQPSASQINMHQLQQQQQSSQPQPQQNGSTTLKSDSNQ >Potri.009G125400.3.v4.1 pep chromosome:Pop_tri_v4:9:10425838:10429613:1 gene:Potri.009G125400.v4.1 transcript:Potri.009G125400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125400.v4.1 MGDTEDANSEMIHRLQSSFGTTQSSSATMSKQPFSLINQLDVSQLNLNQTQLRARHFANFYQNFSGDSNKRVGIPPSHPNQIPPISPYSQIPVSRPANQQMSTQNFSMGPTHSRSLSQPSSFFCLDSLPPLSPAPFRDSSSPTVSDPISTDVSMEDKDGSSHSLLPPSPFNRGNAPRVGESLPPRKAHRRSNSDIPFGNVLQCSPPLIPLRGSGGLERSLSGRENPAMAKPAQLVKKEWERGGESIAEGTGERKSEGDVDDLFSAYMNLDNIDALNSSGTDEKNGNENREDLDSRASGTKTNGGDSSDNEAESSVNESGGSVPRGGFSSSTEKREGIKRSAGGDIAPTSRHYRSVSMDSFMGKLNFGDESPKLPPSPGTRPGQLSPTNSMDGNAFSLEFGNGEFSGAELKKIMANEKLAEIASTDPKRAKRILANRQSAARSKERKMRYISELEHKVQTLQTEATTLSAQLTLLQRDSVGLTSQNNELKFRLQAMEQQAQLRDGMDSPTWVSLHFSLSCIYRFHWHKTKIGSEPVV >Potri.001G459500.1.v4.1 pep chromosome:Pop_tri_v4:1:48528104:48531149:-1 gene:Potri.001G459500.v4.1 transcript:Potri.001G459500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459500.v4.1 MKASMSATLSVVSALLLLVSLAASDTVLDRFLQCLPSHSHPSHPISQAIYSNTNPSFESILQALIKNRRFLTPATPKPLAIIAAVHESHVQATVICAKSNGLQIRIRSGGHDYEGLSYVSAVPFVILDMFNLRSIDIDIASETAWVQSGATLGELYYNIASKSNIHGFPAGVCPTVGIGGHFSGGGFGTMMRKYGLSVDNIIDAQLVDVNGNILNRKTMGEDLFWAIRGGGASFGVILSWKISLVQVPPTVTAFRVARTLEEGATDVFYKWQLVASKIDKDLFIRAMSQVVKGSSGGSKRISISFIGLFLGQSGALLSLLSKSFPELGLQQKDCKEMRWIESVVFWANLPNATSTGVLLNRPNQASFFKKKSDFVKYVIPKNALESIWKVMIKVEPIWMQWNPYGGRMDEISATATPFPHRAGNLFKIEYSTTWIEEGIEATNHHTSLLRQLHDAMAPYVSKYPREAFLNYRDLDIGSNPSNQTIFEEAKVYGSKYFKDNFLRLVTVKSRVDPDNFFKNEQSIPVNFARVKA >Potri.005G201100.3.v4.1 pep chromosome:Pop_tri_v4:5:20681958:20686651:-1 gene:Potri.005G201100.v4.1 transcript:Potri.005G201100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201100.v4.1 MWWKDLRATFSQRINVEGIGSSASVLVKDQHMALPHVLVPDIRYIDWGELQRRGFKGVVFDKDNTITVPYSLTIWDPLDASIERCKSVFGNDIAVFSNSAGLFEYDHDDSKARALEKAIGIKVIRHRVKKPAGTAEEIEKHFGCKSSQLVMVGDRPFTDIVYGNQNGFLTVLTKPLSLVEEPFIVRQVRKLEMSLMNYWLKRGMKPISHNLLPDAMECVKDPPPL >Potri.005G201100.5.v4.1 pep chromosome:Pop_tri_v4:5:20684045:20686651:-1 gene:Potri.005G201100.v4.1 transcript:Potri.005G201100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201100.v4.1 MWWKDLRATFSQRINVEGIGSSASVLVKDQHMALPHVLVPDIRYIDWGELQRRGFKGVVFDKDNTITVPYSLTIWDPLDASIERCKSVFGNDIAVFSNSAGLFEYDHDDSKARALEKAIGIKVIRHRVKKPAGTAEEIEKHFGCKSSQLVMVGDRPFTDIVYGNQNGFLTVLTKPLSLVEEPFIVRQVRKLEMSLMNYWLKRGMKPISHNLLPDAMECVKDPPPL >Potri.018G135300.3.v4.1 pep chromosome:Pop_tri_v4:18:14388613:14392143:1 gene:Potri.018G135300.v4.1 transcript:Potri.018G135300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135300.v4.1 MGICWSAKPNPSPAPAPASASAPAPDPLIDPDAAPTPPGHLIEGDISFLVSNVSGNSWFSIATTNFTTWISQAKDAIRGKNVPEDIEIPALPSKLKVFTLEQLKEATFDFRNDMVLGKGGFGSVYKGSLKEKVPFKKSRKLRIAVKKLGSNSKQGLRQWQTEVGFLAKLSHPNIVKLLGYCQEEENRELLIVYEFMEKGSLNYHLFGKRSDQQLPWETRLMIATEMAQALSYLHSMDRPIIFRDFKTSNILLDESYTPKLSDFGLAKWGPNDGTSYVTGNVMGTHGYVGPEYKTGGLRAIDKKRPPKQQDLQEWALPFLTDRKKLRQIMDPRLQGKYGSKQALKIAMLAVRCLYSHPMIRPSMKEVAETLERVKLRT >Potri.018G135300.2.v4.1 pep chromosome:Pop_tri_v4:18:14388587:14392201:1 gene:Potri.018G135300.v4.1 transcript:Potri.018G135300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135300.v4.1 MGICWSAKPNPSPAPAPASASAPAPDPLIDPDAAPTPPGHLIEGDISFLVSNVSGNSWFSIATTNFTTWISQAKDAIRGKNVPEDIEIPALPSKLKVFTLEQLKEATFDFRNDMVLGKGGFGSVYKGSLKEKVPFKKSRKLRIAVKKLGSNSKQGLRQWQTEVGFLAKLSHPNIVKLLGYCQEEENRELLIVYEFMEKGSLNYHLFGKRSDQQLPWETRLMIATEMAQALSYLHSMDRPIIFRDFKTSNILLDESYTPKLSDFGLAKWGPNDGTSYVTGNVMGTHGYVGPEYKTGGKLYVKSDVYSFGVVLIELLTGLRAIDKKRPPKQQDLQEWALPFLTDRKKLRQIMDPRLQGKYGSKQALKIAMLAVRCLYSHPMIRPSMKEVAETLERVKLRT >Potri.010G212300.4.v4.1 pep chromosome:Pop_tri_v4:10:20057627:20060183:1 gene:Potri.010G212300.v4.1 transcript:Potri.010G212300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212300.v4.1 MARIKVHELRQKSKTDLLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAVLREAYKNKKFLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKREIYFPMRKYAIKV >Potri.010G212300.1.v4.1 pep chromosome:Pop_tri_v4:10:20057618:20060105:1 gene:Potri.010G212300.v4.1 transcript:Potri.010G212300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212300.v4.1 MARIKVHELRQKSKTDLLAQLKDLKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAVLREAYKNKKFLPLDLRPKKTRAIRRRLTKHQQSLKTEREKKREIYFPMRKYAIKV >Potri.008G033300.2.v4.1 pep chromosome:Pop_tri_v4:8:1808307:1809146:1 gene:Potri.008G033300.v4.1 transcript:Potri.008G033300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033300.v4.1 MGTTATGKSKLSIDLATHFQGEIINSDKIQVYKGLDILTNKVSEDESRGVPHHLLGFVEPGEEFTTQDFCNHVHMAMRHIIGNGNIPIIAGGSNRYIEALVEDPLFKDNYDTCFLWVDVALPILFVRAAKRVDKMLDAGLVDEVRGMFIPGIDHNSGIWRAIGIPELEPYFQAEMEMADEVTRKMLLDTGIKEMKENTKKLINKQLRKIKYLANEKGWKLHRIDATFVYERSGNVDEDVWDDKVLRPSLEMLTNFLQEDGKAEEVKATVSRSKGLHLIH >Potri.005G118300.1.v4.1 pep chromosome:Pop_tri_v4:5:8697879:8704897:-1 gene:Potri.005G118300.v4.1 transcript:Potri.005G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118300.v4.1 MRKRDLAILMLSAFSIFFSLQHEGDFSFREAWFHLTDEYPIKYETERLPPPIVSDLNGDGKKEILVATHDAKIQVLEPHLRRVDEGFSEARLLTELSLLPDKTRVAAGRRAVAMATGVIDRRYKEGHPLKQVLVVVTSGWSVMCFDHNLKKLWETNLQEDFPHNAHHREIAISISNYTLKHGDSGLVIIGGRMEMQPHIYSDPFEEIGMAEKNAEQHRRSASEKEPSENSGTVNLRHFALYAFAGRTGALRWSRKNENIEAQSSDAASQLIPQHNYKLDVHALNSRHPGEFECREFRESILGVMPHHWDRREDTVLQLSHFRRHKRKTSKKSNGKTTNYPFHKPEENHPPGKDSAKKISNLIGEAAKYAGSTKSKKPFQYIPTITNYTQLWWLPNVVVAHQKEGIEAVHLASGRTLCKLHLQEGGLHADINGDGVLDHVQAVGGNGAEQTVISGSMEVLQPCWAVATSGVPVREQLFNASICHHHSPLNLFQHGDFGRNFGRTDVSSLEVATPILIPRGDGHRHRKGSHGDVVFLTNRGEVTSYSPGLHGHDAVWQWQISTGATWSNLPSPSGMMEGGMVVPTLKAFSLRARDNQQMILAAGDQEASVISPGGSIQTSVDLPAPPTHALICEDFSNDGLTDLIVVTSNGVYGFVQTRSPGALFFSTLVGCLLIVMGVIFVTQHLNSIKEKPRASSAAR >Potri.016G058000.1.v4.1 pep chromosome:Pop_tri_v4:16:3937551:3939620:1 gene:Potri.016G058000.v4.1 transcript:Potri.016G058000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058000.v4.1 MRIRKRQVPLPLSSLSPVPLSDPQFQTPVVQLQIHKNPPQNLPQQPHRLACYDSQASDQPNQPIGGGQDCSGTAGAQQEKKIMLEKDERWRDGERSNDTRKGSFMGAEIETMNLTPSSCSRQGVERWGEGEKAYPLKRRGRFERPSDDEEIIMEKAKKMKTKRNKKCVLQKGNNKKEEESNEIVKEGLGGATNNTSVKRKARGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMNSVRSRSMARTAPKKAESKPLSSSSSSEEKKTKRLLSDTKLDGVPADEDGDDTKPLMIAKKKVKLGMVKARSISSLLGLANNGIAVSESSK >Potri.007G074700.1.v4.1 pep chromosome:Pop_tri_v4:7:9810861:9812654:-1 gene:Potri.007G074700.v4.1 transcript:Potri.007G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074700.v4.1 MDRVQLCLTPIFFLVLVPCVARGPNALGLSYDFYNKTCPNVEKIIRNVVSQKLLEAPVTAAGALRIFFHDCFVEGCDASVLIASRESNKAERDAEINLSLPGDGYDVFFRAKRALELQCPGFVSCADVMAIATRDLVNLVGGPRWEVKKGRRDGLISKASRVDGNLPQVNQTIPQLISLFKSRGLSTMDMVALSGGHTIGFSHCKEFMPRIYGYNSTFDIDPTMNQEYARTLRSPCPQRHLDPTVVALNDVTTPFIFDNAYYHNLKKGLGLLASDQMLVLDPLTRGYVDMMAADQQLFFNYFVESMIKLGQVGVKTGSDGEIRRRCDSFNN >Potri.006G081400.5.v4.1 pep chromosome:Pop_tri_v4:6:6042651:6048180:-1 gene:Potri.006G081400.v4.1 transcript:Potri.006G081400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081400.v4.1 MEDYHVAEYRKKKNHELGLFAIFDGHLGDRVPSYLKDNLFNNILDEPNFWKDPTTAIKNAYRSTDNFILENAMELGPGGSTAVTAILIDGKDLWIANVGDSRAVVCEGGCANQLTVDHEPHTERKKIEKKGGFVTALPGDVPRVNGQLAVARAFGDHSLKAHLSSEPDVRHVPIESNMEFFILASDGLWKVMKNQEAVDLVKPIKDPKAAAKRLTSEALARKSKDDISCIVIRFG >Potri.006G081400.1.v4.1 pep chromosome:Pop_tri_v4:6:6042650:6048185:-1 gene:Potri.006G081400.v4.1 transcript:Potri.006G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081400.v4.1 MSRESVAAAGASQSHTSTSVFNKLKCGACLSSSSSSDCSGKGKSKSSTKKLSHGFHLVEGKSGHDMEDYHVAEYRKKKNHELGLFAIFDGHLGDRVPSYLKDNLFNNILDEPNFWKDPTTAIKNAYRSTDNFILENAMELGPGGSTAVTAILIDGKDLWIANVGDSRAVVCEGGCANQLTVDHEPHTERKKIEKKGGFVTALPGDVPRVNGQLAVARAFGDHSLKAHLSSEPDVRHVPIESNMEFFILASDGLWKVMKNQEAVDLVKPIKDPKAAAKRLTSEALARKSKDDISCIVIRFG >Potri.006G081400.6.v4.1 pep chromosome:Pop_tri_v4:6:6042651:6047547:-1 gene:Potri.006G081400.v4.1 transcript:Potri.006G081400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081400.v4.1 MEDYHVAEYRKKKNHELGLFAIFDGHLGDRVPSYLKDNLFNNILDEPNFWKDPTTAIKNAYRSTDNFILENAMELGPGGSTAVTAILIDGKDLWIANVGDSRAVVCEGGCANQLTVDHEPHTERKKIEKKGGFVTALPGDVPRVNGQLAVARAFGDHSLKAHLSSEPDVRHVPIESNMEFFILASDGLWKVMKNQEAVDLVKPIKDPKAAAKRLTSEALARKSKDDISCIVIRFG >Potri.002G121500.1.v4.1 pep chromosome:Pop_tri_v4:2:9220331:9222723:1 gene:Potri.002G121500.v4.1 transcript:Potri.002G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G121500.v4.1 MSSMAEQENCTRVTRAAKKRAAALASTEDQPLNKKRVVLGELPNLSNAIVSSNEPQKQKAKAKPKARKGASTKKEGVLKEDVDGNPEDPQMCAPYASDIYEYLHKMEVDPKRRPLPDYIEKVQKDVSPNMRGILVDWLVEVAEEYKLVSETLYLTVSYVDRFLSFNVLSRQRLQLLGVSSMLLASKYEEINPPHVEDFCYITDNTYTKEEVVKMEADILKSLKFEMGNPTIKTFLRRFTRVALEDYKTSNLQLEFLGFYLAELSLLDYNCVKFLPSLVAASVIFLTRFLMRPKTNPWSSTLQQYTGYKAADLRECVLIIHDLYLSRRGGGLQAVREKYKQHKFKCVANMPSPPELPALYFEEV >Potri.004G118800.1.v4.1 pep chromosome:Pop_tri_v4:4:11249282:11251343:1 gene:Potri.004G118800.v4.1 transcript:Potri.004G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118800.v4.1 MATAKTVKDVSPHEFVKAYSAHLKRSGKVELPPWTDIVKTAKFKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGSQRNGSRPPHFCKSSGSVARHILQQLQNMNIIDVDPKGGRRITSSGQRDLDQVAGRIVVAP >Potri.004G118800.3.v4.1 pep chromosome:Pop_tri_v4:4:11249282:11251269:1 gene:Potri.004G118800.v4.1 transcript:Potri.004G118800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118800.v4.1 MATAKTVKDVSPHEFVKAYSAHLKRSGKVELPPWTDIVKTAKFKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFRRIYGGSQRNGSRPPHFCKSSGSVARHILQQLQNMNIIDVDPKG >Potri.006G250700.4.v4.1 pep chromosome:Pop_tri_v4:6:24977738:24979184:-1 gene:Potri.006G250700.v4.1 transcript:Potri.006G250700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250700.v4.1 MILGHCQQGDMDSANGVFVEMLEKGLKPNLITYSVLMDGYFKKGDTEYAFGLYDRMRGENIAPSDFTCNIIINGLCKAGRTSESQDRLKKLVQEGFIPTCMTYNCIIDGFVKEGSVNSALAVYTEMCKIGVSPNVFTYTNLINGFCKSNNMDLALKVMDEMKNKGIELDVTVYCALIDGFCRKGDMVNASQLLSELQEVGLSPNKVVYSSMISGFRKLQNMEAALHLHKRMINEGIPCDLQIYTTLISGLLKEGKLLFASELYAEMLAKGIMPDLITYSVLIHGLCNKGQLENAQKILEDMDRKCMTPTVFIYNTLITGHFKEGNLQEAFRLHNEMLDKGLVPDDTTYDILVNGKVKDGNLFSGASSA >Potri.006G250700.3.v4.1 pep chromosome:Pop_tri_v4:6:24977742:24980373:-1 gene:Potri.006G250700.v4.1 transcript:Potri.006G250700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250700.v4.1 MNIFLSELVKNNMIREARDVYNKMASKGVKGDCATISVMIRASMREGKLEEAEGWFREAKNKGVELDARAYSIVIEAVCKKPDSVAALGLLREMRDKGWVPHEVIFTRVIGVCMKQGKMLEAVKVKGEMLSCGKPMNVVVATTLMKGYCKQGDLDSALELFDKMNENGICPNNVTYAVIIEWCCKNGNMDKAYEIYNQMKNKDISPTVFNVNSLIRGYLKARSPEEASKLFDEAVACGIANVFTYNSLLSWLCKEGKMSEACSIWEKMVRKGVRPSVVSYNNMILGHCQQGDMDSANGVFVEMLEKGLKPNLITYSVLMDGYFKKGDTEYAFGLYDRMRGENIAPSDFTCNIIINGLCKAGRTSESQDRLKKLVQEGFIPTCMTYNCIIDGFVKEGSVNSALAVYTEMCKIGVSPNVFTYTNLINGFCKSNNMDLALKVMDEMKNKGIELDVTVYCALIDGFCRKGDMVNASQLLSELQEVGLSPNKVVYSSMISGFRKLQNMEAALHLHKRMINEGIPCDLQIYTTLISGLLKEGKLLFASELYAEMLAKGIMPDLITYSVLIHGLCNKGQLENAQKILEDMDRKCMTPTVFIYNTLITGHFKEGNLQEAFRLHNEMLDKGLVPDDTTYDILVNGKVKDGNLFSGASSA >Potri.006G250700.2.v4.1 pep chromosome:Pop_tri_v4:6:24977752:24980590:-1 gene:Potri.006G250700.v4.1 transcript:Potri.006G250700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250700.v4.1 MRSPISSSIPHFTLRSIKSPKTLSSQPELPNIPISETPLSQNPHPNTNFPGKSAPTSQDSFLTQTQYIDTLLNHQNDPQSALSYFTWASQKRGLIKSVDALCVLLHILTKSTETCGKARNLLNRFASDDWGPVPSVVVARLIESSRRLDFESDSRVFNYLLNSYVKTKRINDAVDCFNSLIEKDIVPCLTVMNIFLSELVKNNMIREARDVYNKMASKGVKGDCATISVMIRASMREGKLEEAEGWFREAKNKGVELDARAYSIVIEAVCKKPDSVAALGLLREMRDKGWVPHEVIFTRVIGVCMKQGKMLEAVKVKGEMLSCGKPMNVVVATTLMKGYCKQGDLDSALELFDKMNENGICPNNVTYAVIIEWCCKNGNMDKAYEIYNQMKNKDISPTVFNVNSLIRGYLKARSPEEASKLFDEAVACGIANVFTYNSLLSWLCKEGKMSEACSIWEKMVRKGVRPSVVSYNNMILGHCQQGDMDSANGVFVEMLEKGLKPNLITYSVLMDGYFKKGDTEYAFGLYDRMRGENIAPSDFTCNIIINGLCKAGRTSESQDRLKKLVQEGFIPTCMTYNCIIDGFVKEGSVNSALAVYTEMCKIGVSPNVFTYTNLINGFCKSNNMDLALKVMDEMKNKGIELDVTVYCALIDGFCRKGDMVNASQLLSELQEVGLSPNKVVYSSMISGFRKLQNMEAALHLHKRMINEGIPCDLQIYTTLISGLLKEGKLLFASELYAEMLAKGIMPDLITYSVLIHGLCNKGQLENAQKILEDMDRKCMTPTVFIYNTLITGHFKEGNLQEAFRLHNEMLDKGLVPDDTTYDILVNGKVKDGNLFSGASSA >Potri.009G134600.2.v4.1 pep chromosome:Pop_tri_v4:9:10902670:10906943:1 gene:Potri.009G134600.v4.1 transcript:Potri.009G134600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134600.v4.1 MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIKAVLQPLKQKKKKKRQAQKACSIL >Potri.012G126900.1.v4.1 pep chromosome:Pop_tri_v4:12:14314730:14317147:-1 gene:Potri.012G126900.v4.1 transcript:Potri.012G126900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G126900.v4.1 MKRNTIMSAKVRLFATLMFFSSMLSFAASKSTEANITWWCNHTPHPSTCMYHMSHSHHHFSLKHRSQFRIMSIQLALESALIAQGQVSQFEQNCENQNQRAVWSDCLKLHSNTILQLNRTLIGLEKKRLPCTDLDAQTWLSTALTNIQTCRTGSLDLNVTDFTMPAASKNLSELISNTLAINGVSLATEDNNTQGYFPSWFSGQNRRLLQSTSIAAKANLVVSKSGLGNFRTIQAAIDAASKRIFRTRFIIYVKRGVYRENIVVRVNSNNIWLVGDGLRDTIITSSRSVGAGYTTYSSATAGIDGLRFVARGITFINTAGPLKGQAVALRSASDLSVFYRCSIQGYQDTLFVHSQRQFYRECYIFGTIDFIFGNAAVVFQNSIIYVRRPLKGQANMITAQGRNDPFQNTGISIHNSRILPAPDLKPVVGAFETYLGRPWMQYSRTVILRTYIDSFINPSGWSPWLRTSNFAQDTLYYGEYKNFGPGSSTKRRVAWKGYHVITSPGVASRFTVRNLIAGDSWLPATKVPFTSDL >Potri.005G049000.1.v4.1 pep chromosome:Pop_tri_v4:5:3113493:3114098:-1 gene:Potri.005G049000.v4.1 transcript:Potri.005G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049000.v4.1 MACAATRATFSFNLPQGPQLPSPKSRTHLSKISFLSIHKPQKTHFFSLHSIDVSKEDKTPSLSDSSLTNPPAESPVEEEEEGGGEEPKFDKRRLEEKFAVLNTGIYECRSCGYKYDESVGDPSYPIPPGFQFDKLPGEWRCPTCGAAQSFFVSKSVEIAGFAQNQQYGLGGNALTSGQKAVLIFGTLFVFFVLFLSGYFLQ >Potri.010G088200.1.v4.1 pep chromosome:Pop_tri_v4:10:11355554:11356850:-1 gene:Potri.010G088200.v4.1 transcript:Potri.010G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088200.v4.1 MGWLQSLLSPLKKLWFRLHSTPKNRRGIYILYEDVKSCQYEDVHVLWSILVESNTPSLPSKQ >Potri.002G166700.2.v4.1 pep chromosome:Pop_tri_v4:2:12767525:12775421:1 gene:Potri.002G166700.v4.1 transcript:Potri.002G166700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166700.v4.1 MLRGSNTDLFDPRTEMDSDFTRGSSASDGDFGFAFNDSNFSDRLLRIEIMGGSAENRADGEGCTSIIDWARHRKRRREDIKKDINNGDLSVGAEEQILGSIQPDMDDCVGCDNQDEEAEAMVEGSPSGDEAADGTESSWSMDCSTVVRVKTLHISSPILAAKSPFFYKLFSNGMRESEQRHVTLRINASEEAALMELLNFMYSNTLTASQAPQLLDVLMAADKFEVASCMRYCSRQLRNLPMKPESALLYLELPSSVLMAEAVQPLTDAAKQYLAARYKDMTKFQEEVMALPLAGIEAILSSDDLQVASEDAVYDFVLKWARAQYPRLEERREVLGARLARYIRFPYMTCRKLKKVLTCTDFEHDAASKLVLEALFFKGEPPHRQRTLAAEESATLNRRFVERAYKYRPVKVVEFELPRQQCVVYLDLKREECVNLFPSGRVYSQAFHLGGQGFFLSAHCNMDQQSSFHCFGLFLGMQEKGSVSFAVDYEFAARSKPTEEFVSKYKGNYTFTGGKAVGYRNLFAIPWTSFMAEDSPYFINGVLHLRAELTIRH >Potri.006G224600.3.v4.1 pep chromosome:Pop_tri_v4:6:22928572:22933124:-1 gene:Potri.006G224600.v4.1 transcript:Potri.006G224600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224600.v4.1 MEEMYPAVAVPFRVGNSACESPSIDTHMDITRLLMADTASLLSDTVTKVPTAGDKDCNCGDLDNEVKDTAAPASKEDRGGRGAPLLDMISETERNWVVGDDGITRESEEDDSLSLEGDPILDSSCSLSVASETSSLCGEDLLSLETTSEVGTLNSVEIKKSIGGVDIVAKTADLGDSNGDTVVSDPSSVAGSVEEEAGDGSDAKTSSVVLQLTLERGTSGTVSKSVFEVDYVPLWGFTSVCGRRPEMEDAVATVPYFLKFPIQMLIGDRLLDGMSKYLPHQTAHFFGVYDGHGGSQVANYCHDRIHSALSEEIEFVKNGLSDGSIKDSCQEQWKNAFTNCFLKVDAEVGGKAGAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARKRILVWHKKNGVALSSSRSEGIDPAAQAAAEFLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >Potri.006G224600.2.v4.1 pep chromosome:Pop_tri_v4:6:22929067:22932870:-1 gene:Potri.006G224600.v4.1 transcript:Potri.006G224600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224600.v4.1 MEEMYPAVAVPFRVGNSACESPSIDTHMDITRLLMADTASLLSDTVTKVPTAGDKDCNCGDLDNEVKDTAAPASKEDRGGRGAPLLDMISETERNWVVGDDGITRESEEDDSLSLEGDPILDSSCSLSVASETSSLCGEDLLSLETTSEVGTLNSVEIKKSIGGVDIVAKTADLGDSNGDTVVSDPSSVAGSVEEEAGDGSDAKTSSVVLQLTLERGTSGTVSKSVFEVDYVPLWGFTSVCGRRPEMEDAVATVPYFLKFPIQMLIGDRLLDGMSKYLPHQTAHFFGVYDGHGGSQVANYCHDRIHSALSEEIEFVKNGLSDGSIKDSCQEQWKNAFTNCFLKVDAEVGGKAGAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARKRILVWHKKNGVALSSSRSEGIDPAAQAAAEFLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >Potri.006G224600.6.v4.1 pep chromosome:Pop_tri_v4:6:22928498:22933114:-1 gene:Potri.006G224600.v4.1 transcript:Potri.006G224600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224600.v4.1 MEEMYPAVAVPFRVGNSACESPSIDTHMDITRLLMADTASLLSDTVTKVPTAGDKDCNCGDLDNEVKDTAAPASKEDRGGRGAPLLDMISETERNWVVGDDGITRESEEDDSLSLEGDPILDSSCSLSVASETSSLCGEDLLSLETTSEVGTLNSVEIKKSIGGVDIVAKTADLGDSNGDTVVSDPSSVAGSVEEEAGDGSDAKTSSVVLQLTLERGTSGTVSKSVFEVDYVPLWGFTSVCGRRPEMEDAVATVPYFLKFPIQMLIGDRLLDGMSKYLPHQTAHFFGVYDGHGGSQVANYCHDRIHSALSEEIEFVKNGLSDGSIKDSCQEQWKNAFTNCFLKVDAEVGGKAGAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARKRILVWHKKNGVALSSSRSEGIDPAAQAAAEFLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >Potri.006G224600.7.v4.1 pep chromosome:Pop_tri_v4:6:22928649:22933047:-1 gene:Potri.006G224600.v4.1 transcript:Potri.006G224600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224600.v4.1 MEEMYPAVAVPFRVGNSACESPSIDTHMDITRLLMADTASLLSDTVTKVPTAGDKDCNCGDLDNEVKDTAAPASKEDRGGRGAPLLDMISETERNWVVGDDGITRESEEDDSLSLEGDPILDSSCSLSVASETSSLCGEDLLSLETTSEVGTLNSVEIKKSIGGVDIVAKTADLGDSNGDTVVSDPSSVAGSVEEEAGDGSDAKTSSVVLQLTLERGTSGTVSKSVFEVDYVPLWGFTSVCGRRPEMEDAVATVPYFLKFPIQMLIGDRLLDGMSKYLPHQTAHFFGVYDGHGGSQVANYCHDRIHSALSEEIEFVKNGLSDGSIKDSCQEQWKNAFTNCFLKVDAEVGGKAGAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARKRILVWHKKNGVALSSSRSEGIDPAAQAAAEFLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >Potri.006G224600.5.v4.1 pep chromosome:Pop_tri_v4:6:22928651:22933177:-1 gene:Potri.006G224600.v4.1 transcript:Potri.006G224600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224600.v4.1 MEEMYPAVAVPFRVGNSACESPSIDTHMDITRLLMADTASLLSDTVTKVPTAGDKDCNCGDLDNEVKDTAAPASKEDRGGRGAPLLDMISETERNWVVGDDGITRESEEDDSLSLEGDPILDSSCSLSVASETSSLCGEDLLSLETTSEVGTLNSVEIKKSIGGVDIVAKTADLGDSNGDTVVSDPSSVAGSVEEEAGDGSDAKTSSVVLQLTLERGTSGTVSKSVFEVDYVPLWGFTSVCGRRPEMEDAVATVPYFLKFPIQMLIGDRLLDGMSKYLPHQTAHFFGVYDGHGGSQVANYCHDRIHSALSEEIEFVKNGLSDGSIKDSCQEQWKNAFTNCFLKVDAEVGGKAGAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARKRILVWHKKNGVALSSSRSEGIDPAAQAAAEFLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >Potri.006G224600.4.v4.1 pep chromosome:Pop_tri_v4:6:22928653:22933246:-1 gene:Potri.006G224600.v4.1 transcript:Potri.006G224600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224600.v4.1 MEEMYPAVAVPFRVGNSACESPSIDTHMDITRLLMADTASLLSDTVTKVPTAGDKDCNCGDLDNEVKDTAAPASKEDRGGRGAPLLDMISETERNWVVGDDGITRESEEDDSLSLEGDPILDSSCSLSVASETSSLCGEDLLSLETTSEVGTLNSVEIKKSIGGVDIVAKTADLGDSNGDTVVSDPSSVAGSVEEEAGDGSDAKTSSVVLQLTLERGTSGTVSKSVFEVDYVPLWGFTSVCGRRPEMEDAVATVPYFLKFPIQMLIGDRLLDGMSKYLPHQTAHFFGVYDGHGGSQVANYCHDRIHSALSEEIEFVKNGLSDGSIKDSCQEQWKNAFTNCFLKVDAEVGGKAGAEPVAPETVGSTAVVAIICSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEAAGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPEPEVMFIPRAKEDECLILASDGLWDVMSNEEACDLARKRILVWHKKNGVALSSSRSEGIDPAAQAAAEFLSNRALQKGSKDNITVIVVDLKAQRKFKTKT >Potri.002G033100.1.v4.1 pep chromosome:Pop_tri_v4:2:2196760:2198028:-1 gene:Potri.002G033100.v4.1 transcript:Potri.002G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033100.v4.1 MSSFEFDKVMKAEKADAMRRYNRKRYSYYFLEAVGALVLLWCSFSCFPVITQIASHYLSYSNRKIYVFVLANMFIILIVYLSSTTAHNNDQNDTPFQTDIYDEYVSFSTNSSPRWKTTTHEDKQLVVSSLLEKPVQVEEKDLPVRETDTFYEDKQIVCWEKTVDAAKVEVEEKPVRDLTLLKEQKRCRRTRSARSGKENRECSREFGRSETETGSWETSSVIKTSTRLNYNTPAAAKKSMPEMDNDEFRHAIETFIADKKKILREESIAMLSEEKEEYLAINYR >Potri.009G047400.2.v4.1 pep chromosome:Pop_tri_v4:9:5318362:5323399:-1 gene:Potri.009G047400.v4.1 transcript:Potri.009G047400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047400.v4.1 MGFSEDNLKGFILALMSSLFIGASFIIKKKGLRRAAAASGVRAGIGGFSYLLEPLWWLGMITMIIGEVANFVAYAFAPAVLVTPLGALSIIVSAVLAQFILNEKLHQLGILGCVMCIAGSVVIVIHAPQEHPITSVQEICSMATQPAFLLYVASVIVLVFILIFHFAPQCGHSNVLVFTGICSLMGSLSVMSVKALGTALKLTFEGNNQLLYPETWFFVFIVATCVITQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDGQSVGNIISEICGFIVVLSGTILLHTTKDFERSSSFRGSYASLSPALSARLCSGNGEFMKHDEENQLPAEAVCLQRQEMH >Potri.012G135100.2.v4.1 pep chromosome:Pop_tri_v4:12:14985279:14986035:1 gene:Potri.012G135100.v4.1 transcript:Potri.012G135100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G135100.v4.1 MDFSSSKKTMRISLAVADAASCYCAMVLLGLILLGSIRESSMTEEPVKGSHFFDRPCDEIYVVGEGETLNTISEKCDDPFIVEQNPHIHDPDDVYPGLVIKITSSKSRKMMKR >Potri.001G116200.1.v4.1 pep chromosome:Pop_tri_v4:1:9438358:9440009:-1 gene:Potri.001G116200.v4.1 transcript:Potri.001G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116200.v4.1 MAIGEALQACKVFEAMWSSEPMMVWGSRAEDSVDQQKKRSSILLYEGDGGVLFRPHTCTDVLASSPSLIVPTNFSPRSSLDQGYKKDAEVVITVTVEGSPGPVRTMVKLGSNVEDTFKLVVDKYSEEGRTPKLDKNAASTCELHHSYFSLRSLDKSEMVGDVGSRSFYLRSSSRNRRSNGGSASSITETVSGRANPPPPPPIPCPLFLLPEFFASKFGKIVRRTLQLWKVLVSWK >Potri.014G092300.1.v4.1 pep chromosome:Pop_tri_v4:14:5981965:5986761:1 gene:Potri.014G092300.v4.1 transcript:Potri.014G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092300.v4.1 MMELEQTRPENPAMTFDEVSMERSKSFIKALQELKNLRPQLYSAAEYCEKSYLHSEQKQTVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQALEVSTMEVKVSCMNQQYLTCQTYTDKEGLRQQQLLAFIPRHHKHYVLQNSVNKKVHFSPQIQTDARQNHFQARSRLQPSGSPASKTLSWHLASETKSTLKGTHVMTSNEDTKTSGKPSVVFQLLDKEESAKTRSSGAPAQLSSGGPAAGAIMQKFGVPRRELSDGSKPLTPFRSFDNPRHEIVRAPVRSKSMLSAFFVKQKTLKLKTGSVS >Potri.014G092300.5.v4.1 pep chromosome:Pop_tri_v4:14:5982270:5985860:1 gene:Potri.014G092300.v4.1 transcript:Potri.014G092300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092300.v4.1 MMELEQTRPENPAMTFDEVSMERSKSFIKALQELKNLRPQLYSAAEYCEKSYLHSEQKQTVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQALEVSTMEVKVSCMNQQYLTCQTYTDKEGLRQQQLLAFIPRHHKHYVLQNSVNKKVHFSPQIQTDARQNHFQARSRLQPSGSPASKTLSWHLASETKSTLKGTHVMTSNEDTKTSGKPSVVFQLLDKEESAKTRSSGAPAQLSSGGPAAGAIMQKFGVPRRVMPKSARTQTCPTFF >Potri.006G021700.3.v4.1 pep chromosome:Pop_tri_v4:6:1462487:1466570:1 gene:Potri.006G021700.v4.1 transcript:Potri.006G021700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021700.v4.1 MTESNSNASVSSSSSLNSSFPDIEDDQTIASILAEDESSQVAGTLGKRLSHLDSIPHTPRVNGEIPDVNDATLDHERLSERLATYGLEELQIEGDGNCQFRALADQLFRSPDYHKHVRKKIVKQLKHFRKSYEGYVPMKYRSYVKKMKKPGEWGDHLTLQAAADRFGAKICLVTSFRDTCYIEIMPKDKSPTRELWLSFWSEVHYNSLYATGDVPTRVARKKHWLF >Potri.006G021700.10.v4.1 pep chromosome:Pop_tri_v4:6:1462207:1466571:1 gene:Potri.006G021700.v4.1 transcript:Potri.006G021700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021700.v4.1 MTESNSNASVSSSSSLNSSFPDIEDDQTIASILAEDESSQVAGTLGKRLSHLDSIPHTPRVNGEIPDVNDATLDHERLSERLATYGLEELQIEGDGNCQFRALADQLFRSPDYHKHVRKKIVKQLKHFRKSYEGYVPMKYRSYVKKMKKPGEWGDHLTLQAAADRFGAKICLVTSFRDTCYIEIMPKDKSPTRELWLSFWSEVHYNSLYATGDVPTRVARKKHWLF >Potri.017G141650.1.v4.1 pep chromosome:Pop_tri_v4:17:14205355:14205528:1 gene:Potri.017G141650.v4.1 transcript:Potri.017G141650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141650.v4.1 MFITVDGFNKTGIPGSLWSLMEPHARIDHASGIAVLAIVILLLSNVASNVPTGKLMP >Potri.010G037800.2.v4.1 pep chromosome:Pop_tri_v4:10:6738557:6740306:1 gene:Potri.010G037800.v4.1 transcript:Potri.010G037800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G037800.v4.1 MSSNSIASNRWMSEKMDGFDPSPNSNSDNEEEGVHVLAVDDSLVDRKVIERLLKISSCKVTAVDSGWRALKLLGLLDEEDKSSSSSSSSSAGFDVLKVDLIITDYCMPGMTGYELLKKIKESTTFREIPVVIMSSENVVARIDRCLEEGAEDFIVKPVKLSDVKRIRDYMASREVRSVQNQEERSSSNINKRKLQECFDLSLSSSPPSISSSSSSSLSYPSRSPSLTPSPPSLFSSSAPCSPSSLDSPTRRLKMTGFD >Potri.010G037800.3.v4.1 pep chromosome:Pop_tri_v4:10:6738784:6740229:1 gene:Potri.010G037800.v4.1 transcript:Potri.010G037800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G037800.v4.1 MSEKMDGFDPSPNSNSDNEEEGVHVLAVDDSLVDRKVIERLLKISSCKAVDSGWRALKLLGLLDEEDKSSSSSSSSSAGFDVLKVDLIITDYCMPGMTGYELLKKIKESTTFREIPVVIMSSENVVARIDRCLEEGAEDFIVKPVKLSDVKRIRDYMASREVRSVQNQEERSSSNINKRKLQECFDLSLSSSPPSISSSSSSSLSYPSRSPSLTPSPPSLFSSSAPCSPSSLDSPTRRLKMTGFD >Potri.016G061900.1.v4.1 pep chromosome:Pop_tri_v4:16:4309753:4315475:-1 gene:Potri.016G061900.v4.1 transcript:Potri.016G061900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061900.v4.1 MRTSWSDSVANSASENAAASGSSGPRPTRATYIPPHLRNQPPSSDSLAPPPAAPSLGNDRVGYSGPVGGSRWGGGGGSRPDHGRSGYGSGGRGGGGWNNRSGGRDRGREREVNPFGDDGDVEPAFGEQENTGINFDAYEDIPVATSGHNVPPPVNTFADIDLGEAVNQNIRRCKYVKPTPVQRNAIPILLAGRDLMACAQTGSGKTAAFCFPIIAGIMREQYVQRPHGGRTMYPLALILSPTRELSSQIHDEAKKFSYQTGVKVVVVYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPCGMRQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVQEIDKRSHLMDLLHAQRETEVNSKHSLTLVFVETKKGADSLEHLLHVNGFPATSIHGDRTQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGNTGMATAFFNENSMSLARPLADLMQEANQVVPAWLTRYASRVLHSGGKNRRSAGARFGGRDFRREGSINKGTEYYGGNSNSGYGVPAGYGGGYNPAVASAWD >Potri.016G061900.2.v4.1 pep chromosome:Pop_tri_v4:16:4310090:4315275:-1 gene:Potri.016G061900.v4.1 transcript:Potri.016G061900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061900.v4.1 MRTSWSDSVANSASENAAASGSSGPRPTRATYIPPHLRNQPPSSDSLAPPPAAPSLGNDRVGYSGPVGGSRWGGGGGSRPDHGRSGYGSGGRGGGGWNNRSGGRDRGREREVNPFGDDGDVEPAFGEQENTGINFDAYEDIPVATSGHNVPPPVNTFADIDLGEAVNQNIRRCKYVKPTPVQRNAIPILLAGRDLMACAQTGSGKTAAFCFPIIAGIMREQYVQRPHGGRTMYPLALILSPTRELSSQIHDEAKKFSYQTGVKVVVVYGGAPINQQLRELERGVDILVATPGRLVDLLERARVSLQMIRYLALDEADRMLDMGFEPQIRKIVEQMDMPPCGMRQTMLFSATFPKEIQRLASDFLSNYIFLAVGRVGSSTDLIVQRVEYVQEIDKRSHLMDLLHAQRETEVNSKHSLTLVFVETKKGADSLEHLLHVNGFPATSIHGDRTQQEREMALRSFKSGKTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGNTGMATAFFNENSMSLARPLADLMQEANQVVPAWLTRYASRVLHSGGKNRRSAGARFGGRDFRREGSINKGTEYYGGNSNSGYGVPAGYGGGYNPAVASAWD >Potri.001G302801.2.v4.1 pep chromosome:Pop_tri_v4:1:31301775:31303824:1 gene:Potri.001G302801.v4.1 transcript:Potri.001G302801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302801.v4.1 MDQDHTSQRATCNGFLRRRGADKTYGIKLVRAFCFLFQL >Potri.001G302801.1.v4.1 pep chromosome:Pop_tri_v4:1:31301004:31304056:1 gene:Potri.001G302801.v4.1 transcript:Potri.001G302801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302801.v4.1 MDQDHTSQRATCNGFLRRRGADKTYGIKLVRAFCFLFQL >Potri.017G122400.5.v4.1 pep chromosome:Pop_tri_v4:17:12747543:12751058:-1 gene:Potri.017G122400.v4.1 transcript:Potri.017G122400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122400.v4.1 MEVYLASVQKNMKSEGEIVEAAIVPLLKLIALTLFGLILAHPKIQLVPKATFKLLSKLVFALFLPCLIFTQLGPSITLKNIVQWWFIPVNVIISTAIGCILGCLVAIICRPPREFVRFTIIMTAFGNTGNIPLAIVSSVCHSSDAPFGPDCYGNGIAYVSFSQWVSVILVYTLVYHMMEPPLEQHEIVDEEIQEMPVDLSNPLLVEAEWPGIEEKETENSKTPFIARLFNSISNISRTNIPDIETIEEGGKEGGERNPESIRCLAEPRVVRKIRVVAEQTPIHQILQPPTIASFLAIVIGVIPALKHIVYGADAPLQVITDSLDMMAQAAVPSVMLVLGGMIGEGPNESKLGIRTTIGIIVARLLVLPFIGIGVIYLAGKWNLLIPGNHLYQFVLLLQYTTPSAILLGAIASLRGYAVKEASALIFWQHVCAVVSLSIYMIVYFKLLLSYI >Potri.017G122400.4.v4.1 pep chromosome:Pop_tri_v4:17:12747543:12751074:-1 gene:Potri.017G122400.v4.1 transcript:Potri.017G122400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122400.v4.1 MEVYLASVQKNMKSEGEIVEAAIVPLLKLIALTLFGLILAHPKIQLVPKATFKLLSKLVFALFLPCLIFTQLGPSITLKNIVQWWFIPVNVIISTAIGCILGCLVAIICRPPREFVRFTIIMTAFGNTGNIPLAIVSSVCHSSDAPFGPDCYGNGIAYVSFSQWVSVILVYTLVYHMMEPPLEQHEIVDEEIQEMPVDLSNPLLVEAEWPGIEEKETENSKTPFIARLFNSISNISRTNIPDIETIEEGGKEGGERNPESIRCLAEPRVVRKIRVVAEQTPIHQILQPPTIASFLAIVIGVIPALKHIVYGADAPLQVITDSLDMMAQAAVPSVMLVLGGMIGEGPNESKLGIRTTIGIIVARLLVLPFIGIGVIYLAGKWNLLIPGNHLYQFVLLLQYTTPSAILLGAIASLRGYAVKEASALIFWQHVCAVVSLSIYMIVYFKLLLSYI >Potri.017G122400.3.v4.1 pep chromosome:Pop_tri_v4:17:12747543:12751096:-1 gene:Potri.017G122400.v4.1 transcript:Potri.017G122400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122400.v4.1 MEVYLASVQKNMKSEGEIVEAAIVPLLKLIALTLFGLILAHPKIQLVPKATFKLLSKLVFALFLPCLIFTQLGPSITLKNIVQWWFIPVNVIISTAIGCILGCLVAIICRPPREFVRFTIIMTAFGNTGNIPLAIVSSVCHSSDAPFGPDCYGNGIAYVSFSQWVSVILVYTLVYHMMEPPLEQHEIVDEEIQEMPVDLSNPLLVEAEWPGIEEKETENSKTPFIARLFNSISNISRTNIPDIETIEEGGKEGGERNPESIRCLAEPRVVRKIRVVAEQTPIHQILQPPTIASFLAIVIGVIPALKHIVYGADAPLQVITDSLDMMAQAAVPSVMLVLGGMIGEGPNESKLGIRTTIGIIVARLLVLPFIGIGVIYLAGKWNLLIPGNHLYQFVLLLQYTTPSAILLGAIASLRGYAVKEASALIFWQHVCAVVSLSIYMIVYFKLLLSYI >Potri.017G122400.7.v4.1 pep chromosome:Pop_tri_v4:17:12749135:12750382:-1 gene:Potri.017G122400.v4.1 transcript:Potri.017G122400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122400.v4.1 MTAFGNTGNIPLAIVSSVCHSSDAPFGPDCYGNGIAYVSFSQWVSVILVYTLVYHMMEPPLEQHEIVDEEIQEMPVDLSNPLLVEAEWPGIEEKETENSKTPFIARLFNSISNISRTNIPDIETIEEGGKEGGERNPESIRCLAEPRVVRKIRVVAEQTPIHQILQPPTIASFLAIVIGVIPALKHIVYGADAPLQVITDSLDMMAQAAVPSVMLVLGGMIGEGPNESKLGIRTTIGIIVARLLVLPFIGIGVIYLAGKWNLLIPGNHLYQFVLLLQYTTPSAILLGAIASLRGYAVKEASALIFWQHVCAVVSLSIYMIVYFKLLLSYI >Potri.017G122400.2.v4.1 pep chromosome:Pop_tri_v4:17:12747145:12751158:-1 gene:Potri.017G122400.v4.1 transcript:Potri.017G122400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122400.v4.1 MEVYLASVQKNMKSEGEIVEAAIVPLLKLIALTLFGLILAHPKIQLVPKATFKLLSKLVFALFLPCLIFTQLGPSITLKNIVQWWFIPVNVIISTAIGCILGCLVAIICRPPREFVRFTIIMTAFGNTGNIPLAIVSSVCHSSDAPFGPDCYGNGIAYVSFSQWVSVILVYTLVYHMMEPPLEQHEIVDEEIQEMPVDLSNPLLVEAEWPGIEEKETENSKTPFIARLFNSISNISRTNIPDIETIEEGGKEGGERNPESIRCLAEPRVVRKIRVVAEQTPIHQILQPPTIASFLAIVIGVIPALKHIVYGADAPLQVITDSLDMMAQAAVPSVMLVLGGMIGEGPNESKLGIRTTIGIIVARLLVLPFIGIGVIYLAGKWNLLIPGNHLYQFVLLLQYTTPSAILLGAIASLRGYAVKEASALIFWQHVCAVVSLSIYMIVYFKLLLSYI >Potri.017G122400.6.v4.1 pep chromosome:Pop_tri_v4:17:12749155:12750962:-1 gene:Potri.017G122400.v4.1 transcript:Potri.017G122400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122400.v4.1 MEVYLASVQKNMKSEGEIVEAAIVPLLKLIALTLFGLILAHPKIQLVPKATFKLLSKLVFALFLPCLIFTQLGPSITLKNIVQWWFIPVNVIISTAIGCILGCLVAIICRPPREFVRFTIIMTAFGNTGNIPLAIVSSVCHSSDAPFGPDCYGNGIAYVSFSQWVSVILVYTLVYHMMEPPLEQHEIVDEEIQEMPVDLSNPLLVEAEWPGIEEKETENSKTPFIARLFNSISNISRTNIPDIETIEEGGKEGGERNPESIRCLAEPRVVRKIRVVAEQTPIHQILQPPTIASFLAIVIGVIPALKHIVYGADAPLQVITDSLDMMAQAAVPSVMLVLGGMIGEGPNESKLGIRTTIGIIVARLLVLPFIGIGVIYLAGKWNLLIPGNHLYQFVLLLQYTTPSAILLGAIASLRGYAVKEASALIFWQHVCAVVSLSIYMIVYFKLLLSYI >Potri.016G007700.2.v4.1 pep chromosome:Pop_tri_v4:16:377134:378419:1 gene:Potri.016G007700.v4.1 transcript:Potri.016G007700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007700.v4.1 MISLTKERSLLAGGFNVVDELYPALEFLQGVIGMKAEKVLAQINQILDNIINEHKEMGNSETIDEDPVHMLVRLQEDGTFKCPIEYSTSKLASRICSLQVLEFIEWAMKEIMKNPRVVKKAQAEIREALRGKKTITEAEIREALRGKKTITEAEIREALRGKKTITEAEIREALLAFSRRKGKKIFQPRVFLFFFHQFTKTSPLILHTCMQFILNFDPSHFSTFLAGTWQ >Potri.005G243100.2.v4.1 pep chromosome:Pop_tri_v4:5:23920831:23922338:1 gene:Potri.005G243100.v4.1 transcript:Potri.005G243100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243100.v4.1 MSTGRKFILKSSDGESFEVDEAVAVESQTIKHMIEDDCADNGIPLPNVTSKVLAKVIEYCKKHVESPKSDDRPSSAADDLKAWDAEFVKVDQATLFDLILAANYLNIKNLLDLTCQRVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Potri.019G076200.1.v4.1 pep chromosome:Pop_tri_v4:19:11719845:11725892:-1 gene:Potri.019G076200.v4.1 transcript:Potri.019G076200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076200.v4.1 MKFSVKAWSTSKGNSAARTGVFQLGNCPQIETPALLLSTRKGLPHFISPDLLPSLPIPDSSLLHVSPLHFMEGISSKTISSIGGLHKMIGLHDFGLAAVARDSIQCLPESSATNKLGASFETPCGRLLIKPAEYMGIISSLRPNLWATLADEVPAWVSKKRNKNSVDRTVKWLDECVALSPASGVAFGAIVGGSDVEERRRCAQEVVKRNVSGYWIGGFGFGESTDERPALLSAVTDILPKDGPRLISGLGLPEEVLQGVAAGIDLFDSSYIYHLTIGGFALTFPLDNKTYASDLKLTGMGIDQTKINLKATVYRKDATPIVESCSCYTCQNHTKAYINHLLNVHEMLAQILLEIHNTHHYLGFFRSIREAIKEGRFEQFREMFVEKRRIHLAEVAVCA >Potri.005G051100.5.v4.1 pep chromosome:Pop_tri_v4:5:3230051:3236073:1 gene:Potri.005G051100.v4.1 transcript:Potri.005G051100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051100.v4.1 MELNTPNKNQIPATNPLSQFEDSPVFNYINNLSPIELVKSMHNGQAFNFPSFASPLSVFASPQLSSQSDARSFMRRDQFSEPSKPELLQSKDENDTSIGVFEAAQLTGLSVEQSECSTPANSSKGVITKLPGEHMELAIEEPDTLKYYCASPDDNIIMVPLKAIIEPQMAGDPNERYCSRKSEKDLRKIGQTGQNEDEAGCDWVALISDVADILTLEPSIDEESAEKQKMVDPGTISFISNVLQAPQDNSDDSETSYYAGSSQQREIGEPGIQPVESGEQNEAHQTPSVFSGTLPDKPIVNDAAAKVDIRGKSYQSSSKHKIRRRCLVFEMGAHKKKLAFESSSSTSSQSDHMGASIEKHATPRTDKGKTLSALPGRGIGLHLNALTATSSGNAVKIETLASGKQEISQPPSPAAHMASGLDPVSKSLALITVETDFVPFDNEDKVMENVPQTSMVVTEDFGISSPKMKRQKFEYLGASSCKRCNCKRSKCLKLYCECFAAGLYCIEPCSCLECSNNPAHEDTVLETRRQIESRNPLAFAPKVIRNSDSVSEFGEETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSSYCRCEGCKNTFGCKNGVEENDLKWGESKIHENTSNLNLLDIIEKGEDHPDLMVPSKDSRSPVHPQIPISGQLSGSSLCDVGPSTKMRPIQRFRTNFFYHQPKYEKHLQVIPEDETPEILNRNCLPTSGVKSVSPNSKRVSPPHHGFGPSTTWRRGRKLILRSVSPSPSLNPPCEQ >Potri.005G051100.7.v4.1 pep chromosome:Pop_tri_v4:5:3230073:3235942:1 gene:Potri.005G051100.v4.1 transcript:Potri.005G051100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051100.v4.1 MELNTPNKNQIPATNPLSQFEDSPVFNYINNLSPIELVKSMHNGQAFNFPSFASPLSVFASPQLSSQSDARSFMRRDQFSEPSKPELLQSKDENDTSIGVFEAAQLTGLSVEQSECSTPANSSKGVITKLPGEHMELAIEEPDTLKYYCASPDDNIIMVPLKAIIEPQMAGDPNERYCSRKSEKDLRKIGQTGQNEDEAGCDWVALISDVADILTLEPSIDEESAEKQKMVDPGTISFISNVLQAPQDNSDDSETSYYAGSSQQREIGEPGIQPVESGEQNEAHQTPSVFSGTLPDKPIVNDAAAKVDIRGKSYQSSSKHKIRRRCLVFEMGAHKKKLAFESSSSTSSQSDHMGASIEKHATPRTDKGKTLSALPGRGIGLHLNALTATSSGNAVKIETLASGKQEISQPPSPAAHMASGLDPTSMVVTEDFGISSPKMKRQKFEYLGASSCKRCNCKRSKCLKLYCECFAAGLYCIEPCSCLECSNNPAHEDTVLETRRQIESRNPLAFAPKVIRNSDSVSEFGEETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSSYCRCEGCKNTFGCKNGVEENDLKWGESKIHENTSNLNLLDIIEKGEDHPDLMVPSKDSRSPVHPQIPISGQLSGSSLCDVGPSTKMRPIQRFRTNFFYHQPKYEKHLQVIPEDETPEILNRNCLPTSGVKSVSPNSKRVSPPHHGFGPSTTWRRGRKLILRSVSPSPSLNPPCEQ >Potri.005G051100.4.v4.1 pep chromosome:Pop_tri_v4:5:3230002:3235947:1 gene:Potri.005G051100.v4.1 transcript:Potri.005G051100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051100.v4.1 MGFRVVAQNLIKTYKICFLPAFAPLLTFSLFISSLFRQTYPNNDKKRFGERKEVGVFSFVTEDLLEVEEKCIEVLMELNTPNKNQIPATNPLSQFEDSPVFNYINNLSPIELVKSMHNGQAFNFPSFASPLSVFASPQLSSQSDARSFMRRDQFSEPSKPELLQSKDENDTSIGVFEAAQLTGLSVEQSECSTPANSSKGVITKLPGEHMELAIEEPDTLKYYCASPDDNIIMVPLKAIIEPQMAGDPNERYCSRKSEKDLRKIGQTGQNEDEAGCDWVALISDVADILTLEPSIDEESAEKQKMVDPGTISFISNVLQAPQDNSDDSETSYYAGSSQQREIGEPGIQPVESGEQNEAHQTPSVFSGTLPDKPIVNDAAAKVDIRGKSYQSSSKQHKIRRRCLVFEMGAHKKKLAFESSSSTSSQSDHMGASIEKHATPRTDKGKTLSALPGRGIGLHLNALTATSSGNAVKIETLASGKQEISQPPSPAAHMASGLDPVSKSLALITVETDFVPFDNEDKVMENVPQTSMVVTEDFGISSPKMKRQKFEYLGASSCKRCNCKRSKCLKLYCECFAAGLYCIEPCSCLECSNNPAHEDTVLETRRQIESRNPLAFAPKVIRNSDSVSEFGEETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSSYCRCEGCKNTFGCKNGVEENDLKWGESKIHENTSNLNLLDIIEKGEDHPDLMVPSKDSRSPVHPQIPISGQLSGSSLCDVGPSTKMRPIQRFRTNFFYHQPKYEKHLQVIPEDETPEILNRNCLPTSGVKSVSPNSKRVSPPHHGFGPSTTWRRGRKLILRSVSPSPSLNPPCEQ >Potri.005G051100.2.v4.1 pep chromosome:Pop_tri_v4:5:3230051:3235947:1 gene:Potri.005G051100.v4.1 transcript:Potri.005G051100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051100.v4.1 MELNTPNKNQIPATNPLSQFEDSPVFNYINNLSPIELVKSMHNGQAFNFPSFASPLSVFASPQLSSQSDARSFMRRDQFSEPSKPELLQSKDENDTSIGVFEAAQLTGLSVEQSECSTPANSSKGVITKLPGEHMELAIEEPDTLKYYCASPDDNIIMVPLKAIIEPQMAGDPNERYCSRKSEKDLRKIGQTGQNEDEAGCDWVALISDVADILTLEPSIDEESAEKQKMVDPGTISFISNVLQAPQDNSDDSETSYYAGSSQQREIGEPGIQPVESGEQNEAHQTPSVFSGTLPDKPIVNDAAAKVDIRGKSYQSSSKQQHKIRRRCLVFEMGAHKKKLAFESSSSTSSQSDHMGASIEKHATPRTDKGKTLSALPGRGIGLHLNALTATSSGNAVKIETLASGKQEISQPPSPAAHMASGLDPVSKSLALITVETDFVPFDNEDKVMENVPQTSMVVTEDFGISSPKMKRQKFEYLGASSCKRCNCKRSKCLKLYCECFAAGLYCIEPCSCLECSNNPAHEDTVLETRRQIESRNPLAFAPKVIRNSDSVSEFGEETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSSYCRCEGCKNTFGCKNGVEENDLKWGESKIHENTSNLNLLDIIEKGEDHPDLMVPSKDSRSPVHPQIPISGQLSGSSLCDVGPSTKMRPIQRFRTNFFYHQPKYEKHLQVIPEDETPEILNRNCLPTSGVKSVSPNSKRVSPPHHGFGPSTTWRRGRKLILRSVSPSPSLNPPCEQ >Potri.005G051100.6.v4.1 pep chromosome:Pop_tri_v4:5:3230098:3235914:1 gene:Potri.005G051100.v4.1 transcript:Potri.005G051100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051100.v4.1 MELNTPNKNQIPATNPLSQFEDSPVFNYINNLSPIELVKSMHNGQAFNFPSFASPLSVFASPQLSSQSDARSFMRRDQFSEPSKPELLQSKDENDTSIGVFEAAQLTGLSVEQSECSTPANSSKGVITKLPGEHMELAIEEPDTLKYYCASPDDNIIMVPLKAIIEPQMAGDPNERYCSRKSEKDLRKIGQTGQNEDEAGCDWVALISDVADILTLEPSIDEESAEKQKMVDPGTISFISNVLQAPQDNSDDSETSYYAGSSQQREIGEPGIQPVESGEQNEAHQTPSVFSGTLPDKPIVNDAAAKVDIRGKSYQSSSKQHKIRRRCLVFEMGAHKKKLAFESSSSTSSQSDHMGASIEKHATPRTDKGKTLSALPGRGIGLHLNALTATSSGNAVKIETLASGKQEISQPPSPAAHMASGLDPTSMVVTEDFGISSPKMKRQKFEYLGASSCKRCNCKRSKCLKLYCECFAAGLYCIEPCSCLECSNNPAHEDTVLETRRQIESRNPLAFAPKVIRNSDSVSEFGEETNKTPASARHKRGCNCKKSSCLKKYCECFQGGVGCSSYCRCEGCKNTFGCKNGVEENDLKWGESKIHENTSNLNLLDIIEKGEDHPDLMVPSKDSRSPVHPQIPISGQLSGSSLCDVGPSTKMRPIQRFRTNFFYHQPKYEKHLQVIPEDETPEILNRNCLPTSGVKSVSPNSKRVSPPHHGFGPSTTWRRGRKLILRSVSPSPSLNPPCEQ >Potri.017G110800.1.v4.1 pep chromosome:Pop_tri_v4:17:11836867:11837764:1 gene:Potri.017G110800.v4.1 transcript:Potri.017G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110800.v4.1 MKAFLIICILLATVVFSPFSTTTALELSHEGPYRTLDASMQQGGPMKPPRGPIMPPRGPVKPPRGPVKPPPGPMKPPRGPVKPPPGKKPPPGSQ >Potri.001G336400.1.v4.1 pep chromosome:Pop_tri_v4:1:34464380:34470541:-1 gene:Potri.001G336400.v4.1 transcript:Potri.001G336400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336400.v4.1 MATLTVLMLVGFLFWIDGGSGLEQEAPYRIHTLFSVECQNYFDWQTVGLMHSFKKAQQPGPITRLLSCTDEEKKNYRGMHLAPTLEVPSMSRHPKTGDWYPAINKPAGIVHWLKYSKDADDVDWVVILDADMIIRGPIIPWELGAEKGRPVAAYYGYLVGCDNILAKLHTKHPELCDKVGGLLAMHIDDLRALAPLWLSKTEEVREDRTHWGTNITGDIYGAGWISEMYGYSFGAAEAGLRHKISEDLMIYPGYIPRKGIEPILIHYGLPFSVGNWSFSKLDHHEDDIVYDCGRLFPEPPYPREVRLLASDLNKKRALFLNLECINTLNEGLLLQHAANGCPKPKWSRYLSFLKSKTFADLTRPKFLAPGSIETKEAANQGGNQEQAVDEPEKPHPKMHTIFSTECTRYFDWQTVGLVHSFHLSGQPGNITRLLSCTDEDLKQYAGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHADIDAEFIVILDADMILRGPITPWEFKAARGRPVSTPYDYLIGCDNELAKLHTRHPDACDKVGGVIIMHIDDLRKFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFGAAELKLRHLINSEILIYPGYVPEPGVKYRVFHYGLDFKVGNWSFDKANWRDTDVVNKCWAKFPDPPDPLTLDRSNEDILQRDLLSIECGKTLNDALELHHKKRNCPDPHSLSTSKRDTGKEDSSSRKFGRFDGSNAVRSNPVPTKNSEETSPPVPKDGLFGSLRFWVVALWMISGLGFLAVMFMVFSGRKSKGSKSKTYRSRRR >Potri.001G336400.2.v4.1 pep chromosome:Pop_tri_v4:1:34464379:34468296:-1 gene:Potri.001G336400.v4.1 transcript:Potri.001G336400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336400.v4.1 MHIDDLRALAPLWLSKTEEVREDRTHWGTNITGDIYGAGWISEMYGYSFGAAEAGLRHKISEDLMIYPGYIPRKGIEPILIHYGLPFSVGNWSFSKLDHHEDDIVYDCGRLFPEPPYPREVRLLASDLNKKRALFLNLECINTLNEGLLLQHAANGCPKPKWSRYLSFLKSKTFADLTRPKFLAPGSIETKEAANQGGNQEQAVDEPEKPHPKMHTIFSTECTRYFDWQTVGLVHSFHLSGQPGNITRLLSCTDEDLKQYAGHDLAPTHYVPSMSRHPLTGDWYPAINKPAAVLHWLNHADIDAEFIVILDADMILRGPITPWEFKAARGRPVSTPYDYLIGCDNELAKLHTRHPDACDKVGGVIIMHIDDLRKFAMLWLHKSEEVRADKAHYATNITGDIYASGWISEMYGYSFGAAELKLRHLINSEILIYPGYVPEPGVKYRVFHYGLDFKVGNWSFDKANWRDTDVVNKCWAKFPDPPDPLTLDRSNEDILQRDLLSIECGKTLNDALELHHKKRNCPDPHSLSTSKRDTGKEDSSSRKFGRFDGSNAVRSNPVPTKNSEETSPPVPKDGLFGSLRFWVVALWMISGLGFLAVMFMVFSGRKSKGSKSKTYRSRRR >Potri.004G096900.4.v4.1 pep chromosome:Pop_tri_v4:4:8342579:8346862:1 gene:Potri.004G096900.v4.1 transcript:Potri.004G096900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096900.v4.1 MNSLPFNPLFVKMRPHLLLAMSWFILFITIIFVCGFTLVFSADDERYLICRNLFDCGNIKGIGYPFSGSNRPDYCGYPGFGLNCSNQIPEITITQSTYKVLAINNQSRTINVARTDYRENLCPTLLLNTTLNPSLLNYTTDDHNITIYYGCPAQGPPTSHLLTQFPCSINTTEMTGYFTATDDFSFLGNLASNLIRYLESCDNSVKVQVRQSALEPIIANATVAKLLGALNQGFGLVWNANDTLCDTCNSSGGQCGYDQTTKAFACYCADQPRDFNCLPSPPSQSTKKISNPDSLKIGLSIAGTVVGVFLGCWIMTIIQRKRRKAALLKSKDLPIAATPSRKGLATSTNLSQTTPSLTPSKSDIGKGSTYFGVRVFSYNELEEATNCFDSSKELGDGGFGTVYYGVLRDGRVVAVKRLYESNMRRAEQFMNEIEILAHLRHMNLVELYGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTWPFRLSIAIETASALAYLHASDVIHRDVKTNNILLDNDFHVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISALEAVDTNRHRHDINLSIMAVKKIQNHALNELVDPFLGFDKDFVVRKMVTSVAELAFRCLQQQREMRPTMEEVLEILRRIEKENYGAEKADELDIREDDVGLLKHPPPPLQLSPDSMSDQF >Potri.004G096900.5.v4.1 pep chromosome:Pop_tri_v4:4:8342579:8346862:1 gene:Potri.004G096900.v4.1 transcript:Potri.004G096900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096900.v4.1 MNSLPFNPLFVKMRPHLLLAMSWFILFITIIFVCGFTLVFSADDERYLICRNLFDCGNIKGIGYPFSGSNRPDYCGYPGFGLNCSNQIPEITITQSTYKVLAINNQSRTINVARTDYRENLCPTLLLNTTLNPSLLNYTTDDHNITIYYGCPAQGPPTSHLLTQFPCSINTTEMTGYFTATDDFSFLGNLASNLIRYLESCDNSVKVQVRQSALEPIIANATVAKLLGALNQGFGLVWNANDTLCDTCNSSGGQCGYDQTTKAFACYCADQPRDFNCLPSPPKKISNPDSLKIGLSIAGTVVGVFLGCWIMTIIQRKRRKAALLKSKDLPIAATPSRKGLATSTNLSQTTPSLTPSKSDIGKGSTYFGVRVFSYNELEEATNCFDSSKELGDGGFGTVYYGVLRDGRVVAVKRLYESNMRRAEQFMNEIEILAHLRHMNLVELYGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTWPFRLSIAIETASALAYLHASDVIHRDVKTNNILLDNDFHVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISALEAVDTNRHRHDINLSIMAVKKIQNHALNELVDPFLGFDKDFVVRKMVTSVAELAFRCLQQQREMRPTMEEVLEILRRIEKENYGAEKADELDIREDDVGLLKHPPPPLQLSPDSMSDQF >Potri.004G096900.7.v4.1 pep chromosome:Pop_tri_v4:4:8342579:8346563:1 gene:Potri.004G096900.v4.1 transcript:Potri.004G096900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096900.v4.1 MNSLPFNPLFVKMRPHLLLAMSWFILFITIIFVCGFTLVFSADDERYLICRNLFDCGNIKGIGYPFSGSNRPDYCGYPGFGLNCSNQIPEITITQSTYKVLAINNQSRTINVARTDYRENLCPTLLLNTTLNPSLLNYTTDDHNITIYYGCPAQGPPTSHLLTQFPCSINTTEMTGYFTATDDFSFLGNLASNLIRYLESCDNSVKVQVRQSALEPIIANATVAKLLGALNQGFGLVWNANDTLCDTCNSSGGQCGYDQTTKAFACYCADQPRDFNCLPSPPSQSTKKISNPDSLKIGLSIAGTVVGVFLGCWIMTIIQRKRRKAALLKSKDLPIAATPSRKGLATSTNLSQTTPSLTPSKSDIGKGSTYFGVRVFSYNELEEATNCFDSSKELGDGGFGTVYYGVLRDGRVVAVKRLYESNMRRAEQFMNEIEILAHLRHMNLVELYGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTWPFRLSIAIETASALAYLHASDVIHRDVKTNNILLDNDFHVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISALEAVDTNRHRHDINLSIMAVKKIQNHALNELVDPFLGFDKDFVVRKMVTSVAELAFRCLQQQREMRPTMEEVLEILRRIEKENYGAEKADELDIREDDVGLLKHPPPPLQLSPDSMSDQF >Potri.004G096900.6.v4.1 pep chromosome:Pop_tri_v4:4:8342579:8346563:1 gene:Potri.004G096900.v4.1 transcript:Potri.004G096900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096900.v4.1 MNSLPFNPLFVKMRPHLLLAMSWFILFITIIFVCGFTLVFSADDERYLICRNLFDCGNIKGIGYPFSGSNRPDYCGYPGFGLNCSNQIPEITITQSTYKVLAINNQSRTINVARTDYRENLCPTLLLNTTLNPSLLNYTTDDHNITIYYGCPAQGPPTSHLLTQFPCSINTTEMTGYFTATDDFSFLGNLASNLIRYLESCDNSVKVQVRQSALEPIIANATVAKLLGALNQGFGLVWNANDTLCDTCNSSGGQCGYDQTTKAFACYCADQPRDFNCLPSPPKKISNPDSLKIGLSIAGTVVGVFLGCWIMTIIQRKRRKAALLKSKDLPIAATPSRKGLATSTNLSQTTPSLTPSKSDIGKGSTYFGVRVFSYNELEEATNCFDSSKELGDGGFGTVYYGVLRDGRVVAVKRLYESNMRRAEQFMNEIEILAHLRHMNLVELYGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTWPFRLSIAIETASALAYLHASDVIHRDVKTNNILLDNDFHVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISALEAVDTNRHRHDINLSIMAVKKIQNHALNELVDPFLGFDKDFVVRKMVTSVAELAFRCLQQQREMRPTMEEVLEILRRIEKENYGAEKADELDIREDDVGLLKHPPPPLQLSPDSMSDQF >Potri.004G096900.2.v4.1 pep chromosome:Pop_tri_v4:4:8342579:8346563:1 gene:Potri.004G096900.v4.1 transcript:Potri.004G096900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096900.v4.1 MNSLPFNPLFVKMRPHLLLAMSWFILFITIIFVCGFTLVFSADDERYLICRNLFDCGNIKGIGYPFSGSNRPDYCGYPGFGLNCSNQIPEITITQSTYKVLAINNQSRTINVARTDYRENLCPTLLLNTTLNPSLLNYTTDDHNITIYYGCPAQGPPTSHLLTQFPCSINTTEMTGYFTATDDFSFLGNLASNLIRYLESCDNSVKVQVRQSALEPIIANATVAKLLGALNQGFGLVWNANDTLCDTCNSSGGQCGYDQTTKAFACYCADQPRDFNCLPSPPSQSTKKISNPDSLKIGLSIAGTVVGVFLGCWIMTIIQRKRRKAALLKSKDLPIAATPSRKGLATSTNLSQTTPSLTPSKSDIGKGSTYFGVRVFSYNELEEATNCFDSSKELGDGGFGTVYYGVLRDGRVVAVKRLYESNMRRAEQFMNEIEILAHLRHMNLVELYGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTWPFRLSIAIETASALAYLHASDVIHRDVKTNNILLDNDFHVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISALEAVDTNRHRHDINLSIMAVKKIQNHALNELVDPFLGFDKDFVVRKMVTSVAELAFRCLQQQREMRPTMEEVLEILRRIEKENYGAEKADELDIREDDVGLLKHPPPPLQLSPDSMSDQF >Potri.006G155200.1.v4.1 pep chromosome:Pop_tri_v4:6:13942764:13945934:1 gene:Potri.006G155200.v4.1 transcript:Potri.006G155200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155200.v4.1 MASPSELSLDCKPHSYSMLLKSYGHQNDETDKLEEFLSRLEEERLKIDAFKRELPLCMQLLTSAVETSRQKLQAYRGNQVPIPVLEEFIPLKTSTSETPEKTSNISDKANWMTTAQLWSQESNETKPQTTLTSPILTDIGFNVSSKLILDTKQRNGGAFLPFSKERNLCPSPTLALAACTDEHLELDHKRFSETENGFSCPKRESSGKKMVVIEQGKGAGNSSSSDGQATNTATIASASTNTSTSQTHRKARRCWSPDLHRRFVNALHMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQKYRLHTRRPSPSPHAAGAPAPHLVVLGSIWVPPEYATAAAAAHSGGAALYGAHPASHAPPPHFCATPPASQDFYTAAAAPPPSLHHHTLHHQLQLFRPTSQVHSSPESDFRGSRDRSESIEDGKSESSSWKGESGENDGGERKGRAGLREEGEESHGSKF >Potri.006G155200.2.v4.1 pep chromosome:Pop_tri_v4:6:13942777:13945879:1 gene:Potri.006G155200.v4.1 transcript:Potri.006G155200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155200.v4.1 MASPSELSLDCKPHSYSMLLKSYGHQNDETDKLEEFLSRLEEERLKIDAFKRELPLCMQLLTSAVETSRQKLQAYRGNQVPIPVLEEFIPLKTSTSETPEKTSNISDKANWMTTAQLWSQESNETKPQTTLTSPILTDIGFNVSSKLILDTKQRNGGAFLPFSKERNLCPSPTLALAACTDEHLELDHKRFSETENGFSCPKRESSGKKMVVIEQGKGAGNSSSSDGQATNTATIASASTNTSTSQTHRKARRCWSPDLHRRFVNALHMLGGSQVATPKQIRELMKVDGLTNDEVKSHLQLIQASGSMHERGFKYRLHTRRPSPSPHAAGAPAPHLVVLGSIWVPPEYATAAAAAHSGGAALYGAHPASHAPPPHFCATPPASQDFYTAAAAPPPSLHHHTLHHQLQLFRPTSQVHSSPESDFRGSRDRSESIEDGKSESSSWKGESGENDGGERKGRAGLREEGEESHGSKF >Potri.016G053200.1.v4.1 pep chromosome:Pop_tri_v4:16:3483176:3484554:-1 gene:Potri.016G053200.v4.1 transcript:Potri.016G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053200.v4.1 MENCRRSPLKPWKKGPTRGKGGPQNAMCEYRGVRQRTWGKWVAEIREPKKRTRLWLGSFATAEEAAMAYDEAARRLYGPDAYLNLPHLQSNFNPLNKSQKLKWIPSKNFISMFPSCGLLNIHAQPSVHVIHQRLEELKNNRPLHQSSVASSSSSSESRNEVMIVSDENHVANLAVAEKDVEISSEKMLLRNHDEKPQIDLNEFLQQLGILKEEKQPDSNDVEECLTVPESSQKYENELAALADKSFNWDSLIEMHGITDHQAAELNSFPVYDVQDEPAFPTSIWNF >Potri.013G073200.3.v4.1 pep chromosome:Pop_tri_v4:13:6038171:6044253:-1 gene:Potri.013G073200.v4.1 transcript:Potri.013G073200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073200.v4.1 MTEQQIMNNNTNSNIPVSEVYWSLVDKADKKFSKIRDLPYYERNRYDTYFYKVFKVYTQLWKFQQENRQKLVEAGLKRWEIGEIASRIAQLYYGQYMRTSDSSYLSESYVFYEAILSREYFKDGLFQDLNLANKQLRFFARFLMVSLVWNRREMVHQLVNLLKILVDECRKAFQETDFKEWKLVVQEISRFLKADTAFMNIRPLRYSLVLDLHPDSLPRVATRRSLKLRDAILSSYHHNEVKFSELTVDTFRMLQCLEWEPSGSFYQSSSAKIGQNGGSGSSRTNHAQDIADPTLPLNSRKAVLYRPSVTHFLAVLGTICEELAPDGVILIYLSASGRVGHTISPPSGAGTSINTTENTVRNFQSHAMYLDAVTISPFSSSSNIPNPSSRQSKSDCLHFGTRGNGGLNSIYPTDLIPFTRRPLFIVIDSDSSEAFKAISGAEKGEPAAIILSPSCSIPLTTADSSRHHSGSLLTMFLTTPLQAFCLLIGLSGPDVEMDTYNKAEKLLSSSLNAWGLTLATSDMLDPVWAQILGDPFLRRLLLRFLFCRAVLTLFAPSSGKKEFHPECMPSLPTSLQPNASACQTVVLQMANIFGATKKFIFLEGIVLPAHSDVEMASSS >Potri.005G087500.4.v4.1 pep chromosome:Pop_tri_v4:5:6096343:6103330:-1 gene:Potri.005G087500.v4.1 transcript:Potri.005G087500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087500.v4.1 MLSSRINTGRASHSNTYGIPTQGELDSSPLSSKIPLLTYGEEDAEISSDRHALIVPPHMSHGNRVHPTSFSDPSIPSQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQNDKLQVVKHEGGYDGGNFEGDELDDPDLPMMDEGRQPLSRKLPIPSSKINPYRMIIILRLVILGIFFHYRILHPVNDAYGLWLTSVICEIWFGVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVSTAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGVRDVEGCELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVLSNAPYLLNVDCDHYINNSRALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRKQALYGYDAPVKKKPPGKTCNCLPKWCYLWCGSRKNKKSKPKKEKKKSKNREASKQIHALENIEGTEESTSEKSSETSQMKLEKKFGQSPVFAVSTLLENGGVPRDASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASIVFIALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTNFTVTSKGADDGEFSELYIFKWTSLLIPPTTLLIMNIVGVVVGVSDAINNGYDSWGPLFGRLFFALWVILHLYPFLKGLLGKQDRMPTIILVWSILLASILTLLWVRVNPFVSRDGPVLELCGLNCD >Potri.005G087500.1.v4.1 pep chromosome:Pop_tri_v4:5:6096076:6104061:-1 gene:Potri.005G087500.v4.1 transcript:Potri.005G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087500.v4.1 MNTGGRLIAGSHNRNEFVLINADENARIKSVKELSGQVCQICGDEIEITVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCKTRYKRLKGSPRVEGDEEEDDTDDLEHEFDYGNLDGLSPEQVAEAMLSSRINTGRASHSNTYGIPTQGELDSSPLSSKIPLLTYGEEDAEISSDRHALIVPPHMSHGNRVHPTSFSDPSIPSQPRPMVPKKDIAVYGYGSVAWKDRMEDWKKRQNDKLQVVKHEGGYDGGNFEGDELDDPDLPMMDEGRQPLSRKLPIPSSKINPYRMIIILRLVILGIFFHYRILHPVNDAYGLWLTSVICEIWFGVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDIFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEALSETSEFARKWVPFCKKFNIEPRAPEWYFSQKIDYLKNKVHPAFVRERRAMKREYEEFKVRINGLVSTAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGVRDVEGCELPRLVYVSREKRPGFEHHKKAGAMNALVRVSAVLSNAPYLLNVDCDHYINNSRALREAMCFMMDPTSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRKQALYGYDAPVKKKPPGKTCNCLPKWCYLWCGSRKNKKSKPKKEKKKSKNREASKQIHALENIEGTEESTSEKSSETSQMKLEKKFGQSPVFAVSTLLENGGVPRDASPASLLREAIQVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSVYCIPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSIPLLVYCTLPAICLLTGKFIVPEISNYASIVFIALFISIAATGILEMQWGGVGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTNFTVTSKGADDGEFSELYIFKWTSLLIPPTTLLIMNIVGVVVGVSDAINNGYDSWGPLFGRLFFALWVILHLYPFLKGLLGKQDRMPTIILVWSILLASILTLLWVRVNPFVSRDGPVLELCGLNCD >Potri.003G175200.3.v4.1 pep chromosome:Pop_tri_v4:3:18240614:18241537:-1 gene:Potri.003G175200.v4.1 transcript:Potri.003G175200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175200.v4.1 MKVLTNIFLVASLAIGIIFMSIYQPEYFYGLEYDVRVINGFKNNSSLPLVIWCSSNNDDLGGRALQEGDDFSWSLKTNFWGTTHFLCTMKWDAMRRKFDAFKVPRDLQRCSLFRKCSWSVREDGFYFSNDEVNWKKDFSWL >Potri.T125007.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:60783:62363:1 gene:Potri.T125007.v4.1 transcript:Potri.T125007.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125007.v4.1 MKPYKSVKDQLLEYYMAQDHTPYTDTVIRVLSLTDPEIESTPLEKEYEDINIVVAIPREENLRVDISEEMEAESSAQGATRNPPSGTTYDRPRQSRAPRYSNTTYQSGPVGGSYQSGKTSGYKKFRVPEEYRPDKSFDFFEVLNVNCMSNEERKLRIEGWHNNMVLIIQTDDHVRDDFSLAHILMLKKSVGLAHKLIEGLNKDEFMIGTCEDFLQNVVNLLYSVFIGINYLTDSANQIRIEQENSRLRLSRLQICDLCELDAFTCDYEEHLFNLPNQE >Potri.016G128800.1.v4.1 pep chromosome:Pop_tri_v4:16:13200980:13202089:-1 gene:Potri.016G128800.v4.1 transcript:Potri.016G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128800.v4.1 MGNYTSCCVVFTCSRKVPKTAKLMDSQGNLTQVSLPVKAAELMLEEPGHAICPLHELKQRSRVIAMRADDELLPGKVYLLATLSKVNCKISASEVAIMESAIAACAKRSSKKRNGAKVLPAMAVEMRELEEGSEGEVNVLEGNDLTSSTSCRLVLNYRQWTPALEPISEDF >Potri.014G091500.2.v4.1 pep chromosome:Pop_tri_v4:14:5947823:5949036:-1 gene:Potri.014G091500.v4.1 transcript:Potri.014G091500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091500.v4.1 MESPETLAIESFSRSWLTSVNPSLQGLETALRASLDSSHEAISEELDYRMQNSRRSLEEDQNFNFYFPISQSPDALLHADQLFSDGLIRPIFINQSKSEASSSLNLAPTVPSSLSSKTVSAVQILCRFLGRWKRILPKCFGYVRPLSHRVRDSRISTRVDNVERIAWEVKSQSNSREASPSRRVAYSLDGCHDSESSIHDAVLHCKRSMHK >Potri.009G056800.1.v4.1 pep chromosome:Pop_tri_v4:9:6002804:6004387:-1 gene:Potri.009G056800.v4.1 transcript:Potri.009G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056800.v4.1 MTQKNNLFKGQQKKKTVPPNRHGKITHIRKGKRFVKPTNFTKEMDADRELSKFINNCNEIKAATAANKEGGQLSIVKPPPEPARAAKKET >Potri.008G131700.3.v4.1 pep chromosome:Pop_tri_v4:8:8666891:8669773:-1 gene:Potri.008G131700.v4.1 transcript:Potri.008G131700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131700.v4.1 MKREHPNLHPQQISDPSTLAAAGYSTSTSAMAPHNGKAKIWEEGEGHQADGGMDELLAVLGYKVRSSDMAEVAQKLEQLEEVMGHAQEDGLSHLASDSVHYNPSDLSTWLESMISELNPNLNFDPSADSLLAPAESSTITSIDFSDHKHHQQQKLFEESSSSDYDLKVIPGKAVFSQTQIDSRESKRLKTDLYQTSSSSSLSSATTLGSFGISTESTRPVVLVDSQENGIRLVHLLMACAEAVQESNFTLAEALVKQIGFLAVSQAGVMRKVATYFAEALARRIYKLCPQNSTDHSLSDILQIHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGMQWPALMQALALRPGGPPAFRLTGIGPPAHDNTDHLQEVGWKLAQLAETIHVEFEYRGFVANSLADLDASMLELRPTESVAVNSVFELHKLLSRPGAIEKVLSVVKQMKPEIVTVVEQEANHNGPIFLDRFTESLHYYSTLFDSLEGSVSTQDKIMSEVYLGKQICNVVACEGPDRVERHETLTQWRTRLGSVGFAPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWRLNTNQPVVGAA >Potri.008G131700.4.v4.1 pep chromosome:Pop_tri_v4:8:8666955:8669773:-1 gene:Potri.008G131700.v4.1 transcript:Potri.008G131700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131700.v4.1 MKREHPNLHPQQISDPSTLAAAGYSTSTSAMAPHNGKAKIWEEGEGHQADGGMDELLAVLGYKVRSSDMAEVAQKLEQLEEVMGHAQEDGLSHLASDSVHYNPSDLSTWLESMISELNPNLNFDPSADSLLAPAESSTITSIDFSDHKHHQQQKLFEESSSSDYDLKVIPGKAVFSQTQIDSRESKRLKTDLYQTSSSSSLSSATTLGSFGISTESTRPVVLVDSQENGIRLVHLLMACAEAVQESNFTLAEALVKQIGFLAVSQAGVMRKVATYFAEALARRIYKLCPQNSTDHSLSDILQIHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGMQWPALMQALALRPGGPPAFRLTGIGPPAHDNTDHLQEVGWKLAQLAETIHVEFEYRGFVANSLADLDASMLELRPTESVAVNSVFELHKLLSRPGAIEKVLSVVKQMKPEIVTVVEQEANHNGPIFLDRFTESLHYYSTLFDSLEGSVSTQDKIMSEVYLGKQICNVVACEGPDRVERHETLTQWRTRLGSVGFAPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWRLNTNQPVVGAA >Potri.012G107500.1.v4.1 pep chromosome:Pop_tri_v4:12:12906859:12910865:1 gene:Potri.012G107500.v4.1 transcript:Potri.012G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107500.v4.1 MEAQNLLSGFFPNYKIPLTRTSKKPFSCSLPSNPSFHSLLQSHNCVSFKTHYSPCFKGSLKKIFFCVNASENAVLEEKDYKRPQVVKRKKLAVFVSGGGSNFKSIHDACFEGLVHGDIVVLVTNKPDCGGAEYAKNKEIPVVLFPRTKDATDGLSPSDLVAALRSLEVDFILLAGYLKLIPAELIRAYPRSILNIHPSLLPAFGGKGYYGMKVHKAVIASGARYSGPTIHFVDEHYDTGRILAQRVVPVLANDTAEELAARVLHEEHQLYVEVTAALCEERLIWREDGVPLIQNRGNPNEYS >Potri.005G004800.1.v4.1 pep chromosome:Pop_tri_v4:5:378415:379135:-1 gene:Potri.005G004800.v4.1 transcript:Potri.005G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004800.v4.1 MPGVERSAKMERTQRMLLILAIFILVLASNVEAGRKLKDKEKADQPQNYLGGFGTSGGFIPSPNGPLFQLGPTGYCSYPGVGCVRIPGTGSIGSPP >Potri.011G046900.1.v4.1 pep chromosome:Pop_tri_v4:11:3723006:3729016:1 gene:Potri.011G046900.v4.1 transcript:Potri.011G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046900.v4.1 MVTMNSSTLLDYALFQLTPTRTRCDLVLFYGGKNEKLASGLFEPFISHLEYIKDQISKGGYSIKLCPPTKNAPWFTKGTFERFVRFVSTPAVLERFISLEREILQIEESSVQANELSNTNVAGQLEEGSGPAANRITRKSSDSSKLKGELEKSDHAVPEENSKIQFQRLLEARKTLLRKEQAMAYARGLVAGFEVDNINDLISFADVFGASRLREACNNFKELCKKKHGDGLWMEELAAMEACPPSELSFLGTSGIVLANEISALNQNVMLNLANNGVSTGDSVPKGSSDASRSDSTADSKKDGSMATSDQIPSTNAKVQVPMQWPYMYNFQGPVPQFPPYQGYPFPTMQPIPPHYPRNMQWPSSVKELSPGKKDKSMNKKGYEYSGEERQTESSDSDVNDSDSHTDQEKKRSSTDVHYKKKHRKKSSKTVVIRNINYITPKRRNGGSGSFSDETSTDEDDFIDEDTIKQKVDDAVGPLEKLHKSNSSTHRRKGLNKSNHKSNESSDSPNQDFADGLVSNTSKVGRTNENWDTFQSLLIKDDCTVNGVEKLQPVDVREEHFIIRSAGDGTSSGINPAMELGPEKVLNKRMAAGDSFVVTQRDGEHEDRVRPEDIENAEGFRPIMKRRDSSDEDLLISRRLEESSGLGGILSRTSRTSIIKPGKGDDWFVINHSGKPESQDAANCMLSLEGDSSNAKPSRKDVLVDDSFMVHARSTADDPYDSQWKTDIRMAADLTLSSQPENGTADHNHEVLDAYEPNDLCAVLERHSGFESTRESWDTDRGIDISFMEAQRSPNVESGDQIEKKLPSNTDKTAIKKNGIIGRKVPEVRSKIVQGYLGKSKTEMTSKGKKSSLASKPAIQKSKQEKEEETRKKMEELVIQRQKRIAERTAAAAGALAATKRVSLESKTVKGSPKSDKRNHSYTPERQTR >Potri.013G155800.1.v4.1 pep chromosome:Pop_tri_v4:13:15087430:15088181:1 gene:Potri.013G155800.v4.1 transcript:Potri.013G155800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155800.v4.1 MCPLRLILIFLSATLAGFFVIRNLKSPPFSTTTDSGDDDCSITTDSDCNAPQPSDYSSSSRFSKVKSGIEMGFWTLVDMASGKYLWRQLGYSSKRES >Potri.003G053800.1.v4.1 pep chromosome:Pop_tri_v4:3:7892157:7898682:-1 gene:Potri.003G053800.v4.1 transcript:Potri.003G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053800.v4.1 MNNGMGRQRSHHQRQYSSSDNSNGMFSENGRWLQSAGLQHLQNNNSSSSSLPPLQDYNFYGGGGGGVGGQALRMYRNAQSSFNRGNEFYSEPTTPPVSSRPSSQRKNGEDSSNEFSPGLLDLHSFDTELLPELQVPGLYDGSSLFHPVRGRSFDDSDPYISSNKQTGRAPGLPDNILLKSFAVDKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIDTHSNSMTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRVKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRSQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVETIKDLIEKGNASRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNTSKKDVLSSTLNLRESTTMPLSSVLPVASAYEDDANDAWAEQDERDDFDASEEESYEQEKAIWKENGKLEPYNLSISEDKIQKPNGQTKWRDMPKSNLKNSHSDNDLNALLQEEEDLVNAHRKQVEETMNIVREEMNLLVEADQPGNQLDGYVTRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSGY >Potri.003G053800.2.v4.1 pep chromosome:Pop_tri_v4:3:7892171:7898682:-1 gene:Potri.003G053800.v4.1 transcript:Potri.003G053800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053800.v4.1 MNNGMGRQRSHHQRQYSSSDNSNGMFSENGRWLQSAGLQHLQNNNSSSSSLPPLQDYNFYGGGGGGVGGQALRMYRNAQSSFNRGNEFYSEPTTPPVSSRPSSQRKNGEDSSNEFSPGLLDLHSFDTELLPEVPGLYDGSSLFHPVRGRSFDDSDPYISSNKQTGRAPGLPDNILLKSFAVDKEKTSSVAKIKVVVRKRPLNKKELAKNEEDIIDTHSNSMTVHETKLKVDLTEYVEKHEFVFDAVLNEEVSNDEVYRETVEPIVPIIFQRVKATCFAYGQTGSGKTYTMKPLPLKASRDILRLMHHTYRSQGFQLFVSFFEIYGGKLFDLLSDRKKLCMREDGKQQVCIVGLQEYKVSDVETIKDLIEKGNASRSTGTTGANEESSRSHAILQLAIKRSVDGNESKPPRLVGKLSFIDLAGSERGADTTDNDKQTRMEGAEINKSLLALKECIRALDNDKSHIPFRGSKLTEVLRDSFVGNSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNTSKKDVLSSTLNLRESTTMPLSSVLPVASAYEDDANDAWAEQDERDDFDASEEESYEQEKAIWKENGKLEPYNLSISEDKIQKPNGQTKWRDMPKSNLKNSHSDNDLNALLQEEEDLVNAHRKQVEETMNIVREEMNLLVEADQPGNQLDGYVTRLNAILSQKAAGILQLQNRLAHFQKRLKEHNVLVSSGY >Potri.007G061681.1.v4.1 pep chromosome:Pop_tri_v4:7:6895338:6895718:-1 gene:Potri.007G061681.v4.1 transcript:Potri.007G061681.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061681.v4.1 MLLTLHIGPVVIARLSYFLEYRLYFSIRYESYEIIVLFLHHRRSWDGKGQRAKVLALEEEVINTMQQVLGAVECRKNMDSQIHTFIQKSTKARESVEKCEQMKWRGIRSGWMLRLRINLKNQMEKL >Potri.003G128200.1.v4.1 pep chromosome:Pop_tri_v4:3:14709763:14713510:-1 gene:Potri.003G128200.v4.1 transcript:Potri.003G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128200.v4.1 MDPKAVKSDLVLILDYGSQYTHLITRRIRSLNIFSLCISGTSSLETITSHNPKVVILSGGPHSVHSANSPTFPSGFVEWAQKGGIFVLGVCYGLQLIVQRLGGQVDVGQRQEYGRMEIEVEKNLGVFGNKKVGDKQVVWMSHGDETVKLPYGFEVVARSQQGAVAAVENREMRFFGLQYHPEVTHSPEGMDTLRYFLFDVCGVSPGWNMENVLDEEIRVINDAVGPEEHVICALSGGVDSTVAATLVHKAIGDRLHCIFVDNGLLRYKERERVAETFESDLHLPVTCVDASNEFLSKLKGVVDPEMKRKIIGKEFISIFDAFAHELEQKLGKKPAYLVQGTLYPDVIESCPPPGSGRTHSHTIKSHHNVGGLPKDMKLKLIEPLKLLFKDEVRQLGRILNVPDAFLKRHPFPGPGLAVRVLGDVTEGNALDILRQVDEIFIQSIKDAGLYDSIWQAFAVFLPVRSVGVQGDQRTHSHVVALRAVTSQDGMTADWYYFEHKFLDDVARKICNSVRGVNRVVQDITSKPPSTIEWE >Potri.002G239000.1.v4.1 pep chromosome:Pop_tri_v4:2:23169019:23169656:1 gene:Potri.002G239000.v4.1 transcript:Potri.002G239000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239000.v4.1 MATSSLHRLSSQINRIPSLSPFTKSILTRSSATTTSAAKVADRIVKLSAIDPDGQKREIVGLSGHTLLKALTNNGLIDPASHRLEEIEACSAECEVNIAQEWLEKLPPRSYDEEYVLKRNSRARVLNKHSRLGCQVVLTKDLQGMVVAVPEPKPWDIP >Potri.014G150900.1.v4.1 pep chromosome:Pop_tri_v4:14:10460328:10461881:-1 gene:Potri.014G150900.v4.1 transcript:Potri.014G150900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150900.v4.1 MELTELSLAPAQFVLEKSRNSSSSSESENTPSRKRKFFSDQYQLLKNGPPIQASVDLHVKDPLPLDWEQCLDLESGRMYYLNRKTLRKSWNWPKKQKLDLELNMSSTIPNCPDECSSSNSSLEDSNNKNHASSNSNMVALACLNCHLLVIFSKSSPYCPNCKYVHSFPTLQSPQRKVSPTRSLSTLSLLN >Potri.006G149600.1.v4.1 pep chromosome:Pop_tri_v4:6:12991955:12993996:1 gene:Potri.006G149600.v4.1 transcript:Potri.006G149600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149600.v4.1 MAIEIEQPSVGLSKVAVSETHGEDSPYFAGWKAYNEDPYDESSNPSGVIQMGLAENQVSFDLLEEYLEQHSEASSWGKGSPGFRENALFQDYHGLESFRQAMAGFMEQIRGGRAKFDPDRVVLTAGATAANELLTFILANPGDALLVPTPYYPGFDRDLRWRTGAKIVPIHCDISNNFQVTTQALEAAYEGAVAMNINVRGVLITNPSNPLGVTIQRSVLEEILDFSTRKNIHLVSDEIYSGSTFSSSEFISIAEILEARGYKDSERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQHLLASMLSNKKFTENYIKENRERLRKRYEMIIQGLRSAGIECLKGNAGLFCWMNLSPLLETPTREGEVALWKSILHEVKLNISPGSSCHCSEPGWFRVCFANMSEETLEVALKRIHNFMEQRKTASS >Potri.006G073800.2.v4.1 pep chromosome:Pop_tri_v4:6:5389601:5394018:-1 gene:Potri.006G073800.v4.1 transcript:Potri.006G073800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073800.v4.1 MRSGACTVQQTLTAEAASALKHSLSLARRRGHAQVTPLHVAATLLSSRTSLLRRACLKSQPHQTSHPLQCRALELCFNVALNRLPTTPVPLLHGQPSLSNALIAALKRAQAHQRRGCIEQQQQQQQPLLTIKVELEQLILSILDDPSVSRVMREAGFSSTSVKNNIEDSSASSVFQCYSTSGGVFSSPCSPSATETQKEVINPTTFWQTHFLNYTSEKNPVLLSPQKKVSSNYFTDSGSVKEDIKLVLEVLLRKNVVIVGDCVPVTEGLIAELMGRLERGEVPMELKQTRIIEFQFAPVSLRFMKKEDVKMNLSELKRKVDSLGESGAIIYTGDLKWAVEETVGNGEVSGYSPVDHLVTEIGRLLSEYSSSNTKVWLMATASYQTYMKCQMRRPSIEIQWALQAVSVPSGGLGLSLHASSISNNPSHVLETKPFINNGKEEQDKFTCCQECTSNYEKEVQLLKSGQQKHLLPWLQPQGTNSNQKLNFQDELVELRRKWNRLCHSLHHQGRQSNLNSTLFNNQSMLGKNYSFASSYPWWPSQNSFFPDSNSISFADSALKPNYSSSNVPKFRRQQSCHIEFNFVNGFQKNEPEEPNLDSLKNSEGKEVKITLALGNSLFSDIGKLEKGRSDHLCKLLKENVPWQSEIIPSIVDAMVESRSTEKDTWLLIQGNDTLGKRRLALAISESVLGSADLLLHLNMRKRDNEVTSYSEMLARTLRNQEKLAVFVEDVDLADIQFLKFLADGFETERFGESSNKREGNGSQVIFILSKGDSTVYEDRKMDDSVIKMTLKVNETRTASFGTPNVDHKRKAEWEISSKANSPRVNEKENAYWFPDENGNKKKNFSRQSSFNTLDLNLKADEDDESKGRPGEFSPISSDLTRETSSDHLSPKGLLDMIKNRFVFDRNQGRDREMKGVLSSKIKRNFDEVFGDQNGVYFSIEERVLGEVLEGSGTFVNSQFEKWLKDIFQTSLKTVKLGGKEEGIGVRLCFGFTSDRVFEDGFMGTCLPKKIQVSFTD >Potri.006G073800.1.v4.1 pep chromosome:Pop_tri_v4:6:5389598:5394144:-1 gene:Potri.006G073800.v4.1 transcript:Potri.006G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073800.v4.1 MRSGACTVQQTLTAEAASALKHSLSLARRRGHAQVTPLHVAATLLSSRTSLLRRACLKSQPHQTSHPLQCRALELCFNVALNRLPTTPVPLLHGQPSLSNALIAALKRAQAHQRRGCIEQQQQQQQPLLTIKVELEQLILSILDDPSVSRVMREAGFSSTSVKNNIEDSSASSVFQCYSTSGGVFSSPCSPSATETQKEVINPTTFWQTHFLNYTSEKNPVLLSPQKKVSSNYFTDSGSVKEDIKLVLEVLLRKNVVIVGDCVPVTEGLIAELMGRLERGEVPMELKQTRIIEFQFAPVSLRFMKKEDVKMNLSELKRKVDSLGESGAIIYTGDLKWAVEETVGNGEVSGYSPVDHLVTEIGRLLSEYSSSNTKVWLMATASYQTYMKCQMRRPSIEIQWALQAVSVPSGGLGLSLHASSISNNPSHVLETKPFINNGKEEQDKFTCCQECTSNYEKEVQLLKSGQQKHLLPWLQPQGTNSNQKDELVELRRKWNRLCHSLHHQGRQSNLNSTLFNNQSMLGKNYSFASSYPWWPSQNSFFPDSNSISFADSALKPNYSSSNVPKFRRQQSCHIEFNFVNGFQKNEPEEPNLDSLKNSEGKEVKITLALGNSLFSDIGKLEKGRSDHLCKLLKENVPWQSEIIPSIVDAMVESRSTEKDTWLLIQGNDTLGKRRLALAISESVLGSADLLLHLNMRKRDNEVTSYSEMLARTLRNQEKLAVFVEDVDLADIQFLKFLADGFETERFGESSNKREGNGSQVIFILSKGDSTVYEDRKMDDSVIKMTLKVNETRTASFGTPNVDHKRKAEWEISSKANSPRVNEKENAYWFPDENGNKKKNFSRQSSFNTLDLNLKADEDDESKGRPGEFSPISSDLTRETSSDHLSPKGLLDMIKNRFVFDRNQGRDREMKGVLSSKIKRNFDEVFGDQNGVYFSIEERVLGEVLEGSGTFVNSQFEKWLKDIFQTSLKTVKLGGKEEGIGVRLCFGFTSDRVFEDGFMGTCLPKKIQVSFTD >Potri.004G164600.1.v4.1 pep chromosome:Pop_tri_v4:4:18422821:18423117:-1 gene:Potri.004G164600.v4.1 transcript:Potri.004G164600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164600.v4.1 MAIRLPIAPAKQSLPRSVSGAYKAASRSLDVPKGFLAVYVGEPEKKRFVVPTSYLKQPSFQDLLHGAEEEFGFDHPMGGLTIPRAEDTFLDVTTSLSR >Potri.001G041500.1.v4.1 pep chromosome:Pop_tri_v4:1:3015935:3020589:-1 gene:Potri.001G041500.v4.1 transcript:Potri.001G041500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041500.v4.1 MGKTSKQSLKDTANTSTNPTNKVKRTRKTVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNSWNESQNKKGRQVYLGAYDDEEAAAHAYDLAALKYWGQDTILNFPWSTYKEELKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGINAVTNFDLSRYIKWLRPNNHNNVNNPQQNPNCDANPIQNLDQKIELDFMPHQQSSNVNGTEELTDPARSGGTGGSASSALGLLLQSSKFKEILERTSAADCPLTPLPPLTPPESDRDPPRRSFPDDIQTVFDCQDSSSYTDDDDINIFGELNPFASPIFHYELDGQEMVFGPNKSNILTT >Potri.004G206625.1.v4.1 pep chromosome:Pop_tri_v4:4:21404860:21406025:1 gene:Potri.004G206625.v4.1 transcript:Potri.004G206625.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206625.v4.1 MPVLSGGSSFSGHWTKTISRIILVSVCAALLFSPSLCVLFFCCSGFMLCFFLCYLLSFICSLRPVSPQGSALFFSPFREVAFAQLL >Potri.002G122300.1.v4.1 pep chromosome:Pop_tri_v4:2:9255728:9256580:1 gene:Potri.002G122300.v4.1 transcript:Potri.002G122300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122300.v4.1 MKRPLDTDPGNQNKIDIKMKKKRYQEDENKEEIESAVNTGSGFMNLDENLLFEVLKHVDARTLGSAACVSKQWHRTVQDERLWELVCTKHWGNMGCGNQQMRSVVLALGGFRRLHSQYLWPLSKPQSNSAGSASTSSSAWNPFSPMIGNKPPARWGKDEVHLSLSLLSIRYYEKMNFSNRGR >Potri.001G130100.4.v4.1 pep chromosome:Pop_tri_v4:1:10641861:10646569:1 gene:Potri.001G130100.v4.1 transcript:Potri.001G130100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130100.v4.1 MAGQGGDAAKPKQDQEEKPREDKLLSSYLGLSFSLFLAILPPNSISLIPNLQTQIRNLSIRLLQAEEQLKQMKSRRKEDSKANARVVEIFASHRNAWQAEEKRLLQQIDFASEEVSSLRAKFEDFEREKEEWQGKIQDLEREVGEREEMIGFMSRNAVAGGSEFVGGEEESGRCGSGECYGGEEEEEENANFVYGQQYYKHLLHQQQQGSDGGFSSDLLASASKFWSETASTLWQDVQYDSHESLYHMKHFVARESPWKVDGDSTGVSSKLKLLEEELLNLEKVGKTDISKVPSLMRKQAKRYQALAGKIDDLCGRMQASDPCEPTLGPEFRTQRQTEFLLEAFRLKERASETGQKLLALQNEISKSYSGDEVGSQAKLTTRRSFEAIRNNLKEVQRNLEIWLARIIGDLKGILARDGASCQREYYISRYPFVQ >Potri.001G130100.5.v4.1 pep chromosome:Pop_tri_v4:1:10641827:10646648:1 gene:Potri.001G130100.v4.1 transcript:Potri.001G130100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130100.v4.1 MAGQGGDAAKPKQDQEEKPREDKLLSSYLGLSFSLFLAILPPNSISLIPNLQTQIRNLSIRLLQAEEQLKQMKSRRKEDSKANARVVEIFASHRNAWQAEEKRLLQQIDFASEEVSSLRAKFEDFEREKEEWQGKIQDLEREVGEREEMIGFMSRNAVAGGSEFVGGEEESGRCGSGECYGGEEEEEENANFVYGQQYYKHLLHQQQQGSDGGFSSDLLASASKFWSETASTLWQDVQYDSHESLYHMKHFVARRESPWKVDGDSTGVSSKLKLLEEELLNLEKVGKTDISKVPSLMRKQAKRYQALAGKIDDLCGRMVHGHKEIIYSYLRTKIQASDPCEPTLGPEFRTQRQTEFLLEAFRLKERASETGQKLLALQNEISKSYSGDEVGSQAKLTTRRSFEAIRNNLKEVQRNLEIWLARIIGDLKGILARDGASCQREYYISRYPFVQ >Potri.001G130100.1.v4.1 pep chromosome:Pop_tri_v4:1:10641950:10646644:1 gene:Potri.001G130100.v4.1 transcript:Potri.001G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130100.v4.1 MAGQGGDAAKPKQDQEEKPREDKLLSSYLGLSFSLFLAILPPNSISLIPNLQTQIRNLSIRLLQAEEQLKQMKSRRKEDSKANARVVEIFASHRNAWQAEEKRLLQQIDFASEEVSSLRAKFEDFEREKEEWQGKIQDLEREVGEREEMIGFMSRNAVAGGSEFVGGEEESGRCGSGECYGGEEEEEENANFVYGQQYYKHLLHQQQQGSDGGFSSDLLASASKFWSETASTLWQDVQYDSHESLYHMKHFVARRESPWKVDGDSTGVSSKLKLLEEELLNLEKVGKTDISKVPSLMRKQAKRYQALAGKIDDLCGRMQASDPCEPTLGPEFRTQRQTEFLLEAFRLKERASETGQKLLALQNEISKSYSGDEVGSQAKLTTRRSFEAIRNNLKEVQRNLEIWLARIIGDLKGILARDGASCQREYYISRYPFVQ >Potri.001G253500.1.v4.1 pep chromosome:Pop_tri_v4:1:26991221:26996235:1 gene:Potri.001G253500.v4.1 transcript:Potri.001G253500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253500.v4.1 MVLAQLGGSISRAIQQMSNATIIDEKALNDCLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRRIIQQAIFNELCKILDPGKSSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYTESDPVKIAEEGVETFKKENCDLIIVDTSGRHKQEAALLEEMRQVSEATKPDLIIFVMDSSIGQAAFDQAQAFKQMVAVGAVIITKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNLLKMGPIGQVFSMLPGFSAELMPKGHEKESQAKIKRYMTMMDSMTNEELDSSNPKLLNDSRMMRIARGAGRPIRDVMEMLEEYKRLAKVWSKMKGLKIPKKGEMSSLSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSAKDMMGMFGGGDK >Potri.001G335000.5.v4.1 pep chromosome:Pop_tri_v4:1:34342563:34348851:1 gene:Potri.001G335000.v4.1 transcript:Potri.001G335000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335000.v4.1 MMAKKGKFSGRYHRSRFHTAGEIEGSASSGRIDTEITVSEGSSAPGRKFSKLNSNKEDTFGVPVQIFSPSHLSPSERKDLEQRLRWELEQVRNLQKRVDLQRTNGVTLSSSSDILSCSNGTNRPRIETFRKSSVMTSGPGKKVNLTGQSRVWNRGNSGRFKSAKQVSRQTTPITPNIILMKQCETLLKRLMSHQYGWVFNSPVDIVKLNIPDYYTVIKNPMDLGTIKSKISSGAYSSPLEFMADVRLTFKNAMVYNPQGSDAYIMADTLNKFFEMRWKAIEKKLPRAGGEVLQENSGPHEDFETAETSPAKKRKVTSFQHDIMPEPGKRGMTDEERLNLGRELESLLGEMPVNIIDFLREHCSSGRHGGEEEIEIDIDELSDDTLFTLRKLLDDYLQEKRKNQTRGEPCEIELLNESGPSNSSMQQKKGNDLGDEEIDIGGNGPPVSSYQPVEIEKEKDTGHKSSKISSDSSSDSDSGSSSQSESDNAKVSSPPNASRVSETLVCGARLGNKTNAGAQLERNQSVSGLDQLEQTSQEKLSSVESDCQQDGESAPSDSQVSLEKRIRHALIKNRFADTILKAKEKSLSQGDKGDPQKLQREREELELHKKKEKARLLAEAQAAEDAQRQAEAAAAAEARRKRELEREAARQALLKMEKTVEINENSQFLEDLEMLRVVPAEHVPISVDETSPDPSQDGLGGFKFGACNPLEQLGLFMKDDEEEEEGEPLNVLNPLNEVEEGEID >Potri.001G335000.1.v4.1 pep chromosome:Pop_tri_v4:1:34343360:34348901:1 gene:Potri.001G335000.v4.1 transcript:Potri.001G335000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335000.v4.1 MMAKKGKFSGRYHRSRFHTAGEIEGSASSGRIDTEITVSEGSSAPGRKFSKLNSNKEDTFGVPVQIFSPSHLSPSERKDLEQRLRWELEQVRNLQKRVDLQRTNGVTLSSSSDILSCSNGTNRPRIETFRKSSVMTSGPGKKVNLTGQSRVWNRGNSGRFKSAKQVSRQTTPITPNIILMKQCETLLKRLMSHQYGWVFNSPVDIVKLNIPDYYTVIKNPMDLGTIKSKISSGAYSSPLEFMADVRLTFKNAMVYNPQGSDAYIMADTLNKFFEMRWKAIEKKLPRAGGEVLQENSGPHEDFETAETSPAKKRKVTSFQHDIMPEPGKRGMTDEERLNLGRELESLLGEMPVNIIDFLREHCSSGRHGGEEEIEIDIDELSDDTLFTLRKLLDDYLQEKRKNQTRGEPCEIELLNESGPSNSSMQQKKGNDLGDEEIDIGGNGPPVSSYQPVEIEKEKDTGHKSSKISSDSSSDSDSGSSSQSESDNAKVSSPPNASRVSETLVCGARLGNKTNAGAQLERNQSVSGLDQLEQTSQEKLSSVESDCQQDGESAPSDSQVSLEKRIRHALIKNRFADTILKAKEKSLSQGDKGDPQKLQREREELELHKKKEKARLLAEAQAAEDAQRQAEAAAAAEARRKRELEREAARQALLKMEKTVEINENSQFLEDLEMLRVVPAEHVPISVDETSPDPSQDGLGGFKFGACNPLEQLGLFMKDDEEEEEGEPLNVLNPLNEVEEGEID >Potri.006G180500.1.v4.1 pep chromosome:Pop_tri_v4:6:18704657:18708221:1 gene:Potri.006G180500.v4.1 transcript:Potri.006G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180500.v4.1 MNSGKLKGQRGTTSSSSSSLDKRGAVKLEIVEDPLEEEYGPLHKRSKASQTIQQWGAGANAIPITAGQYNPLDEPSPLGLQLRKSPSLLDLIQMRLTQGNASVLGTQETENLNLGFKKESKTAAASSSIDKLKASNFPASILRIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDNGPGTLNVVLARQPLFFRETNPQPRKHTLWQATADFTDGQASIHKQHFLQCPQGLLNKHFEKLIQCDMRLNFLSRQPEINLNSPYFEQRPPVFEDLDDSKCQDFNQVESAKVSVVSGFHHLASPSAAQSSSLEIDKEDPSASTSDHMSREAPSPSSVMDTRAIEGSGICEAVDSRAPRNWDQIKVPGLRHSMSMTDLMNHIGNCISEQMTSGNQLFSANESECRDILEDIAQCLLSDTQQTTSSDEKRIMARVNSLCCLLQKDPASTQNLEVNGESFFEESNKGKGLQLNCTNESLHENKFRGDIRGSEGSSIKDVSGSKQVLGMSRKDSFGDLLLHLPQIASLPKLLFNISEEDGDNQDI >Potri.006G180500.3.v4.1 pep chromosome:Pop_tri_v4:6:18704661:18708222:1 gene:Potri.006G180500.v4.1 transcript:Potri.006G180500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180500.v4.1 MNSGKLKGQRGTTSSSSSSLDKRGAVKLEIVEDPLEEEYGPLHKRSKASQTIQQWGAGANAIPITAGQYNPLDEPSPLGLQLRKSPSLLDLIQMRLTQGNASVLGTQETENLNLGFKKESKTAAASSSIDKLKASNFPASILRIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDNGPGTLNVVLARQPLFFRETNPQPRKHTLWQATADFTDGQASIHKQHFLQCPQGLLNKHFEKLIQCDMRLNFLSRQPEINLNSPYFEQRPPVFEDLDDSKCQDFNQVESAKVSVVSGFHHLASPSAAQSSSLEIDKEDPSASTSDHMSREAPSPSSGIMMS >Potri.002G020400.1.v4.1 pep chromosome:Pop_tri_v4:2:1255329:1257157:-1 gene:Potri.002G020400.v4.1 transcript:Potri.002G020400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020400.v4.1 MDRIFTSSALHITLILLTICKGLSGATFTFINRCGYTVWPGILSNAGSTPLESTGFELPQGGSRSFQAPPNWSGRFWGRTGCTFDPNTGQGTCLTGDCSSNQIECNGKNANPPATLAEFTVGSGVLDFYDVSLVDGYNLPMIVEPNGGSGSCLSTGCVTDMNQQCPTELRADSGQACKSACEAFGSPEYCCSGAYGSPDTCKPSVYSEMFKAACPRSYSYAYDDATSTFTCTGADYVITFCPSLTSQKSARGNSPPASTTNGSETVSGDGPVGMDTSWLPNFLSGDSPNALSCSVWQFTLILSTISCLLLSLVH >Potri.002G134900.1.v4.1 pep chromosome:Pop_tri_v4:2:10150842:10156714:-1 gene:Potri.002G134900.v4.1 transcript:Potri.002G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134900.v4.1 MSRACCLSQLLQIPSTKILPNTSKFSKGLYVKAGATKYPNLCFYRNVQTVTYAIVDGSYVPTTTQTHQPDKTKENESTKLESVGAFQKLPMVMPSVDILYSALRKAKRVPPTKGIANIAKRERNRGAKQLDALMKELAVPLREYMENFPKKKYLHPYERSLIELTLGDGNYEEVLRKVDALRKKVVGVGKECASLCAKSSTKRDAGERLNEGLEKLEEVFKCEGKAVDDLLNIAKTLRAMPVVDLETPTLCLVGAPNVGKSSLVRVLSTGKPEVCNYPFTTRGILMGHIALNFQHFQVTDTPGLLKRCDEDRNNLEKLTLAVLSHLPTAILYVHDLTGECGTSPSDQFVIYKEIKQRFSNHLWLDVVSKCDILQEAPVIFIMEDSDTDNPELERYRKKGPEGAILVSVKDGKGLDELKVQVHELLVTQMDRIRSSKIDEDNPELVT >Potri.002G146750.1.v4.1 pep chromosome:Pop_tri_v4:2:11047843:11051269:1 gene:Potri.002G146750.v4.1 transcript:Potri.002G146750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146750.v4.1 MLKVHFTQLYIELFLPCFRIAQNNWLLYSLILKSKMQTSCFVHLFSPGRFGDLKPPLHRLCARRCLSFLLARVKLTHTVLRFLVRLLPLFSSGKREQQNRSIENLRCSKKFRDARFCVDNFRLRDLDFVCSVYVYQKLKER >Potri.005G164200.2.v4.1 pep chromosome:Pop_tri_v4:5:16296499:16299057:1 gene:Potri.005G164200.v4.1 transcript:Potri.005G164200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164200.v4.1 MMQTRVWQTRILVSLMIYGSLMLMCATAASSNTVSICPIESVTDSIFGFRDRNCVVSGVDESPFFPGFTEGDEVSLQKALNLVQKNSHEYVALLFYASWCPFSRTFRPSFSILSSLYPSIPHFAIEESSIRPSILSKYGVHGFPTLFLFNSTMRVCYHGSRTLGSLIAFYSDVTGIKTASLDKGSLDKIGRASHHEKHDAPEQESCPFSWARSPENLFREETYLALATTFVLLRLFYWTFPTMLAFAQFTWRRHMQNMRLESLLEHPRAYLNRAIQLFNSLNEPCKKSNLQEGAMNARAWASKSLATVSIGDASTSRGAPVCECR >Potri.018G145544.1.v4.1 pep chromosome:Pop_tri_v4:18:15327397:15327889:1 gene:Potri.018G145544.v4.1 transcript:Potri.018G145544.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145544.v4.1 LITTVIAILSSTSKSIRFELESGNTKCIAEDIKSNSMTVGKYSIVNPHDGQPLPDSYKLTVRVTSSYGNNYHHSELVESGQFALTVSDAGDYMACFWAADHKPAVTLNIDFDWKTGVAAKDWTNVAKKGSVDVSQLAL >Potri.003G167700.2.v4.1 pep chromosome:Pop_tri_v4:3:17678121:17682323:1 gene:Potri.003G167700.v4.1 transcript:Potri.003G167700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167700.v4.1 MAASFTTLTNVGSLSTPQNTMLQVKSVRSTQCNAVRFPTRNVLPSNVSSSSSSFFFSGPLRFASFTTSSPKKSRVLSSVRASAEVAELQSKVTNKVYFDIGIGNPVGRLVGRVVIGLYGDDVPQTVENFRALCTGEKGFGYKNSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHIGPGILSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVKLIESQETDRGDRPTKRVVVLESGELPMNEA >Potri.001G235300.1.v4.1 pep chromosome:Pop_tri_v4:1:25385900:25387179:1 gene:Potri.001G235300.v4.1 transcript:Potri.001G235300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235300.v4.1 MPITSIAFGSPAEAGQPDALRAALAEFISMLIFVFAGEGSGMAFNKLTDNGSSTPAGLVAASLAHAFALFVAVSVGANISGGHVNPAVTFGAFIGGHITFIRSLLYWVAQCLGSVVACLLLKLATGGQETSAFALSSGVGAWNAVVFEIVMTFGLVYTVYATAVDPKKGDIGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWDSHWVYWLGPFVGSAIAAIVYEVIFINPSTHEQLPSTDF >Potri.005G029900.2.v4.1 pep chromosome:Pop_tri_v4:5:1932711:1933929:1 gene:Potri.005G029900.v4.1 transcript:Potri.005G029900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029900.v4.1 MQAGPYDSMKDISLKILQSSVSTVPIIHSASQDGSFPQLLYLASLSGILKCISRYFRHSAGSFPILQQPICNSLVKRLPQATTFLFYLDITALAKDKAYAQIHLDEISIHQALQLGQNANSSNAFYNGQRCQMCLRTDSLHKVMERLANPGVRRLLIVEAGSKRVEGVISLSDVFRFLLGI >Potri.004G028300.1.v4.1 pep chromosome:Pop_tri_v4:4:2153470:2157309:-1 gene:Potri.004G028300.v4.1 transcript:Potri.004G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028300.v4.1 MIAKKRFLHSMFLLTLQFTSCTYMDAIKTNQTVKDGSLVISKENNFALGFFSLGNSSFRYLGIWYHKVPEQTVVWVANRGHPINGSSGFLSINQYGNLVLYGDSDRTVPVWSANCSVGYTCEAQLLDSGNLVLVQTTSKGVVWQSFDYPTDTMLAGMKLGLNRKTGQELFLTSWRSADDPATGDFSFKLFPSSLPQFFLYRGTKRYWRTASWPWRGQWQLYKESFVNIQDEVYFVYTPIDDSIILRIMVDHTGFLKVVTWHVSDHKWKEFWAAPKHQCDWYGKCGAYSTCEPVDITRYECACLPGYELKDARNWYLRDGSGGCVSKGLESSSVCDPGEGFVKVDKVLLPDSSFAVWVNTSMSRANCEKQCQMNCSCSAYAIVDAPGIAKGCITWHGELMDTTYDRNDRYDLYVRVDALELAENARKSKGSHEKGLLTVLIPAVLSTSLIISVLGYLWLRKRGEKETWVANELRRSGNDVDLDFFKLSTLSAATKNFSPDNKLGEGGFGSVYKGQLPNGEEIAVKRLSKNSGQGIEEFTNEVKVIGKLQHRNLVKLVGCCIQGGEPMLIYEYLPNKSLDSFLFDETRELFLDWSTRFVIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDAEMTPKISDFGMARIFGRDQIQDETRRVMGTFGYMSPEYAAFGKISVKSDVFSFGVMLLEIVSGKRNNRYNLQDSSLTLIGHVWELWREERALEIVDSSLQELYHPQEVLKCIQIGLLCVQENAMDRPSMLAVVFMLSSSEAAIPSPKEPAFIFREICSKPHTLIEVQEDDDGGGGGVELAINKHG >Potri.011G097800.1.v4.1 pep chromosome:Pop_tri_v4:11:12601494:12603630:-1 gene:Potri.011G097800.v4.1 transcript:Potri.011G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097800.v4.1 MLHLQCHNFHPLSPQIFPLSHHHTNHHLDPLKFLYKPPIFTPFILSALPRSRPDPPLWLAQLPEQAPEPEEEGPIEILRSSPSIFATSDDPSSIQVATSVLLTGAISVFLFRSLRRRAKRSKELKFRSSGAKKTLKEEALDSLKTFGSAPIDVKKPPSPVQAFLGAISAGVIALILYKFSTTIEASLNRQTVSDNFSVRQITITVRTIVNGLCYLATFVFGINSVGLFLYSAQLAFNSLMEAGTDEVTESTTSGQSGSSNSTSDSATDGAELTSSQGDQNPDNIQQ >Potri.009G118400.1.v4.1 pep chromosome:Pop_tri_v4:9:9942898:9948497:-1 gene:Potri.009G118400.v4.1 transcript:Potri.009G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118400.v4.1 MGKVKGKHRLDKFYKLAKEHGYRSRASWKLIQLDTKFKFLQSSRAVLDLCAAPGGWMQVAVQRVPVRSLVLGIDLVKIAPLRGAVSIEQDITKPECRAKIKKIMGEHGVRAFDLVLHDGSPNIGGAWSQEAMAQNSLVIDSVRLATQFLAPKGTFVTKVFRSQDYSSVIYCLNQLFEKVEVDKPAASRSASAEIFVLGLRYKAPAKIDPRLLDIKHLFQGSDEPQRKVVDVLRGTKQKRHRDGYEDGESIVRKVSSAADFIWSDSPLEILGSVTSIAFDDEVSLPLRDHDLTTEEVKHLCDDLRVLGKQDFKHLLKWRMQIRKALSSSQKASPSIGKGGEDEKEEDEDDRLLNEMEDLTNAMERKKKREKKLLAKRRAKDKVRKATGGMQIDATADGYTDLELFSLSSIKGKKDLVAVDAADYDHENGGLRDGENEETDEENQEHSPSDVDSDEERRRFDEQMEEILDQAYERFVTKREGSTKQRKRAKQAYAEQLLEGDGDNDIVHSDYDSDKETGDHEANPLMVPFNDGEVPTEEEITRKWFDQDIFAKAAEDGDLETAEARNLEKYESEDEMLVDGQEKEIATPKKSAKNAAGSDRTQPPSSTAENDFEIVPAPATDSSDDSSSDESEDDDVDSKAEILACAKKMLRKKRREQMLDDSYNKYMFDDEGLPGWFVEEEKRHCQPIKPVTKEEIAAMRAQFKEINARPAKKVAEAKARKKRVAARKLEKVRKKANDISKQTEISDHSKGRLIEQLYKKAAPKRPKKEYVVAKKGVTVKVGKGKVLVDRRMKKDARGMGKPGKGGLKKGKNAKGQRGKGPAKTSAKGNKGKKGKKMGMHE >Potri.007G094500.1.v4.1 pep chromosome:Pop_tri_v4:7:11978539:11987088:-1 gene:Potri.007G094500.v4.1 transcript:Potri.007G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G094500.v4.1 MMSLKMELVLAALVLAYLQSFVLSNLQGDALSALKLSMNVPDNQLKDWNPNQVTPCTWTNVICDSNEHVISVTLSGINCSGTLSPKIGVLKTLNTLTLKGNGITGGIPKEFGNLTSLTSLDLENNRLSGEIPSSLGNLKRLQFLTLGQNNLSGAIPESLAGLQNLINILLDSNNLSGQIPDHLFQVPKYNFTGNHLNCSGPNLHSCESHNSDSGGSHKSKTGIIIGVVGGFTVLFLFGGLLFFVCKGRHKGYKREVFVDVAGEVDQRIAFGQLKRFSWRELQLATDNFSEKNILGQGGFGKVYKGVLADNTKIAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTTTERLLVYPFMQNLSVAYCLRERKPEEPVLDWTTRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLVDVRKTNVTTQVRGTMGHIAPEYLSTGKSSERTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKNYNIQEVEMMIQVALLCTQASPENRPAMSEVVRMLEGEGLAERWEEWQHVEVTRMQEYERLQRRFDWGEDSVYNQDAIELSGGR >Potri.013G123600.2.v4.1 pep chromosome:Pop_tri_v4:13:13226059:13228132:-1 gene:Potri.013G123600.v4.1 transcript:Potri.013G123600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123600.v4.1 MGAYRADDDYDYLFKVVLIGDSGVGKSNLLSRFTKNEFSLESKSTIGVEFATRSIHVDDKVVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTESNIVIMLVGNKADLRHLRAVTTEDATAFAERENTFFMETSALESLNVENAFTEVLTQIYHVVSRKALDVGDDPAALPKGQTINVGKDDVSAVKKVGCCSA >Potri.009G014500.5.v4.1 pep chromosome:Pop_tri_v4:9:2533008:2542163:1 gene:Potri.009G014500.v4.1 transcript:Potri.009G014500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014500.v4.1 MAMAVAPQHRESSSSGSLNKHLTDNGKYVRYTSEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGFMQQQLQTAPAAADASCDSAVTTPQHSLRDANNPAGLLSLAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQRCSGVAARACGLVSLEPTKLAEILKDRQSWFRDCRNLEVFTVFPAGNGGTIELLYSQIYAPTTLAPARDFWTLRYTINLENGSLVVCERSLSGSGAGPNAAAAAQFVRAEMLPSGYLIRPCEGGGSIIHIVDHLNLQAWSVPEVLRPLYESSKAVAQKVTITALRHVRQIAHETSGEVVYGLGRQPAVLRTFSQRLSRGFNDAINGFNDDGWSLMNSDGAEDVIIAVNTTKNLISANNPAHSLSFLGGILCAKASMLLQNVPPAVLVRFLREHRSEWADFSVDAYSAASLKAGSYAYPGMRSMRFTGSQIIMPLGHTIEQEELLEVIRLEGHSFAQEDAFVSRDIHLLQICSGIDENAVGACSELVFAPIDEMFPDDAPLLPSGFRVIPLESKTKDAQEALTTNRTLDLTSSLEVGPVTNHASVDGSSCHLRSVLTIAFQFPFESNLQDNVATMARQYVRSVISSVQRVATAISPSGLNPALGPKLSAGSPEALTLAHWICQSYCYHLGAELLRSDSVGGDSVLKHLWHHPDAILCCSLEALPVFIFANQAGLDMLETTLVALQDITLDKIFDESGRKALFTDFAKLMQQGFACLPAGICMSTMGRNVSYEQAVSWKVLAAEENTVHCIAFSFVNWSFL >Potri.015G023300.1.v4.1 pep chromosome:Pop_tri_v4:15:1729323:1734202:-1 gene:Potri.015G023300.v4.1 transcript:Potri.015G023300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023300.v4.1 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >Potri.005G125300.1.v4.1 pep chromosome:Pop_tri_v4:5:9328624:9331962:-1 gene:Potri.005G125300.v4.1 transcript:Potri.005G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125300.v4.1 MTTRIAPGVGANLLGQHSSERNQDATAYVGNLDPQLSEDLLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDSDYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLHDTFSAFGVIVTNPKIMRDPETGNSRGFGFITIEAMNGQYLCNRQITVSYAYKKDAKGERHGTPAERVLAESNPTNQKSRPHTLFASGPPTLPTHANGAVMAPVPPRPFANGAVAQGPVPALHRPPPLNAAFTPMQVAGQPAWRGQPLQQGQPMPPPPVQFRPPPPNMPRPPPQAAPMFPRPPPQHMAMEAQPQVWQQPPPPQHFSGRPPMPQMLMQPRPPPNAPPPPPPS >Potri.015G100700.1.v4.1 pep chromosome:Pop_tri_v4:15:12021446:12028811:1 gene:Potri.015G100700.v4.1 transcript:Potri.015G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100700.v4.1 MASETLEEKKPGQEAPPGEDSKPDAQKEQTESEGPKEGTENEAKEADNEEVKEVEKIEKEGAEKEESFGNEEKEEEAKEEEEVERKKTKRGSKKSSKDLTEKKEKEPVTPGSERPARERKMVERYSAPEPGRSATKPLSIEKGRGTPLKDIPNVAFKLSKRKPDDNLQMLHMILFGKKGKAYNLKKNIGQFSGYVWVENEDKQKAKVREKLDKCVKEKLMDFCDVLNVPINRSAVKKEELTVKILEFLESPHATTDVLLADKEQKGKKRKVSTGKNASPVEASTTPAKKQKQTPQSGQKRKRSSKDEEDDDEDKVESPVAKDDSEEDDENEAEKKEESDHEESKSEEEEDEPKEQTPPKKISKKSAKQSSAVKGAEKVTPSKKSTPAKPVKSPVKSTKNTSGSSSKRGAKDTDGSSSSVSKSKVSASKKLKVEKENPKDRSASSKDKVTGKKQSTKSPSKDTAKDQGKVKSNKRAKAEPTRQEMHAVVVNILKEVDFNTATLSDILRQLGTHFGIDLMHRKAEVKDIITDVINSMSDDEEEGEGEDAEDNAEGGDDADKDADEDDD >Potri.013G127900.1.v4.1 pep chromosome:Pop_tri_v4:13:13527662:13528416:-1 gene:Potri.013G127900.v4.1 transcript:Potri.013G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127900.v4.1 MGLINNHKSCPVTESHHSLHKFFVICNYILLCAASSSIFLTLSLRLFPSVCGFFVILLHVVTIIAAVSGCSATLTGSNKWYAAHMVSTSLTAIFQGSVSVLIFTQTGDFLGYLKSYVREDDGAVILKLAGGLCLLIFCLEWVVLVLAFLLRYYAFVEGNGNSAGDGSFDRIGKV >Potri.017G143760.1.v4.1 pep chromosome:Pop_tri_v4:17:14403404:14404549:-1 gene:Potri.017G143760.v4.1 transcript:Potri.017G143760.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143760.v4.1 MNQDHAFANCWMHQRSTSYARQDVVEFPHIMFLVQCYHNQIHSRIQVPKQLPSSVVNSLCR >Potri.015G069700.2.v4.1 pep chromosome:Pop_tri_v4:15:9535372:9540886:1 gene:Potri.015G069700.v4.1 transcript:Potri.015G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069700.v4.1 MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNSDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPRDSIARGDAVPEAKRGVGGSAVFVARNRFAVLDKSSNQVLVKNLKNEVVKKSSLPISADAIFYAGTGNLLCRTEDRVVIFDLQQRLVLGELQTPFIKYVIWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLNVPIYITKISGNTIFCLDRDGKNKAIVIDATEYIFKLSLLKKKYENVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNLEKLSKMLRIAEVKNDVMGQFHNALYLGDVRERVKILENAGHLPLAYATAKVHGLEDVVERLAAELGDDIPSLPEGKTPSLLMPPAPIMCGGDWPLLRVMKGIFEGGLDNMGRGGADEDEEAADGDWGEELDMVDVDGLQNGDVSAILEDGEAAEENEEEGGWDLEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFVPLKSMFLDLYSGSHTYLRAFSSTPVISLAVERGWNESASPNVRGPPALVFNFSQLEEKLKAGYKATTTGKFTEALRLFLGILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMTVCFKNKNLATAANFARRLLETNPPNENQARAARQVLAAAERSMTDAAQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSQEGQLCTVCDLAVAGADASGLLCSPSQIR >Potri.015G069700.3.v4.1 pep chromosome:Pop_tri_v4:15:9535416:9540848:1 gene:Potri.015G069700.v4.1 transcript:Potri.015G069700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069700.v4.1 MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNSDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPRDSIARGDAVPEAKRGVGGSAVFVARNRFAVLDKSSNQVLVKNLKNEVVKKSSLPISADAIFYAGTGNLLCRTEDRVVIFDLQQRLVLGELQTPFIKYVIWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLNVPIYITKISGNTIFCLDRDGKNKAIVIDATEYIFKLSLLKKKYENVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNLEKLSKMLRIAEVKNDVMGQFHNALYLGDVRERVKILENAGHLPLAYATAKVHGLEDVVERLAAELGDDIPSLPEGKTPSLLMPPAPIMCGGDWPLLRVMKGIFEGGLDNMGRGGADEDEEAADGDWGEELDMVDVDGLQNGDVSAILEDGEAAEENEEEGGWDLEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFVPLKSMFLDLYSGSHTYLRAFSSTPVISLAVERGWNESASPNVRGPPALVFNFSQLEEKLKAGYKATTTGKFTEALRLFLGILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMTVCFKNKNLATAANFARRLLETNPPNENQARAARQVLAAAERSMTDAAQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSQEGQLCTVCDLAVAGADASGLLCSPSQIR >Potri.015G069700.4.v4.1 pep chromosome:Pop_tri_v4:15:9535416:9540837:1 gene:Potri.015G069700.v4.1 transcript:Potri.015G069700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069700.v4.1 MLTKFETKSNRVKGLSFHSKRPWILASLHSGVIQLWDYRMGTLIDRFDEHDGPVRGVHFHKSQPLFVSGGDDYKIKVWNYKLHRCLFTLLGHLDYIRTVQFHHEYPWIVSASDDQTIRIWNWQSRTCISVLTGHNHYVMCASFHPKEDLVVSASLDQTVRVWDIGALRKKTVSPADDIMRLTQMNSDLFGGVDAVVKYVLEGHDRGVNWAAFHPTLPLIVSGADDRQVKLWRMNDTKAWEVDTLRGHMNNVSCVMFHAKQDIIVSNSEDKSIRVWDVTKRTGVQTFRREHDRFWILASHPEMNLLAAGHDSGMIVFKLERERPAFAVSGDSLFYAKDRFLRFFEFSTQRDTQVIPIRRPGTTSLNQSPRTLSYSPTENAVLICSDVDGGSYELYVIPRDSIARGDAVPEAKRGVGGSAVFVARNRFAVLDKSSNQVLVKNLKNEVVKKSSLPISADAIFYAGTGNLLCRTEDRVVIFDLQQRLVLGELQTPFIKYVIWSNDMESVALLSKHAIIIASKKLVHQCTLHETIRVKSGAWDDNGVFIYTTLNHIKYCLPNGDSGIIRTLNVPIYITKISGNTIFCLDRDGKNKAIVIDATEYIFKLSLLKKKYENVMSMIRNSQLCGQAMIAYLQQKGFPEVALHFVKDERTRFNLALESGNIQIAVASAKEIDEKDHWYRLGVEALRQGNAGIVEYAYQRTKNFERLSFLYLVTGNLEKLSKMLRIAEVKNDVMGQFHNALYLGDVRERVKILENAGHLPLAYATAKVHGLEDVVERLAAELGDDIPSLPEGKTPSLLMPPAPIMCGGDWPLLRVMKGIFEGGLDNMGRGGADEDEEAADGDWGEELDMVDVDGLQNGDVSAILEDGEAAEENEEEGGWDLEDLELPPEADTPRASVSARSSVFVAPTPGMPVSQIWIQRSSLAAEHAAAGNFDTAMRLLNRQLGIKNFVPLKSMFLDLYSGSHTYLRAFSSTPVISLAVERGWNESASPNVRGPPALVFNFSQLEEKLKAGYKATTTGKFTEALRLFLGILHTIPLIVVDSRREVDEVKELIIIVKEYVLGLQMELKRREMKDNPVRQQELAAYFTHCNLQAPHLRLALQNAMTVCFKNKNLATAANFARRLLETNPPNENQARAARQVLAAAERSMTDAAQLNYDFRNPFVVCGATYVPIYRGQKDVSCPYCGSRFVPSQEGQLCTVCDLAVAGADASGLLCSPSQIR >Potri.017G119200.2.v4.1 pep chromosome:Pop_tri_v4:17:12556069:12559010:1 gene:Potri.017G119200.v4.1 transcript:Potri.017G119200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119200.v4.1 MESPSKLMVLSSSKGNNVANSHDRLIGLPEHIIHHIISFLGANDIARLSFASKGYRQICISSPYLYFDVDFASHECATKCSQFKEFLSKFLRSRNGQRIQLLRFRWLCDSCECSHERPYDSWVCDALRCDVKELDIGYRLDEQNCFALPMSATGYASLRVLKLNLQDCCLDDLLPATLVSLEVLSLKSLRVYGALLEAWISTNCPSLKRLNLEAIKIMDGFPISYTSSLQELTIHNCYVNHILGFCKNSIKGSSLKNVTISDCIFREAELSKIKIACSSLENLTLRGCVFGSGCFVSIACPLLENLTIHKCFVDESNGIDIRHCPSLINLMISQCTLQLLHLNIPTSSLRKLSIFECSSQFPISIAVSAEQLQTLSLKLYGSWWKGSVFEIQPQKLICLQEAIVEFVDLQFFITDKDICNSVLRAVQYARVLQLSIQIIEVLSEEDHVKILFENLLDLVMVCDKLEACQMIAMACFLMRAPSLRTLTIRYEQKSSGISETDVKEDLAKLLSLAVKRYQSKHGNSETLLLGDQLMKLKLSLHKN >Potri.002G251400.1.v4.1 pep chromosome:Pop_tri_v4:2:24086403:24092378:1 gene:Potri.002G251400.v4.1 transcript:Potri.002G251400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G251400.v4.1 MLQSSFSSILPSSLPRTPLSSHKLLIFPSLSLTHYRQRRRQQQQLLVLCASSYEVGGGYSDMESSVQEENKSRRTQQEWDEKPDPFQHEVIIKGGEQVISVLQEMITLLEDLNMDDASEKVAVELVAHGVIGKRVDEMEAGFMMALDYMIELAEKDQDGMRKSLLEVIKETVLSHLTKKCPPHVQVIGLLCRTPQKESRHELLRRVAAGGGVFEGGKGTKVHIPGANLNDIANQADDILETMETRPVVPDRKLLARLVLIREEARNMMGGGILDERNDRGFKTLPESEVNFIAKLVALKPGKTVQEMIKNVMLGKDEGAEDAASEEENINSERISSGIAGRGSVTGRKPLPVRPGMFLETVTKVLGSVYSGNISGITAQHLEWVHQKTLQVLQEIAY >Potri.012G145400.1.v4.1 pep chromosome:Pop_tri_v4:12:15564443:15566765:1 gene:Potri.012G145400.v4.1 transcript:Potri.012G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145400.v4.1 MTTITSVLSLLFFLYFLIAKSHADNIGAPTPPPPPPPLSPVLPPDGSVEFPESVYCNQFNSKCFGQIISCPSQCPTFKPYDPKNKGCFADCNSKKCEAICRTRRPNCNGIGAACYDPRFVGGDGVMFYFHGKTNEHFSLVSDSNLQINARFIGRRPSGRSRDNTWIQALGLMFDFHSFTLAANKVAQWDDQVDQLLFTYDGTPFNIPQGHLSTWTSPDLNNIVVERTTKYNSVTVTLRNVVEISVKIVPITKEDDRIHKYEIPSDDCFAHLEVQFKFFNLSEKVEGVLGQTYRPDFENPVKRGVPMPIMGGENKYKTSSLVSADCNLCIFSPSSKPQYAAKLLALNTDSTLDCTSNTGNGHGSVVFCRR >Potri.009G037100.2.v4.1 pep chromosome:Pop_tri_v4:9:4637658:4638470:-1 gene:Potri.009G037100.v4.1 transcript:Potri.009G037100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037100.v4.1 MDTDDQPSQESPDQVTLDHEQEQGTSQARSYECTFCKRGFSNAQALGGHMNIHRKDKAKLLKHSSSETQQSLDIPKINPSFSPAITSLMESGRDESSIKWPFIVDKAGSDHDDTKRDKTQVGEIQKLGFFVQNSSSTEDHQNQSSQVHGISKKSDLSSSSEIDLELRLWPEPQESSPGTKKFF >Potri.002G080700.1.v4.1 pep chromosome:Pop_tri_v4:2:5691910:5698364:-1 gene:Potri.002G080700.v4.1 transcript:Potri.002G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080700.v4.1 MSWMLWWSLSLSASAIVIMAHGGSNVTYDSRSLVINGKHKIIFSGSIHYPRSTPQMWPYLISKARAGGLDAIDTYVFWNLHEPQQGQYDFSGRKDLVRFIKEVHAQGLYVCLRIGPFIESEWTYGGLPFWLHDVPGIVFRSDNKPFKYHMERYAKMIVKMLKAEKLYASQGGPIILSQIENEYGNVEAAFHEKGPPYVKWAAKMAVGLHTGVPWVMCKQDDAPDPVINACNGLRCGETFSGPNSPRKPAIWTENWTSVYQTYGKETRSRSAEDIAFHAALFIAKGGSFVNYYMYHGGTNFGRTAAEYVPTSYYDQAPLDEYGLLRQPKHGHLKELHAAIKLCRKPLLSRKWINFSLGQLQEAFAFERNSDECAAFLVNHDGRSNATVHFKGSSYKLPPKSISILPHCKTVAFNTAQVSTQYGTRLATRRHKFDSIEQWKEYKEYIPSFDKSSLRANTLLEHMNTTKDSSDYLWYTFRFHQNSSNAHSVLTVNSLGHNLHAFVNGEFIGSAHGSHDNKSFTLQRSLPLKRGTNYVSLLSVMTGLPDAGAYLERRVAGLRRVTIQRQHELHDFTTYLWGYKVGLSGENIQLHRNNASVKAYWSRYASSSRPLTWYKSIFDAPAGNDPVALNLASMGKGEAWVNGRSIGRYWVSFLDSDGNPYQTWNHIPRSFLKPSGNLLVILEEERGNPLGISLGTMSITKVCGHVSISHPPPVISWQGENQINGTRKRKYGRRPKVQLRCPRGRKISSVLFSSFGTPSGDCETYAIGSCHASNSRATVEKACLGKERCSIPVSSKNFKGDPCPGIAKSLLVDAKCA >Potri.004G075300.1.v4.1 pep chromosome:Pop_tri_v4:4:6262731:6266799:1 gene:Potri.004G075300.v4.1 transcript:Potri.004G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075300.v4.1 MALSKTTLDTDVSVHSTFASRYVRASLPRFRMPENSIPKEAAYQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASVNKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPCDQPNIVTGANVQVCWEKFARYFEVELKEVKLREGYYVMDPEKAVEMVDENTICVAAILGSTLNGEFEDVKLLNDLLTKKNKETGWDTPIHVDAASGGFIAPFIYPDLEWDFRLPLVKSINVSGHKYGLVYAGIGWVIWRSKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNVMENCRDNMLVLKEGLELTGRFDIISKDIGVPLVAFSLKDSSTHNEFEVSDMLRRFGWIVPAYTMPPDAQHITVLRVVIREDFSRTLAERLVNDIQKVMHELETVPCKVCARISISGDGDNEQHGAVVVKKTALETQREITTIWRKFVMEKKKMNGVC >Potri.014G173300.3.v4.1 pep chromosome:Pop_tri_v4:14:12858869:12869299:-1 gene:Potri.014G173300.v4.1 transcript:Potri.014G173300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G173300.v4.1 MKRKSPLQLQALLKFYAAEDKYPSQRAMEDLAVVSNLTFKQVRGWFIEKRRSEKSKNELIEPPRLTKKLSVFKGRKGAAVASDARKMLKQLELSASSTDKSNKPSSSKYKHAPSEVQGRIGKRKKKLVLVQDLLTSDYILGKIFRKDGPPLGLEFDSLPTRAFHGCEDSRNSHPAHQENQRANKRGKVSMCAAFDDQNCNESAPVKKHGMGKGLMTVWRVTNPDGGDFPTGIHCGGSQITVTPQISTPVPRKQPLQKKKRRPPVSSLVKQRMLQKELQEKRKPSVKRREVESKRDEIQKQSFREKCELALERLMNQERLNQFAMLVDDEELELRELRAGPNPLTCTEHFAANRLFGCSLCKDLLVKFPPNSVKLKQPFAMQPWDSSPEAVKKLFKVFHFLYTYSVTVDICPFTLDELAQAFHDKDSFLLGKIHVALLKLLLSDVETEISSGLLPHLSISCKFLALLHSVEDQEFVVEFWKNSLNPLTWTEILCQVLIAAGFGSKQGGFRREVLSKEMSLMVKYGLHPGTLKGELFQLLSVQGNNGLKVSDLAKSSQIVELNLAGTTDELELLICSTLSSDITLFEKISSSTFRLRINTLAKEASGFQSDTEDSGIVHEDFHDNGASSSSNSDCDSENSSPRNLKLIDYPKRKNKMLTFENEIDESRPGEVWLLGLMEGEYSDLSIEEKLNGLVALIDLVSAGSSIRLEDLAKPTVESVPNIYHHCSGAKIKRSSSTKDNVPRPSWVHAGQINVTKEAYTSSKFFPVDSSVLFSKFDGKDKLSGKEKETEGMGLEINLHPMQSIFLGSDRRYNRYWLFLGPCNSYDPGHKRVYFESSEDGHWEVIDTEEALRALLSVLDDRGRREALLIESLEKREAFLCQEMSSKMVNDSGVGYFTQSDQSELETVREDSSSPVSDVDNNLTLTDIANDSLPPMSAIVLETGKKGKEENQKWNRLRQFDTWIWNCFYCDLNAVKRSKRSYLESLRRCETCHDLYWRDEKHCKICHTTFELDFDLEERYAIHSATCRQKEDNVMCPKHKVLSSKLQSLKAAVYAIETVMPEDALVGAWTKSAHRLWVRRLRRTSSLAELLQVVADFVAAINEDWLCQCNLAQGSSTYMEEIITCFPTMPQTSSALALWLMKLDELISPYLEKI >Potri.014G173300.2.v4.1 pep chromosome:Pop_tri_v4:14:12858869:12869304:-1 gene:Potri.014G173300.v4.1 transcript:Potri.014G173300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G173300.v4.1 MKRKSPLQLQALLKFYAAEDKYPSQRAMEDLAVVSNLTFKQVRGWFIEKRRSEKSKNELIEPPRLTKKLSVFKGRKGAAVASDARKMLKQLELSASSTDKSNKPSSSKYKHAPSEVQGRIGKRKKKLVLVQDLLTSDYILGKIFRKDGPPLGLEFDSLPTRAFHGCEDSRNSHPAHQENQRANKRGKVSMCAAFDDQNCNESAPVKKHGMGKGLMTVWRVTNPDGGDFPTGIHCGGSQITVTPQISTPVPRKQPLQKKKRRPPVSSLVKQRMLQKELQEKRKPSVKRREVESKRDEIQKQSFREKCELALERLMNQERLNQFAMLVDDEELELRELRAGPNPLTCTEHFAANRLFGCSLCKDLLVKFPPNSVKLKQPFAMQPWDSSPEAVKKLFKVFHFLYTYSVTVDICPFTLDELAQAFHDKDSFLLGKIHVALLKLLLSDVETEISSGLLPHLSISCKFLALLHSVEDQEFVVEFWKNSLNPLTWTEILCQVLIAAGFGSKQGGFRREVLSKEMSLMVKYGLHPGTLKGELFQLLSVQGNNGLKVSDLAKSSQIVELNLAGTTDELELLICSTLSSDITLFEKISSSTFRLRINTLAKEASGFQSDTEDSGIVHEDFHDNGASSSSNSDCDSENSSPRNLKLIDYPKRKNKMLTFENEIDESRPGEVWLLGLMEGEYSDLSIEEKLNGLVALIDLVSAGSSIRLEDLAKPTVESVPNIYHHCSGAKIKRSSSTKDNVPRPSWVHAGQINVTKEAYTSSKFFPVDSSVLFSKFDGKDKLSGKEKETEGMGLEINLHPMQSIFLGSDRRYNRYWLFLGPCNSYDPGHKRVYFESSEDGHWEVIDTEEALRALLSVLDDRGRREALLIESLEKREAFLCQEMSSKMVNDSGVGYFTQSDQSELETVREDSSSPVSDVDNNLTLTDIANDSLPPMSAIVLETGKKGKEENQKWNRLRQFDTWIWNCFYCDLNAVKRSKRSYLESLRRCETCHDLYWRDEKHCKICHTTFELDFDLEERYAIHSATCRQKEDNVMCPKHKVLSSKLQSLKAAVYAIETVMPEDALVGAWTKSAHRLWVRRLRRTSSLAELLQVVADFVAAINEDWLCQCNLAQGSSTYMEEIITCFPTMPQTSSALALWLMKLDELISPYLEKI >Potri.014G173300.1.v4.1 pep chromosome:Pop_tri_v4:14:12858867:12869280:-1 gene:Potri.014G173300.v4.1 transcript:Potri.014G173300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G173300.v4.1 MKRKSPLQLQALLKFYAEDKYPSQRAMEDLAVVSNLTFKQVRGWFIEKRRSEKSKNELIEPPRLTKKLSVFKGRKGAAVASDARKMLKQLELSASSTDKSNKPSSSKYKHAPSEVQGRIGKRKKKLVLVQDLLTSDYILGKIFRKDGPPLGLEFDSLPTRAFHGCEDSRNSHPAHQENQRANKRGKVSMCAAFDDQNCNESAPVKKHGMGKGLMTVWRVTNPDGGDFPTGIHCGGSQITVTPQISTPVPRKQPLQKKKRRPPVSSLVKQRMLQKELQEKRKPSVKRREVESKRDEIQKQSFREKCELALERLMNQERLNQFAMLVDDEELELRELRAGPNPLTCTEHFAANRLFGCSLCKDLLVKFPPNSVKLKQPFAMQPWDSSPEAVKKLFKVFHFLYTYSVTVDICPFTLDELAQAFHDKDSFLLGKIHVALLKLLLSDVETEISSGLLPHLSISCKFLALLHSVEDQEFVVEFWKNSLNPLTWTEILCQVLIAAGFGSKQGGFRREVLSKEMSLMVKYGLHPGTLKGELFQLLSVQGNNGLKVSDLAKSSQIVELNLAGTTDELELLICSTLSSDITLFEKISSSTFRLRINTLAKEASGFQSDTEDSGIVHEDFHDNGASSSSNSDCDSENSSPRNLKLIDYPKRKNKMLTFENEIDESRPGEVWLLGLMEGEYSDLSIEEKLNGLVALIDLVSAGSSIRLEDLAKPTVESVPNIYHHCSGAKIKRSSSTKDNVPRPSWVHAGQINVTKEAYTSSKFFPVDSSVLFSKFDGKDKLSGKEKETEGMGLEINLHPMQSIFLGSDRRYNRYWLFLGPCNSYDPGHKRVYFESSEDGHWEVIDTEEALRALLSVLDDRGRREALLIESLEKREAFLCQEMSSKMVNDSGVGYFTQSDQSELETVREDSSSPVSDVDNNLTLTDIANDSLPPMSAIVLETGKKGKEENQKWNRLRQFDTWIWNCFYCDLNAVKRSKRSYLESLRRCETCHDLYWRDEKHCKICHTTFELDFDLEERYAIHSATCRQKEDNVMCPKHKVLSSKLQSLKAAVYAIETVMPEDALVGAWTKSAHRLWVRRLRRTSSLAELLQVVADFVAAINEDWLCQCNLAQGSSTYMEEIITCFPTMPQTSSALALWLMKLDELISPYLEKI >Potri.014G173300.4.v4.1 pep chromosome:Pop_tri_v4:14:12858847:12869226:-1 gene:Potri.014G173300.v4.1 transcript:Potri.014G173300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G173300.v4.1 MKRKSPLQLQALLKFYAEDKYPSQRAMEDLAVVSNLTFKQVRGWFIEKRRSEKSKNELIEPPRLTKKLSVFKGRKGAAVASDARKMLKQLELSASSTDKSNKPSSSKYKHAPSEVQGRIGKRKKKLVLVQDLLTSDYILGKIFRKDGPPLGLEFDSLPTRAFHGCEDSRNSHPAHQENQRANKRGKVSMCAAFDDQNCNESAPVKKHGMGKGLMTVWRVTNPDGGDFPTGIHCGGSQITVTPQISTPVPRKQPLQKKKRRPPVSSLVKQRMLQKELQEKRKPSVKRREVESKRDEIQKQSFREKCELALERLMNQERLNQFAMLVDDEELELRELRAGPNPLTCTEHFAANRLFGCSLCKDLLVKFPPNSVKLKQPFAMQPWDSSPEAVKKLFKVFHFLYTYSVTVDICPFTLDELAQAFHDKDSFLLGKIHVALLKLLLSDVETEISSGLLPHLSISCKFLALLHSVEDQEFVVEFWKNSLNPLTWTEILCQVLIAAGFGSKQGGFRREVLSKEMSLMVKYGLHPGTLKGELFQLLSVQGNNGLKVSDLAKSSQIVELNLAGTTDELELLICSTLSSDITLFEKISSSTFRLRINTLAKEASGFQSDTEDSGIVHEDFHDNGASSSSNSDCDSENSSPRNLKLIDYPKRKNKMLTFENEIDESRPGEVWLLGLMEGEYSDLSIEEKLNGLVALIDLVSAGSSIRLEDLAKPTVESVPNIYHHCSGAKIKRSSSTKDNVPRPSWVHAGQINVTKEAYTSSKFFPVDSSVLFSKFDGKDKLSGKEKETEGMGLEINLHPMQSIFLGSDRRYNRYWLFLGPCNSYDPGHKRVYFESSEDGHWEVIDTEEALRALLSVLDDRGRREALLIESLEKREAFLCQEMSSKMVNDSGVGYFTQSDQSELETVREDSSSPVSDVDNNLTLTDIANDSLPPMSAIVLETGKKGKEENQKWNRLRQFDTWIWNCFYCDLNAVKRSKRSYLESLRRCETCHDLYWRDEKHCKICHTTFELDFDLEERYAIHSATCRQKEDNVMCPKHKVLSSKLQSLKAAVYAIETVMPEDALVGAWTKSAHRLWVRRLRRTSSLAELLQVVADFVAAINEDWLCQCNLAQGSSTYMEEIITCFPTMPQTSSALALWLMKLDELISPYLEKI >Potri.001G366800.4.v4.1 pep chromosome:Pop_tri_v4:1:38294221:38297424:1 gene:Potri.001G366800.v4.1 transcript:Potri.001G366800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366800.v4.1 MACNGAKKPLLSGLVDSTSPRIDECTPYRRRFRRVKSAPVTELVPSDISGNGPIPRYESFFGGRHQSLKQVAVFLAVYLGLGTLCFYVVRGDIKGKKSNPILDSLYFCIVTMTTVGYGDLVPDSAPVKLLACVFVFTGMLLIGLILSKAADYLVEKQEILLIKALRMHQKLDPAAFLKEIETNKVKYKCYLAIIILSVLMLVGTIFLYMVEDLDIIDAFYCVCSTVTTLGYGDKSFSTVYGRMFAVFWILTGTIALGQLFLYIAELFTESRQRALVNWVLTRRMTHLDLEAADIDDDGVVGAAEFVVYKLKEMGKISEEDIALVMKEFEDLDVDQSGTLSASDIMAQATQTHK >Potri.004G047800.2.v4.1 pep chromosome:Pop_tri_v4:4:3828036:3831710:-1 gene:Potri.004G047800.v4.1 transcript:Potri.004G047800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047800.v4.1 MIRWRMYLCCFHFQKPRHQQHWYLSLKPFTFQNPYLYFTKFINTSIEFSQNPKPTTTPSNLTTQKTNFYSTPTIISCSKLLSKCTASKSLTPGMEIHARVIKFGLSQDPKIRNYLVNLYSKCQLFGYARKLLDRSTEPDLVSWSALISGYSQNGFCQEAVLAFYEMHLLGIKCNEFAFPSVLKACTVTKDLVLGKQVHGIVVVTGFDSDEFVANSLVILYAKCGGFGDARSLFDAIPDRSVVSWNALFSCYVHSDMHGEAVSLFHDMVLSGIRPNEFSLSSMINVCTGLEDSVQGRKIHGYLIKLGYDSDAFSANALVDMYAKVGILEDASSVFDEIAKPDIVSWNAIIAGCVLHEYHHRALELLREMNKSGMCPNMFTLSSALKACAGMALRELGRQLHSSLIKMDMGSDSFLGVGLIDMYSKCNSMDDARLVFKLMPERDMIAWNAVISGHSQNEEDEEAASLFPLMHTEGIGFNQTTLSTVLKSIAALQANYMCRQIHALSLKSGFEFDNYVVNSLIDTYGKCGHVEDATRVFEESPTVDLVLFTSLVTAYAQDGQGEEALRLYLEMQDRGIKPDSFVCSSLLNACASLSAYEQGKQVHVHILKFGFMSDIFAGNSLVNMYAKCGSIEDASCAFSRIPVRGIVSWSAMIGGLAQHGYGKEALQLFKQMLKVGVPPNHITLVSVLCACNHAGLVAEAKHYFNSMKILFGIEPMQEHYACMIDLLGRAGKLEAAMELVNKMPFQANALVWGALLGAARIHKNIDLGEQAAEMLLALEPEKSGTHVLLANIYASVGMWDKVARVRRLMKDGKVKKEPGMSWLEVKDKVYTFIVGDRSHSRSTEIYAKLDELSDLLKKAGYVPMVEIDLHDVERSEKEQLLYHHSEKLAVAFGLIATPPGAPIRVKKNLRICFDCHTVLKFISKIVSREIIVRDTNRFHHFREGSCSCGDYW >Potri.005G051850.1.v4.1 pep chromosome:Pop_tri_v4:5:3282433:3285681:1 gene:Potri.005G051850.v4.1 transcript:Potri.005G051850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051850.v4.1 MRRNASKSNNKLLYIKQEGNLTRQLSSYNQNVRGSRLESEACSYLQIKVASLTGVHDRYHEMRKSQAKDSKGRRDIGFCYQTDLSSVGGGICRERGPKLSLYHIQIQEAQSK >Potri.013G018000.1.v4.1 pep chromosome:Pop_tri_v4:13:1133536:1136790:1 gene:Potri.013G018000.v4.1 transcript:Potri.013G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018000.v4.1 MRVKHQADFAFLFLFVSEYYFLGLVVAADDGPKLGTVIGIDLGTTYSCVAVSRDGHVEIIANDQGNRVTPSWVAFTDTERLIGEAAKNQAPMNPERTIFGVKRLIGRKFDDPEVQRDIKFLPYKVVNKDGKSYIQVKVKGETKVFSPEEISAMILGKMKETAESYLGKKIKNAVVTVPAYFNDAQRQATKDAGIIAGLNVPRIINEPTAAAIAYGLDKKGGDMNILVYDLGGGTFDVSILTIDNGVFEVLSTSGDTHLGGEDFDQRLMDYFINLVKKKYNKDMSKDKKALGKLRRECERAKRALSSQHQVRVEIESLIDGIDFSEPITRARFEELNMDLFKKTLGIVKKAMDDAGLKKADIKEIVLVGGSTRIPKVQEMLKEYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGEETKGLLLLDVTPLSLGIETVGGVMTKLIPRNTVIPTKKSQIFTTYQDQQTTVSIKVYEGERSLTKDCRELGRFDLSGIPPAPRGVPQIEVTFEVDANGILHVKAEDKAAKKSQSITITNDKGRLSQEEIDRMVKEAEEMAEEDKKVREKIDARNKLETYIYNMRSTINDKDKLADKIDSDDKERIETALKEALEWLDDNQNAEKDDYEEKLKEVEEVCDPVIKQVYEKSGSSADSEYEEPNDEL >Potri.008G205500.3.v4.1 pep chromosome:Pop_tri_v4:8:15036376:15037803:1 gene:Potri.008G205500.v4.1 transcript:Potri.008G205500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205500.v4.1 MGCGKSKHDVAAGNTITRKKSDVGSNKSNYKDLEPTVNASILEEQKEKQIPSQESSKELVAGVRDVNVMIVAGVQDGNVEAVASEQDANVEAVASEQDANVEAVAVVQDANVEGVASEQDANVEAVAVVQDANVEVVASEQDANVEAVTVVQDEAVAVVQDANVEVVAVVQDIANEKEELKGGGKDVEIKEESVVEKQEPGKLISEESSDNVSDGKSEKESLSNESAKLDDDAKETLVEKETKQEAENEEPQAMKQENADEEPEAANPITAELEVASPTTN >Potri.004G179900.2.v4.1 pep chromosome:Pop_tri_v4:4:19477509:19478755:-1 gene:Potri.004G179900.v4.1 transcript:Potri.004G179900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179900.v4.1 MLCMQALPPYPTNNTQEGKKKRKEFKVASQSRTMMKVANLIAVFILLALASSFVTAYDPSPLQDFCVAIDDANSAVLVNGKLCKNPSLATPDDFSYSGLDVPGNTSNQLGARVNIITADLMPGLNTLGVSLARIDLAPNGGLNPPHYHPRGSEVLLVLEGTLYAGFVTSNPDHRLFTKILKPGDLFVFPFGLIHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASWPLYPEVLTTAFHLDEKLVEDLQSQEWVNPT >Potri.005G178300.1.v4.1 pep chromosome:Pop_tri_v4:5:18422333:18426718:1 gene:Potri.005G178300.v4.1 transcript:Potri.005G178300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178300.v4.1 MTAFATAAALSVPISLCRSSKLNCKKGVRGGFRVFAVLGEEGGLLDKKSTWGPLFDVEDPRSKMPQFKGKFLDAYQALEVARYDIQYCDWRARQDLLTIVILHEKVVEVLNPLARDYKSIGTMKKELAELQDELSQAHRQVHISEARVSTALDKLAYMEELVNDRLLQDRNPAESDQASPSPSTSTQSLDTVKNKSPRKNLKVSGPVQPYHPHLKNFWYPVAFSTDLKDGTMIPIDCFEEPWVLFRGMDGKPGCVRNTCAHRACPLHLGSVNEGRVRCPYHGWEYSTDGKCEKMPSTRLLNVKIKSLPCFEQEGMILVWPGSDPPAATLPSLQPPPGFQVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWSVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTRECATHLHQLHVCLPSSRHKTRLLYRMSLDFAPVLKHVPFMHYLWRHFAEQVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGVRYRLWRDAVEKGAKQLPFEKST >Potri.007G014700.1.v4.1 pep chromosome:Pop_tri_v4:7:1095277:1100093:-1 gene:Potri.007G014700.v4.1 transcript:Potri.007G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014700.v4.1 MNPIKMSPFFFFLLLFTSFLACKSQQNPQTQALTSIKQNLHDPLGALTGWDPTTPLAPCDWRGVFCTNNRVTELRLPRLQLRGQLSDQFASLTSLRKISLRSNFLNGTLPHSLAKCTLLRALFLQYNSFSGNLPPEISNLTNLQVLNIAQNRFSGEIPRSLPVSLKYLDLSSNTFSGSIPSSVSDLAQLQLINLSYNQFSGSIPASFGQLQSLEYLWLDYNILEGTLPSAIANCSSLVHFSANGNRLGGLIPAAIGELPKLQVVSLSENKFVGAVPTSMFCNVSVYPPSLRIVQLGFNGFSGVVGPESGGCFSVLQVLDLQENHIRGVFPLWLTRVVTLTMLDVSRNLFSGVVPAEIGNLSRLEELKMGGNGFREVVPVEIQQCRSLQVLDLHGNDLSGEIPEVLGDLRGLKVLSLGENQFSGSVPGSFRNLTGLETLNLGGNGLNGSLPDEVMGLSNLTTLDLSGNGFSGEIPATIGNLNRVMLLNLSGNGFSGRIPSSFGNLLRLSSLDLSRQSLSGELPSELAGLPNLQVIALQENMLSGDVHEGFSSLLGLRYLNLSSNGFSGQIPLTFGFLKSLVVLSLSKNHISGLIPPELGNCSDLETLELESNSLTGNIPGDLSRLLHLKVLDLGRNNLSGEIPNEISKCSSLSSLSLDSNHLSGSIPDSLSNLSNLTSLDLSTNNLSGQIPVNLAQISGLVYLNVSRNNLEGGIPTLLGSRFNNPSAFADNPRLCGKPLPRNCVDVEASNRRKRLILLIVVVVSGACMLALCCCFYTYSLLRWRKRLKQGAAGEKKRSPARPSSNGSGGRGSTDNGGPKLVMFNNKITLAETTEATRQFDEENVLSRTRYGLVFKACYSDGMVLSIRRLPDGSLDENMFRKEAEFLSKVKHRNLTVLRGYYAGAPDMRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHTSNIVHGDVKPQSVLFDADFEAHLSDFGLDRLTIATPAEPSTSATVGTLGYVSPEAVLTGEVSKEADVYSFGIVLLELLTGKRPVMFTQDEDIVKWVKKQLQKGQITELLEPGLLELDPESSEWEEFLLGVKVGLLCTAPDPLDRPTMPDIVFMLEGCRVGPDIPSSADPTSQPSPA >Potri.015G040300.2.v4.1 pep chromosome:Pop_tri_v4:15:3629091:3637568:1 gene:Potri.015G040300.v4.1 transcript:Potri.015G040300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040300.v4.1 MGGSGTLVDGIRRWFQRRTNHNNHDHINNINKTTSSNVSSSGCPQSSVNVKEGIKGTEEEQLTVIEDFDISDLKLIRVPKRFHFPPGSTMGLQKKGSAEADFFTEYGEASQYQVQEVIGKGSYGVVGSAIDSHTGERVAIKKINDVFEHVSDATRILREIKLLRLLRHPDIVEIKHIMLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVSFNDAPSAILWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEMLTGKPLFPGKNVVHQLDLMTDLLGTPPPESISKIRNEKARRYLSNMRKKPPVPFPQKFPNVDPLALRLLEGLLAFDPKDRPTAEEALANPYFNGLSNVECEPSTQPISKLEFEFERRKITKDDVRELIYREILEYHPQMLKEYLRGGEQTSFMYPSGVDRFKRQFAHLEEHYGKGERSTPLQRQHASLPRERVPAPKDETAAQSTDIERQTAPSIAKSLKSLPGLQQADGSEDVTVAAQNGSSKPNYSNRSLLKSSSISASKCVVVKPKGDSEEMIKEVSDEDVNDLSQKVATL >Potri.006G058600.1.v4.1 pep chromosome:Pop_tri_v4:6:4122191:4123326:-1 gene:Potri.006G058600.v4.1 transcript:Potri.006G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058600.v4.1 MNTLFLSLLISSTLLSLSLSKELCNPQDKQVLLQIKKDFGDPYLLASWKSDTDCCTDWYQVECDSTTNRIISLTVFAGNLSGQIPAAVGDLPYLQNLVFRKLTDITGPVQPAIAKLVHLTFLRLDRLNLTGTVPDFLSQLKNLTYLDLSFNGFSGSIPSSLALLPNLGALHLDRNKLTGSIPESFGTFKGSVPDLYLSHNQLTGEIPASLGNMDFSVIDLSRNKLVGDASMLFGLNKTTNNVYLSRNLFEFNLSNVVFPSTMENLDINHNKIFGSIPPQMTQLPLQSLNVSYNRLCGQIPVGGKLQSFDYSSYFHNRCLCGAPLGNCK >Potri.004G055000.2.v4.1 pep chromosome:Pop_tri_v4:4:4508314:4512554:-1 gene:Potri.004G055000.v4.1 transcript:Potri.004G055000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055000.v4.1 MGFKCRVLFSIFCQCMLLLLQEAIAGQHYYNVSRLKGRKQVSGCDLFQGRWVVDSSYPLYDSSGCPFIDAEFDCQGYGRPDTQYLKYSWQPDSCNIPRFSGADFLARWRGKKIMFVGDSLSLNMWESLACMIHAAVPTAKTTFSKRNSVTFVDYGLTLYMYRTPYLVDIVRENVGDVLNLNSIEAGNAWKGMDMLVFNSWHWWLHTGKSQGWDYIRDGPALYKNMDRLEAFNKGLTTWARWVDQNVDPSKTKVFFQGISPTHYQGKDWNQPQKSCSGEAVPLSGSTYPAGAPPAVGVVSKVLSSITKPVYLLDITTLSQLRKDAHPSTYSDGSGTDCSHWCLPGLPDTWNQLLYAALIM >Potri.007G005700.2.v4.1 pep chromosome:Pop_tri_v4:7:402536:404560:1 gene:Potri.007G005700.v4.1 transcript:Potri.007G005700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD1 MSVSADVSTPSVNLYCNETAGDALCSNNADGISEINSAYFPVDIDESYIDNILVSELHQMPETELIARFPDIPESGSAHQDTLNWMLKVHAYCRFRPETAYLSANYFHCFILSHTLQKGKGWPLQLLAVACLSVAAKLEETRVPSLLDIQTLEPRFLFKPSTVRRMELLVMGSLKWRLHIITPFSFLHYFIAKLSHLSPRSKNLILAHSSDLIISTCRAAAVLWVTDQSIGCPKLECFHNRMSKEMVRGCYNLIKQNTPQLSRGKALDATIPGKCLAKKCCSKDFKSSQDMSPSKC >Potri.007G005700.1.v4.1 pep chromosome:Pop_tri_v4:7:402536:404560:1 gene:Potri.007G005700.v4.1 transcript:Potri.007G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD1 MSVSADVSTPSVNLYCNETAGDALCSNNADGISEINSAYFPVDIDESYIDNILVSELHQMPETELIARFPDIPESGSAHQDTLNWMLKVHAYCRFRPETAYLSANYFHCFILSHTLQKGKGWPLQLLAVACLSVAAKLEETRVPSLLDIQTLEPRFLFKPSTVRRMELLVMGSLKWRLHIITPFSFLHYFIAKLSHLSPRSKNLILAHSSDLIISTCRVMNILAYTPSTIAAAAVLWVTDQSIGCPKLECFHNRMSKEMVRGCYNLIKQNTPQLSRGKALDATIPGKCLAKKCCSKDFKSSQDMSPSKC >Potri.007G104801.3.v4.1 pep chromosome:Pop_tri_v4:7:12811559:12813798:-1 gene:Potri.007G104801.v4.1 transcript:Potri.007G104801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104801.v4.1 MEQKSAMKKQGWEIEAIGITYKISTKKREHPFKIFTKKQEINQEPKQQVTNLEEASLGARHVLKDVYCKAKPWEILAIVGPSGAGKSSLLEVLAGKLTPQSGSIFVNQNPINKAQFKKASGYVTQKDTLFPLLTVEETLMFSAKLRLRLPQDQLSSEVKFLMHELGLDHVAMTRVGDDRVRGISGGERRRVSIGVDVIHDPEVLILDEPTSGLDSTSALQIIDMLKLMAETRGRTIILSIHQPGFRIVKLFNSVLLMANGSVLHHGTVDQLGVNLRTMGMQLPLHANVVEFALESIDTVQQQRKVLQQETQPQLLSSSTTKSRQKKVEVGESRSGKFTLQQLFQQSKVVDEESIDFGFDFPLGFANSRLQETLILTHRFSKNIFRTKELFACRTIQMLISGLVLGSIFYNLKDDLTGAEERVGLFAFILTFLLSCTTEALPIFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLILAILFTIPLYWLVGLNPNFMAFMHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVMGSFFLFSGYFISKHGMPNYWIFMHYISLFKYPFEGFLINEFSNSGKCLEYMFGKCMVSAEDLLREEGYGEDGKWRNVVIMVCFILVYRFISYVILRLRYCPSISSFKGSLV >Potri.014G153000.1.v4.1 pep chromosome:Pop_tri_v4:14:10751236:10754337:1 gene:Potri.014G153000.v4.1 transcript:Potri.014G153000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153000.v4.1 MASSSITSSFQTSNLRSVFLGERNRICVPSVPVTRIGFLRKAIECKESRIGKQPIQVPSNVTIKLEGQDLKVKGPLGELSRTYPREVKLERDEDGIIRVKKALETRRANQMHGLFRTLTDNMVVGVSKGFEKRLQLVGVGYRANVEGKDLVLSLGFSHPVRMAIPATLQVKVEENTRIVVSGFDKCSIGEFAASIRRWRPPEPYKGKGVRYANEIIRLKEGKAGKKK >Potri.015G132100.3.v4.1 pep chromosome:Pop_tri_v4:15:14181915:14184848:-1 gene:Potri.015G132100.v4.1 transcript:Potri.015G132100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132100.v4.1 MTQTLTLHEQRTNPTRQKSTGMRLIVPLQGIVQGRGGLILGTLIPCALFYFFQLYIKRNRGNSSNTPLNPPSPSSSSPNLEEIPRTSSRSSFLGRVGSFGPVSVSGRASLIVRGNDSPYYIGLEKVADDPYEKARNPDGIIQLGLSENRLCFDLIEKWMVENVREAMVGSDGEHLSINGIAAYQPSDGLMELKVAVAGFMSQVMGNVVSFDPLSQVMEKVVSFDPSQIVLTAGATPAIEILCFCLADHGNAFLIPTPYYPGFDRDVRLRTGVELIPVHCRSADNFLLSVTALEQAYNQARKRGVKVRGILISNPSNPVGNILPWQTLYNILDFAQEKNIHIISDEIFAGSVYGNMEFVSMAEILEKEEFDKNRVHIIYGLSKDLCLPGFRVGVIYSYNENVLAAAKRLTRFSSISAPSQRLLISMLSDTKFIEEFIKTNKQRIQELHYSFVDGLKQLGIQCIESSAGFYCWANMSGLIPSYGEKGELELWDKLLNIAKVNVTPGSACHCIEPGWFRCCFTTLALEDIPVVMKRIRKVA >Potri.015G132100.5.v4.1 pep chromosome:Pop_tri_v4:15:14180886:14184679:-1 gene:Potri.015G132100.v4.1 transcript:Potri.015G132100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132100.v4.1 MTQTLTLHEQRTNPTRQKSTGMRLIVPLQGIVQGRGGLILGTLIPCALFYFFQLYIKRNRGNSSNTPLNPPSPSSSSPNLEEIPRTSSRSSFLGRVGSFGPVSVSGRASLIVRGNDSPYYIGLEKVADDPYEKARNPDGIIQLGLSENRLCFDLIEKWMVENVREAMVGSDGEHLSINGIAAYQPSDGLMELKVAVAGFMSQVMGNVVSFDPLSQVMEKVVSFDPSQIVLTAGATPAIEILCFCLADHGNAFLIPTPYYPGFDRDVRLRTGVELIPVHCRSADNFLLSVTALEQAYNQARKRGVKVRGILISNPSNPVGNILPWQTLYNILDFAQEKNIHIISDEIFAGSVYGNMEFVSMAEILEKEEFDKNRVHIIYGLSKDLCLPGFRVGVIYSYNENVLAAAKRLTRFSSISAPSQRLLISMLSDTKFIEEFIKTNKQRIQELHYSFVDGLKQLGIQCIESSAGFYCWANMSGLIPSYGEKGELELWDKLLNIAKVNVTPGSACHCIEPGWFRCCFTTLALEDIPVVMKRIRKVA >Potri.001G346100.1.v4.1 pep chromosome:Pop_tri_v4:1:35781157:35782190:-1 gene:Potri.001G346100.v4.1 transcript:Potri.001G346100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346100.v4.1 MATTNPLSTTIPTLSLHSPSSSTTHSPTKHFLLFPRRQLPLSFRSSHVRPVAAVAAPEKIEKLGAEISSLTLEEARTLVDYLQDKLGVSAAAFAPAAAVGVAPGAAADAGAAVVEEKTEFDVVIEEVPSNVRIAVIKSVRALTSLALKEAKELIEGLPKKFKEGVSKDEAEEAKKQLEAAGAKCSVV >Potri.008G088900.2.v4.1 pep chromosome:Pop_tri_v4:8:5558817:5562278:-1 gene:Potri.008G088900.v4.1 transcript:Potri.008G088900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088900.v4.1 MEEDKNLPLVSSNSCGYNMGMVLMLACMVLSWIFIHRWNQRQKRGPKTWPIVGAAIEQFMNYNQMHDWLVKYLSELRTVVVPMPFTTYTYIADPANVEHVLKTNFANYPKGETYHSYMEVLLGDGIFNVDGELWRKQRKTASFEFASRNLRDFSTVVFREYSLKLSSILSQASFHNQEVEMQGLLMRMTLDSICKVGFGVEIGTLTPSLPDNRFAQAFDTANIIVTLRFIDPLWKVKKFLNVGSEALLDKSIKIVDDFTYSMIRKRKAEIEEARGTGKNNKMKHDILSRFIELGEDPESNLTDKSLRDVVLNFVIAGRDTTATTLSWAIYMVMTHNHVAEKLYSELKFFEEDRAKEENVKLHQINTEDPESFSQRVMQFAGFLTYDSLGRLYYLHAVITETLRLYPAVPQDPKGILEDDVLPDGTKVKAGGMVTYVPYSMGRMEYNWGPDAASFKPERWLKDGFFQNASPFKFTAFQAGPRICLGKDSAYLQMKMALALLCRFFSFSLVPNHSVDYRMMTTLSMAHGLKLRIARKS >Potri.005G233400.1.v4.1 pep chromosome:Pop_tri_v4:5:23188166:23191691:-1 gene:Potri.005G233400.v4.1 transcript:Potri.005G233400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233400.v4.1 MEVRWDSFVGIFLVGLILGFAEIANGGITSSYVRNDDLSLDMPLDSDVFRVPPGYNAPQQVHITQGDHEGKGVIVSWVTPDEPGSKTVLYWAENSELKNSADGFILKYRYFNYTSGYIHHCTIKDLEFDTKYYYEVGIGNTTRQFWFITPPRPGPDVPYTFGLIGDLGQTHDSNRTVTHYELNPTKGQTLLFVGDLSYADDYPFHDNSRWDTWGRFVERSAAYQPWIWTAGNHEIDFVPEIGERKPFKPYTHRYHVPYRASGSTSPMWYSIKRASAYIIVLSSYSAYGKYTPQYKWLEKELPKVNRTETPWLIVLMHCPMYNSYAHHYMEGETMRVMYEPWFVEFKVDVVFAGHVHAYERSERISNVAYNIVNGLCAPIRDQSAPIYITIGDGGNLEGLVTSMTEPQPSYSAFREPSFGHGILDIKNRTHAYFGWHRNQDGYAVEADSVWLHNRFWNPLRASSVAAL >Potri.008G214500.1.v4.1 pep chromosome:Pop_tri_v4:8:16956893:16958014:1 gene:Potri.008G214500.v4.1 transcript:Potri.008G214500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX14 MDRVAKLASQKAVVIFSKSSCCMCHAIKRLFYDQGVSPAIYELDEDSRGKEMEWALMRLGCNPSVPAVFIGGKFVGSANTVMTLQLNGSLKKLLKEAGALWL >Potri.010G252000.5.v4.1 pep chromosome:Pop_tri_v4:10:22425968:22431374:1 gene:Potri.010G252000.v4.1 transcript:Potri.010G252000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252000.v4.1 MGSRFPSHQLSNGLYVSGRPEQPKERAPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAASHSGPIMPNAAARAAYTTSGAMSSGGTGSASLKKSNSGPLNKHGEPVKKLSGPQSGGVTPSGRQNSGPIPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKIPGSAILHNQAVTVLSQDDDFSFRKTFPKLILWSLILLFLMGFIAGGFILAAVHNAILLIVVVVLFGAVTSLFIWNTCFGRKAIMAYIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQRIPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRSLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSLVIDVNPGTEELSPEFIKWLGERNLSSDDRIMRMKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPITSGCQWTKCIFPASLEGIVLRCEDTSKNDVIPV >Potri.010G252000.1.v4.1 pep chromosome:Pop_tri_v4:10:22425968:22431398:1 gene:Potri.010G252000.v4.1 transcript:Potri.010G252000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252000.v4.1 MGSRFPSHQLSNGLYVSGRPEQPKERAPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAASHSGPIMPNAAARAAYTTSGAMSSGGTGSASLKKSNSGPLNKHGEPVKKLSGPQSGGVTPSGRQNSGPIPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKIPGSAILHNQAVTVLSQDDDFSFRKTFPKLILWSLILLFLMGFIAGGFILAAVHNAILLIVVVVLFGAVTSLFIWNTCFGRKAIMAYIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQRIPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRSLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSLVIDVNPGTEELSPEFIKWLGERNLSSDDRIMRMKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPITSGCQWTKCIFPASLEGIVLRCEDTSKNDVIPV >Potri.010G252000.6.v4.1 pep chromosome:Pop_tri_v4:10:22425523:22431351:1 gene:Potri.010G252000.v4.1 transcript:Potri.010G252000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252000.v4.1 MGSRFPSHQLSNGLYVSGRPEQPKERAPTMSSVAMPYTGGDIKKSGELGKMFDIPVDGSKSRKSGPITGAPSRTGSFGGAASHSGPIMPNAAARAAYTTSGAMSSGGTGSASLKKSNSGPLNKHGEPVKKLSGPQSGGVTPSGRQNSGPIPPVLPTTGLITSGPISSGPLNSSGAPRKVSGPLESMGSMKIPGSAILHNQAVTVLSQDDDFSFRKTFPKLILWSLILLFLMGFIAGGFILAAVHNAILLIVVVVLFGAVTSLFIWNTCFGRKAIMAYIARYPDAELRNAKNGQFVKISGVVTCGNVPLESSFQRIPRCVYTSTSLYEYRGWDSKAANPTHRRFSWGLRSLERRAVDFYISDFQSGLRALVKTGYGARVTPYVDDSLVIDVNPGTEELSPEFIKWLGERNLSSDDRIMRMKEGYIKEGSTVSVMGVVQRNENVLMIVPPPEPITSGCQWTKCIFPASLEGIVLRCEDTSKNDVIPV >Potri.004G067100.1.v4.1 pep chromosome:Pop_tri_v4:4:5736230:5737589:1 gene:Potri.004G067100.v4.1 transcript:Potri.004G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067100.v4.1 MGDNQRIHPAVDVEAPPLAAPLVLRGLVASGKRSSSLSQQQPPLSLRTIPAVMQGSKLSQTTSCCCCKCICWTVGLLVLLLGIVGATAGILYLVFKPKIPNYSVDSLRISDLRLNFDMTLYATFDVKITANNPNKKIGIYYEKGGLLSVWYTDTKLCQGSIPKFYQGHQNITKLDVSLTGQTRYGSTLMRTLQEQQQTGRIPLDLKIDAPVSIKLGRLKLRKVRILGNCLLVVNSLSTNNLISIKASNCKFRLKL >Potri.005G158000.1.v4.1 pep chromosome:Pop_tri_v4:5:15155603:15155968:1 gene:Potri.005G158000.v4.1 transcript:Potri.005G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158000.v4.1 MVCQAASQTNFRALKHENGIAGCATIIVRVIACFQPLQDCQAEYFRHLLKPVT >Potri.008G091600.1.v4.1 pep chromosome:Pop_tri_v4:8:5717829:5720227:1 gene:Potri.008G091600.v4.1 transcript:Potri.008G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091600.v4.1 MGSAANLCSVLSESQRIINAHSRHFLALSVLFILPLSFFLSVYPAIQNIISQFSTRGSKTLLSRTSYQDDPSNLFTTNSIILSLLVSLFTITFSTFALGSITYSVIHGFYGRPVKLWSSIKSAFTSFFPLLITGSFVEIIFLGVLLPFVLLLFFVINGIQLPGFEINVSSPYFIVFLVILGVVLVFVLLHLQLKWILAQVIVVAESSWGLEPLRRSDYLMKRMKGVALSMVLFFGFFSGLLVIASSFPWEGLHVGNIDSAWKIWPFVVRIVVTSALQMVLQLYNIAAFAVLYMDCKAVHGELVLEIAEEFAGDYVSLPFDDGKTPHFVSVAYI >Potri.016G018300.7.v4.1 pep chromosome:Pop_tri_v4:16:954611:959292:-1 gene:Potri.016G018300.v4.1 transcript:Potri.016G018300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018300.v4.1 MDIDLRLPSGDHDKEGEEPNVNNMLSEVKLHNGDAETGNVVDVAEEILSIEGGDVNSPTPTTFKEDTNLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTSRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHGLLPAQAVSEQTRRMYAAMAQQFAEYKNVAGLKNDPKNSFDKGRNLGLEAGETKILLDFFTKMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYGNFSDVVSFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCALISDESAATYSWLMQTWLRAMGGQTPKVIITDQDKAMKLVISEVFPSAHHCFFLWNILGKVSENLGSVIKQNENFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESTNSHFDKHVHKKTTVQEFVRQYEPILQDRYEEEAKADSDTWNKQPSLKSPSPLEKSVSGVYTHAVFKKFQVEVLGVVACHPKMESQDEISVSFRVQDLEKHQDFTVLWNQMRLEVSCICRLYEYKGFLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSKHLLGEESEKVQSRVQRYNDLCQRALKLSEEASLSQESYNIAFRALGEVFGNCISMNNSNKSLVEAGTSTTHGLLCIEDDNQNRSMTKTNKKKNQAKKRKVNSEQEITTDGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMNGLGQMDFFRTPTGFAYSIRDDPNVRTAQLHDDGSRHA >Potri.016G018300.13.v4.1 pep chromosome:Pop_tri_v4:16:954708:958932:-1 gene:Potri.016G018300.v4.1 transcript:Potri.016G018300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018300.v4.1 MDIDLRLPSGDHDKEGEEPNVNNMLSEVKLHNGDAETGNVVDVAEEILSIEGGDVNSPTPTTFKEDTNLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTSRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHGLLPAQAVSEQTRRMYAAMAQQFAEYKNVAGLKNDPKNSFDKGRNLGLEAGETKILLDFFTKMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYGNFSDVVSFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCALISDESAATYSWLMQTWLRAMGGQTPKVIITDQDKAMKLVISEVFPSAHHCFFLWNILGKVSENLGSVIKQNENFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESTNSHFDKHVHKKTTVQEFVRQYEPILQDRYEEEAKADSDTWNKQPSLKSPSPLEKSVSGVYTHAVFKKFQVEVLGVVACHPKMESQDEISVSFRVQDLEKHQDFTVLWNQMRLEVSCICRLYEYKGFLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSKHLLGEESEKVQSRVQRYNDLCQRALKLSEEASLSQESYNIAFRALGEVFGNCISMNNSNKSLVEAGTSTTHGLLCIEDDNQNRSMTKTNKKKNQAKKRKVNSEQEITTDGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMNGLGQMDFFRTPTGFAYSIRDDPNVRTAQLHDDGSRHA >Potri.016G018300.14.v4.1 pep chromosome:Pop_tri_v4:16:955929:959292:-1 gene:Potri.016G018300.v4.1 transcript:Potri.016G018300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018300.v4.1 MDIDLRLPSGDHDKEGEEPNVNNMLSEVKLHNGDAETGNVVDVAEEILSIEGGDVNSPTPTTFKEDTNLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTSRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHGLLPAQAVSEQTRRMYAAMAQQFAEYKNVAGLKNDPKNSFDKGRNLGLEAGETKILLDFFTKMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYGNFSDVVSFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCALISDESAATYSWLMQTWLRAMGGQTPKVIITDQDKAMKLVISEVFPSAHHCFFLWNILGKVSENLGSVIKQNENFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESTNSHFDKHVHKKTTVQEFVRQYEPILQDRYEEEAKADSDTWNKQPSLKSPSPLEKSVSGVYTHAVFKKFQVEVLGVVACHPKMESQDEISVSFRVQDLEKHQDFTVLWNQMRLEVSCICRLYEYKGFLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSKHLLGEESEKVQSRVQRYNDLCQRALKLSEEASLSQESYNIAFRALGEVFGNCISMNNSNKSLVEAGTSTTHGLLCIEDDNQNRSMTKTNKKKNQAKKRKVGGQ >Potri.016G018300.10.v4.1 pep chromosome:Pop_tri_v4:16:954666:959309:-1 gene:Potri.016G018300.v4.1 transcript:Potri.016G018300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018300.v4.1 MDIDLRLPSGDHDKEGEEPNVNNMLSEVKLHNGDAETGNVVDVAEEILSIEGGDVNSPTPTTFKEDTNLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTSRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHGLLPAQAVSEQTRRMYAAMAQQFAEYKNVAGLKNDPKNSFDKGRNLGLEAGETKILLDFFTKMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYGNFSDVVSFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCALISDESAATYSWLMQTWLRAMGGQTPKVIITDQDKAMKLVISEVFPSAHHCFFLWNILGKVSENLGSVIKQNENFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESTNSHFDKHVHKKTTVQEFVRQYEPILQDRYEEEAKADSDTWNKQPSLKSPSPLEKSVSGVYTHAVFKKFQVEVLGVVACHPKMESQDEISVSFRVQDLEKHQDFTVLWNQMRLEVSCICRLYEYKGFLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSKHLLGEESEKVQSRVQRYNDLCQRALKLSEEASLSQESYNIAFRALGEVFGNCISMNNSNKSLVEAGTSTTHGLLCIEDDNQNRSMTKTNKKKNQAKKRKVNSEQEITTDGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMNGLGQMDFFRTPTGFAYSIRDDPNVRTAQLHDDGSRHA >Potri.016G018300.8.v4.1 pep chromosome:Pop_tri_v4:16:954649:959292:-1 gene:Potri.016G018300.v4.1 transcript:Potri.016G018300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018300.v4.1 MDIDLRLPSGDHDKEGEEPNVNNMLSEVKLHNGDAETGNVVDVAEEILSIEGGDVNSPTPTTFKEDTNLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTSRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHGLLPAQAVSEQTRRMYAAMAQQFAEYKNVAGLKNDPKNSFDKGRNLGLEAGETKILLDFFTKMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYGNFSDVVSFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCALISDESAATYSWLMQTWLRAMGGQTPKVIITDQDKAMKLVISEVFPSAHHCFFLWNILGKVSENLGSVIKQNENFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESTNSHFDKHVHKKTTVQEFVRQYEPILQDRYEEEAKADSDTWNKQPSLKSPSPLEKSVSGVYTHAVFKKFQVEVLGVVACHPKMESQDEISVSFRVQDLEKHQDFTVLWNQMRLEVSCICRLYEYKGFLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSKHLLGEESEKVQSRVQRYNDLCQRALKLSEEASLSQESYNIAFRALGEVFGNCISMNNSNKSLVEAGTSTTHGLLCIEDDNQNRSMTKTNKKKNQAKKRKVNSEQEITTDGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMNGLGQMDFFRTPTGFAYSIRDDPNVRTAQLHDDGSRHA >Potri.016G018300.12.v4.1 pep chromosome:Pop_tri_v4:16:954656:959113:-1 gene:Potri.016G018300.v4.1 transcript:Potri.016G018300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018300.v4.1 MDIDLRLPSGDHDKEGEEPNVNNMLSEVKLHNGDAETGNVVDVAEEILSIEGGDVNSPTPTTFKEDTNLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTSRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHGLLPAQAVSEQTRRMYAAMAQQFAEYKNVAGLKNDPKNSFDKGRNLGLEAGETKILLDFFTKMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYGNFSDVVSFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCALISDESAATYSWLMQTWLRAMGGQTPKVIITDQDKAMKLVISEVFPSAHHCFFLWNILGKVSENLGSVIKQNENFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESTNSHFDKHVHKKTTVQEFVRQYEPILQDRYEEEAKADSDTWNKQPSLKSPSPLEKSVSGVYTHAVFKKFQVEVLGVVACHPKMESQDEISVSFRVQDLEKHQDFTVLWNQMRLEVSCICRLYEYKGFLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSKHLLGEESEKVQSRVQRYNDLCQRALKLSEEASLSQESYNIAFRALGEVFGNCISMNNSNKSLVEAGTSTTHGLLCIEDDNQNRSMTKTNKKKNQAKKRKVNSEQEITTDGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMNGLGQMDFFRTPTGFAYSIRDDPNVRTAQLHDDGSRHA >Potri.016G018300.11.v4.1 pep chromosome:Pop_tri_v4:16:954714:959264:-1 gene:Potri.016G018300.v4.1 transcript:Potri.016G018300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018300.v4.1 MDIDLRLPSGDHDKEGEEPNVNNMLSEVKLHNGDAETGNVVDVAEEILSIEGGDVNSPTPTTFKEDTNLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTSRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHGLLPAQAVSEQTRRMYAAMAQQFAEYKNVAGLKNDPKNSFDKGRNLGLEAGETKILLDFFTKMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYGNFSDVVSFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCALISDESAATYSWLMQTWLRAMGGQTPKVIITDQDKAMKLVISEVFPSAHHCFFLWNILGKVSENLGSVIKQNENFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESTNSHFDKHVHKKTTVQEFVRQYEPILQDRYEEEAKADSDTWNKQPSLKSPSPLEKSVSGVYTHAVFKKFQVEVLGVVACHPKMESQDEISVSFRVQDLEKHQDFTVLWNQMRLEVSCICRLYEYKGFLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSKHLLGEESEKVQSRVQRYNDLCQRALKLSEEASLSQESYNIAFRALGEVFGNCISMNNSNKSLVEAGTSTTHGLLCIEDDNQNRSMTKTNKKKNQAKKRKVNSEQEITTDGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMNGLGQMDFFRTPTGFAYSIRDDPNVRTAQLHDDGSRHA >Potri.016G018300.9.v4.1 pep chromosome:Pop_tri_v4:16:954668:959120:-1 gene:Potri.016G018300.v4.1 transcript:Potri.016G018300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018300.v4.1 MDIDLRLPSGDHDKEGEEPNVNNMLSEVKLHNGDAETGNVVDVAEEILSIEGGDVNSPTPTTFKEDTNLEPLSGMEFESHGAAYSFYQEYARSMGFNTAIQNSRRSKTSREFIDAKFACSRYGTKREYDKSFNRPRSRQTKQDPENGTSRRSCSKTDCKASMHVKRRPDGKWVIHSFVKEHNHGLLPAQAVSEQTRRMYAAMAQQFAEYKNVAGLKNDPKNSFDKGRNLGLEAGETKILLDFFTKMQNMNSNFFYAVDLGEDQRLKNLFWADAKSRHDYGNFSDVVSFDTTYVRNKYKMPLALFVGVNQHYQFMLLGCALISDESAATYSWLMQTWLRAMGGQTPKVIITDQDKAMKLVISEVFPSAHHCFFLWNILGKVSENLGSVIKQNENFMAKFDKCIFRSWTENEFGKRWWKILDRFELRENEWMQSLYEDREQWVPIYMRGAFLAGMSTVLRSESTNSHFDKHVHKKTTVQEFVRQYEPILQDRYEEEAKADSDTWNKQPSLKSPSPLEKSVSGVYTHAVFKKFQVEVLGVVACHPKMESQDEISVSFRVQDLEKHQDFTVLWNQMRLEVSCICRLYEYKGFLCRHALVVLQMCQQSAIPSQYILKRWTKDAKSKHLLGEESEKVQSRVQRYNDLCQRALKLSEEASLSQESYNIAFRALGEVFGNCISMNNSNKSLVEAGTSTTHGLLCIEDDNQNRSMTKTNKKKNQAKKRKVNSEQEITTDGPQDSLQQMDKLSSRAVALEGYYGTQQGVPGMVQLNLMAPTRDNYYSNQQTIQGLGQLNSIAPSHDGYYGTQQSMNGLGQMDFFRTPTGFAYSIRDDPNVRTAQLHDDGSRHA >Potri.011G073291.1.v4.1 pep chromosome:Pop_tri_v4:11:7394670:7395537:1 gene:Potri.011G073291.v4.1 transcript:Potri.011G073291.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073291.v4.1 MAERGMTGRGSEMAGLRGEKKNRGRGGLLFSGSLLAKGREGLWLFFFVRESKTCPAEGRRNRKSKPPSARGLSSFGSPREEGWPAALVFSKGGFLPFSFPRPRGERGRPLGFKGWPCREDESLGFLPFGWLQGEK >Potri.006G001301.1.v4.1 pep chromosome:Pop_tri_v4:6:127461:128107:1 gene:Potri.006G001301.v4.1 transcript:Potri.006G001301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001301.v4.1 MLGSAFLPPKPSLSHSSPSHFSPWVESPIRAFVVTASAATISPDSVKAKSSRNTLYEILCVDQTASQAEIKAAYRSLAKLHHPDITPSDRDGQDFIDIHNAYATLSDPAARASYDLSIRASAPCYRFRYSTSNTFQGHRPTRRWETDQCW >Potri.001G123400.6.v4.1 pep chromosome:Pop_tri_v4:1:10114452:10129588:-1 gene:Potri.001G123400.v4.1 transcript:Potri.001G123400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123400.v4.1 MKDNSSTSSKMISRNWVLKRKRKKILYGRVVSTGKEDNLESPRNTSAAKRRPKSEQSSDLSSSKKKGNDGYYYECVICDLGGNLLCCDSCPRVYHLQCLDPPLKRIPMGKWQCPKCSQKSDPLKSISPLGSISKRARTKIITTNSRTGFKSSGTDKVSALFGSSIVSKRRSSSKGKSTLTVGSKPIEKEPDSSSDVLCSTKSCDPSAVSSVDGTSLHVNIDDEKKCDASPKESTAGKKTISLADELFSHSKLTESKPNNEGSGEKHVLACDNGSPRKKIVLAIGAASENRKRKLEGNSVDSVKKPRTNKGKRTSIKYRPKANNASSGTSKLNQKRKTINHEVSLLLPTEDVEVKNIELQKKDEKNPVEVAQPLEESYKAEVHVDETQKCEDIVMTELQQVDRVLGCRIEGENASLSCCTSLISKNDRPSDELLISETENGHLEEKAAGDTYSDLGVAENHVEGHPGVIESSEKDESVKNDIRVDTIRVYRRSASKDYKGGNSKDLLGKDGKDSGSGGISGKDQDESAVTTEVMVKRHENPVIEETTDFCLKNSDADQISEVCEMHVSPETKDTKEEDMKIKTSSCENKVPEPAMEELACAHKDTTSYEFLVKWVGRSHIHNSWISESQLKALAKRKLENYKAKYGTALINICEEKWKQPQRVIALRASEDGSREAFVKWTGLPYDECTWESLDDPVLKKSVHLINQFSQFERQTLEKDSARDDLQKGRRDGLQNEIATLMEQPEELKGGSLFPHQLEALNWLRKCWHKSKNVILADEMGLGKTVSACAFLSSLYFEFKASLPCLVLVPLSTMPNWFSEFALWAPNLNVVEYHGCAKARAMIRLYEWHASDPNKMNKKTTSYKFNVLLTTYEMVLADSTYLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLTSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQMLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKILYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVSDRQTAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFSESSSMNGKDNSDNNINKDKDDTIVDLEQKQRKRSGGLGDVYQDKCTDGGNKIVWDENAISKLLDRTNLQSASTDAAEGDFENEMLGSVKSLEWNDETTEEQGGAESLVVVDDTCGQNPERKEDNVVNVTEENEWDRLLRLRWEKYQNEEEAALGRGKRLRKAVSYREAYAPHPNETLNESGGEEDQEPEAEPEREYTPAGRALKAKYTKLRSRQKERLAQRNAIEVFRPNEGLPVRELVLHCPPTNEIDRDRAMEFAQQGREKAFVINLEDDEFSQQDATKRNADATIKLGHLSNHKLSSHLDLSMNSLGHPSSDTILPIPQNHGRGNKNLLSSNNQLPVLGLCAPNANQLDLLHKSSSRSKGQQSKPVPGPEFPFSLPPCSETSIEMDIKHQEPTSDKPKLLDASAEILQPRLKNNFADGWHSFSPCPPISQGKDSDHLEGSSSSFAVFQEKMSLPNFPFDENLLSRFPLPSKSMPSNHDLLPSLSLGRRLEAVNDSTRDLPAMPLLPNLKFPPQDATRYNQLEREVPPTLGLGQMPSAFSSFPENHRKVLENIMMRTGSGSSSLYRKKSKIDVWSEDELDFLWVGVRRYGRGNWDAILRDPRLKFSKYKTSEDLAARWEEEQFKFLDGSAFPLPKMMKPTKSSKSSLFPSIPEGMMTRALHGSRLVTPSKFQSHLTDMKLGFGDLSSSLPHLEPLDQFSLQNEHFGPIPTWNSDELRVSFVGDSSVGPSHVSSEKPFLLNSFGASTLATLGLNSSSNFDLQRREEEYNTMKYGKSPSLLDRSLHILHDSHNNVGSGELSSSALFLDPNKVLNPFHSKGKEVVGSSSSNKLPHWLREAVSAPPVKPAIPDLPPTVSAIAQSVRVLYGENQPTIPPFIVPGPPPSQPKDPRRILRKKKKRRSHMFRQFPLDIGGSSQDFRNSIHGSNVASTSIPQVPPLVHETSGPWNESDFNLPLPSLHKMNSLTSSAYLNIQKKTTMGLSPSPEVLQLVASCVAPGPHLSSGSGATSASLHESKVPLPKSPDQVGISDPLGALEEPMDTERSPPQVQCIPEKRLDQPDSGDSSKTESDLSPIKHPDVEDISSEGTLSDHPVSDQEP >Potri.001G123400.4.v4.1 pep chromosome:Pop_tri_v4:1:10114455:10129153:-1 gene:Potri.001G123400.v4.1 transcript:Potri.001G123400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123400.v4.1 MKDNSSTSSKMISRNWVLKRKRKKILYGRVVSTGKEDNLESPRNTSAAKRRPKSEQSSDLSSSKKKGNDGYYYECVICDLGGNLLCCDSCPRVYHLQCLDPPLKRIPMGKWQCPKCSQKSDPLKSISPLGSISKRARTKIITTNSRTGFKSSGTDKVSALFGSSIVSKRRSSSKGKSTLTVGSKPIEKEPDSSSDVLCSTKSCDPSAVSSVDGTSLHVNIDDEKKCDASPKESTAGKKTISLADELFSHSKLTESKPNNEGSGEKHVLACDNGSPRKKIVLAIGAASENRKRKLEGNSVDSVKKPRTNKGKRTSIKYRPKANNASSGTSKLNQKRKTINHEVSLLLPTEDVEVKNIELQKKDENPVEVAQPLEESYKAEVHVDETQKCEDIVMTELQQVDRVLGCRIEGENASLSCCTSLISKNDRPSDELLISETENGHLEEKAAGDTYSDLGVAENHVEGHPGVIESSEKDESVKNDIRVDTIRVYRRSASKDYKGGNSKDLLGKDGKDSGSGGISGKDQDESAVTTEVMVKRHENPVIEETTDFCLKNSDADQISEVCEMHVSPETKDTKEEDMKIKTSSCENKVPEPAMEELACAHKDTTSYEFLVKWVGRSHIHNSWISESQLKALAKRKLENYKAKYGTALINICEEKWKQPQRVIALRASEDGSREAFVKWTGLPYDECTWESLDDPVLKKSVHLINQFSQFERQTLEKDSARDDLQKGRRDGLQNEIATLMEQPEELKGGSLFPHQLEALNWLRKCWHKSKNVILADEMGLGKTVSACAFLSSLYFEFKASLPCLVLVPLSTMPNWFSEFALWAPNLNVVEYHGCAKARAMIRLYEWHASDPNKMNKKTTSYKFNVLLTTYEMVLADSTYLRGVPWEVLVVDEGHRLKNSGSKLFSLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLTSFEEKFNDLTTAEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERMVPVELSSIQAEYYRAMLTKNYQMLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKILYKEGHRVLIFSQMTKLLDILEDYLTIEFGPKTYERVDGSVSVSDRQTAIARFNQDKSRFVFLLSTRSCGLGINLATADTVIIYDSDFNPHADIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLAKKKLMLDQLFVNKSGSQKEVEDILRWGTEELFSESSSMNGKDNSDNNINKDKDDTIVDLEQKQRKRSGGLGDVYQDKCTDGGNKIVWDENAISKLLDRTNLQSASTDAAEGDFENEMLGSVKSLEWNDETTEEQGGAESLVVVDDTCGQNPERKEDNVVNVTEENEWDRLLRLRWEKYQNEEEAALGRGKRLRKAVSYREAYAPHPNETLNESGGEEDQEPEAEPEREYTPAGRALKAKYTKLRSRQKERLAQRNAIEVFRPNEGLPVRELVLHCPPTNEIDRDRAMEFAQQGREKAFVINLEDDEFSQQDATKRNADATIKLGHLSNHKLSSHLDLSMNSLGHPSSDTILPIPQNHGRGNKNLLSSNNQLPVLGLCAPNANQLDLLHKSSSRSKGQQSKPVPGPEFPFSLPPCSETSIEMDIKHQEPTSDKPKLLDASAEILQPRLKNNFADGWHSFSPCPPISQGKDSDHLEGSSSSFAVFQEKMSLPNFPFDENLLSRFPLPSKSMPSNHDLLPSLSLGRRLEAVNDSTRDLPAMPLLPNLKFPPQDATRYNQLEREVPPTLGLGQMPSAFSSFPENHRKVLENIMMRTGSGSSSLYRKKSKIDVWSEDELDFLWVGVRRYGRGNWDAILRDPRLKFSKYKTSEDLAARWEEEQFKFLDGSAFPLPKMMKPTKSSKSSLFPSIPEGMMTRALHGSRLVTPSKFQSHLTDMKLGFGDLSSSLPHLEPLDQFSLQNEHFGPIPTWNSDELRVSFVGDSSVGPSHVSSEKPFLLNSFGASTLATLGLNSSSNFDLQRREEEYNTMKYGKSPSLLDRSLHILHDSHNNVGSGELSSSALFLDPNKVLNPFHSKGKEVVGSSSSNKLPHWLREAVSAPPVKPAIPDLPPTVSAIAQSVRVLYGENQPTIPPFIVPGPPPSQPKDPRRILRKKKKRRSHMFRQFPLDIGGSSQDFRNSIHGSNVASTSIPQVPPLVHETSGPWNESDFNLPLPSLHKMNSLTSSAYLNIQKKTTMGLSPSPEVLQLVASCVAPGPHLSSGSGATSASLHESKVPLPKSPDQVGISDPLGALEEPMDTERSPPQVQCIPEKRLDQPDSGDSSKTESDLSPIKHPDVEDISSEGTLSDHPVSDQEP >Potri.011G025400.1.v4.1 pep chromosome:Pop_tri_v4:11:1891029:1893894:-1 gene:Potri.011G025400.v4.1 transcript:Potri.011G025400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025400.v4.1 MATMNTPLLATPKTSRRKTICLVLSMVAILSSTTLVTMRYYTKTDPSSPPGLLQNLCDHAYDQESCLAVVSQIASNTSTKMSQVGLLQLLLGKSTPHIQNTIEKAKVIHSRINDAREQAALGDCVELMEISKYRIKDTIVALERVTSKSHANALTWLSSVLTNHDTCLDGLNGPARSTMEPDLNDLILRARTSLAILAAISPSKENNDIFSLKEDFPSWLPSMDRKLLVALPKDINADVTVAKDGSGKYKTVKEAVASAPDNGKTRYVIYVKKGTYKENVEVGKKKKNVMLVGDGMDSTIITGSLNVVDGSTTFNSATVAAVGDGFIAQDIWFQNTAGPEKHQAVALRVGADQSVINRCRIDAYQDTLYTHSLRQFYRDSYITGTVDFIFGNAAVVLQNCKLVPRKPMSGQKNMVTAQGRTDPNQNTGTSIQKCDIIASSDLTPVKSSFKSFLGRPWKEYSRTVVMQSNIGDLIDPAGWSAWDGEFALKTLYYGEYLNQGAGAGTSKRVNWAGYHVITSANEAKKFTVAELIQGGVWLKSTGVSYTEGL >Potri.007G145300.1.v4.1 pep chromosome:Pop_tri_v4:7:15409653:15412030:-1 gene:Potri.007G145300.v4.1 transcript:Potri.007G145300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145300.v4.1 MAKFVVSFVVLSFFYSFHLSNAASTTHNVIKFGAKPDGKTDATQPFLKAWSAACRSATPSTIYVPKGRYLLKAIVFRGPCKNKITVQIGGTLIAPTDYRALGNSGYWILFIETNRVSVFGGTLDAKGAGFWACRKSRQNCPVGAASITFNWANDILISGLTSINSQSTHLVINSCKKVVVRNVRTIAPDQSPNTDGIHVQASTGVSITGSTLQTGDDCISIGPGTRNMLMSGIKCGPGHGISIGSLGKEFNEDGVENIMVTNSVFSGSDNGVRIKSWARPSNGFVRKVVYKNLIMKNVQNPIIIDQNYCPDNKGCPRQTSGLKISQVIYKNIQGTSATAEAVTFDCSPSNPCRGIRLQDIRLTYKNKAATSSCKNVGGTSAGVLMPESCV >Potri.001G373500.2.v4.1 pep chromosome:Pop_tri_v4:1:39169566:39175424:-1 gene:Potri.001G373500.v4.1 transcript:Potri.001G373500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373500.v4.1 MGSEEQKKKNPKKKQKLPINPNWAQLQQTLQIHGSRRPKTSNTETQDSILGKRKERPDAESDKSQINPLIPTNDDFSVTDAIAMDCEMVGVGQGNRSALGRVTLVNQWGNVLYDEFVRPVERVADFRTQISGIRPRDLRKARDFSTAQKKVAVLIKGRILVGHALSNDLKALLLGHPKKDLRDTSEYQPFLKGRRRKALRHLAAEFLGAKIQSGEHCPIEDARAAMLLYQRNKKEWEKSIRDQMRLRQKQNKRNQKGKTNEGGALDVNRVAIIS >Potri.010G056100.1.v4.1 pep chromosome:Pop_tri_v4:10:8673109:8677925:-1 gene:Potri.010G056100.v4.1 transcript:Potri.010G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056100.v4.1 MAAAASLAGSNVVAYRSAAHFPTSADTRIAHFRPFSTVSAGVGHRISLQCRSRSSFASSGVRAQVATSEKASAEAAQKVQSPVVVVTGASRGIGKAIALSLGKAGCKVLVNYARSSKEAEEVSKEIEAYGGQALTFGGDVSKEADVESMMKTAVDAWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKRKGRIINIASVVGLVGNAGQANYSAAKAGVIGLTKTVAKEYASRNINVNAVAPGFIASDMTAKLGDDIEKKILETIPLGRYGQPEEVAGLVEFLALNPASSYITGQVFTIDGGMVM >Potri.006G166816.1.v4.1 pep chromosome:Pop_tri_v4:6:16600531:16600896:1 gene:Potri.006G166816.v4.1 transcript:Potri.006G166816.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166816.v4.1 MYLSIQIFDSQHLRRGSNNGSFYFGLSSRNRWQLIHHNKYKQTL >Potri.001G383801.1.v4.1 pep chromosome:Pop_tri_v4:1:40340237:40340884:1 gene:Potri.001G383801.v4.1 transcript:Potri.001G383801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383801.v4.1 MFGFSGVLIMFIGRCGGWPPFLELLFPFRLDQLLFYRSLRT >Potri.018G052700.2.v4.1 pep chromosome:Pop_tri_v4:18:5150161:5152773:-1 gene:Potri.018G052700.v4.1 transcript:Potri.018G052700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G052700.v4.1 MKPPACAACRHQRRRCRPDCPLAPFFPHEKAKDFEAAHKLFGVHNIVNNLKKIIPEQKPESITSMVYEANARVRDPVSGCTGIISRLLQNINAVKVELEFVQAQVACFHTLQQQLGDQNVVDNVGFVDFLQENSSANIVQSLNQDCNFLYENLQNPQQLQESSFAQETKLFDGVHQGISLSIGQPAVKPERRSSSSPSSSKAHGKQPLQDFHRGLKHDGKSCQHEQWTI >Potri.019G103500.1.v4.1 pep chromosome:Pop_tri_v4:19:13912678:13916402:1 gene:Potri.019G103500.v4.1 transcript:Potri.019G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103500.v4.1 METKNTNGSRSYEHDIIGWFEDISEKAGQVQTETLRRILDLNWGVEYLKKWLGDIDVRDMDASALESLYTSLVPLASHADLEPFINRIADGDTAPLLTQQPITLLSLSSGTTEGRQKYVPFTDHSARTTLQIFSLAAAYRSRVYPIREGGRILEFIYSSKQFKTKGGLTVGTATTHYYASEEFKIKQEKTKCFTCSPPEVISGGDYKQSTYCHLLLGLYFGDQVEFITSTFAYSIVQAFRAFEDVWREICDDIKQGTLSERVLTLPKMRKAVLAIISPNPSLASRIEESCKELETLNWLGLITKLWPNAKYVYSIMTGSMQPYFKKLRHYAGGLALVSADYGSTESWIGANVDPYLPPEDVTFAVIPTFSYFEFMPLYRENQDCSSAIDDFIEDEPVPLSKVKVGQEYEIVLTTFTGLYRCRLGDVVEVAGFHKGTPKLNFICRRKLILTINIDKNTEKDLQLVVEKGSQVLTSKGRAELVDFTSHAEVEKQPGHYIIYWEIKGEVEEGILGECCKEMDASFADHGYVVSRRTNSIGPLELRVVKIGTFKKILDYFIGNGSALSQFKTPRCTSNQGILKILNGSTITRFFSTAYC >Potri.018G068400.1.v4.1 pep chromosome:Pop_tri_v4:18:8257866:8262940:-1 gene:Potri.018G068400.v4.1 transcript:Potri.018G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068400.v4.1 MSNCRYNSVHLFVLTILLLNSGQTLCHTKGLRTRNPRGVQPMMNASRVQFSEQQFMKWVTFVGSLKHSVFKAAKNKIFPSYTLIVAKNPSAGDFTTIQEAIDSLPFINLVRVIIKIRAGVYKEKVNIPPLKSFITMEGAGADNTIVQWGDTAQTPGARGQPMGTYSSATFAVNSPFFVAKNITFKNTAPLPAPGAMGQQAVALRISADTAAFLGCKFLGAQDTLYDHVGRHYYKDCYIEGSVDFIFGNGLSLFEGCHVHAIAQFTGALTAQGRSSLLEDTGFSFVNCKVTGSGALYLGRAWGPFSRVVFAYTYMDNIIIPKGWYNWGDPNRELTVFYGQYKCTGPGASFAGRVSWSRELTDSEAKPFTSLTFIDGSEWIKM >Potri.007G049900.1.v4.1 pep chromosome:Pop_tri_v4:7:4721675:4723716:-1 gene:Potri.007G049900.v4.1 transcript:Potri.007G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049900.v4.1 MEDILQYSLLGGFFLLLVVTLLQHARKYRMKLPPSPPGRLILGHLPLLKQPRAIHRTLHDIAQKNGPIVTLNFGFRTVIIVSSPSAVEECFTKNDIILANRPPFLNGKVLNYNFTTLAAAPYGDHWRNLRRLTAIEVFSSSRLNTFSSVRREEIKNLLRKIHKTCGDGSAVIELRTMLLDLNFNIMMRMVAGKKYYGEDVDGLEESRRFKDMMQEFSECTRVTNLGDLFPILQCIDYDGFKNRMTQLGKRMDAFWQGLIDEHRVDKDRNTMVSHLLALQESEPEYYTDEIIKGIILMMLVAGTKTSAMSLEWAFSNLLNNPRVLKKAIDEVDTQVGQDRLVDEPDFSNLHYIQCIIYENLRLCPPAPLLVPHVASERCSLGGYDIPSGAMVLVNAWSIHRNPDVWEDPLSFKPERFENGKGEPYRLMPFGLGRRGCPGEAMALRVINMVMGQLLQCFEFSTIDGKDVDMTETAATLMLKITPLQLICKVRPNTHSLLA >Potri.006G192800.2.v4.1 pep chromosome:Pop_tri_v4:6:19957746:19961899:1 gene:Potri.006G192800.v4.1 transcript:Potri.006G192800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192800.v4.1 MLKAIQNLGSSTTTTTTTTTGFVASFYRLKPPHATTTSAFVSISSSLLSYYSPRTRPTKPLLFLTRKTRSLEPVRAHSTRSESKMDGTSSSSSVAVQSSGSVRKINFCQWCGGQTKHDIPDGEEKMRAICTVCGKITYQNPKMVVGCLIEHDNKVLLCKRNIQPSFGLWTLPAGYLEIGESAAEGAIRETWEEAHAEVEVVSPFAHLDIPLIGQTYIIFLAKLRKPHFSPGPESLECQLFSLDDIPFDSLAFSSMAVTLKLYIEDVKAGSRKFHYGTINKRPGSSPSDTHAYTLDNHLQS >Potri.003G101900.1.v4.1 pep chromosome:Pop_tri_v4:3:12676164:12677747:-1 gene:Potri.003G101900.v4.1 transcript:Potri.003G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101900.v4.1 MDQCTRNAFAEERSTVNKAQKRKRTGKKQANMRRLKAKMAEIGEQQKRIKRGQMEIREKFEEIEFECDQLRKETLLISQQAACNQQRLNLMLKIVKAREDNNLSEADRLIQCLREGMMKQTWKKL >Potri.002G012800.3.v4.1 pep chromosome:Pop_tri_v4:2:785770:789638:1 gene:Potri.002G012800.v4.1 transcript:Potri.002G012800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012800.v4.1 MADSSSSLTVHPGSGFCKLNSVFYSKRKPIPLPQTDFLDTTTFIFSRPHHGKTAFIDAATGRHLSFKDLWRAVDSVATCLHDMGIRKGQVILLLSPNSIFFPIVCLSVMWLGAIITTTNPLNTPREIAKQIANSKPSLAFTTPELVSKLTESSSNLPIVLIDDETGTSIKTKANILTTLSEMVKREPRESRVRERVNQDDTATLLYSSGTTGESKGVVSSHKNLIAMVQTIVERFRLNEGRHTFVCTVPMFHIYGLAAFATGILASGSTIIVLSKFEMGEMLSTIEKYRATYLPLVPPILVAMINGADQIRTKYDLSSLQSVLSGGAPLSKEVIEGFSNKYPGVTILQGYGLTESTAIGASTDTLEESRRYGTAGLLSPNTEAKIVDPERGKALPVNQTGELWLRAPSVMKGYFRNAEATSSTIDSEGWLRTGDLCYIDDDGFIFVVDRLKELIKYKGYQVPPAELEALLLAHPEISDAAVIPFPDKDAGQFPMAYVVRKAGSNLSEKAVEDFIAGQVAPYKRIRRVAFIAAIPKNPSGKILRKDLIKLATSKL >Potri.001G183700.1.v4.1 pep chromosome:Pop_tri_v4:1:16263843:16265803:-1 gene:Potri.001G183700.v4.1 transcript:Potri.001G183700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183700.v4.1 MKSIGVLMTCPMHKYLEQQLETHFNLFKLWHCNSSITEFLKTHQGNTIRAVVGNTEIGADVELIASLPSLEIVASYSVGLDKIDLRKCEEKGIRVANTPDVLTDDVADLAIGLILGVLRGICASDAYVRIGKWKDADFGLATKFSGKSVGIVGLGRIGTAIAKRAEAFGCSISYFSRSQKPFANYKFYSNIIDLATSCQILIVACALTEETRHIINREVIDALGPKGILINIGRGAHVDETELVSALLEGRLGGAGLDVYENEPDVPEELLGLGNVVLQPHVGSDTVETSDAMADLVISNLKAHFSKKSLLTPVI >Potri.011G085700.3.v4.1 pep chromosome:Pop_tri_v4:11:10310141:10311704:-1 gene:Potri.011G085700.v4.1 transcript:Potri.011G085700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085700.v4.1 MKCPACPAKAAVCSGSWGAMEATAISSSLKLLITFPANKKALITTRKKRDTIQFSDQFPNSKSSTGHYPSPTGITINGRRLKTRFKISAINDYGISAAADIAQVEVTWQIIVGAIAGVTPFVVAGIEFSKRIEGFYRGRHGKDSSLVSVCVYFGIHMYFLIYIHEERCTFTYVILLVEDCFDYFLV >Potri.011G085700.2.v4.1 pep chromosome:Pop_tri_v4:11:10310141:10311704:-1 gene:Potri.011G085700.v4.1 transcript:Potri.011G085700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085700.v4.1 MEATAISSSLKLLITFPANKKALITTRKKRDTIQFSDQFPNSKSSTGHYPSPTGITINGRRLKTRFKISAINDYGISAAADIAQVEVTWQIIVGAIAGVTPFVVAGIEFSKRILARTSTNLMGLEVNDHVSLQWPSYEQPQGSNLRPQSEQTSWSQALTTGPPSRWLTRTIFVAQDVEGFYRGRHGKDSSLVSVCVYFGIHMYFLIYIHEERCTFTYVILLVEDCFDYFLV >Potri.011G085700.4.v4.1 pep chromosome:Pop_tri_v4:11:10310141:10311704:-1 gene:Potri.011G085700.v4.1 transcript:Potri.011G085700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085700.v4.1 MKCPACPAKAAVCSGSWGAMEATAISSSLKLLITFPANKKALITTRKKRDTIQFSDQFPNSKSSTGHYPSPTGITINGRRLKTRFKISAINDYGISAAADIAQVEVTWQIIVGAIAGVTPFVVAGIEFSKRIFNVGVRASLRAPRLISWALKLTIM >Potri.013G106500.3.v4.1 pep chromosome:Pop_tri_v4:13:11563755:11567794:-1 gene:Potri.013G106500.v4.1 transcript:Potri.013G106500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106500.v4.1 MLPTSDCQSDSMSCSSNTLQVILLFIALYLVALGQGGHKPCVQAFGADQFDRQDTKEYKSKSSFFNWWYFFMSSGILVSLLVLTYIQDNLSWSLGFGIPCIMMICALIIFLLGSKKYRYSVKREGNNALLRITRVFVAAFRNWRFTPSSIASEEEGRGTVPHQSYEQFKFLNNALLTTDGSKEDQKVCTFRDVEEAKAVLRLIPIWTTCLGYAIVFPQSSTFFVKQAATMDRSISPGFEIPAASLESFSSISMILCIAIYDRLFVPVARALTRKPSGISMLQRIGTGMFLSAVSIAFAALVEMKRLEIAQESGLVNEPNVTVPMSVWWLVPSYVLFGVADVFTMVGLQEIFYDQVPSDLKSVGLSLYLSIFGVGKFLSSFLISVIEKATGGIGCYSWFNNNLNRAHLDYFYWILAALSVVELIMYMYYTRFYIYSRDERI >Potri.013G106500.1.v4.1 pep chromosome:Pop_tri_v4:13:11563763:11567798:-1 gene:Potri.013G106500.v4.1 transcript:Potri.013G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106500.v4.1 MSISNLSSSETQEVRTPLLNDTVDDCVDYKGNPVCRYNSGGWRSAAFIIGVEVAERFAYYGISSNLITYLTGPLGQSTVTAAKNVNVWSGTASLLPLFGAFVADSFLGRCRTIIIASLIYILGLGLLTLSAMLPTSDCQSDSMSCSSNTLQVILLFIALYLVALGQGGHKPCVQAFGADQFDRQDTKEYKSKSSFFNWWYFFMSSGILVSLLVLTYIQDNLSWSLGFGIPCIMMICALIIFLLGSKKYRYSVKREGNNALLRITRVFVAAFRNWRFTPSSIASEEEGRGTVPHQSYEQFKFLNNALLTTDGSKEDQKVCTFRDVEEAKAVLRLIPIWTTCLGYAIVFPQSSTFFVKQAATMDRSISPGFEIPAASLESFSSISMILCIAIYDRLFVPVARALTRKPSGISMLQRIGTGMFLSAVSIAFAALVEMKRLEIAQESGLVNEPNVTVPMSVWWLVPSYVLFGVADVFTMVGLQEIFYDQVPSDLKSVGLSLYLSIFGVGKFLSSFLISVIEKATGGIGCYSWFNNNLNRAHLDYFYWILAALSVVELIMYMYYTRFYIYSRDERI >Potri.008G166566.1.v4.1 pep chromosome:Pop_tri_v4:8:11498667:11500345:-1 gene:Potri.008G166566.v4.1 transcript:Potri.008G166566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166566.v4.1 MWEVEAAARASNSSGTVEVVLDNTDDTKNLAIPKVVLKEKAPCPANSSNDQRVPTNQNYKNIPAHRKRSKFIEEERPQPYKLHSDDNVVRTSRKDEELCSAQSSASKKQATSRCSFSVPRCCSSSECKPSQECESSDLKQSWEAQIEKEQSSCKDGCQ >Potri.001G140400.2.v4.1 pep chromosome:Pop_tri_v4:1:11470753:11472974:1 gene:Potri.001G140400.v4.1 transcript:Potri.001G140400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140400.v4.1 MLRAVMEEKVAAEQVHKQEQINEEESGVVQNYVREVENKEEKADGIEVEKPQIPKEIKEEKNESKAETAIDDNKQSQAKKVGVNQADIAKKPKQAPKQIPKMKITVPQPFSLATDKRMSRERRASVDFKDLQLPKLSKSASVNYKVQSSPSAKDLVASKLKRSATTASNPRPRVTSTKTDDKKVENGVKTKEKIQVKDIQNKSSQSIPKNQAEESETNKLRKNSTFKTLPLPSFILRKESTSNPEIKKVPTTGPRSALPGRHGHKSISDAEKNKTKNIGKNTVSRTISSSAKETISTLIKGTRKALNPSKETVKSVVSIA >Potri.001G140400.6.v4.1 pep chromosome:Pop_tri_v4:1:11470258:11472974:1 gene:Potri.001G140400.v4.1 transcript:Potri.001G140400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140400.v4.1 MEEKVAAEQVHKQEQINEEESGVVQNYVREVENKEEKADGIEVEKPQIPKEIKEEKNESKAETAIDDNKQSQAKKVGVNQADIAKKPKQAPKQIPKMKITVPQPFSLATDKRMSRERRASVDFKDLQLPKLSKSASVNYKVQSSPSAKDLVASKLKRSATTASNPRPRVTSTKTDDKKVEKNQAEESETNKLRKNSTFKTLPLPSFILRKESTSNPEIKKVPTTGPRSALPGRHGHKSISDAEKNKTKNIGKNTVSRTISSSAKETISTLIKGTRKALNPSKETVKSVVSIA >Potri.001G140400.7.v4.1 pep chromosome:Pop_tri_v4:1:11470867:11473017:1 gene:Potri.001G140400.v4.1 transcript:Potri.001G140400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140400.v4.1 MEEKVAAEQVHKQEQINEEESGVVQNYVREVENKEEKADGIEVEKPQIPKEIKEEKNESKAETAIDDNKQSQAKKVGVNQADIAKKPKQAPKQIPKMKITVPQPFSLATDKRMSRERRASVDFKDLQLPKLSKSASVNYKVQSSPSAKDLVASKLKRSATTASNPRPRVTSTKTDDKKVENGVKTKEKIQVKDIQNKSSQSIPKVY >Potri.001G140400.3.v4.1 pep chromosome:Pop_tri_v4:1:11470258:11472974:1 gene:Potri.001G140400.v4.1 transcript:Potri.001G140400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140400.v4.1 MEEKVAAEQVHKQEQINEEESGVVQNYVREVENKEEKADGIEVEKPQIPKEIKEEKNESKAETAIDDNKQSQAKKVGVNQADIAKKPKQAPKQIPKMKITVPQPFSLATDKRMSRERRASVDFKDLQLPKLSKSASVNYKVQSSPSAKDLVASKLKRSATTASNPRPRVTSTKTDDKKVENGVKTKEKIQVKDIQNKSSQSIPKKNQAEESETNKLRKNSTFKTLPLPSFILRKESTSNPEIKKVPTTGPRSALPGRHGHKSISDAEKNKTKNIGKNTVSRTISSSAKETISTLIKGTRKALNPSKETVKSVVSIA >Potri.001G140400.1.v4.1 pep chromosome:Pop_tri_v4:1:11470753:11472974:1 gene:Potri.001G140400.v4.1 transcript:Potri.001G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140400.v4.1 MLRAVMEEKVAAEQVHKQEQINEEESGVVQNYVREVENKEEKADGIEVEKPQIPKEIKEEKNESKAETAIDDNKQSQAKKVGVNQADIAKKPKQAPKQIPKMKITVPQPFSLATDKRMSRERRASVDFKDLQLPKLSKSASVNYKVQSSPSAKDLVASKLKRSATTASNPRPRVTSTKTDDKKVENGVKTKEKIQVKDIQNKSSQSIPKKNQAEESETNKLRKNSTFKTLPLPSFILRKESTSNPEIKKVPTTGPRSALPGRHGHKSISDAEKNKTKNIGKNTVSRTISSSAKETISTLIKGTRKALNPSKETVKSVVSIA >Potri.001G140400.5.v4.1 pep chromosome:Pop_tri_v4:1:11470753:11472974:1 gene:Potri.001G140400.v4.1 transcript:Potri.001G140400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140400.v4.1 MLRAVMEEKVAAEQVHKQEQINEEESGVVQNYVREVENKEEKADGIEVEKPQIPKEIKEEKNESKAETAIDDNKQSQAKKVGVNQADIAKKPKQAPKQIPKMKITVPQPFSLATDKRMSRERRASVDFKDLQLPKLSKSASVNYKVQSSPSAKDLVASKLKRSATTASNPRPRVTSTKTDDKKVEKNQAEESETNKLRKNSTFKTLPLPSFILRKESTSNPEIKKVPTTGPRSALPGRHGHKSISDAEKNKTKNIGKNTVSRTISSSAKETISTLIKGTRKALNPSKETVKSVVSIA >Potri.001G140400.4.v4.1 pep chromosome:Pop_tri_v4:1:11470258:11472974:1 gene:Potri.001G140400.v4.1 transcript:Potri.001G140400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140400.v4.1 MEEKVAAEQVHKQEQINEEESGVVQNYVREVENKEEKADGIEVEKPQIPKEIKEEKNESKAETAIDDNKQSQAKKVGVNQADIAKKPKQAPKQIPKMKITVPQPFSLATDKRMSRERRASVDFKDLQLPKLSKSASVNYKVQSSPSAKDLVASKLKRSATTASNPRPRVTSTKTDDKKVENGVKTKEKIQVKDIQNKSSQSIPKNQAEESETNKLRKNSTFKTLPLPSFILRKESTSNPEIKKVPTTGPRSALPGRHGHKSISDAEKNKTKNIGKNTVSRTISSSAKETISTLIKGTRKALNPSKETVKSVVSIA >Potri.010G185600.1.v4.1 pep chromosome:Pop_tri_v4:10:18270896:18275152:1 gene:Potri.010G185600.v4.1 transcript:Potri.010G185600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185600.v4.1 MAKPEDDLSDPVVRNHNNDEEEEEEGEQNDDIEDEAEDEDEEEDKDLESQKAKLRNSFQNFFSRIQHESVPIRVHDVVIKGNTKTKDYLIEALTASLKDASTIQELFAASSEVNFRLQALEIFDSVKITLDSGPPELPGTANVIVDVVETRSPLLGEIGVFNKGEASSSSLEGTLKYKNIFGYGDLWDGALAYDCDRKAEVSAGVFLPRFKGLVTPVTARLFLQSQDCLKFSSFKERSLGLSLGLFSTRNHDLVYNLAWRTLTDPSRMASSSIRRQLGHGLLSSLKYTFKIDRRNSPLRPTHGFAFVSSTQIGGLAPDSRSLRFLRQELDLRCAIPLGFYRSALNLGISAGVVFPWGSGFSSMPSPLPERFFLGGNLSPVCTLGGPTSLWGFRTRGLGPTEPRRQFRSNPANENTDSGRDHLGGDLALAVFADFSFDFPSKWLSAKGIHGHFFVSAGNVEKLTENAYRNFSLRKFTESFRSSVGVGVVIPTNLFRMELNYCYILKKFGEDCGKSGFRVSFASPS >Potri.004G214000.8.v4.1 pep chromosome:Pop_tri_v4:4:22057404:22066195:1 gene:Potri.004G214000.v4.1 transcript:Potri.004G214000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214000.v4.1 MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSVRQPISFEDSPEWEDTDIDVRVEEGGDSINVAITPASPSLSKLNSGSLPSPPLPERAVVARKIAGASVVWKDLTVTIKGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSARMYGEIFVNGAKSRMRYGTYGFVERETTLIGSLTVREYLYYSALLQLPGFFCQKKSVVEDAIHAMSLSDYANKLIGGHCYFKGLPSGERRRISIARELVMRPHVLFIDEPLYHLDSVSTLLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEREGPLLKSKGKAGIATRVAVLTWRSLLVMSREWKYYWLRLILYMLLSLCIGTVFSGLRHSLSSVVTRVAAIFVFVSFTSLLSIAGVPALLKEIKIFACEESNRHSGALVFLLGQLISSIPFLFLISISSSLVFYFLVGLQDGFSLLMYFVLNFFVCLLVNEGLMLLITSLWQHVFWSVLTMVFIHVVMMLSAGYFRIRSALPGPVWTYPVSYIAFHTYSIQGLLENEYLRTSFAVGQVRTISGLQALRSAYDISPDRNSKWENLLVLFLMAIGYRILVFVVLHFCVGKHVSILKCCRCNRDTDNPR >Potri.004G214000.9.v4.1 pep chromosome:Pop_tri_v4:4:22057402:22066089:1 gene:Potri.004G214000.v4.1 transcript:Potri.004G214000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214000.v4.1 MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSVRQPISFEDSPEWEDTDIDVRVEEGGDSINVAITPASPSLSKLNSGSLPSPPLPERAVVARKIAGASVVWKDLTVTIKGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSARMYGEIFVNGAKSRMRYGTYGFVERETTLIGSLTVREYLYYSALLQLPGFFCQKKSVVEDAIHAMSLSDYANKLIGGHCYFKGLPSGERRRISIARELVMRPHVLFIDEPLYHLDSVSTLLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEREGPLLKSKGKAGIATRVAVLTWRSLLVMSREWKYYWLRLILYMLLSLCIGTVFSGLRHSLSSVVTRVAAIFVFVSFTSLLSIAGVPALLKEIKIFACEESNRHSGALVFLLGQLISSIPFLFLISISSSLVFYFLVGLQDGFSLLMYFVLNFFVCLLVNEGLMLLITSLWQHVFWSVLTMVFIHVVMMLSAGYFRIRSALPGPVWTYPVSYIAFHTYSIQGLLENEYLRTSFAVGQVRTISGLQALRSAYDISPDRNSKWENLLVLFLMAIGYRILVFVVLHFCVGKHVSILKCCRCNRDTDNPR >Potri.004G214000.10.v4.1 pep chromosome:Pop_tri_v4:4:22057484:22066026:1 gene:Potri.004G214000.v4.1 transcript:Potri.004G214000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214000.v4.1 MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSVRQPISFEDSPEWEDTDIDVRVEEGGDSINVAITPASPSLSKLNSGSLPSPPLPERAVVARKIAGASVVWKDLTVTIKGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSARMYGEIFVNGAKSRMRYGTYGFVERETTLIGSLTVREYLYYSALLQLPGFFCQKKSVVEDAIHAMSLSDYANKLIGGHCYFKGLPSGERRRISIARELVMRPHVLFIDEPLYHLDSVSTLLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEREGPLLKSKGKAGIATRVAVLTWRSLLVMSREWKYYWLRLILYMLLSLCIGTVFSGLRHSLSSVVTRVAAIFVFVSFTSLLSIAGVPALLKEIKIFACEESNRHSGALVFLLGQLISSIPFLFLISISSSLVFYFLVGLQDGFSLLMYFVLNFFVCLLVNEGLMLLITSLWQHVFWSVLTMVFIHVVMMLSAGYFRIRSALPGPVWTYPVSYIAFHTYSIQASLLVIALCCILHMSSCVSLLTL >Potri.004G214000.1.v4.1 pep chromosome:Pop_tri_v4:4:22057484:22067147:1 gene:Potri.004G214000.v4.1 transcript:Potri.004G214000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214000.v4.1 MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSVRQPISFEDSPEWEDTDIDVRVEEGGDSINVAITPASPSLSKLNSGSLPSPPLPERAVVARKIAGASVVWKDLTVTIKGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSARMYGEIFVNGAKSRMRYGTYGFVERETTLIGSLTVREYLYYSALLQLPGFFCQKKSVVEDAIHAMSLSDYANKLIGGHCYFKGLPSGERRRISIARELVMRPHVLFIDEPLYHLDSVSTLLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEREGPLLKSKGKAGIATRVAVLTWRSLLVMSREWKYYWLRLILYMLLSLCIGTVFSGLRHSLSSVVTRVAAIFVFVSFTSLLSIAGVPALLKEIKIFACEESNRHSGALVFLLGQLISSIPFLFLISISSSLVFYFLVGLQDGFSLLMYFVLNFFVCLLVNEGLMLLITSLWQHVFWSVLTMVFIHVVMMLSAGYFRIRSALPGPVWTYPVSYIAFHTYSIQGLLENEYLRTSFAVGQVRTISGLQALRSAYDISPDRNSKWENLLVLFLMAIGYRILVFVVLHFCVGKHVSILKCCRCNRDTDNPR >Potri.004G214000.7.v4.1 pep chromosome:Pop_tri_v4:4:22057410:22067115:1 gene:Potri.004G214000.v4.1 transcript:Potri.004G214000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214000.v4.1 MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGSVRQPISFEDSPEWEDTDIDVRVEEGGDSINVAITPASPSLSKLNSGSLPSPPLPERAVVARKIAGASVVWKDLTVTIKGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSARMYGEIFVNGAKSRMRYGTYGFVERETTLIGSLTVREYLYYSALLQLPGFFCQKKSVVEDAIHAMSLSDYANKLIGGHCYFKGLPSGERRRISIARELVMRPHVLFIDEPLYHLDSVSTLLMMVTLKKLASTGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLACLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGDFSSVNMDTAVAIRTLEATYKSSADAAAVETMILKLTEREGPLLKSKGKAGIATRVAVLTWRSLLVMSREWKYYWLRLILYMLLSLCIGTVFSGLRHSLSSVVTRVAAIFVFVSFTSLLSIAGVPALLKEIKIFACEESNRHSGALVFLLGQLISSIPFLFLISISSSLVFYFLVGLQDGFSLLMYFVLNFFVCLLVNEGLMLLITSLWQHVFWSVLTMVFIHVVMMLSAGYFRIRSALPGPVWTYPVSYIAFHTYSIQGLLENEYLRTSFAVGQVRTISGLQALRSAYDISPDRNSKWENLLVLFLMAIGYRILVFVVLHFCVGKHVSILKCCRCNRDTDNPR >Potri.015G121700.1.v4.1 pep chromosome:Pop_tri_v4:15:13532409:13535449:-1 gene:Potri.015G121700.v4.1 transcript:Potri.015G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121700.v4.1 MLSFFTLKFHGLKAKPSLNPVSYTPLKILCYYHNSTDNNLNDNNINNGCNTPISPPPQKQHLQQHSPVRSICSLVCESYSQQTPHVRLLQSPSLNLSVNPDSLTHEQAITVVASLASEAGSMVALSFFNWAIGFPKFRYFMRFYIVCATSFIGNENFERAHEVMDCMVRVFAEIGKFQEAVNMVIEMENHGLVLTVRTLNCVTGVAGEMGLVDYAENVFDEMRVRGVCPDSVSYKLMAIAYCRMGRISDTDRWLKDMVRRGFVVDNATCTLMISTFCEKGFASRVFWYFDKWVELGLKPNLINFTSLINGLCKRGSIKQAFEMLEEMVKKGWKPNVYTHTALIDGLCKKGWTEKAFRLFLKLVRSDDYKPNVHTYTSMIHGYCKEDKLNRAEMLLSRMKEQGLVPNTKTYTCLIDGHSKAGNFEKAYELMDLMGKEGFSANIFTYNAFIDSLCKKGRFLEACKLLKKGFRLGLQADTVTYTILISELCRRADTREALVFFSKMFKAGVQPDMHTYNTLIAAFSRQRRMEESEKLFAEAVGLGLVPTKETYTSMICGYCRDRNVSLALKFFKRMSDHGCTPDSLTYGALISGLCKESKLDEACQLYEAMVDKGLSPCEVTRLTLAYEYCKQDDSATAMVILERLDKKLWIRTVNTLIRKLCSERKVGMAVLFFHKLLDKDQNVDRVTLTAFTTACYESNKYALVSDLSERISKGIG >Potri.006G208600.1.v4.1 pep chromosome:Pop_tri_v4:6:21660042:21663354:-1 gene:Potri.006G208600.v4.1 transcript:Potri.006G208600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208600.v4.1 MSQTGKLMPNLDQQSTKMLNLTVLQRMDPFIEEILITAAHVTFYAFNIETNQWSRKDVEGSLFVVKRNTQPRFQFIVMNRRNTENLVENLLGDFEYEVQAPYLLYRNQSQEVNGIWFYNRRECEDVANLFTRILNAYSKVPAKPKVASGKSEYEELEAVPNMSVFEGPLEPSSTASAANDSTEDSSFENFFSTAMNIGSTASSVANSRQPYQPSPTIPLSSHTPSVVMPSPLVPQIPSLPLSSLSTSKTIHGTPDPISSGSHVTNLVKPSFFAPLSSSSVLLPPPISSPLTSAPALQPPLNLQRPYGTPMLQPFPPPTPPPSLTPSSTATTPLISRDKVRDALLMLIQDDQFIDMFHQALLKVHHP >Potri.010G080900.1.v4.1 pep chromosome:Pop_tri_v4:10:10835572:10838208:1 gene:Potri.010G080900.v4.1 transcript:Potri.010G080900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080900.v4.1 MAEALTEEQIVEFREAFCLFDKDGDGCITVEELATVIRSLDQNPTEEELHDMISEVDSDRNGTIEFAEFLSLMAKKMKETDAEEELKEAFKVFDKDQNGYISANELRHVMINLGEKLTDEEVEQMIKEADLDGDGQVNYDEFVKMMMNVG >Potri.011G147400.4.v4.1 pep chromosome:Pop_tri_v4:11:17539736:17544457:1 gene:Potri.011G147400.v4.1 transcript:Potri.011G147400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147400.v4.1 MQQGGGERGSSQSQYGVPHQQEGDVPITTPTSAALATHMQQQVVEEASPISSRPPATAATTSGVMNLDEFIRLSGSGGAEEDIVAGEDADRTGGIASGNRWPRQETHALLQIRSEMDAAFRDATLKGPLWEDVSRKLAEMGYKRNAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTQLEALHNTTGSGGASASVINMSGAAPQQIFTTTTSSLDVAPVSVGIPMPISSSVRIPPPSSRVLQPASNIGSMFPPDLGATVAAAAAGAGPVGISFSSNGSSSSPSSEDDDDDEEEDEELLGGQPSATAAGTSRKRKRASLSSAKGETHRMMEFFEGLMKQLMQKQEAMQQRFLEAIEKREQDRMIRDEAWKRQEMARLSREHEIMAQERAISASRDAAIVAFLQKITGQTIHLPTPVSMAPPFSLPPPPPPPEPQPVQVTPLFTVSTQPPPPPQLQPMPQSQVTPQQNIQQPQPLPQQQHHHHQQGHRQHQLPISSDIVMAVPEQQIIPQEIGSGGGSGSEPASSRWPKPEVLALIKLRSGLETKYQEAGPKGPLWEEISTGMQRMGYKRSAKRCKEKWENINKYFKKVKESNKNRSEDAKTCPYFHELDALYRKKILGGSGGGGGGGSTSTSGFDSQSRPQEQQQQESLQLDPMPHPMQQPPQQTQTTESQNKNGAGGDVQASNIGLPGNLGEGNGGAAKKKTS >Potri.011G147400.5.v4.1 pep chromosome:Pop_tri_v4:11:17539693:17544465:1 gene:Potri.011G147400.v4.1 transcript:Potri.011G147400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147400.v4.1 MGYKRNAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTQLEALHNTTGSGGASASVINMSGAAPQQIFTTTTSSLDVAPVSVGIPMPISSSVRIPPPSSRVLQPASNIGSMFPPDLGATVAAAAAGAGPVGISFSSNGSSSSPSSEDDDDDEEEDEELLGGQPSATAAGTSRKRKRASLSSAKGETHRMMEFFEGLMKQLMQKQEAMQQRFLEAIEKREQDRMIRDEAWKRQEMARLSREHEIMAQERAISASRDAAIVAFLQKITGQTIHLPTPVSMAPPFSLPPPPPPPEPQPVQVTPLFTVSTQPPPPPQLQPMPQSQVTPQQNIQQPQPLPQQQHHHHQQGHRQHQLPISSDIVMAVPEQQIIPQEIGSGGGSGSEPASSRWPKPEVLALIKLRSGLETKYQEAGPKGPLWEEISTGMQRMGYKRSAKRCKEKWENINKYFKKVKESNKNRSEDAKTCPYFHELDALYRKKILGGSGGGGGGGSTSTSGFDSQSRPQEQQQQESLQLDPMPHPMQQPPQQTQTTESQNKNGAGGDVQASNIGLPGNLGEGNGGAAKKPEDIVKELKKQQGTQQQQQQQQQQQQQQLMVDGYDKIEEGDSENVNEDEYDDEDEGDDDDEEEEEEGLQEERKMAYKIEFQRQNTSSAANGGGTGGAPSFLAMVQ >Potri.011G147400.3.v4.1 pep chromosome:Pop_tri_v4:11:17539682:17544471:1 gene:Potri.011G147400.v4.1 transcript:Potri.011G147400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147400.v4.1 MQQGGGERGSSQSQYGVPHQQEGDVPITTPTSAALATHMQQQVVEEASPISSRPPATAATTSGVMNLDEFIRLSGSGGAEEDIVAGEDADRTGGIASGNRWPRQETHALLQIRSEMDAAFRDATLKGPLWEDVSRKLAEMGYKRNAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFTQLEALHNTTGSGGASASVINMSGAAPQQIFTTTTSSLDVAPVSVGIPMPISSSVRIPPPSSRVLQPASNIGSMFPPDLGATVAAAAAGAGPVGISFSSNGSSSSPSSEDDDDDEEEDEELLGGQPSATAAGTSRKRKRASLSSAKGETHRMMEFFEGLMKQLMQKQEAMQQRFLEAIEKREQDRMIRDEAWKRQEMARLSREHEIMAQERAISASRDAAIVAFLQKITGQTIHLPTPVSMAPPFSLPPPPPPPEPQPVQVTPLFTVSTQPPPPPQLQPMPQSQVTPQQNIQQPQPLPQQQHHHHQQGHRQHQLPISSDIVMAVPEQQIIPQEIGSGGGSGSEPASSRWPKPEVLALIKLRSGLETKYQEAGPKGPLWEEISTGMQRMGYKRSAKRCKEKWENINKYFKKVKESNKNRSEDAKTCPYFHELDALYRKKILGGSGGGGGGGSTSTSGFDSQSRPQEQQQQESLQLDPMPHPMQQPPQQTQTTESQNKNGAGGDVQASNIGLPGNLGEGNGGAAKKPEDIVKELKKQQGTQQQQQQQQQQQQQQLMVDGYDKIEEGDSENVNEDEYDDEDEGDDDDEEEEEEGLQEERKMAYKIEFQRQNTSSAANGGGTGGAPSFLAMVQ >Potri.008G055500.7.v4.1 pep chromosome:Pop_tri_v4:8:3270916:3273126:1 gene:Potri.008G055500.v4.1 transcript:Potri.008G055500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055500.v4.1 MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Potri.008G055500.1.v4.1 pep chromosome:Pop_tri_v4:8:3270020:3272991:1 gene:Potri.008G055500.v4.1 transcript:Potri.008G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055500.v4.1 MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Potri.008G055500.6.v4.1 pep chromosome:Pop_tri_v4:8:3269909:3273136:1 gene:Potri.008G055500.v4.1 transcript:Potri.008G055500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055500.v4.1 MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSAVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Potri.007G048800.1.v4.1 pep chromosome:Pop_tri_v4:7:4560668:4564175:-1 gene:Potri.007G048800.v4.1 transcript:Potri.007G048800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048800.v4.1 MSFESVQLHLRWRILALGLLLLGVQSFGLSTDGVLLLSFKYSILSDPLSVLQSWNNRDQTPCSWNGVTCGSSGTDNTYSRVTGLSLSNCQLLGSIPANLGVIQHLQNLDLSNNSLNGSLPFSLLNATQLRFLDLSSNMISGYLPETIGRLQNLELLNLSDNDLAGTLPANLTALHNLTFVSLKNNNFTGNLPSGFQTVQVLDLSSNLLNGSLPRDFGGNNLRYLNISYNKLSGPIPQEFANEIPSNTTIDLSFNNLTGEIPESSLFLNQQTSALAGNPDLCGQPTRTPCAIPSSVTTLPNISAPASPSAIAAIPKIIGSSPATTPPGDTATGSGQDEGGLRPGTIIGIVIGDVAGVAILGMVFFYVYHYLKKRRNVEANIEKEATTAKDSWSPSSSESRGFTRWACLRKRGENEEDSESTSTGNEADILDQSQRKTGYHEQNREGTLVTVDGEKELEIETLLKASAYILGATGSSIMYKAVLEDGTSFAVRRIGENHVERFRDFETQVRAIAKLVHPNLVRIRGFYWGVDEKLIIYDFVPNGCLANARYRKAGSSPCHLPWESRLRIAKGMARGLSFLHDKKHVHGNLKPSNILLGSDMEPRIGDFGLERLVTGDTSSKAGESARNFGSKRSTASRDSFQDFGTGPSPSPSPSSIGGLSPYHAPESLRSLKPSPKWDVYSFGVILLELLTGKAVVVDELGQGSNGLVVEDKNRALRMADVAIRADVEGKEDALLACFKLGYSCASPLPQKRPTMKEALQVIEKFPSSSASYPYGQ >Potri.005G161900.6.v4.1 pep chromosome:Pop_tri_v4:5:15903578:15907262:1 gene:Potri.005G161900.v4.1 transcript:Potri.005G161900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161900.v4.1 MGASFLSTLPSLVAIRCHKMDTDVPGENVLRRREVLKCFGAAVGMELLASSGSFVEMASAADLIQRRQRSEFQSSIRQTLFAAIKGKPELVPYILTLALNDAITYDKATKSGGPNGSIRFSSEISRPENKGLSAALNLIEEAKEEIDSYSKGGPISFADLIPYAGQSAVKATFLASAIRKCGGNEQKGSLLYSAYGSSGQWGLFDRQFGRTDSQEPDPEGRVPQWEKATVQEMKDKFSSIGFGPRQLAVMSAFLGPDQAATEALLATDPDVTPWVQKYQRSRETVSQTDYEVDLITTLTKLSSMGQQINYEAYTYPVRKIELSKLKL >Potri.005G161900.5.v4.1 pep chromosome:Pop_tri_v4:5:15903598:15907534:1 gene:Potri.005G161900.v4.1 transcript:Potri.005G161900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161900.v4.1 MGASFLSTLPSLVSVPSLTISTTPTIRCPSHAVAIRCHKMDTDVPGENVLRRREVLKCFGAAVGMELLASSGSFVEMASAADLIQRRQRSEFQSSIRQTLFAAIKGKPELVPYILTLALNDAITYDKATKSGGPNGSIRFSSEISRPENKGLSAALNLIEEAKEEIDSYSKGGPISFADLIPYAGQSAVKATFLASAIRKCGGNEQKGSLLYSAYGSSGQWGLFDRQFGRTDSQEPDPEGRVPQWEKATVQEMKDKFSSIGFGPRQLAVMSAFLGPDQAATEALLATDPDVTPWVQKYQRSRETVSQTDYEVDLITTLTKLSSMGQQINYEAYTYPVRKIELSKLKL >Potri.001G082500.1.v4.1 pep chromosome:Pop_tri_v4:1:6534918:6536425:1 gene:Potri.001G082500.v4.1 transcript:Potri.001G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082500.v4.1 MCRTTAFHGLQSRALQNHLKIKSFYVRLTGFEPGNKPVTESLTLVYLPRAHDLVVDGSKIRPESKAFLGLYRIVDVDNKEDDGGVMFGSRERVRVNEGVRFEVYLREERVLEGSFRREADTEKWKLECCGGGSEVRAVEVCVAVEGHVALVKKVMERRKKSRRGCDRLEVIPEEREVVEDEPDGGCCCSDGEGRRTYGGDLEEWCGPDCEKVEMELEGVRWAIDVGVWVVCLGVGFMVSRASAKSLRRLL >Potri.002G131200.2.v4.1 pep chromosome:Pop_tri_v4:2:9938926:9940536:-1 gene:Potri.002G131200.v4.1 transcript:Potri.002G131200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G131200.v4.1 MEHDVAQVSAVLSVSKTEASILLRNYNWSVGKVNDAWFTDESAVREKVGLFVKPLISSDIVSNKRKIIICGICFESHTSDRIIYADCGHLYCEICWSIYISTSINNDGAGCLMLRCPEPSCHAAIGQDMIDSLTSEEDRKKYSDFLLRSYVEDNRTTKWCPGPACEYAIEFSGADGIFDVTCHCFTSFCWNCKEECHRPMDCDTVKRWILKNSSESENVNYILAYCKPCPNCKRPIEKNHGCMHMTCRVCRYEFCWLCLGPWKGHMNCNRYMERTDTDDKRKKLAKESLEKYTHYFERWDANRKSKVKALADHQRVKDEEFKKLSVSQDIPEAHFEFISKAWLQVVECRRALEWSYSYGYYLPDSEPAKKQFFEYLQGEAESTLEKLHNCVESELKEFLDVDGLSKKFSEFRTKLVGLTTVTGNYFEKLVRALENGLSDVNSHGTKGLEDEAEDSWHCDRCTYANPSSIRSCKMCVPSDIDNVSGNE >Potri.002G131200.1.v4.1 pep chromosome:Pop_tri_v4:2:9938939:9940928:-1 gene:Potri.002G131200.v4.1 transcript:Potri.002G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G131200.v4.1 MDDIHPSSDSIKVESKINYYSDGFDDNEDPFFLSDDDLVGGDDGAADALLEDIREDEIDLLKQKQQDCKILNATELRQRMEHDVAQVSAVLSVSKTEASILLRNYNWSVGKVNDAWFTDESAVREKVGLFVKPLISSDIVSNKRKIIICGICFESHTSDRIIYADCGHLYCEICWSIYISTSINNDGAGCLMLRCPEPSCHAAIGQDMIDSLTSEEDRKKYSDFLLRSYVEDNRTTKWCPGPACEYAIEFSGADGIFDVTCHCFTSFCWNCKEECHRPMDCDTVKRWILKNSSESENVNYILAYCKPCPNCKRPIEKNHGCMHMTCRVCRYEFCWLCLGPWKGHMNCNRYMERTDTDDKRKKLAKESLEKYTHYFERWDANRKSKVKALADHQRVKDEEFKKLSVSQDIPEAHFEFISKAWLQVVECRRALEWSYSYGYYLPDSEPAKKQFFEYLQGEAESTLEKLHNCVESELKEFLDVDGLSKKFSEFRTKLVGLTTVTGNYFEKLVRALENGLSDVNSHGTKGLEDEAEDSWHCDRCTYANPSSIRSCKMCVPSDIDNVSGNE >Potri.002G001400.1.v4.1 pep chromosome:Pop_tri_v4:2:98028:99060:1 gene:Potri.002G001400.v4.1 transcript:Potri.002G001400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001400.v4.1 MKSHSVSSPPYSTPANSSPLARLRRKLSPRKSHDKPHPTSVNNSTSALNVVDHNNELRGVFNYFDENGDGKISPAELQSCITSVGGKLSIEEAEAAIRFSDMDGDGLLGFQDFLCLMTGNLSEEEKTEDLRQAFGLYETEPGSGCITHSSLKRMLSRLGESNSINDCKAIIRTFDLNGDGVLSFHEFAVMMR >Potri.003G115200.1.v4.1 pep chromosome:Pop_tri_v4:3:13701530:13703161:1 gene:Potri.003G115200.v4.1 transcript:Potri.003G115200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115200.v4.1 MKLVWSPETALKAYIDTVKSCEVFQESSVAELISAMAAGWNAKLIVETWSQGGILATSIGLAIASRHTDGRHVCVVPDELSRSEYEEAMAEAGMSPEIIVGEAEEVVEGLSGIDFLVVDSRQRDYARVLRLTKLSSRGAVLVCKNASSRNGSSFKWRNVVDDGSRRLVRSVFLPVGKGLDIAHVATSGGSSSNPGKDESRWIKHVDRQSGEEYVIRK >Potri.008G003502.1.v4.1 pep chromosome:Pop_tri_v4:8:133857:135685:1 gene:Potri.008G003502.v4.1 transcript:Potri.008G003502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003502.v4.1 MVGCGFPWFNEVPLILVGYGYGASFFALFAQLGGGIYTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAETISAMILGGTMAQRCKIEDPSGYILFPLVVHSFDLVISSIGILSIRSTRDSSVKSPIEDPMAILQKGYSVTICLAVLTFAASTYWMLYTEQAPSAWVHFALCGLVGIITAYIFVWITKNYTDYKHGRVRTLALASSTGHGINIIAGVSLGLESTALPVLVISVSIVSSFWLGHIAGLVDEAGNATGGLFGTAVATMGMLSTA >Potri.013G013200.1.v4.1 pep chromosome:Pop_tri_v4:13:868046:869986:1 gene:Potri.013G013200.v4.1 transcript:Potri.013G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013200.v4.1 MMALANFLFILFLLPSLEALSNVIPSEEQELNTQALILQACSNVENLSSCLSNFQAELQKSGPPTAQSIIHAALRATLDEARRAIDTITKFNSLSISYREQVAIEDCKELLDFSVSELAWSLMEMNKIRAGIKNVHYEGNLKAWLSAALSNPDTCLEGFEGTDRHLENFISGSIKQVTQLIGNVLGLYTQLHSLPFKPTRNDNATTPKSSSDKFPEWMTEGDQELLKGSSLGMHVDAIVALDGSGHYRTITEAINEAPSYRTRRYIIYVKTGVYRENIDMKRKKSYIMLVGDGIGKTVVTGNRNFMQGWTTFRTATVAVSGKGFIARDMTFRNTAGPLNHQAVALRVDSDQSAFYRCSMEGYQDTLYAHSLRQFYRECEIHGTIDYIFGNGAAVFQECKIYTRVPLPLQKVTITAQGRKNPHQSTGFSIQNSYIFASQPTYLGRPWKQYSRTVFMNTYMSALVQPRGWLEWYGNFALGTLWYGEYRNRGPGALLSGRVKWPGYHIIQDARTAKFFTVTQFIDGMSWLPSTGIKFTVGLGN >Potri.014G109100.3.v4.1 pep chromosome:Pop_tri_v4:14:7310796:7313785:-1 gene:Potri.014G109100.v4.1 transcript:Potri.014G109100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109100.v4.1 MAKKNKPSLSLSHFVGVVVFSLFFVPKSVLGVKQELRFGKNGEFKILQVADMHFADGKTTPCLDVFPNQMRSCSDLNTTAFVERMIQAEKPDFIVFTGDNIFGFDATDAAKSLNAAFWPAIESNIPWAAVLGNHDQDSTLSREGVMKHVVGLKNTLSQVNPAESHIIDGFGNYNLEIGGVKDSCFENKSALNLYFLDSGDYSTVPAIPGYGWIKPSQQLWFQRTSAKLRRAYMRQPEAQKGPAPGLVYFHIPLPEFASFDSSNVTGVRQEGISSASVNSGFFTTMVEAGDVKAVFTGHDHLNDFCGELTGIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGGWGAVKSIKTWKRLDDEHLTAIDGQVLWSKSRAGKFLLNNP >Potri.014G109100.1.v4.1 pep chromosome:Pop_tri_v4:14:7310711:7313739:-1 gene:Potri.014G109100.v4.1 transcript:Potri.014G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109100.v4.1 MAKKNKPSLSLSHFVGVVVFSLFFVPKSVLGVKQELRFGKNGEFKILQVADMHFADGKTTPCLDVFPNQMRSCSDLNTTAFVERMIQAEKPDFIVFTGDNIFGFDATDAAKSLNAAFWPAIESNIPWAAVLGNHDQDSTLSREGVMKHVVGLKNTLSQVNPAESHIIDGFGNYNLEIGGVKDSCFENKSALNLYFLDSGDYSTVPAIPGYGWIKPSQQLWFQRTSAKLRRAYMRQPEAQKGPAPGLVYFHIPLPEFASFDSSNVTGVRQEGISSASVNSGFFTTMVEAGDVKAVFTGHDHLNDFCGELTGIQLCYAGGFGYHAYGKAGWSRRARVVVASLEKTEKGGWGAVKSIKTWKRLDDEHLTAIDGQVLWSKSRAGVRRKKQVGDAE >Potri.017G002500.3.v4.1 pep chromosome:Pop_tri_v4:17:146453:148875:-1 gene:Potri.017G002500.v4.1 transcript:Potri.017G002500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002500.v4.1 MDTDGGSSSETVQVRNKKVMLKHHINGFPKESDLHVTTETVVLKVLQGSNTVPVKVLYLSIEPYQYIRSRKIENPGVFSSYPPGSVITSYGVGRVLNSGHPGYKKGDLVWGTTGCEEYSLITEPETLFKITDTDVPLSYYLGVLGLPGLTAYVGFYDFCAPKKGENVFISSAFGAVGQLVGQLAKLMGCYVVGSAGSKEKVDLLKNKLGFHDAFNYKEEKNLDEALKRYFPEGIDIFFDNVGGKIIDAVLLNMRLHGRIALCGMVSQYPLDDPEGIKNLMCIIYQRLRVEGFVVFDYFHLFPKFWDFMLPCIREGKIACVEDIAEGLDSCPAALEGLFTGRNLGKKVIIVSQE >Potri.014G060100.3.v4.1 pep chromosome:Pop_tri_v4:14:3842719:3848851:1 gene:Potri.014G060100.v4.1 transcript:Potri.014G060100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060100.v4.1 MASSSFVEIERFHLIPVLSATCPCHRPLLSKNSLILNRKKLNFDLLLNGSKTAVPVHAKRATAKRWLITSVARAEADSVDEDSSKEEVEKDHISPAKEDSVSQLQQKASQLKKRIIFGLGIGISAGGIVLAGGWVFTVALAAVVFVGAREYFELVRSRGITAGMTPPPRYVSRVCSVICAFMPVLTLYFGQIDIPVTFAAFVVAMALLLQRGNPRFSQLSSTIFGLFYCGYLPCFWVKLRCGLAAPALNTRIGTTWPVLLGGQAHWTVGLVATLISMSSIIAADTYAFIGGKAFGRTLLTRISPKKTWEGTIVGLGGCIATSVVLSKFFSWPTSLLSAIAFGFLNFFGSIFGDLTESMIKRDAGVKDSGSLIPGHGGILDRSDSYIFTGALAYSFVKAFLPLYGV >Potri.010G134700.1.v4.1 pep chromosome:Pop_tri_v4:10:15035464:15039717:-1 gene:Potri.010G134700.v4.1 transcript:Potri.010G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134700.v4.1 MGFMEYAKSIQWEHESYPAYEDYIVLPLFSLFFTFVRFFLDRFVFQKLAQRLIFGKEHQMLDAQPDERRKKIGKFKESAWKCIYFLSAEILVLYVTYDEPWFGNTKYFWVGPGSQVWPDQKMKLKLKGAYMYAAGFYTYSIFALIFWETRRSDFGVSMSHHVATVILIVLSYILRFGRAGSIVLAIHDASDVFLEVGKMSKYSGAEGIASFAFILFVLSWILLRLIYYPFWVLWSTSYEVLLNLDKEKHSVDGPIYYYVFNTLLYGLLVLHIYWWVLMYRMLVKQIQARGQLSDDVRSDSEGEDEHED >Potri.004G197400.1.v4.1 pep chromosome:Pop_tri_v4:4:20919113:20923027:1 gene:Potri.004G197400.v4.1 transcript:Potri.004G197400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197400.v4.1 MMSMFDETGFCGDMDFFCTPLVEGDVSAPQVEPEVTVEDDYSDEEIDVDELERRMWRDKMRLKRLKEQTRSKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVTGASDNLREWWKDKVRFDRNGPAAIAKYQADNSIPGKDEGCHSIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVPPPWWPSGDEEWWPQLGLPKDHGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPNSCPPLSSSGGSGSLVVNDSSEYDVEGAEDESNFDVQECKPETLSYSNLGMERMGERLPLRQQPYPIKGEVITSTDFIRKRKPSSDINMMADQKIYTCEAVQCAHSQIRLGFPDRASRDNHQLNCPYRSTSLEFRGSNFHVNEVKPVIYPQPSAQSKTTAPLVNPAPSSFNLSGVPEDGQKMISELMSIYDTNIQGNKNTNRGNNLVTEGHNVFQPKIQHQQDNHFRSQSNMINGSIFEGSNINQNHQMFSQEGGQFDRFKPLNSPFETNQNNSGFNLMFGSPFDLSSFDYKDDLQVLGMDTLPKHQDVSTWF >Potri.007G027300.3.v4.1 pep chromosome:Pop_tri_v4:7:2100471:2102622:-1 gene:Potri.007G027300.v4.1 transcript:Potri.007G027300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027300.v4.1 MTDLLCVCLIKFEVLYGSLSGLKFLFAGKMDRGKKSSKLLANKNSKNHMDLQDIIRESALPFLPAKSLHRCTGVCREWKLQISTPFFAHNQSYSFRDVSGFFCQSPSGTPSFVSLNRMAYGVPDPSLKFLPEPVDIRCSSNGLLCCQGRTGYQAYYICNPVTQKWKKLPEPTANHGTDPAVVLVFEPSLLNFVAEYKLVVAFASDLDGFEFEIYSSTDGFWRISAEISFGKMKLLPRTGVHVNGVVYWSSSMGRIFSFDLSCERSTFIYNNGCSSLGAVNGKLHTACVQGSKLSVNELSNAYANTMQMNSSTKTWQAKHAVTLNFPVPDLYPRSYDKETVLFLGGDMVVMRFEKKLISYNMKTKEFTEVFTEEDTYSRMVPYVNSLVEI >Potri.007G027300.4.v4.1 pep chromosome:Pop_tri_v4:7:2100767:2102831:-1 gene:Potri.007G027300.v4.1 transcript:Potri.007G027300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027300.v4.1 MDRGKKSSKLLANKNSKNHMDLQDIIRESALPFLPAKSLHRCTGVCREWKLQISTPFFAHNQSYSFRDVSGFFCQSPSGTPSFVSLNRMAYGVPDPSLKFLPEPVDIRCSSNGLLCCQGRTGYQAYYICNPVTQKWKKLPEPTANHGTDPAVVLVFEPSLLNFVAEYKLVVAFASDLDGFEFEIYSSTDGFWRISAEISFGKMKLLPRTGVHVNGVVYWSSSMGRIFSFDLSCERSTFIYNNGCSSLGAVNGKLHTACVQGSKLSVNELSNAYANTMQMNSSTKTWQAKHAVTLNFPVPDLYPRSYDKETVLFLGGDMVVMRFEKKLISYNMKTKEFTEVFTEEDTYSRMVPYVNSLVEI >Potri.008G089800.3.v4.1 pep chromosome:Pop_tri_v4:8:5621165:5622217:-1 gene:Potri.008G089800.v4.1 transcript:Potri.008G089800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089800.v4.1 MKCGQDWWAFDTMSIRPGEVVVMSSSKVRASSAVYWLYYSGFSSEKVDYTDDDSLVFSLENPERFCLDNVNNGNVDKGRIFKSLPGLAMSQDGRHWARIEGEHHSGALFDVGSEREWDSLFIAGPRVVFHGNSDLRMYYHSFDVESGQFGIGIARSRDGINWMKLGKIIGGGKISSFDEFGALNACVVRNKKDGRYLMAYEGVAAGGKRSIGLAVSPDGLRDWRRFQDEAVLESSVKDGWDNKGVGSPCLVQMDGEVDEWRLYYRGVGNEGRTGIGMAISQGNDVSSFRRWTGFHL >Potri.008G089800.2.v4.1 pep chromosome:Pop_tri_v4:8:5621167:5622766:-1 gene:Potri.008G089800.v4.1 transcript:Potri.008G089800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089800.v4.1 MEVTLSSAASASTLKNANVFATSITQKLNTSILTWPSSTNPKVLHLYFPKNPVQRINTFLSLTRCSTKPDTNTNNETDQTSTPESNSNPEPQYPSTTISSNDSLPSNSLPSQSLSRGLVFDLGPSNSWDGKEIGSPVVKRFLSDEEERWYMWYHGNSSQNSGSADSIGLAVSSNGIHWERGVGPVSSSGDVGSVMKCGQDWWAFDTMSIRPGEVVVMSSSKVRASSAVYWLYYSGFSSEKVDYTDDDSLVFSLENPERFCLDNVNNGNVDKGRIFKSLPGLAMSQDGRHWARIEGEHHSGALFDVGSEREWDSLFIAGPRVVFHGNSDLRMYYHSFDVESGQFGIGIARSRDGINWMKLGKIIGGGKISSFDEFGALNACVVRNKKDGRYLMAYEGVAAGGKRSIGLAVSPDGLRDWRRFQDEAVLESSVKDGWDNKGVGSPCLVQMDGEVDEWRLYYRGVGNEGRTGIGMAISQGNDVSSFRRWTGFHL >Potri.014G100250.1.v4.1 pep chromosome:Pop_tri_v4:14:6620444:6621340:-1 gene:Potri.014G100250.v4.1 transcript:Potri.014G100250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100250.v4.1 MDFLIFLKISGLFLPAAVRPMLDSLEGRKHVPERQPSISDVLAGMTGKQQG >Potri.014G071700.1.v4.1 pep chromosome:Pop_tri_v4:14:4557372:4559965:-1 gene:Potri.014G071700.v4.1 transcript:Potri.014G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071700.v4.1 MATLQYSLLLSFTLSALVSTILAHNITDILSGFPEYSEFNKYLTQTKLADEINTRQTITVLALNNGAMTALAAKHPLSVIKNALSLLVVLDYYDPTKLHQISKGTTLSTTLYQTTGNAPGNLGFVNITDLQGGKVGFGSAAPGSKLDSSYTKSVKQVPYNISILEISQPIIAPGILTAPAPTPSSVNITALLEKAGCKTFASLLQTSGVIKTYQSAADKGLTIFAPNDEAFKAAGVPDLSKLTNAEIVSLLQYHATATYSPFGSLKTSKDPISTLASNGAGKFDLTVTSAGDSVTLHTGIGPSRVAETVLDSTPLVIFTVDNVLLPVELFGKAPSPAPAGEPVSAPSPSPVASSPAPASVEAPSPLAASPPAPPVETPGGAPAETPFGSENSTADGSAAVHVSVPVQVTVFATVICSILMS >Potri.001G069501.2.v4.1 pep chromosome:Pop_tri_v4:1:5229321:5234357:1 gene:Potri.001G069501.v4.1 transcript:Potri.001G069501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069501.v4.1 MDFNNGIEDVGVAVLQELWNKVAFQAMEIVTETRDVVLEKDSLQEFSRSILELSTLLRALDAKRVESAMGLESTKAALETLNSQLREAAKIIKGYKSGSCLRLLLHSHSIRLQMQNLSKEMAMTISSFQLVNLDMSLNLKTMINQIINNLRSIEFRSTVATETLAFEIENSISQHSRNQENSMKLLEKIAEAVGARENASLVQNELALLKQEKEEMEDQKKQAEALQLAQLIQLLYSTDIVTRPQNEEISMYHQQYPINSFICPLCNEMMTDPVAIFCGHSFERKAIQDCFNRGERNCPTCGEELQSLELTPNVNLRSSIDEWKLRDLNLKFQAAVSGINNNDHSRQNKALENMQFLMEIPRYAVKVAEGGLVPKLVEFLKHKRLDTSATLKCLYYLAKHCDNHKEVMVEAGVVRRIVKQIYRGEKGPDAIAVLLELSKKETLREKIGETKDCIPLLVSLLHNDNPDVSQKAQSTLQNLSSSTSFVIKMAEAGHFQPFVARFNQGPQESRALMAADLIKMQLKANNMKDLEDGKFIRSLIQMLSSNSPAYKSVCLKCVKKLMVYPHIVQQLLSDSVMIPPLLGLISYVGSGSHLKQEAGEILALLVGACQHPEFEMHQGLQELQSEHNVSLFMQLVFNSDPETKIQFLHLLLELSSKSHTAQNLIRSDRDAIVQLFAALDGDQREVKRWVLKLVSCISDNHPDGVPLPPSPWKETAINTLVAILTCSLDIEERSIAAAIIGQLPKDDIIIDEILKKSEALKAIREVICTEEEYEGIRASANVDSSLLENALAALLHFTEPTKPDLQRQVGQLEVYPSLVRILSSGSSLSKKRTAIALAHISQSTSSSKPEATLMAKEPKNSMALLHVMNLLPSMSWCCSTSTVNEGLCAVHGDGCSPRDTFCLVKADAVKPLVRALSETEDGVAEAALTALETLLTDHNTQSHATAAIVDNQGVVGILQVLEKGSLSAKSKALDLFQKIIEHTQISEPFFQKSERILIQLLHEDVLKKKVALVLRQMSIIPEQSSYF >Potri.014G164000.1.v4.1 pep chromosome:Pop_tri_v4:14:11846402:11849764:-1 gene:Potri.014G164000.v4.1 transcript:Potri.014G164000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164000.v4.1 MPMETASPKDSSSASSPFSSPSVTALLKIKIISWSQETGLPVSVRVRVGERTFHLHRNPLFSKSGYFKRRLIETTQLELPQSFPGGPETFEMIALFMYGSSTLIDPFNVAALRCAAEFLEMTEEFCSGNLCERFDLYLNQVVLQNWDDTLIVLQRCQTFIPWSEELLIVSRCIESLAFMACMEILDPERRRDRPVVTLEALAGQAWSCEAANEILSQELWIKDLIALPFGFFKRIIGSLRRQGMKEKYVSPIVVFYANKWVLSKKTIQYWESSGERTSDANANDKVSTILQGVLDLLPMREKASRVIPVGFYFALLSRSIGIGLRNDSKVKLQDQIASLLHFAQVEDLLIPSSRVDSDCSSMELATMESIFSTNVSLNMDTNNAPSASNSTVAELWDTFLSHIASDPKMRPKRFMELIETVPISCRQSHDQLYRAMNTFLQTHLDISQEEKGAVCKYLNCQKLSQWACIDAVQNEMMPLRLIVQALFVQQLNTHQAFKECSDSFRYAQSGEFSGSLPSSRCPNTKSQYLADSPYMDGAEPGSRTLSFLLQKDIAVQRCEFSRKEYESTSFRIQNLEQELFSLKKSLQLQKTSKRTETLPTKPQSTKPYGLQSRSLSKNRNPLGHVTGCVGSVNFASQRKYACKLLKIFRRITLFGSRKSNRKPGVSSLWGKPM >Potri.019G071125.1.v4.1 pep chromosome:Pop_tri_v4:19:11288128:11288346:1 gene:Potri.019G071125.v4.1 transcript:Potri.019G071125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071125.v4.1 MPRRRWQICSFLFFLRMEQAKERVAFKEKEGQRRKLQLGHKDKSSTKNGHLLTLLSRKKIPSFSLSLTSLLL >Potri.002G193800.1.v4.1 pep chromosome:Pop_tri_v4:2:15676054:15677788:-1 gene:Potri.002G193800.v4.1 transcript:Potri.002G193800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193800.v4.1 MATLEEKQVMVVGIDDSQHSTYALEWTFDHFFTPPLASNSPFKVVVVHAKTPATSVVASLAEPGIAEVLPQVKSDLKKIAARDIEKAKEICIIKSVSDVIFEVVEGDPRNVLCEAVEKHHASVLVVGSHGYGAIKRAVLGSVSDYCVHNARCTVMIVKRPKMP >Potri.016G115800.1.v4.1 pep chromosome:Pop_tri_v4:16:12013787:12015810:1 gene:Potri.016G115800.v4.1 transcript:Potri.016G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115800.v4.1 MVGPRRPQFVLFGSSIVQKSFGNGGWGAILADTYARKADIVMRGYGGWNSRNALQVLDQIFPKDAAVQPSLVITYFGGNDSMKPIPAELSSHVPLPEFIENMKKIATHLKSLSEKTRVIFLGVPPANDEMIIQFYGERAARSNEGGRIYSEATLKLCQELEVKAIDLWTIMQQKNDWLTTCFTDGVHLASEGSKIVAKEIMRALEEAEWEPSLYWKLMPSEFVGISPFDSEGNNGTIINSNM >Potri.012G095800.1.v4.1 pep chromosome:Pop_tri_v4:12:12006495:12016488:1 gene:Potri.012G095800.v4.1 transcript:Potri.012G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095800.v4.1 MQLGLLGGFSLYCTSSRKPLKTTSPNMAAAFSALSSCPYTFFCRPSSTKLCVSCSAGSPTTTTIGSRGTKAPPRKRTGRKEGTGKSMEDSVKRKMEQFYEGPDGPPLRIVPIGGLGEIGMNCMLVGNYDRYILIDAGVMFPDYDELGVQKIIPDTTFIRRWKHKIEAVIITHGHEDHIGALPWVVPALDHNTPIYASSFTMELIKKRLKENGIFVPSRLKVFKTKRKFTAGPFEIEPIRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGKVFDRETLEELSKEGVTLMMSDSTNVLSPGRTISESVVADALLRRISAAKGRIITTQFASNIHRLGSVKAAADLTGRKLVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDSYAPKDLLIVTTGSQAEPRAALNLASYGSSHAFKLNEEDVILYSAKVIPGNESRVMKMMNRISEIGSTIVMGKNELLHTSGHGYRGELEEVLKIVKPQHFLPIHGELLFLKEHELLGKSTGIQHTTVIKNGEMLGVSHLRNRRVLSNGFVSLGKENLQLMYNDGDKAFGTSTELCIDERLKIASDGIVVVSMEILRPQNLDGQVEKSLKGKIKITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLTHMERTVSEMLRKMVRKYSGKRPEVIAIAVENPAAVLSDELNARLSGNSHVGFGISALRKIVDGHPKGNQVDRKQPDGNGYAHLEKTSPQNLEVDGIEFERELPKEEGTSSSPNLAEGHSSASEDQDDFQKSSVPSSSPVNELVKSDESLVPPGEQMNKLKEDVMDSSDDDLLENENSRLKRSKSVKRNKWKPEEVKSLIKMRGELHSRFQVVRGRMALWEEISTNLMADGINRSPGQCKSLWTSLVQKYEESKNGKKGKKAWPYFEDMDNILSDSETMATK >Potri.014G040800.1.v4.1 pep chromosome:Pop_tri_v4:14:2643561:2651189:-1 gene:Potri.014G040800.v4.1 transcript:Potri.014G040800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040800.v4.1 MEGFINKFSSCSVTRVDTKFRFTLIVFEIALILLCFQAINAESHGQQLQGQSAERGSENIVSHSCIHDQIIEERKRPGRQVYSVTPQVYGQSGNSKPLNGKGRALLGISESSLQQKGAKKPIRIFLNYDAVGHSPDRDCRKVGDIVKLGEPPVASLPGTPCNPHGDPPIYGDCWYNCTVDDISGEDKRHRLRKALGQTADWFRGALAVEPVKGNLRLSGYSACGQDGGVQLPHGYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDDRKRRRSKVTEQLMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYRANYSMADHLDWGRNQGTDFLTSPCNLWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDSNSAREPDRMLGEVRGSRSRCMTSSLVRSGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKACPEAGGPVQFPGFNGELICPAYHELCSTGSISVPGQCPSSCDFNGDCVDGKCHCFVGFHGHDCSKRSCPGNCNGQGKCLSNGICQCENGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCLNSSTLLSSLSVCKNVLGSDSQHCAPSESSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSNYCDAAAKRLACWISIQKCDMDGDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEGEGEGQCTGSGEMKVSWFSRLRSSLFSSNTSSRGMSVKYRQL >Potri.014G040800.3.v4.1 pep chromosome:Pop_tri_v4:14:2644362:2651185:-1 gene:Potri.014G040800.v4.1 transcript:Potri.014G040800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040800.v4.1 MEGFINKFSSCSVTRVDTKFRFTLIVFEIALILLCFQAINAESHGQQLQGQSAERGSENIVSHSCIHDQIIEERKRPGRQVYSVTPQVYGQSGNSKPLNGKGRALLGISESSLQQKGAKKPIRIFLNYDAVGHSPDRDCRKVGDIVKLGEPPVASLPGTPCNPHGDPPIYGDCWYNCTVDDISGEDKRHRLRKALGQTADWFRGALAVEPVKGNLRLSGYSACGQDGGVQLPHGYVEEGVADADLVLLVTTRPTTGNTLAWAVACERDQWGRAIAGHVNVAPRHLTAEAETLLSATLIHEVMHVLGFDPHAFAHFRDDRKRRRSKVTEQLMDEKLGRMVTRVVLPRVVMHSRHHYGAFSENFTGLELEDGGGRGTSGSHWEKRLLMNEIMTGSVDTRSVVSKMTLALLEDSGWYRANYSMADHLDWGRNQGTDFLTSPCNLWKGAYHCNTTQLSGCTYNREAEGYCPIVSYSGDLPQWARYFPQANKGGQSSLADYCTYFVAYSDGSCTDSNSAREPDRMLGEVRGSRSRCMTSSLVRSGFVRGSVTQGNGCYQHRCVNNSLEVAVDGIWKACPEAGGPVQFPGFNGELICPAYHELCSTGSISVPGQCPSSCDFNGDCVDGKCHCFVGFHGHDCSKRSCPGNCNGQGKCLSNGICQCENGYTGIDCSTAVCDEQCSLHGGVCDNGVCEFRCSDYAGYTCLNSSTLLSSLSVCKNVLGSDSQHCAPSESSILQQLEEVVVMPNYHRLFPGGARKLFNIFGSNYCDAAAKRLACWISIQKCDMDGDNRLRVCHSACQSYNLACGASLDCSDQTLFSSEGEGEGQCTGSGEMKVSWFSRLRSSLFSRLNCRKGGTKSTCPGCRFGLVLHPRLKKLGGIGIGIGFRK >Potri.003G147600.2.v4.1 pep chromosome:Pop_tri_v4:3:16185089:16187680:1 gene:Potri.003G147600.v4.1 transcript:Potri.003G147600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G147600.v4.1 MDHRCWERPEDMDTPRNVYKVTTQNPGSDVAAETAAALAAASIVFKKSDPSYSTELLHAAMKVFNFADRYRGSYSDSLNSAVCPFYCSYSGYQDELLWGASWLHKASLNGTYLAYIQSNGHTMGSDDDDYSFSWDDKRPGTKILLSKEFLEKTTEEFQLYKSHSDNYICSLIPGTSSFQAQYTPGGLYYKATESNLQYVTSTTFLLLTYAKYLGSNGGVAKCGGSTVTAESLIAQAKKQVDYILGDNPAKMSYMVGFGNKYPQHVHHRGSSVPSIHAHPNRISCNDGFQYLYSSSPNPNVLVGAIVGGPDNRDHFADDRNSYQQSEPATYINAPFVGALAFFSAKN >Potri.018G145562.1.v4.1 pep chromosome:Pop_tri_v4:18:15422030:15425100:1 gene:Potri.018G145562.v4.1 transcript:Potri.018G145562.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145562.v4.1 MTTRSKRVCQQMDIKHKIKVKPLSETEAWTLFMEKLGHDRALSPEVERVAVEIARECAGLPLGIITMAGTMRAVVDICEWKNALEELKESKVRKDDMEPEVFHRLRFSYNHLSDSPMQQCFLYCALFPKDFEIPREDLIAYLIDNGVIEELKSREAEFNKGHSMLNKLEDVCLLESAKKMFDDCRYVKMNGLIRDMAIQIQQENSQGMFKADAQLRELPDAKRWTENFTRVSLMHNQIKEIISCHSPRCPSLSILLLCDNSKLQFIADSFFEKLHGLKVLDLSRTNITKLSDSVSELVSLTALLLIKCRKLRHVPSLKKLRELKRLDLSGTWALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEELIDGRYTPITVKGKEVGCLKKLETLECHFEGHSDYLKYLKSRDETQSLSTYRIRVGLLDYYHHCGRSKTIVLGNLSINRDEGFQVMFSKDIQQLHIDNYDDGTSLCDVSSLIKYATELEVIRIWNCNSMESLVSSSWFCSAPLPLPSYNGIFSGLKEFYCYGCTSMKKLFPLVLLPNLANLENIAIYNCDKMEEIIGGTRSDEEGVMGEESSSSEFKLPKLRILSLFDLPELKSICSAKLICDSLKEMKVINCQKLKKMPIGLSLLENGQDILT >Potri.T002066.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:172021:178363:1 gene:Potri.T002066.v4.1 transcript:Potri.T002066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002066.v4.1 MTEPESSRSISLGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAVQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVLPIFYDIDPSDVRKQNGSFAEAFVKHEERSEEKLVKEWRKALEEAGNLSGRNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGMDRLAHNIFDFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETPKKLTGLVRLQTQLLRDILKQDVANFECVDRGKVLINERIRRKRVLFVADDVARQDQLNALMGERSWFGPGSRVIITTRDSNLLRKADQTYQIEELTRDQSLQLFSWHAFKHSKPAEDYIELSKDVVDYCGGLPLALEVMGACLYGKNRGGWKSVIDKLRRIPNHDIQGKLRISYDSLDGEELRNAFLDIACFFIDRKKRYVAKVLGARCGYNPEVDLETLRGRSLIKVNAIGKITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSTRSFAKMKRLNLLQINGAHLTGSFKLLSKELMWICWLQCPLKYFSSDFTLDNLAVLDMQYSNLKELWKGQKILNRLKILNLNHSKNLIKTPNLHSSSLEKLKLKGCSSLVEVHQSIENLTSLVFLNLEGCWNLKILPESIGNVKSLETLNISGCSQLEKLPECMGDMESLTELLADGIENEQFLTSIGQLKHVRRLSLCGYSSAPPSSSLNSAGVLNWKQWLPTSFGWRLVNHLELSNGGLSDRTTNCVDFSGLSALEVLDLTRNKFSSLPSGIGFLPKLRRLFVLACEYLVSILDLPSSLDCLVASHCKSLKRVRIPIEQKKDLYIELHESHSLEEIQGIEGRSNSFWYICSNQFSHSPKKLQKSVVEVMCNGRHPYRISPIRGEMPNWMSCSGEGCSLSFHIPSVFQGLVVWFICPLEPVTIEFDSRIIIIIRNKSNGIQLFEDKRTPGTDMFFIEGFIRYISISEMGMEDYCGDDELELCIYSEPTEHAVRRLPFYPVHIKECGAHVIAKKLNSFEESAMGRATVMPSPSLYHLLPHPHCGSITASTPKQWSNYLFAKLQEYNLSLTFHGNVQRSSPVTYCFISW >Potri.004G206400.1.v4.1 pep chromosome:Pop_tri_v4:4:21396208:21396558:-1 gene:Potri.004G206400.v4.1 transcript:Potri.004G206400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206400.v4.1 MADTRGGTVGCNDGCGCPVPCAGGTSCGSMKATSGEGAGHNKCSCGEHCGCNPCTCPRSVVTTGVGKAYCKCGADCACPTCSS >Potri.011G156800.2.v4.1 pep chromosome:Pop_tri_v4:11:18294689:18300559:-1 gene:Potri.011G156800.v4.1 transcript:Potri.011G156800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156800.v4.1 MSYTKLPSIHRHFTNTWLKSKSLHTNFKKGFKDPIFLSTEHSLATQLESILQKPSTKLEQAKQLHAQFVVSGINITNNGLLGGKMLGMYVLCNSFVDAKKLFYQLEFYYAMPWNWMIRGLVKLGCFDFALLFYFKMLGCGVFPDKYTFPPVIKCCTGLNNVRLGKVIQDMILEMGFDLDMFVASSLIKLYADNGCIEDARRFFDKMIDKDCVLWNVMINGYVQCGESDSAIKLFKDMMSSEAKPDSVTFACVLSISCSEAMVEYGRQLHGLVVRSGLDFVPLVGNTLVTVYSKGRQLGDARKLFDMMPQIDLVVWNRMIGGYVQNGFMDDASMLFNEMISAGIKPDSITFTSFLPSLAESSSLKQIKEIHGYIVRHGVILDVYLNSALIDLYFKCRDAVMACKMFNLSTKFDIVIYTAMISGYVLNGMNKDALEIFRWLLQKKMIPNALTFSSILPACAGLAAIKLGRELHGYIIKNELEEKCPVGSAIMNMYAKCGRLDLAHLIFGRISIKDAICWNSIITSFSQDGKPEEAIYLFRQMGMEGVKYDCVTVSAALSACANIPALHYGKEIHGFMIKGAFESDLFDMSALINMYAKCGKLNIARLVFNLMQEKNEVAWNSIIAAYGYHGYLADSLALFHNMLEEGIQPDHITFLTILSSCGHAGQVEDGVRYFRCMTEEYGIPAQMEHYACMADLFGRAGHLDEAFEVITSMPFPPAASVWGTLLGACRVHGNVELAEVASRYLLDLEPKNSGYYLLLTHVLADAGKWRSVHKIQHLMKERGVQKVPGCSWIEVNNTTCVFFAADGSHPESPQIYSLLKSLLLELRKVGYVPQAVACFGND >Potri.013G045900.1.v4.1 pep chromosome:Pop_tri_v4:13:3227593:3234648:1 gene:Potri.013G045900.v4.1 transcript:Potri.013G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045900.v4.1 MEQAVLDDIIKRLLEVRGKPGKQVQLSESEIRQLCVVSREIFLQQPNLLELEASIKICGDIHGQYSDLLRLFEYGGFPPHANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKTFTECFNCLPVAALIDEKILCMHGGLSPELNHLDQIRNLQRPTDVPDTGLLCDLLWSDPSKDVQGWGMNDRGVSYTFGPDKVTEFLQKQDLDLICRAHQVVEDGYEFFANRQLVTIFSAPNYCGEFDNAGAMMSVDETLMCSFQILKPADKKSKFKAGNTSTGAGLFGSTTTAKPGNPLPGVKSFLGTKV >Potri.003G143900.2.v4.1 pep chromosome:Pop_tri_v4:3:15910548:15914776:1 gene:Potri.003G143900.v4.1 transcript:Potri.003G143900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143900.v4.1 MSLTTSLSPNCSGTDTVVATTPLVTFLVRVQETALGTFGEEDFDPKLYVDLSLKFNLSKTQKAFDELPRSGENGTVSVEDLKVFIATYFDDAADDLVYYDPVDFVPEPEGFLPKVKNPEVRSWALEVHALWKNLSRKVSDGVLEHSELHTLLPLPEAVVVPGSRFREVYYWDSYWVIRGLLASKMYETAKAIVTNLIFHVDTYGYVLNGGRAYYTNRSQPPLLSAMVYEIYNRTCDVELVRKALPALLKEHAFWNSEIHKVTIQDAQGCNHNLSRYYAMWNKPRPERSRKDKEAASKFLGNSEKQQFYRDVASAAESGWDFSTRWMRNTSEFSTMSTTSILPVDLNVYILKMELDIAFFANVLGNKSTVESFLEAAEARKNAINSVFWNDEMGQWLDYRLTNGTICKVLSSCLCFNSHYLIIIDFSVLLLIFVDFTLSGI >Potri.003G143900.1.v4.1 pep chromosome:Pop_tri_v4:3:15910019:15914848:1 gene:Potri.003G143900.v4.1 transcript:Potri.003G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143900.v4.1 MSLTTSLSPNCSGTDTVVATTPLVTFLVRVQETALGTFGEEDFDPKLYVDLSLKFNLSKTQKAFDELPRSGENGTVSVEDLKVFIATYFDDAADDLVYYDPVDFVPEPEGFLPKVKNPEVRSWALEVHALWKNLSRKVSDGVLEHSELHTLLPLPEAVVVPGSRFREVYYWDSYWVIRGLLASKMYETAKAIVTNLIFHVDTYGYVLNGGRAYYTNRSQPPLLSAMVYEIYNRTCDVELVRKALPALLKEHAFWNSEIHKVTIQDAQGCNHNLSRYYAMWNKPRPERSRKDKEAASKFLGNSEKQQFYRDVASAAESGWDFSTRWMRNTSEFSTMSTTSILPVDLNVYILKMELDIAFFANVLGNKSTVESFLEAAEARKNAINSVFWNDEMGQWLDYRLTNGTICKESETWQACNQNQNAYASNFIPLWIDLFHADAALVENVMRSFQSSGLVHAAGIATSLINSGHQWDFPNGWAPLQHMIVEGLLRSGLKEARSLAEDIAVRWIKTNYVGYKKTGAMHEKYNVQKCGEFGGGGFYKPQTGFGWSNGVVLTFLEEFGWPEDLSIGC >Potri.015G049600.1.v4.1 pep chromosome:Pop_tri_v4:15:5206062:5208699:1 gene:Potri.015G049600.v4.1 transcript:Potri.015G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049600.v4.1 MIEKTNDVIRERSCMSTIPDNGSIFLIHENDSLIPENTEPEGGRIRRYSTGDITIPYTRVNILSRYLASSTGSCNDYCKYGTKHDSEMKTRNPILKSIKEKQGMNMKKTVILAERQGAFPSPGSKRHNSSVPIVTGRKVSSSTKKEIVLSKRLLLPLKVRSGAGEKKLVAPPALSSSVKKVLMRPIVSVFFEHYVKGVSQLKGQNEVVKAEYKQPGCQDVSKKSLPISELDTDENKAMKLSQIGTLTAGLSAPPAKKIMRHTKKGIHSTHLPPSSEKKCVRHIKDGTARTSRTPISSLASSKSSHSSACNECYVTDLKNTAANKLPSKTRPRKDAVVYTTGKDSAARKVNFRSGMVVELQPENRTSRRLIFRRRSLGEGQIGETGTSKDNLKSKKVCENEANSAKMESEKVVLEHQDMQEKKNVQSLLNNMIEETAVKLVESRKSKVKALIGAFETVISLQDSKTSSTVGA >Potri.005G075800.5.v4.1 pep chromosome:Pop_tri_v4:5:5098070:5102745:-1 gene:Potri.005G075800.v4.1 transcript:Potri.005G075800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075800.v4.1 MGKQQKSLRSKSVHFVSDLTTVILNPISDKPSKHSTPRPHPLPEDASESKTSQLESITEEDTGHLAEEPDTSSFTAFLFSLLTSSESGNNAKLDKQNDNSDQMDDQLSGNVAKESGTKKGLLSRAKHSLGAIYQATRIDRYQSQEHKENSDLKIADDNDGDAVEIRSMLKQNMKEPVALGDISNISEPSLLLSEKARSTLYVSLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGPCLLAVGDRKGAVFGGLVEAPLRPTNKKYQVDTNLLIHIDSNFFCVVLTFKFEQGSNSTFVFTNTPGHPVIFRPTGISFSAF >Potri.005G075800.3.v4.1 pep chromosome:Pop_tri_v4:5:5098124:5102720:-1 gene:Potri.005G075800.v4.1 transcript:Potri.005G075800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075800.v4.1 MGKQQKSLRSKSVHFVSDLTTVILNPISDKPSKHSTPRPHPLPEDASESKTSQLESITEEDTGHLAEEPDTSSFTAFLFSLLTSSESGNNAKLDKQNDNSDQMDDQLSGNVAKESGTKKGLLSRAKHSLGAIYQATRIDRYQSQEHKENSDLKIADDNDGDAVEIRSMLKQNMKEPVALGDISNISEPSLLLSEKARSTLYVSLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWPGPCLLAVGDRKGAVFGGLVEAPLRPTNKKYQGSNSTFVFTNTPGHPVIFRPTGANRYFTLCSTDFLAIGGGGHFALYLDSDLLNGSSSVSETYGNPCLAHSEDFEVKEVELWGFVHGSKYEEILALSRTEAPGICRF >Potri.010G206100.2.v4.1 pep chromosome:Pop_tri_v4:10:19678930:19680235:-1 gene:Potri.010G206100.v4.1 transcript:Potri.010G206100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206100.v4.1 MKSLSSVGLGLSIVFGCLLLALVAELYYLLWWKRRFANKEIGDDYSSPARELFFMFCLRKPSSLRNNQELCSSVRITDTLVHHDQESQLNINTSKDLLLRPFSDDNVETELMRLHSLSGPPRFLFTIVEETKEDLESEDGRSRGDNKSAKESRSRSLSDLLLTVETPYLTPLASPPFFTPPLTPNYNQIGFNHLFESSKDAEFNKIRSSPPPKFKFLQDAEEKLYARRLMQEAEEMVPRKDCFAQDYTKRPTSSNFLKDEDDGPFITIIVDRNKEREFNEQNHQLAHHPSSSSQVLPLVASPSTSKPAAKKSSFFH >Potri.012G087700.7.v4.1 pep chromosome:Pop_tri_v4:12:11311993:11314649:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGFSLATFVSTQFWMSSMFSARVVGTANGVAAGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGACGLTFGVVPFVSRRFCLIECRSTSMCLVRSCWTGWEMSMAALLSQNKFISKLGVKPIFMSSLLSQRSSQRPLAIPRNSASALDNATTFCFLVLHVTRLPLTNVK >Potri.012G087700.2.v4.1 pep chromosome:Pop_tri_v4:12:11306519:11314877:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MEIKGKATEKESQSPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGFSLATFVSTQFWMSSMFSARVVGTANGVAAGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGGVFCILLGRVESLGASILVMIVFSFFCQAACGLTFGVVPFVSRRLMINVGVRASLRAPRLIPQALKLTII >Potri.012G087700.8.v4.1 pep chromosome:Pop_tri_v4:12:11312087:11314648:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MEIKGKATEKESQSPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGFSLATFVSTQFWMSSMFSARVVGTANGVAAGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGACGLTFGVVPFVSRRMGDVNGCLIITKQVHFKARSKANIHEQPSEPKKFTKTLSHPSKFSFSA >Potri.012G087700.6.v4.1 pep chromosome:Pop_tri_v4:12:11294223:11314648:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MEIKGKATEKESQSPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGACGLTFGVVPFVSRRSLGLISGITGGGGNLGAVLTQLIFFKGSKYSKETGITHMGVMIICCTFPICFVYFPQWGGMFCGPSSKTVATEEEYYLSEWSTEEKEKGLHQASLKFADNSRSERGGRADSETRPADEIPSAHV >Potri.012G087700.5.v4.1 pep chromosome:Pop_tri_v4:12:11294223:11314930:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MEIKGKATEKESQSPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGGVFCILLGRVESLGASILVMIVFSFFCQAACGLTFGVVPFVSRRSLGLISGITGGGGNLGAVLTQLIFFKGSKYSKETGITHMGVMIICCTFPICFVYFPQWGGMFCGPSSKTVATEEEYYLSEWSTEEKEKGLHQASLKFADNSRSERGGRADSETRPADEIPSAHV >Potri.012G087700.1.v4.1 pep chromosome:Pop_tri_v4:12:11306423:11314693:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MEIKGKATEKESQSPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGFSLATFVSTQFWMSSMFSARVVGTANGVAAGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGACGLTFGVVPFVSRRLMINVGVRASLRAPRLIPQALKLTII >Potri.012G087700.4.v4.1 pep chromosome:Pop_tri_v4:12:11294223:11315278:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MEIKGKATEKESQSPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGGVFCILLGRVESLGASILVMIVFSFFCQAACGLTFGVVPFVSRRSLGLISGITGGGGNLGAVLTQLIFFKGSKYSKETGITHMGVMIICCTFPICFVYFPQWGGMFCGPSSKTVATEEEYYLSEWSTEEKEKGLHQASLKFADNSRSERGGRADSETRPADEIPSAHV >Potri.012G087700.9.v4.1 pep chromosome:Pop_tri_v4:12:11306423:11314693:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MEIKGKATEKESQSPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGACGLTFGVVPFVSRRLMINVGVRASLRAPRLIPQALKLTII >Potri.012G087700.3.v4.1 pep chromosome:Pop_tri_v4:12:11294226:11314648:-1 gene:Potri.012G087700.v4.1 transcript:Potri.012G087700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087700.v4.1 MEIKGKATEKESQSPKFTLPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTATDIGNAGIASVSGAAFARIALGTACDLFGPRLASASLILLTAPAVYFTSIASSPTSFLLVRFFTGFSLATFVSTQFWMSSMFSARVVGTANGVAAGWGNLGGGATQLIMPLVFGLIRDIGVLKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRPLQKAGAKPKDKLSNVFYHGITNYRGWILALTYGYCFGVELTVDNIIAEYFYDRFDLKLHTAGIIAASFGLANIVSRPAGGLISDAVAKRFGMRGRLWALWIVQTLGACGLTFGVVPFVSRRSLGLISGITGGGGNLGAVLTQLIFFKGSKYSKETGITHMGVMIICCTFPICFVYFPQWGGMFCGPSSKTVATEEEYYLSEWSTEEKEKGLHQASLKFADNSRSERGGRADSETRPADEIPSAHV >Potri.017G001300.5.v4.1 pep chromosome:Pop_tri_v4:17:83533:89101:1 gene:Potri.017G001300.v4.1 transcript:Potri.017G001300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001300.v4.1 MEKEITFVEGTDMHLEDEFCPEQSFSVEMETEENEIGSPKSEIQCFSSETGEGSNVVFSREAPLISKETRISGVCSCSTKKLKPRVVAMKSEIGNKENFGQEKKLSRQDRIELGRMFQSAVSCHDWEPAESLILLADAQTLNDALCITLDSIWFLSTQQELDGITGLIKKIIANGAYDFTRAALRTSFLASCVSACQSQTMHLEDTVNVMAQRLKERLQECNGDEVLKAEASAKVQKFTEWALKCIGFHSRCQVNRDRVIQSSIAEVQLQLSAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSSSFNPGWSSGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAHRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRASERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDVAGYLLPHVPQHVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPTATYAVADSIARSDDESVAPELKAFLRENWSEAAFLDGLKQGQEHYMNLVMILNWGRSPISLRHLPGPLRVAVAYLPLYRECAATAGRLFSQKQRGLLVEAVRKLGGGSSEDVSQGKELLAVLEHYLPQFLVHPRRMP >Potri.017G001300.7.v4.1 pep chromosome:Pop_tri_v4:17:83733:89083:1 gene:Potri.017G001300.v4.1 transcript:Potri.017G001300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001300.v4.1 MEKEITFVEGTDMHLEDEFCPEQSFSVEMETEENEIGSPKSEIQCFSSETGEGSNVVFSREAPLISKETRISGVCSCSTKKLKPRVVAMKSEIGNKENFGQEKKLSRQDRIELGRMFQSAVSCHDWEPAESLILLADAQTLNDALCITLDSIWFLSTQQELDGITGLIKKIIANGAYDFTRAALRTSFLASCVSACQSQTMHLEDTVNVMAQRLKERLQECNGDEVLKAEASAKVQKFTEWALKCIGFHSRCQVNRDRVIQSSIAEVQLQLSAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSSSFNPGWSSGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAHRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRASERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDVAGYLLPHVPQHVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPTATYAVADSIARSDDESVAPELKAFLRENWSEAAFLDGLKQGQEHYMNLVMILNWGRSPISLRHLPGPLRVAVAYLPLYRECAATAGRLFSQKQRGLLVEAVRKLGGGSSEDVSQGKELLAVLEHYLPQFLVHPRRMP >Potri.017G001300.6.v4.1 pep chromosome:Pop_tri_v4:17:83733:89087:1 gene:Potri.017G001300.v4.1 transcript:Potri.017G001300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001300.v4.1 MEKEITFVEGTDMHLEDEFCPEQSFSVEMETEENEIGSPKSEIQCFSSETGEGSNVVFSREAPLISKETRISGVCSCSTKKLKPRVVAMKSEIGNKENFGQEKKLSRQDRIELGRMFQSAVSCHDWEPAESLILLADAQTLNDALCITLDSIWFLSTQQELDGITGLIKKIIANGAYDFTRAALRTSFLASCVSACQSQTMHLEDTVNVMAQRLKERLQECNGDEVLKAEASAKVQKFTEWALKCIGFHSRCQVNRDRVIQSSIAEVQLQLSAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSSSFNPGWSSGISATAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAHRNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRASERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDVAGYLLPHVPQHVLAALSIEILKAAGERSGGSLDGVAFLLHSDFLGDPTATYAVADSIARSDDESVAPELKAFLRENWSEAAFLDGLKQGQEHYMNLVMILNWGRSPISLRHLPGPLRVAVAYLPLYRECAATAGRLFSQKQRGLLVEAVRKLGGGSSEDVSQGKELLAVLEHYLPQFLVHPRRMP >Potri.013G149300.1.v4.1 pep chromosome:Pop_tri_v4:13:14598943:14600594:1 gene:Potri.013G149300.v4.1 transcript:Potri.013G149300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149300.v4.1 MLQTTVIMKNVSRNKFLLCFKPVVDMDQLVLNHSKVGVVDHSTDKSLKHLSRDKKERDHMKRLVPNSSLLSEDNDTCSAIISSSENSLILHSSKKNLSRVIKAVFFETILSKRIHDRKGNLCQDSNIGSKPSSSSSSSSSSSPPPPPPSMDSKKSLDDTSDHDNTNLVNKVLIDTHQANEVTSCPSSISESRKLSKIKNSRNPNQELEFKAKTMDKSGIYLFLISLTVTVLWGKLCAIFFTIIWLYFLPRRQHNTSRPQNVTRSLWLPEKEESKDQYSYKKKVIMEGLLERNHQRVNGIKFLT >Potri.001G090800.2.v4.1 pep chromosome:Pop_tri_v4:1:7189816:7193504:-1 gene:Potri.001G090800.v4.1 transcript:Potri.001G090800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090800.v4.1 MTLNLGFCSCSSYVSRFSHSPPLLSKLSPKPNYTTTATLLSLLFSFHKTAPFFSTSRTPSLKPLASSLSQSAADDEDNDNNNSFLSLNEDSLSRVSAAKDANEALQIISVITNKSNGLVSVTDCCGIITAAIDRGNTDLALSVFYAMRSSFDQGVTEIERWKWSRPDVSVYTSLVQGLAAALKVSDALKMIDYICRVGVSPSEDEVPFGKVVRCPTCMIAVAVAQPQHGIQIASCSKCRYQYELVSGDITSIDSEAISMDLPAWERGLRFLQLMKQSIPAAVHSIVVQTPSGMARTQRFATETVDLPAQKSERVTIASAVPSNVYRNVGPFKFSPKAPNVYPGEPMCLTNHENGRESLLLRAPVKDGKPSLLNPSVLVPLLAVLVAGDAASGIIDPSLPQFLVVAAISSLGVGATLNTLVFPGLNQLPQKSVDATAIKQKLLYQYDLLQSRIKELKEAAEKECNRCVQKIWKKRL >Potri.001G090800.1.v4.1 pep chromosome:Pop_tri_v4:1:7187718:7193504:-1 gene:Potri.001G090800.v4.1 transcript:Potri.001G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090800.v4.1 MTLNLGFCSCSSYVSRFSHSPPLLSKLSPKPNYTTTATLLSLLFSFHKTAPFFSTSRTPSLKPLASSLSQSAADDEDNDNNNSFLSLNEDSLSRVSAAKDANEALQIISVITNKSNGLVSVTDCCGIITAAIDRGNTDLALSVFYAMRSSFDQGVTEIERWKWSRPDVSVYTSLVQGLAAALKVSDALKMIDYICRVGVSPSEDEVPFGKVVRCPTCMIAVAVAQPQHGIQIASCSKCRYQYELVSGDITSIDSEAISMDLPAWERGLRFLQLMKQSIPAAVHSIVVQTPSGMARTQRFATETVDLPAQKSERVTIASAVPSNVYRNVGPFKFSPKAPNVYPGEPMCLTNHENGRESLLLRAPVKDGKPSLLNPSVLVPLLAVLVAGDAASGIIDPSLPQFLVVAAISSLGVGATLNTLVFPGLNQLPQKSVDATAIKQKLLYQYDLLQSRIKELKEAAEKEVWMLARMCQLENKIFAVGEPSYRARRTRVKRVREGLENSLEGRIELIDSYARISSMIEIEVEMDSDVLAAEALSNVESIAEQIQQIMELENLEERWRLQAEANDEAERLLSSQPVTTEWI >Potri.006G245100.1.v4.1 pep chromosome:Pop_tri_v4:6:24583955:24588019:-1 gene:Potri.006G245100.v4.1 transcript:Potri.006G245100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245100.v4.1 MATTAPSELDPNLLLPAAPSESESESLLSSLVYDTSQQFQMAMGNMLKMITEIDQTTGGIMEEIGKCKDTAFERKKDLEEEKERFQKAAYAVLGMLNDT >Potri.003G104200.1.v4.1 pep chromosome:Pop_tri_v4:3:12784534:12789631:-1 gene:Potri.003G104200.v4.1 transcript:Potri.003G104200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104200.v4.1 MKKLSFSIPSKSKSKPKPVSDQPDNDNSKQYLTEFDPSKNLLPQNTQTPIILPIPNDYQPHKKMKNIHLPLHQDDSSTDLRFEVETLSSDPAAASDSISFGLNLRQSATTQTQDARSEDVLLEKLRYDLKRLPEDRGFEEFEEMPVEDFAKALLKGYGWHEGRGVGKNSKEDVQVKQYTKRTDKEGLGFLAASHDSKNSKSSSSNGNVNGSGSVIVKEKQRERSKDGLFLGKEVRVISGKKENLGLKGTVVERLGSDSIALRVEKSGERVKVRVSDVAELGSREEERCLKELKSIEEKKPSDGDREQRRVNKRNVESRDSLKMGNGNVGKERGVQWLRSHIRVRIISKDLKGGKLYLKKGEVVDVVGPYKCDISMDESRELVQSVDQDALETALPRRGGPVLVLYGKHKGAYGNLVQRDIDREVGVVQDSGSHELLDVKLEQIAEYVGDPGYIGY >Potri.003G104200.2.v4.1 pep chromosome:Pop_tri_v4:3:12786576:12789447:-1 gene:Potri.003G104200.v4.1 transcript:Potri.003G104200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104200.v4.1 MKKLSFSIPSKSKSKPKPVSDQPDNDNSKQYLTEFDPSKNLLPQNTQTPIILPIPNDYQPHKKMKNIHLPLHQDDSSTDLRFEVETLSSDPAAASDSISFGLNLRQSATTQTQDARSEDVLLEKLRYDLKRLPEDRGFEEFEEMPVEDFAKALLKGYGWHEGRGVGKNSKEDVQVKQYTKRTDKEGLGFLAASHDSKNSKSSSSNGNVNGSGSVIVKEKQRERSKDGLFLGKEVRVISGKKENLGLKGTVVERLGSDSIALRVEKSGERVKVRVSDVAELGSREEERCLKELKSIEEKKPSDGDREQRRVNKRNVESRDSLKMGNGNVGKERGVQWLRSHIRVRIISKDLKGGKLYLKKGEVVDVVGPYKCDISMDESRELVQSVDQDALETALPRRGGPVLVLYGKHKGAYGNLVQRDIDREVGVVQDSGSHELLDVKLEQIAEYVGDPGYIGY >Potri.013G137688.1.v4.1 pep chromosome:Pop_tri_v4:13:15623508:15623786:1 gene:Potri.013G137688.v4.1 transcript:Potri.013G137688.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps19 MTRSLKKNPFVANHLLRKINKLNTKAEKNLIVTWSRASTIIPTMIGHTIAIHNGKEHLPIYITDRMVGHKLGEFAPTLNFRGHAKNDNKSRR >Potri.005G059801.1.v4.1 pep chromosome:Pop_tri_v4:5:3781667:3782149:-1 gene:Potri.005G059801.v4.1 transcript:Potri.005G059801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059801.v4.1 MGVLYMREETGNKRDKPLHGSFVAAVLKDLFGIQARDGCACAGPYGHTLLHAMRAPPLPLDLPLKRVMLG >Potri.001G176200.1.v4.1 pep chromosome:Pop_tri_v4:1:15207997:15210083:1 gene:Potri.001G176200.v4.1 transcript:Potri.001G176200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G176200.v4.1 MGSETPLKLPIIDFSNLGQNPGAAEWDLVKLQVRKALEEYGCFEALFDKIPAESRKAIFGAVEELFDLPLQTKMRNASKKPYHGYVGQYPQVPLFESMGIDDANIAEEVESMTTILWPQGNQSFSNTVLSFSEQVSELDQIVRRMIVESLGLEKYLDEHMNSTNYLLRVMKYKGPQTTETKLGLTAHTDKNMVTILYQNQVDGLELQTKDGCWIDLKPTPDSFIVMIGDSLHAWANGRLHSPYHRVMMRGNEARYSVGLFSVPKAGYIVKAPEELIDEEHPLLFKPFDHVKFLGFYYTEAGQRAQSALKTYCGV >Potri.004G125800.1.v4.1 pep chromosome:Pop_tri_v4:4:12181830:12183347:1 gene:Potri.004G125800.v4.1 transcript:Potri.004G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125800.v4.1 MEVCFLTSNSFTKTLELIPSIKARVFSYPKRSSNAFQSKRVALSTSITCRHMPPPSPSADDCRPLLEADWRSFRARLVANEQALRPTEPSSTADPDIVVDQQPPQITIGDKWAHTIHEPEKGCLLIATEKLDGVHIFERTVILLLSTGPGSPYGIILNRPSLMSIKEMRSTALDVAGAFSNRPLFFGGPLEEGLFLVSPERGYDNDRVAESGVFEEVMKGVYYGTRESAGCAAEMARRNVVGLGDFRFFDGYCGWEKGQLKEEIQAGYWAVAACSPSVIGLNKEGTLGLREEVLWLMGLKNVC >Potri.014G023800.1.v4.1 pep chromosome:Pop_tri_v4:14:1428016:1432013:-1 gene:Potri.014G023800.v4.1 transcript:Potri.014G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G023800.v4.1 MKVLGMDFGCATGSLRDGKFPDKDCLLPLISKLLGYAIVAASTTVKVPQILKILKNKSVRGLSVVGFELEVVGYTIALAYCLHKGLPFSAYGELAFLLIQAIILVAIIYYFSQPVRTTTWIRALLYCALAPTVLAGQIEPFLFEALYASQHAIFLFARIPQIWENFSNKSTGELSFLTCFMNFGGGLVRVFTSMQEKAPTSVVLGSLLGMITNGTILSQIIFYRKPETKKEKKIN >Potri.003G120900.2.v4.1 pep chromosome:Pop_tri_v4:3:14201885:14207191:-1 gene:Potri.003G120900.v4.1 transcript:Potri.003G120900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120900.v4.1 MKGAKRFAVSDSLPDSNDTALRNKRIMAGLLFDVHRPEPSQQQLTPPLDVKRAESSQHHVRALNNQFASWVQTQLKNHPDELWEDGIQDYLAHASNIMEMFSDVVNWLKANSAKGGSVADSLTTEKKLVPEIKNNESKLLQEKTGFALPSTSASFTSSWSSGVFSANQSSGGVSSSSQSSSLFSNGESSATFSNNLGSGLFSNNQSSGFFSNSQTFGLYSNNQSSGSLSSGQSCGAFSNSQTPFSINQSTGAVSNSQSLGALSNSQTPFSINQSTGAFSNSQTPFSFNQSSAAFSESQSLGVLSNSQTPFSFGGQSSIPTTHNTADDADDENELQQPGSPSVKKSVEKGIVTVHEVKCKLYVKSSDPAVKDTWKDKGTGQLSIKCKEGISKGAKESKPTIVVRNDVGKVLLNALLYPGIKTNPQKNSLVAIFHTAGDDSGNNDSVVARTFLLRMRTEEDRNKLATAIQEYAPTS >Potri.008G166200.1.v4.1 pep chromosome:Pop_tri_v4:8:11468221:11469250:1 gene:Potri.008G166200.v4.1 transcript:Potri.008G166200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166200.v4.1 MDGSFFHSSNSDFSFESCFESPDFFHGQSFNQSSLPFNENDSDEMLLFGLISEATQETSKATSYNGIIKEEEVSSVAEEDPNKEKSYRGVRRRPWGKFAAEIRDSTRHGVRVWLGTFDSAEAAALAYDQAAFSMRGTGATLNFPVERVRESLKDMKCTDQEDGCSPVVALKRKHSMRRKLGSRSKKESNVRIENVMVLEDLGADYLEHLLNSSEDAVSPC >Potri.003G138500.1.v4.1 pep chromosome:Pop_tri_v4:3:15524359:15526123:1 gene:Potri.003G138500.v4.1 transcript:Potri.003G138500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138500.v4.1 MMISFSQPVCRVPSSLIPVSYVGSSYFLQNLPKDPCRVGKDFYFTEKTRLGGCKRKRRVVCGAGLMFPVDPWAPNIDSQSIASQLFAFSLFPYIGFLYFITKSKTAPKLTLFGFYFLLAFVGATIPAGIYAKVHYGTSLSNVDWLHGGAESLLTLTNLFIVLGLRQAIRNENAPEKSSSDPVSEIEEEKKPSV >Potri.010G002000.1.v4.1 pep chromosome:Pop_tri_v4:10:225885:229010:1 gene:Potri.010G002000.v4.1 transcript:Potri.010G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002000.v4.1 MVVMAAKKACEMLSKSMFEEVQRWGCMKQTGVSLRYMMEFGSVPTERNLLIAAQFLHKELPIRIARRAIELETLPYGLSEKPAVLKVRDWYLDSFRDMRSFPEIKGTNDEKEFTQMIKAIKVRHNNVVPMMALGVQQLKKELGPKIVHEDLDEIHQFLDRFYMSRIGIRMLIGQHVELHNPNPPPHCVGYIHTKMSPVEVAQNASDDARAICLREYGSAPVVNIYGDPNFTFPYVPTHLQLMVFELVKNSLRAVQERHMDSDRVSPPVRIIVADGIEDVTIKVSDEGGGIARSGLPKIFTYLYSTARNPLDEDSDLGTGEAVIMAGYGYGLPISRLYARYFGGDLQIISMEGYGTDAYLHLSRLGDSQEPLP >Potri.005G107700.1.v4.1 pep chromosome:Pop_tri_v4:5:7747812:7748625:1 gene:Potri.005G107700.v4.1 transcript:Potri.005G107700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107700.v4.1 MASIYTCIECGTNLNLNTTHLYPQDFYFEAGNKGTLSFSSIDSTKFKFEEEDKIRPFFETVNYWGIQRKRTKIKCNSCGCLVGYIYDDGQPSTISPGQFGLGPSQAIPRAPRYRFKTKALRIASET >Potri.014G158200.7.v4.1 pep chromosome:Pop_tri_v4:14:11179214:11185341:1 gene:Potri.014G158200.v4.1 transcript:Potri.014G158200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158200.v4.1 MGKADSSKCVFPLTSLQIGDLQSYLSDLSLFIAFESRKLYILVDNRPWLRNLGSHPAHLWQLMVTKSRLSPFANTKAKSGRKEEKGASSQCNPSKSKKFERWFSLIEVATLSRKKGLPPVNKLRNSLLLSSELHRTLYGFIVFEVAWKDVRGINYLNELQTDTSLAIEAKIMRRWEFDSVAQAASCLSSWFSGSLSELLKLKDFLDSATGDTFYDAEENFSMTSPIDDDDLWMEDNSSYCLGGSFDVCPGTLDDIASEPHTPPPTGPYKRRRVMKSIGTGVEVDCYTEETPCGHEDFIDSSETDASVCENAIEAKQYRDVLILFRFNDHDLPFKLRQVVMSDLRLLTLLEAGLPSWVIFLQSYPGFCHLYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASSLCGPLFDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLMVTRTTRSFFSVFTQPLVEPLAEILGFLLPAWNMFIEVAESSYSFVWIVIESSCSVLGDLIEIFAWPIWFLWSIATSIIYPIFWTVWEILYAPIRLVLALAGFVAFTCGWISEMIGDLWQSVSGIFQLASVSKATVSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHIQDLIERLLGQAPRSQPSDYRNSRVTPETRSLVSEGSRKIHIR >Potri.014G158200.10.v4.1 pep chromosome:Pop_tri_v4:14:11179256:11184918:1 gene:Potri.014G158200.v4.1 transcript:Potri.014G158200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158200.v4.1 MGKADSSKCVFPLTSLQIGDLQSYLSDLSLFIAFESRKLYILVDNRPWLRNLGSHPAHLWQLMVTKSRLSPFANTKAKSGRKEEKGASSQCNPSKSKKFERWFSLIEVATLSRKKGLPPVNKLRNSLLLSSELHRTLYGFIVFEVAWKDVRGINYLNELQTDTSLAIEAKIMRRWEFDSVAQAASCLSSWFSGSLSELLKLKDFLDSATGDTFYDAEENFSMTSPIDDDDLWMEDNSSYCLGGSFDVCPGTLDDIASEPHTPPPTGPYKRRRVMKSIGTGVEVDCYTEETPCGHEDFIDSSETDASVCENAIEAKQYRDVLILFRFNDHDLPFKLRQVVMSDLRLLTLLEAGLPSWVIFLQSYPGFCHLYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASSLCGPLFDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLMVTRTTRSFFSVFTQPLVEPLAEILGFLLPAWNMFIEVAESSYSFVWIVIESSCSVLGDLIEIFAWPIWFLWSIATSIIYPIFWTVWEILYAPIRLVLALAGFVAFTCGWISEMIGDLWQSVSGIFQLASVSKATVSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHIQDLIERLLGQAPRSQPSDYRNSRVTPETRSLVSEGSRKIHIR >Potri.014G158200.11.v4.1 pep chromosome:Pop_tri_v4:14:11179201:11185022:1 gene:Potri.014G158200.v4.1 transcript:Potri.014G158200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158200.v4.1 MLALFELFFASCDFRDLQSYLSDLSLFIAFESRKLYILVDNRPWLRNLGSHPAHLWQLMVTKSRLSPFANTKAKSGRKEEKGASSQCNPSKSKKFERWFSLIEVATLSRKKGLPPVNKLRNSLLLSSELHRTLYGFIVFEVAWKDVRGINYLNELQTDTSLAIEAKIMRRWEFDSVAQAASCLSSWFSGSLSELLKLKDFLDSATGDTFYDAEENFSMTSPIDDDDLWMEDNSSYCLGGSFDVCPGTLDDIASEPHTPPPTGPYKRRRVMKSIGTGVEVDCYTEETPCGHEDFIDSSETDASVCENAIEAKQYRDVLILFRFNDHDLPFKLRQVVMSDLRLLTLLEAGLPSWVIFLQSYPGFCHLYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASSLCGPLFDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLMVTRTTRSFFSVFTQPLVEPLAEILGFLLPAWNMFIEVAESSYSFVWIVIESSCSVLGDLIEIFAWPIWFLWSIATSIIYPIFWTVWEILYAPIRLVLALAGFVAFTCGWISEMIGDLWQSVSGIFQLASVSKATVSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHIQDLIERLLGQAPRSQPSDYRNSRVTPETRSLVSEGSRKIHIR >Potri.014G158200.9.v4.1 pep chromosome:Pop_tri_v4:14:11179577:11185478:1 gene:Potri.014G158200.v4.1 transcript:Potri.014G158200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158200.v4.1 MGKADSSKCVFPLTSLQIGDLQSYLSDLSLFIAFESRKLYILVDNRPWLRNLGSHPAHLWQLMVTKSRLSPFANTKAKSGRKEEKGASSQCNPSKSKKFERWFSLIEVATLSRKKGLPPVNKLRNSLLLSSELHRTLYGFIVFEVAWKDVRGINYLNELQTDTSLAIEAKIMRRWEFDSVAQAASCLSSWFSGSLSELLKLKDFLDSATGDTFYDAEENFSMTSPIDDDDLWMEDNSSYCLGGSFDVCPGTLDDIASEPHTPPPTGPYKRRRVMKSIGTGVEVDCYTEETPCGHEDFIDSSETDASVCENAIEAKQYRDVLILFRFNDHDLPFKLRQVVMSDLRLLTLLEAGLPSWVIFLQSYPGFCHLYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASSLCGPLFDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLMVTRTTRSFFSVFTQPLVEPLAEILGFLLPAWNMFIEVAESSYSFVWIVIESSCSVLGDLIEIFAWPIWFLWSIATSIIYPIFWTVWEILYAPIRLVLALAGFVAFTCGWISEMIGDLWQSVSGIFQLASVSKATVSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHIQDLIERLLGQAPRSQPSDYRNSRVTPETRSLVSEGSRKIHIR >Potri.014G158200.12.v4.1 pep chromosome:Pop_tri_v4:14:11179193:11185013:1 gene:Potri.014G158200.v4.1 transcript:Potri.014G158200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158200.v4.1 MLALFELFFASCDFRDLQSYLSDLSLFIAFESRKLYILVDNRPWLRNLGSHPAHLWQLMVTKSRLSPFANTKAKSGRKEEKGASSQCNPSKSKKFERWFSLIEVATLSRKKGLPPVNKLRNSLLLSSELHRTLYGFIVFEVAWKDVRGINYLNELQTDTSLAIEAKIMRRWEFDSVAQAASCLSSWFSGSLSELLKLKDFLDSATGDTFYDAEENFSMTSPIDDDDLWMEDNSSYCLGGSFDVCPGTLDDIASEPHTPPPTGPYKRRRVMKSIGTGVEVDCYTEETPCGHEDFIDSSETDASVCENAIEAKQYRDVLILFRFNDHDLPFKLRQVVMSDLRLLTLLEAGLPSWVIFLQSYPGFCHLYRPWMCPLARALYVLISIITVLIGFYDLYKNVPVLKATASSLCGPLFDWIETWEMVSRIKYLGTMLFLHNFEKAVTWFLMVTRTTRSFFSVFTQPLVEPLAEILGFLLPAWNMFIEVAESSYSFVWIVIESSCSVLGDLIEIFAWPIWFLWSIATSIIYPIFWTVWEILYAPIRLVLALAGFVAFTCGWISEMIGDLWQSVSGIFQLASVSKATVSTYEVSVWRSLWNDLFSQVFRAVRSILNGFVAFFTACNRHRLSIYNHIQDLIERLLGQAPRSQPSDYRNSRVTPETRSLVSEGSRKIHIR >Potri.001G081300.1.v4.1 pep chromosome:Pop_tri_v4:1:6442179:6445135:1 gene:Potri.001G081300.v4.1 transcript:Potri.001G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081300.v4.1 MDNRQDILGNNSPEVIRWLCNLSESELDMLIRLKSLILHRAKVLGHDELAKNFDLPTLRAIALLLMEYLKGKFKHSSQVQGLTKLVVFPECCNLLEGNPGEDSSMEELKACIGIDERKRPTERPGEEATKQKKQRL >Potri.012G027400.1.v4.1 pep chromosome:Pop_tri_v4:12:2649383:2652595:-1 gene:Potri.012G027400.v4.1 transcript:Potri.012G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027400.v4.1 MGFSPPSLSQSLSFILFLFHFHSTISSSHFCALHQSLSLLQFKESFSINSSASIRCQHPKTESWKEGTDCCLWDGVTCDMKTGHVTGLDLACSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNSSHISSRFGQFSNLTLLNLNFSVFAGQVPSEISHLSKLVSLDLSDNGYLSLEPISFDKLVRNLTKLRELDLSSVNMSLLVPDSMMNLSSSLSSLKLNDCGLQGKLPSSMGRFKHLQYLDLSENFYLSLEPISFDKLVQNLTKLRDLALDRVNMSLVAPNSLTNLSSSFSSLSLWNCGLQGKFPGNIFLLPNLESLYLSYNEGLTGSFPSSNLSNVLSTLSLSNTRISVYLKNDLISNLKSLEYMYLSNCNIISSDLALLGNLTQLIFLDISGNNFSGQIPSSLGNLVHLRSLYLDSNKFMGQIPDSFGSLVHLSDLYLSNNQLVGPIHFQLNTLSNLQYLYLSNNLFNGTIPSFLLALPSLQYLDLHNNNLIGNISELQHNSLTYLDLSNNHLHGPIPSSIFKQENLTTLILASNSKLTGEISSSICKLRFLLVLDLSNNSLSGSTPQCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNILEYLNLNGNELEGKIPPSIINCTMLEVLDLGNNKIEDTFPYFLETLPELQILILKSNKLQGFVKGPTAYNSFFKLRIFDISDNNFSGPLPTGYFNSLEAMMASDQNMIYMRTTNYTGYVYSIEMTWKGVEIEFTKIRSTIRVLDLSNNNFTGEISKVIGKLKALQQLNLSHNSLTGHIQSSLENLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGRIPSGGQFNTFTASSFEGNLGLCGFQVLKECYGDEAPSLPPSSFDEGDDSTLFGEGFGWKAVTVGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLQSKKTKKNAGRYGARRN >Potri.005G028800.1.v4.1 pep chromosome:Pop_tri_v4:5:1825464:1832485:1 gene:Potri.005G028800.v4.1 transcript:Potri.005G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G028800.v4.1 MFGSGSSTGHDNSGVIPVRFVWPYGGGEVSIFGTFTRWIDLLPMSPVEGCPNVFQIVVSLVPGLHQFKFRVDGQWRVDEQLSFVDGPYGVVNTVVLTKDPPQILNSETPGRSNMELDDVSVCPEVIQGISATELEVSRHRISAFLSTHTAYELLPESGKVIALDVTLPVKQAFHILYEQGIPMAPLWDFCKGQFVGVLTALDFILILRELGTHGSNLTEEELETHTISAWKEGKMHLNRQIDGSGRAYSKHLIHAGPYDSMKDVSLKILQNSVSTVPIIHSASQDGSFPQLLHLASLSGILKCICRYFRHSAGSLPILQQPICSIPLGTWVPKIGEPNRRPFAMLRPNASLGAALSLLAQANVSSIPIVNDNDSLLDVYSRSDITALAKDKAYAQIHLDEISIHQALQLGQNANSSNAFYNGQRCQMCLRTDSLQKVMERLANPGVRRLLIVEAGSKRVEGVISLSDVFRFLLGI >Potri.015G107050.1.v4.1 pep chromosome:Pop_tri_v4:15:12544305:12545049:-1 gene:Potri.015G107050.v4.1 transcript:Potri.015G107050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107050.v4.1 MLICCTRCFLSCSSKVFVQESSGSCSTPRLKLFLKTICTATHGLVKTRLALQMRNSLKGEKETSLNMPEIRFQTSNIILSFLGCFFRAPNQSGNRRAAGNWALAYRNVLNQTFHRKKSGTPEAKE >Potri.004G205000.1.v4.1 pep chromosome:Pop_tri_v4:4:21322093:21326222:1 gene:Potri.004G205000.v4.1 transcript:Potri.004G205000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205000.v4.1 MEWQKVMERGERAGVPSSSNGGDGADVVKEMMDVIETVGLYVGYRRTQRKECLNLVRRLKLLVPLLEEIKEIDHHKLSSSEGLKTSLVNLKKALLGAKKLLKKCSCGSKIYLAMESEAVMSSFHAVYDHLNQALDDLQYDELGISVEVKEQVELTRMQLKRAKRRTDTQDIELAMDMMVVFSKKDDRNADSAILERLASKLELHTISDLKAEEVAVRKLVKQRGVQNAESIQQIKDFLGKFRHIAGVDETIDLDGPISSKSLQKCQSLLIPHEFLCPITLEIMVDPVIVASGQTYERESIQKWLNSNHRTCPKTGQILDHLSLAPNFALRNLILQWCEKNKYELPKKDSCLRSDGFSAESIEEISFFVQNLSSHEFEVRREAVMNIRMLAKENPGNRILIANYGGIPPLVQLLSYQDSKIQEHTVTALLNLSIDETNKRLVAREGAIPAIIEILQNGTDEARENSAAALFSLSMLDENKVLIGALKGIRPLVYLLQNGTVRGKKDAATALFNLSLNQTNKSRAIKAGIIPALLCLLEENNLGMIDEALSILLLLASHPEGRNEIGRLSFIETLVGIIRNGTPKNKECAASVLLELGLNNSSIILAALQYGVYEHLAELTKNGTNRAQRKANSLLQHMSKYEHLP >Potri.015G055200.1.v4.1 pep chromosome:Pop_tri_v4:15:7611288:7615798:-1 gene:Potri.015G055200.v4.1 transcript:Potri.015G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055200.v4.1 MGCSFSGLNALYDAVNGGGDVWINENRFRIVRQLGEGGFAYVFLVKEVVNASAPASGGGAVAGGLSKKVKDKSHLSGDGTYAMKKVLIQNNEQLELVREEIHVSSLFNHPNLLPLLDHAIIAVKATQEGSWNHEAYLLFPVHLDGTLLDNSTAMKAKKEFFSTTDVLQIFRQLCAGLKHMHNFDPSYAHNDVKPGNVLLTHRQGKSPLAILMDFGSARPAKRQIRSRSEALQLQEWASEHCSAPFRAPELWDCPSHADIDERTDIWSLGCTLYAIMYGVSPFEYALGESGGSLQLAIVNAQIKWPAGPKPPYPEALHQFVTWMLQPQAAVRPRIDDIIIHVDKLISKFSN >Potri.017G141700.1.v4.1 pep chromosome:Pop_tri_v4:17:14207137:14207832:1 gene:Potri.017G141700.v4.1 transcript:Potri.017G141700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141700.v4.1 MVLGSFKKVVLGSVALAIFWILAVFPAVPFLPIGRTGGSILGAMLMVIFKVITPEQAYSAINLSVLGLLFGTMVVSIYLEIADMFKYRTPVFN >Potri.005G153200.1.v4.1 pep chromosome:Pop_tri_v4:5:13162486:13166065:-1 gene:Potri.005G153200.v4.1 transcript:Potri.005G153200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G153200.v4.1 MPTNEGFSGVSNCYVFKSRLQEYAQKAGLPTPVYETIKEGPSHEPSFRSTVIVKEVRYDSLPGFFNRKAAEQSAAEVALVELAKAGQINESTSQPVHETGLCKNLLQEYAQKMNYAIPLYECQKDETPGRGLVFKCTVEIGGIRYIGASAKTKKEAEIKAARTALLAIQSSGSDKPSGNSQLTVIPCRKRGVETSVQEEMANIPKPKKARFKKKRLKNKLSGDRIDNAQSELTENLDTMDGQSGSETDQTDGSIIRGANCKPLAMGTTMTAHDGKPDTDLNERETSDTKGALTSNDSGNPGSGQSASPNFNQINHGTGAEISAESNAGKGKVTGIIEATSMANTPVLAQIGASNA >Potri.013G009700.2.v4.1 pep chromosome:Pop_tri_v4:13:627542:631975:-1 gene:Potri.013G009700.v4.1 transcript:Potri.013G009700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009700.v4.1 MRFNSERFRSLKAIVRPSYFLIILVLSSFMFSELCVMIKQSKNRVMSESEGVRNKSFSEIQMTAGLLHRLNSSASNLARALSSSLNGTQLSFIAIQAKVAPTLFLALSTIPELSQVSYIGLDGLLFSFYNDEDQTFSIYSNTSFSSQWFTQPVNRDTGKLYGDAVASDPKVTVNSSWFQKALNSTSGHSSMDTGWNKAQDSLFLNTAAVDGRGVVSLGFPVEVVINHFAALDFHGGFFHLGTANGQVVVQTKLPNSQIEIHNDTVMVQTMKRNGDPLGHYNISCDPEDGRLRPLHKKIVGIKYMFYCSTLDIAGLKSVYVLAYPRNGLVHLVHRNSMLSLLLLALVFIFIVISLCTYLFLTARATNREMFLCASLIKQTEATQQAERKSMNKTKAFAGANHDVRNSLAAVRASIHFCQEEANPESKLAAQLVQLENHTKDLLGILNSILDMSKIEAGKTSLEIEDFNLAELLEEVVDMFYPLGMNKGIDIVLDPCDGSTLKSANVRGDRLKLKQILCNLVNNAIKFTSEGHVSIRTVVKKKNFRKEIIASNRTTVMKFLSRFCYKNQDSFNDLDALNTVEENPNEVEFEFEVDDTGKGIPKDKQKSLFEDYVQVKETATGQEGTGLGLGIVQSLVRVMKGELRIVEKELGERGTCFKFNVFLSSVEPKSAEPEEDRRSSAFHQHFPFMSPKPEGSHVIIFIPGEERRKVLKKYIERLNIKVTIIKQVMNLQLELEKVKRKLDLSYFISGKPENTLDDYLSKSASTNSDRGSLDGSLNIKDEGDHITPHYKKTNSKSSSSIILLVIDVNEATSYPNFQNILANLRKDIGKSLCKVVWLEDPIMGHSSDEVKDRVTAEGDYVLHKPLHGSCLSQVLSLLPERKGASHCNFSKSTRRTTVQEVQDCADSNLSNDLSCSEIIELDLASPQSSSLQPTTANKPTVEAGSKPLNGKNVLVVEDSMLLQRLTSSVLKKLGASVEVCTNGKEAFDEVSKSLSDQKKEGDSISLPYDIIFMDCEMPVMNGFEATRLIRMEEEQYSGVHIPIIALTAHAMPEQTSKVFDAGMDFHLTKPLEERKMLEVILSIVNE >Potri.013G009700.1.v4.1 pep chromosome:Pop_tri_v4:13:627542:632453:-1 gene:Potri.013G009700.v4.1 transcript:Potri.013G009700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009700.v4.1 MRFNSERFRSLKAIVRPSYFLIILVLSSFMFSELCVMIKQSKNRVMSESEGVRNKSFSEIQMTAGLLHRLNSSASNLARALSSSLNGTQLSFIAIQAKVAPTLFLALSTIPELSQVSYIGLDGLLFSFYNDEDQTFSIYSNTSFSSQWFTQPVNRDTGKLYGDAVASDPKVTVNSSWFQKALNSTSGHSSMDTGWNKAQDSLFLNTAAVDGRGVVSLGFPVEVVINHFAALDFHGGFFHLGTANGQVVVQTKLPNSQIEIHNDTVMVQTMKRNGDPLGHYNISCDPEDGRLRPLHKKIVGIKYMFYCSTLDIAGLKSVYVLAYPRNGLVHLVHRNSMLSLLLLALVFIFIVISLCTYLFLTARATNREMFLCASLIKQTEATQQAERKSMNKTKAFAGANHDVRNSLAAVRASIHFCQEEANPESKLAAQLVQLENHTKDLLGILNSILDMSKIEAGKTSLEIEDFNLAELLEEVVDMFYPLGMNKGIDIVLDPCDGSTLKSANVRGDRLKLKQILCNLVNNAIKFTSEGHVSIRTVVKKKNFRKEIIASNRTTVMKFLSRFCYKNQDSFNDLDALNTVEENPNEVEFEFEVDDTGKGIPKDKQKSLFEDYVQVKETATGQEGTGLGLGIVQSLVRVMKGELRIVEKELGERGTCFKFNVFLSSVEPKSAEPEEDRRSSAFHQHFPFMSPKPEGSHVIIFIPGEERRKVLKKYIERLNIKVTIIKQVMNLQLELEKVKRKLDLSYFISGKPENTLDDYLSKSASTNSDRGSLDGSLNIKDEGDHITPHYKKTNSKSSSSIILLVIDVNEATSYPNFQNILANLRKDIGKSLCKVVWLEDPIMGHSSDEVKDRVTAEGDYVLHKPLHGSCLSQVLSLLPERKGASHCNFSKSTRRTTVQEVQDCADSNLSNDLSCSEIIELDLASPQSSSLQPTTANKPTVEAGSKPLNGKNVLVVEDSMLLQRLTSSVLKKLGASVEVCTNGKEAFDEVSKSLSDQKKEGDSISLPYDIIFMDCEMPVMNGFEATRLIRMEEEQYSGVHIPIIALTAHAMPEQTSKVFDAGMDFHLTKPLEERKMLEVILSIVNE >Potri.016G011400.1.v4.1 pep chromosome:Pop_tri_v4:16:564830:576684:-1 gene:Potri.016G011400.v4.1 transcript:Potri.016G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011400.v4.1 MAKLHLKLFPKLVSSLAVLLLCQFGYVVCQSTGFSDSRLPADEEKALDDLLKTLEWGFHPNISRSACSSNFEYIKCDCNRTVCHVTRLTLPDQDLTGQINAEALASLVHLRAIDLSKNQLHGSIPLTLGNLSSLTRLDLSTNFLNGYIPSSLGNLSSLQYLRLSRNFLNDFIPSSLGNLSSLEYLSLSYNKLFGQIPKELGNLSNLLSMYLDFNELTGQLPPELGRLGSLGYLGLSSNNLSGPLPENYANFTGLQWFSVAGNRLTGQVPSFIADWTELNRLSLSGNDFERELPLESIFNMSNLRYLFVSDVRSSGFPKNANMKKIRYLMIRNCSISGEIPPYIGDWSRLRYLDLSFNSLTGGIPDSMKKLNLSKMFLTGNMLNGTVPYWVPDTIEDKADLSYNNFEIPRDGPKKGEGKLNIQPNRNSIRNLIKKCRRKPKYNSLYINCGGGETVVDGKVFEADSTTSNYNLAPRKNWAYSCSGDFGSKTYDSSDYIKNVECEVCDSAGTQLYNSSRLCPLSLTYYGFCLFKGNYTVKLYFAETVYQSDADYSNLGKRVFDVYIQGKRALKDFNIKEMASGTNKTWTANFTAYVGDDYLLTIDFFWAGKGTFQEPSFSYAPAALSLKGPLVSGISVTANFKVGKGLSPSQIAGITVGSVFASVLLSAYMWKMGWQQQSDLNEVQGDEKSFALKQIIDATRKFSPKMEIGRGRFGIVYEAELPNKRKLAVMKISPRNSKQQGKDELQGEISKLKSLHHENLVQLLRGYSNKDLHLLVNEQKGSLQRALFEPDSTTKLDWKARFDICLGIARGLTYLHEEKRIVHGNINPSNIMLDDDSLTAKLSAFGLATLCDEEDPFMTIEAKESRVYMAPEYSMGKTIDTVKADVYSFGVVLLEIVSGTVSAEYTPNQEEAKFLLDKASVLHHKGRILDLVDKKLASSYDRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLEDEKRIDAISEGDDTPSTNIGGLCGAFSSVLEIDPIS >Potri.016G011400.3.v4.1 pep chromosome:Pop_tri_v4:16:564833:576639:-1 gene:Potri.016G011400.v4.1 transcript:Potri.016G011400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011400.v4.1 MAKLHLKLFPKLVSSLAVLLLCQFGYVVCQSTGFSDSRLPADEEKALDDLLKTLEWGFHPNISRSACSSNFEYIKCDCNRTVCHVTRLTLPDQDLTGQINAEALASLVHLRAIDLSKNQLHGSIPLTLGNLSSLTRLDLSTNFLNGYIPSSLGNLSSLQYLRLSRNFLNDFIPSSLGNLSSLEYLSLSYNKLFGQIPKELGNLSNLLSMYLDFNELTGQLPPELGRLGSLGYLGLSSNNLSGPLPENYANFTGLQWFSVAGNRLTGQVPSFIADWTELNRLSLSGNDFERELPLESIFNMSNLRYLFVSDVRSSGFPKNANMKKIRYLMIRNCSISGEIPPYIGDWSRLRYLDLSFNSLTGGIPDSMKKLNLSKMFLTGNMLNGTVPYWVPDTIEDKADLSYNNFEIPRDGPKKGEGKLNIQPNRNSIRNLIKKCRRKPKYNSLYINCGGGETVVDGKVFEADSTTSNYNLAPRKNWAYSCSGDFGSKTYDSSDYIKNVECEVCDSAGTQLYNSSRLCPLSLTYYGFCLFKGNYTVKLYFAETVYQSDADYSNLGKRVFDVYIQGKRALKDFNIKEMASGTNKTWTANFTAYVGDDYLLTIDFFWAGKGTFQEPSFSYAPAALSLKGPLVSGISVTANFKVGKGLSPSQIAGITVGSVFASVLLSAYMWKMGWQQQSDLNEVQGDEKSFALKQIIDATRKFSPKMEIGRGRFGIVYEAELPNKRKLAVMKISPRNSKQQGKDELQGEISKLKSLHHENLVQLLRGYSNKDLHLLVNEQKGSLQRALFDSTTKLDWKARFDICLGIARGLTYLHEEKRIVHGNINPSNIMLDDDSLTAKLSAFGLATLCDEEDPFMTIEAKESRVYMAPEYSMGKTIDTVKADVYSFGVVLLEIVSGTVSAEYTPNQEEAKFLLDKASVLHHKGRILDLVDKKLASSYDRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLEDEKRIDAISEGDDTPSTNIGGLCGAFSSVLEIDPIS >Potri.002G226400.1.v4.1 pep chromosome:Pop_tri_v4:2:21515424:21516202:1 gene:Potri.002G226400.v4.1 transcript:Potri.002G226400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226400.v4.1 MTPNTGESSGHPPSAFPHKLPSSILTQTTNPQPTPASTQDLLILPVKIVTFTSSPSPWPSEAPAIHGRATTGNPTIVFLLLPHHRPVSLTATSNCFSPSKQKSKSFPASQP >Potri.007G106200.2.v4.1 pep chromosome:Pop_tri_v4:7:12910538:12911346:-1 gene:Potri.007G106200.v4.1 transcript:Potri.007G106200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106200.v4.1 WSTIDGPLGLIEDESLTYARRFYKFGFAFLPGLWAVSCFYFWPVLFDSRTFPRIRPCRSASSWVHSIYNSSSFVGLTFSIGGEQLFGLG >Potri.012G019350.1.v4.1 pep chromosome:Pop_tri_v4:12:2061959:2062237:1 gene:Potri.012G019350.v4.1 transcript:Potri.012G019350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019350.v4.1 MQQSGAFHVDLGRWSLLSFGPEVRRAYGSSRFFFISSILGGISGKLSYQTRANCWWDSKDKLVLLHSPTSLVEAFFNLLHSKGHIWEQFRQA >Potri.007G112700.1.v4.1 pep chromosome:Pop_tri_v4:7:13366605:13369588:-1 gene:Potri.007G112700.v4.1 transcript:Potri.007G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112700.v4.1 MSSPQCFENPPKLTPDYGAGTVQELGGLKTYVTGASDSKLAILLIADAFGYEAPNLRKLADKVAAAGFFVVVPDFFYGDPIDLSRPGFDIEAWKKLHNTDKGHENAKLVIATLKSKGVNSIGAAGFCWGGNVAVKLASSNDIQAAVILHPGPLTIDEIREVKIPIAVLGAEIDHYSPPEQLKEFGEILSAKSQLASLLKIFPGVSHGWTVRYNVEDEPAVKSAEEAHRDMLHWFTKFVK >Potri.008G008100.5.v4.1 pep chromosome:Pop_tri_v4:8:382585:397703:1 gene:Potri.008G008100.v4.1 transcript:Potri.008G008100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008100.v4.1 MKRIEEEEAKSKEERMTTRSSCSSNGLLPSSASPRKTDDLEVGQPIKEFELDDDDDDDPFDIAHTKNAPLEILRRWRQAALVLNASRRFRYTLDLKKEEEREQRRRMVRSHAQVIRAALLFRLAGEQQIVLGTSATPPTVTGDYAIGLEELASMTRDHNIFSLHQCGGVKGLSNMLKTNLATGIVGDENDLIKRMNTFGTNRYPQKKGRGFLRFLWEAWQDLTLIILIVAAIASLGLGIKTEGLSHGWYDGASISFAVMLVIIVTAVSDYRQSLQFQNLNKEKQNIQLEVMRGGRIMKISIFDIVVGDVVPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQNAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIAGLAVALSVLAVLLGRYFTGNTKNPDGSVQFIKGETTVSKAVDGVIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSSTTICSDKTGTLTLNQMTVVEAYVGNQKINPPDDPSQLQSEAGLLLCEGIAQNTTGNVFVPKDGGDVEITGSPTEKAILSWAVKLGMKFDVLRAESKILRVFPFNSEKKRGGVAIQTADSKVHIHWKGAAEMVLASCTGYLDSNGSLQSIDKEMDFFKVAIDDMAACSLRCVAIAYRPYELDKVPTDEESLGKWVLPEDELVLLAIVGIKDPCRPGVKDAVRICTAAGVKVRMVTGDNIQTAKAIALECGILSSGADATEPNIIEGKVFRAYSEKEREIIAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVGALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLIQALYQVAVLLVLNFRGLSILHLNQDDRKHATIAKNTVIFNAFVLCQVFNEFNARKPDEINVFKGVTKNHLFMGIVGFTVILQIILIEFTGDFTTTVRLNWKQWLICVAIGIVSWPLAAVGKLIPVPKTPLSVYFRKPFRRFRTARNALMPQWGLSLN >Potri.008G008100.7.v4.1 pep chromosome:Pop_tri_v4:8:382586:397706:1 gene:Potri.008G008100.v4.1 transcript:Potri.008G008100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008100.v4.1 MKRIEEEEAKSKEERMTTRSSCSSNGLLPSSASPRKTDDLEVGQPIKEFELDDDDDDDPFDIAHTKNAPLEILRRWRQAALVLNASRRFRYTLDLKKEEEREQRRRMVRSHAQVIRAALLFRLAGEQQIGDYAIGLEELASMTRDHNIFSLHQCGGVKGLSNMLKTNLATGIVGDENDLIKRMNTFGTNRYPQKKGRGFLRFLWEAWQDLTLIILIVAAIASLGLGIKTEGLSHGWYDGASISFAVMLVIIVTAVSDYRQSLQFQNLNKEKQNIQLEVMRGGRIMKISIFDIVVGDVVPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQNAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIAGLAVALSVLAVLLGRYFTGNTKNPDGSVQFIKGETTVSKAVDGVIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSSTTICSDKTGTLTLNQMTVVEAYVGNQKINPPDDPSQLQSEAGLLLCEGIAQNTTGNVFVPKDGGDVEITGSPTEKAILSWAVKLGMKFDVLRAESKILRVFPFNSEKKRGGVAIQTADSKVHIHWKGAAEMVLASCTGYLDSNGSLQSIDKEMDFFKVAIDDMAACSLRCVAIAYRPYELDKVPTDEESLGKWVLPEDELVLLAIVGIKDPCRPGVKDAVRICTAAGVKVRMVTGDNIQTAKAIALECGILSSGADATEPNIIEGKVFRAYSEKEREIIAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVGALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLIQALYQVAVLLVLNFRGLSILHLNQDDRKHATIAKNTVIFNAFVLCQVFNEFNARKPDEINVFKGVTKNHLFMGIVGFTVILQIILIEFTGDFTTTVRLNWKQWLICVAIGIVSWPLAAVGKLIPVPKTPLSVYFRKPFRRFRTARNALMPQWGLSLN >Potri.008G008100.4.v4.1 pep chromosome:Pop_tri_v4:8:382575:397706:1 gene:Potri.008G008100.v4.1 transcript:Potri.008G008100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008100.v4.1 MKRIEEEEAKSKEERMTTRSSCSSNGLLPSSASPRKTDDLEVGQPIKEFELDDDDDDDPFDIAHTKNAPLEILRRWRQAALVLNASRRFRYTLDLKKEEEREQRRRMVRSHAQVIRAALLFRLAGEQQIVLGTSATPPTVTGDYAIGLEELASMTRDHNIFSLHQCGGVKGLSNMLKTNLATGIVGDENDLIKRMNTFGTNRYPQKKGRGFLRFLWEAWQDLTLIILIVAAIASLGLGIKTEGLSHGWYDGASISFAVMLVIIVTAVSDYRQSLQFQNLNKEKQNIQLEVMRGGRIMKISIFDIVVGDVVPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQNAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIAGLAVALSVLAVLLGRYFTGNTKNPDGSVQFIKGETTVSKAVDGVIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSSTTICSDKTGTLTLNQMTVVEAYVGNQKINPPDDPSQLQSEAGLLLCEGIAQNTTGNVFVPKDGGDVEITGSPTEKAILSWAVKLGMKFDVLRAESKILRVFPFNSEKKRGGVAIQTADSKVHIHWKGAAEMVLASCTGYLDSNGSLQSIDKEMDFFKVAIDDMAACSLRCVAIAYRPYELDKVPTDEESLGKWVLPEDELVLLAIVGIKDPCRPGVKDAVRICTAAGVKVRMVTGDNIQTAKAIALECGILSSGADATEPNIIEGKVFRAYSEKEREIIAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVGALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLIQALYQVAVLLVLNFRGLSILHLNQDDRKHATIAKNTVIFNAFVLCQVFNEFNARKPDEINVFKGVTKNHLFMGIVGFTVILQIILIEFTGDFTTTVRLNWKQWLICVAIGIVSWPLAAVGKLIPVPKTPLSVYFRKPFRRFRTARNALMPQWGLSLN >Potri.008G008100.3.v4.1 pep chromosome:Pop_tri_v4:8:382462:397706:1 gene:Potri.008G008100.v4.1 transcript:Potri.008G008100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008100.v4.1 MKRIEEEEAKSKEERMTTRSSCSSNGLLPSSASPRKTDDLEVGQPIKEFELDDDDDDDPFDIAHTKNAPLEILRRWRQAALVLNASRRFRYTLDLKKEEEREQRRRMVRSHAQVIRAALLFRLAGEQQIVLGTSATPPTVTGDYAIGLEELASMTRDHNIFSLHQCGGVKGLSNMLKTNLATGIVGDENDLIKRMNTFGTNRYPQKKGRGFLRFLWEAWQDLTLIILIVAAIASLGLGIKTEGLSHGWYDGASISFAVMLVIIVTAVSDYRQSLQFQNLNKEKQNIQLEVMRGGRIMKISIFDIVVGDVVPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQNAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIAGLAVALSVLAVLLGRYFTGNTKNPDGSVQFIKGETTVSKAVDGVIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSSTTICSDKTGTLTLNQMTVVEAYVGNQKINPPDDPSQLQSEAGLLLCEGIAQNTTGNVFVPKDGGDVEITGSPTEKAILSWAVKLGMKFDVLRAESKILRVFPFNSEKKRGGVAIQTADSKVHIHWKGAAEMVLASCTGYLDSNGSLQSIDKEMDFFKVAIDDMAACSLRCVAIAYRPYELDKVPTDEESLGKWVLPEDELVLLAIVGIKDPCRPGVKDAVRICTAAGVKVRMVTGDNIQTAKAIALECGILSSGADATEPNIIEGKVFRAYSEKEREIIAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVGALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLIQALYQVAVLLVLNFRGLSILHLNQDDRKHATIAKNTVIFNAFVLCQVFNEFNARKPDEINVFKGVTKNHLFMGIVGFTVILQIILIEFTGDFTTTVRLNWKQWLICVAIGIVSWPLAAVGKLIPVPKTPLSVYFRKPFRRFRTARNALMPQWGLSLN >Potri.008G008100.6.v4.1 pep chromosome:Pop_tri_v4:8:382585:397610:1 gene:Potri.008G008100.v4.1 transcript:Potri.008G008100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008100.v4.1 MKRIEEEEAKSKEERMTTRSSCSSNGLLPSSASPRKTDDLEVGQPIKEFELDDDDDDDPFDIAHTKNAPLEILRRWRQAALVLNASRRFRYTLDLKKEEEREQRRRMVRSHAQVIRAALLFRLAGEQQIVLGTSATPPTVTGDYAIGLEELASMTRDHNIFSLHQCGGVKGLSNMLKTNLATGIVGDENDLIKRMNTFGTNRYPQKKGRGFLRFLWEAWQDLTLIILIVAAIASLGLGIKTEGLSHGWYDGASISFAVMLVIIVTAVSDYRQSLQFQNLNKEKQNIQLEVMRGGRIMKISIFDIVVGDVVPLRIGDQVPADGILITGHSLAIDESSMTGESKIVHKDQNAPFLMSGCKVADGIGTMLVTGVGINTEWGLLMASISEDTGEETPLQVRLNGLATFIGIAGLAVALSVLAVLLGRYFTGNTKNPDGSVQFIKGETTVSKAVDGVIKILTIAVTIVVVAVPEGLPLAVTLTLAYSMRKMMADKALVRRLSACETMGSSTTICSDKTGTLTLNQMTVVEAYVGNQKINPPDDPSQLQSEAGLLLCEGIAQNTTGNVFVPKDGGDVEITGSPTEKAILSWAVKLGMKFDVLRAESKILRVFPFNSEKKRGGVAIQTADSKVHIHWKGAAEMVLASCTGYLDSNGSLQSIDKEMDFFKVAIDDMAACSLRCVAIAYRPYELDKVPTDEESLGKWVLPEDELVLLAIVGIKDPCRPGVKDAVRICTAAGVKVRMVTGDNIQTAKAIALECGILSSGADATEPNIIEGKVFRAYSEKEREIIAKKITVMGRSSPNDKLLLVQALRKGGEVVAVTGDGTNDAPALHEADIGLSMGIQGTEVAKESSDIVILDDNFASVVKVVRWGRSVYANIQKFIQFQLTVNVGALVINVVAAVSSGDVPLNTVQLLWVNLIMDTLGALALATEPPTDHLMHRTPVGRREPLITNIMWRNLLIQALYQVAVLLVLNFRGLSILHLNQDDRKHATIAKNTVIFNAFVLCQVFNEFNARKPDEINVFKGVTKNHLFMGIVGFTVILQIILIEFTGDFTTTVRLNWKQWLICVAIGIVSWPLAAVGKLIPVPKTPLSVYFRKPFRRFRTARNALMPQWGLSLN >Potri.015G085800.1.v4.1 pep chromosome:Pop_tri_v4:15:11024354:11026377:1 gene:Potri.015G085800.v4.1 transcript:Potri.015G085800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085800.v4.1 MISSVYPEYSSKFVKMLEVHAMILHPYSLACLLFIFVTKWFFFNSARNKNLPPSPLKIPVVGNLLQLGLYPHRSLQSLAKRHGPLMLLHLGNAPTLVVSSADGAHEILRTHDVIFSNRPDSSIARRLLYDYKDLSLALYGEYWRQIRSICVAQLLSSKRVKLFHSIREEETALLVQNVELFSSRSLQVDLSELFSELTNDVVCRVSFGKKYREGGSGRKFKKLLEEFGAVLGVFNVRDFIPWLGWINYLTGLNVRVEWVFKEFDRFLDEVIEEFKANRVGVNEDKMNFVDVLLEIQKNSTDGASIGSDSIKAIILDMFAAGTDTTHTALEWTMTELLKHPEVMKKAQDEIRRITGSKISVTQDDVEKTLYLKAVIKESLRLHPPIPTLIPRESTKDVKVQGYDILAKTRVIINAWAIGRDPSSWENPDEFRPERFLESAIDFKGNDFQFIPFGAGRRGCPGTTFASSVIEITLASLLHKFNWALPGGAKPEDLDITEAPGLAIHRKFPLVVIATPHSF >Potri.005G183800.2.v4.1 pep chromosome:Pop_tri_v4:5:19017043:19018681:-1 gene:Potri.005G183800.v4.1 transcript:Potri.005G183800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183800.v4.1 MRYHFNDAILEGGVPFNRAYGMTAFQYPGTDHRFNRVFNQAMPNHTPFIMKKILDIYEGVDGLEVLVDVGGGIGDTLNIITSKYPHIEGINYDMPHVLADAPS >Potri.011G049100.1.v4.1 pep chromosome:Pop_tri_v4:11:3904249:3905626:1 gene:Potri.011G049100.v4.1 transcript:Potri.011G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049100.v4.1 MESSLPSSQILDFDGSAERKNEAKNYNEVMSTLPKVKGLRGDDYYLYQGFWYAPFFLEGLMSVQEHFNPQSTDIFVASFPKTGTTWLKALTFAIFTRSRLSGSTTSSLLTKMPHDCVPFLKYNLAQKPSNRDLAIPLLSTHVPYSCLPKSIISSSCKIIYICRDAKDAFVSLWYFLATLQRSKNVEHLPLEEAFELFCNGIANFGPYWNHVLGYWRASLEFPEKILFLTYEEMKKDTAAHVKKLAEFMGCSFTLEEEEGGEVQKIISMCSFEKLSNLEVNKNGKCLRDISIAIPNSIYFRKGEIGDWENHLTPEMGARLDDIMEQKLKGSGLKLPR >Potri.007G036400.1.v4.1 pep chromosome:Pop_tri_v4:7:2854460:2855841:1 gene:Potri.007G036400.v4.1 transcript:Potri.007G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036400.v4.1 MPAELSSSEAARRAQQSTAATLTKPGGAPPQEQEHLPCPRCDSTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGGTRKNAKRSRTSATSPASFMGPMTGTNIDGLPLPATPVLLPLTANQGLSVHFGGGDGKGNGGGLGGSFTSLLNTQGPAGFLALNGFGLGIGPGIEDVNFALGRGLWPFPGMGDVGASVGGNGGAAVTAGLGNTWQFENGQENGFVGGDCFSWPDLAISTPGNGLK >Potri.002G077700.5.v4.1 pep chromosome:Pop_tri_v4:2:5424112:5433801:-1 gene:Potri.002G077700.v4.1 transcript:Potri.002G077700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077700.v4.1 MGEGEREGSPVELDVSFKSNSLVAKAILTPSSTTVGASETILTPRNSKVRKVLVPAKDKDSINSSMNKPSKVAVEVGKGASVASKASSSDKDLKKSREGVIASGITNVRDSSSMPLKNRVEMSMKRTVAVRIGTPGKISSLGGKKKKVVKRVVKKVVSHNSTLSSSQPTKTRDEPVKADSFAHTPAEPRDTDKAATVADVNSQPCPIEATVIPENDRVERFEKFMESGQAGAGAYSGNLFSYNSSGKKSCSRSPLGSSNHNETKFGESFVNGDCAEALHAIPNIDDSLTKSLDEIISSDIGGVEDVSKQPCQNGDSCLLENNAVRGSLKVMDSIEGNTDFGLLSLEKTIIHEDPMYSCIPVMGLDVASINSQQRITVSDKGTSDVGCKEPCRNQGSPLAESGITDFLQGASFPVGSNEIFTVSISEETGSQNAVIRLNQGVGTILGSPNCFTNVEEIDISGHGTGDGMGEELSQYGAAKTLESEPIRGSLDTKVSTSGGEEEANDIKENDKKIEMPQSDLSRTDVPDMHLEPANMVTSTTAHWVDKTLRLCFEDDGTAQCTFSGAQFVDAGSQSCSNVVSVLHEGSLTDVSAAKVSVRSSADVGQRGASQRNEKNRKSSAPQLELCSPVESDADEGPVFAGNSTSGMEVPSNSGDSLTLPKGEVVVSDMDSLCTSDLLLAQKGITALLENGSAGEHLSSVASIKDAFEVDGLKDVQSHLSVEELAVKKVTSHSLFVSVGEDIINTTPVMVGGRNQNDYMDIDAVEGAKVDIDAAEEQVGTESVTDHCQIPSKLQTQYLDENIPSIDVDDGGFHGAKNDSPCMSNNPSSFGDGFGVSFTNSGDELVEIVPETLSDRGSPETLPDVMGTSLSKNSVEKIHENDDKIPAERPVINVGSDSSMSISSSQNAKVVLNLDHAVERDQLLTGKTGHLPSQDSKITTQMPNAKSGDLYGKKNHSSHPISKIYSGRSSFVFSASKSSASSSRISKTRTWHRNDNCSDSAPPSNKAFSSTVPAQRLFPRKGDKSQRTSYIRKGNSLVRKPTSVAQSPGPHALSSSVYQLNSSGTDEPKKSAGSDSRIDLADPLNVLRTGGMDASFEKPRTPSLSSVSKISNRASNSLGGRASSPLAEHLHSLCTETVTVPAKLLESNDVPKSSDDVLKISGSPITQNSQISNLECHSDTNDGNTVALANGKSLTYVKRKSNQLVASSNPCASSVQNAHNTSSDSYYKRRKNQLIRTSLESQIKQTASIPDESLNSEGQTALNSFSRNFSKRRQRKVVTKTCKPSKLSLVWTLHGAQLSKNDGDSSHCGKVLPHLFPWKRATYRRSSLPNSSSISDHSSLSTIGRKLLLLRKRNTEYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKHSKKANEEATLAVAAAERKKREQRGAAHVACPTKSRNISRERIFRVGSVRYKMDSSRRTLQRISDDESSCAGALQKEKDAKKLYIPRRLMIGKDEYVRIGNGNQLIRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFTRFGKCNKDDGKCPFIHDSSKIAVCTKFLNGLCFNPDCKLTHKVIPERMPDCSYFLQGAFTPSIMLLYNLLVIVQDSENFSGRPLYQ >Potri.002G077700.4.v4.1 pep chromosome:Pop_tri_v4:2:5424111:5433712:-1 gene:Potri.002G077700.v4.1 transcript:Potri.002G077700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077700.v4.1 MDPRSSYFHHTKYANPPSPTLPLPPPPPPPYRNNLNFHHHHDLPHFTQLPRVSHQFNDERLPPRRLPESDHRVHEPRPDFRVLRHDRQTRHELEGNPNPNSRLIQDRNIVIDRESEHYHIRGEFGSNSDRSSAGDFRTVSNQVRGFESNSGNYENRRRLNYDYHDKGSANQSWFRDREVVREPRDSSIEFGSNEIGDGETRIATGKREHYRSREGNLEVERHGGKRSREGSYEFNRTPRKQVQKKSALLRIQQPSYRNREDERLPYSGYVDDTKSSSFRGKDQESGFFRGKDKDKVIHTDRGMGEGEREGSPVELDVSFKSNSLVAKAILTPSSTTVGASETILTPRNSKVRKVLVPAKDKDSINSSMNKPSKVAVEVGKGASVASKASSSDKDLKKSREGVIASGITNVRDSSSMPLKNRVEMSMKRTVAVRIGTPGKISSLGGKKKKVVKRVVKKVVSHNSTLSSSQPTKTRDEPVKADSFAHTPAEPRDTDKAATVADVNSQPCPIEATVIPENDRVERFEKFMESGQAGAGAYSGNLFSYNSSGKKSCSRSPLGSSNHNETKFGESFVNGDCAEALHAIPNIDDSLTKSLDEIISSDIGGVEDVSKQPCQNGDSCLLENNAVRGSLKVMDSIEGNTDFGLLSLEKTIIHEDPMYSCIPVMGLDVASINSQQRITVSDKGTSDVGCKEPCRNQGSPLAESGITDFLQGASFPVGSNEIFTVSISEETGSQNAVIRLNQGVGTILGSPNCFTNVEEIDISGHGTGDGMGEELSQYGAAKTLESEPIRGSLDTKVSTSGGEEEANDIKENDKKIEMPQSDLSRTDVPDMHLEPANMVTSTTAHWVDKTLRLCFEDDGTAQCTFSGAQFVDAGSQSCSNVVSVLHEGSLTDVSAAKVSVRSSADVGQRGASQRNEKNRKSSAPQLELCSPVESDADEGPVFAGNSTSGMEVPSNSGDSLTLPKGEVVVSDMDSLCTSDLLLAQKGITALLENGSAGEHLSSVASIKDAFEVDGLKDVQSHLSVEELAVKKVTSHSLFVSVGEDIINTTPVMVGGRNQNDYMDIDAVEGAKVDIDAAEEQVGTESVTDHCQIPSKLQTQYLDENIPSIDVDDGGFHGAKNDSPCMSNNPSSFGDGFGVSFTNSGDELVEIVPETLSDRGSPETLPDVMGTSLSKNSVEKIHENDDKIPAERPVINVGSDSSMSISSSQNAKVVLNLDHAVERDQLLTGKTGHLPSQDSKITTQMPNAKSGDLYGKKNHSSHPISKIYSGRSSFVFSASKSSASSSRISKTRTWHRNDNCSDSAPPSNKAFSSTVPAQRLFPRKGDKSQRTSYIRKGNSLVRKPTSVAQSPGPHALSSSVYQLNSSGTDEPKKSAGSDSRIDLADPLNVLRTGGMDASFEKPRTPSLSSVSKISNRASNSLGGRASSPLAEHLHSLCTETVTVPAKLLESNDVPKSSDDVLKISGSPITQNSQISNLECHSDTNDGNTVALANGKSLTYVKRKSNQLVASSNPCASSVQNAHNTSSDSYYKRRKNQLIRTSLESQIKQTASIPDESLNSEGQTALNSFSRNFSKRRQRKVVTKTCKPSKLSLVWTLHGAQLSKNDGDSSHCGKVLPHLFPWKRATYRRSSLPNSSSISDHSSLSTIGRKLLLLRKRNTEYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKHSKKANEEATLAVAAAERKKREQRGAAHVACPTKSRNISRERIFRVGSVRYKMDSSRRTLQRISGDDESSCAGALQKEKDAKKLYIPRRLMIGKDEYVRIGNGNQLIRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFTRFGKCNKDDGKCPFIHDSSKIAVCTKFLNGLCFNPDCKLTHKVIPERMPDCSYFLQGLCTNKNCPYRHVHVNPNASTCEGFLRGYCADGNECPKKHSYVCPSFEAIGSCPQGSKCKLHHPKNRTKEKKSKRSRENNAQGRYFGLMHINATKTRNAVPGKLYVQDNDTICFKGIADYISLDVSDEEVVENNNPGDLHTAFGDSDPLNLQLGDLDKLIKPVRIMNI >Potri.002G077700.2.v4.1 pep chromosome:Pop_tri_v4:2:5424109:5433801:-1 gene:Potri.002G077700.v4.1 transcript:Potri.002G077700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077700.v4.1 MGEGEREGSPVELDVSFKSNSLVAKAILTPSSTTVGASETILTPRNSKVRKVLVPAKDKDSINSSMNKPSKVAVEVGKGASVASKASSSDKDLKKSREGVIASGITNVRDSSSMPLKNRVEMSMKRTVAVRIGTPGKISSLGGKKKKVVKRVVKKVVSHNSTLSSSQPTKTRDEPVKADSFAHTPAEPRDTDKAATVADVNSQPCPIEATVIPENDRVERFEKFMESGQAGAGAYSGNLFSYNSSGKKSCSRSPLGSSNHNETKFGESFVNGDCAEALHAIPNIDDSLTKSLDEIISSDIGGVEDVSKQPCQNGDSCLLENNAVRGSLKVMDSIEGNTDFGLLSLEKTIIHEDPMYSCIPVMGLDVASINSQQRITVSDKGTSDVGCKEPCRNQGSPLAESGITDFLQGASFPVGSNEIFTVSISEETGSQNAVIRLNQGVGTILGSPNCFTNVEEIDISGHGTGDGMGEELSQYGAAKTLESEPIRGSLDTKVSTSGGEEEANDIKENDKKIEMPQSDLSRTDVPDMHLEPANMVTSTTAHWVDKTLRLCFEDDGTAQCTFSGAQFVDAGSQSCSNVVSVLHEGSLTDVSAAKVSVRSSADVGQRGASQRNEKNRKSSAPQLELCSPVESDADEGPVFAGNSTSGMEVPSNSGDSLTLPKGEVVVSDMDSLCTSDLLLAQKGITALLENGSAGEHLSSVASIKDAFEVDGLKDVQSHLSVEELAVKKVTSHSLFVSVGEDIINTTPVMVGGRNQNDYMDIDAVEGAKVDIDAAEEQVGTESVTDHCQIPSKLQTQYLDENIPSIDVDDGGFHGAKNDSPCMSNNPSSFGDGFGVSFTNSGDELVEIVPETLSDRGSPETLPDVMGTSLSKNSVEKIHENDDKIPAERPVINVGSDSSMSISSSQNAKVVLNLDHAVERDQLLTGKTGHLPSQDSKITTQMPNAKSGDLYGKKNHSSHPISKIYSGRSSFVFSASKSSASSSRISKTRTWHRNDNCSDSAPPSNKAFSSTVPAQRLFPRKGDKSQRTSYIRKGNSLVRKPTSVAQSPGPHALSSSVYQLNSSGTDEPKKSAGSDSRIDLADPLNVLRTGGMDASFEKPRTPSLSSVSKISNRASNSLGGRASSPLAEHLHSLCTETVTVPAKLLESNDVPKSSDDVLKISGSPITQNSQISNLECHSDTNDGNTVALANGKSLTYVKRKSNQLVASSNPCASSVQNAHNTSSDSYYKRRKNQLIRTSLESQIKQTASIPDESLNSEGQTALNSFSRNFSKRRQRKVVTKTCKPSKLSLVWTLHGAQLSKNDGDSSHCGKVLPHLFPWKRATYRRSSLPNSSSISDHSSLSTIGRKLLLLRKRNTEYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKHSKKANEEATLAVAAAERKKREQRGAAHVACPTKSRNISRERIFRVGSVRYKMDSSRRTLQRISDDESSCAGALQKEKDAKKLYIPRRLMIGKDEYVRIGNGNQLIRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFTRFGKCNKDDGKCPFIHDSSKIAVCTKFLNGLCFNPDCKLTHKVIPERMPDCSYFLQGLCTNKNCPYRHVHVNPNASTCEGFLRGYCADGNECPKKHSYVCPSFEAIGSCPQGSKCKLHHPKNRTKEKKSKRSRENNAQGRYFGLMHINATKTRNAVPGKLYVQDNDTICFKGIADYISLDVSDEEVVENNNPGDLHTAFGDSDPLNLQLGDLDKLIKPVRIMNI >Potri.002G077700.3.v4.1 pep chromosome:Pop_tri_v4:2:5424111:5433801:-1 gene:Potri.002G077700.v4.1 transcript:Potri.002G077700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077700.v4.1 MGEGEREGSPVELDVSFKSNSLVAKAILTPSSTTVGASETILTPRNSKVRKVLVPAKDKDSINSSMNKPSKVAVEVGKGASVASKASSSDKDLKKSREGVIASGITNVRDSSSMPLKNRVEMSMKRTVAVRIGTPGKISSLGGKKKKVVKRVVKKVVSHNSTLSSSQPTKTRDEPVKADSFAHTPAEPRDTDKAATVADVNSQPCPIEATVIPENDRVERFEKFMESGQAGAGAYSGNLFSYNSSGKKSCSRSPLGSSNHNETKFGESFVNGDCAEALHAIPNIDDSLTKSLDEIISSDIGGVEDVSKQPCQNGDSCLLENNAVRGSLKVMDSIEGNTDFGLLSLEKTIIHEDPMYSCIPVMGLDVASINSQQRITVSDKGTSDVGCKEPCRNQGSPLAESGITDFLQGASFPVGSNEIFTVSISEETGSQNAVIRLNQGVGTILGSPNCFTNVEEIDISGHGTGDGMGEELSQYGAAKTLESEPIRGSLDTKVSTSGGEEEANDIKENDKKIEMPQSDLSRTDVPDMHLEPANMVTSTTAHWVDKTLRLCFEDDGTAQCTFSGAQFVDAGSQSCSNVVSVLHEGSLTDVSAAKVSVRSSADVGQRGASQRNEKNRKSSAPQLELCSPVESDADEGPVFAGNSTSGMEVPSNSGDSLTLPKGEVVVSDMDSLCTSDLLLAQKGITALLENGSAGEHLSSVASIKDAFEVDGLKDVQSHLSVEELAVKKVTSHSLFVSVGEDIINTTPVMVGGRNQNDYMDIDAVEGAKVDIDAAEEQVGTESVTDHCQIPSKLQTQYLDENIPSIDVDDGGFHGAKNDSPCMSNNPSSFGDGFGVSFTNSGDELVEIVPETLSDRGSPETLPDVMGTSLSKNSVEKIHENDDKIPAERPVINVGSDSSMSISSSQNAKVVLNLDHAVERDQLLTGKTGHLPSQDSKITTQMPNAKSGDLYGKKNHSSHPISKIYSGRSSFVFSASKSSASSSRISKTRTWHRNDNCSDSAPPSNKAFSSTVPAQRLFPRKGDKSQRTSYIRKGNSLVRKPTSVAQSPGPHALSSSVYQLNSSGTDEPKKSAGSDSRIDLADPLNVLRTGGMDASFEKPRTPSLSSVSKISNRASNSLGGRASSPLAEHLHSLCTETVTVPAKLLESNDVPKSSDDVLKISGSPITQNSQISNLECHSDTNDGNTVALANGKSLTYVKRKSNQLVASSNPCASSVQNAHNTSSDSYYKRRKNQLIRTSLESQIKQTASIPDESLNSEGQTALNSFSRNFSKRRQRKVVTKTCKPSKLSLVWTLHGAQLSKNDGDSSHCGKVLPHLFPWKRATYRRSSLPNSSSISDHSSLSTIGKLLLLRKRNTEYTRSKHGFSLRKSKVLSVGGSSLKWSKSIEKHSKKANEEATLAVAAAERKKREQRGAAHVACPTKSRNISRERIFRVGSVRYKMDSSRRTLQRISDDESSCAGALQKEKDAKKLYIPRRLMIGKDEYVRIGNGNQLIRDPKKRTRILASEKVRWSLHTARSRLARKRKYCQFFTRFGKCNKDDGKCPFIHDSSKIAVCTKFLNGLCFNPDCKLTHKVIPERMPDCSYFLQGLCTNKNCPYRHVHVNPNASTCEGFLRGYCADGNECPKKHSYVCPSFEAIGSCPQGSKCKLHHPKNRTKEKKSKRSRENNAQGRYFGLMHINATKTRNAVPGKLYVQDNDTICFKGIADYISLDVSDEEVVENNNPGDLHTAFGDSDPLNLQLGDLDKLIKPVRIMNI >Potri.013G138801.1.v4.1 pep chromosome:Pop_tri_v4:13:14125462:14126419:-1 gene:Potri.013G138801.v4.1 transcript:Potri.013G138801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G138801.v4.1 MHALTDSLWAAVKRIMRYLKGTTTHDLHITCSSSFALHGFTDADGTNSIDDRKSTSDYLVFFDKTSISCKTGKQHTIARYSIEVESKTLVDDTAEVI >Potri.010G099400.3.v4.1 pep chromosome:Pop_tri_v4:10:12261677:12264247:-1 gene:Potri.010G099400.v4.1 transcript:Potri.010G099400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099400.v4.1 MWFRDPFPRFYLDADFQIACDHFLGNSSDIQNRPNGGFNYVKSNNRTIEFYKFWYSSRETYPGYHDQDVLNFIKFDPFIEDLGLKMRFLDTAFFGGLCEPSKDLNLVCTMHANCCYGLDSKLHDLRIMLQDWKTFLSLPPALKRSSSMLWTVPQNCRLGKHMRIVCLNLTI >Potri.010G099400.1.v4.1 pep chromosome:Pop_tri_v4:10:12260269:12264514:-1 gene:Potri.010G099400.v4.1 transcript:Potri.010G099400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099400.v4.1 MNTVPLLRPQSTTRRQLPVTTKQLLRTRFTPSFPASTSMFPESNVPRAVLVFVAISVSVLLLYGAADSLRFQSSSSGYSFNIFPSLRNSNNSDSKLSINDDGDDDYKLEKVLKEAAMEDKTVIIATLNEAWAAPNTIIDLFLESFRIGQGTRRLLNHLVIVALDRKAYKRCMEFHAHCFALVTQGLDFHDEAYFMTPAYLEMMWRRIDFLRSVLQMGYNFVFTDADIMWFRDPFPRFYLDADFQIACDHFLGNSSDIQNRPNGGFNYVKSNNRTIEFYKFWYSSRETYPGYHDQDVLNFIKFDPFIEDLGLKMRFLDTAFFGGLCEPSKDLNLVCTMHANCCYGLDSKLHDLRIMLQDWKTFLSLPPALKRSSSMLWTVPQNCSLNSLRRYDSPEKSVQQSLQQ >Potri.002G168600.1.v4.1 pep chromosome:Pop_tri_v4:2:12937252:12938872:1 gene:Potri.002G168600.v4.1 transcript:Potri.002G168600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168600.v4.1 MAQTDAPAHVAILPSPGMGHLIPLVELAKRLVHQHNFSITFVIPTDGSTSKAQRSVLGSLPSAIHSVFLPQVNLSDLPEDVKIETTISHTVARSLPSLRDVFRSLVDGGARVVALVVDLFGTDAFDVAREFNVSPYIFFPSTAMALSLFFHLPKLDEMVSCEYREMQEPVKIPGCLPIHGGELLDPTQDRKNDAYKWLLYHTNRYRMAEGVMVNSFMDLEKGALKALQEVEPGKPTVYPVGPLVNMDSSAGVEGSECLRWLDDQPHGSVLFVSFGSGGTLSLDQITELALGLEMSEQRFLWVVRSPNDKVSNATFFSVDSHKDPFDFLPKGFSDRTKGRGLAVPSWAPQPQVLGHGSTGGFLTHCGWNSTLESVVNGVPLIVWPLYAEQKMNAWMLTKDIKVALRPKASENGLIGREEIANAVRGLMEGEEGKRVRNRMKDLKEAAARVLSEDGSLSELAHKWKNQKCT >Potri.002G168600.2.v4.1 pep chromosome:Pop_tri_v4:2:12937287:12938881:1 gene:Potri.002G168600.v4.1 transcript:Potri.002G168600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168600.v4.1 MGHLIPLVELAKRLVHQHNFSITFVIPTDGSTSKAQRSVLGSLPSAIHSVFLPQVNLSDLPEDVKIETTISHTVARSLPSLRDVFRSLVDGGARVVALVVDLFGTDAFDVAREFNVSPYIFFPSTAMALSLFFHLPKLDEMVSCEYREMQEPVKIPGCLPIHGGELLDPTQDRKNDAYKWLLYHTNRYRMAEGVMVNSFMDLEKGALKALQEVEPGKPTVYPVGPLVNMDSSAGVEGSECLRWLDDQPHGSVLFVSFGSGGTLSLDQITELALGLEMSEQRFLWVVRSPNDKVSNATFFSVDSHKDPFDFLPKGFSDRTKGRGLAVPSWAPQPQVLGHGSTGGFLTHCGWNSTLESVVNGVPLIVWPLYAEQKMNAWMLTKDIKVALRPKASENGLIGREEIANAVRGLMEGEEGKRVRNRMKDLKEAAARVLSEDGSLSELAHKWKNQKCT >Potri.002G105800.1.v4.1 pep chromosome:Pop_tri_v4:2:7814367:7818232:1 gene:Potri.002G105800.v4.1 transcript:Potri.002G105800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105800.v4.1 MKYHHQNLSQRMAFAGLFVLLLPILSPHLFSPLSRSYPSLFSEWNAPKPRHLPLLKAALDRRIPVRQKNELWSPLPYQGWKPCIEPTTIHTLPTKSQGYIQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHLEVNAVWQDTSSFADIFDVEHFIDTLSHDVAIVKEPPSEYWWSTREYYATGIRAMRIKTAPVHGSADWYLENVLPVLQSYGIAAIAPFSHRLAFDKLPPNIQRLRCKVNFHALTFVPHIRVLGDALVNRLRHPFEKFHTSGTGFLKERMNDTESEGSGKFVVLHLRFDKDMAAHSSCDFGGGKAERLALAKYRQLLWQGRVLNSQFTDEALRKQGRCPLTPEEIGLLLAALGFSNSTRLYLASHKVYGGEARISALKKLFPLVDHKKSLASAEELAKVDGKASLLAAVDYYVSLQSDIFISASPGNMHNALVGHRAYLNLKTIRPNMVMLGPLFLNKSMEWPEFQYAVLSGHKSRQGQIRLRKERQSIYTYPIPDCSCQS >Potri.002G032900.1.v4.1 pep chromosome:Pop_tri_v4:2:2178601:2181908:-1 gene:Potri.002G032900.v4.1 transcript:Potri.002G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032900.v4.1 MEVKIEQKDASDWSYRGEGAANIVLAYTGSSPSFIGKVMRITKKARNGSSPKCDSNQSVLTEEERLLWRDVEELVTSPTKEIAEQIYTQLVMSPLLGSKHVDAGMRVPVTRDFLECVEKNVIKQRPAWRIDVSVVDLERDFVIIMSDHSLFPGGVLKDEPCISVEIKPKCGFIPSSEFIAERNSVKRSATRFQMHQVLKLREQEISELSEYDPLDLFSGSKERIHKAIKDLYTTPQNNFRVFLNGSLIFGGLGGGIKRTNAVAGKAFEDALEGIILAENGLRTTSFIQLVAEAVYCSRVLDGLLEVQRLDNFDIEGAIHAYYNIVCQPCAICQQLDEARPPHRCSSLHSIHMDESLKIAKDYLIAATAKDCSLMISFRPMKDGAFGSPHVYLQSTNQSFNYKVNFIDLDLKPLKKMVDYYELDKKILNCFTQMLEMEHKDGNARTMDAIETIN >Potri.011G071300.1.v4.1 pep chromosome:Pop_tri_v4:11:6346316:6355270:1 gene:Potri.011G071300.v4.1 transcript:Potri.011G071300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071300.v4.1 MTKAVRTRILKDANGDIGDHLRNHIHLTNCIHLKNHMLKQSPILADRSLIRDLIALQRSRSLRDPSASPPSWHSPSVVDLLPKKGDTDATIREGRSSVGTERRREGRRLFGSSSPSANLAPSKVVPSDVSGGNNDGVAAISDRSINSGFRDGRRVKREESSKKSNRVNQLGGDGDPLQDQAVDGLIHDTVSGNSESKDRKSKHKGKHSQDVHIKTLSEQLHEIPMDTDVASSNMHLHGRHTRQEKIVEPETSIRGYGGVHRVRRRKFRSTRRTRASAPASRDVGGQKEMSVASNSFAQGSARPRYHMEEEEYGDQNVTRAPRNGCGIPWNWSGIHHRGKTILDIAGRSLSCGLSDTRKGSTASHGRDFPGMPVASDRSSSSTKSDVEALPLLVEASGSQESTDNAGWVHDYSGELGIYADHLLKNDIDSDLASEARSGEQRKLGRNQNGRHQNLTQRYMPRTFRDLVGQNLAAQALSNAAVRRKVGFLYVFYGPHGTGKTSCARIFSRALNCQSLEHPKPCGYCNSCISHDMGKSRNIREVGPVSNFDFKSIIDLLDNMIISQTPSQYRVFIFDDCDTLAPDCWSAISKVIDRAPRRVVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADIIYTLQWISSKEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGQKISVPLVQELVGLISDEKLVDLLDLAISADTVNTVKNLRVIMETGVEPLALMSQLATVITDILAGSYDFTKERPRRKFFRRNPLSKDDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYLLPSSSTETSFNHSPLALNNMGGRDIARKGGERVEMPNNKRGLSTHVRLENLPGGTSANFQNSGSTNGINMDRKRNAASGMASQWTSVQTSDAVRVNGRQVSGKSRKGHEEIWLEVLEKIQINSMREFLYQEGKLISVSFGAAPTVQLIFSSHLTKLKAEKFRAHILQAFESVLGSPVTIEIRCELNKETNAGFHLPAASKIGSSQMAMDSEPNAGSRMPRTGDSLEGRSEIVEIPASPRKYEGNEPANHNVESSRRGLQRTWAGESVSNKKPAMGSMVERRILGEPSQSKSIVRSKVSLAHVIQQAEGCTQQAEWSKHKAVSIAEKLEQENLRLEPRSRSLLCWKATRVTRRKLSRMKIRTRKPRSLLKLVSCGKCLSSKPPR >Potri.003G181100.1.v4.1 pep chromosome:Pop_tri_v4:3:18673981:18674805:1 gene:Potri.003G181100.v4.1 transcript:Potri.003G181100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181100.v4.1 MSLLPKGDSIHIREVWNDNLEEEFALIREIVDDFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDVLKLIQLGLTFSDDQGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDLNKNNENGIDAVRFGELLMSSGIVLNDSVYWVTFHSGYDFGYLLKLLTCQNLPDTQAGFFNLINMYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERIGICHQAGSDSLLTACTFRKLKENFFSCSLEKYAGVLYGLGVENGQITH >Potri.003G181100.2.v4.1 pep chromosome:Pop_tri_v4:3:18673457:18675250:1 gene:Potri.003G181100.v4.1 transcript:Potri.003G181100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181100.v4.1 MSLLPKGDSIHIREVWNDNLEEEFALIREIVDDFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDVLKLIQLGLTFSDDQGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDLNKNNENGIDAVRFGELLMSSGIVLNDSVYWVTFHSGYDFGYLLKLLTCQNLPDTQAGFFNLINMYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERIGICHQAGSDSLLTACTFRKLKENFFSCSLEKYAGVLYGLGVENGQITH >Potri.017G133000.1.v4.1 pep chromosome:Pop_tri_v4:17:13501212:13504399:-1 gene:Potri.017G133000.v4.1 transcript:Potri.017G133000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133000.v4.1 MGGSSNLDFLRFLVLISFCLPLTYAAAETSTSNICPMDLNYVLRIPWNQTLCRNFNPLAQNDNTNSTSKPPCCQTILSLFGVALSQHLKETSFFQLPNLATSSSCLQDYQSKLISFSLSDNLVSHCFNPLQFVTAPNICARIETSQDWVDQLGKSTSLDSDCRSDLTDLTACDSCLRAGNKVQSLLLSADGNQTHAQDCFYFAVLYAAGVVNEFGPESDGVVSCIFGLELNSRVGSASNSHSALVFGLTGAGVAILVMSSLLGLYLWYDKKWRRKKNLGFGFDLDEQQGSRPKLRPNTGSIWFKIRDLEKATDNFSQKNFIGRGGFGFVYKGVLSDGTVVAIKKVIESDFQGDAEFCNEVEIISNLKHRNLVPLRGCCVIDDDVNSDERGNQRYLVYDYMSNGNLDDHLFPSSDNQIQKQLLSWPQRKSIILDVAKGLAYLHHGVKPGIYHRDIKGTNILLDAEMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMSGRKALDLSSSGSPRALLITDWAWSLVKAGKVEQALDASLLRGGDSSNSNPKGIMERFVLVGILCAHIMVALRPTILDALKMLEGDIEVPQIPDRPVPLGHPSFHADGNSFSISPVLSGPKLQPGDMLR >Potri.006G177500.1.v4.1 pep chromosome:Pop_tri_v4:6:18309900:18317700:-1 gene:Potri.006G177500.v4.1 transcript:Potri.006G177500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177500.v4.1 MVAALSAWPWENLGIYKYLLYGPLLAKVLSTRILEGSFKDDWCLHILIICVARSSLHQLWSSYVTMLFLTRNRRINQHGYDFKQIDKEWDWDNFILLQALIASMACYIDPPFIENVPLWNAKGFITILSLHVGISEPLYYWVHRCFHESYLFNQYHSIHHSSPVLHPFTGATATFLEHLALTTVIGLPIIGSCMLGNGSRIMIYGYLLMFDFLRCLGHCNVEVVPHQLFDTLPSLRYLLYTPTYHSLHHTDMGTNFCLFMPFFDAIWKTLNSNSWELHKKTSTNAGKYRRKIPDFVFLAHVVDITSSIHAPFFIRSFASMPYTTRLFLLACWPPAFIVMLMMWAWSKTFLISFYNLRGRLHETWSVPRFGFQYFLPFAKEGINKHIEQAILRANRLGVKVISLAALNKNEALNGGGTLFVNKHPNLKVRVVHGNTLTAAVILNEIREDVKEVFLTGATSKLGRAIALYLCQRRVRVLMLTSSKERFQKVQKEAPLEYQSYLVQVTKYQAARGCKTWIVGKWITPGEQSWAPTGTHFHQFVVPPILSFRRDCTYGDLAAMRLPVDVQGLGNCEYTMDRGVVHACHAGGVVHLLEGWAHHEVGAIDVDRIDLVWNAALKHGLKPVSNVVTRQNSM >Potri.014G137200.1.v4.1 pep chromosome:Pop_tri_v4:14:9320551:9343984:-1 gene:Potri.014G137200.v4.1 transcript:Potri.014G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137200.v4.1 MGNFLVGFVLPVLLLTSSLINWSLISLVDLIAFLLIQYAAPKIGFRIQRRLFLLWLIIIFSLVVILSQAVYLVIWAILGDEWSGADAWWAHLTGFMIIHSWKSPLVIYFLVIQLLAVFVALVDIYGSRFGLVPWRDSCWGHFLNLLEHLGSHLRVASCLLLPAIQLGVGISHPSWLSLPFFIASCAGLVDWSLTSNFLGLFRWWRPLQLYASVNIILLYTYQLPIEFLSTLQWIADFIGLFKISGRSEWHEICSGLSLVLFYIMLSFIKCDLEEMDFIMSMRGSNLTEQLLPLRHSFFIRKSRSGVRHTNVLLRGAVFRTFSINFFTYGFPVSLFALSYWSFHFASICAFGLLAYVGYIVYAFPSVFRLHRLNGLLLVFILFWAVSTYIFNVAFPLLSWKLGKDMEIWDMVGLWHYPLPGLFLLAQFCLGILVALGNLVNNSVFLYLSDEGNGSSNDNSTVEAQEDTKVLIVATIAWGLRKCSRAIMLVLIFLIAMKPGIIHAVYLIFFLIYLLSHNISRKIRQPLILLCEVHFAMLYILEINLISHALERKGSLTMEVLLQLGLLKHHSSWDFLKIALLACFCAIHNHGFEMLFSFSAIVQHTPSPPIGFSILKAGLNKSVLLSVYASSTTRYGHDNSSYESRIALFLGAIGQKFLTTYRSCGTYIAFLTILLTVYLVKPNYISFGYIFLLLVWIIGRQLVEKTKRRLWFPLKAYAVMVFVFIYSLSCFPSFETWLSSLIDLLFYLGYSSKASSLKNIWESLAVLIVMQLYSYERRQSKYNRLHDPDPLDSGVFGFIKRYLIWHSQKILFIALFYASLSPISAFGLVYLLGLVACSTLPKASRIPSKSFLLYTGILVTTEYLFQMWGKQVGMFPGQKHSELSLFLGFRAYKPGFWGLESGLRAKVLVIAACTLQYNVFRWLDKMPSICQNKGKWEEPCPLFVSDEDAFMNGSMVNDENKPPPNHSIPSVEGEGFISNSLPSITAGLTQAPDLVSNKTGGSEGSGTSKFSFGYIWGSTKESHKWNKKGILSLKKERLETQKTVLKVYLKFWIENIFNLFGLEINMIALLLASFALLNAISMLYVALLVACILLKRRIIRKLWPVFVFVFASILILEYFVIWKSMVPSNQHIPSETDVHCHDCWESSALYFQYCKNCWIGLVVDDPRMLISYFSVFMIACFKLRADNLSSLTGSSMYRQKMSQSKNTFVWKDLLFETKSMWTFLDYLRLYCYCHLLDLVLCLILITGTLEYDILHLGYLAFALVFFRMRLVILKKKNKVFRFLRIYNFALIVLSLAYQSPFVGVFSSGNFETIEYIYEMIGFYKYDYGFRITARSALVEIIIFMLVSLQSYMFSSNEFDYVARYLEAEQIGAIVREQEKKAAWKTAQLLYIRESEEKKRQRNLQVEKMKSEMLNLQIQLHGMNSTTNCGSSSPDSDGLRRRRSTSRITDRDSGSPGKGEGTLRKEEQIITDDSIFRFEVHEFPSWNAESLEIKVSPKYSAEPPLCEITEIMQESTDSLLSDSGKKAKVQSKENPLISAVQLIGDGVSQVHSIGNQAVNNLVSFLNISPEDLDTNQPSAENMVYDEMESQKTKRMSFDRSSSLQSDMSSDATSLQIGRIFRHIWSQMQSNNDVVCYACFILVFLWNFSLLSMVFLAALFLYALCVNTGPSYIFWVIMLIYTEVYIMVQYIYQIIIQHCKMSIDPVLLRELGVPAHKITSSFVISSWPLFLVYLFTLLQSSITVKDGEWIPSTDIKFRRSSLHRKEVLVSYSWSDRAQDLLHLMTNMVKSKIRSFFRYWKSLILGAESPPYFVQVSMDVPLWPEDGIQPERIESGINQLLKMVHDERCKEKNPNLCPFASRVHVQSIERSQENPNVALVVFEVEYASPLTSCASAEWYKSLTPAADVAKEILEAQHAGFVNEIGFPYTIVSVIGGSKREVDLYAYIFGADLSVFFLVAIFYQSVIKNKSEFLDVYQLEDQFPKEFVFILMIIFFLIVLDRIIYLCSFATGKLIFYIFNLILFTYSVTKYAWHLEHSQNAAGLALRAIFLAKVVSLALQAIQIRHGIPHKSTLYRQFLTSKVSQINYLCYRLYRALPFLYELRCVLDWSCTTTSLTMYDWLKLEDIYASLYLVKCDAVLNRAQHKQGEKQTKWTKCCSGICLFFILLFVIWAPMLIYSSGNPTNIANPIKDASVQVDIKTVGGRLTLYQTTLCEKLPWDIIDSDFDLDPHGYFDTYNKNDIQLICCQADASVLWLVPNVVQMRFIQSLDRDMDMDIIFTWVLTRDRPKGKEVVKYEKIVSPPDLPKQSDIQKVLNGSTNSFRIYNLYAKHLRVTGSGEVRSFEQEVDAVSADLVLNRADFNWWSFRDINSSDIHGCGGLTGPMAVVMSEETPPQGILGDTISKFSIWGLYITFVLAVGRFIRLQCSDLRMRIPYENLPSCDRLIAICEDIYAARAEGELGVEEVLYWTLVKIYRSPHMLLEYTKPD >Potri.004G099100.1.v4.1 pep chromosome:Pop_tri_v4:4:8560607:8564442:1 gene:Potri.004G099100.v4.1 transcript:Potri.004G099100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099100.v4.1 METGLEKNHHGGGGLKRLRCSVQNYDWGKKGTEGSEVARLYELNSGSDIELEKKKPFAEFWMGTHGSGPSFVVESGVENGDSIGSGSMGLKEWILKNPNVLGDGVLDKWGCDLPFLFKVLSVAKALSIQAHPDKELAKVLHKLQPNRYKDDNHKPEMALAITEFEALCGFISIGELKGVLRDVPEIVEVVGSAEANQVLQIHEQDHEEKVKSVLRSAFTQLMSASQEITAEAISKLKSRLYMESKIRQLTGKEQLVLQLEKQYPADIGVISAFFLNYVKLNSGEALYLGANEPHAYLYGECIECMATSDNVVRAGLTPKLRDIQTLCSMLTYKQGFPEILKGFPLSPYITRYLPPFDEFEVDRCILPRGASTVFPAIPGPSIFLVMVGDGAMCTGSSKDVVMEGDVLFAPANSEISVSTASELHLYRAGVNSRFFQTLRW >Potri.004G099100.2.v4.1 pep chromosome:Pop_tri_v4:4:8561096:8564220:1 gene:Potri.004G099100.v4.1 transcript:Potri.004G099100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099100.v4.1 MALAITEFEALCGFISIGELKGVLRDVPEIVEVVGSAEANQVLQIHEQDHEEKVKSVLRSAFTQLMSASQEITAEAISKLKSRLYMESKIRQLTGKEQLVLQLEKQYPADIGVISAFFLNYVKLNSGEALYLGANEPHAYLYGECIECMATSDNVVRAGLTPKLRDIQTLCSMLTYKQGFPEILKGFPLSPYITRYLPPFDEFEVDRCILPRGASTVFPAIPGPSIFLVMVGDGAMCTGSSKDVVMEGDVLFAPANSEISVSTASELHLYRAGVNSRFFQTLRW >Potri.004G099100.3.v4.1 pep chromosome:Pop_tri_v4:4:8560341:8564418:1 gene:Potri.004G099100.v4.1 transcript:Potri.004G099100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099100.v4.1 MSASQEITAEAISKLKSRLYMESKIRQLTGKEQLVLQLEKQYPADIGVISAFFLNYVKLNSGEALYLGANEPHAYLYGECIECMATSDNVVRAGLTPKLRDIQTLCSMLTYKQGFPEILKGFPLSPYITRYLPPFDEFEVDRCILPRGASTVFPAIPGPSIFLVMVGDGAMCTGSSKDVVMEGDVLFAPANSEISVSTASELHLYRAGVNSRFFQTLRW >Potri.005G010266.2.v4.1 pep chromosome:Pop_tri_v4:5:885234:888343:-1 gene:Potri.005G010266.v4.1 transcript:Potri.005G010266.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010266.v4.1 MARLVAMQQQTQPSLSLLLSSLSDFNGTRLHSQVQCKRRAWQTKRALQVSASSSKNILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQQLPGESDQDYADFSSKVLHLKGDRKDFEFVKTSLAAKGFDVIYDINGREAVEVEPILDALPKLEQFIYCSSAGVYLKSDLLPHSEKDAVDPKSRHKGKLETESLLESKGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGIQITQLGHVKDLAKAFVEVLGNDKASQQVFNISGEKYVTFDGLARACAKAAGFPEPVIVHYNPKEFDFGKKKAFPFRDQHFFASIDKARHVLGWEPEFDLVEGLADSYNLDFGTGTYRKEADFSTDDLILGKSLVLQA >Potri.003G157500.1.v4.1 pep chromosome:Pop_tri_v4:3:16838479:16843722:1 gene:Potri.003G157500.v4.1 transcript:Potri.003G157500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157500.v4.1 MMRYQRVSPDCVPLSNGKKPNGAENGRSIPNGFNSTSTNFDTKGLRFRSPSRNQDHHNNSTTSSPHSENNHNQTQRHDSSPGPSPSRGGNGDVLLQWGQKKRARVSRSEIRALADESSSSGQARQPINRVPRRVDNKFSPPTMPPPPPPPPPPKQSISTSIRGGNLKKENSGFLSHRNLEKRSGAGNGSPSRNSGGSSRVVSRSTAGKRSPPTPENIDRKMPSSRSAAKDEKPNGSLVQADHQINQVDSTRAKSEKEAGVTTSNTVSVPVVASGGEKANNNGVIEWPRIYIALSRKEKEDDFFAMKGTKLPQRPKKRAKNIDKALQYCFPGMWLSDLTKSRYEVREKKCVKKQKRRGLKGMESMDSDSE >Potri.003G157500.2.v4.1 pep chromosome:Pop_tri_v4:3:16839341:16843722:1 gene:Potri.003G157500.v4.1 transcript:Potri.003G157500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157500.v4.1 MRYQRVSPDCVPLSNGKKPNGAENGRSIPNGFNSTSTNFDTKGLRFRSPSRNQDHHNNSTTSSPHSENNHNQTQRHDSSPGPSPSRGGNGDVLLQWGQKKRARVSRSEIRALADESSSSGQARQPINRVPRRVDNKFSPPTMPPPPPPPPPPKQSISTSIRGGNLKKENSGFLSHRNLEKRSGAGNGSPSRNSGGSSRVVSRSTAGKRSPPTPENIDRKMPSSRSAAKDEKPNGSLVQADHQINQVDSTRAKSEKEAGVTTSNTVSVPVVASGGEKANNNGVIEWPRIYIALSRKEKEDDFFAMKGTKLPQRPKKRAKNIDKALQYCFPGMWLSDLTKSRYEVREKKCVKKQKRRGLKGMESMDSDSE >Potri.001G329000.1.v4.1 pep chromosome:Pop_tri_v4:1:33801477:33801689:-1 gene:Potri.001G329000.v4.1 transcript:Potri.001G329000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329000.v4.1 MSDWGPVFVAVVLFILLTPGLLIQIPGRQRLVEFGNFQTSGVSILVHSILYFALICIFLLAVGVHVYVGS >Potri.005G242900.1.v4.1 pep chromosome:Pop_tri_v4:5:23917893:23919952:1 gene:Potri.005G242900.v4.1 transcript:Potri.005G242900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242900.v4.1 MEPVASVVDKIKGVAKSGQDFVDGLLRRRENSSRRNPIEILKRLQREAFSDLMKLRDRQDKVERVLSFYKTFKGSPFQENSTLVRGEVDASGAILMVGDIDQEHGDAVGRAGIKTGISSRLSFETIVRHKDSLLAEFVASQKGIVEIGGVSEGALTLAKVSYTANVSDWFSAIVIPVGAQFRDLDMTANSSNQRHGVTDLSSVGPPLLNQHNDAAIGLTVRKSNVIAMMAQSISGLRRQPLFDGIGHDFGTFGQIICQLPKGIKFSLMGLQQVAKSSSHHSNLGALAIPVGFLKHHESPEISFQDSALPVGASSQEIITSRTGYIALKLESELDESTRIGGWIEMKNSNPKHLQWALNAFDDAEDEFGWGLCLSGVFVDPTNHGRLQAESYVKLNIGKKFCLKPGITYSRDGNAKILALMLRSNWSF >Potri.010G148900.2.v4.1 pep chromosome:Pop_tri_v4:10:15942286:15948384:-1 gene:Potri.010G148900.v4.1 transcript:Potri.010G148900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148900.v4.1 MARKGNQHRNGVDRHSSNHKKNVSDSDNNGRGKGSEMKVYPGEELPNGNQPSNPTDRARKTAHAEGEDNLMKNSGDFQRKENQEIHEVLDVEEPASSMTNSMDCGSPSVETPGVTQDNGKLPGSESGPEHAKSGLGHLLNGLHLKNMMENMDMVVGNLRASALSMLKVASEWLGRQEQPFVSLKTNIYASRDYVKMKVAKAYPVVLKWLLQFGNIVLLLSMVWLDCTLRGMDSFLRLGTTSFFSVIWCSILSVIAMVGISKILIILAIAAFVGVFIGLILGLLIVAISGLVFLWFYGSFWTTVFVIIISGLAFMFSQERLTLLIITIYSTYCVWSYAGWLGLLLALNLSFISSDILIYILKNNINRQRRSGRTSEHSAGMEGRPGFFNEDSFHASSFETGPGFSADRSPGVPSTSGADSELTSEEEVVRLLNCTDHYSALGLSRYENMDVSVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREDLLNYFRSFQSTSQKNGEHGLFASGFARSEVDGDDPFGESRRIACKRCGNFHLWIHTKKSKLQARWCQDCQDFHQAKDGDGWVEQSSQPFLFGLLQKVDVPTAYVCADSKIYDATDWYICQGMRCPANSHKPSFHVNTSVTSKHNTGKGPSSGQRSGRMPAPNVEETMTEEEFFEWLQNAVQAGVFNNHSAGTSTESPSAKAGNGKSSGGGSSGGNKRKKKGKKQW >Potri.010G148900.3.v4.1 pep chromosome:Pop_tri_v4:10:15942731:15948021:-1 gene:Potri.010G148900.v4.1 transcript:Potri.010G148900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148900.v4.1 MARKGNQHRNGVDRHSSNHKKNVSDSDNNGRGKGSEMKVYPGEELPNGNQPSNPTDRARKTAHAEGEDNLMKNSGDFQRKENQEIHEVLDVEEPASSMTNSMDCGSPSVETPGVTQDNGKLPGSESGPEHAKSGLGHLLNGLHLKNMMENMDMVVGNLRASALSMLKVASEWLGRQEQPFVSLKTNIYASRDYVKMKVAKAYPVVLKWLLQFGNIVLLLSMVWLDCTLRGMDSFLRLGTTSFFSVIWCSILSVIAMVGISKILIILAIAAFVGVFIGLILGLLIVAISGLVFLWFYGSFWTTVFVIIISGLAFMFSQERLTLLIITIYSTYCVWSYAGWLGLLLALNLSFISSDILIYILKNNINRQRRSGRTSEHSAGMEGRPGFFNEDSFHASSFETGPGFSADRSPGVPSTSGADSELTSEEEVVRLLNCTDHYSALGLSRYENMDVSVLKREYRKKAMLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREDLLNYFRSFQSTSQKNGEHGLFASGFARSEVDGDDPFGESRRIACKRCGNFHLWIHTKKSKLQARWCQDCQDFHQAKDGDGWVEQSSQPFLFGLLQKVDVPTAYVCADSKIYDATDWYICQGMRCPANSHKPSFHVNTSVTSKHNTGKGPSSGQRSGRMPAPNVEETMTEEEFFEWLQNAVQAGVFNNHSAGTSTESPSAKAGNGKSSGGGSSGGNKRKKKGKKQW >Potri.011G051000.3.v4.1 pep chromosome:Pop_tri_v4:11:4079433:4080915:-1 gene:Potri.011G051000.v4.1 transcript:Potri.011G051000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051000.v4.1 MHVLPRQVLGISRFDVVVIMDVATLDDQVIARNRKVHWYTTSNHLNMTVPKIYPTTPSTEEEWSERKTDGGIAESYSSVSTYAESENEAQSEASIL >Potri.011G051000.2.v4.1 pep chromosome:Pop_tri_v4:11:4079433:4081029:-1 gene:Potri.011G051000.v4.1 transcript:Potri.011G051000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051000.v4.1 MHVLPRQVLGISRFDVVVIMDVATLDDQVIARNRKVHWYTTSNHLNMTVPKIYPTTPSTEEEWSERKTDGGIAESYSSVSTYAESENEAQSEASIL >Potri.010G096301.1.v4.1 pep chromosome:Pop_tri_v4:10:11993936:11995363:-1 gene:Potri.010G096301.v4.1 transcript:Potri.010G096301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096301.v4.1 MACPISSLHYSNALAPLILLPSSFFLHRSERPPLTFPIVSVFFLLGLSVWLAQIFGEAGINLSCLLHLAQPYSWSYFHTCRCFQVLSLGEGEERRKCICINPGRMAKGGGGSFDELNYQGSPYKDECFNYRH >Potri.003G119500.1.v4.1 pep chromosome:Pop_tri_v4:3:14085272:14089413:1 gene:Potri.003G119500.v4.1 transcript:Potri.003G119500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119500.v4.1 MADPTMSPPNQSQLNVAASTTQRRQHHLNLESLAPSPSSSEHVNRLINSNHYISPSRPIYSDRFIPCRSSSNFALFNISLPSPSATAGSSPGDGGKEDNPNAYAALLRNALFGPQTPDKKDWGTGAAGRNIFRYKTETRQSMHSLSPFGFDGLSGPGVSNVAIKAPRKVSRSPYKVLDAPALHDDFYLNLVDWSSHNVLAVGLGTCVYLWNACSSKVTKLCDLGNDDGVCSVGWAHRGTHLAVGTSNGKVQIWDASRCKRIRIMEGHRLRVGALAWSSSMLSSGSRDKSILQRDIRAQEDFVSKLSGHKSEVCGLKWSYDNRELASGGNDNRLFVWNQHSTQPVLKYCEHTAAVKAIAWSPHLHGLLASGGGTADRCIRFWNTTTNSHLSCMDTGSQVCNLVWSKNVNELVSTHGYSQNQIIVWRYPTMSKLATLTGHTYRVLYLAISPDGQTIVTGAGDETLRFWNVFPSPKSQNTESEIGASSLGRTTIR >Potri.018G062500.6.v4.1 pep chromosome:Pop_tri_v4:18:7299313:7305256:1 gene:Potri.018G062500.v4.1 transcript:Potri.018G062500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062500.v4.1 MAEEFGRSVQDGLKLSKRIYLGKDRAVTPPKPPSHMDKSPVAYLPTAPMVYAVISNPAIVDNPDIPSYQPHVHGRCDPPALIPLQMTRIELEADSYLDTAFVKISGSWRVHCVMGSESCDCRLAVPMGEQGSILGVEIEASRKLYYTELVAIEDRKDLEKEVRIENGGFLKPHTFTITIPKVDGGSTLSIKVRWMQKLLYHNGEFSLIVPFSFPEYVTPHVKKLPRKEKIQLNVTTGTGTEIVCKTSSHPLKGLRREVGKLGFSYESEVLTWTNIDFTFSYAVSFSHIFGGVLLQSPSLHDVDQRDMFCAYLFPGGHHSRKVFRKEIVFVVDISGSMEGAPLEGTKIALSAALTNLDSKDSFNIIAFNGETYLFSSSMELASEDTVERAVEWMSMNLIAGGDTNILVPLKQATEMLSKSGGSIPFIFLVTDGAVEDERHICDIMKSHITGGGSIHPRICTFGIGSYCNHHFLRMLAMISRGQYDAAYDIDSVESRMQKLLSRISSTIIANITIKAFDDLDEVEGDFRETSLTLL >Potri.018G062500.1.v4.1 pep chromosome:Pop_tri_v4:18:7299397:7305860:1 gene:Potri.018G062500.v4.1 transcript:Potri.018G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062500.v4.1 MAEEFGRSVQDGLKLSKRIYLGKDRAVTPPKPPSHMDKSPVAYLPTAPMVYAVISNPAIVDNPDIPSYQPHVHGRCDPPALIPLQMTRIELEADSYLDTAFVKISGSWRVHCVMGSESCDCRLAVPMGEQGSILGVEIEASRKLYYTELVAIEDRKDLEKEVRIENGGFLKPHTFTITIPKVDGGSTLSIKVRWMQKLLYHNGEFSLIVPFSFPEYVTPHVKKLPRKEKIQLNVTTGTGTEIVCKTSSHPLKGLRREVGKLGFSYESEVLTWTNIDFTFSYAVSFSHIFGGVLLQSPSLHDVDQRDMFCAYLFPGGHHSRKVFRKEIVFVVDISGSMEGAPLEGTKIALSAALTNLDSKDSFNIIAFNGETYLFSSSMELASEDTVERAVEWMSMNLIAGGDTNILVPLKQATEMLSKSGGSIPFIFLVTDGAVEDERHICDIMKSHITGGGSIHPRICTFGIGSYCNHHFLRMLAMISRGQYDAAYDIDSVESRMQKLLSRISSTIIANITIKAFDDLDEVEVYPSRIPDLSSDNPLIVSGRFQGNFPDTVVATGFFGDLSNFSLDLKVQKAKDIPLHSVSAKQQIDLLTAQAWFSENKQLEEKVAKLSIQTGVISEYTCMSLLETDRGNQAAESPGGHKLPWQVNPQKVDSQGRRRIFLRNLGVGFGNLTATAENLRPGAEESKLPEAAEIIIKAASNCCSIMCKQCCCMCCVQCCFKINNQFAIVLTQLCTAVACFGCIECCSEICCGGQET >Potri.018G062500.5.v4.1 pep chromosome:Pop_tri_v4:18:7299266:7305288:1 gene:Potri.018G062500.v4.1 transcript:Potri.018G062500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062500.v4.1 MAEEFGRSVQDGLKLSKRIYLGKDRAVTPPKPPSHMDKSPVAYLPTAPMVYAVISNPAIVDNPDIPSYQPHVHGRCDPPALIPLQMTRIELEADSYLDTAFVKISGSWRVHCVMGSESCDCRLAVPMGEQGSILGVEIEASRKLYYTELVAIEDRKDLEKEVRIENGGFLKPHTFTITIPKVDGGSTLSIKVRWMQKLLYHNGEFSLIVPFSFPEYVTPHVKKLPRKEKIQLNVTTGTGTEIVCKTSSHPLKGLRREVGKLGFSYESEVLTWTNIDFTFSYAVSFSHIFGGVLLQSPSLHDVDQRDMFCAYLFPGGHHSRKVFRKEIVFVVDISGSMEGAPLEGTKIALSAALTNLDSKDSFNIIAFNGETYLFSSSMELASEDTVERAVEWMSMNLIAGGDTNILVPLKQATEMLSKSGGSIPFIFLVTDGAVEDERHICDIMKSHITGGGSIHPRICTFGIGSYCNHHFLRMLAMISRGQYDAAYDIDSVESRMQKLLSRISSTIIANITIKAFDDLDEVEVCFELIPLGYA >Potri.015G017500.1.v4.1 pep chromosome:Pop_tri_v4:15:1238613:1250338:1 gene:Potri.015G017500.v4.1 transcript:Potri.015G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017500.v4.1 MSAAASSGALLQPKSVKAPFSSLSKSSSLSPSLNVATAASVSRRSARANRCASTRKSVVVERKSFLGSKVRGSAGSERLHFWQSDGPGREPKLRVVVRSALSGVPEKPLGLYDPSFDKDSCGVGFVAELSGDSSRKTVNDALEMLVRMTHRGACGCETNTGDGAGILVALPHDFYKEVAKDIGFELPPPGEYAVGMFFLPTSDNRKEESKNVFTKVAESLGHTVLGWRPVPTDNSGLGNSALQTEPVIEQVFLTATPRSKADFEQQMYILRRVSMVAIRAALNLQYGGVRDFYICSLSSRTVVYKGQLKPEQLKGYYYADLGNERFTSYMALVHSRFSTNTFPSWDRAQPMRVLGHNGEINTLRGNVNWMKAREGLIKCKELGLSKNEMKKLLPIVDASSSDSGAFDGVLELLIRAGRSLPEAVMMMIPEAWQNDKNMDPQRRALYEYFSALMEPWDGPALISFTDGHYLGATLDRNGLRPGRFYVTRSGRVIMASEVGVVDIPPEDVLRKGRLNPGMMLLVDFEKHIIVDDEALKQQYSLARPYGEWLKRQKIELSDIVDSVQESERVAPAISGVVPASDDDTSMQNMGTHGLLAPLKAFGYTVEALEMLMLPMAKDATEALGSMGNDAPLAVMSNREKLTFEYFKQMFAQVTNPPIDPIREKIVTSMECMIGPEGDLTETTEEQCHRLSLKGPLLSIEQMEAMKKMNFSGWRSKVLDITYSKERGRKGLEETLDRICAEAHEAIKEGYTVLVLSDRAFSSKRVAASSLLAVGAVHQYLVKKLERTQVGLIVESAEPREVHHFCTLVGFGADAICPYLAVEAIWRLQVDGKIPPKSTGEFHTKDELVKKYFKASNYGMMKVLAKMGISTLASYKGAQIFEGLGLSSEVIDKCFAGTPSRVEGATFEMLARDSLHLHELAFPSRVLPPGSAEAVALPNPGDYHWRKGGEIHLNDPLAIAKLQEAARGNSVAAYKEYSKRVQELNKACNLRGLLKFKEADVKVSLDEVEPASEIVKRFCTGAMSYGSISLEAHTTLAQAMNKIGGKSNTGEGGEQPSRMETLPDGSMNPKRSAIKQVASGRFGVSSYYLTNADELQIKMAQGAKPGEGGELPGHKVIGDIAITRNSTAGVGLISPPPHHDIYSIEDLAQLIHDLKNANPAARISVKLVSEAGVGVIASGVVKGHADHVLISGHDGGTGASRWTGIKNAGLPWELGLAETHQTLVANDLRGRTVLQTDGQLKTGRDVAIAALLGAEEFGFSTAPLITLGCIMMRKCHKNTCPVGIATQDPVLREKFAGEPEHVINFFFMLAEELREIMAQLGFRTMTEMVGRSDMLEVDKEVVKSNEKLENIDLSLLLRPAADIRPEAAQYCVQKQDHGLDMALDNKLIKLSEAALEKGLPVYIETPICNVNRAVGTMLSHEVTKRYHLAGLPADTIHIKLTGSAGQSLGAFLCPGIMLELEGDGNDYVGKGLSGGKIVVYPPKGSLFDPKENIVIGNVALYGATCGEAYFNGMAAERFCVRNSGARAVVEGVGDHGCEYMTGGTVVVLGKTGRNFAAGMSGGVAYVLDLDGKFRSRCNPELVDLDKVEEEEDITTLKMMIQQHQRHTNSLLAREVLADFDNLLPKFIKVFPRDYKRVLANMKEESATKEAADLAAKEVEEAEEQDEAELKEKDAFEELKKLAAASLNGNSIQVEDGPLKRPTRVNDAVKHRGFIAYEREGVQYRDPNIRMNDWKEVTEESKPGPLLKTQSARCMDCGTPFCHQENSGCPLGNKIPEFNELVHQNRWREALDRLLETNNFPEFTGRVCPAPCEGSCVLGIIDDPVSIKNIECSIIDKAFEEGWMVPRPPLKRTGRRVAIVGSGPSGLAAADQLNKMGHLVTVYERADRIGGLMMYGVPNMKTDKVDIVQRRVNLMSEEGINFVVNANVGIDPLYSLDRLRDENNAIVLAVGATKPRDLPVPGRELSGVHFAMQFLHANTKSLLDSNLQDGNYISAKGKKVVVIGGGDTGTDCIGTSIRHGCSSIVNLELLPEPPRTRGPGNPWPQWPRVFRVDYGHQEAAAKFGKDPRSYEVLTKRFIGDENGNVKGLELVRVHWEKDATGKFQFKEVEGSEEVIEADLVLLAMGFLGPELNVAEKLGLEQDNRSNFKAEYGRFSTNVEGIFAAGDCRRGQSLVVWAISEGRQAASQVDKYLMKEEDATINTDNTQDDLVKRHQDLTKRHQDSSKHTVMT >Potri.004G021400.1.v4.1 pep chromosome:Pop_tri_v4:4:1524443:1524880:-1 gene:Potri.004G021400.v4.1 transcript:Potri.004G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G021400.v4.1 MKINIHFEGLNHEFTVEDDAAVFDLKVQVQEKLGFLVTNQRLFMDGSTMLNDHNTLLSYGIVDDTTLSLRYKILVHGRPNRRGIIKEYELFVHDYNTVADLKQMLNFEYGIDIRIIKLKMGNDNLEDSRKIWDCDIIPGCHLRMV >Potri.006G094800.1.v4.1 pep chromosome:Pop_tri_v4:6:7194657:7197041:1 gene:Potri.006G094800.v4.1 transcript:Potri.006G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094800.v4.1 MKQGLLLWSPCPHSLLSPPSFPFLSLSIPRTKHTLSPIAATLDSTTTREEQQLLTARERRQLRNEKRESKAGYNWREEVEERFIKKPKKKPTTSMAEELNLDKLALLGPQWWIVRVSRIRGDETSDVLARLLARNFPQMDFKVYAPSVKERRKLKNGTYSVKPKPIFPGCVFLWCVLNKEIHDFVRECDGVGGFVGAKVGNTKRQINKPRPVSDDDMEAVFQQAKEEQEKADIGFEEEQQAQGALNSVKLGSNNITQSFIDSNSERGLRKISGPLVSSSSRKKGDLPKTGSTVRVVSGTFADFVGSLKKLNRKTGKATVVVTLFGKESLVELDLSEIVAEMK >Potri.002G114800.1.v4.1 pep chromosome:Pop_tri_v4:2:8748480:8752926:-1 gene:Potri.002G114800.v4.1 transcript:Potri.002G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114800.v4.1 MKSTGDNNNGSNNNWLGFSLSPHMKMEVASDPQHHHQYHHQNHAPTAVSVSAAVPTSFYLSTTHYNSPGISYGVGENDGFHSPLSVMPLKSDGSLCIMEALTRSQPEGMVSSPSPKLEDFLGGATIESHQYSSHEREAMALSLDSLYYHQNSEPSTNRQHSLDLHEPFRQQDQQFSVQPHPYYSGIAFQGLYQAPLEGETKGTQLADCNSHIPQMGDDELPCLKNWVARHYSSQSALEQQINSSMVNDGGSSCSVSAMGCGDLQSLSLSMSPGSQSSCITAPRQISPTGAECAAIETKKRGPAKVGQKQPVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCKKEGQTRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHINFPLENYQEELEEMKNMSRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGVNAVTNFNITRYDVDRIMASNTLLAGELARRNRDTESSIEAIDYNSSTHDNGVLYQSSQEPPNACGESLDQKSMSSGNYRSSSFSVALQDLIGIDHSVNSSQLVVDESAKLGTLLSNPSSLVTSLSSSREPSPDKTATTMLFAKPPLASKFVSPTTSVTPWFQAAQLRPAAIPMSHLPVFAAWNDT >Potri.008G059200.1.v4.1 pep chromosome:Pop_tri_v4:8:3549038:3551176:1 gene:Potri.008G059200.v4.1 transcript:Potri.008G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059200.v4.1 MAGICCGVVAENEAAAAVEARSRASRRRRLELRLVSDVSVPPSTILDVAPAKRKKLELFPIPISRDCGNAVENCKRIEENKNNSISDSSKPESVKLKEALKFGMTSVCGRRRDMEDAVSIHTSFTTKNTSYFGVFDGHGCSHVAMKCRDRLHEIVKQEVEGFKEEESVEWKETMERSFVEMDKEVGNWCVEGENCSTCRCGLQTPQGDAVGSTAVVAVVTPEKIIVSNCGDSRAVLCRNGVAIPLSSDHKPDRPDELLRIQEAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVIPEPEVTVTERMEEDECLILASDGLWDVVSNDTACGVVRMCLRAQKPPSPPGSNGALGSSDKACSDASVLLTKLALARHSTDNISVVVVDLRRNQH >Potri.012G036300.1.v4.1 pep chromosome:Pop_tri_v4:12:3270598:3276900:1 gene:Potri.012G036300.v4.1 transcript:Potri.012G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036300.v4.1 MAVTKTEPINGSTTKSSAPPSSMSLKSDLLSTLSLKSLKLKTKQQELLIRVSILCLVYVLAFITRLFSVLRYESMIHEFDPYFNYRTTLFLTQKGFYEFWNWFDSESWYPLGRIIGGTLYPGLMVTAAIMYWGLRFLRFAVHIREVCVLTAPFFASNTTLVAYFFGKEIWDTGAGLVAAVLIAICPGYISRSVAGSYDNEGVAIFALLLTFYFFVKAVNTGSLAWGLASAFGYFYMVSAWGGYVFIINLIPLYVLVLLITGRYSMRLYVAYNCMYVLGMLLAMQIRFVGFQHVQSGEHMAAMGVFFLMQVFYFLDWVKYLLNDKKLFEAFLRITVTCAVGVGAIALGVGTASGYISPWTGRFYSLLDPTYAKDHIPIIASVSEHQPTAWSSFMFDFHILLFLFPAGLYFCFKRLSDATIFIVMYGLTSMYFAGVMVRLILVATPAVCLISAIAVSATIKNLTMLLRTKSKVSQTGSTKGTGGGKASSKALLDQSQPFQNNGAIALLFGAFYLLSRYAIHCTWVTSEAYSSPSIVLAARGAHGNRVIFDDYREAYFWLRQNTPPDAKVMSWWDYGYQITAMGNRTVIVDNNTWNNTHIATVGRAMSSYEDEAYEIMKSLDVDYVLVVFGGVTGYSSDDINKFLWMVRIGGGVFPVIKEPDYLVNGEYRVDKGAAPKMLNCLMYKLSYYRFGELVTEYGKPPGYDRARGVEIGNKDIKLEHLEEAFTTSNWIVRIYKVKPPNNRW >Potri.012G129100.1.v4.1 pep chromosome:Pop_tri_v4:12:14456886:14459871:-1 gene:Potri.012G129100.v4.1 transcript:Potri.012G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129100.v4.1 MASTSSTPSDPSISIHDDTAIRAVNKRYEGLVTVKTKAIKGKGAWYWAHLDPILMKNPDTNLPKAVKLKCCLCEAVFSASNPSRTATEHLKKGTCPNFVSVSRPNSAISPLPISSLPSPPSNNHRKRSSQIGTALKSLALVESNKYCDQVGYFNSGFTPKGQDLVLSGGKEDLGALAMLEDSVKRLKSPKASPGPLLNKDQIDSALELLSDWFYEVCGSVSFSSLEHPTFRAFLNQVGLPCLSRRELSGARLENRFYEAKSEVEARIRDAMFFQVACNGWKSNKCCSGEDNLVKFGVNLPNGTSVFHKAVITGGGTASSKYAEEIMWGAVTGICGSGLQRCAGIVSDKYKAEALRNLEIQYPWMVNISCQIQGFVSLIKDFSKELQLFRTVIENCLKLANFVNNASQVRSSFQKYRMQELDYTGLLRVPWCKCDCVKDFAPVYAMLEDVLSCARVLQMVILDESYKLICVEDPVAREVSGMIQSEGFWNDLEAVYSLMKLIRGMAQEIETERPLIGECLPLWQELKAKVKDWGARFNIAEGQVEKIVEKRFRKNYHPAWSAAFILDPRYLMRDTSGKYLPPFKCLTHEQEKDVDKLITRLASREEAHVALMELMKWRSEGMDPLYAQAVQVKQRDPLTGKMKIANPQGSRLVWETCLSEYKTLGKVAVRLIFLHATSSGFKCNWSCMKWFCIHRNSRVGLERAQKMIFVAAHAKLEKRDFSNEEEKDGELFRMVGCEDDMLNEVFVEAPSV >Potri.010G250100.1.v4.1 pep chromosome:Pop_tri_v4:10:22332076:22334655:1 gene:Potri.010G250100.v4.1 transcript:Potri.010G250100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250100.v4.1 MKATALHNLLSSPPPKINHLLLPHQHQLPHASFTISKGPLFSFFSSSGAIRAVERRRLSSIWDEKPYELLEEDVVTFLDPPKELIPLDPDTYNPAAYLWSKIEDIPEERRLRLLSLIKQPRLMSRAWEIAGMRYEDAKLAKKCGSDLLCCEDGELSFEFYSCRSNGGGLDVSWMKSFKMVIFRCDNGEVYGRFIGGSVLAQITKTFSPLYFKVVEQKEVMSTEQPCDLAYEFGDGHLDLCNYPRHFPIPEKHPYPFDDQVVIYIRHVGPGVLVGQAWQEGKELQQVPQKLCDEILMVKDYAPPREYP >Potri.010G133500.1.v4.1 pep chromosome:Pop_tri_v4:10:14951895:14953450:-1 gene:Potri.010G133500.v4.1 transcript:Potri.010G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133500.v4.1 MYVTKPLSMYRTYPSALSLSPPEGPNSGILVIQDDETEPTCCFGLVKSTDYIGAQPFPQNKDLEVLFPDGDFTECTHVLFIPVLNQPLSSKQYYVIQRKWKHKGKAYINSKINEGVKTSRSSNRTSCLEPRPLDPRSIYQRFQIRQIKWGSFVAKSVASDGLPPKFLMRDGWELAASTPKEFQLSEAPGLNMALRARLPDFSFPLSQSCSEAVKVGKWYCPFMFIKEGKTLEDQMRNSTYYEMTLEQQWEQIFACESTYNEDNTVSVDVAVKCQVVKIAGTEAVYDQKIVAGGVMWFRSVSDVERETNVGLGLEIVERMRWEQERVGWAGDRQVSVKRTEEFDGKGEWRKFGCYVLVERFALKRMDGNVVLTFDFRHPLQIRSKWE >Potri.001G351466.2.v4.1 pep chromosome:Pop_tri_v4:1:36440643:36442654:-1 gene:Potri.001G351466.v4.1 transcript:Potri.001G351466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351466.v4.1 MIFGRDVRSKKKRWLMEEHSHLKMSSWVPNDYPPPLSPSSLSSPSTTNTVFHKDSNPSSSGSRISPAVLFVIVILAVLFFISGLLHLLIRFLIKHPTSSAASQSNRYPEISGSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYKEIVGPKEPFDCPVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFNTAGFSMENPMFDFDDLREDDECTGDGDIVFIPSQKTGEIEGTVVEKGVLPVRLGKFRKLNGGVGEAGGETSSSNLDARRCFSMGSYQYVLGDTDLRVGLSNERQSRDIKPARGTEQKVNLSVDGDLEENKISSLSQGESFSVSKIWLWRNKKGKFSSSSDAPMGIPS >Potri.001G351466.1.v4.1 pep chromosome:Pop_tri_v4:1:36440697:36442565:-1 gene:Potri.001G351466.v4.1 transcript:Potri.001G351466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351466.v4.1 MIFGRDVRSKKKRWLMEEHSHLKMSSWVPNDYPPPLSPSSLSSPSTTNTVFHKDSNPSSSGSRISPAVLFVIVILAVLFFISGLLHLLIRFLIKHPTSSAASQSNRYPEISGSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYKEIVGPKEPFDCPVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFNTAGFSMENPMFDFDDLREDDECTGDGDIVFIPSQKTGEIEGTVVEKGVLPVRLGKFRKLNGGVGEAGGETSSSNLDARRCFSMGSYQYVLGDTDLRVGLSNERQSRDIKPARGTEQKVNLSVDGDLEENKISSLSQGESFSVSKIWLWRNKKGKFSSSSDAPMGIPS >Potri.005G130750.1.v4.1 pep chromosome:Pop_tri_v4:5:10049706:10051790:-1 gene:Potri.005G130750.v4.1 transcript:Potri.005G130750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130750.v4.1 MCYRVECKQCKKYSWRGCGEHLATLYASIDKGKHCLCKSWPGVVVPTEETATEQTPSGVVSTSATTTAVTQNS >Potri.015G034100.2.v4.1 pep chromosome:Pop_tri_v4:15:2766509:2771033:-1 gene:Potri.015G034100.v4.1 transcript:Potri.015G034100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034100.v4.1 MEYGSVGGGTSGGGGGDHDSSDPQRRKKRYHRHTALQIQKLESMFKECPHPDEKQRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNSSLRAENDKIRCENIAIREALKNVICPSCGGPPVTEDSYFDEHKLRMENVQLKEELDRVSSIAAKYIGRPISQLPPVQPFHISSLDLSMGNFGAQGIGGPSLDLDLIPTSSNLAFQPPVISDMDKSLMTDVAANAMEELLRLLQTNEPLWMKSSADGRDVLNLDSYQRIFPRATSHLKNPNVRIEASRDSGVVIMNGVALVDMFMDSNKWVESFPTMVSVAKTIEVISSGMLGSHSGSLQLMYEELQVLSPLVPTREFCILRYCQQIEQGLWAIVSVSYDIPQFASQFRCHRLPSGCLIQDMPNGYSKVTWVEHVEIEDKTTTHQLYRDLIHSGMAFGAERWLATLQRMCERVACQMVSGNSTRDLGGVIPSPEGKRSMMKLAQRMVSSFCSSISTSNSHRWSTLSGLHDVGVRVTLHKSTDPGQPNGVVLSAATTFSLPVSPQNVFSFFKDERTRPQWDVLSSGNAVQEVAHITNGSHPGNCISVLRAYNTSQNNMLILQESCVDSSGSLVVYCPVDLPAINIAMSGEDPSYIPLLPSGFAISPDGRPDQGDGASTSSNTQGSTARLSGSLITVAFQILVSSLPSAKLNLESVNTVNNLIGTTVQQIKAAMNCPSS >Potri.005G171400.4.v4.1 pep chromosome:Pop_tri_v4:5:17570830:17574735:1 gene:Potri.005G171400.v4.1 transcript:Potri.005G171400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171400.v4.1 MKGRVTTKASVKWILFLCVFCFAIGTLFSNRLWDSSAEPNGQQLLSQRRHEQVLQVINDDSTTNKNLSHNNDAMDEVLKTHEAIQSLDKSVAMLQMQLAASRSSQEMSLDSSAAVSTLSRDGSAKQKVFMVIGINTAFSSRKRRDSIRETWMPQGKKLMQLERDKGIIVRFMIGQSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKNFFSTAVAKWDAEFYVKVDDDVHVNLGMLASTLARHRSKPRVYIGCMKSGPVLSQRNAKYHEPEYWKFGVEGNNYFRHATGQIYAISKELATYISINQ >Potri.005G171400.2.v4.1 pep chromosome:Pop_tri_v4:5:17570721:17574777:1 gene:Potri.005G171400.v4.1 transcript:Potri.005G171400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171400.v4.1 MKGRVTTKASVKWILFLCVFCFAIGTLFSNRLWDSSAEPNGQQLLSQRRHEQVLQVINDDSTTNKNLSHNNDAMDEVLKTHEAIQSLDKSVAMLQMQLAASRSSQEMSLDSSAAVSTLSRDGSAKQKVFMVIGINTAFSSRKRRDSIRETWMPQGKKLMQLERDKGIIVRFMIGQSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKNFFSTAVAKWDAEFYVKVDDDVHVNLGMLASTLARHRSKPRVYIGCMKSGPVLSQRNAKYHEPEYWKFGVEGNNYFRHATGQIYAISKELATYISINQPILHKYANEDVSLGAWFIGLEVELIDDRNMCCGTPPDCEWKALAGNVCIASFDWSCSGICKSVEKIKFVHEKCGEGDESVWSDLF >Potri.015G097700.1.v4.1 pep chromosome:Pop_tri_v4:15:11841702:11843038:-1 gene:Potri.015G097700.v4.1 transcript:Potri.015G097700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097700.v4.1 MKCWKKERITNVTSMSLIGHVLPPHLSTSKITNFTSQNVTPKPILFTNLFSLALTVTLNSPLPSLAIPSLNSQPPLLSPTTPFSQSKNLQIGLENGKIRPCPSTNPGCVSTNPQSSSFSFPWRIPENDTENAIQKLEEAILKTQRNAKIQVIEDTPNGKYLQAEVDGGFDPDVLEFLVRGDVVAYRTMATKVTYVYPFTTAFGDSKGQEERMKKILNELGWYAPSFDSMD >Potri.008G045600.1.v4.1 pep chromosome:Pop_tri_v4:8:2633134:2637342:-1 gene:Potri.008G045600.v4.1 transcript:Potri.008G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045600.v4.1 MPPKQSKADLAKKQKVVEDKTFGLKNKSKSKSVQKYVQNLQQAVQPKLDPSKIAAKKKKEEEKAREKELNDLFKVAVSQPKVPVGVDPKSILCEFFKAGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDEDTMEDWDQETLEKVVESKKNEYNQNKPTDIVCKYFLEVVEKKQYGWFWSCPNGGKDCHYRHALPPGYVLKSQMKALLEEEADKIPIEEEIENQRAKLTASTPMTPELFTQWKTKKAEEREAGLAAKRAERAKNDRMSGRELFLSDSSVFVDDAEAYENYQRGEELVVTEEKSKVNSAAVPSTSTGAVADTEEDLPDEDEDDDELDMDELNELEASLSKTSVQTHGPGIKASS >Potri.018G143600.2.v4.1 pep chromosome:Pop_tri_v4:18:14860122:14868087:1 gene:Potri.018G143600.v4.1 transcript:Potri.018G143600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143600.v4.1 MVSEGNDNIQVVLTKPLSVDGDVAADYKAPNLVQRMLSLIRNIRPGSDLTNFQLPPLFNIPKSQLQCFGESAYCFSKNLLQQCNNAENSLDRFTSVVAWSISTTRSPSFGVAPYNPILGETHHVSRGSLNVLLEQVSHHPPVSALHATDEKENIELIWCQQPVPKFYGTRVEAEVLGKRQLKLLNHGETYMMNSPKLMIRFLPPRVDWIGKVKISCQETGLEAELCYITSSLLGRRGEHRVKGKIYQSSSMKTLYEVEGYWSSIVKAKDINSGKETIIYDAKEVFSELKTPIVKDLQGIWPTESTAVWSEVSKGILSKNWTKAREAKSTVEDNQRKLAKERVSKGETWVPNHFTVSDSKEDGWDCSPIQERVPPAPIVVPL >Potri.004G081700.1.v4.1 pep chromosome:Pop_tri_v4:4:6732174:6734516:-1 gene:Potri.004G081700.v4.1 transcript:Potri.004G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081700.v4.1 MMKKNTLISFSLLFSLFAFSFAIEDDVTCLEGVKNSFTDPLGRLTSWDFNNNSVAYICKLNGVSCWNEKENRIISLQLPLFQLSGKLPESLKYCHSLTTLDLSNNDLSGPIPPEICNWLPYVVTLDLSGNKFSGPIPPEIVNCKFLNSLILSGNKLTGSIPYGFGRLDRLKRFSVASNDLTGSIPEELGVFPKDAFDGNEGLCGKPLGKCGGLSSKSLGIIIVAGVIGAGGSLILGFVIWWWLFVKGKSGGGSGGVGGSGGKGDDSSWIGLLRSHKLVQVTLFQKPIVKIKLADILAATNSFDFENVVISTRTGVSYQADLPDGSSLAIKRLNTCKLGEKQFRGEMNRLGQLRHPNLVPLLGFCVVEVEKLLVYKHMPNGTLYSQLHGSGFGIGQTSVLDWPTRVRVGVGAARGLAWLHHGCHPPYIHQYISSNVILLDDDFDARITDFGLARLISSPDSNDSSFVHGDLGEFGYVAPEYSSTMVASLKGDVYGFGVVLLELVSGQKPLDVSNAEEGFKGNLVDWVNQLASIGRSTDAIDKALVGKGHDDEIMQFLKVAWSCVVSRPKDRPTMYQIYESLKGMAEKHGFSDKYDEFPLIFGKQDPDYKE >Potri.018G079800.1.v4.1 pep chromosome:Pop_tri_v4:18:9746012:9750238:1 gene:Potri.018G079800.v4.1 transcript:Potri.018G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079800.v4.1 MNVVGRRQMPRSNSTTAHHHRQYSDNFLDSSRWLLQSSSQDFGHRSRSSSLRKIGDDQFSPGLFDLHSFDTDLLPELKPLNQAAAASRGENNNLTKSMSLDKERLNSNNNVAKIKVVVRKRPLNKKEIAKKEEDIITIESNSNSNSLTVHETKLKVDLTEYVEKHEFVFDAVLNEGVSNDEVYSQTVQPIVPLIFQRTKATCFAYGQTGSGKTYTMQPLPLKASQDILGLMRHTYWNQGFQLFVSFFEIYGGKLFDLLNDRKKLCMREDGKQQVCIVGLQEYRVSDVEAIREFIEKGNATRSTGTTGANEESSRSHAILQLAIKKSANGSDSKPSRLVGKLSFIDLAGSERGADTTDNDKQTRIEGAEINKSLLALKECIRALDSDQGHIPFRGSKLTEVLRDSFVGDSRTVMISCISPSSGSCEHTLNTLRYADRVKSLSKGNNSKRDPLSSSNLRESTTLPLTSFSPSEPTFVDSIADFPNEKSRFGWSRPTERETSPPFNMDRVPSGRAEGNLSASVQTDYYKVQSVGQSGIIANDCNYTEESYEHEKPSWMNNNKKVDTFQKSALEDRRRTDTLMKQRDVLAVQANNSHSDDELNVLLKEEEDLVSAHRKQVEETIDIVREEMDLLAEADQPGNQLDEYISKLNAILSQKAAGIVQLQTRLAQFQKLMNEYNVLVS >Potri.015G130000.1.v4.1 pep chromosome:Pop_tri_v4:15:14073079:14075202:-1 gene:Potri.015G130000.v4.1 transcript:Potri.015G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130000.v4.1 MGRMHSHGKGISASALPYKRTPPSWLKISAQDVEDNICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGNQILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLPPVWKYESSTASTLVA >Potri.011G069800.1.v4.1 pep chromosome:Pop_tri_v4:11:6109967:6110891:-1 gene:Potri.011G069800.v4.1 transcript:Potri.011G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069800.v4.1 MTMDCSRLIQSLESLWFFTNVVSSRTLHAGNEIKEESTQPTSSILQTSLQNHDEYSPKHEFLTPKCAKCGDFAAEIEEHDGDIEAIVKTEEVEIPKPTKKEEKRKRRPRRKRSKRKILGELDLGFDRSRHCYRLDNGFGVFGDRETWRHGMFGRQLQHQVKMPPLNDGMAMKEHLKSWAYAVASTVR >Potri.011G068900.1.v4.1 pep chromosome:Pop_tri_v4:11:6048168:6050009:1 gene:Potri.011G068900.v4.1 transcript:Potri.011G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068900.v4.1 MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIHQRVTKDDAMKWFQVKYEGVILNKSQNI >Potri.005G090700.1.v4.1 pep chromosome:Pop_tri_v4:5:6308191:6310482:1 gene:Potri.005G090700.v4.1 transcript:Potri.005G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090700.v4.1 MAGGAFVAHGSGRKYEGGVTCFVIITCLVAAMGGLIFGYDIGISGGVTSMDSFLKRFFPSVYNKEHETRDDNNMYCKFDSHLLTLFTSSLYLAALVASFFSSTVTRLFGRKISMLFGGLVFLVGAIFNGAATNIAMLIIGRLLLGVGVGFANQSVPIYLSEMAPAQIRGALNIGFQMAITIGILAANLINYGTAQIKEGYGWRISLGLAAVPALMITIGSFFLPDTPNSILERGHPEQAKRMLQKIRGTDNVEVEFQDLVDATEAAKKVEHPWKNILQPKYRPQLVICTMIPFFQQLTGINVIMFYAPVLFKTLGFGDDAALMSAVITGLVNLVCTLVSVYSADRFGRRILFLEGGVQMIISQILVGIMIAINFGTRGVGELSKGSANLVLFFICAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFFTFLIGQFFLSMLCHMKFGLFLFFAGFVVLMTICVFFFLPETKNVPIEEMNRVWKAHWFWGKYIPDDAVIGSQTYTA >Potri.010G032700.2.v4.1 pep chromosome:Pop_tri_v4:10:5470201:5470626:1 gene:Potri.010G032700.v4.1 transcript:Potri.010G032700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032700.v4.1 MSALRVVGLALNLRAYDFVSQEICAAFMLGWQLKISLMKTLYSLRSFYPVETLFNGTLALASRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWVGAMNLFEVTYFVLEKPMYEQGLI >Potri.009G047902.1.v4.1 pep chromosome:Pop_tri_v4:9:5366932:5369704:1 gene:Potri.009G047902.v4.1 transcript:Potri.009G047902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047902.v4.1 MVLAQLGGNISRAIQQMSNATIIDEKALNDCLNEITRALLQSDVQFKLVRDMLISIKSYMESDPVKIGVERFKKENCDLIIVGTSGQHKQEAALFEEIRQVSEATKPELVIFVTDSSVGQVAFDQAQAFKQIVAVGAVIVTKMDGHAKGGGTLSALRRKILSFFIGTGEHMDEFEVFDVKPFVCRLLGMGDWSEFMDKIQEVVPMDQQPELLQKLSDGSFTLRIIIPQQVKEMKNERNCKEDNDAYESEKKIMKKYGRQGEDKWESMVDKEKTSGKVFSMLPGFSAELMPKGHEKESQVKIKRYMTMKDSMTNDELDSLNPKLMNESRMMRIARGAGRPIRDVWSEMKGLKIPEKGEMSSLSRNMNAQHMNKVLPPQMLKQHGGMGGLQNLMKQMGSAKDMTGMFGGGDK >Potri.008G140900.7.v4.1 pep chromosome:Pop_tri_v4:8:9514181:9515882:-1 gene:Potri.008G140900.v4.1 transcript:Potri.008G140900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140900.v4.1 MDTRTLPFFSSLESDFSTGYLEDALLEFNEPSKRRRLLLFATDHDDQSEKSNHLPESNWNEENFDDWELMSENFSCMSHITGFRGPSDELVSTSVSNTSDEANVISEITTPGEKISAPETLDYSSSSSYKDLAATNSIFEKENSPHSTDDHENKRRKTVATRVVYPFALVKPGGVEGDMTINDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTRIHTQGRGTITIIRTKG >Potri.008G140900.5.v4.1 pep chromosome:Pop_tri_v4:8:9513786:9516353:-1 gene:Potri.008G140900.v4.1 transcript:Potri.008G140900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140900.v4.1 MLLLNSYYHNSYSFSTNTTSINSTHLHHSSVNGSPGHQVTTAPCEMIVCQNNYTETKIRAGFELHSMAYYKSIGGAGLSSLGWDLQSLGVLRADMSLESDFSTGYLEDALLEFNEPSKRRRLLLFATDHDDQSEKSNHLPESNWNEENFDDWELMSENFSCMSHITGFRGPSDELVSTSVSNTSDEANVISEITTPGEKISAPETLDYSSSSSYKDLAATNSIFEKENSPHSTDDHENKRRKTVATRVVYPFALVKPGGVEGDMTINDINERILMPPTRPVRHPVGDFACRPCVSADGPGLSGKAVVALTRIHTQGRGTITIIRTKG >Potri.001G107800.1.v4.1 pep chromosome:Pop_tri_v4:1:8648510:8650164:-1 gene:Potri.001G107800.v4.1 transcript:Potri.001G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107800.v4.1 MSSLKTLSIFSFLFAALHFPSVHAATFDITNKCPYTVWAAAKPGGGRQLNNGETWTISADPGTTQARIWARTNCQFDGAGRGNCQTGDCNGLLACQGYGSPPNTLAEYAIGQFANQDFIDISNIDGFNVPMEFSSASAGCTRVIKCTADIVGQCPNELKVPGGCNGPCPVFKTDEYCCNSGTCGPTTFSKYFKERCPDAYSYPKDDPTSLFTCPTGTNYKVIFCP >Potri.018G078900.1.v4.1 pep chromosome:Pop_tri_v4:18:9659984:9660561:1 gene:Potri.018G078900.v4.1 transcript:Potri.018G078900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G078900.v4.1 MCHPPGVPWVSRNLVVYRRWLVLQAVETETGHAPTEVAATGSSAVAGSIKKCLCSPTRHPGSFRCRHHRSDYVWGGRITRRKQPP >Potri.010G116400.1.v4.1 pep chromosome:Pop_tri_v4:10:13568775:13574862:-1 gene:Potri.010G116400.v4.1 transcript:Potri.010G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116400.v4.1 MLAIYEKKTTSLDLYRPLRNYIAMFYSEREAQNLEDDLQTVKQYRSDLERQPDPSPTSRRDLLQKYFKALCLIETRFPISPDNDHINSVTFVWYDAFKQKQKASQQNIHLEKAAALFNLGAVYSQIGLSFDRATVEGRRQTIHAFIAAAGAFAYLRDNAAAKASVGTSTTVDVSVECVGMLERLMLAQAQECVFENTISKGSTPGVCAKISRQVGLYYEEALAALNVAPLKDNFDKGWTAHVQLKAALFYAEACFWYSLELHEKEEIAEEIARLKSGASTLAEAKKSSKGAAVQLLDAISKLEANINRNLDRAVKENDRVYLMRVPSPSFLPPLPAFSMVKTMPMNEVLDASKEKMFASLVPDSSAKALSRYTEMVDNIIRTQAEKLQQGSELTRVRLKEMDLPDSILSLEGNFSLPTDLKEDVEAVQISQGPAGLEAELQQLMDLRRVNQELLVQTEELLQKEATEDSQFRSQFGTQWTRPQSSTLTKNLQDRLNRFAANLKQATDSDARIEHAVRDHSALMSILDCQPIESALPSLARPIMSLDANEDAIVGALKQSLRQLETLGAQRAGLEDMLKEMKWKDDVLPKLMTSAGSYEDLFRKEIAKYDPICEDIAQNIEGQEQLLLQIQARNEEFSAVFNLQDYKASREKCYKQIQAAIAKYREIKENINEGLKFYVSLQDAITNIKQQCSDFVMTRNIQCQEMIEDVQRQMAGLSFQDHKNTGSYSYPAANQPHQTQRSSSHPPSDPQNVPHPHPHPQPQTQYFQPPGQSTMPGYAHPPPPYTTPQQPPPYHMRPAPGAPYPPRQVQQPPTNQEYGQPAYPGWRGPYYNAHGQQPGSLARPTYTIPGPYPPPHQGYYEQ >Potri.011G132600.1.v4.1 pep chromosome:Pop_tri_v4:11:16602917:16606479:-1 gene:Potri.011G132600.v4.1 transcript:Potri.011G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132600.v4.1 MQLHISPSLRHVTVLPGNGVREFIKVKVRARRVSYRMLFYSLLFFTFLLRFVFLLSTADTIDAETKCSTLGCLGKRLGPRILGRRLDSAVPEVMYQVLEQPLDNDELKGRDDIPQTLEEFMDEVKNSIFDAKAFALKLREMVTLLEQRTRNAKIQEYLYRHVASSSIPKQLLCLALRLAHEHSTNAAARRQLPLPELVPALVDNSYFHFVLASDNVLAASVVANSLFQNALRPEKFVLHIITDRKTYSPMQAWFSLHPLSPAIIEVKALHHFDWFAKGKVPVLEAMEKDLRVRSRFRGGSSAIVESNTDKPHIIAAKLQTLGPKYNSVMNHIRIHLPELFPSLNKVVFLDDDIVVQTDLSPLWDIDMNGKVNGAVETCRGQDKFVMSKRLKNYLNFSHPLIAKNFNPNECAWAYGMNIFDLEAWRKTNISITYHHWVEENLKSGLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQENTSLADAETAGVIHFNGRAKPWLDIAFPQLRPLWAKYINSSDKFITGCHIRT >Potri.013G039000.4.v4.1 pep chromosome:Pop_tri_v4:13:2628932:2636159:-1 gene:Potri.013G039000.v4.1 transcript:Potri.013G039000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039000.v4.1 MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRSPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFSEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKQQDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLRPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPGAAELLRHPQLQPYVLKIHLKMSSPRQNTLPFQWPEPHYMKKTRFLVPEDNPRKVHRDKRYSLGNDRALNPSISVAEQDSVCSTEGIHDTPIYLNRRLSDSSIECSQGTVICRSIASKPSNSAKTPKLTPTKASVTIKRRTESARKCESLPVTRKTPTKKSNPSARRASFPLPSKGRIQNSPSGTNISILSQIKSPDVSVNAPRIDRMAEFPLASYDEPFLPIRKTSSTSARGSSNSLRHGDCSITKDKCTVQLSDRTSARPNLTEAWQGIELGMFQVDEENGSNSSNQNATAGASSRTSSDTRQRRFDTSSLQQRAEALEGLLEFSARLLQAARYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTAKPED >Potri.013G039000.2.v4.1 pep chromosome:Pop_tri_v4:13:2628935:2636126:-1 gene:Potri.013G039000.v4.1 transcript:Potri.013G039000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039000.v4.1 MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRSPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGMLFSEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKQQDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLRPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPGAAELLRHPQLQPYVLKIHLKMSSPRQNTLPFQWPEPHYMKKTRFLVPEDNPRKVHRDKRLSDSSIECSQGTVICRSIASKPSNSAKTPKLTPTKASVTIKRRTESARKCESLPVTRKTPTKKSNPSARRASFPLPSKGRIQNSPSGTNISILSQIKSPDVSVNAPRIDRMAEFPLASYDEPFLPIRKTSSTSARGSSNSLRHGDCSITKDKCTVQLSDRTSARPNLTEAWQGIELGMFQVDEENGSNSSNQNATAGASSRTSSDTRQRRFDTSSLQQRAEALEGLLEFSARLLQAARYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTAKPED >Potri.001G227000.2.v4.1 pep chromosome:Pop_tri_v4:1:24569201:24573650:-1 gene:Potri.001G227000.v4.1 transcript:Potri.001G227000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G227000.v4.1 MAKSKNNAKKVSYISVPSQIINSLSSSSLQSLLLSPKKPSRNRFFGLNLYRSPRFWFLALFLFCFLGMLKLSYNLDPLVPFSPYPCVTGQPQLQDSFSKKLTTRSQLGFVSNGEKNDIKDQEVGSVDARELKSEVGFGSNEVKDESLKGVVDFQGGKSDGVEEESEFWKQPDGLGYKPCLKFSREYSRWSELIVKNRRKYLLVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLEHFKRVLANDVRIVSSLPSTHITTRPVVESRTPLHVSPQWIRARYLKRLNREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFNALRFAPPVLELGNKLAERMRSKGPYLALHLRMELDVWVRTGCQPGLSHEYDEIINNERKQRPELLTARSNMTYHERKLAGLCPLNAMEVARLLKALGAPRSTRIYWAGGQPLGGKEALQPLTREFPHFYNKEDLALPGELKPFANRASLMAAIDYIVSENSDVFMPSHGGNMGHAIQGHRAYAGHKKYITPNKRHMLPYFLNSSLPGAEFNRIIKELHRDSLGQPELRTIKAGRDVTKYPVPECMCSDSHTRSSL >Potri.004G235700.1.v4.1 pep chromosome:Pop_tri_v4:4:24021299:24023167:-1 gene:Potri.004G235700.v4.1 transcript:Potri.004G235700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235700.v4.1 MWLFGFSTKHPRNMWIIGAISLVILVITALRRYFMHLDHKKTSDDGDHRCSTAVQVTGRVVEQEAVVTKWCPGVIRTYALEELKMATRDFRIRIGVGATSFVYLADLGDGRFGAVKRVMEDRGGSKKIFLDEVSVLLRISHPNLARLMGFCLEKGEQLLLLEYVPNKTLFDRIHTYHGQSSGILSWSSRLSIALDIARALDYLHSRADPTIIHRDVKSSNILLVDDDHAKLADFGLCKLGYDRPDSETSTTPSTSPTSIKGSFGYIDINYLNTGLATPKIDVYSYGVLLLELITGLKSIQGSVTLAEWTEEWRKSDDVEVWANLLDPKLNGNANLEQLSVLIHVANFSLLENSEGRPEMGEIVDRIRSCMEPQPNPHLLSV >Potri.004G116100.2.v4.1 pep chromosome:Pop_tri_v4:4:10893331:10895757:1 gene:Potri.004G116100.v4.1 transcript:Potri.004G116100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116100.v4.1 MITSSKQVDSPTKKEEDSNDGKISKASSSSAPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPMPPGNFSLNHQAMLGSSNEVGASRSSKEVFKMNNGVDWEDTSGFTRPSFWNADAILRAKSKEVMTDPVNEKENWAKRDEEDKEDGSIEGNTAQALSSSFLQRASHSSLPGDIHNLNVVPLSSALSLSSGSQILVCPPGTTQSYFPSHATASMEIDPRQINHFQWLTPSTQNLLPNSLTPSPYPISQSMRPSQYFSVTPRVLHSQNSESPPDKDPQFPCK >Potri.004G116100.3.v4.1 pep chromosome:Pop_tri_v4:4:10894186:10895645:1 gene:Potri.004G116100.v4.1 transcript:Potri.004G116100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116100.v4.1 MITSSKQVDSPTKKEEDSNDGKISKASSSSAPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVPTAIQLYDLQDRLGLNQPSKVVDWLLNAAKHEIDELPPLPMPPGNFSLNHQAMLGSSNEVGASRSSKEVFKMNNGVDWEDTSGFTRPSFWNADAILRAKSKEVMTDPVNEKENWAKRDEEDKEDGSIEGNTAQALSSSFLQRASHSSLPGLINNAMPYGSFFHLEPQSFPLSHMGNHGFATQTGDIHNLNVVPLSSALSLSSGSQILVCPPGTTQSYFPSHATASMEIDPRQINHFQWLTPSTQNLLPNSLTPSPYPISQSMRPSQYFSVTPRVLHSQNSESPPDKDPQFPCK >Potri.014G022950.1.v4.1 pep chromosome:Pop_tri_v4:14:1394453:1395151:1 gene:Potri.014G022950.v4.1 transcript:Potri.014G022950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022950.v4.1 MTTLSKEITSWVRLILPSPQAPSPLHGGENELREGNILQLEALPISLIDLPGRLAHARR >Potri.012G041800.1.v4.1 pep chromosome:Pop_tri_v4:12:3720119:3727203:-1 gene:Potri.012G041800.v4.1 transcript:Potri.012G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041800.v4.1 MASKAATPSSPSFILTSGASGRITALFSVQALKSLLMLINAFFLILLAPFRGRRRMVVVAARGSSSSSSGDQKSKDDRLLQETSSGVHRTKLRVPATIVPWKSAGGGGRVTAVVDPEVGGRRAIAIKRVLQDDDTNTVREFSLFVTARSDNLFTQSWTPVSAKIRGLVVLMHGLNEHSGRYNDFAKELNANGFKVYGMDWIGHGGSDGLHGYVHSLDYAVDDLKSFLDKILTENPGFPCFCFGHSTGAAIVLKAMMDPEVEARVSGVVLTSPAVGIQPSHPLVVILAPVLSFLLPTLQLNSANKKGMPVSRDPDALVAKYSDPLVYTGSVRVRTGYEILRTTAYLQQNLKRLRIPFLVLHGAADTVTDPAASQRLHEEASSTDKTIQLLEGFLHDLLIEPEREEIMKDIIDWFNCRV >Potri.001G457201.1.v4.1 pep chromosome:Pop_tri_v4:1:48316484:48319545:1 gene:Potri.001G457201.v4.1 transcript:Potri.001G457201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457201.v4.1 MVLQIRIKWHRPKPVMLFVSLGYFPDSNESTSQRIHVHKSLQIEGKTAVVFRHQFMLPFRQDPLLLSRRIKPVPGSDQLASLPLNETSILVISAKNCSEVPLLLQSMSIEVDDCIERPCTLEHSGMDILHPAHLVPGEEFKKIFTVIPEVESSCLDLGSLSLRWRRNSEMEDLSTSAATQEWVLTKHKLPDVKAELPPLVLNLEFPPYAVLGDPLMYSVKIRNQTRLLQEVKFSLGDAQGFVLSGSHSDTVIVLPKSEHALNYKLVPLASGPQQLPQVTMISARYSAAFQPSNAASTVFVFSSKPQFKTTDIRDNKSEPVAAE >Potri.001G457201.2.v4.1 pep chromosome:Pop_tri_v4:1:48316391:48319545:1 gene:Potri.001G457201.v4.1 transcript:Potri.001G457201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457201.v4.1 MLAARYLKEKRSSLELSLTMSETADEIDSDAESVVPSIYVGQFAQLRVFKMFALHYVVHSICNLTDEEYTRYAFTEGKRFQDSFEIVAFLKKAYESFSNLKAQRMACFCGFHMAREYFVVGDFRNAKQLLDGVALLYRQEGWATLLWEFLGYLRECSRKCGTVKEFVEYSLESAALPVPSDSGIQSFRRKECGPAGPAILAQREIIHKEVFELRSGETRLASVEGNTDLKVNEENPLRLEIDLASPLRLVLLALVAFHEPMFKPRIEHLELFIMPPLLVQGIPCGMIKQSGKLECIYVIAKMRPHFLICCGAESPASMEDLPLWKFEDRVETFSTKDPALAFSGQKAAQDHAIFSVELKINLVDVKGGGLYSPREEEPFSTESHHVELLGVTGPEGEDETLVGPDKIKKIQQSFGLVSVPFFKRWRIMVLQIRIKWHRPKPVMLFVSLGYFPDSNESTSQRIHVHKSLQIEGKTAVVFRHQFMLPFRQDPLLLSRRIKPVPGSDQLASLPLNETSILVISAKNCSEVPLLLQSMSIEVDDCIERPCTLEHSGMDILHPAHLVPGEEFKKIFTVIPEVESSCLDLGSLSLRWRRNSEMEDLSTSAATQEWVLTKHKLPDVKAELPPLVLNLEFPPYAVLGDPLMYSVKIRNQTRLLQEVKFSLGDAQGFVLSGSHSDTVIVLPKSEHALNYKLVPLASGPQQLPQVTMISARYSAAFQPSNAASTVFVFSSKPQFKTTDIRDNKSEPVAAE >Potri.008G127600.1.v4.1 pep chromosome:Pop_tri_v4:8:8299572:8302340:-1 gene:Potri.008G127600.v4.1 transcript:Potri.008G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127600.v4.1 MAIPCPPFIGSEKLSIESGAMSPSSPSPLILTQDELKKIAAYKAVEFVQSGMVLGLGTGSTAKHAVDRIADLLHQGKLKNIIGIPTSTKTHQQAVSLGIPLSDLDSHPVVDLAIDGADEVDSNLNLVKGRGGSLLREKMIESACKKFIVIVDQSKLVAHVGASGAMPVEVVPFCWKFSQDRLQNLFDYAGCVAKLRTDNGGEKIFVTDNGNYIVDLFFKKDIGDLKVASDAILRLAGVVEHGMFLDMATTVIVAGELGITIKDK >Potri.006G229400.6.v4.1 pep chromosome:Pop_tri_v4:6:23328004:23336748:1 gene:Potri.006G229400.v4.1 transcript:Potri.006G229400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229400.v4.1 MSKMKHLLRKLHIGDHHNRLGGETRPVSSSSTSASTTPSPSDGRIEAVESAAVDRTDVEAISGTDSTGIDFNLLEEEFQMQLALAISASDPDSIQDAESAQIDAAKRISLRSSPVVPVNDADSLAESLSLRYGSYSVVNYNEKVMDGFYDVCGVTSNSVIQGNMPFLADLQAISVSDDVDYEVIMVNRFVDAELRELEKRAYIMSLESRFSDGLVSSGLIQKIADVVVDRMGGPVSDADEMSSRWKRRSKELQNALNSIILPLGCLDVGLSRHRALLFKVIADRINLPCMLVKGSYYTGTDDGAVNLIKIDDGSEYIIDLMGAPGTLIPPEVPSSHLPTAGFDISGFASLTETPEDSTPLMDQGYGILAFSPNNLDVIPQAGTSTSGQGLFVSIKTNEDGVNLVEKNQIERFEHDFGKLSLSGTEKPSSAQKNRVKNVSKYVISAAKNPDFAQKLHAVLLESGASPPPNLFSDMNLGEPKLLEKVHPENGVNLDDRLRCCLDDMLTGREQSLASLTRDDTLKNVRCDCEQEQFAEGSVDELRRLMNVFNSDPSLPSDVTSEGFVLVDNRTNEKLQTDSTVVDMVSINAPGMAGSSMHENPLHAFSLLSALEPCQLQPEHALVSSDNQCFQENMGRILSMDAGKESALKLIETANSGQHISCCGHSERINPMLGEVAEWEIPWEDLEIGERIGIGSYGEVYHGDWNGTEVAVKKFLDQDLSGDALVQFKCEAEIMLRLRHPNVVLFMGAVTRPPHLSILTEFLPRGSLYRLLHRPHSQVDEKRRMRMAIDVV >Potri.006G229400.1.v4.1 pep chromosome:Pop_tri_v4:6:23328004:23336964:1 gene:Potri.006G229400.v4.1 transcript:Potri.006G229400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229400.v4.1 MSKMKHLLRKLHIGDHHNRLGGETRPVSSSSTSASTTPSPSDGRIEAVESAAVDRTDVEAISGTDSTGIDFNLLEEEFQMQLALAISASDPDSIQDAESAQIDAAKRISLRSSPVVPVNDADSLAESLSLRYGSYSVVNYNEKVMDGFYDVCGVTSNSVIQGNMPFLADLQAISVSDDVDYEVIMVNRFVDAELRELEKRAYIMSLESRFSDGLVSSGLIQKIADVVVDRMGGPVSDADEMSSRWKRRSKELQNALNSIILPLGCLDVGLSRHRALLFKVIADRINLPCMLVKGSYYTGTDDGAVNLIKIDDGSEYIIDLMGAPGTLIPPEVPSSHLPTAGFDISGFASLTETPEDSTPLMDQGYGILAFSPNNLDVIPQAGTSTSGQGLFVSIKTNEDGVNLVEKNQIERFEHDFGKLSLSGTEKPSSAQKNRVKNVSKYVISAAKNPDFAQKLHAVLLESGASPPPNLFSDMNLGEPKLLEKVHPENGVNLDDRLRCCLDDMLTGREQSLASLTRDDTLKNVRCDCEQEQFAEGSVDELRRLMNVFNSDPSLPSDVTSEGFVLVDNRTNEKLQTDSTVVDMVSINAPGMAGSSMHENPLHAFSLLSALEPCQLQPEHALVSSDNQCFQENMGRILSMDAGKESALKLIETANSGQHISCCGHSERINPMLGEVAEWEIPWEDLEIGERIGIGSYGEVYHGDWNGTEVAVKKFLDQDLSGDALVQFKCEAEIMLRLRHPNVVLFMGAVTRPPHLSILTEFLPRGSLYRLLHRPHSQVDEKRRMRMAIDVAKGMNYLHTSHPTIVHRDLKSPNLLVDKNWNVKVCDFGLSRIKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDIYSFGVILWELATCQIPWKGLNPMQVVGAVGFQNRHLEITEDIDPAIAQIIRDCWQLEPNLRPTFAELISRLLHHVQHLLVETTNYRNQTAD >Potri.019G104700.1.v4.1 pep chromosome:Pop_tri_v4:19:14011927:14015273:-1 gene:Potri.019G104700.v4.1 transcript:Potri.019G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104700.v4.1 MDSDSSCENKRTANESMLVSCMLYISEARNRAVLDLIERAARLDPESVIVNKFEDRVYNRIRFTIVSYVVVDSTGSPIYSPLHQTVLAMVEAAYGAINLELHSGAHPRLGVVDDIVFHPLARASLDEAAWLAKTVAADMGSRFQVPVFLYAAAHPTGRAPDTIRRELGYYTPNFMGNQWAGWTIPEILPGAPDEGPTHVSRTRGIVMIGARPWVALYNIPVVCTDVSTARQIARMVRARDGGLPTVQALALVHGDDSFEIACILLEPNQVGAERVQAEVEMLAAQEGLEVEKGYFTDFPPEMIVEKYMNLISSRRD >Potri.019G104700.2.v4.1 pep chromosome:Pop_tri_v4:19:14011987:14015273:-1 gene:Potri.019G104700.v4.1 transcript:Potri.019G104700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104700.v4.1 MLVSCMLYISEARNRAVLDLIERAARLDPESVIVNKFEDRVYNRIRFTIVSYVVVDSTGSPIYSPLHQTVLAMVEAAYGAINLELHSGAHPRLGVVDDIVFHPLARASLDEAAWLAKTVAADMGSRFQVPVFLYAAAHPTGRAPDTIRRELGYYTPNFMGNQWAGWTIPEILPGAPDEGPTHVSRTRGIVMIGARPWVALYNIPVVCTDVSTARQIARMVRARDGGLPTVQALALVHGDDSFEIACILLEPNQVGAERVQAEVEMLAAQEGLEVEKGYFTDFPPEMIVEKYMNLISSRRD >Potri.017G069220.2.v4.1 pep chromosome:Pop_tri_v4:17:5685690:5693720:-1 gene:Potri.017G069220.v4.1 transcript:Potri.017G069220.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069220.v4.1 MTDGKLNLPDDLLLSSKSADERLSSFKDQLTVDNTIPLSPQWLYAKPVDAKSLTAGASGETRASNSLSLGNSIDNNLKDNWRLDGTQDKKDRRRIASDIESSRRWREEERDTGLLGRRDRRKEDHRADSVSTRDISENRTLSSSDRWHDSNNRISGHESRRDSKWSSRWGPEDKEKGSLTDKRADVEKEDTHSDKQNFGTASRPTSDLENDSRDKWRPRHRMEVHAGGPAVYRSAPGFGSDRGRVESSNVRFAAGRGRSNNSGNFQNGRHLNSSPIGSIPVNKNHAFCYPRGKLLDIYRKHKTLPSFDPMPDGMEHASPITQETAIKPLAFVSPDAEEEAVLGDIWQGKITSSGGLKSSFRDNDTSNNNTAGFGEVPLGEGNPNSSVKTEEIADSFGKITVNASGQGIGSEMLDTSMAEEKDSQKDGTQKLTTTIGRELTDDFVRAVSKKDDCSVGECGPSDNVVELKAFETSSVEDVASKKHLKLGDNEPTTFEIGSQLPGDSSSLFDFIIPLEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVCLSDAPSGLPFFELGDIMPHLKFKPGCASSTSPSAKLQLSEPVGENLEGSALPPASSLEFKGSSVSEELQYASSGFEAIPSVSGQSRTPDHGFRPRTVDSDDQRFQNIVSLDEEIVFPGRPGSSGNPVMTDAADIQSFVSNPPSHPVILNEFSETGMLTHQDEIVHPFGLLMSELRSNSHPKHAQASYLASSMSEGHAMDPYTERDAALASHRSFDLVSDQSRYTDTWPEDYSKKPLMNPHIDLGTTDTRHLFQRQPEFNDFDQQHLMPQKMQNERQQQNHVSHPFLQELGFEQIPSHLIELQFQQQRKLELQQQWQLELQRLELQRQQQLELQRQQQLEVQRQRQLEVQRRQQLELQRRQQFELQRRQQLEHQRRQQFELQQQQQQFELQQQHHLLHQQQQQQQLHQYQMKLQQQQVLEQLLQHQMSDLGYGQGKGDPMRDNLVDQSQFRTLLPELQRNLHIPRHLDLSLEQVKHGNILPSDLQFHHQLEQMQAQELSLARSTGFNTSDCHLQQQRQSSHDEHLSHIKWNHALQELHQGGFYEPSSMAFDHPTSLPAITLGIKLDNVNGHSQGPDSAEHLYMHPAEQLGSVSSNAPSCDQQVLDDIYASHPEMTENYFPGKRRQQENSWVEGGMQQLHHENERKRNVSEASGNSSIWLSGQRDEESSKQVLMDLHQKIGLQSIHSSEDDYGHLTSSSKSRESFWPITDSSSSNHNPDQEVAMNNSFMDRPQHLNSNSLLHDNPAMALSGQLHLGNGERLHGSNSGALLEEPTFLSGMIDTSQANHVDNRFGSKYTKDKDLAELDNRSGSKCVRAMSRSVSHIEENFVEQAETAMDLVNAHSRHSSLSSAGGYGGLHGYEMGLDNSTSEEVSNDRVILSKGLDNSLHKHPPVSRALSSLDALSDMGSASHNKHKNPTSIATSDERRNESVENLAAAWGGDTQASGMKEVRFRRTASYNDAGITETSFIDVLKKPVFSEAEAANAAALESSDGSLTGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHLDDN >Potri.017G069220.1.v4.1 pep chromosome:Pop_tri_v4:17:5685690:5693754:-1 gene:Potri.017G069220.v4.1 transcript:Potri.017G069220.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069220.v4.1 MTDGKLNLPDDLLLSSKSADERLSSFKDQLTVDNTIPLSPQWLYAKPVDAKSLTAGASGETRASNSLSLGNSIDNNLKDNWRLDGTQDKKDRRRIASDIESSRRWREEERDTGLLGRRDRRKEDHRADSVSTRDISENRTLSSSDRWHDSNNRISGHESRRDSKWSSRWGPEDKEKGSLTDKRADVEKEDTHSDKQNFGTASRPTSDLENDSRDKWRPRHRMEVHAGGPAVYRSAPGFGSDRGRVESSNVRFAAGRGRSNNSGNFQNGRHLNSSPIGSIPVNKNHAFCYPRGKLLDIYRKHKTLPSFDPMPDGMEHASPITQETAIKPLAFVSPDAEEEAVLGDIWQGKITSSGGLKSSFRDNDTSNNNTAGFGEVPLGEGNPNSSVKTEEIADSFGKITVNASGQGIGSEMLDTSMAEEKDSQKDGTQKLTTTIGRELTDDFVRAVSKKDDCSVGECGPSDNVVELKAFETSSVEDVASKKHLKLGDNEPTTFEIGSQLPGDSSSLFDFIIPLEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVCLSDAPSGLPFFELGDIMPHLKFKPGCASSTSPSAKLQLSEPVGENLEGSALPPASSLEFKGSSVSEELQYASSGFEAIPSVSGQSRTPDHGFRPRTVDSDDQRFQNIVSLDEEIVFPGRPGSSGNPVMTDAADIQSFVSNPPSHPVILNEFSETGMLTHQDEIVHPFGLLMSELRSNSHPKHAQASYLASSMSEGHAMDPYTERDAALASHRSFDLVSDQSRYTDTWPEDYSKKPLMNPHIDLGTTDTRHLFQRQPEFNDFDQQHLMPQKMQNERQQQNHVSHPFLQELGFEQIPSHLIELQFQQQRKLELQQQWQLELQRLELQRQQQLELQRQQQLEVQRQRQLEVQRRQQLELQRRQQFELQRRQQLEHQRRQQFELQQQQQQFELQQQHHLLHQQQQQQQLHQYQMKLQQQQVLEQLLQHQMSDLGYGQGKGDPMRDNLVDQSQFRTLLPELQRNLHIPRHLDLSLEQVIRAKIGQNNLQEPQTDILDLLSQVKHGNILPSDLQFHHQLEQMQAQELSLARSTGFNTSDCHLQQQRQSSHDEHLSHIKWNHALQELHQGGFYEPSSMAFDHPTSLPAITLGIKLDNVNGHSQGPDSAEHLYMHPAEQLGSVSSNAPSCDQQVLDDIYASHPEMTENYFPGKRRQQENSWVEGGMQQLHHENERKRNVSEASGNSSIWLSGQRDEESSKQVLMDLHQKIGLQSIHSSEDDYGHLTSSSKSRESFWPITDSSSSNHNPDQEVAMNNSFMDRPQHLNSNSLLHDNPAMALSGQLHLGNGERLHGSNSGALLEEPTFLSGMIDTSQANHVDNRFGSKYTKDKDLAELDNRSGSKCVRAMSRSVSHIEENFVEQAETAMDLVNAHSRHSSLSSAGGYGGLHGYEMGLDNSTSEEVSNDRVILSKGLDNSLHKHPPVSRALSSLDALSDMGSASHNKHKNPTSIATSDERRNESVENLAAAWGGDTQASGMKEVRFRRTASYNDAGITETSFIDVLKKPVFSEAEAANAAALESSDGSLTGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEIQHLDDN >Potri.018G025900.1.v4.1 pep chromosome:Pop_tri_v4:18:1915754:1916583:1 gene:Potri.018G025900.v4.1 transcript:Potri.018G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025900.v4.1 MASEKLTATILILSLLFFSTFSSACGPCQPKTPPTEPTCPRDTLKLGACADILGLVNVVVGSPPYSKCCPLLEGLADLEVALCLCTAIKASVLGINLNVPVALSVLVSACGKSIPPGFKCE >Potri.001G374700.1.v4.1 pep chromosome:Pop_tri_v4:1:39291082:39294832:-1 gene:Potri.001G374700.v4.1 transcript:Potri.001G374700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374700.v4.1 MGTLPHAFSLFALILLLTSGTGADQSTKTQAIFKGSARIGAIVDTSSRIGKEEIVAMEVAKEDFYGFGNLTFLLINDSQKDTIHAALEAKDLIDTRQVQAIIGPQTWEEVSLVAEIARETQVPILSFADTAPEWAPERWPSLLQASPDKRAQMKAIAAIVQSWNWHQVIVIYEDTDSSARGVIPHLHDALREVNSEVSQFVAFSPFASSDSISKELENIKSKQYCRVFVVHLSFKLAVRLFEMAKNMEMMKKDYVWITTDPFTSLVHSINASVISSMKGILGVRSYYPKMGQHFENFNQRFRTRFSRKYPREEKKEPGIYAVQAYYAMRTIALGLNKTGSKRGGKELLENILDADFHGLSGEVKFKNQNVAAAEIFEIVNIVIGTGYKELGYWSNGLGFSEDIHENSIYNSSMIDLGQVYWPGGPRCTPRGWTALTSAKRLRIGVPSMSGYKEYVNVDDRLGTNFSGFSIEVFKATTESMKMECDDCMPSFPLYEFQYFNGSYDKLVEQIHLKNFDAVVGDVEIVSSRYQYAEFTNPYTETGLVLIVPARSSSKAWSFVKPFTTTMWVLISVITVYNGFVVWWIERKHCDELQGSIPNQIGIMIWLSFNTLFSLNGPKLHSNLSRMSGVVWLFVALIIIQTYTANLTSMLTVQRLEPTIPSVEELLNSNAMVGYCTGSYMERYLAEVLKFKSQNLLHFRSAASYAKGFEDRNISAAFLGTPYAKIFLAKYCNRFIQIGPTYKIGGFGFAFPRGSPLLASVNEALLKISENGTLQELEKTWITPQKCPEMQSESSSLGPSGFRVLFFITGGTTTIVFVIYVCRTNLVRHKNIWGIISAVLKRWFSPRRHFTRRVANVEIPPKAFPEAPVPLA >Potri.001G075700.2.v4.1 pep chromosome:Pop_tri_v4:1:5718268:5721804:1 gene:Potri.001G075700.v4.1 transcript:Potri.001G075700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075700.v4.1 MKMKSMEAKPLLFLFLSNPSKSITISPFSHQLFALFSSSIDIPKLSTNSEVVTTLTQEEVTKINLLIPRLCLLNHLTTAIQLITTSLLANPPPKSLSFSILTHSLTSQPDMTKPMSLLTILRHTPQAHSHLSPMNTMLITSYIKKKRPKEALKVYNWMLRPGSPCKVEKIVFCVLVNGLCEIGWVLEGLKVLKDMVSVGFLPIGGLKERVYRSLLSEARVKEAVELDKALCDCFEDVSGEGGKKVIDLLDSLIRNWSE >Potri.010G077900.3.v4.1 pep chromosome:Pop_tri_v4:10:10454651:10459257:-1 gene:Potri.010G077900.v4.1 transcript:Potri.010G077900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077900.v4.1 MERDGYVVPADPPAVTSVKKKTQPARSWILVDATGQGTILDADKHAIMNRVQIHARDLRILDPLLSYPSTILGREGAIVLNLEHIKAIITSEEVLLRDPLDEDVIPVVEELKRRLPPANVFRQSQGDGKDHTGGQLDVEAGEEDESPFEFRALEVALEAICSFLAARTTELETAAYPALDELTSKVSSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGANWFPASPTIGSKISRASRVSLATVRGDENDVENDVEELEMLLEAYFMQIDSTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQVLYDFLFMNCVGANSLTSKQARAQIACMF >Potri.010G077900.2.v4.1 pep chromosome:Pop_tri_v4:10:10454694:10459257:-1 gene:Potri.010G077900.v4.1 transcript:Potri.010G077900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077900.v4.1 MERDGYVVPADPPAVTSVKKKTQPARSWILVDATGQGTILDADKHAIMNRVQIHARDLRILDPLLSYPSTILGREGAIVLNLEHIKAIITSEEVLLRDPLDEDVIPVVEELKRRLPPANVFRQSQGDGKDHTGGQLDVEAGEEDESPFEFRALEVALEAICSFLAARTTELETAAYPALDELTSKVSSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGANWFPASPTIGSKISRASRVSLATVRGDENDVENDVEELEMLLEAYFMQIDSTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELYLSSGTVCLTIYSLVAGIFGVNIPYTWTDNHGYMFKWVVIVTGAFCASLFLVLMTYARYKGLVGS >Potri.010G077900.5.v4.1 pep chromosome:Pop_tri_v4:10:10454651:10459460:-1 gene:Potri.010G077900.v4.1 transcript:Potri.010G077900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077900.v4.1 MFEFILPPSFGAKNNNKNRACSFWLFLLNMEQVLLRDPLDEDVIPVVEELKRRLPPANVFRQSQGDGKDHTGGQLDVEAGEEDESPFEFRALEVALEAICSFLAARTTELETAAYPALDELTSKVSSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSGSGGANWFPASPTIGSKISRASRVSLATVRGDENDVENDVEELEMLLEAYFMQIDSTLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELYLSSGTVCLTIYSLVAGIFGVNIPYTWTDNHGYMFKWVVIVTGAFCASLFLVLMTYARYKGLVGS >Potri.009G139400.1.v4.1 pep chromosome:Pop_tri_v4:9:11163492:11171061:-1 gene:Potri.009G139400.v4.1 transcript:Potri.009G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139400.v4.1 MVDMAMEEGGSNESCGSRVNENTTSPGSVLQGRQHPQRMQQKLEVYNEILRRLKETNHEEANLPGFDDQLWTHFNRLPARYALDVKVERAEDVLMHKRLLHLAHDPANRPAIEVRLVQVHPTSDDNSADFILPDSPSKEAAQRKSIHPPPAFGSSPNLEALALEANKFDDQDGDNSVHANSKFFKPMHEITFSSDDKPKLLSQLTSLLAHIGLNIQEAHAFSTLDGYSLDVFVVDGWPYEETEQLRTALEKEVIKIEAWSNHRSSSPTSDHEKSKIKYDPDHVAIPNDVTDVWEIDPKHLKFENKVASGSYGDLYKGTYYSQEVAIKILKPERVNSDLQKEFAQEVYIMRKVRHKNVVQFIGACTKLPSLCIVTEFMSGGSVYDYLHKQRGVFKLPTLLKAAIDVSKGMNYLHQNNIIHRDLKAANLLMDENEVVKVADFGVARVKAQTGVMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKLPYEYLTPLQAAVGVVQKGLRPTIPKNTQPKLAELLEKTWKQDPALRPDFSEITEILQQIAKEVGDDGECRKEKSSGGFLSVLRRK >Potri.009G160200.1.v4.1 pep chromosome:Pop_tri_v4:9:12399179:12404715:1 gene:Potri.009G160200.v4.1 transcript:Potri.009G160200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160200.v4.1 MPQNGKLMPNIDQQSTKLLNLTVLQRIDPLVEEILITAAHVTLYQFNIDLTQWSRKDVEGSLFVVKRNMQPRFQFIVMNRRNTDNLVENLLGDFEYEVQVPYLLYRNAAQEVNGIWFYNARECEEVANLFSRILNAYSKVPQKPKVSLSTTKSEFEELEAVPTMAVMDGPLEPSSASASVVSDVPDDPAFVNFFSTAMTIGNASAAAVAGQSYQSSIPIPLHSQLTSVASSTMPTLQIPSTPLSASIPLMPLLDVSDVSSSNNRTANLVKPSFFVPPSSTRMMPPVSSSMPTAPPLNPPTSLHRPYGAPLLQPFPPPTPPPSLTPASVPSPNHGPVISKDKVRDALLALVQDDQFIDMVYRTLLNAHHS >Potri.001G088400.2.v4.1 pep chromosome:Pop_tri_v4:1:7026705:7029365:1 gene:Potri.001G088400.v4.1 transcript:Potri.001G088400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088400.v4.1 MGIPQAPAPPHLYPQQLQLKLYQAFIFSIPILFSIILFLLFYLFYLKRRASSISSPPHIIPGSSNQATLYHASSICQIGLKEEFKDKLPIVLFDEELMTKDSQCCVCLGEFEIEEEVLQIPSCKHVFHIDCIHHWLHSNSTCPLCRCYVIFPTTKFCTSPLQSSGPMILPQSSANSHHPQNMTSEPQQQEDVGAGSTEQFVIPMEGTASVTTQLRDSSGLPELSISMESGRGSTNGESVILHIRTHSPRRENLPPHEVEISR >Potri.010G149800.2.v4.1 pep chromosome:Pop_tri_v4:10:16006062:16006704:-1 gene:Potri.010G149800.v4.1 transcript:Potri.010G149800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149800.v4.1 MLPDNVNVEDVMRIVPGSLREKVRGKSGSTSYPGLPSRYTLHWVNAYVDGLPDEDFCTDEDEYKDCGAGGYSSRAVTVKKHFWTGGPIQT >Potri.010G073600.2.v4.1 pep chromosome:Pop_tri_v4:10:10145366:10159058:1 gene:Potri.010G073600.v4.1 transcript:Potri.010G073600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073600.v4.1 MDYLKTVIPSQLVSDRGSNLVVINPGSANIRIGLATQDAPLNIPHCIARYTNQIPKFKVQDQMLNSQITTVQHMEREKAYDIIASLLKIPFLDEEVAHNSFPRKIGRADGYNPQSGRGVAFQWANVYEQDTNSSLALGSSKNEGTSGEYVKKHEGTDAEGISSGERKFRGHIFGEEALRISPTEPYCLSRPIRRGHFNISQHYPLQQVLEDIHAIWDWILIEKLHIPHSERNMYSAILVLPETFDNREIKEMLSIALRDLRFSSAVVHQEGLAAVFGNGLSTACIVNMGAQVTSVVCVEDGVALPSTEKTLPFGGEDISRCLLWTQRHHQTWPQVRTDMLTKPIDLLMLNRLKESYCKIKEGELDAVTVVHSYEDGMPAGSHKTRLTALNVPPMGLFYPTLLVPDIYPPPPRSWFHDYENMLEDTLHLEYPRRPDMSDGLFPGINVGYPMWESYPIFPSKPKKEEKVGLAEAITSSILSTGRIDLQRKLFCSIQLIGGEALTAGFIPAVEERVLHAIPSNEAIDTVEVLQSRTNPTFVSWKGGAILGVLDFGRDAWIYREDWIRNGIHVQSGRKYKDSYYLQAQATCYINS >Potri.009G009200.1.v4.1 pep chromosome:Pop_tri_v4:9:1765094:1770185:-1 gene:Potri.009G009200.v4.1 transcript:Potri.009G009200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009200.v4.1 MTTEVGKVLYIVVVDEEEKRGKGKGKGKESFRYTRPVLQSTLQLMGCKARHAFKISKRVFEVMRNEFSNEVSLSKEVEIRVVDASKENSEREDGLSSGGSLGKIEVSNRLVSEEDRNKSIPFELYKRRTTVVVRRESFLNVVCDALTEYKYVGPNQREDLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGNRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEYLDPEAVAEAKAKRKAKKLAGIANLRSKDELSDGYTAGKSGSGAPEVTSGTAEFISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSILPFMIYITNEEKHMERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEQLYDPTTNTIALVDEEYRNQCAANSLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPVDSVDGNGKPGSGQGTDSGKGIPMYGPLQIGKAEPVNLQFGHFGISAWPSDGGTSHAGSVDESRADGTDTGSRYYSSCCSSPRMVDGAAKELKEELSVHGSDEEADDPPEVDSDEDPSDDDAEKHNHEEIGSVDEESSKSDEEYDDLAMQDVQENGYWSDDDEESKDRLPPISWGHSSPKRGDKYRQNLERFLSTRSEQVAEPLRSYSSLLREKGERRMLSSGSLKIRKRSLSIPAIGKHESMVGDPILSGAPRR >Potri.009G009200.5.v4.1 pep chromosome:Pop_tri_v4:9:1765406:1770184:-1 gene:Potri.009G009200.v4.1 transcript:Potri.009G009200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009200.v4.1 MTTEVGKVLYIVVVDEEEKRGKGKGKGKESFRYTRPVLQSTLQLMGCKARHAFKISKRVFEVMRNEFSNEVSLSKEVEIRVVDASKENSEREDGLSSGGSLGKIEVSNRLVSEEDRNKSIPFELYKRRTTVVVRRESFLNVVCDALTEYKYVGPNQREDLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGNRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEYLDPEAVAEAKAKRKAKKLAGIANLRSKDELSDGYTAGKSGSGAPEVTSGTAEFISPKQMAIEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSILPFMIYITNEEKHMERFAVRAKYMTLDPAKNKYVKYIRNIRTIQEYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRREAGEQLYDPTTNTIALVDEEYRNQCAANSLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPVDSVDGNGKPGSGQGTDSGKGIPMYGPLQIGKAEPVNLQFGHFGISAWPSDGGTSHAGSVDESRADGTDTGSRYYSSCCSSPRMVDGAAKELKEELSVHGSDEEADDPPEVDSDEDPSDDDAEKHNHEEIGSVDEESSKSDEEYDDLAMQDVQENGYWSDDDEESKDRLPPISWGHSSPKRGDKYRQNLERFLSTRSEQVAEPLRSYSSLLREKGERRMLSSGSLKIRKRSLSIPAIGKHESMVGDPILSGAPRR >Potri.010G115000.3.v4.1 pep chromosome:Pop_tri_v4:10:13448664:13456239:1 gene:Potri.010G115000.v4.1 transcript:Potri.010G115000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115000.v4.1 MVRFGGKMAGQLVSLGGNGAGQFLAAAAVALLLRLFSGPGPALMPDDESPDDEKNDAADDDSPDVGKVFPVTIRWSNITCSLSDKSSKSVRFLLNNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLMASPRLHLSGLLEVNGRPSSNRAYKLAYVRQEDLFFSQLTVRETLSLAAELQLPEIASVEERDEYVNNLLFKLGLASCADTNVGDAKVRGISGGEKKRLSLACELIASPSVIFSDEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYGKFDDIVLLAEGALVYAGSAHDVPLTYFSKFGYRCPDHVNPAEFLADLISIDYSSAESVYSSRKRIDGLVESFSQQSSTILYATPLTRKEDSKKLSRKTGVKGKGKGSWWREFWLLLRRAWMQASRDGPTNKVRATMSIASAIIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLLSKLIAEIPVGAAFPLVFGAVLYPMSRLHPTFSRFGKFCGIVTAESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYVNADNTPIIFRWIPNVSLIRWAFQGLCINEFRGLQFDHQSSIDVETGEQALERLSFGGRHIKDTVVAQSRILLFLYCTTYLLLEKNKPSYQRLEPPCREQIQSQVQLEPLDPDEVKQLQQLDPPPPKKDESNQQLESPPVEIRPFILEGL >Potri.010G115000.1.v4.1 pep chromosome:Pop_tri_v4:10:13448520:13456418:1 gene:Potri.010G115000.v4.1 transcript:Potri.010G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115000.v4.1 MVRFGGKMAGQLVSLGGNGAGQFLAAAAVALLLRLFSGPGPALMPDDESPDDEKNDAADDDSPDVGKVFPVTIRWSNITCSLSDKSSKSVRFLLNNVSGEAKPGRLLAIMGPSGSGKTTLLNVLAGQLMASPRLHLSGLLEVNGRPSSNRAYKLAYVRQEDLFFSQLTVRETLSLAAELQLPEIASVEERDEYVNNLLFKLGLASCADTNVGDAKVRGISGGEKKRLSLACELIASPSVIFSDEPTTGLDAFQAEKVMETLRQLAQDGHTVICSIHQPRGSVYGKFDDIVLLAEGALVYAGSAHDVPLTYFSKFGYRCPDHVNPAEFLADLISIDYSSAESVYSSRKRIDGLVESFSQQSSTILYATPLTRKEDSKKLSRKTGVKGKGKGSWWREFWLLLRRAWMQASRDGPTNKVRATMSIASAIIFGSVFWRMGKSQTSIQDRMGLLQVAAINTAMAALTKTVGVFPKERAIVDRERAKGSYALGPYLLSKLIAEIPVGAAFPLVFGAVLYPMSRLHPTFSRFGKFCGIVTAESFAASAMGLTVGAMVPTTEAAMAVGPSLMTVFIVFGGYYVNADNTPIIFRWIPNVSLIRWAFQGLCINEFRGLQFDHQSSIDVETGEQALERLSFGGRHIKDTVVAQSRILLFLYCTTYLLLEKNKPSYQRLEPPCREQIQSQVQLEPLDPDEVKQLQQLDPPPPKKDESNQQLESPPVEIRPFILEGIK >Potri.008G164801.1.v4.1 pep chromosome:Pop_tri_v4:8:11356046:11356542:-1 gene:Potri.008G164801.v4.1 transcript:Potri.008G164801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164801.v4.1 MSDTLRSGNSAAAQSRPSRLQRRRPASLQISPASSSSWNAAIPLLSPLITSPTAMDMKSRDDPPSPPRIQVTEGEKPVVFKKWQHPAAPFCYELAPFKPSFFVPV >Potri.003G132400.1.v4.1 pep chromosome:Pop_tri_v4:3:15059796:15063095:-1 gene:Potri.003G132400.v4.1 transcript:Potri.003G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132400.v4.1 MAMATNLYTSYSIIATKAHNNKSVSLSLQPGTYTTSHVKFTLPIQKRTGLSISAASTTATQVEGSATSSKASRILPFRVGHGFDLHRLEPGYPLIIGGIDVPHDRGCEAHSDGDVLLHCVVDAILGALGLPDIGQIFPDSDPKWKGAASSVFIKEAVRLMHEAGYEIGNLDATLILQRPKLSPHKESIRANLSELLGADPSVVNLKAKTHEKVDSLGENRSIAAHTVVLLMKK >Potri.004G000400.1.v4.1 pep chromosome:Pop_tri_v4:4:58875:59786:1 gene:Potri.004G000400.v4.1 transcript:Potri.004G000400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G000400.v4.1 MTSIGTTLSFVWLTMAICSLAQPADDQSPPVLDTSGQPLETGVEYYILPGITDVAGGLTLVNRNGIRCPFYVGQEPLASAEPNGTSVIFTPYTSGETIIRESRDLSVQFQALTICIQSTAWRVGEEDPETGRRFIVTAGDKSYFRIDNNGGVYNFSWCPTESCPNCARPRCGSAGILIEDDKRLLALDGPAFPFVFTRA >Potri.006G048500.3.v4.1 pep chromosome:Pop_tri_v4:6:3340771:3343338:1 gene:Potri.006G048500.v4.1 transcript:Potri.006G048500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048500.v4.1 MKGDVRTNSASSSIFLYTSKSTDAVIKNVSSSVDKDEELQHEKVKPYTRKWETSVMDTIDELDLIVKTENFRNNHHCDVKHDVPAVFFSTGGYTGNVYHEFNDGLLPLYITSQHFNKKVVFVILEYHDWWIMKYGDILSHLSDYPAIDFSGDKRTHCFPEAIVGLRIHDELTVDPSLMQGNKSVVDFRNVLDRAYLPRVQSLLKEEERLAQEKLKQKVHSSSSEIRKEVQDATLKRPKLVILSRNGSRAITNEDLLVKMAEEIGFRVEVVRPERTTELARIYGALNSSEVMIGVHGAAMTHFLFMRPGSVFIQVIPLGTEWAADAYYGEPARKLGLKYIGYQILPRESSLYDKYDRNDPVLRDPESVSEKGWQYTKSIYLDNQNVRLNLGRFQKRLLRAYSYTIAKMNRRSHL >Potri.006G048500.1.v4.1 pep chromosome:Pop_tri_v4:6:3340469:3343471:1 gene:Potri.006G048500.v4.1 transcript:Potri.006G048500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048500.v4.1 MVQYFRYHQLKKGEHHHAGEDEMLSLMSATSSGYFRRSRPKLFSLLILSLLSCCLILGAHLFCSPSAFSLLYSFGVESDGVAANVNAKASLCSSISNGTICCDRSSTRSDVCVMKGDVRTNSASSSIFLYTSKSTDAVIKNVSSSVDKDEELQHEKVKPYTRKWETSVMDTIDELDLIVKTENFRNNHHCDVKHDVPAVFFSTGGYTGNVYHEFNDGLLPLYITSQHFNKKVVFVILEYHDWWIMKYGDILSHLSDYPAIDFSGDKRTHCFPEAIVGLRIHDELTVDPSLMQGNKSVVDFRNVLDRAYLPRVQSLLKEEERLAQEKLKQKVHSSSSEIRKEVQDATLKRPKLVILSRNGSRAITNEDLLVKMAEEIGFRVEVVRPERTTELARIYGALNSSEVMIGVHGAAMTHFLFMRPGSVFIQVIPLGTEWAADAYYGEPARKLGLKYIGYQILPRESSLYDKYDRNDPVLRDPESVSEKGWQYTKSIYLDNQNVRLNLGRFQKRLLRAYSYTIAKMNRRSHL >Potri.010G104000.1.v4.1 pep chromosome:Pop_tri_v4:10:12614442:12615594:1 gene:Potri.010G104000.v4.1 transcript:Potri.010G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104000.v4.1 MYLVISGKVSVSDLFAFMALLSRSNFMLDFYILGLCPVYLTFFWELENLICNFCYQLVIFVEVPVTEDYVADKPWICLFYISIPLDSTLTIPFIADRNIVKGSFWFCFLPVEVHF >Potri.006G144400.1.v4.1 pep chromosome:Pop_tri_v4:6:12225339:12226928:1 gene:Potri.006G144400.v4.1 transcript:Potri.006G144400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144400.v4.1 MDSFYRKAIVLASALALALVSGGYAQDTLFPAIISFDFANHKPTGRFCNGKLTIDITAEALGFKTYAPAYLSPEASGKNLLIGVNFASAASGYDDKTAFLNNAIPLSLQLKHFKEYQTKLVKVAGGRKAASIIKDALYILSAGTADFFQNYYVNPSVNKVYTPDQYSSYLATTFSSFVKDLYSLGARKLGVTSLPPLGCVPEARNFFGYRGNDCLSWVNTVARQFNKNLNLAADNLRKQLPGLKIVVFDIYKPLEDLVKSPLTYGFVEARRGCCQTRTAGKISVLCNPRLPGTCPNATQFVFWDSVHLSHAANCFYL >Potri.004G169400.2.v4.1 pep chromosome:Pop_tri_v4:4:18671976:18674122:1 gene:Potri.004G169400.v4.1 transcript:Potri.004G169400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169400.v4.1 MNNTAGTFALLGSVVPRDAGVVMKLRKAGAIIFGKASMTEWAAFRSLTLPNGFSPRGGQGKNPYNLSADPCGSSSGSAISVAANMVAVSLGTETDGSILCPSNANSVVGIKPTVGLTSRAGVITISPRQDTIGPLCRTVSDAVHVLDAIVGVDSNDNETKAASKYIPRGGYKQYLKPKGVKGKRLGIVRNPFLSFVSEPESQAFENHLQTLRQEGAVLLDNLEIANISTILNFAASGEATALLAEFKISLNAYLKELVSSQVRTLADIIAFNQQFADLEYLSEYGQGIFLGAQATDGIGNAENAALSNLAELTRNGFQKLMKDNKLDALVTPGSSVSPVLAIGGFPGINVPSGYDNMGVPFGINFGGLKGTEPKLIHIAFGFEQATKIRKPPTFKSKEINNI >Potri.005G061366.1.v4.1 pep chromosome:Pop_tri_v4:5:3873392:3876025:-1 gene:Potri.005G061366.v4.1 transcript:Potri.005G061366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061366.v4.1 MMEIDDLQASIDLPLAPLSIKDPRDYFDSQQASALKTSRDTSIGNDPVRCTLSVEESYASLRDSISHIKNTGLVDPMVTPEVAAKVLLVLTHNISSTKYDLGKNPGLSVLDTLPNSIKEELMYHWTSLQELLRHYWSSYPITTMYLYNKVSRLKDAMSKIDSQLQELKESVQSDLRHQVTLLIRPMQQALEVAMQHYDADMQKRSS >Potri.013G150500.2.v4.1 pep chromosome:Pop_tri_v4:13:14689148:14690249:-1 gene:Potri.013G150500.v4.1 transcript:Potri.013G150500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150500.v4.1 MKKCELCKNPARTYCESDEANLCWNCDTKVHGANFLVARHARALLCQSCQSLTPWKASGSQLGHTVSVCERCMISNENNNREIQEQEENGSSDDIEEDSDSESDSDTNDDDGGDEDDGDGDNQVVPWSPTTPPPPPSASSSCSCTSSDDNDADFVESVNVVSFKRQRLQVCLYPNLQE >Potri.013G150500.1.v4.1 pep chromosome:Pop_tri_v4:13:14688630:14690268:-1 gene:Potri.013G150500.v4.1 transcript:Potri.013G150500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150500.v4.1 MKKCELCKNPARTYCESDEANLCWNCDTKVHGANFLVARHARALLCQSCQSLTPWKASGSQLGHTVSVCERCMISNENNNREIQEQEENGSSDDIEEDSDSESDSDTNDDDGGDEDDGDGDNQVVPWSPTTPPPPPSASSSCSCTSSDDNDADFVESVNVVSFKRQRLQDDLNRSYSLRMYGNTATPVECYSSSTRGSLKEKRKELDPTV >Potri.002G067201.1.v4.1 pep chromosome:Pop_tri_v4:2:4638106:4638541:-1 gene:Potri.002G067201.v4.1 transcript:Potri.002G067201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067201.v4.1 MEFFFASNLGKYLGFPLMHGKAKKSDFNFILERIQSKLATWKGRLLNRAKRVTIARSVINVILISYIQTLWLPQAICNGIDKIIKNFIWSRSATRRSINLVNWDTISRPRKSRGLEIRESRLANVVLLGKLV >Potri.004G130200.1.v4.1 pep chromosome:Pop_tri_v4:4:14681263:14685042:-1 gene:Potri.004G130200.v4.1 transcript:Potri.004G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130200.v4.1 MEFFNQAKAVRLKSHHDKYLVADEDEETVRQSRNGSSRRARWTVEFVQGNNHQIRLKSCYGKYLTASENPFLLGMAGKRVVQDMPVSVQDCSIDWEPRTEGFRVKLKTRGGKFLRANGSMPPWRNSVTHDIPHRTVTQDWVLWEVDVMDIIESDLQLKGYISPASSFPSSVGSQLSSSQRSDGDSQLVSSLASNTHSLARESAMELFEKAKSVRLRSHHDKYLLADDDEETVSQERNGTIRNAKWTVEIVGNSNAIRLKSCFGKYLTASNLPLLLGMRGKKVLQTLPAGRLDSSVEWEPIREGIQVRLRTRYGQYLRANGGVPPWRNHITHDIPHRSSTQDWILWDVDVVEIRVQDLTPPPPPRQRRPSDQIETAPNYEPSSPTCISTTPPKLTRQESDDSLEGSPVKNEGRVIHYSVSMNEDADDVEEHSFSFKGRMVEELKNKLEEETGLIDIQICSRNPLNGKFYPLRLHLPPNNTEMHVVVVPSSGRG >Potri.018G101600.3.v4.1 pep chromosome:Pop_tri_v4:18:12146934:12147916:-1 gene:Potri.018G101600.v4.1 transcript:Potri.018G101600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101600.v4.1 MGFNIGSALIMVGIVSCLLSVADAAEGIAVFYKPPYTPSKCYGNRNNGVMVAGVSDALWNGGAACGRKYRVSCVRGANQAPRPCRKGSVVVTVVDFCRKGCNGVINLSQDAFSRIADPDAGKVVVRYDQA >Potri.018G101600.4.v4.1 pep chromosome:Pop_tri_v4:18:12146934:12147914:-1 gene:Potri.018G101600.v4.1 transcript:Potri.018G101600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101600.v4.1 MVAGVSDALWNGGAACGRKYRVSCVRGANQAPRPCRKGSVVVTVVDFCRKGCNGVINLSQDAFSRIADPDAGKVVVRYDQA >Potri.002G008300.3.v4.1 pep chromosome:Pop_tri_v4:2:462951:463633:-1 gene:Potri.002G008300.v4.1 transcript:Potri.002G008300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008300.v4.1 MSRFGNSPRFSEAGDHFDNYSRFDSFSMNEGGFSPREELTRFDSINSSKDFGHSRAFSSFDDGDPFGSSAPFKVSSEDQTTKKSSGNWSSF >Potri.016G126100.4.v4.1 pep chromosome:Pop_tri_v4:16:12960903:12962817:-1 gene:Potri.016G126100.v4.1 transcript:Potri.016G126100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126100.v4.1 MSIDMEDSLRQSSALGFSSKNKKKWQRRRNGCESVEDTLAKWKKNNKLQITKVPGKGSKKGCMKGKGGPENKSCRYRGVRQRTWGKWVAEIREPVKKGSVTNKRRIRLWLGTFSTAIEAARAYDYAARAMYGPNAILNFPDYSHESGDQLGSLSSSMTATESKTTLDNYEDNKVERLKMGYCGSREVNKQSGSSGIYAVNESEEEVEKFQVAESSGRELKAEVWDLTNELMSSHHVEAEAPVLRGEMDGDLAEIVESWGCHGIDDRYDFLQNETENVEYKLKNEIAESRLSNRLHECLSSDHDMRTDRKSFYDAQMPLTTGEEFSGLTVGNSNHFEARHDEIDLGLCNPEIDIKPFIQGIPDSSALKGERNYGYVHGEVHAASQLQSGRPPELSWQLQSGRPPELSWQLQSPGTNLPGSLSYIQEADLGGGCNFDLSKQDINWGLVGEPELLINWGLVGEPELLDPWFPELQF >Potri.016G126100.3.v4.1 pep chromosome:Pop_tri_v4:16:12960729:12962880:-1 gene:Potri.016G126100.v4.1 transcript:Potri.016G126100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126100.v4.1 MSIDMEDSLRQSSALGFSSKNKKKWQRRRNGCESVEDTLAKWKKNNKLQITKVPGKGSKKGCMKGKGGPENKSCRYRGVRQRTWGKWVAEIREPVKKGSVTNKRRIRLWLGTFSTAIEAARAYDYAARAMYGPNAILNFPDYSHESGDQLGSLSSSMTATESKTTLDNYEDNKVERLKMGYCGSREVNKQSGSSGIYAVNESEEEVEKFQVAESSGRELKAEVWDLTNELMSSHHVEAEAPVLRGEMDGDLAEIVESWGCHGIDDRYDFLQNETENVEYKLKNEIAESRLSNRLHECLSSDHDMRTDRKSFYDAQMPLTTGEEFSGLTVGNSNHFEARHDEIDLGLCNPEIDIKPFIQGIPDSSALKGERNYGYVHGEVHAASQLQSGRPPELSWQLQSGRPPELSWQLQSPGTNLPGSLSYIQEADLGGGCNFDLSKQDINWGLVGEPELLINWGLVGEPELLDPWFPELQF >Potri.007G093700.1.v4.1 pep chromosome:Pop_tri_v4:7:11916863:11920512:1 gene:Potri.007G093700.v4.1 transcript:Potri.007G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093700.v4.1 MLSLPTLTFPPKPLSIIPKSSFSGIQIRQKCPVSVLLPSIKMTRSSVVMMAKKEEELKEIRAKTTEEINEEVVDLKGELLMLRLQKSTRNEFKSSEFRRMRKRIARMLTVKREREIVEGVGKRLSRKLDRQWKRSIVVRPPPSLKKLQEEEAAAEAEKSA >Potri.018G145536.1.v4.1 pep chromosome:Pop_tri_v4:18:15314787:15316301:-1 gene:Potri.018G145536.v4.1 transcript:Potri.018G145536.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145536.v4.1 MKAATKNFDAANNVGEGDFASVFKGSLSDGTVIAVMLLSSKSKQGNREFVNEIGMISALQHPNPCKVVWMLCWRKPIYACVVYMENNCRSRALFGILPGLCFARERKSFRGG >Potri.010G224800.1.v4.1 pep chromosome:Pop_tri_v4:10:20883193:20885782:1 gene:Potri.010G224800.v4.1 transcript:Potri.010G224800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224800.v4.1 MAAAAPPPPPTPAPSAPTGLPDSDSTPVGHPLFSRIRLATPLDVPNIQKLIHQMAIFEKLTHLFTATESDLASTLFKSPPFHSFTIFLLEVSSRPFEKDSNLHSQNFTPIERIINLDHSLSDPEAETFRNGINGDAVVAGFVLFFPNYSTFLGKPGFYVEDLFVRECYRRKGLGKMLLSAVASQAVKMGYGRVEWVVLDWNVNAIKFYEEMGANVLPEWRICRITGPALEAYRDAI >Potri.010G169100.1.v4.1 pep chromosome:Pop_tri_v4:10:17122571:17127287:1 gene:Potri.010G169100.v4.1 transcript:Potri.010G169100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169100.v4.1 MRRLHVRNRVGSNSTDQTDQHKMDPAIKLHTRSIKSKLITIITLIVLLSCYYLFKSKTKSFSKKYGIIIDGGSTGTRIHVFGYRIGSGGKAVFDFEEGALKVNPGLSAYAEDPEGAGGSVEELVEFGKGRVPRELWGETEVRLMATAGVRLLDLEVQDQILNVCRRVLRKSGFKFQDSWASVITGSDEGLYAWVVANYALGALGGDPLETSGIIELGGASAQVTFVSTEPVPPEFSRTIKFGNISYNIYSHSFLHLGQIAAFEALRESLVSGDHHLAAAESLEKGIFKDPCTPKGYSHVVESWKLSPGSLTEKNRFVSTLHSRGNFSECRSAALTLLQKGKERCSYQHCHIGSIFVPKLQGKFLATENFFHTSKFFGLDQRAFLLNLMIAGEQFCGEDWSRLKKKHQSFKDEDLALYCFSAAYIVALLHDSLGIAIDDQRIGFANQVGNIPLDWASGAFILYTNAALDMEEHSDWIVTIISDDPLTLLSLIGIAIVLIFVAWPISKWGKHQLKTFYDLERGWYIVTRGGKS >Potri.010G169100.2.v4.1 pep chromosome:Pop_tri_v4:10:17122537:17127848:1 gene:Potri.010G169100.v4.1 transcript:Potri.010G169100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169100.v4.1 MRRLHVRNRVGSNSTDQTDQHKMDPAIKLHTRSIKSKLITIITLIVLLSCYYLFKSKTKSFSKKYGIIIDGGSTGTRIHVFGYRIGSGGKAVFDFEEGALKVNPGLSAYAEDPEGAGGSVEELVEFGKGRVPRELWGETEVRLMATAGVRLLDLEVQDQILNVCRRVLRKSGFKFQDSWASVITGSDEGLYAWVVANYALGALGGDPLETSGIIELGGASAQVTFVSTEPVPPEFSRTIKFGNISYNIYSHSFLHLGQIAAFEALRESLVSGDHHLAAESLEKGIFKDPCTPKGYSHVVESWKLSPGSLTEKNRFVSTLHSRGNFSECRSAALTLLQKGKERCSYQHCHIGSIFVPKLQGKFLATENFFHTSKFFGLDQRAFLLNLMIAGEQFCGEDWSRLKKKHQSFKDEDLALYCFSAAYIVALLHDSLGIAIDDQRIGFANQVGNIPLDWASGAFILYTNAALDMEEHSDWIVTIISDDPLTLLSLIGIAIVLIFVAWPISKWGKHQLKTFYDLERGWYIVTRGGKS >Potri.011G121800.2.v4.1 pep chromosome:Pop_tri_v4:11:15229435:15232193:-1 gene:Potri.011G121800.v4.1 transcript:Potri.011G121800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121800.v4.1 MEADLMHHDNQEDGAEEEDYVLLDLDAVFAQVDISPDTPYVLSGLHTAEPILIIDDKVKLIGKYEDTIGTCFVFSENEAAPLVLEETGPSEANLFAGRCIVDPNQTPTKEVKPVAQLQKILKFRLLMDEDVQDVNMESKT >Potri.004G026350.1.v4.1 pep chromosome:Pop_tri_v4:4:2011378:2015762:1 gene:Potri.004G026350.v4.1 transcript:Potri.004G026350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G026350.v4.1 MLFIFSCILLHLASLTIAQTQFCSNDVGNYTTNSNFRRNLNSVLSSLDSNTQIDYGFYNLSVGQPGPDQANVIALCRGDNIGIDECQNCIGNSSGNILQFCPNQKEAFGIADTCILRYSNKSIFGVMEDNPVSILVNTVNATDVNQFNQALQTLMSRLRSTAASGNSLRKFATGNETAGFDTIFGLVQCTPDLSEPQCDDCIAGAIRVIATCCDGRQGARITSPSCNLRYEVYRFFGVTPATPQLPASPSPQAPSVPPPPPPAEGKKRNNARTIIIIVVSTVSVVILMISCICLYLRIRKPEENVETVEEMITAESLQFDFSTIRAATDNFSEENKLGQGGFGSVYKGTLSNGQEVAVKRLSKDSGQGDLEFKNEVLLVAKLQHRNLVRLQGFCLQGIERLLIYEFVPNASLDHFIFNQARRAQLDWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDADMNPKISDFGMARLFVMDETQGNTSRIVGTYGYMAPEYAMHGQFSVKSDVFSFGVLILEIVSGQKNNCFRNGETVEDLLSYAWRNWREGTGLNVIDPALSTGSRTEMMRCIHIGLLCVQENIADRPTMASIVLMLSSYSLTLPVPSQPAFFMNSSTYQSDISSSMDYNSRVTNSSEAKTEALPLSANEASITELYPR >Potri.010G145832.1.v4.1 pep chromosome:Pop_tri_v4:10:15709433:15721661:1 gene:Potri.010G145832.v4.1 transcript:Potri.010G145832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145832.v4.1 MSSIVEQGYGVGDVISLLWFKRSLPRYCTHFMEICIMLCADHGPCVSGAHNTIVTARAGKDLVSSLVSGLLTIGPRFGGAIDDASRYFKDAYDKGLTPYEFVEGMKKKGIRVPGIGHRIKRGDNRDKRVELLQRFARTHFPSVKYMEYAVLVETYTLSKANNLVLNIDGAIGSLFLDLLAGSRMFTKPEIDEIVGIGYLNGLFVLARSIGLIGHTFDQKRLKQPLYRHPWEDVLYTK >Potri.006G033900.1.v4.1 pep chromosome:Pop_tri_v4:6:2121536:2124306:-1 gene:Potri.006G033900.v4.1 transcript:Potri.006G033900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033900.v4.1 MESQDNKKSHEEKQEEVKIKEDDKRKKLGGIKATPFILATEIFDRFSTIGFHANMITYLTQQLNLPLVRASNIVSNFDGTASLTPLIGALIADSFAGRFWAIIVGSIIFELGLISFTTTALLKSLHPPPCPTLVDCKEASNFQLSTLYLSLLLLAIGLGGTRPCVMTYAADQLDMSKSSVESRSWNFFNWYYFSLGLARLTAVSVVVYIQDNVSWGWGLGIPTIAMGIAFIVFLAGSPLYTKVKPGGSPLVRVTQVIVSAIRKRKAVAPEDSSLLYQNKELDAAISVHGRLLHTPQFKWLDKAAVMKDGEATDSNPPNPWKIATVHRVEELKSFLRLLPVWAAGILLVTANSHSGSFNTQQAWTMKRHLSNSFQIPPASMSVFGILTGMTGLVLYERLFVPFVRRFTGTPAGITYLQRMGIGLIFNILTSIVSALVEKKRRTVAEHHNLLDNPKATVPISVFWLVPQLSLHGISEIFMTVGQLEFLYDQSPESMRSIALGLFWIANSMGNYLGTFMVSLVHKYTGHKNNWLPDRNLNRGKLDYYYWLVTGIQVVNFVYFVICAWFYNYKPLEEDMEADFKASEDGAAGNGEVELGTTEKV >Potri.016G135900.2.v4.1 pep chromosome:Pop_tri_v4:16:14007278:14008308:-1 gene:Potri.016G135900.v4.1 transcript:Potri.016G135900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G135900.v4.1 MVDIPNEDLPSLATGTLNFSLEWLQSNIFSNIPTAQVKYIAVGNEVFLKDPFYTPYYIKLSSPQAASVLSLSYPPSSTAFDPYLHSVMIPLMKFLHDTGSPFMVNEHISLDYALFRNQNVAQDGGFLYANLLDASVDAFAYAMEREGFQGIKIVVSETGWATGGGEAASVANAMAYNENVVRRVANYVGTPRQPNEEMEVYLFDLFDENEKNGEEFT >Potri.016G002800.7.v4.1 pep chromosome:Pop_tri_v4:16:144873:148926:1 gene:Potri.016G002800.v4.1 transcript:Potri.016G002800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002800.v4.1 MSRLSGTLLCLVLAIILLSGSFPVGESAIGVNWGTISFHRLKPSTVVDLLKDNKIKRVKLFDADPGSLTALMGSGIEVMVGIPNEMLAALSSSTDASDLWVRQNVSRYVVKGGADIRYVAVGNEPFLTSYSGQFQSFVVPALLNLQQSLVKANLAGYVKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVSFLNSNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGSTHPVTDGSNVYYNAFDGNFDTLVAALNKLGYGQMPIVIGEVGWPTDGAIGANLTAARVFNQGLIKHVLSNKGTPLRPDAPPMDIYLFALLDEGAKSVLPGNFERHWGIFSFDGQAKYALNLGLGNKLLKNAKNVEYLPSRWCVADPSKDLTSVANHLRIACSAADCTTLNYGGSCNEIGAKGNISYAFNSYYQLQMQNAQSCDFDGLGMVTFLDPSVGDCRFPVGVNDHKSSLAFRSCRRWTTIWTSILGGVWFFLML >Potri.016G002800.5.v4.1 pep chromosome:Pop_tri_v4:16:144865:149034:1 gene:Potri.016G002800.v4.1 transcript:Potri.016G002800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002800.v4.1 MSRLSGTLLCLVLAIILLSGSFPVGESAIGVNWGTISFHRLKPSTVVDLLKDNKIKRVKLFDADPGSLTALMGSGIEVMVGIPNEMLAALSSSTDASDLWVRQNVSRYVVKGGADIRYVAVGNEPFLTSYSGQFQSFVVPALLNLQQSLVKANLAGYVKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVSFLNSNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGSTHPVTDGSNVYYNAFDGNFDTLVAALNKLGYGQMPIVIGEVGWPTDGAIGANLTAARVFNQGLIKHVLSNKGTPLRPDAPPMDIYLFALLDEGAKSVLPGNFERHWGIFSFDGQAKYALNLGLGNKLLKNAKNVEYLPSRWCVADPSKDLTSVANHLRIACSAADCTTLNYGGSCNEIGAKGNISYAFNSYYQLQMQNAQSCDFDGLGMVTFLDPSVGDCRFPVGVNDHKSSLAFRSCRRWTTIWTSILGGVWFFLML >Potri.016G002800.6.v4.1 pep chromosome:Pop_tri_v4:16:144873:148929:1 gene:Potri.016G002800.v4.1 transcript:Potri.016G002800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002800.v4.1 MSRLSGTLLCLVLAIILLSGSFPVGESAIGVNWGTISFHRLKPSTVVDLLKDNKIKRVKLFDADPGSLTALMGSGIEVMVGIPNEMLAALSSSTDASDLWVRQNVSRYVVKGGADIRYVAVGNEPFLTSYSGQFQSFVVPALLNLQQSLVKANLAGYVKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVSFLNSNGSPFVVNIYPFLSLYGNSDFPQDYAFFEGSTHPVTDGSNVYYNAFDGNFDTLVAALNKLGYGQMPIVIGEVGWPTDGAIGANLTAARVFNQGLIKHVLSNKGTPLRPDAPPMDIYLFALLDEGAKSVLPGNFERHWGIFSFDGQAKYALNLGLGNKLLKNAKNVEYLPSRWCVADPSKDLTSVANHLRIACSAADCTTLNYGGSCNEIGAKGNISYAFNSYYQLQMQNAQSCDFDGLGMVTFLDPSVGDCRFPVGVNDHKSSLAFRSCRRWTTIWTSILGGVWFFLML >Potri.013G091900.2.v4.1 pep chromosome:Pop_tri_v4:13:9438700:9446107:1 gene:Potri.013G091900.v4.1 transcript:Potri.013G091900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091900.v4.1 MASGIDADAPLDYATIQIFPTKNRYEIFVCGDDEVEKLAVGLLEQILPHLPEVHKMYAKGSNAIFKLQVTGELSNVPWFTKSTLNRFLQLAGSPDLVNTSKIIEGEISQLEEARKFHHSLYAQGHQDRSHSGETDGNDSIETEPTLKAEVKIALSDTSKNELLRAMDLRLTALKRELATALNCAFGDICSCKEITYLVEFCEYFGATVLKNSLCKILELSQKGEAAVLLNDDKNSSTIDEVSKMDEDTRISRPIYSILPVKYGVSPAKAAQVERQSSIDSEESSDSSDQNKKSAERSRAISRSAAPRRSASPMRRVQIGRTGSHRAAALTIKSLNFYPNRERTSSHRDEAEISREDEGSEQSNKKPESNVRRMSVQDAISLFERRQKDPSIDAQKKSSSSNISLCTNKAVLRRWSSGVAECSSLCQQELSSEDSVPLPCNDIADKEISKNLIQEKLESDITSGCQNPVDTAEADGELERWEEKGQHVVDFETDANAAHGKERNGRTPDSVEWSRQKEAELNQMLMKMMKSRPVKTRKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENAEKRAEKEAEFRVMQQILDGRKAEIAAVDVQDVGKKHLPSTAQKSIKNPSQPANLRKDSPKSSVTKKVSSKTSNLPANRKSWPSTPPIREPLSSLSKTPSGISSAGATLRSRKPQPTTSLPRSNPKVERSQPQHRNVKETRTEADRRLKGVKEKMQQTVMKSGKTKKTKVAAVAEDCSDVVPSKPSFYNKVTKKSSVVPVELKPFLRKGSRSGPPIVKKTRASQLLESSVNCGNKSETKEKVTKENEVVVNASVQISEHEDQDDVPASHFDAATELETVENGHQNSGEMENFNELVTDADDSFKYMVQSSASFQFHEDSVISPSAWVEIEEQQNLPSTNDDTTQHSSPVLVAPVGLPSQGVRHSLSQMLQEDNNSEPDTVEWGNAENPPAVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPYVFSEGEDDGEESKAINKRNTDNLQRKAALHSNDHGKQQSSFFEGYDRNLKAHELPLAQSNISKFNAQSSHQLHKGHFSTAASTTKATRSFFSLSAFRGSKPNETKFH >Potri.013G091900.9.v4.1 pep chromosome:Pop_tri_v4:13:9438875:9446108:1 gene:Potri.013G091900.v4.1 transcript:Potri.013G091900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091900.v4.1 MASGIDADAPLDYATIQIFPTKNRYEIFVCGDDEVEKLAVGLLEQILPHLPEVHKMYAKGSNAIFKLQVTGELSNVPWFTKSTLNRFLQLAGSPDLVNTSKIIEGEISQLEEARKFHHSLYAQGHQDRSHSGETDGNDSIETEPTLKAEVKIALSDTSKNELLRAMDLRLTALKRELATALNCAFGDICSCKEITYLVEFCEYFGATVLKNSLCKILELSQKGEAAVLLNDDKNSSTIDEVSKMDEDTRISRPIYSILPVKYGVSPAKAAQVERQSSIDSEESSDSSDQNKKSAERSRAISRSAAPRRSASPMRRVQIGRTGSHRAAALTIKSLNFYPNRERTSSHRDEAEISREDEGSEQSNKKPESNVRRMSVQDAISLFERRQKDPSIDAQKKSSSSNISLCTNKAVLRRWSSGVAECSSLCQQELSSEDSVPLPCNDIADKEISKNLIQEKLESDITSGCQNPVDTAEADGELERWEEKGQHVVDFETDANAAHGKERNGRTPDSVEWSRQKEAELNQMLMKMMKSRPVKTRKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENAEKRAEKEAEFRVMQQILDGRKAEIAAVDVQDVGKKHLPSTAQKSIKNPSQPANLRKDSPKSSVTKKVSSKTSNLPANRKSWPSTPPIREPLSSLSKTPSGISSAGATLRSRKPQPTTSLPRSNPKVERSQPQHRNVKETRTEADRRLKGVKEKMQQTVMKSGKTKKTKVAAVAEDCSDVVPSKPSFYNKVTKKSSVVPVELKPFLRKGSRSGPPIVKKTRASQLLESSVNCGNKSETKEKVTKENEVVVNASVQISEHEDQDDVPASHFDAATELETVENGHQNSGEMENFNELVTDADDSFKYMVQSSASFQFHEDSVISPSAWVEIEEQQNLPSTNDDTTQHSSPVLVAPVGLPSQGVRHSLSQMLQEDNNSEPDTVEWGNAENPPAVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPYVFSEGEDDGEESKAINKRNTDNLQRKAALHSNDHGKQQSSFFEGYDRNLKAHELPLAQSNISKFNAQSSHQLHKGHFSTAASTTKATRSFFSLSAFRGSKPNETKFH >Potri.013G091900.5.v4.1 pep chromosome:Pop_tri_v4:13:9438881:9446062:1 gene:Potri.013G091900.v4.1 transcript:Potri.013G091900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091900.v4.1 MASGIDADAPLDYATIQIFPTKNRYEIFVCGDDEVEKLAVGLLEQILPHLPEVHKMYAKGSNAIFKLQVTGELSNVPWFTKSTLNRFLQLAGSPDLVNTSKIIEGEISQLEEARKFHHSLYAQGHQDRSHSGETDGNDSIETEPTLKAEVKIALSDTSKNELLRAMDLRLTALKRELATALNCAFGDICSCKEITYLVEFCEYFGATVLKNSLCKILELSQKGEAAVLLNDDKNSSTIDEVSKMDEDTRISRPIYSILPVKYGVSPAKAAQVERQSSIDSEESSDSSDQNKKSAERSRAISRSAAPRRSASPMRRVQIGRTGSHRAAALTIKSLNFYPNRERTSSHRDEAEISREDEGSEQSNKKPESNVRRMSVQDAISLFERRQKDPSIDAQKKSSSSNISLCTNKAVLRRWSSGVAECSSLCQQELSSEDSVPLPCNDIADKEISKNLIQEKLESDITSGCQNPVDTAEADGELERWEEKGQHVVDFETDANAAHGKERNGRTPDSVEWSRQKEAELNQMLMKMMKSRPVKTRKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENAEKRAEKEAEFRVMQQILDGRKAEIAAVDVQDVGKKHLPSTAQKSIKNPSQPANLRKDSPKSSVTKKVSSKTSNLPANRKSWPSTPPIREPLSSLSKTPSGISSAGATLRSRKPQPTTSLPRSNPKVERSQPQHRNVKETRTEADRRLKGVKEKMQQTVMKSGKTKKTKVAAVAEDCSDVVPSKPSFYNKVTKKSSVVPVELKPFLRKGSRSGPPIVKKTRASQLLESSVNCGNKSETKEKVTKENEVVVNASVQISEHEDQDDVPASHFDAATELETVENGHQNSGEMENFNELVTDADDSFKYMVQSSASFQFHEDSVISPSAWVEIEEQQNLPSTNDDTTQHSSPVLVAPVGLPSQGVRHSLSQMLQEDNNSEPDTVEWGNAENPPAVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPYVFSEGEDDGEESKAINKRNTDNLQRKAALHSNDHGKQQSSFFEGYDRNLKAHELPLAQSNISKFNAQSSHQLHKGHFSTAASTTKATRSFFSLSAFRGSKPNETKFH >Potri.013G091900.4.v4.1 pep chromosome:Pop_tri_v4:13:9438724:9446105:1 gene:Potri.013G091900.v4.1 transcript:Potri.013G091900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091900.v4.1 MQVVGMASGIDADAPLDYATIQIFPTKNRYEIFVCGDDEVEKLAVGLLEQILPHLPEVHKMYAKGSNAIFKLQVTGELSNVPWFTKSTLNRFLQLAGSPDLVNTSKIIEGEISQLEEARKFHHSLYAQGHQDRSHSGETDGNDSIETEPTLKAEVKIALSDTSKNELLRAMDLRLTALKRELATALNCAFGDICSCKEITYLVEFCEYFGATVLKNSLCKILELSQKGEAAVLLNDDKNSSTIDEVSKMDEDTRISRPIYSILPVKYGVSPAKAAQVERQSSIDSEESSDSSDQNKKSAERSRAISRSAAPRRSASPMRRVQIGRTGSHRAAALTIKSLNFYPNRERTSSHRDEAEISREDEGSEQSNKKPESNVRRMSVQDAISLFERRQKDPSIDAQKKSSSSNISLCTNKAVLRRWSSGVAECSSLCQQELSSEDSVPLPCNDIADKEISKNLIQEKLESDITSGCQNPVDTAEADGELERWEEKGQHVVDFETDANAAHGKERNGRTPDSVEWSRQKEAELNQMLMKMMKSRPVKTRKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENAEKRAEKEAEFRVMQQILDGRKAEIAAVDVQDVGKKHLPSTAQKSIKNPSQPANLRKDSPKSSVTKKVSSKTSNLPANRKSWPSTPPIREPLSSLSKTPSGISSAGATLRSRKPQPTTSLPRSNPKVERSQPQHRNVKETRTEADRRLKGVKEKMQQTVMKSGKTKKTKVAAVAEDCSDVVPSKPSFYNKVTKKSSVVPVELKPFLRKGSRSGPPIVKKTRASQLLESSVNCGNKSETKEKVTKENEVVVNASVQISEHEDQDDVPASHFDAATELETVENGHQNSGEMENFNELVTDADDSFKYMVQSSASFQFHEDSVISPSAWVEIEEQQNLPSTNDDTTQHSSPVLVAPVGLPSQGVRHSLSQMLQEDNNSEPDTVEWGNAENPPAVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPYVFSEGEDDGEESKAINKRNTDNLQRKAALHSNDHGKQQSSFFEGYDRNLKAHELPLAQSNISKFNAQSSHQLHKGHFSTAASTTKATRSFFSLSAFRGSKPNETKFH >Potri.013G091900.10.v4.1 pep chromosome:Pop_tri_v4:13:9438923:9446063:1 gene:Potri.013G091900.v4.1 transcript:Potri.013G091900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091900.v4.1 MASGIDADAPLDYATIQIFPTKNRYEIFVCGDDEVEKLAVGLLEQILPHLPEVHKMYAKGSNAIFKLQVTGELSNVPWFTKSTLNRFLQLAGSPDLVNTSKIIEGEISQLEEARKFHHSLYAQGHQDRSHSGETDGNDSIETEPTLKAEVKIALSDTSKNELLRAMDLRLTALKRELATALNCAFGDICSCKEITYLVEFCEYFGATVLKNSLCKILELSQKGEAAVLLNDDKNSSTIDEVSKMDEDTRISRPIYSILPVKYGVSPAKAAQVERQSSIDSEESSDSSDQNKKSAERSRAISRSAAPRRSASPMRRVQIGRTGSHRAAALTIKSLNFYPNRERTSSHRDEAEISREDEGSEQSNKKPESNVRRMSVQDAISLFERRQKDPSIDAQKKSSSSNISLCTNKAVLRRWSSGVAECSSLCQQELSSEDSVPLPCNDIADKEISKNLIQEKLESDITSGCQNPVDTAEADGELERWEEKGQHVVDFETDANAAHGKERNGRTPDSVEWSRQKEAELNQMLMKMMKSRPVKTRKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENAEKRAEKEAEFRVMQQILDGRKAEIAAVDVQDVGKKHLPSTAQKSIKNPSQPANLRKDSPKSSVTKKVSSKTSNLPANRKSWPSTPPIREPLSSLSKTPSGISSAGATLRSRKPQPTTSLPRSNPKVERSQPQHRNVKETRTEADRRLKGVKEKMQQTVMKSGKTKKTKVAAVAEDCSDVVPSKPSFYNKVTKKSSVVPVELKPFLRKGSRSGPPIVKKTRASQLLESSVNCGNKSETKEKVTKENEVVVNASVQISEHEDQDDVPASHFDAATELETVENGHQNSGEMENFNELVTDADDSFKYMVQSSASFQFHEDSVISPSAWVEIEEQQNLPSTNDDTTQHSSPVLVAPVGLPSQGVRHSLSQMLQEDNNSEPDTVEWGNAENPPAVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPYVFSEGEDDGEESKAINKRNTDNLQRKAALHSNDHGKQQSSFFEGYDRNLKAHELPLAQSNISKFNAQSSHQLHKGHFSTAASTTKATRSFFSLSAFRGSKPNETKFH >Potri.013G091900.11.v4.1 pep chromosome:Pop_tri_v4:13:9438926:9445141:1 gene:Potri.013G091900.v4.1 transcript:Potri.013G091900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091900.v4.1 MQVVGMASGIDADAPLDYATIQIFPTKNRYEIFVCGDDEVEKLAVGLLEQILPHLPEVHKMYAKGSNAIFKLQVTGELSNVPWFTKSTLNRFLQLAGSPDLVNTSKIIEGEISQLEEARKFHHSLYAQGHQDRSHSGETDGNDSIETEPTLKAEVKIALSDTSKNELLRAMDLRLTALKRELATALNCAFGDICSCKEITYLVEFCEYFGATVLKNSLCKILELSQKGEAAVLLNDDKNSSTIDEVSKMDEDTRISRPIYSILPVKYGVSPAKAAQVERQSSIDSEESSDSSDQNKKSAERSRAISRSAAPRRSASPMRRVQIGRTGSHRAAALTIKSLNFYPNRERTSSHRDEAEISREDEGSEQSNKKPESNVRRMSVQDAISLFERRQKDPSIDAQKKSSSSNISLCTNKAVLRRWSSGVAECSSLCQQELSSEDSVPLPCNDIADKEISKNLIQEKLESDITSGCQNPVDTAEADGELERWEEKGQHVVDFETDANAAHGKERNGRTPDSVEWSRQKEAELNQMLMKMMKSRPVKTRKPKTVKNQNIPSEQRGGFYDHYKEKRDRKLRGENAEKRAEKEAEFRVMQQILDGRKAEIAAVDVQDVGKKHLPSTAQKSIKNPSQPANLRKDSPKSSVTKKVSSKTSNLPANRKSWPSTPPIREPLSSLSKTPSGISSAGATLRSRKPQPTTSLPRSNPKVERSQPQHRNVKETRTEADRRLKGVKEKMQQTVMKSGKTKKTKVAAVAEDCSDVVPSKPSFYNKVTKKSSVVPVELKPFLRKGSRSGPPIVKKTRASQLLESSVNCGNKSETKEKVTKENEVVVNASVQISEHEDQDDVPASHFDAATELETVENGHQNSGEMENFNELVTDADDSFKYMVQSSASFQFHEDSVISPSAWVEIEEQQNLPSTNDDTTQHSSPVLVAPVGLPSQGVRHSLSQMLQEDNNSEPDTVEWGNAENPPAVVYQKDAPKGLKRLLKFARKSKGDANMTGWSSPYVFSEGEDDGEESKAINKRNTDNLQRKAALHSNDHGKQQSSFFEGYDRNLKAHELPLGTFASWL >Potri.004G183300.1.v4.1 pep chromosome:Pop_tri_v4:4:19718778:19722966:1 gene:Potri.004G183300.v4.1 transcript:Potri.004G183300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183300.v4.1 MDTAGNLKTSSIELDLDRPNIEDYLPSGSSIQEPRGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVIRYGILFPVRVLVLAIGWIIFLSSYIPVHFLLKGHDKLRKKIERCLVELICMFFVASWTGVVKYHGPRPSIRPKQVFVSNHTSMIDFIILEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRAEAKDREIVAKKLRDHVQEADNNPLLIFPEGTCVNNHYTVMFKKGAFELDSTVCPIAIKYNKIFVDAFWNSRKQSFTKHLLQLMTSWAVVCDVWYLEPQNLRPGETAIEFAERVRDIISVRAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLRCLQEK >Potri.014G085300.1.v4.1 pep chromosome:Pop_tri_v4:14:5485775:5494109:1 gene:Potri.014G085300.v4.1 transcript:Potri.014G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085300.v4.1 MNICKNKYVFIVVLASSFCFGSASDIVHQDDVAPKRPGCENNFVLVKVPTYINDVEDIEYVGVGARFGLTLESKEKHANLSTLALADPPDCCSKPRNKLSGEVILAYRGNCSFTAKANVAEDAGASAILIINNRTELFKMVCEVNETDVKIGIAAVMLPQDAGASLEKYLTSSSTVKVQLYSPRRPVVDVAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDGSDEFIDMDGVRSSGIVNINTASAVLFVVIASCFLIMLYKLMSYWFIEVLVVLFCIGGVEGLQTCLVALLSCFRWFQPAGESFIKVPFFGAVSYLTLAVSPFCIAFAVVWAVFRRVSFAWIGQDILGIVLIITVLQIVRVPNLKVGTVLLSCAFLYDIFWVFVSKWWFKESVMIVVARGDKSGEDGIPMLLKIPRMFDPWGGYSIIGFGDIILPGLLVAFSLRYDWLAKKNLRAGYFLWAMTAYGLGLLITYLALNMMDGHGQPALLYIVPFTLGTFLTLGRRRGDLKTLWTMGEPERHCPHIQFQPPGSQQ >Potri.001G296800.1.v4.1 pep chromosome:Pop_tri_v4:1:30749770:30754280:-1 gene:Potri.001G296800.v4.1 transcript:Potri.001G296800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296800.v4.1 MKIKTKRSKRKLGLPAAILLCSLFFLGGLYSSTFISNDVPVIKPRLRMLEVAEVDHDAMPHGDTGEAFIESIPFQVLSWKPRALYFPNFATPEQCESIIKMAKSKLKPSTLALRKGETTESTKGTRTSSGTFIGGSEDKTGTLDFIERKIAKATMIPQSHGEAFNILRYEIGQKYDSHYDAFNPDEYGPQPSQRVASFLLYLSSVEEGGETMFPFENGSAVSSGFEYKQCVGLKVKPRQGDGLLFYSLFPNGTIDRTSLHGSCPVIKGEKWVATKWIRDQMELD >Potri.019G014312.1.v4.1 pep chromosome:Pop_tri_v4:19:1921938:1925457:1 gene:Potri.019G014312.v4.1 transcript:Potri.019G014312.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014312.v4.1 MKCKFCQRTWANKTSISRFKWHLSGEEGRGVAICRGVTKEVKEAAFLAICGGHKRQKITASSVNVNDCGIPTCLQELNIENENTGGGVGRVQREVQVVEPGVVEERISSHAIAGNDVGSMTGMRAQEDRVSEGALESRLRTEPVDRSLEQSNAVLGNVAGGARRIQVGVQGMEQDPGEERIQSHLQAENGMENTGEGSFQHDAFETVPRTEKVQTLEPGGDSSQFCHGIGRCYDQPCAPSVNNDVTSHDEQHMVRVMTQPVQEEEDVENSGRSVVQAGAGARSSESLKYNKTRGVPLPTSSTKPVGQAFEENTKVIWSLLMDDEVPTIGIYGMGGVGKTIILKHIHNELLQRPDIYDHVWWVTVSQDFNINRLQNLIATQLHLNLSREDDDLHRAAKLSEELKREQKWILILDDLWNNFELEEVGIPEKLKGCKLIMTTRSKTVCHQMACHRKIKVKPLSEGEAWTLFMEKLGCGIALSREVEGIAKVVAKECAGLPLGIITMAGSLRGVDDLHEWRNTLKKLRESEFRDMDEKVFKLLRLSYDRLGNLALQQCLLYCALFPEDYRIKRKRLIGYLIDEGIIKRRSRGDAFDKGHTMLNRLENVCLLESAKMNYDDSRRVKMHDMYYDDCRRVKMHDLIRDMAIQILLENSQGMVKAGAQLKELPDAEEWTENLTMVSLMRNEIEEIPSSYSPRCPYLSTLFLCDNEGLGFIADSFFKQLHGLKVLDLSGTGIENLPDSVSDLVSLTALLLKKCENLRHVPSLEKLRALKRLDLYGTPLKKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEELMGECSDYAPITVKGKEVGSLRNLESLECHFKGFSDFVEYLRSRDGIQSLSTYRISVGMLDESYWFGTDFLSKTVGLGNLSINGDGDFQVKFLNGIQGLVCECIDAKSLCDVLSLENATELELINIRNCNSMESLVSSSWFCYAPPRLPSYNGTFSGLKEFYCVRCKSMKKLFPLVLLPNFVNLEVIVVEDCEKMEEIIGTTDEESNTSSSIAELKLPKLRALRLRYLPELKSICSAKLICNSLEDITVMYCEKLKRMPICLPLLENGQPSPPPSLKKIEARPKEWWETVVEWEHPNAKDVLRPFVKFGYW >Potri.019G014312.2.v4.1 pep chromosome:Pop_tri_v4:19:1922462:1925513:1 gene:Potri.019G014312.v4.1 transcript:Potri.019G014312.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014312.v4.1 MEQDPGEERIQSHLQAENGMENTGEGSFQHDAFETVPRTEKVQTLEPGGDSSQFCHGIGRCYDQPCAPSVNNDVTSHDEQHMVRVMTQPVQEEEDVENSGRSVVQAGAGARSSESLKYNKTRGVPLPTSSTKPVGQAFEENTKVIWSLLMDDEVPTIGIYGMGGVGKTIILKHIHNELLQRPDIYDHVWWVTVSQDFNINRLQNLIATQLHLNLSREDDDLHRAAKLSEELKREQKWILILDDLWNNFELEEVGIPEKLKGCKLIMTTRSKTVCHQMACHRKIKVKPLSEGEAWTLFMEKLGCGIALSREVEGIAKVVAKECAGLPLGIITMAGSLRGVDDLHEWRNTLKKLRESEFRDMDEKVFKLLRLSYDRLGNLALQQCLLYCALFPEDYRIKRKRLIGYLIDEGIIKRRSRGDAFDKGHTMLNRLENVCLLESAKMNYDDSRRVKMHDMYYDDCRRVKMHDLIRDMAIQILLENSQGMVKAGAQLKELPDAEEWTENLTMVSLMRNEIEEIPSSYSPRCPYLSTLFLCDNEGLGFIADSFFKQLHGLKVLDLSGTGIENLPDSVSDLVSLTALLLKKCENLRHVPSLEKLRALKRLDLYGTPLKKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEELMGECSDYAPITVKGKEVGSLRNLESLECHFKGFSDFVEYLRSRDGIQSLSTYRISVGMLDESYWFGTDFLSKTVGLGNLSINGDGDFQVKFLNGIQGLVCECIDAKSLCDVLSLENATELELINIRNCNSMESLVSSSWFCYAPPRLPSYNGTFSGLKEFYCVRCKSMKKLFPLVLLPNFVNLEVIVVEDCEKMEEIIGTTDEESNTSSSIAELKLPKLRALRLRYLPELKSICSAKLICNSLEDITVMYCEKLKRMPICLPLLENGQPSPPPSLKKIEARPKEWWETVVEWEHPNAKDVLRPFVKFGYW >Potri.019G014312.3.v4.1 pep chromosome:Pop_tri_v4:19:1924835:1925569:1 gene:Potri.019G014312.v4.1 transcript:Potri.019G014312.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014312.v4.1 MESLVSSSWFCYAPPRLPSYNGTFSGLKEFYCVRCKSMKKLFPLVLLPNFVNLEVIVVEDCEKMEEIIGTTDEESNTSSSIAELKLPKLRALRLRYLPELKSICSAKLICNSLEDITVMYCEKLKRMPICLPLLENGQPSPPPSLKKIEARPKEWWETVVEWEHPNAKDVLRPFVKFGYW >Potri.019G128650.1.v4.1 pep chromosome:Pop_tri_v4:19:15134231:15134377:-1 gene:Potri.019G128650.v4.1 transcript:Potri.019G128650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128650.v4.1 MVSKKYDLISSSGVVEMAWIMLRIDYSRLFWLLFGVFSYENHEGKPRI >Potri.012G070700.1.v4.1 pep chromosome:Pop_tri_v4:12:9292819:9296034:-1 gene:Potri.012G070700.v4.1 transcript:Potri.012G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070700.v4.1 MDEAHMLNTCEGYVDWRNRPAVRGRHGGILAASFVLVVEVLENLAFLANASNLVLYLSMYMHFSPSSSANVVTNFMGTAFLLALLGGFLADAFFTTYYIYLISASIESLGMLVLTIQARVTSLKPPPCFSASTSISCEQVDGRKALMLFAGLYLVALGVGGIKGSLPPHGAEQFDENTLQGRKQRSAFFNYYVFCLSCGALIAVTLVVWVEDNKGWQWGFGVSTATILLSIPIFLIGSPVYRTKVPIGSPITTIFKVLAAAVYSTYKFRDSSNSAIGMDTSRTSYTTETSEGEDRNTKRKELSQTPTESLEFVNRAVKNKTVNPMLECTAEQVEEVKIVLRILPIFMSTIMLNCCLAQLSTFSVQQASTMNTNLGSLKVPPASLPVFPVIFIMILAPIYNHIIIPFARKVTKSEMGITHLQRIGTGLVLSVVAMVVAALVEMKRKRVAANSGLVNSTKPLPITFLWIALQYLFLGSADLFTLAGMMEFFFTEAPKSMRSLATSLSWASLAMGYYLSSVLVSLINTITGRYRDTPWLFGSNLNKYRLERFYWLMCILSGLNLSHYLFWASRYKYKSMRKSLSQPNN >Potri.006G037600.1.v4.1 pep chromosome:Pop_tri_v4:6:2441399:2443410:1 gene:Potri.006G037600.v4.1 transcript:Potri.006G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G037600.v4.1 MLEFSPTTLFSTFGWPLEEPISHEQNYSFRDCETPGSFTHFPPSQPNIRELDRSTSFTAYSGSGDPNMVKKLNHNASERDRRKKINSLYSSLRSLLPASDGMKKLSIPSTISRVLKYIPELQQQVERRIQRKEELLSNLSRQDDLIHQENQRKDTMYSSLSSVSASRLGDREVVVQISTCKVLKSPISEILLNLEENGLVLINSSSFESFGGNVFYHLHLQVMEGNCTLECEALNEKLLSLCTKRETFFL >Potri.016G039300.2.v4.1 pep chromosome:Pop_tri_v4:16:2412575:2414498:1 gene:Potri.016G039300.v4.1 transcript:Potri.016G039300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039300.v4.1 MAEELKISIPQEANSHFSGGPPEWLAFIVTEGQIKTGNNQMQAPQIPKVPRLLRQIQSNQKCYDPSLVSIGPYHHGKPELRDMEKLKVTFTSKFVDDSGICIEDFYCKVAEVAIDARRCYAEDSTDEFDDEKFTQIMFLDGCFILQFIFFLLRRPEDLKMPGHQVVLVKRDLLLLENQLPFQVIWSLMNLRFGKGEGGGRNKLINDFIRHIRALPPRKESIKEMIKKFAGKCIWKQPKKTWGNKETEDHQPVHLLGLLHTDHINKEACSHCSTRSSDWYSYRSAKDLRTVGIRFRPNWTNAYSDVEFKSSFRGSRLILPPVTIEESFKSVLLNLIAYETCCEASGELWVTSYACFLDSLIQDVEDVKVLQSEGVLNIFVREQEVADLFNQMSRNLVPNPYAYSDVKRQIELDRKSIIKKWVAEWLHDYFSSPWSFIALVAATFTIVLTVIQSYVAIFPLNNNGNCG >Potri.016G039300.1.v4.1 pep chromosome:Pop_tri_v4:16:2412575:2414582:1 gene:Potri.016G039300.v4.1 transcript:Potri.016G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039300.v4.1 MQAPQIPKVPRLLRQIQSNQKCYDPSLVSIGPYHHGKPELRDMEKLKVTFTSKFVDDSGICIEDFYCKVAEVAIDARRCYAEDSTDEFDDEKFTQIMFLDGCFILQFIFFLLRRPEDLKMPGHQVVLVKRDLLLLENQLPFQVIWSLMNLRFGKGEGGGRNKLINDFIRHIRALPPRKESIKEMIKKFAGKCIWKQPKKTWGNKETEDHQPVHLLGLLHTDHINKEACSHCSTRSSDWYSYRSAKDLRTVGIRFRPNWTNAYSDVEFKSSFRGSRLILPPVTIEESFKSVLLNLIAYETCCEASGELWVTSYACFLDSLIQDVEDVKVLQSEGVLNIFVREQEVADLFNQMSRNLVPNPYAYSDVKRQIELDRKSIIKKWVAEWLHDYFSSPWSFIALVAATFTIVLTVIQSYVAIFPLNNNGNCG >Potri.017G115500.1.v4.1 pep chromosome:Pop_tri_v4:17:12275005:12279446:-1 gene:Potri.017G115500.v4.1 transcript:Potri.017G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115500.v4.1 METELKNNHQGGGLKRLRCSVQNYDWGKKGTEGSEVARLYELNSGYDIAFEKKKPFAEFWVGTHGSGPSFVVEGGVENGDSNGSGSLSLKEWICKNPNVLGDKVLDKWGCDLPFLFKVLSVAKALSIQAHPDKELAKVLHKLHPNLYKDDNHKPEMALAVTEFEALCSFISLEELKAVLRDVPEIVELVGSAEVNQLLQINEQDHEKKVKSVLRSAFTHLMSASKEMTADVISKLKSRLYTESETRQLTGKEQLVLQLEKQYPADIGVISAFFLNYVKLNPGEALYLGANEPHAYLHGECVECMATSDNVVRAGLTPKHLDIQTLCSMLTYKQGFPEILKGFPLSPYITRYLPPFDEFEVDRCILPRGASTVFPAIPGPSIFLVVVGEGTMCTESSKDVVMEGDALFAPANTEISVSTPSELHLYRAGVNSRFFQIL >Potri.007G013500.1.v4.1 pep chromosome:Pop_tri_v4:7:1027328:1027886:1 gene:Potri.007G013500.v4.1 transcript:Potri.007G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013500.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.009G058100.1.v4.1 pep chromosome:Pop_tri_v4:9:6073012:6084811:1 gene:Potri.009G058100.v4.1 transcript:Potri.009G058100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058100.v4.1 MSTLKTLIFTTTLLILTLSSVALSRPEAIDSLLKRLDSKRASSSDQESAAKAVLKRLLPSHIHSFLFKIVSKDVCGGHSCFLINNYYKESSGNGPEISIKGTTAVEIASGLHWYLKYWCGAHVSWDKTGGVQIASIPKPGSLPHVKDKGVMIQRPVPWNYYQNVVTSSYSYVWWNWERWEKELDWMALQGINLPLAFTGQEAIWQKVFMNLNITTEDLNDFFGGPAFLAWARMGNLHGWGGPLSQNWLDQQLCLQKQILSRMLELGMTPVLPSFSGNVPAALKKIFPSANITRLGDWNTVDKNPRWCCTYLLNPSDPLFVEIGEAFIRQQVKEYGDVTDIYNCDTFNENSPPTSDPAYISSLGAAVYKAMSRGDKDAVWLMQGWLFYSDSAFWKPPQMQALLHSVPFGKMIVLDLFAEAKPIWKNSSQFYGTPYVWCLLHNFGGNIEMYGILDAISSGPVDARIIENSTMVGVGMCMEGIEHNPVVYELMSEMAFRSGKPQVLEWLKTYSRRRYGKAVRQVVAAWDILYHTIYNCTDGIADHNTDFIVKFPDWDPSLHSGSNISEQDNMRILLTSSGTRRFLFQETSSDFPEAHLWYSTQEVIQALWLFLDAGNDLAGSPTYRYDLVDLTRQVLSKLANQVYRDAMIAFRRKDARALNLHGQKFLQIIKDIDVLLASDDNFLLGTWLESAKKLAVDPNDMKLYEWNARTQVTMWYDTTKTNQSQLHDYANKFWSGLLEDYYLPRASTYFGHLMKSLEENKNFKLTEWRKEWIAFSNKWQADTKIYPVKAKGDALAIAKALYRKYFG >Potri.009G093500.1.v4.1 pep chromosome:Pop_tri_v4:9:8499374:8504891:-1 gene:Potri.009G093500.v4.1 transcript:Potri.009G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093500.v4.1 MEDGSWVLVTRPTDRDMWNPTSTYLEDSKPLKITSTGPAKYWTDAIPIGNGRLGAMVWGGVSSELIQLNEDTLWTGTPIDYTNPDAPEALAEVRNLVDSGEFAEASDAAAKLSGTNANVYQLLGDIKLEFDGYLMCAEETYYRELDLDTATARVKYSVGDVEFTREHFASYPDQVIVTKIAGSKEGSVSFTVSLDSKLDHHCYITDESQIVMEGRCPGKRIPPKVKANDDPKGILFAAVLGLQISDGAGLMSVLDDGRLKVEGANWVVLHMVASSSFEGPFTKPSESEKDPASVSLSALKSIKNQSYSELYSRHLDDYQNLFHRVSLQLCKGSDRNIGDRSLEIKNLMPSGKRCVEGNKDVVPTVDRIRSFQSDEDPSLVELLFQFGRYLLISSSRPGTQVANLQGIWNKDLEPKWDSAPHLNINLEMNYWPSLPCNLSECQEPLFEFIKSLSINGCKTAQVNYKTSGWVVHHKSDIWAKPSADKGEVVWAIWPMGGAWLCTHLWEHYSYTMDEDFLRNKAYPLLEGCASFLLDWLIEGHGGYLETNPSTSPEHMFIAPDGKSASVSYSSTMDMALIKEVFSAIISASEVLGRNEDAFVQKVHKAQPRLYPTKIDEEGSIMEWAQDFKDPDVHHRHLSHLFGLFPGHSITIDKNPELCEAAENSLYKRGEDGPGWSTTWKIALWAHLHNSEHSYRMVKQLIKLVDPDHEVAFEGGLYSNLFAAHPPFQIDANFGFTAGVSEMLVQSSIKDLYLLPALPRDKWANGCVKGLKARGGLTVSICWKEGDLHEVGVWLKDGSSSLQRIHYGGTTVTVNLSCRKIYTFNTQLECVKTLSLS >Potri.013G088600.4.v4.1 pep chromosome:Pop_tri_v4:13:8827249:8845492:1 gene:Potri.013G088600.v4.1 transcript:Potri.013G088600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088600.v4.1 MASLVMAAEKFRDLSQAIDVPLLDATVAAFYGTGSKEERVAADRILQDLQNNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRMERLYVNKLNVTLVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTLQCLTEVAALNFGDFYNIQYVKMYNFFMVQLQAILPLTTNIPEAYANGSSEEQAFIQNLALFFTSFYKSHIQVLESTQENITALLMGLEYLINICYVDDTEVFKVCLDYWNSLVLELFEARHNLDNPAVAVNMMGLQVMPLLHGMVDGLGSQILQRRQLYATPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQKCKRKFVIVQVGESEPFVSELLAGLPTTVADLEPHQIHTFYESVGHMIQAESDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQDVIRTVLNIMQTNTSVASALGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQTQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLVEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATISYSYPNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESRNDLSAFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.013G088600.5.v4.1 pep chromosome:Pop_tri_v4:13:8827237:8845486:1 gene:Potri.013G088600.v4.1 transcript:Potri.013G088600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088600.v4.1 MASLVMAAEKFRDLSQAIDVPLLDATVAAFYGTGSKEERVAADRILQDLQNNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRMERLYVNKLNVTLVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTLQCLTEVAALNFGDFYNIQYVKMYNFFMVQLQAILPLTTNIPEAYANGSSEEQAFIQNLALFFTSFYKSHIQVLESTQENITALLMGLEYLINICYVDDTEVFKVCLDYWNSLVLELFEARHNLDNPAVAVNMMGLQMPLLHGMVDGLGSQILQRRQLYATPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQKCKRKFVIVQVGESEPFVSELLAGLPTTVADLEPHQIHTFYESVGHMIQAESDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQDVIRTVLNIMQTNTSVASALGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQTQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLVEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATISYSYPNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESRNDLSAFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.013G088600.1.v4.1 pep chromosome:Pop_tri_v4:13:8827193:8845575:1 gene:Potri.013G088600.v4.1 transcript:Potri.013G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088600.v4.1 MASLVMAAEKFRDLSQAIDVPLLDATVAAFYGTGSKEERVAADRILQDLQNNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRMERLYVNKLNVTLVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTLQCLTEVAALNFGDFYNIQYVKMYNFFMVQLQAILPLTTNIPEAYANGSSEEQAFIQNLALFFTSFYKSHIQVLESTQENITALLMGLEYLINICYVDDTEVFKVCLDYWNSLVLELFEARHNLDNPAVAVNMMGLQMPLLHGMVDGLGSQILQRRQLYATPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLAGLPTTVADLEPHQIHTFYESVGHMIQAESDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQDVIRTVLNIMQTNTSVASALGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQTQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLVEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATISYSYPNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESRNDLSAFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.013G088600.6.v4.1 pep chromosome:Pop_tri_v4:13:8832020:8845451:1 gene:Potri.013G088600.v4.1 transcript:Potri.013G088600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088600.v4.1 MYNFFMVQLQAILPLTTNIPEAYANGSSEEQAFIQNLALFFTSFYKSHIQVLESTQENITALLMGLEYLINICYVDDTEVFKVCLDYWNSLVLELFEARHNLDNPAVAVNMMGLQVMPLLHGMVDGLGSQILQRRQLYATPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLAGLPTTVADLEPHQIHTFYESVGHMIQAESDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQDVIRTVLNIMQTNTSVASALGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQTQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLVEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATISYSYPNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESRNDLSAFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.013G088600.3.v4.1 pep chromosome:Pop_tri_v4:13:8827179:8845585:1 gene:Potri.013G088600.v4.1 transcript:Potri.013G088600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088600.v4.1 MASLVMAAEKFRDLSQAIDVPLLDATVAAFYGTGSKEERVAADRILQDLQNNPDMWLQVVHILQNTKNLNTKFFALQVLEGVIKYRWNALPVEQRDGMKNYISEVIVQLSSNEASFRMERLYVNKLNVTLVQILKHEWPARWRSFIPDLVAAAKTSETICENCMVILKLLSEEVFDFSRGEMTQQKIKELKQSLNSEFQLIHELCLYVLSASQRTELIRATLSTLHAFLSWIPLGYIFESPLLETLLKFFPMPSYRNLTLQCLTEVAALNFGDFYNIQYVKMYNFFMVQLQAILPLTTNIPEAYANGSSEEQAFIQNLALFFTSFYKSHIQVLESTQENITALLMGLEYLINICYVDDTEVFKVCLDYWNSLVLELFEARHNLDNPAVAVNMMGLQVMPLLHGMVDGLGSQILQRRQLYATPMSKLRMLMICRMAKPEEVLIVEDENGNIVRETMKDNDVLVQYKIMRETLIYLSHLDHEDTEKQMLKKLSKQLSGEDWNWNNLNTLCWAIGSISGSMMEEQENRFLVMVIRDLLNLCEITKGKDNKAVIASNIMYVVGQYPRFLRAHWKFLKTVVNKLFEFMHETHPGVQDMACDTFLKIVQKCKRKFVIVQVGESEPFVSELLAGLPTTVADLEPHQIHTFYESVGHMIQAESDPQKRDEYLQRLMDLPNQKWAEIIGQARQSVDFLKDQDVIRTVLNIMQTNTSVASALGTYFLSQISLIFLDMLNVYRMYSELISSSIAEGGPYASKTSYVKLLRSVKRETLKLIETFLDKAEDQTQIGKQFVPPMMDPVLGDYARNLPDARESEVLSLFATIINKYKAAMIEDVPRIFEAVFQCTLEMITKNFEDYPEHRLKFFSLLRAIATHCFPALIRLSSEQLKLVMDSIIWAFRHTERNIAETGLNLLVEMLKNFQASEFCNQFYRSYFLTIEQEIFAVLTDTFHKPGFKLHVLVLQHLFCLVESGALTEPLWDAATISYSYPNNAMFVREYTIKLLGTSFPNMTASEVTQFVNGLFESRNDLSAFKNHIRDFLVQSKEFSAQDNKDLYAEEAAAQRERERQRMLSIPGLIAPNEIQDEMLDS >Potri.007G062362.1.v4.1 pep chromosome:Pop_tri_v4:7:7097152:7097661:-1 gene:Potri.007G062362.v4.1 transcript:Potri.007G062362.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062362.v4.1 MVSGPSPSNALFILPHVCCFSAAFVIGRCYIRLLRRSSLVSVRVVRMPTFDFDVEICCFARWSSFVYEKQWATLRRLRAQSKRVDACAPCLPDFLEVDLSLVLFLSRGANEKAWFRSQLESLSPINRVSATTYEISKSSFDPHNQTPAFGMKESGIGVSHRATKPGLFH >Potri.014G189900.12.v4.1 pep chromosome:Pop_tri_v4:14:16406237:16414907:-1 gene:Potri.014G189900.v4.1 transcript:Potri.014G189900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189900.v4.1 MTAAAQICRRVAFTSRLRVEERNQHLKLKKVAYSLAKAVMQFWHSMEVYLSNNCQSFGSKNGKHESIIFYGNEFSVNKYGEIDKVACKELEIQKPVKNIAHAIHGYALRFLKYNSSPVPSLQEVPATPDRIADLGMMDISWDDHLTEESLFYAVPSAAMAMYRLSIESHIMQSEKTHNNMQDEVDTSMYDTPADFGCHDNAYDEEEETSAYYMHGVFEGSKQGKHDQKKWKSFTKSPSARSYDLATDSPYGHCTTGPQQNVLKGKRPANNLNTGSIPTKRMRTASRQRFTSPFTAGTTGVLPQAPMKTDASSGDTNSFQDDQSTLHGGSQIQKSVEVESASDFERQLPYDYAETSAKLKKKKKAKHLGSAYEQGWQLDSTVHNDQRDNFRKRSESHHFDSNGTSGLYEQHSAKKPKIMKQLLDNTFDSMAQMTGSVPSPALSQMSKMSNTNRFIKLIGGRERGRKNKSMKMSAGQPGFGTPWSLFEDQALVVLVHDMGPNWDLISDAINSTVQFKCIFRKPKECKERHKILMDKGAGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQHLQGPMQEDTLKSHFEKIIMIGKKYLYKRSQNENQDPKQIAAIHNSHGIALSQVCPNLNGGVLMPLDLCDPSASNPDVLPIVYQGSHASNLVMTNQGAIASMLPTSGASSSLQGSSGVVLGSNSSSPFGPLNAPLRDGRYNVPRTSLPVDEQQRMQHCHQMLSNRNLQQSNLSVSGALSGADRGVHMLPGGNGMGIMPGMNRSMPLPRPGFQGIASPSMLNPGNLLSPNMVGMPSPVNMHSGTGSGQGNSMRPREAMHYMRLGHNPEHQRQMKVPELQMQATQGNNQGIPAFNGLSSAFANQMATTPVQTYPGHPQHQHQISTQQSNMLSNPHHPNLHGSNHTTVSQQQTNAMHHAKERQMQQRLLQQQQLAASSALVPHAQHQSQLPITSSMQSSSQIPSPTASQPLSPPPITPPSPMTPISMQQQQQQKHNLPHHAVSWNPQTGSSGLTNQMGKQRQWQPQQFQQSARHHPQQRQHSQSPQQAKLLKGMGRGNMVVHQNLLIDHSPLNGLSVPPGNQGAEKGEQIMHLMQGPGLYSGAGLSPIQSSKPLVSSQSLNHSQPQQKLYSGSTNPSSKPLQQMPSHLDNSVQGHVQPVLSGQTLTATHQNTPVMVPNHQHLQPHLQPHQKQVSQPQPAVQRMLQKNRQVNSDLATKPQNDQSHTDQQTPNISRTGTRTSTMTTQGCNDTANVAPVVSSASAIQWKSSESPLHDSGMENSASQKGPIGSPALTSATGSEPAVSLGSVHRQLSGGLPMNGHNGGAQWQHKQPQKSTALPPPCQQLLQPQEQKSQQEQQHSPQQLPPQQPSQL >Potri.014G189900.10.v4.1 pep chromosome:Pop_tri_v4:14:16406239:16419311:-1 gene:Potri.014G189900.v4.1 transcript:Potri.014G189900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189900.v4.1 MHGCGPGSAPLVNAEVDSMGGVVDGGVGIDIKTSPRQAAIEKAHAELRQEYDVREERRRELEFLEKGGNPLDFKFVNAASVSVQSTSLTDHHVEQFVTSEAKGNFPLTTSLHGDSVESSGRPGATAVCEPNSADNFDGENELLEVERKPTNPSRRNKVTQSEQSSQMDGTHNAKESEDSAIFRPYARRNRSRPNRDSARSGSTDIVQSSGGHGSYLPVRGGARDVKGLVTETDNHKDQNITLVSNPKSPASNGMVSQIEASNTHSNMELDCVQALKTVANLPEYRLDVTESNVLRDNLHDQPSEADTENASKECDHDGGREQVISAGPEGLPCAESTKTENETGPGLLNGFSDLKKDGDEGQNGNTAMGTKGFDSESSCTQNSISLDVNNESDLCANYRNDDTNEILFKELSKHEGTQSLLSGNMGNEKKETKSIEHVTAINDGSVHQNYSIEHVTAINDGSVHQNYSGNDSTVKSEEEMRSCSHPQNEVKCHNLEGAEQNDHVAPEADTKAGKMLADGSNSNRENIYPSGPQGYNDPSIQELPHLILLEKKSSAALDPQSCSNTQLKLVDKAHEDSVLEEARIIEAKRKRIAELSVGTVPSKSNWKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSRLRVEERNQHLKLKKVAYSLAKAVMQFWHSMEVYLSNNCQSFGSKNGKHESIIFYGNEFSVNKYGEIDKVACKELEIQKPVKNIAHAIHGYALRFLKYNSSPVPSLQEVPATPDRIADLGMMDISWDDHLTEESLFYAVPSAAMAMYRLSIESHIMQSEKTHNNMQDEVDTSMYDTPADFGCHDNAYDEEEETSAYYMHGVFEGSKQGKHDQKKWKSFTKSPSARSYDLATDSPYGHCTTGPQQNVLKGKRPANNLNTGSIPTKRMRTASRQRFTSPFTAGTTGVLPQAPMKTDASSGDTNSFQDDQSTLHGGSQIQKSVEVESASDFERQLPYDYAETSAKLKKKKKAKHLGSAYEQGWQLDSTVHNDQRDNFRKRSESHHFDSNGTSGLYEQHSAKKPKIMKQLLDNTFDSMAQMTGSVPSPALSQMSKMSNTNRFIKLIGGRERGRKNKSMKMSAGQPGFGTPWSLFEDQALVVLVHDMGPNWDLISDAINSTVQFKCIFRKPKECKERHKILMDKGAGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQHLQGPMQEDTLKSHFEKIIMIGKKYLYKRSQNENQDPKQIAAIHNSHGIALSQVCPNLNGGVLMPLDLCDPSASNPDVLPIVYQGSHASNLVMTNQGAIASMLPTSGASSSLQGSSGVVLGSNSSSPFGPLNAPLRDGRYNVPRTSLPVDEQQRMQHCHQMLSNRNLQQSNLSVSGALSGADRGVHMLPGGNGMGIMPGMNRSMPLPRPGFQGIASPSMLNPGNLLSPNMVGMPSPVNMHSGTGSGQGNSMRPREAMHYMRLGHNPEHQRQMKVPELQMQATQGNNQGIPAFNGLSSAFANQMATTPVQTYPGHPQHQHQISTQQSNMLSNPHHPNLHGSNHTTVSQQQTNAMHHAKERQMQQRLLQQQQLAASSALVPHAQHQSQLPITSSMQSSSQIPSPTASQPLSPPPITPPSPMTPISMQQQQQQKHNLPHHAVSWNPQTGSSGLTNQMGKQRQWQPQQFQQSARHHPQQRQHSQSPQQAKLLKGMGRGNMVVHQNLLIDHSPLNGLSVPPGNQGAEKGEQIMHLMQGPGLYSGAGLSPIQSSKPLVSSQSLNHSQPQQKLYSGSTNPSSKPLQQMPSHLDNSVQGHVQPVLSGQTLTATHQNTPVMVPNHQHLQPHLQPHQKQVSQPQPAVQRMLQKNRQVNSDLATKPQNDQSHTDQQTPNISRTGTRTSTMTTQGCNDTANVAPVVSSASAIQWKSSESPLHDSGMENSASQKGPIGSPALTSATGSEPAVSLGSVHRQLSGGLPMNGHNGGAQWQHKQPQKSTALPPPCQQLLQPQEQKSQQEQQHSPQQLPPQQPSQL >Potri.014G189900.11.v4.1 pep chromosome:Pop_tri_v4:14:16406289:16419241:-1 gene:Potri.014G189900.v4.1 transcript:Potri.014G189900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189900.v4.1 MHGCGPGSAPLVNAEVDSMGGVVDGGVGIDIKTSPRQAAIEKAHAELRQEYDVREERRRELEFLEKGGNPLDFKFVNAASVSVQSTSLTDHHVEQFVTSEAKGNFPLTTSLHGDSVESSGRPGATAVCEPNSADNFDGENELLEVERKPTNPSRRNKVTQSEQSSQMDGTHNAKESEDSAIFRPYARRNRSRPNRDSARSGSTDIVQSSGGHGSYLPVRGGARDVKGLVTETDNHKDQNITLVSNPKSPASNGMVSQIEASNTHSNMELDCVQALKTVANLPEYRLDVTESNVLRDNLHDQPSEADTENASKECDHDGGREQVISAGPEGLPCAESTKTENETGPGLLNGFSDLKKDGDEGQNGNTAMGTKGFDSESSCTQNSISLDVNNESDLCANYRNDDTNEILFKELSKHEGTQSLLSGNMGNEKKETKSIEHVTAINDGSVHQNYSIEHVTAINDGSVHQNYSGNDSTVKSEEEMRSCSHPQNEVKCHNLEGAEQNDHVAPEADTKAGKMLADGSNSNRENIYPSGPQGYNDPSIQELPHLILLEKKSSAALDPQSCSNTQLKLVDKAHEDSVLEEARIIEAKRKRIAELSVGTVPSKSNWKSHWDFVLEEMAWLANDFAQERLWKMTAAAQICRRVAFTSRLRVEERNQHLKLKKVAYSLAKAVMQFWHSMEVYLSNNCQSFGSKNGKHESIIFYGNEFSVNKYGEIDKVACKELEIQKPVKNIAHAIHGYALRFLKYNSSPVPSLQEVPATPDRIADLGMMDISWDDHLTEESLFYAVPSAAMAMYRLSIESHIMQSEKTHNNMQDEVDTSMYDTPADFGCHDNAYDEEEETSAYYMHGVFEGSKQGKHDQKKWKSFTKSPSARSYDLATDSPYGHCTTGPQQNVLKGKRPANNLNTGSIPTKRMRTASRQRFTSPFTAGTTGVLPQAPMKTDASSGDTNSFQDDQSTLHGGSQIQKSVEVESASDFERQLPYDYAETSAKLKKKKKAKHLGSAYEQGWQLDSTVHNDQRDNFRKRSESHHFDSNGTSGLYEQHSAKKPKIMKQLLDNTFDSMAQMTGSVPSPALSQMSKMSNTNRFIKLIGGRERGRKNKSMKMSAGQPGFGTPWSLFEDQALVVLVHDMGPNWDLISDAINSTVQFKCIFRKPKECKERHKILMDKGAGDGADSAEDSGSSQSYPSTLPGIPKGSARQLFQHLQGPMQEDTLKSHFEKIIMIGKKYLYKRSQNENQDPKQIAAIHNSHGIALSQVCPNLNGGVLMPLDLCDPSASNPDVLPIVYQGSHASNLVMTNQGAIASMLPTSGASSSLQGSSGVVLGSNSSSPFGPLNAPLRDGRYNVPRTSLPVDEQQRMQHCHQMLSNRNLQQSNLSVSGALSGADRGVHMLPGGNGMGIMPGMNRSMPLPRPGFQGIASPSMLNPGNLLSPNMVGMPSPVNMHSGTGSGQGNSMRPREAMHYMRLGHNPEHQRQMKVPELQMQATQGNNQGIPAFNGLSSAFANQMATTPVQTYPGHPQHQHQISTQQSNMLSNPHHPNLHGSNHTTVSQQQTNAMHHAKERQMQQRLLQQQQLAASSALVPHAQHQSQLPITSSMQSSSQIPSPTASQPLSPPPITPPSPMTPISMQQQQQQKHNLPHHAVSWNPQTGSSGLTNQMGKQRQWQPQQFQQSARHHPQQRQHSQSPQQAKLLKGMGRGNMVVHQNLLIDHSPLNGLSVPPGNQGAEKGEQIMHLMQGPGLYSGAGLSPIQSSKPLVSSQSLNHSQPQQKLYSGSTNPSSKPLQQMPSHLDNSVQGHVQPVLSGQTLTATHQNTPVMVPNHQHLQPHLQPHQKQVSQPQPAVQRMLQKNRQVNSDLATKPQNDQSHTDQQTPNISRTGTRTSTMTTQGCNDTANVAPVVSSASAIQWKSSESPLHDSGMENSASQKGPIGSPALTSATGSEPAVSLGSVHRQLSGGLPMNGHNGGAQWQHKQPQKSTALPPPCQQLLQPQEQKSQQEQQHSPQQLPPQQPSQL >Potri.012G061100.1.v4.1 pep chromosome:Pop_tri_v4:12:6261752:6264524:1 gene:Potri.012G061100.v4.1 transcript:Potri.012G061100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061100.v4.1 MKLGSTAVVVTSSSIATLGFPKNYYNKPSWFGQLLKTNMFPHHFPILSSSSSSASISTTTEDSSDAVAAAKPKQQHPWLIVGLGNPGKKYHSTRHNVGFQMVDALADAEGISISGVSFKALFGKGFIGNVPVMFAKPQTFMNASGESVGAIVSYYKIPLKQVLLIYDDLDLPFAKLRLLPKGGHGGHNGMKSVINHFKGNRDFPRLRIGIGRPPGKMDTANFVLRPFTKQEHEELDFMFQQGIEAVRILLLEGLNKSATFVNSTKSMEQLG >Potri.012G099866.1.v4.1 pep chromosome:Pop_tri_v4:12:12305485:12305703:1 gene:Potri.012G099866.v4.1 transcript:Potri.012G099866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099866.v4.1 MKRKVNMLRDNQIKPGGFYSRSKIEKAIQKKFDTTGFGVKCFWKEGKKELTEIKVCTNRTHVIPCKWFETRE >Potri.018G046400.2.v4.1 pep chromosome:Pop_tri_v4:18:3959619:3965034:-1 gene:Potri.018G046400.v4.1 transcript:Potri.018G046400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G046400.v4.1 MPELRSRTRRNRAQNNNQNPNPIKLTVQTRKRRTAEVNNNRKKNAIVDEKENIVRLSDTTPFRKELLDEGARVLRGEEEVAEKKMDEHDSGGGRSADKGPGADDEGSTAPLPDKVQVGGSPMYKIERKLGKGGFGQVYVGRRIGPTNFANERGGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRAHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSIEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPPGTPEEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFLVCKKKMATSPETLCCLCPQPFRQFVEYVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGHKRGRLTMEEEEDEQPKKKVRMGMPATQWISVYNARRPMKQRYHYNVANVRLSQHIEKGNEDGLFISSVASCTNLWALIMDAGTNFSAQVYEVSPHFLHKEWIMEQWEKNYYISAIAGGNNGSSLVVMSKGTQYVQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGAGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATWDQAAFVLSVPRRKPVDETQETLRTSAFPSTHVKEKWAKNLYIASVCYGRTVS >Potri.005G256201.1.v4.1 pep chromosome:Pop_tri_v4:5:24713055:24714547:-1 gene:Potri.005G256201.v4.1 transcript:Potri.005G256201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256201.v4.1 MLEVKRTDQSTPTKLHSDNTNSTVTTPTLLPTAVDYLKEKNGECFIETTHQLNLVPRCHFHGQGNIRNLRPMQFLQYSL >Potri.001G243600.1.v4.1 pep chromosome:Pop_tri_v4:1:26128861:26132400:1 gene:Potri.001G243600.v4.1 transcript:Potri.001G243600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243600.v4.1 MGEEVKMSEYEINDEDNINRDDERIDVWEMGLPTPYDLTPLSQLLIPPELASAFNISPEPHRTPLDVNRASQNTLSNLHGHLNALSSNNFKSFNETTGQTHDPMVVELEEEEEEEEEDVTEAMDRDGSGSEARKLRRIDSEEADSALRTENWVDDPSSAAARTLKRPRLVWTPQLHKRFVDVVGHLGMKNAVPKTIMQWMNVEGLTRENVASHLQKYRLYLKRKQGLSSEGPSASDQLFASTPVPQSLHESGGGSCGGGNFGMPIPMPYHHPATTAGGMMPMPVYGHMGIQMVNDHVHNNNNSNNYQHQQVSMNSHQNGYNGNVALLMGNKHVHNSSNKHQHQQVSTNSHQNGYNGNVAYGHMFQQRDWNGTNYGPYSHHPHHAVSNDNM >Potri.001G051600.1.v4.1 pep chromosome:Pop_tri_v4:1:3888068:3890717:-1 gene:Potri.001G051600.v4.1 transcript:Potri.001G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051600.v4.1 MVTVDEVRKAQRAEGPAVILAIGTSTPPNCVDQSTYPDYYFRITNSEHKVELKEKFKRMCEKSMIKKRYMHLTEEILKENPSVCEYMAPSLDARQDMVVVEVPKLGKEAAAKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRSSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAAIIIGSDPVLGVEKPLFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLTEAFKPLGISDWNSLFWIAHPGGPAILDQVEAKLELKPEKLRATRQVLADYGNMSSACVLFILDEMRKKSAKDGLKSTGEGLEWGVLFGFGPGLTVETVVLHSVASI >Potri.003G179500.10.v4.1 pep chromosome:Pop_tri_v4:3:18563609:18568606:1 gene:Potri.003G179500.v4.1 transcript:Potri.003G179500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179500.v4.1 MPLVPVVEEVHHQDLNKRTLIALTVASTHLVGIVLFLSCCWICRLKKSRTCSAKSKGNDVPVVDKLNSLRMAEKKGSVAVIEYHLLQAATNNFQEVNVLGEGGRGCLYKACFSEKLLAAVRRFEGEEQDIEREFENELNWLTKIHHQNIISLLGYCIHGETRFLVYEMMQNGSLESQLHGPTHGSALTWNLRMKIAVDVARGLEYLHEHCNPPVVHRDLKSSNILLDSNFNAKLSDFGLAVACGIQNKYVKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVLLLELLIGRKPVEKMSPDHFQFIVSWAMPRLADRSKLPNVVDPVIKDTMNLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPLELGGSLRITGPVPLALPSL >Potri.003G179500.11.v4.1 pep chromosome:Pop_tri_v4:3:18563609:18568606:1 gene:Potri.003G179500.v4.1 transcript:Potri.003G179500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179500.v4.1 MWALLSTSLSFLLGTRPEPTLFSTSGSLLASKDNPISVFSSKMEDQSPNVPVVDKLNSLRMAEKKGSVAVIEYHLLQAATNNFQEVNVLGEGGRGCLYKACFSEKLLAAVRRFEGEEQDIEREFENELNWLTKIHHQNIISLLGYCIHGETRFLVYEMMQNGSLESQLHGPTHGSALTWNLRMKIAVDVARGLEYLHEHCNPPVVHRDLKSSNILLDSNFNAKLSDFGLAVACGIQNKYVKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVLLLELLIGRKPVEKMSPDHFQFIVSWAMPRLADRSKLPNVVDPVIKDTMNLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPLELGGSLRITGPVPLALPSL >Potri.003G179500.7.v4.1 pep chromosome:Pop_tri_v4:3:18563609:18568584:1 gene:Potri.003G179500.v4.1 transcript:Potri.003G179500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179500.v4.1 MWALLSTSLSFLLGTRPEPTLFSTSGSLLASKDNPISVFSSKMEDQSPMLFLSCCWICRLKKSRTCSAKSKGNDVPVVDKLNSLRMAEKKGSVAVIEYHLLQAATNNFQEVNVLGEGGRGCLYKACFSEKLLAAVRRFEGEEQDIEREFENELNWLTKIHHQNIISLLGYCIHGETRFLVYEMMQNGSLESQLHGPTHGSALTWNLRMKIAVDVARGLEYLHEHCNPPVVHRDLKSSNILLDSNFNAKLSDFGLAVACGIQNKYVKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVLLLELLIGRKPVEKMSPDHFQFIVSWAMPRLADRSKLPNVVDPVIKDTMNLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPLELGGSLRITGPVPLALPSL >Potri.003G179500.12.v4.1 pep chromosome:Pop_tri_v4:3:18563609:18568584:1 gene:Potri.003G179500.v4.1 transcript:Potri.003G179500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179500.v4.1 MWALLSTSLSFLLGTRPEPTLFSTSGSLLASKDNPISVFSSKMEDQSPNVPVVDKLNSLRMAEKKGSVAVIEYHLLQAATNNFQEVNVLGEGGRGCLYKACFSEKLLAAVRRFEGEEQDIEREFENELNWLTKIHHQNIISLLGYCIHGETRFLVYEMMQNGSLESQLHGPTHGSALTWNLRMKIAVDVARGLEYLHEHCNPPVVHRDLKSSNILLDSNFNAKLSDFGLAVACGIQNKYVKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVLLLELLIGRKPVEKMSPDHFQFIVSWAMPRLADRSKLPNVVDPVIKDTMNLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPLELGGSLRITGPVPLALPSL >Potri.003G179500.8.v4.1 pep chromosome:Pop_tri_v4:3:18563609:18568606:1 gene:Potri.003G179500.v4.1 transcript:Potri.003G179500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179500.v4.1 MWALLSTSLSFLLGTRPEPTLFSTSGSLLASKDNPISVFSSKMEDQSPMLFLSCCWICRLKKSRTCSAKSKGNDVPVVDKLNSLRMAEKKGSVAVIEYHLLQAATNNFQEVNVLGEGGRGCLYKACFSEKLLAAVRRFEGEEQDIEREFENELNWLTKIHHQNIISLLGYCIHGETRFLVYEMMQNGSLESQLHGPTHGSALTWNLRMKIAVDVARGLEYLHEHCNPPVVHRDLKSSNILLDSNFNAKLSDFGLAVACGIQNKYVKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVLLLELLIGRKPVEKMSPDHFQFIVSWAMPRLADRSKLPNVVDPVIKDTMNLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPLELGGSLRITGPVPLALPSL >Potri.003G179500.9.v4.1 pep chromosome:Pop_tri_v4:3:18563833:18567551:1 gene:Potri.003G179500.v4.1 transcript:Potri.003G179500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179500.v4.1 MPLVPVVEEVHHQDLNKRTLIALTVASTHLVGIVLFLSCCWICRLKKSRTCSAKSKGNDVPVVDKLNSLRMAEKKGSVAVIEYHLLQAATNNFQEVNVLGEGGRGCLYKACFSEKLLAAVRRFEGEEQDIEREFENELNWLTKIHHQNIISLLGYCIHGETRFLVYEMMQNGSLESQLHGPTHGSALTWNLRMKIAVDVARGLEYLHEHCNPPVVHRDLKSSNILLDSNFNAKVKAMHLSDFGLAVACGIQNKYVKLSGTLGYVAPEYLLDGKLTDKSDVYAFGVLLLELLIGRKPVEKMSPDHFQFIVSWAMPRLADRSKLPNVVDPVIKDTMNLKHLYQVAAVAVLCVQQEPSYRPLITDVLHSLIPLVPLELGGSLRITGPVPLALPSL >Potri.008G200800.6.v4.1 pep chromosome:Pop_tri_v4:8:14255102:14261019:-1 gene:Potri.008G200800.v4.1 transcript:Potri.008G200800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200800.v4.1 MQPDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFSELLTGKPLFPGKNVVHQLDLMTDLLGTPTPEAIARVRNEKARRYLSSMRKKKPVPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPTAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEHLDGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGAPVTPPERQHASLPRACVLYSDNTIQNSAEVTNDLSKCSIKEIEKPHVDRSGGIPMTRLPLQVPQSIQAGAARPGKVVSSVLRYNNCGAPSAENLDQRRMVRNPAISTQYTTANSSHPRRNPACKNERGEDEGVEGSNGLQPKPQYMARKVAAAQGGPGNHWY >Potri.008G200800.8.v4.1 pep chromosome:Pop_tri_v4:8:14255100:14261019:-1 gene:Potri.008G200800.v4.1 transcript:Potri.008G200800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200800.v4.1 MQPDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFSELLTGKPLFPGKNVVHQLDLMTDLLGTPTPEAIARVRNEKARRYLSSMRKKKPVPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPTAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEHLDGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGAPVTPPERQHASLPRACVLYSDNTIQNSAEVTNDLSKCSIKEIEKPHVDRSGGIPMTRLPLQVPQSIQGAARPGKVVSSVLRYNNCGAPSAENLDQRRMVRNPAISTQYTTANSSHPRRNPACKNERGEDEGVEGSNGLQPKPQYMARKVAAAQGGPGNHWY >Potri.008G200800.4.v4.1 pep chromosome:Pop_tri_v4:8:14255100:14261019:-1 gene:Potri.008G200800.v4.1 transcript:Potri.008G200800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200800.v4.1 MQPDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFSELLTGKPLFPGKNVVHQLDLMTDLLGTPTPEAIARVRNEKARRYLSSMRKKKPVPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPTAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEHLDGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGAPVTPPERQHASLPRACVLYSDNTIQNSAEVTNDLSKCSIKEIEKPHVDRSGGIPMTRLPLQVPQSIQGAARPGKVVSSVLRYNNCGAPSAENLDQRRMVRNPAISTQYTTANSSHPRRNPACKNERGEDEGVEGSNGLQPKPQYMARKVAAAQGGPGNHWFFKRNVRGFC >Potri.008G200800.7.v4.1 pep chromosome:Pop_tri_v4:8:14254730:14261072:-1 gene:Potri.008G200800.v4.1 transcript:Potri.008G200800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200800.v4.1 MQPDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFSELLTGKPLFPGKNVVHQLDLMTDLLGTPTPEAIARVRNEKARRYLSSMRKKKPVPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPTAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEHLDGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGAPVTPPERQHASLPRACVLYSDNTIQNSAEVTNDLSKCSIKEIEKPHVDRSGGIPMTRLPLQVPQSIQGAARPGKVVSSVLRYNNCGAPSAENLDQRRMVRNPAISTQYTTANSSHPRRNPACKNERGEDEGVEGSNGLQPKPQYMARKVAAAQGGPGNHWY >Potri.008G200800.5.v4.1 pep chromosome:Pop_tri_v4:8:14254919:14261072:-1 gene:Potri.008G200800.v4.1 transcript:Potri.008G200800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200800.v4.1 MQPDQRKKSSVDVDFFTEYGEGSRYRIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFSELLTGKPLFPGKNVVHQLDLMTDLLGTPTPEAIARVRNEKARRYLSSMRKKKPVPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPTAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEHLDGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGAPVTPPERQHASLPRACVLYSDNTIQNSAEVTNDLSKCSIKEIEKPHVDRSGGIPMTRLPLQVPQSIQAGAARPGKVVSSVLRYNNCGAPSAENLDQRRMVRNPAISTQYTTANSSHPRRNPACKNERGEDEGVEGSNGLQPKPQYMARKVAAAQGGPGNHWY >Potri.008G024100.2.v4.1 pep chromosome:Pop_tri_v4:8:1212387:1216759:-1 gene:Potri.008G024100.v4.1 transcript:Potri.008G024100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024100.v4.1 MATSDAVLQVLSGAGPRSFSSDLCFNNLDLAFRSKHIKYVKKRASRHMKMLECSSVQQNCIGKHWFKRSGDGDLSVNATIKRLQLLRCKCQKAERVSGVTTEGGNGTWFVDSAKTLNLNGAVNTPGVLELGDTQQLMREKEVLTSNGSANKEEESLATNGAVGTGRDASRKVSVDPTEEEAWELLRDSVVHYCGSPIGTIAANDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCHSPGQGLMPASFKVRTFPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAKEMLAPEDGSADLLRALNNRLVALSFHIREYYWIDLRKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNIWSVVSGLATRDQSNAILDLIEAKWSDLVADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTVACIKMNRPEIAARAVDIAEKRISRDKWPEYYDTKKARFIGKQARLFQTWSIAGYLVAKLLLADPSAARMLVTDEDPELVNAFSCMISSNPRRKRGQKNSKKPFIV >Potri.008G024100.1.v4.1 pep chromosome:Pop_tri_v4:8:1212391:1217134:-1 gene:Potri.008G024100.v4.1 transcript:Potri.008G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024100.v4.1 MATSDAVLQVLSGAGPRSFSSDLCFNNLDLAFRSKHIKYVKKRASRHMKMLECSSVQQNCIGKHWFKRSGDGDLSVNATIKRLQLLRCKCQKAERVSGVTTEGGNGTWFVDSAKTLNLNGAVNTPGVLELGDTQQLMREKEVLTSNGSANKEEESLATNGAVGTGRDASRKVSVDPTEEEAWELLRDSVVHYCGSPIGTIAANDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCHSPGQGLMPASFKVRTFPLDGDDSATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAKEMLAPEDGSADLLRALNNRLVALSFHIREYYWIDLRKLNEIYRYKTEEYSYDAVNKFNIYPDQVSPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNIWSVVSGLATRDQSNAILDLIEAKWSDLVADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTVACIKMNRPEIAARAVDIAEKRISRDKWPEYYDTKKARFIGKQARLFQTWSIAGYLVAKLLLADPSAARMLVTDEDPELVNAFSCMISSNPRRKRGQKNSKKPFIV >Potri.008G120000.1.v4.1 pep chromosome:Pop_tri_v4:8:7732470:7738417:1 gene:Potri.008G120000.v4.1 transcript:Potri.008G120000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120000.v4.1 MFSSTGSFFSLFFFSFLLVLLLSFYQVNSSSTPTKIGKGYRLISIEETPDGGIVGILQVKQNNKIYGPDIPLLQLYVKHETQDRLRVHITDAEKQRWEVPYNLLPREKAQALKQTIGRSRKNPITVQEYSGSELIFSYIADPFSFAVKRKSNGQTLFNSSSDGSGSFGEMVFKDQYLEISTQLPKDASLYGLGENTQPHGIKLYPGVPYTLYTTDISAINLNADLYGSHPVYMDLRKVKGQAYAHAVLLLNSNGMDVFYRGTSLTYKIIGGVFDFYFFSGPSPLAVVDQYTALIGRPAPMPYWAFGFHQCRWGYHNLSVVEDVVENYKKAQIPLDVIWNDDDHMDGHKDFTLNLVNYPRPKLLAFLEKIHSIGMKYIVIIDPGIGVNSSYGVYQRGIANDVFIKYEGEPYLAQVWPGAVNFPDFLNPKTVDWWGDEVRRFHELVPVDGLWIDMNEASNFCSGLCKIPKGKQCPSGTGPGWVCCLDCKNITKTRWDDPPYKINASGLQVPIGYKTIATSAVHYNGVLEYDAHSLYGFSQAIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSISTMINFGIFGVPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANFYSPRQELYQWDSVAESARNALGMRYKILPYLYTLSYEAHTTGAPIARPLFFSFPDYTECYGLSTQFLLGSSLMISPVLEQGKSQVKALFPPGSWYNLFDMTQAITSEGGQYVTLDAPLHVVNVHLHQNTILPMQQGGMISKEARMTPFALVVTFPAGASDGKAAGKLFLDDDELPEMKLASGSATYVDFYATLSQGTVKLWSEVQESKFALDKGWKISKVAVLGLGRSGAPSALEFDGKPVTAASNIELTSLEQKYLEDLQVGSEKKSSVMVEVNGLEIPVGKNFAMSWKMGISG >Potri.004G042700.2.v4.1 pep chromosome:Pop_tri_v4:4:3381442:3383873:-1 gene:Potri.004G042700.v4.1 transcript:Potri.004G042700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G042700.v4.1 MDFERYFAQGWKSVSSAAADSESFSGCFDCNICFDFANEPVVTLCGHLYCWPCIYKWLHVQSASLASDEHPQCPVCKADISHATMVPLYGRGQGSTEAEGKAPYRGMIIPPRPSACGAQGVMSNTSNTSQRLPYRNPYQSHNYNSNPYGSFEEASPSPLLNLGDPTMTGLQEPVVGMLREMVYARVFGAFPSSYHLTGTSSPRIRRHEMLAAKSLNRISIFLFCCFLLCLVVF >Potri.004G042700.1.v4.1 pep chromosome:Pop_tri_v4:4:3381435:3383924:-1 gene:Potri.004G042700.v4.1 transcript:Potri.004G042700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G042700.v4.1 MDFERYFAQGWKSVSSAAADSESFSGCFDCNICFDFANEPVVTLCGHLYCWPCIYKWLHVQSASLASDEHPQCPVCKADISHATMVPLYGRGQGSTEAEGKAPYRGMIIPPRPSACGAQGVMSNTSNTSQRLPYRNPYQSHNYNSNPYGSFEEASPSPLLNLGDPTMTGLQEPVVGMLREMVYARVFGAFPSSYHLTGTSSPRIRRHEMLAAKSLNRISIFLFCCFLLCLVVF >Potri.015G077500.2.v4.1 pep chromosome:Pop_tri_v4:15:10377240:10377713:-1 gene:Potri.015G077500.v4.1 transcript:Potri.015G077500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077500.v4.1 MLRLLASERLLLSQRTRILPRFYHEKVIDHFNNPRNVGSFDKNDPAVGTGLVGAPACGDVMKLQIKVDDTTGEIIDARFKTFGCGSAIASSSVATEWVKGKQMEEVMTIKNTLVFFFFFF >Potri.015G077500.1.v4.1 pep chromosome:Pop_tri_v4:15:10370640:10377785:-1 gene:Potri.015G077500.v4.1 transcript:Potri.015G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077500.v4.1 MLRLLASERLLLSQRTRILPRFYHEKVIDHFNNPRNVGSFDKNDPAVGTGLVGAPACGDVMKLQIKVDDTTGEIIDARFKTFGCGSAIASSSVATEWVKGKQMEEVMTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYQTKRAKLSGVSETEPVEQAAQA >Potri.003G083200.1.v4.1 pep chromosome:Pop_tri_v4:3:10982096:10983772:-1 gene:Potri.003G083200.v4.1 transcript:Potri.003G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083200.v4.1 MGFAFKYGYSLLCIMALLPAISYSQDYTFSRATYYGSPDCLGTPSGACGFGEYGRTVNDANVAGVSRLYKNGTGCGGCYQVRCKAPNLCTDDGVNVVVTDYGEGDKTDFILSPRAYARMAHPNMAVELIAYGVVDVEFRRIPCRYSGYNLMFKVHEHSRFPDYLAIILLYQAGQNEILAVELWQEDCKEWRGMRRAYGAVWDMPNPPKGAISLRFQVSGSAGVTYWAQAANVIPSDWKAGIAYDSTVQLS >Potri.001G220600.5.v4.1 pep chromosome:Pop_tri_v4:1:23017039:23020955:1 gene:Potri.001G220600.v4.1 transcript:Potri.001G220600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220600.v4.1 MVKKRKPTSKPQQPSSSKQPKIEKPPQPPPPSSEAEEVAAVEEEEEEEEEEEGDSSSSETESGDSGSEEEEESESESESEEEEEESEDSKRETISKILQPFTKELLIKILKEAASTDPCIATEIFNSVDSDPVHRKIFVHGLGWDAHNETLISVFKKYGEIEECKIVTDKATGRSKGYGFLLFKTRIAARKALKEPQKKVGNRTVSCQLASLGKGQNQKQDNSSDVSLRKLYIGNVGPQISVENLREFFAQFGEIEDGPSGFDKSTMKFRGFAFIVYKSLEGIRKALEEPVKVFEGLKLQCSLSTKNSSGGGGNANVAEASSAGAGNNAGVVQGNLGIQGLLNQGMVGQSVNPTGAVFLGQNPALGVLNPVLGLGVGAGGMLNQTGLSPLFPGGVSQPLNRVGNVGPSIGLGAGFTTQHGINTISNSMIGSYNSQAALQGLGAYQSSQLSQPSASAARSQAGIGSVPSYFGR >Potri.001G220600.1.v4.1 pep chromosome:Pop_tri_v4:1:23016990:23020290:1 gene:Potri.001G220600.v4.1 transcript:Potri.001G220600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220600.v4.1 MVKKRKPTSKPQQPSSSKQPKIEKPPQPPPPSSEAEEVAAVVEEEEEEEEEEEEEEEEEGDSSSSETESGDSGSEEEEESESESESEEEEEESEDSKRETISKILQPFTKELLIKILKEAASTDPCIATEIFNSVDSDPVHRKIFVHGLGWDAHNETLISVFKKYGEIEECKIVTDKATGRSKGYGFLLFKTRIAARKALKEPQKKVGNRTVSCQLASLGKGQNQKQDNSSDVSLRKLYIGNVGPQISVENLREFFAQFGEIEDGPSGFDKSTMKFRGFAFIVYKSLEGIRKALEEPVKVFEGLKLQCSLSTKNSSGGGGNANVAEASSAGAGNNAGVVQGNLGIQGLLNQGMVGQSVNPTGAVFLGQNPALGVLNPVLGLGVGAGGMLNQTGLSPLFPGGVSQPLNRVGNVGPSIGLGAGFTTQHGINTISNSMIGSYNSQAALQGLGAYQSSQLSQPSASAARSQAGIGSVPSYFGR >Potri.001G220600.4.v4.1 pep chromosome:Pop_tri_v4:1:23017021:23020190:1 gene:Potri.001G220600.v4.1 transcript:Potri.001G220600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220600.v4.1 MVKKRKPTSKPQQPSSSKQPKIEKPPQPPPPSSEAEEVAAVVEEEEEEEEEEEEEEEEEGDSSSSETESGDSGSEEEEESESESESEEEEEESEDSKRETISKILQPFTKELLIKILKEAASTDPCIATEIFNSVDSDPVHRKIFVHGLGWDAHNETLISVFKKYGEIEECKIVTDKATGRSKGYGFLLFKTRIAARKALKEPQKKVGNRTVSCQLASLGKGQNQKQDNSSDVSLRKLYIGNVGPQISVENLREFFAQFGEIEDGPSGFDKSTMKFRGFAFIVYKSLEGIRKALEEPVKVFEGLKLQCSLSTKNSSGGGGNANVAEASSAGAGNNAGVVQGNLGIQGLLNQGMVGQSVNPTGAVFLGQNPALGVLNPVLGLGVGAGGMLNQTGLSPLFPGGVSQPLNRVGNVGPSIGLGAGFTTQHGINTISNSMIGSYNSQAALQGLGAYQSSQLSQPSASAARSQAGIGSVPSYFGR >Potri.014G127500.1.v4.1 pep chromosome:Pop_tri_v4:14:8562685:8563284:1 gene:Potri.014G127500.v4.1 transcript:Potri.014G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127500.v4.1 MGSPFRSRSISPMASLWPTFLVLALSASSLVNADDTSTVYEVLQEYDFPIGLLPAGVTSYEFDNSTGKFTVHLNGTCSFKIDSYELKYKSTVKGVIAKDKLSKLSGIQVKVLFLWLSIVEVTRDEDELEFSVGIASANFPVSNFVECPICGCGFDCKDVDLRKGRLSRRFSSS >Potri.005G111400.1.v4.1 pep chromosome:Pop_tri_v4:5:8079573:8084807:1 gene:Potri.005G111400.v4.1 transcript:Potri.005G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MDKELSLELTPTWAVVTVVVVMVSLGFFFQGSLKQLAKWLDRTKRKALLSALDKIKEELMVFGLLSLLMGHWIVFVAKICVKSSTLNSTFYPCVVKKNNLTPGQHVLSRVKYPNDSFVKELVHKQKNEYCPEGHESFVSHESLEQLHRLMFVLGVTHVSYSFIAIALAMIKIYSWRTWENQAKTMALQSVLGSPEAAFDETRQLSTFICHHTSHPWSQHRVLVWLLCFSRQFWSSINQADYMALRLGFISKHQLPLTYDFHNYMLRSMEEEFRDIVGISVPLWIYGICCIFLEFHGTDLYFWLSFLPAILILLIGTKLHRVVVKLAVEIMNLFPWLGNRQFNLRDELFWFGKPRLLLWLIQFISFQNAFEMSTFLWSLWEIKESSCFMDNETYIAIRLACGVVTQFWFSFITFPLYVIITQMGAKFKKTVVSENVRKSLHGWQRRVKAKQSSSTPNLLALPSTTSLGFSMTDSKLNTNFSSIGRSSSRVVDKSATLQHQEASTSQAVTYGISEDNETLEVSLGSGTPCYDTSSDEDDAGVHDLGH >Potri.004G019366.1.v4.1 pep chromosome:Pop_tri_v4:4:1383764:1388670:-1 gene:Potri.004G019366.v4.1 transcript:Potri.004G019366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019366.v4.1 MYLKKASKGRGLHIMGTPRLFKLPFLLELIFLPLFVSSHPKALKDSLDPSSLPPNFLFGTASSSYQFEGAYLSDGKGLSNWDIFTHTSGKIIDGSNGDIAVDQYHRFLEDIDLMETLGVNSYRFSISWARILPKGRFGDINRAGISYYNKLINALLLKGIQPFVTLTHYDMPQELEERYGGWLSPKCQEDFGYYVDICFKYFGDRVNYWVTFNEPNLQVINGYRTGESPPSRCSSPFGNCTHGDSEKETFLAAHNIILAHANAVHIYRTKYQKQQGGSIGIVIHCPWFEPYSNSTADNLATDRAQDFLMNWFLDPIIFGKYPAEMTKILGSAIPKFSSNDREKLNKGLDFIGINHYTGFYIQDCSFVVCKPGQGGSRTEGLAQQVQEKDGVPIGKSSEVDWIHVYPQGMEKIITYLKERYNNTPMIITENGFGQESYLNRTIEEYLQDRDRVEYMSGYLDSLMTAMLKGADVRGYFAWSLLDNFEWGRGYTRRFGLHHVDYTTLKRIPRLSATWFKEFIARYKVDKSQM >Potri.008G015201.3.v4.1 pep chromosome:Pop_tri_v4:8:781952:783734:-1 gene:Potri.008G015201.v4.1 transcript:Potri.008G015201.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G015201.v4.1 MMQSRSLFLNLQLLSGKERDIPVLIFPAGLADIIEEVLRQKVHRSLKNVNIVSNRMVFDNDGRLVSFKGKLIHSLNKNEHALEMAAPVHENFGDADGLINDNASVKKRTDLLLLGDHLGDLEMSDGLDYETRISVGFLNDNIENNLSQYRKAFDVVYMVS >Potri.002G059700.2.v4.1 pep chromosome:Pop_tri_v4:2:4117888:4121646:1 gene:Potri.002G059700.v4.1 transcript:Potri.002G059700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059700.v4.1 MEVDKVETQQQQQKQKQVHLFYCVESEELARNVAAHSELITLQSINWRNFDDGFPNLFINNAENLRGQHVAFLASFSSPGVIFEQLSVIYALPRLFVASFSLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDHVLPLFVTGIPLLKQRLHQLPESDKIVVAFPDDGAWKRFHKLLDHFPMVVCAKVREGDKRIVRIKEGNPAGCHVVIVDDLVQSGGTLIECQKVLAAHGAAKVSAYVTHGVFPKRSWERFTHKDNGMENAFAYFWITDSCPHTVKAITNKPPFEVLSLAGSIADALQI >Potri.008G143000.1.v4.1 pep chromosome:Pop_tri_v4:8:9723150:9736067:1 gene:Potri.008G143000.v4.1 transcript:Potri.008G143000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143000.v4.1 MMERSESSSKMMKKKEIPNDRGFVDTIFSWSLEDIFNENLFKVENIPESYYSVEHYLGSYVIPLLEETRAQLSSSMDIISRAPFAEMVAFFEAKPHGTLLYDVNIDYWRNRSRGSGKEHYKTLPGDIVILTSAKPENVSDLQRVGWTWTFAVVTSITGDETEDAATYTSFTVKAQKEIEISDGLQKSLTVFSLTNITTSKRIWNALHMFGNLNIIKEILCTDSVVEENCNQYSMWERAIYDENVVNLSSNLNESQSKAVLACLLKKQRNHKSAVELIWGPPGTGKTKTVSMLLFSLLKMKCRTLTCGPTNVSITEVASRVLKLVTESHEADSGTYSLFHSVGDILLFGNKDRLKVDSETQEVYLDYRVKRLIECFAPLTGWRNCFNSTIDFFEDCDSQYAIFVENELIKMQEHDDENEEKRESCSYQAVALKGELKTFLEFMRDRFRSTAVHLKRCLTLLCTHIPETCILKHNIQNIVSLFGSLNSFESWLFHEDVISDELLEVFSHPGLDEDSSQGFNDILLQLRLKRSECLTRLKRVWDSLRHLDLPSAMNKRSIEEFCFQKATLFLCTASSSYKLHLLPIEPLDFLVVDEAAQLKECESTIPLQLPGIRHAILIGDECQLPAMVVSNVCDKAGFGRSLFERLSSLGHSKHLLDMQYRMHPSISCFPNSKFYFSQILDAPNVKARSYEKHYLPGPMFGPYTFINVFGGREELDDVGHSRKNMVEVAIVLKLLRSLYKAWSGQKVRVGVISPYTAQVGAIQEKLGKKYETIDGFSVKVSSIDGFQGGEEDIVIISTVRSNTGGAIGFMSDPRRINVALTRARHCLWILGNERTLSNSESIWEKLVHDAKERSCFFHADEDKDLAKAILEVKKEFDQLDDLIKGDSALFRSARWKVLFSEYFKKSFGKLASVRKKTPVLNLLLKLSSGWRPKKRSVDFICGSSSQILKQFKVEGLYVICSIDIVKEICYTQVLKVWDLLALEDIPILAKRLEGIFETYTDDFISHCNEKCLEGDLEVPKTWRTSFDIPRYKSCSNNEIRSNSNSGGPDGPYYVENSKVSDSLLLMKFYSLSSGVVSHLLSDRDGRELELPFEVTDEELEIIIFQRSTFILGRSGTGKTTVLTMKLFKKEELYYTATQGYLNTSKDSSRRNNVADDIKSVGDGVGDAKETVLRQLFVTVSPKLCYAIKHHVIQLKSFASGGKYSAEGSSVDMEDIDDAAQFKDITNSFLDIPQKSYPLVITFFKFLMMLDGTMGNSYFERFSDMRQLLHEKVGNSGSISAQTLIRTNEVNFEKFCAVYWPRFNEKLKKKLDSSRVFTEIISHIKGGLRAGESCDGRLSREDYVILSEGCISTLSRQKRDLIYDIFEDYEKMKAENGDFDMADFVNDLHLRLKTYKYEGDAMDFVYIDEVQDLTMRQIALFKYICRNVDEGFVFSGDTAQTIARGIDFRFEDIRSLFYKEFVLASRSAGNDRNEKGRISKIFHLNQNFRTHAGVLNLAQSVIDLLYRFFPSFIDALRHETSLIYGEAPILLESGNDENAIVTIFGNSGNVRSNFVGFGAEQVILVRDDAAKKEIDNYVGKHALVLTVVECKGLEFQDVLLYNFFGSSPLKNKWRVVYEFMKEQDLLDANSPSFPSFIPAKHNVLCSELKQLYVAITRTRQRLWICENVEDFSRPMFDYWTKKGLVQVRKLDDSLAQAMQVSSSPEEWKSQGYKLLREGNYEMAAMCFERARDERGEKLSKAAGLKAAADRMHSSNPEMASVARRQAAEIFESIGKAEYAAECFYMLKEYDRAGRIYLQCGESAMERAGECFFLAENYCSAAEVYAKGCNFSKCLSACTKGKLFDTGLHYIQYWKQQGTADQRSREMDTIEQEFLESCACHYYELNDNRAMMTYVRAFDSMSSARTFLTNLGCLDELFSLEVESGNFLEAAGIAKQKGELVLEADLLGKGGHFKEASLLILWFVFANSLWSTGSKGWPLKQFLQKEELLAKAKLLAKDVSDQFYEFVHTEAEILLNSQHNLFKIHQSLESSRRHISIRGEILLARKILDMHLHLNTSKYWWENDLVSDLAKLSERNFLNNQVSAETLVYFWNFWKDKIVNIFKFLGRLERQDVTEYGDFGEFCLNYLGVKRQFNNLNTIYFLMISDAQWVRDIPRKFIQRKGNLVSVDVHQFVTAAQGYWCLELLSVGMNVLTNLEALYNLSVRNSLSLFCQSRSLTHIYEVANFLLNCQFLSIEHGDIRALRKFTRLATGCFYDCIYPRDWRESLKENMISLRRTEICRNLLKEVIFEDVSSKNNLSYAQLENNLSYAQLGRIASMILGSGEMLCEPYEKMADGLQWNSSWKAFIEDLCRNVSEVSYMWKLHEALVDTYNANWRKVDYILPGCFLYMLERQLILLSYFQGYCFTTKSSFVEWLIYQEGHGSPTFESWTGLAPQSTESILKFVVDTVQLLLYNEKDMMEWIRVSEKNVKVLNDYHAVVVLRLVVIICLIYVNFGWCEGLLSDLLGRTYITKKLPSQFYDAIRKRQKHNSLNVNPTVVAEAFSKIGNPLVVVSFGKNCSGFLCPDAIFVDMKVNESKDNVLRVLFAKTDATAQHHTGAVEANTRSSFKGIVSQGIEDLGKITELPSNVGDTANWNSRCGKKDEGNPPLSHDRLWEIFEALKSPTHGVDERSNIACDPTFKVDIDRITCLLKAAIDGNFQNPPSVDNKNLLEEASTMLHELGQLNAALEMREPEHESDISTIGELLEKLQSRRPRMEFFLSQIFLQHDENHKREMSERNIASDGQRDEEHSNSKAEGSCVSVKGEINISRSNVETGGRNPDTENKGKGNSKSKKNKKGKGGRKRK >Potri.008G143000.4.v4.1 pep chromosome:Pop_tri_v4:8:9723570:9736067:1 gene:Potri.008G143000.v4.1 transcript:Potri.008G143000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143000.v4.1 MIVASLTPFFHGLLRIFSMKIFSRHCLWILGNERTLSNSESIWEKLVHDAKERSCFFHADEDKDLAKAILEVKKEFDQLDDLIKGDSALFRSARWKVLFSEYFKKSFGKLASVRKKTPVLNLLLKLSSGWRPKKRSVDFICGSSSQILKQFKVEGLYVICSIDIVKEICYTQVLKVWDLLALEDIPILAKRLEGIFETYTDDFISHCNEKCLEGDLEVPKTWRTSFDIPRYKSCSNNEIRSNSNSGGPDGPYYVENSKVSDSLLLMKFYSLSSGVVSHLLSDRDGRELELPFEVTDEELEIIIFQRSTFILGRSGTGKTTVLTMKLFKKEELYYTATQGYLNTSKDSSRRNNVADDIKSVGDGVGDAKETVLRQLFVTVSPKLCYAIKHHVIQLKSFASGGKYSAEGSSVDMEDIDDAAQFKDITNSFLDIPQKSYPLVITFFKFLMMLDGTMGNSYFERFSDMRQLLHEKVGNSGSISAQTLIRTNEVNFEKFCAVYWPRFNEKLKKKLDSSRVFTEIISHIKGGLRAGESCDGRLSREDYVILSEGCISTLSRQKRDLIYDIFEDYEKMKAENGDFDMADFVNDLHLRLKTYKYEGDAMDFVYIDEVQDLTMRQIALFKYICRNVDEGFVFSGDTAQTIARGIDFRFEDIRSLFYKEFVLASRSAGNDRNEKGRISKIFHLNQNFRTHAGVLNLAQSVIDLLYRFFPSFIDALRHETSLIYGEAPILLESGNDENAIVTIFGNSGNVRSNFVGFGAEQVILVRDDAAKKEIDNYVGKHALVLTVVECKGLEFQDVLLYNFFGSSPLKNKWRVVYEFMKEQDLLDANSPSFPSFIPAKHNVLCSELKQLYVAITRTRQRLWICENVEDFSRPMFDYWTKKGLVQVRKLDDSLAQAMQVSSSPEEWKSQGYKLLREGNYEMAAMCFERARDERGEKLSKAAGLKAAADRMHSSNPEMASVARRQAAEIFESIGRIYLQCGESAMERAGECFFLAENYCSAAEVYAKGCNFSKCLSACTKGKLFDTGLHYIQYWKQQGTADQRSREMDTIEQEFLESCACHYYELNDNRAMMTYVRAFDSMSSARTFLTNLGCLDELFSLEVESGNFLEAAGIAKQKGELVLEADLLGKGGHFKEASLLILWFVFANSLWSTGSKGWPLKQFLQKEELLAKAKLLAKDVSDQFYEFVHTEAEILLNSQHNLFKIHQSLESSRRHISIRGEILLARKILDMHLHLNTSKYWWENDLVSDLAKLSERNFLNNQVSAETLVYFWNFWKDKIVNIFKFLGRLERQDVTEYGDFGEFCLNYLGVKRQFNNLNTIYFLMISDAQWVRDIPRKFIQRKGNLVSVDVHQFVTAAQGYWCLELLSVGMNVLTNLEALYNLSVRNSLSLFCQSRSLTHIYEVANFLLNCQFLSIEHGDIRALRKFTRLATGCFYDCIYPRDWRESLKENMISLRRTEICRNLLKEVIFEDVSSKNNLSYAQLENNLSYAQLGRIASMILGSGEMLCEPYEKMADGLQWNSSWKAFIEDLCRNVSEVSYMWKLHEALVDTYNANWRKVDYILPGCFLYMLERQLILLSYFQGYCFTTKSSFVEWLIYQEGHGSPTFESWTGLAPQSTESILKFVVDTVQLLLYNEKDMMEWIRVSEKNVKVLNDYHAVVVLRLVVIICLIYVNFGWCEGLLSDLLGRTYITKKLPSQFYDAIRKRQKHNSLNVNPTVVAEAFSKIGNPLVVVSFGKNCSGFLCPDAIFVDMKVNESKDNVLRVLFAKTDATAQHHTGAVEANTRSSFKGIVSQGIEDLGKITELPSNVGDTANWNSRCGKKDEGNPPLSHDRLWEIFEALKSPTHGVDERSNIACDPTFKVDIDRITCLLKAAIDGNFQNPPSVDNKNLLEEASTMLHELGQLNAALEMREPEHESDISTIGELLEKLQSRRPRMEFFLSQIFLQHDENHKREMSERNIASDGQRDEEHSNSKAEGSCVSVKGEINISRSNVETGGRNPDTENKGKGNSKSKKNKKGKGGRKRK >Potri.010G192600.1.v4.1 pep chromosome:Pop_tri_v4:10:18774688:18779872:1 gene:Potri.010G192600.v4.1 transcript:Potri.010G192600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192600.v4.1 MSDALINGLAGAGGGIIAQLITYPLQSVNTRQQTERDVKKAKRKHGTLEQMCQVVKNEGWGRLYSGLAPSIVGTACSQGVYYYFYQIFRDRAEAIARENKRNGIGDGSVGMLSSLMVAALAGCTNVLLTNPIWVVVTRMQTHTKNSNKSQPGHSSIAPDEKALDPIECPPYGTGHAIQELYDEAGIQGFWKGVFPTLIMVSNPSMQFMLYETMLKKLKRKRALVKQGDTGVTALEIFLLGALAKLGATVVTYPLLVVKSRLQAKQTTTGDKRHNYEGTLDAILKMIRYEGLHGFYKGMSTKIVQSVLAAAVLFMIKEELVRGARMLLTKGGTSTRRTRPA >Potri.009G149100.1.v4.1 pep chromosome:Pop_tri_v4:9:11784037:11791888:1 gene:Potri.009G149100.v4.1 transcript:Potri.009G149100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149100.v4.1 MAGDNSADQTTDSPDPNPQEDPNADTNKDNNLSFSSYSDSDSDYDYDYDYDYTQSSTNQEDGVVTYTRPGDEIPESENTPEKNIARFADVLESKRMKRMKEEEDRNYVFYEDLFDFPRDKENWREEDLKELWADPPWESTKPGWDPVWADEEDWDIVRKMKEEGRDPPIAPFYVPYRRPYPVIPDNHYDISNPKAVIEELDRIEEFLTWVSYIFEDGSSYEGTVWDDLAHGKGVYVAEQGLVRYEGEWLRNNMEGHGVVEVDIPDIEPIPGSKLEEKMRAEGRIISRDFMSPEDRKWLEMDIEDSMRLAGGQYEIPFYENDEWIRQFGEKPEKGRYRYAGEWKHGRMHGCGVYEVNERTIFGRFYFGEFVEDATDCDEDISAVHAGIAEVAAAKARMFVNKPDGMVREAFGPYSDPQHPYFYEEEDVWMAPGFINQFYEVPDYWKRYAHEVDQEREMWLNSFYKAPLRLPMPAELSYWWENEETPEFIVLDKEPEPDPEDPSRRIYTEDPVILHTPTGRIIDWVEDEEHGVRLFWQPPLKDGEDFDPDKVQFLPLGFDEFYGKEEVMKKENIWQRLLKRADDVGKLVRGKLEKWTEEKKKASEIKIQLYEKELELIEAELCLEETMEDLDEELKMREKEEEEKVEIGLQGEENTFVSAQQEEKPLAKDEEEEEEEEEEEEEEDDVTPSSFGSVTQDEDPRKNDQKGNRPAGAPFSASSLSFASCSLLSTVPSRLQQSFLTWKKRLPQNATPSLCVESPNDLSGMVNSVSFPPVLGQKGRLRAESCADQRIQATSHSIGKMSRLHSLSRILSHPSASVNPRENLKKPRKQRHPWLHAAPERDSDSILSLHTQLYYLESYTNTIKYEPLPLLN >Potri.001G254700.2.v4.1 pep chromosome:Pop_tri_v4:1:27080862:27081275:-1 gene:Potri.001G254700.v4.1 transcript:Potri.001G254700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254700.v4.1 MAMIPSFFNNRRGSSIIFDPFSSFEAWDPFKDFPFPSSSLIPRENSAFVSTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNTEMEDRNDSWHRVERSSGKFLRRFRLPENAKMDQVKWASYCHCA >Potri.018G019800.1.v4.1 pep chromosome:Pop_tri_v4:18:1348123:1350100:-1 gene:Potri.018G019800.v4.1 transcript:Potri.018G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019800.v4.1 MESSWVNTSLDLNINPFKHVNESTQVQKIKKFEGYSTRVLEQKLQVKNEDGVGVLVEELTRMSSENKKLTEMLGVVCDNYMALQKHLADLVSKNSVKEISTPISSRKRKAESEDYSIVINGISGGNAESSSIDEESSKRPKENLKSKISRTYFRTSESDASLVVKDGYQWRKYGQKVTRDNPSPRAYYKCSFAPSCPVKKKVQRSAEDPSILVATYEGEHNHASHSQHEPSLGSSHGSNFGPIPTQSPIRSSAPTVTLDLIQSGRHGDTATKTVQEMEVPALQKILVQQMASSLTRDPNFTAALAAAISGRFNQTRMEKW >Potri.010G209900.1.v4.1 pep chromosome:Pop_tri_v4:10:19914942:19916702:-1 gene:Potri.010G209900.v4.1 transcript:Potri.010G209900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209900.v4.1 MPINRIAFGTPREASHPDALRAALAEFISMLIFVFAGSGSGMAFNKLTDNASTTPSGLVAAALAHAFALFVAVSVGANISGGHVNPAVTFGALIGGNITLLRSILYWIAQLLGSVVACLLLKFATGGLETPAFGLSSGVGAWNALVFEIVMTFGLVYTVYATAVDPKKGNLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPAVVSWTWTNHWVYWLGPFIGAAIAALVYDNIFIGSGGHEPLPTNDF >Potri.011G092700.10.v4.1 pep chromosome:Pop_tri_v4:11:11937411:11945008:-1 gene:Potri.011G092700.v4.1 transcript:Potri.011G092700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092700.v4.1 MVVQAPPKPILNNFNDDDDDDGPIVFKRGGNSTSKQNQPNLEAKKPSSSSQNSNGQSLNAHKGKSPISSSNASPVKSPIGSPKASASSAKASPMKPPVTYSRVPSSSIAVKEETKPIVDDDDSEDDKPLSSRFKGSTNNANKGVTVPARVKDEHSDDDEVPLSSRFAAKSNAGSSSCKTISSSEKILLVSKIQLNGSTAKDKQQKSSAVPTKRPMDNKVPSNQSFAKKPKLSDASTTKQVTVKAELKADDDDHLTISQRMKKASSSSNKSLSVKQNVTKVVSFSSKKTTKNNKKQMQNSKYSKSTKVQPGSSDGQKKWKTLVHNGVIFPPPYKPHGVKMLYKGKPVDLTPEQEEVATMFAVMKDTEYVQKPQFLQNFWNDWRTLLGKNHVIQKLEACDFNPIYEWHQKEKEKKKQMSTEEKKAVREEKLKQEEMYMWAIVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDAPIPKCPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIHNIRTAYTKDFASKDITKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPPNKLKFDFLGKDSIRYENTVEVELPVYKAIRQFQVAKKPTDDLFDSLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKFVIYNQANKQVAIICNHQRTISKSHDAQMSRLTEKLEELKGTLKEFKTDLDRAKKGKPPLKDADGKQKRNLTPEAIEKKIATTNQKIEKMELSMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFTWAMDVDPQFRF >Potri.011G092700.7.v4.1 pep chromosome:Pop_tri_v4:11:11937418:11945190:-1 gene:Potri.011G092700.v4.1 transcript:Potri.011G092700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092700.v4.1 MHASTDPSWTLMVVQAPPKPILNNFNDDDDDDGPIVFKRGGNSTSKQNQPNLEAKKPSSSSQNSNGQSLNAHKGKSPISSSNASPVKSPIGSPKASASSAKASPMKPPVTYSRVPSSSIAVKEETKPIVDDDDSEDDKPLSSRFKGSTNNANKGVTVPARVKDEHSDDDEVPLSSRFAAKSNAGSSSCKTISSSEKILLVSKIQLNGSTAKDKQQKSSAVPTKRPMDNKVPSNQSFAKKPKLSDASTTKQVTVKAELKADDDDHLTISQRMKKASSSSNKSLSVKQNVTKVVSFSSKKTTKNNKKQMQNSKYSKSTKVQPGSSDGQKKWKTLVHNGVIFPPPYKPHGVKMLYKGKPVDLTPEQEEVATMFAVMKDTEYVQKPQFLQNFWNDWRTLLGKNHVIQKLEACDFNPIYEWHQKEKEKKKQMSTEEKKAVREEKLKQEEMYMWAIVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDAPIPKCPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIHNIRTAYTKDFASKDITKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPPNKLKFDFLGKDSIRYENTVEVELPVYKAIRQFQVAKKPTDDLFDSLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKFVIYNQANKQVAIICNHQRTISKSHDAQMSRLTEKLEELKGTLKEFKTDLDRAKKGKPPLKDADGKQKRNLTPEAIEKKIATTNQKIEKMELSMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFTWAMDVDPQFRF >Potri.011G092700.3.v4.1 pep chromosome:Pop_tri_v4:11:11937412:11945198:-1 gene:Potri.011G092700.v4.1 transcript:Potri.011G092700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092700.v4.1 MVVQAPPKPILNNFNDDDDDDGPIVFKRGGNSTSKQNQPNLEAKKPSSSSQNSNGQSLNAHKGKSPISSSNASPVKSPIGSPKASASSAKASPMKPPVTYSRVPSSSIAVKEETKPIVDDDDSEDDKPLSSRFKGSTNNANKGVTVPARVKDEHSDDDEVPLSSRFAAKSNAGSSSCKTISSSEKILLVSKIQLNGSTAKDKQQKSSAVPTKRPMDNKVPSNQSFAKKPKLSDASTTKQVTVKAELKADDDDHLTISQRMKKASSSSNKSLSVKQNVTKVVSFSSKKTTKNNKKQMQNSKYSKSTKVQPGSSDGQKKWKTLVHNGVIFPPPYKPHGVKMLYKGKPVDLTPEQEEVATMFAVMKDTEYVQKPQFLQNFWNDWRTLLGKNHVIQKLEACDFNPIYEWHQKEKEKKKQMSTEEKKAVREEKLKQEEMYMWAIVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDAPIPKCPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIHNIRTAYTKDFASKDITKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPPNKLKFDFLGKDSIRYENTVEVELPVYKAIRQFQVAKKPTDDLFDSLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKFVIYNQANKQVAIICNHQRTISKSHDAQMSRLTEKLEELKGTLKEFKTDLDRAKKGKPPLKDADGKQKRNLTPEAIEKKIATTNQKIEKMELSMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFTWAMDVDPQFRF >Potri.011G092700.8.v4.1 pep chromosome:Pop_tri_v4:11:11937843:11945053:-1 gene:Potri.011G092700.v4.1 transcript:Potri.011G092700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092700.v4.1 MVVQAPPKPILNNFNDDDDDDGPIVFKRGGNSTSKQNQPNLEAKKPSSSSQNSNGQSLNAHKGKSPISSSNASPVKSPIGSPKASASSAKASPMKPPVTYSRVPSSSIAVKEETKPIVDDDDSEDDKPLSSRFKGSTNNANKGVTVPARVKDEHSDDDEVPLSSRFAAKSNAGSSSCKTISSSEKILLVSKIQLNGSTAKDKQQKSSAVPTKRPMDNKVPSNQSFAKKPKLSDASTTKQVTVKAELKADDDDHLTISQRMKKASSSSNKSLSVKQNVTKVVSFSSKKTTKNNKKQMQNSKYSKSTKVQPGSSDGQKKWKTLVHNGVIFPPPYKPHGVKMLYKGKPVDLTPEQEEVATMFAVMKDTEYVQKPQFLQNFWNDWRTLLGKNHVIQKLEACDFNPIYEWHQKEKEKKKQMSTEEKKAVREEKLKQEEMYMWAIVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDAPIPKCPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIHNIRTAYTKDFASKDITKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPPNKLKFDFLGKDSIRYENTVEVELPVYKAIRQFQVAKKPTDDLFDSLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKFVIYNQANKQVAIICNHQRTISKSHDAQMSRLTEKLEELKGTLKEFKTDLDRAKKGKPPLKDADGKQKRNLTPEAIEKKIATTNQKIEKMELSMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFTWAMDVDPQFRF >Potri.011G092700.9.v4.1 pep chromosome:Pop_tri_v4:11:11937348:11945009:-1 gene:Potri.011G092700.v4.1 transcript:Potri.011G092700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092700.v4.1 MVVQAPPKPILNNFNDDDDDDGPIVFKRGGNSTSKQNQPNLEAKKPSSSSQNSNGQSLNAHKGKSPISSSNASPVKSPIGSPKASASSAKASPMKPPVTYSRVPSSSIAVKEETKPIVDDDDSEDDKPLSSRFKGSTNNANKGVTVPARVKDEHSDDDEVPLSSRFAAKSNAGSSSCKTISSSEKILLVSKIQLNGSTAKDKQQKSSAVPTKRPMDNKVPSNQSFAKKPKLSDASTTKQVTVKAELKADDDDHLTISQRMKKASSSSNKSLSVKQNVTKVVSFSSKKTTKNNKKQMQNSKYSKSTKVQPGSSDGQKKWKTLVHNGVIFPPPYKPHGVKMLYKGKPVDLTPEQEEVATMFAVMKDTEYVQKPQFLQNFWNDWRTLLGKNHVIQKLEACDFNPIYEWHQKEKEKKKQMSTEEKKAVREEKLKQEEMYMWAIVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDAPIPKCPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIHNIRTAYTKDFASKDITKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPPNKLKFDFLGKDSIRYENTVEVELPVYKAIRQFQVAKKPTDDLFDSLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKFVIYNQANKQVAIICNHQRTISKSHDAQMSRLTEKLEELKGTLKEFKTDLDRAKKGKPPLKDADGKQKRNLTPEAIEKKIATTNQKIEKMELSMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIEKIFNKSLLAKFTWAMDVDPQFRF >Potri.001G368100.1.v4.1 pep chromosome:Pop_tri_v4:1:38457212:38466974:1 gene:Potri.001G368100.v4.1 transcript:Potri.001G368100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368100.v4.1 MSLGYAEKLSYIEDVGNVGMSEFFDSSHVLQEKIERLAEMIQKSKHLVVFTGAGISTSCGIPDFRGPKGIWTLQREGKPLPEASLPFHRAMPSMTHMALVELEKAGILKFIISQNVDGLHLRSGIPREKLAELHGNSFMEVCPSCGVEYFRDFEVETIGLKETSRRCSDVKCGAKLKDTVLDWEDALPTKEMLPAEKHCRMADVVLCLGTSLQITPACNLPLKCLRGGGKIIIVNLQKTPKDKKASLVIHGFVDKVIAGVMNLLNLRIAPYVRIDLLQVIITQSLSLDERYVNWNLRVASIHALKAPLPFIESIEVSFTDAQKYKAAVLHDQPFNLKRRTAPAEAFEILLKLNFSDGCGCPSIQINVPVNFKVSSDCFNLDKESAIQNLKERAIQDLCCGQNALIERKVILEPKTEVANHALVTNIKAFNSDSWSNGDVKHPRGVNGTKTSRKRSFGRKRKSRF >Potri.006G212200.1.v4.1 pep chromosome:Pop_tri_v4:6:21893561:21894105:-1 gene:Potri.006G212200.v4.1 transcript:Potri.006G212200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212200.v4.1 MASECQGKSSWPELLGAQARVAVATIETENPYVDTQVVLEGTPVTGEFSCTRVRVWIDRNRIVTRVPVIG >Potri.006G206700.1.v4.1 pep chromosome:Pop_tri_v4:6:21467452:21468634:-1 gene:Potri.006G206700.v4.1 transcript:Potri.006G206700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G206700.v4.1 MNDSSLPAPLAKRLEGKVALITGAASGIGETTARLFVQHGAKVVIADIQDELGHSVCNEIGSDYVHCDVTSEIDVQNAVETTISKHGKLDIMFSNAGIADAKLDISILAFEHEDYKKVFDVNMYGAFLSAKHAAKVMIPAKKGSIIFTSSFASVCYGAMLPYAYAVSKHALVGLTKNLCVELGQYGIRVNCISPFGVATPLLRKGLGGIDQKTVEEFICTSANLKEAVLKASDVAEAALYLGGGDSKYVSGLNLVIDGGYSTTNVAIREQMKEYFG >Potri.003G030300.3.v4.1 pep chromosome:Pop_tri_v4:3:3363945:3367933:-1 gene:Potri.003G030300.v4.1 transcript:Potri.003G030300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030300.v4.1 MGTASSMLTQYDLEEVQLHCHNLFSQQEIVSLYQRFCQLDRNAKGFISADEFLSVPEFAMNPLSQRLLKMVDGLNFKDFVAFLSAFSAKANMEQKIALIFKVYDSDGNGKISFNDILEVLQDLSGVFMSNEQREKVLIQVLKEAGYTRESYLMLDDFIKVFGNSGLKLEVEVPVD >Potri.004G101500.1.v4.1 pep chromosome:Pop_tri_v4:4:8876653:8884714:1 gene:Potri.004G101500.v4.1 transcript:Potri.004G101500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101500.v4.1 MSLRVLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQSPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERYIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGDEPDREILKMVARTTIRTKLYEALADQLTDIVVNSVLCIRKPEEAIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCFILTCNVSLEYEKSEINAGFFYSNAEQREAMVAAERRQVDERVRKIIELKDKVCSGTDNNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEALNSVDSLTPDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFELAARKHLINEVKKTVKGRAQLGVEAFADALLVVPKTLAENSGLDTQDEIVALTGEHDRDNIVGINLQTGGPLDPQVEGIFDNYSVKRQLINSGPVITSQLLLVDEVIRAGRNMRKPN >Potri.018G057800.1.v4.1 pep chromosome:Pop_tri_v4:18:5756735:5757704:1 gene:Potri.018G057800.v4.1 transcript:Potri.018G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057800.v4.1 MSRYEQSSAQPTSRKAVLKFMTAGTIGAALLVLSGLTLTGTVIALIVATPILVLCSPVLVPAAMVVFLVSSGFFFSGGCGLAAIMVLLWTYNYVTGKHPPGADRLDYATRKIAEKANSVRATKGSRSYSNFLIAWVNGIM >Potri.001G423300.1.v4.1 pep chromosome:Pop_tri_v4:1:45143107:45148989:-1 gene:Potri.001G423300.v4.1 transcript:Potri.001G423300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423300.v4.1 MRKSKPKSKQTRRDRHEAEQEEISKLNQWIDSQKPDSGTNPLSLPPLPKDAPIGPLQDDKFSRYSGATMFKELPLSKRTQDGLKRANFSKMTDIQRASLPHSLCGRDILGAAKTGSGKTLAFIIPILEKLYKERWGSEDGVGGIIISPTRELAGQLFDVLKTVGKFHNFSAGLLIGGRKEVEMEKEHVNALNILVCTPGRLLQHMDETPNFDCSQLQVLVLDEADRILDVGFKKTLNAIVAQLPKRRQTLLFSATQTKSIQDLARLSLKDPEYISVHEDAETATPSRLQQTAMIVPLEQKLDMLWSFVKAHLNSKILVFLSSCKQVKFVFEAFKKLRPGIPLKCLHGRMKQEKRMGIYSQFCESHSVLFSTDVASRGLDFNKAVDWVVQVDCPDDVASYIHRVGRTARYLAGGRSVLFLMPSEMKMLEKLQTAKIPVQFIKANTKRLQPVSGLLSALLVKYPDMQQLAQRAFITYLRSIHIQKDKEVFDVLKLSIEEFSASLGLPMTPKVRFLNQKIKGKKAFGKSALLESEDSEKEDAAEIPGEKLDIGNFREESVGRLKENLKIGDSEEENVEKGFLQTKNALNGSEAKTGEIEDLVPATRVLKKKKLKINVHRPVGTRVVFDEEGNTLPPLARVADRKNVANSSLLDQDKREEYYKNMREQMKHVDKEDKVLDRQRRREKRIKEKMKRKIGSMGLEEDGEGEDDLSGSEGEGRKHKRSKIYFDSDSDNAEMTESKDNAGISTDSISLADQEALALKLLSSMHS >Potri.003G142100.1.v4.1 pep chromosome:Pop_tri_v4:3:15770823:15776086:1 gene:Potri.003G142100.v4.1 transcript:Potri.003G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142100.v4.1 MMAMNRGGGGGSFSQANSEGCGEDDLYTELWKACAGPLVDVPKRGERVFYFPQGHMEQLEASTNQELNQRVPLFNLPSKILCRVINTQLLAEQETDEVYAQITLLPESDQIETTSPDPCPSEPPRPTVHSFCKVLTASDTSTHGGFSVLRKHASECLPPLDMIQPIPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELRVGVRRVARQQSSMPSSVISSQSMHLGVLATASHAISTLTLFVVYYKPRTSQFIISLNKYLEAVNNKFAVGMRFKMRFEGEDSPERRFSGTIVGVEDFSPHWNDSKWRSLKVQWDEPASISRPDRVSPWEIEPCVASVPANLSQPVQPKNKRPRPPIEIPAFDLSSTASTPWNSGLAQSCDLTQLSVTGEGKRNENHVMWHQKQTDINGHSNSMTRTQGEGGWLSSPHVNVSQHLFQEAMEDSKSVSPWPVFVGYSTPLSSKSKNDPIPDPSNKGRKYEVPTSYRLFGFDLVNQSTSSSSPIEKVSAQPISVSRGATDGRVLAALPAVDSDQKHEVSKEKKPEQLHGSSKEIQSKQSSTSTRSRTKVQLQGIAVGRAVDLTLIKGYGQLIDELEQLFDIKGQLHPRDKWEIVYTDDEGDMMLVGDDPWPEFCNMVRRIFICSSQDVKKMSPGSKLPMLALEGEGTVLSSDSAEN >Potri.013G051400.2.v4.1 pep chromosome:Pop_tri_v4:13:3666475:3676961:1 gene:Potri.013G051400.v4.1 transcript:Potri.013G051400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051400.v4.1 MISLQNGARPVVFGSARDDAVGGFQVKIEPPASVSAASSAALSLLPFKCRDSHHEVVDEDAHLGLAHQLYKSGNYKQALEHSSVVYERSPQRTDNLLLLGAIYYQLQDYDMCIAKNEEALRLEPRFAECYGNMANAWKEKGDIDLAIRYYLVSIELRPNFADAWSNLASAYMRKGRLNEASQCCRQALTLNPHLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPTFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPKFPDAYLNLGNVYKALGMPQEAIVCYQQAVQARPKYAMAFGNLASTYYERGQLDLAILHYKQAIACDQRFLEAYNNLGNALKDVGRVDEAIQCYNQCLSLQPNHPQALTNLGNIYMEWNMSAAAASCYKATLAVTTGLSAPFSNLAVIYKQQGNYSDAISCYNEVLRIEPLAADGLVNRGNTYKEIGRVSEAIQDYINAITIRPNMAEAHANLASAYKDSGHVEAAIKSYRKALLLRTDFPEATCNLLHTLQCVCCWEDRDKMFNEVEGIIRRQISMAVLPSVQPFHAIAYPIDPVLALEISRKYAAHCSIIASRFALPPFKHPAPLAVKHERGSGRLRIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRQRTQFEAEHFIDVSAMTSDMIAKLINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPTCQHKRSDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGVQPDQIIFTDVAMKQEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTMPLEKMATRVAGSLCLATGLGDEMIVSSMKEYEERAVSLALNRPKLQSLTNRLKAARMTCPLFDTRRWVRNLDRAYFKMWSIHCSGQQPHHFKVAENDFDFP >Potri.012G121801.1.v4.1 pep chromosome:Pop_tri_v4:12:13944840:13952359:1 gene:Potri.012G121801.v4.1 transcript:Potri.012G121801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121801.v4.1 MAGALVSPILEQLTTIVAQQVQEELNLVVGVKKQCDKLKSNLLDIQSVLEDADRKQVKDKAVRDWLDKLKDACYDMDDVLDEWSTAILRWKMEEAEENTRSRQKMRCSFLKSPCFCLNQVVQRRDIALKIKEVSEKVNDIAKERAMFRFELYRATDELQRLTTTSFVDESSVIGRDGEKRNVVSKLLAESSQEARDVDVISLVGLGGIGKTTLAQLAFNDAEVTAHFEKKIWVCVSDPFDEVKIAKAILEELQGRASDLVGLQSLLRRVSESIKGKRFLLVLDDVWTENHGQWEQLKPALTGCARGSRILVTTRKDVVATMMGTDHRINIEKLSDEICRSIFNHVAFQERSEDERERLTDMGDKIANKCKGLPLAAKVLGGLMQSKRKREEWERVLSSELWRLDEVDRDQVERRIFIPLLLSYYDLPYVVRRCFLYCAMFPKDFEMVKDELVKMWMAQGYLKENSGGDMELVGEHYFQVLATRSFFQDFKTGRFEGMKFKMHDIVHDFAQYMTKNECLTVDVNTLGEATVETSIERVRHLSMMLSQETSFPGSIQKAKGLRSLLINTRDPSFGAALPDLFKQLTCIRSLNLSRSSIKEIPNEVGKLIHLRHLNLADCGELESLPETMGDLCNLQSLDVTWCRSLKELPNAIVKLIKLRHLRVVLSGVAFIPKGIERITCLRTLDLFIVCGGGGNESKAANLRELKNLNDIGGSLEIWNLGGGKEDASDAAEAQLKNKKRLLRLRLDFIAEKTELQANEGSLIEALRPPSDLEDLTIYGYGGLDLPNWMMTLTRLQALKLYDCTKLEVLPPLGRLPNLERLVLRSLKVRRLDAGFLGIEKDENASINEGEIARVTAFPKLKILEIWNIKEWDGIERRSVGEEDATTTSISIMPQLRQLTIHNCPLLRALPDYVLAAPLQELYI >Potri.004G224975.1.v4.1 pep chromosome:Pop_tri_v4:4:22937334:22939175:-1 gene:Potri.004G224975.v4.1 transcript:Potri.004G224975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224975.v4.1 MSQTRFNNFIYSELEQDYESKKVCAASTLGELPDEILFFNILSLLTTKEAARTSVLSSRWRKLQINFAIEKKVKKLEMNLSNCRGISQYNKYFFPHKDFPTCNSLTTLRLKNVNVTGEVIEHFLSNYLALETLYIQSSDSLVNLKVAGPLLRLKYLEIRYCSVKNFCKLSRYLYQIDTLTLHENMLKILEVPVLTNLKQLKFIADVIDRDPTFLAITSLIEAASFLQRFIFQVSQKSMLKLLTFGEENKRCPHQHLKVVEILEFTGEAVKVELALYIIENAVVLENIIFDTRNPVLIGTQWEFKESKDRKMGRRCARKLGAKLHLGVT >Potri.010G140200.1.v4.1 pep chromosome:Pop_tri_v4:10:15383104:15384246:-1 gene:Potri.010G140200.v4.1 transcript:Potri.010G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140200.v4.1 MGKIGTRLPGFCLNRIRPHVRVRSPPIQAKANLNSTKNDQKTENPENVGLGEEKSGKSELEKPVELLGRKIMIVVDSSIEAKGALQWALSHTVQSQDLVVLLHVTKASSKQATGEEPRKDRAPRACELVNSLKNMCQLKRPEIQIEIAVVEGKEKGPLIVEEAKKQGAALLVLGQKKRSMTWRLIMMWASNKVTGGVVEYCIQNADCMAIAVRRKGKKHGGYLITTKRHKDFWLLA >Potri.009G169800.1.v4.1 pep chromosome:Pop_tri_v4:9:12893719:12903703:1 gene:Potri.009G169800.v4.1 transcript:Potri.009G169800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169800.v4.1 MSASQNLGGPSSCGRALGPCLDKIVKNAAWRKHSHLVSSCKSVLDKLESLPADSISISISSSHSPLFSLSPSDANLVLNPILLALDSAYPKVVDPALECLFKLFSSGLIRGEINHTPSSLIILKIIESVCKVCGIGDEAVELSVLRVLLAAVRSPCVLIRGECLVHIVRTCYNVYLGGLNGTNQICAKSVLAQILLVVFTRVEEDSMDVNVKTVSVGELLQFTDKNLNEGSSIHFCQNFVNEVMAASEGVPDDKLLLHNQPSDELRNGSAGAGDDDDKIAEGDHKSELSIKEANGEADTDIGVGVSGGGEVGGSKIREDGFLLFRNICKLSMKFSSQETPDDQILLRGKILSLELLKVIMDNGGPIWRSNERFLNTIKQFLCLSLIKNTALSVMAIFQLQCSIFMMLLVKFRSGLKEEIGIFFPMLVLRVLENVNQPSFLQKMTVLNFVDKISQDSQIIVDIFINYDCDVDAPNLYERIVNGLLKTALGPPPGSTTTLSSVQDITFRHESVKCLVSIIRSMGAWMDQKLRTGDSYLPKSSESSTSTENHSTLNGEDAGASDYDLHSEVNSEMSDAATLEQRRAYKIELQKGISIFNRKPSKGIEFLINAKKVGGSPEEVATFLKNTTGLNETVIGDYLGERDEFCLRVMHAYVDSFNFKEMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMSKADFIRNNRGIDDGKDLPEEYLGTLYDQIVKNEIKMSADSSVPQSKQANSLNKLLGLDGILNLVTGKQTEEKALGANGLLIRRIQEQFKAKSGKSGSIYHVVTDAAILRFMVEVCWGPMLAAFSVTLDQSDDRLATSQCLQGFQCAVHVTAVMGMQTQRDAFVTSVAKFTYLHCAADMKLKNVDAVKAIISIAIEDGNNLQDAWEHILTCLSRVEHLQLLGEGAPPDASYLTPSNGETDEKALKSMGYPSLKKKGTLQNPAVMAVVRGGSYDSTTVGANSPGLVTPGQIINLISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSISELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSTEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTVAASDERKNVVLLAFETMEKIVREYFPYITETERTTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCALKLADGGLICNVKSRVDDLSIPIVDEVALDVENHSNKDDHASFWIPLLTGLSKLASDPRSAVRKSALEVLFNILNDHGHLFSRSFWITVFNSVIFPIFSGVSDKKDVKDQDSSTSASPHTERSTWDSETSAVAVQCLVDLFVSFFNVIRSQLQSIVSILMGFVRSPVKGPASTGVASLLRLAGELGSRISEDEWREIFLALKEAAASLLPGFMKVLRIMDDIEMPESPNLYADVDAPSDHGFTNDDLPDDNLQTAAYVISRVKSHIAVQLLIVQVVSDLYKANRQFLSAANVRILVDIFTSIASHAHQLNSETNLLKKLQKGCSIAGISDPPMVHFENESYENYLDFLQDLLKDNPSMSEALSIEEQLAAVCEEILQIYLNCTAGSEAVQQNKTVMHWNLPLGSAKKEEVAARTSLLLSALRVLNDLERDSFRGHARQFFPLLVDLVRCEHNSGEVQRILSNIFLSCIGTIIM >Potri.009G169800.3.v4.1 pep chromosome:Pop_tri_v4:9:12893647:12903588:1 gene:Potri.009G169800.v4.1 transcript:Potri.009G169800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169800.v4.1 MTAMWMLQTYTKDIYRIVNGLLKTALGPPPGSTTTLSSVQDITFRHESVKCLVSIIRSMGAWMDQKLRTGDSYLPKSSESSTSTENHSTLNGEDAGASDYDLHSEVNSEMSDAATLEQRRAYKIELQKGISIFNRKPSKGIEFLINAKKVGGSPEEVATFLKNTTGLNETVIGDYLGERDEFCLRVMHAYVDSFNFKEMDFGEAIRFFLRGFRLPGEAQKIDRIMEKFAERYCKCNPNSFTSADTAYVLAYSVIMLNTDAHNSMVKDKMSKADFIRNNRGIDDGKDLPEEYLGTLYDQIVKNEIKMSADSSVPQSKQANSLNKLLGLDGILNLVTGKQTEEKALGANGLLIRRIQEQFKAKSGKSGSIYHVVTDAAILRFMVEVCWGPMLAAFSVTLDQSDDRLATSQCLQGFQCAVHVTAVMGMQTQRDAFVTSVAKFTYLHCAADMKLKNVDAVKAIISIAIEDGNNLQDAWEHILTCLSRVEHLQLLGEGAPPDASYLTPSNGETDEKALKSMGYPSLKKKGTLQNPAVMAVVRGGSYDSTTVGANSPGLVTPGQIINLISNLNLLDQIGNFELNHVFANSQRLNSEAIVAFVKALCKVSISELQSPTDPRVFSLTKIVEIAHYNMNRIRLVWSRIWNVLSDFFVSVGLSENLSVAIFVMDSLRQLAMKFLEREELANYNFQNEFLRPFVIVMQKSSSTEIRELIVRCISQMVLSRVSNVKSGWKSVFMVFTVAASDERKNVVLLAFETMEKIVREYFPYITETERTTFTDCVRCLTTFTNSRFNSDVSLNAIAFLRFCALKLADGGLICNVKSRVDDLSIPIVDEVALDVENHSNKDDHASFWIPLLTGLSKLASDPRSAVRKSALEVLFNILNDHGHLFSRSFWITVFNSVIFPIFSGVSDKKDVKDQDSSTSASPHTERSTWDSETSAVAVQCLVDLFVSFFNVIRSQLQSIVSILMGFVRSPVKGPASTGVASLLRLAGELGSRISEDEWREIFLALKEAAASLLPGFMKVLRIMDDIEMPESPNLYADVDAPSDHGFTNDDLPDDNLQTAAYVISRVKSHIAVQLLIVQVVSDLYKANRQFLSAANVRILVDIFTSIASHAHQLNSETNLLKKLQKGCSIAGISDPPMVHFENESYENYLDFLQDLLKDNPSMSEALSIEEQLAAVCEEILQIYLNCTAGSEAVQQNKTVMHWNLPLGSAKKEEVAARTSLLLSALRVLNDLERDSFRGHARQFFPLLVDLVRCEHNSGEVQRILSNIFLSCIGTIIM >Potri.002G017700.1.v4.1 pep chromosome:Pop_tri_v4:2:1076549:1080140:1 gene:Potri.002G017700.v4.1 transcript:Potri.002G017700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017700.v4.1 MSTEAQIKSTSSTPAPSNSITSPMEGSLKSLMDIASLIETGSCKEEVFHIARAVRLTNSLKKKLAEPNLLQAFLDFALSPRSELHNRLSGFLDHQGGELGMEADSATSAPAKRVSPELEIYCYLLMLLFLIDQNRYNEAKAFSSAAVVRVENLSRGAVETAGVLASRVYFYYSYIHEVIDDLAEIRWDLLHLLTISTLHHDDLGQEALLNLLLRNYLHYNFYDQAEKLRSKALRFQSHTIQQVCRYLFYLGKIRTIQLKYKDARESLLHAARKAPVAAHGFRILCSKWAVLVHLLLGEIPERTIFKQSGMERALRPYFMLTNAVRIGDLELFRNVAEDLAGFFGSDKTYNLIVRLRHNVIRTGLRKISISYSRISLADVAEKLKLDSANPVADVESITAKAIRDGAIHAILDHANGWLVSKDTGDIYSTAKPLAVFSSRIAFCLNLHTDAVKALRFPPKTQEEKDSDDYWRESQVLDEEIAREFMDEDETYF >Potri.010G110751.1.v4.1 pep chromosome:Pop_tri_v4:10:13091942:13092964:-1 gene:Potri.010G110751.v4.1 transcript:Potri.010G110751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110751.v4.1 MSIKYPSIKKIYWSPFIFVRFNYISQITAGCNGSKDEFWPLKMNRLSLSLSLSPSLWTSEQIDREAT >Potri.006G197700.3.v4.1 pep chromosome:Pop_tri_v4:6:20555199:20557293:1 gene:Potri.006G197700.v4.1 transcript:Potri.006G197700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G197700.v4.1 MAEAAVVAPPNPEVIQPHHDVKLFNRWTFEDITVNDISLADYIGVQAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLQMHGRNNGKKLKAVTIIKHAMEIIHLLTDQNPVQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQALYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Potri.006G197700.1.v4.1 pep chromosome:Pop_tri_v4:6:20555200:20557518:1 gene:Potri.006G197700.v4.1 transcript:Potri.006G197700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G197700.v4.1 MAEAAVVAPPNPEVIQPHHDVKLFNRWTFEDITVNDISLADYIGVQAKHATYVPHTAGRYSVKRFRKAQCPIVERLTNSLQMHGRNNGKKLKAVTIIKHAMEIIHLLTDQNPVQVIVDAVVNSGPREDATRIGSAGVVRRQAVDISPLRRVNQALYLLTTGAREAAFRNIKTIAECLADELINAAKGSSNSYAIKKKDEIERVAKANR >Potri.015G015501.1.v4.1 pep chromosome:Pop_tri_v4:15:1035858:1036489:1 gene:Potri.015G015501.v4.1 transcript:Potri.015G015501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G015501.v4.1 MARFSKVLRKTDIKKRLSVPTGFLSSLPSFNAGGHAVDFQTVDGSGRVWTFRCSIRMKGHPKPVISKGWLAFVASKNLKVGDKVHFLKKKNDAGAKTHAYEIRAEKEIKIFGAVFGYAPII >Potri.001G348800.2.v4.1 pep chromosome:Pop_tri_v4:1:36062469:36066475:1 gene:Potri.001G348800.v4.1 transcript:Potri.001G348800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348800.v4.1 MAGAASSSSSSLISTGFLLCHFPQFPKKKATTLALSSKSHNNKIFIHNHKQHKLAVNTTTTTKLLRDSFLVVCTSVALSFSLLIGDVDSSALAFVITTTTPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDNDGHVVTNYHVIRGASDLKVTLADQSTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGSLIGINTAIYSPSGASSGVGFSIPVDTVSGIVDQLVRFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPTNGPAGKAGLQPTKRDAYGRLILGDIITSVNGKKVTNGSDLYRILDQCKVGEQVTVEVLRGDHKEKIPVILESKADES >Potri.001G348800.4.v4.1 pep chromosome:Pop_tri_v4:1:36062505:36066588:1 gene:Potri.001G348800.v4.1 transcript:Potri.001G348800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348800.v4.1 MAGAASSSSSSLISTGFLLCHFPQFPKKKATTLALSSKSHNNKIFIHNHKQHKLAVNTTTTTKLLRDSFLVVCTSVALSFSLLIGDVDSSALAFVITTTTPRKLQSDELATVRLFQENTPSVVYITNLAVRQDAFTLDVLEVPQGSGSGFVWDNDGHVVTNYHVIRGASDLKVTLADQSTYDAKVVGFDQDKDVAVLRVDAPKDKLRPIPVGVSADLLVGQKVFAIGNPFGLDHTLTTGVISGLRREISSAATGRPIQDVIQTDAAINPGNSGGPLLDSSGSLIGINTAIYSPSGASSGVGFSIPVDTVSGIVDQLVRFGKVTRPILGIKFAPDQSVEQLGVSGVLVLDAPTNGPAGKAGLQPTKRDAYGRLILGDIITSVNGKKVTNGSDLYRILDQCKVGEQVTVEVLRGDHKEKIPVILESKADES >Potri.001G067200.1.v4.1 pep chromosome:Pop_tri_v4:1:5031914:5033309:-1 gene:Potri.001G067200.v4.1 transcript:Potri.001G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067200.v4.1 MQRKQYSSYESLKEEPLSEAFEVSVTKTIVQRVQNIESKGPLERRCSPGLINTPQEKRPCYDDGQSMELDAPKLDICKKEVEEESTGPRERRPYYDGDQRWELNAPKHDECKKETRDYNRKRQLESTRDNAVKRRTCMNHSLQE >Potri.001G327300.1.v4.1 pep chromosome:Pop_tri_v4:1:33642446:33647416:-1 gene:Potri.001G327300.v4.1 transcript:Potri.001G327300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G327300.v4.1 MGTEITEPLLVDSKDTINTVDSTEIIPEWKDQITIRGLVVSAVLGVLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWTGLLSRLGFSVSPFTKQENTVIQTCVVACYGLAFSGGFGSYLIALDEKTYKLIGTDYPGNRAEDVKNPGLGWMIGFLFVVSFLGLFSLAPLRKVMVMDYKLTYPSGTATAMLINSFHTNTGAELAGKQVSCLGKYLSISLVWSCFKWFFSGIGDSCGFDNFPSLGLTLFKSTFFFDFSPTYVGCGLICPHIVNCSVLLGAIISWGFLWPFISQHAGAWYPADLSSNDFKGLYGYKVFIAIALILGDGLYNLIKIIIITIKEMCNKSTMQNLPIVSEVQDSETSKLLLEQRKRDEVFLKDRIPTWLVAAGYVGLAAISTAVIPVIFPPLKWYLVLCSYIIAPALAFCNSYGTGLTDWNLTSTYGKIGLFIIASLVGSDGGVVAGLAASGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCVIAPLTFWLFWSAFDIGDPDGPYKAPYAVIFREMAILGIEGFSELPEHCLALCCGFFVAALVINLLRDVTPKKISQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERINRKDAEDYSGAVASGLICGDGIWTIPSAILAIFRINPPICMYFGPSLSG >Potri.007G137900.3.v4.1 pep chromosome:Pop_tri_v4:7:14900903:14903397:-1 gene:Potri.007G137900.v4.1 transcript:Potri.007G137900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137900.v4.1 MGTNVLKTETPTSIDDAVYFLKENFNEGDHRPAISFGFGDPSCFECFRTTPIAEDAIVEAVRSAKFNSYAPTGGILPARRAVADYLSRDLPYRLSPDDVYLTLGCNQAAEITIKVLARPGANILLPRPGYPDVETYAIFNNLEIRQFDLLPERGWEVDLDAVEAISDENTIAMVIINPGNPSGSVYTYNHLNKIAETARKLGILVIADEVYGHLVYGSSPFVPMSLFATIVPVITLGSVSKRWMIPGWGLGWLVTCDPSGLLRKDEIAESINKLLVYSPFPPTLIQGSIPQILEKTTQDFFSKTINILRKNLDICYDKLKEIPCIMIPQKPEGAFFAMVKLNLLLLEDIEDDMEFCLKLAKEESLIILPGVTVGLKNWLRVTFAVEYSSLEDGLGRLKSFCQRHAKKYSLTGSTAADQQIHVEH >Potri.001G440300.1.v4.1 pep chromosome:Pop_tri_v4:1:46608503:46615111:1 gene:Potri.001G440300.v4.1 transcript:Potri.001G440300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440300.v4.1 MGGVYLVHLVVMVLSLGVLAGTLCQVDDGSENGTTAVYIVTLKQAPASHYYGELRKNTNVFKHGVPRNPKQSHNPRNDSRSNQSSSSYIARVHDSLLRRVLRGEKYLKLYSYHYLINGFAVLVTPEQANKLSRRKEVANVALDFSVRTATTHTPQFLGLPQGAWPKAGGYETAGEGIVIGFIDTGIDPSHPSFSDDSSLNSYPVPSHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGIFNSSQDYASPFDGDGHGTHTASVAAGNHGIPVIVARHHFGNASGMAPRAHVAVYKALYKSFGGFAADVVAAIDQAAQDGVDVLSLSITPNRRPPGIATFFNPIDMALLSAVKAGIFAVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRAYSNSIILGNNVTIHGVGLAPGTHKNTMLTLISALHALNNETTVATDMYVGECQDSSNFNQDLVKGNLLICSYSIRFVLGLSTIKQAIATAKNLSAAGVVFYMDPFVIGFQLNPIPMRVPGIIIPSPDDSKVLLQYYNSSLERNETTKKITRFGSVASILGGLKANYSNSAPKVMFYSARGPDPEDNFLDDADILKPNLIAPGNLIWAAWSSLGTDSVEFQGENFALMSGTSMAAPHIAGLAALIKQKFPSFSPAAIASALSTTASLYDNNGGPIMAQRAYSNPDINQSPATPFDMGSGFVNATAALDPGLIFDSGYDDYMSFLCGINGSSPVVLNYTGQNCLSYNSTINGTDLNLPSITIAKLYQSKTVQRSVTNIAGGETYKVGWSAPYGVTIKVAPTRFCIASGERQTLSVFFDAKMNSSTASYGRIGLFGDQGHVVNIPLSVIVKVTYNTTTNI >Potri.018G049301.1.v4.1 pep chromosome:Pop_tri_v4:18:4574749:4576718:-1 gene:Potri.018G049301.v4.1 transcript:Potri.018G049301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049301.v4.1 MSSMCLCKCYRKQLEKKRWGSEEEEISGNRTRYFRPLEIEHVVDESRERCRNLDIKFPIFNELEAIYSLAKIAEANQTGSGSVLTGDHSPTNAGLSVPSSATNRQNVGANGAANNVTWVDHGSENSIGEEASLRKSQKRKRKRKLKEKLSYMAGFFENAVKKVMDHQEMLHRKFLEVIERMDRERTDREETWRHQEAEKHNREAISRAHERASTSSREAQMVSYIERITGQSINLPIRMAPPLLQPEISNEPIKEITSTKSDSHSRWPKDEVEALIKVRSTIEIKFQEPSVKGPLWEEVSSLMSSMGYQRSAKRCKEKWENINKYFRKAKESPERRSQRSKTCSYFNQLDQLYSRSLINYPSSTTYMPSRGIEFDIEKQGHSEVLEAFAVGKDHLATITNPPGENIKGAEMGSSRFEFDGIADKNEELEEGSSEQDKEVYKDDKQDNGEQGKDDGSGDEENEN >Potri.009G151000.1.v4.1 pep chromosome:Pop_tri_v4:9:11916565:11918547:1 gene:Potri.009G151000.v4.1 transcript:Potri.009G151000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151000.v4.1 MGFANSLCSFFLLLLALVLYEVNAQPLVPAMFIFGDSVVDAGNNNHLYTIIKANFPPYGRDFVNHKPTGRFCNGKLASDLTAENLGFTSYPPAYLSKKARGKNLLIGANFASAASGYYETTAKLYHAIPLSQQLGNYKEYQNKIVGIAGKSNASSIISGALYLISAGSSDFVQNYYINPLLYKVYTLDQFSDLLIQSFTSFIEDLYKLGARKIGVTSLPPLGCLPATVTIFGSDSNKCVAKLNKVAVSFNNKLNSTSQSLVNKLSGLNLLVFDIYQPLYDLVTKPADFGFVEARKACCGTGLVETSILCNGESPGTCANASEYVFWDGFHPSEAANKILADDLLTSGISLIF >Potri.017G035600.1.v4.1 pep chromosome:Pop_tri_v4:17:2366499:2367144:1 gene:Potri.017G035600.v4.1 transcript:Potri.017G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G035600.v4.1 MATHGYCLAEAYVLRRLHNDKLKRMEEEKAKMDDDDDELDIEVNCFLSIFKKVFPARAVLEVGAGKEAQRLDSKV >Potri.011G011300.1.v4.1 pep chromosome:Pop_tri_v4:11:1026974:1030163:-1 gene:Potri.011G011300.v4.1 transcript:Potri.011G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G011300.v4.1 MASRDKKPAKPSSSRAGGIRTLSDLNRRSGPDSDSDDEDAPQEYYTGGEKSGMLVQDPTKGNDVDAIFNQARQLGAVEGPLENINQSSSSSSFSGTGRLLSGETVPSAPQQPEAVVHNIVFWTNGFTVNDGPLRSLDDPENASFLESIRKSECPKELEPADRRSSVHVNLIRRDQKCPEPERQRHVAFQGVGRTLGSSSTALATEPTADSAPLNSAPTPFMGLVVDETLPSTSIQLRLADGTRMVAHFNNHHTVNDIRSFIDASRPGAALNYQLQLMGFPPKLLTDPTQTIEQAGLANSVVIQKF >Potri.010G171350.1.v4.1 pep chromosome:Pop_tri_v4:10:17290355:17291198:1 gene:Potri.010G171350.v4.1 transcript:Potri.010G171350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171350.v4.1 MAQHPTGAMAIEQSPEEMARWYRDMCKRLKREAEEPLLHFYCFVLLKNKQNLQRQATITKYQHPMWAK >Potri.002G097500.1.v4.1 pep chromosome:Pop_tri_v4:2:7107333:7110047:-1 gene:Potri.002G097500.v4.1 transcript:Potri.002G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097500.v4.1 MEKEREQQVYSARLAEQAERYDEMVEAMNKVARLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKQYRQRVEDELAKICNDILSVIDQHLIPSSSTGESTVFYYKMKGDYYRYLAEFRSADERKEAADQSLKSYEAATSTAISDLPPTHPIRLGLALNFSVFYYEILNSPERACHLAKQAFDDAIAELDSLNEESYKDSTLIMQLLRDNLTLWTSDLSEEGGEHSTAVEPRAEK >Potri.006G117500.2.v4.1 pep chromosome:Pop_tri_v4:6:9200004:9201278:-1 gene:Potri.006G117500.v4.1 transcript:Potri.006G117500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117500.v4.1 MMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQSLLDTMDLSPKMKDEVRKLLNSKHLFFREMCAYHNSCGHAGVASGINNSPEMATDPSHAQHQQATQQQQQYQRCSHSTENAQVVTNSRTETEGSKMAKLARNEEDDHDESEEDEDDYVEDEEEEDDDDERDDDEEDYEENEDEAVEGNSRHQNGHGHGDEDDHDEKSSRKRPRKEVFSATSSLMQQLNGEIMNVLRDGAKSSWEKNQWMKLKLMQLEEQQVNYQCQAFELEKQRLKWVRFSSKKEREMERAKLENERKRLENERMVLTVRKKELELLDTTHQQQQLPSNKRSDPSSIAG >Potri.006G117500.1.v4.1 pep chromosome:Pop_tri_v4:6:9200035:9202290:-1 gene:Potri.006G117500.v4.1 transcript:Potri.006G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117500.v4.1 MEHNDLGGGIFPGMGSGILGLELPLHQQQNPQNPHHLQHPQIVAYAHHESDHHQHSQQSAKHAYPFASTKPKQLSPLSNEDEPSFTGDDSTTDGNRKISPWQRMKWTDNMVRLLIMAVFYIGDEVGSEVSDPIGKKKPGGLAQKKGKWKSVSRAMMEKGFYVSPQQCEDKFNDLNKRYKRVNDILGKGTACRVVENQSLLDTMDLSPKMKDEVRKLLNSKHLFFREMCAYHNSCGHAGVASGINNSPEMATDPSHAQHQQATQQQQQYQRCSHSTENAQVVTNSRTETEGSKMAKLARNEEDDHDESEEDEDDYVEDEEEEDDDDERDDDEEDYEENEDEAVEGNSRHQNGHGHGDEDDHDEKSSRKRPRKEVFSATSSLMQQLNGEIMNVLRDGAKSSWEKNQWMKLKLMQLEEQQVNYQCQAFELEKQRLKWVRFSSKKEREMERAKLENERKRLENERMVLTVRKKELELLDTTHQQQQLPSNKRSDPSSIAG >Potri.010G123200.2.v4.1 pep chromosome:Pop_tri_v4:10:14104023:14105245:1 gene:Potri.010G123200.v4.1 transcript:Potri.010G123200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123200.v4.1 MEQGRGSEKKKVMVAIDDSESSHYTLEWFLDKLRDSIADSDVIIFTAQPNSDLGYLYASTFGTAPADLVASIQENKKKIALILLDKAKDICARHGVDVEIMTEIGDPKEAICEAVEKLNVQLLVLGSHDRGPVQRAFLGSVSNYCVHNAKCPVLVVKKPAV >Potri.005G193701.2.v4.1 pep chromosome:Pop_tri_v4:5:20107770:20111015:1 gene:Potri.005G193701.v4.1 transcript:Potri.005G193701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193701.v4.1 MQPSMVFLGQTAVVQTDYVDEVTAEYLAVGDPESCCKKFENMLITGLLDEQLEVREGKSQLNFRLHALHHLALNTYTVLASAYKIRASDLFSLHSEVGGLPWEALSMSRISAAYSLLLATATYHLFCFESSLLVSVANFWTSAGESLLALAKSSAWDSLGKCGFPVLNLSPLAKHKCSKCSLLESFEVNLSFGQDHIRKAGFDSVSSRFLDCIGSLLQEVWGFLIQGDRYLKMFKDPTDFSWLGKSLDIWDFDAELTHNDVDFNCWTNKSVSGIEALGYTDQWRINTFQLGVHCLLYGGFLAGICYGPHSHWSSHIRSALNYHGCY >Potri.018G036850.1.v4.1 pep chromosome:Pop_tri_v4:18:2913001:2913282:1 gene:Potri.018G036850.v4.1 transcript:Potri.018G036850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036850.v4.1 MVLLLLFLMGSQQLFSSTTCMLLLVLASFHFPFTISLCCLVSFHSNVIKIPTHEIDKDISPLSQRKCHNHPIRVGVLVYEFSTKIIKQNLFSC >Potri.008G136040.1.v4.1 pep chromosome:Pop_tri_v4:8:9090455:9093350:-1 gene:Potri.008G136040.v4.1 transcript:Potri.008G136040.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136040.v4.1 MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSSIYEEKKDEDGFLYVTYSGENTFGTQIPL >Potri.008G136040.2.v4.1 pep chromosome:Pop_tri_v4:8:9090449:9093333:-1 gene:Potri.008G136040.v4.1 transcript:Potri.008G136040.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136040.v4.1 MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSSIYEEKKDEDGFLYVTYSGENTFGTQIPL >Potri.010G059900.1.v4.1 pep chromosome:Pop_tri_v4:10:9001733:9004241:-1 gene:Potri.010G059900.v4.1 transcript:Potri.010G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059900.v4.1 MANEDVSHDLSSLLSSEERDFLIRNNGDQVKFSNLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEQLSSKGDFEVVFVSSDGDDESFNTYFSEMPWLAIPFPDTETRQRLKEVFKVRGIPKLVIFDTNGKVSCDNGVRHVKEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPVSDLEGKLVGLYFSAHAHRMCREFTPKLVELYKTLKEKRENFEVVLLSLDDEEEDFKESFETMPWLALPFKDKSCEKLVRYFELRTIPNLVIIGQDGKTLNPNVAELIEEHGIEAYPFTPEKLDELAAIEKAKLESQTLESVLVNGENDFLIDKSGSKVPVSDLVGKNILLYFSAHWCPPCRAFLPKLIEAYHTIKRKDNAFEVIFISSDRDQSTFDEFYSEMPWLALPFGDGRKQILSRKFKIQGIPAALAIGPSGRTVTKEARMHLTAYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRSAYGCDGCGETGYRWSFFCKQCDFDLHPKCALKEDEDTGSEKGKEGRVCDGDVCRRA >Potri.012G090500.1.v4.1 pep chromosome:Pop_tri_v4:12:11564799:11567010:1 gene:Potri.012G090500.v4.1 transcript:Potri.012G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090500.v4.1 MAVLHHKHHLSIFVLLSVLLLADARLNLDHSDLKAFSTIQKDLGISSQRSSSSTPCNTPGVFCERRLSPNSTFVLKITRLVFKSQRLAGFLSPAIGRLSELKELSLTNNQLVDQVPAQIVKCKKLEILELANNQFSGEIPSELSSLVRLRVLDLSSNEFSGNLSFLKHFPNLESLSLANNLFTGKVPKSIRSFRNLQFFDFSGNSFLEGPVPVIGKGESSRPQYPKRYILKENTTSTGSKNKSSGLAPAPAPSSSAPAPSAPHKHKNSKRKLAGWLLGFLAGSVAGSLSGFVFSLLFKIVLAAVKGGGRDVGPAIFSPLIKKAEDLAFLEKDEGLANLEIIGRGGCGEVFKAELPGSNGKMIAVKKIIQPPKDAAELSEEDSKVLNKKMRQIQSEINTVGHIRHRNLLPLLAHVSRPDCHYLVYEFMKNGSLQDVLNQVTEGKRELDWLARHKIAVGVASGLEYLHLSHSPQIIHRDLKPANVLLDDDMEARIADFGLAKAMPDAKTHITTSNVAGTVGYIAPEYHQTLKFTEKCDIYSFGVVLGVLVMGKLPSDAFFQSTRELSLVKWMRNIMISENPSQAIDPKLIGHGLEEQMLLVLKIACFCTIDDPKQRPSSKDVRCMLSQIKH >Potri.016G110500.4.v4.1 pep chromosome:Pop_tri_v4:16:11304347:11309517:1 gene:Potri.016G110500.v4.1 transcript:Potri.016G110500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110500.v4.1 MASSLSNSAVAFTGATASDLLRSSKSCGVSGISLRTLGKARFSVKTRDLSVVAKARKVKKHEYPWPADPDPNVKGGVLTHLSHFKPHKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLESKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFGITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQIADGIIPEPLGGAHADPSWTSQQIKKAINETMDELKKMDTEALLKHRMLKFRKIGGFQEGVPVDPIRKVNMKKKEEPIARKTPVLELEDEVEKLKEQISKAKESSSKPTELALNEMIEKLKKEIDLEYSEAVEAIGLKDRLLNLREECAKANSQDHLMHPVLMDKIEKLHDEFNKGLPTAPNYANLKYKLGMLKEFSEAKCALEKKSKGEELKLDIDKKIKEVMDRPEIKEKMQALKAEVQKSGASTAADLDEGTRESISKMKKEIQLELANVLKSMDLDVEIVTAKKLIDDGLKGKVESLREETNKKIENLMNSSDLKNTIQLLKLEVAKAGKTPDVASKKKIEALEQQIRQKLATAMNSSEIKSKHEELLAEIALESNGSLKNDDLKEGTPKNDESRVEINLGANRSFT >Potri.016G110500.1.v4.1 pep chromosome:Pop_tri_v4:16:11304342:11310231:1 gene:Potri.016G110500.v4.1 transcript:Potri.016G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110500.v4.1 MASSLSNSAVAFTGATASDLLRSSKSCGVSGISLRTLGKARFSVKTRDLSVVAKARKVKKHEYPWPADPDPNVKGGVLTHLSHFKPHKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLESKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFGITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQIADGIIPEPLGGAHADPSWTSQQIKKAINETMDELKKMDTEALLKHRMLKFRKIGGFQEGVPVDPIRKVNMKKKEEPIARKTPVLELEDEVEKLKEQISKAKESSSKPTELALNEMIEKLKKEIDLEYSEAVEAIGLKDRLLNLREECAKANSQDHLMHPVLMDKIEKLHDEFNKGLPTAPNYANLKYKLGMLKEFSEAKCALEKKSKGEELKLDIDKKIKEVMDRPEIKEKMQALKAEVQKSGASTAADLDEGTRESISKMKKEIQLELANVLKSMDLDVEIVTAKKLIDDGLKGKVESLREETNKKIENLMNSSDLKNTIQLLKLEVAKAGKTPDVASKKKIEALEQQIRQKLATAMNSSEIKSKHEELLAEIALESNGSLKNDDLKEGTPKNDESRVEINLGANRSFT >Potri.016G110500.3.v4.1 pep chromosome:Pop_tri_v4:16:11304347:11309719:1 gene:Potri.016G110500.v4.1 transcript:Potri.016G110500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110500.v4.1 MASSLSNSAVAFTGATASDLLRSSKSCGVSGISLRTLGKARFSVKTRDLSVVAKARKVKKHEYPWPADPDPNVKGGVLTHLSHFKPHKEKPKPVTLDFEKPLVALEKKIIDVRKMANETGLDFSDQIASLESKYQQALKDLYTHLTPIQRVNIARHPNRPTFLDHIFGITEKFVELHGDRAGYDDPAIVTGIGTIDGRRYMFMGHQKGRNTKENIMRNFGMPTPHGYRKALRMMYYADHHGFPIVTFIDTPGAYADLKSEELGQGEAIAHNLRTMFGLKVPIVSIVIGEGGSGGALAIGCANKLLMLENAVFYVASPEACAAILWKTAKAAPKAAEKLKITGPELCKLQIADGIIPEPLGGAHADPSWTSQQIKKAINETMDELKKMDTEALLKHRMLKFRKIGGFQEGVPVDPIRKVNMKKKEEPIARKTPVLELEDEVEKLKEQISKAKESSSKPTELALNEMIEKLKKEIDLEYSEAVEAIGLKDRLLNLREECAKANSQDHLMHPVLMDKIEKLHDEFNKGLPTAPNYANLKYKLGMLKEFSEAKCALEKKSKGEELKLDIDKKIKEVMDRPEIKEKMQALKAEVQKSGASTAADLDEGTRESISKMKKEIQLELANVLKSMDLDVEIVTAKKLIDDGLKGKVESLREETNKKIENLMNSSDLKNTIQLLKLEVAKAGKTPDVASKKKIEALEQQIRQKLATAMNSSEIKSKHEELLAEIALESNGSLKNDDLKEGTPKNDESRVEINLGANRSFT >Potri.001G283866.1.v4.1 pep chromosome:Pop_tri_v4:1:23395681:23398189:-1 gene:Potri.001G283866.v4.1 transcript:Potri.001G283866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283866.v4.1 MGKPPVPFLPFVFSTLFTLIIPSASGLSFNFTSFIVGADQNISYEEAYPADGAIQLTKNLRNANMNSSSGRATYYKPMQLWDEASGNLTDFTTHFSFSIDSQRRTAYGDGLAFFLAPEGSKLPSNLSEGAGLGLTRRDQLLNTTANHFVAVEFDIYPNYFDPPGEHVGIDINSMQSVNNITWPCDISGGRITEAWISYNSSTHNLSVAFTGYRNNTVEMQFLSQIVSLRDYLPERVSFGFSASTGSASALHTLYSWDFSSSLEIDDNVTNPIDPATNPLDPAAASPPNGGSLRNRKKNRTGLAVGLGVGGGAIVVGAALVGIVIKFMRGHEEDEGDGHVLEEYMDDEFERGTGPKKFSYQELARATNNFKDEEKLGEGGFGGVYKGFLKGIDSFVAVKRVSRGSKQGIKEYAAEVKIISRLRHRNLVQLIGWCHERKELLLVYEFMPHGSLDSHLFKETSLLTWEVRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIMLDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECAMTGKASRESDVYSFGIVALEIACGRKPINPKASNEDQVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIVGLSCAHPDEHLRPSIRQALHVLNFDAPLPILPSKMPVPSYFAPPISASSLSIMSYGLTDSEGGMNKSSSYSYNTNSSQFTASSSASSASAMLLHEG >Potri.012G066225.1.v4.1 pep chromosome:Pop_tri_v4:12:8494726:8496762:-1 gene:Potri.012G066225.v4.1 transcript:Potri.012G066225.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066225.v4.1 MSILSRTSKAVLSKQAAPSTLAPSTLTLSHISSHSQVSNKIKLIRLHLRSPDFSHISLPHRHDTHHADTPNLQSPNLANQSPPSLTPDLESCHNSHQVVAQKVKEAEITHGQQDSLLLNSVESYI >Potri.014G194300.1.v4.1 pep chromosome:Pop_tri_v4:14:17259722:17270666:-1 gene:Potri.014G194300.v4.1 transcript:Potri.014G194300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194300.v4.1 MHTLTTSLLVLCWSSFVFACLGHPLLHVYLSPLPPSWRLSVKDIFVRHGMSAAMSLSFARSRPSHSHVVQSSLGPSLSPAPSPAHQDFLPVPHSAPLPRRHGGHHRHHHRPVKPAVTAPSPSEDQSCDQICTEPLTAVPFGSPCGCVFPMKVRLLLDVAPYAVFPVMRELESEVAAGTYLEESQVKIMGAAADSQNQGKTVVDINLVPLGEKFDNTTAILTYDRFWKKKVPLNITLFGNYEVVYISYPGIPSSSPYPNYTGSGPSGSTRDLPITANFVNKNQRMNLRTIVIITLSAFVVLVVFIGAIAIVIRWRKSGRPSSAVGPAFMSSINKRSGIGSFLSSSIASSTPMSLMSNMASCMLSVKTFTFTELEKATDKFSSKRILGEGGFGRVFDGSMEDGTEVAVKLLTRNNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVRNGSVESHLHGVDNDKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEEDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGQENLVTWARPLLTSREGLEQLVDPSLAGSYDFDDMAKVAAIASMCVHSEVANRPFMGEVVQALKLIYNDTDETCGDYCSQKGSSVLESDFKGDLVPLDSSWWNAGGISPRLTYGRASSFITMQYSSGPLEEMENRPFSASSLDGDRWLSLPIHGNRSGPLKTVRSKPAFYRLRGSMSEHGGGLLSRRFWNDGYWV >Potri.014G194300.2.v4.1 pep chromosome:Pop_tri_v4:14:17259720:17270537:-1 gene:Potri.014G194300.v4.1 transcript:Potri.014G194300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194300.v4.1 MNLRTIVIITLSAFVVLVVFIGAIAIVIRWRKSGRPSSAVGPAFMSSINKRSGIGSFLSSSIASSTPMSLMSNMASCMLSVKTFTFTELEKATDKFSSKRILGEGGFGRVFDGSMEDGTEVAVKLLTRNNQNGDREFIAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELVRNGSVESHLHGVDNDKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEEDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGQENLVTWARPLLTSREGLEQLVDPSLAGSYDFDDMAKVAAIASMCVHSEVANRPFMGEVVQALKLIYNDTDETCGDYCSQKGSSVLESDFKGDLVPLDSSWWNAGGISPRLTYGRASSFITMQYSSGPLEEMENRPFSASSLDGDRWLSLPIHGNRSGPLKTVRSKPAFYRLRGSMSEHGGGLLSRRFWNDGYWV >Potri.017G140066.1.v4.1 pep chromosome:Pop_tri_v4:17:14087382:14088067:1 gene:Potri.017G140066.v4.1 transcript:Potri.017G140066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140066.v4.1 MALTRRLAILGFWISMLLVTMNPFLARELRDDTSAVVKFPYKSLGILETYISPPTMGVGGSQICDPKKDPAICQQPPENPWRRSCEKELRCRSAPPPAP >Potri.006G072950.1.v4.1 pep chromosome:Pop_tri_v4:6:5342135:5342466:1 gene:Potri.006G072950.v4.1 transcript:Potri.006G072950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072950.v4.1 MKSRKAIQSTLLKIKNGLHQVLLEATKRSYLSVPVFCCCHLPRNTSQSGKISSSARGVQICGGGACLHNLMKYLQPEC >Potri.013G028450.1.v4.1 pep chromosome:Pop_tri_v4:13:1856431:1857578:1 gene:Potri.013G028450.v4.1 transcript:Potri.013G028450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028450.v4.1 MYFGVKYQKQRKLHFDGVICLSPAVYLNQPFHNPCITFNEWMCFFIKVNCFPYEVGCLLVCSFLLFQFDMFLTGHVYIYGFLEVLKIAGVGLESESYHLSHSTRKSVNGLNSH >Potri.001G004700.1.v4.1 pep chromosome:Pop_tri_v4:1:306933:308346:-1 gene:Potri.001G004700.v4.1 transcript:Potri.001G004700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004700.v4.1 MEYHQFQKQPRGVSHRKFKERCLSNTSKNKFVGVRQRPSGKWVAEIKDTTQSQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFNTHVPSNSPVSMKIRNLLKHKKSLKQNSSATSTTKSTIKTSTIVSSKSSFNRSINSFLPNDSNIDSFSSSIDNHSSYFNGIKQENKVFDNAYRPDLSGCCIGGLEPVTSQSHPSCSLPSGFDPQLQFVQEGMWLPKNAGLLSDTSSGPELAEFERMKVERQISASLYAMNGGNEYFENANDSSDALWDLPALCQLFCSS >Potri.019G014352.1.v4.1 pep chromosome:Pop_tri_v4:19:2200247:2206351:1 gene:Potri.019G014352.v4.1 transcript:Potri.019G014352.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014352.v4.1 MVFDNGRSSPNHWHLWDGGVGKTTILQHIHNELLQRPDICDNVWWVTVSQDFSINRLQNLIAKRLDLNLSSEDDDLLGAAELSEELRKKQKWILILDDLWNNFELHKVGIPEKLEGCKLIMTTRSETVCHRMVCQHKIKVKPLSNGEAWTLFMEKFRRDVALSPEVEGIAKVVARECAGLPLRIITVIGSLRGVDDLHEWRNTLNKLRESEFRDKEVFKLLRFSYDRLGDLALQQCLLYCALFPEDHRIQRERLIGYLIDEGIIKVKRSRGDAFDEGHTMLNRLENVCLLKNAKMMHVACRFVKMHDLIRDMAIHILLESPQYMVKAGAQLKELPDAEEWTKNLTIVSLMQNRFKEIPSSHSPRCPYLSTLLLYQNHGLGFIADSFFKQLHGLKVLDLSCTGIENLPDSVSDLVSLTALLLNDCKKLRHVPSLKKLRALKRLDLFQTFLDWMPHGMECLTNLRYLRMNGCGEKEFSSGILPKLSHLQVFGLEETLIDRRYAPITVKGKEVGSLRNLETLECHFEGFFDFMEYLRSRDGIQSLSTYKILVGMVDYWADIDDFPSKTVRLGNLSINKDGDFQVKFLNDIQGLDCERIDARSLCDVLSLENATELEEIIIEDCNSMESLVSSSWFSSAPPPLPSYKGMFSGLKVFYFSRCNSMKKLFPLVLLPKLVNLESIGVSECEKMEEIIGTTDEEDEESSTSNPITELTLPKLRTLEVRALPELKSICSAKLICISLEHISVTRCEKLKRMPICLPLLEHIVNPSVVRILIDCKLKFAHIQ >Potri.008G101000.1.v4.1 pep chromosome:Pop_tri_v4:8:6336458:6338405:-1 gene:Potri.008G101000.v4.1 transcript:Potri.008G101000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101000.v4.1 MSPNSIIEPTTDLQKRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAILPDVPEEHREILESQGCIIREIEPLYPPENQTQFSMAYYVINYSKLRIWEFVDYGKMIYLDGDIQVFDNIDHLFEKPTGYFYAVMDCFCEKTWSTTPQYQIKYCQQCPEKVQWPLEMGSPPPLYFNAGMCLFEPKLETYFDLLETLKVTTPTSFAEQDFLNMFFRDVYQPIPPVYNLVSAMLWRHPDKFDLDKVKVVHYCAAGAKPWRYTGKEENMDREDIKVLVKKWWEIYEDESLDFKNATVPVAQEKLGPLIAALTEDGVGNHMNLPSAA >Potri.003G076700.1.v4.1 pep chromosome:Pop_tri_v4:3:10408429:10408987:1 gene:Potri.003G076700.v4.1 transcript:Potri.003G076700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076700.v4.1 MGLPMVAVAKLNLLLSTSHTGASLVTFLLFPYVLKLTFTVRLFRRAYTGLLHSSRLFLFQLSQIAFDTDQPAPVGNDSTRLRRALRLIYQRVTRTRRSQTTQDDEDNFNALSMFSL >Potri.001G305700.5.v4.1 pep chromosome:Pop_tri_v4:1:31557867:31562598:-1 gene:Potri.001G305700.v4.1 transcript:Potri.001G305700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305700.v4.1 MYSSNLLGFILAMVSSAFIGSSFIIKKKGLRKAGVSGPRASSGGYGYLLEPLWWIGMITMIVGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGVLGCLLCIVGSTVIVLHAPEERSINSVEEIWELAIQPAFLLYTASVVAIALVLILYFSPRYGQTNILVYIGICSVIGSLTVMSIKAIGIAIKLTIEGINQAKYFQTWIFAMVVITCIITQLNYLNMVSILICSSSTM >Potri.001G305700.2.v4.1 pep chromosome:Pop_tri_v4:1:31558056:31562241:-1 gene:Potri.001G305700.v4.1 transcript:Potri.001G305700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305700.v4.1 MYSSNLLGFILAMVSSAFIGSSFIIKKKGLRKAGVSGPRASSGGYGYLLEPLWWIGMITMIVGEISNFVAYIYAPAVLVTPLGALSIIVSAVLAHFLLKEKLQKMGVLGCLLCIVGSTVIVLHAPEERSINSVEEIWELAIQPAFLLYTASVVAIALVLILYFSPRYGQTNILVYIGICSVIGSLTVMSIKAIGIAIKLTIEGINQAKYFQTWIFAMVVITCIITQLNYLNMALDTFNTAVVSPIYYAGFTSFTILASAIMFKDYSGQSASSIASELCGFLTVLSGTAVLHSTREPDPPTLPDLYTPLSPKVSWYIQGNGEHWKQKDEDGSPPDFITILRQDHFK >Potri.019G084500.1.v4.1 pep chromosome:Pop_tri_v4:19:12402048:12403069:-1 gene:Potri.019G084500.v4.1 transcript:Potri.019G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G084500.v4.1 MATEDFTFPIFTDPVSCSIDSPPLWCLSPAASPDPYHEETSKESSTEGEERDQDELVFKNSFPTEPIRHLTQGKSFSRREELGSAASELVRRNGSLNDKEEKMDMLWEDFNTEETLTRSHSSSRLDSEAVHTGCVKALRLSKPKGTSIISARKPGLVVFMNVLKSLFLIHNSHRSVKHHSSYRPSVKGHWSHKPVKIGSWY >Potri.010G155300.2.v4.1 pep chromosome:Pop_tri_v4:10:22714868:22718645:1 gene:Potri.010G155300.v4.1 transcript:Potri.010G155300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155300.v4.1 MKGLFKSKPRTPVDIVRQTRDLLIYADQSSASLSDSKREEKMAELAKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLIFCLSQLNLEARKDATQVVANLQRQQVNSRLIASDYLEKNTDLLDTLIAGYENTDMALHYGVMLRECIRHQTVARYVLESPNVKKFFDYIQLPYFDISADAAATFKELLTRHKSTAASTAVCKNSERESKTSKIKNNMQKSSRSHTENEPRNQKQKEKECNKKT >Potri.002G209700.1.v4.1 pep chromosome:Pop_tri_v4:2:17780258:17784897:1 gene:Potri.002G209700.v4.1 transcript:Potri.002G209700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209700.v4.1 MGVVTVGELKPSISGKRAFRPSSSIRHATEWPISDVSSDLTIEVGASNFALHKFPLVSRSGRIRKLLLEAKDSKISRINIPAVPGGPEGFELAAKFCYGVNFEITQSNVAMLCCTARFLEMTEDLAEKNLEARAEAYLKEMVLPNISISISVLHRCETLLPISEEINLVNRLINAIANNACKEQLTSGLLKLDHNYPAKTMPLMEPETPSDWWGKSLAMLNLDFFQRVLTAVKSKGLKQDMISKILINYAHNSLQGLVVRDPHLVKGSLLDLESQKKQRVIVEAIVSLLPTQSRKCPVPMVFLSSLLKTTIASSATTSCRSDLERRIGLQLDQAILEDILIPANSHGNNHCAMYDTDSVLRIFSIFLNLDEDEDEDNNLRDESEMVYDFDSPGSPKQSSILKVSKLLDNFLAEVALDPNLLPSKFIALAELLPDHARTVSDGLYRAVDIFLKVHPNIKDSERSRLCKTIDCQKLSQEACSHAAQDERLPVQMAVQVLYFEQIRLRNAMNGGHNQFFFGALNGQFPQRSGSGAGSGAISPRDNYASVRRENRELKLEVARMRMRMTDLEKDHVSMKQELVRSHPANKFFKSFTKKLSKLNALFRINGLKPIGGKANSETRLLFQKRRRHSVS >Potri.017G128500.2.v4.1 pep chromosome:Pop_tri_v4:17:13190690:13191590:-1 gene:Potri.017G128500.v4.1 transcript:Potri.017G128500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128500.v4.1 MKISSPMHQMGPHQLLFLLLSFLLCTIIPISRASVAKKLDQTLVPQEPDAGIKCGSCPCVNPCGQLPPPPPPPPPPPPPPPTPYCTPLAPPPPPPPPTPYCSPLAPPPPRFYYVTSTVPGSVYETDPYNRWGLYDGARQNAVTWLLLLIGLGVTELLVIW >Potri.008G148200.4.v4.1 pep chromosome:Pop_tri_v4:8:10106015:10108328:1 gene:Potri.008G148200.v4.1 transcript:Potri.008G148200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148200.v4.1 MEGVAPFLGKRSSMSFSGIDVCHEEGNGEDELSDDGSQAGEKKRRLSMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEIDYDLLKRQFDAVKAENNALQTQNQRLHAEILALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLPNHHPTSRPFFPSSSIRPTGIAQLNQNNSSRPDFQYQKMDHIVKEESLTNMLCSIEDQSGFWPWLEQQQFN >Potri.008G148200.3.v4.1 pep chromosome:Pop_tri_v4:8:10105841:10108454:1 gene:Potri.008G148200.v4.1 transcript:Potri.008G148200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148200.v4.1 MTCNGMAFFPTNFMLQSSHDQDDHQPPTSLNPILPSCAPRDFHGVAPFLGKRSSMSFSGIDVCHEEGNGEDELSDDGSQAGEKKRRLSMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEIDYDLLKRQFDAVKAENNALQTQNQRLHAEILALKSREPTESINLNKETEGSCSNRSENSSDIKLDISRTPAIDSPLPNHHPTSRPFFPSSSIRPTGIAQLNQNNSSRPDFQYQKMDHIVKEESLTNMLCSIEDQSGFWPWLEQQQFN >Potri.007G046100.13.v4.1 pep chromosome:Pop_tri_v4:7:4077386:4083703:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.14.v4.1 pep chromosome:Pop_tri_v4:7:4077388:4083698:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.10.v4.1 pep chromosome:Pop_tri_v4:7:4077410:4083892:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.7.v4.1 pep chromosome:Pop_tri_v4:7:4077337:4083699:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MIHGSHTLLAVMSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.12.v4.1 pep chromosome:Pop_tri_v4:7:4077341:4083762:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.8.v4.1 pep chromosome:Pop_tri_v4:7:4077381:4083713:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MIHGSHTSVMSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.11.v4.1 pep chromosome:Pop_tri_v4:7:4077387:4083873:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.5.v4.1 pep chromosome:Pop_tri_v4:7:4077388:4083858:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.9.v4.1 pep chromosome:Pop_tri_v4:7:4077388:4083706:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MIHGSHTFMSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.007G046100.3.v4.1 pep chromosome:Pop_tri_v4:7:4077355:4083528:-1 gene:Potri.007G046100.v4.1 transcript:Potri.007G046100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046100.v4.1 MSEVMDHKTWLWRKKSADKIVVATDHKVDLSSNEEEIQTLLADKAELENHLKILSDKLSSALSECNAKDDLAKKQAKLAKEAMTDQAKAEAKAVSLKQQLDESLQQRAAGEQRSTHLEAALKECMQQLCFVREDQEQRIHDAVMKTSNEFEKSQMILEEKLEETSKTLAKIGLENTHLSKALLAKEKLIEDLSKQKAQVEADFNALMSRLESTEKDSASLIYEVRVLEKELEIRNKETEFNRRTADVSHKQHLESVKRIAKLEEECQRLRVLVRKRLPGPAALAKMRSEVEILERDSVEMSRRRLNGRPMDLVVDSAVENSADSPRKRINFLTEQLCVVEEENKTLKEAFNKKANELQFSRAMYARTASKLSQVESHLDELSKGQTTLDRTRSVVMPHELSLASTSEIGGDNKVSSAESWASALISELEHFKQGKQRGSPTNRTIGASDISMMDDFAEMEKLVIVSVDEQFEGPRVSSDNVNEIGRKIIPVSESGSAVSNQVINSRDKASGWLHDILKVVLEQNRVTLRKPDEILEDVRIALANINHASPAEYDDTRQSSTHSDGLNSFHVGGYTSWKPIYLVTDAPGRITEADALSTDKSSQPDLSKSLCKIIELIEGITLSFADYGNSETLTRKDGSFLPYENTETPSGYMVRVLQWKTSELIAVLQQFAHACYDLLDGKSDLNMFAQELCSALDWTMNHCFSIQDKKHFDWDESRSGCKAEFVASNGHHSYFEKDECHQSTIIDENKKLREDLINIDSEKRDVEARLQSATNNSESLMNQLKESEKIIGGLQTDLETLRGLKARLESQNENHKLTKEDVDTQLTVARAELNEAHQKLSSMEMELENKRSCCEELEATCLELQLQLQSKTKKEVPNSELHQEESQLRTDWEITAASEKLAECQETILNLGKQLKAMASPSEAALFDKVISTSTDTNTTAVTTSTSKALTSPKNKNKRSSLLDQMLKEDSAEVKDTKSINRKESDNNSSPTVISTKVIEPLEKIPVLNGIKHQDDDVAINYLAIVPSKKSGGANLWRKLLWRKKKSNIKIPSFPFAP >Potri.011G039400.2.v4.1 pep chromosome:Pop_tri_v4:11:3049769:3053300:-1 gene:Potri.011G039400.v4.1 transcript:Potri.011G039400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039400.v4.1 MVALLLLLRFFCLQLGAATDTITSSQYVKDPDAIVSAGNKFKLGFFSPVSSTNRYVGIWFSSVTPITPVWVANRNKPLNDSSGVMTISGDGNLVVLNGQKETLWSSIVSKGVGNSSAQLMDDGNLVLREIGSGNSLWESFQEPSDTIITNMRLTAKVRTGEKTLLSSWRSPSDPSIGTFTVGINPVRIPHCFIWNHSHPIYRSGPWNGQVFIANPEMNSVNSNGFDIVQDGNGTFTLISNSANESYIGRYVLSYDGIFSELYWDYGKEEWVNVGRVPNDECDVYGKCGSFGICKVKNSPICSCMKGFEPKDADKWNSRNWTSGCVRRRPMQCERIQYGGEAGKEDGFLRLRTVKAPDFADSSFAVSEQTYNRTTSMKVIIGLTVVVGAIISAICVFCMWRRIAHYRERKKRSMKILLEESMMQDDLNQAKLPLLSLPKLVAATNNFDIANKLGQGGFGPVYKGRLPDGQEIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLVYEYMPNKSLDAFLFDPLRKQLLDWNKRFDIVDGICRGLLYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGMARIFGGNEDQANTIRVVGTYGYMSPEYAIQGRFSEKSDVFSFGVLLLEIASGRKNTSFYDCEQVSSLIGFAWKSWNEGNIGAIVDPVISNPSFEVEVFRCINIGLLCVQELARDRPTISTVISMLNSEIVDLPAPKQSAFAERFSYLDKESSEQNKQRYSINNVSITALEAR >Potri.011G039400.1.v4.1 pep chromosome:Pop_tri_v4:11:3049727:3053582:-1 gene:Potri.011G039400.v4.1 transcript:Potri.011G039400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039400.v4.1 MVALLLLLRFFCLQLGAATDTITSSQYVKDPDAIVSAGNKFKLGFFSPVSSTNRYVGIWFSSVTPITPVWVANRNKPLNDSSGVMTISGDGNLVVLNGQKETLWSSIVSKGVGNSSAQLMDDGNLVLREIGSGNSLWESFQEPSDTIITNMRLTAKVRTGEKTLLSSWRSPSDPSIGTFTVGINPVRIPHCFIWNHSHPIYRSGPWNGQVFIANPEMNSVNSNGFDIVQDGNGTFTLISNSANESYIGRYVLSYDGIFSELYWDYGKEEWVNVGRVPNDECDVYGKCGSFGICKVKNSPICSCMKGFEPKDADKWNSRNWTSGCVRRRPMQCERIQYGGEAGKEDGFLRLRTVKAPDFADSSFAVSEQTCRDNCMNNSSCIAYAYYTGIRCMLWWENLTDIRKFPSRGADLYVRLAYSELDNRTTSMKVIIGLTVVVGAIISAICVFCMWRRIAHYRERKKRSMKILLEESMMQDDLNQAKLPLLSLPKLVAATNNFDIANKLGQGGFGPVYKGRLPDGQEIAVKRLSRASGQGLEEFMNEVVVISKLQHRNLVRLLGCCVEGEEKMLVYEYMPNKSLDAFLFDPLRKQLLDWNKRFDIVDGICRGLLYLHRDSRLKIIHRDLKASNILLDENLNPKISDFGMARIFGGNEDQANTIRVVGTYGYMSPEYAIQGRFSEKSDVFSFGVLLLEIASGRKNTSFYDCEQVSSLIGFAWKSWNEGNIGAIVDPVISNPSFEVEVFRCINIGLLCVQELARDRPTISTVISMLNSEIVDLPAPKQSAFAERFSYLDKESSEQNKQRYSINNVSITALEAR >Potri.018G070200.3.v4.1 pep chromosome:Pop_tri_v4:18:8449751:8459141:-1 gene:Potri.018G070200.v4.1 transcript:Potri.018G070200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070200.v4.1 MPTVSVGRDRLFAALGKSYTQEEFEDLCFKFGIELDDVTTEKAIIRKERHLDEEDEEVGDDEEIIYKIEVPANRYDLLCLEGIAQALRIFNEEEQTPTYTLANITQDSMLKMHVQPETSLIRPFVVCAVLRDITFDEASYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTLQGPFTYEALHPENINFVPLKQEKNFRADELMEFYKSDMKLKKFLHIIENSPVYPIIYDSKRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKASIVLNTMVTTFSAYCQRKFEVEPVKVIYSDGKSYVYPDLSVYNMEVPLSYITGSIGVSLAAEKVTSLLNRMQLRAEHSVFDDNKCNINVLIPPTRSDVLHPCDVMEDVAIAYGYNDIPKRRLPSMKPLPLNQLEDLIRAEIAMNGFTEVLTWILCSYRENFASLNREDDQSSAVIIGNPRSSDFEVVRTSLMPGALKIIGHNKDHPKPIKIFEVGDIALLDESKDVGATNRRHLAALYCGTNSGFELIHSLVDRIMEVMGTPFVPIGDNTGYYIERSNEPEFLPGRQASIIYKGKHFGNFGIVHPQVLNNFVITDPCSFLEIDIEHFL >Potri.018G070200.2.v4.1 pep chromosome:Pop_tri_v4:18:8449762:8459136:-1 gene:Potri.018G070200.v4.1 transcript:Potri.018G070200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070200.v4.1 MPTVSVGRDRLFAALGKSYTQEEFEDLCFKFGIELDDVTTEKAIIRKERHLDEEDEEVGDDEEIIYKIEVPANRYDLLCLEGIAQALRIFNEEEQTPTYTLANITQDSMLKMHVQPETSLIRPFVVCAVLRDITFDEASYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTLQGPFTYEALHPENINFVPLKQEKNFRADELMEFYKSDMKLKKFLHIIENSPVYPIIYDSKRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKASIVLNTMVTTFSAYCQRKFEVEPVKVIYSDGKSYVYPDLSVYNMEVPLSYITGSIGVSLAAEKVTSLLNRMQLRAEHSVFDDNKCNINVLIPPTRSDVLHPCDVMEDVAIAYGYNDIPKRRLPSMKPLPLNQLEDLIRAEIAMNGFTEVLTWILCSYRENFASLNREDDQSSAVIIGNPRSSDFEVVRTSLMPGALKIIGHNKDHPKPIKIFEVGDIALLDESKDVGATNRRHLAALYCGTNSGFELIHSLVDRIMEVMGTPFVPIGDNTGYYIERSNEPEFLPGRQASIIYKGKHFGNFGIVHPQVLNNFVITDPCSFLEIDIEHFL >Potri.001G349900.1.v4.1 pep chromosome:Pop_tri_v4:1:36204896:36207296:-1 gene:Potri.001G349900.v4.1 transcript:Potri.001G349900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349900.v4.1 MKFCTFIVIFAALGATVFAEDDARCLQGVQNSLGDPEGRLATWNFGNTSVGFICNFVGVSCWNDRENRIINLELRDMKLSGQVPESLQYCKSLQNLDLSSNSLSGTIPAQICTWLPYLVTLDLSNNDFSGPIPPDLANCIYLNNLILSNNRLSGSIPLGFSALGRLKKFSVANNDLTGPVPSSFNNYDSADFDGNKGLCGRPLSKCGGLSKKNLAIIIAAGVFGAASSLLLGFGVWWWYQSKHSGRRKGGYDFGRGDDTNWAQRLRSHKLVQVSLFQKPLVKVKLGDLMAATNNFSPESIIISTRSGTTYKAVLPDGSALAIKRLSTCKLGEKQFQLEMNRLGQVRHPNLAPLLGFCVAGEEKLLVYKHMSNGTLYSLLHGTGNALDWPTRFRIGFGAARGLAWLHHGYQPPFLHQNICSNAILVDEDFDARIMDFGLARMMTSSDSNESSYVNGDLGEIGYVAPEYSSTMVASLKGDVYGFGVVLLELVTGQKPLDISTAEEGFKGNLVDWVNNLSSSGRSKDAVEKAICGKGHDEEISQFLKIACKCVIARPKDRWSMYEAYQSLKIIANEHGLTLSEQDDEFPLIFGKQGHD >Potri.019G007800.2.v4.1 pep chromosome:Pop_tri_v4:19:1610162:1612731:-1 gene:Potri.019G007800.v4.1 transcript:Potri.019G007800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007800.v4.1 MRNEVMVLPCLLLLHCYVVLTMETITQEKAEAAIPVTTLSPPEGNTTFLAGTSWCVALPGVSQIDLQNALDWACGLGMADCKPIQHGGACFDPDTLVSHASYAFNNYYQQNGNSDIACNFGGTATLTNIDPSHGKCNFALPGSVRSSAPSSLKCKTSFMWVKFAGILLLLYLRR >Potri.002G245900.1.v4.1 pep chromosome:Pop_tri_v4:2:23701526:23705039:1 gene:Potri.002G245900.v4.1 transcript:Potri.002G245900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245900.v4.1 MGATGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGALAPRHHVAVVPQYGYHSGGADRMVGPVSVATVKKGRAREFYEEEFDDEEDVGGSGGGGKKMRREGYEGYGYGSGSGGKAGMAGAVGEKKMEGRSRIDRESDNQQLLQLEEKDVVSSVATVLSDLCGPGEWMPMEKLHAELVEQYSSIWHHSRVRRYLTSEDWTGPEAKGKPWYGLLMLLRKYPEHFVINTRSKGRVTLEFVSLVSLLT >Potri.002G245900.3.v4.1 pep chromosome:Pop_tri_v4:2:23701532:23702396:1 gene:Potri.002G245900.v4.1 transcript:Potri.002G245900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245900.v4.1 MGATGSDVEAGFAKLQGEDFEYYMQTYSIILGRNSKKSTVDVDLSSLGGGMNISRHHARIFYDFTRRRFALEVLGKNGCLVEGVLHLPGNPPVKLDSQDLLQIGDKEFYFLLPVRSILGGALAPRHHVAVVPQYGYHSGGADRMVGPVSVATVKKGRAREFYEEEFDDEEDVGGSGGGGKKMRREGYEGYGYGSGSGGKAGMAGAVG >Potri.009G032600.1.v4.1 pep chromosome:Pop_tri_v4:9:4322570:4323864:1 gene:Potri.009G032600.v4.1 transcript:Potri.009G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032600.v4.1 MGVFTFVCRCSGNEWSAKQIAEGDIEASASSTFELQRKLVQSALSADSSGGVQSSFSYVTPSSAVFQVIIGGGCGGAFFGGGGGGAAAAPAGGAAAAAEAPAAEEKKKEEEPESDDDMGFSLFD >Potri.001G144300.1.v4.1 pep chromosome:Pop_tri_v4:1:11877791:11882041:1 gene:Potri.001G144300.v4.1 transcript:Potri.001G144300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144300.v4.1 MELVMPISAKENLKKNMKAFSEKSKRFPGLLWRTVWRVGRDDPRRVVHALKVGLSLTLVSLLYLLEPLFKGIGQNAIWAVMTVVVVLEFTAGATLCKGLNRGLGTLLAGSLAFLTGYIAEKTGLVFRAVFIGAAVFVIGTATTYMRFFPYIKRNYDYGVLIFLLTFNLITVSSYRVSNVLKIAHERFYTIAIGCGVCLVMTLFIFPIWSGEDLHNSTVIKLEGLAKSIEACVNEYFNDVEKEKTQDKSPEDPIYKGYKAVLDSKSQDETLALHASWEPRHSRHCRYPWQQYVKLGAVLRHFGYTVVALHGCLQSEIQTPQHCRVLFKDPCIRVTNELSKALMELANSIRNRRHCSPEILSDNLHEALQDLNNALKSQPRLFLGSNNNQATNMLAVAAAHANSSQKREKYNGVSLPSFKTDNSALLEWKSKRMNNEHSRSEAAERKVLRPQLSKIAITSLEFSEALPFAAFASLLVETVARLDNIIEEVEELGRIACFKEFKPGDEVIVNFKTQKVNVTLNHFSSQGGE >Potri.018G027901.1.v4.1 pep chromosome:Pop_tri_v4:18:2075373:2076121:1 gene:Potri.018G027901.v4.1 transcript:Potri.018G027901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027901.v4.1 MDLVFTLPSFLSQVTFDRRQKKACCLISFCNGVKCTLPELIYGLIDGFSGIRARGNHDDPTSINHKKTFSLQPSCMNVLLGQHMEFLPPSHLDAAIPCPVNATTDRGPSEQLLLRKRVAGFLGYF >Potri.002G156500.1.v4.1 pep chromosome:Pop_tri_v4:2:11928717:11931102:-1 gene:Potri.002G156500.v4.1 transcript:Potri.002G156500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156500.v4.1 MYSKAFSLSIEGQNRTTTEPKMTEAEPSNNSTLAKPRLVICIGDIHGYITKLQNLWSNLETQFDPQHFNAATIIFLGDYCDRGPDTKKVLDFLIDLPSRYPNQKHVFLSGNHDFAFAAFVGVLPEPQNGVSFKEGWKEYEESEDREGWYKGDGYENMHLQGRRWAGHIKVRFNTSKGIEYKGSIYDAGPTFTSYGVPHGSSDLLKAVPDDHKKFLADTVWVHEEDDVCIEDEEGIRHCKLIAAHAGLEEGKNVGEQLRFLKAKETHVPKIEALSGRKTVWDIPKELTEKPIIVVSGHHGKLHIDGLRLIIDEGGGFENKPVAAIALPSMKLVRDTDNLTK >Potri.016G037000.2.v4.1 pep chromosome:Pop_tri_v4:16:2235425:2235655:-1 gene:Potri.016G037000.v4.1 transcript:Potri.016G037000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037000.v4.1 MKHYCMMKSLMFRCFSLRSIIFLVFLTMLLVVLPLLLPPLPPPPSALMFVPVLIMSFLVLLAFSSSQIPHSALSST >Potri.008G050800.1.v4.1 pep chromosome:Pop_tri_v4:8:2976506:2979988:-1 gene:Potri.008G050800.v4.1 transcript:Potri.008G050800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050800.v4.1 MDDLISSLPDEILFRIFSSLPFESAAQTIFLSNRWRLLWETALVQHGTEEDVANAMSGFLANFDEKDPSKNTRKFRFHFCNGSVLLVIIARNGSLRLHFSTGKQEFPQQFGLQLEFNHQNLASQPSPSSFYVKSLHLMSVTYLTNEVVSSIMTNFQILETLKITCCNCLQSLSIGSDTKLLSLTIFDCPQLKSLHIRSYKLRTFRYRGPLPWFRPEYHFNLADALLDSRQGPGYRSFSGRDFDSVLLTIKNVKVLTLCKWTFEALICPSLSTLFADFQFYNLKELWWIDNSNERYDGEALISFLKLCPSLQQLFVTIDPKSYFMKSSSKYSIRAGRNTQLHHLKLVKLDGFENQADEVLLAERIGKVVTTEPLILTSSDLICLRKFVEVSSNQSKQNSTDKLEEVAPQSCERKCSYKFLEVQDTKELHPKHVHMGL >Potri.008G050800.3.v4.1 pep chromosome:Pop_tri_v4:8:2976505:2979993:-1 gene:Potri.008G050800.v4.1 transcript:Potri.008G050800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050800.v4.1 MDDLISSLPDEILFRIFSSLPFESAAQTIFLSNRWRLLWETALVQHGTEEDVANAMSGFLANFDEKDPSKNTRKFRFHFCNGSVLLVIIARNGSLRLHFSTGKQEFPQQFGLQLEFNHQNLASQPSPSSFYVKSLHLMSVTYLTNEVVSSIMTNFQILETLKITCCNCLQSLSIGSDTKLLSLTIFDCPQLKSLHIRSYKLRTFRYRGPLPWFRPEYHFNLADALLDSRQGPGYRSFSGRDFDSVLLTIKNVKVLTLCKWTFEALICPSLSTLFADFQFYNLKELWWIDNSNERYDGEALISFLKLCPSLQQLFVTVSVLRIYRGQTFYFLLYDDFQLSIPQQLLL >Potri.012G049101.1.v4.1 pep chromosome:Pop_tri_v4:12:4606189:4607664:-1 gene:Potri.012G049101.v4.1 transcript:Potri.012G049101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G049101.v4.1 MFAISLPHLSIGKYCGLLYGGCPGEKPCDGLDACCMKHDACIQSKNNSYLSQECSQNFISCMSNFKTGARTFKGNKCRADEVIQVISVVMEAALLAGRAFHKP >Potri.005G136401.2.v4.1 pep chromosome:Pop_tri_v4:5:10545244:10549204:-1 gene:Potri.005G136401.v4.1 transcript:Potri.005G136401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136401.v4.1 MNTRVRTALQAMKAPLNHDTNKKEKMETQGSITVGAHKPAANRRKANRERKLALLQDVDKLKKKLRHEENVHRALERAFTRPLGALPRLPPYLPLYMLELLAEVAVLEEEVVRLEEQVVNFRQGLYQEAVCISSKKNVENSSDAIDNQPSTTRPKHTRSKSLSLNDTNSATFAARPQPSLPRCASSRRLFPSDPIIERSGQSSNRPANGGNHVSGKPNSPSSLVDDEQGKENRSCIASAKVKQSPGKKLAKIMTPVKRTPNKRESVEKSMEPSKLQLEYPKITSRQTR >Potri.017G084700.1.v4.1 pep chromosome:Pop_tri_v4:17:9754225:9754686:1 gene:Potri.017G084700.v4.1 transcript:Potri.017G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084700.v4.1 MMGWSCSFRVVLVLALLALPSFSHGHGFSRKVIETYELGDSSVELEETAGKSRGMYELDYDLDPKPNTNPKTGYIYSPPQG >Potri.006G260500.5.v4.1 pep chromosome:Pop_tri_v4:6:25672908:25673246:-1 gene:Potri.006G260500.v4.1 transcript:Potri.006G260500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260500.v4.1 MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Potri.001G201600.6.v4.1 pep chromosome:Pop_tri_v4:1:20156139:20160047:1 gene:Potri.001G201600.v4.1 transcript:Potri.001G201600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201600.v4.1 MEEECLNTESVGNDDAADECGIEGDCAMTEYVNQTGVIENPLPPSVGMEFESYEDVYYFYNCYAKQQGYGIRVSNTWYRKSKERYRGKLSCSSAGFKKKSEANRPRPETRTGCPAMVKFRLMENKRWKIIEVELEHNHLITPGSGKFYKSHKIIGPGTKRTLQLDGPEEVQKIKLFRTVIVDAEGNGGVDTDGGQFGNDVLLPSQLKLKEGDAQAVQSFFFRLQLMDPNFFYVVELNEKGYMRNLFWTDARSRAAYGYFCDVIAIDTMCLTYKFEVPLVAFIGVNHHGQPILLGCGMLADETTESYTWLLRAWLTCMLGRPPQAIITDHHKTLQTAVADVFPRASHCFYLSRIVQRIPETLGELFDFEAIKVSLSKVVYCFLRPEEFEAAWEEMTQHHGIRDHKWIQTLYEDRKRWVPAYLKETFLAGMLPLQQNETAASFFEGYLDRHTSLKEFMDNYDQALQANRQLESLADMDSRNSSFMLKSRCFFELQLSKLYTNEIQRRFEREVEGMYSCFSTRQAYVDGQVMTYLVKEEVEVEGSKRETRDFEVMYNASEMDVLCVCGLFNFSGFLCRHALSVLNQNGLEEIPPQYILTRWRKDMKRSYVLDHSCRGIDINNPIHRYDHLYKCIVRVVEEGRKSQDCSKATQQALADMLSKLHLVEDRPPAM >Potri.001G394500.1.v4.1 pep chromosome:Pop_tri_v4:1:41834006:41837048:1 gene:Potri.001G394500.v4.1 transcript:Potri.001G394500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394500.v4.1 MADRSRSFLKEGTVTYAYILLYIALSSGQIFFNKWVLSSKEINFPYPLGLTLLHMVFSSVLCFVLTKVFKVMRVEEGMTLEIYTTSVIPIGATFAMTLWLGNTAYLYISVAFAQMLKAIMPVAVFVLGVAAGLEMMSCRMLFIMSVISFGVLVASYGEININWIGVVYQMGGVVGEALRLIFMEILVKRKGLKLNPISVMYYVSPCSSVFLLIPWIFLEKSRMEAHGTWNLQPLVLMLNSLCTFALNLSVFLVISHTSALTIRVAGVVKDWVVVLLSALLFADTKLTIINLFGYAIAIAGVAAYNNHKLKKEASKGSSDESKHPESIPLTEPSTSNS >Potri.005G102800.7.v4.1 pep chromosome:Pop_tri_v4:5:7452627:7458523:-1 gene:Potri.005G102800.v4.1 transcript:Potri.005G102800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102800.v4.1 MTVTQLRGLPFYGVTGKRRERRVNKRGEAFTLKDEIKVPHLCHFVVSNKRGLRFNTFTKCCGSIFDRQTSEYSLNLSSVEERLASNNGRSVSKGIYDSTGPPIASQSRTPSVQNELIMLSIPAIAGQAIEPLAQLMETAYVGRLGPLELATAGVSMSIFNILSKVFNIPLLSVATSFVAEDISRNASKSTSGHLHPEDEMAERKSLSSVSTALVLAAGIGVFEALAMYLGSGIFLNMMGIPPASPMRIPAERFLKLRAIGAPAVVVYLAIQGIFRGFKDTKTPVLCLGFGNFSAVLLFPLLMNYFGLGVTGAAISTVVSQYVVALLMIWYLNKKTILSLPNVQSLDCGGYLSSGGFLLGRTLAAVMTITLSTSMAARQGALPMAAHQICLQVWLSVSLLADAQAASGQALIASSSAKGDYSTVKEITFSALKIGLITGISLAIILGVSFSSIATMFTKDAEVLAIVRSGLLFVSASQPINALAYIFDGLHYGISDFSYAAWSMMMVGAISSAFILYAPSTVGLYGVWSGLTLFMGLRTVAGYMRLISKNGPWWFLHEDIPKNWRLDEA >Potri.005G102800.2.v4.1 pep chromosome:Pop_tri_v4:5:7452621:7458959:-1 gene:Potri.005G102800.v4.1 transcript:Potri.005G102800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102800.v4.1 MTVTQLRGLPFYGVTGKRRERRVNKRGEAFTLKDEIKVPHLCHFVVSNKRGLRFNTFTKCCGSIFDRQTSEYSLNLSSVEERLASNNGRSVSKGIYDSTGPPIASQSRTPSVQNELIMLSIPAIAGQAIEPLAQLMETAYVGRLGPLELATAGVSMSIFNILSKVFNIPLLSVATSFVAEDISRNASKSTSGHLHPEDEMAERKSLSSVSTALVLAAGIGVFEALAMYLGSGIFLNMMGIPPASPMRIPAERFLKLRAIGAPAVVVYLAIQGIFRGFKDTKTPVLCLGFGNFSAVLLFPLLMNYFGLGVTGAAISTVVSQYVVALLMIWYLNKKTILSLPNVQSLDCGGYLSSGGFLLGRTLAAVMTITLSTSMAARQGALPMAAHQICLQVWLSVSLLADAQAASGQALIASSSAKGDYSTVKEITFSALKIGLITGISLAIILGVSFSSIATMFTKDAEVLAIVRSGLLFVSASQPINALAYIFDGLHYGISDFSYAAWSMMMVGAISSAFILYAPSTVGLYGVWSGLTLFMGLRTVAGYMRLISKNGPWWFLHEDIPKNWRLDEA >Potri.015G137600.7.v4.1 pep chromosome:Pop_tri_v4:15:14592861:14595402:-1 gene:Potri.015G137600.v4.1 transcript:Potri.015G137600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137600.v4.1 MLPQKMKKAITDNPKQLANLIDLVNLPSTLRDFVGQSQISHLGCFMRVWSYIKTNNLQDPNNKNVVNCDEKLKSILLGKQQVELVELPALIKLHFPKQKKFP >Potri.015G137600.6.v4.1 pep chromosome:Pop_tri_v4:15:14592861:14595402:-1 gene:Potri.015G137600.v4.1 transcript:Potri.015G137600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137600.v4.1 MLPQKMKKAITDNPKQLANLIDLVNLPSTLRDFVGQSQISHLGCFMRVWSYIKTNNLQDPNNKNVVNCDEKLKSILLGKQQVELVELPALIKLHFPKQKKFP >Potri.017G134101.3.v4.1 pep chromosome:Pop_tri_v4:17:13613672:13614898:-1 gene:Potri.017G134101.v4.1 transcript:Potri.017G134101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134101.v4.1 MANMQTPRSPLQLPTRGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSKFASAANLVKTLRGPKYDGKFLHSIVKEKLGDTWLHQTLTNIVIPTFDIKRLQPTIFSSYNVKNNPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVRDFNLIDGGVAANNPVCL >Potri.017G134101.2.v4.1 pep chromosome:Pop_tri_v4:17:13612860:13614903:-1 gene:Potri.017G134101.v4.1 transcript:Potri.017G134101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134101.v4.1 MANMQTPRSPLQLPTRGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSKFASAANLVKTLRGPKYDGKFLHSIVKEKLGDTWLHQTLTNIVIPTFDIKRLQPTIFSSYNVKNNPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVRDFNLIDGGVAANNPTLVAISV >Potri.017G134101.1.v4.1 pep chromosome:Pop_tri_v4:17:13607337:13614761:-1 gene:Potri.017G134101.v4.1 transcript:Potri.017G134101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134101.v4.1 MQTPRSPLQLPTRGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSKFASAANLVKTLRGPKYDGKFLHSIVKEKLGDTWLHQTLTNIVIPTFDIKRLQPTIFSSYNVKNNPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVRDFNLIDGGVAANNPYAQHSEVSKAINREGPDSYRMNPMEYGRFLVLSLGTGTAKSEEKYDAEEAAKWGLLGWLTSDHSTPLVDVFTQASADMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKPVSRVNLATGVFEPINKMTNEEALRKLAKLLSREKHLREAKSAVGN >Potri.013G064501.1.v4.1 pep chromosome:Pop_tri_v4:13:4784684:4786032:-1 gene:Potri.013G064501.v4.1 transcript:Potri.013G064501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064501.v4.1 MTEPLGDAMSETRRKGIGKRATPMSDESQPVSELAPTPASPCGACKFLRRKCISGCIFAPHFGSDQGAARFAAVHKVFGASNVSKLLLHIPLNRRHEAIVTISYEAQARLSDPVYGCVSTILALQQQVASLQAELSVVQTQLINSRFAMANALQQQHHVAMLQPAYSNNSSASTNFINMSSFTSNFDLVTDTAPSSHSMEPLHISQPSHDEEEDEEESQLPGVIFANEVLHRR >Potri.001G229300.4.v4.1 pep chromosome:Pop_tri_v4:1:24859364:24863102:-1 gene:Potri.001G229300.v4.1 transcript:Potri.001G229300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229300.v4.1 MFPSCKKEYSLFFFFLYFVLCFLVCSDLKQKFVFVDSTLQLKVKKLEEEREISVQEGDGNTEKPDLKADRLINEDEPGKPGSVSGEESDPEDRSVNESNSTASGGGEDAVAKLEEVEPVQGGSGEPDPVVSGSNRKALDEGGGGGGEESCEFGDSVTQLSCESLNSGRKRKGSERKEEVSVTGGEETVAVKSEPVVGFLEMIRAHRNGSLFESLLESQEMGVYKDMIRQHMDMEAIQAKLEQGSYSPSKLLFFRDLLLLFNNALVFFPKHSVESLTAHKIRSLVMDEMRKDIQKSDSTVVPENIPSQPKRELERSDSLLAKHKSSIPIIVCRKRSSISAKPSSSSLGPKIEQQQQQSNENKPVNDLKPPAVEQGLLKMKSEEKPVTGARSTRRGNKNLAKGSTSPSKKQNTSPDTKVAAPDKSETPKTEKKKNEALPLEKKKSAVDFLKRIKKNSPAETPKNNNRGASSGGERKMEGSGGKGERGKERVLKNSDKKQGKQESSPSKKNVGRPSKKAAEESRVSGKRGRDSGGKEVAKRPRKRSRR >Potri.001G229300.1.v4.1 pep chromosome:Pop_tri_v4:1:24859292:24863112:-1 gene:Potri.001G229300.v4.1 transcript:Potri.001G229300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229300.v4.1 MANQEEDIHHQNQQSWGTWEELLLASAVKRHGFKNWDSVALELQTKTCLPHLLTTAQICQQKYLDLNRRFNTTTINNLHHNHTPEEDNQDEEQNNINTDIINNNIVSVPWLEELRKLRVAELKQEVQRYDVSILTLQLKVKKLEEEREISVQEGDGNTEKPDLKADRLINEDEPGKPGSVSGEESDPEDRSVNESNSTASGGGEDAVAKLEEVEPVQGGSGEPDPVVSGSNRKALDEGGGGGGEESCEFGDSVTQLSCESLNSGRKRKGSERKEEVSVTGGEETVAVKSEPVVGFLEMIRAHRNGSLFESLLESQEMGVYKDMIRQHMDMEAIQAKLEQGSYSPSKLLFFRDLLLLFNNALVFFPKHSVESLTAHKIRSLVMDEMRKDIQKSDSTVVPENIPSQPKRELERSDSLLAKHKSSIPIIVCRKRSSISAKPSSSSLGPKIEQQQQQSNENKPVNDLKPPAVEQGLLKMKSEEKPVTGARSTRRGNKNLAKGSTSPSKKQNTSPDTKVAAPDKSETPKTEKKKNEALPLEKKKSAVDFLKRIKKNSPAETPKNNNRGASSGGERKMEGSGGKGERGKERVLKNSDKKQGKQESSPSKKNVGRPSKKAAEESRVSGKRGRDSGGKEVAKRPRKRSRR >Potri.001G229300.2.v4.1 pep chromosome:Pop_tri_v4:1:24858879:24863105:-1 gene:Potri.001G229300.v4.1 transcript:Potri.001G229300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229300.v4.1 MANQEEDIHHQNQQSWGTWEELLLASAVKRHGFKNWDSVALELQTKTCLPHLLTTAQICQQKYLDLNRRFNTTTINNLHHNHTPEEDNQDEEQNNINTDIINNNIVSVPWLEELRKLRVAELKQEVQRYDVSILTLQLKVKKLEEEREISVQEGDGNTEKPDLKADRLINEDEPGKPGSVSGEESDPEDRSVNESNSTASGGGEDAVAKLEEVEPVQGGSGEPDPVVSGSNRKALDEGGGGGGEESCEFGDSVTQLSCESLNSGRKRKGSERKEEVSVTGGEETVAVKSEPVVGFLEMIRAHRNGSLFESLLESQEMGVYKDMIRQHMDMEAIQAKLEQGSYSPSKLLFFRDLLLLFNNALVFFPKHSVESLTAHKIRSLVMDEMRKDIQKSDSTVVPENIPSQPKRELERSDSLLAKHKSSIPIIVCRKRSSISAKPSSSSLGPKIEQQQQQSNENKPVNDLKPPAVEQGLLKMKSEEKPVTGARSTRRGNKNLAKGSTSPSKKQNTSPDTKVAAPDKSETPKTEKKKNEALPLEKKKSAVDFLKRIKKNSPAETPKNNNRGASSGGERKMEGSGGKGERGKERVLKNSDKKQGKQESSPSKKNVGRPSKKAAEESRVSGKRGRDSGGKEVAKRPRKRSRRK >Potri.001G229300.3.v4.1 pep chromosome:Pop_tri_v4:1:24859325:24863102:-1 gene:Potri.001G229300.v4.1 transcript:Potri.001G229300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229300.v4.1 MANQEEDIHHQNQQSWGTWEELLLASAVKRHGFKNWDSVALELQTKTCLPHLLTTAQICQQKYLDLNRRFNTTTINNLHHNHTPEEDNQDEEQNNINTDIINNNIVSVPWLEELRKLRVAELKQEVKKLEEEREISVQEGDGNTEKPDLKADRLINEDEPGKPGSVSGEESDPEDRSVNESNSTASGGGEDAVAKLEEVEPVQGGSGEPDPVVSGSNRKALDEGGGGGGEESCEFGDSVTQLSCESLNSGRKRKGSERKEEVSVTGGEETVAVKSEPVVGFLEMIRAHRNGSLFESLLESQEMGVYKDMIRQHMDMEAIQAKLEQGSYSPSKLLFFRDLLLLFNNALVFFPKHSVESLTAHKIRSLVMDEMRKDIQKSDSTVVPENIPSQPKRELERSDSLLAKHKSSIPIIVCRKRSSISAKPSSSSLGPKIEQQQQQSNENKPVNDLKPPAVEQGLLKMKSEEKPVTGARSTRRGNKNLAKGSTSPSKKQNTSPDTKVAAPDKSETPKTEKKKNEALPLEKKKSAVDFLKRIKKNSPAETPKNNNRGASSGGERKMEGSGGKGERGKERVLKNSDKKQGKQESSPSKKNVGRPSKKAAEESRVSGKRGRDSGGKEVAKRPRKRSRR >Potri.009G021800.1.v4.1 pep chromosome:Pop_tri_v4:9:3403898:3410419:1 gene:Potri.009G021800.v4.1 transcript:Potri.009G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021800.v4.1 MASSHLLCNTFHFQSSCNLSSKSNISSFKPRGPFSFCQQLQFPKTPVVKATGKKVELVYDPDGRINNLADEVDKQAPLSRLTLFSPCKVNVFLRITSKREDGYHDLASLFHVISLGDVIKFSLSPSKSIDRLSTNVSGVPLTEDNLIIKALNLYRKKTGTDNFFWIHLDKNVPTGAGLGGGSSNAATALWAANQFSGCLATEKELQEWSSEIGSDIPFFFSHGAAYCTGRGEIVQDIPSLVPLDKPMVLIKPPQACSTAEVYKRFQLDKTSQADPLTLLEKISRNGISQDVCINDLEPPAFELLPSLKRLKQRIAAAGRGQYDAVFMSGSGSTIVGIGSPDPPQFIYDEDEYQDVFVSEANFINREANQWYQQPGSSSTICDSPPEFTRAIE >Potri.018G018900.1.v4.1 pep chromosome:Pop_tri_v4:18:1288928:1290762:1 gene:Potri.018G018900.v4.1 transcript:Potri.018G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018900.v4.1 MNTQLKKRNLIQFYLIQSILRQNSLRQLRTKPTHHYNDKYRTRNTKEDKQQDDLFLYKSKGQHLLTNQRILDSIVRKSSINPTDTVLEIGPGTGNLTLKLLDVASKVVAVEIDKRMVGVLNKRVKEHGFEDKLSVIREDALKAEFPKFDLVVANIPYGISSPLVAKLVYGANTFRSATLLLQKEFARRLLAKPGDSEFNRLAVNVKLVADVEFVMNVSKREFFPVPKVDSSVVIIRPKDRIPDVNLDEWWAFTKNCFGKKNKTLGATFKQKKKVIELFRLSKMTSSNGEEINRNQYVCADDDGDNEEESDGEECHSSSCADRELLLFKEKIIEVLKAGSFEDKRPSKLCNDELLYLLSMLNQSGIYFHEQTKPRNVGNVAFAAAYS >Potri.010G176400.2.v4.1 pep chromosome:Pop_tri_v4:10:17641518:17648016:1 gene:Potri.010G176400.v4.1 transcript:Potri.010G176400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176400.v4.1 MTATILVDSKPFTLFITPKKPYYSTANNFHLLQFSKQNHNYSSTMPPLKRRVKGYYSSKNWNFKNSFRSAAASSATESPQLDKGSNNNNGDGQRKVPGLNTLFRRFWKVAAPYWFSEDKEPARLQLAAVFALTLGTTGISVGFNFLGRDFYNALANKDQEQFTKQLLYYLGAFAGGIPLFVLRDYAREILGLRWRSWMTKFYMERYLKNQTFYKIQSQSIIDNPDQRIVDDLSSFTGTALSFSLTLFNAAVDLISFSNILYGIYPPLFVVLLAYSIGGTAISVFLGRELVTLNFLQEKKEADFRYGLVRVRENAESIAFFGGEENEIQLLLQRFKSAFENLTRLLISSRNLEFFTSGYRYLIQILPAAVVAPMYFSGKIEFGVINQSVSAFNHILGDFSLIVYQFQAISAFSAVIDRLGEFDDVLDSSSSKCISSSVEEISLTYCDERSSLLLESNGSILMDRCQKVLDLENLTLQTPTSKAILIRDLSLVINKKDHLLVTGPSGSGKTSLLRALAGLWNTGQGKITFYVNEGNDPQAPTSSEVPALKVNTADDTAEELEGPINRNDRGIFFLPQRPYMVLGTLRQQLLYPTWADDVIPTSDGGNPAGSLPFLMRNSSSGTSGGKRSKPTTDDLIQVLEDVRLGYILSRFGSLDSTHEWSSVLSLGEQQRLAFARLLLSKPKVVLLDESTSALDEANEEHLYRQIEAAGMTYISVGHRRTLYDHHNRNLHITTVDPSSNERNWHVEPINRDSLYNILNR >Potri.015G133900.4.v4.1 pep chromosome:Pop_tri_v4:15:14305006:14313871:1 gene:Potri.015G133900.v4.1 transcript:Potri.015G133900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G133900.v4.1 MTEVCRRKSNDNEDDSAAKCRERRRRRIEMRRLAAISTTRGSPQPTYAKGSKEKSQATGEGSSSSTAEGKRIVETVSEIQTVEPVFGSMSVSGRSREMEDAISVRTSFCLPGINRRRPLHLFGVYDGHGGYHVAALCREKMHVLIEEELERVESTCGSGESGEFGAEWEEMWRGVMKRSYERMDEVALSTCACGSEGFQCECRPTQMILGGSTAVVAVLTPEHIIVANCGDSRAVLSRGGRAIPLSVDHKPDRQDELARIEAAGGRVIYLDGARVEGILAMSRAIGQTMVLPS >Potri.015G133900.7.v4.1 pep chromosome:Pop_tri_v4:15:14305006:14313871:1 gene:Potri.015G133900.v4.1 transcript:Potri.015G133900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G133900.v4.1 MTEVCRRKSNDNEDDSAAKCRERRRRRIEMRRLAAISTTRGSPQPTYAKGSKEKSQATGEGSSSSTAEGKRIVETVSEIQTVEPVFGSMSVSGRSREMEDAISVRTSFCLPGINRRRPLHLFGVYDGHGGYHVAALCREKMHVLIEEELERVESTCGSGESGEFGAEWEEMWRGVMKRSYERMDEVALSTCACGSEGFQCECRPTQMILGGSTAVVAVLTPEHIIVANCGDSRAVLSRGGRAIPLSVDHKPDRQDELARIEAAGGRVIYLDGARVEGILAMSRAIGDEYLKPFVIPEPEITFTKRESVDDCLLLASDGLWDVLSGDLACKVARQCLREENPPPVNAGPQIKDEGAGALYPSRSMLAAALLTRLALGRRSCDNISVIVVDLKSS >Potri.015G133900.6.v4.1 pep chromosome:Pop_tri_v4:15:14305006:14313871:1 gene:Potri.015G133900.v4.1 transcript:Potri.015G133900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G133900.v4.1 MTEVCRRKSNDNEDDSAAKCRERRRRRIEMRRLAAISTTRGSPQPTYAKGSKEKSQATGEGSSSSTAEGKRIVETVSEIQTVEPVFGSMSVSGRSREMEDAISVRTSFCLPGINRRRPLHLFGVYDGHGGYHVAALCREKMHVLIEEELERVESTCGSGESGEFGAEWEEMWRGVMKRSYERMDEVALSTCACGSEGFQCECRPTQMILGGSTAVVAVLTPEHIIVANCGDSRAVLSRGGRAIPLSVDHKPDRQDELARIEAAGGRVIYLDGARVEGILAMSRAIGDEYLKPFVIPEPEITFTKRESVDDCLLLASDGLWDVLSGDLACKVARQCLREENPPPVNAGPQIKDEGAGALYPSRSMLAAALLTRLALGRRSCDNISVIVVDLKSS >Potri.005G035900.1.v4.1 pep chromosome:Pop_tri_v4:5:2309608:2312878:1 gene:Potri.005G035900.v4.1 transcript:Potri.005G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035900.v4.1 MSKTEPLCLSLLNICKSLTTFKQIHANVLKLGLESDPFIAGKLLHHCAISLSDSLDYACRLFRYTPNPDVFMHNTLIRGLYESDRPQDSLLKFIEMRRNSFSPPDSFSFAFIVKAAANLRSVRVGIQLHCQALVHGLDTHLFVGTTLISMYGECGFVGFARKVFDEMPEPNAIAWNAMVTACCRGGDMKGGRELFDLMPVRNLMSWNVMLAGYTKAGELELAREMFLEMPMKDDVSWSTMIVGFAHNGYFEEAFSFFRELQRKGMRPNETSLTGVLSACAQAGALEFGKILHGFIEKSGLAWIVSVNNALLDTYSKCGNVLMAQLVFERIMNERNIVSWTSMMAALAMHGHGEEAIGIFHKMEESGIRPDEIAFISLLYACSHAGLVEQGCEYFDKMKGMYNIEPSIEHYGCMVDLYGRAGQLQKAYEFVCQMPIPCTAIIWRTLLGACSMHGDVKLAEQVKERLSELDPNNSSDHVLLSNAYAVAGKWKDAASVRRSMTEQRITKTPGWSMIEVDKIMYTFLAGTKQYKITEEAYKKLKEIIRRLRVEGGYVPEIGRVLHDIEEEEKEGSVSVHSEKLAVAFGIARLCKGRTIRIVKNLRICRDCHAVMKLISQIYKVEIVVRDRSRFHSFKDGYCSCRDYW >Potri.001G263700.3.v4.1 pep chromosome:Pop_tri_v4:1:27926403:27933395:-1 gene:Potri.001G263700.v4.1 transcript:Potri.001G263700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G263700.v4.1 MSKAGALDLASGLGGKIDKSDVLSSVEKYEKYHVYYGGAEEDRKANYSDMVNKYYDLVTSFYEFGWGESFHFAPRFKGESLRESIKRHEHFLALQLGLKPGQKVLDVGCGIGGPLREIARFSSTSVTGLNNNEYQISRGKELNRIAGVDRTCDFLKADFMKMPFPDNSFDAVYAIEATCHAPDAYGCYSEIYRVLKPGQCFAAYEWCMTDAFNPHDQEHQKIKSEIEIGDGLPDIRSTGQCIDALKKAGFEVIWSKDLAVGSPMPWYLPLDTTHFSLNSFRLTAVGRFFTRNMVKALEYLGVAPKGSQRVQDFLEKAAQGLVEGGRKEIFTPLFFFFARKPHSDSQ >Potri.002G065200.2.v4.1 pep chromosome:Pop_tri_v4:2:4462541:4463150:-1 gene:Potri.002G065200.v4.1 transcript:Potri.002G065200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G065200.v4.1 MEKSKSFPQYSSFFSGEFGFEDQSNSYNFNGPCQKGNGFATSSDPELKRKKRIASYNVFTVEGKLKSSARNSFKWIKSKFSDARYGM >Potri.002G024300.1.v4.1 pep chromosome:Pop_tri_v4:2:1536177:1536887:1 gene:Potri.002G024300.v4.1 transcript:Potri.002G024300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024300.v4.1 MAIRKSNKLPQTAVIKQILKRCSSLGKKQGYHDQEGLPLDVPKGHFVVYVGENRSRYIVPISILSRPEFQTLLQQAEEEFGFDHDMGLTIPCEEVVFQSILVRY >Potri.004G176100.3.v4.1 pep chromosome:Pop_tri_v4:4:19125204:19128894:1 gene:Potri.004G176100.v4.1 transcript:Potri.004G176100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176100.v4.1 MAENGRVHPDCMNASNPYHECGVACLERISQGKRRKEKKQSGSIIDAALSFGRKKKESGSQQNSPRAVNNVPVVKAARRGPSPLPTKKHDEAENSGSFSSSQQRSDESYSEDHSFDKGPVQSPGPMHVSGNITPDPPKSPTRLSLACYKIPTPAEPQQNGKLHGSPKAATYPSANHEGKVTDGPISEYLDFSFSGISRASEESDEEEVQSVISDSCVSVGKYHVRENIASILQLILDKYGDIAAGSRLESASMRAYYLECLCSVVHELQCTSFKQLTNSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSSQHQAAEEAKSKCDHAIESIKKELESMMEDLAQKEKAVADAKAQISETRDRLNKLELDSSRLSETISSIWSTVEKFHDKPLADEIL >Potri.004G176100.1.v4.1 pep chromosome:Pop_tri_v4:4:19125547:19128863:1 gene:Potri.004G176100.v4.1 transcript:Potri.004G176100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176100.v4.1 MAENGRVHPDCMNASNPYHECGVACLERISQGKRRKEKKQSDYHNGVNEGWSSKNKDEERRAQPTCPKASNPYHKCEEFCSNRTAEVNPKGVEKETGGAQPTCPKASNPYHKCEEFCSNRTAEINPKGVKKETGGAQPTCPKASNPYHKCEEFCSNRTEINPKGVKKETGGAQPTCPKASNPYHKCEEFCSNRTAEINPRGVEKQSERAQTCPRASNPYHKCDELCSNGTLEANPQGVKKDSGSIIDAALSFGRKKKESGSQQNSPRAVNNVPVVKAARRGPSPLPTKKHDEAENSGSFSSSQQRSDESYSEDHSFDKGPVQSPGPMHVSGNITPDPPKSPTRLSLACYKIPTPAEPQQNGKLHGSPKAATYPSANHEGKVTDGPISEYLDFSFSGISRASEESDEEEVQSVISDSCVSVGKYHVRENIASILQLILDKYGDIAAGSRLESASMRAYYLECLCSVVHELQCTSFKQLTNSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSSQHQAAEEAKSKCDHAIESIKKELESMMEDLAQKEKAVADAKAQISETRDRLNKLELDSSRLSETISSIWSTVEKFHDKPLADEIL >Potri.004G176100.5.v4.1 pep chromosome:Pop_tri_v4:4:19125550:19128841:1 gene:Potri.004G176100.v4.1 transcript:Potri.004G176100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176100.v4.1 MAENGRVHPDCMNASNPYHECGVACLERISQGKRRKEKKQSGSIIDAALSFGRKKKESGSQQNSPRAVNNVPVVKAARRGPSPLPTKKHDEAENSGSFSSSQQRSDESYSEDHSFDKGPVQSPGPMHVSGNITPDPPKSPTRLSLACYKIPTPAEPQQNGKLHGSPKAATYPSANHEGKVTDGPISEYLDFSFSGISRASEESDEEEVQSVISDSCVSVGKYHVRENIASILQLILDKYGDIAAGSRLESASMRAYYLECLCSVVHELQCTSFKQLTNSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSSQHQAAEEAKSKCDHAIESIKKELESMMEDLAQKEKAVADAKAQISETRDRLNKLELDSSRLSETISSIWSTVEKFHDKPLADEIL >Potri.004G062600.1.v4.1 pep chromosome:Pop_tri_v4:4:5288626:5291278:1 gene:Potri.004G062600.v4.1 transcript:Potri.004G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062600.v4.1 MGWKAAEKLIRHWKVLRGDNVMIIRGKDRGETGVVKRVVRSQNRVIVEGKNLVKKHIKAGEGHEGGIFTVEAPLHASNVQVVDPVTGRPCKVGIRYLEDGTKVRVSRGEGSSGSIIPRPEILKIRTTPRPTVAGPKDTPMDLVLKKTYDAKTGKGMPDL >Potri.006G088300.4.v4.1 pep chromosome:Pop_tri_v4:6:6648491:6650824:-1 gene:Potri.006G088300.v4.1 transcript:Potri.006G088300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD7 MDSLLCDEVWLSSPATPDHHNQPRYSHGENYAAASSFYTTKEDCEKAVSIYLEKEFTCMPEPGYVEHLRTKNLLFARLRAIQWLIKSRERLSLSFETVFNAANYLDRFMSMNQCHGWKCWMVELLCVACLSVASKFTETRTPCLHDIQMEDLDHSFQPITIQRMELVLLRALGWRLGSTTAYSYVELLMMEIDFLKSYSYLQKDLVACRITELLLGAMQDCSMVGFRPSITAISALWCSLEEFVPSKSDAHLAHIKGLLNALDHKDDVVIKCHGIMEAQLINPVYNLLACGKKHSYCCPSSPVTVLPTERIGIYDCDVDLSFFNDSGSNNKQETSKKKRKWHEKSIKDDGFQKRIK >Potri.006G088300.5.v4.1 pep chromosome:Pop_tri_v4:6:6648491:6650824:-1 gene:Potri.006G088300.v4.1 transcript:Potri.006G088300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD7 MDSLLCDEVWLSSPATPDHHNQPRYSHGENYAAASSFYTTKEDCEKAVSIYLEKEFTCMPEPGYVEHLRTKNLLFARLRAIQWLIKSRERLSLSFETVFNAANYLDRFMSMNQCHGWKCWMVELLCVACLSVASKFTETRTPCLHDIQMEDLDHSFQPITIQRMELVLLRALVACRITELLLGAMQDCSMVGFRPSITAISALWCSLEEFVPSKSDAHLAHIKGLLNALDHKDDVVIKCHGIMEAQLINPVYNLLACGKKHSYCCPSSPVTVLPTERIGIYDCDVDLSFFNDSGSNNKQETSKKKRKWHEKSIKDDGFQKRIK >Potri.008G216423.1.v4.1 pep chromosome:Pop_tri_v4:8:16495609:16496021:1 gene:Potri.008G216423.v4.1 transcript:Potri.008G216423.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216423.v4.1 MGNGSGGKGGSGGTGGGKGDSPARDEGTPAIGSSPGTRSVGGGDTNTVPGPVLRGSDHVRRNSGSVSVARDASSMLLQIPITFCIFFVLMY >Potri.005G139300.1.v4.1 pep chromosome:Pop_tri_v4:5:10974508:10975796:1 gene:Potri.005G139300.v4.1 transcript:Potri.005G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139300.v4.1 MEWVRGQCIGSGSSSRVHLATLKQHPSVLAVKSCAESDSSLLENEREVVTELGYCPQIIQYFGDSHTVEEKNERLYNLLLEYAKGGSLSQKLNKFGCFQERDVKDYARSILKGLRHIHAKGFVHCDLKLDNILLFENEEVKIADFGLARKAGKAQGRAEIRGTPLYMAPESVNDNVYESGVDIWALGCAVIEMLTGKPAWSCKPGTNMFVLLIRIGKGDELPTIPEELSQQGKDFLSKCFVKDPKRRWTADMLLEHPFVADQGKGTVPLREEIEVSSTSPRCHFDFPDWVSIQSPSPRSEFLSDDGVGLVFPSLNSSSWISASDRIRQLASDQCCNWSDSGFWVAVR >Potri.004G138900.10.v4.1 pep chromosome:Pop_tri_v4:4:16091110:16098397:-1 gene:Potri.004G138900.v4.1 transcript:Potri.004G138900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138900.v4.1 MPLLKKKPFTLLEPPKDLEPNELVYQVRFTKEIFRDYEVYLNRINLYRQRFWTCKVSGKGNLTYEEALVSEKHAAEKVPEIPKELMTPALRTIHFSMLSLKDLADTIAAKLQEHLFVGAELHGKRKKGDLCPCKILKVLEDSTVKTKYEVAWLDRNKKVTETAVVNRDDLIWKKSPFSRNSLKPFIRKSTRQSFPWVLHDKLAEKYRISMDPPQDLKGIVFIQDGAVYNKRKKDAMEVKDSGKLKKKKVEAEEAEATKKEDNHQKEEPIKYPIDDLLVQPETDDPVFTVRPLPSRDFNVPMDGVGDLLMVWDFLSSFSKLLHLWPFSLEDFENAICRKGSNVNLIVETHSSLIRLLKSEKDECFSAVQKRTRALKITLTNWTDYLCDFLEIINIGDLSTHITTIKRGHYGLLDAQVKLGILRELVNQVLETDIAREKLAGYVEERQVLLSMKRGEALEEGRKKREEKEWLKAKSVDNGATNGHGVDSTGNNQPVLSNGNHIGQNGQIAKNKGEAFSTRPNHASNSRHLEIESKKTGKKKNMGVESQAEKGIDLTKKEASLLLRDEKIAASTWSIKERRRAYFERELEKRILHTNPLGKDRDYNRYWWFKRDGRVFVESSDSKLWGCYCCKEEIDALMGSLNPKGERERALQKQVEKFYSRICLELQKRSKDLATKIALEEAVHRRSTRVRALPRENPANAFLNYVNRWKED >Potri.004G138900.11.v4.1 pep chromosome:Pop_tri_v4:4:16091107:16098283:-1 gene:Potri.004G138900.v4.1 transcript:Potri.004G138900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138900.v4.1 MPLLKKKPFTLLEPPKDLEPNELVYQVRFTKEIFRDYEVYLNRINLYRQRFWTCKVSGKGNLTYEEALVSEKHAAEKVPEIPKELMTPALRTIHFSMLSLKDLADTIAAKLQEHLFVGAELHGKRKKGDLCPCKILKVLEDSTVKTKYEVAWLDRNKKVTETAVVNRDDLIWKKSPFSRNSLKPFIRKSTRQSFPWVLHDKLAEKYRISMDPPQDLKGIVFIQDGAVYNKRKKDAMEVKDSGKLKKKKVEAEEAEATKKEDNHQKEEPIKYPIDDLLVQPETDDPVFTVRPLPSRDFNVPMDGVGDLLMVWDFLSSFSKLLHLWPFSLEDFENAICRKGSNVNLIVETHSSLIRLLKSEKDECFSAVQKRTRALKITLTNWTDYLCDFLEIINIGDLSTHITTIKRGHYGLLDAQVKLGILRELVNQVLETDIAREKLAGYVEERQVLLSMKRGEALEEGRKKREEKEWLKAKSVDNGATNGHGVDSTGNNQPVLSNGNHIGQNGQIAKNKGEAFSTRPNHASNSRHLEIESKKTGKKKNMGVESQAEKGIDLTKKEASLLLRDEKIAASTWSIKERRVIYLLLSLSLVYVCMCLCLLILPCSFFFFWFDIV >Potri.004G138900.8.v4.1 pep chromosome:Pop_tri_v4:4:16091094:16098397:-1 gene:Potri.004G138900.v4.1 transcript:Potri.004G138900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138900.v4.1 MPLLKKKPFTLLEPPKDLEPNELVYQVRFTKEIFRDYEVYLNRINLYRQRFWTCKVSGKGNLTYEEALVSEKHAAEKVPEIPKELMTPALRTIHFSMLSLKDLADTIAAKLQEHLFVGAELHGKRKKGDLCPCKILKVLEDSTVKTKYEVAWLDRNKKVTETAVVNRDDLIWKKSPFSRNSLKPFIRKSTRQSFPWVLHDKLAEKYRISMDPPQDLKGIVFIQDGAVYNKRKKDAMEVKDSGKLKKKKVEAEEAEATKKEDNHQKEEPIKYPIDDLLVQPETDDPVFTVRPLPSRDFNVPMDGVGDLLMVWDFLSSFSKLLHLWPFSLEDFENAICRKGSNVNLIVETHSSLIRLLKSEKDECFSAVQKRTRALKITLTNWTDYLCDFLEIINIGDLSTHITTIKRGHYGLLDAQVKLGILRELVNQVLETDIAREKLAGYVEERQVLLSMKRGEALEEGRKKREEKEWLKAKSVDNGATNGHGVDSTGNNQPVLSNGNHIGQNGQIAKNKGEAFSTRPNHASNRHLEIESKKTGKKKNMGVESQAEKGIDLTKKEASLLLRDEKIAASTWSIKERRRAYFERELEKRILHTNPLGKDRDYNRYWWFKRDGRVFVESSDSKLWGCYCCKEEIDALMGSLNPKGERERALQKQVEKFYSRICLELQKRSKDLATKIALEEAVHRRSTRVRALPRENPANAFLNYVNRWKED >Potri.004G138900.9.v4.1 pep chromosome:Pop_tri_v4:4:16091105:16098397:-1 gene:Potri.004G138900.v4.1 transcript:Potri.004G138900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138900.v4.1 MPLLKKKPFTLLEPPKDLEPNELVYQVRFTKEIFRDYEVYLNRINLYRQRFWTCKVSGKGNLTYEEALVSEKHAAEKVPEIPKELMTPALRTIHFSMLSLKDLADTIAAKLQEHLFVGAELHGKRKKGDLCPCKILKVLEDSTVKTKYEVAWLDRNKKVTETAVVNRDDLIWKKSPFSRNSLKPFIRKSTRQSFPWVLHDKLAEKYRISMDPPQDLKGIVFIQDGAVYNKRKKDAMEVKDSGKLKKKKVEAEEAEATKKEDNHQKEEPIKYPIDDLLVQPETDDPVFTVRPLPSRDFNVPMDGVGDLLMVWDFLSSFSKLLHLWPFSLEDFENAICRKGSNVNLIVETHSSLIRLLKSEKDECFSAVQKRTRALKITLTNWTDYLCDFLEIINIGDLSTHITTIKRGHYGLLDAQVKLGILRELVNQVLETDIAREKLAGYVEERQVLLSMKRGEALEEGRKKREEKEWLKAKSVDNGATNGHGVDSTGNNQPVLSNGNHIGQNGQIAKNKGEAFSTRPNHASNSRHLEIESKKTGKKKNMGVESQAEKGIDLTKKEASLLLRDEKIAASTWSIKERRRAYFERELEKRILHTNPLGKDRDYNRYWWFKRDGRVFVESSDSKLWGCYCCKEEIDALMGSLNPKGERERALQKQVEKFYSRICLELQKRSKDLATKIALEEAVHRRSTRVRALPRENPANAFLNYVNRWKED >Potri.004G120500.1.v4.1 pep chromosome:Pop_tri_v4:4:11465005:11468991:1 gene:Potri.004G120500.v4.1 transcript:Potri.004G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120500.v4.1 MGSSCFPSSVIKWQAFTKLKLFSLFCAFSLSLNCAASFDSDKSVLLQFKNSVSDPSGLLSGWNLINTNHCHWNGVSCDANSRVVSLNITGNGNYRGKDSGNGSAFLCSGDSIELSLYGFGIRRDCKGSKGVLVGKLLPFIAKLSELRVLSLPFNGFQGLIPSEIWCMEKLEVLDLEGNLVSGSLPVSFSGLRNLRVLNFGFNRIEGEIPGSLSYCEGLEILNLAGNRINGTIPGFVGRLKGVYLSLNQLGGSLPEEFGDNCEKLEHLDLSGNFVVGGIPSTLGKCGNLRTLLLYSNLFEEIIPHELGKLGKLEVLDVSRNSLSGPVPPELGNCSALSVLVLSNMFDPYQDFNGTRGDSSLDHSISVNEDFNFFQGDMPADVLTLPKLRMLWAPSAMLEGMLMSNWDPCDSLEMINLSHNFLTGEIPHGINHCNKLWYLDLSFNKLNGELLAEFPVPCMTVFDVSENALSGSIPSFYSSSCPRVPSVNDNPLNAYDPSSAYVSFFAYKAQTGSPAMSLGGSGGITVFHNFGSNNFTGTLQSIPIAPVRSGKQTAYTFLAGDNKLSGPFPGILFEKCHGLNTMIVNVSSNRMSGQIPANMGPMCRSLKLLDASKNQIMGTIPPSVGDLVSLVSLDMSWNLLHGPIPSSLSQIRGLKYLSLAGNGINGSIPSSLGKLQTLEVLDLSSNLLSGEIPNDLVKLRNLTALLLNNNKLSGQIPSGLASMTLLSMFNVSFNNLSGPLPSSNSLMQCSSVLGNPYLHPCRVFSLAVPSPDSQGRASEAQGYASLSGQTQKRQGGGFTSIEIASIASASAIFSVLLALIFLFIYTRKWSPKSKIMGSARKEVTIFTDIGVTLTFENVVRATGSFNASNCIGNGGFGATYKAEISPGVLVAIKRLAVGRFQGIQQFHAEIKTLGRLHHPNLVTLIGYHASETEMFLIYNYLPGGNLEKFIQERSTRAVDWRILHKIALDIARALAYLHDQCVPRVLHRDVKPSNILLDDDFNAYLSDFGLARLLGTSETHATTGVAGTFGYVAPEYAMTCRVSDKADVYSYGVVLLELLSDKKALDPSFSPYGNGFNIVAWACMLLRQGRAKEFFTGGLWDAGPHDDLVEILHLAVVCTVDTLSTRPTMKQVVRRLKQLQPPSC >Potri.005G222000.1.v4.1 pep chromosome:Pop_tri_v4:5:22378763:22383903:-1 gene:Potri.005G222000.v4.1 transcript:Potri.005G222000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222000.v4.1 MANRYLKRLFHNMYCSNNILKRQQTCRKFLYGVINRSQSEMSKSEKSAQVSSPSSSKTNKKSGGELSNYSSGDDDLSDNKGKIELAWLTKALEPALQLCRWALSTGNGVGNKIPASTRSVSEIIASIQRSKIAIEGWSLSDLTIGLYLIYLRQASLNLFEDVKGVEVFSESIVHDLIYHVELAKGCYKDGPSGLVRNSMIRENNVLKFVKNSSVMRPGYYIAIDPRKKLVILGIRGTHTVYDLITDIVSSSDGEVTFEGYSTHFGTTEAARWFLSHEMGTIRKCLEKYEGFRLRLVGHSLGAAIASLLAIMLRKKSPKELGFSPDIVTAVGYASPPCVSKELAESCSDFVINVVMKDDIIPRLSAASLERLRKEILQTDWMSVVEKEDWKSVIGLVTNAKQVVTSIQDVAQKLADYAKFGSNKNSPDGSITRESLAIPAAPSTSKATTENAVIPEKERNVNALPKELFVPGSIYYLKRDINTDAHTISGRGMELFTLWKRHPGEHFERIVLPGNIISDHKCDSHYYALRDVLKGLPGTNNESIFK >Potri.011G158100.1.v4.1 pep chromosome:Pop_tri_v4:11:18384809:18386641:1 gene:Potri.011G158100.v4.1 transcript:Potri.011G158100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158100.v4.1 MNSLIPSILPFLSIFLLSFSWATSAHTHDDFLHCLYNKNSDSISEVIYTPINSSYPSVLQFSIRNLRFNTTATPKPLVIVSPVNVSQIQDVVICSKKHGLQIRVRSGGHDFEGLSYVSIVPFVLVDLINLRMINVDVENSNAWVEAGATLGEVYYRIAEKSKTLAFPAGVSPTVGVGGHFSGGGSGMILRKFGLAADHITDAVLVDVEGRIHDRKSMGEDLFWAIRGGGGNTFGIVVAWKLNLVPVPPIVTAFNVSRTLEQNATKLVHRWQFVSNKLHEDIFTRIFLRKVESSQRGKTTIQAAFTTLFIGEVDRLLSLMQESFPELGLVKEDCIEMSWIESVLYFAGFPSNTSLDALLDRTPISDVFFKIKSDYVKEPLPEIALEGIWERMDQLEVQISELQFTAYGGKMDEISESSLPFPHRAGIIYQIEYAVLWEEESSEASQRYISWIRRLLNYMTPYVSKNPRQVYVNYRDLDLGINKLDGNTSYKQASIWGRKYFKNNFDRLVRVKTAVDPANFFRHEQSIPPLSSW >Potri.001G095500.2.v4.1 pep chromosome:Pop_tri_v4:1:7556369:7561750:1 gene:Potri.001G095500.v4.1 transcript:Potri.001G095500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095500.v4.1 MACRAAGKRGIDVGLTRVLTSPSLGHAVGLTSRTSLDGASVRYIPESSFSSFLQGSGHHATKESSIRCFHASRELWARRKNDNQGVDLKTQKKGKFAKRIKKPPVDAPYVPPKLKRITKSLQDKTIDIFEGMTTVELAKRTGQSVITLQEFLVNLGEKVVSEFDPLKIDVAELVVMEVGANVRRQHSNEGSEILPRPVVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVRMPSGASITFLDTPGHAAFSAMRARGAAVTDLVVLVVAADDGVMPQTLEAISHAKSANVPVVVAINKCDKPSANPERVKLQLASEGFLLEEMGGDIQVVEVSAVTKTGLDNLEEAILLQAEMMELKARVDGHAQAYVVEAKLDKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDMSGKLTERARPAMPVVIEGLKGLPMAGDDVTVVETEERARMLSAGRRRKYEKDRLRQIMEQRTETNEPSEDDSVVPERTEMPLIVKADVQGTVQAVTDALRTLNSPQVFVNVVHVGVGPISQSDVDLAQACGAYIVGFNVKSPPSSISQAAIQAGTKIMQHQVIYHLLDEVGNLIVDKAPGTLETQVAGEAEVLNIFELRGRSKSAGGDIKIAGCRVMGGHFSKSSTMRLLRSGEEVFEGPCASLKTNKHDVELVEKGNECGLVILGCNDFQIGDVIQCLEQVVRKPKLIISDNGIARIECY >Potri.001G095500.1.v4.1 pep chromosome:Pop_tri_v4:1:7556369:7562350:1 gene:Potri.001G095500.v4.1 transcript:Potri.001G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095500.v4.1 MACRAAGKRGIDVGLTRVLTSPSLGHAVGLTSRTSLDGASVRYIPESSFSSFLQGSGHHATKESSIRCFHASRELWARRKNDNQGVDLKTQKKGKFAKRIKKPPVDAPYVPPKLKRITKSLQDKTIDIFEGMTTVELAKRTGQSVITLQEFLVNLGEKVVSEFDPLKIDVAELVVMEVGANVRRQHSNEGSEILPRPVVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVRMPSGASITFLDTPGHAAFSAMRARGAAVTDLVVLVVAADDGVMPQTLEAISHAKSANVPVVVAINKCDKPSANPERVKLQLASEGFLLEEMGGDIQVVEVSAVTKTGLDNLEEAILLQAEMMELKARVDGHAQAYVVEAKLDKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDMSGKLTERARPAMPVVIEGLKGLPMAGDDVTVVETEERARMLSAGRRRKYEKDRLRQIMEQRTETNEPSEDDSVVPERTEMPLIVKADVQGTVQAVTDALRTLNSPQVFVNVVHVGVGPISQSDVDLAQACGAYIVGFNVKSPPSSISQAAIQAGTKIMQHQVIYHLLDEVGNLIVDKAPGTLETQVAGEAEVLNIFELRGRSKSAGGDIKIAGCRVMGGHFSKSSTMRLLRSGEEVFEGPCASLKTNKHDVELVEKGNECGLVILGCNDFQIGDVIQCLEQVVRKPKLIISDNGIARIECY >Potri.001G095500.4.v4.1 pep chromosome:Pop_tri_v4:1:7556369:7562350:1 gene:Potri.001G095500.v4.1 transcript:Potri.001G095500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095500.v4.1 MACRAAGKRGIDVGLTRVLTSPSLGHAVGLTSRTSLDGASVRYIPESSFSSFLQGSGHHATKESSIRIVSWLYISLRCFHASRELWARRKNDNQGVDLKTQKKGKFAKRIKKPPVDAPYVPPKLKRITKSLQDKTIDIFEGMTTVELAKRTGQSVITLQEFLVNLGEKVVSEFDPLKIDVAELVVMEVGANVRRQHSNEGSEILPRPVVVTVMGHVDHGKTSLLDALRQTSVAAKEAGGITQHLGAFVVRMPSGASITFLDTPGHAAFSAMRARGAAVTDLVVLVVAADDGVMPQTLEAISHAKSANVPVVVAINKCDKPSANPERVKLQLASEGFLLEEMGGDIQVVEVSAVTKTGLDNLEEAILLQAEMMELKARVDGHAQAYVVEAKLDKGRGPLATAIVKAGTLVCGQHVVVGSEWGRIRAIRDMSGKLTERARPAMPVVIEGLKGLPMAGDDVTVVETEERARMLSAGRRRKYEKDRLRQIMEQRTETNEPSEDDSVVPERTEMPLIVKADVQGTVQAVTDALRTLNSPQVFVNVVHVGVGPISQSDVDLAQACGAYIVGFNVKSPPSSISQAAIQAGTKIMQHQVIYHLLDEVGNLIVDKAPGTLETQVAGEAEVLNIFELRGRSKSAGGDIKIAGCRVMGGHFSKSSTMRLLRSGEEVFEGPCASLKTNKHDVELVEKGNECGLVILGCNDFQIGDVIQCLEQVVRKPKLIISDNGIARIECY >Potri.005G026100.1.v4.1 pep chromosome:Pop_tri_v4:5:1645122:1647094:-1 gene:Potri.005G026100.v4.1 transcript:Potri.005G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026100.v4.1 MATGTEAKEGGSGENKEPPLKYKTLVLKVSVHCEECKRKVKKILNNIDGVYTTDVDLRQQKATVIGNVDADTLIKKLIKKTGKHAELWPEKADNNQKDKKKGKGKKKEKEKGKEKESDQESSDEEGSDGGNEKEVKGKTEGCQTGTSLGGGQSPVTDKKVDGQSEVGAGGSAGGGKKKKKKKKKKKAHTAGNNNPVDEAEHSVRAPAGTGSPTLGNVHVQIAHPTNHSPQRQHVYDYPATTYYAPTVYAVSSNVACPSTFYGASYYSPPYSYACMHPPSDLDTYPPQPSGSFEIFSDENPNACSIM >Potri.011G015050.1.v4.1 pep chromosome:Pop_tri_v4:11:1349156:1352843:1 gene:Potri.011G015050.v4.1 transcript:Potri.011G015050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015050.v4.1 MAPGKYQESYSSRFSNYKYQVFLSFRGEDTHKNLTDHLYKALVDAGIHTFRDDDEIQRGENIYFELQKAIQQSKISIIVFSKDYASSRWCLDELVMIMERSFAAAFVEHEKHYKEKMERVKGWGIALKEVADLAGMDLGDGYEAQFVQSIVEKVSKKLDKKMFHVPFISLEEIL >Potri.015G148500.1.v4.1 pep chromosome:Pop_tri_v4:15:15223140:15225282:1 gene:Potri.015G148500.v4.1 transcript:Potri.015G148500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G148500.v4.1 MDELRPRPPNSYALTPVGFLDRAATVYGDCPSIIYNDISYTWSQTHSRCLQLASSLSSIGINKGHVVSVIAPNIPAMYELHFAVPMAGAILNTLNTRLDARTISLLLCHAESKLLFVDPMYVSLVHEAISLFPPNTKHPPLVLIADDEVVSQQSSPTIHFYDTYESLVEKGDPAFNWIRPKNDFDPIALNYTSGTTASPKGVVHCHRGLFIVTLDSLIDWSFPKQPVFLWTLPMFHSNGWSYPWGMAAVGGTNICIRKFDAPTIYGLIEKHRVTHMCGAPVVLNMLSNSPTIIKPLKNPVHIITAGAPPPATILSRTESLGFVVGHGYGLTETGGIVVSCAWKRQWSLFPATERARLKARQGVRTIGMNEVDVVDPITGTSVKRDGSTLGEIVLRGGCIMLGYLKDPVATAKCMTENGWFYTGDVGVMHPDGYLEIKDRSKDVIISGGENLSSVEVESVLYTFPDINEAAVVARPDEFWGETPCAFVTLKEACCKSTTEKEIIEYCRARLPHYMVPKTVVVTEELPKTATGKIQKALLRDMAKDMGSSRVSRM >Potri.006G035601.1.v4.1 pep chromosome:Pop_tri_v4:6:2271383:2272077:-1 gene:Potri.006G035601.v4.1 transcript:Potri.006G035601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035601.v4.1 MELTGWKYLIRLQFISINKFSTGFPDSSPYLTHFICSTRKPSIWMMLQSKQKPKPERKNFLKGFQQVEKAYYTHKCQSHEHDPMRPQEQCLNKMIKNKPDVNRNKDKITVPGRSLTDRQENRFMDGAAMQDKFEAAKRKLQTG >Potri.001G314700.1.v4.1 pep chromosome:Pop_tri_v4:1:32549944:32557401:-1 gene:Potri.001G314700.v4.1 transcript:Potri.001G314700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314700.v4.1 MFTRSPTTKKLFFFFRSHKSHFSTNIVGEKPVLVRDFIHSALYDPKHGYFSQRSRSVGVLERSIRFNQLEGRKAYMNHLDKIYKRSDISWFTPVELFKPWYAHGIAEAIMRTSQLSVPLQIYEIGGGSGICAKGILDYIMLNAPARIYNNMTYTSVEISPSLAEIQKETVGEVRSHLSKFRVECRDAADRSGWGDIKQQPCWVIMLEVLDNLPHDLVYSENQVFPWKEVWVEKQHDKESLFELYKPLEDPLIKRCVEIVELGKNQSVSIAKSVWSKVFPKPRRCWLPTGCLKLLDVLHEVLPRMSLIASDFSYLPDVSIPGERAPLVSTKKDGRSLDYNSYLDTKGDADIFFPTDFWLLERMDHYCSGWMKPHGDNSTKQGKKRRTLVLDTSAFMEEFGLPSKTRTKDGYNPLLDDFKNTKFYLSVPTHNIK >Potri.005G070500.1.v4.1 pep chromosome:Pop_tri_v4:5:4586792:4594741:1 gene:Potri.005G070500.v4.1 transcript:Potri.005G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070500.v4.1 MSSQMGPPPPKRTSLTQKRGPSTARKLSSSSASLASENGGTTTTNISQVGNSSKPSSPNAPSSVGGERTVKKLKLSKALTIPEGTTVFDACRRMAARRVNAVLLTDANALLSGIVTDKDISARVIAEGLRPEHTIVSKIMTRNPIFVTSDSLAIEALQKMVQGKFRHLPVVENGEVIALLDITRCLYDAISRMEKAAEQGSAIAAAVEGVERQWGNNFTAPYAFIETLRERMFKPSLSTIIGEQSKVAIASPSDPVYAATKKMRELRVNSVIVVTGNKIQGILTSKDILMRVVAQNLSPELTLVEKVMTLNPECVTLETTILDALHVMHDGKFLHLPVVDKDGSVAACLDVLQITHAAISLVESSSGAVNDVANTMMQKFWDSALALEPADDYDTQSEMSALMASDATELGRYPSLGLGNSFAFKFQDLKGRVHRLNCGTENLNELLSTVLQRIGADNEQDRPQLLYEDDEGDKVLLATDGDLISAVNHARSGGLKVLRLHLDYYDPSHQTTSPSSTTTTTTQRAGLVSLRSGILAAGVVLAGVAVVVYLKRAKL >Potri.015G044400.2.v4.1 pep chromosome:Pop_tri_v4:15:4313489:4319776:1 gene:Potri.015G044400.v4.1 transcript:Potri.015G044400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G044400.v4.1 MHDRKGSKLCGKVSTKCFQWGLSISPLKPLQNPRNLQIPFARFMQQKQWLDQNPQKLDKVENVAIRIDLSDGCVGWGEAPMLPFVTAEDQSTAMVKASEACELLKDSPSMTLGLVLDILPGHEFASIREGVEMTLTDAVAKSIELASKYPKQGFKTLKLKVGKNPREDVEVLQAIRAVHPDCLFILDANEVHRDDWEGLGHVTHIAKGKYGVSVAADESCHSLVDAKRIITGNLADVINIKLAKVGIVGGLEIIEEARTSGLDPMIGGMVETRLAMGFTGHLAAGFGCFKDYEHILARLEMIEHRVLVGYC >Potri.012G082800.1.v4.1 pep chromosome:Pop_tri_v4:12:10813385:10815053:-1 gene:Potri.012G082800.v4.1 transcript:Potri.012G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082800.v4.1 MVSMLRSSIEMSKQAALKKAKELASSAPVVVFSKTYCGYCNRAKQLLTQVGATYKVIELDELSGGYELQSALGHWTGQSTVPNVFIEGKHIGGCDSVLEKHKNNQLLPLLNDAGAVAINSAQL >Potri.011G113700.2.v4.1 pep chromosome:Pop_tri_v4:11:14385341:14385472:-1 gene:Potri.011G113700.v4.1 transcript:Potri.011G113700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbN METATLVAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Potri.001G015700.1.v4.1 pep chromosome:Pop_tri_v4:1:1173062:1176893:-1 gene:Potri.001G015700.v4.1 transcript:Potri.001G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015700.v4.1 MQKLPQSVDFALKETSPNIGAGSVTGNKLSCTYDLVEQMQYLYVRVVKARDLPPKDVTGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGWMMFDLNEVPKRVPPDSPLAPQWYRLEDRKGGKIKSGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNNIRSKVYLSPKLWYVRVNVIEAQDLVPSDKSRFPEVFVKGTLGNQALRTRTSHIKTINPMWDDDLIFVAPEPFEEPLILTVEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVVLDGELKKETKFSSRIHVRICLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGILELGVLSAVGLMPMKMKDGRGTTDAYCVAKYGQKWVRTRTIVDSFTPRWNEQYTWEVFDPCTVITVGVFDNGHLHGGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLVLHPAGVKKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYIQPLSVMQLDSLRHQAMQIVSMRLSRAEPPLRKEVVEYMLDVDLHKWSMRRSKANFFRIMGVLSGLIAVGKWFDQICNWKNPLTTILIHLLFIILVLYPELILPTVFLYLFVIGLWNFRWRPRHPPHMDTRLSHADAAHPDELDEEFDTFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLLSWRDPRATTLFVTFCLIAAIVLYVTPFQVVGLLIGIYVLRHPRFRHKLPSVPLNFFRRLPARSDSML >Potri.010G017900.4.v4.1 pep chromosome:Pop_tri_v4:10:2771781:2776033:-1 gene:Potri.010G017900.v4.1 transcript:Potri.010G017900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G017900.v4.1 MRVPMDYISVLRFCFTLLLIVRVATPTDTMHTTQPIRDGDSIVSAGGTYELGFFSPGKSRNRYLGIWYGKISLLTPVWVANRETPLNDSSGVVMLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAQLLDSGNLFVKEEGDNNMENSLWQSFDYPGNTLIPGSKLGRNRITGMDWHLTSWKSSDDPSRGNISIILIPGGYPEYAAVEDSNVKYRGGPWNGLGFSGLPRLKSNPIYTFEFVFNDKEIFYRETLVNNSTHWRAVATQNGDLQLLLWMEQTQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLTGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFLQNEQDIFIRMAASELDNGDSAKVNTKSKVKKRIIVSSVLSTGILFVGLCLLLNVWKKKQQKNRKMTGNLQRRSNNKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDETRSLLVDWPKRYNIISGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVGGYRNRGFRHPDHHLNLIGHAWILFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEGSYSSSQSKPPSANVCSISVLEAR >Potri.010G017900.5.v4.1 pep chromosome:Pop_tri_v4:10:2771781:2776033:-1 gene:Potri.010G017900.v4.1 transcript:Potri.010G017900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G017900.v4.1 MRVPMDYISVLRFCFTLLLIVRVATPTDTMHTTQPIRDGDSIVSAGGTYELGFFSPGKSRNRYLGIWYGKISLLTPVWVANRETPLNDSSGVVMLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAQLLDSGNLFVKEEGDNNMENSLWQSFDYPGNTLIPGSKLGRNRITGMDWHLTSWKSSDDPSRGNISIILIPGGYPEYAAVEDSNVKYRGGPWNGLGFSGLPRLKSNPIYTFEFVFNDKEIFYRETLVNNSTHWRAVATQNGDLQLLLWMEQTQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLTGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFLQNEQDIFIRMAASELDNGDSAKVNTKSKVKKRIIVSSVLSTGILFVGLCLLLNVWKKKQQKNSNLQRRSNNKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDETRSLLVDWPKRYNIISGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVGGYRNRGFRHPDHHLNLIGHAWILFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEGSYSSSQSKPPSANVCSISVLEAR >Potri.002G213200.1.v4.1 pep chromosome:Pop_tri_v4:2:19645672:19649084:1 gene:Potri.002G213200.v4.1 transcript:Potri.002G213200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G213200.v4.1 MDRLVKADVKEVEIAYKGSQNCSTTFRLTNLMHTMSVAISLSTTNPSAFSFAQPFSIIPPLSSSSYTIVLSQLSEQPPLSTPPDAISVKSSMLPTGKAHQDELRRLFSKPGPHIFRDATIPVYLVGPQVAEYIISNHTQITDVSGYFNRAICRCTGSQITGLLKSAVPSGNLNLVTSLIDRGGNVNCKDSEGRSLISLAVQAGQIDVVKVLTASGCVIDGSIDKVLHYAAAINRVDLMDVLCDSFENIEVNSADLRGRTPIHVAASRGHVEVIRFCVSAGGKTGVLDHDASSPLHLAAQKGHLETTEYLLDCSDYSVKHAVNKEGKTAFSIAVDNGHSHLYDLLHMGDVLQRAARVDDVNGIKSCLAEGAEVNRSDQNGWTPLHRAAFKGRIESVKVLLNHGAQVNAVDNAGYTPLHCAVEAGHMQVALLLVAHGASAKVKSLKGIVPLNMDCFKNHPSLVKPLCQEEKKSETEVSVC >Potri.010G175000.1.v4.1 pep chromosome:Pop_tri_v4:10:17572873:17575600:-1 gene:Potri.010G175000.v4.1 transcript:Potri.010G175000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175000.v4.1 MTKKALLIGCNYPGTKAELKGCINDVKRMYQCLVDRYGFSEDNVTILIDTDDSYTQPTGRNVRQALKDLVRSAEPGDMLFVHYSGHGTRLPAETGEDDDTGYDECIVPCDMNLITDDDFRDFVDQIPQGCRITVVSDSCHSGGLIDETKEQIGESTRRQEEEEEEEKEKEGSSGFGFKSFLKQTVKDAFESRGVHIPSGLHPIRHVKEEDFDDRAVEGDYGEREYVKSRSLPLSTLIEILKQKTGKDDIDVGKLRPALFDVFGEDASPKVKKFMKVIMDKLQLGDGESGGGGLFGMVGNLAQEFLKQKLEQNEGYAQPALETEVGSKQEVYAGATKRALPDGGILISGCQTDQTSADASPGGNSAEAYGALSNAIQTILGEADGDISNQELVLRARKILKKQGFTQRPGLYCSDHHVEAPFVC >Potri.004G143500.1.v4.1 pep chromosome:Pop_tri_v4:4:16642459:16644018:1 gene:Potri.004G143500.v4.1 transcript:Potri.004G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143500.v4.1 MEMEVVMPVPPVDFNFDSTCSSPYMTAPSSPQRFGNFFLSAPTSPTRASSFYRELNDITLSTNSSSSMIPFDWEEKPGTPKSKNQREDNSRNDSDHDGFHEDFEFDFSGHLERASLSAEELFDGGKIKPLKPPPGYDSSVSSPRSPRSPISRTTKGFDPFQAAIEETRKREVKLQPNQQGQAPNQRGRGRRNGGSSFSAGSIRKGSRSLSPLRVSDIMFDQEENSQNSNSIASTEITPKSSYTSSILSAISFTSKRYKKWKLKDLLLFRSASEGSRTTSCNDELTRFSVMSKKEGTEDVKNSSFRSTDSVGSARRRSGPISAHEVHYTVNRAVSEEMRRKTFLPYKQGLLGCLGFNAAHEIARGVGSLTRG >Potri.007G066800.1.v4.1 pep chromosome:Pop_tri_v4:7:8574175:8575896:-1 gene:Potri.007G066800.v4.1 transcript:Potri.007G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066800.v4.1 MASVPHFHSDYHFSPDEFSEISSVMDQDHRYARTGSFSSTNMSSGAISSPSGGAKLGEHQDHSFPTFYDHKNCGALDIFQGESEIMSPIPATNSMPEIFGISDMVVPTWMDYKMGSNGGIAKIENFSCGFQISDVCEYGEDCDGFSPNFTPVICPAGEENWARTFFRLEYNLITAKESTDMAKVGRYTVEERKDRISRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFARNNEIFEEETEVKKDDDSIPYLRHGKETYCTSNAVQIKNDDDDDEQWLQEALASLVYVPPYIAG >Potri.014G045500.1.v4.1 pep chromosome:Pop_tri_v4:14:2928488:2931382:1 gene:Potri.014G045500.v4.1 transcript:Potri.014G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045500.v4.1 MYIEEINGGDQDDNSCRKVVVLDAKRVLVGAGARALFYPTLLYNVLRNKIQSEFRWWDRVDQFILLGAVPFPTDVPRLQALGVSGVVTLNESYETLVPTSLYHAHDIDHLVIPTRDYLFAPLFSDICQAVDFIHENASLGKTTYVHCKAGRGRSTTIVLCYLVEHRHMTPKSAYEHVRSIRPRVLLASSQWQAVQDYYLLKVKKITIPGCMIIQKALDLPTKEDGKQDTAAFDDGSAVLVTESDLDGYDATCALGVVGNDMLREPSLACKVQFASQAAISRLSCLWLGYQPDQKSSTKKLRSSIRASQLSSITVDIRVC >Potri.013G024900.13.v4.1 pep chromosome:Pop_tri_v4:13:1581444:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.7.v4.1 pep chromosome:Pop_tri_v4:13:1584015:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.2.v4.1 pep chromosome:Pop_tri_v4:13:1581444:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MFWLTLQAKTVLCCAAGTLYGEMAWLLFLAAKTTKRHKLSQVLLCKTQNKQQPIISLHCLYSLKSQPLFLTDSDLLYCKHMDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.15.v4.1 pep chromosome:Pop_tri_v4:13:1581645:1588036:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDSKYH >Potri.013G024900.11.v4.1 pep chromosome:Pop_tri_v4:13:1581444:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.9.v4.1 pep chromosome:Pop_tri_v4:13:1581444:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.3.v4.1 pep chromosome:Pop_tri_v4:13:1581444:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.10.v4.1 pep chromosome:Pop_tri_v4:13:1582114:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.14.v4.1 pep chromosome:Pop_tri_v4:13:1581557:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGKFGFDGILEVIVMKSI >Potri.013G024900.6.v4.1 pep chromosome:Pop_tri_v4:13:1582114:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.12.v4.1 pep chromosome:Pop_tri_v4:13:1581444:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G024900.5.v4.1 pep chromosome:Pop_tri_v4:13:1582113:1588039:1 gene:Potri.013G024900.v4.1 transcript:Potri.013G024900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024900.v4.1 MDTSSQAANSLSTAGSESFVDSSVIANNDQLFLLYFIIGNFFGPDLKEGPKKSLFQRAAEGLSTYLLEQLTGGYIKTEEIEHIYHYALRKAEKHLALKLSLLHQFFLGNLPASGTASYPQFPDMFPTHLHPHSLMDNRYQIVSNVIFINNPNTSHIGSKDIERFIRLTGLENLLLDRDAARFHSYLDGSALYDVIVHEAGPGVEWPPTSTHHFHKRAKHADGILQARDLHVYDVQPLSCVPFRGLPPSHSCTTSLLARDSGKSAEESPRMVFLPSGAKKEEWNSLVAACKGGLALTGTAAMGQVQQTVGLVDIGECEDAYLFRVSLPGVRQDDNEFSCKIENDGKVLIKGITTTGEKTVYRFSQKFEMLSRNLCSPGQFSISFQLPGPVDPSHFSGKFGFDGILEVIVMKSI >Potri.013G130400.1.v4.1 pep chromosome:Pop_tri_v4:13:13691359:13696666:1 gene:Potri.013G130400.v4.1 transcript:Potri.013G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130400.v4.1 MQKMVAGNGLLYPILGFASCVAFIYMSFGGFILTNHREHRELSFVERNGTQFMVDGRAFYINGWNSYWLMDHSVDEDRKPRVGAMLEAGAKMGLTVCRTWAFNDGGYNALQVSPGRFDERVLRALDYVIAEARQHGVRLLLSLVNNLKAYGGKTQYVNWAWEEGIGLSSSNDSFFFDPSIKRYFKHYVKTLLTRKNTITGIEYRNDPTIFAWELMNEPRCMSDPSGDTLQDWIEEMSAFVKTIDTNHLLTVGLEGFYGPKNPKRLTVNPESWASSLGSDFVRNSKAPAIDFASVHIYPDHWFPHQEFEDKLKYVSKWMLSHIEDGHYELNKPVFFTEFGLSNLNKDFQPSQRDRFYKTIFDIIYKSSKRKRAGAGALIWQLFVEGMDDFNDDFGIVPWERESTNRILTDQSCRLARIHGISPQNKYLKELCLQRQ >Potri.014G002400.1.v4.1 pep chromosome:Pop_tri_v4:14:146602:152015:1 gene:Potri.014G002400.v4.1 transcript:Potri.014G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G002400.v4.1 MWRLKIAEGSSNDYLYSTNKFVGRQTWEYDPEGGSPEERAEVEEARLNFYNNRYEVKPSGDLLWRMQFLKEKNFKQTIAQVKIEEGEEITYEKATTTLKRAVHFFSALQASDGHWPAENAGPLFFLPPLVMCLYITGHLDSVFSAEHRREILRYIYYHQNEDGGWGLHIEGHSTMFCTVLSYICMRILGEGPNGGQDNACARARKWIHEHGTVTHIPSWGKTWLSILGVFDWSGSNPMPPEFWLLPTFLPMHPTKMWCYCRMVYMPMSYLYGKRFVGPITPLILQLREELYAEPYNQINWKKTRHLCAAEDLYYPHPLIQDLIWDSLYVFTEPFLTRWPFNKLVRKKALEVTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPDGISFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLATNFTDEIGDVLKRGHDFIKKSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEGMKCCLLFSMMPPEIVGQKLEPERLFDSVNILLSLQSENGGLAAWEPAGAHKWLELLNPTEFFADIVIEHEYVECTASAIQALVLFKKLYPGHRKKEIDNFITNAVRYLESIQTPEGGWYGNWGVCFTYGTWFALGGLAASGKTYDNCKAMQKGLNFLLNIQKDDGGWGESYFSCPNKKYIPLEGNRSNLVHTAWAMMGLIYAGQMDRDITPLHRAAKLIINSQLEDGDFPQQEITGVFMKNCMLHYAAYRNIYPMWSLAEYRRRVPLPSTSK >Potri.018G014367.1.v4.1 pep chromosome:Pop_tri_v4:18:973653:974224:-1 gene:Potri.018G014367.v4.1 transcript:Potri.018G014367.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014367.v4.1 MGQVPSFNIAQEFRELSERVKSVDPHPTKPWILAGLYSGTVCIWNNQTQVRTSYYSYASFVRLLPCSC >Potri.013G000200.2.v4.1 pep chromosome:Pop_tri_v4:13:21675:22244:1 gene:Potri.013G000200.v4.1 transcript:Potri.013G000200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000200.v4.1 MFLSDRLNISNDIIVDNYKEDDDVIEDEHGNPGNGSDALPTANKKKSNKKPMMMLMLQKKKKKNKKKENIPLRESCDGSASSKNINFSKPNKVVLFPFIKPNRLFHKKSTPAAASNADCFPGNTSTSCFCFKQPPTLEPSSTSTTLQSQTSDPNHPDFTFDMLKSLIETNDFYSKECNPHSLDTTATTL >Potri.009G117500.2.v4.1 pep chromosome:Pop_tri_v4:9:9912926:9914842:-1 gene:Potri.009G117500.v4.1 transcript:Potri.009G117500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117500.v4.1 MEVLEEDEEYNWREVRLPSMTRIEPEPELEREKGERRRGRDILIAIDHGPNSKHAFDWALIHLCRLADTIHLVHAVSSVQNTVVYETSQQLLEKLAVEALQVAMVSTVARIVEGDAGKIICKEAVRLKPAAVVMGTRGRGLVQSFLQGSASEYCFHHCKVAPVIIVPGKEAGDESLI >Potri.014G132200.1.v4.1 pep chromosome:Pop_tri_v4:14:8834990:8836760:-1 gene:Potri.014G132200.v4.1 transcript:Potri.014G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132200.v4.1 MALKLYGAPMSTCTSRVLTCLHEKDLDFELVIVDLFAGEHKQPPFLAKNPFGQIPALEDDDLTLFESRAITSYVAERFKETGYDLIRHQNIKEAALVKVWTEVESQQFHPAIAPIIFQFLVAPLQGNLPDQTIIDTNLEKLGKVLDIYEAKLTSTKYLAGDFYSLADLHHLPYAYYLMKTPAASVVNERPHVKAWWEDISSRPAFKKVAEGMNFGEK >Potri.006G182801.1.v4.1 pep chromosome:Pop_tri_v4:6:18919262:18919633:-1 gene:Potri.006G182801.v4.1 transcript:Potri.006G182801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182801.v4.1 MQYSSLLLHVSQQLVSESGYDVKDFLCKFNMVKFDVSGHFKLWQRVKDLLVQQNLVKVLYEKQPESMNITNWQELEARVVTTFRPCLDDDVMYHIMDEESPTTVWEKIRKSVHVQVIDKQTLS >Potri.003G152500.3.v4.1 pep chromosome:Pop_tri_v4:3:16536359:16537720:-1 gene:Potri.003G152500.v4.1 transcript:Potri.003G152500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152500.v4.1 MVIMASSKYWQRKSIMRHSGSCEQRRVDRKINRLKAEMAGIRKQQQCIRQGQREIRERFEEIESECDELKKETELVSQASDNIQLRLDIMLKILKARQENDFAKAADLTCSLRKSLLP >Potri.015G011300.1.v4.1 pep chromosome:Pop_tri_v4:15:727545:734892:1 gene:Potri.015G011300.v4.1 transcript:Potri.015G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G011300.v4.1 MPFCEVAKHQASLYDGVDAASPSRSNNNNGIKIFYRTHGHGTTKVLLIIGFAGTNDSWGPQIKALTGKERSNDDDGMRGVDQSDDNGEDGYGGSGVQVCAFDNRGMGRSSVPTKNSEYSTKIMAKDAIALMDHLGWRKAHVFGHSMGAMIACKLAAMVPDRVLSLALLNVTGGGFECLPKLDRQTISVAIRFLKAKTPEQRAAVDLDTHYTKEYLDEYVGSSTRRAILYQEYVKGISSTGMQSSYGFDGQVNACWTHKMTRTETELIRSAGFLVSVIHGRHDIIAPIYYARRLAEKLQPVARMVDLHGGHLVSHERTKEVNQVLHELINATETKMSPHDWSNFPRKSSRWSGRGTLLGKNNICSTFCAVEKLHLSISSVFGLFMLMFEYIRRAIRSLQPARVGPSLA >Potri.003G064700.1.v4.1 pep chromosome:Pop_tri_v4:3:9222562:9226513:1 gene:Potri.003G064700.v4.1 transcript:Potri.003G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064700.v4.1 MSKWLGFSLTPHLRIDEGFGREDQAPGFSVMPLRSDGSLCVFDPFRRPSNGAPDWRYENTMDGGSTSEDGPKLEDFLGCYSNSPSNETKVHCQQEDHQSHQNHANRINVDLAPSFNTNGDVKTGENSLTSRSSSIQSYHFNDNPQTSIPSHCLQHCDLNHSHSHNHNHNHESGMNHVPFESASSVSGFKSWLRQTAPFSSSGKSPIEANNSNFQSLLLTMSPSSQNGLATISPLQVVDNRKRPVVKSLAKEPVSHKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSCRKEGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLNTYEKELEEMKHMTRQEFVASLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFGIRRYDVKRICSSSKLIASDLAKRSTKDSAPVALEDYNSCASSTSSQPHFAIASSEASHELTDMMWSENTGEHQQQQSANNNNNGVTLVASTSRNPPIAASPKCSVGLASDFGLGSESYSQGYFPLQGGKHQVPMFALWND >Potri.003G064700.3.v4.1 pep chromosome:Pop_tri_v4:3:9222661:9225908:1 gene:Potri.003G064700.v4.1 transcript:Potri.003G064700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064700.v4.1 MSKWLGFSLTPHLRIDEGFGREDQAPGFSVMPLRSDGSLCVFDPFRRPSNGAPDWRYENTMDGGSTSEDGPKLEDFLGCYSNSPSNETKVHCQQEDHQSHQNHANRINVDLAPSFNTNGDVKTGENSLTSRSSSIQSYHFNDNPQTSIPSHCLQHCDLNHSHSHNHNHNHESGMNHVPFESASSVSGFKSWLRQTAPFSSSGKSPIEANNSNFQSLLLTMSPSSQNGLATISPLQVVDNRKRPVVKSLAKEPVSHKSIDTFGQRTSQYRGVTRYYLPFLLFFKSWQYLPFNFASTYTCVCAIICYIRSMIVRHRWTGRYEAHLWDNSCRKEGQTRKGRQGVRENKLLIFSVFLSSIHTYAVFFLFLQKNILTVAFLFHILFGYIHLSMSLKSYAVYLGRCNSLLCQILFVCCIL >Potri.003G064700.2.v4.1 pep chromosome:Pop_tri_v4:3:9222724:9225909:1 gene:Potri.003G064700.v4.1 transcript:Potri.003G064700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064700.v4.1 MSKWLGFSLTPHLRIDEGFGREDQAPGFSVMPLRSDGSLCVFDPFRRPSNGAPDWRYENTMDGGSTSEDGPKLEDFLGCYSNSPSNETKVHCQQEDHQSHQNHANRINVDLAPSFNTNGDVKTGENSLTSRSSSIQSYHFNDNPQTSIPSHCLQHCDLNHSHSHNHNHNHESGMNHVPFESASSVSGFKSWLRQTAPFSSSGKSPIEANNSNFQSLLLTMSPSSQNGLATISPLQVVDNRKRPVVKSLAKEPVSHKSIDTFGQRTSQYRGVTRYYLPFLLFFKSWQYLPFNFASTYTCVCAIICYIRSMIVRHRWTGRYEAHLWDNSCRKEGQTRKGRQGVRENKLLIFSVFLSSIHTYAVFFLFLQKNILTVAFLFHILFGYIHLSMSLKSYAVYLGGYDKEEKAARAYDLAALKYWGPTTHINFPLNTYEKELEEMKHMTRQEFVASLRRKSSGFSRGASVYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGTSAVTNFGIRRYDVKRICSSSKLIASDLAKRSTKDSAPVALEDYNSCASSTSSQPHFAIASSEASHELTDMMWSENTGEHQQQQSANNNNNGVTLVASTSRNPPIAASPKCSVGLASDFGLGSESYSQGYFPLQGGKHQVPMFALWND >Potri.003G108601.1.v4.1 pep chromosome:Pop_tri_v4:3:13133321:13134066:-1 gene:Potri.003G108601.v4.1 transcript:Potri.003G108601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108601.v4.1 MARGGRSYGGECGSAGGARFREDYTDYRLFDDELGAQPMKQLFSLFHDDSIAYSDNVPLSSIDGEAGGASPFPTNSNDATNKKEHGMWSAFMQCFEFLNIFSR >Potri.002G047875.1.v4.1 pep chromosome:Pop_tri_v4:2:3174184:3175591:1 gene:Potri.002G047875.v4.1 transcript:Potri.002G047875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047875.v4.1 MSNMLGHMDAYYLGRILIRTKHSPRLLLAQRNWRHTCELLRNGGGDHGCCDSNMSFKKQGLQGQDQQQKVKLHEFEPRSLQALHELVSLVLDCVRVNITDQSQCIQSTPTNDSKVSMKDECDETLTTNLFFLEDNPVAKILWTLDPRTLQSILLSMAASMLSLIKLQ >Potri.001G393800.1.v4.1 pep chromosome:Pop_tri_v4:1:41747941:41750595:1 gene:Potri.001G393800.v4.1 transcript:Potri.001G393800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393800.v4.1 MASTATAVPSTLGSSSFSSSLNRPGRKPNVNINCSLQTPSILHFPKQSTACTPSTTIPNPTTRHPKQNTPSPVVVVPQKQQQQKQWNFLQRTAAMALDAVETALVSHERQHPLPKTADPRVQISGNFAPVPEQPVVHNLPVTGEIPDSIQGVYLRNGANPLHEPVAGHHFFDGDGMVHAVQFNKGSVSYSSRFTETNRLVQERDLGRPLFPKAIGELHGHSGIARLLLFYARGAFGIVDPSHGTGVANAGLVYFDGHLLAMSEDDLPYHVRVLPSGDLKTVGRYDFDGQLKTTMIAHPKVDPVSGELFALSYDVVQKPYLKYFRFSPDGKKSPDVEISLDQPTMMHDFAITERFVVVPDQQVVFKLPEMIRGGSPVIYDKNKMARFGILDKNATDASNMRWIETPDCFCFHLWNAWEEPETDEVVVIGSCMTPPDSIFNECDESLKSVLSEIRLNLKTGKSTRRPIMSEADQVNLEAGMVNRNLLGRKTRFAYLALAEPWPKVSGFAKVDLSTGEVHKHTYGDQKFGGEPLFLPRDPNSEREDDGYILAFVHDEKEWKSELQIVNAMNLKLEATVKIPSRVPYGFHGTFIGAKDLEKQA >Potri.012G135600.1.v4.1 pep chromosome:Pop_tri_v4:12:15001852:15004839:-1 gene:Potri.012G135600.v4.1 transcript:Potri.012G135600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G135600.v4.1 MSKIKHSLKRVLLDSIQRCKKLTTFKKIHAQLITSGVVSNDFVVNRVVEFFAKGPNFVDYACDFLSEYDWKVSSFPFNALVSGYAIGDRPKTAFLVYRRIVKDGFLPDMFTFPAVLKSCAKFVGIGEGRQVHGVIIKMGFVCNIYVENSLVHFYSVCKRFGDASRVFDEMLVRDVVSWTGVISGYVRAGLFDEAVGLFLRMDVEPNAATFVSVLVACGRKGYLSVGKGIHGLSFKSAFGVGLEVSNALMDMYVKCGCLPGAKQVFDELAEKDIVSWTSIISGLVQCNCPKEALELFQDMQSSGIEPDGIILTSVLSACARLGALDYGRWVHEHIDRKAIKWDIQIGTAMVDMYAKCGCIEMSMQIFNGMPHKNVLTWNALLNGLAMHGHAYKVLELFEEMVRVGMRPNEVTFLAILTACCHCGLVNEGRQYFNWMKGQQYNLPPRLEHYGCMVDLLCRARLLDEALELTKAMPMSPDVRIMGALLSACKENGNTELPQEILDRFVELDSHDSGVYVLLSNIHAINQRWDDVTRIRRLMKEKGIKKPPGSTVIELDGKAHEFIVGDTRHPQDKRIRLLLKILSDQIFLEEHREHSFFIQSHFCAA >Potri.002G033600.1.v4.1 pep chromosome:Pop_tri_v4:2:2234681:2236776:1 gene:Potri.002G033600.v4.1 transcript:Potri.002G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033600.v4.1 MGTEAETVCVTGASGFIGSWLIMRLLEKGYAVRATVRDPDNMKKVTHLLELPKASTHLTLWKADLSVEGSYDEAIQGCTGVFHVATPMDFESKDPENEVIKPTINGVLDIMRACANSKTVRKIVFTSSAGTVDVEEKRKPVYDESCWSDLDFVQSIKMTGWMYFVSKTLAEQAAWKFAKENNLDFISIIPTLVVGPFIMQSMPPSLLTALSLITGNEAHYGILKQGHYVHLDDLCMSHIFLYENPKAEGRYICNSDDANIHDLAKLLREKYPEYNVPAKFKDIDENLACVAFSSKKLTDLGFEFKYSLEDMFAGAVETCREKGLIPLSHRKQVVEECKENEVVPAS >Potri.017G068000.1.v4.1 pep chromosome:Pop_tri_v4:17:6153470:6156071:-1 gene:Potri.017G068000.v4.1 transcript:Potri.017G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068000.v4.1 MEKELSEKHPPEKQLSNNSDSYVNKENGTNIITNLNANHDDHPETETCDLLVSQSPKTEVKVEESDNISHEIEEVHQEEKESDEKIEDSPPTPPEINYTIETVSLDIDQFLITLSSTPKDAIETIDGESKEEKDGKVSIEIPVFVEKILDLVEEKVANHDLNEGKRKWGQNPEEDSSFLEGINRISKLTSSIDKLRPDPNPAALINRIGGIQQQAMTCLENEFKVILEDIKHSDQDPTNDAKGKQHEADHSVVQESESIETDNVLGYSDYAVSILNRIAKAMIEGGFESECCQLYMMIRGQAFDECFIETGFEKISIDEVQRMPWEALEREIPIWIKAVKECASIYFVEELKLAEAIFSDYSSISSNLFSNLIRTVMIQLLNFAEGVAMTKRSAEKLFKFLDMYETLRDSLPAMGALFSEEYENELKTETTTARCRIGEAAIYIFCDLENSIKSDTGKTPVPGGAVHPLTRYTMNYLKYGGEYKATLEQLFREHSKIERADSTSRPRFEGETQNSNNDIAEENQSPFSNQLMRVMDLLDSNLGANSKLYKDIALSCIFMMNNGRYIVQKIKGSTEIHQMIGDTWCRRKSSELRNYHKNYQRETWSKLLSCLGHEGLQVNGKVIKPVLKERFKSFNMLFDEIHKTQSSWVVSDDQLQSELRVSISAVVIPAYRSFLGRFSQYLTSGRQSEKYIKYQAEDLETSIDELFDGNPASVVRKRP >Potri.005G067200.1.v4.1 pep chromosome:Pop_tri_v4:5:4365196:4369256:1 gene:Potri.005G067200.v4.1 transcript:Potri.005G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067200.v4.1 MGTAAASYYVFLFLTLFATLQSPILAAKKSYVVYLGRHSHVSEPSSLDLDRVTDSHHELLGSCMQSKEKAKEAIFYSYTRYFNGFAAILEDEEAAEISKHPKVLSVFRNQISKLHTTNSWDFLGLERDGEISADSMWLKAKFGEGVIIGTLDFGVWPESESFNDEGMGPVPSKWKGYCDTNDGVKCNRKLIGARYFSKGYEAEVGHPLNSSYHTARDYNGHGTHTLSTAGGRFVSGANLLGSAYGTAKGGSPNSRVASYKVCWPDCLDADVLAGYEAAIHDGVDILSVSLGFVPNEYFKDRTAIGAFHAVENGILVVAAAGNEGPAPGAVVNVAPWILTVGASTISREFPSNAILGNHKRYKGLSINTNTQPAGKFYPLINSVDVKAANVSSHLAKHCLVGSLDPVKVKGKIVYCTRDEVFDGEKSLVVAQSGGVGMILADQFMFSVVDPIAHFVPTSVVSAVDGLSILSYIYSTKTPVAYISGATEVGTVAAPTMANFSSPGPNPITPEILKPDITAPGVNILAAYTEASGPFHIAGDQRQVLFNIMSGTSISCPHVSGIAGLLKAIHPDWSPAAIKSAIMTTATTISNAREPIANASLIEANPLNYGAGHIWPSRAMEPGLVYDLTTRDYVDFLCSIGYNSTQLSLFLGEPYICQSQNNSSVVDFNYPSITVPNLSGKITLSRTLKNVGTPSSYRVHIKAPRGISVKVEPRSLRFDKKHEEKMFEMTVEAKKGFKNDDYVFGGITWSDGKHHVRSPIVIKKAAS >Potri.005G067200.2.v4.1 pep chromosome:Pop_tri_v4:5:4365459:4369117:1 gene:Potri.005G067200.v4.1 transcript:Potri.005G067200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067200.v4.1 MNFWVLACRGDAILHDLYYVLYRHDSNNDLCRNNSKEKAKEAIFYSYTRYFNGFAAILEDEEAAEISKHPKVLSVFRNQISKLHTTNSWDFLGLERDGEISADSMWLKAKFGEGVIIGTLDFGVWPESESFNDEGMGPVPSKWKGYCDTNDGVKCNRKLIGARYFSKGYEAEVGHPLNSSYHTARDYNGHGTHTLSTAGGRFVSGANLLGSAYGTAKGGSPNSRVASYKVCWPDCLDADVLAGYEAAIHDGVDILSVSLGFVPNEYFKDRTAIGAFHAVENGILVVAAAGNEGPAPGAVVNVAPWILTVGASTISREFPSNAILGNHKRYKGLSINTNTQPAGKFYPLINSVDVKAANVSSHLAKHCLVGSLDPVKVKGKIVYCTRDEVFDGEKSLVVAQSGGVGMILADQFMFSVVDPIAHFVPTSVVSAVDGLSILSYIYSTKTPVAYISGATEVGTVAAPTMANFSSPGPNPITPEILKPDITAPGVNILAAYTEASGPFHIAGDQRQVLFNIMSGTSISCPHVSGIAGLLKAIHPDWSPAAIKSAIMTTATTISNAREPIANASLIEANPLNYGAGHIWPSRAMEPGLVYDLTTRDYVDFLCSIGYNSTQLSLFLGEPYICQSQNNSSVVDFNYPSITVPNLSGKITLSRTLKNVGTPSSYRVHIKAPRGISVKVEPRSLRFDKKHEEKMFEMTVEAKKGFKNDDYVFGGITWSDGKHHVRSPIVIKKAAS >Potri.005G067200.3.v4.1 pep chromosome:Pop_tri_v4:5:4365271:4369256:1 gene:Potri.005G067200.v4.1 transcript:Potri.005G067200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067200.v4.1 MQSKEKAKEAIFYSYTRYFNGFAAILEDEEAAEISKHPKVLSVFRNQISKLHTTNSWDFLGLERDGEISADSMWLKAKFGEGVIIGTLDFGVWPESESFNDEGMGPVPSKWKGYCDTNDGVKCNRKLIGARYFSKGYEAEVGHPLNSSYHTARDYNGHGTHTLSTAGGRFVSGANLLGSAYGTAKGGSPNSRVASYKVCWPDCLDADVLAGYEAAIHDGVDILSVSLGFVPNEYFKDRTAIGAFHAVENGILVVAAAGNEGPAPGAVVNVAPWILTVGASTISREFPSNAILGNHKRYKGLSINTNTQPAGKFYPLINSVDVKAANVSSHLAKHCLVGSLDPVKVKGKIVYCTRDEVFDGEKSLVVAQSGGVGMILADQFMFSVVDPIAHFVPTSVVSAVDGLSILSYIYSTKTPVAYISGATEVGTVAAPTMANFSSPGPNPITPEILKPDITAPGVNILAAYTEASGPFHIAGDQRQVLFNIMSGTSISCPHVSGIAGLLKAIHPDWSPAAIKSAIMTTATTISNAREPIANASLIEANPLNYGAGHIWPSRAMEPGLVYDLTTRDYVDFLCSIGYNSTQLSLFLGEPYICQSQNNSSVVDFNYPSITVPNLSGKITLSRTLKNVGTPSSYRVHIKAPRGISVKVEPRSLRFDKKHEEKMFEMTVEAKKGFKNDDYVFGGITWSDGKHHVRSPIVIKKAAS >Potri.008G006700.1.v4.1 pep chromosome:Pop_tri_v4:8:312835:314371:1 gene:Potri.008G006700.v4.1 transcript:Potri.008G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006700.v4.1 MKALILVGGFGTRLRPLTLKHPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYKPEEMMNFLKDFDTKLDIKITCSQETEPLGTAGPLALARDKLIDDSGEPFFVLNSDVICEYPLKLMIEFHKAHGGEASIMVTKVDEPSKYGVVVMEESTGKVERFVEKPKAFVGNKINAGIYLLNPSVVDRIELRPTSIEKEVFPKIAAEKKLYAMALPGFWMDIGQPRDYITGLRLYLDSLRKKSPSKLATGPHIMGNVLVDETAEIGEGCLIGPDVAIGPGCVVESGVRLSRCSVMSGVTIKEHACISSSIIGWSSTVGQGACVEKTVLGEAVHVKKTSLGEVVYVCDDVYSNGGVVLPGREIESSNLKPESNVRVWD >Potri.002G037900.3.v4.1 pep chromosome:Pop_tri_v4:2:2500420:2505529:-1 gene:Potri.002G037900.v4.1 transcript:Potri.002G037900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037900.v4.1 MASLSTWCRYIAHKFEYSLSLSYKSYKGGIINNKEVYDTVWKNLFQGKLTFLHWNKGQEMAPTIGDQGGTLLVRKLPTADPMRVFVGDVVLLKDPEKSDNFLVRRLAAIEGYEMVSTDEKDDPFVLDKDECWVLADNDKLKPKEANDSRTFGSISMSNIVGRVIYCLQTAVDHGPVQNSHFSMRKDSPVLEVELDVEEMAKNHKA >Potri.002G037900.8.v4.1 pep chromosome:Pop_tri_v4:2:2500479:2505534:-1 gene:Potri.002G037900.v4.1 transcript:Potri.002G037900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037900.v4.1 MASLSTWCRYIAHKFEYSLSLSYKSYKGGIINNKEVYDTVWKNLFQGKLTFLHWNKGQEMAPTIGDQGGTLLVRKLPTADPMRVFVGDVVLLKDPEKSDNFLVRRLAAIEGYEMVSTDEKDDPFVLDKDECWVLADNDKLKPKEANDSRTFGSISMSNIVGRVIYCLQTAVDHGPVQNSHFSMRKDSPVLEVELDVEEMAKNHKA >Potri.010G233200.1.v4.1 pep chromosome:Pop_tri_v4:10:21456439:21462711:-1 gene:Potri.010G233200.v4.1 transcript:Potri.010G233200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233200.v4.1 MNPHLNLEENMRLASVLEPSKPSFFPARTKIVGTLGPQSRTVEIITNCLKAGMSVAQFDFSWGDTEYHQETLDNLKAAVKSTKKLCGVMLDTVGPELQVINKTERPISLQEDSFVVLTPDQDKEATSSLLPINFTGLSSAVKTGDTIFIGQYLFTGSETTSVWLEVTEVNDEDVVCLVKNSTTLSGPLYTLHVSQIHIDLPTLTDKDKEVISTWGVRNNIDILSLSYTRHAEDVRHAREFLSKLGDLYQTQIFAKIENVEGLAHFDEILEEADGIILSRGNLGIDLPPEKVFMFQKTAVFKCNMAGKPAVVTRVVDSMTENLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGKICAEAEKVFNYDLYYKRTVKYAGDAMSHLESITSTAVRAAIKVKASVILCFTSTGRAARLIAKYKPITPVISVVIPRVKTDQLRWTFTGAFEARQSLIVRGVFPMLADPRHQAESTNATNESVLKVALDHGKAAGFIKPHDRVVVCQKLGDSYVVKILELED >Potri.003G171000.6.v4.1 pep chromosome:Pop_tri_v4:3:17930448:17937643:-1 gene:Potri.003G171000.v4.1 transcript:Potri.003G171000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171000.v4.1 MSLLHVFGFGLKVGHLLWMLCCWIVSVISMNWFINGGILETKAGLLGDGGKMWLKCLEKVSGNSCKIHHLYYQYIGSKRIRKTWWRKLLVAWIVGWITVSVWIFWYMSSQAFEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVMHSEREQFENQQGWTIKRMDTFEQSPVQKDDNVAKALEPSPIQEEYAPVIFAQDTVAHVVSLDMLSGTEDRENVLRARASGKGVLTAPFRLLKTNRLGVILTFAVYKTDLPSNAMPNERIQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDITNQSHPISMYGSNVSDDGLEHVSALNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLIGYIFHATMNRIAKVEDDYNEMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTELDATQQDYVRTAQDSGKALVSLINEVLDQAKIESGKIELEAMQFDLRAIMDEVLALFSGKAHEKGVELAVYVSDGVPEMLIGDPGRFRQIITNLMGNSIKFTKKGHIFLTVHLVEEVMDSIDVETESSSRNTLSGLPVADRCRSWVGFKTFNPEGSSHTLSPSSSDLINLIVSVEDTGEGIPLEAQPRVFTPFMQVDPSISRKYGGTGIGLSISKCLVGLMNGDIGFVSIPDIGSTFTFTAVFSNGCSNSNDSKLQKQRLKTQTNTMSSKFQGMTALVVDPKPVRAKVSRYQIQRLGIHVELVLDLNQGLSSISNENKVVNMVFIEQEVWDKDSSISALFVNKLQKIVSGVSTKLFLLGNSLSSRTNTATSGVYTPSVITKPLKASMLAASLQRAMGGNKGNPCNGEHPSLSLRKLLVGRKMLIVDDNKVNLMVAAAALKKYGADVVCADSGQKAIKLLKPPHKFDACFMDIQMPEMDGFEATRRIRDMESNWHIPILAMTADVIQATYEECQRCGMDGYVSKPFEAEQLYHEVSRFLQPTSSANL >Potri.003G171000.9.v4.1 pep chromosome:Pop_tri_v4:3:17930554:17936554:-1 gene:Potri.003G171000.v4.1 transcript:Potri.003G171000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171000.v4.1 MHSEREQFENQQGWTIKRMDTFEQSPVQKDDNVAKALEPSPIQEEYAPVIFAQDTVAHVVSLDMLSGTEDRENVLRARASGKGVLTAPFRLLKTNRLGVILTFAVYKTDLPSNAMPNERIQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDITNQSHPISMYGSNVSDDGLEHVSALNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLIGYIFHATMNRIAKVEDDYNEMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTELDATQQDYVRTAQDSGKALVSLINEVLDQAKIESGKIELEAMQFDLRAIMDEVLALFSGKAHEKGVELAVYVSDGVPEMLIGDPGRFRQIITNLMGNSIKFTKKGHIFLTVHLVEEVMDSIDVETESSSRNTLSGLPVADRCRSWVGFKTFNPEGSSHTLSPSSSDLINLIVSVEDTGEGIPLEAQPRVFTPFMQVDPSISRKYGGTGIGLSISKCLVGLMNGDIGFVSIPDIGSTFTFTAVFSNGCSNSNDSKLQKQRLKTQTNTMSSKFQGMTALVVDPKPVRAKVSRYQIQRLGIHVELVLDLNQGLSSISNENKVVNMVFIEQEVWDKDSSISALFVNKLQKIVSGVSTKLFLLGNSLSSRTNTATSGVYTPSVITKPLKASMLAASLQRAMGGNKGNPCNGEHPSLSLRKLLVGRKMLIVDDNKVNLMVAAAALKKYGADVVCADSGQKAIKLLKPPHKFDACFMDIQMPEMDGFEATRRIRDMESNWHIPILAMTADVIQATYEECQRCGMDGYVSKPFEAEQLYHEVSRFLQPTSSANL >Potri.003G171000.3.v4.1 pep chromosome:Pop_tri_v4:3:17930554:17937973:-1 gene:Potri.003G171000.v4.1 transcript:Potri.003G171000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171000.v4.1 MSLLHVFGFGLKVGHLLWMLCCWIVSVISMNWFINGGILETKAGLLGDGGKMWLKCLEKVSGNSCKIHHLYYQYIGSKRIRKTWWRKLLVAWIVGWITVSVWIFWYMSSQAFEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVMHSEREQFENQQGWTIKRMDTFEQSPVQKDDNVAKALEPSPIQEEYAPVIFAQDTVAHVVSLDMLSGTEDRENVLRARASGKGVLTAPFRLLKTNRLGVILTFAVYKTDLPSNAMPNERIQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDITNQSHPISMYGSNVSDDGLEHVSALNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLIGYIFHATMNRIAKVEDDYNEMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTELDATQQDYVRTAQDSGKALVSLINEVLDQAKIESGKIELEAMQFDLRAIMDEVLALFSGKAHEKGVELAVYVSDGVPEMLIGDPGRFRQIITNLMGNSIKFTKKGHIFLTVHLVEEVMDSIDVETESSSRNTLSGLPVADRCRSWVGFKTFNPEGSSHTLSPSSSDLINLIVSVEDTGEGIPLEAQPRVFTPFMQVDPSISRKYGGTGIGLSISKCLVGLMNGDIGFVSIPDIGSTFTFTAVFSNGCSNSNDSKLQKQRLKTQTNTMSSKFQGMTALVVDPKPVRAKVSRYQIQRLGIHVELVLDLNQGLSSISNENKVVNMVFIEQEVWDKDSSISALFVNKLQKIVSGVSTKLFLLGNSLSSRTNTATSGVYTPSVITKPLKASMLAASLQRAMGGNKGNPCNGEHPSLSLRKLLVGRKMLIVDDNKVNLMVAAAALKKYGADVVCADSGQKAIKLLKPPHKFDACFMDIQMPEMDGFEATRRIRDMESNWHIPILAMTADVIQATYEECQRCGMDGYVSKPFEAEQLYHEVSRFLQPTSSANL >Potri.003G171000.8.v4.1 pep chromosome:Pop_tri_v4:3:17930571:17937646:-1 gene:Potri.003G171000.v4.1 transcript:Potri.003G171000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171000.v4.1 MLCCWIVSVISMNWFINGGILETKAGLLGDGGKMWLKCLEKVSGNSCKIHHLYYQYIGSKRIRKTWWRKLLVAWIVGWITVSVWIFWYMSSQAFEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVMHSEREQFENQQGWTIKRMDTFEQSPVQKDDNVAKALEPSPIQEEYAPVIFAQDTVAHVVSLDMLSGTEDRENVLRARASGKGVLTAPFRLLKTNRLGVILTFAVYKTDLPSNAMPNERIQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDITNQSHPISMYGSNVSDDGLEHVSALNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLIGYIFHATMNRIAKVEDDYNEMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTELDATQQDYVRTAQDSGKALVSLINEVLDQAKIESGKIELEAMQFDLRAIMDEVLALFSGKAHEKGVELAVYVSDGVPEMLIGDPGRFRQIITNLMGNSIKFTKKGHIFLTVHLVEEVMDSIDVETESSSRNTLSGLPVADRCRSWVGFKTFNPEGSSHTLSPSSSDLINLIVSVEDTGEGIPLEAQPRVFTPFMQVDPSISRKYGGTGIGLSISKCLVGLMNGDIGFVSIPDIGSTFTFTAVFSNGCSNSNDSKLQKQRLKTQTNTMSSKFQGMTALVVDPKPVRAKVSRYQIQRLGIHVELVLDLNQGLSSISNENKVVNMVFIEQEVWDKDSSISALFVNKLQKIVSGVSTKLFLLGNSLSSRTNTATSGVYTPSVITKPLKASMLAASLQRAMGGNKGNPCNGEHPSLSLRKLLVGRKMLIVDDNKVNLMVAAAALKKYGADVVCADSGQKAIKLLKPPHKFDACFMDIQMPEMDGFEATRRIRDMESNWHIPILAMTADVIQATYEECQRCGMDGYVSKPFEAEQLYHEVSRFLQPTSSANL >Potri.003G171000.7.v4.1 pep chromosome:Pop_tri_v4:3:17930555:17937614:-1 gene:Potri.003G171000.v4.1 transcript:Potri.003G171000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171000.v4.1 MSLLHVFGFGLKVGHLLWMLCCWIVSVISMNWFINGGILETKAGLLGDGGKMWLKCLEKVSGNSCKIHHLYYQYIGSKRIRKTWWRKLLVAWIVGWITVSVWIFWYMSSQAFEKRKETLASMCDERARMLQDQFNVSMNHVQAMSILISTFHHGKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVMHSEREQFENQQGWTIKRMDTFEQSPVQKDDNVAKALEPSPIQEEYAPVIFAQDTVAHVVSLDMLSGTEDRENVLRARASGKGVLTAPFRLLKTNRLGVILTFAVYKTDLPSNAMPNERIQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDITNQSHPISMYGSNVSDDGLEHVSALNFGDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLIGYIFHATMNRIAKVEDDYNEMMELKKRAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTELDATQQDYVRTAQDSGKALVSLINEVLDQAKIESGKIELEAMQFDLRAIMDEVLALFSGKAHEKGVELAVYVSDGVPEMLIGDPGRFRQIITNLMGNSIKFTKKGHIFLTVHLVEEVMDSIDVETESSSRNTLSGLPVADRCRSWVGFKTFNPEGSSHTLSPSSSDLINLIVSVEDTGEGIPLEAQPRVFTPFMQVDPSISRKYGGTGIGLSISKCLVGLMNGDIGFVSIPDIGSTFTFTAVFSNGCSNSNDSKLQKQRLKTQTNTMSSKFQGMTALVVDPKPVRAKVSRYQIQRLGIHVELVLDLNQGLSSISNENKVVNMVFIEQEVWDKDSSISALFVNKLQKIVSGVSTKLFLLGNSLSSRTNTATSGVYTPSVITKPLKASMLAASLQRAMGGNKGNPCNGEHPSLSLRKLLVGRKMLIVDDNKVNLMVAAAALKKYGADVVCADSGQKAIKLLKPPHKFDACFMDIQMPEMDGFEATRRIRDMESNWHIPILAMTADVIQATYEECQRCGMDGYVSKPFEAEQLYHEVSRFLQPTSSANL >Potri.007G117700.2.v4.1 pep chromosome:Pop_tri_v4:7:13659142:13661402:-1 gene:Potri.007G117700.v4.1 transcript:Potri.007G117700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117700.v4.1 MQSLSPLRSALGSISASLPRGTFSSISRHAASPGCLERLGGSFWSIHNVARRENARYASCYSGNFGMSFGLLTSKEDATVPYALSFQSFIHSTSHKDFETGRDSNSKDFAAGREDFEMERSSMQNSNLEMERNTRSMNFSAGRRDFEMGRSSMQNSNLEMGRNPRSMNFSAGQRDFEMERGPRPDFYAGGRNSRPMDFVRGVIGQEGSRFPQYHVELNDDFVFMKLKRNNTFVAVTDSKGNKKKNASMTAGWLQKLQGGAKLSRYAAEATAEHVGQAARQLGLKSFVMRVNGFTYFKKKRLAITSFAEGYGKGGLSPIKHIEDNTRRPHNGCRLPRKRRI >Potri.009G030000.1.v4.1 pep chromosome:Pop_tri_v4:9:4103671:4106773:1 gene:Potri.009G030000.v4.1 transcript:Potri.009G030000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030000.v4.1 MGQGLSCAASQEHAFFTAVQLGEFDTVNAMLERDPSLLHQTTYDRQYPLHIAAANGQIEILTMLLERSVDPDMVNRHKQTPLMLAAMHGKISCLKKLIEAGANILKFDSLNGRTCLHYAAYYGHSDCLQAIISAAQSSPVAVSWGYARFVNIRDGRGATPLHLAARQRRPGCVHILLGNGALVCSSTGGYGSPGSTPLHLAARGGSLDCIRALLAWGADRLQRDASGRIPYVVALKHKNGMCAALLNPSSAEPLVWPSPLKFISELNQEAKALLECALMEANREREKNILMEANREREKNILKGSGHSLPSPSHSADGTDYNISEASDTEVCCICFEQVCTIEVQDCGHQMCAQCTLALCCHNKPNPTTACLNPPVCPFCRSTIVRLVVAKIKNCNDADQDIGEIGSPKLRKSRKSRNFSEGSSSFKGLSATFGKMGGRGSGRIAAENEWMDKP >Potri.006G169800.2.v4.1 pep chromosome:Pop_tri_v4:6:17079739:17081166:1 gene:Potri.006G169800.v4.1 transcript:Potri.006G169800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G169800.v4.1 MDFQPNTSLHLSLPSNQLNLELVLEPSSSSSRHSPAEPRIFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSREMSSSVRAHGGSNPRSESSYSMSGSTYPRDHQPALAGFEHHGHAGRIVGGLSYGRTEMNYGSREGIGGSWSMVYRTENVQEELSQLDLSLRL >Potri.004G144800.1.v4.1 pep chromosome:Pop_tri_v4:4:16752076:16754518:-1 gene:Potri.004G144800.v4.1 transcript:Potri.004G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144800.v4.1 MGSVPPELSLDFVRPSSTTKTFTSAIKTFTFLPETITDFLKEVSMIGDAAVKGLKVDSFLNDLEEEKRKIDAFKRELPLCMLLLNDAIQVVREELMQCGTSNNQQPVLEEFIPLKKKIDDHGDDRESDGLIKEKDSKDKKNWMSSVQLWITDDHHPSTDYLFDAKQSFKLESKTNKKANQYVNEDAFQACKGRTAARTFMPFKAYPGSSRKEDDSNREELPVPALSLLTPGIKSIKEESNSTGSRSSCSRSVSTTAPNSESNLRNGPQSQQQSSRKHRRCWSPELHRQFVNALQQLGGAQVATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVPPATASAPANQSAIVLGGLWMAQDQYGDSSKANSSKSGSPQGPLQLAVNTGGTSTTGGDSMEDDEDAKSEGYSWKSHIHRSGKDV >Potri.002G072700.1.v4.1 pep chromosome:Pop_tri_v4:2:5103765:5106457:-1 gene:Potri.002G072700.v4.1 transcript:Potri.002G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072700.v4.1 MEIAHFLFGIFGNATALFLFLAPTITFRRIIRSKSTELFSGIPYVMTMLNCLLSAWYGMPFVSKNNILVSTINGTGAVIEAVYVLTFIIYAPKKEKAKFIGLLTLVLTTFAGVALVSLVVLHGKPREIFCGFAAAIFSIIMYGSPLSIMRTVVKTKSVEFMPFFLSLFVFLCGTSWFVFGLLGGDLFVAVPNGVGCGLGALQLILYFIYRNNKGEDKKPALPVKSMQMGIAKLHQEKELVANGSHVADKV >Potri.006G000800.7.v4.1 pep chromosome:Pop_tri_v4:6:65472:69285:-1 gene:Potri.006G000800.v4.1 transcript:Potri.006G000800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000800.v4.1 MYQLESVPSSSSVHKNSLVNDQYLDCDDMTMDPINGGNNLNNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKETGDMISNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVTAPVSGDNCPESDKTDPATPAPTSESPLQDKAAKERAPAKSLSIDESFSSQPEPLTPDSRCNAGSPAESPRGERSMKKQRVSMGVTYGKQEMVLTHQILESSLNSYPRPHSAFLGREQFDPSSGLSMGIEDQMEKVSGSDV >Potri.006G000800.14.v4.1 pep chromosome:Pop_tri_v4:6:65479:69215:-1 gene:Potri.006G000800.v4.1 transcript:Potri.006G000800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000800.v4.1 MYQLESVPSSSSVHKNSLVNDQYLDCDDMTMDPINGGNNLNNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKETGDMISNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVTAPVSGDNCPESDKTDPATPAPTSESPLQDKAAKERAPAKSLSIDESFSSQPEPLTPDSRCNAGSPAESPRGERSMKKQRVSMGVTYGKQEMVLTHQILESSLNSYPRPHSAFLGREQFDPSSGLSMGIEDQMEKVSGSDV >Potri.006G000800.13.v4.1 pep chromosome:Pop_tri_v4:6:65574:69253:-1 gene:Potri.006G000800.v4.1 transcript:Potri.006G000800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000800.v4.1 MYQLESVPSSSSVHKNSLVNDQYLDCDDMTMDPINGGNNLNNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKETGDMISNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVTAPVSGDNCPESDKTDPATPAPTSESPLQDKAAKERAPAKSLSIDESFSSQPEPLTPDSRCNAGSPAESPRGERSMKKQRVSMGVTYGKQEMVLTHQILESSLNSYPRPHSAFLGREQFDPSSGLSMGIEDQMEKVSGSDV >Potri.006G000800.3.v4.1 pep chromosome:Pop_tri_v4:6:65468:69307:-1 gene:Potri.006G000800.v4.1 transcript:Potri.006G000800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000800.v4.1 MYQLESVPSSSSVHKNSLVNDQYLDCDDMTMDPINGGNNLNNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKADKKETGDMISNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVTAPVSGDNCPESDKTDPATPAPTSESPLQDKAAKERAPAKSLSIDESFSSQPEPLTPDSRCNAGSPAESPRGERSMKKQRVSMGVTYGKQEMVLTHQILESSLNSYPRPHSAFLGREQFDPSSGLSMGIEDQMEKVSGSDV >Potri.006G000800.12.v4.1 pep chromosome:Pop_tri_v4:6:65561:69262:-1 gene:Potri.006G000800.v4.1 transcript:Potri.006G000800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000800.v4.1 MYQLESVPSSSSVHKNSLVNDQYLDCDDMTMDPINGGNNLNNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDADKKETGDMISNLDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVTAPVSGDNCPESDKTDPATPAPTSESPLQDKAAKERAPAKSLSIDESFSSQPEPLTPDSRCNAGSPAESPRGERSMKKQRVSMGVTYGKQEMVLTHQILESSLNSYPRPHSAFLGREQFDPSSGLSMGIEDQMEKVSGSDV >Potri.006G224100.1.v4.1 pep chromosome:Pop_tri_v4:6:22894288:22895911:1 gene:Potri.006G224100.v4.1 transcript:Potri.006G224100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224100.v4.1 MSSPKFSTQQDTPELSDFAHDQLSNFELSEFLTFDEWIALEDAPSSIASSYACNPVYRAHVVGESGGSSSPREELSGGEGEEGREKKEAKERVAFKTKSEIEILDDGYKWRKYGKKMVKNSPNPRNYYRCSVEGCPVKKRVERDRDDPRYVITTYEGIHTHQSFS >Potri.003G219200.1.v4.1 pep chromosome:Pop_tri_v4:3:21385398:21387032:1 gene:Potri.003G219200.v4.1 transcript:Potri.003G219200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G219200.v4.1 MKETNLGADHIGQKLIKLTSNLCFSVFVVSVLIFTVTGITYQPPNPWLESAPAFTKLLTQTENATFKIDDSVVKTGEDLQTGTAPAVPPPADIKPITEEVVKKKEEAVSDMTLKSSGREDLEVVNCSDPKVWITVEKFNLWLFKSVVFLDYPTLVNGSKQDECDVSWTKYWDFRRFKFVIEENCSYKIVHADGWHSGFNARRIRVNSSRSGRNNPKVAPLVQDNEINETIPRLGSETNFRNGKTFVMDLSMCLGGGDDFRFYFDFEHLKEAASIAEEGEFLRDWKKWNRSRRKKVPVKKVVAHKMTPMQPRKVKSTIIWRQFDGQEPENYWHRMCEWRAAKYIQRPWHAVCGRMDWDFGMIQPWRNLYIATNEPFYNYFDKLRSHFKVHLIVDFDGYMRVAVDTEVLYKAKTRVETFYNLTSDCKDGINTC >Potri.001G331200.1.v4.1 pep chromosome:Pop_tri_v4:1:33977015:33983392:-1 gene:Potri.001G331200.v4.1 transcript:Potri.001G331200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331200.v4.1 MAGKEEPVPFSSLLKPKVEDPPDSQICWRKQVDENLKRLHSLQFGADLALEKRDFSAAHLLSLRLLGFLDSHSLSDADEALTRTIRRDAVSKLDSARRSLALESDRRAFEQAGRGLGQVFSRKGDIDIEKIKQSKYFRALLQKYNIKLSNKLDDRKDRQEKLSCSTSKVMPQANLASLYGNNTSKANNGFHKSMLNPKSNISEDHMIIEKPHSHNNHPKGYSLSSFIKLQEEERGYGNAVGSKRSHVEISSPRNDSANSPTNNEENNTDGSGNGFVTARAKLEMDAKQKHGLAGSPSTSVSPQSDSTNRGYGARSYGFSRRGVRGNFIPPIKSNGGNTGNVTTRVAGKSDDASDDSAKRCLEMLCGPDGELPEKLRNLEPRLIEHISNEIMDRDPNVRWDDIAGLDHAKKCVTEMVIWPLLRPDIFKGCRSPGRGLLLFGPPGTGKTMIGKAIAGEAKATFFYISASSLTSKWIGEGEKLVRALFGVASCRQPAVIFVDEIDSLLSQRKSEGEHESSRRLKTQFLIEMEGFDSGSEQILLIGATNRPQELDEAARRRLTKRLYIPLPSLEARAWIVRNLLEKDGLFKLSKDEINTICSLTEGYSGSDMKNLVKDASMGPLREALKQGIEITKLKKEDMRSVTLQDFEDALQEVRPSVSSNELGTYDEWNKQFGSLSL >Potri.019G071400.2.v4.1 pep chromosome:Pop_tri_v4:19:11302819:11311715:-1 gene:Potri.019G071400.v4.1 transcript:Potri.019G071400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071400.v4.1 MAAYRDELKMIIIGGKGSALTSTSVYTIATGHALVRIDSSALDRLTNQNRNPQKPLQSISLIPNKASNLIETRAYLTVLLNKLLHYTNSSNVRTFLPNLIAETLNSNKFGSEAQGLDVEVTEEERLVIEVSNAELYGVCAILDHEGMGLGVVDDAVAALSCEAVKADARSFDGVDSGDGFVDKEAATVASDMKVLLVGYDSNKRGESEAVSEIPKVNGRLREVVKALHTMVRVELNSGVKGSSGGSGKAVGTTVSGLAVALLFLGKSSLCRVKMNLDVTGNEVLRSGLVSLYEKKCPSRDDLMNGYKAVTDLLVEEDYVMFGYGVYGLLGIVWKIVAWEEITAFFALEGVELMSGIGGQVNGGEAKVDKKSEKKKKKKTVLGKGTSVIVQLIKDRLQIVGGGSGDSLDILEKWVENLLSFFSPKQPEFDEFVQKVKEIVERNESRRLPKLPKGTRDFANEQMVIRERAFSIIADVFKRHGATSLDTPAFELRETLMGKYGEDSKLIYDLADQGGELCSLRYDLTVPFARYVAMNGITSFKRYQIAKVYRRDNPSKGRYREFYQCDFDIAGQYEEMGPDFEIVKVLTELLDELDIGDYEVKLNHRKLLDGMLEICGVPPEKFGTICSSIDKLDKQSFEQVKKEMVEEKGLAVETADRIGTFVKEKDSPKELLSKLKQEGSEFLQNASSSRALDELEILFDALERSKCIDKVVFDLSLARGLDYYTGVIFEAVFKGTTQVGSIAAGGRYDNLIGMFGSKPVPAVGVSLGIERVFAIMEQLQKDRNETTRPTETQVLLGIMGNKSKIPLAAELTSKLWSAKLKAEFVVSTRFDKIISRANDSKIPWMVLVRDRELETGKVKIRNLETKIEEEVPLSAFVDEVKNRLNL >Potri.009G134100.4.v4.1 pep chromosome:Pop_tri_v4:9:10858852:10863282:-1 gene:Potri.009G134100.v4.1 transcript:Potri.009G134100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134100.v4.1 MALPVVDTEYLKEIDKARRDLRALIAYKSCAPLMLRLAWHDAGTYDKNSKTGGANGSIRNEEEYSHGSNSGLKIAIDFCEGVKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRRDSNICPKEGRLPNAKLGSPHLRDIFYRMGLSDKDIVALSGGHTLGRAHPDRSGFEGPWTQEPLKFDNSYFVEMLKGETDGLLKLPTDTALLDDPAFRPYVELYAKDEEAFFRDYAASHKKLSELGFTQGSSGFKVKDTTVLAQSAAGVAVAAAVVILSYFYEVRKKMK >Potri.019G083800.2.v4.1 pep chromosome:Pop_tri_v4:19:12370789:12373714:1 gene:Potri.019G083800.v4.1 transcript:Potri.019G083800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083800.v4.1 MASKRINKELRDLQKDPPTACSAGPAGSDMFHWQATIMGPADSPYAGGVFSVNIHFPPDYPFKPPKVSFKTKVYHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPNDPLVPEIAHIYTTDRVKYDATARAWTQKYAMS >Potri.015G092700.1.v4.1 pep chromosome:Pop_tri_v4:15:11538438:11544063:1 gene:Potri.015G092700.v4.1 transcript:Potri.015G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092700.v4.1 MANNNKEQEYCVASDLIDFLNASPTAFHAVEEAKRRLKNAGYEQVSERHDWKLEAGKRYFFTRNHSTILAFAIGKKYVAGNGFYIVGAHTDSPCLKLKPVSKVTKGGFLEVGVQTYGGGLWHTWFDRDLTVAGRVIVKEEKDGLVSYSHRLVRIEEPIMRVPTIAIHLERTVNTDGFKVNTQSQLLPVLATSIKAELNKAAAENGPVKSEEVQADGKKSDKGTISSKHHSLVLEMIANQIGCKVDDICDFELQACDTQPSLIAGAAKEFIFSGRLDNLCSSFCSLKALIDATSSESDLEDESGVRMVALFDHEEIGSDSAQGAGSPVMLDALSRITSSFNSDSKLLPKAIQKSFLVSADMAHALHPNYMDKHEENHQPKLHGGLVIKHNANQRYATNAITSFLFREIATKHDLPTQDFVVRNDMGCGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCSVDDVKYSYEHFKAFFQDISHLDAKITVDM >Potri.006G277200.1.v4.1 pep chromosome:Pop_tri_v4:6:26815881:26820205:-1 gene:Potri.006G277200.v4.1 transcript:Potri.006G277200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277200.v4.1 MDLTATTTLNSDPKEANAVNKQAETGMDAATEGGKQQGQPKGSEKWPGWPGDNVFRLIVPLSKVGSIIGRRGELVKKMCDETRARIRILEGPLGISDRIVLISGKEEPEAPQSPAMDAVMRVFKRVSGLSPGEGDNTGSAAAAAGSAFCSIRLLVASSQAINLIGKQGSIIKSIQENTGAAVHVMAEDELPSYATSDERIVEIHGEAMKVFKALEAVIGQLRKFLVDHSVIPIFEKTYNATISQECPADARPDIAQPSLHSAYVPTIPSGIASDYSLSFKRDPSIYEHETQFEHKISQPGLSIYGQDPGIVGFRSTGLGRAAAPIVTQVTQTMQVPLSYAEDIIGVAGSNIAYIRRTSRAILSIQESRGLPDEITVEIKGTGAQVQMAQQLIQEFITNHKEPASSMYGKIDAGLSAYSHMAETGYPSSSFTSHLGGYGSSSMGGYGSPGAGGYNNYRF >Potri.001G298600.2.v4.1 pep chromosome:Pop_tri_v4:1:30958741:30959786:1 gene:Potri.001G298600.v4.1 transcript:Potri.001G298600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298600.v4.1 MTLLLGVSQPYSWRKSSWALEDRHFQLINTYKIELYLKKYAYERVWLTEHVGMEFANETLEHWMKELYATLEKLIQAKHAMQEDKGHKGKEKCAIMNSRRVYVLYQIYFASWVVQFHRLEYWCIVQRLDSGSPFFR >Potri.004G229800.2.v4.1 pep chromosome:Pop_tri_v4:4:23341320:23350409:-1 gene:Potri.004G229800.v4.1 transcript:Potri.004G229800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229800.v4.1 MAGSALSVEEFLKECQKSGDAAYGAFRSVLERLEDPNSRTAARIFLSDLYKRVGDSDQCLEQYHFRIQDIFLDQYQGMGYRGRKKLTMMVIPSIFMPENWSFTFYEGLNRHPDSIFKDKTVAELGCGNGWISIALAEKWLPSKVYGLDINPRAVKVSWINLYLNAFDEKGQVIYDAEKKTLLDRVEFYESDLLSYIRDHNIELERIVGCIPQILNPNPDAMSKMITENASEEFLHSLSNYCALQGFVEDQFGLGLIARAVEEGIAVIKPMGIMIFNMGGRPGQAVCKHLFERRGFHVNKLWQTKIIQAADTDISALVEIEKNSPHRFEFFMGLTGDQPICARTAWAYGQAGGRIAHALSVYSCQLRQPNQVKKIFEFLKNGFHDVSSSLDLFFEDDSVADEKIPFLASLADQLKENSCFPYEPPAGSIHFRNLIASFLKTYHHIPLNSDNVVVFPSRAVAIENVLHLFSPRLAIVDEHLTQHLPRKWLTSLAIESAESDDPSKDVITVIEAPRQSDLMVELIKKLKPQVVITGMAHYEAVTSSAFAHLLEVTREIGSRLFLDISDHFELSSLPSSNGVLKYLAGTSLPSHAAIVCGLVKNQVYADLEVAFVISEEEAILKALSKTVEVLEGNTTPIREHYYGCLFHELLAFQLANRHPLVERESEKAKSDKLIGFSSSAISVLDYSELSISGAEISTLIHMDVDQSFLPTRSPVKAAIFEGFARQNLAESEIDVTPGMKQFIKSNYGFPTDSSTEFVYADSTQALFNRLILCCINEGGTLCFPAGSNGNYVSAAKFLKANIMIIPTDPGAGFKLTGSLLNGVLQTVNKPWVYISGPTINPTGLLYSSKEMETILTTCSKFGARVVIDTSVSGLEFDTEGWGGWDLEPTLSKLNSSHNQSFCVSLLGGLSLKILSGALKFGFLALNNPLLVDTLHSFPGLSKPHSTVRYAIKKLLGLNEQKSELTDAVAEQSRNLQSRCQRLKETLEKCGWDVLEPQGGISMVAKPTAYLNKVIKIRDSPKEDGKATSTYEVKLDDSVFREAMVKSTGLCINSGLWTGIPGYCRFTLALEESDFERALDCINKFQDVINN >Potri.018G142900.1.v4.1 pep chromosome:Pop_tri_v4:18:14810256:14812589:1 gene:Potri.018G142900.v4.1 transcript:Potri.018G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142900.v4.1 MAAKILSKSLFHHCTPHHTPLKSPPLIPIYLHHRHRSSKPQLIEINLSSPSLQSDGGNGSEDEEGFFIKKLEEILHRVMLQKSTPDWLPFRPGSSFWVPPILSVNDLIHKFAGYNLSDEETLSLTTCRGWPSASYFIKAGINVKVNEEEEEERVDLKVMPPEEMEGKVVVEVLTGPTDIDVAVKEEKGHVNIKVDQKEGGEVGREVVVQGNEVEGKLEVLILAGSSLEDEEG >Potri.006G149100.5.v4.1 pep chromosome:Pop_tri_v4:6:12922173:12924061:1 gene:Potri.006G149100.v4.1 transcript:Potri.006G149100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149100.v4.1 MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNDQQCSFVEHRNYKIIYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILSPIQLMDKTS >Potri.006G149100.1.v4.1 pep chromosome:Pop_tri_v4:6:12922207:12924064:1 gene:Potri.006G149100.v4.1 transcript:Potri.006G149100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149100.v4.1 MGIRFILMVNKQGQTRLAQYYEWLTLEERRALEGEIVRKCLARNDQQCSFVEHRNYKIIYRRYASLFFLVGVDNDENELAILEFIHLLVETMDRHFGNVCELDIMFHLEKAHFMLEEMVMNGCIVETSKSNILSPIQLMDKTS >Potri.010G068500.1.v4.1 pep chromosome:Pop_tri_v4:10:9685172:9685899:1 gene:Potri.010G068500.v4.1 transcript:Potri.010G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068500.v4.1 MATTAIGVGVGVGGGVLLGNSICFRKTQQLSISKTSLVSVSEIGFVTSQLSGIRISYNPPKPLSAPFSPALQPVIARRICPFTGKKANRANKVSFSNHKTKKLQFVNLQYKRVWWEAGKRYVKLRLSTKALKTIEKNGLDAVAKKAGIDLRKK >Potri.004G109000.1.v4.1 pep chromosome:Pop_tri_v4:4:9700221:9700610:-1 gene:Potri.004G109000.v4.1 transcript:Potri.004G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109000.v4.1 MGSFGKNISSTLFLFIGILMIITPGFAIRTNEENPELSQHLEECHTKVTKRCAIEISNSIYTNNTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIVASAPAASTLPILP >Potri.001G111400.1.v4.1 pep chromosome:Pop_tri_v4:1:8990997:9002680:1 gene:Potri.001G111400.v4.1 transcript:Potri.001G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111400.v4.1 MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQHAQQIEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAELNEQQEALQQQPDIFEISQLREAYREVGRDLLKLLFFIEINAIGLRKILKKVDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQEHQGSYLSIYDQPALPFQDPVVDSMKAAVDRLTHSTNFLNFLAQHALIMQEELPTFEEPVDDQKYHFISLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFIGNAMYALAYDFNSIAVLLLGRVFCGLGSARAVNRRYISDCVPLKLRMQASAGFVSASALGMACGPALAGLLQTNFKLYKLTFNQDTLPGWVMSLAWLIYLVWLWISFKEPSHVTEESSAAQESTAEPVENDVLEKGLKQALLLSSEDKRVTEDGDGEFDGSDEAPEEARGPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFSWSTSSVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCVGILLSFHIISPYTVPQYVCSGLILFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLLPSLVICIASIVATCFTYNSLY >Potri.001G111400.4.v4.1 pep chromosome:Pop_tri_v4:1:8991398:9002679:1 gene:Potri.001G111400.v4.1 transcript:Potri.001G111400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111400.v4.1 MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQHAQQIEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAELNEQQEALQQQPDIFEISQLREAYREVGRDLLKLLFFIEINAIGLRKILKKVDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQEHQGSYLSIYDQPALPFQDPVVDSMKAAVDRLTHSTNFLNFLAQHALIMQEELPTFEEPVDDQKYHFISLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFIGNAMYALAYDFNSIAVLLLGRVFCGLGSARAVNRRYISDCVPLKLRMQASAGFVSASALGMACGPALAGLLQTNFKLYKLTFNQDTLPGWVMSLAWLIYLVWLWISFKEPSHVTEESSAAQESTAEPVENDVLEKGLKQALLLSSEDKRVTEDGDGEFDGSDEAPEEARGPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFSWSTSSVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCVGILLSFHIISPYTVPQYVCSGLILFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLLPSLVICIASIVATCFTYNSLY >Potri.001G111400.3.v4.1 pep chromosome:Pop_tri_v4:1:8990914:9002695:1 gene:Potri.001G111400.v4.1 transcript:Potri.001G111400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111400.v4.1 MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQHAQQIEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAELNEQQEALQQQPDIFEISQLREAYREVGRDLLKLLFFIEINAIGLRKILKKVDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQEHQGSYLSIYDQPALPFQDPVVDSMKAAVDRLTHSTNFLNFLAQHALIMQEELPTFEEPVDDQKYHFISLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFRPLVFSSIVLFIGNAMYALAYDFNSIAVLLLGRVFCGLGSARAVNRRYISDCVPLKLRMQASAGFVSASALGMACGPALAGLLQTNFKLYKLTFNQDTLPGWVMSLAWLIYLVWLWISFKEPSHVTEESSAAQESTAEPVENDVLEKGLKQALLLSSEDKRVTEDGDGEFDGSDEAPEEARGPATSIGSAYRLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFSWSTSSVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLASEIMVCVGILLSFHIISPYTVPQYVCSGLILFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLLPSLVICIASIVATCFTYNSLY >Potri.013G086800.1.v4.1 pep chromosome:Pop_tri_v4:13:8084429:8102715:1 gene:Potri.013G086800.v4.1 transcript:Potri.013G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086800.v4.1 MDFDAGIPMSRSGVGLPAVTEGTSMSPSLSEDAMWQMNLRSSETMEAGPYPERPGEPDCSYYIRTGLCRFGATCRFNHPPNRKLAIAAARMKGEFPERIGQPECQYYLKTGTCKFGATCKFHHPRDKAGISGRVSLNILGYPLQPNEIECAYYLRTGQCKFGSTCKFHHPQPTNMMVPLRGSPIYPTVSSPTTPGQQSYPGGLATTWSRASFITSPRWQAPSSYTPLILPQGVVSVPGWNAYSGQLGSVSSPESQQQTGNSQIYGTSRHSESVNAGSQGTFSPYRSGSAPLGFYALQRESVFPERPGQPECQFYMKTGDCKFGAVCRFHHPRERLIPAPDCVLSPIGLPLRPGEPLCIFYSRYGICKFGPSCKFDHPMGVFTYNLTASSSADAPVRRLLGSSSGSPGLTLSSEGLVEAGPTKPRRLSLSEPRQMPPGDDNIDTGG >Potri.010G164400.1.v4.1 pep chromosome:Pop_tri_v4:10:16868940:16872603:1 gene:Potri.010G164400.v4.1 transcript:Potri.010G164400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164400.v4.1 MDSLTSVSPSMVLPLPLRRRRVLNSSSFSANNRRLPPPRISSFSSASHPLDLSRRSSRSRRRVSCRLASGSGDGDRDAKEEDNSNDDGSEEVERALHLDGTIPGTSNEFVKQVSSRAYDMRRHLQQSFDSSSYDVLDANPWRETSKPVYVLTQRENQLCTMKTRRNRSEVEKELGLLFSKGGKWRSEIGSQTKQSRRGTKFQMLVEDVREGVLVFEDENEAVRYCDLLQGGGKGCEGVAEIEASSVFDLCQKMRALAVLFRRGRTPPLPQSLELNLRARKRSLEDQEDLV >Potri.001G281900.1.v4.1 pep chromosome:Pop_tri_v4:1:29548018:29550445:1 gene:Potri.001G281900.v4.1 transcript:Potri.001G281900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281900.v4.1 MGTLRTEPTTTLHVVAMPYPGRGHVNPMMNLCELMSSRKPDILFTFVVTEEWYDLIHSDAKKPANIHFATIPNCIPSEVGRAKDFLGFLEAVATKMEAPFEQLLDRLELPVDVIIADTYLDWVVHVGNRRNIPVASLWTMSAYVFSLSRHFELLEQNGHFPVELSERGEERVDYIPGIPPTRLVDFPNIFHGNGRQIMPRSLEAVSVVSKAQYLLFTSFYDLEAQVISALKPKFPFPVYPIGPSIPYFKIKDNSSVIGSNHNVPGYIEWLNSQPEGSVLYVSMGSFLSVSSSQMDEIVAGVHNSGVRFLWVSRGETTPFKDGGGNMGLVVPWCDQIRVLCHSAVGGFWTHCGWNSTLEAVFAGVPMLTSPIFWDQITNRKLIVEDWQIGWRVKREEGSGILVTREEISKLVKSFMDVENIEVKAMRKRAKELQETCRGAIAKGGSSDTNLESFIRDISQGQAK >Potri.005G220600.8.v4.1 pep chromosome:Pop_tri_v4:5:22262826:22267021:1 gene:Potri.005G220600.v4.1 transcript:Potri.005G220600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220600.v4.1 MAPTGTSFVKVQRNLQRFMTVLQSAVSEWFLIFLLLIDAALSYLLTKFASYCRLQIPCMFCSRLDHFLGNEKPGFYKKVICSNHRSEISTLISCHIHGKLADGYGMCEECLLSSTMKSKSSTDINRLLMGKFGFDIGADGFENYLWSRELVSGSVGMRMCSCCNKPWRSRQPSNRVAQLKSPRSGMTKPNIPMPRHLTHRENIKKRRENFPGSVTSHRLVRCGYNPGSQVAYTELKFTSDSESEFPFSDDDEGRSISHIMKELKEEPIVSPKTLTDGIASEKMTYHSLKGLASDVEFNRQQADHENYPSALPQLISFDDFPSSSSVMDIHVGVSSVRSELMFPFSQNYNLSALSDLMSLAVPSSSNAVEGPLEASERKSNDIGTGDRQDISINKHKEISILTAPTRGGGQVANEVPSINSRSVDLIDVWKPAASGEDGESRTSMAKKQTANGPERVDMELPTENVFAEGPDLSSHNAITGIEGHDDELQMNNAVRSNGVQMLKTESTGSSGLESLDGSFFTEIEGESTIDRLKRQVESDRRHISALYKELEEERSASAISANQAMAMITRLQEEKAALHMEALQYLRMMEEQAEYDVEALEKANDLLAEKEKEIQDLEAEIDSLQLNLSNESTAETIHVESDDLKGKNMSLENTSPCYDDTIVPCSSSFREVLNDNEKPASVKSSLSEYEEEKFLISQRLKGLERKLHQFASHGGSQSMSDSDYSQEAAHGGHNVGESLDYEGSRTADQTKEDNLSMQKDSPVSNGSLPAHEMSSASVGKHQVVANNESNHLIFDGKKSSKQHKEIDLVVLENEISDLNGRLEALEFDRNFLEHAFNSLQSGKEGLQFVEEIVHHLQELRKIGMRNSSGSVP >Potri.005G220600.7.v4.1 pep chromosome:Pop_tri_v4:5:22262942:22268281:1 gene:Potri.005G220600.v4.1 transcript:Potri.005G220600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220600.v4.1 MAPTGTSFVKVQRNLQRFMTVLQSAVSEWFLIFLLLIDAALSYLLTKFASYCRLQIPCMFCSRLDHFLGNEKPGFYKKVICSNHRSEISTLISCHIHGKLADGYGMCEECLLSSTMKSKSSTDINRLLMGKFGFDIGADGFENYLWSRELVSGSVGMRMCSCCNKPWRSRQPSNRVAQLKSPRSGMTKPNIPMPRHLTHRENIKKRRENFPGSVTSHRLVRCGYNPGSQVAYTELKFTSDSESEFPFSDDDEGRSISHIMKELKEEPIVSPKTLTDGIASEKMTYHSLKGLASDVEFNRQQADHENYPSALPQLISFDDFPSSSSVMDIHVGVSSVRSELMFPFSQNYNLSALSDLMSLAVPSSSNAVEGPLEASERKSNDIGTGDRQDISINKHKEISILTAPTRGGGQVANEVPSINSRSVDLIDVWKPAASGEDGESRTSMAKKQTANGPERVDMELPTENVFAEGPDLSSHNAITGIEGHDDELQMNNAVRSNGVQMLKTESTGSSGLESLDGSFFTEIEGESTIDRLKRQVESDRRHISALYKELEEERSASAISANQAMAMITRLQEEKAALHMEALQYLRMMEEQAEYDVEALEKANDLLAEKEKEIQDLEAEIDSLQLNLSNESTAETIHVESDDLKGKNMSLENTSPCYDDTIVPCSSSFREVLNDNEKPASVKSSLSEYEEEKFLISQRLKGLERKLHQFASHGGSQSMSDSDYSQEAAHGGHNVGESLDYEGSRTADQTKEDNLSMQKDSPVSNGSLPAHEMSSASVGKHQVVANNESNHLIFDGKKSSKQHKEIDLVVLENEISDLNGRLEALEFDRNFLEHAFNSLQSGKEGLQFVEEIVHHLQELRKIGMRNSSGSVP >Potri.013G076501.1.v4.1 pep chromosome:Pop_tri_v4:13:6437893:6438279:1 gene:Potri.013G076501.v4.1 transcript:Potri.013G076501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076501.v4.1 MAKPYPGQILLQTPKGIILISLLPVISNASPSPFRNLSGLKAMGSSHTFGSHPISATMKFTVPFLGIRYPPNTVSSVTACGSTKCPGGCLRRPSRIIAFKYGIFCRSSSFTSPSPYPTTSFISENSFS >Potri.011G077500.1.v4.1 pep chromosome:Pop_tri_v4:11:8341752:8348925:-1 gene:Potri.011G077500.v4.1 transcript:Potri.011G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077500.v4.1 MDALRKQASKLREQVAKQQQAVIKQFSSTGYESSDVMVIDEVEMHRHQQLEKMYSLTRAGKDFQKDILKAAESFTAIGYKHVEAGTKLSEDCCRYGTENINENILAKAAAIYGDAHKHVEQEQEDLNRLLFSQILDPLRAMITDSPLEDARHLAQRYSRMRQEAETQAAELSRRQARVRESPIPENIARLHAAEAKMQEIKANMAVLGKEAAAALAAVEAQQHRLTFQRLVAMVEGEKNYHLRIAAILSEVEAEMVSEKQQKESAPPVILLPVIPSENGSEKTTYFLAEATHLFIAETEKELSLAVGDCIVVRKVSPTGWSEGECKGKAGWFPSAYVEKRQRMSTSLAAQAY >Potri.007G074800.6.v4.1 pep chromosome:Pop_tri_v4:7:9829892:9839448:1 gene:Potri.007G074800.v4.1 transcript:Potri.007G074800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074800.v4.1 MQLSYLNEPSVLYNLQYRYNHDMIYTKAGPVLVAINPFKEVPLYGNNYIEAYKNKSMESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKINLKIASEYKYLRQSNCYTITGVDDAERFHAVMEALDIVHVSKENQESVFAMLAAVLWLGNVSFSVVDNENHVEPMADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLTLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQTEKPIVGHLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSPSPGSYEQGLVLQQLRCCGVLEVVRISRCGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFDIMPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQARSYLRQLRRGVCALQSFVRGEKFRKEYAVLQQRHRAAVVIQRHIKSTICRKKYKNMHQASILIQSVIRGWLVRRFSGDVGLLKSGATKGNESDEVLMKASYLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEMWQKQMRSLQSSLSIAKKSLSVDDSERNSDASVNASEERDFSWDTGSNHRGQENNGVRPISAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASMNADRELRRLKQMFEAWKKDYGSRLRETKLILNKLGTDEGALDRVKKKWWGRRNSTRYS >Potri.007G074800.1.v4.1 pep chromosome:Pop_tri_v4:7:9828144:9839449:1 gene:Potri.007G074800.v4.1 transcript:Potri.007G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074800.v4.1 MASVGTQSSGSEKMLPKSQVLPSLESIKSLPVDFRFVGSPTSEQLEKSVDVKSLNSNAVCLSFPEKNDIGNGLVEGAEDSVGNDVSEDSPYSRTAILIEQRPSVGDEDLDTVVMPLPSISTSRRERRWSDTSSYATNKKLQSWFQLPNGNWELGKILSTSGTESTISLPDGKVLKVKTESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNHDMIYTKAGPVLVAINPFKEVPLYGNNYIEAYKNKSMESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKINLKIASEYKYLRQSNCYTITGVDDAERFHAVMEALDIVHVSKENQESVFAMLAAVLWLGNVSFSVVDNENHVEPMADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLTLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQTEKPIVGHLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSPSPGSYEQGLVLQQLRCCGVLEVVRISRCGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFDIMPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQARSYLRQLRRGVCALQSFVRGEKFRKEYAVLQQRHRAAVVIQRHIKSTICRKKYKNMHQASILIQSVIRGWLVRRFSGDVGLLKSGATKGNESDEVLMKASYLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEMWQKQMRSLQSSLSIAKKSLSVDDSERNSDASVNASEERDFSWDTGSNHRGQENNGVRPISAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASMNADRELRRLKQMFEAWKKDYGSRLRETKLILNKLGTDEGALDRVKKKWWGRRNSTRYS >Potri.007G074800.5.v4.1 pep chromosome:Pop_tri_v4:7:9828119:9839443:1 gene:Potri.007G074800.v4.1 transcript:Potri.007G074800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074800.v4.1 MASVGTQSSGSEKMLPKSQVLPSLESIKSLPVDFRFVGSPTSEQLEKSVDVKSLNSNAVCLSFPEKNDIGNGLVEGAEDSVGNDVSEDSPYSRTAILIEQRPSVGDEDLDTVVMPLPSISTSRRERRWSDTSSYATNKKLQSWFQLPNGNWELGKILSTSGTESTISLPDGKVLKVKTESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNHDMIYTKAGPVLVAINPFKEVPLYGNNYIEAYKNKSMESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKINLKIASEYKYLRQSNCYTITGVDDAERFHAVMEALDIVHVSKENQESVFAMLAAVLWLGNVSFSVVDNENHVEPMADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLTLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQTEKPIVGHLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSPSPGSYEQGLVLQQLRCCGVLEVVRISRCGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFDIMPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQARSYLRQLRRGVCALQSFVRGEKFRKEYAVLQQRHRAAVVIQRHIKSTICRKKYKNMHQASILIQSVIRGWLVRRFSGDVGLLKSGATKGNESDEVLMKASYLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEMWQKQMRSLQSSLSIAKKSLSVDDSERNSDASVNASEERDFSWDTGSNHRGQENNGVRPISAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASMNADRELRRLKQMFEAWKKDYGSRLRETKLILNKLGTDEGALDRVKKKWWGRRNSTRYS >Potri.007G074800.7.v4.1 pep chromosome:Pop_tri_v4:7:9830565:9839384:1 gene:Potri.007G074800.v4.1 transcript:Potri.007G074800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074800.v4.1 MQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKINLKIASEYKYLRQSNCYTITGVDDAERFHAVMEALDIVHVSKENQESVFAMLAAVLWLGNVSFSVVDNENHVEPMADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLTLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWAKVDFEDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQTEKPIVGHLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSPSPGSYEQGLVLQQLRCCGVLEVVRISRCGFPTRMSHQKFARRYGFLLLENVASQDPLSVSVAILHQFDIMPEMYQVGYTKLFFRTGQIGVLEDTRNRTLHGILRVQSCFRGHQARSYLRQLRRGVCALQSFVRGEKFRKEYAVLQQRHRAAVVIQRHIKSTICRKKYKNMHQASILIQSVIRGWLVRRFSGDVGLLKSGATKGNESDEVLMKASYLAELQRRVLKAEAALREKEEENDILHQRLQQYESRWSEYELKMKSMEEMWQKQMRSLQSSLSIAKKSLSVDDSERNSDASVNASEERDFSWDTGSNHRGQENNGVRPISAGLSVISRLAEEFEQRSQVFGDDAKFLVEVKSGQVDASMNADRELRRLKQMFEAWKKDYGSRLRETKLILNKLGTDEGALDRVKKKWWGRRNSTRYS >Potri.007G084501.2.v4.1 pep chromosome:Pop_tri_v4:7:10929255:10932711:1 gene:Potri.007G084501.v4.1 transcript:Potri.007G084501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084501.v4.1 MDVLDTSISIPKGDEASEQGEKVSKKVCACLKIFMKTYKGSLLQFFDQLLSRMEHMWVKDKTVKERKIALKIFADVVEEFREEALKFCESELLLLFKACNDDEPEVQEVAAHGIGVAAAFGGSIFKPLVGEAVSALNANISDSMALHRDYIMAHDAAVTALEQIYLFHKDRINASEVFSTWLSHLPIKNNLLEVKIAHDLLCSIVEISEDELLR >Potri.007G084501.1.v4.1 pep chromosome:Pop_tri_v4:7:10929255:10932711:1 gene:Potri.007G084501.v4.1 transcript:Potri.007G084501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084501.v4.1 MDVLDTSISIPKGDEASEQGEKVSKKVCACLKIFMKTYKGSLLQFFDQLLSRMEHMWVKDKTVKERKIALKIFADVVEEFREEALKFCESELLLLFKACNDDEPEVQEVAAHGIGVAAAFGGSIFKPLVGEAVSALNANISDSMALHRDYIMAHDAAVTALEQIYLFHKDRINASEQVFSTWLSHLPIKNNLLEVKIAHDLLCSIVEISEDELLR >Potri.018G067500.3.v4.1 pep chromosome:Pop_tri_v4:18:8120278:8124455:-1 gene:Potri.018G067500.v4.1 transcript:Potri.018G067500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067500.v4.1 MHLTAAKNILCNKAIYDSLVFKFMECDFITLKMRSPSLNYRFCPRHTSSMKLPNKPRSSSTSLLLSLGSNFSVSAAALSTIEPSPTRDFNPTNLQNSPAHLPEANTKISRKFWSPNLPNLNGKSESNVTSSPVRFDAKERLKRYSVMLRECASKGDVKEGKAIHGNLITSGVELDSHLWVSLINFYAKCRSRFFARKVLAEMPQRDVVSWTALISGFVNEGCGSESVSLYCEMRKENVRANEFALATALKACSMCLNLEFGKQVHVEAIKAGLLLDLFVGSALVDLYARCGEMELAERLFFGMPEKNGVSWNALLNGYAQLGDGKKVLKLFCKMKECETKFSKFTLSTVLKGCANTGSLREGKVLHALALRSGCEIDEFLGCSLVDMYSKCGTVYDALKVFTKIRNPDVVAWSAMITGLDQQGHGQEAAELFHLMRRKGARPNQFTLSSLVSTATNMGDLRYGQSIHGCICKYGFESDNLVSNPLIMMYMKSRCVEDGNKVFEAMTNPDLVSWNALLSGFYDSQTCGRGPRIFYQMLLEGFKPNMFTFISVLRSCSSLLDPEFGKQVHAHIIKNSSDDDDFVGTALVDMYAKARCLEDAGVAFDRLVNRDIFSWTVIISGYAQTDQAEKAVKYFRQMQREGIKPNEYTLASCLSGCSHMATLENGRQLHAVAVKAGHFGDIFVGSALVDLYGKCGCMEHAEAIFKGLISRDIVSWNTIISGYSQHGQGEKALEAFRMMLSEGIMPDEATFIGVLSACSFMGLVEEGKKRFDSMSKIYGINPSIEHYACMVDILGRAGKFNEVKIFIEEMNLTPYSLIWETVLGACKLHGNVDFGEKAAKKLFEMEPMMDSSYILLSNIFASKGRWDDVRNIRALMTSRGIKKEPGCSWVEVDGQVHVFLSQDGSHPKIREIYAKLDKLGQSLMSIGYVPKTEVVLHNVSNKEKMEHLYYHSERLALSFALLSTNAVKPIRIFKNLRICEDCHDFMKLISDITNQEIVVRDIRRFHHFKRGTCSCQDRW >Potri.018G067500.2.v4.1 pep chromosome:Pop_tri_v4:18:8121141:8124501:-1 gene:Potri.018G067500.v4.1 transcript:Potri.018G067500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067500.v4.1 MHLTAAKNILCNKAIYDSLVFKFMECDFITLKMRSPSLNYRFCPRHTSSMKLPNKPRSSSTSLLLSLGSNFSVSAAALSTIEPSPTRDFNPTNLQNSPAHLPEANTKISRKFWSPNLPNLNGKSESNVTSSPVRFDAKERLKRYSVMLRECASKGDVKEGKAIHGNLITSGVELDSHLWVSLINFYAKCRSRFFARKVLAEMPQRDVVSWTALISGFVNEGCGSESVSLYCEMRKENVRANEFALATALKACSMCLNLEFGKQVHVEAIKAGLLLDLFVGSALVDLYARCGEMELAERLFFGMPEKNGVSWNALLNGYAQLGDGKKVLKLFCKMKECETKFSKFTLSTVLKGCANTGSLREGKVLHALALRSGCEIDEFLGCSLVDMYSKCGTVYDALKVFTKIRNPDVVAWSAMITGLDQQGHGQEAAELFHLMRRKGARPNQFTLSSLVSTATNMGDLRYGQSIHGCICKYGFESDNLVSNPLIMMYMKSRCVEDGNKVFEAMTNPDLVSWNALLSGFYDSQTCGRGPRIFYQMLLEGFKPNMFTFISVLRSCSSLLDPEFGKQVHAHIIKNSSDDDDFVGTALVDMYAKARCLEDAGVAFDRLVNRDIFSWTVIISGYAQTDQAEKAVKYFRQMQREGIKPNEYTLASCLSGCSHMATLENGRQLHAVAVKAGHFGDIFVGSALVDLYGKCGCMEHAEAIFKGLISRDIVSWNTIISGYSQHGQGEKALEAFRMMLSEGIMPDEATFIGVLSACSFMGLVEEGKKRFDSMSKIYGINPSIEHYACMVDILGRAGKFNEVKIFIEEMNLTPYSLIWETVLGACKLHGNVDFGEKAAKKLFEMEPMMDSSYILLSNIFASKGRWDDVRNIRALMTSRGIKKEPGCSWVEVDGQVHVFLSQDGSHPKIREIYAKLDKLGQSLMSIGYVPKTEVVLHNVSNKEKMEHLYYHSERLALSFALLSTNAVKPIRIFKNLRICEDCHDFMKLISDITNQEIVVRDIRRFHHFKRGTCSCQDRW >Potri.018G067500.1.v4.1 pep chromosome:Pop_tri_v4:18:8119801:8124519:-1 gene:Potri.018G067500.v4.1 transcript:Potri.018G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067500.v4.1 MHLTAAKNILCNKAIYDSLVFKFMECDFITLKMRSPSLNYRFCPRHTSSMKLPNKPRSSSTSLLLSLGSNFSVSAAALSTIEPSPTRDFNPTNLQNSPAHLPEANTKISRKFWSPNLPNLNGKSESNVTSSPVRFDAKERLKRYSVMLRECASKGDVKEGKAIHGNLITSGVELDSHLWVSLINFYAKCRSRFFARKVLAEMPQRDVVSWTALISGFVNEGCGSESVSLYCEMRKENVRANEFALATALKACSMCLNLEFGKQVHVEAIKAGLLLDLFVGSALVDLYARCGEMELAERLFFGMPEKNGVSWNALLNGYAQLGDGKKVLKLFCKMKECETKFSKFTLSTVLKGCANTGSLREGKVLHALALRSGCEIDEFLGCSLVDMYSKCGTVYDALKVFTKIRNPDVVAWSAMITGLDQQGHGQEAAELFHLMRRKGARPNQFTLSSLVSTATNMGDLRYGQSIHGCICKYGFESDNLVSNPLIMMYMKSRCVEDGNKVFEAMTNPDLVSWNALLSGFYDSQTCGRGPRIFYQMLLEGFKPNMFTFISVLRSCSSLLDPEFGKQVHAHIIKNSSDDDDFVGTALVDMYAKARCLEDAGVAFDRLVNRDIFSWTVIISGYAQTDQAEKAVKYFRQMQREGIKPNEYTLASCLSGCSHMATLENGRQLHAVAVKAGHFGDIFVGSALVDLYGKCGCMEHAEAIFKGLISRDIVSWNTIISGYSQHGQGEKALEAFRMMLSEGIMPDEATFIGVLSACSFMGLVEEGKKRFDSMSKIYGINPSIEHYACMVDILGRAGKFNEVKIFIEEMNLTPYSLIWETVLGACKLHGNVDFGEKAAKKLFEMEPMMDSSYILLSNIFASKGRWDDVRNIRALMTSRGIKKEPGCSWVEVDGQVHVFLSQDGSHPKIREIYAKLDKLGQSLMSIGYVPKTEVVLHNVSNKEKMEHLYYHSERLALSFALLSTNAVKPIRIFKNLRICEDCHDFMKLISDITNQEIVVRDIRRFHHFKRGTCSCQDRW >Potri.015G012500.1.v4.1 pep chromosome:Pop_tri_v4:15:788920:792332:-1 gene:Potri.015G012500.v4.1 transcript:Potri.015G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012500.v4.1 MGKKGSGWFTSVKKVFKSSPKELPIEKKKDNVEKWQHEAPEVVSFEHFPAESSPDVTHDESNASSPVTEDRNHVIAVAVATAAAAAQAAANVVRLAGYGRYSKEERAAILIQSYYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLTHEKLQMKAEEEDERRLSVVEEIMNPKSPLKSYKWDSRNRSPGKIFKENASKKHDAVMKRERALAYAYAYEQQQQPLLSQNSPNGKEIGHFVDGSEKAQWGWNWLEGWMSAQPYNVRQLGPNEGSYVTLNTTTATATTDDMSEKTVEMDMVTPTGTSNSNMGILDANLSSNRHQRQLSSSNVPSYMAPTQSAKAKVRSQGSTKQQYAPGTPLRNPFTKKGSSINGGSGCDSSSSGGGTTYQALRSPSPKQNGMRLHSKRHAGGYSPDFNGGGDDWRVPPLDGHGWRNDFG >Potri.015G012500.2.v4.1 pep chromosome:Pop_tri_v4:15:788952:792330:-1 gene:Potri.015G012500.v4.1 transcript:Potri.015G012500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012500.v4.1 MGKKGSGWFTSVKKVFKSSPKELPIEKKARRALRALKGLVRLQALVRGHNVRKQAQMTMRCMQALVRVQARVRARRLQLTHEKLQMKAEEEDERRLSVVEEIMNPKSPLKSYKWDSRNRSPGKIFKENASKKHDAVMKRERALAYAYAYEQQQQPLLSQNSPNGKEIGHFVDGSEKAQWGWNWLEGWMSAQPYNVRQLGPNEGSYVTLNTTTATATTDDMSEKTVEMDMVTPTGTSNSNMGILDANLSSNRHQRQLSSSNVPSYMAPTQSAKAKVRSQGSTKQQYAPGTPLRNPFTKKGSSINGGSGCDSSSSGGGTTYQALRSPSPKQNGMRLHSKRHAGGYSPDFNGGGDDWRVPPLDGHGWRNDFG >Potri.003G151300.2.v4.1 pep chromosome:Pop_tri_v4:3:16410387:16414136:-1 gene:Potri.003G151300.v4.1 transcript:Potri.003G151300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151300.v4.1 MENRAVENGVCSTESVNVSSDVWSCKNSDSSSADHLVIMVHGILGSNTDWKFGAEQFVRTLPDKVFVHCSEKNMFRLTLDGVDVMGDRLAEEVLEVIQRKPNLQKISFVAHSVGGLVARYAIGRLYRPPKKENVEDSTDGTSIDDLKATIGGLEPMNFITVATPHLGSRGNKQVPFLFGVTAFEQAANLLIHWIFKRTGRHLFLNDDDEGKPPLLKRMIEDYGDCFFMSALRIFKRRVAYSNVCYDHIVGWRTSSIRRNIELPKWEDYINKEYPHIVYEECCKACDTEQSELVSTDDDSFDKLEEELVTGLSRVSWEKVDASFHTCRQRFAAHSVIQVKDQSMHIEGADVVQHMIDHFLL >Potri.005G247900.11.v4.1 pep chromosome:Pop_tri_v4:5:24212336:24221768:-1 gene:Potri.005G247900.v4.1 transcript:Potri.005G247900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247900.v4.1 MANEYSNEGSSTSHVSGEGSDATVEINIKTLNSQKYSFQVNKNMPVSVFKEKIANEIGVPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHLVARQPAQPQHSADTSSGDTTRNNGNNASAGAPRNRIGPISHSVVLGTFNVGDQGGGIVPDLNQAIGAVLNSFGIGGQAATNSIGGMLLSNMPNVTGQASQGSETGASRGNIGGQSPAGNQTQFGHPFQSAPQVVQVPLTAAITVPSLHSPIPDSLNTLLEFITRMERVLAQNGNLPNTSAASIGDPPRVELPSNARGLPTPEALSIVLRHAEQLLSGPTTAALSRIAGRLEQVVSSTDPAIRGQIQSESMQVGLAMQHLGSLLLELGRTILTLNMGQSPAQSSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGGSVPPSNPVAFGPVGIGNAPRHVNIHIHAGTSLASVIPAIGNRTSSTGVQGEHGNTASSGVSGPEQVLPVRNVVVATVPLRSAGVTVAAQPGLGLSLSQPPSDSMSLSSIVNEINSQLRQLSGNMQEGNQPASGSQLLQGQMVKNQRYIVLFTYQNHKILLYAGSIGSDAGNNPTNSEMNSTVFNGVGESSVSLPGVMSEHHGQKVQVRDNDPFSSKDIPSSSEDRSSSLKSDDTSQDVSSSSSKHDVPDSTKAVPLGLGLGSLDHKRRTKQPKSLVRSVDSETTNTHPNQNPDTGIIGQQLLQSLAFHSSGTNRNIMPSDPVAPSAGQVMEGRPPINLSSDGQLDTASVVSQVLHSPVINNLLTGVSEQTGVGSPNVLRNMLQQLTQNPQIMNTVSQIAQQVDSQDLGNMFSGLGSGQGGGIDLSGMVRQMMPVVSQVLVRGSPTPQLFPTPEPEPQMQSNERESNGAENPNIQINLHEVAQRIEQFDAPQDVFQAIVGNAARLNGNGSNAEDILHELNNNEDLASDYVEMLQRDIHRRLQDDSGEEKC >Potri.005G247900.4.v4.1 pep chromosome:Pop_tri_v4:5:24212307:24221918:-1 gene:Potri.005G247900.v4.1 transcript:Potri.005G247900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247900.v4.1 MANEYSNEGSSTSHVSGEGSDATVEINIKTLNSQKYSFQVNKNMPVSVFKEKIANEIGVPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHLVARQPAQPQHSADTSSGDTTRNNGNNASAGAPRNRIGPISHSVVLGTFNVGDQGGGIVPDLNQAIGAVLNSFGIGGQAATNSIGGMLLSNMPNVTGQASQGSETGASRGNIGGQSPAGNQTQFGHPFQSAPQVVQVPLTAAITVPSLHSPIPDSLNTLLEFITRMERVLAQNGNLPNTSAASIGDPPRVELPSNARGLPTPEALSIVLRHAEQLLSGPTTAALSRIAGRLEQVVSSTDPAIRGQIQSESMQVGLAMQHLGSLLLELGRTILTLNMGQSPAQSSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGGSVPPSNPVAFGPVGIGNAPRHVNIHIHAGTSLASVIPAIGNRTSSTGVQGEHGNTASSGVSGPEQVLPVRNVVVATVPLRSAGVTVAAQPGLGLSLSQPPSDSMSLSSIVNEINSQLRQLSGNMQEGNQPASGSIGSDAGNNPTNSEMNSTVFNGVGESSVSLPGVMSEHHGQKVQVRDNDPFSSKDIPSSSEDRSSSLKSDDTSQDVSSSSSKHDVPDSTKAVPLGLGLGSLDHKRRTKQPKSLVRSVDSETTNTHPNQNPDTGIIGQQLLQSLAFHSSGTNRNIMPSDPVAPSAGQVMEGRPPINLSSDGQLDTASVVSQVLHSPVINNLLTGVSEQTGVGSPNVLRNMLQQLTQNPQIMNTVSQIAQQVDSQDLGNMFSGLGSGQGGGIDLSGMVRQMMPVVSQVLVRGSPTPQLFPTPEPEPQMQSNERESNGAENPNIQINLHEVAQRIEQFDAPQDVFQAIVGNAARLNGNGSNAEDILHELNNNEDLASDYVEMLQRDIHRRLQDDSGEEKC >Potri.005G247900.1.v4.1 pep chromosome:Pop_tri_v4:5:24212336:24221891:-1 gene:Potri.005G247900.v4.1 transcript:Potri.005G247900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247900.v4.1 MANEYSNEGSSTSHVSGEGSDATVEINIKTLNSQKYSFQVNKNMPVSVFKEKIANEIGVPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHLVARQPAQPQHSADTSSGDTTRNNGNNASAGAPRNRIGPISHSVVLGTFNVGDQGGGIVPDLNQAIGAVLNSFGIGGQAATNSIGGMLLSNMPNVTGQASQGSETGASRGNIGGQSPAGNQTQFGHPFQSAPQVVQVPLTAAITVPSLHSPIPDSLNTLLEFITRMERVLAQNGNLPNTSAASIGDPPRVELPSNARGLPTPEALSIVLRHAEQLLSGPTTAALSRIAGRLEQVVSSTDPAIRGQIQSESMQVGLAMQHLGSLLLELGRTILTLNMGQSPAQSSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGGSVPPSNPVAFGPVGIGNAPRHVNIHIHAGTSLASVIPAIGNRTSSTGVQGEHGNTASSGVSGPEQVLPVRNVVVATVPLRSAGVTVAAQPGLGLSLSQPPSDSMSLSSIVNEINSQLRQLSGNMQEGNQPASGSIGSDAGNNPTNSEMNSTVFNGVGESSVSLPGVMSEHHGQKTHAEQVQVRDNDPFSSKDIPSSSEDRSSSLKSDDTSQDVSSSSSKHDVPDSTKAVPLGLGLGSLDHKRRTKQPKSLVRSVDSETTNTHPNQNPDTGIIGQQLLQSLAFHSSGTNRNIMPSDPVAPSAGQVMEGRPPINLSSDGQLDTASVVSQVLHSPVINNLLTGVSEQTGVGSPNVLRNMLQQLTQNPQIMNTVSQIAQQVDSQDLGNMFSGLGSGQGGGIDLSGMVRQMMPVVSQVLVRGSPTPQLFPTPEPEPQMQSNERESNGAENPNIQINLHEVAQRIEQFDAPQDVFQAIVGNAARLNGNGSNAEDILHELNNNEDLASDYVEMLQRDIHRRLQDDSGEEKC >Potri.005G247900.12.v4.1 pep chromosome:Pop_tri_v4:5:24212334:24221700:-1 gene:Potri.005G247900.v4.1 transcript:Potri.005G247900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247900.v4.1 MANEYSNEGSSTSHVSGEGSDATVEINIKTLNSQKYSFQVNKNMPVSVFKEKIANEIGVPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHLVARQPAQPQHSADTSSGDTTRNNGNRGNNASAGAPRNRIGPISHSVVLGTFNVGDQGGGIVPDLNQAIGAVLNSFGIGGQAATNSIGGMLLSNMPNVTGQASQGSETGASRGNIGGQSPAGNQTQFGHPFQSAPQVVQVPLTAAITVPSLHSPIPDSLNTLLEFITRMERVLAQNGNLPNTSAASIGDPPRVELPSNARGLPTPEALSIVLRHAEQLLSGPTTAALSRIAGRLEQVVSSTDPAIRGQIQSESMQVGLAMQHLGSLLLELGRTILTLNMGQSPAQSSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGGSVPPSNPVAFGPVGIGNAPRHVNIHIHAGTSLASVIPAIGNRTSSTGVQGEHGNTASSGVSGPEQVLPVRNVVVATVPLRSAGVTVAAQPGLGLSLSQPPSDSMSLSSIVNEINSQLRQLSGNMQEGNQPASGSIGSDAGNNPTNSEMNSTVFNGVGESSVSLPGVMSEHHGQKTHAEQVQVRDNDPFSSKDIPSSSEDRSSSLKSDDTSQDVSSSSSKHDVPDSTKAVPLGLGLGSLDHKRRTKQPKSLVRSVDSETTNTHPNQNPDTGIIGQQLLQSLAFHSSGTNRNIMPSDPVAPSAGQVMEGRPPINLSSDGQLDTASVVSQVLHSPVINNLLTGVSEQTGVGSPNVLRNMLQQLTQNPQIMNTVSQIAQQVDSQDLGNMFSGLGSGQGGGIDLSGMVRQMMPVVSQVLVRGSPTPQLFPTPEPEPQMQSNERESNGAENPNIQINLHEVAQRIEQFDAPQDVFQAIVGNAARLNGNGSNAEDILHELNNNEDLASDYVEMLQRDIHRRLQDDSGEEKC >Potri.005G247900.13.v4.1 pep chromosome:Pop_tri_v4:5:24212555:24221890:-1 gene:Potri.005G247900.v4.1 transcript:Potri.005G247900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247900.v4.1 MANEYSNEGSSTSHVSGEGSDATVEINIKTLNSQKYSFQVNKNMPVSVFKEKIANEIGVPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHLVARQPAQPQHSADTSSGDTTRNNGNNASAGAPRNRIGPISHSVVLGTFNVGDQGGGIVPDLNQAIGAVLNSFGIGGQAATNSIGGMLLSNMPNVTGQASQGSETGASRGNIGGQSPAGNQTQFGHPFQSAPQVVQVPLTAAITVPSLHSPIPDSLNTLLEFITRMERVLAQNGNLPNTSAASIGDPPRVELPSNARGLPTPEALSIVLRHAEQLLSGPTTAALSRIAGRLEQVVSSTDPAIRGQIQSESMQVGLAMQHLGSLLLELGRTILTLNMGQSPAQSSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGGSVPPSNPVAFGPVGIGNAPRHVNIHIHAGTSLASVIPAIGNRTSSTGVQGEHGNTASSGVSGPEQVLPVRNVVVATVPLRSAGVTVAAQPGLGLSLSQPPSDSMSLSSIVNEINSQLRQLSGNMQEGNQPASGSIGSDAGNNPTNSEMNSTVFNGVGESSVSLPGVMSEHHGQKTHAEQVQVRDNDPFSSKDIPSSSEDRSSSLKSDDTSQDVSSSSSKHDVPDSTKAVPLGLGLGSLDHKRRTKQPKSLVRSVDSETTNTHPNQNPDTGIIGQQLLQSLAFHSSGTNRNIMPSDPVAPSAGQVMEGRPPINLSSDGQLDTASVVSQVLHSPVINNLLTGVSEQTGVGSPNVLRNMLQQLTQNPQIMNTVSQIAQQVDSQDLGNMFSGLGSGQGGGIDLSGMVRQMMPVVSQVLVRGSPTPQLFPTPEPEPQMQSNERESNGAENPNIQINLHEVAQRIEQFDAPQDVFQAIVGNAARLNGNGSNAEDILHELNNNEDLASDYVEMLQRDIHRRLQDDSGEEKC >Potri.005G247900.10.v4.1 pep chromosome:Pop_tri_v4:5:24212336:24221778:-1 gene:Potri.005G247900.v4.1 transcript:Potri.005G247900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247900.v4.1 MANEYSNEGSSTSHVSGEGSDATVEINIKTLNSQKYSFQVNKNMPVSVFKEKIANEIGVPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHLVARQPAQPQHSADTSSGDTTRNNGNNASAGAPRNRIGPISHSVVLGTFNVGDQGGGIVPDLNQAIGAVLNSFGIGGQAATNSIGGMLLSNMPNVTGQASQGSETGASRGNIGGQSPAGNQTQFGHPFQSAPQVVQVPLTAAITVPSLHSPIPDSLNTLLEFITRMERVLAQNGNLPNTSAASIGDPPRVELPSNARGLPTPEALSIVLRHAEQLLSGPTTAALSRIAGRLEQVVSSTDPAIRGQIQSESMQVGLAMQHLGSLLLELGRTILTLNMGQSPAQSSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGGSVPPSNPVAFGPVGIGNAPRHVNIHIHAGTSLASVIPAIGNRTSSTGVQGEHGNTASSGVSGPEQVLPVRNVVVATVPLRSAGVTVAAQPGLGLSLSQPPSDSMSLSSIVNEINSQLRQLSGNMQEGNQPASGSQLLQGQMVKNQRYIVLFTYQNHKILLYAGSIGSDAGNNPTNSEMNSTVFNGVGESSVSLPGVMSEHHGQKTHAEQVQVRDNDPFSSKDIPSSSEDRSSSLKSDDTSQDVSSSSSKHDVPDSTKAVPLGLGLGSLDHKRRTKQPKSLVRSVDSETTNTHPNQNPDTGIIGQQLLQSLAFHSSGTNRNIMPSDPVAPSAGQVMEGRPPINLSSDGQLDTASVVSQVLHSPVINNLLTGVSEQTGVGSPNVLRNMLQQLTQNPQIMNTVSQIAQQVDSQDLGNMFSGLGSGQGGGIDLSGMVRQMMPVVSQVLVRGSPTPQLFPTPEPEPQMQSNERESNGAENPNIQINLHEVAQRIEQFDAPQDVFQAIVGNAARLNGNGSNAEDILHELNNNEDLASDYVEMLQRDIHRRLQDDSGEEKC >Potri.005G247900.14.v4.1 pep chromosome:Pop_tri_v4:5:24212571:24221890:-1 gene:Potri.005G247900.v4.1 transcript:Potri.005G247900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247900.v4.1 MANEYSNEGSSTSHVSGEGSDATVEINIKTLNSQKYSFQVNKNMPVSVFKEKIANEIGVPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHLVARQPAQPQHSADTSSGDTTRNNGNNASAGAPRNRIGPISHSVVLGTFNVGDQGGGIVPDLNQAIGAVLNSFGIGGQAATNSIGGMLLSNMPNVTGQASQGSETGASRGNIGGQSPAGNQTQFGHPFQSAPQVVQVPLTAAITVPSLHSPIPDSLNTLLEFITRMERVLAQNGNLPNTSAASIGDPPRVELPSNARGLPTPEALSIVLRHAEQLLSGPTTAALSRIAGRLEQVVSSTDPAIRGQIQSESMQVGLAMQHLGSLLLELGRTILTLNMGQSPAQSSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGGSVPPSNPVAFGPVGIGNAPRHVNIHIHAGTSLASVIPAIGNRTSSTGVQGEHGNTASSGVSGPEQVLPVRNVVVATVPLRSAGVTVAAQPGLGLSLSQPPSDSMSLSSIVNEINSQLRQLSGNMQEGNQPASGSIGSDAGNNPTNSEMNSTVFNGVGESSVSLPGVMSEHHGQKVQVRDNDPFSSKDIPSSSEDRSSSLKSDDTSQDVSSSSSKHDVPDSTKAVPLGLGLGSLDHKRRTKQPKSLVRSVDSETTNTHPNQNPDTGIIGQQLLQSLAFHSSGTNRNIMPSDPVAPSAGQVMEGRPPINLSSDGQLDTASVVSQVLHSPVINNLLTGVSEQTGVGSPNVLRNMLQQLTQNPQIMNTVSQIAQQVDSQDLGNMFSGLGSGQGGGIDLSGMVRQMMPVVSQVLVRGSPTPQLFPTPEPEPQMQSNERESNGAENPNIQINLHEVAQRIEQFDAPQDVFQAIVGNAARLNGNGSNAEDILHELNNNEDLASDYVEMLQRDIHRRLQDDSGEEKC >Potri.006G086200.1.v4.1 pep chromosome:Pop_tri_v4:6:6458255:6464942:-1 gene:Potri.006G086200.v4.1 transcript:Potri.006G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086200.v4.1 MISVRAVNSCSIFRSSPPVSSFRCRLNSHLRTTTSSSHHDRYSKSGFGFPVFRFDLPILGHGDVRSYSLQSFVDTVLEELASYRKRKRQGICSAIKLTTGGEALDDKLVNQAVEKGLLVEFKKDSERVLLAVVQRRDGKKNWMVYDQNGVTSSIKPQQITYIVPGVDNFDQTQISSFIQKAQQNLDSSLLEFAWIELLEKNKSVTPEELAEMIFGSVEPLESYCAHLLLSEDDLYFTVLETKGYRSIYGPRPPMQVEELMRRKLAKEAAEKELQEFVQLLKSAKAMPSNAKPPKTSWVVEEKIRCKIESLEAYAIDACKNNDQKRIAGMILTAMGMGKTASSALNLLIDIGYFPVHVNLDMLKLNIHTDHPDEIISAAEDLLSEPVDPDKINRKDLTHLKVYAIDVDEADELDDALSATRLQDGRIKVWIHVADPARYVQPGSKVDREAMRRGTSVFLPTATYPMFPEKLAMEGMSLKQGEVCNAVTVSVILHSDGCIAEYSVDNSIIKPTYMLTYESASELLHMNLDEEAELKLLSEAASLRLQWRCEQGAVDTATLETRIKVPNPEDPEPSINLYVENQADPAMRLVSEMMLLCGEVIATYGSCNNIPLPYRGQPQSNIDVSAFAHLPEGPVRSAAIVRIMRGAEIDIRKPIRHGVLGLPGYVQFTSPIRRYLDLLAHYQVKAVLRGDSPPLSAGQLEGMASLINMQTRVVRRLCSSSLQYWMIEFLKRQPKEKKYRALILRFIKDRVAALLLVEVGLQATAWVSLGTQIGDEVQVRVEEAHPRDDIISLKEVVV >Potri.010G010432.1.v4.1 pep chromosome:Pop_tri_v4:10:1794082:1794679:-1 gene:Potri.010G010432.v4.1 transcript:Potri.010G010432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010432.v4.1 MARQADRLYKIGLEGFALIDEWYGCPRRSSTPQEHHQQRYDYGGIQVPMMKMDVINNKEAAKHYGGVVIMDYRKKKLLY >Potri.005G051600.1.v4.1 pep chromosome:Pop_tri_v4:5:3258198:3265173:-1 gene:Potri.005G051600.v4.1 transcript:Potri.005G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051600.v4.1 MEQYEVLEQIGKGSFGSALLVKHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVHFPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKGKDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPGAAELLRHPHLQPYVLKIHLKMNSPRQNTLPFQWPEPHYMKKTKFLVPEDNPLKAHREKRYSLSNDRALNPSISAAEQDSVCSTEGIHDTPSYLNRSLSDSSIESSHEGTVICRSIASKPSNIAKTTKPAPTKASVTTRRRTEPVKKRESLPVTRTPTKKSNPTTRRTSLPLPSRTAIQNSARGTNNSILLQIKSPDVSVNAPRIDRIAEFPLASYEEPFLPFRRTSSTSAQGSSNSLHHGDRSITKDKCTVQISDRTSAKPNFTEAWQGIEHGMFQVDEENGSNSSNQNATAGASSHTSSDMRRRRFDTSSFQQRAEALEGLLEFSARLLQDARYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTAKPED >Potri.005G051600.2.v4.1 pep chromosome:Pop_tri_v4:5:3258478:3265173:-1 gene:Potri.005G051600.v4.1 transcript:Potri.005G051600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051600.v4.1 MHVLSNFTDCSFLLLLPLCILLMALVISFCLYPEKQVISISQMEQYEVLEQIGKGSFGSALLVKHKHEKKKYVLKKIRLARQTDRSRRSAHQEKELISRIRNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKANGVHFPEEKLCKWLVQLLMALDYLHMNHILHRDVKCSNIFLTKGKDIRLGDFGLAKILTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMTSLKPAFKAFDMQALINKINKSIVAPLPTKYSGAFRGLVKSMLRKNPELRPGAAELLRHPHLQPYVLKIHLKMNSPRQNTLPFQWPEPHYMKKTKFLVPEDNPLKAHREKRYSLSNDRALNPSISAAEQDSVCSTEGIHDTPSYLNRSLSDSSIESSHEGTVICRSIASKPSNIAKTTKPAPTKASVTTRRRTEPVKKRESLPVTRTPTKKSNPTTRRTSLPLPSRTAIQNSARGTNNSILLQIKSPDVSVNAPRIDRIAEFPLASYEEPFLPFRRTSSTSAQGSSNSLHHGDRSITKDKCTVQISDRTSAKPNFTEAWQGIEHGMFQVDEENGSNSSNQNATAGASSHTSSDMRRRRFDTSSFQQRAEALEGLLEFSARLLQDARYDELGVLLKPFGPGKVSPRETAIWLTKSFKENTAKPED >Potri.001G425400.2.v4.1 pep chromosome:Pop_tri_v4:1:45600972:45602954:-1 gene:Potri.001G425400.v4.1 transcript:Potri.001G425400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425400.v4.1 MEIDFADNVKHIFYTGEGDALTRDGIIKFPKLRGLSLSNCSFFGPKNFAAQLPSLQILNIDGHKELGNLFAQLQGLTNLEKLCLESLPDMRCIWKGLVLSKLTTLEVVECKRLTLVFTCSMIVSLVQLKVLKILSCEEFNRIIAKDDDENDQILLGDHLQSLCFPNLCEIEIGECNMLKSLFPVTMASGLP >Potri.013G140850.2.v4.1 pep chromosome:Pop_tri_v4:13:15642415:15645057:-1 gene:Potri.013G140850.v4.1 transcript:Potri.013G140850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G140850.v4.1 MVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLTDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETMLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIGVAKSKIREKEPIVWGILQEVMRGHPILLNRAPTLHRLGIQAFQPILVEGRAICLHPLVRKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPISVPTQDMLMGLYVLTSGNRRGICANRYNPFNCRNFQNEKIDANANKDKYIKEPFFCNSYDAIGAYRQKRINLESPLWLRWQLDQGLIASREAPIEGHFGSLGTYHEIYGHYLIVRSIKKKILSIYIRTTVGHIYLYREIEEAIQGFCQACSDGT >Potri.013G140850.1.v4.1 pep chromosome:Pop_tri_v4:13:15643798:15646625:-1 gene:Potri.013G140850.v4.1 transcript:Potri.013G140850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G140850.v4.1 MIDRYKHQQLRIGSVSPQQISAWTNKILPNGEIVGEVTKPYTFHYKTNKPEKDGLFCERIFGPIKSGICACGNYRVIGDEKEEPKFCEQCGVEFVDSRIRRYQMGYIKLACPVTHVWYLKRLPSYIANLLDKPLKQLEGLVYCDFSFARPIAKKPTFLRLRGSFEYEIQSWKYSIPLFFTTQGFDTFRNREISTGAGAIRELLADLDLRIILDYSSLEWKELGEEGPTGNEWEDRKVGRRKDFLVRRVELAKHFIRTNIEPEWMVLCLLPVLPPELRPIIQIDGGKLMSSDINELYRRVIYRNNTLTDLLTTSRSTPGELVMCQEKLVQEAVDTLLDNGIRGQPMRDGHNKVYKSFSDVIEGKEGRFRETMLGKRVDYSGRSVIVVGPSLSLHRCGLPREIAIELFQTFVIRGLIRQHLASNIGVAKSKIREKEPIVWGILQEVMRGHPILLNRAPTLHRLGIQAFQPILVEGRAICLHPLVRKGFNADFDGDQMAVHVPLSLEAQAEARLLMFSHMNLLSPAIGDPISVPTQDMLMGLYVLTSGNRRGICANRYNPFNCRNFQNEKIDANANKDKYIKEPFFCNSYDAIGAYRQKRINLESPLWLRWQLDQGLIASREAPIEGHFGSLGTYHEIYGHYLIVRSIKKKILSIYIRTTVGHIYLYREIEEAIQGFCQACSDGT >Potri.008G198000.1.v4.1 pep chromosome:Pop_tri_v4:8:14009553:14011081:1 gene:Potri.008G198000.v4.1 transcript:Potri.008G198000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198000.v4.1 MGTEVLRPQDCLTERTRVSPCRRRNYYYGNGNGNFANPNVYSSNNNRNNPRFNRKPTAVRSDRSDQRKKQSEPSVSKKSRSVDDFVKIYKNNSSNNKVMEKVTILRRGESLDSKIKSSETASATVSSKKEQGNGGDLVVTSTDRLGPDPKTVSKQIRIVDLRSPVAGNCDMYAGSAFSVSPPPSSLPLPLFSKKKHLSVDDSATRDLRRLLRLDI >Potri.006G171300.1.v4.1 pep chromosome:Pop_tri_v4:6:17397712:17398498:1 gene:Potri.006G171300.v4.1 transcript:Potri.006G171300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171300.v4.1 MHTRYATISIFILLISSTHAVFTRRPHPYLSAANQFMAPQNAARASLRIRPLVWDANLARYAQSYCNQRRYDCDLKHSNGPYGENIFWGSGSGWSPAQAAAAWVSERKWYDYWSNSCAEDQECGHYTQIVWNSTERIGCARVDCFRGRGVFMSCNYDPPGNYIGEKPY >Potri.005G243600.1.v4.1 pep chromosome:Pop_tri_v4:5:23946925:23952854:-1 gene:Potri.005G243600.v4.1 transcript:Potri.005G243600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243600.v4.1 MVYTVDSSNSPRFPRDGVRSSQRREKSSSPKSETVSGEAESINSMLEQSNDWAAIESSDSKKGNAGKAKKPAWNKPTSNGVAEITSPVMDATSWPALSESTKPSPKPSPAESSSKIVSDGSIPTSQGPVIANSPKKQGNSNAKSISATNHTMPVRQRPVRRGSGGSSGSSSGGGHSQNSFTHPPPPPPPPPFPIFPMPPNGFTNLVPAMPDQSPRETLYRGNNWEPRPIGGFVPPPPVVSEHRRPSRRGNFGQPPGDGSHRNNYVGRREQDRGHHGNSRDVHVQQQRAPTRGFPRPSPQNSGTFIPPQSVRPFANPMGYHDMLFIPPPITLEPYPVVPHMQSPTMLMPIPQPLPLLLLNQIEYYFSDMNLSKDGFLKSKMDDEGWVPITLIAGFNRVRIMTNNIQFILECLRTSTLLEVQDDKVRRRNDWMNWLPSSTMPRTDLGFQSSGVSSSDTLTTSFQKISMEDVAADQDSTTAKTCELTIQAGISHQEGAAGTSSSF >Potri.002G166800.1.v4.1 pep chromosome:Pop_tri_v4:2:12777279:12779736:-1 gene:Potri.002G166800.v4.1 transcript:Potri.002G166800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166800.v4.1 MASTMIAAAASSSMVATAIRTSRCSALPCLPPRSSSRSFPIKHVSLSESHRFAPLQIRASSSEESSVDANEVFTDLKEKWDAVENKSTVILYGGGAIVFVWLSSIVIGAINSVPLLPKIMELVGLGYTGWFVYRYLLFKSSRKELATDIEVLKKKIAGTE >Potri.001G434600.1.v4.1 pep chromosome:Pop_tri_v4:1:45992951:45993916:-1 gene:Potri.001G434600.v4.1 transcript:Potri.001G434600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434600.v4.1 MFMEGPANHVSLQNLAHLNLISLNKLIFIFTLSLAQSLPKLESLNIGSCGELKHLIREKDDAREITTESLCFPKLRSLSISYCGKLEYVFPVSVSPSLLNLEEMEVDFADNVKQIFYTGEGDALTRDGIIKFPKLYLCANKVICLFTHVM >Potri.010G072900.1.v4.1 pep chromosome:Pop_tri_v4:10:10102215:10105071:1 gene:Potri.010G072900.v4.1 transcript:Potri.010G072900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072900.v4.1 MEAIDQIEGDDSYLDYLDILLGPADSLTNSICSSGGVVDIDFSSSVGVVTQVKECSRKRERSDLCSRAGTKACREKLRRERLNDRFQDLSSVLEPGRPAKTDKPAILDDAIRVLNQLKNEAQELKETNEKLLEEIRSLKAEKTELREEKLMLKADKEKMEQQLKTLALPTSGFMPTYPAAYHAAANKIPVFPGYGLMPMWHYLPPTACDTSRDHELRPPAA >Potri.015G113700.2.v4.1 pep chromosome:Pop_tri_v4:15:12983264:12988933:-1 gene:Potri.015G113700.v4.1 transcript:Potri.015G113700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113700.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTNARSWIRMLVWSAYLSADVVATVALGNLARSQGDSSGDSSENANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVFQVGVAFYVFSRSWGSGILSFIAIPMFVVGIAKYAERTWVLWSSCSKSFKNSSLSDFWESYRRTGISETPPQDHQQDYLLQAYVFSNISKFMMQDLVPGISSLIRCRELISKNKADGAFKVVEAELGLIYDMLYTKAPLIYSRAGIILRCISSLLSVTAFITFQVKIDKHDYSKTDIAITYLLFAAAVFLEFYAFLCLVLSDRTMIWLIDKGGNGLTNATYSLIRKLTRGERWSRSISQYNLKSSSIEREPPKFLEFLGINEMMRQMHVNRKDLNVGLQGLIFKHLQKKAQKIKEDLHVCDMNHRSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATDICYLVDMKEADAYLNKDGSNVSTEYETSRCLSEYMMYLLVVRPNMLSKGFGDEAYQRTLRGLRDQKDHGDDYDVEVHRALLELRNSESRVYDSEEFQALWKTEKSALVGVIVLANQLLSLEPEKRWETINEVWVEMLAYAAAHCPWKEHTHQLRRGGELLTHVSLLMLHLGLTAQYEINANEYKELDLLTREEQKEYDLARYKYWTGIEATSGSSPDEELKKLEKIVADKDRELQRKNQELEHQQRMLEHKDRELQRKNQELEQLRSSLTASVPQQGIDSFPRSLAAQTDDQGIH >Potri.015G113700.4.v4.1 pep chromosome:Pop_tri_v4:15:12983255:12988395:-1 gene:Potri.015G113700.v4.1 transcript:Potri.015G113700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113700.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTNARSWIRMLVWSAYLSADVVATVALGNLARSQGDSSGDSSENANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVFQVGVAFYVFSRSWGSGILSFIAIPMFVVGIAKYAERTWVLWSSCSKSFKNSSLSDFWESYRRTGISETPPQDHQQDYLLQAYVFSNISKFMMQDLVPGISSLIRCRELISKNKADGAFKVVEAELGLIYDMLYTKAPLIYSRAGIILRCISSLLSVTAFITFQVKIDKHDYSKTDIAITYLLFAAAVFLEFYAFLCLVLSDRTMIWLIDKGGNGLTNATYSLIRKLTRGERWSRSISQYNLKSSSIEREPPKFLEFLGINEMMRQMHVNRKDLNVGLQGLIFKHLQKKAQKIKEDLHVCDMNHRSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATDICYLVDMKEADAYLNKDGSNVSTEYETSRCLSEYMMYLLVVRPNMLSKGFGDEAYQRTLRGLRDQKDHGDDYDVEVHRALLELRNSESRVYDSEEFQALWKTEKSALVGVIVLANQLLSLEPEKRWETINEVWVEMLAYAAAHCPWKEHTHQLRRGGELLTHVSLLMLHLGLTAQYEINANEYKELDLLTREEQKEYDLARYKYWTGIEATSGSSPDEELKKLEKIVADKDRELQRKNQELEHQQRMLEHKDRELQRKNQELEQLRSSLTASVPQQGIDSFPRSLAAQTDDQGIH >Potri.018G038700.1.v4.1 pep chromosome:Pop_tri_v4:18:3080982:3082135:-1 gene:Potri.018G038700.v4.1 transcript:Potri.018G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038700.v4.1 MAAAAAKITAVWRQNFQREIFRLDAALFRFPVVSFDTEFPGFFRNTPIDASDLNRYEDLKHNVDPLRLIQFGITVADASGKIGGTWEFNLRFDLSKDLFVSRSIQFLQDNGIDFDKLRRDGIDFDMFAQLLSRVVAKHRNLCWVTFHGLYDLSHTLRTVTNRPLPHSVAGFTSLLGIVFGDVVDIKYMARFCQGLRGGELGLAAIAKILNVERVGGAHHAGSDSLLTARVYTKMSMVYKIHETPCVGCLYGVSARICKPIAVPNTNGRCFIPYFSTPAPIQRCIPPHCCGFMQAAPPFSHVL >Potri.008G122600.1.v4.1 pep chromosome:Pop_tri_v4:8:7965261:7967830:-1 gene:Potri.008G122600.v4.1 transcript:Potri.008G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G122600.v4.1 MAMGQERSKPPLHNFDLPFLKWGNQRHLRCMKLPDSNTAADAAVRDNKNENNGGRISVERNRSSSRSPPRKFGNYDIRRFKPPRERFGGVEEGIDEVREKIMLDLKTAANEMKDKILRKEVSDDDSEIEEERSLQSQSQSPLRAVVAAVEEAPAEPEVRPWNLRTRRAAIGGGGNSVLGKVSSNNCSPLRSDSAKSPRLRGDKRDRKEKEKEKERAKFSVPLSKKEIEEDFMVMLCQRPARRPKKRPRIVQKQMDALFPGLWLAEVTVDTYKVPELPENGKR >Potri.001G070500.1.v4.1 pep chromosome:Pop_tri_v4:1:5316027:5322058:1 gene:Potri.001G070500.v4.1 transcript:Potri.001G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070500.v4.1 MASGNSGNPPSSPDSPTTSAGFNTDQLPHNTSQNYTDDDDEAAVDPQILPEEPEEPEEEEEEGEDLFNDNFMDDYRRMDEHDQYETVGLDESFEDDRDPDQVIKDRREAELELEARDVRFSNRKLPQLLHDNDTDDDSYRPSKRSRADFRPPRSYDDVDTDDGLQSSPGRSQSRHSREDVPMTDQTEDYQDEDEDGDEGEFEMYRVQGTLREWVTRDEVRRFIAKKFKEFLLTYVNPKNEDGYFEYVRLINEMVSANKCSLEIDYKQFIYVHPNIAIWLADAPQSVLEVMEEVAMKVVFDLHPNYKNIHQKIYVRITNLPVYDQIRNIRQIHLNTMIRVGGVVTRRSGVFPQLQQVKYDCNKCGAILGPFFQNSYSEVKVGSCPECQSKGPFTVNIEQTIYRNYQKLTLQESPGIVPAGRLPRYKEVILLNDLIDCARPGEEIEVTGIYTNNFDLSLNTKNGFPVFSTVIEANYVTKKQDLFSAYKLTQEDKEEIEKLSKDPRIGERIIKSIAPSIYGHENIKTALALAMFGGQEKNVEGKHRLRGDINVLLLGDPGTAKSQFLKYVEKTGQRAVYTTGKGASAVGLTAAVHKDPVTREWTLEGGALVLADKGICLIDEFDKMNDQDRVSIHEAMEQQSISISKAGIVTSLQARCSVIAAANPVGGRYDSSKTFSQNVELTDPIVSRFDILCVVKDVVDPIADEMLAEFVVDSHFKSQAKGANIDDRSYGESQEDQASARPVDPEVLSQDLLKKYFTYAKLNIFPRFHDSDMEKLTQVYAELRRESSHGQGVPIAVRHIESMIRMSEAHARMHLRQHVTEEDVDMAISVLLNSFISTQKYGVQRALQKSFRKYITYKMDYNRMLLNLLQEIVNRALRFEEIISGSASGLTHIDVKVDDLLNMAEERGISDLRPFFSSTDFSAANFKLDEERRMIRHLLPRH >Potri.001G115067.1.v4.1 pep chromosome:Pop_tri_v4:1:9312326:9313087:1 gene:Potri.001G115067.v4.1 transcript:Potri.001G115067.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115067.v4.1 MLFYLTILNLKKFLTEEAPNSLENESDPITVVNYTLNKLDNTSYDVYTLDKKYKAKDTDMKKFIVGKFLDLKIVDSRTVMNQVQKFQLILHDKNVKDMSLNFKNHLKYKHKKMIIKDLVLRLRIEDDNKLFEKRINSSKKSHNKNKKKLGVKGKRIAKKFNGKCFIYNKIVHLVKDYINKGKKANPKKKIAQANVTNERV >Potri.011G044400.1.v4.1 pep chromosome:Pop_tri_v4:11:3472085:3476760:1 gene:Potri.011G044400.v4.1 transcript:Potri.011G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044400.v4.1 MGVSGLLWAVRALVLLQFVTGILGWGKEGHYATCKIAEGYLTAEALAAVKELLPESAEGDLANVCSWPDEIRFHYHWSSALHYVDTPDFRCNYEYFRDCHDSSGRKDRCVTGAIYNYTNQLLSLYQNSNSESNYNLTEALMFLSHFIGDVHQPLHVGFLGDLGGNTIQVHWYRRKSNLHHVWDNMIIESALKTFYSSDLATMIRAIQNNITENWSNQQPLWEHCAHNHTVCPNPYASESISLACKFAYKNASPGSTLEDDYFLSRLPVVEKRLAQGGIRLAATLNRIFASYVKIAQA >Potri.007G062582.1.v4.1 pep chromosome:Pop_tri_v4:7:7202338:7204526:1 gene:Potri.007G062582.v4.1 transcript:Potri.007G062582.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062582.v4.1 MIIRSPEPEVKILVDRDPIKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFDGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQRTSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGAPFFTWSKYSEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLVAEILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIVVAHHMYSMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAVAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDIFSDTAIQLQPVFAQWIQNTHALAPGATASTSLTWGGDDLVAVGGDGCFVTYSIRNRGFLFCSRLIPDKANLGFRFPTWKRGDKVSAWDHVFLGLFWIWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKFKVAPATQPRASRIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >Potri.006G250800.8.v4.1 pep chromosome:Pop_tri_v4:6:24982362:24989429:-1 gene:Potri.006G250800.v4.1 transcript:Potri.006G250800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250800.v4.1 MEEKKGLLPKKEEEPWRVLEFYSGIGGMRYSLMKAGVNAKVVEAFDINDKANDVYEYNFGHRPYQGNIETLTAADLDNYGAHTWLLSPPCQPYTRQGLQKQSGDARAFSFLKILELIPHTKQPPNMLFVENVVGFETSDTRAKMIEILASSEYITQEFILSPLQFGVPYSRPRYFCLAKRKPLSFHHEVCNNKLLWSPSPLFEHNENNVTDGWDQPPVNWDTLIHSCEPVERFLEFKSSSNRVTGGTGTDVSADCSGALENIDERNLNTMSQYIVPLSLIERWESAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATIQPNIKGKESSLKKQGLRYFTPREVANLHSFPDDFQFPEAISLRQCYALLGNSLSVAVVAPLLRYLFSQQS >Potri.006G250800.13.v4.1 pep chromosome:Pop_tri_v4:6:24982341:24989444:-1 gene:Potri.006G250800.v4.1 transcript:Potri.006G250800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250800.v4.1 MEEKKGLLPKKEEEPWRVLEFYSGIGGMRYSLMKAGVNAKVVEAFDINDKANDVYEYNFGHRPYQGNIETLTAADLDNYGAHTWLLSPPCQPYTRQGLQKQSGDARAFSFLKILELIPHTKQPPNMLFVENVVGFETSDTRAKMIEILASSEYITQEFILSPLQFGVPYSRPRYFCLAKRKPLSFHHEVCNNKLLWSPSPLFEHNENNVTDGWDQPPVNWDTLIHSCEPVERFLEFKSSSNRVTGGTGTDVSADCSGALENIDERNLNTMSQYIVPLSLIERWESAMDIVYPDSKRCCCFTKSYYRYVKGTGSLLATIQPNIKGKESSLKKQGLRYFTPREVANLHSFPDDFQFPEAISLRQCYALLGNSLSVAVVAPLLRYLFSQQS >Potri.009G035601.1.v4.1 pep chromosome:Pop_tri_v4:9:4551375:4553406:1 gene:Potri.009G035601.v4.1 transcript:Potri.009G035601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035601.v4.1 MVIASFKSLHFLLGLFVSLKLLALAQEENQFIYHGFTGANLLLSEIAKIHPNGLLELTNTSKRIIGRAFFPFPFQFNTSLFNNSRSLSFSTQFAFAMVPELPTHGGQGIAFTISPSVNFTGALATRYFGILNSTSNGLSSNHLLAVELDAVPSPDLKDINESHVGIDVNSLISIESAPVTYFSDEEKENKSLTLISGHVMHVWIDYDEVEMLLNVTVAPVTRTKPTLPLLSTPLDLSSVMLDSMYVGFSSSTGAVASSHYILGWSFNRGGQAQSLDVSKLPTLPTRRKSRKKPHLRIVVPTITAIILLVAISGDVCIIRRKKYEELREDWEQDYGPQRFSYKDLYKATKGFSDSELLGCGGFGKVYRGVLSSSNMQVAIKKVSHDSRQGAKEFVAEIVSMGRLRHRNLVQLFGYCRRKGELLLWEQVVLHRDVKASNVLLDADLNGRLGDFGLAKFHDHGSTPQTTTVVGTVGYLAPEITRTGKSTTCSDVFSFGTFMLEVACGRRPVESEGPPEEVVLVDWVLECWKRGVILGTVDPRFEGNHVEEEMELVLKLGLLCTHRTPAARPSMRQAVQYLDGNATLPDLPLHGAGIGLVPVSNEASREHVLTMPISSDGISSYSLSSSESILSGR >Potri.013G021200.2.v4.1 pep chromosome:Pop_tri_v4:13:1366824:1372050:-1 gene:Potri.013G021200.v4.1 transcript:Potri.013G021200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021200.v4.1 MFRRHSLDVTDILHPDGQNLLAVLVHPPDHPGTIPPEGGQGGDHEIGKDVATQYVEGWDWMAPIRDRNTGIWDEVSISITGPVKIIDPHLVSTFFDGYKRVYLHTTTELENKSSSVVECDLNIQVTSELEGGVCIVEHLQTQQLSIPSGKRVQHTFPQLFFYKPNLWWPNGMGKQALYNVTITVDVKGHGESDSWSHMYGFRKIESYIDSATGGRLFKVNGQPIFIRGGNWILSDGLLRLSKKRYKTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDINNALKDELKLHPYFESLHNTGKSVQELSASVKDPSNYLDGTRIYIQGSMWDGFANGKGDFTDGPYEIQYPESFFKDDFYNYGFNPEVGSVGVPVAATIKATMPPEGWKIPLFKKLPDGYVEEVPNPIWEYHKYIPYSKPGKVHNQILLYGTPTDLNDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRSAAEPVHVQLNLATYFIEVVNTLSEQLSDVAIEASVWDLEGTCPYYVVHEKLSVPSKKTVPILEMKYPKSKNPKPVYFLLLKLYKMSDYGVISRNFYWLHLPGGDYKLLEPYRKKRVPLKIRSTTFIKGSTYEMEMHVENKSKKPDSKSLTYKNNFVTRIGDGDFDMASVEPVNSAAEEKQEASLFQRIYRRFSGETDDLQVSEINGSDEGVAFFLYFSVHASEPGHKEGEDTRILPVHYSDNYFSLVPGEVMPIKISFEVPPGVTPRIRLHGWNYHSGHKVY >Potri.013G021200.5.v4.1 pep chromosome:Pop_tri_v4:13:1366789:1373708:-1 gene:Potri.013G021200.v4.1 transcript:Potri.013G021200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021200.v4.1 MAEIGKTVLDSGWLAARSTEVHLSGTQLTTTHSPSGLDKPWMEAAVPGTVLGTLVKNKAVPDPFYGLGNEVIIDIADSGREYYTFWFFTTFQCKLSANQHLDLNFRGINYSAELYLNGNKKILPKGMFRRHSLDVTDILHPDGQNLLAVLVHPPDHPGTIPPEGGQGGDHEIGKDVATQYVEGWDWMAPIRDRNTGIWDEVSISITGPVKIIDPHLVSTFFDGYKRVYLHTTTELENKSSSVVECDLNIQVTSELEGGVCIVEHLQTQQLSIPSGKRVQHTFPQLFFYKPNLWWPNGMGKQALYNVTITVDVKGHGESDSWSHMYGFRKIESYIDSATGGRLFKVNGQPIFIRGGNWILSDGLLRLSKKRYKTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDINNALKDELKLHPYFESLHNTGKSVQELSASVKDPSNYLDGTRIYIQGSMWDGFANGKGDFTDGPYEIQYPESFFKDDFYNYGFNPEVGSVGVPVAATIKATMPPEGWKIPLFKKLPDGYVEEVPNPIWEYHKYIPYSKPGKVHNQILLYGTPTDLNDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRSAAEPVHVQLNLATYFIEVVNTLSEQLSDVAIEASVWDLEGTCPYYVVHEKLSVPSKKTVPILEMKYPKSKNPKPVYFLLLKLYKMSDYGVISRNFYWLHLPGGDYKLLEPYRKKRVPLKIRSTTFIKGSTYEMEMHVENKSKKPDSKSLTYKNNFVTRIGDGDFDMASVEPVNSAAEEKQEASLFQRIYRRFSGETDDLQVSEINGSDEGVAFFLYFSVHASEPGHKEGEDTRILPVHYSDNYFSLVPGEVMPIKISFEVPPGVTPRIRLHGWNYHSGHKVY >Potri.013G021200.10.v4.1 pep chromosome:Pop_tri_v4:13:1366884:1371083:-1 gene:Potri.013G021200.v4.1 transcript:Potri.013G021200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021200.v4.1 MGKQALYNVTITVDVKGHGESDSWSHMYGFRKIESYIDSATGGRLFKVNGQPIFIRGGNWILSDGLLRLSKKRYKTDIKFHADMNFNMIRCWGGGLAERPEFYHYCDIYGLLVWQEFWITGDVDGRGVPVSNPNGPLDHDLFMLCARDTVKLLRNHPSLALWVGGNEQVPPPDINNALKDELKLHPYFESLHNTGKSVQELSASVKDPSNYLDGTRIYIQGSMWDGFANGKGDFTDGPYEIQYPESFFKDDFYNYGFNPEVGSVGVPVAATIKATMPPEGWKIPLFKKLPDGYVEEVPNPIWEYHKYIPYSKPGKVHNQILLYGTPTDLNDFCLKAQLVNYIQYRALLEGWTSRMWSKYTGVLIWKTQNPWTGLRGQFYDHLHDQTAGFYGCRSAAEPVHVQLNLATYFIEVVNTLSEQLSDVAIEASVWDLEGTCPYYVVHEKLSVPSKKTVPILEMKYPKSKNPKPVYFLLLKLYKMSDYGVISRNFYWLHLPGGDYKLLEPYRKKRVPLKIRSTTFIKGSTYEMEMHVENKSKKPDSKSLTYKNNFVTRIGDGDFDMASVEPVNSAAEEKQEASLFQRIYRRFSGETDDLQVSEINGSDEGVAFFLYFSVHASEPGHKEGEDTRILPVHYSDNYFSLVPGEVMPIKISFEVPPGVTPRIRLHGWNYHSGHKVY >Potri.001G026100.1.v4.1 pep chromosome:Pop_tri_v4:1:1953655:1959385:-1 gene:Potri.001G026100.v4.1 transcript:Potri.001G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026100.v4.1 MPDVQALVNDFLIKLKKRKIEGSQATARHTAELLRSVISQQRVPYTNQAGALIDAVRAVGEKLIAANPVELAVGNIVRRVLHIIREEDLSLTTAAIAGLNLSAASDGDDDDDGERDEHTVLSAAVVAAAARSTLRPPSLQTLLEDMPESAAIPRTSSSGGDSEGKSKSADKSSRTRKLKHDVIEAVNELIQDITTCHEQIAEQAIEHIHQNEVILTLGSSKTVLEFLYAAKEKKRSFRVFVAEGAPRYQGHLLAKELVARGLQTTLITDSAVFAIISRVNMVIVGAHAVMANGGVIAPVGLNMVALAAQKHAVPFVVLAGSHKLCPLYPHNPEVLLNELRSPSELLDFGEFSDCLDFGSGTGSPLLHVVNPAFDYVPPKLVSLFITDTGGHNPSYMYRLIADYYSADDLVVQRRIASGN >Potri.015G015050.1.v4.1 pep chromosome:Pop_tri_v4:15:1010316:1011325:1 gene:Potri.015G015050.v4.1 transcript:Potri.015G015050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G015050.v4.1 MVLLNCQFSSLSCDDFTVPSPLGILCRQNDSMSHLSLTFFSVYVASPPPHPHLLLTSDRVFQFIFLFVNQITVRENKQRVVKRMMIVLPIASESVIFILEKY >Potri.008G109500.1.v4.1 pep chromosome:Pop_tri_v4:8:6938988:6940708:-1 gene:Potri.008G109500.v4.1 transcript:Potri.008G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109500.v4.1 MYVTRPLSMYKRNPSALSLPPPEGPNSGILIIQDEEAESTCCFGLFKSDQVEDLPFPQNKNLKVRYTTSGGAANIHGDTHAHNTHTVTHTNRVIFIPALNQPLSSNQYYVIERRGRHKGEAHTNATEEDVAACCFGCCIPDLEPGPFNPKDARQQFEIRKRGWGGYVAKSVAPDGFPPGFLRRKGWRVVTSTASDFVLNEAPGLDRNLRDRLPDFHFPLSQRSCASVVVGKWYCPFMFIKEGKLKDQLIASRYYEMTLEQRWEQIFACENSVSEGNSVIVDAVVQREVIAVAGREVEPDERNLVDGIMWFRSSSNGGGEASVGLSLEIVERMKWEQERAGWLGGNESYATVKRVEEFGGIGGWKKLGCYVLVERFVLRRMDGSLVLTYDFKHTHQIRSKWE >Potri.004G118700.1.v4.1 pep chromosome:Pop_tri_v4:4:11236900:11240447:1 gene:Potri.004G118700.v4.1 transcript:Potri.004G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118700.v4.1 MELNTKNPKSATPVKDRHGFQSKLSENSNPNLSHLSPCSKPTNSPSTKSQKSASKNPTLNPNPAIFSPRKKIRERRFVVAKKNSKKETLNSNPTTVDCKCKERYGGSVKKCLCLAYETLRASQEEFFKNKNDVEEKGETDKEKAFDYKVGREVSEEEIEDHLMDQNLDIEDREGSDAQYSCEIEKSGQMGSSKIKRRRNKLLEEARDSAPDNGKVKHLVEAFEKLFTLPNPKESDRTEEEEIKENRKKAMQWALPGFQLPKVLPDTEFSSSFSLPGFQHAEVSETNVSSSSFCPSGFFLTSENLGLDTRISISSSWDGSQGSNSSRSSNGGRRSRRNSAESGATTGGRRLKKKQIKITSQKPFKLRTEQRGRLKEEEFTKKIQEIMTEEEKLRIPIAQGLPWTTDEPECLIKPPVKENTRPIDLKLRSDIRAVERADFDHQVSEKMSLIEQYKMERERQQKLAEEEEVRRLRKELVPKAQPMPYFDRPFIPRRSMKHPTMANEAKLRRHKKIKFCQSWNDVSSYSYDQQSNKEDRIGGG >Potri.008G060500.1.v4.1 pep chromosome:Pop_tri_v4:8:3637174:3639106:1 gene:Potri.008G060500.v4.1 transcript:Potri.008G060500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060500.v4.1 MSTIIYFLCLSLLTSVSKSINDSTSLYLSPTIFFPNYQNMLNSFKIYIYTPSKPFSFSSPTESLFFTSLQASPFVTQNPEEAHLFFVPFASNLSTRSIARFIRDLRMEFPYWNRTLGADHFYVSCAGLGYESDRNLVELKKNSVQISCFPVPEGKFVPHKDISLPPLARITRASHAPGNRTVRYLVRHGGVKDSKLANELRNDSDFLMESEPSNEMTLVERLGSSMFCLFEDGADISGIGEALRFGCVPVMVTDRPMQDLPLMDVLSWQKIAVFVGSGGGIKEMKRVLDRTCKDDECEGTRRLGVAASQHFGWNEIPQPYDSFYMVVYQLWLRRHTIRYPRREFV >Potri.014G186600.2.v4.1 pep chromosome:Pop_tri_v4:14:15517491:15517910:-1 gene:Potri.014G186600.v4.1 transcript:Potri.014G186600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186600.v4.1 MKNIAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTYRRPHSPRLTRVGADTGLPRAPARGWPKIESSAMDALVATPGQARLPAEFKHINKRRKRNLQGFP >Potri.014G067000.1.v4.1 pep chromosome:Pop_tri_v4:14:4215390:4218223:1 gene:Potri.014G067000.v4.1 transcript:Potri.014G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067000.v4.1 MLRRLHLHSTHKTIPALRLTALFFLFLSLSNYGNLVKAYVFIYAGCSQEKYDPNSPFEGNHNSILSSVFSSSSEASYHSFAIGNGSSTPPEGICYGLYQCRGDLRTIDCSRCIESAVNQISLVCPYSYGAALQLEGCYVRYEHVDFLGRLDTSLRFKKCSRSVNNDVEFFKRRDDVLADLPTAMGFKVSSSGSVEGYAQCLGDLSSSDCSACLVAAVAQLKNLCGSAAAADVYLGQCYARYWASGYYDRSSDSSSEDDVGKTVAIIVGVLAGLSILIVFLSFCRRAMD >Potri.014G027950.1.v4.1 pep chromosome:Pop_tri_v4:14:1756329:1756708:-1 gene:Potri.014G027950.v4.1 transcript:Potri.014G027950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027950.v4.1 MLVFLNETPLYLKCPRRERLESLELSNANQEISCTRLHFLFKERGRWVWAGVGRSTNVWAILLSCDVECPRKTAIIARHNS >Potri.005G095500.1.v4.1 pep chromosome:Pop_tri_v4:5:6730430:6733518:1 gene:Potri.005G095500.v4.1 transcript:Potri.005G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095500.v4.1 MALQESTPKAQFRRTAEFHPSVWGDYFINKTPCDEMLFSAWIKEVEVLKEEVRTMVTSATLKPSEKLKLMDIVLRLGIGYHFEGEINDIIEHAYNTYHGNNFDDDLFTVALRFRLLRQYGYNVSSDIFNEFKDGKGNFKDNLIDDVEGLLSLYEASFLGGHGEDTLDKALSFCKTHLESAVAHLVSPLADKVSHALKRPLLKGVPKHEQWHHILIYQQDEACTGAVLKLAKLDFNVVQKCYQDELRIISRWWIDLDFATKLPFARDRVIECFFWGLGAFLEPQFVLARRFITKVLIFLSILDDIYDVHGTIEELELFTEKIERWDTSMEDLPDYMKLFFEALIGFFDEIEQETGKEGRPYCVHYSREMLKNQARAYLIEARWFNQDCVPQLEEYRRGGVYTSCYPMAAVAWLCGMAETGSKEVFEWMLKNPKIVVASSDIGRLMDDITSHEFEQERGHVASAVECCMKQYGVSKKEAYDMLNKMVESDWKDINEELLKPSTVPRQVLILMLNLARIIDVVYKDYDGYTEAKNATKEMLTAFLVDPLPVVA >Potri.001G139300.1.v4.1 pep chromosome:Pop_tri_v4:1:11344013:11347231:-1 gene:Potri.001G139300.v4.1 transcript:Potri.001G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139300.v4.1 MQLLVLNLQSLNLGLMGIRRYSRTCLLKPGLLRSLLTWRRGCLYVLFSDLSALQLEDNVSHYSSMSETDSDVDKFMVPRIKFKNPKRCELSRLVVELLKTLNWEVARQVKFSKSVNVYGFFYSINAFRTIVHVFALAGLQREAQYLLTDIVFYYKEENLNVSGLFSTFLDSPECVGRSATVFSLLIKVFASNKMLADAKDVFMQAKKIGVELNISSCNFLLKCLAEGDKLEAVRSLFDDLKNSGPSPNVYTYTIMINFYCKERHGQNIDMEQASLILEEMEKKGENPTVVTYGVYIHGLCRVGSIEDAWNKIQDLRSSNQPLNIYCYNALIQGFCQKGRPDEALKLLEEMKDEGISPDIYSYSILVNAFCTEGDIESGMNLIQEMEHCNKKPPLVCCTSVLMGLRTKGLVNDCLNFFHELSAKGYKHDLISYSTLIHGFLKGHNVKSANNLVHEMRKNGLVPDYIIYISLIREYCRKGCLKEALKNFYTMLQDGLQPDIITCNHIVDQYCSRGQFEEALIYINQMKDQNILPNSYTYSVIINWLCKYQAVEKAWEVLPVMFKDNIFPSVIHYTTIMDGYAKQFKNPMKAWKLYRTMPKLGCKPDNVTLTVLVDMFSKRGKMSKALNLFKEMAEEGLSRDEFAFTAIIDGYCRVGNVKRAWSMYKKMKRNNVTPNVKTYTCLVDGFCKLKRLDMATMLIDDMKRNSVTPDVKTYTALIAGYQRIENIDRAYEVFDEMKKKGTLPDHIAYLTLGLGADVVTED >Potri.010G094100.1.v4.1 pep chromosome:Pop_tri_v4:10:11819692:11824859:-1 gene:Potri.010G094100.v4.1 transcript:Potri.010G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094100.v4.1 MALKSSSADGRTRSSMQIFIVAGLCCFFYILGAWQRSGFGKADNLAERIIKSTEDCNIIPNLTFETHHGGDIGPDDDSESKSKIYQPCPSRFTDYTPCQDQSRAMTFPRDNMIYRERHCPPQQEKLHCLIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVLPIANGTVRTALDTGCGVASLGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVFGTVKLPYPSRAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWVLSGPPINWKNNYRSWQRPKEELQEEQRKIEETAKLLCWDKKYENGEMAIWQKRLNADSCRGRQDDSRATLCKSTDTDDAWYKQMEACVTPYPDSGSSDEVAGGQLKVFPERLYAVPPRVASGSVPGVSAKTYQVYNKEWKKHVNAYKKINKLLDSGRYRNIMDMNAGMGGFAAALESPKLWVMNVVPTIAEKSTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHASGVFSLYKDRCNMEDILLEMDRILRPEGAVIFRDEVNVLIKVRKMVGQMRWHTKMVDHEDGPLVPEKVLVAVKQYWVAGGNSTSTQ >Potri.010G094100.2.v4.1 pep chromosome:Pop_tri_v4:10:11819863:11824848:-1 gene:Potri.010G094100.v4.1 transcript:Potri.010G094100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094100.v4.1 MALKSSSADGRTRSSMQIFIVAGLCCFFYILGAWQRSGFGKADNLAERIIKSTEDCNIIPNLTFETHHGGDIGPDDDSESKSKIYQPCPSRFTDYTPCQDQSRAMTFPRDNMIYRERHCPPQQEKLHCLIPAPKGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVLPIANGTVRTALDTGCGVASLGAYLWSRNVIAMSFAPRDSHEAQVQFALERGVPAVIGVFGTVKLPYPSRAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWVLSGPPINWKNNYRSWQRPKEELQEEQRKIEETAKLLCWDKKYENGEMAIWQKRLNADSCRGRQDDSRATLCKSTDTDDAWYKQMEACVTPYPDSGSSDEVAGGQLKVFPERLYAVPPRVASGSVPGVSAKTYQVYNKEWKKHVNAYKKINKLLDSGRYRNIMDMNAGMGGFAAALESPKLWVMNVVPTIAEKSTLGVIYERGLIGIYHDWCEAFSTYPRTYDLIHASGVFSLYKDRCNMEDILLEMDRILRPEGAVIFRDEVNVLIKVRKMVGQMRWHTKMVDHEDGPLVPEKVLVAVKQYWVAGGNSTSTQ >Potri.007G124300.3.v4.1 pep chromosome:Pop_tri_v4:7:14090199:14092221:1 gene:Potri.007G124300.v4.1 transcript:Potri.007G124300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124300.v4.1 MSTDPKRKGSSSATTPASLTKILHRSLSHLKSRTPNNITPVSSLSLKSVWLYDWWLAKAEGDGLAVSGFTFREGVGARLFCSAAIVRRHYATILESKDGITVTISGFINRDRTRENGFSFQICEHFQLGFPYSWLELATRLGGEESANRGSPPGKSGFDEPKMSSGTSASAASVSFDDLPVTRIRDIATHPLGDSKDCALADILDHFCSNDAKLSPLATSPGSKNPVTVANAVLDETPRKNKRRADRKYKDGGIIPRRVDIVTGEHITPSRGIVTRSMSRRRNFREIREESPSSNPTVSCKASRNYPEKVLPSSAFLSTSETTKNLAGGTMSTSARRDSWATTSTEKIMEVPDVPLVRRSSSRPNIRKDYREK >Potri.015G016450.1.v4.1 pep chromosome:Pop_tri_v4:15:1126116:1127033:1 gene:Potri.015G016450.v4.1 transcript:Potri.015G016450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016450.v4.1 MENFWKILRKTDAKKRLSVPISFLRSLPPFKLGSHAVTFEATDEKGEAWAFQCSIRKRGQYPKPVLTRGWVAFVKSKKLQVGDKVRFMKHKNRATAAISYKVRVEKEIKVFGAIFGYARI >Potri.010G153400.1.v4.1 pep chromosome:Pop_tri_v4:10:16271405:16273332:-1 gene:Potri.010G153400.v4.1 transcript:Potri.010G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153400.v4.1 MGKATSFKNEFTFDERLGESKNIIVKYPDRVPVIIERYSRTDLPEMEKRKFLVPRDMTIGQFIHILSSRLELTPGKALFVFVKNTLPQTASQMDSIYESYKDDDGFLYMCYSSEKTFG >Potri.001G334900.1.v4.1 pep chromosome:Pop_tri_v4:1:34331610:34336328:-1 gene:Potri.001G334900.v4.1 transcript:Potri.001G334900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334900.v4.1 MIHACYFTKALNLRALTARRLLSFGLDHPNKCCVSSIRMESSSNCNLRASSSESRGALIVLEGLDRSGKTSQSSRLLSYLEGLGHSTELWRFPDRSTSVGQMISAYLSNKSHLDDRTIHLLFSANRWEKRSLMETQLKNGTTLVVDRYSYSGVAFSSAKGLDIEWCKAPEVGLLAPDIVLYLDIPPEKAAERGGYGGERYEQLEFQKKVAKCYQALCDSSWKVIDACQPIEDIEKQLQDAVLDHVMACERGRPLSYLWPR >Potri.001G334900.3.v4.1 pep chromosome:Pop_tri_v4:1:34331610:34336126:-1 gene:Potri.001G334900.v4.1 transcript:Potri.001G334900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334900.v4.1 MESSSNCNLRASSSESRGALIVLEGLDRSGKTSQSSRLLSYLEGLGHSTELWRFPDRSTSVGQMISAYLSNKSHLDDRTIHLLFSANRWEKRSLMETQLKNGTTLVVDRYSYSGVAFSSAKGLDIEWCKAPEVGLLAPDIVLYLDIPPEKAAERGGYGGERYEQLEFQKKVAKCYQALCDSSWKVIDACQPIEDIEKQLQDAVLDHVMACERGRPLSYLWPR >Potri.001G334900.2.v4.1 pep chromosome:Pop_tri_v4:1:34333266:34337358:-1 gene:Potri.001G334900.v4.1 transcript:Potri.001G334900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334900.v4.1 MESSSNCNLRASSSESRGALIVLEGLDRSGKTSQSSRLLSYLEGLGHSTELWRFPDRSTSVGQMISAYLSNKSHLDDRTIHLLFSANRWEKRSLMETQLKNGTTLVVDRYSYSGVAFSSAKGLDIEWCKAPEVGLLAPDIVLYLDIPPEKAAERGGYGGERYEQLEFQKKVAKCYQALCDSSWKVIDACQPIEDIEKQLQDAVLDHVMACERGRPLSYLWPR >Potri.011G133900.1.v4.1 pep chromosome:Pop_tri_v4:11:16699540:16700417:-1 gene:Potri.011G133900.v4.1 transcript:Potri.011G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133900.v4.1 MPDSGGGGCGRCCCNFIFTLGLTALFMWLSLRTSSPNCLLSKFYLPLDQTSNSSNLTSLEFELTLKNTNKDKGVYYDPINVTFYTPNRSHVIGNFTITKFYQGHKKSARKNGTISNIDRDVVSQAAPANGSMALFPVDLATSVRYKILMFKTKRYKIRVEANVEVIATIIKVNHKDIKLKSNADKIRSYCGQMGFFFANLLVLGLLIF >Potri.001G035900.2.v4.1 pep chromosome:Pop_tri_v4:1:2615664:2621741:1 gene:Potri.001G035900.v4.1 transcript:Potri.001G035900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035900.v4.1 MSGDVQRVVVIQDATREINLIAIRWVLHGFSLNLGDAIILFGAFHQVNNLSFTESETLLGYRFKVESRSMFGVNPKFIAEEVSKRKEECINNVEVIEIAKQCEKEQIEFHVEVRAGPNPKELALKAAKNFRATWVVLDRQMKRDKNYFMENLPCGISRMKHNNTIEQLRGPKSTKVTSETKVKSKSEDKIKVDEKDKGKGVEKDEQEEEKVPYDEMIPGSPKRKRSGRASSSTSTTGYHEQCTSSSTFKTAKQAYMNFQEEEKNTTSTEQDTSGKQSLAASTEFEQREKEAVIPNEQNNIDDWMGGSPKDEVFRNSICSVCKNRRPKIGWKRDFSYAEIHAATEGSSQTKFLSEGGFGSVYRGDLDGLAIAVKQHNGASFQGEKEFKSEVEVLSKVRHENLVVLLGSCSKGSDRLLVYEYVCNGSLDQHLSKHARKPLTWEKRMKIALGAARGLKYLHENNIIHRDMRPNNILITHDHEALLGDFGLARTQYEDSEQSWETRVVGTLGYLAPEYAESGKVSTKTDVYSFGVVLLQLITGLQITDKKLAGKSLVGWARPLLKERNYPDLIDERILESHDVHQLFWMVRVAEKCLSKDPQKRLTMNKVVYALNYIIESNSTCSLGEIIPAKSDSPSSAGYSYESQDYCDTSSTAETLSTSFAADSMSTSSMGDMSL >Potri.001G035900.3.v4.1 pep chromosome:Pop_tri_v4:1:2615664:2621741:1 gene:Potri.001G035900.v4.1 transcript:Potri.001G035900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035900.v4.1 MFGVNPKFIAEEVSKRKEECINNVEVIEIAKQCEKEQIEFHVEVRAGPNPKELALKAAKNFRATWVVLDRQMKRDKNYFMENLPCGISRMKHNNTIEQLRGPKSTKVTSETKVKSKSEDKIKVDEKDKGKGVEKDEQEEEKVPYDEMIPGSPKRKRSGRASSSTSTTGYHEQCTSSSTFKTAKQAYMNFQEEEKNTTSTEQDTSGKQSLAASTEFEQREKEAVIPNEQNNIDDWMGGSPKDEVFRNSICSVCKNRRPKIGWKRDFSYAEIHAATEGSSQTKFLSEGGFGSVYRGDLDGLAIAVKQHNGASFQGEKEFKSEVEVLSKVRHENLVVLLGSCSKGSDRLLVYEYVCNGSLDQHLSKHARKPLTWEKRMKIALGAARGLKYLHENNIIHRDMRPNNILITHDHEALLGDFGLARTQYEDSEQSWETRVVGTLGYLAPEYAESGKVSTKTDVYSFGVVLLQLITGLQITDKKLAGKSLVGWARPLLKERNYPDLIDERILESHDVHQLFWMVRVAEKCLSKDPQKRLTMNKVVYALNYIIESNSTCSLGEIIPAKSDSPSSAGYSYESQDYCDTSSTAETLSTSFAADSMSTSSMGDMSL >Potri.004G067750.1.v4.1 pep chromosome:Pop_tri_v4:4:5766598:5766891:-1 gene:Potri.004G067750.v4.1 transcript:Potri.004G067750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067750.v4.1 MFLGFFFLSFFLSRGLNGFIVSYSKQSQLDHGSAGIVIYIPSYLFQWIHV >Potri.004G094400.1.v4.1 pep chromosome:Pop_tri_v4:4:8076801:8078698:1 gene:Potri.004G094400.v4.1 transcript:Potri.004G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094400.v4.1 MSAVNLTNVTVLDNPAPFLSPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQADPPDPSKIRDEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYEDEQLREEPPPKVLIDKVQRNILSDKPRVTKFPINFYPENTEGAEEPLANDQPAETDGNEERLPASPHHSSDKEGP >Potri.004G153100.1.v4.1 pep chromosome:Pop_tri_v4:4:17402830:17404637:-1 gene:Potri.004G153100.v4.1 transcript:Potri.004G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153100.v4.1 MGVDVLSWRLILCSLLVLLFAKDCSARKMTHTRWREVPDDDTNGLQHNREPDLQQLSKDDHLLTRHSRDGDHRNHEHAHLSSHMNHMDPSDKIFFTIEDLQVGKTLPLYFYYRDPSTSPHLISREEANSIPFSLAKLPYLLEFFSLSKESPQAKAMEYTLTQCELELMEGETKFCATSLESMLDFAQATFGSETQVKALTTNHLRKPVAPIQNYTIVEEPREILVPKVIGCHTMPYPYVVYYCHSQEGGNRLFEISLGGENGDRVQAIGVCHMDTSRWDPDNPSFRVLKIKPGTAPVCHIFPADNIVWVPLLS >Potri.017G063200.2.v4.1 pep chromosome:Pop_tri_v4:17:5295977:5298159:-1 gene:Potri.017G063200.v4.1 transcript:Potri.017G063200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063200.v4.1 MLFKTHLFYFKPCTCSSSMDRDISKLQLEEAAALRFENVGSICQSIHDKSGCKNKEETGSSASRCRKSFSFITERGFLKRVLGSNDKDRSLESERESIHNVRKSVSNSQEMEYETSFKEPESDIDQEAKYMNKVDRSIHQGANVKAVELEDMAEEDIITERNPNEEVVVDTEVMEEAVSNEKEGAREDSMLCFQESPSFRVYCAHNVSVDGDGNEEKGDKDKENKSLKETTQKDQRTRQ >Potri.017G063200.1.v4.1 pep chromosome:Pop_tri_v4:17:5295976:5298160:-1 gene:Potri.017G063200.v4.1 transcript:Potri.017G063200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063200.v4.1 MLFKTHLFYFKPCTCSSSMDRDISKLQLEEAAALRFENVGSICQSIHDKSGCKNKEETGSSASRCRKSFSFITERGFLKRVLGSNDKDRSLESERESIHNVRKSVSNSQEMEYETSFKEPESDIDQEAKYMNKVDRSIHQGANVKAVELEDMAEEDIITERNPNEEVVVDTEVMEEAVSNEKEGAREDSMLCFQESPSFRVYCAHNVSVDGDGNEEKGDKDKENKSLKETTQKLQLDT >Potri.006G021800.1.v4.1 pep chromosome:Pop_tri_v4:6:1469211:1470500:1 gene:Potri.006G021800.v4.1 transcript:Potri.006G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021800.v4.1 MKNIWDMKAKGEIWKFPRSIMEGNMKVWILQLVALWVLLVAIHDHHVVANDGGVYTHPEPIIPLHASEEGRKAINNSRSFSIVGAGSKLCVSVNRFVPQLVDCKADTKEQKWEFLADGTLRTETNHSMCLTCNDLTQGSDILVLPCKFSSSDYIFWKYRSSDKAIINTASTTEDLVMDLREGQTEVPQQIFLWESNDGDNQMWYLEP >Potri.006G021800.2.v4.1 pep chromosome:Pop_tri_v4:6:1469211:1470500:1 gene:Potri.006G021800.v4.1 transcript:Potri.006G021800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021800.v4.1 MLQKTTSTLAFFLIFSITGILTGEIWKFPRSIMEGNMKVWILQLVALWVLLVAIHDHHVVANDGGVYTHPEPIIPLHASEEGRKAINNSRSFSIVGAGSKLCVSVNRFVPQLVDCKADTKEQKWEFLADGTLRTETNHSMCLTCNDLTQGSDILVLPCKFSSSDYIFWKYRSSDKAIINTASTTEDLVMDLREGQTEVPQQIFLWESNDGDNQMWYLEP >Potri.005G229300.4.v4.1 pep chromosome:Pop_tri_v4:5:22890131:22896618:1 gene:Potri.005G229300.v4.1 transcript:Potri.005G229300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229300.v4.1 MLSNGRGHGGKHVYDGVFGGGGGGAVKPGSRVEDYREIFGGFGATGSSIPILDVPELNENGNVSSVGAQRIDYAKIFGGFGDADFGLPLEEFLAKPKKVKSSINGTRSPAEAGSRNAGSKHSNVSKDQKGSSPEPSFQRFGGVKQFNVSYNKSNPGNKNGTNGMTHVAQLHAVPGYTFLVDEVTPSKMAEGGKPARSALDDACLNVNGSKSVKEDAARRKAVSGPQPSIDTHTFRSLAEFQKKSSRPRSMSNDMPFDAFEIGLGRHPPSSSPSNSSYNNGGENTSMNSKFGVSRNDASRDALGDYSPAFSDEEIDANSDAAASVAALRKAIEEAQMKIKIAKELMERKKEGLQNRAKTSFNNGWKAQKSGVKTAERSKRSNELGDQEMHEKEDTPKQVITGLPEHNVTKASQLPQSFEDEKKSSFANNVVRKTHSMESKSTRTDSRLEEAEDWESTEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKMPERGEETFKEHKVERELNSVVGAFQWNLYANFVKPAGELHNQEENEEKMRISNNHEEAEQTSIVSDDWEDCETKLEKLHHPYKKAEFPIREFEENGEMKELKDAQDSVETEKKQREALDHKEMENRSDEVPITDDEYDGSLDDIYEKEANVEGQQEDWDRVECGMKQGGWNLKENEEKQNDLHRGEISGEDGGIEGSAKLEELKEDEEILKRSDQMNEIEKRGEKMCEGIETERIRSESHQGGEDRKAMEVTEQSLRYEGGNLETAKDEQEKKNLGESDNAWGRTTNFAAGDLKTQVLTAEENGRLMEVTEFSPLLQGTEQESKAVKDANSPEEQDCEIACLAQGFIGLDRIKKQTADVTEDLLIGENGVYFGENDVNFENKQNHHVTEYKSMPNQEKCVEDVTIELDDNGDVDICEPEVHAINEESEKSSISSHNERWSSDETESLCDPECCIEEAACEFGENNNDINESEVTANHENSFESSHDDRWVDNGINTKASQQPCIFKGQGEITEKSVEEELSQSTSKKEENCCKNLAMEEKECEDDLRKEVEVEKKHLKKKEKMKEGEVEREKERIAVERAIREARERAFAEARERAAIERAAAEAHQRSKAEVRERLEKAPSEANNKSAAEKASSEAKLKAERAAVERATAEARQRALEKALSEKAAFEARNQAEKSTAERLSSISKANGMNSRDKQYNDPGPSSSSRYPGSSNHGESANGGNGESAAQRSKATLERHQRTAERAAKALAEKNMRDLLAQKEQAERNRLAETLDADVKRWSSGKERNLRALLSTLQYILCPDSGWQSIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQQKYICEKVFDLLKDAWNKLSAEER >Potri.005G229300.1.v4.1 pep chromosome:Pop_tri_v4:5:22890097:22896653:1 gene:Potri.005G229300.v4.1 transcript:Potri.005G229300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229300.v4.1 MVMFLLLVPKGLITPRFLVVLEMLILACLLKNSLLNQRKSRVLSMELGLQLKQGRGMRGQNILMFQKIKKVHHLNHLSRVPGYTFLVDEVTPSKMAEGGKPARSALDDACLNVNGSKSVKEDAARRKAVSGPQPSIDTHTFRSLAEFQKKSSRPRSMSNDMPFDAFEIGLGRHPPSSSPSNSSYNNGGENTSMNSKFGVSRNDASRDALGDYSPAFSDEEIDANSDAAASVAALRKAIEEAQMKIKIAKELMERKKEGLQNRAKTSFNNGWKAQKSGVKTAERSKRSNELGDQEMHEKEDTPKQVITGLPEHNVTKASQLPQSFEDEKKSSFANNVVRKTHSMESKSTRTDSRLEEAEDWESTEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKMPERGEETFKEHKVERELSSEEFFEAADYEEHREMPSEYEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEEAFKEHKVERELSSEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEETFKEYKVERELNSVVGAFQWNLYANFVKPAGELHNQEENEEKMRISNNHEEAEQTSIVSDDWEDCETKLEKLHHPYKKAEFPIREFEENGEMKELKDAQDSVETEKKQREALDHKEMENRSDEVPITDDEYDGSLDDIYEKEANVEGQQEDWDRVECGMKQGGWNLKENEEKQNDLHRGEISGEDGGIEGSAKLEELKEDEEILKRSDQMNEIEKRGEKMCEGIETERIRSESHQGGEDRKAMEVTEQSLRYEGGNLETAKDEQEKKNLGESDNAWGRTTNFAAGDLKTQVLTAEENGRLMEVTEFSPLLQGTEQESKAVKDANSPEEQDCEIACLAQGFIGLDRIKKQTADVTEDLLIGENGVYFGENDVNFENKQNHHVTEYKSMPNQEKCVEDVTIELDDNGDVDICEPEVHAINEESEKSSISSHNERWSSDETESLCDPECCIEEAACEFGENNNDINESEVTANHENSFESSHDDRWVDNGINTKASQQPCIFKGQGEITEKSVEEELSQSTSKKEENCCKNLAMEEKECEDDLRKEVEVEKKHLKKKEKMKEGEVEREKERIAVERAIREARERAFAEARERAAIERAAAEAHQRSKAEVRERLEKAPSEANNKSAAEKASSEAKLKAERAAVERATAEARQRALEKALSEKAAFEARNQAEKSTAERLSSISKANGMNSRDKQYNDPGPSSSSRYPGSSNHGESANGGNGESAAQRSKATLERHQRTAERAAKALAEKNMRDLLAQKEQAERNRLAETLDADVKRWSSGKERNLRALLSTLQYILCPDSGWQSIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQQKYICEKVFDLLKDAWNKLSAEER >Potri.005G229300.5.v4.1 pep chromosome:Pop_tri_v4:5:22890103:22896618:1 gene:Potri.005G229300.v4.1 transcript:Potri.005G229300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229300.v4.1 MTHVAQLHAVPGYTFLVDEVTPSKMAEGGKPARSALDDACLNVNGSKSVKEDAARRKAVSGPQPSIDTHTFRSLAEFQKKSSRPRSMSNDMPFDAFEIGLGRHPPSSSPSNSSYNNGGENTSMNSKFGVSRNDASRDALGDYSPAFSDEEIDANSDAAASVAALRKAIEEAQMKIKIAKELMERKKEGLQNRAKTSFNNGWKAQKSGVKTAERSKRSNELGDQEMHEKEDTPKQVITGLPEHNVTKASQLPQSFEDEKKSSFANNVVRKTHSMESKSTRTDSRLEEAEDWESTEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKMPERGEETFKEHKVERELSSEEFFEAADYEEHREMPSEYEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEEAFKEHKVERELSSEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEETFKEYKVERELNSVVGAFQWNLYANFVKPAGELHNQEENEEKMRISNNHEEAEQTSIVSDDWEDCETKLEKLHHPYKKAEFPIREFEENGEMKELKDAQDSVETEKKQREALDHKEMENRSDEVPITDDEYDGSLDDIYEKEANVEGQQEDWDRVECGMKQGGWNLKENEEKQNDLHRGEISGEDGGIEGSAKLEELKEDEEILKRSDQMNEIEKRGEKMCEGIETERIRSESHQGGEDRKAMEVTEQSLRYEGGNLETAKDEQEKKNLGESDNAWGRTTNFAAGDLKTQVLTAEENGRLMEVTEFSPLLQGTEQESKAVKDANSPEEQDCEIACLAQGFIGLDRIKKQTADVTEDLLIGENGVYFGENDVNFENKQNHHVTEYKSMPNQEKCVEDVTIELDDNGDVDICEPEVHAINEESEKSSISSHNERWSSDETESLCDPECCIEEAACEFGENNNDINESEVTANHENSFESSHDDRWVDNGINTKASQQPCIFKGQGEITEKSVEEELSQSTSKKEENCCKNLAMEEKECEDDLRKEVEVEKKHLKKKEKMKEGEVEREKERIAVERAIREARERAFAEARERAAIERAAAEAHQRSKAEVRERLEKAPSEANNKSAAEKASSEAKLKAERAAVERATAEARQRALEKALSEKAAFEARNQAEKSTAERLSSISKANGMNSRQDKQYNDPGPSSSSRYPGSSNHGESANGGNGESAAQRSKATLERHQRTAERAAKALAEKNMRDLLAQKEQAERNRLAETLDADVKRWSSGKERNLRALLSTLQYILCPDSGWQSIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQQKYICEKVFDLLKDAWNKLSAEER >Potri.005G229300.6.v4.1 pep chromosome:Pop_tri_v4:5:22890103:22896618:1 gene:Potri.005G229300.v4.1 transcript:Potri.005G229300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229300.v4.1 MTHVAQLHAVPGYTFLVDEVTPSKMAEGGKPARSALDDACLNVNGSKSVKEDAARRKAVSGPQPSIDTHTFRSLAEFQKKSSRPRSMSNDMPFDAFEIGLGRHPPSSSPSNSSYNNGGENTSMNSKFGVSRNDASRDALGDYSPAFSDEEIDANSDAAASVAALRKAIEEAQMKIKIAKELMERKKEGLQNRAKTSFNNGWKAQKSGVKTAERSKRSNELGDQEMHEKEDTPKQVITGLPEHNVTKASQLPQSFEDEKKSSFANNVVRKTHSMESKSTRTDSRLEEAEDWESTEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKMPERGEETFKEHKVERELSSEEFFEAADYEEHREMPSEYEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEEAFKEHKVERELSSEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEETFKEYKVERELNSVVGAFQWNLYANFVKPAGELHNQEENEEKMRISNNHEEAEQTSIVSDDWEDCETKLEKLHHPYKKAEFPIREFEENGEMKELKDAQDSVETEKKQREALDHKEMENRSDEVPITDDEYDGSLDDIYEKEANVEGQQEDWDRVECGMKQGGWNLKENEEKQNDLHRGEISGEDGGIEGSAKLEELKEDEEILKRSDQMNEIEKRGEKMCEGIETERIRSESHQGGEDRKAMEVTEQSLRYEGGNLETAKDEQEKKNLGESDNAWGRTTNFAAGDLKTQVLTAEENGRLMEVTEFSPLLQGTEQESKAVKDANSPEEQDCEIACLAQGFIGLDRIKKQTADVTEDLLIGENGVYFGENDVNFENKQNHHVTEYKSMPNQEKCVEDVTIELDDNGDVDICEPEVHAINEESEKSSISSHNERWSSDETESLCDPECCIEEAACEFGENNNDINESEVTANHENSFESSHDDRWVDNGINTKASQQPCIFKGQGEITEKSVEEELSQSTSKKEENCCKNLAMEEKECEDDLRKEVEVEKKHLKKKEKMKEGEVEREKERIAVERAIREARERAFAEARERAAIERAAAEAHQRSKAEVRERLEKAPSEANNKSAAEKASSEAKLKAERAAVERATAEARQRALEKALSEKAAFEARNQAEKSTAERLSSISKANGMNSRDKQYNDPGPSSSSRYPGSSNHGESANGGNGESAAQRSKATLERHQRTAERAAKALAEKNMRDLLAQKEQAERNRLAETLDADVKRWSSGKERNLRALLSTLQYILCPDSGWQSIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQQKYICEKVFDLLKDAWNKLSAEER >Potri.005G229300.3.v4.1 pep chromosome:Pop_tri_v4:5:22890134:22896618:1 gene:Potri.005G229300.v4.1 transcript:Potri.005G229300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229300.v4.1 MLSNGRGHGGKHVYDGVFGGGGGGAVKPGSRVEDYREIFGGFGATGSSIPILDVPELNENGNVSSVGAQRIDYAKIFGGFGDADFGLPLEEFLAKPKKVKSSINGTRSPAEAGSRNAGSKHSNVSKDQKVPGYTFLVDEVTPSKMAEGGKPARSALDDACLNVNGSKSVKEDAARRKAVSGPQPSIDTHTFRSLAEFQKKSSRPRSMSNDMPFDAFEIGLGRHPPSSSPSNSSYNNGGENTSMNSKFGVSRNDASRDALGDYSPAFSDEEIDANSDAAASVAALRKAIEEAQMKIKIAKELMERKKEGLQNRAKTSFNNGWKAQKSGVKTAERSKRSNELGDQEMHEKEDTPKQVITGLPEHNVTKASQLPQSFEDEKKSSFANNVVRKTHSMESKSTRTDSRLEEAEDWESTEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKMPERGEETFKEHKVERELSSEEFFEAADYEEHREMPSEYEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEEAFKEHKVERELSSEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEETFKEYKVERELNSVVGAFQWNLYANFVKPAGELHNQEENEEKMRISNNHEEAEQTSIVSDDWEDCETKLEKLHHPYKKAEFPIREFEENGEMKELKDAQDSVETEKKQREALDHKEMENRSDEVPITDDEYDGSLDDIYEKEANVEGQQEDWDRVECGMKQGGWNLKENEEKQNDLHRGEISGEDGGIEGSAKLEELKEDEEILKRSDQMNEIEKRGEKMCEGIETERIRSESHQGGEDRKAMEVTEQSLRYEGGNLETAKDEQEKKNLGESDNAWGRTTNFAAGDLKTQVLTAEENGRLMEVTEFSPLLQGTEQESKAVKDANSPEEQDCEIACLAQGFIGLDRIKKQTADVTEDLLIGENGVYFGENDVNFENKQNHHVTEYKSMPNQEKCVEDVTIELDDNGDVDICEPEVHAINEESEKSSISSHNERWSSDETESLCDPECCIEEAACEFGENNNDINESEVTANHENSFESSHDDRWVDNGINTKASQQPCIFKGQGEITEKSVEEELSQSTSKKEENCCKNLAMEEKECEDDLRKEVEVEKKHLKKKEKMKEGEVEREKERIAVERAIREARERAFAEARERAAIERAAAEAHQRSKAEVRERLEKAPSEANNKSAAEKASSEAKLKAERAAVERATAEARQRALEKALSEKAAFEARNQAEKSTAERLSSISKANGMNSRDKQYNDPGPSSSSRYPGSSNHGESANGGNGESAAQRSKATLERHQRTAERAAKALAEKNMRDLLAQKEQAERNRLAETLDADVKRWSSGKERNLRALLSTLQYILCPDSGWQSIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQQKYICEKVFDLLKDAWNKLSAEER >Potri.005G229300.2.v4.1 pep chromosome:Pop_tri_v4:5:22890098:22896700:1 gene:Potri.005G229300.v4.1 transcript:Potri.005G229300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229300.v4.1 MLSNGRGHGGKHVYDGVFGGGGGGAVKPGSRVEDYREIFGGFGATGSSIPILDVPELNENGNVSSVGAQRIDYAKIFGGFGDADFGLPLEEFLAKPKKVKSSINGTRSPAEAGSRNAGSKHSNVSKDQKGSSPEPSFQRFGGVKQFNVSYNKSNPGNKNGTNGMTHVAQLHAVPGYTFLVDEVTPSKMAEGGKPARSALDDACLNVNGSKSVKEDAARRKAVSGPQPSIDTHTFRSLAEFQKKSSRPRSMSNDMPFDAFEIGLGRHPPSSSPSNSSYNNGGENTSMNSKFGVSRNDASRDALGDYSPAFSDEEIDANSDAAASVAALRKAIEEAQMKIKIAKELMERKKEGLQNRAKTSFNNGWKAQKSGVKTAERSKRSNELGDQEMHEKEDTPKQVITGLPEHNVTKASQLPQSFEDEKKSSFANNVVRKTHSMESKSTRTDSRLEEAEDWESTEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKMPERGEETFKEHKVERELSSEEFFEAADYEEHREMPSEYEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEEAFKEHKVERELSSEEFFEAADYEEHREMPSEYEEAGNAEKMVSYDHENKWREKMTAEEKIKTPECGEETFKEYKVERELNSVVGAFQWNLYANFVKPAGELHNQEENEEKMRISNNHEEAEQTSIVSDDWEDCETKLEKLHHPYKKAEFPIREFEENGEMKELKDAQDSVETEKKQREALDHKEMENRSDEVPITDDEYDGSLDDIYEKEANVEGQQEDWDRVECGMKQGGWNLKENEEKQNDLHRGEISGEDGGIEGSAKLEELKEDEEILKRSDQMNEIEKRGEKMCEGIETERIRSESHQGGEDRKAMEVTEQSLRYEGGNLETAKDEQEKKNLGESDNAWGRTTNFAAGDLKTQVLTAEENGRLMEVTEFSPLLQGTEQESKAVKDANSPEEQDCEIACLAQGFIGLDRIKKQTADVTEDLLIGENGVYFGENDVNFENKQNHHVTEYKSMPNQEKCVEDVTIELDDNGDVDICEPEVHAINEESEKSSISSHNERWSSDETESLCDPECCIEEAACEFGENNNDINESEVTANHENSFESSHDDRWVDNGINTKASQQPCIFKGQGEITEKSVEEELSQSTSKKEENCCKNLAMEEKECEDDLRKEVEVEKKHLKKKEKMKEGEVEREKERIAVERAIREARERAFAEARERAAIERAAAEAHQRSKAEVRERLEKAPSEANNKSAAEKASSEAKLKAERAAVERATAEARQRALEKALSEKAAFEARNQAEKSTAERLSSISKANGMNSRDKQYNDPGPSSSSRYPGSSNHGESANGGNGESAAQRSKATLERHQRTAERAAKALAEKNMRDLLAQKEQAERNRLAETLDADVKRWSSGKERNLRALLSTLQYILCPDSGWQSIPLTELVSSTAVKKAYRKATLFVHPDKLQQRGASIQQKYICEKVFDLLKDAWNKLSAEER >Potri.004G228900.2.v4.1 pep chromosome:Pop_tri_v4:4:23217711:23226607:1 gene:Potri.004G228900.v4.1 transcript:Potri.004G228900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228900.v4.1 MILRTPPEKRTRGGVADSNAIPIIESPQSDHHHNVINEDNNISPLQPSSPEQLLCTYQCRQLVKSDFIDALSSAEKQARDYQSKLEGINENFTKSEDEKKFRDKLLQAEQELAAAKGREQALQNQLAKEVNDNQERFKKQLESQSKLEVNLENEKNLRQKAESSAASAEEKASVLEGKLSHLSESIEREKKRPNTELEQMNREPEHSVSRISAELEKMECRAQHAEKESELLKEQLEDLRRQLTEESEVREARKLRSSHENIELLKEKLFEEKGWRERAESELSKLLEFELNMKKLEDELSSWKSVIKDIPGVLCYDDIPAKFAALQKEVIDNMMKVGEANALLKQMEVALDTAQLGKQNAETEAALTKEMAEALKLEVKEIELMLSMVTEERDRLKNVVNELKRPKDNRRGDATASGVLQELESSLANKVFCIQELENNLHAQKEVNNRQLEEIKTLNDMLNNEARRIKSLERESDRLRAEISLLESKLGHGDFSAANTKVLRMFNTLAVDSEAKQSIEALRTELKKTKEKLQAVEELKSQSVSGDTGKLVDSYISGKITQLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRSNGIPVTRFTLQSVYAQSDDEKLEFEYESGNTNILVNDYTSQPDISRQADIFIRKMNSIPAFTANLTVESFNRRTLS >Potri.004G230500.1.v4.1 pep chromosome:Pop_tri_v4:4:23411194:23415252:-1 gene:Potri.004G230500.v4.1 transcript:Potri.004G230500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230500.v4.1 MEGTPHPIPRTVEEVFSDFKGRRSGLIKALTSDVEKFYQQCDPDKENLCLYGLPNETWEVNLPVEEVPPELPEPALGINFARDGMQEKDWLSLVAVHSDSWLLAVAFYFGARFGFGKNERKRLFQMINELPTIFEAVSGNVKQPKDQTATHNNSGKSKSSGKMQSRQPESQTKAIKVSAPPKEDYESGEEEEEDDEQGATCGACGESYGTDEFWICCDMCEKWFHGKCVKITPAKAEHIKQYKCPSCSGKRARV >Potri.014G055100.2.v4.1 pep chromosome:Pop_tri_v4:14:3513438:3514902:-1 gene:Potri.014G055100.v4.1 transcript:Potri.014G055100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055100.v4.1 MVSSVPSVKPMISLDFETSVLKKEKVSLAGHDEYIVRGGRDLFHFLPDAFKGIKQIGVIGWGSQGPAQPHNLRDSLAEAKSDIKVKIGLRKGSRSFSEARGAGLTKENGTLGDIWETVNSGSDLVLLLISDAAQADNYEKVFSHMKPNSILGFSHGFLLGHLQSTGLDFPKNISVIAVCPKGMGPSVRRLHIVQGKEVNGAGINSSFAVHQDVDMVEPLTLPWDGPLPLVHLSHLPLH >Potri.010G190000.4.v4.1 pep chromosome:Pop_tri_v4:10:18591478:18594918:1 gene:Potri.010G190000.v4.1 transcript:Potri.010G190000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190000.v4.1 MGRLFVESLEGRIYSCKHCRTHLALYDDIVSKSFHCRHGKAYLFKKVVNVFVGEKEERIMITGLHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERFKVSGPDGSHYWVNHEHHHIGGSDADDV >Potri.010G190000.3.v4.1 pep chromosome:Pop_tri_v4:10:18591422:18594894:1 gene:Potri.010G190000.v4.1 transcript:Potri.010G190000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190000.v4.1 MGRLFVESLEGRIYSCKHCRTHLALYDDIVSKSFHCRHGKAYLFKKVVNVFVGEKEERIMITGLHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERFKVSGPDGSHYWVNHEHHHIGGSDADDV >Potri.010G190000.2.v4.1 pep chromosome:Pop_tri_v4:10:18591576:18594896:1 gene:Potri.010G190000.v4.1 transcript:Potri.010G190000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190000.v4.1 MGRLFVESLEGRIYSCKHCRTHLALYDDIVSKSFHCRHGKAYLFKKVVNVFVGEKEERIMITGLHTVADIFCVGCGSIVGWKYETAHEKSQKYKEGKSVLERFKVSGPDGSHYWVNHEHHHIGGSDADDV >Potri.010G238000.1.v4.1 pep chromosome:Pop_tri_v4:10:21757500:21764304:-1 gene:Potri.010G238000.v4.1 transcript:Potri.010G238000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238000.v4.1 MATSETVIKDMYDVGLKPRMIRTLLKEDVPDDKIPFDSPSKLSRIISCIQSHKLLSEPSITDNNKQIERWKSSVNDWVTRLLSLISKTTTTPDKCWAGICLLGVTCQECNADRFLGSYAAWFDKMLTHIQSRGDSQFVKVAACTSISDLITRLAGFPNVKKEGTSLSGKVIQPVLKLLNEDSSEAVLEGAIHLLCTVISSFPATLQRHHESVEAAITSKIFSGKFSVNLMKKLAHCLALLPKSKGDEDSWISAMRKVLLLVNGYLTEIFTGLEEETKWDEAVRLLVPPGEVPPPSLWGQKLLEDTSDKERKRSKLCSISMFMLSCCEMLTNSYPVQVSVPVRSLLALVERVLMVNGSLSPTTSSFVILAEQEFICSELPVLHSYALELLASVIKGIRSQLLPHAAYIVRLVKEYFKRCELPELRIKVYSITKLLLMSMGIGIAIYLAQEVVNCSLHDLNPILDGTSFHANAKSELLLPPLHRKRKHGATGSLEQLHDRIGLEVETSKNRPTAISVKIAALGALETLLTVGGGLRSESWRSKVDNLLITIATESCKEGWVSDESKTFLPNESTLTCSDLQLAALHALLASLLSPSGVRPPHLAPALELFRRGRQEIGTKVSEFCAYALLALEVLIHPRALPLADFPSASSFNEVNHRFPENIYSVAQKHSNPYSSGVQDTGHGLSDSDDDLYKSWLDSSKETEAPVGKSMDTERPSETLRVQQGENIPVAGSSGAKSPRRNGHSPAAASADIEMRRGDETMVDSQQLQESMEQHQESSKGASIPTVTGDPNVTTVDLTSFASKDDALNSRDTEMASVQAVVAGESDGLATKDGNTTTLSAQKGTTFAIEDDNQPTDSLPDIVDVDPDSD >Potri.001G284200.2.v4.1 pep chromosome:Pop_tri_v4:1:23441840:23446961:-1 gene:Potri.001G284200.v4.1 transcript:Potri.001G284200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284200.v4.1 MNLSSGRATYSKPMQLWDEASGNLTDFTTHFSFSIDSQRRTAYGDGLAFFLGPEELPPLLSKGGSLGLLRNNQPLNTTDNQFVAVEFDIFQNVFDPPGEHVGIDINSMQSAYWSCSTITLTLHIGVGSLGCDGGRRNNASISYNSSTHNLSVAFTGYRNNTVEMQFLSQIVSLRDYLPERVRFGFSASTGDLFAIHTLYSWDFSSSLEIDDNVTNPIDPAAASPPNGGSRRNRKKNRTGLAVGLGVGGGAIYKDEEGGHVLEEYMDDEFERGTGPKKFPYQELARATNNFKDEEKLGEGGFGGVYKGFLKEIDSFVAVKRVSRGSKQGIKEYAAEVKIISRLRHRNLVQLIGWCHERRELLLVYEFMPHGSLDSHLFEETSLLTWEVRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIMLDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYKAPECTMTGKASRESDVYSFGIVALEIACGRTPINPKASNEDQVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIDGLSAHPDEHLRPSIRQSLHILNFDAPLPILPSKMPVPSYFAPPISDLHLQ >Potri.016G128700.2.v4.1 pep chromosome:Pop_tri_v4:16:13192710:13196011:-1 gene:Potri.016G128700.v4.1 transcript:Potri.016G128700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128700.v4.1 MGKALSNISKQLVHHHSKKQARTTSIIKQFEKMENSSGLDKSSSNNGTGNSNNTRVPLSDVVSDCIKRWFKDTLKEAKAGDINMQVLVSQMYYSGYGVPKDEQKGRIWMTRASRTRSWVWKVSNKQPGYNASDSDSDSDELKGDS >Potri.012G075500.2.v4.1 pep chromosome:Pop_tri_v4:12:9884184:9890056:-1 gene:Potri.012G075500.v4.1 transcript:Potri.012G075500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075500.v4.1 MGSSEERVVAVIMVGGPTKGTRFRPLSLDIPKPLFPLAGQPMVHHPISACKKIPNLTQIYLVGFYEEREFALYVSSISNELKVSVRYLREDKPHGSAGGLFNFRDLIMEDNPSHIFLLNCDVCCSFPLPEMLEAHRAYGGMGTILVIKVSAESASQFGELVADPDTNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIQGVSSQRKDRANLRRVSSFEALQFSTRSLPTDYVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLAQFQFTSPHLLAGGDGSKSATIEGDVYIHPSAKVHPTAKIGPNVSISANARIGPGARLIRCIILDNVEVMENAVVIYSIVGWNSSIGRWSRVQAEGDYNAKLGVTILGEGVTVEDEVVVINSIVLPYKTLDVSVQDEIIL >Potri.007G056500.1.v4.1 pep chromosome:Pop_tri_v4:7:5922121:5924329:1 gene:Potri.007G056500.v4.1 transcript:Potri.007G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056500.v4.1 MMQEMWNAPPGFRPTKSAPTSPAKPLGVSRTRSESFHALHKVPVGDSPYVRAKNVQLVDKDPEKAIPLFWAAINAGDRVDSALKDMAIVMKQQNRADEAIEAIKSLRHRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLYMIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALAIAPDNNKMCNLGICLMKQGRISEAKETLRRVKPAVADGPRGVDSHLKAYERAQQMLNDLESEMMNIGGDRVEQSRLFDAFLGSSSIWQPQPCKDHHSVLPTTNAKSSHDDFSDENVDSNIVANCAQMVLRQQKTIKQLTTPPLGNLWNVDAPPFYSSKLVKEPIKEPIGYQFHDKLKRTRSGNVASSNRKIEMGLFTAPSVEPENPETKTRRLSDETEDKVSQLLPDNDDFEEAILAAVLGPARNAGKTAGKVVETANSGTFQQKKMEKRLKVFQDITLSLSPRA >Potri.017G110700.3.v4.1 pep chromosome:Pop_tri_v4:17:11826624:11832568:1 gene:Potri.017G110700.v4.1 transcript:Potri.017G110700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110700.v4.1 MTSAHPFSAISFTHCFKLKRCLSNNNTLHHHHPNLIATMSSSDPAPNRNRSFGTESKLSGNDQTDAKPLLSLYSSSSGSFKQMPRRRVPGKPDMRRSYSRDIGHAAAETYMITRLTITLLRYLGVGYRWITRLAALGFYAALLMPGFLQVAYYYFFSSQVRRSIVYGDQPRNRLDLYLPKTLDGPKPVVAFVTGGAWIIGYKAWGCLLGQQLAERDIIVACIDYRNFPQGTIGDMVADASQGISFICNNISEYGGDPNRIYLMGQSAGAHISACALLEQAIREAKGEEGISWSVSQIKAYFGLSGGYNLCKLVDHFNNRGLYRALFLSMMEGEESLQSFSPELRIEDPSIGNAVSLLPPIILFHGTADYSIPSSASIDFAAALQRLGAQAELILFDGKTHTDLFLQDPLRGGKDEMFSHLVAVIHAGDEEALAKDATAPPRRRLVPEVLLRMASHISPF >Potri.018G095200.1.v4.1 pep chromosome:Pop_tri_v4:18:11516204:11517666:1 gene:Potri.018G095200.v4.1 transcript:Potri.018G095200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095200.v4.1 MASLNTVLVPLVALLVTVASASNFYNDFDITWGDGRAKILSNGELLTLNLDKASGSGFQSRNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSAWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNLESMGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWALAPFTASYRNFNAEACVLSNGASSCGTTTSPPAPTSNAWFSEELDSTRQERLKWARENYMVYNYCKDINRFPQGLPPECSMS >Potri.008G193200.2.v4.1 pep chromosome:Pop_tri_v4:8:13609085:13615741:1 gene:Potri.008G193200.v4.1 transcript:Potri.008G193200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193200.v4.1 MYRTAVSRLSALKGGRRYPTRFASSSAAAALQSSSSSGLFSWLTGGKSKSLSPLDFPLQGVELPSTLPDYVEPGVTKITTLGNGLRIASETSPSPVASIGLYVDCGSVYESPATFGATHLLERMAFKSTRNRSHLRVVREVEAIGGAVQSSASREQMGYTYDALKTYLPEMVELLIDCVRNPVFLDWEVNEQLQKVKAEISEASKNPQGVLLEAIHSAGFSGGLANPLLAPESSIDRLNGSLLEEFVVENYTAPRMVLAASGVEHEELVAIAEPLLSDLPDKKSPGEPESFYTGGDFRCQADSGDPKTHFALAFGLKGGWHDVKEAITLTVLQVLMGGGGSFSAGGPGKGMYSRLYQRVLNRYHKIQLFSAFNNIYNHTAIFGIEATTDADFASSAIELVVRELTEVASSGAVDPVQLQRAKQSTKSAILMNLESRMVVSEDIGRQILTYNKRKPLEDFLKAVDEVTSQDITEISQKLVSSPLTMASYGEVINVPTYDAVSSMFKSK >Potri.006G168900.1.v4.1 pep chromosome:Pop_tri_v4:6:16888852:16895005:-1 gene:Potri.006G168900.v4.1 transcript:Potri.006G168900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G168900.v4.1 MDNNNNKPCSSNKIIKTSDGCEIVLELSQNAPFFDKKKNLLHSMGFDIREQVQFHRSSCPDAIATILERVLQIARIIHLDEVELYFGKVNDCSSLEYWSPRNEVEALNSVLSLINSYSSYERQKEVNFLQTLQDEVLKRIQEFTDKNKVESRIDRSCSCDKEKCLEKWGESNGVKTSLKIACVEGAGRGAIATKDLKVGDIALEIPVSIIISEEHVHKSDMYHILEKIDGITSETMLLLWSMKERHNCSSKFKIYFDTLPEEFKTGLSFGVDAIMALDGTLLLEEIMQAKEHLRVQYDELVPPLCKNYPDVFLPELYTWEQFLWACELWYSNSMKVMFVDGKLRTCLIPIAGFLNHSLYPHIVHYGKVDSATNTLKFPLTRPCCFGEQCCLSYGNFSSSHLITFYGFMPQGDNPCDVIPLDIDVGDADCIEGCPTSSWTSHMVRGTWLSNNHNIFYYGLPSPLLDYLRGARSPAPHTKTIEISNLENEIEVLKDLQSTFSSMMENLGDTDLVDSDDASWDVKLALEFKDLQRRIVSSILTSCAAGLNLVQDELSEL >Potri.017G051900.2.v4.1 pep chromosome:Pop_tri_v4:17:3756377:3756779:1 gene:Potri.017G051900.v4.1 transcript:Potri.017G051900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051900.v4.1 MVSIELANKPHAVCIPFPAQGHINPMLKLAKLLHFKGFHITFVNTEYNHRRLLKSRGSSSLDGFPDFH >Potri.002G089200.2.v4.1 pep chromosome:Pop_tri_v4:2:6443171:6445023:-1 gene:Potri.002G089200.v4.1 transcript:Potri.002G089200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089200.v4.1 MESPPCKAIFLVATTLRVLLQIPFISAIGINYGLNGDNLPAPPAVVGLYERCHIPSVRLFEPRPEVLQALRGKPLQVILGTRNEDIQSLATTLDAANSWVAANIVPYRSDVNFTYITVGNEAIPGAMSQYIAQAIANMYTALADAAITYIKVSTVVPGSSLSISYPPSAGAFTHEAAAVISSIAPILLNHGASLMLNVYPYFAYASDTNSMSLDYALLRPGAPLVGDQNLVYDNIFDAMVDAFYAALEKISEPGLTVVISESGWPTAGNEPITSPENARTYNRNLLNHVQEGRGTPRRPGQPLDVYFFAMFNEDLKQAGIEQHWGFFYPNMQPVYPFWQCS >Potri.002G146100.1.v4.1 pep chromosome:Pop_tri_v4:2:11011289:11014718:-1 gene:Potri.002G146100.v4.1 transcript:Potri.002G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146100.v4.1 MPSLHLPSRFSSPSFPLINLTTSKRPISTTAITMSLSSSPSPSISNNPKEHKDPENLIAQVLKYHNQTKHFFTNYARGPHGLDWANQPNPFRRYVSSPLLSLLHFPVENNQDSTSVSAPLYHSLFNSLPSPKPISKSSISQLFYDSLALSAWKTTGFSTWSLRVNPSSGNLHPTEAYIISPAVDSVCDSAFVAHYAPKEHSLELRAKIPDTFLPSFFPSNAFLIGVSSIFWREAWKYGERAFRYCNHDVGHAIAAISLAAAELGWDVKLLDGLGSKELERLMGLGIYQGFRIPDKPIKGKFPEIEFEHPDCVLVVFPNGVNDFNVNYKELSLAIMEFGNLEWIGNPNSLSKKHVCWDVIYSTAEAVKKPLKIDDRFLVDKFQSSGVCSEGSYKGFSAREIIRKRRSAVDMDGVTKIERDTFYQIMLHCLPSGCGSGEKQKRQLALPFRALSWDAEVHAVLFVHRVVGLPKGLYFLVRNEDHLDELKKSTRAEFKWEKPEGCPVDLPLYELARSDCQQIAKQLSCHQDIASDGCFSLGMVAHFEPTLHSKGAWMYPRLFWETGVLGQVLYLEAHAVGISATGIGCFFDDPVHEILGLRGSNFQSLYHFTVGGPVLDKRIMNLPAYPGPSTDA >Potri.003G053700.1.v4.1 pep chromosome:Pop_tri_v4:3:7881921:7883832:-1 gene:Potri.003G053700.v4.1 transcript:Potri.003G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053700.v4.1 MLTSIFGIGNMRKLSFLVFIICILISVKNQNAEIKKNSWPYSSWDSFPSIFLSPLSFMEEEEEVGHSNRSLEYDFYRDSCPQAERIILRVVQELNKVNTRVAPALLRLMFHDCFIEGCDASVLLDAAIGIDSEKDSPPNQNLKGFDIIDRIKSEIEKVCPGVVSCADIVALAAREGVVLILQHIKLPSPNADLSETLASFASRGFDERETVSLLGGHSIGVIHCKFFQNRLYNFSWTNKPDPSLDTGFLSLLRSRCNNNNSSKEASPSPSPSFKAPPPSSAPSTSFDGTKSPSTAPSLSCSGSPSSSSSTSEMRVSPSSSTAPSPSLKGSISSPHSSSAPSSASFEDSLLSTLEEPGMNMAYEGPGVDFGTLYYHGLLQGKGILYADQQLMAGVETRIWVRAYASDISLFRRDYALAIMKLSILRVLTGSTGQVRLHCSKVA >Potri.016G084500.1.v4.1 pep chromosome:Pop_tri_v4:16:6571153:6574765:1 gene:Potri.016G084500.v4.1 transcript:Potri.016G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084500.v4.1 MMLDLNLTAGSGDSSTTAKNNNKMKILELSNSPMESSGSFNSSSVVNADGCGDEDSCSNGDVFALNFSILSNESSSKKTVYSNTNDHDDRGDRTIQLFPVECGPKNVGGESNSSSTVQMQPVDLGGSRNYGGPPEQGIGARQQKPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVSDYDEDIKQMSGFTKEEFVHTLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEIEAARAYDKAAIKCNGREAVTNFEPSKYEGEILSEPSSGDGNQNLDLKLGIAPPDASDSLKVNSNMGGFYFHGGWDGVSTDRAPKFLNSVSATMKNQLPNGPGVTSVHPPMWDGINHRIFPIYEERAIEKRMEVDSFPNWTRQIQGPYGGGANPLPLFSTAASSGFASSAIMAPSAAAGQLHYPSSTILHHRFSPPITNPSNISQFYCRS >Potri.016G084500.2.v4.1 pep chromosome:Pop_tri_v4:16:6571015:6574859:1 gene:Potri.016G084500.v4.1 transcript:Potri.016G084500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084500.v4.1 MMLDLNLTAGSGDSSTTAKNNNKMKILELSNSPMESSGSFNSSSVVNADGCGDEDSCSNGDVFALNFSILSNESSSKKTVYSNTNDHDDRGDRTIQLFPVECGPKNVGGESNSSSTVQMQPVDLGGSRNYGGPPEQGIGARQQKPVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNVSDYDEDIKQMSGFTKEEFVHTLRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAIKCNGREAVTNFEPSKYEGEILSEPSSGDGNQNLDLKLGIAPPDASDSLKVNSNMGGFYFHGGWDGVSTDRAPKFLNSVSATMKNQLPNGPGVTSVHPPMWDGINHRIFPIYEERAIEKRMEVDSFPNWTRQIQGPYGGGANPLPLFSTAASSGFASSAIMAPSAAAGQLHYPSSTILHHRFSPPITNPSNISQFYCRS >Potri.012G124400.9.v4.1 pep chromosome:Pop_tri_v4:12:14129772:14143307:-1 gene:Potri.012G124400.v4.1 transcript:Potri.012G124400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124400.v4.1 MMLQMYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPNELLRVTSIDIALVVLKENLILAVFRDEYVLLHEDYQLYVLPQILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALLSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSKASRAVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDASLKGLFQQIVKHLENIPKLQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNASRVSIPTSKSPRGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLSVLKTDNDLQRPSVLESLIHRHLSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLQLFEKPAEQLTGSATEVVCNWYIDNIVKDVSGAGILFTPIHKCFKSTRPVGGYFAESVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNREVLEAVAGSMHSGDRIEREACSRQMVDLDTVIGFCVEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEIPEKKDIRRIRGVANSVNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQSLSNGHLGEALDPEIHSRLSAEASIKSAMQLFVKFASGIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSVYSQYYMKSPSMPLALLSVSPHHSPAISLSHASPAAKHPRGDSTPQHSGNDSGFFKGSSSHSQEHLYDMDSGSLRSMDSKHRNVRRSGPLDYSSSRKVKFVEGSTSGSTGPSPLPRFAVSRSGPLMYK >Potri.012G124400.8.v4.1 pep chromosome:Pop_tri_v4:12:14129680:14143629:-1 gene:Potri.012G124400.v4.1 transcript:Potri.012G124400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124400.v4.1 MAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLLSEKIPRKMMLQMYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPNELLRVTSIDIALVVLKENLILAVFRDEYVLLHEDYQLYVLPQILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALLSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSKASRAVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDASLKGLFQQIVKHLENIPKLQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNASRVSIPTSKSPRGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLSVLKTDNDLQRPSVLESLIHRHLSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLQLFEKPAEQLTGSATEVVCNWYIDNIVKDVSGAGILFTPIHKCFKSTRPVGGYFAESVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNREVLEAVAGSMHSGDRIEREACSRQMVDLDTVIGFCVEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEIPEKKDIRRIRGVANSVNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQSLSNGHLGEALDPEIHSRLSAEASIKSAMQLFVKFASGIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSVYSQYYMKSPSMPLALLSVSPHHSPAISLSHASPAAKHPRGDSTPQHSGNDSGFFKGSSSHSQEHLYDMDSGSLRSMDSKHRNVRRSGPLDYSSSRKVKFVEGSTSGSTGPSPLPRFAVSRSGPLMYK >Potri.012G124400.6.v4.1 pep chromosome:Pop_tri_v4:12:14129708:14148600:-1 gene:Potri.012G124400.v4.1 transcript:Potri.012G124400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124400.v4.1 MAKSRQHYSTHDAALSPTGVRSREWEGPSRWTEYLGPDLSSPMASRLSRNKVGSDGQVQSSGGGSHKGLNLQWVVQLTEVAEGLMAKMYRLNQILDFPDPVGHLFSESFWKAGVFPNYPRICLLLSKKFPEHFSKLQLERVDKVALDALNDGAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLLSEKIPRKMMLQMYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPNELLRVTSIDIALVVLKENLILAVFRDEYVLLHEDYQLYVLPQILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALLSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSKASRAVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDASLKGLFQQIVKHLENIPKLQGENISAITCDLSQEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNASRVSIPTSKSPRGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLSVLKTDNDLQRPSVLESLIHRHLSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLQLFEKPAEQLTGSATEVVCNWYIDNIVKDVSGAGILFTPIHKCFKSTRPVGGYFAESVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNREVLEAVAGSMHSGDRIEREACSRQMVDLDTVIGFCVEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEIPEKKDIRRIRGVANSVNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQSLSNGHLGEALDPEIHSRLSAEASIKSAMQLFVKFASGIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSVYSQYYMKSPSMPLALLSVSPHHSPAISLSHASPAAKHPRGDSTPQHSGNDSGFFKGSSSHSQEHLYDMDSGSLRSMDSKHRNVRRSGPLDYSSSRKVKFVEGSTSGSTGPSPLPRFAVSRSGPLMYK >Potri.012G124400.12.v4.1 pep chromosome:Pop_tri_v4:12:14129709:14136587:-1 gene:Potri.012G124400.v4.1 transcript:Potri.012G124400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124400.v4.1 MVALDLDASLKGLFQQIVKHLENIPKLQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNASRVSIPTSKSPRGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLSVLKTDNDLQRPSVLESLIHRHLSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLQLFEKPAEQLTGSATEVVCNWYIDNIVKDVSGAGILFTPIHKCFKSTRPVGGYFAESVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNREVLEAVAGSMHSGDRIEREACSRQMVDLDTVIGFCVEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEIPEKKDIRRIRGVANSVNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQSLSNGHLGEALDPEIHSRLSAEASIKSAMQLFVKFASGIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSVYSQYYMKSPSMPLALLSVSPHHSPAISLSHASPAAKHPRGDSTPQHSGNDSGFFKGSSSHSQEHLYDMDSGSLRSMDSKHRNVRRSGPLDYSSSRKVKFVEGSTSGSTGPSPLPRFAVSRSGPLMYK >Potri.012G124400.7.v4.1 pep chromosome:Pop_tri_v4:12:14129685:14147979:-1 gene:Potri.012G124400.v4.1 transcript:Potri.012G124400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124400.v4.1 MAKSRQHYSTHDAALSPTGVRSREWEGPSRWTEYLGPDLSSPMASRLSRNKVGSDGQVQSSGGGSHKGLNLQWVVQLTEVAEGLMAKMYRLNQILDFPDPVGHLFSESFWKAGVFPNYPRICLLLSKKFPEHFSKLQLERVDKVALDALNDGAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLLSEKIPRKMMLQMYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPNELLRVTSIDIALVVLKENLILAVFRDEYVLLHEDYQLYVLPQILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALLSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSKASRAVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDASLKGLFQQIVKHLENIPKLQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNASRVSIPTSKSPRGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLSVLKTDNDLQRPSVLESLIHRHLSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLQLFEKPAEQLTGSATEVVCNWYIDNIVKDVSGAGILFTPIHKCFKSTRPVGGYFAESVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNREVLEAVAGSMHSGDRIEREACSRQMVDLDTVIGFCVEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEIPEKKDIRRIRGVANSVNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQSLSNGHLGEALDPEIHSRLSAEASIKSAMQLFVKFASGIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSVYSQYYMKSPSMPLALLSVSPHHSPAISLSHASPAAKHPRGDSTPQHSGNDSGFFKGSSSHSQEHLYDMDSGSLRSMDSKHRNVRRSGPLDYSSSRKVKFVEGSTSGSTGPSPLPRFAVSRSGPLMYK >Potri.012G124400.1.v4.1 pep chromosome:Pop_tri_v4:12:14129772:14148746:-1 gene:Potri.012G124400.v4.1 transcript:Potri.012G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124400.v4.1 MAKSRQHYSTHDAALSPTGVRSREWEGPSRWTEYLGPDLSSPMASRLSRNKVGSDGQVQSSGGGSHKGLNLQWVVQLTEVAEGLMAKMYRLNQILDFPDPVGHLFSESFWKAGVFPNYPRICLLLSKKFPEHFSKLQLERVDKVALDALNDGAEVHLQSLEPWVQLLLDLMAFREQALRLILDLSSTVITLLPHQNSLILHAFMDLFCSFVRVNLLSEKIPRKMMLQMYNLLHAMSRNDRDCDFYHRLVQFIDSYDPPLKGLQEDLNFVSPRIGEVLEAVGPIIFLSTDTRKLRNEGFLSPYHPRYPDILTNSAHPMRAQDLANVTSYREWVLLGYLVCPNELLRVTSIDIALVVLKENLILAVFRDEYVLLHEDYQLYVLPQILESKKMAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALLSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSKASRAVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDASLKGLFQQIVKHLENIPKLQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNASRVSIPTSKSPRGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLSVLKTDNDLQRPSVLESLIHRHLSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLQLFEKPAEQLTGSATEVVCNWYIDNIVKDVSGAGILFTPIHKCFKSTRPVGGYFAESVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNREVLEAVAGSMHSGDRIEREACSRQMVDLDTVIGFCVEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEIPEKKDIRRIRGVANSVNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQSLSNGHLGEALDPEIHSRLSAEASIKSAMQLFVKFASGIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSVYSQYYMKSPSMPLALLSVSPHHSPAISLSHASPAAKHPRGDSTPQHSGNDSGFFKGSSSHSQEHLYDMDSGSLRSMDSKHRNVRRSGPLDYSSSRKVKFVEGSTSGSTGPSPLPRFAVSRSGPLMYK >Potri.012G124400.11.v4.1 pep chromosome:Pop_tri_v4:12:14129684:14139164:-1 gene:Potri.012G124400.v4.1 transcript:Potri.012G124400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124400.v4.1 MAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALLSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSKASRAVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDASLKGLFQQIVKHLENIPKLQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNASRVSIPTSKSPRGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLSVLKTDNDLQRPSVLESLIHRHLSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLQLFEKPAEQLTGSATEVVCNWYIDNIVKDVSGAGILFTPIHKCFKSTRPVGGYFAESVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNREVLEAVAGSMHSGDRIEREACSRQMVDLDTVIGFCVEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEIPEKKDIRRIRGVANSVNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQSLSNGHLGEALDPEIHSRLSAEASIKSAMQLFVKFASGIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSVYSQYYMKSPSMPLALLSVSPHHSPAISLSHASPAAKHPRGDSTPQHSGNDSGFFKGSSSHSQEHLYDMDSGSLRSMDSKHRNVRRSGPLDYSSSRKVKFVEGSTSGSTGPSPLPRFAVSRSGPLMYK >Potri.012G124400.10.v4.1 pep chromosome:Pop_tri_v4:12:14129723:14139886:-1 gene:Potri.012G124400.v4.1 transcript:Potri.012G124400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124400.v4.1 MAKSGRTKQKEADLEYSVAKQVEKMISEVHEQALLSCDAIHHERRILLKQEIGRMVLFFTDQPSLLAPNIQMVFSALALAQSEVIWYFQHVGIASSKSKASRAVPVDIDPNDPTIGFLLDGMDHLCCLVRKYIAAIRGYALSYLSSCAGRIRFLLGTPGMVALDLDASLKGLFQQIVKHLENIPKLQGENISAITCDLSEFRKDWLSILMIVTSARSSINIRHLEKATVSTGKEGLLSEGNAAYNWSRCVDELESQLSKHGSLKKLYFYHQHLTAVFRNTMFGPEGRPQHCCAWLGVASSFPECASPIVPEEVTKIGRDAVLYVESLIESIMGGLEGLINILDSEGGFGALETQLLPEQAAFYLNNASRVSIPTSKSPRGAVGFPLPGHESYPENNSAIKMLEAAMQRLTNLCSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLSVLKTDNDLQRPSVLESLIHRHLSIVHLAEQHISMDLTHGIREVLLTEAFSGPVSSLQLFEKPAEQLTGSATEVVCNWYIDNIVKDVSGAGILFTPIHKCFKSTRPVGGYFAESVTDLRELQAFVRVFGGYGVDRLDRMMKEHTAALLNCIDTSLRSNREVLEAVAGSMHSGDRIEREACSRQMVDLDTVIGFCVEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEIPEKKDIRRIRGVANSVNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSNIWNTTGFNVDTGGFNNNIHCLARCMSAVIAGSELVRLEREHQQRQSLSNGHLGEALDPEIHSRLSAEASIKSAMQLFVKFASGIVLDSWSEANRSHLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSVYSQYYMKSPSMPLALLSVSPHHSPAISLSHASPAAKHPRGDSTPQHSGNDSGFFKGSSSHSQEHLYDMDSGSLRSMDSKHRNVRRSGPLDYSSSRKVKFVEGSTSGSTGPSPLPRFAVSRSGPLMYK >Potri.015G109200.3.v4.1 pep chromosome:Pop_tri_v4:15:12681455:12684275:1 gene:Potri.015G109200.v4.1 transcript:Potri.015G109200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109200.v4.1 MFCCGFLGEWKHEKSEGHDDYGLLVSEPARKYAIVKELDEPASLKDGTIVLQFETRLQKGLECGGAYLKYLRPQEAGWVPKGFDNESPYSIMFGPDKCGTTNKVHFILKHKNPKSGEYVEHHLKFPPSVPHDKLTHVYTAILKPDNELQILVDGEEKKKANFLSSEDFEPPLIPAKTIPDPDDVKPEDWDERAKIPDPEAVKPDDWDEDAPMEIEDEDAVKPEGWLDDEPEEIDDPEATKPEDWDEEEDGEWEAPKTDNPKCQEGPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPDYFELDQPEFEPIAAVGIEIWTMQDGILFDNILIAQDEKVASSIRDTTWKPKFDVEKEKEKATEAAAGTDALGGFQKKVFEILYQVAEISFLSEHKDKIIELIEKAEKQPIITIGVLVSIVVVILTVFLKLIFGGKKAAVEKKPEPVAETSNTEGSSEEKAEENEEPAPARKRQTRRET >Potri.015G109200.1.v4.1 pep chromosome:Pop_tri_v4:15:12681455:12684300:1 gene:Potri.015G109200.v4.1 transcript:Potri.015G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109200.v4.1 MREAKRVSLRLAIVLLLAFISFNQLFAEDDTILYESFDKSFDGRWIVSEKEGYNGEWKHEKSEGHDDYGLLVSEPARKYAIVKELDEPASLKDGTIVLQFETRLQKGLECGGAYLKYLRPQEAGWVPKGFDNESPYSIMFGPDKCGTTNKVHFILKHKNPKSGEYVEHHLKFPPSVPHDKLTHVYTAILKPDNELQILVDGEEKKKANFLSSEDFEPPLIPAKTIPDPDDVKPEDWDERAKIPDPEAVKPDDWDEDAPMEIEDEDAVKPEGWLDDEPEEIDDPEATKPEDWDEEEDGEWEAPKTDNPKCQEGPGCGEWKRPMKRNPAYKGKWHAPLIDNPNYKGIWKPQEIPNPDYFELDQPEFEPIAAVGIEIWTMQDGILFDNILIAQDEKVASSIRDTTWKPKFDVEKEKEKATEAAAGTDALGGFQKKVFEILYQVAEISFLSEHKDKIIELIEKAEKQPIITIGVLVSIVVVILTVFLKLIFGGKKAAVEKKPEPVAETSNTEGSSEEKAEENEEPAPARKRQTRRET >Potri.001G116350.1.v4.1 pep chromosome:Pop_tri_v4:1:9441780:9442073:-1 gene:Potri.001G116350.v4.1 transcript:Potri.001G116350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116350.v4.1 MVTLLGRAGRLQEACELTMPMQPHSRAWGTLLLACSVHNNVEFGEIAAQRRFDLEPNATAYYSLLANIHSSAGRWDDVRRLRKLWKEKKLSKLSGCS >Potri.012G028500.2.v4.1 pep chromosome:Pop_tri_v4:12:2826820:2830445:-1 gene:Potri.012G028500.v4.1 transcript:Potri.012G028500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028500.v4.1 MGFSPLSLSQFLSSILLLFHFHSTISSPLSSNYSSSSSSHSCAHRQSLSLLQFKQSFSIQSSPFWFARNYQYDQYPKTESWKEGTDCCLWDGVTCDLNTGHVTALDLSCSMLYGTLLPNNSLFSLHHLQRLDLSFNDFNTSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNYDLVSVEPISFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLKLDDCGLQGKLPSSMGKFKHLQYLDLGWNSLSGPIPYDFDELVSFDLSWNNYLNLEPISFDNLVRNLTKLRELDLSRVNMSLVVPDSLMNLSSSLSSLRLYSCGLQGKLPSLMGKFKHLQYLDLGGNNLTGPIPYGLEQLSELVSLHLSGNFYLSPEPISFDKIVQNLTKLRYLALGYVNMSLVAPNSLTNLSSSLSSLSLWDCRLQGKFPGNIFLLPNLERLYLSYNEGLTGSFPSSNLSNVLSRLGLSNTRISVYLENDLISNLKSLEYMSLSNSTIIRSDLSLLGNLTQLIFLDLSSNNLNGQIPLSFENLVQLRYLYLFSNKFMGQVPNFLGRLVNLSDLDLSNNQLVGPIHSQLNTLSNLESLILYGNLFNGTIPSFLFALPSLLYLDLHNNNFIGNISELQYDSLLYLDLSNNHLRGPIPSSIFKQENLTALILASNSELTGEISSSICKLRFLQVLDLSNNSFRGSTPQCLGNFSTMLLVLHLGMNNLQGTVPSTFSKDNGLEYLNFNGNELEGKIPRSIINCTMLEVFDLGNNKIEDAFPYFLETLPKLQILVLKSNKLQGFVKGPTAYNSFSKLRILDISYNNFSGPLPTGYFNSLEAMMASDQNMIYLNATNYTSYVYSIEMTWKGVEIEFRKIQSTIRILDLSNNNFTGEIPKVIGKLKALHQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAVLNLSHNQLEGRIPSGEQFNTFTATSFEGNLGLCGFQVLKECYGDEAPSLRPSSFDEGDDSTLFGDGFGRKAVTMGYGCGFVFGVATGYIVFRTKKPSWFFRMVEDIWNLKSKKTKKNVGRCGARRN >Potri.015G060200.1.v4.1 pep chromosome:Pop_tri_v4:15:8390107:8393117:-1 gene:Potri.015G060200.v4.1 transcript:Potri.015G060200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060200.v4.1 MDFDRFSSPFFSAVCFILVFSRAEAYDYLDPTGNITLKWDVISWTPDGYVAVVTMTNFQMYRHIMSPGWTVGWTWAKKEVIWSMVGAQATEQGDCSKFRMNIPHCCMKNPTVVDMLPGVPYNQQIANCCKGGVVSSWGQDPSAAVSSFQLSVGRSGTTNKTVRLPKNFTLLGPGLGYSCSQAKIVPSTVFLTSDGRRKTQAMMTWNVTCTYSQMLASKNPTCCVSMSSFYNSTITPCRTCACGCQNNSTCVPSDSMIQSVVGLNTPTKDNTPLVQCTKHNCPIRVHWHVKLNYKEYWRVKISITNFNYRLNYTQWTLVAQHPNLNNITQVFSFAYKPLLPYKSANDSGMFYGVKFFNDILMEAGPDGNIQTELILQKDKNTFTLNKGWAFPRKVYFNGDECMMPLPDDYPYLPNSAPKNVIGLSFVISAFFLLELLAFW >Potri.016G115650.1.v4.1 pep chromosome:Pop_tri_v4:16:12004583:12004999:-1 gene:Potri.016G115650.v4.1 transcript:Potri.016G115650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115650.v4.1 MSKHLKVKIVRHTLQLEESPNRCGLSYHYPNVIPNKFKEYQTEKVTIKAKEWVLLKLFMTGVPPRKTAGFLHRRSHSRISIRSSFVAQRAPYLSTSKIIRFHHFLDKLLFVLNSTDASTSKKLKLKKIVAGSQTFVGS >Potri.003G137400.8.v4.1 pep chromosome:Pop_tri_v4:3:15454883:15462821:1 gene:Potri.003G137400.v4.1 transcript:Potri.003G137400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137400.v4.1 MTKKKHDFRESLKSFIGTHIDPEKDEQLKETKTEIDDKVKRILKLIKEEDLEERDGLSVENSKKEPLLELIEDVQKQYHLLYGQYDHLKGELREKVNGKHGKDTSSSSSSDSESDDSSKHKGSKNGRFESEKITDGIKQELEAANLDVAELRSKLRATSEERDALKWEHQTALNKIQEAEEIIRNLRLEAERSDAGKAQLLIENGELKQKLDSAGVIKAELNQRLEELNKEKDSLILEKEAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKLKAESSNTDKARLLAESGGLKQKLDAAGVIEAELNQRLGELKKEKDSLNLEREAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKIEAESSDTDKARLLAENGGLKQKLDAAGVIEAELNQRLEELNKEKDGMIWEKEAAMRSIEESEKIREALKLEYETALIKIQEEEEVIGNLKLKAESSDTDKTRLLAENGELKQKLDAAGVIEAELNQRLEELNKEKDSLILEREAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKIEAESSDTDKARLLAENGGLKQKLDAAGLIEAELNQRLEELNKEKDGLILEREAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKIEAESSDTDKAWLLAESGGLKQKLDAAGVIEAELNQRLEELNKEKDGLILETEAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKLEAESSDTDKARLLAESGGLKQKLDAAGLIEAELNQRLEELNKEKNSLILETEAAMRSIEESEKIREALTLEYETALIKIQEEEEVIRNLKLEVESSDTGKARLLAENGELKQKLDSAGVIEAELNQRMEELNKEKDGMILEKEAAMRSIEESEKIGEDLRILTDQLQEEKATTGQELEALKAELSIMKQQLESAEHQVAEFTHNLSVTKRENDSLTLKLSEISNEMEQAQNTIDGLVGESGHLKDKLGDREREYSSLAEMHETHGNESSTRINGLEVQVRGLELELGSSQARNRDLEVQIESKVAEAKQLGEQNQGLEARILELEMMSKVRGDELSALMKKLEENYNESFSRTESLTVQVDTLLADFKSIHAQKAELEEQMVSRGNEASTRVEGLIDQVNLLQQQLESLRSQKVELEVQLENKTLEISEYRILIENLKEEIVSKTEDQQRVLAEKESCSAQINDLELEVETLCNQKTDLGEQISTETKERERLGEEMVRLQEKILEMEKTQTEREFELSALQERHTNGEIEASAQIMALTEQVNNLHQELDSLQTEKNQMQLQLEKEKEEFSENLTEMENQKSELVSQIAEHRRMLDEQEEAHKKLNEEHKQVEGWFQECKLSLAVAERKVQDMAEEFQKHLGSRDQMVEQLEEMIEDLKRDLEVKGDELNTLVENVRNIEVKLRLSNQKLRVTEQLLTENEDTFRKAEEKYQQEQRVLEERVAVLSGIITANNEAYHSMVADISEKVNNSLLGLDALTMKFEEDCNRYENCILVVSKEILIAKNWFGDTNNENEKLRKEVGNLVVQLQDIKEHESALKEKVEQLEVKVSKEGVEKENLTKAINQLEKKVVALETMMKEKDEGILDLGEEKREAIRQLCIWIEYHQSRYDYLREMLSKMPIRGQRAS >Potri.003G137400.7.v4.1 pep chromosome:Pop_tri_v4:3:15454863:15462704:1 gene:Potri.003G137400.v4.1 transcript:Potri.003G137400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137400.v4.1 MTKKKHDFRESLKSFIGTHIDPEKDEQLKETKTEIDDKVKRILKLIKEEDLEERDGLSVENSKKEPLLELIEDVQKQYHLLYGQYDHLKGELREKVNGKHGKDTSSSSSSDSESDDSSKHKGSKNGRFESEKITDGIKQELEAANLDVAELRSKLRATSEERDALKWEHQTALNKIQEAEEIIRNLRLEAERSDAGKAQLLIENGELKQKLDSAGVIKAELNQRLEELNKEKDSLILEKEAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKLKAESSNTDKARLLAESGGLKQKLDAAGVIEAELNQRLGELKKEKDSLNLEREAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKIEAESSDTDKARLLAENGGLKQKLDAAGVIEAELNQRLEELNKEKDGMIWEKEAAMRSIEESEKIREALKLEYETALIKIQEEEEVIGNLKLKAESSDTDKTRLLAENGELKQKLDAAGVIEAELNQRLEELNKEKDSLILEREAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKIEAESSDTDKARLLAENGGLKQKLDAAGVIEAELNQRLEELNKEKDGMILEKEAAMRSIEESEKIREALKLEYETALIKIQEEEEVIGNLELKAESSDTDKTRLLAENGELKQKLDAAGVIEAELNQRLEELNKEKDGMILEREAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKIEAESSDTDKARLLAENGGLKQKLDAAGLIEAELNQRLEELNKEKDGLILEREAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKIEAESSDTDKAWLLAESGGLKQKLDAAGVIEAELNQRLEELNKEKDGLILETEAAMRSIEESEKIREALKLEYETALIKIQEEEEVIRNLKLEAESSDTDKARLLAESGGLKQKLDAAGLIEAELNQRLEELNKEKNSLILETEAAMRSIEESEKIREALTLEYETALIKIQEEEEVIRNLKLEVESSDTGKARLLAENGELKQKLDSAGVIEAELNQRMEELNKEKDGMILEKEAAMRSIEESEKIGEDLRILTDQLQEEKATTGQELEALKAELSIMKQQLESAEHQVAEFTHNLSVTKRENDSLTLKLSEISNEMEQAQNTIDGLVGESGHLKDKLGDREREYSSLAEMHETHGNESSTRINGLEVQVRGLELELGSSQARNRDLEVQIESKVAEAKQLGEQNQGLEARILELEMMSKVRGDELSALMKKLEENYNESFSRTESLTVQVDTLLADFKSIHAQKAELEEQMVSRGNEASTRVEGLIDQVNLLQQQLESLRSQKVELEVQLENKTLEISEYRILIENLKEEIVSKTEDQQRVLAEKESCSAQINDLELEVETLCNQKTDLGEQISTETKERERLGEEMVRLQEKILEMEKTQTEREFELSALQERHTNGEIEASAQIMALTEQVNNLHQELDSLQTEKNQMQLQLEKEKEEFSENLTEMENQKSELVSQIAEHRRMLDEQEEAHKKLNEEHKQVEGWFQECKLSLAVAERKVQDMAEEFQKHLGSRDQMVEQLEEMIEDLKRDLEVKGDELNTLVENVRNIEVKLRLSNQKLRVTEQLLTENEDTFRKAEEKYQQEQRVLEERVAVLSGIITANNEAYHSMVADISEKVNNSLLGLDALTMKFEEDCNRYENCILVVSKEILIAKNWFGDTNNENEKLRKEVGNLVVQLQDIKEHESALKEKVEQLEVKVSKEGVEKENLTKAINQLEKKVVALETMMKEKDEGILDLGEEKREAIRQLCIWIEYHQSRYDYLREMLSKMPIRGQRAS >Potri.006G175050.1.v4.1 pep chromosome:Pop_tri_v4:6:17914693:17915064:1 gene:Potri.006G175050.v4.1 transcript:Potri.006G175050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175050.v4.1 MVGSNYSAILLLLIIYLFIMNMFTDFSIISWNVRGGANKIRKCHCKELVRYSPPLFVLLETHANFLKVKNFWYSSSYTPVAVSEAQGHVSGIWIISFVSLVSFSTLDITRQCVTVQIFMGSNS >Potri.011G124268.1.v4.1 pep chromosome:Pop_tri_v4:11:15479545:15481014:-1 gene:Potri.011G124268.v4.1 transcript:Potri.011G124268.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124268.v4.1 MDVSIISRELIKPSSPSIHHLSPFKLSLLDQLFPTTYVPMVFFYPTKNNQDFKGLQISIQLKRSLSQTLSTFYPLSGRVRNNSLIDNYEKGAPFVETRVKGSLFDFLIQPQLNSLNKFLPCQPLGYQSDPEATPQVAIQVNTFDCGGTALGLCFSHKIIDVATAIAFLDSWAANTRGHYHEQKNPGLFEASSRFPPLNKFLVQVPLWVTENCLFKEGNVTKRFVFDADAVATLRARAKSKRVPNPSRTETLTAFIWKSCTEACRSLRDLPRPSVSLHAVNIRQRTEPSFSRYSIGNLWWRSMTACELADTKIELNDLVSLTRESFANINDDRLKDFQGENGFQGITVSLLKQLVGIVSRNPEIFMFSSWLNFDLNDVDFGWGKPIWVGLTGEVGRPSGFANITFFKQTGRNNEIEAWMTLNEKIMSAVERNPEFLEFSTPNPSIFMPHLSS >Potri.015G069150.1.v4.1 pep chromosome:Pop_tri_v4:15:9472468:9472852:-1 gene:Potri.015G069150.v4.1 transcript:Potri.015G069150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069150.v4.1 MHVLPYPSELVILSFLQIKETQWSFAVGQGSSCSNFNVLQDKKYASTCKQVITEKIISTEAVHKIPDSKVCITGILPVHLLNLPNETCNLQNCS >Potri.009G056700.1.v4.1 pep chromosome:Pop_tri_v4:9:5995529:5999213:-1 gene:Potri.009G056700.v4.1 transcript:Potri.009G056700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056700.v4.1 MGAAEPVVERESERREEEEEGNERKEEGGDVDKGEVLGVQEKVIDSSNFDVNNQFGGSHVAMMQRLNPTNPLRIVIGGSSRMPTPSPSQTSLPRSAPIRQTSLPRSAPIPQPSQTTLNSRRYTNKISLFLFVFHMVAAVGLVSFLIFKGIQGLIEASESFKRKERRILKFYLPQVETASLLSITLAFVWQKAVRQWPRIMVPFILWSSFILSLSAGILLICFQRASTDGVGVCLIAFAIGNGLYACWVTQRIGFCTEILIKSLEPVPKFGDLNQPTYWMLGVGFLWMSLWILAVIGAMNFYFTPLVITVLVLSLAWTAEVMRNIVNLTVSRVIALYYLRGMQSIPQNCFQRAFTQNLGSACLGSLFVPTIEALRVVVRGLNLLEGEDEFMFSCAHCCLNVMQSIFRYGNSWAFVQVATYGKGFVQASQDTWQLFVRQGMESIVDSDITSSICFLTGVCSGSICVIVVAAWTARVHQTFTATLSLLSFFIGYLMTRIAMAVPHACVSCYYVCYAENPSNILFDKTIQDHQRMMADRGVAAATPRVPRRFSAAEA >Potri.008G131800.6.v4.1 pep chromosome:Pop_tri_v4:8:8690461:8697147:1 gene:Potri.008G131800.v4.1 transcript:Potri.008G131800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131800.v4.1 MRFADYFGRAFSAVNSSQFPWVKMFRENTVARLADIPLSHISEAVYKTSADWINQRSIVALGSFVLWSLDSILADLASQQGGSKGSKKGAQQASSKSQVAMFVVLALVLRRKPDALVNVLPTLREGSKYQGQDKLVFIVWMIAQASHGDLAVGLYSWAHNLLPIMSGKSSNPQSRDIILQLVEKILSAPKARSILVSGAVRKGERLMPPSALEILLRATFPPSSARIKATERFAAIYPSLKEVALAGASGSKAMKQVSQQILSFALKAAGESIPELSKEAAGISIWCLTENADCYKQWDKVYQDNLESSVAILKRLMEEWKELSVKMAPLDPLRETIKNFRQKNEKGMETEADAARQALFRDADKYSKALSGKLSHGHGCLKGMAVAIVALAAGAAVMSSNLESWDWKELPVFISSQFSF >Potri.008G131800.2.v4.1 pep chromosome:Pop_tri_v4:8:8690388:8696443:1 gene:Potri.008G131800.v4.1 transcript:Potri.008G131800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131800.v4.1 MRFADYFGRAFSAVNSSQFPWVKMFRENTVARLADIPLSHISEAVYKTSADWINQRSIVALGSFVLWSLDSILADLASQQGGSKGSKKGAQQASSKSQVAMFVVLALVLRRKPDALVNVLPTLREGSKYQGQDKLVFIVWMIAQASHGDLAVGLYSWAHNLLPIMSGKSSNPQSRDIILQLVEKILSAPKARSILVSGAVRKGERLMPPSALEILLRATFPPSSARIKATERFAAIYPSLKEVALAGASGSKAMKQVSQQILSFALKAAGESIPELSKEAAGISIWCLTENADCYKQWDKVYQDNLESSVAILKRLMEEWKELSVKMAPLDPLRETIKNFRQKNEKGMETEADAARQALFRDADKYSKALSGKLSHGHGCLKGMAVAIVALAAGAAVMSSNLESWDWKELPVFISSQFSF >Potri.008G131800.4.v4.1 pep chromosome:Pop_tri_v4:8:8690389:8696389:1 gene:Potri.008G131800.v4.1 transcript:Potri.008G131800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131800.v4.1 MFFYYIHRLSHMHSFDYHVLYIADILGSFFSSIFHEIIFGCCMLQQIPLSHISEAVYKTSADWINQRSIVALGSFVLWSLDSILADLASQQGGSKGSKKGAQQASSKSQVAMFVVLALVLRRKPDALVNVLPTLREGSKYQGQDKLVFIVWMIAQASHGDLAVGLYSWAHNLLPIMSGKSSNPQSRDIILQLVEKILSAPKARSILVSGAVRKGERLMPPSALEILLRATFPPSSARIKATERFAAIYPSLKEVALAGASGSKAMKQVSQQILSFALKAAGESIPELSKEAAGISIWCLTENADCYKQWDKVYQDNLESSVAILKRLMEEWKELSVKMAPLDPLRETIKNFRQKNEKGMETEADAARQALFRDADKYSKALSGKLSHGHGCLKGMAVAIVALAAGAAVMSSNLESWDWKELPVFISSQFSF >Potri.008G131800.5.v4.1 pep chromosome:Pop_tri_v4:8:8690390:8696443:1 gene:Potri.008G131800.v4.1 transcript:Potri.008G131800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131800.v4.1 MRFADYFGRAFSAVNSSQFPWVKMFRENTVARLADVSSNLNIPLSHISEAVYKTSADWINQRSIVALGSFVLWSLDSILADLASQQGGSKGSKKGAQQASSKSQVAMFVVLALVLRRKPDALVNVLPTLREGSKYQGQDKLVFIVWMIAQASHGDLAVGLYSWAHNLLPIMSGKSSNPQSRDIILQLVEKILSAPKARSILVSGAVRKGERLMPPSALEILLRATFPPSSARIKATERFAAIYPSLKEVALAGASGSKAMKQVSQQILSFALKAAGESIPELSKEAAGISIWCLTENADCYKQWDKVYQDNLESSVAILKRLMEEWKELSVKMAPLDPLRETIKNFRQKNEKGMETEADAARQALFRDADKYSKALSGKLSHGHGCLKGMAVAIVALAAGAAVMSSNLESWDWKELPVFISSQFSF >Potri.006G271586.1.v4.1 pep chromosome:Pop_tri_v4:6:26454064:26459480:-1 gene:Potri.006G271586.v4.1 transcript:Potri.006G271586.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G271586.v4.1 MMVFLSKLSSNIITSSIQFGSASAVYCSGFMPPGQPCMSLNTSLAKEEFLQVAGIGYCHD >Potri.015G069800.2.v4.1 pep chromosome:Pop_tri_v4:15:9543464:9546537:-1 gene:Potri.015G069800.v4.1 transcript:Potri.015G069800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069800.v4.1 MMVQPRFHPCLIFLLFFSPPLSHSYCKQNSSQKTEISPAFDSSPASSMIRSASYEAVSPDHDRERLMPQLLGPPARKQAMEESSSSNGKVVAAIVVTAAITLAIAVIFFFIYLKFAKKREKVMATSDELKKVSRKVKKFTFDENGQGLLYVKSFDRKPKNTFSKVTLNPSYEEEGEEKRVDVIVEQLKKYEPQEVLLSSYGIGHGKVTEPVLRNGEPTASVTEMESPKLPPLQSPPRKKIPPPPPPPPPPPPHPPPPPPPPPPPPPTSTKPPLITKKNPASPPPPPKIGGLISLLKPPPVPRGKLNSKSREWAPTEGSLRGTSSGHTKLKPLHWDKVTADVDHSVVWDEINNGSLRFDDDLIETLFGYTTANNKILLRNEVSSSRSSSNPTPATQVFILEPRKSQNTAIVLKSLAISRKEILDALLEGHGLNTDVLEKLTRISPTQEEAVKITQYRGNPSKLADAESFLHHILKAIPSAFIRINAMLFRSNYDSEILHLKESLQTLESGCKELRTRGLFLKLLEAILKAGNRMNAGTSRGNAQGFNLTALRKLSDVKSTDGKTTLLHFVVEQVVRSEGRRRVLNRNHSMERSDSQRRINSDLNSDTLTEERNKEYLLLGLPALRDMIAEFSNVKRAAAIEFDSFVNTCSSLTARVTETQQLVVNFGNSEAGGFLMQMKGFLEDCEEELKVVRDEQKRIMEVVKRTTEYYQAGASKQKEANLLQLFVIVKDFLDMVDRVSVDISQKVQKKNVAARAGSSSPPSPPSSNPVRFPDFRLHPMSDMSRATSWSESDDGF >Potri.015G100800.1.v4.1 pep chromosome:Pop_tri_v4:15:12032093:12034206:1 gene:Potri.015G100800.v4.1 transcript:Potri.015G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HHT1 MADGSNDALKLTVKQGEPTLVPPAEETKKGLYFLSNLDQNIAVIVRTIYCFKSDVKGNEDAVEVIKNALSKILVHYYPIAGRLTISSKGKLIVDCTGEGAVFVEAETDCEIAELGDITKPDPVTLGKLVYEIPGAQNILQMPPVTAQVTKFKCGGFVLGLCTNHCMFDGIGAMEFVNSWGATARGLALDVPPFLDRSILKARIPPKIEFPHHEFDDIEDVSNTSKLYEEEMLYRSFCFDPEKLDQLKEKAMEDGVIAKCTTFQVLSAFVWRARCQALRMVPDQQIKLLFAADGRSRFEPPIPEGYFGNAIVLTNSLCTAGEIMENQLSFAVRLVQEAVKMVDDSYMRSAIDYFEVTRARPSLTATLLITTWSRLSFHTTDFGWGVPILSGPVALPEKEVILFLSHGIERKNINVLVGLPASSMKIFEELMQI >Potri.010G197600.1.v4.1 pep chromosome:Pop_tri_v4:10:19090324:19093068:1 gene:Potri.010G197600.v4.1 transcript:Potri.010G197600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197600.v4.1 MATHGQAAMDHAVLDDIIRRLTEVRSARPGKQVQLSEAEIKQLCVASRDIFLQQPVLLELEAPIKICGDIHGQYSDLLRLFEYGGFPPGANYLFLGDYVDRGKQSLETICLLLAYKIKYPENFFLLRGNHECASINRIYGFYDECKRRFNVRLWKSFTDSFNCLPVAALIDDKILCMHGGLSPDLTNLDQIRNLPRPTAVPDTGLLCDLLWSDPGRDVKGWGMNDRGVSYTFGPDKVQEFLTKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFDNAGAMMSVDENLMCSFQILKPAEKKAKFMMSNKM >Potri.011G130000.1.v4.1 pep chromosome:Pop_tri_v4:11:16391896:16395426:1 gene:Potri.011G130000.v4.1 transcript:Potri.011G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130000.v4.1 MGGGGVMRAAVKVVGTGVANAGIRRGISGGTPPVEQSMRNASSPVSAIMSSKASGGEVAAGMQRPAWEVDEWELAGGVEEEMVVDSAEPVARVVFWGSPPSLQEAKAATCELKDALQKVYLSSPNLGTGSSLGGSQLSGHPLTNSDFLETNGCISCDPNRAPVPKYAMQAFSLLNESPKIQTVVAALASDPNVWNAVWENEALQELLQSQNTNKEYVADNESVGDTDSQDAAVSSKKLTELSDDESETGNSQTRLMDVINNIKLTVVDMVTNVSAYFQKIFSFSSAEHTPDAADENAGLSTTEKTLGASLMALAVMVIMVVVLRRP >Potri.003G158902.1.v4.1 pep chromosome:Pop_tri_v4:3:16941993:16942351:1 gene:Potri.003G158902.v4.1 transcript:Potri.003G158902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158902.v4.1 MPTSLYMKVYGSIHLNREQHALLYQEILEHIIHISSRIKGWRSRAADTIYGAFLPSGRNEYTSTQGTG >Potri.011G097700.1.v4.1 pep chromosome:Pop_tri_v4:11:12586741:12589535:1 gene:Potri.011G097700.v4.1 transcript:Potri.011G097700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097700.v4.1 MKQKNMDTFVDRPHRSNSNNSSSTSNNNSNTSELFICFTSRLSSSSMKLSSKSILSPGRHRDSSQISLSNSLSRRLRSSGSMKGGQASPMFPTNGKKRGCAFENPEPSSPKVTCIGQVRVKTKKQGKKLRTRSKRRGEISFRRVDQNSNTFEGSNNHHDLINNQFLNQQQQQQEGLSHRNQRWVHFPVTICEALRAFGAEFNCFLPCRSSCMASEKEKEENTAAAGSNNNGSSSCGAVFARWLVAVQEGEGKGKEIELVVGEEVVEEERDERRRSYRRHIFEDIEFKEEEGHVFEGGNAGLQEEEARVSICIPPKNALLLMRCRSDPVKMAALANKFWESPAPQDEEDEEEDNEEGEKDRNLEAEVDKFINIENKSEVKASQEEEIKVEQEIIIEQKQDLTVSDKLAFCETIEEHYQIIQETEESLVILEAGEDSQEIGSTDDNTDGVLQEVNLVKQEEEESETPGVLNLQPTSSTQETVSLCSDESSSHDQEIVDPAALMNNENEYKVVQENEEDNQEDRVFQAEQEQVVQGLSDDIEENSVSVRFEQETLQVAVQDLQDQEPESLSVAELQVQETEEEKETTENETELAEEEPEDPKTHVNGQTGVKSREGDNSQPLLPDCLLLMMCEPKLSMEVSKETWVCSTDFIRWLPEHSRPVSKTNGKDEPKKRVSIDIKPAQVYNNGNNSNSLQQPRRSSCSYPAKPPARCAGTESMSTMIEQKLVGAKAYEPFVLTRCKSEPMRSASKLAPEACFWKNRKLEPHRPAATLGVGAAGVGF >Potri.010G223500.3.v4.1 pep chromosome:Pop_tri_v4:10:20788903:20793132:-1 gene:Potri.010G223500.v4.1 transcript:Potri.010G223500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223500.v4.1 MARSTAMKAAGLILALLIFTFLTLAVSSSNNQIQILNAERRIDLSSHIVKVFLTLKVENVGTTPASEILLAFPPSQADHLALVKAQAAIGKKKKKSYVHLDVNPTELPDAPNGTKYFSISLLDPLSLGETATLEVLYILTHSLEPFPAEISQSESQLVYFRDSALILSPYHIKQQTTFIKTPSTKVESYTRVEPTKFAGRELKYGPYEDRPPYSFSPVIVHLENNSPFAVVEELLREVEISHWGNLQITEHYKLAHAGARHKGVFSRVDYQSRPSIKGASSFKHLLASLPPRVHSVYYRDEIGNISSSHLRTDYRKSELEIEPRYPLFGGWKATFVIGYGLPLEDFLFESPDGKRYLNFSFGCPLAQTVVNKLTIKVVLPEGSKDPSAAVPFPVEQHLETKYSYLDVVGRTVLVLEKKNVVPEHITPFQVYYTFKPIFMLAEPLMLASVFFLFFMACVAYLQIDISIRK >Potri.006G217200.2.v4.1 pep chromosome:Pop_tri_v4:6:22272218:22276381:1 gene:Potri.006G217200.v4.1 transcript:Potri.006G217200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217200.v4.1 MTFVASDLVPMGGQSNSCKEMKPQEDIEVKKEQEVTNGCGENLVSCKEGADLLEKKGVHPLWTPSSRPTIMATSGPNATIPTPDQLTIFYGGSVVVFDAIPAEKVQEIMLIAAAAAAAVKPVDMKKSGSPDGTPVLTRSPSMQSTAAPHAQAYSRQNSFCRMQAELPIARRHSLQRFFEKRRDRLVSKSPYPTSPEGKEADTTKPGISAAPSPDAGCFGKSLASDELQPKVASNLA >Potri.004G054900.2.v4.1 pep chromosome:Pop_tri_v4:4:4497623:4502557:1 gene:Potri.004G054900.v4.1 transcript:Potri.004G054900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054900.v4.1 MVAGKVRLAMGLQKSPANKTETHNNTPPPKPPIPSPSSGKVSSQKAVFSRSFGVYFPRSSAQVQPRPPDVTELLKLVEELRERESLLKTELLEFKLLKESVAIVPVLETVISNKNMEIEKAVKEVESLERENESLKAELSEVRLRFGEERKEGAKKVKELEAEVVELKKAVSDRENEIELSSSQRFQGLMEATTKSTLIKSLKKGVKCTDIMSSSSQNQNVDHHSKRLEENVETEKLRHSRCNSEELTESTLSSRVPRVPKPPPKRSLSSLATSSSSVSSSGSDHSVSCPPAPHPPPPPPPPVAKKVGPPPPPPPPPPKGKRAGTEKVRRVPEVAEFYHSLMRRDSRRDSGGGVAEALPVTANARDMIGEIENRSTHLLAIKTDVEIQGDFIKFLIKEVEIAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYYDLKKLESEASLFRDNPRQPCGPALKKMQALLEKLEHGVYNLSRMRESATMRYRGFQIPTDWMLETGIIQLASVKLAMKFLKRVSSELETVGGGPEEEELIVQGVRYAFRVHQFAGGFDAETMRAFRELRDKARSCHVQCQNQQQQKLVCGSTPC >Potri.004G054900.3.v4.1 pep chromosome:Pop_tri_v4:4:4497694:4502492:1 gene:Potri.004G054900.v4.1 transcript:Potri.004G054900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054900.v4.1 MVAGKVRLAMGLQKSPANKTETHNNTPPPKPPIPSPSSGKVSSQKAVFSRSFGVYFPRSSAQVQPRPPDVTELLKLVEELRERESLLKTELLEFKLLKESVAIVPVLETVISNKNMEIEKAVKEVESLERENESLKAELSEVRLRFGEERKEGAKKVKELEAEVVELKKAVSDRENEIELSSSQRFQGLMEATTKSTLIKSLKKGVKCTDIMSSSSQNQNVDHHSKRLEENVETEKLRHSRCNSEELTESTLSSRVPRVPKPPPKRSLSSLATSSSSVSSSGSDHSVSCPPAPHPPPPPPPPVAKKVGPPPPPPPPPPKGKRAGTEKVRRVPEVAEFYHSLMRRDSRRDSGGGVAEALPVTANARDMIGEIENRSTHLLAIKTDVEIQGDFIKFLIKEVEIAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYYDLKKLESEASLFRDNPRQPCGPALKKMQALLEKLEHGVYNLSRMRESATMRYRGFQIPTDWMLETGIVSQIQLASVKLAMKFLKRVSSELETVGGGPEEEELIVQGVRYAFRVHQVKIHLLAFTFND >Potri.004G054900.1.v4.1 pep chromosome:Pop_tri_v4:4:4497623:4502557:1 gene:Potri.004G054900.v4.1 transcript:Potri.004G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054900.v4.1 MVAGKVRLAMGLQKSPANKTETHNNTPPPKPPIPSPSSGKVSSQKAVFSRSFGVYFPRSSAQVQPRPPDVTELLKLVEELRERESLLKTELLEFKLLKESVAIVPVLETVISNKNMEIEKAVKEVESLERENESLKAELSEVRLRFGEERKEGAKKVKELEAEVVELKKAVSDRENEIELSSSQRFQGLMEATTKSTLIKSLKKGVKCTDIMSSSSQNQNVDHHSKRLEENVETEKLRHSRCNSEELTESTLSSRVPRVPKPPPKRSLSSLATSSSSVSSSGSDHSVSCPPAPHPPPPPPPPVAKKVGPPPPPPPPPPKGKRAGTEKVRRVPEVAEFYHSLMRRDSRRDSGGGVAEALPVTANARDMIGEIENRSTHLLAIKTDVEIQGDFIKFLIKEVEIAAFTDIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYYDLKKLESEASLFRDNPRQPCGPALKKMQALLEKLEHGVYNLSRMRESATMRYRGFQIPTDWMLETGIVSQIQLASVKLAMKFLKRVSSELETVGGGPEEEELIVQGVRYAFRVHQFAGGFDAETMRAFRELRDKARSCHVQCQNQQQQKLVCGSTPC >Potri.003G167800.1.v4.1 pep chromosome:Pop_tri_v4:3:17685209:17689497:-1 gene:Potri.003G167800.v4.1 transcript:Potri.003G167800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167800.v4.1 MDCWSPLTMDDEFEKLVIRMNPPRVTVDNASSRTATLIEVDSANKRGSLLEVVQVLTDLNLLIRRAYISSDGEWFMDVFHVTDQHGNKLSEDDVAERIQQSLGPRGPSFRSLRRSVDVQGAAEHTTIELTGRDRPGLLSEIFAVLAGLKCNVVASEIWTHNSRMASVVYITDEATGLPIDDPDRLTKIKQLLLCILIGDRDKRSANTAVSVGSTHKERRLHQMMYADRDYDVDDADCSSASERNRFVTVENCVDKGYTVVNLRCPDRPKLIFDTVCTLTDMQYVVYHAIIIAEGPEACQEYFIRHMDGSPINSEAERQRLINCLEAAIRRRTSEGVRLELCSEDRVGLLSDVTRIFRENGLSVTRAEVTTRGSQAVNVFFVTDSSGHPVKSETIEAVRKEIGLTILNVNDDAYSKSPPQERGLLSLGNIFRSKSEKFLYHLGLIKSNS >Potri.003G167800.2.v4.1 pep chromosome:Pop_tri_v4:3:17685868:17689444:-1 gene:Potri.003G167800.v4.1 transcript:Potri.003G167800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167800.v4.1 MSQSLGPRGPSFRSLRRSVDVQGAAEHTTIELTGRDRPGLLSEIFAVLAGLKCNVVASEIWTHNSRMASVVYITDEATGLPIDDPDRLTKIKQLLLCILIGDRDKRSANTAVSVGSTHKERRLHQMMYADRDYDVDDADCSSASERNRFVTVENCVDKGYTVVNLRCPDRPKLIFDTVCTLTDMQYVVYHAIIIAEGPEACQEYFIRHMDGSPINSEAERQRLINCLEAAIRRRTSEGVRLELCSEDRVGLLSDVTRIFRENGLSVTRAEVTTRGSQAVNVFFVTDSSGHPVKSETIEAVRKEIGLTILNVNDDAYSKSPPQERGLLSLGNIFRSKSEKFLYHLGLIKSNS >Potri.014G109600.6.v4.1 pep chromosome:Pop_tri_v4:14:7331854:7335405:-1 gene:Potri.014G109600.v4.1 transcript:Potri.014G109600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109600.v4.1 MGIQKICHRSSSSDKVSCVFNGEVKLMRDNPVLGADSGNDSDDCELAELNCELGMVEGQWCCIPYELYDLPDLREILSLDTWNLCLTEEERFHLSAYLPDMDQETFCLTMKELFDGSEIYFGNPLDKFFKKLKAGFYPPKVACFREGLQFLQRKQYYHSLRAYHDRMIQKFIDMRRLWDQREMSPGIEENIFTWKNRRKQKSINMLDLNESPDDNHLLSEKVNLELKATKLVESENSAKDRPPFLSANRPKFAAPYCRPKGVLKRKASGNDSFHNYNSKVVVADFSEHYRSLPKGLLKIVPKVPSVHLEQSDIVPTGVQSNFPSGTHGIRDFKFSPLPASLCFQNAGSLHEYPFLRQKADGSRVYSPLDQPQFLMDPQESVRVTSNHPESFTRKVKLETPSSLDDNSVLGKHKLFGVDMGRFLNKECQSSLDTVGAMPYAFGSENPRANVGREFNGSSLRSLESFPFRIQYQGGEQHMTPLKEEHLTIHPRIPEVVPTISDVGNGKQETLMGSSSHQKNGESDVSIRKSEKLSSKSSVSEAFKDKKLLPLTYKRRKVVTKANSLNFGKSLTAAADLKSAIPKESNQDFREGVKTVKIKLMGLKDMPLDKEPETTLHGLK >Potri.014G109600.4.v4.1 pep chromosome:Pop_tri_v4:14:7331919:7335394:-1 gene:Potri.014G109600.v4.1 transcript:Potri.014G109600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109600.v4.1 MGIQKICHRSSSSDKVSCVFNGEVKLMRDNPVLGADSGNDSDDCELAELNCELGMVEGQWCCIPYELYDLPDLREILSLDTWNLCLTEEERFHLSAYLPDMDQETFCLTMKELFDGSEIYFGNPLDKFFKKLKAGFYPPKVACFREGLQFLQRKQYYHSLRAYHDRMIQKFIDMRRLWDQREMSPGIEENIFTWKNRRKQKSINMLDLNESPDDNHLLSEKVNLELKATKLVESENSAKDRPPFLSANRPKFAAPYCRPKGVLKRKASGNDSFHNYNSKVVVADFSEHYRSLPKGLLKIVPKVPSVHLEQSDIVPTGVQSNFPSGTHGIRDFKFSPLPASLCFQNAGSLHEYPFLRQKADGSRVYSPLDQPQFLMDPQESVRVTSNHPESFTRKVKLETPSSLDDNSVLGKHKLFGVDMGRFLNKECQSSLDTVGAMPYAFGSENPRANVGREFNGSSLRSLESFPFRIQYQGGEQHMTPLKEEHLTIHPRIPEVVPTISDVGNGKQETLMGSSSHQKNGESDVSIRKSEKLSSKSSVSEAFKDKKLLPLTYKRRKVVTKANSLNFGKSLTAAADLKSAIPKESNQDFREGVKTVKIKLMGLKDMPLDKEPETTLHGLK >Potri.014G109600.5.v4.1 pep chromosome:Pop_tri_v4:14:7332151:7335421:-1 gene:Potri.014G109600.v4.1 transcript:Potri.014G109600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109600.v4.1 MGIQKICHRSSSSDKVSCVFNGEVKLMRDNPVLGADSGNDSDDCELAELNCELGMVEGQWCCIPYELYDLPDLREILSLDTWNLCLTEEERFHLSAYLPDMDQETFCLTMKELFDGSEIYFGNPLDKFFKKLKAGFYPPKVACFREGLQFLQRKQYYHSLRAYHDRMIQKFIDMRRLWDQREMSPGIEENIFTWKNRRKQKSINMLDLNESPDDNHLLSEKVNLELKATKLVESENSAKDRPPFLSANRPKFAAPYCRPKGVLKRKASGNDSFHNYNSKVVVADFSEHYRSLPKGLLKIVPKVPSVHLEQSDIVPTGVQSNFPSGTHGIRDFKFSPLPASLCFQNAGSLHEYPFLRQKADGSRVYSPLDQPQFLMDPQESVRVTSNHPESFTRKVKLETPSSLDDNSVLGKHKLFGVDMGRFLNKECQSSLDTVGAMPYAFGSENPRANVGREFNGSSLRSLESFPFRIQYQGGEQHMTPLKEEHLTIHPRIPEVVPTISDVGNGKQETLMGSSSHQKNGESDVSIRKSEKLSSKSSVSEAFKDKKLLPLTYKRRKVVTKANSLNFGKSLTAAADLKSAIPKESNQDFREGVKTVKIKLMGLKDMPLDKEPETTLHGLK >Potri.017G029100.1.v4.1 pep chromosome:Pop_tri_v4:17:1981501:1985372:1 gene:Potri.017G029100.v4.1 transcript:Potri.017G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029100.v4.1 MDFIVDNDANGDIAGNVAVDIARARDENEIVENSVEGEFEQYDHKMDKESLAIDMIPDAVPAMSMVAADEPYMGQEFDSEASAHAFYNAYATRIGFVIRVSKLSRSRRDGSAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMILVRKASSGKWEVTKFVKEHTHSLTPGKARRDCIYDQYPNEHDKIRELSQQLALEKKRAATYKRHLELIFEQIEEHNESLSKKIQHIVHSVRGMENKPQQSQT >Potri.001G418400.1.v4.1 pep chromosome:Pop_tri_v4:1:44645554:44648708:-1 gene:Potri.001G418400.v4.1 transcript:Potri.001G418400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418400.v4.1 MAPVAPRSGDAIFASVEHVNAELFTLTYGAIVRQLLTDLEEVEEVNKQLDQMGYNIGVRLIDEFLAKSNVSRCVDFKETADVIAKVGFKMFLGVGASVTNWDADGTCCSIVLEDNPLVDFVELPDTCQGLYYCNILSGVVRGALEMVSMKTEVTWVRDMLRGDDAFELQVKLLKQVPEEYPYKDDE >Potri.014G122500.2.v4.1 pep chromosome:Pop_tri_v4:14:8255037:8257989:1 gene:Potri.014G122500.v4.1 transcript:Potri.014G122500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122500.v4.1 MNNSSTGSSSKSKAGSSSQPSETSFKRKRGMFQKDLQHMMYGFGDDPNPLPETVALVEDIVVEYVTDMAHKAQEIGSKRGKLSVEDFLFLIRKDPPKLNRCTELLSMQEELKQARKAFEVDEEKLASTE >Potri.013G008900.1.v4.1 pep chromosome:Pop_tri_v4:13:582372:592309:1 gene:Potri.013G008900.v4.1 transcript:Potri.013G008900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008900.v4.1 MLKLLSSTSRQIHTHFTSPCLRVATESQPSSFLKSLSLLTGLSQRGHKSPSFYQRAFFCSDSSSGDGGDGGGIVEVEVRSGASETEAEGGAADASNSSAIVPTSPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYCGAFLLKDEPDTDPSVVTGSESDKNIYDLKGKDLYNRLHEVGTLAQITTIQGDQVILIGHRRLRITEMVSENPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHVGDFNFPRLADFGAAISGANKLQCQEVLEELDVYKRLKLTLELVKKEMEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLETDDKTALSEKFRERLEPNREKIPEHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGNYSDENFDVLRAQKILDEDHYGLTDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARALNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHTGDPASALLELLDPEQNANFLDHYLDVPIDVSKVLFVCTANVLDTIPNPLLDRMEVVSIAGYITDEKVHIARDYLEKATREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKQIEKIYRKIALQLVRQGAIIEPAVPVAELDAEKVESIETSTESVEVSSNKQNNETLEEAEIVHTDQTPEEAEIVQTDPQPDNVQSPLDQPTDSKDTTETEKIEESEGTKAVDKVLVDTSNLADFVGKPVFHAERIYDQTPVGVVMGLAWTAMGGSTLYIETTQVEQGDGKGALNLTGQLGEVMKESAQIAHTVARGILLVKEPDNLFFSNTKLHLHVPAGATPKDGPSAGCTMITSFLSLAMKKPVRKDLAMTGEVTLTGKILPIGGVKEKTIAARRSEVKTIIFPSANRRDFDELSPNVKEGLDVHFVDDYGQIFELALGYDENEKR >Potri.008G161400.2.v4.1 pep chromosome:Pop_tri_v4:8:11099944:11104642:1 gene:Potri.008G161400.v4.1 transcript:Potri.008G161400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161400.v4.1 MARDGYVVPADPLAVAAVKKKTHPARSWILVDATGQGTILDADKHAIMNRVQIHARDLRILDPLLSYPSTILGREGAIVLNLEHIKAIITSEEVLLRDPLDENVIPVVEELQRRLPPSSVFRQGQGDGKEYPGGQQDVEAGEEDESPFEFRALEVALEAICSFLAARTTELETAAYPALDELTSKISSRNLDRVRKLKSAMTRLTARVQKVRDELEQLLDDDDDMADLYLSRKLAGASSPVSISGGANWFPASPTIGSKISRASRASVATIRGDENDVEELEMLLEAYFMQIDSSLNKLTTLREYIDDTEDYINIQLDNHRNQLIQLELFLSSGTVCLSIYSLVAGIFGMNIPYTWNDNHGYMFKWVVIVTGVFCASLFIVLMTYARHKGLVGS >Potri.009G094800.1.v4.1 pep chromosome:Pop_tri_v4:9:8572343:8575851:-1 gene:Potri.009G094800.v4.1 transcript:Potri.009G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094800.v4.1 MVFTEFRPLDEKYLVEYIKATPALSDKIGNNFDDLKIKEVGDGNLNFVYIVVSPAGSFVIKQAIPYIRCIGESWPMTKERAYFEALTLREHGRWSPENVPEVYHFDRIMSVIGMRYLEPPHIILRKGLVAGIEYPLLAEHISDYMAKTLYHTSLLFHTTTEHKHNVAEFCGNVELCRLTEQVVFSDPYKVSEYNRWTSPYLDRDAEAVREDNILKLEVAELKSKFCERAQALVHGDLHTGSVMVTHESTQVIDPEFAFYGPMGFDIGAFIGNLILAFFAQDGHADQGNDRKTYKEWILRTIKETWSLFYKKFTALWDEHKDGSGEAYLPGIYNNPELHQLVQRKFMQDLFHDTLGFGAAKMIRRIVGVAHVEDFESIPDASKRAQCERPALDLAKMLIKERRKLQSIDEVVSAIQQLQ >Potri.008G176801.2.v4.1 pep chromosome:Pop_tri_v4:8:12209083:12212771:-1 gene:Potri.008G176801.v4.1 transcript:Potri.008G176801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176801.v4.1 MSALFNFHSFLTVVLLGICTCTFVKMHFPAILEQRNGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >Potri.007G014800.1.v4.1 pep chromosome:Pop_tri_v4:7:1111858:1119527:-1 gene:Potri.007G014800.v4.1 transcript:Potri.007G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014800.v4.1 MGEIGVADADGPMQGLSGGGGEEKILVSVRLRPLNEKEIGKNDVSDWECINDDTVIYRNSLSVSERSMYPTAYKFDRVFGPGCSTRQVYGEGAKEVALSVVSGINSSVFAYGQTSSGKTYTMSGITEYTVADIYDYVDKHKEREFTLKFSAMEIYNESVRDLLSTDTTPLRLLDDPERGTVVERLTEETIRDWNHFKELLSVCEAQRQIGETSLNEASSRSHQILRLTIESSAREFVGHYKSSTLASTVNFVDLAGSERASQSLSAGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARIHVEQSRNTLLFASCAKEVTTNAQVNVVVSDKTLVKQLQRELARLESELKNTRPDSVAPDSTAVLREKDLQIEKLMKEVAELTRQLDLAQSQVENLLQSSEGDRASTPDQDHHYPKLRVRNSFRSDNSVSYSLISEDPPSLVLGARSFDASQCSDERSSRSSEATFIQFPEFEENFLPESLSPEDSDTTTDFVGNGLHEKKDAEERTSQNFDGHWKEVQCVEVEEPSINQYSNSKMSESRPYRFEESDGPSPDIKTDTLGLTKIGNEERANQELKSPPLKEQKELNDLHSTFIIPSPEKPSPWLLKESLSESRRFFIRSRSCRARLMNNSPSSHFEKVEDDESTPSNGFEKDFPGRPEGFQKKLPALKYDLDIKRLSRNVSENSMSSFAVNELKEGSVGTSPDWRTASVGNSDAGLMYMADDLAQETTAETMEDVEDDDLDAMRDNVSAKKVRDVGLDPIQYDVSEKKVKDVALDPIQEDAESASKWPLEFKRKQSKIIELWHACDVSLVHRTYFFLLFKGDPADSFYMEVEIRRISLLKDTLSRGGGTIVQGQVLTSTSSKKALIQERQMLARQMQKRLTREERENLFLKWGIRLNGTNRRLQLVHRLWTKPADMDHITESATLVAKLVGFDEQEQALKEMFGLLNFTPTHPSRRKPSIWKRSGLSFL >Potri.007G014800.4.v4.1 pep chromosome:Pop_tri_v4:7:1111921:1118724:-1 gene:Potri.007G014800.v4.1 transcript:Potri.007G014800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014800.v4.1 MGEIGVADADGPMQGLSGGGGEEKILVSVRLRPLNEKEIGKNDVSDWECINDDTVIYRNSLSVSERSMYPTAYKFDRVFGPGCSTRQVYGEGAKEVALSVVSGINSSVFAYGQTSSGKTYTMSGITEYTVADIYDYVDKHKEREFTLKFSAMEIYNESVRDLLSTDTTPLRLLDDPERGTVVERLTEETIRDWNHFKELLSVCEAQRQIGETSLNEASSRSHQILRLTIESSAREFVGHYKSSTLASTVNFVDLAGSERASQSLSAGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARIHVEQSRNTLLFASCAKEVTTNAQVNVVVSDKTLVKQLQRELARLESELKNTRPDSVAPDSTAVLREKDLQIEKLMKEVAELTRQLDLAQSQVENLLQSSEGDRASTPDQDHHYPKLRVRNSFRSDNSVSYSLISEDPPSLVLGARSFDASQCSDERSSRSSEATFIQFPEFEENFLPESLSPEDSDTTTDFVGNGLHEKKDAEERTSQNFDGHWKEVQCVEVEEPSINQYSNSKMSESRPYRFEESDGPSPDIKTDTLGLTKIGNEERANQELKSPPLKEQKELNDLHSTFIIPSPEKPSPWLLKESLSESRRFFIRSRSCRARLMNNSPSSHFEKVEDDESTPSNGFEKDFPGRPEGFQKKLPALKYDLDIKRLSRNVSENSMSSFAVNELKEGSVGTSPDWRTASVGNSDAGLMYMADDLAQETTAETMEDVEDDDLDAMRDNVSAKKVRDVGLDPIQYDVSEKKVKDVALDPIQEDAESASKWPLEFKRKQSKIIELWHACDVSLVHRTYFFLLFKGDPADSFYMEVEIRRISLLKDTLSRGGGTIVQGQVLTSTSSKKALIQERQMLARQMQKRLTREERENLFLKWGIRLNGTNRRLQLVHRLWTKPADMDHITESATLVAKLVGFDEQEQALKEMFGLLNFTPTHPSRRKPSIWKRSGLSFL >Potri.007G014800.6.v4.1 pep chromosome:Pop_tri_v4:7:1111924:1119171:-1 gene:Potri.007G014800.v4.1 transcript:Potri.007G014800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014800.v4.1 MGEIGVADADGPMQGLSGGGGEEKILVSVRLRPLNEKEIGKNDVSDWECINDDTVIYRNSLSVSERSMYPTAYKFDRVFGPGCSTRQVYGEGAKEVALSVVSGINSSVFAYGQTSSGKTYTMSGITEYTVADIYDYVDKHKEREFTLKFSAMEIYNESVRDLLSTDTTPLRLLDDPERGTVVERLTEETIRDWNHFKELLSVCEAQRQIGETSLNEASSRSHQILRLTIESSAREFVGHYKSSTLASTVNFVDLAGSERASQSLSAGMRLKEGCHINRSLLTLGTVIRKLSKGRNGHIPFRDSKLTRILQSSLGGNARTAIICTMSPARIHVEQSRNTLLFASCAKEVTTNAQVNVVVSDKTLVKQLQRELARLESELKNTRPDSVAPDSTAVLREKDLQIEKLMKEVAELTRQLDLAQSQVENLLQSSEGDRASTPDQDHHYPKLRVRNSFRSDNSVSYSLISEDPPSLVLGARSFDASQCSDERSSRSSEATFIQFPEFEENFLPESLSPEDSDTTTDFVGNGLHEKKDAEERTSQNFDGHWKEVQCVEVEEPSINQYSNSKMSESRPYRFEESDGPSPDIKTDTLGLTKIGNEERANQELKSPPLKEQKELNDLHSTFIIPSPEKPSPWLLKESLSESRRFFIRSRSCRARLMNNSPSSHFEKVEDDESTPSNGFEKDFPGRPEGFQKKLPALKYDLDIKRLSRNVSENSMSSFAVNELKEGSVGTSPDWRTASVGNSDAGLMYMADDLAQETTAETMEDVEDDDLDAMRDNVSAKKVRDVGLDPIQYDVSEKKVKDVALDPIQEDAESASKWPLEFKRKQSKIIELWHACDVSLVHRTYFFLLFKGDPADSFYMEVEIRRISLLKDTLSRGGGTIVQGQVLTSTSSKKALIQERQMLARQMQKRLTREERENLFLKWGIRLNGTNRRLQLVHRLWTKPADMDHITESATLVAKLVGFDEQEQALKEMFGLLNFTPTHPSRRKPSIWKRSGLSFL >Potri.001G321500.2.v4.1 pep chromosome:Pop_tri_v4:1:33096400:33097021:-1 gene:Potri.001G321500.v4.1 transcript:Potri.001G321500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321500.v4.1 METKRNRNIYSLQLFLAAFLLVLVLVELSSQFRVAAMQASTEQVQYSGSMVECSDEMAEEELSMESETSRRIVRAVKYITPGVLRSDSAFCGKVKRGEPYQGSCLPPPSNNYNRGCNKYYKCRS >Potri.010G240500.1.v4.1 pep chromosome:Pop_tri_v4:10:21859664:21860278:-1 gene:Potri.010G240500.v4.1 transcript:Potri.010G240500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G240500.v4.1 MNSLKPVSLSLFLLSLVSAVNMVFASDPDILSDFVAPTNTIVDGKFFTYTGMRGVLAKFPQNFTLTKATMNEFPALNGQSVSYAVLQYPAGSLNPPHTHPRAAELLFLVYGSLDVGFVDTKNVLYTQKLQVGDMFIFPKGLVHYQSNPTKEPAVAISSFGSANAGTVSVPLAVFSTGIDDGILAKAFKTDVYTIQKIKSGFGKP >Potri.008G186500.4.v4.1 pep chromosome:Pop_tri_v4:8:12986676:12987488:-1 gene:Potri.008G186500.v4.1 transcript:Potri.008G186500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186500.v4.1 MVGPSLDTTKLHLKAPSSAPATIKFLCSYGGKIIPRFPDGKLRYQGGETRVIGVDRSISFAELLLKLGELCGTSVSLRCQLPKEDLDALVSITSDEDLANLIEEYDRAGAATPTASLKIRAFLSLPKKIYSSSSKSSSSSSSSSSPSSPSNSYGGATIASTSTTPRCYYHQMSKPVAFSSKKKTPPPPQYQYGYHAHGNPGHIYLVHNGNHWQ >Potri.004G047700.1.v4.1 pep chromosome:Pop_tri_v4:4:3823476:3827486:1 gene:Potri.004G047700.v4.1 transcript:Potri.004G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047700.v4.1 MENNRLDAQSAAEKAVSVIGFGYDLTKDIRLSSCKPGPFGSRLIELDLARNQELFVPGGVIVQNVPNGIKCDKGERTRFLSDVLSFNQMSEKFNQEMCLSGKIPSGLFNAMFDLRGCWQKDAASAKNLAFDGWFITLYNVELERSHITLSEEVKYEVPATWDPVALAEFIEKYGTHIVVGVKMGGKDVMHIKQLQKSNLEPPEVQKLLREYADKRFSGDANPAELSGKPKDEHIMHWDFHGVFAHSIRPPVITSIKNGHITSICIRRGGVDVGQSHNQWLSTISQSPNVISMSFVPITSLLSGIRGSGFLSHAVNLYLRYKPPIEELHQFLEFQLPRQWAPIYGDLPLTLKRRKQATPSLRFTIMGPKLYVNTTQVDSGNRPVTGIRLYLEGKRSDHLAIHLQHLSSLPNMVQLSDDLRQEPTHEPVERGYFEPVNWSIFSHVCTAPVEYNDARIDDSASIVTKAWFEVKVVGMKKVLFLRLGFSMVASARLRRSEWDGPSTLSRKSGVFSMLISTRFSAGLNPPEKPVKVDLNSAVFPGGPPLPSRAPKMSNFVDTKEMVRGPEDPPGYWVITGAKLCVDGGRISIKVKYSLLAIMSEESMMFM >Potri.004G047700.2.v4.1 pep chromosome:Pop_tri_v4:4:3823439:3827480:1 gene:Potri.004G047700.v4.1 transcript:Potri.004G047700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047700.v4.1 MENNRLDAQSAAEKAVSVIGFGYDLTKDIRLSSCKPGPFGSRLIELDLARNQELFVPGGVIVQNVPNGIKCDKGERTRFLSDVLSFNQMSEKFNQEMCLSGKIPSGLFNAMFDLRGCWQKDAASAKNLAFDGWFITLYNVELERSHITLSEEVKYEVPATWDPVALAEFIEKYGTHIVVGVKMGGKDVMHIKQLQKSNLEPPEVQKLLREYADKRFSGDANPAELSGKPKDEHIMHWDFHGVFAHSIRPPVITSIKNGHITSICIRRGGVDVGQSHNQWLSTISQSPNVISMSFVPITSLLSGIRGSGFLSHAVNLYLRCVCLPLLYILVSPWH >Potri.001G366700.1.v4.1 pep chromosome:Pop_tri_v4:1:38287092:38290925:-1 gene:Potri.001G366700.v4.1 transcript:Potri.001G366700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366700.v4.1 MERNASSRALLSKIKDLTTSLVKELSEGRSPNICIHKFRNYCTDPNSNCLCSSDLPKGQQVLTLTRQCHACRIDVLLRVLVIVQKLLQENRHGSKRDIYYMHPSVFSEQSVVDRAINDICILLQCSRHNLNVVSVGNGLVMGWLQFLEAGRKFDCISSPTTAYTIPVHVEEVKDIVSVANYILIVEKESVFQRLANDKFCNANRCIVITGRGYPDIPTRRFLRLLVEKLCLPVYCLVDCDPYGFDILTTYRFGSMQMAYDAKILRVPEVQWLGAFPSDFEKYDLPQQCLLPLTAEDKRRTETMLLRCYLQKEVPEWRLELELMLQKGVKFEIEAFSVHTLSFLSEQYIPSKIPKVEVLPGNNIKS >Potri.004G174301.1.v4.1 pep chromosome:Pop_tri_v4:4:18961583:18964916:-1 gene:Potri.004G174301.v4.1 transcript:Potri.004G174301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174301.v4.1 MRMISAHLVVATDPCRKRKGKQTPWPFPYRKDVNPTLKWCEERDENM >Potri.006G208100.5.v4.1 pep chromosome:Pop_tri_v4:6:21611376:21615761:1 gene:Potri.006G208100.v4.1 transcript:Potri.006G208100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208100.v4.1 MSINHFSTDLQETLSWWAQQHQQQQPIMEPNPNASSSTPNEPPNISNPNSSWPPHHSWLNPYNTAQQPSSMFLPQNPTLNFNLNEEDDEDQDHEQQQQDETQQEQEVLVLDKEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGDSVDKGLLLSFEDESGRYWKFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDVVLFERHRTDGDRLFIGWRRRGESGSNSGVMVQGSGGGVWSRGILYPSSSSGPHHLSSSNIQHDLGANVTAANVPYQPYCLHAGSIAQNQTTPLGNSKRLRLFGVNLECQLDGSEPSTPDGSSVSSLQGPGHPQFYSQSSYSSNSTHGQMDIPFSGDVHRMRNRRG >Potri.006G208100.4.v4.1 pep chromosome:Pop_tri_v4:6:21610884:21614707:1 gene:Potri.006G208100.v4.1 transcript:Potri.006G208100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208100.v4.1 MSINHFSTDLQETLSWWAQQHQQQQPIMEPNPNASSSTPNEPPNISNPNSSWPPHHSWLNPYNTAQQPSSMFLPQNPTLNFNLNEEDDEDQDHEQQQQDETQQEQEVLVLDKEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGDSVDKGLLLSFEDESGRYWKFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDVVLFERHRTDGDRLFIGWRRRGESGSNSGVMVQGSGGGVWSRGILYPSSSSGPHHLSSSNIQHDLGANVTAANVPYQPYCLHAGSIAQNQTTPLGNSKRLRLFGVNLECQLDGSEPSTPDGSSVSSLQGPGHPQFYSQSSYSSNSTHGQMDIPFSGDVHRMRNRRG >Potri.006G208100.2.v4.1 pep chromosome:Pop_tri_v4:6:21611385:21613350:1 gene:Potri.006G208100.v4.1 transcript:Potri.006G208100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208100.v4.1 MSINHFSTDLQETLSWWAQQHQQQQPIMEPNPNASSSTPNEPPNISNPNSSWPPHHSWLNPYNTAQQPSSMFLPQNPTLNFNLNEEDDEDQDHEQQQQDETQQEQEVLVLDKEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGDSVDKGLLLSFEDESGRYWKFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDVVLFERHRTDGDRLFIGWRRRGESGSNSGVMVQGSGGGVWSRGILYPSSSSGPHHLSSSNIQHDLGANVTAANVPYQPYCLHAGSIAQNQTTPLGNSKRLRLFGVNLECQLDGSEPSTPDGSSVSSLQGPGHPQFYSQSSYSSNSTHGQMVSHMTL >Potri.013G096701.2.v4.1 pep chromosome:Pop_tri_v4:13:10024973:10027691:-1 gene:Potri.013G096701.v4.1 transcript:Potri.013G096701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096701.v4.1 MEQAMVCFEREDWEAWLHKKARGETFSLCHHLEECNIEEVPDEEGPPPKSSKDKKANGPDAKAPSLVFKITSKVPYKTVLKAHSAVVLKAESMADKVEWMNKISKVAQPSKGGQMRGVSPEGGPATRESLSDGSLINSLATSNVFFAMYVGWFSYVFCEAVWSSKHVHKG >Potri.002G080800.1.v4.1 pep chromosome:Pop_tri_v4:2:5700236:5703732:1 gene:Potri.002G080800.v4.1 transcript:Potri.002G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080800.v4.1 MSIFEYNGSAIVAMVGKNCFAIASDRRLGVNLQTVATDFQRIYKIHDKLFVGLSGLGTDAQTLYQRLVFRHKLYQLREERDMKPETFANLVSAVLYEKRFGPYFCQPVIAGLDDDDKPFICTMDFIGAKELAKDFVVAGSASESLYGACEAFFKPDMEPDELFEVVSQALLASVDRDCLSGWGGHIYIVTPDDIKEKILKGRMD >Potri.002G091300.6.v4.1 pep chromosome:Pop_tri_v4:2:6605105:6608162:-1 gene:Potri.002G091300.v4.1 transcript:Potri.002G091300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091300.v4.1 MVFCFLRSYRFTEATWEAFSLPNFVFRGVCHPGIFKPMRGIKVKGLSCLRTLVSDGESHVQPMPLVEEETGSSSSVQSTPEIEKNYVHRVYDAIAPHFSSTRFAKWPKVATFLNSLPSGSLVLDAGCGNGKYLGFNPDCTFVGCDISGPLIKICADRGHEVLVADAVNLPYRTGFGDAAISIAVLHHLSTENRRKRAIDELVRAVKKGGLILITVWAVEQEDRSLVTKWTPLNQKYVDEWIGPGSPRIRSPSSITLESIPETENNSKEHEKDTESLNHKFKGTVPSTSKDVRSVQNQQEYVDEWIGPGSPRIRSPSPITLESIPETENNSKEHEKDTESLNHEFKGTMPSTSKDDSSVPNQQEYFVPWHLPYHRAEVSGASACALENGLAKKDDKKGAVVYNRYYHVFSEGELER >Potri.002G091300.4.v4.1 pep chromosome:Pop_tri_v4:2:6605558:6608170:-1 gene:Potri.002G091300.v4.1 transcript:Potri.002G091300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091300.v4.1 MVFCFLRSYRFTEATWEAFSLPNFVFRGVCHPGIFKPMRGIKVKGLSCLRTLVSDGESHVQPMPLVEEETGSSSSVQSTPEIEKNYVHRVYDAIAPHFSSTRFAKWPKVATFLNSLPSGSLVLDAGCGNGKYLGFNPDCTFVGCDISGPLIKICADRGHEVLVADAVNLPYRTGFGDAAISIAVLHHLSTENRRKRAIDELVRAVKKGGLILITVWAVEQEDRSLVTKWTPLNQKYVDEWIGPGSPRIRSPSSITLESIPETENNSKEHEKDTESLNHKFKGTVPSTSKDVRSVQNQQEYVDEWIGPGSPRIRSPSPITLESIPETENNSKEHEKDTESLNHEFKGTMPSTSKDDSSVPNQQEYFVPWHLPYHRAEVSGASACALENGLAKKDDKKGAVVYNRYYHVFSEGELERLVSGMNNAVVVDRFFDKSNWCIILQKTL >Potri.002G091300.5.v4.1 pep chromosome:Pop_tri_v4:2:6605616:6608166:-1 gene:Potri.002G091300.v4.1 transcript:Potri.002G091300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091300.v4.1 MVFCFLRSYRFTEATWEAFSLPNFVFRGVCHPGIFKPMRGIKVKGLSCLRTLVSDGESHVQPMPLVEEETGSSSSVQSTPEIEKNYVHRVYDAIAPHFSSTRFAKWPKVATFLNSLPSGSLVLDAGCGNGKYLGFNPDCTFVGCDISGPLIKICADRGHEVLVADAVNLPYRTGFGDAAISIAVLHHLSTENRRKRAIDELVRAVKKGGLILITVWAVEQEDRSLVTKWTPLNQKYVDEWIGPGSPRIRSPSSITLESIPETENNSKEHEKDTESLNHKFKGTVPSTSKDVRSVQNQQEYVDEWIGPGSPRIRSPSPITLESIPETENNSKEHEKDTESLNHEFKGTMPSTSKDDSSVPNQQEYFVPWHLPYHRAEVSGASACALENGLAKKDDKKGAVVYNRYYHVFSEGELERLVSGMNNAVVVDRFFDKSNWCIILQKTL >Potri.006G111300.2.v4.1 pep chromosome:Pop_tri_v4:6:8651730:8653601:-1 gene:Potri.006G111300.v4.1 transcript:Potri.006G111300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111300.v4.1 MATAAAQLRFSTFARQYAANFTKQNNPRFPNPNSPSLSFPFSTPLNPSLRLLHSKPPHLSNAGGGFGGSGGDGGNWSGGGGGAGDSSSDNSSSSAAGFGVLGLFLNGWRSRVAADPQFPFKVLMEEVVGVSACVLGDMASRPNFGLDELDFVFSTLVVGCILNFTLMYLLAPTAAATSQTLPAIFANCPTSHMFEPGAYGLMNRLGTFVYKGTIFAAVGFAAGLVGTALSNGLIKMRKKMDPTFETPNKAPPTVLNALTWAIHMGFSSNLRYQTLNGAEFLLEKGLSPLAFKTSVVVLRCLNNVLGGMSFVILARMTGSQSVEEPKLVDVDVGLAAPEKEKLLDGGDELQSNQSTFK >Potri.006G111300.3.v4.1 pep chromosome:Pop_tri_v4:6:8651733:8653511:-1 gene:Potri.006G111300.v4.1 transcript:Potri.006G111300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111300.v4.1 MATAAAQLRFSTFARQYAANFTKQNNPRFPNPNSPSLSFPFSTPLNPSLRLLHSKPPHLSNAGGGFGGSGGDGGNWSGGGGGAGDSSSDNSSSSAAGFGVLGLFLNGWRSRVAADPQFPFKVLMEEVVGVSACVLGDMASRPNFGLDELDFVFSTLVVGCILNFTLMYLLAPTAAATSQTLPAIFANCPTSHMFEPGAYGLMNRLGTFVYKGTIFAAVGFAAGLVGTALSNGLIKMRKKMDPTFETPNKAPPTVLNALTWAIHMGFSSNLRYQTLNGAEFLLEKGLSPLAFKTSVVVLRCLNNVLGGMSFVILARMTGSQSVEEPKLVDVDVGLAAPEKEKLLDGGDELQSNQSTFK >Potri.015G051000.2.v4.1 pep chromosome:Pop_tri_v4:15:5614283:5622267:1 gene:Potri.015G051000.v4.1 transcript:Potri.015G051000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G051000.v4.1 MHNAAVANTTDGHRTKEGDDKIKMHTHSYCCLDYAQIYDVVRYRLHRMRKKLKDELEDKNTVQEYTCPNCGRRYNALDALRLMSLVDEYFHCENCDGELVAESDKLAAQEGGDGDDNARRRRREKLKDMLQKMEVQLKPLMAQLSRVKDLPVPEFGSLQEWQIHASAAGRAANGDSSYNDPSRSSQGYGGTPMPFLGETKVEVSFSGVEGKEDLKSETASTGLKVLPPWMIKQGMNLTKEQRGEVKQGSKMDDSSAAAEPPDDKKISIENDDKIKDEYVKAYYAALLQKQREAEESAEKQQELLQTSISNGFSKSSSDRQVGMKSKREEDDEPDDDVEWEEAPIGGNTSEGFKVNDLNAEAPASGEDDEDDIDWEEG >Potri.015G051000.1.v4.1 pep chromosome:Pop_tri_v4:15:5614284:5622267:1 gene:Potri.015G051000.v4.1 transcript:Potri.015G051000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G051000.v4.1 MSIEPFNRLVKLAARAFYDDITTKGDNQPKTGRSDNRGIAVVVLDALTRRQWVREEDLAKELKLHSKQLRRTLRFFEEEKLVTRDHRKETAKAAKMHNAAVANTTDGHRTKEGDDKIKMHTHSYCCLDYAQIYDVVRYRLHRMRKKLKDELEDKNTVQEYTCPNCGRRYNALDALRLMSLVDEYFHCENCDGELVAESDKLAAQEGGDGDDNARRRRREKLKDMLQKMEVQLKPLMAQLSRVKDLPVPEFGSLQEWQIHASAAGRAANGDSSYNDPSRSSQGYGGTPMPFLGETKVEVSFSGVEGKEDLKSETASTGLKVLPPWMIKQGMNLTKEQRGEVKQGSKMDDSSAAAEPPDDKKISIENDDKIKDEYVKAYYAALLQKQREAEESAEKQQELLQTSISNGFSKSSSDRQVGMKSKREEDDEPDDDVEWEEAPIGGNTSEGFKVNDLNAEAPASGEDDEDDIDWEEG >Potri.001G242900.2.v4.1 pep chromosome:Pop_tri_v4:1:26049040:26052429:-1 gene:Potri.001G242900.v4.1 transcript:Potri.001G242900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242900.v4.1 MDVNRKVSEEDIEEYLVKKAIKKANKLTSRSSSSFSSFYSNQSNPFGDSNLDEKFVWRKKIERDIAQGLTFFSLKTERRRQRERMEDIEKVKKRREQRAFEKRQHEEERELLARERARAEFQDWQKKEDCAIPQFVANDDERKNQIQEPVEPEPPTLLEKFNLNKAMGAMKEGDGVIGSVAEVNLDSQVEWWCDKYQPRKPKYVNTFHTGYEWNKYNRTHYDHENPPPKIVRGYKFNIFYPDMINRTETPTYTCEDDSETCIIRFHAGPPYEDIAFRIVKKDWDVSHKKGFKSTFDGVILRLHFNFRRHSYRRADSKNGGKTIKLYDTNLFLVRWQKIYEFNQTQHPSLRKGRGGEKKARRNNQEGTLDPLLDLFACSFKNHHVCANKQAWQHSAS >Potri.001G242900.3.v4.1 pep chromosome:Pop_tri_v4:1:26049040:26052429:-1 gene:Potri.001G242900.v4.1 transcript:Potri.001G242900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242900.v4.1 MDVNRKVSEEDIEEYLVKKAIKKANKLTSRSSSSFSSFYSNQSNPFGDSNLDEKFVWRKKIERDIAQGLTFFSLKTERRRQRERMEDIEKVKKRREQRAFEKRQHEEERELLARERARAEFQDWQKKEDCAIPQFVANDDERKNQIQEPVEPEPPTLLEKFNLNKAMGAMKEGDGVIGSVAEVNLDSQVEWWCDKYQPRKPKYVNTFHTGYEWNKYNRTHYDHENPPPKIVRGYKFNIFYPDMINRTETPTYTCEDDSETCIIRFHAGPPYEDIAFRIVKKDWDVSHKKGFKSTFDGVILRLHFNFRRHSYRRWQKIYEFNQTQHPSLRKGRGGEKKARRNNQEGTLDPLLDLFACSFKNHHVCANKQAWQHSAS >Potri.001G242900.5.v4.1 pep chromosome:Pop_tri_v4:1:26049041:26052428:-1 gene:Potri.001G242900.v4.1 transcript:Potri.001G242900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242900.v4.1 MDVNRKVSEEDIEEYLVKKAIKKANKLTSRSSSSFSSFYSNQSNPFGDSNLDEKFVWRKKIERDIAQGLTFFSLKTERRRQRERMEDIEKVKKRREQRAFEKRQHEEERELLARERARAEFQDWQKKEDCAIPQFVANDDERKNQIQEPVEPEPPTLLEKFNLNKAMGAMKEGDGVIGSVAEVNLDSQVEWWCDKYQPRKPKYVNTFHTGYEWNKYNRTHYDHENPPPKIVRGYKFNIFYPDMINRTETPTYTCEDDSETCIIRFHAGPPYEDIAFRIVKKDWDVSHKKGFKSTFDGVILRLHFNFRRHSYRRWQKIYEFNQTQHPSLRKGRGGEKKARRNNQEEEFF >Potri.001G242900.4.v4.1 pep chromosome:Pop_tri_v4:1:26049041:26052428:-1 gene:Potri.001G242900.v4.1 transcript:Potri.001G242900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242900.v4.1 MDVNRKVSEEDIEEYLVKKAIKKANKLTSRSSSSFSSFYSNQSNPFGDSNLDEKFVWRKKIERDIAQGLTFFSLKTERRRQRERMEDIEKVKKRREQRAFEKRQHEEERELLARERARAEFQDWQKKEDCAIPQFVANDDERKNQIQEPVEPEPPTLLEKFNLNKAMGAMKEGDGVIGSVAEVNLDSQVEWWCDKYQPRKPKYVNTFHTGYEWNKYNRTHYDHENPPPKIVRGYKFNIFYPDMINRTETPTYTCEDDSETCIIRFHAGPPYEDIAFRIVKKDWDVSHKKGFKSTFDGVILRLHFNFRRHSYRRADSKNGGKTIKLYDTNLFLVRWQKIYEFNQTQHPSLRKGRGGEKKARRNNQEEEFF >Potri.002G092500.3.v4.1 pep chromosome:Pop_tri_v4:2:6706810:6711989:1 gene:Potri.002G092500.v4.1 transcript:Potri.002G092500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092500.v4.1 MDIRSLSSSHRHPQDAPYVHKVGLPPKQNLFSEFKATVKETFFADDPLRPFKDQPSSKKFILCVQAIFPIFEWGRSYNFAKFRGDLIAGLTIASLCIPQDIAYAKLANLDPQYGLYTSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEIDPVGNATEYRRLAFTATFFAGITQVTLGFFRLGFLIDFLSHAAVVGFMGGAAITISLQQLKGFLGIKKFTKKTDIVSVMHSVFASAHHGWNWQTIVIGVSFLSFLLVAKYIGKKNKKFFWVPAIGPLISVVLSTFFVYITRADKQGVQIVKHIHKGINPPSVNQIYFSGDYLLKGARIGIVAGMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMATVVFFTLKFLTPLFKYTPNAILAAIIISAVISLIDFDAAYLIWKIDKFDFVACMGAFFGVVFVSVEIGLLIAVSISFAKILLQVTRPRTAILGNLPRTTVYRNILQYPEAAKVPGVLIVRVDSAIYFSNSNYIKERILRWLRDEDELVNKSGQTKIQFLIVEMSPVTDIDTSGIHAMEELFRSLQKREIQLILANPGPAVIDKLHASGSAQLIGEDKIFLTVADAVASCCPKSVGEV >Potri.002G092500.2.v4.1 pep chromosome:Pop_tri_v4:2:6705274:6711977:1 gene:Potri.002G092500.v4.1 transcript:Potri.002G092500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092500.v4.1 MDIRSLSSSHRHPQDAPYVHKVGLPPKQNLFSEFKATVKETFFADDPLRPFKDQPSSKKFILCVQAIFPIFEWGRSYNFAKFRGDLIAGLTIASLCIPQDIAYAKLANLDPQYGLYTSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEIDPVGNATEYRRLAFTATFFAGITQVTLGFFRLGFLIDFLSHAAVVGFMGGAAITISLQQLKGFLGIKKFTKKTDIVSVMHSVFASAHHGWNWQTIVIGVSFLSFLLVAKYIGKKNKKFFWVPAIGPLISVVLSTFFVYITRADKQGVQIVKHIHKGINPPSVNQIYFSGDYLLKGARIGIVAGMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMATVVFFTLKFLTPLFKYTPNAILAAIIISAVISLIDFDAAYLIWKIDKFDFVACMGAFFGVVFVSVEIGLLIAVSISFAKILLQVTRPRTAILGNLPRTTVYRNILQYPEAAKVPGVLIVRVDSAIYFSNSNYIKERILRWLRDEDELVNKSGQTKIQFLIVEMSPVTDIDTSGIHAMEELFRSLQKREIQLILANPGPAVIDKLHASGSAQLIGEDKIFLTVADAVASCCPKSVGEV >Potri.002G092500.4.v4.1 pep chromosome:Pop_tri_v4:2:6707056:6711984:1 gene:Potri.002G092500.v4.1 transcript:Potri.002G092500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092500.v4.1 MDIRSLSSSHRHPQDAPYVHKVGLPPKQNLFSEFKATVKETFFADDPLRPFKDQPSSKKFILCVQAIFPIFEWGRSYNFAKFRGDLIAGLTIASLCIPQDIAYAKLANLDPQYGLYTSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEIDPVGNATEYRRLAFTATFFAGITQVTLGFFRLGFLIDFLSHAAVVGFMGGAAITISLQQLKGFLGIKKFTKKTDIVSVMHSVFASAHHGWNWQTIVIGVSFLSFLLVAKYIGKKNKKFFWVPAIGPLISVVLSTFFVYITRADKQGVQIVKHIHKGINPPSVNQIYFSGDYLLKGARIGIVAGMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMATVVFFTLKFLTPLFKYTPNAILAAIIISAVISLIDFDAAYLIWKIDKFDFVACMGAFFGVVFVSVEIGLLIAVSISFAKILLQVTRPRTAILGNLPRTTVYRNILQYPEAAKVPGVLIVRVDSAIYFSNSNYIKERILRWLRDEDELVNKSGQTKIQFLIVEMSPVTDIDTSGIHAMEELFRSLQKREIQLILANPGPAVIDKLHASGSAQLIGEDKIFLTVADAVASCCPKSVGEV >Potri.002G092500.5.v4.1 pep chromosome:Pop_tri_v4:2:6706475:6711960:1 gene:Potri.002G092500.v4.1 transcript:Potri.002G092500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092500.v4.1 MDIRSLSSSHRHPQDAPYVHKVGLPPKQNLFSEFKATVKETFFADDPLRPFKDQPSSKKFILCVQAIFPIFEWGRSYNFAKFRGDLIAGLTIASLCIPQDIAYAKLANLDPQYGLYTSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQNEIDPVGNATEYRRLAFTATFFAGITQVTLGFFRLGFLIDFLSHAAVVGFMGGAAITISLQQLKGFLGIKKFTKKTDIVSVMHSVFASAHHGWNWQTIVIGVSFLSFLLVAKYIGKKNKKFFWVPAIGPLISVVLSTFFVYITRADKQGVQIVKHIHKGINPPSVNQIYFSGDYLLKGARIGIVAGMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNVVGSMTSCYVATGSFSRSAVNYMAGCQTAVSNIVMATVVFFTLKFLTPLFKYTPNAILAAIIISAVISLIDFDAAYLIWKIDKFDFVACMGAFFGVVFVSVEIGLLIAVSISFAKILLQVTRPRTAILGNLPRTTVYRNILQYPEAAKVPGVLIVRVDSAIYFSNSNYIKERILRWLRDEDELVNKSGQTKIQFLIVEMSPVTDIDTSGIHAMEELFRSLQKREIQLILANPGPAVIDKLHASGSAQLIGEDKIFLTVADAVASCCPKSVGEV >Potri.006G112500.1.v4.1 pep chromosome:Pop_tri_v4:6:8731974:8732987:-1 gene:Potri.006G112500.v4.1 transcript:Potri.006G112500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112500.v4.1 MEAAAAAATFTGHKRSSSFSLRCPSLNFLRLRRIFDLFDKNGDGMITIEEISQALSLLGLEADFSDLEFTIKSHIKPGSSGLSFEDFVSLHQSLDSSFFGYDNIASEEEAANDIGDQARMRQEESDLSEAFKVFDEDGDGYISAHELQVVLRKLGLPEAKEIDRIHQMITSVDRNQDGRVDFFEFKDMMRSVLVRS >Potri.004G153850.1.v4.1 pep chromosome:Pop_tri_v4:4:17470917:17471267:-1 gene:Potri.004G153850.v4.1 transcript:Potri.004G153850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153850.v4.1 MLLLWRCTVLLLHMNGGCGDSHQNMVCHSHRMLYIFNYLGVTSIPERHFLTFTDEVGPKFQLSTG >Potri.007G132900.6.v4.1 pep chromosome:Pop_tri_v4:7:14588043:14595049:-1 gene:Potri.007G132900.v4.1 transcript:Potri.007G132900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132900.v4.1 MHSFQINNNVDLIIESSGEPSNHASPQKKSEIELVHQEDHEPVLQSNNLGDEKEIVDKLRIADVPETPLLNGTTSNGGVAGENRVSEWLWTLHRIVVDVVRTDSHLEFYEDKRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWHILELTDKEMFAHLSRIGAESLHFAFRMLMVLFRRELSFSEALRMWEMMWAADFDESVVCDLEENCLDALLLNVPRDCEGDTREESIENSDGSSRDGSQSKHGNVEHLTSDNTGMKSASAYNFCGFTKNFWSRNDRMQITNAISSTKNGDDELPVFCVAAILIMNRQKIIKETRSIDDMIKIFNDRLLKIHVKRCIRTATKLRKKYFYKLIKSKNPVVQNGE >Potri.007G132900.2.v4.1 pep chromosome:Pop_tri_v4:7:14590117:14596605:-1 gene:Potri.007G132900.v4.1 transcript:Potri.007G132900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132900.v4.1 MSGGEREEKQWKCGKAIAVANLQRVGTMVRDIGEPCLSQSPIKVVILVGRMLKPEKWQSTFDSNGKVSCFRKALKLIVLGGVDPSIRPQVWEFLLGCYTLGTTAEYRRQLRTARRERYRDLIEQCQKMHSSIGTGALAFAVGSKVMDMRTPSKDDGRGEEATVKSRQTSVDVTNSLENYSDWNNNCTDTACTCAGQSSSDSAGLVSVRGSSDSAAHDSSCFIPTSGPLNSDSPKRGGEAHGSEYVVESYFDFPPLPVTNLFDKREDKKESGVLDDKPRTQRKLRFQDDRMHSFQINNNVDLIIESSGEPSNHASPQKKSEIELVHQEDHEPVLQSNNLGDEKEIVDKLRIADVPETPLLNGTTSNGGVAGENRVSEWLWTLHRIVVDVVRTDSHLEFYEDKRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWHILELTDKEMFAHLSRIGAESLHFAFRMLMVLFRRELSFSEALRMWEMMWAADFDESVVCDLEENCLDALLLNVPRDCEGDTREESIENSDGSSRDGSQSKHGNVEHLTSDNTGMKSASAYNFCGFTKNFWSRNDRMQITNAISSTKNGDDELPVFCVAAILIMNRQKIIKETRSIDDMIKIFNDRLLKIHVKRCIRTATKLRKKYFYKLIKSKNPVVQNGE >Potri.007G132900.3.v4.1 pep chromosome:Pop_tri_v4:7:14588541:14596689:-1 gene:Potri.007G132900.v4.1 transcript:Potri.007G132900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132900.v4.1 MSGGEREEKQWKCGKAIAVANLQRVGTMVRDIGEPCLSQSPIKVGRMLKPEKWQSTFDSNGKVSCFRKALKLIVLGGVDPSIRPQVWEFLLGCYTLGTTAEYRRQLRTARRERYRDLIEQCQKMHSSIGTGALAFAVGSKVMDMRTPSKDDGRGEEATVKSRQTSVDVTNSLENYSDWNNNCTDTACTCAGQSSSDSAGLVSVRGSSDSAAHDSSCFIPTSGPLNSDSPKRGGEAHGSEYVVESYFDFPPLPVTNLFDKREDKKESGVLDDKPRTQRKLRFQDDRMHSFQINNNVDLIIESSGEPSNHASPQKKSEIELVHQEDHEPVLQSNNLGDEKEIVDKLRIADVPETPLLNGTTSNGGVAGENRVSEWLWTLHRIVVDVVRTDSHLEFYEDKRNLARMSDILAVYAWVDPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWHILELTDKEMFAHLSRIGAESLHFAFRMLMVLFRRELSFSEALRMWEMMWAADFDESVVCDLEENCLDALLLNVPRDCEGDTREESIENSDGSSRDGSQSKHGNVEHLTSDNTGMKSASAYNFCGFTKNFWSRNDRMQITNAISSTKNGDDELPVFCVAAILIMNRQKIIKETRSIDDMIKIFNDRLLKIHVKRCIRTATKLRKKYFYKLIKSKNPVVQNGE >Potri.011G059500.1.v4.1 pep chromosome:Pop_tri_v4:11:4983138:4984721:-1 gene:Potri.011G059500.v4.1 transcript:Potri.011G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059500.v4.1 MDVDQGNGVSELFKAQCHLYKHIYYFIESMSLKCAVQLSIPDVIQKNNQPITLQQLVSALNFPESKANFLQRLMRVLVHSGFFDTIKIHDNQEEVEEGYVLTSSSKLLLKDSPTSLSPVVLTMLDPVLMNPWFSLGEWIQGKERTPFETYHGMSFGEYGKRNFKFINNLNEGMTSDSKLVSLVVKEHKEIFESVDSLVDVGGGTGTLARSIADAYPHMKCTVLDLPQVVANLPESENLKFVGGDMFKSIPSADAIIIKSVLLNWSDEDCIKILKRCREAIPCEDDGGKLVLVEMVINDQKDEQELTKTRLFVDMEMMLLCNGRGRNEIEWKKLFLEAGFSHYKITATSGLNSIIEVYP >Potri.017G117010.1.v4.1 pep chromosome:Pop_tri_v4:17:12396872:12400073:-1 gene:Potri.017G117010.v4.1 transcript:Potri.017G117010.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117010.v4.1 MHPLTTTSSFLLTLFFSLHLTTSLLSNDTSNLSNCNQNFSCGDLTNVTYPFTGGLRPSHCGPPEFGLTCDDESVTILKANSLSYRVTHLDQTSQTLRLSRSDLYDDGKCTRQFTNTTLDDRIFSLGSSHELYLFYGCKKINDSGMGSDPLLKISRFSCENDGVTEEGFFSIVYPNGTEYSFPNTFECQTNIRVPIPETRAQQLLENGSLVGEVLKEGFDVSYGNPYSANCTECYKKHPGGYCGFDTQLGKPICICHDQLCPGSSRSGRRLQIAAGTSAAAAAILTLFVITIYLTRREGSFSAVIAMTFRLKNSQHVGRVETFMMDYHSLTPKRYSYSDIKKMTNSFVNTLGEGGFGNVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISHKGSPHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQSKDFDMGSLETNELYFPDWFYMYLDPGEISIFHGGTTEEEKEIVKKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSLSSPRRSAQEYHSSTVSSLPCVSSQGGGVKTLFADESDL >Potri.002G135000.2.v4.1 pep chromosome:Pop_tri_v4:2:10158734:10159795:1 gene:Potri.002G135000.v4.1 transcript:Potri.002G135000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135000.v4.1 MISFASIKNHHHHHPLLFCASPSNYNLHTRRLQICSLSSVAPLEAMLFDIDGTLRDSDPLHLYAFREMLRTPITEEFFIENISGRHNEDLCHILLSDWEIQRSRKFMEDQEAMFQRACKSCANGSRIAGLRRAAVTNAPISDAELLASMLGLSDSFEILVIGNECDRAKPFPDPYLKALQTPDISHKHAFDSVSGMAAGMPVVGLGTRNPEQLLTEATFVV >Potri.001G322300.1.v4.1 pep chromosome:Pop_tri_v4:1:33171080:33175533:1 gene:Potri.001G322300.v4.1 transcript:Potri.001G322300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322300.v4.1 MDSHRQQSLIPSFLYSSSSYAKTLTLSTLLHSDQPSLSPSLTPAMGRKSSGGFVIPAPSEPGKRIEMYSPAFYAACTVGGILSCGLTHTTVTPLDLVKCNMQIDPAKYKSISSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPENAAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLSDGMPKFVKAEGALGLYKGIVPLWGRQIPYTMMKFASFETIVEMIYKYSIPVPKDQCSKSLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKLGVWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPAAAPAKV >Potri.012G033000.1.v4.1 pep chromosome:Pop_tri_v4:12:789081:791807:1 gene:Potri.012G033000.v4.1 transcript:Potri.012G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033000.v4.1 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >Potri.012G033000.6.v4.1 pep chromosome:Pop_tri_v4:12:789632:792063:1 gene:Potri.012G033000.v4.1 transcript:Potri.012G033000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033000.v4.1 MFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRNKYETTARSWTQKYAMG >Potri.015G071300.1.v4.1 pep chromosome:Pop_tri_v4:15:9689530:9693479:1 gene:Potri.015G071300.v4.1 transcript:Potri.015G071300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071300.v4.1 MQTGHKRKQQHELKPRTNKKSAETISNIKEEEPFPTHARPTPEECRAIRDSLLAFHGFPQEFAKYRKQRPYLITLQDKEESPHLINNCDGKNDNVVKVEEEEEEEEEEEESALDGLVKTVLSQNTTEVNSQRAFLNLKSAFPTWENVLAAESKFIEDAIRCGGLAPTKAACIRNILSSLMEKKGRLCLEYLRDLPVAEIKAELSHFKGIGPKTVACVLMFNLQKDDFPVDTHVFEIAKAIGWVPPVADRNKTYLHLNHRIPKELKFDLNCLLYTHGKLCRKCTKKSGSQQRKETHDDSCPLLNYCVKLS >Potri.006G245600.1.v4.1 pep chromosome:Pop_tri_v4:6:24619404:24621907:1 gene:Potri.006G245600.v4.1 transcript:Potri.006G245600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245600.v4.1 MKAKTHILPFFATLTLICLTTAQHHSFSSNAGPRDLQTIHIKQSQRQLLHYREELDIEDEYLMLPACLKFDNPRLRSAYIALQAWKLAIISDPLNLTSNWVGSDVCNYTGVFCATSLDNSSIQTVAGIDLNHGDMAGHLVAELGLLTDIALFHVNSNRFCGKVPKSFKKLKLLYELDLSNNRFAGRFPYVVLDLPKLKYLDLRFNEFEGDLPKELFDKDLDAIFINHNRFALELPDNFGNSPVSVIVLANNKFHGCFPTSLVNMSKNLNEVILMNNGLRSCLPREIGLLKKVTVFDASNNKLYGSLPDNIGEMESLELLNVAHNMLSGNIPGSVCLLPHLKNFSYAYNFFTGEPPACLDLENFDDGRNCLRNRPKQRSTLQCKVFLSRPVKCEAFKCHKFDPSPPPPPPPPQSPPPPPPPSPAPPSALPSPPPPSSMPPPPPIHPPPPFYSPPPPPNPPPPPPPRPIYQSPPPPPPPNSPPPPPSCAEPPPPPSPPPCHEQPPPPSPPVQYLPPPPPPVYNSPPPPAPVYNGPLPPISGIPYASPPPPPLY >Potri.008G072200.1.v4.1 pep chromosome:Pop_tri_v4:8:4448378:4449944:-1 gene:Potri.008G072200.v4.1 transcript:Potri.008G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072200.v4.1 MWPNWLRSPSRFPPSPRSQPPSPRFCCSSFKDIQTLVTEEPEPISPKSPSLFHRARISTSVLRSWAHRHAHPSTNQSISLPTHLDQHIILYFTSLRIVRRTFEDCRTVRSILRGFRVQIDERDLSMDGMYLDELQEIIGSKKVTLPIVFIGGKYIGGVKEITELHESGDLKKLIGGLPLSVTNACDTCGGLSFVLCEQCSGSHKIYTAKYGFKNCTACNVNGLIRCPTCVPSLRRRRSSSS >Potri.007G068600.2.v4.1 pep chromosome:Pop_tri_v4:7:8909766:8913401:1 gene:Potri.007G068600.v4.1 transcript:Potri.007G068600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068600.v4.1 MCCRKLSTFKPKPPLIPPIFSRRYSLNPKSISKISLECRIIEEEPTNMNTNRHYGTCVSLLNHPNPEISCFYQKGFSQITKEVTGKALHALFIKGLVNLTVFYSNTLINMYSKFGHIGFSRYLFDKMSERNDASWNNMMSGFVRAGFYRESMRFFNEMRDFGVKPSGIAVASLVTACERSEWMLIEGVQVHGFIVKVGLLSDVFVGTSLVHLYGNYGLAADAMKVFQEMIYKNVVSWTALMVAYVDYGEPSMVMNIYRRMRSEGMSCNDNTMSSVISTCVSLENELLGYQVLGHVIKYGLETNVSVANSLISMFGYFGSVEEACYVFSGMDEHDTISWNSMIAAYIRNGLCKESLRCFSWMFRVHKEINSTTLSTMLAGCGSVDNLKWGRGIHSLVLKFGWNSNVCASNTLITMYSDAGRCEDAELVFQGMVEKDMISWNSMMACYAQDGNCLDALKLLATMFYMRRGANYVTFTSALAACSDPEFATEGKILHALVIHIGLHENVIVGNALVTLYAKSGLMIEAKKVFQTMPKRDGVTWNALIGGHADSEEPDEALKAFKLMREEGVPINYITISNVLGACLAPNDLLEHGMPIHAFIILTGFQSDEYVQNSLITMYAKCGDLNSSNNIFDRLTYKNASAWNAMMAANAHHGHMEEALKFLLEMRRAGVNVDEFSFSECLAAAAKLAILEEGQQLHGLAVKLGCDSNPFVASATMDMYGKCGEIDDVLRIIPRPINRSRLSWNILTSSFSRHGFFEKAKETFHEMINLGVKPDHVTFVSLLSACSHGGMVEEGLAYYDSMIKEFGIPAKIGHCVCIIDLLGRSGRFAEAETFIKEMPVSPTDHVWRSLLAACKTHGNLELGRKAVENLLKLDPSDDSAYVLYSNICATTGKWEDVEKIRRQMGLNKIKKKPACSWVKLKNKLSLFGMGDHSHPQASEIYAKLEELKKMIKEAGYIPDISYALQDTDEEQKEHNLWNHSERLALAYGLISSPEGSTLKIFKNLRVCGDCHSVYKFASGILGRKIVLRDPYRFHQFSGGQCSCTDYW >Potri.007G005800.1.v4.1 pep chromosome:Pop_tri_v4:7:407078:410705:1 gene:Potri.007G005800.v4.1 transcript:Potri.007G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005800.v4.1 MAGVNEMIEGSELITETIEKLPATVSREGKGKRLCNKAQYQLVEYHSLPGYLRDNEFIVGHYRPEWPLKQVLLSVFTIHNETLNVWTHLIGFFLFLSLTIYTAMKVPKVVDLHSLHLPEVLKADLHRLQECLPSLPTMPDLHKLREELKSTFPSIDMLPSLSGWHVMDLLYNCLPERFSHGNQTDVYVLRTVKEDVANIIAPLMMMRPITRWPFYAFLGGAMFCLLASSTCHLLSCHSKRSSYILLRLDYAGIAALISTSFFPPVYYSFMCNPFFCNLYMGFITVLGVSTIMVSLLPVFQNPEFRTVRASLFLGMGLSGIAPILHKLILFWHQPEALHTTGYEVLMGIFYGLGALVYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYHAGLVYLKWRDLEGC >Potri.019G030600.2.v4.1 pep chromosome:Pop_tri_v4:19:4284036:4287234:-1 gene:Potri.019G030600.v4.1 transcript:Potri.019G030600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030600.v4.1 MRGFLSSTKLAFLHTTIFFFHVLSSDGFPSPNGTSGNCVLDFKEFPYEPTGECIGHQEKIKDWDSFSTTLCCRNLLNDLTKLLASRAISNTDVDILLPKDQWMECIHGFPGQNSVSPASCGFDDLYNERSACSRFSLSSITEQWYYKKALASCTNFSSSYDYGCSSCIEALSDLRDYQLRISNVSANDKKAKIVCGVAVLISVVAENLKNSAWIDDFYRCLPASDAFNEGYMQIKYSLVKVVFAIFIAIITLLLVFLLIKYVSKGKKKRPKPVIQSKEITTWSGLYRFSKAEIENAINHSKVRKSLGRGSAGEVYKGVLPSGQAVAIKHIHKSNSCDSFQREVEGLSRVRHPNLVCLFGCCIEGDDRYLVYEYCAAGNLSQHLLSKHTVLTWERRVKILRDCALGLRYLHHFIDGCIVHRDIKLTNILLTENLEPKLSDFGLAKMLGMEESKVFTDVRGTMGYMDPEYMSNAKLTCASDIYSFGIVTLQLLSGQKVIELDLDSRDQLTRKAKDASAGKRPLTDFEDPRLNGKVNSVDFEAILQIAVLCVAKSSKGRPTIDDVFEEIEKAWKNTLAEMKANREMVSSMSRSLEAIPV >Potri.019G030600.1.v4.1 pep chromosome:Pop_tri_v4:19:4283724:4287585:-1 gene:Potri.019G030600.v4.1 transcript:Potri.019G030600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030600.v4.1 MIRRGFLSSTKLAFLHTTIFFFHVLSSDGFPSPNGTSGNCVLDFKEFPYEPTGECIGHQEKIKDWDSFSTTLCCRNLLNDLTKLLASRAISNTDVDILLPKDQWMECIHGFPGQNSVSPASCGFDDLYNERSACSRFSLSSITEQWYYKKALASCTNFSSSYDYGCSSCIEALSDLRDYQLRISNVSANDKKAKIVCGVAVLISVVAENLKNSAWIDDFYRCLPASDAFNEGYMQIKYSLVKVVFAIFIAIITLLLVFLLIKYVSKGKKKRPKPVIQSKEITTWSGLYRFSKAEIENAINHSKVRKSLGRGSAGEVYKGVLPSGQAVAIKHIHKSNSCDSFQREVEGLSRVRHPNLVCLFGCCIEGDDRYLVYEYCAAGNLSQHLLSKHTVLTWERRVKILRDCALGLRYLHHFIDGCIVHRDIKLTNILLTENLEPKLSDFGLAKMLGMEESKVFTDVRGTMGYMDPEYMSNAKLTCASDIYSFGIVTLQLLSGQKVIELDLDSRDQLTRKAKDASAGKRPLTDFEDPRLNGKVNSVDFEAILQIAVLCVAKSSKGRPTIDDVFEEIEKAWKNTLAEMKANREMVSSMSRSLEAIPV >Potri.014G121300.1.v4.1 pep chromosome:Pop_tri_v4:14:8154965:8156253:-1 gene:Potri.014G121300.v4.1 transcript:Potri.014G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121300.v4.1 MAGQAADSINQGLRVKATLKLGSELYAVNSCNGNTVSEQLVSMKEQSMSILKEFITKHNVPHDVPDEIAESSSEDEVLENPRVKTKKSKLT >Potri.002G136400.2.v4.1 pep chromosome:Pop_tri_v4:2:10248507:10251500:1 gene:Potri.002G136400.v4.1 transcript:Potri.002G136400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136400.v4.1 MGDKNDGLGLSLSLGYATQRNHHQQPSLKLNLMPLASQNKHKKTSWTDLFQSPDRTCDTRLFQRGIDMNRVPAAVADCDDETGVSSPNSTLSSLISGKRSEREQIGEETEAERASCSRGSDDEDGGDASRKKLRLSKEQSSVLEENFKEHNTLNPKEKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKTCCENLTEENRRLLKEVQELRALKLSPQLYMHMNPPTTLTMCPSCKRVVSSASSSSAAVVSSALAPIASTPQPQRPVPINPWAAMPIHHRTFDAPASSS >Potri.015G049100.2.v4.1 pep chromosome:Pop_tri_v4:15:5146190:5151747:-1 gene:Potri.015G049100.v4.1 transcript:Potri.015G049100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049100.v4.1 MEFSFSLLLFLASFPVILAQEGTRATISIDGTIAVAVIDDNFICATLDWWPHDKCNYNQCPWSYSSVTNLDLSHPLLAKAIQAFRNLRIRIGGSLQDQVLYDVESLKAPCHPFRKMKGGLFGFSKGCLHMNRWDELNHLFSRTGAIVTFGLNALYGRHQIRKGVWGGAWDSSNACDFMNYTVLKGYKIDSWEYGNELSGSGVSASVSAELYGKDLIKLKDVVNNLYKNSDLKPSLVAPGGFFDKQWYAKLLQVTGSGIVNFVTHHIYNLGAGMDPNLVNKILDPHYLSKVSETFSNLSQTIQQNGPWASAWVGESGGAYNSGGRHVSDTFVNSFWYLDQLGMASRYNTKVYCRQTLVGGHYGLLNTTTLVPNPDYYSALLWHRLMGKGVLAVGSNASPFLRSYAHCSKGRAGITLLLINLSNQTDFIISVQNSMDMRLTVEENISGENSFVRGLKRSVSWVGSRASDEPLYREEYHLTAKDGNLQSRTMVLNGIPLELTEDENIPSLDPVRLDVNSPLYINPLSISFIVFPNFDAPACA >Potri.015G049100.9.v4.1 pep chromosome:Pop_tri_v4:15:5146213:5151802:-1 gene:Potri.015G049100.v4.1 transcript:Potri.015G049100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049100.v4.1 MEFSFSLLLFLASFPVILAQEGTRATISIDGTIAVAVIDDNFICATLDWWPHDKCNYNQCPWSYSSVTNLDLSHPLLAKAIQAFRNLRIRIGGSLQDQVLYDVESLKAPCHPFRKMKGGLFGFSKGCLHMNRWDELNHLFSRTGAIVTFGLNALYGRHQIRKGVWGGAWDSSNACDFMNYTVLKGYKIDSWEYGNELSGSGVSASVSAELYGKDLIKLKDVVNNLYKNSDLKPSLVAPGGFFDKQWYAKLLQVTGSGIVNFVTHHIYNLGAGMDPNLVNKILDPHYLSKVSETFSNLSQTIQQNGPWASAWVGESGGAYNSGGRHVSDTFVNSFWYLDQLGMASRYNTKVYCRQTLVGGHYGLLNTTTLVPNPDYYSALLWHRLMGKGVLAVGSNASPFLRSYAHCSKGRAGITLLLINLSNQTDFIISVQNSMDMRLTVEENISGENSFVRGLKRSVSWVGSRASDEPLYREEYHLTAKDGNLQSRTMVLNGIPLELTEDENIPSLDPVRLDVNSPLYINPLSISFIVFPNFDAPACA >Potri.015G049100.8.v4.1 pep chromosome:Pop_tri_v4:15:5146151:5151803:-1 gene:Potri.015G049100.v4.1 transcript:Potri.015G049100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049100.v4.1 MEFSFSLLLFLASFPVILAQEGTRATISIDGTIAVAVIDDNFICATLDWWPHDKCNYNQCPWSYSSVTNLDLSHPLLAKAIQAFRNLRIRIGGSLQDQVLYDVESLKAPCHPFRKMKGGLFGFSKGCLHMNRWDELNHLFSRTGAIVTFGLNALYGRHQIRKGVWGGAWDSSNACDFMNYTVLKGYKIDSWEYGNELSGSGVSASVSAELYGKDLIKLKDVVNNLYKNSDLKPSLVAPGGFFDKQWYAKLLQVTGSGIVNFVTHHIYNLGAGMDPNLVNKILDPHYLSKVSETFSNLSQTIQQNGPWASAWVGESGGAYNSGGRHVSDTFVNSFWYLDQLGMASRYNTKVYCRQTLVGGHYGLLNTTTLVPNPDYYSALLWHRLMGKGVLAVGSNASPFLRSYAHCSKGRAGITLLLINLSNQTDFIISVQNSMDMRLTVEENISGENSFVRGLKRSVSWVGSRASDEPLYREEYHLTAKDGNLQSRTMVLNGIPLELTEDENIPSLDPVRLDVNSPLYINPLSISFIVFPNFDAPACA >Potri.010G206600.1.v4.1 pep chromosome:Pop_tri_v4:10:19702695:19705777:-1 gene:Potri.010G206600.v4.1 transcript:Potri.010G206600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206600.v4.1 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPINTVFDAKRLIGRRFSDPSVQSDIKLWPFKVVAGPGDKPMIVVTYKGEEKQFSAEEISSMVLIKMREIAEAFLGTSVNNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKHKKDISGSPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSTITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNERVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVFEGERARTKDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVQEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGSQLSPADKKKIEDAIDQAIQWLDSNQLAEVDEFEDKMKELESICNPIIAKMYQGAGPDMGGSMDEDIPSTGGSGAGPKIEEVD >Potri.001G183800.2.v4.1 pep chromosome:Pop_tri_v4:1:16289148:16292520:1 gene:Potri.001G183800.v4.1 transcript:Potri.001G183800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183800.v4.1 MVRALREEQEEDYRSRLYHFKGMNENAGGQHVKSLSADDSSSFEEYSSMEDRMTCRNHQAPRRLNDQASDHKQKVGTSPYRNDKGPRSRIGKDEAGVAPSGKDNKNLEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQRRLEPMSPERKARWIREIDWLLSVTDHIVELVPSQQKSKDGSSMEIMVTRQRNDIHMNIPALRKLDAMLLDSLDNFKDQNEFYYVSRDSPESEKGGTKRTDDKWWLPTVKVPPDGLSERATKFIQYQKDSVNQVLKAAMAINAQILSEMEIPENYIESLPKNGRASLGDLAYRSITLEYFDPDQFLSTMDISSEHKILDLKNRIEASIVIWKRKMNQKDSKSAWGSAVSLEKRELFEERAETILLLLKQRFPGIPQSSLDVSKIQYNKDVGHAILESYSRILETLASTVLSRIEDVLDADYVTRNPSHAGHKRNTLKEAPQVPASPREGMEKNSEDTNASMTLSDFMGWGSEQNESAAKKDPFGSDELLKDDDRYKQKLTNISTNRKPSYLDNLGALRSPTARH >Potri.018G065501.1.v4.1 pep chromosome:Pop_tri_v4:18:7820065:7823005:-1 gene:Potri.018G065501.v4.1 transcript:Potri.018G065501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065501.v4.1 MLTTQRQKRHLFLAKKIRVIALIFLNGQRQITMDHCSFCVSITSYISLLGVLLLDFSLWLHPLEYHRPDGAVLLINGSTTDLRSCSASLELAEAHHALLAEEEATALSTWAVACICGSMRLEHILTLFAGALLEKQTVVLCSNLGILSASVLSIIPLIRPYRWQSLLMPILPDDMLDFLDAPVPYIVSFIFQLASLSSSARFLSVWNELYLLCKVGGFCVLMCALVLLFHCLIQANSLKANNLTVMITLFCLMLVLTCSV >Potri.018G065501.4.v4.1 pep chromosome:Pop_tri_v4:18:7820065:7823005:-1 gene:Potri.018G065501.v4.1 transcript:Potri.018G065501.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065501.v4.1 MLTTQRQKRHLFLAKKIRVIALIFLNGQRQITMDHCSFCAHHALLAEEEATALSTWAVACICGSMRLEHILTLFAGALLEKQTVVLCSNLGILSASVLSIIPLIRPYRWQSLLMPMTCWTFWMLLFHTL >Potri.018G065501.3.v4.1 pep chromosome:Pop_tri_v4:18:7820065:7823005:-1 gene:Potri.018G065501.v4.1 transcript:Potri.018G065501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065501.v4.1 MLTTQRQKRHLFLAKKIRVIALIFLNGQRQITMDHCSFCVSITSYISLLGVLLLDFSLWLHPLEYHRPDGAVLLINGSTTDLRSCSASLELAEAHHALLAEEEATALSTWAVACICGSMRLEHILTLFAGALLEKQTVVLCSNLGILSASVLSIIPLIRPYRWQSLLMPMTCWTFWMLLFHTL >Potri.018G065501.2.v4.1 pep chromosome:Pop_tri_v4:18:7820065:7823005:-1 gene:Potri.018G065501.v4.1 transcript:Potri.018G065501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065501.v4.1 MLTTQRQKRHLFLAKKIRVIALIFLNGQRQITMDHCSFCAHHALLAEEEATALSTWAVACICGSMRLEHILTLFAGALLEKQTVVLCSNLGILSASVLSIIPLIRPYRWQSLLMPILPDDMLDFLDAPVPYIVSFIFQLASLSSSARFLSVWNELYLLCKVGGFCVLMCALVLLFHCLIQANSLKANNLTVMITLFCLMLVLTCSV >Potri.011G162912.1.v4.1 pep chromosome:Pop_tri_v4:11:18755582:18756704:-1 gene:Potri.011G162912.v4.1 transcript:Potri.011G162912.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162912.v4.1 MADLVAGRASSSPPLQWLAMTLAHSGFGQKTEALWGAGLWQRGKKKPKGKLGERGAAACVKENGVGAAAGKMNGLFCCWLAKEKKMGEPTGK >Potri.002G006200.1.v4.1 pep chromosome:Pop_tri_v4:2:343908:349044:1 gene:Potri.002G006200.v4.1 transcript:Potri.002G006200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006200.v4.1 MKGASLVAIAACVGNFLQGWDNATIAGAVIYVKKDLKLQSSVEGLVVAMSLIGAAAITTCSGPISDWIGRRPMLISSSILYFVSGLVMFWSPNVYVLCIGRLLDGFGVGLAVTLIPLYISETAPSDIRGMLNTLPQFAGSGGMFLSYCMVFGMSLTTSPSWRMMLGILSIPSLLYFVLTVFYLPESPRWLVSKGKMLEAKQVLQRLRGREDVSGEMALLAEGLGIGGETSIEEYIIGPADEVADGQEPIVDKDKIKLYGPEEGLSWVAKPVTGQSSLALVSRQGSMVNQGVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMFSTAEPHFRTEQWDEESVQREGEGYTSEAGGEDSDDNLHSPLISRQTTSMEKDMAHPTSHGSALSMRRHSSLLQGAGEAVDGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQEGVPGSRRGSVVSLPGGDVPVEGEYIQAAALVSQPALYSKELMDQHPVGPAMVHPSQTATKAPIWAALLEPGVKHALFVGMGIQLLQQFAGINGVLYYTPQILEDAGVSVLLANLGLSTNSASFLISAFTNLLMLPCIGVAMKLMDISGRRTLLLTTIPVLILSLVVLIIFELVTVSAIVSAAILTACVIIFICCFVSAYGPIPNILCSEIFPTRVRGLCIAICAMVYWIGDIIVTYTLPVMLTSIGLVGIFSIYAAVCVISWIFVFLKVPETKGMPLEVITEFFAVGARQAAAAKN >Potri.002G012700.1.v4.1 pep chromosome:Pop_tri_v4:2:778990:782229:-1 gene:Potri.002G012700.v4.1 transcript:Potri.002G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012700.v4.1 MAGGHGEGFAYKGLTMHKPKRWHTVTGKGLCAVMWFWVLYRAKQDGPVVLGWRHPWEGHDDHGHGHGH >Potri.006G192700.1.v4.1 pep chromosome:Pop_tri_v4:6:19946828:19949508:-1 gene:Potri.006G192700.v4.1 transcript:Potri.006G192700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192700.v4.1 MAESEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Potri.004G128860.1.v4.1 pep chromosome:Pop_tri_v4:4:14055635:14056870:1 gene:Potri.004G128860.v4.1 transcript:Potri.004G128860.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128860.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQKRIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMQKLDRVLVNEKWNLKFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRHNRNKLLSLTREDGEVVEGHEAVKIRSNCILPSCVRS >Potri.001G089201.2.v4.1 pep chromosome:Pop_tri_v4:1:7086112:7087415:1 gene:Potri.001G089201.v4.1 transcript:Potri.001G089201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089201.v4.1 MISGSSYIPMEKLGTLQGDDAHFICAEKKTVGVADGVGGWSQHGIDAGEYARQLMSNAEYAVVNGEPNSKVDPRKVLDAAYSKTKVKGSSTACILTLDQDEGLTTVNMGDSGFLVIRKDGDVYKSPIQQYSFNCPY >Potri.001G089201.1.v4.1 pep chromosome:Pop_tri_v4:1:7085929:7087415:1 gene:Potri.001G089201.v4.1 transcript:Potri.001G089201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089201.v4.1 MYCHVLATSGFLRNDMAALTMKGEEQNTTTDDGTSSKKRKLTMISGSSYIPMEKLGTLQGDDAHFICAEKKTVGVADGVGGWSQHGIDAGEYARQLMSNAEYAVVNGEPNSKVDPRKVLDAAYSKTKVKGSSTACILTLDQDEGLTTVNMGDSGFLVIRKDGDVYKSPIQQYSFNCPY >Potri.006G259200.2.v4.1 pep chromosome:Pop_tri_v4:6:25551441:25552151:1 gene:Potri.006G259200.v4.1 transcript:Potri.006G259200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259200.v4.1 MAMPMINEYSDSPASLKAKLKSSLCCFSSSEMIHQHQTLDQDHDQEGNNSRKLQPQTPRSPYAWLKSTTHDLEIMYKYRGLIGKIGKNRKRHCSEDFRYDPRSYSLNFEDDRNREDELPLNHNFRARFPATPERLEVVRPVRRTELQLWS >Potri.019G011400.1.v4.1 pep chromosome:Pop_tri_v4:19:1655343:1658119:1 gene:Potri.019G011400.v4.1 transcript:Potri.019G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011400.v4.1 MAVVSGLLLLMLSILFVEARTQPNQFGEIHLGSQLSPISNLHSWQSPSGNFAFGFYSQGNGFAVGIWMMGQPNNTVVWTANRDDEPVSFNATIHLSEEGKLLLRTEQGNENLIANVSEVAASASMLDSGNFVLYSGSSVIWQSFDYPTDTILVGQNLTYSDKLVSSVSSSNHSSGRFFLAMQADGNLVAYPTNSAGLSVDAYWASNTYQDSKKGLSLYFNHQGFLFMDTVSKKPVLLARSSYPCNNKTTIFRATLDADGIFRLYSHCLENKTSRSVHIEWSALNNQCDVQGFCDFNSYCSGMGTNADCSCYPGFAFNDPSEKFSGCYKNVPESFCTGTKEGQMYDVITVENILFERYPYSVLDEKKENCGLSCLEDCLCDVALYKNERCEKYTAPIRYGKKDINESSIAFFKVKPTPAAPPMSLTIIIESKKSLLLFLAIAFGSVTFLCFVIAISTFCVYRDRAYLYEKLSGIISLAGEFTLRSFSYSELEKATSGFREELGRGSIGAVYRGTIPGGDRTVAVKKLEKVLDEGEKRFRAEITVIGQTYHRNLVRLLGFCVEGSRRVLVYEYLRNGTLADLLFQSERRPIWKERVRIALDIARGILYLHEECQACIIHCNITPQNILMDDSWIAKISDFGLSKLLYPDEIRSSMALSQSRGHMAPEWQNNALMSVKADIYSFGVVLLEIICCRSSIKVDVSTPDEMNLPSWAYQCFAAGQLDKLVKDEDIEFESLERMVKIGLLCVQHDPALRPCIKNVILMLEGSDDIPAPPAIAPFRITA >Potri.008G029400.1.v4.1 pep chromosome:Pop_tri_v4:8:1565599:1573612:1 gene:Potri.008G029400.v4.1 transcript:Potri.008G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029400.v4.1 MIRNHHSPPELPVSAINGGSDGGSDQSSNSIRDRSLFKRNPNYNTNTPDKSSKSPLDRSDRRSRWHPYTNRSYNRKGWLLPCFPFRGVYLFYCLIFFAVLAFVLASILLQSSITGMAVFRRGWIDHWRPIKEDLKSGAMLKFVPVLKSRLPLEGHGLDHVRLLANRVGLRPPRLAVILGNMKKGPQSLMLISVVMNLRKLGYALKIYAVDNGVTRSVWEEIGGRISILGPEQYDHIDWSIFEAVIVDSLEAKGAVSSLTQEPFQSIPLVWIIQEDTLANRLPLYQEMGWQHLLSHWRSIFNRANVVVFPDFTLPMLYTVLDTGNFFVIPGSPVDVWAAESYSKTHAKHQLRVDHGFSKDDLVVLVVGSSFFYDELSWDYAVAVHTLGPLLAKYARTKDAEGSFKLIFLGGNSTDDNALQEVVSGLGLHHGSVWHYGLHGDVNSVLLMADVVLYGSSQNEQGFPPLLIRAMTFGTPVIAPDIPILKKYVDDGAHGILFSKYSPEALTRALSLLISNGKLSKFAQTLAFSGRLLAKNMLASECIIGYARLLENLISFPSDTLLPGPVSNLQRREWEWNLFSKELEQEIDDLLSMAEGDFSFRETSAVYSLEKEWSNHVNSTSISGNGTEILVPDIPTESDWDVLSEIESFEEYERVETEELQERMDKSHGPWDEIYHDARKSEKLKFEANERDEGELERTGQPVCIYEIYDGAGAWPFLNHGSLYRGLSLSTKARRSRSDDVDAVARLPLLNDSYYQNILCDIGGMFSIANRVDDIHKRPWIGFQSWHAAGSKVSLTFKAEQVLEEKVQEENKDVMYYWARLDMDGGVTGSNDELTFWSMCDILNGGHCRIAFEDAFRHMYGLPSNLEVLPPMPEDGGHWSALHSWVMPTPSFLEFIMFSRMFVDSLDALQSNSSQMTKCLLSSSELQEKHCYCRILEVLVNVWAYHSARRMVYIDPHTGSVEEQHPVEQRKGIMWEKYFKLMVLKSMDEDLAEAADDGDHPRERWLWPLTGEVHWQGIYEREREEKYRVKMDKKRKTKEKLFERLKSGYKQKPLRKYRKLRF >Potri.003G078100.2.v4.1 pep chromosome:Pop_tri_v4:3:10555179:10556052:1 gene:Potri.003G078100.v4.1 transcript:Potri.003G078100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078100.v4.1 MPYWRVMEVEPPSPLRYLIGAALMMIGVVLPVGYMMFRNKRGPSSSSYSKQTAKF >Potri.003G078100.3.v4.1 pep chromosome:Pop_tri_v4:3:10555213:10556140:1 gene:Potri.003G078100.v4.1 transcript:Potri.003G078100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078100.v4.1 MPYWRVMEVEPPSPLRYLIGAALMMIGVVLPVGYMMFRNKRGPSSSSYSKQT >Potri.006G093500.1.v4.1 pep chromosome:Pop_tri_v4:6:7099131:7100100:1 gene:Potri.006G093500.v4.1 transcript:Potri.006G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093500.v4.1 MSLIRSLLSNPLSTDIWSPFGSSTNEISSFASAQVDWKETPEAHVFKADLPGLKKEEVKVEIEEGRVLQISGERSVEKEDKNDKWHRVERGRGKFLRRFWLPENAKVDEVKASMENGVLTVTIPKSEEKKPEVKSIEISG >Potri.012G015500.1.v4.1 pep chromosome:Pop_tri_v4:12:1788688:1792055:-1 gene:Potri.012G015500.v4.1 transcript:Potri.012G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015500.v4.1 MDFDNIECVSSSDGLDEDEIHHHNLQHHHLHQFASPKPHNVNNNSNGIANVVGGPTVIAPATSVHELLECPVCTNSMYPPIHQCHNGHTLCSTCKTRVQNRCPTCRQELGDIRCLALEKVAESLELPCKYYNLGCPEIFPYYSKLKHEAICNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHIGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEAEARNYSYSLEVGGNGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQSPETGVCIPNLCS >Potri.012G015500.5.v4.1 pep chromosome:Pop_tri_v4:12:1788807:1792055:-1 gene:Potri.012G015500.v4.1 transcript:Potri.012G015500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015500.v4.1 MLTWLCFAKLCLQTYINIWILTVSSLQCHNGHTLCSTCKTRVQNRCPTCRQELGDIRCLALEKVAESLELPCKYYNLGCPEIFPYYSKLKHEAICNFRPYNCPYAGSECSVVGDIPFLVAHLRDDHKVDMHIGCTFNHRYVKSNPREVENATWMLTVFHCFGQYFCLHFEAFQLGMAPVYMAFLRFMGDEAEARNYSYSLEVGGNGRKLIWEGTPRSVRDSHRKVRDSHDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQQSPETGVCIPNLCS >Potri.006G058100.1.v4.1 pep chromosome:Pop_tri_v4:6:4101263:4103310:1 gene:Potri.006G058100.v4.1 transcript:Potri.006G058100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058100.v4.1 MATDIDHGFAYYCYLGSSWIAIILVVQLFIKTCTSFCTKTRHPPSPPALPIIGHLHLLSSRLPSSLKTLASQYGPLMLIRFGSTPIFVVSDAKTAKEILKIHDVDFASKYTLAFGLSRFDIYDGYTFFNAEYGTYWRFMKKLCMTKLFAGTQLDRFIHIREQETLKLLKSLVERSREGKPCDLGEELSVFSSNIICRMAIGKRCMENPNLPIDIREVVGDIMKNAAKFSFNGVFGPLSRFDFLGKGKRLVSATWKYDRLMEQLMKKYEEKVELINGGDEGEKDVMDILMETYKDTNSELKLTRRHIKKFFLEMFFAGVETIATAMQSAITELIQNPTVFTKLREEIHLNVGSDNRLVKESDVPNLPFLQAVVKETLRLSPIGTLRARQCNVDTKINGYGIKAGSRILINAYAIMRDSNTWDKPDEFMPERFLSAKSTDGGNNIDQHPTLDFKGDQDFHYLPFGSGRRACVGASHGLVVTLSTIGMLVQCFDWELKDADKIDTKMTGYSGSRALPLACYPTTRFDPTKA >Potri.019G039300.7.v4.1 pep chromosome:Pop_tri_v4:19:5436941:5443497:1 gene:Potri.019G039300.v4.1 transcript:Potri.019G039300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039300.v4.1 MGSSSPSLTLLYSLIFASSVFLSRATLKVLDPENPALDVTPSRLHGHVFSRDVFFCERVKVSGHSRWKLSSYASSFRVTLAPSAVIPERLHSKIQVCFHRNASLGLCQCEKDDWRAVQKGLWRTAMSPYEERYVDVKFVGDTSGSVSIAVDEDLQQWRLMCLAAGFVLLLLASIVSSWVPFYYSTSMAIGVFLVIIFLLFQGMKLLPTGRKNFFYLSIYGSVLGAGTFVLHQTSTLVNSILVNFGLSEEMHYPVYIFVLVGIVLAGAGLGYWMVRKFVISKDGSVDDEVAQFVKWATRIIASTFIFQSTFDTPLAMAALVSSWAICTLILKWWYRMRDHMRVAAAAATTTTTEHE >Potri.003G036900.6.v4.1 pep chromosome:Pop_tri_v4:3:4518709:4521886:-1 gene:Potri.003G036900.v4.1 transcript:Potri.003G036900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G036900.v4.1 MMKSLMFHQQQQQILQEENMSNLTSASGDQASVSSGNRNETGTNYGVQQYFAPPAQTQPPVKKKRNLPGNPDPDAEVIALSPTTLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEPNCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCVKCSKRYAVQSDWKAHAKTCGTREYRCDCGTLFSRRDSFITHRAFCEALAEESARAITGAPGQFLSTQPGSSTPRINLQVPQFSGQDLHAFSLKKEQQSSFRSRPDFPPWLACPPGLGGPGPPHQQTPIDLLSSSSSPSILSTRLGQEFTPTHQDMSPNPNPSLGPTLPQFPSGPSPHMSATALLQKAAQMGATVSSKTTGLMRPHHHQQQQEQAHVSANADNVSNTNTAVFGLNLSSREELASGGLFFSGLAPFGNKAGPTVPSGASSTGALPSGALIEDMMSSLSSASGFEGTSLEDALASGVLNSKKDVNFSYSLSKTTRNDNGGGSSGGNEGMTRDFLGLRPLSHSDILSMAGLSNCVNTSHEQQIHKPWKG >Potri.003G036900.8.v4.1 pep chromosome:Pop_tri_v4:3:4518711:4521870:-1 gene:Potri.003G036900.v4.1 transcript:Potri.003G036900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G036900.v4.1 MMKSLMFHQQQQQILQEENMSNLTSASGDQASVSSGNRNETGTNYGVQQYFAPPAQTQPPVKKKRNLPGNPDPDAEVIALSPTTLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEPNCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCVKCSKRYAVQSDWKAHAKTCGTREYRCDCGTLFSRRDSFITHRAFCEALAEESARAITGAPGQFLSTQPGSSTPRINLQVPQFSGQDLHAFSLKKEQQSSFRSRPDFPPWLACPPGLGGPGPPHQQTPIDLLSSSSSPSILSTRLGQEFTPTHQDMSPNPNPSLGPTLPQFPSGPSPHMSATALLQKAAQMGATVSSKTTGLMRPHHHQQQQEQAHVSANADNVSNTNTAVFGLNLSSREELASGGLFFSGLAPFGNKAGPTVPSGASSTGALPSGALIEDMMSSLSSASGFEGTSLEDALASGVLNSKKDVNFSYSLSKTTRNDNGGGSSGGNEGMTRDFLGLRPLSHSDILSMAGLSNCVNTSHEQQIHKPWKG >Potri.003G036900.7.v4.1 pep chromosome:Pop_tri_v4:3:4518659:4521896:-1 gene:Potri.003G036900.v4.1 transcript:Potri.003G036900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G036900.v4.1 MMKSLMFHQQQQQILQEENMSNLTSASGDQASVSSGNRNETGTNYGVQQYFAPPAQTQPPVKKKRNLPGNPDPDAEVIALSPTTLMATNRFLCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTSKEVRKKVYVCPEPNCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCVKCSKRYAVQSDWKAHAKTCGTREYRCDCGTLFSRRDSFITHRAFCEALAEESARAITGAPGQFLSTQPGSSTPRINLQVPQFSGQDLHAFSLKKEQQSSFRSRPDFPPWLACPPGLGGPGPPHQQTPIDLLSSSSSPSILSTRLGQEFTPTHQDMSPNPNPSLGPTLPQFPSGPSPHMSATALLQKAAQMGATVSSKTTGLMRPHHHQQQQEQAHVSANADNVSNTNTAVFGLNLSSREELASGGLFFSGLAPFGNKAGPTVPSGASSTGALPSGALIEDMMSSLSSASGFEGTSLEDALASGVLNSKKDVNFSYSLSKTTRNDNGGGSSGGNEGMTRDFLGLRPLSHSDILSMAGLSNCVNTSHEQQIHKPWKG >Potri.009G037600.1.v4.1 pep chromosome:Pop_tri_v4:9:4666012:4668600:-1 gene:Potri.009G037600.v4.1 transcript:Potri.009G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037600.v4.1 MWAFQSPKTTLLPSNATFLPRPSLKPPICSITLNPTASTADNNKLIQSLCKQGNLTQALELLSLEPNPAQHTYELLILSCTHQNSLLDAQRVHRHLLENGFDQDPFLATKLINMYSFFDSIDNARKVFDKTRNRTIYVYNALFRALSLAGHGEEVLNMYRRMNSIGIPSDRFTYTYVLKACVASECFVSLLNKGREIHAHILRHGYDGYVHIMTTLVDMYAKFGCVSNASCVFNQMPVKNVVSWSAMIACYAKNGKAFEALELFRELMLETQDLCPNSVTMVSVLQACAALAALEQGRLIHGYILRKGLDSILPVISALVTMYARCGKLELGQRVFDQMDKRDVVSWNSLISSYGVHGFGKKAIGIFEEMTYNGVEPSPISFVSVLGACSHAGLVDEGKMLFSSMHVAHGICPSVEHYACMVDLLGRANRLEEAAKIIENMRIEPGPKVWGSLLGSCRIHCNVELAERASIRLFDLEPTNAGNYVLLADIYAEAGMWDGVKRVKKLLEARGLQKVPGRSWIEVKRKIYSFVSVDEVNPRMEQLHALLVKLSMELKEEGYVPQTKVVLYDLKAAEKERIVLGHSEKLAVAFGLINSSKGEVIRITKSLRLCEDCHSFTKFISKFANKEILVRDVNRFHHFRDGVCSCGDYW >Potri.007G048700.3.v4.1 pep chromosome:Pop_tri_v4:7:4554742:4557596:-1 gene:Potri.007G048700.v4.1 transcript:Potri.007G048700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048700.v4.1 MATNIGMMDSAYFVGRSEILSWINSTLQLNLSKVEEACSGAVHCQLMDSVHPGMVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGLVNYNPMERREACKGGKETSKKCLQSQASSKGSTTAPKVQSSHNTRRNDVSSAHSSNQSVKASKPPCPVPAYDAEVTELKLSVDSLEKERDFYFAKLRDIEILCQSPGIENLPVVAAMKRILYSTDDDASVLAEAQAMVSLHQKEAEHLGPIAEKSTDEKENSDPQKRKNIVNLDVDAVGISTLSPRQRLSDATDVHCSGSPLMTY >Potri.019G002800.7.v4.1 pep chromosome:Pop_tri_v4:19:869481:875225:-1 gene:Potri.019G002800.v4.1 transcript:Potri.019G002800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002800.v4.1 MLRSYDPFWNHVKKMDDGMECKFCGHLFAKGTSISRIKLHLSGVTGRGVKICKNVPEVVQHAARATIDGPPEKKLKTVAGSGSNEANNAISASAQEQNNEGRHVEMAQQGEAFSPGALEEWVDSITDKEIELMRDAFETISRTEQVLHLERGSSLERPSINQADEPRGDSSQPTDSLCLDHGIYNDQLWSPSVNNDVIMNDVQNMVRVRTEPEEGREEEEENNSGRSVQPGVEASSSGGLKCDARETRGDPLPIGSTGLVGRAFEENMHVIRSLLIDDGVSTIGIYGMGGVGKTTMLQHIHSELLQRPDFYYVYWVTMSRDFSINRLQNLIARRLDLDLSSEDDDVSRAVKLSKELRNKKKWILILDDLWNFFRPHKVGIPIPLKGCKLIMTTRSERICDRMDCQHKMKVMPLSEGEAWTLFMEELGHDIAFSPKVERIAVAVTRECAGLPLGIITVAGSLRGVDDIHEWRNTLKRLKESKLRDMEDEVFRLLRFSYDRLDDLALQKCLLYCTLFPEDHKIEREELIDYLIDEGIVEGIGRRQEEHDEGHTMLNRLEDVCLLEWGRLCNVRRFVKMHDLIRDMAIQILQENSHVIIQAGAQLRELPDAEEWTENLTRVSLMQNHIREIPSSHSPRCPHLSTLLLCHNERLRFIADSFFKQLLGLKVLDLSYTNIENLADSVSDLVSLTTLLLKGCEKLRHVPSLQKLRALRKLDLSNTTLEKMPQGMACLSNLRYLRMNGCGEKEFPSGILSKLSHLQVFVLEEWMPTGFESEYVPVTVKGKEVGCLRKLETLECHFEGRSDLVEYLKFRDENHSLSTYKIFVGLFEEFYLLDKYSFCRDKSVWLGNLTFNGDGNFQDMFLNDLQELLIYKCNDATSLCDVPSLMKTATELEVIAIWDCNGIESLVSSSWFCSAPLPSSSYNGIFSSLKKFSCYRCRSMKKMFPLALLPSLVNLEQIIVYGCEKMEEIIWTRSDEEDVVGEEESSSNIEFKLPKLRILDLYDLPKLKSICSAKLICDSLEEILVSYCQELKRMGIFPQLLENGQPSPPPSLVRICIYPKEWWESVVEWEHPNTKDVLLPFVVFS >Potri.016G032000.1.v4.1 pep chromosome:Pop_tri_v4:16:1799318:1801980:-1 gene:Potri.016G032000.v4.1 transcript:Potri.016G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032000.v4.1 MEFQDNNKSHEAEKPEEMKIMEYDKRKKKLGGMKAIPFILVTEVCDRFSTIGFHANMITYLTQQLNLPLVKASNIVSNFNGTASLTPLIGALIADSFAGRFWTIIVGSIIYELGLISITTTALLKSLHPPPCPSLVDCKEASSFQLSTLYLSLLLLAIGLGGTRPCVMTYAADQLDMSKSGVESRSWNFFNWYYFSLGLARLAAVTIVVYIQDNVSWGWGLGIPTIAMAVAFMVFLSGSPLYKKVKPGGSPLVRVIQVIVAAIRKRKAVAPEDPSLLYQNQELDAAISVHGRLLHTTQFKWLDKAAVEKYGEATASSTPNLWKLATVHRVEELKSFLRLLPVWAAGILLVTANSHSGSFNTQQARTMDRRLSNSFQIPPASMSFFGIMTVIIGLVLYERLFVPFVRRFTRNSAGITYLQRMGIGLLFNILFSVVAALVEKKRRTVAENHNLVDNPKATVPISVFWLVPQLSLHGMSEVFMAVGQLEFLYDQSPESMRSIALGLFWIASSVGDYLGTLMVSLIHEYTGHKNNWLPDRNLNIGKLDYYYWLVTGIQAINFVYFVICAWCYTYKPLEEVMEEDSV >Potri.016G032000.4.v4.1 pep chromosome:Pop_tri_v4:16:1799316:1801911:-1 gene:Potri.016G032000.v4.1 transcript:Potri.016G032000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032000.v4.1 MTYAADQLDMSKSGVESRSWNFFNWYYFSLGLARLAAVTIVVYIQDNVSWGWGLGIPTIAMAVAFMVFLSGSPLYKKVKPGGSPLVRVIQVIVAAIRKRKAVAPEDPSLLYQNQELDAAISVHGRLLHTTQFKWLDKAAVEKYGEATASSTPNLWKLATVHRVEELKSFLRLLPVWAAGILLVTANSHSGSFNTQQARTMDRRLSNSFQIPPASMSFFGIMTVIIGLVLYERLFVPFVRRFTRNSAGITYLQRMGIGLLFNILFSVVAALVEKKRRTVAENHNLVDNPKATVPISVFWLVPQLSLHGMSEVFMAVGQLEFLYDQSPESMRSIALGLFWIASSVGDYLGTLMVSLIHEYTGHKNNWLPDRNLNIGKLDYYYWLVTGIQAINFVYFVICAWCYTYKPLEEVMEEDSV >Potri.006G265700.3.v4.1 pep chromosome:Pop_tri_v4:6:26113043:26120663:1 gene:Potri.006G265700.v4.1 transcript:Potri.006G265700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265700.v4.1 MSSFSITRKKTPFQKHREEEEAKKKRAEDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNEKLKSDAEGEKSKDGVSVPKKGSRYVPSFIPPPMAPKGKEPERKREEERPKEKEKGKTRNIDHFMEELKHEHEMRERRNQEREHWREGRHTESSAPSSRFDELPDDFDPSGKLPGSFDDGDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRADGQAAKDEMQGVIVYEYELKIGWGKSVALPSQALPAPPPGQMAIRSKEGATVILSGPSGPPVTSVPNQNSELVLTPNVPDIMVAPPEDDHLRHVIDTMALYVLDGGCAFEQAIMQRGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPSLPTAKSPEHEKESGSTHAAGRSRRVDPERTLTDPQRDEFEDMLRALTLERSQIKDAMGFALDNVDAAGEVVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEAALPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSSNSGVIPFHSMCGDAPEIEKKNSTEDTVDGGKTNQDAALAMGKGAATKELMDLPLAELERRCRHNGLSLVGGRETMVARLLNLEEAEKQRGYELDGDLKIAQSNSSSSRYSSVHREVNVDPGPVGLTGWNIYGEDDTPSQNKRSVSLVSTLPIPQPELKAFAKKEKNDPVLPASKWARDDDESDDEQKRSVRDLGLSYSSSGSENAGDGQGKEDEMEFATDASIPTQPESGMNEEQRQKLRRLEVALIEYRESLEEQGMKNSEEFERKVAVHRKRLESEYGLSSSNEDVTGNKRISSERRDRRDDNHESSRKRHRSESRSESPLRKLSLRDRERGHDSDKDRERHRERDRGNNLESERRDRDYREKSGSKERDDHDRDRGRDRDRRRRVK >Potri.009G033900.3.v4.1 pep chromosome:Pop_tri_v4:9:4417064:4422229:-1 gene:Potri.009G033900.v4.1 transcript:Potri.009G033900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033900.v4.1 MQLSLSTPFAFATLRYSQVAIVMVPVLFCCFSLLLLFLQWHHPKDKRLPPGSMGWPYIGETLKLYTENPNSFFSNRQKRFGDIFKTHILGCPCVMISSPEAARIVLVTRAHLFKPTYPTSKEKMIGPEALFFHQGPYHSRLKKLVQASFLPSAIRGSVSEIEQIVLRLLPTWKSNTINTLQEMKRYAFDVAMISAFGAKQDMEMDGIKHLYRCLEKGYNSMPLDLPGTPFHKAMKARKLLNETLRKLIQKRRQSGRREGGLLGVLLGAKDHEKLNQLSDSQIADNIIGVIFAAHDTTASVLTWILKYLHDNPDLLEAVTREQEVIRSKIVEANRGLTWEDTRRMPLTSRVIQETLRTASILSFTFREAVQDVEFEGYFIPKGWKVLPLFRSIHHCADFFPQPEKFDPSRFEVPPRPNTYMPFGNGVHSCPGSELAKLEMLILLHHLTTTYRWQTVGDEGGIQYGPFPVPKLGLPIRVNRRNKAAIS >Potri.013G044000.1.v4.1 pep chromosome:Pop_tri_v4:13:3062960:3071040:1 gene:Potri.013G044000.v4.1 transcript:Potri.013G044000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044000.v4.1 MEPNDSHQQQQHFTSYFSTTTTTTTTTTPSPTNGLLPPHQPTDSTTPTGPHMLYPHSMGPSTTATVTGGGGAPVEAAAAAAAAKRKRGRPRKYGTPEQALAAKKTASSNSAAAYREKKEHQAGSSSTISSFSAYSSKKSQHASLGNAGHGFTPHVITVAEGEDVTQKIMHFLQQSMREMCILSASGSILSASLSQPATSGGNISYEGRYEIISLCGSYVRTEMGGRAGGLSVCLSDTNGQIIGGGVGGPLKAAGPVQVIVGTFMLDNKKGGSGKGDASGSKLPSPVGASVPSFGFRSPVESSLMNPARANDDHPTIGGNPFTMQPTSMHLTPTRPIDWMSGPDVRTSGYDFTGRTGHGGPQSPENGDYE >Potri.015G121800.1.v4.1 pep chromosome:Pop_tri_v4:15:13537179:13542166:1 gene:Potri.015G121800.v4.1 transcript:Potri.015G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121800.v4.1 MADALVSTILEQIITIARHQVEHEVKLVVGVEKEIEHLKNNFQAIRDVLEDAERKQLKDTAVKHWLNNLKDVSYDMDDVLDEWSTAVLKWEMEEAENALAPKSVVFSFLRSCCFCFRRAEQAENALAPKSVVSSFLCSFCCSFRRVARRHDIAHKIIEVGQKLEDIAKRKAMFGFELHKAIEKEPDRQTTSFVDVSRVHGREDEKKNVISKLLCDSSQEGRKVQVISIVGMGGLGKTTLAQLAYNADEIKTYFEKRIWVCVSHPFDENTVAKAIIEDLSGAAPNLVELEPLCKRISESIEGKKFLLVLDDVWEDNPRKWEPLKESLKCGAPGSRILVTTRKDTVAKMMESDYSLLLGKLTDEECWSVFSQVAFYGRSQDACEMFTEIGRQIVYRCKGLPLAAKTLGGLMQSKTTTEDWDNILSNELWEIEEVEKGIFPPLLLSYYDLPVAIRSCFTYCAMFPKDHVMERGKLIKMWMAQGYLKASPSKEMELVGKGYFEILATRAFFQDFQETDEDSIKFKMHDIVHDFAQFLMKDECFTVETDVLKRQKTESFYERARHAIMTVSNWARFPQSIYNARKLRSLLIRSFNDTAISNPLLELLRNLTYLRLFDLSASQIEGISSDVGKLLHLRYLDFSYCKWLKELPETICDLYNLQSLDLTWCVALKKLPQKMRKLIRLRHLEIFGSGVAFLPRGIEELTSLRTLTNFIVSGGGGQSGAANLGELGNLSHLRGTLWIEKLLNVRDVNEAVKAEIKKKKYLIGLYLLFNRDETDLRVDENALVEALQPPSNLQVLCISEFRGTLLPKWIMSLTKLRGLDISHCGSFEVLPPFGRLPYLEKLKIGVKTRKLDVGFLGLGPVNNGSEGISKKGENGEMAPVSAFPKLKELFIWKMEELEGWDGIGMGLGEKDTRTAIMPQLRELEVKGCPKLKALPDYVLTAPLVELRMNECPLLSERYEEEKGEDWHKISHISEIEINYQRSKRPPRKS >Potri.012G095700.1.v4.1 pep chromosome:Pop_tri_v4:12:12000764:12001985:-1 gene:Potri.012G095700.v4.1 transcript:Potri.012G095700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095700.v4.1 MADTAYFNGETVLDGIPRKQFQVEILDQLVEIDLAVGFRCTDDYLVKQQQAGAWKQKLQAYAEQSRPLEDCYQKRKRLLDFQVGSAPAENWQGLLAALHLQHTNTVYSSKKLAVRSSLL >Potri.016G014801.1.v4.1 pep chromosome:Pop_tri_v4:16:784951:787988:-1 gene:Potri.016G014801.v4.1 transcript:Potri.016G014801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014801.v4.1 MSLHQMTHSFRTQLLKITSRLIYIKAVVKRKNSLSGVRYSEEPAIFAWELMNEPRCSSSSSAPALQAWIAEMAAYIKSLDKRHLVTVGLEGFYGLNTTNKSEVNPGIWAASLGSDFIPNSAISNIDFASVHAYPDSWIPHAGLEEKTSYLSHWVDSHISDGDIALRKPVLFKEVGSSRHVDEKGVFDRDVLLKTVYDKIYESAKKRRAGAFIWQLLVEGVDGYTDKTTIM >Potri.002G193700.1.v4.1 pep chromosome:Pop_tri_v4:2:15666887:15670806:-1 gene:Potri.002G193700.v4.1 transcript:Potri.002G193700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193700.v4.1 MVAPNDPSSLPLRICKPESLDKYFELLDGKFSVKGFPLLSEVPSNVVFAPFLSIYKSSDAPLALLQRVQALSHKGGFLGFHKEAPSDRLMNSIGKFTGREFLSIFRFKTWWSTMWMGSSGSDLQMETQWVLLNVPEIRSYVIIIPVIDGRFRSAFHPGTDGHVMICAESGSTKVTASSFDAIAYVHLSENPYNIMKEAFSALRVHLNTFKLLEEKTVPSLVDKFGWCTWDAFYLAVEPAGIWHGVNDFVEGGVSPRFLIIDDGWQSINTDDENPNEDAKNLVLGGTQMTARLHRLDECDKFRKYKGGSLLGPNPTSFDPKKPKMLILKAIEIEHAENDRDKAIQSRVTDLSPFETKIQKLKQELDVIFGGEEKSVSSGSGGSRSCKAESYGMKAFTRDLRTKFKGLDDIYVWHALCGAWGGVRPDSTNLNSKIISCKLSPGLDGTMADLAVVKIVEGGIGLVHPDQAGDFYDSMHSYLADAGITGVKVDVIHSLEYVSEDYGGRVELAKGYYKGLSDSLSKNFKGSGLISSMQQCNDFFFLGTKQISMGRVGDDFWFQDPNGDPMGVYWLQGVHMIHCAYNSMWIGQIIKPDWDMFQSDHLCAKFHAGSRAICGGPVYVSDSVGGHDFELLKKLVYPDGTIPKCQDFALPTRDCLFRNPLFDKKTILKIWNFNKYGGVIGAFNCQGAGWDPKEQRIKGYSECYKPLSVSVHVTDIEWDQKKEAAQMSEADEFIVYLNQAEELLLVSPESDAVQITIQPSTFEIFSFVPIKKLGGTSISFAPVGLANMFNSGGTIQEVEYFDSEAETCVKIEVKGGGSFLSYSNASPKKGFLNGAEAAFEWLDNGKLALNLPWTETAGGVSNVAFLF >Potri.013G123100.1.v4.1 pep chromosome:Pop_tri_v4:13:13192722:13196828:1 gene:Potri.013G123100.v4.1 transcript:Potri.013G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123100.v4.1 MQKGKKSKCTSMSHHLLMDNAKTRLNDLHERFSNLQAARKEGWNSDVAVLEEQVYQGLREWKAELDVPSPANSLLDISLGSFSDDIGRLLQLYEEEDDATSPLTMQSVLKPEMQPEPNFQNLNPGNLTTFQHAYLVNSHGQGLGFQGFHQPNSSASGLQNVVVSAPDITTLLDCQQFTLDEEFDPGLFGGTNDIEECGKNAESNNLQYISPPPSAFMGPKCALWDCTRPAQVAEWLEDYCSSFHATLALNEGPPGMAPVLRPRGINLKDNLLFDALIAKMQGKNVGIPQCEGAAVMKSPWNAAELFDLSLLDGETIREWLFFDKPRRAFDSGNRKQRSLPDYTGRGWHESRKQVMKELGGQKKSYYMDPQPPGCHEWHLFEYEIHKCDLCALYRLELKLANGKRSPKGKVSKDPLADLQKKMGRLTAVVTADNGPPLKGKTKADREN >Potri.014G081501.1.v4.1 pep chromosome:Pop_tri_v4:14:5262864:5263992:-1 gene:Potri.014G081501.v4.1 transcript:Potri.014G081501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081501.v4.1 MITSDNVFYEFRIFYPHLIYFHIVLSLSTSASNRALCLLLSRDLRAFLMANAITRAVIASSSPSFLVSLDAIGDTLFTISLTRDLGVDSHMGFGCGGGVSSGFIVEFKDLKRDCGLEVNRILTEFESRCKWRSQNKRDAVSVWWSKLLDWMRAPTP >Potri.003G025100.2.v4.1 pep chromosome:Pop_tri_v4:3:2668663:2673289:-1 gene:Potri.003G025100.v4.1 transcript:Potri.003G025100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025100.v4.1 MMLKKMGAWMLLALLTLIGEWSGRCYGCSEEERIGLLEIRSLIDPDGFSLGDWVDNSNCCDWDGIECDNTTRRVIQLVINQARDKSLGDWVLNASLFLPFKELQSLDLGYNGLVGCLENEGFQVLSSKLRELDLWYNRFNDKSILSCLTGLSTLKTLHLSHNQLTGSGFKVLSSRLKKLEKLHLSGNQCNDSIFSSLTGFSSLKSLYLLDNQLTGSINSFQLLPMRLGKLENLCLGGNQLNSSILSILSGLSSLKSLDLSNNMFTGSGWCEMKNLKQLDLSGNNFGGSLPDCLGNLSSLQLLDISENQFTGNIAFSPLTNLISLEFLSLSNNLFEVPTSMKPFMNHSSLKFFCNENNRLVIEPAAFDHLIPKFQLVFFSLSKTTEALNVEIPNFLYYQYHLRFLDLSHNNITGMFPSWLLKNNTRLEQLYLSGNSIVGTLQLQDHPYPKMTELDISNNNMSGQIPKDICLIFPNLDGLRMAKNGFTGCIPSCLGNMSSLGVLDLSNNQLSTVKLELLTTIWFLKLSNNNLGGQIPTSMFNSSTSEYLYLGDNNFWGQISDSPLNGWKTWIVLDLSNNQFSGILPRWFVNSTNLIAIDLSKNHFEGPISRHFFCKLDQLEYLDLSENNLFGYIPSCFNSPQITHVHLSKNRLSGPLKYEFYNSSSLVTMDLRDNSFTGSIPNWVGNLSSLSVLLLRANHLDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLENLTFKESSQKALMNLGGFLLPGFIEKAYNEIMGPPQVNSIYTLLKGYWPNFTEEVIEFTTKNMYYGYKGKILSYMSGIDLSDNNFVGAIPPEFGNLSEILSLNLSHNNLTGSIPATFSNLKRIESLDLSYNNFNGDIPPQLTEMTTLEVFSVAHNNLSGKTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSEEVVLSQPVLSQPVPNDEQEDDGFIDMEFFYISFSVCYTVVVMTIAAVLYINPYWRRRWLYFIEDCIDTCYYFVVASFRKFSNFRR >Potri.014G071800.3.v4.1 pep chromosome:Pop_tri_v4:14:4565412:4568839:1 gene:Potri.014G071800.v4.1 transcript:Potri.014G071800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071800.v4.1 MSVVGLSPQGFDYRQMMDPEPGRCRRTDGKKWRCSKDVVAGQKYCERHMHRGRQRSRKLVEASQTAAASEKPSPHNSSKNSDNPTTHSSNLAKVSSQIKAPPLNNTPTILTTCTTSCNSDIEITGMSLATTANSDCKNPFTTMTTSIVTGYKNTATMIASAVHADITATGNDYKSSINLKRHYIDDRNSNCSNSVTYKGIIDRNCSNKKIKNAGSNVSQGLNFSPKSVLQVQGCGASHIYMNDVELELGRCRRTDGKKWRCRRDVVANQKYCEMHMHRGSKQHLEASKPAAIPATIPFVPGNVHSYPATNLPSKADRRSLNTDLCISIPTSPQLIMTNDDTRTISNSSDTTISDTMVGTYQNKNLSS >Potri.014G071800.1.v4.1 pep chromosome:Pop_tri_v4:14:4565016:4568856:1 gene:Potri.014G071800.v4.1 transcript:Potri.014G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071800.v4.1 MEKRVSEESAPSMKLSLGIGAGDHGDDDQDDRHVFPQLTETQLHELKQQALIFKYIVAGLRVPPDLVVPIWHSVASSSLGSFSGADIYRQFPSFVGLSPQGFDYRQMMDPEPGRCRRTDGKKWRCSKDVVAGQKYCERHMHRGRQRSRKLVEASQTAAASEKPSPHNSSKNSDNPTTHSSNLAKVSSQIKAPPLNNTPTILTTCTTSCNSDIEITGMSLATTANSDCKNPFTTMTTSIVTGYKNTATMIASAVHADITATGNDYKSSINLKRHYIDDRNSNCSNSVTYKGIIDRNCSNKKIKNAGSNVSQGLNFSPKSVLQVQGCGASHIYMNDVELELGRCRRTDGKKWRCRRDVVANQKYCEMHMHRGSKQHLEASKPAAIPATIPFVPGNVHSYPATNLPSKADRRSLNTDLCISIPTSPQLIMTNDDTRTISNSSDTTISDTMVGTYQNKNLSS >Potri.008G089700.1.v4.1 pep chromosome:Pop_tri_v4:8:5610924:5612984:1 gene:Potri.008G089700.v4.1 transcript:Potri.008G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089700.v4.1 MVKSQCFEKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLRRCGKSCRLRWTNYLRPDIKRGKFNLQEEQSIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLDKMGIDPVTHKPKADSFGSGSGHSKGAASLSHMAQWESARLEAEARLVRESKLTVPNPPKNILGSAVSAQVSNKSSATPTERPRCLDVLKAWQGVVFSMFSVGSSDSLESPTSTLNFSENALAIPLIGVQKNPTTTLAFATNNATCNRGTTVSEFDRGNQLECFEKLKDPAQVRRNLDSSMAIHGISPYAGDHNAWFVDSSANENAPMGNIIDGFSEILVCNSLDPNPTCSGENINDNYAGNLEDNYWNSLLNLVDASPTGSSVF >Potri.003G222400.3.v4.1 pep chromosome:Pop_tri_v4:3:21574722:21578616:-1 gene:Potri.003G222400.v4.1 transcript:Potri.003G222400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222400.v4.1 MASTFTAMSSVGTLAAPNGRVMDKKFVFSSNKLSSFASISASRFGRPQNVVLPRSRPLKVNAAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVNELKLMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSADNSLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKITNARDLISILEDAIRAGYPVLIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVVREEVGLALDKVGKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVAQIRNLIEAAEQDYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLENDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSTDNPKFGYNAATGNYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPESVPAGNPMDNSGYGY >Potri.003G222400.1.v4.1 pep chromosome:Pop_tri_v4:3:21574807:21579305:-1 gene:Potri.003G222400.v4.1 transcript:Potri.003G222400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222400.v4.1 MASTFTAMSSVGTLAAPNGRVMDKKFVFSSNKLSSFASISASRFGRPQNVVLPRSRPLKVNAAKELHFNKDGSAIKKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVNELKLMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSADNSLYVVEGMQFDRGYISPYFVTDSEKMSVEYENCKLLLVDKKITNARDLISILEDAIRAGYPVLIIAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVVREEVGLALDKVGKEVLGHASKVVLTKDTTTIVGDGSTQEAVNKRVAQIRNLIEAAEQDYEREKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLENDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLSTDNPKFGYNAATGNYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPESVPAGNPMDNSGYGY >Potri.001G300500.1.v4.1 pep chromosome:Pop_tri_v4:1:31124040:31128304:-1 gene:Potri.001G300500.v4.1 transcript:Potri.001G300500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G300500.v4.1 MQTLLMPAVRSGTAASMAAVPTPPLIHREKHLPLLPPPNSILIFHKSTQPLPSFSYISSLSIYDHLRNKTPKKLFTQTNASNATAPAFNSQNDEAERAKLAQVAKRLENTSRYFKRLGSLGFWGQLICSTVAAVILSFSVVVTGKITSPPTFYATLGGIAAAFISVFWSFGYIRLSEKLRKTANDPSKAPPRADVVKSLKNGIILNLLGMGAAILGMQATVGLLVAKALTSSANPYYQQISPGYSPVLALDVFLVQASANTILSHFLGLVFSSELLRSVTLPPSENIPVFKVA >Potri.014G057101.1.v4.1 pep chromosome:Pop_tri_v4:14:3625057:3626311:1 gene:Potri.014G057101.v4.1 transcript:Potri.014G057101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057101.v4.1 MGSPFKGGNGSFMFNADKPHFFKIFFKKLLLMGSLGIPKKFVRLYGKGLSNKALLEVPNGTVSEVEFFKSDGKIWLQNGWKEFAEHYSLALGSLLVFEYKKSGHFHVLILDKTTMEIDYSFSMTDGDEEPDLEGEFQQPRTEETDDVFSWKPNKVLSSYVLCFSIAYNGWYFSTGGFNLAATRGNRVLPSQKKRLNGVNRVQSLTADEKAATFERASAALKPDSPFFMVAMQP >Potri.010G134800.2.v4.1 pep chromosome:Pop_tri_v4:10:15042814:15044150:1 gene:Potri.010G134800.v4.1 transcript:Potri.010G134800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134800.v4.1 MEFSQILGCTEEYSGATGSESGWTKYIASPVKENDFDDDNADSKNKQGDCRKRNYGNDDGGGESDDSMTSDASSGPSHPELPCRSSKGSVNIGPSKYATSKNSSKAKLQKQVKERDGSARIRVENEVSVLKANSAASYVQSGTKVRKSES >Potri.002G000452.1.v4.1 pep chromosome:Pop_tri_v4:2:40632:42063:1 gene:Potri.002G000452.v4.1 transcript:Potri.002G000452.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000452.v4.1 MEVHRVAPAVSHLLFTDDSFFFFRANAQEGYVVKDLLHQYELALGKSVNFQKLRVFYSSNVKVDCQQHISVILQVFSPLNHGKYLGLPSLIGRNKHSIFSYLRDRLWKRIHG >Potri.009G048500.1.v4.1 pep chromosome:Pop_tri_v4:9:5417391:5419234:1 gene:Potri.009G048500.v4.1 transcript:Potri.009G048500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048500.v4.1 MAGGAFADGAALKGAHLYEYKTTIYFIPFWEYERKRRPLHKTDCCKYDNQILALFTSSLYLGPFKGRSASILCGSISFFCGAVINACAMNIAMLIIGRLLLGVGIGFSNQAVPLYQSEMSPAKTRILVANLVNYGTEKIHPWGWRLSLGSAVIPAVLMSVGAILLPETPNSLVEQEKLEEGRKILEEVRGTTNVDAEFADLIDASNEAKAIKNPFRNLLRRKNRPQLIIGALGIPVFQQLTGMNSIYSSVVLGALLVGALTSMGLVDKFGRRTFFIEASIEMFSYMVALAVSLALKFGQEETLSKAISVFLVVIICLFCFAYGRSWGPLGWLVPSELFPLETRSAGQSIVVCVNMIFTALIAQCFLVSLCHLRYGIFLLFAALVAFMGSFIFFLLPETKQVRIEEVYLLFQNHWY >Potri.006G009200.1.v4.1 pep chromosome:Pop_tri_v4:6:621126:624930:1 gene:Potri.006G009200.v4.1 transcript:Potri.006G009200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009200.v4.1 MALKSFIEVGPDSHFPIQNLPFGIFKPEPTSPPRPGVAIGDYVLDLSTISVSGLFDGPFLSGSDCFLQPNLNKFLALGRPAWKEARATLQNLLSADEAKLRDNPNLREKSLVPMSKVEMLLPIAIGDYTDFFSSMHHARNCGIMFRGPENAINPNWFQLPIAYHGRSSSIVVSGTDIIRPRGQGHPSGNSPPYFGPSRKLDFELEMAAVVGPGNELGKPVDVNEAGDHIFGLVLMNDWSARDIQAWEYVPLGPFLGKSFGTTLSPWIVTLDALEPFACDAPKQDPQPLPYLAEKISKNYDISLEVQIKPAGQEDSCVVTRSNFNHLYWTVTQQLAHHTINGCNLRPGDLLGSGTISGPEPESYGCLLELTWNGQKSLSLNGTTRKFLEDGDEVVFSGCSKGDGYKVGFGTCSGKILPSP >Potri.T125104.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:58867:69436:-1 gene:Potri.T125104.v4.1 transcript:Potri.T125104.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125104.v4.1 MDMEIDFKNYQLSQELRGHEDDVRGICVCGNAGIATSSRDKTVRYWVPDPTDKRKYESSKILLGHSSFVGPLAWIPPNQDFVEGAIVSGGMDTMVLVWNLSNGEKVQSLKGHHLQVTGVVLDGEDIVSCSVDCTLRRWRKGQLVENWEAHKSAIQAIIKLPSGELVTGSTDTTLKLWKGKTCLHTFAGHSDTVRGLAEMHGLGILSASHDGSIRLWALTGEVLMEMVGHASIVYSVDSHVSGLIVSGSEDCSAKIWKDGACIQSIEHPGCVWDVKFLENGDIVTACSDGAVRIWTSYQERIAEPADLDSYVSQLSQYKISRKRVGGLKLEDLPGLEALQIPGTTDGQTKVIREGDNGVAYAWNLREQKWDKIGEVVDGPEDGMKRPVLDGFEYDYVFDVDIGDGEPIRKLPYNRSDNPYDTADKWLLKENLPLAYRQQIVEFILQNSGQGGVALDSSFRDPFTGANAYIPGGSSSMSVVSAKPTFKHIPKKGMLVFDVAQFDGILKKITEFHNSLLSDPVKKDLSLSELEISRLGAVIKILKDTSHYHTSRFADADIALLLKLLKSWPLAMIFPVIDILRMLVLHPDGATVLLKHVEDENDILMEMIKRVTTNPPLPPNLLTGIRAVTNLFKNLPYHTWLQKHQSEILDVFSSCYSSPNKNLQLSYATMILNYAVLLIEKKDLEGQSQVLTAAIAIAEGENIEVDSKFRALVAVGSLMLDGLVKRIALDFDVENVAKTAKASKETKIAEVGADIELLTKQK >Potri.010G012900.5.v4.1 pep chromosome:Pop_tri_v4:10:2274236:2279573:-1 gene:Potri.010G012900.v4.1 transcript:Potri.010G012900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G012900.v4.1 MKIPWWVVSFAYVALVAASSSQDPHLSSARVVFQTNYGDIEFGFFPSVAPQTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRSAPMNEEQIKEAKKTVVGEFSDVKHVRGILSMGRFEDPNSAQSSFSMLLGNAPHLDGQYAIFGKVTKGDDTLTKLEQLRTRKEGIFVMPTERITILSSYYYDTEMESCEEERSVLKRRLSASAVEIEKQRMKCFP >Potri.010G012900.1.v4.1 pep chromosome:Pop_tri_v4:10:2274195:2279463:-1 gene:Potri.010G012900.v4.1 transcript:Potri.010G012900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G012900.v4.1 MKIPWWVVSFAYVALVAASSSQDPHLSSARVVFQTNYGDIEFGFFPSVAPQTVDHIFKLVRLGCYNTNHFFRVDKGFVAQVADVVGGRSAPMNEEQIKEAKKTVVGEFSDVKHVRGILSMGRFEDPNSAQSSFSMLLGNAPHLDGQYAIFGKVTKGDDTLTKLEQLRTRKEGIFVMPTERITILSSYYYDTEMESCEEERSVLKRRLSASAVEIEKQRMKCFP >Potri.005G184500.4.v4.1 pep chromosome:Pop_tri_v4:5:19154195:19159926:-1 gene:Potri.005G184500.v4.1 transcript:Potri.005G184500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184500.v4.1 MAMGKYSRVDGKKSSNYCSTITVVVFVALCLVGAWMFMSSSVSVQNSDSSSQEKVNDVKRVAGENNSKQFEDSPGDLPDDATKEDGNTVDSQSDSQSDVHEDQNVTEKESEGTVEDNKDEKTESKNMVEENQDEKTESKNMVEENQDEKTESQEEPKTETEKDGKTEDRGSNSGDGESNSEAGEMPAQGDETNKSEQTESEESSGENKSELDEGEKNSDSGESANENNQDGATENNVDSQENDQTSIEILPAGAQSELLNETNTQNGAWSTQVVESQKEKISQQSSISKDQNGHAWKLCNVTAGPDYVPCLDNWYVIRRLSSTKHYEHRERHCPQEAPTCLVSIPEGYRRSIKWPKSKDKIWYYNVPHTKLAEVKGHQNWVKLTGEYLTFPGGGTQFKHGALHYIDFIQDSHPDIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVGIWKAMSKLTKSMCWDLVVIKKDKLNGVGAAIFRKPTSNDCYNNRPQNEPPLCKESDDPNAAWNVPLEACMHKVPEDASVRGSRWPEQWPQRLEKPPYWLNSQVGVYGKAAPEDFAADYGHWKNVVSKSYLNGMGINWSSVRNIMDMRAVYGGFAAALKDLKVWVMNVVPIDSADTLPIIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLTKRCNLVAVIAEVDRILRPEGNLIVRDNVEIIGEIESLAKSLNWDIRMIYSKDNEGLICVHKTMWRPTEPETITSAII >Potri.005G184500.7.v4.1 pep chromosome:Pop_tri_v4:5:19154198:19159456:-1 gene:Potri.005G184500.v4.1 transcript:Potri.005G184500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184500.v4.1 MAMGKYSRVDGKKSSNYCSTITVVVFVALCLVGAWMFMSSSVSVQNSDSSSQEKVNDVKRVAGENNSKQFEDSPGDLPDDATKEDGNTVDSQSDSQSDVHEDQNVTEKESEGTVEDNKDEKTESKNMVEENQDEKTESKNMEPKTETEKDGKTEDRGSNSGDGESNSEAGEMPAQGDETNKSEQTESEESSGENKSELDEGEKNSDSGESANENNQDGATENNVDSQENDQTSIEILPAGAQSELLNETNTQNGAWSTQVVESQKEKISQQSSISKDQNGHAWKLCNVTAGPDYVPCLDNWYVIRRLSSTKHYEHRERHCPQEAPTCLVSIPEGYRRSIKWPKSKDKIWYYNVPHTKLAEVKGHQNWVKLTGEYLTFPGGGTQFKHGALHYIDFIQDSHPDIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVGIWKAMSKLTKSMCWDLVVIKKDKLNGVGAAIFRKPTSNDCYNNRPQNEPPLCKESDDPNAAWNVPLEACMHKVPEDASVRGSRWPEQWPQRLEKPPYWLNSQVGVYGKAAPEDFAADYGHWKNVVSKSYLNGMGINWSSVRNIMDMRAVYGGFAAALKDLKVWVMNVVPIDSADTLPIIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLTKRCNLVAVIAEVDRILRPEGNLIVRDNVEIIGEIESLAKSLNWDIRMIYSKDNEGLICVHKTMWRPTEPETITSAII >Potri.005G184500.5.v4.1 pep chromosome:Pop_tri_v4:5:19154195:19159522:-1 gene:Potri.005G184500.v4.1 transcript:Potri.005G184500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184500.v4.1 MAMGKYSRVDGKKSSNYCSTITVVVFVALCLVGAWMFMSSSVSVQNSDSSSQEKVNDVKRVAGENNSKQFEDSPGDLPDDATKEDGNTVDSQSDSQSDVHEDQNVTEKESEGTVEDNKDEKTESKNMVEENQDEKTESKNMVEENQDEKTESQEEPKTETEKDGKTEDRGSNSGDGESNSEAGEMPAQGDETNKSEQTESEESSGENKSELDEGEKNSDSGESANENNQDGATENNVDSQENDQTSIEILPAGAQSELLNETNTQNGAWSTQVVESQKEKISQQSSISKDQNGHAWKLCNVTAGPDYVPCLDNWYVIRRLSSTKHYEHRERHCPQEAPTCLVSIPEGYRRSIKWPKSKDKIWYYNVPHTKLAEVKGHQNWVKLTGEYLTFPGGGTQFKHGALHYIDFIQDSHPDIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVGIWKAMSKLTKSMCWDLVVIKKDKLNGVGAAIFRKPTSNDCYNNRPQNEPPLCKESDDPNAAWNVPLEACMHKVPEDASVRGSRWPEQWPQRLEKPPYWLNSQVGVYGKAAPEDFAADYGHWKNVVSKSYLNGMGINWSSVRNIMDMRAVYGGFAAALKDLKVWVMNVVPIDSADTLPIIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLTKRCNLVAVIAEVDRILRPEGNLIVRDNVEIIGEIESLAKSLNWDIRMIYSKDNEGLICVHKTMWRPTEPETITSAII >Potri.005G184500.6.v4.1 pep chromosome:Pop_tri_v4:5:19154063:19159456:-1 gene:Potri.005G184500.v4.1 transcript:Potri.005G184500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184500.v4.1 MAMGKYSRVDGKKSSNYCSTITVVVFVALCLVGAWMFMSSSVSVQNSDSSSQEKVNDVKRVAGENNSKQFEDSPGDLPDDATKEDGNTVDSQSDSQSDVHEDQNVTEKESEGTVEDNKDEKTESKNMVEENQDEKTESKNMVEENQDEKTESQEEPKTETEKDGKTEDRGSNSGDGESNSEAGEMPAQGDETNKSEQTESEESSGENKSELDEGEKNSDSGESANENNQDGATENNVDSQENDQTSIEILPAGAQSELLNETNTQNGAWSTQVVESQKEKISQQSSISKDQNGHAWKLCNVTAGPDYVPCLDNWYVIRRLSSTKHYEHRERHCPQEAPTCLVSIPEGYRRSIKWPKSKDKIWYYNVPHTKLAEVKGHQNWVKLTGEYLTFPGGGTQFKHGALHYIDFIQDSHPDIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVGIWKAMSKLTKSMCWDLVVIKKDKLNGVGAAIFRKPTSNDCYNNRPQNEPPLCKESDDPNAAWNVPLEACMHKVPEDASVRGSRWPEQWPQRLEKPPYWLNSQVGVYGKAAPEDFAADYGHWKNVVSKSYLNGMGINWSSVRNIMDMRAVYGGFAAALKDLKVWVMNVVPIDSADTLPIIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLTKRCNLVAVIAEVDRILRPEGNLIVRDNVEIIGEIESLAKSLNWDIRMIYSKDNEGLICVHKTMWRPTEPETITSAII >Potri.005G184500.8.v4.1 pep chromosome:Pop_tri_v4:5:19154198:19159597:-1 gene:Potri.005G184500.v4.1 transcript:Potri.005G184500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184500.v4.1 MVEENQDEKTESQEEPKTETEKDGKTEDRGSNSGDGESNSEAGEMPAQGDETNKSEQTESEESSGENKSELDEGEKNSDSGESANENNQDGATENNVDSQENDQTSIEILPAGAQSELLNETNTQNGAWSTQVVESQKEKISQQSSISKDQNGHAWKLCNVTAGPDYVPCLDNWYVIRRLSSTKHYEHRERHCPQEAPTCLVSIPEGYRRSIKWPKSKDKIWYYNVPHTKLAEVKGHQNWVKLTGEYLTFPGGGTQFKHGALHYIDFIQDSHPDIAWGKRTRVILDVGCGVASFGGYLFERDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPSSVFDVVHCARCRVPWHVEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVGIWKAMSKLTKSMCWDLVVIKKDKLNGVGAAIFRKPTSNDCYNNRPQNEPPLCKESDDPNAAWNVPLEACMHKVPEDASVRGSRWPEQWPQRLEKPPYWLNSQVGVYGKAAPEDFAADYGHWKNVVSKSYLNGMGINWSSVRNIMDMRAVYGGFAAALKDLKVWVMNVVPIDSADTLPIIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLTKRCNLVAVIAEVDRILRPEGNLIVRDNVEIIGEIESLAKSLNWDIRMIYSKDNEGLICVHKTMWRPTEPETITSAII >Potri.005G088400.1.v4.1 pep chromosome:Pop_tri_v4:5:6170769:6172338:-1 gene:Potri.005G088400.v4.1 transcript:Potri.005G088400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G088400.v4.1 MKNTFQDQALGIPINSAAYTARRSPLRYLPGPDGQYAQAYFKQCKADSMLKMMNKLGKKADNFANGVREHVRLGPKISETVKGKLSLGAKILQVGGVEKIFKQLFVVSEDEKLLKASQCYLSTTAGPIAGLLFISTEKVAFCSERSIKFSSPSGKSVRVHYKVLVPLKKIKMLNQSENVKKPSQKYLELVTVDDFEFWFMGFINYQKTFKYLQQAMYLKSQMR >Potri.012G087800.1.v4.1 pep chromosome:Pop_tri_v4:12:11328927:11339243:1 gene:Potri.012G087800.v4.1 transcript:Potri.012G087800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087800.v4.1 MKETLRYLAGIAGPSGYGSNSTAEQVIQDYACSGPPHLTAIITGATSGIGVETARALAKKGLRIVIPARDLKKADELKEVIREESPKAEIVIFETDISSFVSVRRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHFLLTELLLEKMIETAEQTGIQGRIINLSSAIHSWVRRDAFCFSKMLYPGNYDGTSAYSQSKLANILHVKEIATKLKARNARVTMNAVHPGIVKTGIMRDSYKGFITDSLYLIASKLLKSTSQGASTTCYVALSPQTEGVSGKYFADCNEINCSALANDGLEARKLWMQTHALLQRYLYPPPTQHVLLCD >Potri.012G087800.5.v4.1 pep chromosome:Pop_tri_v4:12:11329565:11339243:1 gene:Potri.012G087800.v4.1 transcript:Potri.012G087800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087800.v4.1 MSYKRDQIHKGLNFNPFLSHSASKGATSGIGVETARALAKKGLRIVIPARDLKKADELKEVIREESPKAEIVIFETDISSFVSVRRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHFLLTELLLEKMIETAEQTGIQGRIINLSSAIHSWVRRDAFCFSKMLYPGNYDGTSAYSQSKLANILHVKEIATKLKARNARVTMNAVHPGIVKTGIMRDSYKGFITADSLYLIASKLLKSTSQGASTTCYVALSPQTEGVSGKYFADCNEINCSALANDGLEARKLWMQTHALLQRYLYPPPTQHVLLCD >Potri.012G087800.7.v4.1 pep chromosome:Pop_tri_v4:12:11328927:11339243:1 gene:Potri.012G087800.v4.1 transcript:Potri.012G087800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087800.v4.1 MKETLRYLAGIAGPSGYGSNSTAEQVIQDYACSGPPHLTAIITGATSGIGVETARALAKKGLRIVIPARDLKKADELKEVIREESPKAEIVIFETDISSFVSVRRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHFLLTELLLEKMIETAEQTGIQGRIINLSSAIHSWVRRDAFCFSKMLYPGNYDGTSAYSQSKLANILHVKEIATKLKARNARVTMNAVHPGIVKTGIMRDSYKGFITDCNEINCSALANDGLEARKLWMQTHALLQRYLYPPPTQHVLLCD >Potri.012G087800.4.v4.1 pep chromosome:Pop_tri_v4:12:11328926:11339243:1 gene:Potri.012G087800.v4.1 transcript:Potri.012G087800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087800.v4.1 MKETLRYLAGIAGPSGYGSNSTAEQVIQDYACSGPPHLTAIITGATSGIGVETARALAKKGLRIVIPARDLKKADELKEVIREESPKAEIVIFETDISSFVSVRRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHFLLTELLLEKMIETAEQTGIQGRIINLSSAIHSWVRRDAFCFSKMLYPGNYDGTSAYSQSKLANILHVKEIATKLKARNARVTMNAVHPGIVKTGIMRDSYKGFITADSLYLIASKLLKSTSQGASTTCYVALSPQTEGVSGKYFADCNEINCSALANDGLEARKLWMQTHALLQRYLYPPPTQHVLLCD >Potri.012G087800.8.v4.1 pep chromosome:Pop_tri_v4:12:11329565:11339243:1 gene:Potri.012G087800.v4.1 transcript:Potri.012G087800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087800.v4.1 MSYKRDQIHKGLNFNPFLSHSASKGATSGIGVETARALAKKGLRIVIPARDLKKADELKEVIREESPKAEIVIFETDISSFVSVRRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHFLLTELLLEKMIETAEQTGIQGRIINLSSAIHSWVRRDAFCFSKMLYPGNYDGTSAYSQSKLANILHVKEIATKLKARNARVTMNAVHPGIVKTGIMRDSYKGFITGCINHMLCCFESTNRRCEWKIFCRL >Potri.012G087800.2.v4.1 pep chromosome:Pop_tri_v4:12:11328927:11339243:1 gene:Potri.012G087800.v4.1 transcript:Potri.012G087800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087800.v4.1 MKETLRYLAGIAGPSGYGSNSTAEQVIQDYACSGPPHLTAIITGATSGIGVETARALAKKGLRIVIPARDLKKADELKEVIREESPKAEIVIFETDISSFVSVRRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHFLLTELLLEKMIETAEQTGIQGRIINLSSAIHSWVRRDAFCFSKMLYPGNYDGTSAYSQSKLANILHVKEIATKLKARNARVTMNAVHPGIVKTGIMRDSYKGFITGCINHMLCCFESTNRRCEWKIFCRL >Potri.012G087800.6.v4.1 pep chromosome:Pop_tri_v4:12:11329565:11339243:1 gene:Potri.012G087800.v4.1 transcript:Potri.012G087800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087800.v4.1 MSYKRDQIHKGLNFNPFLSHSASKGATSGIGVETARALAKKGLRIVIPARDLKKADELKEVIREESPKAEIVIFETDISSFVSVRRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHFLLTELLLEKMIETAEQTGIQGRIINLSSAIHSWVRRDAFCFSKMLYPGNYDGTSAYSQSKLANILHVKEIATKLKARNARVTMNAVHPGIVKTGIMRDSYKGFITDSLYLIASKLLKSTSQGASTTCYVALSPQTEGVSGKYFADCNEINCSALANDGLEARKLWMQTHALLQRYLYPPPTQHVLLCD >Potri.001G062566.1.v4.1 pep chromosome:Pop_tri_v4:1:4826503:4827513:1 gene:Potri.001G062566.v4.1 transcript:Potri.001G062566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062566.v4.1 MHVLVLLPWNQTDSHSLLVKTIYDKNTVVGNALIDMYAKCGSIRDARLVFNMLRECDQVSWSAMIAGYSVHGLCREALKAFELMQETECKPDKVTFVGILSACSNAGLFDRGQAYFKSIIEDYGIEPCAEHYMYGLDFWEIGSS >Potri.010G185100.1.v4.1 pep chromosome:Pop_tri_v4:10:18242145:18244144:-1 gene:Potri.010G185100.v4.1 transcript:Potri.010G185100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185100.v4.1 MHPSPTLFLLLVVTASLPLARSTSPDHFFKPSSPPRSPSPSPEPSPEPEPKEYFELTHPLPGDRLTPSCVLQTINHSFANTINKPPFSTPYYPPLDCPPPWSHVTLEFHVKSKGDQYDRVSALWLGGSELLRTSTAEPEEHGIFWNVRKDITKYSSLLVQNYLNFTLMLENIVNDIYTGVYHVNVTLYFYKDNAVKVPLTGINQNLIAPVLQSPLFGDKSMYDPPADLIIPISASDSTKGYWFIIESELDVKFEKVRFPLNTRKVVLELYVSFHGNDEFWYSNPSNSYIRMNNLSTPRGNGAFREVFVTIDGKLVGSEMPFPVIFTGGINPLFWEPVVAIGAFNLPSYDFDLTPFLGMLLDGKDHLFGIGVTDGIEYWLVDANLHVWLDTASTVVEAKNVVNINPASEISRREGFQSLDGSFEIKAEKFTRLEGWVKSSSGNLTTSITQEVRLRSSIRFRKNGSYKVVKQSIKVRREAKVLNDVGGLVSRVTVRTKYPLKVITVTLPGLKNDTYILVTNVTHEVNERIRIGKLSSHVNNKQVSNGWMEVKDHSVLSGEVMTNQTYVCRDEFGCYVRIVAALNGTLIKDDSANVCPSVK >Potri.006G139400.2.v4.1 pep chromosome:Pop_tri_v4:6:11660568:11663057:-1 gene:Potri.006G139400.v4.1 transcript:Potri.006G139400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139400.v4.1 MSGSTEFVENMGKMCEKPSFSQTCSLLSQYLKERGSFGDLNLGMASNSESTPNKNGPSEMLRRSPSTMNLFPVSEKPGHISCQNMGAPRNFTSMDLFPQQAGFAPKEDVPMKLDSSKSATAEPQTAQMTIFYAGRVIVFNDFPADKAKEVMLLASKGSSQIQNAFPSIPANSHPALAPNISKTPIESTISIPSSSNAHPNFGNNLIQECMQPAPQPIANDLPIARRASLHRFLEKRKDRIIAKAPYQINPAATTSKPAESEFSWLGLAAPSTTH >Potri.005G075700.2.v4.1 pep chromosome:Pop_tri_v4:5:5090328:5096732:1 gene:Potri.005G075700.v4.1 transcript:Potri.005G075700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075700.v4.1 MCGILAVLGCADNSQAKRSRVIELSRRLKHRGPDWSGLHCHGDCYLAHQRLAIVDPASGDQPLYNQDKTVVVTVNGEIYNHKELRAQLKSHTFRTGSDCEVIAHLYEEHGENFVDMLDGMFSFVLLDTRDKSFIAARDAIGITPLYIGWGLDGSVWFASEMKALSDDCERFMSFLPGHIYSSKQGALRRWYNPPWFSEQIPSSPYDPLALRKAFEKAVVKRLMTDVPFGVLLSGGLDSSLVAAVASRHLADSEAAQQWGSQLHTFCIGLKGSPDLKAAREVADYLRTRHHEFYFTVQEGIDALEEVIYHIETYDVTTVRASTPMFLMSRKIKSLGVKMVISGEGSDEIFGGYLYFHKAPNKEEFHQETCRKIKALHLYDCLRANKSTSAWGVEARVPFLDKEFINIAMSIDPEWKMIRPDLGRIEKWVLRNAFDDEKNPYLPKHILYRQKEQFSDGVGYSWIDGLKDHANKQVTDAMLMNASFIYPENTPTTKEAYYYRTIFEKFFPKNSARSTVPGGPSVACSTAKAVEWDAAWSKNLDPSGRAALGVHEAAYEETVDTKAASQNNGSPQKPQGTLEKTATVA >Potri.001G010500.1.v4.1 pep chromosome:Pop_tri_v4:1:683340:686296:-1 gene:Potri.001G010500.v4.1 transcript:Potri.001G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010500.v4.1 MATSAAELRGSHFRKAATPNTGNLGKQSTSNMQDLLDCPVCFTMMYPPIFQCPNGHTLCSQCRARVKNSCPICRGELGNIRCLALEKIAESIELPCMYQSAGCGDIFPYYSKPKHEENCKYRPYNCPYAGAECSVTGDISLLIKHLKNDHKVDMHDGCTFNHRYVKSDAGEIDNATWMLTVFNCFGRQFCLHFETFFIGMSPVYMAFLRFMGTEDEAREFSYSIEVGGNGRKLTWQGVPRSIRDSHQKVRDSQDGLIIQRNLALFFSGGDRQELKLKVSGRIWKEQ >Potri.001G010500.5.v4.1 pep chromosome:Pop_tri_v4:1:684295:684966:-1 gene:Potri.001G010500.v4.1 transcript:Potri.001G010500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010500.v4.1 MATSAAELRGSHFRKAATPNTGNLGKQSTSNMQDLLDCPVCFTMMYPPIFQCPNGHTLCSQCRARVKNSCPICRGELGNIRCLALEKIAESIELPCMYQSAGCGDIFPYYSKPKHEENCKYRPYNCPYAGAECSVTGDISLLIKHLKNDHKVDMHDGCTFNHRYVKSDAGEIDNATWMLTVRSL >Potri.002G256200.2.v4.1 pep chromosome:Pop_tri_v4:2:24517114:24519785:1 gene:Potri.002G256200.v4.1 transcript:Potri.002G256200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256200.v4.1 MRRRSPTNVSSELMEKGGGKNQHNRLCLLASLSAFFWILLLYFHFVVLAGSTVDKSVKLDPTPLNPESKTPAFVTDNRLPDNPLKSSPSTSETIPSNATPSSTETTPLKTTSTSSQKIEKFPFTRALRTVENKSDPCGGRYIYVHDLPSRFNEDMLKECRSLSLWTNMCKFTTNAGMGPPLENVEGVFSNTGWYATNQFAVDVIFSNRMKQYECLTNDSSVAAAIFVPFYAGFDIARYLWGHNVSRRDAASLDLVDWLMKRPEWGIMQGRDHFLVAGRITWDFRRLTDEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFTWQDRMRKLERKWLFSFAGAPRPDNPKSIRGQIIDQCKKSKVGKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNVSIEERLSQISPEQVKIMRDNVINLIPSLIYADPRSKLETLKDAFDVAVQAVIDKVTRLRKNIIEGRTEYDNFVEENSWKYALLDEGQREVGGHEWDPFFSKPKDGNADSGGSSAEAAKNSWENERRGQS >Potri.002G256200.3.v4.1 pep chromosome:Pop_tri_v4:2:24517112:24519904:1 gene:Potri.002G256200.v4.1 transcript:Potri.002G256200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256200.v4.1 MRRRSPTNVSSELMEKGGGKNQHNRLCLLASLSAFFWILLLYFHFVVLAGSTVDKSVKLDPTPLNPESKTPAFVTDNRLPDNPLKSSPSTSETIPSNATPSSTETTPLKTTSTSSQKIEKFPFTRALRTVENKSDPCGGRYIYVHDLPSRFNEDMLKECRSLSLWTNMCKFTTNAGMGPPLENVEGVFSNTGWYATNQFAVDVIFSNRMKQYECLTNDSSVAAAIFVPFYAGFDIARYLWGHNVSRRDAASLDLVDWLMKRPEWGIMQGRDHFLVAGRITWDFRRLTDEESDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDADVFTWQDRMRKLERKWLFSFAGAPRPDNPKSIRGQIIDQCKKSKVGKLLECDFGESKCHSPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDIRKRNVSIEERLSQISPEQVKIMRDNVINLIPSLIYADPRSKLETLKDAFDVAVQAVIDKVTRLRKNIIEGRTEYDNFVEENSWKYALLDEGQREVGGHEWDPFFSKPKDGNADSGGSSAEAAKNSWENERRGQS >Potri.004G178700.2.v4.1 pep chromosome:Pop_tri_v4:4:19307882:19311667:-1 gene:Potri.004G178700.v4.1 transcript:Potri.004G178700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178700.v4.1 MKKRSSADIDQAVVNVWKRELGQLSTRNFAHRFAASEDLVSRLEIHKKLEKHDGCVNTLSFNAGGDILVSGSDDLRVILWDWETGRDKLSFRSGHDNNVFQANFMPFSDDRTIITCAADGEIRQAQILEGGEVKTILLGKHEESQVHKLAIEPGSPHIFYSCGEDGVVQHFDLRTRSATELFTCLCVDDLKGYRPYVPLNAIAIDPRNPNLFAVGGMDKFAQLYDIRKYKWDGSSDFGQPACYFCPQHLIGNEDTGITGLSFSDQSELLVSYGDEFIYLFTQSMGLRNPPFPSSSFMVSMGSDTSKVEPGSIASSSSMDLDGKNAPQVYMGHRNCETVKGVSFFGPRCEYVSSGSDCGRIFIWKKRGGELIRVIEADKDVVNCTEPHPHTMALASSGIEYDIKIWTPKAIERATLPTNIGQLLMANRIDWFGMSDNNDDDDGDSDDSDIDDDFYDDYSDNGGVCDEDDDKFLGSYDEC >Potri.004G178700.1.v4.1 pep chromosome:Pop_tri_v4:4:19307874:19311677:-1 gene:Potri.004G178700.v4.1 transcript:Potri.004G178700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178700.v4.1 MKKRSSADIDQAVVNVWKRELGQLSTRNFAHRFAASEDLVSRLEIHKKLEKHDGCVNTLSFNAGGDILVSGSDDLRVILWDWETGRDKLSFRSGHDNNVFQANFMPFSDDRTIITCAADGEIRQAQILEGGEVKTILLGKHEESQVHKLAIEPGSPHIFYSCGEDGVVQHFDLRTRSATELFTCLCVDDLKGYRPYVPLNAIAIDPRNPNLFAVGGMDKFAQLYDIRKYKWDGSSDFGQPACYFCPQHLIGNEDTGITGLSFSDQSELLVSYGDEFIYLFTQSMGLRNPPFPSSSFMVSMGSDTSKVEPGSIASSSSMDLDGKNAPQVYMGHRNCETVKGVSFFGPRCEYVSSGSDCGRIFIWKKRGGELIRVIEADKDVVNCTEPHPHTMALASSGIEYDIKIWTPKAIERATLPTNIGQVCLFHSVCIEIHILKNLLIAFDLMAWLDPVIYEIR >Potri.016G104700.1.v4.1 pep chromosome:Pop_tri_v4:16:10764938:10780775:-1 gene:Potri.016G104700.v4.1 transcript:Potri.016G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G104700.v4.1 MNPFSSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRTSMNENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNVRKKAALCTIRIIKKVPDLSENFINPAAALLKEKHHGVLITGIQLCTDLCKVSPEALEFLRKKHTDGLVKTLKDAVNSPYTPEYDISGIADPFLHIRLLKLLRVLGQGDADASDAMNDILAQVATKTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIQKRALELVYVLVNETNVKPLTKELIDYLEVSDQEFKGELTAKICSIIEKFSPENNWYIDQMLKVLNKAGNFVKDEVWHALIAVISSASDLHGYTVRALYKAFQTSSEQESLVRVAVWCIGEYGDMLVNNVGMLDIEDPITVTESDTVDVVKIAIKHHALDLTTKAMALIALLKLSSRFPSCSERIKDIIVQHKGSFVLELQQRSLEFNSIIEKHHNIRSALVERMPILDDATFSTRRAGSLPAAASTSGGASLNLPNGVVKPSAAPLVDLLDLSDDLPAPSSSGGDFLQDLLGVDLSPAPTQSGIGHIQKAGTDVLLDLLSIGTPVQSSSPTTDILSSSQNDKSPIATLDALSSPSSLSAQATSSARAAPMMDLLDGFGPSPPKPEDNGSVYPPLVAFQSSSLRITFNFSKQPGNPQTTLIQATFTNLTPNVFTDFIFQAAVPKFLQLHLDPASSNILPASGNGAITQNLRVTNSQHGKKSLVMRTRMSYKFDNKVTLEEGQINNFPQDLGS >Potri.010G056600.1.v4.1 pep chromosome:Pop_tri_v4:10:8753849:8755963:1 gene:Potri.010G056600.v4.1 transcript:Potri.010G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056600.v4.1 MVEMATGSSTTTIQNSGASLSSSESRLTNYPLISAFLAFAIAQSIKFFTSWYKERRWDLKQLVGSGGMPSSHSATVAALAMAVGFQEGFGGSLFSIALILACVVMYDATGVRLQAGRQAEVLNQILYELPAEHPLSDSRPLRELLGHTPPQVIAGGLLGLVTAVIGHLITILTTSRS >Potri.014G040700.1.v4.1 pep chromosome:Pop_tri_v4:14:2641334:2643266:1 gene:Potri.014G040700.v4.1 transcript:Potri.014G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040700.v4.1 MAISSISLCYLLLLLFVTGNVGNATVFTLQNQCSYTLWPGTLSGNGAATLGDGGFTLAPGASIQFQAPPGWSGRFWARTGCVFDESGSGKCVTGDCGGTLNCIGGGAPPVSLVEFTIGTNPNDKDFYDVSLVDGYNVGLGVKALGGYGDCQYAGCVSDLNGNCPAELRVVDSGSTVACKSACAAFNAPEFCCTADHATPQTCSPTQYSVMFKNACPTAYSYAYDDASSTCTCTGSDYLITFCPSGSG >Potri.004G067600.1.v4.1 pep chromosome:Pop_tri_v4:4:5756712:5757534:-1 gene:Potri.004G067600.v4.1 transcript:Potri.004G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067600.v4.1 MRMMGSAFLVLSFLLFALAAKPLPRVAAGAAPEPVLDIAGKVLRTGTYYDILPVERGRGGGITFACTGHKSCPVDVMLEDYEDSDGLPLQFIPANRKKGVIRLSTDLNIKFPGPASCAATAVWKVEKYDELTSQMFISTSGVEGNPGPETVDNWFKIEKYGNDYKLVFCPTVCNDHCKVLCKDIGIYVDKEGFKRLALSDVPLKVKFKKF >Potri.017G141800.3.v4.1 pep chromosome:Pop_tri_v4:17:14220114:14223917:1 gene:Potri.017G141800.v4.1 transcript:Potri.017G141800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141800.v4.1 MDLGPFKKVVLGSVAFAIFWILAVFPAVPFLPIGRTAGSILGATLMVIFKVITPKQAYDAINLPVLGLLFGTMVVSIYLERADMFKHLGVLLSWKSRGAKDMLCRICIVSAISSALFTNDTACFFLTEFILKIARQNNIRPEPFLLGLASSSNIGSSATPIGNPQNLIIAIHSRISFGEFVLGLLPAVLVGVFVNALILICMFRRLLSDVKEEEDALYEMIVQEDSAVHQISLATMSSPNSLEYHEYDPIAEHVNAQRMCELDMCSDSCGEIELMKAVLSKKEATDNMFSEIGEPMEERFARGGVQGTMDMMTDLEPGPQQSAEESKGQLNRWKRLCIYLGTVGMLVAFLMGLDMSWTALTAALIFVILDFKDAGPCLEKVSYSLLVFFCGMFITVDGFSKTGIPTSFWTLMEPHAQIDHASGIAVLAIVILVLSNVVSNVPTVLLLGAKVAACAAAISPSKEKKAWLILAWVSTVAGNLSLLGSAANIIVCEQAFRAQPSYNITFWSHLKFGVPSTLIVTTIGLALVLA >Potri.017G141800.2.v4.1 pep chromosome:Pop_tri_v4:17:14220113:14223845:1 gene:Potri.017G141800.v4.1 transcript:Potri.017G141800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141800.v4.1 MDLGPFKKVVLGSVAFAIFWILAVFPAVPFLPIGRTAGSILGATLMVIFKVITPKQAYDAINLPVLGLLFGTMVVSIYLERADMFKHLGVLLSWKSRGAKDMLCRICIVSAISSALFTNDTACFFLTEFILKIARQNNIRPEPFLLGLASSSNIGSSATPIGNPQNLIIAIHSRISFGEFVLGLLPAVLVGVFVNALILICMFRRLLSDVKEEEDALYEMIVQEDSAVHQISLATMSSPNSLEYHEYDPIAEHVNAQRMCELDMCSDSCGEIELMKAVLSKKEATDNMFSEIGEPMEERFARGGVQGTMDMMTDLEPGPQQSAEESKGQLNRWKRLCIYLGTVGMLVAFLMGLDMSWTALTAALIFVILDFKDAGPCLEKVNQQVSYSLLVFFCGMFITVDGFSKTGIPTSFWTLMEPHAQIDHASGIAVLAIVILVLSNVVSNVPTVLLLGAKVAACAAAISPSKEKKAWLILAWVSTVAGNLSLLGSAANIIVCEQAFRAQPSYNITFWSHLKFGVPSTLIVTTIGLALVLA >Potri.017G141800.4.v4.1 pep chromosome:Pop_tri_v4:17:14220114:14223917:1 gene:Potri.017G141800.v4.1 transcript:Potri.017G141800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141800.v4.1 MDLGPFKKVVLGSVAFAIFWILAVFPAVPFLPIGRTAGSILGATLMVIFKVITPKQAYDAINLPVLGLLFGTMVVSIYLERADMFKHLGVLLSWKSRGAKDMLCRICIVSAISSALFTNDTACFFLTEFILKIARQNNIRPEPFLLGLASSSNIGSSATPIGNPQNLIIAIHSRISFGEFVLGLLPAVLVGVFVNALILICMFRRLLSDVKEEEDALYEMIVQEDSAVHQISLATMSSPNSLEYHEYDPIAEHVNAQRMCELDMCSDSCGEIELMKAVLSKKEATDNMFSEIGEPMEERFARGGVQGTMDMMTDLEPGPQQSAEESKGQLNRWKRLCIYLGTVGMLVAFLMGLDMSWTALTAALIFVILDFKDAGPCLEKVSYSLLVFFCGMFITVDGFSKTGIPTSFWTLMEPHAQIDHASGIAVLAIVILVLSNVVSNVPTGSCLCRCNIS >Potri.013G009800.1.v4.1 pep chromosome:Pop_tri_v4:13:633314:634870:-1 gene:Potri.013G009800.v4.1 transcript:Potri.013G009800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009800.v4.1 MADEMGAAEERKILVAVDESEESMHALSWCLKNVLVSNNPSKDTLILLYVKPPRVVYSSLDGTGYLLSSDIMATMQKYSNDIADCVIEKAKRMCREQVQDVKVETIIEHGDARDLICQAAEKLHADMLVMGSHGYGLIKRAFLGSVSNHCAQNVKCPVLIVKRPKSNSGSK >Potri.013G160800.1.v4.1 pep chromosome:Pop_tri_v4:13:15484241:15486948:1 gene:Potri.013G160800.v4.1 transcript:Potri.013G160800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160800.v4.1 MELVLLISLAVVITFLGLLELLYSGLVLKPERLRSVLRKQGIRGPSPSLLLGNISEIRKSQSTTVKASTNEPPVFHNCAATLFPFFEQWRKQYGPVFVFSLGNTQILYVSRADVVREISTCTSLEFGKPSYQQKELGSLLGQGILTSNGKVWAHQRKIIAPELYGDKVKGMMSLIIESTTVLLNSWKSRIDKEGGVAEIKIDEGMRSFSGDVISRACFGSNYSEGAEIFSRLRDLQEAMSKKSLSTGIPGMRYIPTKNNREAWALEKYVRNLILEIVKERKETAHEKDLLQMVLESAKTSNLGQDAMDRFIVDNCKNIYLAGYETTAVSATWCLMLLAANQEWQDRVRAEVLEVCGSGCLPDADMLRKMKQLNMVIHESLRLYPPVAVVSREAFKEMKFGGITVPKGVNVWTMVLTLHTDPEVWGPDAYRFNPDRFAKGITGACKLPHLYMPFGVGPRMCLGQNLAIAELKILIALILSQFSLSLSPKYIHSPALRLVIEPERGVDLLIKTL >Potri.013G160800.2.v4.1 pep chromosome:Pop_tri_v4:13:15485055:15486948:1 gene:Potri.013G160800.v4.1 transcript:Potri.013G160800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160800.v4.1 MGKFGPIRGKSSPLNYTVTRLRSNLGLQGMMSLIIESTTVLLNSWKSRIDKEGGVAEIKIDEGMRSFSGDVISRACFGSNYSEGAEIFSRLRDLQEAMSKKSLSTGIPGMRYIPTKNNREAWALEKYVRNLILEIVKERKETAHEKDLLQMVLESAKTSNLGQDAMDRFIVDNCKNIYLAGYETTAVSATWCLMLLAANQEWQDRVRAEVLEVCGSGCLPDADMLRKMKQLNMVIHESLRLYPPVAVVSREAFKEMKFGGITVPKGVNVWTMVLTLHTDPEVWGPDAYRFNPDRFAKGITGACKLPHLYMPFGVGPRMCLGQNLAIAELKILIALILSQFSLSLSPKYIHSPALRLVIEPERGVDLLIKTL >Potri.013G160800.3.v4.1 pep chromosome:Pop_tri_v4:13:15485055:15486948:1 gene:Potri.013G160800.v4.1 transcript:Potri.013G160800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160800.v4.1 MMSLIIESTTVLLNSWKSRIDKEGGVAEIKIDEGMRSFSGDVISRACFGSNYSEGAEIFSRLRDLQEAMSKKSLSTGIPGMRYIPTKNNREAWALEKYVRNLILEIVKERKETAHEKDLLQMVLESAKTSNLGQDAMDRFIVDNCKNIYLAGYETTAVSATWCLMLLAANQEWQDRVRAEVLEVCGSGCLPDADMLRKMKQLNMVIHESLRLYPPVAVVSREAFKEMKFGGITVPKGVNVWTMVLTLHTDPEVWGPDAYRFNPDRFAKGITGACKLPHLYMPFGVGPRMCLGQNLAIAELKILIALILSQFSLSLSPKYIHSPALRLVIEPERGVDLLIKTL >Potri.006G014232.1.v4.1 pep chromosome:Pop_tri_v4:6:890476:902278:1 gene:Potri.006G014232.v4.1 transcript:Potri.006G014232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014232.v4.1 MHPHQAKHSRSTTAMSKLMASELSVILISRLLLFVSLLLWQLGTGTTFNIGTKAAADNGDNSTTNNCILTGSEENALRLLFSSLQTTGEGAKIKCSCHNSNEACSITEINLAGKNLDGQISSSIGDFENLKILNLSNNLLTGVIPSSLGKLQRLEKLDLANNLLTGSIPDNLTPLQSLKSLNLTSNALTGSIPASLTNLRNLTVLMLSDNNLDGEIPQNLTGLQSLGYLYLQSNKLTGRIPDSISDCKKLVEISLRFNSLSGTIPRTLGTLSNLTILDLYSNSLSGHIPVELGNLSSLHTLYLDDNDLEGNLPKELENLVKLQFLYLSSNKFNGSIPETYAKLTKMKDFVVGGNYLSGKIPNYFGKWGSLTKLDLIGNNFEGNLPAETFSLQKLKFLLVSDVSNPGISFPKHEVISGSLTKVVLRNCDISGQIPEYIGRWPQLIYLDLSFNNLTGGIPDSFQNISYKLFLTNNLLDELPSWITSKQNRSSYPETYLSYNNFKGNCTNSTCWGPEQVHIHPTRSFIDKMMQKETCRRKHKSLFINCGGEEVTVGKAHYHNDTSTSNFFQSPNDDWAYSYSGDYFWARINDSTLVRNSTCEVSSPEAKLDNNFRLAPVSLTYYGLCLSNGKYHVTLHFAETLYSKGEDNSRVGKRVFDVYIQKERVEKDLNIKEALGGQNEELKRQYTTKIRDGSLEIHFFWTGKGSLYNPPAINGPLISAISVTRVPRKLRPWEIAVIAVSCILFLLLLLAFMWRMGWIGDRELRETKLKIGGKPFTLKQIVDATGNFSPENELGRGRSGIVYKADLPNLTVAVKKLDPKSKAVDEIASEVYAKKALDLKHDNVVTLLASYSKRHLHLLIYEYMKHGSLGQVLFGTNSTEQLDSPERSTICQGIAKALKNLLRWNPQTIQKSTDSNPKVQLDWQKRFNICRGIAKGLKYLHERKPQIIHRNIKSTNILLDASLNPKISDFGLAKLYEEGNPYIAVGAGKQLSYMAPEYATRRAMTVKVDVFSFGILLLEIVSGKNNADSTGDENSVFLLDTASKLHAKQELEQLVYTRLDTSSESGQASIALNLAIMCTDQSPSLRPTMSQVVAVLERSKTIEDISKEINTSA >Potri.010G145766.1.v4.1 pep chromosome:Pop_tri_v4:10:15715884:15721797:1 gene:Potri.010G145766.v4.1 transcript:Potri.010G145766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145766.v4.1 MATGQLFSRTTQALFYNYKQLPIQRMLDFDFLCGRELPSVAGIINPGAEGFQKLFFGQEEIAIPVHSTVEAACTAHPTADVFINFASFRSAAASSMAALKQPTVRVVAIIAEGVPEADTKQLIAYARANNKVVIGPATVGGIQAGAFKIGETAGTIDNIIACKLYRSGSVGFVSKSGGMSNELYNAIARVTDGIYEGIAIGGDVFPGSTLSDHVLRFNNIPQVKIMVVLGELGGRDEYSLVEALKQGKVNKPVVAWVSGTCARLFKSEVQFGHAGAKSGGEMESAQAKNQAIKDAGAVVPTSYEAFETAIKETFEKLVDEGKITPVKEFTPPQIPEDLNIAIKSGKVRAPTHIISTISDERGTFNQYFLSYY >Potri.011G137750.1.v4.1 pep chromosome:Pop_tri_v4:11:16951242:16952087:-1 gene:Potri.011G137750.v4.1 transcript:Potri.011G137750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137750.v4.1 MNCMLIMVIIAEQEQIAQSKQKGELLTWDDLAKMKYSWRVAQETLRMVSPIFGGFRKAVKDIEYDGYLIPKGWQIFWVTNMTHMDSSIFPESSKFDPARFNNQASIPPYCFIPFGGGPRICPGYEFARIETLITIHHLVTQFTWKLLADNFFKRDPMPVPTEGLPIQIMPKTNITS >Potri.005G227000.3.v4.1 pep chromosome:Pop_tri_v4:5:22753742:22757199:-1 gene:Potri.005G227000.v4.1 transcript:Potri.005G227000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227000.v4.1 MNLFSKKPSPKEALRDSKREMQHATRGIEREIGALQLEEKKLVAEIKRTAKTGNDAATKILARQLIRLRQQIANLQGSRAQMRGIATHTQAMHAQSSVAVGLKGASKAMEAMNKQMAPAKQMKVIREFQKQSAQMDMTTEMMSDAIDDALDNDEAEEETEELTNQVLDEIGVDVASQLSVAPKGKITGKNREDASSSGIDELEKRLAAMRNP >Potri.004G063900.1.v4.1 pep chromosome:Pop_tri_v4:4:5407264:5410500:1 gene:Potri.004G063900.v4.1 transcript:Potri.004G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063900.v4.1 MVVTVSFLSSTVIFLAPNESRPEEESGTGSKKLVMPVVVSSAIVLFFLVMGIICWKFFFQDKYKRERGTFKSTIWALINGHRNALTVASGGGVGAPKPELQDDLMGLDLKTGSFTLRQLGAATNNFDSANKIGEGGFGSVYKGELSDGTAIAVKQLSPKSTQGNREFVNEIGMISGLKHPNLVKLCGCCIEGDQLLLVYEYMENNCLARALFGAETSALMLDWPTRFKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEAENTHISTRVAGTIGYMAPEYALWGYLSDKADVYSFGVVALEIVSGRSNSSYNPTNESVCLLDWAFVLQKRGNLMALVDPKLRSEFNKEEAEKMIKVALLCANASPSLRPSMPAVVSMLEGQTSIQEVISDPTIYGGGSQFKQVNYDHFQQVLDQSCSTQDHVFSSDKTWNVSTSMSAHDLYPPSP >Potri.005G028700.3.v4.1 pep chromosome:Pop_tri_v4:5:1812537:1822086:1 gene:Potri.005G028700.v4.1 transcript:Potri.005G028700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G028700.v4.1 MLPPSHDDQLTEGASQNSTSSLHETVSARPAAALRISSPLPLARPLPEDRLVKIQSSREYVSGYEPLQRPVNQSDQKMLKLRIKVGSDNCLPEQKISAIYSNLGLDMSPSLSSEDTDSPSEWERDFPDSLEEQMDSPTCIVRIMTSSPIPSGAMLSPLHDCLLNLSEQKDSLDHERTVPVQEFSVLWADEKVPVKKQKKPSDRRVRLVELKNEHFYDPPNCFSAFLKNESSMETSNGNHMLSDARNHPPGSKTAKMGGVAAGTGTKFRINVSGAKEETFSQKACKMHEVSAKATLTRKVLKDKKLGCVPRDNGSEGDKSLDLSKEDYSMPEGMRKCRDGTLDLTKQKFEKKKTSHLHDDMKVSHGEKQLSAGKKKPKGSKYDRISTDKSNNSMRINLSAEPKEKIFQKSSLPCRSKGDKALHENLRKVKVKHPVLIGDRKAGRSESRNHLLGTSVGDETRDCKREVHEKKTLAFRNKSKLSSVDKKNSLVSTSKANLRGDINGGVPSAGPLPMEVAPYLIEENWVCCDKCHKWRLLPYGTNPDQLPQKWLCTMLDWLPGMNCCTVSEEETTDTQRARCRLSVAGNHDGRLSHSVSIESSITFINTRHDLDQNPQDLSFSGGKKKHEIKEVSNPAQYSLSKKLLISTRKNEHVSIERRLKNRSLPSWEKKLEKRLGDVQPQKSKTKREADQESCKLSKKARTDSMHFAEEDHNTGGFSKKEMGSNDKKNSSSREMRCLAKNSTNLYVEKHNQFQKTLVTEDQDTMGIERKKRKSKDWMDSQIYQGNHSGNRLNSCISMEETSWSECRKEKKPRKCKFEEKVSSASKGVCKLFEKDKMNYLSQSTDAGKNSERRDLRDFQDVKGSPVESVCSSPSRISNRGSPRRTSSGDHGNTDIGFYHFSGQTKSSEGERVGLSNWSGTSRKENAPVSAPINDDEVEKHYAGQKVSSIKILNNSNREDNQFRCEGYEASLEKMDAVFQKDCNSASHHNVLQNCSSSRSLDMLDKINQVEKAAGKWKSLDFLFCGDKMENQSWKNSENDALEVDGSSFEDLARAPRQLGKDDGRNGFQDVTRRFPLPDASNTIASNHIRNYFFSQVANDALEGAKDLKHSTDSLKVSESGLQSTELFFQAALKFLHGASLFNPHNNNSTNSGEMTSAEMYVRAAKLCEYCASEFERFNALAYAFLAYKCIEVAYMRVVHSNDLTASRDLNELRTALKRVSPVESPSSSDSYVENLNSEVKVENRNITKDVGCSPAATACIIAARNQPSFVRLLNFAEDVNLAMEASRKSQAAFAAAEIILAETGNTEGISSIKKVLDIGFHDVEGLLRLIRLAIESLHKTP >Potri.005G028700.2.v4.1 pep chromosome:Pop_tri_v4:5:1812557:1822106:1 gene:Potri.005G028700.v4.1 transcript:Potri.005G028700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G028700.v4.1 MGETEIEEGEACDYMDNGDQNFDIDVALSYLDDRIQDILGQYQKEFEGGVSAETLGARFGGYGSFLPTYQRSPSIRSNPVTQRKVQSHGMLPPSHDDQLTEGASQNSTSSLHETVSARPAAALRISSPLPLARPLPEDRLVKIQSSREYVSGYEPLQRPVNQSDQKMLKLRIKVGSDNCLPEQKISAIYSNLGLDMSPSLSSEDTDSPSEWERDFPDSLEEQMDSPTCIVRIMTSSPIPSGAMLSPLHDCLLNLSEQKDSLDHERTVPVQEFSVLWADEKVPVKKQKKPSDRRVRLVELKNEHFYDPPNCFSAFLKNESSMETSNGNHMLSDARNHPPGSKTAKMGGVAAGTGTKFRINVSGAKEETFSQKACKMHEVSAKATLTRKVLKDKKLGCVPRDNGSEGDKSLDLSKEDYSMPEGMRKCRDGTLDLTKQKFEKKKTSHLHDDMKVSHGEKQLSAGKKKPKGSKYDRISTDKSNNSMRINLSAEPKEKIFQKSSLPCRSKGDKALHENLRKVKVKHPVLIGDRKAGRSESRNHLLGTSVGDETRDCKREVHEKKTLAFRNKSKLSSVDKKNSLVSTSKANLRGDINGGVPSAGPLPMEVAPYLIEENWVCCDKCHKWRLLPYGTNPDQLPQKWLCTMLDWLPGMNCCTVSEEETTDTQRARCRLSVAGNHDGRLSHSVSIESSITFINTRHDLDQNPQDLSFSGGKKKHEIKEVSNPAQYSLSKKLLISTRKNEHVSIERRLKNRSLPSWEKKLEKRLGDVQPQKSKTKREADQESCKLSKKARTDSMHFAEEDHNTGGFSKKEMGSNDKKNSSSREMRCLAKNSTNLYVEKHNQFQKTLVTEDQDTMGIERKKRKSKDWMDSQIYQGNHSGNRLNSCISMEETSWSECRKEKKPRKCKFEEKVSSASKGVCKLFEKDKMNYLSQSTDAGKNSERRDLRDFQDVKGSPVESVCSSPSRISNRGSPRRTSSGDHGNTDIGFYHFSGQTKSSEGERVGLSNWSGTSRKENAPVSAPINDDEVEKHYAGQKVSSIKILNNSNREDNQFRCEGYEASLEKMDAVFQKDCNSASHHNVLQNCSSSRSLDMLDKINQVEKAAGKWKSLDFLFCGDKMENQSWKNSENDALEVDGSSFEDLARAPRQLGKDDGRNGFQDVTRRFPLPDASNTIASNHIRNYFFSQVANDALEGAKDLKHSTDSLKVSESGLQSTELFFQAALKFLHGASLFNPHNNNSTNSGEMTSAEMYVRAAKLCEYCASEFERFNALAYAFLAYKCIEVAYMRVVHSNDLTASRDLNELRTALKRVSPVESPSSSDSYVENLNSEVKVENRNITKDVGCSPAATACIIAARNQPSFVRLLNFAEDVNLAMEASRKSQAAFAAAEIILAETGNTEGISSIKKVLDIGFHDVEGLLRLIRLAIESLHKTP >Potri.012G004500.1.v4.1 pep chromosome:Pop_tri_v4:12:33038:33737:1 gene:Potri.012G004500.v4.1 transcript:Potri.012G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004500.v4.1 MALMGTVKDGEEIMLKKRNEELEKALKESKQREEKMKSELQRAWERLQVAEEAEERLCSQLGELEAEAVSHARDCHARILSLMNELSQAHNLLHLHPVTN >Potri.015G132600.1.v4.1 pep chromosome:Pop_tri_v4:15:14223250:14225110:1 gene:Potri.015G132600.v4.1 transcript:Potri.015G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132600.v4.1 MLLPLSNASSSPEPQIKKPKTPPDFQRKDSFKSPKAFLAAHYPSFNQPRICLFLSVFFIQILLLIAFRSLPLSSHHRRQHFPSPFSTRHHQHLNQTVTTITTATTAPSTVKEESSCEFGKIFVYNLPSALNKELVSNCDELNPWSSSCAALSNDGFGPVATGISSVVPENLSPAWYWTDQFVTEILVHNRILNHKCRTQDPNNATAFYIPFYAGLAVGKSLFFKNSSAKERDFHCEMMLKWVQDQPYFQRNEGWDHFMTMGRISWDFRRSKDKDWGSSCIYKPGMRNITRLLIERNPWDYFDVGVPYPTGFHPRRDNDVVQWQDFVRNRNRKNLFCFAGAKRSKFNNDFRGLLSNHCRNESDSCRVVDCAGSKCSNGTSLILETFLDSAFCLQPRGDSFTRRSIFDCMIAGSIPVLFWKRSAYYQYEWFLPGEPESYSVFIDRNEVKNGTTSIRKVLESYSEDRIRRMREKVIEYIPKFVYARPQGGLETIKDAFDVAIDRVLRRFKEHEQPGYKW >Potri.005G107800.1.v4.1 pep chromosome:Pop_tri_v4:5:7749213:7751096:1 gene:Potri.005G107800.v4.1 transcript:Potri.005G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107800.v4.1 MKQTKVETGDVCGKITQSIPPPPPPPPPLPRFWVRKKVTESVTKQEIAKFWRKKLTEEEDHFLAAIKAAARIRARNLSEDGYKQFEESLKDDDGAKENDTTSLNSGKDTRNKEVRVGIKDWWTKSKYAYLNQPAIKSKDPPERRSSSYTPNYFSFKPTTPLYPTSLGVF >Potri.012G108400.2.v4.1 pep chromosome:Pop_tri_v4:12:12951713:12953476:-1 gene:Potri.012G108400.v4.1 transcript:Potri.012G108400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108400.v4.1 MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASK >Potri.013G032300.3.v4.1 pep chromosome:Pop_tri_v4:13:2087595:2089523:-1 gene:Potri.013G032300.v4.1 transcript:Potri.013G032300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032300.v4.1 MIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYARSVKLMGSRDNAAKLDFSEILPEEVEAELKEAAVISMGSDVSDVDLMNIKELCDQVLSLSEYRAQLYDYLKNRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLLYHASLVGQAPPKMKGKMSRSLAAKAALTIRYDALGDGQDDSMGLENRLKLEARLRNLEGKELGRSAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNPSADAILGQTPNSTARKVEEEEPAKEAPVTGEEKKEKKKKKRSEEETAVPSDRNGTAEQDGEAKKEKKKKKKHQAESDGVQNDAENAAEGGKKKKKRKHAEAEEDDEYETPSKKKEKKKKKKTEG >Potri.013G032300.1.v4.1 pep chromosome:Pop_tri_v4:13:2087630:2091621:-1 gene:Potri.013G032300.v4.1 transcript:Potri.013G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032300.v4.1 MLLLFETPAGFALFKVLDEGKLSKVEDLGKEFSSPDSARKVVKLKAFSKFENTSEALESVTKIIESSTSKGLRKFLRANCDGETLAVADSKLGNAIKDKLKIECVHNNAVMELMRGVRSQLTELISGLATQDLAPMSLGLSHSLSRYKLKFSPDKVDTMIIQAIGLLDDLDKELNTYAMRVREWYGWHFPELAKIVQDNILYARSVKLMGSRDNAAKLDFSEILPEEVEAELKEAAVISMGSDVSDVDLMNIKELCDQVLSLSEYRAQLYDYLKNRMNTIAPNLTALVGELVGARLIAHGGSLLNLAKQPGSTVQILGAEKALFRALKTKHATPKYGLLYHASLVGQAPPKMKGKMSRSLAAKAALTIRYDALGDGQDDSMGLENRLKLEARLRNLEGKELGRSAGSAKGKPKIEAYDKDRKKGAGGLITPAKTYNPSADAILGQTPNSTARKVEEEEPAKEAPVTGEEKKEKKKKKRSEEETAVPSDRNGTAEQDGEAKKEKKKKKKHQAESDGVQNDAENAAEGGKKKKKRKHAEAEEDDEYETPSKKKEKKKKKKTEG >Potri.001G137000.1.v4.1 pep chromosome:Pop_tri_v4:1:11172770:11176666:-1 gene:Potri.001G137000.v4.1 transcript:Potri.001G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137000.v4.1 MGKEGELWDDSALINAFDDAMSKYKKMHGKKRIQDKSTDGGKFGGGTEDENASAITRVDESLDGTVKEADENSNVTSNTVTELEETENLAPAKLNNCVDSLGPEPYVDPSNGGVHAQTLSGLYSHGAEDYNQLLGQYYELEEKRQRILQQLNLHGGYNYQYPAEGSGSGGYWGTCSASQDQSVAATQASLSPLICSCCPYACHCSVAPCSSFSSCTFGGTSLGKACTDSSAMMNPGKSFPPIDDDIVKTAMDAAERAMSSMTMNTPVVNSDIEGNKAGNRKDNEGEITENTSSETDLTVVLNAWYSAGFYTGKYLTERSIAKKWHG >Potri.014G100300.5.v4.1 pep chromosome:Pop_tri_v4:14:6622865:6626124:-1 gene:Potri.014G100300.v4.1 transcript:Potri.014G100300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100300.v4.1 MAAAEARAVWQRTANRCFVQEDAKRAPKLACCQSSSSSSKQLDGGPTSAADMPDQSSGGFMPLRRYPSYSSLPPDTRWWLQLQPSYGYQKCFTLEQLNALEAELESLRADIVDSPSKSKFCQQDDTDSIFVDGSKNSESSLDSCCMIPADYVMKDHDVKKQELKVLYDKDFQEFKNSKLMEMEPTGWPKSQKDSAYGFDPESAWIGGEKNMPWWRVTDKDDLASLVAQKSLDYITNCDLPPPQKMNIGKYPCARPGSFQHDNTPASSLDWKEQSGCISSATDPVQGCPKFEGMPGKQRASTDRLSQSDSDKACSFTKTNMETAEIGLVSQGDPCKAQLLEALRHSQTRAREAEKVAKQACAEKEHTIKLFFKQASQLFAYKQWFQLLQLETLYYQMKNSDQPMSNIFPVVLPWIPRKGRKLRKSWQKSSKGKRGKRCRPKHDIGTYAVAFALGLSLVGAGLLLGWTVGWMLPF >Potri.014G100300.4.v4.1 pep chromosome:Pop_tri_v4:14:6622581:6626619:-1 gene:Potri.014G100300.v4.1 transcript:Potri.014G100300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100300.v4.1 MAAAEARAVWQRTANRCFVQEDAKRAPKLACCQSSSSSSKQLDGGPTSAADMPDQSSGGFMPLRRYPSYSSLPPDTRWWLQLQPSYGYQKCFTLEQLNALEAELESLRADIVDSPSKSKFCQQDDTDSIFVDGSKNSESSLDSCCMIPADYVMKDHDVKKQELKVLYDKDFQEFKNSKLMEMEPTGWPKSQKDSAYGFDPESAWIGGEKNMPWWRVTDKDDLASLVAQKSLDYITNCDLPPPQKMNIGKYPCARPGSFQHDNTPASSLDWKEQSGCISSATDPVQGCPKFEGMPGKQRASTDRLSQSDSDKACSFTKTNMETAEIGLVSQGDPCKAQLLEALRHSQTRAREAEKVAKQACAEKEHTIKLFFKQASQLFAYKQWFQLLQLETLYYQMKNSDQPMSNIFPVVLPWIPRKGRKLRKSWQKSSKGKRGKRCRPKHDIGTYAVAFALGLSLVGAGLLLGWTVGWMLPF >Potri.012G038200.4.v4.1 pep chromosome:Pop_tri_v4:12:3400949:3404478:1 gene:Potri.012G038200.v4.1 transcript:Potri.012G038200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038200.v4.1 MATASTIFSFKIAPNPSPVSSFKEKTMIMNPSLSWDSAKTKVRRSRFPYFTLNYIERQRLENSCSCCSVLACLPSSASSPSSFGFNFRKTKLYVSGLSFRTTEESLRNAFQNFGQLVDVNLVMDKVAKRPRGFAFLRYETEEEAQKAIEGMHGKVILMPSLASACYY >Potri.012G038200.5.v4.1 pep chromosome:Pop_tri_v4:12:3400949:3404478:1 gene:Potri.012G038200.v4.1 transcript:Potri.012G038200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038200.v4.1 MATASTIFSFKIAPNPSPVSSFKEKTMIMNPSLSWDSAKTKVRRSRFPYFTLNYIERQRLENSCSCCSVLACLPSSASSPSSFGFNFRKTKLYVSGLSFRTTEESLRNAFQNFGQLVDVNLVMDKVAKRPRGFAFLRYETEEEAQKAIEGMHGKMAE >Potri.012G038200.3.v4.1 pep chromosome:Pop_tri_v4:12:3400949:3404478:1 gene:Potri.012G038200.v4.1 transcript:Potri.012G038200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038200.v4.1 MATASTIFSFKIAPNPSPVSSFKEKTMIMNPSLSWDSAKTKVRRSRFPYFTLNYIERQRLENSCSCCSVLACLPSSASSPSSFGFNFRKTKLYVSGLSFRTTEESLRNAFQNFGQLVDVNLVMDKVAKRPRGFAFLRYETEEEAQKAIEGMHGKFLDGRVIFVEVAKPRSELRQSHNYGHR >Potri.015G068900.3.v4.1 pep chromosome:Pop_tri_v4:15:9448086:9449276:1 gene:Potri.015G068900.v4.1 transcript:Potri.015G068900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068900.v4.1 MPSQKIETGHEDTVHDVAMDYYGKRIATASSDHSIKIIGVNNNTSQHLANLTGHQGPVWQVAWAHPKFGSLLASCSYDGRVIIWKEGNQNDWTQAHVFEDHKSSVNSIAWAPHELGLSLACGSSDGNISVFTARADGNWDTSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLYNGNWKLDCFPALNMHTDWVRDVAWAPNLGLPKSTIASVSQDGKVIIWTVAKEGDQWEGKVLHDFKAPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVDA >Potri.015G068900.2.v4.1 pep chromosome:Pop_tri_v4:15:9446005:9449558:1 gene:Potri.015G068900.v4.1 transcript:Potri.015G068900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068900.v4.1 MPSQKIETGHEDTVHDVAMDYYGKRIATASSDHSIKIIGVNNNTSQHLANLTGHQGPVWQVAWAHPKFGSLLASCSYDGRVIIWKEGNQNDWTQAHVFEDHKSSVNSIAWAPHELGLSLACGSSDGNISVFTARADGNWDTSRIDQAHPVGVTSVSWAPSTAPGALVGSGLLDPVQKLCSGGCDNTVKVWKLYNGNWKLDCFPALNMHTDWVRDVAWAPNLGLPKSTIASVSQDGKVIIWTVAKEGDQWEGKVLHDFKAPVWRVSWSLTGNILAVADGNNNVTLWKEAVDGEWQQVTTVDA >Potri.001G090700.1.v4.1 pep chromosome:Pop_tri_v4:1:7181692:7185852:-1 gene:Potri.001G090700.v4.1 transcript:Potri.001G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090700.v4.1 MAYNILVTGGAGYIGSHTVLQLLLGGYNTVVVDNLDNASDIALKRVKELAGDFGKNLVFHQVDLRDKPALENVFAETKFDAVIHFAGLKAVGESMQKPLLYFNNNLIGTITLLEVMAAHGCKQLVFSSSATVYGWPKEVPCTEEFPLSAANPYGRTKLFIEEICRDIYSSDSEWKIILLRYFNPVGAHPSGCIGEDPRGIPNNLMPYVQQVAVGRRPHLTVFGTDYPTKDGTGVRDYIHVVDLADGHIAALRKLSEANIGCEVYNLGTGKGTSVLEMVAAFEKASGKKIPLVMADRRPGDAETVYAATEKAERELSWKANYGVDEMCRDQWNWASKNPYGYGSPDGTN >Potri.014G069300.1.v4.1 pep chromosome:Pop_tri_v4:14:4385468:4393568:1 gene:Potri.014G069300.v4.1 transcript:Potri.014G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069300.v4.1 MSVSDSESSSSSHGGEYKFFRQITRDRLLFEMLGSTRTGDSKSTWKVLIMDKVTVKVMSHSCKMSDITDQGISLVEDLFRRREPMTSMDAIYFIQPSKENVVMFLSDMSGREPLYKKAYVFFSSSVPKELVNHIKCDTSVLPRIGALREMNLEYFPIDNQAFITDHEGALGELYGKNVENSRRFDACLNTMATRIATVFASLNELPFVRYRAAKATDDSTETFRDSIPAKLAAGVFNNLLKYKCIPNFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLEMDGNKYVVELPSKTGGSPEKKEVLLEDQDLVWRELRHAHIADASERLHDKMTNFVSKNKAAQMQQSARDGSEISTRDLQKIVQALPKYNEQVEKLTLHIEIAGKINSVIRELGLRDLGQLEQDLVFGDAGAKDVISFLRTKQDASPENKLRLLMIYACVYPEKFEGDKASKLMQLARLSNEDMKVVNNMKLLGGSSETKKTSGGFSLKFDNQKTKQAARKDRTDEEETWQLFRFYPVLEELLEKLSKRELPKNEYSCMNDPSSTDQERTKRGSVRKSHASPAPAVPERKAPAQSMRSRRTATWARTSNSDDGYSSDSVLKSAAREFKKMGQRIFVFIIGGATRSELRACHKLTTKLGREIVLGCSSLDDPPQYITKLKLLSETEISTEVLRF >Potri.001G346600.4.v4.1 pep chromosome:Pop_tri_v4:1:35804382:35807353:-1 gene:Potri.001G346600.v4.1 transcript:Potri.001G346600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346600.v4.1 MDKSPCNSQDVEVRKGPWTLEEDLILTNYIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDLRRGNITPEEQLLIMELHAKLGNRWSKIAKHLPGRTDNEIKNYWRTRIKKHTKQTEPFAAGSSETNEHGSSTCQVSSATDQMETYCPPFYQGDVGAFSGGNIPQELNENYWSMEDLWSMQLLNGD >Potri.009G069751.1.v4.1 pep chromosome:Pop_tri_v4:9:6903359:6903580:1 gene:Potri.009G069751.v4.1 transcript:Potri.009G069751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069751.v4.1 MYNLRRGSLFLPFILHMVRLTYSNKSFAGIEVLSSQLKKLENLDLSFNRFNDSVLSHLFGFSSLKYLNLTGNI >Potri.006G276600.6.v4.1 pep chromosome:Pop_tri_v4:6:26777635:26785171:-1 gene:Potri.006G276600.v4.1 transcript:Potri.006G276600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276600.v4.1 MGWGNIYRRRVKVFSLAVLIYLDYKALQKREEFMKNNEKSEELWKRAHERNAKRVRNMMIQLGGLWVKLGQYLSTRADVLPSAYISLLKQLQDSLPPRPLQEVCRTIERELGKSMKEIFLDFDENSLATASIAQVHRATLIDGREVVVKVQHEDIKAIILEDLKDAKSIVDWIAWTEPQYNFNPMIDEWCKETPKELDFNHEAENTRTVSKNLGCTNKYDSDKSINQVDVLIPEVIQSTEKVLILEYMDGIRLNDLESLEACGANKQKIVEEITRAYAHQIYVDGFFNGDPHTGNFLVSKEPPHRPILLDFGFTKKISSSMKQSLAKMFLATAEGDHVALLSSFAEMGLKLRLDLPEQAMDVTSIFFRASTPANEAAEYAKTLNEQRTRNMKVLQEKMNLSQKEVKRFNPIDAFPGDMVIFSRVVNLLRGLSSTLNARIVYQDIMRPFAESVLQEKIAKGPSANAQWIYDTPVHSEVEAKLRQILVELGNEDKILGIQVCAYKDGKVIIDTAAGVLGRYDPRPVQPDSLFSVFSVTKGITAGMLHWLVDNGKLNLDESIANIWPEFGTNGKNLIKVHHVLNHTAGLQNALDNLRKENPLLLTDWDECLKQIAMSEPETEPGQVQLYHFLSFGWLCGGIIEHASGKKFQEILEEAFVRPLNIEGELYVGIPPGVESRLASLTIDKDDFSKLSKIGSLSALPSTFQPENISQLVTTLLALSNMLNIRRAIIPAANGHCSARALARYYAALVDRGLVPPPHSSLSTPPLGSHPHIPKFSSEITSKMQNGKKSKAVGSASKKKENGYEQKTKQSKDSKDNGSGRESNSDGYTSTSGSSSARNTSSPDNSSASSNDSRKNNVIWIFNDPRIHDQFMGTGEYSDLVLPNGKFGLGFKRFSSSDGSFSGFGHSGLGGSTGFCDIENRFAIAVTLNKISFGTATRKIIQFVCSELNVPLPDEFSILSGTEAGEELSTSRALFN >Potri.006G276600.3.v4.1 pep chromosome:Pop_tri_v4:6:26777652:26785169:-1 gene:Potri.006G276600.v4.1 transcript:Potri.006G276600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276600.v4.1 MGWGNIYRRRVKVFSLAVLIYLDYKALQKREEFMKNNEKSEELWKRAHERNAKRVRNMMIQLGGLWVKLGQYLSTRADVLPSAYISLLKQLQDSLPPRPLQEVCRTIERELGKSMKEIFLDFDENSLATASIAQVHRATLIDGREVVVKVQHEDIKAIILEDLKDAKSIVDWIAWTEPQYNFNPMIDEWCKETPKELDFNHEAENTRTVSKNLGCTNKYDSDKSINQVDVLIPEVIQSTEKVLILEYMDGIRLNDLESLEACGANKQKIVEEITRAYAHQIYVDGFFNGDPHTGNFLVSKEPPHRPILLDFGFTKKISSSMKQSLAKMFLATAEGDHVALLSSFAEMGLKLRLDLPEQAMDVTSIFFRASTPANEAAEYAKTLNEQRTRNMKVLQEKMNLSQKEVKRFNPIDAFPGDMVIFSRVVNLLRGLSSTLNARIVYQDIMRPFAESVLQEKIAKGPSANAQWIYDTPVHSEVEAKLRQILVELGNEDKILGIQVCAYKDGKVIIDTAAGVLGRYDPRPVQPDSLFSVFSVTKGITAGMLHWLVDNGKLNLDESIANIWPEFGTNGKNLIKVHHVLNHTAGLQNALDNLRKENPLLLTDWDECLKQIAMSEPETEPGQVQLYHFLSFGWLCGGIIEHASGKKFQEILEEAFVRPLNIEGELYVGIPPGVESRLASLTIDKDDFSKLSKIGSLSALPSTFQPENISQLVTTLLALSNMLNIRRAIIPAANGHCSARALARYYAALVDRGLVPPPHSSLSTPPLGSHPHIPKFSSEITSKMQNGKKSKAVGSASKKKENGYEQKTKQSKDSKDNGSGRESNSDGYTSTSGSSSARNTSSPDNSSASSNDSRKNNVIWIFNDPRIHDQFMGTGEYSDLVLPNGKFGLGFKRFSSSDGSFSGFGHSGLGGSTGFCDIENRFAIAVTLNKISFGTATRKIIQFVCSELNVPLPDEFSILSGTEAGEELSTSRALFN >Potri.006G165400.1.v4.1 pep chromosome:Pop_tri_v4:6:16189203:16193074:1 gene:Potri.006G165400.v4.1 transcript:Potri.006G165400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165400.v4.1 MAASGSERVAVELCKGINGLDKVVLRDPRGSTAEVYLYGAHVTSWKNDHGEELLFVSSKAIFKPPKAIRGGIPICFPQFGSQGSLEQHGFARNRFWSIDTDPPPFPTNSKSFIDLILKPSEEDMQKWPNSYEFRLRVALGTGGDLMLTSRIRNTNADGKPFTFTFAYHTYFSVSDISEVRVECLETLDYLDNLQNKERFTEQGDALTFESEVDKIYLSTPTKIAILDHEKKRTFVLRKDGLPDAVVWNPWDKKAKTMADFGDDEYKHMLCVEAAVVEKPITLKPGEEWKGRLELSAVPSSYCSGQLDPQKVLQSS >Potri.002G021000.1.v4.1 pep chromosome:Pop_tri_v4:2:1300691:1303902:1 gene:Potri.002G021000.v4.1 transcript:Potri.002G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021000.v4.1 MSPFSLLFYLSSLAVLVDGKSLSYDWTVSFSHRAPLALTKQVIVINDQFPGPLLNATTNDVLNINIHNNLTEPFLMTWNGLQMRRNSWQDGVQETNCPILPGRNWTYSFQVKDQIGSFFYFPSLLLHKAAGGYGPIRVNNREVIPIPFPQPHGDIDVLIGDWYSADHRDLRALLDNGTGLQNPSGILINGRGPNETVFEFQPGATYRLRISNVGLKTSLNFRIQDHLMLLVETEGSYTAQQTYSNLDIHVGQSYSVLVTAKNQTSGKSYYMVASSRLTDAELFGVGVIRYPNSDDFPSGPLPPGPQLHDYFYSIEQARSIRWNLSAGAARPNPQGSYHYGSINVSRTIVLRNGETDINRHLYTVNGVSFVSQGTPLKMADYFELETLKTGIFPDTPIGNGISTLDTSVINANYREFYHLVFENPTPSLQTWHLDGYNFFVVGMEFGTSSWEEDMKATYNLNDAVSRSTVQVYPFGWTAVMVMLDNQGLWNLRSQDVERWYLGQELYLRVRGIGEEDPSTIPARDEVPLPENAILCGEISGLQQTLF >Potri.016G063400.1.v4.1 pep chromosome:Pop_tri_v4:16:4429668:4432947:1 gene:Potri.016G063400.v4.1 transcript:Potri.016G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063400.v4.1 MQQMNLLRLAYISSTISRLNPKNVVGIRFFYSKIQNPRSREPPWKGPFNRLSRRLSRIRDHNVSVIPVLDKWIQEGETIWEDLIHALIKELRQYRRYHHALEISMWMTDKRYLALTSRAVAVRLDLISKVHGIEQVENYFNNIPTKLKGLESYGALLNCYAYVKSVEKAEAVMQRMRELGFARKPLVFTVMLNLYYKTGNTEKLDPLMREMEENGISFDKFAYCIRLSSYAAASDSEGLEKTLKRIESDPNVVLDWATYATVANGYSKVGLLDKALEMLKRCERLITGERRSTPYDYLMTQYATTGTKEDVLRVWELHKRYVGNRKNISVITSLLKFDDLESAEKIFEEWESQKLCDDIIIPNFLVDAYSRKGLLEKAEMLLQRTMSNGTKPNANTWYLLAKGYLQHNQTPKAVEAMKEMIVLSGPRSRPSTVSWVACLQHLKDSGDMDNAEGFINLLREKDIISLDIQDKLLSYIKDRDSRLDALSALKGSSLHGISVTHEIPRPDQAQKDSSDSVSG >Potri.010G010800.1.v4.1 pep chromosome:Pop_tri_v4:10:1961501:1964113:1 gene:Potri.010G010800.v4.1 transcript:Potri.010G010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010800.v4.1 MASSIEHRTINVNGINIHVAIKGPENAPVILFIHGFPQLWYSWRHQIEALSSLGYRAVAPDLRGYGDTDAPAEVTSYTVLHVVGDLIGLLDVVAPNQESVFVVGHDWGALIAWHLSLFRPDRVKALVNLSVLFNPRNPSRKVIATLKAVYGDDYYIIRFQEPGEIEAEFAEIGTEKVLKEFLTYRTPAPIFLPKGQGFNGKPLDTPVVLPSWLSEEDVKYYTSKFEQKGFTGGLNYYRNLDRNWELTAPWTGAQIKVPVKFIVGDQDLTYNSLGAKDYIAKGGFKRDVPFLQDLVVMEGVGHFINEEKPEEISKHIYDFFQKSSSLAWFPSCCLC >Potri.013G047500.2.v4.1 pep chromosome:Pop_tri_v4:13:3381878:3386997:1 gene:Potri.013G047500.v4.1 transcript:Potri.013G047500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047500.v4.1 MEDLSKFAHSPAHLAVACRDYATLRQVISALPRLAKAGEVNTEEESLVAEQQADSVSAVIDRRDVPGRETPLHLAVRLRDPISAEILMAAGADWSLQNENGWSALQEAVCTREETIAMIIARHYQPLAWAKWCRRLPRIVASAARIHDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLAPGSLVVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDDEERLGNVAENDEFDDVLTAEERKQLDSALRTGNSDGVGDDEEPSVEHQENGPGGIFENGDSNGSIKEKKSWFGWKNKGSKNTSDDPEDSKILKKFSKLAPEGGTQKPVDHQKSSESAREDMADAKKGKDKSSKKKKKKGPSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTIRVLVTFTKFEELQPSEEFSTPLSSPAHFQEAKSKESEGASSWISWMRGSRGGQSSDSDSHRYKDEIDPFLIPADYTWVDATEKKRRMKAKKAKNKKHRKQAAARGEEGRAQHLSEDVEE >Potri.013G047500.3.v4.1 pep chromosome:Pop_tri_v4:13:3381818:3386978:1 gene:Potri.013G047500.v4.1 transcript:Potri.013G047500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047500.v4.1 MEDLSKFAHSPAHLAVACRDYATLRQVISALPRLAKAGEVNTEEESLVAEQQADSVSAVIDRRDVPGRETPLHLAVRLRDPISAEILMAAGADWSLQNENGWSALQEAVCTREETIAMIIARHYQPLAWAKWCRRLPRIVASAARIHDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLAPGSLVVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDDEERLGNVAENDEFDDVLTAEERKQLDSALRTGNSDGVGDDEEPSVEHQENGPGGIFENGDSNGSIKEKKSWFGWKNKGSKNTSDDPEDSKILKKFSKLAPEGGTQKPVDHQKSSESAREDMADAKKGKDKSSKKKKKKGPSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTIRVLVTFTKFEELQPSEEFSTPLSSPAHFQEAKSKESEGASSWISWMRGSRGGQSSDSDSHRYKDEIDPFLIPADYTWVDATEKKRRMKAKKAKNKKHRKQAAARGEEGRAQHLSEDVEE >Potri.013G047500.1.v4.1 pep chromosome:Pop_tri_v4:13:3381700:3387061:1 gene:Potri.013G047500.v4.1 transcript:Potri.013G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047500.v4.1 MEDLSKFAHSPAHLAVACRDYATLRQVISALPRLAKAGEVNTEEESLVAEQQADSVSAVIDRRDVPGRETPLHLAVRLRDPISAEILMAAGADWSLQNENGWSALQEAVCTREETIAMIIARHYQPLAWAKWCRRLPRIVASAARIHDFYMEITFHFESSVIPFIGRIAPSDTYRIWKRGSNLRADMTLAGFDGFRIQRSDQTFLFLGEGYSSEDGNISLAPGSLVVLAHKEKEVTNALEGAGAQPTEAEVAHEVALMSQTNMYRPGIDVTQAELVPHLNWRRQERTEMVGNWKAKVYDMLHVMVSVKSRRVPGAMTDEELFAVDDEERLGNVAENDEFDDVLTAEERKQLDSALRTGNSDGVGDDEEPSVEHQENGPGGIFENGDSNGSIKEKKSWFGWKNKGSKNTSDDPEDSKILKKFSKLAPEGGTQKPVDHQKSSESAREDMADAKKGKDKSSKKKKKKGPSSESKHESEYKKGLRPVLWLTPDFPLKTEELLPLLDILANKVKAIRRLRELLTTKLPLGTFPVKVAIPIVPTIRVLVTFTKFEELQPSEEFSTPLSSPAHFQEAKSKESEGASSWISWMRGSRGGQSSDSDSHRYKDEIDPFLIPADYTWVDATEKKRRMKAKKAKNKKHRKQAAARGEEGRAQHLSEDVEE >Potri.010G219950.4.v4.1 pep chromosome:Pop_tri_v4:10:20544059:20545753:1 gene:Potri.010G219950.v4.1 transcript:Potri.010G219950.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219950.v4.1 MEQRIKWKISKIYLALVNGILNQDRIRIGNNAFPWSGQRAVCCFTLRFR >Potri.010G219950.1.v4.1 pep chromosome:Pop_tri_v4:10:20544059:20545753:1 gene:Potri.010G219950.v4.1 transcript:Potri.010G219950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219950.v4.1 MYSNTNMEQRIKWKISKIYLALVNGILNQDRIRIGNNAFPWSGQRAVCCFTLRIIIFEQS >Potri.010G219950.5.v4.1 pep chromosome:Pop_tri_v4:10:20544883:20545450:1 gene:Potri.010G219950.v4.1 transcript:Potri.010G219950.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219950.v4.1 MEQRIKWKISKIYLALVNGILNQDRIRIGNNAFPWSGQRAVCCFTLRFR >Potri.010G219950.3.v4.1 pep chromosome:Pop_tri_v4:10:20544059:20545753:1 gene:Potri.010G219950.v4.1 transcript:Potri.010G219950.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219950.v4.1 MEQRIKWKISKIYLALVNGILNQDRIRIGNNAFPWSGQRAVCCFTLRIIIFEQS >Potri.010G219950.2.v4.1 pep chromosome:Pop_tri_v4:10:20544865:20545450:1 gene:Potri.010G219950.v4.1 transcript:Potri.010G219950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219950.v4.1 MYSNTNMEQRIKWKISKIYLALVNGILNQDRIRIGNNAFPWSGQRAVCCFTLRFR >Potri.019G100001.1.v4.1 pep chromosome:Pop_tri_v4:19:13665246:13665858:1 gene:Potri.019G100001.v4.1 transcript:Potri.019G100001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100001.v4.1 MSGCSCGSDCKCGSGCKCGMYPDLGFSENTTTETIIAGVAPVKMFYERSEMDFGAENGCKCGSNCTCDPCSCK >Potri.003G182400.2.v4.1 pep chromosome:Pop_tri_v4:3:18775999:18778436:-1 gene:Potri.003G182400.v4.1 transcript:Potri.003G182400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182400.v4.1 MDYVSHFLKLLQFISLFSVSTLSWPPPLYFWPLFGFGQFLNFRVYQLLGEAGTYYGVRFGKNVPWVTEFPFGVIRDPQYVGSVLSLFACLSWVPFQYVLLWTLGYVFMIHLESKEDP >Potri.003G212900.3.v4.1 pep chromosome:Pop_tri_v4:3:20997688:21000817:1 gene:Potri.003G212900.v4.1 transcript:Potri.003G212900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212900.v4.1 MDSDPSTDYVTGESVISRENVAVNRIRTEEEHDIASNKRSITWKSSGGWKAASILLANQCLATLAFFGVGVNLVLFLTRVLGQSNADAANSVSKWTGTVYLCSLIGAFLSDSYWGRYLTCAVFQLIFVSGLALVSVSSCYFLIKPDGCGDGELACEPTSSVGVAIFYLAIYLVAFGYGGHQPSLATFGADQFDESKPKEKNYKAAYFCYFYFALNFGSLFSNTILVYFEDHGKWTLGFLVSLGSAVLALVSFLFGTPGYQYVKPCGNPLPRVAQVFVAAVKKWDVIPAKADELYEVEGPESAIKGSRKILHSDDFEFLDKAATVTEDDLSHQKNPWRLCTISQVEEAKCVLKMLPIWLCTIIYSVVFTQMASLFVEQGDVMNSYAGKFHLPAASMSAFDICSVLVCTGIYRQILVPLAGRLSGNTKGLTELQRMGIGLIIGMLAMFAAGATEIERLKHVTEGKKVSSLSIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVSMVMKITAKGDKPGWIPDDLNTGHMDRFYFLIAVLTAFDFVIYLFCANWYTPINIDDSHGGIGMEKQEDDALARV >Potri.003G212900.2.v4.1 pep chromosome:Pop_tri_v4:3:20997688:21000818:1 gene:Potri.003G212900.v4.1 transcript:Potri.003G212900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212900.v4.1 MDSDPSTDYVTGESVISRENVAVNRIRTEEEHDIASNKRSITWKSSGGWKAASILLANQCLATLAFFGVGVNLVLFLTRVLGQSNADAANSVSKWTGTVYLCSLIGAFLSDSYWGRYLTCAVFQLIFVSGLALVSVSSCYFLIKPDGCGDGELACEPTSSVGVAIFYLAIYLVAFGYGGHQPSLATFGADQFDESKPKEKNYKAAYFCYFYFALNFGSLFSNTILVYFEDHGKWTLGFLVSLGSAVLALVSFLFGTPGYQYVKPCGNPLPRVAQVFVAAVKKWDVIPAKADELYEVEGPESAIKGSRKILHSDDFEFLDKAATVTEDDLSHQKNPWRLCTISQVEEAKCVLKMLPIWLCTIIYSVVFTQMASLFVEQGDVMNSYAGKFHLPAASMSAFDICSVLVCTGIYRQILVPLAGRLSGNTKGLTELQRMGIGLIIGMLAMFAAGATEIERLKHVTEGKKVSSLSIFWQIPQYVLVGASEVFMYVGQLEFFNGQAPDGIKSFGSSLCMASISLGNYVSSMLVSMVMKITAKGDKPGWIPDDLNTGHMDRFYFLIAVLTAFDFVIYLFCANWYTPINIDDSHGGIGMEKQEDDALARV >Potri.001G277305.1.v4.1 pep chromosome:Pop_tri_v4:1:29108796:29110560:1 gene:Potri.001G277305.v4.1 transcript:Potri.001G277305.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277305.v4.1 MEFQDQEHKRKFLRDIIISFVLAGKDSTSTALTWFFRLIAGNPHCGSLIYKEISSAAPLPAADSRARIFSYEELKNFHYLHAALSESMRLFPPVPINSRSTVEDDILPDGTYVRKGWFADYSAYAMGRMEKVWGPDCREFKPERWLDSDGVYQPFDQFRYPVFHCGPRMCLGKQMAYIQMKAIAAAVMHEFEILPVDGGATAKKMMNPPYRLTMVLKMRGGLPVRLKRRVTSQQ >Potri.005G149500.1.v4.1 pep chromosome:Pop_tri_v4:5:12696415:12698856:-1 gene:Potri.005G149500.v4.1 transcript:Potri.005G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G149500.v4.1 MALLASSTLPSSPQYQIKVLRNKPCRLRWCLLSHATHQISPTCSMKVTKAHFGEPSKVKLQLNIVKERLWHAIPESAKEFPWRKAEDLLLKRLLLVGQKAFKWSLVILFLLSFLADVMYSISRNGELMIPFGLLAGCLMMDFLKEISMEVFQAPEKRGLNLPLLGISCCFILVKVMSTYFTPFLLHVANGGLMQVLWLWGDSLKENSQCNEENISAGQNT >Potri.005G149500.2.v4.1 pep chromosome:Pop_tri_v4:5:12697363:12698147:-1 gene:Potri.005G149500.v4.1 transcript:Potri.005G149500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G149500.v4.1 MSCCSIQKICAWHYLWPFLQIKVLRNKPCRLRWCLLSHATHQISPTCSMKVTKAHFGEPSKVKLQLNIVKERLWHAIPESAKEFPWRKAEDLLLKRLLLVGQKAFKWSLVILFLLSFLADVMYSISRNGELMIPFGLLAGCLMMDFLKEISMEVFQAPEKRGLNLPLLGISCCFILVKVMSTYFTPFLLHVANGGLMQVLWLWGDSLKENSQCNEENISAGQNT >Potri.009G041600.2.v4.1 pep chromosome:Pop_tri_v4:9:4884093:4895155:1 gene:Potri.009G041600.v4.1 transcript:Potri.009G041600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041600.v4.1 MAHRLLKDPEADGWERSDFPIICESCLGDNPYVRMTRADFDKECKICTRPFTVFRWRPGRDARFKKTEVCQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKAQANDTILKLQRTTPYYKRNRAHVCSFFARGECTRGAECPYRHEMPITGELSQQNIKDRYYGVNDPVAMKLLNKAGDMPSLEPPEDESIKTLYVGGLDARINEQDLRDQFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAAELSNRLVIKGLRLKLMWGRPQAPKPESESSDEARQQAAMAHSGMLPRAVVSQQHNHLNPPGTQDQHPPMHYFNIPPPPQQERAFYPSMDPQRMGALVGSQDGTPNGPAGSGENKSGLEKQLGQHYPYQSMPPPHVQYQQQYQQQHYPAYGYMPPVPPYQQYPLPYHTPVPPPQVVQSTQQYQHRVPPPMSAPAESMTSLPPPQGSRAPAGSMPSGPPPQGSEAPAGSKPSGPPSPRPGEPEESKPSGPPP >Potri.009G041600.1.v4.1 pep chromosome:Pop_tri_v4:9:4891632:4895485:1 gene:Potri.009G041600.v4.1 transcript:Potri.009G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041600.v4.1 MAHRLLKDPEADGWERSDFPIICESCLGDNPYVRMTRADFDKECKICTRPFTVFRWRPGRDARFKKTEVCQTCSKLKNVCQVCLLDLEYGLPVQVRDTALSINSNDAIPKSDVNREYFAEEHDRRARAGIDYESSYGKAQANDTILKLQRTTPYYKRNRAHVCSFFARGECTRGAECPYRHEMPITGELSQQNIKDRYYGVNDPVAMKLLNKAGDMPSLEPPEDESIKTLYVGGLDARINEQDLRDQFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAAELSNRLVIKGLRLKLMWGRPQAPKPESESSDEARQQAAMAHSGMLPRAVVSQQHNHLNPPGTQDQHPPMHYFNIPPPPQQERAFYPSMDPQRMGALVGSQDGTPNGPAGSGENKSGLEKQLGQHYPYQSMPPPHVQYQQQYQQQHYPAYGYMPPVPPYQQYPLPYHTPVPPPQVVQSTQQYQHRVPPPMSAPAESMTSLPPPQGSRAPAGSMPSGPPPQGSEAPAGSKPSGPPSPRPGEPEESKPSGPPP >Potri.002G176966.1.v4.1 pep chromosome:Pop_tri_v4:2:13799561:13800464:-1 gene:Potri.002G176966.v4.1 transcript:Potri.002G176966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176966.v4.1 MEAGPTEEGLAEAIDVGHIGPRDDPKNRAKILSEEFGWDKHLAKKIWCVGPETTGPNVVVDMCKGVLLLLGSNGLQRKVPRLKKTREVSALKSVMWFSLLMPFTQPLEAGTQAAQLVTDITNRKGLKEQMTPLSEFENKL >Potri.004G180200.1.v4.1 pep chromosome:Pop_tri_v4:4:19498429:19499783:1 gene:Potri.004G180200.v4.1 transcript:Potri.004G180200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180200.v4.1 MKVANLLGALALLALTSSFATAYDPSPLQDICVAINDTDSAVLVNGKFCKNPSLYTPDDFSFSGFDVPGNTSNQLGVHVNIVTADLMPGLNTLGVSMARIDFAPNGGLNPPHYHPRASELLLVVKGTLYAGFVTSNPDHRLFAKILKPGDLIVFPFGLVHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASPSINPAVITTAFHLDRKLVEDLQSQEWVNPT >Potri.013G038600.1.v4.1 pep chromosome:Pop_tri_v4:13:2611151:2614587:1 gene:Potri.013G038600.v4.1 transcript:Potri.013G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038600.v4.1 MAMSSRKTGDKIIIDQENLLPEPKRNQRRWKMAYTAVYFIRLVVSLSKKDLDSQTKSLGSPSYVAIDVHDDTSPCENKRVSLINVYQRTLIDMVKEKSLEILNQLGGVSQVAIILETDVKDGVGEVDVAHRRDVFGENSYKKSPSKIFLSYVLEECKDPAIIILLFCAIMSLGFAIKLHGLRDGWYDGGSIILSTVHLVAVSAISKFMKSNKFEKLSRVRNDIKVQVVRDRRRRDISIFEVVVGDVVYLNKGDQIPADGLLFNDSSLKVDESSMSSEMDHVEVKGRENPFLLSGSKVADGNGFMLVTSRSHDLDEQTPLRSHLDQIISYMRKVGWTVALYVLVVLLMRNFTRKTRDDSGYYEYNGSKKKINDVLDPVVRIIAAAVAIVVVATPEGLSSAVTLTYIYFMKRMMKEKVMKPKNQTLSACEKMGSATTIIIDKKDILTLNQMEVVEFFIGEDIIKAKPSNGEIGSKVLELLQEGAALNTTGTVYKPRSTSILEISGSPTENAILSWAMSHLGINIAEEKKKVEIKHVGNFDPEKNGSGVLLVRRNNEKVVRHRKGDAEAILAMCSNYYVRNGEIRDVTEDARKQLKEIIRCMAVKSLCCMAFACKEVEDGGQASDELEAAGFTLLGLVGLKDPCRIEVRTAVESCKNAGVKVILVTGDDVRTARATAIECGVFSCDQEDVESDAIVEGVQFSNYSRELRMERIGKILVMGSSSPSDKLEMIRCLKEEGHVVAVTGGGTNDALALKEADIVLSMGIRGTEVAKESSQIVILDDNFISVVTMLSWGRCVYNNIQKLIQFQLTIMNVAALVISLISACSSGVLSFSAFQILWEKVLIDTLGLLAVISHDQPTKYLMRKPPVCWSKRPINESIFNNILIQVFYQAVTLSALQFNVGKSILGLAINNTLVFNTSVICQVFNIFTARLPVEKKSMFMWIRKNKRFLAAVLVVTVAQGVIVELSSKVTHSIEKLDRKQWCVSLTVAASSWLFDQLSWFLKSST >Potri.016G089300.1.v4.1 pep chromosome:Pop_tri_v4:16:7201126:7208472:1 gene:Potri.016G089300.v4.1 transcript:Potri.016G089300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089300.v4.1 MSESSIIARGRLAVLTAHLLGAPLESSEDSDIQRWCVSAQVPTPGDLKGVLTVIDERTGKKYQIQVSQDGTVKASDFKKISTGKSDKGLKLYDPGYLNTAPVISKISYIDGDEGILRYRGYPIEELAESSTFLEVAYLILYGNLPSQSQLADWEFAISQHSAVPQGVLDIIQAMPHDAHPMGVLVSAMSSLSIFHPDANPALRGQDLYKSKQVRDKQIARILGKAPTIAAAAYLRLAGRPPVLPSSNLSYSENFLYMLDSLGNRSYKPNPRLARVLDILFILHAEHEMNCSTSAARHLASSGVDVYTALAGAVGALYGPLHGGANEAVLKMLSEIGTIENIPDFIEGVKNRKRKMSGFGHRVYKNYDPRAKVIKKLAEEVFSIVGRDPLIEVAVALEKAALADEYFVKRKLYPNVDFYSGLIYRAMGFPTEFFPVLFAIPRMAGYLAHWRESLDDPDTKIMRPQQVYTGEWLRHYMPLKERMIQTDADRLGQVSISNASRRRLAGSGV >Potri.018G012401.1.v4.1 pep chromosome:Pop_tri_v4:18:794030:794939:1 gene:Potri.018G012401.v4.1 transcript:Potri.018G012401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012401.v4.1 MSKVRSVSRMIIVVCQGFLYVLEGGCPQQIWSFLLCWNCPRKRLVINELETFL >Potri.002G250000.1.v4.1 pep chromosome:Pop_tri_v4:2:24025158:24026075:1 gene:Potri.002G250000.v4.1 transcript:Potri.002G250000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250000.v4.1 MTKATEGESVTLDLLKGKMAEFAKERNWDQFHSPRNLLLALVGEVGELSEIFQWRGEVPKGLPDWKEEDKVHLGEELSDVLLYLVRLSDICGIDLGKAALRKVGLNAIKYPVGSKGSSKET >Potri.014G139900.1.v4.1 pep chromosome:Pop_tri_v4:14:9507186:9508247:-1 gene:Potri.014G139900.v4.1 transcript:Potri.014G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139900.v4.1 MEVHPRVNSMLAKRLWNVLRVTFFMIRKGLVSKRKLIMDMNLMMKRGKLLRKSLSNLMSLHHHTHHHHSKDLARGSFGLQEYEFSCSNSPDLVFFRMPKRKHHYFPCINLPEVIEEEQLEAEESKGAVVMVPKTPEYTFNIHFDHASEFAPGEKRSPLLSPFSVRVSDYSSEDENDGGNVQVDDEAEEFIRRFYEQLRVQSRMQLLQYQEA >Potri.015G138300.1.v4.1 pep chromosome:Pop_tri_v4:15:14624108:14625347:1 gene:Potri.015G138300.v4.1 transcript:Potri.015G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138300.v4.1 MAVFASNLMSLAISFLLLILISPSKAVLNNAHYYDQTCPQAEKIIFETVRNASMHDPKVPARILGMFFHDCFIRASKLEMACPHTVSCADIIAIAARDVVTMSGKPSWNVLTGRKDGRVSKANDTITLPAPTFNAMQLIQSFAKRGLGVKDLVALSGGHNLGFSHCSSFEARLQNFSSVHDIDPSMNTRFAGNLKRKCPKPNKDHSAGEFLDSTSSTFDNDYYKRDIEGKGIFGSYHALLGDYREFAASMIKLGKVGVIKNGEVRFKCRVVN >Potri.005G190101.1.v4.1 pep chromosome:Pop_tri_v4:5:19777094:19778649:1 gene:Potri.005G190101.v4.1 transcript:Potri.005G190101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190101.v4.1 MRSSMASLTATLLLVAISLSLPSQTTANYEYSSPPPPKKSPPSPPPPYHYKSPPPPPPVHSPPPPPHPYKYKSPPPPPPVHKSPPPPKKPYKYKSPPPPPVHSPPPPSHPYKYKSPPPPPPVYKYKSPPPPPPVYKSPPPPPKKPYKYKSPPPPPIYKSPPPPPPVYKSPPPPPEKPYKYKSPPPPPPVYKSPPPPPPKKPYKYKSPPPPPTPVYKYKSPPPPPPVHKSPPPPPPKKPYKYKSPPPPPTPVYKYKSPPPPPPVHKSPPPPHYIYASPPPPHHY >Potri.008G115300.1.v4.1 pep chromosome:Pop_tri_v4:8:7352765:7354692:1 gene:Potri.008G115300.v4.1 transcript:Potri.008G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115300.v4.1 MDVPVIRFPTITLMVRVIGVLVAAFVLIWTFHFRGGLALSSDNKSLIFNVHPVLLVIGLVLLNGEAMLAYKTVSGTKSFRKIVHLTMQSMAFCLSTIGVWAAYKFHSEKGVDHFYTLHSWLGLTCLFLFGTQWAAGFYTFWYPGGSINSRAALLPWHVFFGIYIYALAVATTATGILEKATFLQMHNITSPYSTEAYLVNSLGMLVVVLGGCVILAIVTPMSAKGDALRTQEYQMQYPSV >Potri.010G132101.1.v4.1 pep chromosome:Pop_tri_v4:10:14827127:14827393:1 gene:Potri.010G132101.v4.1 transcript:Potri.010G132101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132101.v4.1 MASLKAEKSVGTQLFGQAKKEPAKLNDSTSKTTASKPAAKKAAQKPQEPKKKGKGGKSGKH >Potri.018G013300.1.v4.1 pep chromosome:Pop_tri_v4:18:880673:883255:-1 gene:Potri.018G013300.v4.1 transcript:Potri.018G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013300.v4.1 MNNTSSSNSQQQQQSSEARHDDDAVLTEFLASLMDYTPTIPDELVEHYLAKSGFQCPDVRLVRLVAVATQKFVADVATDALQQCKARPAPVVKDKRDKQQKEKRLILTMEDLSKALSEYGVNVKHQEYFADSPSTGMDPASREE >Potri.013G162900.1.v4.1 pep chromosome:Pop_tri_v4:13:15580277:15580378:-1 gene:Potri.013G162900.v4.1 transcript:Potri.013G162900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G162900.v4.1 MAPCALISYLDSDLRALPKCFKEGYPDVGLLLA >Potri.019G082000.2.v4.1 pep chromosome:Pop_tri_v4:19:12213513:12220250:-1 gene:Potri.019G082000.v4.1 transcript:Potri.019G082000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082000.v4.1 MDATKETVGLMNGSSVWSISEMDDIDFSRLSDKPKLNIERKRSFDERSLSELSIGLARGIDNFETTNSPGGRSGFNTPASSARNSFEPHPMVADAWEALRRSLVFFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKQFLLKTLHLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKTDSLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRSACSLLKHDEEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCIAILSSLATHEQAMAIMDLIEARWEELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARKAIDLAETRLLKDSWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMNPVLKRSSSWTC >Potri.019G082000.4.v4.1 pep chromosome:Pop_tri_v4:19:12213695:12220476:-1 gene:Potri.019G082000.v4.1 transcript:Potri.019G082000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082000.v4.1 MDATKETVGLMNGSSVWSISEMDDIDFSRLSDKPKLNIERKRSFDERSLSELSIGLARGIDNFETTNSPGGRSGFNTPASSARNSFEPHPMVADAWEALRRSLVFFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKQFLLKTLHLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKTDSLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRSACSLLKHDEEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCIAILSSLATHEQAMAIMDLIEARWEELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARKAIDLAETRLLKDSWPEYYDGKLGRYIGKQARKYQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQMNPVLKRSSSWTC >Potri.019G082000.5.v4.1 pep chromosome:Pop_tri_v4:19:12213706:12220296:-1 gene:Potri.019G082000.v4.1 transcript:Potri.019G082000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082000.v4.1 MDATKETVGLMNGSSVWSISEMDDIDFSRLSDKPKLNIERKRSFDERSLSELSIGLARGIDNFETTNSPGGRSGFNTPASSARNSFEPHPMVADAWEALRRSLVFFRGQPVGTIAAYDHASEEVLNYDQVFVRDFVPSALAFLMNGEPEIVKQFLLKTLHLQGWEKRIDRFKLGEGAMPASFKVLHDPIRKTDSLVADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDLSLAETPECQKGMRLILTLCLSEGFDTFPTLLCADGCSMIDRRMGIYGYPIEIQALFFMALRSACSLLKHDEEGKECIERIVKRLHALSYHMRSYFWLDFQQLNDIYRYKTEEYSHTAVNKFNVIPDSIPDWVFDFMPTRGGYFIGNVSPARMDFRWFALGNCIAILSSLATHEQAMAIMDLIEARWEELVGEMPLKIAYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPGLFLVLSV >Potri.006G083900.4.v4.1 pep chromosome:Pop_tri_v4:6:6248557:6252345:-1 gene:Potri.006G083900.v4.1 transcript:Potri.006G083900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083900.v4.1 MSFFSLVTGRPGTSGFGSASTAEQVTQGIDASNLTVLITGGASGIGLETTRVLALHKAHVIIAARNMVAANEAKQLILKEDEDARVDVLKLDLSSIKSIREFADNLNSLDLPLNILINNAGIMFCPYQLSEDGIEMQFATNHLGHFLLTNLLLDKMKETARTTGVEGRIVNLSSVAHIHTYKDGIRFNNINDKKRYSDKRAYGQSKLANILHAKELSRRFQEEGVNITANAVHPGLIMTNLFKHSAILMRTLKFFSFFLWKNVPQGAATTCYVALHPSLKGVTGKYYVDCNSLGPSAFARDEALARKLWDFSNKLITSVSKA >Potri.019G077100.2.v4.1 pep chromosome:Pop_tri_v4:19:11792714:11794113:1 gene:Potri.019G077100.v4.1 transcript:Potri.019G077100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077100.v4.1 MRSCCGFGSLQIFVIFFLSISLHLLSGLASDPANPKDTNKPASHSKSSVGIKVVIACLSVVAIIGFTMLLIKIWQKKKREEQHARLLKLFEDDDELEVELGIRD >Potri.006G166000.2.v4.1 pep chromosome:Pop_tri_v4:6:16308067:16314642:-1 gene:Potri.006G166000.v4.1 transcript:Potri.006G166000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166000.v4.1 MEETDASAPGDKTISKNALKKELKNKKKEEERRQKEEEKARQAAAKASTQVQKSAAAADDEDMDPTQYYENRLKYLDAQKGEGKNMYPHKFFVTLSIPEYIDKYGGLSNGEHLEDVSVSLAGRIMSKRSSSSKLFFYDLHGLGAKVQVMADASKSGFDEAEFSKLHSSVKRGDIVGITGFPGKTKRGELSIFPTSFMVLSHCLHMMPRQKAGPGSDASAKKSEVWTPGSVRNPEAYILKDQETRYRQRYLDLMLNSEVRQIFKTRSKIIKYIQNFLDDLDFLEVETPMMNMIPGGAAARPFKTHHNDLNMKLYMRIAPELYLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTEKMLSGMVKELTGGYKIKYHANGLDKDPIEIDFTPPFRRIDMIEELEKMANLNIPKDLSSDEATKYLVAACEKFEVKCPPPQTTTRLLDKLVGHFLEETCVNPSFIINHPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRQRFEAQLKDRQSGDDEAMALDETFCMALEYGLPPTGGWGLGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPPAKATSGV >Potri.008G019900.1.v4.1 pep chromosome:Pop_tri_v4:8:995788:997819:-1 gene:Potri.008G019900.v4.1 transcript:Potri.008G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019900.v4.1 MGDGNKMGYLFLVLASLCLFASSTDPLHDHKPLLSDSTTSKTDLLHENSTGATGTHQHDTSSIGDDSSERSGIVHGSEFAHGSVYGGTHGGATGAADTSNGGGDEHGSGAVVVTGAVMNHHPNKHHNAGSHQANGIVLPILITTALVALIVH >Potri.006G087600.1.v4.1 pep chromosome:Pop_tri_v4:6:6604720:6606400:-1 gene:Potri.006G087600.v4.1 transcript:Potri.006G087600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087600.v4.1 MFHSIMESTSIPCTSYTNPYLKSLFLLSHTVLTLLLIMESQHCLSSSPKMLILPLKTQVIPSGSVPRSPNKLPFHHNVSLIVSLTVGTPPQNVSMVIDTGSELSWLHCNKTLSYPTTFDPTRSTSYQTIPCSSPTCTNRTQDFPIPASCDSNNLCHATLSYADASSSDGNLASDVIHIGSSDISGLVFGCMDSVFSSNSDEDSKSTGLMGMNRGSLSFVSQLGFPKFSYCISGTDFSGLLLLGESNLTWSVPLNYTPLIQISTPLPYFDRVAYTVQLEGIKVLDKLLPIPKSTFEPDHTGAGQTMVDSGTQFTFLLGPVYNALRSAFLNQTSSVLRVLEDPDFVFQGAMDLCYLVPLSQRVLPLLPTVTLVFRGAEMTVSGDRVLYRVPGELRGNDSVHCLSFGNSDLLGVEAYVIGHHHQQNVWMEFDLEKSRIGLAQVRCDLAGQRFGVAL >Potri.003G122700.5.v4.1 pep chromosome:Pop_tri_v4:3:14337097:14344125:1 gene:Potri.003G122700.v4.1 transcript:Potri.003G122700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122700.v4.1 MEPKTTNCAGDADCIAPNFLARKHGDDTCNEHGNSWDKGDTATDMRCFRSEIPGEPAAKILLAKLNIKGIGNIGKHDDASAQQNGPRNVAERNEAPNGTNDLASSKASSSYHCNNDAEREISQKINSSSEFRREYSNAYPIRSPNDSEENFPVASSTECNGRHGLDKPGDQLQKLVCQQDRNELELEASNLESNGVEDDAKRQPDGNFPSNEKSVGSLGGTSSKRDRDSNCIVDCEIHWEDLQLGEEIGRGAYAAVRRGIWNGSDVAVKIYFGNQYKEETLLDYQKEIDIMKRLRHPNVLLFMGAVYSPERLAIVTEFLPRGSLFKTLHKNSPALDIRRRLKMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSKWMNATFLTAKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMAVSIPWVKLNSLQIVGVVGFMDRRLELPESLDPKVASIINDCWRSDPEQRPSFEEIIQRMAGDHIS >Potri.003G122700.3.v4.1 pep chromosome:Pop_tri_v4:3:14336962:14344136:1 gene:Potri.003G122700.v4.1 transcript:Potri.003G122700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122700.v4.1 MRVSGEKNGGGDDESGTSVYRVLVDRCASLEASHAKLKQQLSELTEADEKRKKNNSEAMAMSDAQWGCIPGYFTTGSPYKSVLDCIGHAVHVCRASSGEIVYWNRSAENLYGWKDSEVIGQSATELLVAEDHYAHLKKIMERLSFGQSWSGRFPFKKRSGDLFMALVTKSPLYEEGELAGIVTVSSDAAIFNTENSQNRARLRRLNLKKIQWNPRPPIAPVTQIASSVSNLAPNFLARKHGDDTCNEHGNSWDKGDTATDMRCFRSEIPGEPAAKILLAKLNIKGIGNIGKHDDASAQQNGPRNVAERNEAPNGTNDLASSKASSSYHCNNDAEREISQKINSSSEFRREYSNAYPIRSPNDSEENFPVASSTECNGRHGLDKPGDQLQKLVCQQDRNELELEASNLESNGVEDDAKRQPDGNFPSNEKSVGSLGGTSSKRDRDSNCIVDCEIHWEDLQLGEEIGRGAYAAVRRGIWNGSDVAVKIYFGNQYKEETLLDYQKEIDIMKRLRHPNVLLFMGAVYSPERLAIVTEFLPRGSLFKTLHKNSPALDIRRRLKMALDVARGMNYLHHRNPPIVHRDLKSSNLLVDKNWTVKVGDFGLSKWMNATFLTAKSGRGTPQWMAPEVLRNEPSNEKSDVFSFGVILWELMAVSIPWVKLNSLQIVGVVGFMDRRLELPESLDPKVASIINDCWRSDPEQRPSFEEIIQRMAGDHIS >Potri.014G035300.1.v4.1 pep chromosome:Pop_tri_v4:14:2225683:2227662:1 gene:Potri.014G035300.v4.1 transcript:Potri.014G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035300.v4.1 MDPVTKKPLFCLKWPWDVDRHAKNGKVCTFESPWLFRSLQSLGSVALNSLNSISESSNSWINNFNPINLGARTGQDNFLKNKKRVLTPEEQGEAEQRAFASALASGKEATVLEFYSPRCRLCNSLLNFVLEVEGRNSSWLNVVMADAENEKWLPELLHYDIKYVPCFVLLDQNGRALAKTGIPSSRLHVVAGLSHLLKIKRAQNNSG >Potri.002G048101.1.v4.1 pep chromosome:Pop_tri_v4:2:3192294:3199813:-1 gene:Potri.002G048101.v4.1 transcript:Potri.002G048101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048101.v4.1 MMMKFEVFTSLLWTSCKHIPEWKMIQHFLPSNYAERTWIRHGKYSGAETGTFNSSRILSAGREVPISTRVLVSS >Potri.011G001350.1.v4.1 pep chromosome:Pop_tri_v4:11:87394:87621:-1 gene:Potri.011G001350.v4.1 transcript:Potri.011G001350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001350.v4.1 MDNCLFPDSMNFDPKHFDMQIPPYSFVAFRGGARICPGYEFARLETLITMHYLVNRFTWKRCIPDISFPEIQCQL >Potri.004G106800.5.v4.1 pep chromosome:Pop_tri_v4:4:9384880:9388952:1 gene:Potri.004G106800.v4.1 transcript:Potri.004G106800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106800.v4.1 MAQPVSAIGFEGYEKRLEICFLEPGFFSDPEGKGLRSLSKAQLDEILKPAECTIVDSLSNDEVDSYVLSESSLFVYPYKVIIKTCGTTKLLLSIPVILELADALSLTVCSVRYTRGSFICPGAQPFPHRNFCEEVTVLDGHFSKFGLESVAYVMGSPNSTQKWHVYSAAAGMKSHSGPVYTLEMCMTGLDRKRASVFYKTHASSATVMTEDSGIRKILPQSEICDFDFDPCGYSMNAIEGSAISTIHVTPEDGFSYASFEAVGYDFQDLNLRQLLYRVLVCFEPTEFSIALHSNVECDELGAMFSLDVKGYSCGGGNYEMLGKGGSIVYHSFAATGGCSSPRSILKCCWSEDEKDEEAEEK >Potri.004G106800.6.v4.1 pep chromosome:Pop_tri_v4:4:9384881:9387594:1 gene:Potri.004G106800.v4.1 transcript:Potri.004G106800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106800.v4.1 MAQPVSAIGFEGYEKRLEICFLEPGFFSDPEGKGLRSLSKAQLDEILKPAECTIVDSLSNDEVDSYVLSESSLFVYPYKVIIKTCGTTKLLLSIPVILELADALSLTVCSVRYTRGSFICPGAQPFPHRNFCEEVTVLDGHFSKFGLESVAYVMGSPNSTQKWHVYSAAAGMKSHSGPVYTLEMCMTGLDRKRASVFYKTHASSATVMTEDSGIRKILPQSEICDFDFDPCGYSMNAIEGSAISTIHVTPEDGFSYASFEAVGYDFQDLNLRQLLYRVLVCFEPTEFSIALHSNVECDELGAMFSLDVKGYSCGGGNYEMLGKGGSIVYHSFAATGGCSSPRSILKCCWSEDEKDEEAEEK >Potri.013G089000.1.v4.1 pep chromosome:Pop_tri_v4:13:8911248:8933711:1 gene:Potri.013G089000.v4.1 transcript:Potri.013G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089000.v4.1 MRPRQVVKAIAFAKKAHHGQFRKTGDPYFTHCIHTARILAMLVPSTGKRAIDTLVAGILHDVVEDTSESLLSIEENFGEDVAKLVAGVSKISYINQLLRRHRRLNVNQGTLGHDEANNLRVMLLGMVNDPRVVLIKLADRLHNMRTIYALQPSKARAVAEETLLIWCSLASRLGLWALKAELEDLCFAVLQPQLFQKMRADLSSMWSSSNRPGYLRRIIAWNEKNSTLGCENSVTIDKDVSTMKDLLEAVVPFDILLDRRKRSKFLNDLGLTSETQTRPKVVQDAGIALASLAVCEEMLERELFISTSYVPGMEVTLSSRLKSLYSIYSKMKRKDVSINKVYDARALRVVVGDKNGTLHGPAIQCCYSLLDIVHRLWTPIDGELDDYIINPKPSGYQSLHTAVQGPDNAPLEVQIRTQKMHEYAEHGLAAHWLYKETGNTLSSIGSTDESETEASSYLSKDIDDQTSMEDDQFQKYRSLKAGHPVLRVERSHLLAAVIIRVEKGGRELLVAVSFGLAASEAVADRRSSFQIKQWEAYARLYKKVSDEWWCEPGHGDWCTCLEKYTFCRDGMYHKQDQFERLLPTFIQVIDLMEEEESEYRAVLSAVFEGKPVDSIASRPNIDTVASTSMEASINNKVRLLRTMLQWEEQLRYEAILGQPKHERKSYSSLESGGLGEVVIVCWPHGEIIRLRSGSTAADAARRVGFDGKLVLVNGQLVLPNTELKDGDVVEVRV >Potri.013G089000.2.v4.1 pep chromosome:Pop_tri_v4:13:8911171:8933728:1 gene:Potri.013G089000.v4.1 transcript:Potri.013G089000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089000.v4.1 MPIISPSPSCQTQISTMFITLKTSPSLFHRYSLHFRSSNSSKYKYRCLLDQIAPVSANVIAAAVASSGSGYLHGAVTSAITHVAVTAVAIASGACLSTRVDFLWPKGEEQPGCFIVDGVDVTGYPIFNEAKVVKAIAFAKKAHHGQFRKTGDPYFTHCIHTARILAMLVPSTGKRAIDTLVAGILHDVVEDTSESLLSIEENFGEDVAKLVAGVSKISYINQLLRRHRRLNVNQGTLGHDEANNLRVMLLGMVNDPRVVLIKLADRLHNMRTIYALQPSKARAVAEETLLIWCSLASRLGLWALKAELEDLCFAVLQPQLFQKMRADLSSMWSSSNRPGYLRRIIAWNEKNSTLGCENSVTIDKDVSTMKDLLEAVVPFDILLDRRKRSKFLNDLGLTSETQTRPKVVQDAGIALASLAVCEEMLERELFISTSYVPGMEVTLSSRLKSLYSIYSKMKRKDVSINKVYDARALRVVVGDKNGTLHGPAIQCCYSLLDIVHRLWTPIDGELDDYIINPKPSGYQSLHTAVQGPDNAPLEVQIRTQKMHEYAEHGLAAHWLYKETGNTLSSIGSTDESETEASSYLSKDIDDQTSMEDDQFQKYRSLKAGHPVLRVERSHLLAAVIIRVEKGGRELLVAVSFGLAASEAVADRRSSFQIKQWEAYARLYKKVSDEWWCEPGHGDWCTCLEKYTFCRDGMYHKQDQFERLLPTFIQVIDLMEEEESEYRAVLSAVFEGKPVDSIASRPNIDTVASTSMEASINNKVRLLRTMLQWEEQLRYEAILGQPKHERKSYSSLESGGLGEVVIVCWPHGEIIRLRSGSTAADAARRVGFDGKLVLVNGQLVLPNTELKDGDVVEVRV >Potri.009G129700.1.v4.1 pep chromosome:Pop_tri_v4:9:10604856:10608463:1 gene:Potri.009G129700.v4.1 transcript:Potri.009G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129700.v4.1 MSGMGDGYVGTAQDGVRIRRLQKQREAERLKIQELKTKSASDNGQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIQTKFEEEEKEKLEKLRKEEEDLQLQKRKKRKIKGSSKLSFADDFENGSDEEDVENKTSEPNNLVRGKFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIQNEPLEITYSYWDGAGHRRVIQVRKGDAIGEFLRGVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYELIVNKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTKKWERYTIHGD >Potri.016G122350.1.v4.1 pep chromosome:Pop_tri_v4:16:12685491:12686748:-1 gene:Potri.016G122350.v4.1 transcript:Potri.016G122350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G122350.v4.1 MGDFSGKIDVEKLISFSDDLVAVLKDQRDINNLSHCLQQSHSLKSSCDAEFNDSKTLIEDYEKKIEECKKKTEKAKAEVVSDADMEILEQELEVELQKEAALTEELRVINNEISDLECQRVSFEERKRNMKKNEKDELRAERMLSMYASVTNIIPDLDDHSKISGHIVHRDNKAVEKFEFDPTKISSFEICQSIWEMINKQ >Potri.008G057900.1.v4.1 pep chromosome:Pop_tri_v4:8:3429864:3432749:1 gene:Potri.008G057900.v4.1 transcript:Potri.008G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057900.v4.1 MGDSIDLSGDGGVFKSILRKGKADAICPSEDLPLVDVQYEGMLAETGEVFDTTREDNTVFSFELGKGSVIQAWEIAVKTMKVGEVAKITCKPEYAYGSAGSPPDIPTDATLIFEVELVACRPRKGSSVTDVSEERARLEELKKQREHAAAAKEEEKKRREEAKAAAAARMQAKLESKKGQGKGKGKGK >Potri.019G065300.6.v4.1 pep chromosome:Pop_tri_v4:19:10537936:10543343:-1 gene:Potri.019G065300.v4.1 transcript:Potri.019G065300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065300.v4.1 MEKDTSFLLTGIKFNKKRFAGDFSRFKKRKQRNDDSPVDDLSYFKREDTEAEKVVVSVNKKRKRKTKAERVEGFSVFKGSDSVPVVTDEGSEQEENNQKELNRQNERDLRLRKKYGIHVSGNNVPSPLQSFAELSTRYGCESYLLHNLVKLGFKEPTPIQRQAIPVLLSGRECFAKAPTGSGKTLAFVYPMLMKLKQPSKDGIRTVILCHTRELAAQTTRECKKMAKGSKFRIKLMTKELLRNTDFTKLPCDILISTPRRLQLCICKKKKKIDLSRVEYLVLDESDKLFERSLLEQTDSVVKACSNPSIIRSLFSATLPGFVEDVARTVMHDAVRIIVGDKNAASESIKQKLIFAGSEEGKLLALRQSFAE >Potri.019G065300.7.v4.1 pep chromosome:Pop_tri_v4:19:10538050:10543343:-1 gene:Potri.019G065300.v4.1 transcript:Potri.019G065300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065300.v4.1 MEKDTSFLLTGIKFNKKRFAGDFSRFKKRKQRNDDSPVDDLSYFKREDTEAEKVVVSVNKKRKRKTKAERVEGFSVFKGSDSVPVVTDEGSEQEENNQKELNRQNERDLRLRKKYGIHVSGNNVPSPLQSFAELSTRYGCESYLLHNLVKLGFKEPTPIQRQAIPVLLSGRECFAKAPTGSGKTLAFVYPMLMKLKQPSKDGIRTVILCHTRELAAQTTRECKKMAKGSKFRIKLMTKELLRNTDFTKLPCDILISTPRRLQLCICKKKKKIDLSRVEYLVLDESDKLFERSLLEQTDSVVKACSNPSIIRSLFSATLPGFVEDVARTVMHDAVRIIVGDKNAASESIKQKLIFAGSEEGKLLALRQSFAE >Potri.019G065300.5.v4.1 pep chromosome:Pop_tri_v4:19:10537892:10543361:-1 gene:Potri.019G065300.v4.1 transcript:Potri.019G065300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065300.v4.1 MEKDTSFLLTGIKFNKKRFAGDFSRFKKRKQRNDDSPVDDLSYFKREDTEAEKVVVSVNKKRKRKTKAERVEGFSVFKGSDSVPVVTDEGSEQEENNQKELNRQNERDLRLRKKYGIHVSGNNVPSPLQSFAELSTRYGCESYLLHNLVKLGFKEPTPIQRQAIPVLLSGRECFAKAPTGSGKTLAFVYPMLMKLKQPSKDGIRTVILCHTRELAAQTTRECKKMAKGSKFRIKLMTKELLRNTDFTKLPCDILISTPRRLQLCICKKKKKIDLSRVEYLVLDESDKLFERSLLEQTDSVVKACSNPSIIRSLFSATLPGFVEDVARTVMHDAVRIIVGDKNAASESIKQKLIFAGSEEGKLLALRQSFAE >Potri.019G065300.1.v4.1 pep chromosome:Pop_tri_v4:19:10537895:10543361:-1 gene:Potri.019G065300.v4.1 transcript:Potri.019G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065300.v4.1 MEKDTSFLLTGIKFNKKRFAGDFSRFKKRKQRNDDSPVDDLSYFKREDTEAEKVVVSVNKKRKRKTKAERVEGFSVFKGSDSVPVVTDEGSEQEENNQKELNRQNERDLRLRKKYGIHVSGNNVPSPLQSFAELSTRYGCESYLLHNLVKLGFKEPTPIQRQAIPVLLSGRECFAKAPTGSGKTLAFVYPMLMKLKQPSKDGIRTVILCHTRELAAQTTRECKKMAKGSKFRIKLMTKELLRNTDFTKLPCDILISTPRRLQLCICKKKKKIDLSRVEYLVLDESDKLFERSLLEQTDSVVKACSNPSIIRSLFSATLPGFVEDVARTVMHDAVRIIVGDKNAASESIKQKLIFAGSEEGKLLALRQSFAESLNPPMLIFVQSIERAEELYGELKFDSIRVGVIHSNLSQEQRESVIDDFRAGKTWVLIATDVLGRGMDFKGVKCVINYDFPDCAASYIHRIGRSGRAGRIGEAITFYTEHDIPYLRNIANVMTASGCEVPSWLTDMPKKKWKKHCPRRESISTKPKDEEE >Potri.019G065300.4.v4.1 pep chromosome:Pop_tri_v4:19:10537892:10543361:-1 gene:Potri.019G065300.v4.1 transcript:Potri.019G065300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065300.v4.1 MEKDTSFLLTGIKFNKKRFAGDFSRFKKRKQRNDDSPVDDLSYFKREDTEAEKVVVSVNKKRKRKTKAERVEGFSVFKGSDSVPVVTDEGSEQEENNQKELNRQNERDLRLRKKYGIHVSGNNVPSPLQSFAELSTRYGCESYLLHNLVKLGFKEPTPIQRQAIPVLLSGRECFAKAPTGSGKTLAFVYPMLMKLKQPSKDGIRTVILCHTRELAAQTTRECKKMAKGSKFRIKLMTKELLRNTDFTKLPCDILISTPRRLQLCICKKKKKIDLSSATLPGFVEDVARTVMHDAVRIIVGDKNAASESIKQKLIFAGSEEGKLLALRQSFAESLNPPMLIFVQSIERAEELYGELKFDSIRVGVIHSNLSQEQRESVIDDFRAGKTWVLIATDVLGRGMDFKGVKCVINYDFPDCAASYIHRIGRSGRAGRIGEAITFYTEHDIPYLRNIANVMTASGCEVPSWLTDMPKKKWKKHCPRRESISTKPKDEEE >Potri.009G163300.3.v4.1 pep chromosome:Pop_tri_v4:9:12539557:12546997:1 gene:Potri.009G163300.v4.1 transcript:Potri.009G163300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163300.v4.1 MAVRATVSRFPKNEDELESSGLPWGVTVTPFASKDENGLSPVYGLDGDLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLTSQAIARYSHPRSCAETMSSFIDLELSMEGSEEEMMQARPVYVAAVDLSSSEEFLELTKSALQAALEALAPGSLFGLATFSHKMGLYDVQGPIPVVKNVFISPDTEGTLPIELEDVMPLLQFLAPVETCKDRITAALDTLRPTTSWERTTGAGQGLEGVLMGGRGFGVAMEALVKYIGSEYGNTFALARVFAFMSGPPDYGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAVVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGSLFLYSSTDDSTLPQDMYRMLSRPYAFGCILRLRTSSEFKPGHSYGHFFPDPHYENVQHIICCDSFATYAYDFDFTSTTGFSRYASEQPVLQIAFQYTVVVPPEELSASRLVSASRGKHLLKRRLRIRTLQFGTARNMNELYDSVDPEAVLSILVHKVILASSEQGVQEGRMLLHDWLVILTAQYNDASKIVQFKNGGSIASQIDVAFSQCPQLQPLPRLVFALLRNPLLQFHEEGVHPDYRIYLQCLCSALEPGSLHRVIYPVLMSYSTPDKQAYPRHSLSRAALITSGSPIFFLDAFTTLIVFYSSTADPTLPFPPPQDCLLRSTINKLKQERSITPKLIFIRGGQDDASAFENYLIEEQDVDGSGFTSVMGFVSFLEDVTQSVMEYMK >Potri.005G066600.3.v4.1 pep chromosome:Pop_tri_v4:5:4311916:4321886:1 gene:Potri.005G066600.v4.1 transcript:Potri.005G066600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066600.v4.1 MADLSKSTNKKPVSLNHRHHRLLQDLSAPPKQPQSATPSEEDEEEESVFNVKLEGRRRFCKAEAEDDSIPNFCGITDFDSSPEEEKPTKVKIEGRRRLCKVSFGDNGDGESREEVKTDSSFDGIADFDSPIPSKNVGDCDNNRGVNEIKDILNDLTSRLDLLSIEKRRMPENGNVVKKVDVVEYASAESSFSSSSGPSDSSSNVNKNFVEAYEDGHLLSESFADEVDSKGNDICKGLKKNEYGRVDEKLVPVGKSIASNVVEEEGDVQIVSDRDDYVTRVEKTNKVALKVKKNEPTRFHEKLRSVGRSSLLSLRDEPEDKGDDCEVLTSKKVVKKVGRPDAIAKYNLLSDESSVTDVLDNHADSEDDSCITLPGPKSTYKLSGTIAKMLYPHQREGLRWLWSLHCQGKGGILGDDMGLGKTMQICSFLAGLFHSKLIKRALVVAPKTLLSHWIKELSVVGLSAKTREYFGTSLKARDYELQYILQDKGILLTTYDIVRNNSKSLRGDHYFIDDESEDSYIWDYMILDEGHLIKNPSTQRAKSLLEIPSAHCIVISGTPIQNNLKELWALFNFCCPGLLGDNKWFKETYEHPILRGNEKNASDREKRIGSTVAKELRERIQPYFLRRMKNEVFKEDDATTAKLSRKNEIIVWLRLTACQRQLYEAFLRSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDLLEGMESMLNPEDAAVAEKLAMHLADVADRTDTDFQEKHDNISCKISFILSLLDNLIPEGHNVLIFSQTRKMLNLIQESLVSNGYEFIRIDGTTKATDRTKIVSDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQMKDVVVYRLMTCGTVEEKIYRKQIFKGGLFRTATENKEQIRYFSQQDLRELFSLPKQGFNISLTQQQLHEEHDSQHKMDEFLESHIKFLETQGIAGVSHHSLLFSKTATVQVAQEEEDEIRKKVSTMVGNSSSSYSLERDVDGAVHAFNPKDVNLKKKSSSPDSVGKLTESEIKERINRLSQILGNKVTISRLPDQGAKLQKQIGELNSELNKLRMETATEREGIISLDDLTGEFERRLNV >Potri.005G066600.2.v4.1 pep chromosome:Pop_tri_v4:5:4311954:4321855:1 gene:Potri.005G066600.v4.1 transcript:Potri.005G066600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066600.v4.1 MADLSKSTNKKPVSLNHRHHRLLQDLSAPPKQPQSATPSEEDEEEESVFNVKLEGRRRFCKAEAEDDSIPNFCGITDFDSSPEEKPTKVKIEGRRRLCKVSFGDNGDGESREEVKTDSSFDGIADFDSPIPSKNVGDCDNNRGVNEIKDILNDLTSRLDLLSIEKRRMPENGNVVKKVDVVEYASAESSFSSSSGPSDSSSNVNKNFVEAYEDGHLLSESFADEVDSKGNDICKGLKKNEYGRVDEKLVPVGKSIASNVVEEEGDVQIVSDRDDYVTRVEKTNKVALKVKKNEPTRFHEKLRSVGRSSLLSLRDEPEDKGDDCEVLTSKKVVKKVGRPDAIAKYNLLSDESSVTDVLDNHADSEDDSCITLPGPKSTYKLSGTIAKMLYPHQREGLRWLWSLHCQGKGGILGDDMGLGKTMQICSFLAGLFHSKLIKRALVVAPKTLLSHWIKELSVVGLSAKTREYFGTSLKARDYELQYILQDKGILLTTYDIVRNNSKSLRGDHYFIDDESEDSYIWDYMILDEGHLIKNPSTQRAKSLLEIPSAHCIVISGTPIQNNLKELWALFNFCCPGLLGDNKWFKETYEHPILRGNEKNASDREKRIGSTVAKELRERIQPYFLRRMKNEVFKEDDATTAKLSRKNEIIVWLRLTACQRQLYEAFLRSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDLLEGMESMLNPEDAAVAEKLAMHLADVADRTDTDFQEKHDNISCKISFILSLLDNLIPEGHNVLIFSQTRKMLNLIQESLVSNGYEFIRIDGTTKATDRTKIVSDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQMKDVVVYRLMTCGTVEEKIYRKQIFKGGLFRTATENKEQIRYFSQQDLRELFSLPKQGFNISLTQQQLHEEHDSQHKMDEFLESHIKFLETQGIAGVSHHSLLFSKTATVQVAQEEEDEIRKKVSTMVGNSSSSYSLERDVDGAVHAFNPKDVNLKKKSSSPDSVGKLTESEIKERINRLSQILGNKVTISRLPDQGAKLQKQIGELNSELNKLRMETATEREGIISLDDLTGEFERRLNV >Potri.005G066600.4.v4.1 pep chromosome:Pop_tri_v4:5:4312530:4321889:1 gene:Potri.005G066600.v4.1 transcript:Potri.005G066600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066600.v4.1 MPENGNVVKKVDVVEYASAESSFSSSSGPSDSSSNVNKNFVEAYEDGHLLSESFADEVDSKGNDICKGLKKNEYGRVDEKLVPVGKSIASNVVEEEGDVQIVSDRDDYVTRVEKTNKVALKVKKNEPTRFHEKLRSVGRSSLLSLRDEPEDKGDDCEVLTSKKVVKKVGRPDAIAKYNLLSDESSVTDVLDNHADSEDDSCITLPGPKSTYKLSGTIAKMLYPHQREGLRWLWSLHCQGKGGILGDDMGLGKTMQICSFLAGLFHSKLIKRALVVAPKTLLSHWIKELSVVGLSAKTREYFGTSLKARDYELQYILQDKGILLTTYDIVRNNSKSLRGDHYFIDDESEDSYIWDYMILDEGHLIKNPSTQRAKSLLEIPSAHCIVISGTPIQNNLKELWALFNFCCPGLLGDNKWFKETYEHPILRGNEKNASDREKRIGSTVAKELRERIQPYFLRRMKNEVFKEDDATTAKLSRKNEIIVWLRLTACQRQLYEAFLRSEIVLSAFDGSPLAALTILKKICDHPLLLTKRAAEDLLEGMESMLNPEDAAVAEKLAMHLADVADRTDTDFQEKHDNISCKISFILSLLDNLIPEGHNVLIFSQTRKMLNLIQESLVSNGYEFIRIDGTTKATDRTKIVSDFQEGNGAPIFLLTSQVGGLGLTLTKADRVIVVDPAWNPSTDNQSVDRAYRIGQMKDVVVYRLMTCGTVEEKIYRKQIFKGGLFRTATENKEQIRYFSQQDLRELFSLPKQGFNISLTQQQLHEEHDSQHKMDEFLESHIKFLETQGIAGVSHHSLLFSKTATVQVAQEEEDEIRKKVSTMVGNSSSSYSLERDVDGAVHAFNPKDVNLKKKSSSPDSVGKLTESEIKERINRLSQILGNKVTISRLPDQGAKLQKQIGELNSELNKLRMETATEREGIISLDDLTGEFERRLNV >Potri.018G086100.2.v4.1 pep chromosome:Pop_tri_v4:18:10381762:10384936:1 gene:Potri.018G086100.v4.1 transcript:Potri.018G086100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086100.v4.1 MAALKELLPPAKSTSATYYDHSNDPWFKQRFSSSEVEQSAGGGGINYKPVPLYLQRKGFVPRKVEDFGDGGAFPEIHVAQYPLDMGRNKSAKPGSKILALTVDAHGNVAYDAIVRQNENAKKIVYSQHKDLIPKILRSEEEGDEDGDGDGDEELQKEIEDTTLETKAALEKIVNVRLSAAQPKNVPKQSSDSKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAAPPPSAPVPSERGAMDDVDMRGDYEHVKDRERDAPKETREEREERLRREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGKGGEVAYDQRLFNQEKGMDSGFAADDQYSVYDKGLFTAQPTLSTLYRPKKDVDAEMYGGADEQLDKIMKTERFKPDKEFAGTSQRTGPRDRPVEFEKDEEEADPFGLDQFLTEVKKGKKPTDKVGTGGTMRASAGSSMRDGHDGGSGRTRIGFERGR >Potri.019G024200.4.v4.1 pep chromosome:Pop_tri_v4:19:3539805:3552939:-1 gene:Potri.019G024200.v4.1 transcript:Potri.019G024200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024200.v4.1 MTFLISRALGDLNADVRGRMINAGIIIIDKHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSFCLSPLMQSKKDDAPALVSRLLDQLMNSDKYGERRGAAFGLAGVVKGYGISCLKKYGITAAIRESLADRSSAKHREGAQLAFECFCETLGKLFEPYVIQMLPLLLVSFSDQVVAVREAAECAARSMMSQLSAQGVKLVLPSILKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPTIVPKLTEVLTDTHPKVQSAGQMALQQVGSVIKNPEISSLVPTLLMGLTDPNEYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDSLKTDNSNVERSGAAQGLSEVLSALGTGYFEHVLPDIIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLQQVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEVLGRDKRNEILAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMSTLISSLASSSSERRQVAARALGELVRKLGERVLPLIIPILSQGLKDPNPSRRQGVCIGLSEVMASAVKSQLLSFMDELIPTIRTALCDSMPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHLPLSAFNAHALGALAEVAGPGLNFHLGTILPALLSAMGAEDKDVQTLAKKAAETVALVIDEEGVEYLIAELLKGVGDTLASIRRSSSYLIGFFFKYSKLYLVDEAPNMISTLIILLSDSDSSTVEVAWEALSRVIGSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPVVIPGFCLPKALQPLLPIFLQGLTSGSAELREQAALGLGELIEVTSEKALKDFVIPITGPLIRIIGDRFPWQVKSAILSTLSILIRKGGMSLRPFLPQLQTTFIKCLQDSTRTVRTSAAFALGKLSALSTRVDPLVSDLLSSLQASDAGVREAILTALKGVLKHAGKSVSDPVRVRVFSQLKDLIHHDDDQVRISAASILGITSQYMEEPQLDDLLELLSNLASSPSWVSRHGSVLTISSLLRHNPSSVVTSQMFPSIMRCLKDALKDEKFPLRETSTKALGRLILHQIQSDPSEATAYVDIISTIVSALHDDSSEVRRRGLSALKAVAKASPPSITVHVSIIGPALAECLKDSSTPVRLAAERCAVHAFQMTKGTDNVQAAQKFITGLDARRLSKFPEYSDESEDSEEESTSG >Potri.019G024200.3.v4.1 pep chromosome:Pop_tri_v4:19:3539806:3564573:-1 gene:Potri.019G024200.v4.1 transcript:Potri.019G024200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024200.v4.1 MADSLTSLSTSVSTSSTKLRIRIFRHDVVSLLANAEMTVELASMLVDTIFRTLFIYDDRRSRKAVDDVIIKSLNEVIFMKSFAGAVVQAMEKQLKVQSHVGCYRLLNWSVLLLTKSQFSSVSKNAVSRVASAQAGLVNLVMQRSFRERRACKRIFFHLFSQSLDIYKIYIEELKNGRVAYKESPELIRLLLEFSSASSSRFEQCKSIFMDIYLKAVLNAREKPVKELSECFYPLFRHLSHEDFQNVVLPSSVKMLKRNPEIVLEAVGVLLDSVSLDLSKYGIELLSVVLSQVRHADEGRRVGALAIVRCLSQKSSNPDALEAMFNAVKAIIGGSEGRLQFPYQRTGMFNAVQELSHAPDGKFLNSLVLTICSFLLSCYKEEGNEEVKLAILSAVASWAARSADSVQLDLVSFIAAGLKEKEVLRRGHLRCLQVICKNADAVLQISSLFGPLVQLVKTGFTKAVQRLDGVYALLVVGKIASTDIKTEETLAKEKIWSFISQNEPSLVPISLASKLSNEDCMACVDLLEVLLVEHSRRVLEAFSVKLLFQLMVFLLCHPSWDVRKMSYVATRKIITAIPLLSELLLLEFTNFLSVVGERLSLLGTSDSDNSLDAQVAFLPSVEVLVKALAVISCATLAASPSVSTQVIFCSHHPCMVGTAKRDVVWKRLRKCLRRLGIDVIGIVSADVENLCKGLLGPMGLASLNPLEQEAAIYSLSTLMSITPRDMYLAFEKQLKNHPDRYAHDMLSESDIRIFHTPEGMLSSEQGVYVAESVASKNTRQAKGRFRMYEDHNDMDDVNSNQFVKREPTGREAAGMGKKDIGKSTKKADKGKTAKEEARELLLKEEAAVRDKVRGIQDNLSLMLRALGEMAISNPVFAHSQLPSLIKFVDPLLHSPIVSDVAYETLVKLSRCTATPLCHWALDIATALRLIVTKDVSVFLDLIPIAGDGEANESPSLGLFERIINGLSVSCKPGPLPVDSFTFVFPIMEHILLSPKKTGLHDDVLRILYLHMDPLLPLPRLRMLSALYHVLGVVPAYQGSIGPALNELCLGLQPEEVAPALYGVYAKDVHVRMACLNAIKCIPAVASRSVPENVEVATSLWIALHDPEKLVAEAAEDIWDRYGHDFGTNYSGLFKALSHIDYNVRLAAAEALAAALDENPDTIQESLSTLFSLYIRDAGFGEDTVDAGWLGRQGIALALHSAADVLRTKDLPVVMTFLISRALGDLNADVRGRMINAGIIIIDKHGRDNVSLLFPIFENYLNKKASDEEKYDLVREGVVIFTGALAKHLAKDDPKVHAVVEKLLDVLNTPSEAVQRAVSFCLSPLMQSKKDDAPALVSRLLDQLMNSDKYGERRGAAFGLAGVVKGYGISCLKKYGITAAIRESLADRSSAKHREGAQLAFECFCETLGKLFEPYVIQMLPLLLVSFSDQVVAVREAAECAARSMMSQLSAQGVKLVLPSILKGLEDKAWRTKQSSVQLLGAMAYCAPQQLSQCLPTIVPKLTEVLTDTHPKVQSAGQMALQQVGSVIKNPEISSLVPTLLMGLTDPNEYTKYSLDILLQTTFINSIDAPSLALLVPIVHRGLRERSAETKKKAAQIVGNMCSLVTEPKDMIPYIGLLLPEVKKVLVDPIPEVRSVAARAIGSLIRGMGEENFPDLVPWLFDSLKTDNSNVERSGAAQGLSEVLSALGTGYFEHVLPDIIRNCSHQKASVRDGYLTLFKYLPRSLGVQFQNYLQQVLPAILDGLADENESVRDAALGAGHVLVEHYATTSLPLLLPAVEDGIFNDNWRIRQSSVELLGDLLFKVAGTSGKALLEGGSDDEGSSTEAHGRAIIEVLGRDKRNEILAALYMVRTDVSLSVRQAALHVWKTIVANTPKTLKEIMPVLMSTLISSLASSSSERRQVAARALGELVRKLGERVLPLIIPILSQGLKDPNPSRRQGVCIGLSEVMASAVKSQLLSFMDELIPTIRTALCDSMPEVRESAGLAFSTLYKSAGMQAIDEIVPTLLHALEDDETSDTALDGLKQILSVRTTAVLPHILPKLVHLPLSAFNAHALGALAEVAGPGLNFHLGTILPALLSAMGAEDKDVQTLAKKAAETVALVIDEEGVEYLIAELLKGVGDTLASIRRSSSYLIGFFFKYSKLYLVDEAPNMISTLIILLSDSDSSTVEVAWEALSRVIGSVPKEVLPSYIKLVRDAVSTSRDKERRKKKGGPVVIPGFCLPKALQPLLPIFLQGLTSGSAELREQAALGLGELIEVTSEKALKDFVIPITGPLIRIIGDRFPWQVKSAILSTLSILIRKGGMSLRPFLPQLQTTFIKCLQDSTRTVRTSAAFALGKLSALSTRVDPLVSDLLSSLQASDAGVREAILTALKGVLKHAGKSVSDPVRVRVFSQLKDLIHHDDDQVRISAASILGITSQYMEEPQLDDLLELLSNLASSPSWVSRHGSVLTISSLLRHNPSSVVTSQMFPSIMRCLKDALKDEKFPLRETSTKALGRLILHQIQSDPSEATAYVDIISTIVSALHDDSSEVRRRGLSALKAVAKASPPSITVHVSIIGPALAECLKDSSTPVRLAAERCAVHAFQMTKGTDNVQAAQKFITGLDARRLSKFPEYSDESEDSEEESTSG >Potri.014G191000.2.v4.1 pep chromosome:Pop_tri_v4:14:16607365:16609210:-1 gene:Potri.014G191000.v4.1 transcript:Potri.014G191000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191000.v4.1 MAVPLLTKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Potri.014G191000.1.v4.1 pep chromosome:Pop_tri_v4:14:16607500:16608973:-1 gene:Potri.014G191000.v4.1 transcript:Potri.014G191000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191000.v4.1 MAVPLLTKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Potri.010G082700.1.v4.1 pep chromosome:Pop_tri_v4:10:11032057:11037654:1 gene:Potri.010G082700.v4.1 transcript:Potri.010G082700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082700.v4.1 MGNDYYYECPHPVAIPPAKPFIESIKSGIKETLFPDDPFRQFKNQPASRKFILGLQYFVPVLEWAPRYTFEFFKADLIAGITIASLAVPQGISYASLANLPPILGLYSSFVPPLVYAMLGSSKDLAVGTVAVASLLISSMLGKEVNPNENPKLYVQLALTATFFAGVFQAALGFLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVRFTHGTDLVSVMRSVFSQAHQWRWESGVLGCCFLFFLILTRYVSKRKPGFFWISAMAPLTSVIVGSVLAYLTHAEQNGVQVIGHLKKGLNPPSVSELAFGSPYLMTAIKTGIITGVIALAEGVAVGRSFAMFKNYHIDGNKEMIAFGMMNIAGSCTSCYLTTGPFSRTAVNFNAGCKTAVSNIVMATAVMITLLFLTPLFHYTPLVVLSSIIIAAMLGLIDYEAAISLWKVDKCDFIVCMSAYFGVVFGSVEIGLVIAVVISLLRMLMSVARPRTFLLGNIPNSMIYRSIDQYPIANNVPGVLILQIDAPVYFANANYLRERISRWIYEEEEKLKSTGGSSLQYVILDLSAVGSIDTSGISMLEEVKKNIDRRDLKLVLANPRSEVIKKLEKSKFMESIGQEWIYLTVGEAVAACNFMLHRSKSSNNPATEKVELDAHDNV >Potri.005G187000.1.v4.1 pep chromosome:Pop_tri_v4:5:19442442:19442651:-1 gene:Potri.005G187000.v4.1 transcript:Potri.005G187000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187000.v4.1 MRETFKDRWSCQDSAAVECSESKILLCFWSSLVCASCFRERSTICSGTKHIWHILRTLMSAVLDVEFKW >Potri.001G261201.1.v4.1 pep chromosome:Pop_tri_v4:1:27759730:27762456:1 gene:Potri.001G261201.v4.1 transcript:Potri.001G261201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261201.v4.1 MYDFFGTHALISYDNLRKIRRYCDFSRAHESAECRHSLLKTDADVWNAIDVYNIYGPLCLDGNLTSRPRKTSLMNFDPCSDYYVYAYLNRPDVQEAMHANVTKLTYDWEPCGDFNWVDSASTILPLLKELMENGLRVWLFSGDTDGRVPFTSTQYAINKMKLPIKTEWYPWFYGGEVGGYVQVYKGDLTFATVRGAGHMVPSIQPVRASALISHTSSIANAEV >Potri.008G104300.1.v4.1 pep chromosome:Pop_tri_v4:8:6582544:6587227:-1 gene:Potri.008G104300.v4.1 transcript:Potri.008G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104300.v4.1 MLQALMNLFSLCLKPFGHGRSSSNSDSKLESFVGVSKEGKDGLLWYRDVGRYWSGEFSMAVVQANQVIEDQSQIESGPFGTFVGVYDGHGGPEAARFVCDHLFRHFQAISAETHGVVTSETIQRAFCATEEGFTNLVSELWSSRPQMATVGSCCLVGVIYQQTLFVANLGDSRVVLGKKVGNTGGIAAIQLSTEHNANLEVIRHELKNLHPNDPQIVVLKHGVWRVKGIIQVSRSIGDVYMKHARFNREPINAKFRLPEPMDKSILSANPTIISHPLHPNDSFLVFASDGLWEHLSNEKVVDIVHSNPCAGSAKRLVKAALQEAARKREMRYSDLQKIDKKVRRHFHDDITVIVLFLNHDLISKSKSAVQTPPVSIRSAN >Potri.008G104300.2.v4.1 pep chromosome:Pop_tri_v4:8:6582591:6587225:-1 gene:Potri.008G104300.v4.1 transcript:Potri.008G104300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104300.v4.1 MLQALMNLFSLCLKPFGHGRSSSNSDSKLESFVGVSKEGKDGLLWYRDVGRYWSGEFSMAVVQANQVIEDQSQIESGPFGTFVGVYDGHGGPEAARFVCDHLFRHFQAISAETHGVVTSETIQRAFCATEEGFTNLVSELWSSRPQMATVGSCCLVGVIYQQTLFVANLGDSRVVLGKKVGNTGGIAAIQLSTEHNANLEVIRHELKNLHPNDPQIVVLKHGVWRVKGIIQVSRSIGDVYMKHARFNREPINAKFRLPEPMDKSILSANPTIISHPLHPNDSFLVFASDGLWEHLSNEKVVDIVHSNPCAVRVFPCVFGCHTFYIEFTFMPLCFY >Potri.005G172100.1.v4.1 pep chromosome:Pop_tri_v4:5:17661170:17668226:-1 gene:Potri.005G172100.v4.1 transcript:Potri.005G172100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172100.v4.1 MEKSEPSLVPEWLKSTGTVSGAGSLAHHFASSSSHSDVPSLANHTRNRSSKSINDFDSPRPGFLDRTSSSNSRRSSGNGSAKHPYSSFSRSHRDKDRERDKERSSFMDNWDRDSSDPLGGLLTNRIQKDTLRRSSSLVSRKHVELMPRRAASDLKNGSGNTHTNGNGLISGGSFGSSSQKAVFEKDFPSLGSEDRQGVPDIARVSSPGLSSSVQSLPVGSSALIGGEGWTSALAEVPAIIANSSTSSSSAAQTVVASSSGTSSGMAGLNMAETLTQAPSRTRTAPQLSVQTQRLEELAIKQSRQLIPVTPSMPKNLVPSSSDKSKPKTGIRPAEMNTAAKSLHQQSSSLHLANQSSVGVNVKSDAPKTSHGKLFVLKPVWENGVSPSPKDVASPTNTSSRTANSQLAAPSVPSAPVRSPNNPKLSLGERKPTSLNLNSGFGGEKRAQSRNDFFNDLKKKTAMNTSSVAYSTSVVLSTTSENSCEVNREAVSAPTSPHAIQNGAELTSNGGSLEEVQRFSEEEEAKFLRSLGWEENSGEDEGLTEEEINAFIQEYVTKKPSLKVCRGMELKLNECHAASLGGSSLASSSSDSGSDA >Potri.005G172100.3.v4.1 pep chromosome:Pop_tri_v4:5:17661170:17668321:-1 gene:Potri.005G172100.v4.1 transcript:Potri.005G172100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172100.v4.1 MEKSEPSLVPEWLKSTGTVSGAGSLAHHFASSSSHSDVPSLANHTRNRSSKSINDFDSPRPGFLDRTSSSNSRRSSGNGSAKHPYSSFSRSHRDKDRERDKERSSFMDNWDRDSSDPLGGLLTNRIQKDTLRRSSSLVSRKHVELMPRRAASDLKNGSGNTHTNGNGLISGGSFGSSSQKAVFEKDFPSLGSEDRQGVPDIARVSSPGLSSSVQSLPVGSSALIGGEGWTSALAEVPAIIANSSTSSSSAAQTVVASSSGTSSGMAGLNMAETLTQAPSRTRTAPQLSVQTQRLEELAIKQSRQLIPVTPSMPKNLVPSSSDKSKPKTGIRPAEMNTAAKSLHQQSSSLHLANQSSVGVNVKSDAPKTSHGKLFVLKPVWENGVSPSPKDVASPTNTSSRTANSQLAAPSVPSAPVRSPNNPKLSLGERKPTSLNLNSGFGGEKRAQSRNDFFNDLKKKTAMNTSSVAYSTSVVLSTTSENSCEVNREAVSAPTSPHAIQNGAELTSNGGSLEEVQRFSEEEEAKFLRSLGWEENSGEDEGLTEEEINAFIQEYVTKKPSLKVCRGMELKLNECHAASLGGSSLASSSSDSGSDA >Potri.006G239700.1.v4.1 pep chromosome:Pop_tri_v4:6:24168780:24170657:-1 gene:Potri.006G239700.v4.1 transcript:Potri.006G239700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239700.v4.1 MTKIALGSRHEAAQPDCLKALVVEFVTTFLFVFAGVGSAMAADKLTGDALLGLFVVAVAHAFVVAVMISAGHISGGHLNPAVTIGLLFGGHITVVRSILYWIDQLLASTAACFLLKYLTGGLATPVHTLASGMDYLQGVVWEIVLTFSLLFTVYATIVDPKKGSIDGLGPMLTGFVVGANILAGGAFSGASMNPARSFGPALVSWDWTDHWVYWVGPLIGGGLAGFIYENFFITRSHRPLPSEEEPF >Potri.010G236900.1.v4.1 pep chromosome:Pop_tri_v4:10:21703744:21705308:1 gene:Potri.010G236900.v4.1 transcript:Potri.010G236900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236900.v4.1 MAVKVAAAFIFMLFLLSTTACQAKLSSAFYHKSCPKAESAIRTAIRTAIARERRMAASLIRLHFHDCFVQGCDASILLDETSSIQSEKTAGGNNNSVRGYEVIDKAKSKVEKICPGVVSCADIIAVAARDASAYVGGPSWAVKLGRRDSTTASPALAITELPAFFDDLGRLISRFQQKGLTARDMVALSGSHTLGQAQCFTFRDRIYNASNIDAGFASTRKRRCPRAGGQANLAPLDLVTPNSFDNNYFKNLMRNKGLLQSDQVLFNGGSTDSIVSEYSRNPAKFSSDFASAMIKMGDIRPLIGSAGQIRRICSAVN >Potri.001G117100.1.v4.1 pep chromosome:Pop_tri_v4:1:9522600:9527161:1 gene:Potri.001G117100.v4.1 transcript:Potri.001G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117100.v4.1 MAWNVFKFCTALRALGSIMIALVLGIIGVTYYVIVVANYGPALFHGGLDSFVALLVLVLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSIDEESGDADPLVGLAHEGTGLDLNQSAMLGEPANPRTRACRKCNWFKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLVTTLVTLSLLPQFLAFFTVGEKNGTPETLVATFVTFVLNLSFALSIMGFLIMHISLVLGNTTTIEAFEKKSNPKWHYDLGRRKNFEQVFGTDKRYWFIPAYSEEDLECMPVLQGFEYPTRPDLDELQQF >Potri.003G166300.5.v4.1 pep chromosome:Pop_tri_v4:3:17551632:17558995:-1 gene:Potri.003G166300.v4.1 transcript:Potri.003G166300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166300.v4.1 MKQQCDEKRDVYAHMARQRERVSGRNGKGECFSMQQIQAAHDEYDEEATLFVFRLKSLKEGQSRSLLTQAARHHAAQLCFFKKALQSLEAVEPHVKLVSEQQHIDYHFSGLDDDGRDYDDDEDYDDAIDDGELSFDYGQNDQEQEVSKSIKSMELDLEDITFPQVVTLEMAKENPDTSYRTSFPIKGELSAGTQSAPLFAQVKSNPAGKTKQLMPSSTRKFNTYVLPTPADPKSSNSTGPGSPVSQTLKTSLSGRPLNLWHSSPIGHKKNDKLLGVEMSSKPPAINSQSVLKESNNNTASTRLPPPLADGLFFSRLEPPAGAESKKIKRYAFSGPISSQPWSTKAVSAEHPQLFSGPLLRNPTAQLFSSPPKVSPRISPKVSPSSSPPFVSSPKISELHELPRPPVSSTSKSPGAGGLVGHSAPLLPKGHMLPGTSKTSASNVESQLPTPSQVVPRSFSIPSSRHRVMVAQNSGIVENVASPPLTPISLSNTQPSSTGSRIVNQTVQIRGAV >Potri.003G166300.1.v4.1 pep chromosome:Pop_tri_v4:3:17551634:17558995:-1 gene:Potri.003G166300.v4.1 transcript:Potri.003G166300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166300.v4.1 MKSFRKLRGFASLHKQVVHKNPRDLPSFSQSHELAKASQDMKDMKDCYDSLLSAAAGTANCAFEFSESLREMGACLLAKTALNDDEESGRVLLMLGKVQFELQKLVDCYRSHINQTIISPSESLLNELQIVEEMKQQCDEKRDVYAHMARQRERVSGRNGKGECFSMQQIQAAHDEYDEEATLFVFRLKSLKEGQSRSLLTQAARHHAAQLCFFKKALQSLEAVEPHVKLVSEQQHIDYHFSGLDDDGRDYDDDEDYDDAIDDGELSFDYGQNDQEQEVSKSIKSMELDLEDITFPQVVTLEMAKENPDTSYRTSFPIKGELSAGTQSAPLFAQVKSNPAGKTKQLMPSSTRKFNTYVLPTPADPKSSNSTGPGSPVSQTLKTSLSGRPLNLWHSSPIGHKKNDKLLGVEMSSKPPAINSQSVLKESNNNTASTRLPPPLADGLFFSRLEPPAGAESKKIKRYAFSGPISSQPWSTKAVSAEHPQLFSGPLLRNPTAQLFSSPPKVSPRISPKVSPSSSPPFVSSPKISELHELPRPPVSSTSKSPGAGGLVGHSAPLLPKGHMLPGTSKTSASNVESQLPTPSQVVPRSFSIPSSRHRVMVAQNSGIVENVASPPLTPISLSNTQPSSTGSRIVNQTVQIRGAV >Potri.019G000900.1.v4.1 pep chromosome:Pop_tri_v4:19:699830:703841:1 gene:Potri.019G000900.v4.1 transcript:Potri.019G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G000900.v4.1 MNAPIIDPLQGDFPEVIEEYLHHGVTKCIAFNRRGTLLAAGCADGSCVIWDFETRGVAKELRDKDCIAAITSICWSKYGHRILVSSADKSLILWDVVSGEKITRITLHHTPLLARLHPGSSLPSLCLTCPLSSAPMIVDFNTGNTTVLPVTVPEADSGPAPPSRNKPSDGPPYTPTAACFNKFGDLVYVGNSKGEILIIDHKSIQVHAMVPTPGGAVIKNIVFSRDGQYLLTNSNDRTIRIYENLLPLKDGLTVLGHLNKTVDEVAGVEKMKAVGSKCLALFREFQDTITKVHWKAPCFSGDGEWVIGGSASKGEHKIYIWDRVGHLVKILEGPKEALIDLAWHPVHPIIVSVSLTGMVYVWAKDHTENWSAFAPDFKELEENEEYVEREDEFDLIPETEKVKESDINEDDEVDIVTVEKDAFSDSDMSQEEICFLPATPCPDVPEQQDKCVGSSSKLMDSNNSGSPLSEEAGQNGQAMNHASSPLEEDTGGTRMKRKRKPSEKGLELQAEKGRKPLKSYGRVSKVRSKPVADLDITNGIYGDDISD >Potri.007G019733.1.v4.1 pep chromosome:Pop_tri_v4:7:1508910:1512315:-1 gene:Potri.007G019733.v4.1 transcript:Potri.007G019733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019733.v4.1 MSLKNPKKWRFTWETQSQSPNLKLFLFNSQSKPSVHHLQAQLNLSKSHLLVTFTENEETSEVSIRVPIPRVLIDPESPVNAKASDDHIEVKLVLLLPVDHPLVSTFDLLNLSDESERNEDLDLLKPLIMDSDLKSLSSMEGVHFYCRSCSNRLTRSPLKQFVEMPSVNWPEMADNWFGGCCCSFGGASEKLVNRYAHAYACPMGVCMLNSTAVTLCSDDLAGCKFSEKYRIQTCKPEQESGDEGLSEEAMRDFETESGRVTRCDSQCRVIHGVNGKSGSSCSKLENHGENVKFKVAEEKTNSSILLSALPASDLSEKVAPGPGCCDSVHHTQDYTDEGGIHDVCGPSLEDQKTTKDMELRINQRSFLNGFLGDAFTARSYNLSTDIEWKQFVCPQCSSLIGAYPCANGDMPVDDGVRLFKCYISTSLPVGEQADLFRKYTLERMFTSQLVESAKDELSFRTVVRDLRTKSPMLQIVLVNPNSWCCSGDCLDTKSNTDSVLKLDLHPVIKVLFSDCSSNTESQLRVLEDWVTKNQADEVFMLAHLIKELIETIASAKVEFPPSCTFLQGLSFSSMPR >Potri.007G019733.2.v4.1 pep chromosome:Pop_tri_v4:7:1508929:1512315:-1 gene:Potri.007G019733.v4.1 transcript:Potri.007G019733.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019733.v4.1 MPSVNWPEMADNWFGGCCCSFGGASEKLVNRYAHAYACPMGVCMLNSTAVTLCSDDLAGCKFSEKYRIQTCKPEQESGDEGLSEEAMRDFETESGRVTRCDSQCRVIHGVNGKSGSSCSKLENHGENVKFKVAEEKTNSSILLSALPASDLSEKVAPGPGCCDSVHHTQDYTDEGGIHDVCGPSLEDQKTTKDMELRINQRSFLNGFLGDAFTARSYNLSTDIEWKQFVCPQCSSLIGAYPCANGDMPVDDGVRLFKCYISTSLPVGEQADLFRKYTLERMFTSQLVESAKDELSFRTVVRDLRTKSPMLQIVLVNPNSWCCSGDCLDTKSNTDSVLKLDLHPVIKVLFSDCSSNTESQLRVLEDWVTKNQADEVFMLAHLIKELIETIASAKVEFPPSCTFLQGLSFSSMPR >Potri.012G007000.6.v4.1 pep chromosome:Pop_tri_v4:12:283296:289095:-1 gene:Potri.012G007000.v4.1 transcript:Potri.012G007000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007000.v4.1 MMGSGVPYGYNNGGGSSFSSSNLSPLAPPFTVDRSVAKPLLDLTEPTYPVSLNPSLHNWATSNSHIPNSRPDLFPLPNLEFNSIPSPNVFGYSSPTPQVTSKNHPLVLASTDAVLYGQSNPSLVEAVPYYPSSYVSPAIGSDGHLKIPHQSGYELLSNSYVGTSNGSSHDDYTQSSLGLEHATQWSGLWEGVTDWNQSKKLQLDGGFCEKENFINQGFSAFKDVSKCEETSLGIDMVGRQMHTGSASTGQLDYKAFLVEKPKSMPTTPPSLIFPPTAPQAYPQVSSSNVVNSPNNQMRHVTSYGKSSRKRDASSNDRMPMMKPSPAVVIRPPGQDRYSFKNINAGTDGDEKDFAGNNTSFAQEPNPFISSKGKVCYDSSQVNFHLKQNDDSFAEVPSKNHEELLSNKNISIDFLDKLFREKMENRVPCKNLDFFNLAMDGHEAAGSVEITSESLDHYFPAVDSPCWKGAPVSLPSAFKGSEVVNPQNKVEACNGLNLQGPQISPSTTNDAVKDCPEKQSNISMTFNNESLEHRPASSFKRPLVANVLFREGIDDAVKYGPCQRKSSYCNEAQISDVIDEPRKESVRRKINDNPDDCSSHVPYHAIEHVLCSPPSSEHAPAQHTQSQVGESSSKMHARTLVDTMHNLSELLLFYSSNDTCELKDEDFDVLNDVINNLDIFISKNSERKNSTQESLIPRRATSQSPGKLSELYKGQLEFQHFEDEKECKIVSDERKEKLSNFVSMRGATDTVKDDNVTQAIKKVLAQNFPIKEESESQILLYKNLWLEAEASLCVVNCMDRFNRLKIEIEKGSSQKVNEFSSAAPVVPENSMIMENLLGPKVSSDILPAEDEGSPVHNVPDSSILSRNSHSDDVMARFHIIKSRVDDSNSLNTSAMDLSSPKDSIRGASSHADNVMDRFHILKCRVENSSSVNTATGGILASSMVSPDQNQVDKLAHDTKDSIMSYTIQDSPMSGRSSHADDVMTRFCILNGRDDNSNSVTISAVEKLSSSKVSSDLNKVSKLTDDTKDSIKADVTTQDSSMSSASSQAEDVEASVILKHRDGNSSSLDMEEHQRVSIDNGYMDLIRLARMNKDGTKDRTLDVNMEPLIPNFRADSTEDKPTVKEFRLFINDDVETQSRLTDRFGDQSHAGWYDSCSSDWEHVLKEELAGQGY >Potri.012G007000.2.v4.1 pep chromosome:Pop_tri_v4:12:283226:289095:-1 gene:Potri.012G007000.v4.1 transcript:Potri.012G007000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007000.v4.1 MMGSGVPYGYNNGGGSSFSSSNLSPLAPPFTVDRSVAKPLLDLTEPTYPVSLNPSLHNWATSNSHIPNSRPDLFPLPNLEFNSIPSPNVFGYSSPTPQVTSKNHPLVLASTDAVLYGQSNPSLVEAVPYYPSSYVSPAIGSDGHLKIPHQSGYELLSNSYVGTSNGSSHDDYTQSSLGLEHATQWSGLWEGVTDWNQSKKLQLDGGFCEKENFINQGFSAFKDVSKCEETSLGIDMVGRQMHTGSASTGQLDYKAFLVEKPKSMPTTPPSLIFPPTAPQAYPQVSSSNVVNSPNNQMRHVTSYGKSSRKRDASSNDRMPMMKPSPAVVIRPPGQDRYSFKNINAGTDGDEKDFAGNNTSFAQEPNPFISSKGKVCYDSSQVNFHLKQNDDSFAEVPSKNHEELLSNKNISIDFLDKLFREKMENRVPCKNLDFFNLAMDGHEAAGSVEITSESLDHYFPAVDSPCWKGAPVSLPSAFKGSEVVNPQNKVEACNGLNLQGPQISPSTTNDAVKDCPEKQSNISMTFNNESLEHRPASSFKRPLVANVLFREGIDDAVKYGPCQRKSSYCNEAQISDVIDEPRKESILPDFKPVHTKQKSLEEGEWPSKKNSDVAGVRRKINDNPDDCSSHVPYHAIEHVLCSPPSSEHAPAQHTQSQVGESSSKMHARTLVDTMHNLSELLLFYSSNDTCELKDEDFDVLNDVINNLDIFISKNSERKNSTQESLIPRRATSQSPGKLSELYKGQLEFQHFEDEKECKIVSDERKEKLSNFVSMRGATDTVKDDNVTQAIKKVLAQNFPIKEESESQILLYKNLWLEAEASLCVVNCMDRFNRLKIEIEKGSSQKVNEFSSAAPVVPENSMIMENLLGPKVSSDILPAEDEGSPVHNVPDSSILSRNSHSDDVMARFHIIKSRVDDSNSLNTSAMDLSSPKVSPDLNKVDKFAHDTKDSSKSHISFQDSIRGASSHADNVMDRFHILKCRVENSSSVNTATGGILASSMVSPDQNQVDKLAHDTKDSIMSYTIQDSPMSGRSSHADDVMTRFCILNGRDDNSNSVTISAVEKLSSSKVSSDLNKVSKLTDDTKDSIKADVTTQDSSMSSASSQAEDVEASVILKHRDGNSSSLDMEEHQRVSIDNGYMDLIRLARMNKDGTKDRTLDVNMEPLIPNFRADSTEDKPTVKEFRLFINDDVETQSRLTDRFGDQSHAGWYDSCSSDWEHVLKEELAGQGY >Potri.012G007000.3.v4.1 pep chromosome:Pop_tri_v4:12:283192:289095:-1 gene:Potri.012G007000.v4.1 transcript:Potri.012G007000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007000.v4.1 MMGSGVPYGYNNGGGSSFSSSNLSPLAPPFTVDRSVAKPLLDLTEPTYPVSLNPSLHNWATSNSHIPNSRPDLFPLPNLEFNSIPSPNVFGYSSPTPQVTSKNHPLVLASTDAVLYGQSNPSLVEAVPYYPSSYVSPAIGSDGHLKIPHQSGYELLSNSYVGTSNGSSHDDYTQSSLGLEHATQWSGLWEGVTDWNQSKKLQLDGGFCEKENFINQGFSAFKDVSKCEETSLGIDMVGRQMHTGSASTGQLDYKAFLVEKPKSMPTTPPSLIFPPTAPQAYPQVSSSNVVNSPNNQMRHVTSYGKSSRKRDASSNDRMPMMKPSPAVVIRPPGQDRYSFKNINAGTDGDEKDFAGNNTSFAQEPNPFISSKGKVCYDSSQVNFHLKQNDDSFAEVPSKNHEELLSNKNISIDFLDKLFREKMENRVPCKNLDFFNLAMDGHEAAGSVEITSESLDHYFPAVDSPCWKGAPVSLPSAFKGSEVVNPQNKVEACNGLNLQGPQISPSTTNDAVKDCPEKQSNISMTFNNESLEHRPASSFKRPLVANVLFREGIDDAVKYGPCQRKSSYCNEAQISDVIDEPRKESVRRKINDNPDDCSSHVPYHAIEHVLCSPPSSEHAPAQHTQSQVGESSSKMHARTLVDTMHNLSELLLFYSSNDTCELKDEDFDVLNDVINNLDIFISKNSERKNSTQESLIPRRATSQSPGKLSELYKGQLEFQHFEDEKECKIVSDERKEKLSNFVSMRGATDTVKDDNVTQAIKKVLAQNFPIKEESESQILLYKNLWLEAEASLCVVNCMDRFNRLKIEIEKGSSQKVNEFSSAAPVVPENSMIMENLLGPKVSSDILPAEDEGSPVHNVPDSSILSRNSHSDDVMARFHIIKSRVDDSNSLNTSAMDLSSPKVSPDLNKVDKFAHDTKDSSKSHISFQDSIRGASSHADNVMDRFHILKCRVENSSSVNTATGGILASSMVSPDQNQVDKLAHDTKDSIMSYTIQDSPMSGRSSHADDVMTRFCILNGRDDNSNSVTISAVEKLSSSKVSSDLNKVSKLTDDTKDSIKADVTTQDSSMSSASSQAEDVEASVILKHRDGNSSSLDMEEHQRVSIDNGYMDLIRLARMNKDGTKDRTLDVNMEPLIPNFRADSTEDKPTVKEFRLFINDDVETQSRLTDRFGDQSHAGWYDSCSSDWEHVLKEELAGQGY >Potri.012G007000.4.v4.1 pep chromosome:Pop_tri_v4:12:283317:288989:-1 gene:Potri.012G007000.v4.1 transcript:Potri.012G007000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007000.v4.1 MMGSGVPYGYNNGGGSSFSSSNLSPLAPPFTVDRSVAKPLLDLTEPTYPVSLNPSLHNWATSNSHIPNSRPDLFPLPNLEFNSIPSPNVFGYSSPTPQVTSKNHPLVLASTDAVLYGQSNPSLVEAVPYYPSSYVSPAIGSDGHLKIPHQSGYELLSNSYVGTSNGSSHDDYTQSSLGLEHATQWSGLWEGVTDWNQSKKLQLDGGFCEKENFINQGFSAFKDVSKCEETSLGIDMVGRQMHTGSASTGQLDYKAFLVEKPKSMPTTPPSLIFPPTAPQAYPQVSSSNVVNSPNNQMRHVTSYGKSSRKRDASSNDRMPMMKPSPAVVIRPPGQDRYSFKNINAGTDGDEKDFAGNNTSFAQEPNPFISSKGKVCYDSSQVNFHLKQNDDSFAEVPSKNHEELLSNKNISIDFLDKLFREKMENRVPCKNLDFFNLAMDGHEAAGSVEITSESLDHYFPAVDSPCWKGAPVSLPSAFKGSEVVNPQNKVEACNGLNLQGPQISPSTTNDAVKDCPEKQSNISMTFNNESLEHRPASSFKRPLVANVLFREGIDDAVKYGPCQRKSSYCNEAQISDVIDEPRKESILPDFKPVHTKQKSLEEGEWPSKKNSDVAGVRRKINDNPDDCSSHVPYHAIEHVLCSPPSSEHAPAQHTQSQVGESSSKMHARTLVDTMHNLSELLLFYSSNDTCELKDEDFDVLNDVINNLDIFISKNSERKNSTQESLIPRRATSQSPGKLSELYKGQLEFQHFEDEKECKIVSDERKEKLSNFVSMRGATDTVKDDNVTQAIKKVLAQNFPIKEESESQILLYKNLWLEAEASLCVVNCMDRFNRLKIEIEKGSSQKVNEFSSAAPVVPENSMIMENLLGPKVSSDILPAEDEGSPVHNVPDSSILSRNSHSDDVMARFHIIKSRVDDSNSLNTSAMDLSSPKVSPDLNKVDKFAHDTKDSSKSHISFQDSIRGASSHADNVMDRFHILKCRVENSSSVNTATGGILASSMVSPDQNQVDKLAHDTKDSIMSYTIQDSPMSGRSSHADDVMTRFCILNGRDDNSNSVTISAVEKLSSSKVSSDLNKVSKLTDDTKDSIKADVTTQDSSMSSASSQAEDVEASVILKHRDGNSSSLDMEEHQRVSIDNGYMDLIRLARMNKDGTKDRTLDVNMEPLIPNFRADSTEDKPTVKEFRLFINDDVETQSRLTDRFGDQSHAGWYDSCSSDWEHVLKEELAGQGY >Potri.012G007000.5.v4.1 pep chromosome:Pop_tri_v4:12:283318:289090:-1 gene:Potri.012G007000.v4.1 transcript:Potri.012G007000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007000.v4.1 MMGSGVPYGYNNGGGSSFSSSNLSPLAPPFTVDRSVAKPLLDLTEPTYPVSLNPSLHNWATSNSHIPNSRPDLFPLPNLEFNSIPSPNVFGYSSPTPQVTSKNHPLVLASTDAVLYGQSNPSLVEAVPYYPSSYVSPAIGSDGHLKIPHQSGYELLSNSYVGTSNGSSHDDYTQSSLGLEHATQWSGLWEGVTDWNQSKKLQLDGGFCEKENFINQGFSAFKDVSKCEETSLGIDMVGRQMHTGSASTGQLDYKAFLVEKPKSMPTTPPSLIFPPTAPQAYPQVSSSNVVNSPNNQMRHVTSYGKSSRKRDASSNDRMPMMKPSPAVVIRPPGQDRYSFKNINAGTDGDEKDFAGNNTSFAQEPNPFISSKGKVCYDSSQVNFHLKQNDDSFAEVPSKNHEELLSNKNISIDFLDKLFREKMENRVPCKNLDFFNLAMDGHEAAGSVEITSESLDHYFPAVDSPCWKGAPVSLPSAFKGSEVVNPQNKVEACNGLNLQGPQISPSTTNDAVKDCPEKQSNISMTFNNESLEHRPASSFKRPLVANVLFREGIDDAVKYGPCQRKSSYCNEAQISDVIDEPRKESVRRKINDNPDDCSSHVPYHAIEHVLCSPPSSEHAPAQHTQSQVGESSSKMHARTLVDTMHNLSELLLFYSSNDTCELKDEDFDVLNDVINNLDIFISKNSERKNSTQESLIPRRATSQSPGKLSELYKGQLEFQHFEDEKECKIVSDERKEKLSNFVSMRGATDTVKDDNVTQAIKKVLAQNFPIKEESESQILLYKNLWLEAEASLCVVNCMDRFNRLKIEIEKGSSQKVNAPVVPENSMIMENLLGPKVSSDILPAEDEGSPVHNVPDSSILSRNSHSDDVMARFHIIKSRVDDSNSLNTSAMDLSSPKVSPDLNKVDKFAHDTKDSSKSHISFQDSIRGASSHADNVMDRFHILKCRVENSSSVNTATGGILASSMVSPDQNQVDKLAHDTKDSIMSYTIQDSPMSGRSSHADDVMTRFCILNGRDDNSNSVTISAVEKLSSSKVSSDLNKVSKLTDDTKDSIKADVTTQDSSMSSASSQAEDVEASVILKHRDGNSSSLDMEEHQRVSIDNGYMDLIRLARMNKDGTKDRTLDVNMEPLIPNFRADSTEDKPTVKEFRLFINDDVETQSRLTDRFGDQSHAGWYDSCSSDWEHVLKEELAGQGY >Potri.001G108200.1.v4.1 pep chromosome:Pop_tri_v4:1:8695974:8697090:1 gene:Potri.001G108200.v4.1 transcript:Potri.001G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108200.v4.1 MESIKLFRGYGKVNPHLEDQSPHQQHSASKRRILIFSVSSILLLTLIIGIALATLIHESNSEPDESPYLSSSNPAESIKTVCDVTLYPSSCFTSISSLNISTKPDPEVIFKLSLQVSIAELKNLSSLLSSFNDVNSQAALKDCVSQFDDSLSKLNDSLSAMEVGPGEKMLNLEKVNDIRTWISAAMTDQDTCMDGLEEMGSKFLDEIKAKIERSKEFLSISLAIIAKMQALLEKFDLKMH >Potri.007G129500.2.v4.1 pep chromosome:Pop_tri_v4:7:14397437:14401424:-1 gene:Potri.007G129500.v4.1 transcript:Potri.007G129500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129500.v4.1 MDFIVDGDANGDVPGNAVVDIARAGDENEIFENSAEEEFEQYEDRKMDKETLDIDTITDGAPAMSMITADEPYLGQEFDSEAAAHAFYNAYATRIGFVIRVSKLSRSRRDGSAIGRALVCNKEGFRMPDKREKIVRQRAETRVGCRAMILVRKISSGKWVVTKFVKEHTHTLTPGKGRRDCIYEQYPNEHDKIRELSQQLAIEKKRSATYKRHLELIFEHIEEHNESLSKKIQHTVDSVRDMENKEQQSQT >Potri.018G149200.2.v4.1 pep chromosome:Pop_tri_v4:18:16029834:16031999:1 gene:Potri.018G149200.v4.1 transcript:Potri.018G149200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149200.v4.1 MADEANRTAFIELQDRMIETTSKFKQVQNQIRTKEGEKKRAFLTLEELRQVLDDTNTYKSIGRTFALEPKSVLMSEQEQKLKDRETAISSLQTSREYLEKQMSEVENNLRELLQQDTGLARQILSMSVM >Potri.005G024933.1.v4.1 pep chromosome:Pop_tri_v4:5:1572698:1574604:-1 gene:Potri.005G024933.v4.1 transcript:Potri.005G024933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024933.v4.1 MATSNVVCALVLSSLFHFLLVPVSSREVNVLKKCGFKAIYQLGDSIADTGNLIRENPLSPYASFPYGLKLSKPTGRCSNGLLMIDYIARSAKLPCPGAYLNSARKFSGGRGGVNFAVAGSTALPAEVLSSKNIMNIVTNESLSTQLEWMFSYFNTTCSKDCAKEIKSSLFMVGEIGGNDYNYAFMFNKTTEEISALVPEVVRAIKDAVARAIGRGARRVVVPGNFPIGCFPVYLSQFHPNDAAAYDEFHCLKGLNSLASYHNELLKQTVEGLKTNYPDVIIVYGDYYKAFMSIYQNAQSLGFDTKSMQKACCGTGGDHNFSLMRMCGAPDVPVCPKPDQYISWDGVHLTQKAYQHMAEWLINDIFPKLQCSA >Potri.001G134500.2.v4.1 pep chromosome:Pop_tri_v4:1:10962792:10965965:-1 gene:Potri.001G134500.v4.1 transcript:Potri.001G134500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134500.v4.1 MAESAVTFLLDKLAPLFENELQLLRGGREEIVYVRGELERIRAFLRVADTLEESDEEVKVWVKQIRDVAHETEDILDEFTILLAHDHASGLYGLIHKMSCCIKNMKARYRIASQIKAMNSRIRNISDGHRRLRQKFFVAEHGSSSASTGWQDRREDALLLDMTDLVGIEERKSKLVGWLVDGRSGREVVSLAGMGGLGKTTLAKQVYDDAEVKKHFSVHAWITVSRSYKMEELLKDILQQLFAADRKPVPKNLESQNSSQLKSIIKEVLQKRRYLIVLDDVWHVNEWDAVKYALPTNNCGSRVMLTTRNADLAFTSRIESEGKVYNLEPLLPEESWTLFCRKTFRGNSCPHHLEDICKNILRKCEGLPLAIVAISGVLAAKDKRRIDEWEMVRRSLGAEIEDNNKLLNLKKVLSLSFNDLPYYLKSCFLYVSIFPEDHLIEHTKLIRLWVAEGFVEAKYGKELEDVAEDYFNELLNRSLLQVAETASDGRVKTCRPHDLLREIIISKSRDQNFAVIAKDQNAMWPDKIRRLSIHYTVRNVQLNRCVSQLRSLFMFGVVEKSPLRTLFPNGFRLLHVLDLQGAPIKMFPVQVINLYYLRYLSLKETKVSIVPSYIGKLQHLETLDLKHTYVTELPDEILKLQRLRHLLVYRYKFESYAHFHSKNGFKALEKIGQLQSLQKLCFVEANHGNGNIMIELGKLTKLRRLGVVKLRREDGKSLCSSIENLRNLRALSLLSVEEDEILDLEHLFSPPPLLQRLYLTGRLETLPHWIPNLESLVRVHLKWSRLKGDPLESLQVLPNLVHLELLQVYEGDTLCFKVGGFKKLKLLGIDKFDELRCVEVEVGALPRVEKLSIQRCKLLEKAPLGIEHLTKLKVLEFFDMPRELIKTLLSHEQGGDYWRVAHIPEVYSTYWRDGGWEVYSLESFNDSSRPSPVIRSQELHTRWK >Potri.007G102600.2.v4.1 pep chromosome:Pop_tri_v4:7:12633066:12634774:1 gene:Potri.007G102600.v4.1 transcript:Potri.007G102600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102600.v4.1 MASQQKEDLPSTSPRAAGFGEMVVDRYQKIREHAETYPYVWASYIVVYGGLGLWATYRWRKLRKTEDRVRVLQERLRKLVETEEGASSTKSVEKAPSSTEKTPR >Potri.008G044700.2.v4.1 pep chromosome:Pop_tri_v4:8:2534006:2537242:-1 gene:Potri.008G044700.v4.1 transcript:Potri.008G044700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044700.v4.1 MTMSNGVGQFGDTTLTKVFVGGLAWETPKEALREHFEKYGEILEAVIISDKITGRSKGYGFVTFKEAGAANKACEDAAPIINGRRANCNLASLGARRPRSSTPAPPQQGPNIIAGPRSTPAPPANHVQWYYPAGSAAASPFHHQHHQAVPFYGYSPAYIATDISYNHKLSYTGGSYMNGHFSQVYPGQAMVGANTLMPMYPLYHFHQSQAVGLPAAHIFPPNTAGPMTTVPTIMSKPPSIAPPNAVCLAVE >Potri.008G044700.1.v4.1 pep chromosome:Pop_tri_v4:8:2533713:2537242:-1 gene:Potri.008G044700.v4.1 transcript:Potri.008G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044700.v4.1 MTMSNGVGQFGDTTLTKVFVGGLAWETPKEALREHFEKYGEILEAVIISDKITGRSKGYGFVTFKEAGAANKACEDAAPIINGRRANCNLASLGARRPRSSTPAPPQQGPNIIAGPRSTPAPPANHVQWYYPAGSAAASPFHHQHHQAVPFYGYSPAYIATDISYNHKLSYTGGSYMNGHFSQVYPGQAMVGANTLMPMYPLYHFHQSQAVGLPAAHIFPPNTAGPMTTVPTIMSKPPSIAPPNAGTAGTGESLKRVG >Potri.007G081350.2.v4.1 pep chromosome:Pop_tri_v4:7:10580860:10581376:1 gene:Potri.007G081350.v4.1 transcript:Potri.007G081350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081350.v4.1 IVYHYYSFLITATKLRPYSVISLHSKNQDSSQDPPLQATSSSSQELKKEKQGTIRESKRRKSCPTALDRIEEFTPPNFSFTFDTQFSTHSQEFTPKFGSFNLVPSTKERLDDIVLCFHQSSENKKGHQEDKQQVGVSKERVVGVSTLRRSIDGIREKK >Potri.007G081350.3.v4.1 pep chromosome:Pop_tri_v4:7:10580762:10581336:1 gene:Potri.007G081350.v4.1 transcript:Potri.007G081350.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081350.v4.1 MVYHYYSFLITATKLRPYSVISLHSKNQDSSQDPPLQATSSSSQELKKEKQGTIRESKRRKSCPTALDRIEEFTPPNFSFTFDTQFSTHSQEFTPKFGSFNLVPSTKERLDDIVLCFHQSSENKKGHQEDKQQVGVSKERVVGVSTLRRSIDGIREKK >Potri.010G225100.1.v4.1 pep chromosome:Pop_tri_v4:10:20897726:20901640:1 gene:Potri.010G225100.v4.1 transcript:Potri.010G225100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225100.v4.1 MASCSLIPPASSLVSSSLCKLFTSRNSMATVGLLSFAPKTSSFVLLSIKQRAYHESSFRVRSVAAPVEDVAGFDDMVAGTQRKYYMLGGKGGVGKTSCAASLAVKFANSGHPTLVVSTDPAHSLSDSFAQDLTGGTLVPVEGPECPLFALEINPDKAREEFRSATQKSGGTGVKDFMEGMGLGMLVEQLGELKLGELLDTPPPGLDEAMAIAKVMQFLESQEYSMFTRIVFDTAPTGHTLRLLSLPDFLDASIGKILKLRQKITSATSAIKSVFGQEQTTQQDAADKLEQLRERMIKVRELFRDTDSTEFVIVTIPAVMAINESSRLRASLKKENVPVKRLVVNQILPPSATDCKFCAMKRKDQLRALDMIQNDPELSNLTLIQGPLVDVEIRGVPALKFLGDIIWK >Potri.005G194900.1.v4.1 pep chromosome:Pop_tri_v4:5:20192438:20198171:1 gene:Potri.005G194900.v4.1 transcript:Potri.005G194900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194900.v4.1 MYVIKRDGRQEPVRFDKITARLKKLSYGLSNDHCDPVLVAQKVCAGVYRGVTTTQLDELAAETAASMTANHPDYALLAARISVSRLHKNTKKSFSETIELMYNNLNKGSGQKAPLIADDVYEIIMKNAERLDSEILYDRDFEYDYFGFKTLERSYLLKVDGKIVERPQHLLMRVAVGIHKDDIESATKTYHLMSQSWFTHATPTLFNAGTAQPQLSSCFLLCMKDDSIEGIYDTLKECAVISKLAGGIGIAVHNIHATGSYIRGTNGTSNGIVPMLRVFNNTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEEHRARDLFLALWIPDLFMERVRGDDKWSLFCPSEAPGLADCWGQEFEKLYTQYEREDRAKKIISARKLWFEILNSQIETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEVIEFTSPTETAVCNLASVALPRFVMERGVPAESQSSKMVGSRESKNRYFDFEKLAEVTTTITANLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSSEAKRLNKDIFETIYYHALRSSSELAAKDGPYETYCGSPVSKGILQMDMWGVTPSDRWDWDALREMISKSGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVMNKHLLNDLTEMGLWSPVLKNKIINESGSVSKIPEIPEQLKAIYKTVWEIKQKTLVDMAADRGCYIDQSQSLNIHMEQPDLGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTVLNNENANKAEDDVNSDMAQAACSLQNREECMACGS >Potri.005G194900.2.v4.1 pep chromosome:Pop_tri_v4:5:20192451:20198158:1 gene:Potri.005G194900.v4.1 transcript:Potri.005G194900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194900.v4.1 MTANHPDYALLAARISVSRLHKNTKKSFSETIELMYNNLNKGSGQKAPLIADDVYEIIMKNAERLDSEILYDRDFEYDYFGFKTLERSYLLKVDGKIVERPQHLLMRVAVGIHKDDIESATKTYHLMSQSWFTHATPTLFNAGTAQPQLSSCFLLCMKDDSIEGIYDTLKECAVISKLAGGIGIAVHNIHATGSYIRGTNGTSNGIVPMLRVFNNTARYVDQGGGKRKGAFAIYLEPWHADIFEFLDLRKNHGKEEHRARDLFLALWIPDLFMERVRGDDKWSLFCPSEAPGLADCWGQEFEKLYTQYEREDRAKKIISARKLWFEILNSQIETGTPYMLFKDSCNRKSNQQNLGTIKSSNLCTEVIEFTSPTETAVCNLASVALPRFVMERGVPAESQSSKMVGSRESKNRYFDFEKLAEVTTTITANLNKIIDVNYYPVETARRSNLRHRPIGIGVQGLADTFILLGMPFDSSEAKRLNKDIFETIYYHALRSSSELAAKDGPYETYCGSPVSKGILQMDMWGVTPSDRWDWDALREMISKSGVRNSLLIAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVMNKHLLNDLTEMGLWSPVLKNKIINESGSVSKIPEIPEQLKAIYKTVWEIKQKTLVDMAADRGCYIDQSQSLNIHMEQPDLGKLTSLHFHAWSKGLKTGMYYLRTRAAADAIKFTVDTTVLNNENANKAEDDVNSDMAQAACSLQNREECMACGS >Potri.005G224500.1.v4.1 pep chromosome:Pop_tri_v4:5:22543146:22548062:-1 gene:Potri.005G224500.v4.1 transcript:Potri.005G224500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224500.v4.1 MASRKLVRDLVLSRQPLFLHFISKQVPSSRLQVVSNYGCPYSGYRRFSVFNEFSKKVKGEAQINLEFKQSVKELKEKAEELKGVKEELKVRTKQTTEKLYKHVDCVWTEAEATAKKVSANVKEKVSAATEEVKETFGIGKEESSESAGTSAKDGVGAEECRKAFPGEEADKQTGTSDTAETLFGKFKSSIPSSKVSSAFQKLKEARVSEMMKKGYDVVKDELYGNTNTRKHLEYTPPPSFSGEISTKTDIAVLPSKQSRWSKKWEAIREKMQGHPLFKHFAGLSEPVVTKGHEIAEDMRDRWETSDSPIVHKIQDVSDSIFQESDAAASIKEIRRRDLSFSLMDFVAEVQEAIRPVLNAYMKGDIETLKKYCTSEVINRREAEHKAFEAHGIFFDNKILHISDVEVREIKMMGTSPLIIVAFQTQQVHCIRDQNGAITEGGQDTIHTVYYAWAMRQVDPEELGGGAIYPIWKLMEMQQLGVQSLI >Potri.010G022400.1.v4.1 pep chromosome:Pop_tri_v4:10:3279258:3285285:1 gene:Potri.010G022400.v4.1 transcript:Potri.010G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022400.v4.1 MASISTITTSSFLSLQAISRPKSPKSNPIPSKASPRNPNSNRNRKLKPQKAKFKSPPQTITSTAGEATSYTCLPPLEDFTVPSLNLGSRPPEEIKLSDANVVKQDSDSESQRFGTEDGMDGDLRVDYGRFEVNTHFEEEEEEDADYEYESEEEEEEEEETVNGKSVNSYNSDGFYEGEELGEFADGENVSLSDFEGEEEGVKEKGVPAVMRCFDRAKIYVKAGDGGNGVVAFRREKFVPLGGPSGGDGGRGGNVYLEVDGSINSLLPFRNRVHYRAGRGSHGQGSCMGGAKGEEIVVKVPPGTVVREAGNEEVLLELLSPGQRALVLPGGRGGRGNAAFKCGSNKVPRIAENGEEGSEMWLELELKLVADVGIVGAPNAGKSTLLSVISAAQPAIANYPFTTLLPNLGVVSFDYDSTMVVADLPGLLEGAHRGFGLGHEFLRHTERCSALVHVVDGSSQQPEFEFDAVRLELEMFSPELAEKPYVVAYNKMDLPEAYENWQLFKEKLEARGIETFCMSAVKREGTHEVICAAHKLLQESKEANKGSEGWTHPINLNHVADMVQRQRRAPINDFEISYDNASKTWQVVGAGLQRFVQMTNWRYKDSDTRFQHVLEACGVNKSLLKMGVKEGDTVIVGEMEMVWHDYPQSSGLSKVTKWPEWK >Potri.009G170640.4.v4.1 pep chromosome:Pop_tri_v4:9:12959546:12970207:-1 gene:Potri.009G170640.v4.1 transcript:Potri.009G170640.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170640.v4.1 MEKPKTNSSTVDQPRRSIEVYDPHNINSVTSDGSGSIEVHAVEEGSGSISPTPTIKSTSGSSREPVNKWMAFETKSKITSDHDDDAISDEFNVCGSSNRVKAGKDDDHGTSSNHNNSSSNRQPSSSSSSNKILTEASIAARSAEWGLVVRSDVGEGTFKSTAIRSEQLEDDGGDTSKKNSFLVDSTRTSEEDGAGGVFPRVSQELKDALATLQQTFVVSDATKPDCPIMYASGGFFTMTGYSSKEIIGRNCRFLQGADTDRNEVAKIRDAVKNGTSYCGRLLNYKKNGTPFWNLLTVTPIKDDRGNAIKFIGMQVEVSKYTEGVNDKAFRPNGLPKSLIRYDARQKAKALDSITEVVQTLKHPKSPSRTASHDTSDHLDCLLPKSVDFDSFTLPSRLTPSNVSQSPTAKNSRKSPRIPLMGLKSQSIISAVKHEEPPAIEPEILMTKDIELSDGWDRAEWERDIRQGFHLATTLEHIENNFVITDPRLPDNPITFASDGFLELTEYTREEILGRNCRFLQGPETDQSTVSKIRDAIREQREITVQLINYTKSGKKFWNLFHLQPMRDQKGELQYFIGVQLDGSDHVEPLRNRLSEATEQQSAKLVKATAENVDEAVRELPDANLRTEDLWAIHSQTVFPRPHKKDSPSWTAIQKITSRGEEIGLHHFKPIKPLGCGDTGSVHLVELQGAGELYAMKAIEKSMMLNPNKVHRACIEREIISHLDHPFLPTLYTSFQHLHC >Potri.006G270401.1.v4.1 pep chromosome:Pop_tri_v4:6:27496636:27502031:1 gene:Potri.006G270401.v4.1 transcript:Potri.006G270401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270401.v4.1 MEGGGGDGGSSTTSCMMAFGDNSNGLCPMMMMTPLMSSSSSAHHQHPHHHHRANEGDSSISNTLFLPLPPTNNQGHNRIHSNASGSSSMIIDDHNRNHNNNTVTATGCYFMDNNDGSSSSVKAKIMAHPHYHRLLAAYANCQKVGAPPEVVARLEEACASAASIAPANTGCIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMLFLQRVECQFKALTLSSPISGCGDGNDRNVSSEEEVDVNNNFIDPQAEDQELKGQLLRRYSGYLGSLKQEFMKKRKKGKLPKEARQQLLDWWSRHYKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAGHPHYYMDNVLGNPFPMDISPTLL >Potri.015G002001.1.v4.1 pep chromosome:Pop_tri_v4:15:99603:100719:1 gene:Potri.015G002001.v4.1 transcript:Potri.015G002001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002001.v4.1 MINIDRLNDLPDHVIHKVLSFLYLKQAIQTCVLSKRWRFPWTSLPCLKFDSYHFVTKVPSHRDNSFTSKSLKTLELSSVAFYRMPESLALGLANLTSLNLNHCLISYETDLFDDPFAACSNLRSLCLRHCSFYRLKVLRISGLQLANLDTQGWFHSNSCCNRIEIFTPKLTSFRYSHSRPVDFSRLDLPSPLLILLIFIGLHNARFVRISSETIEVLNLVPGLQARQTCPFKRLKSLKVHGEDNSFVIPDHVQIYLLGDELPEETLHMEGSFLAEPGDHTDHLSTSLLADLTRL >Potri.002G025350.1.v4.1 pep chromosome:Pop_tri_v4:2:1665481:1667508:-1 gene:Potri.002G025350.v4.1 transcript:Potri.002G025350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025350.v4.1 MALLIFVILFLSIIFLFLLKKNKISKRACFPPGPNGLPLIGNLHQLDTSNLPTQLWKLSQKYGPLMSLKLGFKRTLVVSSAKMAEEVLKTHDLEFCSRPLLTGQQKFSYNGLDLAFSPYGAYWREMKKICVVHLLNSTRVQSFRTNREDEVSHMIGKISKAALASKPFNLTEGMLSLTSTAICRTAFGKRYEDGGIEGSRFLALLNETEALFTMFFLSDYFPYMGWVDRLTGLAHRLEKNFREFDVFYQQIIDEHLDPERPKPDHEDILDVLLQIYKDRTFKVQLTLDHIKAILMNIFVGGTDTAAATVIWAMSLLMKNPEAMRKAQEEVRKVIADKGFVYEDDVQQLPYLKAVVKETMRLQPTAPLLVPRETTTECNIGGYEIPAKTLVYVNAWAIGRDTEVWENPYVFIPDRFLGSSIDLKGQDFELIPFGAGRRICPGIYMGIATVELSLSNLLYKFDWEMPGGMKREDIDVVHTQPGLAMHTRDALCLVPKAYAVMGNAARVKELWTPS >Potri.001G271700.1.v4.1 pep chromosome:Pop_tri_v4:1:28633756:28640551:1 gene:Potri.001G271700.v4.1 transcript:Potri.001G271700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271700.v4.1 MANYAPGNGDFPAIPTNGGQFIQHNIFGNLFEITSKYRPPITPIGRGAYGIVCSVLNSETNEKVAIKKIANAFDNYMDAKRTLREIKLLRHLDHENVIGIRDVIPPPLRREFTDVYIATELMDTDLHHIVRSNQGLSEEHCQYFLYQTLRGLKYIHSANVIHRDLKPSNLLVNANCDLKICDFGLARPTSENEFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCVFMELMNRKPLFPGKDNVHQMRLLTELLGTPTESDLGFVRNEDARRYIRQLDSHPHRSLAELFPLFQPLAIDLVDKMLTFDPTRRITVEEALAHPYLARLHDIADEPVCPEPFSFEFEQQPLTEEQMKDMVYEEAIAFNPEYA >Potri.019G017850.1.v4.1 pep chromosome:Pop_tri_v4:19:2956519:2957651:-1 gene:Potri.019G017850.v4.1 transcript:Potri.019G017850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017850.v4.1 MEIYSYLLAFIIFHGMLVSQTSCMVNVSLQRRRPLPPPPPPSPPPPNQSIHPKPKPPTIKPYFPKSPPPPPRTPAPPKVNDGHHPSLPPPPPHTYGSPRYPPPPQRS >Potri.012G086300.2.v4.1 pep chromosome:Pop_tri_v4:12:11167835:11169067:-1 gene:Potri.012G086300.v4.1 transcript:Potri.012G086300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086300.v4.1 MAKSELCCIIKLPLSMKNRSEHEDDDKHELEILKGVAQSWHAHSGSSRSTSCEYDKYRQNFQSKPSRFKLEAMNKSSAKRVERGNWDFKQSLWDSYEIVNVYKRLERGLVLDDSFSGVDAQRRVHRKKRESSFPTCLVGKPSKFLSCAFSEKYFHRNLMLQVPALS >Potri.002G104100.10.v4.1 pep chromosome:Pop_tri_v4:2:7623130:7633217:1 gene:Potri.002G104100.v4.1 transcript:Potri.002G104100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104100.v4.1 MFREGPDSRWAREQKEATAFAHSSLRPRIDPIRNGRGFGLPPASKFRSGHLPSSAIPLPRTLPPDADDSRSVSDNDMVTESDEDDVYGGRYSLDSSPQDEKVPNSTTNQRRYGNAARRTSRYASDYGYSDVSSSMETVAGRGGNFSESLVRGNARYASVGRNGYTEDEEEGSDSAGSSEFSASQVGSVSSALPRSKLHVSEGYASSVPSQANVETVAAKDLHSRNLKNNKFSHDDDIPSAPPFCGGQEIKEGAQKAFGIHEAAGPENSHGLYTNNDPNKIKNATGVELKDNSGDQNPDKFVRATAGAEAGTSGSNPARVPTFHASALGPWHAVIAYDGCVRLCLHAWARGCMEAPMFLENECALLREAFSVHHVLLQSEEELLAKRSSELVCEGAAPKPKKIIGKMKVQVRKVKTSLDPPSGCSISALSAPKLKLDVVQYRLSKFQSSLSSAWKTFRKIRVAPRVPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCSLRLKSSAEEDAIKLQPGSGETHVFFPDSLGDDLIVEVLDSKGKYYGRVLAQVASIAEDSVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLEVAMKVQHFQQRNLLLYGSWKWLLAEFATYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLKPVIMKGHNKSMLSHQENRILGEIKDQIEQVLSVGFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVNNNNEATLMDSVAMSTAYQKMSSLCMNIKNEIQTDIEIHNQHILPSFIDLPILSSSIYSTELCSRLRAFLLACPPSGPSPPVAELVIATADFQRDLASWNISPVKGGVDAKELFHLYIMIWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYDRLRDTLEQYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYTDVLAPLKENLEPSKFGLKYVKKLTKRSVCSYIVPDELGILLNSMKRMLDVLRPKIETQFKAWGSCMPNGGHTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNPTKLKKILQESKESMVESDIQSRMQPLKDQLTNTITHLQSVFETHVFVAICRGYWDRMGQDVLSFLENRKENRSWYKGSRIAVSVLDDTFASHMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKDSTYYY >Potri.002G104100.11.v4.1 pep chromosome:Pop_tri_v4:2:7623167:7633265:1 gene:Potri.002G104100.v4.1 transcript:Potri.002G104100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104100.v4.1 MFREGPDSRWAREKEATAFAHSSLRPRIDPIRNGRGFGLPPASKFRSGHLPSSAIPLPRTLPPDADDSRSVSDNDMVTESDEDDVYGGRYSLDSSPQDEKVPNSTTNQRRYGNAARRTSRYASDYGYSDVSSSMETVAGRGGNFSESLVRGNARYASVGRNGYTEDEEEGSDSAGSSEFSASQVGSVSSALPRSKLHVSEGYASSVPSQANVETVAAKDLHSRNLKNNKFSHDDDIPSAPPFCGGQEIKEGAQKAFGIHEAAGPENSHGLYTNNDPNKIKNATGVELKDNSGDQNPDKFVRATAGAEAGTSGSNPARVPTFHASALGPWHAVIAYDGCVRLCLHAWARGCMEAPMFLENECALLREAFSVHHVLLQSEEELLAKRSSELVCEGAAPKPKKIIGKMKVQVRKVKTSLDPPSGCSISALSAPKLKLDVVQYRLSKFQSSLSSAWKTFRKIRVAPRVPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCSLRLKSSAEEDAIKLQPGSGETHVFFPDSLGDDLIVEVLDSKGKYYGRVLAQVASIAEDSVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLEVAMKVQHFQQRNLLLYGSWKWLLAEFATYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLKPVIMKGHNKSMLSHQENRILGEIKDQIEQVLSVGFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVNNNNEATLMDSVAMSTAYQKMSSLCMNIKNEIQTDIEIHNQHILPSFIDLPILSSSIYSTELCSRLRAFLLACPPSGPSPPVAELVIATADFQRDLASWNISPVKGGVDAKELFHLYIMIWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYDRLRDTLEQYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYTDVLAPLKENLEPSKFGLKYVKKLTKRSVCSYIVPDELGILLNSMKRMLDVLRPKIETQFKAWGSCMPNGGHTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNPTKLKKILQESKESMVESDIQSRMQPLKDQLTNTITHLQSVFETHVFVAICRGYWDRMGQDVLSFLENRKENRSWYKGSRIAVSVLDDTFASHMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKDSTYYY >Potri.002G104100.12.v4.1 pep chromosome:Pop_tri_v4:2:7624065:7633232:1 gene:Potri.002G104100.v4.1 transcript:Potri.002G104100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104100.v4.1 MNCVWAILHLLPAHFYRISFLHGFCTFMFLQTLVAELVGLQDLHSRNLKNNKFSHDDDIPSAPPFCGGQEIKEGAQKAFGIHEAAGPENSHGLYTNNDPNKIKNATGVELKDNSGDQNPDKFVRATAGAEAGTSGSNPARVPTFHASALGPWHAVIAYDGCVRLCLHAWARGCMEAPMFLENECALLREAFSVHHVLLQSEEELLAKRSSELVCEGAAPKPKKIIGKMKVQVRKVKTSLDPPSGCSISALSAPKLKLDVVQYRLSKFQSSLSSAWKTFRKIRVAPRVPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCSLRLKSSAEEDAIKLQPGSGETHVFFPDSLGDDLIVEVLDSKGKYYGRVLAQVASIAEDSVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLEVAMKVQHFQQRNLLLYGSWKWLLAEFATYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLKPVIMKGHNKSMLSHQENRILGEIKDQIEQVLSVGFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVNNNNEATLMDSVAMSTAYQKMSSLCMNIKNEIQTDIEIHNQHILPSFIDLPILSSSIYSTELCSRLRAFLLACPPSGPSPPVAELVIATADFQRDLASWNISPVKGGVDAKELFHLYIMIWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYDRLRDTLEQYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYTDVLAPLKENLEPSKFGLKYVKKLTKRSVCSYIVPDELGILLNSMKRMLDVLRPKIETQFKAWGSCMPNGGHTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNPTKLKKILQESKESMVESDIQSRMQPLKDQLTNTITHLQSVFETHVFVAICRGYWDRMGQDVLSFLENRKENRSWYKGSRIAVSVLDDTFASHMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKDSTYYY >Potri.002G104100.6.v4.1 pep chromosome:Pop_tri_v4:2:7623076:7633237:1 gene:Potri.002G104100.v4.1 transcript:Potri.002G104100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104100.v4.1 MFREGPDSRWAREKEATAFAHSSLRPRIDPIRNGRGFGLPPASKFRSGHLPSSAIPLPRTLPPDADDSRSVSDNDMVTESDEDDVYGGRYSLDSSPQDEKVPNSTTNQRRYGNAARRTSRYASDYGYSDVSSSMETVAGRGGNFSESLVRGNARYASVGRNGYTEDEEEGSDSAGSSEFSASQVGSVSSALPRSKLHVSEGYASSVPSQANVETVAAKDLHSRNLKNNKFSHDDDIPSAPPFCGGQEIKEGAQKAFGIHEAAGPENSHGLYTNNDPNKIKNATGVELKDNSGDQNPDKFVRATAGAEAGTSGSNPARVPTFHASALGPWHAVIAYDGCVRLCLHAWARGCMEAPMFLENECALLREAFSVHHVLLQSEEELLAKRSSELVCEGAAPKPKKIIGKMKVQVRKVKTSLDPPSGCSISALSAPKLKLDVVQYRLSKFQSSLSSAWKTFRKIRVAPRVPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCSLRLKSSAEEDAIKLQPGSGETHVFFPDSLGDDLIVEVLDSKGKYYGRVLAQVASIAEDSVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLEVAMKVQHFQQRNLLLYGSWKWLLAEFATYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLKPVIMKGHNKSMLSHQENRILGEIKDQIEQVLSVGFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVNNNNEATLMDSVAMSTAYQKMSSLCMNIKNEIQTDIEIHNQHILPSFIDLPILSSSIYSTELCSRLRAFLLACPPSGPSPPVAELVIATADFQRDLASWNISPVKGGVDAKELFHLYIMIWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYDRLRDTLEQYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYTDVLAPLKENLEPSKFGLKYVKKLTKRSVCSYIVPDELGILLNSMKRMLDVLRPKIETQFKAWGSCMPNGGHTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNPTKLKKILQESKESMVESDIQSRMQPLKDQLTNTITHLQSVFETHVFVAICRGYWDRMGQDVLSFLENRKENRSWYKGSRIAVSVLDDTFASHMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKDSTYYY >Potri.002G104100.8.v4.1 pep chromosome:Pop_tri_v4:2:7623130:7633217:1 gene:Potri.002G104100.v4.1 transcript:Potri.002G104100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104100.v4.1 MFREGPDSRWAREKQKEATAFAHSSLRPRIDPIRNGRGFGLPPASKFRSGHLPSSAIPLPRTLPPDADDSRSVSDNDMVTESDEDDVYGGRYSLDSSPQDEKVPNSTTNQRRYGNAARRTSRYASDYGYSDVSSSMETVAGRGGNFSESLVRGNARYASVGRNGYTEDEEEGSDSAGSSEFSASQVGSVSSALPRSKLHVSEGYASSVPSQANVETVAAKDLHSRNLKNNKFSHDDDIPSAPPFCGGQEIKEGAQKAFGIHEAAGPENSHGLYTNNDPNKIKNATGVELKDNSGDQNPDKFVRATAGAEAGTSGSNPARVPTFHASALGPWHAVIAYDGCVRLCLHAWARGCMEAPMFLENECALLREAFSVHHVLLQSEEELLAKRSSELVCEGAAPKPKKIIGKMKVQVRKVKTSLDPPSGCSISALSAPKLKLDVVQYRLSKFQSSLSSAWKTFRKIRVAPRVPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCSLRLKSSAEEDAIKLQPGSGETHVFFPDSLGDDLIVEVLDSKGKYYGRVLAQVASIAEDSVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLEVAMKVQHFQQRNLLLYGSWKWLLAEFATYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLKPVIMKGHNKSMLSHQENRILGEIKDQIEQVLSVGFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVNNNNEATLMDSVAMSTAYQKMSSLCMNIKNEIQTDIEIHNQHILPSFIDLPILSSSIYSTELCSRLRAFLLACPPSGPSPPVAELVIATADFQRDLASWNISPVKGGVDAKELFHLYIMIWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYDRLRDTLEQYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYTDVLAPLKENLEPSKFGLKYVKKLTKRSVCSYIVPDELGILLNSMKRMLDVLRPKIETQFKAWGSCMPNGGHTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNPTKLKKILQESKESMVESDIQSRMQPLKDQLTNTITHLQSVFETHVFVAICRGYWDRMGQDVLSFLENRKENRSWYKGSRIAVSVLDDTFASHMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKDSTYYY >Potri.002G104100.3.v4.1 pep chromosome:Pop_tri_v4:2:7623092:7633236:1 gene:Potri.002G104100.v4.1 transcript:Potri.002G104100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104100.v4.1 MFREGPDSRWAREKEATAFAHSSLRPRIDPIRNGRGFGLPPASKFRSGHLPSSAIPLPRTLPPDADDSRSVSDNDMVTESDEDDVYGGRYSLDSSPQDEKVPNSTTNQRRYGNAARRTSRYASDYGYSDVSSSMETVAGRGGNFSESLVRGNARYASVGRNGYTEDEEEGSDSAGSSEFSASQVGSVSSALPRSKLHVSEGYASSVPSQANVETVAAKDLHSRNLKNNKFSHDDDIPSAPPFCGGQEIKEGAQKAFGIHEAAGPENSHGLYTNNDPNKIKNATGVELKDNSGDQNPDKFVRATAGAEAGTSGSNPARVPTFHASALGPWHAVIAYDGCVRLCLHAWARGCMEAPMFLENECALLREAFSVHHVLLQSEEELLAKRSSELVCEGAAPKPKKIIGKMKVQVRKVKTSLDPPSGCSISALSAPKLKLDVVQYRLSKFQSSLSSAWKTFRKIRVAPRVPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCSLRLKSSAEEDAIKLQPGSGETHVFFPDSLGDDLIVEVLDSKGKYYGRVLAQVASIAEDSVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLEVAMKVQHFQQRNLLLYGSWKWLLAEFATYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLKPVIMKGHNKSMLSHQENRILGEIKDQIEQVLSVGFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVNNNNEATLMDSVAMSTAYQKMSSLCMNIKNEIQTDIEIHNQHILPSFIDLPILSSSIYSTELCSRLRAFLLACPPSGPSPPVAELVIATADFQRDLASWNISPVKGGVDAKELFHLYIMIWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYDRLRDTLEQYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYTDVLAPLKENLEPSKFGLKYVKKLTKRSVCSYIVPDELGILLNSMKRMLDVLRPKIETQFKAWGSCMPNGGHTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNPTKLKKILQESKESMVESDIQSRMQPLKDQLTNTITHLQSVFETHVFVAICRGYWDRMGQDVLSFLENRKENRSWYKGSRIAVSVLDDTFASHMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKDSTYYY >Potri.002G104100.9.v4.1 pep chromosome:Pop_tri_v4:2:7623099:7633234:1 gene:Potri.002G104100.v4.1 transcript:Potri.002G104100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104100.v4.1 MFREGPDSRWAREQKEATAFAHSSLRPRIDPIRNGRGFGLPPASKFRSGHLPSSAIPLPRTLPPDADDSRSVSDNDMVTESDEDDVYGGRYSLDSSPQDEKVPNSTTNQRRYGNAARRTSRYASDYGYSDVSSSMETVAGRGGNFSESLVRGNARYASVGRNGYTEDEEEGSDSAGSSEFSASQVGSVSSALPRSKLHVSEGYASSVPSQANVETVAAKDLHSRNLKNNKFSHDDDIPSAPPFCGGQEIKEGAQKAFGIHEAAGPENSHGLYTNNDPNKIKNATGVELKDNSGDQNPDKFVRATAGAEAGTSGSNPARVPTFHASALGPWHAVIAYDGCVRLCLHAWARGCMEAPMFLENECALLREAFSVHHVLLQSEEELLAKRSSELVCEGAAPKPKKIIGKMKVQVRKVKTSLDPPSGCSISALSAPKLKLDVVQYRLSKFQSSLSSAWKTFRKIRVAPRVPANGSFSRQSLAYVHASTQYIKQVSGLLKIGVTSLRNSSSSYEVVQETYSCSLRLKSSAEEDAIKLQPGSGETHVFFPDSLGDDLIVEVLDSKGKYYGRVLAQVASIAEDSVDKLRWWSIYREPEHELVGKLQLYINYSTSSDDSNLKCGSVAETVAYDLVLEVAMKVQHFQQRNLLLYGSWKWLLAEFATYYGVSDVYTKLRYLSYIMDVATPTADCLTLVYDLLKPVIMKGHNKSMLSHQENRILGEIKDQIEQVLSVGFENYKSLDESSLSGIMDVFKPATGLAAPALEPAVKLYTLLHDILSPEAQTNLTHYFQAAAKKRSRRHLTETDEFVNNNNEATLMDSVAMSTAYQKMSSLCMNIKNEIQTDIEIHNQHILPSFIDLPILSSSIYSTELCSRLRAFLLACPPSGPSPPVAELVIATADFQRDLASWNISPVKGGVDAKELFHLYIMIWIQDKRLSLLESCKLDKVKWSGVRTQHSTTPFVDDMYDRLRDTLEQYEVIICRWPEYIFVLENAIADVEKAIVEALDKQYTDVLAPLKENLEPSKFGLKYVKKLTKRSVCSYIVPDELGILLNSMKRMLDVLRPKIETQFKAWGSCMPNGGHTAPGERLSEVTVMLRAKFRSYLQAVVEKLAENTKLQNPTKLKKILQESKESMVESDIQSRMQPLKDQLTNTITHLQSVFETHVFVAICRGYWDRMGQDVLSFLENRKENRSWYKGSRIAVSVLDDTFASHMQQLLGNALQEKDLEPPRSIMEVRSMLCKDAPNHKDSTYYY >Potri.001G328600.3.v4.1 pep chromosome:Pop_tri_v4:1:33775582:33787667:1 gene:Potri.001G328600.v4.1 transcript:Potri.001G328600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G328600.v4.1 MVRGKVQLQRIEDKSSRQVCFSKRKRGLLKKAKELSVLCDVEMAVIIFSSTGKLFEFCSGNSLRNILERYDTHKTKSQEIAICKNVDKTKNHHAEYMGPSYMDANPLQMVQRYFEGKNIEQLNLTQLIQLERELDSTLVYTRGRKNEAMMKSVTALHQKEQDLTDENNLIEREISAIINNGNLASQHGRVVEDPDCVHPSPLDLFHF >Potri.001G328600.2.v4.1 pep chromosome:Pop_tri_v4:1:33775588:33787799:1 gene:Potri.001G328600.v4.1 transcript:Potri.001G328600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G328600.v4.1 MVRGKVQLQRIEDKSSRQVCFSKRKRGLLKKAKELSVLCDVEMAVIIFSSTGKLFEFCSGNSLRNILERYDTHKTKSQEIAICKNVDKTKQNHHAEYMGPSYMDANPLQMVQRYFEGKNIEQLNLTQLIQLERELDSTLVYTRGRKNEAMMKSVTALHQKEQDLTDENNLIEREISAIINNGNLASQHGRVVEDPDCVHPSPLDLFHF >Potri.004G234600.1.v4.1 pep chromosome:Pop_tri_v4:4:23916150:23918148:1 gene:Potri.004G234600.v4.1 transcript:Potri.004G234600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234600.v4.1 MNPSRPMISRPIKIPPFVLKTCNLSPYSKRVSGFSPHGHGIRFSPAVTKCSRARDVHLAAAEATQSSCSSSRASPVIASKILDFESIEENIEKVIYRCRFLAILGVLGSMVGSFLCFIKGCTYVGSAFMQYLVNRSKVIILLVEAIDVYLLGTVMLVFGMGLYELFVSNLDLAKQVSTGKAPNRSSLFGLFALKERPKWLEVKTVNELKTKLGHVIVMLLLIGFFEKSKTAIILSPIDLLCFSASVFLCSGGLYLLSKLGDTK >Potri.012G124832.3.v4.1 pep chromosome:Pop_tri_v4:12:14186051:14187100:1 gene:Potri.012G124832.v4.1 transcript:Potri.012G124832.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124832.v4.1 MIRWIMKKTGKIKVVEDHNEVSAERNSLKDQKPVGEREVNGFEYQIRSKDEDIRRLEHEHELAMKEIRLLEHELAMKDTRRLEHELAMKDEEMRRLKSQLDLREKEFASLRTAIWSACTDLSNAVNTADDALKFKNKSPSKKGIEHFYTEEDLYGAAQSGKREP >Potri.007G130800.5.v4.1 pep chromosome:Pop_tri_v4:7:14462351:14466999:-1 gene:Potri.007G130800.v4.1 transcript:Potri.007G130800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130800.v4.1 MDKDKSPGNHSSGLPPPSGRYSCFSPSGSSYSVKPEQTPSTFPPKAPGSSSDPSHFGHGLDSNRFSHDISRMSDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGGGTDGTTFSDETEEDYLSMYLDMDKFSSSSATSAFQVGESSAPPVPAQPLAPLPATMDLGAGPSERPKVRHQHSLSMDGSTTIKPEMLMSGSEEASHADSKKSISAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKMQTLQTEATSLSAQLTLLQRDTNSLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQVPNYASFGGGQQLYPNNQAMHTFLAAQQFQQLQIHSQKQQQQQFQLHQLQQQQLQQQQQQGGDLQMRGSMASSSQKDNSSEDNSSSSKD >Potri.007G130800.4.v4.1 pep chromosome:Pop_tri_v4:7:14462405:14467019:-1 gene:Potri.007G130800.v4.1 transcript:Potri.007G130800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130800.v4.1 MDKDKSPGNHSSGLPPPSGRYSCFSPSGSSYSVKPEQTPSTFPPKAPGSSSDPSHFGHGLDSNRFSHDISRMSDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGGGTDGTTFSDETEEDYLSMYLDMDKFSSSSATSAFQVGESSAPPVPAQPLAPLPATMDLGAGPSERPKVRHQHSLSMDGSTTIKPEMLMSGSEEASHADSKKSISAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKMQTLQTEATSLSAQLTLLQRDTNSLTAENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQVPNYASFGGGQQLYPNNQAMHTFLAAQQFQQLQIHSQKQQQQQFQLHQLQQQQLQQQQQQGGDLQMRGSMASSSQKDNSSEDNSSSSKD >Potri.005G046700.10.v4.1 pep chromosome:Pop_tri_v4:5:2959851:2970526:1 gene:Potri.005G046700.v4.1 transcript:Potri.005G046700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046700.v4.1 MPLVVNSLVLPYFVTNSEAKLRSRVRVQSLGSGRESKILSSDSIPVKGTSIEEKEKNGDWVDGFVRKIEKSDEGLIDGGNGRLKYTRVEKKRVKDVISNDLEVLWDDGYGTKTVRDYLEGAKEIIKPDGGPPRWFCPVECGQPLKDSPILLFFPGIDGVGLGLTLHHKALGKVFEVRCLHIPVYDRTPFEGLVKFVEKIVRLEHASSPNKPIYLVGDSFGGCLALAVAARNPEIDLVLILANPATSFNRSQLQPFFPLLEALPDELHNAVPYLLSFVMGDPVKMAMVNIESKLPPGLQIEQLSNNLTAMLPSLSGLADIIPKDTLLWKLKLLKSAAAYANSRLHSVKAEVLVLSSGKDQMLPSGDESQRLKSSLKNCTVRHFKENGHTILLEDGVNLLTIIKGTSKYRRSRRLDFVSNYVPPSMSEFKRGFEEVGLLQTASSAAMFSTLDDGNIVRGLGGVPNEGPVLLVGYHMLLGLELSSLVEAFLREKNIMVRGMAHPMLFTGGLELSSKEFSITDWMKVMGAVPVTASNIYKLLSTNSHVLLYPGGVREAFHYRGEEYKLIWPKQQEFVRMAARFGATIVPFGAVGEDDIAELVLDYNDLMKIPVVNGYVRDATRKSTKIRDENQGEVANQVFYIPGLLPKVPGRFYFLFGKPIETKGKGEILEDRENANQLYLHIKSEVESCLAYLLKKREDDPYRSIIDRTVYRALRSPSNEVPAFDP >Potri.010G079200.7.v4.1 pep chromosome:Pop_tri_v4:10:10558410:10563995:1 gene:Potri.010G079200.v4.1 transcript:Potri.010G079200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079200.v4.1 MALELVPIGTILAVLTSQVLKTAQAAKDVLIEKESFKVLAKHLFDIESVLKELQLQKLDDSRAARQALETLEADVKKANNLVEKYKNRARFYLLVKCRHIVNEVQEVTRDIGRSLAALSLANTEVLAGISDQMNRLQDEMRRAEFEASHSQLQIVDKLNQGLRDQKLDQGFANDILEEIARAVGVPVEPSEISKELASFRREKEEAANRKERAEVLFLEQVIELLSHADAARDYEEITKQYFTRLQVVERFDDREEYITPLTPFLCCINGTVMTDPVSLCTGTTCERAAIEAWFDRGERTDPETGEILEDTTLRSNVRLRQSIEEWRELNYCLRIRASKAKLLASADSSVEEALNQMQDLMRENSINKDWISIGGLTDIIICILGTSHNKDEKRKILVTLKDLVKGHVRNKEKLVDYGGWDHVIPCLGRDPSISKAAVELLYELLQERSGWNVSACRKLSQQGSAILFLVTLLKGQVRESAVYAEKILNKLVEIDEENISWAAKSGWYKPLIDRIVQGTDSSRISMVRALVNMELFDSDLKLLGEEGILPSLLQMLSSGNLESKELSLSALVKLSDCAANKELIAAAGGLPLVITLMFSAHMRSMIIVKCSEILEKFSCDDDGIKFFIDENGAQLELEPIVSDLLALQQIAHSSQNVRRPALRTLLGICKFDAGLVKTAVLTAKGVSLVLPLLDDTDSEIREIAINLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKSDVQMAAAGLLANLPKSEVSVTTKLIDLDGLNALIKIIRTGTMEAKENALSALFRFTDPANPETQRIVVEQGAYPLFVNLLTTGSVMAKARAAALIGDLSRSSPKLVVVSKATGCWCFRPTRPHLCPAHGGICSVKTTFCLIEATALPVLVKLLQGEVHVIAHEAIQTLSTLVQEGSPNRGANVLHEADAIKPVLDIFTWGTDSLKEEALGLLEKVFLSREMVEHYGPSARLILVGMPGRNGHEDSRMGRRVAKVLSLLERYSRSSTSLLPGIFG >Potri.010G079200.4.v4.1 pep chromosome:Pop_tri_v4:10:10558788:10564114:1 gene:Potri.010G079200.v4.1 transcript:Potri.010G079200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079200.v4.1 MALELVPIGTILAVLTSQVLKTAQAAKDVLIEKESFKVLAKHLFDIESVLKELQLQKLDDSRAARQALETLEADVKKANNLVEKYKNRARFYLLVKCRHIVNEVQEVTRDIGRSLAALSLANTEVLAGISDQMNRLQDEMRRAEFEASHSQLQIVDKLNQGLRDQKLDQGFANDILEEIARAVGVPVEPSEISKELASFRREKEEAANRKERAEVLFLEQVIELLSHADAARDYEEITKQYFTRLQVVERFDDREEYITPLTPFLCCINGTVMTDPVSLCTGTTCERAAIEAWFDRGERTDPETGEILEDTTLRSNVRLRQSIEEWRELNYCLRIRASKAKLLASADSSVEEALNQMQDLMRENSINKDWISIGGLTDIIICILGTSHNKDEKRKILVTLKDLVKGHVRNKEKLVDYGGWDHVIPCLGRDPSISKAAVELLYELLQERSGWNVSACRKLSQQGSAILFLVTLLKGQVRESAVYAEKILNKLVEIDEENISWAAKSGWYKPLIDRIVQGTDSSRISMVRALVNMELFDSDLKLLGEEGILPSLLQMLSSGNLESKELSLSALVKLSDCAANKELIAAAGGLPLVITLMFSAHMRSMIIVKCSEILEKFSCDDDGIKFFIDENGAQLELEPIVSDLLALQQIAHSSQNVRRPALRTLLGICKFDAGLVKTAVLTAKGVSLVLPLLDDTDSEIREIAINLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKSDVQMAAAGLLANLPKSEVSVTTKLIDLDGLNALIKIIRTGTMEAKENALSALFRFTDPANPETQRIVVEQGAYPLFVNLLTTGSVMAKARAAALIGDLSRSSPKLVVVSKATGCWCFRPTRPHLCPAHGGICSVKTTFCLIEATALPVLVKLLQGEVHVIAHEAIQTLSTLVQEGSPNRGANVLHEADAIKPVLDIFTWGTDSLKEEALGLLEKVFLSREMVEHYGPSARLILVGMPGRNGHEDSRMGRRVAKVLSLLERYSRSSTSLLPGIFG >Potri.010G079200.3.v4.1 pep chromosome:Pop_tri_v4:10:10558481:10564074:1 gene:Potri.010G079200.v4.1 transcript:Potri.010G079200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079200.v4.1 MALELVPIGTILAVLTSQVLKTAQAAKDVLIEKESFKVLAKHLFDIESVLKELQLQKLDDSRAARQALETLEADVKKANNLVEKYKNRARFYLLVKCRHIVNEVQEVTRDIGRSLAALSLANTEVLAGISDQMNRLQDEMRRAEFEASHSQLQIVDKLNQGLRDQKLDQGFANDILEEIARAVGVPVEPSEISKELASFRREKEEAANRKERAEVLFLEQVIELLSHADAARDYEEITKQYFTRLQVVERFDDREEYITPLTPFLCCINGTVMTDPVSLCTGTTCERAAIEAWFDRGERTDPETGEILEDTTLRSNVRLRQSIEEWRELNYCLRIRASKAKLLASADSSVEEALNQMQDLMRENSINKDWISIGGLTDIIICILGTSHNKDEKRKILVTLKDLVKGHVRNKEKLVDYGGWDHVIPCLGRDPSISKAAVELLYELLQERSGWNVSACRKLSQQGSAILFLVTLLKGQVRESAVYAEKILNKLVEIDEENISWAAKSGWYKPLIDRIVQGTDSSRISMVRALVNMELFDSDLKLLGEEGILPSLLQMLSSGNLESKELSLSALVKLSDCAANKELIAAAGGLPLVITLMFSAHMRSMIIVKCSEILEKFSCDDDGIKFFIDENGAQLELEPIVSDLLALQQIAHSSQNVRRPALRTLLGICKFDAGLVKTAVLTAKGVSLVLPLLDDTDSEIREIAINLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKSDVQMAAAGLLANLPKSEVSVTTKLIDLDGLNALIKIIRTGTMEAKENALSALFRFTDPANPETQRIVVEQGAYPLFVNLLTTGSVMAKARAAALIGDLSRSSPKLVVVSKATGCWCFRPTRPHLCPAHGGICSVKTTFCLIEATALPVLVKLLQGEVHVIAHEAIQTLSTLVQEGSPNRGANVLHEADAIKPVLDIFTWGTDSLKEEALGLLEKVFLSREMVEHYGPSARLILVGMPGRNGHEDSRMGRRVAKVLSLLERYSRSSTSLLPGIFG >Potri.010G079200.6.v4.1 pep chromosome:Pop_tri_v4:10:10558372:10564125:1 gene:Potri.010G079200.v4.1 transcript:Potri.010G079200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079200.v4.1 MALELVPIGTILAVLTSQVLKTAQAAKDVLIEKESFKVLAKHLFDIESVLKELQLQKLDDSRAARQALETLEADVKKANNLVEKYKNRARFYLLVKCRHIVNEVQEVTRDIGRSLAALSLANTEVLAGISDQMNRLQDEMRRAEFEASHSQLQIVDKLNQGLRDQKLDQGFANDILEEIARAVGVPVEPSEISKELASFRREKEEAANRKERAEVLFLEQVIELLSHADAARDYEEITKQYFTRLQVVERFDDREEYITPLTPFLCCINGTVMTDPVSLCTGTTCERAAIEAWFDRGERTDPETGEILEDTTLRSNVRLRQSIEEWRELNYCLRIRASKAKLLASADSSVEEALNQMQDLMRENSINKDWISIGGLTDIIICILGTSHNKDEKRKILVTLKDLVKGHVRNKEKLVDYGGWDHVIPCLGRDPSISKAAVELLYELLQERSGWNVSACRKLSQQGSAILFLVTLLKGQVRESAVYAEKILNKLVEIDEENISWAAKSGWYKPLIDRIVQGTDSSRISMVRALVNMELFDSDLKLLGEEGILPSLLQMLSSGNLESKELSLSALVKLSDCAANKELIAAAGGLPLVITLMFSAHMRSMIIVKCSEILEKFSCDDDGIKFFIDENGAQLELEPIVSDLLALQQIAHSSQNVRRPALRTLLGICKFDAGLVKTAVLTAKGVSLVLPLLDDTDSEIREIAINLLFLFSHHEPQGVVEYLLKPKRLEALVGFLENDDKSDVQMAAAGLLANLPKSEVSVTTKLIDLDGLNALIKIIRTGTMEAKENALSALFRFTDPANPETQRIVVEQGAYPLFVNLLTTGSVMAKARAAALIGDLSRSSPKLVVVSKATGCWCFRPTRPHLCPAHGGICSVKTTFCLIEATALPVLVKLLQGEVHVIAHEAIQTLSTLVQEGSPNRGANVLHEADAIKPVLDIFTWGTDSLKEEALGLLEKVFLSREMVEHYGPSARLILVGMPGRNGHEDSRMGRRVAKVLSLLERYSRSSTSLLPGIFG >Potri.003G072250.1.v4.1 pep chromosome:Pop_tri_v4:3:10002642:10002749:-1 gene:Potri.003G072250.v4.1 transcript:Potri.003G072250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072250.v4.1 MPRMFFHFLKIIFDISTSKRSKKYKPHSILAKKKI >Potri.019G105600.3.v4.1 pep chromosome:Pop_tri_v4:19:14056267:14059698:1 gene:Potri.019G105600.v4.1 transcript:Potri.019G105600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G105600.v4.1 MVQEAHNTNLNKNNPPPSSKVSSHHDKLPCENYAIESPRDIPPLPPNSFRDKRDNKESMNNAASQPSQQLPPMPPTSQIQSSVTPDHQGEKPDIFSTLRRDTRNNKESMNNNASQPSKQPPSQPSQLLLPLSPTSQIQPSVTPDHQGEKADIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHQGEKPDIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSIFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSIFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDIFSSSQTLPSKNNGTKTSTNISDPSASSSSTAGFLTSFGTIPLLVETTKPSSLTRPLTAATAKTSSPATLYTASTSRPSRLPGDTENGDHELKCRSCHKHVKDCCRRSVLAALGHKSTDAIQKGSQ >Potri.019G105600.4.v4.1 pep chromosome:Pop_tri_v4:19:14056269:14059664:1 gene:Potri.019G105600.v4.1 transcript:Potri.019G105600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G105600.v4.1 MVQEAHNTNLNKNNPPPSSKVSSHHDKLPCENYAIESPRDIPPLPPNSFRDKRDNKESMNNAASQPSQQLPPMPPTSQIQSSVTPDHQGEKPDIFSTLRRDTRNNKESMNNNASQPSKQPPSQPSQLLLPLSPTSQIQPSVTPDHQGEKADIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHQGEKPDIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSIFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSIFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDIFSSSQTLPSKNNGTKTSTNISDPSASSSSTAGFLTSFGTIPLLVETTKPSSLTRPLTAATAKTSSPATLYTASTSRPSRLPGDTENGTIP >Potri.019G105600.5.v4.1 pep chromosome:Pop_tri_v4:19:14056268:14059583:1 gene:Potri.019G105600.v4.1 transcript:Potri.019G105600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G105600.v4.1 MVQEAHNTNLNKNNPPPSSKVSSHHDKLPCENYAIESPRDIPPLPPNSFRDKRDNKESMNNAASQPSQQLPPMPPTSQIQSSVTPDHQGEKPDIFSTLRRDTRNNKESMNNNASQPSKQPPSQPSQLLLPLSPTSQIQPSVTPDHQGEKADIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHQGEKPDIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSTFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSIFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDHRGEKADIFSIFWDTFDNKESMNNNASQPSKQPPSEPSQLLLPLSPTSQIQPSVTPDIFSSSQTLPSKNNGTKTSTNISDPSASSSSTAGFLTSFGTIPLLVETTKPSSLTRPLTAATAKTSSPATLYTASTSRPSRLPGDTENGTIP >Potri.004G031700.1.v4.1 pep chromosome:Pop_tri_v4:4:2438297:2440305:1 gene:Potri.004G031700.v4.1 transcript:Potri.004G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031700.v4.1 MESQEQQPKSRVIKVESVESWDFYITQATNQACPIVVHFTALWCMPSVAMNPVFEELASAYPDGLFLIVDVDEVKEVATKMEVKAMPTFVLMKDGAQVDKIVGANPEEIRKRIDGFVQSIRAYNA >Potri.011G110100.1.v4.1 pep chromosome:Pop_tri_v4:11:14013437:14015515:-1 gene:Potri.011G110100.v4.1 transcript:Potri.011G110100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110100.v4.1 MTDVCPDAGKSSWPELVGINGEVAAKIIVRENPKVRAGIVKEGMMVTMDFRCDRVRVWVDKYGIVKDIPQIG >Potri.002G151800.1.v4.1 pep chromosome:Pop_tri_v4:2:11621038:11628484:-1 gene:Potri.002G151800.v4.1 transcript:Potri.002G151800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151800.v4.1 MANHDLESPLLCSQLSDSPHLILSVPDSDFTIQQSNNSHHNHNPSPNPNTSSRNPFEFLGSDGLSVPAPSTLDPFKNDTPYIEGLYELIKIVICLPIAIARLVLFGVCLAIGYVATKIALEGWKDMHNPMPKWRSRLMWVTRGCARCILFSFGYHWIKRKGKLAPREIAPIVVSNHVSYIDPIFYFFEFFPTIVAAESHDSMPFVGTIIRAMQVIYVNRFLPSSRKHAVNEVKRKASCDKFPRVLLFPEGTTTNGKVLISFQLGAFIPGYAIQPIIVRYPHVHFDQSWGNISLGMLMFKMFTQFHNFMEVEYLPVVSPLDNCEENPAHFAKRTSHAIASALNVVQTYHSYGDVMLLMKASESKQEKPSSYMVEMAKVESLFHVSSLEAVDFLDKFLSMNPDASGRVKFRDFLRALRVRTCTLSEELFGFLDVEKKGSITFKQFLYGSAHVLKQPLFRQACELAFTECDTGGHGLISEQELGDTIRLAIQNLDEDEIHELFNVFDTDGDGIVSKDSFISCLRRNPLLIALFAPCLVHKDSSQGGHRILEIV >Potri.001G375600.1.v4.1 pep chromosome:Pop_tri_v4:1:39446448:39449796:-1 gene:Potri.001G375600.v4.1 transcript:Potri.001G375600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375600.v4.1 MAQEEQTKKCNTSSDGNGDGGFRSGHISRSSRKHKQKKVPQRGLGVAQLEKIRLEEQQKNDVSVNLPSPASISQIKPSNRKIRYLSMPIPNVYESRSTSIPYLPDLSSPNSMFRPQNIELINSNSTIPSENSVGWQSAAVQGQKNVPKMWNSSDYNLEKENCGVDPALAFRSNLNLPYESNPIWPLPSLMQRAQQHGQHSSSSSCSMVNASSGSSSSSLQNPQMEPPSNQSYYDNYVPVWIEEEKMVSKKRPHPFSLGYPPGSSSHCYKCPTNTSFGNGGLYNFSFSSRNCREDSSCSTCILEPTSKKIIKENGACNADFLTPAPPPATTLTSTDFKLKPSAYLDFHNFESFDFDSLPYQGNVEDRILQQPGAIVPNQQQTYYCFLPPAIMQIGHPTKSSNDYTGDEVGENIDLNLKL >Potri.009G007900.6.v4.1 pep chromosome:Pop_tri_v4:9:1570576:1576559:-1 gene:Potri.009G007900.v4.1 transcript:Potri.009G007900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007900.v4.1 MLAYTIEKLYGYMTSSELVFMQYGFRRSEIGIIIQGLLLGLLLYLVVLKYLLCGWDGFSRSTYSGARICSEKGKSLIFFASLGFLIVVIIPSWMQFVQDFDMHPLLWVVRFVFMEPVKRLSLCLYWVCVIYLSVLRFYNISKNSKIERILLRKYYHLMAVLMFLPAVILQPKFLDLAFGAALAVFLTLEIIRVWRIWPLGQLVHEFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGYNDRPLAPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSVLLPHLASTGYFLTEHWISLLLAVTVSGLLEAYTAQLDNAFIPLVFYSLLCL >Potri.009G007900.2.v4.1 pep chromosome:Pop_tri_v4:9:1570515:1576824:-1 gene:Potri.009G007900.v4.1 transcript:Potri.009G007900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007900.v4.1 MAAVSFSQLLNGERAVVTLFIVIVLFSLPLSLLLHGVALSLLALFALSVEVRVETSTSLSQFKTRAGASSGLLLGAVTLPTFMLSKLIQQSRAFSLNQVHPGELNYLALQYWAAFGSCFTVLMVLCLLTLDNTRSRSCQAWNVKFGLVCFVIGAALLFLYSTTGLHAIWKLLWVFFHGFAAVKLVQHLLNTFPSCVSFGEALLVTVGLVLYFGDMLAYTIEKLYGYMTSSELVFMQYGFRRSEIGIIIQGLLLGLLLYLVVLKYLLCGWDGFSRSTYSGARICSEKGKSLIFFASLGFLIVVIIPSWMQFVQDFDMHPLLWVVRFVFMEPVKRLSLCLYWVCVIYLSVLRFYNISKNSKIERILLRKYYHLMAVLMFLPAVILQPKFLDLAFGAALAVFLTLEIIRVWRIWPLGQLVHEFMNAFTDHRDSDLLIVSHFSLLLGCALPIWMSSGYNDRPLAPFAGILSLGIGDTMASMVGHKYGVLRWSKTGKKTIEGTAAGITSVLAACSVLLPHLASTGYFLTEHWISLLLAVTVSGLLEAYTAQLDNAFIPLVFYSLLCL >Potri.001G074600.2.v4.1 pep chromosome:Pop_tri_v4:1:5612807:5615627:-1 gene:Potri.001G074600.v4.1 transcript:Potri.001G074600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074600.v4.1 MGKERSEKERGGGEHNKRNLFVSCVVMNSCAAELKLLLTALLVICSVATLFQILPPRFTISASDLRFCISRISTSNTSIASLNSTPTSTPTMPPSPSPPSIRKDQVADNGVIKRVFNPYGSAAYNFITMGAYRGGLNTFAIIGLASKPLHVYSKPAYQCEWVPQSSSASNSTFSTVSYKMLPDWGYGHVYTVVVVNCTFSEAVNSENSGGKLFLEASTSGGGDRDFNITDRFEVLNESPGDINTTLFSSKPKYDYLYCGSSLYGGLSPQRVREWIAYHVRLFGKRSHFVIHDAGGVHEEVLEVLKPWMELGYVTLQDIKEQERFDGYYHNQFMVVNDCLHRYKFMAKWMFFFDVDEYIHVPQKNTIKSVLDSLSDYTQFTIEQMPMNNKLCLSADYGRYYRYNYAYSLFFFFLFFF >Potri.001G074600.1.v4.1 pep chromosome:Pop_tri_v4:1:5612736:5615705:-1 gene:Potri.001G074600.v4.1 transcript:Potri.001G074600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074600.v4.1 MGKERSEKERGGGEHNKRNLFVSCVVMNSCAAELKLLLTALLVICSVATLFQILPPRFTISASDLRFCISRISTSNTSIASLNSTPTSTPTMPPSPSPPSIRKDQVADNGVIKRVFNPYGSAAYNFITMGAYRGGLNTFAIIGLASKPLHVYSKPAYQCEWVPQSSSASNSTFSTVSYKMLPDWGYGHVYTVVVVNCTFSEAVNSENSGGKLFLEASTSGGGDRDFNITDRFEVLNESPGDINTTLFSSKPKYDYLYCGSSLYGGLSPQRVREWIAYHVRLFGKRSHFVIHDAGGVHEEVLEVLKPWMELGYVTLQDIKEQERFDGYYHNQFMVVNDCLHRYKFMAKWMFFFDVDEYIHVPQKNTIKSVLDSLSDYTQFTIEQMPMNNKLCLSADYGRYYRKWGFEKLVYKDVKRGIRRDRKYAIQPRNVFATGVHMSQNVAGKTTHKTEGMIRYFHYHGTAAQRREPCRNLLNVTEINFENNPYVLDTTMRDLAWSVKKFENQMIGPKLKNTRQ >Potri.T125806.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:117251:123310:-1 gene:Potri.T125806.v4.1 transcript:Potri.T125806.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125806.v4.1 MHAPGSNIDFLLKSIFIRGFCGSLHLVLLLALSVSYVCKKLSRRGDGEGSKETLKIKRRFMWYKQTMVCCLGVSVFNFILCLLSYFYLYGNVWSDGEAMTLLDFGLRTLSWGALVVYLHTQFFNSGEKMFPLLLRVWWGFYLAISCYCFFVDLFLHHKHVSLEIEWYLVSDVVSVFTGLFLCYVGSLRSDIQDVLEEPLLNGDSSSIDNLENRGADTVTPFGNAGLFSILTFSWMNSLIAAGNKKTLDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRVTRFKFAKALFLLVWKEILWTALLALIHTLSSYVGPYLIDVFVQCLDGRGEFKNQGYILASAFVVAKLAECLAQRHLRFRLQQIGTRLRAVTATMIYNKSLTISSHSKQGHSSGQIINIMTIDANRLGIFSWYMHDPWLVILQVCLALLILYRNLGLGSVAGFVATVIVMSLNYPFGRLEEKFQDKLMESKDKRMKATTEILRNMRILKLQGWEMKFLSKILELRKVETRWLKKYLYTSEVITVVAWVTPTVVAVATFGTCMLMGVPLDSGKVLSALATFEILQSPIYNLPNTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPVGSSDTAIEIVDGNFSWDLSSPCATLKDINFKVFNGMKVAVCGTVGSGKSSLLSSILGELPKISGTLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYEKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLSTADLILVMKDGRIAQAGKYDDILNSGSDFTVLVGAHKAALSVLDSRQAGPVSENESVRDNNGGENSTDGIVHNEGNKDSQIGKADEVAEPQAQLIQEEEREKGRVGFQIYWKYITTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPVSKDVKPVVSGSRLLIVYVSLVIGSSFGILARVMLLVTAGYKTATLLFNRLHLCIFRAPMSFFDATPSGRIINRASTDQSALEMEIPYIIGELAIQAITLLGIIAVMSQVAWQVFMVSIPVIAACIWYQQYYIPSARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFEEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSITFAFCLFLLVSFPEKINPAIAGLAVTYALGLHMAQYVLIWCFCNCENKLISVERILQYISIPAEPPLVIEANRPGHSWPSHGEIDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFSDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDSPTRLLENKSSSFSQLVAEYTVRSNTSFEKSAGIN >Potri.009G154300.1.v4.1 pep chromosome:Pop_tri_v4:9:12085829:12088334:-1 gene:Potri.009G154300.v4.1 transcript:Potri.009G154300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154300.v4.1 MKLLFFNFFLRNAAVVGVVGVLLLVSGSWWLYKTMEKRKSIKLKQKFFRQNGGLLLQQHLSSSDQGGISKTKVFSSEELETATDGFNVNRILGQGGQGTVYKGMLADGVIVAVKRSTMVGEENLEGFINEVCILSQINQRNIVRLLGCCLEAEVPLLVYEFIPNGTLSEYLLRQNEEFPLSWEMRLQIAAETAGALCYLHSAASIPIYHRDIKSTNILLDHKYRAKIADFGTSRSLSVYQTHLTTSVQGTYGYLDPEYFWSSQYTDKSDVYSFGVVLAELLTGQKAILTNESQERKNLAAHFVLLMEENRILDIVDAQIKEHCPKEDVICVANIAMRCLNLNGKKRPTMKQVTSELERIIQLSQKKNVQQNNEEAESITAEVVSAWDDASTSITCSSFQVDQALSSSDVEPLVPFKTW >Potri.009G154300.2.v4.1 pep chromosome:Pop_tri_v4:9:12085888:12088806:-1 gene:Potri.009G154300.v4.1 transcript:Potri.009G154300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154300.v4.1 MHGDGKRGCLGFRIITIIIAAVVGVVGVLLLVSGSWWLYKTMEKRKSIKLKQKFFRQNGGLLLQQHLSSSDQGGISKTKVFSSEELETATDGFNVNRILGQGGQGTVYKGMLADGVIVAVKRSTMVGEENLEGFINEVCILSQINQRNIVRLLGCCLEAEVPLLVYEFIPNGTLSEYLLRQNEEFPLSWEMRLQIAAETAGALCYLHSAASIPIYHRDIKSTNILLDHKYRAKIADFGTSRSLSVYQTHLTTSVQGTYGYLDPEYFWSSQYTDKSDVYSFGVVLAELLTGQKAILTNESQERKNLAAHFVLLMEENRILDIVDAQIKEHCPKEDVICVANIAMRCLNLNGKKRPTMKQVTSELERIIQLSQKKNVQQNNEEAESITAEVVSAWDDASTSITCSSFQVDQALSSSDVEPLVPFKTW >Potri.018G111700.1.v4.1 pep chromosome:Pop_tri_v4:18:12923252:12926881:1 gene:Potri.018G111700.v4.1 transcript:Potri.018G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111700.v4.1 MACNSTMIITLLLFLSSYVHSSNSQETWIRAGYWDSVSELPVSNINSALFTHLICAFSRINSSTYHIHINSSKESYFSTFTGTVRVKNPSVKTLLSILARRGGLPTFSSMINQSSYRKSFIESSIYTARLYGFDGLDLSVGPSISINMTNLGILFDEWRDAIASDSRKSGKSQLLLVMSATYSPAQHSVTYPIDIMKRTLDWIHLVAYDYHVPAEFNFTGAHAALYGTSNWVNTDEWIKEWLRGGLSASKLVLGLPYHGYAWRLVNPNEKAFGAPSSGPGVTSDGSMGYKNIKSFIQGYGYGAAAVYNSTYVTKLFVVGSTWINFDDVETIKAKISYAKENNLSGYSVFQLSNDDNWILSRAAQGKDEDGLNKRNVLVTILVTIAVVFLVLGTIICCYFRRKKLGSKEIAGSVQKSLSATRVINSDAPNLQALSFDTIAAATNNFSSENKLGAGGFGSVYKGKLPNGKEIAVKRLSKTSTQGEEEFKNEVTLTEKLQHVNIVTVLGFCTEREEKMLIYEYMPNKSLDFYIYDPIRRYMLDWRKRVQIIEGLTQGLLYLQEYSNFTIIHRDIKSSNILLDEEMNPKISDFGMARLFRKDELEANTSRIVGTYGYVPPEYVRKGIYSMKYDVYSFGVLLLQIISGKRSTCYYGADENWNLLEYAYELWKDGEGVEFFDPSLDDSFSSCKLTRCLQVALLCVQENPLDRPSMLKISSMLKNENAPIATPKRPSFSTKRDEEEDSVIRNKIYSVNDATISDLEPR >Potri.010G183500.1.v4.1 pep chromosome:Pop_tri_v4:10:18120931:18124175:1 gene:Potri.010G183500.v4.1 transcript:Potri.010G183500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183500.v4.1 MEVFNNIFAINHRCSSFFLGLLLLLASALSLANAKSHYHDFVIQATPVKRLCKTQNSITVNGMFPGPTLEVNNGDTLVVNVVNKARYNVTIHWHGIRQMRTGWADGPEFVTQCPIRPGGSYTYRFTIQGQEGTLWWHAHSSWLRATVYGALIIHPKEGSSYPFSKQPKRETAILLGEWWNANPIDVVRESTRTGGTPNSSDAYTINGQPGDLYNCSSQDTVIVPIDSGETNLLRVVNSALNQPLFFTVANHKLTVVGADASYVKPFTTSVLMLGPGQTTDVLISGDQNPSRYYMAARAYQSAQNAPFDNTTTTAILEYKSSPCAAKNCSSNKPIMPPLPTFNDTATVTAFTSSFKSTDKTFVPTDIDESLFFTVGLGLNPCPPNFNKSSQCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHQRIQGVFTTDFPANPPRKFDYTGNVSRSLFTPVPGTKLYRLKYGSRVQIVLQDTSIVTSENHPIHLHGYDFYIIAQGFGNYNPRTDPSKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLATAFLVENGVGELQSIESPPEDLPLC >Potri.010G183500.3.v4.1 pep chromosome:Pop_tri_v4:10:18122697:18124162:1 gene:Potri.010G183500.v4.1 transcript:Potri.010G183500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183500.v4.1 MLGPGQTTDVLISGDQNPSRYYMAARAYQSAQNAPFDNTTTTAILEYKSSPCAAKNCSSNKPIMPPLPTFNDTATVTAFTSSFKSTDKTFVPTDIDESLFFTVGLGLNPCPPNFNKSSQCQGPNGTRFTASMNNVSFVLPSNFSLLQAHHQRIQGVFTTDFPANPPRKFDYTGNVSRSLFTPVPGTKLYRLKYGSRVQIVLQDTSIVTSENHPIHLHGYDFYIIAQGFGNYNPRTDPSKFNLVDPPLRNTVAVPVNGWAVIRFVADNPGVWLMHCHLDVHITWGLATAFLVENGVGELQSIESPPEDLPLC >Potri.011G032312.1.v4.1 pep chromosome:Pop_tri_v4:11:2618818:2620456:-1 gene:Potri.011G032312.v4.1 transcript:Potri.011G032312.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032312.v4.1 MSSLLRLLGRALPASTSAAASDTIKAKSYWTEIIKERNLEHLVEKFKNASVDEDFRTKTFVYKNSIHRLAAARKFNYVEEILEDQKQYEDIYKEGFNARLISLYGVAGMFDNARKVFDEMFERKCARTVVSFNALLGACVNSKKFDEAEGLFKGLSKELEIEPDLVSYNTVLKAFCVTGSMDSAATLLDEMEKKGLEPDLITFNTLLHGFYAKGRFVDGERIWEQMKEKNVEPDKRSYNLKLLGLTLEKRMGDAVKVVEEMKSEGIQLDTFSYSALIRGFVNEGDLKNAKHWYLEIGRSGCKRDKLTLEGLIPFALQKGDFMFAYGICKYVLCSKLPVQNDLIQSVVDALAKDSRLEEAKKLVGLGESCRAHSYKLELPKPPSNPMTSLVSVFHRV >Potri.005G106300.1.v4.1 pep chromosome:Pop_tri_v4:5:7673197:7674231:-1 gene:Potri.005G106300.v4.1 transcript:Potri.005G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106300.v4.1 MARKRVSRNWFDKVRRKFLRSSHRNIILNSKACSSHSDEITEAGDYEVFNSIASPPSKRELAIEDIAAITIQANFRGHLARRAFRALRSLVKLQALARGVHVRKQSRIALQCMHALVQLQVRIRARQLLGQCNDN >Potri.018G050900.7.v4.1 pep chromosome:Pop_tri_v4:18:4952043:4954494:1 gene:Potri.018G050900.v4.1 transcript:Potri.018G050900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050900.v4.1 MDPNASIDERDGFRNGVEKVKSVSDKHIDLLRPSARYYATSKELLMVGQVTDATDGEKGKYTLVGDPEDFQGIYDKPLPCFGCGIGWFSFLLGFVFPLMWYYGTFLYFGNYYRKDPRERAGLAAAAIGAMSFSVVLLVIIAYCSLF >Potri.001G304750.1.v4.1 pep chromosome:Pop_tri_v4:1:31435188:31437210:1 gene:Potri.001G304750.v4.1 transcript:Potri.001G304750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304750.v4.1 MSQTNDRWVGGQNWTIKDRCTLKIKLDAHHPLFTNLFKNTFMRGPSCQRSQVNSNTMLDCNRVDFKNYHPSLFHASSRPSLQKPFLYTHSFTCG >Potri.015G035800.1.v4.1 pep chromosome:Pop_tri_v4:15:3021791:3026256:1 gene:Potri.015G035800.v4.1 transcript:Potri.015G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035800.v4.1 MERDFLGLGSKNNPVTIKEEATDTPLKDSVPMRGSGMQWSFSNKVSAIPQFLSFKSSMEDKPRKAVHDPMASSSSGYMSISTADAFDSNQKSYSALIQKNMALDKQAGNHYAMTTYGKQHFDAYFANRPQDMRMFPISSQQNQTINVSMSSPILQSLFPPTGHSMITSNSIVSKPLGGVPVITPASALPTPSSVIGTTDLRDVAKSSGAPAQLTIFYAGSVSVYDDVSPEKAQAIMLLAGNGGSSGTQNKPISTPQAQAQAPIPGPPVGDIFVGNKINTTAPCSGMPSPISVTSSSTNDLAIVKPVVNLAPSVKHIEPTKPASSVGPTSATLVPAVAVPQARKASLARFLEKRKERVMQTSPYNGSKKSPEGGAHRFDGMSLSMSTSSSFPLPASN >Potri.015G035800.2.v4.1 pep chromosome:Pop_tri_v4:15:3021786:3026255:1 gene:Potri.015G035800.v4.1 transcript:Potri.015G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035800.v4.1 MERDFLGLGSKNNPVTIKEEATDTPLKDSVPMRGSGMQWSFSNKVSAIPQFLSFKSSMEDKPRKAVHDPMASSSSGYMSISTADAFDSNQKSYSALIQKNMALDKQAGNHYAMTTYGKQHFDAYFANRPQDMRMFPISSQQNQTINVSMSSPILQSLFPPTGHSMITSNSIVSKPLGGVPVITPASALPTPSSVIGTTDLRDVAKSSGAPAQLTIFYAGSVSVYDDVSPEKAQAIMLLAGNGGSSGTQNKPISTPQAQAQAPIPGPPVGDIFVGNKINTTAPCSGMPSPISVTSSSTNDLAIVKPVVNLAPSVKHIEPTKPASSVGPTSATLVPAAVAVPQARKASLARFLEKRKERVMQTSPYNGSKKSPEGGAHRFDGMSLSMSTSSSFPLPASN >Potri.005G133800.1.v4.1 pep chromosome:Pop_tri_v4:5:10237478:10239170:-1 gene:Potri.005G133800.v4.1 transcript:Potri.005G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G133800.v4.1 MGEVNNQKKHFVLIHGSVAGAWIWYKVKPRLEEAGHRVTALDMAASGVNTKTIEEVRTFDLYNEPLMEFMAKLPENEKVVLVGHSLGGLNLAFAMEKFPEKVSLAVFLTAILPDTVHQPSYMLEKFAEIGPRDEEWQDTLFSFHGTPEEPHTCVHMGCEFMKCKPFHLSSAEDLALQMLLNRPGSMFVESLSKAKKFTDERYGSVPRVYIVCTEDLMMLASFQRWMIEQNGVKEVMEIPADHMPVFSTPTELCHSILELARKHA >Potri.010G154350.1.v4.1 pep chromosome:Pop_tri_v4:10:16379095:16381759:-1 gene:Potri.010G154350.v4.1 transcript:Potri.010G154350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154350.v4.1 MNLEEKVIDTDVLSSDHLSYVRCNFCNTVLAVRIPFKRMLDTVTVKCGHCNNLSFLSTRSPNLGNFLDIDHHHLSLQCTAKGVSSNEKLLFKETQGFCTDFRKGEHSSSSTSSEPLVPKVPFVVKPPEKKHRLPSTYNRFMKEEIQRIKAANPEIPHREAFSTAAKNWARYLPNSGAGSGGSKN >Potri.008G018800.3.v4.1 pep chromosome:Pop_tri_v4:8:937042:940255:1 gene:Potri.008G018800.v4.1 transcript:Potri.008G018800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018800.v4.1 MFGRAPKKSDNTKYYEVLGVSKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSGAHDPFDIFQSFFGGGNPFGGGGSSRGRRQRRGEDVIHPLKVSFEDIYNGTSKKLSLSRNVICSKCKGKGSKSGASSKCAGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVAQEKKVLEVVVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLSLTEALCGFHFVLTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFSVDFPDSLSTDQCKALEAVLPPRASVQLTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMPGGAQRVQCAQQ >Potri.008G018800.2.v4.1 pep chromosome:Pop_tri_v4:8:937071:940257:1 gene:Potri.008G018800.v4.1 transcript:Potri.008G018800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018800.v4.1 MFGRAPKKSDNTKYYEVLGVSKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSGAHDPFDIFQSFFGGGNPFGGGGSSRGRRQRRGEDVIHPLKVSFEDIYNGTSKKLSLSRNVICSKCKGKGSKSGASSKCAGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVAQEKKVLEVVVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLSLTEALCGFHFVLTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFSVDFPDSLSTDQCKALEAVLPPRASVQLTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMPGGAQRVQCAQQ >Potri.008G018800.1.v4.1 pep chromosome:Pop_tri_v4:8:937070:940352:1 gene:Potri.008G018800.v4.1 transcript:Potri.008G018800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018800.v4.1 MFGRAPKKSDNTKYYEVLGVSKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSGAHDPFDIFQSFFGGGNPFGGGGSSRGRRQRRGEDVIHPLKVSFEDIYNGTSKKLSLSRNVICSKCKGKGSKSGASSKCAGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVAQEKKVLEVVVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLSLTEALCGFHFVLTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFSVDFPDSLSTDQCKALEAVLPPRASVQLTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMPGGAQRVQCAQQ >Potri.008G018800.4.v4.1 pep chromosome:Pop_tri_v4:8:937251:940228:1 gene:Potri.008G018800.v4.1 transcript:Potri.008G018800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018800.v4.1 MFGRAPKKSDNTKYYEVLGVSKSASQDDLKKAYRKAAIKNHPDKGGDPEKFKELAQAYEVLSDPEKREIYDQYGEDALKEGMGGGGSGAHDPFDIFQSFFGGGNPFGGGGSSRGRRQRRGEDVIHPLKVSFEDIYNGTSKKLSLSRNVICSKCKGKGSKSGASSKCAGCQGSGMKVSIRHLGPSMIQQMQHPCNECKGTGETINDKDRCPQCKGEKVAQEKKVLEVVVEKGMQNGQRITFPGEADEAPDTVTGDIVFVLQQKDHPKFKRKGDDLFVEHTLSLTEALCGFHFVLTHLDGRQLLIKSQPGEVVKPDQFKAINDEGMPMYQRPFMRGKLYIHFSVDFPDSLSTDQCKALEAVLPPRASVQLTDMELDECEETTLHDVNIEEEMRRKQQQQAQEAYDEDDEMPGGAQRVQCAQQ >Potri.019G021900.8.v4.1 pep chromosome:Pop_tri_v4:19:3356187:3356891:1 gene:Potri.019G021900.v4.1 transcript:Potri.019G021900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021900.v4.1 METLRGPQLTMNDGATLVTEVVSDDDSHLCLYILLQDMIKIGDMVVGFDIEWGFKGTSASRSGSTSGRNTENHSQSDKSEHLPRRVEHHIAVLTFCTKLGCVLIRLSPNHISPSLKRFLSIKDIMFVGVHIKEDLQRLRCVDGLVVRNAVELSELAAKIYDQPRFAAYSARELAYRIASLKADSKPLNVLWSNWFDHTLCPEQIESATIDAYATYKIGKKLMESGSSSVKRLFS >Potri.009G070100.1.v4.1 pep chromosome:Pop_tri_v4:9:6922699:6924782:-1 gene:Potri.009G070100.v4.1 transcript:Potri.009G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070100.v4.1 MASCFQQPSVEEDSVIFFSTSNSPEEYSTSPSSSFNPSPPPQPHQHSRIYFKLSVRNLSYTICPNRPVCTSFSHLMQKPKPINILKSVSFDARGSEILAVVGPSGTGKSSLLRIISGRVKDKDFDPKSVLINGRCMASTAQLRKICGFVTQEDNLLPLLTVKETLMFSAKFRLKEKSSKEREERVESLMRELGLFHVANSFVGDEENRGISGGERKRVSIGVDMIHDPPILLLDEPTSGLDSTSALQVIELLSSMAKAKQRTVVLSIHQPSYRILQYIPNFLILSRGSVVHNGSLESLEETITKLGFQIPLQLNALEFAMEIINTLEDAKSKMYMPALENEPYSNSIWPQEEIVQIQQGSDTKYSGFCSFFNLSEIIFLCSRFWKVIYRTKQLFLARTMQAIVGGFGLASVYIKVRKDEGGVAERLGLFAFSLSFLLSSTVEALPIYLQERRVLMKESSRGAYNISSYMIANTIVFLPFLFAVAMLFSIPVYWIVGLNPSIAAFAFFVFVVWLIVLMASSLVLFLSAVSPDFISGNSLICTVLGAFFLFSGYFIPKENIPKYWLFMYYVSLYRYPLDSLLTNEYWSVRNECFSWQGQDHSTCVLTGNDVLKSRGLDKDTRWINVGIMFGFFVFYRVLCWIILARRASKTTI >Potri.016G080600.1.v4.1 pep chromosome:Pop_tri_v4:16:6205927:6210467:-1 gene:Potri.016G080600.v4.1 transcript:Potri.016G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080600.v4.1 MGNSHDHGHRRKFRDNGNVPFPDKTAAAPSASQPVPPFIYQPQIPTSSTRTPKMATALPYPHVDSSLRALAAQAEGFGRSANGGLHGPIYYVTTLADDGPGSLRDGCRKKEPLWIVFEVSGTIQLRSYLNVSSYKTIDGRGQRIKLTGKGLRLKECEHVIICNLEFEGGRGPDVDGIQIKPKSKHIWIDRCSLRDYDDGLIDITRESTDITISRCYFGQHDKTMLIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRVRFGKVHLYNNYTRNWGIYAVCASVESQIYSQSNIYEAGQKKIAFKYLSEKAADKEKARSGSIRSEGDLFVTGTQAGLMTEDGECCMFHPSEYYPTWTVEPPTDSLKQVLQHCTGWQCVPRPADQPLAAQ >Potri.007G122351.1.v4.1 pep chromosome:Pop_tri_v4:7:13967154:13968459:-1 gene:Potri.007G122351.v4.1 transcript:Potri.007G122351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122351.v4.1 MAIQKLFAVCFLQLVFAFLLAGLTNAGGLQLGFYQRACPDAELIVHQTLYRYVSRDRTLAAPLLRMHFHDCFIRGCEGSVLLSSTKNNQAEKDAIPNKTLRGFNVIDAVKSALEKKCPGVVSCADILALVARDAVLMIGGPRWDVPTGRRDGRVSIANEALFNLPSPFANITVLKQQFAATGLSVKDLAVLSGGHTIGIGHCTIISNRLYNFTGKGDTDPSLDPRYAAQLKNKCKPGNSNTVVEMDPGSFKSFDEDYYNIVAKRRGLFRSDAALLDDAETRGYVKFQSMTQGSTFAQDFAESMVKMGYIGVLTGEQGEIRKHCAVVN >Potri.007G086400.2.v4.1 pep chromosome:Pop_tri_v4:7:11145364:11146066:1 gene:Potri.007G086400.v4.1 transcript:Potri.007G086400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086400.v4.1 MSSIGVACAEVYVKQKRQMEKIKRMEEERVRRGESVVEEDKVGGSASTSGKCKKKVHPDKCLGKKKVHPGNFPASESEGKQGEARDNVA >Potri.001G103000.1.v4.1 pep chromosome:Pop_tri_v4:1:8296401:8298726:-1 gene:Potri.001G103000.v4.1 transcript:Potri.001G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103000.v4.1 MWLFGWKGPSGFSASSTAEEVTQGIDGTGLTAIVTGASSGIGAETTRVLALRGVHVVMAVRNLDAGRNGKEAMLKEIPKAEIDVMELDLSSMASVRNFASEYTSLGLPLNILINNAGVLSSPSKLSQDNIELLFATNHIGHFLLTNLLLEIMKNTAQKSKQEGRIINVSSVGHRIVTREGICFDKIYNEASWFSYGQSKLANILHASELARRLKEEGEEITANSLHPGAIHTNLLRHQGFVNAIFSLFGKYMTKNVQQGAATTCYIALHPQVKGMSGNYFMDSNIAEPSSQAKDAELAKKLWDFSLIITDKK >Potri.011G005000.1.v4.1 pep chromosome:Pop_tri_v4:11:409689:411073:-1 gene:Potri.011G005000.v4.1 transcript:Potri.011G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005000.v4.1 MLVQDPTKGNDVDAIFNQARQLGAVEGPLENINQSSSSSSFSGTGRLLSGETVPSAPQQPEAVVHNIVFWTNGFTVNDGPLRSLDDPENASFIESIRKSECPKELEPADRRSSVHVNLIRKDQKCPEPERQRHVPFQGVGRTLGSSSTALATEPTADSAPLNSAPTPFMGLVVDETLPSTSIQLRLADGTRMVTHFNNHHTVNDIRSFIDASRPGAALNYQLQLMGFPPKLLTDPTQTIEQAGLANSVVIQKF >Potri.009G026500.3.v4.1 pep chromosome:Pop_tri_v4:9:3775531:3779265:-1 gene:Potri.009G026500.v4.1 transcript:Potri.009G026500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026500.v4.1 MGFLCCSGKPSKRSESSSINENNSNIKRKDQTQVTSGSLKMKPYVNNLSKEGGSKDDQLSLDVKSLNMKDEISKDRRSNGKQAQTFTFEELAAATSNFRSDCFLGEGGFGKVYKGYLDKINQAVAIKQLDRNGVQGIREFVVEVVTLSLADHPNLVKLIGFCAEGDQRLLVYEYMPLGSLENHLHDIPPNRQPLDWNARMKIAAGAAKGLEYLHNEMEPPVIYRDLKCSNILLGEGYHPKLSDFGLAKVGPSGDKTHVSTRVMGTYGYCAPDYAMTGQLTFKSDIYSFGVVLLELITGRKAIDQRKERGEQNLVAWARPMFKDRRNFSCMVDPLLQGQYPIRGLYQALAIAAMCVQEQPNMRPAVSDLVMALNYLASHKYDPQIHSVQDSRRSPSRPGLDKDRGQ >Potri.001G223800.1.v4.1 pep chromosome:Pop_tri_v4:1:24065212:24068862:-1 gene:Potri.001G223800.v4.1 transcript:Potri.001G223800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223800.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRVATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVNYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLRSINDHGVDVKGFFAWSFLDDFEWGSGYSSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEGSARSRKKSRIE >Potri.009G061700.1.v4.1 pep chromosome:Pop_tri_v4:9:6361385:6369725:-1 gene:Potri.009G061700.v4.1 transcript:Potri.009G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G061700.v4.1 MEAAPFTSPSSTCKTSSISSSSSLISLSKISYRNHSPFKFKSRRNLSVRASNDDSVVTLLDYGAGNVRSVRNAILHLGFQIKDVQTPKDILNARRLIFPGVGAFAPAMDVLNNTGMGEALCSYIQNDRPFLGICLGLQLLFESSDENGPVGGLGMIPGVVGRFDSSHGFRVPHIGWNALQITKDSEILDDIGNRHVYFVHSYRAMPSNENKEWISSTCNYGDEFIASVRRGNVHAVQFHPEKSGDVGLSVLRRFLLPKSSLTGKRTEGKASKLAKRVIACLDVRANDEGDLVVTKGDQYDVREHADQSKVRNLGKPVELAGQYYSDGADEVSFLNITGFRDFPLGDVPMLQVLRRASENVFVPLTVGGGIRDFTDLNGRYYSSLEVASEYFRSGADKISIGSDAVHAAEEYLKTKVKTGKSSIEQISRVYGNQAVVVSIDPRRVYLNDPSDVEFKSIKLTNPGPNGEEYAWYQCTINGGREGRPIGAYELAKAVEELGAGEILLNCIDCDGQGKGFDIDLVKMISDAVSIPVIASSGAGVSEHFSDVFSKTNASAALAAGIFHRKEVPVQSVKEHLLKEGIEVRI >Potri.014G138800.2.v4.1 pep chromosome:Pop_tri_v4:14:9451771:9455133:1 gene:Potri.014G138800.v4.1 transcript:Potri.014G138800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138800.v4.1 MGSSPSVPEKSIHEFTVKDNRGQDVNLGIYKGKVLLVVNVASKCGFTDSNYTQLTDLYKNYKDKGLEILAFPCNQFLNQEPGTSEDAQNFACTRYKADYPIFHKVRVNGPNAAPVYKFLKASKPGFLGNRIKWNFTKFLVDKDGHVLGRYSTITAPMAIEADIKKALGEM >Potri.013G125500.1.v4.1 pep chromosome:Pop_tri_v4:13:13328507:13331702:-1 gene:Potri.013G125500.v4.1 transcript:Potri.013G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125500.v4.1 MEIVAAKTLCLGLNLKLISHKHKVNVFTPNLTRKIPSFSHQMRCGAQGFWRRENGRCFTPVAAVVSAAEDVEVSSSRFKDCTVMITSTNEDQELKIRVEVSGAKTRAIFEDVFKKMVTAAQPIPGFRRVKGGKISEIPRDILLEVLGPSKVYKEVIKKVINSTVAEYVDKEGLKVSKDLRVEQSFEDLEDAFEPDEKFSFDAVIQLQQTT >Potri.003G023500.1.v4.1 pep chromosome:Pop_tri_v4:3:2448245:2464767:-1 gene:Potri.003G023500.v4.1 transcript:Potri.003G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023500.v4.1 MDRIYQKVRNLDAYPKINEDFYSRTLSGGLITLISSVLILFLFFSELSLYLHKVTETKLLVDTSRGQSLRINFDVTFPAIRCSLLSVDAIDISGEQHLDIRHDISKKRINAHGDVIEVRQEGIGAPKIDRPLQSHGGRLGHNEEYCGSCFGGEMSHDDCCNTCEEVREAYRRKGWAMTNMDLIDQCKREGFIQMIKDEEGEGCNINGSLEVNRVAGSFHFAPWKSFHLSNFLIQDLLDLQKDSYNISHRINRLAFGDYFPGVVNPLAGIQLMHDTPNGVQQFFIKVVPTIYTDIRGRTVHSNQYSATEHFKKSELTPLDSLPGVYFFYDFSPIKVIFKEEHISFLHFMTSICAIIGGIFTIAGIIDSFIYYGQRAITKKVGIGKFG >Potri.012G058700.4.v4.1 pep chromosome:Pop_tri_v4:12:5790342:5792584:-1 gene:Potri.012G058700.v4.1 transcript:Potri.012G058700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058700.v4.1 MLRNPEVFQSSIKLHLPIPPTHPSQIQNIPSSPSSTVIKQCCKLSRRKLAIFGNSSLLLLLSSQTLEPFNTSKAKAEEALPDANENGPQEENNTSTRPECSNKTTARAFLDISIDGEPVGRIVVGLYGEDVPAGAARFSDLVRGAAGVSYRRKEFIKITPNYVQHGGVRSYGVDAELAQRTGSNLAAESLVDEWQREYETCPGIKNLAGTVSIIVRDPSKPPPKLKLVARKGKLEIDQEEVGTDPNGTEFVIATKDSPELDASSLVVGRVLEGMEVVEKIGQVKTVQENTTSPYFRVAKLIGDKRAVVAERGFNRPYSKVVVTNCGLE >Potri.011G044012.1.v4.1 pep chromosome:Pop_tri_v4:11:3430117:3430380:1 gene:Potri.011G044012.v4.1 transcript:Potri.011G044012.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044012.v4.1 MEGYAFRSVGFEGVGIWTVEICGFFQASVGWKEVPLTDRSSSMVLF >Potri.007G026700.2.v4.1 pep chromosome:Pop_tri_v4:7:2058456:2065350:-1 gene:Potri.007G026700.v4.1 transcript:Potri.007G026700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026700.v4.1 MFLCWFVFSGLEMGSEVENEAPADSPTSVLEDEEKCKIKEEVKLEEVIFVEAKNGDSSLISKSMAEEEEKLLNSRIKEVQETVPEEAARLNESQYTRLDDLLTQTQLYSEFLLEQMDQITTNGVEQEDEPAKQSRGRGSKRKAAALYNSRKAKRAVTAMLTRSKEVENAEDANLTEEERVEKEQRELVPLLTGGRLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLIGNGLNGPYLVIAPLSTLSNWVNEISRFVPSMDAIIYHGNKKQRDEIRRKHMPRSIGPKFPIIVTSYEIALSDAKKHLRHYPWKYLVVDEGHRLKNSKCKLLKELKYLCVDNKLILTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDLSGKCSNEAMKEEVEERRRAQVVVKLHAILRPFLLRRLKNDVEQMLPRKKEIILYATLTEHQKKFQDHLINKTLEGYLREKMDTGRGMKGRLTNLMVQLRKNCYHPDLLESAFDGSYFYPPVEQIVEQCGKFRLLDKLLNRLFALKHKVLIFSQWTKVLDIMDYYFSEKGFEVCRIDGSVNLDERKRQIEEFNDENSQYRVFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSIEGRILKRAFSKLKLEHVVIGKGQFHLEQTKSKGTEVMEEEDILALLRDEETAEDKLIQTDISDEDLERILDRSDLVVGSSDDDTESIAATGSFPLKGPGWEVVIPNANGGMLSTLYS >Potri.007G026700.1.v4.1 pep chromosome:Pop_tri_v4:7:2058366:2065626:-1 gene:Potri.007G026700.v4.1 transcript:Potri.007G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026700.v4.1 MGSEVENEAPADSPTSVLEDEEKCKIKEEVKLEEVIFVEAKNGDSSLISKSMAEEEEKLLNSRIKEVQETVPEEAARLNESQYTRLDDLLTQTQLYSEFLLEQMDQITTNGVEQEDEPAKQSRGRGSKRKAAALYNSRKAKRAVTAMLTRSKEVENAEDANLTEEERVEKEQRELVPLLTGGRLKSYQIKGVKWLISLWQNGLNGILADQMGLGKTIQTIGFLAHLIGNGLNGPYLVIAPLSTLSNWVNEISRFVPSMDAIIYHGNKKQRDEIRRKHMPRSIGPKFPIIVTSYEIALSDAKKHLRHYPWKYLVVDEGHRLKNSKCKLLKELKYLCVDNKLILTGTPLQNNLAELWSLLNFILPDIFQSHEEFESWFDLSGKCSNEAMKEEVEERRRAQVVVKLHAILRPFLLRRLKNDVEQMLPRKKEIILYATLTEHQKKFQDHLINKTLEGYLREKMDTGRGMKGRLTNLMVQLRKNCYHPDLLESAFDGSYFYPPVEQIVEQCGKFRLLDKLLNRLFALKHKVLIFSQWTKVLDIMDYYFSEKGFEVCRIDGSVNLDERKRQIEEFNDENSQYRVFLLSTRAGGLGINLTSADTCILYDSDWNPQMDLQAMDRCHRIGQTKPVHVYRLATAQSIEGRILKRAFSKLKLEHVVIGKGQFHLEQTKSKGTEVMEEEDILALLRDEETAEDKLIQTDISDEDLERILDRSDLVVGSSDDDTESIAATGSFPLKGPGWEVVIPNANGGMLSTLYS >Potri.017G066900.2.v4.1 pep chromosome:Pop_tri_v4:17:6708737:6709699:1 gene:Potri.017G066900.v4.1 transcript:Potri.017G066900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066900.v4.1 MATETKPATEDVKIDLFEDDDEFEEFEINGEWEVKEEGKDATQQWEDDWDDDDVNDDFSLQLRKELENNTQKN >Potri.016G054400.1.v4.1 pep chromosome:Pop_tri_v4:16:3577080:3579086:1 gene:Potri.016G054400.v4.1 transcript:Potri.016G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054400.v4.1 MLSAQRPSSSTTTNEETNQDHDNEQIRDIHALTPPHPPPRNRWETGSNHHGSYSMSMSSEGASGENFTTMSREFNALVIAGSAIGTSNTTNNSSSDRNDLNDIGSNTLLSRFGEDDDVPEETNPLAIVPDNHPLDPEPSSRMLGSVRVDGSDHGGAGGEVSVLRVKKEEVETKITAWQNAKIAKINNRFKREDAIINGWESEQVQKSTSWMKKVERKLEEKRARASEKMQNEMAKAHRKAEERRASAEAKRGTKVARVLEVANLMRAIGRPPTKRSFF >Potri.006G095100.1.v4.1 pep chromosome:Pop_tri_v4:6:7214752:7216185:-1 gene:Potri.006G095100.v4.1 transcript:Potri.006G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095100.v4.1 MADHPMPHLGFEIESIITQHGVFAFLVNTLNGQIQVMYKSMTASPFDTHEGVMLAFFVAFFFHATASVAEIMLRARESIYHPIVSYIRLFASGLATILLLVILDPVLGYIISVLWGCLFARLAYESCKELRELQELRSHTAQLGHSLWTKLVGVLGYHNEEEPDQAIRPAV >Potri.011G136200.1.v4.1 pep chromosome:Pop_tri_v4:11:16861396:16864147:1 gene:Potri.011G136200.v4.1 transcript:Potri.011G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136200.v4.1 MDRNIDMISNLPSSLLIIIAGFLSFKEAARTSVLSKQWLNIWRDAMHIQFNENFFVKSDEPEENQKVQREVFINFARQFIANYPPQDIKTLGLTCSKPGDFLADMQNIVMFASSRNVRELGLDFSDPTWREHALENHQAAFELPLLVYEHGQALKSMKLFSCSFDVSNFSNFCALKTLSLGWIKINMGSILAILESCPLLESLSLKKCWDIVSFEISKPGLRLKSLVIEECDIADDFVLIEGPKLQFFKFSGNVGEFLLDDQSDLVKAELDFETETAFDEIGLFLCDLLEDLFAAQVLTVCSVFLQIVPSGNEPLGLQAQIDVRYLILKTALHINEFCGIRFMLRSCPHLEILTIDIGPANIFPEYGAPYPFNPQEFWSEDLLVEECVTTTLKAVNVKGFKGMMNELNVLKYLLLFGHAIEELTLYVSNEAGSNGETREFYMERALQVLEFNKASRNLSIAVL >Potri.002G115100.6.v4.1 pep chromosome:Pop_tri_v4:2:8788320:8792082:1 gene:Potri.002G115100.v4.1 transcript:Potri.002G115100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G115100.v4.1 MGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAATTTLKPMANGTSSFASASVVGLRSAVSDSHTIVHNQQQPASSSNLSATNTLSRVFLATENGGERMQDASGLSMLPSSIDMKSKETPFFISKQQNSYGESLQNEFALVTSDSLLNHSQKSSSLMSCRNFGSSQDLTDQESVSQHSLRQFMDDCPKSHSDRSAVAWPGLDLQSERTQLSISIPMAPADFVSSTSSSNNEKISLSPLRLSREFDPIKMGLGVGAGSVANEPNQRQANWIPISWETSMGGPLGEVLHNTNNNATAECKNESSLNLMTERWDNSPRVGSSPTGVLQKSAFASLSNSSAGSSPRAENKTIEGGNLCNDLGSTIVHSSSLPAL >Potri.002G115100.5.v4.1 pep chromosome:Pop_tri_v4:2:8787757:8792209:1 gene:Potri.002G115100.v4.1 transcript:Potri.002G115100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G115100.v4.1 MDFGVQVGLDGLVGSDTSNSGFASLASSDPDEKQKYGSGFLKQERSAAADDDWRNSKLAKTESMLLDQRNTFLLKSSNNSLFTDGQQQQQMLSFSCPKSASSGERSSPNAMLPYFHLTSSACNRNTGYNSGIFNAASMHGVLTETRWPFTQLQWMELEHQALIYKYMTANVPIPSNLLIPIRKALDSAGFSSFSGGLFKPSALQWGTFHMGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAATTTLKPMANGTSSFASASVVGLRSAVSDSHTIVHNQQQPASSSNLSATNTLSRVFLATENGGERMQDASGLSMLPSSIDMKSKETPFFISKQQNSYGESLQNEFALVTSDSLLNHSQKSSSLMSCRNFGSSQDLTDQESVSQHSLRQFMDDCPKSHSDRSAVAWPGLDLQSERTQLSISIPMAPADFVSSTSSSNNEKISLSPLRLSREFDPIKMGLGVGAGSVANEPNQRQANWIPISWETSMGGPLGEVLHNTNNNATAECKNESSLNLMTERWDNSPRVGSSPTGVLQKSAFASLSNSSAGSSPRAENKTIEGGNLCNDLGSTIVHSSSLPAL >Potri.002G236700.2.v4.1 pep chromosome:Pop_tri_v4:2:22959021:22962012:1 gene:Potri.002G236700.v4.1 transcript:Potri.002G236700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236700.v4.1 MPRSYLARGLKFIADDVLRKQGRNYYSTGGCRRLEGKVALITGGASGIGKTAAHEFIKHGAQVIIADMDSQIGPQAAKELGPAAHFVQCDVTVEAQLEKAVMIAMTDYGKLDIMYNNAGVAGPSFPPSIADLDLDEFDKVMQVNVRGTVAGIKHAARAMMPAGSGCILCTSSISGLIGGAGSHSYSVSKSTVPGIVKSVASELCRNGIRINCISPGPIPTPLSLAQIGLIYPRATQEQLVEIVNGLGQLKGAKCEEADVAEAALYLASDEAKYVTGHNLVVDGGFTCFKDLPFPSPHEIL >Potri.007G061500.11.v4.1 pep chromosome:Pop_tri_v4:7:6761795:6765222:1 gene:Potri.007G061500.v4.1 transcript:Potri.007G061500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061500.v4.1 MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPAYIECSSKTQQNVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >Potri.007G085000.1.v4.1 pep chromosome:Pop_tri_v4:7:10974072:10977040:-1 gene:Potri.007G085000.v4.1 transcript:Potri.007G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085000.v4.1 MAWIWASLAFVALIFLLQWLSTKNKRLPPGPRGFPIFGSLHLLGKFPHRALHQLAQKYGPIMHLRLGLVPTIVVSSPEAAELFLKTHDLVFAGRPPHEAARYISYGQKGMAFAQYGSYWRNMRKMCTVELLSSLKITSFKPMRMEELDLLIKYIQEAAQERVAVDMSAKVSSLSADMSCRMVFGKKYVDEDLDERGFKSVMQEVMHLTAAPHLGDYIPQIAALDLQGLTKRMNAISKVFDVFLDKIIDEHVQYQEKGKNKDFVDVMLSLMKSEENEYLVDQGCMKATMLDMLVGSMDTSATVIDWAFSELIKNPRVMKKLQKEIEEVVGKQRMVEESDLERLEYLDMVVKETLRLHPAGPLMIPHEATEDCVVNGFHIPKKSHVIINVWAIGRDPKAWTDAEKFYPERFVGSDIDVRGRDFQLIPFGTGRRSCPGMQLGLTVVRLVLAQLVHCFDWELPNGILPSEVDMTEEFGLVLCRSKHLVAIPTYRLNK >Potri.007G085000.2.v4.1 pep chromosome:Pop_tri_v4:7:10974073:10976945:-1 gene:Potri.007G085000.v4.1 transcript:Potri.007G085000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085000.v4.1 MSRSILITQLVKVRSKQQINNNIEPTSPVCFSFRLRFLSHNIQVNKRVEILYTSRTASYRITSMAWIWASLAFVALIFLLQWLSTKNKRLPPGPRGFPIFGSLHLLGKFPHRALHQLAQKYGPIMHLRLGLVPTIVVSSPEAAELFLKTHDLVFAGRPPHEAARYISYGQKGMAFAQYGSYWRNMRKMCTVELLSSLKITSFKPMRMEELDLLIKYIQEAAQERVAVDMSAKVSSLSADMSCRMVFGKKYVDEDLDERGFKSVMQEVMHLTAAPHLGDYIPQIAALDLQGLTKRMNAISKVFDVFLDKIIDEHVQYQEKGKNKDFVDVMLSLMKSEENEYLVDQGCMKATMLDMLVGSMDTSATVIDWAFSELIKNPRVMKKLQKEIEEVVGKQRMVEESDLERLEYLDMVVKETLRLHPAGPLMIPHEATEDCVVNGFHIPKKSHVIINVWAIGRDPKAWTDAEKFYPERFVGSDIDVRGRDFQLIPFGTGRRSCPGMQLGLTVVRLVLAQLVHCFDWELPNGILPSEVDMTEEFGLVLCRSKHLVAIPTYRLNK >Potri.013G074400.1.v4.1 pep chromosome:Pop_tri_v4:13:6215269:6217536:-1 gene:Potri.013G074400.v4.1 transcript:Potri.013G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G074400.v4.1 MVSSPSSLVFKVHRREPELIKPAKPTPHEFKLLSDIDDQEGLRFHVPIIQFYRHNPSMHGKDPVKVIREAIAKTLVFYYPFAGRLREGHNRKLMVECTGEGILFIEADADVTLEQFGDPLQPPFPCLEELLFDVPGSSGVLNCPLLLIQVSRLKCGGFLFALRINHTMSDGPGLVQFMAAVGEMARGANAPSVPPVWERHVLNATDPPRVTCRHRAYEEVAGSKSSILTHDHLVHRSFFFSPSDITALRRLVPPHLSHCSTFEILTACLWICRTIALQPDPNEEMRVICLVNAREKFNPPLLPRGYYGNGFFLLAAVATAGELSKKPIGYALELVRKVKADMTEEHMRSTASLMVSKGRPLFTVPGTYIVSDLRRAGLEKADFGWGNAIYGGTAKAIPELASFYIPFTNKKGEDGIVVPFCLPSPAVERFYKELEGMLKGQLVSGGANSKLIVSSL >Potri.002G207865.1.v4.1 pep chromosome:Pop_tri_v4:2:17408698:17412297:-1 gene:Potri.002G207865.v4.1 transcript:Potri.002G207865.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207865.v4.1 MATLSAYSSPPWPNPDPNPELLAPQDELDSQTQSPSEPQSYGSLSSTMPPNPNPNPNPNPFSNYNTSVSSQPQSTSQPPPISLLHVSFNQDSGCFAAGTDHGFRIYNCDPFREIFRRDFDGSGNSGGGIGAVEMLFRCNVLALVGGGPDPQYPPNKVMIWDDHQSRCIGELSFRSEVRSVKLRRDRIIVVLEQKIFVYNFADLKLLHQIETIANPKGLCAVSHGAGSLVLVCPGLQKGQVRVEHYASKRTKFIMAHDSRTACFALTQDGQLLATASTKGTLVRIFNTADGTLLQEVRRGADRAEVYSLAFSSTAQWLAVSSDKGTVHVFSLKINPGSPVIDRSQSTNEPNLALTSPASSLSFFKGVLPKYFSSEWSVAQFHLVEGSQYIVAFGHQKNTVVILGLDGSFYRCQFDPVNGGEMTQLEYHNFLKPEAAF >Potri.002G082900.2.v4.1 pep chromosome:Pop_tri_v4:2:5899935:5901678:1 gene:Potri.002G082900.v4.1 transcript:Potri.002G082900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082900.v4.1 MPNSGSRPSPGFPMASLPGTTLWSTGKPPSGSQPSLLPPNVAAGRPTGPPVSQPPPPLASRPSPPGSMPSSMRGPAVPPSGGFPSPGSPSGPTVPPPPGVRPSPFASSSPLSSGPVVNTSSVPPNEAVSNGMSFASGSMLGGPRFPPVSSTRPPPMGSPPIVSAPAPPQAPPVSSMQSRPPFSVGGPGVPPPFLAGSQGVPPPPGPPFGAQTWSLQPQQPPTKFGTPPHLANQGMMSISPAMGQNGASLAGQPKMDSKSVPRPTLTSESIHHETRLNNQANPPPACHLNFLWKGHIA >Potri.008G203600.2.v4.1 pep chromosome:Pop_tri_v4:8:14772462:14779041:-1 gene:Potri.008G203600.v4.1 transcript:Potri.008G203600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203600.v4.1 MIVKHGMNYLTVLNSARNHKLAPPLAVLRRQQFLIRVLGVRGENRMQGSKFEADSDNILRAITPVFDPNRHKGQAGKVAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPVLEESYSVGDRDKDHISRRVVAEVDKWMERFDCLVVGPGLGRDPFLLDCVSEIIKQARQSNVPIIIDGDGLFLVTNNLGLVSGYPLAILTPNVNEYKRLVQKVLNCEVNDQDAHGQLLSLAKQIGGVTILRKGKCDLISDGEIVKSVSNHGSPRRCGGQGDILSGSVAVFLSWARQLILADEGNLIISPTNPTMLGCIAGSALLRKAASLAFEDRKRSTLTTDIIECLGRSLEDICPAC >Potri.008G203600.7.v4.1 pep chromosome:Pop_tri_v4:8:14772796:14779043:-1 gene:Potri.008G203600.v4.1 transcript:Potri.008G203600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203600.v4.1 MIVKHGMNYLTVLNSARNHKLAPPLAVLRRQQFLIRVLGVRGENRMQGSKFEADSDNILRAITPVFDPNRHKGQAGKVAVIGGCREYTGAPYFAAISALKIGADLSHVFCTKDAAPVIKSYSPELIVHPVLEESYSVGDRDKDHISRRVVAEVDKWMERFDCLVVGPGLGRDPFLLDCVSEIIKQARQSNVPIIIDGDGLFLVTNNLGLVSGYPLAILTPNVNEYKRLVQKVLNCEVNDQDAHGQLLSLAKQIGGVTILRKGKCDLISDGEIVKSVSNHGSPRRCGGQGDILSGSVAVFLSWARQLILADEGNLIISPTNPTMLGCIAGSALLRKAASLAFEDRKRSTLTTDIIECLGRSLEDICPAC >Potri.006G038300.1.v4.1 pep chromosome:Pop_tri_v4:6:2513137:2514572:1 gene:Potri.006G038300.v4.1 transcript:Potri.006G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038300.v4.1 MHALGVPSSDKMAAYGKTSLFGLRILMVLLMVVVTSIIIIVPPFLPLLPPPPPIFLFLPVLMMSLLVALAFSSTSKKLPDYTTLSSLSV >Potri.019G059100.1.v4.1 pep chromosome:Pop_tri_v4:19:9818010:9822016:1 gene:Potri.019G059100.v4.1 transcript:Potri.019G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059100.v4.1 MDSKKKKEDKDNQKSEIFASCSFSALGLHPSLCNQLQERLGFEGPTLVQAQAIPVILSGRHVLVNAATGTGKTVAYLAPIIHHLHSYPSRIDRENGTFALVLVPTRELCLQVYEILQKLLHRFHWIVPGYVMGGENRSKEKARLRKGISILVATPGRLLDHLKNTASFVHTNLRWIIFDEADRILELGFGKEIEEILDLLGSRQIGSVGNGNQVSSLSNFQGQNLLLSATLNEKVNHLAKISLENPVMIGLDDKKIQPDQSVDHIETAESDEDDGLGYSKVKNSSTGDYKLPAQLVQRYVKVPCGSRLAVLLSILKNLFEREASHKIVVFFSTCDAVDFHYSLLSEFCWSPNSQSEAEHTERFLRCNTLRLHGNMKQEDRRNTFQAFKTEKSALLLSTDIAARGLDFPKVRCIVQYDSPGEATEYVHRVGRTARLGEKGDSLLFLQPVEVDYLHDLEKHGVSLTEYPILKVLGSFPLYGQVYRARKFVSLDLHPWVLYLQRALESFILSEPRMNKLAQTAFCSWVRAYTAHRGDLKRIFMVKKLHLGHVSRSFGLKQQPSLVGQSFQNQSKKRKREGKQKGLSKQRKFTRKTRT >Potri.003G089600.1.v4.1 pep chromosome:Pop_tri_v4:3:11608701:11611806:-1 gene:Potri.003G089600.v4.1 transcript:Potri.003G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089600.v4.1 MAASLSTALDVHFTIPKSDTKRPIFFTSPIRHNPTKKRLAISCNSSTSETSSSTKPPQNLSESPSPKNPSLSDQLKPLSATTLSTKDHKAQLLSKPKSTWVNPTRPKRSVLSLQRQKKSLYSYNPQIRELKLFAKKLNDCGSGEDEFESVLETIPYPPTRENALLILNSLRPWQKTHLFFNWIKTRNVFPIETIFYNVTMKSLRYGLQFDIIEELANEMVSNEIQLDNITYSTIITCAKKCSRFDKAVEWFERMYKTGLMPDEVTYSAILDVYAKLGKVEEVLSLYERGVASGWKPDPITFSVLAKMFGEAGDYDGIRYVLQEMKSLGVQPNLVVYNTLLEAMGKAGKPGLARSLFEEMVDSGLTPSEKTLTALAKIYGKARWAKDAMDLWERMRSNNWPMDFILYNTLLNMCADLGLVEEAEMLFEDMKRSEKCRPDSWTFTAMLNIYGSGGNADKSMELFEEMSKLGIGLNIMGCTCLVQCLGKARRIDDLVKVFNVAIDGGVKLDDRFCGCLLSVASLCDESEDVAKVLACLKQANPRLVALVRLIEEEETSFETLKEEFRAVVSGAVVETRRPFCNCLIDICRKRDLHGRAHELLYLGTLYGLYPDLHHKTVKEWSLDVRSLSVGAAHTALEEWMGTLTKFVQRNEELPELFSAHTGSGTHKFSQGLANSFDSHVKKLAAPFRQSEERAGHFVATREDLVTWVQSRIPSSVTARTAM >Potri.018G053000.2.v4.1 pep chromosome:Pop_tri_v4:18:5206568:5207761:-1 gene:Potri.018G053000.v4.1 transcript:Potri.018G053000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053000.v4.1 MLEESMSIWASMSSWFTPTVLFVLLNLMIGTIFITSSLATHKPSDQHQEQERHVQAQNGHGLGLGLGHGHQLARSPSVLQRLKSINFYSYRSQEPTTFTFEKPQESDQHFTLHQQTPQQGYQYHQNQNQPPITRSPSMLQRLKSINLYNYFSQEPINPTITNNHKDQETTAAHFTSQQMYGHIQELDGQLQEQQEEEEEEEEDQEQTLEEIYSKLQGNKLSKSKSDTKPTSGEVPKKLPKKMKKSASAKSAFAHFEEDDIVESRRPATVKEGKTSTEFDDTEVDAKADDFINRFKQQLKLQRMNSIMKYKEMITRGS >Potri.008G155000.3.v4.1 pep chromosome:Pop_tri_v4:8:10605697:10606743:1 gene:Potri.008G155000.v4.1 transcript:Potri.008G155000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155000.v4.1 MSLIYANETPLKDRESLLERKSRDFYGVLVASTRREEESQRFESQHRKDGLVAKSRLMGADERGIGCGGDELGYDSAAKPKMHLKGRKIREGVHIILVPSAFQTLITIYNVKEFLEDGIYIPTDVKVKQMKRPKPECVTVQKKFSTDRNRVMTAYEVRDKPSALKADDWDRVVAVFVLGKEWQFKDWPFKDRVEIFNKIIGFFMRLEDDSVESAKIVKQWNVKIISISKNKRHKDRAAALEVWDRLEEFMRSRSHT >Potri.006G239650.1.v4.1 pep chromosome:Pop_tri_v4:6:24165711:24166254:-1 gene:Potri.006G239650.v4.1 transcript:Potri.006G239650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239650.v4.1 MRLFSGSACSRLKERQLGLSAVWVAERGSLVSLPREGRRLQQGTRDPCVASGFGSQKSQWQGGGSSGGELGKKWLWERKSPKLSFGSGNSGSLFFKRHPAVAAPQGGFRFFSFQRRRGGSNR >Potri.010G244600.1.v4.1 pep chromosome:Pop_tri_v4:10:22035045:22037363:1 gene:Potri.010G244600.v4.1 transcript:Potri.010G244600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244600.v4.1 MKRQPPPAYGDGSMNPYGGGSGQRMRGNTGTMSNSYGGGGGGRQEGYSNVEAEQHPGYKSSKAEGQWQWERESRNVHNQLPPHGFSEGQGGNGARSYYHGPPPDPKSGLENQSNKEASRIQPHEQDMELGFEDNSLPMSFEGLERKFFDEVMKLAKEQSDAEDVENARHREKIIEINTRYQEKLSALRVQQANRREEFLRKESQARLSQYQQSTMGHYPNTGLQDTRCYSVAAAAGSTGGGETHRGYASSQFESNRERPQYGAGGRAQGNEGRVPYPEGRVYNNAGTRYY >Potri.010G244600.3.v4.1 pep chromosome:Pop_tri_v4:10:22035144:22037347:1 gene:Potri.010G244600.v4.1 transcript:Potri.010G244600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244600.v4.1 MKRQPPPAYGDGSMNPYGGGSGQRMRGNTGTMSNSYGGGGGGRQEGYSNVEAEQHPGYKSSKAEGQWQWERESRNVHNQLPPHGFSEGQGGNGARSYYHGPPPDPKSGLENQSNKEASRIQPHEQDMELGFEDNSLPMSFEGLERKFFDEVMKLAKEQSDAEDVENARHREKIIEINTRYQEKLSALRVQQANRREEFLRKESQARLSQYQQSTMGHYPNTGLQDTRCYSVAAAAGSTGGGETHRGYASSQFESNRERPQYGAGGRAQGNEGRVPYPEGRVYNNAGTRYY >Potri.005G064875.1.v4.1 pep chromosome:Pop_tri_v4:5:4193422:4196108:-1 gene:Potri.005G064875.v4.1 transcript:Potri.005G064875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064875.v4.1 MWACRLTQRTDFSFEGYQWQPENCKMLEFEKSAFLRRMQDRTIAFIGDSLGRQQFQSLMCMASGGERREDIENVGKEYGLVKARGAIRPDGWAYRFSNTNTTILYYWSASLADLEPLNITDKATDVAMHLDRAPAFMRRFLHRFDVLVLNTGHHWNRGKITANRWVMYVNGKPLKDRKLLEIGNAKNFTVHSVARWLDSQLPSHPRLKAFFRTISPRHFRNGDWNTGGNCDNTTPLTGGSEISQDESSDPVIAAAVKGTNITLLDITALSELRDEGHISRYSVKATTGVNDCLHWCLPGIPDTWNELLIAQV >Potri.004G081466.2.v4.1 pep chromosome:Pop_tri_v4:4:6721723:6724172:1 gene:Potri.004G081466.v4.1 transcript:Potri.004G081466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081466.v4.1 MISEGSMDVRDDDSQDRKSAFCFFLTMFSAHVVWCRRTDELVDGPNASRIAPTALDRWEARLEDLFQGRPFDMMDAALADTVAKFPVDIQPFKDMIEGMRMDLTKSRYKNFDELYLYCYYVAGTVGLLSVPVMGIAPESQAPTESVYNAALALGIANQLTNILRDVGEE >Potri.004G218400.1.v4.1 pep chromosome:Pop_tri_v4:4:22445092:22445865:1 gene:Potri.004G218400.v4.1 transcript:Potri.004G218400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218400.v4.1 MATTAALSSAMVSTSFTRRVPVTSLRALPNVGESLLGLKASRGGRVKAMAAYTVKLITPDGEKEFACPDDIYILDHAEEAEEIDLPYSCRAGSCSSCLGKIVKGTVDQSDASFLDDDQIEEGWVLTCVAYPTSDVVIETHKEEEFSG >Potri.005G189300.1.v4.1 pep chromosome:Pop_tri_v4:5:19710957:19712512:1 gene:Potri.005G189300.v4.1 transcript:Potri.005G189300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G189300.v4.1 MDSSDFPISRSPRKELQGPRPPALKIRKDSHKIKKPPVAPQPSHQKPQNQQQIQPRPPVIIYTLSPKVIHTNPNDFMTLVQRLTGSSSTSTCSSTSTSSNPFNDHDCGAISPAARYATIEKAKSPKDQLKQQLGGDVGFVEGIMEIDQVMERTNLGPGILSPGPASLPPIPPNFFSPASADPNSVSFFHDLSPILHGNRNFIEGSFMPSPSTFFSPSTPSIDLFNNFNTYNNLFDF >Potri.004G188900.1.v4.1 pep chromosome:Pop_tri_v4:4:20141490:20144209:-1 gene:Potri.004G188900.v4.1 transcript:Potri.004G188900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188900.v4.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSVSPTLLLSNMYQRPDMITPGVDAQGNPIDPRRIQQHFEEFYEDLFEELRKYGEIESLNVCDNLADHMVGNVYVQFREEEHASNALKNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENACNRGGYCNFMHLKRIGRELRRQLFGSYRRRRSHSRSRSPYRHRSHEEHSHSGRGSGRRYDDREHYYESRSRRHRSTSPGHRKGRSRSPGGRRKRSPVREGSEERRAKIAQWNREREQQEGTANNNVNADSSNNDNGQMQNGSGQEYQQHIPPQQGEYAY >Potri.004G188900.2.v4.1 pep chromosome:Pop_tri_v4:4:20141676:20144199:-1 gene:Potri.004G188900.v4.1 transcript:Potri.004G188900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188900.v4.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPSVSPTLLLSNMYQRPDMITPGVDAQGNPIDPRRIQQHFEEFYEDLFEELRKYGEIESLNVCDNLADHMVGNVYVQFREEEHASNALKNLTGRFYAGRPIIVDFSPVTDFREATCRQYEENACNRGGYCNFMHLKRIGRELRRQLFGSYRRRRSHSRSRSPYRHRSHEEHSHSGRGSGRRYDDREHYYESRSRRHRSTSPGHRKGRSRSPGGRRKRSPVREGSEERRAKIAQWNREREQQEGTANNNVNADSSNNDNGQMQNGSGQEYQQHIPPQQGEYAY >Potri.005G112100.2.v4.1 pep chromosome:Pop_tri_v4:5:8121378:8123643:1 gene:Potri.005G112100.v4.1 transcript:Potri.005G112100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112100.v4.1 MMQDMWNAPPGFRPTKSAPTSPAKPLGVSRTRSESFQAIHKVPVGDSPYVRAKNVQLVDKDPEKAVPLFWAAINAGDRVDSALKDMAIVMKQQNRAEEAIEAIKSLRHRCSDQAQESLDNILLDLYKRCGRLDDQIALLKHKLFLIQQGLAFNGKRTKTARSQGKKFQVSVEQEATRLLGNLGWALMQQNNYIEAEDAYRRALATAPDNNKMCNLGICLMKQGRIGEAKETLRRVKPAVADGPRGVDSHLKAYERAQQMLKDLESEMMSKGGDRVEQRRLFDAFLGSSSIWQPQPCKDHLQATSTKSHHDDFANENVDSNIVSNQNQMLFPQQNSVKQFAPFGNLWNVDAPPFYSSKLVKEPIKEPSHEFHETLKRTRSGKTATSNRKIEMGLFTTQSVEPGKPKPKTRRLSDETEDKLSQLLPDNDDFDEAILAAILGPATNSRKTAVKVVETASSGIFQQRKIEKRLKVFQDITLSLSPRA >Potri.015G066000.4.v4.1 pep chromosome:Pop_tri_v4:15:9155299:9164229:-1 gene:Potri.015G066000.v4.1 transcript:Potri.015G066000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066000.v4.1 MGEKAEVLEAVLKETVDLENIPIEEVFENLRCSREGLTSQAAEERLSIFGHNKLEEKKERKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLFINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEQDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAIGMIIELIVMYPIQDRQYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAAQASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSGGIMHRVSKGAPEQILNLAHNKSDIERRVHAVIDKFAERGLRSLAVAYQKVPEGRKESAGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIVALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWAAYKTNFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGILLVVAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIVFYIPLDLIKFIIRYALSGRAWDLVIEQRIAFTTQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTERTHFTELNHMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Potri.015G066000.2.v4.1 pep chromosome:Pop_tri_v4:15:9155305:9164229:-1 gene:Potri.015G066000.v4.1 transcript:Potri.015G066000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066000.v4.1 MGEKAEVLEAVLKETVDLENIPIEEVFENLRCSREGLTSQAAEERLSIFGHNKLEEKKERKFLKFLGFMWNPLSWVMEAAAIMAIALANGGGKPPDWQDFVGIITLLFINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEQDAAVLVPGDIISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKGPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDTTNQVGHFQKVLTAIGNFCICSIAIGMIIELIVMYPIQDRQYRPGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNLIEVFAKGVDADTVVLMAAQASRIENQDAIDTAIVGMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDSGGIMHRVSKGAPEQILNLAHNKSDIERRVHAVIDKFAERGLRSLAVAYQKVPEGRKESAGGPWQFIGLMPLFDPPRHDSAETIRRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDESIVALPIDELIEKADGFAGVFPEHKYEIVKRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLALIWKFDFPPFMVLIIAILNDGTIMTISKDRVKPSPLPDSWKLAEIFTTGVVLGSYLAMMTVIFFWAAYKTNFFPRVFGVSTLEKTAHDDFRKLASAIYLQVSTISQALIFVTRSRSWSYVERPGILLVVAFVIAQLIATLIAVYANWSFAAIEGIGWGWAGVIWLYNIVFYIPLDLIKFIIRYALSGRAWDLVIEQRIAFTTQKDFGKEQRELQWAHAQRTLHGLQAPDTKMFTERTHFTELNHMAEEAKRRAEIARLRELHTLKGHVESVVRLKGLDIDTIQQAYTV >Potri.019G028101.1.v4.1 pep chromosome:Pop_tri_v4:19:4049485:4050309:1 gene:Potri.019G028101.v4.1 transcript:Potri.019G028101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G028101.v4.1 MEVFMSERANLFFHNKVIDGTAIKRIISRFIDHFGMAYTSHILDQVKTMGFHQATATSISLGIDDLLTIPSKGWLVQDAEQQSLILEKHHHYGNVHAIEKLRQSIEIWYATSEYLRQEMNPNFRMTEPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTVVRTSDAGYLTRRLVEVVQQIVVRRTDCGTTRGISVSSRNGMIPERIFIQTLIGRVLADNIYMGLRCIATRNQDIGIGL >Potri.010G218100.3.v4.1 pep chromosome:Pop_tri_v4:10:20457764:20462347:1 gene:Potri.010G218100.v4.1 transcript:Potri.010G218100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218100.v4.1 MGKRGSWFSALKKALGSSKKSKSKKKWSEKEKNRDLGVSSHEETVAPSLSPPRTPPPPTAEDVKLTEAENEQSKHAYSVALATAVAAEAAVAAAQAAAEVVRLTTVAHYSGKSKEEIAAIRIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATATLRAMQTLARVQSQIRARRIRMSEENEALQRQLQQKHDKELEKLRTSIGEQWDDSPQSKEEVEASLLQKQEAAMRRERALAYAYSHQQMWKQSSKSANATFMDPNNPRWGWSWLERWMAARPWESRSTIDNNDRASVKSTTSRTMSLGEISRAYSRRDLNHDNKASPGAQKSSRPPSRQSPSTPPSKAPSTSSVTGKAKPPSPRGSAWGGDEDSRSTFSVQSERYRRHSIAGSSIRDDESLASSPSVPSYMAPTRSQSAKAKSRLSSPLGIDNNGTPDKASVGYVKKRLSFSASPAGARRHSGPPRVDASAVKDIQMHREEKMSNGASSK >Potri.010G218100.1.v4.1 pep chromosome:Pop_tri_v4:10:20457948:20462437:1 gene:Potri.010G218100.v4.1 transcript:Potri.010G218100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218100.v4.1 MGKRGSWFSALKKALGSSKKSKSKKKWSEKEKNRDLGVSSHEETVAPSLSPPRTPPPPTAEDVKLTEAENEQSKHAYSVALATAVAAEAAVAAAQAAAEVVRLTTVAHYSGKSKEEIAAIRIQTAFRGYLARRALRALRGLVRLKSLIQGQSVKRQATATLRAMQTLARVQSQIRARRIRMSEENEALQRQLQQKHDKELEKLRTSIGEQWDDSPQSKEEVEASLLQKQEAAMRRERALAYAYSHQQMWKQSSKSANATFMDPNNPRWGWSWLERWMAARPWESRSTIDNNDRASVKSTTSRTMSLGEISRAYSRRDLNHDNKASPGAQKSSRPPSRQSPSTPPSKAPSTSSVTGKAKPPSPRGSAWGGDEDSRSTFSVQSERYRRHSIAGSSIRDDESLASSPSVPSYMAPTRSQSAKAKSRLSSPLGIDNNGTPDKASVGYVKKRLSFSASPAGARRHSGPPRVDASAVKDIQMHREEKMSNGASSK >Potri.010G218100.4.v4.1 pep chromosome:Pop_tri_v4:10:20457958:20462362:1 gene:Potri.010G218100.v4.1 transcript:Potri.010G218100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218100.v4.1 MQTLARVQSQIRARRIRMSEENEALQRQLQQKHDKELEKLRTSIGEQWDDSPQSKEEVEASLLQKQEAAMRRERALAYAYSHQQMWKQSSKSANATFMDPNNPRWGWSWLERWMAARPWESRSTIDNNDRASVKSTTSRTMSLGEISRAYSRRDLNHDNKASPGAQKSSRPPSRQSPSTPPSKAPSTSSVTGKAKPPSPRGSAWGGDEDSRSTFSVQSERYRRHSIAGSSIRDDESLASSPSVPSYMAPTRSQSAKAKSRLSSPLGIDNNGTPDKASVGYVKKRLSFSASPAGARRHSGPPRVDASAVKDIQMHREEKMSNGASSK >Potri.007G042350.1.v4.1 pep chromosome:Pop_tri_v4:7:3608325:3608890:-1 gene:Potri.007G042350.v4.1 transcript:Potri.007G042350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042350.v4.1 MLRRKSKRGESSGLTSKTDTKIMDSCPKIARNEGRKTGKKLLLVITTTVQHSKKQMIKLSF >Potri.005G085900.1.v4.1 pep chromosome:Pop_tri_v4:5:5934522:5939725:1 gene:Potri.005G085900.v4.1 transcript:Potri.005G085900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085900.v4.1 MGPLTLAVDTKTSSKPHLDAPILKMQKTKPFASINAQEDSPFDFLRILFEGVIAGGTAGVVVETALYPIDTIKTRLQAARGGGKIMLKGLYSGLAGNLAGVLPASALFVGVYEPTKQKLLKTFPENLSAVAHLTAGAVGGIAASLIRVPTEVVKQRMQTGQFTSAPDAVRLIVSKEGFKGFYAGYGSFLLRDLPFDAIQFCIYEQLRIGYRVAAQRELNDPENAAIGAFAGALTGAITTPLDVIKTRLMVQGSANQYKGIVDCVSTVVREEGPTALLKGIGPRVLWIGIGGSIFFGVLERTKRLLAQRRPISDQQPNPKQD >Potri.001G249200.11.v4.1 pep chromosome:Pop_tri_v4:1:26528702:26533457:1 gene:Potri.001G249200.v4.1 transcript:Potri.001G249200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249200.v4.1 MASVQAAAILTSSAVTKNGITTAFLPPGFSSASAAACKKDFCARSLASGARATLTFDPPPATKQKKNTVDPSSPDFLPLPSFEQCFPKSTKEYREVKHEESGHVLKVPFRRVHLSGDEPSFDNYDTSGPQNISPRVGLPKLRKEWVDRREKLGTPRYTQMYYAKQGIITEEMLYCAAREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKISAHAADLAKGHPHAQTWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQHGMDAMSAEFLAARKTISGEQHGEVGGEIYLPANYISSSER >Potri.001G249200.1.v4.1 pep chromosome:Pop_tri_v4:1:26528571:26533037:1 gene:Potri.001G249200.v4.1 transcript:Potri.001G249200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249200.v4.1 MASVQAAAILTSSAVTKNGITTAFLPPGFSSASAAACKKDFCARSLASGARATLTFDPPPATKQKKNTVDPSSPDFLPLPSFEQCFPKSTKEYREVKHEESGHVLKVPFRRVHLSGDEPSFDNYDTSGPQNISPRVGLPKLRKEWVDRREKLGTPRYTQMYYAKQGIITEEMLYCAAREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRDTLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLAYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHVPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKAGVIAYKISAHAADLAKGHPHAQTWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGSAEEAVQHGMDAMSAEFLAARKTISGEQHGEVGGEIYLPANYISSSER >Potri.013G087300.1.v4.1 pep chromosome:Pop_tri_v4:13:8235373:8241490:1 gene:Potri.013G087300.v4.1 transcript:Potri.013G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087300.v4.1 MSVTEFAMVEELAFLVKDNLRCKHLVLSMEETFLNFLQDDSSHSDGILELQPMDAYSRLLLHRLADIFGFSHVSIGEGADRHLILERCPETSIPSILVSDILFQFDEPHTLTTSHQLLRRKDAPPVLPATSPPHNAFREREVAYFAARDRIFSRDVGEMKEPIKEKPQKVLVVAHRMIAHALGQNTSLRNQGAAVRDGEGHRVKTKEQHVQEKGKIEPNSSSEAFQGIAFLPDKNINSFGKTKSNNHGSCASSQGQRNSHQVLVERGGTCNISKSQNLTGRNSTKDYSKEEHVGAAKRMFAHALGRHLGDQTTNSDRKRVDD >Potri.001G182000.1.v4.1 pep chromosome:Pop_tri_v4:1:16076568:16078311:1 gene:Potri.001G182000.v4.1 transcript:Potri.001G182000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182000.v4.1 MDFWPEFLASSWGREFVAGGFGGIAGIISGYPLDTLRIRLQQPNSGSAFSILRRVMAGEGPAALYRGMGAPLASVTFQNAMVFQTYAILSRAFDSSVSASDPPSYKGVVLGGVGTGAIQSIMLSPVELVKIRLQLQNVSHANLHGAASYKGPVSVAKSILKTEGIKGIYRGFVITVLRDAPAHGVYFWTYEYMREQFHPGCRKNGHESLRTMLTAGGLAGVASWLCCYPLDVVKTRLQAQTPSSSSPLKYKGILDCFRRSVKEEGYCVLWRGLGTAVARAFVVNGAVFAAYEIALRCLFNNGSIQTENTI >Potri.010G101300.1.v4.1 pep chromosome:Pop_tri_v4:10:12410647:12413912:-1 gene:Potri.010G101300.v4.1 transcript:Potri.010G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101300.v4.1 MMSYLDLISSKKRKREERVFRFKIFGENGYPVEFDGSFQQNIKKLLELGHFQRNICSRMPSWSFKLEVIRQPSFHILLFVVEEPIEASLEHHCKHCQYLGWGQNMICNRKYHFVLPSKDIEAAFLNCQDGAISTKDNFNLVQSRGHLMHGIFHSNGFGHLLCVNGMEGGSDLAGCQIMEFWDRLCTGLRARKVSLNDISQKRSMELRLLHGVAFSEPWFGRWGYKFGRGSFGVTQPMHQKAIETIQGMPLCILVHYLGNSNHHIPVILSRYQTVSDHSLVTLGDLFRFMLELKTHLPEENCVDSHIVKPTCRWSPKRVEMATRVIVEALKRAEFRWVSRQDVRDAARAYIGDTGLLDFVLKSLGNHVVGNYLVRRCLNPVTKVLEYCLEDVSNVHPEQQGLVINNSKMKGRYRMTRPQLMKDMLYLYRCILKDQKPTMNQGILSAIPAATRIILDTKYLVKEYNGELPWKIHHTGHEEAGKMTLYCTVFLRDKQESNEVMKKAMPPLECITLKNNATFNELKLEVERKFRELYWGLKSFVVESIMNLNVKGTDLVSEVVEVGQKIVLEGSNAESGTINELIYECGVNNRVVDCACGAKEDDGERMISCDICEVWQHSRCVQIPNNQEMPPIFLCSRCEKEIMILPSMP >Potri.010G101300.2.v4.1 pep chromosome:Pop_tri_v4:10:12410647:12413912:-1 gene:Potri.010G101300.v4.1 transcript:Potri.010G101300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101300.v4.1 MICNRKYHFVLPSKDIEAAFLNCQDGAISTKDNFNLVQSRGHLMHGIFHSNGFGHLLCVNGMEGGSDLAGCQIMEFWDRLCTGLRARKVSLNDISQKRSMELRLLHGVAFSEPWFGRWGYKFGRGSFGVTQPMHQKAIETIQGMPLCILVHYLGNSNHHIPVILSRYQTVSDHSLVTLGDLFRFMLELKTHLPEENCVDSHIVKPTCRWSPKRVEMATRVIVEALKRAEFRWVSRQDVRDAARAYIGDTGLLDFVLKSLGNHVVGNYLVRRCLNPVTKVLEYCLEDVSNVHPEQQGLVINNSKMKGRYRMTRPQLMKDMLYLYRCILKDQKPTMNQGILSAIPAATRIILDTKYLVKEYNGELPWKIHHTGHEEAGKMTLYCTVFLRDKQESNEVMKKAMPPLECITLKNNATFNELKLEVERKFRELYWGLKSFVVESIMNLNVKGTDLVSEVVEVGQKIVLEGSNAESGTINELIYECGVNNRVVDCACGAKEDDGERMISCDICEVWQHSRCVQIPNNQEMPPIFLCSRCEKEIMILPSMP >Potri.018G090400.1.v4.1 pep chromosome:Pop_tri_v4:18:11074936:11082520:-1 gene:Potri.018G090400.v4.1 transcript:Potri.018G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090400.v4.1 MEETDASAPAEKTTSKNALKKELKNKKKEEERRLKEEDKARQAAAKASTQVQKSAAAADDEDMDPTQYYENRLKYLDAQKAEGKNMYPHKFFVTLTIPEYIDKYGGLSNGEHLEDVSVSLAGRIMSKRSSSSKLFFYDLHGLGTKVQVMADASKSGLDEAEFSKLHSSVKRGDIVGVTGFPGKTKRGELSIFPTSFTVLSHCLHMMPRQKAGPGSDACVKKSEVWAPGTVRNPEAYILKDQETRYRQRYLDLMLNLEVQQIFKTRSKIIKYVKSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMKLYMRIAPELFLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTEKMLSGMVKELTGGYKIKYHANGLDKDPIEIDFTPPFRRIDMIEELEKMANLNIPKDFSSDEATKYLVAACERFEVKCPPPQTTTRLLDKLVGHFLEETCVNPAFIINQPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRERFESQLKDRQSGDDEAMAFDETFCTALEYGLPPTGGWGMGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSAKAT >Potri.018G090400.3.v4.1 pep chromosome:Pop_tri_v4:18:11075024:11082432:-1 gene:Potri.018G090400.v4.1 transcript:Potri.018G090400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090400.v4.1 MMPRQKAGPGSDACVKKSEVWAPGTVRNPEAYILKDQETRYRQRYLDLMLNLEVQQIFKTRSKIIKYVKSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMKLYMRIAPELFLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTEKMLSGMVKELTGGYKIKYHANGLDKDPIEIDFTPPFRRIDMIEELEKMANLNIPKDFSSDEATKYLVAACERFEVKCPPPQTTTRLLDKLVGHFLEETCVNPAFIINQPEIMSPLAKWHRSKPGLTERFELFVNKHELCNAYTELNDPVVQRERFESQLKDRQSGDDEAMAFDETFCTALEYGLPPTGGWGMGIDRLAMLLTDSQNIKEVLLFPAMKPQDEPSAKAT >Potri.001G177100.2.v4.1 pep chromosome:Pop_tri_v4:1:15356873:15365147:1 gene:Potri.001G177100.v4.1 transcript:Potri.001G177100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177100.v4.1 MKKENTLPSNFRQLNGPVTRAAALRASGKMPPLKASSKQDQKRILRANPKRAALDENNTSGPANAGNQRKRRAVLQDVTNVCCENSYTSCFSATKIQAKIAKKGQLKVSKIAPSVSLEHRHLRANSKKKIICQEVKRVPYSEIVCSSTQEKDVSSQPSGIRGVGIDDPQLPNQCSRVPSHPHNSPKKEKCNVSENQKISRDQEFIDIDSNHKDPQLCSLYAADIYSNLRVAELVRRSLPNFMETVQRDITQSMRGILIDWLVEVSEEYKLVPDTLYLTVYLIDRFLSQNYIERQRLQLLGITCMLIASKYEEICSPRVEEFCFITDNTYTSHEVLRMETQVLNFFGFQIFAPTAKTFLRRFLRAAQASYKSPSYELEYLADYLAELTLVDYSFLNFLPSVIAASSVFLARWTLDQTSHPWSPTLEKYTSYKASDLKTTVLAMQDLQLNTSGCPLNAIRMKYRQPKFKSVSALSSPKLLETLF >Potri.005G031899.1.v4.1 pep chromosome:Pop_tri_v4:5:2101564:2108633:-1 gene:Potri.005G031899.v4.1 transcript:Potri.005G031899.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031899.v4.1 MHCRDEIKLIEEIVSDIQKKLHHEPSPSIDAERLVGMKSRVKDIDSLLSFGSTGVLIVGIWGMGGIGKSTTAEAVYHRNCSKFEGHCFFQNVREESQKHGIDHVRQEILGEVLEKKDMTIRTKVLPPAIKRMLQRKKVLIVLDDVNDPQVLKYLLGEDGLFGQGSRIMVTSRDRQVLINECDEDKIYEVEILEEDDALRLFSLHAFKQNNPIEGYIGLSKTVVSCVKGVPLVLEVLGASLCRKTSVEYWESKVAQLRKNGCEDIKKCLEMCYHELDQTEKKIFLDIACFFGRCKRDHLQQTLDLEERSGIDRLIDMCLIKIVQNKIWMHDVLVKLGKKIVHQENVDPRERSRLWQADDIYRVLTTQRTGSKMESISLNLLAITEEMILSPTAFEGMYNLRLLKIYYPPFLKDPSKEQIMNGKRVGIHLPGGLHFLSSELRFIYWYNYPLKSMPSNFFPKKPFQLEMPCSQLEQLCNEYQPLEKLKLINPPSSKPSLIDSDLSKVPHLEVLHPGIPSSIKYSTRLTTLELLRLESFYTLPSSIGCLSQLVRLNLSSCESLASLPDNIDELKSLVELDLYSCSKLASLPNSICKLKCLTKLSLGRQPKLASLPDNIGELRSLVKLSLSSCSKLASLPDSIGELRSLVELHVFSCSGLANLPDSIGELRSLEELDLNGCFGLASLPNSIGELKSLQWFDLNGCFGLASLPDSIGALKSLQRLYLDGCSGLASLPSSIGGLKSLKSLFLRVASQQDSIDELESLKSLIPSGCLGLTSLPDSIGALKSLENLYFSGCSGLASLPDNIGSLKSLKSLTLHGCSGLASLQDRIGELKSLEKLELNGCLGLASLPDNIGTLKSLKWLKLDGCSGLASLPDRIGELKSLKQLYLNGCSGLASLTDNIGELKSLKQLYLNGCSGLASLPDRIGELKSLELLELNGCSGLASLPDTIDALKCLKKLDFFGCSGLASLPNTIGELESLQQLRLVDFAQLASLPDNIGAMKSLEWLVLSGCSGLASLPDNIGELESLQSLLLSGFSQLASLPDSFGALKSLKSLSLFDCSGLASLPDNIGELESLQSLHLSGFSQLASLPNSMTALKSLKSLSLYGSPGLASLLDNIGALKSLNSLYLSGFSELASLPDNICALKSLESLSIRGCLGLASLPDNIGGLKSLTWLNLSGCSGLKSLPDSIGELKNLMTLFLGGCLKLASLRDNFIDIEFRGLDKQRCYTLRGFQKVEEIASSTYKLGCHEFLNLGNSRVLKTPQSLGSLVSLTKLRLSEIDFERIPASIKHLTKLRELYLDDCKRLQCLPELPSTLQVLIASGCISLKYVAIISMQGDREYDDASQEFSFSGCLQLDQNSRTRIMGDARLRIQRMATSLVYQEYHRQNNRVRLCIPGSEVPECFSYKNRDGSSVKIRQLARWHRGFTLCAVVSFGQSEERRSVNIECECHLIIKDETQIDLSSYYYKEYEIMAWSSTVWKKEQVFIWSVHCKCFFKEASFHFKPLCGATDVVVKCGVHPLLK >Potri.002G208830.1.v4.1 pep chromosome:Pop_tri_v4:2:17536596:17537059:-1 gene:Potri.002G208830.v4.1 transcript:Potri.002G208830.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208830.v4.1 MKISLESRNEWEEDHLFFFSSPVTIFLCFKRESSFFHHLKGEEPEKD >Potri.015G065400.1.v4.1 pep chromosome:Pop_tri_v4:15:9099824:9102360:1 gene:Potri.015G065400.v4.1 transcript:Potri.015G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065400.v4.1 MAGGTGGSNSNLSVLLQSQRGPCAASQPLESFFLSGSSPSFLGSRSMMSFEDVHQANGSTRPFFRSFDHEDNGDDDLDEYFHQPEKKRRLTVDQVQFLEKSFELENKLEPERKIQLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYDVLQSSYNSLKADYDNLLKEKEKLKAEVNLLTDKLLLKEKEKGISELSDKDALSQEPPKRAIADSASEGEVSKISTVACKQEDISSAKSDIFDSDSPHYADGVHSSLLEAGDSSYVFEPDQSDLSQDEEDNFSKSLLPPYVFPKLEDDDYSDPPASFEDHAFWSWSY >Potri.012G105900.1.v4.1 pep chromosome:Pop_tri_v4:12:12763570:12770348:-1 gene:Potri.012G105900.v4.1 transcript:Potri.012G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105900.v4.1 MARLLVHSANIPAVAPCPRHCQYEESKKSRASSAKMMCSLPSRGLVISGYSGLRSANCLDTLLRHGHSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKMGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLSKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVVVLNGQSGGAPDALPDMLNVV >Potri.012G105900.5.v4.1 pep chromosome:Pop_tri_v4:12:12763641:12770063:-1 gene:Potri.012G105900.v4.1 transcript:Potri.012G105900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105900.v4.1 MARLLVHSANIPAVAPCPRHCQYEESKKSRASSAKMMCSLPSRGLVISGYSGLRSANCLDTLLRHGHSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKMGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLSKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVVVLNGQSGGAPDALPDMLNVV >Potri.012G105900.4.v4.1 pep chromosome:Pop_tri_v4:12:12763696:12770342:-1 gene:Potri.012G105900.v4.1 transcript:Potri.012G105900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105900.v4.1 MARLLVHSANIPAVAPCPRHCQYEESKKSRASSAKMMCSLPSRGLVISGYSGLRSANCLDTLLRHGHSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKMGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLSKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVVVLNGQSGGAPDALPDMLNVV >Potri.012G105900.3.v4.1 pep chromosome:Pop_tri_v4:12:12763653:12770095:-1 gene:Potri.012G105900.v4.1 transcript:Potri.012G105900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105900.v4.1 MARLLVHSANIPAVAPCPRHCQYEESKKSRASSAKMMCSLPSRGLVISGYSGLRSANCLDTLLRHGHSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKMGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLSKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVVVLNGQSGGAPDALPDMLNVV >Potri.012G105900.2.v4.1 pep chromosome:Pop_tri_v4:12:12763572:12770339:-1 gene:Potri.012G105900.v4.1 transcript:Potri.012G105900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105900.v4.1 MARLLVHSANIPAVAPCPRHCQYEESKKSRASSAKMMCSLPSRGLVISGYSGLRSANCLDTLLRHGHSFHSKVAITISPRQQKAKRFVPRAMFERFTEKAIKVIMLAQEEARRLGHNFVGTEQILLGLIGEGTGIAAKVLKSMGINLKDARVEVEKIIGRGSGFVAVEIPFTPRAKRVLELSLEEARQLGHNYIGSEHLLLGLLREGEGVAARVLENLGADPSNIRTQVIRMVGESTENLAGSTVGPGSSNNKMPTLEEYGTNLTKLAEEGKLDPVVGRQPQIERVVQILGRRTKNNPCLIGEPGVGKTAIAEGLAQRIASGDVPETIEGKKVITLDMGLLVAGTKYRGEFEERLKKLMEEIKQSDEIILFIDEVHTLIGAGAAEGAIDAANILKPALARGELQCIGATTLDEYRKHIEKDPALERRFQPVKVPEPSVDETIQILKGLRERYEIHHKLRYTDEALVAAAQLSYQYISDRFLPDKAIDLIDEAGSRVRLRHAQVPEEARELEKEVRQITKEKDEAVRGQDFEKAGELRDREMDLRAQIAAIVEKGKEMSKAETEAGDVGPTVTESDIQHIVSSWTGIPVEKVSTDESDRLLKMEDTLHKRVIGQDEAVKAISRAIRRARVGLKNPNRPIASFIFSGPTGVGKSELAKALAAYYFGSEEAMIRLDMSEYMERHTVAKLIGSPPGYVGYTEGGQLTEAVRRRPYTVVLFDEIEKAHPDVFNIMLQILEDGRLTDSKGRTVDFKNTLLIMTSNVGSSVIEKGGRKMGFDLDYDEKDSSYNRIKSLVTEELKQYFRPEFLNRLDEMIVFRQLSKLEVKDIADIMLKEVFERLKAKEIELQVTERFRDRVVDEGYNPSYGARPLRRAIMRLLEDSMAEKMLSAEIKEGDSVIIDVDSDGNVVVLNGQSGGAPDALPDMLNVV >Potri.016G001450.3.v4.1 pep chromosome:Pop_tri_v4:16:74268:75001:1 gene:Potri.016G001450.v4.1 transcript:Potri.016G001450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001450.v4.1 MMPLLCVLVDESLPSLIIKAFLLQFYDALQPCWIIRMLLLILKGASKIPHHGAGKSFLKLANSNNSTLEGKQAACKRVKQDVPTLPSPYRTFRMENCLPFILSRSS >Potri.010G207200.6.v4.1 pep chromosome:Pop_tri_v4:10:19740411:19744521:1 gene:Potri.010G207200.v4.1 transcript:Potri.010G207200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207200.v4.1 MSKEASNGDHNSAAKAPPTPSPLRFSKFFQSNMRILITGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQNESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPEVKIISVENTPDDPRQRKPDITKAKELLGWEPKIKLRDGLPLMEEDFRQRLGVPRKN >Potri.010G207200.8.v4.1 pep chromosome:Pop_tri_v4:10:19741206:19744500:1 gene:Potri.010G207200.v4.1 transcript:Potri.010G207200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207200.v4.1 MSKEASNGDHNSAAKAPPTPSPLRFSKFFQSNMRILITGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQNESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPEVKIISVENTPDDPRQRKPDITKAKELLGWEPKIKLRDGLPLMEEDFRQRLGVPRKN >Potri.010G207200.7.v4.1 pep chromosome:Pop_tri_v4:10:19740984:19744612:1 gene:Potri.010G207200.v4.1 transcript:Potri.010G207200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207200.v4.1 MSKEASNGDHNSAAKAPPTPSPLRFSKFFQSNMRILITGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLIHPQNESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMIELAENVKELINPEVKIISVENTPDDPRQRKPDITKAKELLGWEPKIKLRDGLPLMEEDFRQRLGVPRKN >Potri.011G163932.1.v4.1 pep chromosome:Pop_tri_v4:11:18884360:18885245:-1 gene:Potri.011G163932.v4.1 transcript:Potri.011G163932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163932.v4.1 MTFTTSLARARRLLRSLHSKLKKKGYEVLFMVDAIDKYAVGQLKEFEGKTLVSATMEGLKLDQTEDEKKRQEELKQNFEGLCKVIKDVLGDKVEKVLWQFVTSNADIALVFN >Potri.003G193250.1.v4.1 pep chromosome:Pop_tri_v4:3:19619813:19621065:-1 gene:Potri.003G193250.v4.1 transcript:Potri.003G193250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193250.v4.1 MEDTQMITGNNNSLAETDEIADLLSSLPKEKSWRLGYLYRYQGFWCPEKQIPAVIAFQKHFIAQKTDTILVTMPKSGTTWLKALAFSIMNRAKYTPSCSPLNSVNPHDLVPFFEFRLYANNQLPDLSTFPSPRMFATHVPYPSLPDSIKNSGCRIVCLCRNPFDNFISLWHFASKARHESLGPLSMEDCFDSFCNGLGGFGPFFDHVLGYWRESLERPEEVLFLTYEDMKEDINSQMKRLAEFLGCPFSLEEEADGVVEGISKLCSFSNMKDKEINKTGKSIPHFENKTLFRRGEVGDWVNYLSPEMVDRLNKIMEQKLAGSGLKFKTGL >Potri.017G102000.1.v4.1 pep chromosome:Pop_tri_v4:17:11318440:11321721:-1 gene:Potri.017G102000.v4.1 transcript:Potri.017G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102000.v4.1 MAAASAATFSVGSAFSFGTKASQLPQSKLSSVRFNSQSSLATFSGLKAATFVTCESESSFLGKESNAALRCSVAPKAQKSSQRSQHRLNPQASYKVAVLGAAGGIGQPLALLIKMSPLVSALHLYDIANVKGVAADLSHCNTPSQVLDFTGAAELPNSLKGVDIVVIPAGVPRKPGMTRDDLFNINASIVKTLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKKKGVYDPKKLFGVTTLDVVRANAFVAEKKNLKLIDVDVPVVGGHAGITILPLLSKTKPSVSFTDEEVQELTVRIQNAGTEVVEAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFVQSDLTDLPFFASRVKLGRKGVETIISSDLQGLTEYEQKALEALKPELKASIEKGIAFAQREPVAA >Potri.014G152700.2.v4.1 pep chromosome:Pop_tri_v4:14:10716299:10717711:1 gene:Potri.014G152700.v4.1 transcript:Potri.014G152700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152700.v4.1 MHLYNLQSEQTKEFFIRSLIEWDECCGFQTKHKYRFGWFSMKLKLVGGDSAGVMCTENGAGPTRDELDFEFLGNRTGEPYLIQTNIYKNGTGNRERRHMLWFDPTEEFHTYSILWNNLQIVAIRVPKNNGEPNNFFPNEKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFTVEACQWEDPYPACVSTTTKYWWDQYDAWHLSDEQKMDCAWVQRNLVIYDYCKDTERFPALPVECKLSPWD >Potri.016G049500.1.v4.1 pep chromosome:Pop_tri_v4:16:3218003:3220241:1 gene:Potri.016G049500.v4.1 transcript:Potri.016G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049500.v4.1 MADELDQESEAQLQYPTDPNAYRLVDEIGGTGARAKVHKAICIHNIWKSTFVAIRIFDLEQYPADFDGLRRETKTMSLHSHPNVLASHLSFAVDSYLWVVMPYMAAGSLQPIISTYFPEGLPEPSIAIILKETLQGLCYIHDQGRLHTDIKAGNILIDTENGSIKLADRGKSVSIYDLRSVVGSSPLSPSSRMRLTDVAGTPYWMAPEVIHDLDAGYSLKADIWSFGITALEIAYGGPPFSDLPPSKSLIMKIKKRLGFSNYHDEKHKKDFKNKKFSKEFKDMVASCLDQDPSKRPSADQLLEYSFFKNCRGLEFLFKKVFDGLPNVEETFKELKALQGTPSQITAGTDVEEEEERPESVGPSEKTRWISGWKLNEYEFNLVPEFSSDSEDDSVVKLVRFGGETIIPDTNIGFSVSSIGSSDLEGSVEDHTGENMSGIEGIVEEFNQVTVLEGIMALMRSLDEQRRQVARMIALLGGEADGEEQLVQRIENLMRELDLEKEKNLKLEMELENINIVISGAYNDASAAAAADDDDAAIDDID >Potri.014G168400.1.v4.1 pep chromosome:Pop_tri_v4:14:12296457:12299526:-1 gene:Potri.014G168400.v4.1 transcript:Potri.014G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168400.v4.1 MLYISNNIWLNINDKQMIRIRYRKLVFLLPSKNSPSPVSLPPNRPRLSTTLLSSSSRHGFSKGSSYFKAFFSPRKIPASTSSLSIKEQIEAESRVEHVGLLNAVEDLYEGIVIEMKEPVDSTEFIPSLRASIVKWRQQGKKGVWIKLPIGLVSLVEPIVQEGFRYHHAEPDYLMLVYWIPDTPDTLPENASHRVGVGAFVLNSNGEVLVVKENSGEFKGTGVWKLPTGVVNEGEDIPSASIREVKEETGIDTEFMEVLAFRQSHRSFFSKSDLFFICMLRPRSFDIQKQDLELEAAQWMPIEDYVNQPYNKEHQLFKYVAEICKTKAKMDYSGFSAMPVGPDSGKETYLFFNNRDFN >Potri.014G168400.5.v4.1 pep chromosome:Pop_tri_v4:14:12296457:12299526:-1 gene:Potri.014G168400.v4.1 transcript:Potri.014G168400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168400.v4.1 MKEPVDSTEFIPSLRASIVKWRQQGKKGVWIKLPIGLVSLVEPIVQEGFRYHHAEPDYLMLVYWIPDTPDTLPENASHRVGVGAFVLNSNGEVLVVKENSGEFKGTGVWKLPTGVVNEGEDIPSASIREVKEETGIDTEFMEVLAFRQSHRSFFSKSDLFFICMLRPRSFDIQKQDLELEAAQWMPIEDYVNQPYNKEHQLFKYVAEICKTKAKMDYSGFSAMPVGPDSGKETYLFFNNRDFN >Potri.014G168400.3.v4.1 pep chromosome:Pop_tri_v4:14:12296457:12299526:-1 gene:Potri.014G168400.v4.1 transcript:Potri.014G168400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168400.v4.1 MDFRKALLHVILFIFTMRSSSYFKAFFSPRKIPASTSSLSIKEQIEAESRVEHVGLLNAVEDLYEGIVIEMKEPVDSTEFIPSLRASIVKWRQQGKKGVWIKLPIGLVSLVEPIVQEGFRYHHAEPDYLMLVYWIPDTPDTLPENASHRVGVGAFVLNSNGEVLVVKENSGEFKGTGVWKLPTGVVNEGEDIPSASIREVKEETGIDTEFMEVLAFRQSHRSFFSKSDLFFICMLRPRSFDIQKQDLELEAAQWMPIEDYVNQPYNKEHQLFKYVAEICKTKAKMDYSGFSAMPVGPDSGKETYLFFNNRDFN >Potri.014G168400.6.v4.1 pep chromosome:Pop_tri_v4:14:12296457:12299526:-1 gene:Potri.014G168400.v4.1 transcript:Potri.014G168400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168400.v4.1 MKEPVDSTEFIPSLRASIVKWRQQGKKGVWIKLPIGLVSLVEPIVQEGFRYHHAEPDYLMLVYWIPDTPDTLPENASHRVGVGAFVLNSNGEVLVVKENSGEFKGTGVWKLPTGVVNEGEDIPSASIREVKEETGIDTEFMEVLAFRQSHRSFFSKSDLFFICMLRPRSFDIQKQDLELEAAQWMPIEDYVNQPYNKEHQLFKYVAEICKTKAKMDYSGFSAMPVGPDSGKETYLFFNNRDFN >Potri.001G255600.2.v4.1 pep chromosome:Pop_tri_v4:1:27156855:27160286:1 gene:Potri.001G255600.v4.1 transcript:Potri.001G255600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255600.v4.1 MANTEAEAVDFEPEEDDLMDEDGAADADASSSPRAPLPKLKSAITGGSASSSLSAPKKTKGRGFREEVDAERQSRLSGRGFDSLGSDGGPGPQRSVEGWIILVSGVHEEAQDDHLQEAFGEFGEIKNLHLNLDRRTGFVKGYALIEYEKFEQAENAIASMNGGTLLEQIIYVDWAFSNGPSNWASRRKNMRPERTNRSRSPRRRY >Potri.010G041700.3.v4.1 pep chromosome:Pop_tri_v4:10:7283475:7285974:1 gene:Potri.010G041700.v4.1 transcript:Potri.010G041700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041700.v4.1 MGEAEVRRASIGIPKSKCNGTHKAFDPSFLVQLPNKLQDCIKSQLKNLLKDGKGENLVRKEKGSFASTAAPALGIDLERQLQAWRENPAWTDQPPQIKVSVPRGSLCNLNAKVDIGLPPDAVYDIVTDPDNRRVFKNIKEVLSRRVLLDEGHRQVVDVEQAAIWKFLWWSGTISVHVLVDQNRQDHSMNFKQVKTGFMKRFEGCWKVEPIFVDEAICYPFKPKTLADYCSCTRGKGRIGSKVSLEQLIQPAIVPPPPISWYLRGITTRTTEMIVNDLVAEAGRIRVGFDAEKCEDWSLYDEKQPNKSSNIKERWALHRRNAKKRPRKLLTAKRSSFGSSNS >Potri.010G041700.4.v4.1 pep chromosome:Pop_tri_v4:10:7283083:7285985:1 gene:Potri.010G041700.v4.1 transcript:Potri.010G041700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041700.v4.1 MGEAEVRRASIGIPKSKCNGTHKAFDPSFLVQLPNKLQDCIKSQLKNLLKDGKGENLVRKEKGSFASTAAPALGIDLERQLQAWRENPAWTDQPPQIKVSVPRGSLCNLNAKVDIGLPPDAVYDIVTDPDNRRVFKNIKEVLSRRVLLDEGHRQVVDVEQAAIWKFLWWSGTISVHVLVDQNRQDHSMNFKQVKTGFMKRFEGCWKVEPIFVDEAICYPFKPKTLADYCSCTRGKGRIGSKVSLEQLIQPAIVPPPPISWYLRGITTRTTEMIVNDLVAEAGRIRVGFDAEKCEDWSLYDEKQPNKSSNIKERWALHRRNAKKRPRKLLTAKRSSFGSSNS >Potri.010G041700.1.v4.1 pep chromosome:Pop_tri_v4:10:7283014:7285962:1 gene:Potri.010G041700.v4.1 transcript:Potri.010G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041700.v4.1 MGEAEVRRASIGIPKSKCNGTHKAFDPSFLVQLPNKLQDCIKSQLKNLLKDGKGENLVRKEKGSFASTAAPALGIDLERQLQAWRENPAWTDQPPQIKVSVPRGSLCNLNAKVDIGLPPDAVYDIVTDPDNRRVFKNIKEVLSRRVLLDEGHRQVVDVEQAAIWKFLWWSGTISVHVLVDQNRQDHSMNFKQVKTGFMKRFEGCWKVEPIFVDEAICYPFKPKTLADYCSCTRGKGRIGSKVSLEQLIQPAIVPPPPISWYLRGITTRTTEMIVNDLVAEAGRIRVGFDAEKCEDWSLYDEKQPNKSSNIKERWALHRRNAKKRPRKLLTAKRSSFGSSNS >Potri.010G041700.5.v4.1 pep chromosome:Pop_tri_v4:10:7283111:7285983:1 gene:Potri.010G041700.v4.1 transcript:Potri.010G041700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041700.v4.1 MGEAEVRRASIGIPKSKCNGTHKAFDPSFLVQLPNKLQDCIKSQLKNLLKDGKGENLVRKEKGSFASTAAPALGIDLERQLQAWRENPAWTDQPPQIKVSVPRGSLCNLNAKVDIGLPPDAVYDIVTDPDNRRVFKNIKEVLSRRVLLDEGHRQVVDVEQAAIWKFLWWSGTISVHVLVDQNRQDHSMNFKQVKTGFMKRFEGCWKVEPIFVDEAICYPFKPKTLADYCSCTRGKGRIGSKVSLEQLIQPAIVPPPPISWYLRGITTRTTEMIVNDLVAEAGRIRVGFDAEKCEDWSLYDEKQPNKSSNIKERWALHRRNAKKRPRKLLTAKRSSFGSSNS >Potri.019G016120.1.v4.1 pep chromosome:Pop_tri_v4:19:2650697:2651377:1 gene:Potri.019G016120.v4.1 transcript:Potri.019G016120.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016120.v4.1 MWSTKGPKPIMLPLPIHSQNPGQNPPPTVQLWRDCWCPSPSKTPTPQATLILILKGKRRWQINRQKSSPIKSRGRCQNKGEGDQKKTKQKEALEEQGISTSPHLVNPIWRFCDPKTS >Potri.001G448000.2.v4.1 pep chromosome:Pop_tri_v4:1:47347055:47350445:1 gene:Potri.001G448000.v4.1 transcript:Potri.001G448000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448000.v4.1 MSDAIGLVQLLSAIGEISRGAQAPSILPVWQRELLCARNPPRVTCTHNEYGDHHDLVVDPSELNVPEFRGSTDGAAHRCFIIGPKELSNIRKWIPPHLHPCSKFEIITACLWRCHAIASQANPNEEMRICMLVNARSKFNPPLPKGYYGNVLALPAAITSARKLCLNSLGYALELIRQAKNKITEEYIRSLADFIEITKGLPKGLQSYVVSDLTSVGFDQVDYGWGKPVYTGPSKAMPDDINNSGTYYLPYRNKKGERGVMVLISLRAPVMARFAMLFEELTKHDPDSGPAQHHTTLPIRHRL >Potri.001G448000.1.v4.1 pep chromosome:Pop_tri_v4:1:47347048:47350618:1 gene:Potri.001G448000.v4.1 transcript:Potri.001G448000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448000.v4.1 MPTPTSLAFNVRRCEPELVAPAKATPHESKPLSDIDRQLYLQFQSPHYNFYAHNPSMQGKDPVKVIREGIAQALVYYYPYAGRIRQEPENKLVVDCTGEGVLFIEADADGTLEQFGDPIQPPFPCAEELLYNVPGSAGIINTPLLIIQITRLKCGGFILGFRLNHPMSDAIGLVQLLSAIGEISRGAQAPSILPVWQRELLCARNPPRVTCTHNEYGDHHDLVVDPSELNVPEFRGSTDGAAHRCFIIGPKELSNIRKWIPPHLHPCSKFEIITACLWRCHAIASQANPNEEMRICMLVNARSKFNPPLPKGYYGNVLALPAAITSARKLCLNSLGYALELIRQAKNKITEEYIRSLADFIEITKGLPKGLQSYVVSDLTSVGFDQVDYGWGKPVYTGPSKAMPDDINNSGTYYLPYRNKKGERGVMVLISLRAPVMARFAMLFEELTKHDPDSGPAQHHTTLPIRHRL >Potri.010G253700.8.v4.1 pep chromosome:Pop_tri_v4:10:22516299:22522348:1 gene:Potri.010G253700.v4.1 transcript:Potri.010G253700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253700.v4.1 MSSWGEMYYGSLSVLSVERIDDFHLVRFVHDESDSTSSRSFSDNDLLLLTKEAPENASHDVHMVGKVERRERENKRRSSILLIRFYFLNGSLRLNQARRQLVDRSKWHASRIMSITPQLREFQALSSIKDIPILSAILKPVNDSLCNNESRELGLSNLSQPLQQTLKSSFNDSQLQAISVAIGSTILKKDFDLSLIQGPPGTGKTRTIVAIVSGLLASLQGTKDTKNSLKGHLKQGNGLSITSRPKINQSVAIARAWQDAALARQLNKDVERNEKSVESYFRRRVLICAQSNAAVDELVSRISSQGLYGNDGKMYKPYLVRVGNAKTVHPNSLPFFIDTLVDNRLAEERMHLSDSKKDSGIGSSAALRSNLEKLVDCIRFYEAKRANLKDGNLDLKNSLEDELHKEDETKQMSDSELEITLKKLYEEKKQLFKDLSAAQVQEKKTSEEIRAMKHKLRKLILKDAEIVVTTLSGCGGDLYVVCSESMSNYKFACPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDSKLMNGEKMSNKSASFHEIEVLGPYLFYDIMDGQELRGKNSGASSLYNEREAEAAVELLRFFKRRYSSEFVGGRIGIITPYKCQLSLLRSRFSSAFGSSVVADMEFNTVDGFQGREVDILILSTVRAADSNSSMNELSSSSIGFVADVRRMNVALTRAKLSLWILGNARTLQTNWNWAALVKDAKERNLVISAKQPYESLFETAPRDTCRRESINNHSRQSKHVENFRGSGKLGKQNEQKVYRDKNSIRSVTRCDGTVAGDGKDFYVQSSKRKPREEHDLPGKMDLPKNFKSIIPGESVTGDESKGKDRSQKKLSSGKKKDKCANPKSTRERSELELGDGHKNLKLSMLRGPKKSIEGKRSQKNLDSSTSSAEGSLKSKEVNDGRDPNPVGASLDLITKRKQQREAVEAILNSSLISSKKSEPSTKSMSSKRPPSPTSAVSGGIRPPKTRKGPQ >Potri.010G253700.1.v4.1 pep chromosome:Pop_tri_v4:10:22509579:22522300:1 gene:Potri.010G253700.v4.1 transcript:Potri.010G253700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253700.v4.1 MAKREVLLDRWRTIEEEEELHANDGDNPVIRRRLHLLKEQWFSDTFKYLISLPREEHIWCGDFDLMGPLLETFYNYYKDDRPDSPLRLLWKRMSGEMRHCIQCVSQHHHAQEMYDKEYETSSIGPLLEVLRSIDEERVTRHLREINDRLKKQEYDHLRDNVDVVSLMYEVLMFPVLLDDQSLLSEFELFIEAVDNMHELALSGHQQFPGVYALLFLNRRVRTVGRRLARSMEKLRGATDLEPLQPLLKKFVGFLETEILPSASKTSRPRAQMERLSIWLGITSLLEFLEPPAFEEGILECYPIFFDIVLNHISGDSAEFSHAVSCLKELFKMLGCKLWLRSTLSPSVMRNTLLGQCFHTRNEKIHKDILDLFPPFLQSLEALQDGEHEKQRRHFLYFLLHQVPVSSNFNVLTRKLACKIALLIVHRGYKMNPPCPPYECAHMWGPSLVATLKDSSLHSALRQPAFDLIQIILVSDAAALLSTMWSNHTIIDADTNICLELNDDVKDEDRLPFVIDVEDTDGICWSEFSAQSKIASEEHRGWMCIPMLWIDVLVDMDPSVLPLSFSKAVFWARSHLTMVEPETSVQTVGTWLSTSATEISTSFGWKVPTGFDDGGVGKESKNSIKVSVMHLPLIRTFNRLTTHFLALMRLGELRKQWTWEPSMAESLILSLLDSNDDVRQFGKCILEQVSSTRGLACGLKFLCSSGCSLAAMFLGLRHALKVVQLDSVVSKFQTLQHFFFVLCKLIKEGDLHKPDLQQNSSDDSKIRKYSSQGGFLTHPVFDSSSSNIDGHSLNVDLKLQEKFRYLLSRIAWPSIRMFLVEGKAFIDYSLCQMTCVRVLEILPVVFERLFQPLFKHAWDNGKMVENPSNFGWLYDLMDWGKSSLKVVVVYWKRTVIYLLNLLKGFCSNASELTVRAIEKLISCDNISIDQLTEQVSHLRVALSKEVSFDNVMITSKPKAPDVLPVPVEDADVQILDSVSVSDKRNKSDVIVVSDDEAEKQISPVKVAASKSDSCQISLDSKKIAPADRSVSQTDTENKGSRNDTSRDLLDDPQQKYALDITSLTSQKLDSDKLRGKQPPHLKSKGGSKSSKNVPLSSQCRIDLKSPESVSSKSSNEAGNSMISETRDSILKELVRETGANPPEAAVKSVRQQQFNLTKLTATVLKRQVIQLKTPAGNRFGNLQRLEAGVKRFKPPRLDDWYRPILEIDYFAIVGLASARKDENRTVSRLKEVPVCFQSPEQYIDIFRPLVLEEFKAQLRSSFLEMSSWGEMYYGSLSVLSVERIDDFHLVRFVHDESDSTSSRSFSDNDLLLLTKEAPENASHDVHMVGKVERRERENKRRSSILLIRFYFLNGSLRLNQARRQLVDRSKWHASRIMSITPQLREFQALSSIKDIPILSAILKPVNDSLCNNESRELGLSNLSQPLQQTLKSSFNDSQLQAISVAIGSTILKKDFDLSLIQGPPGTGKTRTIVAIVSGLLASLQGTKDTKNSLKGHLKQGNGLSITSRPKINQSVAIARAWQDAALARQLNKDVERNEKSVESYFRRRVLICAQSNAAVDELVSRISSQGLYGNDGKMYKPYLVRVGNAKTVHPNSLPFFIDTLVDNRLAEERMHLSDSKKDSGIGSSAALRSNLEKLVDCIRFYEAKRANLKDGNLDLKNSLEDELHKEDETKQMSDSELEITLKKLYEEKKQLFKDLSAAQVQEKKTSEEIRAMKHKLRKLILKDAEIVVTTLSGCGGDLYVVCSESMSNYKFACPSEHTLFDAVVIDEAAQALEPATLIPLQLLKSNGTKCIMVGDPKQLPATVLSNVASKFLYECSMFERLQRAGHPVTMLTKQYRMHPEICRFPSLHFYDSKLMNGEKMSNKSASFHEIEVLGPYLFYDIMDGQELRGKNSGASSLYNEREAEAAVELLRFFKRRYSSEFVGGRIGIITPYKCQLSLLRSRFSSAFGSSVVADMEFNTVDGFQGREVDILILSTVRAADSNSSMNELSSSSIGFVADVRRMNVALTRAKLSLWILGNARTLQTNWNWAALVKDAKERNLVISAKQPYESLFETAPRDTCRRESINNHSRQSKHVENFRGSGKLGKQNEQKVYRDKNSIRSVTRCDGTVAGDGKDFYVQSSKRKPREEHDLPGKMDLPKNFKSIIPGESVTGDESKGKDRSQKKLSSGKKKDKCANPKSTRERSELELGDGHKNLKLSMLRGPKKSIEGKRSQKNLDSSTSSAEGSLKSKEVNDGRDPNPVGASLDLITKRKQQREAVEAILNSSLISSKKSEPSTKSMSSKRPPSPTSAVSGGIRPPKTRKGPQ >Potri.010G080501.1.v4.1 pep chromosome:Pop_tri_v4:10:10790971:10791709:1 gene:Potri.010G080501.v4.1 transcript:Potri.010G080501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080501.v4.1 MSLSESAAGEAAAQSLVLPKGRPLLGAGAMERPPDLSWSSFYIILCVYRSCGSATAGSIFQGSWAGEVY >Potri.019G034500.4.v4.1 pep chromosome:Pop_tri_v4:19:4728173:4736798:1 gene:Potri.019G034500.v4.1 transcript:Potri.019G034500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034500.v4.1 MPELRSGARRSKRLDDLQPPQQPNNQAENLTVPVQNKTRRRAGGGRGRGGNAAGVAKGASPTTRPTAAGRGRGVRLIDLDPEPCQVEPAAVGAAELGYNRLEVVADKDIAMEGGSAEKVVAVEEEGSTTPVPERVQVGSSPVYKVERKLGKGGFGQVYVGRRVSGGSDRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTAEEKKLYLIDLGLASRWKDASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMGTSPELMCCFCPAPFKQLLEAVTNMKFDEEPNYSKLISFFESLIEPCTPLRPIRIDGALKVGQKRGRLLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLRQHVEKGNEDGLYISCVASSTNLWALIMDAGTGFTSQVYELSTVFLHKDWIMEQWEKNYYISSIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWESGFRITSMAATADQAAFILSIPKRKMVDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >Potri.019G034500.2.v4.1 pep chromosome:Pop_tri_v4:19:4728107:4736807:1 gene:Potri.019G034500.v4.1 transcript:Potri.019G034500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034500.v4.1 MPELRSGARRSKRLDDLQPPQQPNNQAENLTVPVQNKTRRRAGGGRGRGGNAAGVAKGASPTTRPTAAGRGRGVRLIDLDPEPCQVEPAAVGAAELGYNRLEVVADKDIAMEGGSAEKVVAVEEEGSTTPVPERVQVGSSPVYKVERKLGKGGFGQVYVGRRVSGGSDRTGPDAIEVALKFEHRNSKGCNYGPPYEWQVYNTLNGCYGIPWVHYKGRQGDFYILVMDMLGPSLWDVWNSLGQSMSPNMAACIAVEAISILEKLHMKGFVHGDVKPENFLLGQPGTAEEKKLYLIDLGLASRWKDASSGQHVDYDQRPDVFRGTIRYASVHAHLGRTGSRRDDLESLAYTLIFLIKGRLPWQGYQGDNKSFLVCKKKMGTSPELMCCFCPAPFKQLLEAVTNMKFDEEPNYSKLISFFESLIEPCTPLRPIRIDGALKVGQVGQKRGRLLINLEEDEQPKKKVRLGSPATQWISVYNARRPMKQRYHYNVADSRLRQHVEKGNEDGLYISCVASSTNLWALIMDAGTGFTSQVYELSTVFLHKDWIMEQWEKNYYISSIAGASNGSSLVVMSKGTPYTQQSYKVSESFPFKWINKKWKEGFHVTSMTTAGSRWGVVMSRNAGYSDQVVELDFLYPSEGIHRRWESGFRITSMAATADQAAFILSIPKRKMVDETQETLRTSAFPSTHVKEKWSKNLYIASICYGRTVC >Potri.006G216600.1.v4.1 pep chromosome:Pop_tri_v4:6:22214865:22219280:1 gene:Potri.006G216600.v4.1 transcript:Potri.006G216600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216600.v4.1 MAPAAVDDDEILKDEKNPPPLDEDDIALLKTYGLGPYSNSIKKEEKEIKDLAKKINDLRGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIINPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Potri.010G070800.7.v4.1 pep chromosome:Pop_tri_v4:10:9901468:9909371:-1 gene:Potri.010G070800.v4.1 transcript:Potri.010G070800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070800.v4.1 MGQQQSKHELLYQQVKNGNIEGIKKLCREGARLEWIDKEGKTPLILACLDPQLFNVAKTLIELGADVNAYRPGRKGGNPLHHAAKKGLENTVKLLLSHGANALMTNDDCQTPLEVARAKGYGNVVRAIESHICLFSGWLREFYGPGFLEVLAPRLVSRNIWAVVLPIGSRNPGMPCKFELAIYSSLKDVKPRTIIALWKANLEEPKFHRSDPTVMIADNSTKTRIKLAPANERDKQQLQWFCDACKGIPQVMHPLEFLSRSQNLVVQATAPPSDEDPEIAMAVNASIQSAMAEQPIFDTHSSTGASSSSSWNCPVNDGGQGAMDVPATPPPKTTSSGWAPYESVSSGSSTQQTKILNSSVADVQIATDAQDSVPSAPPIVDELIDDCLIHYPSIDSSPLDFSSLPLENLPENTGEKKEDGGSSSCVICLDALVEGACIPCGHMVGCMSCLKEIKAKEWGCPVCRATINQVVRLYAV >Potri.012G114900.1.v4.1 pep chromosome:Pop_tri_v4:12:13228144:13230400:1 gene:Potri.012G114900.v4.1 transcript:Potri.012G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114900.v4.1 MGSIAAIQCALTAILLVSTTVSSDDKSPIPADPSSLNTWFQDNVKPLADRKGTIDPALEAAEAKPRTIKVRQDGSGEFKTLKDAINSIPTGNTERVIVDIGPGEYIEKLKIERSKPFVTFLGSPSNKPTLSFDGTAKEYGTVYSATLEAEADYFVAANIIFKNSAPRPNGELKGEQAVALRISGDKSAFYNCRLIGFQDTLCDDKGRHLFKDCYIEGTVDYIFGSGKSLYLGTELHVIGDENGNFITAHARNSEAEDTGFSFVHCKVDGTGAKGAYLGRAWQARPRVVFSYTTMSSVVNPEGWSNNFHPERDQTALFGEYKCEGEGANPAGRAKATKQLTPDQAAPFISLGFIEGSKWLLHPPN >Potri.006G276750.2.v4.1 pep chromosome:Pop_tri_v4:6:26789572:26791845:-1 gene:Potri.006G276750.v4.1 transcript:Potri.006G276750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276750.v4.1 MTMMMRRKMDSHMRKRLKNMMRNMEMESIYEKQVTIGAQTAKSTAIAQHVKLPIHKTLARFGYQQREDDPQQS >Potri.006G276750.1.v4.1 pep chromosome:Pop_tri_v4:6:26790373:26791845:-1 gene:Potri.006G276750.v4.1 transcript:Potri.006G276750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276750.v4.1 MTMMMRRKMDSHMRKRLKNMMRNMEMESIYEKQVTIGAQTAKSTAIAQHVKLPIHKTLARFGYQYEPLISRYFVPYFPYKTE >Potri.006G252900.1.v4.1 pep chromosome:Pop_tri_v4:6:25128991:25135666:-1 gene:Potri.006G252900.v4.1 transcript:Potri.006G252900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252900.v4.1 MQATVFISFFILCIAVSSFGIGHSQKAFDVVHFGAVGNGQTDDSDAFTKAWGALCGASGGTPTLVIPPGRAFSLKPVKFEGPCKSNSVHIQVAGNIVAPSTVAGWGGCGILFWLCFSNVNGLVLDGSGHIDGRGSQWWNHALLFNNNNNLKLSGLNVVNSPRSHVNLNDCKGVSISGLKITAPGNSPNTDGIDVSSSSHVSIVDSTIGTGDDCIAIKGGCSNINITGINCGPGHGISIGSLGENGATEQVEEVHVRNCNFTGTENGARIKTVPGGSGYVRRITFEQITLNAAGSPIIIDQHYCDGKKEGCPDQPKAVAVSDVTFTGVRGTSSDEQVITLDCAAIGCNNIRMVQVAITSSVAGKRRTAFCSNAHGTSVSTAPAVPCLSGRAFARKFLTH >Potri.011G029700.2.v4.1 pep chromosome:Pop_tri_v4:11:2254491:2258303:1 gene:Potri.011G029700.v4.1 transcript:Potri.011G029700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029700.v4.1 MYASTVPMDSSKLVLLLSFFYILLHQPSLTAAQPNFNNYNCNYNNTGNYTTNSTYQRNLNSLLSSLASDTQIDYGFYNLSVGEFPDRVNAIALCRGDVAVDVCRSCVNDSTHKILEVCPNKMEAFGVYELCMIRYSNRSIFGVVNEEPSIYRANRQNVLDVTLFNQALQTLFARLQAKAASGNSLKKFATGNQSAGVETVYAIVQCTPDLSEGQCSSCLLDVFRMIPNCCDGNVQGKIGVRLIKPSCNLRWEIGKFFNGTLEILPSPPPPQISSPTSLPAPAQGKKSNTARIIVITVVPAVGVMILVICICLFIRTRKQREKERVENEIESAESLQFAFSTIRDATEDFSEKNKLGQGGFGAVYKGALPSGQEIAVKRLSKDSGQGDLEFKNEVLLVARLQHRNLVRLLGFCLQGIERLLIYEFVPNASLDHFIFDPIKRVHLNWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGMARLFVVDQTQGNTSRIVGTYGYMAPEYAMQGHFSVKSDVFSFGVLVLEIVTGKKNSFRNGNDIEHLLSHAWRNWREGTAQDMIDPVLSSGSATEMLRCIHIGLLCVQENVAERPTMASVVLMLSSSSLTLQIPSQPAFFMNSSTYQSDLSSSMEHNSRVTESSLSESVAIPLSKNEVSITELYPR >Potri.011G029700.1.v4.1 pep chromosome:Pop_tri_v4:11:2254491:2258303:1 gene:Potri.011G029700.v4.1 transcript:Potri.011G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029700.v4.1 MYASTVPMDSSKLVLLLSFFYILLHQPSLTAAQPNFNNYNCNYNNTGNYTTNSTYQRNLNSLLSSLASDTQIDYGFYNLSVGEFPDRVNAIALCRGDVAVDVCRSCVNDSTHKILEVCPNKMEAFGVYELCMIRYSNRSIFGVVNEEPSIYRANRQNVLDVTLFNQALQTLFARLQAKAASGNSLKKFATGNQSAGVETVYAIVQCTPDLSEGQCSSCLLDVFRMIPNCCDGNVQGKIGVRLIKPSCNLRWEIGKFFNGTLEILPSPPPPQISSPTSLPAPAQGKKSNTARIIVITVVPAVGVMILVICICLFIRTRKQREKERVETVDEIESAESLQFAFSTIRDATEDFSEKNKLGQGGFGAVYKGALPSGQEIAVKRLSKDSGQGDLEFKNEVLLVARLQHRNLVRLLGFCLQGIERLLIYEFVPNASLDHFIFDPIKRVHLNWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGMARLFVVDQTQGNTSRIVGTYGYMAPEYAMQGHFSVKSDVFSFGVLVLEIVTGKKNSFRNGNDIEHLLSHAWRNWREGTAQDMIDPVLSSGSATEMLRCIHIGLLCVQENVAERPTMASVVLMLSSSSLTLQIPSQPAFFMNSSTYQSDLSSSMEHNSRVTESSLSESVAIPLSKNEVSITELYPR >Potri.004G179514.1.v4.1 pep chromosome:Pop_tri_v4:4:19364086:19375856:-1 gene:Potri.004G179514.v4.1 transcript:Potri.004G179514.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179514.v4.1 MVVMAMEEGGSDESCGSRVNENTNTNTTLSDSVLQGRQQQQRMQQKLEVYNEILRRLKESNHEEANLPGFDDQLWTHFNRLPTRYALDVSVERAEDVLTHKRLLRLAHDPANRPAIEIRLVQVHPTSDDNSVDSSLQDSPSKEAAQRKNMHPPPAFGSSPNLEALALEANKFDDQDGDNSVCADSKFFRPMHEITFSTEDKPKLLSQLTSLLADIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEETEQLREALAKEVSKIEDHEQFRIKCDTNHVAIPNDGTDVWEIDPKYLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERVNSDLQKEFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMHGGSVYDYLHKQRGVFKLPNLLKVAIDVSKGMDYLHQNNIIHRDLKGANLLMDENEVVKVADFGVARVKAQTGIMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNTQPKLAELLEKCWQQDPALRPDFSEIIEILQQIAKEQVGDCGEWRKEKSSGRFLSVLRRK >Potri.004G179514.2.v4.1 pep chromosome:Pop_tri_v4:4:19364045:19375940:-1 gene:Potri.004G179514.v4.1 transcript:Potri.004G179514.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179514.v4.1 MVVMAMEEGGSDESCGSRVNENTNTNTTLSDSVLQGRQQQQRMQQKLEVYNEILRRLKESNHEEANLPGFDDQLWTHFNRLPTRYALDVSVERAEDVLTHKRLLRLAHDPANRPAIEIRLVQVHPTSDDNSVDSSLQDSPSKEAAQRKNMHPPPAFGSSPNLEALALEANKFDDQDGDNSVCADSKFFRPMHEITFSTEDKPKLLSQLTSLLADIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEETEQLREALAKEVSKIEDHEQFRIKCDTNHVAIPNDGTDVWEIDPKYLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERVNSDLQKEFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMHGGSVYDYLHKQRGVFKLPNLLKVAIDVSKGMDYLHQNNIIHRDLKGANLLMDENEVVKVADFGVARVKAQTGIMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNTQPKLAELLEKCWQQDPALRPDFSEIIEILQQIAKEVGDCGEWRKEKSSGRFLSVLRRK >Potri.004G179514.3.v4.1 pep chromosome:Pop_tri_v4:4:19364042:19375863:-1 gene:Potri.004G179514.v4.1 transcript:Potri.004G179514.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179514.v4.1 MVVMAMEEGGSDESCGSRVNENTNTNTTLSDSVLQGRQQQQRMQQKLEVYNEILRRLKESNHEEANLPGFDDQLWTHFNRLPTRYALDVSVERAEDVLTHKRLLRLAHDPANRPAIEIRLVQVHPTSDDNSVDSSLQDSPSKEAAQRKNMHPPPAFGSSPNLEALALEANKFDDQDGDNSVCADSKFFRPMHEITFSTEDKPKLLSQLTSLLADIGLNIQEAHAFSTVDGYSLDVFVVDGWPYEETEQLREALAKEVSKIEDHEQFRIKCDTNHVAIPNDGTDVWEIDPKYLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERVNSDLQKEFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMHGGSVYDYLHKQRGVFKLPNLLKVAIDVSKGMDYLHQNNIIHRDLKGANLLMDENEVVKVADFGVARVKAQTGIMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNTQPKLAELLEKCWQQDPALRPDFSEIIEILQQIAKEVGDCGEWRKEKSSGRFLSVLRRK >Potri.005G208500.1.v4.1 pep chromosome:Pop_tri_v4:5:21267198:21269898:-1 gene:Potri.005G208500.v4.1 transcript:Potri.005G208500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208500.v4.1 MGSVVIPIPDGDQGFTHDLKQEKKFRVSLSPVISYVQKNRDTIRKAIHAIKVGITLVLVSLVYFVDTLYKEVGDDNAMWAIMTVVVIFEFHAGATLSKGLSRGIGTVLGGGLGYLAAVLGQQVGGIGNPFVVGVSVFIFGGAATYARLNPNIKKRYDYGVMIFILTFNLVSVSGLREENVIEIARERLVMIVLGFAICICISLFVFPMWASDELHDSMVSKFEGLASSIEGCIEEYFRLVNEKENQSVQPTASFRDCISVLNSKAKDESLVYFAKWEPWHGRFGLSHPWDKYQKIGEVLRELAAIILSLKGSLNSPEEPLQALRESIKEPCEAAGSSLTWTLRELGESIMKMRRCQPGAFMVPKLKLVRQELSQVMCPFKLGKLDNAEGFAVASFVFTLMGVVEKLEDLSKEVEELGELAGFLQG >Potri.011G063300.1.v4.1 pep chromosome:Pop_tri_v4:11:5368280:5372002:1 gene:Potri.011G063300.v4.1 transcript:Potri.011G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063300.v4.1 MDSYGSQRLVALAQQLRFYKPPSPSPDEIEEQNIEESAGKVVSQVGFQESATSIFKDRERFRPKRAAVLVCIFEGDAGEFRVILTKRSSRLSTHSGEVSLPGGKVDERDKDDFETATREAKEEIGLDPSLVDVVTVLEPFLSKHLLRVIPVIGILSNKKAFKPTPNPAEVEAIFDAPLEMFIKDENRRVEESEWMGEKYLIHFFDYETENKKYLIWGLTAGILIKAASVVFQRPPAFMEQNPRFKFPKGANKDMVMR >Potri.011G063300.3.v4.1 pep chromosome:Pop_tri_v4:11:5368338:5369577:1 gene:Potri.011G063300.v4.1 transcript:Potri.011G063300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063300.v4.1 MDSYGSQRLVALAQQLRFYKPPSPSPDEIEEQNIEESAGKVVSQVGFQESATSIFKDRERFRPKRAAVLVCIFEGDAGEFRVILTKRSSRLSTHSGEVSLPGGKVDERDKDDFETATREAKEEIGLDPSLVDVVTVLEPFLSKV >Potri.005G234200.1.v4.1 pep chromosome:Pop_tri_v4:5:23265165:23267585:-1 gene:Potri.005G234200.v4.1 transcript:Potri.005G234200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234200.v4.1 MAGWFYLGGGEGPSRKQDQEKEDNNSSLFLYRPSNEEIYNNKGFELWPQYYHQQQNMNSFSFGVGPSRRSLSDDHSSRSGFSLTRQGGGGGLRGGMNCQDCGNQAKKDCPHLRCRTCCKSRGFPCNTHVKSTWVSAAKRRERQQQLAALQQHNQQQEQHQQQFLGENPKRQRENHGGASSLACTRLAATTSGLELTAFPPEVNSDAIFRCVKVSAMDDADDQLAYQTAVNIGGHVFRGILYDQGADGRYASTGGESSSSGAQQLLITAAGTSTTGTTTNTSNPAAGNTLLDPSSLYPAPLNAFIAGTQFFAPPRS >Potri.010G129600.1.v4.1 pep chromosome:Pop_tri_v4:10:14616120:14616774:1 gene:Potri.010G129600.v4.1 transcript:Potri.010G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129600.v4.1 MKINSASMGSSKRRISSKGLGAVLREQRARLYIIRRCVVMLVCWHD >Potri.007G033801.1.v4.1 pep chromosome:Pop_tri_v4:7:2647416:2650087:-1 gene:Potri.007G033801.v4.1 transcript:Potri.007G033801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033801.v4.1 MGRMEFLKMKTDDEVSANLIESDVNELKVAAKKLIKDAAKLGGLGFGTSFLKWVASFAAIYLLILDRTNWRSNMLTSLLVPYIFFSLPSVLFNFFRGEVGRWIAFVAVVLRLFFPRHFPDWLEMPGSIILLLVVAPNFFAHTLKGSVVGVFICLIIACYLLQEHIRASGGFRNSFTQPHGISNTVGIILLIVYPVWALVLHFL >Potri.007G033801.2.v4.1 pep chromosome:Pop_tri_v4:7:2647418:2649838:-1 gene:Potri.007G033801.v4.1 transcript:Potri.007G033801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033801.v4.1 MGRMEFLKMKTDDEVSANLIESDVNELKVAAKKLIKDAAKLGGLGFGTSFLKWVASFAAIYLLILDRTNWRSNMLTSLLVPYIFFSLPSVLFNFFRGEVGRWIAFVAVVLRLFFPRHFPDWLEMPGSIILLLVVAPNFFAHTLKGSVVGVFICLIIACYLLQEHIRASGGFRNSFTQPHGISNTVGIILLIVYPVWALVLHFL >Potri.011G062401.3.v4.1 pep chromosome:Pop_tri_v4:11:5167633:5169267:1 gene:Potri.011G062401.v4.1 transcript:Potri.011G062401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062401.v4.1 MHFFVFLFSFLPFLFFYNIIKKQAQTHIFYYVFSSFVFFFFFFVSSFFFFFLFFFTSPIQIITFKNKSKTTSNNPDLQNSPQNSRNCKGTLLHGNWRQEQPAARVPTRHRHWRRVRRESSRPKLASSPSLPFCNGDLQNQTKTQHRQSILVVFFFVLFFKIGSRVLPVFSNLSRFPASSVDRSSSSFFGGRSAVEDPASWVRSCCWRRSCQG >Potri.011G062401.2.v4.1 pep chromosome:Pop_tri_v4:11:5167633:5169267:1 gene:Potri.011G062401.v4.1 transcript:Potri.011G062401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062401.v4.1 MHFFVFLFSFLPFLFFYNIIKKQAQTHIFYYVFSSFVFFFFFFVSSFFFFFLFFFTSPIQIITFKNKSKTTSNNPDLQNSPQNSRNCKGTLLHGNWRQEQPAARVPTRHRHWRRVRRESSRPKLASSPSLPFCNGDLQNQTKTQHRQSILVVFFFVLFFKIGSRVLPVFSNLSRFPASSVDRSSSSFFGGRSAVEDPASWVRSCCWRRSCQG >Potri.011G062401.1.v4.1 pep chromosome:Pop_tri_v4:11:5167633:5169381:1 gene:Potri.011G062401.v4.1 transcript:Potri.011G062401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062401.v4.1 MHFFVFLFSFLPFLFFYNIIKKQAQTHIFYYVFSSFVFFFFFFVSSFFFFFLFFFTSPIQIITFKNKSKTTSNNPDLQNSPQNSRNCKGTLLHGNWRQEQPAARVPTRHRHWRRVRRESSRPKLASSPSLPFCNGDLQNQTKTQHRQSILVVFFFVLFFKIGSRVLPVFSNLSRFPASSVDRSSSSFFGGRSAVEDPASWVRSCCWRRSCQG >Potri.013G052100.1.v4.1 pep chromosome:Pop_tri_v4:13:3735168:3736328:-1 gene:Potri.013G052100.v4.1 transcript:Potri.013G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052100.v4.1 MKRVHFLATFVFLAFAASFAFASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLATENDFFFPGLNIARNTSNPVGSVVTPANVAQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILTVLEGTLYVGFVTSNPDNRLITKVLNPGDVFVFPVGLIHFQFNVGKTKASAIGALSSQNPGVITIANAVFGSTPPIRSDVLAKAFQVDKNIVDYLQKQFWYDNN >Potri.009G082600.1.v4.1 pep chromosome:Pop_tri_v4:9:7821791:7826087:-1 gene:Potri.009G082600.v4.1 transcript:Potri.009G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082600.v4.1 MSRGKICSLEWISRPLRRGVLATKLRHCSRNAIGVQTASDASINGDQEEHDLCNWDELKFSFTPTDYMYVMKCSSGESAFSQGHLTRYGNVELSPSSGVLNYGQGLLEGLKAYRGDDNRIRLFRPEQNALRMQMGAERMCMPSPTAEQFVSSIKQTALANKRWVPPPGKGSLYIRPLLLGTGPILGVAPSPEYTFLAYASPVGNYFNGPMHFSVEDKVYRAIPGGTGGIKSITNYSPIYKAITQAKAKGFTDAIFLDAETGKNIEEATACNIFVVKGNVISTPPIAGTILPGITRKSIIEVASWLGYQIEERAIPLEELINVDEAFCSGTAIAIKPVGSVTYQGQRVEYKTGEGTVSEKLCRTLTGIQTGLIEDTTGWVVEIE >Potri.008G147800.1.v4.1 pep chromosome:Pop_tri_v4:8:10068943:10074976:1 gene:Potri.008G147800.v4.1 transcript:Potri.008G147800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147800.v4.1 MALKSSSADGRTRSSIQIFIVVGLCCFFYILGAWQRSGFGKADNLAMEITKSTGDCNIIPNLNFETHHGGDAGSSDDSDSKPKTFQPCHSRFTDYTPCQDQKRAMTFPRENMIYRERHCPPQEEKLHCLIPAPQGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVLPFTNGTVRTALDTGCGVASLGAYLWSRNVITMSFAPRDSHEAQVQFALERGVPAVIGVFGSVKLPYPSKAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWVLSGPPINWKNNYKSWQRPKEELQEEQRKIEETAKLLCWDKKYEKGEMAIWQKRVNADSCRARQDDSRATFCKSADVDDVWYKKMEACITPYSDSGSSDEVAGGALKVFPERLYAIPPRVASGSIPGVSVETYQDYNNEWKKHVNAYKKINKLIDSGRYRNIMDMNAGLGGFAAALESPKLWVMNVVPTIAEKSTLGVIYERGLIGIYHDWCESFSTYPRTYDLIHASGVFSLYRDKCDMEDILLEMDRILRPEGAVIFRDEVDVLVKVRKMVGGMKWDTKMVDHEDGPLVPEKILVAVKQYWVGNSTSAQ >Potri.008G147800.3.v4.1 pep chromosome:Pop_tri_v4:8:10068943:10074971:1 gene:Potri.008G147800.v4.1 transcript:Potri.008G147800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147800.v4.1 MALKSSSADGRTRSSIQIFIVVGLCCFFYILGAWQRSGFGKADNLAMEITKSTGDCNIIPNLNFETHHGGDAGSSDDSDSKPKTFQPCHSRFTDYTPCQDQKRAMTFPRENMIYRERHCPPQEEKLHCLIPAPQGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVLPFTNGTVRTALDTGCGVASLGAYLWSRNVITMSFAPRDSHEAQVQFALERGVPAVIGVFGSVKLPYPSKAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWVLSGPPINWKNNYKSWQRPKEELQEEQRKIEETAKLLCWDKKYEKGEMAIWQKRVNADSCRARQDDSRATFCKSADVDDVWYKKMEACITPYSDSGSSDEVAGGALKVFPERLYAIPPRVASGSIPGVSVETYQDYNNEWKKHVNAYKKINKLIDSGRYRNIMDMNAGLGGFAAALESPKLWVMNVVPTIAEKSTLGVIYERGLIGIYHDWCESFSTYPRTYDLIHASGVFSLYRDKCDMEDILLEMDRILRPEGAVIFRDEVDVLVKVRKMVGGMKWDTKMVDHEDGPLVPEKILVAVKQYWVGNSTSAQ >Potri.008G147800.2.v4.1 pep chromosome:Pop_tri_v4:8:10069057:10074973:1 gene:Potri.008G147800.v4.1 transcript:Potri.008G147800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147800.v4.1 MALKSSSADGRTRSSIQIFIVVGLCCFFYILGAWQRSGFGKADNLAMEITKSTGDCNIIPNLNFETHHGGDAGSSDDSDSKPKTFQPCHSRFTDYTPCQDQKRAMTFPRENMIYRERHCPPQEEKLHCLIPAPQGYVTPFPWPKSRDYVPFANAPYKSLTVEKAIQNWVQYEGNVFRFPGGGTQFPQGADKYIDQLASVLPFTNGTVRTALDTGCGVASLGAYLWSRNVITMSFAPRDSHEAQVQFALERGVPAVIGVFGSVKLPYPSKAFDMAHCSRCLIPWGANDGMYLMEVDRVLRPGGYWVLSGPPINWKNNYKSWQRPKEELQEEQRKIEETAKLLCWDKKYEKGEMAIWQKRVNADSCRARQDDSRATFCKSADVDDVWYKKMEACITPYSDSGSSDEVAGGALKVFPERLYAIPPRVASGSIPGVSVETYQDYNNEWKKHVNAYKKINKLIDSGRYRNIMDMNAGLGGFAAALESPKLWVMNVVPTIAEKSTLGVIYERGLIGIYHDWCESFSTYPRTYDLIHASGVFSLYRDKCDMEDILLEMDRILRPEGAVIFRDEVDVLVKVRKMVGGMKWDTKMVDHEDGPLVPEKILVAVKQYWVGNSTSAQ >Potri.007G139200.1.v4.1 pep chromosome:Pop_tri_v4:7:14978022:14980336:1 gene:Potri.007G139200.v4.1 transcript:Potri.007G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139200.v4.1 MASSLLCSITIRSLLVLLLHLSSLSNYLAAKHNQHGYHRHRSHNHSPTSNQRLHQAYIALQAWRRVIYSDPNNFTTNWVGPDVCSYKGIYCATATDDPKIRVVAGIDLNFADIAGFLPNELGLLSDLALIHLNSNRLCGIIPQSLTNLSLLYELDVSNNRFVGPFPSVVLSLPMLTYLDLRYNEFEGPLPPQLFQKKIDAIFINNNRFTSAVPAFLGGTSASVVVIANNNFKGCLPPSIANLADTLEELLLINTSLTGCLPPEVGYLYKLRVLDVSHNKIVGPIPYSLSGLAHLEQLNLAHNLMTGIVPQGVCILPNLANFTFSYNFFCEEEGICMNLTSKGIKYDDRRNCLPEKPLQRSQKECNDTLEHPVDCFQECCIASEGGGAFGRATPFAPALVPAATPLLSPFLAPSFP >Potri.001G100900.1.v4.1 pep chromosome:Pop_tri_v4:1:8103267:8106262:-1 gene:Potri.001G100900.v4.1 transcript:Potri.001G100900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100900.v4.1 MATAATTTTKAQHIKVQAQPIKPKRRKYRETTISSSASATTNNNSGSAYLAQTRKLDPPTIVSPDNTWCCPASKPLPPPPPPPPSPPPQKTRLPINPSPQLDSVTTLSSKFKIQLSPGSLSPVMDFTTTTTTLTNGHHHIASPNEHPSFPSSFTKFNSALTAGLLNPMSPPPPDHKPRSSPTLFEMMASEPEMQPRTITQMPINANNGNISFRNTQSSQMSVQDRQALILQRITDILGNRSPGNQFNDSNSSDVKLTLSSKDGISVSMDVHRQILVGHSRFFAVKLSDKWSNKQQRMSTPYVVEIADCDDVEVYIETLRLMYCKDLRRKLMKEDVSRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEGVGAGEVLKRVSVEVTHGADDSSDNEEVLLKLLHVVLEGKDEKARREMKGLVSKMLRENSSQNDLRKESLYSACNGCLQLLQDHFLRAASGDLNDVGQIARQADNLHWILDILIDRQIAEEFLKTWASQSELSGVHSKIPAVHRYEVSRVTARLFVGIGKGQLLASKEARCLLLQTWLVPFYDDFGWMRRTSKGLDRHLIEDGLSNTILTLPLAWQQDILLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRSGEQERTRPIRITTATIENS >Potri.016G087000.1.v4.1 pep chromosome:Pop_tri_v4:16:6885062:6885714:1 gene:Potri.016G087000.v4.1 transcript:Potri.016G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G087000.v4.1 MELKSSISTVFLLFLLLVTPHISRGGSEVADSEVYEIDYRGPETHSSVMPPPGHFHGRPWIHQDTVKTSHKPQGFRGGNNGEQAKKIHG >Potri.001G124200.2.v4.1 pep chromosome:Pop_tri_v4:1:10232121:10235563:-1 gene:Potri.001G124200.v4.1 transcript:Potri.001G124200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124200.v4.1 MGPFHEAAERNQNKPPIGIRFLESIKKKRLSYRTHQAIVLIVTFLAYTSYHAARKTTSIVKSTLDPESSKVELKFVPWRITYSSEPVERKSLSWKLGDGWAPFNASDGTALLGELDLAFLAIYALGMYFSGHLGDRMNLRILLTIGMVGTGIFTSLFGVGFWANVHNFYYYLIVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNISGSLIAAAMLSYGWGWSFVLPGLLIAFAGLLVFTLLPVSPEAVGADKDEDELDSPNKAGEEVTEPLLVSDSDVKQEAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISQTAIDGKYLSDGTAGNLSTFFDVGGVVGGILAGHISDRLDARAITAASFMYCSIPALFFYRSYGHLSLGLNIAFMLLTGMLVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFTMLMAAALVAGLLLTRLVVAEVAAKIAESRSQGSPASKAQAAAFDV >Potri.001G124200.3.v4.1 pep chromosome:Pop_tri_v4:1:10232120:10235564:-1 gene:Potri.001G124200.v4.1 transcript:Potri.001G124200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124200.v4.1 MGPFHEAAERNQNKPPIGIRFLESIKKKRLSYRTHQAIVLIVTFLAYTSYHAARKTTSIVKSTLDPESSKVELKFVPWRITYSSEPVERKSLSWKLGDGWAPFNASDGTALLGELDLAFLAIYALGMYFSGHLGDRMNLRILLTIGMVGTGIFTSLFGVGFWANVHNFYYYLIVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNISGSLIAAAMLSYGWGWSFVLPGLLIAFAGLLVFTLLPVSPEAVGADKDEDELDSPNKAGEEVTEPLLVSDSDVKQEAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISQTAIDGKYLSDGTAGNLSTFFDVGGVVGGILAGHISDRLDARAITAASFMYCSIPALFFYRSYGHLSLGLNIAFMLLTGMLVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFTMLMAAALVAGLLLTRLVVAEVAAKIAESRSQGSPASKAQAAAFDV >Potri.001G124200.1.v4.1 pep chromosome:Pop_tri_v4:1:10231630:10235670:-1 gene:Potri.001G124200.v4.1 transcript:Potri.001G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124200.v4.1 MGPFHEAAERNQNKPPIGIRFLESIKKKRLSYRTHQAIVLIVTFLAYTSYHAARKTTSIVKSTLDPESSKVELKFVPWRITYSSEPVERKSLSWKLGDGWAPFNASDGTALLGELDLAFLAIYALGMYFSGHLGDRMNLRILLTIGMVGTGIFTSLFGVGFWANVHNFYYYLIVQMLAGLFQSTGWPSVVAVVGNWFGKKKRGLIMGIWNAHTSVGNISGSLIAAAMLSYGWGWSFVLPGLLIAFAGLLVFTLLPVSPEAVGADKDEDELDSPNKAGEEVTEPLLVSDSDVKQEAVGFIEAWKIPGVAPFALCLFFAKLVAYTFLYWLPFYISQTAIDGKYLSDGTAGNLSTFFDVGGVVGGILAGHISDRLDARAITAASFMYCSIPALFFYRSYGHLSLGLNIAFMLLTGMLVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLLTGYISAKSWSAVFTMLMAAALVAGLLLTRLVVAEVAAKIAESRSQGSPASKAQAAAFDV >Potri.008G079900.2.v4.1 pep chromosome:Pop_tri_v4:8:4958284:4964458:1 gene:Potri.008G079900.v4.1 transcript:Potri.008G079900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079900.v4.1 MLSSSGRAVRKLCSSSSCTAASVIRNRNLLLRERYISPLVSSNLAKYSKTTQHRAIPSLFNNFSLYSTTAEASTAAITSASAATANNHLEEASQPNLSDAYSAIELALDSVVKIFTVSSSPNYFLPWQNKSQRETMGSGFVITGKKILTNAHVVADHTFVLVRKHGSPTKYRAEVQAVGHECDLAILVVENEEFWKGMNFLELGDIPFLQEAVAVVGYPQGGDNISVTKGVVSRVEPTQYVHGASQLMAIQIDAAINPGNSGGPAIMGNKVAGVAFQNLSGAENIGYIIPVPVIKHFINGVEESGKYVGFCSMGLSCQPTENVQLRKHFGMRPEMTGVLVSKINPLSDAHRVLKTDDIILAFDGVPIANDGTVPFRNRERITFDHLVSMKKPNETASVRLLRGGEEHEFSITLRPLQPLVPVHQFDKLPSYYIFAGLVFVPLTQPYLHEYGEEWYNTSPRRLCERALKELPKKADQQLIILSQVLMDDINAGYERLAELQVKKVNGVEIDNLKHLCQLIRDCSSESLRFDLDDDRVIALNYQSAKVATSRILKRHRIPSAMSSDLSAEQNIPESESASSS >Potri.007G009400.2.v4.1 pep chromosome:Pop_tri_v4:7:730576:733795:-1 gene:Potri.007G009400.v4.1 transcript:Potri.007G009400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009400.v4.1 MDIAAGKWLSEMGMDDYKFIHQCNINSLAEFTAQNMATTLLGENLQRSFSSESFSSKPSLMMTRNTTITSTSNGSSSETSQTSIETPGKQQRTNSWNSSISTLHQSPKPPSPIPESFSFNNSAPPPTASSQQFYGNLGRLIKSKDEAASPINMHFQTSISKAACERSESYAPEAKQGIKRPYSMTRSAMHVQDHIMAERKRREKLSQQFIALSALVPGLKKMDKASVLDGAMKYMKQLQEQLKQLQDQTKTKTMESVVLLKKSKLSVDDECTSSDENFDGLPGSPLPEIEARTTDKDVLIRIHCKNQQGVGIKILSEIENLHLSVVNSSVLVFGNSTLDVTVIAQMDNDFSLTMKDLVKKLRLACLKLSCAIIPSSCVQA >Potri.007G009400.4.v4.1 pep chromosome:Pop_tri_v4:7:730536:733761:-1 gene:Potri.007G009400.v4.1 transcript:Potri.007G009400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009400.v4.1 MDIAAGKWLSEMGMDDYKFIHQCNINSLAEFTAQNMATTLLGENLQRSFSSESFSSKPSLMMTRNTTITSTSNGSSSETSQTSIETPGKQQRTNSWNSSISTLHQSPKPPSPIPESFSFNNSAPPPTASSQQFYGNLGRLIKSKDEAASPINMHFQTSISKAACERSESYAPEAKQGIKRPYSMTRSAMHVQDHIMAERKRREKLSQQFIALSALVPGLKKMDKASVLDGAMKYMKQLQEQLKQLQDQTKTKTMESVVLLKKSKLSVDDECTSSDENFDGLPGSPLPEIEARTTDKDVLIRIHCKNQQGVGIKILSEIENLHLSVVNSSVLVFGNSTLDVTVIAQMDNDFSLTMKDLVKKLRLACLKLSCAIIPSSCVQA >Potri.016G072100.5.v4.1 pep chromosome:Pop_tri_v4:16:5355070:5358476:-1 gene:Potri.016G072100.v4.1 transcript:Potri.016G072100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072100.v4.1 MACSATSTTLISSIAAAATATTTKSMAFPISKNITLPNSFFGTRKSFQSRVPRSISLTRGSHSRSTFVVKASSELPLVGNIAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHEEFEQINTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSYGVLIPDQGVALRGLFIIDKEGVIQHSTINNLAIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAI >Potri.016G072100.2.v4.1 pep chromosome:Pop_tri_v4:16:5355385:5358639:-1 gene:Potri.016G072100.v4.1 transcript:Potri.016G072100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072100.v4.1 MACSATSTTLISSIAAAATATTTKSMAFPISKNITLPNSFFGTRKSFQSRVPRSISLTRGSHSRSTFVVKASSELPLVGNIAPDFEAEAVFDQEFINVKLSDYIGKKYVILFFYPLDFTFVCPTEITAFSDRHEEFEQINTEVLGVSIDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSYGVLIPDQGVALRGLFIIDKEGVIQHSTINNLAIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGEKSMKPDPKLSKDYFAAI >Potri.003G141400.1.v4.1 pep chromosome:Pop_tri_v4:3:15725523:15727978:-1 gene:Potri.003G141400.v4.1 transcript:Potri.003G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141400.v4.1 MKSDASLMLCSYNFLSSKEVYLLTLKSSFMGCICTKQQLKYDDPAVLAAETCFNEAEIEALHELFRKLSSALKDDGLISKEEFQLGLFRNSKNQSLFSDRIFQLLDSKHDGVIEFAEFVRSLSVFHPEAPQSDKAAFAFKLYDIWETGFIERDEVKVLILAFLDESELMLSDDIVEAIIDKTFEDVDSNGDGKIDQEEWKEFATRNPSLLKNMTIPYLKLATVLSP >Potri.003G141400.2.v4.1 pep chromosome:Pop_tri_v4:3:15725523:15727978:-1 gene:Potri.003G141400.v4.1 transcript:Potri.003G141400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141400.v4.1 MKSDASLMLCSYNFLSSKEVYLLTLKSSFMGCICTKQQLKYDDPAVLAAETCFNEAEIEALHELFRKLSSALKDDGLISKEEFQLGLFRNSKNQSLFSDRIFQLLDSKHDGVIEFAEFVRSLSVFHPEAPQSDKAAFAFKLYDIWETGFIERDEMNQN >Potri.008G078800.1.v4.1 pep chromosome:Pop_tri_v4:8:4873307:4880852:1 gene:Potri.008G078800.v4.1 transcript:Potri.008G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078800.v4.1 MAASVPQCSTRITTQTPCSKLDSVGGFSRKSSGFVHFLRPSVWKSNNAVKVSCSISKENGSAVSVADSVPTVTRSRLQRHTISVFVGDESGIINRIAGVFARRGYNIESLAVGLNKDKALFTIVVCGTEKVLRQVVEQLNKLVNVIKVDDISREPQVERELMLIKFNADPSTRAEVMWLVDIFRAKIVDISENSLTIEVTGDPGKMAAIQRNLSKFGIKELARTGKIALRRERMGETAPFWNFSAASYPDLERVPSGVALARNSTKTVAGNANLSLNGSNSSSSKGDVYPVEPYDDFLMNPVLDAHWGVLYDEDSNGLRSHTLSMLVNNCPGVLNIVTGVISRRGYNIQSLAVGPAEEEGLSRITTVIPGTDESIGKLVQQLHKLIDLHEVRDITHSPFAERELMLIKIAANSAARRDVLDIARIFRAKAVDVSDHTITLELTGDFNKMVALQRILEPYGICEVARTGRVALVRESGVDSTYLRGFPLPL >Potri.007G090100.1.v4.1 pep chromosome:Pop_tri_v4:7:11508692:11509730:1 gene:Potri.007G090100.v4.1 transcript:Potri.007G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090100.v4.1 MARLLLFALCVLSSVLASAWSMGNPFYVRGRVYCDTCQCGFETKKTTYVPDARVRIECRDRTDLQLRYSVEGVTDSTGTYKIKVEGDQADRLCHVVLVDSPLADCKTVDTARNGAEVILTRSNGAISDLHYANSLGFVKDKALPGCAELVKQLLESDE >Potri.011G107900.5.v4.1 pep chromosome:Pop_tri_v4:11:13633098:13635256:1 gene:Potri.011G107900.v4.1 transcript:Potri.011G107900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107900.v4.1 MPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGGQFVPVGGLAYYITAPSSLADMAANPFHALFYFVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >Potri.011G107900.1.v4.1 pep chromosome:Pop_tri_v4:11:13631381:13635262:1 gene:Potri.011G107900.v4.1 transcript:Potri.011G107900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107900.v4.1 MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGGQFVPVGGLAYYITAPSSLADMAANPFHALFYFVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >Potri.011G107900.4.v4.1 pep chromosome:Pop_tri_v4:11:13631381:13635281:1 gene:Potri.011G107900.v4.1 transcript:Potri.011G107900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107900.v4.1 MGGGFRVLHLVRPFLSFLPEVQSADRKIPFREKVIYTVIALFIFLVCSQLPLYGIHSTTGADPFYWMRVILASNRGTVMELGITPIVTSGLVMQLLAGSKIIEVDNNVREDRALLNGAQKLLGILIAVGEAVAYVLSGMYGSVGQLGVGNAILIIIQLCFAGIIVICLDELLQKGYGLGSGISLFIATNICENIIWKAFSPTTINSGRGAEFEGAVIALFHLLITRTDKVRALREAFYRQNLPNVTNLLATVLIFLIVIYFQGFRVVLPVRSKNARGQQGSYPIKLFYTSNMPIILQSALVSNLYFISQLLYRRYSGNFLVNLLGKWKESEYSGGQFVPVGGLAYYITAPSSLADMAANPFHALFYFVFMLSACALFSKTWIEVSGSSARDVAKQLKEQQMVMPGHRESNLQKELNRYIPTAAAFGGICIGALTVLADFMGAIGSGTGILLAVTIIYQYFETFEKEKASELGFFGF >Potri.010G120300.1.v4.1 pep chromosome:Pop_tri_v4:10:13849447:13849665:-1 gene:Potri.010G120300.v4.1 transcript:Potri.010G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120300.v4.1 MVRVWKETIRGDGKIYGEDLSSAALLFWAAIVTLSIIASVILSCAGGASKDKASTTDTYGTTCAGCGAACGG >Potri.010G003700.6.v4.1 pep chromosome:Pop_tri_v4:10:339606:340764:1 gene:Potri.010G003700.v4.1 transcript:Potri.010G003700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003700.v4.1 MHLLLLLGPTPLCLYPSMSVGRLRPCSCCSVPPYTSMLGRTRGTTPGTAALLINRVSSNVADAPQSKLLSSTRLPFTPSHLKSLGFKPSPPQLALLSLLFLLSMAIGAIFSLAVICLPTIAAFRRLGASVHKLSQVVSEEVPGTLSSLKLSAHEINELARQLTNLRILHF >Potri.010G003700.5.v4.1 pep chromosome:Pop_tri_v4:10:339563:340816:1 gene:Potri.010G003700.v4.1 transcript:Potri.010G003700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003700.v4.1 MHLLLLLGPTPLCLYPSMSVGRLRPCSCCSVPPYTSMLGRTRGTTPGTAALLINRVSSNVADAPQSKLLSSTRLPFTPSHLKSLGFKPSPPQLALLSLLFLLSMAIGAIFSLAVICLPTIAAFRRLGASVHKLSQVVSEEVPGTLSSLKLSAHEINELARQLTNLRQKISATDQHGKWKQSQNQ >Potri.018G140800.1.v4.1 pep chromosome:Pop_tri_v4:18:14579452:14587238:1 gene:Potri.018G140800.v4.1 transcript:Potri.018G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140800.v4.1 MWSSFWRSRDRFSLDELRYLIDQLQKVQIVNDVNKDFVIEALRSISELITYGDQHDSNYFEFFMERQVMGEFVRILKVSRTVSVSRQLLQTMSIMIQNLKSEHAIYYLFSNEHINFLITYAFDFKNEELLSYYISFLRAISVKLDKNTISLFVKTQNEEVVSFPLYVEAIRFAFHEENMIRTAVRALALNVYHVGDESVNRFVVKAPRADYFSNLLTYFRKQCIDLNGLVSETLKNPDSDTTTAILAAVDEIEDDLYYISDVISAGIPDVGRLITDKIMQLLILPLLLPSLQLDAANDIQIGAITSLYLLCCILRIVKIKDLANTIAAALFCSPEAFIADSETKLNGYVPDHVHEIQQPENENVIEVNGCSKQIMQSLSSSSQVRTEDIISKGVSHSTLRDALLSYITVGDDLQVLGSLSMLATLLQTKELDEIMLDALGILPQRKQHKKLLLQALVGEDSREDQLFSLGSSSIRDEFNCELDGYLQTLKDQYGVACSSLEVGTTPSAHRFQVLHTLVSLFCRSNISPETLWDGGWLFRQLLPYSEAEFNSQHLKLLKDSYKNCTCALLEETRGTWPDLLVSILRDEWKKCKRAMEASSPPKEPKCILFPLEKSSADDVLPSESSIIAGEKMCKVVKVFVLLHQLHIFSLGRALPDQPPTCLPSDIPENSRARTAGLDASGPKLGAELRLVDAVPCRIAFERGKERHFCFLAISVGTSGWILLAEELPLKKHYGIIRIVAPLAGSNPAIDEKHSRWLHLRIRPSTLPVLDPAKSITHGKAKTKALVDGRWTLAFRDDESCKTALSMIIEEFDLQSSEVKRRLNSLLNIEGGIDVPDSSLHPSEASSSTQTPSNSS >Potri.018G140800.2.v4.1 pep chromosome:Pop_tri_v4:18:14579463:14587236:1 gene:Potri.018G140800.v4.1 transcript:Potri.018G140800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140800.v4.1 MWSSFWRSRDRFSLDELRYLIDQLQKVQIVNDVNKDFVIEALRSISELITYGDQHDSNYFEFFMERQVMGEFVRILKVSRTVSVSRQLLQTMSIMIQNLKSEHAIYYLFSNEHINFLITYAFDFKNEELLSYYISFLRAISVKLDKNTISLFVKTQNEEVVSFPLYVEAIRFAFHEENMIRTAVRALALNVYHVGDESVNRFVVKAPRADYFSNLLTYFRKQCIDLNGLVSETLKNPDSDTTTAILAAVDEIEDDLYYISDVISAGIPDVGRLITDKIMQLLILPLLLPSLQLDAANDIQIGAITSLYLLCCILRIVKIKDLANTIAAALFCSPEAFIADSETKLNGYVPDHVHEIQQPENENVIEVNGCSKQIMQSLSSSSQVRTEDIISKGVSHSTLRDALLSYITVGDDLQVLGSLSMLATLLQTKVELDEIMLDALGILPQRKQHKKLLLQALVGEDSREDQLFSLGSSSIRDEFNCELDGYLQTLKDQYGVACSSLEVGTTPSAHRFQVLHTLVSLFCRSNISPETLWDGGWLFRQLLPYSEAEFNSQHLKLLKDSYKNCTCALLEETRGTWPDLLVSILRDEWKKCKRAMEASSPPKEPKCILFPLEKSSADDVLPSESSIIAGEKMCKVVKVFVLLHQLHIFSLGRALPDQPPTCLPSDIPENSRARTAGLDASGPKLGAELRLVDAVPCRIAFERGKERHFCFLAISVGTSGWILLAEELPLKKHYGIIRIVAPLAGSNPAIDEKHSRWLHLRIRPSTLPVLDPAKSITHGKAKTKALVDGRWTLAFRDDESCKTALSMIIEEFDLQSSEVKRRLNSLLNIEGGIDVPDSSLHPSEASSSTQTPSNSS >Potri.017G142882.1.v4.1 pep chromosome:Pop_tri_v4:17:14318963:14320378:-1 gene:Potri.017G142882.v4.1 transcript:Potri.017G142882.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142882.v4.1 MGLLDYSFRHWSFRHLVCQSKQGRSEGTKIGSALNGASVSALLGLAASSLGIISFESPAYSILLEFLFPLTIPLLLFRADLRRVFTSTGIFLLAFLIGTVGKIVRTVLAYMMVPILDSVAIAAAFPTQFNLLVPSGEALAMILKQVSIHLFVILGLGKLFRFDQKPLLIASNANIGGPTTAYGMGNAKGWIEFFGCSCHYCLHFWKFLFSLFWE >Potri.001G068800.2.v4.1 pep chromosome:Pop_tri_v4:1:5169531:5170602:-1 gene:Potri.001G068800.v4.1 transcript:Potri.001G068800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068800.v4.1 MASLTRPISISISILLLFFFSPLIKSSREGDPTPAPWPHQFHAILFMNYSGTLQKIDLWYDWTNGRNFNIIQHQLGKLMYDLEWNNGTSFFYTLDSNKECSTAHLEVGILRPNWLDGANYLGQRHVDGFLCNVWEKVDFIWYYEDVITKRPVYWVFYTGREAHVMTFEVGAALEDAKWQAPVYCFGNIPEAGYPISATSRENLLGGVLRGSLAL >Potri.011G103600.1.v4.1 pep chromosome:Pop_tri_v4:11:13147133:13150332:-1 gene:Potri.011G103600.v4.1 transcript:Potri.011G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103600.v4.1 MSDSIPNPVWTTCKDAAGIAGNIFAFGLFVSPIPTYRRIIRNRSTEQFSGLPYIYALMNCLICMWYGTPLVSADNLLLVTVNSFGAVFQLAYIILFTIYAERRIKVRTLASLLVVLGLFAIIAVGSLQITDRMIRWLSVGSLTVVSLISMFASPLFIINLVIRTKSVEFMPFYLSLSTFLMSTSFMLYGLLNFDAFVYVPNGIGAILGIIQLALYVHYKKKSTQDSIEPLIASHA >Potri.001G005600.5.v4.1 pep chromosome:Pop_tri_v4:1:376043:380100:1 gene:Potri.001G005600.v4.1 transcript:Potri.001G005600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005600.v4.1 MVVVKGSVSINRGELERTMPITGSPLKKETDAITKSVSINNKEMDHQPLNLDNHSFETIQKLRIFYPTSPEHQAAVRLQKVYKSYRTRRILADCAILVDQSWWELLDFAELKWISISFFDIKKHQAAISRWSRGKKKAGRVGKGLSSDDNAQKLVDKHWLEAIDPRHRYGHNLQFYYERWLDTKSRQPFFYWLDIGEGKEVNLEACPRSKFQKQCIKYLGPTERKAYEVVIEQGKLLYKMTGELIHTTEDAKSIFVLDTSKTLYVGKKKKGTFQHSSFLAGGVTTAAGRLIVETGILKAVWPHSGHYWPTQEKFQDFLSFLRENNVDLTHVETSALDEEDRMLCKGRSSDCLRSNPLDQNH >Potri.001G005600.4.v4.1 pep chromosome:Pop_tri_v4:1:377433:380100:1 gene:Potri.001G005600.v4.1 transcript:Potri.001G005600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005600.v4.1 MVVVKGSVSINRGELERTMPITGSPLKKETDAITKSVSINNKEMDHQPLNLDNHSFETIQKLRIFYPTSPEHQAAVRLQKVYKSYRTRRILADCAILVDQSWWELLDFAELKWISISFFDIKKHQAAISRWSRGKKKAGRVGKGLSSDDNAQKLVDKHWLEAIDPRHRYGHNLQFYYERWLDTKSRQPFFYWLDIGEGKEVNLEACPRSKFQKQCIKYLGPTERKAYEVVIEQGKLLYKMTGELIHTTEDAKSIFVLDTSKTLYVGKKKKGTFQHSSFLAGGVTTAAGRLIVETGILKAVWPHSGHYWPTQEKFQDFLSFLRENNVDLTHVETSALDEEDRMLCKGRSSDCLRSNPLDQNH >Potri.001G005600.2.v4.1 pep chromosome:Pop_tri_v4:1:376043:380100:1 gene:Potri.001G005600.v4.1 transcript:Potri.001G005600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005600.v4.1 MVVVKGSVSINRGELERTMPITGSPLKKETDAITKSVSINNKEMDHQPLNLDNHSFETIQKLRIFYPTSPEHQAAVRLQKVYKSYRTRRILADCAILVDQSWWELLDFAELKWISISFFDIKKHQAAISRWSRGKKKAGRVGKGLSSDDNAQKLVDKHWLEAIDPRHRYGHNLQFYYERWLDTKSRQPFFYWLDIGEGKEVNLEACPRSKFQKQCIKYLGPTERKAYEVVIEQGKLLYKMTGELIHTTEDAKSIFVLDTSKTLYVGKKKKGTFQHSSFLAGGVTTAAGRLIVETGILKAVWPHSGHYWPTQEKFQDFLSFLRENNVDLTHVETSALDEEDRMLCKGRSSDCLRSNPLDQNH >Potri.001G443200.2.v4.1 pep chromosome:Pop_tri_v4:1:46796042:46815552:-1 gene:Potri.001G443200.v4.1 transcript:Potri.001G443200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443200.v4.1 MSEEEKLLKEAKKLAWEDRLLHKNWKVRNEANIDLASLCDSISDPKDSRLREFAPLFRKTVADSNAPVQEKALDALIAFLRAADADAGRYAKEVCDAIVAKCLTGRPKTVEKAQAAFMLWVELEAVDVFLDAMEKAIKNKVAKAVVPAIDVMFQALSDFGAKVVPPKRILKMLPELFDHQDQNVRASSKGLTLELCRWIGKDPVKSILFEKMRDTMKKELEAELVNVKGTAKPSRKIRSEQDKEPEPEGVSEVVGSGPSEEVAAEAPQEIDEYDLVDPVDILGPLEKAGFWDGVKATKWSERKEAVAELTKLASTKRIAPGDFSEVCRTLKKLITDVNIAVAVEAIQAIGNLARGLRTHFSGSSRFLLPVLLEKLKEKKPTLTEALAQTLQAMHTAGCLNLADIIEDVKTAVKNKVPLVRSLTLNWVTFCIETSNKAVILKVHKDYVPICMECLNDGTPDVRDSAFSVLAAVAKSVGMRPLERSLEKLDDVRRKKLSEMIAGSGDGVPAVASSGPVQAVRGSMSSVETSEGSFVKKSAASMLSGKRPAPAAAANKKAAPTKSGVSKKGDGAGRAESSRAIEPPEDVEPAEMSLEEIETRLGSLIQADTVSQLKSAVWKERLEAISSFKLQVEGLQNLDQSVEILIRLLCAIPGWNEKNVQVQQQVIEVITYLASTASKFPKKCVVLCLLGISERVADIKTRAHAMKCLTTFSEAVGPGFVFDRLYKIMKEHKNPKVLSEGIIWMVSAIDDFGVSHLKLKDLIDFCKDTGLQSSVAASRNATIKLLGALHKFVGPDIKGFLADVKPALLSALDAEYDKNPFEGASAAPKKTVRTSESTSSVSGGGLDSLPREDISGKITPTLIKSLESPDWKVRLESIEAVNKILEEANKRIQPTGTGELFGALRGRLYDSNKNLIMTALTTIGGVASAMGPAVEKSSKGVLSDILKCLGDNKKHMRECTLNTLDSWVAAVHLDKMVPYITAALIETKLGAEGRKDLFDWLSKQLSGSSEFSDAIHLLKPASSAMTDKSSDVRKAAEACISEILRVCGQEMIEKNLKDIQGPALALVLERVRPAGGFQESFESTKTISMGPSSKTSVKVGKAASNGISKHANRSISARVIPMKGSKPEPTMSFQDRAVQSQALLNVKDSNKEDRERMVVRRFKFEEPRMEQVQDLESDMMKYFREDLNRRLLSPDFKKQVDGLEMLHKALPSIGKEIIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPDLFDRLRDEAYTLSESEAAIFLPCLIEKLGHNIEKVREKMRELTKQIVQAYSAAKSFPYILEGLRSKNNRTRIECADLVGFLIDHHGAEISGQLKSLQIVASLTAERDGETRKAALNTLATGYKILGEDIWRFLGKLTDAQKSMIDDRFKWKVREMEKRKEGRPGDARAALRRSVRENGSDIAEQSGELSQSVSGPIIARKNYGTQELHMEGHMMPRALVSVNGPADWNEALDIISFGSPEQSVEGMKVVCHELAQATNDAEGSAMDELVKDADKLVSCLANKVSRTFDFSLTGASSRACKYVLNTLMQTFQNKILAYAVKESTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPTRWPSPASAETFAIRNQKFSDLVVKCLIKLTKVLQTTIYDVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTSTAPVGQNHWGDSAANNSSPAAHSAEAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNTAAGRTPSSLPISTPPPSALNVSSPDLQPLSPVHTNSLNDAKPLHVKPETTNFHLPPSYAEDNRAVSAFLSRGLVSENSLGDQRNEKLIGGVTSGTLDAIRERMKSMQLAAATGNPDSGSRPLMSMNENLNNGLSSQILRAPDSTGMENPLHSGVLPMDEKALSGLQARMERLKSGSLEPL >Potri.001G443200.6.v4.1 pep chromosome:Pop_tri_v4:1:46796072:46803024:-1 gene:Potri.001G443200.v4.1 transcript:Potri.001G443200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443200.v4.1 MRELTKQIVQAYSAAKSFPYILEGLRSKNNRTRIECADLVGFLIDHHGAEISGQLKSLQIVASLTAERDGETRKAALNTLATGYKILGEDIWRFLGKLTDAQKSMIDDRFKWKVREMEKRKEGRPGDARAALRRSVRENGSDIAEQSGELSQSVSGPIIARKNYGTQELHMEGHMMPRALVSVNGPADWNEALDIISFGSPEQSVEGMKVVCHELAQATNDAEGSAMDELVKDADKLVSCLANKVSRTFDFSLTGASSRACKYVLNTLMQTFQNKILAYAVKESTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPTRWPSPASAETFAIRNQKFSDLVVKCLIKLTKVLQTTIYDVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTSTAPVGQNHWGDSAANNSSPAAHSAEAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNTAAGRTPSSLPISTPPPSALNVSSPDLQPLSPVHTNSLNDAKPLHVKPETTNFHLPPSYAEDNRAVSAFLSRGLVSENSLGDQRNEKLIGGVTSGTLDAIRERMKSMQLAAATGNPDSGSRPLMSMNENLNNGLSSQILRAPDSTGMENPLHSGVLPMDEKALSGLQARMERLKSGSLEPL >Potri.001G443200.5.v4.1 pep chromosome:Pop_tri_v4:1:46795971:46803891:-1 gene:Potri.001G443200.v4.1 transcript:Potri.001G443200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443200.v4.1 MGPSSKTSVKVGKAASNGISKHANRSISARVIPMKGSKPEPTMSFQDRAVQSQALLNVKDSNKEDRERMVVRRFKFEEPRMEQVQDLESDMMKYFREDLNRRLLSPDFKKQVDGLEMLHKALPSIGKEIIEVLDILLRWFVLQFCKSNTTCLLKVLEFLPDLFDRLRDEAYTLSESEAAIFLPCLIEKLGHNIEKVREKMRELTKQIVQAYSAAKSFPYILEGLRSKNNRTRIECADLVGFLIDHHGAEISGQLKSLQIVASLTAERDGETRKAALNTLATGYKILGEDIWRFLGKLTDAQKSMIDDRFKWKVREMEKRKEGRPGDARAALRRSVRENGSDIAEQSGELSQSVSGPIIARKNYGTQELHMEGHMMPRALVSVNGPADWNEALDIISFGSPEQSVEGMKVVCHELAQATNDAEGSAMDELVKDADKLVSCLANKVSRTFDFSLTGASSRACKYVLNTLMQTFQNKILAYAVKESTLDSLITELLLWLLDERVPHMDDGSQLLKALNVLMLKILDNADRTSSFVVLINLLRPLDPTRWPSPASAETFAIRNQKFSDLVVKCLIKLTKVLQTTIYDVDLDRILQSIHIYLQELGMEEIRRRAGADDKPLRMVKTVLHELVKLRGAAIKGHLSMVPIDMKPQPIILAYIDLNLETLAAARMLTSTAPVGQNHWGDSAANNSSPAAHSAEAQLKQELAAIFKKIGDKQTCTIGLYELYRITQLYPKVDIFAQLQNASEAFRTYIRDGLAQMEKNTAAGRTPSSLPISTPPPSALNVSSPDLQPLSPVHTNSLNDAKPLHVKPETTNFHLPPSYAEDNRAVSAFLSRGLVSENSLGDQRNEKLIGGVTSGTLDAIRERMKSMQLAAATGNPDSGSRPLMSMNENLNNGLSSQILRAPDSTGMENPLHSGVLPMDEKALSGLQARMERLKSGSLEPL >Potri.002G128100.1.v4.1 pep chromosome:Pop_tri_v4:2:9694028:9697123:-1 gene:Potri.002G128100.v4.1 transcript:Potri.002G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128100.v4.1 MQSRGSDSQPQQEQNKRQQQQGQQPPPPPQQQQWMPMQYPVAAMVMQHMPPQHYGLPPPQHYMAATAYHQYQHHHHLPHVQQQQQQQREGSSGDNKTIWIGDLHHWMDENYLHTCFASTGEIASIKVIRSKQTGLSEGYGFVEFFTHATAEKVLQNYGGILMPNTEQPFRLNWATFSTGDKRSDNTPDLSIFVGDLAADVTDSLLQETFASKYQSVKSAKVVFDANTGRSKGYGFVRFGDDTERTQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQGGYGSNGASSQGFQSDGDSSNATIFVGGLDPNVTDEDLKQPFSQYGEIVSVKIPVSKGCGFVQFANRNNAEEALQKLNGTVIGKQTVRLSWGRNPGHKQHRADFSSPWNGAYYGGQVYDGYGYALPPPHDPSTYAAAYGAYPMYGNHQQQVS >Potri.001G292300.1.v4.1 pep chromosome:Pop_tri_v4:1:30426470:30428814:-1 gene:Potri.001G292300.v4.1 transcript:Potri.001G292300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292300.v4.1 MSHSHSHSHSHSHSHLPDRSASALNSLYCGEDVSEVVQRDADTWISSHLQFPPPSPSIIVSPPSDENTITKLIDSESHFMPLSDYLHRCRHRSIDITARQDSINWILKVYAHYEFRPLTALLSVNYFDRFLSSYSLPENGWPFQLLSVACLSLAAKMEEPDVPLLLDLQILEPGFIFEPKNIQKMELRVMANLNWRLRSTTPFDYLDYFISKLPSCSSTKPENFDRVLKKSADLILNTTRVIDFLGFAPSTVAAAAVISAAGKNFDTIPLKAGVGQFFHERVNREMVRSCHQLIEEYLIDTCPTARLKDLSDDALVDPASPAGVLDAAAACGSCSTRSENPISASSQAPETEPIIKRLRSTAPDVQEP >Potri.010G057200.4.v4.1 pep chromosome:Pop_tri_v4:10:8793689:8804087:-1 gene:Potri.010G057200.v4.1 transcript:Potri.010G057200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057200.v4.1 MASHLPIVQFEDKIMKTVEDNAVVVIIGETGSGKSTQLSQMLHRRGYTKSGIIAVTQPRRVAAVSVARRVAQELGVTLGEEVGYAIRFEDRTSDLTRIKYLTDGVLLRECLSNPELNQYSVIILDEAHERSLNTDILMGLVKRLVKMRASKLKVLITSATLDGEKVSEFFSDCPVLNVPGKLYPVEILYSEERPKSYIESSFRTAMDIHVREPEGDVLIFMTGQDDIDKLVSKLEDRVQSLEEGSCMDAIILPLHGSLPPELQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDIVPISKVQANQRAGRAGRTRPGKCYRLYSSEVYQEELLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDPPSFESLEDALKQLYLIDAIDDTGLITSVGQTMAELPLEPSLSRTLMEANENGCLSQALTVAAMLSAETTLLAGQSKSNEKKRKHPPPDLPDGSGYGDHVQLLQIFEQWDQNEFDIGWCKDKGLQVRGMMFVKDVRRQLSQLMQKIAKGSLNVRTIERYKERQRDYKNLRKALCVGYANKLAERMVQHNGYRTIGFKPQLVQVHPSSTLKTDEDGMFPNYVVYHELIATSRPFMRNVCAVEMPWVNPILKKLEKLNIDKLSGGSGHSIREESETKVSSLPKKEEAVTGVPDDRESRIQAARDRFLARKGKK >Potri.010G057200.5.v4.1 pep chromosome:Pop_tri_v4:10:8793635:8804116:-1 gene:Potri.010G057200.v4.1 transcript:Potri.010G057200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057200.v4.1 MGLVKRLVKMRASKLKVLITSATLDGEKVSEFFSDCPVLNVPGKLYPVEILYSEERPKSYIESSFRTAMDIHVREPEGDVLIFMTGQDDIDKLVSKLEDRVQSLEEGSCMDAIILPLHGSLPPELQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDIVPISKVQANQRAGRAGRTRPGKCYRLYSSEVYQEELLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDPPSFESLEDALKQLYLIDAIDDTGLITSVGQTMAELPLEPSLSRTLMEANENGCLSQALTVAAMLSAETTLLAGQSSKSNEKKRKHPPPDLPDGSGYGDHVQLLQIFEQWDQNEFDIGWCKDKGLQVRGMMFVKDVRRQLSQLMQKIAKGSLNVRTIERYKERQRDYKNLRKALCVGYANKLAERMVQHNGYRTIGFKPQLVQVHPSSTLKTDEDGMFPNYVVYHELIATSRPFMRNVCAVEMPWVNPILKKLEKLNIDKLSGGSGHSIREESETKVSSLPKKEEAVTGVPDDRESRIQAARDRFLARKGKK >Potri.010G057200.1.v4.1 pep chromosome:Pop_tri_v4:10:8793709:8804213:-1 gene:Potri.010G057200.v4.1 transcript:Potri.010G057200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057200.v4.1 MASHLPIVQFEDKIMKTVEDNAVVVIIGETGSGKSTQLSQMLHRRGYTKSGIIAVTQPRRVAAVSVARRVAQELGVTLGEEVGYAIRFEDRTSDLTRIKYLTDGVLLRECLSNPELNQYSVIILDEAHERSLNTDILMGLVKRLVKMRASKLKVLITSATLDGEKVSEFFSDCPVLNVPGKLYPVEILYSEERPKSYIESSFRTAMDIHVREPEGDVLIFMTGQDDIDKLVSKLEDRVQSLEEGSCMDAIILPLHGSLPPELQVRVFSPPPPNCRRFIVATNIAETSLTVDGVVYVIDSGYVKQRQYNPSTGMYSLDIVPISKVQANQRAGRAGRTRPGKCYRLYSSEVYQEELLDVTVPEIQRSSLAGSVLYLKSLDLPDIDILKFDFLDPPSFESLEDALKQLYLIDAIDDTGLITSVGQTMAELPLEPSLSRTLMEANENGCLSQALTVAAMLSAETTLLAGQSSKSNEKKRKHPPPDLPDGSGYGDHVQLLQIFEQWDQNEFDIGWCKDKGLQVRGMMFVKDVRRQLSQLMQKIAKGSLNVRTIERYKERQRDYKNLRKALCVGYANKLAERMVQHNGYRTIGFKPQLVQVHPSSTLKTDEDGMFPNYVVYHELIATSRPFMRNVCAVEMPWVNPILKKLEKLNIDKLSGGSGHSIREESETKVSSLPKKEEAVTGVPDDRESRIQAARDRFLARKGKK >Potri.016G006300.4.v4.1 pep chromosome:Pop_tri_v4:16:305387:307317:-1 gene:Potri.016G006300.v4.1 transcript:Potri.016G006300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006300.v4.1 MQSVRNSILSHMGLRGSAEQLLFAQRGNVFKQLRWQMCTSAGNSPDQIMDRVIELVKKFDKIDANKVTAAADFQKDLSLDSLDRVELVMAFEEEFSFEIPEEKADKLTCCADVAKYIVSGGEQKIVNPE >Potri.016G006300.3.v4.1 pep chromosome:Pop_tri_v4:16:305423:307127:-1 gene:Potri.016G006300.v4.1 transcript:Potri.016G006300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006300.v4.1 MQSVRNSILSHMGLRGSAEQLLFAQRGNVFKQLRWQMCTSAGNSPDQIMDRVIELVKKFDKIDANKVTAAADFQKDLSLDSLDRVELVMAFEEEFSFEIPEEKADKLTCCADVAKYIVSGGEQKIVNPE >Potri.001G304600.4.v4.1 pep chromosome:Pop_tri_v4:1:31418272:31423537:-1 gene:Potri.001G304600.v4.1 transcript:Potri.001G304600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304600.v4.1 MCTSQNRQLPTKLNSTVPLLSTSPMAPPESDSVEPVTPAGRLFLLPEMNTVIHCVFGVKNKIDIDSTRSVIKNSLMLKHPRFCSLLVRDKNGREHWKKTDIDIDQHFIIVNKKTCTDDDDDDDDDVDKAVNEYIADLSVSTPLDMNKPLWEVHVMLEKKCVIMRIHHALGDGISLMSMFLASCRTASDPEAIPTLVTGRRPDCGKEGKQQDWRGVILRLLKMVWFGMAFSLEFVLRFLWVSDKKTVISGGDGVELWPRKLATAKFLIEDMKTVKGAVANATINDVLFGVISSGLSRYLDHRSPEALKEGQQITGMAMVNLREQLSMQDLSEMLKNNSGSQSRWGNRFGFILLPVFYRKSDVDPLQHVGRAKTMVDRKKKTLEAHFAYHIGHLAMSLLGPKAAYVLNYRVLCNTTFTFSNVVGPQEVVTMSGNPVKFLRVNTSSIPHALTMHMVSYAGRADMQIMVAKDIIPDPEFLAKCFEDALFEMKEAAAATV >Potri.006G184100.1.v4.1 pep chromosome:Pop_tri_v4:6:19015565:19016582:1 gene:Potri.006G184100.v4.1 transcript:Potri.006G184100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184100.v4.1 MASHKVALLSSILVVSLFVTFTEARDIMVGGKNYSWKIPSSESDSLNKWAEASRFRVGDTLVWTYDPKKDSVLQVIKKDYETCNTSSPLVTYKDGNTKVKLDKSGPYYFISGADGHCEQGQKLITVVMSMRSHFMGISPAPSPVEFGGPAVAPTSTGGVNLRGSLGLSFGVLTGLILL >Potri.002G041900.2.v4.1 pep chromosome:Pop_tri_v4:2:2747169:2751522:1 gene:Potri.002G041900.v4.1 transcript:Potri.002G041900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041900.v4.1 MSNRRKALLAFSKIFLPNKLKRSSSCCCQGSLEKRRKKKKKKKKKEMETYASSSLSQLQINETQTLIPGLPNDIASLILSLIPYSHHARVKPTCKSWHTFLSSTEALFSLRRHPRRSNHLLIIFPQDPSISAPYLFDPQNLAWRPLPRMPCNPNVYGLCNFTSISMGPNLYVLGGSLFDTRSFPMDRPSPTSSVFRFNFVDFLWEKLCPMLSPRGSFACAAVPDSGQIIVAGGGSRHAWFGAAGSRISSVERYDVGKGEWVAIEGLPRYRAGCVGFLSGDGDEREFWVMGGYGESRTISGIFPVDEYYKDAVVMDLKKSGCGKWREVGDMWSDAGRGRLGKIVVVEEDEGRPAVFMLDENEIFRLHKTR >Potri.002G041900.3.v4.1 pep chromosome:Pop_tri_v4:2:2747401:2748990:1 gene:Potri.002G041900.v4.1 transcript:Potri.002G041900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041900.v4.1 METYASSSLSQLQINETQTLIPGLPNDIASLILSLIPYSHHARVKPTCKSWHTFLSSTEALFSLRRHPRRSNHLLIIFPQDPSISAPYLFDPQNLAWRPLPRMPCNPNVYGLCNFTSISMGPNLYVLGGSLFDTRSFPMDRPSPTSSVFRFNFVDFLWEKLCPMLSPRGSFACAAVPDSGQIIVAGGGSRHAWFGAAGSRISSVERYDVGKGEWVAIEGLPRYRAGCVGFLSGDGDEREFWVMGGYGESRTISGIFPVDEYYKDAVVMDLKKSGCGKWREVGDMWSDAGRGRLGKIVVVEEDEGRPAVFMLDENEIFR >Potri.002G041900.4.v4.1 pep chromosome:Pop_tri_v4:2:2747418:2751522:1 gene:Potri.002G041900.v4.1 transcript:Potri.002G041900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041900.v4.1 MPCNPNVYGLCNFTSISMGPNLYVLGGSLFDTRSFPMDRPSPTSSVFRFNFVDFLWEKLCPMLSPRGSFACAAVPDSGQIIVAGGGSRHAWFGAAGSRISSVERYDVGKGEWVAIEGLPRYRAGCVGFLSGDGDEREFWVMGGYGESRTISGIFPVDEYYKDAVVMDLKKSGCGKWREVGDMWSDAGRGRLGKIVVVEEDEGRPAVFMLDENEIFRYDMASNSWQRESIVPRKAPHNSSCGFAVLDGELHVMTFLRGDDLVKTQRSRQQKRGGTLFVQIYHPKKKTWRSLVTRPPFCHSLDFKTAIMCTIRL >Potri.002G257600.1.v4.1 pep chromosome:Pop_tri_v4:2:24605961:24606721:1 gene:Potri.002G257600.v4.1 transcript:Potri.002G257600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257600.v4.1 MDEGDCIVSPSHSLGMDGVDFYARENLISLFGHRFFPGRCSWYSTDGGGKNEENPVLEVGEQNLKHWLASRFRAQWKQAFGWKRSSAQCSYDPYSYSLNFDDGLSIP >Potri.012G046500.7.v4.1 pep chromosome:Pop_tri_v4:12:4246689:4252811:-1 gene:Potri.012G046500.v4.1 transcript:Potri.012G046500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046500.v4.1 MTQVSAACVPQVIFILQCLLAAILPIRKLCETPVSFFYLPPMSSASKFVNFGTSLFLRTTNNGHPHKTISFKPLPSLQFHLYCSSSTAATATATATTDDDIMETLNSLQQENKQKQHPWPEWVTFVDKLKTRGYFMETSEDENIIAYTDMNQLRDGCLSFARDRYDVLKSLSIPDIQTVVESGCPNILRKVVNSAKRMRAYVQKDEGDACSACIHRGCCDRAYVVLKSNEAEGRTIDIVRVLMFHALDPLVISEGEKSPGSELIEASARKLLSELVELSETPHDPALPKRTPKTPDKKERVVNFTGGILRENVEMKKGDWICTKCNFMNFTKNKRCRKCGEQSAKKDGDDSIEVKKGDWICSECNFMNFAKNKRCRKCGEQSSKKDGVDSFEVKKGDWICSECNFMNFAKNKRCRKCGEQSAKKDGDDSIEVKKGDWICSECNFTNFSKNTRCRKCGEQSAKKDGDDSIEVKKGDWICSECEFLNFSRNIKCLKCKADGPERVAVDNVEMKRGDWNCTKCGFMNFASNKTCLRCLDPRPERDTGEWNCPSCDFLNFTKNKVCLKCNCDRPKRMGGEWHCPSCDFMNFSRNAVCLKCDCKRPREAMTEYEEQIWKSPY >Potri.011G054300.1.v4.1 pep chromosome:Pop_tri_v4:11:4408617:4410926:1 gene:Potri.011G054300.v4.1 transcript:Potri.011G054300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G054300.v4.1 MQGGSEDVKPNQERRLKSTQGDDQQQHQPQQPQKCPRCESLNTKFCYYNNYNLSQPRYFCKTCRRYWTLGGTLRNVPVGGGCRKGKRAKTSSSSSSGENSRLQQQLPQQPHLLQHNFATPQNILATNNSGNLSSPALRNKESGNLVLPPAPGMSTMGSYFPGGGFFTSLEAIQSLNNNQPPIQSFPLQPLNQPVNLGGVLGETSNLGLLHGFNAVPAFGSQNQQQSQFYHVGYRDTRSIEHSFYPHNQESLIQSSRPATSSHQQQNWHHGFMSNSSPTVSDTALWSTSTSTTIGHTNSNINPTAGSSPLNPDQWKHDLPGYGPPS >Potri.018G123800.1.v4.1 pep chromosome:Pop_tri_v4:18:13581980:13582864:1 gene:Potri.018G123800.v4.1 transcript:Potri.018G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123800.v4.1 MESSGTEKSPSEGSSISATSEGTPHGDGGDLDQTKMDSTKKMKEKAARGSEPALLPESSARVLLGLKLSSDSSIRGSKQFNLFSPMSAGSSHAKESTDETSRQTESRVFSCNFCKREFSTSQALGGHQNAHKQERALAKRRREMDASALGHLPYYPYSSLSTNPYYGSLNRALGVRMDSFIHKTSPYSWTSPGGHRYGAHSGWPRQTLMNTQPSIDRLRTESLNAFCGGFGISTSSSSPRFDDNGIVRSSFGASPSSNNITAIRKPPVTDHIQQINPPKSDQTDESGLDLSLKL >Potri.008G025800.21.v4.1 pep chromosome:Pop_tri_v4:8:1308210:1316176:-1 gene:Potri.008G025800.v4.1 transcript:Potri.008G025800.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025800.v4.1 MDSWCGGEATIKSRAKQTEESYQLQLALALRLSSQAASANDPYFLDFSSSDNTKRGLPPYSPESLSHRFWVSGSLSYFDRIPDGFYVIHGLDPYTWSISADSRVPSFESLKAVNDLSIGVVLIDRFRDPGLKEVHNRVTGLSSSLSTTEDVVKHLAIVVCNLMGGVVLNEDDNAFAECWKECTEVMKRRFRSVVLPIGSLSIGLCVHRALLFKVLADSINLPCRIVKGCKYCRRDVVSSCLVQVGNDREYFVDLLRNPGALSQPDSSLNCSSSILVSSPLSHPRFKSNQTTEDFRTLAKLYFLDSQPLNLVFDNSSSGPTIDEDDKFISRLGKDKKNLLPTSSNNRDTSLSSSVLPVRQKYTDPVVSNPKRVATNNLLFMELNQSIPSKSNNQLHLEEEDLDVPWSELLLKNKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVAIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHMNDAASILDERRRLNMAYDVAKGMNYLHQFRPPIVHRDLKSLNLLVDSTYTVKICDFGLSRSKAKTYISSTNAAGRPEWMAPEVLRNERSNEKSDVYSFGVILWELMTLQQPWRNLKQAQIIEAVGFMGQRLEIPSSVNPSVAALIDVCLDNEPSKRPPFSYIMETLQELINNSISHPVAAQVR >Potri.008G025800.23.v4.1 pep chromosome:Pop_tri_v4:8:1308088:1315916:-1 gene:Potri.008G025800.v4.1 transcript:Potri.008G025800.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025800.v4.1 MDSWCGGEATIKSRAKQTEESYQLQLALALRLSSQAASANDPYFLDFSSSDNTKRGLPPYSPESLSHRFWVSGSLSYFDRIPDGFYVIHGLDPYTWSISADSRVPSFESLKAVNDLSIGVVLIDRFRDPGLKEVHNRVTGLSSSLSTTEDVVKHLAIVVCNLMGGVVLNEDDNAFAECWKECTEVMKRRFRSVVLPIGSLSIGLCVHRALLFKVLADSINLPCRIVKGCKYCRRDVVSSCLVQVGNDREYFVDLLRNPGALSQPDSSLNCSSSILVSSPLSHPRFKSNQTTEDFRTLAKLYFLDSQPLNLVFDNSSSGPTIDEDDKFISRLGKDKKNLLPTSSNNRDTSLSSSVLPVRQKYTDPVVSNPKRVATNNLLFMELNQSIPSKSNNQLHLEEEDLDVPWSELLLKNKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVAIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHMNDAASILDERRRLNMAYDVAKGMNYLHQFRPPIVHRDLKSLNLLVDSTYTVKWE >Potri.008G025800.24.v4.1 pep chromosome:Pop_tri_v4:8:1308089:1316028:-1 gene:Potri.008G025800.v4.1 transcript:Potri.008G025800.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025800.v4.1 MGGVVLNEDDNAFAECWKECTEVMKRRFRSVVLPIGSLSIGLCVHRALLFKVLADSINLPCRIVKGCKYCRRDVVSSCLVQVGNDREYFVDLLRNPGALSQPDSSLNCSSSILVSSPLSHPRFKSNQTTEDFRTLAKLYFLDSQPLNLVFDNSSSGPTIDEDDKFISRLGKDKKNLLPTSSNNRDTSLSSSVLPVRQKYTDPVVSNPKRVATNNLLFMELNQSIPSKSNNQLHLEEEDLDVPWSELLLKNKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVAIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHMNDAASILDERRRLNMAYDVAKGMNYLHQFRPPIVHRDLKSLNLLVDSTYTVKICDFGLSRSKAKTYISSTNAAGRPEWMAPEVLRNERSNEKSDVYSFGVILWELMTLQQPWRNLKQAQIIEAVGFMGQRLEIPSSVNPSVAALIDVCLDNEPSKRPPFSYIMETLQELINNSISHPVAAQVR >Potri.008G025800.22.v4.1 pep chromosome:Pop_tri_v4:8:1308009:1315961:-1 gene:Potri.008G025800.v4.1 transcript:Potri.008G025800.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025800.v4.1 MDSWCGGEATIKSRAKQTEESYQLQLALALRLSSQAASANDPYFLDFSSSDNTKRGLPPYSPESLSHRFWVSGSLSYFDRIPDGFYVIHGLDPYTWSISADSRVPSFESLKAVNDLSIGVVLIDRFRDPGLKEVHNRVTGLSSSLSTTEDVVKHLAIVVCNLMGGVVLNEDDNAFAECWKECTEVMKRRFRSVVLPIGSLSIGLCVHRALLFKVLADSINLPCRIVKGCKYCRRDVVSSCLVQVGNDREYFVDLLRNPGALSQPDSSLNCSSSILVSSPLSHPRFKSNQTTEDFRTLAKLYFLDSQPLNLVFDNSSSGPTIDEDDKFISRLGKDKKNLLPTSSNNRDTSLSSSVLPVRQKYTDPVVSNPKRVATNNLLFMELNQSIPSKSNNQLHLEEEDLDVPWSELLLKNKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVAIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHMNDAASILDERRRLNMAYDVAKGMNYLHQFRPPIVHRDLKSLNLLVDSTYTVKICDFGLSRSKAKTYISSTNAAGRPEWMAPEVLRNERSNEKSDVYSFGVILWELMTLQQPWRNLKQAQIIEAVGFMGQRLEIPSSVNPSVAALIDVCLDNEPSKRPPFSYIMETLQELINNSISHPVAAQVR >Potri.008G025800.25.v4.1 pep chromosome:Pop_tri_v4:8:1308087:1315916:-1 gene:Potri.008G025800.v4.1 transcript:Potri.008G025800.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025800.v4.1 MGGVVLNEDDNAFAECWKECTEVMKRRFRSVVLPIGSLSIGLCVHRALLFKVLADSINLPCRIVKGCKYCRRDVVSSCLVQVGNDREYFVDLLRNPGALSQPDSSLNCSSSILVSSPLSHPRFKSNQTTEDFRTLAKLYFLDSQPLNLVFDNSSSGPTIDEDDKFISRLGKDKKNLLPTSSNNRDTSLSSSVLPVRQKYTDPVVSNPKRVATNNLLFMELNQSIPSKSNNQLHLEEEDLDVPWSELLLKNKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVAIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHMNDAASILDERRRLNMAYDVAKGMNYLHQFRPPIVHRDLKSLNLLVDSTYTVKICDFGLSRSKAKTYISSTNAAGRPEWMAPEVLRNERSNEKSDVYSFGVILWELMTLQQPWRNLKQAQIIEAVGFMGQRLEIPSSVNPSVAALIDVCLDNEPSKRPPFSYIMETLQELINNSISHPVAAQVR >Potri.008G025800.7.v4.1 pep chromosome:Pop_tri_v4:8:1308088:1315961:-1 gene:Potri.008G025800.v4.1 transcript:Potri.008G025800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025800.v4.1 MDSWCGGEATIKSRAKQTEESYQLQLALALRLSSQAASANDPYFLDFSSSDNTKRGLPPYSPESLSHRFWVSGSLSYFDRIPDGFYVIHGLDPYTWSISADSRVPSFESLKAVNDLSIGVVLIDRFRDPGLKEVHNRVTGLSSSLSTTEDVVKHLAIVVCNLMGGVVLNEDDNAFAECWKECTEVMKRRFRSVVLPIGSLSIGLCVHRALLFKVLADSINLPCRIVKGCKYCRRDVVSSCLVQVGNDREYFVDLLRNPGALSQPDSSLNCSSSILVSSPLSHPRFKSNQTTEDFRTLAKLYFLDSQPLNLVFDNSSSGPTIDEDDKFISRLGKDKKNLLPTSSNNRDTSLSSSVLPVRQKYTDPVVSNPKRVATNNLLFMELNQSIPSKSNNQLHLEEEDLDVPWSELLLKNKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVAIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHMNDAASILDERRRLNMAYDVAKGMNYLHQFRPPIVHRDLKSLNLLVDSTYTVKICDFGLSRSKAKTYISSTNAAGRPEWMAPEVLRNERSNEKSDVYSFGVILWELMTLQQPWRNLKQAQIIEAVGFMGQRLEIPSSVNPSVAALIDVCLDNEPSKRPPFSYIMETLQELINNSISHPVAAQVR >Potri.004G066200.5.v4.1 pep chromosome:Pop_tri_v4:4:5696361:5700198:1 gene:Potri.004G066200.v4.1 transcript:Potri.004G066200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066200.v4.1 MGSCYSIMGEKKKSPSSTTTTGKKKSFVSSATNANADPSVLLHIPGRFATNAATKIGCVYTQQGKKGTNQDAMLLWENFSSTTSSDAVFCGVFDGHGPYGHLVAKKVRDSLPLIISTHWNPAQQCCLSDTANAPAPTTNPEDASSLSMDDESFDSLDVEETETPPDMFLPLKKSILKAFKLMDKELKLHPTIDCFCSGTTAVTLIKQGQDLVIGNVGDSRAVLATRDKDDSLLAVQLTVDLKPDLPREAARIQQCKGRVFALQDEPEVPRVWLPNNNSPGLAMARAFGDFCLKDFGLISVPDVYYRCLNDRDEFIILATDGVWDVLSNKEAVDIVASAPGRATAARALVDCAVRAWRLKYPTSKTDDCAVVCLFLEHPCAVNGEVEEQDLRKIPMEPGEHFATDENTGQLETKEDSRDPIFMHSGTTRNSDEIVPVPELTVENPSVMCQGQSKRSLAECISTSEDEEWSALEGITRVNSLLSLPRLLSGDKRAASWRKWV >Potri.004G066200.4.v4.1 pep chromosome:Pop_tri_v4:4:5696397:5700217:1 gene:Potri.004G066200.v4.1 transcript:Potri.004G066200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066200.v4.1 MGSCYSIMGEKKKSPSSTTTTGKKKSFVSSATNANADPSVLLHIPGRFATNAATKIGCVYTQQGKKGTNQDAMLLWENFSSTTSSDAVFCGVFDGHGPYGHLVAKKVRDSLPLIISTHWNPAQQCCLSDTANAPAPTTNPEDASSLSMDDESFDSLDVEETETPPDMFLPLKKSILKAFKLMDKELKLHPTIDCFCSGTTAVTLIKQGQDLVIGNVGDSRAVLATRDKDDSLLAVQLTVDLKPDLPREAARIQQCKGRVFALQDEPEVPRVWLPNNNSPGLAMARAFGDFCLKDFGLISVPDVYYRCLNDRDEFIILATDGVWDVLSNKEAVDIVASAPGRATAARALVDCAVRAWRLKYPTSKTDDCAVVCLFLEHPCAVNGEVEEQDLRKIPMEPGEHFATDENTGQLETKEDSRDPIFMHSGTTRNSDEIVPVPELTVENPSVMCQGQSKRSLAECISTSEDEEWSALEGITRVNSLLSLPRLLSGDKRAASWRKWV >Potri.018G058200.1.v4.1 pep chromosome:Pop_tri_v4:18:5812440:5814470:1 gene:Potri.018G058200.v4.1 transcript:Potri.018G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATC MGSRAVLLLKGPSPPKHSILFLLNHKKISPAPSIRRFTTKATANGSSLEPPDVARLAETARISLTPQQVEEFGPKIRQVIDWFGQIQAVDLDSVEPSIRADTEGDNLRHDNPETFENREAIIAAVPNYEDPYVKVPKVLNKE >Potri.001G008160.1.v4.1 pep chromosome:Pop_tri_v4:1:563722:564430:-1 gene:Potri.001G008160.v4.1 transcript:Potri.001G008160.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008160.v4.1 MERHKEVAKHDGLNIDDRKSDGRRKTRMRNWLVVEDAWEDINEKADAFIKNFRNQLKIQRQDSLKRFQEMISRGA >Potri.012G073100.2.v4.1 pep chromosome:Pop_tri_v4:12:9670908:9671912:1 gene:Potri.012G073100.v4.1 transcript:Potri.012G073100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073100.v4.1 MNNRCCFKALDRSMRDVLSGCDNCSRDLSFGGKTVLLGGDFRQILPVIPGGTKEEIINVSLSSSALWPKFTVLTLTENMRLSTHGLAAEERTKISEFARWILSIGNGDISDLPFSGELDDSFISIPSYLLLHTSCDPIPAIVSAIYPSIYEPQMDPCYFRERAILTPKNTTVAEINDFVLAMTPGNKHIYLSIDSISTSSRETDIANSLYPTEYINQLEFNGVPSHTLALKIGTPVMLLRNINPSISLCNGTRLIVTQLSARVIEAQIITGSNIGNRVFIPRIIFPINEGRCPFTIKRRQFPLRLCYAMTINKSKGQSLKTVGVFLKEQVFTHG >Potri.001G347200.1.v4.1 pep chromosome:Pop_tri_v4:1:35887919:35890880:1 gene:Potri.001G347200.v4.1 transcript:Potri.001G347200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347200.v4.1 MELNTMLIDEFPFLSSLLSEYNLNPEGTNDFSLEEALSSKGLFYNFHHLGYGHPTNITPPYLDLDHFTIEGSSQNPFSGISGTCIDPARLDSLASGFSSHDLNAYTPTVVPLLPAGCGDRLLHGLQRGSVRDHDYQKISGARSLNQKEMKEQRGFEEIGRTRTANNGVSTDEASCVSTEDSKHHKQVDHHRKAKKLLLEKDSKVHKKSQVIKGQWTPQEDRLLVQSVKQYGIKKWSQIAKMLEGRVGKQCRERWHNHLRPDIKKDAWSEEEDELLINAHREIGNRWAEIAKRLPGRTENTIKNHWNATKRRQFSRRESGKDLDSKSTLLQSYIMMVTSSSSTQENNEEEKTDDVNSNSPNQNESPGSSSTDLEIPYGHGHNNEASKLSFDTNLFNDSYGFMSFLEEMPCSCVVDESNMEFEISGLDSLMKGAEVKKEMDLLEMITQGISH >Potri.001G420200.2.v4.1 pep chromosome:Pop_tri_v4:1:44879785:44884920:1 gene:Potri.001G420200.v4.1 transcript:Potri.001G420200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420200.v4.1 MICSVKQSVITPTINGSDTIFRRKNPSAIQRSLILPSLNGNKSSKPVLSMSKPLHVSKVESFALSKPQKRSLITCNAYEADRSEPIEAPEVKSEAAKKVKIGIYFAVWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMFISWATRIAETPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSRFILGETFPSSVYLSLVPIIGGCALAAVTELNFNMIGFMGAMISNLAFVFRNIFSKKGMKGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQMWAAGWQTALSEIGPNFVWWIAAQSVFYHLYNQVSYMSLDEISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAVLGTFLYSQAKQ >Potri.014G084350.1.v4.1 pep chromosome:Pop_tri_v4:14:5403968:5404228:-1 gene:Potri.014G084350.v4.1 transcript:Potri.014G084350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084350.v4.1 MDNEKGESSGGDNAGANDGTRKKLSQDGAEALKECLEENKGDHNKCKSKIDALESSSAPRKRPLLPLILKSDSLTDVQNRVVVVTH >Potri.013G014400.1.v4.1 pep chromosome:Pop_tri_v4:13:926766:928662:1 gene:Potri.013G014400.v4.1 transcript:Potri.013G014400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014400.v4.1 MFSIITLFGILGNITTGLVYLSPAKTFWRIARNRSTEEFESIPYICKLLNAYQWVYYGIIKPNSVLVATINGFGAVVELVFIVIFLMFASTQKIRVRTAILFGVLDLVFPAVSFLLMQLILHGQLRIDISGMFCVVFSMITYGSPLSAMKTVVATKSVEYMPFLLSFFLFINGGVWTVYAFLTEDYFIGIPNGTGFLLGTAQLILYVTYMKPRSSEKISDNLEDGSKHEPLIPSSNLVS >Potri.010G007910.1.v4.1 pep chromosome:Pop_tri_v4:10:1399895:1400696:-1 gene:Potri.010G007910.v4.1 transcript:Potri.010G007910.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007910.v4.1 MARQADRLVKIGQEGFATIDEHFVRAKRRPPVMQVPYAHPTYYDVPATEVINSNEAAQRYKGRVYVDYPKGKPVPF >Potri.019G014407.1.v4.1 pep chromosome:Pop_tri_v4:19:2465639:2467789:1 gene:Potri.019G014407.v4.1 transcript:Potri.019G014407.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014407.v4.1 MLLATMANPLIYFSGCLFLSILIILIKFFNKVWWTPIRIQSLMKSQGIRGPSYRFLHGNTKEISTMIRKTRSSPQELLHHTLPMVHPHFYSWIKLYGMNFLQWYGPQAQLIITEPELVKQILSNKDRAYPKTKVSSEIKKLLGDGIVLSEGEKWVKLRKLANHAFHGESIKGMVPEMIASLEIMLERWRHHHSKEIDIFVEFKILTSEVISRTSFGSSYLEGQHVFDMLTRMTHIISENNYRVRIPGIGKFVKASYDIEFENLEAKIRKSFMNMMKRREKDATLGELDGYGHDLFGLLLKAYHDSDETKKISLDDLIDQCKNFYLAGQETSASALTWIVFLLAVHSDWQDKARKEVLELFGLQIPSQDRIAKLKIMGIVINESLRLYTPNAILMRRVERETKLGKITVPANTEVYISTLAVHQNPKIWGEDALLFKPERFADGVVKATNNNIAAFMPFGLGPRNCAGMNFAITETKLALSMILQRYSFTLSPTYAHCPTEVLTMCPQHGVQVILQPYEHIALKV >Potri.013G118500.1.v4.1 pep chromosome:Pop_tri_v4:13:12667732:12671849:1 gene:Potri.013G118500.v4.1 transcript:Potri.013G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118500.v4.1 MAFLFFKPMFLSLFLFISYHTATEASKLSAQSFSFSNGTSNARELAGKCNWFRGKWVFDPKYPLYDSNCPFIDPQFNCQKYGRHDSSYLKYRWQPFACDLPRFNGLYFLEKWRGKKIMFVGDSLSLNQWISLTCLIHSWVPNSKYKVFRADGLYSVTFEEYGVKILLYRTPYLVDLVYDNKAGRILKLDTIHNGKAWRGMDMLIFNTWHWWTHTGRSQPWDYIQEGNKLYKNMNRLIAFYKGLTTWARWVNRYVDPSRTKVFFQDISPTHYEGRDWKEPSKSCAGETQPFFGTRYPAGTPLEWVVVNKVLSRIKKPVYLLDVTLLSQYRKDAHPSHYSGNHGGTDCSHWCLPGLPDTWNQLLYAALFS >Potri.013G005400.3.v4.1 pep chromosome:Pop_tri_v4:13:358780:362573:-1 gene:Potri.013G005400.v4.1 transcript:Potri.013G005400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005400.v4.1 MRFRLIPYRSYFSSSAAITQCQISYFARLGQIDRARNIFDDLQSKTVTSWNAIVAGYFHNKRPAEAQKLFDKMPERNTISWNGLVSGYVKNGMISEARKVFDKMPERNVVSWTSMVRGYVQEGLIDEAELLFWRMPEKNVVSWTVMLGGLIEDGRVDEARRLFDMIPVKDVVASTNMIGGLCSEGRLSEAREIFDEMPQRNVVAWTSMISGYAMNNKVDVARKLFEVMPDKNEVTWTAMLKGYTRSGRINEAAELFKAMPVKPVAACNDMIMGFGLNGEVGKARWVFDQMKEKDDGTWSALIKIYERKGFELEALALFSLMQREGVRPNFPSIISILSVCGSLASLDHGRQVHSQLVRSHFDLDIYVSSVLITMYIKCGDLVTGKRVFDRFSSKDIVMWNSIIAGYAQHGFGEKALEVFHEMFSSGAAPDEITFIGVLSACGYTGKVKEGLEIFESMKSKYQVDQKTEHYACMVDLLGRAGKLNEAMNLIENMPVEADAIVWGALLSACRTHKNLDLAEIAAKKLLQLEPSSAGPYILLSNLYASQSRWKDVAELRKTMRARNVSKSPGCSWIEVDNKVHMFTGGGSASHPEHEMIMKKLEKLGASLREAGYCPDGSFVMHDVDEEDKVHSLRHHSEKMAVAYGLLKVPVGKPIRVMKNLRVCGDCHSAIKLIAQVTGREIILRDANRFHHFKDGLCSCRDFW >Potri.001G415900.1.v4.1 pep chromosome:Pop_tri_v4:1:44441143:44447441:-1 gene:Potri.001G415900.v4.1 transcript:Potri.001G415900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415900.v4.1 MLSGVKFIPRDEVDKGKGENRDDFVEKRKESGSRREKNRRKKKKISRHDSNSDDDLERIKKRSKKSKKWYSSDEHSSEESSDSESGSDGKSNSKRRKKKRKSRKRFSSDEYSSSSSEGEAQRGSAKGGRKKSKEKQGEIGDDFSDDGRESHSINKIDIARKEMGLDWMLRPAKDVERGPTVSVDHDLEEPPAEEIMRVNPRELNPYFKDNGSGYPDDGVEKKVGVDQLPSSSLIGDGGASWRLKALKRAQEQAAREGRKLEEIVEERWDSLGQLAVSAASRMAAPSRAHLHAIKNRKKGLTEKQDAMTDGQSGRDIGKSADRGYLKDVSVRHPEMRAPKVHNTLSWGKRKSQNMSTKDAALVSAAASSMNKFSDDGSFMSKILGKQNKDAGSSVGSYSNQEENLESKVASPEMNKPSEGSLLVKEALSANQLAAKALQLRMKGKHEEAEKLMQEAESIKVKQGVGENSNRPQIVSSTNRSAIQGVSARRKEDEDADRHLAQTIMQNKQYSLSGRADDEYDFDDGPRRKSGRKGRDNDHKVSSKNDLGKRILTQQERCNFCFENPNRPKHLVVSIANFTYLMLPQWQPIVPGHCCILPMQHDSATRNVDNNVWEEIRNFKKCLIMMFSKQEKDLVFLETVINLSQQRRHCLIECIPLPREIAKQAPLYFKKAIDEAEDEWSQHNAKKLIDTSEKGLRGSIPKDFPYFHVEFGLSKGFVHVIDDEKNFKSSLGLNVLRGMLRLPEEDMYRRRRHESVEAQKLAVAKFAQDWEPLDWTKQL >Potri.003G103400.2.v4.1 pep chromosome:Pop_tri_v4:3:12746699:12748885:-1 gene:Potri.003G103400.v4.1 transcript:Potri.003G103400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103400.v4.1 MNQKERDSENKMGRNRGGGGGGGDTELHAAARSGDMKAVESIVSSNPLAINSRDKHSRTPLHLAAWSGQAEVVSYLCKHKADVGAAAMDDMGAIHFAAQKGHSEVVRTLLSSGASIKASTRKGLTPLHYAVQGSHLELVKYLVRKGASLNVRTKAGMTPLDLATNEEIHVFLEESERTPKKGTLDGKDKPEASEPKTSLQDKSENSGGEANAGEHEEQENRNVKRKGEEDDAKETSSEPKRARVALNHLLSADDTQEENL >Potri.012G111200.2.v4.1 pep chromosome:Pop_tri_v4:12:13103627:13110704:-1 gene:Potri.012G111200.v4.1 transcript:Potri.012G111200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111200.v4.1 MATSTAGATGWYRGRVKAVPSGDSLVIMAVTINKPGPPPEKTITLSSLMAPRLARRGGVDEPFAWLSREYLRNLCIGKEVTFKVDYAVPSIGREFGSVFLGDKNVALLVVAEGWAKVREQGQQKGEASPFLADLLRLEEQAKQEGLGRWSKAPGASEASIRNLPPSAIGDPSNLDAMDLLAKNKGRPMQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAAVETVAETETISNETNGDASGALAPLTSAQRLAASTTPPEVAPDPFGMEAKYFTELRTLNRDVRIVLEGVDKFSNLIGSVYYPDGESPKDLALELVENGLAKFVEWSANMMEEDAKRQLKTAELQAKKSRLRMWTNYVPPATNSKAIHDQNFTGKVVEVVSGDCVIVADDSVPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAPYARDAKEFLRTRLIGQQVNVRMEYSRKMTDGPTAAPVPGDARVMDFGSIFLLSHSKGDEALTVPSTAAGQQPGINVAELVVSRGFGTVIRHRDFEERSNFYDALLAAESRAIAGKKGIHSAKDPPVSHITDLTTASSKKAREFLPHMHKNRRISAVVEYVLSGHRFKLLIPKETCSIAFSFSGIRCPGRDEPYSEEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMGVTLLEAGLAKFQTSFGTDRIPEAHLLEQAEQSAKRQKLKIWEKYVEGEEVNNGPAVESKQKEVLKVVVTEVLDGGRFYVQTVGDQKIASIQQQLASLSLQEAPVIGAFNPKRGDIVLAQFSADNSWNRAMIVNAPRGAVESPKDKFEVFYIDYGNQEEVPYSHLRPLDPSVSAASGLAQLCSLAYIKVPSLEEDCGPEAAQYFSDNTLNSSKEFRAKVEERDTSAGKVKGQGTGPVLIVTLVAVDSEISLNASLVQEGLARIEKKKKWDSMERKVALDNLEKFQDEARADRRGLWVHGDIESDDEDVVLPAKKAGGR >Potri.012G111200.4.v4.1 pep chromosome:Pop_tri_v4:12:13103681:13110110:-1 gene:Potri.012G111200.v4.1 transcript:Potri.012G111200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111200.v4.1 MATSTAGATGWYRGRVKAVPSGDSLVIMAVTINKPGPPPEKTITLSSLMAPRLARRGGVDEPFAWLSREYLRNLCIGKEVTFKVDYAVPSIGREFGSVFLGDKNVALLVVAEGWAKVREQGQQKGEASPFLADLLRLEEQAKQEGLGRWSKAPGASEASIRNLPPSAIGDPSNLDAMDLLAKNKGRPMQGIVEQVRDGSTVRVYLLPDFQFVQVFVAGIQAPSMGRRAAVETVAETETISNETNGDASGALAPLTSAQRLAASTTPPEVAPDPFGMEAKYFTELRTLNRDVRIVLEGVDKFSNLIGSVYYPDGESPKDLALELVENGLAKFVEWSANMMEEDAKRQLKTAELQAKKSRLRMWTNYVPPATNSKAIHDQNFTGKVVEVVSGDCVIVADDSVPYGSPLAERRVNLSSIRCPKMGNPRRDEKPAPYARDAKEFLRTRLIGQQVNVRMEYSRKMTDGPTAAPVPGDARVMDFGSIFLLSHSKGDEALTVPSTAAGQQPGINVAELVVSRGFGTVIRHRDFEERSNFYDALLAAESRAIAGKKGIHSAKDPPVSHITDLTTASSKKAREFLPHMHKNRRISAVVEYVLSGHRFKLLIPKETCSIAFSFSGIRCPGRDEPYSEEAIALMRRKIMQRDVEIEVETVDRTGTFLGSLWESRTNMGVTLLEAGLAKFQTSFGTDRIPEAHLLEQAEQSAKRQKLKIWEKYVEGEEVNNGPAVESKQKEVLKVVVTEVLDGGRFYVQTVGDQKIASIQQQLASLSLQEAPVIGAFNPKRGDIVLAQFSADNSWNRAMIVNAPRGAVESPKDKFEVFYIDYGNQEEVPYSHLRPLDPSVSAASGLAQLCSLAYIKVPSLEEDCGPEAAQYFSDNTLNSSKEFRAKVEERDTSAGKVKGQGTGPVLIVTLVAVDSEISLNASLVQEGLARIEKKKKWDSMERKVALDNLEKFQDEARADRRGLWVHGDIESDDEDVVLPAKKAGGR >Potri.016G091200.2.v4.1 pep chromosome:Pop_tri_v4:16:7469322:7473203:1 gene:Potri.016G091200.v4.1 transcript:Potri.016G091200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091200.v4.1 MALVQVLRRVLGASGSTQISSTARAFSSAPAPIIATLFPGDGIGPEIAESVKQVFQAAEVPIEWEEHYVGDQIDPRTQSFLTWESLESVRRNRVGLKGPMATPVGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESLKIITRQASLRVAEYAFHYAKTHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLVGGLGLTPSCNIGEAGIALAEAVHGSAPDIAGKNLANPTALLLSAVTMLRHLELYDKAERIQDAILNTIAEGKYRTADLGGSSSTTDFTKAICGHL >Potri.001G211700.1.v4.1 pep chromosome:Pop_tri_v4:1:21651063:21659884:-1 gene:Potri.001G211700.v4.1 transcript:Potri.001G211700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211700.v4.1 MDTEQTFIRVQERFSQMLTPKVRAAFEYMYLFIAITLFCILVVMHANYVQQPGCSSQLSGVETREAQLIQIKITSAGLWSQNESESNVFIDHDGDHDGDHDDVPDGDHVVNAVTDHQVELPIADQGDGGDPFLAAKMWFNWIGSGARKGKLDFEFWKTTDVEHHQQDNTESSSLPVLDNVPPAASAKTDKLDTRSSFPISAKETVKAAINHFGKKWHRRLSFIWRLAKQILRGFQKLWDITGIHVNLDVPKWLRILYLDRLNSFAVQWLEKKNKAFEPTFLYTMEKGFFLLPEEAKSRHNIRTANISISARHPCFGNRWQQLLINRLVGYDTILMNSLLSSPGQGYLYNFQTKEFYNLSYAQEPQEGPAKFGDYLVTKCGVLMMSLFVFFTTTMSVSFTLRETQTRMLKFTVQLQHHARHRLPTFQLIFVHVIESLVFVPIMIGILFFLFEFYDDQLLAFMVLILVWLCELFTLISVRTPISMKFFPRFFLLYFLVFHIYFFSYAYGFSYLALSTTAAFMQHLILYFWNRFEVPTLQRFMQNRRSQLQQHPDFHITSSTILASTVHITRLNTRNPGSVNADMASGPALRPGPDQAIPANGIGAPGLEQPLENDIRERVDNPMQNPGQADLQQSETNPNSGSMNSFSSLLLWILGGASSEGFNSFLSIFRDVRDQGQVYAESPRPENRETQDAQQ >Potri.004G155500.2.v4.1 pep chromosome:Pop_tri_v4:4:17629828:17630230:-1 gene:Potri.004G155500.v4.1 transcript:Potri.004G155500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155500.v4.1 METQKSQPAKQQSPAALDPAITSCRRKKKDDATFLEDLKDHIDEFIHASMDEHKDCFTKTIKKMFGMSKIVAERSGDAKEVESSLPLQTTVAK >Potri.004G155500.1.v4.1 pep chromosome:Pop_tri_v4:4:17629693:17631205:-1 gene:Potri.004G155500.v4.1 transcript:Potri.004G155500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155500.v4.1 METQKSQPAKQQSPAALDPAITSCRRKKKDDATFLEDLKDHIDEFIHASMDEHKDCFTKTIKKMFGMSKIVAERSGDAKEVESSLPLQTTVAK >Potri.014G053500.1.v4.1 pep chromosome:Pop_tri_v4:14:3426617:3430841:1 gene:Potri.014G053500.v4.1 transcript:Potri.014G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053500.v4.1 MGSSKSSLNGSGSDSGSGTNRFKRRALASLFCGGSVSRAPIEMGDHVDESLTGSLENLATCHDVSASSKQASFSNLGSETGLSSSSVESGDLSRSSNGKVEDSPASKNSELQSQQSNVNDSLMEAHAASASVKEQTPTASVSDVTTVAGVVGLDSENLDSNESSVSDASIDFSNTNSVIPASLVPPQPLSEFVSSDGEQEARAARLVVVDVVSIQSNIFSSSFAEISNREARRNSRRMFWDAFSRSSLRRNGGSQTLVLTTSHADDLGSHDRWLLDFSGDLHFDGVGRGSRYPNTRNRRRSERPWQSRYERERFHDVHDEQGWEASLCPAGLHRNGTCLCEPSSVAEESNSHANISQIILLTDALFEVLEEIHRHHLSLSPSMLSLPAPEAVVNSLPLKNYQKSPGTENVAQHEQQCHICLVDYEEGDKIRVLPCSHEFHMACVDKWLKDIHGVCPLCRDDVCKGTAESSASNPESTSP >Potri.014G053500.4.v4.1 pep chromosome:Pop_tri_v4:14:3426643:3430807:1 gene:Potri.014G053500.v4.1 transcript:Potri.014G053500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053500.v4.1 MGDHVDESLTGSLENLATCHDVSASSKQASFSNLGSETGLSSSSVESGDLSRSSNGKVEDSPASKNSELQSQQSNVNDSLMEAHAASASVKEQTPTASVSDVTTVAGVVGLDSENLDSNESSVSDASIDFSNTNSVIPASLVPPQPLSEFVSSDGEQEARAARLVVVDVVSIQSNIFSSSFAEISNREARRNSRRMFWDAFSRSSLRRNGGSQTLVLTTSHADDLGSHDRWLLDFSGDLHFDGVGRGSRYPNTRNRRRSERPWQSRYERERFHDVHDEQGWEASLCPAGLHRNGTCLCEPSSVAEESNSHANISQIILLTDALFEVLEEIHRHHLSLSPSMLSLPAPEAVVNSLPLKNYQKSPGTENVAQHEQQCHICLVDYEEGDKIRVLPCSHEFHMACVDKWLKDIHGVCPLCRDDVCKGTAESSASNPESTSP >Potri.014G053500.3.v4.1 pep chromosome:Pop_tri_v4:14:3426629:3430828:1 gene:Potri.014G053500.v4.1 transcript:Potri.014G053500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053500.v4.1 MGSSKSSLNGSGSDSGSGTNRFKRRALASLFCGGSVSRAPIEMGDHVDESLTGSLENLATCHDVSASSKQASFSNLGSETGLSSSSVESGDLSRSSNGKVEDSPASKNSELQSQQSNVNDSLMEAHAASASVKEQTPTASVSDVTTVAGVVGLDSENLDSNESSVSDASIDFSNTNSVIPASLVPPQPLSEFVSSDGEQEARAARLVVVDVVSIQSNIFSSSFAEISNREARRNSRRMFWDAFSRSSLRRNGGSQTLVLTTSHADDLGSHDRWLLDFSGDLHFDGVGRGSRYPNTRNRRRSERPWQSRYEQRERFHDVHDEQGWEASLCPAGLHRNGTCLCEPSSVAEESNSHANISQIILLTDALFEVLEEIHRHHLSLSPSMLSLPAPEAVVNSLPLKNYQKSPGTENVAQHEQQCHICLVDYEEGDKIRVLPCSHEFHMACVDKWLKDIHGVCPLCRDDVCKGTAESSASNPESTSP >Potri.014G098100.1.v4.1 pep chromosome:Pop_tri_v4:14:6426564:6429674:1 gene:Potri.014G098100.v4.1 transcript:Potri.014G098100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098100.v4.1 MSIMYHPSPKPAAMEDHSDPMPFQIFAKLLDGKTTILNFETPDSCTTHSIKQKIFEITKIPIHYQRLICRGVQLNDDAIITIPESTVYLLLRLLGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKKLEEWKAEEEDRRLEKMAEEFIKKKAKKGKKGAGDGEAEKYVARYREESAKCAAVVEEAMKEVFGNGKGNGFRKRKGKGVVEGMEAKKLKIWTGKRKLGESEGMDEDSSDDDSDEENEKSVVLNNGNHSDSNNKAEGSQDSVTGNQDGECSGGASCASSSEEEKEASAEQSLISNPCGEIALKKEDEVVEAQILEETVAKTEEVFETEALEAEKQENVGPNSQCPNASDSGKGGIIESRPVIAEPNGFSKSKPVSNEIVNVGNGDSEKPLNFDEFNSSSELEVLGMERLKTELQVRGLKCGGTLQERAARLFLLKSTPLEKLPKKLLAKK >Potri.013G135200.2.v4.1 pep chromosome:Pop_tri_v4:13:14042762:14047923:-1 gene:Potri.013G135200.v4.1 transcript:Potri.013G135200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135200.v4.1 MSGAIIFIQEDLKITEVQEEVLIGCLSILSLFGSLAGGRTSDIIGRKWTMALAAIIFQTGAATMTLAPSFEVLIIGRFLAGIGIGFGVMIAPIYIAEISPSVTRGSLTSFPEIFINLGILLGYVSNFAFSGLPEHISWRVMLGVGILPSFFIGAALFIIPESPRWLVMKNRVEEARTVLLKTIDNEAEVEERLAEILLAAGTGSAEKYEEKAVWREMLSPSPTLRRMLITGFGIQCFQQITGIDATVYYSPEIFQGAGIQDKSKLLAATVAVGVSKTAFILVAIFLIDRLGRKPLLYVSTIGMTICLFSIGVTLTFIGQGQVGIAMAILFVCSNVAFFSVGIGPVCWVLTSEIFPLRLRAQAAALGAVGNRVCSGLVAMSFLSVTRAISVGGTFFVFSGISAISVLFVYALVPETKGKSLEQIELLFKDEHEWQGSEVELGDVVHLVQKQ >Potri.013G135200.3.v4.1 pep chromosome:Pop_tri_v4:13:14042750:14045315:-1 gene:Potri.013G135200.v4.1 transcript:Potri.013G135200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135200.v4.1 MSGAIIFIQEDLKITEVQEEVLIGCLSILSLFGSLAGGRTSDIIGRKWTMALAAIIFQTGAATMTLAPSFEVLIIGRFLAGIGIGFGVMIAPIYIAEISPSVTRGSLTSFPEIFINLGILLGYVSNFAFSGLPEHISWRVMLGVGILPSFFIGAALFIIPESPRWLVMKNRVEEARTVLLKTIDNEAEVEERLAEILLAAGTGSAEKYEEKAVWREMLSPSPTLRRMLITGFGIQCFQQITGIDATVYYSPEIFQGAGIQDKSKLLAATVAVGVSKTAFILVAIFLIDRLGRKPLLYVSTIGMTICLFSIGVTLTFIGQGQVGIAMAILFVCSNVAFFSVGIGPVCWVLTSEIFPLRLRAQAAALGAVGNRVCSGLVAMSFLSVTRAISVGGTFFVFSGISAISVLFVYALVPETKGKSLEQIELLFKDEHEWQGSEVELGDVVHLVQKQ >Potri.013G135200.1.v4.1 pep chromosome:Pop_tri_v4:13:14042779:14047923:-1 gene:Potri.013G135200.v4.1 transcript:Potri.013G135200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135200.v4.1 MGLVGVQEKGNGEVGLSIGTKNKYRRMDSEVTEDFDDGSHHHHQEKRSSSTRKYVLACAIFASLNSVLLGYDVGVMSGAIIFIQEDLKITEVQEEVLIGCLSILSLFGSLAGGRTSDIIGRKWTMALAAIIFQTGAATMTLAPSFEVLIIGRFLAGIGIGFGVMIAPIYIAEISPSVTRGSLTSFPEIFINLGILLGYVSNFAFSGLPEHISWRVMLGVGILPSFFIGAALFIIPESPRWLVMKNRVEEARTVLLKTIDNEAEVEERLAEILLAAGTGSAEKYEEKAVWREMLSPSPTLRRMLITGFGIQCFQQITGIDATVYYSPEIFQGAGIQDKSKLLAATVAVGVSKTAFILVAIFLIDRLGRKPLLYVSTIGMTICLFSIGVTLTFIGQGQVGIAMAILFVCSNVAFFSVGIGPVCWVLTSEIFPLRLRAQAAALGAVGNRVCSGLVAMSFLSVTRAISVGGTFFVFSGISAISVLFVYALVPETKGKSLEQIELLFKDEHEWQGSEVELGDVVHLVQKQ >Potri.003G033200.1.v4.1 pep chromosome:Pop_tri_v4:3:3761405:3764203:1 gene:Potri.003G033200.v4.1 transcript:Potri.003G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033200.v4.1 MASSHLLQCPNFSTNLKLPSKPTTLKTTPSFLLIKAASSSNNNTSTSTATSNNIRDEARHQNLTNPPQSHNFSAKYVPFGADPATSTESYSLDDIVYRSQSGGLLDVHHDFSALKAFPGSYWRALFDSRVGKTTWPYGSGVWSKKEWVLPEISSDDIVSAFEGNSNLFWAERFGKQFLEMNDLWVKHCGISHTGSFKDLGMTVLVSQVNRLRKMNKPLFGVGCASTGDTSAALSAYCASAGIPSIVFLPANKISMAQLVQPIANGAFVLSIDTDFDGCMQLIREVTSELPIYLANSLNSLRLEGQKTAAIEILQQFDWEVPEWVIVPGGNLGNIYAFYKGFHMCKELGLVDKIPRLVCAQAANANPLYLYYKSAWKEFKAVKANSTFASAIQIGDPVSIDRAVYALKKSNGIVEEATEEELMDAMAQADSTGMFICPHTGVALTALMKLRKSGVIRSSDRTVVVSTAHGLKFTQSKIDYHSNNIKEMACRYANPPVSVAADFGSVMDVLKKYLSKTPKY >Potri.002G167300.3.v4.1 pep chromosome:Pop_tri_v4:2:12806871:12809577:1 gene:Potri.002G167300.v4.1 transcript:Potri.002G167300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167300.v4.1 MAGKPMKPVLQRPPGYTDPNLQAKPAPRPLPTKALLPPSFEPRKRRSRHCRLCLCCLSLLLIIAILLMIIAGGLFYLWFDPKLPVFHLQSFKFSAFNITKRSDGTYLTAKMVARIEVRNPNENIIYHFGESKVETTAGDDEVNLGSTTLPEFTQGKKNTTSLEIETSVNNELIEDGIGSKILDQFTSKKLKVDMDVKTSIGIGVEGVKTGLLGVEVVCGGVTLKETSTEMPRCIISTLKWIIIR >Potri.002G167300.4.v4.1 pep chromosome:Pop_tri_v4:2:12806860:12809577:1 gene:Potri.002G167300.v4.1 transcript:Potri.002G167300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167300.v4.1 MAGKPMKPVLQRPPGYTDPNLQAKPAPRPLPTKALLPPSFEPRKRRSRHCRLCLCCLSLLLIIAILLMIIAGGLFYLWFDPKLPVFHLQSFKFSAFNITKRSDGTYLTAKMVARIEVRNPNENIIYHFGESKVETTAGDDEVNLGSTTLPEFTQGKKNTTSLEIETSVNNELIEDGIGSKILDQFTSKKLKVDMDVKTSIGIGVEGVKTGLLGVEVVCGGVTLKETSTEMPRCIISTLKWIIIR >Potri.002G167300.5.v4.1 pep chromosome:Pop_tri_v4:2:12806861:12809577:1 gene:Potri.002G167300.v4.1 transcript:Potri.002G167300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167300.v4.1 MAGKPMKPVLQRPPGYTDPNLQAKPAPRPLPTKALLPPSFEPRKRRSRHCRLCLCCLSLLLIIAILLMIIAGGLFYLWFDPKLPVFHLQSFKFSAFNITKRSDGTYLTAKMVARIEVRNPNENIIYHFGESKVETTAGDDEVNLGSTTLPEFTQGKKNTTSLEIETSVNNELIEDGIGSKILDQFTSKKLKVDMDVKTSIGIGVEGVKTGLLGVEVVCGGVTLKETSTEMPRCIISTLKWIIIR >Potri.019G077850.1.v4.1 pep chromosome:Pop_tri_v4:19:11859863:11861098:1 gene:Potri.019G077850.v4.1 transcript:Potri.019G077850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077850.v4.1 MTKIFPRNTTIPTSKSEVFSTAADGQTVVETNAYQGEREFLRDSKFLGSFLLEGIPAALLDPRIEVKFYIDANGILSVTAVDKGTRKKQDITITGASTLPSDEVRIWRNYSDDIPVFNKYEK >Potri.004G134132.1.v4.1 pep chromosome:Pop_tri_v4:4:15568974:15571118:-1 gene:Potri.004G134132.v4.1 transcript:Potri.004G134132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134132.v4.1 MDLESVRRYIEKGGHEDDKKASKIEETPLRFFEKFVMEGLHIDLIEPGRVVCSMKVPPRLLNGSDCLHAGATAMLVDVVGSAALIAAGVFLTGVSVEINVSYLDAAYADEEIEIEARVLRAGKAVGSASVDFRKKKSGAIIAQGRHTKYLLISSKM >Potri.009G106400.2.v4.1 pep chromosome:Pop_tri_v4:9:9270437:9272538:-1 gene:Potri.009G106400.v4.1 transcript:Potri.009G106400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106400.v4.1 MQMAKTLFSYFTIPLFLCLSSLHLVTSFPFASGQIDYNYNYNYYDRSCPRLGMIVKYGVWAAFKNDTRIAASLLRLHFHDCFVNGCDASILLDDTIDFRGEKNAFPNRNSVRGYEVIESIKADVENACSSTVSCADILTLAARESVLLSGGPYYPLSFGRRDGLTASEKAANEQLPSPIEPLENITAKFTSKGLDMKDVAVLSGAHTIGFAQCFTFKRRLFDFKGTGKPDPTLESLALTNLQGMCPNKDASNSNLAPLDYASTYRFDNAYYVNLVNSTGLLESDQALMGDPRTAALVTAYSSNSYLFSADFASSMTKLSNLGILTGSNGQIRKKCGSVN >Potri.008G210100.1.v4.1 pep chromosome:Pop_tri_v4:8:16107665:16110253:-1 gene:Potri.008G210100.v4.1 transcript:Potri.008G210100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210100.v4.1 MGQAFRRASGRIRAPPDPPPSRVTDRRPPVTSTEKVEISSTTTTNQNSPGSDGAPRINPDNVLEERDTQYDAMLSQMVGRIKSKPGGKLETGEAPVVERYDRPMPKLRNTKPDSGRYEERAVAPGTLNVAQLRHIILMYQGKADDHEGPMNIQQIAEKFRLEVAQVQRILQFLSLPPEDNNKQKSHR >Potri.005G093100.6.v4.1 pep chromosome:Pop_tri_v4:5:6522328:6527725:-1 gene:Potri.005G093100.v4.1 transcript:Potri.005G093100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093100.v4.1 MGKSSKKSATKVEAAPAVIPAKAEKKGKNKREAGEAIEKIVSAKKQKKNDGVAQAVTKVKVETKTQKKKKAESSDSDDSSEEEEVKVQPKKAVKSNKPPVKDSSESESDTESDEEPPAKAAPPAKKEPVAIKNGSNGVAAKKGKDDSSSSESEDESSDDEDPKNKNLPVGKKVQPVKKDESSDSSDEDDSSSDEETGTPAKASLPKKVPATSAQKKAESSDDSDDSSSDSDESSSEDEGKTKSATPAASKVQPKKSGDDSSESDSDESDEDDTPAPKAAVTSKKPSETKDSKQAKATAQKESSSEEESSSSEDEEDSEDEKPAKTPKKNDTDVEMADADMKSYVKTPKTPVTPVTSENAGSKTLFVGNLSFQVERADVENFFKEAGEVADVRFALDADQRFKGFGHVEFTTTEAALKALNFNGKSLLGRDVRLDLARERGERTSNTPYSKDSNSFQKGGRGQSQTIFVKGFDKFGAEDEIRSSLQEHFGSCGEISRISIPTDYETGAIKGMAYLEFNDADAMNKAFELNGSQLGESYLTVDEAKPRTDNRDSRDSGRGGGSGGRFGGGRGSGGRFGGGRSSGGGRFGGGRSSGGRFDGGRGGRGGRGRGTPYKPSVTAAASGTKKKFNDED >Potri.005G093100.3.v4.1 pep chromosome:Pop_tri_v4:5:6522312:6527723:-1 gene:Potri.005G093100.v4.1 transcript:Potri.005G093100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093100.v4.1 MGKSSKKSATKVEAAPAVIPAKAEKKGKNKREAGEAIEKIVSAKKQKKNDGVAQAVTKVKVETKTQKKKKAESSDSDDSSEEEEVKVQPKKAVKSNKPPVKDSSESESDTESDEEPPAKAAPPAKKEPVAIKNGSNGVAAKKGKDDSSSSESEDESSDDEDPKNKNLPVGKKVQPVKKDESSDSSDEDDSSSDEETGTPAKASLPKKVPATSAQKKAESSDDSDDSSSDSDESSSEDEGKTKSATPAASKVQPKKSGDDSSESDSDESDEDDTPAPKAAVTSKKPSETKDSKQNKMDLDEDDSEDDDSEESDDEPPKNTKAKATAQKESSSEEESSSSEDEEDSEDEKPAKTPKKNVMDTDVEMADADMKSYVKTPKTPVTPVTSENAGSKTLFVGNLSFQVERADVENFFKEAGEVADVRFALDADQRFKGFGHVEFTTTEAALKALNFNGKSLLGRDVRLDLARERGERTSNTPYSKDSNSFQKGGRGQSQTIFVKGFDKFGAEDEIRSSLQEHFGSCGEISRISIPTDYETGAIKGMAYLEFNDADAMNKAFELNGSQLGESYLTVDEAKPRTDNRDSRDSGRGGGSGGRFGGGRGSGGRFGGGRSSGGGRFGGGRSSGGRFDGGRGGRGGRGRGTPYKPSVTAAASGTKKKFNDED >Potri.005G093100.2.v4.1 pep chromosome:Pop_tri_v4:5:6522105:6527784:-1 gene:Potri.005G093100.v4.1 transcript:Potri.005G093100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093100.v4.1 MGKSSKKSATKVEAAPAVIPAKAEKKGKNKREAGEAIEKIVSAKKQKKNDGVAQAVTKVKVETKTQKKKKAESSDSDDSSEEEEVKVQPKKAVKSNKPPVKDSSESESDTESDEEPPAKAAPPAKKEPVAIKNGSNGVAAKKGKDDSSSSESEDESSDDEDPKNKNLPVGKKVQPVKKDESSDSSDEDDSSSDEETGTPAKASLPKKVPATSAQKKAESSDDSDDSSSDSDESSSEDEGKTKSATPAASKVQPKKSGDDSSESDSDESDEDDTPAPKAAVTSKKPSETKDSKQNKMDLDEDDSEDDDSEESDDEPPKNTKAKATAQKESSSEEESSSSEDEEDSEDEKPAKTPKKNDTDVEMADADMKSYVKTPKTPVTPVTSENAGSKTLFVGNLSFQVERADVENFFKEAGEVADVRFALDADQRFKGFGHVEFTTTEAALKALNFNGKSLLGRDVRLDLARERGERTSNTPYSKDSNSFQKGGRGQSQTIFVKGFDKFGAEDEIRSSLQEHFGSCGEISRISIPTDYETGAIKGMAYLEFNDADAMNKAFELNGSQLGESYLTVDEAKPRTDNRDSRDSGRGGGSGGRFGGGRGSGGRFGGGRSSGGGRFGGGRSSGGRFDGGRGGRGGRGRGTPYKPSVTAAASGTKKKFNDED >Potri.005G093100.4.v4.1 pep chromosome:Pop_tri_v4:5:6522300:6527725:-1 gene:Potri.005G093100.v4.1 transcript:Potri.005G093100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093100.v4.1 MGKSSKKSATKVEAAPAVIPAKAEKKGKNKREAGEAIEKIVSAKKQKKNDGVAQAVTKVKVETKTQKKKKAESSDSDDSSEEEEVKVQPKKAVKSNKPPVKDSSESESDTESDEEPPAKAAPPAKKEPVAIKNGSNGVAAKKGKDDSSSSESEDESSDDEDPKNKNLPVGKKVQPVKKDESSDSSDEDDSSSDEETGTPAKASLPKKVPATSAQKKAESSDDSDDSSSDSDESSSEDEGKTKSATPAASKVQPKKSGDDSSESDSDESDEDDTPAPKAAVTSKKPSETKDSKQDTFLGSLRLGKHQNKMDLDEDDSEDDDSEESDDEPPKNTKAKATAQKESSSEEESSSSEDEEDSEDEKPAKTPKKNDTDVEMADADMKSYVKTPKTPVTPVTSENAGSKTLFVGNLSFQVERADVENFFKEAGEVADVRFALDADQRFKGFGHVEFTTTEAALKALNFNGKSLLGRDVRLDLARERGERTSNTPYSKDSNSFQKGGRGQSQTIFVKGFDKFGAEDEIRSSLQEHFGSCGEISRISIPTDYETGAIKGMAYLEFNDADAMNKAFELNGSQLGESYLTVDEAKPRTDNRDSRDSGRGGGSGGRFGGGRGSGGRFGGGRSSGGGRFGGGRSSGGRFDGGRGGRGGRGRGTPYKPSVTAAASGTKKKFNDED >Potri.005G093100.5.v4.1 pep chromosome:Pop_tri_v4:5:6522321:6527747:-1 gene:Potri.005G093100.v4.1 transcript:Potri.005G093100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093100.v4.1 MGKSSKKSATKVEAAPAVIPAKAEKKGKNKREAGEAIEKIVSAKKQKKNDGVAQAVTKVKVETKTQKKKKAESSDSDDSSEEEEVKVQPKKAVKSNKPPVKDSSESESDTESDEEPPAKAAPPAKKEPVAIKNGSNGVAAKKGKDDSSSSESEDESSDDEDPKNKNLPVGKKVQPVKKDESSDSSDEDDSSSDEETGTPAKASLPKKVPATSAQKKAESSDDSDDSSSDSDESSSEDEGKTKSATPAASKVQPKKSGDDSSESDSDESDEDDTPAPKAAVTSKKPSETKDSKQNKMDLDEDDSEDDDSEESDDEPPKNTKAKATAQKESSSEEESSSSEDEEDSEDEKPAKTPKKNDTDVEMADPKTPVTPVTSENAGSKTLFVGNLSFQVERADVENFFKEAGEVADVRFALDADQRFKGFGHVEFTTTEAALKALNFNGKSLLGRDVRLDLARERGERTSNTPYSKDSNSFQKGGRGQSQTIFVKGFDKFGAEDEIRSSLQEHFGSCGEISRISIPTDYETGAIKGMAYLEFNDADAMNKAFELNGSQLGESYLTVDEAKPRTDNRDSRDSGRGGGSGGRFGGGRGSGGRFGGGRSSGGGRFGGGRSSGGRFDGGRGGRGGRGRGTPYKPSVTAAASGTKKKFNDED >Potri.005G180600.1.v4.1 pep chromosome:Pop_tri_v4:5:18681853:18689381:1 gene:Potri.005G180600.v4.1 transcript:Potri.005G180600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180600.v4.1 MVRMLYWLTAILLLWTATGTVRGGNVTYDGRSLIINGQHKILFSGSIHYPRSTPDMWSSLISKAKAGGIDVIQTYVFWNLHEPQQGQFYFNGRADLVRFVKEIQAQGLYACLRIGPFIESEWTYGGLPFWLHDIPGMVYRSDNQPFKYHMKRFVSRIVSMMKSEKLYASQGGPIILSQVENEYKNVEAAFHEKGPSYVRWAALMAVNLHTGVPWVMCKQDDAPDPVINSCNGMRCGETFAGPNSPNKPSIWTEDWTSFYQVYGEETYMRSAQDIAFHVALFIAKTGSYVNYYMYHGGTNFGRTASAFTITSYYDQAPLDEYGLIRQPKWGHLKELHAAIKSCSKLLLHGAHKTFSLGPLQQAYVFQGNSGQCAAFLVNNDGKQEVEVLFQSNSYKLPQKSISILPDCKTMTFNTAKVNAQYTTRSMKPNQKFNSVGKWEEYNEPIPEFDKTSLRANRLLEHMSTTKDTSDYLWYTFRFQQNLPNAQSVFNAQSHGHVLHAYVNGVHAGFGHGSHQNTSFSLQTTVRLKNGTNNVALLSATVGLPDSGAYLERRVAGLRRVRIQNKDFTTYTWGYQVGLLGERLQIYTENGSNKVKWNKLGTNRPLMWYKTLFDAPAGNDPVALNLGSMGKGEAWVNGQSIGRYWVSFHTSQGSPSQTWYNIPRAFLKPTGNLLVLLEEEKGYPPGITVDTVSVTKVCGYASESHLSAVQLSCPLKRNISSIIFASFGTPSGNCESYAIGNCHSSSSKANVEKACIGKRSCSIPQSNHFFGGDPCPGIPKVLLVEAKCT >Potri.019G003000.1.v4.1 pep chromosome:Pop_tri_v4:19:894139:898306:-1 gene:Potri.019G003000.v4.1 transcript:Potri.019G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003000.v4.1 MATPPTPLVFKVSRREPVLITPSEPTPHELKPLSDIDDQDGLRVHIPLILFYPYDHSMQRRDPVQVIKEALAKTLVFYYPFAGRLREGPKRKLLVECTGEGILFIEANADVTLEQFGDALWPPIPCLEELLFDVPGSSGMINCPLLLIQVTRLKCGGIVFAIRLNHTMSDGTGINQFLSAMCEMVHGAQTPSIQPVWERHVLNARNPPQVTCLHHEYDQLVDTTDNVPLTKKTHRSFFFGHAHISAIRGFAPLHLRHCSTFDVLTAFLWRCRTIALQPNPNDQMRILCTVNARNRFNPPLPRGYYGNCMAYSVAMATAGEISRNSLGFTLELVRKAKANVTEEYMRSVADLLVIKGRPWYTMVRSYLVSDVTRAMFAEMNLGWGKPKYAGPAKGNVASFQIPYRTKKGEDGVLVTLCLPTPAMERFAKELDSTFKEQSNGGGNAKSLLSSL >Potri.009G105000.1.v4.1 pep chromosome:Pop_tri_v4:9:9182558:9183883:-1 gene:Potri.009G105000.v4.1 transcript:Potri.009G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105000.v4.1 MATDNTEISHDFPSFFKVYKDGRVERYWNTDSVEAGVDTETGVQSKDVVISPEANVKARIFLPKIDGPAKKLPLLVHYHGGGFCLGSPFASAFKTFLSTLATQANVIAVSIDYRLAPEHKLPTAYDDSLAGLRWIAEHSDGKGPEPWINEHADLGRVILAGESAGGTLAHYVAVQAGAAGLGGVAIKRLLIVHPYFGAKEPDKFYQYMCPTSSGTDDDPKLNPAVDPDLLRLKCDAVLVCVAEKDMLKGRGLAYYGAMKKSGWGGTVDLHETKGEDHCFHFFNPKSENIGPLMKKMVDFIQLN >Potri.018G111650.1.v4.1 pep chromosome:Pop_tri_v4:18:12919596:12921437:-1 gene:Potri.018G111650.v4.1 transcript:Potri.018G111650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111650.v4.1 MEGANREREGRRKKKKRKEGKIREKGKELEEISLKVLLTILNRIFGSFV >Potri.018G009100.1.v4.1 pep chromosome:Pop_tri_v4:18:656201:659922:1 gene:Potri.018G009100.v4.1 transcript:Potri.018G009100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G009100.v4.1 MSRVYVGNLDPRVSERELEDEFRRFGVIRSVWVARRPPGYAFIDFDDKRDAQDAIHELDGKNGWRVELSHNSRGGGGGGGGGGGGRGGGRGRSGGSDLKCYECGEAGHFARECRLRVGSGGGGGGGGAGRRRSRSPRYRRSPSYGRRSRSHSPQGRSPKRRSLSPRGRSYSRSPQYRGREELPYTNGNGTRDRRRSRS >Potri.006G002900.10.v4.1 pep chromosome:Pop_tri_v4:6:233230:238502:-1 gene:Potri.006G002900.v4.1 transcript:Potri.006G002900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL3 MRICISKTRGWKIQKLLQGKQCEEFQLALFKTNKKDSLFADRVFDLFDTKHNGILDFEEFARSLSVFHPNAPIDDKIEFSFQLYDLKQQGLIERQEVKQMVVATLAESGMNLSDDVIESIIDKGYHHDIPKFCVSLTS >Potri.006G002900.5.v4.1 pep chromosome:Pop_tri_v4:6:233461:238311:-1 gene:Potri.006G002900.v4.1 transcript:Potri.006G002900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL3 MAQCLDRIKHFCAAVASCCDADLYKQNKGLEDPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKDSLFADRVFDLFDTKHNGILDFEEFARSLSVFHPNAPIDDKIEFSFQLYDLKQQGLIERQETFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Potri.006G002900.7.v4.1 pep chromosome:Pop_tri_v4:6:233230:238502:-1 gene:Potri.006G002900.v4.1 transcript:Potri.006G002900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL3 MRICISKTRGWKIQKLLQGKQCEEFQLALFKTNKKDSLFADRVFDLFDTKHNGILDFEEFARSLSVFHPNAPIDDKIEFSFQLYDLKQQGLIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Potri.006G002900.1.v4.1 pep chromosome:Pop_tri_v4:6:233461:238186:-1 gene:Potri.006G002900.v4.1 transcript:Potri.006G002900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL3 MAQCLDRIKHFCAAVASCCDADLYKQNKGLEDPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKDSLFADRVFDLFDTKHNGILDFEEFARSLSVFHPNAPIDDKIEFSFQLYDLKQQGLIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Potri.006G002900.6.v4.1 pep chromosome:Pop_tri_v4:6:233214:238613:-1 gene:Potri.006G002900.v4.1 transcript:Potri.006G002900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL3 MAQCLDRIKHFCAAVASCCDADLYKQNKGLEDPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKDSLFADRVFDLFDTKHNGILDFEEFARSLSVFHPNAPIDDKIEFSFQLYDLKQQGLIERQEVKQMVVATLAESGMNLSDDVIESIIDKGYHHDIPKFCVSLTS >Potri.006G002900.9.v4.1 pep chromosome:Pop_tri_v4:6:234313:236591:-1 gene:Potri.006G002900.v4.1 transcript:Potri.006G002900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL3 MAQCLDRIKHFCAAVASCCDADLYKQNKGLEDPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKDSLFADRVFDLFDTKHNGILDFEEFARSLSVFHPNAPIDDKIEFSFQLYDLKQQGLIERQEVKQMVVATLAESGMNLSDDVIESIIDKVFP >Potri.006G002900.8.v4.1 pep chromosome:Pop_tri_v4:6:234086:238614:-1 gene:Potri.006G002900.v4.1 transcript:Potri.006G002900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL3 MAQCLDRIKHFCAAVASCCDADLYKQNKGLEDPEALARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKDSLFADRVFDLFDTKHNGILDFEEFARSLSVFHPNAPIDDKIEFSFQLYDLKQQGLIERQEVKQMVVATLAESGMNLSDDVIESIIDKVFP >Potri.003G091800.1.v4.1 pep chromosome:Pop_tri_v4:3:11822141:11824544:-1 gene:Potri.003G091800.v4.1 transcript:Potri.003G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091800.v4.1 MDNSKNRQSDHLGVNKIGKNIKKSPLHQPNFANNPNRQQPQPQVYNISKNDFRNIVQQLTGSPSQEPLPRPPQNPPKPQSMRLQKIRPPPLTPINRPHIPPPVPAPAVAPPVPYHNAFVRPGMPHPPGQFGLPSPTMTPPFIPGDSGWASTAESPISAYMRYLQNTMIDPGPRGNQAQSPLQPHPPLPQGRGQIQPQHQPPSGGLLLNPPLAPVPTPGVNGPAPPMPNLPSPWMNGPPCLPSPTSQFLLPSPTGYMNLLSPRSPYPLLSPGVQFPPLTPNFAFSPMAQSGILGPGPQPPPSPGFFPLSPSGFFPVSSPRWRDQ >Potri.003G080300.3.v4.1 pep chromosome:Pop_tri_v4:3:10756984:10763339:-1 gene:Potri.003G080300.v4.1 transcript:Potri.003G080300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080300.v4.1 MRLFPLSSSSSSSSEKEKEQSNGGSSRVMLYLNIYDLTPINNYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSVLLGSTNMSRSEFRSFMEHLSAEYHGDTYHLIAKNCNHFTDEVCKRLTGKPIPGWINRMARLGSFCNCLLPESIQITAVRHLPDHPTYSDDDDDDGLESVASSTTAGSEEEGSNHHLLTAPNGEVAFLMEKPVRLAKELL >Potri.003G080300.1.v4.1 pep chromosome:Pop_tri_v4:3:10757006:10763929:-1 gene:Potri.003G080300.v4.1 transcript:Potri.003G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080300.v4.1 MRLFPLSSSSSSSSEKEKEQSNGGSSRVMLYLNIYDLTPINNYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSVLLGSTNMSRSEFRSFMEHLSAEYHGDTYHLIAKNCNHFTDEVCKRLTGKPIPGWINRMARLGSFCNCLLPESIQITAVRHLPDHPTYSDDDDDDGLESVASSTTAGSEEEGSNHHLLTAPNGEVAFLMEKPVRLAKELL >Potri.006G135800.2.v4.1 pep chromosome:Pop_tri_v4:6:11184989:11188245:-1 gene:Potri.006G135800.v4.1 transcript:Potri.006G135800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135800.v4.1 MASFEGKVQFCKVEKEKNAYPNVEDYIDDPLWPVPKRDYLDGSTDDDDDPRFPANFNRLPEVNLKNVLSGIVAILTGQNKDGGGGGGGGGGRMPSSNVSFLESEKNGDTYLHSSVYIPSAPPLLEPNYTTVYKDVLEAEPPEWLPDSSTTVCMQCTAPFTAISRGRHHCRFCGGVFCRTCTKGRCLLPVKFRERNPQRVCDTCYDRLDPLQGVLINTISNAMQVAKHDVVDWTCTRGWLNLPVGFSMEHEVYKASNTLRSYWQVSRLNPEKSIPLAILKGAKGLAILTVVKAGAIVAYKLGTGLVIARRSDGSWSAPSAICSVGLGWGAQIGGELMDYIIVLHDYKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLHAGDRGSGMCYTYSCSKGAFVGVSLEGNILATRTETNLKFYGDPYLSTADILLGTVDRPKAAEPLYTALGELYSSLRH >Potri.006G135800.1.v4.1 pep chromosome:Pop_tri_v4:6:11185027:11188245:-1 gene:Potri.006G135800.v4.1 transcript:Potri.006G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135800.v4.1 MASFEGKVQFCKVEKEKNAYPNVEDYIDDPLWPVPKRDYLDGSTDDDDDPRFPANFNRLPEVNLKNVLSGIVAILTGQNKDGGGGGGGGGGRMPSSNVSFLESEKNGDTYLHSSVYIPSAPPLLEPNYTTVYKDVLEAEPPEWLPDSSTTVCMQCTAPFTAISRGRHHCRFCGGVFCRTCTKGRCLLPVKFRERNPQRVCDTCYDRLDPLQGVLINTISNAMQVAKHDVVDWTCTRGWLNLPVGFSMEHEVYKASNTLRSYWQVSRLNPEKSIPLAILKGAKGLAILTVVKAGAIVAYKLGTGLVIARRSDGSWSAPSAICSVGLGWGAQIGGELMDYIIVLHDYKAVKTFCSRMHFSLGAGCSAAAGPVGRVLEADLHAGDRGSGMCYTYSCSKGAFVGVSLEGNILATRTETNLKFYGDPYLSTADILLGTVDRPKAAEPLYTALGELYSSLRH >Potri.016G033800.1.v4.1 pep chromosome:Pop_tri_v4:16:1957949:1961158:-1 gene:Potri.016G033800.v4.1 transcript:Potri.016G033800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033800.v4.1 MEAELKEEQEDALGLYMNLYARPDSSSFEDWKYSSSQQIRYKTSHVCSFITHIVGLRNHPAIVFSDHELITLVRYQQCPRDKYAIKVFNSTSMEVGYLHDQASAALSPLIDAQMINLEGEVTDSRTGDVKYSVPCLVRVFSKSTDLENVTQSIFGNTLSLTGEPRTNPETNEGKGGKEKGRIEKLGTLEPPKEVIKAKLLDHQKVGLWWLVNKENSDELPPFWEMKDGLYVNVLTRHRTNRKPEPLHGGIFADDYGTGKTLTLLSLIAFDKVGNVPEGTGEEDEGVSVCSCKKRGRVSEKGTGEPNTHTLLDSNIKESSGGMADKSSSASVAKQTLIVCPSSVCSTWKNQLLEHTEKGSLKLHKYYGDSKIKDVEELKKYDIVLTTYGAFANESFERWCPLLKIEWWRVILDEADVIKNANAMQSKAVSGLTARRRWAVTGAPIQNGSFDLFALIAFLRLDPLSKKSYWQSLLERPLAKKDGNGFSRLQDLMAAISLRRTKDRVLVGRLRSKTVETVRFKIYGDERELYDQMAAESKKVVRKLIATGRLNRSYASIRGAVIRLRQMCNDATPFSLYLKSLLPSDDIGDASEHPEFLRQLIDVLRKDEDFDCAICYCPPTDAVITICKHVFCKRCIRTCLQRTRTSCPICRGRLSMSDLFSAPPESSDPEDPRKSTAIIPSKVSTLIKLLKESRDVRPASKSVVFTIFQKMLVLLEAPLKDAGFNVLRLDALIDARRRGGIIKKFRSAGQNTVLLANVKVSGAGINLTAASEVYLLEPWWNSELEEQAIDRVHQYGQEKNVIIVRLIVQDSIEERILMMQERKKQAIEAFGMQGPKERREVSLEDLCSLLSLE >Potri.001G048100.1.v4.1 pep chromosome:Pop_tri_v4:1:3528244:3534101:1 gene:Potri.001G048100.v4.1 transcript:Potri.001G048100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048100.v4.1 MSAFGSAGTANTNPNKSIEVLQPPSDSVSSLSFSPKANFLVATSWDNQVRCWEITRNGTTVGSVPKASISHDQPVLCSSWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTIAMHDAPIKEITWIPEMNCLATASFDKTLKYWDLRQSTPVHTQQLGERCYAMTVRYPLMVVGTADRNMVVYNLQNPQTEFKKIPSPLKYQTRCIAAFPDQQGFLVGSIEGRVGVHHLDEAQQSKNFTFKCHRDNNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCPLPIPCSTFNNDGSIFAHAVCYDWGKGAENHNPATAKTYIYLHLPQETEVKGKPRVGGTTRR >Potri.001G048100.3.v4.1 pep chromosome:Pop_tri_v4:1:3528169:3534135:1 gene:Potri.001G048100.v4.1 transcript:Potri.001G048100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048100.v4.1 MSAFGSAGTANTNPNKSIEVLQPPSDSVSSLSFSPKANFLVATSWDNQVRCWEITRNGTTVGSVPKASISHDQPVLCSSWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTIAMHDAPIKEITWIPEMNCLATASFDKTLKYWDLRQSTPVHTQQLGERCYAMTVRYPLMVVGTADRNMVVYNLQNPQTEFKKIPSPLKYQTRCIAAFPDQQGFLVGSIEGRVGVHHLDEAQQSKNFTFKCHRDNNEIYSVNSLNFHPVHHTFATAGSDGAFNFWDKDSKQRLKAMSRCPLPIPCSTFNNDGSIFAHAVCYDWGKGAENHNPATAKTYIYLHLPQETEVKGKPRVGGTTRR >Potri.001G315600.1.v4.1 pep chromosome:Pop_tri_v4:1:32627644:32632159:1 gene:Potri.001G315600.v4.1 transcript:Potri.001G315600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315600.v4.1 MGKRANKKKTRPLQKEKRVAGHSPKSVPQQTNLNVEDVDGVTVVKERKLCPHFDKGFDANKLSEKISSSDSFRCEDCREAVGDRKGAKGKGKQVKKKGSGSVDSKSESKAIWVCLECGHLACGGIGLPTTSQSHAVRHSKQNRHPLVFQWENPQLRWCFPCNTLIPAEKTGENGEKKDAVFEVVNMIKAQSSKESSADVEDVWFGRGSIISELNAEGTMTIGLEGRSGHVVRGLVNLGNTCFFNSVMQNLLAMNKLHDHFSSEEASFGPLSSSLKKLFTDLKAETGFRNVINPKSFFGSVCSKAPQFRGYQQQDSHELLHCLLDGLSTEELTVRKRRNASEEDGIPPKHGPTFVDSAFGGLISSTVCCVECGHSSTVHEPFLDLSLPVPTKKPPTKKVQPVSQAKKTKLPPKRGGKVQPKINRNTDSMPAQSVSKPSVQSDSPCQTQSAVPLTENTVASSDNIPAPGSTAPTTAVDERGEVSQNLAAVIESDSKQAVETTMEQIASSFDDFWMDYIGAETTSEHDFAKENNVLAAGQQCGDKVNIPNDDLTETCQASSIDGEPNKKPESSSVNPWEEEVPLQVQSSEVLLLPYKEEGFTDREIMKGESEAGSSFVGCGQDEAEFDGIGDLFNEPEVSAAPVAGPSLGNEVALPSFIAGISSESDPDEVDDSDSPVSLESCLALFIKPELLSNDNAWECENCSNILREQRLDAKNKQSKISPKASINGDETQIQSDSVSLDKNISCSTEVGSFEDGDAIPNNLCNSTPEVFVSGNGCSNKKFIHAEIVQTEMEPFISQSEERKYEMNVSHSSGCYESCNRETLSGPPVDSCSVDETSSTGYTMAKDEQTDCNFPGNCESDVNEDGDKTLKKLNVKRDATKRVLIDKAPPILTVHLKRFSQDARGRLSKLNGHVNFRDVLDLRPYMDPRCVDTQSYVYRLLGVVEHSGTMRGGHYIAYVRGDARGKGRADKEQGGSVWYYASDAHVQEVSLEEVLRCDAYLLFYEKISN >Potri.001G315600.4.v4.1 pep chromosome:Pop_tri_v4:1:32627634:32632160:1 gene:Potri.001G315600.v4.1 transcript:Potri.001G315600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315600.v4.1 MGKRANKKKTRPLQKEKRVAGHSPKSVPQQTNLNVEDVDGVTVVKERKLCPHFDKGFDANKLSEKISSSDSFRCEDCREAVGDRKGAKGKGKQVKKKGSGSVDSKSESKAIWVCLECGHLACGGIGLPTTSQSHAVRHSKQNRHPLVFQWENPQLRWCFPCNTLIPAEKTGENGEKKDAVFEVVNMIKAQSSKESSADVEDVWFGRGSIISELNAEGTMTIGLEGRSGHVVRGLVNLGNTCFFNSVMQNLLAMNKLHDHFSSEEASFGPLSSSLKKLFTDLKAETGFRNVINPKSFFGSVCSKAPQFRGYQQQDSHELLHCLLDGLSTEELTVRKRRNASEEDGIPPKHGPTFVDSAFGGLISSTVCCVECGHSSTVHEPFLDLSLPVPTKKPPTKKVQPVSQAKKTKLPPKRGGKVQPKINRNTDSMPAQSVSKPSVQSDSPCQTQSAVPLTENTVASSDNIPAPGSTAPTTAVDERGEVSQNLAAVIESDSKQAVETTMEQIASSFDDFWMDYIGAETTSEHDFAKENNVLAAGQQCGDKVNIPNDDLTETCQASSIDGEPNKKPESSSVNPWEEEVPLQVQSSEVLLLPYKEEGFTDREIMKGESEAGSSFVGCGQDEAEFDGIGDLFNEPEVSAAPVAGPSLGNEVALPSFIAGISSESDPDEVDDSDSPVSLESCLALFIKPELLSNDNAWECENCSNILREQRLDAKNKQSKISPKASINGDETQIQSDSVSLDKNISCSTEVGSFEDGDAIPNNLCNSTPEVFVSGNGCSNKKFIHAEIVQTEMEPFISQSEERKYEMNVSHSSGCYESCNRETLSGPPVDSCSVDETSSTGYTMAKDEQTDCNFPGNCESDVNEDGDKTLKKLNVKRDATKRVLIDKAPPILTVHLKRFSQDARGRLSKLNGHVNFRDVLDLRPYMDPRCLSLRTIFDLTINNLML >Potri.001G315600.3.v4.1 pep chromosome:Pop_tri_v4:1:32627744:32632160:1 gene:Potri.001G315600.v4.1 transcript:Potri.001G315600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315600.v4.1 MGKRANKKKTRPLQKEKRVAGHSPKSVPQQTNLNVEDVDGVTVVKERKLCPHFDKGFDANKLSEKISSSDSFRCEDCREAVGDRKGAKGKGKQVKKKGSGSVDSKSESKAIWVCLECGHLACGGIGLPTTSQSHAVRHSKQNRHPLVFQWENPQLRWCFPCNTLIPAEKTGENGEKKDAVFEVVNMIKAQSSKESSADVEDVWFGRGSIISELNAEGTMTIGLEGRSGHVVRGLVNLGNTCFFNSVMQNLLAMNKLHDHFSSEEASFGPLSSSLKKLFTDLKAETGFRNVINPKSFFGSVCSKAPQFRGYQQQDSHELLHCLLDGLSTEELTVRKRRNASEEDGIPPKHGPTFVDSAFGGLISSTVCCVECGHSSTVHEPFLDLSLPVPTKKPPTKKVQPVSQAKKTKLPPKRGGKVQPKINRNTDSMPAQSVSKPSVQSDSPCQTQSAVPLTENTVASSDNIPAPGSTAPTTAVDERGEVSQNLAAVIESDSKQAVETTMEQIASSFDDFWMDYIGAETTSEHDFAKENNVLAAGQQCGDKVNIPNDDLTETCQASSIDGEPNKKPESSSVNPWEEEVPLQVQSSEVLLLPYKEEGFTDREIMKGESEAGSSFVGCGQDEAEFDGIGDLFNEPEVSAAPVAGPSLGNEVALPSFIAGISSESDPDEVDDSDSPVSLESCLALFIKPELLSNDNAWECENCSNILREQRLDAKNKQSKISPKASINGDETQIQSDSVSLDKNISCSTEVGSFEDGDAIPNNLCNSTPEVFVSGNGCSNKKFIHAEIVQTEMEPFISQSEERKYEMNVSHSSGCYESCNRETLSGPPVDSCSVDETSSTGYTMAKDEQTDCNFPGNCESDVNEDGDKTLKKLNVKRDATKRVLIDKAPPILTVHLKRFSQDARGRLSKLNGHVNFRDVLDLRPYMDPRCVDTQSYVYRLLGVVEHSGTMRGGHYIAYVRGDARGKGRADKEQGGSVWYYASDAHVQEVSLEEVLRCDAYLLFYEKISN >Potri.008G097400.1.v4.1 pep chromosome:Pop_tri_v4:8:6067909:6070761:1 gene:Potri.008G097400.v4.1 transcript:Potri.008G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097400.v4.1 MILFKQLLPTKRGLGGALNHFRGSSFRLFSSSPETFVEIRSQEKEVVIALGSNVGNRLHNFNEALRLMKKSGINITRHACLYETAPAYVTDQPQFLNSAVRGVTKLWPHELLGVLKKIEKDMGRTAGIRYGPRPIDLDILFYGKFRVSSDILTVPHERIWERPFVMAPLMDLLGADVENDTVACWHSLSIHSGGLFESWEKLGGECIIGKDGMKRVLPIGNDLWDWSLKTSVMGILNLTPDSFSDGGKFQSVEAAVSQVRLMISEGADMIDLGAQSTRPVASRISPQEELDRLIPVLEAILKMPEMNGKLISVDTFYSEVASEAVSKGAHIVNDVSGGQLDPNMTKVVAGLEVPYVAMHMRGDPATMQNSENLQYDDVCKQVASELYSRVKDAELSGIPVWRIIIDPGLGFSKKTEHNLELLMGLPSIRAEIARKSLAMSHSPVLLGSSRKKFLGETCSRPAASERDPATVASVTAGVLGGANIVRVHNVRDNLDAVKLCDAMLKYKRSPA >Potri.002G219600.2.v4.1 pep chromosome:Pop_tri_v4:2:20997015:21000596:-1 gene:Potri.002G219600.v4.1 transcript:Potri.002G219600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219600.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILNDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTFAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVNYKTIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINGHGVDVKGFFAWSFLDDFEWGSGYSSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDSIKSKSRMEEGSARSRKKSRIE >Potri.002G219600.3.v4.1 pep chromosome:Pop_tri_v4:2:20995393:21000595:-1 gene:Potri.002G219600.v4.1 transcript:Potri.002G219600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219600.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILNDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTFAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVNYKTIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINGHGVDVKGFFAWSFLDDFEWGSGYSSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDSIKSKSRMEEGSARSRKKSRIE >Potri.001G121900.2.v4.1 pep chromosome:Pop_tri_v4:1:10000752:10001874:1 gene:Potri.001G121900.v4.1 transcript:Potri.001G121900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121900.v4.1 MAPKRTTSLALFLAFNLLFFSLATACGGGCPSPNPKPKRPNPNPNPNPTPSPSSGKCPKDALKLGVCADLLGSLLNVTIGSPPVKPCCSVIQGLLDLEAAICLCTAIKANILGINLNIPISLSLLINVCGKKVPKDFQCP >Potri.013G006000.11.v4.1 pep chromosome:Pop_tri_v4:13:412633:417045:1 gene:Potri.013G006000.v4.1 transcript:Potri.013G006000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006000.v4.1 MVERFLEYVLNNHHISREPASILQAFLISLCWTSASMLKHKLGHKESLALAKQHLNFGCKKDEADFVYSKLRCLKKAFLHHTGTYKVATSPKAAEFSTEDHSKNQSNGRSSLSTPSNMQKGRIEVENLRPSQEFSIDQVVSHLGLAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEMEEFEKKYEEEKAELEHMHRTEAAVIRLHSNILERTDKLKVLDNVYAKKFEDLNWQMDMHLNNLLELQLATRNKLQERKAQWIKGVKSWAHAELIKKPTANESGYNQENFVTWNSCCKEQTPERSRSMPDDVPLEVPETVSSSEDVLPGVLATSKPSSDGATSSMLDREVPLEVPQTATVRGVSEDVMSANSFPCEEQIPDLQVTLRVLEANCSSDGPENTIHKSSSEKGSDRVTLTVPDREFSLGVTGIVTSIGGLENAASVNPSPSEGQPHARSTSCMDVREVLLEAPETASLEAEEDVNRIMEKDGVSGMVSDNAIEVDQWNGVVCILNQEPHYDDMVAVNQQTGEVRLGVPENNVVNQQHEVDPSGVREAGVGHNQLEIDSMHVVASDNGQPTESSRLQDRVARVCNNQIAFQQVDALASQPFVASDHSHSDAPVTELLPSMDSSAGSQPTTSFAEHAPANSIAVGESGTRISNTMTAPVTSIISNCPVTAPAVRMPVSMSQDPLQNELDRICRETEQIIKIHEDTKLQLKSDCEKEIQEVVAQIRTKHDIKLQEIESEFLRKKKEMADNQNKVFLNKILAEAFRSKCMDNKASSTPVRQQEINSSIVQQQLQLSEPTARPYIVTGLYSTALPAASLQTTPTSSPPAPPRQVVHSSGRFSSTSTRPPHISSISPATSNLRIGNEIRAPAPHLQHFRPSARGMQSQQVSTTSPTPSEIPSRGPATAQQSSPQTTTNSGESMGISPSMTSLQGLESLMDIDNQTSTNATQAWSSPPPTDLSSDSNPLAQPKLSMLNSVLTNPISEVVCLSDDD >Potri.013G006000.10.v4.1 pep chromosome:Pop_tri_v4:13:411466:417255:1 gene:Potri.013G006000.v4.1 transcript:Potri.013G006000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006000.v4.1 MSQFLPSSTGRLNTTATNHVSNFRHSNSNSSEVLKANKVEYNERMNLHDSEKSLHLILKPEITKLCEILQLPENVKVMVERFLEYVLNNHHISREPASILQAFLISLCWTSASMLKHKLGHKESLALAKQHLNFGCKKDEADFVYSKLRCLKKAFLHHTGTYKVATSPKAAEFSTEDHSKNQSNGRSSLSTPSNMQKGRIEVENLRPSQEFSIDQVVSHLGLAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEMEEFEKKYEEEKAELEHMHRTEAAVIRLHSNILERTDKLKVLDNVYAKKFEDLNWQMDMHLNNLLELQLATRNKLQERKAQWIKGVKSWAHAELIKKPTANESGYNQENFVTWNSCCKEQTPERSRSMPDDVPLEVPETVSSSEDVLPGVLATSKPSSDGATSSMLDREVPLEVPQTATVRGVSEDVMSANSFPCEEQIPDLQVTLRVLEANCSSDGPENTIHKSSSEKGSDRVTLTVPDREFSLGVTGIVTSIGGLENAASVNPSPSEGQPHARSTSCMDVREVLLEAPETASLEAEEDVNRIMEKDGVSGMVSDNAIEVDQWNGVVCILNQEPHYDDMVAVNQQTGEVRLGVPENNVVNQQHEVDPSGVREAGVGHNQLEIDSMHVVASDNGQPTESSRLQDRVARVCNNQIAFQQVDALASQPFVASDHSHSDAPVTELLPSMDSSAGSQPTTSFAEHAPANSIAVGESGTRISNTMTAPVTSIISNCPVTAPAVRMPVSMSQDPLQNELDRICRETEQIIKIHEDTKLQLKSDCEKEIQEVVAQIRTKHDIKLQEIESEFLRKKKEMADNQNKVFLNKILAEAFRSKCMDNKASSTPVRQQEINSSIVQQQLQLSEPTARPYIVTGLYSTALPAASLQTTPTSSPPAPPRQVVHSSGRFSSTSTRPPHISSISPATSNLRIGNEIRAPAPHLQHFRPSARGMQSQQVSTTSPTPSEIPSRGPATAQQSSPQTTTNSGESMGISPSMTSLQGLESLMDIDNQTSTNATQAWSSPPPTDLSSDSNPLAQPKLSMLNSVLTNPISEVVCLSDDD >Potri.013G006000.1.v4.1 pep chromosome:Pop_tri_v4:13:400767:417050:1 gene:Potri.013G006000.v4.1 transcript:Potri.013G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006000.v4.1 MGNDTKASRKAKAEESKNNDVKGRNIASRSSTDKSGLRRSVREASSKKNVTPSPSSTRKSERLEKQTPTAPPATRKSERLVEKQSLSSPLRRSERGKNQSSSSSSGSKKSGKKSSSSVMKKKQKKEKSVKQLETKDVGNDKKHVIKAVLVETKRMDARAYKALFKRQQKKANLEGRCEEMKNKNADGNDCRDGASENVNGGSECSQRKVEELIDRCVLRDSEKNLEGNSIASEPVKEVLENNGGPKPPLKSQKLTFLEKDHQFKEGDSREDLNSDDSVLLSAQRTLSEPENDVAQMEQEQLPAELVDLTVNRTPRVDTEVESGYKEMPFKRKRSIEDLNSDATTMVSNKVADAAPYENGRTDSVAKCATSSKRQRGGIEANVTAGPAEPCIADLHLKKSSLYSQLDGDPNTCVICKLGGKLLCCDGQGCKRSYHLSCLDPPLGDVPLGVWHCLACVRKKIEFGMHSVSKGIESIWDASEVEVADDNGVQRQKQFYVKYKGLAHVHNRWLPENQLILEAPSLLAKFNQKNQVRKWKQEWIVPHHMLQKRSVMFPNQHVENFSHHASNILACQFEWLVKWRGLDYEHATWELEIAPFMNSPEAQSLIRDYENRLVKAKGAEYLSIIDKLSAGGSPEFDYNHLDFVNYLHDYWLKGENAVLIDDQEQITKVISFILSLSSNASWPFLIITTSASLHSWEEELFRLAPSLYAVVYHGNKDIRKSIRKLEFYSEGGCIMFQILVTSPEVIIEDLNVLESMKWEAVIVDECQSSRIFSHFKQIKMLRTAMRLLLVNGQLKDGITEHLLSLLVHQSDLNGSEDLVTNLSPKTGNLKDQLSKYIANSPRPDPSRFKEYWVPVQLSLMQLEQYCATLLSKSLSLCSSSRNDPVGALRDILISCRKCCDHPYIMNPSLQISLTKDRKEADILDIGIKASGKLQLLGEMLFSIKERGLRALVLFQSSGGSGKDNIGDILDDFVRQRFGQGSYERVDEHVLPSRKQSALKFFNNHQEGRFVFLLETRACSSSIKLSSVDTVIIFASDWNPMTDIRSLQKITLHSQFDQINIFRLYSSCTVEEKVLIIARQDKTLESSLHSISRAASDMLLMWGASYLFEKLSEFHCGNDTASSGNTLFEQSHLKDVIQEFLTIIIQKGKDNTPSNSIILKVKQNQGIYTTNFPLHGERKIQLLDEELPHIFWKKLLEGKQPRWKYSSGLSQRNRKRVQYADDIQKNTVVEGDEVVKKRNKVANNSTNSPSLKAALIGTSGAPVLNMSQFLPSSTGRLNTTATNHVSNFRHSNSNSSEVLKANKVEYNERMNLHDSEKSLHLILKPEITKLCEILQLPENVKVMVERFLEYVLNNHHISREPASILQAFLISLCWTSASMLKHKLGHKESLALAKQHLNFGCKKDEADFVYSKLRCLKKAFLHHTGTYKVATSPKAAEFSTEDHSKNQSNGRSSLSTPSNMQKGRIEVENLRPSQEFSIDQVVSHLGLAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEMEEFEKKYEEEKAELEHMHRTEAAVIRLHSNILERTDKLKLQERKAQWIKGVKSWAHAELIKKPTANESGYNQENFVTWNSCCKEQTPERSRSMPDDVPLEVPETVSSSEDVLPGVLATSKPSSDGATSSMLDREVPLEVPQTATVRGVSEDVMSANSFPCEEQIPDLQVTLRVLEANCSSDGPENTIHKSSSEKGSDRVTLTVPDREFSLGVTGIVTSIGGLENAASVNPSPSEGQPHARSTSCMDVREVLLEAPETASLEAEEDVNRIMEKDGVSGMVSDNAIEVDQWNGVVCILNQEPHYDDMVAVNQQTGEVRLGVPENNVVNQQHEVDPSGVREAGVGHNQLEIDSMHVVASDNGQPTESSRLQDRVARVCNNQIAFQQVDALASQPFVASDHSHSDAPVTELLPSMDSSAGSQPTTSFAEHAPANSIAVGESGTRISNTMTAPVTSIISNCPVTAPAVRMPVSMSQDPLQNELDRICRETEQIIKIHEDTKLQLKSDCEKEIQEVVAQIRTKHDIKLQEIESEFLRKKKEMADNQNKVFLNKILAEAFRSKCMDNKASSTPVRQQEINSSIVQQQLQLSEPTARPYIVTGLYSTALPAASLQTTPTSSPPAPPRQVVHSSGRFSSTSTRPPHISSISPATSNLRIGNEIRAPAPHLQHFRPSARGMQSQQVSTTSPTPSEIPSRGPATAQQSSPQTTTNSGESMGISPSMTSLQGLESLMDIDNQTSTNATQAWSSPPPTDLSSDSNPLAQPKLSMLNSVLTNPISEVVCLSDDD >Potri.013G006000.9.v4.1 pep chromosome:Pop_tri_v4:13:407569:417045:1 gene:Potri.013G006000.v4.1 transcript:Potri.013G006000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006000.v4.1 MQLEQYCATLLSKSLSLCSSSRNDPVGALRDILISCRKCCDHPYIMNPSLQISLTKDRKEADILDIGIKASGKLQLLGEMLFSIKERGLRALVLFQSSGGSGKDNIGDILDDFVRQRFGQGSYERVDEHVLPSRKQSALKFFNNHQEGRFVFLLETRACSSSIKLSSVDTVIIFASDWNPMTDIRSLQKITLHSQFDQINIFRLYSSCTVEEKVLIIARQDKTLESSLHSISRAASDMLLMWGASYLFEKLSEFHCGNDTASSGNTLFEQSHLKDVIQEFLTIIIQKGKDNTPSNSIILKVKQNQGIYTTNFPLHGERKIQLLDEELPHIFWKKLLEGKQPRWKYSSGLSQRNRKRVQYADDIQKNTVVEGDEVVKKRNKVANNSTNSPSLKAALIGTSGAPVLNMSQFLPSSTGRLNTTATNHVSNFRHSNSNSSEVLKANKVEYNERMNLHDSEKSLHLILKPEITKLCEILQLPENVKVMVERFLEYVLNNHHISREPASILQAFLISLCWTSASMLKHKLGHKESLALAKQHLNFGCKKDEADFVYSKLRCLKKAFLHHTGTYKVATSPKAAEFSTEDHSKNQSNGRSSLSTPSNMQKGRIEVENLRPSQEFSIDQVVSHLGLAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEMEEFEKKYEEEKAELEHMHRTEAAVIRLHSNILERTDKLKVLDNVYAKKFEDLNWQMDMHLNNLLELQLATRNKLQERKAQWIKGVKSWAHAELIKKPTANESGYNQENFVTWNSCCKEQTPERSRSMPDDVPLEVPETVSSSEDVLPGVLATSKPSSDGATSSMLDREVPLEVPQTATVRGVSEDVMSANSFPCEEQIPDLQVTLRVLEANCSSDGPENTIHKSSSEKGSDRVTLTVPDREFSLGVTGIVTSIGGLENAASVNPSPSEGQPHARSTSCMDVREVLLEAPETASLEAEEDVNRIMEKDGVSGMVSDNAIEVDQWNGVVCILNQEPHYDDMVAVNQQTGEVRLGVPENNVVNQQHEVDPSGVREAGVGHNQLEIDSMHVVASDNGQPTESSRLQDRVARVCNNQIAFQQVDALASQPFVASDHSHSDAPVTELLPSMDSSAGSQPTTSFAEHAPANSIAVGESGTRISNTMTAPVTSIISNCPVTAPAVRMPVSMSQDPLQNELDRICRETEQIIKIHEDTKLQLKSDCEKEIQEVVAQIRTKHDIKLQEIESEFLRKKKEMADNQNKVFLNKILAEAFRSKCMDNKASSTPVRQQEINSSIVQQQLQLSEPTARPYIVTGLYSTALPAASLQTTPTSSPPAPPRQVVHSSGRFSSTSTRPPHISSISPATSNLRIGNEIRAPAPHLQHFRPSARGMQSQQVSTTSPTPSEIPSRGPATAQQSSPQTTTNSGESMGISPSMTSLQGLESLMDIDNQTSTNATQAWSSPPPTDLSSDSNPLAQPKLSMLNSVLTNPISEVVCLSDDD >Potri.013G006000.8.v4.1 pep chromosome:Pop_tri_v4:13:400767:417066:1 gene:Potri.013G006000.v4.1 transcript:Potri.013G006000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006000.v4.1 MGNDTKASRKAKAEESKNNDVKGRNIASRSSTDKSGLRRSVREASSKKNVTPSPSSTRKSERLEKQTPTAPPATRKSERLVEKQSLSSPLRRSERGKNQSSSSSSGSKKSGKKSSSSVMKKKQKKEKSVKQLETKDVGNDKKHVIKAVLVETKRMDARAYKALFKRQQKKANLEGRCEEMKNKNADGNDCRDGASENVNGGSECSQRKVEELIDRCVLRDSEKNLEGNSIASEPVKEVLENNGGPKPPLKSQKLTFLEKDHQFKEGDSREDLNSDDSVLLSAQRTLSEPENDVAQMEQEQLPAELVDLTVNRTPRVDTEVESGYKEMPFKRKRSIEDLNSDATTMVSNKVADAAPYENGRTDSVAKCATSSKRQRGGIEANVTAGPAEPCIADLHLKKSSLYSQLDGDPNTCVICKLGGKLLCCDGQGCKRSYHLSCLDPPLGDVPLGVWHCLACVRKKIEFGMHSVSKGIESIWDASEVEVADDNGVQRQKQFYVKYKGLAHVHNRWLPENQLILEAPSLLAKFNQKNQVRKWKQEWIVPHHMLQKRSVMFPNQHVENFSHHASNILACQFEWLVKWRGLDYEHATWELEIAPFMNSPEAQSLIRDYENRLVKAKGAEYLSIIDKLSAGGSPEFDYNHLDFVNYLHDYWLKGENAVLIDDQEQITKVISFILSLSSNASWPFLIITTSASLHSWEEELFRLAPSLYAVVYHGNKDIRKSIRKLEFYSEGGCIMFQILVTSPEVIIEDLNVLESMKWEAVIVDECQSSRIFSHFKQIKMLRTAMRLLLVNGQLKDGITEHLLSLLVHQSDLNGSEDLVTNLSPKTGNLKDQLSKYIANSPRPDPSRFKEYWVPVQLSLMQLEQYCATLLSKSLSLCSSSRNDPVGALRDILISCRKCCDHPYIMNPSLQISLTKDRKEADILDIGIKASGKLQLLGEMLFSIKERGLRALVLFQSSGGSGKDNIGDILDDFVRQRFGQGSYERVDEHVLPSRKQSALKFFNNHQEGRFVFLLETRACSSSIKLSSVDTVIIFASDWNPMTDIRSLQKITLHSQFDQINIFRLYSSCTVEEKVLIIARQDKTLESSLHSISRAASDMLLMWGASYLFEKLSEFHCGNDTASSGNTLFEQSHLKDVIQEFLTIIIQKGKDNTPSNSIILKVKQNQGIYTTNFPLHGERKIQLLDEELPHIFWKKLLEGKQPRWKYSSGLSQRNRKRVQYADDIQKNTVVEGDEVVKKRNKVANNSTNSPSLKAALIGTSGAPVLNMSQFLPSSTGRLNTTATNHVSNFRHSNSNSSEVLKANKVEYNERMNLHDSEKSLHLILKPEITKLCEILQLPENVKVMVERFLEYVLNNHHISREPASILQAFLISLCWTSASMLKHKLGHKESLALAKQHLNFGCKKDEADFVYSKLRCLKKAFLHHTGTYKVATSPKAAEFSTEDHSKNQSNGRSSLSTPSNMQKGRIEVENLRPSQEFSIDQVVSHLGLAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEMEEFEKKYEEEKAELEHMHRTEAAVIRLHSNILERTDKLKVLDNVYAKKFEDLNWQMDMHLNNLLELQLATRNKLQERKAQWIKGVKSWAHAELIKKPTANESGYNQENFVTWNSCCKEQTPERSRSMPDDVPLEVPETVSSSEDVLPGVLATSKPSSDGATSSMLDREVPLEVPQTATVRGVSEDVMSANSFPCEEQIPDLQVTLRVLEANCSSDGPENTIHKSSSEKGSDRVTLTVPDREFSLGVTGIVTSIGGLENAASVNPSPSEGQPHARSTSCMDVREVLLEAPETASLEAEEDVNRIMEKDGVSGMVSDNAIEVDQWNGVVCILNQEPHYDDMVAVNQQTGEVRLGVPENNVVNQQHEVDPSGVREAGVGHNQLEIDSMHVVASDNGQPTESSRLQDRVARVCNNQIAFQQVDALASQPFVASDHSHSDAPVTELLPSMDSSAGSQPTTSFAEHAPANSIAVGESGTRISNTMTAPVTSIISNCPVTAPAVRMPVSMSQDPLQNELDRICRETEQIIKIHEDTKLQLKSDCEKEIQEVVAQIRTKHDIKLQEIESEFLRKKKEMADNQNKVFLNKILAEAFRSKCMDNKASSTPVRQQEINSSIVQQQLQLSEPTARPYIVTGLYSTALPAASLQTTPTSSPPAPPRQVVHSSGRFSSTSTRPPHISSISPATSNLRIGNEIRAPAPHLQHFRPSARGMQSQQVSTTSPTPSEIPSRGPATAQQSSPQTTTNSGESMGISPSMTSLQGLESLMDIDNQTSTNATQAWSSPPPTDLSSDSNPLAQPKLSMLNSVLTNPISEVVCLSDDD >Potri.004G189800.1.v4.1 pep chromosome:Pop_tri_v4:4:20229389:20234499:-1 gene:Potri.004G189800.v4.1 transcript:Potri.004G189800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189800.v4.1 MAPAGKVTGFHKEGSDWFCNAGLPSDITVVVDDIKFHLHKFPLVSKCGKIARICEESSEKAFIAAFEEFPGGPDTFLIAVKFCYGLRVELTPRNIVIVYCAADYLQMTDEYGEDNLLSKSENFFHKNVLHNWKDCILALQSSNPVIARAEKLQIISKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIQSVESDWWFEDISYLSVGLFERLINTMETRGIRPEILVGAIMYYARKYLPGLGRWQSGQSRKTRTFASFSLTPAVVDQKVLIETIEKLIPEKKGKSFCRFLLGLLRVALILGVNQMCKDSLERRVGMQLEVATLDSLLIPAYSDSDTLYNVDCVERIIHHFMASESRITLFSPSSLDPETSPSSEPLRKVAKLIDNYIAEVASDIHLKPRKIRSLAEALPESSRPLHDGLYRALDIYFKAHPWLSEKEKEELCNTIDYQKLSIDACAHASQNARLPLRVALQVLFFEQMQLRTALAGCLHVMDTESAPAGPIPSDMLGQIVQRDGWRTVVQVNQVLKVDMDNMRSRVGELEEEFSKIKQEMKRVTKSHSSLSSPRLVARKIGCKLVPRSSDAQPDTVDITGPTPRASVEQAHRPHHSRHWKSFSMF >Potri.010G061600.2.v4.1 pep chromosome:Pop_tri_v4:10:9119915:9121220:1 gene:Potri.010G061600.v4.1 transcript:Potri.010G061600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061600.v4.1 MEDVKLHSFWPSPYCYRVIWALKLKGIRYEYVEEDLSNKSQALLQYNPIHKKVPVLVHGGKPIVESMVILEYIEETWPDHPLLPKDAYERATARFWIQFGIDKGMAFFAFFRATEEEKEKAAKEALEVLKILEEQCLGDKKFFGGENIGMVDIAYGWLAHWFEASEEMVGVKLVEPSTLPRLHAWIQRLKEIPVIKENLPDRERLLVHFRRLRQMFLSDPSM >Potri.001G014600.1.v4.1 pep chromosome:Pop_tri_v4:1:1038389:1040803:1 gene:Potri.001G014600.v4.1 transcript:Potri.001G014600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014600.v4.1 MDSKFAFSCLLFYFLVSLHSVMCQTSGTVKVGESLTAMGQNPPWLSPSNDFAFGFRQISENDDFFLLAIWYYKIPDRTVVWYANGGNPAPRGSKVELTADRGLVLKDPRDSEIWRSGFNVGTVTHGVMNDTGNFVLFNVSSGSQAVWQSFSYPNDTLLPTQTMEIEGMLSSRKSETNFSQGRFQFRLLRTGSAVLNPINLPTKYPYDQYYTTGTRDAANSSNAGIQVVFDELGYLYVRKRNDERFNLTPHEMVPVKGYYHKATLNFDGVFTISHHPKNSSSNETWTVITTIPTNICLELNGPRGSGICGFNNVCKLKDDQRPTCECPPGYSLVDPDDKYGSCKPDFLQGCEVDGQRPQEDLYTSVELQNTDWPPSDYELIQPCSQEDCRKSCMQDCFCAAAVSKDDNCWKKKLPLSNGRKDNLVSSMAFLKVSKANSTLQKPPIAENNQDSLIIIVSVLLGGSVIVIFLLAGLLCSGSFFYHKKHKENQQQESSMGMNLRCLTYKELEDATNGFNEELGRGSFGIVYKGVIETGSTVPISIAVKKLDRLVKDGDEEFKTEVEVIGQTHHKNLVRLLGYCNEGQNRLLVYEFLSNGTLASLLFGDLKPSWHQRAQIALGTGKGLLYLHEECSTQIIHCDIKPQNILLDGSYNAGISDFGLAKLLMINQTHTKTNIRGTKGYDAPEWFRSKPITVKIDVYSFGVMLLEIISCRRSVGIETGENDREILTDWAYDCFHRGTLDALVEDDPEATSDMKRLEKYVMIALWCIQEDPSLRPTMKKVMLMLEGIVQVAIPPCPCSFSGIIS >Potri.001G388450.1.v4.1 pep chromosome:Pop_tri_v4:1:40858958:40860941:1 gene:Potri.001G388450.v4.1 transcript:Potri.001G388450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388450.v4.1 MASCICLSSSIPLAASSFLPSFPKTHRVSRIKKPNRPNIPIVSCKSGKNDHEQNPATRRDLLIGLGGLYGATSLSDPFAYANPIAPPDITQCELVTLPSESNPTNCCPQTSTKIKNFEFPSASSPMRIRPAAHLVDKAYLAKYAKAIALMKSLPDDDPRSFKSQANVHCAYCDGAYHQAGFPDLELQIHFSWLFFPWHRAYLYYFERILGKLIDDPTFALPFWNWDAPAGMQIPAIFTDPKSPLYDPLRDANHQPPTLLDLNYATGNANPDPAKAEELYASNLNVMYRQMVSGATKPTLFFGKPYRAGDDPSPGMGTIETTPHTQIHIWTGDPNQTKGENMGNFYSAGRDPIFYCHHSNVDRMWDLWKKIPGGKRKDIEDPDWLNSEFLFWDENKELVRVKVKDTLDTKKLRYGFQDVPIPWLKTRATPKLTRQEKSRRAAKKSVVLTPISAFPVVLDKVISVEVSRPKKSRSATEKEDEDEVLVIEGIEYEENQSIKFDVLVNDEPDSPGGPDKSEFAGSFVNVPHKHAKKSKTTMVLGITGLLEDLEAEGDDTLVVTLVPRTGGDFVTVANVKIEFVAD >Potri.010G095800.1.v4.1 pep chromosome:Pop_tri_v4:10:11967452:11973033:1 gene:Potri.010G095800.v4.1 transcript:Potri.010G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G095800.v4.1 MAATISVSASLNLFSDSQPYKRLPLILSPPNLQQPFLSLHKSCYFNSRFCFSSNCSSSSSSSTTATETETETETPSASTTYSYIEDSYKTGRFLSNEEIEKLKALQDFRCYQQLETGSLLVRVMKPGEMDITVKLLAESFVESMSLPVGYVSLVCYFVQQYLTERRAAIPHAVTLIGFYKGKQETNGGEEEEDLEELAGTVEVCFDKRGANASPPTPTPPKNAPYICNMAVKQSHRRRGIGWNLLKASEELISKMSSMRDVYLHCRMIDSAPFNMYTKAGYNIVKTDSIWVLLMLQRRKHLMCKKLLVSKNPSELDTSGSDMEFSSQMDTWKS >Potri.002G060400.1.v4.1 pep chromosome:Pop_tri_v4:2:4176743:4178553:-1 gene:Potri.002G060400.v4.1 transcript:Potri.002G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060400.v4.1 MSFSCPSKLSSMLLLVSLLGYLIGSSKGGNFFQDFDITWGDQRAKILNGGQLLTLSLDTASGSGFQSKNEYLFGRIDMQIKLIPGNSAGTVTTYYLSSQGPTHDEIDFEFLGNATGEPYVLHTNVFSQGKGDREQQFYLWFDPSKAFHTYSIVWNKQLIIFLVDNIPIRVFQNLESIGVAFPNKQAMRIYSSLWNADDWATRGGLVKTDWTQAPFIASYRNFKANACVWSPGSPCTSTSPNSVQDNAWQVQALDAPGRNRLRWVQQNYMIYNYCTDLKRFPQGLPPECK >Potri.019G087000.1.v4.1 pep chromosome:Pop_tri_v4:19:12604724:12614038:-1 gene:Potri.019G087000.v4.1 transcript:Potri.019G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087000.v4.1 MCHYCGADRTKLKDEKKKLENGGCLKLNGEEPIWSCRLCQEKQEPDLMNRDGSSHSILPLISPATTLPSSDRFMSSCSDLYVDVNSHDWAHQEEEAARSAQKDLSYGMNDQLHNSRLEAPLNRVDGLLKATENNLKDSHNGTDRETVRDVEIVELLHGQEAKDNAFEKCVGSSNEGSDVSQISDDEVDAQVWEPPEAEDPEDDLDGSVAFIDDDDDECGDGTEWGKPSSLSYSRDEGSRSFKFKEEKQKAMDEVVNVKFKAVVSQLLKTAGVASLMRDGESWVDIVTYLSWEAASFLKPEAIDRKAMDPDGYVKVKCIATGSRSESEVVKGLVFKKRAAHKHMPTKYKNPRLLLIQGVLGQSSSGLSSFKSMEQEKDNLRALIETIEMCHPNVVLVEKSVSRDVQECILAKGMTLVYDMKLHRLERIARCTGSPILLSDALMNQKLKQCDSFHIERFVEEHVAVCEGGKKPRKTLMFIEGCPTCLGCTILLKGSHSDELKRVKYVVQFAVIMAYHLILETSFLVDWKAMFSSEIFGGVVNTSSIDQHSSALETRIPCVEESTTESGSSIIDIPISNGFHEEGSHNINIGLEGYDPAVFSGFSSLSASLKKVMGDSFPLVSSPPYRSLSNYFGFNGQETNGQIMEEVPVLKTLEAFDPSDMEGKKDSDEEKSANDGQPQSLSPYSVATLDSGNDVGNKEDQIQSKGDANAVLDSQSILVLMSRRNALRGIICEQSHFSHIMFYRNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIRVKRLFKTLPGEGEGKLWMWIRCGKCKHESRLPKSTKRVLISTAARSLSFGKFLEISFSHQFSSGSLFSCGHSLERDFLYFFGLGPMAAMFKYSPVTTYNVSLPPQKLEFYHSIRLDGLKKEFHAVYSKGMLIFNGVGEALKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFELNIQNAVAKNGDEAVYKLLSLNQLSWELLLESCIWERRLHSLLLPDTLMLVTGASKKELQEQFESQMTDTADGKIQWNDNTLGSSDEVSDNSGNLRDMLSTTVEASEFSIKEIPVDDHVHEFRKQDNLYTSSAVAEDIERSRVSGLSQNRFINQELSVKPSGSAHQHSDDGNCQADYLSDVQVERTIPITTSIGSSDSFVDSDSIKKGTSARSLASSLENSNGWFWMPFPEIRQIYMKDLQRGFMPKFQSISSNIQEHMSAAHQLITKECQRLHIPLGTDNYMVKDYDDELSSIIACALAFLKGQPISTELYNEDDRKEGGMSFKSTDSLDILTRIPTMISPHWSSNGSDSDSVHSMLNISSDESRLSSFDGLNLLESLVRPENLSPEVAFGRSKSLGKGKYSVICLYAKQFHDLRNRCCPSELDYIASLSRCKNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVILRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGSGDVLLDQNFVDDMNSSPLYVSNTAKRLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRVLVCGIIDYLRQYTWDKQLETWVKSSLVPKNLLPTVISPIEYKKRFRKFMTAHFLSVPDNWCSQSSSNPCELCGTRDDDPSQSTSRKQGGQNGLTH >Potri.019G087000.5.v4.1 pep chromosome:Pop_tri_v4:19:12604724:12614035:-1 gene:Potri.019G087000.v4.1 transcript:Potri.019G087000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087000.v4.1 MCHYCGADRTKLKDEKKKLENGGCLKLNGEEPIWSCRLCQEKQEPDLMNRDGSSHSILPLISPATTLPSSDRFMSSCSDLYVDVNSHDWAHQEEEAARSAQKDLSYGMNDQLHNSRLEAPLNRVDGLLKATENNLKDSHNGTDRETVRDVEIVELLHGQEAKDNAFEKCVGSSNEGSDVSQISDDEVDAQVWEPPEAEDPEDDLDGSVAFIDDDDDECGDGTEWGKPSSLSYSRDEGSRSFKFKEEKQKAMDEVVNVKFKAVVSQLLKTAGVASLMRDGESWVDIVTYLSWEAASFLKPEAIDRKAMDPDGYVKVKCIATGSRSESEVVKGLVFKKRAAHKHMPTKYKNPRLLLIQGVLGQSSSGLSSFKSMEQEKDNLRALIETIEMCHPNVVLVEKSVSRDVQECILAKGMTLVYDMKLHRLERIARCTGSPILLSDALMNQKLKQCDSFHIERFVEEHVAVCEGGKKPRKTLMFIEGCPTCLGCTILLKGSHSDELKRVKYVVQFAVIMAYHLILETSFLVDWKAMFSSEIFGGVVNTSSIDQHSSALETRIPCVEESTTESGSSIIDIPISNGFHEEGSHNINIGLEGYDPAVFSGFSSLSASLKKVMGDSFPLVSSPPYRSLSNYFGFNGQETNGQIMEEVPVLKTLEAFDPSDMEGKKDSDEEKSANDGQPQSLSPYSVATLDSGNDVGNKEDQIQSKGDANAVLDSQSILVLMSRRNALRGIICEQSHFSHIMFYRNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIRVKRLFKTLPGEGEGKLWMWIRCGKCKHESRLPKSTKRVLISTAARSLSFGKFLEISFSHQFSSGSLFSCGHSLERDFLYFFGLGPMAAMFKYSPVTTYNVSLPPQKLEFYHSIRLDGLKKEFHAVYSKGMLIFNGVGEALKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFELNIQNAVAKNGDEAVYKLLSLNQLSWELLLESCIWERRLHSLLLPDTLMLVTGASKKELQEQFESQMTDTADGKIQWNDNTLGSSDEVSDNSGNLRDMLSTTVEASEFSIKEIPVDDHVHEFRKQDNLYTSSAVAEDIERSRVSGLSQNRFINQELSVKPSGSAHQHSDDGNCQADYLSDVQVERTIPITTSIGSSDSFVDSDSIKKGTSARSLASSLENSNGWFWMPFPEIRQIYMKDLQRGFMPKFQSISSNIQEHMSAAHQLITKECQRLHIPLGTDNYMVKDYDDELSSIIACALAFLKGQPISTELYNEDDRKEGGMSFKSTDSLDILTRIPTMISPHWSSNGSDSDSVHSMLNISSDESRLSSFDGLNLLESLVRPENLSPEVAFGRSKSLGKGKYSVICLYAKQFHDLRNRCCPSELDYIASLSRCKNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVILRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGSGDVLLDQNFVDDMNSSPLYVSNTAKRLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRVLVCGIIDYLRQYTWDKQLETWVKSSLVPKNLLPTVISPIEYKKRFRKFMTAHFLSVPDNWCSQSSSNPCELCGTRDDDPSQSTSRKQGGQNGLTH >Potri.019G087000.7.v4.1 pep chromosome:Pop_tri_v4:19:12604721:12611030:-1 gene:Potri.019G087000.v4.1 transcript:Potri.019G087000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087000.v4.1 MCHPNVVLVEKSVSRDVQECILAKGMTLVYDMKLHRLERIARCTGSPILLSDALMNQKLKQCDSFHIERFVEEHVAVCEGGKKPRKTLMFIEGCPTCLGCTILLKGSHSDELKRVKYVVQFAVIMAYHLILETSFLVDWKAMFSSEIFGGVVNTSSIDQHSSALETRIPCVEESTTESGSSIIDIPISNGFHEEGSHNINIGLEGYDPAVFSGFSSLSASLKKVMGDSFPLVSSPPYRSLSNYFGFNGQETNGQIMEEVPVLKTLEAFDPSDMEGKKDSDEEKSANDGQPQSLSPYSVATLDSGNDVGNKEDQIQSKGDANAVLDSQSILVLMSRRNALRGIICEQSHFSHIMFYRNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIRVKRLFKTLPGEGEGKLWMWIRCGKCKHESRLPKSTKRVLISTAARSLSFGKFLEISFSHQFSSGSLFSCGHSLERDFLYFFGLGPMAAMFKYSPVTTYNVSLPPQKLEFYHSIRLDGLKKEFHAVYSKGMLIFNGVGEALKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFELNIQNAVAKNGDEAVYKLLSLNQLSWELLLESCIWERRLHSLLLPDTLMLVTGASKKELQEQFESQMTDTADGKIQWNDNTLGSSDEVSDNSGNLRDMLSTTVEASEFSIKEIPVDDHVHEFRKQDNLYTSSAVAEDIERSRVSGLSQNRFINQELSVKPSGSAHQHSDDGNCQADYLSDVQVERTIPITTSIGSSDSFVDSDSIKKGTSARSLASSLENSNGWFWMPFPEIRQIYMKDLQRGFMPKFQSISSNIQEHMSAAHQLITKECQRLHIPLGTDNYMVKDYDDELSSIIACALAFLKGQPISTELYNEDDRKEGGMSFKSTDSLDILTRIPTMISPHWSSNGSDSDSVHSMLNISSDESRLSSFDGLNLLESLVRPENLSPEVAFGRSKSLGKGKYSVICLYAKQFHDLRNRCCPSELDYIASLSRCKNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVILRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGSGDVLLDQNFVDDMNSSPLYVSNTAKRLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRVLVCGIIDYLRQYTWDKQLETWVKSSLVPKNLLPTVISPIEYKKRFRKFMTAHFLSVPDNWCSQSSSNPCELCGTRDDDPSQSTSRKQGGQNGLTH >Potri.019G087000.4.v4.1 pep chromosome:Pop_tri_v4:19:12604724:12612938:-1 gene:Potri.019G087000.v4.1 transcript:Potri.019G087000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087000.v4.1 MCSMCHYCGADRTKLKDEKKKLENGGCLKLNGEEPIWSCRLCQEKQEPDLMNRDGSSHSILPLISPATTLPSSDRFMSSCSDLYVDVNSHDWAHQEEEAARSAQKDLSYGMNDQLHNSRLEAPLNRVDGLLKATENNLKDSHNGTDRETVRDVEIVELLHGQEAKDNAFEKCVGSSNEGSDVSQISDDEVDAQVWEPPEAEDPEDDLDGSVAFIDDDDDECGDGTEWGKPSSLSYSRDEGSRSFKFKEEKQKAMDEVVNVKFKAVVSQLLKTAGVASLMRDGESWVDIVTYLSWEAASFLKPEAIDRKAMDPDGYVKVKCIATGSRSESEVVKGLVFKKRAAHKHMPTKYKNPRLLLIQGVLGQSSSGLSSFKSMEQEKDNLRALIETIEMCHPNVVLVEKSVSRDVQECILAKGMTLVYDMKLHRLERIARCTGSPILLSDALMNQKLKQCDSFHIERFVEEHVAVCEGGKKPRKTLMFIEGCPTCLGCTILLKGSHSDELKRVKYVVQFAVIMAYHLILETSFLVDWKAMFSSEIFGGVVNTSSIDQHSSALETRIPCVEESTTESGSSIIDIPISNGFHEEGSHNINIGLEGYDPAVFSGFSSLSASLKKVMGDSFPLVSSPPYRSLSNYFGFNGQETNGQIMEEVPVLKTLEAFDPSDMEGKKDSDEEKSANDGQPQSLSPYSVATLDSGNDVGNKEDQIQSKGDANAVLDSQSILVLMSRRNALRGIICEQSHFSHIMFYRNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIRVKRLFKTLPGEGEGKLWMWIRCGKCKHESRLPKSTKRVLISTAARSLSFGKFLEISFSHQFSSGSLFSCGHSLERDFLYFFGLGPMAAMFKYSPVTTYNVSLPPQKLEFYHSIRLDGLKKEFHAVYSKGMLIFNGVGEALKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFELNIQNAVAKNGDEAVYKLLSLNQLSWELLLESCIWERRLHSLLLPDTLMLVTGASKKELQEQFESQMTDTADGKIQWNDNTLGSSDEVSDNSGNLRDMLSTTVEASEFSIKEIPVDDHVHEFRKQDNLYTSSAVAEDIERSRVSGLSQNRFINQELSVKPSGSAHQHSDDGNCQADYLSDVQVERTIPITTSIGSSDSFVDSDSIKKGTSARSLASSLENSNGWFWMPFPEIRQIYMKDLQRGFMPKFQSISSNIQEHMSAAHQLITKECQRLHIPLGTDNYMVKDYDDELSSIIACALAFLKGQPISTELYNEDDRKEGGMSFKSTDSLDILTRIPTMISPHWSSNGSDSDSVHSMLNISSDESRLSSFDGLNLLESLVRPENLSPEVAFGRSKSLGKGKYSVICLYAKQFHDLRNRCCPSELDYIASLSRCKNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVILRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGSGDVLLDQNFVDDMNSSPLYVSNTAKRLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRVLVCGIIDYLRQYTWDKQLETWVKSSLVPKNLLPTVISPIEYKKRFRKFMTAHFLSVPDNWCSQSSSNPCELCGTRDDDPSQSTSRKQGGQNGLTH >Potri.019G087000.6.v4.1 pep chromosome:Pop_tri_v4:19:12604725:12613986:-1 gene:Potri.019G087000.v4.1 transcript:Potri.019G087000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087000.v4.1 MCHYCGADRTKLKDEKKKLENGGCLKLNGEEPIWSCRLCQEKQEPDLMNRDGSSHSILPLISPATTLPSSDRFMSSCSDLYVDVNSHDWAHQEEEAARSAQKDLSYGMNDQLHNSRLEAPLNRVDGLLKATENNLKDSHNGTDRETVRDVEIVELLHGQEAKDNAFEKCVGSSNEGSDVSQISDDEVDAQVWEPPEAEDPEDDLDGSVAFIDDDDDECGDGTEWGKPSSLSYSRDEGSRSFKFKEEKQKAMDEVVNVKFKAVVSQLLKTAGVASLMRDGESWVDIVTYLSWEAASFLKPEAIDRKAMDPDGYVKVKCIATGSRSESEVVKGLVFKKRAAHKHMPTKYKNPRLLLIQGVLGQSSSGLSSFKSMEQEKDNLRALIETIEMCHPNVVLVEKSVSRDVQECILAKGMTLVYDMKLHRLERIARCTGSPILLSDALMNQKLKQCDSFHIERFVEEHVAVCEGGKKPRKTLMFIEGCPTCLGCTILLKGSHSDELKRVKYVVQFAVIMAYHLILETSFLVDWKAMFSSEIFGGVVNTSSIDQHSSALETRIPCVEESTTESGSSIIDIPISNGFHEEGSHNINIGLEGYDPAVFSGFSSLSASLKKVMGDSFPLVSSPPYRSLSNYFGFNGQETNGQIMEEVPVLKTLEAFDPSDMEGKKDSDEEKSANDGQPQSLSPYSVATLDSGNDVGNKEDQIQSKGDANAVLDSQSILVLMSRRNALRGIICEQSHFSHIMFYRNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIRVKRLFKTLPGEGEGKLWMWIRCGKCKHESRLPKSTKRVLISTAARSLSFGKFLEISFSHQFSSGSLFSCGHSLERDFLYFFGLGPMAAMFKYSPVTTYNVSLPPQKLEFYHSIRLDGLKKEFHAVYSKGMLIFNGVGEALKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFENAVAKNGDEAVYKLLSLNQLSWELLLESCIWERRLHSLLLPDTLMLVTGASKKELQEQFESQMTDTADGKIQWNDNTLGSSDEVSDNSGNLRDMLSTTVEASEFSIKEIPVDDHVHEFRKQDNLYTSSAVAEDIERSRVSGLSQNRFINQELSVKPSGSAHQHSDDGNCQADYLSDVQVERTIPITTSIGSSDSFVDSDSIKKGTSARSLASSLENSNGWFWMPFPEIRQIYMKDLQRGFMPKFQSISSNIQEHMSAAHQLITKECQRLHIPLGTDNYMVKDYDDELSSIIACALAFLKGQPISTELYNEDDRKEGGMSFKSTDSLDILTRIPTMISPHWSSNGSDSDSVHSMLNISSDESRLSSFDGLNLLESLVRPENLSPEVAFGRSKSLGKGKYSVICLYAKQFHDLRNRCCPSELDYIASLSRCKNWDAKGGKSKSFFAKTLDDRFIIKEIKKTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVILRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGSGDVLLDQNFVDDMNSSPLYVSNTAKRLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRVLVCGIIDYLRQYTWDKQLETWVKSSLVPKNLLPTVISPIEYKKRFRKFMTAHFLSVPDNWCSQSSSNPCELCGTRDDDPSQSTSRKQGGQNGLTH >Potri.005G124200.1.v4.1 pep chromosome:Pop_tri_v4:5:9242484:9246634:-1 gene:Potri.005G124200.v4.1 transcript:Potri.005G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124200.v4.1 MAKIKLNQRALATTAVLTCLIFLVQVLMTNMASAERLLKDKEPEASAEKEMSPGYIVKVLHFLFQGGKSSYEPVWPDMKFDWRIVVGTIVGFLGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGATVYYNLRLRHPTLDMPLIDYDLTLLFQPMLMLGISIGVSFSVMFADWMVTVLLIILFIGTSTKALFKGIDTWKKETMMRKDAAKQLESESKPEDGAEEDYKPLPSGPVVLPDDEVPLRENIYWKEVALLFYVWAGFLVVQIVQTYFPTCSVTYWILNSLQVPIAASVTLFEAICLCKGTRVIASKGKEITNWKLHQILLYCSCGIIAGMVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMAFSSSMSVVEYYLLNRFPVPYAAYFALVATISAFVGQHVVRKIIAFLGRASLIIFILALTIFVSAVSLGGVGIANMVEKLENEEYMGFENLCQQS >Potri.002G109400.1.v4.1 pep chromosome:Pop_tri_v4:2:8148483:8149969:-1 gene:Potri.002G109400.v4.1 transcript:Potri.002G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109400.v4.1 MERTLSPRNIEEEGPLSCWGRLKYLKFPWTKRRITSNTTTSPGQKRSAIGCNLTATLTRNNRKPKVPAGGRFRYSPLSYAQNFDDGSWDNDDIEDGEYLGFSSRFAPPPSRSLEEDKRQSAG >Potri.003G127700.1.v4.1 pep chromosome:Pop_tri_v4:3:14679089:14680234:-1 gene:Potri.003G127700.v4.1 transcript:Potri.003G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127700.v4.1 MAECYNLPQDCNNLAIQQLPGLILPPGSLKRSSKTHQFLPLKQIFNKDDNQDSPAPNKPLMLTIPLAGKYCGSYRGWLILEDRKEEAFTNKINKDMLVLNPVSGEKFELPDVSTLPPYGTDGVPSWYPRSCSIEKVVLSCCPNPSESNSCLVLAIYNYGQIAYCKLGNREWRSIGFGHSSIDHEGYLDAVYCHDRFYVLHVTKKLFVCNFDGSDLCINELINSVPRTRNSSFKTFEHLYLVEAQGELLIVVRRKDGGDQPALTSQFLLYKLDSDSYYRDKHEKVIDYDWDKHQVRSLGDYALFLGPTHMQPFTLPESEASSLKGNCIYYTDQSVNAKGCKNKQIRYDAGVYNLETACFEQFPTTSSTQKMHMIWITPHGIV >Potri.005G100900.1.v4.1 pep chromosome:Pop_tri_v4:5:7296018:7299433:-1 gene:Potri.005G100900.v4.1 transcript:Potri.005G100900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100900.v4.1 MGNCLRSFFYDPSPSSIANNNPSTPGTSNSTYSSTTIDVSATSSSIVCRSQFSEAASHDEGNEVISNANGQILESSNLEEFTFADLKRATKNFKSDTLLGEGGFGKVYKGWIDQKTYAPSKSGSGMVVAIKKLNSGSMQGLEEWQSEVNFLGRLSHPNLVKLLGFCWEDKELLLVYEFMPKGSLENHLFRRNIEPLSWDIRLKIAIGAARGLAFLHASEKQVIYRDFKASNILLDGKYNAKISDFGLAKLGPSGGESHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMLSGQRALDRKRPTGQQNLVEWLKPLLSHKKKLKTTIMDSRIEGQYSAKAMVQAAQLTLKCLKADPKNRPSMKEVVEVLEQIEAMKEKPKATKSTFASSHSKPHRRGQQPTQHRPLFIPGRRGPKYEHEVNIRKITKKVK >Potri.016G017100.1.v4.1 pep chromosome:Pop_tri_v4:16:887591:889550:1 gene:Potri.016G017100.v4.1 transcript:Potri.016G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017100.v4.1 MKKAELVFIPTTGISHLLSSVEVAKLLVDRDERLSITFLIMKLSSDPKIDRFINSVSTACNRIRFIDLPKDEPDPNQPRKFLFSLIEAQKPHVKEEVFKLVSQSESSPHSPSLAGFVLDMFCTSMIDVANEFGVPSYIFLTSGAACLGLQFYVQALHDEQKVDPTEFKGSDAELVMPCLANPLPAKVLPFFMLDKEWLPLFVGLARRFRESKGIIINTFEELESHAIDSFSKAKGNTPPVYPVGPILNLNRDDDCDEESDKYKDIKQWLDEQPLSSVVYLCFGSMGSFGADQVKEIACGLEQSGHRFLWSLRKPPPKGEMELHPSDYTDPRDVLPEGFLDRTTNIGKIIGWAPQTDILAHPSVGGFVSHCGWNSVLESIWFGVPIATWPLHAEQQLNAFMLIVELGLGVEIKMDYRREFNWDGSENVISAGEIERGVRCLMELCDEKREKLKEMSGKSRKALENGGSSFTWLGRFIQDTVDHLP >Potri.002G039200.1.v4.1 pep chromosome:Pop_tri_v4:2:2592170:2593394:1 gene:Potri.002G039200.v4.1 transcript:Potri.002G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039200.v4.1 METYQGEKNTKKQEKRRENAYRGIRRRPWGKFAAEIRDPTRNGTRRWLGTFDTAEEAARAYDRAAFAFRGHLAILNFPNEYQHQETNSTMSFASSSSFSTENPLSYGNEVSSTNGQEVIEFEYLDNKLLEELLETDDHSRQL >Potri.005G069900.1.v4.1 pep chromosome:Pop_tri_v4:5:4534862:4538116:1 gene:Potri.005G069900.v4.1 transcript:Potri.005G069900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069900.v4.1 MLPLKLVRSLVLGETINNPPPLLSHHHHHKDINTSYTHTSSDNNTDTTKTRAKTKTKTKTKTKTPLLLFLPNKELVTDTYRLTKIARDMGMDLYPTPSLSHIIFSYPSSCTSPSTSTSTPCTSSVSLSWTSTSSLLHLPNNAIPLPFPSLYSSSLTHLRSFVSLSKGLFKLAFINSKHTNKVMGGYESDSLYDSNWDCCSFSLFWRLTGDRIDSMDKFSRALAGVGWTLFKTKENNTPSDGIGGSKMVYLFRKVDSKPVYVVSRGNGGECRIRELRLPALDFRNTPLRILHYILLMTDDIFYLS >Potri.019G045532.1.v4.1 pep chromosome:Pop_tri_v4:19:6399607:6400399:-1 gene:Potri.019G045532.v4.1 transcript:Potri.019G045532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045532.v4.1 MHHLILQGNGEGHRTYLILRRRRRRRWWCWVLCFTVSSVSVRVASPLSAFLSLQRSVLLLAFIARGRECFW >Potri.002G012466.1.v4.1 pep chromosome:Pop_tri_v4:2:761633:762517:1 gene:Potri.002G012466.v4.1 transcript:Potri.002G012466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012466.v4.1 MATSHVRSISLPSRSHPLNGSVEDQLERLRSSQTTSTSAYHKLSGLKVLYECVDDFLQLPLSQQTLSNEQHKEGAEEVLNGSFLLLDVCSTTRDAFSSMKECLQQLESSLRRRKGGESGLATEVEAYMVSRKQINKTIRKCFRNLKSVEKKNPSAVDAVGMLRDVKEISLEIFQSLLSLASQPKARSSSHGWSVVSKLFQSKSVSCEGEATELEKIDAELLVLKSSKDINPVQVQNVLKGLEALESSFQEAEEELEGVYRKLVKTRVSILNTLSH >Potri.003G008800.1.v4.1 pep chromosome:Pop_tri_v4:3:822155:830707:1 gene:Potri.003G008800.v4.1 transcript:Potri.003G008800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008800.v4.1 MADIKKDSVSPPPQPPPSLPPTRNDDVDSRKASERPLLKKTKTTSSSIPDDTHFPGPLFPAVRRTAPPPLTPSTHHHLRPPLSDLRLSTNSNNHTTVNATNSSIDISSSSNNSSFSVDRDWMYPSFLGPHVARSRVTVKGRRGYNKVAAEAEAEEKEKHNTGATPSSITTSTDASAANKGKVKEEKLLKVDDDIKEVKTAAATQVLVTRSGVNRSRGFKSSSIFYLLNFTCTVFMSFSIYLSNKVAKLEEENINLRTVCSNKGGGGNDGIEVLQPEDYSSFYLGNADSRTVALYTVMFTLAIPFLLYKYLDYLPQIKTLSKRTMNNKEEAPLKKRVAYMVDVCFSVYPYAKLLALLFATIFLIGFGGLALYAVSDGSLAEALWLSWTFVADSGNHADRVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSIGGGVIVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLLFQDVLISFPEAIPCGVKVAAEGGKIKLNPDDNYALKEGDEILVIAEDDDTYAPGPLPEVCRSSCPKTMDPPKYPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEKEREKKLTDGGLDIHGLENITLVHREGNAVIKRHLENLPLETFDSILILADESLEDSIVHSDSRSLATLLLIRDIQLKRLPHRDAKPTSLRISGFSHSSWIREMQQASDKSIIISEILDSRTRNLVSVSRISDYVLSNELVSMALAMVAEDKQINRVLEELFAEEGNEMCIKPAEFYLFDQEEIPFYEIMIRGRQRNEIVIGYRLANAERAIINPPEKSEPRKWSLDDVFVVISLGD >Potri.003G008800.2.v4.1 pep chromosome:Pop_tri_v4:3:822234:830620:1 gene:Potri.003G008800.v4.1 transcript:Potri.003G008800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008800.v4.1 MADIKKDSVSPPPQPPPSLPPTRNDDVDSRKASERPLLKKTKTTSSSIPDDTHFPGPLFPAVRRTAPPPLTPSTHHHLRPPLSDLRLSTNSNNHTTVNATNSSIDISSSSNNSSFSVDRDWMYPSFLGPHVARSRVTVKGRRGYNKVAAEAEAEEKEKHNTGATPSSITTSTDASAANKGKVKEEKLLKVDDDIKEVKTAAATQVLVTRSGVNRSRGFKSSSIFYLLNFTCTVFMSFSIYLSNKVAKLEEENINLRTVCSNKGGGGNDGIEVLQPEDYSSFYLGNADSRTVALYTVMFTLAIPFLLYKYLDYLPQIKTLSKRTMNNKEEAPLKKRVAYMVDVCFSVYPYAKLLALLFATIFLIGFGGLALYAVSDGSLAEALWLSWTFVADSGNHADRVGTGPRIVSVSISSGGMLIFAMMLGLVSDAISEKVDSLRKGKSEVIEKNHILILGWSDKLGSLLKQLAIANKSIGGGVIVVLAERDKEEMEMDIAKLEFDFMGTSVICRSGSPLILADLKKVSVSKARAIIVLASDENADQSDARALRVVLSLTGVKEGLRGHVVVEMSDLDNEPLVKLVGGELIETVVAHDVIGRLMIQCALQPGLAQIWEDILGFENAEFYIKRWPQLDGLLFQDVLISFPEAIPCGVKVAAEGGKIKLNPDDNYALKEGDEILVIAEDDDTYAPGPLPEVCRSSCPKTMDPPKYPEKILFCGWRRDIDDMIMVLEALLAPGSELWMFNEVPEKEREKKLTDGGLDIHGLENITLVHREGNAVIKRHLENLPLETFDSMSHWKIPLCILTPDLLLPFSLFEIYS >Potri.011G125451.1.v4.1 pep chromosome:Pop_tri_v4:11:15937139:15937483:-1 gene:Potri.011G125451.v4.1 transcript:Potri.011G125451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125451.v4.1 MLSGLLSFPSLTTRLPVSKRSATESSAVLDEFDDQTISFVLLYRTSIPLLLIFRAPKVLESGTSLFATHITVRGDFLYHIPKKRFVEFPGLKNPSSNFPPVERRVAPSLMDWLG >Potri.001G234200.1.v4.1 pep chromosome:Pop_tri_v4:1:25293581:25297819:-1 gene:Potri.001G234200.v4.1 transcript:Potri.001G234200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234200.v4.1 MMGSVGSRRFICVLLSILSLVCLSSGFTPVDNYLIDCGSLTNTTVGNRVFVADNSASNFLSTPKNTFANASISVTSGDDSPLYQTARIFDGTSKYTFLINQPGRHWIRLYFYPFVSGSYNMSNASFGVSTLNYAFLSNFSVKASVVKEFSVNVTSKNLVITITPSGNSFAFLNALEVVSVPDELITDDAETFNPVGRFKGLSWQALETVHRVNMGGPTVSFENDTLGRTWVPDQSFLIQNNLAINVSNIAAVKYVVGGATQDSAPNAVYGTASRMNSDNNPSSNFNVTWEFNVQPGFQYLVRFHFCDIVSRNLNELYFDVYIDSWLVAENVDPSTIANTLAVAFYMDFVTAATVSNKLRVSIGPTNTISSAYPNAILNGLEIMKMNNSLGSLSGTAPAVANSSSKKNVGVIVGLSIGALILAVLAGIFFMFCRKRRRLARQGHSKTWIPFSINGGNSHTMGSKYSNGTATSLGYNLGYRIPFVAVQEATNSFDESWVIGIGGFGKVYRGVLNDGTKVAVKRGNPRSQQGLAEFQTEIEMLSQFRHRHLVSLIGYCDEKNEMILIYEYMENGTLKSHLYGSGSPTLSWKDRLEICIGAARGLHYLHTGYAKAVIHRDVKSANILLDENLMAKVADFGLSKTGPEIDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLLEVLCARPVIDPSLPREMVNLAEWAMKWQKRGQLEQIIDAALAGKIRPDSLRKFGETAEKCLADFGVDRPSMGDILWNLEYALQLQEAVLPGDPEENSTNMIGELSPQINNFSHSDDSVSAAQFEASSVDGLSGVSMSRVFSQLVKSEGR >Potri.012G134700.1.v4.1 pep chromosome:Pop_tri_v4:12:14957802:14959795:1 gene:Potri.012G134700.v4.1 transcript:Potri.012G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134700.v4.1 MAQSVSLITTCPLKLGFAPQRRVSSVKYGTSVFRSSTGRLRIRAVQENEGPRRLIDIIRTIPELSRNYFRRPSRRALFGGISLLGGFYVAQTISLSFGALGVNDVIAAVVCVLLTEYVTRFYYSRPKVTFPIALLNNFKMGFTYGLFIDAFKLAS >Potri.005G165300.1.v4.1 pep chromosome:Pop_tri_v4:5:16446677:16447805:-1 gene:Potri.005G165300.v4.1 transcript:Potri.005G165300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G165300.v4.1 MVSFQALPSPNGRKSIPELDDSSKKRKWEESQTEGILEKRSKPESTKSFFEEIELHRETPLPLEWQRCLDIQSGQIHFYNTRTHKRTSRDPRKTPEPPSPDHHMSLDLELNLPYDQSQRKRFANDHITKQNSGGSIRGFGDLFKDSSRDKESSGGLTRRPSWLASERDQEEMVATVCTRCHMLVMLCRSSPACPNCKFMHPPDQSSPKLFK >Potri.015G063300.1.v4.1 pep chromosome:Pop_tri_v4:15:8863113:8864664:-1 gene:Potri.015G063300.v4.1 transcript:Potri.015G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063300.v4.1 MSSRKSRSRQSGSSRINDDQILDLVTKLQQLLPETRNRRSEKVSAAKALQETCNYIKSLHREVDDLSERLSELLETTDTTQAAIIRNLLMQ >Potri.015G029700.2.v4.1 pep chromosome:Pop_tri_v4:15:2287590:2291865:-1 gene:Potri.015G029700.v4.1 transcript:Potri.015G029700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029700.v4.1 MMMMAVVLEGTHGFLPNSNQYILWFPPPPTTTTNQKPLSTPPRISKRHRTRLTKAAAAETQEIRVCTNRTCRKQGSFQTLEVVTDLAPPDITVKSSGCLGRCGSGPNVALLPQGIIVNHCGTAAKAAQFMATAANVSDGNISKSLEALALRKTAQAESDLANFSKAEELLSLAIDLQPFGGIHIMYKYRSLARLAMRNYSGALEDAREALRLAPRYLEAYMCEGDVFMAMEEYDAAEKSYLTCLQIDPSIRRSKSFKTRVEKLNKKLTAANMPLNQHE >Potri.014G054800.3.v4.1 pep chromosome:Pop_tri_v4:14:3489878:3492577:-1 gene:Potri.014G054800.v4.1 transcript:Potri.014G054800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054800.v4.1 MFLRYMFFFHETHLKERFLVPLSIYNTFPLKPPHSELTSTKTTMDPTSPENNDVKHQQNVVVMRHGDRIDNFEPSWITTATRPWDPPLVEAGRLRAFRTGRKLKTNLGFPIHRVFVSPFLRCIQTASEVVSVLCAVNDGPDIFSSHGVAIDPSKLKVSIEYGLCEMLNREAIRRVSVPKDGNFGFNIAELQALLPAGTVDRAVKPVYEELPQWEETVMGARTRYERVIKTLADKYPSENLLLVTHGEGVGVSVSAFLEDITVDAVEYCAYSQLRRRVFHKNKSFTAGEFEVLTHNGRTGIGYKIPVANGAMDDAM >Potri.003G187400.1.v4.1 pep chromosome:Pop_tri_v4:3:19224081:19226385:1 gene:Potri.003G187400.v4.1 transcript:Potri.003G187400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G187400.v4.1 MQPSTQHSRVNLVELKVQIVKRIGAERSKLYFYYLNKFLSLKLSKAEFNKLCVRVIGKDNVLIHNQFIRSILKNACNATVPPPPPSRDKEVPTSASDGSYSYPNGNADLASHLSTVTDDNIASEDGIQKLVQHHQEGEVFHHPAKLPLIKQSTDGLVSVHSKEQSEISEISTVTPLRAPLGIPFCTVSAGGSHRPLTLASKDRCASSYDSGGLLDTQTLRERMQQLATAHGLHDVSMDSASLLNSGLDAYLKRLVKSCIELINRKRGCDYSTRSNSQKNHSESKHVNGFLPGHHFQGQSSNMILNGTQEQRSHFPISLLDFKVAMELNPQELGEDWPLLLEKICTHPSEE >Potri.011G126051.1.v4.1 pep chromosome:Pop_tri_v4:11:16106885:16108340:-1 gene:Potri.011G126051.v4.1 transcript:Potri.011G126051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126051.v4.1 MVFSLPQLPTLSVDKVETKTSTGPSTKEFKDVFSNLALSRNPFDFPLLTDKERQLMKDMMRGKIGFIEADLEVDRVLEKVFTVPSDIFEMSDENFHHYLALTGEIIFNLRNSPVSFYF >Potri.003G217900.2.v4.1 pep chromosome:Pop_tri_v4:3:21293331:21299286:1 gene:Potri.003G217900.v4.1 transcript:Potri.003G217900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217900.v4.1 MSLDSVASNTHGNLDEQISQLMQCKPLSEQEVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Potri.003G217900.6.v4.1 pep chromosome:Pop_tri_v4:3:21293310:21298297:1 gene:Potri.003G217900.v4.1 transcript:Potri.003G217900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217900.v4.1 MIQVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Potri.016G041000.7.v4.1 pep chromosome:Pop_tri_v4:16:2581488:2586514:-1 gene:Potri.016G041000.v4.1 transcript:Potri.016G041000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041000.v4.1 MDISNEANVDHFKIGPSSIIGRTIAFRVLFCNSISHFRHKIFHVLLNYIYRFGDFVAPMFSWFHPRNPQGILVMMTIIAFLLKRYTNVKLRAETAYRRKFWRNMMRTALTYEEWSHAAKMLDKETPKMHECDLYDEELVRNKLQELRHRRQEGCLRDIIFCMRADLVRNLGNMCNPELHKDRLQVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLVPHIVAGSSVGSIMCAVVATRSWPELQSFFEDSWHSLQFFDQLGGIFTVVKRVMRQGVVHEIRQLQWMLRNLTSNLTFQEAYDMTGQILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSGAPMRRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKDIVRAYGGSFAAKLAHLTEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVNTATLAQYSKIIQNPTHVELQKASNLGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAASHGQASSASTLKFSASKRIPSWNCIARENSTGSLEEDLLVDVASTFHQGVGVAAGTSTGRSLRTQRNLHHDGSDSESEGVDLNSWTRSGGPLMRTTSANKFIDFVQSLDVDSELTKGFVCHPNSPGAQMGDRDLYNQISRLSTPDRNSESEFDPRDFSNRISPGGSSITVTEGDLLQHERILNGFVLNVVKKEDMAPSNRVHDKENHNSEVPECVQLDCPEKDMDASSSSDSAADDDDDPSPSNPLQRTASTLSHTDDPVIQDVQEKHVVDG >Potri.016G041000.10.v4.1 pep chromosome:Pop_tri_v4:16:2581282:2586128:-1 gene:Potri.016G041000.v4.1 transcript:Potri.016G041000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041000.v4.1 MDISNEANVDHFKIGPSSIIGRTIAFRVLFCNSISHFRHKIFHVLLNYIYRFGDFVAPMFSWFHPRNPQGILVMMTIIAFLLKRYTNVKLRAETAYRRKFWRNMMRTALTYEEWSHAAKMLDKETPKMHECDLYDEELVRNKLQELRHRRQEGCLRDIIFCMRADLVRNLGNMCNPELHKDRLQVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLVPHIVAGSSVGSIMCAVVATRSWPELQSFFEDSWHSLQFFDQLGGIFTVVKRVMRQGVVHEIRQLQWMLRNLTSNLTFQEAYDMTGQILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSGAPMRRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKDIVRAYGGSFAAKLAHLTEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVNTATLAQYSKIIQNPTHVELQKASNLGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAASHGQASSASTLKFSASKRIPSWNCIARENSTGSLEEDLLVDVASTFHQGVGVAAGTSTGRSLRTQRNLHHDGSDSESEGVDLNSWTRSGGPLMRTTSANKFIDFVQSLDVDSELTKGFVCHPNSPGAQMGDRDLYNQISRLSTPDRNSESEFDPRDFSNRISPGGSSITVTEGDLLQHERILNGFVLNVVKKEDMAPSNRVHDKENHNSEVPECVQLDCPEKDMDASSSSDSAADDDDDPSPSNPLQRTASTLSHTDDPVIQDVQEKHVVDG >Potri.016G041000.8.v4.1 pep chromosome:Pop_tri_v4:16:2581501:2586528:-1 gene:Potri.016G041000.v4.1 transcript:Potri.016G041000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041000.v4.1 MDISNEANVDHFKIGPSSIIGRTIAFRVLFCNSISHFRHKIFHVLLNYIYRFGDFVAPMFSWFHPRNPQGILVMMTIIAFLLKRYTNVKLRAETAYRRKFWRNMMRTALTYEEWSHAAKMLDKETPKMHECDLYDEELVRNKLQELRHRRQEGCLRDIIFCMRADLVRNLGNMCNPELHKDRLQVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLVPHIVAGSSVGSIMCAVVATRSWPELQSFFEDSWHSLQFFDQLGGIFTVVKRVMRQGVVHEIRQLQWMLRNLTSNLTFQEAYDMTGQILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSGAPMRRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKDIVRAYGGSFAAKLAHLTEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVNTATLAQYSKIIQNPTHVELQKASNLGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAASHGQASSASTLKFSASKRIPSWNCIARENSTGSLEEDLLVDVASTFHQGVGVAAGTSTGRSLRTQRNLHHDGSDSESEGVDLNSWTRSGGPLMRTTSANKFIDFVQSLDVDSELTKGFVCHPNSPGAQMGDRDLYNQISRLSTPDRNSESEFDPRDFSNRISPGGSSITVTEGDLLQHERILNGFVLNVVKKEDMAPSNRVHDKENHNSEVPECVQLDCPEKDMDASSSSDSAADDDDDPSPSNPLQRTASTLSHTDDPVIQDVQEKHVVDG >Potri.016G041000.9.v4.1 pep chromosome:Pop_tri_v4:16:2581501:2586332:-1 gene:Potri.016G041000.v4.1 transcript:Potri.016G041000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041000.v4.1 MDISNEANVDHFKIGPSSIIGRTIAFRVLFCNSISHFRHKIFHVLLNYIYRFGDFVAPMFSWFHPRNPQGILVMMTIIAFLLKRYTNVKLRAETAYRRKFWRNMMRTALTYEEWSHAAKMLDKETPKMHECDLYDEELVRNKLQELRHRRQEGCLRDIIFCMRADLVRNLGNMCNPELHKDRLQVPKLIKEYIDEVSTQLRMVCDSDSEELSLEEKLAFMHETRHAFGRTALLLSGGASLGAFHVGVVKTLVEHKLVPHIVAGSSVGSIMCAVVATRSWPELQSFFEDSWHSLQFFDQLGGIFTVVKRVMRQGVVHEIRQLQWMLRNLTSNLTFQEAYDMTGQILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEEGSGAPMRRWRDGSLEIDLPMIQLKELFNVNHFIVSQANPHIAPLLRLKDIVRAYGGSFAAKLAHLTEMEVKHRCNQVLELGFPLGGLAKLFAQDWEGDVTVVNTATLAQYSKIIQNPTHVELQKASNLGRRCTWEKLSAIKANCGIELALDECVAILNHMRRLKRSAERAAAASHGQASSASTLKFSASKRIPSWNCIARENSTGSLEEDLLVDVASTFHQGVGVAAGTSTGRSLRTQRNLHHDGSDSESEGVDLNSWTRSGGPLMRTTSANKFIDFVQSLDVDSELTKGFVCHPNSPGAQMGDRDLYNQISRLSTPDRNSESEFDPRDFSNRISPGGSSITVTEGDLLQHERILNGFVLNVVKKEDMAPSNRVHDKENHNSEVPECVQLDCPEKDMDASSSSDSAADDDDDPSPSNPLQRTASTLSHTDDPVIQDVQEKHVVDG >Potri.017G014900.2.v4.1 pep chromosome:Pop_tri_v4:17:1029851:1033584:1 gene:Potri.017G014900.v4.1 transcript:Potri.017G014900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014900.v4.1 MALELIGGSILSALIEVLVDRLASRDVLGFFKSHELDGGLLEKLNETLNTVNGLLDDAEEKQITKRAVKNWLNDVKHAVYEAEDILEEIDYEYLRSKDIDAPRPDSNWVRNLVPFLNPANGRMKEMEAELQKILEKLERLLKQKGDLRHIEGTGRWRPLSEKTTPLVNESHVYGRDSDKEAIMEFLLTQHNTDGSNLCAVPIVGMGGIGKTTLAQLIYNEERVEQCFQLKAWVWASQQFDVARIIKDILEKINASTCRTKEPDESLMEAVKGKKLLLVLDDAWNIEYNEWDKLLLPLRYVEHGSKIVVTTREEDVAKVTQTVIPSHRLNVISDEYCWKLFARDAFSGVNSGAVSHLEAFGREIARKCKGLPLAAKTLGGLLHSVGDVKQWEKISNSSMWGSSNENIPPALTLRYYYLPSHLKRCFAYCAIFPKGYEFHKDELITEWMAHGFLVQPRGVEEMEDIGEKYFDDLVSRSLFQQSTREYSFFSMHDLISDLAEYVSGEFCFKLGINESGSGLEGEHSCSLPERTRYLSITSAAAYGGGLRIFRSIHGVQHLRALFPLKFFVEVDIEALNDILPNLKRLRMLSLCHPKDISSQLLNSIGNLKHLHISSQLLNSIGNLKHLRHLDLSCTAIEMLPKSVCTLYYLQSLLLKECRHLMELPSNLSNLVDLQHLDIEGTNLKEMPPKMGKLTKLRILDSYIVGKDSGSSMKGLGKLSHIRKKLSIRNLRDVANAQDALDANLKGKKKIEELGLTWDGSTDDTPHERDVLEKLEPSEDVKELAIIGYGGTTFPGWLGNSSFSNMVTLLLYGCKNCILLPPLGQLPSLEELHIEGFDDVVAVSSEFYGSDPLIVKPFKSLKILTFAGMKKWQEWKTDVDGAFPHLEKLLIAGCPELANALCCNPILDSPRFS >Potri.005G082901.1.v4.1 pep chromosome:Pop_tri_v4:5:5658444:5669657:1 gene:Potri.005G082901.v4.1 transcript:Potri.005G082901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082901.v4.1 MTRVGKRQRPAKSTAGVKEEAAENGGSEEEVKESGGLVEGSEIEGKVEETCHFDKSKDVSNKGNREWKVKESESRVSEEEREGNGVEEGRECDLKENANKGKKKKKGKKKESDNEKEKEKVKEGNDEKGVSSVVKEKKRVKFAEKEVASVEEGEFGDKSEVRFVKQEERGFAWKEKQEAGTEEEEEIAVDNGKEGKLDGKREEKGKRKREKKGGKLRNQEVDDEEGKEIGELGVEGKEKVKFVENEGENSEKGEKKGAELGNEEETVVGVEENGGPLKKRPRKNEKKVNYAEIDSALDEVVFGEKHRKSQKEDGVSDSRKKKALLENDGLQREKKSENGDVNNEEKGTALGNEEVESEEGKETVVGVEENGGPLKKRLRKTEKKVNYAEIDNALDELVFGEKHRKSRKKVGVSESRQKKGVSECDGLESEKKRENGDVNSGTKRASQKGKKKQEAQEKIKGEEEMEESGEGKGEGDSLVICTGTGHGPRSQKEQAGQNSKSWRTSQFTEEACLMCHQCQRSDKGRVIRCLKCKRKRYCIPCLTKWYPKMTEDDIASACPVCLGNCNCKSCLRLDAPVKDLKNLNLEVSEEEEVQHSKFLLCSLLPFLKRLDAEQMTEREIEARIRGVPPADLQIENASCPADERMFCDNCRTSIFDYHRSCSNCSSDLCLLCCREIRAGCLQGGGPDVVMEYIDRGFKYMHGEHEEIKDELLTGSPKKTVSEDFIGPKSGWKANEDGSIHCACGSGNLQLKCLFPNTEVNFSVSVSVSELVKKVEDVLKNCEIDSANAPVELRMCFNSNGNRDICNGNELLKAACREDSDDNYLFNPKAKDIMEDDLKHFQFHWKRAEPVIVSNVLETASGLSWEPMVMWRAFRQIKHEKHGTLLDVKAIECLSCCEVEINVHKFFTGYTEGRFDGKNWPQILKLKDWPPYKTFGESLPRHDVEFTCCLPFKEYTDRRSGPLNLAIRLPQNSLKPDMGPKTYIAYGFPIELGRGDSVTKLHCDMSDAVNVLTHTAEVSYNDGQLAEIQNLKLLHFKQDQRELFGYDQNVDKFDVNKNGGVSGKSTEKEEVVQGKIYESGPLNCANELEWPDALDGGAVWDIFRREDVPKLQEYLDKHFKEFRHIHCCPLQKVVHSIHDQTFYLTLEHKRKLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVALDFVSPENVGECIRLTEEFRLLPPNHQAKEDKLEIKKMLLHAARRAVDFLMKDGKVEKTEKV >Potri.014G179700.1.v4.1 pep chromosome:Pop_tri_v4:14:13712923:13715675:1 gene:Potri.014G179700.v4.1 transcript:Potri.014G179700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179700.v4.1 MGKDEDEMRGEIEERLINEEYKIWKKNTPFLYDLVITHALEWPSLTVEWLPDREEPPGKDYSVQKMILGTHTSENEPNYLMLAQVQLPLDDAENDARHYDDDRSDFGGFGAANGKVQIIQQINHDGEVNRARYMPQNPFMIATKTVSAEVYVFDYSKHPSKPPLDGACTPDLRLRGHSTEGYGLSWSKFKEGYLLSGSDDAQICLWDINTTPKNKSLDAMQIFKVHEGVVEDVAWHLRHEHLFGSVGDDQYLLIWDLRTPSVTKPVHSVVAHQSEVNCLAFNPFNEWVVATGSTDKTVKLFDIRKINTALHTFNCHKEEVFQVGWNPKNETILASCCLGRRLMVWDLSRIDDEQTPEDAEDGPPELLFIHGGHTSKISDFSWNPCEDWVVASVAEDNILQIWQMAENIYHDEDDIPADESTKDS >Potri.010G018401.1.v4.1 pep chromosome:Pop_tri_v4:10:2794392:2795268:-1 gene:Potri.010G018401.v4.1 transcript:Potri.010G018401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018401.v4.1 MQEIRGAKKFRHVSIEPSLKNKFDRMYSNIVATGAFAWAPSSDVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGEKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.001G326800.1.v4.1 pep chromosome:Pop_tri_v4:1:33604041:33607958:-1 gene:Potri.001G326800.v4.1 transcript:Potri.001G326800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326800.v4.1 MARLGTELAVRISRALITESNSSIPTRSWNPLLEQTLHKIGCRDSLSQSLVARVIDPHLLTHHSLALGFFNWASQQPGFTHNSLTYHSVLKSLSFSRQFNAIESLLKRAKAQNLTLDSSIYRFVVDSLIKRGKTQMAFSVFNEIKSQSLDLGTETSNSLLASLGSDGCFNNAMKVFDEMNNRGIGFSTIGFGVFIWRLCRNGDLGEVLRLVDEVEGRNSLINGSVIAVLIVHGLCEGSRTSEALRALNELRIRGWKPDFIAYRVVAEAFRSLGSVFDVNEVLKMKRKLGVAPRSNDYREFILGLITERRIYEAKELGEVIASGNFPMEDDVLNALIGSVSTIDPYSAMKFFHFVIGKGKFPTLLTLSNLCRNLCKHGKIDELLEVYRVLSSNEYFSDMESYNVMFSFLCMGGRVREAYEVLQEMRKKGLDPDISMYNSLIEVLCREDLLRPAKRLWDEMFVIGCGGNLKTYNILIGKFSEIGQIEEATRLFNHMLEKGVTPDATTHRFLLEALCQETMFETAVDVFYKHVNHDVMLAQNILKTLILNLCGKGHFLVASKFLCDLTHDVSHSDAHVVLLKCLADSEEVPIAVEHAKQIRGNSPSMLQVICTKLLAFSSSSSNPEPILHLLQALSQERVISIDFGNDSWKHVCSKSLK >Potri.001G326800.4.v4.1 pep chromosome:Pop_tri_v4:1:33604043:33608071:-1 gene:Potri.001G326800.v4.1 transcript:Potri.001G326800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326800.v4.1 MARLGTELAVRISRALITESNSSIPTRSWNPLLEQTLHKIGCRDSLSQSLVARVIDPHLLTHHSLALGFFNWASQQPGFTHNSLTYHSVLKSLSFSRQFNAIESLLKRAKAQNLTLDSSIYRFVVDSLIKRGKTQMAFSVFNEIKSQSLDLGTETSNSLLASLGSDGCFNNAMKVFDEMNNRGIGFSTIGFGVFIWRLCRNGDLGEVLRLVDEVEGRNSLINGSVIAVLIVHGLCEGSRTSEALRALNELRIRGWKPDFIAYRVVAEAFRSLGSVFDVNEVLKMKRKLGVAPRSNDYREFILGLITERRIYEAKELGEVIASGNFPMEDDVLNALIGSVSTIDPYSAMKFFHFVIGKGKFPTLLTLSNLCRNLCKHGKIDELLEVYRVLSSNEYFSDMESYNVMFSFLCMGGRVREAYEVLQEMRKKGLDPDISMYNSLIEVLCREDLLRPAKRLWDEMFVIGCGGNLKTYNILIGKFSEIGQIEEATRLFNHMLEKGVTPDATTHRFLLEALCQETMFETAVDVFYKHVNHDVMLAQNILKTLILNLCGKGHFLVASKFLCDLTHDVSHSDAHVVLLKCLADSEEVPIAVEHAKQIRGNSPSMLQVICTKLLAFSSSSSNPEPILHLLQALSQERVISIDFGNDSWKHVCSKSLK >Potri.012G080900.3.v4.1 pep chromosome:Pop_tri_v4:12:10547667:10553431:1 gene:Potri.012G080900.v4.1 transcript:Potri.012G080900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080900.v4.1 MALNILSPAEIKAISFLDSTKSNRLPKLQGGLSLKRKDCGGRRIQCSVQNQNQPPPAWPGRACPEPGRKTWDGPKPISIVGSTGSIGTQTLDIVAENPDKFKVVALAAGSNVTLLADQVRTFKPQLIAVRNESLVDEIKEALADVEEKPEIIPGEQGVVEVARHPDAVSVVTGIVGCAGLKPTVAAIEAGKDICLANKETLIAGGPFVLPLAHKYNVKILPADSEHSAIFQCIQGLPEGALRRIILTASGGAFRDWPVEKLKEVKVADALKHPNWNMGKKITVDSATLFNKGLEVIEAHYLFGADYDNIDIVIHPQSIIHSMIETQDSSVLAQLGWPDMRLPILYTMSWPDRVYCSEITWPRLDLCKLGSLTFKAPDNVKYPSMDLAYAAGRAGGTMTGVLSAANEKAVEMFIDEKISYLDIFKVVELTCDKHQAELVVSPSLEEIIHYDLWAREYAANLQHSSGPSPVFA >Potri.013G042500.1.v4.1 pep chromosome:Pop_tri_v4:13:2923985:2927235:1 gene:Potri.013G042500.v4.1 transcript:Potri.013G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042500.v4.1 MHTNIHALLSLACFALVFNALYVSGIGSQPRSLSLNCGSENGGTDGDGRKWESDVKYIAGNYPHARAQYQDSSIVSEVPYMDARIFTSEVTYNLPIMLKTRYWLRLYFYPSEYSGLNIANSYFSVVAGGVTLLNNFSASITAQALTQAYLIKEYSLAPMNHQILNVTFKPADRPDAFAFINAIELVPMTDLFGSGTMVGFADQNFDAESANLETMYRLNVAGQYISPTKDSGNLTRTWYNDAPYLFGAATGVNLQTNESYKVQYGELTESVAPPDVYRTARGMGYHKDLNLAFNLTWLFQADANFTYVVRLHFCEFQLTKVNQKVFNIYINNQTAQVEPNAADIIGWTGEIGVPTYKDYAVFVKDRPGDEEIRVDLHPATYSKPEFYDATLNGIEVFKMSDRNNNLAGPNPVLSEMQAQHIAKASHKKFQTDHKTLTIVGTAGGVGFFFVAAACIAAYRRKKIIPGFDSHTSSWLPVYGNSHTGTKSTISGKSTQSGHLSSLAQGLSRHFTLPEILRATKNFDDSNVIGVGGFGKVYKGVIDQTTKVAIKRSNPQSEQGVNEFMTEIEMLSKLRHKHLVSLIGFCDEDGEMCLVYDYMALGTMREHLYNTKKPRLSWKQRLEVCVGAARGLHYLHTGAKYTIIHRDVKSTNILLDENWVAKVSDFGLSKTGPDMDKGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCGRPALNPNLPKEQVSLADWALHCQRKGIIEDIIDPHVKGKITTECLKKFADTAEKCLAESGPERPNMGDVLWNLELALQLQDNPEGSKRSSKGEGSETSEESIRNRKLEMHYSNLSLGSNSEKTAESDDSGDIFSQIVNPKGR >Potri.016G138400.3.v4.1 pep chromosome:Pop_tri_v4:16:14188594:14192650:1 gene:Potri.016G138400.v4.1 transcript:Potri.016G138400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138400.v4.1 MVSSQLPGLTKNRLLFPVNPSDTVVSDHVELDFTDVFGPLPSIDVNCGDPLSVGDGSELIYDDPVVVHNRSHSLVGPSSYVSQSLKLSKLNLQETEDSLELVECVLDETIKELEESFIDDDAVEKDMEDVSGDTSKVQTVGIEDFEVLKVVGQGAFGKVYQVRKKGTPEIYAMKVMRKDRIVEKNHVEYMRGERDILTKIDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYNHGLFREDLACIYAAEIASAVSHLHANGIMHRDLKPENILLDSDGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGKGHDKAADWWSVGILLYEMLTGKPPFIGGNRNKIQQKIVKDKIKLPSFLSSEAHSLLKGLLNKDAAKRLGSGSLGSEEIKRHKWFKPINWKKLDAREIQPSFRPEVAGKHCIANFDKCWTDMKLSDSPAASPKTNTNPFVNFTYVRPAASFLKQNSPLC >Potri.016G138400.5.v4.1 pep chromosome:Pop_tri_v4:16:14188471:14192645:1 gene:Potri.016G138400.v4.1 transcript:Potri.016G138400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138400.v4.1 MVSSQLPGLTKNRLLFPVNPSDTVVSDHVELDFTDVFGPLPSIDVNCGDPLSVGDGSELIYDDPVVVHNRSHSLVGPSSYVSQSLKLSKLNLQETEDSLELVECVLDETIKELEESFIDDDAVEKDMEDVSGDTSKVQTVGIEDFEVLKVVGQGAFGKVYQVRKKGTPEIYAMKVMRKDRIVEKNHVEYMRGERDILTKIDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYNHGLFREDLACIYAAEIASAVSHLHANGIMHRDLKPENILLDSDGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGKGHDKAADWWSVGILLYEMLTGKPPFIGGNRNKIQQKIVKDKIKLPSFLSSEAHSLLKGVNFMFLYIIFGA >Potri.016G138400.4.v4.1 pep chromosome:Pop_tri_v4:16:14188479:14192645:1 gene:Potri.016G138400.v4.1 transcript:Potri.016G138400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138400.v4.1 MVSSQLPGLTKNRLLFPVNPSDTVVSDHVELDFTDVFGPLPSIDVNCGDPLSVGDGSELIYDDPVVVHNRSHSLVGPSSYVSQSLKLSKLNLQETEDSLELVECVLDETIKELEESFIDDDAVEKDMEDVSGDTSKVQTVGIEDFEVLKVVGQGAFGKVYQVRKKGTPEIYAMKVMRKDRIVEKNHVEYMRGERDILTKIDHPFIVQLKYSFQTKYRLYLVLDFINGGHLFFQLYNHGLFREDLACIYAAEIASAVSHLHANGIMHRDLKPENILLDSDGHAMLTDFGLAKEFDENTRSNSMCGTVEYMAPEIVQGKGHDKAADWWSVGILLYEMLTGKPPFIGGNRNKIQQKIVKDKIKLPSFLSSEAHSLLKGLLNKDAAKRLGSGSLGSEEIKRHKWFKPINWKKLDAREIQPSFRPEVAGKHCIANFDKCWTDMKLSDSPAASPKTNTNPFVNFTYVRPAASFLKQNSPLC >Potri.014G001100.1.v4.1 pep chromosome:Pop_tri_v4:14:127366:130868:1 gene:Potri.014G001100.v4.1 transcript:Potri.014G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001100.v4.1 MGFFGFNGSSLYSSLKSSMDFWFQNERLNFCYGCAILFVLLCIILYNFSTRLLRFFSSSSSSSSSSSRRHSVSFSSPAASATDIASSNSRVSEIVSVADLKFLIENLDEKLIENEKWENVTNKRNNLLAYTAKCFKPKDAPIKYLSLTVFENCTMEVLRDFYMDNEYRKQWDKTVVEHEQLQVDRTNGTEIGCTIKKFPLLTPREYVLAWRLWEGKDKTFYCFIKECEYPLAVRQRRFVRVKFYRSGWRISKVPGRNACQITMFHQEDAGLNLEMAKLAFSRGIWSYVCKMNDALRKYSLMSHPWTGPAVTAVTLIQKVPPELETLNTVVDTQATSTLIAAQGLDTGEAKEKKFLRRPSKKIVANGLLLLGGVIFLSRGHSSLGAKVAMAYILTKLRKHDASSGQERER >Potri.003G198501.1.v4.1 pep chromosome:Pop_tri_v4:3:20021391:20024064:1 gene:Potri.003G198501.v4.1 transcript:Potri.003G198501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G198501.v4.1 MSSMESLILQLHEISAVKFGSFKLKSGITSPVYIDLRLIISYPSLLRHISQTLITSIPATTTFDLICGVPYTALPIATTVSVSNNIPMVMRRKEVKDYGTSKSIEGNFQKDQTCLIVEDLVTSGTSVLETALPLRAAGLKVTDAVVLIDREQGGRENLEENGIKLHAIIKLSEMVRVLREKGKLEEEVEKGVLKFLEENRKVSVVKGVDKSVRVKVSGFEERAKLAKNEMGKRLFEVMVKKESNLCVAADVGTAKELLELAEKVGPEICLLKTHVDILPDFNPDFGAKLRAIADKYNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNCHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNFAKGDYTAAAVKIAEEHSDFVIGFISVNPASWPGAPVNPAFIQATPGVQMVTGGDALGQQYNTPYSVIFDRGSDIIIVGRGIIKAADPAEAAREYRLQGWDAYLEKCT >Potri.017G060300.2.v4.1 pep chromosome:Pop_tri_v4:17:4938501:4941473:-1 gene:Potri.017G060300.v4.1 transcript:Potri.017G060300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060300.v4.1 MTRKMRGDALLFFSLLVLSDAMPSGKIGVNYGLLGNNLPSAGQSIESLRAMKAGSVKLYDANPEILRLLAGTDIRVSIMVPNYEIINIATNQTSANKWVEDNVLAYHPETMIRTILVGNEVLSYCSDEGKRIWNHLVPAMRRIKISLRAQDIRNIKVGTPLAMDVLQTAFPPSTATFRPDISTTVMVPLLKFLNSTKSFFFIDVYPYFPWSENPININLDYALFQSNIKYTDPGSGLVYTNLLDQMLDSLVFAMTKLGFHSIRLSIAETGWPNAGDIGETGASIYNAATYNRNLVTKMTGQPLIGTPARPGLFIPAFIFSLYDENQKTGPGTERHWGLLHPNGTSIYQIDLTGKRASSDYEPLPATHNDMPYEGKSWCVATPDVNLTELERALTFACSQGNGTCEALTPGKECYEPLSVIWHASFAFSSYWAKFRSQGANCYFNGLAVQTTSDPSRGLCQFPSVTI >Potri.017G060300.3.v4.1 pep chromosome:Pop_tri_v4:17:4938497:4941476:-1 gene:Potri.017G060300.v4.1 transcript:Potri.017G060300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060300.v4.1 MTRKMRGDALLFFSLLVLSDAMPSGKIGVNYGLLGNNLPSAGQSIESLRAMKAGSVKLYDANPEILRLLAGTDIRVSIMVPNYEIINIATNQTSANKWVEDNVLAYHPETMIRTILVGNEVLSYCSDEGKRIWNHLVPAMRRIKISLRAQDIRNIKVGTPLAMDVLQTAFPPSTATFRPDISTTVMVPLLKFLNSTKSFFFIDVYPYFPWSENPININLDYALFQSNIKYTDPGSGLVYTNLLDQMLDSLVFAMTKLGFHSIRLSIAETGWPNAGDIGETGASIYNAATYNRNLVTKMTGQPLIGTPARPGLFIPAFIFSLYDENQKTGPGTERHWGLLHPNGTSIYQIDLTGKRASSDYEPLPATHNDMPYEGKSWCVATPDVNLTELERALTFACSQGNGTCEALTPGKECYEPLSVIWHASFAFSSYWAKFRSQGANCYFNGLAVQTTSDPSRGLCQFPSVTI >Potri.013G041300.1.v4.1 pep chromosome:Pop_tri_v4:13:2835018:2836920:-1 gene:Potri.013G041300.v4.1 transcript:Potri.013G041300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041300.v4.1 MEFERDLNLDATELRLGLPGTATKQSEKQTPNSNLAKSNKRSLPDMNEEPAGSSRENSSTVSSNDKKSHDQETAPPIKAQVVGWPPIRSYRKNCLQAKKLEAEAAGLYVKVSMDGAPYLRKIDLKVYKGYPELLKALEEMFKSKVGEYSEREGYNGSEHVPTYEDKDGDWMLVGDVPWDMFINSCKRLRIMKESEARGLGCAV >Potri.017G109300.4.v4.1 pep chromosome:Pop_tri_v4:17:11738716:11741083:-1 gene:Potri.017G109300.v4.1 transcript:Potri.017G109300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109300.v4.1 MATKVLPPKQLQNLRFFITRSLNTSSSSSSKAAAASPLNLAEKPEPPIQEPPTIIQEHAKPSILDFNDHQKLFSNLPTTKLLHASSILHLVSIGPLVDFGMWVMNSRIMETDNIVRDVVLKTVRHTFFKHFCAGEDVVEARRCFERVNEAGLRVMLDFAVEYTSNNDACDQNLKGFLDSVQLAMSLPPSSVSSVVAKVTAMCPLSLLERVSDLLRWQQRDPSFNLPWKQNSFPIFSDSSPLYHTLKKPEPLTPQEENDLQLGQERLWKLCEKCVQVNIPLTVDAEHISVQPAIDYLTYLTAIKYNKNDNPIVYGTIQAYLKDAKERLLLATKAADKMGVPMGIKLVRGAYMSSERKTASSLGYESPIHNSIQETHACYNDCVSFMLEKIANSSNAVILATHNVESGKEMKETHV >Potri.017G109300.1.v4.1 pep chromosome:Pop_tri_v4:17:11737928:11741096:-1 gene:Potri.017G109300.v4.1 transcript:Potri.017G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109300.v4.1 MATKVLPPKQLQNLRFFITRSLNTSSSSSSKAAAASPLNLAEKPEPPIQEPPTIIQEHAKPSILDFNDHQKLFSNLPTTKLLHASSILHLVSIGPLVDFGMWVMNSRIMETDNIVRDVVLKTVRHTFFKHFCAGEDVVEARRCFERVNEAGLRVMLDFAVEYTSNNDACDQNLKGFLDSVQLAMSLPPSSVSSVVAKVTAMCPLSLLERVSDLLRWQQRDPSFNLPWKQNSFPIFSDSSPLYHTLKKPEPLTPQEENDLQLGQERLWKLCEKCVQVNIPLTVDAEHISVQPAIDYLTYLTAIKYNKNDNPIVYGTIQAYLKDAKERLLLATKAADKMGVPMGIKLVRGAYMSSERKTASSLGYESPIHNSIQETHACYNDCVSFMLEKIANSSNAVILATHNVESGRLAATKAIDLGIEKRNQKLEFAQLYGMSDALSFGLSNAGFLVSKYTPYGSIEMVIPYLLRRAEENRGLLSASSIDRELTRKELKRRLKAAIF >Potri.017G109300.5.v4.1 pep chromosome:Pop_tri_v4:17:11738573:11741083:-1 gene:Potri.017G109300.v4.1 transcript:Potri.017G109300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109300.v4.1 MATKVLPPKQLQNLRFFITRSLNTSSSSSSKAAAASPLNLAEKPEPPIQEPPTIIQEHAKPSILDFNDHQKLFSNLPTTKLLHASSILHLVSIGPLVDFGMWVMNSRIMETDNIVRDVVLKTVRHTFFKHFCAGEDVVEARRCFERVNEAGLRVMLDFAVEYTSNNDACDQNLKGFLDSVQLAMSLPPSSVSSVVAKVTAMCPLSLLERVSDLLRWQQRDPSFNLPWKQNSFPIFSDSSPLYHTLKKPEPLTPQEENDLQLGQERLWKLCEKCVQVNIPLTVDAEHISVQPAIDYLTYLTAIKYNKNDNPIVYGTIQAYLKDAKERLLLATKAADKMGVPMGIKLVRGAYMSSERKTASSLGYESPIHNSIQETHACYNDCVSFMLEKIANSSNAVILATHNVESDWQRRRQ >Potri.017G109300.3.v4.1 pep chromosome:Pop_tri_v4:17:11738202:11741100:-1 gene:Potri.017G109300.v4.1 transcript:Potri.017G109300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109300.v4.1 MATKVLPPKQLQNLRFFITRSLNTSSSSSSKAAAASPLNLAEKPEPPIQEPPTIIQEHAKPSILDFNDHQKLFSNLPTTKLLHASSILHLVSIGPLVDFGMWVMNSRIMETDNIVRDVVLKTVSSVVAKVTAMCPLSLLERVSDLLRWQQRDPSFNLPWKQNSFPIFSDSSPLYHTLKKPEPLTPQEENDLQLGQERLWKLCEKCVQVNIPLTVDAEHISVQPAIDYLTYLTAIKYNKNDNPIVYGTIQAYLKDAKERLLLATKAADKMGVPMGIKLVRGAYMSSERKTASSLGYESPIHNSIQETHACYNDCVSFMLEKIANSSNAVILATHNVESGRLAATKAIDLGIEKRNQKLEFAQLYGMSDALSFGLSNAGFLVSKYTPYGSIEMVIPYLLRRAEENRGLLSASSIDRELTRKELKRRLKAAIF >Potri.017G109300.2.v4.1 pep chromosome:Pop_tri_v4:17:11738716:11741093:-1 gene:Potri.017G109300.v4.1 transcript:Potri.017G109300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109300.v4.1 MATKVLPPKQLQNLRFFITRSLNTSSSSSSKAAAASPLNLAEKPEPPIQEPPTIIQEHAKPSILDFNDHQKLFSNLPTTKLLHASSILHLVSIGPLVDFGMWVMNSRIMETDNIVRDVVLKTVRHTFFKHFCAGEDVVEARRCFERVNEAGLRVMLDFAVEYTSNNDACDQNLKGFLDSVQLAMSLPPSSVSSVVAKVTAMCPLSLLERVSDLLRWQQRDPSFNLPWKQNSFPIFSDSSPLYHTLKKPEPLTPQEENDLQLGQERLWKLCEKCVQVNIPLTVDAEHISVQPAIDYLTYLTAIKYNKNDNPIVYGTIQAYLKDAKERLLLATKAADKMGVPMGIKLVRGAYMSSERKTASSLGYESPIHNSIQETHACYNDCVSFMLEKIANSSNAVILATHNVESGRLAATKAIDLGIEKRNQKLEFAQLYGMSDALSFGLSNAGFLVSKYTPYGSIEMVIPYLLRRAEENRGLLSASSIDRELTR >Potri.019G049500.2.v4.1 pep chromosome:Pop_tri_v4:19:8150273:8154918:-1 gene:Potri.019G049500.v4.1 transcript:Potri.019G049500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049500.v4.1 MMSVATVEPPKPELSPPQNQNAPSSHETDHIFRSKLPDITISNHLPLHAYCFENLSDFSDRPCLISGSTGKTYSFAETHLISRKVAAGLSNLGIKKGDVIMTLLQNCPEFVFSFMGASMIGAVTTTVNPFYTPGEIFKQFSASRAKLIITQSQHVNKLRDSDCHENNQKPEEDFIVITIDDPPENCLHFNVLVEANESEMPTVSIHPDDPVALPFSSGTTGLPKGVILTHKSLITSVAQQVDGEIPNLYLKQDDVVLCVLPLFHIFSLNSVLLCSLRAGSAVLLMQKFEIGSLLELIQKHNVSVAAVVPPLVLALAKNPMVANFDLSSIRVVLSGAAPLGKELEEALRSRVPQAILGQGYGMTEAGPVLSMCLAFSKQPLPTKSGSCGTVVRNAELKVIDPETGSSLGRNQPGEICIRGSQIMKGYLNDAEATANIIDVEGWLHTGDIGYVDDDDEIFIVDRVKEIIKFKGFQVPPAELEALLVNHPSIADAAVVPQKDEVAGEVPVAFVVRSNDLDLNEEAVKDYIAKQVVFYKKLHKVFFVHSIPKSAAGKILRKDLRAKLATATTMS >Potri.010G027400.3.v4.1 pep chromosome:Pop_tri_v4:10:3959349:3963370:-1 gene:Potri.010G027400.v4.1 transcript:Potri.010G027400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027400.v4.1 MLSKESGGSAFDLPEDVLQVLPSDPFQQLDVARKITSIALSTRVSALESESSLLRAKLAEKDDFIAHLQAQIESLDSSLSDSSDKLSRATQEKENLLKENASLSNTVKKLQRDVSKLEVFRKTLVQSLQEDEESSAGAPQIIAKPTPNDDATLPPSRYSSIQSKVPEMGNSFAEDRETDAPRPGIPQILLASQTNTPRFTPPGSPPSFSASVSPTRTSKPVSPKRQSMSFSITRSMDDRSPAFSSLSSSQHSSMSSDAGSQAGRTRVDGKEFFRQVRTRLSYEQFGAFLANVKELNSHKQTKEETLRKAEEIFGPDNKDLYTIFEGLITRNVH >Potri.010G027400.2.v4.1 pep chromosome:Pop_tri_v4:10:3959323:3963451:-1 gene:Potri.010G027400.v4.1 transcript:Potri.010G027400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027400.v4.1 MLSKESGGSAFDLPEDVLQVLPSDPFQQLDVARKITSIALSTRVSALESESSLLRAKLAEKDDFIAHLQAQIESLDSSLSDSSDKLSRATQEKENLLKENASLSNTVKKLQRDVSKLEVFRKTLVQSLQEDEESSAGAPQIIAKPTPNEDDATLPPSRYSSIQSKVPEMGNSFAEDRETDAPRPGIPQILLASQTNTPRFTPPGSPPSFSASVSPTRTSKPVSPKRQSMSFSITRSMDDRSPAFSSLSSSQHSSMSSDAGSQAGRTRVDGKEFFRQVRTRLSYEQFGAFLANVKELNSHKQTKEETLRKAEEIFGPDNKDLYTIFEGLITRNVH >Potri.T011300.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:122852:123191:1 gene:Potri.T011300.v4.1 transcript:Potri.T011300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011300.v4.1 MEIKRSFGLFFLLLIVLASQEVVVPTEARVCQSQSHYFKGPCARDHNCAWVCRNEGFSGGRCKGFRRRCFCTKLC >Potri.017G005900.1.v4.1 pep chromosome:Pop_tri_v4:17:421508:423403:1 gene:Potri.017G005900.v4.1 transcript:Potri.017G005900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005900.v4.1 MAGLPPPMIPHPITLFFIIYFAFSPLAKAAQYSVLSYGAKPDGKTDSTKAFAAAWAQACASAQPATISVPKGSFSLGQVRFQGPCKNRAILVRIDGTLVAPSDYKVIGNAKNWLIFEHINGVTVSGGTLDGQGAGLWSCKNSGKGCPRGATSLEFSNSNNIAITGLASLNSQLFHIVINGCQKVKVQGVKVSAAGSSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSSLWIENVACGPGHGISIGSLGKESQEAGVQNVTVKTTTFTGTENGLRIKSWGRPSNGFARDILFQHAVMNNVQNPIVIDQNYCPGEKNCPGQVSGVKISDVTYQDIHGSSATEVAVKFDCSKKYPCTGIKLEDVKLTYKNQPAEASCSNAGGVASGLVQPTSCV >Potri.008G173400.1.v4.1 pep chromosome:Pop_tri_v4:8:11978207:11979832:1 gene:Potri.008G173400.v4.1 transcript:Potri.008G173400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G173400.v4.1 MSWGVMAGQLAWGGLIEEGWRKGPWTAEEDRLLIEYVRLHGDGRWSSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEESIIVELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKLSPDNSDKARTRHLKRQQFQQQQQQLQRQQQQTQHQQPLQINQLDMRKIVSLLDENEDKAPCTPQMRQEMAPHAIYPNTIEEHVLLYNMFNVNNASVPEASNEDILWDGLWNLDDLHGNLGVACATSKASMQNLVAPFC >Potri.004G027100.2.v4.1 pep chromosome:Pop_tri_v4:4:2073496:2074354:-1 gene:Potri.004G027100.v4.1 transcript:Potri.004G027100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027100.v4.1 MKKCELCDSLAQMHCESDQAILCSACDAYVHSANFLAAKHSRTLLCHVCQSHTPWIGTGPVLGATLSVCNSCINNSSCTDGKGSENDQIANNDDEIIANMMKTMMVVKTAMKTMKKIK >Potri.007G107600.1.v4.1 pep chromosome:Pop_tri_v4:7:13017517:13017945:-1 gene:Potri.007G107600.v4.1 transcript:Potri.007G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107600.v4.1 MNATTLFTVSPLLSSLANRRGVSIKAAVSTLAAESFRVDPGRKSLSLYEILQVKRTASLTEIKGAFRSLAKVYHPDVSGSDGGEQLDGLDFVEICNAYETLSDPAARAMYDLSLGYSSSRKRPVRFSGGYSLNRRWETDQCW >Potri.006G279900.7.v4.1 pep chromosome:Pop_tri_v4:6:26964446:26969038:1 gene:Potri.006G279900.v4.1 transcript:Potri.006G279900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279900.v4.1 MVEGKKFTGLMAPSNNNGNDYYGFTQGFYQEIGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHRNYNVSVGASVFRPGKVTHALNDDALAQALMDNRYPTEGLQHYDDWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENIPEKSQVMEQQFQQEVMMLANLKHPNIVRFIGACQKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKLAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGSLPFQNMTAVQAAFAVVNKGVRPIIPYECLPVLSDIMTRCWDANPEVRPPFTEIVRMLENAQTEIMTNVRKARFRCCMAQPMTVE >Potri.006G279900.6.v4.1 pep chromosome:Pop_tri_v4:6:26964446:26969040:1 gene:Potri.006G279900.v4.1 transcript:Potri.006G279900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279900.v4.1 MVEGKKFTGLMAPSNNNGNDYYGFTQGFYQEIGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHRNYNVSVGASVFRPGKVTHALNDDALAQALMDNRYPTEGLQHYDDWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENIPEKSQVMEQQFQQEVMMLANLKHPNIVRFIGACQKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKLAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGSLPFQNMTAVQAAFAVVNKGVRPIIPYECLPVLSDIMTRCWDANPEVRPPFTEIVRMLENAQTEIMTNVRKARFRCCMAQPMTVE >Potri.006G279900.8.v4.1 pep chromosome:Pop_tri_v4:6:26964519:26967738:1 gene:Potri.006G279900.v4.1 transcript:Potri.006G279900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279900.v4.1 MVEGKKFTGLMAPSNNNGNDYYGFTQGFYQEIGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHRNYNVSVGASVFRPGKVTHALNDDALAQALMDNRYPTEGLQHYDDWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENIPEKSQVMEQQFQQEVMMLANLKHPNIVRFIGACQKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKLAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAP >Potri.006G279900.2.v4.1 pep chromosome:Pop_tri_v4:6:26964547:26969247:1 gene:Potri.006G279900.v4.1 transcript:Potri.006G279900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279900.v4.1 MVEGKKFTGLMAPSNNNGNDYYGFTQGFYQEIGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHRNYNVSVGASVFRPGKVTHALNDDALAQALMDNRYPTEGLQHYDDWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENIPEKSQVMEQQFQQEVMMLANLKHPNIVRFIGACQKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKLAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGSLPFQNMTAVQAAFAVVNKGVRPIIPYECLPVLSDIMTRCWDANPEVRPPFTEIVRMLENAQTEIMTNVRKARFRCCMAQPMTVE >Potri.006G279900.4.v4.1 pep chromosome:Pop_tri_v4:6:26964447:26969187:1 gene:Potri.006G279900.v4.1 transcript:Potri.006G279900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279900.v4.1 MVEGKKFTGLMAPSNNNGNDYYGFTQGFYQEIGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHRNYNVSVGASVFRPGKVTHALNDDALAQALMDNRYPTEGLQHYDDWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENIPEKSQVMEQQFQQEVMMLANLKHPNIVRFIGACQKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKLAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGSLPFQNMTAVQAAFAVVNKGVRPIIPYECLPVLSDIMTRCWDANPEVRPPFTEIVRMLENAQTEIMTNVRKARFRCCMAQPMTVE >Potri.006G279900.5.v4.1 pep chromosome:Pop_tri_v4:6:26964447:26969043:1 gene:Potri.006G279900.v4.1 transcript:Potri.006G279900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279900.v4.1 MVEGKKFTGLMAPSNNNGNDYYGFTQGFYQEIGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHRNYNVSVGASVFRPGKVTHALNDDALAQALMDNRYPTEGLQHYDDWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENIPEKSQVMEQQFQQEVMMLANLKHPNIVRFIGACQKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKLAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGSLPFQNMTAVQAAFAVVNKGVRPIIPYECLPVLSDIMTRCWDANPEVRPPFTEIVRMLENAQTEIMTNVRKARFRCCMAQPMTVE >Potri.006G279900.3.v4.1 pep chromosome:Pop_tri_v4:6:26964446:26969246:1 gene:Potri.006G279900.v4.1 transcript:Potri.006G279900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279900.v4.1 MVEGKKFTGLMAPSNNNGNDYYGFTQGFYQEIGDGTNMSIDSLQTSHAGGSVSMSVDNSSVGSNDSLTHMLSHPGLKPVNHRNYNVSVGASVFRPGKVTHALNDDALAQALMDNRYPTEGLQHYDDWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENIPEKSQVMEQQFQQEVMMLANLKHPNIVRFIGACQKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKLAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGSLPFQNMTAVQAAFAVVNKGVRPIIPYECLPVLSDIMTRCWDANPEVRPPFTEIVRMLENAQTEIMTNVRKARFRCCMAQPMTVE >Potri.006G279900.9.v4.1 pep chromosome:Pop_tri_v4:6:26965884:26968918:1 gene:Potri.006G279900.v4.1 transcript:Potri.006G279900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279900.v4.1 MSVDNSSVGSNDSLTHMLSHPGLKPVNHRNYNVSVGASVFRPGKVTHALNDDALAQALMDNRYPTEGLQHYDDWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENIPEKSQVMEQQFQQEVMMLANLKHPNIVRFIGACQKPMVWCIVTEYAKGGSVRQFLTRRHNRAVPLKLAVQQALDVARGMAYVHGLGFIHRDLKSDNLLIAADKSIKIADFGVARIEVQTEGMTPETGTYRWMAP >Potri.017G132800.1.v4.1 pep chromosome:Pop_tri_v4:17:13493289:13495739:-1 gene:Potri.017G132800.v4.1 transcript:Potri.017G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G132800.v4.1 MLGMGGSSNLDFLRFLVLISFCLPLTSAAAETSTSNICPMDLNYVLRIPWNQTLCRNFNPLAQNDNTNSTSKPPCCQTILSLFGVALSQHLKETSFFQLPNLATSSSCLQDYQSKLSSFSLSDDLVSHCFNPLQFVTAPNICARIETSQDWVDQLGKSTSLDSDCRSDLTDLTACASCLRAGYKVQSLLLSADGNQTHAQDCFYFAVLYAAGIVNEFGPESDGVVSCIFSLELNSSVGSASNSHSALVFGLTGAGVAILVISSLLGLYLWYDKKWRRKKNLGFGFDLDEQQGSRPKLRPNTGSIWFKIRDLEKATDNFSQKNFIGRGGFGFVYKGVLSDGTVVAIKRVIESDFQGDAEFCNEVEIISNLKHRNLVPLRGCCVIDDDVNSDERGNQRYLVYDYMSNGNLDDHLFPSSDNQIQKQLLSWPQRKSIILDVAKGLAYLHHGVKPGIYHRDIKGKNILLDAEMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMSGRKALDLSSSGSPRALLITDWAWSLVKAGKVEQALDASLLRGGDSSNSNPKGIMERFVLVGILCAHIMVALRPTILDALKMLEGDIEVPQIPDRPVPLGHPSFQADGNNFSISPVLRGPKLPPGDTLR >Potri.017G132800.3.v4.1 pep chromosome:Pop_tri_v4:17:13493240:13495589:-1 gene:Potri.017G132800.v4.1 transcript:Potri.017G132800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G132800.v4.1 MDLNYVLRIPWNQTLCRNFNPLAQNDNTNSTSKPPCCQTILSLFGVALSQHLKETSFFQLPNLATSSSCLQDYQSKLSSFSLSDDLVSHCFNPLQFVTAPNICARIETSQDWVDQLGKSTSLDSDCRSDLTDLTACASCLRAGYKVQSLLLSADGNQTHAQDCFYFAVLYAAGIVNEFGPESDGVVSCIFSLELNSSVGSASNSHSALVFGLTGAGVAILVISSLLGLYLWYDKKWRRKKNLGFGFDLDEQQGSRPKLRPNTGSIWFKIRDLEKATDNFSQKNFIGRGGFGFVYKGVLSDGTVVAIKRVIESDFQGDAEFCNEVEIISNLKHRNLVPLRGCCVIDDDVNSDERGNQRYLVYDYMSNGNLDDHLFPSSDNQIQKQLLSWPQRKSIILDVAKGLAYLHHGVKPGIYHRDIKGKNILLDAEMRARVADFGLAKQSREGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMSGRKALDLSSSGSPRALLITDWAWSLVKAGKVEQALDASLLRGGDSSNSNPKGIMERFVLVGILCAHIMVALRPTILDALKMLEGDIEVPQIPDRPVPLGHPSFQADGNNFSISPVLRGPKLPPGDTLR >Potri.001G163400.1.v4.1 pep chromosome:Pop_tri_v4:1:13872865:13874048:1 gene:Potri.001G163400.v4.1 transcript:Potri.001G163400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163400.v4.1 MLAKLKIAFKMEGCFNEEAMAKSTCASSRKGLVVAGLQSLLLEGDSNVVTKSALKTQRLRVQATTIEYCYLISCNLCNKMLSPDKDIYMYRGDQGFCSTECRNRQIVLDEMRELEASTKERLKSYKHCSTAAGRHETRRVLEDLRRRHKPLPRQNHWTIAS >Potri.013G101700.2.v4.1 pep chromosome:Pop_tri_v4:13:11001840:11006100:-1 gene:Potri.013G101700.v4.1 transcript:Potri.013G101700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101700.v4.1 MRGTLSLCQRSSQLLLRGRRRATKETYTLIPSVSYCTNIDNNTIDGPQSPRVKIFDRELKRKQRDRAAWLMRPSDPFVDAVADNLLDRLEDCKKTFPTALCLGGSSEAVRRLLHGRGSIEKLVMMDTSNDMVQLCKDAEAAQQDSNQNIETSFVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLALKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRISPLAQVRDAGNLLTRAGFTLPGVDVDEYVVRYSNALELIEHLRAMGETNALLQRNNVLKRETALATAAIYDSMFAAEDGTIPATFQVIFMTGWREHPSQQKAKRRGSATISFDDIQKQFSSDN >Potri.018G076000.1.v4.1 pep chromosome:Pop_tri_v4:18:9351132:9357704:-1 gene:Potri.018G076000.v4.1 transcript:Potri.018G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076000.v4.1 MESGAGITNERLAVVLKDPSCFGQFRNGSNPWMARYVYGLIFLFSNLLAWAARDYGRGASVTLEKLKVCAGKSDCSGAEGVLRVSLGCFIFYIIMFLSTVGTSKLHGRRDAWHSGWWTAKIVLWISLTIITFLVPSAFFQIYGEIAHFGAGVFLLIQLISVISFIRWLNDCCQSEKNAVRCHINVMLVATTSYVVCILGVILMYIWYTPEPSCILNIFFITWTLVLLQLMTSVSLHPKVNAGFLTPGLMGLYVVFLCWCAIRSEPAGESCNRKAEASRRTDWLTIISFIVALLAIVIATFSTGIDSQCFQFRKGDTQDEDDVPYGYGFFHFVFATGAMYFAMLLIGWNTHHIIQKWTIDVGWTSAWVRIVNEWLAVCVYLWMLVAPILLKIRRTAEPV >Potri.005G177100.1.v4.1 pep chromosome:Pop_tri_v4:5:18293572:18295969:1 gene:Potri.005G177100.v4.1 transcript:Potri.005G177100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177100.v4.1 MPSSGSFLRQLSGRSTSWRSASNSKYCTVEGGYNCERSLKQMEGAYMYGNDNAGLVMRKRVVVVVDQTSHSKHAMMWALTHVANKGDLLTLLHIIPPSDIGSGERTSDAYSPYLASSLGSLCKASRPEVEVEALVIQGPKLGTVMSQVKKLEASVLVLGQKRPSTLISCLCGTSSSEDFVQQCISNAECLTVGVRKQSQGMSGYLITTRRQKDFWLLA >Potri.001G085900.1.v4.1 pep chromosome:Pop_tri_v4:1:6820430:6823085:-1 gene:Potri.001G085900.v4.1 transcript:Potri.001G085900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085900.v4.1 MAHQEKATSEVEWRITLGDGSSKSLVPDAGPVSRIWIGLKGLIAGLVLKVWKFLKRAWDIGVDDPRKVIHCLKVGMALTVVSLFYFMRPLYKGVGGNAMWAIMTVVVVFENTVGATICKSLNRVFGTTLAGFLAFGVHWVASQSGQEFEPLITGASVFLLASTATFSRFIPSVKARFDYGAMIFILTFSLVTVSGYRVDKLFDMAHQRISTIIIGTSLCIFVTMFICPIWAGEELHILISRNMDKLANSLDGCVDEHFNYNGELKDSDKQPDKKLLGYKCVLSSKATEESMATFARWEPAHGRFNFKHPWQQYLKIGASMRSCAYCVEALNRCIDSENQASEFTKKHLSNICLKVSSNSSSVMKEVAKTIKTMKRSPSIDFLVEEMRSTVQDLQNELNFLPKLLSPPEVLHPESKETEETTSTTHLLVVIPVVTFASLMIEISSRIQAIVETVEELAELAEFKGEVQDKDKQNQPNTNRIVASQLNDDQQTLKALERV >Potri.005G249200.1.v4.1 pep chromosome:Pop_tri_v4:5:24307298:24310562:1 gene:Potri.005G249200.v4.1 transcript:Potri.005G249200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249200.v4.1 MASSTRNPLLSSDLFGSKVLLSPPTPKTTKSSFLLSKNLLKIVNKKKSGSFKSLQSQATIAAALLFSSLTPQALAIDNPAPPPTPPPVIEALPTKPSSPFAQNLLVTAPKPQSESTSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSTLQLSAVDGRRASVVVLNDPDLIDILARSGVDISVSEGDSGNGFFNVIGSLFFPILAVAGLFLLFRRVQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVSFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGSPGTGKTLLARAVAGEAGVPFFSCAASEFVEMFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDIAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAIVAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKRLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQDNVTTGASSDFMQVSRVARQMVERFGFSKKIGQVAIGGSGGNPFLGQQMSSQKDYSMATADVVDTEVRELVETAYSRAKQIMTTHIDILHKLAQLLIEKETVDGEEFMSLFIDGKAELYIA >Potri.010G087700.1.v4.1 pep chromosome:Pop_tri_v4:10:11305150:11314774:-1 gene:Potri.010G087700.v4.1 transcript:Potri.010G087700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087700.v4.1 MEEGRRSGDPSGYIMKNKSSSGCLIVRKKGNDGVGSSGSHKVFESKKEKKRLRVEYSDSGSSDELLMPRHRRVGPETLRACNGLSSYEESDIGRKGSRGEDIRRNEVGLIVRNGKDLSERKRNKLDVFEFDEYDGNDVEMLRRQRFEDGGMEGRRYFGPTMAGRSGTAREYESGSRRHAVVDRRKCSYFARSGGLSQGGDRGGARSSMSFLRDNYDSDEPIRVQGKNGVLKVMVNKKKKVGGSLNSYDRLEAEENRKGVRTEDTVKRNVLMRPPVHYDPKSADKAGSVSRTEKNPMNTKKSVSTKSGKVTDGNSEDSEALLKSGPKKGEARYLMKTPLSTKKSKDRDMDSDDSDTSLKLGPKNAGARKSAKGTGSGGEKTPCSQLPDAKIKEGKVKRGSGTEKQKLREQIREMLLNSGWTIDYRPRRNRDYLDAVYINPTGTAYWSIIKAYDALQKQTDEDEARSRADGSPFTPLADEVLSQLTRKTKKKIEKEMKRKKRDVSDSEDARETAARKSSSTRYDEESLDSGSREEKLSSFLKRGSKSLKSRTGGNGSVSINSKGESSTHHLHDSIEKPPSGSNSHQGRKSRKLGRCTLLVRNSNEGTNSDSDGFVPYSGKRTLLSWLIDCGTVQLSEKVRYMNRRRTKVMLEGWVTRDGIHCGCCSKILTVSKFEIHAGSKLRQPFQNIYLESGASLLDCQIEAWNRQEPVKRLGFQAVDVDGNDPNDDTCGLCGDGGDLICCDGCPSTFHQSCLDIKMLPPGDWHCPNCSCKFCGVASDKNFQRDDTTVSKLLTCSLCVKKYHKSCMQEINTLSIDTNNSVASFCGKKCRELFEQLQKYLGVKHELEAGFSWSLIHRTDADSDTSLQGLPQRVECNSKLAVSLSVMDECFLPIVDRRSGINLIQNVLYNCGSNFNRLNFGGFYALILERGDEIISAASIRFHGTRLAEMPFIGTRHMYRRQGMCRRLFYAIESTLCSLKVEKLIIPAISELMHTWTEVFGFTTLDESLKQELKSMNMLVFPGIDMLQKQLGQENADGKRITSIVANRMEFEDNECIKTAVANKSDADSPAGYDPHDCDNGGLESFIGKNEVASASSDSQCPDASLNNVSTMNSSLDAFHGLKSAASPMETVQTDSESDDKLAESPVDKKSECISNTTHDVHEMVKSKSDSSVEDTSLTCIKGETAAMNSDSQVPGASLGDASVMSGSFNASCELINAVPFDGASCTDSESGGKIPESASIMILDVSGERQEENMSEMVSLAKGKDHSCKEGEVNHVHAVMETKLVSDSSVENNNVSCNDGDLDDAPTASVDMVSLEADPSAEKTTAENFAEKVDEISGISVSRFSGAEENNVQIDSDPNSETIHERGTKLDVVSEAVSDAARCRGVASHVPEESSLIDSSEGKTESGSI >Potri.005G182000.2.v4.1 pep chromosome:Pop_tri_v4:5:18856466:18859269:1 gene:Potri.005G182000.v4.1 transcript:Potri.005G182000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182000.v4.1 MQLYHHPYSLDSQKVRLALEEKGIDYTSNHVNPITGKNMDASFFGINQSAKLPVFQNGSHIIFDTIEIIQYIERIVVVSLGGGDSSFSSREVVEWMCKIQEWNPKYFTLSHVPEKYRISVSKFIRQVIIARMAESPELASAYHRKLKAAYETEDRLGNPEVLKRSKEHLVRLLDEVETKLKETAYLAGEEFSMADVMLIPVLARLVLLKLEDEYISSRPNIAAYWVLMQQRPSYKKVIGKYFNGWRRYKTLVKTWCFVRFRSLLRRY >Potri.008G074066.2.v4.1 pep chromosome:Pop_tri_v4:8:4604993:4607831:-1 gene:Potri.008G074066.v4.1 transcript:Potri.008G074066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074066.v4.1 MDDSQSQDKACWTREMLHAFCDICIKAIEQGMRPNTHFDKAGWKYVMNCFKDQTGHALTKAQLKNKWDGIKKDWRIWKKLISETGVGWSAELGTIAAPDEWWKAKNQEIRGARKFRHVGIDPTLCSKYDIMFTNTVATGQYAWAPSQGLNSDEDGVGQRQTNVVNEDPELQEGSGDSEEDSLPNFVADVNNMVAGVNFSNSTSNPTGSSGKRKGVQQSSQQNLKKKKGAGRGSHLFARLDKLVDSVSTKSECTSTVFDKKGCSIEEVMKEFHSIEEVVFGSELYCFATEFFMVRSRREMWAAIGDMDRKFQWLKLMFDRRATYRP >Potri.008G074066.1.v4.1 pep chromosome:Pop_tri_v4:8:4604978:4607866:-1 gene:Potri.008G074066.v4.1 transcript:Potri.008G074066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074066.v4.1 MDDSQSQDKACWTREMLHAFCDICIKAIEQGMRPNTHFDKAGWKYVMNCFKDQTGHALTKAQLKNKWDGIKKDWRIWKKLISETGVGWSAELGTIAAPDEWWKAKNQEIRGARKFRHVGIDPTLCSKYDIMFTNTVATGQYAWAPSQGLNSDEDGVGQRQTNVVNEDPELQEGSGDSEEDSLPNFVADVNNMVAGVNFSNSTSNPTGSSGKRKGVQQSSQQNLKKKKGAGRGSHLFARLDKLVDSVSTKSECTSTVFDKKGCSIEEVMKEFHSIEEVVFGSELYCFATEFFMVRSRREMWAAIGDMDRKFQWLKLMFDRRATYRP >Potri.011G066000.1.v4.1 pep chromosome:Pop_tri_v4:11:5651860:5653852:1 gene:Potri.011G066000.v4.1 transcript:Potri.011G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066000.v4.1 MAPKQPNTGLFVGLNKGHIVTKKELAPRPSDRKGKTSKRVQFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKRKREEMSNVLRKMRAAGGGEKKK >Potri.001G112100.4.v4.1 pep chromosome:Pop_tri_v4:1:9047794:9054107:-1 gene:Potri.001G112100.v4.1 transcript:Potri.001G112100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112100.v4.1 MAQIDHTFSFGGSHHNQGQQAVPFPTNKGSLKVLPLHGNLEIRVKEAKNLPNLDVFHKTLGDMFSKFPVKFGNKIEGHVGSKITSDPYVTISVSGAVIGRTFVIKNNENPVWMQHFDVPVAHHAAEVHFSVKDDDIVGSQMMGAVGIPVEQLISGMKIEGIFPVLGSNGKPCKAGAALSLSIQFTPVEKMAIYQQGVRSGPDYNGVPGTYFPIRRGGKVTLYQDAHVHDGCLPDLKLDDHVQFEHRSCWDDIFNAISQARRLIYITGWSVNYKVKLVRGGNDGRDCTLGDLLKTKSQEGVRVLLLVWDDPTSRSVLGFKTEGVMQTSDEETRRFFKHSSVQVLLCPRSAGKGHSFIKKQETETIYTHHQKTVIVDTDAGHFRRKITAFVGGLDLCKGRYDTPQHPLFRTLQTVHKDDFRNPNFTEPAGAGCPRQPWHDLHCQIDGPAAYDVLTNFEERWLKASKPRGMQKLKASFDDALLKLERIDEILGIAELPSLAEDDPEAWNVQVFRSIDSNSVKGFPDDPRDATSMNLACGKNVIIDMSIHTAYVNAIRAAQHFIYIENQYFLGSSYNWDSHKDLGANNLIPMEIALKIADKIRAHERFSAYILIPMWPEGAPTSAPIQRILFWQHKTMQMMYETIYKALVEVGLENTYEPQDYLNFFCLGNREALDRENGVQSSTPGNTPQARSEKSRRFMIYIHSKGIIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPNHTLARKNSRSHGQVYGYRMSLWAEHIGELEECFEQPETIECVRRVRSLGEQNWRQYVADEVTEMKGHLLKYPVEVDRTGKVKALHGSEKFPDVDGNILGSFIAIQENLTI >Potri.018G028400.2.v4.1 pep chromosome:Pop_tri_v4:18:2108422:2108952:1 gene:Potri.018G028400.v4.1 transcript:Potri.018G028400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028400.v4.1 MSIVAEIPGFLQVFSDGLVKRFAPGIVPASSKSYSNGFKFKDVTIDSSKKITARLSLPDTPASMIQLPVVVHFHGGCFCFCSTTWLGFNHFPGDLSVASQSIVLSVDYRLAPENRLPIGYDDCFSSLERLCNNASSDPWLIKQADLSPIISFWRWCWMKHNTPGCNQNNEERRLSY >Potri.014G136600.2.v4.1 pep chromosome:Pop_tri_v4:14:9281341:9286804:1 gene:Potri.014G136600.v4.1 transcript:Potri.014G136600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136600.v4.1 MQLCMQYSLFGSILTVGAMIGAITSGPIADYIGRKGAMRFSSTSCAAGWLAIYFAKGALALDIGRLATGYGMGALSFVVPVFIAEIAPKNLRGTLTAVTQLMVATGVSVAFIIGTVLRWRVLALTGLIPCVILHVGLFLIPESPRWLAKRGREKEFETTLQKLRGRAADISYEAIEIKDYIETLERLPKAKLLDLFQRRNLHSVLIGVGLMVLQQFGGINAVCFYVSSIFEVAGFSPSVGTIIYAILQVVVVALNTTIIDKVGRKPLLLVSASGLVIACLITGLSFYLKVHELALKSAPMLAVTGILLYIGTFSAGMGPIPWVIMSEIFPLNIKGVSGSLATLVNWFCAWAVSFTFNFLMSWSSYGTFILYAAINAMTIAFVALLVPETKGRTLEQIQAAIR >Potri.014G136600.1.v4.1 pep chromosome:Pop_tri_v4:14:9281341:9286805:1 gene:Potri.014G136600.v4.1 transcript:Potri.014G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136600.v4.1 MENDHDGALEDAREPLMPNNSSCEEGSGSQCSSNNTGTAWMVYLSTFVAVAGSFEVGSCSGYSSPTQNAIREDLSLSIAEYSLFGSILTVGAMIGAITSGPIADYIGRKGAMRFSSTSCAAGWLAIYFAKGALALDIGRLATGYGMGALSFVVPVFIAEIAPKNLRGTLTAVTQLMVATGVSVAFIIGTVLRWRVLALTGLIPCVILHVGLFLIPESPRWLAKRGREKEFETTLQKLRGRAADISYEAIEIKDYIETLERLPKAKLLDLFQRRNLHSVLIGVGLMVLQQFGGINAVCFYVSSIFEVAGFSPSVGTIIYAILQVVVVALNTTIIDKVGRKPLLLVSASGLVIACLITGLSFYLKVHELALKSAPMLAVTGILLYIGTFSAGMGPIPWVIMSEIFPLNIKGVSGSLATLVNWFCAWAVSFTFNFLMSWSSYGTFILYAAINAMTIAFVALLVPETKGRTLEQIQAAIR >Potri.007G144200.1.v4.1 pep chromosome:Pop_tri_v4:7:15320207:15322092:1 gene:Potri.007G144200.v4.1 transcript:Potri.007G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144200.v4.1 MAVLPLPVSPLLITLLSISFAFSPLAKAAVQYSVLSYGANPDGKTDSTKAFAAAWSQACASTQPATISVPKGSFALGQVRFQGPCKNNAISIRIDGTLVAPSDYGVLGRTKNWLIFEHVNGVTISGGTLDGQGAGLWSCKNSGKDCPSGATSLEFSNSNNIAITGLASLNSQMFHIVINACQNVKVQGVRVSAAGDSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSNLWIENVACGPGHGISIGSLGKDSQEAGVQNVTVKTTTFTGTENGVRIKTWGRPSNGFARNILFQHAVMTNVHNPIVIDQNYCPGEENCPGQFSGVKISDVTYQDIHGSSATEIAVKFDCSKKYPCAGIKLEDVKLTYKNQQAEASCSNAGGVASGLVQPTSCL >Potri.007G144200.2.v4.1 pep chromosome:Pop_tri_v4:7:15320207:15322092:1 gene:Potri.007G144200.v4.1 transcript:Potri.007G144200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144200.v4.1 MAVLPLPVSPLLITLLSISFAFSPLAKAAVQYSVLSYGANPDGKTDSTKAFAAAWSQACASTQPATISVPKGSFALGQVRFQGPCKNNAISIRIDGTLVAPSDYGVLGRTKNWLIFEHVNGVTISGGTLDGQGAGLWSCKNSGKDCPSGATSLEFSNSNNIAITGLASLNSQMFHIVINACQNVKVQGVRVSAAGDSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSNLWIENVACGPGHGISIGSLGKDSQEAGVQNVTVKTTTFTGTENGVRIKTWGRPSNGFARNILFQHAVMTNVHNPIVIDQNYCPGEENCPGQ >Potri.008G052900.2.v4.1 pep chromosome:Pop_tri_v4:8:3113043:3117742:-1 gene:Potri.008G052900.v4.1 transcript:Potri.008G052900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052900.v4.1 MGIPADDVVLIQQGSGSNDPTVVTVNCPDKSGLGCDLCRIILEFGLHITRADFQTDGKWCYIVFWVVQRSNSLRLDWDSLKNRLLIVSPPCLAPLYYDHKLNGSTAAPSVYLLKFCCVDRKGLLHDITEVLTELEFTIQRLKVMTTPDEKVVDLFFITDGRELLHTKERRDNTCGYLCDVFKEYCISCELQLAGPECENQRTFSSLPMAVAEELFSCELSEKESCTQALGTATTPPKKAIVTVDNLLSPAHTLLQIQCVDQKGLFYDILRTSKDLNIQVAYGRFSSSIKGYHNMDLLIRQTDGKKIVDPELLANTCSRLKEEMLHPLRVIITNRGPDTELLVANPVELCGKGRPRVFYDVTLTLKKLGICIFSAEIGRHSTQDRQWEVYRFLLDENCDVPLASSQARNQIVDRIRRTLMGW >Potri.009G038300.4.v4.1 pep chromosome:Pop_tri_v4:9:4702268:4703439:1 gene:Potri.009G038300.v4.1 transcript:Potri.009G038300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038300.v4.1 MDSNKQGACFSSSSSSFTADLFGTTESAPVSSAGIFASMFPPPSTVVGRKSSGSEVTGSWQKQSYGNQAWYPKQGSPANSQAASYSMPDKDRNSVIQEERVEPCHLSSSLYYGGQENYSQSPSTQMAGSYPIVSL >Potri.009G038300.2.v4.1 pep chromosome:Pop_tri_v4:9:4701961:4704628:1 gene:Potri.009G038300.v4.1 transcript:Potri.009G038300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038300.v4.1 MDSNKQGACFSSSSSSFTADLFGTTESAPVSSAGIFASMFPPPSTVVGRKSSGSEVTGSWQKQSYGNQAWYPKQGSPANSQAASYSMPDKDRNSVIQEERVEPCHLSSSLYYGGQENYSQSPSTQMAGSYPIFKKDGGEDDPNGSNPHSASRGNWWQGSLYY >Potri.002G172101.1.v4.1 pep chromosome:Pop_tri_v4:2:13241065:13244032:-1 gene:Potri.002G172101.v4.1 transcript:Potri.002G172101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172101.v4.1 MKIEVGMGGGAWNDEDKTMVAAVLGSKAFNYLLSNSVANQNLLMVMCGDENLQNKLSDLVDCPNSSNFSWNYAIFWQISCSKSGDWVLGWGDGSCREPKEGEESEFTRILNIRLEDETQQRMRKRVIQKLQTLFGESDEDNYALGLDRVTDTEMFFLASMYFSFPRGEGGPGNCYASGKHVWISDALKSGPDYCVRSFLARSAGFQTIVLVATDVGVVELGSVRSVPESIEMVQSIRSWFSTRSSSIRTKPMDAAVAAVAMPVVSEKKDENSPFSNFGIVERVEGAPKIFGQDLNSSNHGHGFREKLAIRKMEERPSWNAYQNGSRLAFPGTRNRLHGSSWAQSFGLKQGTPGEVYGSQATANNLKELVNGVREEFRHNHYQGQKQVQVQIDFSGATSGPSGIGRPLGAESEHSDVEASCKEERPGAADDRRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYINELQAKLKKMEAERGKLEGVVRDSSTLDVNTNGESHNQARDVDIQASHDEVMVRVSCPMDSHPASRVIQALKEAQVTVIESKLSAANDTVFHTFVIKSEGSEQLTKEKLMAAISCESSSLQSLSSTG >Potri.019G020367.1.v4.1 pep chromosome:Pop_tri_v4:19:365169:368757:-1 gene:Potri.019G020367.v4.1 transcript:Potri.019G020367.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020367.v4.1 MENTNQQNNSHRRADFPPSLWGCSFASFPFPQTEFESYSRQVEVLKENVKDMLTASKKDPVEHIEFINLSCRLGVSYHFDDEIENSLKQIFADLPKLLEKHDCDLYTLSLLFRVLRQHGFKMPCVVFDKFKDTNGEFKKTIINDVKGILGLYEASFLSVHGEQVLDEALVFTKANLESLAMQSSPRLADHIRNALIRPFHKGVPRIEARKYISFYEEDESRNDTLLKFAKIDFNRVQLIHRQELSILSRWWNDLNFAEEFPYARDRIVEVYVWANGVHFEPQYAFSRMMVTKYLKMISLVDDTYDAYASFEEIQHFTNAIERCSMNALDQLPADYMKVLYRAFLNLFNETENDMGKQGRSYASYYVKEELKELVRGYHTEAGWADKCHVPTFDEYVRNGLATSCYGAIMATSFLGMEEVAGGEEYEWLKSNPKILSAGKMIGRLMNDIMGHEDEQKRGDCASGVECYMKQYDVSEKKAIEEIQKMVANGWKDINEDCMRPTNAPMPLLQHIVNLARVTDVMYENDDAYTIPSSLKDYVALLYIEQVPCV >Potri.014G043100.1.v4.1 pep chromosome:Pop_tri_v4:14:2786867:2789738:-1 gene:Potri.014G043100.v4.1 transcript:Potri.014G043100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043100.v4.1 MHLVCLVYVLLPVCVLRLIHSMIWVPWRIHVHFRKQGISGPNYRPIFGNTEEYRNSFTEARKKTMPFNHNIVHRVTPFYHEWSRKYGKTFLYWFGVIPILATADLDMIKDIFMNTGGGSFEKVRLNPQAKLLFGQGLNGLVGEEWALHRRIANQAFMMERIKCWGLDIMASTMKMLTKWEEIRGERDEFEMDVHRELQDLASDVISKTAFGSNYEEGKRVFSLQDKQKHLVFDAIGNVYIPGFRFLPTKKNRERWRIERETRAAIRNLIKTNSKARENSRNLLSLLMSSYKNQDGKEEKLGVEEIINECKAFYFAGKESMADLLTWALLLLAQHQEWQDKAREEVLSVCRGNEVLLSENVNDLKIVNLIIHETLRLYPPAVMLMRQTTKNVKLGTLDVPAGTQFFLALPSIHHDTDIWGKDANEFNPLRFNEPRNHLASFFPFGLGPRICVGKNLAIMEAKVALAMILRHYSFVVSATYLHAPRLLISMQPQYGAQLLLRRITS >Potri.001G435700.1.v4.1 pep chromosome:Pop_tri_v4:1:46157590:46160656:-1 gene:Potri.001G435700.v4.1 transcript:Potri.001G435700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435700.v4.1 MSWCALKPLNVSLMNAGAVRNRTPFSIGGIGCASVINTGLWRQCCSTRLATPPFASLTTYTLPPKKEAVKTDKAPAALGPYSQAIKSNNLVFVSGVLGLIPETGKFVSENVEDQTEQLLKNMGEILKASGSDYSLVVKTTIMLADLKDFKKVNDIYAKYFPAPFPARSTYQVAALPLDAKIEVECIAELPQKVEPQPVSLA >Potri.004G088550.1.v4.1 pep chromosome:Pop_tri_v4:4:7457958:7458403:1 gene:Potri.004G088550.v4.1 transcript:Potri.004G088550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088550.v4.1 MKLLLSNSILSVASNRIRIHALELCVIPGYELDTLQHHCLIRNILVSQFELAYMCLVGSSRVVQLYRMMVTLILDVNAI >Potri.004G151301.2.v4.1 pep chromosome:Pop_tri_v4:4:17282830:17283952:1 gene:Potri.004G151301.v4.1 transcript:Potri.004G151301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151301.v4.1 MAELVEETSHEETRDDGGAFADLAEANPNFLLELNLLIMVLSLVNSLSSEDCLNYAERETGNFRDQEEQQACMSRLHSRRHDINEFKLAGRRAQRFQQMIKDCRHGRRM >Potri.004G151301.1.v4.1 pep chromosome:Pop_tri_v4:4:17282830:17283952:1 gene:Potri.004G151301.v4.1 transcript:Potri.004G151301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151301.v4.1 MAELVEETSHEETRDDGGAFADLAEANPNFLLELNLLIMVLSLVNSLSSEDCLNYAERETGNFRDQEEQQACMSRLHSRRHDINEFKLAGRRAQRFQQMIKDCRHGRRM >Potri.005G014600.2.v4.1 pep chromosome:Pop_tri_v4:5:1168243:1171651:1 gene:Potri.005G014600.v4.1 transcript:Potri.005G014600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014600.v4.1 MVEKLDGFDLKGDGGGKNDDGIGDDTLDWMRLLFGDIDDENNEIVLDTNQIIRETPKQSYEQNEMARKLMQLNPLGLQFSLPPTWMDSITNPNYVRTIEAGVSQASSGQSMDSSDFGQKSMSSRVKASNFAASFIKIGEWQRASKNEGDLMAKCYFIKKKLVWEFLQGRLKYKIEIQWSDISGINAVMEKNQPGILQIELNRPPTFHEEIDPQPRKQAIWKLAKDFTGRQASIFRMHYLTFPHKYLDKHYENLLLCETRFFELSKQSFPTLKNPFFRSNSCGNPGSAFDYNHRGQDFNLRMQFNFPNFPSHVGQTQHIQPYGHIGQTQHVQPYGHNDQAQHKQPYGHKGLSSLKEMPTPASDSCNVLENQRMSYWGQGMSIYTDALARNQGLVPSVQSTQVHPTVPFQNYNLANLGQEAVMNNNAAKALDVENQLLGDMQAGSYHEKYHMEMVGSLNKMVNLHKEVSPESKKASQETLYGKDISGEENFTFCIEENANLIGGQFYEQQKVTWMPRIHPANPNPTADKFGNVNNFNNYWS >Potri.005G014600.3.v4.1 pep chromosome:Pop_tri_v4:5:1168243:1171651:1 gene:Potri.005G014600.v4.1 transcript:Potri.005G014600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014600.v4.1 MVEKLDGFDLKGDGGGKNDDGIGDDTLDWMRLLFGDIDDENNEIVLDTNQIIRETPKQSYEQNEMARKLMQLNPLGLQFSLPPTWMDSITNPNYVRTIEAGVSQASSGQSMDSSDFGQKSMSSRVKASNFAASFIKIGEWQRASKNEGDLMAKCYFIKKKLVWEFLQGRLKYKIEIQWSDISGINAVMEKNQPGILQIELNRPPTFHEEIDPQPRKQAIWKLAKDFTGRQASIFRMHYLTFPHKYLDKHYENLLLCETRFFELSKQSFPTLKNPFFRSNSCGNPGQTQHIQPYGHIGQTQHVQPYGHNDQAQHKQPYGHKGLSSLKEMPTPASDSCNVLENQRMSYWGQGMSIYTDALARNQGLVPSVQSTQVHPTVPFQNYNLANLGQEAVMNNNAAKALDVENQLLGDMQAGSYHEKYHMEMVGSLNKMVNLHKEVSPESKKASQETLYGKDISGEENFTFCIEENANLIGGQFYEQQKVTWMPRIHPANPNPTADKFGNVNNFNNYWS >Potri.016G004851.1.v4.1 pep chromosome:Pop_tri_v4:16:231274:231504:1 gene:Potri.016G004851.v4.1 transcript:Potri.016G004851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004851.v4.1 MLAWSFVDGWIGLCFLPHLIHALSLGILLNTIPNPSISPMAILGMCSFLGEAIDDECKGRPMRIYRVMISCRSMYI >Potri.011G037312.1.v4.1 pep chromosome:Pop_tri_v4:11:2886546:2887778:1 gene:Potri.011G037312.v4.1 transcript:Potri.011G037312.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037312.v4.1 MKSHRGRRSRAKNGGNQAQDAEWSMSALPDDITADILLQLPLKSKIQCRCVCRTWRNLLSDSYFSEVQRERAQSMLVLRSPPSCVSRKAAALAPNDFYVVDLESGSVRNNVMKLITKNNLPTCHVELVGSCNGLLCLFDKNSKKVFYLCNPVTGEHVRTPANCKKEKQRGQTILDAVLGFGFSPKSNHYMVLRITRKKQTYPISILRSEGEICIFGDNEWKSIGEIPFPDCKKFFGVSLNGALHWILNLDDYEDADLICALDIDSKKIRPMSPPNGFRRDTTEMTLGVLRDCLFICDSMTLYNLDIWVMKEYGVKDSWTKEIVIAKTSLPSNLQNSFLQPIMVSKDGEVLISSDSNVFVWYDPGSKSFTKVTLPSRVGYEFEAVCSVASFDSLSAIMKKECRRDQCSTAR >Potri.015G074000.2.v4.1 pep chromosome:Pop_tri_v4:15:9963831:9970904:-1 gene:Potri.015G074000.v4.1 transcript:Potri.015G074000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074000.v4.1 MVSRSCISLLDFASGNMMNFSQSPRSLPRIMTVPGIISDVDVDGINDGISDAPSTGSGAKMIIVSNFLPLNAQKDLNSGKWSFSFDEDSLLLQMKDGFSAIPEVVYVGSLRVDVDSSEQEEVSQKLLEEFNCVPTFIPPDIYKKFYHGFCKHHLWPLFHYMLPLCPDHGNRFDRLLWQAYVSANKIFADKVTEVINNTEEDYVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLLVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGIHMGRVESALNHPSSSIKVKEIQEQFKGKRLVIGVDDMDIFKGISLKLLAVEHLLLQNSELRGKLVLVQIVNPARSSGKDVQEAKMEIYSITKRINNTFGFPGYEPVVLIDRHVPFCEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGVASGSRHTSSLVVSEFTGCSPSLSGAIRVNPWDIEAVANAVNTAINMPDLEKQLRHGKHYCYVSSHDVAYWARSFMQDLKRACKDHYSKRCWGIGFGLNFRILALSPSFRKLSNDYIISAYKRTSKRAIFLDYDGTMVPHTSLAKTPTPEVISVLNNLCADPMNSVFIVSGRGKKSLSDWFVQCENLGIAAEHGYFFRWSGMSDWETSSLAVDFDWKNIAEPVMKLYTEATDGSYIEVKESALVWHHQDADPDFGSCQAKELLDHLENVLANDPVAVKRGQNIVEVKPQGVTKGFVAEKVLSKMIASGKPPGFVLCIGDDRSDEDMFESISKTPYSSSLPSAPAIFACTVGQKPSKARYYLDDTVDVLALLQCLADASSSNLSSTETQVSFDNVVRKEL >Potri.015G074000.3.v4.1 pep chromosome:Pop_tri_v4:15:9964332:9970871:-1 gene:Potri.015G074000.v4.1 transcript:Potri.015G074000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074000.v4.1 MVSRSCISLLDFASGNMMNFSQSPRSLPRIMTVPGIISDVDVDGINDGISDAPSTGSGAKMIIVSNFLPLNAQKDLNSGKWSFSFDEDSLLLQMKDGFSAIPEVVYVGSLRVDVDSSEQEEVSQKLLEEFNCVPTFIPPDIYKKFYHGFCKHHLWPLFHYMLPLCPDHGNRFDRLLWQAYVSANKIFADKVTEVINNTEEDYVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLLVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGIHMGRVESALNHPSSSIKVKEIQEQFKGKRLVIGVDDMDIFKGISLKLLAVEHLLLQNSELRGKLVLVQIVNPARSSGKDVQEAKMEIYSITKRINNTFGFPGYEPVVLIDRHVPFCEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGVASGSRHTSSLVVSEFTGCSPSLSGAIRVNPWDIEAVANAVNTAINMPDLEKQLRHGKHYCYVSSHDVAYWARSFMQDLKRACKDHYSKRCWGIGFGLNFRILALSPSFRKLSNDYIISAYKRTSKRAIFLDYDGTMVPHTSLAKTPTPEVISVLNNLCADPMNSVFIVSGRGKKSLSDWFVQCENLGIAAEHGYFFRWSGMSDWETSSLAVDFDWKNIAEPVMKLYTEATDGSYIEVKESALVWHHQDADPDFGSCQAKELLDHLENVLANDPVAVKRGQNIVEVKPQGVTKGFVAEKVLSKMIASGKPPGFVLCIGDDRSDEDMFESISKTPYSSSLPSAPAIFACTVGQKPSKARYYLDDTVDVLALLQCLADASSSNLSSTETQVSFDNVVRKEL >Potri.015G074000.5.v4.1 pep chromosome:Pop_tri_v4:15:9964085:9970818:-1 gene:Potri.015G074000.v4.1 transcript:Potri.015G074000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074000.v4.1 MVSRSCISLLDFASGNMMNFSQSPRSLPRIMTVPGIISDVDVDGINDGISDAPSTGSGAKMIIVSNFLPLNAQKDLNSGKWSFSFDEDSLLLQMKDGFSAIPEVVYVGSLRVDVDSSEQEEVSQKLLEEFNCVPTFIPPDIYKKFYHGFCKHHLWPLFHYMLPLCPDHGNRFDRLLWQAYVSANKIFADKVTEVINNTEEDYVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLLVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGIHMGRVESALNHPSSSIKVKEIQEQFKGKRLVIGVDDMDIFKGISLKLLAVEHLLLQNSELRGKLVLVQIVNPARSSGKDVQEAKMEIYSITKRINNTFGFPGYEPVVLIDRHVPFCEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGVASGSRHTSSLVVSEFTGCSPSLSGAIRVNPWDIEAVANAVNTAINMPDLEKQLRHGKHYCYVSSHDVAYWARSFMQDLKRACKDHYSKRCWGIGFGLNFRILALSPSFRKLSNDYIISAYKRTSKRAIFLDYDGTMVPHTSLAKTPTPEVISVLNNLCADPMNSVFIVSGRGKKSLSDWFVQCENLGIAAEHGYFFRWSGMSDWETSSLAVDFDWKNIAEPVMKLYTEATDGSYIEVKESALVWHHQDADPDFGSCQAKELLDHLENVLANDPVAVKRGQNIVEVKPQGVTKGFVAEKVLSKMIASGKPPGFVLCIGDDRSDEDMFESISKTPYSSSLPSAPAIFACTVGQKPSKARYYLDDTVDVLALLQCLADASSSNLSSTETQVSFDNVVRKEL >Potri.015G074000.1.v4.1 pep chromosome:Pop_tri_v4:15:9964293:9970918:-1 gene:Potri.015G074000.v4.1 transcript:Potri.015G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074000.v4.1 MVSRSCISLLDFASGNMMNFSQSPRSLPRIMTVPGIISDVDVDGINDGISDAPSTGSGAKMIIVSNFLPLNAQKDLNSGKWSFSFDEDSLLLQMKDGFSAIPEVVYVGSLRVDVDSSEQEEVSQKLLEEFNCVPTFIPPDIYKKFYHGFCKHHLWPLFHYMLPLCPDHGNRFDRLLWQAYVSANKIFADKVTEVINNTEEDYVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLLVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGIHMGRVESALNHPSSSIKVKEIQEQFKGKRLVIGVDDMDIFKGISLKLLAVEHLLLQNSELRGKLVLVQIVNPARSSGKDVQEAKMEIYSITKRINNTFGFPGYEPVVLIDRHVPFCEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGVASGSRHTSSLVVSEFTGCSPSLSGAIRVNPWDIEAVANAVNTAINMPDLEKQLRHGKHYCYVSSHDVAYWARSFMQDLKRACKDHYSKRCWGIGFGLNFRILALSPSFRKLSNDYIISAYKRTSKRAIFLDYDGTMVPHTSLAKTPTPEVISVLNNLCADPMNSVFIVSGRGKKSLSDWFVQCENLGIAAEHGYFFRWSGMSDWETSSLAVDFDWKNIAEPVMKLYTEATDGSYIEVKESALVWHHQDADPDFGSCQAKELLDHLENVLANDPVAVKRGQNIVEVKPQGVTKGFVAEKVLSKMIASGKPPGFVLCIGDDRSDEDMFESISKTPYSSSLPSAPAIFACTVGQKPSKARYYLDDTVDVLALLQCLADASSSNLSSTETQVSFDNVVRKEL >Potri.015G074000.4.v4.1 pep chromosome:Pop_tri_v4:15:9964282:9970830:-1 gene:Potri.015G074000.v4.1 transcript:Potri.015G074000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074000.v4.1 MVSRSCISLLDFASGNMMNFSQSPRSLPRIMTVPGIISDVDVDGINDGISDAPSTGSGAKMIIVSNFLPLNAQKDLNSGKWSFSFDEDSLLLQMKDGFSAIPEVVYVGSLRVDVDSSEQEEVSQKLLEEFNCVPTFIPPDIYKKFYHGFCKHHLWPLFHYMLPLCPDHGNRFDRLLWQAYVSANKIFADKVTEVINNTEEDYVWVHDYHLMVLPTFLRKRFNRIKLGFFLHSPFPSSEIYRTLLVRDEILKALLNADLIGFHTFDYARHFLSCCSRMLGLDYESKRGHIGLEYFGRTVYIKILPVGIHMGRVESALNHPSSSIKVKEIQEQFKGKRLVIGVDDMDIFKGISLKLLAVEHLLLQNSELRGKLVLVQIVNPARSSGKDVQEAKMEIYSITKRINNTFGFPGYEPVVLIDRHVPFCEKTAYYALAECCIVNAVRDGMNLIPYKYIVCRQGTPKMDEALGVASGSRHTSSLVVSEFTGCSPSLSGAIRVNPWDIEAVANAVNTAINMPDLEKQLRHGKHYCYVSSHDVAYWARSFMQDLKRACKDHYSKRCWGIGFGLNFRILALSPSFRKLSNDYIISAYKRTSKRAIFLDYDGTMVPHTSLAKTPTPEVISVLNNLCADPMNSVFIVSGRGKKSLSDWFVQCENLGIAAEHGYFFRWSGMSDWETSSLAVDFDWKNIAEPVMKLYTEATDGSYIEVKESALVWHHQDADPDFGSCQAKELLDHLENVLANDPVAVKRGQNIVEVKPQGVTKGFVAEKVLSKMIASGKPPGFVLCIGDDRSDEDMFESISKTPYSSSLPSAPAIFACTVGQKPSKARYYLDDTVDVLALLQCLADASSSNLSSTETQVSFDNVVRKEL >Potri.009G135800.1.v4.1 pep chromosome:Pop_tri_v4:9:10963700:10965462:1 gene:Potri.009G135800.v4.1 transcript:Potri.009G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135800.v4.1 MSESKKITLKSSDGETFVVEEAVAVESQTIKHMIEDDCAENDIPLPNVTSKILAKVIEYCKKHVEADADKDATITGKDEFMKSWDAEFVKVDKNTLFDLILAANYLNIKDLLDLTCQAAADMIKGKTPDEIRKIFNIKNDFTPEEEEEIRRDNQWAFK >Potri.019G033800.1.v4.1 pep chromosome:Pop_tri_v4:19:4644840:4649546:1 gene:Potri.019G033800.v4.1 transcript:Potri.019G033800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033800.v4.1 MAIARTGVYVDDYLEYANTLPAELQRLLNTIRELDDRSQSMINQTRQQTNYCLGLASQSSKKGNGSIYNCYNTNNREEDDTVEKMRKDIEANQDNALILCTEKVLLARQAYELIDSHIKRLDEDLNNFAEDLKHEGKLSPDEPAILPPLPLIVPKIEKRRNFYGTPQSKRIDFRDRYWDRERDRDFELMPPPGSHKKDFTVPVEAEQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYSCVGLTPETRFKGKWYCPTCRNLPQFQWNRKGNRCVHIHNQAT >Potri.016G003000.4.v4.1 pep chromosome:Pop_tri_v4:16:155987:158802:-1 gene:Potri.016G003000.v4.1 transcript:Potri.016G003000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003000.v4.1 MGCIHSRNQGHHHDPYCCRASSKYPSTSLYSARHAAYDDGRSKLQSRYSRNGDDYHSLEQVTKALVQAGLESSNLIVGIDFTKSNEWTGSRSFHHKSLHHLGDSLNPYEQAISIIGRTLSDFDEDNRIPCFGFGDDTTRDKKVFSFYPDDQVCHGFEEVRSRYRELVPHVHLAGPTSFAPIIETAIEIVDNSGGQYHILLIIADGQVTRSVDTANGQLSPQEQNTTDAIVKASNYPLSIVLVGVGDGPWDMMHQFDDNIPCRAFDNFQFVNFTEIMSKHIPVSKKETEFALNALMEMPSQYKATIDLQLLGFQRGTPVRNIALPPPPGNSSVNSCPKYSSNNAYAPSADHSSDNRRQCPLCLCNKKDLAFGCGHQTCYDCGKYLMRCPICRAYITTRIKLYE >Potri.010G145400.1.v4.1 pep chromosome:Pop_tri_v4:10:15700935:15702468:-1 gene:Potri.010G145400.v4.1 transcript:Potri.010G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145400.v4.1 MASLARLPSILFLVFLYTSINGDSSDQSPITACPSFDCGGNGITISYPFWHQSQQFEHCGYPGFNISCSDQNPMIYLSNDLYPITNINHSSKSLTLHHTKIRRADCPKAPHDTITVNSTPSLFNSTLGNKMLHFFYNCTLYPPSLPHIACLQYGIKQSYVFLEGATPEFDWHRYCESVVSALVSDEAVSGDLVQGFGRALQGGFKLTWKQLDGECQSCEASGGFCGYNNGLHENFFCICTNRRHSTNCYDQGALTTVRPEPNYIYVAIGALIFGGSVIAATVFYFTQKKKVGSYKPV >Potri.006G105000.1.v4.1 pep chromosome:Pop_tri_v4:6:8072771:8076102:-1 gene:Potri.006G105000.v4.1 transcript:Potri.006G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105000.v4.1 MGACCSREPYGNGVDVEDAVADREQEDGEEGDAIIGDYGARVRLYGASKYTSMYTQQGRKVTNQDAMTVWEEFTGDKDMLFCGVFDGHGPYGHKVARHVRDTLPSRLSSAIKASQNNSFKRRDNEGKGDNSDEVSKNQGDEDSGDYDDSSSLLLSSWETSFIKSFKEMDEELSLDASIDSFCSGTTAVSVIKEGNNLIIANLGDSRAILCSRGPKNQLVPVQLTVDLKPNISSEAERIKNSNGRVLALDQEPEVFRVWMPDEDCPGLAMARAFGDFCLKDYGLISTPEVSYRRLTDKDEFVVLATDGVWDVLTNYEVVKIVAYARKRSMAAKLVVKYAVRAWKIKYPGCKVDDCAVICLFLKNRTLLARSFSELTQVSVNHSELEACSDVSLAKLENYSEVSRASLNHSEIAAVPRKFRSKKRGEISENAKIYLNSDENDCPPARLDKVNSSGKFPRLRNVLSRRKSTKDHEAVEAW >Potri.009G002900.3.v4.1 pep chromosome:Pop_tri_v4:9:856282:880162:1 gene:Potri.009G002900.v4.1 transcript:Potri.009G002900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G002900.v4.1 MQPNLFPFGSVFGNPFLLNADLGEEGVRFGFESSRLFFLVPFLLLQGGGGGGGGGGDSGGMDLSKVGEKILSSVRSARSLGLLPPTVDRPEVPARAAAAAAAARVLAGMPPHQRFNLPSSSEELRSIYGSRIQGHMVEELEEDFYEEDFDPVRHILEHVPSEENELMYFEEQATLRIAQLDRVAERLSHHVMEHHEVMVKGMNLVREVEKDLKVANVICMNGRRHLTSSMNEVSRDLVVNSNSKKKQALLDMLPVLTELRRALDMQIALESLVEEGNYCKAFQVLSEYLQLLDSFSGLSAIQEMSRGVEVWLGRTLQKLDALLLGVCEEFKEESYITVVDAYALIGDISGLAEKLQSFFMQEVLSESHSVLKIIVHEDLEIQMQNNRLTYSDLCHQIPESKFRTCLLRTLAILFRLMCSYHEIMNFQLESKDLVFQTSDMKQDSLGSNGSPQSVDGMLGSSSIEESTTTSMYQDCNFDVDETKSNGGEAPSSESPWYHLRKEATTFVSQTLQRGRKNLWQLTTSRVSVLLSSAVFSSMSVHQFLKNYDDLNVFILAGEAFCGVEAIEFRQKLKAVCENYLLAFHRQNIHALKMVLEKESWLKLPPDTVQAISFAGLVGDGAALIVPSHDNSSNAKLHHSNKSVKSVDANSKKSGFSSWIRSGNPFSPKLIPTSVDGHSSSLLNGATAVEYDEHANDTVSPQGNGASHKNGMPVSEDENEDLLADFIDEDSQLPSRISKPKAPKSNSSHCKTDEISAQTGSSLCLLRSMDKYARFMQKLEIVNVEVFKGICQLFEIFFYFVFETFAQQTSNSSGKSDSLKYRLKTAISRITQDCDQWIKPQLTPVSSSSPTSSSTHIHGDVTPASPSNHLLATSFGLKERCAAADAISLVAQILHRSKTHLQSMLLQNNPAIVEDFFVILVDSVPDLTEHIHRTTARLLLHINGYVDRIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLAHGGIHKEVQDRLLEYGLEIVAETLIEGLSRVKRCSNEGRALMSLDLQVLINGLQHFVHVNVKPKLQMVETFIKAYYLPETEYVHWARAHPEYRKNQIVGLINLVATMKGWKRKTRLEVIEKIE >Potri.001G180600.5.v4.1 pep chromosome:Pop_tri_v4:1:15852612:15854775:-1 gene:Potri.001G180600.v4.1 transcript:Potri.001G180600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180600.v4.1 MALPKSTEPPLPLSPTHCYTLIPCDQHEEGGVVLPFYRHPTTQDCRRWPMIIAIIFLLLLSTLVYVFWPSDPTVKVVRLRLNKIHIHTLPIINIDISLYVSLKVRNVDVYSMDFRSLDVAVKYRGKRLGHVRSDHGHVRALGSSYVHAGVDFSGISVLSDVVSLLDDLARGTVPFDTVTEVSGRLGLLFFGFPMKAKLFCAVLVNINNQTIVRQTCYPEVSRHCSLCYLLYIVIGNGVGGGHC >Potri.001G180600.3.v4.1 pep chromosome:Pop_tri_v4:1:15852236:15854841:-1 gene:Potri.001G180600.v4.1 transcript:Potri.001G180600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180600.v4.1 MALPKSTEPPLPLSPTHCYTLIPCDQHEEGGVVLPFYRHPTTQDCRRWPMIIAIIFLLLLSTLVYVFWPSDPTVKVVRLRLNKIHIHTLPIINIDISLYVSLKVRNVDVYSMDFRSLDVAVKYRGKRLGHVRSDHGHVRALGSSYVHAGVDFSGISVLSDVVSLLDDLARGTVPFDTVTEVSGRLGLLFFGFPMKAKLFCAVLVNINNQTIVRQTCYPESEMNLKP >Potri.001G093100.1.v4.1 pep chromosome:Pop_tri_v4:1:7376645:7377479:1 gene:Potri.001G093100.v4.1 transcript:Potri.001G093100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093100.v4.1 MASMQCIKPDEKACDQEQERMVHVQTEQSLSHEKVDSVTQCNCQTNGPGSQAQVDQKKNHEKNEKKMKDKKGKKEKEKEKKEKKGKKENDKKKEKSGDKKK >Potri.001G093100.4.v4.1 pep chromosome:Pop_tri_v4:1:7376643:7377268:1 gene:Potri.001G093100.v4.1 transcript:Potri.001G093100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093100.v4.1 MASMQCIKPDEKACDQEQERMVHVQTEQSLSHEKVDSVTQCNCQTNGPGSQAQVDQKKNHEKNEKKMKDKKGKKEKEKEKKEKKGKKENDKKKEKSGDKKK >Potri.006G036200.1.v4.1 pep chromosome:Pop_tri_v4:6:2315483:2317538:1 gene:Potri.006G036200.v4.1 transcript:Potri.006G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036200.v4.1 MDQLPKCDANYVPLTPINFLSRAAKVYGNRLSVVYEGIHFTWQQTYERCLRLADSLRYFNISKNDVVSVLAPNIPALYEMHFAVPMAGGVINAINTRLNPSHVATILRHSEAKVFFVDYQFVQLARQALEILMASESNSSKLVLPLVVLIDDIESPTGAIFGEWEYEQLVRKGNPGYIPYEVQDEWDPIALNYTSGTTSEPKGVVYSHRSVFLGSLGEIIAWEMASEPVYMWSLPMFHANGWTLAWGIAARGGTNVCLRNTTAKDMYRNIAQYRVTHMCCAPIVFNILLEAKPEERREITSPVQILAGGAPPPASLLEKMKPLGFHVTHTYGLTEVGPALVCEWQAKWNNLPSQDQSKIMARQGINTVALAHMDVKDLNTMIGVPWDGKTMGEIVLKGSTVMKGYFKDPKATAKAFKNGWFATGDIGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYSHPRVLEAAVVAMPHPVWGESPCAFLAIRKNSDGKSNDLKEADIIAYCKEKLPSYMIPKKVEFIPELPKNSTGKIQKFKLRDLARNFVVSETFPSKKSAQVNHNTELQGRQAQPARVASSRL >Potri.001G058500.1.v4.1 pep chromosome:Pop_tri_v4:1:4450232:4450767:-1 gene:Potri.001G058500.v4.1 transcript:Potri.001G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058500.v4.1 MEAKHRGSSQGFVRGNKMVKSLFRVTKPASKQVQSSSRVSPSPCTPNGSEVDSPTRQSYYAYPPSIHKVSITKLRGFPDIGGDENVDMKAATYISYVRERFMLERAELEAL >Potri.001G154400.10.v4.1 pep chromosome:Pop_tri_v4:1:12946295:12952195:1 gene:Potri.001G154400.v4.1 transcript:Potri.001G154400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154400.v4.1 MRLFPLSSSSSTSSEKEQSNGGSSRVMLYLNIYDLTPINNYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSVLLGSTNMSRSEFRSFIEHLSAKYHGDNYHLIAKNCNHFTDEVCKRLTGKPIPGWVNRMARLVSGSFCNCLLPESIQITAVRHLPDHPTFSDDDDGLESVGSSTTSRSEEEGSNHHLLTSPNGEVAFLKEKPVRLARELL >Potri.001G154400.2.v4.1 pep chromosome:Pop_tri_v4:1:12946411:12952202:1 gene:Potri.001G154400.v4.1 transcript:Potri.001G154400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154400.v4.1 MRLFPLSSSSSTSSEKEQSNGGSSRVMLYLNIYDLTPINNYLYWFGLGIFHSGIEVHGMEYGFGAHEYPTSGVFEVEPRSCPGFIFRRSVLLGSTNMSRSEFRSFIEHLSAKYHGDNYHLIAKNCNHFTDEVCKRLTGKPIPGWVNRMARLGSFCNCLLPESIQITAVRHLPDHPTFSDDDDGLESVGSSTTSRSEEEGSNHHLLTSPNGEVAFLKEKPVRLARELL >Potri.014G020100.1.v4.1 pep chromosome:Pop_tri_v4:14:1218393:1219117:1 gene:Potri.014G020100.v4.1 transcript:Potri.014G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G020100.v4.1 MKPVFAAIFLLCLVFSSSLFEVTMAASGFCDSKCSVRCSKAGIKDRCLKYCGICCEKCKCVPSGTYGNKHECPCYRDMKNSKGKPKCP >Potri.004G071900.1.v4.1 pep chromosome:Pop_tri_v4:4:6044334:6046642:-1 gene:Potri.004G071900.v4.1 transcript:Potri.004G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G071900.v4.1 MFLRAIGRPLLAKVKQTTGIVGLDVAPNAREVLINLYNKTLKEIKAVPEDEGYRKAVESFTTHRLKVCEEEVDWEKIEERIGCGQVEELIEEAQDELKLIEKMIEWDPWGVPDDYECEIIENDAPIPKHVPRHIPGPLPAEFYQTLEAVQTKRVEPKDAPAVTSGESQSKE >Potri.010G146000.1.v4.1 pep chromosome:Pop_tri_v4:10:15736186:15742806:1 gene:Potri.010G146000.v4.1 transcript:Potri.010G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146000.v4.1 MESTAPQAEELLKKIQQLEAGHHHLQQQMSKLKLSSTTTDPKPTQQRSHSISPQRSGPRNRVAGGPTGSSFEAAWKKGSASFRHSSPLQRESRSLNSNNASDGHSNGGGEGGDGNRGGPSSVKFTDKQYLNILQSVGQSVHIFDHSGRIIYWNRTAENLYGYSAAEALGQDAIELLIDSRDYAVANGIVQRVSMGEKWTGKFPVKNKMAERFTVVATNTPLYDDDGALVGIICVSSDSRPFQEVGVALLDSRNLEAESSNSSPRNIVMSKLGLDSQQPLQAAVASKISNLASKVSNKVKSKIRPGENSMDREGGSGDSHYSDHGYSDAALSDHREDANSSGASTPRGDVHPSPFGVFSHLDDRSPAQSCRESGDESEGKPAIHKIFTSKAEAWIGKKGLSWPWKGNEKEGSEARTTRFGWPWLQNDQESETFHQRSPSSGAKSESHVSESNRPANNEASGSWSSSINVNSTSSASSCGSTSSTVNKVDPDTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDVILAFKQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRAHMALDIARGMNYLHHYNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDVYSYGVILWELATEKIPWDNLNSMQVIGAVGFMNQQLEIPKDVDPQWASIIGSCWHSDPQCRPTFQELLEKLRDLQRQYAIQFQAARSAAGDNTQKEL >Potri.006G106400.2.v4.1 pep chromosome:Pop_tri_v4:6:8194541:8197912:-1 gene:Potri.006G106400.v4.1 transcript:Potri.006G106400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106400.v4.1 MASCTSKKSASFFLRKHRKWPYSPYKARWHRIFNQQQAMQSLKQSALKPPQQESPNKPHLLSSLIHSFSIYDVEPAPKAFDFIFKTLVKTSQFHHIPSVLDHLEKVESFEPPESTFAYLIEVYGRTNKTHEAIELFYRIPKFRCVPSVYSLNTLISVLCRNSKGLKLVPEILLKSQVMNIRVEESTFQVLITALCRIRKVGFAIEMLNCMVNDGFIVNAEIYSLLLSCLCEQKDATKFEVIGFLEQLRKLGFFPGMVDYSNVIRFLVKGKRGLDALHVLNHMKSDRIKPDIFCYTMVLHGVIEDKDYLKADELFDELLVFGLVPDAYTYNVYINGLCKQNNVQAGIKMVASMEELGCKPNLITYNMLVKQLCKVGELSKAGELVREMGLKGIGLNMQTYRIMIDGLASNGKIVEACGLFEEALDKGLCTQSLMFDEIICGLCHRDLSCKALKLLEKMVGKNVSPGARAWKALLLSSGFKLDSVETKLFSLVDSNQTQLSSENVAVE >Potri.006G106400.3.v4.1 pep chromosome:Pop_tri_v4:6:8194716:8197931:-1 gene:Potri.006G106400.v4.1 transcript:Potri.006G106400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106400.v4.1 MASCTSKKSASFFLRKHRKWPYSPYKARWHRIFNQQQAMQSLKQSALKPPQQESPNKPHLLSSLIHSFSIYDVEPAPKAFDFIFKTLVKTSQFHHIPSVLDHLEKVESFEPPESTFAYLIEVYGRTNKTHEAIELFYRIPKFRCVPSVYSLNTLISVLCRNSKGLKLVPEILLKSQVMNIRVEESTFQVLITALCRIRKVGFAIEMLNCMVNDGFIVNAEIYSLLLSCLCEQKDATKFEVIGFLEQLRKLGFFPGMVDYSNVIRFLVKGKRGLDALHVLNHMKSDRIKPDIFCYTMVLHGVIEDKDYLKADELFDELLVFGLVPDAYTYNVYINGLCKQNNVQAGIKMVASMEELGCKPNLITYNMLVKQLCKVGELSKAGELVREMGLKGIGLNMQTYRIMIDGLASNGKIVEACGLFEEALDKGLCTQSLMFDEIICGLCHRDLSCKALKLLEKMVGKNVSPGARAWKALLLSSGFKLDSVETKLFSLVDSNQTQLSSENVAVE >Potri.017G100600.1.v4.1 pep chromosome:Pop_tri_v4:17:11212546:11214378:1 gene:Potri.017G100600.v4.1 transcript:Potri.017G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100600.v4.1 MPWFFIIFLLSCTFNNLSAEASHGKKLPSAVVVGTVFCDTCFQEAFSRNSHFISGASVAVECKDEESRPGFREEVKTDEHGEFKVHLPFSVSKHVKKIKRCSVKLLSSSEPFCAVASSATSSSLHLKSRKQGTHIFSSGFFTFKPEKQPILCNQKPSTENSREFSSRKASLPSIDNPTFPPPLQDPATPYLPPLNQNYLPPLPVLPKLPPLPQLPPLPPLPGLPLLPPIPGNTKKTKTSESFESTTLPDQKAVHHPNQFSFPTPPLFPPNTFQLPPLFPPNPIQPPPSPLFPFPPIPGLTPPPPPPLFPPNPIQPPPSPLFPFPPIPGLTPPPPPPPLFPPNPIQPPPSPLFPFPPIPGLTPPPHPPPPPPPFSLIPPLPLLPPLPPLPHLPPLIPGIPPASSSPQKTSP >Potri.014G076500.3.v4.1 pep chromosome:Pop_tri_v4:14:4947476:4951102:-1 gene:Potri.014G076500.v4.1 transcript:Potri.014G076500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076500.v4.1 MVEDRGKEVLVDIQSVEDWLSHAQELVPVALDKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNALCKELLQAVSKTLKEAIELAGLCMGENYGGKLRMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPSAVASSSTEPDAAIHSNTRELLARLQIGHLEAKHRALDTLVEVMKEDEKAVLAVLGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGLLPPLIRLVESGSTVGKEKATISLQRLSMSAETARAIVGHGGIRPLIEICRTGDSVSQAAAACTLKNISAVPEVRQNLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLKRAVISEGGIRSLLVYLDGPLPQESAVGALRNLVSSVSTEMLISYGFLPRLVHVLKSGSLGAQQAAASAICRVCTSAEMKKLVGEAGCIPLLIKLLEAKSNSVREVSAQAISSLVSLSQNRRVVKRDDKSVPNLVQLLDPIPQNTAKKYAVASLASLASTKKCKKLMISYGAIGYLKKLTEMDIPGSKKLLERLERGKLRSLFSKK >Potri.014G076500.2.v4.1 pep chromosome:Pop_tri_v4:14:4947462:4950684:-1 gene:Potri.014G076500.v4.1 transcript:Potri.014G076500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076500.v4.1 MVEDRGKEVLVDIQSVEDWLSHAQELVPVALDKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNALCKELLQAVSKTLKEAIELAGLCMGENYGGKLRMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPSAVASSSTEPDAAIHSNTRELLARLQIGHLEAKHRALDTLVEVMKEDEKAVLAVLGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGLLPPLIRLVESGSTVGKEKATISLQRLSMSAETARAIVGHGGIRPLIEICRTGDSVSQAAAACTLKNISAVPEVRQNLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLKRAVISEGGIRSLLVYLDGPLPQESAVGALRNLVSSVSTEMLISYGFLPRLVHVLKSGSLGAQQAAASAICRVCTSAEMKKLVGEAGCIPLLIKLLEAKSNSVREVSAQAISSLVSLSQNRRVVKRDDKSVPNLVQLLDPIPQNTAKKYAVASLASLASTKKCKKLMISYGAIGYLKKLTEMDIPGSKKLLERLERGKLRSLFSKK >Potri.014G076500.1.v4.1 pep chromosome:Pop_tri_v4:14:4947457:4951117:-1 gene:Potri.014G076500.v4.1 transcript:Potri.014G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076500.v4.1 MVEDRGKEVLVDIQSVEDWLSHAQELVPVALDKAREVKGFPGRWKMIISKLEQIPSRLSDLSSHPCFSKNALCKELLQAVSKTLKEAIELAGLCMGENYGGKLRMQSDLDALSGKLDLNLRDCGLLIKTGVLGEATLPSAVASSSTEPDAAIHSNTRELLARLQIGHLEAKHRALDTLVEVMKEDEKAVLAVLGRSNIAALVQLLTATSPRIREKTVTVICSLAESGSCENWLVSEGLLPPLIRLVESGSTVGKEKATISLQRLSMSAETARAIVGHGGIRPLIEICRTGDSVSQAAAACTLKNISAVPEVRQNLAEEGIVKVMINLLDCGILLGSKEYAAECLQNLTASNDNLKRAVISEGGIRSLLVYLDGPLPQESAVGALRNLVSSVSTEMLISYGFLPRLVHVLKSGSLGAQQAAASAICRVCTSAEMKKLVGEAGCIPLLIKLLEAKSNSVREVSAQAISSLVSLSQNRRVVKRDDKSVPNLVQLLDPIPQNTAKKYAVASLASLASTKKCKKLMISYGAIGYLKKLTEMDIPGSKKLLERLERGKLRSLFSKK >Potri.017G069600.4.v4.1 pep chromosome:Pop_tri_v4:17:5756496:5762833:1 gene:Potri.017G069600.v4.1 transcript:Potri.017G069600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069600.v4.1 MPEETNSIDYVMEKASGPHFSGLRLDGLLSSPPSSSTASPSHRSASASESNAPKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESKRVHEYNFDHPDAFDTEQLLDCVQKMRSGHSYQVPIYDFKSHRRCSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYPNVHVIQSTFQIRGMHTLIRDKEISKHDFVFYSDRLIRLVLEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKLLIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNKFLFTSNFAIVCFVLKSQVYVPIALLFFVLVG >Potri.017G069600.2.v4.1 pep chromosome:Pop_tri_v4:17:5756485:5762835:1 gene:Potri.017G069600.v4.1 transcript:Potri.017G069600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069600.v4.1 MPEETNSIDYVMEKASGPHFSGLRLDGLLSSPPSSSTASPSHRSASASESNAPKQPFVIGVSGGTASGKTTVCDMIIQQLHDHRVVLVNQDSFYRGLTAEESKRVHEYNFDHPDAFDTEQLLDCVQKMRSGHSYQVPIYDFKSHRRCSDSFRQVNASDVIILEGILVFHDQRVRNLMNMKIFVDTDADVRLARRIRRDTVERGRDINSVLEQYAKFVKPAFDDFVLPSKKYADVIIPRGGDNHVAIDLIVQHIHTKLGQHDLCKIYPNVHVIQSTFQIRGMHTLIRDKEISKHDFVFYSDRLIRLVLEHGLGHLPFTEKQVVTPTGSVYTGVDFCKKLCGVSIVRSGESMENALRACCKGIKIGKLLIHRDGDNGKQLIYEKLPKDISERHVLLLDPVLATGNSANQAIELLIQKGVPESHIIFLNLISAPEGIHCVCKRFPSLKIVTSEIDVALNEEFRVIPGMGEFGDRYFGTDD >Potri.007G067300.1.v4.1 pep chromosome:Pop_tri_v4:7:8664828:8666808:-1 gene:Potri.007G067300.v4.1 transcript:Potri.007G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067300.v4.1 MAAEVSSLVRLFSGYKDDRNAGSEPGGAKSTALITRDLLGGATLETESLELDLDLQVPSGWEKRLDLKSGKVYLQRCNPSNSPSSSSDYRHQTNQTVAKLQDLNFPPSPSKTTLNLFDEGNLELKLVASPSSSSYRSVCTLDSVKSALERAERELGRKRSASLWKSSMSPPYSSSSSCIREIQEGGNDEKLGAPSPAAAGCPGCLSYVLIMKNNPKCPRCNSAVPMPMVKKPRIDLNISI >Potri.019G091200.2.v4.1 pep chromosome:Pop_tri_v4:19:12919042:12919869:-1 gene:Potri.019G091200.v4.1 transcript:Potri.019G091200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091200.v4.1 MALVDKAATVHSSCRPCFHLVTMIQEKEETSPGFKRFPCRCTRGLEIVYNFHVEERGRFEMESIFLRSGKYLTLNALYKIIAKILYIYG >Potri.005G211700.3.v4.1 pep chromosome:Pop_tri_v4:5:21584101:21585138:-1 gene:Potri.005G211700.v4.1 transcript:Potri.005G211700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211700.v4.1 MSELIEGLPDAVAIRCIARVPFYLHPKLELVSRSWRAVVRSPELFKARQEVGSAEDLLCVCAFDPENLWQLYDPHRDLWITLPVLPSKIRHLAHFGVVSSAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWSYDPVLRQWAARASMLVPRAMFACGTLNGKIVVAGGFTSCRKSISQAEMYDPEKDVWIPIPDLHRTHNSTCSGVVIGGKLHVLHRGLSTVQVLDNVGSGWTVEDYGWLQGPMAVVHDALYVMSHGLIFKQEGKTRKVVVSASEFRKRIGFAMMGLGDDIYVIGGVIGPDRWNWDIRPMSDVDILTVGGDRPTWRQATPMTRCRGTILGCTQLRI >Potri.005G211700.2.v4.1 pep chromosome:Pop_tri_v4:5:21583809:21587660:-1 gene:Potri.005G211700.v4.1 transcript:Potri.005G211700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211700.v4.1 MSELIEGLPDAVAIRCIARVPFYLHPKLELVSRSWRAVVRSPELFKARQEVGSAEDLLCVCAFDPENLWQLYDPHRDLWITLPVLPSKIRHLAHFGVVSSAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWSYDPVLRQWAARASMLVPRAMFACGTLNGKIVVAGGFTSCRKSISQAEMYDPEKDVWIPIPDLHRTHNSTCSGVVIGGKLHVLHRGLSTVQVLDNVGSGWTVEDYGWLQGPMAVVHDALYVMSHGLIFKQEGKTRKVVVSASEFRKRIGFAMMGLGDDIYVIGGVIGPDRWNWDIRPMSDVDILTVGGDRPTWRQATPMTRCRGTILGCTQLRI >Potri.017G011200.1.v4.1 pep chromosome:Pop_tri_v4:17:854027:855216:-1 gene:Potri.017G011200.v4.1 transcript:Potri.017G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G011200.v4.1 MGSKRFSGSLFVMLVLGFLLGVSRGYKFYVGGKDGWATNPSERYSHWAERNRFQVNDTLFFKYKKGSDSVLIVSKDDYNSCNTKNPIKSLTDGDSTFIFDRSGPFFFISGNADDCNKGKKLIIVVMAVRPKPLPPTPYSPITPASSPQPTSSPPAVSPDARSPSDSAGPAQAPSTNSKSGSSGLTAGSLSVGLVLGASIGVSFILGGFLRVV >Potri.008G202900.1.v4.1 pep chromosome:Pop_tri_v4:8:14675128:14676642:-1 gene:Potri.008G202900.v4.1 transcript:Potri.008G202900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202900.v4.1 MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGAIEFIKELHQVLQALESKKQRKSSLSPSPGPCLSPSPRAPLQLITSSLHPDHHNPFPFGNIENDLKELGAACCNSPIADVEAKISGSNVILKVISRRIPGQIVRIISVLENLSFEILHLNISSMEDTVLYSFVIKIGLECQVSVEELAVEVQQSFFQDTIYTYEL >Potri.008G202900.2.v4.1 pep chromosome:Pop_tri_v4:8:14675128:14676642:-1 gene:Potri.008G202900.v4.1 transcript:Potri.008G202900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202900.v4.1 MSHIAVERNRRRQMNEHLKVLRSLTPCFYIKRGDQASIIGGAIEFIKELHQVLQALESKKQRKSSLSPSPGPCLSPSPRAPLQLITSSLHPDHHNPFPFGNIENDLKELGAACCNSPIADVEAKISGSNVILKVISRRIPGQIVRIISVLENLSFEILHLNISSMEDTVLYSFVIKA >Potri.002G083600.1.v4.1 pep chromosome:Pop_tri_v4:2:5945006:5947036:1 gene:Potri.002G083600.v4.1 transcript:Potri.002G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083600.v4.1 MEKSKVGKGAYLNGNPHHSFSSSSASQRHVSYSCGICGYELNLSSSNRNTSSIGSKYGKSIKRGIISFFFIDESRFTQVDEFQCIPFFSRNSWGLFHRRTALLCRKCGNNIGIAYDDKASAYPLVADGSDSSSVSEVSKHRKYDVKIRALQPSSVDQFSTPIHT >Potri.007G075100.1.v4.1 pep chromosome:Pop_tri_v4:7:9934141:9935193:1 gene:Potri.007G075100.v4.1 transcript:Potri.007G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075100.v4.1 WPSKSTARTLLDAPMYERHEQWMTQYGRVYKDDNERATRYSIFKENVARIDAFNSQTGKSYKLGVNQFADLTNEEFKASRNRFKGHMCSPQAGPFRYENVSAAPSTVDWRKEGAVTPVKDQGQCGCCWAFSAVAAMEGINKLTTGKLISLSEQEVVDCDTKGEDQGCNGANYPYKGTDGTCNTNKAAIHAAKITGFEDVPANSEAALMKAVAKQPVSVAIDAGGSDFQFYSSGIFTGSCDTQLDHGVTAVGYGVSDGSKYWLVKNSWGAQWGEEGYIRMQKDISAKEGLCGIAMQASYPTA >Potri.001G172850.1.v4.1 pep chromosome:Pop_tri_v4:1:14864930:14865632:-1 gene:Potri.001G172850.v4.1 transcript:Potri.001G172850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172850.v4.1 MAGNSLKSILVSLFIFAMVLSPIIPSAEAGRLNHRGLAGTSRTICPTCVCCTPPPRGSCCNKCCASPIQTQSATGSP >Potri.012G056100.4.v4.1 pep chromosome:Pop_tri_v4:12:5477090:5485524:1 gene:Potri.012G056100.v4.1 transcript:Potri.012G056100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056100.v4.1 MMTFTTAKLTELPLRTTSTLPLSSHSLLSKIATFQSLKKPFSTATSSSLRTNKTPKTQQKNPNWISKWKPSQNHSIKNPPSEVSQEKPHYFSNDKGQNAIERIVLRLRNLGLGSDDEDELEGLEGSEINGGGLTGEERLGDLLKREWVRPDTVVFSNDEGSDSDESVLPWEREERGAVEMEGGIESGRKRRGKAPTLAELTIEDEELRRLRRMGMFIRERISIPKAGITNAVLENIHDRWRKEELVRLKFHEVLAHDMKTAHEIVERRTGGLVIWRAGSVMVVFRGTNYQGPPSKLQPADREGDALFVPDVSSTDSVITRSSNIATSSSEKSKLVMRITEPTENMTEEEAELNSLLDDLGPRFEEWWGTGLLPVDADLLPPKVPCYKTPFRLLPVGMRARLTNAEMTNMRKLAKALPCHFALGRNRNHQGLAVAILKLWEKSLVAKIAVKRGIQNTNNKLMADELKMLTGGVLLLRNKYYIVIFRGKDFLPQSVAAALAERQEVTKQIQDVEERVRSNSVEAAPSGEDEGKALAGTLAEFYEAQARWGRDISTEEREKMIEEASKAKTARLVKRTEHKLAIAQAKKLRAESLLSKIETTMVPSGPDFDQETISEEERVMFRRVGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLAFVEDTAKLLEYESGGVLVAIERVPKGFALIYYRGKNYRRPISIRPRNLLTKAKALKRSVAMQRHEALSQHIFELEKNIEEMVKEMGLSKEEENENNWSSEEHAPLNNVSKLTQSEDKAFFTESDSEDDYNEGINCEAAEDF >Potri.012G056100.5.v4.1 pep chromosome:Pop_tri_v4:12:5477175:5485455:1 gene:Potri.012G056100.v4.1 transcript:Potri.012G056100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056100.v4.1 MMTFTTAKLTELPLRTTSTLPLSSHSLLSKIATFQSLKKPFSTATSSSLRTNKTPKTQQKNPNWISKWKPSQNHSIKNPPSEVSQEKPHYFSNDKGQNAIERIVLRLRNLGLGSDDEDELEGLEGSEINGGGLTGEERLGDLLKREWVRPDTVVFSNDEGSDSDESVLPWEREERGAVEMEGGIESGRKRRGKAPTLAELTIEDEELRRLRRMGMFIRERISIPKAGITNAVLENIHDRWRKEELVRLKFHEVLAHDMKTAHEIVERRTGGLVIWRAGSVMVVFRGTNYQGPPSKLQPADREGDALFVPDVSSTDSVITRSSNIATSSSEKSKLVMRITEPTENMTEEEAELNSLLDDLGPRFEEWWGTGLLPVDADLLPPKVPCYKTPFRLLPVGMRARLTNAEMTNMRKLAKALPCHFALGRNRNHQGLAVAILKLWEKSLVAKIAVKRGIQNTNNKLMADELKMLTGGVLLLRNKYYIVIFRGKDFLPQSVAAALAERQEVTKQIQDVEERVRSNSVEAAPSGEDEGKALAGTLAEFYEAQARWGRDISTEEREKMIEEASKAKTARLVKRTEHKLAIAQAKKLRAESLLSKIETTMVPSGPDFDQETISEEERVMFRRVGLRMKAYLPLGIRGVFDGVIENMHLHWKHRELVKLISKQKTLAFVEDTAKLLEYESGGVLVAIERVPKGFALIYYRGKNYRRPISIRPRNLLTKAKALKRSVAMQRHEALSQHIFELEKNIEEMVKEMGLSKEEENENNWSSEEHAPLNNVSKLTQSEDKAFFTESDSEDDYNEGINCEAAEDF >Potri.012G014550.1.v4.1 pep chromosome:Pop_tri_v4:12:1735432:1736066:-1 gene:Potri.012G014550.v4.1 transcript:Potri.012G014550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014550.v4.1 MEFQFRSKRESSCIQVLCSTHLFLSRYKYLYPWHTNEFPISVSLVLLMHVKRRKQINKYGQLNQKREIKFQT >Potri.003G045700.7.v4.1 pep chromosome:Pop_tri_v4:3:5251531:5256799:1 gene:Potri.003G045700.v4.1 transcript:Potri.003G045700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045700.v4.1 MADRLTRIAIVTSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPISKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPRAVQGNVGQVLDQKDESHRKAELCRDLDLNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRANSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFRDESLTFKVAETPQDNAEEIQTYARYKYPTMSKTQGNFKLSVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTIEDSESEIPEFNVSYKPQKISPKFQHSVRQLLHSKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSVDCTANSPQSLLTGMNLFLSHLDITFRRDPTNFRPRINKLDSTKDREQKTAGSYYYLDD >Potri.003G045700.4.v4.1 pep chromosome:Pop_tri_v4:3:5251435:5256552:1 gene:Potri.003G045700.v4.1 transcript:Potri.003G045700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045700.v4.1 MADRLTRIAIVTSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPISKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPRAVQGNVGQVLDQKDESHRKAELCRDLDLNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRANSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFRDESLTFKVAETPQDNAEEIQTYARYKYPTMSKTQGNFKLSVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTIEDSESEIPEFNVSYKPQKISPKFQHSVRQLLHSKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSVDCTANSPQSLLTGMNLFLSHLDITFRRDPTNFRPRINKLDSTKDREQKTAGSYYYLDD >Potri.003G045700.8.v4.1 pep chromosome:Pop_tri_v4:3:5251432:5256326:1 gene:Potri.003G045700.v4.1 transcript:Potri.003G045700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045700.v4.1 MADRLTRIAIVTSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPISKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPRAVQGNVGQVLDQKDESHRKAELCRDLDLNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRANSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFRDESLTFKVAETPQDNAEEIQTYARYKYPTMSKTQGNFKLSVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTIEDSESEIPEFNVSYKPQKISPKFQHSVRQLLHSKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMATYLADRVIVYEGQPSVDCTANSPQSLLTGMNLFLSHLDITFRRDPTNFRPRINKLDSTKDREQKTAGSYYYLDD >Potri.002G094000.1.v4.1 pep chromosome:Pop_tri_v4:2:6828671:6833539:-1 gene:Potri.002G094000.v4.1 transcript:Potri.002G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094000.v4.1 MRPKKLCFFTFTFFTLSVLRVESTQPPFSCDSSNPSTKAFPFCETTLPISQRARDLVSRLTLDEKISQLVNSAPPIPRLGIPGYEWWSEALHGVSNAGPGIHFNDNIKGATSFPQVILTAASFDAYQWYRIGQAIGKEARALYNAGQATGMTFWAPNINIFRDPRWGRGQETPGEDPLVTGLYAASYVKGVQGDSFEGGKIKGHLQASACCKHFTAYDLDNWKGMNRFVFDARVTMQDLADTYQPPFKSCVEQGRASGIMCAYNKVNGVPSCADSNLLSKTARAQWGFRGYITSDCDAVSIIHDDQGYAKSPEDAVVDVLKAGMDVNCGSYLLKHAKVAVEQKKLSESDIDKALHNLFSVRMRLGLFNGRPEGQLFGNIGPDQVCSQEHQILALEAARNGIVLLKNSARLLPLSKSKTKSLAVIGPNANSGQMLLGNYAGPPCRFVTPLQALQSYIKQTVYHPACDTVQCSSASVDRAVDVAKGADNVVLMMGLDQTQEREELDRTDLLLPGKQQELIIAVAKAAKNPVVLVLFSGGPVDISFAKNDKNIGSILWAGYPGEGGAIALAEIVFGDHNPGGRLPMTWYPQEFVKVPMTDMGMRPEASSGYPGRTYRFYRGRSVFEFGYGISYSKYSYELTAVSQNTLYLNQSSTMHIINDFDSVRSTLISELGTEFCEQNKCRARIGVKNHGEMAGKHPVLLFARQEKHGNGRPRKQLIGFQSVVLGAGERAEIEFEVSPCEHLSRANEDGLMVMEEGRHFLVVDGDEYPISVVI >Potri.015G054300.1.v4.1 pep chromosome:Pop_tri_v4:15:7284419:7285514:1 gene:Potri.015G054300.v4.1 transcript:Potri.015G054300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054300.v4.1 MDQENRKKRTRDELGVSSSESPEVKLARVDSEANSPLLEPDRDELVEVREDLLSIFDDSDEPVVQGLESVIKSFEEEIHVGVPVPDMSSDSGGSQTDLGYLLEASDDELGLPPTFAVGEEKDTAAVAVLPAEEEGPGAVGFVEMLRFEDEIPSYDSFEFGLVGNTEGNHNYCDNNGDFVALGGLFDYSDENSVPADISGLLWQPELSAL >Potri.005G241602.1.v4.1 pep chromosome:Pop_tri_v4:5:23830906:23832746:1 gene:Potri.005G241602.v4.1 transcript:Potri.005G241602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241602.v4.1 MAGALHWIFNMQQDNYLDDWALHVSGDKRNSKWSWFYTVLAMTALQEAAEAYLVGLHFCPRLNLVILLANPKLLGSRGLQALVDKNGDGCLEDFCRLMELRR >Potri.017G146500.3.v4.1 pep chromosome:Pop_tri_v4:17:14648729:14650281:1 gene:Potri.017G146500.v4.1 transcript:Potri.017G146500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146500.v4.1 MLLIGYPSCLNTSYTTFSLFYQHQRWFVLASCPRHGIRSIPKFQFSVTDRYFRTCFFTFQQPLQPQLAKHINRCIRLGTQKGVKELSIYFCVPDYYRLPEATLSVKELVVCRLAGCILSGPINWPSLRELSLKQVKICDQRIFDNLVFTCPFIEKFALVECDGLKYLHLSGLRKLKKVKVKRQSFPLMEKIEIDVVSLHTFSYSPFYFEKTHIDLTSCKNLEVFKFKGCTESFRKYLKNLNQIKRVTLCIFCYSSSGFEKIVSKVSDPVLHITHLKLKTYIIEKKGHSLVDVLFCICRPESLFLVSGRGTNDEFMKILCKKLVRRVKHNHLNGWQHDLKGVQIERCGRNGYRKVVTCDAFLDSLQTLEPKETIRFEFEWSFNSLP >Potri.017G146500.2.v4.1 pep chromosome:Pop_tri_v4:17:14648729:14650281:1 gene:Potri.017G146500.v4.1 transcript:Potri.017G146500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146500.v4.1 MNTISMDAADRISQLPEHIIHHILSLLSTPEVVRLSVLSKTWHQVFNSFPISEFSSSSFLVENSDRSLEFATFVYNSLLRQCRQYRSIPKFQFSVTDRYFRTCFFTFQQPLQPQLAKHINRCIRLGTQKGVKELSIYFCVPDYYRLPEATLSVKELVVCRLAGCILSGPINWPSLRELSLKQVKICDQRIFDNLVFTCPFIEKFALVECDGLKYLHLSGLRKLKKVKVKRQSFPLMEKIEIDVVSLHTFSYSPFYFEKTHIDLTSCKNLEVFKFKGCTESFRKYLKNLNQIKRVTLCIFCYSSSGFEKIVSKVSDPVLHITHLKLKTYIIEKKGHSLVDVLFCICRPESLFLVSGRGTNDEFMKILCKKLVRRVKHNHLNGWQHDLKGVQIERCGRNGYRKVVTCDAFLDSLQTLEPKETIRFEFEWSFNSLP >Potri.004G165800.1.v4.1 pep chromosome:Pop_tri_v4:4:18496393:18497094:1 gene:Potri.004G165800.v4.1 transcript:Potri.004G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G165800.v4.1 MGIQLMGITHAKQKLQRSLSAKIAGVLATSNVPRGHIAVYVGEGYRKRCVIPIAYLNHPLFQGLLNRAEEEFGFDHPMGGLTIPCSEECFVSLTSFLSSTS >Potri.010G230300.2.v4.1 pep chromosome:Pop_tri_v4:10:21263216:21267763:-1 gene:Potri.010G230300.v4.1 transcript:Potri.010G230300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230300.v4.1 MGTEEFKKEMEVANWFSQLPYEQWVPIPVSGTRPSARYKHAAGVADEKLYIAGGSRTGRYLPDVQVFDFRGLVWSSLKLKSEADGGKSEENGAQEVLPATSDHSMVKWGNKLLLLGGHSKTTSDSMTVRFIDLETHACGFIETSGNAPVARGGHSVTLVGSRLIIFGGEDRNRRLLNDVYALDLETMTWDVVVARQTPPAPRFDHTAAINREHYLLIFGGCSHSIFFNDLHVLDLQTMEWSQPEVQGDLVTPRAGHAGVTIGENWYIVGGGDNKNGCPETLVLNMSKLTWSALTSVKERDPLASEGLSVCSALINGERHLVAFGGYNGKYNNEVFVMRLKPSDVSRPKIFQSPAAAAAAASVTAAYALAKSEKLDFSSLNLNSNGVGNNPSELDLAFEIDALKEEKKELELFLTEVRAENSRLTEKVDEVNGTHAELSKELHSVQGQLAAERSRCFKLEAQIAELQKILESLQSIENEVQLLRRQKSALEQEIERSAAQRQGSGGVWRWIAG >Potri.010G230300.1.v4.1 pep chromosome:Pop_tri_v4:10:21263247:21267717:-1 gene:Potri.010G230300.v4.1 transcript:Potri.010G230300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230300.v4.1 MEVANWFSQLPYEQWVPIPVSGTRPSARYKHAAGVADEKLYIAGGSRTGRYLPDVQVFDFRGLVWSSLKLKSEADGGKSEENGAQEVLPATSDHSMVKWGNKLLLLGGHSKTTSDSMTVRFIDLETHACGFIETSGNAPVARGGHSVTLVGSRLIIFGGEDRNRRLLNDVYALDLETMTWDVVVARQTPPAPRFDHTAAINREHYLLIFGGCSHSIFFNDLHVLDLQTMEWSQPEVQGDLVTPRAGHAGVTIGENWYIVGGGDNKNGCPETLVLNMSKLTWSALTSVKERDPLASEGLSVCSALINGERHLVAFGGYNGKYNNEVFVMRLKPSDVSRPKIFQSPAAAAAAASVTAAYALAKSEKLDFSSLNLNSNGVGNNPSELDLAFEIDALKEEKKELELFLTEVRAENSRLTEKVDEVNGTHAELSKELHSVQGQLAAERSRCFKLEAQIAELQKILESLQSIENEVQLLRRQKSALEQEIERSAAQRQGSGGVWRWIAG >Potri.008G126200.2.v4.1 pep chromosome:Pop_tri_v4:8:8220218:8222380:-1 gene:Potri.008G126200.v4.1 transcript:Potri.008G126200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126200.v4.1 MASFSYAIPLPIRCSTGSNNQDPNKQSLNKIKITGSPTRSLKVDILSQTAGIRQNIPTKKQLVDYHRQGLIIKEGVGYRQTVVIRSYESIRNLLQETALNHVWVSGLLSNGFGATHEMMKNDLIRVVSRMQVQVDRYPIWGEIMEIGTWVGAPGKNGMRRDWLIRSQAKGHVFARATSTWVMMNQKTRRLSKMPEEVMAEISPWFIEKQAIQEDVPEKISKLDSKAKYVNSNLKMEETRDLDMNQLVNNMKYVRWMLETIPDQFLVSHQLSSIILEYRKECGGSNIVQSLCKPDEDGILNSGLKQNNDMSPLNRFSLASEIMEGNGLLGSLDNVPLRYTHLLQTQGETKNEEIVRGKDHMEEKAI >Potri.004G075525.6.v4.1 pep chromosome:Pop_tri_v4:4:6288313:6292860:1 gene:Potri.004G075525.v4.1 transcript:Potri.004G075525.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075525.v4.1 MILSSMLTMRKSAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTIFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.004G075525.2.v4.1 pep chromosome:Pop_tri_v4:4:6288384:6292929:1 gene:Potri.004G075525.v4.1 transcript:Potri.004G075525.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075525.v4.1 MILSSMLTMRKSAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTIFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.004G075525.3.v4.1 pep chromosome:Pop_tri_v4:4:6288332:6292911:1 gene:Potri.004G075525.v4.1 transcript:Potri.004G075525.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075525.v4.1 MILSSMLTMRKSAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTIFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.004G075525.4.v4.1 pep chromosome:Pop_tri_v4:4:6288313:6292893:1 gene:Potri.004G075525.v4.1 transcript:Potri.004G075525.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075525.v4.1 MILSSMLTMRKSAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTIFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.004G075525.5.v4.1 pep chromosome:Pop_tri_v4:4:6288313:6292870:1 gene:Potri.004G075525.v4.1 transcript:Potri.004G075525.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075525.v4.1 MILSSMLTMRKSAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTIFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.004G075525.1.v4.1 pep chromosome:Pop_tri_v4:4:6288306:6292973:1 gene:Potri.004G075525.v4.1 transcript:Potri.004G075525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075525.v4.1 MILSSMLTMRKSAEDQEETGWKYIHGDVFRYPKYKSVLAAAVGSGTQLFTLTIFIFLLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGGLFCGPLLLTFSFLNTVAITYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPCRTTKYPREIPLLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYFARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYHSIKCE >Potri.013G154600.1.v4.1 pep chromosome:Pop_tri_v4:13:14990827:15000170:1 gene:Potri.013G154600.v4.1 transcript:Potri.013G154600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154600.v4.1 MFKIAAAFGVCKIVSPSFQKPLSLGITFSPQTQRKKERETMVALCVSRSDDVVIKSPNDKRLYRVIELENGLCALLVHDPEIYPDGVPDESGTVEYGENDVEEEEDDDDEDDDEEEEDDEEGEEEEEEEEEEENSEGEEEKGKGGASLQTKKAAAAMCVAMGSFSDPAEAQGLAHFLEHMLFMGSEEFPDENEYDSFLSKHGGSSNAYTEAEHTCYHFEVKREFLKGALRRFSQFFVSPLMKSEAMEREVLAVDSEFNQVLQSDACRLQQLQCHTSGPGHPFNRFSWGNKKSLVDAMEKGINLREHILKLYRDYYHGGLMKLVVIGGEPLDVLESWVTELFAKVRKGPQTKPKFQVEGPIWKAGLLYRLEAVKDVNILDLTWTLPCLHQDYLKKSEDYLAHLLGHEGKGSLHSFLKARGLATSLSAGVGDEGMHRSSLAYIFGMSIHLTDYGLEKIFDIIGFVYQYLKLLREVPPQQWIFKELQDIGNMEFRFAEEQPQDDYAAELAENLLVFPAENVIYCDYVYKIWDEKAIKHLLQFFTPENMRIDVVSKPSVKSQDLQCEPWFGSSYIEEAIPPSLIEIWRDPSEVDVSLHMPSKNEFVPSDFSIRADNLDHDLVNASFPRCIIDEPLMKFWYKLDSTFKVPRANTYFRIYLKDGYASMKSFLMTELFILLLKDELNEIIYQASVAKLETSISLVSDKLELKVYGFNEKLPALLSKVLVIAKSFLPSDDRFKVIKEDLERNLKNANMKPLSHSSYLRLQVLCKSFYDVEEKQCVLSDLSLADLNAFIPELRSQLYIEALCHGNLLQEEAINLSNIIRNNLSVQPLPVNMRHEEHVICLPSSANLVRDVNVKNKSETNSVVELYFQIEPEVGLDSIKLKALADLFDEIVEEPLFNQLRTKEQLGYVVECSPRVTYRINGFCFIVQSSKYNPVYLLGRIENFINGLEELLEGLDDASFENYKSGLVAKLLEKDPSLQYETNRLWNQITDKRYVFDSSLKEAEKLKSIHKSDVINWFRTYLQQSSPKCRRLTIRLWGCNIDLKEVETRPDSEQVITDITAFKVSSEYYPSLC >Potri.010G198300.9.v4.1 pep chromosome:Pop_tri_v4:10:19130005:19133107:1 gene:Potri.010G198300.v4.1 transcript:Potri.010G198300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198300.v4.1 MDESYDNLPSSHLLGSVPAVVTEEKAKNVVNYEVPEANMQTFPTNINGGGGRGYQTLGSLPEEFEQQPPNNWKGIFSVSSYTQYFNVDTDTVINRLMSSFYPFGGDFFSRIDANPDLYGPVWVSTTLIFVLASLGNLATYLIQKHTDHKASWSFEVGYVNVAVFSVYGYAIVVPLAFYFLLRYLESNPKLLQFWCMWGYSLFIFVPSSFLLVIPVEVLRWIIILAAGIDSAFFLQLALAIFFKVWFFH >Potri.010G198300.7.v4.1 pep chromosome:Pop_tri_v4:10:19130045:19133034:1 gene:Potri.010G198300.v4.1 transcript:Potri.010G198300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198300.v4.1 MDESYDNLPSSHLLGSVPAVVTEEKAKNVVNYEVPEANMQTFPTNINGGGGRGYQTLGSLPEEFEQQPPNNWKGIFSVSSYTQYFNVDTDTVINRLMSSFYPFGGDFFSRIDANPDLYGPVWVSTTLIFVLASLGNLATYLIQKHTDHKASWSFEVGYVNVAVFSVYGYAIVVPLAFYFLLRYLESNPKLLQFWCMWGYSLFIFVPSSFLLVIPVEVLRWIIILAAGIDSGMFVATNLKTLVEPNDLTIMVVAAFFLQLALAIFFKVWFFH >Potri.003G009900.11.v4.1 pep chromosome:Pop_tri_v4:3:918895:928719:1 gene:Potri.003G009900.v4.1 transcript:Potri.003G009900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009900.v4.1 MATESPLRMPSHESATFVPSTPNMAVEDLGFLRNGQRFRGSGGDAVPNRSGSAPPSMEGSFFAINNLISQQNSNLNPRLGSSNNALLAFNSEKQSYLSYYGTGASPNLRLPTPPIPRENQHPGRHAVKFGTNWGLAPIDDNSKNSLHLSQGLLSTHKEELEGDHSPKEPLDSLANMTNGFWSGGDAAPLAGQSKRLVDIIQEDFPRTPSPVYNQSRSLSPGTTDEAADQDVFFGSLHDSTASTSNGIPSILGTTQPKPPLSKGFVNRVDIGVIESRMKDLNISSPQNPKEQRYQEQWHHSYQSHVQQHQVHQQPGNVFQVQNAKSQMGSQGVNSAHIGMDQLLHGPSTFSAEVQSVLQSLGFTPPLYGTTGYMTSPNPFYPNLQAPGLCAPQYGIGGYALNSTVIPPYVAGYPPHGTVSMVFDGSASPNFNAGMSGSSSEGSLAHGADVQHYNKFYGQLGYVVQPSVIDPLYMQYYQQPYGLTYNMSGQFDPSASGGGAIGRQNNAPASKKGSEVAAGLEEQKLLHHQRGGVSDLNRSRGRVMNLPYFGNSPNIGLLQYPSSPLASPVLPGSPVGGTGFSGGRNEMRFPPGSGRYAPVCSGWQGQRGPESFNDPKIHNFLEELKSGKVRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSAEEKALVFKEVLPHASKLMTDVFGNYLIQKVFEYGSMEQRKELANQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVLELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIFSAFCGEVATLSMHPYGCRVIQRVLEHCAYELQCEFIVDEILESVLILAQDQYGNYVTQHVLERGKPRERYQIISKLSGHIVLLSQHKFGSNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLTMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQYGEENQTS >Potri.003G009900.10.v4.1 pep chromosome:Pop_tri_v4:3:919849:928894:1 gene:Potri.003G009900.v4.1 transcript:Potri.003G009900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009900.v4.1 MATESPLRMPSHESATFVPSTPNMAVEDLGFLRNGQRFRGSGGDAVPNRSGSAPPSMEGSFFAINNLISQQNSNLNPRLGSSNNALLAFNSEKQSYLSYYGTGASPNLRLPTPPIPRENQHPGRHAVKFGTNWGLAPIDDNSKNSLHLSQGLLSTHKEELEGDHSPKEPLDSLANMTNGFWSGGDAAPLAGQSKRLVDIIQEDFPRTPSPVYNQSRSLSPGTTDEAADQDVFFGSLHDSTASTSNGIPSILGTTQPKPPLSKGFVNRVDIGVIESRMKDLNISSPQNPKEQRYQEQWHHSYQSHVQQHQVHQQPGNVFQVQNAKSQMGSQGVNSAHIGMDQLLHGPSTFSAEVQSVLQSLGFTPPLYGTTGYMTSPNPFYPNLQAPGLCAPQYGIGGYALNSTVIPPYVAGYPPHGTVSMVFDGSASPNFNAGMSGSSSEGSLAHGADVQHYNKFYGQLGYVVQPSVIDPLYMQYYQQPYGLTYNMSGQFDPSASGGGAIGRQNNAPASKKGSEVAAGLEEQKLLHHQRGGVSDLNRSRGRVMNLPYFGNSPNIGLLQYPSSPLASPVLPGSPVGGTGFSGGRNEMRFPPGSGRYAPVCSGWQGQRGPESFNDPKIHNFLEELKSGKVRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSAEEKALVFKEVLPHASKLMTDVFGNYLIQKVFEYGSMEQRKELANQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVLELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIFSAFCGEVATLSMHPYGCRVIQRVLEHCAYELQCEFIVDEILESVLILAQDQYGNYVTQHVLERGKPRERYQIISKLSGHIVLLSQHKFGSNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLTMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQYGEENQTS >Potri.003G009900.2.v4.1 pep chromosome:Pop_tri_v4:3:918895:928929:1 gene:Potri.003G009900.v4.1 transcript:Potri.003G009900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009900.v4.1 MATESPLRMPSHESATFVPSTPNMAVEDLGFLRNGQRFRGSGGDAVPNRSGSAPPSMEGSFFAINNLISQQNSNLNPRLGSSNNALLAFNSEKQSYLSYYGTGASPNLRLPTPPIPRENQHPGRHAVKFGTNWGLAPIDDNSKNSLHLSQGLLSTHKEELEGDHSPKEPLDSLANMTNGFWSGGDAAPLAGQSKRLVDIIQEDFPRTPSPVYNQSRSLSPGTTDEAADQDVFFGSLHDSTASTSNGIPSILGTTQPKPPLSKGFVNRVDIGVIESRMKDLNISSPQNPKEQRYQEQWHHSYQSHVQQHQVHQQPGNVFQVQNAKSQMGSQGVNSAHIGMDQLLHGPSTFSAEVQSVLQSLGFTPPLYGTTGYMTSPNPFYPNLQAPGLCAPQYGIGGYALNSTVIPPYVAGYPPHGTVSMVFDGSASPNFNAGMSGSSSEGSLAHGADVQHYNKFYGQLGYVVQPSVIDPLYMQYYQQPYGLTYNMSGQFDPSASGGGAIGRQNNAPASKKGSEVAAGLEEQKLLHHQRGGVSDLNRSRGRVMNLPYFGNSPNIGLLQYPSSPLASPVLPGSPVGGTGFSGGRNEMRFPPGSGRYAPVCSGWQGQRGPESFNDPKIHNFLEELKSGKVRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSAEEKALVFKEVLPHASKLMTDVFGNYLIQKVFEYGSMEQRKELANQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVLELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIFSAFCGEVATLSMHPYGCRVIQRVLEHCAYELQCEFIVDEILESVLILAQDQYGNYVTQHVLERGKPRERYQIISKLSGHIVLLSQHKFGSNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLTMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQYGEENQTS >Potri.003G009900.9.v4.1 pep chromosome:Pop_tri_v4:3:918937:928143:1 gene:Potri.003G009900.v4.1 transcript:Potri.003G009900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009900.v4.1 MATESPLRMPSHESATFVPSTPNMAVEDLGFLRNGQRFRGSGGDAVPNRSGSAPPSMEGSFFAINNLISQQNSNLNPRLGSSNNALLAFNSEKQSYLSYYGTGASPNLRLPTPPIPRENQHPGRHAVKFGTNWGLAPIDDNSKNSLHLSQGLLSTHKEELEGDHSPKEPLDSLANMTNGFWSGGDAAPLAGQSKRLVDIIQEDFPRTPSPVYNQSRSLSPGTTDEAADQDVFFGSLHDSTASTSNGIPSILGTTQPKPPLSKGFVNRVDIGVIESRMKDLNISSPQNPKEQRYQEQWHHSYQSHVQQHQVHQQPGNVFQVQNAKSQMGSQGVNSAHIGMDQLLHGPSTFSAEVQSVLQSLGFTPPLYGTTGYMTSPNPFYPNLQAPGLCAPQYGIGGYALNSTVIPPYVAGYPPHGTVSMVFDGSASPNFNAGMSGSSSEGSLAHGADVQHYNKFYGQLGYVVQPSVIDPLYMQYYQQPYGLTYNMSGQFDPSASGGGAIGRQNNAPASKKGSEVAAGLEEQKLLHHQRGGVSDLNRSRGRVMNLPYFGNSPNIGLLQYPSSPLASPVLPGSPVGGTGFSGGRNEMRFPPGSGRYAPVCSGWQGQRGPESFNDPKIHNFLEELKSGKVRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSAEEKALVFKEVLPHASKLMTDVFGNYLIQKVFEYGSMEQRKELANQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVLELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIFSAFCGEVATLSMHPYGCRVIQRVLEHCAYELQCEFIVDEILESVLILAQDQYGNYVTQHVLERGKPRERYQIISKLSGHIVLLSQHKFGSNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLTMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQYGEGGPLGP >Potri.003G009900.8.v4.1 pep chromosome:Pop_tri_v4:3:918933:928735:1 gene:Potri.003G009900.v4.1 transcript:Potri.003G009900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009900.v4.1 MATESPLRMPSHESATFVPSTPNMAVEDLGFLRNGQRFRGSGGDAVPNRSGSAPPSMEGSFFAINNLISQQNSNLNPRLGSSNNALLAFNSEKQSYLSYYGTGASPNLRLPTPPIPRENQHPGRHAVKFGTNWGLAPIDDNSKNSLHLSQGLLSTHKEELEGDHSPKEPLDSLANMTNGFWSGGDAAPLAGQSKRLVDIIQEDFPRTPSPVYNQSRSLSPGTTDEAADQDVFFGSLHDSTASTSNGIPSILGMKNVRLSLNADPSIAPVTSSLSLKCTGTTQPKPPLSKGFVNRVDIGVIESRMKDLNISSPQNPKEQRYQEQWHHSYQSHVQQHQVHQQPGNVFQVQNAKSQMGSQGVNSAHIGMDQLLHGPSTFSAEVQSVLQSLGFTPPLYGTTGYMTSPNPFYPNLQAPGLCAPQYGIGGYALNSTVIPPYVAGYPPHGTVSMVFDGSASPNFNAGMSGSSSEGSLAHGADVQHYNKFYGQLGYVVQPSVIDPLYMQYYQQPYGLTYNMSGQFDPSASGGGAIGRQNNAPASKKGSEVAAGLEEQKLLHHQRGGVSDLNRSRGRVMNLPYFGNSPNIGLLQYPSSPLASPVLPGSPVGGTGFSGGRNEMRFPPGSGRYAPVCSGWQGQRGPESFNDPKIHNFLEELKSGKVRRFELSDIVGHIVEFSADQHGSRFIQQKLENCSAEEKALVFKEVLPHASKLMTDVFGNYLIQKVFEYGSMEQRKELANQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVLELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIFSAFCGEVATLSMHPYGCRVIQRVLEHCAYELQCEFIVDEILESVLILAQDQYGNYVTQHVLERGKPRERYQIISKLSGHIVLLSQHKFGSNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLTMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQYGEENQTS >Potri.007G141900.2.v4.1 pep chromosome:Pop_tri_v4:7:15149856:15151798:1 gene:Potri.007G141900.v4.1 transcript:Potri.007G141900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G141900.v4.1 MQLKIPPEKLLVTVSRLPALSPLEITDLPSFVQGMDSESEYSFLLNHVVGQFSNFREADWIFVNTSSTLEEEAVNWLASQRSIKPIGPLIPSFYLDKQLEDDKEYGPSLFKPNPDGCNEWLDSKETGSVVYVSFGSMAALGDEQMAEIAWGLKRSDCCFLWVVRESERKKLPSNFVEKSSKKSLLVTWSPQLEVLAHKSVGCFMTHCGWNLTLEALSLGVPMVAMPHWTDQPTNAKCIADVWHVGVRVKANE >Potri.015G103600.1.v4.1 pep chromosome:Pop_tri_v4:15:12250018:12251224:1 gene:Potri.015G103600.v4.1 transcript:Potri.015G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103600.v4.1 MPNNEAKFSVNQPLKTQKLFIGVQIFFRIVAIAASVASSWLMITSKQVIDIGGIVLDARYSYSPEFKFLAFTNIVVGCFSLLSLLFLVLVVRQGSNPNHYFFLFLHDLAMMSLVVGGCAAATTVGFLGKHGNSHTGWMQICDNFGKFCNRAQTSVTISYLNLICLSILTITSASKSRKMEA >Potri.009G080000.10.v4.1 pep chromosome:Pop_tri_v4:9:7662901:7666322:-1 gene:Potri.009G080000.v4.1 transcript:Potri.009G080000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080000.v4.1 MASAVLANRNEPNWTQPQPRGGGAKFMGKIPFSNPNPKFSKKRQFQPPQPPQIPDVDESPSAASDDASSINRRPQNNHHDFNTGGYVSFNVSSCSKKELIELKSRLVYELEKIRELKNRIESSDFHIGQPSSNFSSKKQTSTNKKVSGNKRPFPAPSNFNNFKRSSPDNAQLMKNCSQILSKLMKQKLGYIFNTPVDVVGLQLHDYHDIIKNPMDLGTVKTNLSKNLYESPRDFAADVRLTFNNAMKYNPKGHEVYILAEQFLTRFQDLYRPIKEKVGEDVEEEENDLVQEVQASSWDHIRREPERVSKIDGDFMPVTAKSDPIGQQQQPTGMNQNPNSVRTPSPMRVPQVKPLKQPKPKAKDPNKREMNLEEKHKLGVGLQSLPQEKMEQVVQIIRKRNGHLRQEGDEIELDIEAVDTETLWELDRFVTNYKKMVSKIKRQALMGINTNAGATAISEGNNKDVPGNDRMEVVNEAKKPKKGDVGDEDVDIGDEMPMSSFPPVEIEKDNGHASSSSSSSSSSSDDSSSSSDSDSGSSSGSDSEDAHS >Potri.009G080000.5.v4.1 pep chromosome:Pop_tri_v4:9:7663088:7666528:-1 gene:Potri.009G080000.v4.1 transcript:Potri.009G080000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080000.v4.1 MASAVLANRNEPNWTQPQPRGGGAKFMGKIPFSNPNPKFSKKRQFQPPQPPQIPDVDESPSAASDDASSINRRPQNNHHDFNTGGYVSFNVSSCSKKELIELKSRLVYELEKIRELKNRIESSDFHIGQPSSNFSSKKQTSTNKKVSGNKRPFPAPSNFNNFKRSSPDNAQLMKNCSQILSKLMKQKLGYIFNTPVDVVGLQLHDYHDIIKNPMDLGTVKTNLSKNLYESPRDFAADVRLTFNNAMKYNPKGHEVYILAEQFLTRFQDLYRPIKEKVGEDVEEEENDLVQEVQASSWDHIRREPERVSKIDGDFMPVTAKSDPIGQQQQPTGMNQNPNSVRTPSPMRVPQVKPLKQPKPKAKDPNKREMNLEEKHKLGVGLQSLPQEKMEQVVQIIRKRNGHLRQEGDEIELDIEAVDTETLWELDRFVTNYKKMVSKIKRQALMGINTNAGATAISEGNNKDVPGNDRMEVVNEAKKPKKGDVGDEDVDIGDEMPMSSFPPVEIEKDNGHASSSSSSSSSSSDDSSSSNSDSGSSSGSDSEDAHS >Potri.009G080000.8.v4.1 pep chromosome:Pop_tri_v4:9:7663066:7666319:-1 gene:Potri.009G080000.v4.1 transcript:Potri.009G080000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080000.v4.1 MASAVLANRNEPNWTQPQPRGGGAKFMGKIPFSNPNPKFSKKRQFQPPQPPQIPDVDESPSAASDDASSINRRPQNNHHDFNTGGYVSFNVSSCSKKELIELKSRLVYELEKIRELKNRIESSDFHIGQPSSNFSSKKQTSTNKKVSGNKRPFPAPSNFNNFKRSSPDNAQLMKNCSQILSKLMKQKLGYIFNTPVDVVGLQLHDYHDIIKNPMDLGTVKTNLSKNLYESPRDFAADVRLTFNNAMKYNPKGHEVYILAEQFLTRFQDLYRPIKEKVGEDVEEEENDLVQEVQASSWDHIRREPERVSKIDGDFMPVTAKSDPIGQQQQPTGMNQNPNSVRTPSPMRVPQVKPLKQPKPKAKDPNKREMNLEEKHKLGVGLQSLPQEKMEQVVQIIRKRNGHLRQEGDEIELDIEAVDTETLWELDRFVTNYKKMVSKIKRQALMGINTNAGATAISEGNNKDVPGNDRMEVVNEAKKPKKGDVGDEDVDIGDEMPMSSFPPVEIEKDNGHASSSSSSSSSSSDDSSSSSDSDSGSSSGSDSEDAHS >Potri.001G299000.1.v4.1 pep chromosome:Pop_tri_v4:1:30980872:30987479:-1 gene:Potri.001G299000.v4.1 transcript:Potri.001G299000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299000.v4.1 MEDKEWVLVQRSTEKDWWNPSLMEDNNGESSKPLRVTFSGPAKHWTDAIPIGNGRLGAMIWGGVALETLQLNEDTLWTGIPGDYTNPNAPAALLEVRKLVDNGQYAEATTAAEKLSGNQSDVYQLLGDIKLEFDDSHLKYDEKTYKRELDLDTATARVKYSVADIEYTREHFASNPNQVIVTKISGSKPGSVSFTVSLDSKMSHHSYVKGENQIIIEGSCPGNRYAQKLNENDSPQGIQFTAILDLQVSEARGLVRVSEDSKLRVEGSDWAVLLLVSSSSFDGPFTKPIDSKKNPTSDSLSVLKSIGNLSYVDLYAHHLDDYQSLFHRVSLQLSKSSKNVSGNASLTRKEHMPFKSDISLNGSEDDTVSTAERVKAFQTDEDPSLVELLFQYGRYLLISCSRPGTQVANLQGIWNKDLTPPWDGAQHLNINLQMNYWPSLSCNLKECQEPLFEYISSLSISGSRTAKVNYEAKGWVAHQVSDLWAKTSPDAGQALWALWPMGGAWLCTHLWEHYTYAKDKDFLRDKAYPLLEGCTSFLLDWLIEGPGGYLETNPSTSPEHMFIAPDGKPASVSYSSTMDMSIIKEVFSAIVSAAKILGRNEDELVQKVLEALPRLLPTKIARDGSIMEWAQDFQDPEVHHRHVSHLFGLFPGHTITVEKTPDLCKAAGNTLYKRGEDGPGWSTMWKAALWARLHNSEHAYRMVKHLFVLVDPENEGNYEGGLYSNLFTAHPPFQIDANFGFPAAIAEMLVQSTAEDLYLLPALPRDKWANGCVKGLKARGKLTVNIYWKEGDLREVGLWSNEQNSLKRLHYRGTTVKANLSPGRVYTFNRTLKCIKKQPLPSAASC >Potri.015G107900.1.v4.1 pep chromosome:Pop_tri_v4:15:12605545:12609004:1 gene:Potri.015G107900.v4.1 transcript:Potri.015G107900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107900.v4.1 MLKQFPEEVLNLWNNWEIRGMVLLSLLLQTILIVFGSRRKTIARNWIRILVWSAYLSADMVATVALGNLAKSQGDSSGDGSKKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVGVAFYVFSKSWPSGILAFIAIPLFIVGIVKYAERTWVLWSSCSKSLKNSNLFDFWRSYHLKRIKEIGLRALQGNYLRQAYIFSYISRSMMQDLVPSLVDLMLSQLLMSKYSAGGAFKVVENELGLIYDMLYTKAPLIYSRAGIILRSISFLLTFTAFITFEVKTEKHAYSTIDFTITYLLFVAAVFLEFYAFLCLVLSDWTLIWLTAEGGNALTSALYSLIRKLTRSERWSRSISQYNLISSSIESKPPKCLEFLGIDEMMRQMNVNRKEMNGGLQGFIFGHLQKNSLKIKENFNFIDKNFRRKIIGQRGDGVLEREGLLQDLKWSTTEVEFSRSILVWHLATEICYCVDKDANNVPSEYETSRCLSEYMMYLSVMRPDTLSQGFGDEGYLHSLRDLDSISKGEAPTKSPAATSREEVVDAILFYYESYVIDDIRFQFRWKETKSAVAGGDRLAKQLRLLGFKKRWEIIEEVWMEMLAYAAAHCPWKEHAQQLRRGGELLTHVCFLMLHLGLSEQYEYNSLKDDMRGHDLELPWEEEEYREAIDRYLRGNADMSLSSSNEEVKELKRMVAYKDEELERKERELEQLRSFLVASTP >Potri.013G120700.2.v4.1 pep chromosome:Pop_tri_v4:13:12947138:12951373:1 gene:Potri.013G120700.v4.1 transcript:Potri.013G120700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120700.v4.1 MARANKYASVNFNHVYDKNISNSTSSNNTNNNPSKHQSSTSFYSTISSPNSPNNLYKSHLPSSSTRTHGRMLVLTRTTPKPIPSIQTPPLTPSPKTPPAHQAQVQIPVQTEAEPESDRISLRPLGRTGAGSIASSPVHGQEKQKEVGSSVGSPKSDKFVPPHLRPGFVGREEKPGPEVFRGKEVGQRQQQQFFGSPDRFGEEGRPKSGGYEMMRRFDESNLGFVNRPISSGNRPGSSG >Potri.013G120700.4.v4.1 pep chromosome:Pop_tri_v4:13:12947299:12948030:1 gene:Potri.013G120700.v4.1 transcript:Potri.013G120700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120700.v4.1 MARANKYASVNFNHVYDKNISNSTSSNNTNNNPSKHQSSTSFYSTISSPNSPNNLYKSHLPSSSTRTHGRMLVLTRTTPKPIPSIQTPPLTPSPKTPPAHQAQVQIPVQTEAEPESDRISLRPLGRTGAGSIASSPVHGQEKQKEVGSSVGSPKSDKFVPPHLRPGFVGREEKPGPEVFRGKEVGQRQQQQFFGSPDRFGEEGRPKSGGYEMMRRFDESNLGFVNRPISSGNRPGSSGWYGSC >Potri.003G105300.1.v4.1 pep chromosome:Pop_tri_v4:3:12863674:12865005:-1 gene:Potri.003G105300.v4.1 transcript:Potri.003G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105300.v4.1 MATTSFGFVTIVICFISLSPFPLLGAAASPDPGFSLNLIHRDSPLSPLYNPNHTDFDRLRNAFSRSISRVNVFKTKAVDINSFQNDLVPNGGEYFMKMSIGTPLVEVIVIADTGSDLTWVQCLPCDPCYRQKSPLFDPSRSSSYRHMLCGSRFCNALDVSEQACAMDTNICEYHYSYGDKSYTNGNLATEKFTIGSTSSRPVHLSPIVFGCGTGNGGTFDELGSGIVGLGGGALSLVSQLSSIIKGKFSYCLVPLSEQSNVTSKIKFGTDSVISGPQVVSTPLVSKQPDTYYYVTLEAISVGNKRLPYTNGLLNGDVEEGNVIIDSGTTLTFLDSEFFTELERVLEETVKAERVSDPRGLFSVCFRSAGDIDLPVIAVHFTDADVKLQPLNTFVKADEDLLCFTMISSNQIGIFGNLAQMDFLVGYDLEKRTVSFEPTDCTKH >Potri.006G034375.1.v4.1 pep chromosome:Pop_tri_v4:6:2160272:2160898:-1 gene:Potri.006G034375.v4.1 transcript:Potri.006G034375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034375.v4.1 MANIARTSHSSSHSLQLHSFLPHREVSFNIAFFNARNYFQQNQFTTANSFHYIFAFIVPALLIFLELMYQGKDCTPFDTNPVTMWTSLSCLLAYCLTYWVGEMTYVRLFWSQRYVTAVRCSIVLFGLLSSASLASIFFPDIIKPFLYVLCILISMGELLYAPFGTLWKWIQVRLLGVSDTQERQEGQASADVHWLVSTSTDRRNRLPV >Potri.008G031601.1.v4.1 pep chromosome:Pop_tri_v4:8:1695211:1696507:-1 gene:Potri.008G031601.v4.1 transcript:Potri.008G031601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G031601.v4.1 MAVPPELHNDGIEPQFHTGLIMIDGKPCSSHDGFMFKQPELKSLDERWKEQKSGAHPWTNSSGESMLSSKVKESEPENLDLNKAIEVPSSGVHLWTNSSESLSCYLTGGLAVDPCSGVLNPQLLKPKQLEIGLRFEYSGPSATVKPFLPETVPKQDLKSKQRSREPEWNSFSSNSNSSSTCSIQ >Potri.006G010100.1.v4.1 pep chromosome:Pop_tri_v4:6:655610:660537:-1 gene:Potri.006G010100.v4.1 transcript:Potri.006G010100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010100.v4.1 MKNKREEDDMGSEVSGSVLKRQRVDEQSSASGIGNPLVPYNDVDDEDEDFERGKTNNGGGVEESNTQVVAAENGEDEEEEEDVYGQGDSLERRKSQFEPREDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEIIDPSLDDIRHVLNPRFTRDQVGQIDKNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVQRFGELMRKIWHARNFKGQVSPHEFLQAVMKVSKKRFRIGQQSDPVEFMAWLLNTLHANLRTSKKNNSIIYECFQGELEVIKESPNKAITEKKQNADDQSSDQRITDGVTKHDIFTETSRMQFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGDTVTEVVRPRVARMKYRVTRLPRYLILHMQRFKKNNFFIEKNPTLVNFPVKNLELKDFIPLPMPKENERLRSKYDLIANIVHDGKPNEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYVQIYEQQQ >Potri.006G010100.3.v4.1 pep chromosome:Pop_tri_v4:6:655963:660200:-1 gene:Potri.006G010100.v4.1 transcript:Potri.006G010100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010100.v4.1 MKNKREEDDMGSEVSGSVLKRQRVDEQSSASGIGNPLVPYNDVDDEDEDFERGKTNNGGGVEESNTQVVAAENGEDEEEEEDVYGQGDSLERRKSQFEPREDCPYLDTVNRQVLDFDFEKFCSVSLSNLNVYACLVCGKYYQGRGKKSHAYTHSLEAGHHVYINLRTEKVYCLPDGYEIIDPSLDDIRHVLNPRFTRDQVGQIDKNRQWSRALDGSDYLPGMVGLNNIKETDFVNVTIQSLMRVTPLRNFFLIPENYQHCKSPLVQRFGELMRKIWHARNFKGQVSPHEFLQAVMKVSKKRFRIGQQSDPVEFMAWLLNTLHANLRTSKKNNSIIYECFQGELEVIKESPNKAITEKKQNADDQSSDQRITDGVTKHDIFTETSRMQFLMLGLDLPPPPLFKDVMEKNIIPQVPLFNILKKFDGDTVTEVVRPRVARMKYRVTRLPRYLILHMQRFKKNNFFIEKNPTLVNFPVKNLELKDFIPLPMPKENERLRSKYDLIANIVHDGKPNEGFYRVFVQRKSEELWYEMQDLHVSETLPQMVALSEAYVQIYEQQQ >Potri.002G238100.1.v4.1 pep chromosome:Pop_tri_v4:2:23064307:23066266:-1 gene:Potri.002G238100.v4.1 transcript:Potri.002G238100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238100.v4.1 MEDSFSARVEKAFGSLSSSTVQTQQPSSSSSSISSLWRLTDEEIERNQWIRDRKEDSPEIETQPQPYFNPERPHDMDFKSDEIERDLDDLDDGEEESRASKLKPEDYNDEEWDIKKSIGLDCTLDYEEEEDHYDKVAVGREKAGDERLYVTAMEDYGIDIDSGNEIPSSFEDVARDPRANHLAAKIRLKEDAEAAKKMDSLRVTVKENTSVSDDGNLKSILKRKKDFQLDSKTIENDLDSKLRKRVRFDPECKDGNDEEYDGVEDTQMETTDSTEETIVYHFSPDYPSGIPDHMRNPSKYTHYTFDSSTDVDEESNRGAYMDFLKMLQRAKNAELHPDDDPVDLSKPFTFIPKKKTGAVTLTDNCIDSKQNWDDASEDFKLRRGVPLRIAAGDDLDTETCAMEEDKPETAADRTSSQRPDRQYRTKAKLET >Potri.001G224500.3.v4.1 pep chromosome:Pop_tri_v4:1:24219702:24225361:1 gene:Potri.001G224500.v4.1 transcript:Potri.001G224500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224500.v4.1 MCGRVLWHIHEMSSMMNGTEDNKRSKGRQDSPAADEVNGGGMVGGITPLKKGPWTSAEDAILIDYVKKHGEGNWNSVQKHSGLFRCGKSCRLRWANHLRPDLKKGSFTPEEENRIIELHANMGNKWARMAAELPGRTDNEIKNYWNTRTKRLQRAGLPLYPPEVCLRAYKMNKDGQNMGKLQTGDAHDPDMMLTDHFKIPEVEFKTLALNPGVLSYSTGLFDASASSMLKQGVGSSYGQGLVFPTIHPAKRFRESQTIFTGLDGSVSGGIPEFDQFTDYHRGKITGNFGLSSPYGCDLSNYDQSSCGVLPGSHAILNGNSSSSSSSEPIYRATKLELPSLQYSETQQDSWGTPASPLPSLESVDTFIQSPPTKETKSDGLSPRSSGLLEAVLYESRTLKYSKKCPGHQTSDAFVPIGCGVHSSPLNACAAEWELHADLNSPSGHSASSLFSECTPISGSSSDEQAYNVKPEPIDQVLPYVEAREVLNQTDCNRPDVLLGSTWFAPSNKYHNDQFFQTDDVAALLGGDQP >Potri.001G224500.2.v4.1 pep chromosome:Pop_tri_v4:1:24219687:24225361:1 gene:Potri.001G224500.v4.1 transcript:Potri.001G224500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224500.v4.1 MSSMMNGTEDNKRSKGRQDSPAADEVNGGGMVGGITPLKKGPWTSAEDAILIDYVKKHGEGNWNSVQKHSGLFRCGKSCRLRWANHLRPDLKKGSFTPEEENRIIELHANMGNKWARMAAELPGRTDNEIKNYWNTRTKRLQRAGLPLYPPEVCLRAYKMNKDGQNMGKLQTGDAHDPDMMLTDHFKIPEVEFKTLALNPGVLSYSTGLFDASASSMLKQGVGSSYGQGLVFPTIHPAKRFRESQTIFTGLDGSVSGGIPEFDQFTDYHRGKITGNFGLSSPYGCDLSNYDQSSCGVLPGSHAILNGNSSSSSSSEPIYRATKLELPSLQYSETQQDSWGTPASPLPSLESVDTFIQSPPTKETKSDGLSPRSSGLLEAVLYESRTLKYSKKCPGHQTSDAFVPIGCGVHSSPLNACAAEWELHADLNSPSGHSASSLFSECTPISGSSSDEQAYNVKPEPIDQVLPYVEAREVLNQTDCNRPDVLLGSTWFAPSNKYHNDQFFQTDDVAALLGGDQP >Potri.014G033400.1.v4.1 pep chromosome:Pop_tri_v4:14:2049571:2052013:1 gene:Potri.014G033400.v4.1 transcript:Potri.014G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G033400.v4.1 MPLNSSSISHIETLRSPIQPPTFGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNEQNRPLFAAKDINDFYLENCPKIFHQDGSPLASAGKLIKSLKGPKYDGKFLHSIVKEKLGDKRLHQTMTNIVIPTFDIKRLQPTIFSSYQVKNDPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVREFNLIDGGVAANNPTLVAMSEVSKEITRKNPDFFPAAPMDYGRFLVLSLGTGTAKSEEKYDADEAAKWGVLGWLTSDNSTPLVDVFTEASGDMVDLHISTVFQALHCEENYIRIQDDTLTGTLSSVDVATKENLENLVKVGEKLLKKPVSRVDLGTGVFTPVDKMTNEEALIKMAKLLSREKHLRYSRSPVGKVATSK >Potri.004G135400.12.v4.1 pep chromosome:Pop_tri_v4:4:15664580:15672233:1 gene:Potri.004G135400.v4.1 transcript:Potri.004G135400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135400.v4.1 MARTRGNLHSTAQDAAQRSRKKKKVARGEDSGYSSAGTFLIHVKGHGSSGGKRALYHHNYCEKDVTGKVRIKCAECLDFGLCAECFSVGAEVGPHKSNHPYRVMGIEMYELGNWAGVAYHVGTKSKEKCIEHYSSAYG >Potri.004G135400.17.v4.1 pep chromosome:Pop_tri_v4:4:15664627:15672215:1 gene:Potri.004G135400.v4.1 transcript:Potri.004G135400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135400.v4.1 MARTRGNLHSTAQDAAQRSRKKKKVARGEDSGYSSAGHGSSGGKRALYHHNYCEKDVTGKVRIKCAECLDFGLCAECFSVGAEVGPHKSNHPYRVMMQQMTGTEMEGHQICVSYLTRVDLIGRHYQKQISLLWEMIHTVAQPNFPLQLQNFFKFKLSTFKCNDI >Potri.004G135400.18.v4.1 pep chromosome:Pop_tri_v4:4:15664627:15672215:1 gene:Potri.004G135400.v4.1 transcript:Potri.004G135400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135400.v4.1 MARTRGNLHSTAQDAAQRSRKKKKVARGEDSGYSSAGTFLIHVKGHGSSGGKRALYHHNYCEKDVTGKVRIKCAECLDFGLCAECFSVGAEVGPHKSNHPYRVMGIEMYELGNWAGVAYHVGTKSKEKCIEHYSSAYDATNDGDRDGRTSNMC >Potri.004G135400.20.v4.1 pep chromosome:Pop_tri_v4:4:15664580:15672233:1 gene:Potri.004G135400.v4.1 transcript:Potri.004G135400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135400.v4.1 MARTRGNLHSTAQDAAQRSRKKKKVARGEDSGYSSAGHGSSGGKRALYHHNYCEKDVTGKVRIKCAECLDFGLCAECFSVGAEVGPHKSNHPYRVMGIEMYELGNWAGVAYHVGTKSKEKCIEHYSSAYG >Potri.004G135400.16.v4.1 pep chromosome:Pop_tri_v4:4:15664627:15672215:1 gene:Potri.004G135400.v4.1 transcript:Potri.004G135400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135400.v4.1 MARTRGNLHSTAQDAAQRSRKKKKVARGEDSGYSSAGTFLIHVKGHGSSGGKRALYHHNYCEKDVTGKVRIKCAECLDFGLCAECFSVGAEVGPHKSNHPYRVMMQQMTGTEMEGHQICVSYLTRVDLIGRHYQKQISLLWEMIHTVAQPNFPLQLQNFFKFKLSTFKCNDI >Potri.004G135400.19.v4.1 pep chromosome:Pop_tri_v4:4:15664627:15672215:1 gene:Potri.004G135400.v4.1 transcript:Potri.004G135400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135400.v4.1 MARTRGNLHSTAQDAAQRSRKKKKVARGEDSGYSSAGHGSSGGKRALYHHNYCEKDVTGKVRIKCAECLDFGLCAECFSVGAEVGPHKSNHPYRVMGIEMYELGNWAGVAYHVGTKSKEKCIEHYSSAYDATNDGDRDGRTSNMC >Potri.018G136303.1.v4.1 pep chromosome:Pop_tri_v4:18:15643509:15645895:1 gene:Potri.018G136303.v4.1 transcript:Potri.018G136303.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136303.v4.1 MAHPWPDHFPLQVAMGAAGDDAEAKLVHRSNSGNQAPRNPASSKSKKAVSFSLPPLSSASSSSGFGYASTGSQRQRLIFKLMGIHSPDGKF >Potri.001G101975.1.v4.1 pep chromosome:Pop_tri_v4:1:8229557:8236657:1 gene:Potri.001G101975.v4.1 transcript:Potri.001G101975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101975.v4.1 MHVYDLIVHSLTYFQRDKQDRRRLICAVATEPLPKQVEESKMDAPKEIFLKDYKLPDYYFDSVDLTFLLGDEKTIVSSKITVLPRVEGSSSPLVLDGADLKLLSVKVNGEELKNGDYHLESRHLTILSPPSGKFTLEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITYYQDRPDIMAKYTVRIEADKSLYPVLLSNGNLLEQGDLESASLVLLQGGKHYVLWEDPFKKPCYLFALVAGQLESRDDMFVTRSGRNVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFDMGAMENKSLNIFNSKLVLASPETASDADYAAILRVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRISDVSRLRISQFPQDAGPMAHPVQPHSYIKMDNFYTVTVYQKGAEAVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANDADFANFLQWYSQAGTPLVKVTSSYDAEAHTFTLKFSQEVPPTPGQPVKEPMFIPVVLGLLDTSGKDMPLSSVYHDGALKSIASGSQPAYSTILRVTKKEEEFVFSDILERPVPSLLRGFSAPIRLESDLSDSDLFFLLAHDSDEFNRWEAGQVLARKLMLSLVSDFQQGKPLVLNPKFVQGLRSVLSDSNLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVQSFIRKQLASELKAEFLRTVENNRSSEEYVFNHPNMARRALKNIALAYLASLEDQELTELALHEYKTATNMTDQFAALDSHSPKSWENL >Potri.001G101975.5.v4.1 pep chromosome:Pop_tri_v4:1:8229542:8236659:1 gene:Potri.001G101975.v4.1 transcript:Potri.001G101975.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101975.v4.1 MHVYDLIVHSLTYFQRDKQDRRRLICAVATEPLPKQVEESKMDAPKEIFLKDYKLPDYYFDSVDLTFLLGDEKTIVSSKITVLPRVEGSSSPLVLDGADLKLLSVKVNGEELKNGDYHLESRHLTILSPPSGKFTLEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITYYQDRPDIMAKYTVRIEADKSLYPVLLSNGNLLEQGDLEGGKHYVLWEDPFKKPCYLFALVAGQLESRDDMFVTRSGRNVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFDMGAMENKSLNIFNSKLVLASPETASDADYAAILRVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRISDVSRLRISQFPQDAGPMAHPVQPHSYIKMDNFYTVTVYQKGAEAVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANDADFANFLQWYSQAGTPLVKVTSSYDAEAHTFTLKFSQEVPPTPGQPVKEPMFIPVVLGLLDTSGKDMPLSSVYHDGALKSIASGSQPAYSTILRVTKVRAHR >Potri.001G101975.4.v4.1 pep chromosome:Pop_tri_v4:1:8230442:8236671:1 gene:Potri.001G101975.v4.1 transcript:Potri.001G101975.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101975.v4.1 MAKYTVRIEADKSLYPVLLSNGNLLEQGDLEGGKHYVLWEDPFKKPCYLFALVAGQLESRDDMFVTRSGRNVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFDMGAMENKSLNIFNSKLVLASPETASDADYAAILRVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRISDVSRLRISQFPQDAGPMAHPVQPHSYIKMDNFYTVTVYQKGAEAVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANDADFANFLQWYSQAGTPLVKVTSSYDAEAHTFTLKFSQEVPPTPGQPVKEPMFIPVVLGLLDTSGKDMPLSSVYHDGALKSIASGSQPAYSTILRVTKKEEEFVFSDILERPVPSLLRGFSAPIRLESDLSDSDLFFLLAHDSDEFNRWEAGQVLARKLMLSLVSDFQQGKPLVLNPKFVQGLRSVLSDSNLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVQSFIRKQLASELKAEFLRTVENNRSSEEYVFNHPNMARRALKNIALAYLASLEDQELTELALHEYKTATNMTDQFAALDSHSPKSWENL >Potri.001G101975.3.v4.1 pep chromosome:Pop_tri_v4:1:8229448:8236729:1 gene:Potri.001G101975.v4.1 transcript:Potri.001G101975.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101975.v4.1 MDAPKEIFLKDYKLPDYYFDSVDLTFLLGDEKTIVSSKITVLPRVEGSSSPLVLDGADLKLLSVKVNGEELKNGDYHLESRHLTILSPPSGKFTLEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITYYQDRPDIMAKYTVRIEADKSLYPVLLSNGNLLEQGDLEGGKHYVLWEDPFKKPCYLFALVAGQLESRDDMFVTRSGRNVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFDMGAMENKSLNIFNSKLVLASPETASDADYAAILRVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRISDVSRLRISQFPQDAGPMAHPVQPHSYIKMDNFYTVTVYQKGAEAVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANDADFANFLQWYSQAGTPLVKVTSSYDAEAHTFTLKFSQEVPPTPGQPVKEPMFIPVVLGLLDTSGKDMPLSSVYHDGALKSIASGSQPAYSTILRVTKKEEEFVFSDILERPVPSLLRGFSAPIRLESDLSDSDLFFLLAHDSDEFNRWEAGQVLARKLMLSLVSDFQQGKPLVLNPKFVQGLRSVLSDSNLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVQSFIRKQLASELKAEFLRTVENNRSSEEYVFNHPNMARRALKNIALAYLASLEDQELTELALHEYKTATNMTDQFAALDSHSPKSWENL >Potri.001G101975.2.v4.1 pep chromosome:Pop_tri_v4:1:8229446:8236735:1 gene:Potri.001G101975.v4.1 transcript:Potri.001G101975.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101975.v4.1 MHVYDLIVHSLTYFQRDKQDRRRLICAVATEPLPKQVEESKMDAPKEIFLKDYKLPDYYFDSVDLTFLLGDEKTIVSSKITVLPRVEGSSSPLVLDGADLKLLSVKVNGEELKNGDYHLESRHLTILSPPSGKFTLEIVTEIYPQKNTSLEGLYKSSGNFCTQCEAEGFRKITYYQDRPDIMAKYTVRIEADKSLYPVLLSNGNLLEQGDLEGGKHYVLWEDPFKKPCYLFALVAGQLESRDDMFVTRSGRNVSLRIWTPAQDVPKTAHAMYSLKAAMKWDEDVFGLEYDLDLFNIVAVPDFDMGAMENKSLNIFNSKLVLASPETASDADYAAILRVIGHEYFHNWTGNRVTCRDWFQLSLKEGLTVFRDQEFSSDMGSRTVKRISDVSRLRISQFPQDAGPMAHPVQPHSYIKMDNFYTVTVYQKGAEAVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANDADFANFLQWYSQAGTPLVKVTSSYDAEAHTFTLKFSQEVPPTPGQPVKEPMFIPVVLGLLDTSGKDMPLSSVYHDGALKSIASGSQPAYSTILRVTKKEEEFVFSDILERPVPSLLRGFSAPIRLESDLSDSDLFFLLAHDSDEFNRWEAGQVLARKLMLSLVSDFQQGKPLVLNPKFVQGLRSVLSDSNLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVQSFIRKQLASELKAEFLRTVENNRSSEEYVFNHPNMARRALKNIALAYLASLEDQELTELALHEYKTATNMTDQFAALDSHSPKSWENL >Potri.001G101975.6.v4.1 pep chromosome:Pop_tri_v4:1:8232275:8236656:1 gene:Potri.001G101975.v4.1 transcript:Potri.001G101975.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101975.v4.1 MGSRTVKRISDVSRLRISQFPQDAGPMAHPVQPHSYIKMDNFYTVTVYQKGAEAVRMYKTLLGSQGFRKGMDLYFKRHDGQAVTCEDFFAAMRDANDADFANFLQWYSQAGTPLVKVTSSYDAEAHTFTLKFSQEVPPTPGQPVKEPMFIPVVLGLLDTSGKDMPLSSVYHDGALKSIASGSQPAYSTILRVTKKEEEFVFSDILERPVPSLLRGFSAPIRLESDLSDSDLFFLLAHDSDEFNRWEAGQVLARKLMLSLVSDFQQGKPLVLNPKFVQGLRSVLSDSNLDKEFIAKAITLPGEGEIMDMMEVADPDAVHAVQSFIRKQLASELKAEFLRTVENNRSSEEYVFNHPNMARRALKNIALAYLASLEDQELTELALHEYKTATNMTDQFAALDSHSPKSWENL >Potri.013G023701.1.v4.1 pep chromosome:Pop_tri_v4:13:1518765:1520725:-1 gene:Potri.013G023701.v4.1 transcript:Potri.013G023701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023701.v4.1 MMIYPSEVVQLDDYPTQLKHCYGSSSHTTDVAILPICIPGNDEQTLTNFQNYSRSQYEKYVNESGYRGAGVGGNENWMVVVFVENTTSWTSAGGAKHSLVSEVGCGHCLVSLLLGILLFYLVL >Potri.003G024800.3.v4.1 pep chromosome:Pop_tri_v4:3:2622722:2625078:-1 gene:Potri.003G024800.v4.1 transcript:Potri.003G024800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024800.v4.1 MGLVLRHCGSVSEEMSNSSENEVESLPQSIEEKHQELGVSHVPIVSSFNERIRPLLDAVDKLRHLQVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHTAPEPELSLEFNGKTVPTSEAKIANAISLATDEIAGNAKGISNTPLTLVVKKNGVPDLTMVDLPGITRVPVHGQPENIYEQIADIIMEYIRPEESIILNVLSATVDFTTCESIRMSQKVDKNGERTLAVVTKADRAPEGLLEKVTADDVNIGLGYVCVRNRIGDESYKEARKEEADLFENHPLLSKIDKSMVGIPVLAQKLVQIQATIIARCLPEIVRKINEKLNASISELNRMPKTLSSVGEALTTFMSIVGSAKESLNKIIVRGEYDEYLEDKNMHCTARLVEMLNQYSGELHNCSENDLTGNFLMDEIQVLEEAKGIELPNFLPRTTFLSILQKKVEKVSHIPVSLC >Potri.005G038400.3.v4.1 pep chromosome:Pop_tri_v4:5:2475854:2478209:-1 gene:Potri.005G038400.v4.1 transcript:Potri.005G038400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038400.v4.1 MMMFMRKGAFRATASSSAIRLLLQQHVEMGIFPFPPNFPSLSFFKHHHITTSACTQKPSLPQKNCGFVSNTSNDISIDDALTSFYRMVRMNPRPSVVEFGKFLGSIAKKKQYFSVVSLCNQMDLFGVTHNVYSLNILINCLCRLNRVDFAVSVLAKIFKLGIQPDAITFNTLINGLCNEGKIKEAVGLFNELVRRGHEPNVISYNTVINGLCKNGNTNMAVHVLKKMEQNGCKPDVVTYSIIIDSLCKDRLVNEALEFLSKMVDRDIPPDVITYSSILHGFCNLGQLNEATRLFKEMVGRDVMPNTVTFTILVDGLCKEGMVSEARCVFETMTEKGAEPDVYTYTALMDGYCLQNQMDEARKVLNIMVGKGCAPDVHSYSVLINGYCKRRRLDEAKRLLFEMSEKELTPNTVTYSTVMKGLCQVGRPQEALNLFKEMCSSSLLPDLMTYSILLDGCCKHGDLDEALQLLKEMQERKIKPNIVIYNILIQGMFIAGKLEVAKELFSKLSTDGIQPTVRTYNVMIGGLLKEGLSDEAYKLFRKMEDNGFLPDSCSYNVIIQGFLKNQDSSTAVQLIDEMVGKRFSADSSTFQMLLDLESYDEAICRFMRGSSQHRKMK >Potri.008G113400.1.v4.1 pep chromosome:Pop_tri_v4:8:7221561:7222341:1 gene:Potri.008G113400.v4.1 transcript:Potri.008G113400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113400.v4.1 MLPGELTGIHYIAPDQSLIPFPANFGMMQQSSIPAFHFNRLLNNLQSSSFPQPVREFTPQSSSLSNNSTSDESEEHQLSIIDERKQRRMISNRESARRSRMRKQKHLDELWTQVVRLRTENHNLIDKLNHVSECHDRVLQENARLKKEASDLRQMITDLQIGSPYTATALRDLEEVPCNTAHVRAESSNQSVTSSVDLLH >Potri.002G002600.1.v4.1 pep chromosome:Pop_tri_v4:2:179887:180470:1 gene:Potri.002G002600.v4.1 transcript:Potri.002G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002600.v4.1 MCSGNPLFSLREGTTSNMTRGSEGASTISQSNRFSFENPRYTNLHVKATVLLQAHFSTQSVSGNLALDLLEVLLSGSKIASSNG >Potri.005G134500.1.v4.1 pep chromosome:Pop_tri_v4:5:10339018:10342723:1 gene:Potri.005G134500.v4.1 transcript:Potri.005G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134500.v4.1 MWGPSLSDFQFLTINEKNLQKLSLSQKKERKMVSDSELTERLKEFLRNADLDKTTTGTVRRKLEEDFAIDLSDKKVFIREQVDLFLQNELDDGQKNGDNEYTHEDQKVNVENDGCDLQEEVQGDDGEKSNVKRGYSENKNEGKKRGGGFSKLCSLSPQLQEFIGVPHLARTEVVRQLWTYIREKNLQDPSDRRNINCDEPLQALFGVDSINMFQMNKALSRHVWPLDSEDVVSINSKQHEKQHKREREEEEDESNKKEKKQKGGNSGFLAPLQLSDALKKFLGTGESTLSRSDVVKRMWEYIKQNNLQDPSDKRRILCDVKLKELFDIDSFTGFTVPKLLSAHFVKA >Potri.005G134500.5.v4.1 pep chromosome:Pop_tri_v4:5:10339018:10342723:1 gene:Potri.005G134500.v4.1 transcript:Potri.005G134500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134500.v4.1 MWGPSLSDFQFLTINEKNLQKLSLSQKKERKMVSDSELTERLKEFLRNADLDKTTTGTVRRKLEEDFAIDLSDKKVFIREQVDLFLQNELDDGQKNGDNEYTHEDQKVNVENDGCDLQEEVQGDDGEKSNVKRGYSENKNEGKKRGGGFSKLCSLSPQLQEFIGVPHLARTEVVRQLWTYIREKNLQDPSDRRNINCDEPLQALFGVDSINMFQMNKALSRHVWPLDSEDEEDESNKKEKKQKGGNSGFLAPLQLSDALKKFLGTGESTLSRSDVVKRMWEYIKQNNLQDPSDKRRILCDVKLKELFDIDSFTGFTVPKLLSAHFVKA >Potri.005G134500.6.v4.1 pep chromosome:Pop_tri_v4:5:10339901:10342723:1 gene:Potri.005G134500.v4.1 transcript:Potri.005G134500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134500.v4.1 MTQNISRVSPFPEISKSSLTLYSENKNEGKKRGGGFSKLCSLSPQLQEFIGVPHLARTEVVRQLWTYIREKNLQDPSDRRNINCDEPLQALFGVDSINMFQMNKALSRHVWPLDSEDVVSINSKQHEKQHKREREEEEDESNKKEKKQKGGNSGFLAPLQLSDALKKFLGTGESTLSRSDVVKRMWEYIKQNNLQDPSDKRRILCDVKLKELFDIDSFTGFTVPKLLSAHFVKA >Potri.014G157700.1.v4.1 pep chromosome:Pop_tri_v4:14:11143731:11151798:1 gene:Potri.014G157700.v4.1 transcript:Potri.014G157700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157700.v4.1 MAEISDGDHDAVELIVCDASASELVPDEISGDGAVLASEEITPLLKPKINIFSVSHSRRKPREQGTKIPDIETFPVTQFVLWIWGGSRYSGLLCVAISSTIYFVMEVLSDFFSAQSIPLFETAFARCTITLILSYLWLRGNGQPIFGPAHARKFLFSRALTGCLSLLSFIYCIRRLPLSQAIVLSFTTPIMASIVARIILHEKLKIVDVGGLACSFFGVLFIFRQILTTQGALLRVGETNYIAIMGRNHVLTVLVALFSSITGGISYCLVKAGAKASDQPLATVFSFGILATPATGMCAFAFEEFVLPNFYTFFLMLILGLLSFSAEVFFARGLQLEKTSKAANVLYMEVALSQLWGIGSWRITPSFGGLVGCLLILISVCCTIYIGPEKEME >Potri.014G157700.3.v4.1 pep chromosome:Pop_tri_v4:14:11143731:11151798:1 gene:Potri.014G157700.v4.1 transcript:Potri.014G157700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157700.v4.1 MAEISDGDHDAVELIVCDASASELVPDEISGDGAVLASEEITPLLKPKINIFSVSHSRRKPREQGTKIPDIETFPVTQFVLWIWGGSRYSGLLCVAISSTIYFVMEVLSDFFSAQSIPLFETAFARCTITLILSYLWLRGNGQPIFGPAHARKFLFSRALTGCLSLLSFIYCIRRLPLSQAIVLSFTTPIMASIVARIILHEKLKIVDVGGLACSFFGVLFIFRQILTTQGREEHYLELGKQII >Potri.017G107000.1.v4.1 pep chromosome:Pop_tri_v4:17:11612154:11613290:-1 gene:Potri.017G107000.v4.1 transcript:Potri.017G107000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107000.v4.1 MDSYKQILGAEGCSSSESGWTTYLASPVQEDEDDEGSYDGNNYKAHNVSNNYHYAAAADEVSDDSMASDASSGPHHQNIHENGRGTVHFKHNKGGHFNLQSSSAKKTGKKDKKCDKNSAKKSRKLDAHRKH >Potri.005G108200.1.v4.1 pep chromosome:Pop_tri_v4:5:7803391:7805500:1 gene:Potri.005G108200.v4.1 transcript:Potri.005G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108200.v4.1 MEVYPYPSRFSMSSLCSFGNFVDKVKEVCNFVVSAIIGNIFSAIFTFFFALVGTLLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETNFEEIPNIFDTGGSKGLPGDSLEKIPKIRITSNNNVDESGEKVSCSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLRHASCPLCRRDL >Potri.006G219900.5.v4.1 pep chromosome:Pop_tri_v4:6:22512450:22515810:1 gene:Potri.006G219900.v4.1 transcript:Potri.006G219900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219900.v4.1 MATNPSNGLEGDNTLQSICYHRGSLKLLDQRKLPLETTYLDIKDASDGWLAIREMVVRGAPAIAISAALSLAVEVSNLENFNGTPVEAASFLAGKLDYLVSSRPTAVNLSDAATKLKEVVSKAAAAASNCQSVFQAYIEAAEIMLADDVASNKAIGSYGARFIQNQQKDPTKLSVLTHCNTGSLATAGYGTALGVIRALHGEGVLQRAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKDSKVSAVVVGADRVAANGDTANKIGTYSLALCAMHHNIPFYVAAPLTSFDSSLSSGKEIIIEERSPKEMLNARGGLGEQVAASGISVWNPAFDVTPASLISGIITEKGVITKTGMDDFDIKDFINKAG >Potri.006G219900.2.v4.1 pep chromosome:Pop_tri_v4:6:22512343:22515810:1 gene:Potri.006G219900.v4.1 transcript:Potri.006G219900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219900.v4.1 MATNPSNGLEGDNTLQSICYHRGSLKLLDQRKLPLETTYLDIKDASDGWLAIREMVVRGAPAIAISAALSLAVEVSNLENFNGTPVEAASFLAGKLDYLVSSRPTAVNLSDAATKLKEVVSKAAAAASNCQSVFQAYIEAAEIMLADDVASNKAIGSYGARFIQNQQKDPTKLSVLTHCNTGSLATAGYGTALGVIRALHGEGVLQRAYCTETRPFNQGSRLTAFELVHEKIPATLIADSAAAALMKDSKVSAVVVGADRVAANGDTANKIGTYSLALCAMHHNIPFYVAAPLTSFDSSLSSGKEIIIEERSPKEMLNARGGLGEQVAASGISVWNPAFDVTPASLISGIITEKGVITKTGMDDFDIKDFINKAG >Potri.010G135100.2.v4.1 pep chromosome:Pop_tri_v4:10:15050720:15056348:-1 gene:Potri.010G135100.v4.1 transcript:Potri.010G135100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135100.v4.1 MACSPSLISAISLTNPTRLKRVSSPSMLSPKAMAKEIYFNHDGSTTKKLLAGVEMVSELLGVTLGPKGRNVVLQNKYGPPKIVNDGETVLKEIELEDPLENVGVKLVRQAGAKTNNLAGDGSTTSVVLAHGLIAEGQKVIASGMNPVQIARGIVKTSEALVSELKLMSREVENDELADVAAVSAGNDYTVGNMISDALQQVGRRGVVTIEKGRCTENILEIVEGMQFDRGYLSPYFVTDRQRMVVEFHNCKLLLVDKKIQNAKEVFKILDNAVKEMYPIVIVAEDVDQEALAPIIRNKLKGLLKGAAIKAPAFGERKSHYLDDIAILTGGTVIRDDMGLKLEKAGKEVLGTATKVVITKDSTLIVTDGSTREAVEKRVSQICSLVENTEEKFQKKILNERIARLSGGIAILQVGAQTQVELKDKLLRIEDALNATKAAIEEGVVVGGGCSLLRLSTKVDGIKELLDNEEQKIGAEIFKRALSYPARLIAKNAGVNGNVVINQVLSNADIRYGYNAATDTYEDLITAGIIDPTKVVRCCLEHATSVAKTFLTSDAVVVDIKESDPLPMRKRMPPVAPPPMPKSPGVGPVGVYL >Potri.010G202200.4.v4.1 pep chromosome:Pop_tri_v4:10:19430675:19433270:-1 gene:Potri.010G202200.v4.1 transcript:Potri.010G202200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202200.v4.1 MSGAGTPDFFYREAQRLGYVARSAFKLLQIQKKHKLIAPGSSVLDLGCAPGAWLQVACQSLGPLKNGGAVVGIDLKKVKVPPLYCDSRVQTVCADVMKLPKNQQKGFSVVLSDMCPLVSGITTRDAALSAELGMQALGLAVGRAATAHLDEIRTDRLLNDSVCTADDNGILQPGGHLVIKLLESEDNKEFSRICKPLFRKASWLRPKATRSSSREIYLICQGLLS >Potri.010G202200.1.v4.1 pep chromosome:Pop_tri_v4:10:19430675:19433310:-1 gene:Potri.010G202200.v4.1 transcript:Potri.010G202200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202200.v4.1 MSGAGTPDFFYREAQRLGYVARSAFKLLQIQKKHKLIAPGSSVLDLGCAPGAWLQVACQSLGPLKNGGAVVGIDLKKVKVPPLYCDSRVQTVCADVMKLPKNQVRALSPRQKGFSVVLSDMCPLVSGITTRDAALSAELGMQALGLAVGRAATAHLDEIRTDRLLNDSVCTADDNGILQPGGHLVIKLLESEDNKEFSRICKPLFRKASWLRPKATRSSSREIYLICQGLLS >Potri.010G202200.5.v4.1 pep chromosome:Pop_tri_v4:10:19430674:19433303:-1 gene:Potri.010G202200.v4.1 transcript:Potri.010G202200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202200.v4.1 MSGAGTPDFFYREAQRLGYVARSAFKVACQSLGPLKNGGAVVGIDLKKVKVPPLYCDSRVQTVCADVMKLPKNQVRALSPRQKGFSVVLSDMCPLVSGITTRDAALSAELGMQALGLAVGRAATAHLDEIRTDRLLNDSVCTADDNGILQPGGHLVIKLLESEDNKEFSRICKPLFRKASWLRPKATRSSSREIYLICQGLLS >Potri.010G202200.3.v4.1 pep chromosome:Pop_tri_v4:10:19430708:19433304:-1 gene:Potri.010G202200.v4.1 transcript:Potri.010G202200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202200.v4.1 MSGAGTPDFFYREAQRLGYVARSAFKLLQIQKKHKLIAPGSSVLDLGCAPGAWLQVACQSLGPLKNGGAVVGIDLKKVKVPPLYCDSRVQTVCADVMKLPKNQVRALSPRKGFSVVLSDMCPLVSGITTRDAALSAELGMQALGLAVGRAATAHLDEIRTDRLLNDSVCTADDNGILQPGGHLVIKLLESEDNKEFSRICKPLFRKASWLRPKATRSSSREIYLICQGLLS >Potri.016G000900.1.v4.1 pep chromosome:Pop_tri_v4:16:39802:42726:1 gene:Potri.016G000900.v4.1 transcript:Potri.016G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000900.v4.1 MNANTTLTLTSITQSIKIPLMLMIKYCPCPCPPPPSPISIRSSRLRSRISSLPPSLVIEETSTTMSDTNTDTDIETDSQSVSRRLILLRHAKSSWDDRSLRDHDRPLSKSGELDAAEVSQKLLQLDWIPQLILSSDALRTKETLRIMQQQVPDFLDAEVHFISSFYSVAAMDGQTADHLQQAICNYSRDGILTVMCMGHNRGWEEAASMFSGASIELKTCNAALLEATGKSWEEAFASAGLGGWKLQGIVKPSDSPKF >Potri.008G076600.1.v4.1 pep chromosome:Pop_tri_v4:8:4740848:4742539:-1 gene:Potri.008G076600.v4.1 transcript:Potri.008G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076600.v4.1 MANMKPSVVLVYILTIFFNTGNATRSRSFSKFPAILVFGDSTVDSGNNNEIDTLFKANFRPYGRLYPGHTPTGRFSDGRLITDFLASILKIKNAVPPFLKPDLSDHEIATGVSFASSGSGYDNATNDVFQVISFPKQIDMFRDYTARLRRVVGEQKAKKIIGAALVVISTGTNDISTLRMDKNDTGYQDFLLNKVQFFTKQLYDLGCRSMIVAGLPPIGCLPIQMTTKQQPPSRRRCLHNQNLYSVSYNQKLASMLPLVQAKLSGSKIAYADIYEPLMDMIHHPQKYGFEETNKGCCGTGFVEMGPLCNPTTPTCRHPSRYLFWDAVHPGQSTYQYLTKYVEKKVLPKFL >Potri.018G016000.5.v4.1 pep chromosome:Pop_tri_v4:18:1099177:1102373:-1 gene:Potri.018G016000.v4.1 transcript:Potri.018G016000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016000.v4.1 MERSGELHLNELPEGCIANALSFTAPLDVARLSAVSPMFKSAAISDVVWERFLPSDLESVLSTSPDGSLLLASVSSKRELYFSLCDNPILVENGRKSFSLEKKSGKKCYMLSAMDLVITWSDTPRYWKWNSNPASRFPEVAELIKVCWLEIRGKINTCMLSPSILYTANLVFKFSIEAYGLDDQPVEVAMKLDGDKICAHSVCWNAERRGQQFRTARRPVDFFNFSCRRSIPARESDGHYPKKRGDGWLEIELGDFFCTEGEDGELEMRVFDGTNYWKHGLIVEGIEIRPKEVGASPSS >Potri.009G107550.1.v4.1 pep chromosome:Pop_tri_v4:9:9341231:9342886:-1 gene:Potri.009G107550.v4.1 transcript:Potri.009G107550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G107550.v4.1 MGEIDPEFIQETEYRPKFKTIEADEEIPVIDLSVSTPSATKEVVSKIGEACKKWGFFQVINHGVPLELRQKIERVAKEFFDQPMEEKRKVKRDEVNPMGYHDSEHTKNIRDWKEVFDFLVVDPTLIPASGDPDDKELRAMTNQWPHKPSEFRELCQDYTRQVEKLAFKLLELISLSLGLPADRLNGYFKDQISFARFNHYPPCPAPHLALGVGRHKDGGALTVLSQDDVGGLQIGRRSDGEWIPAKPIPDAFIINIGNCMQVWSNDLYWSAEHRVVVNSQRERFSIPFFFFPSQYVDIKPLDELINEQNLAKYKEFNWGKFFASRNRSDYKKREVENIQIDHFKVPE >Potri.016G129050.1.v4.1 pep chromosome:Pop_tri_v4:16:13226486:13228875:1 gene:Potri.016G129050.v4.1 transcript:Potri.016G129050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129050.v4.1 MVSEASPDPQGISYLPVGCFSRQQQSMPFPFPNDLSTATTVREGGNVFINAGRKKKKIVCKPRLSAFLSLMNDPGVRKKPKNRLNQEN >Potri.014G144900.1.v4.1 pep chromosome:Pop_tri_v4:14:9897711:9903420:1 gene:Potri.014G144900.v4.1 transcript:Potri.014G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144900.v4.1 MEAGAGIHRSSNPSQFSWLILSRNLLLAYQSFGVVYGDLSTSPLYVYTNTFAGKMQKHQTEEVIFGAFSLIFWTFTLIPLIKYVCILLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSAYKYGPSTQAIASSPLKRFLEKHRRLRTALLVVVLFGACMVIGDGVLTPAISVLSAVSGLQVADSKLTKGELVLLACVILVGLFALQHCGTHKVAFMFAPIVIIWLVSILSIGLYNIIHWNPRIVRALSPHYIIKFFSQTGKDGWISLGGVLLSITGTEAMFADLGHFTALSIRLAFALAIYPCLVVQYMGQAAFLSKHPNSMSNSFYDSIPDRVFWPVCVIATLAAIVGSQAVITATFSIVKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMVLTLAVTIGFQDTTFIGNAYGLACMTVMFITTFLMALVIIFVWQKSVILAACFLLFFWFIEGVYLSAALMKVPQGGWAPLVLSAIFMLIMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGSFENKLIQSIAEFIQMEAVEPQFSSSESPSLDGRMAVMSINPVQSSLSLMVSEQEILSIDESIQSSRSLTLQSLRSAYDDENPQIRRRHVRFQLPPNPGMDPLVKEELMDLIQAKEAGVAYIMGHSYVKARRTSSFLKKLAIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Potri.014G144900.2.v4.1 pep chromosome:Pop_tri_v4:14:9897771:9903541:1 gene:Potri.014G144900.v4.1 transcript:Potri.014G144900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144900.v4.1 MQKHQTEEVIFGAFSLIFWTFTLIPLIKYVCILLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSAYKYGPSTQAIASSPLKRFLEKHRRLRTALLVVVLFGACMVIGDGVLTPAISVLSAVSGLQVADSKLTKGELVLLACVILVGLFALQHCGTHKVAFMFAPIVIIWLVSILSIGLYNIIHWNPRIVRALSPHYIIKFFSQTGKDGWISLGGVLLSITGTEAMFADLGHFTALSIRLAFALAIYPCLVVQYMGQAAFLSKHPNSMSNSFYDSIPDRVFWPVCVIATLAAIVGSQAVITATFSIVKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMVLTLAVTIGFQDTTFIGNAYGLACMTVMFITTFLMALVIIFVWQKSVILAACFLLFFWFIEGVYLSAALMKVPQGGWAPLVLSAIFMLIMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGSFENKLIQSIAEFIQMEAVEPQFSSSESPSLDGRMAVMSINPVQSSLSLMVSEQEILSIDESIQSSRSLTLQSLRSAYDDENPQIRRRHVRFQLPPNPGMDPLVKEELMDLIQAKEAGVAYIMGHSYVKARRTSSFLKKLAIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Potri.014G144900.3.v4.1 pep chromosome:Pop_tri_v4:14:9897790:9903231:1 gene:Potri.014G144900.v4.1 transcript:Potri.014G144900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144900.v4.1 MVIGDGVLTPAISVLSAVSGLQVADSKLTKGELVLLACVILVGLFALQHCGTHKVAFMFAPIVIIWLVSILSIGLYNIIHWNPRIVRALSPHYIIKFFSQTGKDGWISLGGVLLSITGTEAMFADLGHFTALSIRLAFALAIYPCLVVQYMGQAAFLSKHPNSMSNSFYDSIPDRVFWPVCVIATLAAIVGSQAVITATFSIVKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMVLTLAVTIGFQDTTFIGNAYGLACMTVMFITTFLMALVIIFVWQKSVILAACFLLFFWFIEGVYLSAALMKVPQGGWAPLVLSAIFMLIMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGSFENKLIQSIAEFIQMEAVEPQFSSSESPSLDGRMAVMSINPVQSSLSLMVSEQEILSIDESIQSSRSLTLQSLRSAYDDENPQIRRRHVRFQLPPNPGMDPLVKEELMDLIQAKEAGVAYIMGHSYVKARRTSSFLKKLAIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Potri.004G115351.1.v4.1 pep chromosome:Pop_tri_v4:4:10613185:10613550:-1 gene:Potri.004G115351.v4.1 transcript:Potri.004G115351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115351.v4.1 MGSLGKNISLGLFLFIGILVITPGFAIRTHEEDPELSRHLEECHAKVTKRCAIEISNSIYNNNTPSEYCCQKHITTGKACHDDFIKLFVSKVPKDKVAFVVAKGDQIWNQCAATVALAPVA >Potri.005G117100.2.v4.1 pep chromosome:Pop_tri_v4:5:8549554:8551839:1 gene:Potri.005G117100.v4.1 transcript:Potri.005G117100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117100.v4.1 MRTLCDACESAFAIVFCAADEAALCLACDKKVHMCNKLASRHVRVGLANPSEVPRCDICENAPAFFYCETDGSSLCLQCDMTVHVGGKRTHGRYLLLRQKIEFPGNQPQPEDPAPQPMYPGETRRGQNRPQKATAGENRQNRQASPVLMSVTNSDGHDKVDKNMIDLNMKPHRIHEHASNNQEQ >Potri.003G185401.1.v4.1 pep chromosome:Pop_tri_v4:3:18965970:18969717:-1 gene:Potri.003G185401.v4.1 transcript:Potri.003G185401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185401.v4.1 MQCPKIQNLCLQMGLSALPKPQLTNMKSLSTGRVLKPSSLQLCVQMNEPEKVFKSRVWNSVQSENSSSVNIWDYSDAEDTPTSSWSTLPNRIQAKILPSLNFSNSSTEMLSWLGFGIYSFCVPG >Potri.012G088200.3.v4.1 pep chromosome:Pop_tri_v4:12:11361587:11366310:-1 gene:Potri.012G088200.v4.1 transcript:Potri.012G088200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088200.v4.1 MADPSSSQPSPSTDPSKKDYSTAILERKKSPNRLVIDEAINDDNSVVAMHPATMEKLQFFRGDTVLIKGKKRKDTVCIVLADEQCEEPKIRLNKVVRANLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGSLFDAYLKPYFLESYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPIKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETVDAEVLNSMAVTNDHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENIKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGNSSGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVSRDVDLAALARYTHGFSGADITEICQRACKYAIRENIEKDIEKEKRKQDNPEAMEEDDVDEVPEITAAHFEESMKFARRSVSDADIRKYQLFAQTLQQSRGFGTEFRFPDRAENVAGEGATDPFAPATIAAEEDDLYS >Potri.012G088200.1.v4.1 pep chromosome:Pop_tri_v4:12:11361575:11367169:-1 gene:Potri.012G088200.v4.1 transcript:Potri.012G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088200.v4.1 MADPSSSQPSPSTDPKKDYSTAILERKKSPNRLVIDEAINDDNSVVAMHPATMEKLQFFRGDTVLIKGKKRKDTVCIVLADEQCEEPKIRLNKVVRANLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGSLFDAYLKPYFLESYRPVRKGDLFLVRGGMRSVEFKVIETDPGEYCVVAPDTEIFCEGEPIKREDEERLNEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFLINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDELDSIAPKREKTHGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERVAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETVDAEVLNSMAVTNDHFQTALGTSNPSALRETVVEVPNVSWEDIGGLENIKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGNSSGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEASRLQIFKACLRKSPVSRDVDLAALARYTHGFSGADITEICQRACKYAIRENIEKDIEKEKRKQDNPEAMEEDDVDEVPEITAAHFEESMKFARRSVSDADIRKYQLFAQTLQQSRGFGTEFRFPDRAENVAGEGATDPFAPATIAAEEDDLYS >Potri.001G172100.4.v4.1 pep chromosome:Pop_tri_v4:1:14781428:14781960:-1 gene:Potri.001G172100.v4.1 transcript:Potri.001G172100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172100.v4.1 MAGLQRSDVSFRRQGSSGLIWDDRYISGELNQVNQKQEHEEQPKRDQRTEIKLENDARPSSRSATTPNITIERSRSNGGQRGYRTGRVSPAIEPPSPKVFTCGFCGAFGKPGKNHRKKAVIGRSR >Potri.001G172100.3.v4.1 pep chromosome:Pop_tri_v4:1:14781536:14782086:-1 gene:Potri.001G172100.v4.1 transcript:Potri.001G172100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172100.v4.1 MAGLQRSDVSFRRQGSSGLIWDDRYISGELNQVNQKQEHEEQPKRDQRTEIKLENDARPSSRSATTPNITIERSRSNGGQRGYRTGRVSPAIEPPSPKVFTCGFCGAFGKPGKNHRKKAVIGRSR >Potri.016G024200.1.v4.1 pep chromosome:Pop_tri_v4:16:1349703:1357865:-1 gene:Potri.016G024200.v4.1 transcript:Potri.016G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024200.v4.1 MESNEEPEALAPPPDALPPPPPEIPPNVVPVQLTTGTFPEETKKTSKLKRSPITRRGVGSRGQKIQLVTNHFKVSISNTGGHFFHYSVSLYYEDGRPVDAKGIGRRLIDKVHETYGSDLAGKDFAYDGEKSLFTIGALPRNKMEFTVLLDSFSSNRNSGNGSPVGNGSPNETDKKRMRRAFQSKTFKVEMSFAAKIPMQAIAAALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHNNPKNYVDLGGGVLGCRGFHSSFRALQGGLSLNMDGSTTTIIQPGPLIDFLIANQNVSNPFQIDWAKAKRTMKNLRIKVSPTNQEYRITGLSENSCKEQMFSLKSRAADGNDVESFDITVYDYFVNHRSIDLRYSGDLPCINVGKPKRPTYIPVELCSLLSLQRYTKALTVHQRSQLVEKSRQKPQEKIRILADVMKSNNYAAEPMLRSCGITISSQFTQVQGRVLPAPKLKAGNGEDVIPRNGRWNFNNKKFFEPSKIENWAVVNFSARCDVRGLVRDLIKFGEMKGILISDPMDVLEENAQFRRAPPPVRVDKMFEQIQTAFPDAPPRFLVCLLPDRKNSDIYGPWKRKNLAEYGIFNQCLAPTRVNDQYILNVLLKINAKLGGLNSLLAMEQSRNIPFVSKVPTIIFGMDVSHGSPGQSDIPSIAAVVSSRNWPLLSRYRASVRSQSPKVEMVDSLFKLTADKKDDCGIVRELLLDYYKSSGQTKPAQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDESWSPKFTVIVAQKNHHTKFFQDGSPDNVPPGTVIDNAVCHPQTYDFYMCAHAGMIGTTRPTHYHVLLDEIGFSADDLQELIHSLSYVYQRSTTAISLVAPVRYAHLAATQISQFLKFDDMSETSSSHGGLTSAGQAPVPELPELHHNVRSSMFFC >Potri.001G187000.4.v4.1 pep chromosome:Pop_tri_v4:1:16696790:16701829:1 gene:Potri.001G187000.v4.1 transcript:Potri.001G187000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187000.v4.1 MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVTIVKEVSDFILKRLDHKSPVVKQKALRLIKYAVGKSGGEFRREMQRHSAAVRQLFHYKGQMDSLKGDALNKAVRDTAHETISAIFSEENGKPAAPAENLNKRIQGFGNTNFDMPYEDKKSFLTEVVGLGSASIKQGITSLTQSHSLRKNDNGSYKSPNLHRSLTIENDSSDRYQPVQLNNEAQGSSGVSKNVATGPWGHDSRVMNAETKKEDSSSRYTESKTREEKLLETIVTSGGVRLQPTRDAIQAFLEEAAKLNVLALSHALESKLQSPVWQARMKAVCLLESILRRKDDEQFSIVYSYFSENKDSVVRCSESPQNSLREKANKVLSLLGGESLGNMVGNSVKAETAHVQMPDLMDTGDSDDFFGTDDSIKKQSEQHIVNPMTSTTPLIDDLFGDGVGTGVSTGEEQKNEDPFADVSFHTSEGREHGDDLFSGMTFDDKPGADENHMPANENGPELFDIFGSNSEFLKGQENSETGVSGLMASMSINDNVSKLQVTSPAVFSESLFSDLTSNPSHQVTNDALNGSLGLSATGINVNPLHPSGTVPYNMHPGIMLNPAFHSQPINYAAMGNFLAQQQFLATMSNIQHLSNLNVQNAGVSHASGTDGGGYSSALPDIFQSNFPNQAPTSTMNSSKKEDTRAFDFISDHVAAARDPKRVA >Potri.001G187000.1.v4.1 pep chromosome:Pop_tri_v4:1:16696740:16701840:1 gene:Potri.001G187000.v4.1 transcript:Potri.001G187000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187000.v4.1 MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVTIVKEVSDFILKRLDHKSPVVKQKALRLIKYAVGKSGGEFRREMQRHSAAVRQLFHYKGQMDSLKGDALNKAVRDTAHETISAIFSEENGKPAAPAENLNKRIQGFGNTNFDMPYEDKKSFLTEVVGLGSASIKQGITSLTQSHSLRKNDNGSYKSPNLHRSLTIENDSSDRYQPVQLNNEAQGSSGVSKNVATGPWGHDSRVMNAETKKEDSSSRYTESKTREEKLLETIVTSGGVRLQPTRDAIQAFLEEAAKLNVLALSHALESKLQSPVWQARMKAVCLLESILRRKDDEQFSIVYSYFSENKDSVVRCSESPQNSLREKANKVLSLLGGESLGNMVGNSVKAETAHVQMPDLMDTGDSDDFFGTDDSIKKQSEQHIVNPMTSTTPLIDDLFGDGVGTGVSTGEEQKNEDPFADVSFHTSEGREHGDDLFSGMTFDDKPGADENHMPANENGPELFDIFGSNSEFLKGQENSETGVSGLMASMSINDNVSKLQVTSPAVFSESLFSDLTSNPSHQVTNDALNGSLGLSATGINVNPLHPSGTVPYNMHPGIMLNPAFHSQPINYAAMGNFLAQQQFLATMSNIQHLSNLNVQNAGVSHASGTDGGGYSSALPDIFQSNFPNQAPTSTMNSSKKEDTRAFDFISDHVAAARDPKRVA >Potri.001G187000.5.v4.1 pep chromosome:Pop_tri_v4:1:16696846:16701799:1 gene:Potri.001G187000.v4.1 transcript:Potri.001G187000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187000.v4.1 MDSSRRAVESYWRSRMIDGATSDEDKVTPVYKLEEICELLRSSHVTIVKEVSDFILKRLDHKSPVVKQKALRLIKYAVGKSGGEFRREMQRHSAAVRQLFHYKGQMDSLKGDALNKAVRDTAHETISAIFSEENGKPAAPAENLNKRIQGFGNTNFDMPYEDKKSFLTEVVGLGSASIKQGITSLTQSHSLRKNDNGSYKSPNLHRSLTIENDSSDRYQPVQLNNEAQGSSGVSKNVATGPWGHDSRVMNAETKKEDSSSRYTESKTREEKLLETIVTSGGVRLQPTRDAIQAFLEEAAKLNVLALSHALESKLQSPVWQARMKAVCLLESILRRKDDEQFSIVYSYFSENKDSVVRCSESPQNSLREKANKVLSLLGGESLGNMVGNSVKAETAHVQMPDLMDTGDSDDFFGTDDSIKKQSEQHIVNPMTSTTPLIDDLFGDGVGTGVSTGEEQKNEDPFADVSFHTSEGREHGDDLFSGMTFDDKPGADENHMPANENGPELFDIFGSNSEFLKGQENSETGVSGLMASMSINDNVSKLQVTSPAVFSESLFSDLTSNPSHQVTNDALNGSLGLSATGINVNPLHPSGTVPYNMHPGIMLNPAFHSQPINYAAMGNFLAQQQFLATMSNIQHLSNLNVQNAGVSHASGTDGGGYSSALPDIFQSNFPNQAPTSTMNSSKKEDTRAFDFISDHVAAARDPKRVA >Potri.001G187000.6.v4.1 pep chromosome:Pop_tri_v4:1:16697339:16701842:1 gene:Potri.001G187000.v4.1 transcript:Potri.001G187000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187000.v4.1 MQRHSAAVRQLFHYKGQMDSLKGDALNKAVRDTAHETISAIFSEENGKPAAPAENLNKRIQGFGNTNFDMPYEDKKSFLTEVVGLGSASIKQGITSLTQSHSLRKNDNGSYKSPNLHRSLTIENDSSDRYQPVQLNNEAQGSSGVSKNVATGPWGHDSRVMNAETKKEDSSSRYTESKTREEKLLETIVTSGGVRLQPTRDAIQAFLEEAAKLNVLALSHALESKLQSPVWQARMKAVCLLESILRRKDDEQFSIVYSYFSENKDSVVRCSESPQNSLREKANKVLSLLGGESLGNMVGNSVKAETAHVQMPDLMDTGDSDDFFGTDDSIKKQSEQHIVNPMTSTTPLIDDLFGDGVGTGVSTGEEQKNEDPFADVSFHTSEGREHGDDLFSGMTFDDKPGADENHMPANENGPELFDIFGSNSEFLKGQENSETGVSGLMASMSINDNVSKLQVTSPAVFSESLFSDLTSNPSHQVTNDALNGSLGLSATGINVNPLHPSGTVPYNMHPGIMLNPAFHSQPINYAAMGNFLAQQQFLATMSNIQHLSNLNVQNAGVSHASGTDGGGYSSALPDIFQSNFPNQAPTSTMNSSKKEDTRAFDFISDHVAAARDPKRVA >Potri.016G065300.4.v4.1 pep chromosome:Pop_tri_v4:16:4567185:4569858:1 gene:Potri.016G065300.v4.1 transcript:Potri.016G065300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065300.v4.1 MADKLPEEEHPKPAFGWAARDQSGVLSPFKFSRRATGEKDVAFTVLYCGICHSDLHMVKNEWGVTQYPLIPGHEIVGVVTEVGSKVEKFKVGDKVGVGCMVGSCRSCDSCDNNLENYCSKKILTYGAKYYDGTVTYGGYSDNMVADEHFIVRIPNNLPLDAGAPLLCAGITVYSPLRYFGLDKPGMHVGIVGLGGLGHVAVKFARAMGVKVTVISTSPNKKQEALENLGADSFLVSRDQDQMQAAMGTLDGIIDTVSAVHPLLPLVALLKSHGKLVLVGAPEKPLELPVFPLITGRKTVGGSCFGGIKETQEMIDFAAKHNITADIEVIPMDYVNTAMERVLKADVRYRFVIDVGKTLKPDV >Potri.010G126900.12.v4.1 pep chromosome:Pop_tri_v4:10:14407975:14414460:1 gene:Potri.010G126900.v4.1 transcript:Potri.010G126900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G126900.v4.1 MASDSDASHRKHRRSSSDDEAEKSSKRHKHRHHHHRHRHCRSKKHGEDTKQGGEDIVPATLPPPVPVANSNGADNDDVEEGEILEEEGSGGVDVEANELHEEVPDSQNLGFDKSDIGFVNNHPVVDQFDNEVCLRRDSRAELRDELVSKIDSEDLANGNLGREYHKDDKKRHVESRSPSKGSNKQKSNLDITTEGDDSKLRDWRKSSSSESSENRHKRAQSPSRERYHYEIHSRSRSMSRDLVRERSRSRSLIEYKDLLTRKRHHDRVDYDSDGERMSRNSRVLRHGSRDSVRNVDRESSVSYNKSFDGEDRYHNKNSQDRERSKEREQRREKEQERCREREVDRVRRRGMEHERSRESFQRRREMEHERSRKSDHRREKDQYGEVDWERRREKEQGRSRDMVEEIDRKRQQETDLSKHKNMHGASDRDRDREREREKDRDRYRERDRVRDHDRGNEQERERRNDRDREKSRDKSDSEKLYNINSNSFGQGRDNLKRDEDEQDDFEKRMALKLAEQEEEDLNRIKEESRKRREAILEKYRNQHLQQQNESRSEDADKDKEPLEGPGNSAATDNVAPETLDGRTDGADVYVAETLFSVGKSPSQNGIQVTSERTSGAAGLGEGSPKSERSDEKYCDDIFGETPVGVRKSGKGEGLPIVRSGLHDNWDDPVGYYSYRFGEVLDGRYEIVAAHGKGVFSTVVRAKDLKAGIDEPEEVAIKIIRNNETMRKAGDTEVSILKKLAGQDPENKRHCVRFLSSFKYRNHLCLVFESLHMNLREVLKKFGRDIGLKLTAVRAYAKQLFIALKHLRNCGVLHSDIKPDNMLVNEAKNVLKLCDFGNAMFSGKNEITPYLVSRFYRAPEIILGLTYDHPMDVWSVGCCLYELYTGKVLFPGSTNNDMLRLHMELKGPFPKKMLKKGAFVDQHFDRDSNFHATEEDPVTKKIMKKIIVNIKAKDIGSIISGSPGEDPKMLANFKDLLEKLFVLDPEKRMTVNQALAHPFITGK >Potri.006G129700.1.v4.1 pep chromosome:Pop_tri_v4:6:10534584:10539761:1 gene:Potri.006G129700.v4.1 transcript:Potri.006G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MATEDTSIRTLEHTPSWALATVCFVIISVSIVLEHIFHLLTNWLNRSRRTALGEAADKLKSELMLLGFMSLMLAATQKPISKICIPANLANSMLPCRKEVAISKLTAVQNYGNFAGSFVGSLSSENGLRGKNILWGHRSLLADDGVNISDDPCSSKGKVSLISEKGIHQLHIFIFVLAVMQIVYSVLTMALGWTKMRRWEAWEKESRTIEYQVANDPNRFRLTRQTTFGRRHIETWTNMSALLWMICFFRQFFHSVAKVDYLTLRHGFISAHLSTRNVNHFNFQEYIQRSLDDDFKVIVSISPFMWFLVAIFLLLDVRGWNVYHWISYVPLVIVLVLGAKLEVIVAKMAFRIDDQNCVSRGTPLVHPNDNLFWFGQPRFVLVLIHFVLFVNAFEFAFFIWVAIQFGLESCYHENTSFIVARVVLAITVQVICSYITLPLYALVTQMGSQFKSKVLEEQVANIIKQRHAEARERRKTQDQYSLRSPRSALSTDRSIKMYSPIRSPRPPILHELTLSSNEQQIAAKDNEKRSRLIH >Potri.006G129700.2.v4.1 pep chromosome:Pop_tri_v4:6:10534632:10539760:1 gene:Potri.006G129700.v4.1 transcript:Potri.006G129700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MATEDTSIRTLEHTPSWALATVCFVIISVSIVLEHIFHLLTNWLNRSRRTALGEAADKLKSELMLLGFMSLMLAATQKPISKICIPANLANSMLPCRKEVAISKLTAVQNYGNFAGSFVGSLSSENGLRGKNILWGHRSLLADDGVNISDDPCSSKGKVSLISEKGIHQLHIFIFVLAVMQIVYSVLTMALGWTKMRRWEAWEKESRTIEYQVANDPNRFRLTRQTTFGRRHIETWTNMSALLWMICFFRQFFHSVAKVDYLTLRHGFISAHLSTRNVNHFNFQEYIQRSLDDDFKVIVSISPFMWFLVAIFLLLDVRGWNVYHWISYVPLVIVLVLGAKLEVIVAKMAFRIDDQNCVSRGTPLVHPNDNLFWFGQPRFVLVLIHFVLFVIQFGLESCYHENTSFIVARVVLAITVQVICSYITLPLYALVTQMGSQFKSKVLEEQVANIIKQRHAEARERRKTQDQYSLRSPRSALSTDRSIKMYSPIRSPRPPILHELTLSSNEQQIAAKDNEKRSRLIH >Potri.004G136000.1.v4.1 pep chromosome:Pop_tri_v4:4:15758445:15759683:-1 gene:Potri.004G136000.v4.1 transcript:Potri.004G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G136000.v4.1 MSPAISGAPFLIFFLTLSLHISFPTAVRLPTKVPSFLPSDNSPPTEKYWFSRLPNTPLPKALRDTLQPGYYPSVIRDFANGEKISVDAREKYGKHYGEDSHKKTAKSALPDSTIFYLYNDLHPGKKMKLLFTNSGTKVSFLPRRVAESIPFSSDKFPEILKYFSLQVNSEEAEIISDEIGYCESPNMEGEEKYCATSLESLIDFNVARLGQNVQVLSTEPGKKQEYTVSAKAEMRGEHKAAVCHKIRYPYAVHYCHVIEGTEVYVVPLIAADGAEVKAVTVCHLNTSAWSPDHMAFEVLKIKPGPAVCHFLATDTLIWVPKKDQDMTP >Potri.008G142800.1.v4.1 pep chromosome:Pop_tri_v4:8:9647173:9654753:1 gene:Potri.008G142800.v4.1 transcript:Potri.008G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142800.v4.1 MSMEGMLSANDEQSMVSSFLEIAVGQTAETARQFLQATSWKLEDAIQLFYVGNEGGVVASASHPPQTETRTDVHESGLKDFGNENVGPGGGEEVRAPLPVVRDTLYDDAMLYGASRMGHPPHEASSLIAFRNFDEEMKHSGVWESDQGSTSTIDNPRDNLASLYRPPFHLMFHGSFEKAKDAASVQDKWLLVNLQSTKEFSSHMLNRDTWANEAVAQTISTNFIFWQVYDDTSEGRKVCTYYKLDSIPVVLVIDPITGQKMHSWVGMVQPESLLEDLVPFMDGGPRDHHKTLSHKRLRGSALTPQKSKAPVPAYETNEEDEEVQRALAASMESMKESSAVASNDKDEASTTQEEENCSSRMPMYPPLPEEPSGDKSLLCRVGIRLPDGHRVQRNFLKTDPIQLLWSFCYSQLEEAGTKLFRLTEAIPGSKRLDYDSKMTFGESGLANSMISVAWD >Potri.004G024140.1.v4.1 pep chromosome:Pop_tri_v4:4:1726635:1729872:1 gene:Potri.004G024140.v4.1 transcript:Potri.004G024140.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024140.v4.1 MFIQNIIMTRHALLAMCFLIILLSMLFALVLADPLFTTCSTNEYGNYDLKSPFGKNVKIVLETLPSITSSTGYNSTAIGRFPDKVTGKALCRGDVTSSACQTCLRDASQKLLKDCESKEAIMWYERCQIHYSFQNIISLDVYAGKYPDLESHKKSVSDPVHFYENVRFLMDNMSNEAALNRSKLMFETGEIKFSRNETIYGHVQCTRDIREDECQKCLSSALIDLKGCCSSQQGGIIVSSNCNVRFELYKYYNTSSHLITFPTPKGRSNWKMVAIIVFIPTMVLTIVIGSSIFCLRRKRRRQRDMERSHLALLQELACPRGVTMTDEGHLVSSEDLPFMDLTTIREATDNFSDSNKLGQGGFGTVYKGVLPDGKEIAVKRLSRKSWQGLEEFKNEVKVIAKLQHRNLVRLLGCGMQGEEKLLIYEFMPNKSLDIFIFDAERRALLDWETCYNIAGGIARGLLYLHEDSRLRIIHRDLKPSNVLLDHEMVAKISDFGMARIFCENQNKANTRRVVGTFGYMAPEYAMGGLFSVKSDVFSFGVILLEITSGKRSSGFYLSEHRQTLLAYAWRLWNEGREMELVDPSLMDRSQTEGIVRCIHVGLLCVQEDPADRPTMSFVVLALGSDPIALPQPKQPAFTLGKMVPIYKSSPTDPSVNQMTVSGIAPR >Potri.006G215932.1.v4.1 pep chromosome:Pop_tri_v4:6:22175714:22176175:1 gene:Potri.006G215932.v4.1 transcript:Potri.006G215932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215932.v4.1 METIATNHIDAHKNIRSIGIPSSEDMKGLRSNRYHSILKIKEAGRCFVYEYKVDKPYCLPLEKGPSNTPARNLLKNSENPHFKS >Potri.017G092100.1.v4.1 pep chromosome:Pop_tri_v4:17:10469622:10473961:1 gene:Potri.017G092100.v4.1 transcript:Potri.017G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092100.v4.1 MDLNTKNPKSATPVKDPHGFQSKLSENSNPNLSHSSPCSKPTNSPLTKSAKSQKSASRNPTLNLNPVIYSPRNKIRERRFVVAKKKSKKGALNSNTTPVDCKCKERYGDNVNKCLCVAYETLRASQEEFFKNKNDVEEKGETDKEKAFDYKAMTEFEEEEIENHFAAQNLEIEDRDGSDTQYSCESEKSGQMGSSTIKRRRDKLLEDARNSAPDSGKVKHLVDAFEKLFTLPNPKESDKTEEEEIKENRKKAMQWALPGLQHPKVVPETEYSSSSFSLPRFQPAGVSETNVSSSSFCPSDFCLTSENLGLDSRISLSSSWDGSQGSNSSRSSNGGRRSRRNSAESSGTMGVRRLKKKKQLKVTSQKPFKLRTEQRGRQKEEEFTKKIQEIMMEEERLRIPVAQGLPWTTDEPECLIKPPVKENTKPVDLKLHSDIRAVERADFDHQVSEKMSLIEQYKMERERQRKLAEEEEIRRLRKELVPKAQPMPYFDRPFIPRRSMKHPTVPREPRFHMPQHKKIKCCLSWSDVSSYTCDQ >Potri.009G166000.1.v4.1 pep chromosome:Pop_tri_v4:9:12696402:12700173:1 gene:Potri.009G166000.v4.1 transcript:Potri.009G166000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166000.v4.1 MGAAGDSSESKVINEQQGQGEEGAVVINIRCSNGTKFTVRTSLESTVGVFKSLLAQNCDVPPDQQRLIYKGRILKDDLALHSYGLQADHTIHMVRGFSPAPSAPAHAPAPVAAANPENPDTTTGVTRGVGSNEGRAFGLGGAGIGASLFPGLGSLGGSSPSALFGDGLPELEQVQQRLTQNPDMMREIMNTPAMQSLMNNPELIRSMMMSNPQMREIMDRNPELAHVLNDPSILRQTLESARNPELMREMMRHTDRAMSNIESTPEGFNMLRRMYENVQEPFLNATTMGGNAGNDLGSNPFATLLGNQGGTQVRDASNNPSATGSETTTGLAAPNTNPLPNPWNNAAGGTQTNSTARPNPAGRASGLGGLGLGGLGLPGMDNLFNSMPDSNQMTQLLQNPAVSQMMQSLLSNPEYMNQMLNFNPQLRGMVDSNPQLREMMQNPELLRQLTSPETMQQMLALQQSLLPQLRQQATQESAQPGAPTGTPNNAGLDMLMNMFGGLGAGSLLVPNQPDVPPEELYATQLSQLQEMGFFDTQENIRALRATAGNVHAAVERLLGNLGQ >Potri.009G166000.4.v4.1 pep chromosome:Pop_tri_v4:9:12696501:12700090:1 gene:Potri.009G166000.v4.1 transcript:Potri.009G166000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166000.v4.1 MGAAGDSSESKVINEQQGQGEEGAVVINIRCSNGTKFTVRTSLESTVGVFKSLLAQNCDVPPDQQRLIYKGRILKDDLALHSYGLQADHTIHMVRGFSPAPSAPAHAPAPVAAANPENPDTTTGVTRGVGSNEGRAFGLGGAGIGASLFPGLGSLGGSSPSALFGDGLPELEQVQQRLTQNPDMMREIMNTPAMQSLMNNPELIRSMMMSNPQMREIMDRNPELAHVLNDPSILRQTLESARNPELMREMMRHTDRAMSNIESTPEGFNMLRRMYENVQEPFLNATTMGGNAGNDLGSNPFATLLGNQGGTQVRDASNNPSATGSETTTGLAAPNTNPLPNPWNNAAGGTQTNSTARPNPAGRASGLGGLGLGGLGLPGMDNLFNSMPDSNQMTQLLQNPAVSQMMQSLLSNPEYMNQMLNFNPQLRGMVDSNPQLREMMQNPELLRQLTSPETMQQMLALQQSLLPQLRQQATQ >Potri.009G166000.3.v4.1 pep chromosome:Pop_tri_v4:9:12696722:12699979:1 gene:Potri.009G166000.v4.1 transcript:Potri.009G166000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166000.v4.1 MGAAGDSSESKVINEQQGQGEEGAVVINIRCSNGTKFTVRTSLESTVGVFKSLLAQNCDVPPDQQRLIYKGRILKDDLALHSYGLQADHTIHMVRGFSPAPSAPAHAPAPVAAANPENPDTTTGVTRGVGSNEGRAFGLGGAGIGASLFPGLGSLGGSSPSALFGDGLPELEQVQQRLTQNPDMMREIMNTPAMQSLMNNPELIRSMMMSNPQMREIMDRNPELAHVLNDPSILRQTLESARNPELMREMMRHTDRAMSNIESTPEGFNMLRRMYENVQEPFLNATTMGGNAGNDLGSNPFATLLGNQGGTQVRDASNNPSATGSETTTGLAAPNTNPLPNPWNNAAGGTQTNSTARPNPAGRASGLGGLGLGGLGLPGMDNLFNSMPDSNQMTQLLQNPAVSQMMQSLLSNPEYMNQMLNFNPQLRGMVDSNPQLREMMQNPELLRQLTSPETMQQMLALQQSLLPQLRQQATQESAQPGAPTGTPNNAGLDMLMNMFGGLGAGSLLVPNQPDEELYATQLSQLQEMGFFDTQENIRALRATAGNVHAAVERLLGNLGQ >Potri.013G057100.1.v4.1 pep chromosome:Pop_tri_v4:13:4178925:4185478:1 gene:Potri.013G057100.v4.1 transcript:Potri.013G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057100.v4.1 MALLSLLFLLSIGGASSASALSICPDESALFLYDIQSQCPVSIYPNPPLQVDGDFLDRALTSKQRNAYTSVLFYASWCPFSCSMLPKFEILSSMFPQIEHLAVEQSSALPSIFSRYGIHSLPSILIVNQRSKVQYRGPKNLQSLAQFYKKTTGLEPVQLFTKDDSSSTEGHEKSILQPWNGPSLEEIIKREPYLALATLFLCLRVLLYASPKALSHLKAFYVSYIPHFNLEIFGETSQFFGRILHMIDVRRIWTKLRLCKTRNFHERAKNCRVWASSLASVSLGESSSSTRSQS >Potri.009G087600.1.v4.1 pep chromosome:Pop_tri_v4:9:8149452:8154182:-1 gene:Potri.009G087600.v4.1 transcript:Potri.009G087600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087600.v4.1 MREGVSREGNMFIVACNRSKTSDSISQKVQLQQTKLYSFSAWVQISEGSEAVDVIFKTTHGEWIRGGSVVAKHGCWSLLKGGMIAHLSGPVEIFFVVMCLLHLIYVNFSLNIIEVCSDLCNNTRVEVWIDNVSFQPFTTQQWRSHQDKSIEEVRKSKVRFQVTYATGTALGGAAVSIKQTKSGFPFGCGMNHYILLSNAYQNWFASRFKFTTFTNEMKWYSTEKEQGHENYTIADAMLSFAEKNGIAVRGHNILWDSPKMQPQWVKNLSPGELRIAATKRTDSVVRRYSGKLIAWDVMNENMHFSFYEDKLGKNASSEYYLRAYQLDPKTKMFSNEFNTIEYSKEIRASPVNYVKKIKEILSYPGIKGILLGIGIQCHFSSGYPNLVYMRSALDILGSTGLPIWLTEVDVQKGPNQAQYFESILREGYSHPAVKGIIIFSGPEVAGFSAITLADKDFKNTPSGNVVDKLIAEWKTRTLKVIADSKGFAEASLFQGDYNLIVKHPVTNLLTRLRFKRKVPQLSFTTSFLALVYCIKKLGIEDLHKVS >Potri.001G094332.1.v4.1 pep chromosome:Pop_tri_v4:1:7466697:7468329:-1 gene:Potri.001G094332.v4.1 transcript:Potri.001G094332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094332.v4.1 MKELEEQLATLKTEMDSLYNLKKGLEVQIENKAAKAKQLQEKKSQLLSWVSELELMSKEKGDEISTIQKKMKDNEMNFTSRIEDLMTQVKILQLETVSLHSQNGKLKAGKQKEASAQAKGFKNQINVLQKELESLHSEKSHLK >Potri.016G082000.1.v4.1 pep chromosome:Pop_tri_v4:16:6311249:6312351:1 gene:Potri.016G082000.v4.1 transcript:Potri.016G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082000.v4.1 MWGFATLATILLLSLPDHADSTRLSDLRDSIGRRPLSRPTEFLAAHNKIRAMHNLTSLSWNRMLARYARRWANTRLDDCKNLEHSPNSPFGENLFWGLRDHWNASKVVKYWGDEVQNYDPLTNECLNNSVCGHYTQIVWNTTQSVGCTHALCNNNEGHLFVCSYDPPGNIYYQGPFGGRFGKCIVYPPSSDRSSSTLSGSPHAPTGITAITANNRDFISGTTGHPANLTPPKFNLNNV >Potri.009G083900.1.v4.1 pep chromosome:Pop_tri_v4:9:7891501:7895459:1 gene:Potri.009G083900.v4.1 transcript:Potri.009G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083900.v4.1 MIKRSPRRNPRSKGSKLKHGLQICLLLGVCFWLIYQVKHSHDKKKELDEKDANLSLSAHPHDELPKLGRKDLHPDLQDKTRSEKPEEEEEEDTGVDEEVNKKEEKGHEKEKEEETKHEEEEGGTKDEEVEREEHIHEEEEREGEGTYHLDKEREEEIRHEEEEQTEEAESEETEDKGRQGRDDEVDEHDQEKIEGEADRDEEFMDEEKEREDEGEETESQGNEGEDNEGEEKESQGNEDEDREVQADNETQSEDQDHDGGGKNSHEAREEHYKADDASSAVTHDTQIISTEPEKEGLEKSNENLAADDLQQDKYSISGNPLDVNEDKINSTSQLEQAENGHPLNATTDEKQNDEITLTKSEDESPNNTRVTVLSIDQLVPSNKSMEVSSEAGNNQAGANLEVSGSSQQNGMWNASDSNQIRNATIDGRVTGDVSNIQTTQLEQVNNDMVYNSIDSSNASSNSDSGVSDKIIKPELTVAEDVNSGLSSRTNESTEGTHDESSDTKNESGGTDENTNSSNMNEAEDAILHDPIDSSIGQDEREARIDLGTLPETGAGGVNSGNAAEE >Potri.003G163000.1.v4.1 pep chromosome:Pop_tri_v4:3:17257055:17260005:1 gene:Potri.003G163000.v4.1 transcript:Potri.003G163000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163000.v4.1 MALEQQLNLTPTQNSVDGERTNGVDTSIRDGGDDGNKAPRLPRWTRQEILVLIQGKRVAENRVRRGRASGMGIGPGQVEPKWASVSTYCKKHGVNRGPVQCRKRWSNLAGDYKKIKEWEASIRDETESFWFMRNDLRREKKLPGFFDREVYDILDGGGGTVQGLALALAPSSAAVEAETIAEGVVFDSGRSAAAEDGLFSDFEQEEGGRSPEAVVKELQPIKAAVAIPTPISEKQYQPAPQASQAQGSLNDKRPSTNPEMGSASHEDRKRKRFAIDGGEETISSHSHLIHVLERNGKMLTAQLEAQNTNFQLDREQRKDHADGLVAVLNKLADALGKIADKL >Potri.013G065000.1.v4.1 pep chromosome:Pop_tri_v4:13:4823076:4831425:1 gene:Potri.013G065000.v4.1 transcript:Potri.013G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065000.v4.1 MGFLVGSQNGVRGGGCFRSLIRRKQVDSVHFKRHGHHQLAKELSVPHLIAIGVGSTIGAGIYILVGTVAREHSGPALFISFLIAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGQDSLPFFLARQHIPGLDVVVDPCAAVLVLVVTGLLCVGIKESTLAQAVVTSINVCAMLFIIIAGSYLGFKTGWAGYELPAGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGLALSICCCLYMLVSVVIVGLVPYYAMDPDTPISSAFAAYGMQWAAYLVAAGAVMALCSTLMGSILPQPRILMAMARDGLLPSFFSDINKKSQVPVKSTLVTGLGSAVLAFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPNEVPFPSSLQEIIDSVSLRYSTGSPDVTKEKSGFYAGTSMDSNLPLLGKATAIEYPIIVEQEAQGNFVINEGSRRKIAGWTITATCVGAFLLAYAASDLNLPRLLRFIVCGIGGALLLFGLIVLTCIEQDDARHTFGHSGGFICPFVPLLPIVCFLVNIYLLINLGAATWTRVSVWLIVGVLVYTFYGRTHSSLLDAVYVPATHADEIYRSSGESSA >Potri.005G159100.1.v4.1 pep chromosome:Pop_tri_v4:5:15328778:15332552:-1 gene:Potri.005G159100.v4.1 transcript:Potri.005G159100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G159100.v4.1 MNQKQDPHEDQTKKPAPSSSDLESEKHVEVKDKISDPHTTDYAPYPKLDPQDVAPPLDNWANVSMGSTTLSNPAGATQGSPPIAGTTVTTMPADSNPYVSPAPVAPSSSKNKMEAVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLVKTFACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYKIGEQTEWSYYKVVIPLHQLKAVNPSTSKVKSAEKYIQIISVDNHEFWFMGFVYYDNAVKSLQEALQHHTS >Potri.005G159100.2.v4.1 pep chromosome:Pop_tri_v4:5:15328802:15332523:-1 gene:Potri.005G159100.v4.1 transcript:Potri.005G159100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G159100.v4.1 MNQKQDPHEDQTKKPAPSSSDLESEKHVEVKDKISDPHTTDYAPYPKLDPQDVAPPLDNWANVSMGSTTLSNPAGATQGSPPIAGTTVTTMPADSNPYVSPAPVAPSSSKNKMEAVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFRQTFETVPEEQLVKTFACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYKIGEQTEWSYYKVCKLCLSLFWQHNLDIQAIEATYP >Potri.004G223300.2.v4.1 pep chromosome:Pop_tri_v4:4:22798649:22801598:1 gene:Potri.004G223300.v4.1 transcript:Potri.004G223300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223300.v4.1 MGNIGEIQEEIERWVSPSPAFPPSTGTTSMPLALNHISFVCKSVAESVGFYYDVLGFVLIKRPSSFKFEGAWLFNYGIGIHLLESDKAPAKKSKINPKDNHISFQCSDMNLVIKKLEEKNIEYVTAVVEEGGITVDQLFFHDPDGHMVEICNCQNLPVLPLSACPIKLPKTNGKLASSVPSLCGKQSWELQCFAEVASLMMDNLVVNMMDFSI >Potri.010G107500.1.v4.1 pep chromosome:Pop_tri_v4:10:12857803:12860168:-1 gene:Potri.010G107500.v4.1 transcript:Potri.010G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107500.v4.1 MLSIQFPLCIGNTHSHHREYYSCHSKTVSQKRNKKIIMAATINDTANQEYDRSKEVKQFDDSKIGVKGLIDSGITSIPRIFIHPPETLSDLKSKRSTRLPDSESNLIPTIDISGLEDSNRRSAVVDKVGRACREFGFFQVVNHGVPLEVLDRTIGGIKGFHELPTEEKMRWYRREMGSGVSFLSNVDLFHSKAASWRDTLQMTLGPNLPELEEIPEICRNELVDWNQCAKQLGELLMELLCEGLGLNAGKLKDLTFLDARTMAAHYYPYCPQPDLTVGIMSHTDPGVLTVLLQDQIGGLQVKHGEGWVDVKPVPGAIVINVGDIMQILSNDEYKSNEHRVLANGCHEPRISIAIFFNPLKRDSLFGPFPELISPEKPAVYREFIYTDYIKRFFTKELDGKSLTNYYKL >Potri.006G244700.2.v4.1 pep chromosome:Pop_tri_v4:6:24537266:24539722:1 gene:Potri.006G244700.v4.1 transcript:Potri.006G244700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244700.v4.1 MESPFKSMAMSSDQDTRILACTHDRLSGLPDQVIYHIFSFLGANDTARLGLVSKRFKKLCSSSPYLNFVADFDSGSDHSCLRTRYTDFCSYVDKVLRSREKTGEGLIRLLVHWFCKQRRFDIGGSVVNSWVTVATKCGVEELDMLVHVDSLKGYSLPDCVYKCESLRALKLNLQMGRFSFQILGFDWLKDLWLDSVTIGDKYFGQRISDRCKCLKRLTLENVDGISDLTMTSSSLEELEISDCRFPNSFFDGKFNISCSSLKVLTISRCQFKALWHVNLNCQSLENLTVQDSEFGRFFVCKIDCESLETLEVCGSNFLEACQLLVDCPSLIHAMISSCRFANVCFLNIKSSSLGGLTLSECKFSSPEIGSQYSKPKKEIKVGAGPCRRIIMKAENLETLNVSSSDAYSYEFPLSISAPKLKDLWWTGDPVDFSYLNQGMVSLLNARIHIKPTCRHRSEESDRRCKHSKSLIYCAAKFLQCLSEARFLSINTWPIEIFFMQNDSPIVFKKLQNLVLLTDGSFADQIPTIASFLRGLPNLRRLIIRCEQISHELSDPNASLTQTTLNPCLFSPCQNTHFSCSSL >Potri.006G244700.1.v4.1 pep chromosome:Pop_tri_v4:6:24537347:24539721:1 gene:Potri.006G244700.v4.1 transcript:Potri.006G244700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244700.v4.1 MESPFKSMAMSSDQDTRILACTHDRLSGLPDQVIYHIFSFLGANDTARLGLVSKRFKKLCSSSPYLNFVADFDSGSDHSCLRTRYTDFCSYVDKVLRSREKTGEGLIRLLVHWFCKQRRFDIGGSVVNSWVTVATKCGVEELDMLVHVDSLKGYSLPDCVYKCESLRALKLNLQMGRFSFQILGFDWLKDLWLDSVTIGDKYFGQRISDRCKCLKRLTLENVDGISDLTMTSSSLEELEISDCRFPNSFFDGKFNISCSSLKVLTISRCQFKALWHVNLNCQSLENLTVQDSEFGRFFVCKIDCESLETLEVCGSNFLEACQLLVDCPSLIHAMISSCRFANVCFLNIKSSSLGGLTLSECKFSSPEIGSQYSKPKKEIKVGAGPCRRIIMKAENLETLNVSSSDAYSYEFPLSISAPKLKDLWWTGDPVDFSYLNQGMVSLLNARIHIKPTCRHRSEESDRRCKHSKSLIYCAAKFLQCLSEARFLSINTWPIEIFFMQNDSPIVFKKLQNLVLLTDGSFADQIPTIASFLRGLPNLRRLIIRCEQISHELSDPNLINLLGLNSRSFNLTGVGQDLKNVKIEAVQGQAQSCVQNAGKRR >Potri.003G086900.1.v4.1 pep chromosome:Pop_tri_v4:3:11351258:11353977:-1 gene:Potri.003G086900.v4.1 transcript:Potri.003G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086900.v4.1 MTVSDTKISLSKLAPLEAVLFDVDGTLCDSDPLHYYAFREMLQEINFNDGVPITEEFFVKNIAGKHNEDIALLLFPDDLQRGLKFMDDKETMFRRLASEQLKPVNGIYKLKKWVEDHGLKRAAVTNAPRANAELMISLLGLSDFFDAVILGDDCEHAKPHPEPYLKALEVLNVSKDHTFVCEDSVSGIKAGVAAGMPVVGLTTRNPEHLLMEAKPTLIIKDYEDPNLWTALEELDKQEAAVKPAA >Potri.017G003601.1.v4.1 pep chromosome:Pop_tri_v4:17:213672:215210:1 gene:Potri.017G003601.v4.1 transcript:Potri.017G003601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003601.v4.1 MMMLMVKRKHKITDDDQAGSSPTRGLLEEGIKEISMLEKRVTRMSYADLNDATDNFSENNVIGQGKMGMLYKASLPNGYVLAVKKLHDYQFLEEQFISELKILGSLRHINVLPLLGFCVESNQRFLVYNYMPNGNLYDWLHPMEEGREKAMEWGVRVKVAVGLARGLAWLHQNCHTVKIIHLDISSKCILLDQNFQPKLSNFGEAMLMSSTCASSVNSEFWEMAFVKEDVHGFGVVLLEMITGVDPSNMTGSSNNVLNEWIGHLSSSSDFHGAIDKSLIGQGFDAEIIQLLKVACTCVDPIPDRRPR >Potri.T124508.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:16121:20171:-1 gene:Potri.T124508.v4.1 transcript:Potri.T124508.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124508.v4.1 MRIVIPARDLKKAAGVKEAIQKESPNAEIIIFETDMSSFVSVKRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHYLMTELLLEKMIETAEQTGIQGRIINLSSVIHSWVKRDAFCLQKMLSPKSYDGTRAYSQSKLANILHVKEIARQLRARNARVTINAVHPGIVKTGILRASYKGFLTDSLYFIASKLLKSTSQGASTTCYVALSQQIEGVSGKYFADCNESKCSTLANDESEAQKLWMQTHALMQRYLYLPAA >Potri.T124508.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:16121:20171:-1 gene:Potri.T124508.v4.1 transcript:Potri.T124508.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124508.v4.1 MKETWRYLAGIAGPSGYGSNSTAEQVIQDSACLVPPHLTAIITGATSGIGVETARALAKKGMRIVIPARDLKKAAGVKEAIQKESPNAEIIIFETDMSSFVSVKRFCSGFLALGLPLNILINNAGIYSQKLEFSEDKIEMTFATNYLGHYLMTELLLEKMIETAEQTGIQGRIINLSSVIHSWVKRDAFCLQKMLSPKSYDGTRAYSQSKLANILHVKEIARQLRARNARVTINAVHPGIVKTGILRASYKGFLTDSLYFIASKLLKSTSQGASTTCYVALSQQIEGVSGKYFADCNESKCSTLANDESEAQKLWMQTHALMQRYLYLPAA >Potri.010G184800.9.v4.1 pep chromosome:Pop_tri_v4:10:18222278:18228438:1 gene:Potri.010G184800.v4.1 transcript:Potri.010G184800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184800.v4.1 MSHRSHERDIRESEFYEYEERHYEDLKKERIRVRVSGSVYKCPYCHGRDYHLRELLQHASDLGRGSRRGTLKEEAQHLALARYIRRHLDVKDRSESSSKRFKTEPPAVYDHNKEQLFVHSAKRPKTESLAACDHDKEQPFVPSAKRPKTECHAVHDHDKEQLLVWPWMGVLANIQTEMKDGRRVGESGSKLRDELARKGFNPVRVHPLWGRYGHSGFAIVEFKKDWDGFSNAIMFEKDFDSNHCGKKEYTETPVRDRGQRLYGWIAQEDDYKASGLVGDHLRKNGDLKSVDGKQAEDQRKDAKLVSNLKSTLERKHDHLREMESRYKETSASLNKVMDQKEAMEKSYNEEIRKMQQNEHDHFEEISVEHEKVTRLLLAQREELKQREKQLQRREVQNENDRLKLHHEKKMNERATLEQKRADESVLRLAEEQKREKEKLHKKIFDLEKKLDAKQALELEIECMKNSLQIMKHMGEDEDLDVKKKMDTVREELKEKEEELDGLEQLNQALIIKERKTNDELQDARKELISYLGQWTTRAFIGVKRMGDLDGKPFHEASKIKFLDEEADEKALELCSLWEDRLRDPSWHPFKVILDKEGNSKEIINEDDENLRSLKSEFGDEVFNAVVTALKEMNEYNPSGRYVIKELWNFKEERKATLSEGVMHILKQWRQLKRRKT >Potri.010G184800.8.v4.1 pep chromosome:Pop_tri_v4:10:18222192:18228484:1 gene:Potri.010G184800.v4.1 transcript:Potri.010G184800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184800.v4.1 MSHRSHERDIRESEFYEYEERHYEDLKKERIRVRVSGSVYKCPYCHGRDYHLRELLQHASDLGRGSRRGTLKEEAQHLALARYIRRHLDVKDRSESSSKRFKTEPPAVYDHNKEQLFVHSAKRPKTESLAACDHDKEQPFVPSAKRPKTECHAVHDHDKEQLLVWPWMGVLANIQTEMKDGRRVGESGSKLRDELARKGFNPVRVHPLWGRYGHSGFAIVEFKKDWDGFSNAIMFEKDFDSNHCGKKEYTETPVRDRGQRLYGWIAQEDDYKASGLVGDHLRKNGDLKSVDGKQAEDQRKDAKLVSNLKSTLERKHDHLREMESRYKETSASLNKVMDQKEAMEKSYNEEIRKMQQNEHDHFEEISVEHEKVTRLLLAQREELKQREKQLQRREVQNENDRLKLHHEKKMNERATLEQKRADESVLRLAEEQKREKEKLHKKIFDLEKKLDAKQALELEIECMKNSLQIMKHMGEDEDLDVKKKMDTVREELKEKEEELDGLEQLNQALIIKERKTNDELQDARKELISYLGQWTTRAFIGVKRMGDLDGKPFHEASKIKFLDEEADEKALELCSLWEDRLRDPSWHPFKVILDKEGNSKEIINEDDENLRSLKSEFGDEVFNAVVTALKEMNEYNPSGRYVIKELWNFKEERKATLSEGVMHILKQWRQLKRRKT >Potri.010G184800.7.v4.1 pep chromosome:Pop_tri_v4:10:18222134:18228438:1 gene:Potri.010G184800.v4.1 transcript:Potri.010G184800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184800.v4.1 MSHRSHERDIRESEFYEYEERHYEDLKKERIRVRVSGSVYKCPYCHGRDYHLRELLQHASDLGRGSRRGTLKEEAQHLALARYIRRHLDVKDRSESSSKRFKTEPPAVYDHNKEQLFVHSAKRPKTESLAACDHDKEQPFVPSAKRPKTECHAVHDHDKEQLLVWPWMGVLANIQTEMKDGRRVGESGSKLRDELARKGFNPVRVHPLWGRYGHSGFAIVEFKKDWDGFSNAIMFEKDFDSNHCGKKEYTETPVRDRGQRLYGWIAQEDDYKASGLVGDHLRKNGDLKSVDGKQAEDQRKDAKLVSNLKSTLERKHDHLREMESRYKETSASLNKVMDQKEAMEKSYNEEIRKMQQNEHDHFEEISVEHEKVTRLLLAQREELKQREKQLQRREVQNENDRLKLHHEKKMNERATLEQKRADESVLRLAEEQKREKEKLHKKIFDLEKKLDAKQALELEIECMKNSLQIMKHMGEDEDLDVKKKMDTVREELKEKEEELDGLEQLNQALIIKERKTNDELQDARKELISYLGQWTTRAFIGVKRMGDLDGKPFHEASKIKFLDEEADEKALELCSLWEDRLRDPSWHPFKVILDKEGNSKEIINEDDENLRSLKSEFGDEVFNAVVTALKEMNEYNPSGRYVIKELWNFKEERKATLSEGVMHILKQWRQLKRRKT >Potri.010G184800.10.v4.1 pep chromosome:Pop_tri_v4:10:18222192:18228415:1 gene:Potri.010G184800.v4.1 transcript:Potri.010G184800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184800.v4.1 MSHRSHERDIRESEFYEYEERHYEDLKKERIRVRVSGSVYKCPYCHGRDYHLRELLQHASDLGRGSRRGTLKEEAQHLALARYIRRHLDVKDRSESSSKRFKTEPPAVYDHNKEQLFVHSAKRPKTESLAACDHDKEQPFVPSAKRPKTECHAVHDHDKEQLLVWPWMGVLANIQTEMKDGRRVGESGSKLRDELARKGFNPVRVHPLWGRYGHSGFAIVEFKKDWDGFSNAIMFEKDFDSNHCGKKEYTETPVRDRGQRLYGWIAQEDDYKASGLVGDHLRKNGDLKSVDGKQAEDQRKDAKLVSNLKSTLERKHDHLREMESRYKETSASLNKVMDQKEAMEKSYNEEIRKMQQNEHDHFEEISVEHEKVTRLLLAQREELKQREKQLQRREVQNENDRLKLHHEKKMVTYLAFLKAANFLVCWGFLQND >Potri.013G066400.1.v4.1 pep chromosome:Pop_tri_v4:13:4921566:4925186:-1 gene:Potri.013G066400.v4.1 transcript:Potri.013G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066400.v4.1 MAETCTRKLIVEVCNARSLMPKDGQGTASAFATVDFDGQRRRTKTKLRDLNPEWDEKLEFLVHDTDSMATETLEISLYNDKKTGKRSTFLGKVRIAGSAFVKSGGETLVYYPLEKRSVFSQIKGELGLKVYYIDEDPPAPPAEQKPEEKAPETEENKPAEEAKPEEEKKEEEKKEEPKTESNKEAKKEEEKPSPPPQEENPKKPEEAAPPVKVENPPLAESEKKPSKEEKEKAEIVKRSEVTIGDLELRSLASDRGRSAYDLVDRMPFLYVRVVKAKTANNESKSPVYAKLMIGTHSIKTKSQSDKDWDKVFAFDKEGLNSTSLEVSVWTEEKKENEETTQECSLGTVSFDLQEVPKRVPPDSPLAPQWYALESESSAGNEVMLAVWIGTQADEAFQEAWQSDSGGLLPETRAKVYLSPKLWYLRLTVIQTQDLHLGSGSEAKVRNPELYVKAQLGAQLFKTGRTSIGSTSASSANPTWNEDLVFVAAEPFEPFLTVTVEDVTNGQSVGHAKIHVASIERRTDDRTELKSRWFNLVGDDTKPYTGRIHVRVCLEGGYHVLDEAAHVTSDVRAAAKQLAKAPIGLLEVGIRGATNLLPVKTKDGTRGTTDAYVVAKYGPKWVRTRTILDQFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKHDEAAEKQGKDVRVGKVRIRLSTLDTNRVYFNQYSLTVVLPSGAKKMGEIEIAIRFSCSSWLSLIQAYTSPMLPRMHYVKPMGPTQQDILRHTAMRLVTTRLTRSEPPLGQEVVQFMLDSDTHMWSMRRSKANWFRVVGCLTRVATLARWTEGIRTWVHPPTSVLMHVLLVAVVLCPHLVLPTIFMYAFLILAFRFRYRQRVPLNMDSRLSYVDMVGPDELDEEFDGFPTTRSQDVVRIRYDRLRALAGRAQTLLGDFAAHGERLEALWNWRDPRATGIFVVFCLVASLVFYVVPFKVFVLGFGFYYLRHPRFRDDMPSIPVSFFRRLPSFSDQIL >Potri.001G149500.1.v4.1 pep chromosome:Pop_tri_v4:1:12491446:12492821:1 gene:Potri.001G149500.v4.1 transcript:Potri.001G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149500.v4.1 MWIGLNKCAQLMNQLTHCCEHKSSLSSDASKSLDRTLQHVSNCLSYRSVPYPVVYGTKRLPFSFVYFRQRAREIREKKCEHEKRTQMGSVH >Potri.006G041600.4.v4.1 pep chromosome:Pop_tri_v4:6:2805278:2805424:1 gene:Potri.006G041600.v4.1 transcript:Potri.006G041600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G041600.v4.1 MAMPWSMAIWIANMVWVGLIGLVSTCLTVADELASSLRTGDIGPFHVG >Potri.018G134200.10.v4.1 pep chromosome:Pop_tri_v4:18:14305616:14311707:1 gene:Potri.018G134200.v4.1 transcript:Potri.018G134200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134200.v4.1 MVSHPNILKVLGFCRANEEKILVYEYMQKRGLDYHLFSKKPERVLSWEIRLKIAIEIAEGLSYLHTLEHPIIFRDMKPSNILLDKSYTAKIADFGLAITAPAPLRDHDEYIQEDRIVGTYGYMDPLYAQTGELCVKSDVYGFGVVLVQLLTGSRLTKNINDDQTVGEWAEKYLSNRFRLRGIMDSRLEGKYVTGQASEIAMLALRCLVRNPKFRPSMKEVAETLEKIKTRAYNQKHLVMCGKCHKAGHYTRSCDKNSHVGGNSKLPNASEAITEERTSSTQSTVQQDSGRSEASDVVTKTLQTTPSNSNLLDASNGTSNEKTSTIQSPHPQQGSKRDEANRVGTSKPRNNHLIRKTTAVGGNSKVLEARNTTKKEKTTSSRLSVQQGNTRKEANGVATSPSCNNGPKTKIPTTKCH >Potri.018G134200.1.v4.1 pep chromosome:Pop_tri_v4:18:14305616:14311707:1 gene:Potri.018G134200.v4.1 transcript:Potri.018G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134200.v4.1 MGIHWNCLAADTLSRTSVAAPVPDLDSDSDSDSDSPRDSILKLVDVDASPMDSAEYSCCFTMVTACISTWMSNAPLSGENNVILGALDNSKLRDFTYEELRAATFNFSMNLLIGRGGFGNVYKGWLKEQMPSKGARNRAIAVKRLSGRSRQGYLEFTTEISLLGMVSHPNILKVLGFCRANEEKILVYEYMQKRGLDYHLFSKKPERVLSWEIRLKIAIEIAEGLSYLHTLEHPIIFRDMKPSNILLDKSYTAKIADFGLAITAPAPLRDHDEYIQEDRIVGTYGYMDPLYAQTGELCVKSDVYGFGVVLVQLLTGSRLTKNINDDQTVGEWAEKYLSNRFRLRGIMDSRLEGKYVTGQASEIAMLALRCLVRNPKFRPSMKEVAETLEKIKTRAYNQKHLVMCGKCHKAGHYTRSCDKNSHVGGNSKLPNASEAITEERTSSTQSTVQQDSGRSEASDVVTKTLQTTPSNSNLLDASNGTSNEKTSTIQSPHPQQGSKRDEANRVGTSKPRNNHLIRKTTAVGGNSKVLEARNTTKKEKTTSSRLSVQQGNTRKEANGVATSPSCNNGPKTKIPSKSELPNASNVARKVKASVQQVNERNVAADGGICIK >Potri.018G134200.8.v4.1 pep chromosome:Pop_tri_v4:18:14305616:14311707:1 gene:Potri.018G134200.v4.1 transcript:Potri.018G134200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134200.v4.1 MGIHWNCLAADTLSRTSVAAPVPDLDSDSDSDSDSPRDSILKLVDVDASPMDSAEYSCCFTMVTACISTWMSNAPLSGENNVILGALDNSKLRDFTYEELRAATFNFSMNLLIGRGGFGNVYKGWLKEQMPSKGARNRAIAVKRLSGRSRQGYLEFTTEISLLGMVSHPNILKVLGFCRANEEKILVYEYMQKRGLDYHLFSKKPERVLSWEIRLKIAIEIAEGLSYLHTLEHPIIFRDMKPSNILLDKSYTAKIADFGLAITAPAPLRDHDEYIQEDRIVGTYGYMDPLYAQTGELCVKSDVYGFGVVLVQLLTGSRLTKNINDDQTVGEWAEKYLSNRFRLRGIMDSRLEGKYVTGQASEIAMLALRCLVRNPKFRPSMKEVAETLEKIKTRAYNQKHLVMCGKCHKAGHYTRSCDKNSHVGGNSKLPNASEAITEERTSSTQSTVQQDSGRSEASDVVTKTLQTTPSNSNLLDASNGTSNEKTSTIQSPHPQQGSKRDEANRVGTSKPRNNHLIRKTTAVGGNSKVLEARNTTKKEKTTSSRLSVQQGNTRKEANGVATSPSCNNGPKTKIPRNSKLLNATDAMKKEKISTTKSIVQIGKKRNEANGVGTSTPRSKGHGKITTSKSELPNASNVARKVKASVQQVNERNVAADGGICIK >Potri.018G134200.9.v4.1 pep chromosome:Pop_tri_v4:18:14305804:14311093:1 gene:Potri.018G134200.v4.1 transcript:Potri.018G134200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134200.v4.1 MGIHWNCLAADTLSRTSVAAPVPDLDSDSDSDSDSPRDSILKLVDVDASPMDSAEYSCCFTMVTACISTWMSNAPLSGENNVILGALDNSKLRDFTYEELRAATFNFSMNLLIGRGGFGNVYKGWLKEQMPSKGARNRAIAVKRLSGRSRQGYLEFTTEISLLGMVSHPNILKVLGFCRANEEKILVYEYMQKRGLDYHLFSKKPERVLSWEIRLKIAIEIAEGLSYLHTLEHPIIFRDMKPSNILLDKSYTAKIADFGLAITAPAPLRDHDEYIQEDRIVGTYGYMDPLYAQTGELCVKSDVYGFGVVLVQLLTGSRLTKNINDDQTVGEWAEKYLSNRFRLRGIMDSRLEGKYVTGQASEIAMLALRCLVRNPKFRPSMKEVAETLEKIKTRAYNQKHLVMCGKCHKAGHYTRSCDKNSHVGGNSKLPNASEAITEERTSSTQSTVQQDSGRSEASDVVTKTLQTTPSNSNLLDASNGTSNEKTSTIQSPHPQQGSKRDEANRVGTSKPRNNHLIRKTTAVGGNSKVLEARNTTKKEKTTSSRLSVQQGNTRKEANGVATSPSCNNGPKTKIPRNSKLLNATDAMKKEKISTTKSIVQIGKKRNEANGVGTSTPRSKGHGKITTSKSELPNASNVARKVKASVQQVNERNVAADGGICIK >Potri.006G257900.13.v4.1 pep chromosome:Pop_tri_v4:6:25453678:25461423:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.10.v4.1 pep chromosome:Pop_tri_v4:6:25453954:25461418:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRQVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.14.v4.1 pep chromosome:Pop_tri_v4:6:25453892:25461423:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.15.v4.1 pep chromosome:Pop_tri_v4:6:25453955:25461668:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPAAMPKGPGDSDVSRPRFSGALDRVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.2.v4.1 pep chromosome:Pop_tri_v4:6:25453641:25461745:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.8.v4.1 pep chromosome:Pop_tri_v4:6:25453983:25461458:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRQVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.12.v4.1 pep chromosome:Pop_tri_v4:6:25453662:25461458:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.11.v4.1 pep chromosome:Pop_tri_v4:6:25453954:25461184:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRQVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.9.v4.1 pep chromosome:Pop_tri_v4:6:25453996:25461458:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRQVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.006G257900.3.v4.1 pep chromosome:Pop_tri_v4:6:25453755:25461689:-1 gene:Potri.006G257900.v4.1 transcript:Potri.006G257900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257900.v4.1 MTSSLSRDLIFLILQFLDEEKFKETVHKLEQESGLFFNAKYFEELVLGGNWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKLDRTKAVDILMKDLKVFASFNEDLFKEITQLLTLDNFRENDQLSNYRDTKSARAIMLIELKKLIESNPLFRDKLQYPNIKNSRLRMLINQSLNWQHSLCGNPGQNPDIRTLFYDHSCRNANHAYPQLAANNHLIASAPKSEGFLPIVANGSFQPAPAPAPAPAPAPVQTPLTAWISNPTTVTHPVVPGVGLNFISPNPGIAAMPKGPGDSDVSRPRFSGALDRQVMLTGNNSGQNHHGLAFNITEELPRNVACTLNQGSAPTSMDFHPLRQTLLLVGTAIGDISLWDVSSREKLASKSFQVWDIGASSMVLKASIVKDPSVSVKRVLWSPDGSLFGVAYSKHMVQLYTCYGGHDIRHHIEIDAHVGSVNDLAFCNPNKQSVITCGDDKTIKVWEVATGAKLSTFEGHEAPVHSICPHSRETVHFVFSTSLDGKIKAWLHGVMGSRVDYDAPGRSCSTMAYSADGKRLFSCGTSQDGESHMVEWNENEGTIKRTYQGFQKRSLGVVQFDTTKNRFLAVGDDYSIKFWDMDNPSLLTTIDAEGGLPTSPRIRFNKGGNLLAVSANDNRIKILATVDGLCLMRTFEGHSLVASRLGIASEALIKNGDTRNSEGVKPRVPEEAHPPKIWKLTEINDPSKLHSLRLSARVKTDKIARLVYTNSGTAILALALNAIHLLWKWPRNDLNSSGKATTKATPQLVQPASGILMTNDLMDARPEEAVPCFALSKNDSYIMSASGGKISLFNTMTFKIMTAFMPPPPAATYLAFHPQDNNIVAVGMDDSTVHIYNVRVDEVKSKLKGHSKRITGLAFSSVLNTLVSSGADSQVIVWSIDRWERKKNCVLQVPAGRTPAAMSDTQVQFHQDQVHLLVAHDTQLGIYETTKLECLKQWTIGEFSAPISHATFSCDSQLVYASFLDGTLRVFSASNLQVRCQINPNSYLPSDVSSTVYPLAIAAHPQEPNQFAIGLTDGSVQVFEPLESDGKWGVPPPAENGASGSMPSTTAPPAVALDQPQNME >Potri.001G096440.1.v4.1 pep chromosome:Pop_tri_v4:1:7652456:7653010:1 gene:Potri.001G096440.v4.1 transcript:Potri.001G096440.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096440.v4.1 MRTAGCIVLFFFMFFLLYFHDIIYNGQNAANVTAAIVAAPEGGNLTILAGPFHFGKIAVFDDPITLDNNLYSPPVGRVQGMHIYDTKSTFTAWLGFTFVLNSTRHQGTINFIGADPIMVKSRDISVVGGGVYFRLRLDVKFYECW >Potri.018G108500.3.v4.1 pep chromosome:Pop_tri_v4:18:12706719:12708011:1 gene:Potri.018G108500.v4.1 transcript:Potri.018G108500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK7 MMEQIKREISVMKMVKHPNIVELHEVMASKSKIYFAMELVRGGELFSKIEKGRLREDVARVYFQQLISAIDFCHSRGVYHRDLKPENLLLDENGKLKVTDFGLSAFTEHLKQDGLLHTTCGTPAYVAPEVIGKQGYDGAKADLWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFKCPPWFSSEARRLITKLLDPNPSTRITISKVMDSTWFKKSVPKTVKSKEEMEFEAFNGDEDANGDKSKQLETLNAFHIISLSQGFDLSPLFEERKREEKEELRFATTRPASSVISRLEEVGKAGNFSVKKSDSKVRLQGQERGRKGKLAIAADIFAVTPSFLVVEVKKDNGDTLEFNQFCSKALRPALKDIVWISPAENSTLARI >Potri.018G108500.2.v4.1 pep chromosome:Pop_tri_v4:18:12706214:12707978:1 gene:Potri.018G108500.v4.1 transcript:Potri.018G108500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK7 MAETTSDGHPTLLHGKYELGRLLGHGTFAKVYHARNLQSGKSVAMKVVGKEKVIKVGMMEQIKREISVMKMVKHPNIVELHEVMASKSKIYFAMELVRGGELFSKIEKGRLREDVARVYFQQLISAIDFCHSRGVYHRDLKPENLLLDENGKLKVTDFGLSAFTEHLKQDGLLHTTCGTPAYVAPEVIGKQGYDGAKADLWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFKCPPWFSSEARRLITKLLDPNPSTRITISKVMDSTWFKKSVPKTVKSKEEMEFEAFNGDEDANGDKSKQLETLNAFHIISLSQGFDLSPLFEERKREEKEELRFATTRPASSVISRLEEVGKAGNFSVKKSDSKVRLQGQERGRKGKLAIAADIFAVTPSFLVVEVKKDNGDTLEFNQFCSKALRPALKDIVWISPAENSTLARI >Potri.018G108500.1.v4.1 pep chromosome:Pop_tri_v4:18:12706662:12708006:1 gene:Potri.018G108500.v4.1 transcript:Potri.018G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK7 MKVVGKEKVIKVGMMEQIKREISVMKMVKHPNIVELHEVMASKSKIYFAMELVRGGELFSKIEKGRLREDVARVYFQQLISAIDFCHSRGVYHRDLKPENLLLDENGKLKVTDFGLSAFTEHLKQDGLLHTTCGTPAYVAPEVIGKQGYDGAKADLWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFKCPPWFSSEARRLITKLLDPNPSTRITISKVMDSTWFKKSVPKTVKSKEEMEFEAFNGDEDANGDKSKQLETLNAFHIISLSQGFDLSPLFEERKREEKEELRFATTRPASSVISRLEEVGKAGNFSVKKSDSKVRLQGQERGRKGKLAIAADIFAVTPSFLVVEVKKDNGDTLEFNQFCSKALRPALKDIVWISPAENSTLARI >Potri.018G108500.4.v4.1 pep chromosome:Pop_tri_v4:18:12706729:12708317:1 gene:Potri.018G108500.v4.1 transcript:Potri.018G108500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK7 MKMVKHPNIVELHEVMASKSKIYFAMELVRGGELFSKIEKGRLREDVARVYFQQLISAIDFCHSRGVYHRDLKPENLLLDENGKLKVTDFGLSAFTEHLKQDGLLHTTCGTPAYVAPEVIGKQGYDGAKADLWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFKCPPWFSSEARRLITKLLDPNPSTRITISKVMDSTWFKKSVPKTVKSKEEMEFEAFNGDEDANGDKSKQLETLNAFHIISLSQGFDLSPLFEERKREEKEELRFATTRPASSVISRLEEVGKAGNFSVKKSDSKVRLQGQERGRKGKLAIAADIFAVTPSFLVVEVKKDNGDTLEFNQFCSKALRPALKDIVWISPAENSTLARI >Potri.018G004400.4.v4.1 pep chromosome:Pop_tri_v4:18:346915:351662:-1 gene:Potri.018G004400.v4.1 transcript:Potri.018G004400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004400.v4.1 MALCISFGESSTTTVSAQVLLLRFFEKTIESFKHNVTNLFCGVLSCEPVLDPDLRRTGGQGMDAVVGDEFSNNKQGDGGGGDPLVFNVLDSMLKGSLDRLKSMRDDISLVKKGITGCALDVNCAGNEAIIKDLCLGGKLGPALWLRSKMIQKGFVPDVLTHNYMVNGLCKMIELEKADWLIREMLDKGPSPNCATYNTFIKGYCLLDKVDKALHLFSSMANSGTKPNRVTFNTLLHALCKKDLLTEGKKLLGEILDDDEKATSNVITSTILMDCSIKSGDIVQALGIWDSMVEEGTPMDVISYNVLIHGFCLARDMKLAYSYSCQMLKMGLLPDVFTYNTLVSSLCKSGKLDEACYIHDVMLRMGVAPDEVSYKLIIQGLCVCGDVDKANGYLNCMLEKSMIPEPLVWNLIIDGYGRCGDICNAFAIRDRMLSFGVVPNVFTYNALIHAQVKIGNILYAYFLKKDMLLKGLFPDVVTYNLLIGAAAHAGHIHYALQLYDEMLRGGCNPDMITYTELIRGYCVKYNTKEAEELLAKLLKSGLLIDHVPFQILIKQYCKMKEPDRAFQLYRKWLAGNKRLSSLEIRSPARTVQNY >Potri.018G004400.1.v4.1 pep chromosome:Pop_tri_v4:18:346820:351628:-1 gene:Potri.018G004400.v4.1 transcript:Potri.018G004400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004400.v4.1 MALCISFGESSTTTVSAQVLLLRFFEKTIESFKHNVTNLFCGVLSCEPVLDPDLRRTGGQGMDAVVGDEFSNNKQGDGGGGDPLVFNVLDSMLKGSLDRLKSMRDDISLVKKGITGCALDVNCAGNEAIIKDLCLGGKLGPALWLRSKMIQKGFVPDVLTHNYMVNGLCKMIELEKADWLIREMLDKGPSPNCATYNTFIKGYCLLDKVDKALHLFSSMANSGTKPNRVTFNTLLHALCKKDLLTEGKKLLGEILDDDEKATSNVITSTILMDCSIKSGDIVQALGIWDSMVEEGTPMDVISYNVLIHGFCLARDMKLAYSYSCQMLKMGLLPDVFTYNTLVSSLCKSGKLDEACYIHDVMLRMGVAPDEVSYKLIIQGLCVCGDVDKANGYLNCMLEKSMIPEPLVWNLIIDGYGRCGDICNAFAIRDRMLSFGVVPNVFTYNALIHAQVKIGNILYAYFLKKDMLLKGLFPDVVTYNLLIGAAAHAGHIHYALQLYDEMLRGGCNPDMITYTELIRGYCVKYNTKEAEELLAKLLKSGLLIDHVPFQILIKQYCKMKEPDRAFQLYRKWLAGNKRLSSLEIRSPARTVQNY >Potri.018G004400.5.v4.1 pep chromosome:Pop_tri_v4:18:346997:351649:-1 gene:Potri.018G004400.v4.1 transcript:Potri.018G004400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004400.v4.1 MALCISFGESSTTTVSAQVLLLRFFEKTIESFKHNVTNLFCGVLSCEPVLDPDLRRTGGQGMDAVVGDEFSNNKQGDGGGGDPLVFNVLDSMLKGSLDRLKSMRDDISLVKKGITGCALDVNCAGNEAIIKDLCLGGKLGPALWLRSKMIQKGFVPDVLTHNYMVNGLCKMIELEKADWLIREMLDKGPSPNCATYNTFIKGYCLLDKVDKALHLFSSMANSGTKPNRVTFNTLLHALCKKDLLTEGKKLLGEILDDDEKATSNVITSTILMDCSIKSGDIVQALGIWDSMVEEGTPMDVISYNVLIHGFCLARDMKLAYSYSCQMLKMGLLPDVFTYNTLVSSLCKSGKLDEACYIHDVMLRMGVAPDEVSYKLIIQGLCVCGDVDKANGYLNCMLEKSMIPEPLVWNLIIDGYGRCGDICNAFAIRDRMLSFGVVPNVFTYNALIHAQVKIGNILYAYFLKKDMLLKGLFPDVVTYNLLIGAAAHAGHIHYALQLYDEMLRGGCNPDMITYTELIRGYCVKYNTKEAEELLAKLLKSGLLIDHVPFQILIKQYCKMKEPDRAFQLYRKWLAGNKRLSSLEIRSPARTVQNY >Potri.018G004400.6.v4.1 pep chromosome:Pop_tri_v4:18:346856:351628:-1 gene:Potri.018G004400.v4.1 transcript:Potri.018G004400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004400.v4.1 MIQKGFVPDVLTHNYMVNGLCKMIELEKADWLIREMLDKGPSPNCATYNTFIKGYCLLDKVDKALHLFSSMANSGTKPNRVTFNTLLHALCKKDLLTEGKKLLGEILDDDEKATSNVITSTILMDCSIKSGDIVQALGIWDSMVEEGTPMDVISYNVLIHGFCLARDMKLAYSYSCQMLKMGLLPDVFTYNTLVSSLCKSGKLDEACYIHDVMLRMGVAPDEVSYKLIIQGLCVCGDVDKANGYLNCMLEKSMIPEPLVWNLIIDGYGRCGDICNAFAIRDRMLSFGVVPNVFTYNALIHAQVKIGNILYAYFLKKDMLLKGLFPDVVTYNLLIGAAAHAGHIHYALQLYDEMLRGGCNPDMITYTELIRGYCVKYNTKEAEELLAKLLKSGLLIDHVPFQILIKQYCKMKEPDRAFQLYRKWLAGNKRLSSLEIRSPARTVQNY >Potri.017G074900.1.v4.1 pep chromosome:Pop_tri_v4:17:8261811:8262618:-1 gene:Potri.017G074900.v4.1 transcript:Potri.017G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074900.v4.1 MATALQRSSVSFRRQGSSGRVWDNLQVNPKRSRELVDTPAGRSQEMSLGNSNIDEKSRGQEFLGDEANTTSSYDPPTPCKEKYRDERCGISNFWRCCTGPSTA >Potri.014G119700.1.v4.1 pep chromosome:Pop_tri_v4:14:8042766:8046464:1 gene:Potri.014G119700.v4.1 transcript:Potri.014G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119700.v4.1 MAHSCVSSSASSLRFSSLPFSPNPSVGSAPDTHKLAFAFHPLRSRKLRKLVSDRKTIQTSSPKAVYSGEFWAPERSSRQGIWSIRDDVQIPSSPYFPAYANGAQAQGPPPMVHERFQSVISQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQSDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDYFMSAKEAKDYGLIDGVILNPLKVLQPLAAAADQQ >Potri.009G165400.1.v4.1 pep chromosome:Pop_tri_v4:9:12671023:12674033:1 gene:Potri.009G165400.v4.1 transcript:Potri.009G165400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165400.v4.1 MEKKLCLRNKYWVLRHGKSIPNEKGLIVSSMENGIKEEYQLADEGVGQAQLAGELFLKELKERNIPLESVRICYSPFARTSHTAKVVASVLNLPFEGPQCKMMGDLRERYFGPSFELFSHDKYPEIWALDEKDPFTRPEGGESVDDVATRLESALAIIESEFQGCAVLIVSHGDPLQILQTVLNATKQNTESSSNDLASIIQAVKVPSVLSQHRKFALVTGELRPVE >Potri.004G014200.2.v4.1 pep chromosome:Pop_tri_v4:4:896825:899674:1 gene:Potri.004G014200.v4.1 transcript:Potri.004G014200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014200.v4.1 MSLLKLLMHLSPFLVAHLFVSGINSTYDPRPLFYVAPTIPTGFSLGENAGKEITTSDDWAGRLWGRTNCTEDDTGRFSCITGDCGSGKIECSTVPAGSSPVTMAEFKINKDGRYFFNVSLLDGYNLPLLVLPSNKSCKKAGCGVDLNGVCPSELTVSSSDGKIAGCRSACEAFGSQQYCCTGEYGTPSTCKPTSYSQNFKKKCPNTYTYPLDDETGTFSCASSDYQIVFCAGNTTVYSSPAEPPPSPPPQVKKPPRPSPEIRPKTPRSLVPIIAGVIGSVLLIISFVVIFILRARWRGQSEQDQQDVEDHHIKHVPGMPVRFSYQELYVATDNFNERLGRGGFGSVFKGKLGDGTQIAVKRLEKRGQGMSAFLAEAEAIGSLHHFNLVRLIGFCAEKSSRLLVFEYLSNGSLDNWIFMNVQRSFLDWQTRKKIILDIAKGLAYLHEDCRHTIIHLDVKPQNILLDSSFHAKIADFGLSKLINRDMSQVQISMRGTPGYLAPEWRQPLGHITVKVDIYSFGIVLLEIVCARRNADQSQPESAFHLLTMLQKKGDQDRVIDIVENLDEYTRSDREEITRMIKVAAWCLQDDPERRPLMSTVLKVLEGVMEVDSNINYRFSHAMISSPAGNKHISSAPPPASVLSNPR >Potri.019G063400.1.v4.1 pep chromosome:Pop_tri_v4:19:10348693:10350868:1 gene:Potri.019G063400.v4.1 transcript:Potri.019G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063400.v4.1 MANLMKYPSPLFLFMSFALVFPSLCAPEDQITSCLTTHDINNFTTLPSTKKDDDSKTYYKILDFSIQNLRFTEPTIAKPLAIILPGSLDELVKSVMCCREGLLEIRVRCGGHSYEGTSSVANDGAPFVIIDMMNLNKVSVHLETETAWVEGGATLGETYSAISEASSIHGFSAGSCPTVGVGGHIGGGGFGLLSRKYGLAADNVVDALLIDANGRLLDRKSMEEDVFWAIRGGGGGAWGIIYAWKIRLLKVPEVVTGFIVSRPGTKYQVAELVNGWQGVAPSMDGDFYLSCFVGAGLPGTKTRGISATFKGFYLGPRNEAVSILNQVFPELGIETEDCKEMTWIESILFFSGLSDGSLVSDLKNRYTEEKNYFKAKSDYVRRNISFEGIRTALDILEKEPKGYVILDPYGGIMQNISSDAIAFPHREGNLFTIQYLVEWKERDDNKSNDYINWIRKFYNAMTPFVSFGPRAAYINYMDFDLGVMELLHDKTSMVPARDAVEVARVWGEKYFLRNYDRLVEVKTYIDPDNVFSNQQSIPPAVSSAVSFRAEI >Potri.006G186301.2.v4.1 pep chromosome:Pop_tri_v4:6:19314709:19321837:-1 gene:Potri.006G186301.v4.1 transcript:Potri.006G186301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186301.v4.1 MEEETVSLILNAETSVIEELSDSNSSTHPFPPNKRARSGSNVSASRFKGVVPQPNGHWGCQIYANHQRIWLGTFKSEREAAMAYDSAAIKLRSGDSRRNFPPTDITVQEPKFQSYYSIEVVLAMIKDGTYQSKFADFIRTCSQSVETALSLKLMMPQSSEGLTCKQLFRKELTPSDVGKLNRLVIPKKYAIKYFPNISESAEEDEAADKVVDVMLAFYDKSMKLWKFRYCYWKSSQSYVFTRGWNRFVKEKKLKANDSIVFWLCESGETVDSAAQTFQMIDVSNCENISNIAESSNQSIASKVELQLLQGPGIARDSTVKKNVEEDRMVRADKPTHDAVKTGFKLFGIQIM >Potri.002G248400.2.v4.1 pep chromosome:Pop_tri_v4:2:23853659:23857597:-1 gene:Potri.002G248400.v4.1 transcript:Potri.002G248400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248400.v4.1 MSSQAQNHEFQEWWNKQRGFLDKPDSTAFLTVEIRNPTSDPTVDKGHTRSARQLSWLWLLKFQQLATSLAWLTHGSVSLLRTANRRIATNTTDSPSDSSASSRRLYRIIKLFLFLVILLLCFELVAYFKGWHFSPPSVESAEAAVERVYAKWLEIRASYLAPPLQSLTNVCIVLFLIQSVDRVVLMLGCFWIKFWKLRPVAAVEYDGSESVEDYPMVLVQIPMCNEREVYQQSIAACCVQDWPKERMLIQVLDDSDELDAQLLIKAEVQKWQQRGVHILYRHRLIRTGYKAGNLKSAMSCDYVKDYEFVAIFDADFQPGPDFLKKTIPHFKGKDDLALVQTRWAFVNKDENLLTRLQNINLSFHFEVEQQVNGVFINFFGFNGTAGVWRIKALEECGGWLERTTVEDMDIAVRAHLCGWKFIYLNDVKCLCELPESYEAYKKQQHRWHSGPMQLFRLCFVDTLRAKVSLGKKANLIFLFFLLRKLILPFYSFTLFCIILPLSMFLPEAELPAWVVCYIPGLMSILNILPAPRSFPFIVPYLLFENTMSVTKFNAMISGLFRLGSSYEWVVTKKLGRSSEADLVAFAERESDPLVETTNLHRSCSESGLDVLNKIETTKKTGKKKRNSLYRKELALALILLTASVRSLLSAQGIHFYFLLFQGISFLVVGLDLIGEQVS >Potri.002G185000.1.v4.1 pep chromosome:Pop_tri_v4:2:14660588:14669094:-1 gene:Potri.002G185000.v4.1 transcript:Potri.002G185000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185000.v4.1 MGNGTSRVVGCFALNGKNGVDLEFLEPLDEGLGHSFCYVRPPIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDMIDDPSGLHRPNKTFPETTFKTISGASVSANVSTARSGNQSALFASEVQEPAASFESTSSFAAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDKGGGFMSGPIEKGVMSGPLDVTDKSNFSAPLARGRRRPHFQRLVRSVSGPMKSTLSRTFSRHSMGSGWMQRVFLHPVTQLAWQGREPKFRTEASRNCLESGPSEGEYVNIRNLQWAHGKAGEDRVHVVLCDEQGWLFIGIYDGFSGPDAPDFLMSHLYRAIDRELEGLLWDYEHKSSNDPIKPELSRSRIVEAGSGCSKEEQPNSSQVTSCSLNKLRNPGDVRGQSSNCEIVEESDVRGSWQQTSNCETHSSSGSASASIPTANLAGKGRKSMRLYELLQMESCEGLGSASTSVVKNQRSRSWNFQPSSEALGFNQTLRKEPSRSCSLDNCKGDGFNHRGEEPTTSGEDGGIGLESGNQGGGSDLSVSVQRQGTRKSIISSKIRKMYRKQKSLRKKLFPWSYDWHREEIYADERVVEPSGPSRRWKSGIVDHDAVLRAMTRALQHTEEEYMEMVEKDLDKNAELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPNLVKDDMGYKNRSRESLVRMELDRISEESPMHNQNNQVNMINKNREISICRLKMRAVQLSTDHSTSIEEEVFRIKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKPTCNEALLEIFQIDYVGNSPYVSCIPSVVHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENAPGGDPAQYLIAELLFRAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGGGIWRSAG >Potri.012G083000.1.v4.1 pep chromosome:Pop_tri_v4:12:10838223:10840724:1 gene:Potri.012G083000.v4.1 transcript:Potri.012G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083000.v4.1 MDDRDDDDDAYAKLVRRMNSPRVVIENDACEHATVIQLDTVYRQGTLLEVVQVLTDLNLVITKAYMSSDGGWFMNVFHVTDDDGNKIRDEGILNCIKKALETDAYMVKSMGKMLLSKEHTLIELTGTDRPGLLSEVCAVLTDLSCNVVNAEVWAHNARAAAVIHITDQSTGTAIEDPRQLSLIKELLYNVLKGLGDYRTPTVSISSPGEIHIGRRLHQMMFAARDFERPVSVDDIRVRPYVTVSDCPDRNYTVVTARSVDRPKLLFDTVCTLTDMQYLVFHGTVITDSDEAYQEYYIRHADGLPMSSEAERQRVMECIQAAIERRVSEGLQLELFTDDHFGLLSDITRILRENGLCPKRAKISTKNGKARHNFIVTDVSGNPVEPKTIYLIRQQMGQTVIQVKGNLSMSPKFPQETPRSFLFGSFFKCPSFQNSRLIKSLS >Potri.002G161500.3.v4.1 pep chromosome:Pop_tri_v4:2:12351566:12353495:-1 gene:Potri.002G161500.v4.1 transcript:Potri.002G161500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161500.v4.1 MGGCCCSSRKPHLNGTPVYYYCPPALEEHVSLTSHNVAASAFTAGLLVELNLNTSTPDTFRPPPVPLPYDVVLGCPQSPDSESVREIISRSSFETLATCEDLEELDCKTHASSFLFSPRKSDVKKFHEPVASATEEDACPICLEGVVVPFLIRDKCCFQFMFLQFFWIKRSKSRSPELMCSCPKVISQLCLLAFAEYDLENPKHITKCEHHFHLSCILEWMERSDICPICDQEVIIDHTYN >Potri.002G161500.4.v4.1 pep chromosome:Pop_tri_v4:2:12351863:12352576:-1 gene:Potri.002G161500.v4.1 transcript:Potri.002G161500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161500.v4.1 MGSYRFFWLTKYHLEIYNILVQCPPALEEHVSLTSHNVAASAFTAGLLVELNLNTSTPDTFRPPPVPLPYDVVLGCPQSPDSESVREIISRSSFETLATCEDLEELDCKTHASSFLFSPRKSDVKKFHEPVASATEEDACPICLEGVVVPFLIRDKCCFQFMFLQFFWIKRSKSRSPELMCSCPKVISQLCLLAFAEYDLENPKHITKCEHHFHLSCILEWMERSDICPICDQVCLL >Potri.001G342200.3.v4.1 pep chromosome:Pop_tri_v4:1:35289350:35293293:-1 gene:Potri.001G342200.v4.1 transcript:Potri.001G342200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342200.v4.1 MGGKSSRQANWSSNPSSWDAYQQPSYSQESFNHSPPPPPPPQQPYASQQSFGSQQHYSSSQDYGGGGDRRRLDRKYSRIADDYKSLHQVTEALARAGLESSNLIVGIDFTKSNEWTGARSYNRRCLHHIGDGFNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPIIEMAMTIVEQSAGQYHVLLIIADGQVTRSVDTEHGQLSPQEQKTVEAIVQASKLPLSIILVGVGDGPWDMMREFDDNIPARDFDNFQFVNFTEIMSKNVDTSRKETEFALSALMEIPSQYKATIELSILGQSKGIVSERVALPPPLYGAASFSSSKPSRPASFKPSVPSYQRDSQPFSSAPPATCSTYDNQLCPICLSNSKDMAFGCGHQTCCECGETLQLCPICRSTIETRIKLF >Potri.001G342200.2.v4.1 pep chromosome:Pop_tri_v4:1:35289237:35293508:-1 gene:Potri.001G342200.v4.1 transcript:Potri.001G342200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342200.v4.1 MGGKSSRQANWSSNPSSWDAYQQPSYSQESFNHSPPPPPPPQQPYASQQSFGSQQHYSSSQDYGGGGDRRRLDRKYSRIADDYKSLHQVTEALARAGLESSNLIVGIDFTKSNEWTGARSYNRRCLHHIGDGFNPYEQAISIIGKTLAAFDEDNLIPCFGFGDASTHDQDVFSFYPDERFCNGFEEVLSRYREIVPNLRLAGPTSFAPIIEMAMTIVEQSAGQYHVLLIIADGQVTRSVDTEHGQLSPQEQKTVEAIVQASKLPLSIILVGVGDGPWDMMREFDDNIPARDFDNFQFVNFTEIMSKNVDTSRKETEFALSALMEIPSQYKATIELSILGQSKGIVSERVALPPPLYGAASFSSSKPSRPASFKPSVPSYQRDSQPFSSAPPATCSTYDNQLCPICLSNSKDMAFGCGHQTCCECGETLQLCPICRSTIETRIKLF >Potri.009G095100.1.v4.1 pep chromosome:Pop_tri_v4:9:8583595:8585444:-1 gene:Potri.009G095100.v4.1 transcript:Potri.009G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095100.v4.1 MVSESLGHLFLVSFPGQGHVNPLLRLGKILASKGFLVTFSTTETTGEQMRQASDIIDKLTPFGDGFIRFEFFADGWEEDEDRHQDLDQYLLQLELVGKQVIPQMIKKNAEQGRPVSCLINNPFIPWVTDVATSLGLPSAMLWVQSCACFASYYHYYHGTVPFPDEEHPEIDVQLPWMPLLKYDEVPSYLYPTTPYPFLRRAILGQYKNLDKPFCILMETFEELEPELIKHMSEIFPIRAVGPLFRNTKAPKTTVHGDFLKADDCIEWLDTKPPSSVVYVSFGSVVQLKQDQWNEIAYGFLNSGVSFLLVMKPAHKDAGHDLLVLPDGFLEKAGDRGKVVQWSPQEKVLGHPSVACFVTHCGWNSTMEALTSGMPVVAFPQWGDQVTNAKYLVDILKVGVRMCRGEAENKLITRDEIEKCLLEATVGPKAVEMKQNAMKWKEAAAAAVAEGGSSDWNIRYFTDDIVKANESEIARKCIGSNEFPVSVVVKSNEKVDELVGSSA >Potri.005G240800.1.v4.1 pep chromosome:Pop_tri_v4:5:23750143:23752774:1 gene:Potri.005G240800.v4.1 transcript:Potri.005G240800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240800.v4.1 MARLIRQWPLLHHHCFSYAALNYLLSESLTLSTKATSHRVSFTKVAAANRSVPFRPRGPKLPNSPTPYDFEQGGNVSDSDSEANKSRNQKKREARRSVRWGMELASFSPPQIKRIIKVASLEKDLFDALMLVKRLGPDVREGKRRQYNYIGKLLREMEPELMDALIHCTKDGDWSRLQGFSGLEEKIAGEENEECEEREYESEEEGSHEYIDVATRWFEGLINRDIKVTNEVYSLRNVDFDRQELRKLVRRVHAVQERKGVTEENEQEVDAAITVAKKSLTRFLHDLAKQMPREHNRIYL >Potri.019G054400.4.v4.1 pep chromosome:Pop_tri_v4:19:9183993:9192529:1 gene:Potri.019G054400.v4.1 transcript:Potri.019G054400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054400.v4.1 MASSLSTTASASMVTKSAAILPHYNTHRLSTQSFRLSQKPKLRFLAKGLQPTRDGTYLVKAQLNEEAKDAKPSNEPSPPSLATEESISEFISQVSSLVKLVDSRDIVELQLKQLDCELLIRKKEALPLPPYHSPVVMMHSHPPPPPPVMPAATPAASAAAPAAASSPSPSAISPSPPSKSVKSSLPPFKCPMAGTFYRSPAPGEPSFVKVGDKVQKGQVVCIIEAMKLMNEIEADQTGTIVEILAEDGKPVSVDTPLFVIEP >Potri.019G054400.5.v4.1 pep chromosome:Pop_tri_v4:19:9184066:9192529:1 gene:Potri.019G054400.v4.1 transcript:Potri.019G054400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054400.v4.1 MASSLSTTASASMVTKSAAILPHYNTHRLSTQSFRLSQKPKLRFLAKGLQPTRDGTYLVKAQLNEVSFFQWFSLEDLFSNFCSFLDKLLGSSVAFKVAVDGSSNAAASTLAKSEVPLQEAKDAKPSNEPSPPSLATEESISEFISQVSSLVKLVDSRDIVELQLKQLDCELLIRKKEALPLPPYHSPVVMMHSHPPPPPPVMPAATPAASAAAPAAASSPSPSAISPSPPSKSVKSSLPPFKCPMAGTFYRSPAPGEPSFVKVGDKVQKGQVVCIIEAMKLMNEIEADQTGTIVEILAEDGKPVSVDTPLFVIEP >Potri.019G054400.2.v4.1 pep chromosome:Pop_tri_v4:19:9183972:9192532:1 gene:Potri.019G054400.v4.1 transcript:Potri.019G054400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054400.v4.1 MASSLSTTASASMVTKSAAILPHYNTHRLSTQSFRLSQKPKLRFLAKGLQPTRDGTYLVKAQLNEVAVDGSSNAAASTLAKSEVPLQEAKDAKPSNEPSPPSLATEESISEFISQVSSLVKLVDSRDIVELQLKQLDCELLIRKKEALPLPPYHSPVVMMHSHPPPPPPVMPAATPAASAAAPAAASSPSPSAISPSPPSKSVKSSLPPFKCPMAGTFYRSPAPGEPSFVKVGDKVQKGQVVCIIEAMKLMNEIEADQTGTIVEILAEDGKPVSVDTPLFVIEP >Potri.007G015100.1.v4.1 pep chromosome:Pop_tri_v4:7:1128025:1129195:1 gene:Potri.007G015100.v4.1 transcript:Potri.007G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015100.v4.1 MTIEIEEASSHEALPLLSLNHVSLLCRSVWASARFYEHVLGFVHIKRPSSFNFNGAWLYNYGIGIHLIENPSIDEFDSIVEPRPINPKDNHMSFQCTDVGLVKRKLQEMGMRYVTAVVEEDGIKVDQVFFHDPDGYMVEICNCDNIPILPLSSCPFKPRTGSFKKATPINCGFMENVMMESLSMDMMNISF >Potri.001G191200.4.v4.1 pep chromosome:Pop_tri_v4:1:17490475:17501249:-1 gene:Potri.001G191200.v4.1 transcript:Potri.001G191200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191200.v4.1 MQTTAMKVIRSFYTFKNRFLLKPNPIFLSRHFHLTTSPFLSKESGLAVHSSANDPIPIDSSKNAPLVWSVFDPISDGTVTQSGASSSAEFRESELRLSSFDEEVDGLAGENLIKREKTQMGLPSNRNEIEDLKKEKRYVGVKKGSSVNGAVGSGDRAVYRTKGKSKTSWVCEICGFTSGQWWGSCRSCNEVGTMKQFFEAKIGSGNKVSGIEASENAVRSWLPQKPGELRPLRLTDVNRGMNMLNWRIPLSGLFGSEVERVLGGGLVPGSLVLVGGDPGVGKSTLLLQVAAIIADSEDPGGSAPVVYVSGEESVEQIGNRADRMEIGTEELYLYSSTDIEDILGKIQHLSPRALIIDSIQTVYLKGVAGSAGGLSQVKECTSALLRFAKTTNIPILLIGHVNKSGDIAGPRVLEHIVDVVLYMEGEKYSSHRLLRPVKNRFGSTDELGVFEMSQLGLAVVSNPSEIFLTEQHSDSDFLAGLAVAVIMDGSRSFLIEIQALCVSGSSVSRHINGIQSSRADMIISVLIKQAGLMLQENAIFLNVVSGVMLTETAGDVAIAAAICSSFLEFPIPNNIAFIGEIGLGGELRAVPRMEKRVHTVAKLGYKMCVIPKSAEKSLANLGFQGMKIVGCKNLKEVINAVFKA >Potri.003G221100.1.v4.1 pep chromosome:Pop_tri_v4:3:21501666:21517852:-1 gene:Potri.003G221100.v4.1 transcript:Potri.003G221100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221100.v4.1 MEGEQHGIVLACAISGTLFASLGLGSCWILWAVNWRPWRIYSWIFARKWPYILQGPQLGILCRFLSLFAWIIVVSPVLMLIMWGSWLIVILHRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGKNASQRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEMGPIPCSPEPPNPNELYPRQSSRASHLGLLYFGSLVVLLVYSILYGFTATEARWLGFITSAAVIILDWNMGACLYCFQLLQSRVVALFVAGTSRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHLSVTNPLVARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSVEAGPLGNIVDSGNQSTVQCTTDASNWNNVLCRNASSHEGINSDKSTESGRPSLALRSSSCRSVVQEPEAGMSGDKFFYQNNSLVVCSSSGLDSQCCESSASNSANQQLLDLNLALAFQERLNDPRITSMLKKRAREGDRELATLLQDKGLDPNFAMMLKEKNLDPTILALLQRSSLDADRDHRDNNDITIVDSNSVDNVMPNQISLSEELRLQGLEKWLQLSRFVLHHIAGTPERAWVLFSFIFIVETVIVAIVRPKTIKIINTVHQQFELGIAVFLLSLVVCSIMTFLRSLQVEEMAMTSKPRKYGIIAWLLSTGVGLLLSFLSKSSMLLGLSLTVPLMVACLSVAIPIWIHNGYHFWPQVQSAGHAGNHRPPGTKEGIVLIVCTIVFIGSVVALGAIVSAKPLDELGYRALTTGQKSFSSAYASPAYLGWVMASAIALIVTGVLPIVSWFATYRFSLSSAVCVGIFAVVLVAFCGVSYLEVVQSRDDQVPTKGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISAVVVVVKPWTIGVAFLLILLLIVLAIGVIHHWASNNFYLTRTQTLFVCFLAFLLGLAAFLVGWFGGKPFVGASVGYFAFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSGAFLMLYGIALATEGWGVVASLNIYPPFAGAAVSAVTLVVSFGFAVSRPCLTLKMMDDAVHFLSKDTIVQAITRSATKTRNALSGTYSAPQQSASSTALLVGDPTAARDKAGNLVLPRDDVMKLRDRLRNEELLVGSFFCRMRYRTFRCDSASGLDHRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTAQAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQDSYLREKEMEEEILMQRREEEGKGKERRKALLEKEERKWKEIEASLISTIPNAGSREAAAMAAAVRAVGGDSVLSDSFARERVSSIARRIRTAQLARRALQTGVTGSVCVLDDEPTTSGRHCGEIDPSVCQSRKVSFSIAVMIQPESGPVCLLGTEFQKKECWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADLGEATCYMDGGFDGYQTGLPLSVGSSIWEQGTEVWVGVRPPIDMDAFGRSDSEGAESKMYIMDVFLWGRCLTEDEIASLHTAIGSTEFGMIDYHEDNWQWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREGVVIDVDSFARRFRKPRIETQEEINQRMLSVELAVKEALCARGEANFTDQEFPPNDQSLYMDPANPPSKLQVVSEWMRPVEIVKESHLDSHPCLFSGAANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGDWVPVVVDDWIPCESPGKPAFATSQKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLRATGPDASLPIHLFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSIFTKASVILEAL >Potri.003G221100.5.v4.1 pep chromosome:Pop_tri_v4:3:21501665:21512616:-1 gene:Potri.003G221100.v4.1 transcript:Potri.003G221100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221100.v4.1 MSGDKFFYQNNSLVVCSSSGLDSQCCESSASNSANQQLLDLNLALAFQERLNDPRITSMLKKRAREGDRELATLLQDKGLDPNFAMMLKEKNLDPTILALLQRSSLDADRDHRDNNDITIVDSNSVDNVMPNQISLSEELRLQGLEKWLQLSRFVLHHIAGTPERAWVLFSFIFIVETVIVAIVRPKTIKIINTVHQQFELGIAVFLLSLVVCSIMTFLRSLQVEEMAMTSKPRKYGIIAWLLSTGVGLLLSFLSKSSMLLGLSLTVPLMVACLSVAIPIWIHNGYHFWPQVQSAGHAGNHRPPGTKEGIVLIVCTIVFIGSVVALGAIVSAKPLDELGYRALTTGQKSFSSAYASPAYLGWVMASAIALIVTGVLPIVSWFATYRFSLSSAVCVGIFAVVLVAFCGVSYLEVVQSRDDQVPTKGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISAVVVVVKPWTIGVAFLLILLLIVLAIGVIHHWASNNFYLTRTQTLFVCFLAFLLGLAAFLVGWFGGKPFVGASVGYFAFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSGAFLMLYGIALATEGWGVVASLNIYPPFAGAAVSAVTLVVSFGFAVSRPCLTLKMMDDAVHFLSKDTIVQAITRSATKTRNALSGTYSAPQQSASSTALLVGDPTAARDKAGNLVLPRDDVMKLRDRLRNEELLVGSFFCRMRYRTFRCDSASGLDHRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTAQAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQDSYLREKEMEEEILMQRREEEGKGKERRKALLEKEERKWKEIEASLISTIPNAGSREAAAMAAAVRAVGGDSVLSDSFARERVSSIARRIRTAQLARRALQTGVTGSVCVLDDEPTTSGRHCGEIDPSVCQSRKVSFSIAVMIQPESGPVCLLGTEFQKKECWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADLGEATCYMDGGFDGYQTGLPLSVGSSIWEQGTEVWVGVRPPIDMDAFGRSDSEGAESKMYIMDVFLWGRCLTEDEIASLHTAIGSTEFGMIDYHEDNWQWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREGVVIDVDSFARRFRKPRIETQEEINQRMLSVELAVKEALCARGEANFTDQEFPPNDQSLYMDPANPPSKLQVVSEWMRPVEIVKESHLDSHPCLFSGAANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGDWVPVVVDDWIPCESPGKPAFATSQKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLRATGPDASLPIHLFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSIFTKASVILEAL >Potri.003G221100.4.v4.1 pep chromosome:Pop_tri_v4:3:21502003:21517755:-1 gene:Potri.003G221100.v4.1 transcript:Potri.003G221100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221100.v4.1 MEGEQHGIVLACAISGTLFASLGLGSCWILWAVNWRPWRIYSWIFARKWPYILQGPQLGILCRFLSLFAWIIVVSPVLMLIMWGSWLIVILHRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGKNASQRYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEMGPIPCSPEPPNPNELYPRQSSRASHLGLLYFGSLVVLLVYSILYGFTATEARWLGFITSAAVIILDWNMGACLYCFQLLQSRVVALFVAGTSRVFLICFGVHYWYLGHCISYAVVASVLLGAAVSRHLSVTNPLVARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSVEAGPLGNIVDSGNQSTVQCTTDASNWNNVLCRNASSHEGINSDKSTESGRPSLALRSSSCRSVVQEPEAGMSGDKFFYQNNSLVVCSSSGLDSQCCESSASNSANQQLLDLNLALAFQERLNDPRITSMLKKRAREGDRELATLLQDKGLDPNFAMMLKEKNLDPTILALLQRSSLDADRDHRDNNDITIVDSNSVDNVMPNQISLSEELRLQGLEKWLQLSRFVLHHIAGTPERAWVLFSFIFIVETVIVAIVRPKTIKIINTVHQQFELGIAVFLLSLVVCSIMTFLRSLQVEEMAMTSKPRKYGIIAWLLSTGVGLLLSFLSKSSMLLGLSLTVPLMVACLSVAIPIWIHNGYHFWPQVQSAGHAGNHRPPGTKEGIVLIVCTIVFIGSVVALGAIVSAKPLDELGYRALTTGQKSFSSAYASPAYLGWVMASAIALIVTGVLPIVSWFATYRFSLSSAVCVGIFAVVLVAFCGVSYLEVVQSRDDQVPTKGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISAVVVVVKPWTIGVAFLLILLLIVLAIGVIHHWASNNFYLTRTQTLFVCFLAFLLGLAAFLVGWFGGKPFVGASVGYFAFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSGAFLMLYGIALATEGWGVVASLNIYPPFAGAAVSAVTLVVSFGFAVSRPCLTLKMMDDAVHFLSKDTIVQAITRSATKTRNALSGTYSAPQQSASSTALLVGDPTAARDKAGNLVLPRDDVMKLRDRLRNEELLVGSFFCRMRYRTFRCDSASGLDHRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTAQAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDRRQFEIIQDSYLREKEMEEEILMQRREEEGKGKERRKALLEKEERKWKEIEASLISTIPNAGSREAAAMAAAVRAVGGDSVLSDSFARERVSSIARRIRTAQLARRALQTGVTGSVCVLDDEPTTSGRHCGEIDPSVCQSRKVSFSIAVMIQPESGPVCLLGTEFQKKECWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTIDADLGEATCYMDGGFDGYQTGLPLSVGSSIWEQGTEVWVGVRPPIDMDAFGRSDSEGAESKMYIMDVFLWGRCLTEDEIASLHTAIGSTEFGMIDYHEDNWQWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREGVVIDVDSFARRFRKPRIETQEEINQRMLSVELAVKEALCARGEANFTDQEFPPNDQSLYMDPANPPSKLQVVSEWMRPVEIVKESHLDSHPCLFSGAANPSDVCQGRLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGDWVPVVVDDWIPCESPGKPAFATSQKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVHISSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYVCRVYPPEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLRATGPDASLPIHLFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSIFTKASVILEAL >Potri.014G170350.1.v4.1 pep chromosome:Pop_tri_v4:14:12455329:12456966:-1 gene:Potri.014G170350.v4.1 transcript:Potri.014G170350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170350.v4.1 MVREKKRVKFIENGGEKEMENVEEDKLGEKIKVGYVKKKMRGVVENEDGKCSEEKQEECLEAEMRTQRLELKHICGCYAI >Potri.002G126700.2.v4.1 pep chromosome:Pop_tri_v4:2:9611232:9616267:-1 gene:Potri.002G126700.v4.1 transcript:Potri.002G126700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126700.v4.1 MEDVKITEVISPLEPSSTSQDNGPSHGEASSSHATNANVELDQVAMKDDSVDKTEIYHQGALKDDSKSEATQNVLNVQDESREKTAGVKISSNGPQDQEKTEDIQNSSDGQKSQRKTEPVPNSSGVRQPQDPISSPHVHVDDGIPATSSPIERAQFEEHALPHVKVRVQQDELASPHANVASPDFRTPNSTDSPRLFKQSDMNRGLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKLVDQELETVQVEMPEYKKRSEAAEEEKIQVLKELDSTKRLIEELKLNLERAQTEEHQAKQDSELAKLRVEEMEQGIADEASVAAKAQLEVAKARYSAAVSELKTVNDEVEALHKEYASLVSEKDEAVKKAEDAVSASREVEKTVEELTIELIATKESLESAHAAHMEAEEQRIGATMAKEQDSLHWEKELKQAEEELQRLNQQILSAKDLKSKLNTASALLVDLKAELAAYMESKTKEGTEGKPKAEQQEPEKTTHTDIQAAVASAKKELEEVKLNIEKATAEVNCLKVAAISLQTELEKEKSLFSAIKQREGMASVTVAALQAELDKTRSEIALVQMEEKEAREKTVEIPKQLQLAAEAADEAKSLAQMAREELCKAKEEAEQAKAGASTMESRLLAAQKEIEAARASEKLALAAIKALEESESAQSTNNVDLPTSVTLSLEEYYELSKRSHEAEEQANLRVATAISQIEAAKESESRTAEKLERVNQEMTARKEALKIALDKAEQAKEGKLGVEQELRKWRAENEQRRRASNSGLGAANPNKSPRESFEVRKESKSVDRVLDAAVDYVSNPKSNVPGSNAGTDSSPEVKAPRKKKKSLFPRFLLFFARKKSHPSKTG >Potri.002G126700.1.v4.1 pep chromosome:Pop_tri_v4:2:9611126:9615630:-1 gene:Potri.002G126700.v4.1 transcript:Potri.002G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126700.v4.1 MEDVKITEVISPLEPSSTSQDNGPSHGEASSSHATNANVELDQVAMKDDSVDKTEIYHQGALKDDSKSEATQNVLNVQDESREKTAGVKISSNGPQDQEKTEDIQNSSDGQKSQRKTEPVPNSSGVRQPQDPISSPHVHVDDGIPATSSPIERAQFEEHALPHVKVRVQQDELASPHANVASPDFRTPNSTDSPRLFKQSDMNRGLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKLVDQELETVQVEMPEYKKRSEAAEEEKIQVLKELDSTKRLIEELKLNLERAQTEEHQAKQDSELAKLRVEEMEQGIADEASVAAKAQLEVAKARYSAAVSELKTVNDEVEALHKEYASLVSEKDEAVKKAEDAVSASREVEKTVEELTIELIATKESLESAHAAHMEAEEQRIGATMAKEQDSLHWEKELKQAEEELQRLNQQILSAKDLKSKLNTASALLVDLKAELAAYMESKTKEGTEGKPKAEQQEPEKTTHTDIQAAVASAKKELEEVKLNIEKATAEVNCLKVAAISLQTELEKEKSLFSAIKQREGMASVTVAALQAELDKTRSEIALVQMEEKEAREKTVEIPKQLQLAAEAADEAKSLAQMAREELCKAKEEAEQAKAGASTMESRLLAAQKEIEAARASEKLALAAIKALEESESAQSTNNVDLPTSVTLSLEEYYELSKRSHEAEEQANLRVATAISQIEAAKESESRTAEKLERVNQEMTARKEALKIALDKAEQAKEGKLGVEQELRKWRAENEQRRRASNSGLGAANPNKSPRESFEVRKESKSVDRVLDAAVDYVSNPKSNVPGSNAGTDSSPEVKAPRKKKKSLFPRFLLFFARKKSHPSKTG >Potri.006G264600.1.v4.1 pep chromosome:Pop_tri_v4:6:26060292:26061055:1 gene:Potri.006G264600.v4.1 transcript:Potri.006G264600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264600.v4.1 MACFQRAVACALVLMSLFVGLSQAKDLLVGGKTDAWKIPSSESDSLNKWAEKARFLVGDSLAWKYDGQKDSVLQVTKEAYASCNTTSPIEEYKDGNTKVKLDRSGPFYFISGAEGHCEKGQKFVVLVLSQKHRHTGISPAPSPAEFEGGPAVAPTSSAYTLRGGFLVAFGVLVLGLILM >Potri.012G094600.9.v4.1 pep chromosome:Pop_tri_v4:12:11894868:11898036:1 gene:Potri.012G094600.v4.1 transcript:Potri.012G094600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094600.v4.1 MAAGRLDVSRRRGGGGYFDEVKRGGDRGLVRKNGYHSSTIVPSFDFRRSGFSCRDEERQSGEPWQFNAFCSSKYEEGEIPANEDGVQLPAEKKRKFSPIVWDVEEKKAKISSKNRVVQGSSTRDLNVVSDEDVVKSPVKGGLELVVDKDCVDGGSADGIGSEYPAPLSPSLHPKKDGGYDQEQGQVEEEELPEARNIAMSRWASDDDSPRDTTLIDDKGMHGEMVYRTDLINREGFQREVSDRDGSSSSLSDERGYSGSSASEYELQNDVMDIDDIRDENASDNEMEQAAGEEPTVTNQRGFNMLEGCRSVFEYERLNEINEGTYGKVYKARDKKTGEFVALKKVKMNVGRDKYLEEYGFPLTSLREINILMSFDHPSIVRVKEVVMGDLDSVFMVMEYMEHDLKGLMQAMKQPFSTSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLFNNQGELKVCDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKKYSTAVDMWSVGCIMAEMLTKEPLFTGKGEIDQLDKIFKTLGTPNETIWPGLSKLPGAKANFVQQPYNQLRKKFPFTPFTGSPVLSDSGFDLLNRLLTYEPDKRITADDALNHPWFNEVPLSKSKEFMPTFPPQYAKNR >Potri.012G094600.2.v4.1 pep chromosome:Pop_tri_v4:12:11894796:11899832:1 gene:Potri.012G094600.v4.1 transcript:Potri.012G094600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094600.v4.1 MAAGRLDVSRRRGGGGYFDEVKRGGDRGLVRKNGYHSSTIVPSFDFRRSGFSCRDEERQSGEPWQFNAFCSSKYEEGEIPANEDGVQLPAEKKRKFSPIVWDVEEKKAKISSKNRVVQGSSTRDLNVVSDEDVVKSPVKGGLELVVDKDCVDGGSADGIGSEYPAPLSPSLHPKKDGGYDQEQGQVEEEELPEARNIAMSRWASDDDSPRDTTLIDDKGMHGEMVYRTDLINREGFQREVSDRDGSSSSLSDERGYSGSSASEYELQNDVMDIDDIRDENASDNEMEQAAGEEPTVTNQRGFNMLEGCRSVFEYERLNEINEGTYGKVYKARDKKTGEFVALKKVKMNVGRDKYLEEYGFPLTSLREINILMSFDHPSIVRVKEVVMGDLDSVFMVMEYMEHDLKGLMQAMKQPFSTSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLFNNQGELKVCDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKKYSTAVDMWSVGCIMAEMLTKEPLFTGKGEIDQLDKIFKTLGTPNETIWPGLSKLPGAKANFVQQPYNQLRKKFPFTPFTGSPVLSDSGFDLLNRLLTYEPDKRITADDALNHPWFNEVPLSKSKEFMPTFPPQYAKNR >Potri.012G094600.8.v4.1 pep chromosome:Pop_tri_v4:12:11894870:11899829:1 gene:Potri.012G094600.v4.1 transcript:Potri.012G094600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094600.v4.1 MAAGRLDVSRRRGGGGYFDEVKRGGDRGLVRKNGYHSSTIVPSFDFRRSGFSCRDEERQSGEPWQFNAFCSSKYEEGEIPANEDGVQLPAEKKRKFSPIVWDVEEKKAKISSKNRVVQGSSTRDLNVVSDEDVVKSPVKGGLELVVDKDCVDGGSADGIGSEYPAPLSPSLHPKKDGGYDQEQGQVEEEELPEARNIAMSRWASDDDSPRDTTLIDDKGMHGEMVYRTDLINREGFQREVSDRDGSSSSLSDERGYSGSSASEYELQNDVMDIDDIRDENASDNEMEQAAGEEPTVTNQRGFNMLEGCRSVFEYERLNEINEGTYGKVYKARDKKTGEFVALKKVKMNVGRDKYLEEYGFPLTSLREINILMSFDHPSIVRVKEVVMGDLDSVFMVMEYMEHDLKGLMQAMKQPFSTSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLFNNQGELKVCDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKKYSTAVDMWSVGCIMAEMLTKEPLFTGKGEIDQLDKIFKTLGTPNETIWPGLSKLPGAKANFVQQPYNQLRKKFPFTPFTGSPVLSDSGFDLLNRLLTYEPDKRITADDALNHPWFNEVPLSKSKEFMPTFPPQYAKNR >Potri.012G094600.4.v4.1 pep chromosome:Pop_tri_v4:12:11894863:11899829:1 gene:Potri.012G094600.v4.1 transcript:Potri.012G094600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094600.v4.1 MAAGRLDVSRRRGGGGYFDEVKRGGDRGLVRKNGYHSSTIVPSFDFRRSGFSCRDEERQSGEPWQFNAFCSSKYEEGEIPANEDGVQLPAEKKRKFSPIVWDVEEKKAKISSKNRVVQGSSTRDLNVVSDEDVVKSPVKGGLELVVDKDCVDGGSADGIGSEYPAPLSPSLHPKKDGGYDQEQGQVEEEELPEARNIAMSRWASDDDSPRDTTLIDDKGMHGEMVYRTDLINREGFQREVSDRDGSSSSLSDERGYSGSSASEYELQNDVMDIDDIRDENASDNEMEQAAGEEPTVTNQRGFNMLEGCRSVFEYERLNEINEGTYGKVYKARDKKTGEFVALKKVKMNVGRDKYLEEYGFPLTSLREINILMSFDHPSIVRVKEVVMGDLDSVFMVMEYMEHDLKGLMQAMKQPFSTSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLFNNQGELKVCDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKKYSTAVDMWSVGCIMAEMLTKEPLFTGKGEIDQLDKIFKTLGTPNETIWPGLSKLPGAKANFVQQPYNQLRKKFPFTPFTGSPVLSDSGFDLLNRLLTYEPDKRITADDALNHPWFNEVPLSKSKEFMPTFPPQYAKNR >Potri.012G094600.7.v4.1 pep chromosome:Pop_tri_v4:12:11894759:11899424:1 gene:Potri.012G094600.v4.1 transcript:Potri.012G094600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094600.v4.1 MAAGRLDVSRRRGGGGYFDEVKRGGDRGLVRKNGYHSSTIVPSFDFRRSGFSCRDEERQSGEPWQFNAFCSSKYEEGEIPANEDGVQLPAEKKRKFSPIVWDVEEKKAKISSKNRVVQGSSTRDLNVVSDEDVVKSPVKGGLELVVDKDCVDGGSADGIGSEYPAPLSPSLHPKKDGGYDQEQGQVEEEELPEARNIAMSRWASDDDSPRDTTLIDDKGMHGEMVYRTDLINREGFQREVSDRDGSSSSLSDERGYSGSSASEYELQNDVMDIDDIRDENASDNEMEQAAGEEPTVTNQRGFNMLEGCRSVFEYERLNEINEGTYGKVYKARDKKTGEFVALKKVKMNVGRDKYLEEYGFPLTSLREINILMSFDHPSIVRVKEVVMGDLDSVFMVMEYMEHDLKGLMQAMKQPFSTSEVKCLMLQLLEGVKYLHDNWVLHRDLKTSNLLFNNQGELKVCDFGMSRQYGSPLKPYTSLVVTLWYRAPELLLGAKKYSTAVDMWSVGCIMAEMLTKEPLFTGKGEIDQLDKIFKTLGTPNETIWPGLSKLPGAKANFVQQPYNQLRKKFPFTPFTGSPVLSDSGFDLLNRLLTYEPDKRITADDALNHPWFNEVPLSKSKEFMPTFPPQYAKNR >Potri.016G114600.1.v4.1 pep chromosome:Pop_tri_v4:16:11878010:11888252:-1 gene:Potri.016G114600.v4.1 transcript:Potri.016G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114600.v4.1 MSPLGFKSLVYHGEVCLGELDVIPVTEKGFLFPNNEIRIHHISQQSERCPPLSILQTISPFSVRCKLESSSPMEQSHLINLHASCFHEVKTAVVLIGDEEIHLVAMPSKQKKFPCFWCFAVPVGLYDSCLRMLNMRCLSIVFDLDETLIVANTMKLFEDRIETLRGWIGREQDPMRVSGMIAEMKRYIDDRLLLKQYIENDVVMDNGKMYKVQLEDVLRSSDGHERVVRPVIRLPEKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIASKQLSDRVVCVKSGSKKSLLNVFQDGMCHPKMAMVIDDRLKVWEDMDQPRVHVVPAFTPYYAPLAETANAVPVLCVARNVACNVRGCFFKEFDEILIRRISEVFYEDEVKSLPPTPDVSNYMMTEDSGFVPNGNNIAPFSEGMSGIEAERRLHQSDGKTVMESAPHSITNSAELRTEISQPNVAIIPNVAGPTLSATLLPSQKPSLLGAPVRRDLRNQNSGQPPLLSRIPAAIPLSSLQPQGGWLVEEDTSRAQSNNRPSATAQELDSLKSDKLRGLQNPLAHGASASAPAPSSLVSHASELKAEEAIAGNDMHQQIVPAGEAGMSQNHVSSSSREFQAEAGKLNLLPSHLSIGVLQEIGRRCRSKVEFKSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAQQQAAENALRSLAEKYAAYVSPNSGAVDGDFDKLSIGNENGFVWDISSPESSDLVMLG >Potri.016G114600.2.v4.1 pep chromosome:Pop_tri_v4:16:11878123:11888255:-1 gene:Potri.016G114600.v4.1 transcript:Potri.016G114600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114600.v4.1 MSPLGFKSLVYHGEVCLGELDVIPVTEKGFLFPNNEIRIHHISQQSERCPPLSILQTISPFSVRCKLESSSPMEQSHLINLHASCFHEVKTAVVLIGDEEIHLVAMPSKQKKFPCFWCFAVPVGLYDSCLRMLNMRCLSIVFDLDETLIVANTMKLFEDRIETLRGWIGREQDPMRVSGMIAEMKRYIDDRLLLKQYIENDVVMDNGKMYKVQLEDVLRSSDGHERVVRPVIRLPEKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIASKQLSDRVVCVKSGSKKSLLNVFQDGMCHPKMAMVIDDRLKVWEDMDQPRVHVVPAFTPYYAPLAETANAVPVLCVARNVACNVRGCFFKEFDEILIRRISEVFYEDEVKSLPPTPDVSNYMMTEDSGFVPNGNNIAPFSEGMSGIEAERRLHQSDGKTVMESAPHSITNSAELRTEISQPNVAIIPNVAGPTLSATLLPSQKPSLLGAPVRRDLRNQNSGQPPLLSRIPAAIPLSSLQPQGGWLVEEDTSRAQSNNRPSATAQELDSLKSDKLRGLQNPLAHGASASAPAPSSLVSHASELKAEEAIAGNDMHQQIVPAGEAGMSQNHVSSSSREFQAEAGKLNLLPSHLSIGVLQEIGRRCRSKVEFKSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAQQQAAENALRSLAEKYAAYVSPNSGAVDGDFDKLSIGNENGFVWDISSPESSDLVREDGSAKERPSEVADAELVNTSNMVNQQQQKRANSPRSPQSIPSKRSKEELCGSQSLPFRQSKNESHV >Potri.016G114600.3.v4.1 pep chromosome:Pop_tri_v4:16:11878025:11888252:-1 gene:Potri.016G114600.v4.1 transcript:Potri.016G114600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114600.v4.1 MSPLGFKSLVYHGEVCLGELDVIPVTEKGFLFPNNEIRIHHISQQSERCPPLSILQTISPFSVRCKLESSSPMEQSHLINLHASCFHEVKTAVVLIGDEEIHLVAMPSKQKKFPCFWCFAVPVGLYDSCLRMLNMRCLSIVFDLDETLIVANTMKLFEDRIETLRGWIGREQDPMRVSGMIAEMKRYIDDRLLLKQYIENDVVMDNGKMYKVQLEDVLRSSDGHERVVRPVIRLPEKNIVLTRINPEIRDTSVLVRLRPAWEDLRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEAHLIASKQLSDRVVCVKSGSKKSLLNVFQDGMCHPKMAMVIDDRLKVWEDMDQPRVHVVPAFTPYYAPLAETANAVPVLCVARNVACNVRGCFFKEFDEILIRRISEVFYEDEVKSLPPTPDVSNYMMTEDSGFVPNGNNIAPFSEGMSGIEAERRLHQSDGKTVMESAPHSITNSAELRTEISQPNVAIIPNVAGPTLSATLLPSQKPSLLGAPVRRDLRNQNSGQPPLLSRIPAAIPLSSLQPQGGWLVEEDTSRAQSNNRPSATAQELDSLKSDKLRGLQNPLAHGASASAPAPSSLVSHASELKAEEAIAGNDMHQQIVPAGEAGMSQNHVSSSSREFQAEAGKLNLLPSHLSIGVLQEIGRRCRSKVEFKSVVSTSKDLQFSVEVLFTGEKIGVGMGKTRKDAQQQAAENALRSLAEKYAAYVSPNSGAVDGDFDKLSIGNENGFVWDISSPESSDLVREDGSAKERPSEVRIFL >Potri.006G174000.1.v4.1 pep chromosome:Pop_tri_v4:6:17613018:17616944:-1 gene:Potri.006G174000.v4.1 transcript:Potri.006G174000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G174000.v4.1 MASTKVQRIMTQPINLIFRFLQSKARIQIWLFEQKDLRIEGRIIGFDEYMNLVLEDAEEVNIKKKSRKSLGRILLKGDNITLMMNTGK >Potri.014G108000.1.v4.1 pep chromosome:Pop_tri_v4:14:7257674:7259388:-1 gene:Potri.014G108000.v4.1 transcript:Potri.014G108000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108000.v4.1 MNSRPPPVGYGFHPTDEELVTYYLRFKMHGGYEQEVSIIAEANVCDYEPWVLPELSAIKEPNDPECYFFCPRSYKYANSDRANRTTQAGYWKVTGKDRIVKTKTTKEHIATKKTLVFYKGRVPNGIKTDWIMHEYHPNFSFPNQREFVLCKLKKDPHAIKPTYEEGEASFNVTSDHSENQNSTECHHPQTFEEGEYGAWTVSNFTNNEPEEDTYQFQAQLDSLRGYDIEGCYSLDSALQFPYGDIY >Potri.001G297300.2.v4.1 pep chromosome:Pop_tri_v4:1:30784982:30788484:-1 gene:Potri.001G297300.v4.1 transcript:Potri.001G297300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297300.v4.1 MTEKLSLPLLLSNPPPTKPSLPIQTHVHHQQLKPTTPTSPPPQHGTSLMQDFLFKQSSDPSKPITSQTQPDPFPIPRARTRIGKARDSNRGKPWTHHRLSLQGQRVLDSLNDPSFESSELDKILSLLLDYHKEELSLSSGGKEGLSNDVLGVIKGLGFYKKRDLAMSVFVWFKNRNDCGSVLSNSAVAVIISMLGKEGKVSVAASLLNDLHKDGFGLDVYAYTSLITACVSNGRYREAVMVFKKMEEEGCKPTLITYNVILNVYGKMGMPWNKIKGLFEGMKNAGVLPDEYTYNTLITCCRRGSLHEEAAAVFKDMKSMGFVPDKVTYNALLDVYGKSRRTKEAMEVLREMEVNGCSPSIVTYNSLISAYARDGLLKEAVELKNQMVEGGINLDVFTYTTLLSGFVRAGKDESAMRVFAEMRAAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKNSCCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRAGFVPERDTYNTLISSYSRCGSFDQAMDVYKRMLEAGITPDLSTYNAVLAALARGGLWEQSEKILAEMKDGRCKPNELTYCSLLHAYANGKEIGRMLALAEEICSGVIEPHAVLLKTLVLVNSKCDLLVEAEVAFLELKRKGFSPDLSTLNAMISIYGRRQMFTKTNEILNFMNESGFTPSLATYNSLMYMHSRSENFERSEEVLKEILAKGIKPDTISYNTVIFAYCRNGRMKEASRIFSEMRESGLVPDVITYNTFVASYAADSMFEDAIDVVRYMIKHGCKPNQNTYNSVVDGYCKHNHRDDAIMFISSLHELDPHISREEKCRLSERLTKWS >Potri.010G052200.2.v4.1 pep chromosome:Pop_tri_v4:10:8374334:8380745:-1 gene:Potri.010G052200.v4.1 transcript:Potri.010G052200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052200.v4.1 MADFYTVFIDTTLDTHLAFIVSASDTVSDLKKKILHEHKLCFPTNGDIKIHALKVKRRGILYHLSESMFVKSAFDGTGKNWFVSVDASTIEENIDNLAAQVGTTNNGSTDEVNLLPDSHPKSLFNVVDLSLQLDESSRLAKQRASVAEQLGLDFAGNEELNNSSIVAYKGDCYRKVMSLADDNNGSNPPILEKYDEPGKEKEVQATPTGSVGGGSGDGIGDMQGSAPSDGALTTGSGAKKKNKRKRKSKDITDDLSGKEKEASGCQSGENESQQESAANKRRKIEEENRGDGSVKENEIVLSDSNKEISKHPTISQHYLVNNQKNAHAALHCLSSETLDGLSLLAASQGSGKGNKKKKSVNPLNLETAAVPSSTDVTGETMEVNPKDFSKEPDTVPVPVQGVTISESCAVSVKEKHTDPVQEDGRPSSQGIDMSDTDTTNAKSRHDAPELAAASAAKKRSPKNTILDDSQKPATDQVDGLEEEREALHPGSMLHEKCKPSDMRSKEAVNTSELVEASETVYPGTHSKKRKKSKRTRALLSTTLTLGTENVKSSTTADAASIEPHKDVNGDDSNYKGNQEDSNLAEKEMEEVSEMDKDVAVTSQLAGASEAVNPGTPSKKKKKSKRTRDLLSRTPGSGTENANSSAAAATSSNDHHKAASGDDSKDRVNQEESNLHAKEGKEVVEKDKVVVASELVEASETVNPGTPSKKRKKSKRTTDLLIRTPASGTEHVNISAAADAASTEPHEAVNGNDSTDKVNQEEINLLAKEGKEVSEVDREVVVTSELVEASEAVNCGTPLKKRKKSKRTRDLLSGTPTSGTEPNSSVADTSPTERHNSVNGDDSNDNGNKEENSMAAKKGKVVLEMDAASTFRLATDTEIDDVIENVVKSAVNGCPSKIDHSDKAGHGMEVSCRSDRINFNEYFVPNQDLGKTAASGEVLVDKATKAKQVGGMNSNKKKKKLDALSRVPSFDLHGSSRLNVNDGIGEKPPAGGVKVPPSSKSDKISSTPAEARKSGALGLVVTNTFAKNNKEPSAASYSSLESSRKTRARGHGSDKQHNQTNGREVSPANVEGVVNSSQRKKSLIGKSGTIFQDDNYEHSAEDRTESSDSSTRTPSDNSLSSDYSDGESNSDFNSSQNGYYCLKSKEGGGKNIKKPLPDLGENP >Potri.010G052200.3.v4.1 pep chromosome:Pop_tri_v4:10:8374279:8380752:-1 gene:Potri.010G052200.v4.1 transcript:Potri.010G052200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052200.v4.1 MADFYTVFIDTTLDTHLAFIVSASDTVSDLKKKILHEHKLCFPTNGDIKIHALKVKRRGILYHLSESMFVKSAFDGTGKNWFVSVDASTIEENIDNLAAQLDESSRLAKQRASVAEQLGLDFAGNEELNNSSIVAYKGDCYRKVMSLADDNNGSNPPILEKYDEPGKEKEVQATPTGSVGGGSGDGIGDMQGSAPSDGALTTGSGAKKKNKRKRKSKDITDDLSGKEKEASGCQSGENESQQESAANKRRKIEEENRGDGSVKENEIVLSDSNKEISKHPTISQHYLVNNQKNAHAALHCLSSETLDGLSLLAASQGSGKGNKKKKSVNPLNLETAAVPSSTDVTGETMEVNPKDFSKEPDTVPVPVQGVTISESCAVSVKEKHTDPVQEDGRPSSQGIDMSDTDTTNAKSRHDAPELAAASAAKKRSPKNTILDDSQKPATDQVDGLEEEREALHPGSMLHEKCKPSDMRSKEAVNTSELVEASETVYPGTHSKKRKKSKRTRALLSTTLTLGTENVKSSTTADAASIEPHKDVNGDDSNYKGNQEDSNLAEKEMEEVSEMDKDVAVTSQLAGASEAVNPGTPSKKKKKSKRTRDLLSRTPGSGTENANSSAAAATSSNDHHKAASGDDSKDRVNQEESNLHAKEGKEVVEKDKVVVASELVEASETVNPGTPSKKRKKSKRTTDLLIRTPASGTEHVNISAAADAASTEPHEAVNGNDSTDKVNQEEINLLAKEGKEVSEVDREVVVTSELVEASEAVNCGTPLKKRKKSKRTRDLLSGTPTSGTEPNSSVADTSPTERHNSVNGDDSNDNGNKEENSMAAKKGKVVLEMDAASTFRLATDTEIDDVIENVVKSAVNGCPSKIDHSDKAGHGMEVSCRSDRINFNEYFVPNQDLGKTAASGEVLVDKATKAKQVGGMNSNKKKKKLDALSRVPSFDLHGSSRLNVNDGIGEKPPAGGVKVPPSSKSDKISSTPAEARKSGALGLVVTNTFAKNNKEPSAASYSSLESSRKTRARGHGSDKQHNQTNGREVSPANVEGVVNSSQRKKSLIGKSGTIFQDDNYEHSAEDRTESSDSSTRTPSDNSLSSDYSDGESNSDFNSSQNGYYCLKSKEGGGKNIKKPLSGLTLEKILRSSSRYKKAKLTASQSQLEDTENEPVDFVPDSQANP >Potri.010G052200.1.v4.1 pep chromosome:Pop_tri_v4:10:8374269:8380752:-1 gene:Potri.010G052200.v4.1 transcript:Potri.010G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052200.v4.1 MADFYTVFIDTTLDTHLAFIVSASDTVSDLKKKILHEHKLCFPTNGDIKIHALKVKRRGILYHLSESMFVKSAFDGTGKNWFVSVDASTIEENIDNLAAQVGTTNNGSTDEVNLLPDSHPKSLFNVVDLSLQLDESSRLAKQRASVAEQLGLDFAGNEELNNSSIVAYKGDCYRKVMSLADDNNGSNPPILEKYDEPGKEKEVQATPTGSVGGGSGDGIGDMQGSAPSDGALTTGSGAKKKNKRKRKSKDITDDLSGKEKEASGCQSGENESQQESAANKRRKIEEENRGDGSVKENEIVLSDSNKEISKHPTISQHYLVNNQKNAHAALHCLSSETLDGLSLLAASQGSGKGNKKKKSVNPLNLETAAVPSSTDVTGETMEVNPKDFSKEPDTVPVPVQGVTISESCAVSVKEKHTDPVQEDGRPSSQGIDMSDTDTTNAKSRHDAPELAAASAAKKRSPKNTILDDSQKPATDQVDGLEEEREALHPGSMLHEKCKPSDMRSKEAVNTSELVEASETVYPGTHSKKRKKSKRTRALLSTTLTLGTENVKSSTTADAASIEPHKDVNGDDSNYKGNQEDSNLAEKEMEEVSEMDKDVAVTSQLAGASEAVNPGTPSKKKKKSKRTRDLLSRTPGSGTENANSSAAAATSSNDHHKAASGDDSKDRVNQEESNLHAKEGKEVVEKDKVVVASELVEASETVNPGTPSKKRKKSKRTTDLLIRTPASGTEHVNISAAADAASTEPHEAVNGNDSTDKVNQEEINLLAKEGKEVSEVDREVVVTSELVEASEAVNCGTPLKKRKKSKRTRDLLSGTPTSGTEPNSSVADTSPTERHNSVNGDDSNDNGNKEENSMAAKKGKVVLEMDAASTFRLATDTEIDDVIENVVKSAVNGCPSKIDHSDKAGHGMEVSCRSDRINFNEYFVPNQDLGKTAASGEVLVDKATKAKQVGGMNSNKKKKKLDALSRVPSFDLHGSSRLNVNDGIGEKPPAGGVKVPPSSKSDKISSTPAEARKSGALGLVVTNTFAKNNKEPSAASYSSLESSRKTRARGHGSDKQHNQTNGREVSPANVEGVVNSSQRKKSLIGKSGTIFQDDNYEHSAEDRTESSDSSTRTPSDNSLSSDYSDGESNSDFNSSQNGYYCLKSKEGGGKNIKKPLSGLTLEKILRSSSRYKKAKLTASQSQLEDTENEPVDFVPDSQANP >Potri.006G061700.11.v4.1 pep chromosome:Pop_tri_v4:6:4392161:4401612:-1 gene:Potri.006G061700.v4.1 transcript:Potri.006G061700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061700.v4.1 MGGICSRKRDQQVLEGRVRRGVSGNYSKSSSSKWLGTTFARPNADLQPGCSFPSLLELCIYRIREDISRYKSYSMLPRDLSQQIFNELVISHSLTAASLEAFRDCALQDVLLGEYPGVMDSWMDVISSQGSSLLSVDLSDSDVTDAGLGLLKDCSNLQAIALNYCNNISDNGLKHLSGLTNITSLSLKKSCSVTAEGMRAFSTLLNLENLDMERCSGIHGGLVHLKGLKKLESLNIRCCKCITDMDMKAISGLTNLKELQISNTNVTDVGVSYLRGLQKLIMLNLEGCNITTACLDSISALATLAYLNLNRCHLPDDGCDKFSGLKNLKVLSLAFNDVTDACLVHLKGLKNLESLNLDSCRIGDEGIANLAGLPLKSLELSDTIVGSSGLRHLSGIPHLENLNLSFTLVTDGGLRKLSGLTSLRSLNLDARQITDAGLTALTSLTGLTRLDLFGARITDSGTNCLKYFKNLKSLEICGGGLTDAGVKNIKDLVHLTVLNLSQNTNLTDKTLELISGLTELVSLNVSNSLITNEGLRYLKPLKNLRALTLESCKVTASEIKKLQSTELPNLASVRPE >Potri.006G061700.10.v4.1 pep chromosome:Pop_tri_v4:6:4392093:4401611:-1 gene:Potri.006G061700.v4.1 transcript:Potri.006G061700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061700.v4.1 MTSIDNQRDHKSMGGICSRKRDQQVLEGRVRRGVSGNYSKSSSSKWLGTTFARPNADLQPGCSFPSLLELCIYRIREDISRYKSYSMLPRDLSQQIFNELVISHSLTAASLEAFRDCALQDVLLGEYPGVMDSWMDVISSQGSSLLSVDLSDSDVTDAGLGLLKDCSNLQAIALNYCNNISDNGLKHLSGLTNITSLSLKKSCSVTAEGMRAFSTLLNLENLDMERCSGIHGGLVHLKGLKKLESLNIRCCKCITDMDMKAISGLTNLKELQISNTNVTDVGVSYLRGLQKLIMLNLEGCNITTACLDSISALATLAYLNLNRCHLPDDGCDKFSGLKNLKVLSLAFNDVTDACLVHLKGLKNLESLNLDSCRIGDEGIANLAGLPLKSLELSDTIVGSSGLRHLSGIPHLENLNLSFTLVTDGGLRKLSGLTSLRSLNLDARQITDAGLTALTSLTGLTRLDLFGARITDSGTNCLKYFKNLKSLEICGGGLTDAGVKNIKDLVHLTVLNLSQNTNLTDKTLELISGLTELVSLNVSNSLITNEGLRYLKPLKNLRALTLESCKVTASEIKKLQSTELPNLASVRPE >Potri.006G061700.7.v4.1 pep chromosome:Pop_tri_v4:6:4392156:4401675:-1 gene:Potri.006G061700.v4.1 transcript:Potri.006G061700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061700.v4.1 MTSIDNQRDHKSMGGICSRKRDQQVLEGRVRRGVSGNYSKSSSSKWLGTTFARPNADLQPGCSFPSLLELCIYRIREDISRYKSYSMLPRDLSQQIFNELVISHSLTAASLEAFRDCALQDVLLGEYPGVMDSWMDVISSQGSSLLSVDLSDSDVTDAGLGLLKDCSNLQAIALNYCNNISDNGLKHLSGLTNITSLSLKKSCSVTAEGMRAFSTLLNLENLDMERCSGIHGGLVHLKGLKKLESLNIRCCKCITDMDMKAISGLTNLKELQISNTNVTDVGVSYLRGLQKLIMLNLEGCNITTACLDSISALATLAYLNLNRCHLPDDGCDKFSGLKNLKVLSLAFNDVTDACLVHLKGLKNLESLNLDSCRIGDEGIANLAGLPLKSLELSDTIVGSSGLRHLSGIPHLENLNLSFTLVTDGGLRKLSGLTSLRSLNLDARQITDAGLTALTSLTGLTRLDLFGARITDSGTNCLKYFKNLKSLEICGGGLTDAGVKNIKDLVHLTVLNLSQNTNLTDKTLELISGLTELVSLNVSNSLITNEGLRYLKPLKNLRALTLESCKVTASEIKKLQSTELPNLASVRPE >Potri.006G061700.1.v4.1 pep chromosome:Pop_tri_v4:6:4392152:4401613:-1 gene:Potri.006G061700.v4.1 transcript:Potri.006G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061700.v4.1 MTSIDNQRDHKSMGGICSRKRDQQVLEGRVRRGVSGNYSKSSSSKWLGTTFARPNADLQPGCSFPSLLELCIYRIREDISRYKSYSMLPRDLSQQIFNELVISHSLTAASLEAFRDCALQDVLLGEYPGVMDSWMDVISSQGSSLLSVDLSDSDVTDAGLGLLKDCSNLQAIALNYCNNISDNGLKHLSGLTNITSLSLKKSCSVTAEGMRAFSTLLNLENLDMERCSGIHGGLVHLKGLKKLESLNIRCCKCITDMDMKAISGLTNLKELQISNTNVTDVGVSYLRGLQKLIMLNLEGCNITTACLDSISALATLAYLNLNRCHLPDDGCDKFSGLKNLKVLSLAFNDVTDACLVHLKGLKNLESLNLDSCRIGDEGIANLAGLPLKSLELSDTIVGSSGLRHLSGIPHLENLNLSFTLVTDGGLRKLSGLTSLRSLNLDARQITDAGLTALTSLTGLTRLDLFGARITDSGTNCLKYFKNLKSLEICGGGLTDAGVKNIKDLVHLTVLNLSQNTNLTDKTLELISGLTELVSLNVSNSLITNEGLRYLKPLKNLRALTLESCKVTASEIKKLQSTELPNLASVRPE >Potri.006G061700.9.v4.1 pep chromosome:Pop_tri_v4:6:4392156:4401592:-1 gene:Potri.006G061700.v4.1 transcript:Potri.006G061700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061700.v4.1 MTSIDNQRDHKSMGGICSRKRDQQVLEGRVRRGVSGNYSKSSSSKWLGTTFARPNADLQPGCSFPSLLELCIYRIREDISRYKSYSMLPRDLSQQIFNELVISHSLTAASLEAFRDCALQDVLLGEYPGVMDSWMDVISSQGSSLLSVDLSDSDVTDAGLGLLKDCSNLQAIALNYCNNISDNGLKHLSGLTNITSLSLKKSCSVTAEGMRAFSTLLNLENLDMERCSGIHGGLVHLKGLKKLESLNIRCCKCITDMDMKAISGLTNLKELQISNTNVTDVGVSYLRGLQKLIMLNLEGCNITTACLDSISALATLAYLNLNRCHLPDDGCDKFSGLKNLKVLSLAFNDVTDACLVHLKGLKNLESLNLDSCRIGDEGIANLAGLPLKSLELSDTIVGSSGLRHLSGIPHLENLNLSFTLVTDGGLRKLSGLTSLRSLNLDARQITDAGLTALTSLTGLTRLDLFGARITDSGTNCLKYFKNLKSLEICGGGLTDAGVKNIKDLVHLTVLNLSQNTNLTDKTLELISGLTELVSLNVSNSLITNEGLRYLKPLKNLRALTLESCKVTASEIKKLQSTELPNLASVRPE >Potri.005G223800.2.v4.1 pep chromosome:Pop_tri_v4:5:22508163:22510397:-1 gene:Potri.005G223800.v4.1 transcript:Potri.005G223800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G223800.v4.1 MNFRGASRANASSGMGVADHSKNTFIELQRKKAHRYVIFKIEEKKMEVVVEKTGEPAESYEDFAASLPDNDCRYAVYDFDFVTSENCQKSKIFFIAWSPSTSRIRAKVLYATSKERFRRELNGIHYDIQATDPTEMDLEVIRDRAN >Potri.002G252600.1.v4.1 pep chromosome:Pop_tri_v4:2:24153706:24158157:1 gene:Potri.002G252600.v4.1 transcript:Potri.002G252600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252600.v4.1 MGQGPSGGLNRQGLPGDRKQDGSDKKDKKFEPAAPPARVGRKQRKQKGPEAAARLPTVTPHTKCKLRLLKMERIKDYLLMEEEFVANQERLKPQEEKAEEDRSKVDDLRGSPMSVGNLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVEKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAVGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIESLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTARMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHTDFKKAKEKVMFKKKEGVPEGLYM >Potri.002G186400.1.v4.1 pep chromosome:Pop_tri_v4:2:14826446:14827603:1 gene:Potri.002G186400.v4.1 transcript:Potri.002G186400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186400.v4.1 MGRGAASSSSSSFESSRYPSVSGESSFPHVKRDLSTDLRLGLGISTSRQDNPSTPSEQLLDWPPIKPSPGKAVTSEENECCSSTLFVKVYMEGIQIGRKLNLLAHDGYHDLIQTLDEMFNTSILWPEMDVEHSGKCHVLTYEDKEGDWLIVGDVPWEVFLPSVRRLKITRADSL >Potri.011G102900.1.v4.1 pep chromosome:Pop_tri_v4:11:13089314:13092814:1 gene:Potri.011G102900.v4.1 transcript:Potri.011G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102900.v4.1 MGFLVPSPVFCPHAFVLLLLCFTSSALSIGRNETDRLALLDFKSKITHDPLGIMRLWNSSIHFCHWFGVTCSQKHQRVAVLDLQSLKLSGSVSPYIGNLSFLRNLYLQHNSFSHEIPAQIGHLHRLQILALHNNSFTGEIPASMSSSYNLVSLILDNNKLTGEIPKEFGSFLKLTDLYIDDNNLLGTIPPSLGNISSLQELWLDDNNLFGNLPATLSKLVNLRVLSLFNNRFSGTIPPSMLNLSSLRTFQVGLNNFQGNLPPDLGISLPNLEFFSIYSNQFTGSVPVSISNLSNLEMLGLNLNKLTGKMPSLEKLQRLLSITIASNNLGSGEANDLSFLSSLTNATNLEELIITQNNFQGQLPPQISNLSTTLEIMGLDSNLLFGSIPDGIENLISLNDFEVQNNHLSGIIPSTIGKLQNLEILGLALNNFSGDIPSSLGNLTNLIGLYLNDINVQGSIPSSLANCNKLLELDLSGNYITGSIPPGIFGLSSLSINLDLSRNHLSGSLPKEVGNLENLEIFAISGNMISGKIPSSLAQCISLQFLYLDANFFEGSVPSSLSTLRGIQEFNFSHNNLSGKIHEFFQDFRSLEILDLSYNNFEGMVPFRGIFKNATATSVIGNSKLCGGTPDFELPPCNFKHPKRLSLKMKITIFVISLLLAVAVLITGLFLFWSRKKRREFTPSSDGNVLLKVSYQSLLKATNGFSSINLIGTGSFGSVYKGILDHNGTAVAVKVLNLRRQGASKSFMAECEALPNVRHRNLVKVVTACSGVDYHGNDFKALVYEFMVNGSLETWLHPSRATDEVRGILDLTQRLSIAIDVAHALDYFHHQCEKQIVHCDLKPGNVLLDDEMVGHVGDFGLAKFLLEDTLHHSTNPSSSIGIRGTIGYTPPEYGAGNEVSAYGDVYSYGILLLEMFTGKRPTDDLFNGLNLHSYVKTFLPEKVLQIADPTLPQINFEGNSIEQNRVLQCLVSVFTTGISCSVESPQERMGIADVIAQLFSARNELLGT >Potri.012G124633.1.v4.1 pep chromosome:Pop_tri_v4:12:14160800:14162910:1 gene:Potri.012G124633.v4.1 transcript:Potri.012G124633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124633.v4.1 MRRKMPRLSMLLRKLRVIHSTIMNAAATKSGSEGPASSSAICESSNNRTCSHSSFTSSRTCVCISFLS >Potri.002G216900.3.v4.1 pep chromosome:Pop_tri_v4:2:20385513:20389502:-1 gene:Potri.002G216900.v4.1 transcript:Potri.002G216900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216900.v4.1 MSVANPNAVSVPPSDYDVEFRSLSDDAWYSVCTVLDGEKLTLKYQNFSDDDDSIFEVKNFKTLEELERLEDRFRPISAQLQDNECHKVVGGVVVCASHSFDGSDNRFYDAVVDDVVHKEHSFEQGGEMCSCTFIVIMQHGPVAGCFVNKTIESLCLVQSYACLDPNLQLFLKMVKERLQNEPCVLENKKSATSFTKWLNQASYCICDIS >Potri.002G216900.1.v4.1 pep chromosome:Pop_tri_v4:2:20385511:20389501:-1 gene:Potri.002G216900.v4.1 transcript:Potri.002G216900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216900.v4.1 MSVANPNAVSVPPSDYDVEFRSLSDDAWYSVCTVLDGEKLTLKYQNFSDDDDSIFEVKNFKTLEELERLEDRFRPISAQLQDNECHKVVGGVVVCASHSFDGSDNRFYDAVVDDVVHKEHSFEQGGEMCSCTFIVIMQHGPVAGCFVNKTIESLCLVQSYACLDPNLQLFLKMVKERLQNEPCVLENKKSATSFTKWLNQNTKCAKQSPCKKRPPDEAKSNDHSDWSRDDNDLGGCSSVFLIDNLDKDLSPSTIVEFIHSRTSLSVQACVFPSMSSEMYTQGAIVLNCRKNLEKLSKFLDCQDHIIMSKRGRPWVVTGNLSGYDSFAVSIQNLMHKYQENWQHKSTGHALKVVCPGSEEYTTAKQLRDLFMEFTEHQRRLHKRLAMEERKILQPSQVA >Potri.015G005000.3.v4.1 pep chromosome:Pop_tri_v4:15:297219:301185:-1 gene:Potri.015G005000.v4.1 transcript:Potri.015G005000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005000.v4.1 MALIEALYEVLENPSSMLGVCTEMVGLLGPLWVAFLIGLVLGWSWKPKWVTRESDKLTCCVSKILDSSLPSSPCRSLMSPLKTFGSFSQWNSFMLRSSTCDASWVVDNNNNLVHQNLSPVPPTEYEDCRSQLNEEQSNVASQVTEEDLEHLYQLVEVKDGGPTWMHMMDRSTPTMSYKAWRRDPKTGPPQYRSSTVFENASPEIVRDFFWDDDFRPKWDDMLSYSAILDECPTTGTMLVHWMRKFPFFCSDREYIIGRRIWESGRSYYCVTKGVPSSSVPRRDKPRRVDLYYSSWCIRAVESRKGDGQLTSCEVLLFHHEDMGIPWEIAKLGVRHGMWGTVKKIEPGLRAYQRTRASGVTLSRPAFMAQINTKINPELLRSLGDDEDLSETEAATAPEKSLGRNIPKLLIVGGAIALLCGFDRGLLTKAFIFSVGRRFGNMGKNAKLNAGST >Potri.015G005000.1.v4.1 pep chromosome:Pop_tri_v4:15:297218:301157:-1 gene:Potri.015G005000.v4.1 transcript:Potri.015G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005000.v4.1 MALIEALYEVLENPSSMLGVCTEMVGLLGPLWVAFLIGLVLGWSWKPKWVTRESDKLTCCVSKILDSSLPSSPCRSLMSPLKTFGSFSQWNSFMLRSSTCDASWVVDNNNNLVHQNLSPVPPTEYEDCSRSQLNEEQSNVASQVTEEDLEHLYQLVEVKDGGPTWMHMMDRSTPTMSYKAWRRDPKTGPPQYRSSTVFENASPEIVRDFFWDDDFRPKWDDMLSYSAILDECPTTGTMLVHWMRKFPFFCSDREYIIGRRIWESGRSYYCVTKGVPSSSVPRRDKPRRVDLYYSSWCIRAVESRKGDGQLTSCEVLLFHHEDMGIPWEIAKLGVRHGMWGTVKKIEPGLRAYQRTRASGVTLSRPAFMAQINTKINPELLRSLGDDEDLSETEAATAPEKSLGRNIPKLLIVGGAIALLCGFDRGLLTKAFIFSVGRRFGNMGKNAKLNAGST >Potri.006G026500.1.v4.1 pep chromosome:Pop_tri_v4:6:1733379:1734818:1 gene:Potri.006G026500.v4.1 transcript:Potri.006G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026500.v4.1 MDMGNKRMAVLVGCNYPNTRNELHGCINDVLTMKEVLVKRFGFDLRSVQLLTDAPGSVVLPTGANIKKALSHMIDQAEAGDVLFFHYSGHGTRIPSVKRGHPFRHDEAIVPCDFNLITDVDFRQLVNRLPKGASLTVLSDSCHSGGLIDKEKEQIGPKATITTNNAKVPSQSPKVIPFESILQHLTSLTNINTSDIGTHLLEFFGSDASLKFRLPPLERDQFESINPDEGILLSGCQANETSADMSPNEGGGKSYGAFSNAVQMVLKEHLGQLSNKQLVMMAREVLQAQGFEQQHPCLYCSDQNAGTTFLWQPEC >Potri.014G141200.6.v4.1 pep chromosome:Pop_tri_v4:14:9599929:9603341:1 gene:Potri.014G141200.v4.1 transcript:Potri.014G141200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141200.v4.1 MGSQSLLVLSAPTTMIPSKIAASSLAPPRPGPLCFPRALTITDSVVFRVKSNSARNKLASSIRCALTPALKTTLDKVVTSHKVVLFMKGTKDFPQCGFSQTVVQILKSLNVPFESVNILENELLRQGLKDYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQEQVEKAMCS >Potri.014G141200.5.v4.1 pep chromosome:Pop_tri_v4:14:9599929:9602876:1 gene:Potri.014G141200.v4.1 transcript:Potri.014G141200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141200.v4.1 MGSQSLLVLSAPTTMIPSKIAASSLAPPRPGPLCFPRALTITDSVVFRVKSNSARNKLASSIRCALTPALKTTLDKVVTSHKVVLFMKGTKDFPQCGFSQTVVQILKSLNVPFESVNILENELLRQGLKDYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQEQVEKAMCS >Potri.014G141200.4.v4.1 pep chromosome:Pop_tri_v4:14:9599952:9602545:1 gene:Potri.014G141200.v4.1 transcript:Potri.014G141200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141200.v4.1 MGSQSLLVLSAPTTMIPSKIAASSLAPPRPGPLCFPRALTITDSVVFRVKSNSARNKLASSIRCALTPALKTTLDKVVTSHKVVLFMKGTKDFPQCGFSQTVVQILKSLNVPFESVNILENELLRQGLKDYSSWPTFPQLYIDGEFFGGCDITVEAYKSGELQEQVEKAMCS >Potri.003G050100.1.v4.1 pep chromosome:Pop_tri_v4:3:7307051:7316403:1 gene:Potri.003G050100.v4.1 transcript:Potri.003G050100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HB6 MEMSCKDGKQPIMDNGKYVRYTPEQVEALERLYHDCPKPSSIRRQQLIRECPILSNIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLSAMNKLLMEENDRLQKQVSQLVYENGYFRQHTHNTPLATKDTSCESVVTSGQHHLTPQHPPRDASPAGLLSIAEETLTEFLSKATGTAVEWVQMPGMKPGPDSSGIVAISHGCAGVGARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVLNVLPTANGGTIELLYMQLYAPTTLAPGRDFWLLRYTSVLEDGSLVVCERSLKNTQNGPSMPPVQHFVRAEMLPSGYLVRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTVLAQKTTMVALRQLRQIAQEASQSNVTNWGRRPAALRALSQRLSRGFNEALNGFSDEGWSMIGNDGMDDVTILVNSSPDKLMGSNLSFTNGFPAVSSAVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYAAAAVKVGPFSLQGSRVGSFGGQVILPLAHTIEHEEFLEVIKLEGVGHSPEDPIMPRDVFLLQLCCGMDENAVGTCAELIFAPIDATFADDAPLLPSGFRIIPLDSGKEASSPNRTLDLAAALEVGPAGNRASSDHSANSGCTRSVMTIAFEFAFESHMQEHVASMTRQYIRSIISSVQRVALALSPHLGSQAGLRSPLGTPEAQTLARWICQSYRSYLGVELLKSNGEGSESILKTLWHHSDAIMCCSLKALPIFTFANQAGLDMLETTLVALQDITLEKIFDDHGRKTLCSEFSQIMQQGFTCLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFMFINWSFV >Potri.019G080600.1.v4.1 pep chromosome:Pop_tri_v4:19:12111605:12112207:-1 gene:Potri.019G080600.v4.1 transcript:Potri.019G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080600.v4.1 MGGARLAASAVVLLVLGVVLLGASGGNLIAKACPLYCLDVDYMTCESSGDKKLNSACNCCLAPKNCTLHLADGRMVQC >Potri.016G021900.3.v4.1 pep chromosome:Pop_tri_v4:16:1185714:1191463:1 gene:Potri.016G021900.v4.1 transcript:Potri.016G021900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021900.v4.1 MKPRQEQEEDEERLRQRKLEESLEIKSLRRIVSAYLNYPEAAEEDVKRYERSFRKLPPSHKALLSHYPLKFQSLRRCISINSYFIFNMLQAFEPPLDMSQDIDDSGELHFEWPPNDGNVCSHESTTARGSCSKLDEACCGESSNVMSKLAEGLTANEEVQIEGCHGSDTGSCLAGEENNKMTAECCGNHVSDSNGNVPSSPRDWLDPSFQLHVPLVDVDKVRCILRNIVRDWAAEGQKERDQCYKPILEELNSLFPSRCNKSPPTCLVPGAGLGRLALEISCLGFVSQGNEFSYYMMICSSFILNQTQNAGEWTIYPWIHSNCNSLSDSDQLRPVSFPDIHPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIVEYIEIISRILKDGGVWINLGPLLYHFADMYGQEDEMSIELSLEDVKCVAFNYGFEVEKEKTIETTYTTNPRSMMQNRYFAAFWTMRKKSAAVEKHST >Potri.002G234201.1.v4.1 pep chromosome:Pop_tri_v4:2:22735062:22737687:-1 gene:Potri.002G234201.v4.1 transcript:Potri.002G234201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234201.v4.1 MAAVKRIKLGSQGLEVSAQGLGCMGMSAFYGPPKPESDMIALIHHAVNTGVTLLDTSDVYGPHTNEILLGKALKAGGFRERVELATKFGVSFKDGNAEVRGDPAYVRAACEASLKRLQLDCIDLYYQHRIDTSVPIEATMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIVPTCRELGIGIVVYSPLGRGFFSTGPKLVESFSEGDYRKDMSRFRPENLDHNRQLFERVNEIAARKQCTSSQLALAWLHHQGDDVCPIPGTTKIENFNQNVGALSVRLTLEEMAELESIASSNAVRGHRSDDGFSTFKDSDTPPLSSWKAV >Potri.011G035800.3.v4.1 pep chromosome:Pop_tri_v4:11:2730366:2733585:-1 gene:Potri.011G035800.v4.1 transcript:Potri.011G035800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035800.v4.1 MEAEKLFLLFSLLMLHFSSCTSLDSLKTNQTIKEGDVLISEGNNFALGFFSPGSSSNRYLGIWYHKVPEQTVVWVANRNDPIIGSSGFLFVDQYGNLVLYGNDDQKLPVWSTNVSVEENDTCAAQLLDSGNLILVRKRSRKTVWQSFDYPTNILLPGMKLGLDRKLGTDRFLTSWRSADDPGIGDFSVRINPNGSPQFFLYTGTKPISRSPPWPISISQMGLYKMVFVNDPDEIYSELTVPDGYYLVRLIVDHSGLSKVLTWRESDGKWREYSKCPQLQCDYYGYCGAYSTCELASYNTFGCACLPGFEPKYPMEWSMRNGSGGCVRKRLQTSSVCDHGEGFVKVENVMLPDTTAAAWVDTSKSRADCELECNSNCSCSAYAVIVIPGKGDGCLNWYKELVDIKYDRRSESHDLYVRVDAYELADTKRKSNDSREKTMLAVLAPSIAFLWFLISLFASLWFKKRAKKGTELQVNSTSTELEYFKLSTITAATNNFSSANKVGQGGFGSVYKVEFLAFS >Potri.011G035800.2.v4.1 pep chromosome:Pop_tri_v4:11:2730077:2733544:-1 gene:Potri.011G035800.v4.1 transcript:Potri.011G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035800.v4.1 MEAEKLFLLFSLLMLHFSSCTSLDSLKTNQTIKEGDVLISEGNNFALGFFSPGSSSNRYLGIWYHKVPEQTVVWVANRNDPIIGSSGFLFVDQYGNLVLYGNDDQKLPVWSTNVSVEENDTCAAQLLDSGNLILVRKRSRKTVWQSFDYPTNILLPGMKLGLDRKLGTDRFLTSWRSADDPGIGDFSVRINPNGSPQFFLYTGTKPISRSPPWPISISQMGLYKMVFVNDPDEIYSELTVPDGYYLVRLIVDHSGLSKVLTWRESDGKWREYSKCPQLQCDYYGYCGAYSTCELASYNTFGCACLPGFEPKYPMEWSMRNGSGGCVRKRLQTSSVCDHGEGFVKVENVMLPDTTAAAWVDTSKSRADCELECNSNCSCSAYAVIVIPGKGDGCLNWYKELVDIKYDRRSESHDLYVRVDAYELADTKRKSNDSREKTMLAVLAPSIAFLWFLISLFASLWFKKRAKKANKVGQGGFGSVYKGLLANAKEVAIKRLSRSSGQGTEEFKNEVTVIARLQHRNLVKLLGYCLQDGEKMLIYEYLPNKSLDSFLFDESRRLLLDWRKRFDIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDAEMNPKISDFGIAKIFEGNQTEDRTRRVVGTYGYMSPEYVVFGNFSAKSDVFSFGVMLLEIVSGKKNNIFYQQDPPLTLIGYVWELWRQDKALEIVDPSLKELYHPREALKCLQIGLLCVQEDATDRPSMLAVVFMLSNETEIPSPKQPAFLFRKSDNNPDIALDVEDGHCSLNEVTITEIACR >Potri.011G035800.1.v4.1 pep chromosome:Pop_tri_v4:11:2730116:2733597:-1 gene:Potri.011G035800.v4.1 transcript:Potri.011G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035800.v4.1 MEAEKLFLLFSLLMLHFSSCTSLDSLKTNQTIKEGDVLISEGNNFALGFFSPGSSSNRYLGIWYHKVPEQTVVWVANRNDPIIGSSGFLFVDQYGNLVLYGNDDQKLPVWSTNVSVEENDTCAAQLLDSGNLILVRKRSRKTVWQSFDYPTNILLPGMKLGLDRKLGTDRFLTSWRSADDPGIGDFSVRINPNGSPQFFLYTGTKPISRSPPWPISISQMGLYKMVFVNDPDEIYSELTVPDGYYLVRLIVDHSGLSKVLTWRESDGKWREYSKCPQLQCDYYGYCGAYSTCELASYNTFGCACLPGFEPKYPMEWSMRNGSGGCVRKRLQTSSVCDHGEGFVKVENVMLPDTTAAAWVDTSKSRADCELECNSNCSCSAYAVIVIPGKGDGCLNWYKELVDIKYDRRSESHDLYVRVDAYELADTKRKSNDSREKTMLAVLAPSIAFLWFLISLFASLWFKKRAKKGTELQVNSTSTELEYFKLSTITAATNNFSSANKVGQGGFGSVYKGLLANAKEVAIKRLSRSSGQGTEEFKNEVTVIARLQHRNLVKLLGYCLQDGEKMLIYEYLPNKSLDSFLFDESRRLLLDWRKRFDIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDAEMNPKISDFGIAKIFEGNQTEDRTRRVVGTYGYMSPEYVVFGNFSAKSDVFSFGVMLLEIVSGKKNNIFYQQDPPLTLIGYVWELWRQDKALEIVDPSLKELYHPREALKCLQIGLLCVQEDATDRPSMLAVVFMLSNETEIPSPKQPAFLFRKSDNNPDIALDVEDGHCSLNEVTITEIACR >Potri.001G133800.1.v4.1 pep chromosome:Pop_tri_v4:1:10883903:10891335:1 gene:Potri.001G133800.v4.1 transcript:Potri.001G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133800.v4.1 MATLQDIGVSALINILGAFAFLLAFALLRIQPINDRVYFPKWYISGGRSNPRRAGNFVGKFVNLNVKTYFTFLNWMPQALKMTEAEIINHAGLDSAVFLRIYTLGLKIFVPITILALLILIPVNVSSGTLFFLRKELVMSDIDKLSISNVRPQSIRFFIHIALEYAFTIWICFMLYKEYDHVALMRLRFLASKRRHAEQFTVVVRNVPHVSGRSVLDTVEQFFQTNHPNTYLCQQAVYNANKFAKLVRKRDRLQNWLDYNQLKFERHPDKRPTRKNGFLGLWGERVDSIEHYKQQMKHLEKNMASERQTILKDSKSILPVSFVSFNSRWGAAVCAQTQQSKNPTLWLTNWAPEPRDIYWRNLAIPFMSLTVRKLIISVTVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPTVLMIMSKIEGYIAHSTLERRAAAKYYYFMLVNVFLGSIIAGTAFEQLDAFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLIIFHLKNMFLVKTERDIERAMDPGSVDFPETLPSLQLYFLLGIVYAVVTPILLPFVLVFFAFAYLVYRHQIVNVYNQQYESAAAFWPHVHSRIIASLLISQLLLLGLLSTKKAANSTPLLVILPVLTLSFHKYCKIRFEPAFRKYPLEEAMAKDITDRTAESDMNLKAYLADAYLHPIFRSFEEPLVEVKVEKNKPQTASDRISELSSPSPPHQVNHPSSPPHQVNHPSSPPHYVYHPSSPPQHVYDPSSPSHYAYHYENDIFHAPTPPHYAYHYENEP >Potri.014G096700.1.v4.1 pep chromosome:Pop_tri_v4:14:6333271:6337694:-1 gene:Potri.014G096700.v4.1 transcript:Potri.014G096700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096700.v4.1 MARKRGRRVAPSSDHHETGAKTSTRPDQFSESAASDRKLITIFIVFFVVIPAVSVLVYRIKYAPNKDSSYPQFQEDGLVKTDVDYQEILDENSKVLKNESHRHYTYPVLAYITPWNSKGYEMAKRFTNKFTILSPVWYDLKSQGTGLVLEGRHNADMGWISELRRNGNALVLPRVVLEAFPKELLKKKKLRDKAIDLIISECKEMEYDGIVLESWSRWAAYGILHDPEMRNKALQFIKQLGHAMHSVSSSRNGKQYLQLVYVIGPPYSEKLQPHDFGPEDLQSLSDDVDGFSFMTYDFSSPHNPGPNAPLKWIRFTLQILLGNSGARALANKIFLGINFFGNDFVISEGSEGGGAITGREYLSLLEKHKPELQWEKNSGEHFFLYVDDEHINHAVFYPSLMSISIRLEEARLQGVGISIWEIGQGLDYFFNLL >Potri.011G084100.1.v4.1 pep chromosome:Pop_tri_v4:11:9318871:9320664:-1 gene:Potri.011G084100.v4.1 transcript:Potri.011G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G084100.v4.1 MAASNTPYSGVRAKTQIPHHLSSSIVDLGSISFNKSKSNSKKTKIHSTLHSPSVLHFPTQPGTSEEDSSVTVNPKTNRYQPLWNFLQRAAATALDMAEAALVSHERQRPLPKTVDPRVQIAGNFSPVQEQKVRRSLPVTGTIPECIDGIYVRNGANPLLEPVAGHHFFDGDGMVHAMKIEKGSASYSCRFTETERLKQERGRGRPVFPKAIGELHGHSGIARLLLFYARGLCGLLDHTKGTGVANAGLVYFNDRLLAMSEDDLPYQVRITPCGDLETVGRYNFNSQLKHSMIAHPKIDPVSKELFALSYNVVQKPYLKYFRFFPDGKKSPDIDIPLPVPTMMHDFAITENFVVIPDQQVVFKLQEMLRGGSPVIYDKNKKSRFGVLNKNATDAKDIIWVESPGTFCFHLWNAWEEPESNEVVVIGSCMTPPDSIFNDYDESLKSVLSEIRLNLKTGKSTRRPIIQESSNHVNLEAGMVNRNRLGRQTNYAYLAIAEPWPKVSGFAKVDLFTGEVKKYMYGNKSYGGEPFFLPTNQNCETEDDGYILAFVHDEKTWKSELQIVNAKNLQLEASVRLPSRVPYGFHGTFIDRRGLVNQA >Potri.001G106300.1.v4.1 pep chromosome:Pop_tri_v4:1:8530379:8531621:-1 gene:Potri.001G106300.v4.1 transcript:Potri.001G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106300.v4.1 MAKVHAFPQVDSSSCSASTSCMSSKPETFTIWMKSLLMQTNGCTVFNKNGEIVYRVDNYDKKAGSNEVYLMDLKGNVLFTILRRKLFLFRQWKGYKSDGLKLKTQEPYFQVKRRSEIFEGDLSCQITVRSSDAQESCSYKLEALSGKLAFKITNSNGEIVAEAKRKRSSKGVLLGDDVLTLVVEPHTDHSFIMALVTVYGLMHHKL >Potri.003G174300.1.v4.1 pep chromosome:Pop_tri_v4:3:18194450:18195304:1 gene:Potri.003G174300.v4.1 transcript:Potri.003G174300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174300.v4.1 MLKLSSPYVFIAVLIAALHTAIYVAAIEPVPAGGEPVLELYMHDILGGSNPTARPITGLLGNIYSGQVPFARPVGFVPPKGAVAIPNANGALPTVNGLNGIPLGTGLAGTTFAGNPNGQNTNGQIQTQLGPDGLGLGFGTITVIDDTLTNSPELGSQQLGKAQGVYVASSADGSTQMMAFTAMFEGGEFGDSLNFYGIYRIGSAMSRLSVTGGTGKFKNAIGFAEVRGLIPPGQAVTDGAETLLRISVHLKY >Potri.006G154300.2.v4.1 pep chromosome:Pop_tri_v4:6:13761706:13763834:1 gene:Potri.006G154300.v4.1 transcript:Potri.006G154300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154300.v4.1 MPKDRRDRSISFDRCRASPYTCNSSCSRRSSPKLPSETEENLKEWEEARCSVCMEHPHNAVLLICSSHEKGCRPYMCDTSYRHSNCLDQFCKSFAETTSSTPQSQESSLATMNSSEVVSSASTVTVPPEDRFEEGSLPTETISCENKAHPKLVCPLCRGQIKDWLVMEPARSFMNAKSRSCACETCNFTGTYSDLRKHARLEHPLVRPSEADPERQRDWRRLERQRDFGDMLSTLQSSFGEERGDNILPIDDGGWLTVFFLIRVFRPGSSSRSSSWSGASRVAQLGFRRRAIRHWGENHEGETGSSSREDDNDSSDGGSGPRRRRERNRQRTTPDQL >Potri.006G154300.3.v4.1 pep chromosome:Pop_tri_v4:6:13760553:13764126:1 gene:Potri.006G154300.v4.1 transcript:Potri.006G154300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154300.v4.1 MPKDRRDRSISFDRCRASPYTCNSSCSRRSSPKLPSETEENLKEWEEARCSVCMEHPHNAVLLICSSHEKGCRPYMCDTSYRHSNCLDQFCKSFAETTSSTPQSQESSLATMNSSEVVSSASTVTVPPEDRFEEGSLPTETISCENKAHPKLVCPLCRGQIKDWLVMEPARSFMNAKSRSCACETCNFTGTYSDLRKHARLEHPLVRPSEADPERQRDWRRLERQRDFGDMLSTLQSSFGEERGDNILPIDDGGWLTVFFLIRVFRPGSSSRSSSWSGASRVAQLGFRRRAIRHWGENHEGETGSSSREDDNDSSDGGSGPRRRRERNRQRTTPDQL >Potri.006G154300.1.v4.1 pep chromosome:Pop_tri_v4:6:13760346:13764105:1 gene:Potri.006G154300.v4.1 transcript:Potri.006G154300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154300.v4.1 MPKDRRDRSISFDRCRASPYTCNSSCSRRSSPKLPSETEENLKEWEEARCSVCMEHPHNAVLLICSSHEKGCRPYMCDTSYRHSNCLDQFCKSFAETTSSTPQSQESSLATMNSSEVVSSASTVTVPPEDRFEEGSLPTETISCENKAHPKLVCPLCRGQIKDWLVMEPARSFMNAKSRSCACETCNFTGTYSDLRKHARLEHPLVRPSEADPERQRDWRRLERQRDFGDMLSTLQSSFGEERGDNILPIDDGGWLTVFFLIRVFRPGSSSRSSSWSGASRVAQLGFRRRAIRHWGENHEGETGSSSREDDNDSSDGGSGPRRRRERNRQRTTPDQL >Potri.015G014000.1.v4.1 pep chromosome:Pop_tri_v4:15:937083:940995:1 gene:Potri.015G014000.v4.1 transcript:Potri.015G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014000.v4.1 MYAETWLLFPYMQDFSQDIQQLEEYCKCQKTNASMSNLLQTTISEYDLGGEGDLFKAPEPIIEEPLVVIDPMTAAISMISCGEEVISSQGLKAADIESFQNEQLLNEVFYECKKDILEKEAMETPSLAELLDIKIPIVRTDENNIQENKLLPDAALLKSVSSGCLRSVEWLHGAAVKPSFLDFPGIDFENAYGMRRAYSEGDIKTLGNGNPSLIHSTLDRPLIINNCSAEDRREKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEECDVSKRQ >Potri.001G180750.1.v4.1 pep chromosome:Pop_tri_v4:1:15882535:15883153:-1 gene:Potri.001G180750.v4.1 transcript:Potri.001G180750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180750.v4.1 MARISFTRFFTLFLVLSAALVTPHVNGAKRCLDILYKSGCNLEDCGAKCYKKHNSIHGGQCIANPTMTDYSCVCAYNCDG >Potri.007G051800.2.v4.1 pep chromosome:Pop_tri_v4:7:5039930:5041821:1 gene:Potri.007G051800.v4.1 transcript:Potri.007G051800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051800.v4.1 MATSSLEEKWEHPDRSAQDEEDQDQEEEESLSLCDLPVNMVKGENNQSTRDQEAHKETETNQEDFDFGPFRGGDGSLSNKSDMCAADDIFFQGQILPLRLSVSSESGVNKFKNDTSLNPCHCLSRSESMDHNSLGGLTSFSSRSSSSRSHYSSSSTSTSSAIASTRMIKPIIQNQFLTHPSPKPQIRLSSASMGNAASSKPRNSSVWDFFRLGLVRTPEIEFEDLKVRNYVSRNSSSSSSNSSINKCSKINVSNGNSKSSRKIKNESRHNSNDSGKKMGKRSLLEKRGGLLSGCSCSVSTVKPVPLNNNIVVVKSSNSRSHSAGNGNNDKGERGSMELEEKLQELKMKKRMVQKQQEGKQAMSRHRTFEWIKDLSHATYLDHEEEAV >Potri.008G092400.5.v4.1 pep chromosome:Pop_tri_v4:8:5759316:5763365:1 gene:Potri.008G092400.v4.1 transcript:Potri.008G092400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092400.v4.1 MGSRWRATASLLLDHITTKASDFLSPNLPKPLNRSHPLIHTVRGFKFRPFSAIPSRVSVYSNEIESGSHDLALNYPLGPKEDEETGKIPVKAYFLCTSINLKSMQAENLSNVVPPTSRSTNYTVLRFFNFSSDISALGIGGYVSCRYMVVFQYGSAVLFNIEDHEVERYLEIVRRHTSGLLSEMRKDDYAIIEKPLLAEDMQGGLDYIVLKTLDTDSIRIIGSVLGQSIALDYFVSQVDGMVEEFSGINRAMEKTGTFTMDRKKLLQLVGKANSNLADVILKVGLFERSEIAWRDAKYAQIYEYLREEYEVTQRFGSLDYKLKFVEHNIHFLQEVIQNRRSDLLEWCIIFLLSIENIISIYEIVQG >Potri.006G003600.1.v4.1 pep chromosome:Pop_tri_v4:6:286086:286529:-1 gene:Potri.006G003600.v4.1 transcript:Potri.006G003600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003600.v4.1 MEALLSQFTLLSNQACQDKNFDPSSIDDLLKLFEIEAYKSWAAMELEQEKEVKQAEVAMQQAEDYLDTVMEDAMDEFRRFEVEMERMARNELESLEKTAESARNMGNLMEKAATIASTKYMEAALNSASASMKTAWKGLSAKKVHPS >Potri.016G105600.3.v4.1 pep chromosome:Pop_tri_v4:16:10888225:10888890:-1 gene:Potri.016G105600.v4.1 transcript:Potri.016G105600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105600.v4.1 MRELQVAIEEENYAQAAKIRDGLKVLQEDSKASVLAANARFYNAFRKGDLAAMQSLWAKADNVCCVHPGASGIQGYDDVMESWELVWMNYDFPLEIELKNVRVHFRGDVGYVTCVEFVRTKGSSWGAQFVTNVFEKIDGQWLISIHHASPVDL >Potri.016G105600.1.v4.1 pep chromosome:Pop_tri_v4:16:10887958:10889837:-1 gene:Potri.016G105600.v4.1 transcript:Potri.016G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105600.v4.1 MALHGSTFCYKVNFIATGDFKRLQCSWTDSFKRTHNISSPSLKKNTFLAGRLHFHKKLHIGGGTQSYAQPFRLFSLRACQVRSEDSEEVLSGESIVLDEQTLMRELQVAIEEENYAQAAKIRDGLKVLQEDSKASVLAANARFYNAFRKGDLAAMQSLWAKADNVCCVHPGASGIQGYDDVMESWELVWMNYDFPLEIELKNVRVHFRGDVGYVTCVEFVRTKGSSWGAQFVTNVFEKIDGQWLISIHHASPVDL >Potri.011G055236.1.v4.1 pep chromosome:Pop_tri_v4:11:2373653:2373883:1 gene:Potri.011G055236.v4.1 transcript:Potri.011G055236.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055236.v4.1 MMEKYVIGEVDVTTVPTKRLYVAPGLGGTNPKDDKPGFLIKILQLLVPLLILGLGSCRPNLHQKRIEAMIYQYLSF >Potri.001G046700.1.v4.1 pep chromosome:Pop_tri_v4:1:3453539:3454884:-1 gene:Potri.001G046700.v4.1 transcript:Potri.001G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046700.v4.1 MSLLLKGDSILIREVWNDNLEEEFAHIREIVDDFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDMLKLIQLGLTFSDEQGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNENGIDAVRFGELLMSSGIVLNDNVYWVTFHSGYDFGYLLKLLTCQNLPDTQAGFFNLINMYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYAGVLYGLGVENGQNTH >Potri.001G046700.2.v4.1 pep chromosome:Pop_tri_v4:1:3453673:3454875:-1 gene:Potri.001G046700.v4.1 transcript:Potri.001G046700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046700.v4.1 MSLLLKGDSILIREVWNDNLEEEFAHIREIVDDFPYIAMDTEFPGIVLRPVGNFKNSNDYHYQTLKDNVDMLKLIQLGLTFSDEQGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNENGIDAVRFGELLMSSGIVLNDNVYWVTFHSGYDFGYLLKLLTCQNLPDTQAGFFNLINMYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYAGVLYGLGVENGQNTH >Potri.001G046700.3.v4.1 pep chromosome:Pop_tri_v4:1:3453521:3454294:-1 gene:Potri.001G046700.v4.1 transcript:Potri.001G046700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046700.v4.1 MLKLIQLGLTFSDEQGNLPTCGTDKYCIWQFNFREFNVNEDVFANDSIELLRQSGIDFKKNNENGIDAVRFGELLMSSGIVLNDNVYWVTFHSGYDFGYLLKLLTCQNLPDTQAGFFNLINMYFPTLYDIKHLMKFCNSLHGGLNKLAELLEVERVGICHQAGSDSLLTACTFRKLKENFFSGSLEKYAGVLYGLGVENGQNTH >Potri.001G390600.1.v4.1 pep chromosome:Pop_tri_v4:1:41300913:41303413:-1 gene:Potri.001G390600.v4.1 transcript:Potri.001G390600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390600.v4.1 MLLHQDLSFSKTLNPNFSPFPPRPKTLSLTLKPQFLCTTLSFPSQTPQLWRQDASLCRDTRSFAGRSKKEPGAPSPGRTGGYRRPKRKARIRRVNIEESPYFRLKNSNKNHPDNLTEDQLNQIGLGYDYMARFMDKDDPNLKHPYDWYRYGEYGPYSWRGVVVGEPVLGGITDECVTLYSQVKDDAEWDKIEQHEMAVDFGERLKQMNKEAGFRHFWVFVRHPKWRLNEMPWEQWTLVSEVVVEAGKQRLDKWNLMGRLGNQARKLITRCAAWFRPDIIYVKRPVFQCRFEPQDDFFRGLMPFLDPKTEKDFLFELENEDGSVQLCTYYEGLCKIVKVSQKAFVDDVVNAYEKMSDERKSECLGFLMRNHPVQLLHPYTKEWKAKLEEIELGCDAPDDDEEYGKNETEYTEWIEDDGDGDGDDQDDVVLDMEGGGVDQDDDDESISEEEDDDEEEEEENEQYWEKKFKKALSSSEEMENLVKWSVDRTDEIYKKQSKAMMQGEEGKGKSEADGDETALRGVRAKVSPKEWKIVGIGRWGRRIKKAKIPPGLFLRAAVRPFRYRNLVKEIVLTRHAILDGDIGGKD >Potri.006G218800.2.v4.1 pep chromosome:Pop_tri_v4:6:22402647:22408408:1 gene:Potri.006G218800.v4.1 transcript:Potri.006G218800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218800.v4.1 MRVKFCNHLNSLVGLTVLFGISHCLLVPEYIKYLFAIDATTLWNLNTSYSFGGRKFEHALKHGSKIGLFVVTLGWFVDSVRRNVRLSESLYSVKSVGENGARLDEMNRLVGFTGAENVCLPVGINDAKQFNKSEGLHQLSSGRSPIKSIGLNLSGNSMYVDSDISDDLRSRVYEAATREGAKVLDRWFVGCSASYVVCEGASIQKYLGHSSNLVTPPWILKTVKEKHMQRLVHMSADLARHVGVMLENFQNHITEEEMDGRNVPQDPQSLRGKASHEERLQIVNIAKNGVRNRRSHRMQTCQTPIRPITPSSLLDSICWSISEPTSTASIYTDSFSSEDVSEQHTSVFFDAKGDIKDSEASFANLTRPLTESEKTELIFKNHFLTILFPVDRFSEMGPSSRTFFSENGFTCLEVLDHIYAFYQEDMSGQEIGAAIHTDSRHADRLRSAYSSKETSVHGYVIFKRIDFLGSRKSFEMLKRVSGDNNSNVYELLIRA >Potri.006G218800.1.v4.1 pep chromosome:Pop_tri_v4:6:22402794:22408898:1 gene:Potri.006G218800.v4.1 transcript:Potri.006G218800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218800.v4.1 MGGAGDGGSGRVQVVDSKGCSRLFVGMSASLPSFRGLQSFEPMSPVTSSIGSEPVVVRSHGPFAGLVICVTGLSKEARKQVMEATERLGGQYSPNLHPQCTHLVVQSFGGRKFEHALKHGSKIGLFVVTLGWFVDSVRRNVRLSESLYSVKSVGENGARLDEMNRLVGFTGAENVCLPVGINDAKQFNKSEGLHQLSSGRSPIKSIGLNLSGNSMYVDSDISDDLRSRVYEAATREGAKVLDRWFVGCSASYVVCEGASIQKYLGHSSNLVTPPWILKTVKEKHMQRLVHMSADLARHVGVMLENFQNHITEEEMDGRNVPQDPQSLRGKASHEERLQIVNIAKNGVRNRRSHRMQTCQTPIRPITPSSLLDSICWSISEPTSTASIYTDSFSSEDVSEQHTSVFFDAKGDIKDSEASFANLTRPLTESEKTELIFKNHFLTILFPVDRFSEMGPSSRTFFSENGFTCLEVLDHIYAFYQEDMSGQEIGAAIHTDSRHADRLRSAYSSKETSVHGYVIFKRIDFLGSRKSFEMLKRVSGDNNSNVYELLIRA >Potri.008G182733.1.v4.1 pep chromosome:Pop_tri_v4:8:12603295:12606111:1 gene:Potri.008G182733.v4.1 transcript:Potri.008G182733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182733.v4.1 MGNQQVWIVQGMQGLLLVVMGSVWANPKGEEERERVTRGKLCCSGTIHSAQILTVKKSNFFFNSDLFKKLVFNII >Potri.002G030800.1.v4.1 pep chromosome:Pop_tri_v4:2:2036727:2038771:-1 gene:Potri.002G030800.v4.1 transcript:Potri.002G030800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030800.v4.1 MTSSSASSRKALSKIACNRLQKELTEWQLSPPSSFKHKVTDNLQRWVIEANGAAGTLYANETYQLQVDFPEHYPMEAPQVIFAPPAPLHPHIYSNGHICLDILYDSWSPAMTVSSICISILSMLSSATVKQRPADNDRYVKNCRSGRSPKETRWWFHDDKV >Potri.004G150700.1.v4.1 pep chromosome:Pop_tri_v4:4:17226609:17235867:-1 gene:Potri.004G150700.v4.1 transcript:Potri.004G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150700.v4.1 MDMETDLKIYQLSHELRGHEDDARGICVCGNAGIATSSRDKTVRYWVPDPTDKRKFELSKILRGHSSFVGPLAWIPPNEVFSEGAIVSGGMDTMVFVWNLSNGEKVHSLSGHQLQVTGVVLDDEDIVSSSVDCTLRKWRKGKVVDSWEAHKSAIQSIIKLPSGELVTGSTDTTLKLWKGKTCLHTFAGHSDTVRGLAKMHGVGILSASHDGSIRLWALTGQVLMEMVGHASIVYSVDSHVSGLIVSGSEDCSAKIWKDGVCVQSLEHPGCVWDVKFLENGDIVTACSDGVVRIWTSHQERIADPVDLDSYVSQLSQYKLSRKRVGGLKLEDLPGLDALQIPGTSDGQTKIIREGDNGVAYAWNLREQKWDKIGEVVDGPDDGMKRPVLDGIEYDYVFDVDIGDGEPIRKLPYNLSDNPYSTADKWLLKENLPLAYRQQIVEFILQNSGQGGVALDSSFRDPYTGSNAYIPGGSSSMSEVPAKPTFKHIPKKGMLVFDVAQFEGILKKLTEFNHSLLSDSDKKNLSLSELEISRLSAVVKILKDTSHYHTSKFADADIALLLKLLKSWPLAMIFPVIDILRMLVLHPDGATVLLKHIEDENDTLIEMIKRVATNPPLPPNLLTITRAVTNLFKNSHYHYWLQKHRSEILDAFSSCYSSPNKNLQLSYATMILNYAVLLIEKKDHEGQSQVLSAALEIVEEENIEVDSKFRALVAIGSLMLDGLVKRIALDFDVENVAKTAKASKEAKIAEVGADIELLTKQI >Potri.007G100000.1.v4.1 pep chromosome:Pop_tri_v4:7:12462898:12468436:1 gene:Potri.007G100000.v4.1 transcript:Potri.007G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100000.v4.1 MKPLAPLILSLLFLTSQTVLSFKREEFRNCHQTPFCKRARSRSPGACTLTPHSISISNGDLTAKLLSKTDEQIRPLILSLSVYQDGILRLKIDEDYNHPDPPVPKRRFQVPDVVLPEFESNKLWLQRLSTETVDGESSPSTVVYLSDGYDAVLRHDPFEIYIRDKKSGNQKLISLNSHQLFDFEQLRVKQEKQDSDNNEDSGSDDNWEERFRSHTDTRPYGPQSISFDVSFYNAEFVSGIPEHATSLALKPTRGPGVEKDSEPYRLFNLDVFEYLNESPFGLYGSIPLMISHGKEGRSAGFFWLNAAEMQIDVLGDGWDAESGIELVKQKSIDTFWMSEAGIVDAFFFVGPEPKDVVKQYTSVTGRPSMPQLFSIAYHQCRWNYRDEEDVENVDAKFDEHDIPYDVLWLDIEHTDGKRYFTWDPVLFPNPEEMQKKLAAKGRHMVTIVDPHIKRDDSFRLHKEATEKGYYVKDASGKDFDGWCWPGSSSYLDMVNPEIRSWWGDKFSYENYVGSTPSLYIWNDMNEPSVFNGPEVSMPRDALHHEGIEHRELHNAYGYYFHMATSNGLLKRGGGNDRPFVLSRAFFPGSQRYGSVWTGDNTADWDHLRVSVPMILTLGLSGISFSGADVGGFFGNPEPELLVRWYQLGAFYPFFRAHAHQDTKRREPWLFGEKNTRLIREAIRVRYMLLPYFYTLFREANTTGLPVMRPLWMEFPSDEITFSNDEAFMVGSSLLVQGIYTERAKYTSVYLPGKELWYDIRTGAAYKGGKTHKLEAKEESVPAFQRAGTIIPRKDRLRRSSTQMVNDPYTLVIALNSSQAAEGELYIDDGKSYEFLQGAYIHRRFVFANGKLTSINLAPSSSSKSQFSSKSILERIILLGYSPGPKNALIEPANQEVEVELGPLMLEGGRGSSVVTIRKPAVQVSDDWTIKIL >Potri.014G016500.1.v4.1 pep chromosome:Pop_tri_v4:14:982565:983972:-1 gene:Potri.014G016500.v4.1 transcript:Potri.014G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016500.v4.1 MSSLILDALNVRVQGEGDKVLVFAHGVGTDQSAWQRILPFFTPYYRVILFDLVCAGSVNPDHFNFRRCTNLEAYVDDLLNILDTLGVDRCFYVGHSVSAMIGILASIRRPELFIKMILIGASPRFLNDEDYHGGFEQEEIESVFKAMEANYEAWVNGFAPLAVGADVPLAVREFTRTLFNMRPDITLFVSRTVFNSDLRGILGLVKVPCCIIQTSKDVSVPASVAEYLKNHLGGENTVETLRTEGHLPHLSAPAMLAPVIKRALSR >Potri.005G260100.1.v4.1 pep chromosome:Pop_tri_v4:5:24935433:24944239:-1 gene:Potri.005G260100.v4.1 transcript:Potri.005G260100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G260100.v4.1 MVSSTLLLEQEDDFPFSSRKRLKISDFQHQEQQDAYISIGNCDDVTFMPMNNAEECSFNGSNSLPEMSCNSNGNSDGIPELSITGRSSYQGNSCSGYLPPAFVSGWMYLNENGQMCGPYIHQQLYEGLSTGFLPEDLPVYPIVNGALINPVPLKYFKQFPDHVSTGFTYLSSGTSGTTMPTNYSTDLVAYRQCVQYATPVSTYPVAESVTDSCVQHHTYGSNQPTPNPEAADYATPVSLVSGEDSCWLFKDDEGRRHGPHSLMQLYSWYWYGYLKDSLMIYHAQNKFRPLPLLSIMNAWRLDKPESFSKTDANTETGSSPSFMSVISEEVSCQLHSGILKAARRVVLDEIISNVISEFANTKRTEIYHKLDNQAAISFSANGRMSQFASEMDYSIAKCEASVCNYNPDQACVDELSMQLLRRTKSVGNIDDFWGSYAVVCRFLSDYCMEVLWNAVFYDTIAEYTTYWRKSKLWFSHPYLCKKIEELPSKPYFSRQESPASSVDCPPGFELLKTESDRTAPSSIGSSCACMEEKPCKQNILLFKECPDDDLKCFLESVANELHKSTKVSLAEYVEILVEEEMNKLVNFSEEKRLNEETVNFSIPFSQASEYGSIEMKYERMIDSNQISGKINFSGDSQSSLQAEKSFFPFQSGNAISNVLAIAFERTHASVDNAIDVENIDEPPPPGFKDSAIFPPTISKFQPSKSLESTSKNGAYVAIAMCKQKLHDDVLSVWKSLFVNDVLHRFPGLCCTSEKHTEPDSNEEGVFKFTEGSRKFHSPDSSVLSLVSSKYTYHRKKKLAGKKLGSSSHSTTTDAGLQKRPVEKSRKQNFLRNVSENVVVQPVGTPKKKERIKGQAESSVNGRPSKATFAELPVNARSSKATVRSTVKRVQSLPKNAGHRKVMKIAQAVNDDKVAEEAIKTSRERAGKVFDCNGCDVEIENAETTECSKKTLNTNKVSKLKRKSTVDGGSVSHPMKFLKVENSAIKQAASRQVSVRKTKSSKSRTLNPCPISDGCARSSINGWEWHAWSINASPAERARVRGVPHVHAKYSFPEAYTSQLSNGKALSARTNRVKLRNLVAAAEGAELLKATQLKARKKHLRFQRSKIHDWGLVALEPIEAEDFVIEYVGELIRPQISDIRERLYEKMGIGSSYLFRLDDGYVVDATKRGGIARFINHSCEPNCYTKVISVEGQKKIFIYAKRHIAAGEEITYNYKFPLEDKKIPCNCGSRKCRGSLN >Potri.018G131900.1.v4.1 pep chromosome:Pop_tri_v4:18:14185180:14185750:1 gene:Potri.018G131900.v4.1 transcript:Potri.018G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131900.v4.1 MDWRHKMRKAWFAVSSRFKFRKSGSGGGAACRSACSLLKLQDDVQMCGYRDVEVMWNMFIESNPEQMAAALVNSTSKPTSTQTQMPSSRSFLWSHNKTTTTTTTTTSFTSFNQVIITTEEKNAETIGG >Potri.015G113466.3.v4.1 pep chromosome:Pop_tri_v4:15:12952217:12952710:1 gene:Potri.015G113466.v4.1 transcript:Potri.015G113466.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113466.v4.1 MKIEIFLMAFDAHKVAFARLFFPTEAKLAMDIGHTATTPEFAGLAASKGSDGNLRGADLDETPIMQNIRLRSRMEALTKTEN >Potri.015G113466.2.v4.1 pep chromosome:Pop_tri_v4:15:12951401:12953211:1 gene:Potri.015G113466.v4.1 transcript:Potri.015G113466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113466.v4.1 MRRNTMAGSASITSHTMDDDLHMKLLYLKNRVAFARLFFPTEAKLAMDIGHTATTPEFAGLAASKGSDGNLRGADLDETPIMQNIRLRSRMEALTKTGTWKL >Potri.015G113466.1.v4.1 pep chromosome:Pop_tri_v4:15:12951401:12953211:1 gene:Potri.015G113466.v4.1 transcript:Potri.015G113466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113466.v4.1 MRRNTMAGSASITSHTMDDDLHMKLLYLKNRVAFARLFFPTEAKLAMDIGHTATTPEFAGLAASKGSDGNLRGADLDETPIMQNIRLRSRMEALTKTEN >Potri.007G004100.1.v4.1 pep chromosome:Pop_tri_v4:7:292432:299951:1 gene:Potri.007G004100.v4.1 transcript:Potri.007G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004100.v4.1 MMTPEELERKKKKEEKAKEKELKKLKAAEKAAKFKQQQQQQQQQQSDSSSASKKKKNSSRRDASAADDNPLDYEDPPTPFGQKKLLSSQMAKQYNPTVVEKSWYAWWEKSGYFVADANSSKPPFAIVLPPPNVTGALHIGHALTAAIEDTIIRFKRMSGFNALWVPGVDHAGIATQVVVEKKLMRDHHLTRHDLGREKFVSEVWKWKDEYGGTILKQLRRLGASLDWSRECFTMDDKRSRAVTEEFNRLYKEGLIYRDIRLINWDCTLRTAISDVEVDYVDIKERKLQTVPGNKEPVEFGVLTSFAYPLEGDLGEIVVATTRLETMLGDTAVAIHPDDPRYSHLHGKFATHPFNGRKLPIICDAILVDPNFGTGAVKITPAHDPNDFEVGKRHDLEFINIFTDDGRINSLGSEFAGMPRFEAREAVKEALQKKGLYRGAKNNEMRLGFSSRSNDVVEPMIKPQWFVNCQSMAKQALEVAMDGEIPRLEFIPKQYLAEWKRWLENIRDWCISRQLWWGHRIPAWYVTLDDDEMKEIGSYHDHWVVARNEEDALAEASQKFSGKKFQMIQDPDVLDTWFSSGLFPLSVLGWPDDTDDLRAFYPTSVLETGHDILFFWVARMVMLGIKLGGDVPFRKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGVSLEGLHKRLEEGNLDPKELDVAKAGQKQDFPNGIAECGADALRFALVCYTAQSDKINLDILRVVGYRQWCNKLWNAVRFAMSKLDTDYTPPLTLPLEAMPSSCKWILSVLNKAIFKTVSAMNSYEFSDAASTVYSWWQYQFCDVFIEAIKPYFSGDGPSFAAERSSAQDTLWVCLDNGLRLLHPLMPFVTEELWQRLPPARGHTRKESIMISEYPKVEDAWKNEEVEYEMDLVESTVKCLRSLRAKVLGKQKNERLPAFAFCLNEDISKVITSYQLEILTLATLSTMKVLLSEKDAPPAGCAFENVNENLAVYLQAEGKVDAEAELEKMRNKMDEIQKQQEKLEKMINASGYKEKVPSHIQDENVEKLTKLFQEMEFFKKESERLEAEKNTKL >Potri.007G004100.4.v4.1 pep chromosome:Pop_tri_v4:7:292513:300055:1 gene:Potri.007G004100.v4.1 transcript:Potri.007G004100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004100.v4.1 MMTPEELERKKKKEEKAKEKELKKLKAAEKAAKFKQQQQQQQQQQSDSSSASKKKKNSSRRDASAADDNPLDYEDPPTPFGQKKLLSSQMAKQYNPTVVEKSWYAWWEKSGYFVADANSSKPPFAIVLPPPNVTGALHIGHALTAAIEDTIIRFKRMSGFNALWVPGVDHAGIATQVVVEKKLMRDHHLTRHDLGREKFVSEVWKWKDEYGGTILKQLRRLGASLDWSRECFTMDDKRSRAVTEEFNRLYKEGLIYRDIRLINWDCTLRTAISDVEVDYVDIKERKLQTVPGNKEPVEFGVLTSFAYPLEGDLGEIVVATTRLETMLGDTAVAIHPDDPRYSHLHGKFATHPFNGRKLPIICDAILVDPNFGTGAVKITPAHDPNDFEVGKRHDLEFINIFTDDGRINSLGSEFAGMPRFEAREAVKEALQKKGLYRGAKNNEMRLGFSSRSNDVVEPMIKPQWFVNCQSMAKQALEVAMDGEIPRLEFIPKQYLAEWKRWLENIRDWCISRQLWWGHRIPAWYVTLDDDEMKEIGSYHDHWVVARNEEDALAEASQKFSGKKFQMIQDPDVLDTWFSSGLFPLSVLGWPDDTDDLRAFYPTSVLETGHDILFFWVARMVMLGIKLGGDVPFRKVYLHPMIRDAHGRKMSKSLGNVVDPLEVINGVSLEGLHKRLEEGNLDPKELDVAKAGQKQDFPNGIAECGADALRFALVCYTAQSDKINLDILRVVGYRQWCNKLWNAVRFAMSKLDTDYTPPLTLPLEAMPSSCKWILSVLNKAIFKTVSAMNSYEFSDAASTVYSWWQYQFCDVFIEAIKPYFSGDGPSFAAERSSAQDTLWVCLDNGLRLLHPLMPFVTEELWQRLPPARGHTRKESIMISEYPKVEDAWKNEEVEYEMDLVESTVKCLRSLRAKVLGKQKNERLPAFAFCLNEDISKVITSYQLEILTLATLSTMKVLLSEKDAPPAGCAFENVNENLAVYLQAEGKVDAEAELEKMRNKMDEIQKQQEKLEKMINASGYKEKVPSHIQDENVEKLTKLFQEMEFFKKESERLEAEKNTKL >Potri.008G136500.3.v4.1 pep chromosome:Pop_tri_v4:8:9163168:9167737:-1 gene:Potri.008G136500.v4.1 transcript:Potri.008G136500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136500.v4.1 MVSRSYSNLLELASGESPSFERMNRRIPRIMTVAGIMSDIDDDPSESVCSDPSSSSVQMCRIIIVANQLPIRAQRKSDGSKSWIFNWDENSLLLQLKDGLGDDEIEVIYVGCLKEEVHLSEQEEVSQTLLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRCNKVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYMGIEYCGRTVSIKILPVGIHMGQLQSVLSLPETEAKVKELIKQFCDQDRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWQGNIVLVQIANPARGKGKDVKEVQAETHAVVKRINETFGKPGYDPIVLIDAPLKFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNDRLNKLLGQEPSTPKKSMLVISEFIGCSPSLSGAIRVNPWNIDAVADAMDCALEMADPEKQLRHEKHYRYVSTHDVGYWARSFFQDLERTCRNHSRRRCWGIGFGLSFRVVALDPNFKKLSMERIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSIGIINSLCRDKNNMVFLVSARSRKKVAEWFSQCVRLGLAAEHGYFLRLMRDAEWETCVPVADTTWKQIAEPVMQLYTETTDGSTVEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNMVEVKPQGVSKGLVAKRLLSIMQENEISPDFVLCIGDDRSDDDMFEVITTSMTATQNAEVFACTVGQKPSKAKYYLDDTAEIVRLMQGLASVSEQTLTE >Potri.008G136500.2.v4.1 pep chromosome:Pop_tri_v4:8:9162967:9167741:-1 gene:Potri.008G136500.v4.1 transcript:Potri.008G136500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136500.v4.1 MVSRSYSNLLELASGESPSFERMNRRIPRIMTVAGIMSDIDDDPSESVCSDPSSSSVQMCRIIIVANQLPIRAQRKSDGSKSWIFNWDENSLLLQLKDGLGDDEIEVIYVGCLKEEVHLSEQEEVSQTLLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRCNKVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYMGIEYCGRTVSIKILPVGIHMGQLQSVLSLPETEAKVKELIKQFCDQDRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWQGNIVLVQIANPARGKGKDVKEVQAETHAVVKRINETFGKPGYDPIVLIDAPLKFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNDRLNKLLGQEPSTPKKSMLVISEFIGCSPSLSGAIRVNPWNIDAVADAMDCALEMADPEKQLRHEKHYRYVSTHDVGYWARSFFQDLERTCRNHSRRRCWGIGFGLSFRVVALDPNFKKLSMERIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSIGIINSLCRDKNNMVFLVSARSRKKVAEWFSQCVRLGLAAEHGYFLRLMRDAEWETCVPVADTTWKQIAEPVMQLYTETTDGSTVEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNMVEVKPQGVSKGLVAKRLLSIMQENEISPDFVLCIGDDRSDDDMFEVITTSMTATQNAEVFACTVGQKPSKAKYYLDDTAEIVRLMQGLASVSEQTLTE >Potri.008G136500.1.v4.1 pep chromosome:Pop_tri_v4:8:9163277:9167872:-1 gene:Potri.008G136500.v4.1 transcript:Potri.008G136500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136500.v4.1 MVSRSYSNLLELASGESPSFERMNRRIPRIMTVAGIMSDIDDDPSESVCSDPSSSSVQMCRIIIVANQLPIRAQRKSDGSKSWIFNWDENSLLLQLKDGLGDDEIEVIYVGCLKEEVHLSEQEEVSQTLLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRCNKVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYMGIEYCGRTVSIKILPVGIHMGQLQSVLSLPETEAKVKELIKQFCDQDRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWQGNIVLVQIANPARGKGKDVKEVQAETHAVVKRINETFGKPGYDPIVLIDAPLKFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNDRLNKLLGQEPSTPKKSMLVISEFIGCSPSLSGAIRVNPWNIDAVADAMDCALEMADPEKQLRHEKHYRYVSTHDVGYWARSFFQDLERTCRNHSRRRCWGIGFGLSFRVVALDPNFKKLSMERIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSIGIINSLCRDKNNMVFLVSARSRKKVAEWFSQCVRLGLAAEHGYFLRLMRDAEWETCVPVADTTWKQIAEPVMQLYTETTDGSTVEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNMVEVKPQGVSKGLVAKRLLSIMQENEISPDFVLCIGDDRSDDDMFEVITTSMTATQNAEVFACTVGQKPSKAKYYLDDTAEIVRLMQGLASVSEQTLTE >Potri.008G136500.4.v4.1 pep chromosome:Pop_tri_v4:8:9161786:9167912:-1 gene:Potri.008G136500.v4.1 transcript:Potri.008G136500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136500.v4.1 MVSRSYSNLLELASGESPSFERMNRRIPRIMTVAGIMSDIDDDPSESVCSDPSSSSVQMCRIIIVANQLPIRAQRKSDGSKSWIFNWDENSLLLQLKDGLGDDEIEVIYVGCLKEEVHLSEQEEVSQTLLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMVLPTFLRKRCNKVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYMGIEYCGRTVSIKILPVGIHMGQLQSVLSLPETEAKVKELIKQFCDQDRIMLLGVDDMDIFKGISLKLLAMEQLLVQHPEWQGNIVLVQIANPARGKGKDVKEVQAETHAVVKRINETFGKPGYDPIVLIDAPLKFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNDRLNKLLGQEPSTPKKSMLVISEFIGCSPSLSGAIRVNPWNIDAVADAMDCALEMADPEKQLRHEKHYRYVSTHDVGYWARSFFQDLERTCRNHSRRRCWGIGFGLSFRVVALDPNFKKLSMERIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSIGIINSLCRDKNNMVFLVSARSRKKVAEWFSQCVRLGLAAEHGYFLRLMRDAEWETCVPVADTTWKQIAEPVMQLYTETTDGSTVEDKETALVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNMVEVKPQVCIIYPFVMLSSLFHFVA >Potri.003G168200.2.v4.1 pep chromosome:Pop_tri_v4:3:17714220:17715675:-1 gene:Potri.003G168200.v4.1 transcript:Potri.003G168200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168200.v4.1 MDTISKAKKLQAMHSLKTQFYYNLMFRSFLALTCSLLFSFPLWFPSLCSSMKLFLSLSIPNKFSSFFSPKCLFILVNVIVVFLVGESRFGGSHSSPVGEIYDEYVERSWSLRGVSTHQDKAEESKLEVNWVDQETNVQDKEVVLKEEVDELTNKGDKEVAVKEEDGREANELTNKGKKDAHEEVKVKEDRHEEKEISEEEEEERVDCLQRN >Potri.004G124600.10.v4.1 pep chromosome:Pop_tri_v4:4:12076692:12080029:1 gene:Potri.004G124600.v4.1 transcript:Potri.004G124600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124600.v4.1 MAGVQDQLEIKFRLADGSDIGPKTFPAATSVATLKENILAHWPKEKENGPRTLKDVKLISAGKILENNRTVGECQSPLCDIPGGVTTMHVVVHPSSVEKEKKAANQPRQSKCVCVIL >Potri.017G071200.2.v4.1 pep chromosome:Pop_tri_v4:17:7734814:7739063:1 gene:Potri.017G071200.v4.1 transcript:Potri.017G071200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071200.v4.1 MDSETLSTLNNNIKNHLPVQSNGLISAPDPPQQPFVIGVAGGTASGKTTVCNMIISQLHDQRVVLVNQDSFYHSLSDYALAQVYDYNFDHPDAFNTELLLSCMEKLKNGRAVDIPNYDFQTHKRIGPGWKVNPSDIIILEGILVLHDPRVRDLMNMKIFVDTDSDLRLARRIQRDTVERGRNIQNVLDQYARSVKPSFEEFILPSKKYADVIIPRGGDNDVAIDLIIQHIRAKLGQHHLCKIYPNVSVIHSTFQMRGMHTLVRDVKTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQITTPTGSVYPGVVFCKRLCGVSVIRSGESMENALRACCKGIKIVNL >Potri.017G071200.1.v4.1 pep chromosome:Pop_tri_v4:17:7734814:7739063:1 gene:Potri.017G071200.v4.1 transcript:Potri.017G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071200.v4.1 MDSETLSTLNNNIKNHLPVQSNGLISAPDPPQQPFVIGVAGGTASGKTTVCNMIISQLHDQRVVLVNQDSFYHSLSDYALAQVYDYNFDHPDAFNTELLLSCMEKLKNGRAVDIPNYDFQTHKRIGPGWKVNPSDIIILEGILVLHDPRVRDLMNMKIFVDTDSDLRLARRIQRDTVERGRNIQNVLDQYARSVKPSFEEFILPSKKYADVIIPRGGDNDVAIDLIIQHIRAKLGQHHLCKIYPNVSVIHSTFQMRGMHTLVRDVKTTKHDFVFYADRLIRLVVEHGLGHLPFTEKQITTPTGSVYPGVVFCKRLCGVSVIRSGESMENALRACCKGIKIGKILIHGEGNNGRQLIYEKLPADISSRHVLLLDPVLASGNSAVKAINLLLSKGVVESNIIFLNLIAAPQGIHVVCKKFPKLKIVTSEIDVTLDEDLCVIPGMGEFGDRYFGTNN >Potri.002G162300.1.v4.1 pep chromosome:Pop_tri_v4:2:12418496:12420239:-1 gene:Potri.002G162300.v4.1 transcript:Potri.002G162300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162300.v4.1 MASDLHIVIFPWSAFGHILPFFHFSKALAEAGVHVSFVSTPRNIQRLPAISPTLAPLINLVELPFPALDVKYGLPEGAEATADIPAEKIQYLKIAYDLLQHPFKQFVAEKSPNWIIVDFCSHWAVDIAKEYGIPLIYLSIFSGVMGAFMGHPGYFVGDGQKRYWGSPESLTSPPEWITFPSSVAFRSYEAKNMYPGIYGENASGIRDAERVAKTVSGCQAIAVRSCIEFEGEYMDVYQKIMSKQVIPIGLLPPEKPEEREITDGTWNTIFEWLDNQEHESVVFVGFGSECKLTKDEVYEIAYGLELSKLPFLWALRKPNWAATDLDVLPPEFNNKTSEKGIVSIGWAPQLELLSHPSIGGSLFHSGWGSVIETLQYGHCLIVLPFIADQGLNARLLVEKGLAVEVDRKEDGSFTRHDIAKSLRLAMVSEEGSQLKTRAKDAATIFQNRKLHQDYINRFVKYLKDGVS >Potri.016G122200.1.v4.1 pep chromosome:Pop_tri_v4:16:12683548:12685162:1 gene:Potri.016G122200.v4.1 transcript:Potri.016G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G122200.v4.1 MGSSPLLSFLVLTSLVVLFVTPSHSSEYDVVQEPAPPVTSRKISRNSEKVTMSLYYESLCPYCSSFIAGPLAQVLETDLMTILNLRLVPWGNAILDSNNTIECQHGEDECYLNIIQACAINLWPDLKKHFDFIKCIEKQYKAPDRYGAEESWEVCSGILRLSTQSIKKCYDSGHGKELVLQNGKETDHLRPPHKYVPWVVVDDTPLLDDYGSFIHYVCKAYKGKSLPKTCSSHPNTSINKDTSLQSVCHASEARSGDSSGKHQMKMEPLA >Potri.011G131400.1.v4.1 pep chromosome:Pop_tri_v4:11:16530765:16531906:1 gene:Potri.011G131400.v4.1 transcript:Potri.011G131400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131400.v4.1 MAGRGKTLGSGTAKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKTGGSSKASADDDS >Potri.012G117001.1.v4.1 pep chromosome:Pop_tri_v4:12:13516887:13518742:-1 gene:Potri.012G117001.v4.1 transcript:Potri.012G117001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117001.v4.1 MAEDCFRFQLITGDGVLDMQGLENFTRTTNLSQRGVSYAVVAIMGPQSGGKSTLLNKLFQTNFRMMDAEEGRSQTTQGIWIGKGIGIEPFTIAMDVEGSDSRERGQDGATFEKQSALFALAIADIVMINMWCHDIGREHAANRPLLKTVFEAMTRLFRARKTTRSLSSVTTPRLHLNV >Potri.001G074100.2.v4.1 pep chromosome:Pop_tri_v4:1:5584364:5585843:1 gene:Potri.001G074100.v4.1 transcript:Potri.001G074100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074100.v4.1 MSNNSVRVQEDQKAKTNKRYMFLLVINYLFLFAGSLSSSLLSKFYFNHHGSSRWVSTWVQSAGFPLLIFPIYLPFYVLKCTDRRPFSHFTPRILILSILIGLMLGLNNLLFSWGNSYLPVSTSSLLLSSQLVFNLILSVIIVKQKITFQNLNCVVLLTLSSVLLALGSTHDKPQGLTRAKYFVGFFSTIGAGLLFALYLPVMEKIYKWIYCYEMVMEMQLVMEIAATALATAGMASDGGFSEMKRESQVKFDKGPEIYWVTVFANVVTWQLCFMGTAGMVFLTSSLTGGICMTALLAMNVLGGVLVYGDEFGGVKVVSTVLCGWGFCSYVYGMYLKMREEKEKENKNHGMEMAQNVVSANNV >Potri.008G112066.1.v4.1 pep chromosome:Pop_tri_v4:8:7122176:7124023:1 gene:Potri.008G112066.v4.1 transcript:Potri.008G112066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112066.v4.1 MPLQRPNFMRLLNCFSATSTLLILLPFLLPCIFFGFVFIIFLFVLILPFLCSFISSCLIFKVVFLEMKHIPFFGTKFGTTRSRTVGGDSKYYKIADHEKVDDLGLKDKEGSFMVDPSLELPSFDESEEEKHKKQEDHPEVDGRENLCKYEEDSWQILVPKSCTKKEEHKTFFELLSFWRKKEKERYRRYLN >Potri.003G006100.1.v4.1 pep chromosome:Pop_tri_v4:3:663438:664294:1 gene:Potri.003G006100.v4.1 transcript:Potri.003G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006100.v4.1 MNGYSKIKIFGTARSRSMDFSDLSLAFPEPTKSHTEPQETNKIVGDHDNTKKNMISTDTTTQDSLSVLEEDEENNVVEGFGAKLRRSSSVSSSASALQSAVKKAFSVTRSSSVSERYCRIHDQSATLSSPIHDEDGTLDTMESTRSVTKKHSRSGRFLKVCKKLFGL >Potri.009G125832.1.v4.1 pep chromosome:Pop_tri_v4:9:10442284:10443376:1 gene:Potri.009G125832.v4.1 transcript:Potri.009G125832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125832.v4.1 MAPPPGPYSGTSTLALVARVSAFSLGLVYGSVKLKYLQAKAKSQKKAEAKAKAHH >Potri.015G084600.1.v4.1 pep chromosome:Pop_tri_v4:15:10925654:10930891:1 gene:Potri.015G084600.v4.1 transcript:Potri.015G084600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084600.v4.1 MPHESSSEPDPDDSDAEFVEIDPSGRYGRYKEVLGRGAFKKVYRAFDELEGIEVAWNQVKVADLLRNSVDLERLFSEVHLLKTLKHKNIIKFYNSWVDTKNENINFITEIFTSGTLRKYRQKHKHVDLRALKKWSKQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTVEYPYVECSNAAQIYKKVTSGIKPASLAKVKDPAVKAFIEKCIAKVSDRLPAKELLMDPFLRSDEENVSGGCSLRPKAHSSGGISDQLDVNESAKDKDSAAESSRDFSVQGQRKDINTIFLKLRIADSTGHFRNIHFPFDVKVDTAIAVASEMVEELDVTDQDVSTIAAMIDSEIRSHIPDWDSNNVSPENLAAEDSEPPSETKDDSSPLSNESSLSPGSLVLEKLSSGHKYWRDSPKDVDGDSPSKLGCSNLSFHRSCPSSPNDAQSPGQLEAGSMSDGDDGSGKNGSHRSDNLHFANRNSTSVKIIAEKLENLLVMQQQELEEVKRKHKVAISDLLNEVSPEICVEILNICKLKIPGYEMR >Potri.011G162666.1.v4.1 pep chromosome:Pop_tri_v4:11:18716071:18717804:1 gene:Potri.011G162666.v4.1 transcript:Potri.011G162666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162666.v4.1 MEMASLNSSLLPLLFLLLLSFSWLTLAHPHEGFLQCLSFHFQDSTAISKLIYTPTSPSYSSVLQFSVQNNRFNTTSDPEPVVIFTPTNVSHVQAAIYCSRKQNLHIRIRSGGHDYEGLSYVSYSLPFVIVDLINLRKVAVDARHKTAWVQAGASLGEVYYRIAEKNRTLAFPAGIWPTIGVGGHISGGGYGMMMRKYGLAADNVIDAQLIDVKGRILDRASMGEDLFWAIRGGGGNTFGVVVAWKLKLVPVPPTVTVFTVPRTLEQNATNLVHRWQSVASKLHKDLTIALVLRRINSSEEGKTTILAAFTSLFLGGVDRLLPLMQESFPELGLVKEDCIEMSWIKSVLYVVGFPSNASSDVLLARTPLTNRNFKGKSDYVKEPMPETALEGIWERFLEADIDTPQMVWAPYGGKMDEISETSIPFPHRSGNLYKIQHLVFWDEEGNEASKRHISWIRRLYDYLTPYVSKNPRAAYVNYRDLDIGINNIQGNTSYRQASIWGIKYFDNNFDRLVSVKTRVDPANFFRNEQSIPPLSS >Potri.004G217500.2.v4.1 pep chromosome:Pop_tri_v4:4:22312086:22312952:-1 gene:Potri.004G217500.v4.1 transcript:Potri.004G217500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217500.v4.1 MGQIQYSEKYLDDTFEYRHVVLPPEVAKLLIKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNYQQQQDQALVK >Potri.009G003100.2.v4.1 pep chromosome:Pop_tri_v4:9:882161:892095:-1 gene:Potri.009G003100.v4.1 transcript:Potri.009G003100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003100.v4.1 MGKLCFFLLSVVFLVSLLNGETVTAASRKKVATGVRTRDGSEEWGYVEVRPRAHMFWWLYRSPYRVEDSSKPWPIILWLQGGPGGSGVGMGNFEEIGPLDTYLKPRNSTWLQVADLLFVDNPVGTGYSFVEEGDADLFVKTDDEAASDLTTLLEKVFNRNESLQKSPLYIVAESYGGKFAVTLGLSALKAIEAGKLKLILGGVALGDTWISPEDFVLSWGPLLKDLSRLDNNGLQKANSLAEKIRQQISEGQYVDATNSWSELEGVISANSNSVDFYNFLLDSGSDPLSLTTAAAELSQKNAMKSYSRYISSLRSALPGGGVGDLDSIMNGVIKRKLKIIPANFSWGEQSSNVFNQMVGDFMRPRINEVDELLAKGVNVTIYNGQLDLICSTKGTEAWVEKLKWEGLHSFLSMNRTPLLCGAEGQLTKGFTRSYKNLNFFWILGAGHFVPVDQPCIALKMVGQITQSPAADAAASAKKDQKSKHEMRKVW >Potri.009G003100.3.v4.1 pep chromosome:Pop_tri_v4:9:885213:891712:-1 gene:Potri.009G003100.v4.1 transcript:Potri.009G003100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003100.v4.1 MGKLCFFLLSVVFLVSLLNGETVTAASRKKVATGVRTRDGSEEWGYVEVRPRAHMFWWLYRSPYRVEDSSKPWPIILWLQGGPGGSGVGMGNFEEIGPLDTYLKPRNSTWLQVADLLFVDNPVGTGYSFVEEGDADLFVKTDDEAASDLTTLLEKVFNRNESLQKSPLYIVAESYGGKFAVTLGLSALKAIEAGKLKLILGGVALGDTWISPEDFVLSWGPLLKDLSRLDNNGLQKANSLAEKIRQQISEGQYVDATNSWSELEGVISANSNSVDFYNFLLDSGSDPLSLTTAAAELSQKNAMKSYSRYISSLRSALPGGGVGDLDSIMNGVIKRKLKIIPANFSWGEQSSNVFNQMVGDFMRPRINEVDELLAKGVNVTIYNGQLDLICSTKGTEAWVEKLKWEGLHSFLSMNRTPLLCGAEGQLTKGFTRSYKNLNFFWILGAGHFVSQNYTMHPPLLIYFNQ >Potri.009G003100.1.v4.1 pep chromosome:Pop_tri_v4:9:882259:892076:-1 gene:Potri.009G003100.v4.1 transcript:Potri.009G003100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003100.v4.1 MGKLCFFLLSVVFLVSLLNGETVTAASRKKVATGVRTRDGSEEWGYVEVRPRAHMFWWLYRSPYRVEDSSKPWPIILWLQGGPGGSGVGMGNFEEIGPLDTYLKPRNSTWLQVADLLFVDNPVGTGYSFVEEGDADLFVKTDDEAASDLTTLLEKVFNRNESLQKSPLYIVAESYGGKFAVTLGLSALKAIEAGKLKLILGGVALGDTWISPEDFVLSWGPLLKDLSRLDNNGLQKANSLAEKIRQQISEGQYVDATNSWSELEGVISANSNSVDFYNFLLDSGSDPLSLTTAAAELSQKNAMKSYSRYISSLRSALPGGGVGDLDSIMNGVIKRKLKIIPANFSWGEQSSNVFNQMVGDFMRPRINEVDELLAKGVNVTIYNGQLDLICSTKGTEAWVEKLKWEGLHSFLSMNRTPLLCGAEGQLTKGFTRSYKNLNFFWILGAGHFVPVDQPCIALKMVGQITQSPAADAAASAKKDQKSKHEMRKVW >Potri.008G160000.1.v4.1 pep chromosome:Pop_tri_v4:8:10995599:10998275:-1 gene:Potri.008G160000.v4.1 transcript:Potri.008G160000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160000.v4.1 MAEDQSKQKPKPESVTVDAETKRNRLPYFLLSVRLKYVKLGYHYLISSAMYLLLVPLLFIASAHLSTLTIRDFVQLWNHLKFNFVSVTLCSGLVVFLATLYFMSRPRKIFLVDFACYKPEPARMCTRETFMEKSAVAGCFSEENLAFQKKILERSGLGQNTYFPEAVMRVTPRPCMEDARKEAEMVMFGAIDELLAKTGVKAKDIGVLIVNCSLFNPTPSLSAMVINHYKLRGNILSYNLGGMGCSAGLISIDLAKQLLQVLPNSYALVISMENITLNWYFGNDRSMLVSNCLFRMGGAAILLSNRPSDRRRSKYQLIHTVRTHKGADDKCYNCVFQKEDDTKRIGVSLSKDLMAVAGEALKTNITTLGPLVLPMSEQLLFFATLVGRKIFKLNIKPYIPDFKLAFEHFCIHAGGRAVLDELEKNLELSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIRKGDRTWQIAFGSGFKCNSAVWYALRTINPAKEKNPWIDEIDEFPVHVPKVVSIAS >Potri.010G010700.1.v4.1 pep chromosome:Pop_tri_v4:10:1953320:1955278:1 gene:Potri.010G010700.v4.1 transcript:Potri.010G010700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010700.v4.1 MDSIEHRTIHANGITMHVAIKGSENAPVILFLHGFPESWYSWRYQILALSSLGYRSVAPDLRGYGDTDAPAEITSYTCFHLVGDLIGLLDVVAPNEDKVFVVAHDWGAIIAWYMCLFRPDRVKALVNTSVLFIPRNPKRKFVETLRALYGDDYYMCRFQEPGVIEAEYAERGTERVLKELLTHRVAGPLFLPKGKGLNGAPLDAPIVLPSWLSEEDFKYYTNKFEQKGFTGGLNYYRNLDRNWELTAPWTGAQVTVPVKFIIGDEDLTYNSLGGKDYIDSGGFKRDVPFLEELVVMEGVGHFLNQEKADEINKHIYDFFQKF >Potri.002G147200.3.v4.1 pep chromosome:Pop_tri_v4:2:11072113:11074647:-1 gene:Potri.002G147200.v4.1 transcript:Potri.002G147200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147200.v4.1 MQIINGTHVYLMWLSLTPATHETYYNSFRYQNTLKQNKENMASWSDENATTAYLPALKMGKREKELDVAEFISALAAGKNAQLVVIASASIDGSTILSLVAAAHQTGGNVVCILPTKPNICASRNAPGPYADCVKFVIGDAKILLSKDYRGRFCTCRLRSS >Potri.005G196400.1.v4.1 pep chromosome:Pop_tri_v4:5:20314929:20320044:-1 gene:Potri.005G196400.v4.1 transcript:Potri.005G196400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196400.v4.1 MGKKRKSIATSLDEVDRTMYASFCSAANSLSQLYTQSMNHQKLSFQAGERQGLEKLYQWIWRQQEGGSRVATVDIINYIQNELDYCGEEPSMSPRTPHQHQTSQPIPFTNSTFLVSSGSSGLTATAQGTRSEHCDQQPKNTVFSNALSSPIRRSLQNYHITQGGYCPQGGSPSGNGTRNNEPNFLQHHNRDPNPPSSNDSSMDI >Potri.005G196400.4.v4.1 pep chromosome:Pop_tri_v4:5:20314828:20320074:-1 gene:Potri.005G196400.v4.1 transcript:Potri.005G196400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196400.v4.1 MGKKRKSIATSLDEVDRTMYASFCSAANSLSQLYTQSMNHQKLSFQAGERQGLEKLYQWIWRQQEGGSRVATVDIINYIQNELDYCGEEPSMSPRTPHQHQTSQPIPFTNSTFLVSSGSSGLTATAQGTRSEHCDQQPKNTVFSNALSSPIRRSLQNYHITQGGYCPQGGSPSGNGTRNNEPNFLQHHNRDPNPPSSNDSSMDI >Potri.001G375100.1.v4.1 pep chromosome:Pop_tri_v4:1:39351300:39355393:-1 gene:Potri.001G375100.v4.1 transcript:Potri.001G375100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375100.v4.1 MGTLPHAFSLFALILLLTSGTGADQITKTQAIFNGSTGIGAIVDTSSRIGKEEIVAMEVAKEDFYGFGNLTFLLINDSQKDTIHAALEAKDLIDTRQVQAIIGPQTWEEVSLVAGIARETQVPILSFADTAPEWAPERWPSLLQASPDKRAQMKAIAAIVQSWNWHQVIVIYEDTDSSARGVIPHLHDALREVNSEVSQFVAFSPFNSSDSMSKELENIKSKQYCRVFVVHLSFKLAVRLFEMANKMEMMKRDYVWITTDPFTSLVHSINASVISSMKGILGVRSYFPKMGPHFVNFNQRFRTRFRRKYPREERNEPGIYAVQAYDAMRTIALGLNKTGSKRGGKELLENILDADFHGLSGKVKFKNQNVAAAEIFEIVNVIGTGYNELGYWSNGLGFSENIHENSSYNSASMIDLEQVHWPGGPRYTPRGWTALTSAKLFRIGVASLSGYEEYVKVESDDRLGTNFSGFANEVFKATTASMPFCPQYEFQYFNGSYNELLEQLHLKNFDAVVGDVEIVASRHQYAEFTYPYTETGLVLIVPVRSSSKAWSFIKPFTATMWVLISVITVYNGFVVWWIERKHCDELQGSIPNQIGIMIWLSFNTLFSLNGPKLHSNLSRMSGVVWLFVALIIIQTYTANLSSMLTVQRLEPTIPSVEELLNSNAMVGTGTYMERYLAKVLKFKNQNMQHFQSAESYVKGFEDKKISAAFLGTPSAKIFLAKYCNSFIQIGPTYKIGGFGFAFPRGSPLLASVNEALLKISENGTLQELEKTWITPQKCPKMPSDSSSLGPSGFRELFFITAGTTTIAFVIYVCRTNLLRHKNIWGIISAVLKRWVSPRRHFTSRRVANVEISLPEIHLKPTKLSTE >Potri.011G048000.1.v4.1 pep chromosome:Pop_tri_v4:11:3813608:3816167:1 gene:Potri.011G048000.v4.1 transcript:Potri.011G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G048000.v4.1 MDQIQHKFVQVQGLKLHVAEIGAGPKVVVFLHGFPEIWYSWRHQMICLANAGFRAIAPDYRGYGLSNPPPVPEKTMFVDLISDLLAILDFLEITKVVLVAKDFGAKPAYLFALLHPERVLGVVTLGVPFIPPGPGPSQYQKYLPEGFYISRWKKPGRAEADFGRLDAKTVVRNIYILFSRSEIPIAAENQEIMDLVDLSTPLPSWFTEEDLATYGALYENSGFQTALQVPYRSLDEDINITEPVVEVPALLIMGDKDYVFKFPGMEAYIKSGKVKEFVPGLDIIYLPEGSHFVQEQSPDEVNQLILTFLNARIWS >Potri.004G234100.2.v4.1 pep chromosome:Pop_tri_v4:4:23876104:23879865:-1 gene:Potri.004G234100.v4.1 transcript:Potri.004G234100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234100.v4.1 MCRMVDSRLGHWLKLLVSLMLLLKTQGLYVGITYVKSAVAKGAVCLDGSPPAYHWDKGFGTGINSWLIHFEGGGWCNNVTSCLSRKKTHLGSSKLMGQQIAFSGIMNNKRPFNPDFYNWNRVKIRYCDGSSFTGDVQAVNPATNLHFRGARIWLAVIEDLLPKGLKNAENALLSGCSAGGLASILHCDSFRALLRMGTKVKCLSDAGYFIKVKDVSGAPHVQTYFNEIVTLHGSAKNLPLSCTSVLKPSFCFFPQYVAPQVRTPLFILNAAYDSWQIRNILAPSIADPLGVWKSCKLDINNCSPLQLKSMQDFRLQFLNALNKSTNSSSRGLYIDSCYAHCQTETQEKWFMEDSPVLGKKKIAKAVGDWFYDRNPFQKIDCPYPCNPSCQNSGLAPPDNPEV >Potri.004G234100.3.v4.1 pep chromosome:Pop_tri_v4:4:23876065:23880266:-1 gene:Potri.004G234100.v4.1 transcript:Potri.004G234100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234100.v4.1 MVDSRLGHWLKLLVSLMLLLKTQGLYVGITYVKSAVAKGAVCLDGSPPAYHWDKGFGTGINSWLIHFEGGGWCNNVTSCLSRKKTHLGSSKLMGQQIAFSGIMNNKRPFNPDFYNWNRVKIRYCDGSSFTGDVQAVNPATNLHFRGARIWLAVIEDLLPKGLKNAENALLSGCSAGGLASILHCDSFRALLRMGTKVKCLSDAGYFIKVKDVSGAPHVQTYFNEIVTLHGSAKNLPLSCTSVLKPSFCFFPQYVAPQVRTPLFILNAAYDSWQIRNILAPSIADPLGVWKSCKLDINNCSPLQLKSMQDFRLQFLNALNKSTNSSSRGLYIDSCYAHCQTETQEKWFMEDSPVLGKKKIAKAVGDWFYDRNPFQKIDCPYPCNPSCQNSGLAPPDNPEV >Potri.004G234100.1.v4.1 pep chromosome:Pop_tri_v4:4:23874981:23879566:-1 gene:Potri.004G234100.v4.1 transcript:Potri.004G234100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234100.v4.1 MCRMVDSRLGHWLKLLVSLMLLLKTQGLYVGITYVKSAVAKGAVCLDGSPPAYHWDKGFGTGINSWLIHFEGGGWCNNVTSCLSRKKTHLGSSKLMGQQIAFSGIMNNKRPFNPDFYNWNRVKIRYCDGSSFTGDVQAVNPATNLHFRGARIWLAVIEDLLPKGLKNAENALLSGCSAGGLASILHCDSFRALLRMGTKVKCLSDAGYFIKVKDVSGAPHVQTYFNEIVTLHGSAKNLPLSCTSVLKPSFCFFPQYVAPQVRTPLFILNAAYDSWQIRNILAPSIADPLGVWKSCKLDINNCSPLQLKSMQDFRLQFLNALNKSTNSSSRGLYIDSCYAHCQTETQEKWFMEDSPVLGKKKIAKAVGDWFYDRNPFQKIDCPYPCNPSCQNSGLAPPDNPEKKPPNGSAASVNMSTLLCGLLMLMGVMLP >Potri.004G234100.4.v4.1 pep chromosome:Pop_tri_v4:4:23876081:23879869:-1 gene:Potri.004G234100.v4.1 transcript:Potri.004G234100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234100.v4.1 MGHRLPVMCRQSILGARIWLAVIEDLLPKGLKNAENALLSGCSAGGLASILHCDSFRALLRMGTKVKCLSDAGYFIKVKDVSGAPHVQTYFNEIVTLHGSAKNLPLSCTSVLKPSFCFFPQYVAPQVRTPLFILNAAYDSWQIRNILAPSIADPLGVWKSCKLDINNCSPLQLKSMQDFRLQFLNALNKSTNSSSRGLYIDSCYAHCQTETQEKWFMEDSPVLGKKKIAKAVGDWFYDRNPFQKIDCPYPCNPSCQNSGLAPPDNPEV >Potri.006G201500.1.v4.1 pep chromosome:Pop_tri_v4:6:20906464:20906967:-1 gene:Potri.006G201500.v4.1 transcript:Potri.006G201500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201500.v4.1 MNSTAGDSGFLGSNNIGGFGYGIGISIGILLLITTITLTSYFCTRNQLASVPTQARNVADQQLNLQNFVVDIGLDEATLNSYPTLLYSEAKLHKTGSTATCCSICLADYKNTDKLRLLPDCGHLFHLRCVDPWLRLHPTCPVCRTSPLPSPLATPLAEVVPLASRRD >Potri.003G122800.1.v4.1 pep chromosome:Pop_tri_v4:3:14353815:14358477:1 gene:Potri.003G122800.v4.1 transcript:Potri.003G122800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122800.v4.1 MGKASKWFRAVLGLKKPDPPPDRPVTTRSKEKRRWSFVKSHREKDQHHHQQQQQETEAVKAGVLYGQEFEEDPNKHAIAVAAATAAVAEAAVAAAQAAAEVVRLTSSGRCVDNSVAYVSGSPGLREDFAAVKIEAAFRGYLARRALRALKALVRLQALVRGHLERKRTAEWLHRMQALLRAQARARAGRAQISESSHSSSKSSRYHLPGLPTHEKSEHAIRATSGKYEQSSMLKRTGSKTKGREIADQDVAHLSFNWSEHGMDSRTWDHQAPSPGTGPIDDDKILEIDSGKPHITPKRRNLFHPSHLSLSADQYSHSFTTSKGSTVRQAVPSPSSGEVQSFSPLKFSHEVEEAFCTADNSPQFCSASSRGGSGKRSPFTPSRSGGSRSFMSGYSDYPNYMCNTESSRAKVRSLSAPKQRPQYERSSSTKRYSVLGFGEQRSSSAQSASALRASFTSKAYPGSGRLDRLGMPVGQKY >Potri.001G260600.2.v4.1 pep chromosome:Pop_tri_v4:1:27683547:27684705:1 gene:Potri.001G260600.v4.1 transcript:Potri.001G260600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G260600.v4.1 MIQNGWSVGQPRLQCNKQDYMTEYVSVTKRLVSWSTKSISSDQMTRLLSKTFRSVKLKASSSKPPPPQQQPSPSSSLPRSRKTRAKSSSNSKSRSWSVYLILSTNRPIKTYVGVTTNFSRRLKQHNGELKGGAKASRAGRPWICACIIRGFNDRSEACKFESKWKIFSRKLPRKRIDDDQMKQSSKDSHRLLQHRKTALDRVKGSFDLSHLEIDWKLNTF >Potri.015G093000.1.v4.1 pep chromosome:Pop_tri_v4:15:11557014:11558616:1 gene:Potri.015G093000.v4.1 transcript:Potri.015G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093000.v4.1 MSQAHPLQLEAFSVAIKRLDADMPRPVLQFVGSCRNQFDEDRLQKLQDKAVELKIDGDLQFYKNVMCRDLVKLLGGSVAGMHSMVDDHFGISVVESHNSAGPKMDIVLEEDGQQTGFLAQNVEEYADAFLKVLRMLETERLKMAAAARKRAGRFSEQRFFEDFKAAIRPMLNHVSR >Potri.005G195000.1.v4.1 pep chromosome:Pop_tri_v4:5:20218112:20220492:1 gene:Potri.005G195000.v4.1 transcript:Potri.005G195000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G195000.v4.1 MCVLKVANPRGNSGEYSRYPYTDSDDNQEERSYTAQQIFSELNQPIQRHVEVTQSPHMFMGYSSSAEMSAMVSALTHVVSGHRGSTSDWGSYGASGLGGATITSTFVQAAPGSNTSPASPSLSAYSSTSGSGSWIGQKRGREKEAGAAAQLKESLPRVHRGFDDFRSSLGDSSSSGATATEEVSASTLVFSTTATPSTTATPSSETASLGETGERKRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFETAEAAARAYDEAALRFRGSRAKLNFPENARLLPAQMQNVTASQVPISRSQLPSHHQLQSISSPRQQAQRPQVPAPALFQSQPDIIRDYWEYSQLLQSSGDFHGQQQPPPSNLLEQMFYNPQLASLQSSTLSSLPSSTSGSSFAAIPSGSISSTLSPSASSFPLLFAGQQLGYFRPPENQNPAAGSDFPVPPWTDCSRRPSSTG >Potri.005G254432.1.v4.1 pep chromosome:Pop_tri_v4:5:24616642:24621562:1 gene:Potri.005G254432.v4.1 transcript:Potri.005G254432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254432.v4.1 MRTQSEPPGPSVDGGEQQSLPTSPTSSAVTTVSGRSSIGMSLQYKGSWCTPPRIFMDHQDEIIPHLEPGRLPSTVDPDTVHEADRAKKLPQRPVRISAWKLAKLDSSEAFKAAAKARASSSVLRPIGSRYNLYDAGKLSSSNVSGRSSPISTDQGFQNKNDRAVVTGLSPSRTNSYPASSASNASRDDIESCRQSRGNFSSANVSNLATSPLQQQTSNSDHFNPIYQTSTDQPPWTARQIELNGNPLRENVAQIPMRRNLGVAENMRTAVYWDPEAGRFVSSSRGAGSSSQVRRTELLYKDQSIFFGGPLVNELLSRGTRTGTSLAPSQDRGSTSSHYQQGRSQRGDQLHVFVPSDSQQNQYSSRSP >Potri.001G092900.1.v4.1 pep chromosome:Pop_tri_v4:1:7370329:7372547:1 gene:Potri.001G092900.v4.1 transcript:Potri.001G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092900.v4.1 MENGWSWEQKTLITELIQGMELAKQLRAHLNATSSVETRDMLVRRILSSYEKALLILNGGGSMGQPQNAGVSAGVPESPRSINGSSRSDDFDGSVKDNQGYNEASKKRKTTPRWTDQVRVSTDNGLEGHHDDGFSWRKYGQKDILGAKYPRSYYRCSYRNTQNCWATKQVQRSDEDPTVFEITYRGTHSCAHGNQSVPSPEKQEKKRKNTNNNCQQQQSQQALFNFHNSLRVNTEDFDNKEMVSPFSFPSTNGCTKSEGSFSPFISPATPEPTHYSLSPFQMNNFVGVQNLQHLESDFTDIISANTSATNSPIVDLDFSLDQVELVPDFPFDSPGFFS >Potri.001G092900.2.v4.1 pep chromosome:Pop_tri_v4:1:7370336:7372547:1 gene:Potri.001G092900.v4.1 transcript:Potri.001G092900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092900.v4.1 MENGWSWEQKTLITELIQGMELAKQLRAHLNATSSVETRDMLVRRILSSYEKALLILNGGGSMGQPQNAGVSAGVPESPRSINGSSRSDDFDGSVKDNQGYNEASKKRKTTPRWTDQVRVSTDNGLEGHHDDGFSWRKYGQKDILGAKYPRSYYRCSYRNTQNCWATKQVQRSDEDPTVFEITYRGTHSCAHGNQSVPSPEKQEKKRKNTNNNCQQQQSQQALFNFHNSLRVNTEDFDNKEMMNNFVGVQNLQHLESDFTDIISANTSATNSPIVDLDFSLDQVELVPDFPFDSPGFFS >Potri.002G241300.1.v4.1 pep chromosome:Pop_tri_v4:2:23339285:23340319:1 gene:Potri.002G241300.v4.1 transcript:Potri.002G241300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241300.v4.1 MDIEPLWALGGWFLFSITCMATPKSQSTISETFKRSHHFFLFLALLFVFILLTSPSKPINPTNTVASISIKRLLLESSEPASTTMNLHPKHTQGTRTSSSSSSPPSSKSTRKKFGAQAHEVPSGPNPISNR >Potri.002G104600.1.v4.1 pep chromosome:Pop_tri_v4:2:7668487:7670276:-1 gene:Potri.002G104600.v4.1 transcript:Potri.002G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104600.v4.1 MHTQQQSYLVRCSFSSESIAMDFPHCAATFFLFALLFSTTKAVDPCATQSDTSDLSVIPIYSKCSPFVPPKQESWVNTVITMASKDPERLKYLSTLADQKTTAVPIAPGQQVLKIANYVVRVKLGTPGQQMFMVLDTSNDAAWVPCSGCTGCSSTTFLPNASTTLGSLDCSGAQCSQVRGFSCPATGSSACLFNQSYGGDSSLTATLVQDAITLANDVIPGFTFGCINAVSGGSIPPQGLLGLGRGPISLISQAGAMYSGVFSYCLPSFKSYYFSGSLKLGPVGQPKSIRTTPLLRNPHRPSLYYVNLTGVSVGRIKVPIPSEQLVFDPNTGAGTIIDSGTVITRFVQPVYFAIRDEFRKQVNGPISSLGAFDTCFAATNEAEAPAITLHFEGLNLVLPMENSLIHSSSGSLACLSMAAAPNNVNSVLNVIANLQQQNLRIMFDTTNSRLGIARELCN >Potri.002G104600.2.v4.1 pep chromosome:Pop_tri_v4:2:7668478:7669955:-1 gene:Potri.002G104600.v4.1 transcript:Potri.002G104600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104600.v4.1 MASKDPERLKYLSTLADQKTTAVPIAPGQQVLKIANYVVRVKLGTPGQQMFMVLDTSNDAAWVPCSGCTGCSSTTFLPNASTTLGSLDCSGAQCSQVRGFSCPATGSSACLFNQSYGGDSSLTATLVQDAITLANDVIPGFTFGCINAVSGGSIPPQGLLGLGRGPISLISQAGAMYSGVFSYCLPSFKSYYFSGSLKLGPVGQPKSIRTTPLLRNPHRPSLYYVNLTGVSVGRIKVPIPSEQLVFDPNTGAGTIIDSGTVITRFVQPVYFAIRDEFRKQVNGPISSLGAFDTCFAATNEAEAPAITLHFEGLNLVLPMENSLIHSSSGSLACLSMAAAPNNVNSVLNVIANLQQQNLRIMFDTTNSRLGIARELCN >Potri.019G023012.1.v4.1 pep chromosome:Pop_tri_v4:19:609682:616000:1 gene:Potri.019G023012.v4.1 transcript:Potri.019G023012.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023012.v4.1 MAAPPPNPVPVAYRGGLVASVPDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMAFYAFAAVVICWVVWAYKMSFGDKLFPFWGKAGPALAQKFLIKQAALPETTQFYDNSGVETVMTTPFYPMASMVWFQCVFAAITLILLAGSVLGRMNFKAWMAFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGLTTAFWVGPRSSNDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYTANIDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIIMGILSGSVPWFTMMIVHKRWTLLQKIDDTLGVFHTHAVAGLLGGVLTGLFAEPELCALFLPVTKSRGGVYGGSGGIQILKQLVGGAFIIGWNVVVTSIICVVINFVIPLRMSEEQLLIGDDAVHGEEAYALWGDGEKYDVTKHGDITEHHMETRTSTGATQVM >Potri.006G249450.1.v4.1 pep chromosome:Pop_tri_v4:6:24896998:24897438:1 gene:Potri.006G249450.v4.1 transcript:Potri.006G249450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249450.v4.1 MIPNLLCCLILCQLCTYRMLNRIVDPSNDLCIVCFPCIYRDNISFFNILRYHISSTNISPHLLTLKKISHYIAPIRIVLPIQPHTHRLKRIISFSSHNQQSQRTRMQKQRKTKYQIAETEQRLQKLNKYLLKLIRLLQNTKQICRN >Potri.010G234400.4.v4.1 pep chromosome:Pop_tri_v4:10:21538412:21551762:1 gene:Potri.010G234400.v4.1 transcript:Potri.010G234400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234400.v4.1 MGSRINYGERLILSSFLPATPEKPIPTRSNLMPADGQGNLQGRTNWQLAGFADGCVQDVSNYSRAAQHTDQIDQLFRNGGDNIRNAGFEEVKRMSNTTRNYIESQTGGLGSGLLANILDHSNISTITSSMAPPGISTSMVWRPSFPNLHPQVNNYREPNLLLGNQTHCSGLRHLGSNYISSQEPNYEPMMPCPHNYDLNFPPRMEADAASYFTTSFKLATVVPDQCKRLESRLSATASPSQEKNSSGEKEKTDLVIFKECEANQHNSKELSCNITDAPSAVISTPFEEAKDLATANAQGIDLNRTPQQKPQKRRKHRPKVIVEGKPKRTPKAATTKITDPKEKPIEKRKYVRKALKEPATKPTESTVDTAPPSSAKRKYVRKKALDESAVQHTDSIGETINTHAVKRKYVRKKDLNKSANRHADSTVEITQSSSADAKSCRRALRFDLETATDRSCSNAAAQQDMLNQKRGTFDLNASLQVADLSTTTSQMSQQHRLLVENQQSGAPSNQTPFMNQPRGDYISISEIQVVAAELTPRKNMHMEKLNLNAGDVERSIHAQGIGQVVFPEKGPEWTRQITSQNNSQSAQKITPYLIEGRGFKREHFHIKKTNPCTAYPVGSLTDGYDQNGSIPGSGCSETQKRKKTEDGIQTNTHSISSFVSKVKYPGEWYVHSMALQNLPKQCISPQPHLCLEMLGETNGSTQVQNSLCPTTIETSHRLSQTSLKTSRASDNQLQPKTCNAEMSRIQQMSEATVPISIPSEKGKIPQEPKDDLKVHQQPYAKRRGRPAKQTFSSTIEQIIYQMEGLRLNAGSKKIENKEQNALVPYKGDGKLVPYDGFEVVKKHKPRPKVDLDPESDRVWKLLMGKEGSQGLEGTDKGKEQWWGEERKVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLASLFPLKLRSSGACDRERTSIVIEEPDTCILNPNDIKWNSNPLYNQSSVTHHGSAEPHKDSETLFIERASMVETQSHSLEEEFVLSQDSFDSSTVQANGVRSYSGSNSEAEDPATGCKPSMNDDLSFMDLLQMESPTLLGEFYGCEGGSSLFHKESRHEKEQAEDLQNRQPGPGLERLGNLNCFSTYNQHFDYCNPQMLGKVVPCSDYGLLHMTSQSNVQQAEGFELYSEENISSWLSYSSRFDKEKAATCTSKAVGQEAESVGKTAAKQYELPRYGQSSSQSCHERQVDERNKTLQWQSMSVGGPVNLAEELPKKQNSYRQQVSSLTGNIFDVERITSVNKQTPLENNVVDPNTKEKVHHNNRENLKENASTSKARKGKVEGEKKDAFDWDSLRKQVQANGRKERAKDTMDSLDYEAVRSARVKEISDAIKERGMNNMLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSRPNCNACPMRAECRHFASAFASARLALPGPEEKGITTSTVPFMPERSPGIGINPMPLPPPEDNPHKRHGSDIGSCVPIIEEPATPDQENTELTETDIEDFGEDPDEIPTIKLNMEEFTENLQNYMHTNLELQEGDMSKALVALNPNASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGMDRREPDDPSPYLLAIWTPGETANSIEPPDQQCQSREPNKLCDEKTCFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSLNPIDVPRSLIWNLPRRIVYFGTSVSSIFKGLSTEGIQFCFWRGFVCVRGFDQKTRAPRPLKARLHFPASRLVKTKNEKK >Potri.010G234400.5.v4.1 pep chromosome:Pop_tri_v4:10:21543481:21551783:1 gene:Potri.010G234400.v4.1 transcript:Potri.010G234400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234400.v4.1 MVETQSHSLEEEFVLSQDSFDSSTVQANGVRSYSGSNSEAEDPATGCKPSMNDDLSFMDLLQMESPTLLGEFYGCEGGSSLFHKESRHEKEQAEDLQNRQPGPGLERLGNLNCFSTYNQHFDYCNPQMLGKVVPCSDYGLLHMTSQSNVQQAEGFELYSEENISSWLSYSSRFDKEKAATCTSKAVGQEAESVGKTAAKQYELPRYGQSSSQSCHERQVDERNKTLQWQSMSVGGPVNLAEELPKKQNSYRQQVSSLTGNIFDVERITSVNKQTPLENNVVDPNTKEKVHHNNRENLKENASTSKARKGKVEGEKKDAFDWDSLRKQVQANGRKERAKDTMDSLDYEAVRSARVKEISDAIKERGMNNMLAERIQEFLNRLVREHGSIDLEWLRDVPPDKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSRPNCNACPMRAECRHFASAFASARLALPGPEEKGITTSTVPFMPERSPGIGINPMPLPPPEDNPHKRHGSDIGSCVPIIEEPATPDQENTELTETDIEDFGEDPDEIPTIKLNMEEFTENLQNYMHTNLELQEGDMSKALVALNPNASIPTPKLKNVSRLRTEHQVYELPDSHPLLEGMDRREPDDPSPYLLAIWTPGETANSIEPPDQQCQSREPNKLCDEKTCFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSLNPIDVPRSLIWNLPRRIVYFGTSVSSIFKGLSTEGIQFCFWRGFVCVRGFDQKTRAPRPLKARLHFPASRLVKTKNEKK >Potri.001G328100.1.v4.1 pep chromosome:Pop_tri_v4:1:33731747:33734200:-1 gene:Potri.001G328100.v4.1 transcript:Potri.001G328100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G328100.v4.1 MGLTNLIITVAGVSAVILLLRSDVKQSAAVFRRNVKHIRHWLEEESAAASKAAKEAPPKELESKVPHKDIPKEDKH >Potri.012G059900.1.v4.1 pep chromosome:Pop_tri_v4:12:6017682:6019794:-1 gene:Potri.012G059900.v4.1 transcript:Potri.012G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059900.v4.1 MFPLSYNANDAISCSDQQAYNMPLFSDIISYPKQDVPPPLSLCLPSPFIPCDQQEFEDHLIFLQQNYDLFFQEQPLRATATSTNLSQSTVVNNMADSNKSAVIELAGICNKKSNNSTDQILRKRSSKRDRHSKINTAQGPRDRRMRLSLKVAREFFDLQDKLRFDKASKTVEWLLIQAKNEIKKLSSGSPHMNYSGSDGTKSASSTSEREMLTEINIETTTKGRNVSKGRSSPCVKKERTRRASSRKTPFNPFAKESREKARARARERTKEKYLCSRRIDKLKFSEESSNNELNQFAGCWDTPLETGDQESGTHNFNPSLEVQLAEVDVAIYHEREKLRTPEGMIDENLVIMGKYWSPSFLNNLHNTGTPDQEHQFSDFQYKTWDQACTIGSMC >Potri.010G090500.1.v4.1 pep chromosome:Pop_tri_v4:10:11554505:11555937:1 gene:Potri.010G090500.v4.1 transcript:Potri.010G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090500.v4.1 MGKRSEKVSTRSSQTLPPSPSHSFSSSSSSDFEFTISLSPRKSSTTLCPADELFYKGQLLPLHLSPRISMVRTLLLASSSTSSSSDTTTTASRDSTGSSNDSTSSFTSDMVLLGDCDSSRPSSVTEDDEFRRLNNNHPHFQNDVHLSSQINKKGSNKYFSLSRFSSVFKKENKSRENDNVPGSSVKRISVTAKEVIRKYFKKVKPLYEKLSQKQQQKMGGHVHSILPTSTAINTTVTLSTKLERSMKDIDDVISGKDARKESTANTGVFSHSFSGNLRYPRRRSCVSSCPSSMRSSPSHSGVLSKNGFMGGSAAGPTSRIAASAGGGMCYGDASSMEELQSAIQGAIAHCKNSMMQNKTMINNEI >Potri.010G090500.2.v4.1 pep chromosome:Pop_tri_v4:10:11554709:11555990:1 gene:Potri.010G090500.v4.1 transcript:Potri.010G090500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090500.v4.1 MVRTLLLASSSTSSSSDTTTTASRDSTGSSNDSTSSFTSDMVLLGDCDSSRPSSVTEDDEFRRLNNNHPHFQNDVHLSSQINKKGSNKYFSLSRFSSVFKKENKSRENDNVPGSSVKRISVTAKEVIRKYFKKVKPLYEKLSQKQQQKMGGHVHSILPTSTAINTTVTLSTKLERSMKDIDDVISGKDARKESTANTGVFSHSFSGNLRYPRRRSCVSSCPSSMRSSPSHSGVLSKNGFMGGSAAGPTSRIAASAGGGMCYGDASSMEELQSAIQGAIAHCKNSMMQNKTMINNEI >Potri.007G081200.1.v4.1 pep chromosome:Pop_tri_v4:7:10554322:10556276:1 gene:Potri.007G081200.v4.1 transcript:Potri.007G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081200.v4.1 MELDLVTKDTSWWVFTLPAFLGSKSLLDGFILFSLSMAFVSLAFLTWAFAVGGIAWKNGRNRKGHRSIPGPRGLPIFGSLFTLSRGLAHRTLASMAWRRANTQLMAFSLGSTPVVVASDPHIAREILTSPYFADRPIKQSAKSLMFSRAIGFAPSGAYWRLLRRIASTHLFSPRRILAHESLRQLESTTMLRNITNEQRRNGFVTLRKHLQFASLNNIMGSVFGKTYDMSQDRQELEELRDMVSEGFELLGAFNWCDYLTWLNYFYDPFRIQKRCSKLVPRVRKLVKDIIEEHRIGEPGKVGDDGDFVDVLLSLEGEEKLQDDDMVAVLWEMIFRGTDTTALLTEWVMAELVLHTEVQEKLRRELDMAVKDRSLSELTDSEVSKLPYLQAVVKEALRVHPPGPLLSWARLCSSDVQLSNGMVIPADTTAMVNMWAITHDPHVWEDPLEFKPERFIEADVDVRGGDLRLAPFGAGRRVCPGKNLGLVTVTLWVAKLVHHFKWVHDGEHPVDLSEVLKLSCEMKYPLHAVALQMNN >Potri.004G098000.2.v4.1 pep chromosome:Pop_tri_v4:4:8457090:8459158:1 gene:Potri.004G098000.v4.1 transcript:Potri.004G098000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098000.v4.1 MRSIGTCYSEHAIKVSDSYCSGPSNHAYLSPNFTPSIQDTVSCIYKVKLSTQKHLLITLTWWNKLIFEGLNINIDDSISSSSRNSAGSVHQLQEIKGTSTFQSCNSKIEIFWGVSAACLDAGPEPIRGFYVVVLVDSELGLVLGDIDNEEISTKCLKKKSAPLRQTLIGFSK >Potri.002G115600.1.v4.1 pep chromosome:Pop_tri_v4:2:8799551:8801100:-1 gene:Potri.002G115600.v4.1 transcript:Potri.002G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G115600.v4.1 MAITETKPVKQLRELLQEQQEPFILNIYLLERGYSRKSSDSESSSRFCHVNSRKSQKRSVGRGLNISKRVNPQGSKVLRAVLKQVISIKQMLRINSSDHRGGKLKVNEKGRSNQQVAESDIFSTASSTTVFNSISKSEVEAPCATQKDSLLTTNTCYQPLNLHNPTDETAADRKLQQRGIEDSRQLSPASVLEGIPSHGRSPFQNSNTEDSSTAEEENPLKTGVHLPKRLTEDCILSASLRKVLFYSPNEKPICAEATERQELVQSYFSPQYLKSKMVLQQTKQLLFDYVKEIVETKGREMKQQCHHKQFLGSEELGSIIGEKTRPWDKQSGNESNLTKLLNLDVLNSEQLSNYKSERRDNGLDIGDTVFDLLDSEQDWNGFELQREIGSEIGDTILEELVNDIVKNMIDFSSLITTC >Potri.006G095600.1.v4.1 pep chromosome:Pop_tri_v4:6:7262569:7266095:1 gene:Potri.006G095600.v4.1 transcript:Potri.006G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095600.v4.1 MLIRLSKPSSETGGGGAAGGGGGAVVKPSTAETVTVACPDHLVLADLPVAKGIGSATAATIVKTVGRRSRRQLGERVHFCVRCDFPIAIYGRLSPCEHAFCLDCARSDSICYLCDERIQKIQTIKMMEGIFICAAPHCLKSFLKSSEFEAHIHDSHADLLQPNAVKDEGNEYEVQSTKQPTASDSTVRAPPRPVISPGSSSQLLDFEDKARRQQPREPLQRPMMSKPPYFGQAQNYLLEPQLNNNHPPGFDRPGQQSHFQQITQGHPQQESSQFADKQQGMVSETPMPEYPPMHSIQPPNFVVPMNSNPLLTPQFGLPPFQTEGAQPFYVAPYEMGQMARPDSAPDGGAEQGSLLGFPPGPAGGMNFMANYSQPWNSGPAPGSQGIPDAFANSSDSQGNIAFYQGDYGRNPGILPMVPPPPPTANKGMEAIHAGNMDHRDGKGILAPQPFKHPPPPPPPLPHASQSKRGKYYSGDMGHEGPDFGWQHENRDGFGSGQE >Potri.010G072501.1.v4.1 pep chromosome:Pop_tri_v4:10:10078232:10079163:1 gene:Potri.010G072501.v4.1 transcript:Potri.010G072501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072501.v4.1 MVLQNKVWCVRTDSSLFCTPTDGWRRERDISQVCIMLDISPSKN >Potri.018G102400.1.v4.1 pep chromosome:Pop_tri_v4:18:12213062:12215750:1 gene:Potri.018G102400.v4.1 transcript:Potri.018G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102400.v4.1 MRKNAKKVNQQVKMDHSMDEKTEKVKNSKQRMKKRSRLLTYKELPDYLQDNEYILDYYRCEWPLKDAFFSVFSWHNETLNVWTHLGGFLIFLGLLVLSFMEESTENIEGLITSFSRAKVSGPLMTLMMMKKNQDLNVSDNHIFPDSVLMRHISQQSVFHKQDGSDKVIPRWPWFVFLSGAMMCLVCSSLSHLLACHSKRFNLFFWRLDYAGISLMIVCSFFAPIYYAFYCNPYPRFFYLTSITVLGVLAIITLLAPVFSTPRFRPFRATLFLIMGFSGVIPAAHAVVLHWGHPHIFVSLGYELVMAIFYTAGVGFYITRIPERLKPGAFDIAGHSHQIFHVFVVLAALSHCAATLVMMDFRRRSPACAC >Potri.007G019833.2.v4.1 pep chromosome:Pop_tri_v4:7:1494860:1504109:1 gene:Potri.007G019833.v4.1 transcript:Potri.007G019833.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019833.v4.1 MIAAVSWVPKGVAKSVPSVADPPSKEEIEELIKASDLERSGEDSGSEEDDQEMDVDAAKQTGEVSQALAVANALGRSSKVNKSETKFDDIADGLQELDMDKYDDEEDGIELFSTGLGDLYYPSNSMDPYIKDGDDDDSEDLEDMAIKPKDAVIVCACNKDEFSNLEVWILDETSDGDSNIYVHHEVPLSAFPLCTAWLDCPLKGGEKGNFIAVGSMEPAIEIWDLDIIDEVQPAVVLGGIEEKKKKKGKKVSIKYKEGSHTDSVLGLAWNKHFRNILASASADKQVKIWDVDAGKCDITMEHHTDKVQAVAWNHHEPQVLLSGSFDRSVVMKDGRLPSDPGFKWSVTADVESLAWDPHDKHLFVVSLEDGTVQGFDIRAAKSGSSSDLKPSFTLHAHDKAVCTISYNPLAPNLLATGSTDKMVKLWDLSNNQPSCLVSKNPKAGAIFSVSFSEDNPFLLAIGGSKGTLELWDTLSEAEVARRFGTTAS >Potri.007G019833.1.v4.1 pep chromosome:Pop_tri_v4:7:1497100:1504094:1 gene:Potri.007G019833.v4.1 transcript:Potri.007G019833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019833.v4.1 MIAAVSWVPKGVAKSVPSVADPPSKEEIEELIKASDLERSGEDSGSEEDDQEMDVDAAKQTGEVSQALAVANALGRSSKVNKSETKFDDIADGLQELDMDKYDDEEDGIELFSTGLGDLYYPSNSMDPYIKDGDDDDSEDLEDMAIKPKDAVIVCACNKDEFSNLEVWILDETSDGDSNIYVHHEVPLSAFPLCTAWLDCPLKGGEKGNFIAVGSMEPAIEIWDLDIIDEVQPAVVLGGIEEKKKKKGKKVSIKYKEGSHTDSVLGLAWNKHFRNILASASADKQVKIWDVDAGKCDITMEHHTDKVQAVAWNHHEPQVLLSGSFDRSVVMKDGRLPSDPGFKWSVTADVESLAWDPHDKHLFVVSLEDGTVQGFDIRAAKSGSSSDLKPSFTLHAHDKAVCTISYNPLAPNLLATGSTDKMVKLWDLSNNQPSCLVSKNPKAGAIFSVSFSEDNPFLLAIGGSKGTLELWDTLSEAEVARRFGTTAS >Potri.001G463300.1.v4.1 pep chromosome:Pop_tri_v4:1:48917685:48919584:1 gene:Potri.001G463300.v4.1 transcript:Potri.001G463300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463300.v4.1 MAPSGSSFLSVFVFLLVLSPSSIDSLSIKDSFLQCLSKNSESSYPFSTILYTPNNSSFTSVLESSVQNLRFSQPTVPKPEFIFTPLYESHIQAVVVCSKQLGIHLRVRSGGHDYEGLSYVSEIEHPFIVVDLAKLRSISVDIEDNSAWVEAGATTGELYYRISEKSNTHGYPAGVCTSLGIGGHITGGAYGTMFRKYGLAADNVIDARIIDAYGRVLDRKAMGEDLFWAIRGGGGGSFGIITSWKVKLVPVPPIVTIFGAARTLEQGATKILYRFLQASDKLDENLFFRVSTQLANASEEGKKTISTSYNGLFLGDAKKLLQVMQESFPELGLTKQDCIETNWINSVLYMGFFPNNSTPEILLQRQNLFKGTFKGKSDFAKKPIHESALEGLWEMMYEEDTPSVAFIPYGGMMSKISESEIPFPHRKGNMFFISYMTTWEDPSENAKHIDWIRKVYKYMTPYVSMYPREAYLNYRDLDLGMNKNTNTSFKEASVWGSKYFKGNFKRLVKVKTKVDAGNFFRHEQSIPPLPISMRKRK >Potri.005G031300.1.v4.1 pep chromosome:Pop_tri_v4:5:2046178:2050076:-1 gene:Potri.005G031300.v4.1 transcript:Potri.005G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031300.v4.1 MAHNQNCNMAVPVFCLIFFLMPGASAFVCNFTDCEALLKFKAGITSDPEGYVKDWNEANPFCNWTGVTCHQSLQNRVIDLEIIDMRLEGSISPFLSNLSLLTKLSLQGNNFREEIPTTLGALSRLEYLNMNENQLSGAFPASLHGCQSLKFLDLSVNNLSGVIPEELGWMKKLSFLALSVNNLTGVIPAFLSNLTELTQLQLAVNYFTGQIPVELGVLSRLEILYLHTNFLEGTIPESLSNCTALRAISLIGNRLSGEIPSEMGNKLQNLRMLNFYGNNISGRIPVTFSNLSQITLLDLSINYLEGEVPEELGKLKNLEILYLHSNNLVSNSSLSFLTALTNCSFMKKLHLGSCLFSGSLPASIGNLSKDLYYFNLLNNRIRGEIPDSIGNLSGLVTLQLWYNHLDGTIPATFGKLKLLQRLYLGRNKLQGSIPDEMGQMENLGLLDLANNSITGSIPSSLGNLSQLRYLYLSQNSLSGNIPIKLSQCSLMMQLDLSFNSLQGPLPPEIGVFANLGLSLNLSNNNLDGEIPATIGNLVSVQAIDLSVNRFSGIIPSSVGSCTALEYLNLSKNMIQGTIPESLKQIAYLKVLDLAFNQLTGSVPIWLANDSVMKNFNLSYNRLTGEVSSMGKFKNLSGSTLIGNAGLCGGSALTGLQPCAVQKKRRKLWKWTYYLFAITISCFLLLLVYVVVRVRRFFKKKTDAESEEAILMAFRGRNFTQRELEIATDGFSDAKLLGRGSFGSVYKAWIDDRISFVAVKVLNEDSRRCYKSLKRECQILSGIKHRNLVHMMGSIWNSQFKALILEFVGNGNLEQHLYPESEGGNCRLTLSERLGIAIDIANALEYLQLGCSTQVVHCDLKPQNVLIDDDMVARVADFGIGKVFFADKPAEYSSTASGLRGSVGYIPPEYGQSNEVSVRGDVYSFGIMLLELITRQRPTGEMFTDGLDLRKWVGAATPHHILDVVDMSLKREAHSSGAIEKLKQCCVHVVDAGMMCTEENPQSRPSISLISRELQNLWKQMEFGK >Potri.005G031300.2.v4.1 pep chromosome:Pop_tri_v4:5:2046178:2050076:-1 gene:Potri.005G031300.v4.1 transcript:Potri.005G031300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031300.v4.1 MAHNQNCNMAVPVFCLIFFLMPGASAFVCNFTDCEALLKFKAGITSDPEGYVKDWNEANPFCNWTGVTCHQSLQNRVIDLEIIDMRLEGSISPFLSNLSLLTKLSLQGNNFREEIPTTLGALSRLEYLNMNENQLSGAFPASLHGCQSLKFLDLSVNNLSGVIPEELGWMKKLSFLALSVNNLTGVIPAFLSNLTELTQLQLAVNYFTGQIPVELGVLSRLEILYLHTNFLEGTIPESLSNCTALRAISLIGNRLSGEIPSEMGNKLQNLRMLNFYGNNISGRIPVTFSNLSQITLLDLSINYLEGEVPEELGKLKNLEILYLHSNNLVSNSSLSFLTALTNCSFMKKLHLGSCLFSGSLPASIGNLSKDLYYFNLLNNRIRGEIPDSIGNLSGLVTLQLWYNHLDGTIPATFGKLKLLQRLYLGRNKLQGSIPDEMGQMENLGLLDLANNSITGSIPSSLGNLSQLRYLYLSQNSLSGNIPIKLSQCSLMMQLDLSFNSLQGPLPPEIGVFANLGLSLNLSNNNLDGEIPATIGNLVSVQAIDLSVNRFSGIIPSSVGSCTALEYLNLSKNMIQANDSVMKNFNLSYNRLTGEVSSMGKFKNLSGSTLIGNAGLCGGSALTGLQPCAVQKKRRKLWKWTYYLFAITISCFLLLLVYVVVRVRRFFKKKTDAESEEAILMAFRGRNFTQRELEIATDGFSDAKLLGRGSFGSVYKAWIDDRISFVAVKVLNEDSRRCYKSLKRECQILSGIKHRNLVHMMGSIWNSQFKALILEFVGNGNLEQHLYPESEGGNCRLTLSERLGIAIDIANALEYLQLGCSTQVVHCDLKPQNVLIDDDMVARVADFGIGKVFFADKPAEYSSTASGLRGSVGYIPPEYGQSNEVSVRGDVYSFGIMLLELITRQRPTGEMFTDGLDLRKWVGAATPHHILDVVDMSLKREAHSSGAIEKLKQCCVHVVDAGMMCTEENPQSRPSISLISRELQNLWKQMEFGK >Potri.002G073000.2.v4.1 pep chromosome:Pop_tri_v4:2:5141925:5143106:-1 gene:Potri.002G073000.v4.1 transcript:Potri.002G073000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G073000.v4.1 MILSNSITCKKIYHHSREREREREGMAMKNCFQVSSVSTTRAGVCHPFAPVEKLQLPTSKGLNTSNLLLSSPSSSFPPSLRSRCQESRIVCKAREAVDAVQVATDASWDAVIGGDTPVLVEFWAPWCGPCKMIAPVIEELAQEYAGKIACYKVNTDDCPSIATKYGIRSIPTVLFFKKGEKKESVIGAVPKTTLSSSIEKYIDV >Potri.018G032200.1.v4.1 pep chromosome:Pop_tri_v4:18:2364955:2368786:-1 gene:Potri.018G032200.v4.1 transcript:Potri.018G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032200.v4.1 MSESNQTTPLIQPSSSRKLPDFKQSVKLKYVKLGYHYLITHGMFLFLSPIVVVIAAQLSTFSIQDLHDLWDHLKFNLISVILCSTLLVFLLTLYFLTRPRPVYLVDFACYKPEDSRKCTRKIFMERSQLTGAFAETSMEFQRKILERSGLGESTYLPESVLRVPPNPCMAEARKEAEAVMFGAIDQLLEKTSVKPKDIGILIVNCSLFNPTPSLSAMVINHYKLRGNILSYNLGGMGCSAGLISIDLAKHLLQVHPNSYALVVSTENITLNWYFGNDKSMLLSNCLFRMGGAAVLLSNKRSDWWRSKYQLVHTVRTNKGADDKCFSCVTQQEDSTGKVGVSLSKDLMAVAGDALKTNITTLGPLVLPMSEQLLFFATLVGKKFFKMKLKPYIPDFKLAFEHFCIHAGGRAVLDELENNLHLSDWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKRGDRTWQIAFGSGFKCNSAVWKALRTINPAKEKNPWMDEIDQFPVDVPNFSVIQ >Potri.008G203100.1.v4.1 pep chromosome:Pop_tri_v4:8:14702008:14704529:1 gene:Potri.008G203100.v4.1 transcript:Potri.008G203100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203100.v4.1 MMACIQFLLLFCSFMYIFNVNPSCAQIPNSPIRSLVLPVTKDPATFQFLTTIYHGTSREPIRVVLDLGCPSLWLDCSSGRLSSSRRLIPSCSIQCAAAKPNNMSCAFSAAMPTRKRTACGLSTENSIARSATRGELVEDILTVESVDGSKAGPVTTVDHFLFSCAPRFLLNRLARGAQGMLGLGKSRIALPSQLASKFGLQRKFATCLSSSDGLILFGHEPGYDSIFGTEISRSLMYTPLVTSPDGSGSSQDYSINVKSIKINGKRLSLRQKGIGGGTKISTTVPYTTLESSIYSTFIKAYKESATNNYFLNMTVVAPVAPFGLCFSSKEVPSSMLLGPMVPVIDLVLQSEMVKWRVHGRNAMVPVLDEVMCLGFLDGGSKSKTSSSIVIGGFQLEDNLLEFNLGTSMLGFSSSLLTRHTSCSDYHTRMMQSTLKDS >Potri.003G131600.1.v4.1 pep chromosome:Pop_tri_v4:3:14990299:14991097:1 gene:Potri.003G131600.v4.1 transcript:Potri.003G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131600.v4.1 MSRGGSYGGGQSSLGYLFGSDEQPSAPPPSRPVNLPSYGVDITIEKSPDSGSSEKKPVSNNYHRAQGQNTGNFITDRPSTKVKSVPGGDSSLGYLFGDK >Potri.002G242500.1.v4.1 pep chromosome:Pop_tri_v4:2:23454160:23456687:-1 gene:Potri.002G242500.v4.1 transcript:Potri.002G242500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242500.v4.1 MGGNGKVFTLAEVSAHNSPKDCWLVVEGRVYDVTKFLEDHPGGDDVLLSATGKDATDDFEDVGHSSTARALMDEFYVGDIDTATIPTSVKYTPPKQPHYNQDKTPEFIIKLLQFLVPLIILAVAVGVRFYTKSPSA >Potri.007G074056.1.v4.1 pep chromosome:Pop_tri_v4:7:9683096:9684882:1 gene:Potri.007G074056.v4.1 transcript:Potri.007G074056.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074056.v4.1 MKTIAAGSIAPQPIFDIIEPTHPYISYPQKSTVRDASFSSYLRPTPEQLNRVDDSEICIFDAQSYYFNEGGSCDPKLNKRVSPVTNLERLSERYDFSSVPRFSSASSSVVDGYGRNYRARSFHATPTASSEASWNSQTGLLSNPPGAIAVSMRNPPRNDDKKKGCGTKWLLGRKCPCSGKKSVQIEEKLSEARTPSRISHTRVSSDLKKKIQNQIRTTVENPIEKSLAAPDWLERREVIPSTHRISSDNKLERREVIPNSHRISADNNRFPSSLSHQLVVASARPFSTDTTAGFSFPVQSQTPPPTKLVLPSATYNPLLEDPPRHSLEVFRPADDPIPTKSISDLHRRQSFTVIDDDMASDASSDLFEIESFSTQTTSYAMCPNLRDSLDDASSFNARRLAATNGGILYCRRSLDDPRTPSVAATECYEPSEASIDWSVTTAEGFDRGSVTNFSVSASEVDEMTMMRGGGGAGKKRGGNGGLLMSCRCEKAVSVGPHPVKCVATEGQRVVSSTQRHVGSRAAIKNKPPLAS >Potri.009G129800.5.v4.1 pep chromosome:Pop_tri_v4:9:10609586:10609792:1 gene:Potri.009G129800.v4.1 transcript:Potri.009G129800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129800.v4.1 MENLRFFFFALLLLVSPLLQGNLTFSSSQFLDPEPHTHAKLLLVFLWSVCEFTLFFHFICLKWNSHAA >Potri.009G129800.3.v4.1 pep chromosome:Pop_tri_v4:9:10609462:10613566:1 gene:Potri.009G129800.v4.1 transcript:Potri.009G129800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129800.v4.1 MENLRFFFFALLLLVSPLLQVARSQSDSDAEVAGTVEEVSDLGIVGENAQDFGDENFSQALGVDVVCVFPKNSARLVNAGEETELLVGVRNDGELPITVVGIRASVHLPFDHKLLVQNLSAQGFNNATIPASAQATFPYIFAVSKYLQPGSFDLVGTIIFEIDQQPYQSTFYNGTIEVVQSVGFLSTESVFLVTLGIALLVLFGLWLQSQIQNLSKKSKRAAKVEVGTGARDASVDEWLQGTALSQSQSQKSKKKK >Potri.004G112200.1.v4.1 pep chromosome:Pop_tri_v4:4:10288479:10291761:1 gene:Potri.004G112200.v4.1 transcript:Potri.004G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G112200.v4.1 MALQNIGAANSDDAFYRYKMPKMITKIEGRGNGIKTNIVNMVDIAKALARPASYTTKYFGCELGAQSKFDEKTGTSHVNGSHDTAKLAGLLENFIKKYVQCYGCGNPETEVIITKSQMIQLKCAACGFVSDVDMRDKLTTFIVKNPPETKKGSKDKKAMRRAEKERLKEGEAADEEQKKLKNGKKKGSSTKDGHAKASSIKKKANSSDEERGSPTHSQVDEKEEADDEDDVQWQTDTSLEAARQRIQEQLSAATADMVMLAADETEKNSKTASKENGSPREEEPKAGCGNSSAYETLVEEVKANLKKGVSASKIQSVLGSLDGSAQEKMNGLFEALFEGVEKGFAKEVGKKKKYLAAAVAQDEGSQLLLLRAIGAFCGKSSSSALKEVALVMKDLYDADVLEEEYIVQWYQEGLEGPSKDSQIWKNAKPFIEWLQNAESESEEE >Potri.004G106700.3.v4.1 pep chromosome:Pop_tri_v4:4:9369846:9377550:1 gene:Potri.004G106700.v4.1 transcript:Potri.004G106700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106700.v4.1 MEKKIIDDYEPGPLPSPRKMDRFGFLKQEINNPPDGLAKGREERRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLCLFDRLMKEHMPKLGEHFTQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYRHDDLVKLPFEKLIHALRNFPDDAMDPDTLLPMAYSIKVLSFFVWVACVLRKILSFI >Potri.004G106700.2.v4.1 pep chromosome:Pop_tri_v4:4:9369802:9377547:1 gene:Potri.004G106700.v4.1 transcript:Potri.004G106700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106700.v4.1 MEKKIIDDYEPGPLPSPRKMDRFGFLKQEINNPPDGLAKGREERRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLCLFDRLMKEHMPKLGEHFTQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYRHDDLVKLPFEKLIHALRNFPDDAMDPDTLLPMAYSIKVSRRLEELKQEYNKKDGKPSLSIEIKGNQKQVQ >Potri.004G106700.4.v4.1 pep chromosome:Pop_tri_v4:4:9369846:9377543:1 gene:Potri.004G106700.v4.1 transcript:Potri.004G106700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106700.v4.1 MEKKIIDDYEPGPLPSPRKMDRFGFLKQEINNPPDGLAKGREERRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLCLFDRLMKEHMPKLGEHFTQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYRHDDLVKLPFEKLIHALRNFPDDAMDPDTLLPMAYSIKVLSFFVWVACVLRKILSFI >Potri.004G106700.1.v4.1 pep chromosome:Pop_tri_v4:4:9369806:9377547:1 gene:Potri.004G106700.v4.1 transcript:Potri.004G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106700.v4.1 MEKKIIDDYEPGPLPSPRKMDRFGFLKQEINNPPDGLAKGREERRVRKWRKMIGVGGSDWKHYVRRKPHVVKRRIRKGIPDCLRGLVWQLISGSRDLLLMNPGVYEQLVIYETSASELDIIRDISRTFPSHVFFQQRHGPGQRSLYNVLKAYSVYDRDVGYVQGMGFLAGLLLLYMSEEDAFWLLVALLKGAVHAPMEGLYQVGLPLVQQYLCLFDRLMKEHMPKLGEHFTQEMINPSMYASQWFITVFSYSFPFHLALRIWDVFLYEGVKIVFKVGLALLKYRHDDLVKLPFEKLIHALRNFPDDAMDPDTLLPMAYSIKVSRRLEELKQEYNKKDGKPSLSIEIKGNQKQVQ >Potri.006G202300.1.v4.1 pep chromosome:Pop_tri_v4:6:20965867:20966701:1 gene:Potri.006G202300.v4.1 transcript:Potri.006G202300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202300.v4.1 MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFYCPIVNIDKLWSMVPQDVKDKATKDTVPMIDVTQFGYFKVLGKGVLPDKQPIVVKAKLISKIAEKKIKEAGGAVVLTA >Potri.011G096300.2.v4.1 pep chromosome:Pop_tri_v4:11:12448951:12453186:1 gene:Potri.011G096300.v4.1 transcript:Potri.011G096300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096300.v4.1 MMLRSIKSLATSPSSHILRRGYASEAVPDRKVAVLGAAGGIGQPLALLMKLNPLVSSLALYDIANTPGVAADVSHINTRSEVSGYSGEAELGKALEGADVVIIPAGVPRKPGMTRDDLFNINAGIVKGLCQAIAKYCPHALVNMISNPVNSTVPIAAEVFKKAGTYDPKRLFGVTTLDVVRAKTFYAGKAKVPVAEVNVPVVGGHAGITILPLFSQATPKANLSDAEITALTKRTQDGGTEVVEAKAGKGSATLSMAYAGAIFADACLKGLNGAPDVVECSYVQSTITELPFFASKVRLGKNGVEEVLGLGPLSDYEKEGLEKLKPELQSSIEKGIKFANQ >Potri.012G128000.2.v4.1 pep chromosome:Pop_tri_v4:12:14383837:14387608:1 gene:Potri.012G128000.v4.1 transcript:Potri.012G128000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128000.v4.1 MALQIHPCIPIFFSLQKKPSHRLLLLPLRKPTKLKIKAKNPTSNPTPPNKKPTAVSPGRGFGSQSSTAATSSKTSGSWSKKKRKRNRRGRASIVWRTPVEKPGFIRQEYEATFKEMSRNENAFILAWIALGGIILVEDLVLAASGKSKKKIKILVCMSLYPSFIPIVGLFVAGTVACGVLKNLQNENVEDLK >Potri.001G008240.1.v4.1 pep chromosome:Pop_tri_v4:1:565286:567209:-1 gene:Potri.001G008240.v4.1 transcript:Potri.001G008240.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008240.v4.1 MASFNIVAVLSLYLLSLLLLSKTRLSLSLTTSDIHDLLPQYGLPRGLLPDNVESYTLPSSDGSFEVKLKTPCYVHFDDVVYYDKVIKGKLSYGSVHDVSGIQAKKLFIWLPVTGIEVSKADDGMISFFVGPISEELPAKQFEDVPACKKKVGGLMTDLESM >Potri.017G053400.1.v4.1 pep chromosome:Pop_tri_v4:17:4021498:4023995:1 gene:Potri.017G053400.v4.1 transcript:Potri.017G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053400.v4.1 MDDLPDQLVWDILSRVKKTVDKNSASLACKRIYELDNEQRQSMRVGCGLDPANQALTSLCNRFPNLAKVEITYSGWMSKLGKQLDDQGLVILANNCPSLTDLTLSYCTFITDVGLRHLASCSKLSALKLNFTPRITGCGILSLVVGCKNLTILHLIRCLNVTSVEWLEYIGKLETLEDLSIKNCRALGEGDLIKLGSSWRKLKRLQFEVDANYRYMKVYDRLAVDRWQKQWIPCESMLELSLVNCIISPGRGLACMLGKCKNLEKIHLDMCVGVRDCDIIGLAKKSSNLRSISLRVPSDFSLPLLMNNPLRLTDESLKALAQNCSMLESVRISFSDGEFPSFSSFTQNGILNLIQMCPIRELALDHVYSFNDVGMEALCSAHYLETLELVRCQEISDEGLQLVGQFPRLCILRLKKCLGVTDDGLYPLAGTDKLDFLAVEDCPQISERGVQGAARSVSFRQDLSWMY >Potri.008G044800.4.v4.1 pep chromosome:Pop_tri_v4:8:2548146:2553012:-1 gene:Potri.008G044800.v4.1 transcript:Potri.008G044800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044800.v4.1 MADNPTSPAAGSHESGGEQSPRSGVREQDRYLPIANISRIMKKALPANGKIAKDAKDTVQECVSEFISFVTSEASDKCQKEKRKTINGDDLLWAMATLGFEDYIEPLKVYLARYRELEGDAKGSARGGDGSSKRDAVGGLPGQNAQFAFQGSMNYTSPQVQGQHMILPSMPGNE >Potri.010G163200.1.v4.1 pep chromosome:Pop_tri_v4:10:16806880:16813255:1 gene:Potri.010G163200.v4.1 transcript:Potri.010G163200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163200.v4.1 MRKHGWQLPYHPLQVVAVAVFLALGFAFYVFFAPFVGKKLFQHIAMGIYTPLITCAFGLYIWCAAADPADPGVFRSKKYLKIPDSEKHNPQKDSKLGGGSTSSKHDANASTVGGKSLDKEAVGSDATLKEPNTQIEKVSSGNSSCFQWVFFPCALICNWCSSSDESSELQMSEDGMFYCSLCEVEVFKYSKHCRVCDKCVDRFDHHCRWLNNCVGKKNYGQFFTLMVSSLLLLILQWSTGILVLICCFLERKRFAVDISAKLGSSFSLAPFVIVVSVCTILAMIATLPLAQLFFFHILLVKKGISTYDYIIALREQEQEQQGVEGQQSVQMSPASSLTGLSSASSFSTFHRGAWCTPPRLFLEDQFDVVPPETGSVSSLGKKSMREEPIKKKNPATVKISPWTLARLNAEEVSRAAAEARKKSKILQPVTRREPPFGLDTDSSFGSSGHRMVPRIDNNRRRASKRIRFPADLPMESVTRTSGITPEKGFTETSTSLAPLQREARSAFQTSRAMSSSAGVAASSPESSLDSPDIHPFRVSSSGAEESRRLTGLSVAGAVSHNAFPLSRSTSDGYEASGGEDSDRVPSRIAQRSDNWSNLLFHADRDETVFRMKASSSSSQANNREL >Potri.017G090000.1.v4.1 pep chromosome:Pop_tri_v4:17:10326403:10329611:1 gene:Potri.017G090000.v4.1 transcript:Potri.017G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090000.v4.1 MWNFASKCLAGSVSLNNSLKPAQVPSEYSDDEASSVVSREEGLECPICWESFNIVENVPYVLWCGHTLCKNCILGLQWAVVKFPTLPIQLPLFISCPWCNLLSFRLVYRGNLKFPRKNYFLLWMVESKNGDREKSHGTFCEDQQPHWSSDSNLALGNQASRGNIRRGQYVHHAEPSEANHDHNHVNSYLNVRRIHSSLQKSLVFFVHLTAKFPLVIIFLLIILYAIPASAAILALYILITVLFALPSFLILYFAYPSLDWLVREIIT >Potri.009G070600.1.v4.1 pep chromosome:Pop_tri_v4:9:6957851:6962226:-1 gene:Potri.009G070600.v4.1 transcript:Potri.009G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070600.v4.1 MTSVINIDGHEPLTPALQHQGEMESKVESSEKACGNHGGICAICLDKIVLQETALVKGCEHAYCVTCILRWATYSKNSTCPQCKQPFEFLNVHRSLDGSIQDYMFEESVCLLLRAAWFMPLTVEDHEDFYEDPDDYYPYEYEYEYEDEDDDLDGVYLSSSSNLRIGNRRWGDNGYVRAGRQEARPVHRSNFDDSGAGSSREPKKKEAAKDRTGRRAKRTLKREAADKAAAAKHQQHLTRLGRK >Potri.019G010000.2.v4.1 pep chromosome:Pop_tri_v4:19:1447615:1452749:1 gene:Potri.019G010000.v4.1 transcript:Potri.019G010000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010000.v4.1 MIMQMPRWRNVILLKNSLVPASSQSITTRTHFASIHSTPIACEKRKSKRVDDNRGSQKPSKDYIKFVRRQKRADEKRALKDLLYNNGPLRIFQHKDPVWSSDAEPPFGSRKRNEKAYARSAKKYHQDKIRSKLRRESSAEDSGDPETVFHATFGNRWYTWSNKSFQGEPSGFEWREPPKWKDQRYKEWDANRETESGNASYSVGSHSDRTILGLPLTGPLKIEDVKNAFRLSALKWHPDKHQGASQAVAGERFKLCVNAYKSLCDALA >Potri.017G137700.1.v4.1 pep chromosome:Pop_tri_v4:17:13893753:13897377:1 gene:Potri.017G137700.v4.1 transcript:Potri.017G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137700.v4.1 MADAIVSALVSPILENLSLQALKEAGLAWGLDTELENLESTFAIVQAVLQDAEEKQWKNKALEIWLRRLKDAAYDVDDVLDDFAIEARRHRLQKDLKNRLRSFFSLDHNPLIFRLKMAHKLKNVREKLDVIANENKTFELTTRVGDVAADWRLTSSVVNESEIYGRGKEKEELINMLLTTSGDLPIHAIRGMGGLGKTTLVQLVFNEESVKQQFGLRIWVCVSTDFDLIRLTRAIIESIDGAPCGLQELDPLQRCLQQKLTGKKFLLVLDDVWEDYTDRWSKLKEVLRCGAKGSAVIVTTRIEMVAHRMATASVRHMGRLSEEDSWQLFQQLAFGMRRKEERAHLEAIGVSIVKKCGGVPLAIKALGNLMRLKESEDQWIAVKESEIWDLREDASEILPALRLSYTNLSPHLKQCFAYCAIFPKDHVMRREELIALWMANGFISCRREMDLHGIEIFDELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAAQECYTTEGNEELEIPKTARHVAFYSQSVASSYEVLKVLSLRSLLVRNGALWNGWGKIPGRKHRALRLTNVRVEKLPKSICDLKHLRYLDVSGSMIRTLPECTTSLQNLQTLDLSSCGKLIQLPKGMKHMRSLVYLDITDCDSLRFMPVGMGQLIFLRKLTLFIVGGENGRRINELEGLNNLAGELSITDLVNVKNLKDATSANLKFKTDLSSLNLSWHENGNGNGTTSVIHENSEEVLDGFQPPSKLKSLRILGYRGSKFPNWMMNLNMTLPNLVDMELSACDHCEQLPPLGKLQFLKSLVLHGMDGVKSIDSNVYGDGQNPFPSLETLTFYSMEGLEQWVACTFPRLRELRVGDCPVLNEIPIIPSVKSVHIRGGKDSLLRSVRNLTSITSLHIEGIRNVRELPDGFLQNHTLLESLDIWGMRDLESLSNRVLDNLSALKSLEIFYCGKLESLPEEGLRNLNSLEVLEIRWCRRLNCLPRDGLCGLSSLRKLSIGSCDKFTSLTEGVRHLTALEDLRLAGCPELNSLPESIQHLTSLQSLTIVECPNLKKRCEKDLGEDWPKITHIPKIIIDFIRIN >Potri.017G137700.2.v4.1 pep chromosome:Pop_tri_v4:17:13894434:13897489:1 gene:Potri.017G137700.v4.1 transcript:Potri.017G137700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137700.v4.1 MAHKLKNVREKLDVIANENKTFELTTRVGDVAADWRLTSSVVNESEIYGRGKEKEELINMLLTTSGDLPIHAIRGMGGLGKTTLVQLVFNEESVKQQFGLRIWVCVSTDFDLIRLTRAIIESIDGAPCGLQELDPLQRCLQQKLTGKKFLLVLDDVWEDYTDRWSKLKEVLRCGAKGSAVIVTTRIEMVAHRMATASVRHMGRLSEEDSWQLFQQLAFGMRRKEERAHLEAIGVSIVKKCGGVPLAIKALGNLMRLKESEDQWIAVKESEIWDLREDASEILPALRLSYTNLSPHLKQCFAYCAIFPKDHVMRREELIALWMANGFISCRREMDLHGIEIFDELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAAQECYTTEGNEELEIPKTARHVAFYSQSVASSYEVLKVLSLRSLLVRNGALWNGWGKIPGRKHRALRLTNVRVEKLPKSICDLKHLRYLDVSGSMIRTLPECTTSLQNLQTLDLSSCGKLIQLPKGMKHMRSLVYLDITDCDSLRFMPVGMGQLIFLRKLTLFIVGGENGRRINELEGLNNLAGELSITDLVNVKNLKDATSANLKFKTDLSSLNLSWHENGNGNGTTSVIHENSEEVLDGFQPPSKLKSLRILGYRGSKFPNWMMNLNMTLPNLVDMELSACDHCEQLPPLGKLQFLKSLVLHGMDGVKSIDSNVYGDGQNPFPSLETLTFYSMEGLEQWVACTFPRLRELRVGDCPVLNEIPIIPSVKSVHIRGGKDSLLRSVRNLTSITSLHIEGIRNVRELPDGFLQNHTLLESLDIWGMRDLESLSNRVLDNLSALKSLEIFYCGKLESLPEEGLRNLNSLEVLEIRWCRRLNCLPRDGLCGLSSLRKLSIGSCDKFTSLTEGVRHLTALEDLRLAGCPELNSLPESIQHLTSLQSLTIVECPNLKKRCEKDLGEDWPKITHIPKIIIDFIRIN >Potri.001G150300.1.v4.1 pep chromosome:Pop_tri_v4:1:12560085:12562804:1 gene:Potri.001G150300.v4.1 transcript:Potri.001G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150300.v4.1 MGRRQNDSEPSRFISLTFLLAGFISCALVYTVLSVALNSNTNSKGSNFEPLTLAEESNDGGCCRGVEKLELWGGAVKWGSDHKFNSSKECCQACKAMCTGIDGPCLCDTWVFCGNKKACGSKFGECWLKKQKDIYAPDRQEAGDRVIWTSGLIFGKGEGIVGLETEYGTLHIKLFPDCAPHSVAYILELLTLRHCAGCQFYRAEGRGQLWDSEGNHIKKAPFGPPFAIIQGTLEAQGTTFKKIPTEECPYIRRGSVAWVGSGPEFFISLADHQEWKKAYTVFGSVLPEDMEIAEKIAQLPTKSDVWNNINVSVLEKPVPLLVRRLDGWGNLNTNVKSD >Potri.016G026801.1.v4.1 pep chromosome:Pop_tri_v4:16:1516818:1519023:1 gene:Potri.016G026801.v4.1 transcript:Potri.016G026801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G026801.v4.1 MIVRWFCCGFVFCFGGLCAHSELKGHLKTTKGLPRLRLKCLVCSENFFARGLWSSFKLQTLLLSASCYGIIRWIRNFHAAFAIRLTSTHSCKDDYVLEFVLPGGMKETSERVLLINKVLRTLQRKCLKMWEVWVRELNEASGSEVRLDDERTSSIPHEAVSRVFHFHHAMVF >Potri.004G046300.1.v4.1 pep chromosome:Pop_tri_v4:4:3654044:3656586:1 gene:Potri.004G046300.v4.1 transcript:Potri.004G046300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046300.v4.1 MEGGDDQLHHYHHKHRPNFPFQLLEKKEDEPCSSSSPYPSLPISTTEPDNDNNSNKTNTNLSTSNLQIVSAEQSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTKELGHKSDGETVEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSIPSQLRSGSFSPNFSLQQRSRSLFPGIGLETSQPPTFLSFQSSNNLNAMMQAKQELRDNSSLELSTETEESFNRKRRPEQDLSSSQQHQMGSYLLQSSSGAVPASHGQIPANFWMLANSNNNQVMSGDPIWTFPSVNNSGLYRSTMSSGLHFMNFPAPVTLLPSQQLGSTSIGGSSAGNSGMSELGHLNMLAGLNPYRASGISESQASGSHSHHGGGGGGSDDRHDTTSHHS >Potri.019G077600.2.v4.1 pep chromosome:Pop_tri_v4:19:11840049:11844844:-1 gene:Potri.019G077600.v4.1 transcript:Potri.019G077600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077600.v4.1 MALPHGSLFLGFDSSTQSLKATVLDSNLNIVKSEQIHFDSDLPHYKTKDGVYRDPSDNGRIVSPTLLWVEALELVLQRLVKSGLDFGKVAAVSGSGQQHGSVYWKKGGSGILSSLDSKKPLVDQLGDAFSIKESPVWMDSSTTTQCREIEKAVGSALELSQITGSRAYERFTGPQIRKIYQTQPEAYNNTERISLVSSFMASLLVGAYASIDHTDGAGMNLMDINQRVWSKKVLEVTAPGLEEKLGKLAPAHAVAGNIASYFVERYNFNKNCLVVQWSGDNPNSLAGLTLSVPGDLAISLGTSDTVFGIASDPKPGLEGHVFPNPVDTQGYMVMLCYKNGSLTREDVRNRCAEKSWEIFNKYLEQTPALNGGKMGFYYKDHEILPPLPVGFHRYVLPNFTGNNVEGLNEQEVKEFDPPSEVRAVIEGQFLSMRAHSERFGMPSPPKRIIATGGASANGSILNSLASIFGCDVYTVQQPDSASLGGALRAAHGWLCSQKGSFVPIADLYEGKLEKSALSCKLSVKAGDQELVSKYALLMKKRMEIENRLVKDLGRC >Potri.019G077600.3.v4.1 pep chromosome:Pop_tri_v4:19:11840051:11844886:-1 gene:Potri.019G077600.v4.1 transcript:Potri.019G077600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077600.v4.1 MALPHGSLFLGFDSSTQSLKATVLDSNLNIVKSEQIHFDSDLPHYKTKDGVYRDPSDNGRIVSPTLLWVEALELVLQRLVKSGLDFGKVAAVSGSGQQHGSVYWKKGGSGILSSLDSKKPLVDQLGDAFSIKESPVWMDSSTTTQCREIEKAVGSALELSQITGSRAYERFTGPQIRKIYQTQPEAYNNTERISLVSSFMASLLVGAYASIDHTDGAGMNLMDINQRVWSKKVLEVTAPGLEEKLGKLAPAHAVAGNIASYFVERYNFNKNCLVVQWSGDNPNSLAGLTLSVPGDLAISLGTSDTVFGIASDPKPGLEGHVFPNPVDTQGYMVMLCYKNGSLTREDVRNRCAEKSWEIFNKYLEQTPALNGGKMGFYYKDHEILPPLPVGFHRYVLPNFTGNNVEGLNEQEVKEFDPPSEVRAVIEGQFLSMRAHSERFGMPSPPKRIIATGGASANGSILNSLASIFGCDVYTVQQPDSASLGGALRAAHGWLCSQKGSFVPIADLYEGKLEKSALSCKLSVKAGDQELVSKYALLMKKRMEIENRLVKDLGRC >Potri.015G098200.1.v4.1 pep chromosome:Pop_tri_v4:15:11863443:11863888:1 gene:Potri.015G098200.v4.1 transcript:Potri.015G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098200.v4.1 MAVEYNIYKGSCSAAVPKPRRKFLSAFLQLILKEEVFERKNPTMADWAPILLGFVLFILLSPGLLFQVPGNTRNLEFSSFTTNGKAIVVHTLIFFVVFTILILALGIRIYTG >Potri.007G097000.1.v4.1 pep chromosome:Pop_tri_v4:7:12171481:12172203:-1 gene:Potri.007G097000.v4.1 transcript:Potri.007G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097000.v4.1 MITRSGHSKANSKLGLIITDKIQIMGGYEPEKQVCKIHPYNDQKQGVCASCLRERLKQLIYVNTSQAAIMAPASCSSSPDDPYSPASSRRRHNRNISDNNNNSMGSISFRVSIGDRLKKSRSLAFVSRNHVGEVKNGKKNNNGFWTKLLHLKGKKDQVLMRSGVSMSERLYN >Potri.005G046800.2.v4.1 pep chromosome:Pop_tri_v4:5:2971125:2975416:-1 gene:Potri.005G046800.v4.1 transcript:Potri.005G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046800.v4.1 MICLPVNLINFQYCVQCSFQVLSDIIPKETLLWRLKLLQSAAAYANSRLHAVRAESLVLAGGNDNLLRSRDEAYRLKSSLKNCKVRCFKDNGHSMLMEDGFNLLTIIKGACKYRRTRRLDFVSDFLPPSMSEFKCVFDKGIGLFRFGTCPAVFSTLDDGKIVRGLAGVPDEGPVLFIGYHMLMGFEIYSLVEEFMKEKNIVVRGIAPPYLFSEVMEGLFTGFSVSDWLKVMGAVPVTGSNLFRLLSTKSHVLLYPGGQREALHNKGEGYKLFWPDQPEFVRMAARFGATIVPFGTVGEDDVGELALDYHDMMKIPILNDYIRGAKSKG >Potri.011G157300.2.v4.1 pep chromosome:Pop_tri_v4:11:18339851:18344004:1 gene:Potri.011G157300.v4.1 transcript:Potri.011G157300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G157300.v4.1 MKLWLPKSHAFMATPLAFRSIRRACFASSGLKWEGGVSMVQGASRGIGLEFVKQLLEKNDKGHVIATCRNPNGATGLVDLKNKFAERLNIMPIDLTIESTIEASAKFIREKYGSLNLLINASGILSIPNVLLPETTLSKVERSSLMLAYEVNAVGPILAIKHMWPLLKAGGGFGTERDVAVVANLSARVGSIGDNHLGGWHSYRSSKAALNQLTKTVSVEFARKRDPIICILLHPGTVDTDLSKPFQRNVPDGKLFTKEFSVQQLLSIINNAKSHDNGKFFAWDGQEIPW >Potri.007G130700.1.v4.1 pep chromosome:Pop_tri_v4:7:14460050:14462197:1 gene:Potri.007G130700.v4.1 transcript:Potri.007G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130700.v4.1 MEKKGSQRDATLLQHPILLVLALAIGFVIMDPFKMGPLGHHDFKPVKHDLAPYKQVMENWPRDNKSRLGSGNLEFVNEVFGPESLEFDSLGRGPYAGLADGRVVRWMGEDVGWETFALVSTNWSEKLCARGVDSTTSKQWKHEKLCGRPLGLRFHKESGNLYIADAYYGLLVVGPEGGLATPLATHVRGEPILFANDLDIHKNGSIFFTDTSKRYDRVDHFFILLEGESTGRLLRYDPPTKTTHIVLDGLAFPNGVQLSKDQTFLVFTETTNCRIMKYWLEGPKTGKVELVANLPGFPDNVRLNEKGQFWVAIDCCRTAAQEILTHNPWVKSVYFRLPIRMRYLAWLMGMKMYTVVSLFNENGEILEVLEDPKGVVMKLVSEVREVEGKLWIGTVAHNHIATLPYP >Potri.003G052000.2.v4.1 pep chromosome:Pop_tri_v4:3:7629017:7631247:-1 gene:Potri.003G052000.v4.1 transcript:Potri.003G052000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052000.v4.1 MGNEQDEELKKAQVVDARARNISHNVRCTECGSQSIEDSQADIAILLRKMIRDEIQAGKTDKDIFKKLEDDFGETVLYAPKFDMQTAALWLSPLLVAGVAGGFWAYKKHRQNTNVHIMALDLVRGAPLTPKEKETMLDILTPPPPGGSTPSWWRSWTGR >Potri.009G017000.2.v4.1 pep chromosome:Pop_tri_v4:9:2894224:2899599:-1 gene:Potri.009G017000.v4.1 transcript:Potri.009G017000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017000.v4.1 MGKNEKTRLGRALVKHHNQMIQESKEKGRYYKSQHKKVLESFTDVTEIDAVMEQVDEGELFSSSSSSVHHPVPNLLINLDTGSGVSDMTAEEKREKQKKEEALHASSLRVPRRPPWNAGMSVEELDANEKQAFLTWRRSLASLEENENLVLTPFEKNLDIWRQLWRVLERSDLLVMVVDARDPLFYRCPDLEAYAREIDEHKRTLLLVNKADLLPFSVRQKWADYFRHLGILFLFWSAKAATAVLEGKILQGPWNEQATLQEMDDPDTKIYGRDELLARLQSEAETIVRIRSKSVSSGSGPSNFQSSGGKFAGNSAPKHVVVGFVGYPNVGKSSTINALVGQKRTGVTSTPGKTKHFQTLIMSEKLTLCDCPGLVFPSFSSSRYEMIASGVLPIDRMTEHREAVQVVANRVPRRVIEDVYKINLPKPKPYEPQSRPPLASELLRTYCASRGYVGSSGLPDETRAARQILKDYIDGKLTHHEIPPGISDEEGSDQDDAGSSLSETHQSDSSDTENPAENDGKNTPALEHVLDDLNSFDMANGLAHKKVTVKKPSASASASHKHHKKPQKKKDRSWRIENDGGDGMPVVRVFQKSVNTGPLKTG >Potri.019G073900.2.v4.1 pep chromosome:Pop_tri_v4:19:11542594:11545801:-1 gene:Potri.019G073900.v4.1 transcript:Potri.019G073900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073900.v4.1 MAKTTTTPSHLTPFTQNHSFNSPPRTTISFWHRPTYPPSFALPINCHFVAPSCKTLRSNCRIVCKATEVSLTEESPSSGGENWVPVVPLTALPKGERRVIIQDGETILLLWYKDQVYAIENRSPAEGAYTEGLLNAKLTQDGCIVCPSTDSTFDLRTGAIKEWYPNNPVLRVLTPALRTLFVYPVKTDEENIYISIRGGVKSDVSAEIVFSGKAQPGVTASDVNVDEVRMVIDEGQEGFGFTSKNELINGQAAIIGFLFLIDFELLTGKGVLKGTGFLDFLYAASNGFN >Potri.006G072000.1.v4.1 pep chromosome:Pop_tri_v4:6:5239450:5240651:-1 gene:Potri.006G072000.v4.1 transcript:Potri.006G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072000.v4.1 MAYVDHAFSITDEDLMVETSYAVNNKPPIKEIALAISLLVFGVAGIVLGIFMTYNSVGGDRAHGLFFAILGVVLFIPGFYYTRIAYFAYKGYTGFSFSNIPPV >Potri.006G072000.2.v4.1 pep chromosome:Pop_tri_v4:6:5239452:5240585:-1 gene:Potri.006G072000.v4.1 transcript:Potri.006G072000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072000.v4.1 MAYVDHAFSITDEDLMVETSYAVNNKPPIKEIALAISLLVFGVAGIVLGIFMTYNSVGGDRAHGNFNFFLLFFYSFCLSFFFLFCF >Potri.010G154200.1.v4.1 pep chromosome:Pop_tri_v4:10:16362295:16363698:1 gene:Potri.010G154200.v4.1 transcript:Potri.010G154200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154200.v4.1 MSITGLSSDPSKPYKKSLHRRNNSDELDVFEAARYFSGYNEAGAGYNGATYTQRVMREDHKNSWRGGRMSLDVPMRNPLPHHIHQQPHTVEKQILKEKKYKQPSSPGGRLASFLNSLFNQTSSKNKKSKSTTQSMKDDDESPGGRRKRRSSISHFRSSSTTDTKSLYSSSSSGFRTPSPYTHPPTKGCKESRSYSDHKQIVSLSKQNGTVKSTTFQNEISDDKKKSGFSWLEERYKFVNDGFSDQKAAKNRGNQYLEKDRTWVDEHYRSEENEFRKFNEVDDGAESDSSSDLFELQNCDLGIYSNGLPVYETTHMDSIKRGAVPISNGTL >Potri.011G008228.1.v4.1 pep chromosome:Pop_tri_v4:11:662222:671836:-1 gene:Potri.011G008228.v4.1 transcript:Potri.011G008228.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008228.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYMALVQAGIHTFRDDDEIRRGENIDFELQKAIQQSKISIIVFSKDYASSRWCLDELVMIMERKRNDDCIVLPVFYDVDPSQVGRQTGSFAAAFVKHEKSFNEEKERVSGWRIALKEVTDLAGMVLGDGYEAQFVQSIVEKVSKKLDQKMFHLPLHFIGRDPLVNYINSWLQDGSHDAAIAILYGIGGVGKTTIAKSVFNQNIHKFEGKSFLSNFRSKDIVCLQRQLLSDILKKIVDEINDEDEGILKIKDALCCRKTLIVLDDVDKRDQFNKIIGMQNWLCKGSKIIVTTRNKGLFSANDIEWVRCKVEPLDDEKSLEIFSWNAFGQADPVDGFVEDSWRIIRHCNGLPLALGVIGSSLSGKGREIWESALQQMEVIPNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDVVRILDGLDKGARFGIDNLIDICLVEINTSDQRLWMHQLVRDMGREIARQESPKCQRIWRHEDAFTVLKGTTDAEKLRGLTIDMHALMEDHYAEVVGTDSMVCRKRRMLNFFQQWLSDFFDGGKLQTGETSLFPVLSKDAFKKMPVVKFLQLNYTKFYGSFEHFPKNLIWLCWHGFSSRSIPNHGCLEKLVVLDLSRSNLIDAWKGKLFLPKLKVLDLRHSLDLIRTPNFLGLPALEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVVDGCSNLDSLNMALEHHQGHSLLQSDGIVASTSYITSLPLKLFFPSRFSARKMLRFTLFSLPRFLERLDLSGTPIRFLPKSIKDLGLLRGLYLRNCKMLEALPELPSHLILLDVSFCYSVQRVSNLTGGTSADGCDQLVEFQD >Potri.004G199801.1.v4.1 pep chromosome:Pop_tri_v4:4:21042356:21044230:-1 gene:Potri.004G199801.v4.1 transcript:Potri.004G199801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199801.v4.1 MVLFNTLTTGPPTRWLKKHAILFFHVLAIAVSPSVAFKIWNPFKWTVHIVNELSHNKVLYLHCVSYNDNLGHHNLKYKAEFKFSFMENFLGATIFKCYMSRDRKIDATFTVFWPGFLRRENQDIDLEKDTVGSNVIRVARDDGIYLKRREACFFSCIFSKPPVKVFLYKKWDKK >Potri.006G087100.1.v4.1 pep chromosome:Pop_tri_v4:6:6562166:6565248:1 gene:Potri.006G087100.v4.1 transcript:Potri.006G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087100.v4.1 MGNSPRSTVLPSMAALQLLCFFFFSLVPDFAAAITRQYTFNITHKNFTRLCHTRSLVTVNGQFPGPRLVAREGDQVLVKVVNHVAENITIHWHGVRQLTTGWADGPAYVTQCPIQTGQAYTYNFTITGQRGTLLWHAHISWLRSSLYGPIIILPKLNESYPFKKPYKEIPILFGEWFNVDPEAVIAQALQTGAGPNVSDAYTINGLPGPLYNCSAKDTYKLKVKPGKTYLLRLINAALNDELFFSIANHTLTVVEADAVYVKPFEADTLLISPGQTTNVLLKTKPHLPNATFYMFAGPYFSGMGSFDNSTTAGVLVYKHPSSNNHLKKLPTLKPTLPPINATGFVANFTKKFRSLANAKFPANVPQTVDRKFFFTVGLGTNPCPKNTTCQGPNNNTKFAASINNVSFVLPSVALLQSYFFGQSNGVFTSDFPQNPTIPFNYTGTPPNNTMVSNGTKAVVLTFNTSVELVMQGTSIVAAESHPLHLHGFNFFVVGQGFGNYDPNKDPSNFNLVDPMERNTAGVPAGGWIAIRFLADNPGVWFMHCHLDVHTSWGLRMAWIVLDGPQPNQKIPPPPSDLPKC >Potri.006G087100.2.v4.1 pep chromosome:Pop_tri_v4:6:6563879:6565264:1 gene:Potri.006G087100.v4.1 transcript:Potri.006G087100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087100.v4.1 MFAGPYFSGMGSFDNSTTAGVLVYKHPSSNNHLKKLPTLKPTLPPINATGFVANFTKKFRSLANAKFPANVPQTVDRKFFFTVGLGTNPCPKNTTCQGPNNNTKFAASINNVSFVLPSVALLQSYFFGQSNGVFTSDFPQNPTIPFNYTGTPPNNTMVSNGTKAVVLTFNTSVELVMQGTSIVAAESHPLHLHGFNFFVVGQGFGNYDPNKDPSNFNLVDPMERNTAGVPAGGWIAIRFLADNPGVWFMHCHLDVHTSWGLRMAWIVLDGPQPNQKIPPPPSDLPKC >Potri.011G045912.1.v4.1 pep chromosome:Pop_tri_v4:11:3598730:3599034:1 gene:Potri.011G045912.v4.1 transcript:Potri.011G045912.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045912.v4.1 MYSSLFEVSQWCKAVDFLGKKITLISCFGEISGSDHFDRQLKLAYVETSYDTHSGYGQSWEMRRRVTGG >Potri.017G064400.2.v4.1 pep chromosome:Pop_tri_v4:17:5513966:5514172:-1 gene:Potri.017G064400.v4.1 transcript:Potri.017G064400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G064400.v4.1 MNDWAASLIAAALFAFLSPGLVFQMPGKERPLDFMNMKTSLAAIFVHLVIYGLLLVLFLVILHVHLYV >Potri.013G038100.2.v4.1 pep chromosome:Pop_tri_v4:13:2564959:2569315:-1 gene:Potri.013G038100.v4.1 transcript:Potri.013G038100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038100.v4.1 MGESSDSVSVDIDMLSFGGKEHVVKTSRGSISVYVCGDQEKPALITYPDVALNSMTCFQGLLFSPDAASLLLHNFCIYHIDAPGHELGADVISSDVPLLSVDDLADQVAEVLDFFGLKQVLCLGVMAGAYILTLFTMRYQERVLGLILVSPVCKAPSWTEWFYNKVLMNLLYFYGMCGILKECLLQRYFSKEIRCSVQGAEADIIQACRRLLDERKSLNVMRFLQAINERYDLTEDLENLQCRTLIFVGESSQFHDESVYMSTKMGKKTCALVEVEACGSLVTEEHPYAMIIPIEFFLMGFGYHRQPYFASSSSIGSNPTSPSSRCCIAPELLSPESLGIKLKPIKTRVDIDVKV >Potri.003G071500.1.v4.1 pep chromosome:Pop_tri_v4:3:9881476:9884263:-1 gene:Potri.003G071500.v4.1 transcript:Potri.003G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071500.v4.1 MAKISQHFLYAFLLHAVLISARCQATSEHPKKHVVAFFIFGDSFLDAGNNNYINTTTLDQANFWPYGETFFKFPTGRFSDGRLAPDFIAKYANLPFIPPFLQPGIDQYYHGVNFASAGAGALVETYKGDVIDLRTQLRYYKKVEKWLRHKLGNDEAKMTISKAVYLFSIGSNDYMSPFLTNSTILKSYTDSKYVGMVIGNLTTVIKEIYKLGGRKFAFINVPPLGCLPTIRNSNGSCLKETSLLSTLHNKALSKLLRELEEQLKGFKHSHFDLNSFLEQRINHPSQFGFKEGKSACCGTGPFRGVFSCGGKRLVKQFELCENPNEYVFWDSIHLTEKAYRQLADQMWGGGVGHPHVLGPYNLMNLFQTET >Potri.004G093200.1.v4.1 pep chromosome:Pop_tri_v4:4:7920298:7921662:1 gene:Potri.004G093200.v4.1 transcript:Potri.004G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093200.v4.1 MMEAFLASVQKNIKSEGEEVKSAILPLLKLIALALPGLILAHPKVQLVPKATFKLLSKLVFALFLPCLIFTQLGPSISLENIVRWWFIPVNVIISTAIGCILGCLVAFICRPPREFVRFTIIMTAFGNTGNIPLAVVASVCHSSDAPFGPDCYGNGIAYVSFSQWVSVILVYTLVYHMMKPPLEQCEIVDEEIEIELVPADLSKPLLVEAELPGIEEKETEHSKTPFIPSLFNSVSGISQTNFPDLEAMKEGREEGGESSSKSIRCLAEPRVARKIRVVAEQTPIHHILQPPTVASFLAIVIGVIPALRHMVYGAHAPLEVITDSLGTMADATVPSVMLILGGMLGEGPNESKLGIRTTIGIIVARLLVLPVIGIGVVYLADKWNFLIAGDRLYQFVLLLQYTTPSAILLGVIASLRGYAVKEASALLFWQHVGAVLSLSIYIIVYFKLLFSYI >Potri.014G195200.1.v4.1 pep chromosome:Pop_tri_v4:14:17456642:17461161:1 gene:Potri.014G195200.v4.1 transcript:Potri.014G195200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195200.v4.1 MTRTLQRIFHFLILSSAFVLITAQREAETLLNWKNSLNFPTLPSWTLNSSSSPCNWTGIRCSGEGSIIEINLENSGLDGTLDRFDSSSFPNLSSLNLNLNNLVGDIPSGIGNATKLISLDLSSNNFTNQIPPEIGNLKELQVLRLYNNSLTGPIPHQLSNLQKLWLLDLSANYLRDPDPVQFKGMASLTELRLSYILLEAVPAFIAECPNLIFLDLSDNLITGQIPMPLLSRLKRLEFLNLTKNSVEGPLSTNIGNFRNLRHLRLGMNKLNGTIPYEIGLLSNLEVLELHENGFDGPMPSSVGNLRMLRNLNLKLSGLNSSIPEELGLCSNLTYLELSSNSLIGALPLSMASLTQIREFGISDNKLSGNIHPSLLSNWSELVSLQLQINNFSGKVPPQIGTLHKLKLLYLFQNRLSGPIPPEIGNLSNLIELQLADNFFTGSIPPTIGNLSSLTKLILPYNQLNGKLPPELGNIKSLEELDLSENDLQGTLPLSITGLRNLNLFYVASNNFSGSIPEDFGPDFLRNATFSYNNFSGKLPPGICNGGKLIYLAANRNNLVGPIPSSLRNCTGLTRVRLEQNLLDGDISNAFGMYPNLEYIDLGDNRLSGMLSSNWGQCTILSNFRIAGNIMSGNIPPELGNLTELQNLDLSGNQLIGKIPIELFSSSKLNRFNLSNNQLSGHIPEEVGMLSQLQYLDFSQNNLSGRIPEELGDCQALIFLDLSNNRLNGTMPYQIGNLVALQIVLDLSQNLITGEISSQLRKLTRLEILNISHNHLSGPIPSSLQDLLSLQQVDISHNNLEGPLPDNKAFRRAPAASLVGNTGLCGEKAQGLNPCRRETSSEKHNKGNRRKLIVAIVIPLSISAILLILFGILIFRRHSRADRDKMKKDSEGGSSFSVWNYNKRTEFNDIITATESFDDKYCIGNGGQGNVYKAMLPSGDVFAVKRLHPSEDNEFSKEYQLKNFKAEMYSLAEIRHRNVVKMYGFSSCSGSLFFVYEFVERGSVGKLLNEEKEAKLWNWDLRLQAIKGVAHGLSYLHHDCTPAIVHRDISANNILLDAAFEPKISDFGTARLLREGESNWTLPVGSYGYIAPELASTGQVTEKLDVYSFGVVALEVLMGKHPGEMLLHLQSGGHDIPFSNLLDERLTPPVGPIVQELVLVTALAFLCVQENPISRPTMHQVCSELSARRSLHVPAPLRLLTLRNLMNMLKDQASSSVTSYV >Potri.014G195200.2.v4.1 pep chromosome:Pop_tri_v4:14:17456576:17461017:1 gene:Potri.014G195200.v4.1 transcript:Potri.014G195200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195200.v4.1 MTRTLQRIFHFLILSSAFVLITAQREAETLLNWKNSLNFPTLPSWTLNSSSSPCNWTGIRCSGEGSIIEINLENSGLDGTLDRFDSSSFPNLSSLNLNLNNLVGDIPSGIGNATKLISLDLSSNNFTNQIPPEIGNLKELQVLRLYNNSLTGPIPHQLSNLQKLWLLDLSANYLRDPDPVQFKGMASLTELRLSYILLEAVPAFIAECPNLIFLDLSDNLITGQIPMPLLSRLKRLEFLNLTKNSVEGPLSTNIGNFRNLRHLRLGMNKLNGTIPYEIGLLSNLEVLELHENGFDGPMPSSVGNLRMLRNLNLKLSGLNSSIPEELGLCSNLTYLELSSNSLIGALPLSMASLTQIREFGISDNKLSGNIHPSLLSNWSELVSLQLQINNFSGKVPPQIGTLHKLKLLYLFQNRLSGPIPPEIGNLSNLIELQLADNFFTGSIPPTIGNLSSLTKLILPYNQLNGKLPPELGSIPEDFGPDFLRNATFSYNNFSGKLPPGICNGGKLIYLAANRNNLVGPIPSSLRNCTGLTRVRLEQNLLDGDISNAFGMYPNLEYIDLGDNRLSGMLSSNWGQCTILSNFRIAGNIMSGNIPPELGNLTELQNLDLSGNQLIGKIPIELFSSSKLNRFNLSNNQLSGHIPEEVGMLSQLQYLDFSQNNLSGRIPEELGDCQALIFLDLSNNRLNGTMPYQIGNLVALQIVLDLSQNLITGEISSQLRKLTRLEILNISHNHLSGPIPSSLQDLLSLQQVDISHNNLEGPLPDNKAFRRAPAASLVGNTGLCGEKAQGLNPCRRETSSEKHNKGNRRKLIVAIVIPLSISAILLILFGILIFRRHSRADRDKMKKDSEGGSSFSVWNYNKRTEFNDIITATESFDDKYCIGNGGQGNVYKAMLPSGDVFAVKRLHPSEDNEFSKEYQLKNFKAEMYSLAEIRHRNVVKMYGFSSCSGSLFFVYEFVERGSVGKLLNEEKEAKLWNWDLRLQAIKGVAHGLSYLHHDCTPAIVHRDISANNILLDAAFEPKISDFGTARLLREGESNWTLPVGSYGYIAPELASTGQVTEKLDVYSFGVVALEVLMGKHPGEMLLHLQSGGHDIPFSNLLDERLTPPVGPIVQELVLVTALAFLCVQENPISRPTMHQVCSELSARRSLHVPAPLRLLTLRNLMNMLKDQASSSVTSYV >Potri.006G051500.4.v4.1 pep chromosome:Pop_tri_v4:6:3573140:3576251:-1 gene:Potri.006G051500.v4.1 transcript:Potri.006G051500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051500.v4.1 MSNLEVSQFTHTPFYCEENVYFLLKKLCKDGVADADGSDLFVVFISNDKKQIPLWHQKASTRADGIILWDYHVICIQRKRDGNSHLVWDLDSSLSFPSPLASYVSETIRPSFQLFSEYQRSFRIVHAPIFLRFFASDRRHMKDSVGNWTAQPPAYEPIVAEDGTIHNLNEYMEIHASDESTNMEADLINSVFTQQLGVVIGENQLEEFFSQIS >Potri.001G159600.1.v4.1 pep chromosome:Pop_tri_v4:1:13490394:13493504:1 gene:Potri.001G159600.v4.1 transcript:Potri.001G159600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159600.v4.1 MERTGKVVVEKVGGKSTVTRCFSKYPLKFIVPSKAGPCKTDSVWIYSLTYGGGIVSGDSISCEFEIGDGCTTVFTTQASTKVYKSVGSRCSAQFLEVTVGSDALLAILPDPVTCFSTARYSQKQVFRVLLDSNLVIVDWFTSGRHESGEKWDFDLYKSTNNIFLDDNQPLFLDTVLLEQQSISPITERMRGYQVIAMIILLGPKLKHIQSEVQENVKRMMSEQLHIPFTGLSGCAQSNSRHFTKPSFIASCSVFGPKGIGVIVRVAAMTTESVYKFLQHQLVGMEPLIGVLPYH >Potri.013G076900.1.v4.1 pep chromosome:Pop_tri_v4:13:6509653:6513685:-1 gene:Potri.013G076900.v4.1 transcript:Potri.013G076900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076900.v4.1 MKPRTNGTSRGQKAQNFQGERPNWILIAGGALLSTLSIRLGYKLKQTLDSKQQANASDGLKGNMNSSERRSPGCNMHSNMYSFTQGDDVCFNCISGNEGIANLKHRSNDQMLSESDVALPLVMVPAAEFTKENGVMWVSSPDRLELPPKPFSHSNCSDSPSVSESGSDILSKREVIQKLRQQLRRRDDMILEMQDQILEIQNSLNAQLTLSSNLQSQLDAANRDLFDSGREIQRLRKAIADHCVKHVDTNDRPSTITAWPSEARNGHANGYLDGESNFETSEKGRGDGGRIEMLKREVGDLKEVIEGKEYLLQSYKEQKAELSMKIMELQHRLDSQLPNIL >Potri.011G004900.1.v4.1 pep chromosome:Pop_tri_v4:11:400843:401211:-1 gene:Potri.011G004900.v4.1 transcript:Potri.011G004900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004900.v4.1 MEEVAMEKSVSKSDATNNRMELPKESLGNFPIPAGQNYFNFVAMDTLGRRWGFKVSIRNVGRYKKPWMSGQWRRYAQEKGLKKGDRVKLIMQVEGNAVRSYRIRAERNLTMRIWIPVEEFAI >Potri.001G271800.2.v4.1 pep chromosome:Pop_tri_v4:1:28641934:28647565:-1 gene:Potri.001G271800.v4.1 transcript:Potri.001G271800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271800.v4.1 MDFQVVVLAGGTSKKLLPLVSKEVPKALLPVANRPVLSYVLEQLELSNLKDLIVVVEGEDAAIHVGGWISNAYVDRLHVEVAAVHEDVGTAGALRAIAHHLTANDILVVSGDLVFDVPPGALAAAHRKHNAVVTTMLCPAPVSGPTESGSSGGKDKIKKPRRYNIIGLDPSKQFLLHIATGAEVEKEIRIQKSILRAVGQMEIRADLMDAHMYAFKRSVLQEVLDEKDEFQSLKEDVLPYLVRSQLKSELLFNGVPQAEESGNEKVGSQNNQAVVSQIMANASTPSFHELSSGNSGSTHVRRIHKCCAYIASESRYCQRLNSIQAFSDINRDVIGDASHLSGYSFSSHNNIIHPSAQLGSRTTVGPHCMLWEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVVMNHVTIGDGCSIQGSVICSNAQLQERAVLKDCQVGAGFVVTAGSEHKGESLARK >Potri.003G172932.1.v4.1 pep chromosome:Pop_tri_v4:3:18097575:18099858:1 gene:Potri.003G172932.v4.1 transcript:Potri.003G172932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172932.v4.1 MATYHTLIEHEISHILEQLSFCLGNFSQRMNESPKARREVIQIIREGARKTSQESSTHMLMADETSQVCYNLESTVAELNDYITETGRKMIASANVFTEGIEELKKNREESLKLFSDLVINMRGIGRGISLLEARKKIAYVNRWLKERTVVIDETMKEEHSATGFDQTTAPGREQDVLGLGNGSEEDAEGSRKEKKPGHEEVKSSSQGSSSTASDKFSVLLVEYESSDRLFNNTQIIEFGREYNLGMEVKVAKSGEKAIYLHSQGASFDLILMDMDMPAHVTSGYEATTQLRSLFGVESNIVGLTYTSESEEINEFIRAGHLNGCIEKPLTDEKIDSLIPIPEGNNLPTAGRQRTALSMSFMI >Potri.013G117400.1.v4.1 pep chromosome:Pop_tri_v4:13:12574976:12585420:-1 gene:Potri.013G117400.v4.1 transcript:Potri.013G117400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117400.v4.1 MMAEDLGIEAKETAVREVAKLLPLPELLQSIVSIKTDYITRQQANDAQLSTMVAEQVEQAQSGLESLSLSHKTINQLRENFISIEKLCQECQTLIENHDQIKLLSNARNNLNTTLKDVEGMMSISVEAAEAQDSLSDDREIVNTYERLTALDGKRRFALAAAASHKEEVGRLREYFEDVDRTWETFEKTLWGHVSNFFKLSKESPQTLVRALRVVEMQEILDEQVAEEAAEAEGGGAMATVANPRRSSKKSTTTAVSSENLTQQKLKIQGKGFKDKCYEHIRKSVERRFNKLLTELVLEDLKAALEEARTIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANELSNIEILKVTGWVVEYQDNLVGLGVDESLAQVCSESGAMDPLMNSYVERMQATTRKWYLNILEADKVQPPKKTDDGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRISLAIIQVMIDFQASERKRFQEPASEIGLEPFCAMINNNLRCYDLAMELSSSTIEALPQNYAEQVNFEDTCKGFLEVAKEAVHLTVRVIFEDPGVQELIVKLYHKEWSEGQVTEYLVATFGDYFADVKMYIEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEETIERMRLDEEVIMDFFREYISVSKVESRVRILSDLKELASGESLDTFTLIYMNILEHQPDCPPEVVEKLVGLREGIPRKDAKEVVQECKEIYENSLVDGNPAKAGFLFPKLKCFAASKGSLWRKLT >Potri.008G108801.1.v4.1 pep chromosome:Pop_tri_v4:8:6891722:6892438:-1 gene:Potri.008G108801.v4.1 transcript:Potri.008G108801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108801.v4.1 MSSEKANVGCDLNASTSNKQAILPNKTPSTSNSNFEKVLAQRALFGSRNYRTKGRKIKNNGANMLPSRLSKVSLADDSSD >Potri.009G128900.1.v4.1 pep chromosome:Pop_tri_v4:9:10569025:10574284:-1 gene:Potri.009G128900.v4.1 transcript:Potri.009G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G128900.v4.1 MRPPRRPIHVVTTWVRRQPPKVKAFLAVVAGMSALVLLRFIVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKTQELTAMFLAVRLYCSFVMEYDIHTILDLATLATTLWVIYMIRFNLKSSYMEDKDNFALYYVAAPCAVLALLIHPSTAHNLLNRILWAFCVYLEAVSVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGHLLVALGYGLWPSMVLISEIVQTFILADFCYYYVKSVFGGQLVLRLPSGVV >Potri.009G130500.1.v4.1 pep chromosome:Pop_tri_v4:9:10662179:10664954:1 gene:Potri.009G130500.v4.1 transcript:Potri.009G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130500.v4.1 MAVNLPSSSLLLPLIFLFLIFITVNGQHFTIPESTIEEIQQAFAENKLTSTQLVDFYITQIKTLNPLLHSIIEVNPDARDQAKNADEERRENQGRRSLGDLHGIPVLLKDTIGTKDKLNTSAGSYALVGSVVARDASVVEKLRKAGAVIMGKASLSEWYKFRSLSHVPNGWCARSGQGVNPYLVTGDPCGSSSGSAISVAANMVAVSLGTETHSSIICPSDHNSVVGLKPTVGLTSRAGVIPVAPSLDTIGPVTRTVSDAVRVLDVIVGFDPRDYEATQRAAKFIPAGGYKQFLNPNGLKGKILGIVRNPFLKSLNESIFPIFEHHLNTLRERGATVVDNLEIANINTIVDPSRSGELTLMMAEFKLSLNDYLKDLITSPVWSLADIIAFNKNNPDLEKNKEYGQDTFIAAEKTNGIGEKERKAIELMEKLSQNGFEKLMMENNLDAMVTPGSGATSVLAIGGYPGITVPAGYDINGMPFGICFGGLKDTEIKLIEIAYDFEQATMMRKPPLLESFQMTPEFLSGSL >Potri.018G111800.2.v4.1 pep chromosome:Pop_tri_v4:18:12937681:12941586:1 gene:Potri.018G111800.v4.1 transcript:Potri.018G111800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111800.v4.1 MACNTMIITLLLFLSSYVHSSNSQETWIRAGYWDSVSELPVSNINSALFTHLVCAFSNINYSTYHIQINSSKESYFSTFTGTVRVKNPSVKTLLSIAAWGRGLPTLSLMINQSSYRKSFIESSIYTARLYGFDGLDLSVGPSMSINMTNLGILFDEWRDAIASDSRKSGKSQLLLVMSATHSPAQHSATYPIDIMKKTLDWIHLVAYDYHVPAEFNFTGAHAALYGTSNWVNTDEWIKEWLRGGLSASKLVLGLPYHGYAWKLVNPNEKEFGAPSSGPDVTSDGSMGYKNIKSFIQGYGYGAAAVYNSTYVTKLFVVGSTWINFDDVETIKAKISYAKENNLSGYSVFQLSNDDNWNLSRAAQGKDEDGLNKRKVLVTILVTIAVVFLVLGTIICCYFKRKKVGSKEIAGSVQKSLSATRVINSDAPNLQALSFDTIAAATNNFSSENKLGTGGFGSVYKGKLPNGKEIAVKRLSKTSTQGEEEFKNEVTLTEKLQHVNIVTVLGFCAEREEKMLIYEYMPNKSLDIYIYDPIRRYMLDWRKRVQIIEGLTQGLLYLQEYSNFTIIHRDIKSSNVLLDEEMNPKISDFGMARLFRKDELEANTSRIVGTYGYVPPEYVRKGIYSMKYDVYSFGVLLLQIISGKKSTCYYGADENWNLLEYAYELWKDGEGVEFFDPSLDDSFSSCKLTRCLQVALLCVQENPLDRPSMLKISSMLKNENAPIATPKRPSFSTKRDEEEDSVIRNKIYSVNDATISDLEPR >Potri.009G041100.1.v4.1 pep chromosome:Pop_tri_v4:9:4860679:4862192:1 gene:Potri.009G041100.v4.1 transcript:Potri.009G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041100.v4.1 MEFHKRPNRSDAHVSVEEEAKQEEETRGYFEGIAPKRHSKPQRSEYSIQYVDTLTTNGDQSFNPEYVEFQRLETDPQKIISNESSKVTDEFVETEYYQDLNCVDKQHHTTGTGFIKTENEYGKRFSLAPDSTSSCHASCKGNPATNDWVPADADMVTSASDKPKRSDN >Potri.002G151700.3.v4.1 pep chromosome:Pop_tri_v4:2:11586125:11595352:-1 gene:Potri.002G151700.v4.1 transcript:Potri.002G151700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151700.v4.1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVAVIVFSPRGKLYEFGSSSVQETIERYQRHVKESNTNKQTSELNMEQLKGEAASMIKKIEILEVSKRKLLGECLGSCTVEELQQIEQQLERSVSTIRARKNQVFREQIEQLKQKEKQLTAENARLSNKSGVQPWRVLSREQRENLPCEEQRDSSSISDVETELFIGLPETRTRRLPLRN >Potri.002G151700.4.v4.1 pep chromosome:Pop_tri_v4:2:11586132:11595183:-1 gene:Potri.002G151700.v4.1 transcript:Potri.002G151700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151700.v4.1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVAVIVFSPRGKLYEFGSSSVQETIERYQRHVKESNTNKQTSELNMEQLKGEAASMIKKIEILEVSKRKLLGECLGSCTVEELQQIEQQLERSVSTIRARKNQVFREQIEQLKQKEKQLTAENARLSNKSGVQPWRVLSREQRENLPCEEQRDSSSISDVETELFIGLPETRTRRLPLRN >Potri.002G151700.1.v4.1 pep chromosome:Pop_tri_v4:2:11586125:11595465:-1 gene:Potri.002G151700.v4.1 transcript:Potri.002G151700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151700.v4.1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVAVIVFSPRGKLYEFGSSSVQETIERYQRHVKESNTNKQTSELNMEQLKGEAASMIKKIEILEVSKRKLLGECLGSCTVEELQQIEQQLERSVSTIRARKNQVFREQIEQLKQKEKQLTAENARLSNKSGVQPWRVLSREQRENLPCEEQRDSSSISDVETELFIGLPETRTRRLPLRN >Potri.006G276100.1.v4.1 pep chromosome:Pop_tri_v4:6:26754660:26755429:-1 gene:Potri.006G276100.v4.1 transcript:Potri.006G276100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276100.v4.1 MSGVWVFKNNGVIRLVENPAAESNGMNGGGSRRKVLVHLPSGQVVTSYSSLEQILKELGWERYYGGDPDLFQFHKHSSIDLISLPRDFSKFNSVYMYDIVIKNPNMFHVRDM >Potri.001G336832.1.v4.1 pep chromosome:Pop_tri_v4:1:34533662:34536070:1 gene:Potri.001G336832.v4.1 transcript:Potri.001G336832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336832.v4.1 MVSEEQKESPRVRMQSNPVDNSQNMENLFSPRFKSVAAMAGWDEESILFASLIVEDTPERQFKHKKRSDLHFKTPPSTNTRRKRRDQKKSPISIPVPILNLDEEEELVMKESEKKKTEPRIAVDEENKLGGDKLAKDNPDASCSNSALPCMDKLREELSCAICLEICFEPSTTSCGHSFCKKCLRSAADKCGKKCPKCRQLIGNSRSCTVNTVLWNTIQLLFPQEVEAKKASGKKNQKEHQSSERKTNNDLISRNVRPSRVSYRDTSVQPSRVPNRGAITNRGMLRQDDPSTRVSNRDASTSRDMWNQDEEDTPLVQRLRRREALERLILSREASGRRRRGIPSQDEDTALALRLQREEFMEAFGGTQEQSGISLSSARANLRVMASRAAISIHSRGRPI >Potri.005G019400.1.v4.1 pep chromosome:Pop_tri_v4:5:1237165:1239344:-1 gene:Potri.005G019400.v4.1 transcript:Potri.005G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G019400.v4.1 MSRACREIERNILRLLHGRETRTQLREIHAHFLRHGLNQLNQILSHFVSICGSLNKMAYANRIFKQTQNPTIILFNAMIKGYSLNGPFEESFRLFSSMKNRGIWPDEYTLAPLLKACSSLGVLQLGKCMHKEVLVVGFEGFSAIRIGVIELYSSCGVMEDAEKVFDEMYQRDVIVWNLMIHGFCKRGDVDMGLCLFRQMRKRSVVSWNIMISCLAQSRRDSEALGLFHDMLDWGFKPDEATVVTVLPICARLGSVDVGKWIHSYAKSSGLYRDFVAVGNALVDFYNKSGMFETARRVFDEMPRKNVISWNTLISGLALNGNGELGVELLEEMMNEGVRPNDATFVGVLSCCAHAGLFERGRELLASMVEHHQIEPKLEHYGCMVDLLGRSGCVREAYDLIRIMPGGAPNAALWGSLLSACRTHGDVELAHLAVKELIDLEPWNSGNYVLLSNMYAEEERWDKVANVRGMMREKNVKKTPGQSVIG >Potri.011G043200.1.v4.1 pep chromosome:Pop_tri_v4:11:3371115:3374957:-1 gene:Potri.011G043200.v4.1 transcript:Potri.011G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043200.v4.1 MERSDSMEAVLAAAARGKRVLDSSSGHDEGQPDRKRPALASVIVEALKVDSLQRLCSSLEPILRRVVSEEVERALAKLGPAKLNGRSSPKQIEGPDGRNLQLHFRSRVSLPLFTGGKVEGEQGAAIHIVLIDANTGCAVTSGPESSVKLDLIVLEGDFNDEDDDNWTQEKFESHVVKEREGKRPLLTGDLQVTLKQGVGTLGELTFTDNSSWTRSRKFRLGLKVASGYCEGIRIREAKTDAITVKDHRGELYKKHYPPTLNDEVWRLEKIGKDGSFHKRLNKAGIHTVEDFLRLIVRDAQGLRTTLGSGMSNKMWDSLVEHAKTCVLSGKLYIYYPDDAKNVGVVFNNIYELSGLISNGQFYSADSLPDNQKIYVDSLVKKAYDNWMHVIEYDGNSLLDFKQHQGVAASQNDVPSGHQDFLNPYDHQDSLPTISVPVPSEQPVVHSSPAIGGYTDGTVARFSIDPQNGSLNTPFQFDAIQNPLGTNNLLALGPPQSSTPGSQGFCASNLNLHRGKDDFFSEEEIRTRSHDMLENEDMQQLLRIFNMGGQGLSSNSVTEDGYPYSSYMPSPSQSPSPNYSLSDNPSRSSGKAVVGWLKLKAALRWGIFVRKKAAERRAQLVELDDS >Potri.001G145200.1.v4.1 pep chromosome:Pop_tri_v4:1:11977063:11982877:1 gene:Potri.001G145200.v4.1 transcript:Potri.001G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145200.v4.1 MACLEVCKEGKFKEGREECTFDGTVDWHGRPAIKDKSGQWVAGIIILLNQGLATLAFFGVGVNLVLFLTRVLQQSNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAIFQVIFVIGLVILSLSSYLFLIRPKGCGNELTPCGSHSSMEVSLFYLSIYLVALGNGGYQPNIATFGADQFDEEDPREGHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGVWALGFWASAGSAFAALVLFLGGTSRYRHFKPSGNPLSRFCQVIIAAMKKWKLEMPRDGEEELYNVHAKDCSMNGNRKILHTDGFKFLDRAAFISSRDIDDQKRGCRNPWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSNFKIPPASMSSFDILSVAFFIFLYRRVLDPLVSRVKKTGSKGLTELQRMGVGLVIAIVAMISAGLVECYRLRYARKDCTHCEGSSSMSIFWQVPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMPGWIPGNLNKGHLDRFYFLLATLTTIDLVVYIACARWYKSIQLEGKCELNDQEENFRV >Potri.001G145200.2.v4.1 pep chromosome:Pop_tri_v4:1:11979474:11982877:1 gene:Potri.001G145200.v4.1 transcript:Potri.001G145200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145200.v4.1 MEVSLFYLSIYLVALGNGGYQPNIATFGADQFDEEDPREGHSKVAFFSYFYLALNLGSLFSNTILGYFEDEGVWALGFWASAGSAFAALVLFLGGTSRYRHFKPSGNPLSRFCQVIIAAMKKWKLEMPRDGEEELYNVHAKDCSMNGNRKILHTDGFKFLDRAAFISSRDIDDQKRGCRNPWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTVSNFKIPPASMSSFDILSVAFFIFLYRRVLDPLVSRVKKTGSKGLTELQRMGVGLVIAIVAMISAGLVECYRLRYARKDCTHCEGSSSMSIFWQVPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVMKISTEDHMPGWIPGNLNKGHLDRFYFLLATLTTIDLVVYIACARWYKSIQLEGKCELNDQEENFRV >Potri.001G454300.4.v4.1 pep chromosome:Pop_tri_v4:1:48063248:48066219:1 gene:Potri.001G454300.v4.1 transcript:Potri.001G454300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454300.v4.1 MANGSPKHHHQLEAKRKRLTWIVGVSGLCVLFYVLGAWQHSAPLTNLTQSITKADCDVSNRAAVSSNPSTSSSSVALDFDSHHQSQINNTTSVNEFPPCDMSFSEYAPCQDTQRGRKFDRNMLKYRERHCPTKDELLLCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINELIPLTDGSIRTAIDTGCGVASWGAYLLKRDIISMSFAPRDTHEAQVWFALERGVPGMIGIMASQRLPYPARAFDMAHCSRCLIPWHKYDGMYLIEVDRVLRPGGYWILSGPPIHWKKHWKGWERTQEDLKQEQDAIEDVAKRLCWKKVVEKDDLSVWQKPLNHIDCIASRKTYKTPHICKSDNPDAGWYKEMEVCITPLPEVSSSDEVAGGAVEKWPARAFAIPPRIRSGSIPGITAEKFKEDNNLWKDRVTNYKHIISPLTKGRYRNIMDMNAQLGGFAAALAKYPVWVMNVVPANSNPDTLGVIYERGFIGTYQDWCEAVSTYPRTYDLIHAGGVFSIYQDRCDITHILLEMDRILRPEGTVIFRDTVEVLVKIQTITNGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEKKQKQKE >Potri.001G454300.1.v4.1 pep chromosome:Pop_tri_v4:1:48062092:48066213:1 gene:Potri.001G454300.v4.1 transcript:Potri.001G454300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454300.v4.1 MANGSPKHHHQLEAKRKRLTWIVGVSGLCVLFYVLGAWQHSAPLTNLTQSITKADCDVSNRAAVSSNPSTSSSSVALDFDSHHQSQINNTTSVNEFPPCDMSFSEYAPCQDTQRGRKFDRNMLKYRERHCPTKDELLLCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINELIPLTDGSIRTAIDTGCGVASWGAYLLKRDIISMSFAPRDTHEAQVWFALERGVPGMIGIMASQRLPYPARAFDMAHCSRCLIPWHKYDGMYLIEVDRVLRPGGYWILSGPPIHWKKHWKGWERTQEDLKQEQDAIEDVAKRLCWKKVVEKDDLSVWQKPLNHIDCIASRKTYKTPHICKSDNPDAGWYKEMEVCITPLPEVSSSDEVAGGAVEKWPARAFAIPPRIRSGSIPGITAEKFKEDNNLWKDRVTNYKHIISPLTKGRYRNIMDMNAQLGGFAAALAKYPVWVMNVVPANSNPDTLGVIYERGFIGTYQDWCEAVSTYPRTYDLIHAGGVFSIYQDRCDITHILLEMDRILRPEGTVIFRDTVEVLVKIQTITNGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEKKQKQKE >Potri.001G454300.3.v4.1 pep chromosome:Pop_tri_v4:1:48062168:48066244:1 gene:Potri.001G454300.v4.1 transcript:Potri.001G454300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454300.v4.1 MANGSPKHHHQLEAKRKRLTWIVGVSGLCVLFYVLGAWQHSAPLTNLTQSITKADCDVSNRAAVSSNPSTSSSSVALDFDSHHQSQINNTTSVNEFPPCDMSFSEYAPCQDTQRGRKFDRNMLKYRERHCPTKDELLLCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINELIPLTDGSIRTAIDTGCGVASWGAYLLKRDIISMSFAPRDTHEAQVWFALERGVPGMIGIMASQRLPYPARAFDMAHCSRCLIPWHKYDGMYLIEVDRVLRPGGYWILSGPPIHWKKHWKGWERTQEDLKQEQDAIEDVAKRLCWKKVVEKDDLSVWQKPLNHIDCIASRKTYKTPHICKSDNPDAGWYKEMEVCITPLPEVSSSDEVAGGAVEKWPARAFAIPPRIRSGSIPGITAEKFKEDNNLWKDRVTNYKHIISPLTKGRYRNIMDMNAQLGGFAAALAKYPVWVMNVVPANSNPDTLGVIYERGFIGTYQDWCEAVSTYPRTYDLIHAGGVFSIYQDRCDITHILLEMDRILRPEGTVIFRDTVEVLVKIQTITNGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEKKQKQKE >Potri.001G454300.2.v4.1 pep chromosome:Pop_tri_v4:1:48061974:48066248:1 gene:Potri.001G454300.v4.1 transcript:Potri.001G454300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454300.v4.1 MANGSPKHHHQLEAKRKRLTWIVGVSGLCVLFYVLGAWQHSAPLTNLTQSITKADCDVSNRAAVSSNPSTSSSSVALDFDSHHQSQINNTTSVNEFPPCDMSFSEYAPCQDTQRGRKFDRNMLKYRERHCPTKDELLLCLIPAPPKYKTPFKWPQSRDYAWYDNIPHKELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDINELIPLTDGSIRTAIDTGCGVASWGAYLLKRDIISMSFAPRDTHEAQVWFALERGVPGMIGIMASQRLPYPARAFDMAHCSRCLIPWHKYDGMYLIEVDRVLRPGGYWILSGPPIHWKKHWKGWERTQEDLKQEQDAIEDVAKRLCWKKVVEKDDLSVWQKPLNHIDCIASRKTYKTPHICKSDNPDAGWYKEMEVCITPLPEVSSSDEVAGGAVEKWPARAFAIPPRIRSGSIPGITAEKFKEDNNLWKDRVTNYKHIISPLTKGRYRNIMDMNAQLGGFAAALAKYPVWVMNVVPANSNPDTLGVIYERGFIGTYQDWCEAVSTYPRTYDLIHAGGVFSIYQDRCDITHILLEMDRILRPEGTVIFRDTVEVLVKIQTITNGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEKKQKQKE >Potri.002G010900.1.v4.1 pep chromosome:Pop_tri_v4:2:674371:678583:-1 gene:Potri.002G010900.v4.1 transcript:Potri.002G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010900.v4.1 MLLFPQPLPNRFPSISITSPIFQHHHRILQPQLAATPTTTALTATNLTDSSFPYSKRHHETSQNDIEDYYNDDDDDDDILPLQSLRYDFTPLINYLSNKISTSTDSDSDSASPTSLDSTEFQLAESYRVVPGPLWHSLLKSLCTSSSSIPLAYAVVSWLQKHNLCFSYELLYSILIHALGQSEKLYEAFLLSQKQNLTPLTYNALISACARNNDIEKALNLICRMREDGYPSDLVNYSLIIRSLMKNNRANSSILQKIYREIDRDKLEVDVQLWNDIIVGFSKAGDLDKALEFLGVVQGSGLSVKTATLVTVIWGLGNCGRTEEAEAIFEEMRDNGLQPRTRAYNALLRGYVKAGLLRDAEFVVSEMERSGVLPNEQTYSLLIDAYGNAERWESARIVLKEMEASNVQPNAYVFSRILASYRDKGEWQKTFQVLREMEDSGVRPDRIFYNVLIDTFGKFNCLDHAMATFDRMLSEGIEPDTITWNTLVDCHCKAGKHDRAEELFEEMMEKGYLPCNTTFNIMINSFGDQERWDDVKNLLTNMRSQGLLPNAVTYTTLIDIYGKSGRFDDAIECLDDMKAAGLKPSSTMYNALLNAYAQRGLSDQAVSAFWAMRDDGLKPSLLALNSLINAFGKDRRDVEAFVVLQYMKENDLKPDVVTYTTLMKALILVEKFDKVPSVYEEMILSGCTPDRKARAMLRSALKYMKQTLE >Potri.006G238900.10.v4.1 pep chromosome:Pop_tri_v4:6:24096825:24101039:-1 gene:Potri.006G238900.v4.1 transcript:Potri.006G238900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238900.v4.1 MDQGYQLLCLAKARRLLFLVGATVAIVIVVQYLEFPSSRVLVSLFSAVNTRSFMSRNSSTGSEALGNMTLSNGLNTTNTGILHETTDSDEASDDKKETAEVSKSEEKEGSPNNSNGSERKRGSSESFGLVSNETTSDDLANQDKNSTLNTINGSEEEKAMAPDASYINVDKDIAPISGRNRSSDADPGYPSSAPPMMNTFSNKTFSTDKNSSPMIFESSNTSSMRKDTAGALKRDENSGLLPNNYSMSTSGSLSSKVTAAKRKTSKKPPSRVISIHQMNELLRQSHASSSSVRPLWPSGVDQEMLFAKSQIENAPLIKNETRLYAPIYRNVSMFRRSYELMEKMLRVYVYQDGEKPIFHQPILDGIYASEGWFMKHMEANENFVTKDPGKAHLFYLPFSSRLLELTLYVRHSHSRTNLIEYMRNYAGMIAAKYHFWNRTGGADHFVAACHDWAPAETRGPLLNCIRALCNADIEVGFSIGKDVSLPETYVRSAQNPLKNLEGNPPSQRPILAFFAGNMHGYVRPVLLDYWGNKDPDMKIFGPMPHVKGNTNYIQHMKSSKFCICPRGHEVNSPRIVEAIFLECVPVIISDNFVPPFFEVLDWESFAVIVLEKDIPNLKNILVSISEEKYIEMHKRVKKVQQHFLWHSKPEKYDLFHMTLHSVWYNRIFRIRPA >Potri.006G238900.5.v4.1 pep chromosome:Pop_tri_v4:6:24096598:24101082:-1 gene:Potri.006G238900.v4.1 transcript:Potri.006G238900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238900.v4.1 MDQGYQLLCLAKARRLLFLVGATVAIVIVVQYLEFPSSRVLVSLFSAVNTRSFMSRNSSTGSEALGNMTLSNGLNTTNTGILHETTDSDEASDDKKETAEVSKSEEKEGSPNNSNGSERKRGSSESFGLVSNETTSDDLANQDKNSTLNTINGSEEEKAMAPDASYINVDKDIAPISGRNRSSDADPGYPSSAPPMMNTFSNKTFSTDKNSSPMIFESSNTSSMRKDTAGALKRDENSGLLPNNYSMSTSGSLSSKVTAAKRKTSKKPPSRVISIHQMNELLRQSHASSSSVRPLWPSGVDQEMLFAKSQIENAPLIKNETRLYAPIYRNVSMFRRSYELMEKMLRVYVYQDGEKPIFHQPILDGIYASEGWFMKHMEANENFVTKDPGKAHLFYLPFSSRLLELTLYVRHSHSRTNLIEYMRNYAGMIAAKYHFWNRTGGADHFVAACHDWAPAETRGPLLNCIRALCNADIEVGFSIGKDVSLPETYVRSAQNPLKNLEGNPPSQRPILAFFAGNMHGYVRPVLLDYWGNKDPDMKIFGPMPHVKGNTNYIQHMKSSKFCICPRGHEVNSPRIVEAIFLECVPVIISDNFVPPFFEVLDWESFAVIVLEKDIPNLKNILVSISEEKYIEMHKRVKKVQQHFLWHSKPEKYDLFHMTLHSVWYNRIFRIRPA >Potri.006G238900.1.v4.1 pep chromosome:Pop_tri_v4:6:24096741:24100914:-1 gene:Potri.006G238900.v4.1 transcript:Potri.006G238900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238900.v4.1 MPRRFCFGSKIGIYFLTELAFGIVFTIFVLLLNFWSFCGADRTYFGDPFVWIRSPLRFMDQGYQLLCLAKARRLLFLVGATVAIVIVVQYLEFPSSRVLVSLFSAVNTRSFMSRNSSTGSEALGNMTLSNGLNTTNTGILHETTDSDEASDDKKETAEVSKSEEKEGSPNNSNGSERKRGSSESFGLVSNETTSDDLANQDKNSTLNTINGSEEEKAMAPDASYINVDKDIAPISGRNRSSDADPGYPSSAPPMMNTFSNKTFSTDKNSSPMIFESSNTSSMRKDTAGALKRDENSGLLPNNYSMSTSGSLSSKVTAAKRKTSKKPPSRVISIHQMNELLRQSHASSSSVRPLWPSGVDQEMLFAKSQIENAPLIKNETRLYAPIYRNVSMFRRSYELMEKMLRVYVYQDGEKPIFHQPILDGIYASEGWFMKHMEANENFVTKDPGKAHLFYLPFSSRLLELTLYVRHSHSRTNLIEYMRNYAGMIAAKYHFWNRTGGADHFVAACHDWAPAETRGPLLNCIRALCNADIEVGFSIGKDVSLPETYVRSAQNPLKNLEGNPPSQRPILAFFAGNMHGYVRPVLLDYWGNKDPDMKIFGPMPHVKGNTNYIQHMKSSKFCICPRGHEVNSPRIVEAIFLECVPVIISDNFVPPFFEVLDWESFAVIVLEKDIPNLKNILVSISEEKYIEMHKRVKKVQQHFLWHSKPEKYDLFHMTLHSVWYNRIFRIRPA >Potri.006G238900.9.v4.1 pep chromosome:Pop_tri_v4:6:24096843:24101109:-1 gene:Potri.006G238900.v4.1 transcript:Potri.006G238900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238900.v4.1 MDQGYQLLCLAKARRLLFLVGATVAIVIVVQYLEFPSSRVLVSLFSAVNTRSFMSRNSSTGSEALGNMTLSNGLNTTNTGILHETTDSDEASDDKKETAEVSKSEEKEGSPNNSNGSERKRGSSESFGLVSNETTSDDLANQDKNSTLNTINGSEEEKAMAPDASYINVDKDIAPISGRNRSSDADPGYPSSAPPMMNTFSNKTFSTDKNSSPMIFESSNTSSMRKDTAGALKRDENSGLLPNNYSMSTSGSLSSKVTAAKRKTSKKPPSRVISIHQMNELLRQSHASSSSVRPLWPSGVDQEMLFAKSQIENAPLIKNETRLYAPIYRNVSMFRRSYELMEKMLRVYVYQDGEKPIFHQPILDGIYASEGWFMKHMEANENFVTKDPGKAHLFYLPFSSRLLELTLYVRHSHSRTNLIEYMRNYAGMIAAKYHFWNRTGGADHFVAACHDWAPAETRGPLLNCIRALCNADIEVGFSIGKDVSLPETYVRSAQNPLKNLEGNPPSQRPILAFFAGNMHGYVRPVLLDYWGNKDPDMKIFGPMPHVKGNTNYIQHMKSSKFCICPRGHEVNSPRIVEAIFLECVPVIISDNFVPPFFEVLDWESFAVIVLEKDIPNLKNILVSISEEKYIEMHKRVKKVQQHFLWHSKPEKYDLFHMTLHSVWYNRIFRIRPA >Potri.004G031800.1.v4.1 pep chromosome:Pop_tri_v4:4:2441221:2443825:1 gene:Potri.004G031800.v4.1 transcript:Potri.004G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031800.v4.1 MVSPNDNANWVFDCGLIEDVPVPVGEQLCLESLDETPNGSLWSSHSFNDTAFLSAEFNNSFENSDGLKEIGFRKRVRHGSGNAPGSKACREKMRRDRLNDRFMELGALLDPGRPPKVDKSAMLVDAARMVTQLRDESQKLKESIESLQEKIDELKAEKNELRDEKQKLKMEKENLEWQQKALSPPPTYLPHPPAIPAPFSAPGQVVGSKLMPFVGYPGISMWQFMPPTVVDTSQDHVLRPPVA >Potri.010G117951.1.v4.1 pep chromosome:Pop_tri_v4:10:13690814:13692876:1 gene:Potri.010G117951.v4.1 transcript:Potri.010G117951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117951.v4.1 MVLWLNTESIWISSLDEQFFVMVAIGHGARATISGHQVNLVIWCRRYSIRLLSRTTINDHGLAVNVQISRNLKTLAYGANPHLILHSVPRSKLCFK >Potri.006G190900.2.v4.1 pep chromosome:Pop_tri_v4:6:19797171:19801633:-1 gene:Potri.006G190900.v4.1 transcript:Potri.006G190900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190900.v4.1 MEDLKKRKMDEAIINGSAETLTTQDYLRSLLDPLSKPQLVDLLSRLGSQYPSIAEEIKSLASADPVHRKLFVRGLAWNTTSETLCAEFRMHGEIEEGSVIYDKATGKSRGYGFITYKHMESAQSALGAPSKLIDGRMAVCNLACEGLTGATTTPDLTQRKLYIGGLSPEISSEMLLHFFGRYGEIEEGSVAYNKDTNESRGFGFVTYKTVEAAKKAIDDPHKLLGGRTITVKLADTHKGKTVQMQSPAPMVPVPVPIAAAGYAQPGKAPVGSGTPVGYPYHQTVASYPASSYPNPPVAPAPYPTQSQVSYAPVSAKKELLGLSSTPPVGMGGYPYYYPKQ >Potri.006G220500.1.v4.1 pep chromosome:Pop_tri_v4:6:22580603:22581400:1 gene:Potri.006G220500.v4.1 transcript:Potri.006G220500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220500.v4.1 MEPIAACRTSRVSSCEKLVAIGLGLLAVVSPLFIDRRPVSESELDEESIILASWVPLLLLVLILATALSLYLDRSFTRFDPYWIHRAGGSSGGIMVILVILALVLKCRASDIIEELGIFNETGA >Potri.005G057400.1.v4.1 pep chromosome:Pop_tri_v4:5:3586900:3588046:-1 gene:Potri.005G057400.v4.1 transcript:Potri.005G057400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057400.v4.1 MMVACRRPLTLLLCLFFLFFIFFVGYSHGSRSTNVFNFKPKTQYKGHFLNFLPRHLPIPTSGPSRRHNGIGLQNWRSP >Potri.012G058800.1.v4.1 pep chromosome:Pop_tri_v4:12:5803721:5808679:1 gene:Potri.012G058800.v4.1 transcript:Potri.012G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058800.v4.1 MANSMAVLSRRLYRSLLSSNPKISQLSMPFCTSSSTTTTTTTTNNLSFREEESELDGSDTHSVPNSTTSSSPSSSTTTSEGSSGSRMVQDRPLENGLDAGVYKAILVGQVGQNPLQKKLRSGREITIFSMGTGGIRNNRRPLQNEDPREYANRCAVQWHRVSVYPERLGRVVMQNVLPGSILYVEGNLETKVFTDPITGLVRRIREIAVRQNGRLVFLGKGANDQQASSLELKGLGYY >Potri.010G225600.4.v4.1 pep chromosome:Pop_tri_v4:10:20924591:20931469:-1 gene:Potri.010G225600.v4.1 transcript:Potri.010G225600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225600.v4.1 MVTGGGNINKPHTVDDFQSELGLILQSQRCNQRIERDLDIYRSGSAPPTVEGSLSAVGSLFRNYNLSDVNSVSNNVVLAEDEIRSHPSYLLYYYSHDNINPRLPPPLLSKEDWLVAQRFQSSGSSFGGIGDLRNNKVVDNSDRSSLFSMQPGLSVHKVDNDLTELRNSNTTCLTRNASAEWLDRISDSHKLHGSRLGPRRKSFADILQEGLDQSTSIPGHLPSPASHNAFGDLLDATGVCDPHQAGLLYGMESLEGLHSGAATTSFTGNQSRIDTLSHSLVSAVGSSLSRSTTPEQQLGGMSAISNLRHVGSRVGPIEKKNVAGMSFQNDHSSGITELGEIGNSLSGLSLLHTRLTDQESHVRHQLQMDLENEPDFPFNVPSSGDQTLQQQLREKSNVVNLSFSTSYTDMPTNNGIIPNRNTSKITSNGEVSISRRNSSTNLHSKMNSSGLGCLERSHVHIQNANVPIVDFTGRVPDDYSTQKLNSVIKNHLDKGGHGIGHGFNRLGNQAGSLDPCYPQYLQRISDYATCPVATSSDPSVRNYFGASDGDLDRIQKAYLETLLVQQKQQYELPLLTKSGGLNQGYHRNSSYGLSMPYPENSVAKSSLPSVGSGSFQSERAAHLAPMMRNSIGGSIGSWQCDIGSIAERRPSSSSIEGFKNNKTGSFEPSDIAGQVVEFSTDQYGSRFIQQKLETASVEEKNKIFPEIIPHARTLMTDVFGNYVIQKFLDHGTESQRLELVSRLNGHVLPLSLQMYGCRVIQKALEMIDVDRQTQIVVELNGSVIKCIRDQNGNHVIQKCIECVPEDRIQFIISAFYGQVLALSTHPYGCRVIQRVLEHCKDMNTQQIIMDEIMQSVYTLAQDQYGNYVIQHVLEHGKPQERSAIISKLAGHIVLMSQQKFASNVVEKCLTFGGPEERQLLVNEILGSTVENEPLQAMMKDPFGNYVVQKVLETCNDRSLELIISRIRVHLSALKRYTYGKHIVSRVEKLITTGERRLGLSSQSPNVI >Potri.014G138700.6.v4.1 pep chromosome:Pop_tri_v4:14:9439837:9445977:1 gene:Potri.014G138700.v4.1 transcript:Potri.014G138700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138700.v4.1 MKRQGRGADFVRAVQEIIDSYEKLKKQDRVVLSSDDGPTHANGGNSLESSNHFEVKDQRETSEATITGRNDLSLQIDDASAEAKIGSLHHKDALLEQPPDNVVIREKPIITTYTSRKRSGGLRSRKRIMQEKAPSIERSRSSSRLESSRFQNFMMPPDDGNKSSGDMSIDCIQDRSLRSTRQIKKSPDDSECDNADSSAFVSNVSIEDNGSEIITADSDSLSLNEGSTLDSGSRLETSETAVQCLEGDIELSRGLDFQIKNVVIRKKRKQNRKRATDEVAEPTVRLETEADVDVGFNDNNQNSQFACKNLNINQIKEDGDEHLPLVKRARVRMGKQSSLEEEHNNFTRAEERRPNEVAFNAMEEDNSFFQPEERTSLEAGVNTLEPISSSSNCNSDIVAHRDSLVVRGIFSNVSPSKNCTPIQEDKSQLLRVKEIQSFCSSADSESALPPSKRLHRALEAMSANATEGQAFIETSTVKTFIIGSSISSIKSASDMVTVSKENSDSEEQIVDSPGNMVSSFSSGSKKILEESNKSSLDVKICNEPGSIKGPGLCKEVFPEATDQGADKDLSGLCFETGNTCISTQARSPLHLMPNLDRRQASLLSRHGSLGQLLLPKDEGNSDDTELKDFGDGNANKELHTSKDSGMSPNIISQADDAAKVSPQSGANLPRFTAEEVGYEDSETVRPQIDSDSQANGICEVAKDVNCDQRQKEASHVSFSEYHLDDKDDLAQSSLPPADRVECPAQIFTPNASVHVSTSESVNFIQNSGSSSPNSLSHPKKIVSTSVSDEDKIESAVPQRPKSVGKWNNCAEAHAALSSFEAILGSLTRTKESISRATRMAIDCAKFGVSAKVVEILARSLESESNLHKRVDLFFLVDSIAQCSRGLKGDVGGIYPSAIQTVLPRLLSAAAPPGSFAQENRRQCLKVLRLWLERRILSESIIRHHIWELDILGGSSSAGLYSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDDGSDSDGGFEAVTPEHYAEAPEYQEFTPAVEKHTHILEDVDGELEMEDVAPSCEAEMSSTSGIGGGDAACNSHNQLEQCLPQPFAPPLPQDVPPSSPPLPSSPPPPPPPPPPPAAPCSSAMPDSYTSGVDSNIYTNSHDLQDDLRQPLTQNSVPPRINPSLSNAVLCRTPECRDQMQVQHCDSTRSFSNYPVCQSNNVHRTDGPSFHHKAYPPRPQHPPPSNQFSYVQANQHVKSRREIPPPSYFNRFQHSHDFDCGNFYNNHERMRPGPYELNDGWRFPAPFPGPRYPDKAKASYAPVPYDGPPQEPTRLPHQEWDFHAQGMYHRNFMPSRPPPECAIPVTNRAPSIWRPR >Potri.014G138700.7.v4.1 pep chromosome:Pop_tri_v4:14:9434197:9445948:1 gene:Potri.014G138700.v4.1 transcript:Potri.014G138700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138700.v4.1 MAPSRRKGAAGKAAAAAAARRQWKVGDLVLAKVKGFPAWPATVSEPEKWGYPNPADWKKILVYFFGTQQIAFCNPADVEPFTEEKKQTLLMKRQGRGADFVRAVQEIIDSYEKLKKQDRVVLSSDDGPTHANGGNSLESSNHFEVKDQRETSEATITGRNDLSLQIDDASAEAKIGSLHHKDALLEQPPDNVVIREKPIITTYTSRKRSGGLRSRKRIMQEKAPSIERSRSSSRLESSRFQNFMMPPDDGNKSSGDMSIDCIQDRSLRSTRQIKKSPDDSECDNADSSAFVSNVSIEDNGSEIITADSDSLSLNEGSTLDSGSRLETSETAVQCLEGDIELSRGLDFQIKNVVIRKKRKQNRKRATDEVAEPTVRLETEADVDVGFNDNNQNSQFACKNLNINQIKEDGDEHLPLVKRARVRMGKQSSLEEEHNNFTRAEERRPNEVAFNAMEEDNSFFQPEERTSLEAGVNTLEPISSSSNCNSDIVAHRDSLVVRGIFSNVSPSKNCTPIQEDKSQLLRVKEIQSFCSSADSESALPPSKRLHRALEAMSANATEGQAFIETSTVKTFIIGSSISSIKSASDMVTVSKENSDSEEQIVDSPGNMVSSFSSGSKKILEESNKSSLDVKICNEPGSIKGPGLCKEVFPEATDQGADKDLSGLCFETGNTCISTQARSPLHLMPNLDRRQASLLSRHGSLGQLLLPKDEGNSDDTELKDFGDGNANKELHTSKDSGMSPNIISQADDAAKVSPQSGANLPRFTAEEVGYEDSETVRPQIDSDSQANGICEVAKDVNCDQRQKEASHVSFSEYHLDDKDDLAQSSLPPADRVECPAQIFTPNASVHVSTSESVNFIQNSGSSSPNSLSHPKKIVSTSVSDEDKIESAVPQRPKSVGKWNNCAEAHAALSSFEAILGSLTRTKESISRATRMAIDCAKFGVSAKVVEILARSLESESNLHKRVDLFFLVDSIAQCSRGLKGDVGGIYPSAIQTVLPRLLSAAAPPGSFAQENRRQCLKVLRLWLERRILSESIIRHHIWELDILGGSSSAGLYSRRSARTERALDDPVRDMEGMLVDEYGSNSSFQLPGFCMPRMLKDEDDGSDSDGGFEAVTPEHYAEAPEYQEFTPAVEKHTHILEDVDGELEMEDVAPSCEAEMSSTSGIGGGDAACNSHNQLEQCLPQPFAPPLPQDVPPSSPPLPSSPPPPPPPPPPPAAPCSSAMPDSYTSGVDSNIYTNSHDLQDDLRQPLTQNSVPPRINPSLSNAVLCRTPECRDQMQVQHCDSTRSFSNYPVCQSNNVHRTDGPSFHHKAYPPRPQHPPPSNQFSYVQANQHVKSRREIPPPSYFNRFQHSHDFDCGNFYNNHERMRPGPYELNDGWRFPAPFPGPRYPDKAKASYAPVPYDGPPQEPTRLPHQEWDFHAQGMYHRNFMPSRPPPECAIPVTNRAPSIWRPR >Potri.009G061800.1.v4.1 pep chromosome:Pop_tri_v4:9:6375672:6375881:1 gene:Potri.009G061800.v4.1 transcript:Potri.009G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G061800.v4.1 MMLQLLFTVAFSAVPLTLYIPPVRSLNLFVETMEDLLRESRVYTGRLYPRARHVWSRVLDILLCNFRLD >Potri.001G223700.1.v4.1 pep chromosome:Pop_tri_v4:1:23932169:23935981:-1 gene:Potri.001G223700.v4.1 transcript:Potri.001G223700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223700.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVDYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWGSGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEASARSRKKSRID >Potri.002G025200.1.v4.1 pep chromosome:Pop_tri_v4:2:1639059:1644890:-1 gene:Potri.002G025200.v4.1 transcript:Potri.002G025200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025200.v4.1 MGRSTSCLKIITCGSDSADRDDLQLPESNGSSDKRGWSFRKKSARHRVLSNTIISETTPSSVNKESPEPANLNFQPPDIPTAPEKNAVIQCTDEKPQLSEKPQLPDKLQLSEKPLSASTDQEVAEAIVFTKDENEVDDRVEESVVIVIQAAVRGVLAQKELLKLKNVVKLQAAVRGYLVRQHAIGTLRCVQAIVKMQALVRARRARLSPKSSYVENEVGGKHGKPISKTSEKESSVIKPNATCTSIEKLVGNSFARQLMESTPKTKPIHIKCDSSKRNSAWNWLERWMSVSSVEPTPKPEFITEQLEIEKKENFTSSVQTRVPPEEFCESEDSKSNIKEIALPSESEESLIKSDAFDFKFQVCHPNSPLPGDILEQPQPETSNKSDAEETSITINSLPNQTIESEVNSKRVTDSLPHKLELDGEQPDQPKRSMKRGASEQLETEGKKFVYGSRKASNPAFIAAQTKFEGLSSTASLSRSFSSSHQDSGVESNTEISGIDTESRTKELDMAENSAPHNSRVQYVGSECGTELSVTSTLDSPDVFEVGAAELEHEAKVSGEETRNPNRTKDLDVEDKDSSKDPVSTLSRLDQPEKLEDAIGESANTIVVADSAQEEMNPEKSVSDVKRELNSETGGLAYRSSPEASPRSHATVPESQGTPSSQLSVKAKKSRADKSSSSQKRKSLSASKRSPSNPNHDSGAGTSVEQLSKDQKNGKRRNSFGSTKPDSTDQEPRDSSSSSSLPHFMQATESARAKLNANNSPRSSPDVQDRDFIKKRQSLPGANGRQGSPRIQRSMSQAQQGAKGNDIVHEKKWQR >Potri.012G123200.1.v4.1 pep chromosome:Pop_tri_v4:12:14032359:14036399:1 gene:Potri.012G123200.v4.1 transcript:Potri.012G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123200.v4.1 MAEALVSPILEQLTTIVVQQVQEEVNLVVGVKKQVDKLKRHLLAIQSRIEDADRKQVKDEAVKDWLDKLKDVCYDIDDVLDEWSTAILRWKMEEAEENTRSRQKMRCSFLRSPCFGLNQVGQRRDIALKIKEVCEKMDDIAKEKAMDGFVPYRATDELQRLISTSFVDESSVIGRDVEKKTVVSKLVGESSQEARDVDVISLVGLGGMGKTTLAQLAFNDAEVTAHFEKKIWVCVSEPFDEVRIAKAIIEQLEGSPTNLVELQSLLQRVSESITGKRFLLVLDDVWTENHRQWEPLKLSLKGGARGSRILVTTRKDAVATKLGTDHRINIEKLSDEICRSIFNHVAFQERSKDERERLTDIGDKIANKCKGLPLAAKVLGGLMQFKRTREEWERVLSSELWRPDEVDRDQVERQIFIPLLLSYYDLPSVGRRCFLYCAMFPKDYEMDKDELVKMWMAQGYLKETSGGDMELVGEQYFQVLAARSFFQDFKTYGREGIAFKMHDIVHDFAQYMTKNECLTVDVNNLGEATVETSIERVRHLSMMLSEETYFPEFIQKAKGLRSLLINTRDPSLGAALPDLFKQLTCIRSLNLSESSIKELSESSIKEIPNEVGKLIHLRHVNFAYCYQLESLPETMCDLCNLQSLDVTWCGSLKELPKAIGKLIKLRHLQIDGSGVAFIPKGIERITCLRTLDVFKVCGGGENESKAANLRELKNLNHIGGSLNIRNLGGGIEDASDAAEAQLKNKKRLRRLELVFDREKTELQANEGSLIEALQPPSDLEYLTISSYGGLDLPNWMMTLTRLLALELHDCTKLEVLPPLGRLPNLESLAFWSLKVRRLDAGFLGIEKDENASIKEGEIARVTAFPKLKTLEIWHLEEVEEWDGIERRSVGEEDATTTSIISMMPQLRWLRIINCPLLRALPDYVLAAPLQELDIRWCTILRKRYGKEEMGEDWQKISHFPNIYFE >Potri.002G064300.1.v4.1 pep chromosome:Pop_tri_v4:2:4399846:4401831:-1 gene:Potri.002G064300.v4.1 transcript:Potri.002G064300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064300.v4.1 MNSSSILTKLGKKLQKLASINKKNNSLPRTTWNDERSSVLADKGHFVVYTVDQNRFVIPLVFLNSGILRALLELSKNEFGLPSNGPITLPCEAYFMEYIIMLIQRGVDKDLEKPWLMSIAPCCALSYSLPYEEPTTLQFHVSAECVYWTP >Potri.T124804.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:34155:34877:1 gene:Potri.T124804.v4.1 transcript:Potri.T124804.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124804.v4.1 MKAFLVIICILLATIVFSPSISTCTAKDPGTGFAPANSGSPCGANKANCLPKSNPGRNKPKKRCESPVEQTDCDPN >Potri.004G022600.1.v4.1 pep chromosome:Pop_tri_v4:4:1568235:1571216:-1 gene:Potri.004G022600.v4.1 transcript:Potri.004G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022600.v4.1 MAPPATIRCCAAALTLMFSILIISPSSSAIYCDEDDCYDLLGVTQNANASEIKKAYYKLSLKHHPDKNPDPESKKLFVKIANAYEILKDEATREQYDYAIAHPEEVFYNTARYYHAYYGHKTDPRFVLVGLLLILSGFQYMNEMTRYNQAVAMVKKTPAYKNRLRALELERSGGVTNKKKSNKQMDKKVEEDLSKELELDIKGAHKPSIWELLGVRFIVLPYTIGKLLLWNGCWFWRYKVKQAPYSWEDAVYLTQRSLRVPLDAWGSIDESTKEDLVQRRLWEKSNLETYFADLRKESKRRR >Potri.018G014234.2.v4.1 pep chromosome:Pop_tri_v4:18:961437:963359:1 gene:Potri.018G014234.v4.1 transcript:Potri.018G014234.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014234.v4.1 MPKKSPNTEKRIPTERDRGWEREIALKNIMHPTKQKHRSSGPESTTSRSSSTPGWITESINGGSLRHVDLHTGVNGWASPPGDLFSLRSKNYFIKKQKSPSGDYLLSPAGMDWLKSSTKLDNVLARPDNRVANALKKAQSQNKSLKSFIFAINLQVPGKDQHSAVFYFASEDPLPSDSLLYRFINGDDAFRNQRFKIVNRIEKGPWVVKKTVGNYSACLLGKALNINYHRGGNYFEIDVDVGSSKIAAAILHLALGYTAHVTIDMGFVVEAQTEEELPERLIGAIRVCQMEMSTARVVDSPSTGLARGSGFAKVEHHLSGDEEED >Potri.018G014234.1.v4.1 pep chromosome:Pop_tri_v4:18:961437:963288:1 gene:Potri.018G014234.v4.1 transcript:Potri.018G014234.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014234.v4.1 MPKKSPNTEKRIPTERDRGWEREIALKNIMHPTKQKHRSSGPESTTSRSSSTPGWITESINGGSLRHVDLHTGVNGWASPPGDLFSLRSKNYFIKKQKSPSGDYLLSPAGMDWLKSSTKLDNVLARPDNRVANALKKAQSQNKSLKSFIFAINLQVPGKDQHSAVFYFASEDPLPSDSLLYRFINGDDAFRNQRFKIVNRIEKGPWVVKKTVGNYSACLLGKALNINYHRGGNYFEIDVDVGSSKIAAAILHLALGYTAHVTIDMGFVVEAQTEEELPERLIGAIRVCQMEMSTARVVDSPSTGLARGSGFAKVEHHLSGDEEED >Potri.007G102100.1.v4.1 pep chromosome:Pop_tri_v4:7:12585045:12589227:-1 gene:Potri.007G102100.v4.1 transcript:Potri.007G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102100.v4.1 MSRRTASRSLFLFFTLFTTLQSPILAAKKSYVVYLGRQSYASEPSTTDLDRVTDAHHELLGSCMKSKEKAKQAIFYSYTRYINGFAAILEDEEAAEISKHPEVVSVSRNRISQLHTTNSWGFLGLERNGEIPADSMWLKARFGEDVIIGTLDSGVWPESESFNDEGMGPVPSKWKGYCDPNDGIKCNRKLIGARYFSKGYEAAETHDSSYHTARDYDGHGTHTLSTAGGRFVSGANLLGSAYGTAKGGSPNSRVASYKVCWPRCSDADVLAGYEAAIHDGVDILSVSLGSGQEEYITDGIAIGAFLAMERGILVVAAAGNDGPDPGVVGNVAPWILTVGYSTISRDFTSNVILGNNKQYKGVSFNTNTQPAGKSYPLINSVDAKAANVSSNQAKYCSIGSLDPLKVKGKIVYCTRNEDPDVEKSLVVAQAGGVGVILANQLIIQQIRPRAHFVPTSVISADDGLSILTYVYSTKSPVAYISGATEVGTVAAPVMADFSSPGPNFITPEILKPDITAPGVNILAAFTGASGPTDVPGDRRRVHFNFISGTSMACPHVSGIAGLLNTMHPDWSPAAIKSAIMTTATTISNVKQPIANASLLEANPLNYGAGHIWPCRAMDPGLVYDLTTKDYVNFLCSIGYNSTQLSLFIGKPYICQPHNNGLLDFNYPSITVPNLSSNKTTLSRTLKNVGTPSLYRVNIRAPGGISVKVEPRSLKFDKINEEKMFKVTLEAKKGFKSNDYVFGEITWSDGKHHVRSPVVVKKMAVAA >Potri.011G009251.1.v4.1 pep chromosome:Pop_tri_v4:11:862623:868000:1 gene:Potri.011G009251.v4.1 transcript:Potri.011G009251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009251.v4.1 MAAAKYQASSSSRFSHCKYQVFLSFRGEDTRKNFTDHLYTALVQAGIHTFRDDDEIGRGENIESELQKALQQSKIAIIVFSKDYASSRWCLDELVMIMERRRTADCRVLPVFYDVDPSQVRKQTGSFATAFVEHEKHFKEEMERVNGWRIALKEVADLAGMVLGDGYEALLVQCIVGKVSKNLDRKIFHVPLHFIGRDPLVNYINSWLQDESHDAAIAMLYGIGGVGKTTIAKSVFNQNFRKFECRSYLSNVREISKESKGVVCLQRQLLSDILNQTVDEIHDVDEGILKIKDALCCRRTLIVLDDVDNRDQFNAIIGMQEWFCQGCKIIVTTRNKGLIAANDEFVKCKVEPLDYKKSLELFSWHAFGQAYPVKGFVEDSWRIVHHCNGLPLALRVIGSSLSGKGRKLWGSALQQLAVIPNCEVQNVLEISYHSLDDDYQKNIFLDIACFFNGMDVDYAVTILDGLGIGARFRIDKLIDRCLVEINNDKRLWMHQLVRDMGREIARQESPKCQRTWHHEDAFRVLNGTTDAEKLRGLTLDMHALMEDDYAEVACTSSIVRSKSRRLNFSQQWFSDFLYGGKLQTNQTSLFPILSTDAFRKMSDVKFLQLNYTKFHGSFEHFPKNLIWLCWHGFSLRSVPNQISLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDIRHSHDLIRTPDFSGLPVLEKLILEDCICLVQIHESIGDLQRLLILNLRNCTSLMELPEEMRRLNSLQELVLDGCSNLDSLMNTVVEHHQGRSLLQSDGIVASTSYITSLPLKLFFPSRFSARKMLRFTSFSLPRSLQILDLSGTTIRSLPESIKDLGLLKYLHLRNCKMLQALPELPSHSISLDVSFCYSLQRLANLNRCTKADGCDQFVEFKDWIKQELIEKFDSRMFRIMETVRSQIQPSRFEITFLYGIFNVVAYAFEDEELRGFYEEEEEDKWLIQNEFVDNFSFKISSAGTRRICGFNLFTRFCVTSEYSGSSLVYIGIRNNTSGRSLRCRAFIFPMIYKRRVCEFQSLMHRKLGVGDRTFDNGDDVSISVLRHHPAFQIRRIGVQWLYEEEGDDDDIQSKDENAHNSSDDDDDDAHVVKVEIASHIFRNYYCAFRCFLYDGNFTCWYFAKKGLEIVLF >Potri.005G133700.1.v4.1 pep chromosome:Pop_tri_v4:5:10220239:10221981:-1 gene:Potri.005G133700.v4.1 transcript:Potri.005G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G133700.v4.1 MGEVNNQKQHFVLIHGSVAGAWIWYKVKPRLEEAGHRVTALDMAASGVNTQKIEEVRTFDQYNEPLMEFMAKLPENEKVVLVGHSLGGLNLAFAMEKFPEKVSLAVFLTAILPDTVHQPSYMLEKFAEIGPKGEEWQDTLFSFHGTPEEPHTCVHMGCEFMKCKPFHLSSAEDLALQMLLNRPGSMFVESLSKAKKFTDERYGSVPRVYIVCTEDLMMPASFQRWMIEQNGVKEVMEIPADHMPVFSTPTELCHSILELARKHA >Potri.008G217000.3.v4.1 pep chromosome:Pop_tri_v4:8:16382649:16384757:1 gene:Potri.008G217000.v4.1 transcript:Potri.008G217000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217000.v4.1 MPCYHQARAVHIIQQLKNCKDFIFSISTHANLFKFGLLNDTITTNHLLNSYLRFRRIQYAHHLFDEMHEPNVVSWTSLMSGYVNMGRPQSALWLYTKMSESEVSPNGFTLATVINSCSILADLKTGKMVHAHVQILGLQGNLVVCSSLVDMYGKCNDVDGARMVFDSMSCRNVVSWTAMIAGYAQNGKGYEALEVFREFSSYMMERPNHFMLASVINACASLGRLVSGKVTHGAVIRGGYELNDVVASALVDMYAKCGSFLYSEKVFRRIRNPSVIPYTSMIVGAAKYGLGKLSLNLFEEMTDRKVMPNDVTFVGILHACSHSGLVDEGLRLLNSMHEKHGVMPDVRHYTCVVDMLSRVGRLDEAYKLAKSIRVNPNEGALLWGTLLSSSRLHGRVDMAVEASKWLIEYNQQVAGAYVTLSNTYTLAGEWENAHSLRTEMELVGVHKEPGCSWIEIKDSIYVFYAGDLSCERGDEVISLLRELERRMMERGCVGGSTGLVFVDVEQEVKEKIVGLHSERLALAFGLISIPKGVTIRVMKNLRICSDCHEAFKLISKIVERDFVVRDVNRFHHFKDGSCTCKDFW >Potri.004G009500.1.v4.1 pep chromosome:Pop_tri_v4:4:555084:556334:1 gene:Potri.004G009500.v4.1 transcript:Potri.004G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009500.v4.1 MASLQASSFLVSCTCSTRINAAISVPKLPGIRISVPRNALNVVEEHNLRDGFTSAIPIEKINTATNTRIDQEPATTRATVKLFAILEAVADRVEMHKNIGEQRDNWNKLLLNSINMITLTAATMAGIASAGTVGAPLLALKLSSTLLFSAATGMLLIMNKIQPSQLAEEQRNATRLFKQLYSHIRTTLALRDPTALDVKDAMEKTLALDKAYPLPLLGKMIEKFPEKFEPAVWWPKTQGSPRKQHKTQGRNGCSGDLEEEMRQVIEVIKRKDSEDYMRLGNLALKVNKILAISGPLLTGIAAAGSAFVGHGSWAAIVAVTAGALASTVNTFEHGGQIGMVVEMYRNCAGFFTLMEESIETSIQQRDFEKSEDGEMFEMNVALKLGRSLSQLRDLARKSSSSHVDGSTIDEFASKLF >Potri.015G035700.2.v4.1 pep chromosome:Pop_tri_v4:15:2990928:2994438:1 gene:Potri.015G035700.v4.1 transcript:Potri.015G035700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035700.v4.1 MGSSSKDLLHLENKYFVTPSSLESILRVCEKDSGPRKPPADGKPLTTPVPQSQVLGKVRDFIGVISEANKRLQEDAKDNSEKYDIETLTGNESEVVEMDLMLGIADLHTPEAVAAAESAIGNGHPLNSLAASSSESESEDTSDESESDDEASDDTESDGEDNGNDNNKTCKSGNNDSTEGIVKQCSKRQRKIVELS >Potri.015G035700.3.v4.1 pep chromosome:Pop_tri_v4:15:2993708:2994102:1 gene:Potri.015G035700.v4.1 transcript:Potri.015G035700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035700.v4.1 MDLMLGIADLHTPEAVAAAESAIGNGHPLNSLAASSSESESEDTSDESESDDEASDDTESDGEDNGNDNNKTCKSGNNDSTEGIVKQCSKRQRKIVELS >Potri.004G170943.1.v4.1 pep chromosome:Pop_tri_v4:4:20457228:20458483:1 gene:Potri.004G170943.v4.1 transcript:Potri.004G170943.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170943.v4.1 MTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGILLLQVITAKPPMGLTHIVEQAIENETLKEVLDSDVPDWPIEETLWFAKLALQCAELRRKDRPDLGTEVLPELNRLRDYAEEKMNYLFLAKAFGPSPNHSLASITLPISQISITPTNLSQPSATPTNLSQVSITQEAMSNTVVGNLGSPKSQSSS >Potri.005G172600.3.v4.1 pep chromosome:Pop_tri_v4:5:17791807:17793351:1 gene:Potri.005G172600.v4.1 transcript:Potri.005G172600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172600.v4.1 MAQTLAMPVVPSLSAICNGLKNTSLSSSISPPVSNPPKVCSLSIRCARVGGVEIPNSKRVEYSLQYIHGIGRSIARQILSDLTMENKITKDLSEDELITLRDEVSKYMIEGDLRRFNALNIRRLKEIQCYRGVRHIQGLPCRGQRTKNNCRTLKGKRVSIPGKKKAPR >Potri.018G086600.3.v4.1 pep chromosome:Pop_tri_v4:18:10439745:10450211:1 gene:Potri.018G086600.v4.1 transcript:Potri.018G086600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086600.v4.1 MASWLKVAEDLFENMDRKAKLVATELSDESSDLQPQASNGQGSEPKKMKSRIEGGKKLSNKESPQASNASQELTYIQMPQLDIASDNDRATLSVENEETLSSKTIAQTSTENLQDAGRDVTVAGVDSATSTSNGELLNEKDSDVPVEHPPPLAVKETQVVKEDHPIDDGKNIKSGEADVPVKTDQEKSQSTLTSSPARKESSLKGADLEVEPLVNQKKQLENKADTSPMTVQDQLDEAQGLLKIAVSSGQSKEARLARACAGLQSRLQEYKSENAQLEELLTAERELTKSYEGHIKQLQKDLSISKNEVARIESNMAEALAVKNSEIEALVSSMETSKKQLALSEGNLASMQANMESIMRNRELTETRMMQAVREELASAERRAEEERTAHNATKMAAMEREVELEHRAVETSTALAKMQRIADERTTKAAELEQKVALLEVECASLNQELQDMEARARRGQKKSPEEANQMIQMQAWQEEVERARQGQRDAESKLSYTETEAQKMRVEMAAMKRDAEHYSRQEHVELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKEVKRLQEAQVEAERSRVSRRTSSSWEEDNEMKELEPLPLYHRHMVGASMQLQKAAKILDSGAARVTRFLWRYPTARLILLFYLVFVHLFLMYLLHRLQEQADSFSAREVAESMGLANHTLP >Potri.018G086600.1.v4.1 pep chromosome:Pop_tri_v4:18:10439677:10450241:1 gene:Potri.018G086600.v4.1 transcript:Potri.018G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086600.v4.1 MASWLKVAEDLFENMDRKAKLVATELSDESSDLQPQASNGQGSEPKKMKSRIEGGKKLSNKESPQASNASQELTYIQMPQLDIASDNDRATLSVENEETLSSKTIAQTSTENLQDAGRDVTVAGVDSATSTSNGELLNEKDSDVPVEHPPPLAVKETQVVKEDHPIDDGKNIKSGEADVPVKTDQEKSQSTLTSSPARKESSLKGADLEVEPLVNQKKQLENKADTSPMTVQDQLDEAQGLLKIAVSSGQSKEARLARACAGLQSRLQEYKSENAQLEELLTAERELTKSYEGHIKQLQKDLSISKNEVARIESNMAEALAVKNSEIEALVSSMETSKKQLALSEGNLASMQANMESIMRNRELTETRMMQAVREELASAERRAEEERTAHNATKMAAMEREVELEHRAVETSTALAKMQRIADERTTKAAELEQKVALLEVECASLNQELQDMEARARRGQKKSPEEANQMIQAWQEEVERARQGQRDAESKLSYTETEAQKMRVEMAAMKRDAEHYSRQEHVELEKRYRELTDLLYYKQTQLEAMASEKAAAEFQLEKEVKRLQEAQVEAERSRVSRRTSSSWEEDNEMKELEPLPLYHRHMVGASMQLQKAAKILDSGAARVTRFLWRYPTARLILLFYLVFVHLFLMYLLHRLQEQADSFSAREVAESMGLANHTLP >Potri.001G283400.1.v4.1 pep chromosome:Pop_tri_v4:1:23323754:23326231:-1 gene:Potri.001G283400.v4.1 transcript:Potri.001G283400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283400.v4.1 MVKLPVPFLPFVFSTLFTLIIPSASGLSFNFTSFVVGADQNISYEEAYPADGAIQLTKNLRNANMNSSSGRATYYKPMQLWDEASGNLTDFTTHFSFSIDSQGQTAYGDGLAFFLGPEELPPLRFQGGSLGLLRNNQALNTTDNHFVAVEFDIFQNYFDPPGEHVGIDINSLKSENNITWLCDIRRGRRTDAWISYNSSTHNLSVAFTGYRNNTEEMQFLSQIVSLRDYLPERVSFGFSASTGDLFAIHTLYSWDFSSSLEIDDNVTSPIDPAAASPPNGGSLRNRKKNRTGLAVGLGVGGGAIVVGAALVGIVIKFMRGHEEDEGDGHILEEYMDDEFERGTGPKKFSYQELARATSNFKDEEKLGEGGFGGVYKGFLKEIDSFVAVKRVSRGSKQGIKEYSAEVKIISRLRHRNLVQLIGWCHERRELLLVYEFMPHGSLDSHLFKENSLLAWEVRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIMLDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECAMTGKASRESDVYSFGIVALEIACGRKPINPKASNEDLVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIVGLSCAHPDEHLRPSIRQSLHILNFDAPLPILPSKMPVPSYFAPPIPASSLSIMSYGLADSEGGMNKSSSYSYNTNSSQFTTSSSASSASAMLPHEG >Potri.009G028001.1.v4.1 pep chromosome:Pop_tri_v4:9:3931364:3931961:1 gene:Potri.009G028001.v4.1 transcript:Potri.009G028001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G028001.v4.1 MAPKAEKKPAEKKPAEEKKTVAEKAPAEKKPKAGKKLPKEGGGAAAGDKKKKRVKKSTETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.005G066100.7.v4.1 pep chromosome:Pop_tri_v4:5:4290296:4293643:-1 gene:Potri.005G066100.v4.1 transcript:Potri.005G066100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066100.v4.1 MNIHNTYGLHSKPFSSSPIYTKYTLKPNSSLCLSLYVPKPFNLLQLTCTMVSLFIYALTFFFPASDDVCNVSDDFDWIGSELSAPQDPIECVTDVFDGFISTNELSFLEDFGDFLGKSEEEIKTTPSRDFKFGMTKKKPRSKRRLKQRAWPMKDFVFSALENHEFRKRICTHCQIDKTPQWRIGPLGPKTLCNACGVRYNTGRLLPEYRPAASPSFDQNKHSNLHKQILRRRANLI >Potri.005G066100.10.v4.1 pep chromosome:Pop_tri_v4:5:4290296:4293643:-1 gene:Potri.005G066100.v4.1 transcript:Potri.005G066100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066100.v4.1 MPPKTEMLNLNRARSLSSGQPQSSDDFDWIGSELSAPQDPIECVTDVFDGFISTNELSFLEDFGDFLGKSEEEIKTTPSRDFKFGMTKKKPRSKRRLKQRAWPMKDFVFSALENHEFRKRICTHCQIDKTPQWRIGPLGPKTLCNACGVRYNTGRLLPEYRPAASPSFDQNKHSNLHKQILRRRANLI >Potri.005G066100.2.v4.1 pep chromosome:Pop_tri_v4:5:4290296:4293643:-1 gene:Potri.005G066100.v4.1 transcript:Potri.005G066100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066100.v4.1 MPDLEKELFLCCRAGHSSAEMELYIAATFCLIREWLSFHGEIKLTACRTSLEMPPKTEMLNLNRARSLSSGQPQSSDDFDWIGSELSAPQDPIECVTDVFDGFISTNELSFLEDFGDFLGKSEEEIKTTPSRDFKFGMTKKKPRSKRRLKQRAWPMKDFVFSALENHEFRKRICTHCQIDKTPQWRIGPLGPKTLCNACGVRYNTGRLLPEYRPAASPSFDQNKHSNLHKQILRRRANLI >Potri.005G066100.9.v4.1 pep chromosome:Pop_tri_v4:5:4290296:4293643:-1 gene:Potri.005G066100.v4.1 transcript:Potri.005G066100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066100.v4.1 MPPKTEMLNLNRARSLSSGQPQSSDDFDWIGSELSAPQDPIECVTDVFDGFISTNELSFLEDFGDFLGKSEEEIKTTPSRDFKFGMTKKKPRSKRRLKQRAWPMKDFVFSALENHEFRKRICTHCQIDKTPQWRIGPLGPKTLCNACGVRYNTGRLLPEYRPAASPSFDQNKHSNLHKQILRRRANLI >Potri.005G066100.8.v4.1 pep chromosome:Pop_tri_v4:5:4290296:4293643:-1 gene:Potri.005G066100.v4.1 transcript:Potri.005G066100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066100.v4.1 MNIHNTYGLHSKPFSSSPIYTKYTLKPNSSLCLSLYVPKPFNLLQLTCTMVSLFIYALTFFFPASDDVCNVSDDFDWIGSELSAPQDPIECVTDVFDGFISTNELSFLEDFGDFLGKSEEEIKTTPSRDFKFGMTKKKPRSKRRLKQRAWPMKDFVFSALENHEFRKRICTHCQIDKTPQWRIGPLGPKTLCNACGVRYNTGRLLPEYRPAASPSFDQNKHSNLHKQILRRRANLI >Potri.001G113900.1.v4.1 pep chromosome:Pop_tri_v4:1:9227415:9232951:-1 gene:Potri.001G113900.v4.1 transcript:Potri.001G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113900.v4.1 MESLINLLLCVLFTFVLVKILHFIARGSKTESSGKLPPGPAALPIIGSLLDLGDKPHKSLARLAKTHGPLMSLKLGQITTIVISSPTLAKEVLQKHDVSFSNRTIPDALRAHKHHELGLPWVPIAMRWRNLRKVCNSYIFTNQKLDANQDLRRKKIQELVALVQEHCLAGEAMDIGQAAFTTALNALSNSIFSLNLSDSNSETASQLKEVVGGIMEEAGKPNLADYFPVLRRIDLQGIKRRMTIHFGKILNIFDGIVNERLQLRKMQGYVPVNDMLDTLLTISEDNNEDIMETSQIKHLFLDLFAAGTDTTSSTLEWAMAELLHNPRTLSIARTELEQTIGKGSLIEESDIVRLPYLQAVIKETFRLHPAVPLLLPRKAGENVEISGYTIPKGAQLFVNAWAIGRDPSLWEDPESFVPERFLGSDIDARGRNFELIPFGAGRRICPGLPLAMRMLHMMLGSLIHSFDWKLENGVTPESMDMEDKFGITLGKARSLRAVPIQL >Potri.001G113900.2.v4.1 pep chromosome:Pop_tri_v4:1:9231831:9232676:-1 gene:Potri.001G113900.v4.1 transcript:Potri.001G113900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113900.v4.1 MSLKLGQITTIVISSPTLAKEVLQKHDVSFSNRTIPDALRAHKHHELGLPWVPIAMRWRNLRKVCNSYIFTNQKLDANQDLRRKKIQELVALVQEHCLAGEAMDIGQAAFTTALNALSNSIFSLNLSDSNSETASQLKEVVGGIMEEAGKPNLADYFPVLRRIDLQGIKRRMTIHFGKILNIFDGIVNERLQLRKMQGYVPVNDMLDTLLTISEDNNEDIMETSQIKHLFLVTSFSPPFANCFIYVFPLKFLNFLYILREFSLLTSLFVTGFICCWYRYNF >Potri.006G052300.1.v4.1 pep chromosome:Pop_tri_v4:6:3621432:3621942:-1 gene:Potri.006G052300.v4.1 transcript:Potri.006G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052300.v4.1 MKPTTISRSLATKKRRNHESNFYRYLKPGALAQLRDSKISSLTRLSVHQFDSIPTTPQQISSILDLEQVPCFLMSKIRGPACSLKRKRLVAARSVFLLNLDPSNSPVLDPSSSNNDNGSLISV >Potri.011G015900.1.v4.1 pep chromosome:Pop_tri_v4:11:1468795:1475019:-1 gene:Potri.011G015900.v4.1 transcript:Potri.011G015900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPPSVINGAVAASSGNSAPASGRVASVYSEVQSSRIDHALPLPSVLQNPFQIVDGPPSSAAGNPDEIAKLFPNMFGQPSAMLVPNNVDTLSSDQKLRIGVVLSGGQAPGGHNVISGIYDYLQDRAKGSVLYGFRGGPAGIMKCKYVELNADYIYPYRNQGGFDMIASGRDKIESPEQFKQAEETAKKLDLDGLVVIGGDDSNTNACLLAENFRGKNLKTRVIGCPKTIDGDLKCKEVPTSFGFDTACKIYAEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKKLTLKNVTDYIVNVICKRSDLGYNYGVILIPEGLIDFIPEVQQLIAELNEVLAHDVVDEGGQWKKKLTNQSLQLFDFLPPAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKGHFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLHSGKTGLISSVGNLGAPVAEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNRYISPGPIQFMGPGSDAISHTLLLELGADA >Potri.003G072300.9.v4.1 pep chromosome:Pop_tri_v4:3:10012636:10022416:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MPYLVKPNQQAQTSSSLSPQVSEMETSSDKQGEVESARSKDPVADIEYRTPDGYAFSMNSDDDDDEYGAYRSDSETRQFPQVNDYYRQVEFDDMGNDGGSHKGHLDGETIDPKSLSSSPLNHSFGSQNLEGRSQLRKKDEHEMDDECEAPSSMYNGEDGDTEPVDFENNGALWLPPEPEDEEDEREVGLFEDDDDDKDAAGEWGYLRASGSFGSGEFRNRDRSGEERKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRHCESMVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.003G072300.3.v4.1 pep chromosome:Pop_tri_v4:3:10012633:10022416:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MESSDKTFSELICLLKSWIPWRSEPASVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPVPSCDPGAAREEWEKIRVCNYCFGQWQQGLATSDNGIEVPCLDFSTSPSAASFISTRSSGTANSSSITLGSMPYLVKPNQQAQTSSSLSPQVSEMETSSDKQGEVESARSKDPVADIEYRTPDGYAFSMNRSDDDDDEYGAYRSDSETRQFPQVNDYYRQVEFDDMGNDGGSHKGHLDGETIDPKSLSSSPLNHSFGSQNLEGRSQLRKKDEHEMDDECEAPSSMYNGEDGDTEPVDFENNGALWLPPEPEDEEDEREVGLFEDDDDDKDAAGEWGYLRASGSFGSGEFRNRDRSGEERKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRHCESMVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.003G072300.4.v4.1 pep chromosome:Pop_tri_v4:3:10012744:10022416:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MESSDKTFSELICLLKSWIPWRSEPASVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPVPSCDPGAAREEWEKIRVCNYCFGQWQQGLATSDNGIEVPCLDFSTSPSAASFISTRSSGTANSSSITLGSMPYLVKPNQQAQTSSSLSPQVSEMETSSDKQGEVESARSKDPVADIEYRTPDGYAFSMNRSDDDDDEYGAYRSDSETRQFPQVNDYYRQVEFDDMGNDGGSHKGHLDGETIDPKSLSSSPLNHSFGSQNLEGRSQLRKKDEHEMDDECEAPSSMYNGEDGDTEPVDFENNGALWLPPEPEDEEDEREVGLFEDDDDDKDAAGEWGYLRASGSFGSGEFRNRDRSGEERKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRHCESMVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.003G072300.5.v4.1 pep chromosome:Pop_tri_v4:3:10012636:10022532:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MESSDKTFSELICLLKSWIPWRSEPASVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPVPSCDPGAAREEWEKIRVCNYCFGQWQQGLATSDNGIEVPCLDFSTSPSAASFISTRSSGTANSSSITLGSMPYLVKPNQQAQTSSSLSPQVSEMETSSDKQGEVESARSKDPVADIEYRTPDGYAFSMNSDDDDDEYGAYRSDSETRQFPQVNDYYRQVEFDDMGNDGGSHKGHLDGETIDPKSLSSSPLNHSFGSQNLEGRSQLRKKDEHEMDDECEAPSSMYNGEDGDTEPVDFENNGALWLPPEPEDEEDEREVGLFEDDDDDKDAAGEWGYLRASGSFGSGEFRNRDRSGEERKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRHCESMVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.003G072300.1.v4.1 pep chromosome:Pop_tri_v4:3:10012591:10022533:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MESSDKTFSELICLLKSWIPWRSEPASVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPVPSCDPGAAREEWEKIRVCNYCFGQWQQGLATSDNGIEVPCLDFSTSPSAASFISTRSSGTANSSSITLGSMPYLVKPNQQAQTSSSLSPQVSEMETSSDKQGEVESARSKDPVADIEYRTPDGYAFSMNRSDDDDDEYGAYRSDSETRQFPQVNDYYRQVEFDDMGNDGGSHKGHLDGETIDPKSLSSSPLNHSFGSQNLEGRSQLRKKDEHEMDDECEAPSSMYNGEDGDTEPVDFENNGALWLPPEPEDEEDEREVGLFEDDDDDKDAAGEWGYLRASGSFGSGEFRNRDRSGEERKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRHCESMVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.003G072300.6.v4.1 pep chromosome:Pop_tri_v4:3:10012646:10022508:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MESSDKTFSELICLLKSWIPWRSEPASVSRDFWMPDQSCRVCYECDSQFTVFNRRHHCRLCGRVFCAKCTANSVPVPSCDPGAAREEWEKIRVCNYCFGQWQQGLATSDNGIEVPCLDFSTSPSAASFISTRSSGTANSSSITLGSMPYLVKPNQQAQTSSSLSPQVSEMETSSDKQGEVESARSKDPVADIEYRTPDGYAFSMNSDDDDDEYGAYRSDSETRQFPQVNDYYRQVEFDDMGNDGGSHKGHLDGETIDPKSLSSSPLNHSFGSQNLEGRSQLRKKDEHEMDDECEAPSSMYNGEDGDTEPVDFENNGALWLPPEPEDEEDEREVGLFEDDDDDKDAAGEWGYLRASGSFGSGEFRNRDRSGEERKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRHCESMVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.003G072300.8.v4.1 pep chromosome:Pop_tri_v4:3:10012673:10022416:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MPYLVKPNQQAQTSSSLSPQVSEMETSSDKQGEVESARSKDPVADIEYRTPDGYAFSMNRSDDDDDEYGAYRSDSETRQFPQVNDYYRQVEFDDMGNDGGSHKGHLDGETIDPKSLSSSPLNHSFGSQNLEGRSQLRKKDEHEMDDECEAPSSMYNGEDGDTEPVDFENNGALWLPPEPEDEEDEREVGLFEDDDDDKDAAGEWGYLRASGSFGSGEFRNRDRSGEERKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRHCESMVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.003G072300.10.v4.1 pep chromosome:Pop_tri_v4:3:10015428:10022529:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.003G072300.7.v4.1 pep chromosome:Pop_tri_v4:3:10012748:10022532:1 gene:Potri.003G072300.v4.1 transcript:Potri.003G072300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072300.v4.1 MPYLVKPNQQAQTSSSLSPQVSEMETSSDKQGEVESARSKDPVADIEYRTPDGYAFSMNRSDDDDDEYGAYRSDSETRQFPQVNDYYRQVEFDDMGNDGGSHKGHLDGETIDPKSLSSSPLNHSFGSQNLEGRSQLRKKDEHEMDDECEAPSSMYNGEDGDTEPVDFENNGALWLPPEPEDEEDEREVGLFEDDDDDKDAAGEWGYLRASGSFGSGEFRNRDRSGEERKKVMKNVVDGHFRALVSQLLQVENVPVGDENDKESWLEIITSLSWEAATLLKPDTSKGGGMDPGGYVKVKCIASGRHCESMVVRGVVCKKNIAHRRMTSKIEKPRLLILGGALEYQRVSNHLSSFDTLLQQEMDHLKMAVAKIDAHNPDVLLVENSVSRHAQEYLLAKDISLVLNIKRPLLERIARCTGAQIVPSVDHLSSPKLGYCEKFHVERILEDLGTAGHSGKKLVKTLMYFEGCPKPLGFTILLRGANGDELKKVKHVVQYGVFAAYHLALETSFLADEGATLPELPLNSPITVALPDKPSSIERSISTVPGFTIAANEKPQGLQSSNEPQRSNSAPTASLVPTIISSSVDKVQAADGLSTQSSEFTQCRLNSTEFLSAFPYTVKVVSDSYQTFEDKNKMDSGDSLVAEIAPVNNGLAAIVDQLNFNSFGSSDGVAMNVSQSDFNEIIVTHPHSSEVSSAQQDSRRNLEESEPLKEEFPPSPSDHQSILVSLSSRCVWKGTVCERSHLIRFKYYGNFDKPLGRFLRDHLFDQSYSCRSCEMPSEAHVHCYTHRQGTLTISVKKLPEILLPGEKDGKIWMWHRCLMCPRINRFPPATRRVVMSDAAWGLSFGKFLELSFSNHAAASRVASCGHSLHRDCLRFYGFGKMVACFRYASINVLSVYLPPAKVDFSSENQEWTQKETDEVVNQAELLFSEVLNALSQISEKRCKIEQNNSGMKLPESRRQIAEFESMLQKEKAEFEESLHKVLNKELKNGQSVIDILEINRLRRQLLFQSYMWDNRLVYAASLDNNSFHDGSNSSTSGQEVKPLGPANSDKLIEENVDAKLLKASNQQGGFGSNTNQCDAVGQEIAVCQGPSHGKGGQANPFAAMPARDLSDIKESGGNFFRTLSDGQDPVMANLSDTLDAAWTGENQPGSGTFKDDNSRLSDSAMEESSTTAVGLEGVGLEGHVEDQVGSKVCYSPSPALSTKDPDNMEDSMSWLRMPFLNFYRSFNNNCLTSSEKLDSLREYNPVYISSFRKLKLQDQARLLLPVGVNDTVIPVYDDEPTSLISYALVSQEYHAQLTDEGERVKESGEFSPFSSLSDTMFHSFDETSFDSYRSFGSTDESILSMSGSRGSLILDPLSYTKALHARVSFGDDSPVGKARYSVTCYYAKRFEALRRICCPSELDYIRSLSRCKKWGAQGGKSNVFFAKTLDDRFIIKQVTKTELESFIKFAPAYFKYLSESISSRSPTCLAKILGIYQVTSKLLKGGKETKMDVLVMENLLFRRKVTRLYDLKGSSRSRYNSDSSGSNKVLLDQNLIEAMPTSPIFVGNKAKRLLERAVWNDTSFLASIDVMDYSLLVGVDEEKHELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTVISPKQYKKRFRKAMTTYFLMVPDQWSPPTIILSKSQSDFGEENTQGATSVD >Potri.012G140200.1.v4.1 pep chromosome:Pop_tri_v4:12:15250639:15252303:1 gene:Potri.012G140200.v4.1 transcript:Potri.012G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140200.v4.1 MKNLSTKFHRHSHHHTQESENHEKEAIEEEQEQQEEKARCEWDFSLTTIVSSGNNNISSTPAISDALGVIEFDQTNSIIATGGIARKIRIYNFKSLFAHENTSQNAHEITCLDHARACDYYICTPAKLSSIRWKPSSDGRVLGSGDYDGVVMEYCLERRIPIFERDEHGGRRVWSVDYSHWDPVLGASGSDDGTMQMWDTRCESGEGVATVQPGVGRSAVCCVEFNPFGGPIVAVGCADRRVYGYDIRMTGDPVFVLDGHRKTVTYIKFLDNVTLVSASIDGCLKLWDSDNSNVIRSYKGHVNSRSFIGLSVWRNGGLLGCGSENNKVFVYDRRWGEPIWVHESNPVGRDGCGGGFVSSVCWRQVEEDQCTLVAGGSDGDLQVFQGRRKS >Potri.002G236800.3.v4.1 pep chromosome:Pop_tri_v4:2:22964382:22971099:1 gene:Potri.002G236800.v4.1 transcript:Potri.002G236800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236800.v4.1 MANALQFSATVQAASPVFSKRMHGQSLRHRPSPPLNYNLVATSLVLSKRSRRAFKVSCEAASVDLLETNKIDNEAEKKITCVMKFGGSSVASAERMREVAELILSFPNESPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDSIEELSFIKELHNRTVKELEVDESVIAKHLEELEQLLKGIAMMKELTPRTRDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFEIGFITTDDFTNADILEATYPAVAKSLHGDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLSEIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREADIPVRVKNSYNPNAPGTLITRARDMSKAVLTSIVLKRNVTMLDIASTRMLGQFGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQRRSIISLIGNVQRSSLILEKAFHVLRTLGVNVQMISQGASKVNISLIVNDDEAEQCVKSLHKAFFETDISELEWECVSGNGSAST >Potri.002G236800.2.v4.1 pep chromosome:Pop_tri_v4:2:22964402:22971101:1 gene:Potri.002G236800.v4.1 transcript:Potri.002G236800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236800.v4.1 MANALQFSATVQAASPVFSKRMHGQSLRHRPSPPLNYNLVATSLVLSKRSRRAFKVSCEAASVDLLETNKIDNEAEKKITCVMKFGGSSVASAERMREVAELILSFPNESPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDSIEELSFIKELHNRTVKELEVDESVIAKHLEELEQLLKGIAMMKELTPRTRDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFEIGFITTDDFTNADILEATYPAVAKSLHGDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLSEIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREADIPVRVKNSYNPNAPGTLITRARDMSKAVLTSIVLKRNVTMLDIASTRMLGQFGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQRRSIISLIGNVQRSSLILEKAFHVLRTLGVNVQMISQGASKVNISLIVNDDEAEQCVKSLHKAFFETDISELEWECVSGNGSAST >Potri.002G236800.1.v4.1 pep chromosome:Pop_tri_v4:2:22964406:22971100:1 gene:Potri.002G236800.v4.1 transcript:Potri.002G236800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236800.v4.1 MANALQFSATVQAASPVFSKRMHGQSLRHRPSPPLNYNLVATSLVLSKRSRRAFKVSCEAASVDLLETNKIDNEAEKKITCVMKFGGSSVASAERMREVAELILSFPNESPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDSIEELSFIKELHNRTVKELEVDESVIAKHLEELEQLLKGIAMMKELTPRTRDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFEIGFITTDDFTNADILEATYPAVAKSLHGDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLSEIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREADIPVRVKNSYNPNAPGTLITRARDMSKAVLTSIVLKRNVTMLDIASTRMLGQFGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQRRSIISLIGNVQRSSLILEKAFHVLRTLGVNVQMISQGASKVNISLIVNDDEAEQCVKSLHKAFFETDISELEWECVSGNGSAST >Potri.002G236800.5.v4.1 pep chromosome:Pop_tri_v4:2:22964367:22971099:1 gene:Potri.002G236800.v4.1 transcript:Potri.002G236800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236800.v4.1 MANALQFSATVQAASPVFSKRMHGQSLRHRPSPPLNYNLVATSLVLSKRSRRAFKVSCEAASVDLLETNKIDNEAEKKITCVMKFGGSSVASAERMREVAELILSFPNESPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDSIEELSFIKELHNRTVKELEVDESVIAKHLEELEQLLKGIAMMKELTPRTRDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFEIGFITTDDFTNADILEATYPAVAKSLHGDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLSEIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREADIPVRVKNSYNPNAPGTLITRARDMSKAVLTSIVLKRNVTMLDIASTRMLGQFGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQRRSIISLIGNVQRSSLILEKAFHVLRTLGVNVQMISQGASKVNISLIVNDDEAEQCVKSLHKAFFETDISELEWECVSGNGSAST >Potri.002G236800.4.v4.1 pep chromosome:Pop_tri_v4:2:22964430:22971100:1 gene:Potri.002G236800.v4.1 transcript:Potri.002G236800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236800.v4.1 MANALQFSATVQAASPVFSKRMHGQSLRHRPSPPLNYNLVATSLVLSKRSRRAFKVSCEAASVDLLETNKIDNEAEKKITCVMKFGGSSVASAERMREVAELILSFPNESPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDSIEELSFIKELHNRTVKELEVDESVIAKHLEELEQLLKGIAMMKELTPRTRDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFEIGFITTDDFTNADILEATYPAVAKSLHGDWISDPAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLSEIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREADIPVRVKNSYNPNAPGTLITRARDMSKAVLTSIVLKRNVTMLDIASTRMLGQFGFLAKVFSTFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQRRSIISLIGNVQRSSLILEKAFHVLRTLGVNVQMISQGASKVNISLIVNDDEAEQCVKSLHKAFFETDISELEWECVSGNGSAST >Potri.001G117600.1.v4.1 pep chromosome:Pop_tri_v4:1:9552027:9553635:-1 gene:Potri.001G117600.v4.1 transcript:Potri.001G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117600.v4.1 MKLVWSPETASKAYIDTVKSCEVHQESSVAELISAMAAGWNAKLILETWSQGGILATSIGLAIASRRTDGRHVCIVPDELSRSQYEEAVGEAGISPEIIIGEAEEVMEGLVGIDFMVVDSRQRDFAGVLRVAKLSSRGAVLVCKNATSRNESSFRWRSVVDDGSRRLVRSVFLPVGKGLDIAHVATSGGSSSNSGKGESRWIKHVDRQSGEEYVIRK >Potri.011G119300.1.v4.1 pep chromosome:Pop_tri_v4:11:15011433:15017798:-1 gene:Potri.011G119300.v4.1 transcript:Potri.011G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119300.v4.1 MNGGSLGQRGSYGSLLCDGKSSNNARKPSSKMLPVSSREKERVLLGITRCLGRRRFAMLLLVSLALLVFIWGSFTVTKETTSPTVRPTGSLHFDNIAKVSHRSTVCHYKVNSTLGHPCDKFSLPPPPPSGGRRIGPRPCPVCYISAEQARASMPCSSSASPVLHNLTYVVDENPVKTESHGGSDFGGYPSLKQRNDSFDIRESMTVHCGFVKGNRPGFQTGFDIDEADLMKLEDSHEVIVASAIFGNYDIIQQPQNISEAARKNVPFYMFIDKETEMYLKNSSALDSNMRIGLWRIIVVRNIPYTDARRNGKVPKLLLHRLLPNVRYSIWIDGKLQLVVDPYQVLERFLWQQNASFAISRHYRRFDVFEEAEANKAAGKYGNSSIDYQIEFYKKEGLSPYSKAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTARDQLSFSTVRDKMMAKVDWSINMFLDCERRNFVIQAYHKDLLDQMPPPVAPAIRHPPPLHRDSSSGRSSGKNSRRGRDRRSGSRQHRKAAAGIREKQAFL >Potri.008G098500.1.v4.1 pep chromosome:Pop_tri_v4:8:6152641:6158553:1 gene:Potri.008G098500.v4.1 transcript:Potri.008G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G098500.v4.1 MGRGRVQLKRIENKINRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSHKGKLFEYSTNACMEKILERHERYSYAERQLVATDLDSQGNWTLEYNRLKAKVELLQRNHRHYLGEDLDSVSLKELQNLEQQIDTALKLIRERKNHLMYQSISELQIKEKAIKEQNNMLVKQIKEKEKALAQPALWDQQDHGPNASSFLLPQPPLPCLNISYQEEDPEARRNYELDLTLEPIYSCHLGCFGT >Potri.008G098500.2.v4.1 pep chromosome:Pop_tri_v4:8:6152641:6158553:1 gene:Potri.008G098500.v4.1 transcript:Potri.008G098500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G098500.v4.1 MGRGRVQLKRIENKINRQVTFSKRRTGLLKKAHEISVLCDAEVALIVFSHKGKLFEYSTNACMEKILERHERYSYAERQLVATDLDSQGNWTLEYNRLKAKVELLQRNHRHYLGEDLDSVSLKELQNLEQQIDTALKLIRERKNHLMYQSISELQIKIKEKEKALAQPALWDQQDHGPNASSFLLPQPPLPCLNISYQEEDPEARRNYELDLTLEPIYSCHLGCFGT >Potri.008G053100.5.v4.1 pep chromosome:Pop_tri_v4:8:3128260:3132231:-1 gene:Potri.008G053100.v4.1 transcript:Potri.008G053100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053100.v4.1 MAKEASNGNHNSATKAPPTPSPLRFSKYFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMMELAETVKELINPEVEIIGVENTPDDPRQRKPDITKAKELLGWEPKIKLRDGLPLMEEDFRRRLEVPREN >Potri.008G053100.7.v4.1 pep chromosome:Pop_tri_v4:8:3128320:3132230:-1 gene:Potri.008G053100.v4.1 transcript:Potri.008G053100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053100.v4.1 MAKEASNGNHNSATKAPPTPSPLRFSKYFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMMELAETVKELINPEVEIIGVENTPDDPRQRKPDITKAKELLGWEPKIKLRDGLPLMEEDFRRRLEVPREN >Potri.008G053100.6.v4.1 pep chromosome:Pop_tri_v4:8:3128259:3132577:-1 gene:Potri.008G053100.v4.1 transcript:Potri.008G053100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053100.v4.1 MAKEASNGNHNSATKAPPTPSPLRFSKYFQSNMRILVTGGAGFIGSHLVDRLMENEKNEVIVADNYFTGSKDNLKKWIGHPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQDESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQAIRNEPLTVQAPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMMELAETVKELINPEVEIIGVENTPDDPRQRKPDITKAKELLGWEPKIKLRDGLPLMEEDFRRRLEVPREN >Potri.017G024866.1.v4.1 pep chromosome:Pop_tri_v4:17:1604068:1607282:1 gene:Potri.017G024866.v4.1 transcript:Potri.017G024866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G024866.v4.1 MHLGRQGKRLGREVLQYLYRKEAHCLGPYIQTQSSDLRPQPTCRLEKDKFIASASNMGEDKASTPNKGKDIYVGSASGIEMATNPDYYQNREREKATDILAKLDSEVKQMSFKRNGSECCIYRVPEPLRNVNLKAYSPLLISIGPLNRQNIRLEAMEKEKLKYFKKLTERDGMDKKKIIDILISIENQEERLRHCYSEKFNLIKSRDFVKMILLDAVFIIQFLLESKDHDNDPKNFEPRMTFDIREDLMLLENQLPFFIIQEIYDQVNPPSQDGTTIPFLDLAKCHFGKHKFSQGAETSPSVKGSRHFTDLLRNLMLNGAIQRSYIFYPIKLKYSAVMLRKAGVKFQVTQDKCLVNITFEKGVLKIPQLEVDHSFERLVRNIMALEQCCNPREAYVCSYIKFLDHLIDSAEDVGLLVRKGIILHGLGDDAAVSNIINHFCKNIGDNYTCFGDISRNISRHYENRFNHTKATLKLIYFPNIWRGTATVAAAILLILTFIQTIASVKWAF >Potri.017G024866.2.v4.1 pep chromosome:Pop_tri_v4:17:1604068:1607282:1 gene:Potri.017G024866.v4.1 transcript:Potri.017G024866.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G024866.v4.1 MGEDKASTPNKGKDIYVGSASGIEMATNPDYYQNREREKATDILAKLDSEVKQMSFKRNGSECCIYRVPEPLRNVNLKAYSPLLISIGPLNRQNIRLEAMEKEKLKYFKKLTERDGMDKKKIIDILISIENQEERLRHCYSEKFNLIKSRDFVKMILLDAVFIIQFLLESKDHDNDPKNFEPRMTFDIREDLMLLENQLPFFIIQEIYDQVNPPSQDGTTIPFLDLAKCHFGKHKFSQGAETSPSVKGSRHFTDLLRNLMLNGAIQRSYIFYPIKLKYSAVMLRKAGVKFQVTQDKCLVNITFEKGVLKIPQLEVDHSFERLVRNIMALEQCCNPREAYVCSYIKFLDHLIDSAEDVGLLVRKGIILHGLGDDAAVSNIINHFCKNIGDNYTCFGDISRNISRHYENRFNHTKATLKLIYFPNIWRGTATVAAAILLILTFIQTIASVKWAF >Potri.009G059000.2.v4.1 pep chromosome:Pop_tri_v4:9:6154846:6160098:-1 gene:Potri.009G059000.v4.1 transcript:Potri.009G059000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059000.v4.1 MKRLRSSDDLDSYNEKTSVKDSNPSRPSRSFYYKSDNARKGLISTSSSSTRYDRGRSIDDDNRESTRMVKKRSDHEFDSFDRRKGLGFDRYGNGGGSGNSREGYGGISGGGNDRVILRSESFCGSRRDFPKGFRSERERSRREGSVSSWRRFGGKEFEENRGASSRGGNEERMGSARSSPKGLRDVVRSPSWSRDSGSEQTRVVRGSVCGRDEGKVKSSNSKSRSSPTWSKDSGSEQSKSVEVGKKSEPETKSAEVEAKSAEMEVKSVESGNNSEMEEGELEPEPDSVPKVAKENENDNGNERREDVIEDIDQRKVEIESEVKDQVNEEEKRPDKVNVHEGKDVAKEVDEMRNVEESSNDNASVTEDEVGKRVAGEDNKDSQSMKEKVECKEEGSKNIAVVESQSSEEDNRQGKGIDLEVKAEEVEVPESNKEIVKENEGAEVNINAVTGVLSQNLKDKGKSVVISPTNDVDSAEDGAWVERESRNVAIFRNGEDDMEGPSTRGFELFTSSPVRRVEKSEQSRGSKSKDEKLLLEPLDLSLSLPTVLLPIGATGDTTQAPGSPSHGRSVQSFSSFRTNSDGFTASMSFSGSQSFIHNQSCSLTQNSLDMDNYEQSVHSRPLFQGIDQTNWQGQTQNDSKHKDVPLYQKILMNGNGSLHQPQAVQGLSNGQALQGSSKMPNELERQLSFHRQLSGGQARNHDDTRSPSQSVGSHDIGSNYSFEKKRAVKEKHGSSLYRSNSQKEQEQFLIGGADFVETILGRIVSEPIHVMAKKFHEMAAQASCLKESIREILLNTDKQGQICALQSVLQNRSDLTLDMLLKSHRAQLEVLVALRTGFPEYLQVDSGISSSHLAEIFLNLRCRNLTCQSLLPVDECDCKVCAKKNGFCSLCMCLVCSKFDMASNTCSWVGCDVCLHWCHADCALREAYIRNGRSASGAQGTTEMQFHCVACDHPSEMFGFVKEVFQNFAKDWTAETFCRELEYVKRIFRASKDVRGRRLHEIADQMLAKLANKSNLPEVYNYIIVLLTESDPSKFGNASGFFLKEQGNGSNGAIAGPSHDAAWIKSVYTEKIPQLERSTSLRPSFHSDLNDKCPVEPELLRSARKEPLFDELESIVRIKQAEAKMFQARADDARREAEALKRIAIAKSEKIKEEFASRISKLRIVEVEEMRKQKFEEFQALERAHREYFSMKTRMEADIKDLLLKMEAAKRNITL >Potri.009G059000.3.v4.1 pep chromosome:Pop_tri_v4:9:6154895:6160246:-1 gene:Potri.009G059000.v4.1 transcript:Potri.009G059000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059000.v4.1 MKRLRSSDDLDSYNEKTSVKDSNPSRPSRSFYYKSDNARKGLISTSSSSTRYDRGRSIDDDNRESTRMVKKRSDHEFDSFDRRKGLGFDRYGNGGGSGNSREGYGGISGGGNDRVILRSESFCGSRRDFPKGFRSERERSRREGSVSSWRRFGGKEFEENRGASSRGGNEERMGSARSSPKGLRDVVRSPSWSRDSGSEQTRVVRGSVCGRDEGKVKSSNSKSRSSPTWSKDSGSEQSKSVEVGKKSEPETKSAEVEAKSAEMEVKSVESGNNSEMEEGELEPEPDSVPKVAKENENDNGNERREDVIEDIDQRKVEIESEVKDQVNEEEKRPDKVNVHEGKDVAKEVDEMRNVEESSNDNASVTEDEVGKRVAGEDNKDSQSMKEKVECKEEGSKNIAVVESQSSEEDNRQGKGIDLEVKAEEVEVPESNKEIVKENEGAEVNINAVTGVLSQNLKDKGKSVVISPTNDVDSAEDGAWVERESRNVAIFRNGEDDMEGPSTRGFELFTSSPVRRVEKSEQSRGSKSKDEKLLLEPLDLSLSLPTVLLPIGATGDTTQAPGSPSHGRSVQSFSSFRTNSDGFTASMSFSGSQSFIHNQSCSLTQNSLDMDNYEQSVHSRPLFQGIDQTNWQGQTQNDSKHKDVPLYQKILMNGNGSLHQPQAVQGLSNGQALQGSSKMPNELERQLSFHRQLSGGQARNHDDTRSPSQSVGSHDIGSNYSFEKKRAVKEKHGSSLYRSNSQKEQEQFLIGGADFVETILGRIVSEPIHVMAKKFHEMAAQASCLKESIREILLNTDKQGQICALQSVLQNRSDLTLDMLLKSHRAQLEVLVALRTGFPEYLQVDSGISSSHLAEIFLNLRCRNLTCQSLLPVDECDCKVCAKKNGFCSLCMCLVCSKFDMASNTCSWVGCDVCLHWCHADCALREAYIRNGRSASGAQGTTEMQFHCVACDHPSEMFGFVKEVFQNFAKDWTAETFCRELEYVKRIFRASKDVRGRRLHEIADQMLAKLANKSNLPEVYNYIIVLLTESDPSKFGNASGFFLKEQGNGSNGAIAGPSHDAAWIKSVYTEKIPQLERSTSLRPSFHSDLNDKCPVEPELLRSARKEPLFDELESIVRIKQAEAKMFQARADDARREAEALKRIAIAKSEKIKEEFASRISKLRIVEVEEMRKQKFEEFQALERAHREYFSMKTRMEADIKDLLLKMEAAKRNITL >Potri.005G112750.1.v4.1 pep chromosome:Pop_tri_v4:5:8168460:8169974:1 gene:Potri.005G112750.v4.1 transcript:Potri.005G112750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112750.v4.1 MEDSFQSSRHNCSPYRLITLYHKKQKYHLQAIQYRKGFSVPAISPYCIRAEIIKSTVKFFSCSFAKESCTANMPLQLQVQNDNNNFHFHTTKFQVKKVTFN >Potri.008G194400.9.v4.1 pep chromosome:Pop_tri_v4:8:13721059:13724755:-1 gene:Potri.008G194400.v4.1 transcript:Potri.008G194400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194400.v4.1 MAGDKDCGSSKMTIFLRNGRLPPCESLCILTSFSTLHGAKSMVNFRNDGGDTVDMSFFQPHVKEESSDEDYDAHLKPSEKKRRLTAAQVQFLEKSFEAENKLEPERKMQLAKELGLQPRQVAVWFQNRRARFKNKQLERDYDSLRISFDKLKADYDKLLLEKQNLKNELLSLKEKLLSREESMESSEPFDVIHSPDAELEPMPDTVSENVSAIVPMVTPKQEESSAKNDVFNSDSPRSFLEPRDCYRVFESDQPDFSQVEEDNLTRSFLPPPYFPKLYREPPASSRNFEFSAEDQPFWSWIY >Potri.008G194400.3.v4.1 pep chromosome:Pop_tri_v4:8:13722917:13724811:-1 gene:Potri.008G194400.v4.1 transcript:Potri.008G194400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194400.v4.1 MAGDKDCGSSKMTIFLRNGRLPPCESLCILTSFSTLHGAKSMVNFRNDGGDTVDMSFFQPHVKEESSDEDYDAHLKPSEKKRRLTAAQVQFLEKSFEAENKLEPERKMQLAKELGLQPRQVAVWFQNRRARFKNKQLERDYDSLRISFDKLKADYDKLLLEKQNLKNELLSLKEKLLSREESMESSEPFDVIHSPDAELEPMPDTVSENVSAIVPMVTPKQEESSAKNDVFNSDSPRSFLEPRDCYRVFESDQPDFSQVEEDNLTRSFLPPPYFPKLYREPPASSRNFEFSAEDQPFWSWIY >Potri.008G194400.4.v4.1 pep chromosome:Pop_tri_v4:8:13722888:13725055:-1 gene:Potri.008G194400.v4.1 transcript:Potri.008G194400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194400.v4.1 MIPETYLLLLDVLRRIFPCASLQGAKSMVNFRNDGGDTVDMSFFQPHVKEESSDEDYDAHLKPSEKKRRLTAAQVQFLEKSFEAENKLEPERKMQLAKELGLQPRQVAVWFQNRRARFKNKQLERDYDSLRISFDKLKADYDKLLLEKQNLKNELLSLKEKLLSREESMESSEPFDVIHSPDAELEPMPDTVSENVSAIVPMVTPKQEESSAKNDVFNSDSPRSFLEPRDCYRVFESDQPDFSQVEEDNLTRSFLPPPYFPKLYREPPASSRNFEFSAEDQPFWSWIY >Potri.008G194400.5.v4.1 pep chromosome:Pop_tri_v4:8:13722929:13725055:-1 gene:Potri.008G194400.v4.1 transcript:Potri.008G194400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194400.v4.1 MIPETYLLLLDVLRRIFPCASLQGAKSMVNFRNDGGDTVDMSFFQPHVKEESSDEDYDAHLKPSEKKRRLTAAQVQFLEKSFEAENKLEPERKMQLAKELGLQPRQVAVWFQNRRARFKNKQLERDYDSLRISFDKLKADYDKLLLEKQNLKNELLSLKEKLLSREESMESSEPFDVIHSPDAELEPMPDTVSENVSAIVPMVTPKQEESSAKNDVFNSDSPRSFLEPRDCYRVFESDQPDFSQVEEDNLTRSFLPPPYFPKLYREPPASSRNFEFSAEDQPFWSWIY >Potri.008G194400.6.v4.1 pep chromosome:Pop_tri_v4:8:13722929:13724811:-1 gene:Potri.008G194400.v4.1 transcript:Potri.008G194400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194400.v4.1 MIPETYLLLLDVLRRIFPCASLQGAKSMVNFRNDGGDTVDMSFFQPHVKEESSDEDYDAHLKPSEKKRRLTAAQVQFLEKSFEAENKLEPERKMQLAKELGLQPRQVAVWFQNRRARFKNKQLERDYDSLRISFDKLKADYDKLLLEKQNLKNELLSLKEKLLSREESMESSEPFDVIHSPDAELEPMPDTVSENVSAIVPMVTPKQEESSAKNDVFNSDSPRSFLEPRDCYRVFESDQPDFSQVEEDNLTRSFLPPPYFPKLYREPPASSRNFEFSAEDQPFWSWIY >Potri.008G194400.2.v4.1 pep chromosome:Pop_tri_v4:8:13722886:13725055:-1 gene:Potri.008G194400.v4.1 transcript:Potri.008G194400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194400.v4.1 MAGDKDCGSSKMTIFLRNGRLPPCESLCILTSFSTLHGAKSMVNFRNDGGDTVDMSFFQPHVKEESSDEDYDAHLKPSEKKRRLTAAQVQFLEKSFEAENKLEPERKMQLAKELGLQPRQVAVWFQNRRARFKNKQLERDYDSLRISFDKLKADYDKLLLEKQNLKNELLSLKEKLLSREESMESSEPFDVIHSPDAELEPMPDTVSENVSAIVPMVTPKQEESSAKNDVFNSDSPRSFLEPRDCYRVFESDQPDFSQVEEDNLTRSFLPPPYFPKLYREPPASSRNFEFSAEDQPFWSWIY >Potri.008G194400.10.v4.1 pep chromosome:Pop_tri_v4:8:13721059:13724755:-1 gene:Potri.008G194400.v4.1 transcript:Potri.008G194400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194400.v4.1 MIPETYLLLLDVLRRIFPCASLQGAKSMVNFRNDGGDTVDMSFFQPHVKEESSDEDYDAHLKPSEKKRRLTAAQVQFLEKSFEAENKLEPERKMQLAKELGLQPRQVAVWFQNRRARFKNKQLERDYDSLRISFDKLKADYDKLLLEKQNLKNELLSLKEKLLSREESMESSEPFDVIHSPDAELEPMPDTVSENVSAIVPMVTPKQEESSAKNDVFNSDSPRSFLEPRDCYRVFESDQPDFSQVEEDNLTRSFLPPPYFPKLYREPPASSRNFEFSAEDQPFWSWIY >Potri.008G194400.7.v4.1 pep chromosome:Pop_tri_v4:8:13722918:13725056:-1 gene:Potri.008G194400.v4.1 transcript:Potri.008G194400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194400.v4.1 MVNFRNDGGDTVDMSFFQPHVKEESSDEDYDAHLKPSEKKRRLTAAQVQFLEKSFEAENKLEPERKMQLAKELGLQPRQVAVWFQNRRARFKNKQLERDYDSLRISFDKLKADYDKLLLEKQNLKNELLSLKEKLLSREESMESSEPFDVIHSPDAELEPMPDTVSENVSAIVPMVTPKQEESSAKNDVFNSDSPRSFLEPRDCYRVFESDQPDFSQVEEDNLTRSFLPPPYFPKLYREPPASSRNFEFSAEDQPFWSWIY >Potri.007G026800.1.v4.1 pep chromosome:Pop_tri_v4:7:2069618:2073736:-1 gene:Potri.007G026800.v4.1 transcript:Potri.007G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026800.v4.1 MARIMVSQRALATTAVLTCLIFLVQVLMTNMASAERLLKDKEAETLVKKEMSPGYIVKMIHFLFQGGKSSYEPVWPDMKFDWRVVVGTIVGFFGAALGSVGGVGGGGIFVPMLTLIIGFDPKSSTAISKCMIMGAAGATVYYNLRLRHPTLDMPIIDYDLALLFQPMLMLGISIGVAFNVMFADWMVTVLLIILFIGTSTKALFKGIDTWKKESVMKKEAAKQLESESKPGDGAEEEYQPLPSGPVVLHDDEVPLRENIRWKELALLVYVWVAFLVVQIVQTNVPTCSVMYWILNSLQVPIAASVTLFEAICLCKGTRVIASKGKEITNWKLHQVLLYCSCGIIAGIVGGLLGLGGGFILGPLFLELGIPPQVASATSTFAMAFSSSMSVVEYYLLNRFPVPYAAYFVLVATIAAFAGQHVIRRIIAILGRASIIIFILALTIFVSAISLGGVGIANMVEKLENDEYMGFENLCYQS >Potri.006G193000.1.v4.1 pep chromosome:Pop_tri_v4:6:19968483:19970915:-1 gene:Potri.006G193000.v4.1 transcript:Potri.006G193000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193000.v4.1 MRFPLAATSAILAVFLALAQEQSLAFEFPNFTIQDQPQLILSDNSSIALGAIQVTADTRGASIENRAGRTLYGRPFRLWSKKGKKANFNTTFVLNVKSMTASSGEGLAFILTGDPDVPGGSDGQWLGIVNSRLNGTTEAKTVAIEFDTKKSFPEDLDDNHIGLDINSVYSKRSVSLNDRGIYISAGTDIKVVVQYDGKNLIVFVGDDMKNPVLSEPLDLSAYLPEMVYVGFSGSTSNNTQLNCVRSWEFNHSEVKDSKLRWVWILVAVGSVLILLIGIGIAFFLYRKRGYEGNRVENTCPNIEEAILGFSTAPKKFKFKELSKATGKFNPKNKLGKGGFGTVYKGILGKKEVAVKRVSKKSTQGKQEFIAEVTTIGHIHHRNLVKLIGWCHEKREYLLVYEYLPNGSLDKYIFWDEKSGTQEETLSWGRRLSVISGVAQALDYLHNGCMNRVLHRDIKASNVMLDLDFNAKLGDFGLARTIIHNEQTHHSTKELAGTPGYMAPESILTGRATAETDVYAFGVLVLEVACGRKPGGQAERDDYICNIVHGLWELYRRGTILEGADPRLNGIFIKEEMECVLILGLACCHPNPKNRPSMKTVLQVLTGEAPPPEVPAERPAFMWPPMPPSFNEWDNSLVGGQLSPFSGLSGR >Potri.012G115000.1.v4.1 pep chromosome:Pop_tri_v4:12:13281688:13283487:-1 gene:Potri.012G115000.v4.1 transcript:Potri.012G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115000.v4.1 MEAVFGLDKLSSTTVISLATLTTLVAVIWTYRFSLIQRKKLPPGKLGLPFIGESISFFRAHKHNNIGKWIEERTIKYGPVFKTSLMGENVVVMTGEASHRFIFSGRDNGIAAKLATSALAILGKNNIFDLYGSPHKLVRSAIMSFLNSECIQRYVSKMDSLVKEQVLQELNDKETVQVVLLMKKISFIATASLLFGLPEAKERDGLFKDFTIAVKGMWSIPLNLPGSTFRKAVQARGRIFKLFTNLIAERKRGLEDGSMGSHDDVILCLLSLRDENGKTLPDEEIINNLIALMMASHDTTSVLLSLIVRELAKNASVYDKVLEEQNEIAKVRSIASDGQLGWREIQKMRYTWNVAQELMRLTPPIIGNFRHAWRDTTFNGYDIPKGWQVFWLATSTHLDNKVFEDPVKFNPSRFDTNSKSSVPPYTYIPFGAGPRVCPGAEFARTEVLLIIHHLITNYKWTAMVEDEIVVRDPMPFPNKGLPVKIYPKHNI >Potri.002G013000.2.v4.1 pep chromosome:Pop_tri_v4:2:797342:799686:-1 gene:Potri.002G013000.v4.1 transcript:Potri.002G013000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013000.v4.1 MITRSNLADQLREYQIRSKHDWASVSFFSSTSNFTSPRVDVVVFVIWELVVIAFLVFSAVSLYFRHMRLAFILVCITMLLLLCMKVTKQVRLARKKKRRMLLPLSM >Potri.012G073600.3.v4.1 pep chromosome:Pop_tri_v4:12:9705574:9724329:1 gene:Potri.012G073600.v4.1 transcript:Potri.012G073600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073600.v4.1 MELQNSVKEALNALYHHPDDAFRMEADRWLQNFQRTIDAWQVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLRTSLNTLLKKFHRGPPKVRTQISIAVAALAVQVPPEDWGDGGIVNWLKDEMTSHPEYIPGFLELLTVLPEEVFNYKIAARPERRRQFEKELTSQIEVALNILTACLKISELKEQVLEAFASWLRLRHGIPGSLLACHPLVHTALSSLNSEILSEAAVNVISELIHYTTAGNSGGIPVQMPLIQVIVPQVMSLKEQLRDPSKDEEDVKAIARLFADMGDSYVELIATGSNESMMIVNALLEVASHPEYDIASMTFNFWHSLQHFLTKRDSYTSFGNEASIEAESRRRLQVFRSPYESLVSLVSSRVQYPPDYQTLSVEDLKEFKQTRYAVADVLIDAASVLGGDATLRILYVKLAEARTCLGNDHNQWHPAEAALFCIRAISNYVSTVEAEVMPKIMSLLLELPHEPQLLQTVCLTIGAYSKWLDAALDGFPQLSSVIKILLSGMGKSEDSAAAAAVAFRHICDDCRRKLCGYFDELFSIYNSAVIGEGSLKVSAGDSLHVVEAFSMVITELPADQAKLALEKLCLPVVTPLQEIISQGPDVLEKKLARELTVHIDRLAYIFRYVNHPEAVADAIQRLWPILKAIFDIRAWDMRTMESLCRACKYAVRTSGRLMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCAYYLKNLIEALFKCTTCLLTNIKDFTARPDIADDCFLLASRCIRYCPQVFIPSAVFPSLVDCSMIGVTVQHREASNSILTFLSDIFDLAKSSMGEQYLTIRDSVIIPRGSSITRILVASLTGALPSSRLETVTYALLALTRAYGASALEWARESVSLIPSTVVTEVEQTKIFQALTDAASGVDIKTLMGAVEELSDVCRRNRTVQEIVQGALRPLELNLVTVS >Potri.012G073600.2.v4.1 pep chromosome:Pop_tri_v4:12:9705621:9724316:1 gene:Potri.012G073600.v4.1 transcript:Potri.012G073600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073600.v4.1 MELQNSVKEALNALYHHPDDAFRMEADRWLQNFQRTIDAWQVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLRTSLNTLLKKFHRGPPKVRTQISIAVAALAVQVPPEDWGDGGIVNWLKDEMTSHPEYIPGFLELLTVLPEEVFNYKIAARPERRRQFEKELTSQIEVALNILTACLKISELKEQVLEAFASWLRLRHGIPGSLLACHPLVHTALSSLNSEILSEAAVNVISELIHYTTAGNSGGIPVQMPLIQVIVPQVMSLKEQLRDPSKDEEDVKAIARLFADMGDSYVELIATGSNESMMIVNALLEVASHPEYDIASMTFNFWHSLQHFLTKRDSYTSFGNEASIEAESRRRLQVFRSPYESLVSLVSSRVQYPPDYQTLSVEDLKEFKQTRYAVADVLIDAASVLGGDATLRILYVKLAEARTCLGNDHNQWHPAEAALFCIRAISNYVSTVEAEVMPKIMSLLLELPHEPQLLQTVCLTIGAYSKWLDAALDGFPQLSSVIKILLSGMGKSEDSAAAAAVAFRHICDDCRRKLCGYFDELFSIYNSAVIGEGSLKVSAGDSLHVVEAFSMVITELPADQAKLALEKLCLPVVTPLQEIISQGPDVLEKKLARELTVHIDRLAYIFRYVNHPEAVADAIQRLWPILKAIFDIRAWDMRTMESLCRACKYAVRTSGRLMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCAYYLKNLIEALFKCTTCLLTNIKDFTARPDIADDCFLLASRCIRYCPQVFIPSAVFPSLVDCSMIGVTVQHREASNSILTFLSDIFDLAKSSMGEQYLTIRDSVIIPRGSSITRILVASLTGALPSSRLETVTYALLALTRAYGASALEWARESVSLIPSTVVTEVEQTKIFQALTDAASGVDIKTLMGAVEELSDVCRRNRTVQEIVQGALRPLELNLVTVS >Potri.012G073600.4.v4.1 pep chromosome:Pop_tri_v4:12:9705625:9724257:1 gene:Potri.012G073600.v4.1 transcript:Potri.012G073600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073600.v4.1 MELQNSVKEALNALYHHPDDAFRMEADRWLQNFQRTIDAWQVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLRTSLNTLLKKFHRGPPKVRTQISIAVAALAVQVPPEDWGDGGIVNWLKDEMTSHPEYIPGFLELLTVLPEEVFNYKIAARPERRRQFEKELTSQIEVALNILTACLKISELKEQVLEAFASWLRLRHGIPGSLLACHPLVHTALSSLNSEILSEAAVNVISELIHYTTAGNSGGIPVQMPLIQVIVPQVMSLKEQLRDPSKDEEDVKAIARLFADMGDSYVELIATGSNESMMIVNALLEVASHPEYDIASMTFNFWHSLQHFLTKRDSYTSFGNEASIEAESRRRLQVFRSPYESLVSLVSSRVQYPPDYQTLSVEDLKEFKQTRYAVADVLIDAASVLGGDATLRILYVKLAEARTCLGNDHNQWHPAEAALFCIRAISNYVSTVEAEVMPKIMSLLLELPHEPQLLQTVCLTIGAYSKWLDAALDGFPQLSSVIKILLSGMGKSEDSAAAAAVAFRHICDDCRRKLCGYFDELFSIYNSAVIGEGSLKVSAGDSLHVVEAFSMVITELPADQAKLALEKLCLPVVTPLQEIISQGPDVLEKKLARELTVHIDRLAYIFRYVNHPEAVADAIQRLWPILKAIFDIRAWDMRTMESLCRACKYAVRTSGRLMGITIGAMLEEIQGLYQQHHQPCFLYLSSEVIKIFGSDPSCAYYLKNLIEALFKCTTCLLTNIKDFTARPDIADDCFLLASRCIRYCPQVFIPSAVFPSLVDCSMIGVTVQHREASNSILTFLSDIFDLAKSSMGEQYLTIRDSVIIPRGSSITRILVASLTGALPSSRLETVTYALLALTRAYGASALEWARESVSLIPSTVVTEVEQTKIFQALTDAASGVDIKTLMGAVEELSDVCRRNRTVQEIVQGALRPLELNLVTVS >Potri.002G175600.1.v4.1 pep chromosome:Pop_tri_v4:2:13620587:13623048:1 gene:Potri.002G175600.v4.1 transcript:Potri.002G175600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175600.v4.1 MKFLYAPILLLFVILSLLFLLRVLLFKTGLIYITKKWWRSIEDCFHVYQFFKVPEFNENMQKNHLYCEVSIYLSSIASIEDSDFINLFTGKKPHDIVLHLDPNQVIDDYFLGARVSWINEEKNDTNRCRTFVLKIRRADKRKILRPYLQHIHITSDELEQKKKDVKLYINIDSHEQSRQWRSVPFKHPSTFDTIAMESDLKNKLKSDLESFLKAKHYYHRLGRAWKRSYLLYGPSGTGKSSFVAAIANFLGYDVYDIDLSRVLDDSDMKMLLLQTTCKSVILIEDLDRFLMDKSTRVSLSGILNFMDGVLNSCCADERIMVYTMNCKDHVDPAILRPGRIDVHIHFPLCDFSAFKTLANNYLGVKDHKLFPQVEEFFQTGASLSPAEIGELMIANRNSPSRALKSVVTALQTDGDGRGSLNIRRQWTDNSSRKSTEDSGEQPGVFSKEGVNAMKDIRKLYGLLRLKSRKNSESFDRMPDSKDGQSCGL >Potri.017G112900.1.v4.1 pep chromosome:Pop_tri_v4:17:12053889:12058345:1 gene:Potri.017G112900.v4.1 transcript:Potri.017G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112900.v4.1 MVYMAVVPICYKIPCKDHTLSIRNLARQHERGLLCACSAFAQGGSYLSISSDVQRNWPVIYTTADVLVKVKTRGRTNASKGTILNQGLLKFQSVMDVPHLHKIHRTSRENLSVACCASKSGTNEKQLRLLDSYFGKLQDNVSEPSSDSCNERTDFLDTRVQINVKEELEYLNAYLDKVDKDANLENNVSSTFNDEATEENPIVKPISVSKESRRDNEERLRSFRNLRNQYVESGSRRSEALEQNYETSYFYLIGTLASINIAVFLFEIASPVRNSEFALFSLPLLYGAKINELILVGEWWRLVTPMFLHSGAFHVVLGSWSLLTFGPEVCRGYGSFTFFLIYVLGGISGNLTSFLHTPEPTVGGTGPVFAIIGAWLIYQNQNKDVISKDDFDRMFQKAVITTAVSFILSHFGPIDDWTHLGAVLTGIVYGFFTCATLQLDDASSRSGQDEGIALVKRHADPCKSLIIFTIFILFLSSMLFFVEPPLETVVLEDSV >Potri.001G351800.1.v4.1 pep chromosome:Pop_tri_v4:1:36506485:36516424:-1 gene:Potri.001G351800.v4.1 transcript:Potri.001G351800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351800.v4.1 MKQLAVNMGGGEQLSSIQIEDLIGMIRNAEKNTLLLNKARVFALDDLERIFHEKDKLQGEINVLEMRLAENDAKMKVAAQEKIRVELLEAQLEKLRNELAQRGATERSVFDLYESQNNVFNKEAPLLQNNTLLQNSSVHSLSEELSLLRSENMSLKNDIEALREELSNVKNTDERVAILVKQHSLMKSSLQDLESKLIASEEDVSKLSSLKVECKDLWEKVDTLQALLDKATKRADQAILVLQQNQDLRKKVDKLEKSLEEAVVYKLSSEKLQQYNELMQQKMKLLEEHLQRSDEEIHSYVRLYQDSVQEFQDTLKSLKEESKKRALDEPIDDMPWEFWSHLLLIIDGWLLEKKISTDDAKLLREMVWKRDGRICEAYMESREKNEREAVSRFLKLTSSPKSSGLSIIHIAAEMAPVAKVGGLGDVVTGLCKALQKRGHLVEIVLPKYDCMQYDRIHNLRALDVVVESYFDGKLYKNKIWVGTVEGLPVYFIEPQHPEKFFWRGQFYGEHDDFRRFSFFSRAALELLLQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTAPASELASCGLDVHQLNRPDRMQDNSAHDRVNPVKGAVVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLSFHSKKFVGILNGIDTDAWNPATDTSLKVQYNVNDLQGKTENKIALRKFLGLSNADVRQPMVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVAHIQREFEGIANHFESHHHIRLILKYDESLSHSIFAASDIFIIPSIFEPCGLTQMIAMRYGSIPIVRKTGGLNDSVFDVDDDTVPPQFRNGFTFSTPDEHGVNSALDRAFNYYRNNTEVWQQLVQKDMNMDFSWELSTSQYEELYLKSVARARVAANRAQGL >Potri.001G351800.7.v4.1 pep chromosome:Pop_tri_v4:1:36506569:36517236:-1 gene:Potri.001G351800.v4.1 transcript:Potri.001G351800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351800.v4.1 MATKLSTCFLSHRLATALNCNAITTTTNDPKHTNVRFLLPCHRLLSSSSFRIRNRNSRSSQYKRRQMKKSSSTEDSESHQPQPQPQPQPQPQPPPTVFSAVPNDVTGASVLVDSETSTSVDVERIEQLTDAQNPQRLTVSQEAKSLAIDVNIEEDEKHSSASDEMKQLAVNMGGGEQLSSIQIEDLIGMIRNAEKTRVFALDDLERIFHEKDKLQGEINVLEMRLAENDAKMKVAAQEKIRVELLEAQLEKLRNELAQRGATERSVFDLYESQNNVFNKEAPLLQNNTLLQNSSVHSLSEELSLLRSENMSLKNDIEALREELSNVKNTDERVAILVKQHSLMKSSLQDLESKLIASEEDVSKLSSLKVECKDLWEKVDTLQALLDKATKRADQAILVLQQNQDLRKKVDKLEKSLEEAVVYKLSSEKLQQYNELMQQKMKLLEEHLQRSDEEIHSYVRLYQDSVQEFQDTLKSLKEESKKRALDEPIDDMPWEFWSHLLLIIDGWLLEKKISTDDAKLLREMVWKRDGRICEAYMESREKNEREAVSRFLKLTSSPKSSGLSIIHIAAEMAPVAKVGGLGDVVTGLCKALQKRGHLVEIVLPKYDCMQYDRIHNLRALDVVVESYFDGKLYKNKIWVGTVEGLPVYFIEPQHPEKFFWRGQFYGEHDDFRRFSFFSRAALELLLQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTAPASELASCGLDVHQLNRPDRMQDNSAHDRVNPVKGAVVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLSFHSKKFVGILNGIDTDAWNPATDTSLKVQYNVNDLQGKTENKIALRKFLGLSNADVRQPMVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVAHIQREFEGIANHFESHHHIRLILKYDESLSHSIFAASDIFIIPSIFEPCGLTQMIAMRYGSIPIVRKTGGLNDSVFDVDDDTVPPQFRNGFTFSTPDEHGVNSALDRAFNYYRNNTEVWQQLVQKDMNMDFSWELSTSQYEELYLKSVARARVAANRAQGL >Potri.001G351800.5.v4.1 pep chromosome:Pop_tri_v4:1:36506440:36517280:-1 gene:Potri.001G351800.v4.1 transcript:Potri.001G351800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351800.v4.1 MATKLSTCFLSHRLATALNCNAITTTTNDPKHTNVRFLLPCHRLLSSSSFRIRNRNSRSSQYKRRQMKKSSSTEDSESHQPQPQPQPQPQPQPPPTVFSAVPNDVTGASVLVDSETSTSVDVERIEQLTDAQNPQRLTVSQEAKSLAIDVNIEEDEKHSSASDEMKQLAVNMGGGEQLSSIQIEDLIGMIRNAEKNTLLLNKARVFALDDLERIFHEKDKLQGEINVLEMRLAENDAKMKVAAQEKIRVELLEAQLEKLRNELAQRGATERSVFDLYESQNNVFNKEAPLLQNNTLLQNSSVHSLSEELSLLRSENMSLKNDIEALREELSNVKNTDERVAILVKQHSLMKSSLQDLESKLIASEEDVSKLSSLKVECKDLWEKVDTLQALLDKATKRADQAILVLQQNQDLRKKVDKLEKSLEEAVVYKLSSEKLQQYNELMQQKMKLLEEHLQRSDEEIHSYVRLYQDSVQEFQDTLKSLKEESKKRALDEPIDDMPWEFWSHLLLIIDGWLLEKKISTDDAKLLREMVWKRDGRICEAYMESREKNEREAVSRFLKLTSSPKSSGLSIIHIAAEMAPVAKVGGLGDVVTGLCKALQKRGHLVEIVLPKYDCMQYDRIHNLRALDVVVESYFDGKLYKNKIWVGTVEGLPVYFIEPQHPEKFFWRGQFYGEHDDFRRFSFFSRAALELLLQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTAPASELASCGLDVHQLNRPDRMQDNSAHDRVNPVKGAVVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLSFHSKKFVGILNGIDTDAWNPATDTSLKVQYNVNDLQGKTENKIALRKFLGLSNADVRQPMVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVAHIQREFEGIANHFESHHHIRLILKYDESLSHSIFAASDIFIIPSIFEPCGLTQMIAMRYGSIPIVRKTGGLNDSVFDVDDDTVPPQFRNGFTFSTPDEHGVNSALDRAFNYYRNNTEVWQQLVQKDMNMDFSWELSTSQYEELYLKSVARARVAANRAQGL >Potri.001G351800.4.v4.1 pep chromosome:Pop_tri_v4:1:36506441:36517304:-1 gene:Potri.001G351800.v4.1 transcript:Potri.001G351800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351800.v4.1 MATKLSTCFLSHRLATALNCNAITTTTNDPKHTNVRFLLPCHRLLSSSSFRIRNRNSRSSQYKRRQMKKSSSTEDSESHQPQPQPQPQPQPQPPPTVFSAVPNDVTGASVLVDSETSTSVDVERIEQLTDAQNPQRLTVSQEAKSLAIDVNIEEDEKHSSASDEMKQLAVNMGGGEQLSSIQIEDLIGMIRNAEKNTLLLNKARVFALDDLERIFHEKDKLQGEINVLEMRLAENDAKMKVAAQEKIRVELLEAQLEKLRNELAQRGATERSVFDLYESQNNVFNKEAPLLQNNTLLQNSSVHSLSEELSLLRSENMSLKNDIEALREELSNVKNTDERVAILVKQHSLMKSSLQDLESKLIASEEDVSKLSSLKVECKDLWEKVDTLQALLDKATKRADQAILVLQQNQDLRKKVDKLEKSLEEAVVYKLSSEKLQQYNELMQQKMKLLEEHLQRSDEEIHSYVRLYQDSVQEFQDTLKSLKEESKKRALDEPIDDMPWEFWSHLLLIIDGWLLEKKISTDDAKLLREMVWKRDGRICEAYMESREKNEREAVSRFLKLTSSPKSSGLSIIHIAAEMAPVAKVGGLGDVVTGLCKALQKRGHLVEIVLPKYDCMQYDRIHNLRALDVVVESYFDGKLYKNKIWVGTVEGLPVYFIEPQHPEKFFWRGQFYGEHDDFRRFSFFSRAALELLLQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTAPASELASCGLDVHQLNRPDRMQDNSAHDRVNPVKGAVVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLSFHSKKFVGILNGIDTDAWNPATDTSLKVQYNVNDLQGKTENKIALRKFLGLSNADVRQPMVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVAHIQREFEGIANHFESHHHIRLILKYDESLSHSIFAASDIFIIPSIFEPCGLTQMIAMRYGSIPIVRKTGGLNDSVFDVDDDTVPPQFRNGFTFSTPDEHGVNSALDRAFNYYRNNTEVWQQLVQKDMNMDFSWELSTSQYEELYLKSVARARVAANRAQGL >Potri.001G351800.6.v4.1 pep chromosome:Pop_tri_v4:1:36506417:36517299:-1 gene:Potri.001G351800.v4.1 transcript:Potri.001G351800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351800.v4.1 MATKLSTCFLSHRLATALNCNAITTTTNDPKHTNVRFLLPCHRLLSSSSFRIRNRNSSSQYKRRQMKKSSSTEDSESHQPQPQPQPQPQPQPPPTVFSAVPNDVTGASVLVDSETSTSVDVERIEQLTDAQNPQRLTVSQEAKSLAIDVNIEEDEKHSSASDEMKQLAVNMGGGEQLSSIQIEDLIGMIRNAEKNTLLLNKARVFALDDLERIFHEKDKLQGEINVLEMRLAENDAKMKVAAQEKIRVELLEAQLEKLRNELAQRGATERSVFDLYESQNNVFNKEAPLLQNNTLLQNSSVHSLSEELSLLRSENMSLKNDIEALREELSNVKNTDERVAILVKQHSLMKSSLQDLESKLIASEEDVSKLSSLKVECKDLWEKVDTLQALLDKATKRADQAILVLQQNQDLRKKVDKLEKSLEEAVVYKLSSEKLQQYNELMQQKMKLLEEHLQRSDEEIHSYVRLYQDSVQEFQDTLKSLKEESKKRALDEPIDDMPWEFWSHLLLIIDGWLLEKKISTDDAKLLREMVWKRDGRICEAYMESREKNEREAVSRFLKLTSSPKSSGLSIIHIAAEMAPVAKVGGLGDVVTGLCKALQKRGHLVEIVLPKYDCMQYDRIHNLRALDVVVESYFDGKLYKNKIWVGTVEGLPVYFIEPQHPEKFFWRGQFYGEHDDFRRFSFFSRAALELLLQSGKKPDIIHCHDWQTAFVAPLYWDLYAPKGLNSARICFTCHNFEYQGTAPASELASCGLDVHQLNRPDRMQDNSAHDRVNPVKGAVVFSNIVTTVSPTYAQEVRTAEGGKGLHSTLSFHSKKFVGILNGIDTDAWNPATDTSLKVQYNVNDLQGKTENKIALRKFLGLSNADVRQPMVGCITRLVPQKGVHLIRHAIYRTLELGGQFVLLGSSPVAHIQREFEGIANHFESHHHIRLILKYDESLSHSIFAASDIFIIPSIFEPCGLTQMIAMRYGSIPIVRKTGGLNDSVFDVDDDTVPPQFRNGFTFSTPDEHGVNSALDRAFNYYRNNTEVWQQLVQKDMNMDFSWELSTSQYEELYLKSVARARVAANRAQGL >Potri.013G131000.1.v4.1 pep chromosome:Pop_tri_v4:13:13730997:13731880:-1 gene:Potri.013G131000.v4.1 transcript:Potri.013G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131000.v4.1 MRSMKGEVELNVPAEKAWEMYRDNEIISKINPEMLALAEYIEGDGSPGSLRLFRLGPAVSSYVKESTQKIEKVEIGRSVTYRVVGGDLRDMYDPYRVTFSFFPVEGKEEEKCIAEWKADYELLNSSTPPPDKARDAALGFLKSFDKLEPSY >Potri.017G085100.1.v4.1 pep chromosome:Pop_tri_v4:17:9802296:9806678:1 gene:Potri.017G085100.v4.1 transcript:Potri.017G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085100.v4.1 MGILHEARKLGLPLMNVVRFKGMPILEQLFLEEKLLRTSSDNWCIVNDGTNTPSIVMGLSGKASELLELGFVLRDEVPVIRRFTGGGTVIVDHGTIFVTLICNKDDVPGVQPYPRSIMSWSGLLYGQVLRGIGDFQLRENDYVFGDRKFGGNAQSITKNRWIHHTSFLWDYEVKNMAYLKLPARAPEYRSARDHSEFICRVKEYLPRSLFVEKTTKALETHFSLQPVNSETIGAVHEGGFVHTTSLLTKQELKDALASSLESIAHSS >Potri.012G077900.2.v4.1 pep chromosome:Pop_tri_v4:12:10191743:10196975:1 gene:Potri.012G077900.v4.1 transcript:Potri.012G077900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077900.v4.1 MASDGKRKKRGRKSKTQTTLDPTTSTINDEVFSVNNVETVNSTTPTSAKKHENRRGRPKKRSKHSPEKPEKPPPLINGEIGVAPPVEGVTRVVPAMDAVVKVFCVHTEPNLSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVSPVEFGELPSLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYAHGSTELLGLQIDAAINSGNSGGPAFNDKGECVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYEKNGAYTGFPFLGIEWQKMENPDMRVAMGMKSDQKGVRIRRIDPTAPESEVLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLISQKYTGDNAVIKVLRNSKTLEFDIKLSTHRRLIPPHVKGKPPSYYIIAGFVFTTVSVPYLRSEYGKEYEFEAPVKLLDKLLHSMPQSPDEQIVVVSQVLVADINIGYEDIVNTQVVAFNGKPVKNLKSLANMVENFDDEFLKFDLEYDQIVVLRTKTAKEATVDILTTHGIPSAISDDLKP >Potri.012G077900.1.v4.1 pep chromosome:Pop_tri_v4:12:10191633:10196991:1 gene:Potri.012G077900.v4.1 transcript:Potri.012G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077900.v4.1 MASDGKRKKRGRKSKTQTTLDPTTSTINDEVFSVNNVETVNSTTPTSAKKHENRRGRPKKRSKHSPEKPEKPPPLINGEIGVAPPVEGVTRVVPAMDAVVKVFCVHTEPNLSLPWQRKRQYSSSSSGFVIGGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVSPVEFGELPSLQDAVTVVGYPIGGDTISVTSGVVSRIEILSYAHGSTELLGLQIDAAINSGNSGGPAFNDKGECVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYEKNGAYTGFPFLGIEWQKMENPDMRVAMGMKSDQKGVRIRRIDPTAPESEVLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLISQKYTGDNAVIKVLRNSKTLEFDIKLSTHRRLIPPHVKGKPPSYYIIAGFVFTTVSVPYLRSEYGKEYEFEAPVKLLDKLLHSMPQSPDEQIVVVSQVLVADINIGYEDIVNTQVVAFNGKPVKNLKSLANMVENFDDEFLKFDLEYDQIVVLRTKTAKEATVDILTTHGIPSAISDDLKP >Potri.003G027722.1.v4.1 pep chromosome:Pop_tri_v4:3:2927986:2928922:-1 gene:Potri.003G027722.v4.1 transcript:Potri.003G027722.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G027722.v4.1 MCWKKGSFRGSMRKMGSSSAIDISAMQEIMLEFHAGKMVFDGKKFVPDSRKGLIRIGRGDEGLLHLQWLDRNLNAVEDVS >Potri.003G027722.3.v4.1 pep chromosome:Pop_tri_v4:3:2927986:2928922:-1 gene:Potri.003G027722.v4.1 transcript:Potri.003G027722.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G027722.v4.1 MRKMGSSSAIDISAMQEIMLEFHAGKMVFDGKKFVPDSRKGLIRIGRGDEGLLHLQWLDRNLNAVEDVS >Potri.003G027722.2.v4.1 pep chromosome:Pop_tri_v4:3:2927985:2928922:-1 gene:Potri.003G027722.v4.1 transcript:Potri.003G027722.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G027722.v4.1 MCWKKGSFRGSMRKMGSSSAIDISAMQEIMLEFHAGKMVFDGKKFVPDSRKGLIRIGRWLDRNLNAVEDVS >Potri.013G048400.1.v4.1 pep chromosome:Pop_tri_v4:13:3461838:3463306:1 gene:Potri.013G048400.v4.1 transcript:Potri.013G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048400.v4.1 MTYNAHPFQLLEINVISGQDLAPVSKSMRTYAIVWVHPGRKLSTKVDQNGHTNPQWNEKFVFRVDDTFINAENSSIMIEVYAAAWLRDVQIGSVNVLISNLFPSHNNNNKMRFVALQVRRPSGRPQGILNLGVQLLDTTMRSMPLYTELSVSAVGFDDLIDAKTIGQSLEEKSAKLRRTQSDQTDQTISDKSGIKESGVRSLGGSLINSSVVKRDNGNGNGNGNGNGNGNGNGANGSMINGSLCSDVGPSASVVAAAIAKGLIKTPANAVQHDTDGARSSVVEDWTENDSIEGLRTKLERWRTELPPIHDSDLRKMQSKSRRKKHRRRTEGGGLFTCFGFGCEISITWGGRNNKKKNGNGKVCHLSSVDSQSYL >Potri.016G132500.1.v4.1 pep chromosome:Pop_tri_v4:16:13654983:13657071:1 gene:Potri.016G132500.v4.1 transcript:Potri.016G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G132500.v4.1 MKLHQLVFLVLLLAYQVYCKTYIHIDYSLTVEVPSEYSVELLGRAFLMETDQMEPDFRVALSVEPIRGKYSCSLEVFLGDVKVWNSGHYSHFYTSDTCVLALTEDGDLHLKGSNDRIGWRTGTSGQGVERLQILKTGNLVLVDALNRIKWQSFNFPTDVMLWGQRLNVATRLTSFPTNSTAFYSFEIQHNKIALYLSSGKWNYSYWEFQPTKNRNITFIELGSKGLEIFNDKYKKIAQILSFGMQFQPLRFLALGNKTGNMGLYFYSPEKRSFEAAFQALNTTCDLPLACRPYGICTLSNACSCIRLLTAKKGVGSDCNGGFSEGFCDREQQEMLELSGVSSVLRTAPKRVNVSKEVCEDLCLQDCKCAAALYSTGEDGTSFRECFTYGLVSGVKQVERGTGLTYMVKVPKGTQISHGKSNVKKWVLVMVGVIDGFIILLVFGGLGYYLVQRRRRRNVLASDNNT >Potri.016G132500.2.v4.1 pep chromosome:Pop_tri_v4:16:13654985:13657071:1 gene:Potri.016G132500.v4.1 transcript:Potri.016G132500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G132500.v4.1 MIESDGEQGLQDKRLQILKTGNLVLVDALNRIKWQSFNFPTDVMLWGQRLNVATRLTSFPTNSTAFYSFEIQHNKIALYLSSGKWNYSYWEFQPTKNRNITFIELGSKGLEIFNDKYKKIAQILSFGMQFQPLRFLALGNKTGNMGLYFYSPEKRSFEAAFQALNTTCDLPLACRPYGICTLSNACSCIRLLTAKKGVGSDCNGGFSEGFCDREQQEMLELSGVSSVLRTAPKRVNVSKEVCEDLCLQDCKCAAALYSTGEDGTSFRECFTYGLVSGVKQVERGTGLTYMVKVPKGTQISHGKSNVKKWVLVMVGVIDGFIILLVFGGLGYYLVQRRRRRNVLASDNNT >Potri.003G170400.1.v4.1 pep chromosome:Pop_tri_v4:3:17901674:17901883:-1 gene:Potri.003G170400.v4.1 transcript:Potri.003G170400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170400.v4.1 MSDWGPVVIAVVLFVLLSPGLLFQLPGRSRVVEFGNMQTSALSILVHTIIFFGLITIFLIAIGVHIYTG >Potri.006G150400.1.v4.1 pep chromosome:Pop_tri_v4:6:13105429:13108603:1 gene:Potri.006G150400.v4.1 transcript:Potri.006G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150400.v4.1 MGVANNITAVLNFIAFLCSIPIIAAGIWLASKPENECIHLFRWPVVLLGFLILLVSLAGFVGAYWYKETLLAFYLCCMAILIGLLLILLVFAFVVTRADGGYDVPGRGYREYRLQGFSAWLRNHVVYSKNWDKIRPCLAETDVCSKMTQNYITADQFFMAHISPLQSGCCKPPTVCGYNYVNPTLWLNPVNPAADPDCYLWNNDQNQLCYNCNACKAGLLGNLRREWRKTNVILIVAVVVLIWVYVIACSAFKNAQTEDLFRRYKQGWV >Potri.006G184600.1.v4.1 pep chromosome:Pop_tri_v4:6:19069862:19073431:1 gene:Potri.006G184600.v4.1 transcript:Potri.006G184600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184600.v4.1 MVGANLKAETMKLMEKRSALETEMNVIIDRLCQPGGPGLSGNLVDSEGFPRSDIDIPVVRAERHRLAELRNDHKEITEKINENIQVLHSARLATKDSVVGNAVPSATSHNVVLRDSPSSMDVDMMASIPFAVVYEIADASPTAEDGLQLGDQLVKFGTVEYQVGENLLQKLASETQANQGHAVPVIVMRQGAPINLSVTPRVWPGRGLLGCSFRIL >Potri.010G253800.1.v4.1 pep chromosome:Pop_tri_v4:10:22530620:22531830:1 gene:Potri.010G253800.v4.1 transcript:Potri.010G253800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253800.v4.1 MDHPKKSNKISDIVRLQQILKKWRKAANAPKNISSSSNNNSSSSSSNASKSIKFLKRTLSFTDLSSSAAASSNDAVPKGYLAVCVGKELKRYIIPTEYLGHQAFGILLREAEEEFGFQQEGVLKIPCEVPVFEKILKVVEEKKDVYLLHELGPVNAESTAKEMIGCYSQSPDCELTPSHHPQMCR >Potri.009G101200.4.v4.1 pep chromosome:Pop_tri_v4:9:8947606:8950757:-1 gene:Potri.009G101200.v4.1 transcript:Potri.009G101200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101200.v4.1 MDSHWDFKNFGNASPGQGSVRKSPENPPLVRQSSVYSLTFDEFQNTWGGGLQKDFGSMNMDELLKNIWTAEETQAMTNTVGVGGEGSTPDGNLQRQGSLTLPRTLSQKTVDEVWRDLIKETSGGAGSNLPQRQQTLREMTLEEFLVRAGVVREDTQQIGRPNNSGFFGELSQLNTNNNNNNTTGLALGFQQPNGNNGLMGTGIMENNLVSNQPSSLALNVGGIRPSQQLPQPQQQQPLFPKPAATVVFASPLHVANNVQLASPGVRGSVVGIANRSVNNGLAHSRGMGMVSLAARGVTVATGSPANRISPDVIAKSNADTSSLSPVPFVFSRGRKPSAALEKVVERRQRRMIKNRESAARSRARKQVSIVTELLLYIFQLLSTPLCVAFVLKYFP >Potri.009G101200.5.v4.1 pep chromosome:Pop_tri_v4:9:8947605:8950411:-1 gene:Potri.009G101200.v4.1 transcript:Potri.009G101200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101200.v4.1 MDSHWDFKNFGNASPGQGSVRKSPENPPLVRQSSVYSLTFDEFQNTWGGGLQKDFGSMNMDELLKNIWTAEETQAMTNTVGVGGEGSTPDGNLQRQGSLTLPRTLSQKTVDEVWRDLIKETSGGAGSNLPQRQQTLREMTLEEFLVRAGVVREDTQQIGRPNNSGFFGELSQLNTNNNNNNTTGLALGFQQPNGNNGLMGTGIMENNLVSNQPSSLALNVGGIRPSQQLPQPQQQQPLFPKPAATVVFASPLHVANNVQLASPGVRGSVVGIANRSVNNGLAHSRGMGMVSLAARGVTVATGSPANRISPDVIAKSNADTSSLSPVPFVFSRGRKPSAALEKVVERRQRRMIKNRESAARSRARKQVSIVTELLLYIFQLLSTPLCVAFVLKYFP >Potri.009G101200.3.v4.1 pep chromosome:Pop_tri_v4:9:8946197:8950757:-1 gene:Potri.009G101200.v4.1 transcript:Potri.009G101200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101200.v4.1 MDSHWDFKNFGNASPGQGSVRKSPENPPLVRQSSVYSLTFDEFQNTWGGGLQKDFGSMNMDELLKNIWTAEETQAMTNTVGVGGEGSTPDGNLQRQGSLTLPRTLSQKTVDEVWRDLIKETSGGAGSNLPQRQQTLREMTLEEFLVRAGVVREDTQQIGRPNNSGFFGELSQLNTNNNNNNTTGLALGFQQPNGNNGLMGTGIMENNLVSNQPSSLALNVGGIRPSQQLPQPQQQQPLFPKPAATVVFASPLHVANNVQLASPGVRGSVVGIANRSVNNGLAHSRGMGMVSLAARGVTVATGSPANRISPDVIAKSNADTSSLSPVPFVFSRGRKPSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEDEVAKLKELNKELQRKQAEIFEMQKNQFLETMKAQWGGKRQCLRRTLTGPW >Potri.009G101200.2.v4.1 pep chromosome:Pop_tri_v4:9:8946196:8950509:-1 gene:Potri.009G101200.v4.1 transcript:Potri.009G101200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101200.v4.1 MDSHWDFKNFGNASPGQGSVRKSPENPPLVRQSSVYSLTFDEFQNTWGGGLQKDFGSMNMDELLKNIWTAEETQAMTNTVGVGGEGSTPDGNLQRQGSLTLPRTLSQKTVDEVWRDLIKETSGGAGSNLPQRQQTLREMTLEEFLVRAGVVREDTQQIGRPNNSGFFGELSQLNTNNNNNNTTGLALGFQQPNGNNGLMGTGIMENNLVSNQPSSLALNVGGIRPSQQLPQPQQQQPLFPKPAATVVFASPLHVANNVQLASPGVRGSVVGIANRSVNNGLAHSRGMGMVSLAARGVTVATGSPANRISPDVIAKSNADTSSLSPVPFVFSRGRKPSAALEKVVERRQRRMIKNRESAARSRARKQAYTLELEDEVAKLKELNKELQRKQAEIFEMQKNQFLETMKAQWGGKRQCLRRTLTGPW >Potri.018G021300.3.v4.1 pep chromosome:Pop_tri_v4:18:1533833:1539076:-1 gene:Potri.018G021300.v4.1 transcript:Potri.018G021300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021300.v4.1 MTVEQGIGDSNIDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYNVTTTSQAITALRMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDPKLVMKGITHGACYYLLKPVRIEELKTIWQHVIRRKKSDNKDRNSSDNRDKPNQGSSEAVPDQKLNKKRKDQNGDEDEDHDEDEDEHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGVDKAVPKKILDLMNVEKLTRENVASHLQKYRHYLKRISTVANQQANMVAALGSSDASYLQMNSMSVLGLHSLAGSVQFHSTPFRSLQSSGMLDRLNSPAVLGIHGLPSPGVIQLGHVQTAPHTANGLSHFQPVGHRGNNGNILQGMPMPLELDQIQSNKGVNYIPELPTHLDDTASFPVSSGSTDMKIIAGSSNSPFVGVSNKHLMLEGHGQGLQDGQKSGKQSSLSAGSLNPGYSSHFPDHGRCNDNWSNAVPSNGAQSDSFTLNDYFKQSTLHPSAIRDRMSTMALQSRNNPCDVSSVSTLPMHLQDSKADLPCRVGVATVSSNAGQLINNGSLGWDDRRQDDPYHSNGLSNSINSAFPINGNGSPNGFNLDPNNLFFQRTTSFISTGPSNFVDTSLMKHNEVECSAMETLVRSKDGYLLGQEKPQDSSVSNNFGSLEDLVSVMINQEQDR >Potri.018G021300.8.v4.1 pep chromosome:Pop_tri_v4:18:1533831:1538928:-1 gene:Potri.018G021300.v4.1 transcript:Potri.018G021300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021300.v4.1 MTVEQGIGDSNIDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYNVTTTSQAITALRMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDPKLVMKGITHGACYYLLKPVRIEELKTIWQHVIRRKKSDNKDRNSSDNRDKPNQGSSEAVPDQKLNKKRKDQNGDEDEDHDEDEDEHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGVDKAVPKKILDLMNVEKLTRENVASHLQKYRHYLKRISTVANQQANMVAALGSSDASYLQMNSMSVLGLHSLAGSVQFHSTPFRSLQSSGMLDRLNSPAVLGIHGLPSPGVIQLGHVQTAPHTANGLSHFQPVGHRGNNGNILQGMPMPLELDQIQSNKGVNYIPELPTHLDDTASFPVSSGSTDMKIIAGSSNSPFVGVSNKHLMLEGHGQGLQDGQKSGKQSSLSAGSLNPGYSSHFPDHGRCNDNWSNAVPSNGAQSDSFTLNDYFKQSTLHPSAIRDRMSTMALQSRNNPCDVSSVSTLPMHLQDSKADLPCRVGVATVSSNAGQLINNGSLGWDDRRQDDPYHSNGLSNSINSAFPINGNGSPNGFNLDPNNLFFQRTTSFISTGPSNFVDTSLMKHNEVECSAMETLVRSKDGYLLGQEKPQDSSVSNNFGSLEDLVSVMINQPTILG >Potri.018G021300.7.v4.1 pep chromosome:Pop_tri_v4:18:1533839:1539007:-1 gene:Potri.018G021300.v4.1 transcript:Potri.018G021300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021300.v4.1 MTVEQGIGDSNIDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYNVTTTSQAITALRMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDPKLVMKGITHGACYYLLKPVRIEELKTIWQHVIRRKKSDNKDRNSSDNRDKPNQGSSEAVPDQKLNKKRKDQNGDEDEDHDEDEDEHENEDPTTQKKPRVVWSVELHRKFVAAVNQLGVDKAVPKKILDLMNVEKLTRENVASHLQKYRHYLKRISTVANQQANMVAALGSSDASYLQMNSMSVLGLHSLAGSVQFHSTPFRSLQSSGMLDRLNSPAVLGIHGLPSPGVIQLGHVQTAPHTANGLSHFQPVGHRGNNGNILQGMPMPLELDQIQSNKGVNYIPELPTHLDDTASFPVSSGSTDMKIIAGSSNSPFVGVSNKHLMLEGHGQGLQDGQKSGKQSSLSAGSLNPGYSSHFPDHGRCNDNWSNAVPSNGAQSDSFTLNDYFKQSTLHPSAIRDRMSTMALQSRNNPCDVSSVSTLPMHLQDSKADLPCRVGVATVSSNAGQLINNGSLGWDDRRQDDPYHSNGLSNSINSAFPINGNGSPNGFNLDPNNLFFQRTTSFISTGPSNFVDTSLMKHNEVECSAMETLVRSKDGYLLGQEKPQDSSVSNNFGSLEDLVSVMINQPTILG >Potri.010G041800.1.v4.1 pep chromosome:Pop_tri_v4:10:7286659:7291989:-1 gene:Potri.010G041800.v4.1 transcript:Potri.010G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041800.v4.1 MDRLREIETDGNSNPIQISRLALDIGGSLIKLVYFSRDSGDHEDPLNDSVRISNGVNGRLHFAKFETTNINDCLQFISANKLFFGGFQHQENPTNDRSFIKATGGGAYKFSDLFKEKLGISLEKEDEMDCLVTGANFLLKAVNHEAFTYLNGQKEFVQIDHNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTSVGGGTFWGLGKLLTKCQSFDELLELSQRGNNRVLDMLVGDIYGGMEYSKIGLSSTAIASSFGRAISNSNELHDYKPEDVSRSLLRMISNNIGQISYLNALRFGLKRIFFGGFFTRGHSYTMDTISVAVHFWSKGEAKAMFLRHEGFLGALGAFMSYERHSLGDLMVNQSVQIPVDASSSTDTNYNPLERDLNETESMQCSVYQA >Potri.001G304100.6.v4.1 pep chromosome:Pop_tri_v4:1:31389760:31395702:-1 gene:Potri.001G304100.v4.1 transcript:Potri.001G304100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304100.v4.1 MAMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFVEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDAEREDDGDQNGARAARQQPGQANRNVAGEANAEDAGGAQGIAGGGQIIQRNVENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGAVIFVPFSLGRIILYYISWLFSFASVPVLSTVMPLTDTALSLANITLKNALTAVANLTSEGEDSGVLGEVADMLNANVSGLNEVANNLSSPLSSDLLKGASVGTSRLSDVTTLAIGYMFIFSLVFFYLGIIALIRYTRGEPLTMGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMAQRVQFFVISPLASSLVHWVVGIVYMLHISIFVSLLRGVLRQGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDILVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLHYWFTAVGWALGLTDFILPGPEDSGVQDNGNAEQGRQDRLQVAQLGGQDRAVVALAAADDQNRTTLTAGSSAEEDDSDEQSDSDRYSFALRIVLLLVVAWMSLLIFNSVLIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYVIWTALAGARYSIEQIRTKRATVLFSQVWKWCSIVLKSLALLSIWIFVIPVLIGLLFELLVIVPLRVPVDESPVFLLYQDWALGLIFLKICTRLVMLDQVMPLVDESWRTKFERVREDGFSRLQCLWVLQEIVFPIIMKLLTALCVPYVLARGVFPVLGYPMAVNSAVYRFAWLGCLCFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRKLHNYGEDLGEEQNEAGTSSEAQNSNSQDTGLIREADVGIRQRRANRQEA >Potri.001G304100.1.v4.1 pep chromosome:Pop_tri_v4:1:31389787:31395777:-1 gene:Potri.001G304100.v4.1 transcript:Potri.001G304100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304100.v4.1 MEIDSAVEPPPQQHPSTAEAPPESVNQTQSPSGSMGIEESYGAAAARFDDDEEEEGDVCRICRNPGDAENPLRYPCACSGSIKFVHQDCLLQWLNHSNARQCEVCKHPFSFSPVYSENAPARLPFQEFVVGMAMKTCHVLQFFLRLSFVLSVWLLIIPFITFWIWRLAFVRSFVEAQRLFLSHISTTVILTDCLHGFLLSASIVFIFLGATSLRDYFRHLRELGGQDAEREDDGDQNGARAARQQPGQANRNVAGEANAEDAGGAQGIAGGGQIIQRNVENVAARWEMQAARLEAHVEQMFDGLDDADGAEDVPFDELVGMQGPVFHLVENAFTVLASNMIFLGAVIFVPFSLGRIILYYISWLFSFASVPVLSTVMPLTDTALSLANITLKNALTAVANLTSEGEDSGVLGEVADMLNANVSGLNEVANNLSSPLSSDLLKGASVGTSRLSDVTTLAIGYMFIFSLVFFYLGIIALIRYTRGEPLTMGRFYGIASIAETIPSLFRQFLAAMRHLMTMIKVAFLLVIELGVFPLMCGWWLDVCTIRMFGKSMAQRVQFFVISPLASSLVHWVVGIVYMLHISIFVSLLRGVLRQGVLYFLRDPADPNYNPFRDLIDDPVHKHARRVLLSVAVYGSLIVMLVFLPVKLAMRMAPSIFPLDILVSDPFTEIPADMLLFQICIPFAIEHFKLRTTIKSLLHYWFTAVGWALGLTDFILPGPEDSGVQDNGNAEQGRQDRLQVAQLGGQDRAVVALAAADDQNRTTLTAGSSAEEDDSDEQSDSDRYSFALRIVLLLVVAWMSLLIFNSVLIVVPISLGRALFNAIPLLPITHGIKCNDLYAFVIGSYVIWTALAGARYSIEQIRTKRATVLFSQVWKWCSIVLKSLALLSIWIFVIPVLIGLLFELLVIVPLRVPVDESPVFLLYQDWALGLIFLKICTRLVMLDQVMPLVDESWRTKFERVREDGFSRLQCLWVLQEIVFPIIMKLLTALCVPYVLARGVFPVLGYPMAVNSAVYRFAWLGCLCFSLLCFCAKRFHVWFTNLHNSIRDDRYLIGRKLHNYGEDLGEEQNEAGTSSEAQNSNSQDTGLIREADVGIRQRRANRQEA >Potri.005G022200.1.v4.1 pep chromosome:Pop_tri_v4:5:1429691:1430631:1 gene:Potri.005G022200.v4.1 transcript:Potri.005G022200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022200.v4.1 MGSKNLTLIFAIVLFLFLNFPSRTQASVGALVSKVCMQSDNYKSCVQILTSHPQTLAAHTLKAMANNGLKMAKKDAIATSNFFTGLANTNPASKQALVLCATYFKEAVTFLNLKGLEEGSASLDVHYALDQAGYCETALSTGHVHIASATDRIQKWKSVFSAAYAAVITLEN >Potri.002G257100.7.v4.1 pep chromosome:Pop_tri_v4:2:24563030:24572858:-1 gene:Potri.002G257100.v4.1 transcript:Potri.002G257100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257100.v4.1 MGDSETVVTEPSAVMDYPAAGYASTGYADSGSVVVPGPGDFNVEGTGNFAASTVAAQGGHTGNTYGADSSSVVPEARVGMNSSAENAAAGGYDSAVNGNVGTEAGVAVSVENGNAGEVLGGAAAEQHFVDGSVPEMSAEEDHLWSIVKANSLDFDAWTALIDETEKVAGDRILKIRKVYDAFLVEFPLCYGYWKKYADHEARLGFMDKVVEVYERAVLGVTYSVDIWLHYCMFAISTYGDPETVRRLFERGLAYAGTDYMSYPLWDKYIEYEEVHAEWGRVAMIYTRILEIPNRKLDDYFNRFKAFAASRPLSELKTAEEAAAVAPVLSEDRSQADEGEVHPDAAEQPSKPVNAGLTEAEELEKYIAIREEIYKKAKEFDNKIFDFENAIRRPYFHVRPLNVAELENWHNYLDDIEREDDFNKVVKLYERCVIACANYTEYWIRYVLCMEATGNMDLANNALARATQVFVKRQPEIHIFAARLKEQNGDVSGARAAYQVVHAEIAPGLLEAIIKHANMEHRLGNLEDAFSLYEQAIAIEKGKEHSQVLPALYAQYARFVYLASNNVEKAREILLEGLENAQYSKPLLEALIHFETFLPLPKRIDYLDSLVDKFILPSSDSVNAASAAEREELSCIFLEFLGIFGDAQSIKKAADRHAKFFLPHRSKSELKKRHAEDYLASDKAKMAKPYPDATSPAQSLMGAYASAQNQWTAGYGVQPQAWPPATQVQTQQWAPGYNQQAAYGAYSGYGGSYPNPQAPTSVAQGAAYGGYPPTYPAQQAFPQQSYAQPTAAAPLTQPQQPAAAPQPYYGTYY >Potri.002G257100.4.v4.1 pep chromosome:Pop_tri_v4:2:24562832:24572858:-1 gene:Potri.002G257100.v4.1 transcript:Potri.002G257100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257100.v4.1 MGDSETVVTEPSAVMDYPAAGYASTGYADSGSVVVPGPGDFNVEGTGNFAASTVAAQGGHTGNTYGADSSSVVPEARVGMNSSAENAAAGGYDSAVNGNVGTEAGVAVSVENGNAGEVLGGAAAEQHFVDGSVPEMSAEEDHLWSIVKANSLDFDAWTALIDETEKVAGDRILKIRKVYDAFLVEFPLCYGYWKKYADHEARLGFMDKVVEVYERAVLGVTYSVDIWLHYCMFAISTYGDPETVRRLFERGLAYAGTDYMSYPLWDKYIEYEEVHAEWGRVAMIYTRILEIPNRKLDDYFNRFKAFAASRPLSELKTAEEAAAVAPVLSEDRSQADEGEVHPDAAEQPSKPVNAGLTEAEELEKYIAIREEIYKKAKEFDNKIFDFENAIRRPYFHVRPLNVAELENWHNYLDDIEREDDFNKVVKLYERCVIACANYTEYWIRYVLCMEATGNMDLANNALARATQVFVKRQPEIHIFAARLKEQNGDVSGARAAYQVVHAEIAPGLLEAIIKHANMEHRLGNLEDAFSLYEQAIAIEKGKEHSQVLPALYAQYARFVYLASNNVEKAREILLEGLENAQYSKPLLEALIHFETFLPLPKRIDYLDSLVDKFILPSSDSVNAASAAEREELSCIFLEFLGIFGDAQSIKKAADRHAKFFLPHRSKSELKKRHAEDYLASDKAKMAKPYPDATSPAQSLMGAYASAQNQWTAGYGVQPQAWPPATQVQTQQWAPGYNQQAAYGAYSGYGGSYPNPQAPTSVAQGAAYGGYPPTYPAQAFPQQSYAQPTAAAPLTQPQQPAAAPQPYYGTYY >Potri.002G257100.2.v4.1 pep chromosome:Pop_tri_v4:2:24562677:24572858:-1 gene:Potri.002G257100.v4.1 transcript:Potri.002G257100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257100.v4.1 MGDSETVVTEPSAVMDYPAAGYASTGYADSGSVVVPGPGDFNVEGTGNFAASTVAAQGGHTGNTYGADSSSVVPEARVGMNSSAENAAAGGYDSAVNGNVGTEAGVAVSVENGNAGEVLGGAAAEQHFVDGSVPEMSAEEDHLWSIVKANSLDFDAWTALIDETEKVAGDRILKIRKVYDAFLVEFPLCYGYWKKYADHEARLGFMDKVVEVYERAVLGVTYSVDIWLHYCMFAISTYGDPETVRRLFERGLAYAGTDYMSYPLWDKYIEYEEVHAEWGRVAMIYTRILEIPNRKLDDYFNRFKAFAASRPLSELKTAEEAAAVAPVLSEDRSQADEGEVHPDAAEQPSKPVNAGLTEAEELEKYIAIREEIYKKAKEFDNKIFDFENAIRRPYFHVRPLNVAELENWHNYLDDIEREDDFNKVVKLYERCVIACANYTEYWIRYVLCMEATGNMDLANNALARATQVFVKRQPEIHIFAARLKEQNGDVSGARAAYQVVHAEIAPGLLEAIIKHANMEHRLGNLEDAFSLYEQAIAIEKGKEHSQVLPALYAQYARFVYLASNNVEKAREILLEGLENAQYSKPLLEALIHFETFLPLPKRIDYLDSLVDKFILPSSDSVNAASAAEREELSCIFLEFLGIFGDAQSIKKAADRHAKFFLPHRSKSELKKRHAEDYLASDKAKMAKPYPDATSPAQSLMGAYASAQNQWTAGYGVQPQAWPPATQVQTQQWAPGYNQQAAYGAYSGYGGSYPNPQAPTSVAQGAAYGGYPPTYPAQQAFPQQSYAQPTAAAPLTQPQQPAAAPQPYYGTYY >Potri.002G257100.3.v4.1 pep chromosome:Pop_tri_v4:2:24562783:24572890:-1 gene:Potri.002G257100.v4.1 transcript:Potri.002G257100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257100.v4.1 MGDSETVVTEPSAVMDYPAAGYASTGYADSGSVVVPGPGDFNVEGTGNFAASTVAAQGGHTGNTYGADSSSVVPEARVGMNSSAENAAAGGYDSAVNGNVGTEAGVAVSVENGNAGEVLGGAAAEQHFVDGSVPEMSAEEDHLWSIVKANSLDFDAWTALIDETEKVAGDRILKIRKVYDAFLVEFPLCYGYWKKYADHEARLGFMDKVVEVYERAVLGVTYSVDIWLHYCMFAISTYGDPETVRRLFERGLAYAGTDYMSYPLWDKYIEYEEVHAEWGRVAMIYTRILEIPNRKLDDYFNRFKAFAASRPLSELKTAEEAAAVAPVLSEDRSQADEGEVHPDAAEQPSKPVNAGLTEAEELEKYIAIREEIYKKAKEFDNKIFDFENAIRRPYFHVRPLNVAELENWHNYLDDIEREDDFNKVVKLYERCVIACANYTEYWIRYVLCMEATGNMDLANNALARATQVFVKRQPEIHIFAARLKEQNGDVSGARAAYQVVHAEIAPGLLEAIIKHANMEHRLGNLEDAFSLYEQAIAIEKGKEHSQVLPALYAQYARFVYLASNNVEKAREILLEGLENAQYSKPLLEALIHFETFLPLPKRIDYLDSLVDKFILPSSDSVNAASAAEREELSCIFLEFLGIFGDAQSIKKAADRHAKFFLPHRSKSELKKRHAEDYLASDKAKMAKPYPDATSPAQSLMGAYASAQNQWTAGYGVQPQAWPPATQVQTQQWAPGYNQQAAYGAYSGYGGSYPNPQAPTSVAQGAAYGGYPPTYPAQQAFPQQSYAQPTAAAPLTQPQQPAAAPQPYYGTYY >Potri.002G257100.8.v4.1 pep chromosome:Pop_tri_v4:2:24563021:24572830:-1 gene:Potri.002G257100.v4.1 transcript:Potri.002G257100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257100.v4.1 MGDSETVVTEPSAVMDYPAAGYASTGYADSGSVVVPGPGDFNVEGTGNFAASTVAAQGGHTGNTYGADSSSVVPEARVGMNSSAENAAAGGYDSAVNGNVGTEAGVAVSVENGNAGEVLGGAAAEQHFVDGSVPEMSAEEDHLWSIVKANSLDFDAWTALIDETEKVAGDRILKIRKVYDAFLVEFPLCYGYWKKYADHEARLGFMDKVVEVYERAVLGVTYSVDIWLHYCMFAISTYGDPETVRRLFERGLAYAGTDYMSYPLWDKYIEYEEVHAEWGRVAMIYTRILEIPNRKLDDYFNRFKAFAASRPLSELKTAEEAAAVAPVLSEDRSQADEGEVHPDAAEQPSKPVNAGLTEAEELEKYIAIREEIYKKAKEFDNKIFDFENAIRRPYFHVRPLNVAELENWHNYLDDIEREDDFNKVVKLYERCVIACANYTEYWIRYVLCMEATGNMDLANNALARATQVFVKRQPEIHIFAARLKEQNGDVSGARAAYQVVHAEIAPGLLEAIIKHANMEHRLGNLEDAFSLYEQAIAIEKGKEHSQVLPALYAQYARFVYLASNNVEKAREILLEGLENAQYSKPLLEALIHFETFLPLPKRIDYLDSLVDKFILPSSDSVNAASAAEREELSCIFLEFLGIFGDAQSIKKAADRHAKFFLPHRSKSELKKRHAEDYLASDKAKMAKPYPDATSPAQSLMGAYASAQNQWTAGYGVQPQAWPPATQVQTQQWAPGYNQQAAYGAYSGYGGSYPNPQAPTSVAQGAAYGGYPPTYPAQQAFPQQSYAQPTAAAPLTQPQQPAAAPQPYYGTYY >Potri.002G257100.6.v4.1 pep chromosome:Pop_tri_v4:2:24562857:24572858:-1 gene:Potri.002G257100.v4.1 transcript:Potri.002G257100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257100.v4.1 MGDSETVVTEPSAVMDYPAAGYASTGYADSGSVVVPGPGDFNVEGTGNFAASTVAAQGGHTGNTYGADSSSVVPEARVGMNSSAENAAAGGYDSAVNGNVGTEAGVAVSVENGNAGEVLGGAAAEQHFVDGSVPEMSAEEDHLWSIVKANSLDFDAWTALIDETEKVAGDRILKIRKVYDAFLVEFPLCYGYWKKYADHEARLGFMDKVVEVYERAVLGVTYSVDIWLHYCMFAISTYGDPETVRRLFERGLAYAGTDYMSYPLWDKYIEYEEVHAEWGRVAMIYTRILEIPNRKLDDYFNRFKAFAASRPLSELKTAEEAAAVAPVLSEDRSQADEGEVHPDAAEQPSKPVNAGLTEAEELEKYIAIREEIYKKAKEFDNKIFDFENAIRRPYFHVRPLNVAELENWHNYLDDIEREDDFNKVVKLYERCVIACANYTEYWIRYVLCMEATGNMDLANNALARATQVFVKRQPEIHIFAARLKEQNGDVSGARAAYQVVHAEIAPGLLEAIIKHANMEHRLGNLEDAFSLYEQAIAIEKGKEHSQVLPALYAQYARFVYLASNNVEKAREILLEGLENAQYSKPLLEALIHFETFLPLPKRIDYLDSLVDKFILPSSDSVNAASAAEREELSCIFLEFLGIFGDAQSIKKAADRHAKFFLPHRSKSELKKRHAEDYLASDKAKMAKPYPDATSPAQSLMGAYASAQNQWTAGYGVQPQAWPPATQVQTQQWAPGYNQQAAYGAYSGYGGSYPNPQAPTSVAQGAAYGGYPPTYPAQQAFPQQSYAQPTAAAPLTQPQQPAAAPQPYYGTYY >Potri.007G090600.1.v4.1 pep chromosome:Pop_tri_v4:7:11569300:11571897:1 gene:Potri.007G090600.v4.1 transcript:Potri.007G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090600.v4.1 MAAAIDIYNTTVPVFSDPCRGELMEALEPFMKSASPSPASTSYSSQSPSTSSYSFSSYPSCYHNNCFPVTSHPNLDLNFYTPTSTQMFSNGFSGYNQMGFEQTGPIGLNHLTPSQILQIQAKIHLQQQQQQQQQQQMANHAPTSQLVHNQRTSNFLAPKPVPMKQQSASPPPKPTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGEFARLNFPHLRHQGAHVSGEFGDYKPLHSSVDAKLQAICQSLGLQKQGETGEPCSVSDSKKTVSAPLQVKIEDDCSLQGELKREFENLGVEEFKVEIPSPSPALSDESLAGSSSPESEISFFFSDSLQWDEFENFGLEKYPSVEIDWSSI >Potri.016G096400.1.v4.1 pep chromosome:Pop_tri_v4:16:9574332:9577372:-1 gene:Potri.016G096400.v4.1 transcript:Potri.016G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096400.v4.1 MASISQTPVTTAITLYPLCLPSQNSFYFPSKPPPTTSQPPPQPLTSHILSKPNHLHRCHFSSCTSQFLLSSPLSLTKPQNLESSFPLDSNYHSPQTNTDCLIEVDDLFNLLRLSVKYTDIDLARALHASILKLGEDTHLGNAVIAAYIKLGLVVDAYEVFMGMSTPDVVSYSALISSFSKLNRETEAIQLFFRMRISGIEPNEYSFVAILTACIRSLELEMGLQVHALAIKLGYSQLVFVANALIGLYGKCGCLDHAIHLFDEMPQRDIASWNTMISSLVKGLSYEKALELFRVLNQNKGFKADQFTLSTLLTACARCHARIQGREIHAYAIRIGLENNLSVSNAIIGFYTRCGSLNHVAALFERMPVRDIITWTEMITAYMEFGLVDLAVDMFNKMPEKNSVSYNALLTGFCKNNEGLKALNLFVRMVQEGAELTDFTLTGVINACGLLLKLEISRQIHGFIIKFGFRSNACIEAALIDMCSKCGRMDDADRMFQSLSTDGGNSIIQTSMICGYARNGLPEEAICLFYRCQSEGTMVLDEVAFTSILGVCGTLGFHEVGKQIHCQALKTGFHAELGVGNSIISMYSKCYNIDDAIKAFNTMPGHDVVSWNGLIAGQLLHRQGDEALAIWSSMEKAGIKPDAITFVLIVSAYKFTSSNLLDECRSLFLSMKMIHDLEPTSEHYASLVGVLGYWGLLEEAEELINKMPFDPEVSVWRALLDGCRLHANTSIGKRVAKHIIGMEPRDPSTYVLVSNLYAASGRWHCSEMVRENMRDRGLRKHPCRSWVIIKKQLHTFYARDKSHPQSKDIYSGLDILILKCLKAGYEPDMSFVLQEVEEQQKKDFLFYHSAKLAATYGLLKTRPGEPIRVVKNILLCRDCHTFLKYATVVTQREIIFRDASGFHCFSNGQCSCKGYW >Potri.014G152800.1.v4.1 pep chromosome:Pop_tri_v4:14:10719837:10720822:1 gene:Potri.014G152800.v4.1 transcript:Potri.014G152800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152800.v4.1 MGVITLENEFAVAVAPAKLFKAYCLETDTLLPKILPEHIKSSEIIEGNGGPGAIRKITFAEGKHLSYAKQKIEAIDEENLTYSFSLIEANVWKDAVEKVTYEHKFVATPEGGSICKRTSTYYIKGDAEINKDQIKDVYGKKTAGLFKAVEAYFLANPDA >Potri.009G148000.1.v4.1 pep chromosome:Pop_tri_v4:9:11687680:11688609:-1 gene:Potri.009G148000.v4.1 transcript:Potri.009G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148000.v4.1 MMAMVPSFFNNRRGSSILDPFSAFDIWDPLKDFPFTSSNSLISRENSASVNTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERSVEKEDKNDTWHRVERRSGKFSRRFRLPENAKVDQVKASMENGVLTVTVPKEEVKKPEVKAIEIFG >Potri.009G010600.1.v4.1 pep chromosome:Pop_tri_v4:9:2022686:2026896:1 gene:Potri.009G010600.v4.1 transcript:Potri.009G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010600.v4.1 MASTGGAAPGTYTPTPTKKTQRSSFFSDSDVDWLRPDGRGFHQCRPAFFRTGAVNSAAGSAYAEFGNTKVIVSVFGPRESKKAMVYSDVGRLNCNVSCTTFATPARGLGSDNKEFSSMLHKALEGAIMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVAGVSVSCLGRNLIIDPILEEESFQDGSLMITCMPSRYEVTQLSITGEWSTAKLNEAMQLCLDACSKLDKIMRACLKEAASASLD >Potri.013G075166.1.v4.1 pep chromosome:Pop_tri_v4:13:6305310:6305873:1 gene:Potri.013G075166.v4.1 transcript:Potri.013G075166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075166.v4.1 MNKKKNNDPFISISSNETKSIQLKNQNHEEKEYKDQVDLESVLVNQEKDVEEDYVRSDRKECRKKMQYKSNTEAELDFLLKRYLCFQLTMMIL >Potri.001G005100.1.v4.1 pep chromosome:Pop_tri_v4:1:343098:345231:1 gene:Potri.001G005100.v4.1 transcript:Potri.001G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005100.v4.1 MRKPTSATTAESAAKTTPCCIKVGLKRGPWTPEEDELLVNYIKKEGEGRWRTLPKKAGLLRCGKSCRLRWMNYLRPSVKRGQIADDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLNPQSFDQSKPSLSKANLHQARLKEPIKNIVSSGLEEATSGSTRIINRENEYFQNNINAHLDDQYHADHFIASHGYTSLLNYDGTSGMDLKGDQSLGIGEADQDINSGTDDVFSFLNSLINEEALQQHQILNVPNVNCAPPSSDPFVSIAATTFGLGTGWESTLMSSAFNQNESK >Potri.012G032700.1.v4.1 pep chromosome:Pop_tri_v4:12:834306:835653:-1 gene:Potri.012G032700.v4.1 transcript:Potri.012G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G032700.v4.1 MSDASKISESPNTKNKYEKIISNLRQDDGWKPVGKLYEYQGFWYGPSLVRNVISAQESFTPQPTDIVLCSSPKSGTAWLKALAFSIVSRNQVNDSTNPLLKKLPHEIVPFLEIELAQDSNNRNLETPFVATHIPYSSLPRSIIDSSCKIIYICRDPKDVLISHWNFDQQVSGIGSESFPLEEALEQYCKGIYPFGPYWDHVLGFWKASLEFPEKVLFVKYEDLKTDGPFHVKRMAKFMGHPFSIEEEQQGAPEKIVSMCSFENLSRLEVNKNGKYYLPDLPTFENKSFFRKGKAGDWKNYLTDGKAVKFNQIMEEKFSGSGLV >Potri.011G103100.1.v4.1 pep chromosome:Pop_tri_v4:11:13115754:13117590:-1 gene:Potri.011G103100.v4.1 transcript:Potri.011G103100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103100.v4.1 MDTKFQALPPFKRHTLLEQQQQQENEENVPFSSQLPAKKRKESRNPLLADADPKGTTSAAYCLPTKKRVWALHPDLVSGKPLSPLIDLNVEYIPSSNEEIKADEENKKTPLPIINKSIDSLQQEEKVTFLYENNQQEYKEEEDDGILCAICQSTDGDPTDPIVFCDGCDLMVHTTCYGNPLIKGVPDGDWFCSQCLASKSDKETKQPSLSCCLCPIKGGALKPTATIGRDESWAHIVCALLIPEVFFEDPDGREGIVYSKVPKRRWEEKCYVCKSRKGCVIDCSEPKCPLAFHVTCGLNEDVYIEYKEGKKKETIVAGFCKRHTELWDKQQQTGKFKIVAREEHRK >Potri.001G369000.1.v4.1 pep chromosome:Pop_tri_v4:1:38658609:38662052:-1 gene:Potri.001G369000.v4.1 transcript:Potri.001G369000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369000.v4.1 MMGIALKGYFVVLLLALLSSPEAVFGIRFVIDREECFSHDVKYEGDTVHVSFVVVKVDSSWHYSDDGVDLVVKGPSGDQIHDFRDKISEKIEFVAHQKGVHRFCFTNKSPYHETIDFDVHVGHFSYYDEHAKDEHFQPLLEQISKLEEALYNIQFEQHWLEAQTDRQAIINDAMSRRTIHKAFFESAALVAASILQVYLLRRLFERKLGMSRV >Potri.010G061100.1.v4.1 pep chromosome:Pop_tri_v4:10:9097403:9098444:-1 gene:Potri.010G061100.v4.1 transcript:Potri.010G061100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061100.v4.1 MAEGVKLFGALLSPFVYRVIWTLKLKAIPYEFIEEDLPNKSPLLLKYNPVHKKIPVLLHGDKPVCESMIIVEYIDEMWPQNPLLPNDPYERALARFWVKFAEDKGTSVWRLFRVKEEELEMTRKETLEMLQNVEEHGLGEKKFFGGDSVGMADIAFGSVVYWLEVVEEVLGGGVIFEAHKFPRLHAWMKNFKQAPIIKENLPDRDWLVTFFKRRREAMLAASA >Potri.001G014100.1.v4.1 pep chromosome:Pop_tri_v4:1:995702:998233:1 gene:Potri.001G014100.v4.1 transcript:Potri.001G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014100.v4.1 MDSKFAFSCLLFSFLVSLHSVISQTSGTVNVGESLTAMVQNPPWLSPSNDFAFGFHQISKNDDFFLLAIWYYKIPDKTVVWYANGGNPAPRGSKVELTADRGLVLKDPRDSEIWRSGFSGGTVTHGVMNDTGNFVLFNVSSGSQAVWQSFSYPNDTLLPTQTMKIEGMLSSRKSETNFSQGRFQFRLQTNGIAVLNPINLPSKHQYDPYYTTGTQDAANSSNAGIQVVFDELGYLYVRKRNDERFNLTPDEMVPVTGYYHKATLNFDGVFTISRHPKNFSSNETWTVITTIPKKICLELNGPQGSGICGFNNVCKLKDDQRPTCECPPGYSLVDPDDKYGSCKPDFLRGCEVDGQRPQEDLYTSVELQNTDWPPSDYELIKPCSQEDCRKSCMQDCFCAAAVSKDDNCWKKKLPLSNGREHNEVSSMAFLKVSKANSTLQKPPIAENNQDSLIIIVSVLLGGSVIVIFVLAGLLCSGSFFYHKKHAENHQQESSMGMNLRCLTYKELEDATNGFNEELGRGSFGIVYKGVIETGSTVPISIAVKKLDRLVKDGDEEFKTEVKVIGQTHHKNLVRLLGYCNEGQNRLLVYEFLSNGTLASLLFGDLKPGWHQRTQIALGTGKGLLYLHEECSTQIIHCDIKPQNILLDGSYNARISDFGLAKLLMINQTHTKTNIRGTRGYVAPEWFRSKPITVKVDVYSFGVMLLEIISCRRSVGIETGENDREILTDWAYDCFHRGTLDALVDDDPEATSDMERLEKYVMIALWCIQEDPSLRPTMKKVMLMLEGIVQVAIPPCPCSFSGIIS >Potri.005G084000.1.v4.1 pep chromosome:Pop_tri_v4:5:5792383:5793404:1 gene:Potri.005G084000.v4.1 transcript:Potri.005G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084000.v4.1 MGELFPRGSSASIDDLPETPKTPKPPPSPPLPQTQHQQLSLVQPPQKKKHKPKVFRILRSVFRTFPIITSPACKIPVLSGGLLESARGISGSKVTGTLFGYRKGRVSLSVQENPRCLPSLVVELSMQTSVLQKEMSTGMLRIALECEKRSDKDKIRVLDEPLWTMFCNGRKGGYGVKRDASEEDLNVMELLKAVSMGAGVLPGNSVVEGPDGELAYMRAHFERVVGSKDSETLYMISPEGDTGPELSIFFVRV >Potri.009G111446.2.v4.1 pep chromosome:Pop_tri_v4:9:9534725:9535170:1 gene:Potri.009G111446.v4.1 transcript:Potri.009G111446.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111446.v4.1 MTGGILAHEFMHAWLRLQGDRVSRLNPEIEEGICQVMGYQWLDWFEAVDPEASSSRSEKAQFMRNLKKTFKGEVENMLDGAYGDGFRDAQWAVSRYGLDHVIRHIIRHKTLP >Potri.009G111446.1.v4.1 pep chromosome:Pop_tri_v4:9:9534725:9535179:1 gene:Potri.009G111446.v4.1 transcript:Potri.009G111446.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111446.v4.1 MTGGILAHEFMHAWLRLQGVSRLNPEIEEGICQVMGYQWLDWFEAVDPEASSSRSEKAQFMRNLKKTFKGEVENMLDGAYGDGFRDAQWAVSRYGLDHVIRHIIRHKTLPRE >Potri.019G127200.3.v4.1 pep chromosome:Pop_tri_v4:19:15033069:15036651:1 gene:Potri.019G127200.v4.1 transcript:Potri.019G127200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G127200.v4.1 MTMLTTLALGQNNLSGYVPSEIGQLKSLEKLSFVKNKLHGPLPLEMNNLTHLKSLSLSDNEFTGYLPQEVCHGGVLENLTAANNYFSGSIPKSLKNCTSLHRLRFDRNQLTGNISEDFGIYPHLDYVDLSYNNFYGKLSLKWGDYRNITSLKISNNNVSGEIPAELGKATQLQLIDLTSNHLEGTIPKELGGLKLLYSLTLSNNRLSGGIPSDIKMLSSLKILDLASNSLSGSIPKQLGECSNLLLLNLSDNKFTNSIPQEIGFLRSLQDLDLSCNFLVQEIPWQLGQLQMLETLNVSHNMLSGLIPRSFKNLLSLTVVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASRLKPCNLPKSSRTVKRKSNKLVILIVLPLLGSLLLVIVVIGALFILRQRARKRKAEPENEQDRNIFTILGHDGKKLYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQAEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHAKHSFLVYEFIERGSLRKIITSEEQAIEFDWMKRLNVVKGMAGALSYLHHSCSPPIIHRDITSNNVLLDLEYEAHVSDFGTARMLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMMGRHPGDLVSTLSSQATSSSSSMPPISQQTLLKDVLDQRISLPKKRAAEGVVHIMKIALACLHPNPQSRPTMGRISSELATNWPPLPKEFYTTSLEDIFSHTCS >Potri.006G038250.1.v4.1 pep chromosome:Pop_tri_v4:6:2506619:2507876:1 gene:Potri.006G038250.v4.1 transcript:Potri.006G038250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038250.v4.1 MLFHYTNLVVFPEMSTQPDALYSWYPRQNQLINYLVWKAQKAATTIRESVDEIGYIVANTTLNLCRANFKRLRLSIFK >Potri.010G070700.1.v4.1 pep chromosome:Pop_tri_v4:10:9884081:9884704:-1 gene:Potri.010G070700.v4.1 transcript:Potri.010G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070700.v4.1 MDSVQDFSSTATHPTITIPLNTTTTTTSPSSSSPITTTTAATSPSTLSRYENQKRRDWNTFGQYLRNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTQLCPFFGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEEHGGKPENNPFGARAVRLYLREVRDSQAKARGISYEKKKRKRPPQQHNPASLMPAQAPPESTTGASNHDQ >Potri.005G180100.7.v4.1 pep chromosome:Pop_tri_v4:5:18610468:18620435:-1 gene:Potri.005G180100.v4.1 transcript:Potri.005G180100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180100.v4.1 MSKFPSSKLQDPTSSSAPESKEQNGTAEMDDSEDTMAVVARFIEKLHSRISSPPEKELVTARLLRLAKARKEARAVIGSHAQAMPLFISILRSGTSGAKVNVASTLSALCKDDDLRVKVLLGGCIPPLLSLLKSESIEARKAAAEAIYEVSSGSVSDDQVGIKIFATEGVTPTLWEQLNPKNKQDKVVQGFVTGALRNLCGDKDNYWRAMLEAGGVDIIVGLLSSDNATAQSNAASLLARLMLAFGDSIPKVIDSGAVRALLQLVGQNNDISVRASAADALEALSSKSTKAKEAIVDAGGVPILIGAIVAPSKECMQGEFGQALQGHATRALANICGGMSALILYLGELSQSPRLAAPVADIIGALAYALMVFEKNAATAEETFDATKIEDILVKLLKPRDNKLVQERVLEAMASLYGNIYLSICLDYAEAKKVLIGLITMAVGDPQEYLILSLTSLCCGGVGIWDAIGKREGIQLLISLLGLSSEQHQEYGVRFLAILTDQVDDSKWAITAAGGIPPLVQLLEAGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTRLVQTADSTTINQLLALLLGDSSGSKAYAIRVLGHVLTMASHKDLVQRGSAANQALRSLIQILNSSDEETQESAASVLADLFTTRQDICDSLATDEIVHPCMKLLTSNNTQVVATQLARALGALSRPTKTKSTMKMPYIAEGDVKPLIKLAKTSIDAAETAIAALANLLSDPQIAAEALAEDVVGALTRVLGEGTSEGKKNASRALHQLLIHFPVGDVLGGNAQCRFSVLAILDSLNSMGMDGTDITDALEVVALLVRMKHGVNFTYLPRAVLLEVPSSLDPLARLLAEGPPLLQDKAIEILSQLCGDQPGVLGDLLIARSRSIDSLANRIINSSSLEVKIGGITLLICAAKEHTQQSVEALDVSGYLKPLIYALVNIMKQNTCYSSLEMQVRTPRGFFERSAFQEGDEFDVLDPVIVLGGTVALWLLSIISSIYAKSKLIVMEAGGLEALSDRLFSYTSTPQAEFEDTEGIWISALLLAFLFQDPNIVLSPTTMHIIPSLAHLMRSDEVIDKFFAAQAMASLVCNGSKGISLTIANSGAVAGLITLIGFIELDMPNLVALSEEFSLVRSPDQVILEHLFEIEDVRFGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLSRLAEGSDANKLIMAEAGALDALTKYLSLSPQDSTEASISELLRILFSNPDLIRYEASFSSLNQLIAVLRLGSRDARFSAARALHELFDAESIRDSELAWQAVQPLIDMLNAASESEQEAALFALIKLISGHNSKRTLFVDVEGNPLESLYKILSSASSLELKRNAAELCSILFSNAKFRSNPIASECIQPLISLIQSDNTAVVESVVCAFERLLDDELKVELAAAYVNIVDLLVGLVSGTNLRLIEGSISALIKLGKDRAPRKLDMVKAGIIDKCLVLLPIVPSSLCSAIAELFRILTNSGAIARSSDAAKVVEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISISWPKVVADAGGIFELAKVIIQDDPQPPVELWETAALVLSNVLRVNAEYYFKVPMVVLVKMLHSTCESTIKVALNGLIVHERTDASSAEQMTEAGVIDSLLNLLRSHQCEELSGTLLEALFNHIRVREKKASKYAIAPLSQYLLDPQTRSETCRFLAALALGDLSQQEGLARASDSVSACRALVSLLEDQPSEAMTMVAVCALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSADVAGQAAMLIELLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQFLRTLNVIFANFPKLHVSEAATLCIPHLVNALKSGSEAAQESVLDTLCLLKQSWSTMSIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADLLLHCLPGSLTVTINRGNNLKQAMGTTNAFCRLTIGNGPPRQTKVVSHSISPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKNTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIVWTNRTSE >Potri.005G180100.5.v4.1 pep chromosome:Pop_tri_v4:5:18610131:18620138:-1 gene:Potri.005G180100.v4.1 transcript:Potri.005G180100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180100.v4.1 MSKFPSSKLQDPTSSSAPESKEQNGTAEMDDSEDTMAVVARFIEKLHSRISSPPEKELVTARLLRLAKARKEARAVIGSHAQAMPLFISILRSGTSGAKVNVASTLSALCKDDDLRVKVLLGGCIPPLLSLLKSESIEARKAAAEAIYEVSSGSVSDDQVGIKIFATEGVTPTLWEQLNPKNKQDKVVQGFVTGALRNLCGDKDNYWRAMLEAGGVDIIVGLLSSDNATAQSNAASLLARLMLAFGDSIPKVIDSGAVRALLQLVGQNNDISVRASAADALEALSSKSTKAKEAIVDAGGVPILIGAIVAPSKECMQGEFGQALQGHATRALANICGGMSALILYLGELSQSPRLAAPVADIIGALAYALMVFEKNAATAEETFDATKIEDILVKLLKPRDNKLVQERVLEAMASLYGNIYLSICLDYAEAKKVLIGLITMAVGDPQEYLILSLTSLCCGGVGIWDAIGKREGIQLLISLLGLSSEQHQEYGVRFLAILTDQVDDSKWAITAAGGIPPLVQLLEAGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTRLVQTADSTTINQLLALLLGDSSGSKAYAIRVLGHVLTMASHKDLVQRGSAANQALRSLIQILNSSDEETQESAASVLADLFTTRQDICDSLATDEIVHPCMKLLTSNNTQVVATQLARALGALSRPTKTKSTMKMPYIAEGDVKPLIKLAKTSIDAAETAIAALANLLSDPQIAAEALAEDVVGALTRVLGEGTSEGKKNASRALHQLLIHFPVGDVLGGNAQCRFSVLAILDSLNSMGMDGTDITDALEVVALLVRMKHGVNFTYLPRAVLLEVPSSLDPLARLLAEGPPLLQDKAIEILSQLCGDQPGVLGDLLIARSRSIDSLANRIINSSSLEVKIGGITLLICAAKEHTQQSVEALDVSGYLKPLIYALVNIMKQNTCYSSLEMQVRTPRGFFERSAFQEGDEFDVLDPVIVLGGTVALWLLSIISSIYAKSKLIVMEAGGLEALSDRLFSYTSTPQAEFEDTEGIWISALLLAFLFQDPNIVLSPTTMHIIPSLAHLMRSDEVIDKFFAAQAMASLVCNGSKGISLTIANSGAVAGLITLIGFIELDMPNLVALSEEFSLVRSPDQVILEHLFEIEDVRFGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLSRLAEGSDANKLIMAEAGALDALTKYLSLSPQDSTEASISELLRILFSNPDLIRYEASFSSLNQLIAVLRLGSRDARFSAARALHELFDAESIRDSELAWQAVQPLIDMLNAASESEQEAALFALIKLISGHNSKRTLFVDVEGNPLESLYKILSSASSLELKRNAAELCSILFSNAKFRSNPIASECIQPLISLIQSDNTAVVESVVCAFERLLDDELKVELAAAYVNIVDLLVGLVSGTNLRLIEGSISALIKLGKDRAPRKLDMVKAGIIDKCLVLLPIVPSSLCSAIAELFRILTNSGAIARSSDAAKVVEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISISWPKVVADAGGIFELAKVIIQDDPQPPVELWETAALVLSNVLRVNAEYYFKVPMVVLVKMLHSTCESTIKVALNGLIVHERTDASSAEQMTEAGVIDSLLNLLRSHQCEELSGTLLEALFNHIRVREKKASKYAIAPLSQYLLDPQTRSETCRFLAALALGDLSQQEGLARASDSVSACRALVSLLEDQPSEAMTMVAVCALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSADVAGQAAMLIELLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQFLRTLNVIFANFPKLHVSEAATLCIPHLVNALKSGSEAAQESVLDTLCLLKQSWSTMSIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADLLLHCLPGSLTVTINRGNNLKQAMGTTNAFCRLTIGNGPPRQTKVVSHSISPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKNTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIVWTNRTSE >Potri.005G180100.10.v4.1 pep chromosome:Pop_tri_v4:5:18610466:18620138:-1 gene:Potri.005G180100.v4.1 transcript:Potri.005G180100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180100.v4.1 MSKFPSSKLQDPTSSSAPESKEQNGTAEMDDSEDTMAVVARFIEKLHSRISSPPEKELVTARLLRLAKARKEARAVIGSHAQAMPLFISILRSGTSGAKVNVASTLSALCKDDDLRVKVLLGGCIPPLLSLLKSESIEARKAAAEAIYEVSSGSVSDDQVGIKIFATEGVTPTLWEQLNPKNKQDKVVQGFVTGALRNLCGDKDNYWRAMLEAGGVDIIVGLLSSDNATAQSNAASLLARLMLAFGDSIPKVIDSGAVRALLQLVGQNNDISVRASAADALEALSSKSTKAKEAIVDAGGVPILIGAIVAPSKECMQGEFGQALQGHATRALANICGGMSALILYLGELSQSPRLAAPVADIIGALAYALMVFEKNAATAEETFDATKIEDILVKLLKPRDNKLVQERVLEAMASLYGNIYLSICLDYAEAKKVLIGLITMAVGDPQEYLILSLTSLCCGGVGIWDAIGKREGIQLLISLLGLSSEQHQEYGVRFLAILTDQVDDSKWAITAAGGIPPLVQLLEAGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTRLVQTADSTTINQLLALLLGDSSGSKAYAIRVLGHVLTMASHKDLVQRGSAANQALRSLIQILNSSDEETQESAASVLADLFTTRQDICDSLATDEIVHPCMKLLTSNNTQVVATQLARALGALSRPTKTKSTMKMPYIAEGDVKPLIKLAKTSIDAAETAIAALANLLSDPQIAAEALAEDVVGALTRVLGEGTSEGKKNASRALHQLLIHFPVGDVLGGNAQCRFSVLAILDSLNSMGMDGTDITDALEVVALLVRMKHGVNFTYLPRAVLLEVPSSLDPLARLLAEGPPLLQDKAIEILSQLCGDQPGVLGDLLIARSRSIDSLANRIINSSSLEVKIGGITLLICAAKEHTQQSVEALDVSGYLKPLIYALVNIMKQNTCYSSLEMQVRTPRGFFERSAFQEGDEFDVLDPVIVLGGTVALWLLSIISSIYAKSKLIVMEAGGLEALSDRLFSYTSTPQAEFEDTEGIWISALLLAFLFQDPNIVLSPTTMHIIPSLAHLMRSDEVIDKFFAAQAMASLVCNGSKGISLTIANSGAVAGLITLIGFIELDMPNLVALSEEFSLVRSPDQVILEHLFEIEDVRFGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLSRLAEGSDANKLIMAEAGALDALTKYLSLSPQDSTEASISELLRILFSNPDLIRYEASFSSLNQLIAVLRLGSRDARFSAARALHELFDAESIRDSELAWQAVQPLIDMLNAASESEQEAALFALIKLISGHNSKRTLFVDVEGNPLESLYKILSSASSLELKRNAAELCSILFSNAKFRSNPIASECIQPLISLIQSDNTAVVESVVCAFERLLDDELKVELAAAYVNIVDLLVGLVSGTNLRLIEGSISALIKLGKDRAPRKLDMVKAGIIDKCLVLLPIVPSSLCSAIAELFRILTNSGAIARSSDAAKVVEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISISWPKVVADAGGIFELAKVIIQDDPQPPVELWETAALVLSNVLRVNAEYYFKVPMVVLVKMLHSTCESTIKVALNGLIVHERTDASSAEQMTEAGVIDSLLNLLRSHQCEELSGTLLEALFNHIRVREKKASKYAIAPLSQYLLDPQTRSETCRFLAALALGDLSQQEGLARASDSVSACRALVSLLEDQPSEAMTMVAVCALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSADVAGQAAMLIELLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQFLRTLNVIFANFPKLHVSEAATLCIPHLVNALKSGSEAAQESVLDTLCLLKQSWSTMSIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADLLLHCLPGSLTVTINRGNNLKQAMGTTNAFCRLTIGNGPPRQTKVVSHSISPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKNTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIVWTNRTSE >Potri.005G180100.11.v4.1 pep chromosome:Pop_tri_v4:5:18610394:18620010:-1 gene:Potri.005G180100.v4.1 transcript:Potri.005G180100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180100.v4.1 MSKFPSSKLQDPTSSSAPESKEQNGTAEMDDSEDTMAVVARFIEKLHSRISSPPEKELVTARLLRLAKARKEARAVIGSHAQAMPLFISILRSGTSGAKVNVASTLSALCKDDDLRVKVLLGGCIPPLLSLLKSESIEARKAAAEAIYEVSSGSVSDDQVGIKIFATEGVTPTLWEQLNPKNKQDKVVQGFVTGALRNLCGDKDNYWRAMLEAGGVDIIVGLLSSDNATAQSNAASLLARLMLAFGDSIPKVIDSGAVRALLQLVGQNNDISVRASAADALEALSSKSTKAKEAIVDAGGVPILIGAIVAPSKECMQGEFGQALQGHATRALANICGGMSALILYLGELSQSPRLAAPVADIIGALAYALMVFEKNAATAEETFDATKIEDILVKLLKPRDNKLVQERVLEAMASLYGNIYLSICLDYAEAKKVLIGLITMAVGDPQEYLILSLTSLCCGGVGIWDAIGKREGIQLLISLLGLSSEQHQEYGVRFLAILTDQVDDSKWAITAAGGIPPLVQLLEAGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTRLVQTADSTTINQLLALLLGDSSGSKAYAIRVLGHVLTMASHKDLVQRGSAANQALRSLIQILNSSDEETQESAASVLADLFTTRQDICDSLATDEIVHPCMKLLTSNNTQVVATQLARALGALSRPTKTKSTMKMPYIAEGDVKPLIKLAKTSIDAAETAIAALANLLSDPQIAAEALAEDVVGALTRVLGEGTSEGKKNASRALHQLLIHFPVGDVLGGNAQCRFSVLAILDSLNSMGMDGTDITDALEVVALLVRMKHGVNFTYLPRAVLLEVPSSLDPLARLLAEGPPLLQDKAIEILSQLCGDQPGVLGDLLIARSRSIDSLANRIINSSSLEVKIGGITLLICAAKEHTQQSVEALDVSGYLKPLIYALVNIMKQNTCYSSLEMQVRTPRGFFERSAFQEGDEFDVLDPVIVLGGTVALWLLSIISSIYAKSKLIVMEAGGLEALSDRLFSYTSTPQAEFEDTEGIWISALLLAFLFQDPNIVLSPTTMHIIPSLAHLMRSDEVIDKFFAAQAMASLVCNGSKGISLTIANSGAVAGLITLIGFIELDMPNLVALSEEFSLVRSPDQVILEHLFEIEDVRFGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLSRLAEGSDANKLIMAEAGALDALTKYLSLSPQDSTEASISELLRILFSNPDLIRYEASFSSLNQLIAVLRLGSRDARFSAARALHELFDAESIRDSELAWQAVQPLIDMLNAASESEQEAALFALIKLISGHNSKRTLFVDVEGNPLESLYKILSSASSLELKRNAAELCSILFSNAKFRSNPIASECIQPLISLIQSDNTAVVESVVCAFERLLDDELKVELAAAYVNIVDLLVGLVSGTNLRLIEGSISALIKLGKDRAPRKLDMVKAGIIDKCLVLLPIVPSSLCSAIAELFRILTNSGAIARSSDAAKVVEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISISWPKVVADAGGIFELAKVIIQDDPQPPVELWETAALVLSNVLRVNAEYYFKVPMVVLVKMLHSTCESTIKVALNGLIVHERTDASSAEQMTEAGVIDSLLNLLRSHQCEELSGTLLEALFNHIRVREKKASKYAIAPLSQYLLDPQTRSETCRFLAALALGDLSQQEGLARASDSVSACRALVSLLEDQPSEAMTMVAVCALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSADVAGQAAMLIELLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQFLRTLNVIFANFPKLHVSEAATLCIPHLVNALKSGSEAAQESVLDTLCLLKQSWSTMSIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADLLLHCLPGSLTVTINRGNNLKQAMGTTNAFCRLTIGNGPPRQTKVVSHSISPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKNTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIVWTNRTSE >Potri.005G180100.8.v4.1 pep chromosome:Pop_tri_v4:5:18610449:18620431:-1 gene:Potri.005G180100.v4.1 transcript:Potri.005G180100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180100.v4.1 MSKFPSSKLQDPTSSSAPESKEQNGTAEMDDSEDTMAVVARFIEKLHSRISSPPEKELVTARLLRLAKARKEARAVIGSHAQAMPLFISILRSGTSGAKVNVASTLSALCKDDDLRVKVLLGGCIPPLLSLLKSESIEARKAAAEAIYEVSSGSVSDDQVGIKIFATEGVTPTLWEQLNPKNKQDKVVQGFVTGALRNLCGDKDNYWRAMLEAGGVDIIVGLLSSDNATAQSNAASLLARLMLAFGDSIPKVIDSGAVRALLQLVGQNNDISVRASAADALEALSSKSTKAKEAIVDAGGVPILIGAIVAPSKECMQGEFGQALQGHATRALANICGGMSALILYLGELSQSPRLAAPVADIIGALAYALMVFEKNAATAEETFDATKIEDILVKLLKPRDNKLVQERVLEAMASLYGNIYLSICLDYAEAKKVLIGLITMAVGDPQEYLILSLTSLCCGGVGIWDAIGKREGIQLLISLLGLSSEQHQEYGVRFLAILTDQVDDSKWAITAAGGIPPLVQLLEAGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTRLVQTADSTTINQLLALLLGDSSGSKAYAIRVLGHVLTMASHKDLVQRGSAANQALRSLIQILNSSDEETQESAASVLADLFTTRQDICDSLATDEIVHPCMKLLTSNNTQVVATQLARALGALSRPTKTKSTMKMPYIAEGDVKPLIKLAKTSIDAAETAIAALANLLSDPQIAAEALAEDVVGALTRVLGEGTSEGKKNASRALHQLLIHFPVGDVLGGNAQCRFSVLAILDSLNSMGMDGTDITDALEVVALLVRMKHGVNFTYLPRAVLLEVPSSLDPLARLLAEGPPLLQDKAIEILSQLCGDQPGVLGDLLIARSRSIDSLANRIINSSSLEVKIGGITLLICAAKEHTQQSVEALDVSGYLKPLIYALVNIMKQNTCYSSLEMQVRTPRGFFERSAFQEGDEFDVLDPVIVLGGTVALWLLSIISSIYAKSKLIVMEAGGLEALSDRLFSYTSTPQAEFEDTEGIWISALLLAFLFQDPNIVLSPTTMHIIPSLAHLMRSDEVIDKFFAAQAMASLVCNGSKGISLTIANSGAVAGLITLIGFIELDMPNLVALSEEFSLVRSPDQVILEHLFEIEDVRFGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLSRLAEGSDANKLIMAEAGALDALTKYLSLSPQDSTEASISELLRILFSNPDLIRYEASFSSLNQLIAVLRLGSRDARFSAARALHELFDAESIRDSELAWQAVQPLIDMLNAASESEQEAALFALIKLISGHNSKRTLFVDVEGNPLESLYKILSSASSLELKRNAAELCSILFSNAKFRSNPIASECIQPLISLIQSDNTAVVESVVCAFERLLDDELKVELAAAYVNIVDLLVGLVSGTNLRLIEGSISALIKLGKDRAPRKLDMVKAGIIDKCLVLLPIVPSSLCSAIAELFRILTNSGAIARSSDAAKVVEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISISWPKVVADAGGIFELAKVIIQDDPQPPVELWETAALVLSNVLRVNAEYYFKVPMVVLVKMLHSTCESTIKVALNGLIVHERTDASSAEQMTEAGVIDSLLNLLRSHQCEELSGTLLEALFNHIRVREKKASKYAIAPLSQYLLDPQTRSETCRFLAALALGDLSQQEGLARASDSVSACRALVSLLEDQPSEAMTMVAVCALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSADVAGQAAMLIELLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQFLRTLNVIFANFPKLHVSEAATLCIPHLVNALKSGSEAAQESVLDTLCLLKQSWSTMSIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADLLLHCLPGSLTVTINRGNNLKQAMGTTNAFCRLTIGNGPPRQTKVVSHSISPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKNTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIVWTNRTSE >Potri.005G180100.9.v4.1 pep chromosome:Pop_tri_v4:5:18610467:18620392:-1 gene:Potri.005G180100.v4.1 transcript:Potri.005G180100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180100.v4.1 MSKFPSSKLQDPTSSSAPESKEQNGTAEMDDSEDTMAVVARFIEKLHSRISSPPEKELVTARLLRLAKARKEARAVIGSHAQAMPLFISILRSGTSGAKVNVASTLSALCKDDDLRVKVLLGGCIPPLLSLLKSESIEARKAAAEAIYEVSSGSVSDDQVGIKIFATEGVTPTLWEQLNPKNKQDKVVQGFVTGALRNLCGDKDNYWRAMLEAGGVDIIVGLLSSDNATAQSNAASLLARLMLAFGDSIPKVIDSGAVRALLQLVGQNNDISVRASAADALEALSSKSTKAKEAIVDAGGVPILIGAIVAPSKECMQGEFGQALQGHATRALANICGGMSALILYLGELSQSPRLAAPVADIIGALAYALMVFEKNAATAEETFDATKIEDILVKLLKPRDNKLVQERVLEAMASLYGNIYLSICLDYAEAKKVLIGLITMAVGDPQEYLILSLTSLCCGGVGIWDAIGKREGIQLLISLLGLSSEQHQEYGVRFLAILTDQVDDSKWAITAAGGIPPLVQLLEAGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTRLVQTADSTTINQLLALLLGDSSGSKAYAIRVLGHVLTMASHKDLVQRGSAANQALRSLIQILNSSDEETQESAASVLADLFTTRQDICDSLATDEIVHPCMKLLTSNNTQVVATQLARALGALSRPTKTKSTMKMPYIAEGDVKPLIKLAKTSIDAAETAIAALANLLSDPQIAAEALAEDVVGALTRVLGEGTSEGKKNASRALHQLLIHFPVGDVLGGNAQCRFSVLAILDSLNSMGMDGTDITDALEVVALLVRMKHGVNFTYLPRAVLLEVPSSLDPLARLLAEGPPLLQDKAIEILSQLCGDQPGVLGDLLIARSRSIDSLANRIINSSSLEVKIGGITLLICAAKEHTQQSVEALDVSGYLKPLIYALVNIMKQNTCYSSLEMQVRTPRGFFERSAFQEGDEFDVLDPVIVLGGTVALWLLSIISSIYAKSKLIVMEAGGLEALSDRLFSYTSTPQAEFEDTEGIWISALLLAFLFQDPNIVLSPTTMHIIPSLAHLMRSDEVIDKFFAAQAMASLVCNGSKGISLTIANSGAVAGLITLIGFIELDMPNLVALSEEFSLVRSPDQVILEHLFEIEDVRFGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLSRLAEGSDANKLIMAEAGALDALTKYLSLSPQDSTEASISELLRILFSNPDLIRYEASFSSLNQLIAVLRLGSRDARFSAARALHELFDAESIRDSELAWQAVQPLIDMLNAASESEQEAALFALIKLISGHNSKRTLFVDVEGNPLESLYKILSSASSLELKRNAAELCSILFSNAKFRSNPIASECIQPLISLIQSDNTAVVESVVCAFERLLDDELKVELAAAYVNIVDLLVGLVSGTNLRLIEGSISALIKLGKDRAPRKLDMVKAGIIDKCLVLLPIVPSSLCSAIAELFRILTNSGAIARSSDAAKVVEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISISWPKVVADAGGIFELAKVIIQDDPQPPVELWETAALVLSNVLRVNAEYYFKVPMVVLVKMLHSTCESTIKVALNGLIVHERTDASSAEQMTEAGVIDSLLNLLRSHQCEELSGTLLEALFNHIRVREKKASKYAIAPLSQYLLDPQTRSETCRFLAALALGDLSQQEGLARASDSVSACRALVSLLEDQPSEAMTMVAVCALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSADVAGQAAMLIELLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQFLRTLNVIFANFPKLHVSEAATLCIPHLVNALKSGSEAAQESVLDTLCLLKQSWSTMSIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADLLLHCLPGSLTVTINRGNNLKQAMGTTNAFCRLTIGNGPPRQTKVVSHSISPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKNTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIVWTNRTSE >Potri.005G180100.12.v4.1 pep chromosome:Pop_tri_v4:5:18610468:18619481:-1 gene:Potri.005G180100.v4.1 transcript:Potri.005G180100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180100.v4.1 MSKFPSSKLQDPTSSSAPESKEQNGTAEMDDSEDTMAVVARFIEKLHSRISSPPEKELVTARLLRLAKARKEARAVIGSHAQAMPLFISILRSGTSGAKVNVASTLSALCKDDDLRVKVLLGGCIPPLLSLLKSESIEARKAAAEAIYEVSSGSVSDDQVGIKIFATEGVTPTLWEQLNPKNKQDKVVQGFVTGALRNLCGDKDNYWRAMLEAGGVDIIVGLLSSDNATAQSNAASLLARLMLAFGDSIPKVIDSGAVRALLQLVGQNNDISVRASAADALEALSSKSTKAKEAIVDAGGVPILIGAIVAPSKECMQGEFGQALQGHATRALANICGGMSALILYLGELSQSPRLAAPVADIIGALAYALMVFEKNAATAEETFDATKIEDILVKLLKPRDNKLVQERVLEAMASLYGNIYLSICLDYAEAKKVLIGLITMAVGDPQEYLILSLTSLCCGGVGIWDAIGKREGIQLLISLLGLSSEQHQEYGVRFLAILTDQVDDSKWAITAAGGIPPLVQLLEAGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTRLVQTADSTTINQLLALLLGDSSGSKAYAIRVLGHVLTMASHKDLVQRGSAANQALRSLIQILNSSDEETQESAASVLADLFTTRQDICDSLATDEIVHPCMKLLTSNNTQVVATQLARALGALSRPTKTKSTMKMPYIAEGDVKPLIKLAKTSIDAAETAIAALANLLSDPQIAAEALAEDVVGALTRVLGEGTSEGKKNASRALHQLLIHFPVGDVLGGNAQCRFSVLAILDSLNSMGMDGTDITDALEVVALLVRMKHGVNFTYLPRAVLLEVPSSLDPLARLLAEGPPLLQDKAIEILSQLCGDQPGVLGDLLIARSRSIDSLANRIINSSSLEVKIGGITLLICAAKEHTQQSVEALDVSGYLKPLIYALVNIMKQNTCYSSLEMQVRTPRGFFERSAFQEGDEFDVLDPVIVLGGTVALWLLSIISSIYAKSKLIVMEAGGLEALSDRLFSYTSTPQAEFEDTEGIWISALLLAFLFQDPNIVLSPTTMHIIPSLAHLMRSDEVIDKFFAAQAMASLVCNGSKGISLTIANSGAVAGLITLIGFIELDMPNLVALSEEFSLVRSPDQVILEHLFEIEDVRFGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLSRLAEGSDANKLIMAEAGALDALTKYLSLSPQDSTEASISELLRILFSNPDLIRYEASFSSLNQLIAVLRLGSRDARFSAARALHELFDAESIRDSELAWQAVQPLIDMLNAASESEQEAALFALIKLISGHNSKRTLFVDVEGNPLESLYKILSSASSLELKRNAAELCSILFSNAKFRSNPIASECIQPLISLIQSDNTAVVESVVCAFERLLDDELKVELAAAYVNIVDLLVGLVSGTNLRLIEGSISALIKLGKDRAPRKLDMVKAGIIDKCLVLLPIVPSSLCSAIAELFRILTNSGAIARSSDAAKVVEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISISWPKVVADAGGIFELAKVIIQDDPQPPVELWETAALVLSNVLRVNAEYYFKVPMVVLVKMLHSTCESTIKVALNGLIVHERTDASSAEQMTEAGVIDSLLNLLRSHQCEELSGTLLEALFNHIRVREKKASKYAIAPLSQYLLDPQTRSETCRFLAALALGDLSQQEGLARASDSVSACRALVSLLEDQPSEAMTMVAVCALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSADVAGQAAMLIELLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQFLRTLNVIFANFPKLHVSEAATLCIPHLVNALKSGSEAAQESVLDTLCLLKQSWSTMSIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADLLLHCLPGSLTVTINRGNNLKQAMGTTNAFCRLTIGNGPPRQTKVVSHSISPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKNTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIVWTNRTSE >Potri.005G180100.6.v4.1 pep chromosome:Pop_tri_v4:5:18610449:18620485:-1 gene:Potri.005G180100.v4.1 transcript:Potri.005G180100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180100.v4.1 MSKFPSSKLQDPTSSSAPESKEQNGTAEMDDSEDTMAVVARFIEKLHSRISSPPEKELVTARLLRLAKARKEARAVIGSHAQAMPLFISILRSGTSGAKVNVASTLSALCKDDDLRVKVLLGGCIPPLLSLLKSESIEARKAAAEAIYEVSSGSVSDDQVGIKIFATEGVTPTLWEQLNPKNKQDKVVQGFVTGALRNLCGDKDNYWRAMLEAGGVDIIVGLLSSDNATAQSNAASLLARLMLAFGDSIPKVIDSGAVRALLQLVGQNNDISVRASAADALEALSSKSTKAKEAIVDAGGVPILIGAIVAPSKECMQGEFGQALQGHATRALANICGGMSALILYLGELSQSPRLAAPVADIIGALAYALMVFEKNAATAEETFDATKIEDILVKLLKPRDNKLVQERVLEAMASLYGNIYLSICLDYAEAKKVLIGLITMAVGDPQEYLILSLTSLCCGGVGIWDAIGKREGIQLLISLLGLSSEQHQEYGVRFLAILTDQVDDSKWAITAAGGIPPLVQLLEAGSQKAREDAAHILWNLCCHSEDIRACVESAGAVPAFLWLLKSGGPKGQEASAMALTRLVQTADSTTINQLLALLLGDSSGSKAYAIRVLGHVLTMASHKDLVQRGSAANQALRSLIQILNSSDEETQESAASVLADLFTTRQDICDSLATDEIVHPCMKLLTSNNTQVVATQLARALGALSRPTKTKSTMKMPYIAEGDVKPLIKLAKTSIDAAETAIAALANLLSDPQIAAEALAEDVVGALTRVLGEGTSEGKKNASRALHQLLIHFPVGDVLGGNAQCRFSVLAILDSLNSMGMDGTDITDALEVVALLVRMKHGVNFTYLPRAVLLEVPSSLDPLARLLAEGPPLLQDKAIEILSQLCGDQPGVLGDLLIARSRSIDSLANRIINSSSLEVKIGGITLLICAAKEHTQQSVEALDVSGYLKPLIYALVNIMKQNTCYSSLEMQVRTPRGFFERSAFQEGDEFDVLDPVIVLGGTVALWLLSIISSIYAKSKLIVMEAGGLEALSDRLFSYTSTPQAEFEDTEGIWISALLLAFLFQDPNIVLSPTTMHIIPSLAHLMRSDEVIDKFFAAQAMASLVCNGSKGISLTIANSGAVAGLITLIGFIELDMPNLVALSEEFSLVRSPDQVILEHLFEIEDVRFGSTARKSIPLLVDLLRPIPDRPGAPPIAVQLLSRLAEGSDANKLIMAEAGALDALTKYLSLSPQDSTEASISELLRILFSNPDLIRYEASFSSLNQLIAVLRLGSRDARFSAARALHELFDAESIRDSELAWQAVQPLIDMLNAASESEQEAALFALIKLISGHNSKRTLFVDVEGNPLESLYKILSSASSLELKRNAAELCSILFSNAKFRSNPIASECIQPLISLIQSDNTAVVESVVCAFERLLDDELKVELAAAYVNIVDLLVGLVSGTNLRLIEGSISALIKLGKDRAPRKLDMVKAGIIDKCLVLLPIVPSSLCSAIAELFRILTNSGAIARSSDAAKVVEPLFMVLLRPDFGLWGQHSALQALVNILEKPQSLATLKLTPSQVIEPLISFLESPSQAIQQLGTELLSHLLAQEHFQQDITTKNAVVPLVQLAGIGILNLQQTAIKALEKISISWPKVVADAGGIFELAKVIIQDDPQPPVELWETAALVLSNVLRVNAEYYFKVPMVVLVKMLHSTCESTIKVALNGLIVHERTDASSAEQMTEAGVIDSLLNLLRSHQCEELSGTLLEALFNHIRVREKKASKYAIAPLSQYLLDPQTRSETCRFLAALALGDLSQQEGLARASDSVSACRALVSLLEDQPSEAMTMVAVCALQNFVMHSRTNRRAVAEAGGILVVQELLLSPSADVAGQAAMLIELLFSNHTLQEYVSNELIRSLTAALERELWSTATINVQFLRTLNVIFANFPKLHVSEAATLCIPHLVNALKSGSEAAQESVLDTLCLLKQSWSTMSIDIAKSQAMIAAEAIPILQMLMKTCPPSFHERADLLLHCLPGSLTVTINRGNNLKQAMGTTNAFCRLTIGNGPPRQTKVVSHSISPEWKEGFTWAFDVPPKGQKLHIICKSKNTFGKNTLGRVTIQIDKVVSEGVYSGLFSLNHDSNKDGSSRTLEIEIVWTNRTSE >Potri.007G042200.1.v4.1 pep chromosome:Pop_tri_v4:7:3579164:3581662:1 gene:Potri.007G042200.v4.1 transcript:Potri.007G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042200.v4.1 MLTRKGSLKIQPPVYGSKITILSIDGGGIRGILPGVILAYLEAQLQALDGEDARIADYFDVISGTSTGGLITAMLAAPNEQQRPLFDAKDIVPFYLNNSPKIFPQTSGIFAWPTNVWKAISGPKYDGKYLHKLVRDILKDTRLHQTLTNVVIPTFDIKKIQPVIFSSYQVPNNPIKDALLSDICIATSAAPTYFPPHYFKNQDAQGNFEEFNLIDGGIAANNPTLVAISEVAKQMSKKNPDFFPIKPMNYERYLVISIGTGANKNGTTYSAKAASEWGVIGWLFHNGRTPLITCYNNASSDMVDYHNSVVFQAFHSENYYLRIDEDKLQGDLSSVDIATTENLENLVKVGEDLLKSPVSRINLDTGAYEPLEDGGTYEEALQRFAKLLSEERKLRQSNSAPAKEEEN >Potri.018G022500.1.v4.1 pep chromosome:Pop_tri_v4:18:1637622:1644795:1 gene:Potri.018G022500.v4.1 transcript:Potri.018G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022500.v4.1 MEPDVSVETSAMIRIAIIPIGKIPHQTLRDYYSMFLHHHTIPLSSISSFYTEEQKSPFTNQPWETGSLRFKFVLGGAPPSPWEDFQSNRKILAVIGVCHCPLSPDLDSVIEEFDGVCKGYASARVTRCFGFFPCDSQLEDGGKKGENLRLFPPADRQTQEMHLQTMMQEIAASLLMEFEKYVFQAESAGTILKTPLDSQASLSSEEVIKAKKRRLGRAQKTIGDYCLLAGSPVDANAHYSTALELSRLTADYFWYAGALEGSVCALLIDRIGLKDPSLEDEVRYRYNNVILHYKKSFIPENAQRVSPLSFELEADLKLARYLCRRELAKDVVDLLTSAADGAKSLIDATDRLILYVEIARLFGTLGYQRKAAFFTRQVAQLYLQQDSKLAAISALQVLAMTTKAYRVQSRASISNNSHINEVGSGHADSGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPAGQNGLARALANSSEMLPSGIRCSDPALPFVRLYSFPLHTSQMDIVKCNPGREDWWVGSAPSGPFIYTPFSKGEPNDSSKQELIWIVGEPVQILVELANPCGFNLMVDSIYLSVHSGNFDPFPISVDLPPNSSKVITLSGIPTSVGLVTIPGCTVHCFGVITEHLFRDVDNLLHGAAQGLVLSDPFRCCGSPKLKNVSVPNISVVPPLPSLVSHVVGGNGAIVLYEGEIREIYISLANAGTVPVEQAHISLSGKHQDSVLSISYETLKSVLPLKPGAEVILPVTLKAWKLGLVDLDNASGSTGRQLKDSSSPSLLIHYAGPLTDCEDPPKGSAVPPGRRLVVPLNICVLQGLSFVKARLLSMEIPAHVGENLPKPIYLENSASKEAIGSETKMDGLVKIDPFRGSWGLRFLELELSNPTDLVFEISVSVQLDSTEDNLSAGQDATEYGYPKTRIDRDFSARVLIPLEHFKLPILDGSFFMKDFKPDGAAGSRNSSFSEKSAKAELKASINNLISRIKVRWQSGRTSSGELNIKDAIQAALKTSAMDVLLPDPLTFGFRLVRNNLSQESGDSRPKGSVVAHDMTPMEVLVRNNTKEMIRMSLNITCRDVAGENCVEGTKATVLWSGVLNGITIEVPPLQESKHSFSLYFLVPGEYTLIAAALIEDANDLLRARAKTNSPEEPIFCRGPPFHVRVIGTA >Potri.004G061400.3.v4.1 pep chromosome:Pop_tri_v4:4:5135034:5138898:-1 gene:Potri.004G061400.v4.1 transcript:Potri.004G061400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061400.v4.1 MSSKEGKVAVDLTAEIVGRWKETYQWIPIFGAFATVAMAFSAGANNLTAPFSTPVGSGALTLLKASIIACLIYVPGAAFTSKSTVDSLFSDFLKESQPDAGFLMWSLVVALITAAIWLAVATYWELPVSSQQSIQSALLGTILVTEGFGYIPLWNKSENHNFNGGGLLWISLEWTVAPLIACLCSYIFFKLLRAFLLRSEDAEKRILIFLPIDYGISTGLLCLFVIFQINGNIIFINTWLSIVAVLVATLVGAILSLVVIVSLTIKKSNDIPNCKSNKKSRSIDHQCIEIQDKTSSIKDDEKNHEDIEEMLRDFMQTRVLETVYEEEERSWDSPLPDKIHDSQPQIQDFQQTQSVSQKSSTDQLTQLKQLLESTPNRFVQTRNFQRIEKRTLTSDASTYIRKFAISIIRPVIEYDRRTLVRHALAEKYDEMEDFFSFPHLLASCIFALQFSIEVAAIASPYAAILDVFDHRIKYLRNGEDVEYVHVKWWFRASTGLVAAMGFFLCGWRLTRCLGGKLTYMSNSRGLASQLSSVAAVMMVTRMNLPASSIHAFVGSLLGVGMVDDIRNVNWKLVLKFLGGWILTVIFSCGIAYVIFSASVHSPGYVVP >Potri.004G061400.2.v4.1 pep chromosome:Pop_tri_v4:4:5135034:5138969:-1 gene:Potri.004G061400.v4.1 transcript:Potri.004G061400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061400.v4.1 MSSKEGKVAVDLTAEIVGRWKETYQWIPIFGAFATVAMAFSAGANNLTAPFSTPVGSGALTLLKASIIACLIYVPGAAFTSKSTVDSLFSDFLKESQPDAGFLMWSLVVALITAAIWLAVATYWELPVSSQQSIQSALLGTILVTEGFGYIPLWNKSENHNFNGGGLLWISLEWTVAPLIACLCSYIFFKLLRAFLLRSEDAEKRILIFLPIDYGISTGLLCLFVIFQINGNIIFINTWLSIVAVLVATLVGAILSLVVIVSLTIKKSNDIPNCKSNKKSRSIDHQCIEIQDKTSSIKDDEKNHEDIEEMLRDFMQTRVLETVYEEEERSWDSPLPDKIHDSQPQIQDFQQTQSVSQKSSTDQLTQLKQLLESTPNRFVQTRNFQRIEKRTLTSDASTYIRKFAISIIRPVIEYDRRTLVRHALAEKYDEMEDFFSFPHLLASCIFALQFSIEVAAIASPYAAILDVFDHRIKYLRNGEDVEYVHVKWWFRASTGLVAAMGFFLCGWRLTRCLGGKLTYMSNSRGLASQLSSVAAVMMVTRMNLPASSIHAFVGSLLGVGMVDDIRVRFPRRK >Potri.001G110000.1.v4.1 pep chromosome:Pop_tri_v4:1:8863805:8866916:-1 gene:Potri.001G110000.v4.1 transcript:Potri.001G110000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110000.v4.1 MKKKPSNEFGMSSKTHGVESEELSSSEEDESEIERELAEVTFEDLQKAKSNGSLTVFKKPDQEKKGGRANKNRPTEVSCKKPVSRFREVVQVPKKVVRDPRFESLCGNLDVEGFRKRYDFLFKNNLPAEKEELKKQLKKSNDPKVIDQLKERISWIEKQTKFESTKQTDAAILAEHKKKEREAAKQGKRPFYLKKSDIRKQRLTEKYNKLKASGKLESFIEKRRKKNAAKDHRYMPYRRSANSEQQS >Potri.013G095300.1.v4.1 pep chromosome:Pop_tri_v4:13:9800602:9805610:-1 gene:Potri.013G095300.v4.1 transcript:Potri.013G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095300.v4.1 MAISTTLGGGGGGGRRHQPSLLQRHQGRWRNNRFPKLKFSGSRKSFRYIIIILAFIALLPPIFFHFKLRRLHQTQLKKCGWLNDPPLVCAHGGDSINAFPNTMAAYHLALRSKVDCLEIDVSRSKDGVLFALHDRDLQRISGNSTSKVGHLSMKEIKELAVHHQSAQDFHNHTVPTIEDALMLVSSSVRQVILDAKVGPPSYEKGLAKDILAVVERLQCQNCLIWAKSDNLARDLIKLKSNVTVGYIVMVDPDTGIKTKLLRMKGAGVVGVHHPLIDEKLVAILHRRNKKAYAWTVDDVDSMRKMLFEHVDAVVTNNPNLLQQLMQDIRTECREEGFSLPRR >Potri.014G081900.1.v4.1 pep chromosome:Pop_tri_v4:14:5289234:5290140:1 gene:Potri.014G081900.v4.1 transcript:Potri.014G081900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081900.v4.1 MKVFSMKNSVLLLIVLLLTYSLDIEAQQCRPSGQIRGRKPPPNQCNQENDSDCCKDGKYYTTYKCSPQVTSHTKAFLTLNSFEAGGDGGGPSECDKQYHSDDTPVAALSTGWFNHKSRCHNNITITANGRSVAAMVVDECDSTMGCDEVHDYQPPCDNNIVDASKAVWKALGVPEDNWGWLEITWTDHA >Potri.003G193300.1.v4.1 pep chromosome:Pop_tri_v4:3:19632798:19634241:-1 gene:Potri.003G193300.v4.1 transcript:Potri.003G193300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193300.v4.1 MEDIQITQRNSTVSETGESDQCRDLLSSLPKEKDWISCYMYLFQGFWCPPKEIHAVVSFQNNFQACNTDTILVSMPKSGTTWLKALVFSIMNREKYQTPESPLNSFNPHDLVPFFEYRLYANNQVPDLSAFPSPRIFSTHVPYPSLPESIRNSTCRVVYICRNPLDNFISFWHFLSKARPERLGPLLLEEAFDSFCNGVVGFGPFFDHVLGYWKESLERPEKVLFLKFEDLKEDINSQMKSLAVFLGCPFSLEEERDGVIEDISKLCSLDSLKDIEANKRGKSIPYFENNTLFRRGEVGDWINYLTPEMVDRLNKITAQKLAGSGLEFKITSLDNNV >Potri.014G025550.1.v4.1 pep chromosome:Pop_tri_v4:14:1580303:1581980:1 gene:Potri.014G025550.v4.1 transcript:Potri.014G025550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025550.v4.1 MNPTTVKHITECFLKPKHIVEEAKQPYYLSPWDLAMLSSHYIQKGLLFAKPPQTENQLGFKIMDFLEDLKQSLSLTLVYFYPLAGRLATSKSENPPSYVVFVDCNNSPGARFTYATVDLTASDVLSPTYVPLVVQSFFDHDRALNHDGHTKSLLTIQVTELIDGIFIGCSVNHSIVDGSSFWHFFNMWSEIFQGKGNDVSISRPPVLNRWFPDGHGPALSLPFTHHDQFLRPFEAPLLKERMFHFSSESIARLKAKANAEFNTNKISSFQSLSALVWRCITRARNLPRDRVTCCRLATNNRSRLNPPLSPDYFGNSIQALRAGVATAGEVLEQNLGWAAWQLHQAVGNHSDEKAREFLNFWLKSPFIYQIGKLFDPHTVIMGSSPRFNKYGNEFGLGKALALRSGYAHKFSGKASAYPGHEGGGSIDLEICLSPDEMTAIESDKEFMDVVSPSPC >Potri.005G097900.1.v4.1 pep chromosome:Pop_tri_v4:5:7006753:7009187:1 gene:Potri.005G097900.v4.1 transcript:Potri.005G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097900.v4.1 MAPSFLDGNSMFNFVVRDGNGVKGMVDFGLSEVPEQYVQPPEERIDKLNATAHDNPPIDLSKLDGPDHDQIVEEIATAAETLGFFQVMNHGVPVELLESLKDAANNFFGQPPEKKAVYRKGVSPSPSVRYGTSFVPDKEKALEWKDYISMRYTTDAEALEYWPQECKDVALEYLRTSIKMVRKVLEILIGKLGVTLDDSKIDGLIGLKLVNMNFYPTCPNPELTVGVGRHSDMGILTVLLQDDIGGLYVKMEEEMDGKRKGDWLEIPPVPGALVINVGDTLQILSNGRYKSAEHRVRTTRTKSRVSIPIFTIPKPTERIGPLPQVVERDGVARYREFIFEEYMNNFFSNAHDGKKSLDFAKNIWPA >Potri.008G147700.1.v4.1 pep chromosome:Pop_tri_v4:8:10056626:10060810:-1 gene:Potri.008G147700.v4.1 transcript:Potri.008G147700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147700.v4.1 MSEMGKNVLVLCFIMLLFFGGVTSVPTTTSPAKIVGGLFSNVVSALMKWLWSLKATTKTVISGRPMMKFESGYTVETVFDGSKLGIEPYSVQVLPSGELLILDSANSNIYRISASLSLYSRPKLVAGSHEGYSGHVDGKLREAKMNHPKGLTVDDRGNIYVADTMNMAIRKISDAGVTTIAGGKWGRGSHVDGASEDANFSNDFDVVYIGSSCSLLVIDRGNRAIREIQLHFDDCAYQYGSGFPLGIAVLVAAGFFGYMLALLQRRVGMIVSPQNVSMKMSTTGIPYQKPIKSIRPPLIPTEDEQEKHEEGLFGSLGKLFINTGASVMEIFGGIVPSFRKKPVSYQYQNYQQQQYQHQKQLSSWPVQDSFVIPDEDEPPSIESRTPTPRKTYPFMSKDTEKMHQWRQGRSIYSGWDGDLQQQQHQHHHRYQSSTPHTYYEQSYEKTNEIVFGAVQEQDGKYETMVTKPVDYGDPKHYHHNIRSRTNSLHYAKGY >Potri.004G086500.1.v4.1 pep chromosome:Pop_tri_v4:4:7262855:7263728:1 gene:Potri.004G086500.v4.1 transcript:Potri.004G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086500.v4.1 MASSMGLKLTYAMLIAMVVSAPLAEAAISCGQVSSSLAQCIGYLQKGGALPAACCSGLKALNSASKTTPDRQGVCNCLKSLAGKISGLNYGLAAGLPSKCGVSISYKISPSTDCKSVK >Potri.005G093600.1.v4.1 pep chromosome:Pop_tri_v4:5:6566413:6569119:1 gene:Potri.005G093600.v4.1 transcript:Potri.005G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093600.v4.1 MSFLLRRSITGTTRFLSASRYVSTTSPNTYYISHCLLLSQNQIPTFPSNLSFMREISTFSSSKDKKQQDGELSMGGKVSWVDVYLPRQVRPYAHLARIDKQIGTWLLAWPTMWSITLAAAPGNLPDYKMIGLFALGSLLVRSIACTINDILDRDIDAKVDRTKRRPLASGVLKPSQGVLFLGFQLFLGLGILLQLNNYSRVLGVLSMFLVFTYPLMKRFTYWPQAYLGFTFSWGALLGWSAVKGSLDPAIVLPLYASGIFWTLVYDTIYAHQDKEDDLKVGVKSTALLFGDSTKEWISGFGIACIGGLALSGFNADLGWPFYAFLAAGSGQLAWQIWTVNLSCPADCSRKFVSNKWFGAIVFSGVLLGRLWS >Potri.016G131300.5.v4.1 pep chromosome:Pop_tri_v4:16:13516189:13517715:1 gene:Potri.016G131300.v4.1 transcript:Potri.016G131300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131300.v4.1 MATGQAPGNPIPAMRTYPPVEHPVVVIGPQYLAQYPVDLGVNSDFKVSDINGTLIFQVKSKLLSLRRRFLKDAAGNTLVNLRHKIRTMHGRWEAFRGESKEQSDLIFTAKKSKLFQFKTELDVFLGNNKGEVPDFKVKEGNSESSCSILLGDSNTMLAQWLLWW >Potri.016G131300.1.v4.1 pep chromosome:Pop_tri_v4:16:13516189:13519064:1 gene:Potri.016G131300.v4.1 transcript:Potri.016G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131300.v4.1 MATGQAPGNPIPAMRTYPPVEHPVVVIGPQYLAQYPVDLGVNSDFKVSDINGTLIFQVKSKLLSLRRRFLKDAAGNTLVNLRHKIRTMHGRWEAFRGESKEQSDLIFTAKKSKLFQFKTELDVFLGNNKGEVPDFKVKEGNSESSCSILLGDSNTMLAQVHGRHTLAIMPNVDYAFIVAHQVVILDEINADDYGDAAINSFIDGFHYQKFA >Potri.016G131300.4.v4.1 pep chromosome:Pop_tri_v4:16:13516189:13517711:1 gene:Potri.016G131300.v4.1 transcript:Potri.016G131300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131300.v4.1 MATGQAPGNPIPAMRTYPPVEHPVVVIGPQYLAQYPVDLGVNSDFKVSDINGTLIFQVKSKLLSLRRRFLKDAAGNTLVNLRHKIRTMHGRWEAFRGESKEQSDLIFTAKKSKLFQFKTELDVFLGNNKGEVPDFKVKEGNSESSCSILLGDSNTMLAQVTLTKLISEIYQY >Potri.016G131300.3.v4.1 pep chromosome:Pop_tri_v4:16:13516189:13517715:1 gene:Potri.016G131300.v4.1 transcript:Potri.016G131300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131300.v4.1 MATGQAPGNPIPAMRTYPPVEHPVVVIGPQYLAQYPVDLGVNSDFKVSDINGTLIFQVKSKLLSLRRRFLKDAAGNTLVNLRHKIRTMHGRWEAFRGESKEQSDLIFTAKKSKLFQFKTELDVFLGNNKGEVPDFKVKEGNSESSCSILLGDSNTMLAQCMEDTLSRLCLMLIMPS >Potri.001G037500.1.v4.1 pep chromosome:Pop_tri_v4:1:2724289:2726477:-1 gene:Potri.001G037500.v4.1 transcript:Potri.001G037500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037500.v4.1 MQPSSQHSRVNLAELKVQIVKRIGADRSKLYFYYLNGFLSLKLSKVEFNKLCVSVIGKDNVLLHNQFIRSILKNACNATVPPPLPSPDKEVPTSASDWSYSYPNGKADFSSHQSTITDDNIASEDGIQKLVQHHQEGEVSLQRPAKLSQIKQSTDGLVSVHSKEQSEISEISTPLQAPLGIPFCIVSAGGSRGPLTLASNDRCASSYDSGGLLDTQTLREQMQQIAAAHGLDGVSMDSANLLNSSLDAYLKRLIKSCTELINRRRGCDLTKNNSQENRSEGKLVNGFLPGHRFQVQSSNRILGGMQEQRSHFLISLLDLKVSMELNPQQLGEDWPLLLEKICTRPSEE >Potri.007G116300.1.v4.1 pep chromosome:Pop_tri_v4:7:13586515:13595687:1 gene:Potri.007G116300.v4.1 transcript:Potri.007G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116300.v4.1 MASSFSPFLSPPAKRPVYYSTILPDPNPNSTNGYSANKRSKPHPSTSAAPSPVPSGHVLFRLLCHESRIGGIIGKGGNIIKGLQQQTGAKIRIEDAPLESPDRVITIVGSVTQSSVVFSGIGSAIEVSKGQEALVRVFERILEVAAESDSVAGGLVSCRLLAEISSVGAVIGKGGKTVEKIRKDCGCKVKVLIDKLPACASSNEEMIEIEGDVSAVKKGLVAVSHRLQDCQPVDKTRVISSKPVEAVSRVSFPEVGVEILPQHSAVRPTIAQHSVAPPTVTNSSIDYASGTHLFSLESERVSTLDTSTPQQQVVFRILCNNDRVGGVIGKGGNIVTALQNETGATISIGPKVAGCDERLITVTASENPESRYSAAQKTVVLVFSRVVESVIEKGLDPGSSEGSPVSVRLVVSPNQVGCLLGKGGTIISEMRKATSTSIRIIGRDQGNPKCVPENDHVVEILGDFLNVKDSIYHITGRLRDNLFSSILGTPGARSSSSVLAETSPYVRSMDPVRDAKRDSLRDPLWEPLRDITRDPLRDSLRDFMRDPLRDPLRDEFRDSLRETVRDPLREPVRDPLRGPGRGPLRELGRDSASFLQPMVGISHNLNRQTVITQSMDHLGFSRSLDHSPSPRLWGSQTIPGVNPRGISDLSGGLPSFKAGLDDLVSGGKSAFVTNTTVEIVIPEHTFGSVYGENGSNLARLRQNT >Potri.007G116300.4.v4.1 pep chromosome:Pop_tri_v4:7:13586475:13591488:1 gene:Potri.007G116300.v4.1 transcript:Potri.007G116300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116300.v4.1 MASSFSPFLSPPAKRPVYYSTILPDPNPNSTNGYSANKRSKPHPSTSAAPSPVPSGHVLFRLLCHESRIGGIIGKGGNIIKGLQQQTGAKIRIEDAPLESPDRVITIVGSVTQSSVVFSGIGSAIEVSKGQEALVRVFERILEVAAESDSVAGGLVSCRLLAEISSVGAVIGKGGKTVEKIRKDCGCKVKVLIDKLPACASSNEEMIEIEGDVSAVKKGLVAVSHRLQDCQPVDKTRVISSKPVEAVSRVSFPEVGVEILPQHSAVRPTIAQHSVAPPTVTNSSIDYASGTHLFSLESERVSTLDTSTPQQQVVFRILCNNDRVGGVIGKGGNIVTALQNETGATISIGPKVAGCDERLITVTASENPESRYSAAQKTVVLVFSRVVESVIEKGLDPGSSEGSPVSVRLVVSPNQVGCLLGKGGTIISEMRKATSTSIRIIGRDQGNPKCVPENDHVVEILGDFLNVKDSIYHITGRLRDNLFSSILGTPGARSSSSVLAETSPYVRSMDPVRDAKRDSLRDPLWEPLRDITRDPLRDSLRDFMRDPLRDPLRDEFRDSLRETVRDPLREPVRDPLRGPGRGPLRELGRDSASFLQPMVGISHNLNRQTVITQSMDHLGFSRSLDHSPSPRLWGSQTIPGVNPRGISDLSGGLPSFKAGLDDLVRDRNS >Potri.007G116300.3.v4.1 pep chromosome:Pop_tri_v4:7:13586475:13595670:1 gene:Potri.007G116300.v4.1 transcript:Potri.007G116300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116300.v4.1 MASSFSPFLSPPAKRPVYYSTILPDPNPNSTNGYSANKRSKPHPSTSAAPSPVPSGHVLFRLLCHESRIGGIIGKGGNIIKGLQQQTGAKIRIEDAPLESPDRVITIVGSVTQSSVVFSGIGSAIEVSKGQEALVRVFERILEVAAESDSVAGGLVSCRLLAEISSVGAVIGKGGKTVEKIRKDCGCKVKVLIDKLPACASSNEEMIEIEGDVSAVKKGLVAVSHRLQDCQPVDKTRVISSKPVEAVSRVSFPEVGVEILPQHSAVRPTIAQHSVAPPTVTNSSIDYASGTHLFSLESERVSTLDTSTPQQQVVFRILCNNDRVGGVIGKGGNIVTALQNETGATISIGPKVAGCDERLITVTASENPESRYSAAQKTVVLVFSRVVESVIEKGLDPGSSEGSPVSVRLVVSPNQVGCLLGKGGTIISEMRKATSTSIRIIGRDQGNPKCVPENDHVVEILGDFLNVKDSIYHITGRLRDNLFSSILGTPGARSSSSVLAETSPYVRSMDPVRDAKRDSLRDPLWEPLRDITRDPLRDSLRDFMRDPLRDPLRDEFRDSLRETVRDPLREPVRDPLRGPGRGPLRELGRDSASFLQPMVGISHNLNRQTVITQSMDHLGFSRSLDHSPSPRLWGSQTIPGVNPRGISDLSGGLPSFKAGLDDLVSGGKSAFVTNTTVEIVIPEHTFGSVYGENGSNLARLRQVCTFAFYFSVSFASAVIVLSKIVKQVNQYDLLLIEWSSHCHTDNPKGFLEVASSSITVIIILVHYFEGEEKNFDTLTEFKTIKRIALKFNSQASMCLLLGQTILVNEIRNIFFP >Potri.001G064301.1.v4.1 pep chromosome:Pop_tri_v4:1:6033983:6034576:1 gene:Potri.001G064301.v4.1 transcript:Potri.001G064301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G064301.v4.1 MDARCGEIGFARKVFDEMGERDLVSWNSMISGYSKMGFAKEAIGLFMEMKEEGFEPDEMTLVNVLGACGDLGLGRWVEGLVLEKKMEVNSYVGSALIVMYGKCGDLISARRVFDSMPNKDVVTWNAIIT >Potri.014G098600.5.v4.1 pep chromosome:Pop_tri_v4:14:6458081:6475075:1 gene:Potri.014G098600.v4.1 transcript:Potri.014G098600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098600.v4.1 MAPRNNRGKARGEKRKKDEKVLPVVTDITINLPDETHVVLKGISTDRIIDVRRLLSVNTETCYITNFSLSHEVRGARLKDTVDVSALKPCVLTLTNEDLDEELAVAHVRRLLDIVACTTCFGPSACAHDKIKSDIGKNAPAAQDNKTSKKTTAKSQSSSTTTTTTTTNKQSSSPKSASKDVPVDAEEEMSHSCPKLGSFYEFFSLSHLTPPLQFIRKVTKRRIDEISVDDHLFSLDVKLCNGKLVQVEACKKGFYGVGKQRILCHNLVDLLRQLSRAFDNAYDELMKAFAERNKFGNLPYGFRANTWLIPPVAAQLPSVCPPLPVEDETWGGNGGGLGRDGKKDYIPWADEFLFVASMPCKTAEERQIRDRKAFLLHSLFVDVALFRAIKAVQHVKLKPNLLGSVANSNIPYTERVGDLSIKVMKDATNASSKVDTKIDGIQATGTDKKNSVERNLLKGITADENTAAHDIATLGTVNVRYCGFIAIVKAEAREEKKASPPSKSIDLEQPEGGANALNINSLRLLLHKPTPSEHTKRTPNLQTLECEELSASEAFVERLLEESLTRLEEEVPKQDHLVRWELGACWIQHLQDQKNTEKDKKPSTEKGKKPSTETEMKVEGLGTPLKSLKNKKKSDESNVKMQPENSRPASDGLSGAVEDATLASVESHLETEAKDNELALQQLLSDAAFARLKESDTGLHCKSLQQLIDLSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVKLSEKLLHVQSLCIHEMIVRAFKHILQAVIAAVVDQEKMAVSIAAALNLMLGIPETRDSIKSCHVHPLVWRWLEVFLKKRYEWDLSSLNFKDVRKFAILRGLCHKVGIELVPRDFDMDSPHPFRKSDVVSLVPLHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAVRNGTKKPDASIASKGHLSVSDLLDYINPSRDAKVRDVVAGKRKSYITKVKDKTQPNVSTASSDESTKDTLKDASDVKIPVPEDDASQETSSAQVQLQTPAVEENVEKKPSIWTEALLETHAEGDDGWQPVQRPRSAGLYGRRLKQRRGIVGKVYSYHKKIVDANMDYAPVKNAHQNSKYYLLKKRAPSHGSYGDHQTTNLPPSAKFGRRMVKAVTYRVKSVPSSYKTSTTENPRIGNKALTSSESAPVSAPNDIRPSKNSIVSLGKSLSYKEVALAPPGTIAKLQAWFPQSDNSDNQEIGDGKLEETNEAKAIAGSVVMGVEERSGEKDENSESDDTDDLKKEIVGVHKMEEQHSTHVLEENSSLMVSQSVQGHESGDIEVHEIIQNGMLIDQIPNSIDSLPKEPHEKDSSSEFDPQVDLNSTLPGAEDLKDKPLILNSGDAQGLPNKKLSASAAPFNPSTSIGRAPPVAINIPLPSAPGAVPAVAPWPVNMTLHPGPATVIRPINPMSSPHHPYPYPSQPPTPNMIQPLPFMYPPYSQAVPTSTFPVTSSAFHPNHFSWQCNASPNVSEFIPTTVWPGCLAVEFSVLPPVVEPIADPLLEPKAQFENSESPSPPPILSVDSDNIGETNDEANLQASDRNDNVKELTGAGLENIKENGHSNPSEAEIYRNDSSQEKGSQENVTSSIDQQINEEKTFSILLRGKRNRKQTLRMPMSLLSRPYGSQSFKVIYNRVVRGSESPKSTSFAAGEGCTTSAT >Potri.014G098600.7.v4.1 pep chromosome:Pop_tri_v4:14:6461082:6475132:1 gene:Potri.014G098600.v4.1 transcript:Potri.014G098600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098600.v4.1 MSHSCPKLGSFYEFFSLSHLTPPLQFIRKVTKRRIDEISVDDHLFSLDVKLCNGKLVQVEACKKGFYGVGKQRILCHNLVDLLRQLSRAFDNAYDELMKAFAERNKFGNLPYGFRANTWLIPPVAAQLPSVCPPLPVEDETWGGNGGGLGRDGKKDYIPWADEFLFVASMPCKTAEERQIRDRKAFLLHSLFVDVALFRAIKAVQHVKLKPNLLGSVANSNIPYTERVGDLSIKVMKDATNASSKVDTKIDGIQATGTDKKNSVERNLLKGITADENTAAHDIATLGTVNVRYCGFIAIVKAEAREEKKASPPSKSIDLEQPEGGANALNINSLRLLLHKPTPSEHTKRTPNLQTLECEELSASEAFVERLLEESLTRLEEEVPKQDHLVRWELGACWIQHLQDQKNTEKDKKPSTEKGKKPSTETEMKVEGLGTPLKSLKNKKKSDESNVKMQPENSRPASDGLSGAVEDATLASVESHLETEAKDNELALQQLLSDAAFARLKESDTGLHCKSLQQLIDLSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVKLSEKLLHVQSLCIHEMIVRAFKHILQAVIAAVVDQEKMAVSIAAALNLMLGIPETRDSIKSCHVHPLVWRWLEVFLKKRYEWDLSSLNFKDVRKFAILRGLCHKVGIELVPRDFDMDSPHPFRKSDVVSLVPLHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAVRNGTKKPDASIASKGHLSVSDLLDYINPSRDAKVRDVVAGKRKSYITKVKDKTQPNVSTASSDESTKDTLKDASDVKIPVPEDDASQETSSAQVQLQTPAVEENVEKKPSIWTEALLETHAEGDDGWQPVQRPRSAGLYGRRLKQRRGIVGKVYSYHKKIVDANMDYAPVKNAHQNSKYYLLKKRAPSHGSYGDHQTTNLPPSAKFGRRMVKAVTYRVKSVPSSYKTSTTENPRIGNKALTSSESAPVSAPNDIRPSKNSIVSLGKSLSYKEVALAPPGTIAKLQAWFPQSDNSDNQEIGDGKLEETNEAKAIAGSVVMGVEERSGEKDENSESDDTDDLKKEIVGVHKMEEQHSTHVLEENSSLMVSQSVQGHESGDIEVHEIIQNGMLIDQIPNSIDSLPKEPHEKDSSSEFDPQVDLNSTLPGAEDLKDKPLILNSGDAQGLPNKKLSASAAPFNPSTSIGRAPPVAINIPLPSAPGAVPAVAPWPVNMTLHPGPATVIRPINPMSSPHHPYPYPSQPPTPNMIQPLPFMYPPYSQAVPTSTFPVTSSAFHPNHFSWQCNASPNVSEFIPTTVWPGCLAVEFSVLPPVVEPIADPLLEPKAQFENSESPSPPPILSVDSDNIGETNDEANLQASDRNDNVKELTGAGLENIKENGHSNPSEAEIYRNDSSQEKGSQENVTSSIDQQINEEKTFSILLRGKRNRKQTLRMPMSLLSRPYGSQSFKVIYNRVVRGSESPKSTSFAAGEGCTTSAT >Potri.014G098600.6.v4.1 pep chromosome:Pop_tri_v4:14:6457883:6474106:1 gene:Potri.014G098600.v4.1 transcript:Potri.014G098600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098600.v4.1 MAPRNNRGKARGEKRKKDEKVLPVVTDITINLPDETHVVLKGISTDRIIDVRRLLSVNTETCYITNFSLSHEVRGARLKDTVDVSALKPCVLTLTNEDLDEELAVAHVRRLLDIVACTTCFGPSACAHDKIKSDIGKNAPAAQDNKTSKKTTAKSQSSSTTTTTTTTNKQSSSPKSASKDVPVDAEEEMSHSCPKLGSFYEFFSLSHLTPPLQFIRKVTKRRIDEISVDDHLFSLDVKLCNGKLVQVEACKKGFYGVGKQRILCHNLVDLLRQLSRAFDNAYDELMKAFAERNKFGNLPYGFRANTWLIPPVAAQLPSVCPPLPVEDETWGGNGGGLGRDGKKDYIPWADEFLFVASMPCKTAEERQIRDRKAFLLHSLFVDVALFRAIKAVQHVKLKPNLLGSVANSNIPYTERVGDLSIKVMKDATNASSKVDTKIDGIQATGTDKKNSVERNLLKGITADENTAAHDIATLGTVNVRYCGFIAIVKAEAREEKKASPPSKSIDLEQPEGGANALNINSLRLLLHKPTPSEHTKRTPNLQTLECEELSASEAFVERLLEESLTRLEEEVPKQDHLVRWELGACWIQHLQDQKNTEKDKKPSTEKGKKPSTETEMKVEGLGTPLKSLKNKKKSDESNVKMQPENSRPASDGLSGAVEDATLASVESHLETEAKDNELALQQLLSDAAFARLKESDTGLHCKSLQQLIDLSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVKLSEKLLHVQSLCIHEMIVRAFKHILQAVIAAVVDQEKMAVSIAAALNLMLGIPETRDSIKSCHVHPLVWRWLEVFLKKRYEWDLSSLNFKDVRKFAILRGLCHKVGIELVPRDFDMDSPHPFRKSDVVSLVPLHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAVRNGTKKPDASIASKGHLSVSDLLDYINPSRDAKVRDVVAGKRKSYITKVKDKTQPNVSTASSDESTKDTLKDASDVKIPVPEDDASQETSSAQVQLQTPAVEENVEKKPSIWTEALLETHAEGDDGWQPVQRPRSAGLYGRRLKQRRGIVGKVYSYHKKIVDANMDYAPVKNAHQNSKYYLLKKRAPSHGSYGDHQTTNLPPSAKFGRRMVKAVTYRVKSVPSSYKTSTTENPRIGNKALTSSESAPVSAPNDIRPSKNSIVSLGKSLSYKEVALAPPGTIAKLQAWFPQSDNSDNQEIGDGKLEETNEAKAIAGSVVMGVEERSGEKDENSESDDTDDLKKEIVGVHKMEEQHSTHVLEENSSLMVSQSVQGHESGDIEVHEIIQNGMLIDQIPNSIDSLPKEPHEKDSSSEFDPQVDLNSTLPGAEDLKDKPLILNSGDAQGLPNKKLSASAAPFNPSTSIGRAPPVAINIPLPSAPGAVPAVAPWPVNMTLHPGPATVIRPINPMSSPHHPYPYPSQPPTPNMIQPLPFMYPPYSQAVPTSTFPVTSSAFHPNHFSWQCNASPNVSEFIPTTVWPGCLAVEFSVLPPVVEPIADPLLEPKAQFENSESPSPPPILSVDSDNIGETNDEANLQASDRNDNVKELTGAGLENIKENGHSNPSEAEIYRNDSSQEKGSQENVTSSIDQQINEEKTFSILLRGKRNRKQTLRMPMSLLSRPYGSQSFKVIYNRVVRGSESPKSTSFAAGEGCTTSAT >Potri.014G098600.8.v4.1 pep chromosome:Pop_tri_v4:14:6463466:6475168:1 gene:Potri.014G098600.v4.1 transcript:Potri.014G098600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098600.v4.1 MKDATNASSKVDTKIDGIQATGTDKKNSVERNLLKGITADENTAAHDIATLGTVNVRYCGFIAIVKAEAREEKKASPPSKSIDLEQPEGGANALNINSLRLLLHKPTPSEHTKRTPNLQTLECEELSASEAFVERLLEESLTRLEEEVPKQDHLVRWELGACWIQHLQDQKNTEKDKKPSTEKGKKPSTETEMKVEGLGTPLKSLKNKKKSDESNVKMQPENSRPASDGLSGAVEDATLASVESHLETEAKDNELALQQLLSDAAFARLKESDTGLHCKSLQQLIDLSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVKLSEKLLHVQSLCIHEMIVRAFKHILQAVIAAVVDQEKMAVSIAAALNLMLGIPETRDSIKSCHVHPLVWRWLEVFLKKRYEWDLSSLNFKDVRKFAILRGLCHKVGIELVPRDFDMDSPHPFRKSDVVSLVPLHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAVRNGTKKPDASIASKGHLSVSDLLDYINPSRDAKVRDVVAGKRKSYITKVKDKTQPNVSTASSDESTKDTLKDASDVKIPVPEDDASQETSSAQVQLQTPAVEENVEKKPSIWTEALLETHAEGDDGWQPVQRPRSAGLYGRRLKQRRGIVGKVYSYHKKIVDANMDYAPVKNAHQNSKYYLLKKRAPSHGSYGDHQTTNLPPSAKFGRRMVKAVTYRVKSVPSSYKTSTTENPRIGNKALTSSESAPVSAPNDIRPSKNSIVSLGKSLSYKEVALAPPGTIAKLQAWFPQSDNSDNQEIGDGKLEETNEAKAIAGSVVMGVEERSGEKDENSESDDTDDLKKEIVGVHKMEEQHSTHVLEENSSLMVSQSVQGHESGDIEVHEIIQNGMLIDQIPNSIDSLPKEPHEKDSSSEFDPQVDLNSTLPGAEDLKDKPLILNSGDAQGLPNKKLSASAAPFNPSTSIGRAPPVAINIPLPSAPGAVPAVAPWPVNMTLHPGPATVIRPINPMSSPHHPYPYPSQPPTPNMIQPLPFMYPPYSQAVPTSTFPVTSSAFHPNHFSWQCNASPNVSEFIPTTVWPGCLAVEFSVLPPVVEPIADPLLEPKAQFENSESPSPPPILSVDSDNIGETNDEANLQASDRNDNVKELTGAGLENIKENGHSNPSEAEIYRNDSSQEKGSQENVTSSIDQQINEEKTFSILLRGKRNRKQTLRMPMSLLSRPYGSQSFKVIYNRVVRGSESPKSTSFAAGEGCTTSAT >Potri.014G098600.2.v4.1 pep chromosome:Pop_tri_v4:14:6458033:6475108:1 gene:Potri.014G098600.v4.1 transcript:Potri.014G098600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098600.v4.1 MAPRNNRGKARGEKRKKDEKVLPVVTDITINLPDETHVVLKGISTDRIIDVRRLLSVNTETCYITNFSLSHEVRGARLKDTVDVSALKPCVLTLTNEDLDEELAVAHVRRLLDIVACTTCFGPSACAHDKIKSDIGKNAPAAQDNKTSKKTTAKSQSSSTTTTTTTTNKQSSSPKSASKDVPVDAEEEMSHSCPKLGSFYEFFSLSHLTPPLQFIRKVTKRRIDEISVDDHLFSLDVKLCNGKLVQVEACKKGFYGVGKQRILCHNLVDLLRQLSRAFDNAYDELMKAFAERNKFGNLPYGFRANTWLIPPVAAQLPSVCPPLPVEDETWGGNGGGLGRDGKKDYIPWADEFLFVASMPCKTAEERQIRDRKAFLLHSLFVDVALFRAIKAVQHVKLKPNLLGSVANSNIPYTERVGDLSIKVMKDATNASSKVDTKIDGIQATGTDKKNSVERNLLKGITADENTAAHDIATLGTVNVRYCGFIAIVKAEAREEKKASPPSKSIDLEQPEGGANALNINSLRLLLHKPTPSEHTKRTPNLQTLECEELSASEAFVERLLEESLTRLEEEVPKQDHLVRWELGACWIQHLQDQKNTEKDKKPSTEKGKKPSTETEMKVEGLGTPLKSLKNKKKSDESNVKMQPENSRPASDGLSGAVEDATLASVESHLETEAKDNELALQQLLSDAAFARLKESDTGLHCKSLQQLIDLSQKYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVKLSEKLLHVQSLCIHEMIVRAFKHILQAVIAAVVDQEKMAVSIAAALNLMLGIPETRDSIKSCHVHPLVWRWLEVFLKKRYEWDLSSLNFKDVRKFAILRGLCHKVGIELVPRDFDMDSPHPFRKSDVVSLVPLHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQKLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAVRNGTKKPDASIASKGHLSVSDLLDYINPSRDAKVRDVVAGKRKSYITKVKDKTQPNVSTASSDESTKDTLKDASDVKIPVPEDDASQETSSAQVQLQTPAVEENVEKKPSIWTEALLETHAEGDDGWQPVQRPRSAGLYGRRLKQRRGIVGKVYSYHKKIVDANMDYAPVKNAHQNSKYYLLKKRAPSHGSYGDHQTTNLPPSAKFGRRMVKAVTYRVKSVPSSYKTSTTENPRIGNKALTSSESAPVSAPNDIRPSKNSIVSLGKSLSYKEVALAPPGTIAKLQAWFPQSDNSDNQEIGDGKLEETNEAKAIAGSVVMGVEERSGEKDENSESDDTDDLKKEIVGVHKMEEQHSTHVLEENSSLMVSQSVQGHESGDIEVHEIIQNGMLIDQIPNSIDSLPKEPHEKDSSSEFDPQVDLNSTLPGAEDLKDKPLILNSGDAQGLPNKKLSASAAPFNPSTSIGRAPPVAINIPLPSAPGAVPAVAPWPVNMTLHPGPATVIRPINPMSSPHHPYPYPSQPPTPNMIQPLPFMYPPYSQAVPTSTFPVTSSAFHPNHFSWQCNASPNVSEFIPTTVWPGCLAVEFSVLPPVVEPIADPLLEPKAQFENSESPSPPPILSVDSDNIGETNDEANLQASDRNDNVKELTGAGLENIKENGHSNPSEAEIYRNDSSQEKGSQENVTSSIDQQINEEKTFSILLRGKRNRKQTLRMPMSLLSRPYGSQSFKVIYNRVVRGSESPKSTSFAAGEGCTTSAT >Potri.011G029800.1.v4.1 pep chromosome:Pop_tri_v4:11:2260761:2263807:1 gene:Potri.011G029800.v4.1 transcript:Potri.011G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029800.v4.1 MGSKTIVSLLFHVIIISITLTGAEVCYNTGNFTANSTYAKNRDLVLRSLASNVTANGGFYNTTIGLGNDTVYGLVLCMASPSAENCSSCVNYAIQTLMAGCPNQKEAISWGGNPLPCIVRYANRYFFGSLETSPTSAYYNEGILDATFRQFEQFWSGLGETVKNASTGSSRLMPAVETADLPSTQKIYVFMQCTPDVSPSNCSVCLQESVDYYKSCCYGNQGGLVLKPNCVFRWEIYTYYDLFPQVTSPPPSPSPSPSPSPSPSPSSPPFVISSPPPTNTTIRKGKENTASRTVIVTIVPTAIFLALVILILTVFHFRKPKQEVENFDEISIAKCLEFKFATIKLATNDFSDDNKLGQGGFGAVYKGILADGQAIAVKRLSSNSGQGEVEFTNEVRLLAKLDHRNLVRLLGFCLEGTEKLLIYEFVPNSSLDQFIHDPNKRFILDWEKRYKIIEGIARGILYLHQDSQLRIIHRDLKPSNILLDGKMNAKISDFGMAKLMKTDQTHDAASRIAGTFGYIAPEYARQRQFSVKSDVFSFGVLVLEIVSGQKPSFRDGDDIEHLTSHAWRRWREGTALDLIDPILRNDSTAAMMTCIHIGLLCVQENVADRPTMASVVLMLSNSSFTLQIPSKPAFFISRRTYRPASSSTSYTSRMTQSHLKTVPPSKNEISITELDPR >Potri.011G030500.1.v4.1 pep chromosome:Pop_tri_v4:11:2489832:2491153:-1 gene:Potri.011G030500.v4.1 transcript:Potri.011G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030500.v4.1 MNINTEHIPFMTSALRFPWTQSMELLIFIIFSTFLLLSSPCHADSNSDPGSQCTEVDNATNSSEYQANLSELQNSLVANAPIQNGFYTTAAGKGANKIYGLTQCRGDISATDCAACIKNVTVVQGCSNSKNATRWFKWCFLRYSDRSFFGELDQSGMVATYNDTTFEDAKVVSEGLNFTKTLASTTPNQPSMFYTAVLDVGQSGKRYGMAQCTRDLSKSDCGKCLDFQLATYLNIIGNKRSWDIYGSSCSMWYYDYQFYFNFSTPAAKGGSTRSSPHRVAIGMAFPVLVFLLVL >Potri.001G255100.1.v4.1 pep chromosome:Pop_tri_v4:1:27119425:27121182:1 gene:Potri.001G255100.v4.1 transcript:Potri.001G255100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255100.v4.1 MNTFMATTILLLFGLMISRLTLSDAQSIGVCYGKNGNNLPSDQEVVSLFQTNVIGRMRIYDPNRDTLEALRGSNIEVVLGVPNDKLQSLTDASAATTWVQDNVVAYSSNVKFRYIAVGNEVHPGDANAQSVLPAMQNIHNAIASANLQDQIKVSTAIDTTLLGSSYPPSDGSFSDSASSYINPIINFLRTNGSPLLANVYPYFSYTGNPQSIDLSYALFTSPGVVVQDGQYGYQNLFDALLDSLYAALEKAGAPDLNIVVSESGWPSEGGTAATADNAGTFYRNLINHVKQGTPRRSGQAIETYLFAMFDENLKAAGIEQHFGLFLPNKQPKYQLTFG >Potri.001G255100.3.v4.1 pep chromosome:Pop_tri_v4:1:27119425:27121187:1 gene:Potri.001G255100.v4.1 transcript:Potri.001G255100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255100.v4.1 MRIYDPNRDTLEALRGSNIEVVLGVPNDKLQSLTDASAATTWVQDNVVAYSSNVKFRYIAVGNEVHPGDANAQSVLPAMQNIHNAIASANLQDQIKVSTAIDTTLLGSSYPPSDGSFSDSASSYINPIINFLRTNGSPLLANVYPYFSYTGNPQSIDLSYALFTSPGVVVQDGQYGYQNLFDALLDSLYAALEKAGAPDLNIVVSESGWPSEGGTAATADNAGTFYRNLINHVKQGTPRRSGQAIETYLFAMFDENLKAAGIEQHFGLFLPNKQPKYQLTFG >Potri.011G141100.1.v4.1 pep chromosome:Pop_tri_v4:11:17152369:17154364:-1 gene:Potri.011G141100.v4.1 transcript:Potri.011G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141100.v4.1 MRDYCRIIACCFLFLPFLSSANSTFTSLPQTSSHPFSGHRCVGSEKTALLRLKRDLSAAKPESTLPLQPASGSLLTSWKPNTDCCSWEGVTCHGVTTDHVIGIKLSGHNLSGLVNSTELLNLPYLERLNLVNCNIGEIPSFLRKVSRLVELDLSNNQIHGQVPKWIWQFERLVYLNLSNNFLNGFEAPSSDPFFSSLTFLDLSSNLLEGSIPIPPPSISFLSLAKNKLTGEIPESLCRIRNLTILDLCYNSMTGQIPKCLEALAATLTVLNLRENKFFGLMLWNFTEDCSLKTLNLYGNQLTGKIPSSLKHCRCLEVIDLGDNQINDTFPFWLGMLPSLQVLILQSNRLHGPIGQPLTSNDFPMLQIFDLSSNHFTGNLPLDYFAIWKSMRVKFNGSLLYLGSYYYRDWMSITSKGHRMDNINILTIFTILDLSNNLFEGEIPEEIGDHKLLDVLNMSRNNLIGEIPTSLSKLTLLESLDLSKNKLTGAIPMQLISLTFLSVLNLSYNRLEGKIPVGNQFSTFTSDSYQENLGLCGFPLSNKCDDVEDQQPPGAQEESILSESGSLFSWKSALLGYGCAVPVGVAIGHMLFWRNKRCSKLIEQSFKAKNHRRQSNERNRKRR >Potri.008G115533.1.v4.1 pep chromosome:Pop_tri_v4:8:7364369:7364939:1 gene:Potri.008G115533.v4.1 transcript:Potri.008G115533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115533.v4.1 MSSPILNKRMAKVFGRRSRLRDHSIDHSLKAPKIHCFSFCDRRGEVARGR >Potri.006G283300.1.v4.1 pep chromosome:Pop_tri_v4:6:27306601:27307545:1 gene:Potri.006G283300.v4.1 transcript:Potri.006G283300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283300.v4.1 MVQNASFPQPNLVTANELFVDGVLLPLYLLHHPNNNNNNHPPDPDPDSTEPEPPSSQPDPEPEILPASITMEPTSSSKRWKDIIFKKGDKKTSTAAKKQEEKDKDKDKDKKREKRSQNGASSAELNINIWPFSRSRSEGNSVTRPKLFPGAPGTRKVSSAPCSRSNSAGESKSRKSWPSSPGRPGVHLIRSSPVWQVRRGGGTGTKSSFPEPVVRSGEKSSGARAKVLNINVPVCIGYRNHLSCRSGTTNVGNGGSLFNLRSLFTKKVY >Potri.003G146200.3.v4.1 pep chromosome:Pop_tri_v4:3:16080575:16085903:-1 gene:Potri.003G146200.v4.1 transcript:Potri.003G146200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146200.v4.1 MTPEEPELKNGLEPKPATEQKQDPELETMAAPESKPAPEPEPEPATIHVRSADPEAEEPNETEIQSNESEKPESNEQNASRPAELQQNRTFTMRELLTELKTEEGDDAASTPLSQQSTQQQQQNNAAMELIDSVTSTDEEGRSRQRVLTFAARRYASALERNPDDYDALYNWALVLQESADNVSLDSTSPSKDDLLEEACKKYDEATRLCPTLNDAFYNWAIAISDRAKMRGRTKEAEELWKQATKNYEKAVQLNWNSPQALNNWGLALQELSAIVPAREKQTIVRTAISKFRAAIQLQFDFHRAIYNLGTVLYGLAEDTLRTGGTPNAKDVSPNELYSQSAIYIAAAHALKPNYSVYSSALRLVRSMLPLPYLKVGYLTAPQAGKPIAPHNDWKRSEFVLNHEGLQQISKSEQKQVRRSLSGRPSDVSNSDKKAIKVEVPDIVSVSACADLTLPPGAGLCIDTIHGPLFLVADSWESLDGWLDAIRLVYTIYARGKSEVLAGIVTS >Potri.001G052400.1.v4.1 pep chromosome:Pop_tri_v4:1:3970013:3973618:-1 gene:Potri.001G052400.v4.1 transcript:Potri.001G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G052400.v4.1 MAVAKAASGVLLSRPVTFVTGNAKKLEEVRAILGQSIPFQSLKLDLPELQGEPEEISKEKARLAAVEVNGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCAFSFALGPDVEPITFLGKTPGMIVPARGPNDFGWDPIFQPDGHEQTYAEMAKDEKNKISHRSKALDMVKSHFVEAGYIFQDK >Potri.015G090900.1.v4.1 pep chromosome:Pop_tri_v4:15:11386844:11392710:1 gene:Potri.015G090900.v4.1 transcript:Potri.015G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090900.v4.1 MENQGETQQPHLVLSHKLFLLTHPDVQDIEKVRLKEEVLTTIKSDDMVPLYETLVAESLLEKDQSLLDSMRAKNEDELKKLDEKIADAEENLGESEVREAHLAKSLFYIRIGDKEKALEQLKVTEGKTVAVGQRMDLVFYTLQLAFFYMDFDLISKSIDKAKNLFEEGGDWERKNRLKVYEGLYCMSSRNFKKAADLFLDSISTFTTYELFPYDTFIFYTVLTSIISLDRVSLKQKVVDAPEILTVIGKIPYLSEFLNSLYDCQYKSFFSAFAGLTEQIKLDRYLHPHFRYYMREVRTVVYSQFLESYKSVTIEAMAKAFGVTVDFIDLELSRFIAAGKLHCKIDKVAGVLETNRPDAKNALYQATIKQGDFLLNRIQKLSRVIDL >Potri.001G068700.1.v4.1 pep chromosome:Pop_tri_v4:1:5162816:5166802:-1 gene:Potri.001G068700.v4.1 transcript:Potri.001G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068700.v4.1 MGAFCCCPCSDEHEEHAYSGNSIYRHCVCLRFLFHQLRSGYGTVFHRLEGRTVSPTQEGTSLASTGVGTGLPDGSENDTQLSSSRPLPYDTDQRYARLQRYGLVSRKSMTHFQEESQPLRRNMSSSAVESLGFGRRRNGIDSEDDNKLGYSELSDKSLATKVAYRLTYAQPSSEDEDACPTCLDEYTPENPKITTRCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >Potri.001G414000.1.v4.1 pep chromosome:Pop_tri_v4:1:43796555:43800379:1 gene:Potri.001G414000.v4.1 transcript:Potri.001G414000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414000.v4.1 MEIAMRTGLINSFTSITMLLVCIFLLFLSLAFATQDNITSNESIKDGESPLVSAGGTFELGFFSPGNSMNRFLGVWYKNELSTHKEVIWVANREIPLKDRSGFLNFTQQGVLLLFNGNNERIWSSNKTTNVESPVMQLLDSGNLVVIDGKDNNFILWQSFEYPCDTFLPGMMIGGNSQTGVDRNLISWKSADDPGPGQFSFGIDRQGFPQLVIRNGTLKHCRLGSWNGKRFTGTPDLPRDQFLKYDFILNKTHADYSYEILRPGALLTRLIVNQSGFVERFMRPIQNNNWTSIYSAPRDLCDNYSVCGAHMICKMVDQSHNCTCLEGFEPKSHTDWSRGCARRSALNCTHGIFQNFTGLKLPDTSLSWYDTSMSLVECKDMCLKNCSCTAYANSNITGEASGCILWFGELVDMREFSTGGQDLYIRMPPPLKTDQTTSNTNSGKKKLVGIILGSTVLAGVLMVGLTFYIWRKKQRKQEIEEDMELPSFHLATIVKATDNFSSNNKLGQGGFGPVYKGTLIDGQEIAVKRLSKSSRQGLTEFKNEVILIAKLQHRNLVKLLGCCIQGDEVMLIYEFMPNKSLDYFIFDQTRNKFLDWQRRNLIIGGIARGLLYLHQDSRLRIIHRDLKASNILLDKDMNPKISDFGMARLFGVDQIEADTNKVVGTYGYMSPEYAVDGRFSLKSDVFSFGVLVLEIISGKKNRGFSHPDHCHNLLGHAWKLWTEERALELLDNMSDRPYSVSEVLRCIHVGLLCVQQKPEERPNMSSVVLMLGSENSLPDPKQPGFFTERNMPAVDSSSGNHESSSINDLTISQLDAR >Potri.008G085400.1.v4.1 pep chromosome:Pop_tri_v4:8:5339374:5343699:1 gene:Potri.008G085400.v4.1 transcript:Potri.008G085400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085400.v4.1 MASYAGKGDPSNGTIYISNLPEGTDDIMLAGYFGTIGLLKKDKRTGRPKIWLYRDKMTNEPKGDATVTYEDPHAALAAVEWFNNKDFHGNIIGVFIAQSKSKDDTVCNSVDDPNDFGGFEENAKDLNEGGGRGRGQDDASGKAWQQDGDWLCPNTSCSNVNFAFRGVCNRCASARPSGPSGGGAGAGGHGRGRGANDIGVPGRSVGAPTGLFGPNDWTCPMCGNINWAKRSKCNVCNTNKPGHNEGGVRGGRGGGYKELDEEELEETKRRRKEAEDDGELYDEFGNLKKKFRAKTQQAEAGKVLPGAGRAGWEVEEIGVGDKEGRERSRERGRDRDDRESSKARDRDGRDRRRSRSRDRDRDRDYEYGRNREYGRDRDRDRYRY >Potri.007G132501.1.v4.1 pep chromosome:Pop_tri_v4:7:14566571:14567046:1 gene:Potri.007G132501.v4.1 transcript:Potri.007G132501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132501.v4.1 MFVVAVADPKGMQDLLYSIPGTDVEIISPLKGENLSTQQLYMKIITGQ >Potri.002G007100.3.v4.1 pep chromosome:Pop_tri_v4:2:390553:393069:1 gene:Potri.002G007100.v4.1 transcript:Potri.002G007100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007100.v4.1 MQRLEVAEFSGLRASSCVTYAKNASEGSFFDMVASQLAPKVAVSTPVRAETVSKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKAEVKIVDNETISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEKDYDHEVSNIVSNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNAAFRKAAEGPLKGVLDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMIKVVAWYDNEWGYSQRVVDLGHLVASKWPGVAAAGSGDPLEDFCKTNPADEECKVYEA >Potri.002G007100.2.v4.1 pep chromosome:Pop_tri_v4:2:390466:393092:1 gene:Potri.002G007100.v4.1 transcript:Potri.002G007100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007100.v4.1 MVASQLAPKVAVSTPVRAETVSKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIVVNDSGGVKNASHLLKYDSMLGTFKAEVKIVDNETISVDGKPIKVVSNRDPLKLPWAELGIDIVIEGTGVFVDGPGAGKHIQAGAKKVIITAPAKGADIPTYVVGVNEKDYDHEVSNIVSNASCTTNCLAPFVKVMDEEFGIVKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVSLVLPQLKGKLNGIALRVPTPNVSVVDLVVNVEKKGITAEDVNAAFRKAAEGPLKGVLDVCDVPLVSVDFRCSDVSSTIDSSLTMVMGDDMIKVVAWYDNEWGYSQRVVDLGHLVASKWPGVAAAGSGDPLEDFCKTNPADEECKVYEA >Potri.014G022000.2.v4.1 pep chromosome:Pop_tri_v4:14:1317160:1318034:-1 gene:Potri.014G022000.v4.1 transcript:Potri.014G022000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022000.v4.1 MEISMTKGSSMKSLWFALGLMLLVVSTEMRVVHCRALRSTSSTITTTGYQQVDGAQESKAMASFVVSSNKSSGRPSLRSLMFKLASGPSKRGPGH >Potri.010G003800.2.v4.1 pep chromosome:Pop_tri_v4:10:341374:342411:-1 gene:Potri.010G003800.v4.1 transcript:Potri.010G003800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003800.v4.1 MEQSSSSSSSSSSSSSSSKKESLDVPLHSFGFQIEELSPQKVTGRLLVTPKCVQPFKVLHGGVSALISEAMASMGAHMASGLQRVAGIHLSINHVKSARLGDLVLAEATPFSIGKTIQVWEVRIWKLADPSNTESSKSLVSSSRVTLMCNLPVPDHAKEATENLRSHAKL >Potri.018G140700.3.v4.1 pep chromosome:Pop_tri_v4:18:14574255:14577592:1 gene:Potri.018G140700.v4.1 transcript:Potri.018G140700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140700.v4.1 MAILEANTQQAKRGRSSKVKGSEMLLASTQTNTKKQALHNVSVKSESNKRKRGTVVNDGVKSKAMIRAIEIQASISSEFPSLIKYMQPSHGLCKKFCDEHLPNEDTVIVLEDGNGKSSQTKYLAHKSGLSGGWRGFSIDHNLVEGDVLVFHLVKPTKFKVYVVKANSAEEVDGALAILKFDSCIKQMNPYHAEAEMEYQDLEHLYPTNPEDNNTMPGISNIRLISDHSENESGDFGFEITDGIRMSETVVDFKEVRSFEDFDILVNGLVINCELSKHLQMKYYELCCSQKCFLHENFIEGLNCKLIAGIISETINIADAIRASKVTTSYDNFTTWEKTLKAFLGLGMKVDFLLARLEQLINLSAKSERHKKARLEKDNAEDEMRILEAKISGVEETMNRLDVVVETLEVNAENLELRFQELAKAPW >Potri.018G140700.2.v4.1 pep chromosome:Pop_tri_v4:18:14574255:14577552:1 gene:Potri.018G140700.v4.1 transcript:Potri.018G140700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140700.v4.1 MAILEANTQQAKRGRSSKVKGSEMLLASTQTNTKKQALHNVSVKSESNKRKRGTVVNDGVKSKAMIRAIEIQASISSEFPSLIKYMQPSHVSGGFWLGLCKKFCDEHLPNEDTVIVLEDGNGKSSQTKYLAHKSGLSGGWRGFSIDHNLVEGDVLVFHLVKPTKFKVYVVKANSAEEVDGALAILKFDSCIKQMNPYHAEAEMEYQDLEHLYPTNPEDNNTMPGISNIRLISDHSENESGDFGFEITDGIRMSETVVDFKEVRSFEDFDILVNGLVINCELSKHLQMKYYELCCSQKCFLHENFIEGLNCKLIAGIISETINIADAIRASKVTTSYDNFTTWEKTLKAFLGLGMKVDFLLARLEQLINLSAKSERHKKARLEKDNAEDEMRILEAKISGVEETMNRLDVVVETLEVNAENLELRFQELAKAPW >Potri.016G119400.1.v4.1 pep chromosome:Pop_tri_v4:16:12432795:12439267:1 gene:Potri.016G119400.v4.1 transcript:Potri.016G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119400.v4.1 MASVLNSALSLNLSNFKRKRVRTQYLVSPLSLSLSRRRVTIGAAETDANEAKPQAPDKAPDSGGGGSSFNQLLGIKGAAKETNKWKIRLQLTKPVTWPPLVLGVVCGAAASGNFDWTLEDVAKSIVCMLMSGPFLTGYTQTINDYYDREIDAINEPYRPIPSGVISENEVITQIWILLLGGLGLAGLLDVWAGHDFPIVFYLALGGSLVSYIYSAPPLKLKQNGWIGNFALGASYISLPWWAGQALFGTLTPDIIVLTLLYSIAGLGVAIVNDFKSIEGDRLLGLQSLPVAFGAETAKWICVGAIDITQISVAGYLLGAGKPYYALALLGLIIPQVFFQFQYFLKDPVKYDVRYQASAQPFLVLGLLVTALATNH >Potri.009G143200.2.v4.1 pep chromosome:Pop_tri_v4:9:11408101:11412009:1 gene:Potri.009G143200.v4.1 transcript:Potri.009G143200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143200.v4.1 MDTPGNLKTSSSELDLDRPNIEDYLPSGSSIQEPIGKLRLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNVYLFPLWCCGVVIRYGILFPVRVLVLAIGWIIFLSSYIPVHLLLKGQDKLRKKIERSLVEVICMFFVASWTGVVKYHGPRPSRRPKQVFVANHTSMIDFIILEQMTPFAVIMQKHPGWVGLLQSTILESVGCIWFHRSEAKDREIVAKKLKDHVQGADNNPLLIFPEGTCVNNHYTVMFKKGAFELDSTVCPIAIKYNKIFVDAFWNSRKQSFTTHLLQLMTSWAVVCDVWYLEPQNLRPGETPIEFAERVRGIISARAGLKKVPWDGYLKYSRPSPKHRERKQQSFAESVLRSLEEK >Potri.012G099400.1.v4.1 pep chromosome:Pop_tri_v4:12:12260559:12262978:1 gene:Potri.012G099400.v4.1 transcript:Potri.012G099400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099400.v4.1 MEESKGRIQYAYQRKRYVRDDATNMDMGIRVDASDRISRLPDHVLHYILSYLSIRAVVRFSVLSKTWHRISTSFPVSDFSEDVLLLGKRYEIQDWKNKFIDFVQDSLLAQHHHNTRSHKFRLSMDLDSYDPQLTSRADHLLELATKCGVYEFDLNFQNISHYCLPRALLSAEEITVLRLNGNYKLSLPRDAINWPSLRVLSLMNVRVDEAILQNLICGCPLIEKLALVYCYGVKSIRISGCIKLKEVEVNEGDSVLERMEIHVPSLRTFCYTTGLVKSFFHIDMTGCRNLEVLKLKFYNITEVIGQVFQDLIAQFPALKVLALNCYATSVSRIKISNPQLEKLQLWSSALTKVTITSPSLHSFKHFTYGFPSAFSLDQSSLQKATLHVHKGALYSSDFLQLREYLGNFNQIRRLTLRINYVGIRFIPETLNNISIPALPDIKHLKLKICPSTGASGSLANLKDYRDIVDGLLWVCHPETILLISGWSSENLFIQILCEKLMQGGEKQHCCTSSRIKCWRHDLKDIQIEHLQRNAEAKAFTCGTLLESLPNLARGQKIRFIFNW >Potri.011G075900.1.v4.1 pep chromosome:Pop_tri_v4:11:8043916:8046200:-1 gene:Potri.011G075900.v4.1 transcript:Potri.011G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075900.v4.1 MASVNILYLLAFVLVIVLKSRHDVDGKSEVPCFFIFGDSLVDSGNNNHLKNKGKVNYLPYGIDFPDGPTGRFNNGRTVPDVLGELLGFKSFIKSFPTAKGSQILEGVNYGSGYAGIRDETGRHMGVLVSFNKQIEHHQVTMSRIHHILGKNHSNYLKQCLYLSMIGNNDYINNYFLPKYYNSRRHYTPKQYANVLVEEYAQHLKTLHDFGARKLAIIGVAPIGCTPNATAYYGTNGSLCVEKLNKAAILFNQLLKLRVQDLNNKLIGANFIYLEIYEIIWKYINVLGTRGLIKSCCQVNDYGLCIPSKLPCLNRNLALFWDSFHPSEFLNLITGTISYNALRTIL >Potri.011G075900.2.v4.1 pep chromosome:Pop_tri_v4:11:8043916:8046200:-1 gene:Potri.011G075900.v4.1 transcript:Potri.011G075900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075900.v4.1 MASVNILYLLAFVLVIVLKSRHDVDGKSEVPCFFIFGDSLVDSGNNNHLKNKGKVNYLPYGIDFPDGPTGRFNNGRTVPDVLGELLGFKSFIKSFPTAKGSQILEGVNYGSGYAGIRDETGRHMTLHDFGARKLAIIGVAPIGCTPNATAYYGTNGSLCVEKLNKAAILFNQLLKLRVQDLNNKLIGANFIYLEIYEIIWKYINVLGTRGLIKSCCQVNDYGLCIPSKLPCLNRNLALFWDSFHPSEFLNLITGTISYNALRTIL >Potri.T012400.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:208270:209609:1 gene:Potri.T012400.v4.1 transcript:Potri.T012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012400.v4.1 MRIHFTNSGTKVSFLPRQVAESIPFSSDKVPEILNYFALQVNSKEAQVIRDEIGGCEEPNMEGEEKFCATSLESLIDFSVERLGRNVRVLSTDAGKKQEYTVSAKATMIGDHKAAVCHKMRYPYAVHYAM >Potri.001G173900.1.v4.1 pep chromosome:Pop_tri_v4:1:14943377:14945128:1 gene:Potri.001G173900.v4.1 transcript:Potri.001G173900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173900.v4.1 MRFKAGMKVEVLSKTEVATGSWLCAEIISGNGHTYSVKYGWFPMNNDAEAVVERVPRKAIRPCSPPMAGDDHWVPGDLVEVFHNSAWKTAIVMEVMGDKSFFVRLLGLCGEFIVHKSHLRVRQCWQDGKWIVMRKGLENYCVPMGKGLPDTGIHLAADDDCFPVEKIFEVRRRPMVSSRTLKRRSTFGSDLETYPVTAQKKRLIEKNGSHEQICSAYPSPTFEKVDTLIYPNEILGENYVHSSFHVGKVELSKMDVGRNNDGSLVESSISVDTDSCMSSVGSCSAVGSYGHNLPCPNLCDKNLEDLHSDAESSNGSEYEIKDSPSYDEKFGVEVHRSKLHAYCSTVEALYSAGPLSWEDEENLTSLRDELHISDDEHLMVLRNLTSVNSRIVSR >Potri.001G173900.2.v4.1 pep chromosome:Pop_tri_v4:1:14942735:14947805:1 gene:Potri.001G173900.v4.1 transcript:Potri.001G173900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173900.v4.1 MRFKAGMKVEVLSKTEVATGSWLCAEIISGNGHTYSVKYGWFPMNNDAEAVVERVPRKAIRPCSPPMAGDDHWVPGDLVEVFHNSAWKTAIVMEVMGDKSFFVRLLGLCGEFIVHKSHLRVRQCWQDGKWIVMRKGLENYCVPMGKGLPDTGIHLAADDDCFPVEKIFEVRRRPMVSSRTLKRRSTFGSDLETYPVTAQKKRLIEKNGSHEQICSAYPSPTFEKVDTLIYPNEILGENYVHSSFHVGKVELSKMDVGRNNDGSLVESSISVDTDSCMSSVGSCSAVGSYGHNLPCPNLCDKNLEDLHSDAESSNGSEYEIKDSPSYDEKFGVEVHRSKLHAYCSTVEALYSAGPLSWEDEENLTSLRDELHISDDEHLMVLRNLTSVNSRIVSR >Potri.001G173900.3.v4.1 pep chromosome:Pop_tri_v4:1:14942736:14947599:1 gene:Potri.001G173900.v4.1 transcript:Potri.001G173900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173900.v4.1 MRFKAGMKVEVLSKTEVATGSWLCAEIISGNGHTYSVKYGWFPMNNDAEAVVERVPRKAIRPCSPPMAGDDHWVPGDLVEVFHNSAWKTAIVMEVMGDKSFFVRLLGLCGEFIVHKSHLRVRQCWQDGKWIVMRKGLENYCVPMGKGLPDTGIHLAADDDCFPVEKIFEVRRRPMVSSRTLKRRSTFGSDLETYPVTAQKKRLIEKNGSHEQICSAYPSPTFEKQHMDFELTNMLMLCRNGSWPILRGCIPCF >Potri.010G218600.2.v4.1 pep chromosome:Pop_tri_v4:10:20483446:20486256:1 gene:Potri.010G218600.v4.1 transcript:Potri.010G218600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218600.v4.1 MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVESFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKEPSGAKVTKSAAKKGGK >Potri.010G218600.3.v4.1 pep chromosome:Pop_tri_v4:10:20483452:20486252:1 gene:Potri.010G218600.v4.1 transcript:Potri.010G218600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218600.v4.1 MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTKYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVRQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVESFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKEPSGAKVTKSAAKKGGK >Potri.010G218600.4.v4.1 pep chromosome:Pop_tri_v4:10:20484876:20486042:1 gene:Potri.010G218600.v4.1 transcript:Potri.010G218600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218600.v4.1 MDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGIIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTAQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVESFSEYPPLGRFAVRDMRQTVAVGVIKSVEKKEPSGAKVTKSAAKKGGK >Potri.008G167600.2.v4.1 pep chromosome:Pop_tri_v4:8:11571110:11579934:1 gene:Potri.008G167600.v4.1 transcript:Potri.008G167600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167600.v4.1 MGQQQSKDELLYQQVNYGNIGGIKTLCSQGAGLEWIDKEGKTPLILACLNPQLFDVAQTLIELGANVDAYRPGRNAGTPLHHAAKRGLENTVKLLLSRGANALVTNDDCQTPLQVARAKGHSIVVRAIESHICLFSGWLREFYGPGFLEVLAPRLVSRDVWVVVLPTGSRNPRRPYKLELAIYSRLQDAQPRTIVALWKANLEEMKFHHSDPSVMIVDNSTTSRGRRRRRARCRSLNVRQTQIKLAPANESDKQQLQWFCDACKGIQQVMHPPAFLHNSQAPAVQATAPPSAEDIEIAMAMNAYIQSAAERPIFDPHSSAGASSSTSWSYPVNTGSQVALETPAAPPPKVTISELALHETGATSSSTQQTKIQNSSIADVQTATDAQDSVPSAPPIVDEIVEDGPIHYPSIDSSPIDTSSLSVENLPENTGEKREDGGSSSCVICLDALVEGACIPCGHMAGCMSCLKEIKAKKWGCPVCRAKIDQVVRLYAV >Potri.008G167600.3.v4.1 pep chromosome:Pop_tri_v4:8:11571056:11578088:1 gene:Potri.008G167600.v4.1 transcript:Potri.008G167600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167600.v4.1 MGQQQSKDELLYQQVNYGNIGGIKTLCSQGAGLEWIDKEGKTPLILACLNPQLFDVAQTLIELGANVDAYRPGRNAGTPLHHAAKRGLENTVKLLLSRGANALVTNDDCQTPLQVARAKGHSIVVRAIESHICLFSGWLREFYGPGFLEVLAPRLVSRDVWVVVLPTGSRNPRRPYKLELAIYSRLQDAQPRTIVALWKANLEEMKFHHSDPSVMIVDNSTKTQIKLAPANESDKQQLQWFCDACKGIQQVMHPPAFLHNSQAPAVQATAPPSAEDIEIAMAMNAYIQSAAERPIFDPHSSAGASSSTSWSYPVNTGSQVALETPAAPPPKVTISELALHETGATSSSTQQTKIQNSSIADVQTATDAQDSVPSAPPIVDEIVEDGPIHYPSIDSSPIDTSSLSVENLPENTGEKREDGGSSSCVICLDALVEGACIPCGHMAGCMSCLKEIKAKKWGCPVCRAKIDQVVRLYAV >Potri.008G167600.1.v4.1 pep chromosome:Pop_tri_v4:8:11571070:11578038:1 gene:Potri.008G167600.v4.1 transcript:Potri.008G167600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167600.v4.1 MGQQQSKDELLYQQVNYGNIGGIKTLCSQGAGLEWIDKEGKTPLILACLNPQLFDVAQTLIELGANVDAYRPGRNAGTPLHHAAKRGLENTVKLLLSRGANALVTNDDCQTPLQVARAKGHSIVVRAIESHICLFSGWLREFYGPGFLEVLAPRLVSRDVWVVVLPTGSRNPRRPYKLELAIYSRLQDAQPRTIVALWKANLEEMKFHHSDPSVMIVDNSTTSRGRRRRRARCRSLNVRQTQIKLAPANESDKQQLQWFCDACKGIQQVMHPPAFLHNSQAPAVQATAPPSAEDIEIAMAMNAYIQSAAERPIFDPHSSAGASSSTSWSYPVNTGSQVALETPAAPPPKVTISELALHETGATSSSTQQTKIQNSSIADVQTATDAQDSVPSAPPIVDEIVEDGPIHYPSIDSSPIDTSSLSVENLPENTGEKREDGGSSSCVICLDALVEGACIPCGHMAGCMSCLKEIKAKKWGCPVCRAKIDQVVRLYAV >Potri.019G028601.1.v4.1 pep chromosome:Pop_tri_v4:19:4058805:4058918:-1 gene:Potri.019G028601.v4.1 transcript:Potri.019G028601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G028601.v4.1 MVPIPVIFLGLFTSGIQALIFATLAAAYIGESLEGHH >Potri.008G086000.1.v4.1 pep chromosome:Pop_tri_v4:8:5382450:5383424:-1 gene:Potri.008G086000.v4.1 transcript:Potri.008G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086000.v4.1 MDLTSSPHHFTKSPDSDTDTETPLQTHLTKALSLTKGSCKTRQNHYFPPPPQQHTVVSYRECLKNHAAGFGGLALDGCGEFMPKPTTTPQDPTSLKCAACGCHRNFHRSEPFGPTTTTTTRTPPPPALNWTTSPGPGSTSSGPSPSPASPIPQSFYPSAPHMLLALSAGHPDDTQPQKQSHSLVMINPHGKKRGRTKFSQEQKEKMYLFAEKLGWRMPRGINDRDVGEFCIEIGVDRNVFKVWMHNNRSRKEKVSDSYGINSSNKCGFNVNEEAAGRVIGTDNKGYSFDNDNNGSKHDSFDRYQIESKVHVNGSMTPHGSSTSS >Potri.005G112600.1.v4.1 pep chromosome:Pop_tri_v4:5:8149851:8151936:-1 gene:Potri.005G112600.v4.1 transcript:Potri.005G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112600.v4.1 MDLLSFSQNHPHYCAAFLSLLFIFEGVSGAKFTFVNKCDFSVWPGILGSPELDSTGFELRKGSSRSFQAPTGWSGRFWGRTGCNFDSSGHGSCVTADCGSGQVECNGAGATPPATLAEFTLGSGSQDFYDVSLVDGYNLPMIVEVSGGSGECASTGCVTDLNRKCPTELRTEGGSACRSACEAFGKPEYCCSGEYNSPASCKPSMYSQVFKSACPKSYSYAYDDATSTFTCTGADYTITFCPNFHSLKSSSDASPQAIGGAAIGGSGTEIGGTVTGSSAQEAELQSSWLASLAIGESTGTQTHHSLVLQFALVLATSLILANSHL >Potri.006G198200.2.v4.1 pep chromosome:Pop_tri_v4:6:20586765:20588284:-1 gene:Potri.006G198200.v4.1 transcript:Potri.006G198200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198200.v4.1 METGANLEREVMQEQMLHQPLKEMQIRKRLRIMVAIDDSDGSFYALNWALDNLVDGIVPTTEPSQEESGLVTLVHVQQPFQHYMYPAGSGGAAAFYASSSIIESVRKSLAENATALLSRALQMCKDKMIKAETLILEGDPKDKICRATEQMQADVLVVGSRGLGKIKRALLGSISDYCAHHAKCPILIVKPPKEITKEKRKTDG >Potri.006G198200.1.v4.1 pep chromosome:Pop_tri_v4:6:20586765:20588284:-1 gene:Potri.006G198200.v4.1 transcript:Potri.006G198200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198200.v4.1 METGANLEREVMQEQMLHQPLKEMQIRKRLRIMVAIDDSDGSFYALNWALDNLVDGIVPTTEPSQEESGLVTLVHVQQPFQHYMYPAGSGGAAFYASSSIIESVRKSLAENATALLSRALQMCKDKMIKAETLILEGDPKDKICRATEQMQADVLVVGSRGLGKIKRALLGSISDYCAHHAKCPILIVKPPKEITKEKRKTDG >Potri.001G177600.3.v4.1 pep chromosome:Pop_tri_v4:1:15436746:15439438:-1 gene:Potri.001G177600.v4.1 transcript:Potri.001G177600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177600.v4.1 MMFSLILPSSSKTMSLSGTPNYSSTVGGRRADFSTIASGFPVKVHVQTLLRKTSSSLQSPANSMKHEMDITSAPERKLVKQFMRASNTHSFVADSTPAVNGKYQKLTEDWESKKLDLITGGRLLQDGLVYRQNISVRSFEIGGDRKMSFGALLNHLQDTALNQSRITGLIADGFGSTREMSRNNLIWVVSTLHIVVDRYPTWTDVVEVDTWMYASGKNGLGRDWIFRDSKTGETLATATSVYVMMNKKTRRLSKFAKEMRDEIEPYLMDCECPIINKDSRKILKLDVSTADKICTGLSPGWNDMDINQHVSNVKYIDWILESVPRSFMERYYLNAMTLEYKKECDMDSVLQSLSKMVGGGNSDSFNANKVMEYDHMLRLENGREILRGRTVWKLKDTNSCVNNYIY >Potri.001G177600.2.v4.1 pep chromosome:Pop_tri_v4:1:15436746:15439369:-1 gene:Potri.001G177600.v4.1 transcript:Potri.001G177600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177600.v4.1 MMFSLILPSSSKTMSLSGTPNYSSTVGGRRADFSTIASGFPVKVHVQTLLRKTSSSLQSPANSMKHEMDITSAPERKLVKQFMRASNTHSFVADSTPAVNGKYQKLTEDWESKKLDLITGGRLLQDGLVYRQNISVRSFEIGGDRKMSFGALLNHLQDTALNQSRITGLIADGFGSTREMSRNNLIWVVSTLHIVVDRYPTWTDVVEVDTWMYASGKNGLGRDWIFRDSKTGETLATATSVYVMMNKKTRRLSKFAKEMRDEIEPYLMDCECPIINKDSRKILKLDVSTADKICTGLSPGWNDMDINQHVSNVKYIDWILESVPRSFMERYYLNAMTLEYKKECDMDSVLQSLSKMVGGGNSDSFNANKVMEYDHMLRLENGREILRGRTVWKLKDTNSCVNNYIY >Potri.002G018200.1.v4.1 pep chromosome:Pop_tri_v4:2:1095773:1097564:-1 gene:Potri.002G018200.v4.1 transcript:Potri.002G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018200.v4.1 MTNLLWAKIRSIFSRAGRSPKERGNSLSNKLNVNEEYKEAFRTKSYVEMWSKVQDQLRKTSIDGVDKVASPSDPSLPLYHHLSDYLFEPQQQETLREMMGSLKFHHLLVDYFEASSKACHICELHLQCIQQTRGNYKKIRRVIKLSKRVHDSADCSDKIQGAMFRELAAYALLENPLSMFFSTEKFLDFHDDNVVLLHGLTSQKKRIMRKTKFRRICIRVGGGCLVISHTALLIALLVIAIHSMAGIVAAPGLMGCSLYAFRKQIKLVHRGLEKSRFEKRLGAQLDLAAKGIYILINDFNTVSRLTRSLFDEVEHQKALADMCVRNNKPELLKEVVKEFHIHDSSYLEQLEELERHIYLCFHTINRSRRLVMDKIMVASNNSTADDHQQKFP >Potri.006G230200.3.v4.1 pep chromosome:Pop_tri_v4:6:23364199:23366967:-1 gene:Potri.006G230200.v4.1 transcript:Potri.006G230200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230200.v4.1 MDFNNNNSSSRAPRLSSLTIGERICAACIPFAAIIEIFILAVGNCFEWRPSVNRNRCGFLNIARLADGSRFTVNEVEALYELYKKLSNSIIKDGLIHKEELQLALFQAPHGENLFLDRLFDLFDEKRNGVIEFEEFVRALNVFHPYAPMEEKTDFAFRLYDLRQTGFIEREEVKQMVIAILLESDVKLPDDLLEAIIDKTFADADADKDGKINKEEWKAFVVRHPNLLNNMTLPYLKDISTVFPSFIFNTEVED >Potri.003G012300.1.v4.1 pep chromosome:Pop_tri_v4:3:3241925:3246051:1 gene:Potri.003G012300.v4.1 transcript:Potri.003G012300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012300.v4.1 MSNLVVKGGSRPPWVGLAAALWVEIAAGNAYNFPLYSPALKSVMGLNQQQLTMLGVANDIGENVGLLPGMACNKFPPWAVLSVGVLACFLGYGVLWLVVSQTVKPLPYWLLWLALVIATNSNAWFGTAVVVTNMRNFPLSRGTVSGILKGCAGISAAVYTVVYSLVLKGSASNLLLFLTLVIPILCLAMMYFIRPCTPASGEDSSEHVHFLFTQAAVILLAIYLLITAIIGTVVSLSDAVSYILVAIVVIFLISPLAIPVKMTIFPSRPKKNPPSDSSDHLMLGEGETTPTDPLLTPSSSATSLGSFYENDDASDVEILLAMGEGAVKKKRRPKRGEDFKIHEALIKADFWLLWVVYFLGVGSGVTILNNLAQIGAAFGLEDTTILLALFGFCNFVGRIGSGAVSEHFVRSRAIPRTLLMTCAHIIMAITFIPFALALDGILYTATALLGISYGILYAVMVPTASELFGLRHFGLIYNVLLLGNPVGALLFSGILAGYVYDAETARQGSSTCLGPDCFKITFLALAGFCGLGTVVSIILTVRIRPVYQMLYSGGSYHLPQNLGH >Potri.003G012300.2.v4.1 pep chromosome:Pop_tri_v4:3:3242287:3246031:1 gene:Potri.003G012300.v4.1 transcript:Potri.003G012300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012300.v4.1 MLSFLLLLQLWLALVIATNSNAWFGTAVVVTNMRNFPLSRGTVSGILKGCAGISAAVYTVVYSLVLKGSASNLLLFLTLVIPILCLAMMYFIRPCTPASGEDSSEHVHFLFTQAAVILLAIYLLITAIIGTVVSLSDAVSYILVAIVVIFLISPLAIPVKMTIFPSRPKKNPPSDSSDHLMLGEGETTPTDPLLTPSSSATSLGSFYENDDASDVEILLAMGEGAVKKKRRPKRGEDFKIHEALIKADFWLLWVVYFLGVGSGVTILNNLAQIGAAFGLEDTTILLALFGFCNFVGRIGSGAVSEHFVRSRAIPRTLLMTCAHIIMAITFIPFALALDGILYTATALLGISYGILYAVMVPTASELFGLRHFGLIYNVLLLGNPVGALLFSGILAGYVYDAETARQGSSTCLGPDCFKITFLALAGFCGLGTVVSIILTVRIRPVYQMLYSGGSYHLPQNLGH >Potri.008G163900.1.v4.1 pep chromosome:Pop_tri_v4:8:11282746:11283396:1 gene:Potri.008G163900.v4.1 transcript:Potri.008G163900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163900.v4.1 MMERNSLSSNVNGHSNIGSRACNNNDKKKKLRDSWNFSSCQSNGHDYLGGLSWPPRSYTCTFCKREFKSAQALGGHMNVHRRDRARLRLSPPRDDQCPILTLNLNPNPSFCTPFNRTIPSLVSPPLTAPSTPSLASEVKKWTIGGGNPLDPSSPNLSDLTTNGSRKSFFENFDGFTRQDGFKIWNKGEIVRLDLDIGLVSDSKDDLDLELRLGSLN >Potri.018G065900.8.v4.1 pep chromosome:Pop_tri_v4:18:7872015:7877232:1 gene:Potri.018G065900.v4.1 transcript:Potri.018G065900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065900.v4.1 MDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANPPTRGIGPGVGTSSGMPPIVSADRQTGGEEGRAVGQSMDSSRHRLSGPILNAGSYSKQKSPVANDYPITKDAVLPSSTFLGRSSGSARRAAGVSSSRDVFAAASESDPQWSRITDASPGAIHKISSGPRSPLGSSDPRRTSSSRNTTHMKTYETTLKGIESLNFDSDEKVHY >Potri.018G065900.6.v4.1 pep chromosome:Pop_tri_v4:18:7872019:7877264:1 gene:Potri.018G065900.v4.1 transcript:Potri.018G065900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065900.v4.1 MEPRVGNKFRLGRKIGSGSFGEIYLGTNIQTNEEVAIKLENIKTKHPQLLYESKLYRILQGGTGIPNVRWFGVEGDYNVLVMDLLGPSLEDLFNFCSRKLSLKSVLMLADQMINRVEFVHSKSFLHRDIKPDNFLMGLGRRANQVYIIDFGLAKKYRDSSTHQHIPYRENKNLTGTARYASMNTHLGIEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYEKISEKKVSTSIEALCRGYPTEFASYFHYCRSLRFDDKPDYAYLKRIFRDLFIREGFQFDYVFDWTILKYQQSQLANPPTRGIGPGVGTSSGMPPIVSADRQTGGEEGRAVGQSMDSSRHRLSGPILNAGSYSKQKSPVANDYPITKDAVLPSSTFLGRSSGSARRAAGVSSSRDVFAAASESDPQWSRITDASPGAIHKISSGPRSPLGSSDPRRTSSSRNTTHMKTYETTLKGIESLNFDSDEKVHY >Potri.009G057300.1.v4.1 pep chromosome:Pop_tri_v4:9:6025429:6027890:1 gene:Potri.009G057300.v4.1 transcript:Potri.009G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057300.v4.1 MALFASISTSLLSISTTQIPRPLNFKRRLFCPLATLRPPSPESPPPVSSKNHHPSKPLVESSRAPPDSGFNYALANPSANRVVQFIRSTESNIERAIFDFRFLALLAVVGSLAGSLLCFLNGCVYIIDAYRIYWTSCVKGIHTGKMVLRLVEAIDVYLAGTVMLIFGMGLYGLFICNIPPDAPASDDRALKGSSLFGMFALKERPKWMKISSLDELKTKVGHVIVMILLVKMFERSKMVTIATGVDLLSYSVCIFLSSASLYILSNLHKSE >Potri.003G089100.3.v4.1 pep chromosome:Pop_tri_v4:3:11580060:11580299:1 gene:Potri.003G089100.v4.1 transcript:Potri.003G089100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089100.v4.1 MDNKAAACEKVSQELARESLIGISYCIPDKVPNSEIVPQAVNVEEKLPVMNGDGAEMYRSELISISYSQSTDTATSPEA >Potri.009G016401.1.v4.1 pep chromosome:Pop_tri_v4:9:2771729:2771968:1 gene:Potri.009G016401.v4.1 transcript:Potri.009G016401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016401.v4.1 MFFAGWFHYHKAAPKLAWFQDVEYMLNHHLAELLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEISLPHEFILNWDLLA >Potri.019G059600.1.v4.1 pep chromosome:Pop_tri_v4:19:9911557:9914834:-1 gene:Potri.019G059600.v4.1 transcript:Potri.019G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059600.v4.1 MTASLLNKPFFSFFLHILFFLLHIFNSSSFFALAEHTPSTTSLFGNNNSEAEALLQWKASLDNQSQSLLSSWVGISPCINWIGITCDNSGSVTNLTLQSFGLRGTLYDFNFSSFPNLFWLDLQKNSLSGTIPREFGKLRNLSYLDLSINHLSGPIPSSIGNMTMLTVLALSHNNLTGSIPSFIGNFTSLSGLYLWSNKLSGSIPQEIGLLESLNILDLADNVLTGRIPYSIGKLRNLFFLGLSMNQLSGLIPSSIKNLTSVSEFYLEKNKLSSPIPQEIGLLESLHVLALAGNKFHGPLPSEMNNLTHLHGLALDGNEFTGHLPVDLCHGGVLKICTASNNYFSGSIPESLKNCTGLYRVRLDRNQLTGNISEVFGIYPHLNYIDLSYNNFYGELSSKWGDCRNMTSLQISKNNVSGEIPPELGKATQLHLIDLSSNQLKGGIPKDLGGLKLLYKLILNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTRDIPRELGQLQKLETLNVSHNMLSGRIPSTFKDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPTSSKTVKRKSNKLVVLIVLPLLGSLLLVFVVLGALSILCKRARKRNAEPENEQDRNMFTILGHDGKKFYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHTKHSFLVYEFIERGSLRKIISSEEQAIEFDWTKRLNVVKGVGGALSYLHHSCSPPIIHRDITSNNILVDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHMMKITLACLHPNPQSRPTMEKISFELTTKWPPLPQAFGTISLGDLFS >Potri.001G376966.1.v4.1 pep chromosome:Pop_tri_v4:1:39656562:39657190:-1 gene:Potri.001G376966.v4.1 transcript:Potri.001G376966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376966.v4.1 MLSLSIFISIYDRIFVPFLRRITVKEGGITILQRIGTGIFLTIAAMLVSGLISRREARDYSSYQADSRKCTKKRCHLINVGFMVNSSAISSRNSRGIWFHWTD >Potri.009G104900.1.v4.1 pep chromosome:Pop_tri_v4:9:9178705:9179884:-1 gene:Potri.009G104900.v4.1 transcript:Potri.009G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104900.v4.1 MCISLSLCPSLTSGSQPFTFHHTLLSSRSTTSKNYPFLIRSRLSMDSTIPEVAYDYSPFLRIYKDGYIERLRGTDIVPSGLDPKTNVLSKDAVYSPELNLSSRLYRPHNTNPDKKLPVLVYYHGGGFCIETPFNFRYHDHLNNLVAGSNVIAISVDYRLAPEHPLPIAYDDSWTALKWVASHVNGDGPEEWLNSHADFGQVFLAGDSAGANLAHQLAMRYGQENLSGIDLTGVILVHPYFGGKEPIGTEGENLETKSMIDAIWHFVCPTSSGLDDPLINPLVDPILDRLGCDRLLVIIGGKDFLRERGWHYYERLSKGGWEGVVEIMEGKDDEHVFHLNDPTCENAVALLKRIASFINKDKA >Potri.009G104900.2.v4.1 pep chromosome:Pop_tri_v4:9:9178645:9179848:-1 gene:Potri.009G104900.v4.1 transcript:Potri.009G104900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104900.v4.1 MDSTIPEVAYDYSPFLRIYKDGYIERLRGTDIVPSGLDPKTNVLSKDAVYSPELNLSSRLYRPHNTNPDKKLPVLVYYHGGGFCIETPFNFRYHDHLNNLVAGSNVIAISVDYRLAPEHPLPIAYDDSWTALKWVASHVNGDGPEEWLNSHADFGQVFLAGDSAGANLAHQLAMRYGQENLSGIDLTGVILVHPYFGGKEPIGTEGENLETKSMIDAIWHFVCPTSSGLDDPLINPLVDPILDRLGCDRLLVIIGGKDFLRERGWHYYERLSKGGWEGVVEIMEGKDDEHVFHLNDPTCENAVALLKRIASFINKDKA >Potri.005G245500.1.v4.1 pep chromosome:Pop_tri_v4:5:24047798:24049425:-1 gene:Potri.005G245500.v4.1 transcript:Potri.005G245500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245500.v4.1 DEEEHAIKTLKTALSPEDTLIAEKFHSLMKEHYLSNPRKTPPPDPTYTISSLSLDFSQIISTVRSISPSIVRHVIAQSSAVRHGIPVPQVLAFFNWASNQDGFRKSPEAYNEMVDFSGQVMMFDVAWYVIDLMKARNVDVTVETFLILMRRYVRAGLAAEAIHAFNRMEDYNCKPDKIAFSILISILCRERRASQAQEFFDSLKDKFELDAERVFGDMKMSGIKPNVDTYSIVIDSLCRCGQTKRAHDVFAEMLDAGCHPNSIIFNSLMRIHAEAGRTEKVLQLYNQMKRFGCEPDMVTYNFLLETHCKDENREDVKRMLILMIEKGCAPNASTFNTLIVCVAKFGNVNAVHRIYDIMKEFKYEPNAKMHVALKSTDMVLKLKKKMDENKIEPNVNTYKVLITMYCDMENWKDAYELCREMIEEKRLKPSLQVYEMVLQQLKKAGQLKKHKELVKMMVDRGFVSRPP >Potri.012G048001.1.v4.1 pep chromosome:Pop_tri_v4:12:4438902:4439959:1 gene:Potri.012G048001.v4.1 transcript:Potri.012G048001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048001.v4.1 MPSVRDRPASFNDGSGSNKLINSKRCHSWFVSTGASAVMELAIFIVSCFPSGNNGAMVKAGQRSTSNHVFTFEYSVLFPCVCRFFSYHVSFLNVSALLGGGTQYGSFVFFLLFFFHLWPIQILLVN >Potri.005G156900.1.v4.1 pep chromosome:Pop_tri_v4:5:14955309:14962390:-1 gene:Potri.005G156900.v4.1 transcript:Potri.005G156900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156900.v4.1 MHVEGDLGFSSLVVLVFCVVIIPLGCFIIRCKWRGAVARKEDIKRLLVLAAEEAARAEFEAAASYGTVPVLTNNYQCAVCFCPTTTRCARCKAVRYCSGKCQIIHWRQGHKEECHPPTTTYHINDDGSNPGQRAAKGDQHDIYDGRHENRPVDTFSVEPVVSDSNYSPGVSFVKDDDIKVDSVLDTEGTDSIFESSGTSFSGFSTPTGSSFSEFSAHSGGESSDNVSVSESIGSNETEGSDGQMPADTAPDTLESSLNKVDVTKPLSPKFATLVDSVDSFNKLSKSNQSKPHGNDGESQCSSSSSGHSISARNDDSITKPAKVSSGFWGRTLDSAVSSSDTMDRSAMSNFTGPVNSKRSNDESFIHFKFNLSGSDAPTQHAKSTRVNDIIPDDALPSASDRALSSEKNGVDAQKVKNSPCISCERSSHIDVNSRGDLNVSSERKSVSSSSSYGHVSSSSGGVKLDAGASKVCRSQSLISERSDVVVNDPVGALHLSKSRLSSNASQTHLTSTIGGHSVSSVQYGNVELGAASSSQMASSSPSSINGLKSSVWKVVDQFRGPKCGRYSKKGLFPYDLFVKLYNSSKAEMRPCGLINCGNSCYANAVLQCLAFTPPLTSFFVQGLHSKSCLNRECCFSCEFESIILKAKEGKSPLSPLGILSQLQNIGSQLGNGREEDAHEFLRYAIDAMQSVCLKEAGVNAMDSFAEETTLIGLTFGGYLHSKIKCMKCHYKSERQERMMDLTVEIEGNIGKLEDALRRFTSAEILDGDNKYQCGRCKSYEKAKKKMTILEAPNVLTIALKRFQSGKFGKLNKSIRFPEILDLAPYMSGTSDKSPIYRLYGVIVHLDVMNAAFSGHYVCYVKNIQNKWFKIDDSTVTAVELERVLSKGAYMLLYARCSPRAPRSIRSRIISSDPKNKCYTSKINATNTALDSRSTSMQSSAFQLHPDSIPPDNLASVESFYMKFHRLQRILEEDSSSDSFSFTSGNSDEGSCSTDSTHDSTSTDDLSDYIFGGWNSWQNTSDSDTSSSSPPLYSRQSPHGEMNQHGSYADSGVGGSDLWDRIPSESSKLVYLEGKGGTFLHSDTAKQGRKLASSSSYDSTKLGSVNPLNGVKSGVSFRRTASERTD >Potri.009G120200.2.v4.1 pep chromosome:Pop_tri_v4:9:10079559:10085075:1 gene:Potri.009G120200.v4.1 transcript:Potri.009G120200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120200.v4.1 MASLQHSQAIKGAKVLMVGAGGIGCELLKTLALSGFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPHISITPYHANVKDSNFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCIVWAKELLFAKLFGDKNQVNDLNVRSNDACSSSENTNDVFEWRDDEDFEQYGRRIYDHVFGYNIELALSDEETWKKRNKPRPIYSRDVLPDKMTQQNGNVDKTDDLSSASAMASLGLKNPQDIWCLVENTRVFLEALKLFFTNRKKEIGNLSFDKDDQLAVEFVTAAANIRAASFNIPSHSLFEAKGIAGNIVHAVATTNAIVAGLIVIEAIKVLKKDTDCYRMTYCLEHPSKKMLLMPVEPFEPNKSCFVCSSQTPLSLEINTHRSKLRDFVEKIVKAKLGMNSPLIMCGLALLYEVGDDLEEDMIANYTANLEKVLSELPSPVTGGKMLTVEDLQQEFTCNIYIKHREEFDEEKEPDGMVLSGWTQAPPEKKDDKTSIGNGASTSKSLPTEPMDAQKDIKVKEISDGTASPGKKRKLPEFSEGCTLDQSNEADETRNDKKIQKLDDDDDDDLVMLDHWGKDTSKKQRLQ >Potri.010G191400.1.v4.1 pep chromosome:Pop_tri_v4:10:18688588:18690019:1 gene:Potri.010G191400.v4.1 transcript:Potri.010G191400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191400.v4.1 MDELHKIAKAYYETANSENKDLGHRLFKRMDVDGDGQISLHEFLAFLKNEGRGEMASPSFFKELNKNGTGRLDLMEAMTLCYIIESGRKFCNGCGEFMKGIFLSCMECFDHEDRSFNLCCACFEQGRYVHSHKKFLDNYVLLETKRLDALKEKNAAKTKLRREAEKKRVAEEIKKNAPTPEVITERLPEPVRVIRHEVVPYNPHQGNMLHRAALVAGAVVGAVISRCSIM >Potri.008G025700.1.v4.1 pep chromosome:Pop_tri_v4:8:1304685:1305817:1 gene:Potri.008G025700.v4.1 transcript:Potri.008G025700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025700.v4.1 MDKMIGLNSFLHPKIPSSSRIPLLHIQPNLPLPSFPLKISKKTHLRFLLSAQTNSNNPTQEPKEPAQEMNYESSNNNGGGGGGLNKDQPPPLINIKWGDLLLNPNPDNILAVGLTGLLTWASVQVLWQLFFISLVILVAALKYSFIAALLIFILVTLL >Potri.003G060366.1.v4.1 pep chromosome:Pop_tri_v4:3:8706969:8708257:-1 gene:Potri.003G060366.v4.1 transcript:Potri.003G060366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060366.v4.1 MFSAGVGCFDGCRCEGYYNHYGKKKTETVHRRAERGNNPSQEPRDTPETHSDCIKPNQFSSTLEALTDIGFLTPPSGCLLGVASSASLNLRGCPKISLVQSQQESGLLSSPGYFNWRHSPCNFIPKLYGSELGSDSFLCNNLEDSMPETLKNTCTPVPRYTGGEIFLT >Potri.018G123700.1.v4.1 pep chromosome:Pop_tri_v4:18:13573299:13580053:1 gene:Potri.018G123700.v4.1 transcript:Potri.018G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123700.v4.1 MPKVVETQSMLLQVLILVFFVLSASVKNGNAGITSTFIRSEWPSNDIPLDHEVFAVPKGHNAPQQVHITQGDYNGKAVIISWVTPDEPGTSKVQYGVSKKNYDFTAEGAVRNYTFYNYTSGYIHQCLVDGLEYDTKYYYKIGNGDSYREFWFQTPPKINPDTPYKFGIIGDLGQTYNSLATLEHYMQSGAQAVLFVGDLAYADRYMYNDVGIRWDTWGRFVERSAAYQPWMWSVGNHEIEYMPYLGEVIPFKSYLNRYPTPHLASKSSSPLWYAIRRASAHIIVLSSYSPFVKYTPEWEWLQEELERVDREKTPWLIVLMHVPIYNSNEAHFMEGESMRAVFEEWFVHYKVDVIFAGHVHAYERSYRISNIHYNVSGGDCYPAADESAPVYITVGDGGNQEGLAERFRDPQPDYSAFREASYGHSTLEIKNRTHALYHWNRNDDGKKVPTDAFVLHNQYWGSNLRRRKLKKHHLRSVVGRVSSF >Potri.010G244100.1.v4.1 pep chromosome:Pop_tri_v4:10:22007615:22012234:-1 gene:Potri.010G244100.v4.1 transcript:Potri.010G244100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244100.v4.1 MVVKMMRWPPWPPLSSRKFEAKLIVHKLQGLDLVQDEEQNSDESKKGLVVEIKWKGQKGIAFRRSVKRNFTEEGGFEGDGFQWNEEFRSVCNLSGNKDGVFLPWEIAFAVFSGLNQGPRSKVLLVGTATLNLSEYASTAKEKEAEIAVPLTVHNGTVEGTPLLHLSLRLMELRTIREPLQAVQRVIETAPSSPSSLETLSPRRDELSVLKAGLRKVKSLQVRKKACHKENSNDRCCNRIEDHEDNYPFDTDSLDDDAEGESEESNGDPSAQLSFNYETLAHANKAGGSFHSISITNGEDESWIYYNHCKPDMGSLYVEYPTASDHEQSSKQSSKLGILAWRKRKLSFISPKPKSKGEPLLKKDCGEEGGDDIDFDRRQLSSSDESSFGWNKSEEGSTTSRSSFTEFGGDNFTVGSWETKEVISRDRHMKLQAQVFFASIDQRSERAAGQSACTALVAFIANWLQSNRYEVPIKSEFDCLIRDGSLEWRNLCEKEDYRQRFPDKHFDLETILQAQICPLSVVPEKSFIGFFHPEGLEGDFDFLHGAMSFDSIWQEISHHGSDWSNNSDPLVYVVSWNDHFFVLKVERDAYYIIDTLGERLYEGCNQAYVLKFDKDTTIQKLPKETKGSDEKTVGNKVQPSSSKEKTPADRNLPSIPNEGEKTPMEEEIVCKGKESCKEYIKSFLAAIPLRELQADIKKGLMASTPLHHRLQIEFHYTQLTLPVDENSSRDVTVC >Potri.008G151200.11.v4.1 pep chromosome:Pop_tri_v4:8:10357233:10364170:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MDRNREARRVSLAASNGLSRRRHRSSSLRDSPEDDGPVELQETTRLRDRKKDRDRDRDRDRDREKDRERDRISGRSKRRRGERLMHGSNREDGERDDSSDEESVNDDEYEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPPAKVFRTAPTTINTTAAVTPWKAPDEMIGVSVPRKARSASTKRSHECWVSSGGGVGSEQTHRQASTSPVRSSGPAMLASISASPAAPASPPSSSNASVKKKMPNGPKQKPPKSSSKPNSSAQDEIEFEIAEVLYGLLRQPQAPSKQEIVGNDSTKFDSRENHNKSTSDAKSRVSSPISNSQSTVPQSSSIPQSNSSSSAAPMSAIAPKRKRPRPVKYEDEHPANFPARNSSILSTAKIDIDQPAKNESSPNIEKNLGSAAENGGVSCDLLANQAAPATTEAQLQEVVKPENHPSSDSKPMTEESECRDLGEPKEEPRSPMKESTPGLRFDDGSESLTANKANVMASEIDSQREEKFQIDLMAPPPSRSSPERDIEIDFVAVDPKSMVTNGETEKKPMMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.008G151200.9.v4.1 pep chromosome:Pop_tri_v4:8:10357252:10364175:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MDRNREARRVSLAASNGLSRRRHRSSSLRDSPEDDGPVELQETTRLRDRKKDRDRDRDRDRDREKDRERDRISGRSKRRRGERLMHGSNREDGERDDSSDEESVNDDEYEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPPAKVFRTAPTTINTTAAVTPWKAPDEMIGVSVPRKARSASTKRSHECWVSSGGGVGSEQTHRQASTSPVRSSGPAMLASISASPAAPASPPSSSNASVKKKMKPNGPKQKPPKSSSKPNSSAQDEIEFEIAEVLYGLLRQPQAPSKQEIVGNDSTKFDSRENHNKSTSDAKSRVSSPISNSQSTVPQSSSIPQSNSSSSAAPMSAIAPKRKRPRPVKYEDEHPANFPARNSSILSTAKIDIDQPAKNESSPNIEKNLGSAAENGGVSCDLLANQAAPATTEAQLQEVVKPENHPSSDSKPMTEESECRDLGEPKEEPRSPMKESTPGLRFDDGSESLTANKANVMASEIDSQREEKFQIDLMLQAPPPSRSSPERDIEIDFVAVDPKSMVTNGETEKKPMMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.008G151200.16.v4.1 pep chromosome:Pop_tri_v4:8:10359674:10364175:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MVTNGETEKKPMMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.008G151200.13.v4.1 pep chromosome:Pop_tri_v4:8:10357614:10364193:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MHGSNREDGERDDSSDEESVNDDEYEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPPAKVFRTAPTTINTTAAVTPWKAPDEMIGVSVPRKARSASTKRSHECWVSSGGGVGSEQTHRQASTSPVRSSGPAMLASISASPAAPASPPSSSNASVKKKMKPNGPKQKPPKSSSKPNSSAQDEIEFEIAEVLYGLLRQPQAPSKQEIVGNDSTKFDSRENHNKSTSDAKSRVSSPISNSQSTVPQSSSIPQSNSSSSAAPMSAIAPKRKRPRPVKYEDEHPANFPARNSSILSTAKIDIDQPAKNESSPNIEKNLGSAAENGGVSCDLLANQAAPATTEAQLQEVVKPENHPSSDSKPMTEESECRDLGEPKEEPRSPMKESTPGLRFDDGSESLTANKANVMASEIDSQREEKFQIDLMAPPPSRSSPERDIEIDFVAVDPKSMVTNGETEKKPMMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.008G151200.14.v4.1 pep chromosome:Pop_tri_v4:8:10357260:10364172:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MLASISASPAAPASPPSSSNASVKKKMKPNGPKQKPPKSSSKPNSSAQDEIEFEIAEVLYGLLRQPQAPSKQEIVGNDSTKFDSRENHNKSTSDAKSRVSSPISNSQSTVPQSSSIPQSNSSSSAAPMSAIAPKRKRPRPVKYEDEHPANFPARNSSILSTAKIDIDQPAKNESSPNIEKNLGSAAENGGVSCDLLANQAAPATTEAQLQEVVKPENHPSSDSKPMTEESECRDLGEPKEEPRSPMKESTPGLRFDDGSESLTANKANVMASEIDSQREEKFQIDLMAPPPSRSSPERDIEIDFVAVDPKSMVTNGETEKKPMMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.008G151200.10.v4.1 pep chromosome:Pop_tri_v4:8:10357260:10364655:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MDRNREARRVSLAASNGLSRRRHRSSSLRDSPEDDGPVELQETTRLRDRKKDRDRDRDRDRDREKDRERDRISGRSKRRRGERLMHGSNREDGERDDSSDEESVNDDEYEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPPAKVFRTAPTTINTTAAVTPWKAPDEMIGVSVPRKARSASTKRSHECWVSSGGGVGSEQTHRQASTSPVRSSGPAMLASISASPAAPASPPSSSNASVKKKMKPNGPKQKPPKSSSKPNSSAQDEIEFEIAEVLYGLLRQPQAPSKQEIVGNDSTKFDSRENHNKSTSDAKSRVSSPISNSQSTVPQSSSIPQSNSSSSAAPMSAIAPKRKRPRPVKYEDEHPANFPARNSSILSTAKIDIDQPAKNESSPNIEKNLGSAAENGGVSCDLLANQAAPATTEAQLQEVVKPENHPSSDSKPMTEESECRDLGEPKEEPRSPMKESTPGLRFDDGSESLTANKANVMASEIDSQREEKFQIDLMAPPPSRSSPERDIEIDFVAVDPKSMVTNGETEKKPMMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.008G151200.15.v4.1 pep chromosome:Pop_tri_v4:8:10359003:10364557:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MTEESECRDLGEPKEEPRSPMKESTPGLRFDDGSESLTANKANVMASEIDSQREEKFQIDLMAPPPSRSSPERDIEIDFVAVDPKSMVTNGETEKKPMMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.008G151200.12.v4.1 pep chromosome:Pop_tri_v4:8:10357260:10364289:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MDRNREARRVSLAASNGLSRRRHRSSSLRDSPEDDGPVELQETTRLRDRKKDRDRDRDRDRDREKDRERDRISGRSKRRRGERLMHGSNREDGERDDSSDEESVNDDEYEDDDDAVGVAGSSMRMLPPNPSSLSSSSMSNHHHRKSFPPPAKVFRTAPTTINTTAAVTPWKAPDEMIGVSVPRKARSASTKRSHECWVSSGGGVGSEQTHRQASTSPKPNGPKQKPPKSSSKPNSSAQDEIEFEIAEVLYGLLRQPQAPSKQEIVGNDSTKFDSRENHNKSTSDAKSRVSSPISNSQSTVPQSSSIPQSNSSSSAAPMSAIAPKRKRPRPVKYEDEHPANFPARNSSILSTAKIDIDQPAKNESSPNIEKNLGSAAENGGVSCDLLANQAAPATTEAQLQEVVKPENHPSSDSKPMTEESECRDLGEPKEEPRSPMKESTPGLRFDDGSESLTANKANVMASEIDSQREEKFQIDLMAPPPSRSSPERDIEIDFVAVDPKSMVTNGETEKKPMMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.008G151200.17.v4.1 pep chromosome:Pop_tri_v4:8:10359003:10364270:1 gene:Potri.008G151200.v4.1 transcript:Potri.008G151200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151200.v4.1 MMVKEDEKALKTGKENMNVEPEEKRTKVTGEEVESQKPIVNEERNIDLQLDLEKADRDSATVTASRNKLLQHVQKQQQPNIEKIAPQSSSLPLPMSMTSWPGGLPHMGYMAPLQGVVSMDGSAVSSAALQPPHLIFSQPRPKRCATHCYIARNILCHQQIIRMNPFWPPAGAPALQYGAKASNMNVVPSTDLHAVRGGNSVEKGQGLAIFPGPAGKDKNSQAANSVDAAQRKQILLQQALPPGAHSNILHGPTFIFPMNQQQAAAAAAASVRPGSVKSSPAAGSVASSSSSSSASISATAPAVAGATAMSFNYPNFPGNETQYLAILQNGAYPIPIPAHVGPTTAYRGTHPQAMPLFNGSFYSSRMVHPSQLQQQQQPSTQTQQSQQGHQNPSISSGSSSSQKHLQNQQHKPHGSAGSGNLQGFPCPKNQPPQSLPNHQRQLMQNQNVTHQARQLESELGGEDSPSTADSRVSRANMSIYGQNLMPIHPANFALMNPPPMGSAHSASGNTGEKKSQQPQTQASKAGVEPLASQTFAMSFAPINGTTASPGLDISSLAQNHALLQSLPEAARHGYHHFIAAAQATQQKNYRVSEEGNSGGNDTSNVEEERKAMAGGKTPLSAGQSIVFSRPDLTDSPVSTMPVNNVVDSSARNLNLGSAPARTSGSFMSATIGTGNAPSMQQQMQRNHHQQQQWNQQIFQFQKQQQFAAAAAASTRSKTPATSNGSVYSDHISSSSSAATKFPNALSAFPQNLVQSSSSPAQSPQWKSSARTTTSQVPSSSLTSSSSTLKNLPQQQGRTQQSNSHISFAANQKSSASPQGQPNPSSNQSSSPPLVVGSPTTSISKSAGGSPRTSTSTSNKGGQSSQQSKNSASVPVQKSSPVGGRNIPSILGYPHNSSSSNPGAKPQLSHQQQQHLTKHALPQAQLIYTNAFMQVQAQHVANSTNVASAASGFYLQRHRSEQQPQPHGAPATSSTGMLNLCHPVTLANTSTTDPAKAVAAASNNMKGGGLPPQGLIHAQFAAVQPSGKPHQILPAGFHYVHPVPTAVQVKPAEQKQPAGE >Potri.009G077000.2.v4.1 pep chromosome:Pop_tri_v4:9:7458118:7458743:-1 gene:Potri.009G077000.v4.1 transcript:Potri.009G077000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077000.v4.1 MLSLYKHPAMINLHTTFEMAFNLMLFLLVAFSACCSSLDCNKATATATARPLASASNLTVRLKLDEESSSCWDSLIQLQACTGEIILFFLNGETQLGHSCCQALHTIGEHCWTNMIDTLGFTTEEGQILEGYCDKATDSKDPYAPSVTNVVPKQPLLP >Potri.005G055401.2.v4.1 pep chromosome:Pop_tri_v4:5:3489859:3490095:-1 gene:Potri.005G055401.v4.1 transcript:Potri.005G055401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055401.v4.1 MVYFEYSLWSTLFFGGLEQKREAPDGEKPEPLRTHLTNMIIVPEMIGHYLSGFSVSYKPVMHGRPGIGATRSFRFIPL >Potri.004G129400.1.v4.1 pep chromosome:Pop_tri_v4:4:14381462:14384483:1 gene:Potri.004G129400.v4.1 transcript:Potri.004G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129400.v4.1 MGIETSVANKKVKQVGELAKDKIPIPNSNLNPKTNTNSKGKRGRRRHHKKMVAVSPVQKLFNTCNEVFDSCSTGIIPSSDNIQKLKAVLDNFKPADVGLFPEMPHFQASVAGRTPVIRYLHLHECDKFSMGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVADVPATKQTEVRLAKVKVNSKLTAPCNTSILYPTDGGNMHCFTAVTACAVLDVLGPPYSAPDGRHCQYYLDFPFANFSVNGVSIPEEEKEGHAWLQERETPEDLTFVGELYGGPVIVEK >Potri.019G017600.2.v4.1 pep chromosome:Pop_tri_v4:19:2835637:2836384:-1 gene:Potri.019G017600.v4.1 transcript:Potri.019G017600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017600.v4.1 MEIYSYLLAFIIFHGMILSQTSCMVTVSLRSRRSLLPPPPPKSNKIIQPKPKPPFMPIPKSPPPPPRPPPPKVNDGRHPSLPPPPKILPPPHTYSSPRYPPPPHPPPPQRS >Potri.009G082100.1.v4.1 pep chromosome:Pop_tri_v4:9:7793897:7798429:-1 gene:Potri.009G082100.v4.1 transcript:Potri.009G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082100.v4.1 MSPTQLLSTSISSSSSAFLAAPIAFKARNQNVSIASKTPSICTCAAAPQEQKTVYKTQVSRNANIAKLQAGYLFPEVARRRNAHMLKYPDAKVISLGIGDTTEPIPEVITSAIAKRAEALSTLEGYSGYGPEQGEKPLRTAIASTFYSGLGIEEDDIFVSDGAKCDISRLQMVFGANVTMAVQDPSYPAYVDSSVIMGQTGQFQKDVEKYGKIEYMRCTPENGFFPDLSKVSRTDIIFFCSPNNPTGSAATREQLTLLVQFAKDNGSIIVYDSAYAMYMSDDNPRSIFEIPGAKEVALETSSFSKYAGFTGVRLGWTVVPKQLLYSDGFPVVKDFNRVVCTSFNGASNICQAGGRACLSPEGLKAMSEVIGFYKENSNIIMDTFNSLGFNVYGGKNAPYVWVHFPGQSSWDVFSEILEKTHVVTTPGSGFGPGGEGFVRVSAFGHRENVLEACRRFKQLYN >Potri.013G052600.1.v4.1 pep chromosome:Pop_tri_v4:13:3782197:3785326:-1 gene:Potri.013G052600.v4.1 transcript:Potri.013G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052600.v4.1 MDEWRRSGQIPAFGNWDQANDLPITLYFESARQAGLIRHSTNSSGECGHRYMRSDLHASDFNKPSRYHVPPRKTRMREQRGPHSKEQRKQGKVCDVTEPARKQQPTMLHCHKIDAVICPKVPLKPPKAVDEDLYKIPPELLRSAKRKKCPGLFSCLVPACAS >Potri.016G072600.1.v4.1 pep chromosome:Pop_tri_v4:16:5385907:5388756:-1 gene:Potri.016G072600.v4.1 transcript:Potri.016G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072600.v4.1 MLGDLVTRYLVLLFGYAYPAFECFRSVEKNKVDVEEIKFWCQYWIIIALVTVCERIGDVFLSWLPMYGEVKLAFFIYLWYPKTKGTGFIYETMLRPFVTRHETDIERKLQEMKARGWDFAIYYWHNCTELGQTKFFDALQYFMSQSGKSTNSKTKKSNGHEPSAPPLPVPSPNEWPSMAMHKSKNKKRS >Potri.019G013900.3.v4.1 pep chromosome:Pop_tri_v4:19:1819586:1822015:-1 gene:Potri.019G013900.v4.1 transcript:Potri.019G013900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013900.v4.1 MKKKKKMRSGIDGVNAALSSDDILCEILLRVPPETVFSLIIVSKRWLHVICSSVFCQHYLRRWPLAYRMLGFFVCNNLYLGRGQNGVRRPRTEPAMPLLSTCKEGDDLKFSKTLKQYGYFIDSSNGLLLCGRHPKRYFVWNPITKQHYKIPKPRVYFEDLCMAFLAEDHPSVDMCYKVIRAKCDFSLDEDMKTVPIETFNSKTSTWNFSTLTCVPSLSLCPWSVATVVKGVVHWYAAQRNLAIYDPHNGERRLSSIKLPGSIDFEERVLGESFDGRLQYGWSCKSGLEIWVLEKEEAGHATTPSDDGYPNKGWNLRYRLNFKTMWKKNPTFMTKSCLRDKETQILSFLHRNSKSVCIRSGPDIFLLHLVNQKVEAVLYNGRGSSISWDFSRVAPYFRPDWPHSSLCLPGKSMT >Potri.019G013900.2.v4.1 pep chromosome:Pop_tri_v4:19:1819464:1821982:-1 gene:Potri.019G013900.v4.1 transcript:Potri.019G013900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013900.v4.1 MKKKKKMRSGIDGVNAALSSDDILCEILLRVPPETVFSLIIVSKRWLHVICSSVFCQHYLRRWPLAYRMLGFFVCNNLYLGRGQNGVRRPRTEPAMPLLSTCKEGDDLKFSKTLKQYGYFIDSSNGLLLCGRHPKRYFVWNPITKQHYKIPKPRVYFEDLCMAFLAEDHPSVDMCYKVIRAKCDFSLDEDMKTVPIETFNSKTSTWNFSTLTCVPSLSLCPWSVATVVKGVVHWYAAQRNLAIYDPHNGERRLSSIKLPGSIDFEERVLGESFDGRLQYGWSCKSGLEIWVLEKEEAGHATTPSDDGYPNKGWNLRYRLNFKTMWKKNPTFMTKSCLRDKETQILSFLHRNSKSVCIRSGPDIFLLHLVNQKVEAVLYNGRGSSISWDFSRVAPYFRPDWPHSSLCLPGKSMT >Potri.019G013900.6.v4.1 pep chromosome:Pop_tri_v4:19:1819659:1821980:-1 gene:Potri.019G013900.v4.1 transcript:Potri.019G013900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013900.v4.1 MKKKKKMRSGIDGVNAALSSDDILCEILLRVPPETVFSLIIVSKRWLHVICSSVFCQHYLRRWPLAYRMLGFFVCNNLYLGRGQNGVRRPRTEPAMPLLSTCKEGDDLKFSKTLKQYGYFIDSSNGLLLCGRHPKRYFVWNPITKQHYKIPKPRVYFEDLCMAFLAEDHPSVDMCYKVIRAKCDFSLDEDMKTVPIETFNSKTSTWNFSTLTCVPSLSLCPWSVATVVKGVVHWYAAQRNLAIYDPHNGERRLSSIKLPGSIDFEERVLGESFDGRLQYGWSCKSGLEIWVLEKEEAGHATTPSDDGYPNKGWNLRYRLNFKTMWKKNPTFMTKSCLRDKETQILSFLHRNSKSVCIRSGPDIFLLHLVNQKVEAVLYNGRGSSISWDFSRVAPYFRPDWPHSSLCLPGKSMT >Potri.019G013900.1.v4.1 pep chromosome:Pop_tri_v4:19:1819730:1821979:-1 gene:Potri.019G013900.v4.1 transcript:Potri.019G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013900.v4.1 MKKKKKMRSGIDGVNAALSSDDILCEILLRVPPETVFSLIIVSKRWLHVICSSVFCQHYLRRWPLAYRMLGFFVCNNLYLGRGQNGVRRPRTEPAMPLLSTCKEGDDLKFSKTLKQYGYFIDSSNGLLLCGRHPKRYFVWNPITKQHYKIPKPRVYFEDLCMAFLAEDHPSVDMCYKVIRAKCDFSLDEDMKTVPIETFNSKTSTWNFSTLTCVPSLSLCPWSVATVVKGVVHWYAAQRNLAIYDPHNGERRLSSIKLPGSIDFEERVLGESFDGRLQYGWSCKSGLEIWVLEKEEAGHATTPSDDGYPNKGWNLRYRLNFKTMWKKNPTFMTKSCLRDKETQILSFLHRNSKSVCIRSGPDIFLLHLVNQKVEAVLYNGRGSSISWDFSRVAPYFRPDWPHSSLCLPGKSMT >Potri.019G013900.7.v4.1 pep chromosome:Pop_tri_v4:19:1819602:1821653:-1 gene:Potri.019G013900.v4.1 transcript:Potri.019G013900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013900.v4.1 MKKKKKMRSGIDGVNAALSSDDILCEILLRVPPETVFSLIIVSKRWLHVICSSVFCQHYLRRWPLAYRMLGFFVCNNLYLGRGQNGVRRPRTEPAMPLLSTCKEGDDLKFSKTLKQYGYFIDSSNGLLLCGRHPKRYFVWNPITKQHYKIPKPRVYFEDLCMAFLAEDHPSVDMCYKVIRAKCDFSLDEDMKTVPIETFNSKTSTWNFSTLTCVPSLSLCPWSVATVVKGVVHWYAAQRNLAIYDPHNGERRLSSIKLPGSIDFEERVLGESFDGRLQYGWSCKSGLEIWVLEKEEAGHATTPSDDGYPNKGWNLRYRLNFKTMWKKNPTFMTKSCLRDKETQILSFLHRNSKSVCIRSGPDIFLLHLVNQKVEAVLYNGRGSSISWDFSRVAPYFRPDWPHSSLCLPGKSMT >Potri.019G013900.5.v4.1 pep chromosome:Pop_tri_v4:19:1819659:1821982:-1 gene:Potri.019G013900.v4.1 transcript:Potri.019G013900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013900.v4.1 MKKKKKMRSGIDGVNAALSSDDILCEILLRVPPETVFSLIIVSKRWLHVICSSVFCQHYLRRWPLAYRMLGFFVCNNLYLGRGQNGVRRPRTEPAMPLLSTCKEGDDLKFSKTLKQYGYFIDSSNGLLLCGRHPKRYFVWNPITKQHYKIPKPRVYFEDLCMAFLAEDHPSVDMCYKVIRAKCDFSLDEDMKTVPIETFNSKTSTWNFSTLTCVPSLSLCPWSVATVVKGVVHWYAAQRNLAIYDPHNGERRLSSIKLPGSIDFEERVLGESFDGRLQYGWSCKSGLEIWVLEKEEAGHATTPSDDGYPNKGWNLRYRLNFKTMWKKNPTFMTKSCLRDKETQILSFLHRNSKSVCIRSGPDIFLLHLVNQKVEAVLYNGRGSSISWDFSRVAPYFRPDWPHSSLCLPGKSMT >Potri.019G013900.4.v4.1 pep chromosome:Pop_tri_v4:19:1819743:1821983:-1 gene:Potri.019G013900.v4.1 transcript:Potri.019G013900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013900.v4.1 MKKKKKMRSGIDGVNAALSSDDILCEILLRVPPETVFSLIIVSKRWLHVICSSVFCQHYLRRWPLAYRMLGFFVCNNLYLGRGQNGVRRPRTEPAMPLLSTCKEGDDLKFSKTLKQYGYFIDSSNGLLLCGRHPKRYFVWNPITKQHYKIPKPRVYFEDLCMAFLAEDHPSVDMCYKVIRAKCDFSLDEDMKTVPIETFNSKTSTWNFSTLTCVPSLSLCPWSVATVVKGVVHWYAAQRNLAIYDPHNGERRLSSIKLPGSIDFEERVLGESFDGRLQYGWSCKSGLEIWVLEKEEAGHATTPSDDGYPNKGWNLRYRLNFKTMWKKNPTFMTKSCLRDKETQILSFLHRNSKSVCIRSGPDIFLLHLVNQKVEAVLYNGRGSSISWDFSRVAPYFRPDWPHSSLCLPGKSMT >Potri.009G115900.5.v4.1 pep chromosome:Pop_tri_v4:9:9790594:9793265:-1 gene:Potri.009G115900.v4.1 transcript:Potri.009G115900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115900.v4.1 MASFCRSAITATSRSLASRSKTVTQKTLNAKSMSSPFTSTPTRTILASSRVVSVLGSVESLMPLHNAIANARLKSSIAVDSSCWSWLSREH >Potri.009G115900.7.v4.1 pep chromosome:Pop_tri_v4:9:9789394:9793433:-1 gene:Potri.009G115900.v4.1 transcript:Potri.009G115900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115900.v4.1 MASFCRSAITATSRSLASRSKTVTQKTLNAKSMSSPFTSTPTRTILASSRVVSVLGSVESLMPLHNAIANARLKSSIAVDSSCWSWLSREH >Potri.009G115900.6.v4.1 pep chromosome:Pop_tri_v4:9:9791126:9793265:-1 gene:Potri.009G115900.v4.1 transcript:Potri.009G115900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115900.v4.1 MASFCRSAITATSRSLASRSKTVTQKTLNAKSMSSPFTSTPTRTILASSRVVSVLGSVESLMPLHNAIANARLKSSIAVDSSCWSWLSRDFAVPR >Potri.T124144.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:71343:73745:1 gene:Potri.T124144.v4.1 transcript:Potri.T124144.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124144.v4.1 MSFSNDLDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGKLLEVVQVLTDLNLIITKAYVSSDGGWFMDVFNVTDQDGNKVTDEAILDYITKSLGTESCFTSSMGSFGVKQSIDHTAIELTGSDRPGLLSEVSAVLAHLKCNVLNAEVWTHNMRAAAVMQVTDDETGSAITDPEKLSRVKELLCNVLKGSNKYRGARTVVSHGVTHTERRLHQMMFADRDYERANNDVLDEKQRPNVSVVNWYEKDYSVITIRSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHVDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTKDRIGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQATGQTILKVKGSPEELKPVSQESPTRFLFGGLFKSRSFVNFGLVKSYS >Potri.004G099433.1.v4.1 pep chromosome:Pop_tri_v4:4:8591952:8593298:-1 gene:Potri.004G099433.v4.1 transcript:Potri.004G099433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099433.v4.1 MILFEVCLSLQPGPEAGGGWAPAIFFFPGFVPAFNANCAFHPIETHRYARKKWLGSSDYPLDMCFSFKDFATNLDVAMISMLLSLDDEAIDCVVMDSWLISFELLFFTQWSYDCCLVNQYYGRHDRNLPPTRTNTRELIRE >Potri.013G016900.2.v4.1 pep chromosome:Pop_tri_v4:13:1048706:1053255:-1 gene:Potri.013G016900.v4.1 transcript:Potri.013G016900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G016900.v4.1 MAEIKADIALDHRLTIAEVTDISAQKPDPKIRVASLDIYRGLTVALMILVDDAGGEWPKIGHAPWNGCNLADFVMPFFLFIVGMAIPLAFKRITSRHHAVKRVIVRTLKLLFWGIMLQGGFSHAPDKLTYGVDMKKIRWCGILQRIAFAYLVVALMEIFTKKKQTRELPPGWLSIYKLYSSQWLMGACILVIYLAVIYGTYVPHWQFTVNDRDSADYGKVFTVECAVRGKLNPPCNAVGFIDREILGINHMYQHPAWKRSEACTENSPYEGPFRTSAPSWCKAPFEPEGILSSISAVLSTIIGVHFGHVLVYMRGHAARLKHWIVMGFALLISGLVLHFTHAIPLNKQLYTFSYVCVTSGAAALVFSSIYALVDIWGWKCVFQPLAWIGMNAMLVYVMAAEGIFAGFINGWYYNDPHNTLIYWIQKHIFIGVWHSQRVGILLYVIFAEILFWGMVAGIFHRLGIYWKL >Potri.011G159000.1.v4.1 pep chromosome:Pop_tri_v4:11:18452768:18455625:1 gene:Potri.011G159000.v4.1 transcript:Potri.011G159000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G159000.v4.1 MDKLFIITLLGLLILVNGVAENLVYNNFAMLEELESFEIDDEDDVELFDIPSWTSERGGKVLVNVDSFGAVGDGISDDTQAFVKAWGTACAIPKSVFLVPSGRRYLVNATRFKGPCEDKLIIQIDGTIVAPDEPKNWDPDLARLWLDFSKLNGVLFQGNGVIDGSGSKWWASSCKKNKSNPCRGAPTALTIDSSSAVKVKGLTIKNSQQMNFVISKSASVRISKVTVSSPGDSPNTDGIHITQSTNVVLQDCKIGTGDDCISIVNGSSAIKMKGIYCGPGHGVSIGSLGKDNSTGIVTKVVLDTALIRETTNGVRIKTWQGGNGYVRGVRFENVRMDNVDNPIIIDQFYCDSPKSCQNQTSAVRISEIMYRNISGTTKSAKAMKFSCSDTAPCSTIVLSNVNLEKEDGTVETYCNSAEGFGYGIVHPSADCLTSHDKDYSFFEQTEVSQDYILNDVTEEKVELADSNNDRIVHTEL >Potri.009G019300.6.v4.1 pep chromosome:Pop_tri_v4:9:3153009:3154002:-1 gene:Potri.009G019300.v4.1 transcript:Potri.009G019300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G019300.v4.1 MAFHPLTIVLLLALALSGIQLSTCQVLKGKVSCLDCGGHYDYSEIKVGVKCDKVRKLATTTTQSDGSFEVKLPPGTSTAATPLICLAKLLGGPSQLYVSRQNMVSKIVQTHDSNSYTISTPLAFSSTCSAGGGKCGVSNQFGSSKTVDLPLPREWGLAPSSYYVPFIPIIGIP >Potri.001G211800.2.v4.1 pep chromosome:Pop_tri_v4:1:21669129:21671086:-1 gene:Potri.001G211800.v4.1 transcript:Potri.001G211800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211800.v4.1 MYRVYKSLVTKWNPNRNPSNKVEAEAEAKFQEINEPFKAITENKVKEKLKSCDEPIVSISPGGSSPRKIMEESFFYRPSILLRSLSRKSSTLSTSPSFLPKSASSRDNSPRNESHRGCSDIENPFLQRSMSRISPRSPIIFSQSTLLRKPPPTEKKLECTLEELCYGCVKQIMTSRDVIINGITEQQGEMVNITVKPGWKKGTRITFEGKGDERPGYQPADLIFLIDEKPHLFFEREDDNLVYKAEIPLAQALGGCAISVPLLEGERMSLSFDIVLYPGYVKIIKGQGMPTAKEIGKRGDLRIKFLINFPMSLSPEQRFDASSILKDCSYLDFL >Potri.002G035500.1.v4.1 pep chromosome:Pop_tri_v4:2:2340382:2343352:-1 gene:Potri.002G035500.v4.1 transcript:Potri.002G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035500.v4.1 MSNNKMKGLLKGLRYISQIFDNDGKEPEMQIGYPTDVKHVAHIGWDGPSINSPSWMTEFKSPPEFSSAPLSLDQDSKEEGSVKWVSEGSSRKGSRAPNSPPGAPGSPVGSQNSPARDLPELPKSSRRRSSTGTSAESPSKEKSDKPKQSRRSSRNGTKDLLDGSKTSRNHKDPSVENKPPSDLPEIPKKTRRKKSKDASVGGSSSRSRSRSKVPASEGDEGSEMISKSSNSGEQVQTRALSPSWDGEESGFSGIS >Potri.012G133500.3.v4.1 pep chromosome:Pop_tri_v4:12:14866915:14868849:-1 gene:Potri.012G133500.v4.1 transcript:Potri.012G133500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133500.v4.1 MCQLNSSTLSCKCNQDFSFLISIKDQEPKMFTSLLIPKSPTCIPQETQEPDTTNLSLAIREAISIAKIAFPMILTGLLLYPRSMISMLFLGRLGELALAGGSLAVGFANITGYSILSGLAMGMEPICGQAFGAQKHRLLGLTLQRTILLLIVASLPISFLWLNMKSILLFCGQDESIATEAQSFLVYSLPDLLAQSFLHPLRIYLRTQTITLPLTFCATLAIILHIPINYFLVTHLNLGTKGVALSGVWTNFNLVGSLIIYILVSGVHKKTWGGFSMECFKEWKTLLNLAIPSCISVCLEWWWYEIMILLCGLLLNPRATVASMGILIQTTALIYIFPSSLSFSVSTRVGNELGANQPMKAKLAANVGLSLSFIFGFSALAFAVMVRKVWASMFTQDKEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGTPVAVWLGFYAGFDFEGLWLGLLAAQGSCVVTMLLVLGRTDWESEAKRAKELTNALVLVSNVDDSLQVEEKKPPNAEIKEDSLHLFEELVNLYKPLPV >Potri.011G161800.1.v4.1 pep chromosome:Pop_tri_v4:11:18670202:18671806:1 gene:Potri.011G161800.v4.1 transcript:Potri.011G161800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161800.v4.1 MVTPEVKGKMNHIVLVSLLLSVSWATYSSKIHENFIQCMSTEFNAYTKSFQTIFTPQSPLYSYILQSSKQNLRWLNSTSKPHLIITPFHESEIQAVILCSKKQGFQVRVRSGGHDYEGLSFLCKTPFIIIDLVNLRGIEIDIEDETAWVQTGATLGELYYAIAKRSIVHGFPAGLCPTVGVGGHFTGGGFGILLRKYGLAADNVIDAYLIDVNGRILDRQGMGEDLFWAIRGGGGASFGIILSWKIKLIRVPPTVTVFTVPKTIEQGATKLVHRWQYIAGKLHEDLFIRIVIQNVGGESTSNKKTVEASFNSLFLGGIDRLITLMNDSFPELGLVPENCTEMSWIESTVYFAGFQKGSPLEVLLDKTQLYKAKFKAKSDFVTEPIPEFGLEGIWERFLEEGLVFMIMDPFGGRMNEIPESHIPFPHREGNLYNIQYLVKWDEDEARATHKHVNWIKMLYRYMKPYVSRSPRAAYLNYRDLDLGINKHANTSYSEARDWGMKYFKGNFKRLVQVKSKVDSENFFRSEQSIPSIHT >Potri.001G261304.1.v4.1 pep chromosome:Pop_tri_v4:1:27770015:27770134:-1 gene:Potri.001G261304.v4.1 transcript:Potri.001G261304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261304.v4.1 MGLILHSLSLSLSLSHSLTHTHTHTHTHTHKESKQSVIN >Potri.004G189700.2.v4.1 pep chromosome:Pop_tri_v4:4:20213405:20224388:-1 gene:Potri.004G189700.v4.1 transcript:Potri.004G189700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189700.v4.1 MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKSEKGLTHYEKKKYVWKMLYIHMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSSTSLLVALVSNNHEAYWSCLPKCVKILERLARNQDIPQEYTYYGIPSPWLQVKAMRALQYFPTIEDPNVRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSAADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKEIFSVIHEKLPTVSTTTIPILLSTYAKILMHTQPADPELQKIVWAIFSKYESCIDVEIQQRAVEYFALSRKGAALMDILAEMPKFPERQSALLKKAEDAEVDSAEQSAIKLRAQQQMSNALVVTDQRPANGAPQIVGELSLVKIPSMSDDHTSADQGLSQANGTLTTVDPQPASGDLLGDLLGPLAIEGPPGAIQSEPNAVSGLEGVPSSADYAAIVPVGEQTNTVQPIGNINERFYALCLKDSGVLYEDPNIQIGIKAEWRAHQGRLVLFLGNKNTSPLVSVQALILPPVHLKIELSLVPETIPPRAQVQCPLELMNLHPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVRPLPLIEMTNLFNSLRLTVCPGLDPNPNNLVASTTFYSESTRPMLCLIRIETDPADLTQLRMTVASGDPTLTLELKEFIKEQLVSIPTASRPPAPAPAAAQPTNPAALTDPGALLAGLL >Potri.004G189700.1.v4.1 pep chromosome:Pop_tri_v4:4:20213405:20224388:-1 gene:Potri.004G189700.v4.1 transcript:Potri.004G189700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189700.v4.1 MALSGMRGLSVFISDIRNCQNKEQERLRVDKELGNIRTRFKSEKGLTHYEKKKYVWKMLYIHMLGYDVDFGHMEAVSLISAPKYPEKQVGYIVTSSLLNENHDFLRLAINTVRNDIIGRNETFQCLALTMVGNIGGREFAESLAPDVQKLLISSSCRPLVRKKAALCLLRLYRKNPDVVNIDGWADRMAQLLDERDLGVLTSSTSLLVALVSNNHEAYWSCLPKCVKILERLARNQDIPQEYTYYGIPSPWLQVKAMRALQYFPTIEDPNVRRSLFEVLQRILMGTDVVKNVNKNNASHAVLFEALALVMHLDAEKEMMSQCVALLGKFIAVREPNIRYLGLENMSRMLMVTDVQDIIKRHQAQIITSLKDPDISIRRRALDLLYGMCDVSNAKDIVEELLQYLSAADFAMREELSLKAAILAEKFAPDLSWYVDVILQLIDKAGDFVSDDIWFRVVQFVTNNEDLQPYAAAKAREYLDKPAIHETMVKVSAYLLGEYSHLLARRPGCSPKEIFSVIHEKLPTVSTTTIPILLSTYAKILMHTQPADPELQKIVWAIFSKYESCIDVEIQQRAVEYFALSRKGAALMDILAEMPKFPERQSALLKKAEDAEVDSAEQSAIKLRAQQQMSNALVVTDQRPANGAPQIVGELSLVKIPSMSDDQHTSADQGLSQANGTLTTVDPQPASGDLLGDLLGPLAIEGPPGAIQSEPNAVSGLEGVPSSADYAAIVPVGEQTNTVQPIGNINERFYALCLKDSGVLYEDPNIQIGIKAEWRAHQGRLVLFLGNKNTSPLVSVQALILPPVHLKIELSLVPETIPPRAQVQCPLELMNLHPSRDVAVLDFSYKFGTNMVNVKLRLPAVLNKFLQPISVSAEEFFPQWRSLSGPPLKLQEVVRGVRPLPLIEMTNLFNSLRLTVCPGLDPNPNNLVASTTFYSESTRPMLCLIRIETDPADLTQLRMTVASGDPTLTLELKEFIKEQLVSIPTASRPPAPAPAAAQPTNPAALTDPGALLAGLL >Potri.005G079400.1.v4.1 pep chromosome:Pop_tri_v4:5:5396210:5398491:1 gene:Potri.005G079400.v4.1 transcript:Potri.005G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079400.v4.1 MRDYQLPLSKCIAMMKLLAFCLFIISLINIPIAEARIRHYKWEIKYEYRSPDCYKKLVITINGRTPGPTIFAQQNDTVIVEVKNNLLTENTAIHWHGIRQIGTPWFDGTEGVTQCPVLPGDTFVYKFVVDRPGTYLYHAHYGMQREAGLYGSIRVALPDGKSEPFAYDYDRSIILNDWYHKSTYEQAAGLSSIDFQWVGDPQSLLIHGKGRLNCSTAKPPLKADVCNNTNPECSLYSMTVVPGKTYRLRISSLTALSALSFQIEGHNMTVVEADGHYVEPFVVKNLFIYSGETYSVLVKTDQYPSRNYWATTNVVSRNSTTPPPPGLAIFNYYPNHPRRSPPTIPPSGPLWNDVDSRFNQSLAIKARKGHIHSPPATSDRVIVLLNTQNEVNGTRRWSVNDVSFNLPHTPYLIALKENLLHTFSQTPPPEGYDFANYNISVKQEDSNGTTSDAIYRLQFNSTVDIILQNANSMVANVSETHPWHLHGHDFWVLGYGRGKFDRINDPKKYNLVDPIMKNTVPVHPYGWTALRFKADNPGVWAFHCHIESHFFMGMRVTFEEGIERVGKLPSSIMGCGETKHFRKP >Potri.016G033700.1.v4.1 pep chromosome:Pop_tri_v4:16:1950826:1954253:-1 gene:Potri.016G033700.v4.1 transcript:Potri.016G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033700.v4.1 MEEEEEFQQGHQSPVLSFTSDDGQDSSQEEGLEDPIPRLLAMSLDAWRDPSTFQDWLSFGSQPVLTETTLVGSFTTTIVGHSGAKFNEHELLEFVRYQQCPSDKNAIKVLNSSSREVGYLCTSVAMVLSPLVDILKINLEGEVICSRFKYDTSIPCVVTIFAESAVAQNAKDWILQHGLRLCDQPGTSLRSYEGMGVQEKGRIEKLGSLEPPKNVIKAKLLDHQKEGLWWLVTKEKSDELPPFWEVKDGSYLNVLTRHQTDRRPEPLHGGIFSDHYGSGKTLTLLSLIAFDKVGNVTEGTGEEDRVVYVSSGKKRKGGGMVSEKGTGEQKMHSLLDSNIKESSVRMAGESSSALVAKKTLVVCPSAVCSTWENQLQEHTQNGSLKLYKYYGDNRTKDAEELMKYDIVLTTYSTLVAEGCEPTRCPLMKIEWWRVILDEAHVIKNANAKQIRDFSKLTARRRWAVTGAPIQNGSFDLFSLMVFFRLDPLSTECYWQRLFQKPLANGDEKGFSRLQKLMATISLRRIKDKDLVGLPSKTVETVSFELSGEERVLYDQMEADSKDVIGCFITADILHSHYVCVLFSVIQLRQLCNDSALCSMDLRSLLPSDNIGDASKHPELLRKMIDGLQDGEDIVCSVCLDPPTDATITICEHIFCKKCICHHLQHKETEQTCPNCRRRLSLPDLFSAPPESSNPENPKKLSRTTPSKVSALIKLLKESRVVNSISKSVVFSLFDKMLALMEEAVKDAGFNTLRLDASTDEIRQAEIIKEFGSAGADTVLLASLKTSGTGINLTAASKVYLLEPWWNSAAEEQAINRVHQYGQKENVRIVRLIAKNSIEERILEMQERKKAANEAFGRKRPYEQHEASIDDLCRLFFW >Potri.006G216100.1.v4.1 pep chromosome:Pop_tri_v4:6:22178317:22180836:1 gene:Potri.006G216100.v4.1 transcript:Potri.006G216100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216100.v4.1 MWRNKISNTFTNGQYDYLLYGRLFKYFTDNRLPLQAKQLHARLLLSSSTLDNYLGARLINLYSKTKHIHHARHVFDQIPHKNTFSYNALLIAYTMNNHHKETINLFSSLLLSSSGDLQPNNYSITCLLKSLSSLLMVTDVCLGKEIHCFVLRRGLVEDVFVENALISFYSKCLGVGFARKLFDKMRERDVVTWNSMIAGYAQAGFFKECKELYREMGALPGFKPNAVTVLSVLQACMQSQDLVFGMEVHRYIVDNKVELDVLVCNALIGLYAKCGSLDYARELFDEMSEKDEVTYGAIISGYMAHGVVDKGMELFREMKSRVLSTWNAVISGLVQNNRHEGVVDLVREMQGLGFRPNAVTLSSVLPTLSYFSNLKGGKEIHGYAVKNGYDRNIYVATAIIDTYAKLGFLFGAQYVFDQSKERSLIIWTAIISAHAAHGDANSALTFFDEMLSNGIQPDHVTFTAVLAACAHCGMVDKAWEIFNSMSKKYGIQPLGEHYACMVGVLGRAGRLSQATEFISTMPIEPNAKVWGALLHGASLCVDVELGKFACDHLFEIEPENTGNYAIMANLYSQAGKWKEADEVRERMIQCGLKKIPGSSWIETSNGL >Potri.014G084200.9.v4.1 pep chromosome:Pop_tri_v4:14:5400506:5403716:1 gene:Potri.014G084200.v4.1 transcript:Potri.014G084200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084200.v4.1 MDNSSSFDEVSDPGYQPSPSSLDQNDHPAVETPVYSTMSGDSFMFGRTYSETSAFSDPIDDNSYSSEPSPSHWPVTKSGAQHQAMLRRLEMKQQKQVADDKLDDQESVDLELEMMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLKPEKKSMWKREMDCLLSVCDYIVEFIPKSQNLQDGTVLEVMESRPRLDIHINLPALRKLDAMLMEVLDSFQDTEFWYAEQGSMSSNSTLSGSFRRVIVQRKEEKWWVPVPCVPSGGLSEKSRKHLRHKRDCAYQIHKASMAINSSILAEMEIPETYIASLPKSGRASLGDTIYRYLYTADKFSPGHLLDCLNLASEHEALQLADRVEASMYTWRRKACLSHSKSSWNMVKDLMSDIDRTDKNHILAERAETLLFCLKQRYPELSQTSLDTCKIQYNQDVGQAILESYSRVLEGLAFNIVAWIEDVLFVDRNQEQ >Potri.014G084200.3.v4.1 pep chromosome:Pop_tri_v4:14:5400506:5403716:1 gene:Potri.014G084200.v4.1 transcript:Potri.014G084200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084200.v4.1 MDNSSSFDEVSDPGYQPSPSSLDQNDHPAVETPVYSTMSGDSFMFGRTYSETSAFSDPIDDNSYSSEPSPSHWPVTKSGAQHQAMLRRLEMKQQKQVADDKLDDQESVDLELEMMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLKPEKKSMWKREMDCLLSVCDYIVEFIPKSQNLQDGTVLEVMESRPRLDIHINLPALRKLDAMLMEVLDSFQDTEFWYAEQGSMSSNSTLSGSFRRVIVQRKEEKWWVPVPCVPSGGLSEKSRKHLRHKRDCAYQIHKASMAINSSILAEMEIPETYIASLPKSGRASLGDTIYRYLYTADKFSPGHLLDCLNLASEHEALQLADRVEASMYTWRRKACLSHSKSSWNMVKDLMSDIDRTDKNHILAERAETLLFCLKQRYPELSQTSLDTCKIQYNQDVGQAILESYSRVLEGLAFNIVAWIEDVLFVDRNQEQ >Potri.014G084200.8.v4.1 pep chromosome:Pop_tri_v4:14:5400505:5403716:1 gene:Potri.014G084200.v4.1 transcript:Potri.014G084200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084200.v4.1 MDNSSSFDEVSDPGYQPSPSSLDQNDHPAVETPVYSTMSGDSFMFGRTYSETSAFSDPIDDNSYSSEPSPSHWPVTKSGAQHQAMLRRLEMKQQKQVADDKLDDQESVDLELEMMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLKPEKKSMWKREMDCLLSVCDYIVEFIPKSQNLQDGTVLEVMESRPRLDIHINLPALRKLDAMLMEVLDSFQDTEFWYAEQGSMSSNSTLSGSFRRVIVQRKEEKWWVPVPCVPSGGLSEKSRKHLRHKRDCAYQIHKASMAINSSILAEMEIPETYIASLPKSGRASLGDTIYRYLYTADKFSPGHLLDCLNLASEHEALQLADRVEASMYTWRRKACLSHSKSSWNMVKDLMSDIDRTDKNHILAERAETLLFCLKQRYPELSQTSLDTCKIQYNQDVGQAILESYSRVLEGLAFNIVAWIEDVLFVDRNQEQ >Potri.014G084200.7.v4.1 pep chromosome:Pop_tri_v4:14:5400496:5403716:1 gene:Potri.014G084200.v4.1 transcript:Potri.014G084200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084200.v4.1 MDNSSSFDEVSDPGYQPSPSSLDQNDHPAVETPVYSTMSGDSFMFGRTYSETSAFSDPIDDNSYSSEPSPSHWPVTKSGAQHQAMLRRLEMKQQKQVADDKLDDQESVDLELEMMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLKPEKKSMWKREMDCLLSVCDYIVEFIPKSQNLQDGTVLEVMESRPRLDIHINLPALRKLDAMLMEVLDSFQDTEFWYAEQGSMSSNSTLSGSFRRVIVQRKEEKWWVPVPCVPSGGLSEKSRKHLRHKRDCAYQIHKASMAINSSILAEMEIPETYIASLPKSGRASLGDTIYRYLYTADKFSPGHLLDCLNLASEHEALQLADRVEASMYTWRRKACLSHSKSSWNMVKDLMSDIDRTDKNHILAERAETLLFCLKQRYPELSQTSLDTCKIQYNQDVGQAILESYSRVLEGLAFNIVAWIEDVLFVDRNQEQ >Potri.014G084200.10.v4.1 pep chromosome:Pop_tri_v4:14:5401059:5403701:1 gene:Potri.014G084200.v4.1 transcript:Potri.014G084200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084200.v4.1 MMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLKPEKKSMWKREMDCLLSVCDYIVEFIPKSQNLQDGTVLEVMESRPRLDIHINLPALRKLDAMLMEVLDSFQDTEFWYAEQGSMSSNSTLSGSFRRVIVQRKEEKWWVPVPCVPSGGLSEKSRKHLRHKRDCAYQIHKASMAINSSILAEMEIPETYIASLPKSGRASLGDTIYRYLYTADKFSPGHLLDCLNLASEHEALQLADRVEASMYTWRRKACLSHSKSSWNMVKDLMSDIDRTDKNHILAERAETLLFCLKQRYPELSQTSLDTCKIQYNQDVGQAILESYSRVLEGLAFNIVAWIEDVLFVDRNQEQ >Potri.003G091700.1.v4.1 pep chromosome:Pop_tri_v4:3:11799584:11800607:1 gene:Potri.003G091700.v4.1 transcript:Potri.003G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091700.v4.1 MSQKSKDMTDPEQQESIASTTHHITIPSGLTQSESEELAPLITEFHTYRISAGQCSSLLAQLISAPNDTVWSIVRRFDKPQTYKHFIKSCSVGPGFTMTVGSTRDVNVISGLPAATSTERLDILDDEQQLTGFSIIGGEHRLRNYRSVTTVHGFEREGKIRTVVLESYVVDVPEGNTEEEARLFADTVVKLNLQKLASVAESLVRDGDGK >Potri.002G070900.1.v4.1 pep chromosome:Pop_tri_v4:2:4975002:4978992:1 gene:Potri.002G070900.v4.1 transcript:Potri.002G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070900.v4.1 MMSSNAITIFLLFLNISIFPAISALNQEGHCLLSWLSTFNSSLSATFFSTWDPSHKNPCKWDYVRCSSNGFVSGITITSINLPTSFPTQLLSFNHLTTLVLSNANLTGEIPRSIGNLSSLSTLDLSFNSLTGDIPAEIGRLSQLKLLALNTNSLHGEIPKEIGNCSRLRQLELFDNQLSGKIPAEIGQLLALKTFRAGGNPGIYGEIPMQISNCKELLFLGLADTGISGQIPSILGELKHLETLSVYTAKLTGSIPADIGNCSAMEHLYLYGNQISGRIPDELALLTNLKRLLLWQNNLTGSIPDALGNCLALEVIDLSMNSLSGQIPGSLANLAALEELLLSDNYLTGEIPPFVGNFFGLKQLELDNNRFTGEIPPAIGQLKELLIFFAWQNQLHGSIPAELAKCEKLQALDLSHNFLTGSIPHSLFHLKNLSQLLLISNGFSGEIPPDIGNCIGLIRLRLGSNNFTGQLPPEIGLLHKLSFLELSDNQFTGEIPLEIGNCTQLEMVDLHSNRLHGTIPTSVEFLVSLNVLDLSKNSIAGSVPDNLGMLTSLNKLVISENYITGSIPKSLGLCRDLQLLDMSSNRLTGSIPDEIGGLQGLDILLNLSRNSLTGSIPESFANLSNLANLDLSHNMLTGTLTVLGSLDNLVSLNVSHNNFSGLLPDTKLFHDLPASAYAGNQELCINRNKCHMNGSDHGKNSTRNLVVCTLLSVTVTLLIVFLGGLLFTRIRGAAFGRKDEEDNLEWDITPFQKLNFSVNDIVTKLSDSNIVGKGVSGMVYRVETPMKQVIAVKKLWPLKNGEVPERDLFSAEVRALGSIRHKNIVRLLGCCNNGKTRLLLFDYISMGSLAGLLHEKVFLDWDARYNIILGAAHGLAYLHHDCIPPIVHRDIKTNNILVGPQFEAFLADFGLAKLVDSEECSRVSNVVAGSFGYIAPEYGYCLRITEKSDVYSYGVVLLEVLTGKEPTDDRIPEGVHIVTWVSKALRERRTELTTILDPQLLLRSGTQLQEMLQVLGVALLCVNPSPEERPTMKDVTAMLKEIRHVNEDFEKPNYRGMEATSNPKAAVHSSSFSRSSEPLIRSPS >Potri.002G070900.2.v4.1 pep chromosome:Pop_tri_v4:2:4975164:4978099:1 gene:Potri.002G070900.v4.1 transcript:Potri.002G070900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070900.v4.1 MMSSNAITIFLLFLNISIFPAISALNQEGHCLLSWLSTFNSSLSATFFSTWDPSHKNPCKWDYVRCSSNGFVSGITITSINLPTSFPTQLLSFNHLTTLVLSNANLTGEIPRSIGNLSSLSTLDLSFNSLTGDIPAEIGRLSQLKLLALNTNSLHGEIPKEIGNCSRLRQLELFDNQLSGKIPAEIGQLLALKTFRAGGNPGIYGEIPMQISNCKELLFLGLADTGISGQIPSILGELKHLETLSVYTAKLTGSIPADIGNCSAMEHLYLYGNQISGRIPDELALLTNLKRLLLWQNNLTGSIPDALGNCLALEVIDLSMNSLSGQIPGSLANLAALEELLLSDNYLTGEIPPFVGNFFGLKQLELDNNRFTGEIPPAIGQLKELLIFFAWQNQLHGSIPAELAKCEKLQALDLSHNFLTGSIPHSLFHLKNLSQLLLISNGFSGEIPPDIGNCIGLIRLRLGSNNFTGQLPPEIGLLHKLSFLELSDNQFTGEIPLEIGNCTQLEMVDLHSNRLHGTIPTSVEFLVSLNVLDLSKNSIAGSVPDNLGMLTSLNKLVISENYITGSIPKSLGLCRDLQLLDMSSNRLTGSIPDEIGGLQGLDILLNLSRNSLTGSIPESFANLSNLANLDLSHNMLTGTLTVLGSLDNLVSLNVSHNNFSGLLPDTKLFHDLPASAYAGNQELCINRNKCHMNGSDHGKNSTRNLVVCTLLSVTVTLLIVFLGGLLFTRIRGAAFGRKDEEDNLEWDITPFQKLNFSVNDIVTKLSDSNIVGKGVSGMVYRVETPMKQVIAVKKLWPLKNGEVPERDLFSAEVRALGSIRHKNIVRLLGCCNNGKTRLLLFDYISMGSLAGLLHEKVFLDWDARYNIILGAAHGLAYLHHDCIPPIVHRDIKTNNILVGPQFEAFLADFGLAKLVDSEECSRVSNVVAGSFGYIAPGEYNEHFRFQ >Potri.014G054700.1.v4.1 pep chromosome:Pop_tri_v4:14:3488151:3489923:1 gene:Potri.014G054700.v4.1 transcript:Potri.014G054700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054700.v4.1 MEGFKKEEIRKGPWKEEEDEVLINHVKKYGPRDWSSIRSKGLLHRTGKSCRLRWVNKLRPNLKNGCKFSAEEERVVIDLQAEIGNRWARIATYLPGRTDNDVKNFWSSRQKRLARILQTSGTPPSSFNSKQQRSKNKVPVFLDVPTFEAPMFSSSMEEEVSSKACSSSYLENPEQIRMMPLPPLVKSELPGCDANLVQYEPMSGIPFPQIPQPQPDLVFSPESHELLARLDDPYFLNVLGTIDAPELGDTAQFSLGPPLFDPVSSCMNSPSDVKNPVTPDTLFDELPPDMFDHIEPFPSPSEW >Potri.015G029800.2.v4.1 pep chromosome:Pop_tri_v4:15:2294115:2296572:-1 gene:Potri.015G029800.v4.1 transcript:Potri.015G029800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029800.v4.1 MDREKLMKMASAVRTGGKGSVRRKKKAIHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDMVIQFLNPKVQASIAANTWVVSGAPQTKKLQDILPQVLSHLGPDNLDNLKKLAEQIQKQSTGAGATAADAAAQDDDDDVPDLVPGETFEAAAEEGKAAAS >Potri.002G016300.1.v4.1 pep chromosome:Pop_tri_v4:2:999705:1001933:1 gene:Potri.002G016300.v4.1 transcript:Potri.002G016300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016300.v4.1 MDSLALFFTGALLAGGIYWFVCVLGPAEQKGKRAVDLSGGSISAENVQDNYDQYWSFFRRPKEIETTEKVPDFVDTFYNLVTDIYEWGWGQSFHFSPSIPGKSHSEATRLHEEMAVDLINVKPGDRILDVGCGVGGPMRAIAAHSRAKVVGITINDYQVNRARTHNKKAGLDSLCEVVQGNFLEMPFPENSFDGAYSIEATCHAPKLEEVYAEIFRVLKPGSLYVSYEWVTTDKYKDSDPEHVEVIQGIERGDALPGLRNYTDIAETARKVGFEVVKEKDLAKPPAQPWWTRLKMGRIAYWRNHIVVTVLSAVGIAPKGTVDVHEMLFKTADYLTKGGDSGIFTPMHMILCRKPEKKTEAASNS >Potri.006G196300.2.v4.1 pep chromosome:Pop_tri_v4:6:20442391:20442846:1 gene:Potri.006G196300.v4.1 transcript:Potri.006G196300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196300.v4.1 MVKSHSCTISIYDFHIPLIFLYSSYSHHALSTPDDPQEWCKDSTYNRTVHPTLLVAGLAPLLASFFQPSTRISISQQSYRRENRSFVRFRFNDQRKSSYGSPYFHPIY >Potri.003G207000.1.v4.1 pep chromosome:Pop_tri_v4:3:20620430:20621887:-1 gene:Potri.003G207000.v4.1 transcript:Potri.003G207000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207000.v4.1 MTMFSYITLLATLTIFISTCTVRSCPPSDRAALLAFKAALHEPYLGIFNSWAGTDCCRNWYGVSCDMETKRVADINLRGESEDPIFQKAGRSGYMTGSISPSICKLKRLSSLTIADWKGISGPIPACITSLPFLRILDLIGNRLSGPIPEDIGRLHRLTVLNIADNLVTSRIPRSLTNLSSLMHLDLRNNRIWGSLPRDFGRLRMLSRALLSRNYIGGTIPDSISKIYRLADLDLSLNRLSGEIPASLGKMAVLATLNLDANNLSGNIPYSLFNSAIGNLNLSKNSFHGYLPDVFGPGSYFMVLDLSYNNFWGLIPKSLSQASFIGHLDLSHNRLCGRIPAGPPFDHLEASSFAYNACLCGKPLGACR >Potri.012G134800.1.v4.1 pep chromosome:Pop_tri_v4:12:14963994:14968670:1 gene:Potri.012G134800.v4.1 transcript:Potri.012G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134800.v4.1 MDLDYNGGNTTNTNKRVFHRLGGGKSVNDTSNQHNHQHQQNQKVCYHWRAGKCNRFPCPFLHRELPAPPPHASVNGGGGGGGGAKRGFAGNDSSSFSGRRGGNSNYSNSWGRFGNKGDVRGVKRVSVEKVCNFWVQGNCSFGDKCRYLHSWSLGDGFSLVTQLEGHQKVISGIALPSGSDKLYTGSKDETVRVWDCQSGQCTGVVNLGGEVGCMISEGPWIFVGLPNVVKAWNTQTNADLSLNGPIGQVYALVVGNDLLFAGTQDGSILVWKFNAATYNFEPAVSLKDHKMAVVSLVVGANRLYSGSMDHSIKVWSLETLQCIQTLTDHTSVVMSLLCWEQFLLSCSLDQTIKVWAATESGNLEVTFTHNEEHGLLTLCGMHDPEGKPVLLCSSNDNSVHLYDLPSFSEKGKMFAKQEIRAIQTGPGGLFFTGDGTGQVRVWNSVAVPTTTT >Potri.003G008700.1.v4.1 pep chromosome:Pop_tri_v4:3:816503:820520:1 gene:Potri.003G008700.v4.1 transcript:Potri.003G008700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008700.v4.1 MSLSLLLTSTRPPLLDRTHFKITHANPKKLTSSSHPSFRNLPALILSSSSGSGSCSCSGHEESGHLPVSPPKPTSLDWQQNVLSTAASLYPLYVTAGGILACFNPSAFSWFVKRGPASYSLSLGLIMLAMGLTLELKDLIALFMQRPLSILFGCVAQYTIMPAFGLIVSKALGLPPSFSVGLILLGCCPGGTASNVVTLIAQGDVPLSIVMTGCTTLGAVLLTPFLTKILAGTYVPVDAIGLSISTLQVVVAPILLGSYMQSKIPAAVKTVTPFAPLFAVLASSLLACSVFSENVVHLKSSMVAASLPSDASLILRIQSIFSGEMGTIILAVLLLHLAGFFVGYISAAIAGFKEPQRRAISIEVGMQNSSLGVVLAASHFTSPMVALPPAMSAVIMNIMGSSLGFFWRYTNPSDSKDTHSPKIDGSEK >Potri.019G131300.1.v4.1 pep chromosome:Pop_tri_v4:19:15371426:15373326:-1 gene:Potri.019G131300.v4.1 transcript:Potri.019G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131300.v4.1 MLIYNPNTMSVQSKVKFTEHVFATKKLIKNQQQQHPSSRIRGQRLVRIIHTDPDATDSSSDEGEEQEQERRFVRRVKRQVSEIRLLEQETTAPAAPSSRTSNDDHRDPTRKRPSSRLPVSDVTRRKNFRGVRQRPWGKWAAEIRDPTRRKRVWLGTFNTAEEAATVYDRAAVKLKGPDAVTNFPTKSVLTVRANVDGPSDFQCESCDSPSSSGVNVMPSPTSVLRYEELTPFDPVLTEKAKVIDDHRESCESPLRLSMTSPTSVLRYEELTPFDSLAYGDVDAFGFKIDVPFGLPDLMFPGKFVDEEEFVDLDDFLVEAIC >Potri.014G020600.1.v4.1 pep chromosome:Pop_tri_v4:14:1255411:1258127:-1 gene:Potri.014G020600.v4.1 transcript:Potri.014G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G020600.v4.1 MATLFLYFPVFLALYIISTHFLNRIRNFPPSPLPSLPIIGHLYLLKKPLYRTLSKISDKHGPVILLQLGSRRLLVVSSPSIAEECFTKNDVVFANRPRLLIAKHLAYNSTSLVWAPYGDHWRNLRRIVSIEVLSAYRLQMLSAIRLEEVKSMVCVLFRNQNQFVDMRTVFFELTLNIVMRMIAGKRYYGENVSDVEEAKRFRALHAESFLLGGKTIIGDYIPWIKSKKMEKRLIECNLKRDSFLQCLIEEQRRKILEGDCCGEKKKNLIQVLLSLQETEPEYYTDDIIKGLVVVILFAGTHTSSTTMEWALSLLLNHPEVLEKAKREIDEQIGHDRLMDEADLAQLPYLRSVLNETLRMYPAAPLLVPHESSEECLVGGFRIPRGTMLSVNVWAIQNDPKIWRDPTKFRPERFDNPEVARDGFKLMPFGYGRRSCPGESMALRVMGLALGSLLQCFEWQKIGDKMVDMTEASGFTIPKAKPLKVICRPRPDMLRHLS >Potri.003G127800.5.v4.1 pep chromosome:Pop_tri_v4:3:14680869:14689951:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDQNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQTFKWIGVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKKPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.6.v4.1 pep chromosome:Pop_tri_v4:3:14680966:14689952:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQTFKWIGVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKNRPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.12.v4.1 pep chromosome:Pop_tri_v4:3:14681093:14689880:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKNRPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.10.v4.1 pep chromosome:Pop_tri_v4:3:14680966:14689952:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKNRPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.14.v4.1 pep chromosome:Pop_tri_v4:3:14683091:14689880:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQVNFFFRQYS >Potri.003G127800.9.v4.1 pep chromosome:Pop_tri_v4:3:14680869:14689958:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDQNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKKPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.11.v4.1 pep chromosome:Pop_tri_v4:3:14680869:14689951:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKKPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.4.v4.1 pep chromosome:Pop_tri_v4:3:14680966:14689952:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDQNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQTFKWIGVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKNRPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.8.v4.1 pep chromosome:Pop_tri_v4:3:14680966:14689952:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDQNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKNRPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.13.v4.1 pep chromosome:Pop_tri_v4:3:14681092:14688579:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKNRPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.003G127800.7.v4.1 pep chromosome:Pop_tri_v4:3:14680869:14689951:-1 gene:Potri.003G127800.v4.1 transcript:Potri.003G127800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127800.v4.1 MSASPERSLSENGRYDSSNGAHADVNIQSVIEWLNRSLPHLNLPTDASEEKLRAYLIDGTVLCNILDKLCPGLVEMRGNSKPGPENIRKFLAAMDEIALPRFVLADIQEGYMEPVLQCLGTLKTHFEFTGGKESIREHLRRRWNLPKVEFSEGITNSLVYTATCDENPAINGDERQQDSFENKYGSSLDDSISSESAALAHDAAHKLSEMFQQKQGSYADLSDSNILELMKSNGFDNASTRTLFSLVNRILEENIERKNGHVHHMALILKKVVQVIEHRVSTQAVNLKDLNNLYEVHLGKCQSRIKVLETLAAGTTEEIQVLLSKLQQIKIEKTKIEKKKKLEEQELLRTRQERIHSDIENSTLKHELEIAKTAHEEHCLLLQMRAEETKVQLEKKLMEFKCFLTESKERVKELESFSESKYQRWKSKEGTYKSFIDYQSRALQELRGASDFLKHEILKTKRSYAEEFNFLGVKLKGLVDAAANYHSVLAENRRLYNEVQDLKGNIRVYCRIRPFLPGQSKKRTTVEYIGENGELVISNPSKQGKDSHRLFKFNKVFGPAATQEEVFLDTQPLIRSVLDGYNVCIFAYGQTGSGKTYTMSGPNITSQEDWGVNYRALHDLFQISQHRKSSISYEVGVQMVEIYNEQVRDLLSSDGPHKRLGIWSTTQPNGLAVPDASMHAVTSTADVLELMNIGLMNRAVGATVLNERSSRSHSVLTVHVYGMDLETGAVLRGNLHLVDLAGSERVDRSEAIGERLREAQHINKSLSALGDVIFSLAQKSQHVPFRNSKLTQVLQSSLGGQAKTLMFVQLNPDVDSYSETVSTLKFAERVSGIELGAAKSNKEGRNTRELMEQTFKWIGVAFLKDTISRKDEVIERLQQLKANVNGVKCGMNSHGYDSSSPRRYSNGTALHSPRLSGRKGSRLFEKASSDTDNCSEHSERRSEAGSAQSMDNFQHKKMLLPQSKSKDDLTLKKEFVSRPNFVGTCLSHKDKEDLDLLGFGDADSDERLSDISDGCLSRAETEGSLGSAVEFTLFPESKPSEATKPVEVAKPVEAAKPARKAANKKPSFVSRLPKPSQKLAQTRLPRLSTTISSASKASSSMSAARKPPASSFSATKPVKQWH >Potri.001G093600.1.v4.1 pep chromosome:Pop_tri_v4:1:7400936:7408502:-1 gene:Potri.001G093600.v4.1 transcript:Potri.001G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093600.v4.1 MAPPPKSDPFLADSQREVDAGAVFVLESKGEWWHAGFHLTTAIVGPTILTLPYAFRGLGWGLGFFCLTVMGMVTFYAYYLMSKVLDYCERDGRRHIRFRELAADVLGSGWMFYFVIVIQTAINTGVGIGAILLAGECLQIMYSSLSPDGPLKLYEFIAMVTVVMIVLSQFPTFHSLRHINLASLFLSLGYSFIVVGACIHAGLSKNAPPRDYSLESSESARVFSAFTSISIIAAIFGNGILPEIQATLAPPATGKMVKGLLMCYTVILVTFYSTAMSGYWVFGNKSNSNILKSLMPDEEPSLAPTWVLGMGVVFVLLQLFAIGLVYSQVAYEIMEKKSADVQQGMFSKRNLIPRIVLRTLYMIFCGFMAAMLPFFGDINGVVGAIGFIPLDFVLPMLLYNMTFKPPKSSLTYWLNLSIMVVFTGAGLMGAFSSTRKLVLDAKKFKLFSSNVVD >Potri.017G069100.1.v4.1 pep chromosome:Pop_tri_v4:17:5836125:5840082:-1 gene:Potri.017G069100.v4.1 transcript:Potri.017G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069100.v4.1 MESLHSFWQLGDELRGQSKVSEDHKWLMAALKLAEQTRGKGERMNNLDLSKGLPEMRSRDKIGFQEENKFESFNFNMMNLESKMTENGNKSSLMNNAYNMNAVYQKNNINSVGNMTGSKYSGNNLSSKDPSNHSNNNINNDNNNTVDKRFKTLPATETLPRNEVLGGYIFVCNNDTMQEDLKRQLFGLPPRYRDSVRAITPGLPLFLYNYTTHQLHGIFEAASFGGSNIDPTAWEDKKCKGESRFPAQVRIRIRKLYKALEEDAFRPVLHHYDGPKFRLELSVPETLDLLDLCEQAGSLA >Potri.010G095700.1.v4.1 pep chromosome:Pop_tri_v4:10:11963572:11965994:1 gene:Potri.010G095700.v4.1 transcript:Potri.010G095700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G095700.v4.1 MGSPFKDHHTLHPSLVRKLIPWTFYAMVPLVLFRVYLYPYPLHHTTTTILTSSPVSPPPALLEDETSCDYTDGNWVPDRRDPLYNGSTCGTIKEGQSCIAHGRPDMGYLYWRWKPKQCKLPRFEPNTFLQLLRNKHLAFVGDSMARNQLESLLCMLSSVSPPNLVYRDGEENKFRRWYFESHNFSISVYWSPFLVRGVEKSNTGLNHNQLFLDHVDERWAADMNGIDMVVLSIGHWFLHPAVYYEGDQVLGCHYCPDLNHTEIGFYDILRKAIKTTLKALVDRKGPNANGFDALVTTFSPAHFEGDWDKLGACPKTEPYKEGEKTLEGMDAEMRQVEVEEVEAAKMNSVQLEKFRLEALDVSKLSLMRPDGHPGPYMHPFPFAYGVAERVQNDCVHWCLPGPIDTWNEILLEVIKKWEYESRREQ >Potri.008G121400.2.v4.1 pep chromosome:Pop_tri_v4:8:7879620:7882447:1 gene:Potri.008G121400.v4.1 transcript:Potri.008G121400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121400.v4.1 MENAHKVFDQIPEPNASIWNAMFRGYSQNESHKDVIVLFRQMKGLDVMPNCFTFPVILKSCVKINALKEGEEVHCFVIKSGFRANPFVATTLIDMYASGGAIHAAYRVFGEMIERNVIAWTAMINGYITCCDLVTARRLFDLAPERDIVLWNTMISGYIEAKDVIRARELFDKMPNKDVMSWNTVLNGYASNGDVMACERLFEEMPERNVFSWNALIGGYTRNGCFSEVLSAFKRMLVDGTVVPNDATLVNVLSACARLGALDLGKWVHVYAESHGYKGNVYVRNALMDMYAKCGVVETALDVFKSMDNKDLISWNTIIGGLAVHGHGADALNLFSHMKIAGENPDGITFIGILCACTHMGLVEDGFSYFKSMTDDYSIVPRIEHYGCIVDLLGRAGLLAHAVDFIRKMPIEADAVIWAALLGACRVYKNVELAELALEKLIEFEPKNPANYVMLSNIYGDFGRWKDVARLKVAMRDTGFKKLPGCSLIEVNDYLVEFYSLDERHPEKEQIYGTLRTLTKLLRSSGYVPGLMELDERN >Potri.008G121400.3.v4.1 pep chromosome:Pop_tri_v4:8:7879722:7882662:1 gene:Potri.008G121400.v4.1 transcript:Potri.008G121400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121400.v4.1 MENAHKVFDQIPEPNASIWNAMFRGYSQNESHKDVIVLFRQMKGLDVMPNCFTFPVILKSCVKINALKEGEEVHCFVIKSGFRANPFVATTLIDMYASGGAIHAAYRVFGEMIERNVIAWTAMINGYITCCDLVTARRLFDLAPERDIVLWNTMISGYIEAKDVIRARELFDKMPNKDVMSWNTVLNGYASNGDVMACERLFEEMPERNVFSWNALIGGYTRNGCFSEVLSAFKRMLVDGTVVPNDATLVNVLSACARLGALDLGKWVHVYAESHGYKGNVYVRNALMDMYAKCGVVETALDVFKSMDNKDLISWNTIIGGLAVHGHGADALNLFSHMKIAGENPDGITFIGILCACTHMGLVEDGFSYFKSMTDDYSIVPRIEHYGCIVDLLGRAGLLAHAVDFIRKMPIEADAVIWAALLGACRVYKNVELAELALEKLIEFEPKNPANYVMLSNIYGDFGRWKDVARLKVAMRDTGFKKLPGCSLIEVNDYLVEFYSLDERHPEKEQIYGTLRTLTKLLRSSGYVPGLMELDERN >Potri.017G100100.1.v4.1 pep chromosome:Pop_tri_v4:17:11177036:11181897:-1 gene:Potri.017G100100.v4.1 transcript:Potri.017G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100100.v4.1 MGSVCCCLHVDDFEDYMNPENSEYRNCLCLSCFVQNFLHVYTSIFRRGQVHSVPSSIQGAASLTSSSLDNSLAEMYRSPPRPLPYDADPRCLRLQRDGLVSRREKGSSHSHEESEPLRSDNDADSESFRTGDKWNASACEGGKEQHSRSSLKLSSAKATVGIGYVYSSSEEEDVCPTCLDEYTPEDPKIMTKCSHHFHLGCIYEWMERSDSCPVCGKVMVFDETT >Potri.017G100100.3.v4.1 pep chromosome:Pop_tri_v4:17:11177251:11181897:-1 gene:Potri.017G100100.v4.1 transcript:Potri.017G100100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100100.v4.1 MGSVCCCLHVDDFEDYMNPENSEYRNCLCLSCFVQNFLHVYTSIFRRGQVHSVPSSIQGAASLTSSSLDNSLAEMYRSPPRPLPYDADPRCLRLQRDGLVSRREKGSSHSHEESEPLRSDNDADSESFRTGDKWNASACEGGKEQHSRSSLKLSSAKATVGIGYVYSSSEEEDVCPTCLDEYTPEDPKIMTKCSHHFHLGCIYEWMERSDSCPVCGKVTIVALFSSNLLIQFSIFILEIYQSVHFLVLEIFQYCLTSPPGYWNHFYEVET >Potri.016G050300.1.v4.1 pep chromosome:Pop_tri_v4:16:3244651:3247562:-1 gene:Potri.016G050300.v4.1 transcript:Potri.016G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G050300.v4.1 MTESRDRLSRAVDIAAIFAARRQSMNLGIYQDRPELDMALFGSPRTNTAIRNQTVGVGTITGRGRGRLGTPRGRGGWTPLDRENMPPPGSARRRRGRGSNSLLPSWYPRTPLRDITAVVRAIERRGRLGGSDGREIGSPMPQGRMDPEFSEATPVAHPEPSNRIMSPKPTPAFKGCPSTIGKVPKILQHITNQASGDPECLTPQKKLLNSIDTVEKVVMEELQKLKRTPSAKKAEREKRVRTLMSMR >Potri.016G050300.2.v4.1 pep chromosome:Pop_tri_v4:16:3244697:3247553:-1 gene:Potri.016G050300.v4.1 transcript:Potri.016G050300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G050300.v4.1 MTESRDRLSRAVDIAAIFAARRQSMNLGIYQDRPELDMALFGSPRTNTAIRNQTVGVGTITGRGRGRLGTPRGRGGWTPLDRENMPPPGSARRRRGRGSNSLLPSWYPRTPLRDITAVVRAIERRGRLGGSDGREIGSPMPQGRMDPEFSEATPVAHPEPSNRIMSPKPTPAFKGCPSTIGKVPKILQHITNQASGDPECLTPQKKLLNSIDTVEKVVMEELQKLKRTPSAKKAEREKRVRTLMSMR >Potri.005G110000.6.v4.1 pep chromosome:Pop_tri_v4:5:7988638:7994369:-1 gene:Potri.005G110000.v4.1 transcript:Potri.005G110000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110000.v4.1 MATWEHLGEVANVVQLTGIDAVRLIAMIGKAATTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNSRVRERLEYIERDQREYTLDDEDRRVQDVILKPDCSGEHTTMLKKTLSCSYPNMCFNEALRKENEKLQLELQRSQAHLDVNQCEVIQHLIEVTEVAAASSLPEKSSSTKSSKKLEPAYSDASENKHSFDDSYSTKSDSHKTSRNTSSVSSRDDLLSSRGSHQQEEWHADLLGCCSEPYLCIKTLFYPCGTFAKIATVAKNRHISSAEACNELMAYSMMLSCCCYTCCVRRELRKTLNITGGFIDDFLSHLMCCCCALVQEWREVEIRGVYGPEKTKTSPPPSQFMES >Potri.005G110000.2.v4.1 pep chromosome:Pop_tri_v4:5:7988638:7995121:-1 gene:Potri.005G110000.v4.1 transcript:Potri.005G110000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110000.v4.1 MATWEHLGEVANVVQLTGIDAVRLIAMIGKAATTARMHKKNCRQFAQHLKLIGNLLEQLKISELKRYPETREPLEQLEDALRRSYLLVNSCQDRSYLYLLAMGWNIVYQFRKAQNEIDRYLRLVPLITLVDNSRVRERLEYIERDQREYTLDDEDRRVQDVILKPDCSGEHTTMLKKTLSCSYPNMCFNEALRKENEKLQLELQRSQAHLDVNQCEVIQHLIEVTEVAAASSLPEKSSSTKSSKKLEPAYSDASENKHSFDDSYSTKSDSHKTSRNTSSVSSRDDLLSSRGSHQQEEWHADLLGCCSEPYLCIKTLFYPCGTFAKIATVAKNRHISSAEACNELMAYSMMLSCCCYTCCVRRELRKTLNITGGFIDDFLSHLMCCCCALVQEWREVEIRGVYGPEKTKTSPPPSQFMES >Potri.004G212500.3.v4.1 pep chromosome:Pop_tri_v4:4:21894403:21898568:-1 gene:Potri.004G212500.v4.1 transcript:Potri.004G212500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212500.v4.1 MASKGGAAPSTYTPTPAPTPTPTKKAQRPPFFTDSDVDWTRSDGRGFHQCRSAFFRTGAVNSAAGSAYAEFGNTKVIVSVFGPRESKKAMMYSDKGRLNCNVSYTTFATTVHGQGSDNKEFSTMLHKALEGAVMLETFPKTTVDVFALVLESGGSDLPVVISCASLALADAGIMMYDLVAGVSVSCLGRNLIIDPTLEEESFQDGSLMITCMPSHYEITQLTITGEWSTANLNEAMQLCLDACSKLAKILRSCLKEAASASKD >Potri.001G361500.1.v4.1 pep chromosome:Pop_tri_v4:1:37948048:37950045:-1 gene:Potri.001G361500.v4.1 transcript:Potri.001G361500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361500.v4.1 MWRSIAAKSRVAARNFGATKNPNNSNKVYPSQSHISVWVSSQSSENLRFLSYFSSNPNENDHSPPTNDDSSIISGEHNDTQFEGLSDQIPLPFSENGDARMDTCASGDVSVVSLAGEENVESETYEIDVEKLENVLHLLQSSDDGSLESTLDTFSLDLHEEFVVKVLETPHVLGENLIRFFKWAMKKQDLSVTTRAIDVLVSSICSSELRRKNAYALWDLVREIGEKNEGLVSVGSLNQLIALLSKLGKGKAALEVFDKSKDFGCVPDSETYYYTIEALCRRSFYDWAWIVCEKMLDQGPLPDSEKIGKIICWFCKGSKAKDAHKVYLLAKEKSKCPPKPALYFLIGSLCRDDGTVNLALEMLNDFEGEAKKYAIKPFSSVIRGLCRIKDLDGAKQLLSKMIVEGPPPGNAVFNTVISGYCKGGDMKEAIEIMKLMESRGLKPDVYTYTVIISGYSNGGQMEEACYILSEAKKKHSKLSPVTYHALIRGYCKLDQFDKALELLAEMEKFGVQPNADEYNKLIQSLCLKSLDWGTAEKLFAEMKEKGLYLNSITRSLITAVKELEQEGLAKEVSIEV >Potri.001G180100.1.v4.1 pep chromosome:Pop_tri_v4:1:15792567:15797128:-1 gene:Potri.001G180100.v4.1 transcript:Potri.001G180100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180100.v4.1 MSVVGFDFGNENSLVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGRPFSDPELQRDLRSLPFTVTEGPDGFPLIQARYLGEMRTFTPTQVLGMVFADLKIIGQKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLMHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKILAHSFDRSLGGRDFDEALFQHFTTKFKAEYHIDVYQNARACLRLRAACEKLKKVLSANPVAPLNIECLMEEKDVRGIIKREEFEQISIPILERVKRPLEKALQDAGLAVENVHTVEVVGSASRVPAIMKILTEFFGKEPRRTMNSSESVSRGCALQCAILSPTFKVREFQVHECFPFSIAVSWKGAAPDSQNGAADNQQSTIVFPKGNPIPSIKALTFYRSGTFSIDVQYADVSELQAPAKISTYTIGPFQSTKSERAKVKVKVRLNLHGIVSVESATLLEEEEVEVPVTKEPAKEPAKMDTDEAPSDAATKGPKEADANMEEEKSAADVSGAENGVPEADKPTQMETDTKVEVPKKKVKKTNIPVSEVVYGGILAAEVEKLLEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLSDRYQEFVTDPEREGFTAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTERGSVIDQLVYCVNSYREAAVSSDPKFEHIDLTEKQKVLNECVEAEAWLREKKQHQDSLPKYATPVLLSADVRKKAEALDRFCRPIMTKPKPAKPATPETPATPPPQGSEQQQQGDANADPSANASANETAGAASGEVPPASGEPMETDKSETA >Potri.001G180100.4.v4.1 pep chromosome:Pop_tri_v4:1:15792754:15797842:-1 gene:Potri.001G180100.v4.1 transcript:Potri.001G180100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180100.v4.1 MSVVGFDFGNENSLVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGRPFSDPELQRDLRSLPFTVTEGPDGFPLIQARYLGEMRTFTPTQVLGMVFADLKIIGQKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLMHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKILAHSFDRSLGGRDFDEALFQHFTTKFKAEYHIDVYQNARACLRLRAACEKLKKVLSANPVAPLNIECLMEEKDVRGIIKREEFEQISIPILERVKRPLEKALQDAGLAVENVHTVEVVGSASRVPAIMKILTEFFGKEPRRTMNSSESVSRGCALQCAILSPTFKVREFQVHECFPFSIAVSWKGAAPDSQNGAADNQQSTIVFPKGNPIPSIKALTFYRSGTFSIDVQYADVSELQAPAKISTYTIGPFQSTKSERAKVKVKVRLNLHGIVSVESATLLEEEEVEVPVTKEPAKEPAKMDTDEAPSDAATKGPKEADANMEEEKSAADVSGAENGVPEADKPTQMETDTKVEVPKKKVKKTNIPVSEVVYGGILAAEVEKLLEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLSDRYQEFVTDPEREGFTAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTERGSVIDQLVYCVNSYREAAVSSDPKFEHIDLTEKQKVLNECVEAEAWLREKKQHQDSLPKYATPVLLSADVRKKAEALDRFCRPIMTKPKPAKPATPETPATPPPQGSEQQQQGDANADPSANASANETAGAASGEVPPASGEPMETDKSETA >Potri.001G180100.3.v4.1 pep chromosome:Pop_tri_v4:1:15792757:15798353:-1 gene:Potri.001G180100.v4.1 transcript:Potri.001G180100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180100.v4.1 MSVVGFDFGNENSLVAVARQRGIDVVLNDESKRETPAIVCFGDKQRFIGTAGAASTMMNPKNSISQIKRLIGRPFSDPELQRDLRSLPFTVTEGPDGFPLIQARYLGEMRTFTPTQVLGMVFADLKIIGQKNLNAAVVDCCIGIPVYFTDLQRRAVLDAATIAGLHPLRLMHETTATALAYGIYKTDLPENDQLNVAFVDVGHASMQVCIAGFKKGQLKILAHSFDRSLGGRDFDEALFQHFTTKFKAEYHIDVYQNARACLRLRAACEKLKKVLSANPVAPLNIECLMEEKDVRGIIKREEFEQISIPILERVKRPLEKALQDAGLAVENVHTVEVVGSASRVPAIMKILTEFFGKEPRRTMNSSESVSRGCALQCAILSPTFKVREFQVHECFPFSIAVSWKGAAPDSQNGAADNQQSTIVFPKGNPIPSIKALTFYRSGTFSIDVQYADVSELQAPAKISTYTIGPFQSTKSERAKVKVKVRLNLHGIVSVESATLLEEEEVEVPVTKEPAKEPAKMDTDEAPSDAATKGPKEADANMEEEKSAADVSGAENGVPEADKPTQMETDTKVEVPKKKVKKTNIPVSEVVYGGILAAEVEKLLEKEYEMALQDRVMEETKEKKNAVEAYVYDMRNKLSDRYQEFVTDPEREGFTAKLQETEDWLYEDGEDETKGVYIAKLEELKKQGDPIEERYKEYTERGSVIDQLVYCVNSYREAAVSSDPKFEHIDLTEKQKVLNECVEAEAWLREKKQHQDSLPKYATPVLLSADVRKKAEALDRFCRPIMTKPKPAKPATPETPATPPPQGSEQQQQGDANADPSANASANETAGAASGEVPPASGEPMETDKSETA >Potri.003G148300.2.v4.1 pep chromosome:Pop_tri_v4:3:16227861:16230133:-1 gene:Potri.003G148300.v4.1 transcript:Potri.003G148300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148300.v4.1 MDLLENFDSNMVFMEKAENTMDLLGSVDLLVENLWEAERSYYQHFDLNFPVPVENNIPPCGPWFPAQPQIFQAEAYGLTRQRGTARDVEVIDDDIAIISPNTFDQARKKARRNPDAEVRENINMANQAGSVPQLPGSSQAVPPSQFPGSSQTVPPPQLSGLSLTVPPPHFSGLSQTAPPPPAPMFCCPICMDEMKEATSTKCGHVFCKSCIEKALAVQKKCPTCRMKCIAKSIFRIFLPAFL >Potri.003G148300.1.v4.1 pep chromosome:Pop_tri_v4:3:16227859:16230138:-1 gene:Potri.003G148300.v4.1 transcript:Potri.003G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148300.v4.1 MDLLENFDSNMVFMEKAENTMDLLGSVDLLVENLWEAERSYYQHFDLNFPVPVENNIPPCGPWFPAQPQIFQAEAYGLTRQRGTARDVEVIDDDIAIISPNTFDQARKKARRNPDAEVRENINMANQVHAAGSVPQLPGSSQAVPPSQFPGSSQTVPPPQLSGLSLTVPPPHFSGLSQTAPPPPAPMFCCPICMDEMKEATSTKCGHVFCKSCIEKALAVQKKCPTCRMKCIAKSIFRIFLPAFL >Potri.002G142200.3.v4.1 pep chromosome:Pop_tri_v4:2:10670274:10672618:1 gene:Potri.002G142200.v4.1 transcript:Potri.002G142200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142200.v4.1 MLEYEWGNPSTMMLSGGDEQAATNQEVQHLNRQANIFDHYTHSFNDNHLIPQQTSSTLMFQNHPTATNSAHHSFNSLFDPRAYTGSGASSYSANDTSLLSLDSIPTITSVATATPYFLIPKGEEISRPSDLITSRIGLNLGGRTYFSSAEDDFVKRLYRRSRPLDPGSSSNALRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHILSEFDNGKRSCRKRLADHNRRRRKSHQVNQENQRSQLENAANPSSDILTRSPLDSGAHSTSSATTVAISPPRMSLDCFKQRAYQATAPSFTSSSSPFISRG >Potri.002G142200.2.v4.1 pep chromosome:Pop_tri_v4:2:10670017:10672635:1 gene:Potri.002G142200.v4.1 transcript:Potri.002G142200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142200.v4.1 MLEYEWGNPSTMMLSGGDEQAATNQEVQHLNRQANIFDHYTHSFNDNHLIPQQTSSTLMFQNHPTATNSAHHSFNSLFDPRAYTGSGASSYSANDTSLLSLDSIPTITSVATATPYFLIPKGEEISRPSDLITSRIGLNLGGRTYFSSAEDDFVKRLYRRSRPLDPGSSSNALRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHILSEFDNGKRSCRKRLADHNRRRRKSHQVNQENQRSQLENAANPSSDILTRSPLDSGAHSTSSATTVAISPPRMSLDCFKQRAYQATAPSFTSSSSPFISRG >Potri.002G142200.4.v4.1 pep chromosome:Pop_tri_v4:2:10670297:10671520:1 gene:Potri.002G142200.v4.1 transcript:Potri.002G142200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142200.v4.1 MLEYEWGNPSTMMLSGGDEQAATNQEVQHLNRQANIFDHYTHSFNDNHLIPQQTSSTLMFQNHPTATNSAHHSFNSLFDPRAYTGSGASSYSANDTSLLSLDSIPTITSVATATPYFLIPKGEEISRPSDLITSRIGLNLGGRTYFSSAEDDFVKRLYRRSRPLDPGSSSNALRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFF >Potri.002G142200.1.v4.1 pep chromosome:Pop_tri_v4:2:10670297:10672693:1 gene:Potri.002G142200.v4.1 transcript:Potri.002G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142200.v4.1 MLEYEWGNPSTMMLSGGDEQAATNQEVQHLNRQANIFDHYTHSFNDNHLIPQQTSSTLMFQNHPTATNSAHHSFNSLFDPRAYTGSGASSYSANDTSLLSLDSIPTITSVATATPYFLIPKGEEISRPSDLITSRIGLNLGGRTYFSSAEDDFVKRLYRRSRPLDPGSSSNALRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHILSEFDNGKRSCRKRLADHNRRRRKSHQVNQENQRSQLENAANPSSDILTRSPLDSGAHSTSSATTVAISPPRMSLDCFKQRAYQATAPSFTSSSSPFISRG >Potri.013G070300.1.v4.1 pep chromosome:Pop_tri_v4:13:5728387:5730344:1 gene:Potri.013G070300.v4.1 transcript:Potri.013G070300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070300.v4.1 MAMPKLEVPLLFLFFFLALTPTRAETFGPPLVSPIQKDASTLQYIITAYLQSPQETKLLLDLGASYIWINCDDYNSSSYRHIPCTTLLDEFVGCFACLMNCRDSNPNCGDSVCVLKPENPFQPSISGNDQYAPALVDYFSMLTLDNTGSIGGPSSSSPFTFIFSCGYKENLEGLARGVTGSAGLGRSSISIPVQASAIFHYPRYFALCLSGSKTRPGAAFFGTKGPYKFGRRVDLSKPLAYTPLLLNPVGKYSYPDLKKPSSEYFIGVTSIKVNGKAVALNQSLLAIDSGNGSGGTKLSTVVPYTQLETSIYKAVTEAFVKAAASSPFNLTTTKPVQPFSVCYPASNVRSTRAGPTVPAIDLVMHRNDVVWKILGSNSMVRVAEKGGADVWCLGFVDAVVRPKTSIFAGDPSIVIGGLQMEDNFLQFDLESMRLGFSSSVLSRGTSCASFRFAAKTG >Potri.001G326700.4.v4.1 pep chromosome:Pop_tri_v4:1:33582810:33590876:1 gene:Potri.001G326700.v4.1 transcript:Potri.001G326700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326700.v4.1 MTDGNLLDDLLLLLSPKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVAADNTIPLSPQWLYAKPVDAKSLTTGASGETRASNSLSHGNSIDNNLKDNWRLDGSQDKKDRRRIAPDVESSRRWREEERDTGLLGRKDRRKEDNRADSVSARDISETRTLSSSDRWHDGNSRNSAHESRRDSKWSSRWGPEDKEKDSRTDKRADVEKDDAHSDKQNFGTASHPASEPASERENDSRDKWRPTSERENDSRDKWRPRHRKDIHSSGPAAYRSAPGFGLDRGRLESPNVRFAAGRGRSNNSGNLQIGRHLTASSIGSIPLDKNHAFCYPRGKLLDIYRKHKTLPSFDTIPEGIELVSPLTQEISIKPLAFVAPDAEEEAFLGDIWQGKITSSGALNNSFREKNDSSSNTTAGFGEGALGEGDESFSVKTEEIAHSFGKITGNASGHGTVAETLDTSMAEEKDTHKDDKQKRTTTIARALMDGFAPADFKKDDPSSFGESGLSDNIMELKAFERQPVEDVAFQNNLKLEDIEPATSFEMDNQLPDDSSSLFDFSSAQKNPSSHQFSLNSNSELHQFRGAITPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASTTSPSAKLQLSDAVGESLEGSTGTLASVEFKASAVREDQQRASSGFEAISNVSGQSRVPDHGFLGGMEYSDDRRFQNVVTPDEEIIFPGRPGSSGNPLMRDVADFQRFAPNPSTNPAILNEFSDTGMHTHQDEIVHPFGLSMSELRSNSNLRRAQSSNMASSMGDEFPAQVHAMDPYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLGSADTRHLFHRQPEFNDFDQQHLIFQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLELQQRQQQLELQQRQQLELQQRRQLELQQRRQVELQRRQLEHQQQQRQFELQQQHHLLHQQQQQLCQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLSLEQIIRAKIGQNNLQEPQTDILDHLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGMDGESQVGWPRSIDEAGQTFRNTTGHHQSKSTGFNASDFFLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKLDNVNGHSQGPDSAEHLYMHSTDQLGSFSSNVSSHHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSAGGDEESSKQVLMDLHQKMGLQSIRSSEDDYRHLISSSKSRESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHLGNSERLSLRSKSGALTEEPTFLSGIVDTSHTNHVDNMFGDKSAMDKELAELDNRYGSKGMSAMARSVSHIEESFVEQAETAMDFANASSRHSSLSSAGGNGGLHGYEMRLDKSTGEEVSIDRMPAILTRGVDNALHKRPPVSQALSSKDVLSDMAFASHIKQKNRASLATSDERRNEPVENVAATLGGDNQISGKKEGRFRRTSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGSLGGRSGKKKGKKGRQIDPALLGFKVSSNRIMMDE >Potri.001G326700.3.v4.1 pep chromosome:Pop_tri_v4:1:33582815:33590663:1 gene:Potri.001G326700.v4.1 transcript:Potri.001G326700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326700.v4.1 MTDGNLLDDLLLLLSPKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVAADNTIPLSPQWLYAKPVDAKSLTTGASGETRASNSLSHGNSIDNNLKDNWRLDGSQDKKDRRRIAPDVESSRRWREEERDTGLLGRKDRRKEDNRADSVSARDISETRTLSSSDRWHDGNSRNSAHESRRDSKWSSRWGPEDKEKDSRTDKRADVEKDDAHSDKQNFGTASHPASEPASERENDSRDKWRPTSERENDSRDKWRPRHRKDIHSSGPAAYRSAPGFGLDRGRLESPNVRFAAGRGRSNNSGNLQIGRHLTASSIGSIPLDKNHAFCYPRGKLLDIYRKHKTLPSFDTIPEGIELVSPLTQEISIKPLAFVAPDAEEEAFLGDIWQGKITSSGALNNSFREKNDSSSNTTAGFGEGALGEGDESFSVKTEEIAHSFGKITGNASGHGTVAETLDTSMAEEKDTHKDDKQKRTTTIARALMDGFAPADFKKDDPSSFGESGLSDNIMELKAFERQPVEDVAFQNNLKLEDIEPATSFEMDNQLPDDSSSLFDFSSAQKNPSSHQFSLNSNSELHQFRGAITPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASTTSPSAKLQLSDAVGESLEGSTGTLASVEFKASAVREDQQRASSGFEAISNVSGQSRVPDHGFLGGMEYSDDRRFQNVVTPDEEIIFPGRPGSSGNPLMRDVADFQRFAPNPSTNPAILNEFSDTGMHTHQDEIVHPFGLSMSELRSNSNLRRAQSSNMASSMGDEFPAQVHAMDPYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLGSADTRHLFHRQPEFNDFDQQHLIFQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLELQQRQQQLELQQRQQLELQQRRQLELQQRRQVELQRRQLEHQQQQRQFELQQQHHLLHQQQQQLCQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLSLEQIIRAKIGQNNLQEPQTDILDHLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGMDGESQVGWPRSIDEAGQTFRNTTGHHQSKSTGFNASDFFLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKLDNVNGHSQGPDSAEHLYMHSTDQLGSFSSNVSSHHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSAGGDEESSKQVLMDLHQKMGLQSIRSSEDDYRHLISSSKSRESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHLGNSERLSLRSKSGALTEEPTFLSGIVDTSHTNHVDNMFGDKSAMDKELAELDNRYGSKGMSAMARSVSHIEESFVEQAETAMDFANASSRHSSLSSAGGNGGLHGYEMRLDKSTGEEVSIDRMPAILTRGVDNALHKRPPVSQALSSKDVLSDMAFASHIKQKNRASLATSDDFGGLQKEGTSL >Potri.001G326700.7.v4.1 pep chromosome:Pop_tri_v4:1:33582976:33590678:1 gene:Potri.001G326700.v4.1 transcript:Potri.001G326700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326700.v4.1 MTDGNLLDDLLLLLSPKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVAADNTIPLSPQWLYAKPVDAKSLTTGASGETRASNSLSHGNSIDNNLKDNWRLDGSQDKKDRRRIAPDVESSRRWREEERDTGLLGRKDRRKEDNRADSVSARDISETRTLSSSDRWHDGNSRNSAHESRRDSKWSSRWGPEDKEKDSRTDKRADVEKDDAHSDKQNFGTASHPASEPASERENDSRDKWRPTSERENDSRDKWRPRHRKDIHSSGPAAYRSAPGFGLDRGRLESPNVRFAAGRGRSNNSGNLQIGRHLTASSIGSIPLDKNHAFCYPRGKLLDIYRKHKTLPSFDTIPEGIELVSPLTQEISIKPLAFVAPDAEEEAFLGDIWQGKITSSGALNNSFREKNDSSSNTTAGFGEGALGEGDESFSVKTEEIAHSFGKITGNASGHGTVAETLDTSMAEEKDTHKDDKQKRTTTIARALMDGFAPADFKKDDPSSFGESGLSDNIMELKAFERQPVEDVAFQNNLKLEDIEPATSFEMDNQLPDDSSSLFDFSSAQKNPSSHQFSLNSNSELHQFRGAITPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASTTSPSAKLQLSDAVGESLEGSTGTLASVEFKASAVREDQQRASSGFEAISNVSGQSRVPDHGFLGGMEYSDDRRFQNVVTPDEEIIFPGRPGSSGNPLMRDVADFQRFAPNPSTNPAILNEFSDTGMHTHQDEIVHPFGLSMSELRSNSNLRRAQSSNMASSMGDEFPAQVHAMDPYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLGSADTRHLFHRQPEFNDFDQQHLIFQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLELQQRQQQLELQQRQQLELQQRRQLELQQRRQVELQRRQLEHQQQQRQFELQQQHHLLHQQQQQLCQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLSLEQIIRAKIGQNNLQEPQTDILDHLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGMDGESQVGWPRSIDEAGQTFRNTTGHHQSKSTGFNASDFFLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKLDNVNGHSQGPDSAEHLYMHSTDQLGSFSSNVSSHHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSAGGDEESSKQVLMDLHQKMGLQSIRSSEDDYRHLISSSKSRESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHLGNSERLSLRSKSGALTEEPTFLSGIVDTSHTNHVDNMFGDKSAMDKELAELDNRYGSKGMSAMARSVSHIEESFVEQAETAMDFANASSRHSSLSSAGIFSDIHLFRYHVML >Potri.001G326700.6.v4.1 pep chromosome:Pop_tri_v4:1:33582783:33590647:1 gene:Potri.001G326700.v4.1 transcript:Potri.001G326700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326700.v4.1 MTDGNLLDDLLLLLSPKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVAADNTIPLSPQWLYAKPVDAKSLTTGASGETRASNSLSHGNSIDNNLKDNWRLDGSQDKKDRRRIAPDVESSRRWREEERDTGLLGRKDRRKEDNRADSVSARDISETRTLSSSDRWHDGNSRNSAHESRRDSKWSSRWGPEDKEKDSRTDKRADVEKDDAHSDKQNFGTASHPASEPASERENDSRDKWRPTSERENDSRDKWRPRHRKDIHSSGPAAYRSAPGFGLDRGRLESPNVRFAAGRGRSNNSGNLQIGRHLTASSIGSIPLDKNHAFCYPRGKLLDIYRKHKTLPSFDTIPEGIELVSPLTQEISIKPLAFVAPDAEEEAFLGDIWQGKITSSGALNNSFREKNDSSSNTTAGFGEGALGEGDESFSVKTEEIAHSFGKITGNASGHGTVAETLDTSMAEEKDTHKDDKQKRTTTIARALMDGFAPADFKKDDPSSFGESGLSDNIMELKAFERQPVEDVAFQNNLKLEDIEPATSFEMDNQLPDDSSSLFDFSSAQKNPSSHQFSLNSNSELHQFRGAITPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASTTSPSAKLQLSDAVGESLEGSTGTLASVEFKASAVREDQQRASSGFEAISNVSGQSRVPDHGFLGGMEYSDDRRFQNVVTPDEEIIFPGRPGSSGNPLMRDVADFQRFAPNPSTNPAILNEFSDTGMHTHQDEIVHPFGLSMSELRSNSNLRRAQSSNMASSMGDEFPAQVHAMDPYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLGSADTRHLFHRQPEFNDFDQQHLIFQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLELQQRQQQLELQQRQQLELQQRRQLELQQRRQVELQRRQLEHQQQQRQFELQQQHHLLHQQQQQLCQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLSLEQIIRAKIGQNNLQEPQTDILDHLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGMDGESQVGWPRSIDEAGQTFRNTTGHHQSKSTGFNASDFFLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKLDNVNGHSQGPDSAEHLYMHSTDQLGSFSSNVSSHHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSAGGDEESSKQVLMDLHQKMGLQSIRSSEDDYRHLISSSKSRESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHLGNSERLSLRSKSGALTEEPTFLSGIVDTSHTNHVDNMFGDKSAMDKELAELDNRYGSKGMSAMARSVSHIEESFVEQAETAMDFANASSRHSSLSSAGGNGGLHGYEMRLDKSTGEEVSIDRMPAILTRGVDNALHKRPPVSQALSSKDVLSDMAFASHIKQKNRASLATSDGISFLLTICAVFCLLVLDNCDGDLKSKDFGGLQKEGTSL >Potri.001G326700.8.v4.1 pep chromosome:Pop_tri_v4:1:33585836:33590679:1 gene:Potri.001G326700.v4.1 transcript:Potri.001G326700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326700.v4.1 MDGFAPADFKKDDPSSFGESGLSDNIMELKAFERQPVEDVAFQNNLKLEDIEPATSFEMDNQLPDDSSSLFDFSSAQKNPSSHQFSLNSNSELHQFRGAITPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASTTSPSAKLQLSDAVGESLEGSTGTLASVEFKASAVREDQQRASSGFEAISNVSGQSRVPDHGFLGGMEYSDDRRFQNVVTPDEEIIFPGRPGSSGNPLMRDVADFQRFAPNPSTNPAILNEFSDTGMHTHQDEIVHPFGLSMSELRSNSNLRRAQSSNMASSMGDEFPAQVHAMDPYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLGSADTRHLFHRQPEFNDFDQQHLIFQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLELQQRQQQLELQQRQQLELQQRRQLELQQRRQVELQRRQLEHQQQQRQFELQQQHHLLHQQQQQLCQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLSLEQIIRAKIGQNNLQEPQTDILDHLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGMDGESQVGWPRSIDEAGQTFRNTTGHHQSKSTGFNASDFFLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKLDNVNGHSQGPDSAEHLYMHSTDQLGSFSSNVSSHHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSAGGDEESSKQVLMDLHQKMGLQSIRSSEDDYRHLISSSKSRESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHLGNSERLSLRSKSGALTEEPTFLSGIVDTSHTNHVDNMFGDKSAMDKELAELDNRYGSKGMSAMARSVSHIEESFVEQAETAMDFANASSRHSSLSSAGGNGGLHGYEMRLDKSTGEEVSIDRMPAILTRGVDNALHKRPPVSQALSSKDVLSDMAFASHIKQKNRASLATSDERRNEPVENVAATLGGDNQISGKKEGRFRRTSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGSLGGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEILHLDE >Potri.001G326700.2.v4.1 pep chromosome:Pop_tri_v4:1:33582810:33590678:1 gene:Potri.001G326700.v4.1 transcript:Potri.001G326700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326700.v4.1 MTDGNLLDDLLLLLSPKSTDERLSSFKDRGGIGEDKALLSLPDDSKDQVAADNTIPLSPQWLYAKPVDAKSLTTGASGETRASNSLSHGNSIDNNLKDNWRLDGSQDKKDRRRIAPDVESSRRWREEERDTGLLGRKDRRKEDNRADSVSARDISETRTLSSSDRWHDGNSRNSAHESRRDSKWSSRWGPEDKEKDSRTDKRADVEKDDAHSDKQNFGTASHPASEPASERENDSRDKWRPTSERENDSRDKWRPRHRKDIHSSGPAAYRSAPGFGLDRGRLESPNVRFAAGRGRSNNSGNLQIGRHLTASSIGSIPLDKNHAFCYPRGKLLDIYRKHKTLPSFDTIPEGIELVSPLTQEISIKPLAFVAPDAEEEAFLGDIWQGKITSSGALNNSFREKNDSSSNTTAGFGEGALGEGDESFSVKTEEIAHSFGKITGNASGHGTVAETLDTSMAEEKDTHKDDKQKRTTTIARALMDGFAPADFKKDDPSSFGESGLSDNIMELKAFERQPVEDVAFQNNLKLEDIEPATSFEMDNQLPDDSSSLFDFSSAQKNPSSHQFSLNSNSELHQFRGAITPEELSLCYLDPQGAIQGPYLGIDIIAWFEQGYFGTDLPVRLSDAPDGSPFHELGDIMPHLKLKPGCASTTSPSAKLQLSDAVGESLEGSTGTLASVEFKASAVREDQQRASSGFEAISNVSGQSRVPDHGFLGGMEYSDDRRFQNVVTPDEEIIFPGRPGSSGNPLMRDVADFQRFAPNPSTNPAILNEFSDTGMHTHQDEIVHPFGLSMSELRSNSNLRRAQSSNMASSMGDEFPAQVHAMDPYTEHDAALASHRSFDAVFDQSHYAETWPEDYRKKPLTNPHIDLGSADTRHLFHRQPEFNDFDQQHLIFQKMQKECQQQNHLSHPFSHTMELGFEQIPSNLIELQFQRQQQLELQQRQQQLELQQRQQLELQQRRQLELQQRRQVELQRRQLEHQQQQRQFELQQQHHLLHQQQQQLCQYQMKLQQQQVLEQLLQHQMPDLGYGQGKGDPLRENLLEQIQFRTRLAAELQQNSHNPRHLDLSLEQIIRAKIGQNNLQEPQTDILDHLSQVKHGNILPSDLQFHLQQEQMQAQELSLARQQLGMDGESQVGWPRSIDEAGQTFRNTTGHHQSKSTGFNASDFFLQQQRLSSHDEHLSHNKWNHALQEPHQGGFYEPSSMAFDHPTSLPAVTPGMKLDNVNGHSQGPDSAEHLYMHSTDQLGSFSSNVSSHHRQVFGDIYGSRAEMTESHLPGKQGQQENSWVEGGMQQLHLEAERKRNVSEVAGNSSFWTSAGGDEESSKQVLMDLHQKMGLQSIRSSEDDYRHLISSSKSRESFWPITESFSLNDIPDQEATMNDSFMEKPQNLKSNSLLQDNHAMSLSGQLHHLGNSERLSLRSKSGALTEEPTFLSGIVDTSHTNHVDNMFGDKSAMDKELAELDNRYGSKGMSAMARSVSHIEESFVEQAETAMDFANASSRHSSLSSAGGNGGLHGYEMRLDKSTGEEVSIDRMPAILTRGVDNALHKRPPVSQALSSKDVLSDMAFASHIKQKNRASLATSDERRNEPVENVAATLGGDNQISGKKEGRFRRTSSYNDAGITETSFMDVLKKPVFTEAEAANAAALESSDGSLGGRSGKKKGKKGRQIDPALLGFKVSSNRIMMGEILHLDE >Potri.001G084000.1.v4.1 pep chromosome:Pop_tri_v4:1:6703689:6707487:-1 gene:Potri.001G084000.v4.1 transcript:Potri.001G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084000.v4.1 MAETKNQQGFVFSGKKRSSTGQDGDDDFIVSNKKSMLSTGLPSMWEDPAAALASARHEFGEHGGVNMSIEASATFTVMEPETMRRMFAGELGPDRDFFIYSRHFNPTVLNLGRQMAALEGTEAAYCTASGMSAISSVLLQLCSSGGHMVASRTLYGGTHALLTHFLPRACNITTTFVDINDHEMVKNAMVEGQTKVLYFESISNPTLTVANIPELSRIAHDKGATVVVDNTFSPMVLSPARLGADVVVHSISKFISGGADIIAGAVCGPANLVNSMMDLQHGALMLLGPTMNAKVAFELSERIPHLGLRMKEHCHRAMVYATKIKKLGLNVIYPGLEDHPQHELLKSMANKEYGFGGLLCIDMETEEKANRLMNHLQNCTQFGFMAVSLGYYETLMSCSGSSTSSELNDEEQALAGISPGLVRISVGFVGTLAQKWSQFEKAFSRLQDSGLYKN >Potri.008G181700.3.v4.1 pep chromosome:Pop_tri_v4:8:12525760:12529329:1 gene:Potri.008G181700.v4.1 transcript:Potri.008G181700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181700.v4.1 MGLRFIDQLKDQPFWLLLLFTLGSLSLLKFLSATLKWVYVSFLRPAKNLKKYGSWALVTGPTDGIGKGFAFQLARKGLNLVLVGRNPDKLKDVSRSIQSRYSNVQIKNVVVDFSGDIDEGVQKIKETIEGLDVGVLINNVGVSYPCARFFHEVDEGLLKNLIKVNVEGTTKVTQAVLHGMLKKKKGAIVNIGSGAAIVIPSDPLYAVYAATKAYIDQFSRCLYVEYKKSGIDVQCQVPLYVATKMASIRRSSFWVPSSDGYARAGLRAIGYEPRCTPYWPHSLLWGLIQLLPESIVDFWRLSFCLAIRKKGHLKDSRKME >Potri.014G179800.2.v4.1 pep chromosome:Pop_tri_v4:14:13715936:13717114:-1 gene:Potri.014G179800.v4.1 transcript:Potri.014G179800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179800.v4.1 MAGSTAAATLLTAVWLDQLELDSAKNSKKTAVVAGKEESFGTSRRNPRHLSLTKPSWIVRTESNVRKEIRKRPDPPCEVCHGTGRVDCPHCSGQGRTNCVHLAMLPEGEWPKWCRTCGGSGLSYCSRCLGTGEYRYIMGFHFMMASDDTKNHPQQHQTPAKSTAAGGPLDVEDLDSNHDI >Potri.014G043600.1.v4.1 pep chromosome:Pop_tri_v4:14:2808310:2813532:-1 gene:Potri.014G043600.v4.1 transcript:Potri.014G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043600.v4.1 MTTMESLIGLVNRIQRACTVLGDYGGVDNAFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGSQEYAEFLHLPKRRFSDFAVVRKEIQDETDRITGKTKQISPVPIHLSIYSPNVVNLTLIDLPGLTKVAVEGQPESIVQDIETMVRTYVEKPNCIILAISPANQDIATSDAIKLAREVDPSGERTFGVLTKLDLMDKGTNALDVIEGRSYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFATSPDYGHLANKMGSEYLAKLLSKHLESAIRARIPSITSLINKTIDELESEMDHLGRPIAVDAGAQLYTILELCRAFDKVFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVRRVVSEADGYQPHLIAPEQGYRRLIESALNYFRGPAEASADAVHFVLKELVRKSIAETQELRRFPSLQAELAAAANEALERFREDSKKTVLRLVDMESSYLTVDFFRRLPQEVENKGGNPATPANLASSTVDRYSEMHFRRIGSNVSSYVGMVSETLRNTIPKAVVHCQVKEAKQSLLNYFYTQIGKKEGKQLSQLLDEDPALMERRQQCAKRLELYKAARDEVDSVSWAR >Potri.016G127200.1.v4.1 pep chromosome:Pop_tri_v4:16:13064389:13067723:1 gene:Potri.016G127200.v4.1 transcript:Potri.016G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127200.v4.1 MEAELINAELVLPTHFSFKRIQIYEKYPKGQPRGRWKHLKQILQAENYQNCPPDEPNYVNIESPPSMHPPLRICDITGFEAPYHDPRTNLRYANTDVFKLVRSLPNEHVQRYLALRNAAVTLK >Potri.004G145600.2.v4.1 pep chromosome:Pop_tri_v4:4:16824011:16826794:-1 gene:Potri.004G145600.v4.1 transcript:Potri.004G145600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145600.v4.1 MTATITYPSHLLSASFHLRTTTTKNALRLQSPSTQGPERSPHYLKAGSSHLGVKLSGVFQKTRLNISCAINMAAGQSGDPEKINFDHLMNKARKIWDRSPQPVKSFPWKRALENFIQLILDLFVMVVKYLCVPLLAVSSLSEMSYCAHQKKLLLVPIPLLIGIIVAGVVKETALELSPLLKDAEVPWHLIVTAIVFTLIKLPGPYYPYWGRIFIPHFANGVLWRTLLFAFS >Potri.004G145600.1.v4.1 pep chromosome:Pop_tri_v4:4:16824176:16826700:-1 gene:Potri.004G145600.v4.1 transcript:Potri.004G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145600.v4.1 MTATITYPSHLLSASFHLRTTTTKNALRLQSPSTQGPEQRSPHYLKAGSSHLGVKLSGVFQKTRLNISCAINMAAGQSGDPEKINFDHLMNKARKIWDRSPQPVKSFPWKRALENFIQLILDLFVMVVKYLCVPLLAVSSLSEMSYCAHQKKLLLVPIPLLIGIIVAGVVKETALELSPLLKDAEVPWHLIVTAIVFTLIKLPGPYYPYWGRIFIPHFANGVLWRTLLFAFS >Potri.003G047151.1.v4.1 pep chromosome:Pop_tri_v4:3:6694790:6695689:1 gene:Potri.003G047151.v4.1 transcript:Potri.003G047151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047151.v4.1 MSHMLLEILVEEALKGSKPSSIFKAESFVKVAIEISQKFNVQCEPKHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKAHPNHDKFLNKKLDMYEAMAIVVGKDMATGNYVKSYADVNMEENTEKQSISIENEGEYEETSKGKETSFSSTQKRQHRKRNRMYEDDGVEKLSKQIGDVALAIQSLSKNQLDVNALYAEVMKIEGFDEITLGVHLIIWSKMKCWQKHLWQKNANLRKIWVQNFVNQHYYRPAC >Potri.005G014100.9.v4.1 pep chromosome:Pop_tri_v4:5:1113802:1119040:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSGMLL >Potri.005G014100.4.v4.1 pep chromosome:Pop_tri_v4:5:1113787:1119040:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSDLLKMWDGVFCSASTPIEYAEILDNLRSKYCPKC >Potri.005G014100.2.v4.1 pep chromosome:Pop_tri_v4:5:1113783:1119040:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSDLLKMWDGVFCSASTPIEYAEILDNLRSKYCPKC >Potri.005G014100.15.v4.1 pep chromosome:Pop_tri_v4:5:1113832:1118583:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVFV >Potri.005G014100.7.v4.1 pep chromosome:Pop_tri_v4:5:1113801:1119039:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSGMLL >Potri.005G014100.13.v4.1 pep chromosome:Pop_tri_v4:5:1113785:1118665:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSRASDVIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSDLLKMWDGVFCSASTPIEYAEILDNLRSKYCPKC >Potri.005G014100.1.v4.1 pep chromosome:Pop_tri_v4:5:1113793:1119067:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSDLLKMWDGVFCSASTPIEYAEILDNLRSKYCPKC >Potri.005G014100.5.v4.1 pep chromosome:Pop_tri_v4:5:1113793:1119067:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSDLLKMWDGVFCSASTPIEYAEILDNLRSKYCPKC >Potri.005G014100.12.v4.1 pep chromosome:Pop_tri_v4:5:1113826:1118983:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSRASDVIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSDLLKMWDGVFCSASTPIEYAEILDNLRSKYCPKC >Potri.005G014100.6.v4.1 pep chromosome:Pop_tri_v4:5:1113802:1119040:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSGMLL >Potri.005G014100.10.v4.1 pep chromosome:Pop_tri_v4:5:1113801:1119039:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDWELLKDTDKKMKKKSRASDVKIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSGMLL >Potri.005G014100.14.v4.1 pep chromosome:Pop_tri_v4:5:1113801:1118598:1 gene:Potri.005G014100.v4.1 transcript:Potri.005G014100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014100.v4.1 MARVPGKHGRDQALDFQGFLNDLQDWELLKDTDKKMKKKSRASDVIGEDGRSKGKTSAADSSRSGSGQYEYSRNFGAINRLSSSFTTDEITVDATTEKELGNEYFKQKKFNEAIECYSRSIALSPTAVAYANRAMAYLKIKRFREAEDDCTEALNLDDRYIKAYSRRATARKELGKLKESIEDSEFALKLEPNNQEIKKQYAEVKSLYEKEILQKASGTLRSSLQGTQQGGRSEASVNGHAVHPVSIATQKTGVSASKKDNTKENDGNNLVKKSVHVKELRNRSKSDGHVGNDSPANATPSSSVESVQKNNRTRRQELKTSVIELASQAASRAMAEAAKNITPPNSAYQFEVSWQGFSGDRALQAHLLKVTSPSALPQIFKNALSVPILIDIIKCVASFFIDDMVLAVKYLENLTKVPRFDMLIMCLSSTDTSGMLL >Potri.T046200.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:624502:628848:-1 gene:Potri.T046200.v4.1 transcript:Potri.T046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046200.v4.1 MRERKMDLDNRATHGLHTVKEEDVEGDVENDAKGILNSYNGAYSTEPLLVKRRNTTSQTAIVGANISLIESLDYEIAENELFKQDWRSRKKVQIFQYILLKWAFALLIGLFTGLVGFFNNIAIENISGFKLLLTNKLMRKQQYYKAFAAFAGCNMVLAAAAAALCAFIAPAAAGSGIPEVKAYLNGIDAHSILAPGTLFVKILGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWTWLRYFKNDRQRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFMEFCATGKCGLFGKGGLIMYDVSSEKVQYSGPDVLAVILLGIVGGIFGSLYNYLVDKVLRTYSIINEKGAAFKISLVIAIALLTSCCSYGLPWFGRCIPCPTHITVSCPNTDESGNYKSFQCPPGYYNDIASLFLSTNDDAIRNLFSASTKIEFRISTLFLFFSAVYCLGIVTYGIAIPSGLFIPVILAGACYGRLVGRLFRSISNLDTGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADNFNKGVYDHIVKLKGLPYMEAHAEPYMRHLVARDVVSSPLVTFLGIEKVGNILHALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLVLLKGKNFSRERIPAGQEILRRFAVFDFAKAGSGKGVKLEDLDIEEEEMEMYVDLHPISNASPHTVVETMSLAKAAILFRQIGLRHMCVVPISQGRPPIVGILTRHDFMPEHILGLYPHIKPHK >Potri.T046200.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:624503:629223:-1 gene:Potri.T046200.v4.1 transcript:Potri.T046200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046200.v4.1 MRERKMDLDNRATHGLHTVKEEDVEGDVENDAKGILNSYNGAYSTEPLLVKRRNTTSQTAIVGANISLIESLDYEIAENELFKQDWRSRKKVQIFQYILLKWAFALLIGLFTGLVGFFNNIAIENISGFKLLLTNKLMRKQQYYKAFAAFAGCNMVLAAAAAALCAFIAPAAAGSGIPEVKAYLNGIDAHSILAPGTLFVKILGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWTWLRYFKNDRQRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFMEFCATGKCGLFGKGGLIMYDVSSEKVQYSGPDVLAVILLGIVGGIFGSLYNYLVDKVLRTYSIINEKGAAFKISLVIAIALLTSCCSYGLPWFGRCIPCPTHITVSCPNTDESGNYKSFQCPPGYYNDIASLFLSTNDDAIRNLFSASTKIEFRISTLFLFFSAVYCLGIVTYGIAIPSGLFIPVILAGACYGRLVGRLFRSISNLDTGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADNFNKGVYDHIVKLKGLPYMEAHAEPYMRHLVARDVVSSPLVTFLGIEKVGNILHALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLVLLKGKNFSRERIPAGQEILRRFAVFDFAKAGSGKGVKLEDLDIEEEEMEMYVDLHPISNASPHTVVETMSLAKAAILFRQIGLRHMCVVPISQGRPPIVGILTRHDFMPEHILGLYPHIKPHK >Potri.T046200.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:624487:629223:-1 gene:Potri.T046200.v4.1 transcript:Potri.T046200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046200.v4.1 MRERKMDLDNRATHGLHTVKEEDVEGDVENDAKGILNSYNGAYSTEPLLVKRRNTTSQTAIVGANISLIESLDYEIAENELFKQDWRSRKKVQIFQYILLKWAFALLIGLFTGLVGFFNNIAIENISGFKLLLTNKLMRKQQYYKAFAAFAGCNMVLAAAAAALCAFIAPAAAGSGIPEVKAYLNGIDAHSILAPGTLFVKILGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWTWLRYFKNDRQRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFMEFCATGKCGLFGKGGLIMYDVSSEKVQYSGPDVLAVILLGIVGGIFGSLYNYLVDKVLRTYSIINEKGAAFKISLVIAIALLTSCCSYGLPWFGRCIPCPTHITVSCPNTDESGNYKSFQCPPGYYNDIASLFLSTNDDAIRNLFSASTKIEFRISTLFLFFSAVYCLGIVTYGIAIPSGLFIPVILAGACYGRLVGRLFRSISNLDTGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADNFNKGVYDHIVKLKGLPYMEAHAEPYMRHLVARDVVSSPLVTFLGIEKVGNILHALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLVLLKGKNFSRERIPAGQEILRRFAVFDFAKAGSGKGVKLEDLDIEEEEMEMYVDLHPISNASPHTVVETMSLAKAAILFRQIGLRHMCVVPISQGRPPIVGILTRHDFMPEHILGLYPHIKPHK >Potri.T046200.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:624481:629118:-1 gene:Potri.T046200.v4.1 transcript:Potri.T046200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046200.v4.1 MVLAAAAAALCAFIAPAAAGSGIPEVKAYLNGIDAHSILAPGTLFVKILGSILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWTWLRYFKNDRQRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFMEFCATGKCGLFGKGGLIMYDVSSEKVQYSGPDVLAVILLGIVGGIFGSLYNYLVDKVLRTYSIINEKGAAFKISLVIAIALLTSCCSYGLPWFGRCIPCPTHITVSCPNTDESGNYKSFQCPPGYYNDIASLFLSTNDDAIRNLFSASTKIEFRISTLFLFFSAVYCLGIVTYGIAIPSGLFIPVILAGACYGRLVGRLFRSISNLDTGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADNFNKGVYDHIVKLKGLPYMEAHAEPYMRHLVARDVVSSPLVTFLGIEKVGNILHALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLVLLKGKNFSRERIPAGQEILRRFAVFDFAKAGSGKGVKLEDLDIEEEEMEMYVDLHPISNASPHTVVETMSLAKAAILFRQIGLRHMCVVPISQGRPPIVGILTRHDFMPEHILGLYPHIKPHK >Potri.014G047900.2.v4.1 pep chromosome:Pop_tri_v4:14:3091716:3093020:-1 gene:Potri.014G047900.v4.1 transcript:Potri.014G047900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047900.v4.1 MRSSVGLAKPCTVPPTRDMTLECCTLTQPIKLNKKFLQNQPLKNWEGLLDPVRHESATASRPVKLRRKWMEYQGIRNWEGLLDPLDDNLRGEILRYGHFVDAAYKSFDFDPSSPTYATCRFPKSTLFERSGKPDTGYRLTKHLRATSGIQIPRWIEKAPSWVFTQSSWIGYVAVSLNKAEIARLGRRDVVIAFRGTATCLEWLENLRATLTQLPNSDCGKKGSDDSGPMVESGFLSLYTSGTPMGPSLQEMVRQEIKRLLHTYGDEPLSLTITGHSLGAALATLAAYDIKTTFNCAPLVTVISFGGPRVGNRSFRRHLEKQGTKVLRIVNSDDVITKVPGFVIDGENNVPNKGDLNMASLPSWIQKKVEDTQWVYAEVGRELRLSSKDSPYLNSINVAACHDLKTYLHLVNGFVSSSCPFRAKAKRFFLSNHRR >Potri.017G120201.1.v4.1 pep chromosome:Pop_tri_v4:17:12624316:12635275:1 gene:Potri.017G120201.v4.1 transcript:Potri.017G120201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120201.v4.1 MTFFIRFPEEKVEELLHNHLHPLSADRAFYATLRGWRSGCRLGSDGLLPSSLKFESASRSETMDCMLCTSITTRTVRTLERFIW >Potri.010G038200.2.v4.1 pep chromosome:Pop_tri_v4:10:6785267:6786018:1 gene:Potri.010G038200.v4.1 transcript:Potri.010G038200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038200.v4.1 MKKTSHSLLPSLLTLALLLGSTKADPHPLQDYCIADTSKPFYLNGAPCIDPKLAASSHFTTAALSKPGNTKATPYGFSVKVTNVTNLPGLNTMGLTMARVDLDPNGLVPLHSHPRASEVTICIKGSLLVGFVNTSNYVFTQLLRPGESFVFPRGLIHFLYNMETMDSALAVSGLSSQSPGTQIAAFAAFTSKPSMPDEVLKKSFQISNQDVTRIRRNLGG >Potri.001G427670.1.v4.1 pep chromosome:Pop_tri_v4:1:45564464:45565177:-1 gene:Potri.001G427670.v4.1 transcript:Potri.001G427670.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G427670.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFAQEFKEQKENLVSAKERLQDDVEAAERNAEKTYKDVKKWLEDANNQIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKILENSTKFKTVAQKAPPQPIEFLTSKEFTPSESSKEALEQIMKALKDDTVNMIGLYGMGGVGKTTLVKEVGRRAKESQLFPDVLMATVSQNPNFIGIQDRMADSLHLKFEKNE >Potri.018G088800.2.v4.1 pep chromosome:Pop_tri_v4:18:10783744:10785867:-1 gene:Potri.018G088800.v4.1 transcript:Potri.018G088800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088800.v4.1 MESGLKALWVLSVVLLVSNWQHWTYGKAVPQVPCYFVFGDSLFDNGNNNYLDNAAKVNYLPYGIDFDTGASGRCSNGLNIADTIAEQLGFDSYITDFGVGGCTNFLDGVNYGSSGAGILDYTGSLAGELFTMNAQLFNHNITVSRISKILGSEEVARKYLSQCIYVSDMGHNDYLNNYFKEEYNSSKQYTPEKFAQLLIETYETQLEKLYCSGARKIAVFGLIRVGCMPHNRQNHPNDVDESSSCVEKFNSDVQFFNAELPGLLNRLNTKHSDAVFTYINSYEIDSDDQTNTGFTYTRESCCKVESGSVPCTSLSVPCSNRSDYVYWDGAHFTEAKAWAFGKRAYKSQSPQDACPYDISELAKLKLDDSDAYNINHAQL >Potri.015G103100.3.v4.1 pep chromosome:Pop_tri_v4:15:12197040:12208819:-1 gene:Potri.015G103100.v4.1 transcript:Potri.015G103100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103100.v4.1 MATPKQHIEHIRKTTFSIGGEKNPLAPMLDQAVKYLSAELYAKDVHFLMELIQNAEDNEYLERVDPSLEFVITSRDITNTGAPATLLIFNNEKGFSAKNIESICNVGNSTKKGNRKRGYIGEKGIGFKSVFLIAAQPYIFSNGYQIRFNEKPCPHCNLGYIVPEWVDDSPSLSDIKQIYGSASTLPTTTLILPLKPDKVNPVKQQLSSIHPEILLFLSKIKRLSVREENEDPRLNTVSAVAITKETNFVQRKNMDAESYTLHLSAEENSDEFEKECSYYLWKQKFPVREENKVDMRMEVEDWVITLAFPNGERLHRGMKYSPGIYAFLPTEMVTGFPFIIQADFILASSRETIRWDNIWNQGILDCVPFAFIEALVSLVKTVDGAPVSSLPRMFKFLPVHRSPIEKLNSVRESIKAKLAEKDIIPSESYTAQQFFHKPREVGRLMPAFWNILKKTRERGVSLHKLSSHGCYVLNSSFDKPEYDHILEFLGVRPASSGWYVKCIQGSNIVMGVSEETYLELLHFLAVNWQSEFHCSGMGNIPLIKYVGADGSVSLCSVNESAHRNSKTLCLSRYSSHVSWLIDWNREFRCMANHFFVPRTTQVLGYFQNSNTHNLREAISSSSNKELVLEWLEDLVEITTLSVYNYAVLYGDQVSCDQKLVIAYAHFLYHSFQNEYLSEREVVSLCGKMPLVDSYGHVIKARNAVLVPATESKWVQLIGSNPWREESYVELGEDYLHPACFAGTSTVGNQLMNFLKVYVKASDIPHISPPNAGIPTASTGLTKQNAFLLLDWIQELKRSGICIPERFMACIQEGRWLKTTMNGSPGYKPPSQSFLLASSNRSSNWGNILQSAYVLADIPLIDQDFYGPKITEYREELRTVGVMFEYGEACKFIGNHLMSLAASSALTKSNVISILNFIRFLRQKFLSLDEFIGRIKEERWLRTCWGDRSPVGSVLYDQEWTTARQISDIPFIDEDYYGEDILLFKPELQLLGVVVGFNKSYQLVVDCFKSPSCLSTLTKEAFLLVLDCMHHSSSDHKLVNAVKSTKCLKTNLGYKCPGDCFLFHPEWGCLLKVFGGFPLVDSNFYGSSIISYNTELKELGVKVDFEDAVRVFVQTFMKQASLSSITEENVFSFISCYRKLKGTPNKFPSDLKKCIREVKWLRTRLGDYRSPRDCILYGPEWESILAITLLPFIDDSDKFYGKGIREYEKELKKMGVVVEFKAGVKFVAAGLYFPLNPCHITSENVLSLLECIRILLQEKDYSFPDTFLKNVRREWLKTHVGYRTPDNCCLFDSKWGLDLKSTDGPFIDEVFYGSNITSYREELSSIGVTVKVEKACPLLASNLYHHSDFSTIVRIFKFLSRNEWMPESDATRKIWIPNGHENGKWVNPEECVLHNRDGLFGQQFNFLEEYYEPDLLCFFSIAFNVKSNPSFDDYCKLWKVWESLGRPLTHAECCAFWECVMMQRSSRTERTLADDLVKLPAVLGSGEILLSSKSDVFIADDLLLKDLFEKFSWLHPIFVWCPQPNLPSLPRTRLLEVYRKIGVRTISESVLKEELSLADGVELSQMDSRDAGIGKELIRLILGFLADPSLDMEATKRHGAVQCLLNLKVLETMEPITVSYSLLLSDGEPLKVKADRMIRWDKECSKFFTQKMDKAGGQKNLIEYATSFSEVLARGVLWDKEDKIKALSELTKLAFLLNFDEQAVQFLMKSNNLQTFLEDEEFLNAAFPSV >Potri.006G148000.4.v4.1 pep chromosome:Pop_tri_v4:6:12707806:12709911:1 gene:Potri.006G148000.v4.1 transcript:Potri.006G148000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148000.v4.1 MAEPESKQTHLRHQSSRLEKAAENDVKAKAPNLIERAKEEIEAIIHHGKSPVHHHIETHGRNDDIDDSTPIDQVKGPSVFQRAKEEVEALVQTIHRKKESSNSVSSPKKEGGFGACIGKGLEKICSFNWGSKRD >Potri.006G148000.7.v4.1 pep chromosome:Pop_tri_v4:6:12707940:12709821:1 gene:Potri.006G148000.v4.1 transcript:Potri.006G148000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148000.v4.1 MTRGIDNNPLASLPFIYYNSMLDVLLWARILSYCYVAFSFSFRHQSSRLEKAAENDVKAKAPNLIERAKEEIEAIIHHGKSPVHHHIETHGRNDDIDDSTPIDQVKGPSVFQRAKEEVEALVQTIHRKKESSNSVSSPKKEGGFGACIGKGLEKICSFNWGSKRD >Potri.006G148000.5.v4.1 pep chromosome:Pop_tri_v4:6:12707806:12709911:1 gene:Potri.006G148000.v4.1 transcript:Potri.006G148000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148000.v4.1 MAEPESKQTHLSTPSKEEIEALVQTKIYSENNHDERHQSSRLEKAAENDVKAKAPNLIERAKEEIEAIIHHGKSPVHHHIETHGRNDDIDDSTPIDQVKGPSVFQRAKEEVEALVQTIHRKKESSNSVSSPKKEGGFGACIGKGLEKICSFNWGSKRD >Potri.006G148000.2.v4.1 pep chromosome:Pop_tri_v4:6:12707806:12709911:1 gene:Potri.006G148000.v4.1 transcript:Potri.006G148000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148000.v4.1 MAEPESKQTHLSTPSKEEIEALVQTKIYSENNHDERHQSSRLEKAENDVKAKAPNLIERAKEEIEAIIHHGKSPVHHHIETHGRNDDIDDSTPIDQVKGPSVFQRAKEEVEALVQTIHRKKESSNSVSSPKKEGGFGACIGKGLEKICSFNWGSKRD >Potri.002G190600.1.v4.1 pep chromosome:Pop_tri_v4:2:15249093:15251906:1 gene:Potri.002G190600.v4.1 transcript:Potri.002G190600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190600.v4.1 MEHSRLSLLEFGISFICFFLCTQARWHNHTKHHKHNKNHRSSTISEPPTPPPEPADPSDGSGYNSSGVFDVRNFGAIGDGIIDDTDAFKMAWDAACNQVDPAVILVPYGFDFMIQSTIFSGPCKDGLVFQVDGTLMPPDGPDSWPQKNSRRQWLVFYRINEMSLQGGGVIYGRGEKWWDLPCKPHKGINGTTMPGPCDSPTAIRFFMSSNLTIHGLKIKNSPQFNLRFDNCKNVHVESIHITAPALSPNTDGIHIENTNGVGIYNSVISNGDDCVSIGSGCFNVDIENITCGPSHGISIGSLGNHNSRACVSNITVRDSVIRVSDNGVRIKTWQGGSGAVSGITFSNIHMDNVRNPIIIDQFYCLTKRCANQTSAVSVSEILYENIKGTYNIRSPPMHFACSDSLPCTNITLSDVELLPAEGYSVLDPYCWNAYGDSQTLTIPPVSCLMEGIPGSILNNDMHYC >Potri.002G190600.5.v4.1 pep chromosome:Pop_tri_v4:2:15249308:15251658:1 gene:Potri.002G190600.v4.1 transcript:Potri.002G190600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190600.v4.1 MQAIRFFMSSNLTIHGLKIKNSPQFNLRFDNCKNVHVESIHITAPALSPNTDGIHIENTNGVGIYNSVISNGDDCVSIGSGCFNVDIENITCGPSHGISIGSLGNHNSRACVSNITVRDSVIRVSDNGVRIKTWQGGSGAVSGITFSNIHMDNVRNPIIIDQFYCLTKRCANQTSAVSVSEILYENIKGTYNIRSPPMHFACSDSLPCTNITLSDVELLPAEGYSVLDPYCWNAYGDSQTLTIPPVSCLMEGIPGSILNNDMHYC >Potri.002G190600.4.v4.1 pep chromosome:Pop_tri_v4:2:15249093:15251907:1 gene:Potri.002G190600.v4.1 transcript:Potri.002G190600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190600.v4.1 MAWDAACNQVDPAVILVPYGFDFMIQSTIFSGPCKDGLVFQVDGTLMPPDGPDSWPQKNSRRQWLVFYRINEMSLQGGGVIYGRGEKWWDLPCKPHKGINGTTMPGPCDSPTAIRFFMSSNLTIHGLKIKNSPQFNLRFDNCKNVHVESIHITAPALSPNTDGIHIENTNGVGIYNSVISNGDDCVSIGSGCFNVDIENITCGPSHGISIGSLGNHNSRACVSNITVRDSVIRVSDNGVRIKTWQGGSGAVSGITFSNIHMDNVRNPIIIDQFYCLTKRCANQTSAVSVSEILYENIKGTYNIRSPPMHFACSDSLPCTNITLSDVELLPAEGYSVLDPYCWNAYGDSQTLTIPPVSCLMEGIPGSILNNDMHYC >Potri.002G190600.3.v4.1 pep chromosome:Pop_tri_v4:2:15249093:15251906:1 gene:Potri.002G190600.v4.1 transcript:Potri.002G190600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190600.v4.1 MEHSRLSLLEFGISFICFFLCTQARWHNHTKHHKHNKNHRSSTISEPPTPPPEPADPSDGSGYNSSGVFDVRNFGAIGDGIIDDTDAFKMAWDAACNQVDPAVILVPYGFDFMIQSTIFSGPCKDGLVFQKNSRRQWLVFYRINEMSLQGGGVIYGRGEKWWDLPCKPHKGINGTTMPGPCDSPTAIRFFMSSNLTIHGLKIKNSPQFNLRFDNCKNVHVESIHITAPALSPNTDGIHIENTNGVGIYNSVISNGDDCVSIGSGCFNVDIENITCGPSHGISIGSLGNHNSRACVSNITVRDSVIRVSDNGVRIKTWQGGSGAVSGITFSNIHMDNVRNPIIIDQFYCLTKRCANQTSAVSVSEILYENIKGTYNIRSPPMHFACSDSLPCTNITLSDVELLPAEGYSVLDPYCWNAYGDSQTLTIPPVSCLMEGIPGSILNNDMHYC >Potri.006G010600.2.v4.1 pep chromosome:Pop_tri_v4:6:690488:692109:-1 gene:Potri.006G010600.v4.1 transcript:Potri.006G010600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010600.v4.1 MNLRNGTKEIKRREEAGGGGDSGRGGWFGWGGRFGWSNGDNFWPEAQQTSLAVLGIIAMYLVVAKGDLILAVMFNPLLYALRGTRNGLTLISSKILRNASVDGPSDFSSALKNGVYVEVSAKESVKREWGSD >Potri.006G010600.3.v4.1 pep chromosome:Pop_tri_v4:6:690488:692109:-1 gene:Potri.006G010600.v4.1 transcript:Potri.006G010600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010600.v4.1 MNLRNGTKEIKRREEAGGGGDSGRGGWFGWGGRFGWSNGDNFWPEAQQTSLAVLGIIAMYLVVAKGDLILAVMFNPLLYALRGTRNGLTLISSKILRNASVDGPSDFSSALKNGVYVEVSAKESVKREWGSD >Potri.002G238600.2.v4.1 pep chromosome:Pop_tri_v4:2:23117110:23126235:1 gene:Potri.002G238600.v4.1 transcript:Potri.002G238600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238600.v4.1 MAESRRRSSSSSSFSSVRIEGEIGVGDESESREAAGVASVSNSNPSLIGIRGGDTTTEPTPSQLSKLERSKTKTKGQRHQNILPEEAAQICNDKIPVRQKRKLLRRIASVKHDGTVEFEVPGDVEPQAPGVGYDDVYNTVVDDEPLDATDLQYIPPLQIVMLIVGTRGDVQPFIAIGKRLQDYGHRVRLATHSNFKEFVETAGLEFFPLGGDPKVLAGYMVKNKGFLPSGPSEISVQRNQIKEIIYSLLPACKEPDIDSGIPFKADAIIANPPAYGHTHVAEALKVPLHIFFTMPWTPTSEFPHPLSRVKQPAGYRLSYQIVDSLIWLGIRDMINDLRKKKLKLRPVTYLSGSQGSDSDVPHGYLWSPHLVPKPKDWGANIDVVGFCFLDLASNYEPPESLLKWLEAGQKPIYIGFGSLPVEEPEKMTQTIVEAVEQTGQRGIINKGWGGLGNLAEPKDFIYLLDNCPHDWLFLQCKAVVHHGGAGTTAAGLKAACPTTIVPFFGDQPFWGERVHARGVGPPPIPVDEFSRTKLIDAINFMLDPKVKERAVDLAKAMENEDGATGAVKAFFKHLPLKKPEPEPEPSPAPSSFSYCRKCFGCA >Potri.017G104901.2.v4.1 pep chromosome:Pop_tri_v4:17:11483778:11489467:1 gene:Potri.017G104901.v4.1 transcript:Potri.017G104901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G104901.v4.1 MFCTLLCRNESESIKIIAEYISYKLSVTLPTISKKLVGIDSRLKVLNGYKGEETGEAIFIGICGMGGIGKTTVARVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSFRGILMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRHSNVLTGIDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVGLSKQVVDYANGLPLALEVIGSFLYGRSIPEWRGAINRMNEIPDGKIIDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDRCGFNASIGIPVLIERSLISVYRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSKLRLLKIDNMQVSEGPEDLSNKLRFLEWHSCPSKSLPADLQVDELVELHMANSSLEQLWYGCKSAVNLKIINLSNSLNLIKTPDFTGILNLENLILEGCTSLFEVHPSLAHHKKLQYVNLVNCKRIRILPNNLEMESLKVCILDGCSKLEKFPDIGGNMNCLMELYLDGTGIVELSSSIRHLIGLGLLSMNNCKNLESIPSSIGCLKSLKKLDMSGCSELTNIPENLGKVESLEEFDVSRTLIRQLPPSVFLLKNLKVLSLDGCKRIAVLPSLSGLCSLEVLGLRACNLREGALPEHIGYLSSLRSLDLSQNNFVSLPKSINQLSELEMLVLEDCTMLESLPEVPSKVQTIYLNGCISLKTIPDPIKLSSCKRSEFICLNCWELYNHNGQDNMGLTMLERYLQGLSNPRPRFGIAVPGNEIPGWFNHQSKGSSISVQVPNWSMGFVACVAFSAYGERPLLRCDFKANGRENYPSLMCISLNSIQLLSDHLWLFYLSFDYLKEVKEWKHGSFSNIELSFHSYKRRVKVKNCGVCLLSSIYITSQPSAHFIVTSKEAASSYKASLAFSSSYHQWMSNVFPGIRVTDTSNAFTYLKSDLALRFIMPAEKEQEKVMAIRSRLFEAIEESGLSVIIFSRDCASLPWCFDELVKIVGFMDEMRSDTIFPVSYDVEQSKIDDQTESYTIVFDKNEENFRGNVEKVQRWMDILSEVEISSGSRSGIGAPATQQQIQLIQQWIELHLHQLHLQLHQQQQRIQHLQRLERRQGWLQLLEQRRIHWIQKREHQRIYWIQKREQRRLLERLRLEQRLLEQRLSEQLHEFWLRI >Potri.017G104901.1.v4.1 pep chromosome:Pop_tri_v4:17:11483140:11489444:1 gene:Potri.017G104901.v4.1 transcript:Potri.017G104901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G104901.v4.1 MASTSVQGITSSSSSPPPLYMYDVFLSFRGKDTRNNFTSHLYSNLAQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQCMKEMGHTVLPVFYDVDPSETYEKAFVEHEQNFKENLEKVQIWKDCLSTVTNLSGWDVRKRNESESIKIIAEYISYKLSVTLPTISKKLVGIDSRLKVLNGYKGEETGEAIFIGICGMGGIGKTTVARVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSFRGILMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRHSNVLTGIDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVGLSKQVVDYANGLPLALEVIGSFLYGRSIPEWRGAINRMNEIPDGKIIDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDRCGFNASIGIPVLIERSLISVYRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSKLRLLKIDNMQVSEGPEDLSNKLRFLEWHSCPSKSLPADLQVDELVELHMANSSLEQLWYGCKSAVNLKIINLSNSLNLIKTPDFTGILNLENLILEGCTSLFEVHPSLAHHKKLQYVNLVNCKRIRILPNNLEMESLKVCILDGCSKLEKFPDIGGNMNCLMELYLDGTGIVELSSSIRHLIGLGLLSMNNCKNLESIPSSIGCLKSLKKLDMSGCSELTNIPENLGKVESLEEFDVSRTLIRQLPPSVFLLKNLKVLSLDGCKRIAVLPSLSGLCSLEVLGLRACNLREGALPEHIGYLSSLRSLDLSQNNFVSLPKSINQLSELEMLVLEDCTMLESLPEVPSKVQTIYLNGCISLKTIPDPIKLSSCKRSEFICLNCWELYNHNGQDNMGLTMLERYLQGLSNPRPRFGIAVPGNEIPGWFNHQSKGSSISVQVPNWSMGFVACVAFSAYGERPLLRCDFKANGRENYPSLMCISLNSIQLLSDHLWLFYLSFDYLKEVKEWKHGSFSNIELSFHSYKRRVKVKNCGVCLLSSIYITSQPSAHFIVTSKEAASSYKASLAFSSSYHQWMSNVFPGIRVTDTSNAFTYLKSDLALRFIMPAEKEQEKVMAIRSRLFEAIEESGLSVIIFSRDCASLPWCFDELVKIVGFMDEMRSDTIFPVSYDVEQSKIDDQTESYTIVFDKNEENFRGNVEKVQRWMDILSEVEISSGSRSGIGAPATQQQIQLIQQWIELHLHQLHLQLHQQQQRIQHLQRLERRQGWLQLLEQRRIHWIQKREHQRIYWIQKREQRRLLERLRLEQRLLEQRLSEQLHEFWLRI >Potri.016G070000.1.v4.1 pep chromosome:Pop_tri_v4:16:5081804:5084394:1 gene:Potri.016G070000.v4.1 transcript:Potri.016G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070000.v4.1 MEIDQSTIQEKAGPLTRAWGLLKVLPGKAEAEILRVAKSIKKLGKDDPRRIIHSLKVGLALTLSSLIYYLRPLYDGFGTAGIWAVLTVVVVFEFTVGGTLSKSLNRGFATLVAGALGLGAQQLASLFGDKGDPIVLGILVFLLAAVSTFMRFFPQIKARYDYGVLIFILTFSLIALSGCRVEELLEMAHQRLSTIIVGGATCIVVSICICPVWAGETLHNSVAANIEKLASYLEGFGGEYFQSCERSNSDKSFLQGYKNVLNSKSTEEAMANLARWEPRHGRFRSRHPWKQYLKIGELTRQCAYHIETLNGYINSDIHAPLEFRCKIQEPCTLISAECGKALKSLASAIKTTTVPSSENVNVENSKTAVQDLKIALKAVSLEHDQDLLQILPAATVASILVEIVICVEKISESVHGLSNLAHFKSVELTVSPEKPHRGSIKPVSEGDSDHAVITIHGTSPDSPGNETPKAPKLG >Potri.005G202400.3.v4.1 pep chromosome:Pop_tri_v4:5:20781323:20786627:-1 gene:Potri.005G202400.v4.1 transcript:Potri.005G202400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202400.v4.1 MGGRVIYSLMFCLLGLFLSSSGSSVTKRSANENSERAGHGSKQVVVLVNSISGSQKDITTPITTVPTIIPTTSTPLINPNSDPDSTSPATITPMVTPTSTTTPVSPGASWCIASPSASPTALQVALDYACGYGGADCSAILPSGSCYNPNTVHDHASYAFNSYYQKNPVPSSCNFGGTAATTSTNPSTGTCQFPSTSTSSSVLNTTNSNGATVYGAVPSNPAPSVATKINEKPHFMSLTFVDGAILICCLPCLLASLCFLED >Potri.010G004500.3.v4.1 pep chromosome:Pop_tri_v4:10:408132:411035:-1 gene:Potri.010G004500.v4.1 transcript:Potri.010G004500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004500.v4.1 MHQPIIPGLPDDLALRCLAKVSHGYHGLLESVSKRWRDMIRSADYARYRAKQGCCGDWLFVLTEQSNNHWVAFDPEADRWHPLPKVSGDCADRQHFGFSCVCVYNRLLVIGGSYAPLDSSVLIQRPLITDNVLQFDPFKKQWTSVARMRTPRSHFACSVIAGKVYVAGGRNLSCTKGLALAEVYDPLTDKWEELPPMPAPLMDCLGLSYKGKFHVLSDQVGLSETNITHVFNPSINTWCTMEDIWPFSRAMQFAVQVMCDGRVYTVVDWGESLIKTRDSEGGEWYTVGSVPSVILTNHTRALEAFSYGFASLRDELYILGGKVLKWEEAGAGRFDIVRLDLVRFCNPVARPLKWKETRPMCGPACGSILGCASLEEESCSPPS >Potri.010G004500.4.v4.1 pep chromosome:Pop_tri_v4:10:408140:410841:-1 gene:Potri.010G004500.v4.1 transcript:Potri.010G004500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004500.v4.1 MNPSIDFLESMHQPIIPGLPDDLALRCLAKVSHGYHGLLESVSKRWRDMIRSADYARYRAKQGCCGDWLFVLTEQSNNHWVAFDPEADRWHPLPKVSGDCADRQHFGFSCVCVYNRLLVIGGSYAPLDSSVLIQRPLITDNVLQFDPFKKQWTSVARMRTPRSHFACSVIAGKVYVAGGRNLSCTKGLALAEVYDPLTDKYVHLHNCNIYL >Potri.019G096400.1.v4.1 pep chromosome:Pop_tri_v4:19:13427222:13431556:1 gene:Potri.019G096400.v4.1 transcript:Potri.019G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G096400.v4.1 MARSVIFLPAIFLSFCTLSCIAQQQPCTAYKFSNNKLFSSCSDLPVLSSSLHWNYHPLSSRVEVAFRHTGVTDRRWIAWAINPTSGGMIGSQAIVSFPRMDGSLAVYTSPITSYGTRLEQGNLSFPVSDLSATNQNNEMIIYASLELHGNISTVNHLWQVGPMSENTPMMHSVAPSSPNVKSMGSLEFLSGWIKATRSPSTTLKNVHGILNTVGWGILMPVGAVIARYLKRFESAGPLWFYLHVSCQLLAYILGGLSGFGTGIFLGIRSHGIEHSCHKIIGIVLFCLATAQVFGGLVRPDKDSNKYRPFFNCFHFLAGCSTLILSIFNIYKGFDILHAARFWRLTYSGIILTLLLVTLLLEICTRWCLPITKRSIRLSNEMKSQGVVRRKQEDVFDGFPLTFLGIKPVLLWY >Potri.001G362300.3.v4.1 pep chromosome:Pop_tri_v4:1:38085786:38092053:-1 gene:Potri.001G362300.v4.1 transcript:Potri.001G362300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G362300.v4.1 MHLFDSTNTATALLNNLLNSSSSLMDSATYFLLKPIFLRGFTASLHLVLLLALFVSFVLKKLRVGDGVQGSKERFSNNKRFFFYKQTLFCSLGVSSLNLVLSLVSYFYWYTNGWSDDKLVTLLDFVLTALSWAALSVYLHTQLFNSGETKFPFLLRVWWALFFSISCYCLVVDFLVFHKHGSFEIQYLVSDLVSVFTAFFLCYVGFLRNECQDTLLEQPLLNGDSSSINGLESSKSRGGDSLTPYANAGLFSILTFSWMGSLIAFGNKKTLDLEDVPQLHSVDSVVGAFSVFKNKLESDSGAASRVTAFKLLKALLLSAWKEILLTALLAIIYTSASYVGPYLIDSFVQCLDGRGEYKNQGYILASTFFVAKVVECLSQRHWFFRLQQIGIRLRAVATTMIYNKALTLSSQSKQGQTSGEIINIMTVDAERISDFSWYMHDPWLVILQVGLALLILYKNLGLATVSTFVATIVVMLLNYPLGRLQEHFQDKLMESKDKRMKATTEILRNMRILKLQGWEMKFLSKILDLRQVETGWLKKYVYNSAMISFVFWGAPSLVAVATFGTCMLIGTPLESGKILSALATFRILQEPIYNLPDTVSMIVQTKVSLDRIASFISLDDLKNDVLEKLPIGSSDTAVEIVDGNFSWDVSSPSATLKNIDFQVFHGMRVAVCGTVGSGKSSLLSCILGEVPQISGTLKICGTKAYVAQSPWIQSGKIEENILFGKDMDRERYERVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDADIYLFDDPFSAVDAHTGSHLFKEALLGLLNSKTVIYVTHQVEFLPAADLILVMKDGRITQAGKYDDILNSGSDFMELVGAHKAALSAFDSKQAESASENESAGKENSSGDRILQKEGNKDSQNGKEDVVAGPKAQLIQEEEREKGSVGFPIYWKFITTAYGGALVPFILLAQILFQILQIGSNYWMAWATPVSKDMKPVVSGYTLIMVYVCLAIGSSFCILARATLLVTAGYKTATLLFNKMHLCIFRAPMSFFDSTPSGRILNRASTDQSAVETQIPYQVGALAFSSIQLLGIIAVMSQVAWQVFIVFIPVIAACIWYQRYYIPSARELSRLVGVCKAPVIQHFSETISGAATIRSFDQQSRFQETNMIVTDAYSRPKFHAAAAMEWLCFRLDMFSSITFAFSLVFLVSFPKGIDPAIAGLAVTYGLNLNMLQAWVIWNLCNCENKIISVERILQYMSIPSEPPLIIEASRPNRSWPSHGEVEINNLQVRYAPHMPLVLRGLTCTFPGGMKTGIVGRTGSGKSTLIQTLFRIVEPAAGRIMIDDIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEALDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTSTDNLIQQTLRQHFSDCTVITIAHRITSVLDSDMVLLLSNGLIEEYDSPARLLENKSSSFAQLVAEYRVRSDTGFEKFN >Potri.006G031400.1.v4.1 pep chromosome:Pop_tri_v4:6:1953978:1954943:-1 gene:Potri.006G031400.v4.1 transcript:Potri.006G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031400.v4.1 MAISIEDHQLPYNTHNLYDVNFFDDKIHTLVTHTPSFVNTWIAETQQKLHQNNNPADHPLLVGLDIEWRPNRTRQIENPVATLQLSTGKDCLIFQLLHCPTGIPQSLYDFLSNKNYTFVGVGIEGDVEKLVEGYDVSMGNAVDLRVLAAEKLGAEQWKNSGIKSLVKEILGKQIEKPKRVTMSRWDNEWLTGDQVQYACLDAFLCYKIGENLYAA >Potri.004G211300.6.v4.1 pep chromosome:Pop_tri_v4:4:21759030:21767740:1 gene:Potri.004G211300.v4.1 transcript:Potri.004G211300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211300.v4.1 MAMEVAPLHRESSSSGSINKHLTDDGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGFMRQQLQTAPTATDASCDSVVATPQHSLRDANNPAGLLSIAEETLSEFLAKATGTALEWVQMPGMKPGPDSIGIFSISQRCGGVAARACGLVSLEPKKIAEILKDRSSWFRDCRNLEVFTMFPAGNGGTIELVYSQIYAPTTLAPARDMWTLRYTTSLENGSLVVCERSLSGYGAGPDAAAAAQFVRAEMLPSGYLIRPCEGGSIIHIVDHLNLQAWSVPEVLRPLYESSKAVAQKMTIAALRYVRQVAHETSGEVVYGLGRQPAVLRTFNQRLSRGFNDAINGFNDDGWSLMNADGAEDVIIAVNSTKNLIGANNSAHSLSFLGGILCAKASMLLQNVHPAVLVCFLREHHAEWADFSVDAYSAALWKAGSYAYPGMRPMRFTGSQITMPLGHTIEQEDLLEVIRLEGHSFAQEDAFVSQDIHLLQICSGIDENAVGACSELVFAPIDETFPDDAPLLPSGFRIISLESKAVKYEWISL >Potri.004G211300.5.v4.1 pep chromosome:Pop_tri_v4:4:21759007:21767078:1 gene:Potri.004G211300.v4.1 transcript:Potri.004G211300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211300.v4.1 MAMEVAPLHRESSSSGSINKHLTDDGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGFMRQQLQTAPTATDASCDSVVATPQHSLRDANNPAGLLSIAEETLSEFLAKATGTALEWVQMPGMKPGPDSIGIFSISQRCGGVAARACGLVSLEPKKIAEILKDRSSWFRDCRNLEVFTMFPAGNGGTIELVYSQIYAPTTLAPARDMWTLRYTTSLENGSLVVCERSLSGYGAGPDAAAAAQFVRAEMLPSGYLIRPCEGGSIIHIVDHLNLQAWSVPEVLRPLYESSKAVAQKMTIAALRYVRQVAHETSGEVVYGLGRQPAVLRTFNQRLSRGFNDAINGFNDDGWSLMNADGAEDVIIAVNSTKNLIGANNSAHSLSFLGGILCAKASMLLQNVHPAVLVCFLREHHAEWADFSVDAYSAALWKAGSYAYPGMRPMRFTGSQITMPLGHTIEQEDLLEVIRLEGHSFAQEDAFVSQDIHLLQICSGIDENAVGACSELVFAPIDETFPDDAPLLPSGFRIISLESKAKDTQEVLTTNCTLDLTSSLEAGLAINHTAVDGSSCHSLRSVLTIAFQFPFESNLQDNVATMARQYVRSVISSVQRVAMAISPSGLSPVLGPKLSAGSPEALTLAHWICQSHSYHLGAELLRSDSVGGDSVLKHLWHHPDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFNESGRQALYTEFAKLMQQVSFTWKYVLFVLLTICLSTC >Potri.004G211300.1.v4.1 pep chromosome:Pop_tri_v4:4:21759029:21767866:1 gene:Potri.004G211300.v4.1 transcript:Potri.004G211300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211300.v4.1 MAMEVAPLHRESSSSGSINKHLTDDGKYVRYTAEQVEALERVYAECPKPSSLRRQQLIRECPILANIEPKQIKVWFQNRRCREKQRKESSRLQTVNRKLTAMNKLLMEENDRLQKQVSQLVCENGFMRQQLQTAPTATDASCDSVVATPQHSLRDANNPAGLLSIAEETLSEFLAKATGTALEWVQMPGMKPGPDSIGIFSISQRCGGVAARACGLVSLEPKKIAEILKDRSSWFRDCRNLEVFTMFPAGNGGTIELVYSQIYAPTTLAPARDMWTLRYTTSLENGSLVVCERSLSGYGAGPDAAAAAQFVRAEMLPSGYLIRPCEGGSIIHIVDHLNLQAWSVPEVLRPLYESSKAVAQKMTIAALRYVRQVAHETSGEVVYGLGRQPAVLRTFNQRLSRGFNDAINGFNDDGWSLMNADGAEDVIIAVNSTKNLIGANNSAHSLSFLGGILCAKASMLLQNVHPAVLVCFLREHHAEWADFSVDAYSAALWKAGSYAYPGMRPMRFTGSQITMPLGHTIEQEDLLEVIRLEGHSFAQEDAFVSQDIHLLQICSGIDENAVGACSELVFAPIDETFPDDAPLLPSGFRIISLESKAKDTQEVLTTNCTLDLTSSLEAGLAINHTAVDGSSCHSLRSVLTIAFQFPFESNLQDNVATMARQYVRSVISSVQRVAMAISPSGLSPVLGPKLSAGSPEALTLAHWICQSHSYHLGAELLRSDSVGGDSVLKHLWHHPDAILCCSLKSLPVFIFANQAGLDMLETTLVALQDITLDKIFNESGRQALYTEFAKLMQQGFACLPAGICMSTMGRNVSYEQAVAWKVLSAEENAVHCIAFSFVNWSFL >Potri.003G195400.1.v4.1 pep chromosome:Pop_tri_v4:3:19774961:19776169:-1 gene:Potri.003G195400.v4.1 transcript:Potri.003G195400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195400.v4.1 MEVKHRTARSLVKKLGSVSEITRSEALAELRLMTKNDAESRLIIAEAGAIPYLAETLYSSSHDSQDNAAATLLNISISSRAPLMSTRGLLDAISHVLRHHATNSSPSAVQSSAATLYSLLVDDSYRSIIGAKRDIAYSLIEIIKRPNSPPRSIKDALKALFGIALFPLNRAGLIDLGAAGALFSLVLKDGRVGIVEDTTAVIAQIAGCEESESAFWKVSGVKVLEDLLDVGTGSSERTKENAVGALLNLVRCGGGGVMREVKEMRPGAVEGIKDVRENGTAKGKSKAIALLKAVEGGAKKWDFAV >Potri.003G195400.3.v4.1 pep chromosome:Pop_tri_v4:3:19774908:19775996:-1 gene:Potri.003G195400.v4.1 transcript:Potri.003G195400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195400.v4.1 MTKNDAESRLIIAEAGAIPYLAETLYSSSHDSQDNAAATLLNISISSRAPLMSTRGLLDAISHVLRHHATNSSPSAVQSSAATLYSLLVDDSYRSIIGAKRDIAYSLIEIIKRPNSPPRSIKDALKALFGIALFPLNRAGLIDLGAAGALFSLVLKDGRVGIVEDTTAVIAQIAGCEESESAFWKVSGVKVLEDLLDVGTGSSERTKENAVGALLNLVRCGGGGVMREVKEMRPGAVEGIKDVRENGTAKGKSKAIALLKAVEGGAKKWDFAV >Potri.013G093400.1.v4.1 pep chromosome:Pop_tri_v4:13:9649467:9661938:1 gene:Potri.013G093400.v4.1 transcript:Potri.013G093400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G093400.v4.1 MAEVTPLDIAEEIRSLQLDSAASEVNGVINPEDAKPEEVEEVDKMEEDSNDNVTISTQEMQAETSKVKDKEVPVSEDVGAPVEMEEDNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKEKSRESWYMAYIMDTNEEERVKGKTVEVGRAYFETETSRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWSKERYDEIESKMVPFLKLSGYNVKKDVQFLPISGLLGTNMKTRMGKAICPWWNGPCLFEALDAIEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVTEGDSLLVMPNKTVVKVLAVYCDENKVRCAGPGENVRVRLSGIDDEDILSGFVLSSVARPITAVTEFDAQLQILELVDNAIFTAGYKAVLHIHAVVEECEIVQLLQQIDPKTRKPMKKKVLFVKNGAIVVCRVQVNNLICIEKFSDFAQLGRFTLRTEGKTVAVGKVMELPLGYNS >Potri.013G093400.3.v4.1 pep chromosome:Pop_tri_v4:13:9649450:9662135:1 gene:Potri.013G093400.v4.1 transcript:Potri.013G093400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G093400.v4.1 MAEVTPLDIAEEIRSLQLDSAEVNGVINPEDAKPEEVEEVDKMEEDSNDNVTISTQEMQAETSKVKDKEVPVSEDVGAPVEMEEDNKKRHLNVVFIGHVDAGKSTTGGQILFLSGQVDDRTIQKYEKEAKEKSRESWYMAYIMDTNEEERVKGKTVEVGRAYFETETSRFTILDAPGHKSYVPNMISGASQADIGVLVISARKGEFETGYERGGQTREHVQLAKTLGVSKLLVVVNKMDDPTVNWSKERYDEIESKMVPFLKLSGYNVKKDVQFLPISGLLGTNMKTRMGKAICPWWNGPCLFEALDAIEVPPRDPKGPFRMPIIDKFKDMGTVVMGKVESGSVTEGDSLLVMPNKTVVKVLAVYCDENKVRCAGPGENVRVRLSGIDDEDILSGFVLSSVARPITAVTEFDAQLQILELVDNAIFTAGYKAVLHIHAVVEECEIVQLLQQIDPKTRKPMKKKVLFVKNGAIVVCRVQVNNLICIEKFSDFAQLGRFTLRTEGKTVAVGKVMELPLGYNS >Potri.013G154100.1.v4.1 pep chromosome:Pop_tri_v4:13:14957626:14959118:1 gene:Potri.013G154100.v4.1 transcript:Potri.013G154100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154100.v4.1 MVSREQKRAAKQKKLQLLRSITNSHAHDKASIILDASNYIKDLKQRVEKLNQDVATAASFTSQNFPTIRVEEQENDFLIKVFTARNCQGLLVFILEAFEELGLEVLQARVSTSDSFHLEAIATRENKEAEDHIDTQVVKQVVLQGIQKWIEVSEQE >Potri.007G028600.1.v4.1 pep chromosome:Pop_tri_v4:7:2178768:2189067:-1 gene:Potri.007G028600.v4.1 transcript:Potri.007G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028600.v4.1 MDLDGLDEPSKVRKPPSRFAPTSKKPQPKSKPKPKPEPQESVPVGPKPDPSVSNTAEDAKPKIDDTLSKTETGVSNGNVKMEIDAKEERGAAVKENDLMDVDKEEDEDDVVVREIDVYYTPSVDPNTQLYVMQYPLRPCWRPYELDERCKEVRVKPESTEVEVDLSIDDTKNYDPDIASRLNMKKQTLSSSWSPPPATGYAVGVLIGNKLHLNPIHAVVQLRPSMDYLSSGNSKGKKNATSGEDSNEGKPLGLPKKQSKQMSSGNEQKPDVEESWIPLKYHGSKSDLSSRYLQNMVAPESSTIEFAMNPYDYMSSLCPGISNNNIKLNGPSRRVLLSLPLEERIKRLFLEGPPIHRFAALRHLIPNDSIEDLLIVLQEYGQLVQGLWVPKTSLLFPNPKPTEKVKLAARDYILLLFSKSLVVTPSELNVPMKPLILKSFLNIFAVERPSFKDWKFKENVDTLFVELYPDIVRKQEQAWEVMGKNISAAFDRAGKSVSKNVITKPKKTMTDETREALRKALPKVLQTHKVCSFQMICQGLRQLAISQSTLPKADPRIAVAAASGAEAPPEELQEVISEVATNIHGFYVLKSSPEHPDFDPLRKVVIGLFLARGPNANIKKSEVQAAARLELKREPSNSEYIKVMTDLCESKGSAWVLKSGDGKPS >Potri.007G028600.4.v4.1 pep chromosome:Pop_tri_v4:7:2178910:2188931:-1 gene:Potri.007G028600.v4.1 transcript:Potri.007G028600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028600.v4.1 MDLDGLDEPSKVRKPPSRFAPTSKKPQPKSKPKPKPEPQESVPVGPKPDPSVSNTAEDAKPKIDDTLSKTETGVSNGNVKMEIDAKEERGAAVKENDLMDVDKEEDEDDVVVREIDVYYTPSVDPNTQLYVMQYPLRPCWRPYELDERCKEVRVKPESTEVEVDLSIDDTKNYDPDIASRLNMKKQTLSSSWSPPPATGYAVGVLIGNKLHLNPIHAVVQLRPSMDYLSSGNSKGKKNATSGEDSNEGKPLGLPKKQSKQMSSGNEQKPDVEESWIPLKYHGSKSDLSSRYLQNMVAPESSTIEFAMNPYDYMSSLCPGISNNNIKLNGPSRRCCYRVLLSLPLEERIKRLFLEGPPIHRFAALRHLIPNDSIEDLLIVLQEYGQLVQGLWVPKTSLLFPNPKPTEKVKLAARDYILLLFSKSLVVTPSELNVPMKPLILKSFLNIFAVERPSFKDWKFKENVDTLFVELYPDIVRKQEQAWEVMGKNISAAFDRAGKSVSKNVITKPKKTMTDETREALRKALPKVLQTHKVCSFQMICQGLRQLAISQSTLPKADPRIAVAAASGAEAPPEELQEVISEVATNIHGFYVLKSSPEHPDFDPLRKVVIGLFLARGPNANIKKSEVQAAARLELKREPSNSEYIKVMTDLCESKGSAWVLKSGDGKPS >Potri.007G028600.2.v4.1 pep chromosome:Pop_tri_v4:7:2178906:2188931:-1 gene:Potri.007G028600.v4.1 transcript:Potri.007G028600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028600.v4.1 MDLDGLDEPSKVRKPPSRFAPTSKKPQPKSKPKPKPEPQESVPVGPKPDPSVSNTAEDAKPKIDDTLSKTETGVSNGNVKMEIDAKEERGAAVKENDLMDVDKEEDEDDVVVREIDVYYTPSVDPNTQLYVMQYPLRPCWRPYELDERCKEVRVKPESTEVEVDLSIDDTKNYDPDIASRLNMKKQTLSSSWSPPPATGYAVGVLIGNKLHLNPIHAVVQLRPSMDYLSSGNSKGKKNATSGEDSNEGKPLGLPKKQSKQMSSGNEQKPDVEESWIPLKYHGSKSDLSSRYLQNMVAPESSTIEFAMNPYDYMSSLCPGISNNNIKLNGPSRRVLLSLPLEERIKRLFLEGPPIHRFAALRHLIPNDSIEDLLIVLQEYGQLVQGLWVPKTSLLFPNPKPTEKVKLAARDYILLLFSKSLVVTPSELNVPMKPLILKSFLNIFAVERPSFKDWKFKENVDTLFVELYPDIVRKQEQAWEVMGKNISAAFDRAGKSVSKNVITKPKKTMTDETREALRKALPKVLQTHKVCSFQMICQGLRQLAISQSTLPKADPRIAVAAASGAEAPPEELQEVISEVATNIHGFYVLKSSPEHPDFDPLSRKVVIGLFLARGPNANIKKSEVQAAARLELKREPSNSEYIKVMTDLCESKGSAWVLKSGDGKPS >Potri.006G101200.1.v4.1 pep chromosome:Pop_tri_v4:6:7747997:7749691:1 gene:Potri.006G101200.v4.1 transcript:Potri.006G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101200.v4.1 MMKSLQSWPEPVTRVQSLAASGIRAIPERYIKSPSQRPLLNNDAQEVNVPVIDFQNLFSSDRGLCEEALRCVHNACREWGFFQVVNHGVNHELMKRTCEVWHEFFNLPLEVKQEYANTPATYEGYGSRVGVEKGASLDWSDYFFLHFMPLSLINKNKWPAIPASCRELVDEYGSEVVRLCGKLMKVFSMNLGLEEDSLLNAFGGEENVGACLRANYYPKCPQPDLTLGLSPHSDPGGMTILLPDENVAGLQVRRKGSWLTVKPIPNAFIINIGDQIQVLSNAIYQSVEHRVIVNSNKDRVSLALFYNPKSDLLLEPCKELLTKDQPALYKPMTYDEYRLTIRTKGPCGKKQVESLKSPGQCN >Potri.014G161300.1.v4.1 pep chromosome:Pop_tri_v4:14:11577641:11582234:1 gene:Potri.014G161300.v4.1 transcript:Potri.014G161300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161300.v4.1 MAMKRAATSAIRAFSSSSPASSVSSGSSTRLLHASAESKKIVGVFYKANEYASLNPNFVGSLEGALGIRDWLESQGHQYIVTDDKEGLDSELEKHIPDLHVLITTPFHPAYVTAERIKRAKNLQLLLTAGIGSDHIDLEAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYHQVINGEWNVAAIAYRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYHDRLKMDPELEKQTGAKFEEDLDSLLSKCDVVVINTPLTEKTRGMFDKERIAKMKKGVLIVNNARGAIMDTQAVVDACSSGQIGGYSGDVWNPQPAPKDHPWRYMPNHAMTPHISGTTIDGQLRYAAGVKDMLDRYFKGEEFPPQNYIVKEGKLASQYL >Potri.014G161300.8.v4.1 pep chromosome:Pop_tri_v4:14:11577641:11581989:1 gene:Potri.014G161300.v4.1 transcript:Potri.014G161300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161300.v4.1 MAMKRAATSAIRAFSSSSPASSASAESKKIVGVFYKANEYASLNPNFVGSLEGALGIRDWLESQGHQYIVTDDKEGLDSELEKHIPDLHVLITTPFHPAYVTAERIKRAKNLQLLLTAGIGSDHIDLEAAAAAGLTVAEVTGSNVVSVAEDELMRILILVRNFLPGYHQVINGEWNVAAIAYRAYDLEGKTVGTVGAGRIGKLLLQRLKPFNCNLLYHDRLKMDPELEKQTGAKFEEDLDSLLSKCDVVVINTPLTEKTRGMFDKERIAKMKKGVLIVNNARGAIMDTQAVVDACSSGQIGGYSGDVWNPQPAPKDHPWRYMPNHAMTPHISGTTIDGQLRYAAGVKDMLDRYFKGEEFPPQNYIVKEGKLASQYL >Potri.009G150400.1.v4.1 pep chromosome:Pop_tri_v4:9:11887612:11891531:-1 gene:Potri.009G150400.v4.1 transcript:Potri.009G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150400.v4.1 MSVSSLYCKLSPLSNSFASKDVAPITNLTVKIFSSVKSHCNDFHSHPIKLVCSFNNTTHSVKCSFSDSTSGTVTNGNLNDDPGVLDIASELRTDGGDNGCGGDNGGSSGSGGGGGAGGGGDGGDSEEEKEFGPIMKFEEVMKEIEARGVELPADMMEAAKSIGIRKMFLLRYLDLQGSAWPLGVLMKYCTMLRNRMLADPSFLFKVGTEIVIDSCCATFAEVQKRGKDFWSEFELYAADLLVGIVVDFALVGLLAPYARIGKPAVSGGLFGSIQQACAALPSSVFEAERPGCKFSVKQRTATYFYKGVLYGSVGFGCGLIGQGIANLIMTAKRSIKKSDEDIPVPPLVQSAVLWGVFLALSSNTRYQIINGLEHLVEASPVAKQVPPVAMAFTVGVRFANNIYGGMQFVDWAKLSGVQ >Potri.002G247500.6.v4.1 pep chromosome:Pop_tri_v4:2:23793392:23801085:1 gene:Potri.002G247500.v4.1 transcript:Potri.002G247500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247500.v4.1 MERYKILEEIGDGTCGCVFKAVNIETYEIVAVKKMKRKFYFWEDCMNLREVKALHKLNHPNIVKLKEVVRENSELFFIFEYMEYNLYQLMREKQRSFSEEEIRNFMSQVLQGLAHMHRNGYFHRDLKPENVLVTKDVLKIADFGLAREVSSAPPYTEYVSTRWYRAPEVLLQSSTYTPAIDMWAVGAIIAELFTLSPIFPGESEIDQLYKICCVLGTPELTAFPKATNVSQLMNLSCADMLPANLSDIIPNASLEAIDLIMQLCSWDPLKRPTADQSLQHPFFHVGMWVPYPLRDPLELKLNNKG >Potri.002G247500.2.v4.1 pep chromosome:Pop_tri_v4:2:23793380:23800868:1 gene:Potri.002G247500.v4.1 transcript:Potri.002G247500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247500.v4.1 MERYKILEEIGDGTCGCVFKAVNIETYEIVAVKKMKRKFYFWEDCMNLREVKALHKLNHPNIVKLKEVVRENSELFFIFEYMEYNLYQLMREKQRSFSEEEIRNFMSQVLQGLAHMHRNGYFHRDLKPENVLVTKDVLKIADFGLAREVSSAPPYTEYVSTRWYRAPEVLLQSSTYTPAIDMWAVGAIIAELFTLSPIFPGESEIDQLYKICCVLGTPELTAFPKATNVSQLMNLSCADMLPANLSDIIPNASLEAIDLIMQLCSWDPLKRPTADQSLQHPFFHVGMWVPYPLRDPLELKLNNKGPKPNLELNLWDFGAEPDDCFLGLTLAVKPSVSNLEAVRNVPQGMREDFVFCSDLKGRQEQSVFWSLLSPDQNGIHPPVESSLSLSFSSIQHPSVGVPQSSGFTITSLQPNFLDCPLMAMSSPLQQSHYL >Potri.002G247500.1.v4.1 pep chromosome:Pop_tri_v4:2:23793384:23800868:1 gene:Potri.002G247500.v4.1 transcript:Potri.002G247500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247500.v4.1 MERYKILEEIGDGTCGCVFKAVNIETYEIVAVKKMKRKFYFWEDCMNLREVKALHKLNHPNIVKLKEVVRENSELFFIFEYMEYNLYQLMREKQRSFSEEEIRNFMSQVLQGLAHMHRNGYFHRDLKPENVLVTKDVLKIADFGLAREVSSAPPYTEYVSTRWYRAPEVLLQSSTYTPAIDMWAVGAIIAELFTLSPIFPGESEIDQLYKICCVLGTPELTAFPKATNVSQLMNLSCADMLPANLSDIIPNASLEAIDLIMQLCSWDPLKRPTADQSLQHPFFHVGMWVPYPLRDPLELKLNNKGPKPNLELNLWDFGAEPDDCFLGLTLAVKPSVSNLGDLFHAEAVRNVPQGMREDFVFCSDLKGRQEQSVFWSLLSPDQNGIHPPVESSLSLSFSSIQHPSVGVPQSSGFTITSLQPNFLDCPLMAMSSPLQQSHYL >Potri.006G207300.1.v4.1 pep chromosome:Pop_tri_v4:6:21556386:21559075:1 gene:Potri.006G207300.v4.1 transcript:Potri.006G207300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G207300.v4.1 MGLSTWLVLSIFLCQQSLAFQTGQELGLQFLTRELLAAAREADFFEWVRGIRRRIHEYPELGFEEYRTSEIIRSELELLGIDYKWPVAKTGVVATIGSGQKPVFGLRADMDALPIQEEVEWEHKSKIDGKMHACGHDSHVAMLLGAAKLLQAKRDTLKGTVKLVFQPGEEGYCGAYHMLQDGCLDDIDAILSIHVIPSVPTGAIASRPGPLLAGTGLFEAKIHGRGAHASSPHLARDPILVASSTIVALQQIVSRETDPLEAAVVTVGYIEGGKAGNVIPEFVKFSGTFRSLSNEGVSYLQKRIKEIIETLAAAHQCNATVNFMEDRHLPQPVMINDEALYKHAKNVGEALLGEPNVQLFPVTMGGEDFSFFSQRMPAAIFVIGTMNETLKSYKPLHSPYFFIDEEALPIGTALNAAVAISYLDTHVMKTCEEPPSAFPI >Potri.006G164632.2.v4.1 pep chromosome:Pop_tri_v4:6:16026071:16036129:1 gene:Potri.006G164632.v4.1 transcript:Potri.006G164632.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164632.v4.1 MDPSNKGHRERDDLELASMSSGISSILSSAEGSTSNISSGDISTTSGSSGEIPTSVVVPRDVDLAREELNIMAIVTEREKCVGRNNKGVSWGYTSVIGRRKEMEDAVAVIPSFMSRTCNHVGGCTAPGSRTSSEISPIHFFGVYDGHGGSQVANFCKERMHEVILEEWDRDQTIDGCEWQRRWEATFSSGFGRADSEVLTEGVAPEMVGSTAVVVVLSGCQIITSNCGDSRAVLFRRTEAIPLTVDQKPDRSDELMRIEGQGGRVINWNGARVLGVLAMSRAIGFLLSTSLFILNILFIFCRTFIFLSHVLLNYL >Potri.006G164632.1.v4.1 pep chromosome:Pop_tri_v4:6:16026202:16036218:1 gene:Potri.006G164632.v4.1 transcript:Potri.006G164632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164632.v4.1 MDPSNKGHRERDDLELASMSSGISSILSSAEGSTSNISSGDISTTSGSSGEIPTSVVVPRDVDLAREELNIMAIVTEREKCVGRNNKGVSWGYTSVIGRRKEMEDAVAVIPSFMSRTCNHVGGCTAPGSRTSSEISPIHFFGVYDGHGGSQVANFCKERMHEVILEEWDRDQTIDGCEWQRRWEATFSSGFGRADSEVLTEGVAPEMVGSTAVVVVLSGCQIITSNCGDSRAVLFRRTEAIPLTVDQKPDRSDELMRIEGQGGRVINWNGARVLGVLAMSRAIGDRYLRPWIIPVPEVTFMTRTDEDECLILASDGLWDVMTNEEVGEVACRILRRWRRSLSDGFSPAQTVADNLQEIAYGRNSSDNISIIVVDLKKRRRQVRQSRGD >Potri.003G196000.1.v4.1 pep chromosome:Pop_tri_v4:3:19816996:19822056:1 gene:Potri.003G196000.v4.1 transcript:Potri.003G196000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196000.v4.1 MSKENIGIVKAWEAKVRKSQATKKKNKNNSVFLTMMSAAVAHVDDDDPPCKEPVNHAEKILSNGDFYTGQWLDNLPHGHGKYLWTDGCMYLGEWYKGKTMGKGKFSWPSGATYEGEFKGGYMDGRGTYTGSSGDTFRGYWVMNLKHGNGTQSYANGDYYDGDWRRGSQDGHGRYQWKSSNHYIGQWKNGLMNGSGTMIWSNGNRYDGFWQDGLPRGNGSFRWPDGSFYVGFWSKDPKEQNGTCYPSGSVSENLDWDPQELFLDLNDCKIATCETMSILPSQKMLSWPGFLDQGNAKPVKRNGNEGRLRRISVDGRLSNYSVASLDSCDVSSGCGDGELRDVEEGFGNLQVEELDPKIYKLRTQPVKKQGETISKGHKNYELMLNLQLGIRHSVGRPAPAISLDLKSSAFDPKEKVWTKFPPEGSKHTPPHQSSEFKWKDYCPVVFRTLRKLFNVDAADYMLSICGNDALRELSSPGKSGSFFYLTNDDRYMIKTIKKAEVKVLLRMLPAYYNHVRAFKNTLVTKFYGLHCVKLTGPNQKKVRFVIMGNLFCSEFSIHRRFDLKGSSHGRITSKPESEIDPTTTLKDLDLNYIFRLQKSWFQEFCRQVDRDCDFLEQERIMDYSLLVGLHFQEASCREALTPSRTSGVRTPPGIRTPTGVRTPTGLHTPTGIGDESESGAPRLSRVDLDKLFMDPSRRASIKLGINMPARVAKTRKRDFEAQLIGEPTGVLHEVVLFFGIIDILQDYDISKKLEHAYKSMQYDPTSISAVDPKQYSKRFRDFIFRVFAEDT >Potri.017G113750.2.v4.1 pep chromosome:Pop_tri_v4:17:12106263:12107847:-1 gene:Potri.017G113750.v4.1 transcript:Potri.017G113750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113750.v4.1 MQTTRWADSFLIPISLKEVDIVSKDYSPAYIVSLQDTTEEVEDSSMDSATRIGNSRCQQEQPVTIVGAPTTDDEVCNTIAAGSILDIGGGITLEHFTKENKNQMAREASDWHAMQ >Potri.004G117200.1.v4.1 pep chromosome:Pop_tri_v4:4:11006314:11008482:-1 gene:Potri.004G117200.v4.1 transcript:Potri.004G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117200.v4.1 MKMRFLFFIVLFLVLFSCSGAYDPLDPTGNVTIKWDIMSWTTDGYIAIVTLFNFQTFRHFMKPGWTIGWTWAKKEIIWSINGAQAVEQGDCSKFKANIPHSCKRSPAVVDLLPGAPFNQQFGNCCKGGVVAAWGKDPSAAVSQFQITVGLSGTSNKTVKLPKSFTLLGPGPGYTCGPPKMVPSTKFLTPDGLRRTQALLTWNVTCTYSQFMARKNPSCCVSLSSFYNQILTPCPTCACGCQNNDTCVNGDSRILQLPVGNTTRKDNSSLLQCTHHMCPVRVHWHVKVNYKEYWRVKIAITNFNYMKNYSTWTLVVQHPNLNNVTQVFSFEYKPLIAYDSINDTGMFYGMKDYNDVLMEAGPLGNLQSEVLLQKDQNTFTFKQGWAFPRKVYFNGDECMVPPPDTYPFLPNSAHGSPHFSMLISTLIFLFVYIW >Potri.012G048550.1.v4.1 pep chromosome:Pop_tri_v4:12:4509484:4516781:1 gene:Potri.012G048550.v4.1 transcript:Potri.012G048550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048550.v4.1 MASYQDHGVELPQIQEEAPHVEGWEQEIQKEKRPWDPYSHVSGRCFTQ >Potri.002G083100.1.v4.1 pep chromosome:Pop_tri_v4:2:5913361:5914199:1 gene:Potri.002G083100.v4.1 transcript:Potri.002G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083100.v4.1 MFFFFVGGVEQQVRQVLKSGAGRCIRCASKADLVEYEKVLKLFFIPVWKWPGKEPAMHCNNCNLIFPQSFSHTPPKSDYSLPRSVVTESLRCHFCDRVVESEFKFCPFCGSSL >Potri.018G107400.4.v4.1 pep chromosome:Pop_tri_v4:18:12597421:12600673:-1 gene:Potri.018G107400.v4.1 transcript:Potri.018G107400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107400.v4.1 MGFGFFVSVLILSLFFKPLACQQPNTDGFFLSEFLKNMGLTSSPLYNFSASVCSWQGVFCDAKKEHVVKFLASGLGLSGSIPDTTIGKLSKLQTLDLSNNEITSFPSDLWSLGFLNLLNLSSNKISGPLPSNVGNFGVLETIDLSSNNFSGEIPAAISSLVSLRVLKLERNGFEGSIPSGILSCQSLHFIDLSMNKLDGSLPDGFGAAFPKLKTLNLAGNGIQGRDSDFSLMKSITILNISGNSFQGSVMGVFQELLEVMDLSKNQFEGHISQVQFNSTYNWSRLVYLDLSDNQLSGEIFHDFSHASNLKYLNLAFNRFTEEEFPRIDMLSELEYLNLSKTSLSGHIPSEITQLSNLHTLDLSQNHLSGRIPLLTIKNLQVLDMSQNNLSGEIPVSLLENLPWMESYNFSYNNLTLCASEFSPETFQSHFSGSLDSCPIAANPGLFHRKVSNHKGLKLSLGLALSVVFMLAGLLFLAFGCRRKSKMWEAKQTSYKEEQNISGPFSFQTDSTTWVADVKQANSVPVVIFEKPLSNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIQVAVKVLVHGSTLIDQEAARELEYLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLHDLPLGIRTTEEWSTETWEEDHNNGIQNVGTEGLLTTWRFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLAKIFGNGLDEEIARGSPGYVPPEFTDPDNDSPTPKSDVYCFGVVLFELITGKRPSGDDYAEEKNSTLVSWVRGLVRKSEGSRAIDPKIRNTGPEREMEEALKIGYLCTADLNSKRPSMQQIVGLLKDIEPTCYQ >Potri.018G107400.1.v4.1 pep chromosome:Pop_tri_v4:18:12597454:12600673:-1 gene:Potri.018G107400.v4.1 transcript:Potri.018G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107400.v4.1 MGFGFFVSVLILSLFFKPLACQQPNTDGFFLSEFLKNMGLTSSPLYNFSASVCSWQGVFCDAKKEHVVKFLASGLGLSGSIPDTTIGKLSKLQTLDLSNNEITSFPSDLWSLGFLNLLNLSSNKISGPLPSNVGNFGVLETIDLSSNNFSGEIPAAISSLVSLRVLKLERNGFEGSIPSGILSCQSLHFIDLSMNKLDGSLPDGFGAAFPKLKTLNLAGNGIQGRDSDFSLMKSITILNISGNSFQGSVMGVFQELLEVMDLSKNQFEGHISQVQFNSTYNWSRLVYLDLSDNQLSGEIFHDFSHASNLKYLNLAFNRFTEEEFPRIDMLSELEYLNLSKTSLSGHIPSEITQLSNLHTLDLSQNHLSGRIPLLTIKNLQVLDMSQNNLSGEIPVSLLENLPWMESYNFSYNNLTLCASEFSPETFQSHFSGSLDSCPIAANPGLFHRKVSNHKGLKLSLGLALSVVFMLAGLLFLAFGCRRKSKMWEAKQTSYKEEQNISGPFSFQTDSTTWVADVKQANSVPVVIFEKPLSNITFADLLSATSNFDRGTLLAEGKFGPVYRGFLPGGIQVAVKVLVHGSTLIDQEAARELEYLGRIKHPNLVPLTGYCLAGDQRIAIYDYMENGNLQNLLHDLPLGIRTTEEWSTETWEEDHNNGIQNVGTEGLLTTWRFRHKIALGTARALAFLHHGCSPPIIHRDVKASSVYLDYNLEPRLSDFGLAKIFGNGLDEEIARGSPGYVPPEFTDPDNDSPTPKSDVYCFGVVLFELITGKRPSGDDYAEEKNSTLVSWVRGLVRKSEGSRAIDPKIRNTGPEREMEEALKIGYLCTADLNSKRPSMQQIVGLLKDIEPTCYQ >Potri.017G015000.1.v4.1 pep chromosome:Pop_tri_v4:17:1035939:1037662:1 gene:Potri.017G015000.v4.1 transcript:Potri.017G015000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015000.v4.1 MEQMSHLDPSSFTEIKIEECSSFKRCQLDLLPRVSTLTIEHCPNLESLCIGEGPLPALCHLTISHCPNLVSFPKGGLAASDLTRLVLEGCSYLKSLPENMHSLLPSLQNLQLISLPEVDSFPEGGLPSKLHTLCIEDCIKLKVCGLQALPSLSCFIFTGNDVESFDEETLPSTLTTLVINRLGNLKSLDYKGLHHLTSLQVLGIEGCHKLESISEQALPSSLENLDLRNLESLDYMGLHHLTSLQRLYIAGCPKLESISELALPSSLKYLYLRNLESLDYKGLHHLTSLYTLKIKSCPKVEFISEQVLPSSREYQGLHHLTSLTNLSIKSYPKLESISERALPSSLEYLHLCKLESLDYIGLQHLTSLHKLKIGSCPKLESLQWLPSSLEFLQLWDQQDRDYKELRHLTSLRKMKIRRSLKLESFQEGTLPSSLEDLEIWDLEDLEFKGFRHLTSLRELHICSSPKLESVPGEKLPSSLVSLQISGLINLKSVMGLQHLTSLRKLIISDCPQLESVPREWLPLFRYDDIRRCPKLNLVIGDGLTIPFS >Potri.007G113000.1.v4.1 pep chromosome:Pop_tri_v4:7:13381334:13382531:-1 gene:Potri.007G113000.v4.1 transcript:Potri.007G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113000.v4.1 MGLKGFAEGGIASIIAGASTHPLDLIKVRMQLQGESHIPNPSALQSYRPAFALSSAANISLPTTLEVPPPPRVGPLSIGLRIIQSEGANALFSGVSATILRQTLYSTTRMGLYDVLKHKWTDSDTNNMPLARKIVAGLISGAVGAAVGNPADVAMVRMQADGRLPIEQRRNYKSVVDALGQMSKHEGVASLWRGSGLTINRAMIVTASQLATYDQAKEMILEKGLMNDGIGTHVTASFVAGFVASVASNPIDVIKTRVMNMKVEPGVEPPYKGALDCAMKTVRVEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Potri.010G087800.1.v4.1 pep chromosome:Pop_tri_v4:10:11318306:11321213:-1 gene:Potri.010G087800.v4.1 transcript:Potri.010G087800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087800.v4.1 MSDKAVTIRTRKFMTNRLLSRKQFIIDVLHPGRANVSKAELKEKLASLYEVKDPNSIFVFKFRTHFGGGKSTGFGLIYDSVESAKKYEPKYRLIRNGLATKVEKSRKQLKERKNRAKKVRGVKKTKAGDAAKKK >Potri.008G199100.5.v4.1 pep chromosome:Pop_tri_v4:8:14122154:14123629:-1 gene:Potri.008G199100.v4.1 transcript:Potri.008G199100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199100.v4.1 MNFFKAVFADDPTPPDSPKSPPPSSENPNPDPPTQNSTWSFGSLIQTLATKSESVIEIYKKDLEEFGSGLKNESTIIRDVASRAVHDLPASFEASAAVAQESFGQAIGGIGSSMWKSTAQIISQGRDSILASDHDHDRDLLLSNTDTNRSSLGKQYSRFDAQVRALQCDFDTYCSEPEDKEDYEKWKSRGFVIDEKKEEIERFISENGVIREIYGEVVPNRVDDESFWSRFFYRMFKLNQAEEARALLVKRAISGDEEEDLSWDFDDDKEEGDGLLSKGSESTVNVVDAEKGIVDGMIVENVAEKERVGVDGSEDKLEEKVIVGVDISEDKLKEKVVVVEGEGNIVQSCEDNVKLDEKVEVVEGEGEGEGNIVQSCKDNVKLEEKAVMGKGEGDNSKSCEDSDKLEEKGDEGESPKDSDVSVVSSKSLAEEDLEWDEIEDIGSNDESKGEAVGSRKSAGTSRVDLHKQLSAAEEEEDFSWDIEDEDDARVK >Potri.018G037200.3.v4.1 pep chromosome:Pop_tri_v4:18:2952752:2956891:1 gene:Potri.018G037200.v4.1 transcript:Potri.018G037200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037200.v4.1 MGEFDKESAFPRTSKKNQAGTATIKKRWVALPVKENNPDHMNQLRPASESMEFQRAIGCKFCTTKDSCRTVRSRTKLMNFLVEKGKPQEAESIFYSLIEGGHKPSLISYTTLLAALTMQKRFDSIYSIISQVEENGMNPDSIFFNAVINAFSESGNMESAMETFWKMQENGMKPTTSTYNTLIKGYGIAGKPEESVKLLELMSQEGNVKPNLRTYNVLVRAWCNKKRITEAWNVVYKMIASGIQPDVVTYNTIATAYAQKGALDQAEGVILEMQNNGVQPNERTCGIIMSGYCKEGRIREALRFAYRMKELGIHPNLVIFNSLIKGFVAIMDRDGVDEVLNLMEEFGVKPDVITFSTIMNAWSTAGFMEKCREIFDDMVKAGIEPDAHAYSILAKGYVRAQEPEKAEELLTTMIKSGFQPNVVIFTTVISGWCSAGKMDYAVRVFDKMCQRGISPNLKTFETLIWGFAEARQPWKAEEILQIMTEFEVQPEKSTMLLVAEAWRATGMTKEANRLLGTRNRKEMTNRKEALEKEIPVGNLEKLYQKQTEGVLYSNILQIPSTVTSDMKGSPAPLRKGRMVLRDADFPVECSWLATGSMSLSHSCKFGLRLPIICRKQSQAQHGMYGQLAQSCTAVFLN >Potri.018G037200.6.v4.1 pep chromosome:Pop_tri_v4:18:2953311:2956648:1 gene:Potri.018G037200.v4.1 transcript:Potri.018G037200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037200.v4.1 MNQLRPASESMEFQRAIGCKFCTTKDSCRTVRSRTKLMNFLVEKGKPQEAESIFYSLIEGGHKPSLISYTTLLAALTMQKRFDSIYSIISQVEENGMNPDSIFFNAVINAFSESGNMESAMETFWKMQENGMKPTTSTYNTLIKGYGIAGKPEESVKLLELMSQEGNVKPNLRTYNVLVRAWCNKKRITEAWNVVYKMIASGIQPDVVTYNTIATAYAQKGALDQAEGVILEMQNNGVQPNERTCGIIMSGYCKEGRIREALRFAYRMKELGIHPNLVIFNSLIKGFVAIMDRDGVDEVLNLMEEFGVKPDVITFSTIMNAWSTAGFMEKCREIFDDMVKAGIEPDAHAYSILAKGYVRAQEPEKAEELLTTMIKSGFQPNVVIFTTVISGWCSAGKMDYAVRVFDKMCQRGISPNLKTFETLIWGFAEARQPWKAEEILQIMTEFEVQPEKSTMLLVAEAWRATGMTKEANRLLGTRNRKEMTNRKEALEKEIPVGNLEKLYQKQTEGVLYSNILQIPSTVTSDMKGSPAPLRKGRMVLRDADFPVECSWLATGSMSLSHSCKFGLRLPIICRKQSQAQHGMYGQLAQSCTAVFLN >Potri.018G037200.7.v4.1 pep chromosome:Pop_tri_v4:18:2952806:2956541:1 gene:Potri.018G037200.v4.1 transcript:Potri.018G037200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037200.v4.1 MGGITSEESMEFQRAIGCKFCTTKDSCRTVRSRTKLMNFLVEKGKPQEAESIFYSLIEGGHKPSLISYTTLLAALTMQKRFDSIYSIISQVEENGMNPDSIFFNAVINAFSESGNMESAMETFWKMQENGMKPTTSTYNTLIKGYGIAGKPEESVKLLELMSQEGNVKPNLRTYNVLVRAWCNKKRITEAWNVVYKMIASGIQPDVVTYNTIATAYAQKGALDQAEGVILEMQNNGVQPNERTCGIIMSGYCKEGRIREALRFAYRMKELGIHPNLVIFNSLIKGFVAIMDRDGVDEVLNLMEEFGVKPDVITFSTIMNAWSTAGFMEKCREIFDDMVKAGIEPDAHAYSILAKGYVRAQEPEKAEELLTTMIKSGFQPNVVIFTTVISGWCSAGKMDYAVRVFDKMCQRGISPNLKTFETLIWGFAEARQPWKAEEILQIMTEFEVQPEKSTMLLVAEAWRATGMTKEANRLLGTRNRKEMTNRKEALEKEIPVGNLEKLYQKQTEGVLYSNILQIPSTVTSDMKGSPAPLRKGRMVLRDADFPVECSWLATGSMSLSHSCKFGLRLPIICRKQSQAQHGMYGQLAQSCTAVFLN >Potri.018G037200.5.v4.1 pep chromosome:Pop_tri_v4:18:2952709:2957271:1 gene:Potri.018G037200.v4.1 transcript:Potri.018G037200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037200.v4.1 MGEFDKESAFPRTSKKNQAGTATIKKRWVALPVKENNPDHMNQLRPASESMEFQRAIGCKFCTTKDSCRTVRSRTKLMNFLVEKGKPQEAESIFYSLIEGGHKPSLISYTTLLAALTMQKRFDSIYSIISQVEENGMNPDSIFFNAVINAFSESGNMESAMETFWKMQENGMKPTTSTYNTLIKGYGIAGKPEESVKLLELMSQEGNVKPNLRTYNVLVRAWCNKKRITEAWNVVYKMIASGIQPDVVTYNTIATAYAQKGALDQAEGVILEMQNNGVQPNERTCGIIMSGYCKEGRIREALRFAYRMKELGIHPNLVIFNSLIKGFVAIMDRDGVDEVLNLMEEFGVKPDVITFSTIMNAWSTAGFMEKCREIFDDMVKAGIEPDAHAYSILAKGYVRAQEPEKAEELLTTMIKSGFQPNVVIFTTVISGWCSAGKMDYAVRVFDKMCQRGISPNLKTFETLIWGFAEARQPWKAEEILQIMTEFEVQPEKSTMLLVAEAWRATGMTKEANRLLGTRNRKEMTNRKEALEKEIPVGNLEKLYQKQTEGVLYSNILQIPSTVTSDMKGSPAPLRKGRMVLRDADFPVECSWLATGSMSLSHSCKFGLRLPIICRKQSQAQHGMYGQLAQSCTAVFLN >Potri.018G037200.4.v4.1 pep chromosome:Pop_tri_v4:18:2952635:2956612:1 gene:Potri.018G037200.v4.1 transcript:Potri.018G037200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037200.v4.1 MGEFDKESAFPRTSKKNQAGTATIKKRWVALPVKENNPDHMNQLRPASESMEFQRAIGCKFCTTKDSCRTVRSRTKLMNFLVEKGKPQEAESIFYSLIEGGHKPSLISYTTLLAALTMQKRFDSIYSIISQVEENGMNPDSIFFNAVINAFSESGNMESAMETFWKMQENGMKPTTSTYNTLIKGYGIAGKPEESVKLLELMSQEGNVKPNLRTYNVLVRAWCNKKRITEAWNVVYKMIASGIQPDVVTYNTIATAYAQKGALDQAEGVILEMQNNGVQPNERTCGIIMSGYCKEGRIREALRFAYRMKELGIHPNLVIFNSLIKGFVAIMDRDGVDEVLNLMEEFGVKPDVITFSTIMNAWSTAGFMEKCREIFDDMVKAGIEPDAHAYSILAKGYVRAQEPEKAEELLTTMIKSGFQPNVVIFTTVISGWCSAGKMDYAVRVFDKMCQRGISPNLKTFETLIWGFAEARQPWKAEEILQIMTEFEVQPEKSTMLLVAEAWRATGMTKEANRLLGTRNRKEMTNRKEALEKEIPVGNLEKLYQKQTEGVLYSNILQIPSTVTSDMKGSPAPLRKGRMVLRDADFPVECSWLATGSMSLSHSCKFGLRLPIICRKQSQAQHGMYGQLAQSCTAVFLN >Potri.007G075600.2.v4.1 pep chromosome:Pop_tri_v4:7:9970908:9974290:1 gene:Potri.007G075600.v4.1 transcript:Potri.007G075600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075600.v4.1 MAPQEHCVRIVTTVPPSLGELRQILSKLENDKDKEIFGLVSKRWLRLQSTERKKLAARAGPHMLQKMAARCLRVLNLQHCKGISDKGLLILHLDGCKFVTDKVLKALSKNCPNLQELGLQGCTSVTDCGLAVLVSGCRWIHFLDINKCSNVGDSGISNVSEACSSSLKTLKLLDCFRVGDESILSLARFCKNLETFIIGGCRDISDDRLKNLQMDCCVLAECRNLEAPDIGSCPYITKSGCDEAGLQFPDCCKVNYTGSLNEPDVLLWDPRFQQFRILWIFIPR >Potri.008G069400.2.v4.1 pep chromosome:Pop_tri_v4:8:4236567:4240366:1 gene:Potri.008G069400.v4.1 transcript:Potri.008G069400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069400.v4.1 MCKGSKGDSFSSNPIMEDKSYSKKDNFLHECSVLLELSASDDLAGFKIEVEQKGLDIDGANYWYGKRIGSKKMGFEERTPLMIAAMFGSTHVLKYIIETGKVNVNRVCGSDKVTALHCAVAGCAASSVGIVKLLLDASADPNSADANGNKPGDLFSTSSKCMCNSRKKLIELLLKGQNLSEDEEEKLIIMPQLAKEGTEKKEYPLDVTLPDINNGIYGTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPMKYPYSCVPCPEFRKGTCQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHKPEELRPVYASTGSAMPSPRSNSSAVDMTTLSPLALGSSPLPLPATSTPPMSPLAVASSSPKSGGLWQNKVSQTPPALQLPGSRLKTAFCARDLNLEMELLGLESYSSQLQQQQQQLRDEMSCLSSPSHWSNRIADLKPTNRDDVFGSLDSSLMSPLQGVSLNASAQSQLQSPNGMQIRQNMNQLRSSYPAASLSSSPARNPISYGFDTSAAVAAAVMNSRSSAFAKRSQSFIDRGAVPNRLGFTAAANTISMMPSNLPDWSSPNGKLDWGIQGDELNKLKKSASFGFRSNNNPAATTAANVTASHVGEPDVSWVNSLVKDAPPAGSTFFGAEKQYSLGKGVRESLPPWMEQIYMEQEQMVA >Potri.017G048900.1.v4.1 pep chromosome:Pop_tri_v4:17:3482690:3485161:-1 gene:Potri.017G048900.v4.1 transcript:Potri.017G048900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048900.v4.1 MAAETASLLPSQAFPSQPTKISSIKTLAESPGLTSIPATHTFTPDLHDQVICVPEGSIPVIDYSLLISGTPDQRSKTVHELGRACQDWGFFMVINHGVPENLLSSILDGCKGFFDLPEEEKQEFKGNHVLDPIRSGTSCNVSVEKAFYWRDFLKFFVHPVFYSPTKPAGLSEISLEYSQRVREVARGLLKGISESLGLEGSYIDKALNLEQGKQIFVANLYPTCPQPELAMGLPPHSDHGLLTLLIYNGIGGLQIQHEGKWVNVCALPNSFLVNTGDHLEILSNGRYKSVLHRAMVNSKATRISIAMIHGPSLDSVVSPATELLVSSKGNEPAAYVGMKYKDYLELQQSNKLDGKSCLDRVRSSSLATASVCVPNHEGNNDTSMIS >Potri.008G100400.8.v4.1 pep chromosome:Pop_tri_v4:8:6261933:6264601:-1 gene:Potri.008G100400.v4.1 transcript:Potri.008G100400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100400.v4.1 MPSLQTALPPELANNVIRLYRECLRRAKYIGHQQHNTELLVNMVRQQFKRNKHETDPEKIQKLKDDAARGLINHILYEAERLSGRRTIKSI >Potri.017G121100.2.v4.1 pep chromosome:Pop_tri_v4:17:12678796:12681947:-1 gene:Potri.017G121100.v4.1 transcript:Potri.017G121100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121100.v4.1 MSAVNLTNVTVLDNPAPFPSPFQFEISYECLTPLKDDLEWKLIYVGSAEDETYDQLLESVLVGPVNVGNYRFVLQANPPDPSKIREEDIIGVTVLLLTCSYLGQEFVRVGYYVNNDYEDEQLREEPPPKVLIDKVQRNILSDKPRVTKFPINFYPENTEAAEEPPENDQPAKTDGNEEQLPASPHHALDKEGP >Potri.019G033700.2.v4.1 pep chromosome:Pop_tri_v4:19:4638559:4641362:-1 gene:Potri.019G033700.v4.1 transcript:Potri.019G033700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033700.v4.1 MGIPSELRHYWAQKTLTNQNLNHNGYDINNCNGRSLLIASPAEEQKILQARLCTQEGVRAGVKAAVITCVATAVPTLTAVRVIPWAKANLNYTAQALIISAASIAAYFITVDKTILECARRNAHYNKRD >Potri.008G030200.1.v4.1 pep chromosome:Pop_tri_v4:8:1638420:1639025:1 gene:Potri.008G030200.v4.1 transcript:Potri.008G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030200.v4.1 MGSVSSSTVMAEKISWYCALLMALMLVLSCCEVSESELAAVGHPRVFEDKPCDEIYVVREGETLHTISEKCRDPYIVEENPHIHDPDDVFPGLVIKITPFNDR >Potri.001G079400.4.v4.1 pep chromosome:Pop_tri_v4:1:6319506:6322807:1 gene:Potri.001G079400.v4.1 transcript:Potri.001G079400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079400.v4.1 MENRVCSTESVNGSCDVWSCQKSAAASADHLVIMVHGILGSNADWKFGAEQFVRTLPDKVFVHCSEKNMFRLTLDGVDVMGERLAEEVLEVIQRKQNLRKISFVAHSVGGLVARYAIGRLYRPPKKENVADSTDGTNEDDIKATIGGLEPMNFITVATPHLGSRGNKQVPFLFGVTAFEKAARLLIHWIFKRTGRHLFLTDDDEGNAPLLKRMIEDYGDCFFMSALCIFKRRVAYSNVGYDHIVGWRTSSIRRNYELPKWEDNMNKEYPHIVYEERCKARDAEQSELISTEDDGSDKLEEELVAGLSRVSWEKVDVSFHASRQRFAAHSVIQVKDEMMHMEGADVIRHMIDHFLL >Potri.005G115601.1.v4.1 pep chromosome:Pop_tri_v4:5:8436035:8436586:1 gene:Potri.005G115601.v4.1 transcript:Potri.005G115601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115601.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.001G435800.2.v4.1 pep chromosome:Pop_tri_v4:1:46162641:46164546:-1 gene:Potri.001G435800.v4.1 transcript:Potri.001G435800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435800.v4.1 MMKRWFSGVTKASFRCKEAVSSWVFAAKLEGFGTGLFIRSVLKNSVLAASTCIFALGGALVGSIIGAMKGQTTETGFLRGSGVGAVAGAITAVQLLESITNGEPLSKVALLHSLLNGKVFMEWVGPAVLKAYQWQVNALETTYREISDIYDTSEVRGLSEDCIKKLPECTFKSDNNIVEQCCLASSCAICLQDFKDGDSMRKLPHCGHYFHLSCLDKWLATNGSCPNCRNSVCDDNDV >Potri.006G225700.1.v4.1 pep chromosome:Pop_tri_v4:6:23037473:23040702:1 gene:Potri.006G225700.v4.1 transcript:Potri.006G225700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225700.v4.1 MASSAPEGSQFDARQFDSRMNELLTAEGQDFFTSYDEVHETFDAMNLKENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYDIVECQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILSAGVHVVVGTPGRVFDMLRRQSLRPDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPPKIQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRSRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTRDDERMLSDIQRFYNVTVEELPSNVADLL >Potri.014G110200.4.v4.1 pep chromosome:Pop_tri_v4:14:7378303:7380637:1 gene:Potri.014G110200.v4.1 transcript:Potri.014G110200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110200.v4.1 MVEDPNMLQYVEQELAKKRGKNIDATDQVETELKRAEDELYKIPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLMGRPKSEFSIPSSYSADYFQRGRDYAEKLRRDHPELYKDRSLQDDAVAGSKPADNSTDAAGRRQAATDEFMLERFRKRERHRVMRR >Potri.014G110200.1.v4.1 pep chromosome:Pop_tri_v4:14:7378078:7380681:1 gene:Potri.014G110200.v4.1 transcript:Potri.014G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110200.v4.1 MMQKKRNFRKRTFEEDEHSKASDDDEQERRLALEEVKFLQKQRERKSGIPALATTSQTATTVAAKLTEKADGDGEKEELVLQDTFAQETAVMVEDPNMLQYVEQELAKKRGKNIDATDQVETELKRAEDELYKIPEHLKVKKRNSEESSTQWTTGIAEVQLPIEYKLRNIEETEAAKKLLQEKRLMGRPKSEFSIPSSYSADYFQRGRDYAEKLRRDHPELYKDRSLQDDAVAGSKPADNSTDAAGRRQAATDEFMLERFRKRERHRVMRR >Potri.007G135500.6.v4.1 pep chromosome:Pop_tri_v4:7:14779358:14788285:1 gene:Potri.007G135500.v4.1 transcript:Potri.007G135500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135500.v4.1 MRKEMEKHDRERRKEEERLLREKQREVERYQREQKRELERREKFLQKESIRVEKMRQKEELRREKEAARQKAATERAIARRMAKESMELIDDERLELMEMAASSKGLPSIIPLDFETLQNLDLFRDKLTEFPPKSVLLKRPFLIQPWNDSEENVGNLLMVWRFLITFADVLGIWPFTLDEFVQAFHDYDSRLLSEVHVALLKSIIKDIEDVARTPATGLGPNQNGAANPGGGHPQIVEGAYAWGFDLRSWQRHLNPLTWPEILRQFGLSAGFGPQMKKRNVDQAYLRDDNEGNDGEDVITNLRNGAAVENAVSIMQERGFSNPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDSKLFERTAPSTYCIRPAYRKDPADTDTVLSAARERIRTFKSGIVDGEDADDAERDEDSESDVAEDHEIDDLGTGLNSKKVAHDSPETNEFNGKTVLGNGKESGGLKTPQVRLEKVRAGLTSLHSEGTNELKGAGSSIDESVDVAEIHTIPDQDVDIDENNLGEPWVQGLVEGEYSDLSVEERLNALVALIGVAIEGNSIRVALEERLEAANALKKQMWAEAQLDKRRMKEEFVTRTQYSSFTGNKMEPNQTISATEGRQSPMVSVDDRNNGMPVNVSVQQEQLSDQQSDMNYLNNMPFEGNMQMQDLSAGPDNLTYQQAGHIAEKSRSQLKSVIGHRAEEMYVYRSLPLGQDRRRNRYWQFTTSASRNDPGCGRIFVELHDGRWRLIDYEEGFDTLLSSLDVRGVRESHLHAMLQKIEVPFKETMRRRMLRANTEGQSKDPIKAEAVEMTAGPESGTGMDSPRSTVCVPDSDMSETSTSFTIELGRNEIEKNHTLKRFQDFEKWMWKECFKSSVLCAMKYEKKRCTQLLGVCDYCHDTYFFEDNHCPSCHKTHASQTGLNFSEHVAHCERKLKMDPDSALCSLSFPPRIRLLKSLLALIEVSVLPEALQPVWTNGYRKSWGMKLQSSSCVDDLLQILTLLEIGMKRDYLSSNYETSSELLSSSDPSGCAAHDSFNTGTAPVLPWLPQTTAAVALRVIEFDASISYMLHQKLESQKDRSAGNFIKLPSKYAVMKYTPDNETTEIQHQAGLLQEDDWVDVGIGLAGLGREQGIRGRGRGRTRGGRSQTRIIGSRSESSKRSASRSSDRLEKVLSWTGRPRGRGGRKSGRRSIRSRQKAVKKAAEIIPERKIPKKTLYEQSTRRMGRHVRNGDETRFHTEDAENASSSERSEYNDENENIPASGDEYDDQVVDDYAGGFNGKSDDLLEGSDYNIDSNEEDDDDDAMNEDEDEHGDLDVEEYINRDSDEDGIRDGVQNGAQDGTESSSSDFSD >Potri.007G135500.7.v4.1 pep chromosome:Pop_tri_v4:7:14779645:14788317:1 gene:Potri.007G135500.v4.1 transcript:Potri.007G135500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135500.v4.1 MAKESMELIDDERLELMEMAASSKGLPSIIPLDFETLQNLDLFRDKLTEFPPKSVLLKRPFLIQPWNDSEENVGNLLMVWRFLITFADVLGIWPFTLDEFVQAFHDYDSRLLSEVHVALLKSIIKDIEDVARTPATGLGPNQNGAANPGGGHPQIVEGAYAWGFDLRSWQRHLNPLTWPEILRQFGLSAGFGPQMKKRNVDQAYLRDDNEGNDGEDVITNLRNGAAVENAVSIMQERGFSNPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDSKLFERTAPSTYCIRPAYRKDPADTDTVLSAARERIRTFKSGIVDGEDADDAERDEDSESDVAEDHEIDDLGTGLNSKKVAHDSPETNEFNGKTVLGNGKESGGLKTPQVRLEKVRAGLTSLHSEGTNELKGAGSSIDESVDVAEIHTIPDQDVDIDENNLGEPWVQGLVEGEYSDLSVEERLNALVALIGVAIEGNSIRVALEERLEAANALKKQMWAEAQLDKRRMKEEFVTRTQYSSFTGNKMEPNQTISATEGRQSPMVSVDDRNNGMPVNVSVQQEQLSDQQSDMNYLNNMPFEGNMQMQDLSAGPDNLTYQQAGHIAEKSRSQLKSVIGHRAEEMYVYRSLPLGQDRRRNRYWQFTTSASRNDPGCGRIFVELHDGRWRLIDYEEGFDTLLSSLDVRGVRESHLHAMLQKIEVPFKETMRRRMLRANTEGQSKDPIKAEAVEMTAGPESGTGMDSPRSTVCVPDSDMSETSTSFTIELGRNEIEKNHTLKRFQDFEKWMWKECFKSSVLCAMKYEKKRCTQLLGVCDYCHDTYFFEDNHCPSCHKTHASQTGLNFSEHVAHCERKLKMDPDSALCSLSFPPRIRLLKSLLALIEVSVLPEALQPVWTNGYRKSWGMKLQSSSCVDDLLQILTLLEIGMKRDYLSSNYETSSELLSSSDPSGCAAHDSFNTGTAPVLPWLPQTTAAVALRVIEFDASISYMLHQKLESQKDRSAGNFIKLPSKYAVMKYTPDNETTEIQHQAGLLQEDDWVDVGIGLAGLGREQGIRGRGRGRTRGGRSQTRIIGSRSESSKRSASRSSDRLEKVLSWTGRPRGRGGRKSGRRSIRSRQKAVKKAAEIIPERKIPKKTLYEQSTRRMGRHVRNGDETRFHTEDAENASSSERSEYNDENENIPASGDEYDDQVVDDYAGGFNGKSDDLLEGSDYNIDSNEEDDDDDAMNEDEDEHGDLDVEEYINRDSDEDGIRDGVQNGAQDGTESSSSDFSD >Potri.007G135500.1.v4.1 pep chromosome:Pop_tri_v4:7:14775036:14788309:1 gene:Potri.007G135500.v4.1 transcript:Potri.007G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135500.v4.1 MEEAGGGGGVEVEAKKKTPGEGEGESKSKRKMKSASQLEILEKTYSVDTYPSEAARAELSVQLGLSDRQLQMWFCHRRLKDRKAPLVKRPRKESPSPAGMPGGGEMGVVAEVGNEHGSGSSPFVLGVDPRRAVGRPTGVAVPRISADVQAMKRYYEPQQSIAELRAVAFVEAQLGEPLREDGPILGMEFDPLPPDAFGAPIGSATTGQQKQSVRIEANLYERPDVKPIKSTTRTLHEYQFLPQQPTVRAEAYERAAPSCQYGSPADVHNVKTESISATLPFMHANKQVSSGYDLSNQVPSLSLMPQESRQGHLLPSTTGEYETVIQKCSFTNIGMDAQSGAHLVTALDNPYMSSDRRVTHDEDALRMQRKRKSEEARIAREVEAHEKRIRKELEKQDILRRKREEQMRKEMEKHDRERRKEEERLLREKQREVERYQREQKRELERREKFLQKESIRVEKMRQKEELRREKEAARQKAATERAIARRMAKESMELIDDERLELMEMAASSKGLPSIIPLDFETLQNLDLFRDKLTEFPPKSVLLKRPFLIQPWNDSEENVGNLLMVWRFLITFADVLGIWPFTLDEFVQAFHDYDSRLLSEVHVALLKSIIKDIEDVARTPATGLGPNQNGAANPGGGHPQIVEGAYAWGFDLRSWQRHLNPLTWPEILRQFGLSAGFGPQMKKRNVDQAYLRDDNEGNDGEDVITNLRNGAAVENAVSIMQERGFSNPRRSRHRLTPGTVKFAAFHVLSLEGSKGLTILEVADKIQKSGLRDLTTSKTPEASIAAALSRDSKLFERTAPSTYCIRPAYRKDPADTDTVLSAARERIRTFKSGIVDGEDADDAERDEDSESDVAEDHEIDDLGTGLNSKKVAHDSPETNEFNGKTVLGNGKESGGLKTPQVRLEKVRAGLTSLHSEGTNELKGAGSSIDESVDVAEIHTIPDQDVDIDENNLGEPWVQGLVEGEYSDLSVEERLNALVALIGVAIEGNSIRVALEERLEAANALKKQMWAEAQLDKRRMKEEFVTRTQYSSFTGNKMEPNQTISATEGRQSPMVSVDDRNNGMPVNVSVQQEQLSDQQSDMNYLNNMPFEGNMQMQDLSAGPDNLTYQQAGHIAEKSRSQLKSVIGHRAEEMYVYRSLPLGQDRRRNRYWQFTTSASRNDPGCGRIFVELHDGRWRLIDYEEGFDTLLSSLDVRGVRESHLHAMLQKIEVPFKETMRRRMLRANTEGQSKDPIKAEAVEMTAGPESGTGMDSPRSTVCVPDSDMSETSTSFTIELGRNEIEKNHTLKRFQDFEKWMWKECFKSSVLCAMKYEKKRCTQLLGVCDYCHDTYFFEDNHCPSCHKTHASQTGLNFSEHVAHCERKLKMDPDSALCSLSFPPRIRLLKSLLALIEVSVLPEALQPVWTNGYRKSWGMKLQSSSCVDDLLQILTLLEIGMKRDYLSSNYETSSELLSSSDPSGCAAHDSFNTGTAPVLPWLPQTTAAVALRVIEFDASISYMLHQKLESQKDRSAGNFIKLPSKYAVMKYTPDNETTEIQHQAGLLQEDDWVDVGIGLAGLGREQGIRGRGRGRTRGGRSQTRIIGSRSESSKRSASRSSDRLEKVLSWTGRPRGRGGRKSGRRSIRSRQKAVKKAAEIIPERKIPKKTLYEQSTRRMGRHVRNGDETRFHTEDAENASSSERSEYNDENENIPASGDEYDDQVVDDYAGGFNGKSDDLLEGSDYNIDSNEEDDDDDAMNEDEDEHGDLDVEEYINRDSDEDGIRDGVQNGAQDGTESSSSDFSD >Potri.014G065951.1.v4.1 pep chromosome:Pop_tri_v4:14:4070601:4071489:1 gene:Potri.014G065951.v4.1 transcript:Potri.014G065951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065951.v4.1 MAAYHRPNLGFERDSNFNFVNGDSIFVHHGIFALLVNTLNKQIQVKYLSMPTSPCDTHKTVMSAFLAALFIYATTSVAEAIPRSQESVY >Potri.014G065951.2.v4.1 pep chromosome:Pop_tri_v4:14:4070601:4071489:1 gene:Potri.014G065951.v4.1 transcript:Potri.014G065951.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065951.v4.1 MAAYHRPNLGFERDSIFVHHGIFALLVNTLNKQIQVKYLSMPTSPCDTHKTVMSAFLAALFIYATTSVAEAIPRSQESVY >Potri.010G198250.1.v4.1 pep chromosome:Pop_tri_v4:10:19125621:19127002:1 gene:Potri.010G198250.v4.1 transcript:Potri.010G198250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198250.v4.1 MGVTLSCFNIFFTFLLVSTFHLGFSFSALQENHSNDTYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPNIINPSHNSSLQHHSTLCRDRVKLSSQESSEKLIDSAKIIQVNAVERPDGVIHGIERLLIPRSVQQDFNNRRSLQSISAVKPEGAPEVDPRTHRLKKPAPPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIETLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYGLNIEASKKPKKK >Potri.006G019300.1.v4.1 pep chromosome:Pop_tri_v4:6:1215218:1216834:-1 gene:Potri.006G019300.v4.1 transcript:Potri.006G019300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019300.v4.1 MAEKKVTIMVMKVDLECEKCHKKIKKVLCRIPQIQNQIYDKKAGTVTITVVCCSPEKIKEKIVCKGGEAVKSIEIKVPEKPKAPENPKAAPEKPKEPEKPKEPEKPKQPEKPKEPEKPKEPEKPKEPEKPKEPEKPKEPEKPKEPEKPKEPEKPKEPEKPKALIVETPKPKEPAPNPAPNPAPPPPKAPEPVPPRTCCAECYHGISGGPCYHDYGRPAPPSYEAYGRPVYDNWGGGGGGGCGCQRSGYYVCRCEYVCEDNPSSCTIM >Potri.005G211800.1.v4.1 pep chromosome:Pop_tri_v4:5:21590252:21591235:-1 gene:Potri.005G211800.v4.1 transcript:Potri.005G211800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211800.v4.1 MAVRRMEVMLTISFIATLWAGAMAQSSCTNVIISMSPCLNYITGNSSTPSSSCCTQLANVVKSQPQCLCEVVNGGASSLGVNVNQTQALALPSACNVQTPSISRCNASSPTDSPAGTPNSPSAGTGSKTVPSTDNGTSDANSTKLTMSLLFFLLFITSQASTLGTI >Potri.002G199300.1.v4.1 pep chromosome:Pop_tri_v4:2:16201403:16207512:1 gene:Potri.002G199300.v4.1 transcript:Potri.002G199300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G199300.v4.1 MEIMSGLTDVVKETSESGMDSCPDDIGTVEEVPEDTILSRQTSVNLVPFIGQRFVSQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGITRRDFTCHRGGYPQIKASDDGKLQRNRKSSRCGCQAYMRIVKRADFDVPEWRITGFNNIHNHELLKSNEVQLLPAYCTMSADEKSRICMYAKAGMSVRQMLRLMELEKGVKLGCLPFTEIDVRNLLQSFRNVNRDNDAIDLLEMCKYKKDNDPNFKYNFHIDANKRLEQIAWSYASSIQSYEAFGDSIIFDTTHRLDTYDMILGIWIGVDNHGTNCFFGCVLLRDENTGSFSWALKTFLEFMDGKAPETILTDQNMWLKEAISVEMPGTKHAFCIWHIIAKFSDWFSVPLGSQYDKWKAEFHRLYGLQSVEDFEIGWRNMVDAYGMHGNKHIVSLFALRTFWALPYLRCCFFAGMTSTFQSESINAYIQRVLNAQSLDNFVEQVAAAVEFKEPGPRQKMQRKVHKISLKMGSPIESHAATVLTPYAFNKLQEELVLAPQYASLMVDESYFIVRIHTDMDGGCKVIWIPHDEFISCSCHLFEFSGILCRHVLRVLSTNNCFHIPDRYLPVRWRDVSTSLTKPFQTFTSEEHAEKVQLLQSMVSTLLTESIETEERLDVACDQVAEVLSRIKEFPRPGHGCNDIGYNSPSDSLILPEVEDSDGFVHGFADGNSHEPLTLGKIKERRLRDGINIFRKRRRCSVPCCGQYGHDATDCPMMEGGDLNGDGLGFL >Potri.013G163100.1.v4.1 pep chromosome:Pop_tri_v4:13:15582250:15582963:1 gene:Potri.013G163100.v4.1 transcript:Potri.013G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhK MKTKKKKNIETVMNSIEFPLLDRTTPISVISTTSNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEQKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYEDRIRSQQGNRCFTTNHKFHIGRTTNTGNYDQGLLYQPPSTSKIAPEAFFKYKKSVSSPELVN >Potri.013G163100.2.v4.1 pep chromosome:Pop_tri_v4:13:15582285:15583038:1 gene:Potri.013G163100.v4.1 transcript:Potri.013G163100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhK MNSIEFPLLDRTTPISVISTTSNDLSNWSRLSSLWPLLYGTSCCFIEFASLIGSRFDFDRYGLVPRSSPRQADLILTAGTVTMKMAPSLVRLYEQMPEQKYVIAMGACTITGGMFSTDSYSTVRGVDKLIPVDVYLPGCPPKPEAIIDAITKLRKKISREIYEDRIRSQQGNRCFTTNHKFHIGRTTNTGNYDQGLLYQPPSTSKIAPEAFFKYKKSVSSPELVN >Potri.005G031948.1.v4.1 pep chromosome:Pop_tri_v4:5:2109088:2114336:1 gene:Potri.005G031948.v4.1 transcript:Potri.005G031948.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031948.v4.1 MREREREREHQAVITGRIEGRSVEDVWRWKGGILASGFVDQRSSSRWGLRFWSSKK >Potri.005G031948.2.v4.1 pep chromosome:Pop_tri_v4:5:2109088:2114336:1 gene:Potri.005G031948.v4.1 transcript:Potri.005G031948.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031948.v4.1 MREREREREHQAVITGRIEGRSVEDVWRWKGGILASGFVDQRRWGLRFWSSKK >Potri.001G390850.1.v4.1 pep chromosome:Pop_tri_v4:1:41324140:41327536:1 gene:Potri.001G390850.v4.1 transcript:Potri.001G390850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390850.v4.1 MLCILFYYCSFCFIVMRYSLESLFYNWGSFNLCVHGSWDSQEYRYILDSAADVPKAPLI >Potri.008G083300.17.v4.1 pep chromosome:Pop_tri_v4:8:5218672:5224182:-1 gene:Potri.008G083300.v4.1 transcript:Potri.008G083300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083300.v4.1 MAAYEDLPPSMIKKLAKELKNLESPPEGILGVNDYDFSVSEAAVTAYKNNVSRMKQLLSHDFRRPPPKGGSSDQESIGSETKRSSVSSGSRSRLHKEFLSRFVDSQTLTARLEDWFELISEQSGKKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPNAVYASTSDAAEATAYLAIEDFLHASVKGLWEAFWSQDDPIPFSVACLYNENLKFYQAEKAIGNGKLSGLGATGVLLNNPRHPHGKWDHVLELALLRPHIRSVAAGSDQKLSLSVLGEALFYALRMLLSRSLSKLNFSESPNCAYVLLVDSQYGGVVKVEGDVDKLEFDVYNVYDCSVDWIKKHCKVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIVHYAGMPKHSIEDLAADHGSRLQTRRVARQLGDSRVNGNGLFQFQQQSASPEIVEVPDESIKIKSEEFIMKLDVGSVLWLEDSDQQKGYQINDVVHNNELRYYIASPVKDPGKSLFLYVGSHPSQLEPAWEDMDLWFQVQRQTKILTVMRQKGLSSKYLPQLSASGRIVHPGRCQKPSSGGNCDHPWCGTPILVTNPVGETVADMVNAGRFGLDEAIRCCHDCLSALSTTSSADIRHGDIQPENIICVRSGVRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQERKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRETSWSRRLIQLKLGEVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDDDHGKQVGTSG >Potri.008G083300.15.v4.1 pep chromosome:Pop_tri_v4:8:5218594:5223918:-1 gene:Potri.008G083300.v4.1 transcript:Potri.008G083300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083300.v4.1 MAAYEDLPPSMIKKLAKELKNLESPPEGILGVNDYDFSVSEAGIEVPAVTAYKNNVSRMKQLLSHDFRRPPPKGGSSDQESIGSETKRSSVSSGSRSRLHKEFLSRFVDSQTLTARLEDWFELISEQSGKKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPNAVYASTSDAAEATAYLAIEDFLHASVKGLWEAFWSQDDPIPFSVACLYNENLKFYQAEKAIGNGKLSGLGATGVLLNNPRHPHGKWDHVLELALLRPHIRSVAAGSDQKLSLSVLGEALFYALRMLLSRSLSKLNFSESPNCAYVLLVDSQYGGVVKVEGDVDKLEFDVYNVYDCSVDWIKKHCKVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIVHYAGMPKHSIEDLAADHGSRLQTRRVARQLGDSRVNGNGLFQFQQQSASPEIVEVPDESIKIKSEEFIMKLDVGSVLWLEDSDQQKGYQINDVVHNNELRYYIASPVKDPGKSLFLYVGSHPSQLEPAWEDMDLWFQVQRQTKILTVMRQKGLSSKYLPQLSASGRIVHPGRCQKPSSGGNCDHPWCGTPILVTNPVGETVADMVNAGRFGLDEAIRCCHDCLSALSTTSSADIRHGDIQPENIICVRSGVRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQERKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRETSWSRRLIQLKLGEVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDDDHGKQVGTSG >Potri.008G083300.13.v4.1 pep chromosome:Pop_tri_v4:8:5218603:5223867:-1 gene:Potri.008G083300.v4.1 transcript:Potri.008G083300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083300.v4.1 MIKKLAKELKNLESPPEGILGVNDYDFSVSEAGIEVPAVTAYKNNVSRMKQLLSHDFRRPPPKGGSSDQESIGSETKRSSVSSGSRSRLHKEFLSRFVDSQTLTARLEDWFELISEQSGKKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPNAVYASTSDAAEATAYLAIEDFLHASVKGLWEAFWSQDDPIPFSVACLYNENLKFYQAEKAIGNGKLSGLGATGVLLNNPRHPHGKWDHVLELALLRPHIRSVAAGSDQKLSLSVLGEALFYALRMLLSRSLSKLNFSESPNCAYVLLVDSQYGGVVKVEGDVDKLEFDVYNVYDCSVDWIKKHCKVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIVHYAGMPKHSIEDLAADHGSRLQTRRVARQLGDSRVNGNGLFQFQQQSASPEIVEVPDESIKIKSEEFIMKLDVGSVLWLEDSDQQKGYQINDVVHNNELRYYIASPVKDPGKSLFLYVGSHPSQLEPAWEDMDLWFQVQRQTKILTVMRQKGLSSKYLPQLSASGRIVHPGRCQKPSSGGNCDHPWCGTPILVTNPVGETVADMVNAGRFGLDEAIRCCHDCLSALSTTSSADIRHGDIQPENIICVRSGVRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQERKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRETSWSRRLIQLKLGEVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDDDHGKQVGTSG >Potri.008G083300.18.v4.1 pep chromosome:Pop_tri_v4:8:5218654:5224125:-1 gene:Potri.008G083300.v4.1 transcript:Potri.008G083300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083300.v4.1 MIKKLAKELKNLESPPEGILGVNDYDFSVSEAGIEVPAVTAYKNNVSRMKQLLSHDFRRPPPKGGSSDQESIGSETKRSSVSSGSRSRLHKEFLSRFVDSQTLTARLEDWFELISEQSGKKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPNAVYASTSDAAEATAYLAIEDFLHASVKGLWEAFWSQDDPIPFSVACLYNENLKFYQAEKAIGNGKLSGLGATGVLLNNPRHPHGKWDHVLELALLRPHIRSVAAGSDQKLSLSVLGEALFYALRMLLSRSLSKLNFSESPNCAYVLLVDSQYGGVVKVEGDVDKLEFDVYNVYDCSVDWIKKHCKVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIVHYAGMPKHSIEDLAADHGSRLQTRRVARQLGDSRVNGNGLFQFQQQSASPEIVEVPDESIKIKSEEFIMKLDVGSVLWLEDSDQQKGYQINDVVHNNELRYYIASPVKDPGKSLFLYVGSHPSQLEPAWEDMDLWFQVQRQTKILTVMRQKGLSSKYLPQLSASGRIVHPGRCQKPSSGGNCDHPWCGTPILVTNPVGETVADMVNAGRFGLDEAIRCCHDCLSALSTTSSADIRHGDIQPENIICVRSGVRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQERKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRETSWSRRLIQLKLGEVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDDDHGKQVGTSG >Potri.008G083300.7.v4.1 pep chromosome:Pop_tri_v4:8:5218581:5224125:-1 gene:Potri.008G083300.v4.1 transcript:Potri.008G083300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083300.v4.1 MAAYEDLPPSMIKKLAKELKNLESPPEGILGVNDYDFSVSEAGIEVPAVTAYKNNVSRMKQLLSHDFRRPPPKGGSSDQESIGSETKRSSVSSGSRSRLHKEFLSRFVDSQTLTARLEDWFELISEQSGKKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPNAVYASTSDAAEATAYLAIEDFLHASVKGLWEAFWSQDDPIPFSVACLYNENLKFYQAEKAIGNGKLSGLGATGVLLNNPRHPHGKWDHVLELALLRPHIRSVAAGSDQKLSLSVLGEALFYALRMLLSRSLSKLNFSESPNCAYVLLVDSQYGGVVKVEGDVDKLEFDVYNVYDCSVDWIKKHCKVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIVHYAGMPKHSIEDLAADHGSRLQTRRVARQLGDSRVNGNGLFQFQQQSASPEIVEVPDESIKIKSEEFIMKLDVGSVLWLEDSDQQKGYQINDVVHNNELRYYIASPVKDPGKSLFLYVGSHPSQLEPAWEDMDLWFQVQRQTKILTVMRQKGLSSKYLPQLSASGRIVHPGRCQKPSSGGNCDHPWCGTPILVTNPVGETVADMVNAGRFGLDEAIRCCHDCLSALSTTSSADIRHGDIQPENIICVRSGVRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQERKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRETSWSRRLIQLKLGEVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDDDHGKQVGTSG >Potri.008G083300.16.v4.1 pep chromosome:Pop_tri_v4:8:5218601:5223903:-1 gene:Potri.008G083300.v4.1 transcript:Potri.008G083300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083300.v4.1 MAAYEDLPPSMIKKLAKELKNLESPPEGILGVNDYDFSVSEAGIEVPAVTAYKNNVSRMKQLLSHDFRRPPPKGGSSDQESIGSETKRSSVSSGSRSRLHKEFLSRFVDSQTLTARLEDWFELISEQSGKKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPNAVYASTSDAAEATAYLAIEDFLHASVKGLWEAFWSQDDPIPFSVACLYNENLKFYQAEKAIGNGKLSGLGATGVLLNNPRHPHGKWDHVLELALLRPHIRSVAAGSDQKLSLSVLGEALFYALRMLLSRSLSKLNFSESPNCAYVLLVDSQYGGVVKVEGDVDKLEFDVYNVYDCSVDWIKKHCKVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIVHYAGMPKHSIEDLAADHGSRLQTRRVARQLGDSRVNGNGLFQFQQQSASPEIVEVPDESIKIKSEEFIMKLDVGSVLWLEDSDQQKGYQINDVVHNNELRYYIASPVKDPGKSLFLYVGSHPSQLEPAWEDMDLWFQVQRQTKILTVMRQKGLSSKYLPQLSASGRIVHPGRCQKPSSGGNCDHPWCGTPILVTNPVGETVADMVNAGRFGLDEAIRCCHDCLSALSTTSSADIRHGDIQPENIICVRSGVRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQERKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRETSWSRRLIQLKLGEVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDDDHGKQVGTSG >Potri.008G083300.19.v4.1 pep chromosome:Pop_tri_v4:8:5218668:5223899:-1 gene:Potri.008G083300.v4.1 transcript:Potri.008G083300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083300.v4.1 MIKKLAKELKNLESPPEGILGVNDYDFSVSEAGIEVPAVTAYKNNVSRMKQLLSHDFRRPPPKGGSSDQESIGSETKRSSVSSGSRSRLHKEFLSRFVDSQTLTARLEDWFELISEQSGKKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPNAVYASTSDAAEATAYLAIEDFLHASVKGLWEAFWSQDDPIPFSVACLYNENLKFYQAEKAIGNGKLSGLGATGVLLNNPRHPHGKWDHVLELALLRPHIRSVAAGSDQKLSLSVLGEALFYALRMLLSRSLSKLNFSESPNCAYVLLVDSQYGGVVKVEGDVDKLEFDVYNVYDCSVDWIKKHCKVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIVHYAGMPKHSIEDLAADHGSRLQTRRVARQLGDSRVNGNGLFQFQQQSASPEIVEVPDESIKIKSEEFIMKLDVGSVLWLEDSDQQKGYQINDVVHNNELRYYIASPVKDPGKSLFLYVGSHPSQLEPAWEDMDLWFQVQRQTKILTVMRQKGLSSKYLPQLSASGRIVHPGRCQKPSSGGNCDHPWCGTPILVTNPVGETVADMVNAGRFGLDEAIRCCHDCLSALSTTSSADIRHGDIQPENIICVRSGVRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQERKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRETSWSRRLIQLKLGEVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDDDHGKQVGTSG >Potri.008G083300.4.v4.1 pep chromosome:Pop_tri_v4:8:5218603:5223943:-1 gene:Potri.008G083300.v4.1 transcript:Potri.008G083300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083300.v4.1 MIKKLAKELKNLESPPEGILGVNDYDFSVSEAGIEVPAVTAYKNNVSRMKQLLSHDFRRPPPKGGSSDQESIGSETKRSSVSSGSRSRLHKEFLSRFVDSQTLTARLEDWFELISEQSGKKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPNAVYASTSDAAEATAYLAIEDFLHASVKGLWEAFWSQDDPIPFSVACLYNENLKFYQAEKAIGNGKLSGLGATGVLLNNPRHPHGKWDHVLELALLRPHIRSVAAGSDQKLSLSVLGEALFYALRMLLSRSLSKLNFSESPNCAYVLLVDSQYGGVVKVEGDVDKLEFDVYNVYDCSVDWIKKHCKVSVSPIDRIWNKLGNANWGDIGALQVLFATFHCIVHYAGMPKHSIEDLAADHGSRLQTRRVARQLGDSRVNGNGLFQFQQQSASPEIVEVPDESIKIKSEEFIMKLDVGSVLWLEDSDQQKGYQINDVVHNNELRYYIASPVKDPGKSLFLYVGSHPSQLEPAWEDMDLWFQVQRQTKILTVMRQKGLSSKYLPQLSASGRIVHPGRCQKPSSGGNCDHPWCGTPILVTNPVGETVADMVNAGRFGLDEAIRCCHDCLSALSTTSSADIRHGDIQPENIICVRSGVRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQERKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRETSWSRRLIQLKLGEVSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDDDHGKQVGTSG >Potri.019G042201.1.v4.1 pep chromosome:Pop_tri_v4:19:5835268:5837202:-1 gene:Potri.019G042201.v4.1 transcript:Potri.019G042201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042201.v4.1 MQCFFADSRVGSGSSSNTVLFLSEIMGMYFVSSILLIRKRLATEYRIIITEV >Potri.019G042201.2.v4.1 pep chromosome:Pop_tri_v4:19:5833883:5837202:-1 gene:Potri.019G042201.v4.1 transcript:Potri.019G042201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042201.v4.1 MQCFFADSRVGSGSSSNTVLFLSEIMGMYFVSSILLIRKRLATEYRIIITEV >Potri.010G239100.3.v4.1 pep chromosome:Pop_tri_v4:10:21820856:21821522:1 gene:Potri.010G239100.v4.1 transcript:Potri.010G239100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239100.v4.1 MIRSAPPSKVASPSRSCALIKSPGALGSAMSVSKAFGLKSSSFKVSAMAVYKVKLIMPDGCEHEFDAPDDTYILDSAENAGVELPYSCRAGACSTCAGMMVSGSVDQSDGSFLDEKQMEKGYVLTCISYPTSDSVIHTHKEEDLY >Potri.010G239100.4.v4.1 pep chromosome:Pop_tri_v4:10:21820933:21821533:1 gene:Potri.010G239100.v4.1 transcript:Potri.010G239100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239100.v4.1 MSVSKAFGLKSSSFKVSAMAVYKVKLIMPDGCEHEFDAPDDTYILDSAENAGVELPYSCRAGACSTCAGMMVSGSVDQSDGSFLDEKQMEKGYVLTCISYPTSDSVIHTHKEEDLY >Potri.010G239100.1.v4.1 pep chromosome:Pop_tri_v4:10:21819774:21821496:1 gene:Potri.010G239100.v4.1 transcript:Potri.010G239100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239100.v4.1 MSTARLPTTCMIRSAPPSKVASPSRSCALIKSPGALGSAMSVSKAFGLKSSSFKVSAMAVYKVKLIMPDGCEHEFDAPDDTYILDSAENAGVELPYSCRAGACSTCAGMMVSGSVDQSDGSFLDEKQMEKGYVLTCISYPTSDSVIHTHKEEDLY >Potri.016G013900.1.v4.1 pep chromosome:Pop_tri_v4:16:736914:741696:1 gene:Potri.016G013900.v4.1 transcript:Potri.016G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013900.v4.1 MAEDKQETEKTFADLGLCKELVEACENLGWKKPTKIQEEAIPYALQGKDLIGVAATGSGKTGAFVLPTLEAILKDSQERKSVQPFFVCVLSPTRELAIQIAEQFEALGSGIGVRCVVLVGGEDMLQQSIVLAKKRPHVIVGTPGRLADHLSNTKGFSLHALKYLILDEADRLLSMDFEKSLDEILKAIPRNRRTYLFSATMTNKVKKLQRACLRNPVKIEAAFKYSIVDTLEQGFYFMPAALKDCYLVHVLSSKKGATSMVFTRTCRETDFLALVLRKLGLGAIPINGQMSQSNRLGALNKFKAGEFNILICTDVASRGLDILSVDVVVNYNIPTNAKDYFHRVGRTARAGRSGLAISLVNQFDIGPFKQIEKHIGDDFKIPKYTANEDEVLLLAERVTEAKRISRKSIQEGGGNKRTDRLDDEDEEDIEKYLGIKNKKLRRK >Potri.002G095900.1.v4.1 pep chromosome:Pop_tri_v4:2:7003042:7007743:1 gene:Potri.002G095900.v4.1 transcript:Potri.002G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G095900.v4.1 MTGGGFVANGPASGFNGKITVPVVITCIVAASSGLIFGYDIGISGGVTTMAPFLIKFFPEVFRKATKVKTNMYCQFDSQLLTAFTSSLYIAGLASSLVASRLTAAVGRKNIMVIGGCTFLAGAAINGGAANIAMLLLGRILLGFGVGFTNQATPVYLSEVAPPKWRGAFSTGFQFFIGVGVVAANCINFGMAKHSWGWRFSLGLAVVPAAIMTIGALFISDTPSSLVERGKVEQARQSLTKVRGINSNVDAELADLLKFNEMAKDAKKEPFLTILERQYRPHLVMSIAIPFFQQLTGINIIAFYAPVIFQSVGFGSDSALIAAIVLGLVNLGSILVSTGMVDRHGRRFLFIIGGIQMFICQVAVTIVLAVTTGISGTKQISKGHGVLLLVLMCIYAAGFGWSWGPLSWLVPSEIFPMKIRSTGQSITVGVNFATTFVLSQTFLTMLCHFKFGTFLFYAGWIALMTVFIVLFLPETKGIPLDSMHEVWQRHWYWGRFVRGSGR >Potri.009G012000.2.v4.1 pep chromosome:Pop_tri_v4:9:2228030:2228350:1 gene:Potri.009G012000.v4.1 transcript:Potri.009G012000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012000.v4.1 MARGASQSQTTSSSSSSRAGVAAPRGSAAAAAGMRRRRVLSSGSSSGGGSGSGIGAPGGNMLRFYTDDAPGLKISPTIVLVISLCFIGFVTALHVFGKLYRSKVSP >Potri.016G017600.2.v4.1 pep chromosome:Pop_tri_v4:16:922189:923420:-1 gene:Potri.016G017600.v4.1 transcript:Potri.016G017600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017600.v4.1 MHCQGCADKILHILKGFEGVEEVKMDSKQNKVMVKGPKADPSKVLERLQGKYSRNVELISPKLKPSAQDKKEPEKKQVPQVKIVVLKMNMHCEGCAHGIKKKVLRMEGVSSVEPDMKNSQVTVRGAFDPPKLAQKIMEKLGIHVEILKQQNQAAPKDKNNNNSNNNKNMFHYPPQNSQEYIYPCPIFSDENVFSCSIM >Potri.006G082100.4.v4.1 pep chromosome:Pop_tri_v4:6:6096763:6097149:-1 gene:Potri.006G082100.v4.1 transcript:Potri.006G082100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082100.v4.1 MKGSREKQGGSPRKLTVSWAPDVYDPIPNSLSHSVKSKQKKSSRKDRDKDNNHNKKNGKKGQKGSSSKGLGGKDKKQFRKSGGRSDKCYKTMDAPEDTDFGVGSPDYCGSSFLKNSGAKFHYSVAEAL >Potri.006G082100.2.v4.1 pep chromosome:Pop_tri_v4:6:6096258:6099195:-1 gene:Potri.006G082100.v4.1 transcript:Potri.006G082100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082100.v4.1 MSSRSHAVGDVLVDELESNLSRFASLKDVEEETYTSDGDDNSDAEEGYEPYGSQPVKKCHDNSSSMAPSDEEDNEPETGLQLLFPEEFPRLNSTRLLIVSAMKGSREKQGGSPRKLTVSWAPDVYDPIPNSLSHSVKSKQKKSSRKDRDKDNNHNKKNGKKGQKGSSSKGLGGKDKKQFRKSGGRSDKCYKTMDAPEDTDFGVGSPDYCGSSFLKNSGAKFHYSVAEAL >Potri.006G278700.3.v4.1 pep chromosome:Pop_tri_v4:6:26891047:26900107:-1 gene:Potri.006G278700.v4.1 transcript:Potri.006G278700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278700.v4.1 MELNGCNLENRNRGSHDDSEEDKCVPFDIENSRRRNSDVDSDVNGIAMDSLSVKKSPPTPVSAADILKTLFFILVWYTFSTFLTLYNKTLLGDDMGRFPAPLLMNTFHFTMQAVLSTAITWYWSDRFRPNVAMSWKDYFIRVVPTALGTAFDVNLSNVSLVFISVTFATMCKSAAPIFLILFAFAFRLESPSAKLFGIIMVISVGILLTVAKETEFEFWGFVFVMLAAVMSGFRWCMTQILLQKEAYGLKNPLTLMSYVTPVMAISTGLLSLVLDPWHEFNKTSYFNNSWHVARSCLLMFFGGTLAFFMVLTEFVLISVTSAVTVTIAGVVKEAVTILVAVIYFHDKFTWLKGAGLLIIMVGVGLFNWYKYQKLQKGQTSENDSAGSSPTNVATKYVILDEMDDLDDGT >Potri.018G089900.1.v4.1 pep chromosome:Pop_tri_v4:18:10978098:10980106:1 gene:Potri.018G089900.v4.1 transcript:Potri.018G089900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX90 MGNQRFIVLSILLSLLITIASAQSSQLRQNFYQNICPNVESLVRSAVQTKFSQTFVTAPATLRLLFHDCFVRGCDASVLLSSPSNNAEKDHPDDISLAGDGFDTVIKAKAAVDSNPRCRNKVSCADILALATRDVVVLAGGPSYSVELGRRDGRISTKGSVQRKLPHPTFNLDQLNSMFASHGLSQTDMIALSGAHTLGFSHCSRFADRIYRFNSRNRIDPTLNLQYAMQLRQMCPVNVDSRIAINMDPTTPRQFDNAYYQNLKNGKGLFTSDQILFTDSRSKGTVNLFASNNAAFQQAFVTAITKLGRVGVLTGNQGEIRRDCSRIN >Potri.018G147501.2.v4.1 pep chromosome:Pop_tri_v4:18:15901038:15902660:-1 gene:Potri.018G147501.v4.1 transcript:Potri.018G147501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147501.v4.1 MPLCFFLSKNSTLFTLSDNRIAGWVKNKLRYLPPYTSFLPIKDDEEIYKKKRNRRGKLLENILFSQLSYLAIFIILVCITERQKLKEDPLNFNVLNIVVEVVSAYGSVGFTTGYSCDRQTQPNSNCVNKFYGFSGKWSDEGKIILIVVMVFGRLKKFNMDGGRAWKLL >Potri.006G077000.2.v4.1 pep chromosome:Pop_tri_v4:6:5728738:5733742:1 gene:Potri.006G077000.v4.1 transcript:Potri.006G077000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077000.v4.1 MGCTTSKLDEEEAVQLCKDRKRYIKQAVEQRTRFASGHLAYIQSLKRVLAALRDYVEGDEPREFLLDSFITPPFTPVKKTSPGFISISPKSFSAAPIQSGPTSTLKVNYLRSGGNQSVSVEERPQSPETFRVESYSPMHHYGADGFFAMQSSPMYSSFFSYSPNNRPSIPPPSPQTSQWDGFWNPFSSLDYYGYPNRSSLDQMGMDDDIRGLRQVREEEGIPDLEDETEQEDSDNKANLAGERAKVVSNYAREEVLVEDVDEDEDEEEDEETDSDCECECESEHEVNGPQSGLQSQGSVKIELSRSQNSGQVEVHNQEMAAGNGEAAKVETPGFTVYVNRRPTSMAEVIKDLEDQFTVICNSAKEVSDLLESSRAQYSSTSNELTAMKMLNPVALIRSASSRSSSSRFMINSSSSKDEDCDSSSDFSEESCMLSGSHQSTLDRLYAWEKKLYQEVRCGEKVRIAYEKKCMQLRNQDVKGDDPSVLDKTRTAIRDLHTQIKVSIHSVEAVSKRIETLRDEELQPQLLELVQGLARMWKVMAECHQSQKRTLDEAKLLLAGTPSKLEAKRHSSMSVADPQRLARSASNLETELRNWRACFEAWITSQRSYLHALTGWLLRCVRLDPDTSKLPFSPPRSSGTFPIFGLCIQWSRFLDAMQEIPVLDGLDFFAAGMGSIYAQQLRDDPHRVPVSSKRFGAGLSVESGRSMELMEVGEVEDVMTTEKMAEVAIKVLCAGMSVAMSSLTEFAIGSADGYAELVKQWENVNSQSSSRAGHNPGYPSG >Potri.006G077000.1.v4.1 pep chromosome:Pop_tri_v4:6:5729853:5733738:1 gene:Potri.006G077000.v4.1 transcript:Potri.006G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077000.v4.1 MGCTTSKLDEEEAVQLCKDRKRYIKQAVEQRTRFASGHLAYIQSLKRVLAALRDYVEGDEPREFLLDSFITPPFTPVKKTSPGFISISPKSFSAAPIQSGPTSTLKVNYLRSGGNQSVSVEERPQSPETFRVESYSPMHHYGADGFFAMQSSPMYSSFFSYSPNNRPSIPPPSPQTSQWDGFWNPFSSLDYYGYPNRSSLDQMGMDDDIRGLRQVREEEGIPDLEDETEQEDSDNKANLAGERAKVVSNYAREEVLVEDVDEDEDEEEDEETDSDCECECESEHEVNGPQSGLQSQGSVKIELSRSQNSGQVEVHNQEMAAGNGEAAKVETPGFTVYVNRRPTSMAEVIKDLEDQFTVICNSAKEVSDLLESSRAQYSSTSNELTAMKMLNPVALIRSASSRSSSSRFMINSSSSKDEDCDSSSDFSEESCMLSGSHQSTLDRLYAWEKKLYQEVRCGEKVRIAYEKKCMQLRNQDVKGDDPSVLDKTRTAIRDLHTQIKVSIHSVEAVSKRIETLRDEELQPQLLELVQGLARMWKVMAECHQSQKRTLDEAKLLLAGTPSKLEAKRHSSMSVADPQRLARSASNLETELRNWRACFEAWITSQRSYLHALTGWLLRCVRLDPDTSKLPFSPPRSSGTFPIFGLCIQWSRFLDAMQEIPVLDGLDFFAAGMGSIYAQQLRDDPHRVPVSSKRFGAGLSVESGRSMELMEVGEVEDVMTTEKMAEVAIKVLCAGMSVAMSSLTEFAIGSADGYAELVKQWENVNSQSSSRAGHNPGYPSG >Potri.014G136100.1.v4.1 pep chromosome:Pop_tri_v4:14:9233168:9235130:-1 gene:Potri.014G136100.v4.1 transcript:Potri.014G136100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136100.v4.1 MTMHPLRSCFSVLFVVYLLQCKLFFVHCILDPLDFLALQSVRKSLDDMPGSNFFASWDFTSDPCNFAGVYCESDRVIALNLGDPRAGSPGLIGRLDPAIGKLSSLTELSVVPGRIMGSLPQSISQLKDLRFLAISRNFLSGGIPATLGQLRNLKTLDLSYNQLTGDIPRSIGTIPGLSNVILSHNRISGSVPPFLSETLTRLELKHNELSGSISPTSLPPSLQYLSLSWNRLSGPVDLLLIRLDRLNYLDLSVNQFSGCIPSRIFTFPITNLQLQRNSFSGPVQPGGEVAIPTVDLSYNRLSGGVSPMFSTVQNLYLNNNRFIGQVPGSFVDRLMDASIQVLYLQHNYLTGMQINPTVEIPLSSSLCLQYNCMVPPIQTPCPLKAGKQRTRPTAQCNEWRG >Potri.013G143800.2.v4.1 pep chromosome:Pop_tri_v4:13:14150226:14152499:1 gene:Potri.013G143800.v4.1 transcript:Potri.013G143800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G143800.v4.1 MKYAVYKLQYTDFFLLSFMISGINSPSSDISYLARIMRYLVRKEFFTAHPPSDGGETLFGLNQKSRMLMHDSERSLVSIIIMQHSSWFLAAWHCLSQCIKEGGTAFSKAHGCELWDFASRNPEVNRIFNEAMACTSNITMRAILSHYKDGFNNIRSLVDVAGGIGGHVAEIVRAYPHIEGINFDLPHVVATAPKYEGVSHVAGNMFEAIPNADAIFIQRILHDWTDESCVEILRNCKKAIPEKTGKLIIVDIVLPTDDHCDQFDDIRMVMDLVMFALTTGGKERTEQEWKKLLEEGGFSRYKIIKIPALESIIEAYPDPE >Potri.013G143800.1.v4.1 pep chromosome:Pop_tri_v4:13:14150078:14152500:1 gene:Potri.013G143800.v4.1 transcript:Potri.013G143800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G143800.v4.1 MASQEAEAFFKSQFEIFQLSLGFVDSMALKCAVELRLPEIINSHGRPISLSQIASGINSPSSDISYLARIMRYLVRKEFFTAHPPSDGGETLFGLNQKSRMLMHDSERSLVSIIIMQHSSWFLAAWHCLSQCIKEGGTAFSKAHGCELWDFASRNPEVNRIFNEAMACTSNITMRAILSHYKDGFNNIRSLVDVAGGIGGHVAEIVRAYPHIEGINFDLPHVVATAPKYEGVSHVAGNMFEAIPNADAIFIQRILHDWTDESCVEILRNCKKAIPEKTGKLIIVDIVLPTDDHCDQFDDIRMVMDLVMFALTTGGKERTEQEWKKLLEEGGFSRYKIIKIPALESIIEAYPDPE >Potri.013G079600.2.v4.1 pep chromosome:Pop_tri_v4:13:6830712:6840413:1 gene:Potri.013G079600.v4.1 transcript:Potri.013G079600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079600.v4.1 MSSLQIKKNYRCVPSLQQFYSGGPFAVSSDGSFIACANGDAIKILDSSNASIKASIEVDTDSGLTALALDPNDRFLFSAGHSRLIRVWDLSTFKCIRSWKGHDGPVMSMACHASGGLLATAGADRKVLVWDVDGGFCTHYFKGHKDVVTSIMFHPDTNKTLLFSGSADATVRVWDLLAKKCIATLERHFSALTSMAVSEDGWTLLTAGRDKVVNLWDLHDYVCKMTIPTYEVLEGLCVVKSGTELASFLGSCNQQSGKRRDRSSPIYFVTVGERGIVRIWDSECGVSLYEQKSSDVAVSSDTDDSLRGFTAAVILPLDQGLLCVTVDHHFLFYSLLGHPEEKFKLILNKRLVGYNEEILDMRFLGEEEKFLAVATNLEQVQVYDMESMSCSYVLAGHTEIVLCLDTCVSSSGRPLLATGSKDNSVRLWNSESRNCIGVGTGHMGGVGAVAFSKKWKNFFVSGSSDRTIKVWSLDGISDDADQPINLKAKAVVAAHDKDINSLAIAPNDSLVCSGSQDRTACVWRLPDLVSVVVLKGHKRGIWSVEFSPVDQCVITASGDKTIKMWAIADGSCLKTFEGHTSSVLRASFLTRGSQFVSCGADGLVKLWTVKTNECTATYDQHEDKVWALAIGKKTEMFATGGGDAVVNLWYDSTASDKEEAFRKEEEGVLRGQELENAVLDADYIKAIQIAFELHRPNKLFELFAELCRKKEGSSQIEKALHVLGKEEIHQLFQYVREWNTKPKLCHVAQYVLFGVFNILPPTEILEIKGIGELLEGLIPYSQRHLSRIDRLLRSTFLLDYTLHGMSVIEPDTNATEMKDADQEQELTSELLKEKAPSKKRKSNKSKDSSSKKVKGAAYTSVAPMSLKA >Potri.003G045800.1.v4.1 pep chromosome:Pop_tri_v4:3:5271864:5273779:1 gene:Potri.003G045800.v4.1 transcript:Potri.003G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045800.v4.1 MEAAAVNTNRKTSKPQFESSFDSQDISPSARLLFEIITRPSSHDIESALSSTGIPPTHDIVHEVLKLCHENATSAIAFFRWAGRTHKLTSYAWNLMVDLLGKNWMYEPMWDAVRTMKQEDMLSMATFVSVFGSYCMAGKFNEAIMSFYVMDKYGVQQDVVVVNSLLTAICHEENQTAKALEFFDKIKLKIPPNADTFAILLEGWEKEGDVAKAKTTFGEMVIKVGWSPENMSAYDSFLTTLVRGSQADEAVKFLRVMKGKNCLPGLKFFSNALDMLVKQNDSTHAIPLWDIMVGSGLLPNLIMYNAMIGLHCNNNDVDNAFRLLDEMVFNGAFPDFLTFNIIFRCLIKNKKVHRVGKFFYEMIKNESPPTHFDCSAAIMTLIDGGDPEMAIEIWNYIVENHVLPLDGSANALLIGFCNLGRMSQVRRFAEDMLDRRINIYESTMKKLKDSFDKTGRHGRDKYDCLIRRWKAP >Potri.001G156900.1.v4.1 pep chromosome:Pop_tri_v4:1:13190225:13198408:-1 gene:Potri.001G156900.v4.1 transcript:Potri.001G156900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156900.v4.1 MLQIAVLVEKGTNSRVLILNRPHVLNALTTPMGHRLAKLYESWANDPVVDFIVLKGNGRAFCAGGDVVRLYRLINEGKIEECKDCFRTFYSFVFLLSTYLKPHVAILDGITMGGGAGISVHGSFRIATDKTVFATPEVLIGLHPDAGASYYLSRLPGCLGEYLGLTGDMLSGEEMLACGLATHYSPNASIPLIEEQLGKLAAKDFSVMETFLTSFGQTANPSERSVLHRMNTLNKCFGHATVEEIVDSLESEAARTKDDWCISTVRKLREAPPLSLKVSLRSIREGRFRTLEQCLDREYRMTLRAISRQISNDFCEGVRARLVDKCFPPKWYPPCLEQVPEDMVDAYFALPDAYEPGLELPSKL >Potri.001G156900.2.v4.1 pep chromosome:Pop_tri_v4:1:13190225:13198408:-1 gene:Potri.001G156900.v4.1 transcript:Potri.001G156900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156900.v4.1 MQQSFKVLKSALNKTTPYSFLRRTLSSSLNNATNSCEYLENSVLVEKGTNSRVLILNRPHVLNALTTPMGHRLAKLYESWANDPVVDFIVLKGNGRAFCAGGDVVRLYRLINEGKIEECKDCFRTFYSFVFLLSTYLKPHVAILDGITMGGGAGISVHGSFRIATDKTVFATPEVLIGLHPDAGASYYLSRLPGCLGEYLGLTGDMLSGEEMLACGLATHYSPNASIPLIEEQLGKLAAKDFSVMETFLTSFGQTANPSERSVLHRMNTLNKCFGHATVEEIVDSLESEAARTKDDWCISTVRKLREAPPLSLKVSLRSIREGRFRTLEQCLDREYRMTLRAISRQISNDFCEGVRARLVDKCFPPKWYPPCLEQVPEDMVDAYFALPDAYEPGLELPSKL >Potri.001G156900.3.v4.1 pep chromosome:Pop_tri_v4:1:13190222:13198336:-1 gene:Potri.001G156900.v4.1 transcript:Potri.001G156900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156900.v4.1 MGHRLAKLYESWANDPVVDFIVLKGNGRAFCAGGDVVRLYRLINEGKIEECKDCFRTFYSFVFLLSTYLKPHVAILDGITMGGGAGISVHGSFRIATDKTVFATPEVLIGLHPDAGASYYLSRLPGCLGEYLGLTGDMLSGEEMLACGLATHYSPNASIPLIEEQLGKLAAKDFSVMETFLTSFGQTANPSERSVLHRMNTLNKCFGHATVEEIVDSLESEAARTKDDWCISTVRKLREAPPLSLKVSLRSIREGRFRTLEQCLDREYRMTLRAISRQISNDFCEGVRARLVDKCFPPKWYPPCLEQVPEDMVDAYFALPDAYEPGLELPSKL >Potri.006G120200.1.v4.1 pep chromosome:Pop_tri_v4:6:9467435:9479606:-1 gene:Potri.006G120200.v4.1 transcript:Potri.006G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120200.v4.1 MKRELGFVSSLGRPTQSSSSCTQLSDDDRSKRFKVTKVNGFIVYTRVRKTKNNNILIAAEDDERHIKRIKSLDDSKIDVANTINASISDDGFKCLEVNKKTAIGERNNCNNVEKGVCKDESKGSLAVKGGKEMNLAVFGENGEVKSNDRPKRVRKSKLKIKLQPVEVTVKGPEVIEGEALSRVDVEMIAEGSALTPPKKNLELKMSKKIALENVPMTVKELFETGLLEGVPVVYMGGKKFQAFGLRGTIKDAGILCSCAFCNGHRVIPPSQFEIHAIKQYRRAAQYICFENGKSLLDVLNACRTAPLDSLETTIQSAISGLPVERTFTCKRCKGTFPSICVGKIGPLCNLCAESKDSHPTLTFGSSIISSSSEQVLALEYFKPASLSTSSQDNTPRKKKRKSSKTDLNKSAPARVSSRIQSKITPKPEEQDSITKPSKSASVYLSSRKRKYKKISPRISKSVLMSKCFKNTSGGVSSQNQWKITTKDQRLHRLVFEEGGLPDGTELAYYARGQKLLGGYKRGFGILCRCCNCEVSPSMFEAHAGWATRKKPYAYIYTSNGVSLHELAISLSKSRKYSSRDNDDLCIICADGGNLLLCDGCPRAFHKGCASIPTVPSGDWYCQYCQNTFEREKLVEHNANASAAGRDSGIDSIEQITKRCFRIVKNIEAELTGCALCRGYDFMRSGFGPRTIILCDQCEKEFHVGCLRSHKMTNLKELPKGNWFCCMDCSRIHSTLQKLLIRGAEKLPDSLLNDIKKKHEERGLNISNNIDVRWTLLSGKIASPENKLLLSRALSIFQECFDPIVDSTIGRDLIPLMVYGKNSKGQDYGGMYCAVLTINSSIVSAGILRVFGEEVAELPLVATRNGEHGKGYFQLLFSCIEKLLAFLNVQNLVLPAAEEAESIWTEKFGFQKIKPEQLNKYRKSCCQMVRFEGTSMLQKAVPTCRIVNQRTSP >Potri.006G120200.4.v4.1 pep chromosome:Pop_tri_v4:6:9467810:9479566:-1 gene:Potri.006G120200.v4.1 transcript:Potri.006G120200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120200.v4.1 MKRELGFVSSLGRPTQSSSSCTQLSDDDRSKRFKVTKVNGFIVYTRVRKTKNNNILIAAEDDERHIKRIKSLDDSKIDVANTINASISDDGFKCLEVNKKTAIGERNNCNNVEKGVCKDESKGSLAVKGGKEMNLAVFGENGEVKSNDRPKRVRKSKLKIKLQPVEVTVKGPEVIEGEALSRVDVEMIAEGSALTPPKKNLELKMSKKIALENVPMTVKELFETGLLEGVPVVYMGGKKFQAFGLRGTIKDAGILCSCAFCNGHRVIPPSQFEIHAIKQYRRAAQYICFENGKSLLDVLNACRTAPLDSLETTIQSAISGLPVERTFTCKRCKGTFPSICVGKIGPLCNLCAESKDSHPTLTFGSSIISSSSEQVLALEYFKPASLSTSSQDNTPRKKKRKSSKTDLNKSAPARVSSRIQSKITPKPEEQDSITKPSKSASVYLSSRKRKYKKISPRLVCFFYPIDILFGLVMISKSVLMSKCFKNTSGGVSSQNQWKITTKDQRLHRLVFEEGGLPDGTELAYYARGQKLLGGYKRGFGILCRCCNCEVSPSMFEAHAGWATRKKPYAYIYTSNGVSLHELAISLSKSRKYSSRDNDDLCIICADGGNLLLCDGCPRAFHKGCASIPTVPSGDWYCQYCQNTFEREKLVEHNANASAAGRDSGIDSIEQITKRCFRIVKNIEAELTGCALCRGYDFMRSGFGPRTIILCDQCEKEFHVGCLRSHKMTNLKELPKGNWFCCMDCSRIHSTLQKLLIRGAEKLPDSLLNDIKKKHEERGLNISNNIDVRWTLLSGKIASPENKLLLSRALSIFQECFDPIVDSTIGRDLIPLMVYGKNSKGQDYGGMYCAVLTINSSIVSAGILRVFGEEVAELPLVATRNGEHGKGYFQLLFSCIEKLLAFLNVQNLVLPAAEEAESIWTEKFGFQKIKPEQLNKYRKSCCQMVRFEGTSMLQKAVPTCRIVNQRTSP >Potri.003G019000.6.v4.1 pep chromosome:Pop_tri_v4:3:1969959:1981460:-1 gene:Potri.003G019000.v4.1 transcript:Potri.003G019000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019000.v4.1 MELSPTSTCTGFHHIHPRPTPLSFPLTPRVSTAITSSSGGGGRGSQRSSAAAAAAPTPTPTLVDISCNDPQNGCAVDAQRRHSKPYLARKNAILQVQQSPHLDSALQRFGGVLKVQDLNVILRNFGQQSRWQDLSQLFDWMQQHSKISASSYSSYIKFTGTSLNPAKALEMYNSISDESTKNNVFICNSVLRCLVRNGKFDSSMKLFRKMKHNGLIPDAITYSTLLAGCMKVKDGYSKALDLVQELNYNGLQMDSVMYGTLLVVCASNNRCEEAQSYFNQMKDEGHSPNIYHYSSLLNAYASGGNYKKAEELVQDMKSSGLVPNKVMLTTQLKVYVRGRLFEKSRDLLVELDTLGFAKDEMPYCLLMDGLAKTGCIDEARSVFNEMKEKCVKPGGYSYSIMISSFCRGGLFEEAKELAEEFEAKYDKYDVAISNAILCAYCRAGEMESVMRTMRKMDELAISPDYNTFHILIKYFCKEKLYMLAYQTMEDMHRKGHQPAEELCSSLLFHLGKIKAHSEAFSVYSMLKYSKRTMCKAFHEKILHILIAGKLLKDAYVVVKDNAKFISSAAIKKFAKSFVKLGNINLINDVLKVIHGSGYKIDQRLFQMAVSRYIAQPEKKDLLLQLLQWMRGQGYVVDSSTRNLILKNAHLFGQQFIAEILSKKHMMSKALKSHWMTKG >Potri.003G019000.3.v4.1 pep chromosome:Pop_tri_v4:3:1966299:1981502:-1 gene:Potri.003G019000.v4.1 transcript:Potri.003G019000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019000.v4.1 MELSPTSTCTGFHHIHPRPTPLSFPLTPRVSTAITSSSGGGGRGSQRSSAAAAAAPTPTPTLVDISCNDPQNGCAVDAQRRHSKPYLARKNAILQVQQSPHLDSALQRFGGVLKVQDLNVILRNFGQQSRWQDLSQLFDWMQQHSKISASSYSSYIKFTGTSLNPAKALEMYNSISDESTKNNVFICNSVLRCLVRNGKFDSSMKLFRKMKHNGLIPDAITYSTLLAGCMKVKDGYSKALDLVQELNYNGLQMDSVMYGTLLVVCASNNRCEEAQSYFNQMKDEGHSPNIYHYSSLLNAYASGGNYKKAEELVQDMKSSGLVPNKVMLTTQLKVYVRGRLFEKSRDLLVELDTLGFAKDEMPYCLLMDGLAKTGCIDEARSVFNEMKEKCVKPGGYSYSIMISSFCRGGLFEEAKELAEEFEAKYDKYDVAISNAILCAYCRAGEMESVMRTMRKMDELAISPDYNTFHILIKYFCKEKLYMLAYQTMEDMHRKGHQPAEELCSSLLFHLGKIKAHSEAFSVYSMLKYSKRTMCKAFHEKILHILIAGKLLKDAYVVVKDNAKFISSAAIKKFAKSFVKLGNINLINDVLKVIHGSGYKIDQRLFQMAVSRYIAQPEKKDLLLQLLQWMRGQGYVVDSSTRNLILKNAHLFGQQFIAEILSKKHMMSKALKSHWMTKG >Potri.001G245500.1.v4.1 pep chromosome:Pop_tri_v4:1:26237053:26242167:1 gene:Potri.001G245500.v4.1 transcript:Potri.001G245500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245500.v4.1 MEALHASLGRRTLEEIRQKRAAERLSKASSGPDLTKIPTPNDNAGMRKSESGNRLSETDIGGLVSQLKDLQKRNADLEESNGILSLKLQTKDVENETLQKRLNDLEQNTVPSLRKALREVAMEKDAAVVSREDLSAQLRTLKKRLKEAEEEQYRAEEDAAVLRAELNSMQRQAMSNHPGDISSMSVSHDQVQRLEKELAGLKSEFQQVSLLRQQEQQRLAEEQSRTSALTSEKQQLVEKLAALSRTVSEEASQNLVPKAFTVEDKEKLEKQLHDMALAVERLESSRQKLLMEIDSQSSEIEKLFEENSSLSSSCQEATSIAKQWENQLKDCLKKNEELRVMLDKLRTEQANLLSNDREILGGSAERHRDGVTETGSQAHATEILSLKGHLAKEQSRAEALSAEVMQLSAELQQATQAYNGLARLYKPVLRNIESSLIKMKQDGTVIVQ >Potri.014G178900.1.v4.1 pep chromosome:Pop_tri_v4:14:13541171:13542399:-1 gene:Potri.014G178900.v4.1 transcript:Potri.014G178900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G178900.v4.1 MQAVKDKLHDMTAMRQAKAEAKAEEKAEKELAKARIDVAHEVRLAREAEAEMELHVAKAGQRVEREIAKHEFNENNIVPQNNNINMDGKVYNHGSQNDDPRSYGDGYASQTDNYCGGGGGNINSNAATTNLTGAPADATAAANYTSAGRAASFPHNERL >Potri.011G151500.1.v4.1 pep chromosome:Pop_tri_v4:11:17855912:17859167:1 gene:Potri.011G151500.v4.1 transcript:Potri.011G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151500.v4.1 MALGSVLLEILHRPTTGQVLSELFIFAIPLWVAVAIGVLVGWVWKPKWASNLSREMLLDAKQGGEFSATSLSTMIPSLNILKFQLPSCVFRAADDGGIQTDSFSGRRTLNSKCSSSKMEKEKPNLVMEDDLEHLCKLVEVKDGGPAWIQMMDRSTPTMNYQAWRRDPETGPPQYRTRTVFEDATPEMVRDFFWDDEFRAKWDDMLVHAETLEECPTRGTMVVQWVRKFPFFCSDREYIIGRRIWESGRLYYCVTKGVPCSSVPRRNKPRRVDLYYSSWCIRAVESKRGDGELTACEVMLFHHEDMGIPWEIAKLGVRQGMWGAVKKLEPGLRAYQKHRASAAPLSRSAFMAQINTKVSADYLRSLETSISDSSEIEIRETSEKPVGHNVPKFLVIGGAVALACTLERGLLTKALIFGVARKFAIRRRS >Potri.004G040900.1.v4.1 pep chromosome:Pop_tri_v4:4:3262523:3271740:-1 gene:Potri.004G040900.v4.1 transcript:Potri.004G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040900.v4.1 MSYNDTGIDENDEDYTSQTDRVSRHKTYSSRESEHDRSRTRGRGKDHDRYRGGYKDGSVRNDGRRDKFGDFDRHERSSRGRNYHRHRDYDGDRGRRNGNRSSSYSQGRFQNRSRSRSRSRSPSKSKRKSGFDMAPSEVGMLPGAAVAVNDAGQLPSLPQTMPGVVQNALQFGTTQFGVFPLMPAQAMTQQATRHARRVYVGGLPPLANEQTIAAFFSHIMASIGGNAAGPGDAVVNVYINHEKKFAFVEMRTVEEASNAMALDGIIFEGVAVRVRRPTDYNPSLAATLGPSQPSPLLNLAAVGLVPGTISGAEGPDRVFVGGLPYYFTEIQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYQDPAVTDIACAALNGLKMGDKTLTVRRATESGGQSKSEQENILAQAQQHIAIQKMALQAGVMNLPGVGIPLAESAYTPSKVLCLTEAITMEVLADDEEYEEILEDMREECCKFGTLINVVIPRPSQNEEKMPGAGKVFLEYSDTISCANARNALNGRKFGGNTVNAFYYPEEKYSNGDYGA >Potri.016G059600.1.v4.1 pep chromosome:Pop_tri_v4:16:4075815:4079033:-1 gene:Potri.016G059600.v4.1 transcript:Potri.016G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059600.v4.1 MFCCGGNEEEPHGPPANQYTAPPKGANIYGGGGSARGEPRGANQARSGAPQKVLPIEIPSIPLDELNRMTGNFGTKALIGEGSYGRVFYAKLKDGMPAAIKKLDTSSSQEPDSDFEAQLSVVSRLKHEHFVELTGYCLEANNRILVYQFAVMGSLHDVLHGRKGVEGAEPGPVLNWNQRVKIAYGAAKGLEYLHEKVQPSIVHRDVRSSNVLLFGDFLSKIADFNLSNANSDTAARLHSTRVLGTFGYHAPEYAMTGQITQKSDVYSFGVVLLELLTGRKPVDHTMPKGQQSLVTWATPRLSEDKVKQCVDPKLNNDYPPKAVAKLAAVAALCVQYEADFRPNMTIVVKALQPLLNSKPAGPESQA >Potri.006G057300.9.v4.1 pep chromosome:Pop_tri_v4:6:4051996:4055712:-1 gene:Potri.006G057300.v4.1 transcript:Potri.006G057300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057300.v4.1 MILNLIFWILCLLINFGLLAIVFYALLCLTDLEVDQMDPFVATANINRWILPEFVLQAVLSLLLLLTGHWILFLLAVPLTCYHAILFIRRQHLIDVTEVFRNLNTDKKRRMIKLGVYMIFFTIFIFRIGAGILALFNSEELDIRSSFFEF >Potri.006G057300.7.v4.1 pep chromosome:Pop_tri_v4:6:4051209:4055633:-1 gene:Potri.006G057300.v4.1 transcript:Potri.006G057300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057300.v4.1 MILNLIFWILCLLINFGLLAIVFYALLCLTDLEVDQMDPFVATANINRWILPEFVLQAVLSLLLLLTGHWILFLLAVPLTCYHAILFIRRQHLIDVTEVFRNLNTDKKRRMIKLGVYMIFFTIFIFRVVLFAVNHILNDDDDDIDSF >Potri.008G016100.1.v4.1 pep chromosome:Pop_tri_v4:8:810198:811854:-1 gene:Potri.008G016100.v4.1 transcript:Potri.008G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016100.v4.1 MKGIMKGKLMKKLLSMKPTGYLKETRVLHVNAADGFIETLITKPSLEAQAQAETPEFVVPKEVEKEKVKDCSFVADQEPDVIDVNELMKDLEEEKEGEGEEMEMEVDEDKENVRPVVKARVGLFGVKDKVESKGSQFRQIPLSEIDISSFQRLDLNSDGLFYPNLLTAFEKAAKEHMGVSEEERRESIDGENLERIREAETQARTQQENLEKSREAERNLEDKEEEPPLKARRIEDDDDTGDPILGFPEKCPPGGSDSVILYTTTLRGIRKTFEDCNSIRFLLESFQVLFFERDVSMHMEFKEELWRILDGKVNPPRLFIKGRYIGGSEEVLGLHEQGWFRVLFEGIPIDRFIGSPCEGCAGVRFVLCFNCSGCHKVVAENGLSNICQDCNENGLITCPLCC >Potri.005G177600.1.v4.1 pep chromosome:Pop_tri_v4:5:18346689:18348418:1 gene:Potri.005G177600.v4.1 transcript:Potri.005G177600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177600.v4.1 MNAYRSEDNSYCYFHPKEVVVGVCPLCLSERLLILAAKQGNLSSARAAHRNEGTTHKKPPINLPKIFALGSFLNRLEFRNWKSNNSDHDAEPTSQEDSFISIKFEDNGAASWEKGTVSKVFIDPCSQPWNQNLNMEAKEGKDIKETVSMIEQVKPRSSLRWRRGIGHMFHLIRWKRSTMVEGVKVRKSWIRTLTKRRTI >Potri.001G112600.1.v4.1 pep chromosome:Pop_tri_v4:1:9124131:9126037:1 gene:Potri.001G112600.v4.1 transcript:Potri.001G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112600.v4.1 MGSLAVAPFLPSKPRPSLFDQNSSLFSSSTKLKRKNQSISPVARLFGPSIFEASKLKVLFLGVDEKKHPGNLPRTYTLTHSDMTAKLTLAISQTINNSQLQGWSNKLYRDEVVAEWKKVKGNMSLHVHCHISGGHFLLDWCCRLRYFIFRRELPVVLKAFFHGDGSLLSSYPELQEALVWVYFHSNIPEFSKVECWGPLKDAAAPSTSETGGSNETEELANQSSNWDLPEPCQEENCSCCFPPMSLIPWSKMVPLENKKNPSTPQSFQQP >Potri.003G077300.1.v4.1 pep chromosome:Pop_tri_v4:3:10475324:10478926:-1 gene:Potri.003G077300.v4.1 transcript:Potri.003G077300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077300.v4.1 MVCNKKKQTLEDLVIIVGLIMVQFVYAGNSVLLSYLMSLGLNPLTIVIFSTFATFLILSPIAVHFERSKWPKEFRLKLMIQLVLISFGGVTLFQSLFLKGIKLTSPAMATAMPNLAPGLIFIIAWTLRLEKVKLCCVYSKVKIVGTILCVVGALMMSLMSSTESAKESKSSESTPPGDMSFDKHKINGCLYLIAAVFVLSSNVVLQATTLGDFPAPISLCAITSLIGVIITAIVELVLNHRVDVGWPLMRLGTLICYSILGGAVGGACVSFNGWAMKKRGPVHVAVFNPIGTVISVVFSVITLGDRFNLASLAGMFLMFTGLYFVLWAKGKEGFRDGDHLESEFDPQKRLLA >Potri.005G173900.1.v4.1 pep chromosome:Pop_tri_v4:5:17939919:17941574:-1 gene:Potri.005G173900.v4.1 transcript:Potri.005G173900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173900.v4.1 MARLNLNLLLLLILLVLSSGPKMMESLRVFTITNYCKETVWPGIFPGENFNGGGFELKPGQSSVLNAPVGWSGRIWGRTGCSFDKNGNGTCKTGTCGSFLKCRASGETPASLAEFTLTTLDFYDVSLVDGFNLPIAVTPINGKGNCSVAGCDADLRDTCPSELAVKSKGKVIACRSACDVFNTDEYCCRGLYGNPRVCQPTFYSKKFKEACPTAYSYAYDDPTSICTCAGTDYVITFCSSRKREACTYHNNKLICSGSRGLKSLIERWWALVFVLPLLLAF >Potri.006G143200.3.v4.1 pep chromosome:Pop_tri_v4:6:12072200:12074037:1 gene:Potri.006G143200.v4.1 transcript:Potri.006G143200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143200.v4.1 MGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEVATQSITAPTVSSMTRNHSNNSLLTTSPTSLSLLSPKTHHQNHLHYPAPAGYHAHPNHQFLSSSRPLGIGLSPHENPTHLLLDSGGSSLANTDYRNRNVYGLKEEVDEHAFFSEPSGSMRSLSGSSLDDAWQLTPLTMNSSPSTTNSSKQRSLSSLHNEYSYLQLQSLSDPDTPKQQKQCQHNYLLGSSDVDSLGPIKMEKEKSQKTVHRFFDEWPPKDKDSWLDLDDKSSKSASVSATGLSISIPSSHDFLPIFSSRTNNGG >Potri.006G143200.1.v4.1 pep chromosome:Pop_tri_v4:6:12072208:12074036:1 gene:Potri.006G143200.v4.1 transcript:Potri.006G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143200.v4.1 MIMSGGNRFPFTASQWQELEHQALIYKYMVSGIPIPPDLLFTIKRSGCLDSSLSSKLFPCQPPHFSWGCFQMGLGRKIDPEPGRCRRTDGKKWRCSKEAYPDSKYCEKHMHRGKNRSRKPVEVATQSITAPTVSSMTRNHSNNSLLTTSPTSLSLLSPKTHHQNHLHYPAPAGYHAHPNHQFLSSSRPLGIGLSPHENPTHLLLDSGGSSLANTDYRNRNVYGLKEEVDEHAFFSEPSGSMRSLSGSSLDDAWQLTPLTMNSSPSTTNSSKQRSLSSLHNEYSYLQLQSLSDPDTPKQQKQCQHNYLLGSSDVDSLGPIKMEKEKSQKTVHRFFDEWPPKDKDSWLDLDDKSSKSASVSATGLSISIPSSHDFLPIFSSRTNNGG >Potri.019G119400.4.v4.1 pep chromosome:Pop_tri_v4:19:14536381:14538685:1 gene:Potri.019G119400.v4.1 transcript:Potri.019G119400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119400.v4.1 MNSKQPYQSEPDLPVPPLPTLRVRSPSLSTAPTTNRRVAIAVDLSDESAYAVKWAVQNYLRPGDAVILLHVRPTSALYGADWGSIQHQINNNNTPFDQNNPDSSDNQERQKLEDDFDSFTNNKANLLAKPLLEADVPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGATRKMGGGKGGIVGGGRLGSVSDYCVQHCVCPVVVVRCNDDGKEGESVKIGGLGEEIEEGLHPVPEEDQEECVDDELKGLYFGTFCVIFRYEMMSFLFCDVGFVFVWGNFGLGDWFG >Potri.019G119400.2.v4.1 pep chromosome:Pop_tri_v4:19:14536381:14538875:1 gene:Potri.019G119400.v4.1 transcript:Potri.019G119400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119400.v4.1 MNSKQPYQSEPDLPVPPLPTLRVRSPSLSTAPTTNRRVAIAVDLSDESAYAVKWAVQNYLRPGDAVILLHVRPTSALYGADWGSIQHQINNNNTPFDQNNPDSSDNQERQKLEDDFDSFTNNKANLLAKPLLEADVPFKIHIVKDHDMKERLCLEVERLGLSAVIMGSRGFGATRKMGGGKGGIVGGGRLGSVSDYCVQHCVCPVVVVRCNDDGKEGESVKIGGLGEEIEEGLHPVPEEDQEECVDDELKDA >Potri.016G045200.1.v4.1 pep chromosome:Pop_tri_v4:16:2888419:2891771:-1 gene:Potri.016G045200.v4.1 transcript:Potri.016G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045200.v4.1 METPRNPDDVDFGLGTSDRRYAYWRQSSFHQQSQEPKTPISIITNDATKPLLSRTVSSIDIPPEIDYSFDYQNDTIFEDPKRKLSDLDSVLSIFRVIRSGSRQMRRLFLMISLNVAYSTAELAIGLFTGRVGLVSDAFHLTFGCGLLTFSLFAMAASRRKSDHVYTYGYKRLEVLAAFTNALFLLFMSFSLAVEALHAFIQDESEHKHYLIVSAVTNLLVNLIGVWFFRNYARINIVYRKAEDMNFHSVCLHVIADSIRSAGLILASWFLSLGVENAEVLCLGLVSAAVVMLVLPLFKATGGILLQMAPPSIPSSALSKCLRQVSAREDVAEVSEARFWELVPGHVIGSISLQVKEGVDDRPILQWVHGLYHDLGVQDLTVQTNYD >Potri.003G004301.1.v4.1 pep chromosome:Pop_tri_v4:3:551588:552401:-1 gene:Potri.003G004301.v4.1 transcript:Potri.003G004301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004301.v4.1 MNPYEHKHLRFTYQASIVDFDFPNGWWYPSCPKCNKKLSGGEKNYTCMDHDAITSLPVPWFRLECIVTDGEDVTNFLIFGKTAENFFGSSAHHYVYDKKFIDPSVLPPAMAAKLNKSMIFQLRFGAFRSITNRCEVIITNIFDDTTNKSIHPLETPTPEPKSSATSKTSTPLSSMK >Potri.013G120800.1.v4.1 pep chromosome:Pop_tri_v4:13:12958255:12959993:-1 gene:Potri.013G120800.v4.1 transcript:Potri.013G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120800.v4.1 MELINEESAGEMLQAQSHVWNHIFNFINSMTLKCAVQLGIPDVIQKHGKPMTLSELVSALPIHPSKAQYVHRLMRILVHSGFFSQQNLNGIHNQEAYSLTQSTRLLLKDNPWSVRPLLLLLLDPVLTKPWDCLSTWFQNDDRNAFSVAHEKTFWEYAGQDARLNNLFNEAMASDSILASKLVVSKCKGIFDGVNSLVDVGGGLGTMTKGIAEAFPHMDCTVFDLPHVVSDLQGGKNLKYVGGDMFEAVPPADALLLKWILHDWSDEDCVKILKRCKQAIASKGQQKVGKVIIIDMVRENQNRDEGSIETQLLFDLEMMVAVSGMERNEKEWAKLFFDAGFLNYKIHPVLGTRALIELYP >Potri.008G212000.2.v4.1 pep chromosome:Pop_tri_v4:8:17515729:17517950:1 gene:Potri.008G212000.v4.1 transcript:Potri.008G212000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212000.v4.1 MSMCDVVTYNLMVSGLGKYGIPKQALYYYYEMVSLGIKESPSTFSSVLSICSSQDGFLRQGIQVHCRIIKLGCGSNLFIRTSLVGFYMQMGCFDLGFSLFDEMPERNLATWNLVLRGFCELGRFHELLRVYHEMKLDGVHPNGLSFCYLIRGCRNEKFFYEGKQLHCHIIKVGWARSNIFVANALVDFYSACKSLNDARKSFESIKVEDVISWNSIVSVYADCGLLFEAVELFYLMQFWGKPSIRSFVALLHLSSLNGNILFGKQIHCCVLKMGFDIGSFHVQSALIDMYGKCRYIESSVSAFESVPKKTTQICNSLMTSLLHCGIVYDVVEMYGLMVDEGIGLDEVTFSTTLKALSVSEFASMDSCRLVHCCAMKLGFGSDIAVSCSLIDAYSRCGHVQLSKKVFEQLPSPNVICFTSIINGLAQNGLGRECLQTFEAMIRKGLEPDKVTFLCVLTGCSHSGLFEEGRLIFYSMKAQYGICPAKEHFSCMVDILGRAGLLDEAEELTQKAPGRGDCVMWTSLLRSCRIYRNEIVGRRAAKALLELDPEDFSVYLQVSNFYSDIGEYESSMHIRELAIARKLTREIGRSFIEVNNPHIDWSSVQNKKTEDIRHRL >Potri.008G212000.3.v4.1 pep chromosome:Pop_tri_v4:8:17515635:17517924:1 gene:Potri.008G212000.v4.1 transcript:Potri.008G212000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212000.v4.1 MQMKSTNSKLRLHLVRTVTTLTEKIHRPVLSSAAAAATTTSSSSLVLESYLQPHINDTIYAKNRTLDSYIKSGNVTLAQKLFDEMSMCDVVTYNLMVSGLGKYGIPKQALYYYYEMVSLGIKESPSTFSSVLSICSSQDGFLRQGIQVHCRIIKLGCGSNLFIRTSLVGFYMQMGCFDLGFSLFDEMPERNLATWNLVLRGFCELGRFHELLRVYHEMKLDGVHPNGLSFCYLIRGCRNEKFFYEGKQLHCHIIKVGWARSNIFVANALVDFYSACKSLNDARKSFESIKVEDVISWNSIVSVYADCGLLFEAVELFYLMQFWGKPSIRSFVALLHLSSLNGNILFGKQIHCCVLKMGFDIGSFHVQSALIDMYGKCRYIESSVSAFESVPKKTTQICNSLMTSLLHCGIVYDVVEMYGLMVDEGIGLDEVTFSTTLKALSVSEFASMDSCRLVHCCAMKLGFGSDIAVSCSLIDAYSRCGHVQLSKKVFEQLPSPNVICFTSIINGLAQNGLGRECLQTFEAMIRKGLEPDKVTFLCVLTGCSHSGLFEEGRLIFYSMKAQYGICPAKEHFSCMVDILGRAGLLDEAEELTQKAPGRGDCVMWTSLLRSCRIYRNEIVGRRAAKALLELDPEDFSVYLQVSNFYSDIGEYESSMHIRELAIARKLTREIGRSFIEVNNPHIDWSSVQNKKTEDIRHRL >Potri.003G200750.1.v4.1 pep chromosome:Pop_tri_v4:3:20107953:20108704:-1 gene:Potri.003G200750.v4.1 transcript:Potri.003G200750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200750.v4.1 MVHMHQRLDVFCQKINFQALGRIREPRSVLSIKFYGSLLVHMIQSLEMISNLVEHLVWKRSLHVVLGLINIPGQHIC >Potri.010G033000.4.v4.1 pep chromosome:Pop_tri_v4:10:5533738:5536512:1 gene:Potri.010G033000.v4.1 transcript:Potri.010G033000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033000.v4.1 MNNQIDDSIKNQEAALLRVINVARFFKGDDVPCQIEEGLFLGSVGAATNKDALNSKNITHILTVANSLPPSFPNDFVYEVIGVTDRNDTNLRQYFDKCFNFIDEAKRQGGGVLVHCFVGRSRSVTIVVAYLMKRHGMRLSEALAHVKSKRPQAGPNSGFISQLQDFEKSLQGISS >Potri.007G107100.1.v4.1 pep chromosome:Pop_tri_v4:7:12983001:12986295:1 gene:Potri.007G107100.v4.1 transcript:Potri.007G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107100.v4.1 MTKFRKLNRPTGHRMSMLRTMVSQLIKHERIETTVAKAKEIRRLADNMVQLGKEGSLCASRRAAAFVRGDDVIHKLFSELAYRYKDRAGGYTRMLRTRIRVGDAAPMAYIEFIDRENELRQSKPPTPQPPQRAPMDPWTRSRLTRQFAPPKEEKSSDPEI >Potri.011G090900.1.v4.1 pep chromosome:Pop_tri_v4:11:11685376:11705824:-1 gene:Potri.011G090900.v4.1 transcript:Potri.011G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090900.v4.1 MDNGDIEGGGSEDEFHTKQSGRKYRPVVAHDPAVLEMSSVPPGSSSQEKTNTNSDRLNDNTNGSEREHRLELFGFDSLVNILGLKSMTGEQVAAPSSPRGDGEDAPVTFDRDRPGHNDLKLGTLMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLVLVAFCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEIGVSIGLCFFLGNAVAGALYVLGAVETFLNAFPAAGLFGEAITTFNGTEVAHPIQSPSSHDLQIYGIVVTILICFIVFGGVKMINRVAPAFLIPVLFSLFCIFIGIFLAKKDYPADGITGLSLESFKENWSSDYQFTNNAGIPDPEGKVYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLATTALYLVSVLLFGALATRDALLTDRLLTATVAWPFPAIIYVGIILSTLGAALQSMTGAPRLLAAIANDEILPVLNYFKVADGHEPHIATLFTAFICVGCVVIGNLDLITPTVTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKIHHWSLSLLGASLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHEHAEDAKAACKQLSTYIDYKCCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLKEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQMQYGTIDLYWIVRDGGLMLLLSQLLRTKKSFENCKIQVFCIAEEDSDAEELKADVKKFLYDLRMQAEVIVISMKSWDVQIEGGSQQDEWSESFTPAQQRIAGYLAEMKRAAQGDENKLMADGKPVVVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVHHPAYFYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >Potri.011G090900.2.v4.1 pep chromosome:Pop_tri_v4:11:11685411:11705816:-1 gene:Potri.011G090900.v4.1 transcript:Potri.011G090900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090900.v4.1 MDNGDIEGGGSEDEFHTKQSGRKYRPVVAHDPAVLEMSSVPPGSSSQEKTNTNSDRLNDNTNGSEREHRLELFGFDSLVNILGLKSMTGEQVAAPSSPRGDGEDAPVTFDRDRPGHNDLKLGTLMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLVLVAFCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEIGVSIGLCFFLGNAVAGALYVLGAVETFLNAFPAAGLFGEAITTFNGTEVAHPIQSPSSHDLQIYGIVVTILICFIVFGGVKMINRVAPAFLIPVLFSLFCIFIGIFLAKKDYPADGITGLSLESFKENWSSDYQFTNNAGIPDPEGKVYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLATTALYLVSVLLFGALATRDALLTDRLLTATVAWPFPAIIYVGIILSTLGAALQSMTGAPRLLAAIANDEILPVLNYFKVADGHEPHIATLFTAFICVGCVVIGNLDLITPTVTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKIHHWSLSLLGASLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHEHAEDAKAACKQLSTYIDYKCCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLKEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQMQYGTIDLYWIVRDGGLMLLLSQLLRTKKSFENCKIQVFCIAEEDSDAEELKADVKKFLYDLRMQAEVIVISMKSWDVQIEGGSQQDEWSESFTPAQQRIAGYLAEMKRAAQGDENKLMADGKPVVVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVHHPAYFYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >Potri.011G090900.3.v4.1 pep chromosome:Pop_tri_v4:11:11685609:11705814:-1 gene:Potri.011G090900.v4.1 transcript:Potri.011G090900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090900.v4.1 MDNGDIEGGGSEDEFHTKQSGRKYRPVVAHDPAVLEMSSVPPGSSSQEKTNTNSDRLNDNTNGSEREHRLELFGFDSLVNILGLKSMTGEQVAAPSSPRGDGEDAPVTFDRDRPGHNDLKLGTLMGVFVPCLQNILGIIYYIRFSWIVGMAGIGESLVLVAFCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEIGVSIGLCFFLGNAVAGALYVLGAVETFLNAFPAAGLFGEAITTFNGTEVAHPIQSPSSHDLQIYGIVVTILICFIVFGGVKMINRVAPAFLIPVLFSLFCIFIGIFLAKKDYPADGITGLSLESFKENWSSDYQFTNNAGIPDPEGKVYWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLATTALYLVSVLLFGALATRDALLTDRLLTATVAWPFPAIIYVGIILSTLGAALQSMTGAPRLLAAIANDEILPVLNYFKVADGHEPHIATLFTAFICVGCVVIGNLDLITPTVTMFYLLCYAGVNLSCFLLDLLDAPSWRPRWKIHHWSLSLLGASLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHEHAEDAKAACKQLSTYIDYKCCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLKEIPATFVGIINDCIVANKAVVIVKGLDEWPNEYQMQYGTIDLYWIVRDGGLMLLLSQLLRTKKSFENCKIQVFCIAEEDSDAEELKADVKKFLYDLRMQAEVIVISMKSWDVQIEGGSQQDEWSESFTPAQQRIAGYLAEMKRAAQGDENKLMADGKPVVVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVHHPAYFYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >Potri.005G068700.2.v4.1 pep chromosome:Pop_tri_v4:5:4447987:4452650:-1 gene:Potri.005G068700.v4.1 transcript:Potri.005G068700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068700.v4.1 MDTETSSFETSEMLATFLASTPLLPESWRLCNLANANSPQGFVAEQIGSIGYVAFSGIESVSGSDPSFKNLVPLPDGGNSMFHPLHHQTEGEEPVLVQGALLRIFENIYKDPSFQNQMQTLMQTSKSIVFTGHSVGGATASLAALWLLSYLQSNFLNLSVLCITFGSPLLGNETLSRAILREKWGGKFCHVVSKYDIMPRMLFVPMDPIAPLLKPLLHFWHMYMNSPHFGLLAVPLSDDSMTQIFQHVLFHLGRLVEAGEEAVTGGMLRPFGNYFFCSEDGAICVDNAASVVKMMYLLFATGLPSSSIGDHLKYGDYVGKISLQFLEKRSFMQGELPESSYEAGVVLALQSTGISCKEQIAGPAKDCLKAARRLGRTPNLNCANLAIKLSKINPYRAEIEWYKALCDRSDDQMGYYDSFKQRGASKRDFKVNLNRHKLAQFWDNVINLFESNQLPHDFHRQGKWVNASQFYKLLVEPLDIAEYYRTGMHRSKGHYIEHGRERRYRIFDRWWKERSVRGENYKRSKFASLTQDTCFWARVEEARDLLDALRSTSDPSHLALLWQKIDSFASDANALVETKEVSIDVVAKNSTYSLWLKDYNELKSQKVQFRPLFLSFVNEEMVP >Potri.019G014388.1.v4.1 pep chromosome:Pop_tri_v4:19:2371685:2372850:1 gene:Potri.019G014388.v4.1 transcript:Potri.019G014388.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014388.v4.1 MGNGSSSGSGPDDQGFETILSIDGGGVRGIVPSVVLTALEAKLQKLDVDNKDARIQCGCVRVVTINFYL >Potri.010G254500.1.v4.1 pep chromosome:Pop_tri_v4:10:22563252:22568167:-1 gene:Potri.010G254500.v4.1 transcript:Potri.010G254500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254500.v4.1 MDLDKWIAKVKEGQHLLEDDLQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNYINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNHMRGPRTGVPYFL >Potri.005G052400.1.v4.1 pep chromosome:Pop_tri_v4:5:3305038:3309932:-1 gene:Potri.005G052400.v4.1 transcript:Potri.005G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G052400.v4.1 MAEEQRVTIPRVKLGSQGLEVSKLGFGCTGLSGIYKAPPPEEVSISIIKYAFNKGITFFDTSDAYGPHTNEILIGKALKHLPREKIQLATKFGFVTSSDFKGTAINGSPEYVRASCDASLKRLCVDYIDLYYQHRVDTSIPIEETMGELKKLVKEGKIKYIGLSEASPDTIKRAHAVHPISAVQMEWSLWSRDIEEEIIPLCRDLGIAVVPYCPIGRGFFGGRGVVESLPADDKLKSHPRFTDENIEKNKVFYFRIEKLAAKRGCTPAQLALAWVLNQGDDVVPIPGTSKIKNLDDNIGSLQVKLTKDDLKEISDAVPVKEVVGLRNKDFHLTWKFANTPPKTSQVST >Potri.015G141100.1.v4.1 pep chromosome:Pop_tri_v4:15:14759546:14761095:1 gene:Potri.015G141100.v4.1 transcript:Potri.015G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141100.v4.1 MASPLPVEQNSGETPPSPLAAAAGGETSRSIPTPFLTKTFKIVDDHTIDDVISWNEDGSSFVVWNPTLFSRDLLPKFFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNECFRKGEKNLLCEIQRRKLVATVAQVVAAPAAVKVIKTSSNSSSDEQVVISTRSSSPGLSLELLDENERLRKENVRLKGELTEMKSLCGNIFSLVSDFESLREEEEEEVRKVLDLLPMKEEVGDGARIFGVEVGVKRGRELSGDMEVEEDGMQLRLRQPGGGSSDGVKIEVNGSRNGDR >Potri.014G029300.1.v4.1 pep chromosome:Pop_tri_v4:14:1853661:1855261:1 gene:Potri.014G029300.v4.1 transcript:Potri.014G029300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029300.v4.1 MATVQLKSDFIFELLKRFLQTDEGIAVKNKVNLVYQFNLAPEKIGKDEVSYTIDLKKGEVIKGPYEGGKPDTTFTLRDEDFVKLADGKLNPQIAFMRGALKIKGSLSAAQKFTPDIFPKRPKL >Potri.015G028900.2.v4.1 pep chromosome:Pop_tri_v4:15:2209704:2216716:1 gene:Potri.015G028900.v4.1 transcript:Potri.015G028900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028900.v4.1 METTPSESSSTPSTTVPKKKQGLGWVEWLRGWMYILYEMLFQRIMASHLQNPLSLPPINNLTCIVTGSTSGIGLQIARQLAESGAHVVMAVRNTKAAHDLIHKWQSEWTGLGLPLNIEVMELDLLSLESVSRFAEAWNARMGPLHVLINNAGIFSIRGPQKFSKDGYEEHMQVNHLAPALLSILLLPSLIRGSPSRIINVNSVMHYVGFVDTEDMNVISGKRKYTSLVGYTGSKLAQVMFSSVLHRRLPAESGISVLCVSPGVVQTNVARDLPKIVQAGYHLIPYFIFNAQEGSRSALFSATDPQIPEYCELLKSDDWPVCAFISQDCRPTNPSEEAHNIDTAYEVWEKTLEMIGLPSDAIEKLIEGEEVRCRYGERQE >Potri.005G255100.1.v4.1 pep chromosome:Pop_tri_v4:5:24650425:24652687:1 gene:Potri.005G255100.v4.1 transcript:Potri.005G255100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255100.v4.1 METSLRYGVDSKALKIHAKERFAIDSSTHLQVHGELDTRIGAPSYVSAMIRHFYPDLSACLGVGLQYDKHEKLRYFVRGKKGFPVTNDGLISFNVKGRCDVDKEFKQRNSKAAADVSWSIYNFHREQDVRFRIGYEVITKVPYLQIKENNWTLNADMNGKWNVKFDL >Potri.005G255100.2.v4.1 pep chromosome:Pop_tri_v4:5:24650446:24652714:1 gene:Potri.005G255100.v4.1 transcript:Potri.005G255100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255100.v4.1 METSLRYGVDSKALKIHAKERFAIDSSTHLQVHGELDTRIGAPSYVSAMIRHFYPDLSACLGVGLQYDKHEKLRYFVRGKKGFPVTNDGLISFNVKGRCDVDKEFKQRNSKAAADVSWSIYNFHREQDVRFRIGYEVITKVPYLQIKENNWTLNADMNGKWNVKFDL >Potri.007G074466.1.v4.1 pep chromosome:Pop_tri_v4:7:9794668:9799091:1 gene:Potri.007G074466.v4.1 transcript:Potri.007G074466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074466.v4.1 MALQTDLPANRMQNFRPLADFPPTEWGFSFVSFSFPEMEFESYNRQVEELKNIVMGMLMASKKDAVENVEFINLLCRLGVSYHFETEIEDQVDYVHDALPSFLENNDHDLHTVALLFRVLRQYGCKVSSDVFKKFKDTNGEFKKTITSDVKGNLSLHEAAHLSVNGEQILDEALEFSRTNLESLATQSGPRLARHIKYALIRPIHKTVQRLEAREYISFYEEEDFRNETLLKFAKLDFNRVQLLHQQELSTLSSWWKDLNLVEELPYARDRIVEMYFWVNAMHFEPQYALARILSTKLGALITVIDDTYDAYSTNEELQHFTKAVIRCNIDAIDQLPTDSMKALYRALLSYFDDVANEVSKNGKSFTAVNYVKEEMKEMIRTYIVEAQWCNDRFVPPLNEYVRNGKISIGFMATTTVFFVVETARIKELEWLTSKAKISEAGCLFLRLMNDIVTHEFEQKREHCASAIECYMKEYGVSMNEAVKELQKTCADAWKDINEDCLKPTAISMNLLNVCVNNARATDVVYESNDAYTNASCLKGRISLLFVEKIPLQS >Potri.003G050600.1.v4.1 pep chromosome:Pop_tri_v4:3:7363364:7371419:-1 gene:Potri.003G050600.v4.1 transcript:Potri.003G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G050600.v4.1 MACHFPSSSSLHPKTTIKPHQIPLRTITYPSIRCKKQDPILENDNKTENTNKKTHFSFLSLPITLTIISTSLTPHPAFAATPTPKTYHKKKTLKKTQQEALTPDQLKQWSQNLPVVSNRIPYTQVLILKENNKLKHVIKAPNASLKQRPEAVLVVLDDNQVFRTVLPSLESNRRFWDSWDEFKIDALCVNAYSPPVKRPELPKPYLGFLWKVPEFMLSRLKPKKESKRAMELRMAREEFKRQRKEELKKMREEREIIEKAIKMQKKDEDRRRKRETRTKKYEESLRDARKNYTRMASMWANLAQDSNVTTLLGLVFFVIFYRTVVLSYRKQKKDYDDRLKIEKADAEERKKMRELERELMGIEEEEEDESVPGKAEQNPYLKMAMQFMKSGARVRRAHNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILKVHARKKPMADDVDYMAVASMTDGMVGAELANIIEVAAINMMRDGRTEITTDDLLQAAQIEERGMLDRKERSPETWKQVAINEAAMAVVAVNFPDLRNIEFVTIAPRAGRELGYVRMKMDHVKFKEGMLSRQSLLDHITVQLAPRAADELWYGEGQLSTIWAETADNARSAARSYVLGGLSEKHHGLSNFWAADRINEIDLEALRVMNFCYDGAKEILQQNRKLMDAVVDELVRKKSLTKQEFFNLVELHGVIKPMPPSILYIRVAKRAQFQEMLVHQNETTITSNARATCQESSI >Potri.003G050600.3.v4.1 pep chromosome:Pop_tri_v4:3:7363388:7371413:-1 gene:Potri.003G050600.v4.1 transcript:Potri.003G050600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G050600.v4.1 MACHFPSSSSLHPKTTIKPHQIPLRTITYPSIRCKKQDPILENDNKTENTNKKTHFSFLSLPITLTIISTSLTPHPAFAATPTPKTYHKKKTLKKTQQEALTPDQLKQWSQNLPVVSNRIPYTQVLILKENNKLKHVIKAPNASLKQRPEAVLVVLDDNQVFRTVLPSLESNRRFWDSWDEFKIDALCVNAYSPPVKRPELPKPYLGFLWKVPEFMLSRLKPKKESKRAMELRMAREEFKRQRKEELKKMREEREIIEKAIKMQKKDEDRRRKRETRTKKYEESLRDARKNYTRMASMWANLAQDSNVTTLLGLVFFVIFYRTVVLSYRKQKKDYDDRLKIEKADAEERKKMRELERELMGIEEEEEDESVPGKAEQNPYLKMAMQFMKSGARVRRAHNKRLPQYLERGVDVKFSDVAGLGKIRLELEEIVKFFTHGEMYRRRGVKIPGGILLCGPPGVGKTLLAKAVAGEAGVNFFSISASQFVEIYVGVGASRVRALYQEAKENAPSVVFIDELDAVGRERGLIKGSGGQERDATLNQLLVSLDGFEGRGEVITIASTNRPDILDPALVRPGRFDRKIFIPKPGLIGRMEILKVHARKKPMADDVDYMAVASMTDGMVGAELANIIEVAAINMMRDGRTEITTDDLLQAAQIEERGMLDRKERSPETWKQVAINEAAMAVVAVNFPDLRNIEFVTIAPRAGRELGYVRMKMDHVKFKEGMLSRQSLLDHITVQLAPRAADELWYGEGQLSTIWAETADNARSAARSYVLGGLSEKHHGLSNFWAADRINEIDLEALRVMNFCYDGAKEILQQNRKLMDAVVDELVRKKSLTKQEFFNLVELHGVIKPMPPSILYIRVAKRAQFQEMLVHQNETTITSNARATCQESSI >Potri.013G064900.2.v4.1 pep chromosome:Pop_tri_v4:13:4821618:4822462:-1 gene:Potri.013G064900.v4.1 transcript:Potri.013G064900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064900.v4.1 MCYFVYEINELEPVNHHLASLRGCLAAPSSAGRRKRAWSESDEDEISERKPQSSLLRENSADLQNSDGEFRDKRQENAAVDDED >Potri.010G216800.1.v4.1 pep chromosome:Pop_tri_v4:10:20379017:20382199:1 gene:Potri.010G216800.v4.1 transcript:Potri.010G216800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216800.v4.1 MTSMSNNIAGQFGDTTLTKVFVGGLAWETPKDAMREHFEKYGEILEAVIISDKITGRSKGYGFVTFKEAESAKKACEDASPIINGRRANCNLASLGARRPRSATPAPPQQGPNINAGPRSTPAAPANHVQWYYPAGSAAAASPFHHQHHQAVPFYGYSPAYIATDTSGNHKLNYTGGSYMNGHFSQVYPGQAMVGANTLMPMYPFYHFHQSQAMGLPAAHIFPPTTAGPMTTVPTIMSKPPSIAPPSAVCLAVE >Potri.001G370366.1.v4.1 pep chromosome:Pop_tri_v4:1:38826625:38830363:1 gene:Potri.001G370366.v4.1 transcript:Potri.001G370366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370366.v4.1 MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQDTKEELLKRNLREELEERERRHFSSKDKSYNDDRDRRKGNHLLLEGTKRDTEDRIIPRSVDADDSDVEVNNDDDSDDDDDDDEDDTEALMAELERIKKERAEEKLRQDQLQVAEELKAKEEQLLRGNPLLNNTTTTFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRNDFHRKFLQKYMK >Potri.001G370366.2.v4.1 pep chromosome:Pop_tri_v4:1:38826583:38829986:1 gene:Potri.001G370366.v4.1 transcript:Potri.001G370366.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370366.v4.1 MTTAARPTWAPAKGGNEQGGTRIFGPSQKYSSRDLAAHTTLKPRKEGQDTKEELLKRNLREELEERERRHFSSKDKSYNDDRDRRKGNHLLLEGTKRDTEDRIIPRSVDADDSDVEVNNDDDSDDDDDDDEDDTEALMAELERIKKERAEEKLRQDQLQVAEELKAKEEQLLRGNPLLNNTTTTFNVKRRWDDDVVFKNQARGETKTPKRFINDTIRNDFHRKFLQKYMK >Potri.014G157800.1.v4.1 pep chromosome:Pop_tri_v4:14:11152876:11158641:1 gene:Potri.014G157800.v4.1 transcript:Potri.014G157800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157800.v4.1 MADIISHPPMDQLQDLEYCIDSNPPWAETIILAFQNYIVMLGTSVMIPSVLVPAMGGTDGDKARVIQTLLFVAGINTLLQALFGTRLPAVVGGSYAYVVPIAYIIRDTSLQRITDGHERFIQTMRAIQGALIVASSIQIILGYSQVWGLFSRFFSPLGMAPVVGLVGLGLFQRGFPALGNCVEIGIPMLLLVIGLSQYLKHVRLSRNFPIFERFPVLICIAFVWIYAIILTASGAYREKRLITQNSCRTDRANLISTAPWFKFPYPLQWGPPTFSAGHSFAMMSAVLVSMVESTGAYKAASRLAIATPPPAYVLSRGIGWQGIGILLDGLFGTGTGSTVSVENVGLLGLTRVGSRRVVQISAGFMIFFSILGKFGAVFASIPFPIFAALYCVLFGLVASVGLSFLQFTNMNSMRNLIITGLSLFLGISIPQFFNEYWNPTHNGLVHTHAGWFNAFLNAIFSSPATVGLIVAVLLDNTIEVERSKKDRGMQWWVKFRTFRGDNRNEEFYTLPFNLNRFFPPT >Potri.018G114401.1.v4.1 pep chromosome:Pop_tri_v4:18:13111812:13112258:-1 gene:Potri.018G114401.v4.1 transcript:Potri.018G114401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114401.v4.1 MASISEPNSPSSSSLKHKLKSTLCSFQKHNHHHHHHVTFNNSEKHIHQKHDRYAVQEAMNAESHGDIRGNKTSYLSCIGKHGHRHGRGHRRRHTMSANFHYDATSYALNFDEGKGNDDGTRSCDSRLMDFSSRLPPSPTRDIDIYIYG >Potri.004G014574.1.v4.1 pep chromosome:Pop_tri_v4:4:994952:995918:1 gene:Potri.004G014574.v4.1 transcript:Potri.004G014574.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014574.v4.1 MSVIKLLVHLSSFLVAHLFISGAVSTTFTITNKCSYTVWPGIYSSAGSAALSPTGFSLEKNESKTLTAPDSWSGRFWGRTYCTEDSSGNFSCISGDCGSGKLECLGNGSAPPVTLAEFTIGGFDGLDYFDVSLVDGFNLPLLVVPSRQNCTSTGCVVDLNGVCPPELTVNSSDGKIAGCSSACEAFNSPQYCCTGEYETPSTCKPTSYSQNFKKKCPSTYTYPYDDNTATFSCASSDYQIVFCAGKFTIFQSCSFLSLIFCF >Potri.005G150300.5.v4.1 pep chromosome:Pop_tri_v4:5:12809785:12811648:-1 gene:Potri.005G150300.v4.1 transcript:Potri.005G150300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150300.v4.1 MCYRERCSTCGKTTWGGCGRHVPSVYRGIPEGQHCLCREWPGVDPNNPKGNELEL >Potri.018G006900.1.v4.1 pep chromosome:Pop_tri_v4:18:533413:535135:-1 gene:Potri.018G006900.v4.1 transcript:Potri.018G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006900.v4.1 MAFRGKEMMKKIMSKIGGEKNLAPGVKEALKKAIPNSKVVMNRAKRGLFAGRHIQFGNQISEDGGNKSRRSWKPNVQDKRLFSYILDRHVRVKVTTHAIRCIDKAGGIDEYLLKTPYHKMDTEMGILWKAKIEKLYEELGNMEVVFFTPEAEADLDQDFKDMRLEQREARKQLRRQIYGWSDKQKQIEEQQKEDLDKQKQIEEQQEDLNPNSWGGNSHDIFNNRGSSYY >Potri.012G037700.2.v4.1 pep chromosome:Pop_tri_v4:12:3375660:3377422:-1 gene:Potri.012G037700.v4.1 transcript:Potri.012G037700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037700.v4.1 MAMQLSMESSRVGELDLEECSNSNKAKRRKKKPISNQEEDKEKDANVIGRSKKKKKKKEGTKTSLHSDTTSPYYNKFDEAYERKTAENTWKPPQSEFGFLHNHAHDPWRVLVICMLLNRTAGTRAERVVADLFTLCPDAKAATGVATEEIERAIKSLGLQKRRAKMVQRLSEDYLEEDWTHVTQLPGVGKYAADAYAIFCTGKWEQVRPNDHMLNRYWEYLCSTKNALS >Potri.017G076400.14.v4.1 pep chromosome:Pop_tri_v4:17:8484736:8503304:1 gene:Potri.017G076400.v4.1 transcript:Potri.017G076400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076400.v4.1 MEKKVLSAPMGQILDRLQAFGEFEVMYFGDKVILEDPIESWPICDCLIAFYSTGYPLEKAEAYATLRKPFLVNELVPQHLLHDRRKVYERAEMFGIPVPRYALVNREFPFQELDYFIEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSAAGGGMKELFRKVGNRSSDFHQDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGRFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSAAKIVHSNGSSECPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDEELTETSSYEVIPPYDQAKALGKINIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVSQLLADGVIPNEYGINPKQRLKIGSKIARRLLGKILIDLRNTREEAISVAELKCNEDQQSTSKKSEKEDTDYQLKLSIKNDDVRRTSTTSDISMDQDDDDDKETKYRLDPKYANVKTPGRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQNALERLYKTKELDYMSYIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLEEHTLPIMGPERLQEVGSYPTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAVLERLVNLWPFHKHDKHASANGK >Potri.017G076400.10.v4.1 pep chromosome:Pop_tri_v4:17:8484758:8503303:1 gene:Potri.017G076400.v4.1 transcript:Potri.017G076400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076400.v4.1 MEKKVKCGSEVLSAPMGQILDRLQAFGEFEVMYFGDKVILEDPIESWPICDCLIAFYSTGYPLEKAEAYATLRKPFLVNELVPQHLLHDRRKVYERAEMFGIPVPRYALVNREFPFQELDYFIEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSAAGGGMKELFRKVGNRSSDFHQDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGRFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSAAKIVHSNGSSECPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDEELTETSSYEVIPPYDQAKALGKINIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVSQLLADGVIPNEYGINPKQRLKIGSKIARRLLGKILIDLRNTREEAISVAELKCNEDQQSTSKKSEKEDTDYQLKLSIKNDDVRRTSTTSDISMDQDDDDDKETKYRLDPKYANVKTPGRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQNALERLYKTKELDYMSYIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLENDSEATSLHQEHTLPIMGPERLQEVGSYPTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAVLERLVNLWPFHKHDKHASANGK >Potri.017G076400.13.v4.1 pep chromosome:Pop_tri_v4:17:8484685:8503304:1 gene:Potri.017G076400.v4.1 transcript:Potri.017G076400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076400.v4.1 MEKKVKCGSEVLSAPMGQILDRLQAFGEFEVMYFGDKVILEDPIESWPICDCLIAFYSTGYPLEKAEAYATLRKPFLVNELVPQHLLHDRRKVYERAEMFGIPVPRYALVNREFPFQELDYFIEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSAAGGGMKELFRKVGNRSSDFHQDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGRFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSAAKIVHSNGSSECPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDEELTETSSYEVIPPYDQAKALGKINIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVSQLLADGVIPNEYGINPKQRLKIGSKIARRLLGKILIDLRNTREEAISVAELKCNEDQQSTSKKSEKEDTDYQLKLSIKNDDVRRTSTTSDISMDQDDDDDKETKYRLDPKYANVKTPGRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQNALERLYKTKELDYMSYIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLEEHTLPIMGPERLQEVGSYPTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAVLERLVNLWPFHKHDKHASANGK >Potri.017G076400.12.v4.1 pep chromosome:Pop_tri_v4:17:8484688:8503304:1 gene:Potri.017G076400.v4.1 transcript:Potri.017G076400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076400.v4.1 MEKKVLSAPMGQILDRLQAFGEFEVMYFGDKVILEDPIESWPICDCLIAFYSTGYPLEKAEAYATLRKPFLVNELVPQHLLHDRRKVYERAEMFGIPVPRYALVNREFPFQELDYFIEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSAAGGGMKELFRKVGNRSSDFHQDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGRFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSAAKIVHSNGSSECPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDEELTETSSYEVIPPYDQAKALGKINIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVSQLLADGVIPNEYGINPKQRLKIGSKIARRLLGKILIDLRNTREEAISVAELKCNEDQQSTSKKSEKEDTDYQLKLSIKNDDVRRTSTTSDISMDQDDDDDKETKYRLDPKYANVKTPGRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQNALERLYKTKELDYMSYIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLENDSEATSLHQEHTLPIMGPERLQEVGSYPTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAVLERLVNLWPFHKHDKHASANGK >Potri.017G076400.11.v4.1 pep chromosome:Pop_tri_v4:17:8484683:8503312:1 gene:Potri.017G076400.v4.1 transcript:Potri.017G076400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076400.v4.1 MEKKVLSAPMGQILDRLQAFGEFEVMYFGDKVILEDPIESWPICDCLIAFYSTGYPLEKAEAYATLRKPFLVNELVPQHLLHDRRKVYERAEMFGIPVPRYALVNREFPFQELDYFIEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSAAGGGMKELFRKVGNRSSDFHQDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGRFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSAAKIVHSNGSSECPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDEELTETSSYEVIPPYDQAKALGKINIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVSQLLADGVIPNEYGINPKQRLKIGSKIARRLLGKILIDLRNTREEAISVAELKCNEDQQSTSKKSEKEDTDYQLKLSIKNDDVRRTSTTSDISMDQDDDDDKETKYRLDPKYANVKTPGRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQNALERLYKTKELDYMSYIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLEKNDSEATSLHQEHTLPIMGPERLQEVGSYPTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAVLERLVNLWPFHKHDKHASANGK >Potri.017G076400.9.v4.1 pep chromosome:Pop_tri_v4:17:8484672:8503341:1 gene:Potri.017G076400.v4.1 transcript:Potri.017G076400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076400.v4.1 MEKKVKCGSEVLSAPMGQILDRLQAFGEFEVMYFGDKVILEDPIESWPICDCLIAFYSTGYPLEKAEAYATLRKPFLVNELVPQHLLHDRRKVYERAEMFGIPVPRYALVNREFPFQELDYFIEEEDFVEVHGSRFWKPFVEKPVDGDDHSIMIYYPSAAGGGMKELFRKVGNRSSDFHQDVRRVRREGSYIYEEFMPTGGTDVKVYTVGPEYAHAEARKSPVVDGVVMRNPDGKEVRYPVLLTPNEKQMARDVCIAFRQAVCGFDLLRCEGRSYVCDVNGWSFVKNSYKYYDDSACVLRKMLLDAKAPHLSSAIPPTLPWKVNEPVQPSEGLTRQGSGIIGRFGQSEELRCVIAIIRHGDRTPKQKVKLKVTEEKLLNLMLKYNGGRPRSETKLKSAVQLQDLLDATRILVPRTRPGRESDSEAEDFEHAEKLRQVKAVLEEGGHFSGIYRKVQLKPLKWVKVPKSNGEGEEERPVEALMVLKYGGVLTHAGRKQAEELGRYFRNNMYPGEGTGLLRLHSTYRHDLKIYSSDEGRVQMSAAAFAKGLLDLEGQLTPILVSLVSKDSSMLDGLDNASSEMEEAKARLNEIITSAAKIVHSNGSSECPWMTDGAGLPSNASELLPKLVTLTKKVTEQVRLLAKDEDEELTETSSYEVIPPYDQAKALGKINIDIDRIAAGLPCGSEGFLLMYARWKKLERDLYNERKVRFDITQIPDVYDSCKYDLLHNAHLNLEGLDELFKVSQLLADGVIPNEYGINPKQRLKIGSKIARRLLGKILIDLRNTREEAISVAELKCNEDQQSTSKKSEKEDTDYQLKLSIKNDDVRRTSTTSDISMDQDDDDDKETKYRLDPKYANVKTPGRHVRTRLYFTSESHIHSLMNVLRYCNLDESLQGEDSLVCQNALERLYKTKELDYMSYIVLRMFENTEVALEDPKRFRIEMTFSRGADLSPLEKNDSEATSLHQEHTLPIMGPERLQEVGSYPTLEKMEMMFRPFAMPAEDFPPPSTPAGFSGYFSKSAVLERLVNLWPFHKHDKHASANGK >Potri.006G070600.2.v4.1 pep chromosome:Pop_tri_v4:6:5150621:5151472:1 gene:Potri.006G070600.v4.1 transcript:Potri.006G070600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070600.v4.1 MKVNKICQIVRFKLFIHRWKLRSLGTLRRSHQKSGALTKKTPPAGYLAVYVGMQEKRFLIPTRFLNMPVFVGLLKKTEEEFGFKCNGGLVLLCEVEFFEEVLRLLDKDETRFARFGLEDYFKIVSCEVGFDSCKETTYVFTPLLEKARV >Potri.002G055400.12.v4.1 pep chromosome:Pop_tri_v4:2:3776429:3780609:-1 gene:Potri.002G055400.v4.1 transcript:Potri.002G055400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055400.v4.1 MNHCIPDWNLEGDLPVSNQKNPIEPDNELVELLWRNGQVVMHSQTQRKPNPHVQKHDSPTVRGYDSTLNSSHLIQDDETVSWIHDPLEDSFEKEFCSNFFSELPPPLSDQISEEKSAKFDASKTSHQQQQLNNNKHPVVPEFPGNPMPPPRIQVQEQNHISVGGFGKAVNVNFSQFSAPLKVGDFRSSSRQFGGQGSGDFSQGEARECSVVTVGSSNQTPRDRFLSRASGNAIETGTGLSAGPSIDDPRKVISQSERGKGDQTLDPTATSSSGGSGSSFARTCKQSAVPSRGQKRKTMDAEESECQSEDAELDSAVANKPAKRSGSTRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQVMWMGSGIVPVMFPGVQHFMSRMGMGMGMGPPPLPSMQNPMHLPRVPLVDQSISMTPTQNQAVICQTPVLNPVNYQNQMQNPTFSDQYARFMGFHMQAASQPMNMFRFGSQTVQQNQMMAPPNSGGGPLSAGTAASDAPPSGKTG >Potri.002G055400.8.v4.1 pep chromosome:Pop_tri_v4:2:3776440:3780647:-1 gene:Potri.002G055400.v4.1 transcript:Potri.002G055400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055400.v4.1 MNHCIPDWNLEGDLPVSNQKNPIEPDNELVELLWRNGQVVMHSQTQRKPNPHVQKHDSPTVRGYDSTLNSSHLIQDDETVSWIHDPLEDSFEKEFCSNFFSELPPPLSDQISEEKSAKFDASKTSHQQQQLNNNKHPVVPEFPGNPMPPPRIQVQEQNHISVGGFGKAVNVNFSQFSAPLKVGDFRSSSRQFGGQGSGDFSQGEARECSVVTVGSSNQTPRDRFLSRASGNAIETGTGLSAGPSIDDPRKVISQSERGKGDQTLDPTATSSSGGSGSSFARTCKQSAVPSRGQKRKTMDAEESECQSEDAELDSAVANKPAKRSGSTRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQVMWMGSGIVPVMFPGVQHFMSRMGMGMGMGPPPLPSMQNPMHLPRVPLVDQSISMTPTQNQAVICQTPVLNPVNYQNQMQNPTFSDQYARFMGFHMQAASQPMNMFRFGSQTVQQNQMMAPPNSGGGPLSAGTAASDAPPSGKTG >Potri.002G055400.13.v4.1 pep chromosome:Pop_tri_v4:2:3776436:3780449:-1 gene:Potri.002G055400.v4.1 transcript:Potri.002G055400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055400.v4.1 MNHCIPDWNLEGDLPVSNQKNPIEPDNELVELLWRNGQVVMHSQTQRKPNPHVQKHDSPTVRGYDSTLNSSHLIQDDETVSWIHDPLEDSFEKEFCSNFFSELPPPLSDQISEEKSAKFDASKTSHQQQQLNNNKHPVVPEFPGNPMPPPRIQVQEQNHISVGGFGKAVNVNFSQFSAPLKVGDFRSSSRQFGGQGSGDFSQGEARECSVVTVGSSNQTPRDRFLSRASGNAIETGTGLSAGPSIDDPRKVISQSERGKGDQTLDPTATSSSGGSGSSFARTCKQSAVPSRGQKRKTMDAEESECQSEDAELDSAVANKPAKRSGSTRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQVMWMGSGIVPVMFPGVQHFMSRMGMGMGMGPPPLPSMQNPMHLPRVPLVDQSISMTPTQNQAVICQTPVLNPVNYQNQMQNPTFSDQYARFMGFHMQAASQPMNMFRFGSQTVQQNQMMAPPNSGGGPLSAGTAASDAPPSGKTG >Potri.002G055400.11.v4.1 pep chromosome:Pop_tri_v4:2:3776055:3780609:-1 gene:Potri.002G055400.v4.1 transcript:Potri.002G055400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055400.v4.1 MNHCIPDWNLEGDLPVSNQKNPIEPDNELVELLWRNGQVVMHSQTQRKPNPHVQKHDSPTVRGYDSTLNSSHLIQDDETVSWIHDPLEDSFEKEFCSNFFSELPPPLSDQISEEKSAKFDASKTSHQQQQLNNNKHPVVPEFPGNPMPPPRIQVQEQNHISVGGFGKAVNVNFSQFSAPLKVGDFRSSSRQFGGQGSGDFSQGEARECSVVTVGSSNQTPRDRFLSRASGNAIETGTGLSAGPSIDDPRKVISQSERGKGDQTLDPTATSSSGGSGSSFARTCKQSAVPSRGQKRKTMDAEESECQSEDAELDSAVANKPAKRSGSTRRSRAAEVHNLSERRRRDRINEKMRALQELIPHCNKTDKASMLDEAIEYLKSLQLQLQVMWMGSGIVPVMFPGVQHFMSRMGMGMGMGPPPLPSMQNPMHLPRVPLVDQSISMTPTQNQAVICQTPVLNPVNYQNQMQNPTFSDQYARFMGFHMQAASQPMNMFRFGSQTVQQNQMMAPPNSGGGPLSAGTAASDAPPSGKTG >Potri.004G104201.3.v4.1 pep chromosome:Pop_tri_v4:4:9144553:9154688:-1 gene:Potri.004G104201.v4.1 transcript:Potri.004G104201.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104201.v4.1 MLTRRYNLEGSDFNNLKAHCFSNSSSLNSLLDDWRKDPNIDFFRGLVRNNIVEILEKDRNYQLQLQGWDYVNVLENQLFFKAVSEEEYINLDTLRNRLQSLILQQHNDANCGQQGSKLTAMQTLGLTQGCSSDEGNPIFNSYPIIGNNNYNLMGAEEQDHFGFQKGLFNNEFNLGTTSMYNAFTVASKQIGNEMDSSPDINSSITTISCGDGFPETGTFDSGPVFSQGDHPYHLEAFKDIFQQQHFDQSNLNLVKLGSLTKNENHYGMPLSTLGECLEYSNSILQIPDYSGVSSILPSSSTRFGITNSTPDKLLESRAQVLEQTQQQSCQLYGQFNKALEVKVPSHLKDHNKSCRPTSGHKSMHSQLPLPSEQSHGVRVPSKSSAPLQKVANLNNLPFFSSREKVLAAYVNSKRSTIPMVDCLDSFLKHFHSTVCDNSKCYCESLRPLLLHFDNCLQTDCLVCAPSRILCKTDKLGQNSKEVKSGHKREIIDTDSSGYGSCCSGDKMPPSKCQKMEKYSYYFSSGDGIASVVAPFLVQSDGLGGPLPLKQLPESPVSINSEFFGVNNESLMNSMENPTSSDQIRSKAADSYPRLICESVSAPFKEHIVGCSSGEMDSRSSSGVADVMKGDCNQLMNNCMPIVSEEVGAAFNKEAIQVISKFHLAKPAIEHELNATVAEHEDGMKSESSKMRGASLIDFFTPEQIEGHMSSLEQSMCQRKSNEEDKIINHVNENRCQLCAEDKLWFAPVPIYCSCCGARIKRGVIYYTSSDENGTQPCFCSLCFKSSPPKKITFYGITILKEKLHKRKNDEATDEPWVECDKCKRWQHQICALFNDKRDMEGKAEYICPKCCLKEMKSEEYMPSTKAAIFGAKDLPRTNLSDFIEERLFRRLNQEREERAKFMGMNIDEVPEAEDLVLRVVLSVNKQLKVKEKFLEIFHGENYPAEFPYRSKVILLFQRIGGVDVCLFGLYVQEFGSECSQPNQRSVYISYLDSVKYFRPETETSTGEALRTFVYHEILIGYLEYCKKRGFATCYLWACPPIKGEDYILYCHPENQKTPKSDKLRQWYHLMLRKAAKENIVVNCTNLYDHFFVPTGHFYSKITAARLPYFDGCYWYDAAEDILKNIEQKTGVYAERKVKKVMTKRTLKAMGHTESSGGNTKAILVTNHLRGGPMCGRKEDFMVVHLQHVCTHCHEVMLSGSRWFCRQCKNFQLCERCHVVEKNLNGEDSHSLNNKEKHVLFKVMVKGIPSDTEDNDAILENWHFDNRHTFLGLCQKNHYQFDTLRRAKHSSMMILHNLHNPTLPAAGTMCKICHKDTDTLDRDVCAACYHKKDSSLHVYKLNQCSPAANYGTENVDAHQEALQLKEQNLSNLVAQQQKELLNLLMHATHCRATSSDPCSYPKCLQIKRLFCHARKCSIRSFGGCQHCQKVWYLLKLHAGICRQTDCRVPRCIDLKNHMELEPGG >Potri.004G104201.2.v4.1 pep chromosome:Pop_tri_v4:4:9144494:9154794:-1 gene:Potri.004G104201.v4.1 transcript:Potri.004G104201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104201.v4.1 MLTRRYNLEGSDFNNLKAHCFSNSSSLNSLLDDWRKDPNIDFFRGLVRNNIVEILEKDRNYQLQLQGWDYVNVLENQLFFKAVSEEEYINLDTLRNRLQSLILQQHNDANCGQQGSKLTAMQTLGLTQGCSSDEGNPIFNSYPIIGNNNYNLMGAEEQDHFGFQKGLFNNEFNLGTTSMYNAFTVASKQIGNEMDSSPDINSSITTISCGDGFPETGTFDSGPVFSQGDHPYHLEAFKDIFQQQHFDQSNLNLVKLGSLTKNENHYGMPLSTLGECLEYSNSILQIPDYSGVSSILPSSSTRFGITNSTPDKLLESRAQVLEQTQQQSCQLYGQFNKALEVKVPSHLKDHNKSCRPTSGHKSMHSQLPLPSEQSHGVRVPSKSSAPLQKVANLNNLPFFSSREKVLAAYVNSKRSTIPMVDCLDSFLKHFHSTVCDNSKCYCESLRPLLLHFDNCLQTDCLVCAPSRILCKTDKLGQNSKEVKSGHKREIIDTDSSGYGSCCSGDKMPPSKCQKMEKYSYYFSSGDGIASVVAPFLVQSDGLGGPLPLKQLPESPVSINSEFFGVNNESLMNSMENPTSSDQIRSKAADSYPRLICESVSAPFKEHIVGCSSGEMDSRSSSGVADVMKGDCNQLMNNCMPIVSEEVGAAFNKEAIQVISKFHLAKPAIEHELNATVAEHEDGMKSESSKMRGASLIDFFTPEQIEGHMSSLEQSMCQRKSNEEDKIINHVNENRCQLCAEDKLWFAPVPIYCSCCGARIKRGVIYYTSSDENGTQPCFCSLCFKSSPPKKITFYGITILKEKLHKRKNDEATDEPWVECDKCKRWQHQICALFNDKRDMEGKAEYICPKCCLKEMKSEEYMPSTKAAIFGAKDLPRTNLSDFIEERLFRRLNQEREERAKFMGMNIDEVPEAEDLVLRVVLSVNKQLKVKEKFLEIFHGENYPAEFPYRSKVILLFQRIGGVDVCLFGLYVQEFGSECSQPNQRSVYISYLDSVKYFRPETETSTGEALRTFVYHEILIGYLEYCKKRGFATCYLWACPPIKGEDYILYCHPENQKTPKSDKLRQWYHLMLRKAAKENIVVNCTNLYDHFFVPTGHFYSKITAARLPYFDGCYWYDAAEDILKNIEQKTGVYAERKVKKVMTKRTLKAMGHTESSGGNTKAILVTNHLRGGPMCGRKEDFMVVHLQHVCTHCHEVMLSGSRWFCRQCKNFQLCERCHVVEKNLNGEDSHSLNNKEKHVLFKVMVKGIPSDTEDNDAILENWHFDNRHTFLGLCQKNHYQFDTLRRAKHSSMMILHNLHNPTLPAAGTMCKICHKDTDTLDRDVCAACYHKKDSSLHVYKLNQCSPAANYGTENVDAHQEALQLKEQNLSNLVAQQQKELLNLLMHATHCRATSSDPCSYPKCLQIKRLFCHARKCSIRSFGGCQHCQKVWYLLKLHAGICRQTDCRVPRCIDLKNHMELEPGG >Potri.004G104201.4.v4.1 pep chromosome:Pop_tri_v4:4:9144497:9154688:-1 gene:Potri.004G104201.v4.1 transcript:Potri.004G104201.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104201.v4.1 MLTRRYNLEGSDFNNLKAHCFSNSSSLNSLLDDWRKDPNIDFFRGLVRNNIVEILEKDRNYQLQLQGWDYVNVLENQLFFKAVSEEEYINLDTLRNRLQSLILQQHNDANCGQQGSKLTAMQTLGLTQGCSSDEGNPIFNSYPIIGNNNYNLMGAEEQDHFGFQKGTTSMYNAFTVASKQIGNEMDSSPDINSSITTISCGDGFPETGTFDSGPVFSQGDHPYHLEAFKDIFQQQHFDQSNLNLVKLGSLTKNENHYGMPLSTLGECLEYSNSILQIPDYSGVSSILPSSSTRFGITNSTPDKLLESRAQVLEQTQQQSCQLYGQFNKALEVKVPSHLKDHNKSCRPTSGHKSMHSQLPLPSEQSHGVRVPSKSSAPLQKVANLNNLPFFSSREKVLAAYVNSKRSTIPMVDCLDSFLKHFHSTVCDNSKCYCESLRPLLLHFDNCLQTDCLVCAPSRILCKTDKLGQNSKEVKSGHKREIIDTDSSGYGSCCSGDKMPPSKCQKMEKYSYYFSSGDGIASVVAPFLVQSDGLGGPLPLKQLPESPVSINSEFFGVNNESLMNSMENPTSSDQIRSKAADSYPRLICESVSAPFKEHIVGCSSGEMDSRSSSGVADVMKGDCNQLMNNCMPIVSEEVGAAFNKEAIQVISKFHLAKPAIEHELNATVAEHEDGMKSESSKMRGASLIDFFTPEQIEGHMSSLEQSMCQRKSNEEDKIINHVNENRCQLCAEDKLWFAPVPIYCSCCGARIKRGVIYYTSSDENGTQPCFCSLCFKSSPPKKITFYGITILKEKLHKRKNDEATDEPWVECDKCKRWQHQICALFNDKRDMEGKAEYICPKCCLKEMKSEEYMPSTKAAIFGAKDLPRTNLSDFIEERLFRRLNQEREERAKFMGMNIDEVPEAEDLVLRVVLSVNKQLKVKEKFLEIFHGENYPAEFPYRSKVILLFQRIGGVDVCLFGLYVQEFGSECSQPNQRSVYISYLDSVKYFRPETETSTGEALRTFVYHEILIGYLEYCKKRGFATCYLWACPPIKGEDYILYCHPENQKTPKSDKLRQWYHLMLRKAAKENIVVNCTNLYDHFFVPTGHFYSKITAARLPYFDGCYWYDAAEDILKNIEQKTGVYAERKVKKVMTKRTLKAMGHTESSGGNTKAILVTNHLRGGPMCGRKEDFMVVHLQHVCTHCHEVMLSGSRWFCRQCKNFQLCERCHVVEKNLNGEDSHSLNNKEKHVLFKVMVKGIPSDTEDNDAILENWHFDNRHTFLGLCQKNHYQFDTLRRAKHSSMMILHNLHNPTLPAAGTMCKICHKDTDTLDRDVCAACYHKKDSSLHVYKLNQCSPAANYGTENVDAHQEALQLKEQNLSNLVAQQQKELLNLLMHATHCRATSSDPCSYPKCLQIKRLFCHARKCSIRSFGGCQHCQKVWYLLKLHAGICRQTDCRVPRCIDLKNHMELEPGG >Potri.004G104201.1.v4.1 pep chromosome:Pop_tri_v4:4:9144488:9154705:-1 gene:Potri.004G104201.v4.1 transcript:Potri.004G104201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104201.v4.1 MLTRRYNLEGSDFNNLKAHCFSNSSSLNSLLDDWRKDPNIDFFRGLVRNNIVEILEKDRNYQLQLQGWDYVNVLENQLFFKAVSEEEYINLDTLRNRLQSLILQQHNDANCGQQGSKLTAMQTLGLTQGCSSDEGNPIFNSYPIIGNNNYNLMGAEEQDHFGFQKGLFNNEFNLGTTSMYNAFTVASKQIGNEMDSSPDINSSITTISCGDGFPETGTFDSGPVFSQGDHPYHLEAFKDIFQQQHFDQSNLNLVKLGSLTKNENHYGMPLSTLGECLEYSNSILQIPDYSGVSSILPSSSTRFGITNSTPDKLLESRAQVLEQTQQQSCQLYGQFNKALEVKVPSHLKDHNKSCRPTSGHKSMHSQLPLPSEQSHGVRVPSKSSAPLQKVANLNNLPFFSSREKVLAAYVNSKRSTIPMVDCLDSFLKHFHSTVCDNSKCYCESLRPLLLHFDNCLQTDCLVCAPSRILCKTDKLGQNSKEVKSGHKREIIDTDSSGYGSCCSGDKMPPSKCQKMEKYSYYFSSGDGIASVVAPFLVQSDGLGGPLPLKQLPESPVSINSEFFGVNNESLMNSMENPTSSDQIRSKAADSYPRLICESVSAPFKEHIVGCSSGEMDSRSSSGVADVMKGDCNQLMNNCMPIVSEEVGAAFNKEAIQVISKFHLAKPAIEHELNATVAEHEDGMKSESSKMRGASLIDFFTPEQIEGHMSSLEQSMCQRKSNEEDKIINHVNENRCQLCAEDKLWFAPVPIYCSCCGARIKRGVIYYTSSDENGTQPCFCSLCFKSSPPKKITFYGITILKEKLHKRKNDEATDEPWVECDKCKRWQHQICALFNDKRDMEGKAEYICPKCCLKEMKSEEYMPSTKAAIFGAKDLPRTNLSDFIEERLFRRLNQEREERAKFMGMNIDEVPEAEDLVLRVVLSVNKQLKVKEKFLEIFHGENYPAEFPYRSKVILLFQRIGGVDVCLFGLYVQEFGSECSQPNQRSVYISYLDSVKYFRPETETSTGEALRTFVYHEILIGYLEYCKKRGFATCYLWACPPIKGEDYILYCHPENQKTPKSDKLRQWYHLMLRKAAKENIVVNCTNLYDHFFVPTGHFYSKITAARLPYFDGCYWYDAAEDILKNIEQKTGVYAERKVKKVMTKRTLKAMGHTESSGGNTKAILVTNHLRGGPMCGRKEDFMVVHLQHVCTHCHEVMLSGSRWFCRQCKNFQLCERCHVVEKNLNGEDSHSLNNKEKHVLFKVMVKGIPSDTEDNDAILENWHFDNRHTFLGLCQKNHYQFDTLRRAKHSSMMILHNLHNPTLPAAGTMCKICHKDTDTLDRDVCAACYHKKDSSLHVYKLNQCSPAANYGTENVDAHQEALQLKEQNLSNLVAQQQKELLNLLMHATHCRATSSDPCSYPKCLQIKRLFCHARKCSIRSFGGCQHCQKVWYLLKLHAGICRQTDCRVPRCIDLKNHMELEPGG >Potri.013G023801.1.v4.1 pep chromosome:Pop_tri_v4:13:1521211:1522986:-1 gene:Potri.013G023801.v4.1 transcript:Potri.013G023801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023801.v4.1 MTAIFLLSSPVRCDEEDDFLQGLNSHRLSLTLPALAKNKNAGCLADKIADKLEDQPCTAASAASPVQIESYPDLLSECGIDVNHTTEGVVLPACGPHLVPTLLLTNYTRTTYSKYINDYWGRTRSRG >Potri.013G023801.2.v4.1 pep chromosome:Pop_tri_v4:13:1521211:1522987:-1 gene:Potri.013G023801.v4.1 transcript:Potri.013G023801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023801.v4.1 MTDEEDDFLQGLNSHRLSLTLPALAKNKNAGCLADKIADKLEDQPCTAASAASPVQIESYPDLLSECGIDVNHTTEGVVLPACGPHLVPTLLLTNYTRTTYSKYINDYWGRTRSRG >Potri.006G040201.1.v4.1 pep chromosome:Pop_tri_v4:6:2689281:2689604:-1 gene:Potri.006G040201.v4.1 transcript:Potri.006G040201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040201.v4.1 MNCEMHEFPEDLVYPKLKLLQIINNILRSSEIPHNVYDDMIRLKILALGNKCIPSRPSSVQFLKNLQALSIGNCSAPLGDFDISIILAREKLEILSLAGSKISKLPK >Potri.016G114100.1.v4.1 pep chromosome:Pop_tri_v4:16:11803872:11804246:1 gene:Potri.016G114100.v4.1 transcript:Potri.016G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114100.v4.1 MKAGSPSMRRLFDMEHTSLATHFQDSSGSPIINPIPLGSSDTDPWASIRQTGTSGSDEPGNFTSGSGRNGHFESKDRKAKKSNHKLTRKKSFRSLPGLRLWRFRRPSFRLGLKRLRIRICGKIS >Potri.012G094100.1.v4.1 pep chromosome:Pop_tri_v4:12:11851926:11855920:1 gene:Potri.012G094100.v4.1 transcript:Potri.012G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094100.v4.1 MGKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHHELKVKDSKTLLFGEKSVTVFGVRNPEEIPWGETGADYIVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVMGVNEKSYTPDLNIVSNASCTTNCLAPLAKVIHDRFGIIEGLMTTVHSITATQKTVDGPSSKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRLEKGASYEDIKAAIKEESEGKMKGILGYTDEDLVSTDFIGDNRSSIFDAKAGIALNDNYVKLVAWYDNEWGYSTRVVDLITYIASVSE >Potri.002G252100.1.v4.1 pep chromosome:Pop_tri_v4:2:24129338:24130285:-1 gene:Potri.002G252100.v4.1 transcript:Potri.002G252100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252100.v4.1 MASLALTTSLPRYGQLGAMIVRSQRSSGVFIPLTRRFQASSRKEASSGGFEMARKATKEGASEAKAAGESVVEDATQKTKEVAGKASEASNDLADKAKQTAQDAWGAVKDTTAKIKDTVVGKAEESKEFIKENAETVKSSMNTKN >Potri.005G027200.2.v4.1 pep chromosome:Pop_tri_v4:5:1718219:1721844:-1 gene:Potri.005G027200.v4.1 transcript:Potri.005G027200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027200.v4.1 MKYVADPSADVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRASGEIRKRVYICPEPSCVHHNPARALGDLTGIKKHFYRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLMPNMEPNIQGQISSLIPSSMAINNNPPSQSAMMSNFSHLDTKNSLSLPQALMPMPPKPSSGSMFSSSTASLFGGSRSMPFNSSSALQLNANSSAIFEGNGHHNLAGSASMSATALLQKAAQMGATASGNNVSSPMMQKSFVTSMAPPTFGSMHAQNDQSHVIGGDDGYANQFFNSNGGVGNSVLNDMGMFSAVLDQNNALFKTMEHASSNNENAFQGANSSPGLSSPTSGANPSGLSRFSGDMMTVDFLGIGGSRQRNLHDQHNHQEMEFSRGISHPRMQGLNHFEQQQAAALEKPLWDV >Potri.005G027200.1.v4.1 pep chromosome:Pop_tri_v4:5:1718108:1721835:-1 gene:Potri.005G027200.v4.1 transcript:Potri.005G027200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027200.v4.1 MSNTTGDGTGSFSSGGGGDEVHLKSLASSTVTATNSNGSTITQQLQQPPVLKKKRNMPGNPDPSADVIALSPKTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRASGEIRKRVYICPEPSCVHHNPARALGDLTGIKKHFYRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALAEENNKVNQGLMPNMEPNIQGQISSLIPSSMAINNNPPSQSAMMSNFSHLDTKNSLSLPQALMPMPPKPSSGSMFSSSTASLFGGSRSMPFNSSSALQLNANSSAIFEGNGHHNLAGSASMSATALLQKAAQMGATASGNNVSSPMMQKSFVTSMAPPTFGSMHAQNDQSHVIGGDDGYANQFFNSNGGVGNSVLNDMGMFSAVLDQNNALFKTMEHASSNNENAFQGANSSPGLSSPTSGANPSGLSRFSGDMMTVDFLGIGGSRQRNLHDQHNHQEMEFSRGISHPRMQGLNHFEQQQAAALEKPLWDV >Potri.003G221600.1.v4.1 pep chromosome:Pop_tri_v4:3:21543785:21549891:1 gene:Potri.003G221600.v4.1 transcript:Potri.003G221600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221600.v4.1 MEALKIWSLSSKPFNLRHLLHFASSSASVTTTKHSYSTHVLRCCSTTSTTTTRTAGRNRRQSSSSSTSDRDAIRALRLKKVEELRSKGLEPYAYNWDRTHTANHLQEIYKYLANGEESNGESDQVSIAGRIVARRAFGKLAFLTLRDDSGTIQLYCEKDRLLNDQFEQLKAHVDVGDILGVNGSMKRTEKGELSVCVSSFSILTKSLLPLPDKYHGLTDVDKRYRQRYVDMISNPEVADVFRKRAKIVSEIRKTVELLGFVEVETPVLQGAAGGAEARPFVTYHNSLGRDLYLRIATELHLKRMLVGGFEKVYEIGRIFRNEGLSPRHNPEFTTIEMYEAYSDYQSMMIMAEEIVTRCALAVHGKLTLEFQGVEICLERPWRRETMHNLVKEATAIDFNDLGDDLEVAKDVTLRTLGSGLEGKDKSAIAACPSVGHLVNELFEIIVEPKLMQPTFVLDYPIEISPLAKPHRRHVGLTERFELFICGREMANAFSELTDPMDQRGRLEEQVRQHNEKRASVASEADGADGKSKKDDDESYEVTLDEDFLTALEYGMPPASGMGLGIDRLVMLLTNSASIRDVIAFPVLKIQQ >Potri.015G096300.1.v4.1 pep chromosome:Pop_tri_v4:15:11776470:11781492:1 gene:Potri.015G096300.v4.1 transcript:Potri.015G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096300.v4.1 MEAELKEMLNDLDSLKQSQPDPSNLASSILKLQSRVEHLTKLAKSAPVRRTKVQDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAASQTLSDINPDVVLESFTLNITTVQGFETFMSSLRNKSFRPNKEGSGVDLVLSCVDNYEARMAVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKLLLQFGQVSPYLGYNSLKDFFPTMEMRPNPQCSNAACLERQKEYLLVKPARDAAIIAKMEAEALSVPEGPLHTDNEWNISVVDDSAPERTDATSSDALPEGLTRELPAADEFQKFPAAEPATATFDDIEELRKQLDALNAD >Potri.015G096300.2.v4.1 pep chromosome:Pop_tri_v4:15:11776471:11781492:1 gene:Potri.015G096300.v4.1 transcript:Potri.015G096300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096300.v4.1 MEAELKEMLNDLDSLKQSQPDPSNLASSILKLQSRVEHLTKLAKSAPVRRTKVQDMSAEVVDSNPYSRLMALQRMGIVDNYERIRDFSVAIVGIGGVGSVAAEMLTRCGIGRLLLYDYDKVELANMNRLFFRPEQVGMTKTDAASQTLSDINPDVVLESFTLNITTVQGFETFMSSLRNKSFRPNKEGSGVDLVLSCVDNYEARMAVNQACNELNQTWMESGVSEDAVSGHIQLLIPGETACFACAPPLVVASGVDERTLKREGVCAASLPTTMGVVAGLLVQNTLKLLLQFGQVSPYLGYNSLKDFFPTMEMRPNPQCSNAACLERQKEYLLVKPARDAAIIAKMEAEALSVPEGPLHTDNEWNIRQHVHLFFGVSSVLSMIVRQKGQMPQVQMLFLKVLLVSSQLRTSFKNSLLLNQLQPLLTTLKNFGNNLMP >Potri.003G028557.1.v4.1 pep chromosome:Pop_tri_v4:3:2970260:2977367:-1 gene:Potri.003G028557.v4.1 transcript:Potri.003G028557.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028557.v4.1 MMMKRMGAWMLLALLTLIGEWSGRCYGCLEEERIGLLEIQSLIDPDGISLRHWVDSSNCCEWPEIECDNTTKRVIQLSLSEARDYSLGDWVLNASLFQPFKELQSLDLGYTRLVGCMENEGFEVLSSKLSNLDLRVNQFTNDKSILSCFNGNLSTLKSLDLSDNWLTAGSGFKVLSSRLKKLENLHLWGNQFNDSICPSLTGFSSLKSLDLSFNQLTGSGFKVLSSRLKKLENLHLWENQFNDSIFPSLTGFSSLKSLDLSYNELTGSGFEIISSHLRKLENLDLSHNIFNDSILSHLRGFSSLKSLNLSYNELTGSTTVNGTFFNSSTLEELHLDRTSLPINFLQNIGALPALQVLSVGGCDLHGTLPAQGWCELKNLKQLDISGNNLGGSLPDCMGNLLSLQLLDVSENQITGNIASSPLTNLISLVFLSLSNNLFEVPTSMKPFLNHSSLKFFSSENNRLVAEPAAFHDLIPKFQLVFFSLSKTTEALNVEIPNFLYYQYHLRLLDLSHNNITGMFPSWLLKNNTRLEQLYLSENSFVGTLQLQDHPYPNMTELDISNNNMSGQIPKDICLIFPNLQTLMMAKNGFTGCIPSCLGNISSLEMLDLSNNQLSTIKLGQLTTLLFLNLSNNNLGGNNFWGQISDFPLYGWKKWIVLDLSYNQFSGMLPRWFVNSTDLRVINLSKNHFKGPIHRDFCKLGHLEYLDLSENNLSGYIPSCFSPPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLKANHFDGELPVQLCLLEQLSILDVSENQLSGPIPSCLGNLTFMASSQKAFVDLNVDFGSWSIERAYYETMGPPLVNSMYSLRKDFMVNFTEVIEFTTKNMYYCYKGKILGYMSGIDLSNNNFVEAIPPEFGNLSELLSLNLSHNNLTGSVPATFSNLKQIESLDLSYNNLNGVIPPQLTEITMLEVFSVAHNNLSGKTPERKFQFGTFDESCYEGNPFLCGPPLRNNCSEEAVSSQLVPDDEQGDDGFIDIDFFYISFGVCYTVVVMTIAIVLYINPYWRRRWLYFIEDCIDTCYYFVVASFRKFSNFRR >Potri.003G028557.2.v4.1 pep chromosome:Pop_tri_v4:3:2971600:2977362:-1 gene:Potri.003G028557.v4.1 transcript:Potri.003G028557.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G028557.v4.1 MMMKRMGAWMLLALLTLIGEWSGRCYGCLEEERIGLLEIQSLIDPDGISLRHWVDSSNCCEWPEIECDNTTKRVIQLSLSEARDYSLGDWVLNASLFQPFKELQSLDLGYTRLVGCMENEGFEVLSSKLSNLDLRVNQFTNDKSILSCFNGNLSTLKSLDLSDNWLTAGSGFKVLSSRLKKLENLHLWGNQFNDSICPSLTGFSSLKSLDLSFNQLTGSGFEIISSHLRKLENLDLSHNIFNDSILSHLRGFSSLKSLNLSYNELTGSTTVNGTFFNSSTLEELHLDRTSLPINFLQNIGALPALQVLSVGGCDLHGTLPAQGWCELKNLKQLDISGNNLGGSLPDCMGNLLSLQLLDVSENQITGNIASSPLTNLISLVFLSLSNNLFEVPTSMKPFLNHSSLKFFSSENNRLVAEPAAFHDLIPKFQLVFFSLSKTTEALNVEIPNFLYYQYHLRLLDLSHNNITGMFPSWLLKNNTRLEQLYLSENSFVGTLQLQDHPYPNMTELDISNNNMSGQIPKDICLIFPNLQTLMMAKNGFTGCIPSCLGNISSLEMLDLSNNQLSTIKLGQLTTLLFLNLSNNNLGGNNFWGQISDFPLYGWKKWIVLDLSYNQFSGMLPRWFVNSTDLRVINLSKNHFKGPIHRDFCKLGHLEYLDLSENNLSGYIPSCFSPPQITHVHLSKNRLSGPLTYGFYNSSSLVTMDLRDNSFTGSIPNWIGNLSSLSVLLLKANHFDGELPVQLCLLEQLSILDVSENQLSGPIPSCLGNLTFMASSQKAFVDLNVDFGSWSIERAYYETMGPPLVNSMYSLRKDFMVNFTEVIEFTTKNMYYCYKGKILGYMSGIDLSNNNFVEAIPPEFGNLSELLSLNLSHNNLTGSVPATFSNLKQIESLDLSYNNLNGVIPPQLTEITMLEVFSVAHNNLSGKTPERKFQFGTFDESCYEGNPFLCGPPLRNNCSEEAVSSQLVPDDEQGDDGFIDIDFFYISFGVCYTVVVMTIAIVLYINPYWRRRWLYFIEDCIDTCYYFVVASFRKFSNFRR >Potri.004G177200.1.v4.1 pep chromosome:Pop_tri_v4:4:19220631:19225943:1 gene:Potri.004G177200.v4.1 transcript:Potri.004G177200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177200.v4.1 MGCVASKLEEEEVVSICRERKRQLKLAVERRHALAEAHCRYCQSLYAVAAGIKLFVARHSSPTSPFLITFPSCPSPPSNEQQNVITNPMFLQQRPSESTTHEEAIACESCGSSTSSSDSSDHEEESNKEEVMQREERGQQSFGYYYMQMPLPPQSPQRDFGWDFFNPFDTAMRPEIMSAYTRTSDDDLRFVREQEGIPDLEEEGDREEEEAKNVVFVEEKGKGDLGESGGNVVKVVDGGGDDSQEKQNGLTVIDKPERGRELLEALKDIEDHFIRAYDSGKDVSRMLEANKVFLHSGLEEIKENSTKLIQAIAWHRSTSSKPSSCKSLVASSLKGSSTWTEYKNDLFDDYGGMDSGSHSLTLGRLYAWEKKLYEEVKAGDSTRKIYEKKCSRMRNQDVRGDDELTIDKTRAAVKDLYARILVAIRSAESISKRIEKLRDEELQPQIVELLKGLTLTWKIMLESHETQNKILLEVKTFASPTYGKFCNDSHRLATLQLEAELLNWRACFEEYVAAQKAYVEALHSWLSKFVVPEVEFYSRGRSSAAPYRTFGPPLLVICHDWLSSVDKLPDKAVSFALKSFSKDVRALWAQQGEEQQQKRKVDSLAKELDRRNLSFQKVENRFHESKLLEYKPEQETEHQHEHLTEKKDQLDMFRKKLDIEKEKHHNYVQETQRITLSGFQTGFSTVFESLTEFSKASMKMYNDLVNHSENNAGKMEKQSFIGDSLAEENGSR >Potri.006G129800.1.v4.1 pep chromosome:Pop_tri_v4:6:10560707:10562220:1 gene:Potri.006G129800.v4.1 transcript:Potri.006G129800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129800.v4.1 MAADHSYPLCLLGVMDRLWFHKIILFSEPTAAPKTLKQPQLMTTESLTCPSTSSITLATPPNEEFLSSPSVPLLEDLQISPAESPTTTPLDIDSSNEEEEEEEEDKDINQKERRMRLSLAQRSTRSHSSSPSTQKRPKYFRRSGSLTILPKSMSCRSLGELELEEVKGFMDLGFIFKKEYLSPRMMSVVPGLQRLGLYQNRQNINLRDSKEAEDHDELIRKQEEDEEKGIIRPYLSESWLIKRPDSPLLNLRVPRVSVAADMKIHLKFWARTVASEIQPES >Potri.006G209000.1.v4.1 pep chromosome:Pop_tri_v4:6:21696110:21699100:1 gene:Potri.006G209000.v4.1 transcript:Potri.006G209000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209000.v4.1 MQSSSEKRPGIYTYIAQWPIYSLAWSVRQDKKSRLAIGSFLEDYSNKVEIVQFNRDTSDFTTDSRLIFDHPYSPTNLMFFPSEDAANPDIIATSGDYLRIWQIHDDRIELKSLLNGNKSSEFSSAITSFDWADFDVHRVATSSVDTTCVIWDIEKEVIDAQLVAHDKEVFDISWGSFNIFASVSGDGSVRVFDLRNKDRSTIIYENTMQDCPLLRLEWNKRDPRFIATVGMDSNKVVILDIRFPTTPLMELCKHKASVNAISWSPCTGRQICSVGDDSRALLWEVVSKAGVRPEYSGAGANSQVEPEMWYGSMAAINNVRWSPVELDWIAIAFFSKLQLLKV >Potri.010G249400.2.v4.1 pep chromosome:Pop_tri_v4:10:22292934:22296371:-1 gene:Potri.010G249400.v4.1 transcript:Potri.010G249400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249400.v4.1 MTSTKYGKSNPKKVEEEDAKKVLEKVAKQVQPIMKKRKWKVKVLSEFCPVNPALMGLNIGGGAEVNLRLRRTNNEDSISATLAWSLFMPIYLHSKSCYQLLQECEELMAKGITGTGQGFGLPGRRLGGFSRQPRPSALAAAENRARRDTLLPSGPKRPNTSCCAMAAERRLHDDLWCGSKSSDSVISIEGNVGRPEGSSTSVSSEGISAQTSPMTSMSGQESIGDHPTWQCNTCTLLNQPMALICEACGTQRHKDVAKFKVWFGKKNFCILRDHVGWYSIL >Potri.007G015600.5.v4.1 pep chromosome:Pop_tri_v4:7:1150369:1154027:-1 gene:Potri.007G015600.v4.1 transcript:Potri.007G015600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015600.v4.1 MFVSGLTGNPCCLRIPLHGPRTPSRRDSAFLNAEKPIDNVKLLGPKRSYLAGSVQRCNVKETYLVKCAMDASYGGDMSNDRSVVFPRIHVRDPYKRLGISREASEDEIQAARKFLINQYGGHKPSVDAIESAHDKIIMQKFYDRKNPKIDFKKKAREMKQSRFMQFVISRFQTPSTNVIIKSAIAFLVLGALTFLFPTEEGPTLQVAISLIATIYFLHDRLKSKLWAFLYGVGSFIFAWLLGTFLMVSVIPPLPLVKGPRSFEVITSLITYVLLWVSSTYLK >Potri.007G015600.6.v4.1 pep chromosome:Pop_tri_v4:7:1150429:1154027:-1 gene:Potri.007G015600.v4.1 transcript:Potri.007G015600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015600.v4.1 MFVSGLTGNPCCLRIPLHGPRTPSRRDSAFLNAEKPIDNVKLLGPKRSYLAGSVQRCNVKETYLVKCAMDASYGGDMSNDRSVVFPRIHVRDPYKRLGISREASEDEIQAARKFLINQYGGHKPSVDAIESAHDKIIMQKFYDRKNPKIDFKKKAREMKQSRFMQFVISRFQTPSTNVIIKSAIAFLVLGALTFLFPTEEGPTLQGWIFYFRMAVGNLLDGICDSTVAIG >Potri.003G084400.1.v4.1 pep chromosome:Pop_tri_v4:3:11100993:11104250:1 gene:Potri.003G084400.v4.1 transcript:Potri.003G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084400.v4.1 MALIFSRKIPLSYAFGVFVPSAIQKTALIADHSGEFFSKRVFGQYQLVALQHFSSGSVSQPGRICWRGSSNVVLLRKLEIALREHQVDEAWVTFIDFKKLYGFPTGSMVNMLISRLSYSSDHHWLQKACDLVFLILKEKPGLLQFPVLTKLSISLARAQMPVPASMILRVMLERENMPPLTILWSVVSHMVKTEIGACLASNFLVQMCDCFLHLSAKGSVRAKVVKPDAMIFNLVLDACVKFKSSLKGQEIVELMSKAGVIADAHSVIIFSQIHEMNGQRDEIKKLKDHVDEVGAPFIGYYCQFYDSLLKLHFKFDDIDSAAQLLLDMHKFQESVPNKKLRMDQEKRLLVPIGSNNLKTGLKIQVMPELLQKDSILTVKHKQELVMFRSGKLLLSNRALAKLVNGYRRHGRTTDLSKLLLCMQQDFHVLGQSSFCSDVIDACIRIGWLEMAHDILDDMDAAGAPIGSTLHMALLTAYYCREMFKEAKALLRKMRKAGFVVNLSDEMVATACLSEAANNASSSSSKSDLIDFLIREMREEEKAIPSVVYELNSSVYYFCKAKMMEDALKTYKRMQHMKIQPTVQTFSYLIDGFSSLGMYRDITILWGDIKRNVGSKDLEVSRDLYEVLHLNFLRGGYFERAMEVIGYMKERNMYCDKWMYKDEFLKLHKNLYRSLKASEARTEAQSKRLEHVKAFRKWVGID >Potri.003G206401.1.v4.1 pep chromosome:Pop_tri_v4:3:20550404:20551614:-1 gene:Potri.003G206401.v4.1 transcript:Potri.003G206401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206401.v4.1 MVARKGKISCDSASTRQLQSNAYPVTQTEDINAALVIQDNIINAVPVVQPVPSLVITHDPEEEELAWMENSLVGVISEGVNYQDFRKGLLCNGVQLTGFRFMGASQALISDNDACSFNPFPQYFHGKSYQRRKFLLFWCS >Potri.017G075000.1.v4.1 pep chromosome:Pop_tri_v4:17:8262780:8265407:-1 gene:Potri.017G075000.v4.1 transcript:Potri.017G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075000.v4.1 MGRPPCCDKSNVKRGLWTPEEDAKILAYVSNHGIGNWTSVPKKAGLNRCGKSCRLRWTNYLRPDLKHERFAPEEEELIIKLHKAIGSRWSLIAKKLPGRTDNDVKNYWNTKLRKMLQKMGIDPVTHKPFSQIFSDFGNISGISNTGNLNKSLNTSLMSEPDHQFSSAILTTPEHSCFKKTMEQQVQEYNPTVTHPTSWDFLAQFPVHDTKQLPLFFNEVSSSCPSSTPSSSSTSTFTQSYSCQKSQAPLITPTCTFTCTEFLLSDPVSSTEFQHQQEQYDFDEMFSPTNSSTMAMAQNDVSSCNITSTGSDDDWYLNRSVGRSTYDHGTVNNGLEENNNAADHAAYYSSSASSFVDGILDKDRELHSQFPPLLDPSFDCY >Potri.009G095600.2.v4.1 pep chromosome:Pop_tri_v4:9:8640460:8641043:-1 gene:Potri.009G095600.v4.1 transcript:Potri.009G095600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095600.v4.1 MSSMGREQKPVILSSLLLLLGSLLIITMVVGTEARPLSGSRLNKDVNVGGIEGLIGGFSLQAVKKSGPSPGIGHKYENFQTQGEATNSVSSPGEGHKHVINGNKP >Potri.003G188500.1.v4.1 pep chromosome:Pop_tri_v4:3:19281738:19286300:1 gene:Potri.003G188500.v4.1 transcript:Potri.003G188500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188500.v4.1 MDTITKQKEEFIFRSKLPDIDIPKGLPLHSYVFENFSKYPSKPCLINGANGDVYTYADVELTARRAASGLNKLGIQQGDVIMLILPSSPEFVLAFLGASHRGAITTAANPFSTPAELAKQAKASKAKLLITQACYYDKVKDYAQQNDVKVMCVDSAPDVCLHFSELTQADDNDMPQVDIRPDDVVALPYSSGTTGLPKGVMLTHKGLITSVAQQVDGDNPNLYFHSEDVILCVLPMFHIYALNSIMLCGLRVGAAILIMPKFEIGSLLGLIEKYKVSIAPVVPPVMVAIAKSPDLDKHDLSSLRMLKSGGSPLGKELEDTVRARFPQARLGQGYGMTEAGPVLAMCLAFAKEPFDIKPGACGTVVRNAEMKIVDPETGSSLPRNLPGEICIRGDQIMKGYLNDPEATSRTIDNDGWLHTGDIGFIDDDDELFIVDRLKELIKYKGFQVAPAELEALLQAHTGISDAAVVGMKDENSGEIPVAFVIKSENSQVTGEEIMQYISKQVIYYKKIKRVFFVEAIPKAPSGKILRKNLRERLAGGLQK >Potri.007G004600.1.v4.1 pep chromosome:Pop_tri_v4:7:327795:342439:1 gene:Potri.007G004600.v4.1 transcript:Potri.007G004600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004600.v4.1 MATVVDESNPLLQDFEFPPFDVVEHKHVRPGIRALLKNLESDLEELERTVEPSWPKLVEPLEKITDQLAIVWGMINHLKAVKDSPELRAAIEEVQPEKVKFELRLGQSKPIYDAFKAIQESPQWTSLSDAQKRIVESQIKEAVLNGVALDDDKREQFNKIEQELTRLSQKFGENVLDATKKFEKLITDKKHIEGLPATSLGLAAQTAVSKGHADATAENGPWIITLDTPSFMSVMQHAKNRGLREEIYRAHVTRASSGDLNNTAIIDEILKLRLEKAKLLNYNNFAEVSMATKMATVEKAEELLEELRIASWDAAAQDMEDLKIFSKNQGAMEANDLTHWDTSFWAERLRESKYDINEEELRPFFSLPKVMDGLFNLAKTLFGIDIEPADGLAPVWNNDVKFYCVKDSLGNPIAYFYFDPYSRPSEKQGGAWMDEVVSRSRVLSRNGTAPRLPIAHMVCNQTPPVGTKPSLMTFREVETVFHEFGHALQHMLTKQDEGLVAGIRGIEWDAVELPSQFMENWCYHRETLMGIAKHYETGESLPEEVYLKLLAARTFRAGSLSLRQLRFASLDLELHTKYIPGASESIYEVDRRVSKRTQVIPPLPEDRFLCSFSHIFAGGYAAGYYSYKWAEVLSADAFSAFEDAGLDNDKAVKETGHKFRETILALGGGKAPLAVFVEFRGREPSPEALLRHNGLLSATASAL >Potri.014G146400.1.v4.1 pep chromosome:Pop_tri_v4:14:10030679:10039291:1 gene:Potri.014G146400.v4.1 transcript:Potri.014G146400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146400.v4.1 MLMMMAEAQSRVLLLTMMISFTIVSANNGVFSVKYKYAGLQRSLSDLKAHDDQRQLRILAGVDLPLGGIGRPDILGLYYAKIGIGTPTKDYYVQVDTGSDIMWVNCIQCRECPKTSSLGIDLTLYNINESDTGKLVPCDQEFCYEINGGQLPGCTANMSCPYLEIYGDGSSTAGYFVKDVVQYARVSGDLKTTAANGSVIFGCGARQSGDLGSSNEEALDGILGFGKSNSSMISQLAVTGKVKKIFAHCLDGTNGGGIFVIGHVVQPKVNMTPLIPNQPHYNVNMTAVQVGHEFLSLPTDVFEAGDRKGAIIDSGTTLAYLPEMVYKPLVSKIISQQPDLKVHTVRDEYTCFQYSDSLDDGFPNVTFHFENSVILKVYPHEYLFPFEGLWCIGWQNSGVQSRDRRNMTLLGDLVLSNKLVLYDLENQAIGWTEYNCSSSIQVQDERTGTVHLVGYHYINSARSLNVQWAMLLLLLLSTLLLSLVC >Potri.001G032500.1.v4.1 pep chromosome:Pop_tri_v4:1:2432411:2434945:1 gene:Potri.001G032500.v4.1 transcript:Potri.001G032500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032500.v4.1 MKNLGCCSKFLESSKPYFAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAIATAVIAPFALIFERKMQPRITFPVFMQIFVLALLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVIFRMEKLDMKKVRCQAKLVGTAVTVAGAMLMTLYKGPIVEMLWSKHIHPRKSFVTDTTGTTDKDWFKGSIFLIIATLAWSSLFVLQTKALKTYKNHQLSLTSLMCFIGTLQAIAVTFVMEHKPSVWAIGWDMNLLAAAYAGIVTSSISYYVQGIVIKKKGPVFATAFSPLMMIIVAIMGSFILAEKIFLGGIVGSVLIVIGLYSVLWGKHKEKMEIDPEEIPEPVKGVQGNGNSMLVIEDIEANEVKLQKAKPAISNFSATAMSMPMALPGLPIKENQEPRA >Potri.001G466000.2.v4.1 pep chromosome:Pop_tri_v4:1:49107043:49110265:1 gene:Potri.001G466000.v4.1 transcript:Potri.001G466000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466000.v4.1 MADVETFAFQAEINQLLSLIINTFYSNKEIFLRELISNASDALDKIRFESLTDKSKLDAQPELFIHIVPDKTSNTLTIIDSGVGMTKADLVNNLGTIARSGTKEFMEALAAGADVSMIGQFGVGFYSAYLVAEKVIVTSKHNDDEQYVWESQAGGSFTVIRDTSGEPLGRGTKIVLYLKEDQLEYLEERCLKDLVKKHSEFISYPISLWIEKTTEKEISDDEDEEEKKDEEGKVEDVDEEKEKEEKKKKKIKEVSHEWSLVNKQKPIWMRKPDEITKEEYAAFYKSLTNDWEEHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTKKKPNNIKLYVRRVFIMDNCEDLIPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCLELFFEIAENKEDYNKFYESFSKNLKLGIHEDSSNKSKLAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKLEETEDEKQKQEELKQKFEGLCKVIKDVLGDKVEKVVVSDRVVDSPCCLVTGEYGWTANMERIMRAQALRDNSMAGYMSSKKTMEINPENPIMEELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDEPNTFGNRIHRMLKLGLSIDEDAVEADAEMPPLEEAEADAEGSKMEEVD >Potri.006G244800.3.v4.1 pep chromosome:Pop_tri_v4:6:24540007:24544378:-1 gene:Potri.006G244800.v4.1 transcript:Potri.006G244800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244800.v4.1 MTDDEKEKGVVVLDDDSYLKSEIEKIRGRWELATVLNFLSVFEPVIGVDLKLTAEEIESALVEPNKSLAQLHIKLLKGIPPMSKTLNASDAWVSELCKKLAMWWPRVAEGELPLKAAKGDEMSRYKELDPANRLLILKALCELRAKQNDIASYVNDSLKDGTEISYFRKDKIGVDGTATSYCSVIGHRLYKQVNKTGANSRMRGKASKNQPATCFQWEILATNLEEFQKVVNELSSSKVTAQVAAGKTIETDVLPIIQKFQKKKDRALKQKERQEKLLNSFRPCTAGVTRSCRSRRPISYTFDDYDRAIDEAIKITKKRKTIEEQSNNGKHVKQEKNTSNGGSNMGTNSEESHGEIGDSGMSADSKDNIEKGSSSESENESDKLHEADDDDDDDYDSKRDHDNGSGSNKSDKENENFGDKNIARKFGSRWSSRLAGVASHPALEAGNLCKKSRLRQRPTRNSALDSNNVLDSDDETLSKHTNREISGHEDSPPVSNSDVVCCDS >Potri.006G244800.2.v4.1 pep chromosome:Pop_tri_v4:6:24539998:24544365:-1 gene:Potri.006G244800.v4.1 transcript:Potri.006G244800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244800.v4.1 MTDDEKEKGVVVLDDDSYLKSEIEKIRGRWELATVLNFLSVFEPVIGVDLKLTAEEIESALVEPNKSLAQLHIKLLKGIPPMSKTLNASDAWVSELCKKLAMWWPRVAEGELPLKAAKGDEMSRYKELDPANRLLILKALCELRAKQNDIASYVNDSLKDGTEISYFRKDKIGVDGTATSYWYDGSSVIGHRLYKQVNKTGANSRMRGKASKNQPATCFQWEILATNLEEFQKVVNELSSSKVTAQVAAGKTIETDVLPIIQKFQKKKDRALKQKERQEKLLNSFRPCTAGVTRSCRSRRPISYTFDDYDRAIDEAIKITKKRKTIEEQSNNGKHVKQEKNTSNGGSNMGTNSEESHGEIGDSGMSADSKDNIEKGSSSESENESDKLHEADDDDDDDYDSKRDHDNGSGSNKSDKENENFGDKNIARKFGSRWSSRLAGVASHPALEAGNLCKKSRLRQRPTRNSALDSNNVLDSDDETLSKHTNREISGHEDSPPVSNSDVVCCDS >Potri.006G244800.4.v4.1 pep chromosome:Pop_tri_v4:6:24540045:24544130:-1 gene:Potri.006G244800.v4.1 transcript:Potri.006G244800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244800.v4.1 MTDDEKEKGVVVLDDDSYLKSEIEKIRGRWELATVLNFLSVFEPVIGVDLKLTAEEIESALVEPNKSLAQLHIKLLKGIPPMSKTLNASDAWVSELCKKLAMWWPRVAEGELPLKAAKGDEMSRYKELDPANRLLILKALCELRAKQNDIASYVNDSLKDGTEISYFRKDKIGVDGTATSYWYDGSSVIGHRLYKQVNKTGANSRMRGKASKNQPATCFQWEILATNLEEFQKVVKFQKKKDRALKQKERQEKLLNSFRPCTAGVTRSCRSRRPISYTFDDYDRAIDEAIKITKKRKTIEEQSNNGKHVKQEKNTSNGGSNMGTNSEESHGEIGDSGMSADSKDNIEKGSSSESENESDKLHEADDDDDDDYDSKRDHDNGSGSNKSDKENENFGDKNIARKFGSRWSSRLAGVASHPALEAGNLCKKSRLRQRPTRNSALDSNNVLDSDDETLSKHTNREISGHEDSPPVSNSDVVCCDS >Potri.006G244800.1.v4.1 pep chromosome:Pop_tri_v4:6:24539428:24544365:-1 gene:Potri.006G244800.v4.1 transcript:Potri.006G244800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244800.v4.1 MTDDEKEKGVVVLDDDSYLKSEIEKIRGRWELATVLNFLSVFEPVIGVDLKLTAEEIESALVEPNKSLAQLHIKLLKGIPPMSKTLNASDAWVSELCKKLAMWWPRVAEGELPLKAAKGDEMSRYKELDPANRLLILKALCELRAKQNDIASYVNDSLKDGTEISYFRKDKIGVDGTATSYWYDGSSVIGHRLYKQVNKTGANSRMRGKASKNQPATCFQWEILATNLEEFQKVVNELSSSKVTAQVAAGKTIETDVLPIIQKFQKKKDRALKQKERQEKLLNSFRPCTAGVTRSCRSRRPISYTFDDYDRAIDEAIKITKKRKTIEEQSNNGKHVKQEKNTSNGGSNMGTNSEESHGEIGDSGMSADSKDNIEKGSSSESENESDKLHEADDDDDDDYDSKRDHDNGSGSNKSDKENENFGDKNIARKFGSRWSSRLAGVASHPALEAGNLCKKSRLRQRPTRNSALDSNNVLDSDDETLSKHTNREISGHEDSPPVSNSDVVCCDS >Potri.011G050400.1.v4.1 pep chromosome:Pop_tri_v4:11:4028197:4037762:-1 gene:Potri.011G050400.v4.1 transcript:Potri.011G050400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050400.v4.1 MSSNEAGFDENGDDHTDRVSRYRTHSSRESEHDRSRTRGREKDHDRYHRGSKDGSVRDDRRREKIGDFDRHERSSRDRNYHRNRDYDGDRDRRNGNRSSSQSQGRFQNRSRSRSPSKSKRKSGFDMAPSMVGMLPGAAVTVNDAGHLPSVPQTMPGMMQNTLQFGTTQFGVLPLMPAHAMTQQATRHARRVYVGGLPPLANEQTIATFFSHVMTSIGGNAAGPGDAVVNVYINHEKKFAFVEMRTVEEASNAMTLDGIIFEGVAVRVRRPTDYNPSLAATLGPSQPSPLLNLAAVGLVPGTISGAEGPDRVFVGGLPYYFTETQIRELLESFGPLRGFDLVKDRDTGNSKGYGFCVYQDPAVTDIACAALNGLKMGDKTLTVRRGTESGGQSRSEQENILAQAQQHIAIQKMALQAGVMNLPGVGIPLAESSHSPSKVLCLTEAIAMEVLADDEEYEEILEDMREECCKFGTLINVVIPRPSQTEEQISGAGKVFLEYSDTSSCANARNALNGRKFGGNTVNASYYPEDKYHNGDYGF >Potri.002G076300.2.v4.1 pep chromosome:Pop_tri_v4:2:5337608:5337926:-1 gene:Potri.002G076300.v4.1 transcript:Potri.002G076300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076300.v4.1 MSEVSSNQKNTNNAATNTSEAPRERGFGRPSGPMAPPKRGGIKKKMWEDFTVTAVISSLA >Potri.004G124100.1.v4.1 pep chromosome:Pop_tri_v4:4:12003749:12006119:-1 gene:Potri.004G124100.v4.1 transcript:Potri.004G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124100.v4.1 MAVGKAMLIFVFTLATLIPSLLADIGIFDDVWQKRAQDAKKMTLEAYVPDPEEATDAFNVEVNKYSLAKNSSSLYGAFGSTNITNLDLDDDDNDNDNDADFEQFANSTRRNLRQGRKKYAGPCQVTNPIDRCWRCQRNWAKNRKQLAKCALGFGRRTTGGMAGRIYVVTDSSDNNVMKPKPGTLRHAVIQKEPLWIIFSKNMNIKLSKELIMSSHKTIDGRGHHVHISYGGGITIQFIHNVIIHGIRIHHIIATSGGNIRDSVDHYGIRTNSDGDGISIFGSTDVWIDHVSMSRCTDGLIDAIMGSTAITISNCHFTHHNDAILLGASDSYSGDHLMQVTVAFNHFGQGLVQRMPRCRWGFFHVVNNDYTHWRLYAIGGSKHPTIISQGNRFIAPPESHLKQVTKRDYATKGEWSKWTWRSENDLMMNGAFFVQSGQPRTKKPNRKFMIKAKPGAVATRMTRFAGALDCKPGRKC >Potri.004G020000.1.v4.1 pep chromosome:Pop_tri_v4:4:1428264:1429354:-1 gene:Potri.004G020000.v4.1 transcript:Potri.004G020000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020000.v4.1 MARLCRKVVETPIQCSTDAFYKFFKKQANFLPNVCGSVVQTIGLADGNKSWVNTVGSRKMIEILSSESSRDTAEKIKYVVESVDDRSRKITYKVLEGALLQQYESFSVTLQVTSSSTAKWTINYQKKDPASENPDFYLQLLPTVNATVDIYLRSNDY >Potri.017G055300.2.v4.1 pep chromosome:Pop_tri_v4:17:4265095:4266569:1 gene:Potri.017G055300.v4.1 transcript:Potri.017G055300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055300.v4.1 MKNFSYLSFPPSTSKTKLSPPLPAIRGKHKPVSDKADGRKNPDYAQCRIEKELKRGLKDPPINCSYGPIGDNIFQWEGAIIGPSDTPFEDGVFFLSIDFTAEYPFVPPRIKFKTKVFHPNIGQDGTICVDILGSQWTPALTIENLLLSICSLLPDPNPEDPTSPVCKLYRTNREAYSKKARKWTKMYAIG >Potri.010G030900.1.v4.1 pep chromosome:Pop_tri_v4:10:4606055:4608735:-1 gene:Potri.010G030900.v4.1 transcript:Potri.010G030900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030900.v4.1 MPEKGENQSWLFYRSNFVLQWRLHMLTAFVFFVMVVVWSMDGGTIKSVVESRRFAKQYLTINPHTQHLLTNLTEQKQQQQQQTLQNFSTITKNVTADTPLAQETNNNNTSAPPRFNMVLNNDKNVSFPQNHSDGVLENPAPGRHQSGGNVKWVLTELEPNLTAYLLSRWLASGGEPCRESRTVEIVIPGLDDKDLIELTAGDRHEFGFQALDESNNLVCLGGDYFETDLSGETWKSRPLVRDFGNGSYSISLQVHPDFAGDYNLTVILLYRHFEGLKFSPWRFAFDKQLRKFQIKFVKGHTQLPKIKTCQKSDFIRDLWLGRWTRYGKNDGCQISNDGRYRCLAPDFPCQSPWCSGSLGMLESNGWVYSSHCSFRLFSADSSWNCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPQIPSVPRRFDMNFSNPNDASQTVRITSIFNGHWNETLNYEGFNSLADEGFRNLLKKYFSEDTLPDTIIMNSGLHDGVHWRNLRSYTAGADYAASFWKEVMDSVRRRGLAVPLIFYRTTVATGGYARTLQFNPNKMEVYNWVALEKFRQAGLVTGVIDDFDMTFPWHFDNRCNDGVHYGRGPAKMKWRDGVIGHQYFVDLMLAHVLLNALCSK >Potri.010G028500.1.v4.1 pep chromosome:Pop_tri_v4:10:4075851:4078056:-1 gene:Potri.010G028500.v4.1 transcript:Potri.010G028500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028500.v4.1 MAMSGFEGYEKRLELHFFGDDPAMLDNNLGLRLLDFESLEQVLNAVQCTVVSAVANQYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPLIHYACNLGLSLCSCRYTRGTFIFPKAQPSPHTSFKEEVMCLEDALPNNLCYRKASVMPSKTTTNSWHVFTASDESHIIPNDHDIYTVEVCMTDLDRVLARKFFLPPGGGNKSGDVAGKEMTKITGIGDINPRAMICDFAFDPCGYSMNGIHNDRYSTIHVTPEDGFSYASFECVGSIFDDDDIEHLVGTLRKAVQVFRPATLSVSTTCASHEVWPRVTHALEPLGLKCRSCAADEFPAAGSIVFQTYTARRK >Potri.011G093000.1.v4.1 pep chromosome:Pop_tri_v4:11:11999389:12004484:1 gene:Potri.011G093000.v4.1 transcript:Potri.011G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G093000.v4.1 MASTVLRSRILSIVSRNNKPNRRFFCSNKEPIISSQSTIPDQSAAAAEEAPAQAAGIRENKAWNFFKYGIIGALTGATAFAGYASYAYSLDEVEEKTKTLRESVNYTASNDASNVEKYQGLLYSTVMTVPVKAVELYLDLRKLLEEHVKGFTEPASDKLLPDLHPAEQHVFTLVLDLNETIIYSDWKRDRGWRTFKRPGVDDFLQHLGRFYEIVVYSDQLSMYVDPVVERLDPNHFIRYRLSRSATRYQDGKHYRDLSKLNRDPGKILYVSGHAFENSLQPENCVPIKPFKIDETGDVPLDTALLDLIPFLEYVARNSPSDIRTVLASYERKDLGKEFLERSKDYQRRMQEQRQQGRLWRR >Potri.010G124400.2.v4.1 pep chromosome:Pop_tri_v4:10:14207027:14209152:1 gene:Potri.010G124400.v4.1 transcript:Potri.010G124400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124400.v4.1 MNHCAIQQNAFSTREEIRSSVSVPVSDRRDPVVCPKPRRLGLLNNYPARSIRFQLSHQSELCDSKAGNEFLDIILAKGGYGVDNQSFCKQVASSPPPFFCGSPPSRVANPLIQDARFGDEKFSPLSPVTPIPPTMDLSSSSSSPRKGGLVRANFGNKPVVRIEGFDCLDRDCRNCSIPALA >Potri.010G124400.1.v4.1 pep chromosome:Pop_tri_v4:10:14207788:14209102:1 gene:Potri.010G124400.v4.1 transcript:Potri.010G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124400.v4.1 MNHCAIQQNAFSTREEIRSSVSVPVSDRRDPVVCPKPRRLGLLNNYPARSIRFQLSHQSELCDSKAGNEFLDIILAKGGYGVDNQSFCKQVASSPPPFFCGSPPSRVANPLIQDARFGDEKFSPLSPVTPIPPTMDLSSSSSSPRKGGLVRANFGNKPVVRIEGFDCLDRDCRNCSIPALA >Potri.001G390100.1.v4.1 pep chromosome:Pop_tri_v4:1:41263679:41264904:1 gene:Potri.001G390100.v4.1 transcript:Potri.001G390100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390100.v4.1 MSSTSSFTRFYDTWFDQLNQLLEQLRTAPKPPSSQDDRSHLSSLAQKIVSHYAEFYRVKSMAIESDVLSVFTAPWASCFERSLHWIAGWRPTTLFHLVYTESSILFEFHIADILKGRSTGDLGDLSPNQFRRVSELQCETVKEENAITGELSEWQDSANEVMLGSFTDLGDKVGRLVSVVKKADDLRLRTIKRVVELLTTQQAVEFLVAAGELQFGVYGWGRKLDHRPCQSV >Potri.005G092900.1.v4.1 pep chromosome:Pop_tri_v4:5:6495090:6497917:-1 gene:Potri.005G092900.v4.1 transcript:Potri.005G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092900.v4.1 MSLRNLKELTIIAKEAFSKMFLVAKSLCFLHVTNTHVFTVASLYGPSMLPTFNLTGDWALAERFSHKLGKVGAGDIVILKSPVEPRKIMTKRVIGVEGDSVTYVVEPKNSDRTETIVVPKGHIWVEGDNIYNSKDSRNFGAVPYGLLRGKMLWKIWPPKDFGYIGKKEQNS >Potri.003G209300.3.v4.1 pep chromosome:Pop_tri_v4:3:20788842:20791468:1 gene:Potri.003G209300.v4.1 transcript:Potri.003G209300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G209300.v4.1 MESGIDRLIQSVKEELQISYAFSDTCCIYKVPERLRELNEKAYTPRLVSIGPIHHCNDKLKAMEDHKRMYLQEFIARTKYNCRKSTEFTGSRDSIFYPPYKWFDVRVDICLLENQLPFFILEELCGLSTILGNSPKPTLIELTHGFFSNEWGSWAVGEYWGKIDFSEVKHLVDFLTIYHRPTEQQQHEELEVLTAPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEFPRLQLEDGTEIIIRNMQAFEQCHGLGSGYVGDYICLMGLFLGASKDVEILVENRIIDNWLLSKEEVVKLFHSLNIENLVSPDGFFFEGLIKDLNAFRERPWNKRKANLKQNYFNTPWAAVSVSGAVILLILTIIQSVCPILEVV >Potri.008G142700.2.v4.1 pep chromosome:Pop_tri_v4:8:9631002:9634760:-1 gene:Potri.008G142700.v4.1 transcript:Potri.008G142700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142700.v4.1 MAEECTDDSVAISSSTPPNWWDLHHAASLSSWTNTSPWQQSNPSSNSTCEEDLSMSTSFTNASNHSGLTVESARRLVEPSSSSEMMGEHASDHSQLWNHILLGVGSNEELENSQDVGENLLDALSSKTTSTMSSGIFGPACDYFKKMDNNWELTNPTSFNNFEKQLNGFSESLIGSGRLNKLVSHLCIAPPNPEVKRQLFDPLTGNTSLNPSVNNHYSSQHQTYSNSTPCLVGESRNSGFQSCYSRDPKVDNEHRTRPTAPFRRPFNSNGVGYHIGLNNSVLVGDNSKYYYGMPDATSRSARNFADVLTFTNRLSKPLVDFQVPKPCFKSINLSDSRKQGIQTSSPIGKGHGTTNEGKKRREETSETAVKKAKHESSTVSTVKMQASKVKLSERVTALQQIVSPFGRTDTASVLYEAIQYIKFLQGQVQLLSNPYTKTTNSQNLLFQDPWVGLDRKADKGDAKLDLKSRGLCLVPVSSTPQIYHDNAGSDYWTPTYRGCLYR >Potri.008G142700.1.v4.1 pep chromosome:Pop_tri_v4:8:9631278:9634504:-1 gene:Potri.008G142700.v4.1 transcript:Potri.008G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142700.v4.1 MAEECTDDSVAISSSTPPNWWDLHHAASLSSWTNTSPWQQSNPSSNSTCEEDLSMSTSFTNASNHSGLTVESARRLVEPSSSSEMMGEHASDHSQLWNHILLGVGSNEELENSQDVGENLLDALSSKTTSTMSSGIFGPACDYFKKMDNNWELTNPTSFNNFEKQLNGFSESLIGSGRLNKLVSHLCIAPPNPEVKRQLFDPLTGNTSLNPSVNNHYSSQHQTYSNSTPCLVGESRNSGFQSCYSRDPKVDNEHRTRPTAPFRRPFNSNGVGYHIGLNNSVLVGDNSKYYYGMPDATSRSARNFADVLTFTNRLSKPLVDFQVPKPCFKSINLSDSRKQGIQTSSPIGKGHGTTNEGKKRREETSETAVKKAKHESSTVSTVKMQASKVKLSERVTALQQIVSPFGRTDTASVLYEAIQYIKFLQGQVQLLSNPYTKTTNSQNDPWVGLDRKADKGDAKLDLKSRGLCLVPVSSTPQIYHDNAGSDYWTPTYRGCLYR >Potri.008G039800.2.v4.1 pep chromosome:Pop_tri_v4:8:2229231:2229892:-1 gene:Potri.008G039800.v4.1 transcript:Potri.008G039800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039800.v4.1 MVDISNRRNYSSQVFLDPSESFSGAIGFWRHRRVQLWLEKLGAKEPFYGNMAICWTNAKEEEALERYKLITGNIVSFPKFQLSSDGLVDKCSGLNSRGVLEIKCPFFKGEMCRASPWKQIPLYCVPQAQGLIEILDKDWMDFYVWTPNGSGLFALYRDEAYWML >Potri.015G110600.2.v4.1 pep chromosome:Pop_tri_v4:15:12769151:12771040:1 gene:Potri.015G110600.v4.1 transcript:Potri.015G110600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110600.v4.1 MNGLKNYCTHIIAFSLFFLTLLCGLILYNPATFHPVFPYTRNVNSTSQQDELELALAEASTENRTVIIAMVNKAYVEGDDKSMLDLFLNGFWFGENTRDLVNHLLLVNVDQASYERCKFLRLHCYKLETDGVEFDREEVYMSNEFIKMMWRRTFFLGEVLVRGYNFIFTDTDVLWLRNPFQRLSFNENIDLQISTDSFNGDQWSQRNPINTGFYMVRSNKKTIKLFDLWYSRKEESIGQKEQDVLDGMLHGEVLKNLDMRVRFLNTLYFSGFCQDSKDIRAVTTVHANCCRTISAKVADLSAVIDTWKRFKRSAANETFTFGDLSHAACAHSWGK >Potri.007G047200.1.v4.1 pep chromosome:Pop_tri_v4:7:4319821:4321714:-1 gene:Potri.007G047200.v4.1 transcript:Potri.007G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047200.v4.1 MAIDLSPKVAKKVYGGDGGSYCAWCPSDLAMLREGNIGAAKLALEKNGLALPRYSDSAKVAYVLQGNGVAGIVLPEKEEKVVALKKGDAIALPFGVVTWWYNKEDTELVVLLLGDTSKAHKTGEFTDFFLTGSNGIFTGFSTDFVSRAWDVDEEAVKSLVGNQTAEGIVKLDESFGMPEPKEEHREGFVYNCEEAPLDVDIKGGGKVVVLNTKNLPLVAEVGLGADLVMLDGSAMCSPGFSCDSALQVTYIVSGSGRVQIVGVDGHRVLETTVKAGHLFIVPRFFVVSKICDPDGMSWFSIITTPNPIFTHLAGRTSVWKALSPQVLEASLKVSPDVEQLFRSKRVNEEIFFPPPK >Potri.015G048000.2.v4.1 pep chromosome:Pop_tri_v4:15:4886477:4893654:1 gene:Potri.015G048000.v4.1 transcript:Potri.015G048000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048000.v4.1 MELPQQRPFGTEGRKPTHDFLSLYSHSSTVQQDPRPPSQGGFLQTHDFLQPLEQVSKATAREETNVEILTIEKPPPPAPPPSVEHTLPGGIGTYSISHVSYFNQRVPKPENTIFSVAQASSTDKNDENSNCSSYSASGFTLWEESTLKKGKTGKENVGERSNIIREAAAKTDQWTTSERPSQSSSNNHRNSFSSLSSSQPPGLKCTQSFIEMIKSAKGSNLDDDLDDEETFLLKKETPSPIHKGVRVWIENKCIKILLFEKKKVVLCVLCLSYMFVELRTLFFLFSGELRVKVDGKSNDQKPNTPRSKHSATEQRRRSKINDRFQMLRALIPHGDQKRDKASFLLEVIEHVQFLQEKVQKYEGSYQGWNHEHAKLGPWRNNSRPVESSVDQSRGVNSGVGPALLFAANLDEKNITISPSINPGGARNAVESNMSSASTFNAMDHHPNLGITNKAMPFPISLQPNLFHPGRIAGAAAQFPPRLAFDAENTATQPQPCHAISCTSDGAVASDKLKQQNLTVEGGTISISTAYSQGLLNTLTQALQSSGVDLSQATISVQIELGKKGNSRQTAPTSIVKDNNVPPSNQGTIRSRVSSGEESDQALKKLKTSKG >Potri.015G048000.1.v4.1 pep chromosome:Pop_tri_v4:15:4886476:4894076:1 gene:Potri.015G048000.v4.1 transcript:Potri.015G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048000.v4.1 MELPQQRPFGTEGRKPTHDFLSLYSHSSTVQQDPRPPSQGGFLQTHDFLQPLEQVSKATAREETNVEILTIEKPPPPAPPPSVEHTLPGGIGTYSISHVSYFNQRVPKPENTIFSVAQASSTDKNDENSNCSSYSASGFTLWEESTLKKGKTGKENVGERSNIIREAAAKTDQWTTSERPSQSSSNNHRNSFSSLSSSQPPGLKCTQSFIEMIKSAKGSNLDDDLDDEETFLLKKETPSPIHKGELRVKVDGKSNDQKPNTPRSKHSATEQRRRSKINDRFQMLRALIPHGDQKRDKASFLLEVIEHVQFLQEKVQKYEGSYQGWNHEHAKLGPWRNNSRPVESSVDQSRGVNSGVGPALLFAANLDEKNITISPSINPGGARNAVESNMSSASTFNAMDHHPNLGITNKAMPFPISLQPNLFHPGRIAGAAAQFPPRLAFDAENTATQPQPCHAISCTSDGAVASDKLKQQNLTVEGGTISISTAYSQGLLNTLTQALQSSGVDLSQATISVQIELGKKGNSRQTAPTSIVKDNNVPPSNQGTIRSRVSSGEESDQALKKLKTSIWCIPQTRNRRREKLKSKNGLMTRGDVHQYD >Potri.001G196400.1.v4.1 pep chromosome:Pop_tri_v4:1:18744084:18745321:1 gene:Potri.001G196400.v4.1 transcript:Potri.001G196400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196400.v4.1 MTNISRTGNSTTQACAACKYQRRKCAPDCILAPYFPHNRQRQFLNAHKLFGVSNISKIIKNLNPPEKDEAMRTIIFQSDVRANDPVGGCYRMIRELQRQIEYTRAELDIALHQVALYRAQAAAQQQTQLIQTAAAAAAGPDHQTDHDDSTRLDCENIIITDTFDMYDTVVMQYHDQYPQSHQDQQEFVIYNQAHLQEDHANPNAWAAVPVQDINPCLSISSATYLDDFNQANYNGNDQCIMHGDMKPLLDVRNVNFEPDRDSLVDTRFVPSTQLLISS >Potri.005G170000.1.v4.1 pep chromosome:Pop_tri_v4:5:17344765:17347315:1 gene:Potri.005G170000.v4.1 transcript:Potri.005G170000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170000.v4.1 MGGVLSLVGSKCRTSEYNEMLNNGSCKRQKTSSIFYDEESPRLIPFLPDELSIQILARLPRYCYFNLRLVSKKWKATFESAELFKVRKELGLTEEWLYVLIKDEADKLSWHALDPLSRNWQRLPPMPNVVCADESKSGFSGLWLWNVVGSGIKIAEAVRSWLGQKDTLDQMPFGGCSVSAVDGCLYVLGGFSRATTMRCVWRFDPISNKWSKTTSMSTGRAYCKTSILNNKLYVVGGVSQGRGGLTPLQSAEVFDPCTGTWSDVPSMPFSRAQLVPTAYLSDLLKPIATGMTSYMGRLFVPQSLYSWPFIVDVGGEIYNPETNSWAEMPTGMGEGWPARQAGTKLSVVVDGELYAFDPSTSPNSGKIKVYDQKEDTWKVAIGKVPVADYTESDSPYLLTGFHGKIHVLTKDANHNIAVMQADVQDNLGSPLSSTSVSAKSLHDHPDSSETVFWKVIDSKDFGSAEFVSCQVLDV >Potri.005G170000.2.v4.1 pep chromosome:Pop_tri_v4:5:17344731:17347113:1 gene:Potri.005G170000.v4.1 transcript:Potri.005G170000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170000.v4.1 MGGVLSLVGSKCRTSEYNEMLNNGSCKRQKTSSIFYDEESPRLIPFLPDELSIQILARLPRYCYFNLRLVSKKWKATFESAELFKVRKELGLTEEWLYVLIKDEADKLSWHALDPLSRNWQRLPPMPNVVCADESKSGFSGLWLWNVVGSGIKIAEAVRSWLGQKDTLDQMPFGGCSVSAVDGCLYVLGGFSRATTMRCVWRFDPISNKWSKTTSMSTGRAYCKTSILNNKLYVVGGVSQGRGGLTPLQSAEVFDPCTGTWSDVPSMPFSRAQLVPTAYLSDLLKPIATGMTSYMGRLFVPQSLYSWPFIVDVGGEIYNPETNSWAEMPTGMGEGWPARQAGTKLSVVVDGELYAFDPSTSPNSGKIKVYDQKEDTWKVAIGKVPVADYTESDSPYLLTGFHGKIHVLTKDANHNIAVMQADVQDNLGSPLSSTSVSAKSLHDHPDSSETVFWKVIDSKDFGSAEFVSCQVLDV >Potri.012G088350.1.v4.1 pep chromosome:Pop_tri_v4:12:11381712:11383143:1 gene:Potri.012G088350.v4.1 transcript:Potri.012G088350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088350.v4.1 MIVHDCLNIVLISLFKDNFIIKDKLGRDYHSLPVGKNGRDDEEMILCYFKIDQSHCIQTVTIFVLSTVIYVHDSLDVYGRPVLVVVASKHFPAMHNPVENEKLCHPAGKEQILGILDLRGFSTENTDFKFLTFLVILTIS >Potri.004G115201.1.v4.1 pep chromosome:Pop_tri_v4:4:10603271:10603636:-1 gene:Potri.004G115201.v4.1 transcript:Potri.004G115201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115201.v4.1 MGSLGKNISLGLFLFIGILVITPGFAIRTHEEDPELSRHLEECHAKVTKRCAIEISNSIYNNNTPSEYCCQKHITTGKACHDDFIKLFVSKVPKDKVAFVVAKGDQIWNQCAATVALAPVA >Potri.013G010300.4.v4.1 pep chromosome:Pop_tri_v4:13:650722:654473:-1 gene:Potri.013G010300.v4.1 transcript:Potri.013G010300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010300.v4.1 MANNNAGGSVDNTFRRKFDPEEYLERAREREKQEAEGRGKSKSKGPPVQRKPLKHRDYEVDLDSRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLQQVQERFEKLKKRREPGSFTEQDLDERILKQQEEEEERKRQRRERKKEKKVSVTRILC >Potri.013G010300.1.v4.1 pep chromosome:Pop_tri_v4:13:649095:654473:-1 gene:Potri.013G010300.v4.1 transcript:Potri.013G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010300.v4.1 MANNNAGGSVDNTFRRKFDPEEYLERAREREKQEAEGRGKSKSKGPPVQRKPLKHRDYEVDLDSRLGKTQVVTPIAPLSQQAGYYCSVCECVVKDSANYLDHINGKKHQRALGMSMRVERASLQQVQERFEKLKKRREPGSFTEQDLDERILKQQEEEEERKRQRRERKKEKKKEKAAEEEEADIDPDIAAMMGFGGFGSKK >Potri.008G011541.1.v4.1 pep chromosome:Pop_tri_v4:8:582483:585955:1 gene:Potri.008G011541.v4.1 transcript:Potri.008G011541.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011541.v4.1 MYTRNKKTLDEYRVSDILGRGGFSVVRRGIMKTSGDRRQVAIKTLKRLGPSAPSGIPRSRGDGERSFASFKFPTWRQVSVSHALLSNDIFVMRKIVENVSPHQNVVDLYDVYEDQNELFDRIVARDKYSERDAAAVVRQIAEGLGALHRANIVPRDLKPENCLFLNENDDSTLKIMDFGLSSVEEFTDPVVGLFGSIDYVSPEALSQGRISSKTDMWSVGVILYILLSGYPPFIAQSNRQKQVILAGDFSFYEKTWKNITSSAKQLITDLLQVDPERRPSAQDVLNHPWVIGGSAKEEQMDPEIVSRLQSFNARRKFRAAAIASVWSSTIFLRTKKCAKGDNATLSEFEEVLKAMNMSSLIPMAPRIFDLFDNNRDGTVDMREILCGFSSLRNSRGDDALRLCFQALPENCLPADITEPGKLDEIFDRMDASSDGKVTFDEFKAAMQRDSSLQEVLLSSLRQQ >Potri.018G027500.3.v4.1 pep chromosome:Pop_tri_v4:18:2049128:2053666:1 gene:Potri.018G027500.v4.1 transcript:Potri.018G027500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027500.v4.1 MDSDYHLFPDDGLETVHQNGVHEQSAAAREDGVVSNNLSGSMGNTFEVDDCTNDNLSTREVEGELKEGEAKVKDADNSEKARSQKGSGKGGNAKPSNPKNVSATQVKGKDGRDAVARTAVSNGSVAVNSQLKQSLKSNSFNERQGQASKQSGKSDAVLSAGLVEKAKPLKKGPVVKAEGETESTSSPTAEDAKSRKFGTLPNYGFSFKCDERAEKRKEFYTKLEEKIHAKEVEKSTLQAKSKETQEAEIKLFRKSLAFKATPMPSFYQEPAPLKVELKKIPTTRAKSPKLGRKKSPSPADSEGNNSQSNRSGRLSLDEKISSKIPIRGLSPAHPKKPQRKSLPKLPSEKINLYANDEKGKLPKASNEENTTLSDQTNEGVSANQEQEAVSKNEASEFLPPKEEVVVQEEAATLMKGPIALAV >Potri.018G027500.1.v4.1 pep chromosome:Pop_tri_v4:18:2049126:2053666:1 gene:Potri.018G027500.v4.1 transcript:Potri.018G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027500.v4.1 MDSDYHLFPDDGLETVHQNGVHEQSAAAREDGVVSNNLSGSMGNTFEVDDCTNDNLSTREVEGELKVYVGINGFSVSKEGEAKVKDADNSEKARSQKGSGKGGNAKPSNPKNVSATQVKGKDGRDAVARTAVSNGSVAVNSQLKQSLKSNSFNERQGQASKQSGKSDAVLSAGLVEKAKPLKKGPVVKAEGETESTSSPTAEDAKSRKFGTLPNYGFSFKCDERAEKRKEFYTKLEEKIHAKEVEKSTLQAKSKETQEAEIKLFRKSLAFKATPMPSFYQEPAPLKVELKKIPTTRAKSPKLGRKKSPSPADSEGNNSQSNRSGRLSLDEKISSKIPIRGLSPAHPKKPQRKSLPKLPSEKINLYANDEKGKLPKASNEENTTLSDQTNEGVSANQEQEAVSKNEASEFLPPKEEVVVQEEAATLMKGPIALAV >Potri.016G105100.3.v4.1 pep chromosome:Pop_tri_v4:16:10838995:10849902:1 gene:Potri.016G105100.v4.1 transcript:Potri.016G105100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105100.v4.1 MAAEDGTAQEYVPQKKNKEPTETEKRRKKIVPGSLMKAEIRPGGGDARPSDGDQVIYHCTVRTLAGVVVESTRSEYGGKGTPIRQVLGKSKMLLGLLEGLPTMLSGEVAMFKMKPQMHYSEADCPVSPPSSFPRDDELHFEIEMIDFSKVKVVSDDLGVIKKVIDEGQGWESPREPYEVKAWISAKTGDDKVILSPKQGEPYFFTIGKSEVPKGLEMGIGTMTREEKAVIYVTNQYLTESPLMSVVGLEEVQFEVELIHFTQVRDMLGDGRLIKRRLRDGKGEFPMDCPLQDSLLRVHYKGMLLNEEKTVVIDTRIDNDGQPLEFSSGEGLVPEGFEMCVRLMLPGEVALVTCPPDYAYDKFTRPANVPEGAHIEWEIELLGFEMPKDWTGLDFQGVMDEAEKIRTTGNRLFKEGKFELAKAKYEKVLREFNHVNPQDDEEGKVFLNTRNLLNLNVAACHLKLGECRKSIETCNKVLEANPAHVKALYRRGMAYMEVGDFEEARSDFEMMLKVDKSSELDATAALKKLKQKQQDVEKKARRQFKGLFDKKPGEIADAGTDDRGEEQSTSENQKNGDQEDSNGTDTEDVEDVADEPREGLFSRLWPTGRRLFSALGLQRCAIL >Potri.006G003100.3.v4.1 pep chromosome:Pop_tri_v4:6:256760:259463:-1 gene:Potri.006G003100.v4.1 transcript:Potri.006G003100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003100.v4.1 MYGRAGLERFKKAESTEPFSVSVNSAPKTTTLPATKTVTQPSVQYSQSKTQSQFRDQPHAAQKPEVPEAGPLLGNTQPVTQVGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVLDRINLDRRRHIFGRQIPTCDFVLDHQSVSRQHAVVIPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPHPPPPSDINIPPPPDPSDEEAVVAYNTFLNRYGLNKPDLSTKSSESSDSLSRRHDDLQSERAAKRIKKARVVFRDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQFSAKENNASQKGVTSKLQQVLNKVKAAPKIGMYDDLYGESFAGKVGSSWAYSSDSSAGNAASPTKDGQGNATGSLSGNPQNNLSFYDDDDDLFGD >Potri.006G003100.1.v4.1 pep chromosome:Pop_tri_v4:6:256620:259463:-1 gene:Potri.006G003100.v4.1 transcript:Potri.006G003100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003100.v4.1 MYGRAGLERFKKAESTEPFSVSVNSAPKTTTLPATKTVTQPSVQYSQSKTQSQFRDQPHAAQKPEVPEAGPLLGNTQPVTQVGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVLDRINLDRRRHIFGRQIPTCDFVLDHQSVSRQHAVVIPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEVGQSLRFAASTRTYILRKNDAALFPHPPPPSDINIPPPPDPSDEEAVVAYNTFLNRYGLNKPDLSTKSSESSDSLSRRHDDLQSERAAKRIKKARVVFRDQVGGELVEVVGISDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQFSAKENNASQKGVTSKLQQVLNKVKAAPKIGMYDDLYGESFAGKVGSSWAYSSDSSAGNAASPTKDGQGNATGSLSGNPQNNLSFYDDDDDLFGD >Potri.018G105401.1.v4.1 pep chromosome:Pop_tri_v4:18:12449501:12451026:-1 gene:Potri.018G105401.v4.1 transcript:Potri.018G105401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105401.v4.1 MLSSNQTHLTSQTHYSVCLKYPSATPQKNPIFITVSSLNSLKKTFFSPNYVGFFGFSLSWSEFHRENRKARTFPSLSLIFLYHPPRSHLVHHSLFLSSHYNSLLAFFLPIFPLPCPSFSFPFLSSCP >Potri.014G119800.1.v4.1 pep chromosome:Pop_tri_v4:14:8048572:8050450:-1 gene:Potri.014G119800.v4.1 transcript:Potri.014G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119800.v4.1 MDSSPSRKLDSNVSELTPETQTSKRRKMVEKIVVRVRIGENAVKLKNEGPPSDFWSWRKYGQKPIKGSPYPRGYYRCSTSKGCSAKKQVERCRTDASVLIVTYTSNHNHPGPDLHDSNVNQQPRDPETPPTDLVDHPITPKQEKPEEETGERHDHPIMPSTDEDVSEGHNFHYLESPIRIPQDIMISQDYPFTENSEKSHDTLGIVFDEEPICCSRLMTFSAPKSEENNDFFDELEELPTSSSFTSFVRGNFFDERIPVVPS >Potri.004G043000.1.v4.1 pep chromosome:Pop_tri_v4:4:3403292:3405607:1 gene:Potri.004G043000.v4.1 transcript:Potri.004G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043000.v4.1 MPPKEKPRVDYTLKATSPDIGGRKATGSDKLTLVEQRQFIYVRIVKANGLPMNNISGTCNPFVELKIGNYKGITRCFEQTSNPEWNEVYAFTRDQILGGRLEILVRDKESAINEITGHLSFDLGHIPTRFPPDSPLAPQWYKLEDRNGVKIVGELMLAVWIGNQADDAFPVAWHSDAAAVSGKSVTKTRSNVYLSPVLWYLRIQVIAAQDLAPADRNRKPEAYVKAVLGNLVLRTKVSKDTNLNPTWNEEVMFVAAEPFDDPLVLSVEDKMGADKDVCLGRSVIPLHQVEKRLLPQPIGDQWITLQKHVAEGEKKTEVKFAGRLHLRIFLDGVYHVFDEPTYYCSDLRATSPKLWPEKIGVLELGILKAEGLLPTKSKDGRGTTDAYCVAKYGQKWVRTRTIVDSFAPKWNEQYHWDVYDPYTVVTIGVFHNYHLQEGDKNGGKRDPRLGKVRIRLSTLETGRIYTHSYPLLVLQPNGLKKMGELHLAVKFSCNNWIDLFHTYSQPLLPMMHYLKPLSVYQLDSLRHQATYTLSLRLGRADPPLSREVVEYMLDTGVNRWSLRRGKANCERVMACLSGILFIWRQFDQTRHWKNSAVTILIYSLFVAMVMSPKLILPAFFLAFFVLGVWRFPKRPRHPPHMDTKLSHAETAQHDELDEEFDTFPTSKQGEALKTRYDRLRGIAGRLMIMIGDLATQLERIHALVSWRDPRATAMFLIFCLIACILVHKVQFRYLVLVTWTYAMRPPRLRVGIPSIPQSFLRRLPAKTDSML >Potri.014G145000.1.v4.1 pep chromosome:Pop_tri_v4:14:9903961:9913571:1 gene:Potri.014G145000.v4.1 transcript:Potri.014G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145000.v4.1 MTSLDISTPLIFKLNSSTKLRRLFSVKLPYRHNHKPSFSLTNAVRTQTAVPFSSRTATPKYKIETEQDPISILNERIRRQHHGKREGSRPIMDSEEADQYIQMVKEQQQRGLQKLKGDRVAKEGDVFSYKVDPYTLRSGDYVVHKKVGIGRFFGIKFDVPKGSSEAIEYVFIEYADGMAKLPVMQASRMLYRYNLPNETKRPRTLSKLSDTGAWERRKTKGKVAIQKMVVDLMELYLHRLKQRRPPYPKTPFMAEFAAQFPYEPTPDQKLAFIDVERDLNQRETPMDRLICGDVGFGKTEVALRAIFCIVSAGKQAMVLAPTIVLAKQHFDVISERFSKYSHIKVALLSRFQSKAEKEMYLNMIEHGHLDIIVGTHSLLGNRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAYNKDKLISAIKYELDRGGQVFYVLPRIKGLEEVKDFLEQSFPNVEIAVAHGQQYSKQLEDTMEQFAQGEIKILICTNIVESGLDIQNANTIIIQDVQLFGLAQLYQLRGRVGRADKEAHAHLFYPDKSMLTDQALERLAALEECRELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGVDFFFEMLFESLSKVDEHRVISVPYQSVQIDLNINPHLPSDYINYLENPMEIINEAEKAAETDIWSLMQFTENLRRQYGKEPSSMEIILKKLYVRRMAADIGITRIYASGKMVGMETNMSKKVFKLMTDSMSSEMHRNSLFFDGNEIKAELLLELPRAQLLNWIFQCIAELHACLPALIKY >Potri.014G145000.7.v4.1 pep chromosome:Pop_tri_v4:14:9904059:9913532:1 gene:Potri.014G145000.v4.1 transcript:Potri.014G145000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145000.v4.1 MTSLDISTPLIFKLNSSTKLRRLFSVKLPYRHNHKPSFSLTNAVRTQTAVPFSSRTATPKYKIETEQDPISILNERIRRQHHGKREGSRPIMDSEEADQYIQMVKEQQQRGLQKLKGDRVAKEGDVFSYKVDPYTLRSGDYVVHKKVGIGRFFGIKFDVPKGSSEAIEYVFIEYADGMAKLPVMQASRMLYRYNLPNETKRPRTLSKLSDTGAWERRKTKGKVAIQKMVVDLMELYLHRLKQRRPPYPKTPFMAEFAAQFPYEPTPDQKLAFIDVERDLNQRETPMDRLICGDVGFGKTEVALRAIFCIVSAGKQAMVLAPTIVLAKQHFDVISERFSKYSHIKVALLSRFQSKAEKEMYLNMIEHGHLDIIVGTHSLLGNRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAYNKDKLISAIKYELDRGGQVFYVLPRIKGLEEVKDFLEQSFPNVEIAVAHGQQYSKQLEDTMEQFAQGEIKILICTNIVESGLDIQNANTIIIQDVQLFGLAQLYQLRGRVGRADKEAHAHLFYPDKSMLTDQALERLAALEECRELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGVDFFFEMLFESLSKVDEHRVISVPYQSVQIDLNINPHLPSDYINYLENPMEIINEAEKAAETDIWSLMQFTENLRRQYGKEPSSMEIILKKLYVRRMAADIGITRIYASGKMVGMETNMSKKVFKLMTDSMSSEMHRNSLFFDGNEIKAELLLELPRAQLLNWIFQCIAELHACLPALIKY >Potri.014G145000.8.v4.1 pep chromosome:Pop_tri_v4:14:9904091:9913531:1 gene:Potri.014G145000.v4.1 transcript:Potri.014G145000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145000.v4.1 MTSLDISTPLIFKLNSSTKLRRLFSVKLPYRHNHKPSFSLTNAVRTQTAVPFSSRTATPKYKIETEQDPISILNERIRRQHHGKREGSRPIMDSEEADQYIQMVKEQQQRGLQKLKGDRVAKEGDVFSYKVDPYTLRSGDYVVHKKVGIGRFFGIKFDVPKGSSEAIEYVFIEYADGMAKLPVMQASRMLYRYNLPNETKRPRTLSKLSDTGAWERRKTKGKVAIQKMVVDLMELYLHRLKQRRPPYPKTPFMAEFAAQFPYEPTPDQKLAFIDVERDLNQRETPMDRLICGDVGFGKTEVALRAIFCIVSAGKQAMVLAPTIVLAKQHFDVISERFSKYSHIKVALLSRFQSKAEKEMYLNMIEHGHLDIIVGTHSLLGNRVVYNNLGLLVVDEEQRFGVKQKEKIASFKTSVDVLTLSATPIPRTLYLALTGFRDASLISTPPPERVPIKTHLSAYNKDKLISAIKYELDRGGQVFYVLPRIKGLEEVKDFLEQSFPNVEIAVAHGQQYSKQLEDTMEQFAQGEIKILICTNIVESGLDIQNANTIIIQDVQLFGLAQLYQLRGRVGRADKEAHAHLFYPDKSMLTDQALERLAALEECRELGQGFQLAERDMGIRGFGTIFGEQQTGDVGNVGVDFFFEMLFESLSKVDEHRVISVPYQSVQIDLNINPHLPSDYINYLENPMEIINEAEKAAETDIWSLMQFTENLRRQYGKEPSSMEIILKKLYVRRMAADIGITRIYASGKMVGMETNMSKKVFKLMTDSMSSEMHRNSLFFDGNEIKAELLLELPRAQLLNWIFQCIAELHACLPALIKY >Potri.015G001200.4.v4.1 pep chromosome:Pop_tri_v4:15:46630:50443:1 gene:Potri.015G001200.v4.1 transcript:Potri.015G001200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001200.v4.1 MVGFKNRYMVMEVFLDPNRDLGVDDPIIITQYNVSKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCIIRTSREEYQKVWSAITMVRRIGNCPVLFNLLDLSGSIKACKVAALKCDEMKFEHYKLAAGAPLSTDVNQHMQNCLEKIKILEH >Potri.015G001200.7.v4.1 pep chromosome:Pop_tri_v4:15:46605:50457:1 gene:Potri.015G001200.v4.1 transcript:Potri.015G001200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001200.v4.1 MVGFKNRYMVMEVFLDPNRDLGVDDPIIITQYNVSKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCIIRTSREEYQKVWSAITMVRRIGNCPVLFNLLDLSGSIKACKVAALKCDEMKFEHYKLAAGAPLSTDVNQHMQNCLEKIKILEH >Potri.015G001200.1.v4.1 pep chromosome:Pop_tri_v4:15:46606:50451:1 gene:Potri.015G001200.v4.1 transcript:Potri.015G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001200.v4.1 MVGFKNRYMVMEVFLDPNRDLGVDDPIIITQYNVSKAIKDSILVNFGECGLASSLGSFQVKYVNPITKLCIIRTSREEYQKVWSAITMVRRIGNCPVLFNLLDLSGSIKACKVAALKCDEMKFEHYKLAAGAPLSTDVNQHMQNCLEKIKILEH >Potri.008G002300.9.v4.1 pep chromosome:Pop_tri_v4:8:47035:57768:-1 gene:Potri.008G002300.v4.1 transcript:Potri.008G002300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002300.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVSAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKYHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGTETTGVRCLTFNPDGRTLLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNANRFNNHSESKSGAIGNQSVLLENNSKTSMGRLSVSQNSDVLVKETKSLGRLAVSQNSVSGNEASKESKILPSAGSVPGTPQRVNLNTGLKTTMTGPLTVSSGAAPKRSSTKILSAANVPVLNKADVIPVIVPRTNSRSEQVADSRKEIGIAARTMPFSLQSKTTDFRKFSNSREDMDQPTTSTQSETTGCKATEPISVVDRNITPAVKASIHGISTAERNIGDDRSMGSGNYESDSTTEPPTSYQEENCETRGHKINRDAPTIESQKGGRMRSLMINWEKRGRSSSYEGPTSGTSTGTGSVVNVLPLNMFKQRGRTPSIEKETVSAFDEDVIADLMEQHDQFVSSMQSRSAKLQVVYRYWERNDVKGAIGAMEKMADHAVLSDVISIVADKIDIVTLDICTCLLPLLTNMLESDMDRHLSISLDMLLKLVRMFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKFAHELNLALQEVS >Potri.008G002300.8.v4.1 pep chromosome:Pop_tri_v4:8:47068:57769:-1 gene:Potri.008G002300.v4.1 transcript:Potri.008G002300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002300.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVSAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKYHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGTETTGVRCLTFNPDGRTLLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNANRFNNHSESKSGAIGNQSVLLENNSKTSMGRLSVSQNSDVLVKETKSLGRLAVSQNSVSGNEASKESKILPSAGSVPGTPQRVNLNTGLKTTMTGPLTVSSGAAPKRSSTKILSAANVPVLNKADVIPVIVPRTNSRSEQVADSRKEIGIAARTMPFSLQSKTTDFRKFSNSREDMDQPTTSTQSETTGCKATEPISVVDRNITPAVKASIHGISTAERNIGDDRSMGSGNYESDSTTEPPTSYQEENCETRGHKINRDAPTIESQKGGRMRSLMINWEKRGRSSSYEGPTSGTSTGTGSVVNVLPLNMFKQRGRTPSIEKETVSAFDEDVIADLMEQHDQFVSSMQSRSAKLQVVYRYWERNDVKGAIGAMEKMADHAVLSDVISIVADKIDIVTLDICTCLLPLLTNMLESDMDRHLSISLDMLLKLVRMFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKFAHELNLALQEVS >Potri.008G002300.6.v4.1 pep chromosome:Pop_tri_v4:8:47009:57902:-1 gene:Potri.008G002300.v4.1 transcript:Potri.008G002300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002300.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVSAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKYHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGTETTGVRCLTFNPDGRTLLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNANRFNNHSESKSGAIGNQSVLLENNSKTSMGRLSVSQNSDVLVKETKSLGRLAVSQNSVSGNEASKESKILPSAGSVPGTPQRVNLNTGLKTTMTGPLTVSSGAAPKRSSTKILSAANVPVLNKADVIPVIVPRTNSRSEQVADSRKEIGIAARTMPFSLQSKTTDFRKFSNSREDMDQPTTSTQSETTGCKATEPISVVDRNITPAVKASIHGISTAERNIGDDRSMGSGNYESDSTTEPPTSYQEENCETRGHKINRDAPTIESQKGGRMRSLMINWEKRGRSSSYEGPTSGTSTGTGSVVNVLPLNMFKQRGRTPSIEKETVSAFDEDVIADLMEQHDQFVSSMQSRSAKLQVVYRYWERNDVKGAIGAMEKMADHAVLSDVISIVADKIDIVTLDICTCLLPLLTNMLESDMDRHLSISLDMLLKLVRMFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKFAHELNLALQEVS >Potri.008G002300.7.v4.1 pep chromosome:Pop_tri_v4:8:47022:57781:-1 gene:Potri.008G002300.v4.1 transcript:Potri.008G002300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002300.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVSAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKYHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGTETTGVRCLTFNPDGRTLLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNANRFNNHSESKSGAIGNQSVLLENNSKTSMGRLSVSQNSDVLVKETKSLGRLAVSQNSVSGNEASKESKILPSAGSVPGTPQRVNLNTGLKTTMTGPLTVSSGAAPKRSSTKILSAANVPVLNKADVIPVIVPRTNSRSEQVADSRKEIGIAARTMPFSLQSKTTDFRKFSNSREDMDQPTTSTQSETTGCKATEPISVVDRNITPAVKASIHGISTAERNIGDDRSMGSGNYESDSTTEPPTSYQEENCETRGHKINRDAPTIESQKGGRMRSLMINWEKRGRSSSYEGPTSGTSTGTGSVVNVLPLNMFKQRGRTPSIEKETVSAFDEDVIADLMEQHDQFVSSMQSRSAKLQVVYRYWERNDVKGAIGAMEKMADHAVLSDVISIVADKIDIVTLDICTCLLPLLTNMLESDMDRHLSISLDMLLKLVRMFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKFAHELNLALQEVS >Potri.008G002300.10.v4.1 pep chromosome:Pop_tri_v4:8:47059:57773:-1 gene:Potri.008G002300.v4.1 transcript:Potri.008G002300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002300.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTIKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVSAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKYHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGTETTGVRCLTFNPDGRTLLCGLHESLKVFSWEPIRCHDAVDVGWSRLSDLNVHEGKLLGCSYNQSCVGVWVVDISRIEPYAIGNANRFNNHSESKSGAIGNQSVLLENNSKTSMGRLSVSQNSDVLVKETKSLGRLAVSQNSVSGNEASKESKILPSAGSVPGTPQRVNLNTGLKTTMTGPLTVSSGAAPKRSSTKILSAANVPVLNKADVIPVIVPRTNSRSEQVADSRKEIGIAARTMPFSLQSKTTDFRKFSNSREDMDQPTTSTQSETTGCKATEPISVVDRNITPAVKASIHGISTAERNIGDDRSMGSGNYESDSTTEPPTSYQEENCETRGHKINRDAPTIESQKGGRMRSLMINWEKRGRSSSYEGPTSGTSTGTGSVVNVLPLNMRGRTPSIEKETVSAFDEDVIADLMEQHDQFVSSMQSRSAKLQVVYRYWERNDVKGAIGAMEKMADHAVLSDVISIVADKIDIVTLDICTCLLPLLTNMLESDMDRHLSISLDMLLKLVRMFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKFAHELNLALQEVS >Potri.010G184700.2.v4.1 pep chromosome:Pop_tri_v4:10:18215033:18218172:-1 gene:Potri.010G184700.v4.1 transcript:Potri.010G184700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184700.v4.1 MANLAQVAGVHTSGLANMIISSARNATAHKKNCEQLAEHVKIISNLLEKIKSTDLVNLPATKEPLDCLEEALRKALDLVESCKEKSYLYMLAMGWSVVYQFRQVHDEIDRYLRLVPLISLVHEFRMQDIKEGWQAIEEDQRDYTLDEDDVEAQSVILKPDRSKKDANMLEKSLSRRYPDLGFQEALQEEKEKLQIELQRSRTSKEPNQCRVIEHLIEVTENVVNDVPAKKVTKLLVNEPTYVVSGYITNARSSNGVLKPGDKCQSEWQVDLFDCCKEPCLSLKTCIYPCGVFSRIANVVSKGKTSRERAINDLMAYSIFCGCCCYTCCIRKKIRHLFDIEGGSCDDFLTHFMCCCCAMVQEWRELEVRGFEGCPERKMIPPPYQYMMP >Potri.015G131400.2.v4.1 pep chromosome:Pop_tri_v4:15:14143746:14150250:-1 gene:Potri.015G131400.v4.1 transcript:Potri.015G131400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131400.v4.1 MSIVQSDPLLQVETTCGTLLYELQIIWNEVGETDTDRDKMLLELEQECLEVYRRKVDQANRSRAQLRQAIADSEAELAAICSAMGERPVHIRQADQNIGSLKEELRKTIPQLEEMRKRKLDRRKQFHEVLEGIQKVSSEIYGSADHNVFVDEADLSLKKLEELHRQLHELEKEKSDRMKQVQQHLDTLNALCLVLGMDFKHTVSEVHPSFGDSGGLRDISNLTIQHLATTIHKLREVKIQRMQKLQDLATTMLELWNLMDTPIEEQQEFQNVTCNIAASEHEITEPNTLSVEFIKYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKMHMIPETDAAVEYAVEAIESGNMDPESILEQIEVQIANVKEESFSRKEILEKVEKWLTACEEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARNLVNKMPGMVEALASKTMAWESERGTEFLYDGIRLLSMLEEYTILRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKASRVSTGGATNRRLSLGGAVLQTPRPDLPHYSKATPHSRPGNKVDRMHQNDHSKPHQDDGFAGLSAGRRGLDIAGLPMKKHSFGAVNGREPQSPMLRQPFSPISSTVSSKSNMLEEAMTHDDTSKKTLPINDLSFKTPSKTNTVADEENWTPKAMLIPVPTTPSTVSVPMQTAMTPAPPPVPFVVNPVEIPEEIEYSFEERRAGFILPNSHIKSIIQLQV >Potri.015G131400.3.v4.1 pep chromosome:Pop_tri_v4:15:14143701:14150250:-1 gene:Potri.015G131400.v4.1 transcript:Potri.015G131400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131400.v4.1 MSIVQSDPLLQVETTCGTLLYELQIIWNEVGETDTDRDKMLLELEQECLEVYRRKVDQANRSRAQLRQAIADSEAELAAICSAMGERPVHIRQADQNIGSLKEELRKTIPQLEEMRKRKLDRRKQFHEVLEGIQKVSSEIYGSADHNVFVDEADLSLKKLEELHRQLHELEKEKSDRMKQVQQHLDTLNALCLVLGMDFKHTVSEVHPSFGDSGGLRDISNLTIQHLATTIHKLREVKIQRMQKLQDLATTMLELWNLMDTPIEEQQEFQNVTCNIAASEHEITEPNTLSVEFIKYVEAEVSRLEELKSSKMKELVLKKRSELEEICRKMHMIPETDAAVEYAVEAIESGNMDPESILEQIEVQIANVKEESFSRKEILEKVEKWLTACEEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARNLVNKMPGMVEALASKTMAWESERGTEFLYDGIRLLSMLEEYTILRQEKEEERRRQRDQKKLQGQLIAEQEALYGSKPSPSKPQSVKKASRVSTGGATNRRLSLGGAVLQTPRPDLPHYSKATPHSRPGNKVDRMHQNDHSKPHQDDGFAGLSAGRRGLDIAGLPMKKHSFGAVNGREPQSPMLRQPFSPISSTVSSKSNMLEEAMTHDDTSKKTLPINDLSFKTPSKTNTVADEENWTPKAMLIPVPTTPSTVSVPMQTAMTPAPPPVPFVVNPVEIPEEIEYSFEERRAGFILPNSHIKSIIQLQV >Potri.003G079000.1.v4.1 pep chromosome:Pop_tri_v4:3:10603142:10611326:1 gene:Potri.003G079000.v4.1 transcript:Potri.003G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079000.v4.1 MIGNRELFGVVLMRELLWRCAWKKVDWRKLLFLGVVMTIVGVLVPTYAFPHTVNMWFLSPPLAVSSYVSLNGSMQLREALTEARVQQFPPVSAASVLSPNTTVPSKNKRAKVSRTRNSVLKRRKKKSKENDESKVVLPPPRSPIPPRMQRFIWSLSPNDALIYAKREIEHAPVVIDDPYLSAHIFRNISVFKRSYELMETILKVYIYPDGDKPIFHQPHLYGIYASEGWFMKFMEASREFVSRDPEKAHLFYLPYSARQLEVAVYVPNSHNLRPLSIFMRDYANMIAAKYPYWNRTHGRDHFLVACHDWGPYALTMHEELTKNTMKALCNADVSEGIFTAGQDVSLPETTIRSPKRPLRNVGGGIRVSQRPILAFFAGNLHGRVRPTLLKYWHNKDDDMKIYGPLPIGISRKMTYVQHMKSSKYCICPMGYEVNSPRIVEAIYYECVPVIIADNFVLPFNEVLDWSAFSVVVAEKDIPKLKEILLAIPLRRYLTMLANLKTVQKHFLWNPRPLRYDLFHMILHSIWFSRLNHVQIPQS >Potri.001G289001.1.v4.1 pep chromosome:Pop_tri_v4:1:30097776:30103880:-1 gene:Potri.001G289001.v4.1 transcript:Potri.001G289001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289001.v4.1 MTLLLRSFPLITLRTTRPCSLPLLQTLLKYHFPKSLSTKHTRTLVALSAASSSSSSSSPETILKPQQQPKDTLLWVSRTNFCGQLSINDVGARVRLCGWVALHRVHGGLTFFNLRDHTGIVQVATLPDEFPDAHSIINDLRVEYVVVVEGVVRSRPVESVNKKMATGSIEVVAEHAQLLNAVKAKLPFLVTTVDDAKDSVKEEIRLRYRCLDLRRQQMSSNIMLRHRVVKLIRRYLEDVQGFVEIETPILSRSTPEGARDYLVPSRIQPGTFYALPQSPQLFKQMLMVSGFDKYYQIARCFRDEDLRADRQPEFTQLDMELAFTPMEDMLRLNEDLIRKVFLEIKGVQLPNPFPRLTYAEAMSRYGSDRPDTRFDLHLKDVSDIFSESSFRVFADSLKSGGIIKVLCVPSGAKSYSNTALKKGDIYNEAIKSGAKGLAFLKVLDNGEFEGISALLSSLDSTNKEQLLSRCSAGPGDLILFAVGHHSSVNKTLDRLRLFIANQLGLNDPSRYSILWVTDFPMFEWNETEQRLEALHHPFTAPNPEDMKDLSSARALAYDMVYNGVEIGGGSLRIYKREIQQKVLEIVGITPEQAEAKFGYLLEALDMGAPPHGGIAYGLDRLAMLLAGANSIRDVIAFPKTTTAQCALTRAPSEVDPQQLKDLSFRTQ >Potri.002G126800.1.v4.1 pep chromosome:Pop_tri_v4:2:9619309:9622842:-1 gene:Potri.002G126800.v4.1 transcript:Potri.002G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126800.v4.1 MEPSGPSNPLLSLSTFIHHHCLRLGAEFSTRISDTTRFLGGNLPPPRRLCLAPSPPFASVSQPKQTATTANLSSDHVAKTLAGTAVYTVSNSNNEFVLISDPNGAKSIGLLCFRQEDAEAFLAQVRLRRRELRSQAKVVPITLDQVYMLKVEGIAFRFLPDPVQIKNALELKAVDIRSGFDGVPVFQSDLLVVKKKNKRYCPIYFQKEDIEKELSKVSKASRGPSLSQHIMVGSLEDVLKKMEISEKKSGWEDLIFIPPGKSHSQHIHEITKV >Potri.013G019600.2.v4.1 pep chromosome:Pop_tri_v4:13:1242727:1244836:1 gene:Potri.013G019600.v4.1 transcript:Potri.013G019600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019600.v4.1 MEEEQDKLLPIANVGRIMKQILPPTAKISKEAKQTMQECATEFISFVTGEASDKCHKENRKTVNGDDICWALGSLGFDDYAEAIVRYLHRYREVERERSANQHKASGTEQDIEESNHISFQPQQQIEAPNGIEFRILDKGNGSSFTNPS >Potri.013G019600.3.v4.1 pep chromosome:Pop_tri_v4:13:1242727:1244836:1 gene:Potri.013G019600.v4.1 transcript:Potri.013G019600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019600.v4.1 MEEEQDKLLPIANVGRIMKQILPPTAKISKEAKQTMQECATEFISFVTGEASDKCHKENRKTVNGDDICWALGSLGFDDYAEAIVRYLHRYREVERERSANQHKASGTEQDIEESNHISFQPQQQIEAPNGIEFRILDKGNGSSFTNPS >Potri.013G019600.4.v4.1 pep chromosome:Pop_tri_v4:13:1242756:1243575:1 gene:Potri.013G019600.v4.1 transcript:Potri.013G019600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019600.v4.1 MEEEQDKLLPIANVGRIMKQILPPTAKISKEAKQTMQECATEFISFVTGEASDKCHKENRKTVNGDDICWALGSLGFDDYAEAIVRYLHRYREVERERSANQHKASGTEQDIEESNHISFQPQQQIEAPNGIEFRILDKGNGSSFTNPS >Potri.015G119300.2.v4.1 pep chromosome:Pop_tri_v4:15:13332111:13336437:-1 gene:Potri.015G119300.v4.1 transcript:Potri.015G119300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119300.v4.1 MELSSYRSAFLKRKSMLEDRLIEITEQPLVSILELKKALSALIKLGKGPLAHQLLLKSYGSRLQKSIELFLPSCSVYPKTFPATLSRLVFSIISVTTKESGFIFDDNPVYNNRVVQWVEWEIEYFVRLVKENAPSSEKLFALGTASNCVQASLTYSSMLESQGLKLSKLLSVLLRPYIEEVLELNFRWARRAALDVTEIDESSLLSPRSMSPLSAFTTLSDSVLVDSGMKFMDIIEDILAQLTPMAVLHFGANVLTRISQLFDKYMDMLIKSLPGPSDDDNLTELKEVRHFRAETDSEQLALLGFAFTILDELLPLAVIKVWSLTNESKELESENIVPNASITAELKEWKRSLQHSFDKLRDHFCRQYVLTFIYSRQGKTRLNALIYLSGEGADLYWDSDPLLSLPFQALFSKLQQLATVAGDVLLGKEKIQKILLARLTETVVMWLSEEQEFWDVFEDESVPLKPLGLQQLILDMHFTVEIARFAGYPSRHVHQIASAIIARAIRTFSARGIDPQSALPEDEWFVETARTAINELLLGTSGSDTSEIDEDHVIIHDEMVSDSDETASSLSSIESFKSFASANMGELDSPVYFTDPEG >Potri.008G162600.1.v4.1 pep chromosome:Pop_tri_v4:8:11179599:11184003:-1 gene:Potri.008G162600.v4.1 transcript:Potri.008G162600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162600.v4.1 MGEPFFDLMEFLKTPSITETLVDILLCAVPIWLSVMIGLVIGWSWRPRWTGLVFLGLRSKFRFLWTAPPGFGARRLWLAFTAISAFSVCRTIWSNFQGKNRKSAPAAAASSSATSQRNPGGRSGGSISSSGELEDREDIVTENDLEHLLHLLEGKDRQMEWQCMMERSTSNMRYQAWRHEPQEGPTVYRSRSVFEDATPELVRDFFWDDEFRPKWDTMLAYFKILEEYPHTGTMIVHWIKKFPFFCSDREYIIGRRIWDAGKTYYCVTKGVPYPGLHKRDKLRRVDLYFSGWVIRAVESRKGDGQISACEVTLLHYEDMGIPKDVAKLGVRHAMWGAVKKLHSGMRAYQNARKSEASLSRCALMARITTKVSFDEGMDSSETANEEEDRSPAVDIQRHKDHGIDWKWIAVGGTVALVCGLHTGAIGKALLLGAGQRLARK >Potri.017G020864.1.v4.1 pep chromosome:Pop_tri_v4:17:1450446:1451131:1 gene:Potri.017G020864.v4.1 transcript:Potri.017G020864.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G020864.v4.1 MLASEEVTSPDFVFDSDNERLEVVLRLLASDVNSCRNDLASKAEAYYEQRFGNH >Potri.005G117600.1.v4.1 pep chromosome:Pop_tri_v4:5:8615449:8617007:-1 gene:Potri.005G117600.v4.1 transcript:Potri.005G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117600.v4.1 MERVEGALKTSFRKEMAVKFSPQVLDDFWPVNVTNGMSSDDFSVDELLDFSNENGFIEDEENPCVVSVSHKQETLKEDKNNDRSPYFAVKEDFVSGPTSELCVPTDDLASLEWLSHFVEDSNSEYAAPFPAIVSPPEPEKENFAEQEKSVLTEPCFKTPVPAKARSKRTRTGVRVWPLGSPTLTESSTSSSSSTSSSSPSSPWLIHTKPLLNAEPLWFEKPVVKRMKKKPSFHAAASGGGGGSHSSRRCSHCGIQKTPQWRAGPNGSKTLCNACGVRYKSGRLLPEYRPACSPTFSKELHSNHHRKVLEMRRKKEILGQTEPGLVQPVVPSCV >Potri.005G240700.1.v4.1 pep chromosome:Pop_tri_v4:5:23744666:23745632:1 gene:Potri.005G240700.v4.1 transcript:Potri.005G240700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240700.v4.1 MATTSSLASLSSSISPFTGSKTSTNQPHTSPSRVSFRPFRVRAACATTAERPTSYTATPTSASSLYEVLGIQMGATCTEIKTAYRRLARVLHPDVAANGRREDTAYEFIRVHEAYETLSDPEKRADYDRSLYRRGRQMSSPFVMSAATATTMATGYAAAGFSGYTRRRWETDQCW >Potri.008G076100.4.v4.1 pep chromosome:Pop_tri_v4:8:4709341:4710829:1 gene:Potri.008G076100.v4.1 transcript:Potri.008G076100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076100.v4.1 MPKQIHEIKDFLLTARRKDARSVKIKRRRDVVKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGLSVQEL >Potri.013G061200.1.v4.1 pep chromosome:Pop_tri_v4:13:4522595:4524777:-1 gene:Potri.013G061200.v4.1 transcript:Potri.013G061200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061200.v4.1 MADVLSKTSLFVSSNVGNYQNQQQNSISVFAKSCKLKGFPLKAKPQALRSQITRSSSCSDFYGKRVAVLGNQSKPRRGYLRQASVVAQTGLRLKYAQKWWEKGLQPNVREVTSAQDLVDSLMNSGDKLVVVDFFSPGCGGCKALHPKLCQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRLYRGAHGRLCSFSCTNATIKKFKDALAKHTPDRCSLGPTKGLEEKELAALAANKDLSFTYTPKKVQPAPVPVAEEEVVPATAASHSDGGLPPLPLTLHLPITSLKSAQDSEEKTLAISGR >Potri.001G066100.1.v4.1 pep chromosome:Pop_tri_v4:1:4954366:4957406:-1 gene:Potri.001G066100.v4.1 transcript:Potri.001G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066100.v4.1 MQRQPAWLLALNKSSSHNYIVKKFEIPANSLSSMNAHYCFAVSSLNPSPVIIAHDKIPQSIKAAANFSQIPSWVSLKSTPSSLQTQENINKAQIESIHLISLSKQGKLKEAREFLKQMEDAGISVSPRSYKCLFEACGKIKSLLDGRLFHEQMQRTVKNPPEFLENSVLKMYCECGSLADARKVFDEMRERNLVSWNTIISAYAENGVFDKGFCMFSNMLELETKPNGSTYIGFLRSLLNPSGLEIGKQIHSHAIRSGLGSNASVNTAISNMYVKCGWLEGAELVFEKMSEKNAVAWTGIMVGYTQAERQMDALALFAKMVNEGVELDEYVFSIVLKACAGLEELNFGRQIHGHIVKLGLESEVSVGTPLVDFYVKCSNLESATKAFEWISEPNDVSWSALITGYCQMGEFEEALKTFESLRTRSVDINSFTYTSIFQACSALADFNSGAQAHADAIKSSLVAYQHGESAMITMYSRCGRLDYATRVFESIDDPDAVAWTAIIAGYAYQGNAPEALKLFRRMQDCGVRPNAVTFIAVLTACSHSGLVIEGRQYLESMSSNYGVATTIDHYDCMVDIYSRAGFLQEALELIRSMPFSPDAMSWKCLLGGCWTYRNLEIGELAAENLFQLDPEDTAGYILMFNLYASFGKWKEAANVRKMMAERNLRKELSCSWITVKGKVHRFIVGDKHHPQTEEIYSKLEALNDSVIKEETGLLTEEDVSNSLPERKEQLLVHSERLALAFGLISTPSSAPVVVFKNLRACKDCHDFGKQVSLITGREIVVRDSFRFHHFKLGECSCNDYW >Potri.011G112000.3.v4.1 pep chromosome:Pop_tri_v4:11:14205883:14210835:1 gene:Potri.011G112000.v4.1 transcript:Potri.011G112000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112000.v4.1 MSCFSFFCKRKGVSRATQTTEVDDEVSWAQNTCSYTYRELRMATDNFNPANKVGEGGFGSVYKGMLKDGTMAAVKVLSAESRQGLKEFLTEIKVIADIKHNNLVKLYGYCAEGNHRILVYGYLKNNSLAQTLLGGGHSNIQFNWPTRRKICIGVARGLAFLHEEVQPHIVHRDIKASNVLLDDELEPKISDFGLAKLFPANLTHISTNVAGTAGYLAPEYAIRGQLTRKADIYSFGVLLLEIVCGRSNTNRRFPLEEQYLVERVWDFYQNLELVNLVDTSLAGDYDVEEACNYLKIGLLCIQDVPKQRPSMSTVVMMLMGEIEVNDKISRPGLLSEFTSFKGDKMPKHEGGKDQNNKWEMKNSSSTSVKVENSSSSSGVDTSHATMTFSSIYDRGN >Potri.011G112000.1.v4.1 pep chromosome:Pop_tri_v4:11:14205754:14210806:1 gene:Potri.011G112000.v4.1 transcript:Potri.011G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112000.v4.1 MSCFSFFCKRKGVSRATQTTEVDDEVSWAQNTCSYTYRELRMATDNFNPANKVGEGGFGSVYKGMLKDGTMAAVKVLSAESRQGLKEFLTEIKVIADIKHNNLVKLYGYCAEGNHRILVYGYLKNNSLAQTLLGGGHSNIQFNWPTRRKICIGVARGLAFLHEEVQPHIVHRDIKASNVLLDDELEPKISDFGLAKLFPANLTHISTNVAGTAGYLAPEYAIRGQLTRKADIYSFGVLLLEIVCGRSNTNRRFPLEEQYLVERVWDFYQNLELVNLVDTSLAGDYDVEEACNYLKIGLLCIQDVPKQRPSMSTVVMMLMGEIEVNDKISRPGLLSEFTSFKGDKMPKHEGGKDQNNKWEMKNSSSTSVKVENSSSSSGVDTSHATMTFSSIYDRGN >Potri.011G112000.4.v4.1 pep chromosome:Pop_tri_v4:11:14205713:14210837:1 gene:Potri.011G112000.v4.1 transcript:Potri.011G112000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112000.v4.1 MSCFSFFCKRKGVSRATQTTEVDDEVSWAQNTCSYTYRELRMATDNFNPANKVGEGGFGSVYKGMLKDGTMAAVKVLSAESRQGLKEFLTEIKVIADIKHNNLVKLYGYCAEGNHRILVYGYLKNNSLAQTLLGGGHSNIQFNWPTRRKICIGVARGLAFLHEEVQPHIVHRDIKASNVLLDDELEPKISDFGLAKLFPANLTHISTNVAGTAGYLAPEYAIRGQLTRKADIYSFGVLLLEIVCGRSNTNRRFPLEEQYLVERV >Potri.009G052300.1.v4.1 pep chromosome:Pop_tri_v4:9:5708037:5710105:1 gene:Potri.009G052300.v4.1 transcript:Potri.009G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052300.v4.1 MSCQGDIAFECPTMEFTEESKPNVQVSATTSGVSNSLSEPFKPSPSSPSSVNSSQPSPRISGHDHVINPDNNDDDGDSYFNNFPAGYRFCPHNHELVLHYLKNKVSGLPLPRNRIADVSLYQHNPEELAEQFKHYGEREWYFFTPRDKKYRNGTRPNRAAGGGYWKATGADKKIVHDKAIVGYRKSLVYYNGKAPKGDKTNWMMHEFRMEAAAPPIRNNINDMRLDEWVLCRIYKKIQKPVKYREQFSSQKHQLLIGPNDLAAMDGDRNSGNDSWENPWQQQATPPLAGFDDLFFFCNSYSAAQVPFLPETYEDPVPMLLSSDFSKGLPEEIWNPPILQFDLAETFNLYGSTEDNPETITNLPAENLRINPSPASTGRSVNIRNDC >Potri.009G052300.2.v4.1 pep chromosome:Pop_tri_v4:9:5708037:5710105:1 gene:Potri.009G052300.v4.1 transcript:Potri.009G052300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052300.v4.1 MSCQGDIAFECPTMEFTEESKPNVQVSATTSGVSNSLSEPFKPSPSSPSSVNSSQPSPRISGHDHVINPDNNDDDGDSYFNNFPAGYRFCPHNHELVLHYLKNKVSGLPLPRNRIADVSLYQHNPEELAEQFKHYGEREWYFFTPRDKKYRNGTRPNRAAGGGYWKATGADKKIVHDKAIVGYRKSLVYYNGKAPKGDKTNWMMHEFRMEAAAPPIRNNINDMRLDEWVLCRIYKKIQKPVKYREQFSSQKHQLLIGPNDLAAMDGDRNSVILQPRSHFYQRLMKILSPCF >Potri.005G113900.1.v4.1 pep chromosome:Pop_tri_v4:5:8278747:8281118:-1 gene:Potri.005G113900.v4.1 transcript:Potri.005G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113900.v4.1 MSPSTLTALAEEKTLRASFVRDEDERPKVAYNQFSNEIPVISIAGIDDGGEKRAEICNKIVEACEEWGVFQIVDHGVDAKLVSEMTTLAKEFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTFFSYPIRTRDYSRWPDKPEAWKAVTEEYSKKLMELACKLLGVLSEAMGLETEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDTVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFRNADHQAVVNSNSSRLSIATFQNPAPDATVYPLKIREGEKSVLDEPITFAEMYRRKMSKDIEIAKKKKLAKEQLLRDMETAKLETKPIEEILA >Potri.005G113900.2.v4.1 pep chromosome:Pop_tri_v4:5:8268178:8281063:-1 gene:Potri.005G113900.v4.1 transcript:Potri.005G113900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113900.v4.1 MSPSTLTALAEEKTLRASFVRDEDERPKVAYNQFSNEIPVISIAGIDDGGEKRAEICNKIVEACEEWGVFQIVDHGVDAKLVSEMTTLAKEFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTFFSYPIRTRDYSRWPDKPEAWKAVTEEYSKKLMELACKLLGVLSEAMGLETEALTKACVDMDQKVVVNFYPKCPQPDLTLGLKRHTDPGTITLLLQDTVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFRNADHQAVVNSNSSRLSIATFQNPAPDATVYPLKIREGEKSVLDEPITFAEMYRRKMSKDIEIAKKKKLAKEQLLRDMETAKLETKPIEEILA >Potri.002G206000.1.v4.1 pep chromosome:Pop_tri_v4:2:17120398:17122571:-1 gene:Potri.002G206000.v4.1 transcript:Potri.002G206000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G206000.v4.1 MAESEPKQPAEMVEGDEKNLKYLDFVQMAAIYMVVCFSSVYRYAKENSGPLKPGVRTVEDTVRTVIGPVCDKFYGVPFQLLKFVDHKIDESLRGLDRQVPSQVKRVSIQARAVAYEIQRTGVVDAAMNITKTMYSKYEPTARELYYKYEPVAEQYAVLAWRSLNRLPLFPQVAQIAVPTAAFWSEKYNQVVGSAAEEGYTIALYLPLIPIERISKVFDESIGEPVVSTNGVVAY >Potri.001G172600.8.v4.1 pep chromosome:Pop_tri_v4:1:14824983:14831321:-1 gene:Potri.001G172600.v4.1 transcript:Potri.001G172600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172600.v4.1 MWVNMPKASELRINMPSWKFLFQLKHISVKFHLQIESLVTEICNTTSVAELELKLCGFRLYVRRDLTEKNKDTHQPLPAPPASLAVTVKTTTDASDLNGSVSTSLAISKQEPSSGGIISFLDRAADEGLMILQSPRVGFFRRSRTIKGKRAPPSCKEKQIVKEGQVLCFIEQLGGELPIETDISGEVIRILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.001G172600.2.v4.1 pep chromosome:Pop_tri_v4:1:14824987:14831228:-1 gene:Potri.001G172600.v4.1 transcript:Potri.001G172600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172600.v4.1 MASCSLGASNMKLSKLDFGRATVVNLQKQSGLIAWRGRGRLQHAGVAISHKSREAFRCRGSASETELTTKETKSSGLTSQLIPNSSEIESLVTEICNTTSVAELELKLCGFRLYVRRDLTEKNKDTHQPLPAPPASLAVTVKTTTDASDLNGSVSTSLAISKQEPSSGGIISFLDRAADEGLMILQSPRVGFFRRSRTIKGKRAPPSCKEKQIVKEGQVLCFIEQLGGELPIETDISGEVIRILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.008G020500.1.v4.1 pep chromosome:Pop_tri_v4:8:1012278:1014925:-1 gene:Potri.008G020500.v4.1 transcript:Potri.008G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020500.v4.1 MDVGLSILMGLQATALFLIFVSLQNLDFTLLSLPFLYASLVSLLVSLASHPSINIPTLLRKKQDGTFPIWSLIIFSPYLYFVRIFSFLRRFTSGEEPYNEICEGVYVGGWPYSVDKLPPGNPAIIDCTCEFPRKEEFKGRSYLCLPTWDTRAPQPGEIESTVEWASRKRAQNVPVFIHCAYGHGRSVAVMCALLVALGVVEDWKKAELFIRERRPYISMNSVQYKALEEWSKHRLSTPSIDEVNSSSAVPSTSSGRSRADRPKNRSG >Potri.008G038900.2.v4.1 pep chromosome:Pop_tri_v4:8:2166148:2170907:1 gene:Potri.008G038900.v4.1 transcript:Potri.008G038900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038900.v4.1 MIGQTNTTSNFMDEIDCGSFFEHIDDLLEFPSDDVDATLPDCTTTNNHTSCFMNNDDNSFPGIWSTQSDSLPGSASDLSAELSVPYEDIVQLEWLSNFVEDSFSGGSLTMKKEESTIVNNKESPPHHQYQFQTSSPVSVLESSSSCSGEKTAPRSPEVGASGKRGRARSKRPRPATFTPRPAMQLISPTSSITEVPQPFVPPKIALDSENFAESRLVIKIPNHVDPEHKKKKKIKFTVPLGPVEMNQNSSPQQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVVEMRAKSGEKITVSRPAAMVANPPELIPNKSNPAMDYI >Potri.008G038900.4.v4.1 pep chromosome:Pop_tri_v4:8:2166343:2169696:1 gene:Potri.008G038900.v4.1 transcript:Potri.008G038900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038900.v4.1 MIGQTNTTSNFMDEIDCGSFFEHIDDLLEFPSDDVDATLPDCTTTNNHTSCFMNNDDNSFPGIWSTQSDSLPGSASDLSAELSVPYEDIVQLEWLSNFVEDSFSGGSLTMKKEESTIVNNKESPPHHQYQFQTSSPVSVLESSSSCSGEKTAPRSPEVGASGKRGRARSKRPRPATFTPRPAMQLISPTSSITEVPQPFVPPKIALDSENFAESRLVIKIPNHVDPEHKKKKKIKFTVPLGPVEMNQNSSPQQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVVEMRAKSGEKITVSRPAAMVANPPELIPNKSNPAMDYI >Potri.001G262000.1.v4.1 pep chromosome:Pop_tri_v4:1:27813988:27815238:-1 gene:Potri.001G262000.v4.1 transcript:Potri.001G262000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G262000.v4.1 MTQKNSLFKGQQKRKTVPPNRHGKTPHIRKGKRFVKPSKVTEEMDADRELSKFINNCNEIKAATAANKEGGQLSIVKPPTETASAAKK >Potri.001G133700.1.v4.1 pep chromosome:Pop_tri_v4:1:10875828:10877735:-1 gene:Potri.001G133700.v4.1 transcript:Potri.001G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133700.v4.1 MAESGCVEIVDSNQNMAAPCARSSSTNTREVSSASASEDVKDTATPDVHNTAMKIERAREVYGAYTGTVGKPTKGETWLWYLYELCSYFIHTTLVPVLFPLIISQIFHSPAEPAGDLVMNRKGLLCGADQMRMYDAITNKSINISNAKFSPLEWTSLSWGIGLILAAPILGSISKYLDHGQKPQIIPAAAIAVGAFFCLPTGFFNVHWIFPPYIAAIIAGSTVAMASHTRQLGHMIRGFTGPINQRKQFQLRRGVSSWLSLYATAAGCLGAALMSAFSYQMLRREERRFVALWVVSIFSGLKWLVGISHIITIKPTSSTTPASSISSIAHLLSIFNYPHALGTVIVSFLSSFTTMCIFTSTVLYLLGDLCIKPVFILFFWLLYFLFPLISLPLMQPIQLVIKVNAMKMHLFGFLLSLLTSGLMGFSHKNNTWQRQNVLGLAVLQGTSAGLLHAFGRVLIIDCSPQGKEGVFSSWFSWGRALGSCIGFAVASTIPGNVRTSFGVAFCTAISGAILLIYGNISDFGGAMAARLVSEEYGQEGSPVAGLDTAGNVVTKDVEEGTPS >Potri.002G002100.1.v4.1 pep chromosome:Pop_tri_v4:2:147139:148296:-1 gene:Potri.002G002100.v4.1 transcript:Potri.002G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002100.v4.1 MGACVSSSYLGHHESHEQLRPKTAKVISIHGDLREYYLPAFVSQVLRSEIASSSSSSSSSSSWFLCNSDHLSYDEYIPVLASDVPLHADEIYFVLPNSKLQRRLASSDMAALAVKASLALQNSSKKGGSRRGKKARISPVLLVSPDHDHQQHNVIYQKRKHEPQVQRAADSVAIGFSRSGSDRSFKKYTSRRAKLAVRSFKLRLTTIYEGIALN >Potri.014G027000.1.v4.1 pep chromosome:Pop_tri_v4:14:1664692:1667475:1 gene:Potri.014G027000.v4.1 transcript:Potri.014G027000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027000.v4.1 MAHPQHQFQQHYQPQQQQQQPKNLRNLYAIDSQISPAVAYFNPSNLQDQSQHPPYVPPFHVVGFAPGPGNDGSDGGLELQWNYGLEPKRKRLKEQDFLENNSQISSVDFLQARSVSTGLGLSLDNTRVSSSGDSALLSLIGDDIDSELQRQDVEVDKFLKIQGDRLRQTILEKVQADQLQTISLVEEKVLQKLRQKEAEVESINKKNMELEEKMEQLSMEAGAWQERARYNENMINAIKFNIQQVYAQSRDSKEGCGDSEVDDTASCCNGRAIDFHLLSNDNNDMKELMTCKACRVNEVCMLLLPCKHLCLCKDCESKLSFCPLCHSSKFIGMEVYM >Potri.011G035700.2.v4.1 pep chromosome:Pop_tri_v4:11:2725355:2728953:1 gene:Potri.011G035700.v4.1 transcript:Potri.011G035700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035700.v4.1 MKADRLFLYSLLLILQFIFCVSKDSINTTQIIRDGDVLISRGNNFALGFFSPGKSSNRYLGIWYHKLPEQTVVWVANRNHPIIGSSGVLSFDEYGNLSLYSDGNRNVSVWSANVSGEEADTSVAQLLDSGNFVLVQESGNILWQSFDYPTHYVLPGMKLGLDLKTGLDRFLTSWISADDPGIGDYSYRVNPSGSPQIFLYKGQKRVWRTSPWPWRPQRRSYNSQFVNDQDEIGMTTAIPAEDSVMVRLLVDHSGFVKAVKWHESDGQWKETWRAPRSKCDSYGWCGPYSTCEPTDAYKFECSCLPGFEPRNPSDWLLRNGSTGCVRKRLESSSVCRNGEGFLKVEIVFLPDTSAAVWVDMDMSHADCERECKRNCSCSAYASVDIPDKGTGCLTWYGELVDAVRYNMSDRYDLYVRVDALELAENARKSNDYLAKEIPAILIPTVFSALLVISIVAYFWRKRRGNKGSWVANELRRSSSDQDLPYFKLSTISAATNNFSPDNKLGQGGFGSVYKGELPDREKIAVKRLSNNSRQGIEEFTNEVKVIAKLQHRNLVKLVGCCIQGGEQMLVYEYMPNKSLDSFLFNETRKLFLDWSKRFDIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDAEMNPKISDFGIARIFKSDQILDNTKRVVGTYGYMSPEYAVFGKFSLKSDVFSFGVMLLEIVSGKKNNEFNPQNPAQTLIGLVWGLWKEDRALEIVDSSLQVLYHPQEALKCIKIGLLCVQEDAIERPSMLAVVFMFNSSETTIPSPKQPAFTFREPCISPHVAVSGCLNVTMTDIEGR >Potri.001G016032.1.v4.1 pep chromosome:Pop_tri_v4:1:1202820:1203927:1 gene:Potri.001G016032.v4.1 transcript:Potri.001G016032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016032.v4.1 MIILELCRETRCLIQITDLQPDEYHQMHETKQDSFTTIASRPLKKDLSGPVSCPSQQNPELHHKTIHSTFDDQSLDCGTNHDGQHSQVRTDIHRENDRFLIPTPHRNENEMTGGQSHHLAKDTLPTKQDKSVDKYFESADLEDFAATSSPFPDLYLFDFDISG >Potri.006G158814.2.v4.1 pep chromosome:Pop_tri_v4:6:15217471:15219497:-1 gene:Potri.006G158814.v4.1 transcript:Potri.006G158814.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158814.v4.1 MALQAWKQAIFSDPLNLTANWVGSQVCNYEGVFCSRAPDNKTIRTVAGIDLNHGDIAGYLPEELGFLVDLALFHINSNRFCGTIPHKFKKLRLLFELDLSNNRFAGKFPQVVLKLPSLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFVFDLPVNFGNSPVSVIVLANNKFHGCVPSSLGNMSNLNEIILMNNGFRSCLPAEIGLLKNLTVFDVSFNQLIGPLPDTVGGMVSLEQLNVAHNMLSGKIPASICQLPNLENFTFSYNFFTGEPPVCLSLPDFSDRRNCLPGRPEQRSAAQCKAFLSMPVDCSSFRCAPFVPSLPAPPPPSPPVPVLSPPPPVVIPKSPPAPPPPVYSPPPPPVYSPPPLPPVYSPPPPPPPPPCIEPPPPSPPPPIHYSPPQPRPVHYRSPPRPSLLPPVNYHSPPPPSLPPPIPCENPPPPSPPPPPPPPPPIIYGSPPPPTPVYEGPLPPVTGVSYASPPPPPFY >Potri.006G158814.1.v4.1 pep chromosome:Pop_tri_v4:6:15217475:15219932:-1 gene:Potri.006G158814.v4.1 transcript:Potri.006G158814.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158814.v4.1 MKNKTPFPIHLSLVIAFFLGTVVCLSVAQQTPTISSYGGGLSDAEAMYIDKRQLLYYKDEFGDRGERVTVDPSLVFENPRLKNAYMALQAWKQAIFSDPLNLTANWVGSQVCNYEGVFCSRAPDNKTIRTVAGIDLNHGDIAGYLPEELGFLVDLALFHINSNRFCGTIPHKFKKLRLLFELDLSNNRFAGKFPQVVLKLPSLKFLDLRFNEFEGTVPKELFDKDLDAIFINHNRFVFDLPVNFGNSPVSVIVLANNKFHGCVPSSLGNMSNLNEIILMNNGFRSCLPAEIGLLKNLTVFDVSFNQLIGPLPDTVGGMVSLEQLNVAHNMLSGKIPASICQLPNLENFTFSYNFFTGEPPVCLSLPDFSDRRNCLPGRPEQRSAAQCKAFLSMPVDCSSFRCAPFVPSLPAPPPPSPPVPVLSPPPPVVIPKSPPAPPPPVYSPPPPPVYSPPPLPPVYSPPPPPPPPPCIEPPPPSPPPPIHYSPPQPRPVHYRSPPRPSLLPPVNYHSPPPPSLPPPIPCENPPPPSPPPPPPPPPPIIYGSPPPPTPVYEGPLPPVTGVSYASPPPPPFY >Potri.010G135600.1.v4.1 pep chromosome:Pop_tri_v4:10:15096053:15097296:1 gene:Potri.010G135600.v4.1 transcript:Potri.010G135600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135600.v4.1 MLKCLLLLASHLPVLSLNPKTQHDDPDHDLESSPDQSTQANDSDQTRCCHDIQLNSIMISNTSTGPSASSVETARVELTTTINSPSFSTSTQTSTQENLANENQPFHRIIETLRQQELGRHVLILAVPMTIGLFSVDKLVNEPSALRVMAIALALGFVGIWNGILLRTTCNEASSIIELLGIAFMLLAFFGFIACFLPESLIWIPYLCWVLSLLPFVIALCSTGRATKDRDSNDRQSPHSCGV >Potri.002G205400.1.v4.1 pep chromosome:Pop_tri_v4:2:17034154:17038363:1 gene:Potri.002G205400.v4.1 transcript:Potri.002G205400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205400.v4.1 MKFCKKYQEYMQGKENRLPAVDFKKLKKILKKCREDFESHQEHDGQSCPHHCSVCDGTFFPSLLKEMSAVVGCFNERAQKLLELHLASGFRKYFMWFKGKLQKKHVAFIQEGKDLVTYALINAVAVRKILKKYDKIHYSKQGQAFKSKAQSMHIEILHSPWLCELMAFHINLREEKIKSNKVPALFEGCSLNFDDEKPSLSCELFDSVKIDIDLTCSICLDTVFDPVSLTCGHIFCHMCACSAASVTIVDGLKAAEPKEKCPLCRKTGVYEGSLHLEELNILLSRSCHEYWEQRLQTERIERIRQVKEHWESQCRAFVGV >Potri.001G336766.1.v4.1 pep chromosome:Pop_tri_v4:1:34529882:34532596:1 gene:Potri.001G336766.v4.1 transcript:Potri.001G336766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336766.v4.1 MKDRRKRDVVSWNRFFWCTLFLVFSCVLFSGFTFSTFRFFFFFGEKFHPEIVSTWRTPAMEALSGDSSAVPAPSIRETVILPDQVLVFLKYPPSSRLFTKEDLLCVYLSANKSSSQSQRRLPPNHIDGKDVDDQIVRCPLIPRGYTVSLALKSGGYIHPGPTHKWDSLVYEALIDRDNTTVVFVKGLNLRPEKLSNASRFECVYGWDFRRPKFLLRSQVISMAQEIVRCKTPLSVLGAPQMVNSSIKASIRVKGRGTLHSIARPGLRSKPQPGPPERKPHEMCICTMLRNQARFLREWVMYHAQVGVQSWYIYDNNSDDDIEDVMESLVQAGFNISRHVWPWIKTQEAGFAHCALRARESCEWVGFIDVDEFFYSPLGLSLHDVISNQSGSGNNVAEIRTSCYSFGPSGLKHLPPQGVMVGYTCRLGAPERHKSIVKPEALNSTLINVVHHFHLSEGFRYVNADRGVLAINHYKYQVWEVFKEKFYRRVATYVADWQNEQNVGSKDRAPGLGTRAVEPPDWSSRFCEVTDTGLRNLVLQKFMDPLTNHLPWEELGRGYGN >Potri.001G336766.2.v4.1 pep chromosome:Pop_tri_v4:1:34529828:34532304:1 gene:Potri.001G336766.v4.1 transcript:Potri.001G336766.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336766.v4.1 MEALSGDSSAVPAPSIRETVILPDQVLVFLKYPPSSRLFTKEDLLCVYLSANKSSSQSQRRLPPNHIDGKDVDDQIVRCPLIPRGYTVSLALKSGGYIHPGPTHKWDSLVYEALIDRDNTTVVFVKGLNLRPEKLSNASRFECVYGWDFRRPKFLLRSQVISMAQEIVRCKTPLSVLGAPQMVNSSIKASIRVKGRGTLHSIARPGLRSKPQPGPPERKPHEMCICTMLRNQARFLREWVMYHAQVGVQSWYIYDNNSDDDIEDVMESLVQAGFNISRHVWPWIKTQEAGFAHCALRARESCEWVGFIDVDEFFYSPLGLSLHDVISNQSGSGNNVAEIRTSCYSFGPSGLKHLPPQGVMVGYTCRLGAPERHKSIVKPEALNSTLINVVHHFHLSEGFRYVNADRGVLAINHYKYQVWEVFKEKFYRRVATYVADWQNEQNVGSKDRAPGLGTRAVEPPDWSSRFCEVTDTGLRNLVLQKFMDPLTNHLPWEELGRGYGN >Potri.007G125200.2.v4.1 pep chromosome:Pop_tri_v4:7:14126343:14128964:-1 gene:Potri.007G125200.v4.1 transcript:Potri.007G125200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125200.v4.1 MMIFINCANPVNSPLYVETGTCLNGEKSSNVSLLMRSYVNVGGMKASDLMELCSLERMTLLPAKDYKSMSYKEIHSQLAYGFELSWHKSKCGSCATICYIDDSNQTKCAGFSWLEITEVVLLYISLLIALLQGPKFIFGSPFVIAFLIYKWRRRHLSAYDTVEEFLHTPNNLMPVVRYSYSEIKKMTGGFKEKLGEGGFGCVYKGKLRSGHFAAIKLLGKSNANGQDFINEVATIGRIRHANVVQLVGFCAEGSKRALVYDFMPNGSLDNFIFSQERSVSLSWEKLHEISLGVAHGIQYLHRGCEMQILHFDIKPHNILLDENFAPKVSDFGLARLCPTNESLKSLTAPGGTIGYMAPELFYQNIGRVSYKADVYSFGMLLLEMAGRRKNLNPLAERFSQIYWPDWVHDKVSNEKVIEIGDGGTEKEEKIVKKMIIAGLWCIQMNPLNRTAMNEVVEMLEGDMESLQLPPTPVLNLDVKPMNTCGESSFMSDYSSESISLIENAYN >Potri.017G023200.1.v4.1 pep chromosome:Pop_tri_v4:17:1479733:1486030:1 gene:Potri.017G023200.v4.1 transcript:Potri.017G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G023200.v4.1 MSGGEREEKQWKCGKAISVVNLQRVGTMVKDMREPCLSQSPIKVVITVSKMLKPEKWESTFDSNGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYALGTTSESRSQLRTARRERYKDLIEQCQTMHSSIGTGALAFVVGSKVMDMRTSSKDNGSREATVKSRRTSVDAINILENYSNWNNNCTDTACTCARESSSDSAGLVSVRGSSDSAACDSCFIPSSGPLNSGSSKGGGEAYGSEYVAESYFDFPPLPVTDLFDKREDKKESCVHDDRPPTQRKLRFEDDRMHSFQINNNVDLIMESLGEPSNHTSSQKKSEIELVHQEDHVPVLQSNNLGYEKGIVNKLRIVDVPDTPLLNATSNGGAAGVGSVSEWLWTLHRIVVDVVRTDTHLEFYEDKRNLARMSDILAVYAWVNPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWHILELTDKEMFAHLSRIGAESLHFAFRMLMVLFRRELSFSEALRMWEMMWAADFDESVVCDLEENCLEALVLNLPRDSGGDIREESVENSDGSSRDGSQSKHGHVEHSSSDNTGIKSASTYHFCGFTRNFWSRNYHVQINTNMISSTKNGDDELPVFCVAVILIMNRQKIIKETRSIDDMIKIFNDRLLSIHVKRCISAAIKLRKKYFYKLMKSKTHGVQNGE >Potri.017G023200.3.v4.1 pep chromosome:Pop_tri_v4:17:1479789:1486098:1 gene:Potri.017G023200.v4.1 transcript:Potri.017G023200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G023200.v4.1 MSGGEREEKQWKCGKAISVVNLQRVGTMVKDMREPCLSQSPIKVSKMLKPEKWESTFDSNGKVSGFRKALKLIVLGGVDPSIRPEVWEFLLGCYALGTTSESRSQLRTARRERYKDLIEQCQTMHSSIGTGALAFVVGSKVMDMRTSSKDNGSREATVKSRRTSVDAINILENYSNWNNNCTDTACTCARESSSDSAGLVSVRGSSDSAACDSCFIPSSGPLNSGSSKGGGEAYGSEYVAESYFDFPPLPVTDLFDKREDKKESCVHDDRPPTQRKLRFEDDRMHSFQINNNVDLIMESLGEPSNHTSSQKKSEIELVHQEDHVPVLQSNNLGYEKGIVNKLRIVDVPDTPLLNATSNGGAAGVGSVSEWLWTLHRIVVDVVRTDTHLEFYEDKRNLARMSDILAVYAWVNPATGYCQGMSDLLSPFVVLFEDNADAFWCFEMLLRRMRENFQMEGPTGVMKQLQALWHILELTDKEMFAHLSRIGAESLHFAFRMLMVLFRRELSFSEALRMWEMMWAADFDESVVCDLEENCLEALVLNLPRDSGGDIREESVENSDGSSRDGSQSKHGHVEHSSSDNTGIKSASTYHFCGFTRNFWSRNYHVQINTNMISSTKNGDDELPVFCVAVILIMNRQKIIKETRSIDDMIKIFNDRLLSIHVKRCISAAIKLRKKYFYKLMKSKTHGVQNGE >Potri.006G061800.3.v4.1 pep chromosome:Pop_tri_v4:6:4403837:4413078:-1 gene:Potri.006G061800.v4.1 transcript:Potri.006G061800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061800.v4.1 MPSEIEIVEEDVVAQSNDRESAAVNGGISNNGVAVAGEDSLRNDVYTAAAYGDLEKLQRLVESEGCSVSVPDNLGYYALQWAALNNRTAAAQYIIEHGGDVNVVDHTGQTALHWTAVRGAIQVAELLLQEGAGVNAADMYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDFDGRSPLHWAAYKGFADSIRLLLFLDSYRGRQDREGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLDKQCDGNDRLGRLSKLGLAPVLWFIIILLLLIYVNSVILASNLPKLTAGFGLLAWLAVFLATGGLVMFYRCSRKDPGYIRMNVHDPQNMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFAFLVLEVSAMLITGAVTLTRVLTDPFAPSSLGAWVNHAGSHHIGAISFLIMDFFLFFGVAVLTVVQASQISRNITTNEMANALRYSYLRGPGGRFRNPYDHGCKKNCSDFLINGYNEDVDYNEDSPHSEGIGMMHMSRNSNLQNGDAHSHHMNGNGHVAINVNSENKIPQGHVHSSHCSHNHQGKPRTDSAPLGLGGLGLGKSSARTVEAS >Potri.006G061800.4.v4.1 pep chromosome:Pop_tri_v4:6:4403967:4413073:-1 gene:Potri.006G061800.v4.1 transcript:Potri.006G061800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061800.v4.1 MPSEIEIVEEDVVAQSNDRESAAVNGGISNNGVAVAGEDSLRNDVYTAAAYGDLEKLQRLVESEGCSVSVPDNLGYYALQWAALNNRTAAAQYIIEHGGDVNVVDHTGQTALHWTAVRGAIQVAELLLQEGAGVNAADMYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDFDGRSPLHWAAYKGFADSIRLLLFLDSYRGRQDREGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLDKQCDGNDRLGRLSKLGLAPVLWFIIILLLLIYVNSVILASNLPKLTAGFGLLAWLAVFLATGGLVMFYRCSRKDPGYIRMNVHDPQNMKDDEPLLKIEINNPALLAGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFAFLVLEVSAMLITGAVTLTRVLTDPFAPSSLGAWVNHAGSHHIGAISFLIMDFFLFFGVAVLTVVQASQISRNITTNEMANALRYSYLRGPGGRFRNPYDHGCKKNCSDFLINGYNEDVDYNEDSPHSEGIGMMHMSRNSNLQNGDAHSHHMNGNGHVAINVNSENKIPQGHVHSSHCSHNHQGKPRTDSAPLGLGGLGLGKSSARTVEAS >Potri.003G200600.15.v4.1 pep chromosome:Pop_tri_v4:3:20090757:20096016:-1 gene:Potri.003G200600.v4.1 transcript:Potri.003G200600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200600.v4.1 MDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.003G200600.16.v4.1 pep chromosome:Pop_tri_v4:3:20090728:20096007:-1 gene:Potri.003G200600.v4.1 transcript:Potri.003G200600.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200600.v4.1 MDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.003G200600.17.v4.1 pep chromosome:Pop_tri_v4:3:20090757:20095855:-1 gene:Potri.003G200600.v4.1 transcript:Potri.003G200600.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200600.v4.1 MNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.003G200600.19.v4.1 pep chromosome:Pop_tri_v4:3:20090774:20095054:-1 gene:Potri.003G200600.v4.1 transcript:Potri.003G200600.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200600.v4.1 MNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.003G200600.18.v4.1 pep chromosome:Pop_tri_v4:3:20090758:20095054:-1 gene:Potri.003G200600.v4.1 transcript:Potri.003G200600.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200600.v4.1 MNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.018G145500.6.v4.1 pep chromosome:Pop_tri_v4:18:15109147:15113788:-1 gene:Potri.018G145500.v4.1 transcript:Potri.018G145500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145500.v4.1 MQSHPGETFHVLKKEGDDCTGSRYTGHLNSSLQEPIEVSSSSNEDNSDDTWDIDDQSIEDSSKQLVLYDPLANDAGEIEPVPQPILSHHPFRRYSDLNVPSRVLPSVGAFTVQCAKCFKWRLIPTKQKYEELREHILEEPFFCETAREWRPDISCDDPTDIDQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADVYYQAPSGKRLRSMVEIQKYLIEHPEYMRDGITLTQFSFQIPKPLQENYVRKKRPRLSASCLEPGEGMQIKRLAFYLLT >Potri.018G145500.7.v4.1 pep chromosome:Pop_tri_v4:18:15109141:15113761:-1 gene:Potri.018G145500.v4.1 transcript:Potri.018G145500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145500.v4.1 MQSHPGETFHVLKKEGDDCTGSRYTGHLNSSLQEPIEVSSSSNEDNSDDTWDIDDQSIEDSSKQLVLYDPLANDAGEIEPVPQPILSHHPFRRYSDLNVPSRVLPSVGAFTVQCAKCFKWRLIPTKQKYEELREHILEEPFFCETAREWRPDISCDDPTDIDQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADVYYQAPSGKRLRSMVEIQKC >Potri.018G145500.5.v4.1 pep chromosome:Pop_tri_v4:18:15108942:15113810:-1 gene:Potri.018G145500.v4.1 transcript:Potri.018G145500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145500.v4.1 MQSHPGETFHVLKKEGDDCTGSRYTGHLNSSLQEPIEVSSSSNEDNSDDTWDIDDQSIEDSSKQLVLYDPLANDAGEIEPVPQPILSHHPFRRYSDLNVPSRVLPSVGAFTVQCAKCFKWRLIPTKQKYEELREHILEEPFFCETAREWRPDISCDDPTDIDQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSTKFADVYYQAPSGKRLRSMVEIQKYLIEHPEYMRDGITLTQFSFQIPKPLQENYVRKKRPRLSASCLEPGEANPLKWVGPGDCTELQLGRPAILPPPLIQSSAYLPFYWPVKKKARTPSKQSHRTNPVCNLDEPKVEEPDQSRNSGCDL >Potri.008G043500.1.v4.1 pep chromosome:Pop_tri_v4:8:2454879:2455426:1 gene:Potri.008G043500.v4.1 transcript:Potri.008G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043500.v4.1 MATPFLAGLAIAAAALAGKYGVQAWQSFKARPPKPRIRKFYDGGFQPKMTRREAALILGIRENAGAEKVKEAHRRVMVANHPDAGGSHYLASKINEAKDVMLGKTKDGGSAF >Potri.001G057400.4.v4.1 pep chromosome:Pop_tri_v4:1:4344025:4351182:1 gene:Potri.001G057400.v4.1 transcript:Potri.001G057400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HK3A MSLLHVYGFGLRVRHLLWMLCCWIVSVISMNWFINGGVLETQASLLGDGGKMWLKCLEKVSGNSCKIHHHYYQYIGSKRISKTWWRKLLVAWIVGWITVSVWIFWYMSSQAFEKRKETLTSMCDERARMLQDQFNVSMNHVQAMSILISTFHHAKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDSFEQNPVHKDDNAPKALEPSPIQEEYAPVIFAQDTVAHVVSLDMLSGTEDRENVLRARASGKGVLTAPFRLLKTKRLGVILTFAVYKTDLPSNATPNERIQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDTTNQSCPISMYGSNVSDDGLEHVSALNLEDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLIGYIFHATMNRIAKVEDDCHKMMELTKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDANQQDYVRTAQDSGKALVSLINEVLDQAKIESGKIELEEMQFDLRAIMDDVLALFSGKAHEKGIELAVYVSDGVPEMLIGDPGRFRQIITNLMGNSIKFTKKGHIFLTVHPVEEVMDSIDVETESSSLNTLSGLPVADRRRSCAGFKIFSREGSSHTLSPSSSDLVNLIVSVEDTGEGIPLEAQPRVFTPFMQVDPSISRKYGGTGIGLSISKCLVGLMNGEIGFASIPDTGSTFTFTAVFRNGCSNSNDSKQQKQRIKNQCNTTPSEFQDMTALVVDPKPVRANVSRYQIQRLGIHVELVSDLNQGLSIISNENRIFKMIFVEQEVWEKDSSISAHFVNNLQKIERGVSSKLFLLGNSLSSSRTNTATSGAYTLSVITKPLKASMLAASLQRAMGGNKGNPRNGEHPSLSLCNHLVGRKILIVDDNKVNLIVAAAALKKYGAEVICADSGKMAIKLLKPPHQFDACFMDIQMPEMDGYVIVHALKILMFEATRRIRDMESNGHIPILAMTADVIQATYEECQRCGMDGYVSKPFEAEQLYQEVSRFLQPTSNVNL >Potri.001G057400.5.v4.1 pep chromosome:Pop_tri_v4:1:4343987:4351196:1 gene:Potri.001G057400.v4.1 transcript:Potri.001G057400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HK3A MSLLHVYGFGLRVRHLLWMLCCWIVSVISMNWFINGGVLETQASLLGDGGKMWLKCLEKVSGNSCKIHHHYYQYIGSKRISKTWWRKLLVAWIVGWITVSVWIFWYMSSQAFEKRKETLTSMCDERARMLQDQFNVSMNHVQAMSILISTFHHAKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDSFEQNPVHKDDNAPKALEPSPIQEEYAPVIFAQDTVAHVVSLDMLSGTEDRENVLRARASGKGVLTAPFRLLKTKRLGVILTFAVYKTDLPSNATPNERIQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDTTNQSCPISMYGSNVSDDGLEHVSALNLEDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLIGYIFHATMNRIAKVEDDCHKMMELTKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDANQQDYVRTAQDSGKALVSLINEVLDQAKIESGKIELEEMQFDLRAIMDDVLALFSGKAHEKGIELAVYVSDGVPEMLIGDPGRFRQIITNLMGNSIKFTKKGHIFLTVHPVEEVMDSIDVETESSSLNTLSGLPVADRRRSCAGFKIFSREGSSHTLSPSSSDLVNLIVSVEDTGEGIPLEAQPRVFTPFMQVDPSISRKYGGTGIGLSISKCLVGLMNGEIGFASIPDTGSTFTFTAVFRNGCSNSNDSKQQKQRIKNQCNTTPSEFQDMTALVVDPKPVRANVSRYQIQRLGIHVELVSDLNQGLSIISNENRIFKMIFVEQEVWEKDSSISAHFVNNLQKIERGVSSKLFLLGNSLSSSRTNTATSGAYTLSVITKPLKASMLAASLQRAMGGNKGNPRNGEHPSLSLCNHLVGRKILIVDDNKVNLIVAAAALKKYGAEVICADSGKMAIKLLKPPHQFDACFMDIQMPEMDGFEATRRIRDMESNGHIPILAMTADVIQATYEECQRCGMDGYVSKPFEAEQLYQEVSRFLQPTSNVNL >Potri.001G057400.3.v4.1 pep chromosome:Pop_tri_v4:1:4344090:4351469:1 gene:Potri.001G057400.v4.1 transcript:Potri.001G057400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:HK3A MSLLHVYGFGLRVRHLLWMLCCWIVSVISMNWFINGGVLETQASLLGDGGKMWLKCLEKVSGNSCKIHHHYYQYIGSKRISKTWWRKLLVAWIVGWITVSVWIFWYMSSQAFEKRKETLTSMCDERARMLQDQFNVSMNHVQAMSILISTFHHAKNPSAIDQRTFARYTERTAFERPLTSGVAYAVRVLHSEREQFEKQQGWTIKRMDSFEQNPVHKDDNAPKALEPSPIQEEYAPVIFAQDTVAHVVSLDMLSGTEDRENVLRARASGKGVLTAPFRLLKTKRLGVILTFAVYKTDLPSNATPNERIQATDGYLGGIFDIESLVEKLLQQLASKQTILVNVYDTTNQSCPISMYGSNVSDDGLEHVSALNLEDPFRKHEMRCRFKQKPPWPWLAITTSIGILVIALLIGYIFHATMNRIAKVEDDCHKMMELTKQAEAADVAKSQFLATVSHEIRTPMNGVLGMLHMLMDTDLDANQQDYVRTAQDSGKALVSLINEVLDQAKIESGKIELEEMQFDLRAIMDDVLALFSGKAHEKGIELAVYVSDGVPEMLIGDPGRFRQIITNLMGNSIKFTKKGHIFLTVHPVEEVMDSIDVETESSSLNTLSGLPVADRRRSCAGFKIFSREGSSHTLSPSSSDLVNLIVSVEDTGEGIPLEAQPRVFTPFMQVDPSISRKYGGTGIGLSISKCLVGLMNGEIGFASIPDTGSTFTFTAVFRNGCSNSNDSKQQKQRIKNQCNTTPSEFQDMTALVVDPKPVRANVSRYQIQRLGIHVELVSDLNQGLSIISNENRIFKMIFVEQEVWEKDSSISAHFVNNLQKIERGVSSKLFLLGNSLSSSRTNTATSGAYTLSVITKPLKASMLAASLQRAMGGNKGNPRNGEHPSLSLCNHLVGRKILIVDDNKVNLIVAAAALKKYGAEVICADSGKMAIKLLKPPHQFDACFMDIQMPEMDGFEATRRIRDMESNGHIPILAMTADVIQATYEECQRCGMDGYVSKPFEAEQLYQEVSRFLQPTSNVNL >Potri.016G004601.1.v4.1 pep chromosome:Pop_tri_v4:16:222249:222461:1 gene:Potri.016G004601.v4.1 transcript:Potri.016G004601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004601.v4.1 MVTSAVAKASGGLRGGGFLGGRRAAGTGGIYWRGRLVLPLVVKPQLLWHGVLLRTSTFWLLFTSFIFLIV >Potri.001G019400.2.v4.1 pep chromosome:Pop_tri_v4:1:1432984:1436157:1 gene:Potri.001G019400.v4.1 transcript:Potri.001G019400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019400.v4.1 MTDSRQQPSVMQKMASQAHHSSSFSQGFQRPALHQRQFAYGNYSNAGFQYAMTRACQSTPDMSLIASSTSPVFVQAPSEKGLAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFSRTMKDEGMVSLWRGNTVNVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLTNDSKAAKKGGERQFNGLVDVYKKTMQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGKMQDSFFASFALGWVITNGAGLGSYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQMIVFGKKFGSGGA >Potri.001G019400.1.v4.1 pep chromosome:Pop_tri_v4:1:1433180:1436157:1 gene:Potri.001G019400.v4.1 transcript:Potri.001G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019400.v4.1 MTDSRQQPSVMQKMASQAHHSSSFSQGFQRPALHQRQFAYGNYSNAGFQYAMTRACQSTPDMSLIASSTSPVFVQAPSEKGLAGFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKTGRLSEPYKGIGDCFSRTMKDEGMVSLWRGNTVNVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLTNDSKAAKKGGERQFNGLVDVYKKTMQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLTGKMQDSFFASFALGWVITNGAGLGSYPIDTVRRRMMMTSGEAVKYKSSFDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQMIVFGKKFGSGGA >Potri.015G043200.4.v4.1 pep chromosome:Pop_tri_v4:15:4042711:4048632:1 gene:Potri.015G043200.v4.1 transcript:Potri.015G043200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043200.v4.1 MGSPGLINRNNGQQQQQRLGITEPISLGGPTEYDVTKTRELEKFLQDAGLYESQEEAVSREEVLGRLDQIVKNWVKVISRAKRLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELHRMLSEMPEVTELHPVPDAHVPVMRFKFKGVSIDLLYAKLSLWVIPEDLDVSQDSMLHNADEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVSGFLGGINWALLVARICQLFPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLSVWDPRRNPKDRYHLMPIITPAYPSMNSSYNVSSSTLRIMTEEFQRGNEICEAMEVSKAEWDTLFEPFSFFEAYKNYLQIDISAENEDDLRQWKGWVESRLRQLTLKIERHTYNMLQCHPHPGEFSDKSRPLHCSYFMGLQRKQGVPVNEGEQFDIRITVDEFKNSVNMYTLWKPGMEIRVTHVKKRNIPNFVFPSGVRPSRPSKATWDGRRSSEAKVANNSSADKIEGKGVLDGSDEGKKRKRIDEDTENNLRNPKGYAAMPPSGGEVHEGSPPVGNVSSCSTQSDLVITNSLGELKGEKADNNETESLSNSQNLAGIFAQNGELDGILRCNLPDKGLPANNDTSSSKEAEKLAIDKIMSGPYVAHQALPQELDELEDDFVYTNQGKGSEWAAKGSPVESSLSNTAVEQTNESIAAVACSNGAGPSAYLYPNGGSEELEPAELMAPLFNGISSAPPVAQPKPLIRLNFTSLGKAAGKST >Potri.015G043200.2.v4.1 pep chromosome:Pop_tri_v4:15:4042587:4048631:1 gene:Potri.015G043200.v4.1 transcript:Potri.015G043200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043200.v4.1 MGSPGLINRNNGQQQQQRLGITEPISLGGPTEYDVTKTRELEKFLQDAGLYESQEEAVSREEVLGRLDQIVKNWVKVISRAKRLNEQLVQEANAKIFTFGSYRLGVHGPGADIDTLCVGPRHATREEDFFGELHRMLSEMPEVTELHPVPDAHVPVMRFKFKGVSIDLLYAKLSLWVIPEDLDVSQDSMLHNADEQTVRSLNGCRVTDQILRLVPNIQNFRTTLRCMRFWAKRRGVYSNVSGFLGGINWALLVARICQLFPNALPNMLVSRFFRVYTQWRWPNPVMLCAIEEGSLGLSVWDPRRNPKDRYHLMPIITPAYPSMNSSYNVSSSTLRIMTEEFQRGNEICEAMEVSKAEWDTLFEPFSFFEAYKNYLQIDISAENEDDLRQWKGWVESRLRQLTLKIERHTYNMLQCHPHPGEFSDKSRPLHCSYFMGLQRKQGVPVNEGEQFDIRITVDEFKNSVNMYTLWKPGMEIRVTHVKKRNIPNFVFPSGVRPSRPSKATWDGRRSSEAKVANNSSADKIEGKGVLDGSDEGKKRKRIDEDTENNLRNPKGYAAMPPSGGEVHEGSPPVGNVSSCSTQSDLVITNSLGELKGEKADNNETESLSNSQNLAGIFAQNGELDGILRCNLPDKGLPANNDTSSSKEAEKLAIDKIMSGPYVAHQALPQELDELEDDFVYTNQGKGSEWAAKGSPVESSLSNTAVEQTNESIAAVACSNGAGPSAYLYPNGGSEELEPAELMAPLFNGISSAPPVAQPKPLIRLNFTSLGKAAGKST >Potri.005G145200.1.v4.1 pep chromosome:Pop_tri_v4:5:11856808:11857290:-1 gene:Potri.005G145200.v4.1 transcript:Potri.005G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145200.v4.1 MEKKQEKKHEQQMEKRPESSHEDKKVNSEGLPVEDSPYVNYGDLEDYKRKAYGTEGHLEPKTGRGAGASTDAPTISGGALSS >Potri.004G001000.1.v4.1 pep chromosome:Pop_tri_v4:4:89931:91938:-1 gene:Potri.004G001000.v4.1 transcript:Potri.004G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001000.v4.1 MAKPQSHALFLFLLLLLGNACHPSHQNQNKISLNDLAALAAIKDSLTDIPGSNFFSTWDFTSPDPCSTFSGITCSLNRVTILTLGTGLSNTPRLAGFLSPSLSNLTELTQLILYPGIVTGPIPPQLGRLSNLRVLSLTNNRLKGPIPSSLSSLPNLHTLDLSYNQLTGSIPAGLFTELAQLKVMILASNQLSGELPRMVSAEILHLDLKDNKLTGTLPLRLPSTIRYLSASKNMMGGPLNGLQSLSELEFLDLSMNQFSGPIPSSLLRPTLSSLFLQRNNLSGGVPSPSPPPSSMYGEGSIVDLSHNFLTGELSPVLAAVETLFLNNNHLMGRVPEEYVKSVYGGSTKTLYLQHNYITGFPLEAGLALPDTLSLCLTYNCMVPSVGLMGCPASAGGQLSRPRSQCVVFNHGRPIP >Potri.018G094300.1.v4.1 pep chromosome:Pop_tri_v4:18:11455378:11456580:1 gene:Potri.018G094300.v4.1 transcript:Potri.018G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094300.v4.1 MDFQPNTSLHLSLPSNQLNLELVLEPSSSSSSSPHSPAEPRIFSCNYCRRKFYSSQALGGHQNAHKLERTLAKKSREMSSSVRAHGRSNPRSGSSSCMSGPSFPRHHEPALARFEHHGHAGRFVGDASYDRTEMNYGSIEGVGGSWSLVYRTENVQEELSQLDLSLRL >Potri.006G041100.1.v4.1 pep chromosome:Pop_tri_v4:6:2774802:2777254:1 gene:Potri.006G041100.v4.1 transcript:Potri.006G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G041100.v4.1 MTITGDSHSQFHVLAVDDSMIDRKLIERLLKTSSYQVTAVDSGSKALKFLGLHEEDDHSNPDTVPSVSPNDHREVEVNLIITDYCMPGMTGYDLLKKVKESSSLRDIPVVIMSSENVPSRITRCLEEGAEEFFLKPVRLADLNRLKPHMMKTKIKNEKQEDQEKLENSEIQSEQQPQPPSQPQPQPQPQPQLESQSQPQPSPLLQQPNNNKRKAMEEGLSPDRTRPRYNGITTMV >Potri.002G184900.2.v4.1 pep chromosome:Pop_tri_v4:2:14652409:14653707:-1 gene:Potri.002G184900.v4.1 transcript:Potri.002G184900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184900.v4.1 MAAVVTYLVIFTVISSALAYDPDMLQDLCVANTSAGIKVNGFTCKAETNITEADFFFDGLAKPGKVNNSVGSLVTGGNVEKIPGLNTLGVSLSRIDYAPDGLNPPHTHPRATEMIFVLEGELDVGFITTANKLISKTVKKGEVFVFPRGLVHFQKNNGDKAASVISAFNSQLPGTQSIAMTLFTSTPAVPDNVLTKAFQVGTKEIDKIKTKLAPKKS >Potri.002G214400.1.v4.1 pep chromosome:Pop_tri_v4:2:19939825:19961320:-1 gene:Potri.002G214400.v4.1 transcript:Potri.002G214400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214400.v4.1 MEETRDDSGPVEQGPSNSVWWGSDFIEKFDSVSLLSQEDTLSNKESPKSYEEDGLSSQTASQILWSTGMLSKQIPNGFYSVIPDKRLKELFVNIPTLDELHSMGAEGFKADVILVDAKKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRPNVESPAKAALEEASHVLENRGVQLLGQIRQGSCCPRAILFKVLADSVGLESRLMVGLPNDGTVECVDSYKHMSVIVVLNSVELLVDLVRFPGQLIPSSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFAERADPDSADKDENLQFHKKLEASSNVSGPSLRNMMLRSATSIDRKLSLSQSEPNIATTFWRRSRKKVIAEQRTASSSPEHPSLQARGRSMLSGDRHSIRDYADDVATSSYRSEGASTSEAHRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLSEHGNDRLFTNNLDDKDNGSDLQKNVSNFDLDGREGISGGRSALYTLESDHINSQKAISLPSSPHEYRSQTSQSSRSSGFVANDQLVTTWNKVLESPLFHSKPLLPFQEWNIDFSELTVGARVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTRPPRLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRKLKMLCDICRGLMCMHRMKIVHRDLKSANCLVNKHMTVKICDFGLSRVMTDTPIRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANERSRLEIPEGPLGKLISDCWADSHLRPSCEEILSRLHDCEYVLCR >Potri.002G214400.3.v4.1 pep chromosome:Pop_tri_v4:2:19939825:19961453:-1 gene:Potri.002G214400.v4.1 transcript:Potri.002G214400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214400.v4.1 MEETRDDSGPVEQGPSNSVWWGSDFIEKFDSVSLLSQEDTLSNKESPKSYEEDGLSSQTASQILWSTGMLSKQIPNGFYSVIPDKRLKELFVNIPTLDELHSMGAEGFKADVILVDAKKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRPNVESPAKAALEEASHVLENRGVQLLGQIRQGSCCPRAILFKVLADSVGLESRLMVGLPNDGTVECVDSYKHMSVIVVLNSVELLVDLVRFPGQLIPSSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFAERADPDSADKDENLQFHKKLEASSNVSGPSLRNMMLRSATSIDRKLSLSQSEPNIATTFWRRSRKKVIAEQRTASSSPEHPSLQARGRSMLSGDRHSIRDYADDVATSSYRSEGASTSEAHRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLSEHGNDRLFTNNLDDKDNGSDLQKNVSNFDLDGREGISGGRSALYTLESDHINSQKAISLPSSPHEYRSQTSQSSRSSGFVANDQLVTTWNKVLESPLFHSKPLLPFQEWNIDFSELTVGARVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTRPPRLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRKLKMLCDICRGLMCMHRMKIVHRDLKSANCLVNKHMTVKICDFGLSRVMTDTPIRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANERSRLEIPEGPLGKLISDCWADSHLRPSCEEILSRLHDCEYVLCR >Potri.002G214400.2.v4.1 pep chromosome:Pop_tri_v4:2:19939825:19961453:-1 gene:Potri.002G214400.v4.1 transcript:Potri.002G214400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214400.v4.1 MEETRDDSGPVEQGPSNSVWWGSDFIEKFDSVSLLSQEDTLSNKESPKSYEEDGLSSQTASQILWSTGMLSKQIPNGFYSVIPDKRLKELFVNIPTLDELHSMGAEGFKADVILVDAKKDKKLSMLKQLIVALVKGLNSNPAAMIKKIAGLVSDFYKRPNVESPAKAALEEASHVLENRGVQLLGQIRQGSCCPRAILFKVLADSVGLESRLMVGLPNDGTVECVDSYKHMSVIVVLNSVELLVDLVRFPGQLIPSSTRAIFMTHISAAGESDSAENDSCDSPLEPNSPLYGFAERADPDSADKDENLQFHKKLEASSNVSGPSLRNMMLRSATSIDRKLSLSQSEPNIATTFWRRSRKKVIAEQRTASSSPEHPSLQARGRSMLSGDRHSIRDYADDVATSRSEGASTSEAHRMRRRSISMTPEIGDDIVRAVRAMNETLKQNRLLSEHGNDRLFTNNLDDKDNGSDLQKNVSNFDLDGREGISGGRSALYTLESDHINSQKAISLPSSPHEYRSQTSQSSRSSGFVANDQLVTTWNKVLESPLFHSKPLLPFQEWNIDFSELTVGARVGIGFFGEVFRGVWNGTDVAIKVFLEQDLTAENMEDFCNEISILSRLRHPNVILFLGACTRPPRLSMVTEYMEMGSLYYLIHLSGQKKKLSWRRKLKMLCDICRGLMCMHRMKIVHRDLKSANCLVNKHMTVKICDFGLSRVMTDTPIRDSSSAGTPEWMAPELIRNEPFTEKCDIFSLGVIMWELCTLNRPWEGVPPERVVYAVANERSRLEIPEGPLGKLISDCWADSHLRPSCEEILSRLHDCEYVLCR >Potri.006G253100.1.v4.1 pep chromosome:Pop_tri_v4:6:25137048:25143489:-1 gene:Potri.006G253100.v4.1 transcript:Potri.006G253100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253100.v4.1 MGETRDNDTYEEELLDYEEEDEKAPDSVGAKVNGEAVKKGYVGIHSSGFRDFLLKPELLRSIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPTSGQVIALVLCHTRELAYQICHEFERFSTYLPDTKVAVFYGGVNIKTHKDLLKNECPHIVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKMTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLMRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Potri.006G128900.1.v4.1 pep chromosome:Pop_tri_v4:6:10438513:10440297:-1 gene:Potri.006G128900.v4.1 transcript:Potri.006G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128900.v4.1 MVHSVSSLLSIIVVLLLSPYVISVSIPIHQDSFLKCLERNSESPFPFSTLLYTPKNSTFTTILQSSAQNLRFTLPSSPKPEFIFTPLKESHIQAVVICSKELGIHLRIRSGGHDYEGLSYVSEIERPFSVVDLAKLRSIRIDIEDNSAWVQAGATNGELYYRISEKSETHGFPAGTCTSLGMGGHVSGGAYGAMLRKYGLAADNVIDARIIDVHGRLLDRKAMGEDLFWAIRGGAGGSFGILTAWKVKLVLVPSTVTVFTVAKTLEQGATKILCKWQQIADKLDEDLFIRVYVQTANTSNEGKRTITTSYNALFLGDADRLLQVTEHSFPELGLARQDCIETNWINSTVYLDGFPNNTLPEVFLERRNLLKTYFKGKSDYAREVIPETALEGLWEKLFEVESPLVILTPYGGMMSKIPESQTPFPHRKGTKFKILYWSRWQDAEENVANHIDWTRKVYKFLTPYVSKSPREAYVNYRDLDLGMNKNRSTSVEEASAFGTKYFKDNFYRLVLVKTEVDPDNFFRHEQSIPPLPLHMRGRN >Potri.006G130500.2.v4.1 pep chromosome:Pop_tri_v4:6:10650856:10653429:-1 gene:Potri.006G130500.v4.1 transcript:Potri.006G130500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130500.v4.1 MKLSAKPISSPGRTEKYYPPPLMRFLRTNVGSRSRGRSRSSPMFVRKKNIAIETQEPSSPKVTCMGQVRVRRSKQANTQPGKPKKRRCKWIRKTLLCHHHFNRRRLKLKSFRPSWPKWVHFFRVGIERKNKIVEDCSSSKVEPKIGVRSEDFEQEREEEEMEPKVYVSTENTPPRNALLLTRCRSAPYRSSSLAGRFWGSPSESEETGQKQRCTPQENGENGSPTSKRESVSQEPDQESSLDPETEVKISHFKESEGSITSIRERNANSANIEESKTEKVSTVRPLVLTRCKSEPARTGEKLDVEMVFWKKRR >Potri.006G130500.1.v4.1 pep chromosome:Pop_tri_v4:6:10651465:10653375:-1 gene:Potri.006G130500.v4.1 transcript:Potri.006G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130500.v4.1 MKLSAKPISSPGRTEKYYPPPLMRFLRTNVGSRSRGRSRSSPMFVRKKNIAIETQEPSSPKVTCMGQVRVRRSKQANTQPGKPKKRRCKWIRKTLLCHHHFNRRRLKLKSFRPSWPKWVHFFRVGIERKNKIVEDCSSSKVEPKIGVRSEDFEQEREEEEMEPKVYVSTENTPPRNALLLTRCRSAPYRSSSLAGRFWGSPSESEETGQKQRCTPQENGENGSPTSKRESVSQEPDQESSLDPETEVKISHFKESEGSITSIRERNANSANIEESKTEKVSTVRPLVLTRCKSEPARTGEKLDVEMVFWKKRRLGFA >Potri.011G012901.1.v4.1 pep chromosome:Pop_tri_v4:11:1211961:1212960:1 gene:Potri.011G012901.v4.1 transcript:Potri.011G012901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G012901.v4.1 MILHVPFSYWWVVLMLVLDARGWRSGQSRRTARVNETAATTEERRERETCSLEMASGKYQESSFSRFSNCKYQVFLSFRGEDTRKNFTDHLYTALVQAGIHTFRDDDEIGRGESIKSELQQAIQQ >Potri.014G170200.1.v4.1 pep chromosome:Pop_tri_v4:14:12433899:12436213:1 gene:Potri.014G170200.v4.1 transcript:Potri.014G170200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170200.v4.1 MLGRSTLSRSGSFRPENIGQNALAMIGNLCFTFFVIGVLIFTIIAATYEPEDPLFHPSTKITSFLTSNSNATFKSGDTVVRTGEDFMAPNQTAFSNFINITDVDTTPSIASVNADDGNVNPDGAATTASETCEGPLDCRDPELFHMLMKRVIEEFKDMHFYRFGKPVSGSNDSTCDMGWRFRPKEGKTAAFYKDYRRFVIARSENCTLSVVGIGDYHSGVNARKKKKNQKPGFEKTPGKQEAGQPLLPVVGEAVNDALPVVESENSFSRGKYLLYNGGGDRCKSMNHYLWSFLCALGEAQYLNRTLVMDLSLCLNWMYSSSNQDEEAKDFRFYFDFEHLREAASVLDHSQFWDDWDKWHKKDRLSLHLVEDVRVTPMKLTSVKDTLIMRKFGSVEPDNYWYRVCEGETEAVIQRPWHLIWKSRRLMDIVSTIASKLNWDFDAVHIERGEKARNKELWPNLAADTSPDALLSTLANKLEEGRHVYIATNEPDTSFFDPLKDKYTTHFLDEYKDLWDENSEWYSETKALNKGVPVEFDGYMRISVDTEVFLRGKKQIETFNDLTNDCKDGVNTCSAAAS >Potri.014G096800.1.v4.1 pep chromosome:Pop_tri_v4:14:6337916:6340128:1 gene:Potri.014G096800.v4.1 transcript:Potri.014G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096800.v4.1 MELKLLLSSSSASLPTSLPLFLSQKSSYFLERPKFSVRTHLSASPFQLEKSQFLGNGLVFEEKAGSFTGKLRTHVPFEPIRAAGVKWRKERPFDNVIDRDKKLKLVMKIRKILANQPDRIMSIRELGKFRRELGLTKNRRFIALLKKFPAVFEIVEEGAYSLQFRLTPEAERLYLEELNVKNEMEDLLVLKLRKLLMMSMDKRILLEKIAHLKTDFGLPLEFRDTICHRYPQYFRVVATGRGPALELTHWDPELAVSAAKLAEEENRAKELQEKDLIIDRPLKFNRVKLPKGLQLSKSEMRRICQFRDIPYISPYSDFTHLRSGSKEKEKHACGVVHEILSLTVEKRTLVDHLTHFREEFRFSQQLRGMLIRHPDLFYVSLKGERDSIFLREAYSDSHLVEKDRLLNIKEKLRSLVMVPRFPRRGAPRTEAAGGEDGTSGQEDGSDEEAEDWSDVDSYVSGDELDDDYEDDWDDEDDDTPPDFDDDDGTVKIHLSKSASQPDTATNNKEKVLAPVFPDGRPRDRW >Potri.001G285300.1.v4.1 pep chromosome:Pop_tri_v4:1:29811629:29816514:1 gene:Potri.001G285300.v4.1 transcript:Potri.001G285300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285300.v4.1 MHPDREETVGNGEAGEMDEDVKEDTPLGGVPLYRPRFSGMVRQKAYIFDGKGEYYNKDWDFSEPKENEFCWYHVELPKINQKLPLFAQSLIDILCPPLKLQDILSLVSNGPFCSHVDGALVFRVNSPGPPTSNYTFRLAARVTENSVITVSLGRVPRLGFSPTSESLLSQIPSVETLSSGGTPSHRGGDQNEGSGIVIREHVLEFLLTMNHSEEADNPVPESVSNLVVHIIDTHLDHLQDITTKFEMELDCVELELDKGGFALKKQMLDDRRFPKMHLNLQRILQVIAHGEQVFPRVKEKCSSKKWFSSEDINSLEELIGRLRRLKENVGFISNRVTAIQAGLDSWQAEQINKKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTEQKNPEVKAGFRNVMFVCVGVLVLVLLCFLFPALYSRIAAWRRMIALKRSWSLNRRSFLKRTLPVKERGSYVRL >Potri.001G285300.3.v4.1 pep chromosome:Pop_tri_v4:1:29812002:29816502:1 gene:Potri.001G285300.v4.1 transcript:Potri.001G285300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285300.v4.1 MHPDREETVGNGEAGEMDEDVKEDTPLGGVPLYRPRFSGMVRQKAYIFDGKGEYYNKDWDFSEPKENEFCWYHVELPKINQKLPLFAQSLIDILCPPLKLQDILSLVSNGPFCSHVDGALVFRVNSPGPPTSNYTFRLAARVTENSVITVSLGRVPRLGFSPTSESLLSQIPSVETLSSGGTPSHRGGDQNEGSGIVIREHVLEFLLTMNHSEEADNPVPESVSNLVVHIIDTHLDHLQDITTKFEMELDCVELELDKGGFALKKQMLDDRRFPKMHLNLQRILQVIAHGEQVFPRVKEKCSSKKWFSSEDINSLEELIGRLRRLKENVGFISNRVTAIQAGLDSWQAEQINKKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTEQKNPEVKAGFRNVMFVCVGVLVLVLLCFLFPALYSRIAAWRRMIALKRSWSLNRRSFLKRTLPVKERGSYVRL >Potri.006G079300.2.v4.1 pep chromosome:Pop_tri_v4:6:5898951:5907961:1 gene:Potri.006G079300.v4.1 transcript:Potri.006G079300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079300.v4.1 MWRLKIAAGGNPWLRTNNDHIGRQIWEFDPKLTLSPEEISEIENARQNFTKNRFQSKHSADLIMRMQFEKENPVSEVLPQVKLKESEKVTEEAVTTTLKRGLDYYSSIQAHDGHWPGDYGGPMFLMPGLVITLSITGALHAVLSDEHKKEIIRYLYNHQNRDGGWGLHIEGPSTMFGSVLNYVTLRLLGEGPNDGEGAMEKARDWILNHGGATMITSWGKMWLSVLGVFEWSGNNPMPPEMWLLPYLLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELFTVPYHEIEWNQARTLCAKEDLYYPHPLVQDVLWALLDKAAEPVLMHWPGKKLREKALCTAIEHIHYEDENTRYLCIGPVNKVLNMLCCWVEDPNSEAFKLHIPRIQDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIISTNLVEEYSPTLKKAHAFVKNSQILEDCPGDLHFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEPLVANRFYDAVNVLLSLQNGDGGFATYELTRSYSWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRSEEIESCIRKATMFIESIQEKDGSWYGSWGVCFTYGIWFGIKGLVAAGKNFNNSSSIRKACDFLLSKQCSSGGWGESYLSCQNKTYSNIEGNRAHVVNTAWAMLSLIEAGQAEREPEPLHRAARYLINSQMENGDFPQQEIMGVFNRNCMITYAAYRDIFPIWALGEYRCRVLQAKAS >Potri.006G079300.1.v4.1 pep chromosome:Pop_tri_v4:6:5898902:5908023:1 gene:Potri.006G079300.v4.1 transcript:Potri.006G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079300.v4.1 MWRLKIAAGGNPWLRTNNDHIGRQIWEFDPKLTLSPEEISEIENARQNFTKNRFQSKHSADLIMRMQFEKENPVSEVLPQVKLKESEKVTEEAVTTTLKRGLDYYSSIQAHDGHWPGDYGGPMFLMPGLVITLSITGALHAVLSDEHKKEIIRYLYNHQNRDGGWGLHIEGPSTMFGSVLNYVTLRLLGEGPNDGEGAMEKARDWILNHGGATMITSWGKMWLSVLGVFEWSGNNPMPPEMWLLPYLLPVHPGRMWCHCRMVYLPMSYLYGKRFVGPITPTILSLRKELFTVPYHEIEWNQARTLCAKEDLYYPHPLVQDVLWALLDKAAEPVLMHWPGKKLREKALCTAIEHIHYEDENTRYLCIGPVNKVLNMLCCWVEDPNSEAFKLHIPRIQDYLWLAEDGMKMQGYNGSQLWDTAFAVQAIISTNLVEEYSPTLKKAHAFVKNSQILEDCPGDLHFWYRHISKGAWPFSTADHGWPISDCTAEGLKAALLLSKIPSEIVGEPLVANRFYDAVNVLLSLQNGDGGFATYELTRSYSWLELINPAETFGDIVIDYPYVECTSAAIQALTSFKKLYPGHRSEEIESCIRKATMFIESIQEKDGSWYGSWGVCFTYGIWFGIKGLVAAGKNFNNSSSIRKACDFLLSKQCSSGGWGESYLSCQNKTYSNIEGNRAHVVNTAWAMLSLIEAGQAEREPEPLHRAARYLINSQMENGDFPQQEIMGVFNRNCMITYAAYRDIFPIWALGEYRCRVLQAKAS >Potri.015G004900.2.v4.1 pep chromosome:Pop_tri_v4:15:296322:297044:1 gene:Potri.015G004900.v4.1 transcript:Potri.015G004900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004900.v4.1 MLRGLGSRMEASSENMVAKVKKEVKKASEMPELAPQLDGLNCFETLICHLSAWFLWLISSSAACRGGC >Potri.001G190601.1.v4.1 pep chromosome:Pop_tri_v4:1:17392520:17395656:1 gene:Potri.001G190601.v4.1 transcript:Potri.001G190601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190601.v4.1 MISTAILPRKCGGIDYRWVVVVVVSSILNGTWNLEKCVEKRLCKCCSVKSTSELQGTDENDQSYQGRSLNVQNPKKPLTTTATKHFMSPTISAASKANPPRRKILAESNGSLDTHLQKTPTFGSKTISSIEFAEYGENVLLDHLSSRPYDPLTSYISPRPFLRYKPNRHRDIFLRRENEAREETSTSLADVLLKKMSGRENEEIFKEILLLLVVLVLSTSYIPSMKSPTPSPVMQAFGNPKNGFHMVQDHSGNRNYTLVQNGAGIDEEEMMDYEVMGEDEYDDELDEAVEKQNGESEVLKIVEAEEKETIEGFGEGGETELGSVVETQAGEHAPEMVKAGEITEPTTMSGSPFINDGDYSLAMPSSIPENFEKENEVANEPVTKEVVDGGIGSGWNTTGDQAEYFIACLTLAFHFKRKRNAQKDSSPVVQPSFEPVVVEKCLPMFASEADKNCRVPSVVFLGEFEVGEISSLRSCGMETRMTESEVISIRSVSMEKGMVTMTHSVPVHVQPAFSEISTMDPPPPHMEDSPLRRKS >Potri.009G051500.3.v4.1 pep chromosome:Pop_tri_v4:9:5648568:5658968:1 gene:Potri.009G051500.v4.1 transcript:Potri.009G051500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051500.v4.1 MAPSKLNSTDPHENGDASFSSSQPDNGSSDSLTLFHSEKAVQELLQQTPIQQTDDHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRFELERARNQQLERKGNNICEMLQSWWTQTSIYNARGMIRLMLPCLVCWEVWEERNRRVSEGKSPGECNADIDAQRVENSTNQPMLRNETIEQSENCSTNGLCSHEILHDGGTDSQAKAVPNRIMRKASFKLSWRCKGDISDQHKHDIVSFERGNITAAGRSSKQISLKWESDPQTVLIMTKPNSTSVRILCAEMVRWLKDHKKLNIYVEPRVMGELLSESSYFNFVHTWKDEKEVLSLHTKVDLVVTLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFYSEHYRDCLDSVLRGPISITLRHRLQCYVIRDAAKNEYEMEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLAAGDALVCSMAPWPVPTACQIDSTNDFLRSIHDGLHWNLRKTQSFDGPRDL >Potri.009G051500.1.v4.1 pep chromosome:Pop_tri_v4:9:5648517:5658969:1 gene:Potri.009G051500.v4.1 transcript:Potri.009G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051500.v4.1 MAPSKLNSTDPHENGDASFSSSQPDNGSSDSLTLFHSEKAVQELLQQTPIQQTDDHLIEFSEALRTVAKALRRAAEGKASAQAEAAEWKRRFELERARNQQLERKGKSPGECNADIDAQRVENSTNQPMLRNETIEQSENCSTNGLCSHEILHDGGTDSQAKAVPNRIMRKASFKLSWRCKGDISDQHKHDIVSFERGNITAAGRSSKQISLKWESDPQTVLIMTKPNSTSVRILCAEMVRWLKDHKKLNIYVEPRVMGELLSESSYFNFVHTWKDEKEVLSLHTKVDLVVTLGGDGTVLWAASMFKGPVPPIVPFSLGSLGFMTPFYSEHYRDCLDSVLRGPISITLRHRLQCYVIRDAAKNEYEMEEPILVLNEVTIDRGISSFLTNLECYCDNSFVTCVQGDGLILSTTSGSTAYSLAAGGSMVHPQVPGILFTPICPHSLSFRPLILPEHVTIRVQVPFNSRSPAWASFDGKDRKQLAAGDALVCSMAPWPVPTACQIDSTNDFLRSIHDGLHWNLRKTQSFDGPRDL >Potri.005G159600.1.v4.1 pep chromosome:Pop_tri_v4:5:15394184:15396491:1 gene:Potri.005G159600.v4.1 transcript:Potri.005G159600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G159600.v4.1 MASRSSGGGGSIYGGAAPYRSREGLSTRPMASSDEIQLRIDPIHGDLDDEITGLRSQVRQLRNVAQEIESEAKYQKDFLETLQMTVMKAQAGVKNNIRKLNKSIIKNGGNHIVHVVLFALFCFMVVYLWSKMSRR >Potri.017G003101.1.v4.1 pep chromosome:Pop_tri_v4:17:177785:180059:1 gene:Potri.017G003101.v4.1 transcript:Potri.017G003101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003101.v4.1 MAVGGAKLALLSIHILSVAGNGYSSLQENNYGSDDHEHRKKLISSLKSGFLIGYVFSSVSIITIFMSYCVPWARLNKRKGNGVMIKQPMMTSLMERQEKKRKEANKQVLLLRINQYSSVAKTMDRPVPEFVKANSTCGVFCPIGGFYSSTNVNYANNPGLCGGPLDPCKGHSNEFYSSFRTGFAAGYTVFSVSVIVGFVSCCMPGVHVKKRNKKITIQTMVMLILRKNRKEADNLSASPSVENIHGGGKEISMLEKKVPRMSYTDLKDATNNFSENNEQFVLELKTLGSSRHVNIPQLLGFSMTSKHWLLVYKYMPNGNLYDWLHPMEGQAKIMEWTVRFKVAIGLARGLAWLHQDCSSTIRVFHLNISSKCILLDQDFEPKLSNFGEAIIVNPTNTSSVNGDFWDTAFAKEDVYGFGVVLLELITGVDSSRMTDSSNSLLNEWISHLLTSSKIYDAIDKSLVGQGFDDEIFQLLKVACQCVDSIPDRRPTMHQVYKDIRAMRERCRQIDDSEILVQQHEIYPPSSKGKSVEIEMA >Potri.012G068500.1.v4.1 pep chromosome:Pop_tri_v4:12:8932840:8934781:1 gene:Potri.012G068500.v4.1 transcript:Potri.012G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068500.v4.1 MAKAITGFTITKPHMLSSLQKTKLDLKPCSGGLWQCSSDGLLSGWLQHKRSNKKRASLSKVNAFPDWPLMAVMVEHIEGQRDLITHKSIWHLSDRAIKNVYVFYLMFTCWGCLFFGSMKDPYYDSEAYRKDGGDGSGHWVYDKQDDIEESARAELWREELIEEIEQKVGGLRELEEAGRK >Potri.001G004432.1.v4.1 pep chromosome:Pop_tri_v4:1:291332:293983:-1 gene:Potri.001G004432.v4.1 transcript:Potri.001G004432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004432.v4.1 MGFFSEKEEENHSLSMGSNTKASLMGGSWWWQNVKPFVNGGSAALLTELQFLLVESAIYYLRSYEKESAAKAFKQLPHLQNLKDFDQKGHCCQSWYTSRHSSGSLYLPELLEHAWLLQ >Potri.003G059300.1.v4.1 pep chromosome:Pop_tri_v4:3:8600913:8610165:1 gene:Potri.003G059300.v4.1 transcript:Potri.003G059300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059300.v4.1 MGRRWWMKWYSWVILVAHVFAILIFTRGFLLTRTELPYYSHGSDISQSPCFSSTSTNHSWNKPVVDRLVIIVLDAIRFDFVAPSVFFQEKKAWMDKLPVLQKMAFAEGSSAKIFKAIADPPTTSLQRLKGLTTGGLPTFIDVGNSFGAPAIVEDNFIHQLVENGKRVVMMGDDTWIQLFPHHFNNSHPYPSFNVKDLHTVDNGCIEHLFPALYQDDWDVLIAHFLGVDHAGHIFGVDSMPMIEKLEQYNLMLEKVIKVLGSQSEPGGLHENTFLLVMGDHGQTLNGDHGGGSAEEVETSIFAMSFKKPPTSIPSELDTSSCKLDLDGKKKCTSSIQQLDFAVTVSALLGIPFPFGSIGRVNPELYALGAGTWNLDGINARDSSNLSELEEWLLNYVNVLCINSWQVKRYIDVYSASSVIGFSSEDLLHISNAYVQAEQNWANSTKNLLLHRNERSHTLLPALTRQIDFYFSFLSNVSELARSKWTEFNLKLMGIGLGTMLISLFIIFLAIQRVNNLYTTSLLSPGGSGISFELIFAFFVVAIRACSFLSNSFILEEGKVASFLLATTSIVRLRSSIMKKKMLFEAVSFLLLISILRFTIEVGLSKQAATSLFLSASPSWVLGVAPGHPLWTYMAEIGPILAVILLACLLCRTIASSIFGGLWKYITMATILSYVLIAVYWGAESSIPTLALLLQGMAKGHIPRMIYAIGLGQLLLFAVAHLFDKDRELDYKRSLVVKTVSILSAWSPTIIILSGKQGSLVALALIIGGYCIVKLESMEDSDSDAIFTFNPLAISQWNLLAVCLFFATGHWCAFDGLRYGAAFIGFDEFVLVRQAILLTIDTFGFSHILPVLALPFLAGCNFLFGQNDHGKDFRFTQLSQMYMMYGLITATTVTVTIICVTIQRRHLMVWGLFAPKFVFDVVGLVLTDVLICLASLLYSGRLEDDDTQAVQKTEQ >Potri.015G020200.2.v4.1 pep chromosome:Pop_tri_v4:15:1403606:1410781:1 gene:Potri.015G020200.v4.1 transcript:Potri.015G020200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020200.v4.1 MECNKDEAVRAKEIAEKKFMGRDYVGAKKFALKAQSLYPELEGLSQMLIAFDVYISAENRISSGEVDWYSVLGVNPWADDETVRKQYHKLALILHPDKNQSLGADGAFKLVSEAWGLLSNKEKRLAYNQKLNPSGQQQRVPTRTKVPSSQHSANGFHNHNSTTTSHTRTQNKNLQSRPTSAPSPSSRKPDTFWTICHRCMMHYEYLRVYLNHNLRCPNCHQPFLAVEKDPPSNVTKSSQNSRHHAANSNPFNFPKNGGQSSRSEGFGVCNSTTAPNLQRSNFTRMNDSGGKFASTPTAGHAESVVQKAHDQVKREQDAQGATEREKRYVSKRVDNSSLRADQLFKRRRSDEASVNNYGADILNQAATGNGGAGLGNSSEPRRGYFEAQRVYGFSDIRTKSITERELSLLEVRKMLMKKGLLDVCGKLKEWSSNQVKLKESRTQESMVNNDANKHKRSGHSAGTSSNESTKQATAPLSINVPDSDFHNFDLDRTESSFGDDQVWAAYDENDGMPRYYARIISVISLKPFKMKISWLNSRSNSEFGPLDWVGAGFLKTCGDFWTGKHEISKTLNAFSHRVMWTKGTRGVVRILPRKEDVWALYRNWSPDWNDDTPDEMVQEYEMVEVLDDYDEEQGISVVPLIKVAGFKAVFRRHVGPNEVRRIPKEEMFRFSHQVPNHVLTGEEAHNAPEGCRELDPAAIPMEFLQVITEASEAAVVATGRKAKEEMA >Potri.015G020200.3.v4.1 pep chromosome:Pop_tri_v4:15:1407734:1410651:1 gene:Potri.015G020200.v4.1 transcript:Potri.015G020200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020200.v4.1 MECNKDEAVRAKEIAEKKFMGRDYVGAKKFALKAQSLYPELEGLSQMLIAFDVYISAENRISSGEVDWYSVLGVNPWADDETVRKQYHKLALILHPDKNQSLGADGAFKLVSEAWGLLSNKEKRLAYNQKLNPSGQQQRVPTRTKVPSSQHSANGFHNHNSTTTSHTRTQNKNLQSRPTSAPSPSSRKPDTFWTICHRCMMHYEYLRVYLNHNLRCPNCHQPFLAVEKDPPSNVTKSSQNSRHHAANSNPFNFPKNGGQSSRSEGFGVCNSTTAPNLQRSNFTRMNDSGGKFASTPTAGHAESVVQKAHDQVKREQDAQGATEREKRYVSKRVDNSSLRADQLFKRRRSDEASVNNYGADILNQAATGNGGAGLGNSSEPRRGYFEAQRVYGFSDIRTKSITERELSLLEVRKMLMKKGLLDVCGKLKEWSSNQVKLKESRTQESMVNNDANKHKRSGHSAGTSSNESTKQATAPLSINVPDSDFHNFDLDRTESSFGDDQVWAAYDENDGMPRYYARIISVISLKPFKMKISWLNSRSNSEFGPLDWVGAGFLKTCGDFWTGKHEISKTLNAFSHRVMWTKGTRGVVRILPRKEDVWALYRNWSPDWNDDTPDEMVQEYEMVEVLDDYDEEQGISVVPLIKVAGFKAVFRRHVGPNEVRRIPKEEMFRFSHQVPNHVLTGEEAHNAPEGCRELDPAAIPMEFLQVITEASEAAVVATGRKAKEEMA >Potri.009G087100.1.v4.1 pep chromosome:Pop_tri_v4:9:8119857:8127579:1 gene:Potri.009G087100.v4.1 transcript:Potri.009G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087100.v4.1 MDTNLNDKESVVARIQQLEHECDELHKDIEQLCMQQAGPGYLAAATRMHFQRTAGLVQEIEKLKKQLVACTRDNLNLQEELSEAYRIKTQLAELHQAEAAKNMEAEKQVKFFQGCVAAAFAERDTSIMEAEKAKEKEESMSQKFNEIQQRLEVLNSDVLEQKRLNDALESDLVKQEEQIETFKKVVNKFYEVRQYSLEGFEDTSWDDKCACLLHDSEEMWSYNDASTSKYISALEEEVEALRNSLEKLQSKLQVGLEIENHLKKKVRELEMEQVLWNKMVTTGIEELRHYHSQHRDQITSLLGEERSYLKSIIDMAEEKIKQFDVTREQNLELCRVVKLQENKFGDLHTSTDADSALASKRNDEGSPDIVADKEGNLSEGLAQALQEKVSALLLLSQQEERHLLERNVCMALQKKTEELQRNLLQVTNEKVKVLMELAQLKLEYQELQEKGGSEIKQDFLCDNGERRLSNHERDGRIRNLLKRTYLRRWMGMLDSGNEARASTSGAGSFSGKRSNDMDFARMKIENATLKESMESMDHLISAIHRLRLALLKVKESDTREGTVSGLPVALDDIISEARLVKTALGSSLPISWSAEADDASIGESFHNKLTDIYGEHSSEKIDPVSAAGFEMVELLILAGQILKDNKTIKGS >Potri.009G087100.2.v4.1 pep chromosome:Pop_tri_v4:9:8119997:8127580:1 gene:Potri.009G087100.v4.1 transcript:Potri.009G087100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087100.v4.1 MQQAGPGYLAAATRMHFQRTAGLVQEIEKLKKQLVACTRDNLNLQEELSEAYRIKTQLAELHQAEAAKNMEAEKQVKFFQGCVAAAFAERDTSIMEAEKAKEKEESMSQKFNEIQQRLEVLNSDVLEQKRLNDALESDLVKQEEQIETFKKVVNKFYEVRQYSLEGFEDTSWDDKCACLLHDSEEMWSYNDASTSKYISALEEEVEALRNSLEKLQSKLQVGLEIENHLKKKVRELEMEQVLWNKMVTTGIEELRHYHSQHRDQITSLLGEERSYLKSIIDMAEEKIKQFDVTREQNLELCRVVKLQENKFGDLHTSTDADSALASKRNDEGSPDIVADKEGNLSEGLAQALQEKVSALLLLSQQEERHLLERNVCMALQKKTEELQRNLLQVTNEKVKVLMELAQLKLEYQELQEKGGSEIKQDFLCDNGERRLSNHERDGRIRNLLKRTYLRRWMGMLDSGNEARASTSGAGSFSGKRSNDMDFARMKIENATLKESMESMDHLISAIHRLRLALLKVKESDTREGTVSGLPVALDDIISEARLVKTALGSSLPISWSAEADDASIGESFHNKLTDIYGEHSSEKIDPVSAAGFEMVELLILAGQILKDNKTIKGS >Potri.013G057600.1.v4.1 pep chromosome:Pop_tri_v4:13:4210495:4213142:1 gene:Potri.013G057600.v4.1 transcript:Potri.013G057600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057600.v4.1 MLLPLDMEKEERNQRATCKGLEPEFFLQWGNKKRLRCVRVRDPQIISQRSDGVFRRKTTSRIDRFVVSSATTEKDTYLPQSNRLTRNSEAAILRSSVTENRKSSSPEKEDRCYTTRGSGILDENGKVSMDGNNGDDKGHVWPKLFITLSSKEKEEDFMAMKGCKLPQRPKKRAKIIQRSLLLGSPGAWLTDMCQERYEVREKKSSTSKKRPRGLKAMGSMESDSE >Potri.016G073900.1.v4.1 pep chromosome:Pop_tri_v4:16:5543754:5545113:-1 gene:Potri.016G073900.v4.1 transcript:Potri.016G073900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073900.v4.1 MSSNPSASPISKRLEGKVALITGGASGIGECTARLFVQHGSKVLIADVQDDLGRALCQEYGSEEIISYVHCNVTVDSDVQNAVDTAVSRYGKLDIMFNNAGISGNTKSSILNSDNEDFMRVLNINVCGGFLGAKHAARVMIPAKKGCILFTASVASVLYGELAHAYTASKNAIVGLAKNLSVDLGQHGIRVNSISPTAVATPMLTDALRMTKEAAEKFVASAANLKEAVLEPEDVAQAALYLASDDSKYVSGVNLVIDGGYNLTNPSLAMAMKSLFSKV >Potri.004G150800.4.v4.1 pep chromosome:Pop_tri_v4:4:17239399:17242772:-1 gene:Potri.004G150800.v4.1 transcript:Potri.004G150800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150800.v4.1 MGGHVSKRPAEASSSAINLNNNLQYTTELSSYEAACRLDKDLQSFDTTLQARTNHVINTLAVGIEVRALSFDSLKEVTECLLEMNQEVVKVILECKKDIWKDQELFELVEEYFENSLQTLDFCAALEKCLKRARDSQLLILVALKQFEEESEAGEREYVRTLEELKNFKAAGDPFTDEFFQIFQSVYRQQIMMLEKLQLRKNKLDKKLKCIHTWRKVSSMIFVATFATVLICSVVAAAMTAPPVVAAVAAASTIPLGSMGKWIDSLWKNYENALKGQKEVISTMQVGTYVAIKDLDNIRVLIDRLEIEIEALMRTTDFAIEHGAVKVAIEEIKKKLGVFMKNVEDLGVLADTCSRDIMRARTVVLQRIIKNPNN >Potri.004G150800.5.v4.1 pep chromosome:Pop_tri_v4:4:17239584:17241105:-1 gene:Potri.004G150800.v4.1 transcript:Potri.004G150800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150800.v4.1 MGGHVSKRPAEASSSAINLNNNLQYTTELSSYEAACRLDKDLQSFDTTLQARTNHVINTLAVGIEVRALSFDSLKEVTECLLEMNQEVVKVILECKKDIWKDQELFELVEEYFENSLQTLDFCAALEKCLKRARDSQLLILVALKQFEEESEAGEREYVRTLEELKNFKAAGDPFTDEFFQIFQSVYRQQIMMLEKLQLRKNKLDKKLKCIHTWRKVSSMIFVATFATVLICSVVAAAMTAPPVVAAVAAASTIPLGSMGKWIDSLWKNYENALKGQKEVISTMQVGTYVAIKDLDNIRVLIDRLEIEIEALMRTTDFAIEHGAVKVAIEEIKKKLGVFMKNVEDLGVLADTCSRDIMRARTVVLQRIIKNPNN >Potri.004G150800.3.v4.1 pep chromosome:Pop_tri_v4:4:17239399:17242772:-1 gene:Potri.004G150800.v4.1 transcript:Potri.004G150800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150800.v4.1 MGGHVSKRPAEASSSAINLNNNLQYTTELSSYEAACRLDKDLQSFDTTLQARTNHVINTLAVGIEVRALSFDSLKEVTECLLEMNQEVVKVILECKKDIWKDQELFELVEEYFENSLQTLDFCAALEKCLKRARDSQLLILVALKQFEEESEAGEREYVRTLEELKNFKAAGDPFTDEFFQIFQSVYRQQIMMLEKLQLRKNKLDKKLKCIHTWRKVSSMIFVATFATVLICSVVAAAMTAPPVVAAVAAASTIPLGSMGKWIDSLWKNYENALKGQKEVISTMQVGTYVAIKDLDNIRVLIDRLEIEIEALMRTTDFAIEHGAVKVAIEEIKKKLGVFMKNVEDLGVLADTCSRDIMRARTVVLQRIIKNPNN >Potri.017G092600.1.v4.1 pep chromosome:Pop_tri_v4:17:10531347:10545998:-1 gene:Potri.017G092600.v4.1 transcript:Potri.017G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092600.v4.1 MSNSNSNPSGSGSVPRGIGLSNSIHSEVAPCLPLPSLPVFCGASDPELRLFDGASARNSNFWFLNRNEILSQSSRIADLLRQTDVSYLTLRDENRETASDNVERLELYEEVLRCNPDAFEYVTHGKGQISGNAAFESKRIELSVPVSFQAQRDYDGFQNHQPKYTPNDIASSLRKPKVKKKGSDDISAVIQPDPAELQDATIGSFCDMLEDFCGRAEVPGDDREEAEWLSLPAADLRKLVNEITSLRAKKLLNLIPVEVLVRLLRVLDHQIHRAEGLSIDECEHSDSEVVSYVFCALESIHAALAVMAHNNMPKQLYKEEIIERILEFSKHQIMDVMSAYDPSYRALHRPSENGAPEGYEDEEPDPDYGSANKKRRTVKSVRVKKSSSNRVSGAVNTILQKLCTILGLLKDLLLIERLSDSCILQLVRTSFTTFLVDNIQLLQMKAIGLICGIFYSYIQHRPYIIDEIVQLLWKLPSSKRALRAYHLPDEEQRQIQMVTALLIQLVQSSANLPDALRQASSGNSILEVSLDASYPIKSHEAATETCCLFWTRVLQRFTTVKNQDASELKVMMENLVTDLLTTLNLPEYPSSSPILEVLCVLLLQNAGLKSKDVSARSMAIDFLGTIAARLKQDALICSGNKFWILQELSCGDDVDLSFPKDACCVCLDGRVENRLFMCPGCRRLFHADCVGEREHEAPNRSWHCMICLCKNQLLVLQSYSDSHYKDEEKKDNIRSKNNSDASDTVTKAEIVQQMLLNYLQDVVTADDAYLFVRWFYLCLWYKDDPKSKQKFMYHLTRLKSNLIVRDSGTAFSLLTRDSVKKIALALGQNSSFCRGFDKILHMLLASLRENSPVIRAKALRAVSIIVEADPDVLRDKRVQLAVEGRFCDSAISVREAALELVGRHIASHPDVGLQYFEKVAERIKDTGVSVRKRAIKIIRDMCISNPNFTQFTTACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGLRTQFFGDGSSVPLEVAKKTEQIVEMLRRMPSHQLLVTVIKRNLALDFFPQSAKAVGINPVSLASVRKRCELMCKCLLERILQVEEMNSDEVELCTLPYVLALHAFCVVDPTLCAPASDPSQFVVTLQPYLKSQVDDRAIAQLLESIIFIIDSVLPLIRKLPQSVVEELEQDLKQMIVRHSFLTVVHACIKCLCSLSKVAAKGASVVEYLIQVFFKRLDAQGIDNKQLAGRSLFCLGLLIRYGNSLLSISNNKNIDVASSLSLFKKHLLMEDFGIKVRSLQALGFVLIARPEFMLEKDIGKILEATLSSGSHVRLKMQALQNMHEYLLDAESQMDTDKTNSVAHHPVEGSNSVPVAAGAGDTNICGGIVQLYWDHILGRCLDFNEQVRQTALKIVEVVLRQGLVHPITCVPYLIALETDPQELNSKLAHHLLMNMNEKYPAFFESRLGDGLQLSFIFMKSIVNISPEIPNQKLQSKTAGNLKGKPEGGSLSQARLGVSRIYKLIRGNRVSRNKFMSSIVRKFDNPSRSDSVIPFLVYCTEMLALLPFTLPDEPLYLIYVINRVIQVRAGALEANMKGLILHFSQRNARMVNENRFIQRELVEPVSHHMDMNGTIQPKPDGQPDHSPLRSFDLNGTVQEQPADHAVLNSSVSRYPKMERVSSGESVGISKDDVEKIQVDCLAATALELLLKLKRHLKIVYGLNDARCQAFSPTEPPKPGEAFSRQNIPFDMSQTGTSLPSTYQDLVQRYQEFKGALKEDTVDYSTYTANIKRKRPAPRKVKSGRVMGDDEDDDEDDDWASGGRRPGSGRKGNSSRSRHRQ >Potri.017G092600.7.v4.1 pep chromosome:Pop_tri_v4:17:10531433:10546007:-1 gene:Potri.017G092600.v4.1 transcript:Potri.017G092600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092600.v4.1 MSNSNSNPSGSGSVPRGIGLSNSIHSEVAPCLPLPSLPVFCGASDPELRLFDGASARNSNFWFLNRNEILSQSSRIADLLRQTDVSYLTLRDENRETASDNVERLELYEEVLRCNPDAFDFSGKGQISGNAAFESKRIELSVPVSFQAQRDYDGFQNHQPKYTPNDIASSLRKPKVKKKGSDDISAVIQPDPAELQDATIGSFCDMLEDFCGRAEVPGDDREEAEWLSLPAADLRKLVNEITSLRAKKLLNLIPVEVLVRLLRVLDHQIHRAEGLSIDECEHSDSEVVSYVFCALESIHAALAVMAHNNMPKQLYKEEIIERILEFSKHQIMDVMSAYDPSYRALHRPSENGAPEGYEDEEPDPDYGSANKKRRTVKSVRVKKSSSNRVSGAVNTILQKLCTILGLLKDLLLIERLSDSCILQLVRTSFTTFLVDNIQLLQMKAIGLICGIFYSYIQHRPYIIDEIVQLLWKLPSSKRALRAYHLPDEEQRQIQMVTALLIQLVQSSANLPDALRQASSGNSILEVSLDASYPIKSHEAATETCCLFWTRVLQRFTTVKNQDASELKVMMENLVTDLLTTLNLPEYPSSSPILEVLCVLLLQNAGLKSKDVSARSMAIDFLGTIAARLKQDALICSGNKFWILQELSCGDDVDLSFPKDACCVCLDGRVENRLFMCPGCRRLFHADCVGEREHEAPNRSWHCMICLCKNQLLVLQSYSDSHYKDEEKKDNIRSKNNSDASDTVTKAEIVQQMLLNYLQDVVTADDAYLFVRWFYLCLWYKDDPKSKQKFMYHLTRLKSNLIVRDSGTAFSLLTRDSVKKIALALGQNSSFCRGFDKILHMLLASLRENSPVIRAKALRAVSIIVEADPDVLRDKRVQLAVEGRFCDSAISVREAALELVGRHIASHPDVGLQYFEKVAERIKDTGVSVRKRAIKIIRDMCISNPNFTQFTTACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGLRTQFFGDGSSVPLEVAKKTEQIVEMLRRMPSHQLLVTVIKRNLALDFFPQSAKAVGINPVSLASVRKRCELMCKCLLERILQVEEMNSDEVELCTLPYVLALHAFCVVDPTLCAPASDPSQFVVTLQPYLKSQVDDRAIAQLLESIIFIIDSVLPLIRKLPQSVVEELEQDLKQMIVRHSFLTVVHACIKCLCSLSKVAAKGASVVEYLIQVFFKRLDAQGIDNKQLAGRSLFCLGLLIRYGNSLLSISNNKNIDVASSLSLFKKHLLMEDFGIKVRSLQALGFVLIARPEFMLEKDIGKILEATLSSGSHVRLKMQALQNMHEYLLDAESQMDTDKTNSVAHHPVEGSNSVPVAAGAGDTNICGGIVQLYWDHILGRCLDFNEQVRQTALKIVEVVLRQGLVHPITCVPYLIALETDPQELNSKLAHHLLMNMNEKYPAFFESRLGDGLQLSFIFMKSIVNISPEIPNQKLQSKTAGNLKGKPEGGSLSQARLGVSRIYKLIRGNRVSRNKFMSSIVRKFDNPSRSDSVIPFLVYCTEMLALLPFTLPDEPLYLIYVINRVIQVRAGALEANMKGLILHFSQRNARMVNENRFIQRELVEPVSHHMDMNGTIQPKPDGQPDHSPLRSFDLNGTVQEQPADHAVLNSSVSRYPKMERVSSGESVGISKDDVEKIQVDCLAATALELLLKLKRHLKIVYGLNDARCQAFSPTEPPKPGEAFSRQNIPFDMSQTGTSLPSTYQDLVQRYQEFKGALKEDTVDYSTYTANIKRKRPAPRKVKSGRVMGDDEDDDEDDDWASGGRRPGSGRKGNSSRSRHRQ >Potri.017G092600.6.v4.1 pep chromosome:Pop_tri_v4:17:10530789:10545893:-1 gene:Potri.017G092600.v4.1 transcript:Potri.017G092600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092600.v4.1 MSNSNSNPSGSGSVPRGIGLSNSIHSEVAPCLPLPSLPVFCGASDPELRLFDGASARNSNFWFLNRNEILSQSSRIADLLRQTDVSYLTLRDENRETASDNVERLELYEEVLRCNPDAFEYVTHGKQSKGQISGNAAFESKRIELSVPVSFQAQRDYDGFQNHQPKYTPNDIASSLRKPKVKKKGSDDISAVIQPDPAELQDATIGSFCDMLEDFCGRAEVPGDDREEAEWLSLPAADLRKLVNEITSLRAKKLLNLIPVEVLVRLLRVLDHQIHRAEGLSIDECEHSDSEVVSYVFCALESIHAALAVMAHNNMPKQLYKEEIIERILEFSKHQIMDVMSAYDPSYRALHRPSENGAPEGYEDEEPDPDYGSANKKRRTVKSVRVKKSSSNRVSGAVNTILQKLCTILGLLKDLLLIERLSDSCILQLVRTSFTTFLVDNIQLLQMKAIGLICGIFYSYIQHRPYIIDEIVQLLWKLPSSKRALRAYHLPDEEQRQIQMVTALLIQLVQSSANLPDALRQASSGNSILEVSLDASYPIKSHEAATETCCLFWTRVLQRFTTVKNQDASELKVMMENLVTDLLTTLNLPEYPSSSPILEVLCVLLLQNAGLKSKDVSARSMAIDFLGTIAARLKQDALICSGNKFWILQELSCGDDVDLSFPKDACCVCLDGRVENRLFMCPGCRRLFHADCVGEREHEAPNRSWHCMICLCKNQLLVLQSYSDSHYKDEEKKDNIRSKNNSDASDTVTKAEIVQQMLLNYLQDVVTADDAYLFVRWFYLCLWYKDDPKSKQKFMYHLTRLKSNLIVRDSGTAFSLLTRDSVKKIALALGQNSSFCRGFDKILHMLLASLRENSPVIRAKALRAVSIIVEADPDVLRDKRVQLAVEGRFCDSAISVREAALELVGRHIASHPDVGLQYFEKVAERIKDTGVSVRKRAIKIIRDMCISNPNFTQFTTACIEIISRVSDDESSIQDLVCKTFYEFWFEEPSGLRTQFFGDGSSVPLEVAKKTEQIVEMLRRMPSHQLLVTVIKRNLALDFFPQSAKAVGINPVSLASVRKRCELMCKCLLERILQVEEMNSDEVELCTLPYVLALHAFCVVDPTLCAPASDPSQFVVTLQPYLKSQVDDRAIAQLLESIIFIIDSVLPLIRKLPQSVVEELEQDLKQMIVRHSFLTVVHACIKCLCSLSKVAAKGASVVEYLIQVFFKRLDAQGIDNKQLAGRSLFCLGLLIRYGNSLLSISNNKNIDVASSLSLFKKHLLMEDFGIKVRSLQALGFVLIARPEFMLEKDIGKILEATLSSGSHVRLKMQALQNMHEYLLDAESQMDTDKTNSVAHHPVEGSNSVPVAAGAGDTNICGGIVQLYWDHILGRCLDFNEQVRQTALKIVEVVLRQGLVHPITCVPYLIALETDPQELNSKLAHHLLMNMNEKYPAFFESRLGDGLQLSFIFMKSIVNISPEIPNQKLQSKTAGNLKGKPEGGSLSQARLGVSRIYKLIRGNRVSRNKFMSSIVRKFDNPSRSDSVIPFLVYCTEMLALLPFTLPDEPLYLIYVINRVIQVRAGALEANMKGLILHFSQRNARMVNENRFIQRELVEPVSHHMDMNGTIQPKPDGQPDHSPLRSFDLNGTVQEQPADHAVLNSSVSRYPKMERVSSGESVGISKDDVEKIQVDCLAATALELLLKLKRHLKIVYGLNDARCQAFSPTEPPKPGEAFSRQNIPFDMSQTGTSLPSTYQDLVQRYQEFKGALKEDTVDYSTYTANIKRKRPAPRKVKSGRVMGDDEDDDEDDDWASGGRRPGSGRKGNSSRSRHRQ >Potri.009G072000.3.v4.1 pep chromosome:Pop_tri_v4:9:7074808:7077166:-1 gene:Potri.009G072000.v4.1 transcript:Potri.009G072000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072000.v4.1 MATRVTQTPLIIQDENLGVSRKKAGFDGSVKQSKTATKKSGGFALGNRKALNDITNKAVVRQETSSRKKNVQKEEINNVAEERFLHDHNKCIEEKEATSISSFLDLVLPGHDSVSSTAENPEVKQVKTDPGSCFYPEPKELAIPVFSDWFESPTQWHSPPCSPIHWDSPPCSPFSWQFEAVEYVLRPESDV >Potri.009G072000.2.v4.1 pep chromosome:Pop_tri_v4:9:7074930:7077138:-1 gene:Potri.009G072000.v4.1 transcript:Potri.009G072000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072000.v4.1 MATRVTQTPLIIQDENLGVSRKKAGFDGSVKQSKTATKKSGGFALGNRKALNDITNKAVVRQETSSRKKNVQKEEINNVAEERFLHDHNKCIEEKEATSISSFLDLVLPGHDSVSSTAENPEVKQTDPGSCFYPEPKELAIPVFSDWFESPTQWHSPPCSPIHWDSPPCSPFSWQFEAVEYVLRPESDV >Potri.009G072000.4.v4.1 pep chromosome:Pop_tri_v4:9:7074808:7077166:-1 gene:Potri.009G072000.v4.1 transcript:Potri.009G072000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072000.v4.1 MATRVTQTPLIIQDENLGVSRKKAGFDGSVKQSKTATKKSGGFALGNRKALNDITNKAVVRQETSSRKKNVQKEEINNVAEERFLHDHNKCIEEKEATSISSFLDLVLPGHDSVSSTAENPEVKQVKTDPGSCFYPEPKELAIPVFSDWFESPTQWHSPPCSPIHWDSPPCSPFSWQFEAVEYVLRPESDV >Potri.003G155900.2.v4.1 pep chromosome:Pop_tri_v4:3:16695954:16699341:1 gene:Potri.003G155900.v4.1 transcript:Potri.003G155900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155900.v4.1 MLQKVNFDSSSAIGRMLFKAASFNCTIWTADCNPNSSQAVIGTNLGAALVNLETGMASWVCRSKSDVLSQQLDPSGNVVLCGLINGAILTVDVREKQERVPDRLIRHRIPYSSLGRQGPSSSKQWFEVKGNMYSSRTIFMPSSICCMVSLQSDDQYFLASSMDGLASIGS >Potri.003G155900.3.v4.1 pep chromosome:Pop_tri_v4:3:16695157:16699452:1 gene:Potri.003G155900.v4.1 transcript:Potri.003G155900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155900.v4.1 MLFKAASFNCTIWTADCNPNSSQAVIGTNLGAALVNLETGMASWVCRSKSDVLSQQLDPSGNVVLCGLINGAILTVDVREKQERVPDRLIRHRIPYSSLGRQGPSSSKQWFEVKGNMYSSRTIFMPSSICCMVSLQSDDQYFLASSMDGLASIGS >Potri.006G017000.1.v4.1 pep chromosome:Pop_tri_v4:6:1055047:1059829:1 gene:Potri.006G017000.v4.1 transcript:Potri.006G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017000.v4.1 MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKTTTPNTRKRDKPEVAIANREQNDPVKISLKDCLACSGCITSAETVMLEKQSLDEFLSNIDKGKAIIVSLSPQSRASLAFYFGISPLQVFKKLTTFFKSLGVKAVFDTSCSRDLTLVETCNEFLCRYKQSQLNIDEKSNPSLPMLSSACPGWICYAEKQLGSYILPYVSSVKSPQQTIGATIKHHICQKMGLRPDEVYHVTVMPCYDKKLEAARGDFVFEVEQEDANKNSLRITEVDSVLTTGEVLDLIKLKAVDIETLDDSPLDKMLTNVSEEGYLYGVPGSSGGYAETVLRYAARMVFGREIEGPLAFRSLRNNDFCEVTLEVDGKVVLKFALCYGFQNLQNIVRKVKMGRCDYHFVEIMACPSGCLNGGGQIKPKPQQSPRELLQSLETIYMENILVKDPFENPLVKSLYDEWLDQPGSEKAKRHMHTEYHPVVKSVTAQLHNW >Potri.011G098200.6.v4.1 pep chromosome:Pop_tri_v4:11:12661748:12662531:-1 gene:Potri.011G098200.v4.1 transcript:Potri.011G098200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098200.v4.1 MEEEPIREASKEVCREFETLIDERDLDSLKQLQLLILGRLQDSNAVLSHFNEFSENCFAEVSADFSRNTRLLKSMKSDLDYIFQKLRSMKAKILATYPDAFPDGSAKEVLDRRPDLEMP >Potri.001G046800.1.v4.1 pep chromosome:Pop_tri_v4:1:3461046:3464902:1 gene:Potri.001G046800.v4.1 transcript:Potri.001G046800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046800.v4.1 MDNEEVPSAPSTPATPGTPGAPLFGGFKGERGVHGKKSLLRSCKCFGVEEWAMEEGRLPPVSCSLPPPPVSLARKLGAEFMGTLILIFAGTATAIVNQKTQGSEALIGLAASTGLAAMIVILSTGHISGAHLNPSITIAFAALKHFPWKHVPVYIGAQVLASLCAAFALKVIFHPMMGGGVTVPSGGHGQAFALEFIISFILMFVVTAVATDTRAVGELAGIAVGATVMLNILIAGETTGASMNPVRTLGPAIAANNYKAIWVYLTAPILGALCGAGTYSAVKLPEEDGDTNEKTSATRSFRR >Potri.010G209501.1.v4.1 pep chromosome:Pop_tri_v4:10:19895689:19900017:-1 gene:Potri.010G209501.v4.1 transcript:Potri.010G209501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209501.v4.1 MLDKTEAKKQEIMVASFFIASTAALRGPVMLLQTLSSRSLDHQTKIILMLYKSDPGLGCQTA >Potri.010G209501.2.v4.1 pep chromosome:Pop_tri_v4:10:19897555:19900016:-1 gene:Potri.010G209501.v4.1 transcript:Potri.010G209501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209501.v4.1 MLDKTEAKKQEIMVASFFIASTAALRGPVMLLQTLSSRSLDHQTKIILMLYKSDPGLGCQTA >Potri.010G209501.3.v4.1 pep chromosome:Pop_tri_v4:10:19899191:19899937:-1 gene:Potri.010G209501.v4.1 transcript:Potri.010G209501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209501.v4.1 MLDKTEAKKQEIMVASFFIASTAALRGPVMLLQTLSSRSLDHQTKIILMLYKSDPGLGCQTA >Potri.014G188801.1.v4.1 pep chromosome:Pop_tri_v4:14:16128695:16129168:1 gene:Potri.014G188801.v4.1 transcript:Potri.014G188801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G188801.v4.1 MWTSHDQFLDVVSTHWSSDLYGTPMYLLCRRLKILKRPLKELNRLHFSHISEHVSRLETELATHQLSLHHDRDNHHLLDQEKLLRSKLSQLKFVEKQFFSQKIKCNFLKESDRGTKFFHELMNHNHRRNFIPAIMTGHGRQSSSLEEVGGVFVNYFQ >Potri.011G010700.5.v4.1 pep chromosome:Pop_tri_v4:11:988031:990059:-1 gene:Potri.011G010700.v4.1 transcript:Potri.011G010700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010700.v4.1 MDGVDILTLSVGPDEPPEDTITFLSVFDVFMLFARRAGVFVAQAAGNHGPDFSTVVSYSPWAVGVAACSTDRSYPGSLLLGNGLKVGGVGLSGPSFGDGEFLCKLVLAKDAVRVNGAFPRTPAYVEECQFPEALDPIIVRGRIVICVFSAGFYNGTSNINAIIDTARTLGFMGFAFVANPAYGDFIAEPIPFAVSGIIIPKVADAQIISQYYEQNIQRDERGFVIQYCARAAIREGRVASFVGQAPIVSRFSSRGPDFVDINRNPADVLKPDILAPGHQIWAAWSPLSALEPILTGYHFALLSGTSMATPHTVGIAALIKQYNPSWTPSMIASAISTTATKYDNYGEVILAEGSYLNSYYPSTHFDSGAGLVNPARAIDPGLVLPAEFEDHINFLCSLPGIDWSVINAATGERCNRSLSHPANLNLPSVTISTLRNSLTVKRSLKNGGSRPETYTCSVISPNGTMVNLSPTWFRIAPQEIQDIEIQFRVIQAGGEFSFGEIVLTGSLNHIVRLPLSVLPISTS >Potri.001G156500.2.v4.1 pep chromosome:Pop_tri_v4:1:13168534:13169551:-1 gene:Potri.001G156500.v4.1 transcript:Potri.001G156500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156500.v4.1 MPYRRIMEVEPPSPLRYIIGAAIMMIGVVLPVGYMMFRNKRGPSSSSTYSKQT >Potri.014G074400.1.v4.1 pep chromosome:Pop_tri_v4:14:4775960:4780813:-1 gene:Potri.014G074400.v4.1 transcript:Potri.014G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074400.v4.1 MSPLTRLSGQKHFFLTRHLSSSTASSKLHDIYCFKPPPSLSPEKPQTSNNNNNKKKQKPEYRPPSSLETNKKPLRSDLPFDFRFSYTESSPDVRPIGLREPKYSPFGPGRLDRTWTGVCAPAVDLKVKSVDDGVGEEGLDLEEKRTVMREKIQGKPLTKAEGKILVDKCQRNKTKKQINLGRDGLTHNMLNDIHNHWKHDEAVRVKCMGVPTVDMKNVCTQLEDKTFGKIIHRHCGLLVLYRGRNYHPRNRPVIPLMLWKPHEPVYPRLIKTTIDGLSIEETKEMRKRGLAVPALTKLSRNGYFGSLVPMVRDAFLVSELVRIDCQGLDRSDSKKIGCKLRDLVPCILVTFDKEQIVVWRGKDYKPPEDEDGQFFADRQPFDDPQSDMDCIKEKLSSDETRFQQE >Potri.011G151900.1.v4.1 pep chromosome:Pop_tri_v4:11:17895599:17895888:1 gene:Potri.011G151900.v4.1 transcript:Potri.011G151900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151900.v4.1 MKGSRALVASVLTASTVALSSASSSSLMVSEGSSRSLSMEKNGGFKKEEFETRFDGLRFIETLVTAHR >Potri.004G040500.1.v4.1 pep chromosome:Pop_tri_v4:4:3239671:3245412:1 gene:Potri.004G040500.v4.1 transcript:Potri.004G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040500.v4.1 MSTAPATQSLAFRVMRLCRPSFHVDTPLLLDPSDLILGEDIFDDPLAATHLPPLIDTHLTNPIDSSDLSYRSRFLLQNPSDSFGLSGLLVLPQSFGAIYLGETFCSYVSINNSSNFEVRDIVIKAEMQTERQRILLLDTSKTPVESIRASGRYDFIVEHDVKELGAHTLVCTALYTDGDGERKYLPQFFKFIVANPLSVRTKVRVVKETTYLEACIENHTKTNLYMDQVEFEPAPNWSAKILKADEHKSKDNSPSREIFKPPVLVKSGGGIRNYLYQLSLSSHGSAESNVLGKLQITWRTNLGEPGRLQTQQILGTPITPKEIELHVAEVPSAINLDRPFLVHLNLTNQTDRELGPFEVWLSQDDTLDEKTVMINGLQTMELSQLEAFGSTDFYLNLIATKLGVQKITGITVFDKSEKKTYAPLPDLEIFVDMH >Potri.005G089200.4.v4.1 pep chromosome:Pop_tri_v4:5:6205334:6216665:-1 gene:Potri.005G089200.v4.1 transcript:Potri.005G089200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089200.v4.1 MLQKKSQVLPSFQSIKSLPVDFRFVGSPTSEQSENANLVNSNTACLSVPEKNDLENGLVEGAEDSVGNDVNEDSPYSQAAILVEQRPSVGDEDLDTVPTPLPLVSTFHRERRWADTSSYAAKKKLQSWFQLSNGDWELGKILSTSGTESVISPPDGKVLKVKTESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNRDMIYTKAGPVLVAINPFKEVPLYGNNYIEAYKNKSMESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKISLKIASEYKYLRQSNCYTITGVDDAERFRGVMEALDIVHVSKEDQESVFAMLAAVLWLGNVSFSIVDNENHVEPLADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLSLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLESVAYSQDPLSISVAILHQFDILPEMYQVGYTKLFFRTGQIGVLEDTRNHTLHGILRVQSCFRGHQARAYLRELKRGICVLQSFVRGEKIRKEYAVSQQRHRAAVVIQRHIKSTICGKKYKDMHQASIMIQSVIRGWLVRRFSGDVGLLKSGATKGNESDEVLVKASFLAELQRRVLKAEAALREKEEENDVLHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDEREFSWDTGSNHRGQESNSARPMSAGLSVISRMAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGSRLRETKVILNKLGTEEGALDRVKRKWWGRRNSTRYT >Potri.005G089200.2.v4.1 pep chromosome:Pop_tri_v4:5:6205334:6216646:-1 gene:Potri.005G089200.v4.1 transcript:Potri.005G089200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089200.v4.1 MLQKKSQVLPSFQSIKSLPVDFRFVGSPTSEQSENANLVNSNTACLSVPEKNDLENGLVEGAEDSVGNDVNEDSPYSQAAILVEQRPSVGDEDLDTVPTPLPLVSTFHRERRWADTSSYAAKKKLQSWFQLSNGDWELGKILSTSGTESVISPPDGKVLKVKTESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNRDMIYTKAGPVLVAINPFKEVPLYGNNYIEAYKNKSMESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKISLKIASEYKYLRQSNCYTITGVDDAERFRGVMEALDIVHVSKEDQESVFAMLAAVLWLGNVSFSIVDNENHVEPLADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLSLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLESVAYSQDPLSISVAILHQFDILPEMYQVGYTKLFFRTGQIGVLEDTRNHTLHGILRVQSCFRGHQARAYLRELKRGICVLQSFVRGEKIRKEYAVSQQRHRAAVVIQRHIKSTICGKKYKDMHQASIMIQSVIRGWLVRRFSGDVGLLKSGATKGNESDEVLVKASFLAELQRRVLKAEAALREKEEENDVLHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDEREFSWDTGSNHRGQESNSARPMSAGLSVISRMAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGSRLRETKVILNKLGTEEGALDRVKRKWWGRRNSTRYT >Potri.005G089200.9.v4.1 pep chromosome:Pop_tri_v4:5:6205428:6216589:-1 gene:Potri.005G089200.v4.1 transcript:Potri.005G089200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089200.v4.1 MLQKKSQVLPSFQSIKSLPVDFRFVGSPTSEQSENANLVNSNTACLSVPEKNDLENGLVEGAEDSVGNDVNEDSPYSQAAILVEQRPSVGDEDLDTVPTPLPLVSTFHRERRWADTSSYAAKKKLQSWFQLSNGDWELGKILSTSGTESVISPPDGKVLKVKTESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNRDMIYTKAGPVLVAINPFKEVPLYGNNYIEAYKNKSMESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISVLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKISLKIASEYKYLRQSNCYTITGVDDAERFRGVMEALDIVHVSKEDQESVFAMLAAVLWLGNVSFSIVDNENHVEPLADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLSLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLESVAYSQDPLSISVAILHQFDILPEMYQVGYTKLFFRTGQIGVLEDTRNHTLHGILRVQSCFRGHQARAYLRELKRGICVLQSFVRGEKIRKEYAVSQQRHRAAVVIQRHIKSTICGKKYKDMHQASIMIQSVIRGWLVRRFSGDVGLLKSGATKMYVYELQEYYPIPMHWIWFI >Potri.005G089200.3.v4.1 pep chromosome:Pop_tri_v4:5:6205334:6216659:-1 gene:Potri.005G089200.v4.1 transcript:Potri.005G089200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089200.v4.1 MLQKKSQVLPSFQSIKSLPVDFRFVGSPTSEQSENANLVNSNTACLSVPEKNDLENGLVEGAEDSVGNDVNEDSPYSQAAILVEQRPSVGDEDLDTVPTPLPLVSTFHRERRWADTSSYAAKKKLQSWFQLSNGDWELGKILSTSGTESVISPPDGKVLKVKTESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNRDMIYTKAGPVLVAINPFKEVPLYGNNYIEAYKNKSMESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISGAKIQTFLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKISLKIASEYKYLRQSNCYTITGVDDAERFRGVMEALDIVHVSKEDQESVFAMLAAVLWLGNVSFSIVDNENHVEPLADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLSLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLESVAYSQDPLSISVAILHQFDILPEMYQVGYTKLFFRTGQIGVLEDTRNHTLHGILRVQSCFRGHQARAYLRELKRGICVLQSFVRGEKIRKEYAVSQQRHRAAVVIQRHIKSTICGKKYKDMHQASIMIQSVIRGWLVRRFSGDVGLLKSGATKGNESDEVLVKASFLAELQRRVLKAEAALREKEEENDVLHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDEREFSWDTGSNHRGQESNSARPMSAGLSVISRMAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGSRLRETKVILNKLGTEEGALDRVKRKWWGRRNSTRYT >Potri.005G089200.8.v4.1 pep chromosome:Pop_tri_v4:5:6205334:6216589:-1 gene:Potri.005G089200.v4.1 transcript:Potri.005G089200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089200.v4.1 MLQKKSQVLPSFQSIKSLPVDFRFVGSPTSEQSENANLVNSNTACLSVPEKNDLENGLVEGAEDSVGNDVNEDSPYSQAAILVEQRPSVGDEDLDTVPTPLPLVSTFHRERRWADTSSYAAKKKLQSWFQLSNGDWELGKILSTSGTESVISPPDGKVLKVKTESLVPANPDILDGVDDLMQLSYLNEPSVLYNLQYRYNRDMIYTKAGPVLVAINPFKEVPLYGNNYIEAYKNKSMESPHVYAITDTAIREMIRDEVNQSIIISGESGAGKTETAKIAMQYLAALGGGSGIEYEILKTNPILEAFGNAKTLRNDNSSRFGKLIEIHFSETGKISVLLEKSRVVQCMEGERSYHIFYQLCAGASPKLREKISLKIASEYKYLRQSNCYTITGVDDAERFRGVMEALDIVHVSKEDQESVFAMLAAVLWLGNVSFSIVDNENHVEPLADEGLTTVAKLIGCNVGELKLALSTRKMRVGNDTIVQKLSLSQAIDTRDALAKSIYSCLFDWLVEQVNKSLAVGKRRTGRSISILDIYGFESFERNSFEQFCINYANERLQQHFNRHLFKLEQEEYIQDGIDWTKVDFDDNQDCLNLFEKKPLGLLSLLDEESTFPNGTDLTFANKLKQHLNSNSCFRGERGKAFSVSHYAGEVTYDTTGFLEKNRDLLHLDSIQLLSSCSCHLPQIFASNMLTQSEKPVVGPLYKAGGADSQKLSVATKFKGQLFQLMQRLENTTPHFIRCIKPNNSQSPGSYEQGLVLQQLRCCGVLEVVRISRSGFPTRMSHQKFARRYGFLLLESVAYSQDPLSISVAILHQFDILPEMYQVGYTKLFFRTGQIGVLEDTRNHTLHGILRVQSCFRGHQARAYLRELKRGICVLQSFVRGEKIRKEYAVSQQRHRAAVVIQRHIKSTICGKKYKDMHQASIMIQSVIRGWLVRRFSGDVGLLKSGATKGNESDEVLVKASFLAELQRRVLKAEAALREKEEENDVLHQRLQQYENRWSEYELKMKSMEEVWQKQMRSLQSSLSIAKKSLAIDDSERNSDASVNASDEREFSWDTGSNHRGQESNSARPMSAGLSVISRMAEEFEQRSQVFGDDAKFLVEVKSGQVEASLNPDRELRRLKQMFEAWKKDYGSRLRETKVILNKLGTEEGALDRVKRKWWGRRNSTRYT >Potri.010G247700.1.v4.1 pep chromosome:Pop_tri_v4:10:22196194:22200310:-1 gene:Potri.010G247700.v4.1 transcript:Potri.010G247700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247700.v4.1 MQRPLSFLILLFAFLSIFSPLLISSQSPPPPYSPSNACKSTLYPKLCRSILTTFPSSSNPYEYSKFSVKQCLKQAKRLSKVIDYHLTHEKQLSKMTHEEFGALQDCHEFMELNVDYFETISSELVAAESMSDVLVERVTSLLSGVVTNQQTCYDGLVQSKSSIVSALSVPLSNVTQLYSVSLALVTHSLEKNLKKNKRRKGSPQGTVTRGVREPLETLIKALRKTSSCHETRNCHRGERILSDDAGDDGILVNDTVIVGPYGTDNFTTIGDAIAFAPNNSKPEDGYFVIFVREGIYEEYVVVPKNKKNIVLIGEGINQTVITGNHSVIDGWTTFNSSTFAVSGERFVGIDMTFRNTAGPEKHQAVALRNNADLSTFYRCSFEAYQDTLYVHSLRQFYRECDVYGTVDFIFGNAAAVFQNCNLYARKPMLNQKNAFTAQGRTDPNQNTGISIHNCTIEAAPDLAMDRNSTDSNLTLNFLGRPWKEYSRTVIMQSYIGELIQPVGWLEWNGTVGLDTIYYGEFQNYGPGANTSRRVQWPGFNLMNATQAVNFTVYNFTMGDTWLPYTDVPFSGGLV >Potri.004G131100.1.v4.1 pep chromosome:Pop_tri_v4:4:14838025:14838711:1 gene:Potri.004G131100.v4.1 transcript:Potri.004G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131100.v4.1 MGRRKIEIEMVKDSNSRQVTFSKRRTGVFKKANELATLCGVQIAIIVFSPGGKPFSFGHPNVEFVAQRFLNRDKKPKVSAGSLVDSQQEARLEKLNSQLNDILRKLQYERKRGELLEKAMKLKGSEPKLIGELNLDELRKMKGELEELQEKLRGRVTEMEASSSLLLLSKKPTREKHQ >Potri.014G178500.2.v4.1 pep chromosome:Pop_tri_v4:14:13521273:13534425:1 gene:Potri.014G178500.v4.1 transcript:Potri.014G178500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G178500.v4.1 MGEFVELEAQDGVRMPWNVLPGTKQESSNCVVPVSAIYTPIKPFPNMPVLPYSPLRCRACRSILNPFCTVDFSAKIWICPFCFQRNQFPPHYASISDDNLPAELFSQYTTIEFEDPQTISSSAPSPMIFMFVVDTCMIEEEMAFLKSALSQAIELLHENSLVGLITFGTLVHVHELGFGEITKTYVFKGSKDVSKEQLLEQMGFFLKKPKPPTGVIAGAKDGLSADSISRFLLPASQCEFTLNSVLEELQKDPWPVPPDQRASRCTSTALSVAACLLGACVPGSGARILAFIGGPSTEGLGAIVSKNLSEPIRSHKDLDKDSAPYHHKAVKFYEGLAKQLVHQGHVLDLFACALDQVGVAELKVAVEKTGGLVVLAESFGHSVFKDSLRRIFQSGDHDLGLSSNGIFEVNCSKDIKVQGIIGPCASLEKKGPLCSDTVVGQGNTSAWKMCGLDKATTLCLIFEIAKKDSPDATAQQPSSYQFYFQFLTYYQHSSGQMRLRVTTLSRRWVAGPGSAQDLIAGFDQEAAAVAMARLVSFKMENEAEFDPIRWLDKALIHICARFGDYQKDSPSSFSLSSRLSIFPQFMFHLRRSQFVQVFNNSPDETAYFRVILNRENVANSAVMIQPSLISYSFHSGPEPALLDVAAIAADRILLLDSYFTVVIFHGATIAQWRKAGYHNQPEHQAFAQLLQAPHDDADEIIKERFPVPRLVICDQHGSQARFLLAKLNPSATYNSDSLLPGGDVLFTDDVSFEVFLDHLQRLAVQ >Potri.001G245900.1.v4.1 pep chromosome:Pop_tri_v4:1:26275997:26278201:1 gene:Potri.001G245900.v4.1 transcript:Potri.001G245900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245900.v4.1 MEEQPPRHGRVVLVPCPFQGHLNPMLQLGAILHSQGFSITVVHTKFNSPNPSCHHEFTFQPIPDGLSPDEISSGNLVAILLALNCNCKTPFQECMTRMTQQQKPDDKVTCVIYDEVMYFAEAAANHLKLSSIILCTSSVATAQSRVAIRQLKEEGCIPWQDSMSQDRVPNLHSLRFKDLPVSIFGVPDNFLDMISQMYNVRTSSAVIWNTIDCLEQSSLEQQQQRYCPIPIFPIGPLHKFAPVSSSSLLNEDTSCITWLEKQPCNSVLYISLGSLASIDETEVAEMAWGLASSWQRFLWVVRPGSIPGSEWIESLPEDFREIVGERGCIVKWAPQKEVLAHSAVGGFWSHCGWNSTLESISEGVPMICKPCFGDQRVNARYASYVWGIGLQLENKLERKEIERAIRRLMVDSEGEEMRHKAKNLKEKVEICIKEGGSSYNNLKMLLEFMSY >Potri.006G104500.2.v4.1 pep chromosome:Pop_tri_v4:6:8050900:8052683:-1 gene:Potri.006G104500.v4.1 transcript:Potri.006G104500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104500.v4.1 MPCLNISTNVNLDGVNTSAILSEASSQVAKIIGKPESYVMIVLKGSVPIAFGGTEQPAAYGELVSVGGLSGDVNKKLSSAIATILESKLSVPKSRFFLKFFDSKGSHFGWNGSTF >Potri.010G131400.2.v4.1 pep chromosome:Pop_tri_v4:10:14763120:14768312:-1 gene:Potri.010G131400.v4.1 transcript:Potri.010G131400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131400.v4.1 MEAVENSNNTASTSRSSSTADSYVGSLISLTSKSEIRYEGILYNINTDESSIGLKNVRSFGTEGRKKDGPQILPSDKVYEYILFRGSDIKDLQVKSSPPVQSAPHINNDPAIIQSHYPRPFATSTGFPSAVSGSLTDLGSHNGPGGQPAMNFQGGMPLYQPGGSLGTWGASPPPPNANGSGLAMPMYWQGFYAPPNGLPHLHQQSLLRPPPGLAMPSSMQQPMQYPNFNTSLLTGISNLPGPNLPVSTLPSSNLPISTLQASLPDVLPPLLPGIASSLNFTSHSAVPSTLPSTVPLMPSASLPSETLPSLMPDKIPSSALPTTNLGASLPVLSPLTTSSPDLNTIAPPISNKLSSIPGPTMPYQSTAQSAPSGVLASNSLRTEIPTPIPSLVTPGQLLQSGSPIVPSTQPVQTAHKDVEVVKVSPAPEPSVPATTEAQPPILPLPFPTRASHKPNGATFNARHGYHGRGRGRGYGSSRPLTKFTEDFDFMAMNEKFKKDEVWGHLGKNNKSHSKDREDGDVSGEDDFQDEDEDELAKVEAKPVYNKDDFFDTISCNARDLESQNGRTRFSEQMKLDTETFGDFSRYRGGRGGRGPPRGGRFHGSYYGRGNGYGYVGRGRGRGRGWAGPDRAS >Potri.002G201600.1.v4.1 pep chromosome:Pop_tri_v4:2:16503501:16504878:-1 gene:Potri.002G201600.v4.1 transcript:Potri.002G201600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201600.v4.1 MCGGAILADIIPRNRGRREAASQFWPNSCFDKLGPFESCLSQPSNQESFTLKRPQPQPQPASGDHEQMEKPNAKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARIIRGKKAKVNFPNEDEHYSSSQNSNTKPPMYQTPTCHFSKGYDFGYGSGMNQVEAYSSNGFNGQPIVASGEDDSGSASEEGTRLVGCNQNVKSNIYMGQVKLEVEEKLEKVKNKEVVVVDLGTGEEENEVQKLTEELIAYENYMKFYQIPYLDGQSMAPNGSTQENLVANLWNFDDVCVAPPVTSAPL >Potri.009G141800.1.v4.1 pep chromosome:Pop_tri_v4:9:11319720:11321489:-1 gene:Potri.009G141800.v4.1 transcript:Potri.009G141800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141800.v4.1 MSVWAFFAFFSLFLSLSVRGSAEQCGRQAGDALCPGGLCCSFYGWCGTTVDYCGDGCQSQCDGGDGCDGGGGGGGDGDDGYLSDIIPKSTFDALLKFRNDPRCHAVGFYTYDAFISAAKEFPDFGNTGDDLMRKREIAAFLGQTSHETTGGWPDAPCGPYAWGYCYLKEINCQPYCDPSSNYQCGAGKQYCGRGPIQLSWNYNYGLCGDDLKLPLLQEPELVETDPVISFKTALWFWMKPQSPKPSCHAVITGNWTPSAADVEAGRVPGYGVITNIINGGIECGQGGPNAANEDRIGFYKKYCDKLGTTYGPNLDCYQQRPFGYGLLGLKDTM >Potri.005G173500.1.v4.1 pep chromosome:Pop_tri_v4:5:17907660:17913944:-1 gene:Potri.005G173500.v4.1 transcript:Potri.005G173500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173500.v4.1 MAINTIMKNKPYNVVSSEGSGGDGNPPSSPPSPRRQTSGFSQCRRRLRSKVPLQYFRKYSLAAGIFGRRNIQFLLLLFFLYFSGLMMCVGRFSNFLRHSREPIAIYKSHLLLEKFWHDIETDNSTALELSSVWQFKRRMRVQKPCPVSTARRHLGSVVEVSSDPTGYLIVEANGGLNQQRSAICNAVAVAGILNAVLVIPSFGYNSVWKDPSEFRDIYDEDHFIATLEGYVKVVKELPNELISRYDHNITNIPHLRVEGWAPAKHYLGKVYPVLQEHGVIRIAPFANRLAMNVPSHIQLLRCITNYRALRFSSPITTLARKLLNRMIERSSMTGGKYVSVHLRFEEDMVAFSCCLYDGGDAEKFEMDSFREKGWKGKFKKKDLDFVAGRNRIDGKCPLTPLEVGMMLRGMGFDNNTSIYLASGKLYKAEQNLAPLLKMFPLLYTKESLATSDELAPFQGYSSRLAALDYTVCLFSEVFVTTQGGNFPHFLMGHRRFLFNGHAKTIKPDKRMLVGLLENMTISWKDFKDDMDAMLLESDRKGMMIPRVRKFNRKNSIYTFPLPECDCLQSHDSSLGLNHTLNALEPPR >Potri.005G173500.4.v4.1 pep chromosome:Pop_tri_v4:5:17907702:17913852:-1 gene:Potri.005G173500.v4.1 transcript:Potri.005G173500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173500.v4.1 MAINTIMKNKPYNVVSSEGSGGDGNPPSSPPSPRRQTSGFSQCRRRLRSKVPLQYFRKYSLAAGIFGRRNIQFLLLLFFLYFSGLMMCVGRFSNFLRHSREPIAIYKSHLLLEKFWHDIETDNSTALELSSVWQFKRRMRVQKPCPVSTARRHLGSVVEVSSDPTGYLIVEANGGLNQQRSAICNAVAVAGILNAVLVIPSFGYNSVWKDPSEFRDIYDEDHFIATLEGYVKVVKELPNELISRYDHNITNIPHLRVEGWAPAKHYLGKVYPVLQEHGVIRIAPFANRLAMNVPSHIQLLRCITNYRALRFSSPITTLARKLLNRMIERSSMTGGKYVSVHLRFEEDMVAFSCCLYDGGDAEKFEMDSFREKGWKGKFKKKDLDFVAGRNRIDGKCPLTPLEHR >Potri.010G001200.2.v4.1 pep chromosome:Pop_tri_v4:10:144063:144995:1 gene:Potri.010G001200.v4.1 transcript:Potri.010G001200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001200.v4.1 MLLPSTKSSTMPKSRMLYQIACTMCSTCCGSTPVPSPPPPSPPPPAASPPPPATTAICPPPPSPPPSGGGSYYYSPPPPSTYTYSSPPPPQGGVVGGTYYPPPNYKNYPTPPPPNPIVPYFPFYYYSPPPPSMSASFKLMASYSTSVLVGVVALVLCLF >Potri.010G001200.1.v4.1 pep chromosome:Pop_tri_v4:10:143904:144966:1 gene:Potri.010G001200.v4.1 transcript:Potri.010G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001200.v4.1 METRHKLKLSLLALFMLLPSTKSSTMPKSRMLYQIACTMCSTCCGSTPVPSPPPPSPPPPAASPPPPATTAICPPPPSPPPSGGGSYYYSPPPPSTYTYSSPPPPQGGVVGGTYYPPPNYKNYPTPPPPNPIVPYFPFYYYSPPPPSMSASFKLMASYSTSVLVGVVALVLCLF >Potri.014G125500.3.v4.1 pep chromosome:Pop_tri_v4:14:8428118:8431594:1 gene:Potri.014G125500.v4.1 transcript:Potri.014G125500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125500.v4.1 MEDDTWSFGLSTSSSRSYQSALKSLSDLCIDFEDIEEEDDDLRTEYPCPYCTDDFDLVELCFHIDEEHYLEAKSGVCPVCFTKVGMDMVDHITTEHRTIHKSLQKLKLGRVESHSNYSFLKKDLEDGYLQSLLSGSSSVVSSSNLAPDPLLSFICNVSPAEKYDSVQPSCSSKATIEEKSSDEKLLERNDHISPLSDEEHMEKAKRSEFVQGLLLSTIFDDGL >Potri.015G059501.1.v4.1 pep chromosome:Pop_tri_v4:15:8281320:8281754:-1 gene:Potri.015G059501.v4.1 transcript:Potri.015G059501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059501.v4.1 MVIGRLMSVFGCYFSILKRGIALDYKTISRKNPEEEEERKKASKRKCRDSFLLEMPHQTSPKSSQTTLHFSHLNPIKPSQNQPTITKTRIFHPKLFMGFSRSSFCPFYQTPISGFQPKVSQNAKLASLYVGLREVALAGNLLIL >Potri.010G254900.4.v4.1 pep chromosome:Pop_tri_v4:10:22586433:22590049:-1 gene:Potri.010G254900.v4.1 transcript:Potri.010G254900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254900.v4.1 MANIDIEGLLKEHLDDEEGRVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLRIAMQNTNILSAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITITTDYSIKGDTDTISMSYKKLPVDVKPGNTILCADGTITLTVLSCDPQAGTVRCRCENTAVLGERKNVNLPGVVVDLPTLTEKDEEDILEWGVPNNIDMIALSFVRKGSDLVHVRKVLGPHAKHIQLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMRRICIEAESSLDYGAIFKDMIRSIPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWACSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATARGLCKPGDAVVALHRIGAASVIKICIVK >Potri.010G254900.7.v4.1 pep chromosome:Pop_tri_v4:10:22587129:22588891:-1 gene:Potri.010G254900.v4.1 transcript:Potri.010G254900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254900.v4.1 MSYKKLPVDVKPGNTILCADGTITLTVLSCDPQAGTVRCRCENTAVLGERKNVNLPGVVVDLPTLTEKDEEDILEWGVPNNIDMIALSFVRKGSDLVHVRKVLGPHAKHIQLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMRRICIEAESSLDYGAIFKDMIRSIPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWACSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATARGLCKPGDAVVALHRIGAASVIKICIVK >Potri.010G254900.5.v4.1 pep chromosome:Pop_tri_v4:10:22587131:22589919:-1 gene:Potri.010G254900.v4.1 transcript:Potri.010G254900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254900.v4.1 MANIDIEGLLKEHLDDEEGRVPKTKIVCTLGPASRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLRIAMQNTNILSAVMLDTKGPEIRTGFLKDGKPIQLKEGQEITITTDYSIKGDTDTISMSYKKLPVDVKPGNTILCADGTITLTVLSCDPQAGTVRCRCENTAVLGERKNVNLPGVVVDLPTLTEKDEEDILEWGVPNNIDMIALSFVRKGSDLVHVRKVLGPHAKHIQLMSKVENQEGVINFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNLVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKIMRRICIEAESSLDYGAIFKDMIRSIPLPMSPLESLASSAVRTANKAKAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWACSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATARGLCKPGDAVVALHRIGAASVIKICIVK >Potri.005G146100.2.v4.1 pep chromosome:Pop_tri_v4:5:12082549:12085527:1 gene:Potri.005G146100.v4.1 transcript:Potri.005G146100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146100.v4.1 MGEDRMDMMVVREFDPSRDVVGVEEVERSCEVGSSGKLSLFTDLLGDPICRVRNSPAFLMLVAEMGGEIVGMIRGCIKTVTCGERISRRVKNNYSISNKPVPVYTKVAYILGLRISPSHRMGLGLKLVCQMEDWFRQNGAEYSYMATESDNHASVKLFTGKCGYSTFRTPSILVNPVFAHRVTVSNRITIIKLTPPDAELLYRRRFATTEFFPRDIDSVLENKLNVGTFLAVPRDSLRFGLWAGSDHFLSDPPESWTVLSVWNCKDVFRLEVRGASRLKRTFAKTTRIVDKAFPFLKLPSVPAVFRPFGLFFMYGLGGEGPRAAKMMKALCGHVHNLARESGCGVVATEVANSEPLKLGIPHWKMLSCAEDLWCIKRLGEDYSDGPVGDWTKSSPGLSIFVDPREV >Potri.005G146100.1.v4.1 pep chromosome:Pop_tri_v4:5:12082549:12085527:1 gene:Potri.005G146100.v4.1 transcript:Potri.005G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146100.v4.1 MGEDRMDMMVVREFDPSRDVVGVEEVERSCEVGSSGKLSLFTDLLGDPICRVRNSPAFLMLVAEMGGEIVGMIRGCIKTVTCGERISRRVKNNYSISNKPVPVYTKVAYILGLRISPSHRRMGLGLKLVCQMEDWFRQNGAEYSYMATESDNHASVKLFTGKCGYSTFRTPSILVNPVFAHRVTVSNRITIIKLTPPDAELLYRRRFATTEFFPRDIDSVLENKLNVGTFLAVPRDSLRFGLWAGSDHFLSDPPESWTVLSVWNCKDVFRLEVRGASRLKRTFAKTTRIVDKAFPFLKLPSVPAVFRPFGLFFMYGLGGEGPRAAKMMKALCGHVHNLARESGCGVVATEVANSEPLKLGIPHWKMLSCAEDLWCIKRLGEDYSDGPVGDWTKSSPGLSIFVDPREV >Potri.012G039400.1.v4.1 pep chromosome:Pop_tri_v4:12:3516418:3518283:1 gene:Potri.012G039400.v4.1 transcript:Potri.012G039400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MYB167 MCTRGHWRPAEDEKLKELVEKYGPHNWNAIAEKLQGRSGKSCRLRWFNQLDPRINRSPFTEEEEERLLASHRIHGNRWAIIARFFPGRTDNAVKNHWHVIMARRYRERSRLHAKRAAQTLVNDNKLSSKQDHMHMDCETRNFSSFSKKYCEKYGQYPMVTHSYLPAFCKEFYNEDPSHCEDQSRPIEFYDFLQVNTDSNKSEVIDNARRDDEEVDQQEALENNQSKADVPFIDFFSVNGKSSS >Potri.018G047000.1.v4.1 pep chromosome:Pop_tri_v4:18:4085773:4090022:-1 gene:Potri.018G047000.v4.1 transcript:Potri.018G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047000.v4.1 MMSTEPPAFQEASRCDVCKCSFNTFRRRHHCRCCGRTLCHEHSSNQMALPQFGILSNARVCADCFNNSTRSEKVDGVDSITDKVSRLDIDTEKHPKPEPTTQNQSAAGVIECKCGMPLCICEAPAAKTDPVPKQVKLSSTFTSQSNSKPKKTDAVPKNRGSTSSSKPSSVFNHGQITNGGVDKPQMDYEVNGEGLREAIKNGDTVAVKKLLSEGVDANYRDKQGMSLLHLAALFNRTDIAFILMDSGASMNYKNAQGETPLDCAPATLQYKMKQKMEECGQQGPHASV >Potri.015G028500.3.v4.1 pep chromosome:Pop_tri_v4:15:2176319:2179737:-1 gene:Potri.015G028500.v4.1 transcript:Potri.015G028500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028500.v4.1 MSDFGCLDTDIPFDVLSRLQTKDLLGLKCVSKGWKDLISDRSFIQAQSQKKEPLSGFFFQQRHRYCPDDIKTITYFPVERNRLQQDVFAFLPQDVVVLALCNGLVCCRSCYPFEDPAIYVCNPLNSEWWKLDWKEPGKESFIALAFNPFQDISVNLTNFKVVRPRQFETEQEEAYFSFEIYASRTRNWKLSKEVCWCDNSLSKNEGIFSGGILHWLTDGDQILTFNVENELALLISTPLPAGEFNTRPHACIGESEGQLYYVLISEEGLHVWVLEDYFDSTWSLKYSKTLAQIEKEHSDIMINLYNRVMLWQRIDDRPWMDPLAFKDGVLVVRISNTIYLYHVDTSKMEEVGETSKFGAMSWVSPIVLPYTMSLVPLGRQLGSP >Potri.015G028500.2.v4.1 pep chromosome:Pop_tri_v4:15:2176320:2179736:-1 gene:Potri.015G028500.v4.1 transcript:Potri.015G028500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028500.v4.1 MSDFGCLDTDIPFDVLSRLQTKDLLGLKCVSKGWKDLISDRSFIQAQSQKKEPLSGFFFQQRHRYCPDDIKTITYFPVERNRLQQDVFAFLPQDVVVLALCNGLVCCRSCYPFEDPAIYVCNPLNSEWWKLDWKEPGKESFIALAFNPFQDISVNLTNFKVVRPRQFETEQEEAYFSFEIYASRTRNWKLSKEVCWCDNSLSKNEGIFSGGILHWLTDGDQILTFNVENELALLISTPLPAGEFNTRPHACIGESEGQLYYVLISEEGLHVWVLEDYFDSTWSLKYSKTLAQIEKEHSDIMINLYNRVMLWQRIDDRPWMDPLAFKDGVLVVRISNTIYLYHVDTSKMEEVGETSKFGAMSWVSPIVLPYTMSLVPLGRQLGSP >Potri.006G187800.2.v4.1 pep chromosome:Pop_tri_v4:6:19486398:19486790:1 gene:Potri.006G187800.v4.1 transcript:Potri.006G187800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187800.v4.1 MDRQKLLATSFSHLCASFNYDLWDHGLADIDCGSNDPDLKTEMEKQFVDLLTEELKLQEAVAQEHAHHMNITLGEAKRVASQYQREAEKCNAATETCEEARERSEALLIRERKVTSLWEERARQLGYEGE >Potri.006G187800.1.v4.1 pep chromosome:Pop_tri_v4:6:19484157:19487244:1 gene:Potri.006G187800.v4.1 transcript:Potri.006G187800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187800.v4.1 MSRRSGTCLRCCLVFFAVISALGVCGPALYWRFKKTVGFPDSKTSSCPPCICDCPPPLSLLKIAPGLANLSVTDCGSNDPDLKTEMEKQFVDLLTEELKLQEAVAQEHAHHMNITLGEAKRVASQYQREAEKCNAATETCEEARERSEALLIRERKVTSLWEERARQLGYEGE >Potri.012G060200.1.v4.1 pep chromosome:Pop_tri_v4:12:6115867:6120746:1 gene:Potri.012G060200.v4.1 transcript:Potri.012G060200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060200.v4.1 MLIISEMSRSPSFSVKQELSLKTDLESLQQWAVAFCIIRFDLEQGQLIEECYPPGSLSNEEELDVAFSSFPDSVSQNQNRSSIHDCIFFFRIQRRKNSEQGNVTSSEVVGIDDEEESSKSMKGKVINRRKIRNGTKGLKYLYGFVFNRQRHDEKLKRGGEQKSVVILSHNPYSSVFRPLLQIMGPLYFDVGTKALEHIAAYVSMWPTPVPGKQMELHIGNAMLKVSLPPAHSLPFEIGIFEESASAMAPFLPSNQLIPQGLFHDSDIFGTFRGILLQLWLLWELLLIGEPILIIGPTPPQCCEAVASLVSLVAPLPCSVDFRPYFTIHDPDFKHLNSLKEGDAFPPMVLGVTNLFFLKALRNIPHIVSVGSPASNSNRVAFASRSSASRIPGTPEGFGLQQLSLKKFSPSSLLSAVKLRRDGPLCLMTEHKEAVWSTYVASTKPDTSILNRLIDAGKSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRASTPSEGSLPFIDPPPLPPFDVEEFLANLSARGVGKFLSKRMKSNWLDLYKRFLKGPNFMPWFQRRRTVVEQEQHRLWRQARMKADIQLLMSRMSELEIVDSFNSIERHLHGEILMEKSGKAGVDFAETCQKLKKDLQAVFDVLPKDMQQLLLMNPERAALLQVSWEPTKLTGHPSFQIGAVSSRSPR >Potri.012G060200.2.v4.1 pep chromosome:Pop_tri_v4:12:6115857:6120725:1 gene:Potri.012G060200.v4.1 transcript:Potri.012G060200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060200.v4.1 MLIISEMSRSPSFSVKQELSLKTDLESLQQWAVAFCIIRFDLEQGQLIEECYPPGSLSNEEELDVAFSSFPDSVSQNQNRSSIHDCIFFFRIQRRKNSEQGNVTSSEVVGIDDEEESSKSMKGKVINRRKIRNGTKGLKYLYGFVFNRQRHDEKLKRGGEQKSVVILSHNPYSSVFRPLLQIMGPLYFDVGTKALEHIAAYVSMWPTPVPGKQMELHIGNAMLKVSLPPAHSLPFEIGIFEESASAMAPFLPSNQLIPQGLFHDSDIFGTFRGILLQLWLLWELLLIGEPILIIGPTPPQCCEAVASLVSLVAPLPCSVDFRPYFTIHDPDFKHLNSLKEGDAFPPMVLGVTNLFFLKALRNIPHIVSVGSPASNSNRVAFASRSSASRIPGTPEGFGLQQLSLKKFSPSSLLSAVKLRRDGPLCLMTEHKEAVWSTYVASTKPDTSILNRLIDAGKSPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRASTPSEGSLPFIDPPPLPPFDVEEFLANLSARGVGKFLSKRMKSNWLDLYKRFLKGPNFMPWFQRRRTVVEQEQHRLWRQARMKADIQLLMSRMSELEIVDSFNSIERHLHGEILMEKSGKAGVDFAETCQKLKKDLQAVFDVLPKDMQQLLLMNPERAALLQVSWEPTKLTGHPSFQIGAVSSRSPR >Potri.001G209700.1.v4.1 pep chromosome:Pop_tri_v4:1:21471590:21472332:-1 gene:Potri.001G209700.v4.1 transcript:Potri.001G209700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209700.v4.1 MIKKEDERKGGGDTTIVDDGLRDEIEEKGKDVDIREEKGKLIFIEEEAKSFQLNDLLKVSAEGLGKGNLGNWYKAMVEGRAAVVVKQIRDLKPLSSEEFTRQMHIIAHQKHPNLPPLLAYSYSKDEQFLVHKYPQKGNLFTRIHGSRGRDRIPCRWSARLSIARGISRAL >Potri.T012600.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:228051:229982:-1 gene:Potri.T012600.v4.1 transcript:Potri.T012600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012600.v4.1 MNVSGTPRVPKVSPDHVSLDIAKLAESVERKLKSLRSFSDLCFIYRVPKRLRELNEKAYTPQVISIGPYHHGKKELQEMEEQKRLYLREFLGLCKVSVKDFITAIAERESRLRNCYAETTDNLSKEEFVEMVLLDCSFLIMFLLKAFSFDIQEANIDRIFNKLWMREEIQFDLCLLENQLPFFIVEDLFNLSKIQHHCEEHSMIELTHDLLSDKWYSWVPEDISKHFKLSKAEHFVGYLAICQRPSQHMQPTKLTNLTAPSVAELHQAGMKFKLGSSINLLDIKFDDNKGTLEIPHKIIDDHTEILLRNLQAFEQCHCGVNIYVCDYITMLSFLVPSAKDVEILVKNGILENCLPDNEAVASLFRNLSKENTLAIDTFYYSGLVEDLNKYCGKRRHKWKATLKQKYFDNPWTIISLVAATVLLILTITQTVCSIIQVV >Potri.015G124400.2.v4.1 pep chromosome:Pop_tri_v4:15:13735000:13738512:-1 gene:Potri.015G124400.v4.1 transcript:Potri.015G124400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124400.v4.1 MASHILLLSIPSLFCSLLAYATFFTSFAYSATGAEVANGRKEAEALLEWKVSLDNRSQSLLSSWAGDSPCNWVGISCDKSGSVTNISLPNSSLRGTLNSLRFPSFPNLTVLILRNNSLYGSIPSRIGNLIKLDLSSNSISGNIPPEVGKLVSLDLLDLSKNNLSGGLPTSIGNLSNLSYLYLHGNELSGFIPREVGMLEHLSALHLSGNNFEGPIPASIGNMRSLTSLLLSSNNLTGAIPASLGNLGNLTTLNLSSNNLTGTIPASLGNLRSLSELHLAKNSLFGPIPPEMNNLTHLYWLHIYSNRLSGNLPRDVCLGGLLSHFAALDNYFTGAIPKSLRNCSSLLRLRLERNQLSGNISEAFGTHPHVYYMDLSDNELHGELSLKWEQFNNLTTFKISGNKISGEIPAALGKATHLQALDLSSNQLVGRIPKELGNLKLIELELNDNKLSGDIPFDVASLSDLERLGLAANNFSATILKQLGKCSKLIFLNMSKNSFAGIIPAEMGSLQSLQSLDLSWNSLMGGIAPELGQLQRLEELNLSHNMLSGLIPASFSRLQGLTKVDVSFNKLEGPIPDIKAFREAPFEAIRNNTNLCGNATGLEACSDLMKNKTVHKKGPTVIILTVFSLLGSLLGLIVGFLIFFQSGRKKRLMETPQRDVPARWCTGGELRYEDIIEATEEFNSEYCIGTGGYGVVYKAVLPSEQVLAVKKFHQTPEVEMSSLKAFRSEIDVLMGIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKLLNDEEQATKMDWDKRINLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDENCDVYSFGVLTLEVMMGKHPGDFISSLMFSASTSSSSPSGHNTLLKDVLDQRLPPPENELADGVALVAKLAFACLQTDPHYRPTMRQVSTELSTRWPPFPKLFSTIELEDELVHRNVNG >Potri.012G109200.1.v4.1 pep chromosome:Pop_tri_v4:12:12999393:13003607:-1 gene:Potri.012G109200.v4.1 transcript:Potri.012G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109200.v4.1 MEIWKWVFVGVLWYAGFVLSTGAVELGRSQHTERISGSAGDVLEDDPVGRLKVFVYELPRKYNKKILQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPDEADWFYTPVYTTCDLTTNGLPLPFKSPRMMRSAIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLKDGSITVPPYAPPQKMQTHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPATYYEDMQRAVFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEEIGVYVDEEDVPNLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHDRSVYLRPGEKILNWTAGPVGDLKPW >Potri.008G153800.8.v4.1 pep chromosome:Pop_tri_v4:8:10546278:10549553:1 gene:Potri.008G153800.v4.1 transcript:Potri.008G153800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153800.v4.1 MADPLHSPSSKPHDHGPHTAFGLIFGPDYGTPVSTSSQQNEQQLMENDIPHGSTGSMHLLDDKDIFGQQNEEFVDNGVHRESNGGGHLMDEHNFFGQQNEQFMESDTPSKSDGGRITIDVNSSVRLVMPGESGEGLPFAPTDWPNPGDNWEWRVGKRVNSSGHFQDRFIYLPKSLQGKKKQMFASRPALENYIRSAFPGADIDAFFASFTWKIPAKVQSPTKVEAAPLPLENPLEDETLKVQEGKVENPRYGRRQRKQILPMLTEEAEEQKQEKKQRTPRSSQRKRKEDGKQDAPTSASTSKRKATRSSKRSVSHTANGEIVITEPEPVVNVIPEGFDNYLSSLEDILTQPLSETQVAYSAATEAPLTESDMAKARSKLSSLLLMDFPSLVSSRSISKLTTIASKLRKDPTLSAEQLVKLKLIEEIPSFSKVFLESRQTIEQVYKFFGTLEANKAKVSSLRDEYNELKEKADQLQSQVDSNLLTVQEIDNQIFQLQTWRAELTDTIENNKSAKVEVASAQGMVANSIPNVVRDIQVANSKIPEWELKRANAEKREAEILEKFAPLKGFSL >Potri.008G153800.2.v4.1 pep chromosome:Pop_tri_v4:8:10546178:10549553:1 gene:Potri.008G153800.v4.1 transcript:Potri.008G153800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153800.v4.1 MADPLHSPSSKPHDHGPHTAFGLIFGPDYGTPVSTSSQQNEQQLMENDIPHGSTGSMHLLDDKDIFGQQNEEFVDNGVHRESNGGGHLMDEHNFFGQQNEQFMESDTPSKSDGGRITIDVNSSVRLVMPGESGEGLPFAPTDWPNPGDNWEWRVGKRVNSSGHFQDRFIYLPKSLQGKKKQMFASRPALENYIRSAFPGADIDAFFASFTWKIPAKVQSPTKVEAAPLPLENPLEDETLKVQEGKVENPRYGRRQRKQILPMLTEEAEEQKQEKKQRTPRSSQRKRKEDGKQDAPTSASTSKRKATRSSKRSVSHTANGEIVITEPEPVVNVIPEGFDNYLSSLEDILTQPLSETQVAYSAATEAPLTESDMAKARSKLSSLLLMDFPSLVSSRSISKLTTIASKLRKDPTLSAEQLVKLKLIEEIPSFSKVFLESRQTIEQVYKFFGTLEANKAKVSSLRDEYNELKEKADQLQSQVDSNLLTVQEIDNQIFQLQTWRAELTDTIENNKSAKVEVASAQGMVANSIPNVVRDIQVANSKIPEWELKRANAEKREAEILEKFAPLKGFSL >Potri.004G006600.1.v4.1 pep chromosome:Pop_tri_v4:4:409078:411754:-1 gene:Potri.004G006600.v4.1 transcript:Potri.004G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006600.v4.1 MNRQEEEEPSTTDKNCTTIQEQEQVSDMSAIPVAMDKVAAAALRSVLQRVSQAAEKAGRGSQQIRVVAVSKTKPVSLIKQVYDVGHRCFGENYAQEFIEKAPQLPEDIEWHFIGNLQSNKVKPLLASVPNLDMVESVDDEKIANHLDRAVGNLGRKPLKVLVQVNTSGEESKSGVEPSGCVELAKHVIQSCTNLQFCGLMTIGMLDYTSTPENFKALANCRSEVCKALGIPEEQCELSMGMSNDFEQAIEMGSTNVRIGSTIFGPREYPKKK >Potri.017G048500.3.v4.1 pep chromosome:Pop_tri_v4:17:3456485:3459611:-1 gene:Potri.017G048500.v4.1 transcript:Potri.017G048500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048500.v4.1 MVAHEEFELSDTDHASRIDLMTKVFGVDAAERYFDGLPLAAKTTETYTALLHSYAAAKLIEKAEEFYKRIKGSNLPFTALMYNEMMTLYMSVGQLEKVSQVVEELKHQKVAPDIFTYNLWISSCAAALNIDKVRRILDEMSQDSGVNDDWMRYIKIVNIYVTAGHLVNAESSTAAVVEAEKKITQREWITYDFLVILYAGLGKKDKIDQIWKSLRMTNQKMTSRNFVCILSSYLMLGHLKEVGEIVDQWKQSTTTDFDISACNRLLDAISCLGLTEIANNFHMLLIERNCDPKHISE >Potri.017G048500.2.v4.1 pep chromosome:Pop_tri_v4:17:3455490:3459709:-1 gene:Potri.017G048500.v4.1 transcript:Potri.017G048500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048500.v4.1 MASGSLFLSLTRSKLRSLCALEKWKPVRFLSSGGALRSESEILEEEELKSKENEDDLKGRIFRLRLPKRSVTNVIDKWVREGNTVSSSELRHISKELRKSQRFKHALEISEWMVAHEEFELSDTDHASRIDLMTKVFGVDAAERYFDGLPLAAKTTETYTALLHSYAAAKLIEKAEEFYKRIKGSNLPFTALMYNEMMTLYMSVGQLEKVSQVVEELKHQKVAPDIFTYNLWISSCAAALNIDKVRRILDEMSQDSGVNDDWMRYIKIVNIYVTAGHLVNAESSTAAVVEAEKKITQREWITYDFLVILYAGLGKKDKIDQIWKSLRMTNQKMTSRNFVCILSSYLMLGHLKEVGEIVDQWKQSTTTDFDISACNRLLDAISCLGLTEIANNFHMLLIERNCDPKHISE >Potri.001G378300.1.v4.1 pep chromosome:Pop_tri_v4:1:39802411:39803088:-1 gene:Potri.001G378300.v4.1 transcript:Potri.001G378300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378300.v4.1 MAGLIREPVRVVIINTQYVETDVRSFKSVVQEFTGKDSAPPGNSDHKHLKRGLSRKLTSMDEIRAKRVRLDVSDKVPHAGGDQVLMRDLSFKEFEGLLKEMPSMDELYRLWADI >Potri.008G028200.1.v4.1 pep chromosome:Pop_tri_v4:8:1485584:1486574:-1 gene:Potri.008G028200.v4.1 transcript:Potri.008G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028200.v4.1 MASPRVLGTAFLVLLIVDLTFAARTLQSISGGGGGGQGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGSGGGNGSGSGYGSGSGSGYGSGSGIGGGKGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGGGKGGKGSGGGGGGGGGGGGGGGGGGGSGSGSGSGYGSGSGYGSGYGGGKGKSLP >Potri.007G126100.1.v4.1 pep chromosome:Pop_tri_v4:7:14157977:14160959:-1 gene:Potri.007G126100.v4.1 transcript:Potri.007G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126100.v4.1 MHYTLSPPMSRLLFAGYLALLLPLLVLQTCNCKDTTLCAPSSCGNHTISYPFSLNSDPSNCGNPLYTLHCEKNTSTVLYLDSRKHYVQAINYNNLTIRLVDAGVKLNDCSSLPSFSLTYANLDNSMNPYTSFQYKKTWPKWDTNFKRLSLSQKIIFINCANPVNSPLYVDTGTCLNGAESSNGSLSTHSYVNIGGMKASDLMELCSLERMTLLPAKDYKNTSFKEIHSQLAYGFELSWHKSKCGSCATICYIDDSNQTKCAGGQFSVAYCTQSSYHRLQMVISYIYIDNFAKSFICFFSISVILRFLVLDNPVLLYASIFIWTKFIFGSPFVFAFLIYKWRRRHLSAYDTVEKFLHTHNNLMPVVRYSYSEIKKMTRGFKEKLGEGGFGCVYKGKLRSGHSAAIKLLGKSKANGQDFINEVATIGRIHHTNVVQLVGFCAEGSKRALVYDFMPNGSLNNFIFSQERSVSLSWEKLHEISLGVAHGIQYLHRGCEMQILHFDIKPHNILLDENFAPKVSDFGLARLCPANETLKSLTAAGGTIGYMAPELFYKNIGRVSYKADVYSFGMLLLEMTGRRKNLNPLAERLSEIYWPDWVHDQVSNEKAIDIGDGGTEEEQKIVKKMVIAGLWFVEILEGDMESLQLPPKPILNLDEKPMNTCGESSSMSDYSLESLSLIENAFN >Potri.003G031700.2.v4.1 pep chromosome:Pop_tri_v4:3:3554778:3560090:-1 gene:Potri.003G031700.v4.1 transcript:Potri.003G031700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031700.v4.1 MEVEDPMSPTMAIIPVTPTNNNGPPTSEEQPNKRRRKKSIVWEHFTIETVGAGCMRACCKQCKKSFAYITGTKLAGTSHLKRHIALGICPVSRQKNESSPYTPGLKTGPPKKRFRASPGFSAIPLDQDRCNHEIAKMIIQHDYPLHIVEHPAFIDFVRTLQPHYNMMNLNTIQGECVAVYLREKQSLLNLIGGIPGRVNLTLYLGTSNLDIGYAFLTGHFVDGDWNLQQRILNVVTLPFYDSDYAFNEAIVSCLSDWQLESKLFTLTLDQSFSNETIIGNLRGLLSVKNPFVLHGQLLKGNCYARVLSRLAQDALSATGDIVMRIRESVKYVKTSEAHDEKFTELRQQLQVPSTKDLIIDDQTKWNTTYQMLVAACELKEVFACLDTSDPVYKINPSIDDWQKADILCTYLKLLYDAANILTGPSYPPAHVFYHEVYKIQLELTNAAMSHDPFVRNLTKPLKEKFDQYWKDCFLILAIAVVMDPRFKMKLLEFSFPKVFGEDAGMWIKSVDDGIHELLVGYLTPNFHLPATDVEEGLVSIPQSDNLQEIEVAPAPDEHFQRLPAQEVHPQEVPHQVVHPDKGTPQEVPLQDGHHQEVFLHESLLQEVPSQVVTPQEMHAEEVPSQEVSSHEIYTEVPSQEPPSDEMHPQEATPHESHTEQVPSQEMQSEEVPSQEVRSQEMNNEEIHPQDIHTEEVLSQEVSAQEIFSEEFPSQEVSIQAMQTEEAPVSIQAMQTEEVPPQEMQLQVICQGMQPQELHTQDLPMLSIGDGLSDFDIYISEITSGQHFKSELDQYLEESLLPRVHEFDVLGWWKLNRLKYPTLSKMAVDILSIPVSTVTPDSVFDTENKRIDSYRSSLRPVTLEALICAKDWLQHGSSLLSSPSL >Potri.011G082800.2.v4.1 pep chromosome:Pop_tri_v4:11:9004212:9018153:1 gene:Potri.011G082800.v4.1 transcript:Potri.011G082800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082800.v4.1 MATSKRPLQRLLSRVCREARRTYGVLPQGHGHDPSFSQHLINLEYEYSAHNYHPVPIVFSKAKGSCIWDPEGNKYLDFLSAYSAVNQGHCHPRIMKALQEQAEKLTLSSRAFYNDRFPVFAEHLTNMFGYDMVLPMNTGAEGVETALKLARKWGYEKKKIPIDEAIIISCCGCFHGRTLAAISMSCDNEATRGFGPLLSGHLKVDFGDVVSLEKSFREQGDRIAGFLFEPIQGEAGVIIPPDGYLKSVRDLCSKYNVLMIADEIQTGLARTGKMLACDWEQVRPDVVILGKALGGGVIPVSAVLADKDVMLCIRPGEHGSTFGGNPLASAVAIASLDVIKEEGLAERSYHLGKELRHQLLKVQQQFPDIIKEVRGRGLFNAVEFNSKTLSPVSAYDICLKLKERGVLAKPTHDTIVRLTPPLSISSDELQEGSKTLHEVLELDLPKMKAAKPEKMPIASTTCDRCGRNQ >Potri.002G015700.1.v4.1 pep chromosome:Pop_tri_v4:2:956147:957255:1 gene:Potri.002G015700.v4.1 transcript:Potri.002G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015700.v4.1 MSRWSDLPPELLQLITQKQTNYVDYLCVRAVCKSWRSALPKKPHDLLCQLPWLLLPYQNDSPNHRGFYNLADGKTYRLELPEAYEKRCCGSSHGWLVMVEETPAIFLLNPLKKPESIFLHYPPSLINFPTEVVFKNSRNLHPSSIRSSKQRPRDRFIRKVRVSADPSLVSNFMLIKWEEVSICKTDNPPSLIHVADPPPVPPKMGYKQWYLANLNGSLLLVGRIREYHVPVYGYETFSFVAYKLEREESKLSDVESLGDKMLFLGWNCSHSVSALDFNKCKGDCIYFTDDNLMVCADFIWEGHDFGVFDFHGGNVRRLGLPL >Potri.006G195700.1.v4.1 pep chromosome:Pop_tri_v4:6:20406295:20407371:1 gene:Potri.006G195700.v4.1 transcript:Potri.006G195700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195700.v4.1 MAKTMCSEVVHAMVSSIVVLMMFNFVFSDLAADKRECNEQLASLSACLPFVGGDTKVPTPTCCSGLRQEISKTEKCLCILVKDRNEPDLGFKINATLALSLPSICHAPANVSACPEMLHLAPNSTDAQVFEDFAASNKSNAVVAGVQTSSSNTMKERKWLEVSMVAVIIEVSMVAVITSALTIAG >Potri.012G113700.1.v4.1 pep chromosome:Pop_tri_v4:12:13305486:13310223:1 gene:Potri.012G113700.v4.1 transcript:Potri.012G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113700.v4.1 MPALAKPLFSPPPQIHHDNSDAERRLLEAEERLREAIEELHSRNAVRGPYPPCDHGPDESCAAHAIGNLCQTFLLTYGVRVGIGILLRAFKLAKGKSYSSLLDLKRLVSEKDLIVREESCRIGLLFGGFTGSYHALRCFLRKFRRKETPFNAILAGSVAGLSVLALDDSNRRRTLALYLLARVAQCAYNSAKSKNKFHFWGSRWRHGDSLLFSISCAQVMYAFIMHPESLPQAYQDFIQKTGPVAAPVYKSIRECCRCGPVDLASLSAFLSRRGKPDSVKLEEFPSIIPCSVIHPDTHSCLAQNANAASATFRKTFPLYFSLTFVPYVVLQLQKFMDAPAHTCWFALRDAVHSTAFLSAFVGIFQGVICLHRKVATRDHKLVYWIAGGISALSVLLEKKSRRAELALYVLPRAGDSLWYILVNRHLLPNIRNAEVALFCACMGGVMYYLEHEPDTMAPFLRVLIRRLLASRISNPVLPSNRVPSYTYLQTLDAIKKPKSQESREDEASPSQKYNLESIPGL >Potri.001G213200.7.v4.1 pep chromosome:Pop_tri_v4:1:21964292:21968781:1 gene:Potri.001G213200.v4.1 transcript:Potri.001G213200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213200.v4.1 MLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCNPLTDASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDTLSRIFGGLVAYNGNLSCYVNAHTDPSETTVGWQWQKCSEMAIPIGVGNNSMFPPDPFDLKDYIEHCKSLYGVTTRPHWVTTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGSHCLDILFAEENDPEWLVTQRKIEIKIIKEWINKYYADLTMF >Potri.001G213200.5.v4.1 pep chromosome:Pop_tri_v4:1:21964194:21969992:1 gene:Potri.001G213200.v4.1 transcript:Potri.001G213200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213200.v4.1 MMFQRLFLVHFFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFYNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVFLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCNPLTDASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDTLSRIFGGLVAYNGNLSCYVNAHTDPSETTVGWQWQKCSEMAIPIGVGNNSMFPPDPFDLKDYIEHCKSLYGVTTRPHWVTTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGSHCLDILFAEENDPEWLVTQRKIEIKIIKEWINKYYADLTMF >Potri.001G213200.6.v4.1 pep chromosome:Pop_tri_v4:1:21964292:21967863:1 gene:Potri.001G213200.v4.1 transcript:Potri.001G213200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213200.v4.1 MMFQRLFLVHFFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFYNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVFLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCNPLTDASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDTLSRIFGGLVAYNGNLSCYVNAHTDPSETTVGWQWQKCSEMAIPIGVGNNSMFPPDPFDLKDYIEHCKSLYGVTTRPHWVTTYYGGHVCSL >Potri.016G032550.1.v4.1 pep chromosome:Pop_tri_v4:16:1835364:1835996:1 gene:Potri.016G032550.v4.1 transcript:Potri.016G032550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032550.v4.1 MVERNTSSIGYRMQNHYEIILNARTKLEHFKITGVDQAKTTNVTIMGGGLRGNLLIHKNMTRKLGGCCLPAAWRRRKHAYRLWESHMKLKDDLVGHIPL >Potri.002G229300.2.v4.1 pep chromosome:Pop_tri_v4:2:21945289:21946212:-1 gene:Potri.002G229300.v4.1 transcript:Potri.002G229300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229300.v4.1 MCFASSSLPFSLFMLSLLLIFFSLASSFLLHFLVSFMGNCLTSSKIVSQNEETTTSLVSSKIERPKHVEGGKARTVRLGLDEEVNVGKDGEMGETTTSKGGGAVRIRVLVTREELKQILDFRKNINYSSVEQMIGALRLRERRTDQAGASSDGGVIMSSSSWKPVLGSIPEER >Potri.007G135900.3.v4.1 pep chromosome:Pop_tri_v4:7:14796561:14797807:-1 gene:Potri.007G135900.v4.1 transcript:Potri.007G135900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135900.v4.1 MFSSTDSSPNQNWSGTIFTNNVYGDDDDIVRSFAQRFGVSAKDPPIMHTLPCSLEELYQGATKRVKITRQVAGRSGLITRKIEEILTIDTKPGWKKGTKITFEEKGNKRPNVTPADVVFIVDEKPHSEFTRDGNDLIVTRRISVTEAFTGYTVHLKTLDGRNLTLPINDVIHPNYQKVVPNEGMPILGDPTKRGILKIKFDIRFPTRVNAEQKAGIRRLFGP >Potri.006G242600.2.v4.1 pep chromosome:Pop_tri_v4:6:24392031:24393566:-1 gene:Potri.006G242600.v4.1 transcript:Potri.006G242600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242600.v4.1 MLFEVQPEKSTILLVAEAWHATGMAQEASRILVTINRKEMTSQKETVEKQIPVGNLEKPHRNQTAGVLYSNILQIPSTVTSDHKGSPATLRKGRMVLREDGFPVECSWLATRNMSLSHSCKFGSRLPVICLKHSLVCMASLQSCTAVFLNWRKAKYCFCLSWPSFLHDDFH >Potri.001G325300.1.v4.1 pep chromosome:Pop_tri_v4:1:33426403:33428706:-1 gene:Potri.001G325300.v4.1 transcript:Potri.001G325300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325300.v4.1 MFKNQLQELAQRSCFNLPSYACIREGPDHAPRFKASVNFNGEIFESPSYCTTLRQAEHAAAEVALNVLSLRGPARSLTARVLDETGIYKNLLQETAHRAGLNLPVYTTVRSGPGHVPVFTCTVELAGMNFTGEPAKTKKQAEKNAAIAAWSALKRFPNLDSLSSKEVDTREEQDQAVVARVLSNFRSKDEGRYARKRDHNQARRRMVRGHKDSSGASSSSTSNNFLLYPHRRLLDLILDSTLDGSTQMQKSSFMSLLPPPPPRTSSKILPPPSHIDNPSLYSSNRPIPIQVKGKSQVHVPLEEHLKDEEEWLGTKPDVIKKHIEKESASNSSSSNLYGSSSLYRPFPFSNTGKPVTSLPDNTSQHESTHISSRIFGSTNPSPMASISIKTPSSTHVPRPMFTGGFNPHRIAPAVQIRSVIPVCAAPPSPSRPPQSSSATGAPSHSTFKGVAEVSMLNKTESNPQPSSTEFKNKLQLL >Potri.011G163200.1.v4.1 pep chromosome:Pop_tri_v4:11:18792221:18793366:1 gene:Potri.011G163200.v4.1 transcript:Potri.011G163200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163200.v4.1 MASSILFFGFLALSFIHALASDPGSLQDFCVADETSNVIVNGLACKDPEMVQADDFSFSGLHLAGNTSNAVGSRVTTVNVAQIPGLNTLGISLVRIDYAPWGINPPHTHPRGTEILTVIEGSLEVGFVTSNPENRLITKVLQKGDVFVFPIGLVHFQRSVGNGNAVAIAALSSQNPGVITIANAVFGSTPEIPSDILVKAFQLDKNVVNYLQSKF >Potri.008G075200.1.v4.1 pep chromosome:Pop_tri_v4:8:4660562:4661516:-1 gene:Potri.008G075200.v4.1 transcript:Potri.008G075200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075200.v4.1 MRLLTGEQKDNDVPFEFSPLSITSFGNIVVVEGCDTSRSISWVHAWTVTDGVITQVREYFNTSLTVTRLGNQSQSSDFKSKSKSSSTTEISPVHCPSVWESSLSDRIGKSVPGLVLAI >Potri.019G048700.3.v4.1 pep chromosome:Pop_tri_v4:19:8013584:8018757:-1 gene:Potri.019G048700.v4.1 transcript:Potri.019G048700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048700.v4.1 MKRKKKMSVLNMVMDRWRPQLDAILSTFVSIYPHETSPLLHSSSCFFFILSAYFVVLPLRDEGAISLGLSKLPALFIGSLFLTLIAAPLSTLLFSFPNFSKSKALFLIHRFFSVSLVLFFFLWHFSSSASAEFSLFKSKGTVAISTELKEGLKVDVDRTSSTYSGSWDDHGWFYISVRIGLFLWVALLNLITISSTWARVIDVMDSESGSRLFGFIGAGATLGQLFGSLFATSMAWLGPFLLLFAALLMELAAQSSKGINKDVSHLPHELSPIRKVDADQHSEADGRSSPAFKVSSPKSPSSRMRPQLWAILDGFRLVLSSTYLLNVSLFLWLSAVISSFFYFQKVTVIAMTVSSSLGRRKLFAQINSFTAVFILAGQLTLTGRILTLAGVTAAICSAPVAAFSNLVAIAVWPTWVAVAICETVRKVVTYVVTRPGRELLFTVVTQEEKYKAKVCIDVIVQRLGDATAAGMYKLLFSTLHGRTSTVSLYALPICLLWIFTAFHLGQRQAQLAKLQAV >Potri.017G037600.1.v4.1 pep chromosome:Pop_tri_v4:17:2468635:2469387:-1 gene:Potri.017G037600.v4.1 transcript:Potri.017G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G037600.v4.1 MKVIIVAGAREFLLEIGLQDPVLDIKRRIQQLVGVPVTAQILAVSGWELVDGLDMEDYPIVIDGTRIELTIKPMMPAFSSYCGMIQIIVKFSARQINIEVDRTDTVRSLKEKIHIFDGTPIKRMSLFFSGVELDEDFRNLSEYGIHEFSEIVVFLKTMTRIRDDPPSRKLSIVVQTSSCLLNAACIPLEMKDSSTVNDMRQLLLSRKILPQDDYLFIHKQRIMRDSCSLRWHGVDNGDSLYVFKGTVSRS >Potri.007G002800.5.v4.1 pep chromosome:Pop_tri_v4:7:191505:197020:-1 gene:Potri.007G002800.v4.1 transcript:Potri.007G002800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002800.v4.1 MAATQSPSQPAPDRVPADSTKSLSLLIMDAEEKPAESDNMKEQPLSAKNETSVSPNSSQDTAPSGHPRDTTGQLGAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNVTGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQHLGPNMSYITSPTPVSQPEFNALANIDQQGDNMLFGPRPSYPPPVGSIGRGSFPGNHGFHDQQQGFDGLRSGGLWSDWSKPSDRNRPLTPFSPSVSPQPIGNFVSFGQNVGMASQQQRSFYGPGSGSNSYNRAYLQSGYNQGSSFGSASISSLGTNNRGWLSLENNRRRGRSNVSLCGCNGSLDILSEQNRGPRALKPKAQNTAEHGPSVENNKHSKPSAKIHDESYNQPDFVIEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTTYREAKEKQDPCPVFLLFSVNASAQFCGVAEMTGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYETDMSIIDDFDFYEDRQKAMQERKARQQASLMAVGVVGESEHRNAVTLPTDIIKQMTKSFAQVVCLDESSKEGTVTDRVSSGSDGSAGARVKLEDGITTVSPSQTS >Potri.007G002800.7.v4.1 pep chromosome:Pop_tri_v4:7:191493:196681:-1 gene:Potri.007G002800.v4.1 transcript:Potri.007G002800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002800.v4.1 MDAEEKPAESDNMKEQPLSAKNETSVSPNSSQDTAPSGHPRDTTGQLGAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNVTGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQHLGPNMSYITSPTPVSQPEFNALANIDQQGDNMLFGPRPSYPPPVGSIGRGSFPGNHGFHDQQQGFDGLRSGGLWSDWSKPSDRNRPLTPFSPSVSPQPIGNFVSFGQNVGMASQQQRSFYGPGSGSNSYNRAYLQSGYNQGSSFGSASISSLGTNNRGWLSLENNRRRGRSNVSLCGCNGSLDILSEQNRGPRALKPKAQNTAEHGPSVENNKHSKPSAKIHDESYNQPDFVIEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTTYREAKEKQDPCPVFLLFSVNASAQFCGVAEMTGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYETDMSIIDDFDFYEDRQKAMQERKARQQASLMAVGVVGESEHRNAVTLPTDIIKQMTKSFAQVVCLDESSKEGTVTDRVSSGSDGSAGARVKLEDGITTVSPSQTS >Potri.007G002800.3.v4.1 pep chromosome:Pop_tri_v4:7:191471:197057:-1 gene:Potri.007G002800.v4.1 transcript:Potri.007G002800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002800.v4.1 MAATQSPSQPAPDRVPAEEKPAESDNMKEQPLSAKNETSVSPNSSQDTAPSGHPRDTTGQLGAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNVTGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQHLGPNMSYITSPTPVSQPEFNALANIDQQGDNMLFGPRPSYPPPVGSIGRGSFPGNHGFHDQQQGFDGLRSGGLWSDWSKPSDRNRPLTPFSPSVSPQPIGNFVSFGQNVGMASQQQRSFYGPGSGSNSYNRAYLQSGYNQGSSFGSASISSLGTNNRGWLSLENNRRRGRSNVSLCGCNGSLDILSEQNRGPRALKPKAQNTAEHGPSVENNKHSKPSAKIHDESYNQPDFVIEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTTYREAKEKQDPCPVFLLFSVNASAQFCGVAEMTGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYETDMSIIDDFDFYEDRQKAMQERKARQQASLMAVGVVGESEHRNAVTLPTDIIKQMTKSFAQVVCLDESSKEGTVTDRVSSGSDGSAGARVKLEDGITTVSPSQTS >Potri.007G002800.2.v4.1 pep chromosome:Pop_tri_v4:7:191493:197040:-1 gene:Potri.007G002800.v4.1 transcript:Potri.007G002800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002800.v4.1 MAATQSPSQPAPDRVPAEEKPAESDNMKEQDTAPSGHPRDTTGQLGAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNVTGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQHLGPNMSYITSPTPVSQPEFNALANIDQQGDNMLFGPRPSYPPPVGSIGRGSFPGNHGFHDQQQGFDGLRSGGLWSDWSKPSDRNRPLTPFSPSVSPQPIGNFVSFGQNVGMASQQQRSFYGPGSGSNSYNRAYLQSGYNQGSSFGSASISSLGTNNRGWLSLENNRRRGRSNVSLCGCNGSLDILSEQNRGPRALKPKAQNTAEHGPSVENNKHSKPSAKIHDESYNQPDFVIEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTTYREAKEKQDPCPVFLLFSVNASAQFCGVAEMTGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYETDMSIIDDFDFYEDRQKAMQERKARQQASLMAVGVVGESEHRNAVTLPTDIIKQMTKSFAQVVCLDESSKEGTVTDRVSSGSDGSAGARVKLEDGITTVSPSQTS >Potri.007G002800.6.v4.1 pep chromosome:Pop_tri_v4:7:191748:196938:-1 gene:Potri.007G002800.v4.1 transcript:Potri.007G002800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002800.v4.1 MDAEEKPAESDNMKEQPLSAKNETSVSPNSSQDTAPSGHPRDTTGQLGAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNVTGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAQLYSPQQFPFSGPPYYQHLGPNMSYITSPTPVSQPEFNALANIDQQGDNMLFGPRPSYPPPVGSIGRGSFPGNHGFHDQQQGFDGLRSGGLWSDWSKPSDRNRPLTPFSPSVSPQPIGNFVSFGQNVGMASQQQRSFYGPGSGSNSYNRAYLQSGYNQGSSFGSASISSLGTNNRGWLSLENNRRRGRSNVSLCGCNGSLDILSEQNRGPRALKPKAQNTAEHGPSVENNKHSKPSAKIHDESYNQPDFVIEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTTYREAKEKQDPCPVFLLFSVNASAQFCGVAEMTGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGIEMLNIFKNYETDMSIIDDFDFYEDRQKAMQERKARQQASLMAVGVVGESEHRNAVTLPTDIIKQMTKSFAQVVCLDESSKEGTVTDRVSSGSDGSAGARVKLEDGITTVSPSQTS >Potri.009G170700.7.v4.1 pep chromosome:Pop_tri_v4:9:12979555:12983458:1 gene:Potri.009G170700.v4.1 transcript:Potri.009G170700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170700.v4.1 MDTGGNSLPSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGFSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSFQLLEEIRSKLLDNLSKLQHAPSVQFQERPPDTELLEAEEDQDDADERWDPDSDMDVDDERKPLPSRVKREIVEAEPKELEGQKGSSEYARGFDAAIDENASGKALDAGPMQIDEPGVRVEQENVNKHSDQLYSK >Potri.009G170700.2.v4.1 pep chromosome:Pop_tri_v4:9:12979512:12983420:1 gene:Potri.009G170700.v4.1 transcript:Potri.009G170700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170700.v4.1 MDTGGNSLPSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGFSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSFQLLEEIRSKLLDNLSKLQHAPSVQFQERPPDTELLEAEEDQDDADERWDPDSDMDVDDERKPLPSRVKREIVEAEPKELEGQKGSSEYARGFDAAIDENASGKALDAGPMQIDEPGVRVEQENVNKHSDQLYSK >Potri.009G170700.8.v4.1 pep chromosome:Pop_tri_v4:9:12979554:12983420:1 gene:Potri.009G170700.v4.1 transcript:Potri.009G170700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170700.v4.1 MDTGGNSLPSAAPDGVKRKVCYFYDPEVGNYYYGQGHPMKPHRIRMTHALLAHYGLLQHMQVLKPFPARDRDLCRFHADDYVSFLRSITPETQQDQLRQLKRFNVGEDCPVFDGLYSFCQTYAGGSVGGAVKLNHNLCDIAVNWAGGLHHAKKCEASGFCYVNDIVLAILELLKVHERVLYVDIDIHHGDGVEEAFYTTDRVMTVSFHKFGDYFPGTGDIRDIGFSKGKYYSLNVPLDDGIDDESYHFLFKPLIGKVMEVFKPGAVVLQCGADSLSGDRLGCFNLSIKGHAECVKYMRSFNVPLLLLGGGGYTIRNVARCWCYETGVALGIEVDDKMPQHEYYEYFGPDYTLHVAPSNMENKNSFQLLEEIRSKLLDNLSKLQHAPSVQFQERPPDTELLEAEEDQDDADERWDPDSDMDVDDERKPLPSRVKREIVEAEPKELEGQKGSSEYARGFDAAIDENASGKALDAGPMQIDEPGVRVEQENVNKHSDQLYSK >Potri.007G039300.1.v4.1 pep chromosome:Pop_tri_v4:7:3193574:3196956:1 gene:Potri.007G039300.v4.1 transcript:Potri.007G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039300.v4.1 MAAELLGGAVFGELLKVIENVAQKALVFKTKLKQIQETLESNIPILDEIKQLDEELDRRNEEIEKLMEVIRNGETLVLECSKIRWYHCWRRPKYTDKLIELERSINQFFQTVMPAQIARDTKEILLEVRGRKGSGSNGTIDGRDVSCAVPESLVNPVGLQVAIGELKMKLFKDGVSIVVLSAPPGCGKTTLARLLCHDKEVEEKFKDNIFYVIVSKNTNMEGIVRALFNHKGQKPPSDFRSDEDIVYRLEQFLNSIGPSPILLVLDDVWPESESFLEKFMFQIKDYKILVTSRSVFRRFGSTYELKPLNYEDSLTLFRSSAFLPHQSQDIPDKNVVSKIVKGCKGFPLALKVVGSSLCGEPEEIWKTRAMELSKVGSIFEYTDLLNSLQKSLDTLDNKVILKECFIDLCSFPEDQRIPVNALVDMWMELYNLDEEAYAVAKLQELCNRNLVDLVVTGNVASGCYNQQFAMQHDLLRELAICQSDSESIERRKRLILEISANNVPAWWMEQKQPNISCRLLSISTDEKFSSSWCFIQAPEVEVLVLNVRSKNHTLPEFIKKMEKLKVLIVENYGFFPTELNNFLLLGYVTNLKRIRLERVSIPPFAFTTVKLEILQKLTLYMCNISQAFSTSTILVSEALPNIMEINIEYSNDLIELPVEICLLTKLKKLSIINCHKLVALPKEIGKLVNLEVLRLGSCIELLELPNTIGGLCNLSVLDISECLGIERLPEEIGELQNLRQLLMMGCSCNCELPQSIMNLEHLKEVVCDEETAILWKPIMLVCKNLRIKVQKEEVNLNWLYNHRF >Potri.001G105150.1.v4.1 pep chromosome:Pop_tri_v4:1:8464098:8464784:-1 gene:Potri.001G105150.v4.1 transcript:Potri.001G105150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105150.v4.1 MLFFLFCIFFFLMYNLVCIFQGSCSSLLLYQSPPPPPPNRNVASCEEECFLLSVWILLYLLDDERD >Potri.016G013500.1.v4.1 pep chromosome:Pop_tri_v4:16:726128:728590:1 gene:Potri.016G013500.v4.1 transcript:Potri.016G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013500.v4.1 MAQVLHINPLRSSTRPESNGTLFLSQSQKQDVKHSWTSLQREFKCNGKFTCLFSGGGREEQAKKALESALGGKKDEFEKWNKEIKRREEAGGGGDSGGGGWFGWGGRFGWSNGDNFWPEAQQASLAVLGIIAMYLIIAKGDLILAVMVNPLLYALRGTRNGLTFMSSKMLRKASPDDPADFAGALKNGVYVEVSAKESVKRKWGSN >Potri.001G024300.1.v4.1 pep chromosome:Pop_tri_v4:1:1850959:1854250:-1 gene:Potri.001G024300.v4.1 transcript:Potri.001G024300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024300.v4.1 MATASSTDSSLSPLRLLGKVALVTGGATGIGESIARLFCRHGAKVCIADLQDNLGQNVCESLGGEPKTCYIHCDVTIEDDVRQAVDFTVDKFGTLDIMVNNAGLGGPPCPDIRKVALSDFEKVFDVNVKGVFLGMKHAARIMIPLNKGSIVSLCSVASAIGGIGPHAYTGSKHAVLGLTRSVAAELGKHGIRVNCVSPYGVATSLAVAHLPEDERTEDALIGFRSFIGRNANLQGVELTVDDVANAVLFLASDEARYISGDNLMLDGGFTCTNHSLRVFR >Potri.018G089500.1.v4.1 pep chromosome:Pop_tri_v4:18:10879562:10881616:-1 gene:Potri.018G089500.v4.1 transcript:Potri.018G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089500.v4.1 MESRLKALWVLSVVLLVSNWQHWTYGKAVPQVPCYFVFGDSLFDNGNNNYLDNAAKVNYLPYGIDFDTGASGRCSNGLNIADTIAEQLGFDSYITDFGVGGCTNFLDGVNYGSSGAGILDITGSLAGELFTMNAQLFNHNITVSRISKILGSEEVARKYLSQCIYVSDMGHNDYLNNYFKEEYNSSKQYTPEKFAQLLIETYETQLEKLYCSGARKIAVFGLIRVGCMPHNRQNHPNDVDESSSCVEKFNSDVQFFNDKLPALLHKLNTKHSDAVFTYINSYEIDSDDQTNTGFTQTRKSCCEVEPGSVPCKSLSFPCSNRSDYVYWDGAHFTEAKAWAFGKRAYKRQSPKDAYPYDISELVKLKLDDSDAYDINHAQL >Potri.001G470800.1.v4.1 pep chromosome:Pop_tri_v4:1:49413741:49415481:1 gene:Potri.001G470800.v4.1 transcript:Potri.001G470800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470800.v4.1 MAQMKDAHIVEIPVDEEHQQKLICAMNTIKAIQNHPLAEISNSPGHLLLLKLWQREEDLFGRRIALKESRMDSIKREIFQLCCFFLVFHGFFLTILFTSSVDSKEHSCKNWWIPSLVSVCTSLVFVFLVQVKVCRYWKVWRQLQREKNDNRALTRCIQELRMKGASFDLSKEPLSGKKMKSSSVEIKWKPLTWCSQYLITICLVCFTGLVFPASKFMLC >Potri.009G091400.1.v4.1 pep chromosome:Pop_tri_v4:9:8370134:8372109:1 gene:Potri.009G091400.v4.1 transcript:Potri.009G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091400.v4.1 MAPSPSFLIGPPESYRRAPLTTTARTQKPQTKAPVWYPHMEIPTLTPCQFLFFKITPSTTPETLFRWLERAWNEDPNTTLKLICYLGLATIKGKPNKYAFFNSVLWLHKYQHYVLASNLAALASFGYLKDLPEILYRILVFEMVNGGYCQSRMLDQGENVKMDQIENEEMEKEETRVLRREKDIFWAECAVFFHRNVSAYHFLHNCVADIYADLLKSDIEFLNLGEVEKISLAAKWCPSVNSFYDRRTQICESIAKALFPFDSDPGYFVIENAHYVYRVRNRLMKEVLVPLRKALVRRTRCDPITHRPFRPIVSTAVKKMYSGLWTADYNKRFNVYVEIAARTTKKKKLLLPHEIVASLRDKSSSMVAESKWESLVNYLKKKGSLKNCLAVYGISRDMNKMQKDICVSMGLLVSELSEEPWKGKIVSFGDDPKIRMIHGSNLPAKIEFMRQLDYSKVENIKRVFDQIFEFALAEKISQENMPQKIFVFTDMGLQQVSACLWGMWGSYRRRRGCTTLPEIVFWNLRGETGVLNFPARNLNRVTMVNGFSNDSLAALLERDVVPTLEDLMRSIGPDNVLKSTISGDLYDNLLV >Potri.010G020200.3.v4.1 pep chromosome:Pop_tri_v4:10:2900581:2904971:-1 gene:Potri.010G020200.v4.1 transcript:Potri.010G020200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G020200.v4.1 MDCIPVLVFCFISFLIVRTATPTDTINTAQIIRDGDTIVSAGGTYELGFFSPGKSKSRYLGIWYGKISVQTAVWVANRETPLNDSSGVVRLTNEGLLVLLNVSGSIIWSSNTSTPARNPVAQLLDTGNLVVKEEGDNNMEKSLWQSFDYPGNTLIPGMKVGRNIKTGMDWYVTSWKSPDDPSRGNITGILVPEGYPELLLLEDSKPKHRAGPWNGLQFSGMPQVKPNPVYIFEFVYNDKEIYYTEQLHNSSRHWRVVLPQSGDIQHILWIEQTQSWLLYETANTDNCETYALCGANGICSINNSPVCNCLKGFVPKVPRDWDKTDWSSGCVRKTALNCSRDGFRKLSGVKMPETRKSWFNGSMDLEECKNTCLKNCSCTAYTNLDIRDGGSGCLLWFNDLIDMRTFFQNEQDIFIRMDASELDDGGSARVNNKSKVKKRIVVTTVLSTGILFIGLCLVLYVWKKKPKKTKVMLTGKMQRRSNNNDMKEELELPFFNMDELASATNNFSDSNKLGEGGFGPVYKGTLTDGQEIAVKRLSKNSRLRT >Potri.007G011400.1.v4.1 pep chromosome:Pop_tri_v4:7:897131:903538:1 gene:Potri.007G011400.v4.1 transcript:Potri.007G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011400.v4.1 MAIGSSSSDSRPNPAGPDPQSQREVKRANANNKIDPFVPRTDHNPRELRSWAKRTGFVSTFSSETTTSNDTATPTPASELYNKAVDNNNHHRNGGSSPKIEIDPILGRTRQLNSRIEIEPESRPGNDDRGLGLRDESKRRIVGNDVLGAIPNKDEVGLNGTGNEPKKGEVNDFDHVGIEVYPFGEELIANEGWNNRQSGMRYGLRDNPGFALLVYYGLQHYLSMAGSLIFIPLIIVPAMGGTDRDTAEVISTMLLISGITTILHSYFGTRLPLVQGSSFVYLAPALVIINAREYRNLTEHKFRHIMRELQGAIIVGSLFQTILGFTGFMSLLLRLINPVVVAPTVAAVGLAFFSYGFPQAGSCVEISIPLILLVLIFTLYLRGISIFGHRIFQIYAVPLSVLMIWTYAFFLTAGGAYNYKGCSPDVPSSNILVDACRKHAYTMQHCRTDASNAWRTAAWVRIPYPLQWGVPIFHFRTSLIMIIVSLVASVDSVGTYHSTSLLVNSKPPTPRIVSRGIALEGFCSVLAGIWGCGTGSTTLTENVHTVNITKVASRRVVEVGAAFLILFSFIGKVGAILASIPQALAASILCFMWGLIVSLGLSTLQYSQTASFRNITIVGVSLFLGLTIPAYFQQYQPESSLILPSYFVPYAAASNGPVQTSSKQFDFAMNALMSLNMVVTLLVAFVLDNTVPGNRQERGVYIWSRAEDMATDTSLHADYSLPSKVSRFFCCARCLHA >Potri.007G011400.4.v4.1 pep chromosome:Pop_tri_v4:7:897410:903350:1 gene:Potri.007G011400.v4.1 transcript:Potri.007G011400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011400.v4.1 MAIGSSSSDSRPNPAGPDPQSQREVKRANANNKIDPFVPRTDHNPRELRSWAKRTGFVSTFSSETTTSNDTATPTPASELYNKAVDNNNHHRNGGSSPKIEIDPILGRTRQLNSRIEIEPESRPGNDDRGLGLRDESKRRIVGNDVLGAIPNKDEVGLNGTGNEPKKGEVNDFDHVGIEVYPFGEELIANEGWNNRQSGMRYGLRDNPGFALLVYYGLQHYLSMAGSLIFIPLIIVPAMGGTDRDTAEVISTMLLISGITTILHSYFGTRLPLVQGSSFVYLAPALVIINAREYRNLTEHKFRHIMRELQGAIIVGSLFQTILGFTGFMSLLLRLINPVVVAPTVAAVGLAFFSYGFPQAGSCVEISIPLILLVLIFTLVIPPRNFYLWSSHIPNICVQVPLSVLMIWTYAFFLTAGGAYNYKGCSPDVPSSNILVDACRKHAYTMQHCRTDASNAWRTAAWVRIPYPLQWGVPIFHFRTSLIMIIVSLVASVDSVGTYHSTSLLVNSKPPTPRIVSRGIALEGFCSVLAGIWGCGTGSTTLTENVHTVNITKVASRRVVEVGAAFLILFSFIGKVGAILASIPQALAASILCFMWGLIVSLGLSTLQYSQTASFRNITIVGVSLFLGLTIPAYFQQYQPESSLILPSYFVPYAAASNGPVQTSSKQFDFAMNALMSLNMVVTLLVAFVLDNTVPGNRQERGVYIWSRAEDMATDTSLHADYSLPSKVSRFFCCARCLHA >Potri.001G059700.2.v4.1 pep chromosome:Pop_tri_v4:1:4549161:4552152:1 gene:Potri.001G059700.v4.1 transcript:Potri.001G059700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G059700.v4.1 MGKLLCDSTTTVAETTFQTPTSPIVHWRDDPKVTVDNSEQTLTISEQTTWEDVIGLEDQQRRHLQRLQSKGVLWKHPKNDESYPVVVFKLSHGGDVSADGNCLFTASQRAMVASEMDARELRRRTARRFVEDFGSVSGEEREAINNAIKHMYSPDLKNGWGIHVVQEVKLLAKKEDRVALDSAIDELVLLGMQREMAAESIYKERCMAVNDGPSWAKYMSISGSHDDEYDIITLQYTEEGLLYIDENRKGHAAAFGDDIAIECLATEFKREIYVVQAHGSDGMVDEENCVFFLPHRPRSEICEPPFFLFMKGTGWCGAGADHYEPLIAHPSSHLSQEKVAVVLGGH >Potri.017G138201.1.v4.1 pep chromosome:Pop_tri_v4:17:13940079:13941863:1 gene:Potri.017G138201.v4.1 transcript:Potri.017G138201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138201.v4.1 MSDPRHLDLTINQVQDNIIPFTVAWGQRWLPSSGECNTIKFFPAFMSLTLELIGRYVLPDRSVLPIMYRHLDLRGLLFSLYLHLIQSQWITGQFLFLCTLMDAASVHVFSTFIVGTLKKLDFCFVKEIGKERGHTQMTGYEIIKKAIEKLGLRHKEHISAYGERKEQRPTG >Potri.002G220600.2.v4.1 pep chromosome:Pop_tri_v4:2:20005421:20007412:-1 gene:Potri.002G220600.v4.1 transcript:Potri.002G220600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220600.v4.1 MVACLSSTLPDALIISSRRRSPFLNSHYHTSSTCWPKFGSAEALLLLQNCTSFNHLKLVHGKIIRNALSANQLLVRKLIHLCSSYGRLDYAALLFHQVQEPHTFTWNFLIRTYTIHGYSMKALLLYNLMIRRGFPPDKFTFPFVVKACLASGSIRKGKEVHGLAIKTGFSKDMFLYNTLMDLYFSCGDEGYGRKVFDKMRVRNVVSWTTFIAGLVVCGDLDAARRAFDQMPTRNVVSWTAIINAYVTNQRPHEAFELFWRMLLANVKPNEYTLVNLLKACSELGSLKLGRWIHDYALKNGFDLGAFLGTALIDMYSKCGSLDDARQVFREMQIKSLATWNAMITSLGVHGYGEEALSLFTKMEEANVRPDAITFVGVICACLQTDKVREGDMYFKYMREHYGITPVVEHYTCMIELYSRANLLNALDELVKGMPRELSDDVAAAWIKSRLIDDIDDTENSLEHQGEELQYWETRTEHLSQYQLQGFKWDVG >Potri.002G220600.1.v4.1 pep chromosome:Pop_tri_v4:2:20004035:20007475:-1 gene:Potri.002G220600.v4.1 transcript:Potri.002G220600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220600.v4.1 MVACLSSTLPDALIISSRRRSPFLNSHYHTSSTCWPKFGSAEALLLLQNCTSFNHLKLVHGKIIRNALSANQLLVRKLIHLCSSYGRLDYAALLFHQVQEPHTFTWNFLIRTYTIHGYSMKALLLYNLMIRRGFPPDKFTFPFVVKACLASGSIRKGKEVHGLAIKTGFSKDMFLYNTLMDLYFSCGDEGYGRKVFDKMRVRNVVSWTTFIAGLVVCGDLDAARRAFDQMPTRNVVSWTAIINAYVTNQRPHEAFELFWRMLLANVKPNEYTLVNLLKACSELGSLKLGRWIHDYALKNGFDLGAFLGTALIDMYSKCGSLDDARQVFREMQIKSLATWNAMITSLGVHGYGEEALSLFTKMEEANVRPDAITFVGVICACLQTDKVREGDMYFKYMREHYGITPVVEHYTCMIELYSRANLLNALDELVKGMPRELSDDVAAAWIKSRLIDDIDDTENSLEHQGEELQYWETRTEHLSQYQLQGFKWDVG >Potri.012G092700.2.v4.1 pep chromosome:Pop_tri_v4:12:11752612:11753208:-1 gene:Potri.012G092700.v4.1 transcript:Potri.012G092700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092700.v4.1 MAIATAASSLLHSKPPPPPPTLLSHSPQSAQQLHLPKTTSSKWTPLVLTTSHSTLEKTMPTTSRSTNFPDLSFLSSLTTSAIDNLAMVSPSLAYANTLYFKFGFNVQINVKENEPEESILFRFKKAVIRARVLQECRRRRFFESTQDKKKRKTRDAARRNSQRLEFVLSFLSFFRYVLVLVLVFIYFVATFGLIVQFG >Potri.016G112700.1.v4.1 pep chromosome:Pop_tri_v4:16:11604989:11605700:1 gene:Potri.016G112700.v4.1 transcript:Potri.016G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112700.v4.1 MSRKASKRLSFSPDENDKPTIFLKHGSGTRVGGSRKKIAGIFSFRLPRTSKFSPARLLRRLGAKVARVLRFVPMGRKSSRKVTSSSLPRSRSLAEAVDSQRAEAIEDCIEFLNSSSSLQRSNSVSTNSY >Potri.010G157300.1.v4.1 pep chromosome:Pop_tri_v4:10:16422374:16424375:-1 gene:Potri.010G157300.v4.1 transcript:Potri.010G157300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157300.v4.1 MRSGRIIQHLLLRPGCRRLDFFSSSLSTTTAIRSLSFFTLATHEHAIKTLQNPSFFSRLLAFFSKQPTSLHFSPSLTPRFLSTTISTEENSSEDDLLYYETTEDVESDGWEEEEEVEPKLGDGGDGGGVVLQGLPWGDRTLSIAREVLLSFGDDIELFAFKTTPRGYVYVRLDKLSQPYGCPSIEELESYCQEYRKRLEEVGALGEIPNNLAVEVSTPGAERILKVPEDLSRFKDMPMRVCYSEGNGPETNGVFFLESVEMESENCVWKLADVKENRDPESKGRPLNRKRRDWRLTLPFKMHGMVSLYLDC >Potri.001G307700.5.v4.1 pep chromosome:Pop_tri_v4:1:31741487:31747002:-1 gene:Potri.001G307700.v4.1 transcript:Potri.001G307700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307700.v4.1 MIISKPFSSKTLLSLTSKNPSSSPLLPYSIFISHFSTSSLAPHHSYSHSLSDSKNVRVSVWWDIENCNVPSGVNVFRVAQAITAALRGNGIKGPVQITAFGDVSQLSRANQEALSSTGINLAHIPNGGKNSADRSLLVDLMCWVSQNPPPAHLFLISGDRDFANVLHRLRMNNYNILLAAKDTAPSVLCSAASIMWQWDSLVKGENLSGKHFNQPPDGPFASWYVHYKGPLEDPFAVVEQPTCLKVEDKPEASSESAVRPIPKAVMKQLCHILSSCPKGMSITDLQSELAKSSVPVDKDLYGYKEFSRFLLSMPHILRLKSDGDGRFVVHCATTKAPEPFQLNPCKSTPTAVDNGRQHITRSSKSNGEDVSASGSVDGKLSLPSSPKPNLKAPPTIMHQPSLAEKSVKMNIQQPPKQMVQPQPLKQMEQPPAVAEKAETVNAKMIEDHLPAVKEHVSSTEVGFFRKFWRRLFGGKVDDSELKSENVLVESFGENLVKKNENTLAEHDRSGESPQKNFEKKSVDSTSQGDDPVDPTVETTRENKTATSSEPHAEILRKSPGLFNQILDWCKFGGDSAVASNDQPTVIHGHMKSDAGKPEVFSEDLFWREMESFIVMKRGSLVISQSRTREQLAQNLQKEGPLVLRSLSESDVLQLVDMIISEKKWVEECPSEAFPFKLSWFVAQSTVGDSRASNGLSSIFMSALSESNLRRQPGHGDKKSQSISHTGVSSPVSVKNPSERSRSEILGDCQKLVKEILKEFPGGYNMDAFRKLFLERYGYNLDAKKLGYPKLASFLQIMPGVKIESNLIIPCNEMAKRSSTGRAVLHNTSSESELFDASKKDDELDSTWEELGPVDNMGSGKMAMQSAIGMKRRRERMRQPYPEYESPLSDDEYSDSEESGVVTRPVGQAKPGFIDENSSLLQMLDSWDDSKEGDDKNQPENLESVLDSFTNGLRSSYSSRLGTKIKTSQRPQKSYSFVADPVENKTEPLVDGILVSLKKPNESRVEG >Potri.001G307700.6.v4.1 pep chromosome:Pop_tri_v4:1:31741573:31746944:-1 gene:Potri.001G307700.v4.1 transcript:Potri.001G307700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307700.v4.1 MCWVSQNPPPAHLFLISGDRDFANVLHRLRMNNYNILLAAKDTAPSVLCSAASIMWQWDSLVKGENLSGKHFNQPPDGPFASWYVHYKGPLEDPFAVVEQPTCLKVEDKPEASSESAVRPIPKAVMKQLCHILSSCPKGMSITDLQSELAKSSVPVDKDLYGYKEFSRFLLSMPHILRLKSDGDGRFVVHCATTKAPEPFQLNPCKSTPTAVDNGRQHITRSSKSNGEDVSASGSVDGKLSLPSSPKPNLKAPPTIMHQPSLAEKSVKMNIQQPPKQMVQPQPLKQMEQPPAVAEKAETVNAKMIEDHLPAVKEHVSSTEVGFFRKFWRRLFGGKVDDSELKSENVLVESFGENLVKKNENTLAEHDRSGESPQKNFEKKSVDSTSQGDDPVDPTVETTRENKTATSSEPHAEILRKSPGLFNQILDWCKFGGDSAVASNDQPTVIHGHMKSDAGKPEVFSEDLFWREMESFIVMKRGSLVISQSRTREQLAQNLQKEGPLVLRSLSESDVLQLVDMIISEKKWVEECPSEAFPFKLSWFVAQSTVGDSRASNGLSSIFMSALSESNLRRQPGHGDKKSQSISHTGVSSPVSVKNPSERSRSEILGDCQKLVKEILKEFPGGYNMDAFRKLFLERYGYNLDAKKLGYPKLASFLQIMPGVKIESNLIIPCNEMAKRSSTGRAVLHNTSSESELFDASKKDDELDSTWEELGPVDNMGSGKMAMQSAIGMKRRRERMRQPYPEYESPLSDDEYSDSEESGVVTRPVGQAKPGFIDENSSLLQMLDSWDDSKEGDDKNQPENLESVLDSFTNGLRSSYSSRLGTKIKTSQRPQKSYSFVADPVENKTEPLVDGILVSLKKPNESRVEG >Potri.003G022100.15.v4.1 pep chromosome:Pop_tri_v4:3:2337429:2352454:1 gene:Potri.003G022100.v4.1 transcript:Potri.003G022100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022100.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVAVNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKHLEFLLGQTERYSTMLAENLVEKPPEQYFAPEKPSIADRVGDDANTPLQVVDAHCLEAQVDTADNDDEYDVQSEDEVEDDEHTIEEDEALITKEERQEELEALHNEMDIPLEELLKRYAVEKGGRESSENGAKPCANGEEHCESKGEDISAACEMEISSSPVNAGRRCEDGDFDLAAEEEKDDETTLLEEEEMAKADSNNPIDEILLLQKESEIPLEELLARYTKEPNSEVSEDESEYAPVLSDNMSNSPGHEEELKQLDNSMDEMVEHGEHPLVEEQEKGNEEISEEGRESESKIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEQRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKCKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKVEHVIFCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGVDIQLSSSICSMFSPGPYSSVDLCALGLIFTHLDFNMVSWECDEVKAIATPSRLIEERANLANIEDVGPGSKHLKRLPGTNIFEEIRKSLLEGRLREMKQRAASIAWWNSLRCRKKPIYSTTLRELLTVKHPIYDIHRQKVERLSSLCSSKLGDVVLSPIERFQKMTDLVESFMFAIPAARSTAPIFWCSQTRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEDRQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKTLQIKNMQREKNNNNGNEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYCKEGEVNLDENDCIEERAVTFTGNKDDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVRFQETEWELDRIEKYKDEMEAEIDDDEEPLVYERWDADFATEAYRQQVEALTQYQLMEEKEAEAEAEANEKESADGHLDAMVCKVPRNPKSKSKKKPKKTKFKSLKKESLTSELKHMKVEASIETLSADDEDDDDDVIYPDDGTYSDTTSPYSSVQRKRKKAELAIDIDKKRSRKNSKKFKKAPETCSFDVDSDLSGKQHGRSMELKPYEVVSDLEQKPAGRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWSRDCVPPPDSWLPQEDAILCAVVHEYGPHWSLVSETLYGMAAGGFYRGRYRHPVHCCERFRELIHRYVLSSPEYPINNEKMSNMVSGKALLKVTEDNIRMLLNVAAEQPDHELLLQKHFTALLSAVWRVNSRAERQQNLSSSRNALYNHGRVFNSSVNQLPSNSSKESAKRMKFTNLGHSSKLLADALHDASSRRPDDRVSYSNLSEVAPAIGEQLEITLEFQKEEDDSLIQFPPIISLSIPSSAPLTSVNKDRAEAHHLRASTSIAENRFRDAARACVEGDLGWVSSSAPANDFKLRLPSKTQSLGKHKLSVSESTKPPRSKMKKTLIEHSQGHLFAEPVSQPLPVLSSRDPNLRFDLPPIAIQDDKDEYSISCIEKELSAEMGTWDAVAHDYVLGFTSGLDDFSSLPEFTDIG >Potri.003G022100.8.v4.1 pep chromosome:Pop_tri_v4:3:2337402:2352384:1 gene:Potri.003G022100.v4.1 transcript:Potri.003G022100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022100.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVAVNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKHLEFLLGQTERYSTMLAENLVEKPPEQYFAPEKPSIADRVGDDANTPLQVVDAHCLEAQVDTADNDDEYDVQSEDEVEDDEHTIEEDEALITKEERQEELEALHNEMDIPLEELLKRYAVEKGGRESSENGAKPCANGEEHCESKGEDISAACEMEISSSPVNAGRRCGENNGALPIPDNNLLEIGAYETRNQLSISEDPAREHVPYDFNDEQEDGDFDLAAEEEKDDETTLLEEEEMAKADSNNPIDEILLLQKESEIPLEELLARYTKEPNSEVSEDESEYAPVLSDNMSNSPGHEEELKQLDNSMDEMVEHGEHPLVEEQEKGNEEISEEGRESESKIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEQRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKCKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKVEHVIFCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGVDIQLSSSICSMFSPGPYSSVDLCALGLIFTHLDFNMVSWECDEVKAIATPSRLIEERANLANIEDVGPGSKHLKRLPGTNIFEEIRKSLLEGRLREMKQRAASIAWWNSLRCRKKPIYSTTLRELLTVKHPIYDIHRQKVERLSSLCSSKLGDVVLSPIERFQKMTDLVESFMFAIPAARSTAPIFWCSQTRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEDRQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKTLQIKNMQREKNNNNGNEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYCKEGEVNLDENDCIEERAVTFTGNKDDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVRFQETEWELDRIEKYKDEMEAEIDDDEEPLVYERWDADFATEAYRQQVEALTQYQLMEEKEAEAEAEANEKESADGHLDAMVRCKVPRNPKSKSKKKPKKTKFKSLKKESLTSELKHMKVEASIETLSADDEDDDDDVIYPDDGTYSDTTSPYSSVQRKRKKAELAIDIDKKRSRKNSKKFKKAPETCSFDVDSDLSGKQHGRSMELKPYEVVSDLEQKPAGRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWSRDCVPPPDSWLPQEDAILCAVVHEYGPHWSLVSETLYGMAAGGFYRGRYRHPVHCCERFRELIHRYVLSSPEYPINNEKMSNMVSGKALLKVTEDNIRMLLNVAAEQPDHELLLQKHFTALLSAVWRVNSRAERQQNLSSSRNALYNHGRVFNSSVNQLPSNSSKESAKRMKFTNLGHSSKLLADALHDASSRRPDDRVSYSNLSEVAPAIGEQLEITLEFQKEEDDSLIQFPPIISLSIPSSAPLTSVNKDRAEAHHLRASTSIAENRFRDAARACVEGDLGWVSSSAPANDFKLRLPSKTQSLGKHKLSVSESTKPPRSKMKKTLIEHSQGHLFAEPVSQPLPVLSSRDPNLRFDLPPIAIQDDKDEYSISCIEKELSAEMGTWDAVAHDYVLGFTSGLDDFSSLPEFTDIG >Potri.003G022100.12.v4.1 pep chromosome:Pop_tri_v4:3:2337401:2352454:1 gene:Potri.003G022100.v4.1 transcript:Potri.003G022100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022100.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVAVNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKHLEFLLGQTERYSTMLAENLVEKPPEQYFAPEKPSIADRVGDDANTPLQVVDAHCLEAQVDTADNDDEYDVQSEDEVEDDEHTIEEDEALITKEERQEELEALHNEMDIPLEELLKRYAVEKGGRESSENGAKPCANGEEHCESKGEDISAACEMEISSSPVNAGRRCVSYNNLLEIGAYETRNQLSISEDPAREHVPYDFNDEQEDGDFDLAAEEEKDDETTLLEEEEMAKADSNNPIDEILLLQKESEIPLEELLARYTKEPNSEVSEDESEYAPVLSDNMSNSPGHEEELKQLDNSMDEMVEHGEHPLVEEQEKGNEEISEEGRESESKIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEQRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKCKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKVEHVIFCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGVDIQLSSSICSMFSPGPYSSVDLCALGLIFTHLDFNMVSWECDEVKAIATPSRLIEERANLANIEDVGPGSKHLKRLPGTNIFEEIRKSLLEGRLREMKQRAASIAWWNSLRCRKKPIYSTTLRELLTVKHPIYDIHRQKVERLSSLCSSKLGDVVLSPIERFQKMTDLVESFMFAIPAARSTAPIFWCSQTRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEDRQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKTLQIKNMQREKNNNNGNEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYCKEGEVNLDENDCIEERAVTFTGNKDDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVRFQETEWELDRIEKYKDEMEAEIDDDEEPLVYERWDADFATEAYRQQVEALTQYQLMEEKEAEAEAEANEKESADGHLDAMVCKVPRNPKSKSKKKPKKTKFKSLKKESLTSELKHMKVEASIETLSADDEDDDDDVIYPDDGTYSDTTSPYSSVQRKRKKAELAIDIDKKRSRKNSKKFKKAPETCSFDVDSDLSGKQHGRSMELKPYEVVSDLEQKPAGRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWSRDCVPPPDSWLPQEDAILCAVVHEYGPHWSLVSETLYGMAAGGFYRGRYRHPVHCCERFRELIHRYVLSSPEYPINNEKMSNMVSGKALLKVTEDNIRMLLNVAAEQPDHELLLQKHFTALLSAVWRVNSRAERQQNLSSSRNALYNHGRVFNSSVNQLPSNSSKESAKRMKFTNLGHSSKLLADALHDASSRRPDDRVSYSNLSEVAPAIGEQLEITLEFQKEEDDSLIQFPPIISLSIPSSAPLTSVNKDRAEAHHLRASTSIAENRFRDAARACVEGDLGWVSSSAPANDFKLRLPSKTQSLGKHKLSVSESTKPPRSKMKKTLIEHSQGHLFAEPVSQPLPVLSSRDPNLRFDLPPIAIQDDKDEYSISCIEKELSAEMGTWDAVAHDYVLGFTSGLDDFSSLPEFTDIG >Potri.003G022100.13.v4.1 pep chromosome:Pop_tri_v4:3:2337465:2352123:1 gene:Potri.003G022100.v4.1 transcript:Potri.003G022100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022100.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVAVNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKHLEFLLGQTERYSTMLAENLVEKPPEQYFAPEKPSIADRVGDDANTPLQVVDEAQVDTADNDDEYDVQSEDEVEDDEHTIEEDEALITKEERQEELEALHNEMDIPLEELLKRYAVEKGGRESSENGAKPCANGEEHCESKGEDISAACEMEISSSPVNAGRRCVSYNNLLEIGAYETRNQLSISEDPAREHVPYDFNDEQEDGDFDLAAEEEKDDETTLLEEEEMAKADSNNPIDEILLLQKESEIPLEELLARYTKEPNSEVSEDESEYAPVLSDNMSNSPGHEEELKQLDNSMDEMVEHGEHPLVEEQEKGNEEISEEGRESESKIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEQRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKCKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKVEHVIFCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGVDIQLSSSICSMFSPGPYSSVDLCALGLIFTHLDFNMVSWECDEVKAIATPSRLIEERANLANIEDVGPGSKHLKRLPGTNIFEEIRKSLLEGRLREMKQRAASIAWWNSLRCRKKPIYSTTLRELLTVKHPIYDIHRQKVERLSSLCSSKLGDVVLSPIERFQKMTDLVESFMFAIPAARSTAPIFWCSQTRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEDRQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKTLQIKNMQREKNNNNGNEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYCKEGEVNLDENDCIEERAVTFTGNKDDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVRFQETEWELDRIEKYKDEMEAEIDDDEEPLVYERWDADFATEAYRQQVEALTQYQLMEEKEAEAEAEANEKESADGHLDAMVRCKVPRNPKSKSKKKPKKTKFKSLKKESLTSELKHMKVEASIETLSADDEDDDDDVIYPDDGTYSDTTSPYSSVQRKRKKAELAIDIDKKRSRKNSKKFKKAPETCSFDVDSDLSGKQHGRSMELKPYEVVSDLEQKPAGRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWSRDCVPPPDSWLPQEDAILCAVVHEYGPHWSLVSETLYGMAAGGFYRGRYRHPVHCCERFRELIHRYVLSSPEYPINNEKMSNMVSGKALLKVTEDNIRMLLNVAAEQPDHELLLQKHFTALLSAVWRVNSRAERQQNLSSSRNALYNHGRVFNSSVNQLPSNSSKESAKRMKFTNLGHSSKLLADALHDASSRRPDDRVSYSNLSEVAPAIGEQLEITLEFQKEEDDSLIQFPPIISLSIPSSAPLTSVNKDRAEAHHLRASTSIAENRFRDAARACVEGDLGWVSSSAPANDFKLRLPSKTQSLGKHKLSVSESTKPPRSKMKKTLIEHSQGHLFAEPVSQPLPVLSSRDPNLRFDLPPIAIQDDKDEYSISCIEKELSAEMGTWDAVAHDYVLGFTSGLDDFSSLPEFTDIG >Potri.003G022100.10.v4.1 pep chromosome:Pop_tri_v4:3:2337402:2352384:1 gene:Potri.003G022100.v4.1 transcript:Potri.003G022100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022100.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVAVNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKHLEFLLGQTERYSTMLAENLVEKPPEQYFAPEKPSIADRVGDDANTPLQVVDEAQVDTADNDDEYDVQSEDEVEDDEHTIEEDEALITKEERQEELEALHNEMDIPLEELLKRYAVEKGGRESSENGAKPCANGEEHCESKGEDISAACEMEISSSPVNAGRRCGENNGALPIPDNNLLEIGAYETRNQLSISEDPAREHVPYDFNDEQEDGDFDLAAEEEKDDETTLLEEEEMAKADSNNPIDEILLLQKESEIPLEELLARYTKEPNSEVSEDESEYAPVLSDNMSNSPGHEEELKQLDNSMDEMVEHGEHPLVEEQEKGNEEISEEGRESESKIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEQRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKCKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKVEHVIFCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGVDIQLSSSICSMFSPGPYSSVDLCALGLIFTHLDFNMVSWECDEVKAIATPSRLIEERANLANIEDVGPGSKHLKRLPGTNIFEEIRKSLLEGRLREMKQRAASIAWWNSLRCRKKPIYSTTLRELLTVKHPIYDIHRQKVERLSSLCSSKLGDVVLSPIERFQKMTDLVESFMFAIPAARSTAPIFWCSQTRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEDRQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKTLQIKNMQREKNNNNGNEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYCKEGEVNLDENDCIEERAVTFTGNKDDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVRFQETEWELDRIEKYKDEMEAEIDDDEEPLVYERWDADFATEAYRQQVEALTQYQLMEEKEAEAEAEANEKESADGHLDAMVRCKVPRNPKSKSKKKPKKTKFKSLKKESLTSELKHMKVEASIETLSADDEDDDDDVIYPDDGTYSDTTSPYSSVQRKRKKAELAIDIDKKRSRKNSKKFKKAPETCSFDVDSDLSGKQHGRSMELKPYEVVSDLEQKPAGRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWSRDCVPPPDSWLPQEDAILCAVVHEYGPHWSLVSETLYGMAAGGFYRGRYRHPVHCCERFRELIHRYVLSSPEYPINNEKMSNMVSGKALLKVTEDNIRMLLNVAAEQPDHELLLQKHFTALLSAVWRVNSRAERQQNLSSSRNALYNHGRVFNSSVNQLPSNSSKESAKRMKFTNLGHSSKLLADALHDASSRRPDDRVSYSNLSEVAPAIGEQLEITLEFQKEEDDSLIQFPPIISLSIPSSAPLTSVNKDRAEAHHLRASTSIAENRFRDAARACVEGDLGWVSSSAPANDFKLRLPSKTQSLGKHKLSVSESTKPPRSKMKKTLIEHSQGHLFAEPVSQPLPVLSSRDPNLRFDLPPIAIQDDKDEYSISCIEKELSAEMGTWDAVAHDYVLGFTSGLDDFSSLPEFTDIG >Potri.003G022100.14.v4.1 pep chromosome:Pop_tri_v4:3:2337461:2352454:1 gene:Potri.003G022100.v4.1 transcript:Potri.003G022100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022100.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVAVNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKHLEFLLGQTERYSTMLAENLVEKPPEQYFAPEKPSIADRVGDDANTPLQVVDEAQVDTADNDDEYDVQSEDEVEDDEHTIEEDEALITKEERQEELEALHNEMDIPLEELLKRYAVEKGGRESSENGAKPCANGEEHCESKGEDISAACEMEISSSPVNAGRRCVSYNNLLEIGAYETRNQLSISEDPAREHVPYDFNDEQEDGDFDLAAEEEKDDETTLLEEEEMAKADSNNPIDEILLLQKESEIPLEELLARYTKEPNSEVSEDESEYAPVLSDNMSNSPGHEEELKQLDNSMDEMVEHGEHPLVEEQEKGNEEISEEGRESESKIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEQRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKCKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKVEHVIFCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGVDIQLSSSICSMFSPGPYSSVDLCALGLIFTHLDFNMVSWECDEVKAIATPSRLIEERANLANIEDVGPGSKHLKRLPGTNIFEEIRKSLLEGRLREMKQRAASIAWWNSLRCRKKPIYSTTLRELLTVKHPIYDIHRQKVERLSSLCSSKLGDVVLSPIERFQKMTDLVESFMFAIPAARSTAPIFWCSQTRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEDRQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKTLQIKNMQREKNNNNGNEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYCKEGEVNLDENDCIEERAVTFTGNKDDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVRFQETEWELDRIEKYKDEMEAEIDDDEEPLVYERWDADFATEAYRQQVEALTQYQLMEEKEAEAEAEANEKESADGHLDAMVCKVPRNPKSKSKKKPKKTKFKSLKKESLTSELKHMKVEASIETLSADDEDDDDDVIYPDDGTYSDTTSPYSSVQRKRKKAELAIDIDKKRSRKNSKKFKKAPETCSFDVDSDLSGKQHGRSMELKPYEVVSDLEQKPAGRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWSRDCVPPPDSWLPQEDAILCAVVHEYGPHWSLVSETLYGMAAGGFYRGRYRHPVHCCERFRELIHRYVLSSPEYPINNEKMSNMVSGKALLKVTEDNIRMLLNVAAEQPDHELLLQKHFTALLSAVWRVNSRAERQQNLSSSRNALYNHGRVFNSSVNQLPSNSSKESAKRMKFTNLGHSSKLLADALHDASSRRPDDRVSYSNLSEVAPAIGEQLEITLEFQKEEDDSLIQFPPIISLSIPSSAPLTSVNKDRAEAHHLRASTSIAENRFRDAARACVEGDLGWVSSSAPANDFKLRLPSKTQSLGKHKLSVSESTKPPRSKMKKTLIEHSQGHLFAEPVSQPLPVLSSRDPNLRFDLPPIAIQDDKDEYSISCIEKELSAEMGTWDAVAHDYVLGFTSGLDDFSSLPEFTDIG >Potri.003G022100.9.v4.1 pep chromosome:Pop_tri_v4:3:2337402:2352454:1 gene:Potri.003G022100.v4.1 transcript:Potri.003G022100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022100.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVAVNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKHLEFLLGQTERYSTMLAENLVEKPPEQYFAPEKPSIADRVGDDANTPLQVVDAHCLEAQVDTADNDDEYDVQSEDEVEDDEHTIEEDEALITKEERQEELEALHNEMDIPLEELLKRYAVEKGGRESSENGAKPCANGEEHCESKGEDISAACEMEISSSPVNAGRRCGENNGALPIPDNNLLEIGAYETRNQLSISEDPAREHVPYDFNDEQEDGDFDLAAEEEKDDETTLLEEEEMAKADSNNPIDEILLLQKESEIPLEELLARYTKEPNSEVSEDESEYAPVLSDNMSNSPGHEEELKQLDNSMDEMVEHGEHPLVEEQEKGNEEISEEGRESESKIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEQRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKCKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKVEHVIFCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGVDIQLSSSICSMFSPGPYSSVDLCALGLIFTHLDFNMVSWECDEVKAIATPSRLIEERANLANIEDVGPGSKHLKRLPGTNIFEEIRKSLLEGRLREMKQRAASIAWWNSLRCRKKPIYSTTLRELLTVKHPIYDIHRQKVERLSSLCSSKLGDVVLSPIERFQKMTDLVESFMFAIPAARSTAPIFWCSQTRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEDRQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKTLQIKNMQREKNNNNGNEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYCKEGEVNLDENDCIEERAVTFTGNKDDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVRFQETEWELDRIEKYKDEMEAEIDDDEEPLVYERWDADFATEAYRQQVEALTQYQLMEEKEAEAEAEANEKESADGHLDAMVCKVPRNPKSKSKKKPKKTKFKSLKKESLTSELKHMKVEASIETLSADDEDDDDDVIYPDDGTYSDTTSPYSSVQRKRKKAELAIDIDKKRSRKNSKKFKKAPETCSFDVDSDLSGKQHGRSMELKPYEVVSDLEQKPAGRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWSRDCVPPPDSWLPQEDAILCAVVHEYGPHWSLVSETLYGMAAGGFYRGRYRHPVHCCERFRELIHRYVLSSPEYPINNEKMSNMVSGKALLKVTEDNIRMLLNVAAEQPDHELLLQKHFTALLSAVWRVNSRAERQQNLSSSRNALYNHGRVFNSSVNQLPSNSSKESAKRMKFTNLGHSSKLLADALHDASSRRPDDRVSYSNLSEVAPAIGEQLEITLEFQKEEDDSLIQFPPIISLSIPSSAPLTSVNKDRAEAHHLRASTSIAENRFRDAARACVEGDLGWVSSSAPANDFKLRLPSKTQSLGKHKLSVSESTKPPRSKMKKTLIEHSQGHLFAEPVSQPLPVLSSRDPNLRFDLPPIAIQDDKDEYSISCIEKELSAEMGTWDAVAHDYVLGFTSGLDDFSSLPEFTDIG >Potri.003G022100.11.v4.1 pep chromosome:Pop_tri_v4:3:2337380:2352454:1 gene:Potri.003G022100.v4.1 transcript:Potri.003G022100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022100.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVAVNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKHLEFLLGQTERYSTMLAENLVEKPPEQYFAPEKPSIADRVGDDANTPLQVVDEAQVDTADNDDEYDVQSEDEVEDDEHTIEEDEALITKEERQEELEALHNEMDIPLEELLKRYAVEKGGRESSENGAKPCANGEEHCESKGEDISAACEMEISSSPVNAGRRCGENNGALPIPDNNLLEIGAYETRNQLSISEDPAREHVPYDFNDEQEDGDFDLAAEEEKDDETTLLEEEEMAKADSNNPIDEILLLQKESEIPLEELLARYTKEPNSEVSEDESEYAPVLSDNMSNSPGHEEELKQLDNSMDEMVEHGEHPLVEEQEKGNEEISEEGRESESKIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEQRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFLKWCPAFKILTYFGSAKERKCKRQGWLKPNFFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKVEHVIFCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGVDIQLSSSICSMFSPGPYSSVDLCALGLIFTHLDFNMVSWECDEVKAIATPSRLIEERANLANIEDVGPGSKHLKRLPGTNIFEEIRKSLLEGRLREMKQRAASIAWWNSLRCRKKPIYSTTLRELLTVKHPIYDIHRQKVERLSSLCSSKLGDVVLSPIERFQKMTDLVESFMFAIPAARSTAPIFWCSQTRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEAFINLYGYTYMRLDGSTQPEDRQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLDPMELFSGHKTLQIKNMQREKNNNNGNEVSLSNADVEAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYCKEGEVNLDENDCIEERAVTFTGNKDDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVRFQETEWELDRIEKYKDEMEAEIDDDEEPLVYERWDADFATEAYRQQVEALTQYQLMEEKEAEAEAEANEKESADGHLDAMVCKVPRNPKSKSKKKPKKTKFKSLKKESLTSELKHMKVEASIETLSADDEDDDDDVIYPDDGTYSDTTSPYSSVQRKRKKAELAIDIDKKRSRKNSKKFKKAPETCSFDVDSDLSGKQHGRSMELKPYEVVSDLEQKPAGRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWSRDCVPPPDSWLPQEDAILCAVVHEYGPHWSLVSETLYGMAAGGFYRGRYRHPVHCCERFRELIHRYVLSSPEYPINNEKMSNMVSGKALLKVTEDNIRMLLNVAAEQPDHELLLQKHFTALLSAVWRVNSRAERQQNLSSSRNALYNHGRVFNSSVNQLPSNSSKESAKRMKFTNLGHSSKLLADALHDASSRRPDDRVSYSNLSEVAPAIGEQLEITLEFQKEEDDSLIQFPPIISLSIPSSAPLTSVNKDRAEAHHLRASTSIAENRFRDAARACVEGDLGWVSSSAPANDFKLRLPSKTQSLGKHKLSVSESTKPPRSKMKKTLIEHSQGHLFAEPVSQPLPVLSSRDPNLRFDLPPIAIQDDKDEYSISCIEKELSAEMGTWDAVAHDYVLGFTSGLDDFSSLPEFTDIG >Potri.T170700.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:92728:93253:1 gene:Potri.T170700.v4.1 transcript:Potri.T170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T170700.v4.1 MNSGKGAASSKTETEDEGINGTGIAAAIGAVAGAALLAWGIGSALFSSPEPDAGKTMKAPGRDHRMPRADFERDPKGYFKDLRK >Potri.016G036400.3.v4.1 pep chromosome:Pop_tri_v4:16:2164397:2166050:-1 gene:Potri.016G036400.v4.1 transcript:Potri.016G036400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036400.v4.1 MPHRTRPMTALLVFSGINVVLVSTITPVYDFVCFLPYWERRRERCRQERQAALTKDSK >Potri.011G154200.1.v4.1 pep chromosome:Pop_tri_v4:11:18127482:18132743:-1 gene:Potri.011G154200.v4.1 transcript:Potri.011G154200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154200.v4.1 MPLTDHMTQKMEKERNKNSCRNTKATSPSQSNLQLLVLLLVCGVLLTSGKDVKEEVVGYGYKIGSVNSGLAGKLLTADLSLIKRSSVYGNDIQHLNLIAEFETKNRLRVRITDSKDQRWEIPQHIVPRQNHSPKNYLHYSPLNHRLLLDNNLLSDPNSDLLFTLHNTIPFGFSVTRKSSGDVLFDTSTDMSNPDTFLVFKDQYIQLSSRLPIKRSSLYGLGEHTKSTFKLKPDDTFTLWNADLASANIDVNLYGSHPFYIDVRSASADGKVQAGTTHGVLLFNSNGMDIVYGGDRITYKVIGGIIDLYFFAGPSPDMVIEQYTELIGRPAPMPYWSFGFHQCRYGYKNISDVEGVVAGYAKARIPLEVMWTDIDYMDAYKDFTFHPVNFPLEKMKKFVNTLHQNGQKYVVILDPGISVNSTYETYIRGMQADIFIKRNGIPYMGEVWPGKVYFPDFINPAGREFWGNEIKIFRELLPVDGLWIDMNEISNFIDPTPTPFSTVDDPPYRINNAGIRRPINNKTVPATSLHFDVMKEYNVHNLYGLLESKATNVGLINSTGKRPFVLSRSTFIGSGRYTAHWTGDNAATWDDLAYTIPSILNFGLFGIPMVGADICGFSGNTNEELCRRWIQLGSFYPFARDHSSIDTTRQELYLWDSVAASARKVLGLRYQLLPYFYTLMYEAHIKGTPIARPLFFSFPQDIKTYEVNSQFLIGKGVMVSPVLKSGATSVDAYFPAGNWFDLFNYSNTVSVSPGKYIKLAAPADHINVHVHEGNILALQGEAMTTEEARKTAFHLLVVLSSSGNSTGELFLDDGESVEMGGERKSWSLVKFHSEIVGDMAMVRSNIINGEFAFSQKWMVSKVTFIGLKKTNGIKWYELQTSKETRSGNRRIRASLNNNGDFDVLVMSGLSLFLGEEFKLNVKL >Potri.004G058451.2.v4.1 pep chromosome:Pop_tri_v4:4:4885952:4886703:-1 gene:Potri.004G058451.v4.1 transcript:Potri.004G058451.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058451.v4.1 MRANQERRRLFLMAFATQSAVWLALLIIRLTETEGMLDNRCFISAIIGGISPPGTGLMAVTTVAASDSTVITWNVRVGVETQWKWKHQRKQLSHSELISIASFRRFHKLIINLLLRKLIL >Potri.004G058451.1.v4.1 pep chromosome:Pop_tri_v4:4:4885952:4887698:-1 gene:Potri.004G058451.v4.1 transcript:Potri.004G058451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058451.v4.1 MRANQERRRLFLMAFATQSAVWLALLIIRLTETEGMLDNRCFISAIIGGISPPGTGLMAVTTVAASDSTVITWNVRVGVETQWKWKHQRKQLSHSELISIASFRRFHKLIINLLLRKLIL >Potri.002G254700.1.v4.1 pep chromosome:Pop_tri_v4:2:24374112:24381259:1 gene:Potri.002G254700.v4.1 transcript:Potri.002G254700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254700.v4.1 MNLNCATKEMTGLSFPLDRNPLDSPASTNPLQSGRNAFCLLVQREICPRTKHTPKRRWGEDAHWNSNSSSSPKTEQARDAKRGLISWVEAESLRHLSAKYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGNCLKVLMGHRRTPWVVRFHPLHPEILASGSLDYEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNKRGEASSPTIVLRTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTRATSPGYPRYPPPAVFVANVESSTSTQPQMDTNAADRYDPLVSPMETFPAVPSSSYTSAEGIVSNAFPSGMGNSVSNSREDAMETDEMQSVGGNPQGNSVNLETFGVGNSATDGVPAHTSVRQQSTDFGQLQQFLPSRDSTRWELPPFLQGWLMGQSQAGVPSTLPLNSGGHELSAQYFGPSSLASYLSTQNVEAAVASLAMPGSTSLSGVSGRSGSRHRVSRSRFSVPESGESVAPINMQHEGTDNQPLFNRIQSEIATSLAAAAELPCTVKLRVWSHDIEHPCAPLNSDKCCLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPHMEADPGLQTLVHQDTGTATSPTRHPISAHQVMYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHVSLLKSIVIDGETKSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLKYDGAHGVCCTGPSYFPEENMAEVQTYALEG >Potri.002G254700.14.v4.1 pep chromosome:Pop_tri_v4:2:24374033:24381297:1 gene:Potri.002G254700.v4.1 transcript:Potri.002G254700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254700.v4.1 MNLNCATKEMTGLSFPLDRNPLDSPASTNPLQSGRNAFCLLVQREICPRTKHTPKRRWGEDAHWNSNSSSSPKTEQARDAKRGLISWVEAESLRHLSAKYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGNCLKVLMGHRRTPWVVRFHPLHPEILASGSLDYEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNKRGEASSPTIVLRTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTRATSPGYPRYPPPAVFVANGQSNDRVSLASELPLVSFPLLFVPSFSIDDTRVDVNRHVNSCTMLVESSTSTQPQMDTNAADRYDPLVSPMETFPAVPSSSYTSAEGIVSNAFPSGMGNSVSNSREDAMETDEMQSVGGNPQGNSVNLETFGVGNSATDGVPAHTSVRQQSTDFGQLQQFLPSRDSTRWELPPFLQGWLMGQSQAGVPSTLPLNSGGHELSAQYFGPSSLASYLSTQNVEAAVASLAMPGSTSLSGVSGRSGSRHRVSRSRFSVPESGESVAPINMQHEGTDNQPLFNRIQSEIATSLAAAAELPCTVKLRVWSHDIEHPCAPLNSDKCCLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPHMEADPGLQTLVHQDTGTATSPTRHPISAHQVMYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHVSLLKSIVIDGETKSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLKYDGAHGVCCTGPSYFPEENMAEVQTYALEG >Potri.002G254700.20.v4.1 pep chromosome:Pop_tri_v4:2:24373869:24381206:1 gene:Potri.002G254700.v4.1 transcript:Potri.002G254700.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254700.v4.1 MNLNCATKEMTGLSFPLDRNPLDSPASTNPLQSGRNAFCLLVQREICPRTKHTPKRRWGEDAHWNSNSSSSPKTEQARDAKRGLISWVEAESLRHLSAKYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGNCLKVLMGHRRTPWVVRFHPLHPEILASGSLDYEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNKRGEASSPTIVLRTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTRATSPGYPRYPPPAVFVANGQSNDRVSLASELPLVSFPLLFVPSFSIDDTRVDVNRHVNSCTMLVESSTSTQPQMDTNAADRYDPLVSPMETFPAVPSSSYTSAEGIVSNAFPSGMGNSVSNSREDAMETDEMQSVGGNPQGNSVNLETFGVGNSATDGVPAHTSVRQQSTDFGQLQQFLPSRDSTRWELPPFLQGWLMGQSQAGVPSTLPLNSGGHELSAQYFGPSSLASYLSTQNVEAAVASLAMPGSTSLSGVSGRSGSRHRVSRSRFSVPESGESVAPINMQHEGTDNQPLFNRIQSEIATSLAAAAELPCTVKLRVWSHDIEHPCAPLNSDKCCLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPHMEADPGLQTLVHQDTGTATSPTRHPISAHQVMYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHVSLLKSIVIDGETKSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLKYDGAHGVCCTGPSYFPEENMAEVE >Potri.002G254700.19.v4.1 pep chromosome:Pop_tri_v4:2:24374037:24381135:1 gene:Potri.002G254700.v4.1 transcript:Potri.002G254700.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254700.v4.1 MNLNCATKEMTGLSFPLDRNPLDSPASTNPLQSGRNAFCLLVQREICPRTKHTPKRRWGEDAHWNSNSSSSPKTEQARDAKRGLISWVEAESLRHLSAKYCPLVPPPRSTIAAAFSPDGKTLASTHGDHTVKIIDCQTGNCLKVLMGHRRTPWVVRFHPLHPEILASGSLDYEVRLWDANTSECIGSRDFYRPIASIAFHAEGELLAVASGHKLYIWHYNKRGEASSPTIVLRTRRSLRAVHFHPHGAPFLLTAEVNDLDSSDSSMTRATSPGYPRYPPPAVFVANGQSNDRVSLASELPLVSFPLLFVPSFSIDDTRVDVNRHVNSCTMLVESSTSTQPQMDTNAADRYDPLVSPMETFPAVPSSSYTSAEGIVSNAFPSGMGNSVSNSREDAMETDEMQSVGGNPQGNSVNLETFGVGNSATDGVPAHTSVRQQSTDFGQLQQFLPSRDSTRWELPPFLQGWLMGQSQAGVPSTLPLNSGGHELSAQYFGPSSLASYLSTQNVEAAVASLAMPGSTSLSGVSGRSGSRHRVSRSRFSVPESGESVAPINMQHEGTDNQPLFNRIQSEIATSLAAAAELPCTVKLRVWSHDIEHPCAPLNSDKCCLTIPHAVLCSEMGAHFSPCGRYLAACVACMLPHMEADPGLQTLVHQDTGTATSPTRHPISAHQVMYELRIYSLEEATFGSVLVSRAIRAAHCLTSIQFSPTSEHILLAYGRRHVSLLKSIVIDGETKSPIYTVLEVYRVSDMELVRVLPSAEDEVNVACFHPFAGGGLVYGTKEGKLRVLKYDGAHGVCCTGPSYFPEENMAEVQTYALEG >Potri.002G247900.1.v4.1 pep chromosome:Pop_tri_v4:2:23821481:23824487:-1 gene:Potri.002G247900.v4.1 transcript:Potri.002G247900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247900.v4.1 MMGLGFVPIFSLALLVSISSAEISNKVGINYGQLGNNLPSPSESVELLKSLKAKRVKIYDANPDILKSLKDTDIQVSIMIPNELIQNISKSQSLSDHWVKTNVVPYYSDVKIRYLLVGNEILTNPDTGTWFNLVPAMRRIKASLKTHKITKIKVGTPSALNVLESSFPPSNGTFRSDISGPIIKPMLRFLDRTKSFFFIDVYPYFAWADNHQNINLDYALFKAKNVTYTDPGTNLTYTNLLDQMLDAVAFAMKRLGYPDVRIFIAETGWPNDGDIDQIGANIYNSATFNRNVIKKLTTKPAIGTPARPGWVIPSIIFALYNENQKPGPGTERHFGLLYPNGTKIYEIDLSGDTPLSEYTKPLPAPTNNEPYKGKIWCMVAKGVNETAVGDALSYACSQGNKTCDAIQTGKECYKPDSLFWHASYAFSSYWAQFKKSGGTCSFNGLATMTPKDPSFGHCKFPGTTL >Potri.002G247900.3.v4.1 pep chromosome:Pop_tri_v4:2:23821570:23824336:-1 gene:Potri.002G247900.v4.1 transcript:Potri.002G247900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247900.v4.1 MIPNELIQNISKSQSLSDHWVKTNVVPYYSDVKIRYLLVGNEILTNPDTGTWFNLVPAMRRIKASLKTHKITKIKVGTPSALNVLESSFPPSNGTFRSDISGPIIKPMLRFLDRTKSFFFIDVYPYFAWADNHQNINLDYALFKAKNVTYTDPGTNLTYTNLLDQMLDAVAFAMKRLGYPDVRIFIAETGWPNDGDIDQIGANIYNSATFNRNVIKKLTTKPAIGTPARPGWVIPSIIFALYNENQKPGPGTERHFGLLYPNGTKIYEIDLSGDTPLSEYTKPLPAPTNNEPYKGKIWCMVAKGVNETAVGDALSYACSQGNKTCDAIQTGKECYKPDSLFWHASYAFSSYWAQFKKSGGTCSFNGLATMTPKDPSFGHCKFPGTTL >Potri.010G043200.7.v4.1 pep chromosome:Pop_tri_v4:10:7493525:7499842:1 gene:Potri.010G043200.v4.1 transcript:Potri.010G043200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043200.v4.1 MESRRSFRLCRVVFLVLALMEISTATLSPAGINYEVVALVAIKTALRDPYNVLDNWDINSVDPCSWRMVTCTPDGYVLALGLPSQSLSGTLSPSIGNLTNLQSVLLQNNAISGPIPAAIGKLEKLLTLDLSNNTFSGEMPTSLGNLKNLNYLRLNNNSLTGPCPESLSKLNGLTLVDLSFNNLSGSLPKISARTFKVTGNPLICGPKASDNCSAVFPEPLSLPPNGQSDSRTNSHRVAIAFGASFGAAFSIIIIIGLLVWWRCRHNQQIFFDVNEQYDPEVCLGHLRRYTFKELRSATDHFSSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYDIAGGEIQFQTEVETISLAIHRNLLRLSGFCTTENERLLVYPYMPNGSVASQLRDHIHGRAALDWARRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQKALDFGRAANQKGVMLDWVKKLHHERKLNLMVDKDLRGNFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQRVETPRFRSCENPPQRYSDYIEESSLVVEAMELSGPR >Potri.010G043200.2.v4.1 pep chromosome:Pop_tri_v4:10:7493731:7500080:1 gene:Potri.010G043200.v4.1 transcript:Potri.010G043200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043200.v4.1 MESRRSFRLCRVVFLVLALMEISTATLSPAGINYEVVALVAIKTALRDPYNVLDNWDINSVDPCSWRMVTCTPDGYVLALGLPSQSLSGTLSPSIGNLTNLQSVLLQNNAISGPIPAAIGKLEKLLTLDLSNNTFSGEMPTSLGNLKNLNYLRLNNNSLTGPCPESLSKLNGLTLVDLSFNNLSGSLPKISARTFKVTGNPLICGPKASDNCSAVFPEPLSLPPNGQSDSRTNSHRVAIAFGASFGAAFSIIIIIGLLVWWRCRHNQQIFFDVNEQYDPEVCLGHLRRYTFKELRSATDHFSSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYDIAGGEIQFQTEVETISLAIHRNLLRLSGFCTTENERLLVYPYMPNGSVASQLRDHIHGRAALDWARRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQKALDFGRAANQKGVMLDWVKKLHHERKLNLMVDKDLRGNFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQRVETPRFRSCENPPQRYSDYIEESSLVVEAMELSGPR >Potri.010G043200.8.v4.1 pep chromosome:Pop_tri_v4:10:7493418:7499759:1 gene:Potri.010G043200.v4.1 transcript:Potri.010G043200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043200.v4.1 MESRRSFRLCRVVFLVLALMEISTATLSPAGINYEVVALVAIKTALRDPYNVLDNWDINSVDPCSWRMVTCTPDGYVLALGLPSQSLSGTLSPSIGNLTNLQSVLLQNNAISGPIPAAIGKLEKLLTLDLSNNTFSGEMPTSLGNLKNLNYLRLNNNSLTGPCPESLSKLNGLTLVDLSFNNLSGSLPKISARTFKVTGNPLICGPKASDNCSAVFPEPLSLPPNGQSDSRTNSHRVAIAFGASFGAAFSIIIIIGLLVWWRCRHNQQIFFDVNEQYDPEVCLGHLRRYTFKELRSATDHFSSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYDIAGGEIQFQTEVETISLAIHRNLLRLSGFCTTENERLLVYPYMPNGSVASQLRDHIHGRAALDWARRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQKALDFGRAANQKGVMLDWVKKLHHERKLNLMVDKDLRGNFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQRVETPRFRSCENPPQRYSDYIEESSLVVEAMELSGPR >Potri.010G043200.6.v4.1 pep chromosome:Pop_tri_v4:10:7493555:7500140:1 gene:Potri.010G043200.v4.1 transcript:Potri.010G043200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043200.v4.1 MESRRSFRLCRVVFLVLALMEISTATLSPAGINYEVVALVAIKTALRDPYNVLDNWDINSVDPCSWRMVTCTPDGYVLALGLPSQSLSGTLSPSIGNLTNLQSVLLQNNAISGPIPAAIGKLEKLLTLDLSNNTFSGEMPTSLGNLKNLNYLRLNNNSLTGPCPESLSKLNGLTLVDLSFNNLSGSLPKISARTFKVTGNPLICGPKASDNCSAVFPEPLSLPPNGQSDSRTNSHRVAIAFGASFGAAFSIIIIIGLLVWWRCRHNQQIFFDVNEQYDPEVCLGHLRRYTFKELRSATDHFSSKNILGRGGFGIVYKGCLNDGTLVAVKRLKDYDIAGGEIQFQTEVETISLAIHRNLLRLSGFCTTENERLLVYPYMPNGSVASQLRDHIHGRAALDWARRKRIALGTARGLLYLHEQCDPKIIHRDVKAANILLDEDFEAVVGDFGLAKLLDHRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELVTGQKALDFGRAANQKGVMLDWVKKLHHERKLNLMVDKDLRGNFDRIELEEMVQVALLCTQFNPSHRPKMSEVLKMLEGDGLAEKWEASQRVETPRFRSCENPPQRYSDYIEESSLVVEAMELSGPR >Potri.011G075051.1.v4.1 pep chromosome:Pop_tri_v4:11:7873389:7875598:-1 gene:Potri.011G075051.v4.1 transcript:Potri.011G075051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075051.v4.1 MIWHVQNENFILDSTRIFMKAFHLLLFDGSFIFPEYMPWLYFISSTSLVMSIMALLFRWREEPMISFSGNFQTNNFNEIFQFLILLCSTLCIPLSVEYIECTEMAITEFLLFVLTATLGGMFLCGANDLITIFVAPECFSLCSYLLSGYTKKDVRSNEATTKYLLMGGASSSILVHGFSWLYGSSGGEIELQEIVNGLINTQMYNSPGISFALIFITVGIGFKLSPAPSHQWTLDVYEGVRFVRKIPTSLSISEMLGFFKTPWTCRREMLSPLGPRHNFYFWFSSFRDYKCNRSIRRQKDHPKMIISWLLRTNQIRWFYFSIFLTCSYGTKRSKRLRKIGHSQPLMKDFSKIIAFLSVTLKVAASASATRIFDIPFYFSSNEWHLLLEILAILSMIVGNLIAITQTSMKRMLAYSSIGQIGYVIIGIIVGDSNGGYASMITYMLFYISMNLGTFACIVLFALCLLSLGGLPPLVGFFEKLHLFWCGWQASLYFLVSIGLLTSVLSIYYYLKIIKLLMTGQNQEITPHVRNYRGSPLRSNNSIELSMIVCVIASTIPGISMSPIIEIAQDTFF >Potri.009G103800.1.v4.1 pep chromosome:Pop_tri_v4:9:9141501:9142998:1 gene:Potri.009G103800.v4.1 transcript:Potri.009G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103800.v4.1 MDSSSNEIIHQWGSYIRVYKDGRVERFFGTDKVPSSINSTDGVSTKDVLIAPEIDVSARIFIPTSTINSGHKLPLLIYFHGGGFRVGSPFCATYHNYLTSVVTAASVVAVSIDYRLAPEYLVPTCHEDSWVALKWVASHSNGEGPEEWIRDYANFGQVFLAGDSGGANIAHDLAAQAGIENLNGVKLTGLCLVHPYFGSKDSVDESWIFVSPTTSGLDDFRYNPAADSRMASLGCTRVLICLAEKDALRQRGLFYYETLRKSGWGGEVEIVETEGEGHVFHLFNPNCDTAEALLKKLASFINHG >Potri.006G262700.1.v4.1 pep chromosome:Pop_tri_v4:6:25867762:25875152:1 gene:Potri.006G262700.v4.1 transcript:Potri.006G262700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262700.v4.1 MASKRLTKSALTTIKSTSKLSRLSHSPHSSLSRTRTISSSSSLLGTAFSRPLNDNKNVVFANFSTITSTRFFHSSSPRFSSATASSSQLDPSQFTEMAWEGVVGAVETAQANKQQVVETEHLMKSLLEQKDGLARRIFAKIGVDNSSALQITIDFISHQPKVTGGTSGPVMGSNLSSLLDNARKNKKDMGDDFVSVEHIVLAFHLDKRFGQQFLRNLGVSEKDLRDAVTAVRGNQRVTDQNPEGKYQALDKYGSDLTELARRGKLDPVIGRDDEIRRCIQILSRRTKNNPVIIGEPGVGKTAIAEGLAQRIVRGDVPEPLLNRKLISLDMGALVAGAKYCGEFEERLKAVLKEVTASNGQIILFIDEIHTVVGAGATSGAMDAGNLLKPMLGRGELRCIGATTLNEYRKYIEKDPALARRFQQVFCDQPTVEDTISILRGLRERYELHHGVKISDSALVAAAVLADRYITERFLPDKAIDLVDEAAAKLKMEITSKPTELDEIDRAVLKLEMEKLSLKNDTDKASKERLSKLEHDLEELKQKQKELTELWDREKDLMNRIRSLKEEIDRVNQEMEAAEREYDLNRAAELRYGTLMSLQRQLEEADKNLSEFRKSGKSLLREEVTDFDIAEIVSKWTGIPVSNLQQSEKEKLVLLEEVLHRRVVGQDIAVRSVADAIRRSRAGLSDPNRPIASFMFMGPTGVGKTELAKALASFLFNTENALVRIDMSEYMEKHAVSRLVGAPPGYVGYEEGGQLTEVVRRRPYSVVLFDEIEKAHHDVFNILLQLLDDGRITDSQGRTVSFTNAVVIMTSNIGSHLILETLSNTHDTKEVVYDIMKKQVVDLARQHFRPEFMNRIDEYIVFKPLDSKEINRIVEIQMNRLKERLKQKKIDLHHTKEATDLLGKLGFDPNFGARPVKRVIQQLVENEIAMGVLKGDFKEEDSIIVDADVASDLPPQNRLHIRKIESSSLREAMIA >Potri.002G243600.8.v4.1 pep chromosome:Pop_tri_v4:2:23546188:23549781:-1 gene:Potri.002G243600.v4.1 transcript:Potri.002G243600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243600.v4.1 MASLSPSTNLFQQSFNWKLQTKSKVTPSCASRPETKSNFASSSANNNVAIGRSERGEMTRREIEWEKLKKKEEKERKEEVNRKIASQKAISVILRREATKAVIEKKRGPTNSKKLLPQTVLEALHERITALRWASALEVFELLREQLWYRPYAGMYVKLIVMLGKCKQPDKAHQLFQAMIDEGCAVTHESYTALLSAYGRSGLFDKAFSIMEEMKNTPDCRPDVHTYSILIKSCLQVFAFDKVQVLLSDMESLGIRPNTVTYNTLIDAYGKAKMFAEMEATLMEMLSQQDCEPDVWTMNSTIRAFGGSGQMEMMENCYEKFQSAGIEPNIKTFNILLDSYGKAGNYQKMSAVMEYMQRYHYSWTIVTYNVVIDAFGRAGDLKQMEYLFRLMRSERIKPSCVTLCSLVRAYREAGKPEKIGSVLRFIENSDVTLDTVFFNCLVDAYGRLECFAEMKEVLELMEEKGCKPDKVTYRTMIKAYSIKGMTSHAKKLRNLLGSVEVTRSPKKKPDF >Potri.006G133000.7.v4.1 pep chromosome:Pop_tri_v4:6:10900767:10905210:1 gene:Potri.006G133000.v4.1 transcript:Potri.006G133000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133000.v4.1 MIMNANSSSSTSTSTAGAGAETGANPAGPSQSMATPTSSSTPPATGTGTGTTAAASSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTSAHVPPPRPKRKASHPYPQKASKNVLVPLPASMAYASSMNTFAPGYALWDETSVLINSATSKIMPSQDELPNLHGAEADIGPKCVSSSNNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDPDTKGHVEKLQEMDPINFETVLLLMRNLTVNLSSPDFEPYRKVMSSYDVNTKTVGVSALKQTNDIAC >Potri.006G133000.2.v4.1 pep chromosome:Pop_tri_v4:6:10900747:10905240:1 gene:Potri.006G133000.v4.1 transcript:Potri.006G133000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133000.v4.1 MIMNANSSSSTSTSTAGAGAETGANPAGPSQSMATPTSSSTPPATGTGTGTTAAASSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTSAHVPPPRPKRKASHPYPQKASKNVLVPLPASMAYASSMNTFAPGYALWDETSVLINSATSKIMPSQDELPNLHGAEADIGPKCVSSSNNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDPDTKGHVEKLQEMDPINFETVLLLMRNLTVNLSSPDFEPY >Potri.006G133000.8.v4.1 pep chromosome:Pop_tri_v4:6:10900745:10905144:1 gene:Potri.006G133000.v4.1 transcript:Potri.006G133000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133000.v4.1 MIMNANSSSSTSTSTAGAGAETGANPAGPSQSMATPTSSSTPPATGTGTGTTAAASSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTSAHVPPPRPKRKASHPYPQKASKNVLVPLPASMAYASSMNTFAPGYALWDETSVLINSATSKIMPSQDELPNLHGAEADIGPKCVSSSNNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDPDTKGHVEKLQEMDPINFETVLLLMRNLTVNLSSPDFEPYVSVNVL >Potri.002G051600.1.v4.1 pep chromosome:Pop_tri_v4:2:3473571:3475009:1 gene:Potri.002G051600.v4.1 transcript:Potri.002G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051600.v4.1 MRDFPSCFGESGVQVADSSSSSTTKAAQNLVTCVYQCKLRGRSCLITVTWTKNLMGQGVGVAIDDSNSQCLCKVDIKPWLFSKRKGYKNLEVDSDKVIINWDLSNAKFGSGPEPLEGFYLAITFNLEMVLLLGDMKKEAYKKIESSPVHSKAIFIARREHIFGKKFYSAKAQFSDKGQMHDVTIECDTFDLKDPCLVIRIDSKMVMQVKRLKWKFRGNYTILVDELPVEVFWDVHNWLFGNAMGNAVFMFQTCLSSEKLWTNQSIFDPSVLTWSSSQKLRDCQLQGLGFSLILYAWKNE >Potri.004G166300.2.v4.1 pep chromosome:Pop_tri_v4:4:18515947:18516324:1 gene:Potri.004G166300.v4.1 transcript:Potri.004G166300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166300.v4.1 MGVLSFPSVIHNARKILRHQSLPSRNHSDVPRGHIAVYVGEFQKKRFEVPISYINHPSFLALLNQAEDEFGFSHPMGGLTIPCKEDAFIDLTSRFHDSSKKSKLVANLQSIQLTLLKKIKVLVPT >Potri.003G106800.3.v4.1 pep chromosome:Pop_tri_v4:3:12976771:12980756:1 gene:Potri.003G106800.v4.1 transcript:Potri.003G106800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106800.v4.1 MSFSTLRALVSDQNKEFSDYSLFSMLNNEDPAEHIKVSSFYEVDHSKLPHKSPDQLNKTRVVMVNEKTRMRVSLRFPSINSLRCYFNEIEAINYKKDMKTKKQQLPAFDEKYIIGSEVAGEALYRRISSQEMADKSYSWSFWMVKHPSVSPRKVSYPPTSTHVNKFVGARKVSLMSELNGTGMVKWGQRRQVRFLAKHVEDKREIVIASKDLIKSEEEKDSDGSDDDTDDEDEEEVDVKLVVNKSSEAKRKLRKRKCQGGSGISKLSPKKKRRKIEKKNQIVVYRQKKNKLIKNSIDRWSAGRYKLAEENMLKVMKEQNAVFRRPILRPELRAEARKLIGDTGLLDHLLKHMSGKVAPGGEERFRRRHNADGAMEYWLEKADLVDIRKEAGVQDPYWTPPPGWKPGDNPSQDPVCAREIKELREEIAKIKGEMEAMVSKKHGEELAMVAAPNYSPTSQDMEHDNFLIPLKEMYIDLVNKKVKMEEQLKEISESLYGMKEEMEKLKTRVEKSNRAESTEKPALLMGSTESITPAGTGRKGKGVMHQEKEATVLGESAQEQCKSSSGGIIAPRTESPAPTEDRAAKIERLKSGFRICKPQGSFLWPDMTTLTPHPQVVVLLEDLIAVQTPPSVSSTTPKQSHFLFAPPSQTHTPHRTFPVKPLAERRPVTIPQSTAATTPTSCPPLDQMTHSQYENSSISTSTTITTTTKTPLINLNEPLNTNQTDDYGLFYGSQSHAEASPHPVTYQRRHHQNVTTSIAMPSLGPTKKGMMSQWEEGDRRTGMIRYCEQCEQQQGCSSASSIASSSLPMGKGTWLALATSKASVEHKSKRG >Potri.003G106800.2.v4.1 pep chromosome:Pop_tri_v4:3:12976908:12980758:1 gene:Potri.003G106800.v4.1 transcript:Potri.003G106800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106800.v4.1 MRVSLRFPSINSLRCYFNEIEAINYKKDMKTKKQQLPAFDEKYIIGSEVAGEALYRRISSQEMADKSYSWSFWMVKHPSVSPRKVSYPPTSTHVNKFVGARKVSLMSELNGTGMVKWGQRRQVRFLAKHVEDKREIVIASKDLIKSEEEKDSDGSDDDTDDEDEEEVDVKLVVNKSSEAKRKLRKRKCQGGSGISKLSPKKKRRKIEKKNQIVVYRQKKNKLIKNSIDRWSAGRYKLAEENMLKVMKEQNAVFRRPILRPELRAEARKLIGDTGLLDHLLKHMSGKVAPGGEERFRRRHNADGAMEYWLEKADLVDIRKEAGVQDPYWTPPPGWKPGDNPSQDPVCAREIKELREEIAKIKGEMEAMVSKKHGEELAMVAAPNYSPTSQDMEHDNFLIPLKEMYIDLVNKKVKMEEQLKEISESLYGMKEEMEKLKTRVEKSNRAESTEKPALLMGSTESITPAGTGRKGKGVMHQEKEATVLGESAQEQCKSSSGGIIAPRTESPAPTEDRAAKIERLKSGFRICKPQGSFLWPDMTTLTPHPQVVVLLEDLIAVQTPPSVSSTTPKQSHFLFAPPSQTHTPHRTFPVKPLAERRPVTIPQSTAATTPTSCPPLDQMTHSQYENSSISTSTTITTTTKTPLINLNEPLNTNQTDDYGLFYGSQSHAEASPHPVTYQRRHHQNVTTSIAMPSLGPTKKGMMSQWEEGDRRTGMIRYCEQCEQQQGCSSASSIASSSLPMGKGTWLALATSKASVEHKSKRG >Potri.003G106800.1.v4.1 pep chromosome:Pop_tri_v4:3:12975947:12980784:1 gene:Potri.003G106800.v4.1 transcript:Potri.003G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106800.v4.1 MTTMELVDVAVIDHPSEIKRRQNSEDADRRLFLGGHCLHHPTFTTAPPFDPAEHIKVSSFYEVDHSKLPHKSPDQLNKTRVVMVNEKTRMRVSLRFPSINSLRCYFNEIEAINYKKDMKTKKQQLPAFDEKYIIGSEVAGEALYRRISSQEMADKSYSWSFWMVKHPSVSPRKVSYPPTSTHVNKFVGARKVSLMSELNGTGMVKWGQRRQVRFLAKHVEDKREIVIASKDLIKSEEEKDSDGSDDDTDDEDEEEVDVKLVVNKSSEAKRKLRKRKCQGGSGISKLSPKKKRRKIEKKNQIVVYRQKKNKLIKNSIDRWSAGRYKLAEENMLKVMKEQNAVFRRPILRPELRAEARKLIGDTGLLDHLLKHMSGKVAPGGEERFRRRHNADGAMEYWLEKADLVDIRKEAGVQDPYWTPPPGWKPGDNPSQDPVCAREIKELREEIAKIKGEMEAMVSKKHGEELAMVAAPNYSPTSQDMEHDNFLIPLKEMYIDLVNKKVKMEEQLKEISESLYGMKEEMEKLKTRVEKSNRAESTEKPALLMGSTESITPAGTGRKGKGVMHQEKEATVLGESAQEQCKSSSGGIIAPRTESPAPTEDRAAKIERLKSGFRICKPQGSFLWPDMTTLTPHPQVVVLLEDLIAVQTPPSVSSTTPKQSHFLFAPPSQTHTPHRTFPVKPLAERRPVTIPQSTAATTPTSCPPLDQMTHSQYENSSISTSTTITTTTKTPLINLNEPLNTNQTDDYGLFYGSQSHAEASPHPVTYQRRHHQNVTTSIAMPSLGPTKKGMMSQWEEGDRRTGMIRYCEQCEQQQGCSSASSIASSSLPMGKGTWLALATSKASVEHKSKRG >Potri.001G403700.1.v4.1 pep chromosome:Pop_tri_v4:1:42951673:42958049:-1 gene:Potri.001G403700.v4.1 transcript:Potri.001G403700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403700.v4.1 MDSRTFLDHALFQLTPTRTRCDLVIYAGGVNERLASGLLEPFLQHLKTAKDQISKGGYSISLRPLSPNAFWFTKATLQRFVRFVSSPEVLERFVTIETEIEQIESSVQSNELLNGDAEGAAGNYQKSTVSSKSKGNQNGSSDGVQEENSKVRLQRALETRKAVLHKEQAMAYARALVTGFEPDFINDLICFADAFGASRLREACINFMELCKKKNQDRLWMDEIAAMQASQLELPYLGTSGIVLSVEENYPGQIGGLSGGKQNSSMDASDSATSPGSLEQNPDSGFPPSAQMQSTDGKAHMPMPWPNHHPQFMHNFQGPGFQQMPPYQGYLFPGMRVGSPYFPGNMQWPPNVDDSSLGRDWETDDRENRKSSSRSKKKSSHRKERQASSQDQSTEPSDSSSETESDEHLQSDKKRSLVDKMHRKRHGKKSSRKVVIRNINYITSMKDGEKGSISDCTSDEDEFIDGESLKQQVQEAVGSLERRHKSTSRQHKKSQRSTIDGSNDAIDQEGKNIMANNLDGEKGKDHWGAFQSLLMQEREPNSFGIEPDPPQIQRDDITAKSYEEGRSLEFNLGSEGIRKQRALSDDSFIATKRESGNEGESRIENFEAGANAHPMIKKRDSTYEELLFSQRAGESGNYPIIADYSTESPIPKSKKEGDWFISSQLDRSVNMDDHRDHKAFSCDYDSSLTGEHFQTEKNKKDVLVDDSFMIQARPLVDDQSDSLLRTDISIAPDVVEATQYENGRTEISLDKSKVFDVHEPDDLYMVLGRDSVAEHALSSWTPEMDYETNAVQDKLPSNSMDTNGKKSGNPGKKVAGKEARSKVPNGSLGRSKSDIMSRTKKPTSASRTTLLKSKSEKEEENRKRMEELSIERQKRIAERSSGGSGPATSKRIPAGKVPTAISIKNEKPKTQSPSQDTKKPVFRSSTIDRLATARATPKLSSTESKAAQPKKATLKANVLSQKAAGAGNTSPNTVKSDINRKKDGTIATAEKPVDLIPTQASQSAEGINDFRDIKELQSVSSAKNKAGNMISGDSLDDKGCNGDSLHKDSSAGDEGFSKVAPVVCEYIETPGDHGEYTSETTIHHVPESPNKALNLCAVNIRENGGFSEILELPEKSEIEISTPPPDEINPEPIHSRKKWNSDENSPKAAKGFRKLLLFGRKGRATAAN >Potri.001G403700.4.v4.1 pep chromosome:Pop_tri_v4:1:42951615:42954900:-1 gene:Potri.001G403700.v4.1 transcript:Potri.001G403700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403700.v4.1 MQSTDGKAHMPMPWPNHHPQFMHNFQGPGFQQMPPYQGYLFPGMRVGSPYFPGNMQWPPNVDDSSLGRDWETDDRENRKSSSRSKKKSSHRKERQASSQDQSTEPSDSSSETESDEHLQSDKKRSLVDKMHRKRHGKKSSRKVVIRNINYITSMKDGEKGSISDCTSDEDEFIDGESLKQQVQEAVGSLERRHKSTSRQHKKSQRSTIDGSNDAIDQEGKNIMANNLDGEKGKDHWGAFQSLLMQEREPNSFGIEPDPPQIQRDDITAKSYEEGRSLEFNLGSEGIRKQRALSDDSFIATKRESGNEGESRIENFEAGANAHPMIKKRDSTYEELLFSQRAGESGNYPIIADYSTESPIPKSKKEGDWFISSQLDRSVNMDDHRDHKAFSCDYDSSLTGEHFQTEKNKKDVLVDDSFMIQARPLVDDQSDSLLRTDISIAPDVVEATQYENGRTEISLDKSKVFDVHEPDDLYMVLGRDSVAEHALSSWTPEMDYETNAVQDKLPSNSMDTNGKKSGNPGKKVAGKEARSKVPNGSLGRSKSDIMSRTKKPTSASRTTLLKSKSEKEEENRKRMEELSIERQKRIAERSSGGSGPATSKRIPAGKVPTAISIKNEKPKTQSPSQDTKKPVFRSSTIDRLATARATPKLSSTESKAAQPKKATLKANVLSQKAAGAGNTSPNTVKSDINRKKDGTIATAEKPVDLIPTQASQSAEGINDFRDIKELQSVSSAKNKAGNMISGDSLDDKGCNGDSLHKDSSAGDEGFSKVAPVVCEYIETPGDHGEYTSETTIHHVPESPNKALNLCAVNIRENGGFSEILELPEKSEIEISTPPPDEINPEPIHSRKKWNSDENSPKAAKGFRKLLLFGRKGRATAAN >Potri.012G012500.1.v4.1 pep chromosome:Pop_tri_v4:12:1475386:1478812:-1 gene:Potri.012G012500.v4.1 transcript:Potri.012G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012500.v4.1 MGDRGSASTSNDIENLCKVLLNEVSDSMETTLRKETCIYNVPANIPQSKRAAYTPQVICIGPIHHKNENELKRRYVNQFFSRLRGGKWEPFQEELANTVKKCAAEISHYYEDDSLELFKDPKELLKMIVWDAAFILELFLKTGEYKKYKNSPEKTSQDDDRYNYDYIIGKPWLTDAIQRDLILLENQLPFCILDELYKIAAKYIKPDCSCSQCIRFHVPDCDCFRCNQDPKPDCSCFQCIRLYKPGHNRDHKPDCICFLELSCKYFEKYNKKKTNPPKILHFTDLVRFFLSSKHPETMYNDPITNCDITATRLEEAGMKFKPPSGDECLLNIKAWSGDSGGNSIKKGELDVPLLVIDDNTECLLRNLMALEQCHFPKEAYICQYVKFLDLLVDTAEDADLLIKSKVIINSLGKSADVAELINELCKGIVEVSSCYSNIATYLDAYYNNRYNKSKAFLRRQYFRNVWIGTGTVVGLFVLFITLQDFVRSFL >Potri.005G033600.4.v4.1 pep chromosome:Pop_tri_v4:5:2216880:2220590:1 gene:Potri.005G033600.v4.1 transcript:Potri.005G033600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033600.v4.1 MANLVLNGMAAESETCMALGLLDESSRGASGTPQVLLLIASVLERSIQKNEELSNTARKDVITIFHGSRSPTLSIKQYIERIFKYSGCSSSCLVVAYIYINKFLQLTDGHLTSLNAHRLLITSIMVAAKFLDDECYDNAYYARIGGVSTGEMNRMEMRFLFNLDFRLQVTVEAFMNCCLKLENESGRYEDGQADPSLWVQRPAKQR >Potri.005G033600.5.v4.1 pep chromosome:Pop_tri_v4:5:2216952:2220590:1 gene:Potri.005G033600.v4.1 transcript:Potri.005G033600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033600.v4.1 MANLVLNGMAAESETCMALGLLDESSRGASGTPQVLLLIASVLERSIQKNEELSNTARKDVITIFHGSRSPTLSIKQYIERIFKYSGCSSSCLVVAYIYINKFLQLTDGHLTSLNAHRLLITSIMVAAKFLDDECYDNAYYARIGGVSTGEMNRMEMRFLFNLDFRLQVTVEAFMNCCLKLENESGRYEDGQADPSLWVQRPAKQR >Potri.005G033600.6.v4.1 pep chromosome:Pop_tri_v4:5:2217185:2220414:1 gene:Potri.005G033600.v4.1 transcript:Potri.005G033600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033600.v4.1 MANLVLNGMAAESETCMALGLLDESSRGASGTPQVLLLIASVLERSIQKNEELSNTARKDVITIFHGSRSPTLSIKQYIERIFKYSGCSSSCLVVAYIYINKFLQLTDGHLTSLNAHRLLITSIMVAAKFLDDECYDNAYYARIGGVSTGEMNRMEMRFLFNLDFRLQVTVEAFMNCCLKLENESGRYEDGQADPSLWVQRPAKQR >Potri.015G135600.1.v4.1 pep chromosome:Pop_tri_v4:15:14484255:14486170:-1 gene:Potri.015G135600.v4.1 transcript:Potri.015G135600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135600.v4.1 MCQLNSSPLSCKCNQDFPFLVSIKDQEPNMFTPLLIPKSPTCKPQKERRQETQIPNQTDLSLFLREAISIANIAFPMMLTGLLLYPRSMISMLFLGRLGELALAGGSLAVGFANITGYSILSGLAVGMEPICGQAFGAQKHHLLGQTLQRTILLLIVASLPISFLWLNMKSILLFCGQDESIATEAQLFLIYSIPDLLAQSFLHPLRIYLRTQSITLPLTFCATLAIILHIPINYFLVTHLNLGTKGVALSGVWTNFILVGSLIIYILVSGVHKKTWGGFSVECFKEWKTLLNLAIPSCISVCLEWWWYEIMILLCGLLVNPKATVASMGILIQTTALIYIFPSSLSFSVSTRVGNQLGANQPKKAKFAAIAGLSFSFIFGFSALSFAVMVRKVWASMFTQDKEIIALTSLVLPIIGLCELGNCPQTTGCGVLRGTARPKVGANINLGCFYLVGMPVAVWLGFFTRFDFEGLWLGLLAAQGSCAVTMLFVLGRTDWEHEAQRAKELTNALVLVANVDDSLEVEEKKPPKAEIKEDSLHLFGDRQLDNLYKPLPV >Potri.009G077951.1.v4.1 pep chromosome:Pop_tri_v4:9:7512167:7512394:1 gene:Potri.009G077951.v4.1 transcript:Potri.009G077951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077951.v4.1 MLSSLFFPMILRDPLLFFWLTLGKRDEIATYGRGLNICTCFDQVKWFSFSRVLCLCARPVFPHLFPLAEGRNSNS >Potri.008G040000.1.v4.1 pep chromosome:Pop_tri_v4:8:2237952:2239498:1 gene:Potri.008G040000.v4.1 transcript:Potri.008G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040000.v4.1 MVQHPVAEANDQSPFGTLSPSEFYAKHQVTHNSEYITNSRGLKLFTQWWTPLPPTKTIGCVAVVHGFTGESSWFVQLTSILFAKHGFVVCAIDHQGHGFSDGLDNLIYHIPDINPVVEDCMRYFKTFRETRAPNLPAFLYSESLGGAIALYITLRQRGAWDGLILNGAMCGISAKFKPPWPLEHLLFVVAAVVPTWSVIPTRGSIPELSFKEEWKRKLGCASPGRVTMRPRAATAYELMRVCKELQGRFEEVDVPLLVVHGGDDVVCDPASAKELYERAASADKTLKMYSGMWHQLIGEPEENVNLVFGDMVEWLQNRAERYKKDGDVARAAASDGRA >Potri.013G098850.1.v4.1 pep chromosome:Pop_tri_v4:13:10368215:10371162:1 gene:Potri.013G098850.v4.1 transcript:Potri.013G098850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098850.v4.1 MKLLSLLLFSMLLLILCSIKHTSAACHVDDHAGLLAFKSGITHDPSGMLSSWKPGTDCCSWGGITCLDKIRVNTVWLTGNPDKPNGYLTGSISPSLVKVQNLDGIYFFDLNITGPFPDVLFRLPKLKYIYIENNKLSGPLPSDIGKMTQLDVLSLSGNQFTGLIPSSIAELTQLSQLKLGNNLLTGPIPLGISKLTDLSFLSLQNNKLTGTIPDFLSSLTNLRILRLSHNKFSGKIPNSIASLAPNLAYLELGHNALTGTIPSFLGKFKALDTLDLSWNNFTETVPKSFGNLTKIFNLDLSHNSLVDPFPVMNVKGIESLDLSYNKFHLEKIPNWVTSSPIIYSLKLAKCGIKMNLNDWKPKETYFYDYIDLSYNEISGSPVWLMNKTDYLVGFWASGNKLKFDLGSLKIVGTLKNLELSRNLVYGKVPKSVSGLESLNLSYNHLCGQLPSTKFPASAFVGNDCLCGAPLPPCKVKGE >Potri.008G118600.2.v4.1 pep chromosome:Pop_tri_v4:8:7617272:7620497:-1 gene:Potri.008G118600.v4.1 transcript:Potri.008G118600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118600.v4.1 MAAKIGSLRHSFEERSKERLISRKEYPDFGLNRSENDIEEAGKCRCFGSLSDRIVSFWNGVRNSAIELYKMGQADPRKYLFAVKMGLSLALVSLVIFLKEPLKDVSQYSIWAILTVVVVFEFSVGATLNKGFNRALGTFSAGALAIGIAELSLHVGALGEVLLVVSIFIAGFFASYIKLYPTMKPYEYGFRVFLLTYCIVTVSGSSSSFFHTAVYRLLLIAVGAAICLAVNICIFPIWAGEDLHKLVVKNFNGVANSLEGCVNGYLQCVEYERIPSKILTYEASDDPLYSGYRSAVQSTSQEESLLSFAIWEPPHGPYRSFNYPWKNYVKLSGSLRHCAFMVMAMHGSILSEIQAPPEKRQVFSSELQRVGNEGAKVLRELGKKVEKMEKLGPGVDVLLEVHEAAEELQMKIDQNSYLLVNSESWAAGRPAKEFEDPQNLLEDESKLISYLSETWDVKNQNISTSPSMPELKASDSVFNQPVSWPRLSFTGGSMIVEQESKVYESASSLSLATFASLLIEFVARLQNLADEFQELSEKANFKEPRYGLVEKMAVGV >Potri.008G118600.1.v4.1 pep chromosome:Pop_tri_v4:8:7617237:7621189:-1 gene:Potri.008G118600.v4.1 transcript:Potri.008G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118600.v4.1 MAAKIGSLRHSFEERSKERLISRKEYPDFGLNRSENDIEEAGKCRCFGSLSDRIVSFWNGVRNSAIELYKMGQADPRKYLFAVKMGLSLALVSLVIFLKEPLKDVSQYSIWAILTVVVVFEFSVGATLNKGFNRALGTFSAGALAIGIAELSLHVGALGEVLLVVSIFIAGFFASYIKLYPTMKPYEYGFRVFLLTYCIVTVSGSSSSFFHTAVYRLLLIAVGAAICLAVNICIFPIWAGEDLHKLVVKNFNGVANSLEGCVNGYLQCVEYERIPSKILTYEASDDPLYSGYRSAVQSTSQEESLLSFAIWEPPHGPYRSFNYPWKNYVKLSGSLRHCAFMVMAMHGSILSEIQAPPEKRQVFSSELQRVGNEGAKVLRELGKKVEKMEKLGPGVDVLLEVHEAAEELQMKIDQNSYLLVNSESWAAGRPAKEFEDPQNLLEDESKLISYLSETWDVKNQNISTSPSMPELKASDSVFNQPVSWPRLSFTGGSMIVEQESKVYESASSLSLATFASLLIEFVARLQNLADEFQELSEKANFKEPRYGLVEKMAVGV >Potri.003G133300.1.v4.1 pep chromosome:Pop_tri_v4:3:15132810:15141308:1 gene:Potri.003G133300.v4.1 transcript:Potri.003G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133300.v4.1 MRGSLPKHERRWASDTVPGNATMSAGTSPGTESGEEFVEVTLDLQDDNTIVLRRVEPATSTVINIDDVSFTPGGSVSAGAVTPVSRSPTIRRSSSNKILQFSQELKAEAVAKAKQFSQELKAELRRFSWTHGQAARVLSASGNSGGGFESALAARALRKQRAQLDRSRSGAHKALRGLRFISNNSAKTNGVDAWSEVQSNFEKLAKDGYLYRADFAQCIGMENSKEFAVELFDALGRRRRLKVDKISRDELHEFWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERLGYIEIWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRSPIRRVSKRCVYFLQENWRRIWVLALWVMIMIGLFTWKFLQYKQKNAFHVMGYCLLTAKGAAETLKFNMALILLPVCRNTITWLRSTKLGHLVPFDDNINFHKTIAAAIVIGVILHAGNHLACDFPKLINSSDDTYEQYLRNDFGGKKPSYAKLVRGAEGVTGILMVISMAIAFTLATRWFRRSLIKFPKPFDRLTGFNAFWYSHHLFVIVYILLIIHGVFLYLVHKWYKKTTWMYLSVPVLLYAGERALRFFRSGFNTVRLLKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCPAVSPFEWHPFSITSAPGDDYLSVHIRQLGDWTQELRRVFSEACECPVAGKSGLLRADETTKKILPKLLIDGPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADLVSDISRTSDLSIGSNDNSSSNKVSTKRKKAVRTTNAYFYWVTREQGSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSTLITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKLCSKHCNARIGVFYCGAPVLAKELSRLCYEFNQKGSTKFEFHKEHF >Potri.005G037900.1.v4.1 pep chromosome:Pop_tri_v4:5:2447611:2451142:1 gene:Potri.005G037900.v4.1 transcript:Potri.005G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037900.v4.1 MTEPNQEATHHHHHHHHHHLPKRIILVRHGESQGNLDTAAYTTTPDNKIQLTPSGLAQARQAGNHLYNIISNDENKNWRVYFYVSPYDRTRSTLREIGRSFKKERIIGVREECRVREQDFGNFQVKERMKVVKESRERFGRFFYRFPEGESAADVFDRVSGFLESLWRDIDMKRFHRDPSHDLNLIIVSHGLTCRVFLMKWFKWTVQQFEHLNNPGNCEFRIMQLGPGGEYSLAIHHTDDEMLEWGLSPEMIDDQKWRMRANKGDWNEKCPWYLDEFFDHVADSDRESDDKENGSSGMCE >Potri.006G226300.1.v4.1 pep chromosome:Pop_tri_v4:6:23070480:23076604:1 gene:Potri.006G226300.v4.1 transcript:Potri.006G226300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226300.v4.1 MSSSQGSTLSSNVAELVDGSSAHRDVSLLDDVPVYVKELIAGGTAGAFAKTVIAPLERTKILLQTRTEGFQSLGVFQSLKKLLKHEGILGFYKGNGASVIRIVPYAALHFMTYEQYRVWILNNCPALGTGPVIDLLAGSVAGGTAVLCTYPLDLARTKLAYQVADTRGDFRRGMKSICAQPAYNGIKDVLTSVYKEGGMRALYRGIGPTLIGILPYAGLKFYVYEELKRHVPEEHQSIVMRLSCGAIAGLFGQTITYPLDVVRRQMQVENLQPLSQGNARYRNTFEGLSTIVRNQGWKQLFAGLSINYIKIVPSVAIGFAAYDTMKVWLRIPPRQKSQSISPG >Potri.011G168000.2.v4.1 pep chromosome:Pop_tri_v4:11:19133631:19139550:1 gene:Potri.011G168000.v4.1 transcript:Potri.011G168000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168000.v4.1 MSGMLQPSSAQNWLSSQGSSSGLIAKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPAKEEMMRGKPGYEHLNEPLHILVEGELPVEIVDARLMQASEILEDLLKPVDESQDYYKKQQLRELAMLNGTLREEGSPMSGSVSPFNNSLGMKRAKTRG >Potri.011G168000.1.v4.1 pep chromosome:Pop_tri_v4:11:19133609:19139553:1 gene:Potri.011G168000.v4.1 transcript:Potri.011G168000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168000.v4.1 MTTTGGGVGVGASRFMAYSPSPSAPHSPHISGLRSSAASSALVVEQEKYLSELLAERHKIIPFMPVLPNIYRLLNQEILRVTTLLGNASVLGQSGLEHASPLSSGGIFSNGAADANGWASRFQSEMSGMLQPSSAQNWLSSQGSSSGLIAKRTIRVDIPVDKYPNYNFVGRLLGPRGNSLKRVEASTECRVLIRGRGSIKDPAKEEMMRGKPGYEHLNEPLHILVEGELPVEIVDARLMQASEILEDLLKPVDESQDYYKKQQLRELAMLNGTLREEGSPMSGSVSPFNNSLGMKRAKTRG >Potri.018G126400.3.v4.1 pep chromosome:Pop_tri_v4:18:13762344:13765755:1 gene:Potri.018G126400.v4.1 transcript:Potri.018G126400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126400.v4.1 MTIKPAVRISESKLVVKDRTILTGVPDNVMATSGSSSGPVDGVFLGVVFDQENSRHVVSLGALRDVRFMACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDGGDEENQIVYTVFLPLIEGSFRACLQGNVDDELELCLESGDAETKRTSFSHSVFIHAGTDPFRTITEAVRAVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLESLASGGTPPKFVIIDDGWQSVGGDPQEESNDQDEKKENQQPLLRLTGIKENAKFQKKDDPTAGIKSIVNVAKEKHGLKYVYVWHAITGYWGGVRPEVKEMEEYGSTLKYLMVSKGVVENDPTWKNDALALQGLGLVNPKNVYKFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVQLTRQYHQALDASVARNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPHDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHPTAEYHASARAISGGPIYVSDAPGKHNFELLKKLILPDGSILRARLPGRPTRDCLFSDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWNNTERKNTFHQTKNEVLTGAIRGRDVHLIAEAAMDPNWDGNCAVYCHRTGELITLPYNAALPMSLKVLEHDIFTVTPIKDLAPGFSFAPLGLINMFNAGGAIEGLKYEVKGGAELLNLDDGYKGESSCVSEQRVENYSDELVGKVSMEVKGCGKFGAYSSAKPRKCIVDANVVEFVYDSDSSLVSLSLDSMPEEGKLHVVEIEL >Potri.018G126400.1.v4.1 pep chromosome:Pop_tri_v4:18:13762294:13765761:1 gene:Potri.018G126400.v4.1 transcript:Potri.018G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126400.v4.1 MLSKFCRSNINVRSCTINSRPCFHLPSSSTGFSLNFFKAKTKKPSLSVCRYSSNYKSLSLLAFQRSEIPSTPLRKEEEKEAMTIKPAVRISESKLVVKDRTILTGVPDNVMATSGSSSGPVDGVFLGVVFDQENSRHVVSLGALRDVRFMACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDGGDEENQIVYTVFLPLIEGSFRACLQGNVDDELELCLESGDAETKRTSFSHSVFIHAGTDPFRTITEAVRAVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLESLASGGTPPKFVIIDDGWQSVGGDPQEESNDQDEKKENQQPLLRLTGIKENAKFQKKDDPTAGIKSIVNVAKEKHGLKYVYVWHAITGYWGGVRPEVKEMEEYGSTLKYLMVSKGVVENDPTWKNDALALQGLGLVNPKNVYKFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVQLTRQYHQALDASVARNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPHDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHPTAEYHASARAISGGPIYVSDAPGKHNFELLKKLILPDGSILRARLPGRPTRDCLFSDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWNNTERKNTFHQTKNEVLTGAIRGRDVHLIAEAAMDPNWDGNCAVYCHRTGELITLPYNAALPMSLKVLEHDIFTVTPIKDLAPGFSFAPLGLINMFNAGGAIEGLKYEVKGGAELLNLDDGYKGESSCVSEQRVENYSDELVGKVSMEVKGCGKFGAYSSAKPRKCIVDANVVEFVYDSDSSLVSLSLDSMPEEGKLHVVEIEL >Potri.018G126400.4.v4.1 pep chromosome:Pop_tri_v4:18:13762779:13765754:1 gene:Potri.018G126400.v4.1 transcript:Potri.018G126400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126400.v4.1 MTIKPAVRISESKLVVKDRTILTGVPDNVMATSGSSSGPVDGVFLGVVFDQENSRHVVSLGALRDVRFMACFRFKLWWMAQKMGDQGRDIPLETQFLLVETKDGSHLESDGGDEENQIVYTVFLPLIEGSFRACLQGNVDDELELCLESGDAETKRTSFSHSVFIHAGTDPFRTITEAVRAVKLHLKTFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLESLASGGTPPKFVIIDDGWQSVGGDPQEESNDQDEKKENQQPLLRLTGIKENAKFQKKDDPTAGIKSIVNVAKEKHGLKYVYVWHAITGYWGGVRPEVKEMEEYGSTLKYLMVSKGVVENDPTWKNDALALQGLGLVNPKNVYKFYNELHSYLASAGIDGVKVDVQCILETLGAGLGGRVQLTRQYHQALDASVARNFPDNGCIACMSHNTDALYCSKQTAVVRASDDFYPHDPVSHTIHIAAVAYNSVFLGEFMQPDWDMFHSLHPTAEYHASARAISGGPIYVSDAPGKHNFELLKKLILPDGSILRARLPGRPTRDCLFSDPARDGVSLLKIWNMNKFTGVLGVYNCQGAAWNNTERKNTFHQTKNEVLTGAIRGRDVHLIAEAAMDPNWDGNCAVYCHRTGELITLPYNAALPMSLKVLEHDIFTVTPIKDLAPGFSFAPLGLINMFNAGGAIEGLKYEVKGGAELLNLDDGYKGESSCVSEQRVENYSDELVGKVSMEVKGCGKFGAYSSAKPRKCIVDANVVEFVYDSDSSLVSLSLDSMPEEGKLHVVEIEL >Potri.006G164748.1.v4.1 pep chromosome:Pop_tri_v4:6:16067061:16069523:-1 gene:Potri.006G164748.v4.1 transcript:Potri.006G164748.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164748.v4.1 MGFFRHGGPEVTLSPKQKNSETKPINQKVLLQFPGCTVYLMDEGEALELAKGKFTIARVLDKSVSIATRIKAGDLQWPLTKDEPVVKLDSLNYLFSLPMMDGGSLSYGVTFSEQYRSSLSSLDSFLSEHSCFSASTTTRTKNIDWKQFAPRIEDYNNALAKAIAQGTGQIVKGIFICSNIYSTQVRNVGEMLLTRAAEEENGFKAREINRNTNDGDTNKSRANQSLKRVRNLSKMTENLSKVTLDVVGAATGSVMTPMVNSQAGKKLLASVPGEVLFASLDAVNKILSAAEVAEKQVLSATSIATTRIVTDRLGENAGEIAEDVLATTGHCTNTAWNLIKIRKAINPASYDSTGILRNAGKAKI >Potri.014G038600.1.v4.1 pep chromosome:Pop_tri_v4:14:2487767:2491573:1 gene:Potri.014G038600.v4.1 transcript:Potri.014G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038600.v4.1 MILQQHTILFLAIFVISLIGGHASIKFSCNRTCGTSHLPYPFGFSANCDIHLNCSLNGEMLINDFPVQIVGQDTIKINLEPRCYRPVEALYNLSTKNYAPKSTNAILLNNCTSGFSPCNIPSISVRTHFESLNCSNNSSVSCFSKADTANGFFDYNMANISQCKYLLSSISAESFTGSGVSLETQMMELWWWLQGDCQCSKDAICTKVESPAGSGFRCQCRDGLIGDGYLAGVGCRKAAGCNPAKYLSGQCGAGARPAVLLGGIVAAVGVGLGLFCCLTRRNSISKAKSFRKLHRAEAADISIPIYPYKEIEKATNSFSEKQRIGTGAYGTVYAGKLSSDSWVAIKRIKHRDVDSIEQVINEIKLISSVSHPNLVRLLGCSIENDEQILVYEFMPNGTLCQHLQRVRGDGLDWPVRLAIATETAKAIAHLHSAIDPPIYHRDIKSSNILLDFDFKSKVADFGLSRHGMTDMSHISTVPQGTPGYLDPQYHQNFHLSDKTDVYSFGVVLIEIITAKKVLDFSRPQDEVNLASLAIDKIGRGLLDEIIDPFLDLHNDAWTFSSVHKVAELAFRCLAFHKDIRPSMMEVAAELEQIMLTRWPPSEEINCTTSLDFSQCSSSSHVSEKALNLTVKKTEIERRGLLVLQTQASRKSTERTDHNSPMSVQDPWLSEQSSPSSSSLLNNVIVE >Potri.014G038600.2.v4.1 pep chromosome:Pop_tri_v4:14:2487802:2491663:1 gene:Potri.014G038600.v4.1 transcript:Potri.014G038600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038600.v4.1 MILQQHTILFLAIFVISLIGGHASIKFSCNRTCGTSHLPYPFGFSANCDIHLNCSLNGEMLINDFPVQIVGQDTIKINLEPRCYRPVEALYNLSTKNYAPKSTNAILLNNCTSGFSPCNIPSISVRTHFESLNCSNNSSVSCFSKADTANGFFDYNMANISQCKYLLSSISAESFTGSGVSLETQMMELWWWLQGDCQCSKDAICTKVESPAGSGFRCQCRDGLIGDGYLAGVGCRKGCNPAKYLSGQCGAGARPAVLLGGIVAAVGVGLGLFCCLTRRNSISKAKSFRKLHRAEAADISIPIYPYKEIEKATNSFSEKQRIGTGAYGTVYAGKLSSDSWVAIKRIKHRDVDSIEQVINEIKLISSVSHPNLVRLLGCSIENDEQILVYEFMPNGTLCQHLQRVRGDGLDWPVRLAIATETAKAIAHLHSAIDPPIYHRDIKSSNILLDFDFKSKVADFGLSRHGMTDMSHISTVPQGTPGYLDPQYHQNFHLSDKTDVYSFGVVLIEIITAKKVLDFSRPQDEVNLASLAIDKIGRGLLDEIIDPFLDLHNDAWTFSSVHKVAELAFRCLAFHKDIRPSMMEVAAELEQIMLTRWPPSEEINCTTSLDFSQCSSSSHVSEKALNLTVKKTEIERRGLLVLQTQASRKSTERTDHNSPMSVQDPWLSEQSSPSSSSLLNNVIVE >Potri.001G419200.1.v4.1 pep chromosome:Pop_tri_v4:1:44718918:44720178:1 gene:Potri.001G419200.v4.1 transcript:Potri.001G419200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419200.v4.1 MANISYQNKVFHVLGLLCFLLLIQKNNAFQYQVGGGSKGWTVPDNTSSSSKSYYNDWAERTRFRIGDSLLFAYDPSQDSVLQVSKGDYENCTTKNPIAAFSDPKTVFTFNHSGHHYFISGNKDNCLKNEKLVVVVLADRSSNHSANTNQTTAAPSPSLGYSDMVPAPTPSGVETPPAPAGIADINPTPAPAGVSPNSASSLFVSFIGSMGAFFASSLILSF >Potri.017G094800.2.v4.1 pep chromosome:Pop_tri_v4:17:10742083:10746067:1 gene:Potri.017G094800.v4.1 transcript:Potri.017G094800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094800.v4.1 MITSSKEVDCPPKKEDDTNDGKISKASSSSAPWLRLKDPRIVRVSRAFGGKDRHSKVCTIRGLRDRRVRLSVATAIQLYDLQDRLGLNQPSKVVDWLLNAAKHDIDELPPLPAIPGNFSLNHQAMLGSSRELGASQSGNSINWEDPSAFPRPNLWNADAFWRAKSKEVVMDPVNEKENWTKRIEEDKQDSNIEGNSTAQVSSSSFLHRASHSSLPSLINNAMPYGSFFHLEPPNFPLSQMGNHGFSTQTGDIHNLNAVPLSSALSLSSGSQFFVCPPGTTQSYFPSHVTASMENDPRQINHFQVLTPSTQNLFPNSLTPSPYHVSQPMKPSQYFSATPSRLHSDQNSESPPDKDPEFPCK >Potri.001G097500.2.v4.1 pep chromosome:Pop_tri_v4:1:7715365:7718473:1 gene:Potri.001G097500.v4.1 transcript:Potri.001G097500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097500.v4.1 MLSLSQRPISAPSIPNLRVFNRPRNHSLFARNNRIFESNSKLPKLKWRISFFRNEEISQVNPGSDSVERYVPEELVKPELDNSTNVKGDWISSLREAAHVVLRAFGSRWTVPWTAETIVQVMLLWVVSFWFIGSWVIPFAAHIAGFNKESLTFRGQALFSLVTDVTEGLAGIAILHRCLSRFRPLSSDWFRFRLKGNWVFDVALGCLMFPLVNRLSQFNLSLLPILPSTPVTLSSVEQSIAARDPVAMALYAIVVSVCAPVWEEIVFRGFLLPSLTRYMPVWCAILVSSVAFALAHFNVQRMLPLIFLGVVMGVIFTRSRNLLPSMLLHSLWNGFVFLDLMK >Potri.010G007855.1.v4.1 pep chromosome:Pop_tri_v4:10:1304287:1304651:-1 gene:Potri.010G007855.v4.1 transcript:Potri.010G007855.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007855.v4.1 MARQADRLSKIGLEGFALIDEWYECPRRSSTPQEHHQQRYDYCGIQVPMMKMDVINNKEAAKHYGGVVIMDYRKKKLLY >Potri.014G162900.2.v4.1 pep chromosome:Pop_tri_v4:14:11728762:11730530:-1 gene:Potri.014G162900.v4.1 transcript:Potri.014G162900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162900.v4.1 MKFSMIIVLSSTLLFSCTPLAYAQKVASPPAPTPTPSPAPAPSPPYVNLTDLLSVAGPFHNFLNYLESTKVIDTFQNQANNTDEGITIFVPKDDAFKNLKKASLSNLTQDQLKQLILFHALPHYYSLSDFKNLSQVSPVSTFAGAGGYALNFTDTSGTVHLDSGWSKTKVSSSVHSTDPVAIYQVDKVLLPEAIFGTNIPPTPAPAPAPDTSPTADSPTSDDSAGAGSAPGKSPPNSSYRINGVGIWSQLVLAIAGVLVLFL >Potri.014G162900.1.v4.1 pep chromosome:Pop_tri_v4:14:11728761:11730980:-1 gene:Potri.014G162900.v4.1 transcript:Potri.014G162900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162900.v4.1 MKFSMIIVLSSTLLFSCTPLAYAQKVASPPAPTPTPSPAPAPSPPYVNLTDLLSVAGPFHNFLNYLESTKVIDTFQNQANNTDEGITIFVPKDDAFKNLKKASLSNLTQDQLKQLILFHALPHYYSLSDFKNLSQVSPVSTFAGAGGYALNFTDTSGTVHLDSGWSKTKVSSSVHSTDPVAIYQVDKVLLPEAIFGTNIPPTPAPAPAPDTSPTADSPTSDDSAGAGSAPGKSPPNSSYRINGVGIWSQLVLAIAGVLVLFL >Potri.008G144100.1.v4.1 pep chromosome:Pop_tri_v4:8:9782732:9786336:1 gene:Potri.008G144100.v4.1 transcript:Potri.008G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144100.v4.1 MAAVGVERRQQQQQTESTMTMTAEESCLAKTGAAKQGEGLKQYYIQHIHELLLHVRQKTHNLNRLEAQRNDINSRVRMLREELQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNEDSRCDILKIHSRRMNLMRGIDLKKIAGKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Potri.010G000101.1.v4.1 pep chromosome:Pop_tri_v4:10:9509:11089:-1 gene:Potri.010G000101.v4.1 transcript:Potri.010G000101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G000101.v4.1 MIFCRADFISVQCVRNALDSFSNISGLTINHAKSLVFLSGVKQDIKAAITNCFGFKPGTLPVKYLGVPLISSRLTHQHYMPLLERIITRIKLWTAASLSYAGRLQLIKSTLFSIQVYWSSMFMLPCSIIRKIESTLAAFLWKGTSLTSLGAKVAWDSLCYPLKEGGLGIKKIKIWNKAAILKHIWRLLTEKTSVWVSWVHSVLLRGRCFWFYNAPSNASWSWRKILLSRSWCRGLFSPKIGNGLETFLWLDYWLPNGKRICDILPFRQLSRTGLTCDAKVSSIISEGRWSFPVGHPELQLIWNSIQFFPRPHQPDICNWKGLHSGKFSIDSAWELLRDKRPINSIFHLIWFPDHIPRHAFILWIASMGRLHIKDRLRSFQVISSATCSLCGLHDETHDHLFFECSYSAMVWNTLSEKSLINWPSMSFHGLLLWAATSLRDNKVFSHLLARQILSSTLYFVWYERNNRIFNQASKTPQVLSGEIIETIRSVLMEKDQRKIPNNLKSIWGLQAAEDMQPDMMRPLTAT >Potri.001G443932.1.v4.1 pep chromosome:Pop_tri_v4:1:46940996:46943450:1 gene:Potri.001G443932.v4.1 transcript:Potri.001G443932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443932.v4.1 MPILLLMTHSHSRHSYISNFSDWWKMAIESVGGSIASKIAELLVEPAIRQFRYMFCFYNFVQEFDEQMMNLALAFYRLQDAVDVAKRNAEEIEIDVNKWLEDAKNEIEGVNRLQNEKREIGSCFTWCPNWMRQFKLSNALAKKTETLRKLEENSRKFPKVSHKAPLQEIKFLPSKEFMLSKSSEEAFEQIMKALKDDNVNMIGLYGMGGVGKTTLVNEVGRRAKELQLFDEVLMATLSQNPNVIDIQDRKADRLGLRFDKMTEEGRADLLWQRLKTEKKILNILDDVWKDIDFQEIGIPFGDDHRGCKILLTTRHEDMCSYIKCKEKVFLGVFSEEEA >Potri.009G136300.6.v4.1 pep chromosome:Pop_tri_v4:9:10984914:10988222:-1 gene:Potri.009G136300.v4.1 transcript:Potri.009G136300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136300.v4.1 MDCCCAGTEATQNGGEDLQFPVSAVLPCRDVMFQHPGTKSCELLAQLPSSMPLNSGFHAQTLSSNLPRWLNFSSSSDSNVLEETVGTRALIPVPGGLMELFIAKQVPEDQHVIDVVTSQCNFLMEQEAMINSTNMDSSLSIDVNVMSENQSKPFLANENEQEDHHSLNIPYDTSLDRLHMSSSPMNNFMHQFNYSTDETKTKGDLFQGVESGLQDMDDLQKSMMANAESTQMQYMESGLTTKDQHGNDKESIKLENGPSAEYSHSDCNDDEDDAKYRRRTGKGPQSKNLVAERKRRKKLNDRLYALRSLVPNISKLDRASILGDAIEFVKELQKEAKELQDELEENSEDEGAKNGNNNNMPPEILNQNGVNLGAYRSDYAVNGFHVEASGISTVSKQNQDSENSHDKGHQMEAQVEVAQIDGNEFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVTSNRGLVSNVLKVEKDSEMVQADYVRDSLLELTRDPPRAWPEMPKASEICCSGMDYPHHDHHQHHLQNGHMNYNHHHLHHL >Potri.009G136300.3.v4.1 pep chromosome:Pop_tri_v4:9:10984908:10988360:-1 gene:Potri.009G136300.v4.1 transcript:Potri.009G136300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136300.v4.1 MRYPYQPGNIMNVVQNLMERLRPLVGVKGWDYCVLWKLSDDRRYIELMDCCCAGTEATQNGGEDLQFPVSAVLPCRDVMFQHPGTKSCELLAQLPSSMPLNSGFHAQTLSSNLPRWLNFSSSSDSNVLEETVGTRALIPVPGGLMELFIAKQVPEDQHVIDVVTSQCNFLMEQEAMINSTNMDSSLSIDVNVMSENQSKPFLANENEQEDHHSLNIPYDTSLDRLHMSSSPMNNFMHQFNYSTDETKTKGDLFQGVESGLQDMDDLQKSMMANAESTQMQYMESGLTTKDQHGNDKESIKLENGPSAEYSHSDCNDDEDDAKYRRRTGKGPQSKNLVAERKRRKKLNDRLYALRSLVPNISKLDRASILGDAIEFVKELQKEAKELQDELEENSEDEGAKNGNNNNMPPEILNQNGVNLGAYRSDYAVNGFHVEASGISTVSKQNQDSENSHDKGHQMEAQVEVAQIDGNEFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVTSNRGLVSNVLKVEQKDSEMVQADYVRDSLLELTRDPPRAWPEMPKASEICCSGMDYPHHDHHQHHLQNGHMNYNHHHLHHL >Potri.009G136300.4.v4.1 pep chromosome:Pop_tri_v4:9:10984850:10988359:-1 gene:Potri.009G136300.v4.1 transcript:Potri.009G136300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136300.v4.1 MRYPYQPGNIMNVVQNLMERLRPLVGVKGWDYCVLWKLSDDRRYIELMDCCCAGTEATQNGGEDLQFPVSAVLPCRDVMFQHPGTKSCELLAQLPSSMPLNSGFHAQTLSSNLPRWLNFSSSSDSNVLEETVGTRALIPVPGGLMELFIAKQVPEDQHVIDVVTSQCNFLMEQEAMINSTNMDSSLSIDVNVMSENQSKPFLANENEQEDHHSLNIPYDTSLDRLHMSSSPMNNFMHQFNYSTDETKTKGDLFQGVESGLQDMDDLQKSMMANAESTQMQYMESGLTTKDQHGNDKESIKLENGPSAEYSHSDCNDDEDDAKYRRRTGKGPQSKNLVAERKRRKKLNDRLYALRSLVPNISKLDRASILGDAIEFVKELQKEAKELQDELEENSEDEGAKNGNNNNMPPEILNQNGVNLGAYRSDYAVNGFHVEASGISTVSKQNQDSENSHDKGHQMEAQVEVAQIDGNEFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVTSNRGLVSNVLKVEKDSEMVQADYVRDSLLELTRDPPRAWPEMPKASEICCSGMDYPHHDHHQHHLQNGHMNYNHHHLHHL >Potri.009G136300.1.v4.1 pep chromosome:Pop_tri_v4:9:10984850:10988359:-1 gene:Potri.009G136300.v4.1 transcript:Potri.009G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136300.v4.1 MRYPYQPGNIMNVVQNLMERLRPLVGVKGWDYCVLWKLSDDRRYIELMDCCCAGTEATQNGGEDLQFPVSAVLPCRDVMFQHPGTKSCELLAQLPSSMPLNSGFHAQTLSSNLPRWLNFSSSSDSNVLEETVGTRALIPVPGGLMELFIAKQVPEDQHVIDVVTSQCNFLMEQEAMINSTNMDSSLSIDVNVMSENQSKPFLANENEQEDHHSLNIPYDTSLDRLHMSSSPMNNFMHQFNYSTDETKTKGDLFQGVESGLQDMDDLQKSMMANAESTQMQYMESGLTTKDQHGNDKESIKLENGPSAEYSHSDCNDDEDDAKYRRRTGKGPQSKNLVAERKRRKKLNDRLYALRSLVPNISKLDRASILGDAIEFVKELQKEAKELQDELEENSEDEGAKNGNNNNMPPEILNQNGVNLGAYRSDYAVNGFHVEASGISTVSKQNQDSENSHDKGHQMEAQVEVAQIDGNEFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVTSNRGLVSNVLKVEQKDSEMVQADYVRDSLLELTRDPPRAWPEMPKASEICCSGMDYPHHDHHQHHLQNGHMNYNHHHLHHL >Potri.009G136300.5.v4.1 pep chromosome:Pop_tri_v4:9:10984908:10988360:-1 gene:Potri.009G136300.v4.1 transcript:Potri.009G136300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136300.v4.1 MRYPYQPGNIMNVVQNLMERLRPLVGVKGWDYCVLWKLSDDRRYIELMDCCCAGTEATQNGGEDLQFPVSAVLPCRDVMFQHPGTKSCELLAQLPSSMPLNSGFHAQTLSSNLPRWLNFSSSSDSNVLEETVGTRALIPVPGGLMELFIAKQVPEDQHVIDVVTSQCNFLMEQEAMINSTNMDSSLSIDVNVMSENQSKPFLANENEQEDHHSLNIPYDTSLDRLHMSSSPMNNFMHQFNYSTDETKTKGDLFQGVESGLQDMDDLQKSMMANAESTQMQYMESGLTTKDQHGNDKESIKLENGPSAEYSHSDCNDDEDDAKYRRRTGKGPQSKNLVAERKRRKKLNDRLYALRSLVPNISKLDRASILGDAIEFVKELQKEAKELQDELEENSEDEGAKNGNNNNMPPEILNQNGVNLGAYRSDYAVNGFHVEASGISTVSKQNQDSENSHDKGHQMEAQVEVAQIDGNEFFVKVFCEHKPGGFVRLMEALDSLGLEVTNANVTSNRGLVSNVLKVEKDSEMVQADYVRDSLLELTRDPPRAWPEMPKASEICCSGMDYPHHDHHQHHLQNGHMNYNHHHLHHL >Potri.009G013701.1.v4.1 pep chromosome:Pop_tri_v4:9:2370614:2370877:1 gene:Potri.009G013701.v4.1 transcript:Potri.009G013701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013701.v4.1 MEQHQTMISILAQERLLGAILGAAFAGFLVFELRKSIYQPISPKNKER >Potri.003G112350.1.v4.1 pep chromosome:Pop_tri_v4:3:13483427:13483620:1 gene:Potri.003G112350.v4.1 transcript:Potri.003G112350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112350.v4.1 MLCGFGDEKFSGSPCLMDCSPSSSDKKTLKRWFFIDKRVG >Potri.004G182400.1.v4.1 pep chromosome:Pop_tri_v4:4:19644890:19645969:1 gene:Potri.004G182400.v4.1 transcript:Potri.004G182400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182400.v4.1 MAFYHTRSNSLPSRPHPLTSQINEHFSRLNASQATSSSSSSICHKLCCLQDLHDCVDKLILLPLTQKSLAQEQNEKWVDNVLDGSLGVLDVCSMARDALLQTKECAQELQSIMRRRRGNEIGFSGEIQTYSTSRKVVKKTIHKALRDLKDMGNKSSLSPFNKDHEAVAIVSMLKEVEAVTLIVLESLLTLISGGSKAQSKLSGWSLVSKLMHPKRIACEAEEAEVNEFEKLDSALHSLIYKKTSKSDNTVPVENVQHQLKKFDLCIQELEEGLESLYRRLIKTRVSLLNTFVN >Potri.009G029100.1.v4.1 pep chromosome:Pop_tri_v4:9:4004448:4010729:-1 gene:Potri.009G029100.v4.1 transcript:Potri.009G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029100.v4.1 MARTLLSSPPFIATSLPSLSRHTLTTNRRFISTRIKLSLHDNIPPIHHHLDSSIDFNSIISRAEGFLYTLADAAVAVDSAASTTSTDTAQKSGGWFGFISDGMEFVLKVLKDGLSAVHVPYAYGFAIILLTVFVKVATLPLTKKQVESTLAMQNLQPKIKAIQQRYAGNQERIQLETSRLYRQAGVNPLAGCFPTLATIPVWIGLYQALSNVANEGVLTEGFFWIPSLGGPTTIAARQSGSGISWLFPFVDGHPPLGWNDTAAYLVLPVLLVVSQYVSMEIMKPPQTDDPTQKNTLLVFKFLPLMIGYFSLSVPSGLSIYWFTNNVLSTAQQVWLRQLGGAKPVVNENASGIITAGRAKRSAAQPGQPGDRFRLKEEEKGKKLSKALQSEDVQALDSASDEDSDEETKDKGEEVLEEAYASSASKKVSDISRPKRSKRSKRKRAV >Potri.004G212900.1.v4.1 pep chromosome:Pop_tri_v4:4:21966400:21966938:1 gene:Potri.004G212900.v4.1 transcript:Potri.004G212900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212900.v4.1 MRLILVLALAFALLNSSCLAAYRKALHVEIIHGPKLRELAEDKDVSAGYPGSSVNNHHYIPRQDFNNFGGDGGNGGNGGSGGSGGSG >Potri.019G032001.1.v4.1 pep chromosome:Pop_tri_v4:19:4466786:4467790:-1 gene:Potri.019G032001.v4.1 transcript:Potri.019G032001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032001.v4.1 MSSSRSSDLISIMSISEASISTNCRNIIIPCGKNKDNGCQLFTRTLEEIGTATRVLFPPHQVSGFSFFLFRVCVYANAFSILQRQQIYIPSEEPTELADAKMLRALQHHNQMWSLHATKVIAVDLQK >Potri.019G032001.2.v4.1 pep chromosome:Pop_tri_v4:19:4466166:4469616:-1 gene:Potri.019G032001.v4.1 transcript:Potri.019G032001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032001.v4.1 MSIKHISEASISTNCRNIIIPCGKNKDNGCQLFTRTLEEIGTATRVLFPPHQVSGFSFFLFRVCVYANAFSILQRQQIYIPSEEPTELADAKMLRALQHHNQMWSLHATKVIAVDLQK >Potri.010G119300.5.v4.1 pep chromosome:Pop_tri_v4:10:13765613:13770407:-1 gene:Potri.010G119300.v4.1 transcript:Potri.010G119300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119300.v4.1 MPDIQLGAHTVKSHGIQIARTHMHDWLILLLLVVIEVVLNVIEPFHRFVGKDMLTDLSYPLQDNTVPIWAVPIVAILLPMAIILVYYFIRRNVYDMHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFHPVTSDVMCTGVKSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKVRVFDRRGHVAKLCIVFLPLLVAALVAVSRVDDYWHHWQDVFAGALIGLTVASFCYLQFFPPPYDVDGILFFIPLHNASLQSVVFILYNHFLYIFGVTISSFKN >Potri.010G119300.4.v4.1 pep chromosome:Pop_tri_v4:10:13764406:13770613:-1 gene:Potri.010G119300.v4.1 transcript:Potri.010G119300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119300.v4.1 MPDIQLGAHTVKSHGIQIARTHMHDWLILLLLVVIEVVLNVIEPFHRFVGKDMLTDLSYPLQDNTVPIWAVPIVAILLPMAIILVYYFIRRNVYDMHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGKGVFHPVTSDVMCTGVKSVIKEGHKSFPSGHTSWSFAGLGFLALYLSGKVRVFDRRGHVAKLCIVFLPLLVAALVAVSRVDDYWHHWQDVFAGALIGLTVASFCYLQFFPPPYDVDGWGPHAYFQMLAESRNGAESSNNINCFNAQQSELESVYIDSQRGTETSRVNTRDTRPMLEGA >Potri.004G183032.1.v4.1 pep chromosome:Pop_tri_v4:4:19697399:19698483:-1 gene:Potri.004G183032.v4.1 transcript:Potri.004G183032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183032.v4.1 MHEFGGSPEKATSGCLSFVQPIYRQRYMEFQIRRWRGPTKSYTSPRRRMEEEEEEEPPIFSCNEPITVK >Potri.001G361900.1.v4.1 pep chromosome:Pop_tri_v4:1:38038138:38043048:-1 gene:Potri.001G361900.v4.1 transcript:Potri.001G361900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361900.v4.1 MRELVTVQVGGFANFVGSHFWNFQDELLGLAGDPDSDPVFKNQSQYLNMDTLYRTGETNQGVQTYTPRLLSIDYQGSLGSMSSRGTLYNESSSAPSNVCTWTSNVSTHASEPLKKNLFLQSLYEEELENLRLSKGISNGKNDNPREIQNQDIVDCLESDVQYWTDFSKVHYHPQSLYELNGLWMNNVEFDNYGIGRDIFSGGLRGEEISERLRFFIEECDHIQGFQFIVDDSGGFSAIAADFLESIADEYTNTPVLLYTVRGPGSHMNLTSQKQRLSRSIHDALSFSRLSSFCKLIVPVGLPLLSTSKASMHLCIKDEMPYHSSAVYATALHSISVPFRIEPLGPANSHNFSGAVDVNGVIQMLAGQARQNMVTILDVAMPAPPISGKHVEHSLLRNLQPLTPEIAEDVEDMQAVEFMTVHGALRSGGHHAFISEVTDSVNAAYENSSSRPKFCHLSVSSCPLPIPLPFPKIFCNLVGQHGELLSSPVPGCSSRGSLDVHSIPMAARLRSSTAVLPFLESRLANLRRLGIQQGAPGTELVRSWGFGKDELEDMEETLSKMVTTLDDRAQLSDSD >Potri.011G118132.2.v4.1 pep chromosome:Pop_tri_v4:11:14924926:14929408:1 gene:Potri.011G118132.v4.1 transcript:Potri.011G118132.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118132.v4.1 MINSSFLSHCCLELYLNWFQIFQAECYHYLFDAAIKLHQMGLDWSTPDHGPIQKVKGVLGCNGNVKMVVKAGMNNSDNGTGPLPRCIVLDIEGTTTPITFVADVLFPYARDNVGRHLSATYETAETHDDIKLLRAQVGDDLKQGIDGAVPIPTDDAGKEEVIAALVANVEEMIKADRKITALKQLQGHIWRTGYENNELEGVVYGDVPEALEKWHALGIKVYIYSSGSRLAQRLTFGKTNYGDLIKYLSGFFDTKVGNKKETHSYIEISESLGVNKPSDILFLMDVFQEAVAAKAAGLEVMISIQPGNAPLPDDQSFKTITSFAEI >Potri.011G118132.3.v4.1 pep chromosome:Pop_tri_v4:11:14920901:14929404:1 gene:Potri.011G118132.v4.1 transcript:Potri.011G118132.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118132.v4.1 MGLDWSTPDHGPIQKVKGVLGCNGNVKMVVKAGMNNSDNGTGPLPRCIVLDIEGTTTPITFVADVLFPYARDNVGRHLSATYETAETHDDIKLLRAQVGDDLKQGIDGAVPIPTDDAGKEEVIAALVANVEEMIKADRKITALKQLQGHIWRTGYENNELEGVVYGDVPEALEKWHALGIKVYIYSSGSRLAQRLTFGKTNYGDLIKYLSGFFDTKVGNKKETHSYIEISESLGVNKPSDILFLMDVFQEAVAAKAAGNKLLLVFYFITCCKTSSKILLVHRFTTSLELLNQFNGFPFFLSPSLF >Potri.011G118132.1.v4.1 pep chromosome:Pop_tri_v4:11:14920888:14929408:1 gene:Potri.011G118132.v4.1 transcript:Potri.011G118132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118132.v4.1 MGLDWSTPDHGPIQKVKGVLGCNGNVKMVVKAGMNNSDNGTGPLPRCIVLDIEGTTTPITFVADVLFPYARDNVGRHLSATYETAETHDDIKLLRAQVGDDLKQGIDGAVPIPTDDAGKEEVIAALVANVEEMIKADRKITALKQLQGHIWRTGYENNELEGVVYGDVPEALEKWHALGIKVYIYSSGSRLAQRLTFGKTNYGDLIKYLSGFFDTKVGNKKETHSYIEISESLGVNKPSDILFLMDVFQEAVAAKAAGLEVMISIQPGNAPLPDDQSFKTITSFAEI >Potri.009G004950.1.v4.1 pep chromosome:Pop_tri_v4:9:1123499:1123627:1 gene:Potri.009G004950.v4.1 transcript:Potri.009G004950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004950.v4.1 METATLVTIFIFGLLVSFTGYALYTAFGQPSQQLRDPFKEHR >Potri.014G047500.3.v4.1 pep chromosome:Pop_tri_v4:14:3029311:3034979:-1 gene:Potri.014G047500.v4.1 transcript:Potri.014G047500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047500.v4.1 MERVAESKALPVKLPVVSQVSINAYVVSGREASQAPKLQTGLVRDVAGRFNVKQPQITDFPVPVRPWKGKVSAIIEEELMPDVVTSKGSGDYFDEGCPGSFSGASHPPEPIDTDLIMKTVYVPIGQKKAEPRCLVKSMSVKGPFLEDLSIRVPPKKPSLVVLSPAESLVEETNDLVALPTPFSVPRASQNTENSLLPPDSEENDCVWDTSLPPSGDVSPHSSIDSTGVVTAMSIVNSCASTYRSDAITSDGMLSIDRNCESTKGSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRTRDGFLGMNHFRLFKRLGCGDIGSVYLSELSGTRCFFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRAVGGAFCVQPACIEPSSACILPSCFVPRIFPQKSKKKNRKPGKDLGLQISSLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVETEPPPVKSGAVDKIGVGIVGSSSKRRMAGTDARPGGNYLDFEFF >Potri.014G047500.2.v4.1 pep chromosome:Pop_tri_v4:14:3029313:3034862:-1 gene:Potri.014G047500.v4.1 transcript:Potri.014G047500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047500.v4.1 MERVAESKALPVKLPVVSQVSINAYVVSGREASQAPKLQTGLVRDVAGRFNVKQPQITDFPVPVRPWKGKVSAIIEEELMPDVVTSKGSGDYFDEGCPGSFSGASHPPEPIDTDLIMKTVYVPIGQKKAEPRCLVKSMSVKGPFLEDLSIRVPPKKPSLVVLSPAESLVEETNDLVALPTPFSVPRASQNTENSLLPPDSEENDCVWDTSLPPSGDVSPHSSIDSTGVVTAMSIVNSCASTYRSDAITSDGMLSIDRNCESTKGSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRTRDGFLGMNHFRLFKRLGCGDIGSVYLSELSGTRCFFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRAVGGAFCVQPACIEPSSACILPSCFVPRIFPQKSKKKNRKPGKDLGLQISSLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVETEPPPVKSGAVDKIGVGIVGSSSKRRMAGTDARPGGNYLDFEFF >Potri.014G047500.4.v4.1 pep chromosome:Pop_tri_v4:14:3029385:3034920:-1 gene:Potri.014G047500.v4.1 transcript:Potri.014G047500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047500.v4.1 MERVAESKALPVKLPVVSQVSINAYVVSGREASQAPKLQTGLVRDVAGRFNVKQPQITDFPVPVRPWKGKVSAIIEEELMPDVVTSKGSGDYFDEGCPGSFSGASHPPEPIDTDLIMKTVYVPIGQKKAEPRCLVKSMSVKGPFLEDLSIRVPPKKPSLVVLSPAESLVEETNDLVALPTPFSVPRASQNTENSLLPPDSEENDCVWDTSLPPSGDVSPHSSIDSTGVVTAMSIVNSCASTYRSDAITSDGMLSIDRNCESTKGSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRTRDGFLGMNHFRLFKRLGCGDIGSVYLSELSGTRCFFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRAVGGAFCVQPACIEPSSACILPSCFVPRIFPQKSKKKNRKPGKDLGLQISSLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVETEPPPVKSGAVDKIGVGIVGSSSKRRMAGTDARPGGNYLDFEFF >Potri.014G047500.5.v4.1 pep chromosome:Pop_tri_v4:14:3027891:3034840:-1 gene:Potri.014G047500.v4.1 transcript:Potri.014G047500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047500.v4.1 MERVAESKALPVKLPVVSQVSINAYVVSGREASQAPKLQTGLVRDVAGRFNVKQPQITDFPVPVRPWKGKVSAIIEEELMPDVVTSKGSGDYFDEGCPGSFSGASHPPEPIDTDLIMKTVYVPIGQKKAEPRCLVKSMSVKGPFLEDLSIRVPPKKPSLVVLSPAESLVEETNDLVALPTPFSVPRASQNTENSLLPPDSEENDCVWDTSLPPSGDVSPHSSIDSTGVVTAMSIVNSCASTYRSDAITSDGMLSIDRNCESTKGSVRGDSLESAKTSVSRASDSSGLSDDSNWSNITGSANKPHKGNDPRWKAILAIRTRDGFLGMNHFRLFKRLGCGDIGSVYLSELSGTRCFFAMKVMDKASLASRKKLTRAQTEREILQLLDHPFLPTLYTHFETDRFSCLVMEYCPGGDLHTLRQRQPGKHFSEYAARFYAAEVLLALEYLHMLGVVYRDLKPENVLVRDDGHIMLSDFDLSLRCAVSPTLIRTSFDSDPSKRAVGGAFCVQPACIEPSSACILPSCFVPRIFPQKSKKKNRKPGKDLGLQISSLPELVAEPTAARSMSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLHELLYGKTPFKGSGNRATLFNVVGQQLRFPDSPATSYASRDLIRGLLVKEPQHRLGVKRGATEIKQHPFFEGVNWALIRCSTPPEVPRPVETEPPPVKSGAVDKIGVGIVGSSSKRRMAGTDARPGEPQDD >Potri.010G182100.3.v4.1 pep chromosome:Pop_tri_v4:10:18037991:18041414:1 gene:Potri.010G182100.v4.1 transcript:Potri.010G182100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182100.v4.1 MASVDNGNPKDEIEECNGTKAGGQIPLQISASRRTLLSNENSQRKSQDSLISLPSGINFLKFGSASAKFRQLAQERDEFSRSVTSSSSHGFRERINGVFARKIDWALLMKMGKEWIWDPMNMALFVWIICVAISGAILFLVMTGMLDHALPKKSQRDVWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWNPKDISRLRKIYCKNGTYKPHEWAHMMVVVALLHLNCFAQYALCGLNLGYRRSGRPALGVGVCISVAIAAPAIAGVYSILSPLGKDYESEIDEEAQVQITTGEGPEKLRSKSLAKRYSFAVRDEQRIAETRPQWSGGILDFWDDISLAYLSLFCSFCVFGWNMERLGFGNMYVHILTFLLFCLAPFWIFNLAAVNIDNETVREVLGITGIILCAFGLLYGGFWRIQMRKRFNLPAYTFCFGEPAVSDCTLWLCCCWCSLAQEVRTGNSYDIVEDKLCQKEMDSSNQMPQSPFSGKDGSNPSSPLGNNSSPSSDVITNSPSPSIISKGHVTPGKHLPMVKEEPSRGGKDETMTPPAPSLIEREAN >Potri.010G182100.4.v4.1 pep chromosome:Pop_tri_v4:10:18038101:18040788:1 gene:Potri.010G182100.v4.1 transcript:Potri.010G182100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182100.v4.1 MASVDNGNPKDEIEECNGTKAGGQIPLQISASRRTLLSNENSQRKSQDSLISLPSGINFLKFGSASAKFRQLAQERDEFSRSVTSSSSHGFRERINGVFARKIDWALLMKMGKEWIWDPMNMALFVWIICVAISGAILFLVMTGMLDHALPKKSQRDVWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWNPKDISRLRKIYCKNGTYKPHEWAHMMVVVALLHLNCFAQYALCGLNLGYRRSGRPALGVGVCISVAIAAPAIAGVYSILSPLGKDYESEIDEEAQVQITTGEGPEKLRSKSLAKRYSFAVRDEQRIAETRPQWSGGILDFWDDISLAYLSLFCSFCVFGWNMERLGFGNMYVHILTFLLFCLAPFWIFNLAAVNIDNETVREVLGITGIILCAFGLLYGGFWRIQMRKRFNLPAYTFCFGEPAVSDCTLWLCCCWCSLAQEVRTGNSYDIVEDKLCQKEMDSSNQMPQSPFSGKDGSNPSSPLGNNSSPSSDVITNSPSPSIISKGHVTPGKHLPMVKEEPSRGGKDETMTPPAPSLIEREAN >Potri.017G150866.1.v4.1 pep chromosome:Pop_tri_v4:17:14886640:14886948:-1 gene:Potri.017G150866.v4.1 transcript:Potri.017G150866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G150866.v4.1 MFVSQLIGTAMGCMISPCVFWPFFKAFKDLGTPGSQYPAPCATVFRNMAILGVEGFSSLPKNCLYLCYWFFGAAILINLIKDAMGKKRASFIPNPMAMAIPF >Potri.005G072700.2.v4.1 pep chromosome:Pop_tri_v4:5:4823046:4825452:1 gene:Potri.005G072700.v4.1 transcript:Potri.005G072700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072700.v4.1 MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLYRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARISDKKKRISKAKAEAAEYQKLLATRLKEQRERRSESLAKKRSRLSVASKPSVVA >Potri.005G072700.3.v4.1 pep chromosome:Pop_tri_v4:5:4823011:4825321:1 gene:Potri.005G072700.v4.1 transcript:Potri.005G072700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072700.v4.1 MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLYRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARISDKKKRISKAKAEAAEYQKLLATRLKEQRERRSESLAKKRSRLSVASKPSVVA >Potri.005G072700.4.v4.1 pep chromosome:Pop_tri_v4:5:4823044:4825302:1 gene:Potri.005G072700.v4.1 transcript:Potri.005G072700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072700.v4.1 MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFKGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLYRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARISDKKKRISKPSVVA >Potri.010G177000.5.v4.1 pep chromosome:Pop_tri_v4:10:17677084:17679462:1 gene:Potri.010G177000.v4.1 transcript:Potri.010G177000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177000.v4.1 MEANAPGNIVQREIPIIQPLSRFADNTDALKGSTVTLRTPNSKPNRIPQRSVTPGQKQRISTSTENKNSKVAAQILNQKMAQFTTDPNRKTNVIANSAKPTNQKSSQTNFLSSNLSKDIKTKPENRGVSPSVRSTIAAQIPGFSNDTPPNLRTDRATSATRGRPVAANPTASVRQKQDPAPRPRRQSCSPSVTRGRKESNEENLTTSKGKTVTGNNGAQIFGSRMVDKVMNARKLGAEEREAKPKQQSATKSANSSANASPSHGFGRMMTTTKSQMDMAFKHMVWSYSTW >Potri.010G177000.4.v4.1 pep chromosome:Pop_tri_v4:10:17677084:17679462:1 gene:Potri.010G177000.v4.1 transcript:Potri.010G177000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177000.v4.1 MKGSSRKVPGMPFIKGRKDRDEDLLLFKELQRREKDRLACLLQPVSDEFEPNIAGNHTLYRIASGRKGSVYESFGENDKNDYDWLKTPPATPLFPSLEMEANAPGNIVQREIPIIQPLSRFADNTDALKGSTVTLRTPNSKPNRIPQRSVTPGQKQRISTSTENKNSKVAAQILNQKMAQFTTDPNRKTNVIANSAKPTNQKSSQTNFLSSNLSKDIKTKPENRGVSPSVRSTIAAQIPGFSNDTPPNLRTDRATSATRGRPVAANPTASVRQKQDPAPRPRRQSCSPSVTRGRKESNEENLTTSKGKTVTGNNGAQIFGSRMVDKVMNARKLGAEEREAKPKQQSATKSANSSANASPSHGFGRMMTTTKSQMDMAFKHMVWSYSTW >Potri.010G177000.2.v4.1 pep chromosome:Pop_tri_v4:10:17677084:17679462:1 gene:Potri.010G177000.v4.1 transcript:Potri.010G177000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177000.v4.1 MEANAPGNIVQREIPIIQPLSRFADNTDALKGSTVTLRTPNSKPNRIPQRSVTPGQKQRISTSTENKNSKVAAQILNQKMAQFTTDPNRKTNVIANSAKPTNQKSSQTNFLSSNLSKDIKTKPENRGVSPSVRSTIAAQIPGFSNDTPPNLRTDRATSATRGRPVAANPTASVRQKQDPAPRPRRQSCSPSVTRGRKESNEENLTTSKGKTVTGNNGAQIFGSRMVDKVMNARKLGAEEREAKPKQQSATKSANSSANASPSHGFGRMMTTTKSQMDMAFKHMVIAKDRINSRHLGITSGRSCGQNELD >Potri.010G177000.3.v4.1 pep chromosome:Pop_tri_v4:10:17677084:17679462:1 gene:Potri.010G177000.v4.1 transcript:Potri.010G177000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177000.v4.1 MKGSSRKVPGMPFIKGRKDRDEDLLLFKELQRREKDRLACLLQPVSDEFEPNIAGNHTLYRIASGRKGSVYESFGENDKNDYDWLKTPPATPLFPSLEMEANAPGNIVQREIPIIQPLSRFADNTDALKGSTVTLRTPNSKPNRIPQRSVTPGQKQRISTSTENKNSKVAAQILNQKMAQFTTDPNRKTNVIANSAKPTNQKSSQTNFLSSNLSKDIKTKPENRGVSPSVRSTIAAQIPGFSNDTPPNLRTDRATSATRGRPVAANPTASVRQKQDPAPRPRRQSCSPSVTRGRKESNEENLTTSKGKTVTGNNGAQIFGSRMVDKVMNARKLGAEEREAKPKQQSATKSANSSANASPSHGFGRMMTTTKSQMDMAFKHMVIAKDRINSRHLGITSGRSCGQNELD >Potri.001G318300.1.v4.1 pep chromosome:Pop_tri_v4:1:32823369:32825202:1 gene:Potri.001G318300.v4.1 transcript:Potri.001G318300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318300.v4.1 MSKLPQDIIVDILTYLPVKSLVRFKCVCKPWQLLISDPRFVKLHLKRAIEGNNINRQRLLVAAEPLYSSVDFEAASDGDGINAVMELPYPSAASRTESFAFASIRGSCDGLVCIRNGGDVHDMFLWNPSTRESKKLPKPSSSVQKHGFLTGLGYDSTIDDYKLVIACLTTANGSHQIMAPEVFTLKTNSWRRIQGIHSGITLEGGAGVFWNGALHWLGKQETGADHDVDVIFSLDVAQEKFMGFVPLPNHFCTAVLSISGNCLCIFGKLHPDESYFEAWITSEYGVKTSWRRRYAIPFDRLYMDYFSTEMCLTKKGVLMDHHGCPGTLQLYDPVEDATKLLRVKNNRDPMYDSAVYTESLVSLR >Potri.001G318300.2.v4.1 pep chromosome:Pop_tri_v4:1:32823369:32825202:1 gene:Potri.001G318300.v4.1 transcript:Potri.001G318300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318300.v4.1 MSKLPQDIIVDILTYLPVKSLVRFKCVCKPWQLLISDPRFVKLHLKRAIEGNNINRQRLLVAAEPLYSSVDFEAASDGDGINAVMELPYPSAASRTESFAFASIRGSCDGLVCIRNGGDVHDMFLWNPSTRESKKLPKPSSSVQKHGFLTGLGYDSTIDDYKLVIACLTTANGSHQIMAPEVFTLKTNSWRRIQGIHSGITLEGGAGVFWNGALHWLGKQETGADHDVDVIFSLDVAQEKFMGFVPLPNHFCTAVLSISGNCLCIFGKLHPDESYFEAWITSEYGVKTSWRRRDIAALRPS >Potri.014G006000.1.v4.1 pep chromosome:Pop_tri_v4:14:517718:521535:1 gene:Potri.014G006000.v4.1 transcript:Potri.014G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006000.v4.1 MDGVKHTSEDSELISETIEKLPVSVSMEGKGKRLWKKVKYQLVEYNSLPAYLRDNEFILGHYRSEWPLKQVLLSVFTIHNETLNVWTHLIGFFLFLSLTIYTAMKVPKVVDLHSLQLPEVLKADLHKLQECLPSLPNMPDLHKLSGELKSTLPSIDLLPSFSRWHVMDLLYNCLPERFSHSNQTDVCVLRSMKEDVANMIAPLMLRPITRWPFFAFLGGAMFCLLASSTCHLLSCHSERLTYILLRLDYAGIAALISTSFYPPVYYSFMCNPFFCNLYLGFITLLGVATILVSLLPVFQNPEFRTVRASLFLGMGLSGVAPILHKLILFWHQPEALHTTGYEVLMGIFYGIGALIYATRIPERWMPGKFDIAGHSHQLFHVLVVAGAYTHYQAGLVYLKWRDLEGCRGT >Potri.017G056700.3.v4.1 pep chromosome:Pop_tri_v4:17:4443620:4458558:1 gene:Potri.017G056700.v4.1 transcript:Potri.017G056700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056700.v4.1 MFLAGEVMNLNTDVIESRTRLLKMHGLITSPGLLHEKRLFSVKICSLSTISREYFALRSIGSLPFKDLILTAADKSSGSEDQAWKVSQPLSEHFQGSLNKSQMEAIDAGLLRKAFVLIQGPPGTGKTQTILALLSAILHATPTRVHTMAGLHETKRGSELPIQEKYNHWARASPWWTGNNPRDKNMPKDGDDGFFPTSGNDFKPEVIASSRKYHVRVLVCAPSNSALDEIVLRLLKTGVHDENVRSYNPKIVRIGLKAHHSVQSVCMDNLVKQKQGESASDKQKHRTAGGDTDSIRAAILEESVIVFSTLSFSGSALFSKLNHGFDVVIIDEAAQAVEPATLVPLVNGCKQVFLVGDPVQLPATVISPTAGKFGYGTSLFERFQRAGYPVNMLKMQYRMHPEIRSFPSSEFYAEALQDADDLERRTTRDWHQYHCFGPFCFFDVHEGKESQPSGSGSWVNVDEVEFVLLLYHKLVTMYPELRSSSQFAIISPYRHQVKLFQDRFRDAFGQESKKFVDIQTVDGFQGREKDVAIFSCVRSNDDRRIGFVSDARRMNVGITRAKSAVLVVGSASTLRNDEHWNNLVESAEKRNVLFKVSKPYSSFFSDSNLNSMKVERSLPDGLDTGDMEINELMDVHREHADEEQAEDNDLEDGEMDGGGYDED >Potri.017G056700.2.v4.1 pep chromosome:Pop_tri_v4:17:4442596:4459535:1 gene:Potri.017G056700.v4.1 transcript:Potri.017G056700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056700.v4.1 MAVDKDRLREEEEASFVRFCKIILGWDYFGLLTEAAQKQKKERGKGGGSGLRQVKNTYKDVDDYLATFEPLLFEEVKAQIIQKKDDEEVTEWVLRLVVECNESEGFLLPSVTYGDDEGEKIVQNDLLLLSEDQFKEGGGKFPQVYAFALVEQRQHNLLRLRMFLAGEVMNLNTDVIESRTRLLKMHGLITSPGLLHEKRLFSVKICSLSTISREYFALRSIGSLPFKDLILTAADKSSGSEDQAWKVSQPLSEHFQGSLNKSQMEAIDAGLLRKAFVLIQGPPGTGKTQTILALLSAILHATPTRVHTMAGLHETKRGSELPIQEKYNHWARASPWWTGNNPRDKNMPKDGDDGFFPTSGNDFKPEVIASSRKYHVRVLVCAPSNSALDEIVLRLLKTGVHDENVRSYNPKIVRIGLKAHHSVQSVCMDNLVKQKQGESASDKQKHRTAGGDTDSIRAAILEESVIVFSTLSFSGSALFSKLNHGFDVVIIDEAAQAVEPATLVPLVNGCKQVFLVGDPVQLPATVISPTAGKFGYGTSLFERFQRAGYPVNMLKMQYRMHPEIRSFPSSEFYAEALQDADDLERRTTRDWHQYHCFGPFCFFDVHEGKESQPSGSGSWVNVDEVEFVLLLYHKLVTMYPELRSSSQFAIISPYRHQVKLFQDRFRDAFGQESKKFVDIQTVDGFQGREKDVAIFSCVRSNDDRRIGFVSDARRMNVGITRAKSAVLVVGSASTLRNDEHWNNLVESAEKRNVLFKVSKPYSSFFSDSNLNSMKVERSLPDGLDTGDMEINELMDVHREHADEEQAEDNDLEDGEMDGGGYDED >Potri.017G056700.1.v4.1 pep chromosome:Pop_tri_v4:17:4442617:4458556:1 gene:Potri.017G056700.v4.1 transcript:Potri.017G056700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056700.v4.1 MAVDKDRLREEEEASFVRFCKIILGWDYFGLLTEAAKQKKERGKGGGSGLRQVKNTYKDVDDYLATFEPLLFEEVKAQIIQKKDDEEVTEWVLRLVVECNESEGFLLPSVTYGDDEGEKIVQNDLLLLSEDQFKEGGGKFPQVYAFALVEQRQHNLLRLRMFLAGEVMNLNTDVIESRTRLLKMHGLITSPGLLHEKRLFSVKICSLSTISREYFALRSIGSLPFKDLILTAADKSSGSEDQAWKVSQPLSEHFQGSLNKSQMEAIDAGLLRKAFVLIQGPPGTGKTQTILALLSAILHATPTRVHTMAGLHETKRGSELPIQEKYNHWARASPWWTGNNPRDKNMPKDGDDGFFPTSGNDFKPEVIASSRKYHVRVLVCAPSNSALDEIVLRLLKTGVHDENVRSYNPKIVRIGLKAHHSVQSVCMDNLVKQKQGESASDKQKHRTAGGDTDSIRAAILEESVIVFSTLSFSGSALFSKLNHGFDVVIIDEAAQAVEPATLVPLVNGCKQVFLVGDPVQLPATVISPTAGKFGYGTSLFERFQRAGYPVNMLKMQYRMHPEIRSFPSSEFYAEALQDADDLERRTTRDWHQYHCFGPFCFFDVHEGKESQPSGSGSWVNVDEVEFVLLLYHKLVTMYPELRSSSQFAIISPYRHQVKLFQDRFRDAFGQESKKFVDIQTVDGFQGREKDVAIFSCVRSNDDRRIGFVSDARRMNVGITRAKSAVLVVGSASTLRNDEHWNNLVESAEKRNVLFKVSKPYSSFFSDSNLNSMKVERSLPDGLDTGDMEINELMDVHREHADEEQAEDNDLEDGEMDGGGYDED >Potri.007G110500.1.v4.1 pep chromosome:Pop_tri_v4:7:13221086:13226405:-1 gene:Potri.007G110500.v4.1 transcript:Potri.007G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110500.v4.1 MLSSDEGESDLFFDSVDYLELGCDKLEYDIWLNEPQSVKERRQGFLRGMGLGGFASNIDENLGLERITECSEAVSSSLVSCTNVEEVNLVSYSAGREENSEANCMVDEILPFSMDKPGAASENENIGPSVHLRQGCEQCEDEKCKKFDSEKSKTKSWWKLFVRKRKERGGRCASGVSKLDTKAPKTNRTKVKQNKKGCMEFSGVYMGQEIQAHKGFIWTMKFSPDGQYLATGGEDRIIRIWRVTSVDSSCKSFSSEGHSDSNLKEGKSSLRTKKRMHSSVVIPEKVFQIEETPLQEFHGHTSEILDLAWSDSNHLLSSSMDKTVRLWLVGCNYCLGIFHHSSYVTCIQFNPVDENYFISGSIDGKVRVWGVSEKRVVHWADVRDVISAICYQPDGRGFVVGTIIGSCRFYEVSGTDLQLEAEIHIQGRNRNSGNRITGIQFSQEICPRVMITSEDSKVRVFDGVDVVNKFKGLPKSGSQMSASFTSKGRHIISVGEDCRVYVWNYDGLCTPWSKHTKSVRSCEYFFSEGVSVAVPWSGPGTELRGLSSRSLRSYVQTEGDASWMRDSDRFSLGSWFFMDGRCRWGSATWPEEKLPTWDVPVLEDEYQNQQTEDLHQQHCVNTNDQISLPDAWGLVIVTAGWDGKIKTFHNYGLPIKLK >Potri.008G057232.1.v4.1 pep chromosome:Pop_tri_v4:8:3366703:3367779:1 gene:Potri.008G057232.v4.1 transcript:Potri.008G057232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057232.v4.1 MPCCISFPLSTGGSFTCCLAKLAPISKLFHLIGFVVVIHLSRSNLLQNLLFLVTECRYQVLGKIHSSKHIELSPFFHVSKKLSLTGPFNILIFVKIFICCLFIHVFLLRRQFELFS >Potri.017G072950.1.v4.1 pep chromosome:Pop_tri_v4:17:7997098:7997683:-1 gene:Potri.017G072950.v4.1 transcript:Potri.017G072950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072950.v4.1 MEKASFKLVFVIVLLLSTTCFLDGVEALGYCSSDSDCPSRCPSGCTDAHCQYVAEGDFECYCGLNPCLG >Potri.006G281600.1.v4.1 pep chromosome:Pop_tri_v4:6:27088484:27091672:1 gene:Potri.006G281600.v4.1 transcript:Potri.006G281600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281600.v4.1 MFQPLMGSCNADVLDTKQKINGRLYKALVTCNKKDVVDLCQRISDHALHVITVNDDTVLHMATYAKEAALVERLLDELPDHHVDKLTRQNRVGNTILHETATSNHAISVADKLLKRAPGLLGMRNHNGETALFRAARYGKTDMFNFLAAKVSGYDEAGLQFYVQRSDKTTILHIAILSEHFDLAYQIALDYRHLISEKDGDGMTSLQLLSCNPSAFKQEPEDGFIKLAKSCCSTAWQEKVQNQKDKYKSAVELAKLLSRNDTSWEVTYSSIDQSKPKIHRYGEIGGQEGMSLAARIPERMDDVGETPLILATKSGIVEIVEEILRLYPQAVEHVDDEGRNVLHVAIKYRELKIFELVTKMEVPMKRLVRKIDNEGNSILHTVGIKRKDFVSEKMEGPAFLLQEELLWFERVEKVTPPHFISHHNSQNLSAECLFITANSELRSSAKEWMKSTAEGSSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVSDVLSLTFALTSVVTFLSILSSPFRFKDFKHTLPNKLMAGFTFLFLSVAMMMVAFGSTIFLTIYNKENWAKVTLYTVSFIPVCIFALSYFPLYSSLSKTYKYLLENFPLTKLVLSKPCMMMSKCLKCCQVQTSESHIP >Potri.015G087800.1.v4.1 pep chromosome:Pop_tri_v4:15:11143893:11145969:-1 gene:Potri.015G087800.v4.1 transcript:Potri.015G087800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087800.v4.1 MSLTPFPLFSQFLSSQRRQQTMANPSLCLLFLLSLLTPALISSSPVQDPELVVQEVHRAINASRRKLGYLSCGTGNPIDDCWRCDPNWEKNRQRLADCAIGFGKNAIGGRDGKIYVVTDSGNDDPVNPRPGTLRHAVIQEEPLWIIFARDMTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLHIHDCKQGGNAMVRDSPKHFGWRTVSDGDGVSIFGGTHVWVDHNSLSNCNDGLVDAIHGSSAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDIRFSKEVTKHEDAPESEWKHWNWRSEGDLLMNGAFFTASGAGASSSYARASSLGARPSSLVGTITVGAGALGCRKGARC >Potri.015G087800.3.v4.1 pep chromosome:Pop_tri_v4:15:11143889:11145636:-1 gene:Potri.015G087800.v4.1 transcript:Potri.015G087800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087800.v4.1 MTIQLKEELIMNSFKTIDGRGASVHIAGGPCITIQYVTNIIIHGLHIHDCKQGGNAMVRDSPKHFGWRTVSDGDGVSIFGGTHVWVDHNSLSNCNDGLVDAIHGSSAITISNNYMTHHDKVMLLGHSDSYTQDKNMQVTIAFNHFGEGLVQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRFVAPDIRFSKEVTKHEDAPESEWKHWNWRSEGDLLMNGAFFTASGAGASSSYARASSLGARPSSLVGTITVGAGALGCRKGARC >Potri.002G088400.1.v4.1 pep chromosome:Pop_tri_v4:2:6383868:6387762:-1 gene:Potri.002G088400.v4.1 transcript:Potri.002G088400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088400.v4.1 MRSQVEAQDPVHKSPYISTDPVSTSSLLPSLRSQWCSSPLRNMLGLRGSVRLLTEINQSLLQNATFRCQRVQGLRVGNTEIPNDKKLEVALQYIHGIGRKRAHQILCELSLVNKPTKDLTGIELNSLREEVSKYLTGPDLARRVKADVQRLVDIECYRGYRHVEGLPCRGQRTSTNARTRKEHQKYGSQEVAERIRKHQERSQAK >Potri.004G091800.3.v4.1 pep chromosome:Pop_tri_v4:4:7799007:7803718:-1 gene:Potri.004G091800.v4.1 transcript:Potri.004G091800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091800.v4.1 MVLLLKPVPSEGNLSTEKRKAEDDIESAEKRKRKKNKCPTPRPACSWVHFSREFIKEYSASHPESCGLKAATKAASDAWKSMRVEEKAKYTKQARELWDSYLSTAPARIPKPRKQTKLVTRCSPGRLFNVLQRLSPEQNAAVKSMGFGSLLGLRCRTLRRSLCLWLLERFNTAGCSLEICGMCIPLSPRDVEIVLGLAASGKDVINSGPDDLIVDLRRSYNATNHGISVRLLEERLTAPEAGEDFKRSFVLYALGTLLSPTARLDVSPSFLHFLTNMDVVHQYNWGKFLLDRLVREVSRFHQGKQRAVGGCLLFLQLFYYESISVEGSHSSNSSVVPCLSSWGEEEISEREKRQRELGGYGFGEVICKERCTGLEFSESRGQLDGSSEGKISSGVNHDSVFEQQANQAGKEIMNGNTYVEGANVPSLLTSNDVLCGNMEVGTESASTICQNKEYDCNGTLNCIDDVIPEETCIFSPHACPLLDCNFTGSSEQLSLHFSSKHWDCGRRFRYNIPLSVSLGVNEQFLVLQAEEDGVLFLLGKGIESLGNTVIVTCIGPSSSQDRFLYDVVASRGVSSLRLKSLTECFPGRVEGLPPVDFLLIPFAFLGPSGQLDLEVCIWSSTELGADCT >Potri.004G091800.4.v4.1 pep chromosome:Pop_tri_v4:4:7799007:7803678:-1 gene:Potri.004G091800.v4.1 transcript:Potri.004G091800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091800.v4.1 MLCITDSESMKKKQEGKKVPSEGNLSTEKRKAEDDIESAEKRKRKKNKCPTPRPACSWVHFSREFIKEYSASHPESCGLKAATKAASDAWKSMRVEEKAKYTKQARELWDSYLSTAPARIPKPRKQTKLVTRCSPGRLFNVLQRLSPEQNAAVKSMGFGSLLGLRCRTLRRSLCLWLLERFNTAGCSLEICGMCIPLSPRDVEIVLGLAASGKDVINSGPDDLIVDLRRSYNATNHGISVRLLEERLTAPEAGEDFKRSFVLYALGTLLSPTARLDVSPSFLHFLTNMDVVHQYNWGKFLLDRLVREVSRFHQGKQRAVGGCLLFLQLFYYESISVEGSHSSNSSVVPCLSSWGEEEISEREKRQRELGGYGFGEVICKERCTGLEFSESRGQLDGSSEGKISSGVNHDSVFEQQANQAGKEIMNGNTYVEGANVPSLLTSNDVLCGNMEVGTESASTICQNKEYDCNGTLNCIDDVIPEETCIFSPHACPLLDCNFTGSSEQLSLHFSSKHWDCGRRFRYNIPLSVSLGVNEQFLVLQAEEDGVLFLLGKGIESLGNTVIVTCIGPSSSQDRFLYDVVASRGVSSLRLKSLTECFPGRVEGLPPVDFLLIPFAFLGPSGQLDLEVCIWSSTELGADCT >Potri.004G091800.5.v4.1 pep chromosome:Pop_tri_v4:4:7799008:7803716:-1 gene:Potri.004G091800.v4.1 transcript:Potri.004G091800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091800.v4.1 MRVEEKAKYTKQARELWDSYLSTAPARIPKPRKQTKLVTRCSPGRLFNVLQRLSPEQNAAVKSMGFGSLLGLRCRTLRRSLCLWLLERFNTAGCSLEICGMCIPLSPRDVEIVLGLAASGKDVINSGPDDLIVDLRRSYNATNHGISVRLLEERLTAPEAGEDFKRSFVLYALGTLLSPTARLDVSPSFLHFLTNMDVVHQYNWGKFLLDRLVREVSRFHQGKQRAVGGCLLFLQLFYYESISVEGSHSSNSSVVPCLSSWGEEEISEREKRQRELGGYGFGEVICKERCTGLEFSESRGQLDGSSEGKISSGVNHDSVFEQQANQAGKEIMNGNTYVEGANVPSLLTSNDVLCGNMEVGTESASTICQNKEYDCNGTLNCIDDVIPEETCIFSPHACPLLDCNFTGSSEQLSLHFSSKHWDCGRRFRYNIPLSVSLGVNEQFLVLQAEEDGVLFLLGKGIESLGNTVIVTCIGPSSSQDRFLYDVVASRGVSSLRLKSLTECFPGRVEGLPPVDFLLIPFAFLGPSGQLDLEVCIWSSTELGADCT >Potri.004G091800.1.v4.1 pep chromosome:Pop_tri_v4:4:7799006:7803718:-1 gene:Potri.004G091800.v4.1 transcript:Potri.004G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091800.v4.1 MVPSEGNLSTEKRKAEDDIESAEKRKRKKNKCPTPRPACSWVHFSREFIKEYSASHPESCGLKAATKAASDAWKSMRVEEKAKYTKQARELWDSYLSTAPARIPKPRKQTKLVTRCSPGRLFNVLQRLSPEQNAAVKSMGFGSLLGLRCRTLRRSLCLWLLERFNTAGCSLEICGMCIPLSPRDVEIVLGLAASGKDVINSGPDDLIVDLRRSYNATNHGISVRLLEERLTAPEAGEDFKRSFVLYALGTLLSPTARLDVSPSFLHFLTNMDVVHQYNWGKFLLDRLVREVSRFHQGKQRAVGGCLLFLQLFYYESISVEGSHSSNSSVVPCLSSWGEEEISEREKRQRELGGYGFGEVICKERCTGLEFSESRGQLDGSSEGKISSGVNHDSVFEQQANQAGKEIMNGNTYVEGANVPSLLTSNDVLCGNMEVGTESASTICQNKEYDCNGTLNCIDDVIPEETCIFSPHACPLLDCNFTGSSEQLSLHFSSKHWDCGRRFRYNIPLSVSLGVNEQFLVLQAEEDGVLFLLGKGIESLGNTVIVTCIGPSSSQDRFLYDVVASRGVSSLRLKSLTECFPGRVEGLPPVDFLLIPFAFLGPSGQLDLEVCIWSSTELGADCT >Potri.006G128500.2.v4.1 pep chromosome:Pop_tri_v4:6:10408397:10410332:-1 gene:Potri.006G128500.v4.1 transcript:Potri.006G128500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128500.v4.1 MKFFFCGSSCFSSGPKATIINNRSSLPGEQSHDNLRLFSSNELEIATQNFSSSNKIGEGGFGCVYKGWLEDGSVVAVKVLSVEVESMRGEREFISEIAALSDIKHENLVTLRGCCVDGAKRYLVYDYMENNSLVHTLLGQEHNRAKFSWEARRGISLGVARGIAYLHDVVQPRILHRDIKASNILLDQNFTPKVSDFGLSRALRDNTSHVSTHVAGTLGYLAPEYAVSGRMTRKSDVYSFGVLLLEIISGRPVVDFDLEHGEHYLVQKAWEVYKGNSLLQIVDPALHMDFPEEEALRFLMVGLLCVQETVKLRPSMSTTVKMLTNENDIRDVQISQPGLLSDLMDIRLRQKHSSQRTQTTFSRGSTSTSTHSTSYF >Potri.006G130900.3.v4.1 pep chromosome:Pop_tri_v4:6:10678509:10681700:1 gene:Potri.006G130900.v4.1 transcript:Potri.006G130900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130900.v4.1 MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRFDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQISEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAVKKK >Potri.006G130900.1.v4.1 pep chromosome:Pop_tri_v4:6:10678490:10681705:1 gene:Potri.006G130900.v4.1 transcript:Potri.006G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130900.v4.1 MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRFDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQISEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAVKKK >Potri.006G130900.4.v4.1 pep chromosome:Pop_tri_v4:6:10678511:10681184:1 gene:Potri.006G130900.v4.1 transcript:Potri.006G130900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130900.v4.1 MGKEKVHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKSRFDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQISEPKRPTDKPLRLPLQDVYKIGGIGTVPVGRVETGVLKPGMVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAVKKK >Potri.002G184500.1.v4.1 pep chromosome:Pop_tri_v4:2:14627302:14629967:-1 gene:Potri.002G184500.v4.1 transcript:Potri.002G184500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184500.v4.1 MKWLNSFFSMALLVIFHHGQYCCQADQSSPTHHCVFAKTNGTQFVVNNKPLYLNGFNAFWMMYMSSDPSTRSKVTSAFQQASEYGMNIARTWAFSDGGNDKPLQISPGIYNEDMFKGLDFVVSEARKYGIYLILSLVNNFKDYGGRSQYVEWARERDQQLSDDDGFYTNSVVKEYYKNHVKAVLTRINSITGVAYKDDPTIFAWELINEPHSNDTSGKLIQDWVNEMAAHVKSIDNYHLLEIGLEGFYGDSKKESNPGSYLFGTDFISNNQIPHIDFATIHLYPEQWLPNSSEDEQASFVDRWIQAHVQDSSSVLGKPLIIGEFGKSLKLPGNSLQKRDTYFVKIYSDIYNSVTRGGPFTGGLFWQLLAEGMESWGDGYEVVLEESPSTANIIDLQSRKLQSPSTANIIDLQSLT >Potri.002G075700.2.v4.1 pep chromosome:Pop_tri_v4:2:5286872:5289115:-1 gene:Potri.002G075700.v4.1 transcript:Potri.002G075700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075700.v4.1 MACHCAHYAFSPSPHMRYFSINNITKLSSKVTKPTVLSSIDNSRASYNTLVSEAVRLLGPPAKFEASKLKVVLMGEEMNQYSAIIPRTYILSHCDFTADLTLIISNVINLDQLRGWYSKDDVVVEWKKLEGQLALHAHCYVSGPNLLLDLAAEFRYHIFSKEMPLVLEAVLDGDAALFTKHPELKDSLVWVYFHSRLPKYNRLECWGPLKDAAQGRPRDQRGFSVASKASSRISRKSG >Potri.001G273200.1.v4.1 pep chromosome:Pop_tri_v4:1:28723147:28723888:-1 gene:Potri.001G273200.v4.1 transcript:Potri.001G273200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273200.v4.1 MAQTISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTNKDTRGTAFVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQPAKMNKKFDQKKKEEEIAKMQEKYGVSTKDK >Potri.003G162801.1.v4.1 pep chromosome:Pop_tri_v4:3:17236394:17249595:1 gene:Potri.003G162801.v4.1 transcript:Potri.003G162801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162801.v4.1 MKSPCKESKIPSYECIKQDPSSEAIDVSVTKNIAQRVQKVESRGSLERRCSPRLKNKPQEKRPCYFEGQSTKLDAPELDICKKEVEGESTGPGERRWCPRLESIPDKSRPLYDGDQRRELDAPKHDVCKKETGDYNRKRHLESPGDNAGKRRSYINHPMQEWLPDIDRKGVEDGLKRSGLALGSLPFKFDDTEIELENNANLKWTDYQSFVADSIKQKACTAVKETARTFNNHHLSCVQEEEKRYEKVEARDPQVADYTDNKGNSSTAKCTSKQPILKEISEASKKNEQSYTEKQVAYLPGITVGHKFFSRDDMVATGFRGHWLNGIDYIRKLCGKLGKHNEYSSLVAVAIVLSGQYQDGVDCLNEVVYTGQGRNNMNGSKSQTKDQVMHCSNLALENNMEQSVPVRVICEHKRGDNQSGKVYTYCGLYKVVRCWSFKRASGFTVSKYRLKRLQGQPKVEIDEVCFERERTIGKLHGLVCEDISFGKEDIPIPVINVIDNPPIAPPGFKYIKSVQVARNVIIPPSASGCDCKGKCTNPRSCSCARLNGFDFPYVDIDGGRLIEAKDVVFECGPGCSCGPSCINRVSQRGLKYQLEIYRTADKGWAVRSWDVIPSGAPVCEYFGILRRNDELDNVSGNEFIFDIDCWHTMNEIGGRERRQGDGSAPAIDPLKKVDVKMDESESEFCIDAGSYGNVTRFINHSCQPNLFVQCILSTHHDIRLARIVLFAADDILPMQELTYDYGYALDSVVGPDGKLKQSPCYCGTDECRGRLY >Potri.003G162801.2.v4.1 pep chromosome:Pop_tri_v4:3:17236395:17249297:1 gene:Potri.003G162801.v4.1 transcript:Potri.003G162801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162801.v4.1 MKSPCKESKIPSYECIKQDPSSEAIDVSVTKNIAQRVQKVESRGSLERRCSPRLKNKPQEKRPCYFEGQSTKLDAPELDICKKEVEGESTGPGERRWCPRLESIPDKSRPLYDGDQRRELDAPKHDVCKKETGDYNRKRHLESPGDNAGKRRSYINHPMQEWLPDIDRKGVEDGLKRSGLALGSLPFKFDDTEIELENNANLKWTDYQSFVADSIKQKACTAVKETARTFNNHHLSCVQEEEKRYEKVEARDPQVADYTDNKGNSSTAKCTSKQPILKEISEASKKNEQSYTEKQVAYLPGITVGHKFFSRDDMVATGFRGHWLNGIDYIRKLCGKLGKHNEYSSLVAVAIVLSGQYQDGVDCLNEVVYTGQGRNNMNGSKSQTKDQVMHCSNLALENNMEQSVPVRVICEHKRGDNQSGKVYTYCGLYKVVRCWSFKRASGFTVSKYRLKRLQGQPKVEIDEVCFERERTIGKLHGLVCEDISFGKEDIPIPVINVIDNPPIAPPGFKYIKSVQVARNVIIPPSASGCDCKGKCTNPRSCSCARLNGFDFPYVDIDGGRLIEAKDVVFECGPGCSCGPSCINRVSQRGLKYQLEIYRTADKGWAVRSWDVIPSGAPVCEYFGILRRNDELDNVSGNEFIFDIDCWHTMNEIGGRERRQGDGSAPAIDPLKKVDVKMDESESEFCIDAGSYGNVTRFINHSCQPNLFVQCILSTHHDIRLARIVLFAADDILPMQELTYDYGYALDSVVGPDGKLKQSPCYCGTDECRGRLY >Potri.009G051900.1.v4.1 pep chromosome:Pop_tri_v4:9:5689026:5690938:-1 gene:Potri.009G051900.v4.1 transcript:Potri.009G051900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051900.v4.1 MASLLLAFNTQKRTPPPLSKGLLPRYNLNKPKSLSLLNKPFSPNSTTLKIKGSSVSSALTPQLNETLVNQEDERPLSEIWKEIQGCNDWEGLLDPMNSHLRKEIIRYGEFAQASYDSFDFDPHSKYCGSCKYRGAQFFEKLDMPGHVNYQISRYLYATSNINLPNFFQKSKLSRVWSTYANWMGYVAVTTNEEEIKRLGRRDIVVAWRGTVTYLEWIYDLKDILCVANFTNDPSIKIELGFYDLYTKKENSCKYCTFSAREQVLAEIKRLLDYYRGEEISITITGHSLGAALATLSAYDIAEMRLNYMDDGEYRTRIPITVYSFSGPRVGNLKFKERCDELGVKVLRVINVHDKVPTVPGIIANEKLQFQKYIEDNMSFPWSYAHVGVELALDHTHSPFLKPTKDLGCAHNLEAHLHLVDGYHGKGQRFCLATKRDIALVNKSCDFLRREYGVPPYWRQDENKGMVRNADGRWVLPERPRADAHPADTAHHVEQVLKNIAGSSQMEAL >Potri.015G108400.1.v4.1 pep chromosome:Pop_tri_v4:15:12633354:12636079:-1 gene:Potri.015G108400.v4.1 transcript:Potri.015G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108400.v4.1 MGSKEPAKEKREKRLQEISLLRTIPYSDHQRWWSSETVAVVTGGNRGIGFEIARQLADHGLSVILTSRESSAGLEAANVLRELGLSVDFHQLDVLDSLSIKTFAEWIQQTYGGLDVLVNNAGVNYNMGSDNSVENAKNVVDTNYYGIKNVTEALIPLMRPSSVGARIVNVSSRLGRLNGKRNRLEDKDLREQLANLETLSEELIDRTVSTFLQQVEDRTYTSGGWPQVNTDYSVSKLAVNAYTRLMAKKLSDRPNGQKIYINCYCPGWVKTAMTGWAGNVSAEDGADTGVWLALLPDQAITGKFFAERREVNF >Potri.002G107800.1.v4.1 pep chromosome:Pop_tri_v4:2:8013948:8017704:1 gene:Potri.002G107800.v4.1 transcript:Potri.002G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107800.v4.1 MAVASGFATTISGAKMETLLSLNSSSYSTSSVFFVLPQDMRVSCKPPRNNYRRRVLLNNKGGGVRCEVSTASNDVALAAEIDPARVSSMSALEQLKTSAVDRYTKERASIVVIGLSIHTAPVEMREKLAIPEAEWPRAIGELCGLNHIEEAAVLSTCNRMEIYVVALSQHRGVKEVTEWMSKTSGVPVSEICEHRFLLYNNDATQHLFEVSAGLDSLVLGEGQILAQVKQVVKGGQGVVGFGRNISGLFKHAITVGKRVRTETNIAAGAVSVSSAAVELALMKLPESSHASARMLVIGAGKMGKLVIKHLVAKGCTKMVVVNRTGDRVAAIREELKDVEIIYKPFPDMLTCAAEADVVFTSTSSETPLFVRDDVKDLPPVGSEVGGSRLFVDISVPRNVGSCVSDLENVRVYNVDDLKEVVAANKEDRLRKAMEAQAIINEESKQFEAWRDSLETVPTIKKLRAYAERIRLAELEKCLSKMGDDISKKTRRAVDDLSRGIVNKLLHGPMQHLRCDGSDSRTLSETLENMHALNRMFSLETEVAVLEQKIRAKQSQK >Potri.012G132600.2.v4.1 pep chromosome:Pop_tri_v4:12:14755291:14763302:1 gene:Potri.012G132600.v4.1 transcript:Potri.012G132600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132600.v4.1 MGRGKVVLERIENKISRQVTFSKRRNGLLKKAYELSLLCDAEVALIIFSSHGKLFEFSSIDMNSILQRYRQCCYSTQDTNIPEEGSHNLYQEVSRLRAKCETLQRSQRNFLGEDLEPLAFKELEKIEKQLDKTLSQARQRKTQLMFDKMEELRLKEQELEEENKQLKTKLEEVVLRLPAIQGVRDPSKADGYKHSEPLPTLQMGHHQFVYQEQAFDARTNIPGKSNPTPRWLS >Potri.012G132600.4.v4.1 pep chromosome:Pop_tri_v4:12:14754859:14763302:1 gene:Potri.012G132600.v4.1 transcript:Potri.012G132600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132600.v4.1 MNSILQRYRQCCYSTQDTNIPEEGSHNLYQEVSRLRAKCETLQRSQRNFLGEDLEPLAFKELEKIEKQLDKTLSQARQRKTQLMFDKMEELRLKEQELEEENKQLKTKLEEVVLRLPAIQGVRDPSKADGYKHSEPLPTLQMGHHQFVYQEQAFDARTNIPGKSNPTPRWLS >Potri.012G132600.1.v4.1 pep chromosome:Pop_tri_v4:12:14754859:14763302:1 gene:Potri.012G132600.v4.1 transcript:Potri.012G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132600.v4.1 MGRGKVVLERIENKISRQVTFSKRRNGLLKKAYELSLLCDAEVALIIFSSHGKLFEFSSIDMNSILQRYRQCCYSTQDTNIPEEGSHNLYQEVSRLRAKCETLQRSQRNFLGEDLEPLAFKELEKIEKQLDKTLSQARQRKTQLMFDKMEELRLKEQELEEENKQLKTKLEEVVLRLPAIQGVRDPSKADGYKHSEPLPTLQMGHHQFVYQEQAFDARTNIPGKSNPTPRWLS >Potri.015G004500.1.v4.1 pep chromosome:Pop_tri_v4:15:276561:278729:-1 gene:Potri.015G004500.v4.1 transcript:Potri.015G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004500.v4.1 MGSYCCFSLLFSATIVVFLAHPILADRKKQITGPAVTVTKHLFFSDFRLDDPKIVHEVKLLGSARFSDEKGAIQIPDESQLDLRHQAGRAIHAYPIRMLDPLTGTPASFETTFSFQFSNSSAESHVNSTDGYNNSGGSGLAFIVVPDEFTVGRPGPWLAMLNDACEDNYKAVAVEFDTRHNPEFGDPNDNHVGINLGSIISSTTVNASDVGVYLKDGLIHQAKIAYNGSRSWMEVSLGSKTIFSGSLDLSPFLNEYMFVGFSASTGNMTQIHNVYSWNFTSTSQASLRAPSAETCESKLMEQYDQGVQSSASHSSKKEPPNSLLIFICVMALAIAVFASLFYNGRRRNNQTKAVILPDKRQRPRPPNKPRRFTISEVSSATRGFHEYEILGNDSKGIYYRGKLPNGCQVAIKRFSAQFLSSQIGLDRRRLLKQISTISRVRHPNLVPIRGWCQDNRETMVVYDSYPNGSLDKWLFGAGVLPWTRRLKVVKDVADALSFLHSKQLAHKNMKTTSVFLDVSFRAVLGDFGFVLSSTESQRFEATVSQKADVFEFGIFVLEVVSGRGRLESELRQEERDLLDFAWRMHEIDEKARLVDRRMGSMVNLEQAIRVSEIGLLCTLNENKGRPCMEEAVEFLNLDGPIPELPPNRPVSLFPYSSANTGLCTGYSCTLFK >Potri.015G004500.2.v4.1 pep chromosome:Pop_tri_v4:15:276498:278636:-1 gene:Potri.015G004500.v4.1 transcript:Potri.015G004500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004500.v4.1 MLDPLTGTPASFETTFSFQFSNSSAESHVNSTDGYNNSGGSGLAFIVVPDEFTVGRPGPWLAMLNDACEDNYKAVAVEFDTRHNPEFGDPNDNHVGINLGSIISSTTVNASDVGVYLKDGLIHQAKIAYNGSRSWMEVSLGSKTIFSGSLDLSPFLNEYMFVGFSASTGNMTQIHNVYSWNFTSTSQASLRAPSAETCESKLMEQYDQGVQSSASHSSKKEPPNSLLIFICVMALAIAVFASLFYNGRRRNNQTKAVILPDKRQRPRPPNKPRRFTISEVSSATRGFHEYEILGNDSKGIYYRGKLPNGCQVAIKRFSAQFLSSQIGLDRRRLLKQISTISRVRHPNLVPIRGWCQDNRETMVVYDSYPNGSLDKWLFGAGVLPWTRRLKVVKDVADALSFLHSKQLAHKNMKTTSVFLDVSFRAVLGDFGFVLSSTESQRFEATVSQKADVFEFGIFVLEVVSGRGRLESELRQEERDLLDFAWRMHEIDEKARLVDRRMGSMVNLEQAIRVSEIGLLCTLNENKGRPCMEEAVEFLNLDGPIPELPPNRPVSLFPYSSANTGLCTGYSCTLFK >Potri.T045800.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:562077:569847:-1 gene:Potri.T045800.v4.1 transcript:Potri.T045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045800.v4.1 MPPPTGPGGGGGGGTGGGEVGQQRQGQQQQQGGMGQTITGIIRMAVFWYFISKFISPKKAPTDPSILISNLFQKGESLDMWFYLSEHERFNDFSNEGALIWHETNIPYAVWGPESTRSLSLKYHPSEALKHNGTLYAHIFFAQSGYPPDPSDPEYQPLAAFGRTHPVVTYLPKSKSDKRKSLWGNSKDSEEVEAASQVVDDSQADSKDDGPVEWISYWKPNVTINLVDDFTKYPHNAVPPNIAPYLNVEPTSGNYFPTLFFNEFWLLRDKFIAINDTVTELTLNLEVGPISTTKWQLFLQIDQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFISQLIVFLYLLDNDTSWMILGSSGIGCCIEFWKIGKAMHIEIDRSGKIPMLRFRDRESYAGNKTKEYDDIAMKYLSYVLFFLVACSSVYSLMYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLKSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYLYQRWAYPVDKTRVNEFGFGGEDDQASSGEVTPAKEEEKKTN >Potri.019G056500.10.v4.1 pep chromosome:Pop_tri_v4:19:9519190:9526682:-1 gene:Potri.019G056500.v4.1 transcript:Potri.019G056500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056500.v4.1 MDLGHGKCWDTSKKDSWKTLFLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYKLENAPEKKNSSRVKMYLEKHKCLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSNNHHQYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPEKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCVAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPVVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALILMSIMFIWHYATIKKYEFDLHNKVSLEWLLALGSSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPPAERYLVGRVGPPAHRSYRCIVRYGYRDVHQDVDSFETELAARLADFINYDWHRAHGTYSFPEDNASLSNESSTECRLAVIGTVSFSSIPAYEVEESVQPASVSAGFPTVDSVTDVIEMEPVGVVERRVRFATDDESVTLSSADMDLQMQGELEDLSSAQQAGTAFILGHSHVQAKQGSSVLKRLALNFGYNFLRRNCRGADVALKVPPVSLLEVGMVYIV >Potri.019G056500.14.v4.1 pep chromosome:Pop_tri_v4:19:9519190:9524984:-1 gene:Potri.019G056500.v4.1 transcript:Potri.019G056500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056500.v4.1 MDLGHGKCWDTSKKDSWKTLFLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYKLENAPEKKNSSRVKMYLEKHKCLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSNNHHQYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPEKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCVAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPVVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALILMSIMFIWHYATIKKYEFDLHNKVSLEWLLALGSSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPPAERYLVGRVGPPAHRSYRCIVRYGYRDVHQDVDSFETELAARLADFINYDWHRAHGTYSFPEDNASLSNESSTECRLAVIGTVSFSSIPAYEVEESVQPASVSAGFPTVDSVTDVIEMEPVGVVERRVRFATDDESVTLSSADMDLQMQGELEDLSSAQQAGTAFILGHSHVQAKQGSSVLKRLALNFGYNFLRRNCRGADVALKVPPVSLLEVGMVYIV >Potri.019G056500.13.v4.1 pep chromosome:Pop_tri_v4:19:9519173:9525011:-1 gene:Potri.019G056500.v4.1 transcript:Potri.019G056500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056500.v4.1 MDLGHGKCWDTSKKDSWKTLFLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYKLENAPEKKNSSRVKMYLEKHKCLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSNNHHQYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPEKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCVAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPVVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALILMSIMFIWHYATIKKYEFDLHNKVSLEWLLALGSSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPPAERYLVGRVGPPAHRSYRCIVRYGYRDVHQDVDSFETELAARLADFINYDWHRAHGTYSFPEDNASLSNESSTECRLAVIGTVSFSSIPAYEVEESVQPASVSAGFPTVDSVTDVIEMEPVGVVERRVRFATDDESVTLSSADMDLQMQGELEDLSSAQQAGTAFILGHSHVQAKQGSSVLKRLALNFGYNFLRRNCRGADVALKVPPVSLLEVGMVYIV >Potri.019G056500.11.v4.1 pep chromosome:Pop_tri_v4:19:9519190:9526372:-1 gene:Potri.019G056500.v4.1 transcript:Potri.019G056500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056500.v4.1 MDLGHGKCWDTSKKDSWKTLFLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYKLENAPEKKNSSRVKMYLEKHKCLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSNNHHQYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPEKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCVAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPVVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALILMSIMFIWHYATIKKYEFDLHNKVSLEWLLALGSSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPPAERYLVGRVGPPAHRSYRCIVRYGYRDVHQDVDSFETELAARLADFINYDWHRAHGTYSFPEDNASLSNESSTECRLAVIGTVSFSSIPAYEVEESVQPASVSAGFPTVDSVTDVIEMEPVGVVERRVRFATDDESVTLSSADMDLQMQGELEDLSSAQQAGTAFILGHSHVQAKQGSSVLKRLALNFGYNFLRRNCRGADVALKVPPVSLLEVGMVYIV >Potri.019G056500.12.v4.1 pep chromosome:Pop_tri_v4:19:9519195:9525189:-1 gene:Potri.019G056500.v4.1 transcript:Potri.019G056500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056500.v4.1 MDLGHGKCWDTSKKDSWKTLFLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYKLENAPEKKNSSRVKMYLEKHKCLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSNNHHQYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPEKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCVAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPVVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALILMSIMFIWHYATIKKYEFDLHNKVSLEWLLALGSSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPPAERYLVGRVGPPAHRSYRCIVRYGYRDVHQDVDSFETELAARLADFINYDWHRAHGTYSFPEDNASLSNESSTECRLAVIGTVSFSSIPAYEVEESVQPASVSAGFPTVDSVTDVIEMEPVGVVERRVRFATDDESVTLSSADMDLQMQGELEDLSSAQQAGTAFILGHSHVQAKQGSSVLKRLALNFGYNFLRRNCRGADVALKVPPVSLLEVGMVYIV >Potri.019G056500.6.v4.1 pep chromosome:Pop_tri_v4:19:9519190:9526395:-1 gene:Potri.019G056500.v4.1 transcript:Potri.019G056500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056500.v4.1 MDLGHGKCWDTSKKDSWKTLFLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADEALSTYKLENAPEKKNSSRVKMYLEKHKCLHTALLILVLLGTCMVIGDGVLTPAISVFSAVSGLELSMSNNHHQYAVVPITCFILVCLFALQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFLKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYAAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPEKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCVAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPVVALSFLLFFGSVELLYFSASLTKFTEGAWLPILLALILMSIMFIWHYATIKKYEFDLHNKVSLEWLLALGSSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPYVPPAERYLVGRVGPPAHRSYRCIVRYGYRDVHQDVDSFETELAARLADFINYDWHRAHGTYSFPEDNASLSNESSTECRLAVIGTVSFSSIPAYEVEESVQPASVSAGFPTVDSVTDVIEMEPVGVVERRVRFATDDESVTLSSADMDLQMQGELEDLSSAQQAGTAFILGHSHVQAKQGSSVLKRLALNFGYNFLRRNCRGADVALKVPPVSLLEVGMVYIV >Potri.015G038200.4.v4.1 pep chromosome:Pop_tri_v4:15:3297012:3306637:-1 gene:Potri.015G038200.v4.1 transcript:Potri.015G038200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038200.v4.1 MSSASRFLLFGTSLFPRTTHYSHPHKTLSPFFSFKPFPSLQFHLYCSSSTAATAATATTDNTETLNSLLQERKQKQHPWPEWVIFVDKLKARGYFMQTSAKEEDGDTTSEIAYTDMNQLKNACLSFARDRYDIFKSLSRPDIQTVVESGCPNLLRKVVNSAKRMRAYVQKDEGDACGTCTHRGFCDRAYVTLKGNDAEGRTVDIVRVLMFHALDPFVISGGQKSPGSELIETSARKLLSELTELSETPQDPALPKHIPKASHKKERDVDFMDGQLCDNVETKKEDWMCTKCNFMNFSKNKRCQKCGEQSAKKDGDNNIEAKKGDWICSDCEFVNFSRNIKCLKCKAEGPKRPGVDDVKMKKGDWNCNSCGFMNFASNKTCLRCRDPRPERKAGEWNCPSCDFLNFSKNKVCLKCNCVSPKRMAGEWNCPSCDFLNFSRNKDCIKCKCKAPQGSNNRI >Potri.015G038200.1.v4.1 pep chromosome:Pop_tri_v4:15:3301355:3306646:-1 gene:Potri.015G038200.v4.1 transcript:Potri.015G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038200.v4.1 MSSASRFLLFGTSLFPRTTHYSHPHKTLSPFFSFKPFPSLQFHLYCSSSTAATAATATTDNTETLNSLLQERKQKQHPWPEWVIFVDKLKARGYFMQTSAKEEDGDTTSEIAYTDMNQLKNACLSFARDRYDIFKSLSRPDIQTVVESGCPNLLRKVVNSAKRMRAYVQKDEGDACGTCTHRGFCDRAYVTLKGNDAEGRTVDIVRVLMFHALDPFVISGGQKSPGSELIETSARKLLSELTELSETPQDPALPKHIPKASHKKERDVDFMDGQLCDNVETKKEDWMCTKCNFMNFSKNKRCQKCGEQSAKKDGDNNIEAKKGDWICSDCEFVNFSRNIKCLKCKAEGPKRPGVDDVKMKKGDWNCNSCGFMNFASNKTCLRCRDPRPERKAGEWNCPSCDFLNFSKNKVCLKCNCVSPKRMAGEWNCPSCDFLNFSRNKDCIKCKCKAPQGSNNRI >Potri.007G037000.1.v4.1 pep chromosome:Pop_tri_v4:7:2913015:2920479:-1 gene:Potri.007G037000.v4.1 transcript:Potri.007G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037000.v4.1 MGDSNNQTTHFVLIHGSASGAWAWYKVKTMLEAAGHSVTALDMSASGVNTKTLEEVVTFDQYNEPLIEFVANLAENEKVVLVGHSLGGLNVAFAMEKFPEKISLAVFVTAFLPDTEHRPSYMLEKFIENSPAVADGWQSVVSSTAGYETFMKSTAFNLASPEDLSLQTLLKRSGSLFLESLAKANKFTKEKFGSVVRDYVVCTQDLLVVPSLQRFMIEHNEVKEVMEIPADHMAIASRPKELCQCLLEFARKHA >Potri.007G037000.2.v4.1 pep chromosome:Pop_tri_v4:7:2919296:2920490:-1 gene:Potri.007G037000.v4.1 transcript:Potri.007G037000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037000.v4.1 MGDSNNQTTHFVLIHGSASGAWAWYKVKTMLEAAGHSVTALDMSASGVNTKTLEEVVTFDQYNEPLIEFVANLAENEKVVLVGHSLGGLNVAFAMEKFPEKISLAVFVTAFLPDTEHRPSYMLEKFIENSPAVADGWQSVVSSTAGYETFMKSTAFNLASPEDLSLQTLLKRSGSLFLESLAKANKFTKEKFGSVVRDYVVCTQDLLVVPSLQRFMIEHNEVKEVIEIPADHMAIASRPKELCQCLLEFARKHA >Potri.012G068900.3.v4.1 pep chromosome:Pop_tri_v4:12:8998317:9001417:1 gene:Potri.012G068900.v4.1 transcript:Potri.012G068900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068900.v4.1 MALVMESAGKWLEKTLVDLDLDLDRDIISGLVSYCELAQPLDAKEYLLNIIGQEASKGVIEEYLQRRGQSTLVSSAPAAQSSKLQPYIKPLTENYVVSGGKQQARTSKDIVSSDQGQAEPKTNTVSRHIGNRGPAEASESRQKGIQGKSRKKKAGKVVSLAEAAKGSFVFQQGKPCPCQARQHRLVSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYAGLEESMAPTSDAEFAAEAYAKRLVEYDRNSAARTTVIDDQSDYYEIEGNSWLSNEEKQLLRKKQEETEEAELAKRSKVVVTFDLVGRKVLLNQDDVEELKSENRILRPLDEGGREVNRIKPNPSLRIQPVFLDPGPSRKPAKGKQSNKGGLSKGLCMEITGRVQHDRMN >Potri.009G110700.1.v4.1 pep chromosome:Pop_tri_v4:9:9495744:9496683:1 gene:Potri.009G110700.v4.1 transcript:Potri.009G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110700.v4.1 MGNCASPQYTKKVGGGGGGLNWPSTAKIIHVDGRLQEFRQPIKASHILSLNPKSFLCSSESMYIDCHLPQVPDDEELQLGQLYFLVPLSKSNVPLSLQELCALASKASASLAQSDSMGFTPNKTILPYSDRSDPKILATDEWCCKIPVALTQSANWLPKSQN >Potri.018G113200.2.v4.1 pep chromosome:Pop_tri_v4:18:13034321:13037166:-1 gene:Potri.018G113200.v4.1 transcript:Potri.018G113200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113200.v4.1 MPLYDCMLMLKPHVRKEALMDLVARVGKHVYSRNGVVTDIKSFGTVQLGYGIKKLDGRHYQGQLMQITMMATPNINKELHYLNKEDRLLRWLLVKHRDIKFGLEFMDEDDEDGEFDFSEFPRDSIFDNDNSDDYEDSSHDEDNDGDQ >Potri.018G113200.3.v4.1 pep chromosome:Pop_tri_v4:18:13034345:13037166:-1 gene:Potri.018G113200.v4.1 transcript:Potri.018G113200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113200.v4.1 MPLYDCMLMLKPHVRKEALMDLVARVGKHVYSRNGVVTDIKSFGTVQLGYGIKKLDGRHYQGQLMQITMMATPNINKELHYLNKEDRLLRWLLVKHRDIKFGLEFMDEDDEDGEFDFSEFPRDSIFDNDNSDDYEDSSHDEDNDGDQ >Potri.010G103100.1.v4.1 pep chromosome:Pop_tri_v4:10:12564884:12566638:1 gene:Potri.010G103100.v4.1 transcript:Potri.010G103100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103100.v4.1 MMISTWRKRRAARLAGKRRLESEMGDIEVTIPNHFRCPISLELMKDPVTMSSGITYDRESIEKWIEAGNVTCPVTNRVLRSLDPIPNHTIRKMIQDWCVTNSSYGIERIPTPRIPVSSEEALEIHLKIKTACKKGDQIGCQNLAAKIKALAKESERNKSCLVATGTAGVLSYAFDEFSKASFEENVAVLDEILSSLAVLLHHDKATNWPGSDASIDRIVLFLKSEDLSARRNAVLVLKELVPILDYRKVDMLLEIEGAMEALFKLIKAPICHAATKASLMVIYHMVTSPSPSNAKIIAKFVDLGLVSLLLEMLVDAERSLCEKALGVLDGICGSDQGREEAYNHALTIPVLVRKIHRVSDLAMKFSVSILFKLCMNEKRENGGVLVEAIQRNAFEKLLVLLQVGCDERTKEKATQLLRVLNAYRSSVDCIDSVDFKNVKRPF >Potri.006G175500.1.v4.1 pep chromosome:Pop_tri_v4:6:18074556:18078354:-1 gene:Potri.006G175500.v4.1 transcript:Potri.006G175500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175500.v4.1 MITQSCKDNLDMISVNDFRVVDRIPRIMNVLGALSEIEVGEHDDEGVTSPVVSKPRRIMVANQLPIRGHRNEETKGWSFELDKESLVLQFKDGFPANSEVWYVGLLKVDVETKDQDEVARLLFSMFRCVPVFLTDDQKNKYYHGFCKHYLWPLFHYMLPLSPSRGGVRFDRSLWEGYIVANRLFANKVTEILRHHEDSVWVHDYHLMVLPAFLRKRFNRVKLGFFLHSPFPSSEIYTTIPVREEILRSLLNCDLIGFHTFDYARHFLSCCSKMLGIDYQCKRGYIGLDYCGKTISIKILHMGIHMGQLESVLNMEQTATLAKQLKEKFEGKIVMVGVDDLDLLKGISSKFSAMGRLLEMRPELIGKVVLVQIANPARSQGKDVQEVQKETTLIAQQINQKYGYEGYQPIVFINGPVSTLEKAAYYAISECCVVNALRDGMNLVSYKYTVCRQGSPVLDKALGIDESYPRKSFLIVSEFIGCSPSLSGARRVNPWDVGAVADAMYAGIHMKDEEKHLRHEKHYKYISSHDVAFWARSFDLDLERACKDHYLKRYYNVGFGLNFRVAAVGTNFRMLTTERVVAAYNNTNSRLILLDYDGTMMPQCAVDKTPRSEVISILNCLCSDPKNVVFIVSGRGRDPLSKWFSPCETLGISAEHGYFTRWTKNSPWETCSVAMDCDWKKIVQPVMERYTETTDGSFIEPKESALVWHHQDADPDFGSCQAKELLDHLESVLANEPVVVRRGQQIVEVKPQGVSKGIVVENLISTMRSQGKSPDFLFCIGDDRSDEDMFESIARLVDNPSIPPIAEVFACTVGLKPSKAKYYLDDTPEVIKLLQGLATASVGSKYAHTLEDEDV >Potri.006G175500.2.v4.1 pep chromosome:Pop_tri_v4:6:18074668:18078350:-1 gene:Potri.006G175500.v4.1 transcript:Potri.006G175500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175500.v4.1 MITQSCKDNLDMISVNDFRVVDRIPRIMNVLGALSEIEVGEHDDEGVTSPVVSKPRRIMVANQLPIRGHRNEETKGWSFELDKESLVLQFKDGFPANSEVWYVGLLKVDVETKDQDEVARLLFSMFRCVPVFLTDDQKNKYYHGFCKHYLWPLFHYMLPLSPSRGGVRFDRSLWEGYIVANRLFANKVTEILRHHEDSVWVHDYHLMVLPAFLRKRFNRVKLGFFLHSPFPSSEIYTTIPVREEILRSLLNCDLIGFHTFDYARHFLSCCSKMLGIDYQCKRGYIGLDYCGKTISIKILHMGIHMGQLESVLNMEQTATLAKQLKEKFEGKIVMVGVDDLDLLKGISSKFSAMGRLLEMRPELIGKVVLVQIANPARSQGKDVQEVQKETTLIAQQINQKYGYEGYQPIVFINGPVSTLEKAAYYAISECCVVNALRDGMNLVSYKYTVCRQGSPVLDKALGIDESYPRKSFLIVSEFIGCSPSLSGARRVNPWDVGAVADAMYAGIHMKDEEKHLRHEKHYKYISSHDVAFWARSFDLDLERACKDHYLKRYYNVGFGLNFRVAAVGTNFRMLTTERVVAAYNNTNSRLILLDYDGTMMPQCAVDKTPRSEVISILNCLCSDPKNVVFIVSGRGRDPLSKWFSPCETLGISAEHGYFTRWTKNSPWETCSVAMDCDWKKIVQPVMERYTETTDGSFIEPKESALVWHHQDADPDFGSCQAKELLDHLESVLANEPVVVRRGQQIVEVKPQV >Potri.011G041800.1.v4.1 pep chromosome:Pop_tri_v4:11:3254876:3255980:1 gene:Potri.011G041800.v4.1 transcript:Potri.011G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041800.v4.1 MNSLKLYKSCYQSPFLPNLHKKMVKQSTFAPNKLVHGRKLAVRACGGLESEKNSERRSFLSLEEAGLVEMSGISTHERFLCRLTISSLNLLRVISEQEGCTIEELNAGRVCDWFLKDKLKREQNIESAVLQWDDSELQF >Potri.004G146350.1.v4.1 pep chromosome:Pop_tri_v4:4:16883229:16885883:-1 gene:Potri.004G146350.v4.1 transcript:Potri.004G146350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146350.v4.1 MANPLRAFGCFFFFSLLFSSFSTFSLALTDAEASYIARRQLLTLNENSELPHEFEYEVDVKITFANQRLRRAYIGLQAWKKAIYSDPFNTTGNWVGANVCAYNGVFCAPALDDPSLSVVAGVDLNGADIAGHLPAELGLMTDVALFHINSNRFCGIIPESFSKLTLMYEFDVSNNRFVGDFPSVVLSWPSLKYLDVRFNDFEGSLPPELFNKELDALFLNDNRFTSTIPETIGNSAVSVVTFANNKFTGCIPHSVGKMANLNEVIFMGNDLGGCFPAEIGLLRNVTVFDASHNGFTGILPPSFAGLKKVELLDLADNKLTGFVPENICKLPSLTNFTFSYNYFKGEAQACVPPSRKDIVLDDTSNCLSDRPKQKSARTCYPVVSRPVDCSKDKCAGGGGSSNPHPKPQPTPPTSKHEPTPSPPKSISISTPTPPSARVPTPQTAESPKPEHELPQTPVEPIRPSTPKIPLPSSPSINSSVPSSDPYNPGSGGHGETPLSPNYAPSPDSFGNSPIGHHDTPPSLSISPSSSKIPVSPSPKSAPSPDDEYNPGAGGHGETPSSPSPTSSLKPEAPKTSPQPKIPVINPHSPSSSPPLVLSTPSLVHSPPPPVHSPPPPVQSPPPPVHSPPPPVQSPPPPPPVHSPPPPVQSPPPPVHSPPPPVHSPPPPVQSPPPPVHSPPPPVHSPPPPVHSPPPPVHSPPPPVQSPPPPVHSPPPPVHSPPPVQSPPPPVHSPPPPVHSPPPPVHSPSPPVQSPPPPPVHLPPPPVHSPPPHVKSPPPPRPVKSSPLPIFSPPPPTVFPHPPRAFPPPPPNEDIVLPPNLGFQYASPPPPVFPGY >Potri.016G073500.3.v4.1 pep chromosome:Pop_tri_v4:16:5488068:5492140:-1 gene:Potri.016G073500.v4.1 transcript:Potri.016G073500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073500.v4.1 MARPWVLVSLLLLIVFTSQLEWKQQFGNEIEASPNISQRDQQQHSSNREEVVKEKIILSQEKNIQKLNELVQSLREQLQHCRSENYIVNSTAIPLTEHLNGV >Potri.016G073500.2.v4.1 pep chromosome:Pop_tri_v4:16:5488091:5492140:-1 gene:Potri.016G073500.v4.1 transcript:Potri.016G073500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073500.v4.1 MARPWVLVSLLLLIVFTSQLEWKQQFGNEIEASPNISQRDQQQHSSNREEVVKEKIILSQEKNIQKLNELVQSLREQLQHCRSENYIVNSTAIPLTEHLNGV >Potri.004G103400.1.v4.1 pep chromosome:Pop_tri_v4:4:9093735:9094447:1 gene:Potri.004G103400.v4.1 transcript:Potri.004G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G103400.v4.1 MNCRSLEEFWSFYVTQHSKPSTRRWHFVGTLSSILLLLSSFVFNLWVLFFVPLVGYGFAWYSHFFVEGNVPATFGHPVWSFLCDCKMFGLMLTGQMDREIKRLGKRPILQGF >Potri.014G005400.3.v4.1 pep chromosome:Pop_tri_v4:14:428835:434124:1 gene:Potri.014G005400.v4.1 transcript:Potri.014G005400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005400.v4.1 MAAELFLTFAMEETLTRVISIAAEGIRLAWGLEGQLQKLEDSLIMIKVVLKDAARRPVTDDSAKLWLEKLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKEINGSLHDIQKLATRFGLGIASQHVESAPEVIRDIDRETDSLLESSEVVVGREDDVYKVMKLLIGSIGQQVQSVVPIVGMAGLGKTTIAKKVCQLVREKKHFDVTLWVCVSNDFSKRRILGEMLQKIDKSTGGLSNLDAILEKLQQELEKKTLLLVLDDVWNEDYDKWAGLKEGLLKINSRNGNAVVVTTRSKKVADMMETSPGIQHEPGRLSADQCWSIIKQKLSRGGRETIPSDLESIGKEIAKKCGGIPLLAKVLGGTLHGKQTHEWQSILHSRIWDSQYGDKALRILRLSFDYLSSPTLKKCFAYCSIFPKDFKIGREELIQLWMAEGFLRPPNGRMEDKGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEVLNLEEDSAVEGASHIRHLNLISRGDVEAAFPVGDGRKLRTVFSMVDVFNGSWKFKSLRTLKLQRSDITELPDSICKLRHLRYLDVSRTRIRELPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPFFVLGQNHMVEELGCLNELRGELQISKLEKVRDREEAEEAKLREKRMNKLVFKWSDDEVNSSVRNEDVLEGLQPHPDIRSLTIGGYGGENFSSWILQLNNLTVLRLNGCSKLRQLPTLGCLPRLKILYMNRMPNVKCIGKEFYSSGSGSATVLFPALKELTLRYMDGLEEWMVPGGEGDRVFPCLEKLSIAMCGKLRQLPTLGCLPRLKILKMSGMPNVKCIGKEFYSSSSGSAAVLFPALKELTLGDMNGLEEWMVPGGEGDQVFPCLEKLSIEMCVKLRQLPTLRCLPRLKILDMSRMPNVKCIGKEFYSSSSGSEAVLFAALKELTLRYMDGVEEWMVPGGEGDRVFPCLEELSIEMCGKLRQLPTLGCLPRLKILDMIGMPNVKCIGKEFYSSSSGSAAVLFPALKGLSLFSMGGLEEWMVPGGEGDQVFPCLEKLSIEWCGKLESIPICRLSSLVEFGIYVCDELRYLSGEFHGFKSLQILRIQRCPKLASIPSVQHCTALVELCILLCSESISIPSDFRELKYSLKRLDIWGCKMGALPSGLQCCASLEVLDIINWSELIHISDLQELSSLRRLKIRGCDKLISFDWHGLRQLPSLVDLAITTCPSLSNFPEEHCLGGLTQLEELSIGGFSEEMEAFPAGVLNSIQHLNLNGSLKSLRICGWDKLKSVPHQLQHLTALENLRICDFNGEEFEEALPDWLANLSSLRSLEISNCKNLKYLPSCTQRLNKLKTLEIHGCPHLIENCREENGSERPKISHIPSLHIR >Potri.014G005400.6.v4.1 pep chromosome:Pop_tri_v4:14:429212:433443:1 gene:Potri.014G005400.v4.1 transcript:Potri.014G005400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005400.v4.1 MGQKVKEINGSLHDIQKLATRFGLGIASQHVESAPEVIRDIDRETDSLLESSEVVVGREDDVYKVMKLLIGSIGQQVQSVVPIVGMAGLGKTTIAKKVCQLVREKKHFDVTLWVCVSNDFSKRRILGEMLQKIDKSTGGLSNLDAILEKLQQELEKKTLLLVLDDVWNEDYDKWAGLKEGLLKINSRNGNAVVVTTRSKKVADMMETSPGIQHEPGRLSADQCWSIIKQKLSRGGRETIPSDLESIGKEIAKKCGGIPLLAKVLGGTLHGKQTHEWQSILHSRIWDSQYGDKALRILRLSFDYLSSPTLKKCFAYCSIFPKDFKIGREELIQLWMAEGFLRPPNGRMEDKGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEVLNLEEDSAVEGASHIRHLNLISRGDVEAAFPVGDGRKLRTVFSMVDVFNGSWKFKSLRTLKLQRSDITELPDSICKLRHLRYLDVSRTRIRELPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPFFVLGQNHMVEELGCLNELRGELQISKLEKVRDREEAEEAKLREKRMNKLVFKWSDDEVNSSVRNEDVLEGLQPHPDIRSLTIGGYGGENFSSWILQLNNLTVLRLNGCSKLRQLPTLGCLPRLKILYMNRMPNVKCIGKEFYSSGSGSATVLFPALKELTLRYMDGLEEWMVPGGEGDQVFPCLEKLSIEMCVKLRQLPTLRCLPRLKILDMSRMPNVKCIGKEFYSSSSGSEAVLFAALKELTLRYMDGVEEWMVPGGEGDRVFPCLEELSIEMCGKLRQLPTLGCLPRLKILDMIGMPNVKCIGKEFYSSSSGSAAVLFPALKGLSLFSMGGLEEWMVPGGEGDQVFPCLEKLSIEWCGKLESIPICRLSSLVEFGIYVCDELRYLSGEFHGFKSLQILRIQRCPKLASIPSVQHCTALVELCILLCSESISIPSDFRELKYSLKRLDIWGCKMGALPSGLQCCASLEVLDIINWSELIHISDLQELSSLRRLKIRGCDKLISFDWHGLRQLPSLVDLAITTCPSLSNFPEEHCLGGLTQLEELSIGGFSEEMEAFPAGVLNSIQHLNLNGSLKSLRICGWDKLKSVPHQLQHLTALENLRICDFNGEEFEEALPDWLANLSSLRSLEISNCKNLKYLPSCTQRLNKLKTLEIHGCPHLIENCREENGSERPKISHIPSLHIR >Potri.014G005400.4.v4.1 pep chromosome:Pop_tri_v4:14:428736:434403:1 gene:Potri.014G005400.v4.1 transcript:Potri.014G005400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005400.v4.1 MAAELFLTFAMEETLTRVISIAAEGIRLAWGLEGQLQKLEDSLIMIKVVLKDAARRPVTDDSAKLWLEKLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKEINGSLHDIQKLATRFGLGIASQHVESAPEVIRDIDRETDSLLESSEVVVGREDDVYKVMKLLIGSIGQQVQSVVPIVGMAGLGKTTIAKKVCQLVREKKHFDVTLWVCVSNDFSKRRILGEMLQKIDKSTGGLSNLDAILEKLQQELEKKTLLLVLDDVWNEDYDKWAGLKEGLLKINSRNGNAVVVTTRSKKVADMMETSPGIQHEPGRLSADQCWSIIKQKLSRGGRETIPSDLESIGKEIAKKCGGIPLLAKVLGGTLHGKQTHEWQSILHSRIWDSQYGDKALRILRLSFDYLSSPTLKKCFAYCSIFPKDFKIGREELIQLWMAEGFLRPPNGRMEDKGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEVLNLEEDSAVEGASHIRHLNLISRGDVEAAFPVGDGRKLRTVFSMVDVFNGSWKFKSLRTLKLQRSDITELPDSICKLRHLRYLDVSRTRIRELPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPFFVLGQNHMVEELGCLNELRGELQISKLEKVRDREEAEEAKLREKRMNKLVFKWSDDEVNSSVRNEDVLEGLQPHPDIRSLTIGGYGGENFSSWILQLNNLTVLRLNGCSKLRQLPTLGCLPRLKILYMNRMPNVKCIGKEFYSSGSGSATVLFPALKELTLRYMDGLEEWMVPGGEGDRVFPCLEKLSIAMCGKLRQLPTLGCLPRLKILKMSGMPNVKCIGKEFYSSSSGSAAVLFPALKELTLGDMNGLEEWMVPGGEGDQVFPCLEKLSIEMCVKLRQLPTLRCLPRLKILDMSRMPNVKCIGKEFYSSSSGSEAVLFAALKELTLRYMDGVEEWMVPGGEGDRVFPCLEELSIEMCGKLRQLPTLGCLPRLKILDMIGMPNVKCIGKEFYSSSSGSAAVLFPALKGLSLFSMGGLEEWMVPGGEGDQVFPCLEKLSIEWCGKLESIPICRLSSLVEFGIYVCDELRYLSGEFHGFKSLQILRIQRCPKLASIPSVQHCTALVELCILLCSESISIPSDFRELKYSLKRLDIWGCKMGALPSGLQCCASLEVLDIINWSELIHISDLQELSSLRRLKIRGCDKLISFDWHGLRQLPSLVDLAITTCPSLSNFPEEHCLGGLTQLEELSIGGFSEEMEAFPAGVLNSIQHLNLNGSLKSLRICGWDKLKSVPHQLQHLTALENLRICDFNGEEFEEALPDWLANLSSLRSLEISNCKNLKYLPSCTQRLNKLKTLEIHGCPHLIENCREENGSERPKISHIPSLHIR >Potri.005G130601.1.v4.1 pep chromosome:Pop_tri_v4:5:10013476:10023966:1 gene:Potri.005G130601.v4.1 transcript:Potri.005G130601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130601.v4.1 MSTAKNEKCKGKHFTRSKPMSHMLLEILVDEALKGNKPSSTFKAESFVKAHPNHDKYLNKNLDMYEAMTIVVEKDMATGNYIKSFADINLEENIEVQSISIENEAEYEETSKGKETSSSSTQKRQHKKRNQMYEDDSVEKLPKKIGDVAFAIQSLSKNQLDVNEIYT >Potri.002G028700.2.v4.1 pep chromosome:Pop_tri_v4:2:1934261:1936294:-1 gene:Potri.002G028700.v4.1 transcript:Potri.002G028700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028700.v4.1 MERFSLLALLLLTLICSAVASTVSSNDLDDPLIRQVVSDGEDDLLNAEHHFTSFKSKFGKTYATQEEHDYRFGVFKANLRRAKKHQMIDPTAAHGITKFSDLTPKEFRRQFLGLKRWLRLPTDANKAPILPTTDLPTDYDWRDHGAVTEVKDQGSCGSCWSFSATGALEGAHYLATGELASLSEQQLVDCDHECDPEEYGACDSGCDGGLMNNAFEYALKAGGLEREEDYPYTGTDGGTCKFDKSKVVASVSNFSVVSIDEDQIAANLVKHGPLSVAINAAFMQTYVGGVSCPYICSKRQDHGVLLVGYGSAGYAPIRFKEKPFWIIKNSWGQNWGENGYYKICRGRNICGVDSMVSTVAAIHTTAQ >Potri.001G410800.3.v4.1 pep chromosome:Pop_tri_v4:1:44139334:44150940:-1 gene:Potri.001G410800.v4.1 transcript:Potri.001G410800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410800.v4.1 MDTLSSMLIIIANLLLLFSRFCNTANTLTLSQSIRDGGTRTLVSKDGSFELGFFSPGSSRNRYVGIWYKNISVRTVVWVANRNNPINDSSGFLMLDNTGNLVLVSNNNSTVVWSSNSKKAAQSAMGELLDSGNLVLRDEKDVNSGSYLWQSFDYPSDTMLPGMKLGWDLRIGLDRRLSAWKSPDDPSSGDFTWGTQLQSNPELVIWKGSEKYFRSGPWNGIGFSGEAALRINPVFYFDFVDNGEEVYYTYNLKNKSLITRLVMNQTTGFLRQRYTWNEISQTWELYAYVPRDYCDNYNLCGAYGNCIISQSPVCECLEKFTPKSPESWNSMNWSQGCVRNKPLDCQKGDGFVKYVGLKLPDATNSWVNKTMNLKECRSKCLQNCSCMAYTATDIKERSGCAIWFGDLIDIRQFPDGGQEIYIRMNASESKAKAASKIKMEMGIALSIFVACGMLLVAYYIFKRTEKLKGGNREENDQIDSGPMEDMELPLFQFTTIAKATNGFSLNNKIGEGGFGPVYKGTLEDGQEIAVKTLSRSSGQGLNEFKNEVILITKLQHRNLVKLLGCCIQGEEKILVYEYMPNRSLDSFIFDQTRGKLLDWSKRFSIICGIARGLLYLHQDSRLRIVHRDLKASNVLLDKDMNPKISDFGLARMVGGDQTEGNTTRVIGTYGYMAPEYATDGLFSVKSDVFSFGILMLEIISGKKSRGFYHPDRSLSLTAHAWRLWKDGKPLDLIEAFPGESRNLSEVIMRCINISLLCVQHHPDDRPSMATVVWMLGGENTLPQPNEPGFFKGSGPFGPSSSSSNIELYSNNEFTASLLYPR >Potri.001G410800.2.v4.1 pep chromosome:Pop_tri_v4:1:44139168:44143105:-1 gene:Potri.001G410800.v4.1 transcript:Potri.001G410800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410800.v4.1 MDTLSSMLIIIANLLLLFSRFCNTANTLTLSQSIRDGGTRTLVSKDGSFELGFFSPGSSRNRYVGIWYKNISVRTVVWVANRNNPINDSSGFLMLDNTGNLVLVSNNNSTVVWSSNSKKAAQSAMGELLDSGNLVLRDEKDVNSGSYLWQSFDYPSDTMLPGMKLGWDLRIGLDRRLSAWKSPDDPSSGDFTWGTQLQSNPELVIWKGSEKYFRSGPWNGIGFSGEAALRINPVFYFDFVDNGEEVYYTYNLKNKSLITRLVMNQTTGFLRQRYTWNEISQTWELYAYVPRDYCDNYNLCGAYGNCIISQSPVCECLEKFTPKSPESWNSMNWSQGCVRNKPLDCQKGDGFVKYVGLKLPDATNSWVNKTMNLKECRSKCLQNCSCMAYTATDIKERSGCAIWFGDLIDIRQFPDGGQEIYIRMNASESKAKAASKIKMEMGIALSIFVACGMLLVAYYIFKRTEKLKGGNREENDQIDSGPMEDMELPLFQFTTIAKATNGFSLNNKIGEGGFGPVYKGTLEDGQEIAVKTLSRSSGQGLNEFKNEVILITKLQHRNLVKLLGCCIQGEEKILVYEYMPNRSLDSFIFDQTRGKLLDWSKRFSIICGIARGLLYLHQDSRLRIVHRDLKASNVLLDKDMNPKISDFGLARMVGGDQTEGNTTRVIGTYGYMAPEYATDGLFSVKSDVFSFGILMLEIISGKKSRGFYHPDRSLSLTAHAWRLWKDGKPLDLIEAFPGESRNLSEVIMRCINISLLCVQHHPDDRPSMATVVWMLGGENTLPQPNEPGFFKGSGPFGPSSSSSNIELYSNNEFTASLLYPR >Potri.013G098100.1.v4.1 pep chromosome:Pop_tri_v4:13:10274640:10276070:1 gene:Potri.013G098100.v4.1 transcript:Potri.013G098100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098100.v4.1 MASSSMQKGTSSSYSAPQWMYDVYLSFRGKDTRNNFTSHLYSNLEQRGIDVYMDDSGLERGKTIEPALWQAIEDSRFSIVVFSRDYASSPWCLDELVKIVQCMKEMGHTVLPVFYDVDPSEVADQKRNYKKAFIEHKEKFSENLDKVKCWSDCLSTVANLSGWDVRNR >Potri.013G053500.2.v4.1 pep chromosome:Pop_tri_v4:13:3886787:3892078:1 gene:Potri.013G053500.v4.1 transcript:Potri.013G053500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053500.v4.1 MKRPGLRHESLSDKIKKCKGVLLVISIPVLLIAFVLFVMPSREDYEYGGVIRKMSPNFGTDSRSYAVIFDAGSSGSRVHVYCFDQNLDLVPIGKELELFLQLKPGLSAYANNPREAANSLVSLLHKAESSVPKELRPKTPVRVGATAGLRALGMDASDRILQAVRDLLRDTSTLKSEANGVTVLDGSQEGSYQWVTINYLLGNLGKKYSNTVGVVDLGGGSVQMAYAISETDAAKAPRLSDGEDTYVKEMFLMGTKYYLYVHSYLNYGLLAARAEMLDTSEDSTNPCILAGYDGVYKNGGKDHKASASPSGSNMEECRRLALNALKVKESTCTHMKCTFGGIWNGGGGDGQKNMFVASFFFDRAAQAGFVDSTVPAAKVQPSDFENAAKRACETKLENAKSIYSSVDDNDLPYICMDLVYQYTLLVDGFALDPWQDMTLVKKVEYRTSLVEAAWPLGSAIEAVSSPA >Potri.002G227000.2.v4.1 pep chromosome:Pop_tri_v4:2:21580313:21583416:1 gene:Potri.002G227000.v4.1 transcript:Potri.002G227000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G227000.v4.1 MYKEFHSVSHDMMKKMKSSKPRHLLWFGFKMVIALCFLSYGLFAYLKLHSHVKLPSLHPPAFHTSPSSGYHHFEGTPKIAFLFLARRDLPLDFLWDSFFKNVDAAKFSIYIHSTPGFVFNETTTRSAFFYGQQLNYSIQVIWGESSMIEAEKLLLLAALHDPANQRFVLLSDSCVPLYNFSYLYSYLMSSSKSFVDSFIDVEEDRYSPKMSPVIRRDKWRKGSQWITLVRRHAKMVAEDYFVFPIFKEFCKRWPPKDVDDRKEIHQILDLAQYSLQSLLRIFKQHRNCIPDEHYVQTLLAMNGLEDELERRTLTFTMWNHSVTKAQTSWHPVTFDYDDASAKKIKEIKVINSISRKQGNQSEMCHVNNRHTPCFLFARKFTYRAALHLLTQDLVGSLILLHHKTYHHEQLHY >Potri.006G084700.1.v4.1 pep chromosome:Pop_tri_v4:6:6315633:6316993:-1 gene:Potri.006G084700.v4.1 transcript:Potri.006G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084700.v4.1 MVAYPRVLEFVVKTSFSISMSSSELFIVKENNEEKELNFLSKRPMLEFQKESHATTSRDSDPPQQLQEQEAKSEVHHESQEECNNTLDPNSIEKPSLEAVLMETYVVGDDDDGFKTPTSLDHKISITKCPPAPRKPNSFLSRKRKASPPKARTILQLDLSQEIEAVFPSTIVVDLQKKIKKSPSDNDITGEFIPSPT >Potri.004G033200.3.v4.1 pep chromosome:Pop_tri_v4:4:2569305:2575252:-1 gene:Potri.004G033200.v4.1 transcript:Potri.004G033200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033200.v4.1 MNLLQSSSQFSTVRFLHLHPSSPTPLRTRFLPVRSSLLPFTNQNAKYHRELEAAVDVVERACRICVDVKKSLYSSEGRIVEKNDNTPVTVADFGVQALVSLELSKVFPSIPLVAEEDSDFVRSNNLVDSVVSVVTDKASSNDKPLTNADVLEAIDRGGKNAIVYGTRPATYWVLDPIDGTKGFLKGSEALYVVGLALVVEGDIVLGVMGCPNWKEASSYNSTIDVQGSESVPSRSGILMVAHVGCGTWARQLSDLMGVSAKVPNGWTRCFVDGCHLVPKARFCISDSQTWESVPLSAFFSATSDAGGVSDKEILLLPTCCGSLCKYLMVASGRASVFILRARAQTTIKAWDHAVGIICVHEAGGKVTDWKGSDIDLAADQVERRILFPSMGVLVTNGTIHNQILEMISSTS >Potri.004G033200.1.v4.1 pep chromosome:Pop_tri_v4:4:2569384:2575313:-1 gene:Potri.004G033200.v4.1 transcript:Potri.004G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033200.v4.1 MNLLQSSSQFSTVRFLHLHPSSPTPLRTRFLPVRSSLLPFTNQNAKYHRELEAAVDVVERACRICVDVKKSLYSSEGRIVEKNDNTPVTVADFGVQALVSLELSKVFPSIPLVAEEDSDFVRSNNLVDSVVSVVTDKASSNDKPLTNADVLEAIDRGGKNAIVYGTRPATYWVLDPIDGTKGFLKGSEALYVVGLALVVEGDIVLGVMGCPNWKEASSYNSTIDVQGSESVPSRSGILMVAHVGCGTWARQLSDLMGVSAKVPNGWTRCFVDGCHLVPKARFCISDSQTWESVPLSAFFSATSDAGGVSDKEILLLPTCCGSLCKYLMVASGRASVFILRARAQTTIKAWDHAVGIICVHEAGGKVTDWKGSDIDLAADQVERRILFPSMGVLVTNGTIHNQILEMISSTS >Potri.014G135200.3.v4.1 pep chromosome:Pop_tri_v4:14:9067606:9075295:-1 gene:Potri.014G135200.v4.1 transcript:Potri.014G135200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135200.v4.1 MAMAVANCCFSVVTSTVKFRCCVPSQPYLATSQHSVASVNCKAVVNRSRRPGEHKEGVSQKKSPGKSKDKRSSLHDEDDDGISGKRNAGKSQSMAFKSFGAQRKDKKEFKFDMKEQQFEPQNLKDAAFLDAVVKVYCTHTEPDYSLPWQKQRQYTSTGSAFMIGNGKLLTNAHCVEYYTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESEEFWEGAEPLKFGHLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFNDQGECIGVAFQVYRSEEVENIGYVIPTTVVSHFLKDFERNEKYTGFPSLGVMLQKLENPALRACLKVQSNEGVLVRRVEPTADANRVLKEGDVIVSFDDVHVGCEGTVPFRSNERIAFRYLISQKFAGDEAELGIIRAGSFMKVQVVLNPRVHLVPYHVDGGQPSYLIIAGLVFTPLSEPLIEEECEGSIGLKLLAKSRYSLARFKGEQIVILSQVLANEVNFGYEDMSNQQVLKFNGTQIKNIHHLAHLVDSCKNRYLVFEFEDNYLVVLEREAASACSSHILKDYGIPSERSSDLSEPYVDSLEDNQAVDQDFGNSTVTNLEVGFDGLLWT >Potri.001G148700.4.v4.1 pep chromosome:Pop_tri_v4:1:12373258:12374102:1 gene:Potri.001G148700.v4.1 transcript:Potri.001G148700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148700.v4.1 MEFSSSRRPCFIDEDDGLASLADMEAGSSGNHHPFFSRSLCYARRGSFRNLSSLVSSPRSARYCDSRYEDHQPHFLEACFLCKKSLGDNRDIFMYRGDTPFCSEECRQEQIDIDEANEKNWNLSSSMKALRKKDQKKSTSPTKAQDYPSRTGTVAAA >Potri.011G101250.1.v4.1 pep chromosome:Pop_tri_v4:11:12859142:12869356:1 gene:Potri.011G101250.v4.1 transcript:Potri.011G101250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101250.v4.1 ITVTSIVVSIVYVAVLRWAWRLLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKEIPFVSAECFSRFLILFHFIVLLWTTLASNLVFYQCKNSFMWIGPKPRVNIMNPDQIRDVFMKINEYKKPSHPLLKLIVCGLASHEGEKWAKHRKIINPAFHQEKLKLMIPAFYESCSGMINKWEKLVSVDEGPCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTNLTAHVIIKAIVIPGYRFLPIPSNRRLKAIDKEIKASLNALINKREKAMSAGEDAKKDLLGLLLESNFREIQEHGNTKSVGMSIEDVIDECKIFYFAGQETTLVLLTWTMILLAQYPNWQARAREEVVQVFGNKKPDFDGLNHLKVVTMILYEVLRLYPPVIMLNRDVHEEIKLGNLLLPAGVQVSVPTILLHQDHELWGDDASEFIPGRFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMILQRCSFDLSPSYIHAPRTVVTHNSSITARCSNDIT >Potri.001G469701.1.v4.1 pep chromosome:Pop_tri_v4:1:49355901:49357765:1 gene:Potri.001G469701.v4.1 transcript:Potri.001G469701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469701.v4.1 MRKMSTVAASVGSESKEGLRLLVKGGPKAQKLVGIWLFGSAAWVFSMVIPGGATRLTRSGLSMTDWKFTGMSVDDFKFIYWIGYAHRMRGRGLGIMFALPFSYFLRKGYITVRLGAKLCGPFALGAGQGLIGWWMVKSGLEEPASEYAQPRVSPYLFAAHLTSAFVIDSGLFWTALSVVMPEPPTESLAWVRGAEKVKKLALPVSLIVGITAISGAFVAGNDAGHAFNTFPKTGDTWIPDDIFDLKPVIHNFFENTSAVQTCNLLDDCIVQRSTHMRGKVGLHSLFEILVLRKQLEFN >Potri.014G118500.1.v4.1 pep chromosome:Pop_tri_v4:14:7995811:8000402:-1 gene:Potri.014G118500.v4.1 transcript:Potri.014G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118500.v4.1 MASTPPHCSITGTTKPYHNNPYPHSHFKNHRQTHHQNPHQRWTANQRVSLTKPPLPPSSRNAPKPPATTTTTTTTHHPQIHPTFPSLQSPKSELASDFSGRRSTRFVSKLNFGRPRTTMGTRHTSVAEEALQNVIEYGKDEGALENVLLNFESRLSGSDDYIFLLRELGNRGDCKKAICCFEFAVKRERKKNEQGKLASAMISTLGRLGKVEIAKSVFEAALIEGYGNTVYAFSAIISAYGRSGYCDEAIKVFDSMKHYGLKPNLVTYNAVIDACGKGGVEFKRVVEIFDEMLRNGVQPDRITFNSLLAVCSRGGLWEAARSLSSEMLNRGIDQDIFTYNTLLDAVCKGGQMDMAFEIMSEMPAKNILPNVVTYSTMIDGYAKAGRFDDALNLFNEMKFLCISLDRVSYNTLLSIYAKLGRFQEALDVCREMENCGIRKDVVTYNALLGGYGKQCKYDEVRRVFGEMKAGRISPNLLTYSTLIDVYSKGGLYREAMDVFREFKKAGLKADVVLYSAVIDALCKNGLVESAVSLLDEMTKEGIRPNVVTYNSIIDAFGRSAITESVVDDTVQTSQLQIESLSSGVVEEATKSLLADREGNRIIKIFGQLAVEKAGQAKNCSGQEMMCILAVFHKMHELEIKPNVVTFSAILNACSRCNSFEDASMLLEELRLFDNQVYGVAHGLLMGYRENVWEQAQSLFDEVKLMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENVWSDSCLDLHLMSSGAARAMVHAWLLNIRSIVFEGHELPKLLSILTGWGKHSKVVGDSTLRRAIEALLMGMGAPFRLAKCNLGRFISTGSVVAAWLRESGTLKVLVLHDHRTEQENLRFGQASNLQTLQL >Potri.014G014400.2.v4.1 pep chromosome:Pop_tri_v4:14:833870:835755:-1 gene:Potri.014G014400.v4.1 transcript:Potri.014G014400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014400.v4.1 MKRLMFKLGILCMSMMFYVCQATSNLHPVILVPGNGGNQLEARLTRGYKPSSLFCHWYPILKQKGGWFRQWFDPGVLLAPFTQCFADRMMLFYDKDLDDYRNAPGIETRVLHFGSTQSLLYLDPSLKRATAYMAPLVESLEEIGYVSGETLFGAPYDFRYGLAAEGHPSRVGSKFLLDLKDLVEKASRDNGGKPVIIVSHSLGGLFALQLLNKNPISWRKKYIKHFVALSTPWGGTVVQMVTFASGYTLGVPFVDPLLVREEQRTSESNTWLLPNAKVFGERKLVITPDATYSAHEITRFLNDIGFSRGVYPYTTRILPLMEQLIAPEVPITCIIGSDVRTPETLFYGENGFDEQPDVVYGDGDGTVNMASLLALEKLWAEEKHQPLKVIRIGGISHTSILQNDSALAEITGEIYSINSRVVSSVL >Potri.009G063200.1.v4.1 pep chromosome:Pop_tri_v4:9:6453244:6453453:-1 gene:Potri.009G063200.v4.1 transcript:Potri.009G063200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063200.v4.1 MAFAAMKLFAAAVVMAMLASVAVSAQDLGELAPAPAPGMDKGAASFSLGMSGALICSSLFLSMLSLLRH >Potri.016G022250.1.v4.1 pep chromosome:Pop_tri_v4:16:1225379:1226706:1 gene:Potri.016G022250.v4.1 transcript:Potri.016G022250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022250.v4.1 MGSISKPHVVVIPCPLQGHIKTMLKLAKLLHYKGLHITFVSTEFNHKRFLRSRGPHALDDLPGFHFRTIPDGLPPSDIDATQDIPSLCDAMNKNFLAPFKDLLLELRNTVSENNPPVTCIVSDPFAPISIKAGEEVGLPVVMYATMNACGYMGFKQLHALRERGFTPIKDSPLQVDGEMIIKHYHDNNFFYL >Potri.016G022250.2.v4.1 pep chromosome:Pop_tri_v4:16:1225379:1226706:1 gene:Potri.016G022250.v4.1 transcript:Potri.016G022250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022250.v4.1 MGSISKPHVVVIPCPLQGHIKTMLKLAKLLHYKGLHITFVSTEFNHKRFLRSRGPHALDDLPGFHFRTIPDGLPPSDIDATQDIPSLCDAMNKNFLAPFKDLLLELRNTVSENNPPVTCIVSDPFAPISIKAGEEVGLPVVMYATMNACGYMGFKQLHALRERGFTPIKGGAAGVGG >Potri.018G109700.3.v4.1 pep chromosome:Pop_tri_v4:18:12771062:12775644:-1 gene:Potri.018G109700.v4.1 transcript:Potri.018G109700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109700.v4.1 MAGTGVFSEILDGDAYKYYSDGEWKKSSSGKTVAIVNPTTRKTQYKVQACNQEEVNKVMELAKSAQKTWAKTPLWKRAELLHKAAAILKEHKAPIAECLIKEIAKPAKDSVTEVVRSGDLISYTAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIGPALIAGNSLVLKPPTQGAVSCLHMVHCFHLAGFPKGLISCVTGKGSEIGDFLTMHPGVNCISFTGGDTGISISKKAGMIPLQMELGGKDACIVLEDADLDLVAANIIKGGFSYSGQRCTAIKVVLVMESVADALVEKVKARVAKLRVGPPEDDCDITPVVTESSANFIEGLVTDAKEKGATFCQQYKREGNLIWPLLLDNVRPDMRIAWEEPFGPILPVIRINSVEEGIHHCNASNFGLQGCVFTKDINKAVLISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSIDMMTKVKTTVINLPSPSYSMG >Potri.017G088300.4.v4.1 pep chromosome:Pop_tri_v4:17:10124602:10128189:-1 gene:Potri.017G088300.v4.1 transcript:Potri.017G088300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088300.v4.1 MSHQQALAQVTAHAALLAQSQMHMHAQYQPSSLTAPTELLTRHPSFNPGEALQQQQQMPHSTSDTQNSVVELTEFSHSERKYQPPAAVDKPTHDGYNWRKYGQKPIKGSEYPRSYYKCTHLNCPVKKKVERSSDGQITEIIYKGQHNHDLPQPNKRSKDCNDSNGSIHLQSKPEVGSQAQAGNAIKLTETLPAHSVIGRDQESTQADPSEPPGPSDSEEAGDAAVQEEERGDDEPNPKRRQVDVVTSEATLPHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERAAADPKAVVTTYEGKHNHDVPAARNSSHNTANTSASQVKPQKVVTEKHPLHKGMEFGNNDQRPVLLQLKEEKIAV >Potri.017G088300.3.v4.1 pep chromosome:Pop_tri_v4:17:10124600:10130014:-1 gene:Potri.017G088300.v4.1 transcript:Potri.017G088300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088300.v4.1 MAEKQQNLTTAPAPARPTITLPPRPSMETLFTGGLSPGPMTLVSSFFADSPYPESDYRSFSQLLAGAMASPIASPAFFNDHSIPSNNTNTATATATATSSKDDGFRQSRPMNLVVARSPLFTVPPGLSPSGLLNSPGFFPPQSPFGMSHQQALAQVTAHAALLAQSQMHMHAQYQPSSLTAPTELLTRHPSFNPGEALQQQQQMPHSTSDTQNSVVELTEFSHSERKYQPPAAVDKPTHDGYNWRKYGQKPIKGSEYPRSYYKCTHLNCPVKKKVERSSDGQITEIIYKGQHNHDLPQPNKRSKDCNDSNGSIHLQSKPEVGSQAQAGNAIKLTETLPAHSVIGRDQESTQADPSEPPGPSDSEEAGDAAVQEEERGDDEPNPKRRQVDVVTSEATLPHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRLLLLYLFLFMFFYTIQVYPRGLSVSTILAHYIVCVIPDPAASPLVNLKYSKYLEGFFIPSSCVCLFLLLFEKDINSRTGEGSCVCLFLLLFEKDINSRTGEGSCVCLFLLLFEKDINSRTGEGNI >Potri.017G088300.2.v4.1 pep chromosome:Pop_tri_v4:17:10124647:10130117:-1 gene:Potri.017G088300.v4.1 transcript:Potri.017G088300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088300.v4.1 MAEKQQNLTTAPAPARPTITLPPRPSMETLFTGGLSPGPMTLVSSFFADSPYPESDYRSFSQLLAGAMASPIASPAFFNDHSIPSNNTNTATATATATSSKDDGFRQSRPMNLVVARSPLFTVPPGLSPSGLLNSPGFFPPQSPFGMSHQQALAQVTAHAALLAQSQMHMHAQYQPSSLTAPTELLTRHPSFNPGEALQQQQQMPHSTSDTQNSVVELTEFSHSERKYQPPAAVDKPTHDGYNWRKYGQKPIKGSEYPRSYYKCTHLNCPVKKKVERSSDGQITEIIYKGQHNHDLPQPNKRSKDCNDSNGSIHLQSKPEVGSQAQAGNAIKLTETLPAHSVIGRDQESTQADPSEPPGPSDSEEAGDAAVQEEERGDDEPNPKRRQVDVVTSEATLPHKTVTEPKIIVQTRSEVDLLDDGYRWRKYGQKVVKGNPHPRSYYKCTSAGCNVRKHVERAAADPKAVVTTYEGKHNHDVPAARNSSHNTANTSASQVKPQKVVTEKHPLHKGMEFGNNDQRPVLLQLKEEKIAV >Potri.019G124300.1.v4.1 pep chromosome:Pop_tri_v4:19:14864744:14867359:1 gene:Potri.019G124300.v4.1 transcript:Potri.019G124300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124300.v4.1 METHNLTVKQLYCCLLLSIFVIISFQASSSEPETHYHEFVIQAKPVRRLCRTHNTITVNGLFPGPTLEVRDGDTLVIKAINNARYNVTLHWHGVRQLRNPWADGPDRVTQCPIQPGRSYTYRFTIENQEGTLWWHAHSRWLRATVYGALIIHPKLGSPYSFPMPIREIPILLGEWWVRNPMDVLRLADFTGAAPNVSDAYTINGQPGDLYRCSKQETVRFPVDPGETILLRVINSAMNQELFFAVANHILTVVAVDAACTMPFATSFIMIAPGQTTNVLLTADQTPGHYYMAAHAYNSANAPFDNTTTTAILEYKSAPCNSNKGKSSTPIFPQLPGFNDTNSAIAFTSSLRSPSKVNVPLQIDENLFFTVGFGLINCTNPNSPRCQGPNGTRFAASINNVSFVLPTRNSLMQAYYQGQPGVFTTDFPPVPPVKFDYTGNVSRGLWQPVKATKLYKLKFGAKVQIVFQDTSTVTVEDHPMHLHGHNFAVVGSGFGNFNPQTDPAKFNLINPPYRNTIGNPPGGWVAIRFVADNPGIWLLHCHLDSHLNWGLAMAFLVENGVGNLQSVQPPPLDLPQC >Potri.008G004200.1.v4.1 pep chromosome:Pop_tri_v4:8:167878:170678:-1 gene:Potri.008G004200.v4.1 transcript:Potri.008G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004200.v4.1 MMTDRDSISNIKGKLEGGGGEIGKALIGLVSGTLVYYHCAYRDSSLFSLMSDVLIVLLCSLAILGLLFRQLNISVPVDPLEWQISQDTANSIVAWFANTIGAAESVLRVAATGHDKRLFFKVIVCLYVLSALGRLVSGVTIAYAALCLFCLYMLAENSQSGSACISRFLGRGNGISVDSDVL >Potri.008G020900.1.v4.1 pep chromosome:Pop_tri_v4:8:1038586:1040703:-1 gene:Potri.008G020900.v4.1 transcript:Potri.008G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020900.v4.1 MSTFFIASSTHKGFLVTGERHFTSCSTLASMARPKPDQFLLALLFFFISTSLCFCHGMPWQVFQEDDGMSWLNEEDNEVDIVQTRHDPSRSCNFESGKWVYDQSYPLYDSNCPYLSTAVTCQKNGRPDSDYEKWRWQPHGCSIPRFDALKFLGKMRRKRIMLVGDSIMRNQWESLVCLVQGVIPTGHKKVTYNGPSMAFHSLDFETSIEFTWAPLLVELKKEAGNKRILHLDLIEENARYWRNVDVLVFDSAHWWTHSDQWSSWDYYMEKQTLFQSMNPMVAYQKGLTTWARWIDLNLDPRKTRVIFRSISPRHNRENGWKCYNQRQPLAFSSHQHVPESFVVLKEVLRKMSFPVYLQDITAMSALRRDGHPSVYRRAISQQARQHPSGFSSDCSHWCLPGVPDIWNEMLSALL >Potri.008G038500.2.v4.1 pep chromosome:Pop_tri_v4:8:2128810:2131924:-1 gene:Potri.008G038500.v4.1 transcript:Potri.008G038500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038500.v4.1 MRLDLNQNPANAANTKCSDPFTLKDIAKVKDDKEWEIIETKEALSISGPTGSYKPKKISLEAELKALQKKVDINNLRVRK >Potri.008G038500.3.v4.1 pep chromosome:Pop_tri_v4:8:2129034:2131001:-1 gene:Potri.008G038500.v4.1 transcript:Potri.008G038500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038500.v4.1 MISLTVLGALGLAHLLHGRKDIAKVKDDKEWEIIETKEALSISGPTGSYKPKKISLEAELKALQKKVDINNLRVRK >Potri.003G066200.2.v4.1 pep chromosome:Pop_tri_v4:3:9321256:9330757:1 gene:Potri.003G066200.v4.1 transcript:Potri.003G066200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066200.v4.1 MDDVEKAILISFEESGAIDSALKSQALSFCQQIKETPTVCRICIEKLCFCNLVQVQFWCLQTLHEVIRVKYAMLSLEEKDFIRKSVFSMCCFEVIDDKNNNAVRILEGAPAFIKNKLAQVFVTLVYFDYPLIWSSVFVDFLPHLRKGAVVIDMFCRILNALDDELISLDYPRTPEEMGVAGRVKDAIRQQCIAQIVNVWYEIVSMYRNSDLDLCSSVLESMRRYISWIDIGLIVNDAFIPLLFQLILVSGGSEQLQGAAAGCVLAVVSKRMDHQSKLAILQNLQINRVFGLVTGDIDSELVSKVAALITGYAVEVLECYKRVNTEDAKGVSLELLNEVLPSVFYVMQNCEVDNTFSIVQFLSCYVTTMKSLSPLREKQLHHVGKMLEVLCAQIRYDPIYRENLDMLDKIGREEEEKMVEFRKDLFVLLRSVARVAPDVTQMFIRNSLVSCISSVSERNVEEVEASLSLLYALGESLSDEAIKTGSGLLGELVPTLISTRFQCHFNRLVALVYLETITRYIKFVQEHTEYVPMVLTAFLDERGIHHPNFHVRRRASYLFMRVVKLLKAKLVPFIESILQSLQDTVTRFTSLNHTSNDFLGSEDGSHIFEAIGLLIGMEDVPSEKQSDYLSSLLTPLCHQVETLLINANALSPEESPAKIANIQQVIMAINALSKGFSERLVTASRPAIGVMFKKTLDVLLQILVVFPKIEPLRNKVTSFIHRMVDTLGASVFPFLPKALGQLLAESEPKEMVGFLVLLNQLICKFSTSVHDIVEEVFPAIAGRIFSLIPTEPFPLGHGTNSEEIRELQELQKTLYTFLHVITTHDLSSVFLSPKSRDYLDKMMQLLLQSACHHEDILVRKACVQIFIRLIKDWCTRPDVEAKVPGFRSFIIDGFAKNCCFYSALDKSFEFHDANTLILFGEIVLAQKVMYEKFGDGFLIHFVTNCFTTAHCPQDVAAQYCQKLQGNDMKALRSFYQSVIENLRLRQQQNGNLVFR >Potri.003G066200.1.v4.1 pep chromosome:Pop_tri_v4:3:9321233:9331289:1 gene:Potri.003G066200.v4.1 transcript:Potri.003G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066200.v4.1 MDDVEKAILISFEESGAIDSALKSQALSFCQQIKETPTVCRICIEKLCFCNLVQVQFWCLQTLHEVIRVKYAMLSLEEKDFIRKSVFSMCCFEVIDDKNNNAVRILEGAPAFIKNKLAQVFVTLVYFDYPLIWSSVFVDFLPHLRKGAVVIDMFCRILNALDDELISLDYPRTPEEMGVAGRVKDAIRQQCIAQIVNVWYEIVSMYRNSDLDLCSSVLESMRRYISWIDIGLIVNDAFIPLLFQLILVSGGSEQLQGAAAGCVLAVVSKRMDHQSKLAILQNLQINRVFGLVTGDIDSELVSKVAALITGYAVEVLECYKRVNTEDAKGVSLELLNEVLPSVFYVMQNCEVDNTFSIVQFLSCYVTTMKSLSPLREKQLHHVGKMLEVLCAQIRYDPIYRENLDMLDKIGREEEEKMVEFRKDLFVLLRSVARVAPDVTQMFIRNSLVSCISSVSERNVEEVEASLSLLYALGESLSDEAIKTGSGLLGELVPTLISTRFQCHFNRLVALVYLETITRYIKFVQEHTEYVPMVLTAFLDERGIHHPNFHVRRRASYLFMRVVKLLKAKLVPFIESILQSLQDTVTRFTSLNHTSNDFLGSEDGSHIFEAIGLLIGMEDVPSEKQSDYLSSLLTPLCHQVETLLINANALSPEESPAKIANIQQVIMAINALSKGFSERLVTASRPAIGVMFKKTLDVLLQILVVFPKIEPLRNKVTSFIHRMVDTLGASVFPFLPKALGQLLAESEPKEMVGFLVLLNQLICKFSTSVHDIVEEVFPAIAGRIFSLIPTEPFPLGHGTNSEEIRELQELQKTLYTFLHVITTHDLSSVFLSPKSRDYLDKMMQLLLQSACHHEDILVRKACVQIFIRLIKDWCTRPDVEAKVPGFRSFIIDGFAKNCCFYSALDKSFEFHDANTLILFGEIVLAQKVMYEKFGDGFLIHFVTNCFTTAHCPQDVAAQYCQKLQGNDMKALRSFYQSVIENLRLRQQQNGNLVFR >Potri.008G134400.1.v4.1 pep chromosome:Pop_tri_v4:8:8928236:8928667:1 gene:Potri.008G134400.v4.1 transcript:Potri.008G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134400.v4.1 MRKELSEIARDNSSEYFSAGIATGNTYDWEATVHGPPLTPYAGGVFRLGVSFPQEYPFKPPKLTFLTKIYHPNINDKGSICVDILKNNWTAANTMTAVFNSILLLLASPNPDDPLVPGIGKQYINDRLEFEQVASMWTVKYAA >Potri.008G047600.6.v4.1 pep chromosome:Pop_tri_v4:8:2760879:2766760:1 gene:Potri.008G047600.v4.1 transcript:Potri.008G047600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047600.v4.1 MPVSGNEETGVKPHAQQSSLNIAGVPIKKRRFIWPPSPPPEEQSVPLLGNDSAQKEPGSTSKESSPSNSSVAASSDLSDPFKNSVAEENKNRLDSIVQMNAENCSGVKVVAQNLATHSDSLAKFGKQEKPVVEEKSANTLLISAKTELNLESNKGPGLNVGKEICGQQILEGKCKSEMPIASVTSQFSLGLKEHDVSSLECYSNDGSQINENVGAVSLNLSLSEGETGVLHKMDNILATDSTDVFANRSNWDLNTTMDTWDGSSSDEHAAQETADGWNRVGVKCDITTGIVGAGMSNGRQLLDSSECKSSFPQTFSDCAREYTSEDSLHLRLSPSFPSFNLSQEHSSSSANKESCIIPNISLPGSLLSAGNATVANCRGIKSEPFDGSLKHDLRGAKVNPFDFFVKRELVEKGSLETSKSSASGSLKLVGHGFIKPEPFHDGKPETPRMVGGGSIQPDKQVLQSQDTGEQSPCSASKIVLQVQDTTGQPSCSTDNQVREGQDILAKPTSSTDLFISGNASDRLEYTTCVEGALLRNAMPKEAPESAGQVSSEMVSMPVGHSGEELDASVKIDTAITMDRNGDAPEQCELKITEEVPAGSHGNGEASVTDEEKINLSGDMIEEDSYGSGYESDGNTMSMDIDEEQREHKYEDGEVQDPHLQAAEECQKCEEKDVSHGNSEHEKANSGLAGDDHYISSLVEENDSKIELSENNEVTVKECITRTIEDADNASVKESPTVEMSTCGAEQERETTIIQRKSLDLSGKKDCPVGQGTELSSGQDITAGQGVLVSVEQGSDENIKTNYMEKNELPELEASLNGGDMAKDVSSSRSRIINLPRASNSSSPGKTRSISGRPFSSYQERLPDGPLEGGKLHPQGRFSRDRHQEHFPRNSRMNFVRGRGRISSRVDTLRGDRDSERNYASEFYNGSSDFAVRRHKYASAAAEADSESINYNIAPDGSFVGTARGGRKLLDDETPVFRNVPSRRRSPEGRDVPAARGIQMVHRVPRNIGEEGSEVIGARHTENMRGFPDDGTEQAFRRPQPSYEGLDGHFVQGTRNYSSVHRRALPQFRSKSPIRSRSPGPWSSARRRSPDGFGGTSELSNRRSPIYSMGRIRSPDHPGFPREMVVRRHGSPPFLSRPPDTRETDPGHSRSIISNRGQTGRVFLRNSRRFGITDPRERADSDEFFGGPIHSGRFHDLGGDGNVEDRRRFSERRGPVRSFKPPFNGAGSENFHLNPEDGPRPFRFFPEDNPEFHERTNLREREFDGRIRNRPGNAPRRPRGIEEQEGNYRHGRQVLYDDGFDISRMKRKRF >Potri.008G047600.3.v4.1 pep chromosome:Pop_tri_v4:8:2762518:2767357:1 gene:Potri.008G047600.v4.1 transcript:Potri.008G047600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047600.v4.1 MPIASVTSQFSLGLKEHDVSSLECYSNDGSQINENVGAVSLNLSLSEGETGVLHKMDNILATDSTDVFANRSNWDLNTTMDTWDGSSSDEHAAQETADGWNRVGVKCDITTGIVGAGMSNGRQLLDSSECKSSFPQTFSDCAREYTSEDSLHLRLSPSFPSFNLSQEHSSSSANKESCIIPNISLPGSLLSAGNATVANCRGIKSEPFDGSLKHDLRGAKVNPFDFFVKRELVEKGSLETSKSSASGSLKLVGHGFIKPEPFHDGKPETPRMVGGGSIQPDKQVLQSQDTGEQSPCSASKIVLQVQDTTGQPSCSTDNQVREGQDILAKPTSSTDLFISGNASDRLEYTTCVEGALLRNAMPKEAPESAGQVSSEMVSMPVGHSGEELDASVKIDTAITMDRNGDAPEQCELKITEEVPAGSHGNGEASVTDEEKINLSGDMIEEDSYGSGYESDGNTMSMDIDEEQREHKYEDGEVQDPHLQAAEECQKCEEKDVSHGNSEHEKANSGLAGDDHYISSLVEENDSKIELSENNEVTVKECITRTIEDADNASVKESPTVEMSTCGAEQERETTIIQRKSLDLSGKKDCPVGQGTELSSGQDITAGQGVLVSVEQGSDENIKTNYMEKNELPELEASLNGGDMAKDVSSSRSRIINLPRASNSSSPGKTRSISGRPFSSYQERLPDGPLEGGKLHPQGRDEIYIDGPRRFSRDRHQEHFPRNSRMNFVRGRGRISSRVDTLRGDRDSERNYASEFYNGSSDFAVRRHKYASAAAEADSESINYNIAPDGSFVGTARGGRKLLDDETPVFRNVPSRRRSPEGRDVPAARGIQMVHRVPRNIGEEGSEVIGARHTENMRGFPDDGTEQAFRRPQPSYEGLDGHFVQGTRNYSSVHRRALPQFRSKSPIRSRSPGPWSSARRRSPDGFGGTSELSNRRSPIYSMGRIRSPDHPGFPREMVVRRHGSPPFLSRPPDTRETDPGHSRSIISNRGQTGRVFLRNSRRFGITDPRERADSDEFFGGPIHSGRFHDLGGDGNVEDRRRFSERRGPVRSFKPPFNGAGSENFHLNPEDGPRPFRFFPEDNPEFHERTNLREREFDGRIRNRPGNAPRRPRGIEEQEGNYRHGRQVLYDDGFDISRMKRKRF >Potri.008G047600.5.v4.1 pep chromosome:Pop_tri_v4:8:2760876:2767282:1 gene:Potri.008G047600.v4.1 transcript:Potri.008G047600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047600.v4.1 MPVSGNEETGVKPHAQQSSLNIAGVPIKKRRFIWPPSPPPEEQSVPLLGNDSAQKEPGSTSKESSPSNSSVAASSDLSDPFKNSVAEENKNRLDSIVQMNAENCSGVKVVAQNLATHSDSLAKFGKQEKPVVEEKSANTLLISAKTELNLESNKGPGLNVGKEICGQQILEGKCKSEMPIASVTSQFSLGLKEHDVSSLECYSNDGSQINENVGAVSLNLSLSEGETGVLHKMDNILATDSTDVFANRSNWDLNTTMDTWDGSSSDEHAAQETADGWNRVGVKCDITTGIVGAGMSNGRQLLDSSECKSSFPQTFSDCAREYTSEDSLHLRLSPSFPSFNLSQEHSSSSANKESCIIPNISLPGSLLSAGNATVANCRGIKSEPFDGSLKHDLRGAKVNPFDFFVKRELVEKGSLETSKSSASGSLKLVGHGFIKPEPFHDGKPETPRMVGGGSIQPDKQVLQSQDTGEQSPCSASKIVLQVQDTTGQPSCSTDNQVREGQDILAKPTSSTDLFISGNASDRLEYTTCVEGALLRNAMPKEAPESAGQVSSEMVSMPVGHSGEELDASVKIDTAITMDRNGDAPEQCELKITEEVPAGSHGNGEASVTDEEKINLSGDMIEEDSYGSGYESDGNTMSMDIDEEQREHKYEDGEVQDPHLQAAEECQKCEEKDVSHGNSEHEKANSGLAGDDHYISSLVEENDSKIELSENNEVTVKECITRTIEDADNASVKESPTVEMSTCGAEQERETTIIQRKSLDLSGKKDCPVGQGTELSSGQDITAGQGVLVSVEQGSDENIKTNYMEKNELPELEASLNGGDMAKDVSSSRSRIINLPRASNSSSPGKTRSISGRPFSSYQERLPDGPLEGGKLHPQGRDEIYIDGPRRFSRDRHQEHFPRNSRMNFVRGRGRISSRVDTLRGDRDSERNYASEFYNGSSDFAVRRHKYASAAAEADSESINYNIAPDGSFVGTARGGRKLLDDETPVFRNVPSRRRSPEGRDVPAARGIQMVHRVPRNIGEEGSEVIGARHTENMRGFPDDGTEQAFRRPQPSYEGLDGHFVQGTRNYSSVHRRALPQFRSKSPIRSRSPGPWSSARRRSPDGFGGTSELSNRRSPIYSMGRIRSPDHPGFPREMVVRRHGSPPFLSRPPDTRETDPGHSRSIISNRGQTGRVFLRNSRRFGITDPRERADSDEFFGGPIHSGRFHDLGGDGNVEDRRRFSERRGPVRSFKPPFNGAGSENFHLNPEDGPRPFRFFPEDNPEFHERTNLREREFDGRIRNRPGNAPRRPRGIEEQEGNYRHGRQVLYDDGFDISRMKRKRF >Potri.015G095700.3.v4.1 pep chromosome:Pop_tri_v4:15:11734093:11737192:1 gene:Potri.015G095700.v4.1 transcript:Potri.015G095700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G095700.v4.1 MAQRRWLQTVTRHALPLLSSKPTTSLKPLPHLPAPISLKSILSPKPLQQFLYTDPTLFHARSFSSSSRDDSENEEDDVEEGGEEEEDYDSDSNEAEVDDVTVSDMKREYSAEEIEAEAAAIGYKVIGPLLPSDRVLKHREPVFAVVQIGSHQFKVSNGDCIYVERLKFCEVNDKLILNKVLMLGTSTQTIIGRPILPDAAVHAVVEEHALDAKVIIFKKKRRKNYRRTKGHRQELTRLRIADIQGIEKPKPKVDPKQKMVAVKQQEKVAVAA >Potri.016G066800.1.v4.1 pep chromosome:Pop_tri_v4:16:4711943:4714832:-1 gene:Potri.016G066800.v4.1 transcript:Potri.016G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066800.v4.1 MGWVWRDDDESDDSFQKNPNYSSSSSGEVCSTRTVVRSQCKTEEVEPGKFVRKCEKTEEVLRDCLGKPVEVLKSNKEYTEDDVTEQVVRGLLHPGKFEDVPFDFHGLRGDIQDIERHFLGGINRFFEAAEEMKNNFFDVFGDFHNGNSSSSPSKRRGIPVEGHPLTEASPKPKEPNSGDVDLSGLARDV >Potri.018G145900.1.v4.1 pep chromosome:Pop_tri_v4:18:15063753:15066852:1 gene:Potri.018G145900.v4.1 transcript:Potri.018G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145900.v4.1 MESHKENEINGPHSMGTTIIGVTYNGGVVLGADSRTSTGVYVANRASDKITQLTDNVYLCRSGSAADSQTVSDYVRYFLHQHTIQLGQPATVKVAANLVRMLSYNNKNFLQTGMIVGGWDKYEGGKIYGVPLGGTLLELPFTIGGSGSSYLYGFFDQAWKDGMTQEEAEQLVVKAVSLAIARDGASGGVVRTVTINSEGVSRKYYPEDKLPRWHEELEPQNSLLDILSSSSPEPMVT >Potri.008G043900.1.v4.1 pep chromosome:Pop_tri_v4:8:2485048:2486910:-1 gene:Potri.008G043900.v4.1 transcript:Potri.008G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043900.v4.1 MDMLQKPAAISPVKAPSQFSCSPSSSSSPPSCHHSCPSTFHSPSKSNSPGLTSPSAATLPPPPVVITPCAACKILRRRCVDKCVLAPYFPPSEPYKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEASARIRDPVYGCAGAISQLQKQVSDLQAQLAKAQAEVVNMQCQQANLVALLCMEMKQSQQEPILQQHQYIDTSCFLDENNLGASWEPLWT >Potri.015G033000.1.v4.1 pep chromosome:Pop_tri_v4:15:2557700:2561950:-1 gene:Potri.015G033000.v4.1 transcript:Potri.015G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033000.v4.1 MASVNGGFHHRDTGVWQPMFHIEYMRDAWTGQRETFLNALPGNHSYAAVLPGTSSAHHMHMFQPPDLVNHETMDQVKEAGVVEKENVPNKKRQRPKALKSPKVKKDMRGPRAPKPEGSPSVQLVRSAKKTAEIMINGINIDISVIPIPVSLCMGPQQCYHWVCGGWLSVCCMTCISMYPLPMSTKRHGSRIAGRKK >Potri.005G135000.1.v4.1 pep chromosome:Pop_tri_v4:5:10426928:10429572:1 gene:Potri.005G135000.v4.1 transcript:Potri.005G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G135000.v4.1 MAKWWRSLRTAIQYPRPPLSPLQPFHSQSSRYHTIQAIPREVAGRRVSAREREQGRIPAVVFPQSLLDKNPSNGLTSRKRLLTTEKKQIQAILKSVQLPFFCSTTFPLQVRAGSGSSMLLESGTVMPIKIHRSEKTGKILNLVFVWADEGTELKVDVPVVFKGEENCPGLKKGGHLKMIRSTLKYICPAEQIPQKIEVDVSNLDIEDRVYMRDIEVHPSLKLLSKNENLPICKCVATNLDSPEPVATNLERPEPAEV >Potri.008G178600.3.v4.1 pep chromosome:Pop_tri_v4:8:12343078:12347930:-1 gene:Potri.008G178600.v4.1 transcript:Potri.008G178600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178600.v4.1 MFRPLLSSVPSTTLYGGKASSAHRSLMLRNSSVTTSSNASSDQGTSAAPDTKGSCRHQEDMAAESGKVPYPDALEEVFAFDKADAFNKDVRHDADDSLHSRLRDFDRGTTIEHEPGDCEELRHHDIEISSASDTICIKADFSEVDSLENTEVCSKCGCRFHVIETLEKDVNLCPECCRQDNLVGAAIPDTLIVADESLPVPSIKISKEYKQSDEPDIQVDVPELQPQVNDLDSQFVDMVDARVSRHEDRVKQSETSHHEQKRFYSRESSLTRSLMEGSEHSTTGHHETGQPPAGYSLPGGDAGDHQLPHSNNYPSLKAGVSEGAGISVLLKKSSSSKGPVVQGRTLVASTITYDDLSYARDSANSLRSSIGYGSTSASSSIDFSSGRHAETRVQRQLSGRKSDLENYRYDLNSRPQSTSSSFSGTLSDGHQTLGLATNRHEENVEVTVGNMKYDRLEETPVASQRILLASENKELDVSRIFFTGAKVPEEDLFEQNDSNRKTDISSSDLPHHTVGIHLEENSVVSYENREDLPNNAGDVSDVEASAIPLEPSVEAKHNMLNTSLDRLDVTEVTTHRRLASISEIEAENNCYSNGSENDDISTKSRSTMNEVQDHPVPAPPDKETTASVLEHNMPDHADSILEESTIMVDCQGGSKARSLSLDEVTDAALFCSSIVHDLAYHAATIAFEKESSEPLEGSRPTVTILGESTADRKDPRGRPAGKRTSKSQKVKQRRAETDVKHSANKTENDENSNESMVRNVGLPNEMDSMKPPKLESKCNCTIM >Potri.008G178600.2.v4.1 pep chromosome:Pop_tri_v4:8:12343004:12350117:-1 gene:Potri.008G178600.v4.1 transcript:Potri.008G178600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178600.v4.1 MPPSPALRYSPGREPRADNHKRGRSLEGGLLLKDKDDDLAMFNEMQSRERESFLLQSADDFEVTFSSKLRYFSDFKLGVSIPVRGENSELLNIDGEKDDYDWLLTPPDTPLFPSLDDEPPPVNVASRGRPRSQPISIARSSTMEKSHRSSRGSASPNRLSPSLGSGNSTFQSRGRPSSAPHSSPTPTQQPATPSRRPSPPPSKASTSAPRSSTPGRMSTGSGARGTSPIRTSRGNSASPKIRAWQSNILGFSSEAPPNLRTSLADRPASYVRGSSPASKNSRDSGSKFGRQSMSPASRSVSSSHSHDRDPISSHSKGSVASSGDDDVDSLQSIHVGSLDRLASKRIGGFPNNRAPAFSKNSTRIFSPSSAPKRSFDSAIRQMDHRKSPQNMFRPLLSSVPSTTLYGGKASSAHRSLMLRNSSVTTSSNASSDQGTSAAPDTKGSCRHQEDMAAESGKVPYPDALEEVFAFDKADAFNKDVRHDADDSLHSRLRDFDRGTTIEHEPGDCEELRHHDIEISSASDTICIKADFSEVDSLENTEVCSKCGCRFHVIETLEKDVNLCPECCRQDNLVGAAIPDTLIVADESLPVPSIKISKEYKQSDEPDIQVDVPELQPQVNDLDSQFVDMVDARVSRHEDRVKQSETSHHEQKRFYSRESSLTRSLMEGSEHSTTGHHETGQPPAGYSLPGGDAGDHQLPHSNNYPSLKAGVSEGAGISVLLKKSSSSKGPVVQGRTLVASTITYDDLSYARDSANSLRSSIGYGSTSASSSIDFSSGRHAETRVQRQLSGRKSDLENYRYDLNSRPQSTSSSFSGTLSDGHQTLGLATNRHEENVEVTVGNMKYDRLEETPVASQRILLASENKELDVSRIFFTGAKVPEEDLFEQNDSNRKTDISSSDLPHHTVGIHLEENSVVSYENREDLPNNAGDVSDVEASAIPLEPSVEAKHNMLNTSLDRLDVTEVTTHRRLASISEIEAENNCYSNGSENDDISTKSRSTMNEVQDHPVPAPPDKETTASVLEHNMPDHADSILEESTIMVDCQGGSKARSLSLDEVTDAALFCSSIVHDLAYHAATIAFEKESSEPLEGSRPTVTILGESTADRKDPRGRPAGKRTSKSQKVKQRRAETDVKHSANKTENDENSNESMVRNVGLPNEMDSMKPPKLESKCNCTIM >Potri.008G178600.1.v4.1 pep chromosome:Pop_tri_v4:8:12342950:12350197:-1 gene:Potri.008G178600.v4.1 transcript:Potri.008G178600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178600.v4.1 MPPSPALRYSPGREPRADNHKRGRSLEGGLLLKDKDDDLAMFNEMQSRERESFLLQSADDFEVTFSSKLRYFSDFKLGVSIPVRGENSELLNIDGEKDDYDWLLTPPDTPLFPSLDDEPPPVNVASRGRPRSQPISIARSSTMEKSHRSSRGSASPNRLSPSLGSGNSTFQSRGRPSSAPHSSPTPTQQPATPSRRPSPPPSKASTSAPRSSTPGRMSTGSGARGTSPIRTSRGNSASPKIRAWQSNILGFSSEAPPNLRTSLADRPASYVRGSSPASKNSRDSGSKFGRQSMSPASRSVSSSHSHDRDPISSHSKGSVASSGDDDVDSLQSIHVGSLDRLASKRIGGFPNNRAPAFSKNSTRIFSPSSAPKRSFDSAIRQMDHRKSPQNMFRPLLSSVPSTTLYGGKASSAHRSLMLRNSSVTTSSNASSDQGTSAAPDTKGSCRHQEDMAAESGKVPYPDALEEVFAFDKADAFNKDVRHDADDSLHSRLRDFDRGTTIEHEPGDCEELRHHDIEISSASDTICIKADFSEVDSLENTEVCSKCGCRFHVIETLEKDVNLCPECCRQDNLVGAAIPDTLIVADESLPVPSIKISKEYKQSDEPDIQVDVPELQPQVNDLDSQFVDMVDARVSRHEDRVKQSETSHHEQKRFYSRESSLTRSLMEGSEHSTTGHHETGQPPAGYSLPGGDAGDHQLPHSNNYPSLKAGVSEGAGISVLLKKSSSSKGPVVQGRTLVASTITYDDLSYARDSANSLRSSIGYGSTSASSSIDFSSGRHAETRVQRQLSGRKSDLENYRYDLNSRPQSTSSSFSGTLSDGHQTLGLATNRHEENVEVTVGNMKYDRLEETPVASQRILLASENKELDVSRIFFTGAKVPEEDLFEQNDSNRKTDISSSDLPHHTVGIHLEENSVVSYENREDLPNNAGDVSDVEASAIPLEPSVEAKHNMLNTSLDRLDVTEVTTHRRLASISEIEAENNCYSNGSENDDISTKSRSTMNEVQDHPVPAPPDKETTASVLEHNMPDHADSILEESTIMVDCQGGSKARSLSLDEVTDAALFCSSIVHDLAYHAATIAFEKESSEPLEGSRPTVTILGESTADRKDPRGRPAGKRTSKSQKVKQRRAETDVKHSANKTENDENSNESMVRNVGLPNEMDSMKPPKLESKCNCTIM >Potri.012G023250.1.v4.1 pep chromosome:Pop_tri_v4:12:2343140:2344356:1 gene:Potri.012G023250.v4.1 transcript:Potri.012G023250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023250.v4.1 MPKKTLHSLFQKKKKQIDPFLCPIQPKHSSSSSATTTLLKTTKIVYLTVPVQQSFIIPFTAATKFLPLDHSSSSVVFFSFFFPLFSRPATQPVNPTTLLQQAPQQPSSVHFFLILFHSQHPQQ >Potri.001G032900.1.v4.1 pep chromosome:Pop_tri_v4:1:2455187:2457244:1 gene:Potri.001G032900.v4.1 transcript:Potri.001G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032900.v4.1 MATLGGVHDSEASQNSVEIENLARFAVDEHNKKENAILEFARVVKAKEQVVAGTMHHLTIEAIEAGKKKIYEAEVWVKPWLNFMELKEFKHAGDVPVFTSSDLGVKRDGHGPGWQSVPVHDPSVQDAANHALKSIQQRSNSLFPYELQEVVDANAEVEDDSAKFDMLLKVKRGSAEEKLKVVVHKNSEGSYHLNRMEPHV >Potri.012G071300.1.v4.1 pep chromosome:Pop_tri_v4:12:9445146:9448363:-1 gene:Potri.012G071300.v4.1 transcript:Potri.012G071300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071300.v4.1 MEITIGVLLACIIGSLPLLGLLTWWCNEIWYVLPLKFQLSGTATKLPPGHLGFPFVGEMLTFLWYFKILGRPDDFINSKRRRYGDGVGLYRTHLFGTPSIVACFPAVSKFIFQSNDIFILKWPSVDILGQKSLVVAQGEVHKRLRNHVTNAITRPDALCRIAVLVQPRLVAALQSWVDKRRINTYKEIKKVTFENIGKLFVGLQPGQQLDAIDELFKGLLRGIRAYPLNIPGTAYRHAMQCKKKLDAIFRGELEKKKRQHESEKTNDLMDGLMQIEDDEGSQYLSDQEVLDNIVGLVVAGYESTSVASTWAIYYLAKYPHVLAKLREENTALCKNNKGDFITLEDVAKLKYTNKVVEETIRMANIAAFIFRMATREVEYKGYKIPKNWKVIVWARYFHTNPENFEDPMCFNPDRWNEPARPGTYQVFGNGSRICPGNKLARLQLALFLHHLSIGYKWELLNPDADMIYLSHPLPIDGVEIVFDKI >Potri.014G052100.5.v4.1 pep chromosome:Pop_tri_v4:14:3342392:3343487:1 gene:Potri.014G052100.v4.1 transcript:Potri.014G052100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052100.v4.1 MFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKAIQSYVPRVFGFKLHKP >Potri.014G052100.4.v4.1 pep chromosome:Pop_tri_v4:14:3339946:3344419:1 gene:Potri.014G052100.v4.1 transcript:Potri.014G052100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052100.v4.1 MYKDRGGFGGGSSRSEIVGGPLDRKRINDALDKHLEKSSPSTSRGLNNSSKDKERLSVPSTSTGKSSQHQQHLEHHRADSRSASLSKNKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVYCCGQPCLPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKAIQSYVPRVFGFKLHKP >Potri.004G141400.1.v4.1 pep chromosome:Pop_tri_v4:4:16405364:16408097:-1 gene:Potri.004G141400.v4.1 transcript:Potri.004G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141400.v4.1 MKAFDINGSNILSLSLFTDVTNSKELLDSMQAGKLEPEVAFLNASLIPDVFPLLAAAHKTLIAKSRDSLTTRTLHSELVYNYSGSKHITESLKRCGISDSTTYILAARFNASPDEMKAVEKLINGKEIELEELEGRANQAQIQKHYKISGLEAGLSSLADAITCRVAARDAL >Potri.001G286700.1.v4.1 pep chromosome:Pop_tri_v4:1:29939017:29942929:1 gene:Potri.001G286700.v4.1 transcript:Potri.001G286700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286700.v4.1 MAEAETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFDSLTDKSKLDAQPELFIHIIPDKASNTLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEAVTAGADVSMIGQFGVGFYSAYLVADKVVVTTKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGTKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEEDKKDEEDKKDEEGNVEDVDEEKDKEEKKKKKIKEISHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCVELFFEIAENKEDYDKFYEAFSKNLKLGIHEDSQNKSKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKIDETEDEKKKKEELTEKFEGLCKVIKDVLGDRVEKVVVSDRVVDSPCCLVTGEYGWSANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDTADADTDMPPLEDAADDAEEGSKMEEVD >Potri.001G105800.3.v4.1 pep chromosome:Pop_tri_v4:1:8495822:8499380:-1 gene:Potri.001G105800.v4.1 transcript:Potri.001G105800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105800.v4.1 MTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPKILGCNQILEAINDTGFEAVLLSTGEDMGKIGLKVDGVRTHNSMRMIEKSLQALPGVQSIDIDSEVNKISLSYKPDVTGPRNFIKVIESTGTGRFKAMIFPEGGGRESHRKEEIKQYYRSFLWSLVFTVPVFLIAMIFMYIPGIKDALDTKLVNMLSIGAILRWVLSTPVQFIVGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYSVLRAASSTDFESTDFFETSSMLISFILLGKYLEVLAKGKTSDAIAKLMNLTPGTAILLTLDDEGNVISEEEIDSRLIQRNDVIKIVPGAKAASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVIILSISTWLAWFLAGKFHGYPDSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGQALESAHKVNCLVFDKTGTLTIGKPVVVNTRLLKNMVLRDFYELIAAAEVNSEHPLAKAIVEYAKKFREDEENPMWPEAQDFQSITGHGVKAIVRNKEVIVGNKSLMLEHNIPISIDAEEMLAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNSGTANSIAKEVGIETVIAEAKPEQKAEKVKELQAAGYIVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAGGVLFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYRRPKMLEHLDIGGIKIE >Potri.001G105800.2.v4.1 pep chromosome:Pop_tri_v4:1:8495806:8503314:-1 gene:Potri.001G105800.v4.1 transcript:Potri.001G105800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105800.v4.1 MATKFLALACIRKESYGDLSPRPRYPSMPKYPKGVSAQETNVEGSEAKAVFCVLGMTCAACAGSVEKAVKRLPGIREAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFEATLIQEETSDKSTQVCRIRINGMTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPKILGCNQILEAINDTGFEAVLLSTGEDMGKIGLKVDGVRTHNSMRMIEKSLQALPGVQSIDIDSEVNKISLSYKPDVTGPRNFIKVIESTGTGRFKAMIFPEGGGRESHRKEEIKQYYRSFLWSLVFTVPVFLIAMIFMYIPGIKDALDTKLVNMLSIGAILRWVLSTPVQFIVGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYSVLRAASSTDFESTDFFETSSMLISFILLGKYLEVLAKGKTSDAIAKLMNLTPGTAILLTLDDEGNVISEEEIDSRLIQRNDVIKIVPGAKAASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVIILSISTWLAWFLAGKFHGYPDSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGQALESAHKVNCLVFDKTGTLTIGKPVVVNTRLLKNMVLRDFYELIAAAEVNSEHPLAKAIVEYAKKFREDEENPMWPEAQDFQSITGHGVKAIVRNKEVIVGNKSLMLEHNIPISIDAEEMLAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNSGTANSIAKEVGIETVIAEAKPEQKAEKVKELQAAGYIVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAGGVLFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYRRPKMLEHLDIGGIKIE >Potri.001G105800.4.v4.1 pep chromosome:Pop_tri_v4:1:8495771:8500324:-1 gene:Potri.001G105800.v4.1 transcript:Potri.001G105800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105800.v4.1 MATKFLALACIRKESYGDLSPRPRYPSMPKYPKGVSAQETNVEGSEAKAVFCVLGMTCAACAGSVEKAVKRLPGIREAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFEATLIQEETSDKSTQVCRIRINGMTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPKILGCNQILEAINDTGFEAVLLSTGEDMGKIGLKVDGVRTHNSMRMIEKSLQALPGVQSIDIDSEVNKISLSYKPDVTGPRNFIKVIESTGTGRFKAMIFPEGGGRESHRKEEIKQYYRSFLWSLVFTVPVFLIAMIFMYIPGIKDALDTKLVNMLSIGAILRWVLSTPVQFIVGRRFYTGSYKALRHGSANMDVLIALGTNAAYFYSVYSVLRAASSTDFESTDFFETSSMLISFILLGKYLEVLAKGKTSDAIAKLMNLTPGTAILLTLDDEGNVISEEEIDSRLIQRNDVIKIVPGAKAASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISKYFVPLVIILSISTWLAWFLAGKFHGYPDSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGILIKGGQALESAHKVNCLVFDKTGTLTIGKPVVVNTRLLKNMVLRDFYELIAAAEVNSEHPLAKAIVEYAKKFREDEENPMWPEAQDFQSITGHGVKAIVRNKEVIVGNKSLMLEHNIPISIDAEEMLAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNSGTANSIAKEVGIETVIAEAKPEQKAEKVKELQAAGYIVAMVGDGINDSPALVAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLLGIPIAGGVLFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYRRPKMLEHLDIGGIKIE >Potri.011G003800.2.v4.1 pep chromosome:Pop_tri_v4:11:279556:280572:1 gene:Potri.011G003800.v4.1 transcript:Potri.011G003800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003800.v4.1 MACSHLTMWVSSKASLSDTASLCFLSSLNPFQLPSSPAANNPSRPSSATPIHCGLWELRSRIDSVKNSQKITEAMKLVAAAKVRSCFLYNINEQLQTEDIDIPLTKVRPVKKVALVVVTGDRGLCGVFNNYILKKAEARIEELKNGKHSDPVIHTLLPISPKAEICDVNGVCVDAAEDEFFRLTTKDGKLTVERGVSRTETSDFSPILQFDRILKALQESLASELAARMIAMSNAIDNASELKKTLSVIYNR >Potri.001G168600.1.v4.1 pep chromosome:Pop_tri_v4:1:14405545:14407275:1 gene:Potri.001G168600.v4.1 transcript:Potri.001G168600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168600.v4.1 MDSTKLLHPFTFYLSTAVAIFRYLQTGFFCLKRIPIFFTIVDTVISLYFRLCGLSPFTIDLDDQTTMHFWTSNHRRFNKPNLVMIHGYGGDARWQFVYQVRSLSQNFNLYVPDLLFFGKSSSKRSGRTDTFQARCLAECLKRLGVDRFSVYSISYGGFVAYRIAEIFPEEVEKVVIVSSGVVSSDDQIEEQIKKIGRDPAAILLPEHPQDLRFLVNLSVYKCKPLRWLPDIFLQEFINAMVNHQRKEKLELLEHLLAKKADISLPILTQETLLIWGDQDNVFPVNLAYQLQRHLGPKSRVKIIKDIGHAANIESPDAVNDLITSFVLGRSKWDIPSVSEKSSLSQVY >Potri.010G141100.1.v4.1 pep chromosome:Pop_tri_v4:10:15426725:15431957:-1 gene:Potri.010G141100.v4.1 transcript:Potri.010G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141100.v4.1 MKINVDKMTRKVEVDNRIPLRNYYRIADNLLRQANIHREEKNVVDLYIMLLRYLSLVSETIPYHRDYQALYQKERANYKKRSFAVLSELESIKPEFHRRVDEIEKAYSRTQQVEFNGSGTSTLEWPPANKNSYSSKLVVVAPQSSWKYDNNHTQGLYLDMSRIDKQLQKLSVSLPLPKQETLSRHSFLGPNGFRGQWSGPSAEIKVQYPSNADLTSTENSSLNQAGQYDAMAVKDANSAAVESTMESVLSLDDGRWPRLAEDTCPTLINEAREDPFQFVGIRQPSPPPVLAKVQQESTPIPSSKVADPRPGPAKPSDDGLPSSNSYQHLHVPVNLMEDFLRLARANTEKNLETCGVLAGSLKNKVFHITTLIIPKQESTSDSCQTLNEEEIFEVQDKLSLFPLGWIHTHPSQTCFMSSVDLHTHYSYQIMLPEAIAIVMAPTDESSPHGIFHLSDPSGVSVIRNCQQRGFHPHEESLDGSPIYEHCSHVYMNSIMKFDVVDLR >Potri.008G221801.1.v4.1 pep chromosome:Pop_tri_v4:8:18570717:18571081:-1 gene:Potri.008G221801.v4.1 transcript:Potri.008G221801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221801.v4.1 MDNGAIQVRSNVDPTFYSLVGSGRSGGDHHGSYLLDNPYIPYQCMDSYLSSTGLGSASMGK >Potri.008G221801.2.v4.1 pep chromosome:Pop_tri_v4:8:18570727:18571095:-1 gene:Potri.008G221801.v4.1 transcript:Potri.008G221801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221801.v4.1 MDSSMCSSAPDPEMWIIQGTLAWRTSPIRTGV >Potri.005G092500.1.v4.1 pep chromosome:Pop_tri_v4:5:6451024:6452893:1 gene:Potri.005G092500.v4.1 transcript:Potri.005G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092500.v4.1 MVNVPKTKKTYCKNKECKKHTLHKVTQYKKGKDSLAAQGKRRYDRKQSGYGGQTKPVFHKKAKTTKKIVLRLQCQACKHVSQHAIKRCKHFEIGGDKKGKGTSLF >Potri.010G030500.2.v4.1 pep chromosome:Pop_tri_v4:10:4515470:4517384:-1 gene:Potri.010G030500.v4.1 transcript:Potri.010G030500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030500.v4.1 MTMRLSLTAYKQVQPRGNFQGGLNMKPFFRRKDKVVFVVGPTGTGKSRLAIDLATRFPAEVVNCDKMQVYKGLDIVTNKVTEEECRGVPHHLLGIADPNANFTSDDFRHHASLVVESIVTRDRLPIIAGGSNSYIEALANDDPEFRLRYECCFLWVDVSLPILYSFVSERVDRMVEAGLIDEVRDMFDPNKFDDYSQGIKRAIGVPELDHFLRNEAIVDAKTRRKLLDEAIDKIKENTCMLASRQLQKIHRLHSIWNWNVHRIDATPVFLTSGKEVDNLWDKLVAGPSTMIVNQFLCDKNYASPIIPSESIKMEPISVPALAVGATR >Potri.010G030500.1.v4.1 pep chromosome:Pop_tri_v4:10:4515469:4517339:-1 gene:Potri.010G030500.v4.1 transcript:Potri.010G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030500.v4.1 MTMRLSLTAYKQVQPRGNFQGGLNMKPFFRRKDKVVFVVGPTGTGKSRLAIDLATRFPAEVVNCDKMQVYKGLDIVTNKVTEEECRGVPHHLLGIADPNANFTSDDFRHHASLVVESIVTRDRLPIIAGGSNSYIEALANDDPEFRLRYECCFLWVDVSLPILYSFVSERVDRMVEAGLIDEVRDMFDPNKFDDYSQGIKRAIGVPELDHFLRNEAIVDAKTRRKLLDEAIDKIKENTCMLASRQLQKIHRLHSIWNWNVHRIDATPVFLTSGKEVDNLWDKLVAGPSTMIVNQFLCDKNYASPIIPSESIKMEPISVPALAVGATR >Potri.010G028900.1.v4.1 pep chromosome:Pop_tri_v4:10:4159397:4161226:-1 gene:Potri.010G028900.v4.1 transcript:Potri.010G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028900.v4.1 MYGYTSVSNKDGAKEIDLEAGNRESLYPGLSLGENQLRWGLIRKVYGILAAQLVLTTIVSAVTILYTPMTDLLKGSFGFVLFLSIVPFILLWPLHVYHQKHPVNLIILGLFTVSLSLLVGASCANIEGKIVLEALILTSAVVCSLTAYTFWAAKKGKDFSFLGPILFTSLIILILTSFIQVFFPLGSTSTAVYGGISALIFCGYIVYDTDHLIKRFSYDEYILASVALYLDVLNLFLSILRVLSQRNS >Potri.007G138052.1.v4.1 pep chromosome:Pop_tri_v4:7:14916782:14917692:-1 gene:Potri.007G138052.v4.1 transcript:Potri.007G138052.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138052.v4.1 MVVLPGVAAGLKNWPQVTFSVEPQSLEQGLDRMKVSLAVSHEIYKNNTKICDL >Potri.017G130901.1.v4.1 pep chromosome:Pop_tri_v4:17:13388700:13389309:-1 gene:Potri.017G130901.v4.1 transcript:Potri.017G130901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130901.v4.1 MLKYRRKLNIKCAQNIEKGSFCDYGQVATVALWLARHRFY >Potri.004G038000.1.v4.1 pep chromosome:Pop_tri_v4:4:3032342:3034367:-1 gene:Potri.004G038000.v4.1 transcript:Potri.004G038000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038000.v4.1 MEGTNSSSRSQHPQLPPGFRFHPTDEELVVHYLKKKAASVPLPVTIIAEIDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILTSNGAQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLIENNSSPKPPAADSANKKGGSLRLDDWVLCRIYKKNNSQRPMDQRDKEDSMEGMFASLQNSSHQNPKPLSASKHTAYASLLVNEDTFFEGILTGDGMQNGSISQLPSSSSKPNMSMAPVSANTFTATRTLPPHQYWNDATGSPVGLANSSGKRFHGELNSGITGTQEDNTSFVSMLNQLPQSTPLVHPSTLLDGVLRQPFQLSSLNWNS >Potri.019G074800.1.v4.1 pep chromosome:Pop_tri_v4:19:11598849:11602357:-1 gene:Potri.019G074800.v4.1 transcript:Potri.019G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G074800.v4.1 MLMSSTASSFFSSKPLPLHRIPTLSRPQLISTQHANIFTSSSKAWATKNTIKASSSENQTVTVAPSVESASDVVRSFYEGINGHDLDSVEELIAENCVYEDLIFPRPFVGRKAILEFFNKFIDTVSKDLQFVIDDISNEDSFAVGVTWHLEWKGKSFPFSKGCSFYRLDAVNGKRQIIYGRDSVEPAVKPGEAALVAIRGVTWLLQRFPQLADQL >Potri.008G125600.1.v4.1 pep chromosome:Pop_tri_v4:8:8168705:8171345:1 gene:Potri.008G125600.v4.1 transcript:Potri.008G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125600.v4.1 MGFRATAQLLFLLSFFASGIHLNLSATDPGDAAELQSLKSHWQNTPPSWDQTEDPCGAPWVGVTCNNSRITSLKLPCMSLAGNLSDRIGGLTELRSLDLSFNPNVTGSLTPRLGDLKNLKILILAGCGFSGSIPDELGNLAELSFLALNSNILSGRIPASLGKLSKLYWLDLAENQLTGTIPISKNSSPGLDQLLNAKHFHFNRNQLSGFIPPELFSSDMMLIHVLFDGNRLEGEIPSTLGLVQTRGSVSENCPGFVFLVASSIRTDIIHFVCRSRLNRNALSGEVLKNLNNLTNLNELNLANNKLTGPLPDLTKMDSLRYVDLSNNSFDSSESSDWFSTLPSLTTLVIENGPLQGTLTSKVFSFPYIQQVLLRNNAFNGTFDLDDSFSPQLQLVDLQNNQISAVTLSADYKNKLILVGNPVCTGLPNVSFCQP >Potri.010G179300.2.v4.1 pep chromosome:Pop_tri_v4:10:17834154:17835116:1 gene:Potri.010G179300.v4.1 transcript:Potri.010G179300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179300.v4.1 MGASITLGSTNSSVSQSHYHTHRVFLFCNYILLGAASSCIFLTLSLRLVPSICGFFLILLHVFTIAGAVSGCAAASSGTNRWYAAHMVATVLTAIFQGSVSVLIFTRTGDFLGNLKSYVREEDGDVILKLAGGLCVVIFCLEWVVLTLAFFLKYYAYVEGDANNGGDIAMRRSAKVQQDEDLKDWPWPFQV >Potri.018G023600.1.v4.1 pep chromosome:Pop_tri_v4:18:1745647:1747896:1 gene:Potri.018G023600.v4.1 transcript:Potri.018G023600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023600.v4.1 MEESKQVNVEISRATTAEEAQGKGLVDGIMEVQDVTESSGPLQPKSLNGLASASNSAFRQRFGFKEKSKLEQVVIEVNFDSSKAGYLALKLVAEIAGVESVELGGPDRNLLEVIGDGVDAHHLVTLLQKKFGNAKLISMGPVKEPKKDTMEDEPVLIKEEENEPMLQRPVSSSIPHCLDPISESVVDIVETEKLNGAMEMEMEMETKTKTHSNLGLEESSCISKTTQWTLLITSLLLEAISAIFDQLGYALISMAMAFVALLLSILDLIYKARENGITCDGRSLLPCFYRHSSHDFQNRKPFGSVVEYFGFAGAVWQCSYTTVGYHYARRNLDNPIKICLLPFIFALCVLISKLVKSGQHEPLVELKPT >Potri.001G226400.4.v4.1 pep chromosome:Pop_tri_v4:1:24507496:24507663:1 gene:Potri.001G226400.v4.1 transcript:Potri.001G226400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226400.v4.1 MGHFSAIFSCFVPSASSRVIDEAHKKAEIPKSKSKSSGAPIVVSYFPMNSYLSRL >Potri.001G278000.1.v4.1 pep chromosome:Pop_tri_v4:1:29134606:29137090:-1 gene:Potri.001G278000.v4.1 transcript:Potri.001G278000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM8 MSIGTGLESLVDQTISIITNDGRNIVGVLKGFDQATNIILDESHERVYSTKEGVQQLVLGLYIIRGDNIGVVGELDEELDAHLDLSSLRAHPLKPVIH >Potri.010G075900.1.v4.1 pep chromosome:Pop_tri_v4:10:10347375:10351676:-1 gene:Potri.010G075900.v4.1 transcript:Potri.010G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075900.v4.1 MESTMARHTCLKLEIPEPIFIKGTWFPFHFNLSITDGLNSWFCNATEEEVRDRAAQWDQPVSTYIQLAEKHLGFQIPGSVYKFTDAGEGNKRLSWTFEKEGTKLEWRWKCQPSPDTKKTTTLILDFLMDANIRLSEEVVRKTQSFEQLKGEAEKCLAQSEKFNSQKMEFEAAVYAKFLGVLNSKKRKLRELRDQLSKKEISGESAQEEEDSDKTESFDRGSDDEKSVEKPREKLAGTSKDPPPRRGYVRKKITHK >Potri.T002400.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:251029:255099:1 gene:Potri.T002400.v4.1 transcript:Potri.T002400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002400.v4.1 MTEPESSRSRPEGAYDVFLSFRGEDTRQTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIHESKISLVVFSKGYASSRWCLNELVEILQCKNRKTNQIVLPIFYDIDPSDVRKQNGSFAEAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFTKEIIKDVLKKLEPKYLYVPEHLVGMDRLARSIFDFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCYRFEGSCFLSNINETSKQFNGLALLQKQLLHDILKQDVANINCVDRGKVLIKERLCRKRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPYESLQLFRWHALRDTKPTEDYIELSKDAVDYCGGLPLALEVMGACLSGKNRDGWKCVIEKLRRIPHHDIQGKLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLQTLHERSLIKVNAIGEITMHDLLRDMGREVVRESSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGVALDVRASEAKSLSTGSFAKMKCLNLLQINGAHLTGSFKLLSKELMWICWLQCPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILNRLKILNFSHSQNLVKTPNLHSSSLEKLILEGCSSLVEVHQSIGHSTSLVFLNLEGCWSLKTLPESIGNVKSLETLNISGCSQLEKLPERMGDMESLTELLADGIENEQFLSSIGQLKHVRRLSLCRNRSAPPSSSLISAGVLNWKRWPPTSFIEWISVKRLELSNGGLSDRATNCVDFRGLSALEHLDLDGNKFSSLPSGLGFLPKLRWLSVQACKYLVSIPDLPSSLDFLFAAHCKSLKRVRIPSEPKKELYIGLENSHSLEEIQGIEGLSNSFWYIRVDKHNNSPNKLPKNVIELMCNGRHGYSFFWDVAGSLISECKNYV >Potri.T002400.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:251070:255054:1 gene:Potri.T002400.v4.1 transcript:Potri.T002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002400.v4.1 MTEPESSRSRPEGAYDVFLSFRGEDTRQTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIHESKISLVVFSKGYASSRWCLNELVEILQCKNRKTNQIVLPIFYDIDPSDVRKQNGSFAEAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFTKEIIKDVLKKLEPKYLYVPEHLVGMDRLARSIFDFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCYRFEGSCFLSNINETSKQFNGLALLQKQLLHDILKQDVANINCVDRGKVLIKERLCRKRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPYESLQLFRWHALRDTKPTEDYIELSKDAVDYCGGLPLALEVMGACLSGKNRDGWKCVIEKLRRIPHHDIQGKLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLQTLHERSLIKVNAIGEITMHDLLRDMGREVVRESSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGVALDVRASEAKSLSTGSFAKMKCLNLLQINGAHLTGSFKLLSKELMWICWLQCPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILNRLKILNFSHSQNLVKTPNLHSSSLEKLILEGCSSLVEVHQSIGHSTSLVFLNLEGCWSLKTLPESIGNVKSLETLNISGCSQLEKLPERMGDMESLTELLADGIENEQFLSSIGQLKHVRRLSLCRNRSAPPSSSLISAGVLNWKRWPPTSFIEWISVKRLELSNGGLSDRATNCVDFRGLSALEHLDLDGNKFSSLPSGLGFLPKLRWLSVQACKYLVSIPDLPSSLDFLFAAHCKSLKRVRIPSEPKKELYIGLENSHSLEEIQGIEGLSNSFWYIRVDKHNNSPNKLPKNVIEVLFLSVSLAQKVNTQLAHASIFIICHRY >Potri.T002400.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:251070:255054:1 gene:Potri.T002400.v4.1 transcript:Potri.T002400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002400.v4.1 MANGHEAKFTKEIIKDVLKKLEPKYLYVPEHLVGMDRLARSIFDFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCYRFEGSCFLSNINETSKQFNGLALLQKQLLHDILKQDVANINCVDRGKVLIKERLCRKRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPYESLQLFRWHALRDTKPTEDYIELSKDAVDYCGGLPLALEVMGACLSGKNRDGWKCVIEKLRRIPHHDIQGKLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLQTLHERSLIKVNAIGEITMHDLLRDMGREVVRESSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGVALDVRASEAKSLSTGSFAKMKCLNLLQINGAHLTGSFKLLSKELMWICWLQCPLKYFPSDFTLDNLAVLDMQYSNLKELWKGKKILNRLKILNFSHSQNLVKTPNLHSSSLEKLILEGCSSLVEVHQSIGHSTSLVFLNLEGCWSLKTLPESIGNVKSLETLNISGCSQLEKLPERMGDMESLTELLADGIENEQFLSSIGQLKHVRRLSLCRNRSAPPSSSLISAGVLNWKRWPPTSFIEWISVKRLELSNGGLSDRATNCVDFRGLSALEHLDLDGNKFSSLPSGLGFLPKLRWLSVQACKYLVSIPDLPSSLDFLFAAHCKSLKRVRIPSEPKKELYIGLENSHSLEEIQGIEGLSNSFWYIRVDKHNNSPNKLPKNVIEVLFLSVSLAQKVNTQLAHASIFIICHRY >Potri.009G054200.2.v4.1 pep chromosome:Pop_tri_v4:9:5818552:5825376:1 gene:Potri.009G054200.v4.1 transcript:Potri.009G054200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054200.v4.1 MLAATGTVSKLFSSSSLPFSFPFPLCPKKTSLSLLSSQNPPISFLSSLSFPKLTPLKSSANTTQHFEEEEVEEEEEEEEDIVSETEIDEYESDIDIEDLEKEAKFAVKELSTSLSRQLTIEDDTDEKRESRKQKRKKATSKDIPDHLLPKVAIVGRPNVGKSALFNCLVGGNRAIVVDEPGVTRDRLYGRSFWGEHEFMVVDTGGVVTVSKSQANVMEDLAISTTIGMDGIPLASREAAVARMPSMIEKQATAAVEESSVIIFLVDGQAGLTAADVEIADWLRRNYSNKCIILAVNKCESPRKGIMQASEFWSLGFSPLPISAISGTGTGELLDLVCSRLGKVEAPENLNEEETYIPAIAIVGRPNVGKSSILNALVGEDRTIVSPISGTTRDAIDTEFVGPDGQKFLLIDTAGIRRRAAVASSGSVTEALSVNRAFRGIRRSDVVALVIEAMACITEQDYRIAERIEKEGKGCLIVVNKWDTIPNKNQQTATYYEQDVREKLRLLHWAPIVYSTAIAGHNVEKIIVAASTVEKERSRRLGTSILNQVVREALAFKPPPRTRGGKRGRVYYCTQAAIRPPTFVFFVNDSNLFPETYRRYMEKQLRSDAGFSGTPIRLLWRSRRKMEKDEVKGATRPQVNLTPGSGKLAVAT >Potri.008G067700.1.v4.1 pep chromosome:Pop_tri_v4:8:4091778:4094708:-1 gene:Potri.008G067700.v4.1 transcript:Potri.008G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067700.v4.1 MGFAKEEKSRRVVRGVKTLFFLITMLISFLLFSAPILLVIADTLLPFSLLSASLSPSSLSSETLSSLFNNYDFRYSLVDIPLISIIRSAVIICVYSLCDGPRLSRGPYLGITTMCSVSSLIYVSFKAPRVFRVSSMDRGEHVIAMEIALFICSLLLAIGHIAVAYRTSCRERRKLLVYKIDIEAVSACKNVVFPRYHKILLEERVK >Potri.010G117000.1.v4.1 pep chromosome:Pop_tri_v4:10:13612025:13615123:1 gene:Potri.010G117000.v4.1 transcript:Potri.010G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117000.v4.1 MSMEESLLLPKKRSELEGRERLVLTRDVFTQEAKKLAYIAGPMVVTITSLYLLLVISNMMVGHLGELALSSAAISISFCNVTGMSLLNGLASALETLCGQAYGAQQYQKVGHQTYGAMFSLVLVASLVSLVWINVEKVLILIGQDPIIAHEAGRFTLWTVPTLFAYAIFQPLSRYLQIQSLTIPMLASSVVTLLLHIPLCWFLVFKSGLENVGGALAISISNWLNVIFLLLYMKYSSACAKTRVPVSMEMFHGIGEFFRFAIPSAVMICLQWWAYEIVVLLSGLLSNPQLETSVLSVCLTTTSTLYSIPYGIGAAVSTRVSNELGAGRPQAARIAVYTVMILAIIEVIIVSGTLFGTRDIFGYSFSNEKEVVDYVSNMTPLVCLSVILDGLQVVLSGVARGCGWQHIGAYVNLAAFYLCGVPVAAILGLWLQLKARGLWIGIQVGAILQTVLLSLITSCTNWEKQASDARERIFEERYSVESVLEH >Potri.010G117000.2.v4.1 pep chromosome:Pop_tri_v4:10:13610556:13615062:1 gene:Potri.010G117000.v4.1 transcript:Potri.010G117000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117000.v4.1 MDGRDRGDPETVEIEEPTKDTAMSMEESLLLPKKRSELEGRERLVLTRDVFTQEAKKLAYIAGPMVVTITSLYLLLVISNMMVGHLGELALSSAAISISFCNVTGMSLLNGLASALETLCGQAYGAQQYQKVGHQTYGAMFSLVLVASLVSLVWINVEKVLILIGQDPIIAHEAGRFTLWTVPTLFAYAIFQPLSRYLQIQSLTIPMLASSVVTLLLHIPLCWFLVFKSGLENVGGALAISISNWLNVIFLLLYMKYSSACAKTRVPVSMEMFHGIGEFFRFAIPSAVMICLQWWAYEIVVLLSGLLSNPQLETSVLSVCLTTTSTLYSIPYGIGAAVSTRVSNELGAGRPQAARIAVYTVMILAIIEVIIVSGTLFGTRDIFGYSFSNEKEVVDYVSNMTPLVCLSVILDGLQVVLSGVARGCGWQHIGAYVNLAAFYLCGVPVAAILGLWLQLKARGLWIGIQVGAILQTVLLSLITSCTNWEKQASDARERIFEERYSVESVLEH >Potri.010G117000.3.v4.1 pep chromosome:Pop_tri_v4:10:13612040:13615124:1 gene:Potri.010G117000.v4.1 transcript:Potri.010G117000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117000.v4.1 MSMEESLLLPKKRSELEGRERLVLTRDVFTQEAKKLAYIAGPMVVTITSLYLLLVISNMMVGHLGELALSSAAISISFCNVTGMSLLNGLASALETLCGQAYGAQQYQKVGHQTYGAMFSLVLVASLVSLVWINVEKVLILIGQDPIIAHEAGRFTLWTVPTLFAYAIFQPLSRYLQIQSLTIPMLASSVVTLLLHIPLCWFLVFKSGLENVGGALAISISNWLNVIFLLLYMKYSSACAKTRVPVSMEMFHGIGEFFRFAIPSAVMICLQWWAYEIVVLLSGLLSNPQLETSVLSVCTRVSNELGAGRPQAARIAVYTVMILAIIEVIIVSGTLFGTRDIFGYSFSNEKEVVDYVSNMTPLVCLSVILDGLQVVLSGVARGCGWQHIGAYVNLAAFYLCGVPVAAILGLWLQLKARGLWIGIQVGAILQTVLLSLITSCTNWEKQASDARERIFEERYSVESVLEH >Potri.005G012700.1.v4.1 pep chromosome:Pop_tri_v4:5:1005176:1005877:1 gene:Potri.005G012700.v4.1 transcript:Potri.005G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012700.v4.1 MEKTRYYHFLLTKSEEEERQKVHGAGPWPLNFDVVEIRKNSCGDHHPLGTLPLFPWKLKVELPLNQIGGYGPIELSAGQVFDHVLKYWDAGTVSQLISHEQEQIPIVVQDLDSGVKHDIYLVRSKEGGVGGEEKYKIHLHWKKKNVKKQGDKDTLSIGMYWNISSSCLCLSVLDGPC >Potri.005G006200.3.v4.1 pep chromosome:Pop_tri_v4:5:446453:458580:1 gene:Potri.005G006200.v4.1 transcript:Potri.005G006200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006200.v4.1 MEREAKKRKMEYVEEEEKGKEDDDDEEEEEKMEKFFALLRSTKQMHDQIRRNSNRIFKEKEEIRKVGEEKVSVAWNPSFLPEDFLEDGKDSQAAAGPSKRKEAEKKDDGEEGSGLDLKLSL >Potri.005G006200.2.v4.1 pep chromosome:Pop_tri_v4:5:457053:458801:1 gene:Potri.005G006200.v4.1 transcript:Potri.005G006200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006200.v4.1 MEREAKKRKMEYVEEEEKGKEDDDDEEEEEKMEKFFALLRSTKQMHDQIRRNSNRIFKEKEEIRKVGEEKVSVAWNPSFLPEDFLEDGKDSQAAAGPSKRKEAEKKDDGEEGSGLDLKLSL >Potri.007G071400.2.v4.1 pep chromosome:Pop_tri_v4:7:9322343:9326401:1 gene:Potri.007G071400.v4.1 transcript:Potri.007G071400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071400.v4.1 MSLRNLNEWTIIAKEAFNGSFLVAKALCFLHVTKTYVFTVASLYGPSMLPTFNISGDLALAEKISHKLGKVGAGDIVLVTSPVEPRKIVTKRVVGVEGDSVTYVVDPKNSDRTETIVVPKGHIWVEGDNIYKSKDSRNFGAVSYGLLQGKMFWKIWPPKDFGPLGNKEQNS >Potri.011G129100.3.v4.1 pep chromosome:Pop_tri_v4:11:16232487:16233014:1 gene:Potri.011G129100.v4.1 transcript:Potri.011G129100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129100.v4.1 MASSSNFEDFLPLMANKLGGDGLVGELCNGFNLLVDSEKGVITFDSLKKNSALLGLQDLSDDDLRCMLREGDFDGDGALNQMEFCVLMFRLSPELMEESQFLLEEALLQEFKHYC >Potri.018G006500.3.v4.1 pep chromosome:Pop_tri_v4:18:521444:527325:-1 gene:Potri.018G006500.v4.1 transcript:Potri.018G006500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006500.v4.1 MPRKVNYGVDCDDGDYDDYDDDYDAEDDVEAAEPIHETAKYNDKVRLWRCAICNYDNDESMTACDICEVIRNPVPGSIKRVGGGAKLFNSRYPNVTASITEIVKSSDKSSASMPKGKQGQQISDGSSASISKGRPGVDEGNQKKNGIVGTQSSDETSDSTSSTPKGKDKSVDYSSSSTNRGESLGLTGNLNEMSLSDKSGKSYKASAKRHKSSAQYQPDKWMLPSKSENALTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMLKYEREAKLQGKGSFAYAWALDESPEERERGITMTVAVAYFDSKKYHVVVIDSPGHKDFVPNMISGSTQADAAILVIDASIGGFEAGMDNKGQTREHARLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIRTQLGTFLHSCGFKDSLVSWIPLSAVENQNLVAAPSDIRLSSWYCGPYLLDAIDSLQPPTRDFSKPLLMPICDVLISSSQGQVSACGKLEAGALRSGVKVLHQGCHLLL >Potri.018G006500.2.v4.1 pep chromosome:Pop_tri_v4:18:522158:527414:-1 gene:Potri.018G006500.v4.1 transcript:Potri.018G006500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006500.v4.1 MPRKVNYGVDCDDGDYDDYDDDYDAEDDVEAAEPIHETAKYNDKVRLWRCAICNYDNDESMTACDICEVIRNPVPGSIKRVGGGAKLFNSRYPNVTASITEIVKSSDKSSASMPKGKQGQQISDGSSASISKGRPGVDEGNQKKNGIVGTQSSDETSDSTSSTPKGKDKSVDYSSSSTNRGESLGLTGNLNEMSLSDKSGKSYKASAKRHKSSAQYQPDKWMLPSKSENALTQLNLAIVGHVDSGKSTLSGRLLHLLGRITQKEMLKYEREAKLQGKGSFAYAWALDESPEERERGITMTVAVAYFDSKKYHVVVIDSPGHKDFVPNMISGSTQADAAILVIDASIGGFEAGMDNKGQTREHARLIRSFGVDQIIVAVNKMDAVEYSKDRFDLIRTQLGTFLHSCGFKDSLVSWIPLSAVENQNLVAAPSDIRLSSWYCGPYLLDAIDSLQPPTRDFSKPLLMPICDVLISSSQGQVSACGKLEAGALRSGVKVLVMPSGDVGTVRSLERDSKACDVARAGDNVTVSLLGIDGSNVMTGGVLCHPDFPVAVARHFELKVLVLDLEIPLVIGSQLEFHGHHAKEAARVVKIISVLDSKTGKVTKKAPRRLTSKQSAVIEVLLDGPVCMEEFTNCRALGRVFLRTSGKTIALGIITGIIEDQG >Potri.001G132001.1.v4.1 pep chromosome:Pop_tri_v4:1:10755581:10757590:-1 gene:Potri.001G132001.v4.1 transcript:Potri.001G132001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132001.v4.1 MAEIAAGVVRLSSSTSPFSWPYKLSSTSLFSKIDRICERASNSPSSLVPAKQTLRFPVPIPGFFQNQSRLSHPSSLYATLNSPRGFGQPPKRSKKTKKSKPGNDEDDDDEDEEEEQEEPDAGVIPEVVTNRMMTRMGISVGAPLFVGVLFFPFFYYLKVGLKIDVPTWVPFIVSFIFFGSALLGVSYGIVSSSWDPKREGSFWGWNEAQKNWPVFWQSLRGRPGKQ >Potri.012G100800.3.v4.1 pep chromosome:Pop_tri_v4:12:12385102:12388692:1 gene:Potri.012G100800.v4.1 transcript:Potri.012G100800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100800.v4.1 MNGEELTEQETALYDRQIRVWGADAQRRLSKSHILVYGMKGIIAEFCKNIVLAGVGSLTLVDDRAVSEEALSANFLIPPDESVCIGKTLAELCCDSLREFNPMVRVSVEKGDLASLGAEFFDKFDVVVISCCSLATKKLINEKCRKLSKRVSFYAVDCRDCCGEIFVDLQKYNYAKKKTDGATECELQYPSFQEAISVPWRSLPRKVSKLYFAMRVIERFEEAEGRKPGEICIEDLPAVLKLKKELCEAQSVNESHVPDTLLERLVMGAKEFPPVCAIIGGTLGQEVIKAISSKGDPVKNFFIFDATDGKGMIEDISNPNLES >Potri.012G069800.1.v4.1 pep chromosome:Pop_tri_v4:12:9179727:9185097:1 gene:Potri.012G069800.v4.1 transcript:Potri.012G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069800.v4.1 MELVQGLPLLYQQFTSLFKKNILLSSRNKSATFLQLFASFFFMFLLFCIEKATESRTNTTTGFDTVRNPQPMWEPPITPCEEKFYVKKPCYDFVWSGNDSATITSIVTAIMQNNPNRPIPSDKVRSFRTEQEVDDWLLREPMQAPAALHFVQVNATVITYGLQSNSTPIARRGHYEDPTFKFQIPLQIAAEREIARFLLEASTFNWKVGLTEFAHPARPAFSALATVGPAFFLAFTMFGFVLQISNLVAEKELKLRQAMNMTGLYESAYWASWISWEGIITFISSLFLVLFGLMFQFDFFKKNNFGVLFFVFFLFQINMMGFAFMLSTFISKASSGTTMGFSIFIIGFMTQIITIAGFPYKESISGFLQFIWSFFPPNLLAIAVKLLSDASNTPEDLGISWKGRSKCSPDADDCAITINDVYTWLICLFFLWFVLAIYFDNIFPNASGVRKSPFYFLNRGYWTGKGGDKVEEGGICSCTGEIPQQEHITPDDEDVLEEENVVKNDAKEGTVNPDVAVQVRGLAKTYPGTTQISCCKCKKTSSYHAVRGLWVNFTKDQLFCLLGPNGAGKTTTMNCLTGITPVTGGDALVYGHSVRSTVGMSGIRQIIGVCPQFDILWDALSGEEHLHLFASIKGLPPASIKSVAQESLAKVKLTESAKVRSRSYSGGMRRRLSVAIALLGDPKLVILDEPTTGMDPISRRHVWDIIQNAKKGRAIVLTTHSMEEADILSDRIGIMAKGRLRCIGNSIRLKSKFGTGFIANVSFSDNNGGQTPGRMPSDTSVHHEAVKKFFKYHLDVTPTEETRSFLTFVIPHDKERVLTKFFAELQERQREFHVSDIQLGLATLEEVFLNIAKQAELESAAAEGKMVTLALTSGKSVQIPVGARFVGIPETESPENPSGIMVEVYWEQDDSGSLCISSHSDEMAVPYNAQPLASAPQPSDRSNVLGPRGGPVYGIVYDPNQIIAAQSY >Potri.010G128001.2.v4.1 pep chromosome:Pop_tri_v4:10:14468471:14471131:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTQTLRRLAQNREAARRGRLRKKVLDRHMSNSLRTVDSGLHN >Potri.010G128001.8.v4.1 pep chromosome:Pop_tri_v4:10:14468362:14471005:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTLRRLAQNREAARRGRLRKKEIMIETRESTPDAQQHLCRRNSLERLCCFIHTLLA >Potri.010G128001.6.v4.1 pep chromosome:Pop_tri_v4:10:14468362:14471005:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTLRRLAQNREAARRGRLRKKVMSLLVMLYCFLTLMIGILKIFFFFFFWNLVFPSLTFSLSFSFALEFPYCRK >Potri.010G128001.7.v4.1 pep chromosome:Pop_tri_v4:10:14468362:14471005:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTQTLRRLAQNREAARRGRLRKKEIMIETRESTPDAQQHLCRRNSLERLCCFIHTLLA >Potri.010G128001.10.v4.1 pep chromosome:Pop_tri_v4:10:14468362:14471021:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTQTLRRLAQNREAARRGRLRKKV >Potri.010G128001.9.v4.1 pep chromosome:Pop_tri_v4:10:14468362:14471008:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTQTLRRLAQNREAARRGRLRKKFCP >Potri.010G128001.3.v4.1 pep chromosome:Pop_tri_v4:10:14468471:14471131:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTQTLRRLAQNREAARRGRLRKKV >Potri.010G128001.5.v4.1 pep chromosome:Pop_tri_v4:10:14468362:14471005:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTQTLRRLAQNREAARRGRLRKKVMSLLVMLYCFLTLMIGILKIFFFFFFWNLVFPSLTFSLSFSFALEFPYCRK >Potri.010G128001.1.v4.1 pep chromosome:Pop_tri_v4:10:14468471:14471005:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTQTLRRLAQNREAARRGRLRKKVLDRHMSNSLRTVDSGLHN >Potri.010G128001.11.v4.1 pep chromosome:Pop_tri_v4:10:14468362:14471008:-1 gene:Potri.010G128001.v4.1 transcript:Potri.010G128001.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128001.v4.1 MFCLKSGNVTVVSHNLPYATALNTSIGSAEIATTGAGCLDTGQYVYQKGTGFDSSLGNGQSFENWGDSGMADNSLQTDTSTDVNTDDKNQLRGVPHGAVMVVNSMDQSKGRTSDQKTQTLRRLAQNREAARRGRLRKKV >Potri.019G117700.1.v4.1 pep chromosome:Pop_tri_v4:19:14393758:14394884:-1 gene:Potri.019G117700.v4.1 transcript:Potri.019G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117700.v4.1 MSTARKAWIVGASIGAVEALKDQGFCRWTYTMRSLHKHAKNNMRSISQARKLSSSSFAMVSGELRESSQSEESLRKVMYLSCWGSN >Potri.017G030000.2.v4.1 pep chromosome:Pop_tri_v4:17:2038740:2041170:1 gene:Potri.017G030000.v4.1 transcript:Potri.017G030000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G030000.v4.1 MDSTSTAEADYAAFLEKVKRTVYIDNLSPQVTESVMRTALGQFGTVKNVQFIPNYTGPKNIPCCALVEMECLRQAEAVVSEITQFPFMMSGMPRPARAFRAEVEMFDDRPIKPGRRIQCRWVDRKDPDFEVANKIKRLVRKHAAEDLFLLQQQLAQEEKLAKQQEETLKANYKKFTIIDNVVSDGTAPGLAKFYNMKVFDA >Potri.013G152700.1.v4.1 pep chromosome:Pop_tri_v4:13:14846644:14849287:-1 gene:Potri.013G152700.v4.1 transcript:Potri.013G152700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152700.v4.1 METRNLTVKQVSYCLFLSIFVIFSFQAHFSEAETHYREFVIQAKPVKRLCRTHNTITVNGLFPGPTLEVRDGDTLVIKAVNNARYNVTLHWHGIRQLRNPWADGPDRVTQCPIRPGRSYTYRFTIENQEGTLWWHAHSRWLRATVYGALIIHPKLGSPYPFPMPRTEIPILLGEWWDRNPMDVLRIADFTGAAPNISDAYTINGQPGDLYRCSKQETVRFPVGSGETILLRVINSALNQELFFGVANHILTVVAVDAAYTKPFTTSVIMIAPGQTTDVLLTADQTPGHYYMAARAYNSANAPFDNTTTTAILEYKTAPRNAKKGKQSTPIFPRLPGFNDTNSAIAFTSRLRSPSKVKVPLQIDENLFFTVGLGLINCTNPNSPRCQGPNGTRFAASINNMSFVLPKRNSLMQAYYQGQPGIFTTDFPPVPPVKFDYTGNVSRGLWQPVKSTKLYKLKFGAKVQIVLQDTSIVTVEDHPMHLHGYHFAVIGSGFGNFNPQTDPARFNLIDPPYRNTIGTPPGGWVAIRFEADNPGIWFMHCHLDSHLNWGLGMAFLVENGVGKLQSVQPPPLDLPRC >Potri.018G092200.1.v4.1 pep chromosome:Pop_tri_v4:18:11300606:11304485:-1 gene:Potri.018G092200.v4.1 transcript:Potri.018G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092200.v4.1 MATVPVNPKPFLNNLTGKTVIVKLKWGMEYKGFLASVDSYMNLQLGNTEEYIDGQFTGNLGEILIRCNNVLYLRGVPEDEDIEDAERD >Potri.009G141150.1.v4.1 pep chromosome:Pop_tri_v4:9:11274894:11275470:-1 gene:Potri.009G141150.v4.1 transcript:Potri.009G141150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141150.v4.1 MISAKKLIKLAREWQKLAAISRKRLTFPQTISSLDSDDCSTSSTAEKGHFVVYTTDEKRFVLPLDYLNNEIVKELFNLAEEEFGLTSNGPLTMPRDAAFMEYAITMIKKNVAKDVEKALLITLASDRCSSTLYPHQEVRNQQLSICSF >Potri.016G137900.1.v4.1 pep chromosome:Pop_tri_v4:16:14138018:14140369:-1 gene:Potri.016G137900.v4.1 transcript:Potri.016G137900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137900.v4.1 MDSSWHGNLPANRKKAIDELVRGQEIAAQLKLVMNKSIGVDESVFAEDLVKKIMNSFNSSLYILNGGEFDEVASQIPQVGSPCWDGRKSSKDSGESGRGTAELKVKDRRGCYKRRKSSHSRTDDSTTLTDDGHAWRKYGQKVILNAKYPRNYFRCTHKYDQQCQAIKQVQRIQEEPPLYRTTYYGHHTCKNLLKASQFVLDPSDHHDIDSSILISFKSNGDHASNKPSNSLLTSFQTVKQECCHKEDDMNIPISYDPTTQYNNQASSSDYLLSPDDYMSAFDHGDVISGVNSSCTTSSHSLDMDGIMMESADFDDDGVFGF >Potri.005G080800.2.v4.1 pep chromosome:Pop_tri_v4:5:5497353:5501507:1 gene:Potri.005G080800.v4.1 transcript:Potri.005G080800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080800.v4.1 MNSTFSEETLADNLSKVNGTQQCIESLSRWCIVHRSKAESVVEAWDKQFRNSAMLQKVPLLYLANDILQNSKKKGSEFVNEFWKVLPAALKNIVKKGDDRGKNVVSRLVNIWEERTVFGSRARSLKEVMLGEDAPPPLELSKKRSRSVKNTKRDSRSIRTKLSIGGAAEKLVSAFNLVVSERPNEEAEMSNCKSAVCRTRDMKKDVDIACSNNDKDPTRKTLAKKLENEENLLKRSIEKLKSVEACRVALVSQLKESLQEQESELEEVRALRQVAQAQVEEASKMRRLLIGEEVSKASTVTTVPVDSNAKAGQAPKRTAAAIAAEVAEKLAASSSSQMIMHAVLSTFAAEEAKNAQLTKAPTLSNSYASMPVQSLSKPENSLPVSDSNVFMPAQPLAAPTTHSYQPVLLPQPTMPNQTPTTQAQFNPSSQQYLQPAGGIMTPYAYGNTSPLPPGPPPPPPYQASSMVPMAHQPSQMPQQQPLSLAQQRNIINQQQPMSLTQQTLGANFRPHQPPVMEYYAHPSHS >Potri.009G068000.1.v4.1 pep chromosome:Pop_tri_v4:9:6778479:6780382:1 gene:Potri.009G068000.v4.1 transcript:Potri.009G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068000.v4.1 MAFTVDRCEEMVFTVESQKAVPAPFLTKTYQLVDDPLTDHVVSWGDDETTFVVWRPPEFARELLPNYFKHNNFSSFVRQLNTYGFKKVVTDRWEFANEYFRKGAKQLLSEIHRRKTISQHHHQHYPDQATQFLQSEDHGFGWIDPPFPSPKPNVDHILTALSEDNQKLRRKNCMLLSELSHMKNLYNDIIYFIQNHVKPVPNEQKAYNTVPKLIEPGSSCQDQTICFGVQRAKNGVLGKHSLTFSTEESSSPVKLFGVPLIDNKRLHPEAIE >Potri.003G191600.1.v4.1 pep chromosome:Pop_tri_v4:3:19482946:19486685:-1 gene:Potri.003G191600.v4.1 transcript:Potri.003G191600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191600.v4.1 MSPASKSNTKSKDKTSAKSAIEQPKASVKSSGSTNSVTGNPANAYNPISGTFHTLEIPAAAAFPPLHDNGRFRNIDDTDEHSSSPHGTVSEYDSVSNNGSCSGESEDIKEKIINSTRQETIPGLDSDRREKIRQRNEKKHQRQRERRAQELHDRCSGYLMSRKLERLSQQLVAMGFSHERAILALMLNEGRVEESVNWLFEGSEEEAQKDSKLESGGNLKIDINEELAQISAMEMRYKCSKQEVERAVVACEGDLVKAEETLQPQKQEPPATPPRQEYTADTNNLRRLHEKPVPVTSVTAQQRMNEQDFNYKTAIPVPTYSEPGSRNLQPLNQPKPLADKRWGATGSSPAFSSSMGPSMQVAPPSTKLDVQLGFTEGVGTTGSSSAFSSSMGPSMQVAPPSSMGPSMQVAPPSTKLGVQLGFTGNERKNVQQIVREPVSPQSMNAKQNTVPYASATPSVTAGWYSNNVPGVEHMRSNVKLLSNQSTGSLGLVNQSSQQFYHPVSYKQNPFPYSGPVNYTSNGLGGTRSPSLTVPSQLQGSYGKTTASLPSLAAPSSLGLFIGWGSAGTLGSSHVDWNTGGLMSEFDYTSIDWTLDSNMLSSKSNGLWLGLSSLLRNTSSTRTSSTNSSFLSGLRDSGVAKETSSSAGSREWTSPFAGKDIFSLPRQFVTSPSP >Potri.014G087300.2.v4.1 pep chromosome:Pop_tri_v4:14:5649989:5658131:-1 gene:Potri.014G087300.v4.1 transcript:Potri.014G087300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087300.v4.1 MAENSILFCFPSCYFTILSRLFVFFSLLFCCSHSANQLGPYNTFTVSSFSYPTTNVRPFDLRYIRVDLPAWFSSVSITVQSDVDLDAKSISKVPKSTLPLICIRDGSPPLPDVLNSSLIELGSFSNGSFQRIQGPQNVQCYPMQRNITATLTNEQISPGVWYLGLFNGIGPTRTQSKMIIRSPSYSFSANISVEGCATSTMWGQYCNQTIDPFSCSQAYSYNPTEIFSGANLQTIQNVVSCKTFESYCHGEGEPKVYALEVLGIAEQLKIVAANVSFTAAPTNSTGNASVANLLYFARHGAMPSMALYDYSGDMSKAPLIIRKPKVGRWFVTILPTNLSKEVGGIQNTNMQVCYSITWQLLNCPVGKAGLNCSSEKYMLQTVLRRDSTPFESYYLPLSGKVSPDSADFPLEPLSSNSSYSNETDTSWTYFLLNIPRGAAGGNIHIRMTSDVKINYEIYARYGGLPSLDSWDYYYANRTRSSDGSMFFTSYNSTEEKIDFYILYVKEGTWTFGLRSLNTTIIPSNDQTVMSVSVERCPKRCSSHGACKVALDASGLASYSFCSCDRTHGGFDCSIEIVSHQGHIWQSIALIGSNAAAILPAYWALRHKAFAEWVIFTSSGISSGLYHACDVGTWCALSFGVLQFMDFWLSFMAVVSTFIYLTTIDEVSKRAIHTVVAILTALMAITKATRSSNIILVMAIGALGLLIGWLVEFSTNLSSLSFSRGFCLNVPTRWETIGAQLSNLVKTLLRRFRWGFVLAGFSALAMAAISWKLESSESYWIWHSLWHVTIYTSSFLFLCSKVDKIINSENETTPDGNYGLTRQDSFSRAEP >Potri.010G161800.6.v4.1 pep chromosome:Pop_tri_v4:10:16701301:16703903:-1 gene:Potri.010G161800.v4.1 transcript:Potri.010G161800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161800.v4.1 MVKLISQLHKNICKKPFYFGWINHSCATHQLPLDYPPQAHVHHQNMPLPPILHNFFYSLCDNINTLMEMHAYLVVQGLTRDLSCSTKLVSLYGSFGRLDLARLVFDTIPHPDFLSWKVIIRWYFLNSEFRDIVGFYNRMRVCLKECDNVVFSHVLKACSESRNFDEGRKVHCQIVKFGNPDSFVFTGLVDMYAKCGEIECSRSVFDENLDRNVFSWSSMIAGYVQNNLAQDGLVLFNRMREELIEANQITLGILVHACKKLGALHQGKWLHGYLIKCGIELGSYLVTALLDLYAKCGVVRDARSVFDELHGIDIVSWTAMIVGYTQNGCPEEALKLFLQKEQVAVLPNDVTIASVFSSCSQLLNLNLGRSIHGLSIKLGSRDSIVTNSLVDFYAKCQMNRDARYVFETISDRDVVAWNSIISAFSQNGSAYEALELFHQMRMGSVLPDAVTLVSVLSACASLNALQVGSSFHAYAVKRGLLSSNVYVGTALLTFYAKCGDAESARVIFDGMDQKSTVTWSAMISGYGIQGNGRGSLSIFGDMLKAELKPNEEIFTSILSACSHTGMIGEGWRLFTMICQDYNLVPSTKHYTCMVDLLARAGRLKEALDFIQKMPVKPDVSLFGAFLHGCGLHSRFDLGELAIKRMLELHPGEACYYVLMCNLYASDASWSKVKQVRELMKQRGLMKTPGCSLMEMDVDHDFSFSRAASLA >Potri.010G161800.1.v4.1 pep chromosome:Pop_tri_v4:10:16700335:16703921:-1 gene:Potri.010G161800.v4.1 transcript:Potri.010G161800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161800.v4.1 MNKNGGEQPPQLILYYIYVYTKMVKLISQLHKNICKKPFYFGWINHSCATHQLPLDYPPQAHVHHQNMPLPPILHNFFYSLCDNINTLMEMHAYLVVQGLTRDLSCSTKLVSLYGSFGRLDLARLVFDTIPHPDFLSWKVIIRWYFLNSEFRDIVGFYNRMRVCLKECDNVVFSHVLKACSESRNFDEGRKVHCQIVKFGNPDSFVFTGLVDMYAKCGEIECSRSVFDENLDRNVFSWSSMIAGYVQNNLAQDGLVLFNRMREELIEANQITLGILVHACKKLGALHQGKWLHGYLIKCGIELGSYLVTALLDLYAKCGVVRDARSVFDELHGIDIVSWTAMIVGYTQNGCPEEALKLFLQKEQVAVLPNDVTIASVFSSCSQLLNLNLGRSIHGLSIKLGSRDSIVTNSLVDFYAKCQMNRDARYVFETISDRDVVAWNSIISAFSQNGSAYEALELFHQMRMGSVLPDAVTLVSVLSACASLNALQVGSSFHAYAVKRGLLSSNVYVGTALLTFYAKCGDAESARVIFDGMDQKSTVTWSAMISGYGIQGNGRGSLSIFGDMLKAELKPNEEIFTSILSACSHTGMIGEGWRLFTMICQDYNLVPSTKHYTCMVDLLARAGRLKEALDFIQKMPVKPDVSLFGAFLHGCGLHSRFDLGELAIKRMLELHPGEACYYVLMCNLYASDASWSKVKQVRELMKQRGLMKTPGCSLMEMDVDHDFSFSRAASLA >Potri.001G114800.4.v4.1 pep chromosome:Pop_tri_v4:1:9294783:9298371:1 gene:Potri.001G114800.v4.1 transcript:Potri.001G114800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114800.v4.1 MATTTTKTLSLISPEPVFPRKSKQTIFSSVSPPPAPTLTTLQAQPVTQKLARFGFVGLLGAGVALTALEPASATELPLLGQLSEPANALSLPTWAIHVSSVVEWIAAMALVWQYGEKSGFESWKGLAWGMVPLLGGAFCACTWHFFYNSESLEVLVALQAALTVIGNATMCIAAFRIHMSSEERSRNL >Potri.003G218400.1.v4.1 pep chromosome:Pop_tri_v4:3:21320823:21322386:-1 gene:Potri.003G218400.v4.1 transcript:Potri.003G218400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218400.v4.1 METTMFTELIPSLPQELGLECMTRLPYTAHRVASQVCKQWCDLLESKDFYYHRKKLGYTHKVACLVQAVHGADVLQGSKQGNSPCFGISVFDSASQTWERLDPVPNYPIELPLFCQLASCEGKLVVMGGWDPVSYEQVSHVFVYDFTTRKWREGKEMPSKRSFFAIGSYSGRVYVVGGHDENKNALRTGWVYDLSKDEWTELAQMSQERDECEGVVIGDEFWVVSGYGTDNQGAFEGNAEVYEFGSGQWRQVKKAWIPGRCPRSCVGVGKDGRLMSWADLDPMVRAGVRGIPLGSRVMLTGLDNQGSPEEFYLIEMKDGQNGKLEKIIVPDEFSGFVQSGCCVEI >Potri.012G072500.2.v4.1 pep chromosome:Pop_tri_v4:12:9633533:9635813:1 gene:Potri.012G072500.v4.1 transcript:Potri.012G072500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072500.v4.1 MVRPPCCDKLNVKRGLWTAEEDAKMLAHVAKHGTGNWTAVPKKAGLQRCGKSCRLRWTNYLRPDLKHDSFTPHEEEMIIRLHAAIGSRWSIIAQQLPGRTDNDVKNYWNARLRKKLSEMGIDPVTHKPFSKILADYGNIGGLVKYGSRIGSLSRGLKNVFTLKPEQYPFTPEGMSNINSHLMTTTVPPKMESNQECFLNIMYNNDANNNHSLDLLDQLQAIRLVTEASSTCTAYQTIPAPCILDESSTSFSWCDFLLEDEYLPGDHPQAEQENAAEFSSKDLTNQTQNPNVMIPQSFQSNTEVNAGVNGMDLALQSNTGSDDQVASSSSQHSSFVETIMDGESKIFLDFPNLLEEIFYY >Potri.012G072500.1.v4.1 pep chromosome:Pop_tri_v4:12:9633533:9635813:1 gene:Potri.012G072500.v4.1 transcript:Potri.012G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072500.v4.1 MVRPPCCDKLNVKRGLWTAEEDAKMLAHVAKHGTGNWTAVPKKAAFHKRECFAGLQRCGKSCRLRWTNYLRPDLKHDSFTPHEEEMIIRLHAAIGSRWSIIAQQLPGRTDNDVKNYWNARLRKKLSEMGIDPVTHKPFSKILADYGNIGGLVKYGSRIGSLSRGLKNVFTLKPEQYPFTPEGMSNINSHLMTTTVPPKMESNQECFLNIMYNNDANNNHSLDLLDQLQAIRLVTEASSTCTAYQTIPAPCILDESSTSFSWCDFLLEDEYLPGDHPQAEQENAAEFSSKDLTNQTQNPNVMIPQSFQSNTEVNAGVNGMDLALQSNTGSDDQVASSSSQHSSFVETIMDGESKIFLDFPNLLEEIFYY >Potri.010G195600.1.v4.1 pep chromosome:Pop_tri_v4:10:18963825:18965914:-1 gene:Potri.010G195600.v4.1 transcript:Potri.010G195600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195600.v4.1 MKNSGTDIQVDQRNGRRLVLFPLPLQGHVNPMIQLANILHSKGFSITIIHTTFNSPDPSKYPHFTFHSIQEELTETEASTADIIALVSSLNIKCVAPFRDCVSRLLSDVSEDPIACLISDAIFHFTTAVSKGLKLPRIVLRTGGASSFRIFTALPFLKEKGYLPIQESQLEDPMVELPPLKVKDLPVINSRDPESVYDLIVSMTNGTKASSGVIWNTFEELEQSALAALRHEFSIPIFPIGPFHNRFPSSSSSLLTQDQSSISWLDKQAPKSVVYVSFGSVAALNETEFLEVAWGLANSKQPFLWVVRPGLVRGAEWLEPLPNGFLEDLNGRAHIVKWAPQSEVLAHPAVGAFWTHNGWNSTLESICEGVPMICMPCFTDQMANARYVSDVWRVGMQLENGLERAKIESTINRLLVDEEGEAIRKGILSLKEKAKLCLSQGGSSCQSLDSLVSHILSLEPIIFQTQ >Potri.018G042000.2.v4.1 pep chromosome:Pop_tri_v4:18:3340134:3342977:1 gene:Potri.018G042000.v4.1 transcript:Potri.018G042000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G042000.v4.1 MRSCTEGQTLESQQSDIAKLRMSSCSTKSLLQKLENIDENGPASWLPVSCAAPETPTESMEFLARSWSVSAMELSKALSTTHVAIDNVEKASCFCSAEAEAQDASSTTSKESFPSGGSTGSPPISPRDSEEMKELFLLHQALTPEFLSSQQLLKNGLYKSILKGRTMGRWLKDQKERKKQEIRTQNAHVHAAVSVAGVAAAVAALAASNAMSAEMVATQQKTPSKLSSAVASAAALVASHCIEIAEDMGADHDQILTVVNSAINARTNGDIMTLTAGAATALRGAATLRARMQKGPGTTAFALGEEKGEEDKEANITAALNFVTKGGELLKRTRKGALHWKRVSFNINSNWQVIVKMKSKHMGGTFTKKKKCVVSGVYTDILAWPGRDKADWSGRRAYFAIKTVERVIEFECSKADKQMWTEGIQHMLNCRTSLT >Potri.018G042000.7.v4.1 pep chromosome:Pop_tri_v4:18:3340134:3342984:1 gene:Potri.018G042000.v4.1 transcript:Potri.018G042000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G042000.v4.1 MRSCTEGQTLESQQSDIAKLRMSSCSTKSLLQKLENIDENGPASWLPVSCAAPETPTESMEFLARSWSVSAMELSKALSTTHVAIDNVEKASCFCSAEAEAQDASSTTSKESQFPSGGSTGSPPISPRDSEEMKELFLLHQALTPEFLSSQQLLKNGLYKSILKGRTMGRWLKDQKERKKQEIRTQNAHVHAAVSVAGVAAAVAALAASNAMSAEMVATQQKTPSKLSSAVASAAALVASHCIEIAEDMGADHDQILTVVNSAINARTNGDIMTLTAGAATALRGAATLRARMQKGPGTTAFALGEEKGEEDKEANITAALNFVTKGGELLKRTRKGALHWKRVSFNINSNWQVIVKMKSKHMGGTFTKKKKCVVSGVYTDILAWPGRDKADWSGRRAYFAIKTVERVIEFECSKADKQMWTEGIQHMLNCRTSLT >Potri.019G066800.1.v4.1 pep chromosome:Pop_tri_v4:19:10632451:10634423:-1 gene:Potri.019G066800.v4.1 transcript:Potri.019G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066800.v4.1 MGLEVVSSAIISFSLFLLLASTTQAQSNGVFDVTKYGAGKDITEALTNAWKSACASTKPSKVLIPSGTYWLRKVTLAGPCKAAIKLQVDGILKAPVDPNKLSGGHWVNFRYVDQFTLSGRGTFDGQGKVAWSKSTCHKDKNCKGLPMNLRFDFITNALVRDITTLDSKNFHVNVLGCKNLTFQHFTVRAPGESVNTDGIHIGRSTGIYIIDSKISTGDDCISVGDGTEELHITGVTCGPGHGISVGSLGKYPNEKPVSGIFVKNCTISDTTNGVRIKSWPALYGGVASNMHFEDIVMNNVQNPVIIDQGYCPWNQCTLKAPSKVKISDVSFKSIRGTSATPVVVRIACSSGFPCQKVKLANINLAYRGPGGPAKSQCSNVKPIISGIMSASGC >Potri.006G215200.3.v4.1 pep chromosome:Pop_tri_v4:6:22148679:22151760:1 gene:Potri.006G215200.v4.1 transcript:Potri.006G215200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215200.v4.1 MKRDYAFVEFSDPRDADDARHYLDGKEFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLTRGKSYSRSPGRSRSPHRGRSRSPSYSRGRSYSRSRSPPPKRERSVENENRSLSPEPKSTKARKRSPTPDEGSPRPSPKSRKLDDEQDREYSGSPTGRSRSRSRSPRDERYRSPQTNGRSRIPSPRDDRSPVDDDYEDNNRSPRDSDVSR >Potri.006G215200.2.v4.1 pep chromosome:Pop_tri_v4:6:22148679:22151878:1 gene:Potri.006G215200.v4.1 transcript:Potri.006G215200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215200.v4.1 MPRYDDRYASTRLYVGHLAARTRSRDLEHLFSKYGRVRDVDMKRDYAFVEFSDPRDADDARHYLDGKEFDGSRIIVEFAKGVPRGSREYLGRGPPPGSGRCFNCGIDGHWARDCKAGDWKNKCYRCGERGHIERNCKNSPKKLTRGKSYSRSPGRSRSPHRGRSRSPSYSRGRSYSRSRSPPPKRERSVENENRSLSPEPKSTKARKRSPTPDEGSPRPSPKSRKLDDEQDREYSGSPTGRSRSRSRSPRDERYRSPQTNGRSRIPSPRDDRSPVDDDYEDNNRSPRDSDVSR >Potri.001G193700.1.v4.1 pep chromosome:Pop_tri_v4:1:17947812:17959084:-1 gene:Potri.001G193700.v4.1 transcript:Potri.001G193700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193700.v4.1 MTDYGQEQEMEIEALEAILMDEFEEIHSSESGLNTSNRCFQIIISPQDDDTDESTDIPVQLGLVFSHTVKYPDEPPLLNVKSIRGIQADYLKILKEKLEQESFENLGMAMVYTLVTSAKEWLSERYCQDAINEDIENEEAAKDDVIVPHGEPVTVETFLAWREIFEAELALERAKLMPESALTAPKEKKLTGRLWYESGKAKGAVAVNEGSDEEDEEEIDFDDDDFEDDEEDMLEHYLADKSDSSHASRRAA >Potri.019G016112.1.v4.1 pep chromosome:Pop_tri_v4:19:2571450:2573634:1 gene:Potri.019G016112.v4.1 transcript:Potri.019G016112.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016112.v4.1 MGASATTTPELYAMNGGDGRFSYAKNSFLQGHSVNASKEKIGEAIAEKLDLKILLSTSKTIRIVDVGCSVGPNTFLAIQNIIESIERKYQAQYLNINQKPEFQVFFNDLTSNDFNTLFSSLPPNRQYFAAGVPGSFHGRLFPEGSIHFFYSCIALHILSKAPEELLDKNSPSWNKGRIHYINAPDEVVNAYATQYAKGIEIFLDARAKEMVSGGMAVMSFPANPTGIPYSQTFTGAMFELLESSLLDMAKEGKISEAQVDSFNLPMYVPSLEEMMELVQKNGCFDIEKMELTSPGVHASMTNTSSMGKAIVMHVRAGMERMLIQHFGSEIIDELFNRYAKKFEEFPHHVLPSKKVQLFVVLKRK >Potri.011G095700.4.v4.1 pep chromosome:Pop_tri_v4:11:12345719:12357715:-1 gene:Potri.011G095700.v4.1 transcript:Potri.011G095700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095700.v4.1 MAKTKGSSKKQQKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIVLPEQSVASEKAGLAVSKKGLTLKELLQHTSHHNAKVRKDALMGMKDLFLNHPEELKLHRYAVIEKLRERISDDGKIVRENLYQLLKSVILPGCKEDNQGPVISLMMAYIFNAMTHLAIDIRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFYLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVIFNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMIISHEDLLCTDVNDSGLFDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCVCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDMKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQLSLKPPLDNSCAMLRMLIALDSKPTRLSEQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSLINGRSFSLLSGDRICYAKGISSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEINVPIEERHIIKRAIDRLKTLTSSLYQ >Potri.011G095700.5.v4.1 pep chromosome:Pop_tri_v4:11:12345883:12354623:-1 gene:Potri.011G095700.v4.1 transcript:Potri.011G095700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095700.v4.1 MMAYIFNAMTHLAIDIRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFYLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVIFNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMIISHEDLLCTDVNDSGLFDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCVCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDMKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQLSLKPPLDNSCAMLRMLIALDSKPTRLSEQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSLINGRSFSLLSGDRICYAKGISSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEINVPIEERHIIKRAIDRLKTLTSSLYQ >Potri.011G095700.6.v4.1 pep chromosome:Pop_tri_v4:11:12345775:12357716:-1 gene:Potri.011G095700.v4.1 transcript:Potri.011G095700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095700.v4.1 MAKTKGSSKKQQKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIVLPEQSVASEKAGLAVSKKGLTLKELLQHTSHHNAKVRKDALMGMKDLFLNHPEELKLHRYAVIEKLRERISDDGKIVRENLYQLLKSVILPGCKEDNQGPVISLMMAYIFNAMTHLAIDIRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFYLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVIFNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMIISHEDLLCTDVNDSGLFDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCVCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDMKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQLSLKPPLDNSCAMLRMLIALDSKPTRLSEQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSLINGRSFSLLSGDRICYAKGISSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEINVPIEERHIIKRAIDRLKTLTSSLYQ >Potri.018G077633.1.v4.1 pep chromosome:Pop_tri_v4:18:9536249:9543239:1 gene:Potri.018G077633.v4.1 transcript:Potri.018G077633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G077633.v4.1 MEHSTDIIQSPSTLQLSMQLQIDMPRPVNEELERWEAVKFPVPKDYGFSEPNKILQKDVYTYAKEDNFNALFGLLSDKLEHVSSEEVLNIIFKHVAASGNSLLHVAASHGSEGVTQLLCHHFPLLITRRNFLGDNALHLAARAGRFDTIQNLVKHVKIHHRTLELASLLRMKNNKGNTPLHDAVIKGWREVASFLVYEDLEVSYHKNKEHKSPLYLAVESCDEEMIVSLIEAMPEGNLEKLAVGKPDIMLPEDKKGRNLLHLAASMGFLFGARLLVSRCPVAASQRNEEGNLPIHVACQKGHLEVVRQLLIYWFDPMDFLNEKGQNILHVAAESGQMKLVEEILGNRDLEALINEKDYDGNTPLHLAAMYGRTEIMQALVSDKRVDKRIVNNEKLKPSGVVVKLLQGGPFKAPKSDGMNKRIETKHEDDAVRGVWNKSQEAEVRKMRKVVKGLVEADDKTEFDNNLISNLTRTTLTTEELNRGVGNLLVVAVLVAGVTFAGAITVPGSCRDLNSGSSKNLMSLYIYFDMLAMNSSLIAAIILCQISLGRIGNYVTSSMETAAYFIFYSLICMGLAFTFMLIITVQERTGFLTIITSQAILFFIQFSFSYGLMLSTANSVLSFLRANPFKFKARMKRRMYHVRTCLMGRE >Potri.014G003300.1.v4.1 pep chromosome:Pop_tri_v4:14:238350:240338:1 gene:Potri.014G003300.v4.1 transcript:Potri.014G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003300.v4.1 GQGEEVKSKSEAQVEIQEKLCNYALTSVEKEEAHSPDDVQRLYLVLGPESGERPVEEKQSPHSGSKKGSSSTDDDGGSSSASAGKNGSEGGRGNQLINLNIEKEPLLRLMVMGRKSLPDPRKRSQPYWGFVDLFTTKLEDVKNALSFVAEYDTAATRGYRHKYPARALGEGIYRIPRHNNPGKRMHTHLVYRLELPSKDKEDEPQESLNIEREGSFIIHIKNPGQHGRSPQFTGLQNKRKARFPAHLQGQFGHIRLRGMGMELKTECEGDDDLASCSDLVKTFGETAPTSPLLEGIWT >Potri.014G120700.1.v4.1 pep chromosome:Pop_tri_v4:14:8103986:8104731:1 gene:Potri.014G120700.v4.1 transcript:Potri.014G120700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120700.v4.1 MATIAGLNLSTPRVLAKATDTPKAQPLIKLNQQWRRSYQLGSGRVQVRPVRAAPEGISEKVEKSIKEAEEACSGDAASGECAAAWDEVEELSAAASHAKDKKKGSDPLEEYCKDNPETDECRTYED >Potri.004G105300.1.v4.1 pep chromosome:Pop_tri_v4:4:9245811:9248538:-1 gene:Potri.004G105300.v4.1 transcript:Potri.004G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105300.v4.1 MLKKLKRRVLTSIRKSFRKPRKRMPYKPPTPSPSPSPPPQSPSPPQTPTMPQHSHHRPPNFPFLFPKAQSTVLPDPSLFLSPSLLSSPLPTSSFFQNFTLKNGDQPEYIHPYLIKSSLSSLSVSYPSQSHNSSFIYQVFVVDLTISATNKTDPNPGKSHVISSYSDLSVTLDMPSSNFRFFLVRGSPFLTCLITGNTEITISTIHAILSFSSSNSLTKYTVKLNNNQTWLIYSSSPINLSHDLSSITSEGFSGIIRIAVLPDSDPKYEAILDRFSSCYPISGDASFTKPFCLEYRWEKKGWGDLLMLAHPLHLRLLSSKDSDVTVLDDFKYNSIDGELVGVVGDSWVLKTDPVSVTWHSIKGIKEESYGEIVDALVKDVEGLDSSAITTTSSYFYGKLIARAARLALIAEEVNFLDVIPTIRKFLKETIDPWLEGTFGGNGFLHDDKWGGIVTKQGLTDSGADFGFGIYNDHHYHLGYFLYGIAVLAKVDPAWGRKYRSQAYSLMADFMNLGRRSNSNYTRLRCFDLYKLHSWAGGLTEFADGRNQESTSEAVNAYYSAALMGLAYGDTHLVATGSMLAALEIHAAQTWWHVKEGDKLYTEDFTGENRLVGVLWASKRDSGLWFAPPAWKECRLGIQLLPLLPISEVLFSDVGFVRKLVNWTLPALGREGVGEGWKGFVYALEGIYDKEIALEKIKNLNDHDDGNTLTNLLWWIHSRGDEEEGGWEGGGKFSWFGYYCH >Potri.003G165800.1.v4.1 pep chromosome:Pop_tri_v4:3:17496570:17498344:-1 gene:Potri.003G165800.v4.1 transcript:Potri.003G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165800.v4.1 MTAPNMAAITASLERSLQNCSLNHHRHHQSSGGREERSSSSDETDSQNHLLQNSDTSLELKSHLSLPYHWEQCLDLKTGEIYYINWRNGMKAREDPRITQDYNGDFYSEDDSSYDSEESSSESSPPSSREHFNNRLEKEDHVLVVAGCKSCFMYFMVPKQVEDCPKCDGQLLHFDRSENGSP >Potri.004G009900.1.v4.1 pep chromosome:Pop_tri_v4:4:570875:571804:-1 gene:Potri.004G009900.v4.1 transcript:Potri.004G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009900.v4.1 MMATKLILVLFLALVFGTDARKVAMGKKDAFHEGKTFNFDYFPGYDFGSGFGSGAGAGLGGGAGLGGLGGGGGGGGGGGGGGDDENGGGFGFGGGAGGGFGSGIGGFGGGGRGDPSGGGIGGGYGGGGGGAGGGFGGALP >Potri.001G248708.1.v4.1 pep chromosome:Pop_tri_v4:1:26486537:26486834:1 gene:Potri.001G248708.v4.1 transcript:Potri.001G248708.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248708.v4.1 MDMYNTWLKERYKKDPLTHPDLDPDLWLETRLFDEPDRNWMYNLSHTTVRTYRRPIVFQPLDAQNRFGVLQLWNSR >Potri.005G222550.1.v4.1 pep chromosome:Pop_tri_v4:5:22406695:22406811:-1 gene:Potri.005G222550.v4.1 transcript:Potri.005G222550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222550.v4.1 MSEGMGRDRLLLATVGPPIKARAGLRRKQAGRGSYRGS >Potri.014G154600.4.v4.1 pep chromosome:Pop_tri_v4:14:10854995:10865069:1 gene:Potri.014G154600.v4.1 transcript:Potri.014G154600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154600.v4.1 MELRSRRRLSGLSINGDGEHGESGSCNEEDGNNYMGGGGGDGGGGDGSDDEFYVLSSDSDDNSFGEMTEEMGDLLLNHQAKATIGVHDWQGPINEQQPAEQSTASQKKKYYKTNKRRKKRSGELLMWEVWEEGHDKWINENLTEDVDFDHNRGLEAKTAEAPSDLIMPLLRFQKEWLAWALEQEESSTRGGILADEMGMGKTIQAIALVLAKRELHQNLFEFNGPSPFSGSSSDLAGIKATLVVCPVVAVTQWVNEIDRYTTKGSTKVLVYHGANREKSSKLFHDYDFVITTYSIIESEFRKYMMPPKKKCVYCGNSFYEKKLTVHLKYFCGPDANRTAKQSKQAKKKQKTVPSASKQKTESDKDKSCPMELSEVELGLQKEKSLLHSLKWERIILDEAHFIKDRRCNTAKAVFALDSSYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYGSSTQCSSCPHSSVRHFCWWNKYVSNPIQKHGNADYGRRAMILLKHKVLKNIVLRRTKKGRASDLALPPRIVILRRDILDVREEDYYESLYNESQAQFNTYVEAGTLMNNYAHIFDLLTRLRQAVDHPYLVVYSKTSALKGGNMVDLDSAKNACGICHEPAEDPVVTSCAHGFCKTCLLDFSASFGEVSCPVCSKSLTVDFTGNVDAGDQTAKTTIKGFRSGSILNRVQLDDFQTSTKIEALREEIRFMAERDGSAKGIVFSQFTSFLDLIHYSLQKSGISCVQLVGSMSLAARDAAIKRFAEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIENTVEERILQLQEKKELVFEGTVGGSSEALGKLTEADLRFLFAT >Potri.014G154600.3.v4.1 pep chromosome:Pop_tri_v4:14:10855291:10864873:1 gene:Potri.014G154600.v4.1 transcript:Potri.014G154600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154600.v4.1 MELRSRRRLSGLSINGDGEHGESGSCNEEDGNNYMGGGGGDGGGGDGSDDEFYVLSSDSDDNSFGEMTEEMGDLLLNHQAKATIGVHDWQGPINEQQPAEQSTASQKKKYYKTNKRRKKRSGELLMWEVWEEGHDKWINENLTEDVDFDHNRGLEAKTAEAPSDLIMPLLRFQKEWLAWALEQEESSTRGGILADEMGMGKTIQAIALVLAKRELHQNLFEFNGPSPFSGSSSDLAGIKATLVVCPVVAVTQWVNEIDRYTTKGSTKVLVYHGANREKSSKLFHDYDFVITTYSIIESEFRKYMMPPKKKCVYCGNSFYEKKLTVHLKYFCGPDANRTAKQSKQAKKKQKTVPSASKQKTESDKDKSCPMELSEVELGLQKEKSLLHSLKWERIILDEAHFIKDRRCNTAKAVFALDSSYKWALSGTPLQNRVGELYSLVRFLQIVPYSYYLCKDCDCRTLDYGSSTQCSSCPHSSVRHFCWWNKYVSNPIQKHGNADYGRRAMILLKHKVLKNIVLRRTKKGRASDLALPPRIVILRRDILDVREEDYYESLYNESQAQFNTYVEAGTLMNNYAHIFDLLTRLRQAVDHPYLVVYSKTSALKGGNMVDLDSAKNACGICHEPAEDPVVTSCAHGFCKTCLLDFSASFGEVSCPVCSKSLTVDFTGNVDAGDQTAKTTIKGFRSGSILNRVQLDDFQTSTKIEALREEIRFMAERDGSAKGIVFSQFTSFLDLIHYSLQKLVGSMSLAARDAAIKRFAEDPDCKIFLMSLKAGGVALNLTVASHVFLMDPWWNPAVERQAQDRIHRIGQYKPIRIVRFVIENTVEERILQLQEKKELVFEGTVGGSSEALGKLTEADLRFLFAT >Potri.001G113500.2.v4.1 pep chromosome:Pop_tri_v4:1:9193019:9198851:1 gene:Potri.001G113500.v4.1 transcript:Potri.001G113500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113500.v4.1 MSSDSAKENASVVDSSVTEWKHDMGNSDDPESPSYKGNEDGYPIMAEKAGHDRVGNSSINKKRKLCNTRYFIIKSLNQHNIQLSIENGIWATQVRNEPILEEAFHNSGRVILIYSVNMSGFFQGYAQMISSVGWRHDNLWSEGSGKSNPWGRSFKVKWLRLNDLPFQKTLHLKNPLNDYKPVKISRDCQELPEDIGEALCELIDGERDTDGMVKRDDLPMKRPCIDPSSYTGDGVYTVPPLQMPWGRTPTPYPSFLYQQHDEASRFHLAHQGPTGAGFTDNALSSGASKVARMKQSRNSTNLRIHCEMPSRTDIWGLSAESPLASTLTDDDFLEMTYEEYLEVHSRSIKQLNPPAAGPSQTTHEPSRSKKHDDNLNSSFVTDLGHPRKRSHSRNSSEK >Potri.011G015500.1.v4.1 pep chromosome:Pop_tri_v4:11:1414746:1416078:-1 gene:Potri.011G015500.v4.1 transcript:Potri.011G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015500.v4.1 MDSPQRISIKEPQVILSPCSSRRRRASSDSNSPPEFEFWMVQNPSFPQPNLVTADELFVDGVLLPLYLLHHPNNNNNNNHPPDPDPDSTEPEPPSSQPDPEPEISPASITMEPTSSSKRWKDIIFKKGDKKTSTAAKKQEEKDKDKDKDKKREKRSQNGASSAELNINIWPFSRSRSEGNSVTRPKLFPGAPGTRKVSSAPCSRSNSAGESKSRKSWPSSPGRPGVHLSRSSPVWQVRRGGGSGTKSVVRSGEKSSSKKEVTEPRRSKNTANVNGSSNGARAKVLNINVPVCIGYRNHLSCRSGVRGADGSDGGATKNAGGDCGGSSTTNVGNGGNLFNLRSLFTKKVY >Potri.018G077766.8.v4.1 pep chromosome:Pop_tri_v4:18:9549043:9559603:1 gene:Potri.018G077766.v4.1 transcript:Potri.018G077766.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G077766.v4.1 MEHSTDIIQSSSTLQLSMQLKIDMTRPVHEKLERWKAVKFPVPKLADYGFSEPNKILQKEFYTYAKEDNFNALFGLLSDKLEHVSSEEVLNVIFKHVAASGNSLLHVAASHGSEGVTQLLCHHFPLLITRKNFLGDNALHLAARFGRFDTIQNLVKHVKIHHRTLELASLLRMKNNKGNTPLHDAVIKGCRVVACFLVYEDLEVSYHKNKEHKSPLYLAVESCDEEMIASFIEAMPEGNLAKLADGKPDIMLPEDKKGGNLLHLAASMGFLFGARLLVNRCPVAASQRNEEGNLPIHVASQKGHLEVVRELLIYWFDPMDFLNEKGQNILHVAAESGQMKLVEELLGNRDLEALINEKDYNGNTPLHLAAMCGRTEIMQALVSDKRVDKRIVNNEKLKPSGVVAKLLQGGRFKAPKSDGMNKRIDTKHEDDAARGVWNKSMEAEVRKMDLATRKMIGLGKQRDGLYHLVALANKKSTNNSPSNNQPTCNLTTKSTDLWHSRLGHLSPSRLRFIAKKFLNISIQSNNACIVCPLAKQSRLPFNPSSISSVKAFDIIHCDI >Potri.018G077766.1.v4.1 pep chromosome:Pop_tri_v4:18:9549043:9561756:1 gene:Potri.018G077766.v4.1 transcript:Potri.018G077766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G077766.v4.1 MEHSTDIIQSSSTLQLSMQLKIDMTRPVHEKLERWKAVKFPVPKLADYGFSEPNKILQKEFYTYAKEDNFNALFGLLSDKLEHVSSEEVLNVIFKHVAASGNSLLHVAASHGSEGVTQLLCHHFPLLITRKNFLGDNALHLAARFGRFDTIQNLVKHVKIHHRTLELASLLRMKNNKGNTPLHDAVIKGCRVVACFLVYEDLEVSYHKNKEHKSPLYLAVESCDEEMIASFIEAMPEGNLAKLADGKPDIMLPEDKKGGNLLHLAASMGFLFGARLLVNRCPVAASQRNEEGNLPIHVASQKGHLEVVRELLIYWFDPMDFLNEKGQNILHVAAESGQMKLVEELLGNRDLEALINEKDYNGNTPLHLAAMCGRTEIMQALVSDKRVDKRIVNNEKLKPSGVVAKLLQGGRFKAPKSDGMNKRIDTKHEDDAARGVWNKSMEAEVRKMREVLTVLVEADDKTEFDIKLLFDLLRTTLTTEELNRGVGNLLVVAVLVAGVTFAGAITVPGSGSDFNSGSSKNLMRAYIFFDMLAMNFSLIAAIILGRISLGRASYVTSCMEMATFLNFYSLLCMGLAYTFILAITVQERNGFFTTIITFQACLFFTQLVCSYRLMVSTANSILSFVQANLFKLMAGMKRRSDVRTSLMGTK >Potri.008G081600.2.v4.1 pep chromosome:Pop_tri_v4:8:5113430:5115517:-1 gene:Potri.008G081600.v4.1 transcript:Potri.008G081600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081600.v4.1 MGHRCCNKQKVKRGLWSPEEDEKLVKYITSHGHGSWSSVPKFAGLKRCGKSCRLRWINYLRPELKRGSFSAEEEQIIIDVHRILGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLMAQGLDPKTHNLIPSHQRAANKVACNISQSNQQPFSIITLDSKMKDYSMEINPPILTLPSPYPSNCSTQPPLLQTTTSLPLPTSSYQTPSVIWNENTQNSQDSSIFPCLSSIENTLISSSSSSSVNPTGFGLLDENCFWRTNIIGEPFDAAKVFEVMQSQDQENQPNKICDAQIMDNTKGVHDNMDASFDTTSYDLEFVDSTILLPGSMCRDLSSMDDLAWNF >Potri.004G045700.1.v4.1 pep chromosome:Pop_tri_v4:4:3612648:3614922:-1 gene:Potri.004G045700.v4.1 transcript:Potri.004G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045700.v4.1 MAITTVTLSSLSLHSTTTPRLSFIKPTSKLHPLSLSISPKSSFLSPLSYHPKIITIITKANSSDIDTSFFDNLNPEEEFVYDPPTPPEDYIPPPSFDEGPIETEEEIAAAYEELYGPAYSGVSVLGKDIYVMDSKVKKTSGWGSRVKKEKIKDGFDERVVQVRRVTKVVKGGKQLHFRAVVIVGDKQGRVGVGVGKAKEVIVAVQKSALNARRNIITVPMTKYLTFPHRSEGDFGAAKVMLRPASPGTGVIAGGAVRIVLEMAGVENALGKQLRSKNALNNARATVVAVQKMRQFSEVARERGIPMEELWK >Potri.016G124300.3.v4.1 pep chromosome:Pop_tri_v4:16:12835428:12835972:1 gene:Potri.016G124300.v4.1 transcript:Potri.016G124300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G124300.v4.1 MEKRRSWLWFKQRFVFSAKSTLLKLASSSRHKNRGNNRGLMNLGKDLETCGEYTDIQVMWKMIHSCHPIAQDTRRKRPYRKFCFRLA >Potri.008G224400.2.v4.1 pep chromosome:Pop_tri_v4:8:19115500:19115919:1 gene:Potri.008G224400.v4.1 transcript:Potri.008G224400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224400.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATDALVATPGQAGLPAEFKHINKRRKRNLQGFP >Potri.015G070700.1.v4.1 pep chromosome:Pop_tri_v4:15:9627389:9628019:-1 gene:Potri.015G070700.v4.1 transcript:Potri.015G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070700.v4.1 MSGNSSHETSWADQWDYNPDPAVYDHSKDSSSDSTAKYKQKVGEGLGKTKQVASTGIKKVKEGTSVGFRWIKDKYQKTTQKH >Potri.010G242700.1.v4.1 pep chromosome:Pop_tri_v4:10:21955864:21958992:1 gene:Potri.010G242700.v4.1 transcript:Potri.010G242700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242700.v4.1 MRRVFGAKKDKEPPPSIQDASEKINKRGDTVDEKIKKLDAELARYKEQIKKTRPGPAQEAVKARAMRILKQKRMYEGQRDMLYNQTYNLDQVAFASEGIKDAQQTMSALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSNEIQETLGRSYNVPDDIDEEELMGELDALEADMGMETESDGVPSYLQPDKESDLDAELNLPSAPTGQGAPASRYNAQAEDELGLPAVPRASLRG >Potri.005G148700.13.v4.1 pep chromosome:Pop_tri_v4:5:12558665:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGYLWTKGASYCQYD >Potri.005G148700.15.v4.1 pep chromosome:Pop_tri_v4:5:12558675:12564593:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRSARIAREEDEEQLRLIEEEERQERKRKLAKKRKLSHR >Potri.005G148700.2.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRSARIAREEDEEQLRLIEEEERQERKRKLAKKRKLSHR >Potri.005G148700.22.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRRLESLSNFI >Potri.005G148700.27.v4.1 pep chromosome:Pop_tri_v4:5:12558675:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGYLWTKGASYCQYD >Potri.005G148700.28.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12564610:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGYLWTKGASYCQYD >Potri.005G148700.19.v4.1 pep chromosome:Pop_tri_v4:5:12558675:12564610:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRSFNQDLSCVLFY >Potri.005G148700.24.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRRLESLSNFI >Potri.005G148700.20.v4.1 pep chromosome:Pop_tri_v4:5:12558675:12564577:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRSFNQDLSCVLFY >Potri.005G148700.16.v4.1 pep chromosome:Pop_tri_v4:5:12558666:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRSFNQDLSCVLFY >Potri.005G148700.3.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRSARIAREEDEEQLRLIEEEERQERKRKLAKKRKLSHR >Potri.005G148700.9.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGYLWTKGASYCQYD >Potri.005G148700.26.v4.1 pep chromosome:Pop_tri_v4:5:12558675:12564593:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRRLESLSNFI >Potri.005G148700.10.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGYLWTKGASYCQYD >Potri.005G148700.18.v4.1 pep chromosome:Pop_tri_v4:5:12558675:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRSFNQDLSCVLFY >Potri.005G148700.17.v4.1 pep chromosome:Pop_tri_v4:5:12558675:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRSFNQDLSCVLFY >Potri.005G148700.21.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRRLESLSNFI >Potri.005G148700.25.v4.1 pep chromosome:Pop_tri_v4:5:12558675:12564860:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRRLESLSNFI >Potri.005G148700.23.v4.1 pep chromosome:Pop_tri_v4:5:12558664:12565119:-1 gene:Potri.005G148700.v4.1 transcript:Potri.005G148700.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148700.v4.1 MRGYDREEDEYYDEYEEEGEEQVEEEEYEERKPTAEEMEYLELRERIKEQIRKKMQKEHGSVLSKSQEKKEKLPSDNYGSFFGPSQPVIAQRVIQESKSLLENQHLALRVSNSQHANKRSSSSTATGSKNGGHRHVPKLKNELKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAYQSFSALNSEAGSAQVQQKSKQPSSNSGRNIHGSHEERKPVFRNGQMHSKVGLQKPTSANKPDATLMNSKRQLGSNNGTGPGRPAGSKCLPSKTPVSIMQKKAMAPSAKKILPAVQKPLPSKPSVPKQQWEQRKGSQEPNKAKMIPKQPLASPKPQINKPVKQVSSHVLPPDNCLKKKPVRPFPDECSDDDVDAFEMLRKMIGNKHHGNYDDDDDDDDSNMEANFDDIVREEKRRLESLSNFI >Potri.009G084700.2.v4.1 pep chromosome:Pop_tri_v4:9:7978967:7984065:1 gene:Potri.009G084700.v4.1 transcript:Potri.009G084700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084700.v4.1 MAFSSDSMLQKIFAKSPAFDSNKRVFGKPVSISGGAISCNERNLKSKGRIAVKAAAAADVMGLKNHEVNLDLGFDVVAERELREKGFLGMRKTKLVCTIGPACCSLEDLERLAMRGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFSVSVMIDTEGSLIHVVDHGVPSSLKAEEGSVWVFTAQKFEGSRPFTVQANYQGFSEGIMVGDELVIDGGMARFEVVEKMGNDLHCKCTDPGLFLPRAKLSFWRDGKLSYHGLPTLSPKDWEDVDFGISEGVDFIAMSFVNDADSVKDLKNYLFAKTSKSIRVLAKIETLESLQKLEEIVEASDGIMVARGDLGVEVPLEQIPTVQEDITRLCRQMNKPVIIASQLLESMVEYPTPTRAEVADVSEAVRQYADALMLSGESAIGLHGQKALSVLQVASSRMELWSREENRQSTLHNCQLGGSLSDCIAEEICSCAVQMANNLGVDAIFVYTKHGEMASLLSRNRPYPPIFAFTSDSDARMALNLQWGVIPLLVDLADDMEANISKTIDLMRANGMVKEGEAVLVVSDLTAAHATSTTFQSIQVKIIV >Potri.007G128700.5.v4.1 pep chromosome:Pop_tri_v4:7:14374013:14376853:-1 gene:Potri.007G128700.v4.1 transcript:Potri.007G128700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128700.v4.1 MTSTTDGRSFLDIDEGSDEEMFESFGEHEASQDGLLQQCISQRVVDNGMILTESSMENLSVDGLEPYTGMTFPSLDDARDFYYEYAKRTGFTIRTNRIRHSLKSMAVIGRDFVCSREGFRAAKHSLRKDRVLPPRPVTREGCKAMIRLAARDGGKWVVTKFVQEHNHKLMTHCKFLGELPTINILSEEEKDKKIQDLYGELQRERERSAAFQQQLCMILKDLKEHEEFVSLRVEDIIKTLKEIELGVL >Potri.001G423925.1.v4.1 pep chromosome:Pop_tri_v4:1:45244023:45247289:-1 gene:Potri.001G423925.v4.1 transcript:Potri.001G423925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423925.v4.1 MRQFKLSKALAKKSETFTKLGETKFTKVADKRPPQHIEFLTSKEFTPSKSSEEALEQIMEALKDDNVNMIGLYGMGGVGKTTLVKEVGRIATESQLFDEVLMATVSQNPNVIDIQNRMADMLGLKIEENSKEGRADRLRQRLKKVEKMLITLDDVWKHIDLKEIGIPFGDDHRGCKILLTTRRRDICSYMVCQQNVFLGLFSEKEAWDLFRINAGLDDGDSTLNRVATDVARECHGLPIALVTMGRALRDESAVKWKRMSKQLKNSQFPDKEQIEEKNAYACLKLSYDYLKSKETKLCFLLCCLFPEDYNIPVEDLTRYALGYGLHQDGEPIEDARELVHVAIKDLKACCLLLGAETEEHVRMHDLVRYVAIQIASSKEYGFMVLEKWPTSIESFEGCTTISLMGNKLAELPEGLVCPQLKVLLLEVDSGLDVPERFFEGMKEIEVCL >Potri.014G057850.1.v4.1 pep chromosome:Pop_tri_v4:14:3686953:3688616:-1 gene:Potri.014G057850.v4.1 transcript:Potri.014G057850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057850.v4.1 MVNRSPFNTVYATLLFKKPQLATRRRYAALGWIETLPPTINLKNLILQRIEVKDKLRLVLVGCVLFFGAKIEVVMATSQEICHACNLNITQLYILLKKESNYVSNVCSCFFNLIA >Potri.001G130601.2.v4.1 pep chromosome:Pop_tri_v4:1:10657546:10659906:1 gene:Potri.001G130601.v4.1 transcript:Potri.001G130601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130601.v4.1 MDLLSFSSSTATSQFSSEKPTLSHHHHQNPTSFFPFSFKHITPSSPKLSPLKTSLKSSKTLTPIPQLDAPQTPATSMRGAETDTMGLLLRERIVFLGNSIDDFVADAIISQLLLLDAQDPTKDIRLFINCPGGSPSATMAIYDVVQLVRADVSTVALGIAASTASIILGGGTKGKRFAMPNTRIMIHQPLGGASGQAINVEIQARELCKTRIM >Potri.013G124000.1.v4.1 pep chromosome:Pop_tri_v4:13:13250997:13253429:1 gene:Potri.013G124000.v4.1 transcript:Potri.013G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124000.v4.1 MEATPLCTNANNLIPFIISLYFLVPSVHSFSPLLGIHPLDEKYFGSQVIKCKDGSKSFSRDRLNDNFCDCLDGTDEPGTSACPRGKFYCRNAGSTPNFIFSSRVNDQICDCCDGSDEYDSGINCPRTCVMGGNLEYRAGNYISRIDLKESKKGLISEELLQKARGLKVIIILQVVIFGCVVIYRIFNRRIKSKKRRYH >Potri.015G041800.1.v4.1 pep chromosome:Pop_tri_v4:15:3861874:3866576:1 gene:Potri.015G041800.v4.1 transcript:Potri.015G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041800.v4.1 MATSNSANGDMLMLEAAPEASRPWSTSSNAEIIDALPYIDDDYGNPSVKAEVDRLVEEEMRRSSKKPSDFLKELPPVPKFTFENYPMLAKEYERVRAGRPPVTLDFSRYSHLDLPAANKMNDETAWKQALQRAQRLLQHQVIRLENLELMSKYGPEVWIQHNRQLETMLTRTQKLAREQNEKIEAVNRERKYHQQNTAYELNALSAQWKELCQKNIEIQEACIKIENQIEELKRESSERGWNVEANIENGSLLHS >Potri.012G013580.2.v4.1 pep chromosome:Pop_tri_v4:12:628145:631948:-1 gene:Potri.012G013580.v4.1 transcript:Potri.012G013580.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013580.v4.1 MEARNLSSSHMGNFLVHKKGYCYMDLQAPGRPCFTSAIAKESGVVCINVRISNLMSKWAMHKILAPQMTIRFKAIVVVRLAESSWHIN >Potri.012G013580.3.v4.1 pep chromosome:Pop_tri_v4:12:628554:629872:-1 gene:Potri.012G013580.v4.1 transcript:Potri.012G013580.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013580.v4.1 MEARNLSSSHMGNFLVHKKGYCYMDLQAPGRPCFTSAIAKESGVVCINVRISNLMSKWAMHKILAPQMTIRFKAIVVVRLAESSWHIN >Potri.012G013580.1.v4.1 pep chromosome:Pop_tri_v4:12:628112:632000:-1 gene:Potri.012G013580.v4.1 transcript:Potri.012G013580.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013580.v4.1 MKCVSRNLSSSHMGNFLVHKKGYCYMDLQAPGRPCFTSAIAKESGVVCINVRISNLMSKWAMHKILAPQMTIRFKAIVVVRLAESSWHIN >Potri.012G013580.4.v4.1 pep chromosome:Pop_tri_v4:12:628554:629329:-1 gene:Potri.012G013580.v4.1 transcript:Potri.012G013580.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013580.v4.1 MGNFLVHKKGYCYMDLQAPGRPCFTSAIAKESGVVCINVRISNLMSKWAMHKILAPQMTIRFKAIVVVRLAESSWHIN >Potri.002G137000.5.v4.1 pep chromosome:Pop_tri_v4:2:10266154:10271059:-1 gene:Potri.002G137000.v4.1 transcript:Potri.002G137000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137000.v4.1 MGAQKTPSPSSSSSSSSWFSHFCSSALRTKPINSPLDTILVSRNSTDALVRRLGLLDLILLGVGASIGAGIFVVTGTVARDAGPGVTLSFILAGLSCVLNALCYAELASRLPAVVGGAYLYTYSAFNELTAFLVFGQLMIDYHIGAASIARSLASYVVTILEMFPVFKDNIPSWIGHGGEEFFGGTLSINLLAPFLLALLTVILCLGVGESSIVNSFMTVLKVIIVIIVIFVGAFEVDVSNWSPFAPHGVKEILTGATVVFFAYVGFDAVANSAEESRRPQRDLPLGIIGSLVICIALYIGVCLVLTGMVPYYLLGEDAPLAEAFTSKGLKYVSILISIGAVAGLTTTLLVGLYVQSRLYLGLGRDGLLPSLFSKVHPTRHTPIHSQVWVGIVAGTLGGLFNVHVLSHILSVGALTGYSVVSACVLALRWKDKTASQFSSRWTSAWREGVLCIVTVACCGFAAGLFYRFSASFIFLVVAVVIAILATAALCCRQTYTNPPGFSCPGVPIVPAVCVFFNMFLFAQLHHEAWVRFVVLSIIMVGIYAFYGQYHAKPGSDESIIYQRAPTEATR >Potri.013G020100.1.v4.1 pep chromosome:Pop_tri_v4:13:1272630:1275145:1 gene:Potri.013G020100.v4.1 transcript:Potri.013G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020100.v4.1 MHRIGGMSTCWETKKDKKVNKETAFIKNGSKLLEKLVDICDGKCNSIRSFSATELKKATNNYDPQKILTGDSGYKLYKGFLQGRPVSVKKFKDDDEQYEYCFNDIVYASKMSVHKSFMKLLGCCLEARIPILVFEYVGDWTLSDFLWGSEEARCQPLLWIPRSKIAMDMANAVAFLHAAFSKPIVFRNIKPLNILLDDNHEAKLSDFSISISIPKGESHVRDSVAGATGLIAPEYLTTGNFNEKQDVFNFGVFLLVLLSGQMVVDFSRPEKEILLQDHVKKCIEDDRFNKVIDSTIIAEGTWPGKEQQLQAYTALSLRCISELAEDRPTMIDVSKELRKIYWSAISCRQQ >Potri.003G071900.2.v4.1 pep chromosome:Pop_tri_v4:3:9969281:9970054:-1 gene:Potri.003G071900.v4.1 transcript:Potri.003G071900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071900.v4.1 MLTNFKIPFKMEGFMNEEAITKSTYASSKKGLMVAGLQSLLLEGDISNVVTKSALKTHRLQVQASSIEYCYLKSCYLCNKILSLDKDVYMYRGDQGFCSIECRNRQIILDEMRELEASSNERLKSYEHCSTTAGRHETRRVLEELRRSHKPLPHENHWTIAS >Potri.003G203701.1.v4.1 pep chromosome:Pop_tri_v4:3:20283490:20288436:-1 gene:Potri.003G203701.v4.1 transcript:Potri.003G203701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203701.v4.1 MLALRDERWVAEKRDQWNCIKHNTLITVSCCYMASCHWCVLIAVKVD >Potri.016G056500.1.v4.1 pep chromosome:Pop_tri_v4:16:3791852:3793902:-1 gene:Potri.016G056500.v4.1 transcript:Potri.016G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056500.v4.1 MANDKGLLEYVRKSTPPPFLLKTYMLVEDPATDEVISWNEEGTGFVVWQPAEFARDLLPTLFKHSNFSSFVRQLNTYGFRKVATSRWEFCNDMFRKGERELLCQIRRRKAWSSKQQPIAPIQVTTQEFEEDQRSSSTSSSSEYTTLVDENKRLKKENGVLSTELTSMKRKCKELLDLVAKYAHLEKEEEDERPKLFGVRLEAEEDRERKRKRAEISESASILLSQSCI >Potri.002G090700.5.v4.1 pep chromosome:Pop_tri_v4:2:6559689:6565212:-1 gene:Potri.002G090700.v4.1 transcript:Potri.002G090700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090700.v4.1 MGSTSTQIAAMRGMGFYEPFHQISSWGHAYRDDGSLNIGPSTIVQVDAGLDNKTEHVSHESMEPSRSDQEAHKPADKIQRRLAQNREAARKSRLRKKAYVQQLESSRLKLAQLEQELERARHQGAYIGGSASDSSHLGFSGTGNPGIAAFEMEYGHWVEEQLKQISELRNALQARITDIELRILVENGLNHYNNLFRMKTDAAKADVFYLISGKWRTSVERFFLWIGGFRPSELLNVLMSQLEPLTDQQLADVCNLRQSSQQAEDALTQGIDKLQQTLSQSIAADVMGDGGYGDKMADELEGFVNQADHLRQQTLHHMSRILTIRQAARGLLALGEYFHRLRTLSSLWAARPCEPA >Potri.006G110700.1.v4.1 pep chromosome:Pop_tri_v4:6:8583324:8587598:-1 gene:Potri.006G110700.v4.1 transcript:Potri.006G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110700.v4.1 MMNNNINIINNSNNNNSRSTNGSLRESLVAGRNIPMGSQYYRRGHNVTGGGGFSKNNNGTDENLDLFSKNRRGLSVSSDESSDVSVKLERLAVGSAKFARSGIDDLLSSTEGGKHDYDWLLTPPGTPLSPPSEGSESKPTSVAPRSSSLARSTSTTKAVSRLSVSQSESYHSSRPTRSSSVTRPSISSSQYSTYSSNRSSSILNTSSASVSSYTRPSSPITRTPPIARPSTPPARPTPSRSSTPSRVRPAPTSSSVDKTPPFQNSRPSTPSSRGQSPANFSAAPTRSNSRPSTPTRRNPAPSSSAASSPSTSAGRVLSNGRIPGPASRPSSPSPRVRPPQQPVIPPDFPLDTPPNLRTTLQGRPLSAGRSRTGVSSAMKGNPETMGSLNAPRRHSSPIVTRGRLTEPSGKGRVHSNGHVADTPEPRKVSHVSEVGIRRPVKSSSAASDSTGFGRTISKKSLDMAIRHMDIRNGTGSARSLSSTTLFPQSIRSTTPKSQSVRSQRTQESINNGSSQNGDVLDDEIHFSRAAEIGHEANDGRYSAKLSDVDIYESSRYDAILLEDLKNTNWLHSIDDKSDQGPFFDNGSESLPEPFGLL >Potri.011G004500.1.v4.1 pep chromosome:Pop_tri_v4:11:373193:376335:1 gene:Potri.011G004500.v4.1 transcript:Potri.011G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004500.v4.1 MSRSSSTSSSSSATIPSPSIKPESYSHSPVHYAVILGDHTTLTRLLSTLPKLTDPTKIHTESNSLNQEQLADKISSLVDRRDVPYRETPLHLAVRLNDLFAAKSLAAAGADVSLQNSAGWNPLQEALCRRYSEIALILLRLHHRSAWSKWRRRLPRLTAVLRRMRDFYMEISFHFESSVIPFVNKLAPSDTYKIWKRDANLRADTTLAGFDGLKIQRADQSFLFLGDGDHAHSIPPGSLLVLNHDERKIFDAFESAGAAMSESDIAGFCSQSSVYRPGMDVTKAELISRTNWRRQEKTESVGEWKAKVYELNNVVFSFRSRKVIESDVAGSEQVLPLELDEDDDGFFVAENPSFLNFEFNNGNESKRRHSSFVREEREFVSVGRKSVDIYPSSTVTERRRVVAVPEKVKEKEYVKSLKPSVWLTEQFPLKIEELLPLLDILANKVKAVRRMRELLTTKFPAGTFPVKVAIPVVPTVRVVITFTKFVELPPVEQFYTPLSSPRLFGGHEGSRVGSSDESDKHYPSLSSSSSTSSTTWLQRNSSQSASKQHRHSSSVWGQQQLQSDPFAIPSGYTWTSVDEKSTKMKKSKSTRKSK >Potri.007G007800.1.v4.1 pep chromosome:Pop_tri_v4:7:565486:567634:1 gene:Potri.007G007800.v4.1 transcript:Potri.007G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007800.v4.1 MRLLSRKATCNTHGQDSSYFLGWQEYEKNPYDEIKNPTGIIQMGLAENQLSFDLLESWLAKNPGAAGFKKDGQSIFRELALFQDYHGLPEFKKALVEFMAEIRGNKVRFDQNKIVLTAGATSANETLMFCLAEPGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNGFQITAPALEEAFQEAQKRNLRVKGVLVTNPSNPLGTTMTGNELNLLLSFVTEKGIHLISDEIYSGTVFSSPGFVSILEVLKDRKCENSQVWNRVHIVYSLSKDLGLPGFRVGAIYSNDDMVVSAATKMSSFGLVSSQTQYLLSALLSDKKFTRNYISENQKRLKQRQKLLVKGLEKAGISCLKSNAGLFCWVNMKHLLSSNTFTEEMELWKKIVHEVKLNISPGSSCHCTEPGWFRVCFANMSEETLNLAIQRLKSFVESMKNQSHHQMLKSSRRKFLTKWVFRLSFDHDHREPDER >Potri.004G056316.1.v4.1 pep chromosome:Pop_tri_v4:4:4692631:4694953:-1 gene:Potri.004G056316.v4.1 transcript:Potri.004G056316.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056316.v4.1 MAAKKCNTRIFLPFLLILAAWATKIACRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMYHGYKRQSSKLMSSSFRYENLSDIPTSMDWRNDGAVTPVKDQGTCGCCWAFSTVAAIEGIIKLQTGNLISLSEQQLVDCTAGNKGCQGGLMDTAFQYIIRNGGLTSEDNYPYQGVDGTCSSEKAASTEAQITGYEDVPQNNENALLQAVAKQPVSVAVDGGGNDFRFYKSGVFEGDCGTNLNHGVTAIGYGTDSDGTDYWLVKNSWGTSWGENGYMRMRRGIGSSEGLCGVAMDASYPTA >Potri.006G051900.8.v4.1 pep chromosome:Pop_tri_v4:6:3597331:3597643:-1 gene:Potri.006G051900.v4.1 transcript:Potri.006G051900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051900.v4.1 MSTKYIVSALVGSFAIAYVCDYVVSDKKIFGGTTPRTVSNKEWWEETDKKFQAWPRTGGPPVVMNPITRQNFIVKSQDS >Potri.006G051900.7.v4.1 pep chromosome:Pop_tri_v4:6:3597110:3599898:-1 gene:Potri.006G051900.v4.1 transcript:Potri.006G051900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051900.v4.1 MSTKYIVSALVGSFAIAYVCDYVVSDKKIFGGTTPRTVSNKEWWEETDKKFQAWPRTGGPPVVMNPITRQNFIVKSQDS >Potri.017G032600.2.v4.1 pep chromosome:Pop_tri_v4:17:2232676:2233787:1 gene:Potri.017G032600.v4.1 transcript:Potri.017G032600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032600.v4.1 MLQAFQQFTGINTVMYYSPTIVQIAGFSSNQLALLLSLVIVAMNAAGTVLGIYLIDHFGRKKLAISSLAGVIASLFNILAGAFFGKSSGSSNELYGWIAVLGLALCIACFSPGMGPVPWTVNSEIYPEQHRGICGGMSATVNWISNLIVASPKYFPFNCRSSRNRFDFLDACGHSSARSCVCDYVCPRDHGAGIC >Potri.017G073700.1.v4.1 pep chromosome:Pop_tri_v4:17:8123820:8126819:-1 gene:Potri.017G073700.v4.1 transcript:Potri.017G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XGOAT4 MTMHEKMKLPSCSCSAFKCGKKDRWLNMERPIPFLLIGLTTILSVFILYTLNPLKFVIEHNIDQKLLLIKPHKEEDKCDLFNGNWVPDFEGSIYTNSSCATIPTSKNCFRNGRKDQDFLNWRWKPERCDLPRFDATAYLDIVRGKTLAFIGDSVARNHIESLLCLLSQKEVPVDAYLDSEDRNRIWHFPVHNFTLKMLWTKFLVHGEERVINGSSSGIFDLYLDKVDENWARDLHSLDYVVISDAHWFFRQVYLHRGSNVVACVYCNEANVTDRGVAFALRMAFRAAFSQINHCNKCKGIVTLLRTFSPSHFENGFWNTGGSCNRTSPYNDQKINFGAYEWEIRSMQVEEIERAEKRGKKGKSFGVLDVTMAMLMRPDGHPGAFWGNQWMKGYNDCVHWCLPGPIDVWNDLLLAVLRRLD >Potri.005G065026.1.v4.1 pep chromosome:Pop_tri_v4:5:4205240:4206174:-1 gene:Potri.005G065026.v4.1 transcript:Potri.005G065026.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065026.v4.1 MQIKDFIPSHEDSTNQTNFNRTFWIFPNSLYTSILFAFGKLRKENRKLGASSMALGSTKQGLVQKEGSSRKLLPPIRGKIKRQILACFMKKMEVMRQKTILFLLCCSGETS >Potri.008G116800.1.v4.1 pep chromosome:Pop_tri_v4:8:7461721:7466446:-1 gene:Potri.008G116800.v4.1 transcript:Potri.008G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G116800.v4.1 MATVASGGTIPIKLHSTHRPKPPSPFLGRKLNLNPSSRTSIATLHPKTLAVVASSGGEFFDAVHNLFLGVGVGLPCTVMECGDIIYRSTLPKPSGLTLTVPGAALALGTLSYLWATPGVAPGFFDMFFLAFVERLFRPTFKKDDFVLGKKLGEGAFGVVYRASLTKKPSSKVPYQKEGDLVLKKATEYGAVEIWMNERVRRACANSCADFVHGFLENSSKKGAEYWLIWRYEGEATLYDLMQSKEFPYNVETMIVKEVQDLPRGLERENRIIQTIMRQLLFALDGLHSTGIVHRDIKPQNIIFSEGSRTFKIIDLGAAADLRVGINYIPKEFLLDPRYAAPEQYIMSTQTPSAPSAPVATALSPVLWQMNLPDRFDIYSTGLIFLQMAFSGLRSDSALIQFNRQLKRCDYDLNAWRKSVEPRASSDLRKGFELLDLDGGIGWELLTSMVRYKAGQRISAKGGLANPYFDREGLLVLSLMQNLRLQLFRATQQDYGEAAEWVIQLMAKSGTEKEGGFTEAQLQDLRERQEPKKKASPQRNALASVLRLQRKIMKTVNESVDELYRRRKSLWWSRWIPREE >Potri.009G024400.1.v4.1 pep chromosome:Pop_tri_v4:9:3628657:3631055:-1 gene:Potri.009G024400.v4.1 transcript:Potri.009G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024400.v4.1 MDILSQLWSLLGLLTVLQNILPAQLLSLLHSLIESLQDLISQYSYFDIPEFNGYCGVDINDLYRHVNLYLNSVNSSATASTCRRFSLSRSRSSNCISFTIAPNHTIHDSFNGHSLCWTHQVDTVQDSLEEKRSFTLKLPKRHRHMLLSPYLQHVTSRAEEFERVSRERRLFTNNGNASYESGWVSVPFRHPSTFETLALEPQLKRQIMEDLKAFSSGREYYHRVGRAWKRGYLLYGPPGSGKSSLIAAMANYLCYDVYDLELTKVTDNSDLRALLIQTSNRSIIVIEDIDCSLDLTADRMLKATTATATRRKRSSSSGYNKDPGSGNYQLLEESGRVTLSGLLNFTDGLWSCCGEERIIVFTTNHRDKVDPALVRCGRMDVHVSLGPCGMHAFKALAMNYLGIEEHSLFDVVESCIRSGGALTPAQIGEILLRNRGSNADLAMTEVVSAMQTRILSSGGTEHLNTSIEYEDTVTLTRSPQSVLTVGSSPENWDSSPGKISGKKRKAKFLVRLRSLTKSGSGRRGV >Potri.002G180433.1.v4.1 pep chromosome:Pop_tri_v4:2:14179308:14181374:-1 gene:Potri.002G180433.v4.1 transcript:Potri.002G180433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180433.v4.1 MASSIENHVSQVDEAKDENFGYAMQLALSSVLPMTLHTAIQLGIFEIIAKAGPDVKLSAADIAAKLPTDNPDTPKMVDRILRLLASHQVLCCFVDGSERFYSLAPVSMYFVRNQNGVSLAPFMALNHENVILQSWSQLKDAVLEGGVAFHRVHGVHAFEYNGLDPRFNQVFNTAMYNQTTVVNGNMLEKYNGFKNLKQLVDIGGGLGHTMKAVTSKYPQIKGINFDLPHVIEHAPAYPGVEHVGGDMFESVPKGDAIFLKWILHNWSDDHCLKLLKNCYKAIPEDGKVIVMESVLPVTAKTSPAAKAISQLDVLMMMSQNPGGKERTEDEFMALATAAGFRGIKFETFVCNFWVMEFFK >Potri.009G128500.1.v4.1 pep chromosome:Pop_tri_v4:9:10554776:10556424:-1 gene:Potri.009G128500.v4.1 transcript:Potri.009G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G128500.v4.1 MAGNAGVVQDEEIGYGGNKVRPFASTPRPSKTERGKRDSSALSRILGLDELVSLNVWRASLAEVFGTAVLVFAMDTIVISSYETQTKTPNLIMATLIAITIAILLLATFPISGGHINPAITLSAMFTGLITVSRAAIYILAQCIGAILGALALKAVVNSTIEQTFSLGGCTLEIVAPGPSGPVAIGLETGQALWLEIICTFVFLFSSIYIAFDRRQAIALGRVVFCSIIGLVVGLLVFISTTVTATKGYAGVGMNPARCLGPALVRGGHLWKGHWVFWVGPVVASVAFSLYTKMIPREHLLGAESK >Potri.009G130900.1.v4.1 pep chromosome:Pop_tri_v4:9:10674264:10674934:1 gene:Potri.009G130900.v4.1 transcript:Potri.009G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130900.v4.1 MARMAAFVCALIICIAIVPAAYGEYYTKSRHVPRKEKVTRLHFFLHDILSGKNPSAVKVAGSNRTEGDKSPTPFGSVYAIDDPLKVGPEPDSKTIGNAQGLYLSSSQDYSKFTIVMCVDFGFTEGKFKGSSFSVFSRNPVTEADREVAVVGGRGKFRMARGFAKVKTSHFNATNGDAVLEYKVTLIH >Potri.006G204200.1.v4.1 pep chromosome:Pop_tri_v4:6:21221782:21223130:1 gene:Potri.006G204200.v4.1 transcript:Potri.006G204200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204200.v4.1 MTSKKDCGHHGQKRRRLVRLLFAGILTFLLIALIIILIVWAILRPSKPKFILQDATVYAFNVSYPNFITSNFQVTVSSRNPDDRVGIYYDRLDIYATYRNQQITLRTSIPTSYQGHKEINVWSPFIYGNSVPVSPYNSAALSQDQGAGVVMLMIKIDGRVRFKVGTFISAKYNLHVRCPAYIQFGSRTNGIMVGDNVVKYQLATSCHVSL >Potri.014G036800.3.v4.1 pep chromosome:Pop_tri_v4:14:2325127:2329659:1 gene:Potri.014G036800.v4.1 transcript:Potri.014G036800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036800.v4.1 MTKENQSEKMVNVVRRPCFFVIFSSNLSSERLRIPVSFIKHMEGKTPGLVSLLGPSGDVWTADLTQENDDVLFSNGWSEFVRDHFLECGDLLVFRYHGELCFSVQVFDQSACEKEAAFHSKCSQGCSEFCGSTGKKREREEEAASLEKDFVCARKKVREGSSEFHSAYIEKNREAHISACDVGGCQHDGVLTTEESLSRETNQCGNPANCFATPSQSKACSEKQEVPIWKRFGKDDDLKLHDRGHMSIFSEREKRVAESFISCFPYFVRIMKRFNVSGSYTLNIPYQFSMAHLPNCRTEIILRTIKGACWSVNSVPATRVHTSHTLCGGWMAFVRSNDINVGDVCIFELVRKYELRVFILRVGKEGPDMETGKVVSNGENTGCHAIAHKTESFPKKSRRNCLKVHSKLIKKAEICDKKEFEKSQATGILRHGNATKDSASAVLFSMSQTRDGKKQAPIQNGKGVEAEAGLRRLVALDEERAAKSFTSGFPNFVRIMRKFNVSGSYTLKIPHQFSAAYLPNCKTEVILCNLQGRCWTVNSLPDSKGRAVHTFCGGWMAFVRDNNIKIGDICMFELVGKCQMRVQISGVGHEVVNHQIGNPASNDLPLLAVPRNDPPS >Potri.014G036800.2.v4.1 pep chromosome:Pop_tri_v4:14:2325069:2329714:1 gene:Potri.014G036800.v4.1 transcript:Potri.014G036800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036800.v4.1 MTKENQSEKMVNVVRRPCFFVIFSSNLSSERLRIPVSFIKHMEGKTPGLVSLLGPSGDVWTADLTQENDDVLFSNGWSEFVRDHFLECGDLLVFRYHGELCFSVQVFDQSACEKEAAFHSKCSQGCSEFCGSTGKKREREEEAASLEKDFVCARKKVREGSSEFHSAYIEKNREAHISACDVGGCQHDGVLTTEESLSRETNQCGNPANCFATPSQSKACSEKQEVPIWKRFGKDDDLKLHDRGHMSIFSEREKRVAESFISCFPYFVRIMKRFNVSGSYTLNIPYQFSMAHLPNCRTEIILRTIKGACWSVNSVPATRVHTSHTLCGGWMAFVRSNDINVGDVCIFELVRKYELRVFILRVGKEGPDMETGKVVSNGENTGCHAIAHKTESFPKKSRRNCLKVHSKLIKKAEICDKKEFEKSQATGILRHGNATKDSASAVLFSMSQTRDGKKQAPIQNGKGVEAEAGLRRLVALDEERAAKSFTSGFPNFVRIMRKFNVSGSYTLKIPHQFSAAYLPNCKTEVILCNLQGRCWTVNSLPDSKGRAVHTFCGGWMAFVRDNNIKIGDICMFELVGKCQMRVQISGVGHEVVNHQIGNPASNDLPLLAVPRNDPPS >Potri.014G036800.12.v4.1 pep chromosome:Pop_tri_v4:14:2325073:2329489:1 gene:Potri.014G036800.v4.1 transcript:Potri.014G036800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036800.v4.1 MTKENQSEKMVNVVRRPCFFVIFSSNLSSERLRIPVSFIKHMEGKTPGLVSLLGPSGDVWTADLTQENDDVLFSNGWSEFVRDHFLECGDLLVFRYHGELCFSVQVFDQSACEKEAAFHSKCSQGCSEFCGSTGKKREREEEAASLEKDFVCARKKVREGSSEFHSAYIEKNREAHISACDVGGCQHDGVLTTEESLSRETNQCGNPANCFATPSQSKACSEKQEVPIWKRFGKDDDLKLHDRGHMSIFSEREKRVAESFISCFPYFVRIMKRFNVSGSYTLNIPYQFSMAHLPNCRTEIILRTIKGACWSVNSVPATRVHTSHTLCGGWMAFVRSNDINVGDVCIFELVRKYELRVFILRVGKEGPDMETGKVVSNGENTGCHAIAHKTESFPKKSRRNCLKVHSKLIKKAEICDKKEFEKSQATGILRHGNATKDSASAVLFSMSQTRDGKKRKGTDVLILGVPVKESPF >Potri.014G036800.10.v4.1 pep chromosome:Pop_tri_v4:14:2325073:2329637:1 gene:Potri.014G036800.v4.1 transcript:Potri.014G036800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036800.v4.1 MTKENQSEKMVNVVRRPCFFVIFSSNLSSERLRIPVSFIKHMEGKTPGLVSLLGPSGDVWTADLTQENDDVLFSNGWSEFVRDHFLECGDLLVFRYHGELCFSVQVFDQSACEKEAAFHSKCSQGCSEFCGSTGKKREREEEAASLEKDFVCARKKVREGSSEFHSAYIEKNREAHISACDVGGCQHDGVLTTEESLSRETNQCGNPANCFATPSQSKACSEKQEVPIWKRFGKDDDLKLHDRGHMSIFSEREKRVAESFISCFPYFVRIMKRFNVSGSYTLNIPYQFSMAHLPNCRTEIILRTIKGACWSVNSVPATRVHTSHTLCGGWMAFVRSNDINVGDVCIFELVRKYELRVFILRVGKEGPDMETGKVVSNGENTGCHAIAHKTESFPKKSRRNCLKVHSKLIKKAEICDKKEFEKSQATGILRHGNATKDSASAVLFSMSQTRDGKKQAPIQNGKGVEAEAGLRRLVALDEERAAKSFTSGFPNFVRIMRKFNVSGSYTLKIPHQFSAAYLPNCKTEVILCNLQGRCWTVNSLPDSKGRAVHTFCGGWMAFVRDNNIKIGDICMFELVGKCQMRVQISGVGHEVVNHQIGNPASNDLPLLAVPRNDPPS >Potri.014G036800.11.v4.1 pep chromosome:Pop_tri_v4:14:2325122:2329577:1 gene:Potri.014G036800.v4.1 transcript:Potri.014G036800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036800.v4.1 MTKENQSEKMVNVVRRPCFFVIFSSNLSSERLRIPVSFIKHMEGKTPGLVSLLGPSGDVWTADLTQENDDVLFSNGWSEFVRDHFLECGDLLVFRYHGELCFSVQVFDQSACEKEAAFHSKCSQGCSEFCGSTGKKREREEEAASLEKDFVCARKKVREGSSEFHSAYIEKNREAHISACDVGGCQHDGVLTTEESLSRETNQCGNPANCFATPSQSKACSEKQEVPIWKRFGKDDDLKLHDRGHMSIFSEREKRVAESFISCFPYFVRIMKRFNVSGSYTLNIPYQFSMAHLPNCRTEIILRTIKGACWSVNSVPATRVHTSHTLCGGWMAFVRSNDINVGDVCIFELVRKYELRVFILRVGKEGPDMETGKVVSNGENTGCHAIAHKTESFPKKSRRNCLKVHSKLIKKAEICDKKEFEKSQATGILRHGNATKDSASAVLFSMSQTRDGKKQAPIQNGKGVEAEAGLRRLVALDEERAAKSFTSGFPNFVRIMRKFNVSGSYTLKIPHQFSAAYLPNCKTEVILCNLQGRCWTVNSLPDSKGRAVHTFCGGWMAFVRDNNIKIGDICMFELVGKCQMRVQISGVGHEVVNHQIGNPASNDLPLLAVPRNDPPS >Potri.013G043100.2.v4.1 pep chromosome:Pop_tri_v4:13:2985156:2986432:-1 gene:Potri.013G043100.v4.1 transcript:Potri.013G043100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043100.v4.1 MIVEEEVPPILQVEHGIAGWDIRLIPEGLHIYVHRPLYTHHGIYIGDGKAIHFVGPKAGPTRACKKCGFSRNTGHGVVETCLECFLDGGFLCRYNYDVPRMILSITSHTMCPNCTTTERSKSGSEIVETAKEKLKEGFGKYNLLSNNCEHFATFCSTGTAFSQQVKHTAALAASPLLPPAPRLLMFYRNNI >Potri.004G114300.1.v4.1 pep chromosome:Pop_tri_v4:4:10478287:10479916:-1 gene:Potri.004G114300.v4.1 transcript:Potri.004G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G114300.v4.1 MSDRRERRRILIMPWFFIIFLLGFTFNNPSEASHGKKLPSAVVVGTVYCDTCFQEYFSRNSHFISGAHVAVECKDEKSRPSFREEAKTDEHGEFKVHLPFSVSKHVKKIKRCSVELLSSSEPYCAVASTATSSSLRLKSRKEGTHIFSAGFFTFKPEKEPFLCNQKPSIENPREFSSKEASLPSFDNPTFPPPLQDPKTPVLPPLPPLPILPPLPQLPPLPPLPGLPFLPPIPANTENTKTTESLKSTTLPDEKAVHHPNQFGFPTPPLFPPNPFQPPPILPPIIQPPPLFPPILPPNPLQPPPVPSLPLPPVPSLPLPPVPSLPLPPVPSLPLPPIPGLTPSPPPPFSLIPPLPLLPPLPPLIPGIPPASSSSQKTSP >Potri.004G114300.3.v4.1 pep chromosome:Pop_tri_v4:4:10478268:10486424:-1 gene:Potri.004G114300.v4.1 transcript:Potri.004G114300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G114300.v4.1 MSDRRERRRILIMPWFFIIFLLGFTFNNPSEASHGKKLPSAVVVGTVYCDTCFQEYFSRNSHFISGAHVAVECKDEKSRPSFREEAKTDEHGEFKVHLPFSVSKHVKKIKRCSVELLSSSEPYCAVASTATSSSLRLKSRKEGTHIFSAGFFTFKPEKEPFLCNQKPSIENPREFSSKEASLPSFDNPTFPPPLQDPKTPVLPPLPPLPILPPLPQLPPLPPLPGLPFLPPIPANTENTKTTESLKSTTLPDEKAVHHPNQFGFPTPPLFPPNPFQPPPILPPIIQPPPLFPPILPPNPLQPPPVPSLPLPPVPSLPLPPVPSLPLPPVPSLPLPPIPGLTPSPPPPFSLIPPLPLLPPLPPLIPGIPPASSSSQKTSP >Potri.003G145100.1.v4.1 pep chromosome:Pop_tri_v4:3:16018205:16020952:1 gene:Potri.003G145100.v4.1 transcript:Potri.003G145100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145100.v4.1 MEDTILTSEKPSNPSPLDPPSRFICHVCQKQFSQYTCPRCNSRYCSLHCYKSHSERCTESFMRENVMEEMKLMQSDEQTKRKMLDILKRFHSEEQEGMDDSMDDDDDDPEDDSVLSEETVQKVLSGGPINYDDLSAEEKKRFQRAVASGELSKLIEAWDPWWLKSSARTISLSKEGTRLIHPLAKEEALSSRQDDGAGDQPSEIPPGPDAPLPPVRKLISREPSPFLAVHLVDIIYSYCFTLRLYNGDWQSDAIGSATVVLNVSSVLGQASQPETVLEALSYCLERTCSPEYRNMGGLQFGLGLVEDVLHILSLGGPALICLLCDLQRMVQAGEEELKAEKKRKSKTEIKSKLKLAEKKVYFIMCWVHEQPGEAWSSLAAIVRAEKSSALDCRAGKNPQIAELKTESKGKVLIEEL >Potri.001G256200.1.v4.1 pep chromosome:Pop_tri_v4:1:27220593:27222736:1 gene:Potri.001G256200.v4.1 transcript:Potri.001G256200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256200.v4.1 MSSEKPINSVSAAIQQSLQHISLGTLCKSIATWGLILLLLYTVLFNHPCCSQTMNRFALFKLKWPPLSPNNATTSANVTTTPTSISHIVFAMVSSVNTWKHRKSYVESWWRPNVTRGYIFLDRDPSQRFHPWPSSSPPFRVNAPVKFRLNRKYATQVRIVRTIMETFMQGDKDVRWYVMADDDTVLFIDNLVEVLAKYNHTEYFYIGMNSESVSSNVNFSFEMAFGGAGYALSYPLAEALSTKVDGCIQRYPNVYSSDFILQTCLADFGVPLTHHRGFHQIDLHGDISGLLSAHHQSPVLSLHHIDVVDPIFPSMNRSASVNHLMEAAKVDHSRLLEQTICYQRKNNWSFSTSWGYSAHIYENIHPRSFLLLPIETFRPWLRIFKPPFYMFNTRSLTNDPCDAPHEFFMESVEKTRGNQVVTTYTRKSPRNLPPCSSSGNHSANHISKIQVFSSATTLKKAGLMECCDVEETADMNITRIKLRACMKDEVIA >Potri.006G238500.1.v4.1 pep chromosome:Pop_tri_v4:6:24031707:24036803:-1 gene:Potri.006G238500.v4.1 transcript:Potri.006G238500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238500.v4.1 MASLAFSASIGRSISPSNAMVSDKFESRREGFSLGKGTFARKRDPRDSMVPKVATQAPTVFPSLEKELAGDRNHVAWTSVQQERYEGELDVQGEIPLWLSGTYLRNGPGMWHVGDYNFRHLFDGYATLVRLHFENGRLIAAHRQIESEAYKAAKTNNKLCYREFSEVPKPDNFLSYVGELVNLFSGASLTDNANTGVVKLGDGRVVCLTETQKGSIVVDPNTLDTLGKFEYSDSLGGLIHSAHPIVTDTEFLTLLPDLFRPGYLVVRMEPGSNERKVIGRVDCRGGPAPGWVHSFPVTEHYVIVPEMPLRYCAQNLLRAEPTPLYKFEWHPDSKGFMHVMCKASGKIVASVEVPLFVTFHFINAYEEKDEDGRVTAVIADCCEHNSDTTILEKLSLQNLRSFMGEDVLPDARVGRFIIPLDGSPYGKLEAALDPEEHGKGMDMCSINPAYLGKKYRYAYACGAQRPCNFPNTLTKIDLLEKKAKNWYEEGAVPSEPFFVARPGATEEDDGVVISMISEKNGDGYALLLDGSTFEEIARGKFPYGLPYGLHGCWVPKK >Potri.006G190500.10.v4.1 pep chromosome:Pop_tri_v4:6:19747210:19751992:-1 gene:Potri.006G190500.v4.1 transcript:Potri.006G190500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190500.v4.1 MEDYPDESLVNSLEQCGPFINEVQNSAEESSVVSSMVTGSSSSDTETGGSSGNSITASEGTFVNDGYRNLDISCQGKCLSDSKELVGLDQVSDSQSHDESGRNRNITEASTSFKEQQSSDPVSMNVSTNMDAVNGIDNSENKGFSQIYPHIIHPSSSDPSRLGDSHSNDASFDNHMGEVTDIPNSDSDSVTHRPDVRVTFRPTQDESIQDSVSSDLGFLVPNREQDRTDGSVLHVDVVSISSSIYSSSTSDTSNHEARRNSRRLFWDAFSRRSFRRHVDSPTTVFSTDNNDDLESHDRWLLNFSGDFLNDGIDNDSRYLGRRIHGLNERRRHSRSEIWERLHGGLDENGRRTTSCPSGLHPDGTCSCESILMTEELNAHRRISRIVMLAEALFEVLDEIHRQPVSLSLSMMSLPAPESIVDSFPLKNHKKVDKVEGNDEDEQCYICLAEYEEGDKIRVLPCHHEYHMACVDKWLKEIHGVCPLCRGDVREGANEPSIPNPEIPST >Potri.006G190500.11.v4.1 pep chromosome:Pop_tri_v4:6:19747227:19751791:-1 gene:Potri.006G190500.v4.1 transcript:Potri.006G190500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190500.v4.1 MEDYPDESLVNSLEQCGPFINEVQNSAEESSVVSSMVTGSSSSDTETGGSSGNSITASEGTFVNDGYRNLDISCQGKCLSDSKELVGLDQVSDSQSHDESGRNRNITEASTSFKEQQSSDPVSMNVSTNMDAVNGIDNSENKGFSQIYPHIIHPSSSDPSRLGDSHSNDASFDNHMGEVTDIPNSDSDSVTHRPDVRVTFRPTQDESIQDSVSSDLGFLVPNREQDRTDGSVLHVDVVSISSSIYSSSTSDTSNHEARRNSRRLFWDAFSRRSFRRHVDSPTTVFSTDNNDDLESHDRWLLNFSGDFLNDGIDNDSRYLGRRIHGLNERRRHSRSEIWERLHGGLDENGRRTTSCPSGLHPDGTCSCESILMTEELNAHRRISRIVMLAEALFEVLDEIHRQPVSLSLSMMSLPAPESIVDSFPLKNHKKVDKVEGNDEDEQCYICLAEYEEGDKIRVLPCHHEYHMACVDKWLKEIHGVCPLCRGDVREGANEPSIPNPEIPST >Potri.006G190500.2.v4.1 pep chromosome:Pop_tri_v4:6:19747216:19751998:-1 gene:Potri.006G190500.v4.1 transcript:Potri.006G190500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190500.v4.1 MEDYPDESLVNSLEQCGPFINEVQNSAEESSVVSSMVTGSSSSDTETGGSSGNSITASEGTFVNDGYRNLDISCQGKCLSDSKELVGLDQVSDSQSHDESGRNRNITEASTSFKEQQSSDPVSMNVSTNMDAVNGIDNSENKGFSQIYPHIIHPSSSDPSRLGDSHSNDASFDNHMGEVTDIPNSDSDSVTHRPDVRVTFRPTQDESIQDSVSSDLGFLVPNREQDRTDGSVLHVDVVSISSSIYSSSTSDTSNHEARRNSRRLFWDAFSRRSFRRHVDSPTTVFSTDNNDDLESHDRWLLNFSGDFLNDGIDNDSRYLGRRIHGLNERRRHSRSEIWERLHGGLDENGRRTTSCPSGLHPDGTCSCESILMTEELNAHRRISRIVMLAEALFEVLDEIHRQPVSLSLSMMSLPAPESIVDSFPLKNHKKVDKVEGNDEDEQCYICLAEYEEGDKIRVLPCHHEYHMACVDKWLKEIHGVCPLCRGDVREGANEPSIPNPEIPST >Potri.006G190500.6.v4.1 pep chromosome:Pop_tri_v4:6:19747227:19751992:-1 gene:Potri.006G190500.v4.1 transcript:Potri.006G190500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190500.v4.1 MGSSSSRPGPLSTRARLNRRSSRFFSSLICGGSFSFRTARHEMEDYPDESLVNSLEQCGPFINEVQNSAEESSVVSSMVTGSSSSDTETGGSSGNSITASEGTFVNDGYRNLDISCQGKCLSDSKELVGLDQVSDSQSHDESGRNRNITEASTSFKEQQSSDPVSMNVSTNMDAVNGIDNSENKGFSQIYPHIIHPSSSDPSRLGDSHSNDASFDNHMGEVTDIPNSDSDSVTHRPDVRVTFRPTQDESIQDSVSSDLGFLVPNREQDRTDGSVLHVDVVSISSSIYSSSTSDTSNHEARRNSRRLFWDAFSRRSFRRHVDSPTTVFSTDNNDDLESHDRWLLNFSGDFLNDGIDNDSRYLGRRIHGLNERRRHSRSEIWERLHGGLDENGRRTTSCPSGLHPDGTCSCESILMTEELNAHRRISRIVMLAEALFEVLDEIHRQPVSLSLSMMSLPAPESIVDSFPLKNHKKVDKVEGNDEDEQCYICLAEYEEGDKIRVLPCHHEYHMACVDKWLKEIHGVCPLCRGDVREGANEPSIPNPEIPST >Potri.006G220900.2.v4.1 pep chromosome:Pop_tri_v4:6:22605369:22613861:-1 gene:Potri.006G220900.v4.1 transcript:Potri.006G220900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220900.v4.1 MKIWCFSCFIFGKEEEEDNKAMREGISENEGKTEDNLGNNEEEEDTEAIASRDIRRERYGRESMRWIEEMIRAMQRARSGFGGGGSSGTTDWGEVGQGFEEEGQFVAPPLALRRSAFCTSWLGRGENSGSSSAVAEAAGSGNGGCDRDSHNKRAKVYSYSNDCHYAAVMASDAGNSTSSADRHLGLSQSSSIPSNNEIFYHNFMWNNNSDDNPFDSNGARDDGDDSGTSKSEDLEVRMDLTDDLLHMVFSFLDPINLCRAAMVCRQWRAASAHEDFWRCLNFENRNISVEQFEDMSRRYPNATEVNIYGAPAIHLLVMKALFSLRNLETLTVGKGQLGDPFFGALGDCIMLKSLNVNDATLGSGIQEIPINHDRLCHLQLTKCRVMRISVRCPQLETLSLKRSNMAQAVLNCPLLRLLDIGSCHKLTDAAIRSAAISCPQLESLDMSNCSCVSDETLREIALTCANLHILNASYCPNISLESVRMPMLTVLKLHSCEGITSASMSAIAYSYMLEVLELDNCSLLTSVSLDLPRLQNIRLVHCRKFADLNLQSIMLSSIMLSNCPALHRINITSNSLQKLALQKQENLTTLALQCQYLQEVDLTDCESLTNSICEVFSDGGGCPMLKSLVLDNCEALTAVRFHSTSLVSLSLVGCRAITALDLACPSLELVCLDGCDHLEEASFCPVALRSLNLGICPKLKILSIEAPCMVSLELKGCGVLSEASINCPLLTSLDASFCSQLKDDCLSATTASCPLIGSLILMSCPSVGSDGLLSLQRLPHLSVLDLSYTFLMNLQPVFDSCLQLKVLKLQACKYLTDTSLEPLYKDGALPALQELDLSYGTLCQSAIEELLACCRHLTHLSLNGCVNMHDLNWGCSGGQLSELPGKFSSSALFSHENILVPPEQPNRLLQNLNCVGCPNIRKVVIPPVALCLHLSSLNLSLSANLKEVDVVCFNLCFLNLSNCCSLEILKLECPRLTSLFLQSCNIDEEAVEAAISQCGMLETLDVRFCPKICSISMGRLRAACPSLKRIFSSLSPS >Potri.014G079100.3.v4.1 pep chromosome:Pop_tri_v4:14:5114911:5120039:-1 gene:Potri.014G079100.v4.1 transcript:Potri.014G079100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079100.v4.1 MSENDGEKKVADRYLKREILGEGTYGVVYKAIDTKTGKTVAIKKIRLGRQKEGVNFTALREIKLLKELKDPNIIELIHAFPHKGNLHLVFEFMETDLEAVIRDPNIFLSPGDIKSYFQMTLKGLAVCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPGRKFTHQVFARWYRAPELLFGAKQYGASVDVWAAGCIFAELLNRRPFLQGDSDIDQLGKIFQKLGTPTPLQWPDLEWLPDFVEYSSQIIQPWRKLCPTASDDALDLLSKMFTYDPRARISVQQALEHRYFTSIPLPTDPAKLPRPAPKRESHNPRTSDVHDGPVVLSPKRKARRVMSDREGFAGNSFQVDKVDECGGEIRQAAGDNTGRNEPVLMSVDFSVFGSKPMSRPTINR >Potri.014G079100.2.v4.1 pep chromosome:Pop_tri_v4:14:5113526:5120043:-1 gene:Potri.014G079100.v4.1 transcript:Potri.014G079100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079100.v4.1 MSENDGEKKVADRYLKREILGEGTYGVVYKAIDTKTGKTVAIKKIRLGRQKEGVNFTALREIKLLKELKDPNIIELIHAFPHKGNLHLVFEFMETDLEAVIRDPNIFLSPGDIKSYFQMTLKGLAVCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPGRKFTHQVFARWYRAPELLFGAKQYGASVDVWAAGCIFAELLNRRPFLQGDSDIDQLGKIFQKLGTPTPLQWPDLEWLPDFVEYSSQIIQPWRKLCPTASDDALDLLSKMFTYDPRARISVQQALEHRYFTSIPLPTDPAKLPRPAPKRESHNPRTSDVHDGPVVLSPKRKARRVMSDREGFAGNSFQVDKVDECGGEIRQAAGDNTGRNEPVLMSVDFSVFGSKPMSRPTINSADRSHLKRKLDLEFQHPE >Potri.005G114800.1.v4.1 pep chromosome:Pop_tri_v4:5:8386851:8391773:-1 gene:Potri.005G114800.v4.1 transcript:Potri.005G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114800.v4.1 MTELNKSDKDDPFLVQYQPSDLNIASEFLSTWLPYLSRDLCHNCSKTLSDRIRSLSELQGEDKSGDLPTLNINVESSNGNCGSDGNCNDNSVGSLKEDDDTNSLGSWKDGINGWSEPPVSEASSSGVHSEWRSGMSWADMAQEDELVEEEEQEQQELNARMVNVNDSTGGLRITKVVGKPTTLPREQREYIRFMNVKRKKDFMCFEKINGKLTNILQGLELHTGIFSAAEQKRIVDYVYAFQEKGKKGELKERTYSAPQKWMRGKGRVTLQFGCCYNYTIDKNGNPPGILQDEMVDPIPDLFKVIIRRLVQWHVIPPTCVPDSCIVNIYEEWDCIPPHIDSHDFVRPFCTVSFLSQCNILFGSNLKVVGAGDFDGPIAIPLPVGSVLVLNGNGADVAKHCVPSVPTKRISITFRKMDEAKRPIGFVPEPDLQGIQPLSYELDKTRKLNSPKSEPYVKRRPYGKEGQVEGRRYPEDGSQSESRYSSRNRWPAANQWRTKGNMGRADG >Potri.005G114800.2.v4.1 pep chromosome:Pop_tri_v4:5:8387655:8391747:-1 gene:Potri.005G114800.v4.1 transcript:Potri.005G114800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114800.v4.1 MILRVWVLACLVIIVELQGEDKSGDLPTLNINVESSNGNCGSDGNCNDNSVGSLKEDDDTNSLGSWKDGINGWSEPPVSEASSSGVHSEWRSGMSWADMAQEDELVEEEEQEQQELNARMVNVNDSTGGLRITKVVGKPTTLPREQREYIRFMNVKRKKDFMCFEKINGKLTNILQGLELHTGIFSAAEQKRIVDYVYAFQEKGKKGELKERTYSAPQKWMRGKGRVTLQFGCCYNYTIDKNGNPPGILQDEMVDPIPDLFKVIIRRLVQWHVIPPTCVPDSCIVNIYEEWDCIPPHIDSHDFVRPFCTVSFLSQCNILFGSNLKVVGAGDFDGPIAIPLPVGSVLVLNGNGADVAKHCVPSVPTKRISITFRKMDEAKRPIGFVPEPDLQGIQPLSYELDKTRKLNSPKSEPYVKRRPYGKEGQVEGRRYPEDGSQSESRYSSRNRWPAANQWRTKGNMGRADG >Potri.010G007922.1.v4.1 pep chromosome:Pop_tri_v4:10:1129082:1129675:1 gene:Potri.010G007922.v4.1 transcript:Potri.010G007922.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007922.v4.1 MEITKFLGFSFLLFAFAATSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTATSKIICNSDVILSTLNESLPITFSPAIKSNDGVIREGSYLNVNFDAPSCRMGGVTTMWMIESEGLIVTTGGVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVGVNSDKHLAPNVGPLLVMFEPDAY >Potri.015G036300.9.v4.1 pep chromosome:Pop_tri_v4:15:3088369:3097243:-1 gene:Potri.015G036300.v4.1 transcript:Potri.015G036300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036300.v4.1 MAIVTGDRYLEKLVKFVEEQAGSLIDGTLVLKLYPGGLRYVDSRLESLHELENLLSGAPVDYLRAYISDLGDHRALEQLRRILRLLTELKVVSVLPPTTRDPTPVCLVPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIVCHNSTDALRHVFASRIVEIKDSPQWNRLSFVSCACNRLILMDESLQLLPVVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLRSIAPFYEISCHIVKLVLRNNALTTLHGLENLKSLEALDVSYNIISNFSELEFLTGLPCLRNLWLEGNPLCGARWYRAQVFSYVVHPEAVKLDDQEISAREFWKRQIIIARRQKRPTSFGFYSPAIGDDEGDGNINRKRSKVSRLASISNKEETIYFSSDQESPSFDNEIQSKEENDVSDDEAEIVDLINRVELMKKERSTLWLREFKDWMDHESENIVDCSTYCGVTLHHAKENHPTNKSTQKDHCDSSRDSMDDLQASGDETSTNLLESNSSFVDTGSYGGVALPGMGNMNLRQKHQKSYLHEGSGSMSMQSRSSHTGSSTVQEVHTIVGNGSISLLTTHSSPAYPRSPPHYEEDILQRRNNLVEEILQLSAESYSVASSDSNTSSSDDDLYEFGDSSYEAAKSQNEEYLNPKAGGQLSSNPLKDQGHGIHHVMENDSYLNDSQTSISTKFLSSNSNDFSAGSHDGENAHFANPEADLLEKGKNKRKPRRIVISLLENMVGRIGRPEKLNGNGDTCGAGLVDEQGEQIVCESDFHVTDKKQLHTNSFTTLDAVNVNGFSDDFIENYFNEKVADSRINESCRNYMRCDCILEPESMYREREVVLLLSSEDKLYVLLIDVAFDGSGSILSLLGWHRVEDVREVLVGIGLQVVRVYIERGATYLFLTRSIEKSSLEQVQAELFWQKICRGLKLSIFQYSMVLFRHRKNEEDSWLPRSLFVSGGHVLLCVEDLKQFRSSSVDASSPPYFLLDSCCSISDVSELVIEARESWFITLALQHAPKSLSSKSQKDIKTNDKDNEGSGSLTWKLKWFSKENLFNFVALLRAIHAGVAALPMLVTYTP >Potri.015G036300.4.v4.1 pep chromosome:Pop_tri_v4:15:3088386:3097273:-1 gene:Potri.015G036300.v4.1 transcript:Potri.015G036300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036300.v4.1 MAIVTGDRYLEKLVKFVEEQAGSLIDGTLVLKLYPGGLRYVDSRLESLHELENLLSGAPVDYLRAYISDLGDHRALEQLRRILRLLTELKVVSVLPPTTRDPTPVCLVPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIVCHNSTDALRHVFASRIVEIKDSPQWNRLSFVSCACNRLILMDESLQLLPVVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLRSIAPFYEISCHIVKLVLRNNALTTLHGLENLKSLEALDVSYNIISNFSELEFLTGLPCLRNLWLEGNPLCGARWYRAQVFSYVVHPEAVKLDDQEISAREFWKRQIIIARRQKRPTSFGFYSPAIGDDEGDGNINRKRSKVSRLASISNKEETIYFSSDQESPSFDNEIQSKEENDVSDDEAEIVDLINRVELMKKERSTLWLREFKDWMDHESENIVDCSTYCGVTLHHAKENHPTNKSTQKDHCDSSRDSMDDLQASGDETSTNLLESNSSFVDTGSYGGVALPGMGNMNLRQKHQKSYLHEGSGSMSMQSRSSHTGSSTVQEVHTIVGNGSISLLTTHSSPAYPRSPPHYEEDILQRRNNLVEEILQLSAESYSVASSDSNTSSSDDDLYEFGDSSYEAAKSQNEEYLNPKAGGQLSSNPLKDQGHGIHHVMENDSYLNDSQTSISTKFLSSNSNDFSAGSHDGENAHFANPEADLLEKGKNKRKPRRIVISLLENMVGRIGRPEKLNGNGDTCGAGLVDEQGEQIVCESDFHVTDKKQLHTNSFTTLDAVNVNGFSDDFIENYFNEKVADSRINESCRNYMRCDCILEPESMYREREVVLLLSSEDKLYVLLIDVAFDGSGSILSLLGWHRVEDVREVLVGIGLQVVRVYIERGATYLFLTRSIEKSRQVLDILQVSGPCTTNNKCLLKSLEQVQAELFWQKICRGLKLSIFQYSMVLFRHRKNEEDSWLPRSLFVSGGHVLLCVEDLKQFRSSSVDASSPPYFLLDSCCSISDVSELVIEARESWFITLALQHAPKSLSSKSQKDIKTNDKDNEGSGSLTWKLKWFSKENLFNFVALLRAIHAGVAALPMLVTYTP >Potri.012G017500.1.v4.1 pep chromosome:Pop_tri_v4:12:1935876:1940247:-1 gene:Potri.012G017500.v4.1 transcript:Potri.012G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017500.v4.1 MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLPSFLLSLSGELANDEKPVDSRKLAGLILKNALDAKEQHRKLELVQRWLSLDNNAKGQIKACLLKTLASPVPDARSTASQVIAKIAGIELPQRQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDHVNKILTAVVQGMNATEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPEMKIRQAAYECLVSISSTYYEKLAPYMQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSDVPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVQLVMQFIEDNITKPDWRHREAATYAFGSILEGPSPEKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVDTPIITQANCQQIVTVLLQSMKDVANVAEKACGALYFLAQGYEEVTPSSPLTPYFQEIVQTLLFVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMTELHNTLEGQKLSSDEREKQGELQGLLCGCLQVIIQKLGSSEPTKYVFMQYVDQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSSFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTSVADDEMTEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSMYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >Potri.012G017500.2.v4.1 pep chromosome:Pop_tri_v4:12:1934971:1940296:-1 gene:Potri.012G017500.v4.1 transcript:Potri.012G017500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017500.v4.1 MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLPSFLLSLSGELANDEKPVDSRKLAGLILKNALDAKEQHRKLELVQRWLSLDNNAKGQIKACLLKTLASPVPDARSTASQVIAKIAGIELPQRQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDHVNKILTAVVQGMNATEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPEMKIRQAAYECLVSISSTYYEKLAPYMQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSDVPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVQLVMQFIEDNITKPDWRHREAATYAFGSILEGPSPEKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVDTPIITQANCQQIVTVLLQSMKDVANVAEKACGALYFLAQGYEEVTPSSPLTPYFQEIVQTLLFVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMTELHNTLEGQKLSSDEREKQGELQGLLCGCLQVIIQKLGSSEPTKYVFMQYVDQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSSFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTSVADDEMTEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSMYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >Potri.012G017500.3.v4.1 pep chromosome:Pop_tri_v4:12:1935138:1939662:-1 gene:Potri.012G017500.v4.1 transcript:Potri.012G017500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017500.v4.1 MAMEVTQVLLNAQSIDGNVRKHAEESLKQFQEQNLPSFLLSLSGELANDEKPVDSRKLAGLILKNALDAKEQHRKLELVQRWLSLDNNAKGQIKACLLKTLASPVPDARSTASQVIAKIAGIELPQRQWPELIGSLLSNIHQLPAHVKQATLETLGYLCEEVSPDVVDQDHVNKILTAVVQGMNATEGNNDVRLAATRALYNALGFAQANFSNDMERDYIMRVVCEATLSPEMKIRQAAYECLVSISSTYYEKLAPYMQDIFNITAKAVREDEEPVALQAIEFWSSICDEEIDILEEYGGDFTGDSDVPCFYFIKQALPALVPMLLETLLKQEEDQDQDEGAWNIAMAGGTCLGLVARTVGDDIVQLVMQFIEDNITKPDWRHREAATYAFGSILEGPSPEKLTPLVNVALNFMLTALTKDPNNHVKDTTAWTLGRIFEFLHGSTVDTPIITQANCQQIVTVLLQSMKDVANVAEKACGALYFLAQGYEEVTPSSPLTPYFQEIVQTLLFVTHREDAGESRLRTAAYETLNEVVRCSTDETAPMVLQLVPVIMTELHNTLEGQKLSSDEREKQGELQGLLCGCLQVIIQKLGSSEPTKYVFMQYVDQIMGLFLRVFACRSATVHEEAMLAIGALAYATGPDFAKYMPEFYKYLEMGLQNFEEYQVCAVTVGVVGDICRALEDKILPYCDGIMTQLLKDLSSNQLHRSVKPPIFSSFGDIALAIGENFEKYLMYAMPMLQSAAELSAHTSVADDEMTEYTNSLRNGILEAYSGILQGFKNSPKTQLLIPYAPHILQFLDSMYMEKDMDDVVMKTAIGVLGDLADTLGSNAGSLIQQSLSSKDFLNECLSSDDHMIKESAEWAKLAISRAISV >Potri.001G091300.1.v4.1 pep chromosome:Pop_tri_v4:1:7219903:7220875:1 gene:Potri.001G091300.v4.1 transcript:Potri.001G091300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091300.v4.1 MASSITLQSTLLRSSFLGQNNFPNHPHKPYSLIPKEHRLKIKTCAKFDPFEILGGRGLCNGEKGVQQELQRNIEEEAPPAAGEEEYSGNLEISSVPEDGFEKELMGLTGGFPGGEKGLEKFIEENPPPKKQPAAKLTITNKPKPPELPLLLPGMIAIVKNPNNPFYMYTGIVQRITDGKAGVIFEGGNWDRLVTFRLEELERREKGPPGKNPRSAIIEEFYGTES >Potri.019G133600.4.v4.1 pep chromosome:Pop_tri_v4:19:15566976:15569942:1 gene:Potri.019G133600.v4.1 transcript:Potri.019G133600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133600.v4.1 MASSSSSLPSMEFDFDEKPHVLAVDDSLIDRKVIERLLINSTCRVTTAENGKRALEYLGLADGQHPSHSDLKVNMIITDYSMPGMTGYELLKRIKESPTMKEIPVVVVSSENIPTRINQCMEGGAQEFLLKPLQLSDATKLRCHIKKLNN >Potri.002G242900.6.v4.1 pep chromosome:Pop_tri_v4:2:23490071:23494411:1 gene:Potri.002G242900.v4.1 transcript:Potri.002G242900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242900.v4.1 MAFRAVHSWTLADLIFAFRDLAIAYVLLCGSAYAFLLSKFLSAFGFYLPCPCTGLFGYQNNDLCLHKLLIDWPKSKIYYVQALVKSRFPFDLIWFADQSSDLHVEGVRDKKRENGVIELKGEGCSTSLSGPRFSSCVGGESGYDAKEKKVTNQKYKPGIRRRRRATTGYGRFLSALSANSTRSIGLAAPLSSHDSGGEMGSQISGNLGPASGTEDGLLGDGHGPIVIDHGKRVHSSFELNGLYDKGKGIRNDPSFVKNMACNAEDVSDSVGNDAIKMLEQSLEEEKTALAALYQELEKERAAAASAADEAMAMIMRLQEDKASIEMETRQYQRMIEEKFAYDDEEMDILKEILVRKELENHYLVKKLEAYQLMNISGKEQLDESNQMNSTGKRPSLSFDSDENLSLLPEQMVKSKTIFQKEVKTNATCSSNDEESHTLAFGKEMMPECKESASDSSTSEGVLQKTVCVAGKEKTQRYVSVVSQTCGGMEEEMGKDRNHLNQVGSCMHSPMLDTELTVYDVHVVDDETTVWKEGGGKESGPLSSAPSDFEVWTTSELSDCPRTSMTEIEPNGRGSHLDTTSSSPGLGDSQCKTLDIDSQRSSSSAVNSERFKIDSEVEWLRERLRTVQEKKDKLTFPAEHNERVNAQLKLVEEIISQLRETYQLREPVRQASLPPLSSKVSLKKRSCRSVSSEAFESA >Potri.002G242900.5.v4.1 pep chromosome:Pop_tri_v4:2:23490071:23494451:1 gene:Potri.002G242900.v4.1 transcript:Potri.002G242900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242900.v4.1 MAFRAVHSWTLADLIFAFRDLAIAYVLLCGSAYAFLLSKFLSAFGFYLPCPCTGLFGYQNNDLCLHKLLIDWPKSKIYYVQALVKSRFPFDLIWFADQSSDLHVEGVRDKKRENGVIELKGEGCSTSLSGPRFSSCVGGESGYDAKEKKVTNQKYKPGIRRRRRATTGYGRFLSALSANSTRSIGLAAPLSSHDSGGEMGSQISGNLGPASGTEDGLLGDGHGPIVIDHGKRVHSSFELNGLYDKGKGIRNDPSFVKNMACNAEDVSDSVGNDAIKMLEQSLEEEKTALAALYQELEKERAAAASAADEAMAMIMRLQEDKASIEMETRQYQRMIEEKFAYDDEEMDILKEILVRKELENHYLVKKLEAYQLMNISGKEQLDESNQMNSTGKRPSLSFDSDENLSLLPEQMVKSKTIFQKEVKTNATCSSNDEESHTLAFGKEMMPECKESASDSSTSEGVLQKTVCVAGKEKTQRYVSVVSQTCGGMEEEMGKDRNHLNQVGSCMHSPMLDTELTVYDVHVVDDETTVWKEGGGKESGPLSSAPSDFEVWTTSELSDCPRTSMTEIEPNGRGSHLDTTSSSPGLGDSQCKTLDIDSQRSSSSAVNSERFKIDSEVEWLRERLRTVQEKKDKLTFPAEHNERVNAQLKLVEEIISQLRETYQLREPVRQASLPPLSSKVSLKKRSCRSVSSEAFESA >Potri.001G452200.1.v4.1 pep chromosome:Pop_tri_v4:1:47922983:47925838:1 gene:Potri.001G452200.v4.1 transcript:Potri.001G452200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452200.v4.1 MEFGTGFSEGDQMSRGKGLPFSSYSSSNSSPSSSSSQQRNQLVLGQIYENHQIGSWLGNKYDQVDQDTSRFNESVAVNAAKLDLMDVNDEEEGGRGESSSVQVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYLPLDSSSNEKGLLLNFEDMNGKAWRFRYSYWGSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGELGKDRLYINWRRRPDAPDDPSRHQHHFHNHHFSAIPWSPLLMRPPTVPVLPRDHLHLSNPNRNTCYKVGGSSYGYGYGNYSNVVNPCSSSGSVFYMTSSAGAGAALEPAPQQVGMGMVQWQLGGGGVVEPVVYESVPVVQGKAAAKRLRLFGVNMDCPITDQSDDYGHKLSSTTAAATTLPHNATIALQPTPQLSSQSLQHPLHQLRLYRGTPLAAMPPSTTQFLHKGKSSSPSSSSMSLDLDI >Potri.014G101700.1.v4.1 pep chromosome:Pop_tri_v4:14:6724728:6725275:1 gene:Potri.014G101700.v4.1 transcript:Potri.014G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101700.v4.1 MEKGQIGMKTATSGEYQDLLPVMAEKLDVKTFVSELCGGFRLLADPEKGLITSESLRRNSALLGMEGMSKEDAEAMVREGDLDGDGALNETEFCVLMVRLSPEMMQDAETWLQKALEQEPRTSSP >Potri.006G267700.1.v4.1 pep chromosome:Pop_tri_v4:6:26229913:26232733:-1 gene:Potri.006G267700.v4.1 transcript:Potri.006G267700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267700.v4.1 MASKLCDSCKSATATLFCRADSAFLCVSCDSKIHAANKLASRHARVWVCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLAQRHERVPVTPFFDSSSAAHGGGAAVNFLEYRYLDDVNGGDDVSREEAEAESWLLPNPGGGNTKGVDSLDLNTGQYVFGAEMHPYLDLDRYVDQKVEVEVQEQNSSGTTDGVVPVQSNKLGFQAPALVNDNCCFELDFSAGSKTFAGGYGYNSLSHSVSSSSLDVGVVPDGSTLTDISNPYSRSVSNGMESANQTVQLSAVDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTDSGVEVDRSSIYGFGVVPSF >Potri.012G130000.1.v4.1 pep chromosome:Pop_tri_v4:12:14523685:14524149:-1 gene:Potri.012G130000.v4.1 transcript:Potri.012G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130000.v4.1 MDSQSNLNPPLCAKGCGFFGSPEKKNLCSECYRDYVKEEESVAAETAKKLSQLVINTPSAANDKSPAVLTDETTSSSAAAAAAASSSTVKINRCECCNKKVGLLGFKCRCEKTFCGVHRHATEHSCTFDFKTLGRHILAEQNPLVVSDKLHTRI >Potri.005G258300.1.v4.1 pep chromosome:Pop_tri_v4:5:24834602:24839719:-1 gene:Potri.005G258300.v4.1 transcript:Potri.005G258300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258300.v4.1 MEMEKLDAETEFLATKQETGNEWELFKENVRPLKRGRNVGLLNQALKSHTDYQLKKSLLDTRRKLIEAIDEYKGDDPLFPWIQCIKWVQEAFPPGGDCSGLILIYEQCVRAFWHSDRYKDDLRYLKVWLEYAENCADAEVIYNFLEANEIGKSHSAYYLAYALHMESKSKMKIANDIFNLGISRDAQPVEKLKDAYRKFLIRSMRKPNVVEDDSGESHLPVRSFGTVLSTADNRRQNMERSELARKQMKPDRTQKIPLSIFKDTTSIDTMPGHQSGKSKTDLSPWSTLGAREERDKENSALPTKWTTYKIPRRPGARTGGVTASASIEVFVDEECTEMDRSHDHDGKSSTLKLRQGDGLDIKKETDLLRENPLRNFPLRSLPR >Potri.012G097700.11.v4.1 pep chromosome:Pop_tri_v4:12:12158197:12163675:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDEFQKPPAAEPATTSFDDIEELRKQLDALNAD >Potri.012G097700.9.v4.1 pep chromosome:Pop_tri_v4:12:12158246:12162916:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDALKVLLVSSQLQMSFKNPQLLNQLQLRLMTLKNFGSNLMPLMLIKVQY >Potri.012G097700.7.v4.1 pep chromosome:Pop_tri_v4:12:12158195:12163752:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDALKVLLVSSQLQMSFKNPQLLNQLQLRLMTLKNFGSNLMPLMLIKVQY >Potri.012G097700.10.v4.1 pep chromosome:Pop_tri_v4:12:12158865:12161173:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDALKVLLVSSQLQMSFKNPQLLNQLQLRLMTLKNFGSNLMPLMLIKVQY >Potri.012G097700.14.v4.1 pep chromosome:Pop_tri_v4:12:12158865:12161160:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDEFQKPPAAEPATTSFDDIEELRKQLDALNAD >Potri.012G097700.13.v4.1 pep chromosome:Pop_tri_v4:12:12158246:12162916:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDEFQKPPAAEPATTSFDDIEELRKQLDALNAD >Potri.012G097700.8.v4.1 pep chromosome:Pop_tri_v4:12:12158230:12162916:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDALKVLLVSSQLQMSFKNPQLLNQLQLRLMTLKNFGSNLMPLMLIKVQY >Potri.012G097700.12.v4.1 pep chromosome:Pop_tri_v4:12:12158230:12162916:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDEFQKPPAAEPATTSFDDIEELRKQLDALNAD >Potri.012G097700.6.v4.1 pep chromosome:Pop_tri_v4:12:12158230:12163675:1 gene:Potri.012G097700.v4.1 transcript:Potri.012G097700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097700.v4.1 MALQRMGIVDNYERIRDFSVAVIGVVAGLLVQNTLKFLLQFGHVSPYLGYNSLKDYFPTMEMRPNPQCSNAACLERQKEYLLEKPAGDAAIRAKMEAEALLVPEGPLHADNEWDISVVDDSEPEKTDATSSDALKVLLVSSQLQMSFKNPQLLNQLQLRLMTLKNFGSNLMPLMLIKVQY >Potri.016G117700.1.v4.1 pep chromosome:Pop_tri_v4:16:12327955:12329264:-1 gene:Potri.016G117700.v4.1 transcript:Potri.016G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117700.v4.1 MATKSSNEVQSKKREKRTGRENLLGYSEETKPRSRKLGEIVAKYRKSKDAFSLATSAKNKLVQRQEKLGKINNQTEELEGNSEDYASLANELLKKMEKRKWWQI >Potri.010G152300.6.v4.1 pep chromosome:Pop_tri_v4:10:16184119:16188747:-1 gene:Potri.010G152300.v4.1 transcript:Potri.010G152300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152300.v4.1 MYNEGTPEFVAGQGLFYPAATNYGYYCTGFETPVEWEDHQKIFGVDGPEIQYAGAQTESLPYVYYTPSYGHAQSPYNPYNPYIPGAMVGIDGSYAGAQQYYTISPYQDPVSSPGYISVAVQPEVFPYGLAGPLVDNGIERSSRPDGRSLKHGGSSSSAAFARNIPRPASNQTNSLYRISEGPKANVGPSKQPMTHGGVSSGSILTQTSSHVLQGRSASGPMHPSDKISNGKVQSHQNQLETSLPVNNGFSNFGSSAYGRTSVDKLRSKTHDGRTLSDLNGNAELLGEQNRGPRTNKSKNQLAVKAYTAKVGDNNGLGNIVIQTDQYNKDDFSTDYLDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQTAFEDAQKLAVGRPRGCPIFLFFSVNASGQFCGVAEMIGPVDLHRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKTFKNHPLRTSILDDFMYYENRQKIMQDEKARLMFKSFRSPLFVPALNPAIELNGLVQQPPHKDERMKHNDLNNLKKTDGNKYEKIMNPNDCNSWKKVETNKDEKIIDHDDLHSFKNTGTSAIEQLSSDSDVTISSRGKDSGQVTVDADDDIGSVLKIGSLDINPKQTESNSLLSAANKSADIVTVGSMPVKVNGMTESSGFLTVGTIPLDPRSVQLDKDGAVGKQGSQY >Potri.010G152300.2.v4.1 pep chromosome:Pop_tri_v4:10:16184135:16188849:-1 gene:Potri.010G152300.v4.1 transcript:Potri.010G152300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152300.v4.1 MDIYNVSGHENAETYLIQGAEINPILTSPVVEQVETMYNEGTPEFVAGQGLFYPAATNYGYYCTGFETPVEWEDHQKIFGVDGPEIQYAGAQTESLPYVYYTPSYGHAQSPYNPYNPYIPGAMVGIDGSYAGAQQYYTISPYQDPVSSPGYISVAVQPEVFPYGLAGPLVDNGIERSSRPDGRSLKHGGSSSSAAFARNIPRPASNQTNSLYRISEGPKANVGPSKQPMTHGGVSSGSILTQTSSHVLQGRSASGPMHPSDKISNGKVQSHQNQLETSLPVNNGFSNFGSSAYGRTSVDKLRSKTHDGRTLSDLNGNAELLGEQNRGPRTNKSKNQLAVKAYTAKVGDNNGLGNIVIQTDQYNKDDFSTDYLDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQTAFEDAQKLAVGRPRGCPIFLFFSVNASGQFCGVAEMIGPVDLHRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKTFKNHPLRTSILDDFMYYENRQKIMQDEKARLMFKSFRSPLFVPALNPAIELNGLVQQPPHKDERMKHNDLNNLKKTDGNKYEKIMNPNDCNSWKKVETNKDEKIIDHDDLHSFKNTGTSAIEQLSSDSDVTISSRGKDSGQVTVDADDDIGSVLKIGSLDINPKQTESNSLLSAANKSADIVTVGSMPVKVNGMTESSGFLTVGTIPLDPRSVQLDKDGAVGKQGSQY >Potri.010G152300.1.v4.1 pep chromosome:Pop_tri_v4:10:16184201:16188845:-1 gene:Potri.010G152300.v4.1 transcript:Potri.010G152300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152300.v4.1 MLQIPLASLSKFQNGEISRGGSLGMDIYNVSGHENAETYLIQGAEINPILTSPVVEQVETMYNEGTPEFVAGQGLFYPAATNYGYYCTGFETPVEWEDHQKIFGVDGPEIQYAGAQTESLPYVYYTPSYGHAQSPYNPYNPYIPGAMVGIDGSYAGAQQYYTISPYQDPVSSPGYISVAVQPEVFPYGLAGPLVDNGIERSSRPDGRSLKHGGSSSSAAFARNIPRPASNQTNSLYRISEGPKANVGPSKQPMTHGGVSSGSILTQTSSHVLQGRSASGPMHPSDKISNGKVQSHQNQLETSLPVNNGFSNFGSSAYGRTSVDKLRSKTHDGRTLSDLNGNAELLGEQNRGPRTNKSKNQLAVKAYTAKVGDNNGLGNIVIQTDQYNKDDFSTDYLDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQTAFEDAQKLAVGRPRGCPIFLFFSVNASGQFCGVAEMIGPVDLHRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKTFKNHPLRTSILDDFMYYENRQKIMQDEKARLMFKSFRSPLFVPALNPAIELNGLVQQPPHKDERMKHNDLNNLKKTDGNKYEKIMNPNDCNSWKKVETNKDEKIIDHDDLHSFKNTGTSAIEQLSSDSDVTISSRGKDSGQVTVDADDDIGSVLKIGSLDINPKQTESNSLLSAANKSADIVTVGSMPVKVNGMTESSGFLTVGTIPLDPRSVQLDKDGAVGKQGSQY >Potri.010G152300.5.v4.1 pep chromosome:Pop_tri_v4:10:16184122:16188372:-1 gene:Potri.010G152300.v4.1 transcript:Potri.010G152300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152300.v4.1 MDIYNVSGHENAETYLIQGAEINPILTSPVVEQVETMYNEGTPEFVAGQGLFYPAATNYGYYCTGFETPVEWEDHQKIFGVDGPEIQYAGAQTESLPYVYYTPSYGHAQSPYNPYNPYIPGAMVGIDGSYAGAQQYYTISPYQDPVSSPGYISVAVQPEVFPYGLAGPLVDNGIERSSRPDGRSLKHGGSSSSAAFARNIPRPASNQTNSLYRISEGPKANVGPSKQPMTHGGVSSGSILTQTSSHVLQGRSASGPMHPSDKISNGKVQSHQNQLETSLPVNNGFSNFGSSAYGRTSVDKLRSKTHDGRTLSDLNGNAELLGEQNRGPRTNKSKNQLAVKAYTAKVGDNNGLGNIVIQTDQYNKDDFSTDYLDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQTAFEDAQKLAVGRPRGCPIFLFFSVNASGQFCGVAEMIGPVDLHRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKTFKNHPLRTSILDDFMYYENRQKIMQDEKARLMFKSFRSPLFVPALNPAIELNGLVQQPPHKDERMKHNDLNNLKKTDGNKYEKIMNPNDCNSWKKVETNKDEKIIDHDDLHSFKNTGTSAIEQLSSDSDVTISSRGKDSGQVTVDADDDIGSVLKIGSLDINPKQTESNSLLSAANKSADIVTVGSMPVKVNGMTESSGFLTVGTIPLDPRSVQLDKDGAVGKQGSQY >Potri.010G152300.7.v4.1 pep chromosome:Pop_tri_v4:10:16184143:16188372:-1 gene:Potri.010G152300.v4.1 transcript:Potri.010G152300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152300.v4.1 MYNEGTPEFVAGQGLFYPAATNYGYYCTGFETPVEWEDHQKIFGVDGPEIQYAGAQTESLPYVYYTPSYGHAQSPYNPYNPYIPGAMVGIDGSYAGAQQYYTISPYQDPVSSPGYISVAVQPEVFPYGLAGPLVDNGIERSSRPDGRSLKHGGSSSSAAFARNIPRPASNQTNSLYRISEGPKANVGPSKQPMTHGGVSSGSILTQTSSHVLQGRSASGPMHPSDKISNGKVQSHQNQLETSLPVNNGFSNFGSSAYGRTSVDKLRSKTHDGRTLSDLNGNAELLGEQNRGPRTNKSKNQLAVKAYTAKVGDNNGLGNIVIQTDQYNKDDFSTDYLDAKFFVIKSYSEDDVHKSIKYNVWSSTPHGNKKLQTAFEDAQKLAVGRPRGCPIFLFFSVNASGQFCGVAEMIGPVDLHRDMDFWQQDKWSGSFLVKWHIIKDIPNSSFRHIILENNENKPVTNSRDTQEIMYKQGLEMLKTFKNHPLRTSILDDFMYYENRQKIMQDEKARLMFKSFRSPLFVPALNPAIELNGLVQQPPHKDERMKHNDLNNLKKTDGNKYEKIMNPNDCNSWKKVETNKDEKIIDHDDLHSFKNTGTSAIEQLSSDSDVTISSRGKDSGQVTVDADDDIGSVLKIGSLDINPKQTESNSLLSAANKSADIVTVGSMPVKVNGMTESSGFLTVGTIPLDPRSVQLDKDGAVGKQGSQY >Potri.017G000800.1.v4.1 pep chromosome:Pop_tri_v4:17:43615:50361:1 gene:Potri.017G000800.v4.1 transcript:Potri.017G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000800.v4.1 MAEGGTTLEYTPTWVVAVVCSVIVLISLIVERTLHYLGKLLKRKHQKPLFEALQKIKEELMLLGFISLLLTVFQGRINTICISKDLSKKMLPCKDESKETTTAHFQTFFSFVPGGISRRLLAEASSANSCSEGKVPMLSTTALHHLHIFIFVLACVHVVFCVLTILFGSARIRQWKLWEDSISKKEQDPEEAQGPKFTHVKDHDFIKSRYLGFGKNSYILGWVHSFFKQFYASVTRSDYTTLRLGFIMTHCRGNPKFDFHKYMMRVLEADFKKVVGISWYLWLFVVIFLCLNVSGWHAYFWIAFIPFILLLAVGTKLEHVIIQLAHEVAEKHVAVQGDLVVRPSDDHFWFNKPKIVLLLIHIILFQNSFELAFFFWIWVQYGFDSCIMGQVGYIIPRLVIGAFVQFLCSYSTLPLYAIVTQMGSSFKREIFEEHIQEGLVGWAKQAKKKAGLRRTANESSHNQVGPKEESPLIQMAKAGSRETAVEENKSGEIVHGTAS >Potri.014G041300.3.v4.1 pep chromosome:Pop_tri_v4:14:2701992:2706345:-1 gene:Potri.014G041300.v4.1 transcript:Potri.014G041300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041300.v4.1 MLLQAIPATTKGAERYFDPRAVCFPLDSATMCPPASSPVADIDFHCRDEELFMFLYKLTSGSPLPGNVITDVNPYIYAPSNLPEDIWYLLRVKENDDTGLGFWKVKGEACKLFSNSAITGWRTTFEFFEGQVPHERKTDWLMQEYWITQKEHIEKRKAKEARSLCRVFLGGEQALDHENQLIASPHSDTHIDLTQSIGPGTLADTSHGSSSNPEVNKDDETENMVATGRPPNLPVANLPEIDCFSRGDYLELLDLDNPASRSSSSDNSSCMTMSSDEYFDSIALLRDLESESNQALAQKDKDCKLSVAASLRPDEVVVLPASHGSYISNEGGKPHAVETDSSINGNGHRDLDIQQAVRNQGANHWGEGPSSNFHAVGASSGGHVASPDEEEKAARRRRKKSKKKYLCFVPFYFFF >Potri.015G129100.1.v4.1 pep chromosome:Pop_tri_v4:15:13999474:14002063:1 gene:Potri.015G129100.v4.1 transcript:Potri.015G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129100.v4.1 MGRQPCCDKLGVKKGPWTAEEDKKLVSFILSHGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLNDDEEKLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLIKMGIDPVTHGSLSKQVSPQESTVSCHTNYDQPIINADNQQVLPKICAHASSRTDNSSTTTTPTENSSVDECVGSSEPNNDNDPTMSFIWSEAFLDDSSWNFQATREDYSEFGVSNSSSDQDSYSTWFLDCKDLGDEFFGLSCFSDMDLSILDMGGKH >Potri.004G126720.1.v4.1 pep chromosome:Pop_tri_v4:4:12724209:12726213:-1 gene:Potri.004G126720.v4.1 transcript:Potri.004G126720.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126720.v4.1 MAFQEVYLHLEARSPTKLAFFMQSLYAHTIGHMISTYSLSQRLGGMYCLYCLYETQPFKPPFKMYFSLGELKKLKTLVINAKEHGIKGVPALVKRMLEKNMFLFGFVDLHEGSVSETVNQLTELQDARVQVAYKKLFDDIRIEQFLHMDMVGM >Potri.002G171400.2.v4.1 pep chromosome:Pop_tri_v4:2:13194153:13194725:1 gene:Potri.002G171400.v4.1 transcript:Potri.002G171400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171400.v4.1 MGPARRICAHSPLLKKGVERGLITPFTIGSMMCRETLKKGSVIKEIVEEAEDSVLPVSSEAAFLERASQIMDHRLDETAGSA >Potri.012G034100.1.v4.1 pep chromosome:Pop_tri_v4:12:3073727:3075771:1 gene:Potri.012G034100.v4.1 transcript:Potri.012G034100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034100.v4.1 MADSDDKIHVAMFPWLAFGHMMPWLELAKLFAAKGHKISFISTPRNIDRLPKPPADVSSTLHFVKLPLPQVEGLPPDAEATIDLPANKVQYLKTALDKVQEPFAKVLESLNPDWIFYDFAQYWTGPIAAQLGIKSTYFSICIAAMVAFLGPPSPLIDGDDYRKKPEDFTIPPKWVSFQTTVAYKYYDIMNTFDCVEDDASGVNDLKRWGLCLQSCDFIAVRSSFEIEPEWLQVLETIHEKPVFPVGQLPPVEYELEEKNSDAWSSMKKWLDMQEKSSVVYVAFGSEAKPSQAQLTELALGLELSGLPFFWVLRTRRGITDTDLIELPPGFEERTKGQGVVCTTWAPQLMILAHESIAGFLTHSGWSSVVEALTFQKALILLTFYSDQGINARVLEEKKIGYSIPRNELDGSFTRDSVAESLRLVMVSEEGKMYRDKAKEMSGLFGDRDRQDKYVDNILIYLKSHRPVKKAKRFVF >Potri.012G085800.2.v4.1 pep chromosome:Pop_tri_v4:12:11142697:11143337:1 gene:Potri.012G085800.v4.1 transcript:Potri.012G085800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085800.v4.1 MSKGSAYQTRQRQGSNTTSGDYHDYISRLSKMPSIIHGAPQYPSVHKAFNNKVTQEEEVNEARQDNVNKKNPARSTKKVRVKEQVQVIDQNGNRKSEDIEEDVDDEADGFIKQKQKGFELCKWKTFKFT >Potri.003G074200.8.v4.1 pep chromosome:Pop_tri_v4:3:10189967:10194618:-1 gene:Potri.003G074200.v4.1 transcript:Potri.003G074200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074200.v4.1 MGKKKKRAASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEDENPSKAAKVDIPSAQLVGGMVPGPLGAGYPPRPLAAMQPIYNSAVPAPHAGWPVPRSQHWLPQHPAVSIPPPAPITYTQQPLFPVQNVRPPVPSTMTPALIPSQVTPPGLPSSTPSVSQPLFPVNNNLPQSSTFSAPFPSTSLLPSSPAEVRGSMNVHLGVNTSMTTGYLTQSASASGTLGNTHSYASGPNTGGPSIGPPPVIANKAPVIQPAVNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQVSCDYPFTIWSWFARLTRWVGFMLFVFRYSCLRIVTYLERYFCMNFQFFFFLACQQTHSLQMVMCLVVKYLLTSNVHWLMGMLFLFLFSAIMWFACQCRRFVVVR >Potri.003G074200.3.v4.1 pep chromosome:Pop_tri_v4:3:10190027:10194620:-1 gene:Potri.003G074200.v4.1 transcript:Potri.003G074200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074200.v4.1 MGKKKKRAASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEDENPSKAAKVDIPSAQLVGGMVPGPLGAGYPPRPLAAMQPIYNSAVPAPHAGWPVPRSQHWLPQHPAVSIPPPAPITYTQQPLFPVQNVRPPVPSTMTPALIPSQVTPPGLPSSTPSVSQPLFPVNNNLPQSSTFSAPFPSTSLLPSSPAEVRGSMNVHLGVNTSMTTGYLTQSASASGTLGNTHSYASGPNTGGPSIGPPPVIANKAPVIQPAVNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQMSSIDAAIDRRILEGRLAGRMAF >Potri.003G074200.9.v4.1 pep chromosome:Pop_tri_v4:3:10189969:10194655:-1 gene:Potri.003G074200.v4.1 transcript:Potri.003G074200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074200.v4.1 MGKKKKRAASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEDENPSKAAKVDIPSAQLVGGMVPGPLGAGYPPRPLAAMQPIYNSAVPAPHAGWPVPRSQHWLPQHPAVSIPPPAPITYTQQPLFPVQNVRPPVPSTMTPALIPSQVTPPGLPSSTPSVSQPLFPVNNNLPQSSTFSAPFPSTSLLPSSPAEVRGSMNVHLGVNTSMTTGYLTQSASGLIVAPC >Potri.003G074200.2.v4.1 pep chromosome:Pop_tri_v4:3:10189990:10194658:-1 gene:Potri.003G074200.v4.1 transcript:Potri.003G074200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074200.v4.1 MGKKKKRAASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEDENPSKAAKVDIPSAQLVGGMVPGPLGAGYPPRPLAAMQPIYNSAVPAPHAGWPVPRSQHWLPQHPAVSIPPPAPITYTQQPLFPVQNVRPPVPSTMTPALIPSQVTPPGLPSSTPSVSQPLFPVNNNLPQSSTFSAPFPSTSLLPSSPAEVRGSMNVHLGVNTSMTTGYLTQSASASGTLGNTHSYASGPNTGGPSIGPPPVIANKAPVIQPAVNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQMSSIDAAIDRRILEGRLAGRMAF >Potri.003G074200.7.v4.1 pep chromosome:Pop_tri_v4:3:10189992:10194659:-1 gene:Potri.003G074200.v4.1 transcript:Potri.003G074200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074200.v4.1 MGKKKKRAASKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLAAHYGEEEDENPSKAAKVDIPSAQLVGGMVPGPLGAGYPPRPLAAMQPIYNSAVPAPHAGWPVPRSQHWLPQHPAVSIPPPAPITYTQQPLFPVQNVRPPVPSTMTPALIPSQVTPPGLPSSTPSVSQPLFPVNNNLPQSSTFSAPFPSTSLLPSSPAEVRGSMNVHLGVNTSMTTGYLTQSASASGTLGNTHSYASGPNTGGPSIGPPPVIANKAPVIQPAVNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQVSCDYPFTIWSWFARLTRWVGFMLFVFRYSCLRIVTYLERYFCMNFQFFFFLACQQTHSLQMVMCLVVKYLLTSNVHWLMGMLFLFLFSAIMWFACQCRRFVVVR >Potri.001G006200.1.v4.1 pep chromosome:Pop_tri_v4:1:414192:417676:-1 gene:Potri.001G006200.v4.1 transcript:Potri.001G006200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006200.v4.1 MGISSSCPFSKYSDVDTGLESVIVKSISFGDDEAKTPVRMISFGDQDYEPAISKSLGSGKMVVERSVSFKGGELERMMSIRASPLDKEKDASTKSVSINSKEMDNQPLMSDDSLEMIRKSAIFNPKSPKHEAAVKLQKVYKSFRTRRKLADCAVLVEQSWWKLLDFAELKQSSISFFDIEKHESAISRWSRARTRAAKVGKGLSKNDKAQKLSLQHWLEAIDPRHRYGHNLHFYYLKWLQSKSREPFFYWLDIGEGKEVNLDKCPRSKLQQQCIKYLGPMERKAYEVVVKDGKLVYKESGELLHSTEDAKWIFVLSTSKTLYVGKKMKGKFQHSSFLAGGVATAAGRLVVDGGVLKAVWPHSGHYRPTEENFKDFLSFLRENNVDLTDVKTCSTDGEDEVLYKQRSCKHLRNNSSDEDLSHAVNDLETKEVQDLTPENTYSVDEKTSSVLEQQKPRQLINFGRKLTILKVPERCELVEGLKSTEQHSSEPNHNMFDEELEGNDAEKIPDEAIMERINSKKGITSYQLGSQVSCKWTTGAGPRISCVRDYPSELQFRALEQVNLSPRSTGLLARKASTPTNFSGELRPPTGIPVSAG >Potri.001G012700.1.v4.1 pep chromosome:Pop_tri_v4:1:881968:883283:-1 gene:Potri.001G012700.v4.1 transcript:Potri.001G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012700.v4.1 MGANGVFNPNIKGEEKESLSHIKKVPGTKPPFTLGQIKKAIPPHCFERSLLRSFSYVVYDLLISSLLGYIAITYFHLLPSHLAYIAWPSYWILQGCILTGLWVIAHECGHHAFSDYRWVDDTVGLILHSALFVPYFSWKYSHRRHHSNIGCLDRDEVFVPKPKSQIPWYSMYLNNPPGRALGLAVKLLLGWPLYLAFNASGRPYDRFACHYDPYSPIYSDRERLDIYISDLGIFATTFVLYRIAITQGLAFVMSIYGVPLIFVNGFLVTITYLQHTHPSLPHYDSTEWEWLRGALVTVDRDYGILNKVFHNIADTHVAHHLVATIPHYHAMEATIAIKQILGEYYQFDSTPFYKALWREAGECLYVEPDEKGVFWFRNKF >Potri.008G099800.1.v4.1 pep chromosome:Pop_tri_v4:8:6228037:6229690:-1 gene:Potri.008G099800.v4.1 transcript:Potri.008G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099800.v4.1 MHSTMAPLITFKLSMFIILTLQPPPTLSLTPCRTSCGSIAINYPFGIDDGCGAPQFRHMLNCSTDLFFLTPSGDYKVQHIDYDKKTMTIYDPAMSTCSILQPHHDFIMTDIQSVTISPTPDTVFALLNCSIDSPVLNHYKNLCFSFSGHSCDELYGACNAFRVFHLLTNSSPPCCFTGYDTVKFMSMNILDCTHYTTVINTDSLMGIVPSDWVYGIKLSYSVPETGCERCSQSGGTCGYDTETEGMMCLCSSSSNYTRECAGGSLTAGDHRSSHSPWTFFNVAILLVLSSLPVI >Potri.017G149100.1.v4.1 pep chromosome:Pop_tri_v4:17:14791752:14794006:1 gene:Potri.017G149100.v4.1 transcript:Potri.017G149100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G149100.v4.1 MFLRAIGRPLLAIVKQTTGIVGLDVVPNARAVLINLYTKTLKEIKAVPEDEGYRKAVETFTKHRLTVCEEEEEWEKIEERIGCGQVEELIEEAQDELKLIGKMIEWDPWGVPDDHECEIIENDAPIPKHVPRHIPGPLPAEFYQTLEAVLTKRVEPKGAPAVTSGESQSKA >Potri.005G077700.1.v4.1 pep chromosome:Pop_tri_v4:5:5286264:5290623:-1 gene:Potri.005G077700.v4.1 transcript:Potri.005G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077700.v4.1 MGSASSKVDKTEPLALCKERRKFIKQAIDSRYNLAAAHVSYINSLRNIGVALRRFAEAEVLIESSLSTTSATELDKSPSHSSYLSPSPSHNAEVSDSPLHFESPISPPVLNMSYMRAGGGGNAVTVKFNLNNSSDFVEDESLGFSMPPPPPPPFESGGSWDFFDPSDTGESFRFVGHGDLDMEFDDIGGWNEFRSERVGVEHSVVDAKGKWTEVGLDKNSRVHEETLKPGVEQNGVENSGNSITQNGSCNFIVEGTATSSRLRAVEGSSGQVLVGQMRHVEEGQNVNVSTLGQSGSKREKAVAVNNLSAEREDPSEFITHRAKDFLSSIKDIEHRFFRASESGKEVSRMLEANNIRVGYTEAKGGSSASAILVAVKFVCCRGKTALVSHEPMEHMTKVITWKRTASLRSSSSRNPLVTATKDDASDSGNDFVEEFCMIAGSHSSTLDRLYAWERKLYDEIKAGESIWKEYDRKCDQLRHQFAKDQSVHVIDKTRAVVKDLHSRIRVAIHSVDSISKRIEKIRDEELQPQLLELIQGLIRMWKNMLECHHAQYITISLAYHSRRSTETPQGDTRRQIMSQLQQEIECFGFSFANWINSHASYVEAVNGWLQNCILQPQERSKSRRPFSPRRLLAPPLFVLCRDWSAGIKGLPSEELNNAIRTLLTDLHHLMEQQEEQLQKEDKVVDVNNGESVGKENERNDDVSSSLYCIHAILTKVLDRLNNFSEASLKMYEDIRQKTEAARVSYLNCRPLRC >Potri.005G077700.3.v4.1 pep chromosome:Pop_tri_v4:5:5286326:5290626:-1 gene:Potri.005G077700.v4.1 transcript:Potri.005G077700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077700.v4.1 MGSASSKVDKTEPLALCKERRKFIKQAIDSRYNLAAAHVSYINSLRNIGVALRRFAEAEVLIESSLSTTSATELDKSPSHSSYLSPSPSHNAEVSDSPLHFESPISPPVLNMSYMRAGGGGNAVTVKFNLNNSSDFVEDESLGFSMPPPPPPPFESGGSWDFFDPSDTGESFRFVGHGDLDMEFDDIGGWNEFRSERVGVEHSVVDAKGKWTEVGLDKNSRVHEETLKPGVEQNGVENSGNSITQNGSCNFIVEGTATSSRLRAVEGSSGQVLVGQMRHVEEGQNVNVSTLGQSGSKREKAVAVNNLSAEREDPSEFITHRAKDFLSSIKDIEHRFFRASESGKEVSRMLEANNIRVGYTEAKGGSSASAILVAVKFVCCRGKTALVSHEPMEHMTKVITWKRTASLRSSSSRNPLVTATKDDASDSGNDFVEEFCMIAGSHSSTLDRLYAWERKLYDEIKAGESIWKEYDRKCDQLRHQFAKDQSVHVIDKTRAVVKDLHSRIRVAIHSVDSISKRIEKIRDEELQPQLLELIQGLIRMWKNMLECHHAQYITISLAYHSRRSTETPQGDTRRQIMSQLQQEIECFGFSFANWINSHASYVEAVNGWLQNCILQPQERSKSRRPFSPRRLLAPPLFVLCRDWSAGIKGLPSEELNNAIRTLLTDLHHLMEQQEEQLQKEDKVVDVNNGESVGKENERNDDVSSSLYCIHAILTKVLDRLNNFSEASLKMYEDIRQKTEAARVSYLNCRPLRC >Potri.006G054200.1.v4.1 pep chromosome:Pop_tri_v4:6:3831695:3832687:1 gene:Potri.006G054200.v4.1 transcript:Potri.006G054200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054200.v4.1 MLQLFFAVAFSAMPLTLYVPPIRSLSLLVETIEDFFRQTSLYTVRAYPRIRDVFSSIFRNIFNLFR >Potri.001G136400.1.v4.1 pep chromosome:Pop_tri_v4:1:11122028:11129349:-1 gene:Potri.001G136400.v4.1 transcript:Potri.001G136400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136400.v4.1 MGCMFSQLAAQFAFFPPSPPTYQIKKGDNGKLSVVSTSSPSMPLPLADDNSLDVLMIDTKRGNKIVAFYLKNPYARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNLMGYDYSGYGASSGKPSESNTYADIEAVYECLQTQYGVSQEELILYGQSVGSGPTLHLAAKLPRLRGVVLHSAILSGLRVLCHVKFTFCFDIYKNINKIRKVKCPVLVIHGTEDDVVNWLHGDGLWKMAKEPYEPLWIKGGGHCNLELYPDYIRHLCRFIHEMENLTTEIRLKKIRQNLCLKPRSKTDSNKCCRFKLWRPKCPECLRPRCIKCSWGPRCAECWRPRCSSCVKCCWRAPRCPKCPRPSCPKCPKPSCPKCPRPSCCCRIRCLPWRCCFGKQSGMNRKQDG >Potri.010G187100.2.v4.1 pep chromosome:Pop_tri_v4:10:18374311:18374999:1 gene:Potri.010G187100.v4.1 transcript:Potri.010G187100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187100.v4.1 MSSNQQLREELDARARRGETVIPGGTGGRSLEAQEHLAEVLSLLFAGRSRGGQTRKEQLGTEGYQEMGRKGGLSTTDESGGERAEREGIPIDESKFKTKS >Potri.010G187100.1.v4.1 pep chromosome:Pop_tri_v4:10:18374311:18374999:1 gene:Potri.010G187100.v4.1 transcript:Potri.010G187100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187100.v4.1 MSSNQQLREELDARARRGETVIPGGTGGRSLEAQEHLAEGRSRGGQTRKEQLGTEGYQEMGRKGGLSTTDESGGERAEREGIPIDESKFKTKS >Potri.004G187400.2.v4.1 pep chromosome:Pop_tri_v4:4:20027547:20028403:-1 gene:Potri.004G187400.v4.1 transcript:Potri.004G187400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187400.v4.1 MATIPSFFYNQRANSIFDPVSAFDVWDPLKDFPFTSPHSLISRENSAFVNTRIDWKETPEAHVFEADLPGLKREEVKVEIEDDRVLQISGERNVEKEDQNDTWHRVERSCGKFLRRFRLPENAKMDHVKASMENGVLTVTVPKEEVKKPEVKAIDISS >Potri.004G217900.2.v4.1 pep chromosome:Pop_tri_v4:4:22370822:22372970:-1 gene:Potri.004G217900.v4.1 transcript:Potri.004G217900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217900.v4.1 MNQLLSSSRSVATATATATLIYSLTFQSLKQFSSTTTTKPTKWNSPTNTIITHPILLAMESCTSMLQLKQIQAHMTKTALISHTFPVSRVLAFCALSDSGDINHAHLLFSQLQNPNTYIWNTMIRGYSKAKMGQTGFLFFCQMVQKGVEMDCRSFVFALKACEQFLGVLEGKSVHCVVWKMGFVYTLLVQNGLVHFYGLRGCLGLARLVFDEISERDVVSWTSMIDGYSKHKWCDEALKLFDSMLMYGVVEPNEVTMIAVLSSCSQKGDLILGKTFLEYVKTRNVTRSLNLMNAILDMYVKCGCLDSAREIFDTMGVRDVFSWTSMVNGYAKNGELEMARTLFNEMPERNAVSWNAMIAGYSQNNQPKEALELFHNMLEAAFVPMENTLACVLPVCGQLGCLDVGQWIHRNYVRMRYNEISVILANALIDMYAKCGVIHEAAKVFNDMPERNLVSWNSMITAYASHGHAKQALSVFERMISGGFKPDDITLVGVLSACSHGGLVAEGQEYFQNMKRKYGIEPKNEHYACMIDLLGRVGLLEDAYELITKMPMEPSAAAWGALVHACRMHGNVEVAKIAAPRLLELDPEDSGIYVLLANICASGRRWGDVKMARRMMRERRVKKIPGRSIVEVEGQFHEFLAGDESHPQSEGIYNALDQLFAMSKLEDCFVK >Potri.010G151500.1.v4.1 pep chromosome:Pop_tri_v4:10:16135271:16137854:-1 gene:Potri.010G151500.v4.1 transcript:Potri.010G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151500.v4.1 MIVSSRKTGTETADMMCNVIDVQYQLCLAKDQQNMTPSTPVFDSISTEISRFESVVSCTETLNETVVESSAAKPFPCIRSGSYADIGTRLSMDDEHIRIDDLSAHLGYFKCPSSFYAVFDGHGGPDAAAYVKRNAMRLFFEDFDLPQISDIDDIFLKELINSHRKAFLLADRALADESIVNSSCGTTALTALVLGRHLVVANAGDCRAVLCRKGVAVDASQDHKPSYLPERRRVEELGGYIEDEYVNGYLSVTRALGDWDFKLPLGSTSPLIAEPDVQRFMLSEDDEFMIIGCDGIWDVMSSQHAVSLVRRGLRRHNDPELSARELVMEASSLHSADNLTAVVVCFSSPNPVESCPPQRRRLRCFCLSEEARNKLKTLFEGN >Potri.004G179628.1.v4.1 pep chromosome:Pop_tri_v4:4:19387253:19389486:-1 gene:Potri.004G179628.v4.1 transcript:Potri.004G179628.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179628.v4.1 MVVSSLIAISSPLTTTIYLPVKSPNLYTKILGKMSKIQCSLSVSSQSKTAQFDLKTYWTTLIGEINQELDQAVPIQYPDKIYEAMRYSVLAKGAKRAPPVMCVAACELFGGNRLAAFPTACALEMVHAASLIHDDLPCMDDDPSRRGQPSNHTIYGVDMAILAGDALFPLGFSHIVSQTPSDLVPEPRLLRVIAEIARAVGSRGMAAGQFLDLEGGPNAVEFVQEKKYGEMGECSAVCGGLLAGATDDEIRRLRRYGRAVGVLYQVVDDILEAKTMKSKLDEEEKRKKGKSYVAVYGVEKATEVAEELRAKAKKELDGFEKYGESVVPLYSFVDYAADRGFSFGESI >Potri.004G213600.1.v4.1 pep chromosome:Pop_tri_v4:4:22041107:22042843:1 gene:Potri.004G213600.v4.1 transcript:Potri.004G213600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213600.v4.1 MSNQYSEDHGEATVVGFEVPRSPDSSYNNVYPGNEDEVRDPPSVPQHLQHSLLSYPVSADTSETLPLPQNVILNHLYIENREAPRSVVALGFTHRFHSKFVTVVLYKPVQRRGSTST >Potri.005G226400.2.v4.1 pep chromosome:Pop_tri_v4:5:22707799:22709490:1 gene:Potri.005G226400.v4.1 transcript:Potri.005G226400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226400.v4.1 MSFEEDDESFEHTLLVVREVSVYKIPPRSTAGGYKCGEWLQSDKIWSGRLRVVSCKDRCEIRLEDPNSGDLFAACFVNPGQRENSVETVLDSSRYFVLKIEDGGGKHAFVGLGFAERNEAFDFNVALSDHEKYVRRENEKESGETSESDAHIDIHPAVNQRLKEGETIRINVKPKPSAGAGMLSAAGLSGGVSTTGKPKPLAIAPPPTGVGKLRSPLPPPPNDPAAARMTAGNHGGIGLKVSPKESTRWSTDSLSDLSPLERNLPSTTSGSTKTTASGWAAF >Potri.004G065600.1.v4.1 pep chromosome:Pop_tri_v4:4:5622090:5624839:1 gene:Potri.004G065600.v4.1 transcript:Potri.004G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065600.v4.1 MLEAIACTGKARSLVLFHLINWLSLSKVWKCMLFCHLQADVEGYELKPVALGTSRELHVDQSCFAIGNPYEY >Potri.004G065600.2.v4.1 pep chromosome:Pop_tri_v4:4:5621640:5624919:1 gene:Potri.004G065600.v4.1 transcript:Potri.004G065600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065600.v4.1 MLEAIACTGKARSLVLFHLINWLSLSKVWKCMLFCHLQADVEGYELKPVALGTSRELHVDQSCFAIGNPYEY >Potri.016G074800.1.v4.1 pep chromosome:Pop_tri_v4:16:5630894:5633382:1 gene:Potri.016G074800.v4.1 transcript:Potri.016G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074800.v4.1 MDHHHQPTNQKHHLLYFPLVIKRELVYAISFLIVVASSFFVFDLLGSFDPRSVFRFSFIKNDKDSSHSACDYSRGRWVRDESYKNLSYTENCPFLDPGFRCVLNGRKDVDYRNWRWQPEGCDLPRFNASEILNRGRNGRVVFAGDSVGRNQWESFLCMLAQGVSNKSSIYEENGNPITKHKGFLSMRFSEYNLTVEYYRAPFLVFIGRPPRNSPAGIKMTIKVDQLHWFSRNWEGADVLMFNTGHWWNEDKTVKMGNYFEDGGKVNMSLNVMEAFQKSLQTWKSWGENLSPEGTRVFFRGYSPVHYRNATWDEGGRCDVDIQPEANYIMLEPEPVYNQIISTVIKEMDYGDRKVRFLNITHLSQFRYDGHPSRHREPGTPVDAPQDCSHWCLPGIPDTWNEILYANLLSMGFRNR >Potri.004G008800.1.v4.1 pep chromosome:Pop_tri_v4:4:509183:510430:1 gene:Potri.004G008800.v4.1 transcript:Potri.004G008800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G008800.v4.1 MASLQASSFLFSCSCSTRINAAISVPKLPGIRISVPRNALNVVEELNLRDGFTSTIPIEKNTATNTRNIDQEPATTRATVKLYAILEAVADRVEMHKNVGEQRDNWNKLLLDSINMIILTAATMAGVSAGAPLLALKLSSTLLFSAATGMLLIMNKIQPSQLAEEQRNATRLFKQLYRQIQTTLALRDPTELDVKDAMEKTLALDKAYPLPLLGSMIEKFPEKFEPAVWWPKSNETQGRKQPKKVTTQGKNGWSEDLEEEMREVIEVIKKKDSEDYMRLGNLALKVNKILAISGPLLTGIAAAGSAFVGHGSWAAIVAVTAGALASTVSTFEHGGQVGMVVEMYRNCAGFFTLLEETIESTIQEGDLEKREGEMFEMNVALKLGRSLSQLRDLARKSSSSHADGTSIDEFASKLF >Potri.014G163600.1.v4.1 pep chromosome:Pop_tri_v4:14:11798852:11800033:-1 gene:Potri.014G163600.v4.1 transcript:Potri.014G163600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163600.v4.1 MSLHSSRVQFVPQKPETLTPELPHGYRFRPDDEELVRFYLYPRITNPKLFTTIHTPIRDTHIFGDQAKEPWQIWESFPKRHGEDLFFFTQLIKKGRNFVRKISCGPGTWHQEFKDPPFNVSIDPDCKVSVIRRLFTYQNPKSDQNGSWFMFEYSLPSLSEQIVLCRLRKKEVHSTETVQTTTTATTKKRKRDADSEIVDDATNTIPQKPRIDELDQHQQQIMGFYVAVENQQQHLELEPVFDNWAEFDHSECIYFENVQDLESYLMADDSDITSTSNVAPEPIIYAFNPTENLQSIEVECVENDGEAASTQDDDFCFKMIDFSGGYDEADGVLASQATAEASVYWVQATPDLDGTCTADAVDYGLEHGVCDSSLTNWSGSFSQMLLEANYLPD >Potri.006G201900.5.v4.1 pep chromosome:Pop_tri_v4:6:20935280:20940263:1 gene:Potri.006G201900.v4.1 transcript:Potri.006G201900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201900.v4.1 MEFWYLVDRSAIQVQIYPPIVFSRHFQYDYLLTIKVISHSGDCKPSGGGQKSVQGAISLQTALPEYYAHFDLGFGQPVICAKYPVVDQCYGLFSTFGPQISGRIMLPMSTTTDDVPIYVNAKQYHGIIRRRKSRAKAALENKLPRNRKPYMHRSRHLHAMRRPRGCGGRFLNTKELNDGKGITEAKKAGDFQLSQPTGSQSSEVLESGGATLNSMEANCGGSNLSGSEVTSLYNRVDFDRFPFNHHGPTVHGFSGMDGGHGIGIGMPSKWIAAADNCSNLK >Potri.006G201900.6.v4.1 pep chromosome:Pop_tri_v4:6:20935283:20940264:1 gene:Potri.006G201900.v4.1 transcript:Potri.006G201900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201900.v4.1 MAMKTFYLKEHEGSVHNPNGQLSTVPWWTAFGSQAVNGESCGLLKALPIEQPAGGGETATKLARMGTEQGLDKGNITQFTIFPGDCKPSGGGQKSVQGAISLQTALPEYYAHFDLGFGQPVICAKYPVVDQCYGLFSTFGPQISGRIMLPMSTTTDDVPIYVNAKQYHGIIRRRKSRAKAALENKLPRNRKPYMHRSRHLHAMRRPRGCGGRFLNTKELNDGKGITEAKKAGDFQLSQPTGSQSSEVLESGGATLNSMEANCGGSNLSGSEVTSLYNRVDFDRFPFNHHGPTVHGFSGMDGGHGIGIGMPSKWIAAADNCSNLK >Potri.013G054800.1.v4.1 pep chromosome:Pop_tri_v4:13:3993002:3993903:-1 gene:Potri.013G054800.v4.1 transcript:Potri.013G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054800.v4.1 METKNEETFRLYYDDFEPFCQWKKDEHEILEIHLRGFKKQHLRVQVEEPGVVKITGERPIDGTLRSRFRKQIKIPKNCKTDEIRAKLSGGILQIILPKQTTAFPGKPGSTESITSESMPSNYLLYIESSNSTLEMNTKLALQVAGVLAVVVAFGAYAYKYCHYGHVEG >Potri.001G092500.1.v4.1 pep chromosome:Pop_tri_v4:1:7336507:7339034:1 gene:Potri.001G092500.v4.1 transcript:Potri.001G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092500.v4.1 MVTNDYVTIANGMMEDEFIKRHHKHDVKEHQCSSSLVKHIKAPVPLVWSLVRRFDQPQKYKPFVSRCIAQGDLQIGSVREVNVKSGLPATTSTERLELLDDDEHIFGMKIVGGDHRLKNYSSIVTVHPKVIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSERLAVQGRTEPIDRT >Potri.014G167900.2.v4.1 pep chromosome:Pop_tri_v4:14:12250084:12251281:-1 gene:Potri.014G167900.v4.1 transcript:Potri.014G167900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167900.v4.1 MNTVVNLSSPLITRLASSIQLGRRERERGTAHDALSLQQSRAGGESHWFDIRRKETESISYRSADERADCYSTKELWISRHGKNNQN >Potri.005G225000.8.v4.1 pep chromosome:Pop_tri_v4:5:22574490:22578238:-1 gene:Potri.005G225000.v4.1 transcript:Potri.005G225000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225000.v4.1 MDKLKLSEWGERLKTGGAQMSRLVSDKVKEILQTPTPESKMVDEATLETMEEPNWGLNLRICSMINSQEFSGTEIVKAIKRKISGKNSVSQRLSLDLLEACTSNCEKVFSEVASEKVLDEMVRMIEIPQTDQGNRDRALQLIRAWGESEDLEYLPVFHQTYMSLKERSLPTPPVGDGSSFPMQYSLESFVHQDPLSPPESYPIPDTGLHGADHGTLPYNSGGVSIEEKNETLVTTRNSLELLSSILNAETEPKPVKQDDLTVSLVDKCKQSQPVIQRIIESTTDDEAMLFEALNLHDELQQVILRYNELEAGIKSREHLPESSSNTGANILPAQVEPHNETKIADTPEGPAQAEPRNETRIADPPEGPAQAEPRKETRIADPPEGPAQAEPRNETKTADPPKGESAEFSSQKKIDEREFS >Potri.005G225000.9.v4.1 pep chromosome:Pop_tri_v4:5:22574418:22578397:-1 gene:Potri.005G225000.v4.1 transcript:Potri.005G225000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225000.v4.1 MDKLKLSEWGERLKTGGAQMSRLVSDKVKEILQTPTPESKMVDEATLETMEEPNWGLNLRICSMINSQEFSGTEIVKAIKRKISGKNSVSQRLSLDLLEACTSNCEKVFSEVASEKVLDEMVRMIEIPQTDQGNRDRALQLIRAWGESEDLEYLPVFHQTYMSLKERSLPTPPVGDGSSFPMQYSLESFVHQDPLSPPESYPIPDTGLHGADHGTLPYNSGGVSIEEKNETLVTTRNSLELLSSILNAETEPKPVKDDLTVSLVDKCKQSQPVIQRIIESTTDDEAMLFEALNLHDELQQVILRYNELEAGIKSREHLPESSSNTGANILPAQVEPHNETKIADTPEGPAQAEPRNETRIADPPEGPAQAEPRKETRIADPPEGPAQAEPRNETKTADPPKGESAEFSSQKKIDEREFS >Potri.005G225000.7.v4.1 pep chromosome:Pop_tri_v4:5:22574630:22578500:-1 gene:Potri.005G225000.v4.1 transcript:Potri.005G225000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225000.v4.1 MDKLKLSEWGERLKTGGAQMSRLVSDKVKEILQTPTPESKMVDEATLETMEEPNWGLNLRICSMINSQEFSGTEIVKAIKRKISGKNSVSQRLSLDLLEACTSNCEKVFSEVASEKVLDEMVRMIEIPQTDQGNRDRALQLIRAWGESEDLEYLPVFHQTYMSLKERSLPTPPVGDGSSFPMQYSLESFVHQDPLSPPESYPIPDTGLHGADHGTLPYNSGGVSIEEKNETLVTTRNSLELLSSILNAETEPKPVKDDLTVSLVDKCKQSQPVIQRIIESTTDDEAMLFEALNLHDELQQVILRYNELEAGIKSREHLPESSSNTGANILPAQVEPHNETKIADTPEGPAQAEPRNETRIADPPEGPAQAEPRKETRIADPPEGPAQAEPRNETKTADPPKGESAEFSSQKKIDEREFS >Potri.005G105800.1.v4.1 pep chromosome:Pop_tri_v4:5:7615757:7619070:1 gene:Potri.005G105800.v4.1 transcript:Potri.005G105800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105800.v4.1 MSFPALLNPPQTPKLHALLSLPPSLKFSSFKILKFPQKIHSFSPSLQSQFAIQNYDFEDQDHAIGGCLLFEEGIFEDPYLETNSNAIEDPKLKTFKKKQKRVVPTIEAENLVPEKWRDAQAEINIGKKERRKIAQEMEYNKKFERKKKGLVPIRSVNLEEYQAFKEAKLAQLKPLVLGNPESFKEEEKMKEDEVEVKEIVSERVKGKHPRWAVYGRGLDDVREFLNSEDYEPGEHKSEGKRKLFTKEEKVLLNKRVPDLAVANSSKWLPLHTLAASGEFHLMDALLKHNVDINAADVNGWTALHRAIVCKKQAITSYLLRESADPFVRDAEGATLMHYAVQTASAPAIKLLLLYNVDINLQDNDGWTPLHLAVQTQRTDIVKLLLIKRADRTLKNKDGSTPLDLCLSSGRDTRTYELIKLLKQFMKKPTLAKNPYNASASQLL >Potri.005G168600.7.v4.1 pep chromosome:Pop_tri_v4:5:16914190:16919588:-1 gene:Potri.005G168600.v4.1 transcript:Potri.005G168600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168600.v4.1 MLRNLLWATSKHDVYLMQNYSAMHWSSLLRRGKEVLNVAKPVAPTRQSPGLLSQSLSRVQISTMAVKENLMVAGGFQGELICKCLDQPGVAFCSKITTGENAITNAVDVYRNHNGSMRIMAANNDAQVRVFDAETFASLNCFSFDWSVNNTSVSPDGKLLAVLGDSAECLIADANSGKVTGSLKGHLDYSFSSAWHPDGLILATGNQDTTCRLWDIRNLSQSLAVLKGNMGAIRALKFTSDGKFLAMAEPADFVHVFDTQSDYLKCQEIDLFGEIAGISFSPDTEALFVGVADRTYGSLLEFNRRHYNFYLDSIF >Potri.005G168600.1.v4.1 pep chromosome:Pop_tri_v4:5:16914037:16919601:-1 gene:Potri.005G168600.v4.1 transcript:Potri.005G168600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168600.v4.1 MDHFHNNDLEYVVNDPFEDDFFSEDEPQRNDDSDDAMNSDFEDDFVSSKPKTDTSALEARNGKDIQGIPWERLNFTRDKYRETRLKQYKNYENLSRPRFELHKECLEVEKGKTFYDFEFNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSAMHWSSLLRRGKEVLNVAKPVAPTRQSPGLLSQSLSRVQISTMAVKENLMVAGGFQGELICKCLDQPGVAFCSKITTGENAITNAVDVYRNHNGSMRIMAANNDAQVRVFDAETFASLNCFSFDWSVNNTSVSPDGKLLAVLGDSAECLIADANSGKVTGSLKGHLDYSFSSAWHPDGLILATGNQDTTCRLWDIRNLSQSLAVLKGNMGAIRALKFTSDGKFLAMAEPADFVHVFDTQSDYLKCQEIDLFGEIAGISFSPDTEALFVGVADRTYGSLLEFNRRHYNFYLDSIF >Potri.005G168600.6.v4.1 pep chromosome:Pop_tri_v4:5:16914053:16919569:-1 gene:Potri.005G168600.v4.1 transcript:Potri.005G168600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168600.v4.1 MLFSSVCICIVQLRNLLWATSKHDVYLMQNYSAMHWSSLLRRGKEVLNVAKPVAPTRQSPGLLSQSLSRVQISTMAVKENLMVAGGFQGELICKCLDQPGVAFCSKITTGENAITNAVDVYRNHNGSMRIMAANNDAQVRVFDAETFASLNCFSFDWSVNNTSVSPDGKLLAVLGDSAECLIADANSGKVTGSLKGHLDYSFSSAWHPDGLILATGNQDTTCRLWDIRNLSQSLAVLKGNMGAIRALKFTSDGKFLAMAEPADFVHVFDTQSDYLKCQEIDLFGEIAGISFSPDTEALFVGVADRTYGSLLEFNRRHYNFYLDSIF >Potri.015G003800.1.v4.1 pep chromosome:Pop_tri_v4:15:236521:238318:-1 gene:Potri.015G003800.v4.1 transcript:Potri.015G003800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003800.v4.1 MASMQIVLASSCKNVEAQHVEMMVPLYSHGCEKKVKKTLSHLKGIYSVNVDYYQQKVTVWGICNKHDVLATIKSKRKEARFWNPQEMEEEESQPPSPPPPPPKDSSTIPSLTLMKARSLTRSLSWKVWKKVFTRTYSF >Potri.017G086200.1.v4.1 pep chromosome:Pop_tri_v4:17:9896806:9898546:1 gene:Potri.017G086200.v4.1 transcript:Potri.017G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086200.v4.1 MENNCVYSEEDEQMELPPGFRFHPTDEELITHYLSQKVLDNYFCARAIGEVDLNKCEPWDLPWRAKMGEKEWYFFCVIDRKYPTGLRTNRATDAGYWKATGKDKEIYRAKTLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKNPVYNPPKTAKNDWVICRIFEKSCGGKKTHISGLVRLSSYGNELKPTILPPLMDSSQHNNDKRTNIGDKSHVTCFSNPTEDQKPHETIADCFNISLRAPLSSSNMSPSSVLFSKPSPPNSFYSSHILPNIANFQYPDSVMMPEHSMLRILLENQGPGMNLNSKRELSEDTGLSTDMSSVVTNHELVHGSFEDPSSSAGPVDLDYLWNY >Potri.003G068300.1.v4.1 pep chromosome:Pop_tri_v4:3:9550893:9553710:1 gene:Potri.003G068300.v4.1 transcript:Potri.003G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068300.v4.1 MKLFSWMQNKINGKQGNCRKPNTVLSATQHVKQESREEFSDWPHGLLAIGTFGNNELRDNNEIQDVEEDPSPSDDLQDFTPEEIGKLQKELTELLTRKPSSQDKEKEIANLPLDRFLNCPSSLEVDRRISNTVISDVDNHEDDIERTISVILGRCKDICENNNKKKAIGKRSISFLLKKIFVCTSGFAPQPSLRDTLHESRMEKLLRTLLHKKINPQSTSRASSMKKYIEDRSTPKKDKEDDEKRDKTSNGSKWVKTDSEYIVLEI >Potri.012G059500.1.v4.1 pep chromosome:Pop_tri_v4:12:5922576:5923554:-1 gene:Potri.012G059500.v4.1 transcript:Potri.012G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059500.v4.1 MVITSPQHDSSSSSSPKRLSPGFLVSISCLMALCAKHASRVSSKLKTANKPTYKNNCSTINKSPRHSPRLPLARPKQLLTQISNKAITLMHRRRKDDRENVDVLLGPDEFGDGGVWQKAILMGDKCQPLDFSGVIYYDGSGKQLNEVPLKSPRASPLPAYLIRPPK >Potri.010G090900.9.v4.1 pep chromosome:Pop_tri_v4:10:11586866:11595759:1 gene:Potri.010G090900.v4.1 transcript:Potri.010G090900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090900.v4.1 MELKKHQDQRLPERKGQKRKLEEEIEEEQREISAVEEAAAAPYGEARKVILNEVYAQVNILNSTFSWDEAHRATAKRATHVLAELAKNEEVVNLIVEGGAVPALVKHLQVPPSSEIDHDNSKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSHLVSLLKRQRDVHKDGSDSRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPALILMLRSDAAAIHYEAVGVIGNLVHSSPSIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFSLYGLADNEDNVSDFISVGGVQKLQDGEFSVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFINNSGLDLLLGLLGSSSPKQQLDGAIALYRLANKATILSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVTLGIAQDLLRAADQLKADV >Potri.010G090900.11.v4.1 pep chromosome:Pop_tri_v4:10:11586627:11593315:1 gene:Potri.010G090900.v4.1 transcript:Potri.010G090900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090900.v4.1 MELKKHQDQRLPERKGQKRKLEEEIEEEQREISAVEEAAAAPYGEARKVILNEVYAQVNILNSTFSWDEAHRATAKRATHVLAELAKNEEVVNLIVEGGAVPALVKHLQVPPSSEIDHDNSKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSHLVSLLKRQRDVHKDGSDSRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPALILMLRSDAAAIHYEAVGVIGNLVHSSPSIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFSLYGLADNEDNVSDFISVGGVQKLQDGEFSVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFINNSGLDLLLGLLGSSSPKQQLDGAIALYRLANKATILSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYRVRSCNCADVMVYQPFFVSLLGKGARDGCFQTLFCHPIFCCYLNSFN >Potri.010G090900.12.v4.1 pep chromosome:Pop_tri_v4:10:11586854:11593921:1 gene:Potri.010G090900.v4.1 transcript:Potri.010G090900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090900.v4.1 MELKKHQDQRLPERKGQKRKLEEEIEEEQREISAVEEAAAAPYGEARKVILNEVYAQVNILNSTFSWDEAHRATAKRATHVLAELAKNEEVVNLIVEGGAVPALVKHLQVPPSSEIDHDNSKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSHLVSLLKRQRDVHKDGSDSRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPALILMLRSDAAAIHYEAVGVIGNLVHSSPSIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFSLYGLADNEDNVSDFISVGGVQKLQDGEFSVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFINNSGLDLLLGLLGSSSPKQQLDGAIALYRLANKATILSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFVGM >Potri.010G090900.8.v4.1 pep chromosome:Pop_tri_v4:10:11586868:11593701:1 gene:Potri.010G090900.v4.1 transcript:Potri.010G090900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090900.v4.1 MELKKHQDQRLPERKGQKRKLEEEIEEEQREISAVEEAAAAPYGEARKVILNEVYAQVNILNSTFSWDEAHRATAKRATHVLAELAKNEEVVNLIVEGGAVPALVKHLQVPPSSEIDHDNSKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSHLVSLLKRQRDVHKDGSDSRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPALILMLRSDAAAIHYEAVGVIGNLVHSSPSIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQVKKITQFQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFSLYGLADNEDNVSDFISVGGVQKLQDGEFSVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFINNSGLDLLLGLLGSSSPKQQLDGAIALYRLANKATILSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYRVRSCNCADVMVYQPFFVSLLGKGARDGCFQTLFCHPIFCCYLNSFN >Potri.010G090900.13.v4.1 pep chromosome:Pop_tri_v4:10:11586856:11593701:1 gene:Potri.010G090900.v4.1 transcript:Potri.010G090900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090900.v4.1 MELKKHQDQRLPERKGQKRKLEEEIEEEQREISAVEEAAAAPYGEARKVILNEVYAQVNILNSTFSWDEAHRATAKRATHVLAELAKNEEVVNLIVEGGAVPALVKHLQVPPSSEIDHDNSKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSHLVSLLKRQRDVHKDGSDSRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPALILMLRSDAAAIHYEAVGVIGNLVHSSPSIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFSLYGLADNEDNVSDFISVGGVQKLQDGEFSVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFINNSGLDLLLGLLGSSSPKQQLDGAIALYRLANKATILSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGYDSMPTEFACLLPQMHFGQCLMVVTGKRMQGTLKFQISDGRFLS >Potri.010G090900.14.v4.1 pep chromosome:Pop_tri_v4:10:11586804:11593378:1 gene:Potri.010G090900.v4.1 transcript:Potri.010G090900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090900.v4.1 MLSPILLMRTAALKLVMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPALILMLRSDAAAIHYEAVGVIGNLVHSSPSIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQVKKITQFQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFSLYGLADNEDNVSDFISVGGVQKLQDGEFSVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFINNSGLDLLLGLLGSSSPKQQLDGAIALYRLANKATILSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYRVRSCNCADVMVYQPFFVSLLGKGARDGCFQTLFCHPIFCCYLNSFN >Potri.010G090900.10.v4.1 pep chromosome:Pop_tri_v4:10:11586688:11593379:1 gene:Potri.010G090900.v4.1 transcript:Potri.010G090900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090900.v4.1 MELKKHQDQRLPERKGQKRKLEEEIEEEQREISAVEEAAAAPYGEARKVILNEVYAQVNILNSTFSWDEAHRATAKRATHVLAELAKNEEVVNLIVEGGAVPALVKHLQVPPSSEIDHDNSKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSHLVSLLKRQRDVHKDGSDSRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPALILMLRSDAAAIHYEAVGVIGNLVHSSPSIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFSLYGLADNEDNVSDFISVGGVQKLQDGEFSVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFINNSGLDLLLGLLGSSSPKQQLDGAIALYRLANKATILSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYRVRSCNCADVMVYQPFFVSLLGKGARDGCFQTLFCHPIFCCYLNSFN >Potri.010G090900.7.v4.1 pep chromosome:Pop_tri_v4:10:11586856:11593740:1 gene:Potri.010G090900.v4.1 transcript:Potri.010G090900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090900.v4.1 MELKKHQDQRLPERKGQKRKLEEEIEEEQREISAVEEAAAAPYGEARKVILNEVYAQVNILNSTFSWDEAHRATAKRATHVLAELAKNEEVVNLIVEGGAVPALVKHLQVPPSSEIDHDNSKPFEHEVEKGSAFALGLLAVKPEHQQLIVDAGALSHLVSLLKRQRDVHKDGSDSRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECNALPALILMLRSDAAAIHYEAVGVIGNLVHSSPSIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVQPLIEMLQSPDVQLREMSAFALGRLAQVKKITQFQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFSLYGLADNEDNVSDFISVGGVQKLQDGEFSVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFINNSGLDLLLGLLGSSSPKQQLDGAIALYRLANKATILSPVDAAPPSPTPQVYLGEQYVNNATLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVTLGIAQDLLRAADQLKADV >Potri.001G330100.2.v4.1 pep chromosome:Pop_tri_v4:1:33871176:33875088:-1 gene:Potri.001G330100.v4.1 transcript:Potri.001G330100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330100.v4.1 MAETKLYGYKLTPSLSSLPSLTTLRRHVAPFPSLVSFNTPKPPRLRVLSMAIKRSPKRLKYSAPRFTKEDGLLYVEADELGSDTWKLEPVIELLKQGAVGVIPTDTVYAIVCDLKSNSAIERLRRIKNIEPSKPLSILCHSLRDIDTYTTGFPRGDGQGHADIFRAVKHCLPGPYTFILTASKELPKQCVRYGTTTAKYASRKNVGIRMPDDAICQAILEKMDAPLISTSVRSPKENEWMIDPVVIADIYGAEGLDFVVNGGIRVADPSTVVDMTGSSPKIIRQGKGPKLHWMVAEDDDESAFHVEDLIPSAT >Potri.011G033000.3.v4.1 pep chromosome:Pop_tri_v4:11:2657729:2660931:1 gene:Potri.011G033000.v4.1 transcript:Potri.011G033000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G033000.v4.1 MAEEFQAGICGENWWMNSSKSMFIGGLSPCSTVSLPSDHMGTYNGSWATADMVDLKPRSISCKESHNTTSVSDTSIAFLNSPKPQQANSDSGGSSNLIDSTLQMMGFGLSSSSSSSDWNQALLSGNGRTESYNSMLQEDMNSGGLNSSQIRKDWSPKSYARTAEDFSLDQQRLNPVNSSSNSPPTCQGFSTGFSMEPTASYCYPSTLIQSLFEPDHLQPQQVQSLFNNRPMNYLSPTAPNYGTNMSELSSPSWTKVSPLIKSCLQKQQASSLHFTNNTTYWNASPTGINDIRASFLPSSPSQFLLPTFQEKPNCPSLTIQPNREEVRDSVSVVKKGCEPAFKRPRIEAPSPLPTFKVRKEKLGDRITALQQLVSPFGKTDTASVLHEAIEYIKFLHDQATVLSTPYMKNGNPIQHQQAPEDKLNDLEGPKQDLRSRGLCLVPISSTFPVANETTADFWTPTFGGTFR >Potri.001G135000.2.v4.1 pep chromosome:Pop_tri_v4:1:11006372:11008529:1 gene:Potri.001G135000.v4.1 transcript:Potri.001G135000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135000.v4.1 MVGIFSRFSVGRGGHRRTQSALDEREVLPPNADVTGAAAVVAAAPHGIEVAVEFKPVEHPIEPLDNDQPIQCPLPEPSILNDGRLWKERVSATVHRRGDLPVMKEGGALESENVESRPRPRPNQSNRLILPSISAPEHNLLKLLEECNASGV >Potri.002G062200.5.v4.1 pep chromosome:Pop_tri_v4:2:4278907:4281562:-1 gene:Potri.002G062200.v4.1 transcript:Potri.002G062200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062200.v4.1 MDTKRTLQRSVLALTDETLISVSRVVNAARGDANDGVEQCDPQVITQASSLSKLPAEPSSVDIVVSICSSLEFPGDLLVKEIFRVLKPGGTILIYNSQQSVIGETDKAISGLQRKLLLAGFSEVEALQPKSVGLSNAIRSFGIQAKKPSWNIGSSFALKKSIKSSVKVQIDDDSDLIDEDSLLTEEDLKKPQPPLVGDCEVGSTRKACKNCTCGRAEAEETVKLGLTTDQLNNPQSACGSCWLGDAFRCSTCPYKGLPPFKLGEKVSLSENFLVADI >Potri.002G062200.4.v4.1 pep chromosome:Pop_tri_v4:2:4278917:4281561:-1 gene:Potri.002G062200.v4.1 transcript:Potri.002G062200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062200.v4.1 MDTKRTLQRSVLALTDETLISVSRVVNAARGDANDGVEQCDPQVITQASSLSKLPAEPSSVDIVVSICSSLEFPGDLLVKEIFRVLKPGGTILIYNSQQSVIGETDKAISGLQRKLLLAGFSEVEALQPKSVGLSNAIRSFGIQAKKPSWNIGSSFALKKSIKSSVKVQIDDDSDLIDEDSLLTEEDLKKPQPPLVGSTRKACKNCTCGRAEAEETVKLGLTTDQLNNPQSACGSCWLGDAFRCSTCPYKGLPPFKLGEKVSLSENFLVADI >Potri.006G161100.1.v4.1 pep chromosome:Pop_tri_v4:6:14574796:14577054:-1 gene:Potri.006G161100.v4.1 transcript:Potri.006G161100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G161100.v4.1 MGSGYFGEPNLGNNERGGSSRKGKKSNSDKPKQPQRGLGVAQLEKIRLHGQMASDYHPSLHRTPYATTFNQQEDIRVQTGYSPTAASSASFGYPNFMMGLGDYDRTNIRYGGDFQPPTAASWNSGHNIYEAQHYAQANATRHPWPLQVEDTPQKHSKKHRSSSMGSSSQNSESRDTQELDLELRLSI >Potri.005G024300.1.v4.1 pep chromosome:Pop_tri_v4:5:1536808:1538300:-1 gene:Potri.005G024300.v4.1 transcript:Potri.005G024300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024300.v4.1 MANSKIIIASVLISFLFLVAVLPYASNAKSLKSCGFDAIYQLGDSISDTGNFIQEKPSSVYARFPYGETFFNKPTGRCSNGRLMIDFIASSAGVPFLDAHLNPNGTFTRGHGVNFAVASSTALPADILSKKNIFPPTHSSLSVQLDWMFSYFNSICFNEQDCAEKLKNSLFMVGEIGVNDYTYAFFQGKIMEEVKNMVPDVVQAIKDAVTRVIGYGARRVVVPGNVPIGCFPIYLTGFQTNNTDAYDKFHCLKGLNNLSASHNDHLQQAIEELKKENPNVLIAYADYYNAFQWILTKAPNLGFDAKSVQKACCGTGGDYGFNALKMCGTPGVPVCPEPDRYISWDGVQLTEKAYQYMALWIIDDILPKLQCPA >Potri.005G024300.2.v4.1 pep chromosome:Pop_tri_v4:5:1536745:1538301:-1 gene:Potri.005G024300.v4.1 transcript:Potri.005G024300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024300.v4.1 MANSKIIIASVLISFLFLVAVLPYASNAKSLKSCGFDAIYQLGDSISDTGNFIQEKPSSVYARFPYGETFFNKPTGRCSNGRLMIDFIASSAGVPFLDAHLNPNGTFTRGHGVNFAVASSTALPADILSKKNIFPPTHSSLSVQLDWMFSYFNSICFNEQDCAEKLKNSLFMVGEIGVNDYTYAFFQGKIMEEVKNMVPDVVQAIKDAVTRVIGYGARRVVVPGNVPIGCFPIYLTGFQTNNTDAYDKFHCLKGLNNLSASHNDHLQQAIEELKKENPNVLIAYADYYNAFQWILTKAPNLGEHL >Potri.009G115500.2.v4.1 pep chromosome:Pop_tri_v4:9:9762367:9767324:-1 gene:Potri.009G115500.v4.1 transcript:Potri.009G115500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115500.v4.1 MEEKLIERLESAVARLEALSLRSGGVALSGGDDSAATDPSIVAFDDFIGTFFVRVSSAAEKIGGQVLEVTRILEQALNVQKELLIKIKQTRKPDLAGLAEFLKPLNEVIIKANAMTEGRRSDFFNHLKAAADSLTALAWIAYTGKDCGMSMPIAHVEESWQMAEFYSNKILVQYKSKDPNHVEWAKALKDLYLPGLRDYVKSHYPLGPVWSTTGKATASAPSKAPAPPPPPPASLFSSESSQPSSSKPKEGMAAVFQEISSGKSVTTGLKKVTDDMKTKNRADRTGVVAVTEKGGRASAPSFSKAGPPKLELQMGRKWVVENQIGKKNLVIDDCDAKQSVYVFGCKDSVLQIQGKVNNITIDKCTKMGVVFTDVVAACEIVNCTGVEVQCQGSAPTISVDNTGGCQLYLSKDSLGASITTAKSSEINVLVPGAEPGGDLAEHALPQQFIHAFKDGQFETTPVSHSGG >Potri.017G058100.1.v4.1 pep chromosome:Pop_tri_v4:17:4653137:4655023:-1 gene:Potri.017G058100.v4.1 transcript:Potri.017G058100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058100.v4.1 MTISSQNPETQNLEILEGFRFLPDDQELVRFYLYPKITNPNLLTTFNVPVRDCHLFGNQAREPWQIWDSFPKRHGEDLFFFTQHTKKGRYVVRKISGGPGKWHQEYKDPPFDVSIDPNCKVTVIRKVFTYLNPKSDHNGSWFLFEYSLPSLSQLTVLCQLRKKEVHNPETVNLQRSTTKKRKRVADIESVDDATNTILQKPRIDVLDHQQQHIMGFDGLVDTVSQVENQQQHLQLEPLCDNGLGFGYFESFSCEDEQDFGIYLMATDSDSISTSNAAPPSVSTFNPEENSRSLEFMSDEKDGDAASALDHDFCLQLLDLSGCYDEADGFPATKATARASAYWTQATPNLDESLTSDAVDYYLEHGVCDSSPTNWSGSFSQMLLEAPTCLIQEKEIHMDSNPSLVERNY >Potri.017G093500.1.v4.1 pep chromosome:Pop_tri_v4:17:10604931:10614302:-1 gene:Potri.017G093500.v4.1 transcript:Potri.017G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093500.v4.1 MNTALKLGSLPVMGINMTKNYGSLKASKVKVFAVDGAGDAEFPSFLPKEVEKIKDPFARSLSKRMKRLPVQIGMSESCIMSSCVKPLRQSNKTSPVVLLHCFDSSCLEWRCTYPLLEEAGLEAWAVDVLGWGFSDLETRPPCDVASKRHHLYQLWKSYIKRPMILVGPSLGASVAIDFTVHYPEAVEKLVLINPSVYAEGTGNLAKLPELVAYAGVSFLKSLPLRFYANMVAFNGIPFFTILDWTCVGRLHCLLPWWKDATVSFMLSGGYNVISQIKQVKHKTLIICGQHDQIVSYQHVVKLHSELSNAIIREVSDSGHLPHVDNPKCVAKLIADFAQGDADVNAKSQFCLAQLTALPRQ >Potri.004G168200.2.v4.1 pep chromosome:Pop_tri_v4:4:18614195:18615183:1 gene:Potri.004G168200.v4.1 transcript:Potri.004G168200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168200.v4.1 MNESDTYDKASWTKAMLHMFCDICIKAIKRSMRPNTYFNKAGWKFVIQTFKKQTRLSLTKAQLKNKCTELGTISAIDEWWKEKIQEMKGAKKFRHVGIEPSLCAKYDIMFSNIVATRQYFWTPSQELLSDKDNKAIGMRNTTNEKTNIEEGSGDSEEDAIPDFIHNVSTMRGIGMRAQFFDCLDQLVKLVSIARESTTIFRDKKGCNIEEVMEELHSIDGVNFDNTLHTFAIEFFCARSKR >Potri.003G124600.1.v4.1 pep chromosome:Pop_tri_v4:3:14463982:14469680:1 gene:Potri.003G124600.v4.1 transcript:Potri.003G124600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124600.v4.1 MFRSARWRGEKNKIKTVFKLQFHATQLPQLNVNALVVSVVPGDAGKPTVSLEKGILRQGSCRWDYPVHETVKYIRDVKTGKINERIYHFVVSTGSSKNSLVGEVSIDFADYAEATKASTVSLPFKNSKSNGVLHVSIQRLQENVEQSEVMEGEDANVKSQSRTLNTLLSNSNIDEGIDSHSSEDGPLINGAHTADLNVNDRTSSGSDITLSSSESSSGLNTPRELGLRNNMLQDPISFLSSQTQTSASHLSKANASAANYGEHRQQQWELSADSDHGTSTDDSTNSSQGNLIRERSQQVSDMDMEKLKAELVMLSRQADVSEMEIQTLRKQIVKESKRGQDLSREILGLKGERDMLKSECEKLKAFQKRMEEARSKNKSQFEGGDPWVLLEEVRQELNYEKDLNSNLRLQLQKTQESNAELILAVKDLDEMLEQKSKGTSDLSNKARSYENAISRSETDDDEEQKALEVLVKEHKDAKETYLLEQKIMDLCSEIEIYRRDRDELEMQMEQLALDYEILKQENHDMSYKLEQSQLQEQLKMQYECSPFFPNINEQEAQIESLENELKMQSEENFDSLATIKELETHIKSLEEELEKQAQEFEADLEAVTRARVEQEQRAIQAEEALRKTRLKNATAAEKLQEEFRRLSMQMASTFDANEKVAMKALAEASEHRMQKVQLEEMLQKANEELQSITDGYESKLHDLSNQLKLKMHQIEQMMMEIDDKSRLLEQLKKLDEEHGGASSQEIQGLKTELEMLTIENNNLLKQAEHKESMSLELEQIKTSIKHTEALVQKGDMERDELVGTISLLKKEAEKSLVELNRMRCLKDEKEAAMNVLQSEVGMLKAQCDNLKHSVFEDELEKEKLRKQLVQLKSELKKKEDALNSMEKKIKESSKRSAVSEGTKTNLRNNKSAPVPYGSKEVANLREKIKLLEGQIKLKETALEASASSFAEKERDLQNKIEELVSRLEELNQNSAIFCYNQPQKLSEDDIGVNSNGDVAEDYRNTDENPSSSYGTCKENGNSRLLIKSDHSTASEQEPKASCINNTDHNADKLLSELVTLKERNKTMENELKEMQERYSEISLKFAEVEGERQQLVMTLRNLKNARKS >Potri.012G137600.1.v4.1 pep chromosome:Pop_tri_v4:12:15105885:15108297:1 gene:Potri.012G137600.v4.1 transcript:Potri.012G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G137600.v4.1 MAGGLKTWRIILLSILLALSNWEHRACGSSPQVPCLFLFGDSLFDNGNNMVLATDVKASYLPYGVDFPYGSTGRCSNGLNLADVIAEQLGFENYIPPFGTGDCRDFMNGVNYASSGGGILDTTGSLLGQRYTMDLQLYYHKIIVSRIAKELGGADVARKYLGHCIYAVQIGYNDYLNNYFAEGYNSSKIYTPEQFAQLLVLTYEIQLERLYKEGARKIAVFGLIRIGCMPSYIQLFGADESSCVEKLNHAVQLFNNKLQKVIAKLNANLPVKFTYINSYEIDSENYTDLGFKITDKGCCEVPTGRIPCAPLTYPCLNRDEHVYWDGAHYTEARARIFAKRAYKRQFPVDARPYDISELAEVSNDEADGCSTCGSKIL >Potri.006G170700.4.v4.1 pep chromosome:Pop_tri_v4:6:17276374:17283968:1 gene:Potri.006G170700.v4.1 transcript:Potri.006G170700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170700.v4.1 MLYSMCNNRIEFLNKIDNPVDNQQGLYVMDTPMSTTNSTAGSNPGSNDDTPRVKLLCSFLGSIMPRPQDGKLRYVGGETRIVSLPRDISYEELMNKMRELYDGAMVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLGSGDGFTRLRIFLFSNTDQDGSAHYVDGDGRESERRYVDALNNLNEGPDFRRHHPDSPLMGPIDDIHLQEQFFNGMNLDGGLLSHRSGEMSISQYNLHHVAIAPRYNEMEGPWSPAYYSPRHHGHHDPRPLSEIPNSPPSARYRMQFGELPDKGMERMPEEYARLQLNQHPPFDHQAQYSENVVWMPAGVVGGDKGGFPGNLLHSPSVFEGNSVCEHCRGAFPRNQLHLEQLCMGNGLPQVANPGADCPPNRETFIVNADAKVHHPVYPREQNDPRAVYNETQGHESGWIVQHQLSPRADEARKHISGAARFTDHYIVDGPGMNYPPGHGNLVDGHHMSSHHRPGPELGNDVFHDQAVAAVHSLQVSPPEERAVRYGNFPYAYGSENLHTSPHGHAHPQTLWRNAQIPVHVTPYEASGAAPHVSSTVNPSFLRGTTEGSQRSGIGVDSQKPWVESSQKMLVFDGTNSLEYSYGHMLKLNPNANGVENNQSFAPEPLQPPLQHEMLNLSAKTVTSGYNPELSNTNVAEASKVEGTIFLGVENQANCVGKVENLDVSCMPCPDQDMIADMHGQAAFPEAVNSNFSRLAEESGDTVKAGERDPSAVPGDPNLSISRMSFLPDLIASVKKAALEEAEEVKARVKENADPANNDSISGEVDDKEPEAVNTHEEAELGSDNDNIKNNKIEPTKAEAEAIERGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKNHMIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWASDPSERPSFSEISRKLRNMAAAINVK >Potri.006G170700.1.v4.1 pep chromosome:Pop_tri_v4:6:17275999:17284030:1 gene:Potri.006G170700.v4.1 transcript:Potri.006G170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170700.v4.1 MLYSMCNNRIEFLNKIDNPVDNQQGLYVMDTPMSTTNSTAGSNPGSNDDTPRVKLLCSFLGSIMPRPQDGKLRYVGGETRIVSLPRDISYEELMNKMRELYDGAMVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLGSGDGFTRLRIFLFSNTDQDGSAHYVDGDGRESERRYVDALNNLNEGPDFRRHHPDSPLMGPIDDIHLQEQFFNGMNLDGGLLSHRSGEMSISQYNLHHVAIAPRYNEMEGPWSPAYYSPRHHGHHDPRPLSEIPNSPPSARYRMQFGELPDKGMERMPEEYARLQLNQHPPFDHQAQYSENVVWMPAGVVGGDKGGFPGNLLHSPSVFEGNSVCEHCRGAFPRNQLHLEQLCMGNGLPQVANPGADCPPNRETFIVNADAKVHHPVYPREQNDPRAVYNETQGHESGWIVQHQLSPRADEARKHISGAARFTDHYIVDGPGMNYPPGHGNLVDGHHMSSHHRPGPELGNDVFHDQAVAAVHSLQVSPPEERAVRYGNFPYAYGSENLHTSPHGHAHPQTLWRNAQIPVHVTPYEASGAAPHVSSTVNPSFLRGTTEGSQRSGIGVDSQKPWVESSQKMLVFDGTNSLEYSYGHMLKLNPNANGVENNQSFAPEPLQPPLQHEMLNLSAKTVTSGYNPELSNTNVAEASKVEGTIFLGVENQANCVGKVENLDVSCMPCPDQDMIADMHGQAAFPEAVNSNFSRLAEESGDTVKAGERDPSAVPGDPNLSISRMSFLPDLIASVKKAALEEAEEVKARVKENADPANNDSISGEVDDKEPEAVNTHEEAELGSDNDNIKNNKIEPTKAEAEAIERGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKNHMVTEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWASDPSERPSFSEISRKLRNMAAAINVK >Potri.006G170700.3.v4.1 pep chromosome:Pop_tri_v4:6:17275876:17283614:1 gene:Potri.006G170700.v4.1 transcript:Potri.006G170700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170700.v4.1 MLYSMCNNRIEFLNKIDNPVDNQQGLYVMDTPMSTTNSTAGSNPGSNDDTPRVKLLCSFLGSIMPRPQDGKLRYVGGETRIVSLPRDISYEELMNKMRELYDGAMVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLGSGDGFTRLRIFLFSNTDQDGSAHYVDGDGRESERRYVDALNNLNEGPDFRRHHPDSPLMGPIDDIHLQEQFFNGMNLDGGLLSHRSGEMSISQYNLHHVAIAPRYNEMEGPWSPAYYSPRHHGHHDPRPLSEIPNSPPSARYRMQFGELPDKGMERMPEEYARLQLNQHPPFDHQAQYSENVVWMPAGVVGGDKGGFPGNLLHSPSVFEGNSVCEHCRGAFPRNQLHLEQLCMGNGLPQVANPGADCPPNRETFIVNADAKVHHPVYPREQNDPRAVYNETQGHESGWIVQHQLSPRADEARKHISGAARFTDHYIVDGPGMNYPPGHGNLVDGHHMSSHHRPGPELGNDVFHDQAVAAVHSLQVSPPEERAVRYGNFPYAYGSENLHTSPHGHAHPQTLWRNAQIPVHVTPYEASGAAPHVSSTVNPSFLRGTTEGSQRSGIGVDSQKPWVESSQKMLVFDGTNSLEYSYGHMLKLNPNANGVENNQSFAPEPLQPPLQHEMLNLSAKTVTSGYNPELSNTNVAEASKVEGTIFLGVENQANCVGKVENLDVSCMPCPDQDMIADMHGQAAFPEAVNSNFSRLAEESGDTVKAGERDPSAVPGDPNLSISRMSFLPDLIASVKKAALEEAEEVKARVKENADPANNDSISGEVDDKEPEAVNTHEEAELGSDNDNIKNNKIEPTKAEAEAIERGLQTIKNDDLEEIRELGSGTYGAVYHGKWKGSDVAIKRIKASCFAGRPSERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKNHMVTEKIDVYSFGIVMWELLTGEEPYADMHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWASDPSERPSFSEISRKLRNMAAAINVK >Potri.003G011900.1.v4.1 pep chromosome:Pop_tri_v4:3:3268462:3271845:-1 gene:Potri.003G011900.v4.1 transcript:Potri.003G011900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011900.v4.1 MDSNYTAISKSSFVDLQIHDDIQNPLPQRKTHIKLLPLDDVERFADPKIGNGQSFEEDDDDDDDDFDIDDYPLVSKTKTSKGSGVHGAVFNLTTSIIGAGIMALPATMKVLGLVLGFVLIILMGILSEISVELLVRFSVLCKASSYGDVVRYALGKPSKVLSEICIIVNNAGVLVVYLIIIGDVMSGSLHHVGVLDQWLGNGFWDHRKVVILVVVVVFLAPLCALDKIDSLSLTSAASVALAVVFVVVCFVVALVKLIEGKIEAPRMTPDFGSKRAILDLLVVIPIMTNAYVCHFNVQPIYNELEGRTPQKMNRVGRITTVLCVVVYASTAVSGYLLFGKDTESDVLTNFDKDLGIRFSSALNYIVRIGYVLHLVLVFPVVHFSLRQTVDVLVFEGSAPLSESKKRSLALTAVLLALIFFGSTMIPNIWTAFKFTGATTAVSLGFIFPSLIALRLSQRGERLSIGEKFLSWLMLILAVIVSIVGLIGNIYSLQSSSE >Potri.002G102800.1.v4.1 pep chromosome:Pop_tri_v4:2:7519586:7522983:1 gene:Potri.002G102800.v4.1 transcript:Potri.002G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102800.v4.1 MNQSNEEVDQTRKPQSPSDLEPQKLAEVEDKYKNSNSHTSDYAPYPKLDPKDVAPSPENWANLSTGSTTQSKPPGPSPIAGTAATTMPAESNPYVSPGPVAPSSSKNTVEAVKDVLGKWGKKAAEATKKAEDLAGNMWQHLKTGPSFADAAVGRIAQGTKVLAEGGYEKIFQQTFETVPEEKLVKTFACYLSTSAGPVMGVLYLSTAKLAFCSDNPLSYKVGEQSQWSYYKVVIPLHQLKAVNPSTSKVNSAEKYIQIISADNHEFWFMGFVYYDNAVQSLQQALEHPAP >Potri.002G035900.1.v4.1 pep chromosome:Pop_tri_v4:2:2366515:2370384:1 gene:Potri.002G035900.v4.1 transcript:Potri.002G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035900.v4.1 MSLFNKKPNPKEALRDSKREMQNSTRGLEKEIGALQLEEKKLVAEIKRTAKTGNEAATKILARQLIRLRQQIANLQGSRAQMRGIATHTQAMHAQSSVAVGLKGANKAMEAVNKQMAPAKQMKVIREFQKQSAQMDMTTEMMSDAIDDAVDSDEAEEETDELTNQVLDEIGVDVASQLSAAPKGKIAGKNRENASSSGIDELEKRLATLRNP >Potri.012G133900.1.v4.1 pep chromosome:Pop_tri_v4:12:14917456:14919797:-1 gene:Potri.012G133900.v4.1 transcript:Potri.012G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133900.v4.1 METLLKIPFPIFSNNPHILTTKPTKPLKVSIKPPPPDFDFRSEILQESRATISRTYPELLDLANDGTLLLIEKKIFGPVPSWRTEFVEPEAIWLVGTTHISSQSAAEVERVVRAVKPDNVVVELCRSRAGIMYISDEGEVGQQLRSSMFSLSGTGFFGAVGRSINLGGQTALALRLLLALFSSKISSDVNRPFGDEFRAARKVAEEIGAQIVLGDRPIEITLERAWNSLKWREKLSLVIAVVRGITSSSDISKNNFKASSTDDRTFQLYEQLSFSYPSLLQPLIHERDTYLAWSLKRSKAVNNGKRVVGVIGKGHMNGVIYALISDQGNLRFRDLAGRRSSGDDGSNGFVAGLVKSLVRDTAIGILLWQLYEQLKGSL >Potri.005G065200.4.v4.1 pep chromosome:Pop_tri_v4:5:4228925:4234964:1 gene:Potri.005G065200.v4.1 transcript:Potri.005G065200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065200.v4.1 MALGKYSRVDNRRHNSSYYSTVTIVVFVGLCLVGAWMMTSSSVVPGQNVDVPAQENKNEVKQQVTESNEINTKQFEDNPGDLPEDATKGDNSMPEEKPEEKPEEKPEEKPEEKPDATKGDDSVPEEKPEEKPEEKPEEKPVEKTDEKSNEETKSDDGSDTETQNGVNNTEDVDAKTNDGETNTEDGGTKADDSEGNAAGQGDSEENSTEKKPDTDETETKSDENAGEDKDRETGNDQLDEKVDQKDDKDSDKSSDGQANNQSSGELLPSGAQSELSNETSTQSGSWSTQAAESKNEKETQQSSNQQKGYNWKLCNVTAGPDFIPCLDNLQAIRSLQSTKHYEHRERHCPEEPPTCLVLLPEGYKRPIEWPTSREKIWYHNVPHTQLAQYKGHQNWVKVTGEFLTFPGGGTQFQHGALHYIDFLNESVPGIAWGKRTRVILDVGCGVASFGGYLFDRDVLAMSFAPKDEHEAQIQFALERGIPAISAVMGTKRLPYPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKLAEDVEIWQAMTELTKAMCWELVSINKDTLNGVGVATYRKPTSNDCYEKRSKQEPPLCEASDDPNAAWNVPLQACMHKVPVGSLERGSQWPEQWPARLDKTPYWMLSSQVGVYGKPAPEDFTADYEHWKRVVSNSYLNGIGLNWSSVRNAMDMRSVYGGFAAALKELNVWVMNVVTADSPDTLPIIYERGLFGIYHDWCESFNTYPRSYDLLHADHLFSKVKKRCNLAAVFAEVDRILRPEGKLIVRDKVEIINELENMARSMQWEVRMTYSKDKEGLLCVQKSMWRPKESETINYAIA >Potri.005G065200.1.v4.1 pep chromosome:Pop_tri_v4:5:4228926:4235129:1 gene:Potri.005G065200.v4.1 transcript:Potri.005G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065200.v4.1 MALGKYSRVDNRRHNSSYYSTVTIVVFVGLCLVGAWMMTSSSVVPGQNVDVPAQENKNEVKQQVTESNEINTKQFEDNPGDLPEDATKGDNSMPEEKPEEKPEEKPEEKPEEKPDATKGDDSVPEEKPEEKPEEKPEEKPVEKTDEKSNEETKSDDGSDTETQNGVNNTEDVDAKTNDGETNTEDGGTKADDSEGNAAGQGDSEENSTEKKPDTDETETKSDENAGEDKDRETGNDQLDEKVDQKDDKDSDKSSDGQANNQSSGELLPSGAQSELSNETSTQSGSWSTQAAESKNEKETQQSSNQQKGYNWKLCNVTAGPDFIPCLDNLQAIRSLQSTKHYEHRERHCPEEPPTCLVLLPEGYKRPIEWPTSREKIWYHNVPHTQLAQYKGHQNWVKVTGEFLTFPGGGTQFQHGALHYIDFLNESVPGIAWGKRTRVILDVGCGVASFGGYLFDRDVLAMSFAPKDEHEAQIQFALERGIPAISAVMGTKRLPYPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKLAEDVEIWQAMTELTKAMCWELVSINKDTLNGVGVATYRKPTSNDCYEKRSKQEPPLCEASDDPNAAWNVPLQACMHKVPVGSLERGSQWPEQWPARLDKTPYWMLSSQVGVYGKPAPEDFTADYEHWKRVVSNSYLNGIGLNWSSVRNAMDMRSVYGGFAAALKELNVWVMNVVTADSPDTLPIIYERGLFGIYHDWCESFNTYPRSYDLLHADHLFSKVKKRCNLAAVFAEVDRILRPEGKLIVRDKVEIINELENMARSMQWEVRMTYSKDKEGLLCVQKSMWRPKESETINYAIA >Potri.005G065200.5.v4.1 pep chromosome:Pop_tri_v4:5:4228925:4235000:1 gene:Potri.005G065200.v4.1 transcript:Potri.005G065200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065200.v4.1 MALGKYSRVDNRRHNSSYYSTVTIVVFVGLCLVGAWMMTSSSVVPGQNVDVPAQENKNEVKQQVTESNEINTKQFEDNPGDLPEDATKGDDSVPEEKPEEKPEEKPEEKPVEKTDEKSNEETKSDDGSDTETQNGVNNTEDVDAKTNDGETNTEDGGTKADDSEGNAAGQGDSEENSTEKKPDTDETETKSDENAGEDKDRETGNDQLDEKVDQKDDKDSDKSSDGQANNQSSGELLPSGAQSELSNETSTQSGSWSTQAAESKNEKETQQSSNQQKGYNWKLCNVTAGPDFIPCLDNLQAIRSLQSTKHYEHRERHCPEEPPTCLVLLPEGYKRPIEWPTSREKIWYHNVPHTQLAQYKGHQNWVKVTGEFLTFPGGGTQFQHGALHYIDFLNESVPGIAWGKRTRVILDVGCGVASFGGYLFDRDVLAMSFAPKDEHEAQIQFALERGIPAISAVMGTKRLPYPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKLAEDVEIWQAMTELTKAMCWELVSINKDTLNGVGVATYRKPTSNDCYEKRSKQEPPLCEASDDPNAAWNVPLQACMHKVPVGSLERGSQWPEQWPARLDKTPYWMLSSQVGVYGKPAPEDFTADYEHWKRVVSNSYLNGIGLNWSSVRNAMDMRSVYGGFAAALKELNVWVMNVVTADSPDTLPIIYERGLFGIYHDWCESFNTYPRSYDLLHADHLFSKVKKRCNLAAVFAEVDRILRPEGKLIVRDKVEIINELENMARSMQWEVRMTYSKDKEGLLCVQKSMWRPKESETINYAIA >Potri.005G065200.3.v4.1 pep chromosome:Pop_tri_v4:5:4229741:4235042:1 gene:Potri.005G065200.v4.1 transcript:Potri.005G065200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065200.v4.1 MALGKYSRVDNRRHNSSYYSTVTIVVFVGLCLVGAWMMTSSSVVPGQNVDVPAQENKNEVKQQVTESNEINTKQFEDNPGDLPEDATKGDNSMPEEKPEEKPEEKPEEKPEEKPDATKGDDSVPEEKPEEKPEEKPEEKPVEKTDEKSNEETKSDDGSDTETQNGVNNTEDVDAKTNDGETNTEDGGTKADDSEGNAAGQGDSEENSTEKKPDTDETETKSDENAGEDKDRETGNDQLDEKVDQKDDKDSDKSSDGQANNQSSGELLPSGAQSELSNETSTQSGSWSTQAAESKNEKETQQSSNQQKGYNWKLCNVTAGPDFIPCLDNLQAIRSLQSTKHYEHRERHCPEEPPTCLVLLPEGYKRPIEWPTSREKIWYHNVPHTQLAQYKGHQNWVKVTGEFLTFPGGGTQFQHGALHYIDFLNESVPGIAWGKRTRVILDVGCGVASFGGYLFDRDVLAMSFAPKDEHEAQIQFALERGIPAISAVMGTKRLPYPGRVFDAVHCARCRVPWHIEGGKLLLELNRVLRPGGFFVWSATPVYQKLAEDVEIWQAMTELTKAMCWELVSINKDTLNGVGVATYRKPTSNDCYEKRSKQEPPLCEASDDPNAAWNVPLQACMHKVPVGSLERGSQWPEQWPARLDKTPYWMLSSQVGVYGKPAPEDFTADYEHWKRVVSNSYLNGIGLNWSSVRNAMDMRSVYGGFAAALKELNVWVMNVVTADSPDTLPIIYERGLFGIYHDWCESFNTYPRSYDLLHADHLFSKVKKRCNLAAVFAEVDRILRPEGKLIVRDKVEIINELENMARSMQWEVRMTYSKDKEGLLCVQKSMWRPKESETINYAIA >Potri.007G032000.1.v4.1 pep chromosome:Pop_tri_v4:7:2468402:2470335:1 gene:Potri.007G032000.v4.1 transcript:Potri.007G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032000.v4.1 MASSFNVYNSTSLAVAEAIESRSSWARASFQWGGTIFTIFLLILNRVGRKSSVQTTLLVFYLLTSFPTVLFKVVRGQFGYWIAFLAIAANLFFPETFPVSRFILFVISPDRLVDGLRNSIAGAIFCLLIGISSVIMEIREIAGNRILECSFLCWGYCLAISFLFFFTIKYLCLGTW >Potri.003G150700.1.v4.1 pep chromosome:Pop_tri_v4:3:16382550:16384083:-1 gene:Potri.003G150700.v4.1 transcript:Potri.003G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150700.v4.1 MNEVTSLCEFSTLSHHRQHRSPSFSSLISCLTETWGDLPLRVDDSEDMVIYNSLRDAVRFGWSPLDLTSPTATTATATITRTTSVVKAEPGYEPEPEAEPMKLVQEAGLNEAAVSKKVVAKGRHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTYETAEEAGLAYDRAAYRMRGSKALLNFPHKIGSNEPDPVRVTTKRREPETGLPAVDSGSAKTRRILVANGDELERERGSKVFQVAHHIMPLGEQLLVS >Potri.019G093700.1.v4.1 pep chromosome:Pop_tri_v4:19:13070398:13072424:-1 gene:Potri.019G093700.v4.1 transcript:Potri.019G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093700.v4.1 MAPSTRKCQLVIVLLGILIAGALPSYIVAQNCGCAANECCSRWGFCGTGNEYCGTGCQEGPCFAPAPTNDVSVPDIVTPEFFGGILDQANSSCVGKSFYSRDVFLEALGSYSRFGRIGSVDDSRREIAAFFAHVTHETGHFCSIEEINGPSRDYCDEDNTQYPCNPDKGYYGRGPIQLSWNYNYGPAGESIGFDGLNSPEVVANDPLISFKTALWYWMNFVQPVISQGFGETIRAINGALECDGGNSATVQARVRYYTNYCNQLGVAPGDNLTC >Potri.005G213200.1.v4.1 pep chromosome:Pop_tri_v4:5:21658432:21662873:-1 gene:Potri.005G213200.v4.1 transcript:Potri.005G213200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213200.v4.1 MESSNLKEAEGAMKGKKSNTHQEAETASTAKEKKLGSKEGSVSNKELYFRADKIDFKSWDIQLENHLSRAWSRDREVQPTRKEEWEIDLGKLDIRHVISYGTYGTVYRGNYDGQDVAVKVLDWGEDGIATAAETAALRASFKQEVAVWHKLDHPNVTKFVGASMGTSNLKIPSKSSSSDSVNSPPARACCVVVEYLPGGTLKKFLIRNRRKKLAFKIVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDATRTLKIADFGVARVEAQNPRDMTGETGTLGYMAPEVLDGKPYNRKCDVYSFGICLWETYCCDMPYPDLSFAEVSSAVVRQHLRPEIPRCCPSSLASVMRKCWDANSEKRPEMDEVVRLLEAIDTSKGGGMLPEDQSTGCLCFTPARGP >Potri.015G082800.3.v4.1 pep chromosome:Pop_tri_v4:15:10805770:10808638:-1 gene:Potri.015G082800.v4.1 transcript:Potri.015G082800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082800.v4.1 MSSASTATVTSSSTVPPRVVEEKTVQEELSLPILLADRVIKSAQEAESLRQDCSDLAKQVDRLSQMLRSAVRLAVSIPSLYDRPLRRIASDITRNLDRALTLVRKCKKHSGVLRQVFSITTTADFRKVSNLLESSIGDMKWLLSVFESDGGAHLSLPPIASNDPILAWVWSSISAVQMGQVKDRVDAANQLASLARDNDRNKKMIVEEGGILPLLKLLKEGASAEAQIAAATALSNIASDRERVRLIVDALGISMIVGVLGDSQTKVQISVANLVARMAALDDYAQDEFMRLNVTRPLVSLLSSHLDLEIASNNPVKTSIPSLIEMNKKLAYKNIKANYNSDSSSHGGSHSNKEREMETPEMQLKLKVSCAEALWKLSRGSVSNSRKITETKGLLCLAKIVEREKGELQFNCLMTIMEITAVAESNADLRRAAFKTNLPAAKAVLDQLLRVIQEESDPQLQIPAIRSIGCLARTFPARETRIMGPLVSHLGNRNVEVATEAAIALGKFASPENFNCSEHSKAIIEFDGVPPLMKLLRSGDQSQLQGLVLLCYLALNAGNSKALEQARALNALEGTARSVLAQHPELKDLFAKAIHHLTLYQAGAPLNRQSLAP >Potri.015G082800.7.v4.1 pep chromosome:Pop_tri_v4:15:10805668:10808638:-1 gene:Potri.015G082800.v4.1 transcript:Potri.015G082800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082800.v4.1 MSSASTATVTSSSTVPPRVVEEKTVQEELSLPILLADRVIKSAQEAESLRQDCSDLAKQVDRLSQMLRSAVRLAVSIPSLYDRPLRRIASDITRNLDRALTLVRKCKKHSGVLRQVFSITTTADFRKVSNLLESSIGDMKWLLSVFESDGGAHLSLPPIASNDPILAWVWSSISAVQMGQVKDRVDAANQLASLARDNDRNKKMIVEEGGILPLLKLLKEGASAEAQIAAATALSNIASDRERVRLIVDALGISMIVGVLGDSQTKVQISVANLVARMAALDDYAQDEFMRLNVTRPLVSLLSSHLDLEIASNNPVKTSIPSLIEMNKKLAYKNIKANYNSDSSSHGGSHSNKEREMETPEMQLKLKVSCAEALWKLSRGSVSNSRKITETKGLLCLAKIVEREKGELQFNCLMTIMEITAVAESNADLRRAAFKTNLPAAKAVLDQLLRVIQEESDPQLQIPAIRSIGCLARTFPARETRIMGPLVSHLGNRNVEVATEAAIALGKFASPENFNCSEHSKAIIEFDGVPPLMKLLRSGDQSQLQGLVLLCYLALNAGNSKALEQARALNALEGTARSVLAQHPELKDLFAKAIHHLTLYQAGAPLNRQSLAP >Potri.017G013700.1.v4.1 pep chromosome:Pop_tri_v4:17:960086:961189:-1 gene:Potri.017G013700.v4.1 transcript:Potri.017G013700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013700.v4.1 MAPKKLNASNSGCFKKASGDHDKKEIHYRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAAKAYDKAAREYRGAKAKTNFPFSGEVVNYDDDKQSSSHSSTVESSSSPVVSAAVTRQVGGGGVGGVVGMGGFPFVYQQQQQNVNVVAPVWFFDSVRPEFVTQRFPVRFDQVGLESAGGAQSDSDSSSMVDCQPRRSVLDLDLNLPPPLDA >Potri.015G072501.1.v4.1 pep chromosome:Pop_tri_v4:15:9793247:9794500:-1 gene:Potri.015G072501.v4.1 transcript:Potri.015G072501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072501.v4.1 MGNCQAIDAATLVIQHPNGKIENFYWPVSASEVMKTNPGHYVALLLSTTLYDPTNNNGECPNNGTANNNSLRVTRIKLLRPTDTLVLGHVYRLITTQEVTKGLWAKKQAKLKKNDPESEEKPERVKEKQGSGVDTRARRSEQEKKNQVTVKKERNRPRATTSANSAAIARSRAWQPSLRSILEAGSGS >Potri.001G166800.2.v4.1 pep chromosome:Pop_tri_v4:1:14208103:14212985:-1 gene:Potri.001G166800.v4.1 transcript:Potri.001G166800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166800.v4.1 MYSFEIPEVGGGSTFSIKVTWSQKLLYHEGQFSLNVPFSFPSFVNPIGKKISKREKILLNVNSGVGKEILCRCASHALKELRREVGKMGFLYDAEVLTWSSADFSFSYNVYSKDLFGGVLLQSPFLRDFDDRQMFCCYLFPGDNQSMKAFRKEVIFLIDISGSMKGNPFESAKNGLLSSLQKLNPEDSFNIIAFNVETYLFSSLMEQATKEAILKATQWLNDNLTADGGTNILAPLEQALKLLAETTDSIPLIFLITDGAVEDERDICNFVKGSLTSGGSISLRICTFGIGTYCNHYFLRMLAQIGRGHFDTAYDADSVDFRMQRLFATASSIILANITVDALESLDSLELLPFCIPDLSCGCPLIVSGRYSGNFPDSVKLSGILADMRKFTIDIKAQKAKDLPVDRVVARRQIDLLTANAWLSGSKELEQKVAKMSIQSGVPSEYTLMVLHHTLREEKASETILIQNVFNKINPLKKMDLQTKIMLGNLCVGFGNLSATAENIPPGTEETKSSDATEMFNAASNCCSRVVERCCCMCFIQTCSYMNNQCAIVLSQLCAALACFECMNCCIELCECG >Potri.001G166800.1.v4.1 pep chromosome:Pop_tri_v4:1:14208052:14215736:-1 gene:Potri.001G166800.v4.1 transcript:Potri.001G166800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166800.v4.1 MAREFATCVEYGLSLSKRIYYGKEMTPAVSAAMTRSMSSKSSELAESYLPTAVMAYAVVPEPELVDNPDVPSYQPYVHGRCEPPALIPLQMHGAVAMEIDCCFDHANVCFSGAWRVHCIKASRKCDVRIAVPMGEQGSLLGVEVDVTGRSYHSQLIQAEDANGNEKVSRGWNGRLIKGSMYSFEIPEVGGGSTFSIKVTWSQKLLYHEGQFSLNVPFSFPSFVNPIGKKISKREKILLNVNSGVGKEILCRCASHALKELRREVGKMGFLYDAEVLTWSSADFSFSYNVYSKDLFGGVLLQSPFLRDFDDRQMFCCYLFPGDNQSMKAFRKEVIFLIDISGSMKGNPFESAKNGLLSSLQKLNPEDSFNIIAFNVETYLFSSLMEQATKEAILKATQWLNDNLTADGGTNILAPLEQALKLLAETTDSIPLIFLITDGAVEDERDICNFVKGSLTSGGSISLRICTFGIGTYCNHYFLRMLAQIGRGHFDTAYDADSVDFRMQRLFATASSIILANITVDALESLDSLELLPFCIPDLSCGCPLIVSGRYSGNFPDSVKLSGILADMRKFTIDIKAQKAKDLPVDRVVARRQIDLLTANAWLSGSKELEQKVAKMSIQSGVPSEYTLMVLHHTLREEKASETILIQNVFNKINPLKKMDLQTKIMLGNLCVGFGNLSATAENIPPGTEETKSSDATEMFNAASNCCSRVVERCCCMCFIQTCSYMNNQCAIVLSQLCAALACFECMNCCIELCECG >Potri.002G026100.1.v4.1 pep chromosome:Pop_tri_v4:2:1735181:1736828:-1 gene:Potri.002G026100.v4.1 transcript:Potri.002G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G026100.v4.1 MALLIFVILFLSIIFLFLLKKNKISKRACFPPGPNGLPLIGNLHQLDSSNLPTQLWKLSQKYGPLMSLKLGFKRTLVVSSAKMAEEVLKTHDLEFCSRPLLTGQQKFSYNGLDVAFSPYGAYWREMKKICVVHLLNSTRVQSFRTNREDEVSHMIEKISKAALASKPFNLTEGMLSLTSTAICRTAFGKRYEDGGIEGSRFLALLNETEALFTMFFLSDYFPYMGWVDRLTGRAHRLEKNFREFDVFYQQIIDEHLDPERPKPDHEDILDVLLQIYKDRTFKVQLTLDHIKAILMNIFVAMRKAQEEVRKVIGDKGFVYEDDVQQLPYLKAVVKETMRLQPTAPLLVPRETTTECNIGGYEIPAKTLVYVNAWAIGRDTEVWENPYVFIPDRFLGSSIDLKGQDFELIPFGAGRRICPGIYMGIATVELSLSNLLYKFDWEMPGGMKREDIDVDHTQPGLAMHTRDALCLVPKAYAVMGNDA >Potri.002G070500.1.v4.1 pep chromosome:Pop_tri_v4:2:4937557:4939024:1 gene:Potri.002G070500.v4.1 transcript:Potri.002G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070500.v4.1 MGRDELFLTVPSLFRCPISLDVMKSPVSLCTGVTYDRSSIQHWLDSGHDTCPATMQILSSKDFVPNLTLHRLINLWTTTAATKSSALAPAVSEEKVRVWIEEIKSGKIERCLDSIVEFVSCGEVSRRFLVSFDGFLEAIVGVLNTNCVQIRVLESVIRVLSSLLLENGVNEKLHKLVFTSNSNCLPSFISVLRNGSLEYNIACVTVLESITINNQSKQLVAGTQDVLPVLLQLLKTDNDHQDLNEVVLSFLISVSITLSIKTRLVQLGLVEVLSSMLLSQNAAVSVVEKSLKALSMICTRADGRSAISVDPTCAGAIVERLMKVSKTATEDAVVVLWSMCCLFRDEKVLERVVRSNGVTKVLLIMQSEVGEGNVRRMCGDLIKVLRFGCKNGGGLGGAVSYETKTTHIMPC >Potri.012G044400.7.v4.1 pep chromosome:Pop_tri_v4:12:3986444:3987253:1 gene:Potri.012G044400.v4.1 transcript:Potri.012G044400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044400.v4.1 MGKLKCKSDYEDLRNARILENQARLQSLGIHKTLSDLRSLTSSPKWERRKWTKRVYETAILRRSDRLKRISSVESSTQYSNNLSLRRSSRLKEISTEPIKAVVMRKVKVGDESEEEEDEKRPANAPLVKVKGVMQIQLSPEASARRCSSKGRGTIYNSVFGICCHFCRYKIHPATVLFFLLAHLNCYVNYFIEGHGNSWKWVMGYIR >Potri.012G044400.5.v4.1 pep chromosome:Pop_tri_v4:12:3986306:3989984:1 gene:Potri.012G044400.v4.1 transcript:Potri.012G044400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044400.v4.1 MGKLKCKSDYEDLRNARILENQARLQSLGIHKTLSDLRSLTSSPKWERRKWTKRVYETAILRRSDRLKRISSVESSTQYSNNLSLRRSSRLKEISTEPIKAVVMRKVKVGDESEEEEDEKRPANAPLVKVKGVMQIQLSPEASARRCSSKGRGTIYNSVFGICCHFCRQKTLCSEEDCKRCSNLDPDEPCIGKTDCSFCHSPTGVFCRGCLQVRYGEDIEEVRENKKWMCPHCVEERGTNPYWICNSSRYGLQISCTSADG >Potri.012G044400.1.v4.1 pep chromosome:Pop_tri_v4:12:3986306:3991178:1 gene:Potri.012G044400.v4.1 transcript:Potri.012G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044400.v4.1 MGKLKCKSDYEDLRNARILENQARLQSLGIHKTLSDLRSLTSSPKWERRKWTKRVYETAILRRSDRLKRISSVESSTQYSNNLSLRRSSRLKEISTEPIKAVVMRKVKVGDESEEEEDEKRPANAPLVKVKGVMQIQLSPEASARRCSSKGRGTIYNSVFGICCHFCRQKTLCSEEDCKRCSNLDPDEPCIGKTDCSFCHSPTGVFCRGCLQVRYGEDIEEVRENKKWMCPHCVEERGTNPYWICNSSFCLRKRKMAPTGLAIFKARDMGYKSVAHLLMDELQRRNKLGR >Potri.012G044400.4.v4.1 pep chromosome:Pop_tri_v4:12:3986318:3989924:1 gene:Potri.012G044400.v4.1 transcript:Potri.012G044400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044400.v4.1 MGKLKCKSDYEDLRNARILENQARLQSLGIHKTLSDLRSLTSSPKWERRKWTKRVYETAILRRSDRLKRISSVESSTQYSNNLSLRRSSRLKEISTEPIKAVVMRKVKVGDESEEEEDEKRPANAPLVKVKGVMQIQLSPEASARRCSSKGRGTIYNSVFGICCHFCRQKTLCSEEDCKRCSNLDPDEPCIDIEEVRENKKWMCPHCVEERGTNPYWICNSSFCLRKRKMAPTGLAIFKARDMGYKSVAHLLMDELQRRNKLGR >Potri.012G044400.6.v4.1 pep chromosome:Pop_tri_v4:12:3986318:3989924:1 gene:Potri.012G044400.v4.1 transcript:Potri.012G044400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044400.v4.1 MGKLKCKSDYEDLRNARILENQARLQSLGIHKTLSDLRSLTSSPKWERRKWTKRVYETAILRRSDRLKRISSVESSTQYSNNLSLRRSSRLKEISTEPIKAVVMRKVKVGDESEEEEDEKRPANAPLVKVKGVMQIQLSPEASARRCSSKGRGTIYNSVFGICCHFCRQKTLCSEEDCKRCSNLDPDEPCIDIEEVRENKKWMCPHCVEERGTNPYWICNSSRYGLQISCTSADG >Potri.010G093000.2.v4.1 pep chromosome:Pop_tri_v4:10:11759083:11765160:1 gene:Potri.010G093000.v4.1 transcript:Potri.010G093000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093000.v4.1 MQATNKWKKNACGNHEEESKKKERHIVTWTQLEDDILRQQISLNGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPDEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENRSTHINLNNKRIMLHHGLNGDGAPESDPPIKKIRKSHIPGLAGNCNFGDRSHPQYGNQQPRPPFAVLAQNLHNVNVASQHHVSGVKEVSIDVQNSMIQRTFLKKDDPKITALMQQAELLSSLALKVSEENTDQSLENSWKVLQDFLNRSKENVHGQKICDIDFKLDDFKDLIEDLRSSNDGSRPSWRQPGLYEESPASSEYSTGSTLLPYPAPDKTEQTQAEIGALHKDIEIEMQMVRIDEENFVGACGKEIISSADITQVEMFPSCDEQTKNDIVVSASSSTEFSSPLQVTPLFRSLAAGIPSPKFSESERNFLLKTLGVESPCPGPSINPLQPPLCKRALLQSL >Potri.010G093000.1.v4.1 pep chromosome:Pop_tri_v4:10:11759083:11765166:1 gene:Potri.010G093000.v4.1 transcript:Potri.010G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093000.v4.1 MQATNKWKKNACGNHEEESKKKERHIVTWTQLEDDILRQQISLNGTENWAIIASKFKDKTTRQCRRRWYTYLNSDFKKGGWSPDEDMLLCEAQKIFGNRWTEIAKVVSGRTDNAVKNRFSTLCKKRAKYEALAKENRSTHINLNNKRIMLHHGLNGDGAPESDPPIKKIRKSHIPGLAGNCNFGDRSHPQYGNQQPRPPFAVLAQNLHNVNVASQHHVSGVKEVSIDAVQNSMIQRTFLKKDDPKITALMQQAELLSSLALKVSEENTDQSLENSWKVLQDFLNRSKENVHGQKICDIDFKLDDFKDLIEDLRSSNDGSRPSWRQPGLYEESPASSEYSTGSTLLPYPAPDKTEQTQAEIGALHKDIEIEMQMVRIDEENFVGACGKEIISSADITQVEMFPSCDEQTKNDIVVSASSSTEFSSPLQVTPLFRSLAAGIPSPKFSESERNFLLKTLGVESPCPGPSINPLQPPLCKRALLQSL >Potri.011G028500.1.v4.1 pep chromosome:Pop_tri_v4:11:2142217:2143779:1 gene:Potri.011G028500.v4.1 transcript:Potri.011G028500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028500.v4.1 MAMAMAASKLLFFFCPIFIYLLVLSIAQADPLYHFCSKTGNFTNSSDYKSNLNNLLSSFSSNTKIDYGFYNDSYGQNNDKVTAISLCRGDKKPETCRSCITNSSQVLTQLCPNQKEAYIWYDDCMLRYSNRFIVGSMEFGPHFWMYNVNNVTDEKEFYEKLNVLLGNLTNLAALGDSRRKFATGNATTENSQQKMYALVQCTPDLTRQQCSDCLNQAIKLIPTCCSKSQGGRVVSPSCHFRYEKDPFYELVTTTPPPSPAPLSVLPPPPQATPAPLSVLPPPPQATADAVPPRASTAAKIAKTSAIVQTTIANVLPILLLLWSLQHV >Potri.011G030900.3.v4.1 pep chromosome:Pop_tri_v4:11:2528965:2531972:1 gene:Potri.011G030900.v4.1 transcript:Potri.011G030900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030900.v4.1 MKANTILTWLAVSLAILIALSQSRAEETQPLSNNLKIDLFLDKIGKWSHHQIQSQETGLKLAPSMVIAGVLCFIAASVSSAGGIGGGGLYIPILTIVASLDLKTASSFSAFMVTGGSVANVMCNMFTRSAKFGGQTLVDYDIAILSEPCMLLGVSVGVICNLVFPEWLVTILFAVFLACSTFKTCQNGVFHWKLESEEVNRNESGNLENGLVEYETSTKESEEVISSVKEPLLGVELTSSVLRFPWMKLGILFIIWFSFSILYLLRGNRYGEGIIPMESCGFGYWVVSSLQIPLAIMFTAWILYRKESCQHQTINQQGMEDLTGGGTSNKLIFPVMALLAGMLGGVFGIGGGMLISPLLLHVGIAPEITAATCSFMVFFSSSMSALQYLLLGMEHVDTAIILSVICFVASLLGLLVVQRAIVKYGRASMIVFSVSTVMALSTVLMTSFGALNVWRDYNSGRNMGFKLPC >Potri.001G146100.9.v4.1 pep chromosome:Pop_tri_v4:1:12052894:12058264:-1 gene:Potri.001G146100.v4.1 transcript:Potri.001G146100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146100.v4.1 MACKGFLECLLKLLNFLLTLVGLAMIGYGIYLFVEYKRADDNVGLVSTPSDGQGLTLLGRPMLIAVSLSESILDKLPKAWFIYLFIAVGVILFVISCFGCIGAATRNGCCLTCYSVLIILLILVELGCAAFIFFDKSWKEVLPTDKSGDFDMIYKFLKENWNIVRWVALGIVILEALIFLLTLVVRAANRPVEYDSDDEFIASRQQTRQPLLNRPPAPAAGVPVTGTLDQRPGRNDAWSTRMREKYGLDTSEFSYNPSEPHRLQPAAAQPTEERSRCTIM >Potri.001G146100.8.v4.1 pep chromosome:Pop_tri_v4:1:12053049:12058264:-1 gene:Potri.001G146100.v4.1 transcript:Potri.001G146100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146100.v4.1 MACKGFLECLLKLLNFLLTLVGLAMIGYGIYLFVEYKRADDNVGLVSTPSDGQGLTLLGRPMLIAVSLSESILDKLPKAWFIYLFIAVGVILFVISCFGCIGAATRNGCCLTCYSVLIILLILVELGCAAFIFFDKSWKEVLPTDKSGDFDMIYKFLKENWNIVRWVALGIVILEALIFLLTLVVRAANRPVEYDSDDEFIASRQQTRQPLLNRPPAPAAGVPVTGTLDQRPGRNDAWSTRMREKYGLDTSEFSYNPSEPHRLQPAAAQPTEERSRCTIM >Potri.006G275200.7.v4.1 pep chromosome:Pop_tri_v4:6:26682004:26687579:-1 gene:Potri.006G275200.v4.1 transcript:Potri.006G275200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275200.v4.1 MSAISRPPNRLTEFKSGGKIVRPRRTTTRPTPYDRPTPRLSPISTPQNPNWLSRFILSPSRILATGAGKVFSTVFGSESSASSSSSSDVDEEEEGDSGSTSEGEMEDVNDGNGSSQSDEKENQTTEIVNYSKKDLPAVEWKTATLRVIAQLLMQETFSREECDRLTHIIKSRVVDSPITGSTKDGRPSKTLDKTVGNDVDTPDICNTAVTEAKKWFEGKKLGSNSKSVEYGTCILNTAPHATEGEMGSPVDLAKSYMRERPPWASPSTNHIQLQSPPSMGKELFVEATPFSVSGKSLSQSKLNRDFLVTGSWNIQEELRKVRSRATEEMLRTRPSSKMDWSALASAYKGGPSVLGAGEFSGAKNKLSNFTQLIDVPLKWGSAANNSGLTDTQMAQVRLQKDDFSPNAATSVPEKSQVTWLWDWEFSKKFFLLIWYIY >Potri.006G275200.1.v4.1 pep chromosome:Pop_tri_v4:6:26681947:26687571:-1 gene:Potri.006G275200.v4.1 transcript:Potri.006G275200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275200.v4.1 MSAISRPPNRLTEFKSGGKIVRPRRTTTRPTPYDRPTPRLSPISTPQNPNWLSRFILSPSRILATGAGKVFSTVFGSESSASSSSSSDVDEEEEGDSGSTSEGEMEDVNDGNGSSQSDEKENQTTEIVNYSKKDLPAVEWKTATLRVIAQLLMQETFSREECDRLTHIIKSRVVDSPITGSTKDGRPSKTLDKTVGNDVDTPDICNTAVTEAKKWFEGKKLGSNSKSVEYGTCILNTAPHATEGEMGSPVDLAKSYMRERPPWASPSTNHIQLQSPPSMGKELFVEATPFSVSGKSLSQSKLNRDFLVTGSWNIQEELRKVRSRATEEMLRTRPSSKMDWSALASAYKGGPSVLGAGEFSGAKNKLSNFTQLIDVPLKWGSAANNSGLTDTQMAQVRLQKDDFSPNAATSVPEKSQGLGLTPTTEGMAGLRDGSEGISSHEQQQLPEEVIVKQSADAVIANAPRDIEETSHPLSSRMERTVQGKSFKSDSMLLEVNFSASKEVAGEVAGRDDSVTVNGFPSSASSLPEAQEREQKSMPCGEEHNPVGPDHDKMTRTAPAEETCKLLSEASMEVPNVNENDSVATDSQDSSSMHQEGSLQAQALAQPNPKRGLGSRTTGVSEKQQGRIVSSRYNKRGRGRGK >Potri.006G275200.2.v4.1 pep chromosome:Pop_tri_v4:6:26681950:26687610:-1 gene:Potri.006G275200.v4.1 transcript:Potri.006G275200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275200.v4.1 MSAISRPPNRLTEFKSGGKIVRPRRTTTRPTPYDRPTPRLSPISTPQNPNWLSRFILSPSRILATGAGKVFSTVFGSESSASSSSSSDVDEEEEGDSGSTSEGEMEDVNDGNGSSQSDEKENQTTEIVNYSKKDLPAVEWKTATLRVIAQLLMQETFSREECDRLTHIIKSRVVDSPITGSTKDGRPSKTLDKTVGNDVDTPDICNTAVTEAKKWFEGKKLGSNSKSVEYGTCILNTAPHATEGEMGSPVDLAKSYMRERPPWASPSTNHIQLQSPPSMGKELFVEATPFSVSGKSLSQSKLNRDFLVTGSWNIQEELRKVRSRATEEMLRTRPSSKMDWSALASAYKGGPSVLGAGEFSGAKNKLSNFTQLIDVPLKWGSAANNSGLTDTQMAQVRLQKDDFSPNAATSVPEKSQGLGLTPTTEGMAGLRDGSEGISSHEQQQLPEEVIVKQSADAVIANAPRDIEETSHPLSSRMERTVQDSMLLEVNFSASKEVAGEVAGRDDSVTVNGFPSSASSLPEAQEREQKSMPCGEEHNPVGPDHDKMTRTAPAEETCKLLSEASMEVPNVNENDSVATDSQDSSSMHQEGSLQAQALAQPNPKRGLGSRTTGVSEKQQGRIVSSRYNKRGRGRGK >Potri.006G275200.6.v4.1 pep chromosome:Pop_tri_v4:6:26681948:26687579:-1 gene:Potri.006G275200.v4.1 transcript:Potri.006G275200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275200.v4.1 MSAISRPPNRLTEFKSGGKIVRPRRTTTRPTPYDRPTPRLSPISTPQNPNWLSRFILSPSRILATGAGKVFSTVFGSESSASSSSSSDVDEEEEGDSGSTSEGEMEDVNDGNGSSQSDEKENQTTEIVNYSKKDLPAVEWKTATLRVIAQLLMQETFSREECDRLTHIIKSRVVDSPITGSTKDGRPSKTLDKTVGNDVDTPDICNTAVTEAKKWFEGKKLGSNSKSVEYGTCILNTAPHATEGEMGSPVDLAKSYMRERPPWASPSTNHIQLQSPPSMGKELFVEATPFSVSGKSLSQSKLNRDFLVTGSWNIQEELRKVRSRATEEMLRTRPSSKMDWSALASAYKGGPSVLGAGEFSGAKNKLSNFTQLIDVPLKWGSAANNSGLTDTQMAQVRLQKDDFSPNAATSVPEKSQGLGLTPTTEGMAGLRDGSEGISSHEQQQLPEEVIVKQSADAVIANAPRDIEETSHPLSSRMERTVQGKSFKSGNIVVETFYGQCAVYY >Potri.017G139400.1.v4.1 pep chromosome:Pop_tri_v4:17:14037395:14042010:-1 gene:Potri.017G139400.v4.1 transcript:Potri.017G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139400.v4.1 MSSSSSSSSSSFRVQIISALFLCGYLQGANAASSTVSVRNISKTEDAVNFHIYYGQTFKVIKNVVDGKSYLLIQNNSRMATRTKYCTSRIESFVIPLSNYSADTYSFPVSFLELLGLLGSMKGITSDSMASECALKLYETGEIEMMNRSEPQQFSEFGAHFISVTDQPQACNFANFVPLVEDYPLQRAEWIKFLGVFVNLETRANKVYDAIKENYLCLTKVAASKNGSFKPIVAWMQYDSGIWSFTKETCKLKYVEDAGGENIDNSINKITYNTSNPDDSEELHAILCTVDVVIDETYTPDPAGYNQSSFLQNIGVDDNSCFAFIANQSLWRYDKRVQNLTTLDWNDGAVSQPQLVLADLIEVLFPDGNYSTTYFRNIAKGEGVVSIDANMCERDISTPLEPTILSC >Potri.015G090500.1.v4.1 pep chromosome:Pop_tri_v4:15:11352734:11359861:-1 gene:Potri.015G090500.v4.1 transcript:Potri.015G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090500.v4.1 MATAVITETKKLPRPGRGGYQPHGLTEEEARVRAIAEIVNSMVDLSRKNQTVDLNALKSAACRKYGLARAPKLVEMIAALPDSDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHGATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFESPCFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVQLVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGRNVTCPELTGKCSIVRELHVYGTAVPVHGRDAEKLQHQGYGTLLMEEAEQIARKEHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKSLS >Potri.001G323400.5.v4.1 pep chromosome:Pop_tri_v4:1:33251386:33252447:-1 gene:Potri.001G323400.v4.1 transcript:Potri.001G323400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323400.v4.1 MVYSYIYRTAYDITLVDDHWPQDMFNVVSGNTSQSWERLHAGGLLSHSFELDGKVKGLFLGSPAVITFRIPTKAALQEAYSTPILPLDVLADKPPVQKLEWAKKLLVKYGSLISVISIVVLFVYLLVTPSKSGAAKSGKKRR >Potri.001G323400.4.v4.1 pep chromosome:Pop_tri_v4:1:33251014:33254164:-1 gene:Potri.001G323400.v4.1 transcript:Potri.001G323400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323400.v4.1 MANQITTKPLFTLVLSLLLVSSSIASSDVPFIVAHKKATLSSLKSGAERVSVSIDIYNQGSSTAYDITLVDDHWPQDMFNVVSGNTSQSWERLHAGGLLSHSFELDGKVKGLFLGSPAVITFRIPTKAALQEAYSTPILPLDVLADKPPVQKLEWAKKLLVKYGSLISVISIVVLFVYLLVTPSKSGAAKSGKKRR >Potri.013G030833.1.v4.1 pep chromosome:Pop_tri_v4:13:1981484:1984184:1 gene:Potri.013G030833.v4.1 transcript:Potri.013G030833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G030833.v4.1 MGGVQADRGEGIKKRGCSCTKDDFLPEESFRSWTNYVHAIRQTPVRFSDRLLTRSLDSTELNEIKSRSEHDMKKNLTWWDLMWFGVGAVVGAGIFVLTGLEARENTGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFATLCNHQPDDFRIIAHSLPDDYGHLDPIAVVVSSLICVLAVLSTKGSSRFNYITSIMHVAVIVFIIIAGLTKADRGNYKPFMPYDVRGIFVGSAVLFFAYVGFDAVSTMAEETENPARDIPIGLLGSMTIVTVAYCLLAATLCLMVPYKQLDGEAAFSSAFDYVGLHWAKYIVALCALAGMTTALLVSAVGQARYLTHIARTHMMPPWLAHVNAKTGTPVNATVIMLGATAIVAFFTKLNILSNLLSISTLFIFTLVAVALLGRRYYVSGVTTPVNHIKFILCIATILGSSTATSIIWGLGGDGWVGYVITVPIWFLGTLALKVLVPQARDPKLWGVPLVPWLPSASIFINIFLLGSIDKASFERFGVWTGILLIYYFLFGLHASYDTAKESGENKAADGWKKMEEGVVSSQVEPESLNANSAN >Potri.007G104700.2.v4.1 pep chromosome:Pop_tri_v4:7:12806790:12809260:1 gene:Potri.007G104700.v4.1 transcript:Potri.007G104700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104700.v4.1 MVIMEWQDMGWSSKSLAVLVVAASFSLTRHHLQNSWIYASNSGPLGMLVAYMDVLSKPHFAKKFLYRIGLLMFMENVVIWIMHAKCLIECLREMFLVNSIISTLMRWGFVDESAWLFSLMPEKDQCSWNSMIAGFAQHDRFEEALDWFVRMHRDDFVLNDYSFGSGLSACSRLKDLKLGAQIHGLISKSKYSLDVFMGSGLIDFYSKCGLVGCARRVFDGMEEKNVVSWNCLITCYEQNGPAIEALEAFGRMTELGFKPDEVTLASVVSACATLAAFKEGVQIHALVVKSDKFRNDLILGNALVDMYAKCGRVNEARCVFDRMPVRNAVSETTMVSGYAKSASVKAARSMFATIKQKDIVSWNALIAGYTQNGENEEALGLFRMLKRESVCPTHYTFGNLLNASANLADLELGRQAHSHVVKHGFRFQSGEEPDIFVGNSLIDMYMKCGSVEEGLRVFENMVEKDHVSWNTMIIGYAQNGYGMEALELFQKMLESGEKPDHVTMIGTLCACSHAGLVEEGRRYFFSMTKEHGLLPVKDHYTCMVDLLGRAGCLEEAKDLIESMPKQPDAVVWSSLLSACKVHRNITLGKYVAEKIFEIDPTSSGPYVLLANMYSELGRWGDAVSVRKLMRRRGVVKQPGCSWIDIQSNVHVFMVKDKRHPQKKEIYSILKLLTKHMRQAGYVPDASDHEAYEEPSELESSSCFHMEMQAEAAVM >Potri.004G154000.3.v4.1 pep chromosome:Pop_tri_v4:4:17484128:17487817:-1 gene:Potri.004G154000.v4.1 transcript:Potri.004G154000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G154000.v4.1 MSDFDTETKTNTTTTNYNLPHKLTSTTPFLNLKLYVLIAILLICLLLVSFLIFLCVHLRRASRKRNKMRVKHSSGSIPLVSKEIVEIKDLDFKENKERDEGNVVNVGFVKMENGGGGDVEMGKKSGESSSSISDDISSVEENIGWGRWYSLKELEIATRGFSEENVIGEGGYGVVYRGVLQDGSVVAVKNLLNNKGQAEKEFKVEVEAIGKVRHKNLVRLIGYCADGSSRMLVYEYVDNGNLEQWLHGDVGPVSPMTWDIRMNIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRKWNPKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGVLLMEMITGRSPIDYSRPAGEMNLVDWFKGMVASRRGEELVDPLIEVQPAPRSLKRTLLVCLRCIDLDACKRPKMGQIVHMLEADDFPFRAELRTVREKDPPPSHAVVISNKLQHPTKHAGSGADVEISRRR >Potri.010G084600.1.v4.1 pep chromosome:Pop_tri_v4:10:11171761:11172471:1 gene:Potri.010G084600.v4.1 transcript:Potri.010G084600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084600.v4.1 MVKVVAVRHLLLLVLVFVSGTTNMSSVKAGDCRGGYLSTIGGGCPDKQQCLEICRPCYRGYGIVEAYCVGPGGPFPYWECRCAFHKGAPCPPPGPPQCPGRWPPPLTNSTTLNGTLV >Potri.011G075500.1.v4.1 pep chromosome:Pop_tri_v4:11:7943743:7948974:1 gene:Potri.011G075500.v4.1 transcript:Potri.011G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075500.v4.1 MNQPSKHARTNISRLMEKKMSLSSDALTTTLCNSIQALGRGFDVTSDIRLLYCKGATGSRLVHIDEEHARDLDISHGLVLPSLSFDIDCSQEKRSFERIPVCSFHEMAGLFNEKSGISERIPLGSFNGMFNFTGSWQVDAAGTKSLAMVGHFIPLYKVQIAKVNLVLREEVKRAVPYSWDPASLASFIESYGTHIVTSATIGGRDVVYIRQHQASPLSASDIENYVKDIADQRFQDSKNTSIAAPLKYKDKDVTVIFRRRGGDDLEQSHAKWAETVQLAPDVINMTFTPIVSLLEGVPGIKHLARAIELYLEYKPPIEDLQYFLDFQIAKGWAPEQNNLLRKEPVCQSLQFSLMGPKLYISPDQVTVGRKPVTGLRLSLEGSKQNRLSIHLEHLVFLPKVLQPHWDAHVAIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTAPIEYADTSIGDLSGVHIVTGAQLGVWDFGAKNVLHLKLLFSKVPGCTLRRSVWDHSPSNPSSQRSNGASSSVSHEKPSSDKKEDSHAGKLAKIVDMSEMSKGPQDVPGHWLVTGAKLGVDKGKIVLRVKYSLLNY >Potri.006G141800.1.v4.1 pep chromosome:Pop_tri_v4:6:11941102:11945005:-1 gene:Potri.006G141800.v4.1 transcript:Potri.006G141800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G141800.v4.1 MTLFSLTGPFRVRASTIAIARFHGQTQGGSRFYPDRQVIQNPESWFVKVVSTLFVNSHSLDACLNYLCEKLTPLIAFEVIKRFNNPKVGFKFLEFSRLNLNVNHCYSTYNLLMRSLCQMGHHDLVNIVFDYMGSDGHLPDSKLLGFLVTWMAQASDFDMVKKLLAEVQGKEVRINSFVYNNLLSVLVKQNQVHEAIYLFKEYLAMQSPPDTWTFNILIRGLCRVGGVDRAFEFFKDMESFGCLPDVVTYNTLINGLCKANEVQRGCELFKEIQSRSDCSPDIVTYTSIISGFCKSGKMKEASNLFEEMMRSGIQPNVITFNVLIDGFGKIGNIAEAEAMYRKMAYFDCSADVVTFTSLIDGYCRAGQVNHGLKFWNVMKTRNVSPTVYTYAVLINALCKENRLNEARDFLGQIKNSSIIPKPFMYNPVIDGFCKAGNVDEGNVILKEMEEKRCDPDKVTFTILIIGHCVKGRMFEAINIFNRMLATRCAPDNITVNSLISCLLKAGMPNEAYRIRKMALEDRNLGLSSVEKAIPLRTNTDIPVAV >Potri.001G173500.1.v4.1 pep chromosome:Pop_tri_v4:1:14902746:14907790:-1 gene:Potri.001G173500.v4.1 transcript:Potri.001G173500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173500.v4.1 MNYRFHNLLGAPYRGGNVVITQNTQLISPVGNRVSITDLLKSQTITLPLQSSSNIRRIAASPDGTFLLTVDENHRCHFINIPRRVILHRINFKNAVNALKFSPDGKFIAVAAGKLVQIWRSPGFKKEFFAFELVRTIADCEDTVTAIDWSLDCKYLLVGSKDLVARLFCVEKLKDGILNKPFLFLGHRDNVVGCFFGYDKKNTDQVNKVYTITRDCYIFSWGYSGNNDGNFDENDEGNSEPASPGTPKRDGEGNVNGESLGNVKKRKDFDGKDLGEEGYLHKRKWELLRKDGFMQSPAKLTACTYHRGLDMVVVGFSNGVFGLYQMPDFVCMHLLSISREKITAAVFNESGNWLVFGCAKLGQLLVWEWRSESYVLKQQGHYFDVNCLTYSPDSQLLATGADDNKVKVWTVSSGFCFVTFSEHTNAVTSLHFMANNHCLLSASLDGTVRAWDLYRYRNFRTFTTPSSRQFVSLAADQSGEVICAGTLDSFEIFVWSMKTGRLLDILSGHGGPVHGLIFSPTNAVLTSSSWDKTVRLWDVFEGKGAVETFPHTHDVLTVVYRPDGRQLACSTLDGQIHFWDPIDGLLMYTIEGRRDIAGGRLMTDRRSAANSTAGKCFTTLCYSADGSYILAGGSSKYICMYDVADQVLLRRFQITHNLSLDGVLDFLNSKKMTDAGPLDLIDDDDSDTEEGVDKQTRGKLGYDLPGSMPNRGRPIIRTKCLRIAPTGRSFAAATTEGVLVYSIDESFIFDPTDLDIDVTPEAVEDALDEDQPNRALILSLRLNEDSLIKKCIFSVSPLDIPAVASSVPYRYLQRLIEAFSDLLESCPHLEFILRWCQELCKAHGNSIQQNSRNLLPALKSLQKAITGIHQDLADTCSSNEYMLRYLCSSTNK >Potri.018G081000.1.v4.1 pep chromosome:Pop_tri_v4:18:9888101:9891783:-1 gene:Potri.018G081000.v4.1 transcript:Potri.018G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081000.v4.1 MQRDRQDHGSRSSKPATIHSYAQSGDLLGFQRLLRGDPSLLNERNPVMAQTPLHVSAGYNRADIIKFLLDWQGAEKVELEPRNMYGETPLHMAAKNGCTEAARLLLAHGAFVEAKANNGMTPLHLAVWYSIRAEDHSTVKTLLEYNADCSAEDNEGMTPLNHLSPGPGSEEVRKLLHWHLEEQRKRKALEACSKTKAKMDELEDALTNVVGLHELKVQLRKWAKGMLLDERRRALGMKVGMRRPPHMAFLGSPGTGKTMVARILGRLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKIAEAEGGILFVDEAYRLIPSQKEDDKDYGIEALEEIMSVMDSGKVVVIFAGYSEPMKRVISSNEGFCRRVTKFFHFNDFSSKDLANICHINMNTQDEGSPLYGFKLHPSCCVDAIAALIERETTEKQRREMNGGLVNTMLANARENLDLRLDFNCLDTDELQTITLEDLEAGLQLL >Potri.008G163500.1.v4.1 pep chromosome:Pop_tri_v4:8:11260334:11269121:1 gene:Potri.008G163500.v4.1 transcript:Potri.008G163500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163500.v4.1 MDENELPFKVGQLAEARSFAQGYRGAWFRCKIIDIARRDSGMQYALQYYDFPDEKLNWTKLYQYPKPRLKNTERQLMVRPYFPSVYLESKLSEIKTISEVVVVVNDVWKVGDFVDWWTDGCYWSGRLSKELGNDKYQIDLFPPPAGEGSSYEASSKDFRPSLSWSPENGWTVPIPSGIDNHHPCARLIKPVNQGSSINLAVHAADKMRKDPEDTVRASYELNASLSSHIATSRYELMGKGPLNPAASNETRTPGRNIVLGVTNGGAAKSRCSDSQSSPQVRDVSAEVAEDAGGKDNDDNDTPLKKMRTDGGICSDSTCSDTIGAAILDLEVLVNRVKWMKDALKFGMPLSNTARLSWKFLEHRGPSRHE >Potri.006G228200.1.v4.1 pep chromosome:Pop_tri_v4:6:23216412:23218546:1 gene:Potri.006G228200.v4.1 transcript:Potri.006G228200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228200.v4.1 MAETRRYITKEELEKHNTAGDLWISIQGKIYNVTYWANDHPGGQLPLLSLAGQDVTDAFVAYHPGTAWKYLDKFFTGFYLKDYTVSEVSEDFRKLAAEFSKSGLFEKKGHVVFVTLCLVVMMFCLSVYGVLCSDSRSVRLVCGGLMGLMWIQSGWIGHDSGHYQVMSSRGFNCLVQILSGNCLAGVGIGWWKCNHNAHHIACNSLDYDPDLQHMPFFAVSSKFFSSITSCFYDRKLNFDSVSRFLVSYQHWTFYPVMCLARINLFAQSFLILLSKKKLSTNRGLEFLGLVVFWTWYPLLVSCLPSWGERIIFVVASFSVTGIQHVQFCLNHFSSSVYVGPPSGNNWFEKQTEGTLNISCSPWMDWFHGGLQFQVEHHLFPRLPRCQLRRVSPFIRELCKKHNLPYNIVSFWKANAMTLETLRTAALQARDLTNPVPKNLVWEAVNTHG >Potri.005G245900.1.v4.1 pep chromosome:Pop_tri_v4:5:24069850:24075744:1 gene:Potri.005G245900.v4.1 transcript:Potri.005G245900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245900.v4.1 MKTLSGLSHLFITIFLHNFSAVMVIPAITDVTMSALCPGRDECSLAIYLTGFQQAIIGLGTLVMMPLIGNMSDKYGRKALLTVPLSLVIVPSAILAYSRTRNFFYAYYVVKTLIAMVCEGSVPCLALAYVADNVPEGRRASAFGILSGIASSAFVCGNLSTRFLSTASTFQVSASVAIASLVYMRFFLQDSIIDEQLTAPILTSNGKPKGKGKDYATNEIPSKNVQIFKSAPSLEDMLCLLKSSVTLSQAAVVAFFYSLAEVGLHASLLYYLKARFHFNKDQFADLMVITGIAGTLSQLVLMPILAPALGEARLLAVGLFFTCVHVFLYSIAWTFWVPYVAAMFSVLIVFSQPCMRSIVSKQVGSCEQGKAQGCISGISSFANVISPLLFSPLTALFLSERAPFHFPGFSIMCVGFASMIAFIQSLMIRIAPPIANEKVCNSNYVDA >Potri.009G008400.2.v4.1 pep chromosome:Pop_tri_v4:9:1626109:1629120:1 gene:Potri.009G008400.v4.1 transcript:Potri.009G008400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G008400.v4.1 MELAVHALSFTSFSKNRESKLQGRTIPDPATCSSIDVKLQEQGRKTSTVCYSLPETAASVAIAATAVGAAITLLVRRNKPSEADEIPLKTCEDCGGSGICSECSGEGFVLKKLSEESAERARLSAKNMATRYTAGLPKKWSYCTKCSSARSCSACGGSGKLNY >Potri.001G340400.2.v4.1 pep chromosome:Pop_tri_v4:1:35056687:35059764:-1 gene:Potri.001G340400.v4.1 transcript:Potri.001G340400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340400.v4.1 MASGLPLRLPAPGFNASQQLIKSDRGSMLTMSDDNVMMKQIVGTHAPDGREVDVKPLLHLVEDILKRATQQIDTSLTTSQAHAELEDKTHQVNFVSMLDALSYTIDRISCEIAYKALGGTDAHATTVSLFNMLTSYSWDAKLVLTLAAFALNYGEFWLLAQIYSSNDLAKSMAILRQLPSIMEHSGPLKPRFDAINNLIKVMMDVARCVVEFKDLPPAYISNEVPALSTAMAHIPTAVYWTMRSVVACAAQITSLTTMGHEFSISTTVAWELSTLAHKLSNILDHLRKQLDTCYQYIDEKRNVESFQMLKNLFEMIHIDNMKVLKALIYAKDDIQPLIDGSSKKRVHLDVLRRKNVLLLISGLDMSTDELSILEQIYNESRQHGPRLDSQYEVVWVPIVDRSVQWSDPMKGKFESMQSSMPWFTVYHPSLIEKAVIRFIKEVWHFRNKPILVVLDPQGKVVCPNALHMMWIWGSNAFPFTSLREESLWKDETWRLELLVDGIDPVILNWIKEGKYIFMYGGDDDEWVRKFTNTARAVAQAASIPLEMVYVGKSSKREKIRRVIATITVEKLSYVWQDLTMIWFFWTRLESMLYSKIQLGKLDDHDPMMQEIKKLLSYDREGGWAVLSNGSNVVVNGHKTTALQTLLEYDLWKEQVPVKGFDLAYRDHQGRIHDISRPCCRFDFPMTMGRIPETMKCPECNRTMEKFSTFLCCHDEVIPDELFK >Potri.010G221400.1.v4.1 pep chromosome:Pop_tri_v4:10:20649541:20652310:-1 gene:Potri.010G221400.v4.1 transcript:Potri.010G221400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221400.v4.1 MRFSFSCSKCFSSSSDMSTDSPSMQGLQILQNVHAFSFDELKVATNGFRSSNKIGEGGFGSVYKGVLQDGRIVAIKMLSAESKQGHREFMSEIASVSNINHENLVNLHGGCIDGPCKILVYDYMENGSLAQTLLGGEENRARFGWETRRGISLGIAQGLAYIHEEIKPHIVHRDIKASNILLDKNLCPKVSDFGLSKLFPENFTHVSTRVAGTLGYLAPEYAISGRLTRKTDVYSFGVLLLEIVSGRKATDFDPELGEHYLVEKAWEMYKADNLLKLVDPMLDGNFLGTEAVGFVKVALLCVQEKCGLRPSMSKAIKMMRGEIDIHNTQITQPGFIIDFMDVKIGRKPQSSVRSITGRRSPRLYPL >Potri.007G044300.7.v4.1 pep chromosome:Pop_tri_v4:7:3840043:3847361:-1 gene:Potri.007G044300.v4.1 transcript:Potri.007G044300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044300.v4.1 MASSTLFGNTLTAVSSRTHFPTPIFNNNSLELLSSAHSNYNFKTKTSTSAKKLKVKAVVTETPAGSKSEGKQSEQRKLKVLVAGGGIGGLVFALAAKKKGFDVMVFEKDLSAVRGEGQYRGPIQVQSNALAALEAIDLDVAEEVMRAGCITGDRINGLVDGVSGTWYVKFDTFTPAAERGLPVTRVISRMTLQQILARSVGDDMILNDSNVVSFQDDGDKVTVVLENGQQYEGDLLVGADGIWSKVRKNLFGPKEPVYSGYTCYTGIADFVPVDIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEPPGGMDAPHGKKDRLLKIFEGWCDNVIDLLLTTDEDSILRRDIYDREPIITWGKGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLASELERAWKQSIESGTPVDVLSSLRSYENSRRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLTNFRIPHPGRVGGRFFIDIAMPVMLDWVLGGNSSKLEGRSLSCRLSDKANDQLRRWFVDDDALERSLNGEWFLLPCGNDAVASQPIGLSRDENKPCVVGSVSQEDFPGMSIVIPAPQVSKTHARITCKDGAFYLIDLRSEHGSFITDIEGRRYRAPPNFPTRFHPSDMIEFGSDKKVIFRVKVMRSPPKISEKKDEGQVLQSV >Potri.015G010700.1.v4.1 pep chromosome:Pop_tri_v4:15:694870:697958:-1 gene:Potri.015G010700.v4.1 transcript:Potri.015G010700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010700.v4.1 MAASALSFAFSSLSPQKSSLCSFPEKSQVVSKLQKNSSRGRRVWRRRKLTKEDDLLRYKLERVPFLEEQVRKIKDEGKLLTMDIHRLLLSEDNRFDFVNEIAAEAIEYVENNRDDYGGKKKAILHVLSNRMNDAGYSRPVAYEESDPFLPGPTYLRQELE >Potri.009G138200.1.v4.1 pep chromosome:Pop_tri_v4:9:11104900:11115967:1 gene:Potri.009G138200.v4.1 transcript:Potri.009G138200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138200.v4.1 MEAAAAWQPQEEGFKEICGLLEHQISPTSTADKLQIWQQLQNFSQLPDFNNYLAFILSRAEGKSVEIRQAAGLLLKNNLRNAYKTMTPAYQQYIKSELLPCLGAADRHIRSTVGTIISVVVQLGGILGWPELLQALITCLDSNDLNHMEGAMDALSKICEDIPQVLDSDVPGLPDRPIKIILPRLYQFFQSPHTSLKKLALGSVNQYIMLMPAALYASMNQYLQGLFALANDQAAEVRKLVCAAFVQLIEVRPSFLEPHLRDVVEYILQVNKNGDDEVALEACEFWSAYCNAQLPLENLREFLPRLIPVLLSNMAYADDDESLAEAEEDESLPDRDQDLKPRFHTSRFHGSDSVEDDDDDIVNVWNLRKCSAAALDILSNVFGDEILPTLMPVVEAKLAASGDESWKDREAAVLALGAVAEGCIDGLYPHLSQMVEFLIPLLDDKFPLIRSISCWTVSRFSKYIVQESGHQKGYEQFDKVLMGLLRRILDTNKRVQEAACSAFATLEEEAAEDLAPRLEIILQHLMCAFGKYQRRNLRIVYDAIGTLADAVGAELNKPAYLEILMPPLIAKWQRLSNSDKDLFPLLECFTSIAQALGTGFSQFAEPVFQRCIAIIQSQQLAKVDPVTAGFLYDKEFIVCSIDLLSGIAEGLGSGIESLVSQSNLRDLLLQCCMDDASDVRQSAFALLGDLARVCAVHLRPRLPEFLDVAAKQLYTPKLKESISVANNACWAIGELAVKVHQEISPIVMTVMPCLVPILQHSEELNNKSLVENSAITLGRLAWVCPEILSPHMEHFMQSWCIALSKIHDDIEKEDAFRGLCAMVRRNPSGALSSLVFMCKAIASWHEIRSEELHNEVCQVLHGYKQMLRNGAWDQYMSALEPPVKEKLLKYQV >Potri.005G156500.1.v4.1 pep chromosome:Pop_tri_v4:5:14820992:14825724:1 gene:Potri.005G156500.v4.1 transcript:Potri.005G156500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156500.v4.1 MKGKRCASIGSHERDDEGEELEPEHLEVEHVLGDVMWVRRDDDGTWWPAVVVNNNNISETSKPRNSSMGDVLVRLYGSYQYFYADPVKCRSEFEITLKENGGCYSEMFVEALEQDLPQSKSGQSKGKGSNSKGTSNGRASASKVKNSNQNRVNCEIESSNHARISPRKQINMNTPQRKMKDDNFLSKVVEEAISKVSNQDGLEKELKFDSPNTEGNSKRETPKQDGMRNKLKRNFTSTSGQAKNKTHDQGEKKRLKQKSSSAAEDANYQSPKKDEEQEKHELSPSSAGGTFFGRLQELRRTKVMQTLGLVAPSGSPFN >Potri.003G014200.4.v4.1 pep chromosome:Pop_tri_v4:3:3028613:3034096:-1 gene:Potri.003G014200.v4.1 transcript:Potri.003G014200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014200.v4.1 MQKEKRQQSKDEENDSSSRKRRKADLSKPVSFVSTAAMTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIQESKMSIVVFSKGYASSRWCLKELVEILKCKNGKTGQIALPIFYDIDPSDVRKQTGSFAEAFVKHEERFEEKYLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGMDRLAHNIFDFLSTATDDVRIVGVHGMPGIGKTTIAQVVFNQLCHGFEGSCFLSDINERSKQVNGLVPFQKQLLHDILKQDVANFDCVDRGKVLIKERLRRKRVLVVADDMAHPDQLNALMGDRSWFGPRSRLIITTRYSSLLREADQTYQIKELEPDEALQLFSWHAFKDTKPAEDYIELSKKAVDYCGGLPLALEVIGALLYGKEKHRWESEIDNLSRIPESNIQGKLLISFDALDGELRNAFLDIACFFIDVEKEYVAKLLGARCRYNPEVVLETLRERSLVKVFGDMVTMHDLLRDMGREVVCKASPKEPGKRTRIWNQKDAWNVLEQQKGTDVVEGLALDVRASEAKSLSTGSFAKMKRLNLLQINGAHLTGSFKLLSKELMWICWLQCPSKYFPSDFTLDNLVVLDMQYSNLKELWKGKKGCWSLKILPKSIGNVKSLETLNISGCSQLEKLPEHMGDMESLTKLLADGIENEQFLSSIGQLKYVRRLSLRGYNSAPSSSLISAGVLNWKRWLPTSFEWRSVKSLKLSNGSLSDRATNCVDFRGLFALEELDLSGNKFSSLPSGIGFLPKLGFLSVRACKYLVSIPDLPSSLRCLGASSCKSLERVRIPIESKKELYIFHIYLDESHSLEEIQGIEGLSNIFWYIGVDSREHSRNKLQKSVVEAMCNGGHRYCISCLPGEMPNWLSYSEEGCSLSFHIPPVFRGLVVWFVCPLEKEDYYCFNTDIIIIIIRNKSNGIQLFEDKRTPAPWGWIRYISRSEMAMEDYCGDDELELFSAYSNGRFEHIKECGVHVIAEKSEVGRDTVMSYHLLPHPHCGSITASTPKQWSDYLFSKLHKYNLNLLLAGPRGMR >Potri.003G014200.1.v4.1 pep chromosome:Pop_tri_v4:3:3028613:3034089:-1 gene:Potri.003G014200.v4.1 transcript:Potri.003G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014200.v4.1 MQKEKRQQSKDEENDSSSRKRRKADLSKPVSFVSTAAMTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEISDHLLRAIQESKMSIVVFSKGYASSRWCLKELVEILKCKNGKTGQIALPIFYDIDPSDVRKQTGSFAEAFVKHEERFEEKYLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGMDRLAHNIFDFLSTATDDVRIVGVHGMPGIGKTTIAQVVFNQLCHGFEGSCFLSDINERSKQVNGLVPFQKQLLHDILKQDVANFDCVDRGKVLIKERLRRKRVLVVADDMAHPDQLNALMGDRSWFGPRSRLIITTRYSSLLREADQTYQIKELEPDEALQLFSWHAFKDTKPAEDYIELSKKAVDYCGGLPLALEVIGALLYGKEKHRWESEIDNLSRIPESNIQGKLLISFDALDGELRNAFLDIACFFIDVEKEYVAKLLGARCRYNPEVVLETLRERSLVKVFGDMVTMHDLLRDMGREVVCKASPKEPGKRTRIWNQKDAWNVLEQQKGTDVVEGLALDVRASEAKSLSTGSFAKMKRLNLLQINGAHLTGSFKLLSKELMWICWLQCPSKYFPSDFTLDNLVVLDMQYSNLKELWKGKKILNRLKIINLSHSQHLIKTPNLHSSSLEKLILKGCSSLVDVHQSIGNLTSLVFLNLEGCWSLKILPKSIGNVKSLETLNISGCSQLEKLPEHMGDMESLTKLLADGIENEQFLSSIGQLKYVRRLSLRGYNSAPSSSLISAGVLNWKRWLPTSFEWRSVKSLKLSNGSLSDRATNCVDFRGLFALEELDLSGNKFSSLPSGIGFLPKLGFLSVRACKYLVSIPDLPSSLRCLGASSCKSLERVRIPIESKKELYIFHIYLDESHSLEEIQGIEGLSNIFWYIGVDSREHSRNKLQKSVVEAMCNGGHRYCISCLPGEMPNWLSYSEEGCSLSFHIPPVFRGLVVWFVCPLEKEDYYCFNTDIIIIIIRNKSNGIQLFEDKRTPAPWGWIRYISRSEMAMEDYCGDDELELFSAYSNGRFEHIKECGVHVIAEKSEVGRDTVMSYHLLPHPHCGSITASTPKQWSDYLFSKLHKYNLNLLLAGPRGMR >Potri.001G072700.2.v4.1 pep chromosome:Pop_tri_v4:1:5457254:5461362:1 gene:Potri.001G072700.v4.1 transcript:Potri.001G072700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072700.v4.1 MHGFTVRPTPHSSLFCLTGLSSRSLLYLQNKAKLSTPSCRYSYGGGFSLIFFGPSVFAPVFKNRRRYSHTLLRASRRESPYEVLGVSPSAPPGEIKRAYRKLALKYHPDVNKETNAQEKFMRIKHAYNTLLNSESRRKYDAGNSSGFSYSSGQKTQSSSTQDEEEFYGLGDFFRDLQEEFQNWEASAPSQGKPKSLWEELAEIGEEFVEFLEKELNITDTEFEGNKNDGFQEDDFFSSSSTKRTGNGAQNEDGKSSSIEDNIDEIEATLAKLKRELGL >Potri.001G072700.1.v4.1 pep chromosome:Pop_tri_v4:1:5457264:5461207:1 gene:Potri.001G072700.v4.1 transcript:Potri.001G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072700.v4.1 MHGFTVRPTPHSSLFCLTGLSSRSLLYLQNKAKLSTPSCRYSYGGGFSLIFFGPSVFAPVFKNRRRYSHTLLRASRRESPYEVLGVSPSAPPGEIKRAYRKLALKYHPDVNKETNAQEKFMRIKHAYNTLLNSESRRKYDAGNSSGFSYSSGQKTQSSSTQDEEEFYGLGNFMRDFQITIGDFFRDLQEEFQNWEASAPSQGKPKSLWEELAEIGEEFVEFLEKELNITDTEFEGNKNDGFQEDDFFSSSSTKRTGNGAQNEDGKSSSIEDNIDEIEATLAKLKRELGL >Potri.004G051201.1.v4.1 pep chromosome:Pop_tri_v4:4:4140564:4140827:-1 gene:Potri.004G051201.v4.1 transcript:Potri.004G051201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051201.v4.1 MGSEAKKQGQQRRIQVKSKSYLHSGDTKHVIAGMGLITLVFGVPWYLINRGWFSFLLTLYHISMWVALISVLDWWFNDEKERRSERK >Potri.013G031501.1.v4.1 pep chromosome:Pop_tri_v4:13:2044021:2045179:1 gene:Potri.013G031501.v4.1 transcript:Potri.013G031501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031501.v4.1 MFPCFKAMKDRKPEDEAVFERNKRRLMEKTTHLLGGRRSGPICFLFSKEELKEATNNYDSKQVFLDDGNFRLYKGFLQGQQVIVKKFTVKNGLESSVLDIIISKQMNGHNALKLVGVCLDTEIPVLVFESFKSSGTLGDRMGNRTPGFEPLPWKHRVNIAFHLACSIAYFRLQLPRLVVHGGLKPSSILLDEHNVPVLTDFSSSKIISHKRSEEY >Potri.001G278504.1.v4.1 pep chromosome:Pop_tri_v4:1:29202170:29203143:1 gene:Potri.001G278504.v4.1 transcript:Potri.001G278504.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278504.v4.1 MTCTVPKKDRRKRLEISRSLKSRIFCAFGKFFEDHKCYLQTLLICQVEFQTSSRNLKLALSFHFKASHPSFLPFRSRISISLSLFKFTWTKLNSTLLLFSSRVKNLLEPLQFKPLGLLSLYRILN >Potri.002G113501.1.v4.1 pep chromosome:Pop_tri_v4:2:8584672:8585045:1 gene:Potri.002G113501.v4.1 transcript:Potri.002G113501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113501.v4.1 MTGGVGDKVSDMFPDFKAPSTSGSGGLCSLFGVLHIPFSELLLLFRPSISGIIISVQSFTAVYTKRMIFLSQMLPNKG >Potri.005G218000.5.v4.1 pep chromosome:Pop_tri_v4:5:22082099:22086884:-1 gene:Potri.005G218000.v4.1 transcript:Potri.005G218000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218000.v4.1 MLARIVATRLLEIRQSLRLTPQASRSLSTALNYHIDTADNNPDLPWEFSASNKEKVKEIVSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSPVGKYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRKGEKPPHGTQNPKRIKCGPEGGNTTLHGEPKPPPCRDLDSC >Potri.005G218000.6.v4.1 pep chromosome:Pop_tri_v4:5:22082110:22086846:-1 gene:Potri.005G218000.v4.1 transcript:Potri.005G218000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218000.v4.1 MLARIVATRLLEIRQSLRLTPQASRSLSTALNYHIDTADNNPDLPWEFSASNKEKVKEIVSHYPSNYKQSAVIPLLDLAQQQHGGWLPVSAMNAVAKVIEVAPIRVYEVATFYSMFNRSPVGKYHLLVCGTTPCMIRGSREIEDALLKHLGVKRNEVTKDGLFSVGEMECMGCCVNAPMITVADYSNGSEGYTYNYYEDVTPKRVVEIVEMLRKGEKPPHGTQNPKRIKCGPEGGNTTLHGEPKPPPCRDLDSC >Potri.008G062700.1.v4.1 pep chromosome:Pop_tri_v4:8:3778647:3782775:-1 gene:Potri.008G062700.v4.1 transcript:Potri.008G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062700.v4.1 MQNQTENNNDAMRITEGDRENQTNSPTGGDGGAESGELGGGIGGNGRTNGKVKGPWSPEEDAVLSQLVSKFGARNWSLIARGIPGRSGKSCRLRWCNQLDPCLTRKPFTEEEDRIIIAAHAKHGNKWAAIARLLPGRTDNSIKNHWNSTLRRRWADLIRLKPGTSDVMEDGSIEITRASSEETLSVCDISSSQPPEVRDVTIDDQPSQHEDKAQTDTIPQTNEVTVATEPHGNPTLPRPEARVSAFKVYNPPSGLKMSSGLTRTLPTHGPSVQTPKPDLGSCKFLEDVHCEPIIPSRCGYGCCATPSGGHPPSSLLGPEFVEYEEPPPFSSQELISIATDLNNIAWMKSGLENSSTGIPSNAASYRMSQGTPVDSQMGMSEQNLRNGHMHFEEGRSRLMGTMAGTISTQMPA >Potri.010G112000.1.v4.1 pep chromosome:Pop_tri_v4:10:13200949:13203530:1 gene:Potri.010G112000.v4.1 transcript:Potri.010G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112000.v4.1 MDGLPSGYRPNVGVCLINSDNLVFVASRLNVPGAWQMPQGGIEDGEEPKSAAIRELMEETGIVSAETIAEVPNWLTYDFPPAVKAKVNRLWGGEWHGQAQKWFLMRLTKDESEINLASGEADPEFAEWKWASPEEVIEQAVDYKRPTYEEVMRTFRPYLNENGIAAKCKSSKW >Potri.008G154500.1.v4.1 pep chromosome:Pop_tri_v4:8:10575569:10576741:-1 gene:Potri.008G154500.v4.1 transcript:Potri.008G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154500.v4.1 MEIESVQCECCELKEDCTQEYISEVKAKFDGKWLCGLCSEAVRDEVSRGKTQSGMEEAVRAHMSFCGKFNSNPAVRVADGMRQMLRRRSGDLSSSKSSSKKYSRSATTKLYQLSKK >Potri.014G066400.1.v4.1 pep chromosome:Pop_tri_v4:14:4140653:4141859:-1 gene:Potri.014G066400.v4.1 transcript:Potri.014G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066400.v4.1 MATSSLAISPRKLRSDLYSYSYQNDSNTPLVIAVLASLIERTMARNERIVKNCTWALSKDTRTRVFDCHETPDLTIQSYLERIFRYTRAGPSVYVVAYVYIDRFCQANPEFRINARNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTANVMNKMELEFLFLMGFKLHVNVSVFESYCCHLEREVGIGGGYHIEKTLRCAEEIKSRQQEEKGYNQIARIML >Potri.008G058400.1.v4.1 pep chromosome:Pop_tri_v4:8:3482657:3486466:-1 gene:Potri.008G058400.v4.1 transcript:Potri.008G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058400.v4.1 MSQKLTLFLFLIFLANQNHVLTQLDDLYFQGFNHVRNNMSLNGAAEIEKNGLLSLTNNSKSILGHAFYSHQIKFKNSTSGKAFSFSTAFVFAVVPKYPNLGGHGLAFTLSTSNELPGAFPRKYLGLLNTTVAGSFSYHIFSVEFDTHKDYDFFDINDNHVGVNINSMISNKSVPAAYFLLNSEKEELDLTSGNPIQAWVDYDSVKNQLEVRLSPSSTKPIYPILSIDIDLSSILNDSMYVGFSSSTGMLTSTHYVLGWSFSVNGEAKSFSMSSLPSLPETKDLLVLVVCVSVGSTFVIILGIAVSFYLVRKIKDADMIEGWELQVGPRRFSYQELREATRGFREKELLGFGGFGKVYKGTLPNCGTPIAVKRFCHESKQGLREFSTEIASIGRLRHKNLVRLLGWSRLRGELLLVYDFMPNGSLDKYIFEEPKTILKWEQRFKIVKDVASGLLYLHEEWEQTVIHRDIKAGNVLLDSELNGRLGDFGLAKLYERGSNPITTKVVGTLGYLAPELTKTGKPTTSSDVFAFGALLLEVICGRRPIEPKALPEELILVDWVWDKWKSGAILDVVDPRLNGEFDETEAVLLLKLGLMCSNYVPRARPLMRKIVRYLEGEVALPELVAAPDVYDGENADANTDSGDECKDHMYFYPQST >Potri.013G119700.3.v4.1 pep chromosome:Pop_tri_v4:13:12819711:12822547:1 gene:Potri.013G119700.v4.1 transcript:Potri.013G119700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119700.v4.1 MASSPTLPQDSTPDPNTLSQNPNNTNPDQIQQALPDDDDDDTQFPKTLTLEIPNPNSQEDDPTNQNHDDFEDLTLFSPTTTTTTTTTYRRAGGGRKSRKANQKRRVQVKKSEKKLETLSQTLNPIPFVPNKILDLASHETLLKKLGLWEFVHLQFDTNIRADLLAQLIAGYNPAMRGSYVNEVKIMVNRADLGRALKLPVKKEKGSGGDGASEVTESVESIGFIEELVSNWILLHEDTWMMPPDILNWIKLIKEGNFDKLDWAGMIWYMVEKELNAEPGLGNCYYASHLQCLIKCQREELLKEGSLKMEIDVKDDEDDEGAKMEQSVMMEEGAEMGEEFRGESSVLEEHRIELSLGGMDNAGKEEVENVGDEDVMDFEERKEEEDQGQWEKISMDGHYLQPCGSFSQVAGMEFEEERKQQDEVEGEEEGKGGEEGEEEEGEEGEEDEEEGEDDDDIGFHIAARGNILEGISSENLLEVMGAAQVPFSSGVQIHDNVSSREFLVSRVDTETIPGGSSIFGNVGGSKRVIEHLQSDIPHHSLNGGNKRMRSDGHWDAKPYSDFDSFEEEMQHMMGKARMMMEEKEQSCQEMSMHQQVLYNELQQRENFIQQLQKTKMEEQRKSQLEVYRLERELYMMGNLLEGYRKALKETHKAFSEYRARCQLPEEPIYKDTGSGGLVLSTMELEKQRLKQEEEERLNRVFLEKLVKEFEAECIPKFEGYENTVKLLSDKLLVVGEKFNLLKEMSGKRKVSEMSECVATEDCNTAKESVPTEECVSAEESAVVQAPAEESVPVVAQAPAPAEESVPVVAQASAEESVPVVERAPAEECDPMEECVPTEG >Potri.018G022900.1.v4.1 pep chromosome:Pop_tri_v4:18:1684127:1686821:1 gene:Potri.018G022900.v4.1 transcript:Potri.018G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022900.v4.1 MYRVASPSEFLVITGVGISDIKLAKKGWILPGQSCTVFDVSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDEQSLFKYAKLISRHDKLSNHVKELVQGIIEGETRVLAASMTMEEVFKGTKEFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARVDVAEAKMKGEVGSKQREGQTLQNAARIDAETKIIATQRQGDGKKEEIKVKTEVKIYENHREAEVAEANADLAKKKAGWSKEAQVAEVEATKAVSLREAELQREVERMNALTRTEKLKAEFLSQASVEYETKVQEANWELYTKQKAAEAILYEKQKEADAQKATADATFYARQQVADGELYSKQKEAEGLVALAQAQGVYIRTLLDALGGNYAALRDYLMINSGMFQEIARINADAVHGLQPKISIWTNGNSGEANDGTGGGNAMKEVAGVYKMLPPLFKTVQDQTGMLPPAWMGTLTDSSHSNVN >Potri.006G029100.2.v4.1 pep chromosome:Pop_tri_v4:6:1905496:1906243:1 gene:Potri.006G029100.v4.1 transcript:Potri.006G029100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G029100.v4.1 MSTMVLDPRPAPTVEPRSDLVPDPTTVPTEDDDLYSRLKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVIGQFMEMVDQTNGIVGSTTGSNYYVRILSTIDRELLKPSASVALHRHSNALVDVLPPEADSSISLLSQSEKPDVTYNDIGGCDIQKQEIREAVEMPLTHHELYKQIT >Potri.T124306.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:398:1238:-1 gene:Potri.T124306.v4.1 transcript:Potri.T124306.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124306.v4.1 MQLSLSLSLCRVQTKTSQNNSHLERVQQRQGERKNKSNTFTLRESTAKAGRAGCRERERERPIFVFPLLSSGSQF >Potri.006G136300.6.v4.1 pep chromosome:Pop_tri_v4:6:11205632:11206995:1 gene:Potri.006G136300.v4.1 transcript:Potri.006G136300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136300.v4.1 MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSEGS >Potri.014G065000.1.v4.1 pep chromosome:Pop_tri_v4:14:4013588:4014101:1 gene:Potri.014G065000.v4.1 transcript:Potri.014G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065000.v4.1 MAANQRPNLGFERDSIAVHHGVFALLVDTLNKQIQVKYQSMPTSPYDTNKWVMSAFLAALFVYATASVAEAIPRSQESVYQRLAGNIRLFASALATVFLLVLLIPAWG >Potri.005G097500.1.v4.1 pep chromosome:Pop_tri_v4:5:6941250:6946948:-1 gene:Potri.005G097500.v4.1 transcript:Potri.005G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097500.v4.1 MSVLIVTSLGDIVVDLYADKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGAGGDSVYKFLYGDQARFFGDEIHLDLKHSKMGTVAMASAGENLNASQFYFTLRDDLDYLDGKHTVFGEIAEGLETLTRINEAYVDEKNRPFKNIRIKHAYILDDPFDDPSQLAELIPEASPEGKPKDEVDGDVRLEDDWVPMDEQLGTAELEEVLRAKEAHSSAVVLESIGDIPEAETKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVISADIIRDYKTGDSLCYAFIEFETKEACELAYFKMDNALIDDRRIHVDFSQSVAKLWSQFRRKDNQPGKGRGCFKCGALDHMAKDCTGDPANKHQPSKYILKDDNMQRGGDNNSRYEMVFDGDTPESPRQGKRHGHRDPDYQIDREKKDGKERYRQSDRDRGHRQDTPDYQIDREKKDGKERYRQSDRDRGHRQDTRYPESKGGSRYPEERLDKEKYMDRRKDRDDRDYRKRSSDSQRDYDSHKDHRDYRKRTSDSHRDHDGHKGNRDEREHRRRSAENDDDPEYDRDRRNRDDKRSRAVR >Potri.005G097500.9.v4.1 pep chromosome:Pop_tri_v4:5:6941227:6946948:-1 gene:Potri.005G097500.v4.1 transcript:Potri.005G097500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097500.v4.1 MSVLIVTSLGDIVVDLYADKCPLTCKNFLKLCKIKYYNGCLFHTVQKDFTAQTGDPTGTGAGGDSVYKFLYGDQARFFGDEIHLDLKHSKMGTVAMASAGENLNASQFYFTLRDDLDYLDGKHTVFGEIAEGLETLTRINEAYVDEKNRPFKNIRIKHAYILDDPFDDPSQLAELIPEASPEGKPKDEVDGDVRLEDDWVPMDEQLGTAELEEVLRAKEAHSSAVVLESIGDIPEAETKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVISADIIRDYKTGDSLCYAFIEFETKEACELAYFKMDNALIDDRRIHVDFSQSVAKLWSQFRRKDNQPGKGRGCFKCGALDHMAKDCTGDPANKHQPSKYILKDDNMQRGGDNNSRYEMVFDGDTPESPRQGKRHGHRDPDYQIDREKKDGKERYRQSDRDRGHRQDTRYPESKGGSRYPEERLDKEKYMDRRKDRDDRDYRKRSSDSQRDYDSHKDHRDYRKRTSDSHRDHDGHKGNRDEREHRRRSAENDDDPEYDRDRRNRDDKRSRAVR >Potri.004G163000.2.v4.1 pep chromosome:Pop_tri_v4:4:18263190:18265694:1 gene:Potri.004G163000.v4.1 transcript:Potri.004G163000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163000.v4.1 MSVAAAAAAGYLGRRAAQKERVRILYRRALKDTLNWAVHRHLFYEDADLLRARFETSKHVEDPDTIDRMIADGEAQYNKWRHPDPYIVPWAPGGSKFTRNPTPPEGIEIVYNYGREDND >Potri.003G223300.3.v4.1 pep chromosome:Pop_tri_v4:3:21627168:21629352:-1 gene:Potri.003G223300.v4.1 transcript:Potri.003G223300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223300.v4.1 MWYCNGCPHFFKDRFHAWLRDYDSLQYLALFLIYLQIGCSLIGSLGALYNGILLLNLAISLFALVAIESSSQRLCRTYAFLLFSSILLDIAWFILFSHPIWNISSDKYGMFFVFSVKLTLAMQIVGFTVRLPSSLLWIQIYRLGVPYIDSGSIYDPAYYSSLFEDGQYSRRLRQDKNSGICDDGSTSSAEASQVKVTTRRAFEAVWM >Potri.004G232700.1.v4.1 pep chromosome:Pop_tri_v4:4:23795781:23796746:1 gene:Potri.004G232700.v4.1 transcript:Potri.004G232700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232700.v4.1 MYSSNNVPWRVSGGPFTTEDRMLFEGSVFCNGVIHWLNISSGSSLCFDVDGEQLKQMPMPPIPQIDDGMEQRNVRYFGESRGRLLLVEIHGPPPQANTQLDVYEMRADYSGWFVKHHIDLNAIAGAFPEMIMSSSLEFWELTSYAFEILAFVRGESDEDSFSVLLHIPGKVIPYDFKEKNFVMFNVYQYVESLACV >Potri.004G086900.1.v4.1 pep chromosome:Pop_tri_v4:4:7285006:7288986:1 gene:Potri.004G086900.v4.1 transcript:Potri.004G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086900.v4.1 MFFCCGVESVVILGFGRWAWKRCTYIGANDSANWTLATPEEFEPIPRMCRLVLAVYESDLHNPQFIPQHGYRLNPDRVIKRVTYEQTQGRAPPYIIYIDHDHKEIVLAIRGLNLYKESDYKTLLDNRLGMQMFDGGFVHHGLLKSAVWLLNEEGETLKRLWEENGKVYDMVFAGHSLGSGVAALLTVIVVNHRDKLGGIPREKIRCYVMAPARCMSLNLAVKYADVIHSIILQDDFLPRTATPLEDIFKSIFCLPCLLFLVCLRDTFIPEGRKLRDPRRLYAPGRMYHIVERKFCRCGRFPPEVRTAIPVDGRFEHIVLSCNATSDHGIIWIERESQKALEKMKEISSETITTPPDVQKFERMQTIEQEHRDALERAVSLNIPHAVATPDAEPCMDNDAEPCMDNGTVPSQSEGEQASKTKSTSSGGKTNWDEVVKKLFKKGESGHLVLNKDVTALNNISVVQPS >Potri.010G035700.1.v4.1 pep chromosome:Pop_tri_v4:10:6475255:6478986:-1 gene:Potri.010G035700.v4.1 transcript:Potri.010G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G035700.v4.1 MRRCAVIFLGYSFPHFSCHACLGFSPIRLPFLVGRYLSQSLHASSNTRPFPNYSPKKPTILDAQLVHQISNAIKLRHSEPLRHILKPYESKFRSDHLIWVLMNIRHDYKLALDFFDWACLRRDPNLEARCIIVQIAVASKDLKLAHDLICDFWAIPNLDFGVSFPHFVDRLIYTYKEWGSDPHVFDIFFQVLIEVGMLDEARSFFDKLLNYGVVISTDSCNLYLTRLSDNFDRRRISIKVFNEFPQVGVCWNTASCNIIINSLCRLGRVKEAHCLLMQMKFRGDAPDVVSYSTVINGYCLGGELQKVLKLIQEMQMKGLKPNLYTYNSIILLLCKSGKVDDAERVLREMINQGIVPDTVVYTTLIDGFCKLGNIQAAYKLFDEMEKQRIVPDFIAYTAVICGLCRCGKMMEADKVFNKMFSRGVEPDEVTYTTLIDGYCKSGEMEKAFSLHNQMVQSGLTPNVVTYTALADGLCKLGQVDTANELLHEMCGKGLQLNICTYNSLVNGLCKSGNIRQAVKLMEEMEVAGMYPDTITFTTLMDAYCKTGEMVKAHELLREMLDRGLQPTVITFNVLMNGFCMSGMLEDGERLLAWMLEKGIMPNTTTYNSLMKQYCIRNNMRCTTEIYKGMCARGVMPDSNTYNILIKGHCKARNMKEAWFLHKEMAEKGFNLTASSYNSIIKGFFKKKKISEARELFEEMRREGMAADAEIYNLFVDISYGEGNMETALELCDEAIENCFLNRIKKEKQ >Potri.004G065750.1.v4.1 pep chromosome:Pop_tri_v4:4:5640154:5640582:-1 gene:Potri.004G065750.v4.1 transcript:Potri.004G065750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065750.v4.1 MAFDVTSFLSLGQEPKADTKGKGFAGAPNPTTVPTKEAQHPRKGWRKGVAIFDLVLELSAIVAGLAATSITDHTFPFFSLSSFSSKLSLVISQLSCFSWLLMP >Potri.001G037900.6.v4.1 pep chromosome:Pop_tri_v4:1:2739868:2747912:-1 gene:Potri.001G037900.v4.1 transcript:Potri.001G037900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037900.v4.1 MEKKKYPIGSENYLLYEEVGQGVSASVHRALCVPFDEIVAIKILDFERDNADLSNISREVQTMILVDHPNVLKSQCSFVSDHNLWVVMPFMAGGSCLHILKAAYPDGFEELVIATILREVLKGIEYLHQQGHIHRDVKAGNILVDGRGAVKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDLERDKKFSKSFKQMIASCLVKDPLKRPSANKLLKHSFFKQARSNDYIVRTLLDGLPDLGDRIKDLKRKEEDMLAQKKMPDGEMEELSQNEYKRGISGWNFNLEDVKAQASLIPDAEDHTTDSNPGGSSNSLSTLDAVEKQSEPRNSSLGQVTEMMEDKDMQNRAAPLPSVNSAINITKVRSVKSDDDSINASPCHERHVSQNSSPFPDRVEGNATERPASDINGKPSDKLQNQPPNNSNINGAIINQDGDDVPSENPSKPFKSSGASSEELDEKAKPPVVQQRGRFKVTSENVDIEKAVSPLVLQKSHSMQVRNFEVLTQHPGTPSPSPSETIPSTVLDHSVFPLLLSLLQTNITQRDGILHLMRQLYGGDTAGNRTTDGGWATAQGGSTEKSLIEAAHDREKELLHEITELQWRLIRTQEELQKYKTENAQV >Potri.001G037900.7.v4.1 pep chromosome:Pop_tri_v4:1:2739868:2747912:-1 gene:Potri.001G037900.v4.1 transcript:Potri.001G037900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037900.v4.1 MEKKKYPIGSENYLLYEEVGQGVSASVHRALCVPFDEIVAIKILDFERDNADLSNISREVQTMILVDHPNVLKSQCSFVSDHNLWVVMPFMAGGSCLHILKAAYPDGFEELVIATILREVLKGIEYLHQQGHIHRDVKAGNILVDGRGAVKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDLERDKKFSKSFKQMIASCLVKDPLKRPSANKLLKHSFFKQARSNDYIVRTLLDGLPDLGDRIKDLKRKEEDMLAQKKMPDGEMEELSQNEYKRGISGWNFNLEDVKAQASLIPDAEDHTTDSNPGGSSNSLSTLDAVEKQSEPRNSSLGQVTEMMEDKDMQNRAAPLPSVNSAINITKVRSVKSDDDSINASPCHERHVSQNSSPFPDRVEGNATERPASDINGKPSDKLQNQPPNNSNINGAIINQDGDDVPSENPSKPFKSSELFEGASSEELDEKAKPPVVQQRGRFKVTSENVDIEKAVSPLVLQKSHSMQVLTQHPGTPSPSPSETIPSTVLDHSVFPLLLSLLQTNITQRDGILHLMRQLYGGDTAGNRTTDGGWATAQGGSTEKSLIEAAHDREKELLHEITELQWRLIRTQEELQKYKTENAQV >Potri.001G037900.4.v4.1 pep chromosome:Pop_tri_v4:1:2739877:2747900:-1 gene:Potri.001G037900.v4.1 transcript:Potri.001G037900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037900.v4.1 MEKKKYPIGSENYLLYEEVGQGVSASVHRALCVPFDEIVAIKILDFERDNADLSNISREVQTMILVDHPNVLKSQCSFVSDHNLWVVMPFMAGGSCLHILKAAYPDGFEELVIATILREVLKGIEYLHQQGHIHRDVKAGNILVDGRGAVKLGDFGVSACLFDSGDRQRMRNTFVGTPCWMAPEVMEQLHGYDFKADIWSFGITALELAHGHAPFSKYPPMKVLLMTLQNAPPGLDLERDKKFSKSFKQMIASCLVKDPLKRPSANKLLKHSFFKQARSNDYIVRTLLDGLPDLGDRIKDLKRKEEDMLAQKKMPDGEMEELSQNEYKRGISGWNFNLEDVKAQASLIPDAEDHTTDSNPGGSSNSLSTLDAVEKQSEPRNSSLGQVTEMMEDKDMQNRAAPLPSVNSAINITKVRSVKSDDDSINASPCHERHVSQNSSPFPDRVEGNATERPASDINGKPSDKLQNQPPNNSNINGAIINQDGDDVPSENPSKPFKSSGASSEELDEKAKPPVVQQRGRFKVTSENVDIEKAVSPLVLQKSHSMQVLTQHPGTPSPSPSETIPSTVLDHSVFPLLLSLLQTNITQRDGILHLMRQLYGGDTAGNRTTDGGWATAQGGSTEKSLIEAAHDREKELLHEITELQWRLIRTQEELQKYKTENAQVGI >Potri.007G108301.1.v4.1 pep chromosome:Pop_tri_v4:7:13071317:13072280:-1 gene:Potri.007G108301.v4.1 transcript:Potri.007G108301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108301.v4.1 MRSKIFTFLLLSLVFPHYMFHQPLIFVNGDMKLIQETCKNTKYYDLCVSSLKTNATSTKTDTKGLALIMIGVGMANATATSSYLSSQLLSTAPNDPTMKKVLRECADKYGYAANALQDSVQDLATESYDYAYMHVMGASDYPNACRNAFRRYPGLAYPSELARREDGLKHICDVVLGMIDHLGL >Potri.017G111300.1.v4.1 pep chromosome:Pop_tri_v4:17:11904594:11911549:1 gene:Potri.017G111300.v4.1 transcript:Potri.017G111300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111300.v4.1 METDDMIGLPGSIDFGYKNENDELSKSDFGPSESRSQPCSNDGKESKDDEEGLGLCEGVVGNEEGIVDPGCSGLNVGDTGTEEAATDQSNLVLEERDIGSKGVQFAVETEADMDLVVSPVRQVNLDVVDAVIVSKKPDISSIIGNVEDCFLDTQNNSLVQQGKVDGSHISGVKRKRMAYDEQQPSVHVMYNSLTRASKQKLEELLQQWSEWHAQQNSSHDSDEMLQSGEDTYFPALRVGMEKSSAVSFWIENQARKQQDNDLILQHSNFVPLYDRGYVLGLTSADGPINVEGGLEIVDAAARCFNCGAYNHSLKECPKPRDNAAVNNARKQHKFKRNQNSSSRNPTRYYQSSSGGKYDGLKPGSLDTETRQLLGLGELDPPPWLNRMRELGYPPGYLDPDDEDQPSGITIFDDGDVEEEQEDGEIMETDHPEPPRKMSVEFPGINAPIPENANQRFWEVGPSSSDPFRHRSRHRSNHSSEATGRWHHHEQRQYRDFINDGPPGVDPVFSPSMSSYPPRYGHHDSSYSSDSPRDLSPAFGRSNSDRGRGALVYEDFASQGSSSYSSSRKRSSPQNIGSARYETDNSRDDYDTDYSYRDYSFRSEYDNDRYRRRSRR >Potri.001G033600.1.v4.1 pep chromosome:Pop_tri_v4:1:2498919:2502566:1 gene:Potri.001G033600.v4.1 transcript:Potri.001G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033600.v4.1 MSPASKSKTKSKDKTFVKSAKEQQKASIKPSGSTNTVSGSPVNAYNPISGTFHALEIPSAAAFPPPAAAFPPLHDNGRFRNIDDPDEHSSSPRGTLSEYDSVSNNGSCSGESEDIKERANSTRQETVPGLDSDKREKIRLKNEKKHQRQREKRAQELHERCIAYLRSRKLERLSEQLVAMGFSHERATLALMLNEGRVEESVNWLFEGSEEEAQSKDSELGSGGNLNIDISEELAQISALEMRYKCSKQEVERAVVACEGDLVKAEETLHAQKQELPTTPPRPEYTVDTNNLRRLHEKPVPVPVPVPVPVPAASVIAQQRMNEPDFNYKTAIPAPTYSEPGSRNLQPLNQPKSLADKRWGTTGSSPAFPSSTVPSMQVAPPSTKFDVRLGFAGNEGKKLQQIVREPVIMMQRPQSINAKQNTVPSASTTPVTSGWYSNNVSGVENMRPNVKLLPNQSTGNFGLVNQSSEQFYNPVSRKENSFLFSGPATSNRQGGTRSPSFTVPSQLQGSYGKTTASLPSLAAPSSLGLFTGWGAAGTLGSPHVDWDTGSLMPEFDYTSIDWTLDSNLLSSKSNGLWLGLSSLLRNTSITRTSGTNSSFLSGLRDSGMAKETSSSAGSSEWTSPFAGKDMFSLPRQFVTSPSPYD >Potri.001G033600.2.v4.1 pep chromosome:Pop_tri_v4:1:2498942:2502470:1 gene:Potri.001G033600.v4.1 transcript:Potri.001G033600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033600.v4.1 MSPASKSKTKSKDKTFVKSAKEQQKASIKPSGSTNTVSGSPVNAYNPISGTFHALEIPSAAAFPPPAAAFPPLHDNGRFRNIDDPDEHSSSPRGTLSEYDSVSNNGSCSGESEDIKERANSTRQETVPGLDSDKREKIRLKNEKKHQRQREKRAQELHERCIAYLRSRKLERLSEQLVAMGFSHERATLALMLNEGRVEESVNWLFEGSEEEAQSKDSELGSGGNLNIDISEELAQISALEMRYKCSKQEVERAVVACEGDLVKAEETLHAQKQELPTTPPRPEYTVDTNNLRRLHEKPVPVPVPVPVPVPAASVIAQQRMNEPDFNYKTAIPAPTYSEPGSRNLQPLNQPKSLADKRWGTTGSSPAFPSSTVPSMQVAPPSTKFDVRLGFAGNEGKKLQQIVREPVIMMQRPQSINAKQNTVPSASTTPVTSGWYSNNVSGVENMRPNVKLLPNQSTGNFGLVNQSSEQFYNPVSRKENSFLFSGPATSNRQGGTRSPSFTVPSQLQGSYGKTTASLPSLAAPSSLGLFTGWGAAGTLGSPHVDWDTGSLMPEFDYTSIDWTLDSNLLSSKSNGLWLGLSSLLRNTSITRTSGTNSSFLSGLRDSGMAKETSSSAGSSEWTSPFAGKDMFSLPRQFVTSPSPYD >Potri.011G135600.2.v4.1 pep chromosome:Pop_tri_v4:11:16802329:16805244:1 gene:Potri.011G135600.v4.1 transcript:Potri.011G135600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135600.v4.1 MLRAIKTTPLHRFSSLTSISRSISTLILAEHDGGAIKSQSISAVEAANSLDKQTSISLLLAGSGPSLQQAAAHAASCHPSISQVLVADSDKFTYPLAEKWARLVQLVQKKGEYSHIITASNSFGKNILPRAAALLDVSPITDVIAISASNQFIRPIYAGNALCTVRYSGSNPCMLSVRPTSFAVSVDSSKCNEAPISQVDLSTFDEDSVGKSRYVNHTAQDTERPDLGNAQVVITGGRALKSAENFKMIEKLAEKLGAAVGATRAAVDAGFVPNDLQVGQTGKIVAPELYMAFGVSGAIQHLAGMRDSKVIVAVNKDADAPIFQVADYGLVGDLFEVIPELLEKLPEKK >Potri.017G112500.1.v4.1 pep chromosome:Pop_tri_v4:17:12022576:12030503:1 gene:Potri.017G112500.v4.1 transcript:Potri.017G112500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112500.v4.1 MVLVQSSKLSLPPSLPPTKSILFEPNSLSLALMHTDSSVSLFPCLSFPSPPLPPKPQTLVPSPSSSSSFLLIHQDPIPKVLFLVASPYKGGYQILLRFYLLQKDNIFCKPQVVCNQKGIAFDSKLGVLLDINHGVSIKIVGSVNFFVLHSVSSKKVWVFAVKLIDDGDGEMVKLMRCAVIECSVPVWSISVSSGVLVLGEDNGVRVFNLRQLVKGRVKNVKDISSNGKSDGKGFKLPNGVVGDDYFHGSSSGNGCNGVLDMKTDKQYVSVKLRSVRCRQDSGEGGACFVAFKREEVEVLKPKTSKAVSIQALSHKKFVILDSMGDLHILCLSAPVIGSNFMAHMRRLPHSMKVQKLAVLPDISLKMQTFWVSDGLHSVHTITLSDMGAAVNSNNEDETQEKLIQITVIQAIFSAEKIQDLIPLGANGILILGQGNIYSYAIP >Potri.003G136000.5.v4.1 pep chromosome:Pop_tri_v4:3:15359978:15364439:1 gene:Potri.003G136000.v4.1 transcript:Potri.003G136000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136000.v4.1 MHTRGGSSSRGQSGLGFGSRVSSLLLAMFATMATIYVAGRLWQDAETRLHLVEELDERIGQGKSAVSVDDTLKIIACREQQKRLSAVEMELAAARQAGFVSNKLVDKGDGHSKKRILVVIGIITTFGRKKNRDAIRKAWMPTGAALKKMEDEKGIVLRFVIGRSANRGDSLDREIDNENRQTNDFIVLDGQVEATEEQPKKSKLFFIHAVETWDAEFYAKVNDDVYVNIDALGATLSTHLDKPRTYIGCMKSGEVFSEPTHKWYEPDWWKFGDAKSYFRHASGEIYAISRALAQFISINRSLLRTYAHDDVSTGSWFIGLDVKHIDESKFCCSSWATGSICAAV >Potri.003G136000.1.v4.1 pep chromosome:Pop_tri_v4:3:15359971:15364428:1 gene:Potri.003G136000.v4.1 transcript:Potri.003G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136000.v4.1 MHTRGGSSSRGQSGLGFGSRVSSLLLAMFATMATIYVAGRLWQDAETRLHLVEELDERIGQGKSAVSVDDTLKIIACREQQKRLSAVEMELAAARQAGFVSNKLVDKGDGHSKKRILVVIGIITTFGRKKNRDAIRKAWMPTGAALKKMEDEKGIVLRFVIGRSANRGDSLDREIDNENRQTNDFIVLDGQVEATEEQPKKSKLFFIHAVETWDAEFYAKVNDDVYVNIDALGATLSTHLDKPRTYIGCMKSGEVFSEPTHKWYEPDWWKFGDAKSYFRHASGEIYAISRALAQFISINRSLLRTYAHDDVSTGSWFIGLDVKHIDESKFCCSSWATGSICAAV >Potri.014G019100.2.v4.1 pep chromosome:Pop_tri_v4:14:1168687:1171947:1 gene:Potri.014G019100.v4.1 transcript:Potri.014G019100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019100.v4.1 MASSFDRWEKDPFFSAAEEVQESADRMESTYRTWIHSKKEESSMWDSEQLCRDLHTALGTTKWQLEEFARAVGSSYVKSSVDDARDRHRDFIVAIEDHILRIENSLKECALSEGKTSLPWVHLDEGECNELALFLSGPPTTSRENISKNHVMESGMTQEGGEESTPHSSKNLTNLVMCSSLEPRDEKLHGHRRTASASADIGAWKIAIAEDVCLSDPCNEKAPIPRPPRKVPSLSGIVSSMESVSKFNWPKNGVRKWKAMDRQQESDTIPLQSSQLTRGIDACYEKSKSCLDSYNECYDKQIYGWYGAMQRQLQRSQYQMQYSRPVQAALSVVFLFCLIVLIVLRAI >Potri.012G077500.2.v4.1 pep chromosome:Pop_tri_v4:12:10137065:10146152:1 gene:Potri.012G077500.v4.1 transcript:Potri.012G077500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077500.v4.1 MLHGREGEERKTDHRHMWTGPSRGNSVVAGDDVVSDSFFKDGRKISVGDCALFKPPQDSPPFIGIIRWLTTSKENKLKLGVNWLYRRSEVKLGKAILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDHLLNKTRLEMHATVQPGGRSPKPVNGPTSTSQLKPGSDSVQNSVSSFPSQGKGKKRERIDQGSEPVKRERFTKMDDGDSGHSRPESMWKSEISKFTDRGGLVDSEGVEKLVHLMMPERNDKKIDLVGRSILAGVVAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKNGDGSSPKDGDKSAEEFLLVLLWALDKLPVNLHALQMCNIGKSVNNLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNQGVSWTARSRLPEISHGGNRQFGVSSEVAMKSTVVQLSASKTGSVKVVQGETVARSASTSPGPIRSTASPGSAGNNSKEAHPRNTGASGASDPSVVVARDEKSSSSSQSHNNSQSCSSDHAKNGGVSGKEDARSSTAGSMMVSKMVGVSLRHRKSGNGFPGQAMSGVQKETGSSRNSSLHKNLGSEKLSQSSLTCEKALDVPVAEGNGHKFIVKIPNRGRSPAQSASGGSLEDPSVMNSRASSPVLSEKHDHFDRNLKEKNDAYRANITSDVNTESWQSNDFKEVLTGSDEGDGSPTTVPDEEHCRTGDDSRKLAEASKATSSSSANEEKMVKLHDASFSSMNALIESCAKYSEANASMSVGDDIGMNLLASVAAGEMSKSDTVSPTDSPRRNTPVVESSCAGSDARPKSSPGEDPAQDRGQFVDVVNDEHEKRAIVLGTSLAAKNFDGKTILISQEKLKGQLNGQFNSSNMDVQQTSECPESNLKSEEVLVSVSVAVPSPSTVEKASFDGGKEPQEDKGVGRSNADGVSAAKEKLHRSITTEDKVNITRMEVGTEVNNISSSYPSIKLNGENNKNMNENDEEKPPTKMHPELTEGSDGEVLQPYGSSKDMVSENMDEVKAERAGEATEKRNSEHESNTGPDATNNKGECVDDRQEDKQVNEKHGDGSALHESSPAIGQKPEQEARSRGSKLTGTEGDETEECTSADASSLTATGGLDQETKVVFDLNEGFNADDGKYEELNNLRAPGCSAPVQLINPLPLAVSSVSNGLPASITVASAAKGPFVPPEDLLKNRGELGWKGSAATSAFRPAEPRKALEISLGTASIFLTDATTSKPSRPPLDIDLNVADERVLEDLASRSSSRGAVSVADLVNNHDRVQDAPMASASVRSSGGLDLDLNRVDEPNDMGNHLTSMDCRLEAQLHHVKPSSGVLNGDVNACRDFDLNDGPLAEEMSAEPSPFSQLTRSSVPSQPSVSGIRINSTETGNFPSWFPQGNPYPAVTIQSILPDRGEPPFSIVAPGGPQRMLAPPTGSSSFSSDIYRGPVLSSSPAMSLPSMPFQYPVFPFGTNFPLSPATFSGGSTAYMDSSSGGRLCFPATPSQVIGPATAIHSHYPRPSYVVNFPDGNSNGGAESSRKWGRQGLDLNAGPLGPDAEGRDETSSLVSRQLSVASSQALTEEQSRMYHLATGSLLKRKEPEGGWEGYK >Potri.012G077500.6.v4.1 pep chromosome:Pop_tri_v4:12:10140161:10147042:1 gene:Potri.012G077500.v4.1 transcript:Potri.012G077500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077500.v4.1 MWKSEISKFTDRGGLVDSEGVEKLVHLMMPERNDKKIDLVGRSILAGVVAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKNGDGSSPKDGDKSAEEFLLVLLWALDKLPVNLHALQMCNIGKSVNNLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNQGVSWTARSRLPEISHGGNRQFGVSSEVAMKSTVVQLSASKTGSVKVVQGETVARSASTSPGPIRSTASPGSAGNNSKEAHPRNTGASGASDPSVVVARDEKSSSSSQSHNNSQSCSSDHAKNGGVSGKEDARSSTAGSMMVSKMVGVSLRHRKSGNGFPGQAMSGVQKETGSSRNSSLHKNLGSEKLSQSSLTCEKALDVPVAEGNGHKFIVKIPNRGRSPAQSASGGSLEDPSVMNSRASSPVLSEKHDHFDRNLKEKNDAYRANITSDVNTESWQSNDFKEVLTGSDEGDGSPTTVPDEEHCRTGDDSRKLAEASKATSSSSANEEKMVKLHDASFSSMNALIESCAKYSEANASMSVGDDIGMNLLASVAAGEMSKSDTVSPTDSPRRNTPVVESSCAGSDARPKSSPGEDPAQDRGQFVDVVNDEHEKRAIVLGTSLAAKNFDGKTILISQEKLKGQLNGQFNSSNMDVQQTSECPESNLKSEEVLVSVSVAVPSPSTVEKASFDGGKEPQEDKGVGRSNADGVSAAKEKLHRSITTEDKVNITRMEVGTEVNNISSSYPSIKLNGENNKNMNENDEEKPPTKMHPELTEGSDGEVLQPYGSSKDMVSENMDEVKAERAGEATEKRNSEHESNTGPDATNNKGECVDDRQEDKQVNEKHGDGSALHESSPAIGQKPEQEARSRGSKLTGTEGDETEECTSADASSLTATGGLDQETKVVFDLNEGFNADDGKYEELNNLRAPGCSAPVQLINPLPLAVSSVSNGLPASITVASAAKGPFVPPEDLLKNRGELGWKGSAATSAFRPAEPRKALEISLGTASIFLTDATTSKPSRPPLDIDLNVADERVLEDLASRSSSRGAVSVADLVNNHDRVQDAPMASASVRSSGGLDLDLNRVDEPNDMGNHLTSMDCRLEAQLHHVKPSSGVLNGDVNACRDFDLNDGPLAEEMSAEPSPFSQLTRSSVPSQPSVSGIRINSTETGNFPSWFPQGNPYPAVTIQSILPDRGEPPFSIVAPGGPQRMLAPPTGSSSFSSDIYRGPVLSSSPAMSLPSMPFQYPVFPFGTNFPLSPATFSGGSTAYMDSSSGGRLCFPATPSQVIGPATAIHSHYPRPSYVVNFPDGNSNGGAESSRKWGRQGLDLNAGPLGPDAEGRDETSSLVSRQLSVASSQALTEEQSRMYHLATGSLLKRKEPEGGWEGYK >Potri.012G077500.5.v4.1 pep chromosome:Pop_tri_v4:12:10140106:10146390:1 gene:Potri.012G077500.v4.1 transcript:Potri.012G077500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077500.v4.1 MDDGDSGHSRPESMWKSEISKFTDRGGLVDSEGVEKLVHLMMPERNDKKIDLVGRSILAGVVAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKNGDGSSPKDGDKSAEEFLLVLLWALDKLPVNLHALQMCNIGKSVNNLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNQGVSWTARSRLPEISHGGNRQFGVSSEVAMKSTVVQLSASKTGSVKVVQGETVARSASTSPGPIRSTASPGSAGNNSKEAHPRNTGASGASDPSVVVARDEKSSSSSQSHNNSQSCSSDHAKNGGVSGKEDARSSTAGSMMVSKMVGVSLRHRKSGNGFPGQAMSGVQKETGSSRNSSLHKNLGSEKLSQSSLTCEKALDVPVAEGNGHKFIVKIPNRGRSPAQSASGGSLEDPSVMNSRASSPVLSEKHDHFDRNLKEKNDAYRANITSDVNTESWQSNDFKEVLTGSDEGDGSPTTVPDEEHCRTGDDSRKLAEASKATSSSSANEEKMVKLHDASFSSMNALIESCAKYSEANASMSVGDDIGMNLLASVAAGEMSKSDTVSPTDSPRRNTPVVESSCAGSDARPKSSPGEDPAQDRGQFVDVVNDEHEKRAIVLGTSLAAKNFDGKTILISQEKLKGQLNGQFNSSNMDVQQTSECPESNLKSEEVLVSVSVAVPSPSTVEKASFDGGKEPQEDKGVGRSNADGVSAAKEKLHRSITTEDKVNITRMEVGTEVNNISSSYPSIKLNGENNKNMNENDEEKPPTKMHPELTEGSDGEVLQPYGSSKDMVSENMDEVKAERAGEATEKRNSEHESNTGPDATNNKGECVDDRQEDKQVNEKHGDGSALHESSPAIGQKPEQEARSRGSKLTGTEGDETEECTSADASSLTATGGLDQETKVVFDLNEGFNADDGKYEELNNLRAPGCSAPVQLINPLPLAVSSVSNGLPASITVASAAKGPFVPPEDLLKNRGELGWKGSAATSAFRPAEPRKALEISLGTASIFLTDATTSKPSRPPLDIDLNVADERVLEDLASRSSSRGAVSVADLVNNHDRVQDAPMASASVRSSGGLDLDLNRVDEPNDMGNHLTSMDCRLEAQLHHVKPSSGVLNGDVNACRDFDLNDGPLAEEMSAEPSPFSQLTRSSVPSQPSVSGIRINSTETGNFPSWFPQGNPYPAVTIQSILPDRGEPPFSIVAPGGPQRMLAPPTGSSSFSSDIYRGPVLSSSPAMSLPSMPFQYPVFPFGTNFPLSPATFSGGSTAYMDSSSGGRLCFPATPSQVIGPATAIHSHYPRPSYVVNFPDGNSNGGAESSRKWGRQGLDLNAGPLGPDAEGRDETSSLVSRQLSVASSQALTEEQSRMYHLATGSLLKRKEPEGGWEGYK >Potri.012G077500.4.v4.1 pep chromosome:Pop_tri_v4:12:10137087:10146360:1 gene:Potri.012G077500.v4.1 transcript:Potri.012G077500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077500.v4.1 MLHGREGEERKTDHRHMWTGPSRGNSVVAGDDVVSDSFFKDGRKISVGDCALFKPPQDSPPFIGIIRWLTTSKENKLKLGVNWLYRRSEVKLGKAILLEAAPNEIFYSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEEVDHLLNKTRLEMHATVQPGGRSPKPVNGPTSTSQLKPGSDSVQNSVSSFPSQGKGKKRERIDQGSEPVKRERFTKMDDGDSGHSRPESMWKSEISKFTDRGGLVDSEGVEKLVHLMMPERNDKKIDLVGRSILAGVVAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKNGDGSSPKDGDKSAEEFLLVLLWALDKLPVNLHALQMCNIGKSVNNLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNQGVSWTARSRLPEISHGGNRQFGVSSEVAMKSTVVQLSASKTGSVKVVQGETVARSASTSPGPIRSTASPGSAGNNSKEAHPRNTGASGASDPSVVVARDEKSSSSSQSHNNSQSCSSDHAKNGGVSGKEDARSSTAGSMMVSKMVGVSLRHRKSGNGFPGQAMSGVQKETGSSRNSSLHKNLGSEKLSQSSLTCEKALDVPVAEGNGHKFIVKIPNRGRSPAQSASGGSLEDPSVMNSRASSPVLSEKHDHFDRNLKEKNDAYRANITSDVNTESWQSNDFKEVLTGSDEGDGSPTTVPDEEHCRTGDDSRKLAEASKATSSSSANEEKMVKLHDASFSSMNALIESCAKYSEANASMSVGDDIGMNLLASVAAGEMSKSDTVSPTDSPRRNTPVVESSCAGSDARPKSSPGEDPAQDRGQFVDVVNDEHEKRAIVLGTSLAAKNFDGKTILISQEKLKGQLNGQFNSSNMDVQQTSECPESNLKSEEVLVSVSVAVPSPSTVEKASFDGGKEPQEDKGVGRSNADGVSAAKEKLHRSITTEDKVNITRMEVGTEVNNISSSYPSIKLNGENNKNMNENDEEKPPTKMHPELTEGSDGEVLQPYGSSKDMVSENMDEVKAERAGEATEKRNSEHESNTGPDATNNKGECVDDRQEDKQVNEKHGDGSALHESSPAIGQKPEQEARSRGSKLTGTEGDETEECTSADASSLTATGGLDQETKVVFDLNEGFNADDGKYEELNNLRAPGCSAPVQLINPLPLAVSSVSNGLPASITVASAAKGPFVPPEDLLKNRGELGWKGSAATSAFRPAEPRKALEISLGTASIFLTDATTSKPSRPPLDIDLNVADERVLEDLASRSSSRGAVSVADLVNNHDRVQDAPMASASVRSSGGLDLDLNRVDEPNDMGNHLTSMDCRLEAQLHHVKPSSGVLNGDVNACRDFDLNDGPLAEEMSAEPSPFSQLTRSSVPSQPSVSGIRINSTETGNFPSWFPQGNPYPAVTIQSILPDRGEPPFSIVAPGGPQRMLAPPTGSSSFSSDIYRGPVLSSSPAMSLPSMPFQYPVFPFGTNFPLSPATFSGGSTAYMDSSSGGRLCFPATPSQVIGPATAIHSHYPRPSYVVNFPDGNSNGGAESSRKWGRQGLDLNAGPLGPDAEGRDETSSLVSRQLSVASSQALTEEQSRMYHLATGSLLKRKEPEGGWEGYK >Potri.005G174800.4.v4.1 pep chromosome:Pop_tri_v4:5:18016376:18022115:1 gene:Potri.005G174800.v4.1 transcript:Potri.005G174800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174800.v4.1 MMVVEGGEDSVDKEKKKNRRRSNRRSKRNPPNPAFSQPNEPRGESSLSVGNGGKTKCSRQLELDANPIREHGPTTASGIVYSSMPTMHANEQLEDLVPSDRGGSMLAKPCPESIVGGGLNGKSLPFHQFEGQAQSKIFAPYWSMETVNEALEKGDVFKVLFRVNAHNRLEAYCKIEGVPTDLLISGIAVQNRAVEGDVVVIEVDPLSFWTKMKGSNEPSNNLSTAEDSNLHLEANGKAGGSRQGKIKLNMDCKYADFGNSLVPHKGFYYGYSSCAGEDVHDELNGPVGYNYANGYHQSPSDSSHVAHSMGQSEVLNGVGRMCSMISSYPSKRPTCRVVAIIEKSPRRDAIIGFLNVKQWFYYKEGCKKDAKKNKSLLSISNCEYIEIMPADPRFPKLMVLVSSLPNCIKKRLEDEDETVEMELVAAQIDKWSDESPFPEAHVSYIFGRGSEMESQINAILHENAVCCSEFSPESLSCLPSNTWEVPEEEFQNRRDLRNLCIFTIDPSIATDLDDALSVQRLPNGLVRVGVHITDVSYFVLPDTALDKEAQIRSTSVYMSQRKIPMLPPLLSKDVGSLNPGVDRLAFSIFWNLNSSGNVVDRWIGRTVIRSCCKLSYEHAREIFDGMIDAETHNNFRDLPQLHGHFEWADVIGSIKCLHEISKTLREKRFDDGALQLESCKIVFSFDKHGVPYDNTLCGRKDSNFLVEEFMLLANRTAAEIISRAFPDNALLRRHPEPNIQKLKEFEAFCCKHGLELDTSSGNFRRSLEHIKEKLKDDSVLLNILINYASRPMQLATYFCSGDLKDNMNDWGHYALAVPLYTHFTSPLRRYPDIVVHRTLAAAIEAEQLYMMNRRMSHKVRPGEEVTRCFTGICFLKDAAGSSEGREALSAAALKHRIPCTKLLTDVAAYSNERKLASRHVKDACDKLYMWVSVKRKEVLLSDARVLGLGPRFMSIYIHKLAFERRIYYDEVEGLTVEWLEATSTLVLSIHASKCSARRAGPGYYRALDEVAWVINPCDHNMEPDMESTQGCHAAQHSDPILKSEIDPFVFPLTVRLLSTIPVALHATGGDDDGPRNIGARLFMSSYFT >Potri.005G174800.3.v4.1 pep chromosome:Pop_tri_v4:5:18017316:18022099:1 gene:Potri.005G174800.v4.1 transcript:Potri.005G174800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174800.v4.1 MIWFLFLIVTRAAFSQPNEPRGESSLSVGNGGKTKCSRQLELDANPIREHGPTTASGIVYSSMPTMHANEQLEDLVPSDRGGSMLAKPCPESIVGGGLNGKSLPFHQFEGQAQSKIFAPYWSMETVNEALEKGDVFKVLFRVNAHNRLEAYCKIEGVPTDLLISGIAVQNRAVEGDVVVIEVDPLSFWTKMKGSNEPSNNLSTAEDSNLHLEANGKAGGSRQGKIKLNMDCKYADFGNSLVPHKGFYYGYSSCAGEDVHDELNGPVGYNYANGYHQSPSDSSHVAHSMGQSEVLNGVGRMCSMISSYPSKRPTCRVVAIIEKSPRRDAIIGFLNVKQWFYYKEGCKKDAKKNKSLLSISNCEYIEIMPADPRFPKLMVLVSSLPNCIKKRLEDEDETVEMELVAAQIDKWSDESPFPEAHVSYIFGRGSEMESQINAILHENAVCCSEFSPESLSCLPSNTWEVPEEEFQNRRDLRNLCIFTIDPSIATDLDDALSVQRLPNGLVRVGVHITDVSYFVLPDTALDKEAQIRSTSVYMSQRKIPMLPPLLSKDVGSLNPGVDRLAFSIFWNLNSSGNVVDRWIGRTVIRSCCKLSYEHAREIFDGMIDAETHNNFRDLPQLHGHFEWADVIGSIKCLHEISKTLREKRFDDGALQLESCKIVFSFDKHGVPYDNTLCGRKDSNFLVEEFMLLANRTAAEIISRAFPDNALLRRHPEPNIQKLKEFEAFCCKHGLELDTSSGNFRRSLEHIKEKLKDDSVLLNILINYASRPMQLATYFCSGDLKDNMNDWGHYALAVPLYTHFTSPLRRYPDIVVHRTLAAAIEAEQLYMMNRRMSHKVRPGEEVTRCFTGICFLKDAAGSSEGREALSAAALKHRIPCTKLLTDVAAYSNERKLASRHVKDACDKLYMWVSVKRKEVLLSDARVLGLGPRFMSIYIHKLAFERRIYYDEVEGLTVEWLEATSTLVLSIHASKCSARRAGPGYYRALDEVAWVINPCDHNMEPDMESTQGCHAAQHSDPILKSEIDPFVFPLTVRLLSTIPVALHATGGDDDGPRNIGARLFMSSYFT >Potri.008G169450.1.v4.1 pep chromosome:Pop_tri_v4:8:11728139:11729999:1 gene:Potri.008G169450.v4.1 transcript:Potri.008G169450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169450.v4.1 MVRFTREGALLSLLCLNVLVVSVLARDVVAVRNEDEKFLGIEKGGGFGGGFGGGGGAGGGFGGGAGGGGGFGGGAGGGGGFGGGAGGGGGFGGGAGGGGGLGGGAGGGGGLGGGAGGGIGKGGGLGGGIGKGGGLGGGIGKGGGLGGGIGKGGGIGKGGGLGGGIGKGGGLGGGIGKGGGIGGGIGKGGGLGGGIGKGGGVGGGIGKGGGLGGGIGKGGGIGGGIGKGGGIGGGIGKGGGLGGGIGKGGGLGGGIGKGGGLGGGGGKGGGVGGGIGKGGGLGGGIGKGGGIGGGIGKGGGLGGGIGKGGGTGGGIGKGGGIGGGIGKGGGLGGGIGKGGGVGGGIGKGGGLGGGIGEGGGVGGGIGKGGGIGGGIGKGGGIGGGIGKGGGVGGGGGFGGGSGGGIGGGFGKGGGVGGGIGGGSGGGFGGGGGSGGGFGGGGGFGGGGGGGIGHH >Potri.008G169450.3.v4.1 pep chromosome:Pop_tri_v4:8:11728139:11729999:1 gene:Potri.008G169450.v4.1 transcript:Potri.008G169450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169450.v4.1 MVRFTREGALLSLLCLNVLVVSVLARDVVAVRNEDEKFLGIEKGGGFGGGFGGGGGAGGGFGGGAGGGGGFGGGAGGGGGFGGGAGGGGGFGGGAGGGGGLGGGAGGGGGLGGGAGGGIGKGGGLGGGIGKGGGLGGGIGKGGGLGGGIGKGGGIGKGGGLGGGIGKGGGLGGGIGKGGGIGGGIGKGGGLGGGIGKGGGVGGGIGKGGGLGGGIGKGGGIGGGIGKGGGIGGGIGKGGGLGGGIGKGGLGGGIGKGGGIGGGIGKGGGLGGGIGKGGGTGGGIGKGGGIGGGIGKGGGLGGGIGKGGGVGGGIGEGGGVGGGIGKGGGIGGGIGKGGGIGGGIGKGGGVGGGGGFGGGSGGGIGGGFGKGGGVGGGIGGGSGGGFGGGGGSGGGFGGGGGFGGGGGGGIGHH >Potri.008G169450.2.v4.1 pep chromosome:Pop_tri_v4:8:11728139:11729999:1 gene:Potri.008G169450.v4.1 transcript:Potri.008G169450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169450.v4.1 MVRFTREGALLSLLCLNVLVVSVLARDVVAVRNEDEKFLGIEKGGGFGGGFGGGGGAGGGFGGGAGGGGGFGGGAGGGGGFGGGAGGGGGFGGGAGGGGGLGGGAGGGGGLGGGAGGGIGKGGGLGGGIGKGGGLGGGIGKGGGLGGGIGKGGGIGKGGGLGGGIGKGGGLGGGIGKGGGIGGGIGKGGGLGGGIGKGGGVGGGIGKGGGLGGGIGKGGGIGGGIGKGGGIGGGIGKGGGLGGGIGKGGGLGGGIGKGGGIGGGIGKGGGLGGGIGKGGGTGGGIGKGGGIGGGIGKGGGLGGGIGKGGGVGGGIGEGGGVGGGIGKGGGIGGGIGKGGGIGGGIGKGGGVGGGGGFGGGSGGGIGGGFGKGGGVGGGIGGGSGGGFGGGGGSGGGFGGGGGFGGGGGGGIGHH >Potri.014G083401.1.v4.1 pep chromosome:Pop_tri_v4:14:5350583:5350900:-1 gene:Potri.014G083401.v4.1 transcript:Potri.014G083401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083401.v4.1 MKLKKENFPDFYKAYSHFRMKNWVLRPGLQYGVDFVAYRHHPSLVHSEYAVIVLSEGDVGRLRVWSDFHCTIRLCGSVARTLLVLNVDKNCHGAIAPSFFGEMLY >Potri.008G139100.2.v4.1 pep chromosome:Pop_tri_v4:8:9353643:9365477:-1 gene:Potri.008G139100.v4.1 transcript:Potri.008G139100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139100.v4.1 MDSKWLCLRSFIVNTEIAEFFFVDTTPFVNKYFLEPKDHVYDWSGILPRKSYLSNVLEDLDMALKESVAKWKIVVGHHTIKSAGQHGNTVELNLQLLPILQANNVDLYINGHDHCLEHISSSESPLQFLTSGGGSKAWRGDVAWWDPKEMKFYYDGQGFMSVQITQTEVDVVFYDVSGEVLHKWSRTKQISSAVL >Potri.008G139100.1.v4.1 pep chromosome:Pop_tri_v4:8:9363701:9368953:-1 gene:Potri.008G139100.v4.1 transcript:Potri.008G139100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139100.v4.1 MPSTKAYTLFLSPLFSPSLPVLHAMSGLMLLSLIPTVLLGFSVVLSAAELQRFEHAAKADGSLSLLVVGDWGRRGAYNQTEVALQMGIMGEKLDIDFIISTGDNFYEGGLNGVDDPAFYESFTRIYTAPSLQKQWYNVLGNHDYRGDVEAQLSPVLREMDSKWLCLRSFIVNTEIAEFFFVDTTPFVNKYFLEPKDHVYDWSGILPRKSYLSNVLEDLDMALKESVAKWKIVVGHHTIKSAGQHGNTVELNLQLLPILQANNVDLYINGHDHCLEHISSSESPLQFLTSGGGSKAWRGDVAWWDPKEMKFYYDGQGFMSVQITQTEVDVVFYDVSGEVLHKWSRTKQISSAVL >Potri.016G132900.1.v4.1 pep chromosome:Pop_tri_v4:16:13733992:13735375:1 gene:Potri.016G132900.v4.1 transcript:Potri.016G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX85 MVSLGIFTLISTLFLVLAAVPTTASSSKLSPNYYDHVCPKALPAIKRVVEAAVNKERRMGASLLRLHFHDCFVHGCDASILLDSTSAFDSEKKAGPNKNSIRGFEVIDQIKLEVDKVCGRPVVSCADILAVAARDSVVVLGGPTWAVQLGRRDSTTARKTTADKDIPTPLMNLTDLINNFKKHGLDERDLVALSGAHTIGSAQCFTFRDRIYNEANIDPKFARERRLSCPRTGGNSNLAALDPTHANFDVKYFNKLLKKRGLLHSDQELFNGGSTDSLVEAYSSDAKAFWADFAKSMMKMGNINPLTGKRGQVRLNCRKVN >Potri.005G106933.1.v4.1 pep chromosome:Pop_tri_v4:5:7699179:7701127:-1 gene:Potri.005G106933.v4.1 transcript:Potri.005G106933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106933.v4.1 MLPRAHYKRKLTSPESIIPFSAFWLRSSVVSVLISLISDTWANGSHDIKFIFLGGGSITVACYWGCRSSPLRCTKAMAWRTPPTPAPKDMICSV >Potri.009G133000.3.v4.1 pep chromosome:Pop_tri_v4:9:10790090:10793890:1 gene:Potri.009G133000.v4.1 transcript:Potri.009G133000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133000.v4.1 MVLEATMICIDNSEWMRNGDYSPSRFQAQADAVNLLCGAKTQSNPENTVGILTMAGKQVRVLTTLTSDLGKILSCMHGLEVGGEMNLSAGIQVAQLALKHRQNKNQQQRIIVFAGSPIKYDKKMLETIGKKLKKNNVSLDIVDFGEEEDGKPEKLEALFAAVNSNDSSHIVHIPPGGTAISDALMNTPVFTGDGEGGSGFAAAAAAAAAGGGDFDFGVDPNLDPELALALRVSMEEERARQEAAAKRAADEAARQEKGEEPSSKSQDTTMVDKAAEATNSAADPMDEVNALLQQAIALSMENPVSDPSVRDSEMAEATNDDQDLAMALQMSIQETAKDSSSQSDMSKALEDQSFVSSVLASLPGVDPNDPSVKELLASFQGQSESGQKKEEDKPPSDDK >Potri.019G035000.1.v4.1 pep chromosome:Pop_tri_v4:19:4805973:4806378:1 gene:Potri.019G035000.v4.1 transcript:Potri.019G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G035000.v4.1 MCPFRFILVFLSAVLAGYFAWKTVRSSPEIDDMVSDDSTAEKTSLEDKQEFNSKRMIQNGFWVFVDMASGSYLWRNLKEMKKDATLKSS >Potri.014G082300.1.v4.1 pep chromosome:Pop_tri_v4:14:5302684:5303367:-1 gene:Potri.014G082300.v4.1 transcript:Potri.014G082300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082300.v4.1 MSKKNDLARRKRQHEFELKREKELKEKQKKKLQAKKNKMKVDGKDKKNKKGGSGFQVGKRKVKTKLSALAKAKADQAMELDK >Potri.016G068600.1.v4.1 pep chromosome:Pop_tri_v4:16:4876470:4878507:-1 gene:Potri.016G068600.v4.1 transcript:Potri.016G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068600.v4.1 MNDLFSSSFSRFSSEEAPPAHHVIQMSEAPSTGGVNLDKFFEDVESIKDELKELERLNGNLQSAHEQSKTLHNSRAVKDLRSKMDADVALALKKAKLIKVRLEALDRSNAANRTLPGCGAGSSSDRTRTSVVNGLRKKLKDLMDGFNGLRQKISTEYRETVQRRYFTVTGENPDEKTIDLLISTGESETFLQKAIQQQGRGRILDTINEIQERHDAVKDLENNLKELHQVFLDMAVLVEHQGEQLDDIESNMQRANSFVRGGTQQLQTARKLQKNTRKWTCYAIIILLIIILVVLLILRPWK >Potri.006G103800.1.v4.1 pep chromosome:Pop_tri_v4:6:7976338:7976720:1 gene:Potri.006G103800.v4.1 transcript:Potri.006G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103800.v4.1 MEKWRSWLWFKQTFVFSPKCILLKLASSLRHKTRGNDHGLMSLYKDIESCGEYTDIQVMWKMVHSCHPIAQNTRRKRPCRNFCFRPI >Potri.001G443025.1.v4.1 pep chromosome:Pop_tri_v4:1:46774037:46778905:-1 gene:Potri.001G443025.v4.1 transcript:Potri.001G443025.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443025.v4.1 MRLNLYLKARKNDVSGGVPGKFLHAVIGQDVSDVGSLASIIMYAFYLNETLESDEFCTVPIINMKREDLSSHAELKWLLDSCHFDYSSLIFVDEIDLSYYDLFGCLKLDLRNGHKLQTRQVTLKEAVVEVFNCRKAHFGESVYPWVENVTLGQDCSCCTLIAEKLFLTSPELSAGHGFSRLLLAGILMDTGNLTSPHCTTKDKYMTTLLLNGAGRFGSNVRYKMYDISDLKVVDILRKDFKKWTRGGKPDATSSRLMVSNVGMSP >Potri.003G210801.2.v4.1 pep chromosome:Pop_tri_v4:3:20870873:20874497:-1 gene:Potri.003G210801.v4.1 transcript:Potri.003G210801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210801.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHGGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQTSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPLNFFRRLPARSDSML >Potri.003G210801.3.v4.1 pep chromosome:Pop_tri_v4:3:20870868:20874180:-1 gene:Potri.003G210801.v4.1 transcript:Potri.003G210801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210801.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHGGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQTSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPLNFFRRLPARSDSML >Potri.003G210801.4.v4.1 pep chromosome:Pop_tri_v4:3:20870871:20874076:-1 gene:Potri.003G210801.v4.1 transcript:Potri.003G210801.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210801.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHGGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQTSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPLNFFRRLPARSDSML >Potri.003G210801.1.v4.1 pep chromosome:Pop_tri_v4:3:20870906:20874120:-1 gene:Potri.003G210801.v4.1 transcript:Potri.003G210801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210801.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHGGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQTSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPLNFFRRLPARSDSML >Potri.010G200400.1.v4.1 pep chromosome:Pop_tri_v4:10:19284158:19285473:-1 gene:Potri.010G200400.v4.1 transcript:Potri.010G200400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200400.v4.1 MTGLGSSCGACKFLRRKCTSECVFAPYFCYDQAAAHFAAVHKVFGASNVSKLLLHLPVHNRGDAAITISYEALARMRDPIYGCVAHIFALQQQVASLQEEIEILENQMASLTVGVAGFGSSPTISNPNCEMLFSFQQDAMNTQYYQNPQSAQLNHSGYTTGNQTFDGQMNVPLTPLYGWEDQDIFCNSHPRPLERLLEEVDKEIFTYCSWPDSGNIII >Potri.006G240000.1.v4.1 pep chromosome:Pop_tri_v4:6:24192723:24195495:1 gene:Potri.006G240000.v4.1 transcript:Potri.006G240000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240000.v4.1 MENSIVEEKMVLIPKDKDPRKGGLRTMPFIIVNEAFERVASYGLMPNMIFYLMKNYRMEAASGSTILFLWSAMSNGLSIFGAFLSDSFMGRYLVISLGSFCSLLGMILLWLTAMIPQLTPPPCDRFTNVCSSATAGQLATLFSSFGLISIGAGCIRPCSIAFGADQLDNKENPNNESVLQSFFNWYYAATGLSTIIAFTAIVYVQDNLGWKVGFAIPAVLMFFSALMFLVGSSQYVKVKASTSLFTGFVQVLVAAFRNRKLSLSHSSIEQYYYHSDDSEFQIPTVNLRCLNRACIITDPDRDVNPDGSASNPWSLCTVDQVESLKALLRVIPIWSTGIMMQINLNQNSFATLQANTMDRQIFNFELPAGSLNVFLVLTLTIWLTFYDRILLPLLAKFTGKQRGGPSPTVRIGIGLLIPIAARAMSAVVETIRRRTAIEEGLEDQPDGVVNMSVVWLLPPIILLGLAEAFNSIGQIEFYYSQFPKSMSSIAVAIFTFGTAMADMIGSGLVDVVDRVTSRGGQESWLSSNLNKGRLDDYYWLITVLSIINFVYFLVCCRTYGRTKDEKEERLLE >Potri.002G130600.2.v4.1 pep chromosome:Pop_tri_v4:2:9908281:9911714:1 gene:Potri.002G130600.v4.1 transcript:Potri.002G130600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130600.v4.1 MILQQHTILFLAIFAISLIEAHASIKFRCNRTCGTNHLPYPFGFSADCDIHLNCSPHGEMLINEFPVQIVGQNSIKIILEPKCNRPLEALDNLFTKNYAPKSTNAILLHNCTSAVSPCNIPSINVQTHFESLKCSNNSSLSCFSKEVTANGFFDYNMANISQCQYFLSSISAESFTGSGVSLEIQMMELWWWLQGDCRCSKDAICTQVESPAGSGFRCQCRDGLIGDGYLAGVGCRKASAGCNPAKYLSGQCGGGSGAAVLLGGVVAGVGVSLGLFCCLVRRNSASKAKSFRKLHLSEAADINIPIYPYKEIEKATNSFSEKQRIGTGAYGTVYAGKLNSDSWVAIKRIKHGDMDNIEQVMNEIKLISSVSHPNLVRLLGCSIENGEQILVYEFMPNGTLCQHLQRERGDGLDWPVRLAIAADTAKAIAHLHSAMDPPIYHRDIKSSNILLDYHFRSKVADFGLSRHGMTEISHISTVPQGTPGYLDPQYHLNFHLSDKSDVYSFGVVLVEIITAKKVVDFSRPQNEVNLAALATDRIGRGRLDEIIDPFLDLHSDAWTFSSVHKVAEVAFRCLAFHKDMRPSMMEVAAELEQILLSRWASSEETNCAISLDFSPCSSSSNVSDKPLNSTVKKTEIERRGLFVLQTQTSKKSTERANHNSPVSVQDPWLSEKSSPSSSNLLNNVIVK >Potri.001G376200.1.v4.1 pep chromosome:Pop_tri_v4:1:39583812:39584051:1 gene:Potri.001G376200.v4.1 transcript:Potri.001G376200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376200.v4.1 MATLIKKKILLLSMIIIVMLVGSSDARFSRKFSTMPKKFESSHILRELGYDMPKIEYYRRRWMLDTDRLSPGGPDPQHH >Potri.019G036400.1.v4.1 pep chromosome:Pop_tri_v4:19:4955575:4957705:1 gene:Potri.019G036400.v4.1 transcript:Potri.019G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036400.v4.1 MRNLCSTTSTRSRSVPTPCCSKVGIKKGPWTPEEDELLANYIRKEGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSMIAGRIPGRTDNEIKNYWNTCLSKKLISQGIDPRTHKPLKPNPDSSEIANVPVQNSNPKSSPVDENGRVYRTVATRVSENFTVTNLDQSPNQVAADATENWPNRDGFNMGSLQSGYGRKNEDDFIEDIGNEDTFSSFLDSLLNENVFVYQQRQQLQQQNMFGPSSKLAVSSSQILSHENIWEAEVSPPMAALGDKGVGGASNRLPV >Potri.008G224147.1.v4.1 pep chromosome:Pop_tri_v4:8:18986674:18986796:1 gene:Potri.008G224147.v4.1 transcript:Potri.008G224147.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224147.v4.1 MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Potri.008G026300.1.v4.1 pep chromosome:Pop_tri_v4:8:1357484:1359862:-1 gene:Potri.008G026300.v4.1 transcript:Potri.008G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026300.v4.1 MELLLLLLPKVTVAVALIGLVSIVIRMCDALIFKPEKLRSKLRNQGIRGPPPAFLLGNIRDIKKARSKVSKASREGEQVISHNSSNTPFSFFEQWSKKYGSTFMFSLGNIQILHMNHPDAVKEISICTSLDLGKPSYQVKERGPLLGQGILTSNGAIWQHQRKILAPEFYLENVKNFMSIMVESSNIVVDSWTKRIESEGGVVDINVDEDMRSFSGDVISKACFGSNYAKGEEIFLKLRALQGAMSKKALSSGIPILRALPTKSNREVWRLEKEVRALILKEVKEEKEQTSKDLLEIILKGAKDSETSQAEMDRFVVDNCKNIYLAGYETTSVTAQWTMMLLGSNPEWQDRVRAEVLEVCGGQMPDADMVRRMKTLTMVIHESLRLYPPVCVISREALQDMKFGDIFVPKGVNVWTLIVTLHQDPEIWGSDADKFNPERFANGVSGACKHPHVYMPFGVGPRTCLGQHFAMAELKILIATIVSNFSFTISPKYIHAPALWLVIEPEHGVNLLIKKL >Potri.010G098200.1.v4.1 pep chromosome:Pop_tri_v4:10:12142776:12146997:1 gene:Potri.010G098200.v4.1 transcript:Potri.010G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098200.v4.1 MVAATQPQPPTPTASTTTSAEEEALKRNTDCVYFLASPLTCKKGSECEYRHSEYARVNPRDCYFWLNGNCMNPKCGFRHPPLDGLFGNQTTASAGSSLPPSHAAAAAATHAPHNSGKQGVLCIFFQQGICLKGDRCAFSHGPNHASNRVSQTMAFTPGTEAHPPEKAFGGLQKCTQEQKLPQVNLSKAVEPPTVAKPVSKAEIASARNSAGVARNVVPPKSTDDEVPRYKSSNLPPEVNGNSSRSNQLHLSRVADDHVNHNGKDADEYLRESSPGFDVLVDDELRDSDYYHGEDQFGRTIGHEGRNLNSVDEYDRGHPADYGSLPDDQERYGDPRGYDPYEHMQGQHAWEQHRASSERMLVAPAHLERRGYSKADSPEHIEGSDLRYLLSKQRRVNGLRSVVSNDFVPGNHVEERGYQGSSRRDSHHLLSHESPISSRLRGRIKLPGGSPNEGGDLHAEREIDRGRNRGRLSPVRSLISSQQGRFRDRMKARVEEDYNEGRNFRGPHVRRELVDDKITNFAAPKRLAELRGGKNAEGKSQQSLGKRKYLEDHPPSDADLSFEGPMPLSEILKRKRGGGAAASGSRISSVIEDGNNQKESRQSLTSNSNNKAVAETQSGFSSVLKDDDSSQMLKNKESKFATDDALGTEGGNIEIVHGKSSQLPNPSEIETEDGMIADDGMEDHEYEGDDQRDGDYEYEQADEGEYNYEEGENIDEEEYENEDGDDFAKKIGVVFS >Potri.013G157700.1.v4.1 pep chromosome:Pop_tri_v4:13:15256748:15258939:1 gene:Potri.013G157700.v4.1 transcript:Potri.013G157700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157700.v4.1 MLKTAMSPARSCSDHCAAGSIEEKDAMRVWLELKQNGFLSPSPLSLSASKPMPKPKPAPMPNKKRERHRNAGFSEKPEIAKVRRVDELTKVAASASGLLKKINPGTTPSVGMLPTPKKHSEMRRIGGPKGLLKVLKVEPTSMCSRISPPCGLLNEFNPGIINRIRSRKQVFSVIRDFVRRDTIGNIDIPSKQEKRSGENDVIGCPNSSSGSDQLGLCSKGRSDHLCVKTEYDEEVGDLGIAVRRADNEDDKDELKSSLSSVTASENASSSVLDEVSASTARGSSLSLEDATAASQWLQCLRHDITWRLEASTHGRTRIQNAIQRELPALMLKEFSVDQETDFLAAKRFVSLSMDVHKAKWINQFDRMDKTPNEEADRLVGN >Potri.003G135400.1.v4.1 pep chromosome:Pop_tri_v4:3:15326736:15327815:1 gene:Potri.003G135400.v4.1 transcript:Potri.003G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G135400.v4.1 MSLNIIWLVLSLCFSPFVHSSDNFTTDTLDTFLQDSAFKSLVRQWPHTGALYKGLIPVNLSGMEVSIVRIRSRTLWKIGANFSNFQIPPRTMTSPHVKRLAIVYQDLGNWSSYYYSAPGYSMITPVVGFMVFNASNARTESTEKISLDTRGKAIVIRFANSTIPESMIVFGAKCVTFSASGKFHLSEINQLNECHSQDQGHFSIVVPLQRKGRDKRKRSLWYLWVIEFVLGFSVVAFLGYFGIVSLKLLKTKTIQAMERQADEDLVLDTIWVGTSKMPSATVTRTQPNLDNGGFLES >Potri.003G005200.1.v4.1 pep chromosome:Pop_tri_v4:3:604493:605763:1 gene:Potri.003G005200.v4.1 transcript:Potri.003G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005200.v4.1 MEGVEITVEEEQRDESQELLQHLPTEIGMGGNLLYLYQGFWCPQVSIKGMMLFQQHFKAQETDLILASIPKSGTTWLKALTYTIVNRSHHSLEKSPLLTNGPHGVVPFLEFDISSRNQFLEQDKLPEPRIFGTHSPYTALPCSVKDSAAKIVYVCRNPLDMFISYWKFSVNIPKENEKHLSLGDAFDKFCQGLHGYGPFWDHLLGYWKASLERPDKVLFLKYEDIKKNNVPCIKKLANFLGLPFSVEEEEQGLIEEISRLCSFESMKNYEATMTGTGPLGIPASAFLRKGQVGDSLNYLTPSMVSRVENLIQEKLQDSGLSFCRSSAF >Potri.004G200100.1.v4.1 pep chromosome:Pop_tri_v4:4:21059818:21061470:1 gene:Potri.004G200100.v4.1 transcript:Potri.004G200100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200100.v4.1 MGSVSLLSTVARRLEGKVALITGGARGIGESTARHFFKHGAKVVIADTQDELAHSVCKDLNSESASFIHCDVTKETDVENAVNTAISRHGKLDVMFNNAGIVGVVKTNMVDVSMSEFEEVIRVNLVGAFLGTKHAARVMKPARQGSIITTSSVCGILGGFASHAYTSSKHGVLGLMRNAAVELGQFGIRVNCVSPYTVATEMSRNFLKMTDDEIRSGYSNLKGAILTPEDVAEAALYLASEDSRYVSGHNLVVDGGHTIVNNGSCMYDNPSVYGL >Potri.004G024800.1.v4.1 pep chromosome:Pop_tri_v4:4:1817703:1825690:1 gene:Potri.004G024800.v4.1 transcript:Potri.004G024800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024800.v4.1 MNSLKFYIILLSFLTLAIITLAQEDANHLYHNCQNATTSTINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDVSNTVCQNCVTFATKDIVQRCPIGIASIVFYDACHLRYSNRNIFSKVNQSPAYYKWNLQNITTEPQRFNNLVGAAVNDLAARAASAPPGAKKFSVNKTSFDAFQKIYSLAQCTPDLSSSDCNRCLSAAIARLPICCSSKIGGRVLFPSCYIHYEITEFYNATAVAAESPPPPPPPVALPSPPPPRSATIPEEKGGVSTVLIIAIVIPIAVSIALLSMCFCFLRRARKTRDYVPENDVGDEITTEESLQFDLSTIEAATNNFSADNKLGEGGFGEVYRGTLPNGHQIAVKRLSKKSGQGAAEFKNEVVLVAKLQHRNLVRVQGFCLEGEEKILVYEFVSNKSLDYFLFDHEMQGLLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQTQASTNRIVGTYGYMSPEYAMHGHFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAADLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIILTLNSYSVTLPSPQEPAFFFHSTITDEVNSSSKEFLLEHSKSKSVAYSVDEDSITEVYPR >Potri.005G059700.8.v4.1 pep chromosome:Pop_tri_v4:5:3772348:3781590:1 gene:Potri.005G059700.v4.1 transcript:Potri.005G059700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059700.v4.1 MKSLVSNSILQIPNSSLAIQFPHKLVFKTKLSFRDRHSLLFRNHKSLKFTAFVASSSNSVTSSSNSAQVAEEDPESTQLFEKLKEAERKRINKLEELERRADIQLERNLVMASNWSRALLMMRGKLKGTEWDPENSHRIDFSDFLRLVNSNNVQFMEYANYGQNVSVILPYYKEAKKEGSEGNSNKEIIFRRHVVDRMPIDCWNDVWQKLHQQIVNVDVHNVNAVPAEVYSTVATAVIWAMRLALSIVLYLWIDNMTRPIYAKLIPCDLGKPSETVRQPLKRRALGSLGKSRAKFISAEETTGVTFDDFAGQEYIKRELQEIVRILKNDEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIVRVGLPSKDGRLAILNVHARNKFFRSEKERDALLQEIAELTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAILACYLPDPFRPFTETDINSITSQPNMRYAETAGRIFARKSDYVNSIVRACAPRVIEEEMFGINNMCWISAKATLEASRHAEFLILQTGMTAFGKAFYRKHNDLVPNLAAKLEALRDEYMRYAVDKCSSVLREYHSAVETITDILLEKGQIEASEIWDIYKRAPRIPQPAVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGNVGFATFGAPRPMETQVVSDETWKLMDGIWDQRVQEIRSEASMEIEEDKERPQLLMASHFL >Potri.005G059700.9.v4.1 pep chromosome:Pop_tri_v4:5:3772448:3781486:1 gene:Potri.005G059700.v4.1 transcript:Potri.005G059700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059700.v4.1 MKSLVSNSILQIPNSSLAIQFPHKLVFKTKLSFRDRHSLLFRNHKSLKFTAFVASSSNSVTSSSNSAQVAEEDPESTQLFEKLKEAERKRINKLEELERRADIQLERNLVMASNWSRALLMMRGKLKGTEWDPENSHRIDFSDFLRLVNSNNVQFMEYANYGQNVSVILPYYKEAKKEGSEGNSNKEIIFRRHVVDRMPIDCWNDVWQKLHQQIVNVDVHNVNAVPAEVYSTVATAVIWAMRLALSIVLYLWIDNMTRPIYAKLIPCDLGKPSETVRQPLKRRALGSLGKSRAKFISAEETTGVTFDDFAGQEYIKRELQEIVRILKNDEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIVRVGLPSKDGRLAILNVHARNKFFRSEKERDALLQEIAELTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAILACYLPDPFRPFTETDINSITSQPNMRYAETAGRIFARKSDYVNSIVRACAPRVIEEEMFGINNMCWISAKATLEASRHAEFLILQTGMTAFGKAFYRKHNDLVPNLAAKLEALRDEYMRYAVDKCSSVLREYHSAVETITDILLEKGQIEASEIWDIYKRAPRIPQPAVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGNVGFATFGAPRPMETQVVSDETWKLMDGIWDQRVQEIRSEASMEIEEDKERPQLLMASHFL >Potri.005G059700.12.v4.1 pep chromosome:Pop_tri_v4:5:3772435:3780808:1 gene:Potri.005G059700.v4.1 transcript:Potri.005G059700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059700.v4.1 MKSLVSNSILQIPNSSLAIQFPHKLVFKTKLSFRDRHSLLFRNHKSLKFTAFVASSSNSVTSSSNSAQVAEEDPESTQLFEKLKEAERKRINKLEELERRADIQLERNLVMASNWSRALLMMRGKLKGTEWDPENSHRIDFSDFLRLVNSNNVQFMEYANYGQNVSVILPYYKEAKKEGSEGNSNKEIIFRRHVVDRMPIDCWNDVWQKLHQQIVNVDVHNVNAVPAEVYSTVATAVIWAMRLALSIVLYLWIDNMTRPIYAKLIPCDLGKPSETVRQPLKRRALGSLGKSRAKFISAEETTGVTFDDFAGQEYIKRELQEIVRILKNDEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIVRVGLPSKDGRLAILNVHARNKFFRSEKERDALLQEIAELTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAILACYLPDPFRPFTETDINSITSQPNMRYAETAGRIFARKSDYVNSIVRACAPRVIEEEMFGINNMCWISAKATLEASRHAEFLILQTGMTAFGKAFYRKHNDLVPNLAAKLEALRDEYMRYAVDKCSSVLREYHSAVETITDILLEKGQIEASEIWDIYKRAPRIPQPAVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGNVGFATFGAPRPMETQVVSDETWKLMDGIWDQRVQEIRSEASMEIEEDKERPQLLMASHFL >Potri.005G059700.10.v4.1 pep chromosome:Pop_tri_v4:5:3772423:3781485:1 gene:Potri.005G059700.v4.1 transcript:Potri.005G059700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059700.v4.1 MKSLVSNSILQIPNSSLAIQFPHKLVFKTKLSFRDRHSLLFRNHKSLKFTAFVASSSNSVTSSSNSAQVAEEDPESTQLFEKLKEAERKRINKLEELERRADIQLERNLVMASNWSRALLMMRGKLKGTEWDPENSHRIDFSDFLRLVNSNNVQFMEYANYGQNVSVILPYYKEAKKEGSEGNSNKEIIFRRHVVDRMPIDCWNDVWQKLHQQIVNVDVHNVNAVPAEVYSTVATAVIWAMRLALSIVLYLWIDNMTRPIYAKLIPCDLGKPSETVRQPLKRRALGSLGKSRAKFISAEETTGVTFDDFAGQEYIKRELQEIVRILKNDEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIVRVGLPSKDGRLAILNVHARNKFFRSEKERDALLQEIAELTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAILACYLPDPFRPFTETDINSITSQPNMRYAETAGRIFARKSDYVNSIVRACAPRVIEEEMFGINNMCWISAKATLEASRHAEFLILQTGMTAFGKAFYRKHNDLVPNLAAKLEALRDEYMRYAVDKCSSVLREYHSAVETITDILLEKGQIEASEIWDIYKRAPRIPQPAVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGNVGFATFGAPRPMETQVVSDETWKLMDGIWDQRVQEIRSEASMEIEEDKERPQLLMASHFL >Potri.005G059700.11.v4.1 pep chromosome:Pop_tri_v4:5:3772425:3781485:1 gene:Potri.005G059700.v4.1 transcript:Potri.005G059700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059700.v4.1 MKSLVSNSILQIPNSSLAIQFPHKLVFKTKLSFRDRHSLLFRNHKSLKFTAFVASSSNSVTSSSNSAQVAEEDPESTQLFEKLKEAERKRINKLEELERRADIQLERNLVMASNWSRALLMMRGKLKGTEWDPENSHRIDFSDFLRLVNSNNVQFMEYANYGQNVSVILPYYKEAKKEGSEGNSNKEIIFRRHVVDRMPIDCWNDVWQKLHQQIVNVDVHNVNAVPAEVYSTVATAVIWAMRLALSIVLYLWIDNMTRPIYAKLIPCDLGKPSETVRQPLKRRALGSLGKSRAKFISAEETTGVTFDDFAGQEYIKRELQEIVRILKNDEEFQDKGIYCPKGVLLHGPPGTGKTLLAKAIAGEAGLPFFAANGTDFVEMFVGVAASRVKDLFASARSFAPSIIFIDEIDAIGSKRGGPDIGGGGAEREQGLLQILTEMDGFKEFTSQVLVIGATNRLDILDPALLRKGRFDKIVRVGLPSKDGRLAILNVHARNKFFRSEKERDALLQEIAELTEDFTGAELQNILNEAGILTARKDLDYIGREELLEALKRQKGTFETGQEDSTEIPEELKLRLAYREAAVAILACYLPDPFRPFTETDINSITSQPNMRYAETAGRIFARKSDYVNSIVRACAPRVIEEEMFGINNMCWISAKATLEASRHAEFLILQTGMTAFGKAFYRKHNDLVPNLAAKLEALRDEYMRYAVDKCSSVLREYHSAVETITDILLEKGQIEASEIWDIYKRAPRIPQPAVNPVDEYGALIYAGRWGIHGITLPGRVTFAPGNVGFATFGAPRPMETQVVSDETWKLMDGIWDQRVQEIRSEASMEIEEDKERPQLLMASHFL >Potri.002G111400.2.v4.1 pep chromosome:Pop_tri_v4:2:8400326:8403183:-1 gene:Potri.002G111400.v4.1 transcript:Potri.002G111400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111400.v4.1 MLTNEGFSGVSNCYVFKSRLQEYAQKAGLPTPVYETIKEGPSHEPSFRSTVIVKDVRYDSLPGFFNRKAAEQSAAEVALVELAKAGEINESTSQPVNETGLCKNLLQEYAQKMNYAIPLYECQKDETPGRGLVFKCTVEIGGIRYIGASTKTKKEAEIKAARTALLAIQSSGSDKQSGSSQLTVIPCRKRGVEASFQEEAENVPKPKKARFKKKMLKKKLSRDRINNAQSELTEKLNIVNGQSGSEADQTDKSAIRGANCKLLTMETTMTFQDGKSDTNLNGGETFDIEGVLTSYDAGNPESVQLASPNFNQSNHGTGAEISAKSNAGTGKVTGIIEVASMANNSVLAQIGASNA >Potri.006G091400.1.v4.1 pep chromosome:Pop_tri_v4:6:6953325:6955715:1 gene:Potri.006G091400.v4.1 transcript:Potri.006G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091400.v4.1 MRSFQTSTSPKFPSFLTVFFLFLSTSYAHNFLLRGSSLSVEDDSDILVSPDKTFSCGFYGMGQNAYWFSIWFTNSKDRTVVWMANRDRPANGRGSRVSLRGDGAMVLYDVDGSIIWETNTTSTDARMAELLDTGNLVIKGPGGEILWQSFDSPTDTLLPNQLFTKSTKLIARLHGGSYASGYFNFFFDNDNVLRLKYDGPDISSIYWPIPYLKMFENGRTNYNGSRIAVYDEMGHFVSSDWFQFIASDMGLLRIRRRLTMDHDGNLRLYSLNNDTGLWVISWEALTQLCTVHGVCGRNAICVNTPEPKCSCPPGYEITEPGNWNKGCKPLFNETLFQSQQVKFVELPHVDYFGFDLNFIESISLDSCMKLCVGDYRCKAFNYKLTGERRCYTKSELFNGYQSPSFEGKIYLKLPVTVETSQLAILNGTDPICQSDELETMIGSPSMYNINTKRMRWVYLYSFASAIGFIELLFVVSGWWFLFRKHGVPALVEDGYQVLSNRFRRFTYAELKKATNNFKEELGRGGSGTVYKGILTDERVVAVKRLENMYQGEDVFWAEVSTIGKINHMNLVRMWGFCSEGKHRLLVYELMENQSLDKHLFSPKFLEWKDRFEVALGTAKGLAYLHQECLEWIIHCDVKPGNILLDSEFEPKIADFGLAKLSQRGSDSSVFSRIRGTKGYMAPEWATNLPITAKVDVYSYGVVILELVKGIPLSNWGIEGGEEHESDLTRFVRMVKSKIQCGEDSWIEEIVDPRLNGQFSRNQATTIVQLGISCVEEDRNKRPTMDLAIQALLECQD >Potri.007G016532.1.v4.1 pep chromosome:Pop_tri_v4:7:1240555:1241815:1 gene:Potri.007G016532.v4.1 transcript:Potri.007G016532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016532.v4.1 MEIAREFGALLFLALVFRPLVVLGLNDFEERNGVAHFNTTKNDVHSSGSHNVEDDIREGRTNSTYTDALVEHNSDLNNGGRGGGGGGGGGGGGGGGGGNGGNGNGGGGGGSGVGKGGDSGHGKEIGKPHQRKGGKPSSGGRGGGGNGGGRGGGGGGGQGGGWGWGGGGGGGGNQGDCLPWGCGGHPRKSIGARSVSPP >Potri.008G202500.4.v4.1 pep chromosome:Pop_tri_v4:8:14578094:14581063:1 gene:Potri.008G202500.v4.1 transcript:Potri.008G202500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202500.v4.1 MPEKGDSQSWIFHRSNFVLQWRLHVLAAFVFLGLVVIGSIDGGTIRSIVESRRSTKQYSTMKPHTQHPLTNITQQQETLRNFSAITKNGTTNTPLAQESNDKKPHTQHPLTNLTQQQETLQNFSTITKNGTTNNPLAQESNDNDTRVPPSSNIVLKNNENVSFAQKYSDGVLENLASRRNGSDGSVKWVSTELEPNLTENLLSRWLAPEGEPCRGSRTVEIVIPGLDGKDLIELTAGDSHEFGFQALDESKNLVCSGGDYFEADLSGEAWKSRPLVRDFGNGSYSILLQVHPDFAGDYNLTLILLYRHFQGLKFSPWRFVFDKQLRKFRIKFVKGGAQLPKIETCEKSDFNRDLWLGRWTRQAKNDGCQISNDGRYRCLAPDFPCQSPWCSGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPQIPSVPRRFDMNFSNPKDASQSVRITSIFNGHWNETMNYEGFNSLMDEGFRNLLKKYFSEDTVPDTIIMNSGLHDGVHWHSFRAYSEGAGYAASFWKEVMDSVKQRGLAVPQIFYRTTIATGGYARSLAFNPNKMEVFNWVALDKFRRAGLVSGVIDNFDMTFPWHFDNRCSDGVHYGRAPAKMKWRDGEIGHQYFVDLMLAHVLLNALCSR >Potri.008G202500.1.v4.1 pep chromosome:Pop_tri_v4:8:14578341:14581279:1 gene:Potri.008G202500.v4.1 transcript:Potri.008G202500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202500.v4.1 MPEKGDSQSWIFHRSNFVLQWRLHVLAAFVFLGLVVIGSIDGGTIRSIVESRRSTKQYSTMKPHTQHPLTNITQQQETLRNFSAITKNGTTNTPLAQESNDKKPHTQHPLTNLTQQQETLQNFSTITKNGTTNNPLAQESNDNDTRVPPSSNIVLKNNENVSFAQKYSDGVLENLASRRNGSDGSVKWVSTELEPNLTENLLSRWLAPEGEPCRGSRTVEIVIPGLDGKDLIELTAGDSHEFGFQALDESKNLVCSGGDYFEADLSGEAWKSRPLVRDFGNGSYSILLQVHPDFAGDYNLTLILLYRHFQGLKFSPWRFVFDKQLRKFRIKFVKGGAQLPKIETCEKSDFNRDLWLGRWTRQAKNDGCQISNDGRYRCLAPDFPCQSPWCSGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPQIPSVPRRFDMNFSNPKDASQSVRITSIFNGHWNETMNYEGFNSLMDEGFRNLLKKYFSEDTVPDTIIMNSGLHDGVHWHSFRAYSEGAGYAASFWKEVMDSVKQRGLAVPQIFYRTTIATGGYARSLAFNPNKMEVFNWVALDKFRRAGLVSGVIDNFDMTFPWHFDNRCSDGVHYGRAPAKMKWRDGEIGHQYFVDLMLAHVLLNALCSR >Potri.008G202500.3.v4.1 pep chromosome:Pop_tri_v4:8:14578363:14581903:1 gene:Potri.008G202500.v4.1 transcript:Potri.008G202500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202500.v4.1 MPEKGDSQSWIFHRSNFVLQWRLHVLAAFVFLGLVVIGSIDGGTIRSIVESRRSTKQYSTMKPHTQHPLTNITQQQETLRNFSAITKNGTTNTPLAQESNDKKPHTQHPLTNLTQQQETLQNFSTITKNGTTNNPLAQESNDNDTRVPPSSNIVLKNNENVSFAQKYSDGVLENLASRRNGSDGSVKWVSTELEPNLTENLLSRWLAPEGEPCRGSRTVEIVIPGLDGKDLIELTAGDSHEFGFQALDESKNLVCSGGDYFEADLSGEAWKSRPLVRDFGNGSYSILLQVHPDFAGDYNLTLILLYRHFQGLKFSPWRFVFDKQLRKFRIKFVKGGAQLPKIETCEKSDFNRDLWLGRWTRQAKNDGCQISNDGRYRCLAPDFPCQSPWCSGSLGLLESNGWVYSSHCSFRLFSADSAWNCLKNRWIFFWGDSNHVDTIRNMLNFVLDLPQIPSVPRRFDMNFSNPKDASQSVRITSIFNGHWNETMNYEGFNSLMDEGFRNLLKKYFSEDTVPDTIIMNSGLHDGVHWHSFRAYSEGAGYAASFWKEVMDSVKQRGLAVPQIFYRTTIATGGYARSLAFNPNKMEVFNWVALDKFRRAGLVSGVIDNFDMTFPWHFDNRCSDGVHYGRAPAKMKWRDGEIGHQYFVDLMLAHVLLNALCSR >Potri.009G141700.1.v4.1 pep chromosome:Pop_tri_v4:9:11316095:11317649:-1 gene:Potri.009G141700.v4.1 transcript:Potri.009G141700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141700.v4.1 MKMWVFTVFSLLLSLLLGGSAEQCGSQAGGALCPGGLCCSQFGWCGSTNDYCGNGCQSQCGGAGGGDLGSIISSEKFDEMLKHRNDGGCPGKGFYTYSAFISAANAFPGFGTTGDADTRKREIAAFLGQTSHETTGGWQTAPDGPYAWVYCFVKEQNPGSYCSPSSTYPCADGKQYYGRGPVQLSWNYNYGQCGKAIGVDLLNNPDLVATDPVISFKTAIWFWMTAQSPKPSCHSVITGNWSPSGADSAAGRVPGYGVLTNIINGGLECGMGRKQQVEDRIGFYQRYCDLLGVGYGNNLDCYNQKSFANGLLDMVDSM >Potri.002G087500.1.v4.1 pep chromosome:Pop_tri_v4:2:6287759:6290687:-1 gene:Potri.002G087500.v4.1 transcript:Potri.002G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087500.v4.1 MAKPKNSRTTKEVQQNQNYHPLKTEKPPSWLVVRGLFSCKHLQAQQQQPEQKQPQQQKQDQLQQPKKEKKHNQRQDRSAEETSKKCKKMRCSGSICSNTKVMHRPETTSPEVQRKGASMGSAGKNDTSSRSMKAPLHELNGVVSSTNSSLSASSNFRGMPFSRFSGCYECRMVVDPVLGISRDHSLWGSICSCPECGEIFTKAESLELHQAVRHAVSELSPEDTSKNIVEIIFQSSWLKKQAPICKIDRILKVHNTQRTISKFEEYRDSIKAKAAKLPKKQPRCIADGNELLRFHCTTFACSIGLNGSSNLCISVPNCKVCSIIKNGFKDPTGGDDNGHGILTTATSGKAHDKATTLEDGNGDSEKRAMLVCRVIAGRVKKSMEGNIEDYDSVAAGMEVYSNLDELYVFNPRAILPCFVVIYRGF >Potri.006G127400.1.v4.1 pep chromosome:Pop_tri_v4:6:10280394:10284719:1 gene:Potri.006G127400.v4.1 transcript:Potri.006G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127400.v4.1 MEMVETTITLQQQQSMLSKFKRICVFCGSSQGKKTSYQVAAIDLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFVSPSARNIILSAPTAKELVKKLEEYVPCHERVASKLSWEIEQLGYSQNYDISR >Potri.010G247800.1.v4.1 pep chromosome:Pop_tri_v4:10:22203590:22208052:-1 gene:Potri.010G247800.v4.1 transcript:Potri.010G247800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247800.v4.1 MTLRNQDQNKKSFLRRFFLQKEEKGRKAEKVSFDSSEPHSDPSLKSLLSHQLSSPMPSSQAQSFRVFVATWNVGGKSPHSDLNLDDILQVHDESDIYVLGFQEIVPLNAGNVLVAEDNEPAAKWLALINQSLNRSYSVASRGSKSPLCSSLRFQKPSLKKVCKSFRTESGRRLKTCNCSPILERKYSKDCCVCPPPANMTEDYCSSEEDEDGLSNYVSTEISSPASANQMKYSLITGKQMVGIFVTVWVRKELVQHVSHLRISNVGRGILGCLGNKGCISVSMSFHQTSFCFVCSHLASGEKEGDELRRNLDVIEILKNTQFSRICKSPYIRAPEKIMDHDRVIWLGDLNYRIALSYSETRKLLEQYNWDGLFDKDQLKIEREAGRVFGGWKEGKIYFAPTYKYSYNSDIYAGETIETQKKRRTPAWCDRILWHGGGIHQLSYVRGESRFSDHRPVCATFIVDVQVSNGGLRKALSGFNMKVASEEHLPLTRK >Potri.019G068100.1.v4.1 pep chromosome:Pop_tri_v4:19:10856431:10858862:1 gene:Potri.019G068100.v4.1 transcript:Potri.019G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068100.v4.1 MEELKPMPPSSCPFTPIGFLERAATVYGDCPSIIYNNTTYTWSQTYRRCLKVASSLSSNGIKPGQVVSVVAPNVPAMYELQFAVPMSGAILNNINTRLDARTISILLRHSESKLVFVDYLSLRVILEALSLFPPETPCPALVLITDEEEEAPPPPSLAVDFCTYESMVEKGDPEFKWVQPQSEWDPVVLNYTSGTTSAPKGVVQSHRGTFTITIGSLIDWSLPKQAVYLWTLPIFHANGWSYPWGMAAVGGANICLRRVEAPTIYSLIKRHGVTHMCGAPVVLNMLTNSPNAERLQNPVQILTGGAPPPSAVLFRAESLGFVVSHGYGLTETAGLFTSCAWKPKWNTFPASERARLKSRQGVPFVGFTEMGVVDPNTGKSVERDGVSLGEVVLRGGSVMLGYFKDPLGTSMCMKDGWFYTGDVGVLHSDGYLEVKDRSKDVIISGGENISSVEIESVLYTHPAVNEAAVVARPDEFWGETPCAFVSLKHGLPHKPGEKDIIDYCREKMAHYMVPKIVVFKDELPKTSTGKIQKYLLREYAKVVDSSKVKMATGQVGSGFYLPLTSPRWLQTPFIPTPYPVKAVNILIPTPISTSICVPIPRCRPVSLYNFSQSTYFARKRGSEISIFQKSKGTVLHPPHRLCNTHARFL >Potri.001G348651.1.v4.1 pep chromosome:Pop_tri_v4:1:36018267:36018365:1 gene:Potri.001G348651.v4.1 transcript:Potri.001G348651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348651.v4.1 MSLIIINQFTFWRLSTNHEPIFLVPASILLYF >Potri.012G142400.1.v4.1 pep chromosome:Pop_tri_v4:12:15391337:15394984:1 gene:Potri.012G142400.v4.1 transcript:Potri.012G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142400.v4.1 METLWKLLYLLEPAPVTLIVTAVAVTFGSAFRALNYGKEMERNLDLSEASITLDRSQALMIPIMSSCSLLLMFYLFSSVSQILTAFTAIASVSSLFFCLSPFVAYIKSHYGLADPFVSRCCAKSFTRIEGLLLLSCSLTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLACLFVYDIFWVFYSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLFSSTAPGGNTTDFMMLGLGDMAIPAMLLALVLCFDYRKSRDPVNLLDLYSSKGQKYIWYALPGYAIGLVIALAAGVLTHSPQPALLYLVPSTLGPVIVVSWFRRELPELWEGSMSNVNDKARQIEV >Potri.012G142400.3.v4.1 pep chromosome:Pop_tri_v4:12:15391474:15394815:1 gene:Potri.012G142400.v4.1 transcript:Potri.012G142400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142400.v4.1 METLWKLLYLLEPAPVTLIVTAVAVTFGSAFRALNYGKEMERNLDLSEASITLDRSQALMIPIMSSCSLLLMFYLFSSVSQILTAFTAIASVSSLFFCLSPFVAYIKSHYGLADPFVSRCCAKSFTRIEGLLLLSCSLTVAAWLVSGHWILNNLLGISICIAFVSHVRLPNIKICAMLLACLFVYDIFWVFYSERFFGANVMVSVATQQASNPVHTVANSLSLPGLQLITKKLELPVKIVFPRNLFSSTAPGGNTTDFMMLGLGDMAIPAMLLALVLCFDYRKSRDPVNLLDLYSSKGQKYIWYALPGYAIGLVIALAAGVLTHSPQPALLYLVPSTLGPVIVVSWFRRELPELWEGSMSNVNDKARQIEV >Potri.002G051100.1.v4.1 pep chromosome:Pop_tri_v4:2:3429315:3430075:1 gene:Potri.002G051100.v4.1 transcript:Potri.002G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051100.v4.1 MKVHPTPKKRSITTTQYNDASRTHTPNAKKLKRLPHVFARVLELPFHSDTDVLVQETPDSFRFVANSENITIPDDYQARVVEIFPGLTKIVVIKETDSGDHYPSMDELEIDTWRCRLPATVRPEMASARCIEGQLVVTVPKTLNLENFAGGQNVDDEANISWLDGLL >Potri.018G110525.1.v4.1 pep chromosome:Pop_tri_v4:18:12835784:12836216:1 gene:Potri.018G110525.v4.1 transcript:Potri.018G110525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110525.v4.1 MCLYIYVCVCVCSGGSLADENAFAYAPSLLLVEAVMIFPSENISHFKETLQSFGKAS >Potri.003G022600.1.v4.1 pep chromosome:Pop_tri_v4:3:2358032:2367847:-1 gene:Potri.003G022600.v4.1 transcript:Potri.003G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022600.v4.1 MSKKKTKGRVPEVLWRVFRYRARTLSNTVTSLIANPPSSFVLFKADDPANYRKLLKDCYIVLSDNAPPVAHFNLENRWPQPLIVSRIIEFIITEQPLSNNVLCSGYDKCLRSSPIAEVLTSSVWTLLLERVGDEFMNYLLKYSSMFLPLPRQQHQQVAGPPITDLVFQSSRRKGHSPNLVGLKRKRDWDDKAADAASLMLKRHQLTADASLSYDRTTYPVIDFDQDKGLSEKTGVAAVNREGHSNEKLPGLYQCTLRSRKPFGWQRRRCKKQRSLDAKETDGKTCYIMNEGSSPERLPLEFRNSSSPGKVLPQCCCHLVLQAPNMKGVQIDRRPIFYNSKHPSSMLPKNHVLMCLKPNLIGSKSLIRSIFGLSDEDVSAPSMPLSYSKDFCLLGSTCLYHSLVKLVKVLVRQTQCCKYARLIHRHCFVSSSNQNAIENSNSVFEGCNLEREFSGKSHAVVNERRDKTLETTDHQIVTLKSYCSKSQVVSFIWAVCRNAVPPELLGNHVNRRILRRNLAKFIWLRKFEKFSLKQCMHKLKTSGFPFVSDKHCSCFLDTHMLNNMPGHTAGLHKDLYKWNGAANDLKHLLVQKWIYWFFSSLVVPLVQANFYVTESEHGKHEIYYYRKSIWEKLRNRAISCLKDQNYQCLDEASAESITGCRLFGFSKLRLLPKKNGIRMIANLKASSKMPKKSTSELQSFRMQRKKQFITKVVKCEHFKSVNCVLRETHAVLKGIQLKEPDKLGSSVFDYNDIYRKLCQFKICLNKRSNIMPDVFIVVSDVSKAFDSIDQDKLLCVMEDVIYEDQYLLKRFCQVVSTKKSLWAHERLMSRDQNITTGLTRFSSSLHFGSLHTVLVNQGRSRYLKREQLLSNLTEHVKRNVLQFDDKFYLQRKGIPQGSILSSLLCSLYYGHLERNVIFPFLEDLSRRHCYQDASAIGSSSRDKVISSPHYMLLRFIDDFLFISTSKKQAAGLFSQLRSGFRDYNCFMNEEKFCLNLDTEQVSGLQSNKLYVGGDGISFLRWSGLLLNSCTLEVQADYTRYLNNHLNSTLTVCWQGKPCQHLERKLWNFMRPKCHPIFFDSNINSAPVVRLNIYQAFLLCAMKFHCYVSSMSFHCHLSATFYANMIERSLRYMYVLIKRRMRSMHLGSCFHPILQLEVGEVEWLGLTAYIQVLKRKQSRYKELLSRLSLKLSKHRIGGSTSSHLKYAVDSSHSSLLWKIKY >Potri.003G022600.2.v4.1 pep chromosome:Pop_tri_v4:3:2358030:2367827:-1 gene:Potri.003G022600.v4.1 transcript:Potri.003G022600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022600.v4.1 MSKKKTKGRVPEVLWRVFRYRARTLSNTVTSLIANPPSSFVLFKADDPANYRKLLKDCYIVLSDNAPPVAHFNLENRWPQPLIVSRIIEFIITEQPLSNNVLCSGYDKCLRSSPIAEVLTSSVWTLLLERVGDEFMNYLLKYSSMFLPLPRQQHQQVAGPPITDLVFQSSRRKGHSPNLVGLKRKRDWDDKAADAASLMLKRHQLTADASLSYDRTTYPVIDFDQDKGLSEKTGVAAVNREGHSNEKLPGLYQCTLRSRKPFGWQRRRCKKQRSLDAKETDGKTCYIMNEGSSPERLPLEFRNSSSPGKLQVLPQCCCHLVLQAPNMKGVQIDRRPIFYNSKHPSSMLPKNHVLMCLKPNLIGSKSLIRSIFGLSDEDVSAPSMPLSYSKDFCLLGSTCLYHSLVKLVKVLVRQTQCCKYARLIHRHCFVSSSNQNAIENSNSVFEGCNLEREFSGKSHAVVNERRDKTLETTDHQIVTLKSYCSKSQVVSFIWAVCRNAVPPELLGNHVNRRILRRNLAKFIWLRKFEKFSLKQCMHKLKTSGFPFVSDKHCSCFLDTHMLNNMPGHTAGLHKDLYKWNGAANDLKHLLVQKWIYWFFSSLVVPLVQANFYVTESEHGKHEIYYYRKSIWEKLRNRAISCLKDQNYQCLDEASAESITGCRLFGFSKLRLLPKKNGIRMIANLKASSKMPKKSTSELQSFRMQRKKQFITKVVKCEHFKSVNCVLRETHAVLKGIQLKEPDKLGSSVFDYNDIYRKLCQFKICLNKRSNIMPDVFIVVSDVSKAFDSIDQDKLLCVMEDVIYEDQYLLKRFCQVVSTKKSLWAHERLMSRDQNITTGLTRFSSSLHFGSLHTVLVNQGRSRYLKREQLLSNLTEHVKRNVLQFDDKFYLQRKGIPQGSILSSLLCSLYYGHLERNVIFPFLEDLSRRHCYQDASAIGSSSRDKVISSPHYMLLRFIDDFLFISTSKKQAAGLFSQLRSGFRDYNCFMNEEKFCLNLDTEQVSGLQSNKLYVGGDGISFLRWSGLLLNSCTLEVQADYTRYLNNHLNSTLTVCWQGKPCQHLERKLWNFMRPKCHPIFFDSNINSAPVVRLNIYQAFLLCAMKFHCYVSSMSFHCHLSATFYANMIERSLRYMYVLIKRRMRSMHLGSCFHPILQLEVGEVEWLGLTAYIQVLKRKQSRYKELLSRLSLKLSKHRIGGSTSSHLKYAVDSSHSSLLWKIKY >Potri.001G209800.1.v4.1 pep chromosome:Pop_tri_v4:1:21473647:21480136:-1 gene:Potri.001G209800.v4.1 transcript:Potri.001G209800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209800.v4.1 MDSNNNNNYSPSSTSSPPHPTSTSTTSISTTTDPVQSWWESVSKARSRILSLSSILPSHPSSSSFSLSSLADSDRPAISFLSSPEAYSHISSSLSSPLSGSGSDPLCQWLYETYLSSDPNLRLIVLSFLPLLSGIYLSRIHSSDSSSAPSLAGFEAVLLAIYSSEVKSRGGKPVVVQIPHLSQPSLYHIPRNKPHKSQVQAPSTGVLSPPLEPQIAIKSTKRPVIVGVALDCYFKHISQMPSWSKVELCRFAAAWAGQDCACQDKFDEFDGADYFLEGRNVMISSNEIEDSSGISESEPKGVRIPLPWEILQPLLRILGHCLLGPLNTQDAKDAASVAVRRLYARGSHDLVPQAILATRSLIQLDKRTRETEKATAANASSNANTPAKAKKPEILLVSK >Potri.001G209800.2.v4.1 pep chromosome:Pop_tri_v4:1:21478840:21479322:-1 gene:Potri.001G209800.v4.1 transcript:Potri.001G209800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209800.v4.1 MPSWSKVELCRFAAAWAGQDCACQDKFDEFDGADYFLEGRNVMISSNEIEDSSGISESEPKGVRIPLPWEILQPLLRILGHCLLGPLNTQDAKDAASVAVRRLYARGSHDLVPQAILATRSLIQLDKRTRETEKATAANASSNANTPAKAKKPEILLVSK >Potri.014G159400.1.v4.1 pep chromosome:Pop_tri_v4:14:11341873:11349761:1 gene:Potri.014G159400.v4.1 transcript:Potri.014G159400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159400.v4.1 MEKISGGSPPPPPPPSVPANMNPELVEVPRHPERSAIPKYSMISRRGVGTSGRHISLLTNHFKVSVNVPDAVFYQYNVSITSEDNRAVESKGIGRKLIDRLYQTYSSEFAGKRFAYDGEKSLYTVGPLPQNKSEFTVVLEESFAKHESGSPGGGESPPAAVKRSKRSYRSKTFKVETSYAAKIPLKSIALALKGIEIDNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDSRNFNDVGGGVTGVKGFHSSFRTTQGGLSLNMDVSTTMILTPGPVIDFLIVNQNVREPRYVDWVKARRMLKNLRVKTKHNNMEFKIIGLSEKPCNQQYFPMKLKNRDGANVEAQIVEVTVYDYFTKHCGIQLGYSAYLPCLDVGKPKRPNYLPLELCSLISLQRYKKALSSMQRASLVEKSRQKPQERIKTVTEAMRSYCYDEDPVLSSCGISIEKQMTQVDGRILETPKLKVGNSEDCIPRNGRWNFNNKTLLNPTSISKWAIVNFSARCDISHVSRELINCGRRKGINIERPHTLIEEDQQSRRGSPLARVERMFELIREKLPGPPEFILCVLAERKNSDIYGPWKKTSLSDFGIVTQCISPTKINDQYLTNVLLKINSKLGGINSLLAIEHSSHIPLIMDTPTMILGMDVSHGSPGRSDMPSVAAVVGSRCWPLISRYRASVRTQSPKVEMIDALYKPLANGNDDGIIRELLVDFFQTSKGHKPKQIIVFRDGVSESQFNQVLNIELEQIIKAYQHLGEVDIPKFTVIVAQKNHHTKLFQAGGGTENVPPGTVVDTKIVHPRNYDFYMCAHAGMIGTSRPAHYHVLLDEIGFSPDELLNLVHSLSYVYQRSTTAVSIVAPICYAHLAAAQIGQFMKFEDFSETSSGQRSMTSVGSTPVPELPRLHENVEGSMFFC >Potri.014G159400.3.v4.1 pep chromosome:Pop_tri_v4:14:11341936:11349761:1 gene:Potri.014G159400.v4.1 transcript:Potri.014G159400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159400.v4.1 MEKISGGSPPPPPPPSVPANMNPELVEVPRHPERSAIPKYSMISRRGVGTSGRHISLLTNHFKVSVNVPDAVFYQYNVSITSEDNRAVESKGIGRKLIDRLYQTYSSEFAGKRFAYDGEKSLYTVGPLPQNKSEFTVVLEESFAKHESGSPGGGESPPAAVKRSKRSYRSKTFKVETSYAAKIPLKSIALALKGIEIDNSTQDALRVLDIILRQQAANRGCLLVRQSFFHDDSRNFNDVGGGVTGVKGFHSSFRTTQGGLSLNMDVSTTMILTPGPVIDFLIVNQNVREPRYVDWVKARRMLKNLRVKTKHNNMEFKIIGLSEKPCNQQYFPMKLKNRDGANVEAQIVEVTVYDYFTKHCGIQLGYSAYLPCLDVGKPKRPNYLPLELCSLISLQRYKKALSSMQRASLVEKSRQKPQERIKTVTEAMRSYCYDEDPVLSSCGISIEKQMTQVDGRILETPKLKVGNSEDCIPRNGRWNFNNKTLLNPTSISKWAIVNFSARCDISHVSRELINCGRRKGINIERPHTLIEEDQQSRRGSPLARVERMFELIREKLPGPPEFILCVLAERKNSDIYGPWKKTSLSDFGIVTQCISPTKINDQYLTNVLLKINSKLGGINSLLAIEHSSHIPLIMDTPTMILGMDVSHGSPGRSDMPSVAAVVGSRCWPLISRYRASVRTQSPKVEMIDALYKPLANGNDDGIIRELLVDFFQTSKGHKPKQIIVFRDGVSESQFNQVLNIELEQIIKAYQHLGEVDIPKFTVIVAQKNHHTKLFQAGGGTENVPPGTVVDTKIVHPRNYDFYMCAHAGMIGTSRPAHYHVLLDEIGFSPDELLNLVHSLSYVYQRSTTAVSIVAPICYAHLAAAQIGQFMKFEDFSETSSGQRSMTSVGSTPVPELPRLHENVEGSMFFC >Potri.018G121200.1.v4.1 pep chromosome:Pop_tri_v4:18:13394015:13402702:-1 gene:Potri.018G121200.v4.1 transcript:Potri.018G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121200.v4.1 MSSEIEIVEEDAVVQSNDRESAAVNGGNANNGVAVVGEESLRNDVYTAAAYGDLEKLHRLVESEGCSVSVPDSLGYYALQWAALNNRSAAAQYIIEHGGDVNATDHTGQTALHWTAVRGAIQVAELLLQEGARVNAADMYGYQTTHVAAQYGQTAFLYHIVSKWNADPDVPDVDGRSPLHWAAYKGFPDCIRLLLFLDSYRGRQDREGCTPLHWAAIRGNLEACTVLVQAGKKEDLMVTDNTGLTPAQLASDKNHRQVAFFLGNARRLLDKQCDGNSRLGRLSKLGLAPVLWFIILLLLVTYVHAVILASNLPKLTAGFGLLAWLAVFLVTAGLVMFYRCSRKDPGYIRMNVHDPQNMKDDEPLLKIEINNPALLTGNWSQLCATCKIVRPLRAKHCSTCDRCVEQFDHHCPWVSNCIGKKNKWEFFAFLVLEVSAMLITGGVTLTRVLTDPLAPSSLGAWINHAVSHHIGAISFLIMDFFLFFGVAVLTIVQASQISRNITTNEMANVLRYSYLRGPGGRFRNPFDHGCKKNCSDFLINGYNEDVEYFEDSPHSEGIGMMHMSRNSNLQNGDAHSHHMNGNGHVSINVNSESKIHHGHVHSSHCSHDHQGRSKSDTEPLGLGGLGLGRSSARTVAAA >Potri.006G187700.1.v4.1 pep chromosome:Pop_tri_v4:6:19473701:19477825:1 gene:Potri.006G187700.v4.1 transcript:Potri.006G187700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187700.v4.1 MKITALLVLKCNPEGSDPVILANAMDVSHFGYFQRSSVKEFIFFVGRTVAKRTPPGQRQSVQHEEYKVHSYNRNGLCALGFMDDHYPVRSAFSLLNQVIDEYQKNFGDSWRAAQADSTQPWPYLNEALTKFQDPAEADKLLKIQRELDETKIILHKTIDSVLARGEKLDSLVEKSSDLSAASQMFYKQAKKTNQCCTIL >Potri.005G122300.2.v4.1 pep chromosome:Pop_tri_v4:5:9053990:9057883:1 gene:Potri.005G122300.v4.1 transcript:Potri.005G122300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122300.v4.1 MKLSDSSFRFNPFSSSPSQQQQQAGQTANPKSDAKPEPEDPKGAGFDPEALERGAKALREINSALHAKQVFDVMRKQEQSRLAEVAAEKSHYEVIQAQIDIDRQRKLHEEQRNLIQQQAQAKVLMLRHGDELARKRMQTDHDAQRQHNVELVKMQEESSILKEQVRRATEEQIQAQQCQTEKERAEIERERQLERMLIEHINGEREKWLASTFSHIEVVWLCVELDTCSKLIMTVGGATTLAAGIYTTRFSEGLCLTLYAIWGSLEDLIKAKACWKMDGIYQNQHNMCVIISMGLGYAMMTGGDVAPLGAEAVTKIHKIFDWAKKSQKGLLLFIDKADAFLSERNSMHMSEAQTVLVLATNRPGDLDSAITDCIDEERFKLLNLYLSNYLSSEGDNGSGRGSLFKRPQKITVNDISEDVIREAAKKTEGFSGREIAKLFSEIVDYKVAEHNQTQRFVPSQNQY >Potri.003G009500.2.v4.1 pep chromosome:Pop_tri_v4:3:873418:876091:-1 gene:Potri.003G009500.v4.1 transcript:Potri.003G009500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009500.v4.1 MMNFTTILCKRLTVKELVTNVPVYESIADGSSGGLSLMFRRWATKKQAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGNVKFETNKLTGRKWVHVEPKYGYELHPIYTKASGDSAQVTTAS >Potri.003G009500.1.v4.1 pep chromosome:Pop_tri_v4:3:873447:874523:-1 gene:Potri.003G009500.v4.1 transcript:Potri.003G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009500.v4.1 MMNFTTILCKRLTVKELVTNVPVYESIADGSSGGLSLMFRRWATKKQAGSTKNGRDSKPKNLGVKKFGGERVIPGNIIVRQRGTRFHPGDYVGMGKDHTLFALKEGNVKFETNKLTGRKWVHVEPKYGYELHPIYTKASGDSAQVTTAS >Potri.005G239000.2.v4.1 pep chromosome:Pop_tri_v4:5:23634947:23636158:-1 gene:Potri.005G239000.v4.1 transcript:Potri.005G239000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239000.v4.1 MAIFKTLAAVLLVGIVFSLAVSDMVIKSLVESDPAPQIDCASACAVRCQLSSRPNLCHRACGTCCARCNCVPPGTSGNYDVCPCYGNMTTHHGQHKCP >Potri.010G228300.1.v4.1 pep chromosome:Pop_tri_v4:10:21133786:21137625:-1 gene:Potri.010G228300.v4.1 transcript:Potri.010G228300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228300.v4.1 MEVTGMSPFTVWPLFFLIIQLSFSFSLAQGNETDIFSLLALKHQITDDPLGKLSSWNESTHFCEWSGVTCGKKHQRVVQLDLQSCKLSGSLSPHVGNMSFLRTLNLENNSFGQNIPQELGSLFRLQALVLTNNSFSGEIPANISRCSNLLSLELEGNNLTGKLPAEFGSLSKLKAFYFPRNNLFGEIPPAYGNLSHIEEIPGGQNNLQGDIPKSIGKLKRLKHFSFGTNNLSGTIPTSIYNLSSLTHFSVPANQLHGSLPRDLGLTLPNLEIFRIHTCQSSGLIPVTISNVSNLSLLDLGLNSFTGQVPTLAGLHNLRLLALDFNDLGNGENNDLNFLYPLANNTSLEMIGLNYNYLGGALPEIVSNFSSKLRFMTFGNNQISGSIPNEIGNLISLRGFGFESNKLTGIIPTSIGKLQNLGALALSGNKIAGNIPSSLGNSTALVLLYLDKNNLQGSIPSSLGNCRDLLSLDLSQNNFSGPIPPEVIGIPSLSVSLDLSQNQLIGPLPSEVGMLVNLGYLDVSHNSLSGEIPGSLGSCVVLENLLLEGNLFKGSIPKSMSSLRALKYLNISYNNLTGQIPRFLADFRFLQHLDLSFNHLEGEMPTQGIFGNASAVSVLGNNKLCGGISLFNLSRCMLKESKKPKTSTKLMLLIAIPCGFLGVFCVIACLLVCCFRKTVDKSASEASWDISLRRITYGELFQATDRFSSSNIIGAGSFGSVYRGILASDGAVVAVKVFNLPCKGASKSFMTECAALINIKHRNLVKVLGVCAGVDFEGNDFKALVYEFMVNGSLEEWLHPVHVSNEACEARNLNLIQRLSISIDVAAALDYLHHGCQVPVVHCDLKPSNVLLDGDMISHVGDFGLARFSPEASHQSSSNQSSSVGIKGTIGYAAPEYGMERKVSTYGDVYGYGILLLEMFTGKRPTHGMFNDELNLHTYAAMSLPDRVVDVVDSILLREVEETSSDAPRRKQDVRAHKNFQCLTSIINVGLACSADLPKERMAMSTVVAELHRIRDIFLGGRRHKHHEIVVLPEGTVDTLC >Potri.010G228300.2.v4.1 pep chromosome:Pop_tri_v4:10:21133786:21137625:-1 gene:Potri.010G228300.v4.1 transcript:Potri.010G228300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228300.v4.1 MEVTGMSPFTVWPLFFLIIQLSFSFSLAQGNETDIFSLLALKHQITDDPLGKLSSWNESTHFCEWSGVTCGKKHQRVVQLDLQSCKLSGSLSPHVGNMSFLRTLNLENNSFGQNIPQELGSLFRLQALVLTNNSFSGEIPANISRCSNLLSLELEGNNLTGKLPAEFGSLSKLKAFYFPRNNLFGEIPPAYGNLSHIEEIPGGQNNLQGDIPKSIGKLKRLKHFSFGTNNLSGTIPTSIYNLSSLTHFSVPANQLHGSLPRDLGLTLPNLEIFRIHTCQSSGLIPVTISNVSNLSLLDLGLNSFTGQVPTLAGLHNLRLLALDFNDLGNGENNDLNFLYPLANNTSLEMIGLNYNYLGGALPEIVSNFSSKLRFMTFGNNQISGSIPNEIGNLISLRGFGFESNKLTGIIPTSIGKLQNLGALALSGNKIAGNIPSSLGNSTALVLLYLDKNNLQGSIPSSLGNCRDLLSLDLSQNNFSGPIPPEVIGIPSLSVSLDLSQNQLIGPLPSEVGMLVNLGYLDVSHNSLSGEIPGSLGSCVVLENLLLEGNLFKGSIPKSMSSLRALKYLNISYNNLTGQIPRFLADFRFLQHLDLSFNHLEGEMPTQGIFGNASAVSVLGNNKLCGGISLFNLSRCMLKESKKPKTSTKLMLLIAIPCGFLGVFCVIACLLVCCFRKTVDKSASEASWDISLRRITYGELFQATDRFSSSNIIGAGSFGSVYRGILASDGAVVAVKVFNLPCKGASKSFMTECAALINIKHRNLVKVLGVCAGVDFEGNDFKALVYEFMVNGSLEEWLHPVHVSNEACEARNLNLIQRLSISIDVAAALDYLHHGCQVPVVHCDLKPSNVLLDGDMISHVGDFGLARFSPEASHQSSSNQSSSVGIKGTIGYAAPEYGMERKVSTYGDVYGYGILLLEMFTGKRPTHGMFNDELNLHTYAAMSLPDRVVDVVDSILLREVEETSSDAPRRKQDVRAHKNFQCLTSIINVGLACSADLPKERMAMSTVVAELHRIRDIFLGGRRHKHHEIVVLPEGA >Potri.003G160500.1.v4.1 pep chromosome:Pop_tri_v4:3:17050837:17053248:-1 gene:Potri.003G160500.v4.1 transcript:Potri.003G160500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G160500.v4.1 MEGQPHPYAPRDLKLPGYVPNFLTQSTIVGVYLLTSLLVVSLIWILSGRSRKITKMNRLLMCWWAFTGLTHLILEGYFAFSPEFYKDKTAHYLAEVWKEYSKGDSRYAARDAATVTVEGLTAVLEGPASLLAVYAIASGKSYSYILQFAVCLGQLYGTAVYFLTAYLEGDHFATSPYHYYVYYIGANASWVVIPSLIAMRCWKKICSAVQVHGQKRTKTR >Potri.007G134000.1.v4.1 pep chromosome:Pop_tri_v4:7:14677337:14678980:-1 gene:Potri.007G134000.v4.1 transcript:Potri.007G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134000.v4.1 MAAIFTTGTDHHYNPVLDSHKRSRTIYMNQQQQGGDYYHSNPRWFQVKKPRVDHYNSNNGVFNFGPKIQPLPQFEAKKVEINNGVSGFNARVQDQKPRLNYNGVSTLMSQALPEFQTKRSEANNGVFVPNLQAWKPEANNSVFARPYSTVSKQFQGMKQRFYDGVSVPNPQVSRQFQGRSLEADIVVFKQNLPALQQIQANKPDFNNGVPLPNPVLYNSKSLRSPVYSIPNPAFVKPMLNRNVTNMCLLNSPSQNSLVSSGLLTNPSFLQSNSLNDVKSISTNSEYLAAPMHSGFFTKANFFNNNGGFTPNPPVMQPNLVNQNAINLPLNSQDQVACVYSDLQTSMVGNGVISLAKHEVQSNNPDTARKFNCAPRLQETSNQLVANECSGLINSLLNSLMKKEDDFSGGVVFDANQLKVRHESAIRSLYADMPRQCSTCGTRFKCQEDHREHMDWHVIRNRKARISKHQMQKQNQRISYLVC >Potri.008G047850.1.v4.1 pep chromosome:Pop_tri_v4:8:2788663:2790033:1 gene:Potri.008G047850.v4.1 transcript:Potri.008G047850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047850.v4.1 MLANVQIIPFSAFWLRSSVVSVLISLISDTWAIGSNTVACYWDSQASPMRCTTARAWPALQLYVKICS >Potri.001G232300.8.v4.1 pep chromosome:Pop_tri_v4:1:25084230:25087844:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFDGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.15.v4.1 pep chromosome:Pop_tri_v4:1:25084229:25089116:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIASRKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.7.v4.1 pep chromosome:Pop_tri_v4:1:25084229:25089116:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFDGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.13.v4.1 pep chromosome:Pop_tri_v4:1:25084453:25087172:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVLENDTVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.19.v4.1 pep chromosome:Pop_tri_v4:1:25084230:25087844:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.24.v4.1 pep chromosome:Pop_tri_v4:1:25084423:25086844:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.16.v4.1 pep chromosome:Pop_tri_v4:1:25084230:25087844:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIASRKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.20.v4.1 pep chromosome:Pop_tri_v4:1:25084229:25089116:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFDGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.22.v4.1 pep chromosome:Pop_tri_v4:1:25084423:25086844:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIASRKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.12.v4.1 pep chromosome:Pop_tri_v4:1:25084453:25087172:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVLENDTVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIASRKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.21.v4.1 pep chromosome:Pop_tri_v4:1:25084233:25087748:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIASRKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.17.v4.1 pep chromosome:Pop_tri_v4:1:25084229:25089116:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.26.v4.1 pep chromosome:Pop_tri_v4:1:25084423:25086844:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFDGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.14.v4.1 pep chromosome:Pop_tri_v4:1:25084229:25089116:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIASRKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.18.v4.1 pep chromosome:Pop_tri_v4:1:25084229:25089116:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MEVSSGIPTSCSSSGAGAGEVRRIHIIYFLSHNLGRIDQHPHLIRVHHSNRNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.23.v4.1 pep chromosome:Pop_tri_v4:1:25084233:25087748:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFVGSADGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.001G232300.25.v4.1 pep chromosome:Pop_tri_v4:1:25084233:25087748:-1 gene:Potri.001G232300.v4.1 transcript:Potri.001G232300.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232300.v4.1 MPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEILAPPANPFDGEKQENTGVELVENKAQNHEQRPSPNQICKDTTSRNTSSTEIPKESPTFTSEISTVTDDSIEEEEEEEEDACKRNNSRDQEQIDNKVEHSPFYTIFLGKSKKNQDERKDNTSSIEKMGTPSSFPSPSSSQSTFARSKSYSTGTSKVLRNLITCGAVDTNDAALVLQSQKNKNKYKPIDKPAGHICKGEELGGSARVFGTPWNQVQQQRQHNTAIARKSFDGARSSKKQHNGFGSPKVVSPAYKPVAVPTCSQCGKSFRPEKLHSHMKSCRGLKVLAKAASTYVEKTPSPSHNPVDSASGDGYFLTN >Potri.002G004800.1.v4.1 pep chromosome:Pop_tri_v4:2:277036:280008:1 gene:Potri.002G004800.v4.1 transcript:Potri.002G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G004800.v4.1 MASVSPAPPSLHPKIPLSSTVKPEIQPPTTAAPSPRPPQPPPPSSEPDVVHIPSYSRWFSWDNIHECEVRFLPEFFDSRSPSKNPSVYKYYRNSIISQFRKNPSAKLTFTEIRKTLVGDVGSIRRVFDFLDAWGLINYSPLNKQLKWEDGKDSSSKTAASPAGGGGGDGGTAGDANASNTKDNCKRLCSGCKSLCSIACFFCDKYDITLCARCYVRGNYRVGVSSSDFRRVEISEEARTDWTEKETLQLLEAVMHYRDDWKKVAQHVGGRSEKDCITHFIKLPFGEVFTDYTDVGDVDSKYNQIKDCDDDESGRNGNGSPSTSKKIRLSPLVDASNPIMAQAAFLSALAGTEVAEAAARAAVTTLTEVEYGGSKGSLEFVSRVTKHLDSGVASNGDTNLSASVKACLDANSLLEKEESDVERAISRITEVQMKEIQDKILRFEELDLQMEKEWQQLDQMKNLLFADQLSVLSKRSVTTKIDERGSTLKAEERGDENAKTD >Potri.001G129000.3.v4.1 pep chromosome:Pop_tri_v4:1:10556976:10565359:-1 gene:Potri.001G129000.v4.1 transcript:Potri.001G129000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129000.v4.1 MAIYPMRCLRPWLLYILLLYILINKSGAISPDGEALWSFRNAIVSSDGILPLWRPEDADPCNWRGVTCDLKTKRVIYLSLKNHKLSGPISPDLGKLAHLKILALYNNSFYGTIPSELGNCTELQGIFLQGNYLSGPIPSEMGNLTALQNLDISSNSLSGSIPASLGRLNKLVTFNVSNNFLVGPIPSDGVLINFADNSFTGNRDLCGKQIERTCKDDSGGPRTDGQSPSGQNQGGKKKYSGGLLISTSATIGALLLVALMCFWGCFLYKKFGKNGSNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMDEGFNRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTVILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASYIEKGLNIVGWLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.001G129000.2.v4.1 pep chromosome:Pop_tri_v4:1:10556932:10565359:-1 gene:Potri.001G129000.v4.1 transcript:Potri.001G129000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129000.v4.1 MAIYPMRCLRPWLLYILLLYILINKSGAISPDGEALWSFRNAIVSSDGILPLWRPEDADPCNWRGVTCDLKTKRVIYLSLKNHKLSGPISPDLGKLAHLKILALYNNSFYGTIPSELGNCTELQGIFLQGNYLSGPIPSEMGNLTALQNLDISSNSLSGSIPASLGRLNKLVTFNVSNNFLVGPIPSDGVLINFADNSFTGNRDLCGKQIERTCKDDSGGPRTDGQSPSGQNQGGKKKYSGGLLISTSATIGALLLVALMCFWGCFLYKKFGKNGSNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMDEGFNRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTVILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASYIEKGLNIVGWLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.001G129000.1.v4.1 pep chromosome:Pop_tri_v4:1:10556992:10565329:-1 gene:Potri.001G129000.v4.1 transcript:Potri.001G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129000.v4.1 MAIYPMRCLRPWLLYILLLYILINKSGAISPDGEALWSFRNAIVSSDGILPLWRPEDADPCNWRGVTCDLKTKRVIYLSLKNHKLSGPISPDLGKLAHLKILALYNNSFYGTIPSELGNCTELQGIFLQGNYLSGPIPSEMGNLTALQNLDISSNSLSGSIPASLGRLNKLVTFNVSNNFLVGPIPSDGVLINFADNSFTGNRDLCGKQIERTCKDDSGGPRTDGQSPSGQNQGGKKKYSGGLLISTSATIGALLLVALMCFWGCFLYKKFGKNGSNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMDEGFNRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTVILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASYIEKGLNIVGWLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.001G129000.4.v4.1 pep chromosome:Pop_tri_v4:1:10556999:10565345:-1 gene:Potri.001G129000.v4.1 transcript:Potri.001G129000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129000.v4.1 MAIYPMRCLRPWLLYILLLYILINKSGAISPDGEALWSFRNAIVSSDGILPLWRPEDADPCNWRGVTCDLKTKRVIYLSLKNHKLSGPISPDLGKLAHLKILALYNNSFYGTIPSELGNCTELQGIFLQGNYLSGPIPSEMGNLTALQNLDISSNSLSGSIPASLGRLNKLVTFNVSNNFLVGPIPSDGVLINFADNSFTGNRDLCGKQIERTCKDDSGGPRTDGQSPSGQNQGGKKKYSGGLLISTSATIGALLLVALMCFWGCFLYKKFGKNGSNSIAMDVSGGASIVMFHGDLPYSSKDIIKKLETLTEEHVIGSGGFGTVYKLEMDDGSIFALKRIVKMDEGFNRFFERELEILGSIKHRYLVNLRGYCNSPTSKLLIYDFLSGGSLDEALHERSEQLDWDARLTVILGAAKGLAYLHHDCSPRIIHRDIKSSNILLDGNLEARVTDFGLAKLLGDEESHITTIVAGTFGYLAPEYMQSGRATEKTDVYSFGVLVLEVLSGKRPTDASYIEKGLNIVGWLNFLITENRPREIVDPNCEGVQVESLDALLSVATQCVSSSPEDRPTMHRVVQVLESEVMTPCPSDFYDSNSD >Potri.015G107500.2.v4.1 pep chromosome:Pop_tri_v4:15:12581352:12585508:1 gene:Potri.015G107500.v4.1 transcript:Potri.015G107500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107500.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIVFGPRRKTIGRIWIRILVWSAYLSADMVATVALGNLARSQGDSSGDSSEKANNSIQTFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVGVALYVFSRSWPSGILTFIAIPMFIAGVVKYAERTWVLWSSCSKSLKNSSLSDFWRRSIDLRITEIAGNQDDLQREYLRQACTFFYISKYMMQDLVTSTIDLMLSQLLISRISVDGAFKVVEVELGLISDMLYTKAPLIYSRAGIVLRSISFLLSVSAFIAFLKIGKHAYSMTDITITYLLFGAAVFLELYAFLCLVLSDWTMIWLIDKGGNALTTAIYSQLRKLTRSERWSRSISQYNLISSSFESEQPKCLELLGIDEMMRQMHVNRKYLNVGLKRFIFEHLQKKAENLKENFNFIDKNFRSKIIGQRGDGVLERERLLKEYKWCTTEVEFSRSILVWHLATDICYVKDGSNVSSEYETSRCLSEYMMYLLVIRPNMLSKGFEDEGYLETLGGLRRLKDRGTDDVVDLIMGYNKSRGCDEITLQRLWKTQKSVLSGVERLANQLLQLGPEKRWEMINEVWIEMLVYAAAHCPWKEHTQQLRRGGELLTHVCFLMLHLGLSEQYEFKEFDDSTVLMRLELTPEEKTQFIQAKDKYLKGMADMSESTPNEKEVEELKKIVAIKDQELELLRSRLAAYGEFEEDKKYDADDQGTGQPPSNNEISLSME >Potri.006G195800.6.v4.1 pep chromosome:Pop_tri_v4:6:20407371:20413209:-1 gene:Potri.006G195800.v4.1 transcript:Potri.006G195800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195800.v4.1 MDAKEIEPKRTTTTTWNTETIPKVMKIVSTKLPQRDLISLLLVSPWLYRTLTSFPPLWMALDFREMNKAGDRLIAATSLPRYQHVKEINLEFAQDIEDEHLEVLQSKCFVSLQKLESLNLNGCQKISDKGIEAITSTCSKLKVFSIYWNVRVTDIGIKHVVENCKQIVDLNLSGCKNISDKALQLIAENYQELESLNLTRCIKLTDGGLQQILSKCSSLQSLNLYALSSFTDKAYKKISSLSLLKFLDLCGAQNLSDEGLSCIAKCKNIVSLNLTWCVRVTDVGAVAIAEGCTSLEFLSLFGIVGVTDKCLEVLSRFCSNTVTTLDVNGCIGIKRRSRDELLQLFPRLRCFKVHS >Potri.006G195800.9.v4.1 pep chromosome:Pop_tri_v4:6:20407374:20413209:-1 gene:Potri.006G195800.v4.1 transcript:Potri.006G195800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195800.v4.1 MDAKEIEPKRTTTTTWNTETIPKVMKIVSTKLPQRDLISLLLVSPWLYRTLTSFPPLWMALDFREMNKAGDRLIAATSLPRYQHVKEINLEFAQDIEDEHLEVLQSKCFVSLQKLESLNLNGCQKISDKGIEAITSTCSKLKVFSIYWNVRVTDIGIKHVVENCKQIVDLNLSGCKNISDKALQLIAENYQELESLNLTRCIKLTDGGLQQILSKCSSLQSLNLYALSSFTDKAYKKISSLSLLKFLDLCGAQNLSDEGLSCIAKCKNIVSLNLTWCVRVTDVGAVAIAEGCTSLEFLSLFGIVGVTDKCLEVLSRFCSNTVTTLDVNGCIGIKRRSRDELLQLFPRLRCFKVHS >Potri.012G113800.1.v4.1 pep chromosome:Pop_tri_v4:12:13311260:13314024:1 gene:Potri.012G113800.v4.1 transcript:Potri.012G113800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113800.v4.1 MDTRLFEAARTGNIDYLQQLLAENPFILNNTQLSAENPLNIAAAMGHVDFVKEIIRLKPVFAIEVNQEGFSPMHIAADNGQVEIAKELMEVDIKLCRLEGRQKMTPFHHAAIRGRAEVISLMLSGCPDCIEDETERRESALHLAVRNNRFEAIKMLVDWIREMNKEYLLNMKDEQGNTVLHLASWKKQRRVIEIFLGSGSASTGSLEVNAINHTGITALDVILLFPSEAGDREIVEILRSAGAMRARDTVPSTVTNSQTSTDNPSTPERCWSNRDNLVEYFKFKKDRDSPSEARGTLLVIAVLVATATFQVGVGPPGGVWQDTSIPDQKNITSNNTAHFAGQSIMATTNTVGFMLFVFFNSVGFSMSLYMLYVLTSKFPLQFELQICLLAMYCTYGTALSCIVPSNLYLFVQLTTTILSSTMSALARSVRPLTRMLRKSFKDFTHRVV >Potri.002G015800.1.v4.1 pep chromosome:Pop_tri_v4:2:961633:962778:1 gene:Potri.002G015800.v4.1 transcript:Potri.002G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015800.v4.1 MRSIISKEQETKEPFYVLDLGVVSALLDKWTRTLPIVRPFYAVKYNPVPALLGSLAALSSNFDCASQAEIELVLSLGVSLDRIVYANPCKPGSHIKYAASVGVNLTTFDSKEELDKIQKWHPKCALLIRIKALDDSRARCLLGSKYGALPEEVTPFLEAAQMARLNVVGVSFHIGNRATYSQAYEGAIASAKSVFEAAARFGMPRMTILNIGGGFTAGSLFDEAATSIKPALQAYFPNEPGLTIISEPGRFFAESPFTLATNVIGKRVRGELREYWINDGIYGSLNCILNDHATITCTPLACNSNSANPTCKGEKSYSSMVFGPTCDALDTVLTVHQLPELQVDDWLVFPNMGAYTAAAGSSFNGFNTAAILTYLSYSNLS >Potri.002G049000.1.v4.1 pep chromosome:Pop_tri_v4:2:3263620:3265634:1 gene:Potri.002G049000.v4.1 transcript:Potri.002G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049000.v4.1 MWAQSYGKTDLEAGARPLYPMMLESPQLRWAFIRKVYSILCFQLLITIAVAAMVVSIRPIAVFFSTTGAGLALYIVLILTPFITLLPMYYYHQKHPVNYFLLGIFTISLAFAVGLTCAFTEGKVILESAILTTVVVVSLTMYTFWAARRGHDFNFLGPFLFGAVMVLMVFAFIQILFPLGRISVMIYGCLASIIFCGYIIYDTDNLIKRFSYDEYIWASVSLYLDILNLFLALLTIFRAADS >Potri.019G027300.1.v4.1 pep chromosome:Pop_tri_v4:19:3980656:3981638:-1 gene:Potri.019G027300.v4.1 transcript:Potri.019G027300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G027300.v4.1 MVFESCFFFGFFCWFVVLDLYDETKTEEDLKAEYLCPFCGEDFDVVGLFCHIHEEHPAEAKNGVCPVCAKRVGMNIVTHITGQHGNFFNVQRKRRLQKGGS >Potri.005G166700.1.v4.1 pep chromosome:Pop_tri_v4:5:16701048:16703925:-1 gene:Potri.005G166700.v4.1 transcript:Potri.005G166700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G166700.v4.1 MTNQNVVVPNARKGVDITITMALSKSLFSPVVPKPLPAAPGGYFTISRKMFAKKTETGGKTNSWADSMRDSSPTRVKSTTSLSEIEEKNTWIVNHPSALNMFEQIVNGSKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMREAVRDVARYFPTAIVTGRCRDKVYSFVRLAGLYYAGSHGMDIKGPSKNCCINKKDYQGVLFQPASDFLPMIDEVYNALLERTKYIPGARVEDNKFCISVHFRCVEEKMWAALVEQVRSVLNGYPKLRLTQGRKVLEIRPTIKWDKAKLLSSCWNH >Potri.008G107200.1.v4.1 pep chromosome:Pop_tri_v4:8:6771719:6774625:-1 gene:Potri.008G107200.v4.1 transcript:Potri.008G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107200.v4.1 MSHNLETRSLMGEIRRFDKCCFFDFGHPLLNRIAESFVKAAGIGAIQAVSREAYFTAIEGAGFESSGGVPPEISVDGKKRHRAPDLRGETNRKSLEALVRNTGKESLQWGLAAGVYSGLTYGLSEARGVHDWKNTAVAGAITGLALALTTADISHEQIVQCAITGAAISTAANLLTGIF >Potri.003G031800.6.v4.1 pep chromosome:Pop_tri_v4:3:3570726:3584406:-1 gene:Potri.003G031800.v4.1 transcript:Potri.003G031800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031800.v4.1 MAITATVTTATLSLRPSLTFSSPSLPSSSSSSFFTGKKFASPSILTVRFSKTKTKAYTNGPGPFVYASGDYYATLGVPKSATSKEIKAAYRRLARQYHPDVNKEPGATEKFKEISSAYEVLSDDKKRSLYDQYGEAGVKSTVGGPSSAYTTNPFDLFETFFGASMGGFPGMEQTGFKTRRRSTVTKGEDIRYDISLEFSEAIFGAEKEFELSHLETCEVCAGTGAKMGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGEGRIRVKKNIKVKVPPGVGAGSILRVAGEGDAGPRGGPLGDLYVYLDVEEIRGIQRDGINLTSTISISYADAILGTVVKVKTVEGMSELQIPPGTQPGDVLVLAKKGAPKLNKPSIRGDHLFTIKVTIPKRVSAKERELLEELASLSDKNISRSRTQPATRDQEREVETVSETTEESRDQNDPWQKLKDFAGDIYRSLTNGALKWLKDNL >Potri.003G031800.2.v4.1 pep chromosome:Pop_tri_v4:3:3570661:3584445:-1 gene:Potri.003G031800.v4.1 transcript:Potri.003G031800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031800.v4.1 MAITATVTTATLSLRPSLTFSSPSLPSSSSSSFFTGKKFASPSILTVRFSKTKTKAYTNGPGPFVYASGDYYATLGVPKSATSKEIKAAYRRLARQYHPDVNKEPGATEKFKEISSAYEVLSDDKKRSLYDQYGEAGVKSTVGGPSSAYTTNPFDLFETFFGASMGGFPGMEQTGFKTRRRSTVTKGEDIRYDISLEFSEAIFGAEKEFELSHLETCEVCAGTGAKMGSKMRICSTCGGRGQVMRTEQTPFGLFSQVSVCPNCGGDGEVISEYCRKCSGEGRIRVKKNIKVKVPPGVGAGSILRVAGEGDAGPRGGPLGDLYVYLDVEEIRGIQRDGINLTSTISISYADAILGTVVKVKTVEGMSELQIPPGTQPGDVLVLAKKGAPKLNKPSIRGDHLFTIKVTIPKRVSAKERELLEELASLSDKNISRSRTQPATRDQEREVETVSETTEESRDQNDPWQKLKDFAGSLTNGALKWLKDNL >Potri.007G142300.2.v4.1 pep chromosome:Pop_tri_v4:7:15169571:15174710:1 gene:Potri.007G142300.v4.1 transcript:Potri.007G142300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142300.v4.1 MAAISSITSTPFHSLKPSSSSSFPSNSTTVSLGFSKALATTPLTISKSYQNKLRVSATNDNDIADTTLSTNGSAPSTARSKARRHTISVFVGDESGMINRIAGVFARRGYNIESLAVGLNKDKAMFTIVVSGTERVLQQVVEQLQKLVNVLKVEDLSNEPQVERELMLVKVNTDPKDRAEIMWLVGIFRAKIVDISEHTVTIEVTGDPGKIAAVQRNLSKFGIREIARTGKIALRREKMGASAPFWRFSAASYPDLGEKRLADTGLRAKKGAVAREDDMSAGGDVYPVEASDDFTLNQILDAHWGVLTDEDTAGLQSHTLSLLVNDHPGVLNIVTGVFARRGYNIQSLAVGHAETEGLSRITTVVPGTDESITKLVQQLYKLVEIHEVRDLTHVPFAERELMLIKIAVNAAARRDVLDIASIFRANAVDVSDHTVTLELTGDLDKMVALQRLLEPYGICEVARTGRIALTRESGVDSKYLRGYSFPV >Potri.003G140233.1.v4.1 pep chromosome:Pop_tri_v4:3:15652084:15653024:-1 gene:Potri.003G140233.v4.1 transcript:Potri.003G140233.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140233.v4.1 MFTSSISYLNSMLYDTKKGTRGSKGTNLIYRDELSKKISPKKLKKDGNCLAIKEEDARKNFEKRGYASSVQEKDVIRVKVRMTKQEAARLMAKCKEGGLLEFKDVAHELVQLPVNRVSVVSSNGGYDGVLHSIPEEE >Potri.009G029600.1.v4.1 pep chromosome:Pop_tri_v4:9:4077219:4082046:-1 gene:Potri.009G029600.v4.1 transcript:Potri.009G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029600.v4.1 MEEENGGLVVQSLIETVNEIASISDYRCAVKKQYCNLARRLKLLTPMLEEIRDSKDSIIPQQTLKALVSLKQALDSAKDLLKFGSEGSKIYMVLEREQIMNKYHEVTAKLEQALSGISYESLDISDEVKEQVELVLSQFRRAKGRADDTDVELYEDLLSLYNKTDDSAKDLAVLRRLSEKLQLLGIADLTQESLALHEMVAATGGDPGENIEKMSMLLKKIKDFVQTENPNLDAPAREKNLPPSGSGQAFADGSHKTPVIPDDFRCPISLELMNDPVIVSTGQTYERSCIEKWLEVGHDTCPKTLQKLTSAALTPNYVLRSLIAQWCEANGIEPPKRPSSSGSNKTVSTCSPAERAKTEILLHKLASGSLEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLSTPDSRTQEHAITALLNLSICEENKGSIVSAGAVPGIVHVLKKGSMEARENAAATLFSLSVVDENKVTIGSLGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTETGGGMVDEAMAILAILASHSEGKAIIGAAEAVPVLVEVIRNGSPRNRENAAAVLVHLCSGDQKHLVEAQEHGVMGPLVDLAQNGTDRGKRKAQQLLERISRFFEQQKHTQAQIEAHIQQPQPPTVANSGDS >Potri.009G029600.2.v4.1 pep chromosome:Pop_tri_v4:9:4077213:4081881:-1 gene:Potri.009G029600.v4.1 transcript:Potri.009G029600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029600.v4.1 MPSFLLLLFFLLKSLCLFCFQVLEREQIMNKYHEVTAKLEQALSGISYESLDISDEVKEQVELVLSQFRRAKGRADDTDVELYEDLLSLYNKTDDSAKDLAVLRRLSEKLQLLGIADLTQESLALHEMVAATGGDPGENIEKMSMLLKKIKDFVQTENPNLDAPAREKNLPPSGSGQAFADGSHKTPVIPDDFRCPISLELMNDPVIVSTGQTYERSCIEKWLEVGHDTCPKTLQKLTSAALTPNYVLRSLIAQWCEANGIEPPKRPSSSGSNKTVSTCSPAERAKTEILLHKLASGSLEDQRSAAGEIRLLAKRNADNRVAIAEAGAIPLLVGLLSTPDSRTQEHAITALLNLSICEENKGSIVSAGAVPGIVHVLKKGSMEARENAAATLFSLSVVDENKVTIGSLGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMRLLTETGGGMVDEAMAILAILASHSEGKAIIGAAEAVPVLVEVIRNGSPRNRENAAAVLVHLCSGDQKHLVEAQEHGVMGPLVDLAQNGTDRGKRKAQQLLERISRFFEQQKHTQAQIEAHIQQPQPPTVANSGDS >Potri.014G117400.1.v4.1 pep chromosome:Pop_tri_v4:14:7911316:7917457:1 gene:Potri.014G117400.v4.1 transcript:Potri.014G117400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117400.v4.1 MDAKDILGLPKTPLPLTQEKKSQPKKDSQRKPDGISREVYALTGGLAPLMPSIDVSQLKRRPPSDEKITWQWLPFTSSARKDNLQLYHWVRVVNGVPPTGDYSFAKYNKSVDVVKYTEEEYEKYLTDPMWTKEETDQLFDLCERFDLRFVVIADRFTSSRSVEELKDRYYNVSRAMLIARAPSPGDVSGHPLVKEPYNSSQETERKRALSMVLSQTKHQERKDTQVLAEAKKIVESRITALGTEESALPVASNVDPDIAEIAVNLDDSASPSSNAQLASASVAPSTSAMADNASTLASLRMLRVYLRTYGLEQMVQAASSSAGLRTIKRVEQTLQDLGVSLKPKVPTKAVCSEHLELRKEILTLLNLQKQLQYKEAEGSSFRDGSYTDIPGSPKRSQRDQDRTFIPDSMSFGGDRVGRRDQKRKGPGRVSENPSSPAHKRPRKLKASDL >Potri.008G153700.1.v4.1 pep chromosome:Pop_tri_v4:8:10543005:10543918:-1 gene:Potri.008G153700.v4.1 transcript:Potri.008G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153700.v4.1 MRRENIHFTFPCAFKASAALCLPFTEKQIHAVAVKLGQINDKFVGCTAFDMYSKTGLKFEAKRLFDEMAERNIATWNAYFSNAVLDGNPRKAIDTFIDFHRVVGEPDSITFCAFLNVCADASYLDFGRQLHGFVIRSGFEGDVSVAMGPFIFMGTVRKLDWLKYFQWDGEDSVSWWLSGLELGRSVHALAVKACVEGDVFVGSAPVDMYGKCGSSEDCEQDFHEMPERNLVSWNAMISGYAYHGDVAWPSHCLKKCSLTRC >Potri.018G055700.1.v4.1 pep chromosome:Pop_tri_v4:18:5515085:5517938:1 gene:Potri.018G055700.v4.1 transcript:Potri.018G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055700.v4.1 MASKLTQLQSKACQASKFVSKHGSAYYKQLLEQNKQYIQDPPSVEKCNLLSKQLFYTRLASIPVRSEAFWKELDYVKHLWKHRQELKVEDAGIAALFGLECFAWFCAGEIVGRGFTFTGYYV >Potri.015G126900.2.v4.1 pep chromosome:Pop_tri_v4:15:13863339:13866923:1 gene:Potri.015G126900.v4.1 transcript:Potri.015G126900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G126900.v4.1 MDLKSNHSAPVLTDSAPLGKSRLGGHHGLFPCSPSGGAAFSPNLWLSIPKKKTGVLDDVRSIGWLDAMKSSSPPHKKFNKDINMELSSPDPEAAYRTWLLKYPSALASFEQIANFAKGKRIALFLDYDGTLSPIVENPDNALMSDVMRSAVKKVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIVGPVRHSTSDDHPNCIESTDMQGNEVNLFQPAREFLPMIDEVFSSLLKSTEEIKGATVENNKFCVSVHYRNVDEDKWKAVWECVEDVIKKYPRLRLTFGRKVLEIRPTINWDKGKALVFLLESLGLSNCDDVLPIYVGDDRTDEDAFKILRERNCGYGILVSKSPKESNAYYSLRDPSEVMEFLKSLVMWKKSSAQ >Potri.015G126900.1.v4.1 pep chromosome:Pop_tri_v4:15:13863391:13866881:1 gene:Potri.015G126900.v4.1 transcript:Potri.015G126900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G126900.v4.1 MDLKSNHSAPVLTDSAPLGKSRLGGHHGLFPCSPSGGAAFSPNLWLSIPKKKTGVLDDVRSIGWLDAMKSSSPPHKKFNKDINMELSSPDPEAAYRTWLLKYPSALASFEQIANFAKGKRIALFLDYDGTLSPIVENPDNALMSDVMRSAVKKVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIVGPVRHSTSDDHPNCIESTDMQGNEVNLFQPAREFLPMIDEVFSSLLKSTEEIKGATVENNKFCVSVHYRNVDEDKWKAVWECVEDVIKKYPRLRLTFGRKVLEIRPTINWDKGKALVFLLESLGLSNCDDVLPIYVGDDRTDEDAFKILRERNCGYGILVSKSPKESNAYYSLRDPSEVMEFLKSLVMWKKSSAQ >Potri.001G244000.3.v4.1 pep chromosome:Pop_tri_v4:1:26149683:26151815:1 gene:Potri.001G244000.v4.1 transcript:Potri.001G244000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244000.v4.1 MAALLRALHFALALFISLKHLALAQEMNQFFYNGFKGANLSLDGIASIHPNGLLELTNASKQQIGHAFFPFPFHFSTSSNNSRSLSFSTNFVFAMVPESPMRGGHGIAFTISPSTDFNGATATQYLGLFNSTTIGLSSNHLLAIELDAIRSPEFGDINDNHVGIDVNNLTSIQSAPASYFSEHGGNEILQLISGDPMQVWIDYDEMDKLLDVTLAPVSVTKPRKPLLSTTIDLSQVLLDSMYVGFSSSTGSVSSHHYILGWSFNKSGQAQSLGTSKLPSLPPERNSSNKPDLRIVIPLITVSVLLIASFATMCIMRNKYEEVREDWEQQYGPQRFRYKDLYKATKGFKDKELLGTGGFGRVYRGELRSSKVEIAVKKISHGSNQGMKEFVAEIASMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKFLFCNEKPNLDWPRRYQILRGVGSALLYLHEEWQQVVLHRDVKASNVLLDGDLNGRLGDFGLAKFHDHGSTPQTTNVVGTVGYLAPEITRTGKATTSSDVFAFGTFMLEVVCGRRPVESERPPEEVVLVDWVLECWKRGAILGTVDPRLNVNYEVKEVELVLKLGLLCTHRTPAARPSMRQVVQFLEGDATLPDIPLHGAGIGLVPVSNQSSRNHVLTIPISSDDVSSCCLSDCESILSGR >Potri.014G038100.3.v4.1 pep chromosome:Pop_tri_v4:14:2435394:2450149:1 gene:Potri.014G038100.v4.1 transcript:Potri.014G038100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038100.v4.1 MDVFYLPFLTNNMTTNPMFLIFIFICSIFWISRKFLAGTGKKKAAPKAGGAWPVIGHLHLLGGAEPPHKVLGNMAEKYGPIFTIKMGVHRALVVSNWETAKECFTTHDKAFSGRPRTLASELLTYDGAMVGFSPYGPYWRQVRKITTVELLSNYRLEKLKDVRESEVRAFLKELYKLWDENRGSASKSKSNLALVEMKRWFGDLTLNIVLRTIVGKTVGYITNVEDEESVEGWKKGLKDFFHWTGVFSVSDALPFLRFLDLGGHGEAMKKTAKELDLVVEDWLKEHKRKRAAGIVKGKEDFMDVMLDVFDNDAEAVQGGDSDTTIKATSLALILAASDTTAVTLIWALSLLVNNPNVLKKAQLELDTHVGKERQVEESDVQNLVYLKAVLKETLRLYPAGPLLVPHEAIEDCTIDGYHVPRGTRLLVNVSKIHRDERVWSNPNEFDPERFLTTHRGFDVRGKNFEFFPFGSGRRMCPGVSFALHVMDLALATLLHGFDFATPSGEPVDMHESSGLTNLRATPLEVLLSPRLPSRLYGH >Potri.014G038100.2.v4.1 pep chromosome:Pop_tri_v4:14:2435394:2450150:1 gene:Potri.014G038100.v4.1 transcript:Potri.014G038100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038100.v4.1 MAEKYGPIFTIKMGVHRALVVSNWETAKECFTTHDKAFSGRPRTLASELLTYDGAMVGFSPYGPYWRQVRKITTVELLSNYRLEKLKDVRESEVRAFLKELYKLWDENRGSASKSKSNLALVEMKRWFGDLTLNIVLRTIVGKTVGYITNVEDEESVEGWKKGLKDFFHWTGVFSVSDALPFLRFLDLGGHGEAMKKTAKELDLVVEDWLKEHKRKRAAGIVKGKEDFMDVMLDVFDNDAEAVQGGDSDTTIKATSLALILAASDTTAVTLIWALSLLVNNPNVLKKAQLELDTHVGKERQVEESDVQNLVYLKAVLKETLRLYPAGPLLVPHEAIEDCTIDGYHVPRGTRLLVNVSKIHRDERVWSNPNEFDPERFLTTHRGFDVRGKNFEFFPFGSGRRMCPGVSFALHVMDLALATLLHGFDFATPSGEPVDMHESSGLTNLRATPLEVLLSPRLPSRLYGH >Potri.001G470700.1.v4.1 pep chromosome:Pop_tri_v4:1:49411105:49411803:-1 gene:Potri.001G470700.v4.1 transcript:Potri.001G470700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470700.v4.1 MASTSVAAMASASFTHQKPAVTSPRPALPKVGQSLFGLKAGHRGGRVKAMATYSVKLITPDGEKVIECSDETYILDKAEEEGIDLPYSCRAGACSSCAGKIVEGIVDQSDASFLGEDQIEAGWVLTCLAYPRSDLVIETHKEEELASS >Potri.010G255000.2.v4.1 pep chromosome:Pop_tri_v4:10:22591691:22594402:-1 gene:Potri.010G255000.v4.1 transcript:Potri.010G255000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255000.v4.1 MGSAAAQIPTSFGHELRACLRCRLVKTYDQFRESGCENCPFFKMDEDHERVVDCTTPNFTGIISVMDPSRSWAARWLRIGRFVPGCYTLAVSEALPEDLQNLCEDERVPYIPPKRV >Potri.003G093200.1.v4.1 pep chromosome:Pop_tri_v4:3:11958244:11960802:-1 gene:Potri.003G093200.v4.1 transcript:Potri.003G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093200.v4.1 MALQALSSNEFLNFILYDTISATPCSSHASLETTSLLLENLIPQDHGVSVNSSSLMTGQRCSMGREAVIRRHNLLAVQGKKKRRRKPRVCKNREDAETQRMTHIAVERNRRKLMNEHLAVLRSLMPESYVRRGDQASIVGGAIEFVKEMEHFLQSLEAKKLKLKQELTGPNYSAENATTTSEFPQPPFSQFFVSPQYTWSQIPSKFTSKTAASIADIEATLIETHANLRILSRRSPRQLSKLVSGFHTLYLTVLHINVTTMDPLVLYSISAKLEEGCQLTSVDDLAGAVHHMLRIIEQEAALC >Potri.011G023301.1.v4.1 pep chromosome:Pop_tri_v4:11:1734477:1736149:-1 gene:Potri.011G023301.v4.1 transcript:Potri.011G023301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023301.v4.1 MAKTGPVRFKRVAAAFNEASRAIRLCESSGSEHYSPDNNSADLSDLVNSFIEREYRNQFRGGGDHDKKEDDNLAHQDQKDHHRPQSKHSIDQHDESLDICSYSETKDTLENLLNSIEDDDDVRQKIRKETELACGIIGERSSLSSHLDFKRGLMSHLRDRGFDAGLCKSRWEKFGRHPAGDYEYVDVNVSGKRYIVEVFLAGEFIIARPTSHYTELLQVFPRVYIGKPEEVKQIVRLMCNAMRESMKGVGMPVAPWRRYGYMEAKWFGHYKRTTNEVPSRRKGTKSDHEVISARRVAGFEPLPVRVYHCKDDLARKGGSGVSHLTAAFRSDGIDR >Potri.001G058900.1.v4.1 pep chromosome:Pop_tri_v4:1:4498822:4506618:-1 gene:Potri.001G058900.v4.1 transcript:Potri.001G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058900.v4.1 MIRDKFNKLRHNHCCFLSVSIFVFALALSLSPIYCQQSDGNDNSTATEDLFSELVYNSFSNFTSVFKQDIAKYFGFCIIDVDEDWNMAFNFSKKTQFISDCAKKTNGDITARICTAAEIKFYFNSFFEKGTKKANYLKPNKNCNLSSWVSGCEPGWACGVGQGQKVDLRDSKDIPDRTTNCATCCEGFFCPHGITCMIPCPLGAYCPLAKLNKTTGICDPYHYQLPPGNPNHTCGGADVWADILSSGEIFCSAGSYCPSNIQKIPCSRGHYCRTGSTSQTGCFKLATCEPRSTNQNITAYGILFFAGLSFLLIIMYNCSDQVLATREKRQAQTREKAVQSVRETAQAREKWKSARDIAKKGAIGLQTQLSRTFSRTKSKKPAEQLKGLGQAKPGTDAALPPMPGGSSSYQSSAKGKKKEKSNLTQMLDDIENNPEGHEGFDFKIGDKNTRKNAPRGKKLHTQSQMFRYAYGQIEREKAMQEQNNNLTFSGVISMANDIEIRKRPTLEIAFKDLTLTLKSKRKHLLRCVTGKLSPGRVSAVMGPSGAGKTTFLSALTGKATGCAMSGMVLVNGKTDPIQAYKKIIGYVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIEALGLQAVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSQLLLRALRREALEGVNICMVVHQPSYTLFRMFDDLILLAKGGLTAYHGSAKKVEEYFAGLGITVPERVNPPDYFIDVLEGIAKPKSGVNYKQLPVRWMLHNGYPVPMDMLQNTDGLGLPSGENSAHGASEAGSETESFAGGFWQDLKANVESEKEKLLHDLSKSGDLSGRRSPGVYQQYRYFLGRVGKQRLREARAQAVDYLILLLAGICLGTLAKVSDETFGVLGYTYTVIAVSLLCKIAALRSFSLDKLHYWRERSSGMSSLANFLAKDTIDHFSTIVKPLVYLSMFYYFNNPRSTVIDNYVVLICLVYCVTGIAYAMAIFFEPGPAQLWSVLLPVVLTLIATQSENGVVVDYISDLCYTKWALEAFVISNAKRYYGVWLITRCGSLMESGYDLGHWYRCLIFLVLTGIASRVAAFFIMITFHGK >Potri.016G101400.1.v4.1 pep chromosome:Pop_tri_v4:16:10295750:10297073:-1 gene:Potri.016G101400.v4.1 transcript:Potri.016G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101400.v4.1 MEQARYWMLTKRKHSLDSHFQASTNPSLDDSWEEQAFAEDAAGPLGGCIWPPRSYSCSFCRREFRSAQALGGHMNVHRRDRARLKQSPSPHNEILHHEHQKPLNHLQNPYTSFGFQYPSQLCTLDYCPNPNSNPGFIPSRTSSSRVSITSTQENFCDKTISPPFTSFIVEEQQKRSHKSSPPPQTKLTKERCHISSLSTEGEQNSRKIESGCRAKVDYVQTDLSVSLNLVVRRTRPSISDCGEEPMSCKKRRIDKSSLPFFLKCNSVDKHHVQSEVFEISPCTVDELDLELRLGDRPKVK >Potri.001G447132.4.v4.1 pep chromosome:Pop_tri_v4:1:47195790:47202340:1 gene:Potri.001G447132.v4.1 transcript:Potri.001G447132.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G447132.v4.1 MKDLKDDTINIIGLYGMGGVGKTTLVKEVGRGAKELQLVDEVLIATVSQNPNVTDMQDQMADSLGLHFDGKSEKGRAGRLWQRLQGKKMLIILDDAWKDIDLKEIGIPFGDAHRSCKILLTTRLENICSSMKCQQKVLLRVLSENEAWALFKINAGLRDEDSDLNRVAKEVARECKGLPIALVTVGRALRDKSAVEWEVAFDQLKKSQFPDMEQIDEQKNAYACLKLSYDYLKHEKTKLCFLLCCLFPEDYNIPIEDLTRYAVGYGLHQDVESIEDARKRVYVAIKNLKACCMLLGTETEEYVKMHDLVRDVAIQIASSEKYGFMVKAGFGLKEWPMRNKSFEGCTVISLMGNKLTDLPEGLVCPQLKVLLLELDRGLNVPERFFEGMKEIEVLSLKGGCFSLQSLQFSTNLQSLLLMECKCKDLIWLRKLQRLEILGFIGRDSSEELPGEMGELKELRLLDVTGCRNLGRIPVNLIGSLKKLEELLIGRNSFKEWDVVGTSRGGMNASLTELNSLSHLAVLSLKIPKVESIPRDFVFPRLLKYDIVLGIELLYKKYPTAKTRLYLGEISATSLNAKTFEQLFPTVSQIVFMRVKGLRNIVLSSDQMTTHGHGSQKDLLQRLEHVKVEKCGDIRTLFPAKWRQAMKNLKSVEIYECESLEEVFELGEADEGTNWVRLRLLSSLTTLQLHRLPELKWIWKGPIRHVSLQSLIHLELSFLDKLTFIFTPFLAQSLIHLETLQIGNCRGLKRLIREKDDEGEIIPESFGFPKLKTLSIWGCDKLEYVFPVSVSPSLQNLEEMEIREANNLKQVFYSGEGDDIIVKSKIKDGIINFPQLRKLSLSKCSFFGPKDFAVQLPSLQCLSISGHEEGGNLLAQLRGFMNLKEISIENLEGVQDLMQVERLVTNRRGGHELSLVSSETLHLNLLPDLSCIWKGLVPSNLTTLDVKKCDRLTHVFTNSIIASLIQLKFLDISNCEELEQIIAKDNDDENDQILSGSDLKSSCFPNLCRLEIRGCNKLKILFPVAMASGLKKLQILRVRESPQLFGVFGQGDHASAVNVEKEMVLPDLQELLLVQLPSISCFSHGCYDFLFPHLQKLEVDGCPKLTTTFATTSNDSMSAQSEGFMNLKKISIGNMKGVQDLMQVGRLVTNRRRGHELSLVSLETLHLNLLPDMRCIWKGLVPSNLTTLKVKKCDRLTHVFTNSMIASLLQLKFLDISNCEELEQIIAKDNDDENDQILSGSDLQSSCFPNLCRLEIRGCNKLKSLFPVAMASGLKKLRILRVRESSQLLGVFGQGDHALTVNVEKEIVLPDLQELLLVQLPSISCFSPGCYNFFFPCLSILRVCQCPKLTTESATTSNDSMSAQSEGFMNLKKISIRNLKGVQDLMQVGRLVTNRRSRHELSLVSLETLNLNLLPDMRCIWKGLVPSNLTTLEVKKCDRLTHVFTNSMITSLIQLKFLDISNCEELEQIISKDNDDEKDQILSGSDLQSSCFPNLWRLEIRGCNKLKSLFPVAMASGLKKLRILRVRESPQLLGVFGQGDHASAVNVGKEMVLPDLQELLLVQLPSISYFSHGCYDFIFPCLLMLEVRQCPKLTTKFATTSNSSMSAQSEVSQVAEGSSTGCSVPTSTCRNWTRNKGWEEDGVVHDD >Potri.001G165240.1.v4.1 pep chromosome:Pop_tri_v4:1:14067499:14072265:1 gene:Potri.001G165240.v4.1 transcript:Potri.001G165240.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165240.v4.1 MTSNQQRLQPFHDVGPGVSSPYNSTIHIYSEGNLSDKNFSFTQATPYNSDHLTNDHSLLHTTDDERLARSSKKNPSFSLCCLNGRVSLPAMQPTPPILDFLLDHSNGSASKKFRDNIRAYNSMFAFTSMGAKIDTSVNDQPAPYVFKISGHCHHLMGSLLHVDGESPKDSKSSSLDENIVVDLLRMLDETNELAKLFRKARDKTQNIHSVDYKLRLLGKRNHDSRQYDDPTSNDIGGLVVGDIGDFFSERDIIIECFSGSLKRISKLHPKFMALQYPLLFPYGEDGYSCNIMFVDHGHEKTRKRSRVPMRAYYAYLINERPGCDNTIIKGGRLYQQFLVDAFVNVEEDRLDYIRANQKDLRTEVYKGIHEAVLNGDVEGFSAGKIIVPSSLTGSPRYMINNYQDAMAICRTYGNPDLFITFTCNVNWPEIRRELTKGRIYKHEDKPDIITHVFRSKVIDMLAFIKSGKPFGQIIADVCAIEFQKRDVDSIVSAEIPDKFTDPKCYEIVSRFMMHGPCGLANPKSQCMNEGICSKRFPKQFKTQTVFDDNGFVYYRRRDLKDNFVIKNGIQLNNRYVVPYNRELLLRYNAHINIEICCQSMLIKYLFKYVSKGSDRCRVVVEKDRADEIHAYMNCCFICPYEAVWRLLQFPIHSRSPPVERLQIHLPLHQNVVYSGNESLPSVLQKPGIEKTMLTEWFTRNRIDHEACQLYYSEFPHKYIWDPGKKEWSPRSKGFSLGRLTYVHPASGELYFLRLLLNHLRGALSFDYLKNVSGVVHPTFQLACKTLGLLGDDKEWEDVFCEAMATATSPQIRNLFVSVVLFCDVADPEVLFNKFWRSMYDDIITRFKSIFAMPNLKLFDDELKNYVLYELELLFNVAGTSLEKHKLPMPDGRLLSEIKNKLLREELNYDIADLICQHSSTFPQLNQCQLNVYDYVVKSVLEKRQELIFAPMNNRCCFEALDRSLRDVLTNGNDLPNDKPFGGKSILLGGDFRQILPVIPGGTKEDIKELAIFANWILAIGDGTQHDALFPDDSDASMIKIPQDLLLEPGSNPILAIVSAVYPSIRDINIDPCYFRERAIVTPRNATVSEINDFILNMLPGMKRIYLSTDTVCKTLSDGDNAEILYPVEFINQLEFNGVPSHTISLRIGTPIMLLRNLNLSAGLCNGTRLIVTQLAERVIEAQIITGQFPIRPCYAMTINKSQGQSLKVVGVFLKDQVFTHRQLYVALSRVTSRQ >Potri.011G022400.1.v4.1 pep chromosome:Pop_tri_v4:11:1675027:1678190:1 gene:Potri.011G022400.v4.1 transcript:Potri.011G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022400.v4.1 MSSKIISGKRFEGRVVIVTASTQGIGFSTAERFGLEGASVVISSRKQKNVDEAVEKLKAKGIKVVGVICHVSNAQQRKNLIETTVQKYGKIDVVVSNAAVSPSSDSTLETHESVLDKLWEINVKAAILLLKDAAPHMKKGSSVILISSIGGYHVHDSLAMYGVTKTALFGLTKVLAAEMAPHTRVNCIAPGFVPTHFTGFIAGNQALKKSIEDKTLLKRLGTTDDMASTVAFLASDDASYITGETLVVAGGMPSRL >Potri.008G144600.1.v4.1 pep chromosome:Pop_tri_v4:8:9825891:9833786:1 gene:Potri.008G144600.v4.1 transcript:Potri.008G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144600.v4.1 MKGKTGAPGSHFVLNTGAKIPAIGLGTWQSGGDLCVEAVKTALSVGYRHIDCAHLYGNEIEVGEALSEAFNASLKREDVFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPDSSAFGDATDPPSKSGSEYRQFLNRLKQAWKAMEGLVDLGLVRAIGVSNFSVQQIKELLKFAKVVPAVNQVELHPFWRQEEVVKFCQSKGIHVSAHTPLGVPTWSPGPSDSGSGEDEPGTPRISFRRSRSVHGPMLKLCVVSEIAESHKKTPEQVILRWGMQRGTSVLPCSLKPDRIMKNIDIFSWSLSDDEWNRLNKIEPQVCLFGNGPLNNLSDTGYMFGSGPLQAVREIEDDMESNA >Potri.005G063450.2.v4.1 pep chromosome:Pop_tri_v4:5:4081064:4082580:-1 gene:Potri.005G063450.v4.1 transcript:Potri.005G063450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063450.v4.1 MMKHGLNSWFMLQQRFAGQEMTVRIGIFGGGAAQRNHQWLIDWTHAFLRFLSRMRWVKEQLAGLTLRHVEKKKQKY >Potri.005G063450.1.v4.1 pep chromosome:Pop_tri_v4:5:4081064:4082580:-1 gene:Potri.005G063450.v4.1 transcript:Potri.005G063450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063450.v4.1 MMKHGLNSWFMLQQRFAGQEMTVRIGIFGGGAAQRNHQWLIDWTHAFLRFLSRMRWVKEQLAGLTLRHVEKKKQKY >Potri.001G286150.1.v4.1 pep chromosome:Pop_tri_v4:1:29896987:29897247:-1 gene:Potri.001G286150.v4.1 transcript:Potri.001G286150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286150.v4.1 MLLIKILLPSMIYKSLEGSQSNNAQVNWFYARIYQLMIACMSPEVLYSKTKSLKTCGDHNGKITFYKKDEKRKKKTFLNFSTALSA >Potri.007G002700.4.v4.1 pep chromosome:Pop_tri_v4:7:186346:190382:-1 gene:Potri.007G002700.v4.1 transcript:Potri.007G002700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002700.v4.1 MSFFGFSGSSLHSSSNASSSSMDFLFQNERMNFGYGWATPFALLVILIFHFSKRLFSSSSSSSSPPPKRNLVSSSPASTATDIGSSNYRISEIVSEADLKFLIEVLDEKLTEKESEKWENVTNKRNNLLAYTAKCFKPKDAPIKYLSVMVFENCTTEVLRDFYMDNDYRNQWDKTIVEHEQLQVDRTNGTEIGHTIKKFPLLTPREYVLAWRLWEGKDKTFYCFIKDCEHPLAARQKKFVRVKFFRSGWKISKVPGRNACEIKMFHQEDAGLNVEMAKLAFSRGIWSYVCKMNNALRKYSVISHPQTGPAVTAVSLIQKVPPELETMNSLVDTQATLTLTAPRGLVTGEAKEKKFPRPSRKIIGNGLLLLGGIICLSRGRSSLCAKVAMAYILTKLRKRDESSSQGRER >Potri.007G002700.3.v4.1 pep chromosome:Pop_tri_v4:7:186553:190384:-1 gene:Potri.007G002700.v4.1 transcript:Potri.007G002700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002700.v4.1 MSFFGFSGSSLHSSSNASSSSMDFLFQNERMNFGYGWATPFALLVILIFHFSKRLFSSSSSSSSPPPKRNLVSSSPASTATDIGSSNYRISEIVSEADLKFLIEVLDEKLTEKESEKWENVTNKRNNLLAYTAKCFKPKDAPIKYLSVMVFENCTTEVLRDFYMDNDYRNQWDKTIVEHEQLQVDRTNGTEIGHTIKKFPLLTPREYVLAWRLWEGKDKTFYCFIKDCEHPLAARQKKFVRVKFFRSGWKISKVPGRNACEIKMFHQEDAGLNVEMAKLAFSRGIWSYVCKMNNALRKYSVISHPQTGPAVTAVSLIQKVPPELETMNSLVDTQATLTLTAPRGLVTGEAKEKKFPRPSRKIIGNGLLLLGGIICLSRGRSSLCAKVAMAYILTKLRKRDESSSQGRER >Potri.007G016200.1.v4.1 pep chromosome:Pop_tri_v4:7:1187169:1190976:1 gene:Potri.007G016200.v4.1 transcript:Potri.007G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016200.v4.1 MEGNKVEGEEKKRENEKLKQIQNQGGGGGGSNLKGKSCKGYLYYSSTLKSNVTNPRCIGIPRTLRQIPNYVGQSEVEASKDGRVLSDFYYGCAGYSLYSNKDHSTDKQVAKKELPVCVGLELLVDRRVATAESASAPAHIHHKEDGRELPQPQPARELPQLRAQKPASSPADDFFSRYMRNSGLVASGVARNMRRVGIYIKDSVDDILYPYRRRPK >Potri.001G461100.7.v4.1 pep chromosome:Pop_tri_v4:1:48660665:48663692:-1 gene:Potri.001G461100.v4.1 transcript:Potri.001G461100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461100.v4.1 MPRKPTRRRRSLIARPLTFAPFSRSLAHLHSTFMPKHQMLGSKVPGSPSHNEGGAVVKKTSKEKIEQSESSEEEEFGGEVQADFAFFDPKPDDFHGVKILLQSYLDNTEWDLSGFVDLILEQTTVGTVVKIEDDEDNGLFSVVSALNLGRYKDHKCIADLKEYLLKLCLEKSIKGDLRVLLGEQAHNVGLLVSRRVVNLPPQLLPPLYDSLFDEISWATEDEPTEELRNSFCFNSYVLVSKMYKHKNADKKNRLSSDSEEAIIYVNPEDEIFHKLSLWSFNFPFHAEQVTARELKNYRPMGLVMAVEADKISTFREQLRSLIDEP >Potri.008G118100.2.v4.1 pep chromosome:Pop_tri_v4:8:7570471:7571915:1 gene:Potri.008G118100.v4.1 transcript:Potri.008G118100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118100.v4.1 MGLLLYALFSLFFISPFVSSSRTLTPQPSKTTFLDVASSIQKTKNIFSSNTKTSMFNQQEKETTSSELTVELHSRTSLQKTTHTDYKSLTLSRLQRDSARVKSLLTRLDLAINSFSTSNLKPLETDSEFKSEALQSPVISGTSQGSTSGEYFSRVGIGKPVSQAYLILDTGSDQADPIFEPATSASFSPLTCNTKQCRSLDVSECRNDTCLYEVSYGDGSYTVSDFVTGTITLGSASVDNVGIGCGHNNEGLFIGAAGLLGLGGGSLSFPSQIITTSFSYCLVDRDSESASTLEFNSPVGGELVSIPVSAFQIDESGNGGLQTDVYNSLSGALLGERRTCRQLTGYRCLTRVIICLLEGTWRCQRYRFTFLMGSCYRYMVPLDSEGTFCFAFAPTASSLSIIGNVQQRGTRVGYDLVDPFVRFRVKWNHVINR >Potri.009G170800.1.v4.1 pep chromosome:Pop_tri_v4:9:12985502:12985882:1 gene:Potri.009G170800.v4.1 transcript:Potri.009G170800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170800.v4.1 MARVLGSGRGPFPSSPKKSLGSGVWDLRLGVWGFGVWGLGFGVWGLGFWGFGGLGFRGFGVSGFRVSGFGFRVRGSGFGFGFGVRGSGFGVRGSGFGVRGFGVRGFGVQGLGFRVSGFGLGLGFRV >Potri.015G135100.1.v4.1 pep chromosome:Pop_tri_v4:15:14402149:14402831:1 gene:Potri.015G135100.v4.1 transcript:Potri.015G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135100.v4.1 MDTKQVAQRSSLEALQRVKKVKKEATILPAPKMRSNMDTKQVAQRSSLEALQRRSPKREKSDKKLSRSIKKIRADMVEISEGQKRVREGQMEVRKRFQEISKEAAKRKEETSQISKQSAANQLRLDLMFQFGKARAENDWMLQEQMMLFLCSCFRS >Potri.009G096200.2.v4.1 pep chromosome:Pop_tri_v4:9:8686051:8689223:1 gene:Potri.009G096200.v4.1 transcript:Potri.009G096200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096200.v4.1 MGDPVTALRISVHQALGGGTVADVLLWKRWYASIGVLVSATTLWILFEKSGYNLLSFVANVLLLLVFILFSWAKSASLLNRPLPPLPNFEIPEEIVAKAAGVIHVYSNYALSIARQIVIDKNLKVFLQLVSGLWVASYIGSLCNFLTLVYLGVLLILSVPLAYDKYQHPIDEKLCLANKIIQAQYMKIDDAILKKIPLPSNKEKKTQ >Potri.019G048800.1.v4.1 pep chromosome:Pop_tri_v4:19:8026604:8029764:-1 gene:Potri.019G048800.v4.1 transcript:Potri.019G048800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048800.v4.1 MENKGNVLMERYDFGRLLGQGNFAKVYYGRNLKTGQSVAIKVIDKEKVLKVGLMDQIKREISVMRLVKHPNVLQLYEVMATKNKIYFVIEYAKGGELFNKVAKGRLKEDVARTYFQQLISAIDFCHSRGVSHRDLKPENLLLDENGGLKVSDFGLSAAAESRRQDGLLHTTCGTPAYVAPEVIYRKGYDGAKADIWSCGVVLFVLLAGYLPFHDANLILMYRKIRKAEYKFPNWFSPEMCRLLSRMLDPNPKTRISITKIMENSWFRKGLDSNAVTIKNEVTGLDPLAADVTFDSCENDSAPAEAKKELSQPTSLNAFDIISLSSGFDLSGLFAKDNQKKEKKFISMHSASTITSKLEDIARLLKLKVKKKDGGLLKLEGSEKGRKGALSIDTEIFEFTPSFHLVEVKSSSGDTLEYLQILENGIRPALKDIVWAWQGE >Potri.005G033100.1.v4.1 pep chromosome:Pop_tri_v4:5:2193278:2194763:-1 gene:Potri.005G033100.v4.1 transcript:Potri.005G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033100.v4.1 MAEEIVAEAIEANLERADTATEEMDMVEDVAANGAKRAREGEEEDNEDVEKKQKVDKSVEEERLEKLEGEGTGEGEEKKEEERPDKLEGEGTGEGEEKKEKENSGPVRLGLKSFGSAVEMFDYFYNFLHYWPPNLNVNKYEQMVLLDLLKRGHTEPDKKIGGGIQTFQVRFHPMFKSRCFFLIRDDESVDDFSFRKCVDHILPLPEDMKIKSDNFLGGGKGHGGKGGHGGRGGRGRGRGRGYGRGGRSRN >Potri.007G120401.1.v4.1 pep chromosome:Pop_tri_v4:7:13825327:13826205:1 gene:Potri.007G120401.v4.1 transcript:Potri.007G120401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120401.v4.1 MSFSNFASFLCLLAFSLLVHTGFGADPLFHFCSTPENFTANGPYESNLNKLTSFLYYQAPRTGFGMGSKGQKPVQAYGLALCRGDASTSDCKTCVVEAGSEIRKRCPYNKAAIIWYDNCLLKYSNKGFFGQIDNGNKFYMWNVNAVSEPVPFNEKTKELLTQLANKAKATPKLYATGGMELGESTKLYGLVQCTRDLSSAVCKKCLDGIIGELPSCCDGKEGGRVVSGSCNFRYEIYPFVNA >Potri.002G263800.1.v4.1 pep chromosome:Pop_tri_v4:2:25205215:25208052:1 gene:Potri.002G263800.v4.1 transcript:Potri.002G263800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G263800.v4.1 MYDLILVSVSTTILVILVAVLTKFVYSILWVPYKIQHHFYKQGIGGPGYRPIFGNTAERRRLVKEALSKPIAPPFHHNILHRVFPTYSVWSKMHGKTYLYWFGAKPRLVLSDPATIKEVLINTGGPFERMKFDPVTKQLLGDKGLMELTGEKWAVHRRISSLALNMEQVKGWVPKIVASITDMLENWEGKRAGREEFEMDVHKEIQNLSADIVSRTIFGSSFEEGKRIFELQEKQMHLASLYYSHVPIPGFRFLPTEKNKEQWRLDQEIRGSIEKLIEEAANNRREEKSRNLLSLLTSSYKNHDGEEEKLEVEEIIDECKTFYFAGKETSATVLTWTFILLAIHQEWQIKAREEVVAVCKDKEHPTADILGELKIINMILHEAIRLYTPVTMLVRETCKDVKLQGLHIPANTPLILAVIAAHHDTKVWGEDADKFNPLRFCEPRKHSSSFFPWGLGPRTCVGQKLALVEIKLVLAVIIRQFSFVVSPKYVHAPAEFLTVQPQYGAQILFRKILN >Potri.013G134102.2.v4.1 pep chromosome:Pop_tri_v4:13:13975674:13977160:1 gene:Potri.013G134102.v4.1 transcript:Potri.013G134102.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134102.v4.1 MGSEVIVELQRNSTNWANVVGEIVKIERKIFPKHESLARSFDEELRKKNSGLLYTELNGEVAGYAMYSWPSSLCASITKLAVKENYRRQGHGEALLKAAIEKCKKRKVQRISLHVDPLRSAAMTLYKKLGFQVDSLVEGYYSSDRNAYRMYLDSESD >Potri.013G134102.1.v4.1 pep chromosome:Pop_tri_v4:13:13975674:13977279:1 gene:Potri.013G134102.v4.1 transcript:Potri.013G134102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134102.v4.1 MGSEVIVELQRNSTNWANVVGEIVKIERKIFPKHESLARSFDEELRKKNSGLLYTELNGEVAGYAMYSWPSSLCASITKLAVKENYRRQGHGEALLKAAIEKCKKRKVQRISLHVDPLRSAAMTLYKKLGFQVDSLVEGYYSSDRNAYRMYLDSESD >Potri.005G146600.6.v4.1 pep chromosome:Pop_tri_v4:5:12200413:12203771:1 gene:Potri.005G146600.v4.1 transcript:Potri.005G146600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146600.v4.1 MGGEKINQSKDNPWPSSTNMPPPPPYQGKSEDMKLWGVVIFGLIGATATTYAVRQLRRTVDELYAELSRSQSMWKGGSGTSKSFRTYFQEEAWKNYNRRMQEAHEEEMERVERIRRMQSVFNRERNKFKRDYERWRENGPGADHQHFQRDDWYWKTDSAFRDQRTNFRRTPRDSGSYPLSHHYSVLGLDRSRTAPYTEAEIKTAFRTKAKEFHPDQNQDNKGWLLFLITNYDLVL >Potri.005G146600.5.v4.1 pep chromosome:Pop_tri_v4:5:12200378:12203776:1 gene:Potri.005G146600.v4.1 transcript:Potri.005G146600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146600.v4.1 MGGEKINQSKDNPWPSSTNMPPPPPYQGKSEDMKLWGVVIFGLIGATATTYAVRQLRRTVDELYAELSRSQSMWKGGSGTSKSFRTYFQEEAWKNYNRRMQEAHEEEMERVERIRRMQSVFNRERNKFKRDYERWRENGPGADHQHFQRDDWYWKTDSAFRDQRTNFRRTPRDSGSYPLSHHYSVLGLDRSRTAPYTEAEIKTAFRTKAKEFHPDQNQDNKEASEAKFKEVMISYEAIKQERKNTKF >Potri.002G254800.1.v4.1 pep chromosome:Pop_tri_v4:2:24388328:24388797:-1 gene:Potri.002G254800.v4.1 transcript:Potri.002G254800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254800.v4.1 MAENLRIIVETNPSQSRLSELNFKCWPKWGCSPGRYQLKFDAEETCYLVKGKVKVYPKGSLEFVEFGAGDLVTIPRGLSCTWDVSVAVDKYYKFESSSSPPPSSSSQSS >Potri.001G307800.1.v4.1 pep chromosome:Pop_tri_v4:1:31790170:31794232:1 gene:Potri.001G307800.v4.1 transcript:Potri.001G307800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307800.v4.1 MGEKKPYLAVLLVQSIYGGMFMISKAAFNGGMNNYVFVFYRQAAATLFLAPFAFYFEWKNRPPLSFVTFCKIFFLALFGISFCLDIFGIGIIYATATLAAAISNCLPVITFFLALLLRMEVLKFKTVSGIAKIAGIVACIAGAATLALYKGPHFNLMCLQHLSGSHNSQGIISHIPSSQTRIKGCFLLFLSNILWGLWLVLQVRVLKNYPSKLLFITLQCFLSTIQLFAIAVAAERDPREWELGWNVRLLAVAYCGIVVTGVTFYLQAWVIEKKGPVFLAMSTPFTLVFTMIFSSILLCETITLGSVLGGLMLVGGLYSVLWGKRKEEKMNDENSLKAEADRECLELKQAVQAEPKGPLLV >Potri.003G046300.18.v4.1 pep chromosome:Pop_tri_v4:3:5421661:5424275:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYW >Potri.003G046300.14.v4.1 pep chromosome:Pop_tri_v4:3:5421560:5424395:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWAELWHFYEKRFGKLSEIPTSDYKLITASNMRSKGGQKNKRTKRC >Potri.003G046300.6.v4.1 pep chromosome:Pop_tri_v4:3:5416825:5424395:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQLSIQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWAELWHFYEKRFGKLSEIPTSDYKLITASNMRSKGGQKNKRTKRC >Potri.003G046300.17.v4.1 pep chromosome:Pop_tri_v4:3:5416868:5424416:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWILSNEKLRTCDVPKETSFLIRWPTIYT >Potri.003G046300.12.v4.1 pep chromosome:Pop_tri_v4:3:5416825:5424395:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWILSNEKLRTCDVPKETSFLIRWPTIYT >Potri.003G046300.11.v4.1 pep chromosome:Pop_tri_v4:3:5416825:5424433:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWILSNEKLRTCDVPKETSFLIRWPTIYT >Potri.003G046300.4.v4.1 pep chromosome:Pop_tri_v4:3:5421427:5424415:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYCCRRMRPSHDKVVSSVKTS >Potri.003G046300.9.v4.1 pep chromosome:Pop_tri_v4:3:5416825:5424395:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWAELWHFYEKRFGKLSEIPTSDYKLITASNMRSKGGQKNKRTKRC >Potri.003G046300.3.v4.1 pep chromosome:Pop_tri_v4:3:5421661:5424434:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQLSIQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWAELWHFYEKRFGKLSEIPTSDYKLITASNMRSKGGQKNKRTKRC >Potri.003G046300.20.v4.1 pep chromosome:Pop_tri_v4:3:5421483:5424374:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQL >Potri.003G046300.16.v4.1 pep chromosome:Pop_tri_v4:3:5421560:5424275:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWAELWHFYEKRFGKLSEIPTSDYKLITASNMRSKGGQKNKRTKRC >Potri.003G046300.19.v4.1 pep chromosome:Pop_tri_v4:3:5421661:5424445:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVSFSF >Potri.003G046300.13.v4.1 pep chromosome:Pop_tri_v4:3:5421452:5424433:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWAELWHFYEKRFGKLSEIPTSDYKLITASNMRSKGGQKNKRTKRC >Potri.003G046300.15.v4.1 pep chromosome:Pop_tri_v4:3:5421661:5424367:-1 gene:Potri.003G046300.v4.1 transcript:Potri.003G046300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046300.v4.1 MENTKTDGKEEEEEESVVFEIPLGDAAETFNLEKAVCSHGLFMMSPNHWDPLSLTFSRPLRLSLSDSDPQVSTPTTSLFVSISHPPHLPRSLSVRVYGTRCLSPKHQESLVAQVVRMLRLSETDERNAREFRKIAEAAAAEENNSWLTGFGGRVFRSPTLFEDMVKCILLCNCQWPRTLSMARALCELQCELQCKSSGVFVAQAVNATVKNKCNDTAHNFIPNTSAGKESKRNIRASKVTKNLASKIVETETLLEADANLKTDSAHIGRETLESVENDSCARCSSRHGSDSCAPDSLQSQHGIQPGVNKMICNFPSPRELANLDESFLAKRCNLGYRAIRIIKLAQSIVEGRIPLREVEEDCANGASSSCYNKLADQFRQIDGFGPFTCANVLMCMGFYHIIPTDSETVRHLKQVHAKKSTIQTVQRDVEEIYGKYAPFQFLAYWAELWHFYEKRFGKLSEIPTSDYKLITASNMRSKGGQKNKRTKRC >Potri.016G112800.1.v4.1 pep chromosome:Pop_tri_v4:16:11633330:11637823:1 gene:Potri.016G112800.v4.1 transcript:Potri.016G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112800.v4.1 MVLAELGGSISRAIQQMSNATIIDEKALNDCLNEITRALLQSDVQFKLVRDMQTNIKKIVNLDDLAAGHNKRKIIQQAIFNELCKMLDPGKPSFTPKKGKTSVVMFVGLQGSGKTTTCTKYAYYHQKKGWKPALVCADTFRAGAFDQLKQNATKAKIPFYGSYMESDPVKIAVEGVERFKKENCDLIIVDTSGRHKQEVALFEEMRQVAEATKPDLVIFVMDSSIGQAAFDQAQAFKQSVAVGAVIVTKMDGHAKGGGALSAVAATKSPVIFIGTGEHMDEFEVFDVKPFVSRLLGMGDWSGFMDKIHEVVPMDQQPELLQKLSEGNFTLRIMYEQFQNLLKMGPIGQVFSMLPGFSSELMPKGREKESQAKIKRYMTMMDSMTNEELDSSNPKLMNESRIMRIARGSGRSVRDVMEMLEEYKRLAKIWSKMKGLKIPKKGEMSALSRNMNAQHMSKVLPPQMLKQIGGMGGLQNLMKQMGSAKDMMGMFGGGDK >Potri.012G092800.1.v4.1 pep chromosome:Pop_tri_v4:12:11758678:11763050:1 gene:Potri.012G092800.v4.1 transcript:Potri.012G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092800.v4.1 MATAVITETKKLPRPGRGGYQPHVLTEEEARVRAIAEIVNSMVELSRKNQTVDLNALKSAACRKYGLARAPKLVEMIAALPESDRESLLPKLRAKPVRTASGIAVVAVMSKPHRCPHIATTGNICVYCPGGPDSDFEYSTQSYTGYEPTSMRAIRARYNPYVQARSRIDQLKRLGHSVDKVEFILMGGTFMSLPADYRDYFIRNLHDALSGHTSANVEEAVAYSEHGATKCIGMTIETRPDYCLGPHLRQMLSYGCTRLEIGVQSTYEDVARDTNRGHTVAAVADCFCLAKDAGFKVVAHMMPDLPNVGVERDLESFREFFESPSFRADGLKIYPTLVIRGTGLYELWKTGRYRNYPPEQLVDIVARILAMVPPWTRVYRVQRDIPMPLVTSGVEKGNLRELALARMDDLGLKCRDVRTREAGIQDIHHKIKPEEVQLVRRDYTANEGWETFLSYEDTRQDILVGLLRLRKCGCNVTCPELMGKCSIVRELHVYGTAVPVHGRDAEKLQHQGYGTLLMEEAEHIARKEHRSTKIAVISGVGTRHYYRKLGYELEGPYMVKFLS >Potri.017G005500.2.v4.1 pep chromosome:Pop_tri_v4:17:360443:361299:1 gene:Potri.017G005500.v4.1 transcript:Potri.017G005500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005500.v4.1 MGTTYRAAHPYDCFTAVKRLHDSQPLGKQFRSELIILAKFRHMNIIPLLGFCIESGERLLVYKYIPNETFMIDYVRE >Potri.007G103500.2.v4.1 pep chromosome:Pop_tri_v4:7:12675577:12676777:-1 gene:Potri.007G103500.v4.1 transcript:Potri.007G103500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G103500.v4.1 MDFITQCYRGIREQTRRKAETIARARARQGRPSRNSMTKQLHNTRHPTFLKNKTHKAHGFLLHSATEGNFHQLKENDVSHSFSYFSKAFTFSSLMTLIRIGVPRVTSCSWSAPVAAGV >Potri.001G059800.1.v4.1 pep chromosome:Pop_tri_v4:1:4554470:4555729:1 gene:Potri.001G059800.v4.1 transcript:Potri.001G059800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G059800.v4.1 MDSIRKAKKIQAMHSFKNQFYYNLMFHSFLALTCSLLCSSPLWFPSLCSSMKHFLFLSLPNKLSSYFGPKCLFILVNVIVVFLVGESRLVGSQSSPVGGIYDDYVERSRSLRGVSTHQDKAEDSKPEIDQDQQTNIQDKEVVVKAEDDKEVTELTNEGDKDVHEEAGVEDDQHEEKDIIEEEDSGLPPEELNRRVEEFIARVNKQRWLEGQFLVCCKS >Potri.009G043300.1.v4.1 pep chromosome:Pop_tri_v4:9:5020822:5021930:1 gene:Potri.009G043300.v4.1 transcript:Potri.009G043300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043300.v4.1 MASSKSHDLEITIISAKHLKNVNWRNGDLKPYATFYLDNSDRRLATHADDSLSTRPVWNERFTLPITRQIYDSVLTLEIFHSKPSETPQPLVGTVKFPLSNLMVSDESLSCEVLTLELLRPSGRPQGKVRVKLEVKERPLPPPVQDYHTAPNYSHYYNPAPAPPPPPPPPPARDYREYSPSPYGYADPYGYYPAYYPPQPPRPLYNRASNYSLPGGPSAPVDLSAQSSPSPYDHKPPPPPPGLFQKTSNYGVPSGPSAPVDYSHGKGSGSLISGAMGGLSLEEGSNYEKEKVATDKESHSYHDYRREY >Potri.001G061000.1.v4.1 pep chromosome:Pop_tri_v4:1:4661041:4662876:1 gene:Potri.001G061000.v4.1 transcript:Potri.001G061000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061000.v4.1 MEHYKTLHVFQKSPNSGVFQLNLNRPSQRNALSRDFFTEFPIALQSLDQNPNASVIVLSGTGDHFCSGIDIKTLNSIANDSGDRGRSGERLRRDIKFLQDAITAIERCRKPVIAAIKGACIGGGIDIVTACDIRYCSEDAFFSVKEVDLGLTADLGTLQRLPGIVGFGNAMELALTGRRFSGQEAKEFGLVSQVFGSNEELDEGVKIIAEGIAAKSPLAVTGTKAVLLRSRESSLEQGLDYVATWNSSMLVSDDLMEAVSAHLQKRKPTFSKL >Potri.010G014000.1.v4.1 pep chromosome:Pop_tri_v4:10:2356927:2362863:-1 gene:Potri.010G014000.v4.1 transcript:Potri.010G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014000.v4.1 MAAAAAASQYPKEGEGSYRMEMTIQTSDNDGTSTELRALDCNLTSLCDHIQIEGFNSGSFSDIIVHAMGSTYHLHRLILSRSSYFRNMLHGPWKEASSPVVTLKVDDKNVNAEAIAMALAYLYGHHPKLNDINAFRVLAAASFLDLQDLCAICTDFIISELWTSNFLAYQVFAESQDYGIHGERVRNACWGYLCQSGAIELKEVLPKLSSQTLHALLTSDELWVSSEEKRFELALYTLLAKGAFCKTEHSEQGSPSAEANMDVHSDSSKAKGKNLSDNCTSNALESELGCLALKDGLEGHDAARNLLVELADGVGDFQPGVSVSKQQAQQAAYTQSNLGTLHPCDMGQSSSLSNSFSVMNANGTSCSYVEMPISAGTSGLESSGVAMEGPSEDGSYHLNNNNWLASNQSRNCNSLDPSGNGLILNDWERCDMPQLSWGGRVVGRRQVKGYAKGNCGVHREDYDTFINIFEGGSLLYCNMSFEALLNVRKQLEELGFPCKAVNDGLWLQMLLSQRVQEIGADTCKMCCLMSMACTCRQPFGISHVVATTGYYMQEHEHHNSSGGVTNVYVADSGQGEGNGLFRPVRVHVRGPIDGLAGIGRGTTFVPAAAWPPTRFVFSRVPFGMGNRNCQQSVASDDSENRTDHNGDLSGDGLTALVGLSQGGSNSTIHGEHMERGYETDLHGRLSKTSVSAPSTSGIAVQMLESPEHAIGFEWENANNSISLDMKTPLSHFPPFRFGVEFEDVHRLSDGQVKHSPEIFYAGSLWKVSVQAFNDEDPQGRRTLGLFLHRRKAEITDSLRKVHIYVDSREKVTARFQLICPSKREVMVFGRLKQRGTLLPKAPKGWGWRAALFFDELAEQLQNGTLRVAAVVQLV >Potri.012G101301.1.v4.1 pep chromosome:Pop_tri_v4:12:12420248:12420352:-1 gene:Potri.012G101301.v4.1 transcript:Potri.012G101301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101301.v4.1 MEVNILAFIATALFILVPTAFLLIIYVKIVSQSD >Potri.001G085500.5.v4.1 pep chromosome:Pop_tri_v4:1:6805577:6808776:-1 gene:Potri.001G085500.v4.1 transcript:Potri.001G085500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085500.v4.1 MMGRYKAFDEVDGIEVAWNQVDIEDVLQSSQQLERLYSEVHLLKSLKHENIIKFYNSWVDDKNKTINMITELLTSGNLRQYRKKHKTVDMKAIKNWARQILRGLQYLHTRSPRIIHRDLKCDNILVNGNNGEVKIGDLGLAIVMQQPIARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTCEYPYSECKNPAQIYKKVTSGIKPASLGKVNDLQVKEFIEKCLVPASVRLSAIDLLKDPFLATENSKEVVSSLSQLPNVICKQVHLPQSESHHMDIDCKMLSLGSCPKSINESPQFLTLELRRFTENNEFRLRAEKNDDNTVSLTLRIADPCGRARNIHFTFYLNSDTAVSIAEEMVEQLDLSSEDVAVIAELIDSLIVNLVPCWNTSSSVRNGSSELENHATSETGKTPDFSPLTNITDHEALQSVNSDISAEYNMAIASDASTNKSLGSSSCSLQSNVYDLDLECWMHEDGIPEHNKSARNSEVFHIDSCSVMSRNASLSIICSLSLADKDGSELKLELDSIDSHYNQCFQELMKTREEAIENAKRRWISKIYVM >Potri.001G085500.1.v4.1 pep chromosome:Pop_tri_v4:1:6805627:6809364:-1 gene:Potri.001G085500.v4.1 transcript:Potri.001G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085500.v4.1 MDFGSFSEDGCGEFAEKDPTGRYVRYDEILGKGAFKTVYKAFDEVDGIEVAWNQVDIEDVLQSSQQLERLYSEVHLLKSLKHENIIKFYNSWVDDKNKTINMITELLTSGNLRQYRKKHKTVDMKAIKNWARQILRGLQYLHTRSPRIIHRDLKCDNILVNGNNGEVKIGDLGLAIVMQQPIARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTCEYPYSECKNPAQIYKKVTSGIKPASLGKVNDLQVKEFIEKCLVPASVRLSAIDLLKDPFLATENSKEVVSSLSQLPNVICKQVHLPQSESHHMDIDCKMLSLGSCPKSINESPQFLTLELRRFTENNEFRLRAEKNDDNTVSLTLRIADPCGRARNIHFTFYLNSDTAVSIAEEMVEQLDLSSEDVAVIAELIDSLIVNLVPCWNTSSSVRNGSSELENHATSETGKTPDFSPLTNITDHEALQSVNSDISAEYNMAIASDASTNKSLGSSSCSLQSNVYDLDLECWMHEDGIPEHNKSARNSEVFHIDSCSVMSRNASLSIICSLSLADKDGSELKLELDSIDSHYNQCFQELMKTREEAIENAKRRWISKIYVM >Potri.001G085500.2.v4.1 pep chromosome:Pop_tri_v4:1:6805595:6808776:-1 gene:Potri.001G085500.v4.1 transcript:Potri.001G085500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085500.v4.1 MAVESSQRKIRPVGTFGYKAFDEVDGIEVAWNQVDIEDVLQSSQQLERLYSEVHLLKSLKHENIIKFYNSWVDDKNKTINMITELLTSGNLRQYRKKHKTVDMKAIKNWARQILRGLQYLHTRSPRIIHRDLKCDNILVNGNNGEVKIGDLGLAIVMQQPIARSVIGTPEFMAPELYEEEYNELVDIYSFGMCMLEMVTCEYPYSECKNPAQIYKKVTSGIKPASLGKVNDLQVKEFIEKCLVPASVRLSAIDLLKDPFLATENSKEVVSSLSQLPNVICKQVHLPQSESHHMDIDCKMLSLGSCPKSINESPQFLTLELRRFTENNEFRLRAEKNDDNTVSLTLRIADPCGRARNIHFTFYLNSDTAVSIAEEMVEQLDLSSEDVAVIAELIDSLIVNLVPCWNTSSSVRNGSSELENHATSETGKTPDFSPLTNITDHEALQSVNSDISAEYNMAIASDASTNKSLGSSSCSLQSNVYDLDLECWMHEDGIPEHNKSARNSEVFHIDSCSVMSRNASLSIICSLSLADKDGSELKLELDSIDSHYNQCFQELMKTREEAIENAKRRWISKIYVM >Potri.008G164700.2.v4.1 pep chromosome:Pop_tri_v4:8:11343700:11345659:-1 gene:Potri.008G164700.v4.1 transcript:Potri.008G164700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164700.v4.1 MEGMVKKMERYVNATSNLYSELEVLNELEQATKKFQQNQHEESRRAFEQKLIWQKQDVRHLKEISLWNQTCDKVVELQARTVCTIYARISTVFEESKLQKKGPGAAEGACSSPPMKEECGEVSDHIGDLLSSQRISGPLRRAVTKRSSNGCQSGPIERAMIEKRETHIKPQIASRKGEVDLLFRTEDIVFPCGTSPGRLFLDCLSLSSSASKFDDDYSCVVVDEDKRSQISRCYSVGNGSLKRENPSPSSCSNQGLSFSGDQRNARCGAMNNARFGAKSRLMVYAPPSTIGGSALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPSSLRMSLRTNLKSYVKNLAIYDAPLAHDWKDTLDGILRWLSPLAHNMIRWQSERNFEQHQIVKRTNVLLLQTLYFADRGKTETAICELLVGLNYICRYEHQQNALLDCASSFDFEDCMQWQLQCRASFVD >Potri.008G164700.1.v4.1 pep chromosome:Pop_tri_v4:8:11342392:11346165:-1 gene:Potri.008G164700.v4.1 transcript:Potri.008G164700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164700.v4.1 MVAEAWILKMGNQVSSNLKHALLLESYKKRNSHSHSHNHNHPRNKQNSKEKQIIGILSFEVANALSKTVHLYKSLTDSEISKLKNEILKCEGVKNLVSNDESYLIQLALAEKLDDLNRVANVVSRLGKKCVEPALQGFEHVYADIISGVIDVKELGFLVKDMEGMVKKMERYVNATSNLYSELEVLNELEQATKKFQQNQHEESRRAFEQKLIWQKQDVRHLKEISLWNQTCDKVVELQARTVCTIYARISTVFEESKLQKKGPGAAEGACSSPPMKEECGEVSDHIGDLLSSQRISGPLRRAVTKRSSNGCQSGPIERAMIEKRETHIKPQIASRKGEVDLLFRTEDIVFPCGTSPGRLFLDCLSLSSSASKFDDDYSCVVVDEDKRSQISRCYSVGNGSLKRENPSPSSCSNQGLSFSGDQRNARCGAMNNARFGAKSRLMVYAPPSTIGGSALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPSSLRMSLRTNLKSYVKNLAIYDAPLAHDWKDTLDGILRWLSPLAHNMIRWQSERNFEQHQIVKRTNVLLLQTLYFADRGKTETAICELLVGLNYICRYEHQQNALLDCASSFDFEDCMQWQLQCRASFVD >Potri.004G110400.1.v4.1 pep chromosome:Pop_tri_v4:4:9890423:9891853:1 gene:Potri.004G110400.v4.1 transcript:Potri.004G110400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110400.v4.1 MAPQDSVKILELCQVAPAYISPESITDFSLPLTFLDIAWFKFPPAQQIIFYELTESSPTFFNLVILPRLKKSLSQTLFHFLPLAGHLVWPENSPKPILLYTPNDAISLTIAESNADLSHLSGNETRQAIESFPYIPELPTSDAKASVIALQITVFPNKGFSISIVCHHGILDGKSATTFLKAWAYICKHLEYDQQPSLSSELTPFLDRGVIKDAYGLEMIFLNQWLALTRPDTKSDSRSLKLVSNMAVSPDVVRATFQLTREDIEILRETISSQLEKVLQEELNPTKQMDYMSTFVLTCAYTVVCMVKARGGDSNRKIYFIFSADCRGRLDPPIPQNYIGNCISSQHIVIKAGVSMEECGVAMIAQRISGMIKGLEKGLFEGAKERLLELASIEPGTEIIGVTGSTRFEDYSWDFGWGRPNKVEFTGNARGGVISLARSREGTGGVEIGLALKMHEMENFVSFFVNNLKNFRQISK >Potri.006G098300.5.v4.1 pep chromosome:Pop_tri_v4:6:7523346:7527797:-1 gene:Potri.006G098300.v4.1 transcript:Potri.006G098300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098300.v4.1 MLSQKQAEEAIVSNYSETDQHEGKEEEKEENHSIFSVKSVLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQIFYGLLGSWTAYLISVLYIEYRSRKAKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAAFVHGQGEGVKHSAPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKCIYLLATLYVFTLTIPSASAVYWAFGDELLNHANAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPPFMPSWTAMYVINAFVVVWVLVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPPAPPAAAPPHHR >Potri.006G098300.3.v4.1 pep chromosome:Pop_tri_v4:6:7523429:7527723:-1 gene:Potri.006G098300.v4.1 transcript:Potri.006G098300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098300.v4.1 MLSQKQAEEAIVSNYSETDQHEGKEEEKEENHSIFSVKSVLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQIFYGLLGSWTAYLISVLYIEYRSRKAKENVSFKNHVIQWFEVLDGLLGPYWKAVGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYMAIAAFVHGQGEGVKHSAPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKCIYLLATLYVFTLTIPSASAVYWAFGDELLNHANAFSLLPKNGFRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTRSICLRALARLPVVVPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRKASARQNAAEKPPPFMPSWTAMYVINAFVVVWVLVVGFGFGGWASMTNFVRQVDTFGLFAKCYQCKPPAPPAAAPPHHR >Potri.002G118300.4.v4.1 pep chromosome:Pop_tri_v4:2:8959586:8963680:-1 gene:Potri.002G118300.v4.1 transcript:Potri.002G118300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118300.v4.1 MSSDFLPLETLSPIETLEIENGLSLVPRVKLNFTVHPSLPSSATKPIDEWKLKRSLIEFAKTSLSITIPEDDLEIRRFKDVKKRKRDDPVAHGSLSIRDLGFLNSKKRNEEESEDLKILGKKFRDWRDTVVEKMDQMEVNLQGEKYRLSVAVPESDDFEGMKKLWEEFYAFANKGYVRGGKQEPDTIVMKGVPSRWVAEPRVSSKPSMLVTHTVFSTFGKIRNLNVTEDDDQDKDADENGGDIISGLHCKIVVQFEKHRDFYNALKVLCGRSLQKQGSRLKADYEVTWAKDGFFRNSRSQARENSRVPAAGRGQHYRNEAPRHEPHLSQFTADDTRRKRFKE >Potri.001G403800.1.v4.1 pep chromosome:Pop_tri_v4:1:42966590:42967491:1 gene:Potri.001G403800.v4.1 transcript:Potri.001G403800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403800.v4.1 MNRSSIVNFPRQFLRQYPSIQPAVTSRIAKPCDVFINHRGIDTKRTVVTLLYDHLFRLNLHPFLDNKNMKPGDKLFDNINSAIRKCKVGVTVFSPRYCESYFCLHELALIMESKKKVIPIFCDIKPSQLRVVNDGKCPMEDIRRFRWALEEAKYTVGLTFDSLKGNWSEVVTSASDIVIETLVELESEKQMQRHKSTPIFRA >Potri.001G174700.4.v4.1 pep chromosome:Pop_tri_v4:1:15007567:15013804:-1 gene:Potri.001G174700.v4.1 transcript:Potri.001G174700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174700.v4.1 MSHLKKENGLENQIFKWGTKRGVGRLNKEIQFYESFTYDGVKYCLHDCVCFYREGDSGTNIGKLVQIFETAAHERMVRAVWFFCPKDIRNFLGDYKPNRNELFLASGKGKGLSNVNLVESIVGKCNVVCASNDQRNPQASEQQLEMADYIFYRSFDVGTCRISESFADQICGFKVELYFNKRRNQMLGNHGTLEPKVKELTGKSIVLEKMNRHAVKDGKSGRSSPVVKESKTRTNMDDKQHFSNKPYKSKFSEDPWPPNASCTHPYKKRKLLGEKACQISDEVGFGFRQDSGVKTVNKSVQGTRNLDSRQGKELEEIRNQLKKKCNK >Potri.001G174700.5.v4.1 pep chromosome:Pop_tri_v4:1:15007567:15013804:-1 gene:Potri.001G174700.v4.1 transcript:Potri.001G174700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174700.v4.1 MSHLKKENGLENQIFKWGTKRGVGRLNKEIQFYESFTYDGVKYCLHDCVCFYREGDSGTNIGKLVQIFETAAHERMVRAVWFFCPKDIRNFLGDYKPNRNELFLASGKGKGLSNVNLVESIVGKCNVVCASNDQRNPQASEQQLEMADYIFYRSFDVGTCRISESFADQICGFKVELYFNKRRNQMLGNHGTLEPKVKELTGKSIVLEKMNRHAVKDGKSGRSSPVVKESKTRTNMDDKQHFSNKPYKSKFSEDPWPPNASCTHPYKKRKLLGEKACQISDEVGFGFRQDSGVKTVNKSVQGTRNLDSRQGKELEEIRNQLKKKCNK >Potri.001G174700.3.v4.1 pep chromosome:Pop_tri_v4:1:15007567:15013804:-1 gene:Potri.001G174700.v4.1 transcript:Potri.001G174700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174700.v4.1 MSHLKKENGLENQIFKWGTKRGVGRLNKEIQFYESFTYDGVKYCLHDCVCFYREGDSGTNIGKLVQIFETAAHERMVRAVWFFCPKDIRNFLGDYKPNRNELFLASGKGKGLSNVNLVESIVGKCNVVCASNDQRNPQASEQQLEMADYIFYRSFDVGTCRISESFADQICGFKVELYFNKRRNQMLGNHGTLEPKVKELTGKSIVLEKMNRHAVKDGKSGRSSPVVKESKTRTNMDDKQHFSNKPYKSKFSEDPWPPNASCTHPYKKRKLLGEKACQISDEVGFGFRQDSGVKTVNKSVQGTRNLDSRQGKELEEIRNQLKKKCNK >Potri.001G174700.7.v4.1 pep chromosome:Pop_tri_v4:1:15007567:15013804:-1 gene:Potri.001G174700.v4.1 transcript:Potri.001G174700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174700.v4.1 MSHLKKENGLENQIFKWGTKRGVGRLNKEIQFYESFTYDGVKYCLHDCVCFYREGDSGTNIGKLVQIFETAAHERMVRAVWFFCPKDIRNFLGDYKPNRNELFLASGKGKGLSNVNLVESIVGKCNVVCASNDQRNPQASEQQLEMADYIFYRSFDVGTCRISESFADQICGFKVELYFNKRRNQMLGNHGTLEPKVKELTGKSIVLEKMNRHAVKDGKSGRSSPVVKESKTRTNMDDKQHFSNKPYKSKFSEDPWPPNASCTHPYKKRKLLGEKACQISDEVGFGFRQDSGVKTVNKSVQGTRNLDSRQGKELEEIRNQLKKKCNK >Potri.001G174700.6.v4.1 pep chromosome:Pop_tri_v4:1:15007567:15013804:-1 gene:Potri.001G174700.v4.1 transcript:Potri.001G174700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174700.v4.1 MSHLKKENGLENQIFKWGTKRGVGRLNKEIQFYESFTYDGVKYCLHDCVCFYREGDSGTNIGKLVQIFETAAHERMVRAVWFFCPKDIRNFLGDYKPNRNELFLASGKGKGLSNVNLVESIVGKCNVVCASNDQRNPQASEQQLEMADYIFYRSFDVGTCRISESFADQICGFKVELYFNKRRNQMLGNHGTLEPKVKELTGKSIVLEKMNRHAVKDGKSGRSSPVVKESKTRTNMDDKQHFSNKPYKSKFSEDPWPPNASCTHPYKKRKLLGEKACQISDEVGFGFRQDSGVKTVNKSVQGTRNLDSRQGKELEEIRNQLKKKCNK >Potri.001G174700.2.v4.1 pep chromosome:Pop_tri_v4:1:15007567:15013083:-1 gene:Potri.001G174700.v4.1 transcript:Potri.001G174700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174700.v4.1 MSHLKKENGLENQIFKWGTKRGVGRLNKEIQFYESFTYDGVKYCLHDCVCFYREGDSGTNIGKLVQIFETAAHERMVRAVWFFCPKDIRNFLGDYKPNRNELFLASGKGKGLSNVNLVESIVGKCNVVCASNDQRNPQASEQQLEMADYIFYRSFDVGTCRISESFADQICGFKVELYFNKRRNQMLGNHGTLEPKVKELTGKSIVLEKMNRHAVKDGKSGRSSPVVKESKTRTNMDDKQHFSNKPYKSKFSEDPWPPNASCTHPYKKRKLLGEKACQISDEVGFGFRQDSGVKTVNKSVQGTRNLDSRQGKELEEIRNQLKKKCNK >Potri.001G174700.8.v4.1 pep chromosome:Pop_tri_v4:1:15007567:15012279:-1 gene:Potri.001G174700.v4.1 transcript:Potri.001G174700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174700.v4.1 MSHLKKENGLENQIFKWGTKRGVGRLNKEIQFYESFTYDGVKYCLHDCVCFYREGDSGTNIGKLVQIFETAAHERMVRAVWFFCPKDIRNFLGDYKPNRNELFLASGKGKGLSNVNLVESIVGKCNVVCASNDQRNPQASEQQLEMADYIFYRSFDVGTCRISESFADQICGFKVELYFNKRRNQMLGNHGTLEPKVKELTGKSIVLEKMNRHAVKDGKSGRSSPVVKESKTRTNMDDKQHFSNKPYKSKFSEDPWPPNASCTHPYKKRKLLGEKACQISDEVGFGFRQDSGVKTVNKSVQGTRNLDSRQGKELEEIRNQLKKKCNK >Potri.003G106700.3.v4.1 pep chromosome:Pop_tri_v4:3:12965997:12968392:-1 gene:Potri.003G106700.v4.1 transcript:Potri.003G106700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106700.v4.1 MDWGFVHKAWEKWTSINVGSSTGEPLKAALLINYDPNAPSRLLSIIAEQEGINAVPTEVSQFVDFVKRNKLHSENFTIGQNQYVVTSIHENCFCARSLTTSKPAGEGAIIMQTSAFLLVALYDGSIGAASRAMVAVDQFAWQLGRRNL >Potri.003G112200.2.v4.1 pep chromosome:Pop_tri_v4:3:13452791:13454966:-1 gene:Potri.003G112200.v4.1 transcript:Potri.003G112200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112200.v4.1 MACKTISFFFFCFLFISIDSISSQQLSDTETDCSKRWIHIRRLPSRFNLDLLTNCSEYPLFDNFCPYLANHGLGPKTHNKSQSWYRSNPLLLELIFHRRMLEYPCLTSDPNQANAIYLPYYAAIDSLRYLYGPAVNNSMEHGLELYDYLQDNEGWIWSRNHGADHFLVMSRPALDFSQSVDVNPPIWGTSFLELPEFYNLTVLIVEGRAWPWQEQAVPYLTSFHPPNLGLLESWIKRVKASKRTTLLLFAGGGGVGSSPNIRRSIRNECENSSLSDSSDVYVNNGGGHDYSKMRKVCDIVDCSNGVCEHDPIRYMRPMLRATFCLQPPGDTPTTRSTFDGIIAGCIPVFFEELSAKSQYGWHLPEEMYRDFAVFMPKEDIVFKGLRILDVLMGIPRDEVRRMRERVIELIPRVVYRKHGSSLDLRARKDAFDMAVEGALQRIHSRLKARDFDQ >Potri.008G071000.1.v4.1 pep chromosome:Pop_tri_v4:8:4354885:4355825:-1 gene:Potri.008G071000.v4.1 transcript:Potri.008G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071000.v4.1 MASQDSQPFHWHYEELDENDFQVRGRALFYVLIVGSMIILIALLSIYARWVCLENTRHNLPSRLPVHHAPRLPPRGLESTIIKALPITLHKSNLGTSNNGTAVESECCICLGVFEDGDRLKVLPQCQHCFHCDCVDKWLVTQSSCPLCRASIRAESAVLSIITE >Potri.T031036.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:18849:25499:1 gene:Potri.T031036.v4.1 transcript:Potri.T031036.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T031036.v4.1 MGYIGSHGIAALHKYKYSGVDHSCVAKYVLQPFWARFVNLFPLWMPPNMITLMGFMFLVTSAFLGYIYSPRLDTPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWLISAVPFYCATWENFFTNTLILPAINGPTEGLMLICMAHFLTAVVGAGWWAQQFAMSFPFLSWLPFVSEIPTYRVVQFLMTAFAVIPTVGFNVSNVYKVVQARKGSMLLALAMLYPFVVLVGGVLLWDYLSPSDLMSNYPHLVILGTGLAFGFLVGRMILSHLCDEPKGLKTNMCMSLLYLPFAIANALAARLNDGVALVDEFWVLLGYCVFTMGLYLHLATSVIHEITTALGICCFRITRKKA >Potri.T031036.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:18651:25500:1 gene:Potri.T031036.v4.1 transcript:Potri.T031036.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T031036.v4.1 MGYIGSHGIAALHKYKYSGVDHSCVAKYVLQPFWARFVNLFPLWMPPNMITLMGFMFLVTSAFLGYIYSPRLDTPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWLISAVPFYCATWENFFTNTLILPAINGPTEGLMLICMAHFLTAVVGAGWWAQQFAMSFPFLSWLPFVSEIPTYRVVQFLMTAFAVIPTVGFNVSNVYKVVQARKGSMLLALAMLYPFVVLVGGVLLWDYLSPSDLMSNYPHLVILGTGLAFGFLVGRMILSHLCDEPKGLKTNMCMSLLYLPFAIANALAARLNDGVALVDEFWVLLGYCVFTMGLYLHLATSVIHEITTALGICCFRITRKKA >Potri.006G262800.1.v4.1 pep chromosome:Pop_tri_v4:6:25875101:25876682:-1 gene:Potri.006G262800.v4.1 transcript:Potri.006G262800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262800.v4.1 MAYRKRSPCQDARSRIYPKISQTQSGSRPVPKWEKEFCLQVGGMQWKDFLKAKKYILPTGKIMLWDDTEGKECFYNAKCRFWALKFGHTRYYRTHYQNPDKYIDKIDWNSEVDPQLLMGLEAALEPVPYDDKEKNPVVSVEEIKPTGWDVCEDWQGPRCLTGLIVGDKEDGHCMGQQELANCSSIN >Potri.013G142332.1.v4.1 pep chromosome:Pop_tri_v4:13:15651480:15651673:1 gene:Potri.013G142332.v4.1 transcript:Potri.013G142332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142332.v4.1 MEKNRGHNSHGYSKSRLGCFDGSLYIFPFTRSMGKKWTLGILLIELRNETL >Potri.018G069200.7.v4.1 pep chromosome:Pop_tri_v4:18:8361088:8367824:1 gene:Potri.018G069200.v4.1 transcript:Potri.018G069200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069200.v4.1 MESNGISEQKIITGDSGYILQDVPHLTDYIPDLPTYSNPLQDNPAYAVVKQYFVHVDDSVPQKIVVHKDSPRGIHFRRAGPRQKIYFEPDEVRACIVTCGGLCPGLNTVIREIVYSLYHMYGVTRVLGIDGGYKGFYARNTIPLTPKVVNDIHKRGGTILGTSRGGHDTSKIVHSIQDRGINQVYIIGGDGTQKGASVIFEEIRRRGLKVVVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESVENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLDGKGGLYEYIEKQLKENGHMVIVIAEGAGQELLSESMQQDASGNKLLQDVGLWISQGIKDYFSKQKKMTINLKYIDPTYMIRAVPGNAADNVYCTLLAQSVVHGAMAGYTGFTSGLVNGRQTYIPFYRINEKQHKVVITDRMWARLLSSTNQPSFISNKEVIEDRKEHISGEEKNEETQLLDDENCADVVPENVDNSQP >Potri.018G069200.3.v4.1 pep chromosome:Pop_tri_v4:18:8360630:8367251:1 gene:Potri.018G069200.v4.1 transcript:Potri.018G069200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069200.v4.1 MESNGISEQKIITGDSGYILQDVPHLTDYIPDLPTYSNPLQDNPAYAVVKQYFVHVDDSVPQKIVVHKDSPRGIHFRRAGPRQKIYFEPDEVRACIVTCGGLCPGLNTVIREIVYSLYHMYGVTRVLGIDGGYKGFYARNTIPLTPKVVNDIHKRGGTILGTSRGGHDTSKIVHSIQDRGINQVYIIGGDGTQKGASVIFEEIRRRGLKVVVAGIPKTIDNDIPVIDRSFGFDTAVEEAQRAINAAHVEAESVENGIGLVKLMGRYSGFIAMYATLASRDVDCCLIPESPFYLDGKGGLYEYIEKQLKENGHMVIVIAEGAGQELLSESMQQDASGNKLLQDVGLWISQGIKDYFSKQKKMTINLKYIDPTYMIRAVPGNAADNVYCTLLAQSVVHGAMAGYTGFTSGLVNGRQTYIPFYRINEKQHKVVITDRMWARLLSSTNQPSFISNKEVIEDRKEHISGEEKNEETQLLDDENCADVVPENVDNSQP >Potri.009G103700.1.v4.1 pep chromosome:Pop_tri_v4:9:9128924:9137858:-1 gene:Potri.009G103700.v4.1 transcript:Potri.009G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103700.v4.1 METAILLRSSNKLLLFPRYYTPRNFFRLFSSSSKSPRSSPFPPRNLHRPINPLTSRSLLLRRRCRLLPPSATPCSSSFHFIKHHFSSSSPHAIATRCSPDVSTLPDEVAAKYGFEKVSEDFIGECKSRAVLLKHKKTGAEVMSVSNDDENKVFGIVFRTPPKDSTGIPHILEHSVLCGSRKYPLKEPFVELLKGSLHTFLNAFTYPDRTCYPVASTNTKDFYNLVDVYLDAVFFPKCVEDHHTFQQEGWHLELNNPSEEISYKGVVFNEMKGVYSQPDNILGRTAQLALFPDNTYGVDSGGDPKVIPKLTFEQFKEFHGKYYHPSNARIWFYGDDDPTERLRILSEYLDMFDASSASNESRIEQQKFFSEPVRIVEKYPAGDGSDLKKKHMVCLNWLLADKPLDLETELTLGFLDHLMLGTPASPLRKILLESGLGDAIVGGGVEDELLQPQFSIGLKGVSEEDIEKVEELVMSTLKKLAEEGFETDAVEASMNTIEFSLRENNTGSFPRGLSLMLQSISKWIYDMDPFEPLKYEKPLMALKARIAEEGSKAVFSPLIEKFILNNLHRVTIEMQPDPEKASRDEAAEREILEKVKASMTEEDLAELARATQELRLKQETPDPPEALRSVPSLSLLDIPKEPLHVPTEAGDINGVKVLKHDLFTNDVLYAEIVFNMRSLKQELLPLVPLFCQSLLEMGTKDLTFVQLNQLIGRKTGGISVYPFTSSIQGREDPCSHIIAQGKAMAGRVEDLFNLVNCVLQEVQFTDQQRFKQFVSQSKAGMENRLRGSGHRIAATRMDAKLNVTGWISEQMGGVSYLEFLQALEERVDQDWAGVSSSLEEIRTSLLSKNGCLINMTADGKNLTNSEKYVSKFLDLLPSKSSVEAAAWNARLSPGNEAIVIPTQVNYVGKAANIYDTGYQLNGSAYVISKYISNTWLWDRVRVSGGAYGGFCDLDTHSGVFSFLSYRDPNLLKTLDVYDGTGAFLRQLEMDDDTLSKAIIGTIGDVDSYQLPDAKGYSSLLRYLLGITEEERQKRREEILSTSLKDFKEFGEVIEAVKDKWVSVAVASPDDVDDANKERSNYFDVKKAL >Potri.004G131600.1.v4.1 pep chromosome:Pop_tri_v4:4:14906098:14911747:1 gene:Potri.004G131600.v4.1 transcript:Potri.004G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131600.v4.1 MEDRSSHKRALLDFLDQNIYKDEIKAMINHKRRRLIINISDLHSFQDFGPRILRNPSEYMQAFCDAATDTARSVDPKYLKEEEQVLVGFEGPFVSRRVTPRDLLSEFIGSMVCVQGIITKCSLVRPKVVKSVHFCPQTGSLTTREYRDITSNVGLPTGSVYPTRDENGHLLVTEYGLCNYKDHQTLSMQEVPENSAPGQLPRTVDVIVEDDLVDCCKPGDRVAIVGIYKALPGKSKGSVNGVFRTVLIANNVSLLNKEANAPIYSPEDLKNIKKIAERDDAFDLLGNSLAPSIYGHSWIKKAVVLLMLGGVEKNLKNGTHLRGDINMMMVGDPSVAKSQLLRAIMNIAPLAISTTGRGSSGVGLTAAVTSDQETGERRLEAGAMVLADRGVVCIDEFDKMNDQDRVAIHEVMEQQTVTIAKAGIHASLNARCSVVAAANPIYGTYDRSITPTKNIGLPDSLLSRFDLLFIVLDQMDPDIDRHISEHVLRMHRYRSATDGGEAAVEGREDNADADSSVFVKYNRMLHGRKTERGRKRDTLTIKFLKKYIHYAKHRIQPELTDEASEQIATAYAELRSASSTAKTGGTLPITARTLETVIRLSTAHAKLKLSRKVSKSDVEAALKVLNFAIYHKELTEMEEREQEREKELERKRRAECHSHRNDADDHTTADRDGSTTDAMEVDDHPPAEQATAELSLERIEAFNSAFREHMRYMDSITIDDLEKVVNTGGVPYSREEIMLLLQKLHDENKVMIADGKVHMVIS >Potri.012G116170.1.v4.1 pep chromosome:Pop_tri_v4:12:13438260:13443059:-1 gene:Potri.012G116170.v4.1 transcript:Potri.012G116170.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116170.v4.1 MKVEVTALPSYESEEEKFKDKVDRLRQRFFHSISPGGLAGDRKDVQPASGFSLRAEHLWKTIKENKDLDLPAVEVMVATFRCEQIAKETFSRLKSDKTWLALRKAVKARPEPKFRKKLISILKNSLSQYDKEATHFKESIRDEKRQELETEALKVLHPAYVDMLRHLHYTALKSFRNRLVKRVKEASRDGFEASIDHIVKDAMHQFEKGCKDVSISKEWDASAVRGILLCDIEKMESKTKTRFYKVYNTLKAKAVRGVALGIGGMAGAGVAAAAFVGDWDAVSAKKAGDVASTMLRKIIKRHMLKLDNFNANENTDNRANGIVDDLVGETVDNSLDFQNASDTANDSTANDLEGDTSCRTSPARRRWRFSFYLIVGLEVAI >Potri.009G020300.1.v4.1 pep chromosome:Pop_tri_v4:9:3268161:3268675:1 gene:Potri.009G020300.v4.1 transcript:Potri.009G020300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020300.v4.1 MALSFKFYSLIFLLGLLFMVLEESSGCKTGEKCFYGDAASLVDFKSRKVLVVSRGDVRGEPTSNSTGNGEELEIRELRAVPSGPDPLHHNGGSPKKPRTP >Potri.008G052500.5.v4.1 pep chromosome:Pop_tri_v4:8:3075901:3078409:-1 gene:Potri.008G052500.v4.1 transcript:Potri.008G052500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052500.v4.1 MPLFHVNVPATQYCHCLKPGHIFFLSFKSLPLSSFYLTECKLLYGNPFPLVLQVKNNERSYGLWLMYINSRIHLDDRMVAYNAALTALCRQASAFDKGNMYASACILDLFLQMMDCLCMSGNVGKAIQKIQGLFPVAANSDEPHFLLLSDILACLTNSDKYIFWVCCVYLVIYRKLPDAIVQCFECDKELLAIEWPYVQLPNEEKQRAVKLVEMAVDSVEMSVNSESLESDKNGRMAQQFALSHIRCTLVFDGLACCQNLLGKYTKLYPSCVELVLLSARLKKNGLGSVSFEGFEEAISNWPKEVPGIHCIWNQYIECALQEEGPDFAKELTVRWFNSVSKVQYPQNEILDAVDGNSSLGSLESASASNLDFLIPNSNQMDMMFGLINLSLAKLLHKDHVEAHVAIDRALKAAPPEYIKHCLSEHAVFLLNHEPKLRKDAPVSEKLKILNGYLNDTQALPVCEPLSRRFIDNIEKPKVQQLISSILSPVSSDFSLVNLVLEVWYGPSLLPPKSNQPKELVDFVEAILEMVPSNYPIALSVCKLLCRGYSYINVTSDSVLYWACSILVDAIFHAIPVPPEFVWVEAAGILGDISGVKLISDRFYKKALSAHPFSMKLWSCYYNLSKSRGYVSTVIQKARERGIEVG >Potri.008G052500.1.v4.1 pep chromosome:Pop_tri_v4:8:3075189:3086511:-1 gene:Potri.008G052500.v4.1 transcript:Potri.008G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052500.v4.1 MASNNPSQPEFATKTREEGEVSSSSNDDQNPVCASALFADAFNTPASARTIPFHPMNKFTLSNRAGKAIFSTNPARCVDPNLQTSQPNNNKSFEKNRVPHISANPGKCASSGANDNLVIRFSDDESGSESEDRDDKPLKTKPNTTVVNGNGRLPPILPAKSSMSLQTSRNVNSIPKKSSMSRTFNSSMTKINGVANSKGADSSSVGQGSQVKNINSIKRNLASQEHGIEQGVDLNSTKVQDLRQQIALRERELKLKAAAQNKESGSVSDKCMNISSSVTRKSNAASSEVGQLAPKEPDRKRIKPDGSYSKHLNSDGQQKMLVEKSNLPSKDQALENSSLQDRNMGNCSKKERPTKRTESSVVKWERQDRRVDISSAKLPASHINDNSSQPDTSRMQMDPCVVLNQTPLLTNANASTLSKKRKSVDLNPVKNCGTQPPACLLKTSTSGQNLINSCEHLQGISGDKLSCQASLNLNPWNCLGTVNVAEHNSIDIQLLVEMEESLDRELEEEQEHRHKCEIEERNALKAYRKAQRALIEANSRCTELYRKRELHSAHFRSLIVNDSSLFFPSRQDEHVGIGMDRENNVSRNVDLIPSSSDQMQPEYDGCNQPGYDSVTGAPSNSLYQHVNGHSLGSEPCSEPDASTSEPLPRNSLIAANGVSSQSNDSNISAGEDEETFPLDHETDQPIFKIQQRDQNSVGRESHTDCHPNKDFYVDGPQDSLILEAKLRSKLFARLPIRTFSKNGGSSNMEPADEPGIEIDNRSERTQGSNVSIPLSETEKDRDYDLEGNNKPERSISELPVQIQNHEKNFHSAADSKDDSTGGHQLTTSVISSPLLVLRSAFAQMKAMHPMTLIESQCRKNQQNDTCGDFIVEDGFMDTEEIQCDNVIAKSKEEIIRGMCGTEIGTFTHNVAVDPFWPLCMYELRGKCNNDECPWQHVRDFSDQNLHPNQHDDSDSADCQVGLTLHEQKCKGGAKLSKCHSVLNPPTYLVGLDVLKSDSYKSVIARRNGQCWQIQFSLCLALSSFFQKDLLADQLSIRADDGRIEVHGSWNRQTSYFQSRENTVNHLNQALASSLQSLEMALLFLCQEVYKLEGMKKPLSMLSRAIEADPTSEALWMMYLLIYYSNIESIGKDDMFSYAVKNNERSYGLWLMYINSRIHLDDRMVAYNAALTALCRQASAFDKGNMYASACILDLFLQMMDCLCMSGNVGKAIQKIQGLFPVAANSDEPHFLLLSDILACLTNSDKYIFWVCCVYLVIYRKLPDAIVQCFECDKELLAIEWPYVQLPNEEKQRAVKLVEMAVDSVEMSVNSESLESDKNGRMAQQFALSHIRCTLVFDGLACCQNLLGKYTKLYPSCVELVLLSARLKKNGLGSVSFEGFEEAISNWPKEVPGIHCIWNQYIECALQEEGPDFAKELTVRWFNSVSKVQYPQNEILDAVDGNSSLGSLESASASNLDFLIPNSNQMDMMFGLINLSLAKLLHKDHVEAHVAIDRALKAAPPEYIKHCLSEHAVFLLNHEPKLRKDAPVSEKLKILNGYLNDTQALPVCEPLSRRFIDNIEKPKVQQLISSILSPVSSDFSLVNLVLEVWYGPSLLPPKSNQPKELVDFVEAILEMVPSNYPIALSVCKLLCRGYSYINVTSDSVLYWACSILVDAIFHAIPVPPEFVWVEAAGILGDISGVKLISDRFYKKALSAHPFSMKLWSCYYNLSKSRGYVSTVIQKARERGIEVG >Potri.008G052500.4.v4.1 pep chromosome:Pop_tri_v4:8:3075896:3079803:-1 gene:Potri.008G052500.v4.1 transcript:Potri.008G052500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052500.v4.1 MALLFLCQEVYKLEGMKKPLSMLSRAIEADPTSEALWMMYLLIYYSNIESIGKDDMFSYAVKNNERSYGLWLMYINSRIHLDDRMVAYNAALTALCRQASAFDKGNMYASACILDLFLQMMDCLCMSGNVGKAIQKIQGLFPVAANSDEPHFLLLSDILACLTNSDKYIFWVCCVYLVIYRKLPDAIVQCFECDKELLAIEWPYVQLPNEEKQRAVKLVEMAVDSVEMSVNSESLESDKNGRMAQQFALSHIRCTLVFDGLACCQNLLGKYTKLYPSCVELVLLSARLKKNGLGSVSFEGFEEAISNWPKEVPGIHCIWNQYIECALQEEGPDFAKELTVRWFNSVSKVQYPQNEILDAVDGNSSLGSLESASASNLDFLIPNSNQMDMMFGLINLSLAKLLHKDHVEAHVAIDRALKAAPPEYIKHCLSEHAVFLLNHEPKLRKDAPVSEKLKILNGYLNDTQALPVCEPLSRRFIDNIEKPKVQQLISSILSPVSSDFSLVNLVLEVWYGPSLLPPKSNQPKELVDFVEAILEMVPSNYPIALSVCKLLCRGYSYINVTSDSVLYWACSILVDAIFHAIPVPPEFVWVEAAGILGDISGVKLISDRFYKKALSAHPFSMKLWSCYYNLSKSRGYVSTVIQKARERGIEVG >Potri.008G052500.2.v4.1 pep chromosome:Pop_tri_v4:8:3075898:3086710:-1 gene:Potri.008G052500.v4.1 transcript:Potri.008G052500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052500.v4.1 MASNNPSQPEFATKTREEGEVSSSSNDDQNPVCASALFADAFNTPASARTIPFHPMNKFTLSNRAGKAIFSTNPARCVDPNLQTSQPNNNKSFEKNRVPHISANPGKCASSGANDNLVIRFSDDESGSESEDRDDKPLKTKPNTTVVNGNGRLPPILPAKSSMSLQTSRNVNSIPKKSSMSRTFNSSMTKINGVANSKGADSSSVGQGSQVKNINSIKRNLASQEHGIEQGVDLNSTKVQDLRQQIALRERELKLKAAAQNKESGSVSDKCMNISSSVTRKSNAASSEVGQLAPKEPDRKRIKPDGSYSKHLNSDGQQKMLVEKSNLPSKDQALENSSLQDRNMGNCSKKERPTKRTESSVVKWERQDRRVDISSAKLPASHINDNSSQPDTSRMQMDPCVVLNQTPLLTNANASTLSKKRSVDLNPVKNCGTQPPACLLKTSTSGQNLINSCEHLQGISGDKLSCQASLNLNPWNCLGTVNVAEHNSIDIQLLVEMEESLDRELEEEQEHRHKCEIEERNALKAYRKAQRALIEANSRCTELYRKRELHSAHFRSLIVNDSSLFFPSRQDEHVGIGMDRENNVSRNVDLIPSSSDQMQPEYDGCNQPGYDSVTGAPSNSLYQHVNGHSLGSEPCSEPDASTSEPLPRNSLIAANGVSSQSNDSNISAGEDEETFPLDHETDQPIFKIQQRDQNSVGRESHTDCHPNKDFYVDGPQDSLILEAKLRSKLFARLPIRTFSKNGGSSNMEPADEPGIEIDNRSERTQGSNVSIPLSETEKDRDYDLEGNNKPERSISELPVQIQNHEKNFHSAADSKDDSTGGHQLTTSVISSPLLVLRSAFAQMKAMHPMTLIESQCRKNQQNDTCGDFIVEDGFMDTEEIQCDNVIAKSKEEIIRGMCGTEIGTFTHNVAVDPFWPLCMYELRGKCNNDECPWQHVRDFSDQNLHPNQHDDSDSADCQVGLTLHEQKCKGGAKLSKCHSVLNPPTYLVGLDVLKSDSYKSVIARRNGQCWQIQFSLCLALSSFFQKDLLADQLSIRADDGRIEVHGSWNRQTSYFQSRENTVNHLNQALASSLQSLEMALLFLCQEVYKLEGMKKPLSMLSRAIEADPTSEALWMMYLLIYYSNIESIGKDDMFSYAVKNNERSYGLWLMYINSRIHLDDRMVAYNAALTALCRQASAFDKGNMYASACILDLFLQMMDCLCMSGNVGKAIQKIQGLFPVAANSDEPHFLLLSDILACLTNSDKYIFWVCCVYLVIYRKLPDAIVQCFECDKELLAIEWPYVQLPNEEKQRAVKLVEMAVDSVEMSVNSESLESDKNGRMAQQFALSHIRCTLVFDGLACCQNLLGKYTKLYPSCVELVLLSARLKKNGLGSVSFEGFEEAISNWPKEVPGIHCIWNQYIECALQEEGPDFAKELTVRWFNSVSKVQYPQNEILDAVDGNSSLGSLESASASNLDFLIPNSNQMDMMFGLINLSLAKLLHKDHVEAHVAIDRALKAAPPEYIKHCLSEHAVFLLNHEPKLRKDAPVSEKLKILNGYLNDTQALPVCEPLSRRFIDNIEKPKVQQLISSILSPVSSDFSLVNLVLEVWYGPSLLPPKSNQPKELVDFVEAILEMVPSNYPIALSVCKLLCRGYSYINVTSDSVLYWACSILVDAIFHAIPVPPEFVWVEAAGILGDISGVKLISDRFYKKALSAHPFSMKLWSCYYNLSKSRGYVSTVIQKARERGIEVG >Potri.008G052500.3.v4.1 pep chromosome:Pop_tri_v4:8:3075177:3086427:-1 gene:Potri.008G052500.v4.1 transcript:Potri.008G052500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052500.v4.1 MNKFTLSNRAGKAIFSTNPARCVDPNLQTSQPNNNKSFEKNRVPHISANPGKCASSGANDNLVIRFSDDESGSESEDRDDKPLKTKPNTTVVNGNGRLPPILPAKSSMSLQTSRNVNSIPKKSSMSRTFNSSMTKINGVANSKGADSSSVGQGSQVKNINSIKRNLASQEHGIEQGVDLNSTKVQDLRQQIALRERELKLKAAAQNKESGSVSDKCMNISSSVTRKSNAASSEVGQLAPKEPDRKRIKPDGSYSKHLNSDGQQKMLVEKSNLPSKDQALENSSLQDRNMGNCSKKERPTKRTESSVVKWERQDRRVDISSAKLPASHINDNSSQPDTSRMQMDPCVVLNQTPLLTNANASTLSKKRKSVDLNPVKNCGTQPPACLLKTSTSGQNLINSCEHLQGISGDKLSCQASLNLNPWNCLGTVNVAEHNSIDIQLLVEMEESLDRELEEEQEHRHKCEIEERNALKAYRKAQRALIEANSRCTELYRKRELHSAHFRSLIVNDSSLFFPSRQDEHVGIGMDRENNVSRNVDLIPSSSDQMQPEYDGCNQPGYDSVTGAPSNSLYQHVNGHSLGSEPCSEPDASTSEPLPRNSLIAANGVSSQSNDSNISAGEDEETFPLDHETDQPIFKIQQRDQNSVGRESHTDCHPNKDFYVDGPQDSLILEAKLRSKLFARLPIRTFSKNGGSSNMEPADEPGIEIDNRSERTQGSNVSIPLSETEKDRDYDLEGNNKPERSISELPVQIQNHEKNFHSAADSKDDSTGGHQLTTSVISSPLLVLRSAFAQMKAMHPMTLIESQCRKNQQNDTCGDFIVEDGFMDTEEIQCDNVIAKSKEEIIRGMCGTEIGTFTHNVAVDPFWPLCMYELRGKCNNDECPWQHVRDFSDQNLHPNQHDDSDSADCQVGLTLHEQKCKGGAKLSKCHSVLNPPTYLVGLDVLKSDSYKSVIARRNGQCWQIQFSLCLALSSFFQKDLLADQLSIRADDGRIEVHGSWNRQTSYFQSRENTVNHLNQALASSLQSLEMALLFLCQEVYKLEGMKKPLSMLSRAIEADPTSEALWMMYLLIYYSNIESIGKDDMFSYAVKNNERSYGLWLMYINSRIHLDDRMVAYNAALTALCRQASAFDKGNMYASACILDLFLQMMDCLCMSGNVGKAIQKIQGLFPVAANSDEPHFLLLSDILACLTNSDKYIFWVCCVYLVIYRKLPDAIVQCFECDKELLAIEWPYVQLPNEEKQRAVKLVEMAVDSVEMSVNSESLESDKNGRMAQQFALSHIRCTLVFDGLACCQNLLGKYTKLYPSCVELVLLSARLKKNGLGSVSFEGFEEAISNWPKEVPGIHCIWNQYIECALQEEGPDFAKELTVRWFNSVSKVQYPQNEILDAVDGNSSLGSLESASASNLDFLIPNSNQMDMMFGLINLSLAKLLHKDHVEAHVAIDRALKAAPPEYIKHCLSEHAVFLLNHEPKLRKDAPVSEKLKILNGYLNDTQALPVCEPLSRRFIDNIEKPKVQQLISSILSPVSSDFSLVNLVLEVWYGPSLLPPKSNQPKELVDFVEAILEMVPSNYPIALSVCKLLCRGYSYINVTSDSVLYWACSILVDAIFHAIPVPPEFVWVEAAGILGDISGVKLISDRFYKKALSAHPFSMKLWSCYYNLSKSRGYVSTVIQKARERGIEVG >Potri.008G052500.6.v4.1 pep chromosome:Pop_tri_v4:8:3075900:3078243:-1 gene:Potri.008G052500.v4.1 transcript:Potri.008G052500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052500.v4.1 MYINSRIHLDDRMVAYNAALTALCRQASAFDKGNMYASACILDLFLQMMDCLCMSGNVGKAIQKIQGLFPVAANSDEPHFLLLSDILACLTNSDKYIFWVCCVYLVIYRKLPDAIVQCFECDKELLAIEWPYVQLPNEEKQRAVKLVEMAVDSVEMSVNSESLESDKNGRMAQQFALSHIRCTLVFDGLACCQNLLGKYTKLYPSCVELVLLSARLKKNGLGSVSFEGFEEAISNWPKEVPGIHCIWNQYIECALQEEGPDFAKELTVRWFNSVSKVQYPQNEILDAVDGNSSLGSLESASASNLDFLIPNSNQMDMMFGLINLSLAKLLHKDHVEAHVAIDRALKAAPPEYIKHCLSEHAVFLLNHEPKLRKDAPVSEKLKILNGYLNDTQALPVCEPLSRRFIDNIEKPKVQQLISSILSPVSSDFSLVNLVLEVWYGPSLLPPKSNQPKELVDFVEAILEMVPSNYPIALSVCKLLCRGYSYINVTSDSVLYWACSILVDAIFHAIPVPPEFVWVEAAGILGDISGVKLISDRFYKKALSAHPFSMKLWSCYYNLSKSRGYVSTVIQKARERGIEVG >Potri.010G110100.2.v4.1 pep chromosome:Pop_tri_v4:10:13054062:13056393:-1 gene:Potri.010G110100.v4.1 transcript:Potri.010G110100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110100.v4.1 MACLSCHSITKTLVVFASLPRNTNSPSPQSNTDPKRPSLPVPVPTTTRSTRTKSTTTLPLPRKKSVQDRLQQQLNLMNIERVVGAGSYRDYEKPIDQEKKEKKKKKKMSGFMEEGPVEKKLRETGEWVTTKTEGGFRSNGKRILMFSFKWAIPLYIFMVFVASGVIKLPFSSQFLDDLLM >Potri.008G051300.1.v4.1 pep chromosome:Pop_tri_v4:8:3009735:3015475:1 gene:Potri.008G051300.v4.1 transcript:Potri.008G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051300.v4.1 MHKSFWITRDAGCLNDGDVGFDNSSRMEAKHSHQWFMDSPGPELFSNKKQAVEHSSNNRPVAGMSHMNISPWNNTSSFQSVSGHFSDRLFGSEPLRPNNGSNFLSSGNGNMNMGRKDFIYGSNCSMGLSMTHNIEDPSASISFGGIRKVKVNQVRDSNISSSVGHSYARGDDNIISMGPAYNKRESNTISLGSTYNNGDENTISISPTFSKADGNFISIRHAFSKDDGNFISMGHNYNKGDESMLSMGQPFDKEDANFITIGPSYDKENNHFISMAPSYNKGHDNFISMGPSYDKTSENFILMGSSFSKGGDNIISNGPAYDKADSDITSMAPAQDKGNSGILSMGHNYNKGDNNAISFGGFHDEPETNSSGNIITGYELLVSNQDTAQTSEVLSQNVLPQANADPQLNTDSAPKIIADPQLNSALEANSKTDTDIKGKEPKASINAAPKNKEPKTPIDSASKNKELKTSKKVPANNFPSNVKSLLSTGLLDGVPVKYVSWSREKTLEGIIKGTGYLCGCKECGSNKALNAYEFERHANCKTKHPNNHIFFENGKTIYAVVQELKNTPQGVLFNAIQTVTGSHINQKNFRIWKASYQAATRELQRIYGKDEVTVPS >Potri.008G051300.3.v4.1 pep chromosome:Pop_tri_v4:8:3012859:3015568:1 gene:Potri.008G051300.v4.1 transcript:Potri.008G051300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051300.v4.1 MEAKHSHQWFMDSPGPELFSNKKQAVEHSSNNRPVAGMSHMNISPWNNTSSFQSVSGHFSDRLFGSEPLRPNNGSNFLSSGNGNMNMGRKDFIYGSNCSMGLSMTHNIEDPSASISFGGIRKVKVNQVRDSNISSSVGHSYARGDDNIISMGPAYNKRESNTISLGSTYNNGDENTISISPTFSKADGNFISIRHAFSKDDGNFISMGHNYNKGDESMLSMGQPFDKEDANFITIGPSYDKENNHFISMAPSYNKGHDNFISMGPSYDKTSENFILMGSSFSKGGDNIISNGPAYDKADSDITSMAPAQDKGNSGILSMGHNYNKGDNNAISFGGFHDEPETNSSGNIITGYELLVSNQDTAQTSEVLSQNVLPQANADPQLNTDSAPKIIADPQLNSALEANSKTDTDIKGKEPKASINAAPKNKEPKTPIDSASKNKELKTSKKVPANNFPSNVKSLLSTGLLDGVPVKYVSWSREKTLEGIIKGTGYLCGCKECGSNKALNAYEFERHANCKTKHPNNHIFFENGKTIYAVVQELKNTPQGVLFNAIQTVTGSHINQKNFRIWKASYQAATRELQRIYGKDEVTVPS >Potri.008G051300.2.v4.1 pep chromosome:Pop_tri_v4:8:3009755:3015474:1 gene:Potri.008G051300.v4.1 transcript:Potri.008G051300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051300.v4.1 MHKSFWITRDAGCLNDGDVGFDNSSRMEAKHSHQWFMDSPGPELFSNKKQAVEHSSNNRPVAGMSHMNISPWNNTSSFQSVSGHFSDRLFGSEPLRPNNGSNFLSSGNGNMNMGRKDFIYGSNCSMGLSMTHNIEDPSASISFGGIRKVKVNQVRDSNISSSVGHSYARGDDNIISMGPAYNKRESNTISLGSTYNNGDENTISISPTFSKADGNFISIRHAFSKDDGNFISMGHNYNKGDESMLSMGQPFDKEDANFITIGPSYDKENNHFISMAPSYNKGHDNFISMGPSYDKTSENFILMGSSFSKGGDNIISNGPAYDKADSDITSMAPAQDKGNSGILSMGHNYNKGDNNAISFGGFHDEPETNSSGNIITGYELLVSNQDTAQTSEVLSQNVLPQANADPQLNTDSAPKIIADPQLNSALEANSKTDTDIKGKEPKASINAAPKNKEPKTPIDSASKNKELKTSKKVPANNFPSNVKSLLSTGLLDGVPVKYVSWSREKTLEGIIKGTGYLCGCKECGSNKALNAYEFERHANCKTKHPNNHIFFENGKTIYAVVQELKNTPQGVLFNAIQTVTGSHINQKNFRIWKASYQAATRELQRIYGKDEVTVPS >Potri.017G094700.1.v4.1 pep chromosome:Pop_tri_v4:17:10731629:10733296:1 gene:Potri.017G094700.v4.1 transcript:Potri.017G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094700.v4.1 MASPSLVRILEICKVTPALDSVDAAKEFSLPLTYFDITWFKFPPAECLYFFKLNESSSRSSIFHSEILPALKHSLSQTLGRFVPLAGHLTWPANSSKPVIVYALNDALSLRVAESNASFDRFIGNEIREVDESHPYIPELYVTNMIASLLALQITFFPCKGFSIGMAIHHAMLDGKSVSMFLNTWTYLCKHNQNEKSLLLLPELRPSFDRTGLQDSFGLESVYLKQWEATIIPGSELNSRSLKLMPDLGVPSNLLRATFRLPREAINELQESVLRYHQAGLNPGKQLHLSTYVITCAYVSVCLVKARGGDDNRKVYYVCSVDCRSRLEPPLPQNYFGNCVAVHHMVAEAKAFMEENGVAIVAEKLSDLINGLENGLFQGAKERLVMLRGLGQEVQKFGVAGSTRLGFYGLDFGWGNVEKAEITSIDRTRGFSMMEFGDVSSGGIEIGVVLVRQEMERFASLFVNGLKALQSRL >Potri.009G161600.1.v4.1 pep chromosome:Pop_tri_v4:9:12474499:12477628:1 gene:Potri.009G161600.v4.1 transcript:Potri.009G161600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161600.v4.1 MKLPSIFKKQETNFVSWKWPPFSIGTFPFQSKVNALKNFYFALRDAAKFMTMLNSSWSQSKTISLTPKESKEDSLEIAVNKAVRSERLFFEPGNTSSILDDHNDEASKFPFPECVALAMESEDPYEDFRSSMEETVETCGLKNWEDVEELLAWYLRMNRQQHHCFIIEAFVDLFSAAPPSFFSCPVSHSDSASSSKSKDLWMIEAKRSQPAMEKGKSLKNC >Potri.005G069500.1.v4.1 pep chromosome:Pop_tri_v4:5:4510690:4512699:1 gene:Potri.005G069500.v4.1 transcript:Potri.005G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069500.v4.1 MKVNGSAAADQLELPAGFRFHPTDDELVNHYLIKKCGGQSISVPIIAEIDLYKYDPWQLPEMALYGEKEWYFFSPRDRKYPNGSRPNRAAGTGYWKATGADKPIGRPKPLGIKKALVFYAGKAPRGIKTNWIMHEYRLANVDRSAGKKNNLRLDDWVLCRIYNKKGSIEKHFPADRKSITKYAEMEEQKPNINEMSGYKNGGSTMGAPTMMMSNSNDLLNMDTSDSTPRLHTDSSCSEQVLSPELTCEREVQSQPKSWNDQLDSAFDFNFNYIDDGFQDDPFASQVQFQMDQLSPLQDMFMYLQRPL >Potri.003G098000.2.v4.1 pep chromosome:Pop_tri_v4:3:12368566:12371615:1 gene:Potri.003G098000.v4.1 transcript:Potri.003G098000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098000.v4.1 MVSGLINANPVIYEKKERRVRSAPSVGDDEHAVEPIDQLEVFDHIRDIKDPEHPYSLEELKVITEDAIEVDDNHSYVRVTFTPTVEHCSMATVIGLCLRVKLMRSLPQRYKVDIRVAPGTHATESAVNKQLNDKERVAAALENPNLVDMVDECLAPSYA >Potri.005G100500.1.v4.1 pep chromosome:Pop_tri_v4:5:7262274:7269527:1 gene:Potri.005G100500.v4.1 transcript:Potri.005G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100500.v4.1 MMERAESGQKLYTRMRLWEFPDQYVIEPTDGSCGSSLAVNKADGSMNLIDEVPECSSIRVPKIRIIFGVIGMLKLVAGSYLIVITDRECVGSYLGHPIYKATSLKIFPCDQSVTNSNAEQKKVETEFSGLLNVAERTSGLYFSYDSNLTLSAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEVLIDNKLDPYLLPVVQGSFQNFQAAIGKEIVDVTLIARRCTRRNGTRMWRRGADSDGYVANFVETEQIVQMNGFTSSFVQVRGSIPFLWEQVVDLTYKPKFEIVRPEEAPRVVERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKYANAMHRVISDDVRYLHFDFHKICGHVHFERLSILYDQIVDFLEKNVYLLLNEKGEKMKEQTGVVRTNCIDCLDRTNVTQSMIGRRMLEIQLRRIGVFGAEETISSHPNFDESYKILWANHGDEISIQYSGTPALKGDFVRFGKRSIQGIFNDGWNSLARYYLNNFSDGTKQDSIDLLQGHYIVSVSRETTPPSQTGGLESVASFPVALALVLIGFFLALMSLRQVRYDLRHLFFSIMWASLSVAIGAFVKANGRIFCKRPRLNKPRS >Potri.005G100500.2.v4.1 pep chromosome:Pop_tri_v4:5:7262276:7269537:1 gene:Potri.005G100500.v4.1 transcript:Potri.005G100500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100500.v4.1 MMERAESGQKLYTRMRLWEFPDQYVIEPTDGSCGSSLAVNKADGSMNLIDEVPECSSIRVPKIRIIFGVIGMLKLVAGSYLIVITDRECVGSYLGHPIYKATSLKIFPCDQSVTNSNAEQKKVETEFSGLLNVAERTSGLYFSYDSNLTLSAQRLHDLGDESKLLPLWRQAEPRFLWNNYMLEVLIDNKLDPYLLPVVQGSFQNFQAAIGKEIVDVTLIARRCTRRNGTRMWRRGADSDGYVANFVETEQIVQMNGFTSSFVQVRGSIPFLWEQVVDLTYKPKFEIVRPEEAPRVVERHFLDLRKKYGSVLAVDLVNKHGGEGRLSEKYANAMHRVISDDVRYLHFDFHKICGHVHFERLSILYDQIVDFLEKNVYLLLNEKGEKMKEQTGVVRTNCIDCLDRTNVTQSMIGRRMLEIQLRRIGVFGAEETISSHPNFDESYKILWANHGDEISIQYSGTPALKGDFVRFGKRSIQGIFNDGWNSLARYYLNNFSDGTKQDSIDLLQGHYIVSVSRETTPPSQTGGLESVASFPVALALVLIGFFLALMSLRQVRYDLRHLFFSIMWASLSVAIGAFVKANGRIFCKRPRLNKPRS >Potri.009G016766.1.v4.1 pep chromosome:Pop_tri_v4:9:2865987:2866893:1 gene:Potri.009G016766.v4.1 transcript:Potri.009G016766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016766.v4.1 MNSAKKKPQSDCSVGFLTFSFLLLHTKHASSRHAGTVTSNVPGFEKMKMTVVLCYLRHRVLCFCLLFL >Potri.015G052200.5.v4.1 pep chromosome:Pop_tri_v4:15:6640098:6646999:1 gene:Potri.015G052200.v4.1 transcript:Potri.015G052200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G052200.v4.1 MAMEMAIRSSFTTRLSPRSTSSTTKPSFFKPHQLRPISVSLPTSTISLLSLFAPPNEAKALTISKDQVVSTLTDVEKTIDQAVEFSSSVLDSAQKVFEGVTNSLKPGIDVALPIAKQAGEQAVKIASPAIYEASKKAQEAIQSTGIDTEPVLSAAKTLVGAAQQTTKVIEEAKPIASSTVETITSADPVVIVGAAGAVFLAYLLFPSIWSAVSFGLRGYKGEITPAQVLDLLSTKNYIMIDIRSEKDKEKAGIPRLPSSAKNQMVSVPLEELPSKLKGIVRNVKKLEAEIAALKISYLKKINKGSNIVIMDSYSDSAKIVARVLTSLGFNNCWTVSGGFSGGRGWLQSWLGADSYNVSFTEVLRPSRIIPAAAGRVGTASSKLLPGGD >Potri.006G242100.1.v4.1 pep chromosome:Pop_tri_v4:6:24358008:24362389:-1 gene:Potri.006G242100.v4.1 transcript:Potri.006G242100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242100.v4.1 MAEFGGKWRGLRSVLMVLLNFLLAFVFVSAERGLKHEATSTRMNETGDSVSSYVLKAVNFLWQPDHKGYQHVWPEMKFGWQIVLGSIIGFFGAAFGSVGGVGGGGIFVPMLSLVIGFDPKSATAISKCMIMGAAISTVYYNLKLRHPTLDMPIIDYDLALLIQPMLMLGISIGVAFNVVFADWMVTVLLIILFLGTSTKAFLKGVETWKKETIMKREAAKRLESDGASAGEVEYKPLPGGPSNSPQKADKEEEVSILENVYWKELGLLVFVWVAFLVLQITKEGTSTCSTTYWVLNLLQIPVSVGVSMYEAVSLYKGHRIIASKGTEGTNFTILQLVIYCLFGILAGVVGGLLGLGGGFIMGPLFLELGIPPQVSSATATFAMTFSSSMSVVEYYLLKRFPVSYAVYFVAVATFAAFIGQHIVRRLIIVFGRASLIIFILASTIFISAISLGGVGVANMIGKIHRHEYMGFENLCKYDG >Potri.001G436300.4.v4.1 pep chromosome:Pop_tri_v4:1:46223336:46231760:-1 gene:Potri.001G436300.v4.1 transcript:Potri.001G436300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436300.v4.1 MGSMVVLVGSMPSLASLVSLGSLSGSTATSSCVESSSYSVVKRVSLSKRSLRRAKSWHCVCKYSVTATDFIAEQGNAVSLDSSSNGDGNDGDSGVVLKPSPKPVLKSPAGSKDETLLSMNSVGWGSSRGSGDSDEEEERNKVIESLDEVLEKAGKLETSKQSQVGASAGSIRKENGNVNKMTPSNSYTDSRNVNSTAATRKAKTLRSVWRKGDTVSSVQRIVKEVPKASNKFIKEEPKTVEGTKLESQSRVPLKPPQPPLRPQPKLQAKPSAAPSPIIKKPVVLKDVGAAPKSPIKDETGSGAAQSKGQPILIDKFARKKPVVDPVIAQAVLAPTKPGKGPAPGKYKDRKKGASPGTPRRRMMDNDVEIPDEELNVSIPGAATARKGRKWTKASRKAAKIQAARDAAPVKVEILEVGEKGMSIEELAYNLTMGEGEILGLLFSKGIKPDGVQTLDKEMVKMICKEYEVEVIDADPVRFEEMAKKNEILDEDDLDKLQERPPVLTIMGHVDHGKTTLLDHIRKSKVAASEAGGITQGIGAYKVMVPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSIGLMPEDWGGDVPMVQVSALKGENIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLDKSKGPIATFIVQKGTLKRGDVVVCGEAFGKVRALFEGGGKRVDQVGPSIPVQVIGLSNVPIAGDEFEVVASLDIAREKAEARAELLWNERISAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIIMKVDLQGSMEAVRQALQVLPRDNVTLKFLLQATGDVSNSDVDLAVVSEAIILGFNVKAPGSVKSYAEKKGVEIRLYRVIYELIDEVRNAMEGLLELVEEQEPIGSTVVRAVFSSGSGRVAGCMVTEGKVIKGCGIRVVRNRKTVHVGVLDSLRRVKEIVKEVNAGLECGIGAEDYDDWEEGDIIEAFNTVEKKRTLEEASASMAAAMEEVGL >Potri.001G436300.1.v4.1 pep chromosome:Pop_tri_v4:1:46223341:46231686:-1 gene:Potri.001G436300.v4.1 transcript:Potri.001G436300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436300.v4.1 MGSMVVLVGSMPSLASLVSLGSLSGSTATSSCVESSSYSVVKRVSLSKRSLRRAKSWHCVCKYSVTATDFIAEQGNAVSLDSSSNGDGNDGDSGVVLKPSPKPVLKSPAGSKDETLLSMNSVGWGSSRGSGDSDEEEERNKVIESLDEVLEKAGKLETSKQSQVGASAGSIRKENGNVNKMTPSNSYTDSRNVNSTAATRKAKTLRSVWRKGDTVSSVQRIVKEVPKASNKFIKEEPKTVEGTKLESQSRVPLKPPQPPLRPQPKLQAKPSAAPSPIIKKPVVLKDVGAAPKSPIKDETGSGAAQSKGQPILIDKFARKKPVVDPVIAQAVLAPTKPGKGPAPGKYKDRKKGASPGTPRRRMMDNDVEIPDEELNVSIPGAATARKGRKWTKASRKAAKIQAARDAAPVKVEILEVGEKGMSIEELAYNLTMGEGEILGLLFSKGIKPDGVQTLDKEMVKMICKEYEVEVIDADPVRFEEMAKKNEILDEDDLDKLQERPPVLTIMGHVDHGKTTLLDHIRKSKVAASEAGGITQGIGAYKVMVPVDGKLQPCVFLDTPGHEAFGAMRARGARVTDIAIIVVAADDGIRPQTNEAIAHAKAAGVPIVIAINKIDKDGANPERVMQELSSIGLMPEDWGGDVPMVQVSALKGENIDDLLETVMLVAELQELKANPDRNAKGTVIEAGLDKSKGPIATFIVQKGTLKRGDVVVCGEAFGKVRALFEGGGKRVDQVGPSIPVQVIGLSNVPIAGDEFEVVASLDIAREKAEARAELLWNERISAKAGDGKVTLSSLASAVSAGKLSGLDLHQLNIIMKVDLQGSMEAVRQALQVLPRDNVTLKFLLQATGDVSNSDVDLAVVSEAIILGFNVKAPGSVKSYAEKKGVEIRLYRVIYELIDEVRNAMEGLLELVEEQEPIGSTVVRAVFSSGSGRVAGCMVTEGKVIKGCGIRVVRNRKTVHVGVLDSLRRVKEIVKEVNAGLECGIGAEDYDDWEEGDIIEAFNTVEKKRTLEEASASMAAAMEEVGL >Potri.004G122800.2.v4.1 pep chromosome:Pop_tri_v4:4:11698293:11699471:1 gene:Potri.004G122800.v4.1 transcript:Potri.004G122800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G122800.v4.1 MMGWSCFLRVLLVLALLVLPSFSHGRGFGRKVIETFEFGDSSVELEESAGNSRGVYELDYDLDPKPNTNPKTGYIYTPTPQG >Potri.010G005000.3.v4.1 pep chromosome:Pop_tri_v4:10:476596:477937:1 gene:Potri.010G005000.v4.1 transcript:Potri.010G005000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005000.v4.1 MGSSGTCSLWSGFLGSGGQQLRASVAAAKQRTSPSLSFVTVEAKAKTRREDRTARHIRIRKKVEGTPDRPRLCVFRSNKHLYVQVIDDTKMHTLASASTMQKPFAQDFDYSSGPTIDVAKKVGEVIAKSCLEKGITKVAFDRGGYPYHGRVAALADAARENGLQF >Potri.016G143400.1.v4.1 pep chromosome:Pop_tri_v4:16:14527858:14528633:1 gene:Potri.016G143400.v4.1 transcript:Potri.016G143400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143400.v4.1 MYVHEQLLHASSLACQPTYIIEMNYSTKLAVLVLAILLLIIGPAVEASPVNTSLSSTAGEFRPMEAAEYRVIGRKGDDDLWRRRLAPFQLCLLCKCCAGAATTTCATMSCCFGIDCRLPNKPYGVCAFVPKTCNCTSCATV >Potri.009G119200.11.v4.1 pep chromosome:Pop_tri_v4:9:10000954:10006512:-1 gene:Potri.009G119200.v4.1 transcript:Potri.009G119200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119200.v4.1 MFGDSMANLSNGNANTQQPDPRRTYQVVVAATKDMGIGKDGKQPWKLPSDVKFFKDVTLTTSDLGKKNAVIMGRKTWESIPLEHRPFPGRLNVVLTRSGSFDIATAENVVICGSMGSALELLAASPYFLSIEKVFVIGGGQILRESLNAPGCDAIHITEIETDFDCDTFIPAIDTSVFQPWCSSFPKMENDIRYCFTTYVRVRSSAIESHSQNNGVSSDGNSENSKFEVKKFSFLPKMVFERHEEYLYLRLVQDIITDGNLKDGRAGTGTLSKFGCQMRFNLRKTFPFLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSVGLKDREEGDLGPFYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIRNNPNDRGIVLSAWNPTDLKLMVLPPCHMFVQFYVANGELSCQMYQRSADMGLGVPFSIASYALLTHIIAHVCDLVPGDFIHVIGDAHVYHTHIRPLQEQLHKLPKPFPILKINSEKKDIDSFVAADFELIGYDPHQKIEMKMAV >Potri.009G119200.9.v4.1 pep chromosome:Pop_tri_v4:9:10000957:10006556:-1 gene:Potri.009G119200.v4.1 transcript:Potri.009G119200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119200.v4.1 MFGDSMANLSNGNANTQQPDPRRTYQVVVAATKDMGIGKDGKQPWKLPSDVKFFKDVTLTTSDLGKKNAVIMGRKTWESIPLEHRPFPGRLNVVLTRSGSFDIATAENVVICGSMGSALELLAASPYFLSIEKVFVIGGGQILRESLNAPGCDAIHITEIETDFDCDTFIPAIDTSVFQPWCSSFPKMENDIRYCFTTYVRVRSSAIESHSQNNGVSSDGNSENSKFEVKKFSFLPKMVFERHEEYLYLRLVQDIITDGNLKDGRAGTGTLSKFGCQMRFNLRKTFPFLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSVGLKDREEGDLGPFYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIRNNPNDRGIVLSAWNPTDLKLMVLPPCHMFVQFYVANGELSCQMYQRSADMGLGVPFSIASYALLTHIIAHVCDLVPGDFIHVIGDAHVYHTHIRPLQEQLHKLPKPFPILKINSEKKDIDSFVAADFELIGYDPHQKIEMKMAV >Potri.009G119200.10.v4.1 pep chromosome:Pop_tri_v4:9:10000875:10006552:-1 gene:Potri.009G119200.v4.1 transcript:Potri.009G119200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119200.v4.1 MFGDSMANLSNGNANTQQPDPRRTYQVVVAATKDMGIGKDGKQPWKLPSDVKFFKDVTLTTSDLGKKNAVIMGRKTWESIPLEHRPFPGRLNVVLTRSGSFDIATAENVVICGSMGSALELLAASPYFLSIEKVFVIGGGQILRESLNAPGCDAIHITEIETDFDCDTFIPAIDTSVFQPWCSSFPKMENDIRYCFTTYVRVRSSAIESHSQNNGVSSDGNSENSKFEVKKFSFLPKMVFERHEEYLYLRLVQDIITDGNLKDGRAGTGTLSKFGCQMRFNLRKTFPFLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSVGLKDREEGDLGPFYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIRNNPNDRGIVLSAWNPTDLKLMVLPPCHMFVQFYVANGELSCQMYQRSADMGLGVPFSIASYALLTHIIAHVCDLVPGDFIHVIGDAHVYHTHIRPLQEQLHKLPKPFPILKINSEKKDIDSFVAADFELIGYDPHQKIEMKMAV >Potri.009G119200.2.v4.1 pep chromosome:Pop_tri_v4:9:10000954:10006510:-1 gene:Potri.009G119200.v4.1 transcript:Potri.009G119200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119200.v4.1 MFGDSMANLSNGNANTQQPDPRRTYQVVVAATKDMGIGKDGKQPWKLPSDVKFFKDVTLTTSDLGKKNAVIMGRKTWESIPLEHRPFPGRLNVVLTRSGSFDIATAENVVICGSMGSALELLAASPYFLSIEKVFVIGGGQILRESLNAPGCDAIHITEIETDFDCDTFIPAIDTSVFQPWCSSFPKMENDIRYCFTTYVRVRSSAIESHSQNNGVSSDGNSENSKFEVKKFSFLPKMVFERHEEYLYLRLVQDIITDGNLKDGRAGTGTLSKFGCQMRFNLRKTFPFLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSVGLKDREEGDLGPFYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIRNNPNDRGIVLSAWNPTDLKLMVLPPCHMFVQFYVANGELSCQMYQRSADMGLGVPFSIASYALLTHIIAHVCDLVPGDFIHVIGDAHVYHTHIRPLQEQLHKLPKPFPILKINSEKKDIDSFVAADFELIGYDPHQKIEMKMAV >Potri.009G119200.8.v4.1 pep chromosome:Pop_tri_v4:9:10000958:10006509:-1 gene:Potri.009G119200.v4.1 transcript:Potri.009G119200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119200.v4.1 MFGDSMANLSNGNANTQQPDPRRTYQVVVAATKDMGIGKDGKQPWKLPSDVKFFKDVTLTTSDLGKKNAVIMGRKTWESIPLEHRPFPGRLNVVLTRSGSFDIATAENVVICGSMGSALELLAASPYFLSIEKVFVIGGGQILRESLNAPGCDAIHITEIETDFDCDTFIPAIDTSVFQPWCSSFPKMENDIRYCFTTYVRVRSSAIESHSQNNGVSSDGNSENSKFEVKKFSFLPKMVFERHEEYLYLRLVQDIITDGNLKDGRAGTGTLSKFGCQMRFNLRKTFPFLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSSVGLKDREEGDLGPFYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIRNNPNDRGIVLSAWNPTDLKLMVLPPCHMFVQFYVANGELSCQMYQRSADMGLGVPFSIASYALLTHIIAHVCDLVPGDFIHVIGDAHVYHTHIRPLQEQLHKLPKPFPILKINSEKKDIDSFVAADFELIGYDPHQKIEMKMAV >Potri.009G119200.6.v4.1 pep chromosome:Pop_tri_v4:9:10000856:10006615:-1 gene:Potri.009G119200.v4.1 transcript:Potri.009G119200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119200.v4.1 MFGDSMANLSNGNANTQQPDPRRTYQVVVAATKDMGIGKDGKQPWKLPSDVKFFKDVTLTTSDLGKKNAVIMGRKTWESIPLEHRPFPGRLNVVLTRSGSFDIATAENVVICGSMGSALELLAASPYFLSIEKVFVIGGGQILRESLNAPGCDAIHITEIETDFDCDTFIPAIDTSVFQPWCSSFPKMENDIRYCFTTYVRVRSSAIESHSQNNGVSSDGNSENSKFEVKKFSFLPKMVFERHEEYLYLRLVQDIITDGNLKDGRAGTGTLSKFGCQMRFNLRKTFPFLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSVGLKDREEGDLGPFYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIRNNPNDRGIVLSAWNPTDLKLMVLPPCHMFVQFYVANGELSCQMYQRSADMGLGVPFSIASYALLTHIIAHVCDLVPGDFIHVIGDAHVYHTHIRPLQEQLHKLPKPFPILKINSEKKDIDSFVAADFELIGYDPHQKIEMKMAV >Potri.009G119200.12.v4.1 pep chromosome:Pop_tri_v4:9:10000954:10006510:-1 gene:Potri.009G119200.v4.1 transcript:Potri.009G119200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119200.v4.1 MFGDSMANLSNGNANTQQPDPRRTYQVVVAATKDMGIGKDGKQPWKLPSDVKFFKDVTLTTSDLGKKNAVIMGRKTWESIPLEHRPFPGRLNVVLTRSGSFDIATAENVVICGSMGSALELLAASPYFLSIEKVFVIGGGQILRESLNAPGCDAIHITEIETDFDCDTFIPAIDTSVFQPWCSSFPKMENDIRYCFTTYVRVRSSAIESHSQNNGVSSDGNSENSKFEVKKFSFLPKMVFERHEEYLYLRLVQDIITDGNLKDGRAGTGTLSKFGCQMRFNLRKTFPFLTTKKVFWRGVVEELLWFISGSTNAKVLQEKGIHIWDGNASRDYLDSVGLKDREEGDLGPFYGFQWRHFGARYTDMHADYTGQGFDQLLDVIDKIRNNPNDRGIVLSAWNPTDLKLMVLPPCHMFVQFYVANGELSCQMYQRSADMGLGVPFSIASYALLTHIIAHVCDLVPGDFIHVIGDAHVYHTHIRPLQEQLHKLPKPFPILKINSEKKDIDSFVAADFELIGYDPHQKIEMKMAV >Potri.006G045400.4.v4.1 pep chromosome:Pop_tri_v4:6:3074468:3085365:1 gene:Potri.006G045400.v4.1 transcript:Potri.006G045400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045400.v4.1 MFPALIHTSTHLKGGIFGVPRFHKRESQFSIRTPCKFSFQPAYVDMYSLSRRRKILSQEKGFRYRNMASQNMEKLESNVAIIDYVQDVPLSTSYEVAMEALSSLIRQKKRGDRKGIGGKYGKLDRMRIYLKILDLEEHMAGLKIIHVAGTKGKGSTCTFCEAMLRESGFRTGLFTSPHLIDVRERFRINGVDISEDKFLSYFWNCWNRLKEHETEDLPMPPLFQFLTVLAFKIFVCEQVDVSIIEVGLGGRNDSTNVIEEPVVCGITSLGMDHTEALGNTIGQIASHKAGIFKHQIPAFTVPQVSEAMDVLQENAQELTVPLKVVEPLDSNELNGLKLSLSGNHQLSNAGLAVSLCKCWLQRTGNWEKLFQIDNKEANLPEAFLRGLSKAHIAGRAQIVPDLSSTSSSCISSEVAEASGDLIFYLDGAHSPESMEVCAEWFSSAVKENNLSSSLVSFSSHDIESINKVPGNGYMQHEKFNIQEINKISKKILLFNCMDVRDPQILLPRLVSTCASSGTFFSKAIFVPSISTYNKVTSGTSVVPSDISSKDLSWQFSLQRLWEKIVHGIDTDSLLEKSTKMDGAETLPRRQFLYEDASNCSPTDGYLACSAVIPSLPLTIKWLRHCVRENPSLRLQVLVTGSLHLVGDVLKLIRR >Potri.006G045400.5.v4.1 pep chromosome:Pop_tri_v4:6:3074465:3085363:1 gene:Potri.006G045400.v4.1 transcript:Potri.006G045400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045400.v4.1 MFPALIHTSTHLKGGIFGVPRFHKRESQFSIRTPCKFSFQPAYVDMYSLSRRRKILSQEKGFRYRNMASQNMEKLESNVAIIDYVQDVPLSTSYEVAMEALSSLIRQKKRGDRKGIGGKYGKLDRMRIYLKILDLEEHMAGLKIIHVAGTKGKGSTCTFCEAMLRESGFRTGLFTSPHLIDVRERFRINGVDISEDKFLSYFWNCWNRLKEHETEDLPMPPLFQFLTVLAFKIFVCEQVDVSIIEVGLGGRNDSTNVIEEPVVCGITSLGMDHTEALGNTIGQIASHKAGIFKHQIPAFTVPQVSEAMDVLQENAQELTVPLKVVEPLDSNELNGLKLSLSGNHQLSNAGLAVSLCKCWLQRTGNWEKLFQIDNKEANLPEAFLRGLSKAHIAGRAQIVPDLSSTSSSCISSEVAEASGDLIFYLDGAHSPESMEVCAEWFSSAVKENNLSSSLVSFSSHDIESINKVPGNGYMQHEKFNIQEINKISKKILLFNCMDVRDPQILLPRLVSTCASSGTFFSKAIFVPSISTYNKVTSGTSVVPSDISSKDLSWQFSLQRLWEKIVHGIDTDSLLEKSTKMDGAETLPRRQFLYEDASNCSPTDGYLACSAVIPSLPLTIKWLRHCVRENPSLRLQVLVTGSLHLVGDVLKLIRR >Potri.006G045400.1.v4.1 pep chromosome:Pop_tri_v4:6:3074426:3085366:1 gene:Potri.006G045400.v4.1 transcript:Potri.006G045400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045400.v4.1 MKSSATGTNRRYWSERQVLPAQLPKNGSLSHYFLGLMFPALIHTSTHLKGGIFGVPRFHKRESQFSIRTPCKFSFQPAYVDMYSLSRRRKILSQEKGFRYRNMASQNMEKLESNVAIIDYVQDVPLSTSYEVAMEALSSLIRQKKRGDRKGIGGKYGKLDRMRIYLKILDLEEHMAGLKIIHVAGTKGKGSTCTFCEAMLRESGFRTGLFTSPHLIDVRERFRINGVDISEDKFLSYFWNCWNRLKEHETEDLPMPPLFQFLTVLAFKIFVCEQVDVSIIEVGLGGRNDSTNVIEEPVVCGITSLGMDHTEALGNTIGQIASHKAGIFKHQIPAFTVPQVSEAMDVLQENAQELTVPLKVVEPLDSNELNGLKLSLSGNHQLSNAGLAVSLCKCWLQRTGNWEKLFQIDNKEANLPEAFLRGLSKAHIAGRAQIVPDLSSTSSSCISSEVAEASGDLIFYLDGAHSPESMEVCAEWFSSAVKENNLSSSLVSFSSHDIESINKVPGNGYMQHEKFNIQEINKISKKILLFNCMDVRDPQILLPRLVSTCASSGTFFSKAIFVPSISTYNKVTSGTSVVPSDISSKDLSWQFSLQRLWEKIVHGIDTDSLLEKSTKMDGAETLPRRQFLYEDASNCSPTDGYLACSAVIPSLPLTIKWLRHCVRENPSLRLQVLVTGSLHLVGDVLKLIRR >Potri.006G045400.6.v4.1 pep chromosome:Pop_tri_v4:6:3074470:3085365:1 gene:Potri.006G045400.v4.1 transcript:Potri.006G045400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045400.v4.1 MFPALIHTSTHLKGGIFGVPRFHKRESQFSIRTPCKFSFQPAYVDMYSLSRRRKILSQEKGFRYRNMASQNMEKLESNVAIIDYVQDVPLSTSYEVAMEALSSLIRQKKRGDRKGIGGKYGKLDRMRIYLKILDLEEHMAGLKIIHVAGTKGKGSTCTFCEAMLRESGFRTGLFTSPHLIDVRERFRINGVDISEDKFLSYFWNCWNRLKEHETEDLPMPPLFQFLTVLAFKIFVCEQVDVSIIEVGLGGRNDSTNVIEEPVVCGITSLGMDHTEALGNTIGQIASHKAGIFKHQIPAFTVPQVSEAMDVLQENAQELTVPLKVVEPLDSNELNGLKLSLSGNHQLSNAGLAVSLCKCWLQRTGNWEKLFQIDNKEANLPEAFLRGLSKAHIAGRAQIVPDLSSTSSSCISSEVAEASGDLIFYLDGAHSPESMEVCAEWFSSAVKENNLSSSLVSFSSHDIESINKVPGNGYMQHEKFNIQEINKISKKILLFNCMDVRDPQILLPRLVSTCASSVVPSDISSKDLSWQFSLQRLWEKIVHGIDTDSLLEKSTKMDGAETLPRRQFLYEDASNCSPTDGYLACSAVIPSLPLTIKWLRHCVRENPSLRLQVLVTGSLHLVGDVLKLIRR >Potri.006G045400.2.v4.1 pep chromosome:Pop_tri_v4:6:3074579:3085359:1 gene:Potri.006G045400.v4.1 transcript:Potri.006G045400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045400.v4.1 MFPALIHTSTHLKGGIFGVPRFHKRESQFSIRTPCKFSFQPAYVDMYSLSRRRKILSQEKGFRYRNMASQNMEKLESNVAIIDYVQDVPLSTSYEVAMEALSSLIRQKKRGDRKGIGGKYGKLDRMRIYLKILDLEEHMAGLKIIHVAGTKGKGSTCTFCEAMLRESGFRTGLFTSPHLIDVRERFRINGVDISEDKFLSYFWNCWNRLKEHETEDLPMPPLFQFLTVLAFKIFVCEQVDVSIIEVGLGGRNDSTNVIEEPVVCGITSLGMDHTEALGNTIGQIASHKAGIFKHQIPAFTVPQVSEAMDVLQENAQELTVPLKVVEPLDSNELNGLKLSLSGNHQLSNAGLAVSLCKCWLQRTGNWEKLFQIDNKEANLPEAFLRGLSKAHIAGRAQIVPDLSSTSSSCISSEVAEASGDLIFYLDGAHSPESMEVCAEWFSSAVKENNLSSSLVSFSSHDIESINKVPGNGYMQHEKFNIQEINKISKKILLFNCMDVRDPQILLPRLVSTCASSGTFFSKAIFVPSISTYNKVTSGTSVVPSDISSKDLSWQFSLQRLWEKIVHGIDTDSLLEKSTKMDGAETLPRRQFLYEDASNCSPTDGYLACSAVIPSLPLTIKWLRHCVRENPSLRLQVLVTGSLHLVGDVLKLIRR >Potri.001G208900.8.v4.1 pep chromosome:Pop_tri_v4:1:21363727:21378109:-1 gene:Potri.001G208900.v4.1 transcript:Potri.001G208900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208900.v4.1 MAKTKGSSKKQQKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIVLPEQSVASEKAGLAVSKKGLTLKELLQHTSHHNAKVRKDALMGMKDLFLNHPEELKLHRYAVIEKLRERMSDDEKTVRENLYQLLKLVILPGCKEDNQGPVISLMMAYIFNAMTHLAIDVRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFHLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVILNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMVISHEDLLCTDVNDSGLSDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCLCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDKKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQISLKPPLDNSCAMLRMLVALDSKPTRLSKQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSSINGRSFSLLSGDRICYAKGSSSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEIKVPIEERHIIKCAIDRLKTLTSSLYQ >Potri.001G208900.9.v4.1 pep chromosome:Pop_tri_v4:1:21363750:21378114:-1 gene:Potri.001G208900.v4.1 transcript:Potri.001G208900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208900.v4.1 MAKTKGSSKKQQKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIVLPEQSVASEKAGLAVSKKGLTLKELLQHTSHHNAKVRKDALMGMKDLFLNHPEELKLHRYAVIEKLRERMSDDEKTVRENLYQLLKLVILPGCKEDNQGPVISLMMAYIFNAMTHLAIDVRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFHLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVILNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMVISHEDLLCTDVNDSGLSDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCLCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDKKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQISLKPPLDNSCAMLRMLVALDSKPTRLSKQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSSINGRSFSLLSGDRICYAKGSSSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEIKVPIEERHIIKCAIDRLKTLTSSLYQ >Potri.001G208900.1.v4.1 pep chromosome:Pop_tri_v4:1:21363592:21378109:-1 gene:Potri.001G208900.v4.1 transcript:Potri.001G208900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208900.v4.1 MAKTKGSSKKQQKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIVLPEQSVASEKAGLAVSKKGLTLKELLQHTSHHNAKVRKDALMGMKDLFLNHPEELKLHRYAVIEKLRERMSDDEKTVRENLYQLLKLVILPGCKEDNQGPVISLMMAYIFNAMTHLAIDVRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFHLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVILNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMVISHEDLLCTDVNDSGLSDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCLCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDKKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQISLKPPLDNSCAMLRMLVALDSKPTRLSKQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSSINGRSFSLLSGDRICYAKGSSSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEIKVPIEERHIIKCAIDRLKTLTSSLYQ >Potri.001G208900.7.v4.1 pep chromosome:Pop_tri_v4:1:21363635:21378114:-1 gene:Potri.001G208900.v4.1 transcript:Potri.001G208900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208900.v4.1 MAKTKGSSKKQQKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIVLPEQSVASEKAGLAVSKKGLTLKELLQHTSHHNAKVRKDALMGMKDLFLNHPEELKLHRYAVIEKLRERMSDDEKTVRENLYQLLKLVILPGCKEDNQGPVISLMMAYIFNAMTHLAIDVRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFHLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVILNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMVISHEDLLCTDVNDSGLSDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCLCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDKKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQISLKPPLDNSCAMLRMLVALDSKPTRLSKQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSSINGRSFSLLSGDRICYAKGSSSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEIKVPIEERHIIKCAIDRLKTLTSSLYQ >Potri.001G208900.10.v4.1 pep chromosome:Pop_tri_v4:1:21364250:21378112:-1 gene:Potri.001G208900.v4.1 transcript:Potri.001G208900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208900.v4.1 MAKTKGSSKKQQKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIVLPEQSVASEKAGLAVSKKGLTLKELLQHTSHHNAKVRKDALMGMKDLFLNHPEELKLHRYAVIEKLRERMSDDEKTVRENLYQLLKLVILPGCKEDNQGPVISLMMAYIFNAMTHLAIDVRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFHLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVILNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMVISHEDLLCTDVNDSGLSDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCLCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDKKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQISLKPPLDNSCAMLRMLVALDSKPTRLSKQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSSINGRSFSLLSGDRICYAKGSSSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEIKVPIEERHIIKCAIDRLKTLTSSLYQ >Potri.001G208900.11.v4.1 pep chromosome:Pop_tri_v4:1:21364226:21378096:-1 gene:Potri.001G208900.v4.1 transcript:Potri.001G208900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208900.v4.1 MAKTKGSSKKQQKRGIDFKKIKRKIGRKLPPPKNTTNTEIKSKAIVLPEQSVASEKAGLAVSKKGLTLKELLQHTSHHNAKVRKDALMGMKDLFLNHPEELKLHRYAVIEKLRERMSDDEKTVRENLYQLLKLVILPGCKEDNQGPVISLMMAYIFNAMTHLAIDVRLMAFKFFDLAVEYHPPSFFSYAEKILQNYEDILRKNQFHLEDKVKLKNALAGLVRCLLLLPSSKEVNLPAKNIPEKKILQAFEPDVPTVFAEYSVIIKKLKDLVPVLVNCFQDFLPVLHDSLDAQSFDCMLNILRSIDLAVAFFIHGIQQGHPESPPLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYVILNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKSCSNVRSNKAVREKQISTLIPFIPKLVSRVIGNWKNRLLQAFTKTFQDCSPESSVKLACLAAIEEMVISHEDLLCTDVNDSGLSDYKITWIRELPMLLILLGDRHSSSSKVVLHLLLRLGQRSLLYDDMQGLLKEFYSTDQDKGNICYGPFLRLARDSQELSICCLYYFSQLDSTLLKSIASCCLCHELDPFMLFRIIEVLHSTYKAGHIQISDFISFLITLASRFKVFPENIFPATERDKKTSNRATFKSLISVVCSCLSQMGDNSLVFAILEKVILEQISLKPPLDNSCAMLRMLVALDSKPTRLSKQSICSLSNVLSAYLIDVAHCVPEDDDESMSSIHGQTRRYYLLPSFILFDRSHKLLNLVLNVMGSSINGRSFSLLSGDRICYAKGSSSIINAIVSVLLWMQREAKVQQILYLYKEEIDHISRSICSLQSLEEIKVPIEERHIIKCAIDRLKTLTSSLYQ >Potri.017G037100.1.v4.1 pep chromosome:Pop_tri_v4:17:2459892:2460753:-1 gene:Potri.017G037100.v4.1 transcript:Potri.017G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G037100.v4.1 PFAEANADDSGAGAKDYVHVRVQQRNGRKSLTTVQGLKKEYSYSKIQKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLIQSGIAKKENIKIHGF >Potri.010G136200.1.v4.1 pep chromosome:Pop_tri_v4:10:15126640:15129262:1 gene:Potri.010G136200.v4.1 transcript:Potri.010G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136200.v4.1 MSNSSNYYSSLLKLCCETRNQTQAKKLHCLIIKSLTNPETFLYNNLINAYSKLGNITYARHVFDKMPQPNSFSWNTMLSAYSKSGDLSTMQEIFSIMPNRDGVSWNSLISGYVCYGSVVEAVKTYNSMMKDGVLNLNRITFSTMLLLVSSQGCVDLGRQIHGQIVKFGFGAYVFVGSSLVDMYAKMGLVSVASQVFDEVQERNVVMYNTMITGLLRSGMVKDSKRLFHGMKERDSISWTTMITGLIQNGLEAEAMDLFRDMRQEGMAMDQYTFGSVLTACGGLRALKEGKEIHTLIIRSGYNHNVFVGSALVDMYCKCRSVRYAEAVFKRMANKNVVSWTAMLVGYGQNGFSEEAVRVFCDMQRNGIEPDDFTLGSVISSCANLASLEEGAQFHCQALVSGLISFITVSNALITLYGKCGSIEDSNQLFDEMSFRDEVSWTALVSGYAQFGKANETIDLFERMLVQGLKPDAVTFIAVLSACSRAGLVERGQQYFESMLKDHGIIPFSDHYTCMIDLFGRAGRLEEAKNFINKMPFSPDSIGWATLLSSCRLYGNEEIGKWAAESLLELDPQNPAGYILLSSIYAAKGKWSNVAQLRRGMREKGARKEPGFSWIKYKSKVYIFSADDQSSPFSDQIYAELEKLNHKMIEEGYVPDASSVLHDVEDSEKMKMLNHHSEKLAIAFGLLFIPHGLPIRVVKNLRVCGDCHNATKYISKISQREILVRDAVRFHLFKDGTCSCGDFW >Potri.010G136200.2.v4.1 pep chromosome:Pop_tri_v4:10:15126668:15132644:1 gene:Potri.010G136200.v4.1 transcript:Potri.010G136200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136200.v4.1 MSNSSNYYSSLLKLCCETRNQTQAKKLHCLIIKSLTNPETFLYNNLINAYSKLGNITYARHVFDKMPQPNSFSWNTMLSAYSKSGDLSTMQEIFSIMPNRDGVSWNSLISGYVCYGSVVEAVKTYNSMMKDGVLNLNRITFSTMLLLVSSQGCVDLGRQIHGQIVKFGFGAYVFVGSSLVDMYAKMGLVSVASQVFDEVQERNVVMYNTMITGLLRSGMVKDSKRLFHGMKERDSISWTTMITGLIQNGLEAEAMDLFRDMRQEGMAMDQYTFGSVLTACGGLRALKEGKEIHTLIIRSGYNHNVFVGSALVDMYCKCRSVRYAEAVFKRMANKNVVSWTAMLVGYGQNGFSEEAVRVFCDMQRNGIEPDDFTLGSVISSCANLASLEEGAQFHCQALVSGLISFITVSNALITLYGKCGSIEDSNQLFDEMSFRDEVSWTALVSGYAQFGKANETIDLFERMLVQGLKPDAVTFIAVLSACSRAGLVERGQQYFESMLKDHGIIPFSDHYTCMIDLFGRAGRLEEAKNFINKMPFSPDSIGWATLLSSCRLYGNEEIGKWAAESLLELDPQNPAGYILLSSIYAAKGKWSNVAQLRRGMREKGARKEPGFSWIKYKSKVYIFSADDQSSPFSDQIYAELEKLNHKMIEEGYVPDASSVLHDVEDSEKMKMLNHHSEKLAIAFGLLFIPHGLPIRVVKNLRVCGDCHNATKYISKISQREILVRDAVRFHLFKDGTCSCGDFW >Potri.005G072800.1.v4.1 pep chromosome:Pop_tri_v4:5:4834977:4837878:-1 gene:Potri.005G072800.v4.1 transcript:Potri.005G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX31 MSPPLPAFLLLISIAFTSASVVPLQPGFYAETCPEAEFIVKDVMRRNMIREPRSAASVMRFQFHDCFVNGCDASMLLDDTPNMLGEKLSLSNIDSLRSYEVVDEIKEELERVCPGTVSCADIIIMASRDAVVLSGGPDWEVKLGREDSLTASQEDANNIMPSPRANASLLMDLFEGYNLSVKDMVALSGSHSIGQARCFSIVFRLYNQSGSGKPDPTIEPRYKEKLNRLCPLGGDENVTGDLDATPTMFDNRYFKDLAAGRGFLNSDQTLYTFPETRKYVALFSKDQRTFFNAFVEGMIKMGDLQSGRPGEIRSNCRMVNSRPVNALLES >Potri.005G072800.2.v4.1 pep chromosome:Pop_tri_v4:5:4835684:4837853:-1 gene:Potri.005G072800.v4.1 transcript:Potri.005G072800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX31 MLLDDTPNMLGEKLSLSNIDSLRSYEVVDEIKEELERVCPGTVSCADIIIMASRDAVVLSGGPDWEVKLGREDSLTASQEDANNIMPSPRANASLLMDLFEGYNLSVKDMVALSGSHSIGQARCFSIVFRLYNQSGSGKPDPTIEPRYKEKLNRLCPLGGDENVTGDLDATPTMFDNRYFKDLAAGRGFLNSDQTLYTFPETRKYVALFSKDQRTFFNAFVEGMIKMGDLQSGRPGEIRSNCRMVNSRPVNALLES >Potri.003G217500.1.v4.1 pep chromosome:Pop_tri_v4:3:21267720:21268353:1 gene:Potri.003G217500.v4.1 transcript:Potri.003G217500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217500.v4.1 MEDHKEVAKHDSLDIDDKKTEERRETRLQNRLIMEDYREDINEKADAFIKNFRDQLKIQREDSLERFH >Potri.006G046000.1.v4.1 pep chromosome:Pop_tri_v4:6:3130616:3134303:1 gene:Potri.006G046000.v4.1 transcript:Potri.006G046000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046000.v4.1 MAKKRCWFGWVRRLFVSEQKTKAEKKSKRWKWVLGGLKVKQCPALPAPERSVSEATETQKKYALTVALATAAAAEAAVAAAHAAAEVVRLTGASQSSHHFTKGVETLAAIKIQSAFRAYLARKALRALKGLVKLQAIVRGRAVRRQAVIKLKHLPSKAKMLSEVQSKDIATADGFCRNSDNKQVVKSKKEVREKENKGKNHKKDAQPEHMLEFNSQRSWDYSMLSKEDVEALWLKKQEANIKRERMMKYSFSHRERGNSLLEELLLAKESGRQSHQMERWSNKEAFNREKMENLKSTSISNLFTGDVFSPAQVKTRSTQKQDFIEGLNTPMSVPRRSFGRAQPILAGDGNSLPNSPVFPTYMAATQSAKLKARSMSTPKQRVGFQDSCFDQNLPYKNALSLWSTYNGDPFGIRRKSTGASQNLSLSINGHY >Potri.017G014500.6.v4.1 pep chromosome:Pop_tri_v4:17:1000757:1004392:-1 gene:Potri.017G014500.v4.1 transcript:Potri.017G014500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014500.v4.1 MASFAAARSVIRSSAARNAAARFASQSKSKPKASPFGLNSTTSKPILRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCSCGWLLEGL >Potri.017G014500.12.v4.1 pep chromosome:Pop_tri_v4:17:1003505:1004260:-1 gene:Potri.017G014500.v4.1 transcript:Potri.017G014500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014500.v4.1 MASFAAARSVIRSSAARNAAARFASQSKSKPKASPFGLNSTTSKPILRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCSCGWLLEGI >Potri.017G014500.2.v4.1 pep chromosome:Pop_tri_v4:17:1002241:1004260:-1 gene:Potri.017G014500.v4.1 transcript:Potri.017G014500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014500.v4.1 MASFAAARSVIRSSAARNAAARFASQSKSKPKASPFGLNSTTSKPILRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCSCGWLLEACNDDV >Potri.017G014500.10.v4.1 pep chromosome:Pop_tri_v4:17:1001274:1004339:-1 gene:Potri.017G014500.v4.1 transcript:Potri.017G014500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014500.v4.1 MASFAAARSVIRSSAARNAAARFASQSKSKPKASPFGLNSTTSKPILRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCSCGWLLEACNDDV >Potri.017G014500.7.v4.1 pep chromosome:Pop_tri_v4:17:1000757:1004392:-1 gene:Potri.017G014500.v4.1 transcript:Potri.017G014500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014500.v4.1 MASFAAARSVIRSSAARNAAARFASQSKSKPKASPFGLNSTTSKPILRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCSCGWLLEGL >Potri.017G014500.11.v4.1 pep chromosome:Pop_tri_v4:17:1002289:1004392:-1 gene:Potri.017G014500.v4.1 transcript:Potri.017G014500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014500.v4.1 MASFAAARSVIRSSAARNAAARFASQSKSKPKASPFGLNSTTSKPILRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCSCGWLLEGI >Potri.017G014500.4.v4.1 pep chromosome:Pop_tri_v4:17:1003241:1004260:-1 gene:Potri.017G014500.v4.1 transcript:Potri.017G014500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014500.v4.1 MASFAAARSVIRSSAARNAAARFASQSKSKPKASPFGLNSTTSKPILRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCSCGWLLEGRVKTR >Potri.017G014500.5.v4.1 pep chromosome:Pop_tri_v4:17:1002425:1004345:-1 gene:Potri.017G014500.v4.1 transcript:Potri.017G014500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014500.v4.1 MASFAAARSVIRSSAARNAAARFASQSKSKPKASPFGLNSTTSKPILRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCSCGWLLEGA >Potri.012G070801.5.v4.1 pep chromosome:Pop_tri_v4:12:9319972:9321467:1 gene:Potri.012G070801.v4.1 transcript:Potri.012G070801.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070801.v4.1 MPLSLCFSPLPLHLCFLSFSQWSSCGWNPVNVVCFSVFYSLWQTPYALRFRMLFVALFVTLSLQSLCYRHACMPVICFRQGDVFHMEVDYAGLALQLNGDSVLLWLQNTFSVPSPSSLDEPAIPAQCQRLSPSAHTRIILRHVFSP >Potri.012G070801.1.v4.1 pep chromosome:Pop_tri_v4:12:9319972:9330366:1 gene:Potri.012G070801.v4.1 transcript:Potri.012G070801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070801.v4.1 MPLSLCFSPLPLHLCFLSFSQWSSCGWNPVNVVCFSVFYSLWQTPYALRFRMLFVALFVTLSLQSLCYRHACMPVICFRQGDVFHMEVDYAGLALQLNGDSVLLWLQNTFRWLASSRFELKALIAQ >Potri.012G070801.4.v4.1 pep chromosome:Pop_tri_v4:12:9319972:9330367:1 gene:Potri.012G070801.v4.1 transcript:Potri.012G070801.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070801.v4.1 MPLSLCFSPLPLHLCFLSFSQWSSCGWNPVNVVCFSVFYSLWQTPYALRFRMLFVALFVTLSLQSLCYRSGSAIEWGLCAFMVAKHLQMASIIQI >Potri.012G070801.2.v4.1 pep chromosome:Pop_tri_v4:12:9319972:9321555:1 gene:Potri.012G070801.v4.1 transcript:Potri.012G070801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070801.v4.1 MPLSLCFSPLPLHLCFLSFSQWSSCGWNPVNVVCFSVFYSLWQTPYALRFRMLFVALFVTLSLQSLCYRHACMPVICFRQGDVFHMEVDYAGLALQLNGDSVLLWLQNTFRSVLFKTQ >Potri.012G070801.6.v4.1 pep chromosome:Pop_tri_v4:12:9319972:9321555:1 gene:Potri.012G070801.v4.1 transcript:Potri.012G070801.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070801.v4.1 MPLSLCFSPLPLHLCFLSFSQWSSCGWNPVNVVCFSVFYSLWQTPYALRFRMLFVALFVTLSLQSLCYRSGSAIEWGLCAFMVAKHLQCAITIKFG >Potri.004G006100.2.v4.1 pep chromosome:Pop_tri_v4:4:378423:383857:1 gene:Potri.004G006100.v4.1 transcript:Potri.004G006100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006100.v4.1 MATLEDIGVSAAINLLSALIFLFLFAILRLQPFNDRVYFPKWYLKGLRNSPSRSRALVSRFVNLDCRSYIQFLNWMPQALKMPEPELIDHAGLDSAVYLRIYLMGLKIFVPITILAWVVLVPVNYTNNALEAEKMAANVTASDIDKLSISNVPLKSQRFWAHIVMAYAFTFWTCYVLLKEYEKVASMRLQFLSSERRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPDHYLTQQVVCNANNLASLVKKNEGMQNWLDYYRFKYSRNRSQRPQTKTGFLGLWGAKVDAIDYYISEIEKLSKEITEEREKVLNDPNCIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWPNLAIPYVSLSVRRLIIGVSFFFLAFFFMIPIAFVQSLASIEGIEKSLPFLKPVIEVEFIKSVVQGFLPGIALKLFLILLPTLLMMMSKFEGLTSLSSLERRSAMRYYIFIIINVFLGSILTGAAFEQLDSFIKQSASEIPKTIGVAIPMKATFFITYIMVDGWAGIAGEVLMLKPLIIYHLKNFFLVKTEKDRKEAMDAGSLGFNTGEPRIQLYFLLGLVYAPVTPILLPFIVMFFGFAYVVYRHQIINVYNQEYESGAAFWPAVHGRVITALVIAQLLMMGLLSTKQASSTTPFLIALPVLTIWFHVFCNGRYKSAFVKYPLQEAMMKDSLERASSPNFNFRSYLEKAYVHPVFKGDGNDDDYEQYLSENQEADAENVLVPTRRHSRRNSPAVSRAASPALSEEVQSVEHRV >Potri.004G006100.3.v4.1 pep chromosome:Pop_tri_v4:4:378423:383857:1 gene:Potri.004G006100.v4.1 transcript:Potri.004G006100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006100.v4.1 MATLEDIGVSAAINLLSALIFLFLFAILRLQPFNDRVYFPKWYLKGLRNSPSRSRALVSRFVNLDCRSYIQFLNWMPQALKMPEPELIDHAGLDSAVYLRIYLMGLKIFVPITILAWVVLVPVNYTNNALEAEKMAANVTASDIDKLSISNVPLKSQRFWAHIVMAYAFTFWTCYVLLKEYEKVASMRLQFLSSERRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPDHYLTQQVVCNANNLASLVKKNEGMQNWLDYYRFKYSRNRSQRPQTKTGFLGLWGAKVDAIDYYISEIEKLSKEITEEREKVLNDPNCIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWPNLAIPYVSLSVRRLIIGVSFFFLAFFFMIPIAFVQSLASIEGIEKSLPFLKPVIEVEFIKSVVQGFLPGIALKLFLILLPTLLMMMSKFEGLTSLSSLERRSAMRYYIFIIINVFLGSILTGAAFEQLDSFIKQSASEIPKTIGVAIPMKATFFITYIMVDGWAGIAGEVLMLKPLIIYHLKNFFLVKTEKDRKEAMDAGSLGFNTGEPRIQLYFLLGLVYAPVTPILLPFIVMFFGFAYVVYRHQIINVYNQEYESGAAFWPAVHGRVITALVIAQLLMMGLLSTKQASSTTPFLIALPVLTIWFHVFCNGRYKSAFVKYPLQEAMMKDSLERASSPNFNFRSYLEKAYVHPVFKGDGNDDDYEQYLSENQEADAENVLVPTRRHSRRNSPAVSRAASPALSEEVQSVEHRV >Potri.004G006100.4.v4.1 pep chromosome:Pop_tri_v4:4:379581:383857:1 gene:Potri.004G006100.v4.1 transcript:Potri.004G006100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006100.v4.1 MAANVTASDIDKLSISNVPLKSQRFWAHIVMAYAFTFWTCYVLLKEYEKVASMRLQFLSSERRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPDHYLTQQVVCNANNLASLVKKNEGMQNWLDYYRFKYSRNRSQRPQTKTGFLGLWGAKVDAIDYYISEIEKLSKEITEEREKVLNDPNCIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWPNLAIPYVSLSVRRLIIGVSFFFLAFFFMIPIAFVQSLASIEGIEKSLPFLKPVIEVEFIKSVVQGFLPGIALKLFLILLPTLLMMMSKFEGLTSLSSLERRSAMRYYIFIIINVFLGSILTGAAFEQLDSFIKQSASEIPKTIGVAIPMKATFFITYIMVDGWAGIAGEVLMLKPLIIYHLKNFFLVKTEKDRKEAMDAGSLGFNTGEPRIQLYFLLGLVYAPVTPILLPFIVMFFGFAYVVYRHQIINVYNQEYESGAAFWPAVHGRVITALVIAQLLMMGLLSTKQASSTTPFLIALPVLTIWFHVFCNGRYKSAFVKYPLQEAMMKDSLERASSPNFNFRSYLEKAYVHPVFKGDGNDDDYEQYLSENQEADAENVLVPTRRHSRRNSPAVSRAASPALSEEVQSVEHRV >Potri.014G184300.2.v4.1 pep chromosome:Pop_tri_v4:14:14825636:14828046:-1 gene:Potri.014G184300.v4.1 transcript:Potri.014G184300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184300.v4.1 MVVLPYTVAFLLLSFLQTVKITNSQSFIGINYGQVADNLPPPSSTAKLLQSTSIQKVRLNGSDTAIIKALAKTGIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNGVMTSNDQNLMNRLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKALLEFSRANGSPFAINPYPYFAYRSDTRPETLAFCLFQPNVGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDFGLSTSSQTQSLAKAAQPSPSPAPAVTATNTITSNNGSNTSTSTGTGTGTGTSTSSSTNNISISSGSGSNKVYLIRIFNLGFLYGFMRLSLICLFFYNLQT >Potri.014G184300.3.v4.1 pep chromosome:Pop_tri_v4:14:14825653:14827944:-1 gene:Potri.014G184300.v4.1 transcript:Potri.014G184300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184300.v4.1 MVVLPYTVAFLLLSFLQTVKITNSQSFIGINYGQVADNLPPPSSTAKLLQSTSIQKVRLNGSDTAIIKALAKTGIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNGVMTSNDQNLMNRLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKALLEFSRANGSPFAINPYPYFAYRSDTRPETLAFCLFQPNVGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDFGLSTSSQVRTKFTCISLVFRVFNSQVFCYS >Potri.014G184300.1.v4.1 pep chromosome:Pop_tri_v4:14:14825636:14828027:-1 gene:Potri.014G184300.v4.1 transcript:Potri.014G184300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184300.v4.1 MVVLPYTVAFLLLSFLQTVKITNSQSFIGINYGQVADNLPPPSSTAKLLQSTSIQKVRLNGSDTAIIKALAKTGIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNGVMTSNDQNLMNRLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKALLEFSRANGSPFAINPYPYFAYRSDTRPETLAFCLFQPNVGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDFGLSTSSQESSFLRK >Potri.007G087950.2.v4.1 pep chromosome:Pop_tri_v4:7:11276432:11278329:-1 gene:Potri.007G087950.v4.1 transcript:Potri.007G087950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087950.v4.1 MESWFLILVSISVSLFLKTIFNNFLTSKNLPPGPLSFPFIGHLLWLRMSAFKIEPILRSLHAKFGPMVTLRIGTRPAIFVADRTLAHEALIHGGAVFADRPPAVATRKFLTSNQHNISSSFYGPTWRLLRRNLTAEILHPSRVKSYTHARNWVLQILQNRFESQAKAGRPICVMEHFQYAMFCLLVLMCFGDKHDENQIKKIMGVQRQMIVNFSKFNILNFWPGVTKIVLRNRWRELFSLRRCQEDVLIPLIRARKKAKEERVNKSKEDKKDYEDEYVLCYVDTILALELPEEKRKLNEEEMVSLCSEFLNGGTDTTSTALQWIMANLVKYPQIQEKLFMEIKGVVQDGEENIKEEELQKMPYLKAIILEGLRRHPPGHFVLPHAVTEDAVLGKYVVPKDGTINFMVAEMGWNPKVWEDPMAFKPERFLSSGGETFDITGSREIKMMPFGAGRRICPAYGLAILHLEYFVANLIWRFEWKAVDGDDVDLSEKEEFTVVMKNPLQAQICPRVK >Potri.013G042900.1.v4.1 pep chromosome:Pop_tri_v4:13:2969478:2972209:1 gene:Potri.013G042900.v4.1 transcript:Potri.013G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042900.v4.1 MTMETLAVAPTPTPTPTPTPTPTPTTETVNNDTAIALAQQKKNRIQVSNTKKPLFFYVNLAKRYMQQYNEVELSALGMAITTVVTIAEILKNNGLAIEKKVLTSTVGMKDENKGRQIQKAKIEIVLEKSEKFDSLMNAVSSAPEEEAAKDNKDDEKQ >Potri.007G126600.2.v4.1 pep chromosome:Pop_tri_v4:7:14198826:14201938:1 gene:Potri.007G126600.v4.1 transcript:Potri.007G126600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126600.v4.1 MLAFYTMHFTNSISLVFLGFAILALYSYPSLLPSRKMAEESPKSIYDFTVKDIHGNDTSLSEYSGKVLLIVNVASKCGLTHSNYKELNVLYEKYKNQGFEILAFPCNQFAGQEPGSNEEIQDTVCTIFKAEFPIFDKIDVNGKNTAPVYKFLKSEKGGYFGDAIKWNFTKFLVNKEGKVVERYAPTTSPLKIEKDIQNLLGSS >Potri.002G075800.2.v4.1 pep chromosome:Pop_tri_v4:2:5292545:5297880:1 gene:Potri.002G075800.v4.1 transcript:Potri.002G075800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075800.v4.1 MPMGKYSRVDGRKSSNYCSTTTVVVFVALCLVGAWMFISSSVPVQNSDPSSQENVKRVAGENISKHFEDIPGDLPEDATKEDGNAVDSQSASQSDVHDDPKVTEKESESTVEDNKDENRDEKAESKNVVEENQDGKTVSEEERKMETENNEDGKTEDRELNSSDKESNSEAGETQAQGNEANESDQTESEESSGENKSKSDDGEKNPDSGENANENNQEGAIENNVDSQENDQTSIEILPAGTQSELLNETNTRNGAWSTQVVESQNEKISQQSSIAKDQYGHGWKLCNVTAGPAYVPCLDNWYVIRRLPSTKHYEHRERHCPQEAPTCLVPIPEGYRRSVKWPKSREKIWFYNVPNTKLAEVKGHQNWVKVAGEYLTFPGGGTQFKHGALHYIDFIQDSHPDIAWGKRSRVILDVGCGVASFGGYLLEKDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPNSVFDLVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYRKRPEDVGIWKAMSKLTKSMCWDLVVIKTDTLNGVGAAIYRKPTSNDCYNNRPQNEPPLCKESDDPNAAWNVLLEACMHKVPVDASVRGSHWPEQWPKRLEKPPYWLNSQVGVYGKAAAEDFAADYKHWKNVVSQSYLNGIGINWSSVRNIMDMRAVYGGFAAALKDLKVWVMNIVPIDSADTLPMIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLKKRCNLVAVIAEVDRILRPEGKLIVRDNVEIIGEIESLAKSLKWEIRMIYSKDNEGLLCVQKTTWRPTESETITSAIIQA >Potri.002G075800.3.v4.1 pep chromosome:Pop_tri_v4:2:5290782:5297873:1 gene:Potri.002G075800.v4.1 transcript:Potri.002G075800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075800.v4.1 MPMGKYSRVDGRKSSNYCSTTTVVVFVALCLVGAWMFISSSVPVQNSDPSSQENVKRVAGENISKHFEDIPGDLPEDATKEDGNAVDSQSASQSDVHDDPKVTEKESESTVEDNKDENRDEKAESKNVVEENQDGKTVSEEERKMETENNEDGKTEDRELNSSDKESNSEAGETQAQGNEANESDQTESEESSGENKSKSDDGEKNPDSGENANENNQEGAIENNVDSQENDQTSIEILPAGTQSELLNETNTRNGAWSTQVVESQNEKISQQSSIAKDQYGHGWKLCNVTAGPAYVPCLDNWYVIRRLPSTKHYEHRERHCPQEAPTCLVPIPEGYRRSVKWPKSREKIWFYNVPNTKLAEVKGHQNWVKVAGEYLTFPGGGTQFKHGALHYIDFIQDSHPDIAWGKRSRVILDVGCGVASFGGYLLEKDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPNSVFDLVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYRKRPEDVGIWKAMSKLTKSMCWDLVVIKTDTLNGVGAAIYRKPTSNDCYNNRPQNEPPLCKESDDPNAAWNVLLEACMHKVPVDASVRGSHWPEQWPKRLEKPPYWLNSQVGVYGKAAAEDFAADYKHWKNVVSQSYLNGIGINWSSVRNIMDMRAVYGGFAAALKDLKVWVMNIVPIDSADTLPMIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLKKRCNLVAVIAEVDRILRPEGKLIVRDNVEIIGEIESLAKSLKWEIRMIYSKDNEGLLCVQKTTWRPTESETITSAIIQA >Potri.002G075800.1.v4.1 pep chromosome:Pop_tri_v4:2:5290937:5297868:1 gene:Potri.002G075800.v4.1 transcript:Potri.002G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075800.v4.1 MPMGKYSRVDGRKSSNYCSTTTVVVFVALCLVGAWMFISSSVPVQNSDPSSQENVKRVAGENISKHFEDIPGDLPEDATKEDGNAVDSQSASQSDVHDDPKVTEKESESTVEDNKDENRDEKAESKNVVEENQDGKTVSEEERKMETENNEDGKTEDRELNSSDKESNSEAGETQAQGNEANESDQTESEESSGENKSKSDDGEKNPDSGENANENNQEGAIENNVDSQENDQTSIEILPAGTQSELLNETNTRNGAWSTQVVESQNEKISQQSSIAKDQYGHGWKLCNVTAGPAYVPCLDNWYVIRRLPSTKHYEHRERHCPQEAPTCLVPIPEGYRRSVKWPKSREKIWFYNVPNTKLAEVKGHQNWVKVAGEYLTFPGGGTQFKHGALHYIDFIQDSHPDIAWGKRSRVILDVGCGVASFGGYLLEKDVLAMSFAPKDEHEAQVQFALERGIPAMLAVMGTKRLPFPNSVFDLVHCARCRVPWHIEGGKLLLELNRVLRPGGYFVWSATPVYRKRPEDVGIWKAMSKLTKSMCWDLVVIKTDTLNGVGAAIYRKPTSNDCYNNRPQNEPPLCKESDDPNAAWNVLLEACMHKVPVDASVRGSHWPEQWPKRLEKPPYWLNSQVGVYGKAAAEDFAADYKHWKNVVSQSYLNGIGINWSSVRNIMDMRAVYGGFAAALKDLKVWVMNIVPIDSADTLPMIYERGLFGMYHDWCESFNTYPRTYDLLHADHLFSSLKKRCNLVAVIAEVDRILRPEGKLIVRDNVEIIGEIESLAKSLKWEIRMIYSKDNEGLLCVQKTTWRPTESETITSAIIQA >Potri.015G087700.1.v4.1 pep chromosome:Pop_tri_v4:15:11125946:11129724:-1 gene:Potri.015G087700.v4.1 transcript:Potri.015G087700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087700.v4.1 MEEFNSTAFPTISGDLMSDHFQFSDQDSLPKPDVEILTSNGLRIPAHTGILALVSPVLENVIERPPKHRSSEKTIPIHGVPCEAVSAFIQFLYSSRCSEEELEKYGIHLLALSHVYLVPQLKHRCSKAVGQRLTIENVVDVLQLARLCDSPDLYLKCMRMLSSNFKAVEKTEGWKFMHENDPFLELEILQFIDEAESRKMRARRHREEQRLYMELSEAMECLEHICTEGCTTVGPCDLEPSKKRDPCDKFSICEGLQLLIKHFAICKNRVNGKCSRCKRMWQLLRLHSSICDQTDSCRVPLCRQFKLKMQLEKKGVETLWRLLVKKVASARAMSSLSLPKRKREEPRETMHDHGIRNFRL >Potri.015G087700.4.v4.1 pep chromosome:Pop_tri_v4:15:11125958:11128895:-1 gene:Potri.015G087700.v4.1 transcript:Potri.015G087700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087700.v4.1 MRMLSSNFKAVEKTEGWKFMHENDPFLELEILQFIDEAESRKMRARRHREEQRLYMELSEAMECLEHICTEGCTTVGPCDLEPSKKRDPCDKFSICEGLQLLIKHFAICKNRVNGKCSRCKRMWQLLRLHSSICDQTDSCRVPLCRQFKLKMQLEKKGVETLWRLLVKKVASARAMSSLSLPKRKREEPRETMHDHGIRNFRL >Potri.015G087700.3.v4.1 pep chromosome:Pop_tri_v4:15:11126237:11129723:-1 gene:Potri.015G087700.v4.1 transcript:Potri.015G087700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087700.v4.1 MEEFNSTAFPTISGDLMSDHFQFSDQDSLPKPDVEILTSNGLRIPAHTGILALVSPVLENVIERPPKHRSSEKTIPIHGVPCEAVSAFIQFLYSSRCSEEELEKYGIHLLALSHVYLVPQLKHRCSKAVGQRLTIENVVDVLQLARLCDSPDLYLKCMRMLSSNFKAVEKTEGWKFMHENDPFLELEILQFIDEAESRKMRARRHREEQRLYMELSEAMECLEHICTEGCTTVGPCDLEPSKKRDPCDKFSICEGLQLLIKHFAICKNRVNGKCSRCKRMWQLLRLHSSICDQTDSCRVPLCR >Potri.004G091700.1.v4.1 pep chromosome:Pop_tri_v4:4:7795735:7797602:-1 gene:Potri.004G091700.v4.1 transcript:Potri.004G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091700.v4.1 MLRNGHDQLLKVETHYLKVHINCEGCKQKVRKLLNKIDGVYSVNIKTENQLVIVSGRVDSATLIKKLVKSGKRAELWSLRTKNKRNQEQLNANQLQFLANDFSDPQNQFMYPASFDNETGNTRSYGDFFNQNVELKAMNVGRGQDLMAATRMGNFYMDDDNFAGSGRSGDDFAYMMGHADYQGSGTGFAGEGGHEFNGIPTYEQTYRPSMIMSNKQQRYHYNHPATEMHNIYMQEPHTGNNMMTNDNFMYQHYMIDHASSTSPPYTDYHLFHAMSYPCY >Potri.014G177400.1.v4.1 pep chromosome:Pop_tri_v4:14:13399227:13402823:-1 gene:Potri.014G177400.v4.1 transcript:Potri.014G177400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177400.v4.1 MVAVSPLLQRRLLSTSVTRTHHKPQQQWSVKQVTKSNFADTLEDIKSHVSNSDFVAVSLQNTGFFSAPWQRVSPFDTADTAYLKAKYAAERFQVLHFAVCPFTVRASKVTAYPYNFHLFPRAELKMEMPSYSFYCQTSSLISMARQGFDFNSCINDGISYLSREQESAAKIQMGNPILAKNVTESTSTLSVADSVFVERIKSHIKNWKKACKETSTRKEGNQIQDALVRSLRKLVLGNEEYDSRPCMNIDVCSERQAQLVVEMLQEFADDVVPLIIPAKGGAMQAVRVVLTSSKEDKDLLQGKLQNDEQELKKKVRGFREVIDLISASQKPVVSHGSLNDLTVIHSKFIAPLPPTVDEFMCSLRLAFPLVIDVNHLMKEISPLRKVTSIPVAISQLKNRFFTPIDMEIPCQAMENEDTIHGQNVVKICELFARLCSILKIDPAAVKSDEEKGASALEAYANIFSPFCTASEEPIDGEIKIWTNNTRTVSCEDMVFLWGFGDRVTAGILKSLLQESHEAFSKEFDVRLVDNSCAIVIFWQHGLTETFLNTMNKCSDMRGPLREMVSEGLRAAGYETYNRACRLGLWESSLADSLDRALADSDCASEADSKTKCSDRCNEWIINLDDL >Potri.019G029000.1.v4.1 pep chromosome:Pop_tri_v4:19:4091127:4093009:1 gene:Potri.019G029000.v4.1 transcript:Potri.019G029000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029000.v4.1 MDMEGIRQAAVAYYEHLPEEKKKSAEDTFKAMDKNGDGKISLREYLGYLASSNNAVFTHPNIFSALDKDNNGNLDFEETKVLYYILFSGRALLCKCCGTFLADVYFSCFQCFCLDESASTYDLCCDCFGGKKFRHLDGHIFWDNYTLLSKSRSLALKAPEQKRREVLEKIKTIVEVTGLVVGVAAIASSCGCSIM >Potri.018G023100.2.v4.1 pep chromosome:Pop_tri_v4:18:1700862:1704358:-1 gene:Potri.018G023100.v4.1 transcript:Potri.018G023100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023100.v4.1 MGCGNFFFTVLITLSVALITYNILISANAPLKQDLPGPSSRSTLLVDPVIKMPLERSRRSSFGKKRLFHTAVTASDSVYNTWQCRVMYYWYKKHKDGPNSEMGGFTRILHSGKPDKFMEEIPTFIAQPLPAGMDQGYIVLNRPWAFVQWLQKTDIKEDYILMAEPDHIIVKPIPNLSKDGLGAAFPFFYIEPKKYESVLRKYFPEDKGPITNIDPIGNSPVIVGKESLKKIAPTWMNVSLAMKKDPETDKAFGWVLEMYGYAVSSALHGVGNILYKDFMIQPPWDTEVGKKFIIHYTYGCDYDMKGKLTYGKIGEWRFDKRSYDTVIPPRNLPLPPPGVPESVVTLVKMVNEATANIPNWGS >Potri.008G125100.2.v4.1 pep chromosome:Pop_tri_v4:8:8117548:8131786:1 gene:Potri.008G125100.v4.1 transcript:Potri.008G125100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125100.v4.1 MGGGTTDLNEDTAGVYLPLRNDDGIVNDSGDSRLKQLGYKQELSRTLSLIANFSVTFSIVSVLTGLTTMYSSGLTYGGPVTMVYGWPVVGMLTLTVGMSMAEICSAYPTSGGLYFWSARLCGKDWGPLASWLTGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAMHGGILLLHAALNSLPISLLSFFGQLAAAWNLVGVVVLTILIPLVATERASAKFVFTHFNTDNGDGINSKAYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISVIFGWFYILGITFAVTNISYLLSEDNDAGGYAIAEIFYLAFKRRYGSGVGGIICLGVVAVAIFFCGMSSVTSNSRMAYAFSRDGAMPLSSLWHKVNNQEVPINAVWLSVVISFCMALTYLGSEVAFQAMVSIATIGLYIAYALPIFFRVTLARKSFIPGPFNLGRYGVLVGWIAVLWVATISILFSLPVTYPITNETLNYTPVAVGGLLILTISSWILSARHWFRGPVTNVES >Potri.008G125100.1.v4.1 pep chromosome:Pop_tri_v4:8:8127587:8131783:1 gene:Potri.008G125100.v4.1 transcript:Potri.008G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125100.v4.1 MGLPTHTAQNGGGFLDTGTARLKELGYKQELKRDLSVFSNFAFSFSIISVLTGITTLYNTGLNLGGPVSLQYGWFIAGGFTMIVGLAMAEICSSYPTSGGLYYWSAKLAGPNWAPFASWITGWFNIVGQWAVTTSVDFSLAQLIQVIILLSTGGKNGGGYEASKYVVIAMHGGILLLHAALNSLPISLLSFFGQLAAAWNLVGVVVLTILIPLVATERASAKFVFTHFNTDNGDGINSKAYIFVLGLLMSQYTLTGYDASAHMTEETKNADKNGPKGIISAIGISVIFGWFYILGITFAVTNISYLLSEDNDAGGYAIAEIFYLAFKRRYGSGVGGIICLGVVAVAIFFCGMSSVTSNSRMAYAFSRDGAMPLSSLWHKVNNQEVPINAVWLSVVISFCMALTYLGSEVAFQAMVSIATIGLYIAYALPIFFRVTLARKSFIPGPFNLGRYGVLVGWIAVLWVATISILFSLPVTYPITNETLNYTPVAVGGLLILTISSWILSARHWFRGPVTNVES >Potri.010G245801.1.v4.1 pep chromosome:Pop_tri_v4:10:22096416:22096943:-1 gene:Potri.010G245801.v4.1 transcript:Potri.010G245801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245801.v4.1 MLDLVLSVSILIVFVAVVFSLAVIPICVHWYREKDQHLRDLERGEVTQSPQFEDQHPHDLERVEEARRRQLATISLLILHLTRVQSDDERIVTSRNNGCVICLEDFQEGEDCQAMSLCKHVFHSGCLKEWLVQNQTCPLCRLPVLLEVFLYICRVRFIIRWVLVFSLVNQHESFM >Potri.017G056800.1.v4.1 pep chromosome:Pop_tri_v4:17:4465469:4470334:-1 gene:Potri.017G056800.v4.1 transcript:Potri.017G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056800.v4.1 MEPDRILSPDPSTSKSKPTESEKEKFIEWEDYEHEVARLWSLSSSLNESKQKKQNFEQKLRSLIQVKEEALSRLNKLEEMKERVEARKLVIERIKGQSKVAAENSTKEEERLSSEVRSLLVAGTALSVASKQLQDSRRSLAGERGYVRLTKLQKKLRLRQQFMISQVSLLYPIKISAGPSEEQELESFPSSSKSGNYVGSKPVNQGSLTILGLHLTMAPFTKMSFFTDKKEVQRSASALGHVAHAVSLIASYLEVPLRYSLRLGGSNSYIIDCAPSVESSDMLSSTLLTANTKPVEFPLFLEGQDTTRAAYAVFLLNKDLEQLLNYIGVRSLGPRHVLANLKELTRTIQSAEFLDS >Potri.003G189700.7.v4.1 pep chromosome:Pop_tri_v4:3:19379444:19384332:1 gene:Potri.003G189700.v4.1 transcript:Potri.003G189700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189700.v4.1 MSRTTSESEDGMISKDQTGLPLGEEGSYGGSTNGVGLKKGPWTSAEDAILIEYVKKHGEGNWNSVQKHSGLFRCGKSCRLRWANHLRPNLKKGAFTHEEEQLIIELHAKMGNKWARMAAHLPGRTDNEIKNYWNTRIKRRQRAGLPLYPPEVSLQTLQGSQQCLDINGMDSGNKGQHDILQTHNYGIPDVMFDNLKTNRSILPYVPELPDISASSILMKGLCSSQYGSFMSPTMHRQKRLREATTLLSSFSGGMKNDFHLFDQFQDGDKAAQYFGLSFPFDPDSATKNPEIFGENQGSHTLANGDFSASKPTSEAVKFELPSLQYAETDLGGWGASCSPSPLIESVDTFIQSPPTGTVESNFPSPRNSGLLDALLYEARTLSSAKNQSSDKSSNSSTITPGDNADCSALNISETEWEDYGDPISPLGHPAASLFSECTPISASGSSLDESPPTETLTGSKRKFGCSSECNMKLEPVDHTWTADREKESYTQLDITRPDALLDSDWLEHDSGYGKDQVIMTEAIATLLGDDLSSEYKQMAAGASTDHGWGLGSCSWNNMPAVCQMSELP >Potri.002G047300.2.v4.1 pep chromosome:Pop_tri_v4:2:3098182:3099549:1 gene:Potri.002G047300.v4.1 transcript:Potri.002G047300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047300.v4.1 MFNFKPSFYTGSIIFKALSLQIHFSHLYWFFRSSTSKTREVFFYILNKKRRRSMADALAGEQVNVAELREIFSLISIDKVADGFITLEELATIVQSLDRRPTIEEIRDMICEVYIDGNGTLDFEEFLNVMGRKQKENVTEELKEAFKVFDRNQDGYISSSELRQVMMNLGERLTEEEAEQMIREADLDGDGLVSYEEFSRMMAMAF >Potri.006G084800.6.v4.1 pep chromosome:Pop_tri_v4:6:6318684:6322465:1 gene:Potri.006G084800.v4.1 transcript:Potri.006G084800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084800.v4.1 MLFVACTGVMDITLTHQRESVKVDNLNTTGNKTGHSVPNNHIIVGSNMRRRKPNSAVDNSKSSMTSTLTYSTSHSSGFLDEIKAICLTSWNMKSKLLFSFSPFFIVLVAFVAFVRWNGSVVLGAKEAHAVSPHFAQLMYFSLVSSLALAPLHFSLDQAVHLFWSFWKKRPLGFCQWIVALTAGFLSVHSYSIAHAYLLADNRHYTFYLWRKVIQSHQSTKYLLVPLYVYSWFSIFRVLARPKIWVLAYFLATAAVLVPAPLIEFRYYTIPFYFLMLHSHTVDIQSLVLIGLGYVVTNVFTMFMFLFRPFNWSHEPGKQRFIW >Potri.006G084800.1.v4.1 pep chromosome:Pop_tri_v4:6:6318741:6322486:1 gene:Potri.006G084800.v4.1 transcript:Potri.006G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084800.v4.1 MGRIAVALIVSSWVIPISILVNRIVPEPYMDEIFHIPQAQQYCKGNFASWDPMITTPPGLYYLSLAHVASLFPGMFFIRGVSLFSELCSTPILRSVNGVLAILCSVIVYEIITLLRPNIDERKATIFAVVLALYPLHWFFTFLYYTDVASLTTVLAMYLACLKKKYHLSALLGAYAVFIRQTNIVWMLFVACTGVMDITLTHQRESVKVDNLNTTGNKTGHSVPNNHIIVGSNMRRRKPNSAVDNSKSSMTSTLTYSTSHSSGFLDEIKAICLTSWNMKSKLLFSFSPFFIVLVAFVAFVRWNGSVVLGAKEAHAVSPHFAQLMYFSLVSSLALAPLHFSLDQAVHLFWSFWKKRPLGFCQWIVALTAGFLSVHSYSIAHAYLLADNRHYTFYLWRKVIQSHQSTKYLLVPLYVYSWFSIFRVLARPKIWVLAYFLATAAVLVPAPLIEFRYYTIPFYFLMLHSHTVDIQSLVLIGLGYVVTNVFTMFMFLFRPFNWSHEPGKQRFIW >Potri.002G028800.1.v4.1 pep chromosome:Pop_tri_v4:2:1944202:1944942:1 gene:Potri.002G028800.v4.1 transcript:Potri.002G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028800.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.010G141600.1.v4.1 pep chromosome:Pop_tri_v4:10:15462307:15464388:-1 gene:Potri.010G141600.v4.1 transcript:Potri.010G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141600.v4.1 MEAKWCSLVTMALLVMLVVVVNGDESSQVKTVVKIVKGKKVCDKGWECKGLSAYCCNQTISDFFQTYQFENLFSKRNTPVAHASGFWDYHSFITAAAEYQPHGFGTSGGKLTGQKEVAAFLGHVGSKTSCGYGVATGGPLAWGLCYNKEMSPSKTYCDDYYKYTYPCTPGVSYHGRGALPLYWNYNYGKTGEALKTDLLNHPEYLENNATLAFQAAIWKWMTPEKKHLPSAHDVFVGKWKPTKNDTLAKRVPGFGTTMNVLYGDQVCGKGDDESMNNIVSHYLYYLDLMGVGREEAGSHDVLSCAEQLPFNQASASASSS >Potri.001G410700.1.v4.1 pep chromosome:Pop_tri_v4:1:44173333:44176629:1 gene:Potri.001G410700.v4.1 transcript:Potri.001G410700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410700.v4.1 MATKVYIVYYSMYGHVEKLAEEIKKGASSVEGVEAQLWQVPETLPEEVLGKMSAPPKSDVPIITPGELAEADGFVFGFPTRFGMMAAQFKAFLDATGGLWKTQQLAGKPAGIFFSTGSQGGGQETTALTAITQLVHHGMIFVPIGYTFGAGMFEMEKVKGGSPYGAGTFAGDGSRQPTELELEQAFHQGKYIAAITKKLKGAA >Potri.002G153200.1.v4.1 pep chromosome:Pop_tri_v4:2:11717508:11718222:1 gene:Potri.002G153200.v4.1 transcript:Potri.002G153200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153200.v4.1 MADPAIHHETQPINQIAIDYTPEACTHCPESNSITLTYDHRGGARWRSTTRFLYGTFSSLIQCPKGNTSGLNFNIYLSSLEGDKSQDEIDFEFLGKDKTIVQTNYYASGTGNREEIHDLGFDCSDAFHEYVIKWCPNFIEWLIDGKVVRKVEKREGEGFPEKPMFLYASIWDASYIGDATWTGPYMGCDAPYLCLYKDICVPVGTAVECSCDS >Potri.012G055200.1.v4.1 pep chromosome:Pop_tri_v4:12:5288722:5289675:1 gene:Potri.012G055200.v4.1 transcript:Potri.012G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055200.v4.1 MASSSSQERSKQLHNFSLPCLRWGNQRLLRCVKVSDDIINSNDDNQHQQGFQSKPINLVSYKNYKPNPIQVNNAAAKRLKLPSSSPFVVEEEKGGGNIDESPRPWNLRTRRAACKAPLRIEEQPSRRNVVVSPRGYLEIDSPKKYYESLMVKRQQSFEMKEKVKFSVSLSKREIEEDFLEMVRIRPPRRPKKRPRIVQKNLDSIFPGLWLAEITPDSYKVQEVPES >Potri.016G040401.1.v4.1 pep chromosome:Pop_tri_v4:16:2518346:2519122:-1 gene:Potri.016G040401.v4.1 transcript:Potri.016G040401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040401.v4.1 MNAASSVTTQDSLINSYYLIEDSLQSWDSFSPSFFLSQLHAINLVLTSLPDLPLLNAVHYLFQSYFLYSSVL >Potri.014G016900.1.v4.1 pep chromosome:Pop_tri_v4:14:1031607:1038182:-1 gene:Potri.014G016900.v4.1 transcript:Potri.014G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016900.v4.1 MSRRYSQNNNRQQQQEWRSNNSSNFSKPQTKFVPKNQNPNSNPTLSDSLRQSLSSQSDAAAAAAPASSGNMGAGESSSRIQMRDDGAWMSRKAVAGVQGGGKFVTYLPQDEAVAAGLGADEGGLDPVESQRVVDLLSRELSRLLKLKPKEFWKEVASDVSLHDFLDSFLKFRSRWYDFPHRGVKGIVAGVIVGELDLCRRVFMVLYRISSNRAPGVEAAESLNSKDHAVLLQEKKLLDLPKLLDICSIYGHENEELTGLLVKNALKAQPWLHDDLANLMTHFLGIIHTMHQRCMSSLEVLLSAGSHEDHRSSPLLTDYLEVMDFINDAIVSMDAFVTAYESAAVFFSCPVEMSHGNEEMLITLARLHDTLIPALQRGFRVILTGGDDRMILNVAVSLKMLSMRLSKFGWKLLDTCYLSDRVFEDHLPIPHVTKMFPAKVEDPVIRTDILIQTFREINGVLLAAQENQSKVSFLQNLDRNHHIMSRLQSLQNAGWIFMDDEQLQYLSGIMASNLKGTIKDSPAFPTATASNKVQMGEDVAIMESKISQIKDLFPDYGKGFLAACLEAYNHNPEEVIQRILEGTLHEDLRCLDTSSETMPLPKAASTVGKKDKGKGKLVESTLPSTTSLHSVNPVVPVEQRQVEGPSVSSSSTTGRFVRKPNDIPGHYTTDTRDHKDTARMAALISQYEYEDEYDDSFDDLGFSVADSGVEENELLGNRINSNSGISSGTKTETSAQNSPNTKWGSRKKPQYYVKDGKNYSYKVAGSVAVANANEASLINQVHGEQIHGLGRGGNIPLGATKKLAEYQEKDRDQSDEPEMEGRGNYRGRPWGRGSRGGGRLRESNDVQDNQSDGSEIQGRESTPNHRGRGRGRGSNHNYRKDRAMNKHFSGLSGF >Potri.011G041700.2.v4.1 pep chromosome:Pop_tri_v4:11:3254331:3254585:1 gene:Potri.011G041700.v4.1 transcript:Potri.011G041700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041700.v4.1 MSRVLYQSGMRVVQGMKEQASMCDSSTIKSLRDSACSSSSSSKQARRFSGSVDSSGYKIAKSDKYKQAEENLRTVMFLSFWGPN >Potri.003G129400.3.v4.1 pep chromosome:Pop_tri_v4:3:14797029:14799946:-1 gene:Potri.003G129400.v4.1 transcript:Potri.003G129400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129400.v4.1 MRDVNGESRAANNTLETINAAATAIASAENRVPQAMVQKQRWRSHWSIYWCFGYQKSKRQIGHAVLFPESSAPGSGAPAAENSAQAPEVTFPFVAPPSSPASFFQSEPPSVTQSPAGLVSRTSISASMYSPSGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQLIDPTLRNGVTGLRFPFDFQSYQFHPGSSVGQLISPSSGISGSGTSSPFPDGEFAVGGPHSPEFRMGPKLLNLDKLSTREWGSYQDSGALTPDSVRHGSPNFLLHRQFSDVASHPRSENGHDDDQVVNHRFSFELSVKDASRCVEEKPACSIKTVPEYVENGTKAKEEENYGELIQSFERRSGDTSNDTPETPSTDGEAPQHRKQQPITLGSVNEFNFDNADEGDSHNPSSSNWWANGSVIGKEGETTKNWSFFPMVQSDVS >Potri.010G204300.1.v4.1 pep chromosome:Pop_tri_v4:10:19588661:19591825:-1 gene:Potri.010G204300.v4.1 transcript:Potri.010G204300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G204300.v4.1 MAEAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDSLMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETSKTSSTVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEISALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Potri.014G052600.8.v4.1 pep chromosome:Pop_tri_v4:14:3368143:3374590:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MTSMQMQYLQPQVSVQFGGRGPQIQSQGVPPTSLQMPIPVPLQMGSAPQVQQPVFIQGIQHHPMQPQGMMRQGQNLSFTTTMGPQMPPQLGSLGMNIASQYSQQQGGKFGGQRKTSVKITDPKTHEELRLDKRTDPYPDTGPSGLRSHLNAPQSQPIPSFTPSRPINYYPSSYNTNNLFFQTPSSLPLTGGQIAPNSQPPPRFNYPVSQGPQNVPYTNASALNSLPASKSGIAIHGVAELHKSEHASDAPNAISSTPSGVVQVTIKPPVGSIGEKVVEPSLPKISPVEKGGSHKSSRSSGEASPSPSQRDSETSSESSLRQAKPVGESLVKSPPVAAKQLAEVAVDGAASTLPAQSVEAIPGVSNAEDQKKEAPSIQKKPGKKGNIEPQHQIGGQTTLSTSLSSRTVELGVFYGSGVSETAETNTAPSPSPANSEALTKSIKEPVSTISALNPDVSEMKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYSMSPAPVNSKFADIVKQDKEVSDLTGTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYSARDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKG >Potri.014G052600.2.v4.1 pep chromosome:Pop_tri_v4:14:3368345:3376285:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MSYNQSRSGSDKSELQYRKSGRSISSNQLRTSSQSYGKGGGGGGGGPPVPSPSSSSLSSNRSSFNKKSSYVPQGGGQSSRVNVAPVVNSSDSGNNAASTIRNVQNGAAAQPPLHGTSDAPPPASSVTKPTETSATQRSARAVPKAPTSQPATISSESGAPTTPAKAPVDASKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQARQDTFRPAPSLPTPAPKQQFQKKEVSATEQTISGGVHPLPKAKKETQVSPAPSASHSQKHSVLPVTMTSMQMQYLQPQVSVQFGGRGPQIQSQGVPPTSLQMPIPVPLQMGSAPQVQQPVFIQGIQHHPMQPQGMMRQGQNLSFTTTMGPQMPPQLGSLGMNIASQYSQQQGGKFGGQRKTSVKITDPKTHEELRLDKRTDPYPDTGPSGLRSHLNAPQSQPIPSFTPSRPINYYPSSYNTNNLFFQTPSSLPLTGGQIAPNSQPPPRFNYPVSQGPQNVPYTNASALNSLPASKSGIAIHGVAELHKSEHASDAPNAISSTPSGVVQVTIKPPVGSIGEKVVEPSLPKISPVEKGGSHKSSRSSGEASPSPSQRDSETSSESSLRQAKPVGESLVKSPPVAAKQLAEVAVDGAASTLPAQSVEAIPGVSNAEDQKKEAPSIQKKPGKKGNIEPQHQIGGQTTLSTSLSSRTVELGVFYGSGVSETAETNTAPSPSPANSEALTKSIKEPVSTISALNPDVSEMKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYSMSPAPVNSKFADIVKQDKEVSDLTGTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYSARDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKGFESVLTTLEDAVTDAPKAPEFLGRILGRVVVENVVPLKEIGRLLHEGGEEPGSLLKFGLAGDVLGSVLEMIKAENGQGVLNEIRNASNLRFEDFRPPHPNRSRILEKFI >Potri.014G052600.6.v4.1 pep chromosome:Pop_tri_v4:14:3368158:3376285:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MSYNQSRSGSDKSELQYRKSGRSISSNQLRTSSQSYGKGGGGGGGGPPVPSPSSSSLSSNRSFNKKSSYVPQGGGQSSRVNVAPVVNSSDSGNNAASTIRNVQNGAAAQPPLHGTSDAPPPASSVTKPTETSATQRSARAVPKAPTSQPATISSESGAPTTPAKAPVDASKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQARQDTFRPAPSLPTPAPKQQFQKKEVSATEQTISGGVHPLPKAKKETQVSPAPSASHSQKHSVLPVTMTSMQMQYLQPQVSVQFGGRGPQIQSQGVPPTSLQMPIPVPLQMGSAPQVQQPVFIQGIQHHPMQPQGMMRQGQNLSFTTTMGPQMPPQLGSLGMNIASQYSQQQGGKFGGQRKTSVKITDPKTHEELRLDKRTDPYPDTGPSGLRSHLNAPQSQPIPSFTPSRPINYYPSSYNTNNLFFQTPSSLPLTGGQIAPNSQPPPRFNYPVSQGPQNVPYTNASALNSLPASKSGIAIHGVAELHKSEHASDAPNAISSTPSGVVQVTIKPPVGSIGEKVVEPSLPKISPVEKGGSHKSSRSSGEASPSPSQRDSETSSESSLRQAKPVGESLVKSPPVAAKQLAEVAVDGAASTLPAQSVEAIPGVSNAEDQKKEAPSIQKKPGKKGNIEPQHQIGGQTTLSTSLSSRTVELGVFYGSGVSETAETNTAPSPSPANSEALTKSIKEPVSTISALNPDVSEMKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYSMSPAPVNSKFADIVKQDKEVSDLTGTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYSARDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKG >Potri.014G052600.7.v4.1 pep chromosome:Pop_tri_v4:14:3368060:3375750:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MNGMQVPARTSSAPPNLDEQKRDQARQDTFRPAPSLPTPAPKQQFQKKEVSATEQTISGGVHPLPKAKKETQVSPAPSASHSQKHSVLPVTMTSMQMQYLQPQVSVQFGGRGPQIQSQGVPPTSLQMPIPVPLQMGSAPQVQQPVFIQGIQHHPMQPQGMMRQGQNLSFTTTMGPQMPPQLGSLGMNIASQYSQQQGGKFGGQRKTSVKITDPKTHEELRLDKRTDPYPDTGPSGLRSHLNAPQSQPIPSFTPSRPINYYPSSYNTNNLFFQTPSSLPLTGGQIAPNSQPPPRFNYPVSQGPQNVPYTNASALNSLPASKSGIAIHGVAELHKSEHASDAPNAISSTPSGVVQVTIKPPVGSIGEKVVEPSLPKISPVEKGGSHKSSRSSGEASPSPSQRDSETSSESSLRQAKPVGESLVKSPPVAAKQLAEVAVDGAASTLPAQSVEAIPGVSNAEDQKKEAPSIQKKPGKKGNIEPQHQIGGQTTLSTSLSSRTVELGVFYGSGVSETAETNTAPSPSPANSEALTKSIKEPVSTISALNPDVSEMKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYSMSPAPVNSKFADIVKQDKEVSDLTGTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYSARDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKGFESVLTTLEDAVTDAPKAPEFLGRILGRVVVENVVPLKEIGRLLHEGGEEPGSLLKFGLAGDVLGSVLEMIKAENGQGVLNEIRNASNLRFEDFRPPHPNRSRILEKFI >Potri.014G052600.3.v4.1 pep chromosome:Pop_tri_v4:14:3368142:3376285:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MSYNQSRSGSDKSELQYRKSGRSISSNQLRTSSQSYGKGGGGGGGGPPVPSPSSSSLSSNRSFNKKSSYVPQGGGQSSRVNVAPVVNSSDSGNNAASTIRNVQNGAAAQPPLHGTSDAPPPASSVTKPTETSATQRSARAVPKAPTSQPATISSESGAPTTPAKAPVDASKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQARQDTFRPAPSLPTPAPKQQFQKKEVSATEQTISGGVHPLPKAKKETQVSPAPSASHSQKHSVLPVTMTSMQMQYLQPQVSVQFGGRGPQIQSQGVPPTSLQMPIPVPLQMGSAPQVQQPVFIQGIQHHPMQPQGMMRQGQNLSFTTTMGPQMPPQLGSLGMNIASQYSQQQGGKFGGQRKTSVKITDPKTHEELRLDKRTDPYPDTGPSGLRSHLNAPQSQPIPSFTPSRPINYYPSSYNTNNLFFQTPSSLPLTGGQIAPNSQPPPRFNYPVSQGPQNVPYTNASALNSLPASKSGIAIHGVAELHKSEHASDAPNAISSTPSGVVQVTIKPPVGSIGEKVVEPSLPKISPVEKGGSHKSSRSSGEASPSPSQRDSETSSESSLRQAKPVGESLVKSPPVAAKQLAEVAVDGAASTLPAQSVEAIPGVSNAEDQKKEAPSIQKKPGKKGNIEPQHQIGGQTTLSTSLSSRTVELGVFYGSGVSETAETNTAPSPSPANSEALTKSIKEPVSTISALNPDVSEMKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYSMSPAPVNSKFADIVKQDKEVSDLTGTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYSARDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKGFESVLTTLEDAVTDAPKAPEFLGRILGRVVVENVVPLKEIGRLLHEGGEEPGSLLKFGLAGDVLGSVLEMIKAENGQGVLNEIRNASNLRFEDFRPPHPNRSRILEKFI >Potri.014G052600.9.v4.1 pep chromosome:Pop_tri_v4:14:3368143:3373115:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYSMSPAPVNSKFADIVKQDKEVSDLTGTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYSARDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKGFESVLTTLEDAVTDAPKAPEFLGRILGRVVVENVVPLKEIGRLLHEGGEEPGSLLKFGLAGDVLGSVLEMIKAENGQGVLNEIRNASNLRFEDFRPPHPNRSRILEKFI >Potri.014G052600.1.v4.1 pep chromosome:Pop_tri_v4:14:3368102:3376347:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MSYNQSRSGSDKSELQYRKSGRSISSNQLRTSSQSYGKGGGGGGGGPPVPSPSSSSLSSNRSFNKKSSYVPQGGGQSSRVNVAPVVNSSDSGNNAASTIRNVQNGAAAQPPLHGTSDAPPPASSVTKPTETSATQRSARAVPKAPTSQPATISSESGAPTTPAKAPVDASKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQARQDTFRPAPSLPTPAPKQQFQKKEVSATEQTISGGVHPLPKAKKETQVSPAPSASHSQKHSVLPVTMTSMQMQYLQPQVSVQFGGRGPQIQSQGVPPTSLQMPIPVPLQMGSAPQVQQPVFIQGIQHHPMQPQGMMRQGQNLSFTTTMGPQMPPQLGSLGMNIASQYSQQQGGKFGGQRKTSVKITDPKTHEELRLDKRTDPYPDTGPSGLRSHLNAPQSQPIPSFTPSRPINYYPSSYNTNNLFFQTPSSLPLTGGQIAPNSQPPPRFNYPVSQGPQNVPYTNASALNSLPASKSGIAIHGVAELHKSEHASDAPNAISSTPSGVVQVTIKPPVGSIGEKVVEPSLPKISPVEKGGSHKSSRSSGEASPSPSQRDSETSSESSLRQAKPVGESLVKSPPVAAKQLAEVAVDGAASTLPAQSVEAIPGVSNAEDQKKEAPSIQKKPGKKGNIEPQHQIGGQTTLSTSLSSRTVELGVFYGSGVSETAETNTAPSPSPANSEALTKSIKEPVSTISALNPDVSEMKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYSMSPAPVNSKFADIVKQDKEVSDLTGTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYSARDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKGFESVLTTLEDAVTDAPKAPEFLGRILGRVVVENVVPLKEIGRLLHEGGEEPGSLLKFGLAGDVLGSVLEMIKAENGQGVLNEIRNASNLRFEDFRPPHPNRSRILEKFI >Potri.014G052600.5.v4.1 pep chromosome:Pop_tri_v4:14:3368142:3376275:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MSYNQSRSGSDKSELQYRKSGRSISSNQLRTSSQSYGKGGGGGGGGPPVPSPSSSSLSSNRSFNKKSSYVPQGGGQSSRVNVAPVVNSSDSGNNAASTIRNVQNGAAAQPPLHGTSDAPPPASSVTKPTETSATQRSARAVPKAPTSQPATISSESGAPTTPAKAPVDASKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQARQDTFRPAPSLPTPAPKQQFQKKEVSATEQTISGGVHPLPKAKKETQVSPAPSASHSQKHSVLPVTMTSMQMQYLQPQVSVQFGGRGPQIQSQGVPPTSLQMPIPVPLQMGSAPQVQQPVFIQGIQHHPMQPQGMMRQGQNLSFTTTMGPQMPPQLGSLGMNIASQYSQQQGGKFGGQRKTSVKITDPKTHEELRLDKRTDPYPDTGPSGLRSHLNAPQSQPIPSFTPSRPINYYPSSYNTNNLFFQTPSSLPLTGGQIAPNSQPPPRFNYPVSQGPQNVPYTNASALNSLPASKSGIAIHGVAELHKSEHASDAPNAISSTPSGVVQVTIKPPVGSIGEKVVEPSLPKISPVEKGGSHKSSRSSGEASPSPSQRDSETSSESSLRQAKPVGESLVKSPPVAAKQLAEVAVDGAASTLPAQSVEAIPGVSNAEDQKKEAPSIQKKPGKKGNIEPQHQIGGQTTLSTSLSSRTVELGVFYGSGVSETAETNTAPSPSPANSEALTKSIKEPVSTISALNPDVSEMKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYRTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYSARDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKGFESVLTTLEDAVTDAPKAPEFLGRILGRVVVENVVPLKEIGRLLHEGGEEPGSLLKFGLAGDVLGSVLEMIKAENGQGVLNEIRNASNLRFEDFRPPHPNRSRILEKFI >Potri.014G052600.4.v4.1 pep chromosome:Pop_tri_v4:14:3368102:3376321:-1 gene:Potri.014G052600.v4.1 transcript:Potri.014G052600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052600.v4.1 MSYNQSRSGSDKSELQYRKSGRSISSNQLRTSSQSYGKGGGGGGGGPPVPSPSSSSLSSNRSFNKKSSYVPQGGGQSSRVNVAPVVNSSDSGNNAASTIRNVQNGAAAQPPLHGTSDAPPPASSVTKPTETSATQRSARAVPKAPTSQPATISSESGAPTTPAKAPVDASKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQARQDTFRPAPSLPTPAPKQQFQKKEVSATEQTISGGVHPLPKAKKETQVSPAPSASHSQKHSVLPVTMTSMQMQYLQPQVSVQFGGRGPQIQSQGVPPTSLQMPIPVPLQMGSAPQVQQPVFIQGIQHHPMQPQGMMRQGQNLSFTTTMGPQMPPQLGSLGMNIASQYSQQQGGKFGGQRKTSVKITDPKTHEELRLDKRTDPYPDTGPSGLRSHLNAPQSQPIPSFTPSRPINYYPSSYNTNNLFFQTPSSLPLTGGQIAPNSQPPPRFNYPVSQGPQNVPYTNASALNSLPASKSGIAIHGVAELHKSEHASDAPNAISSTPSGVVQVTIKPPVGSIGEKVVEPSLPKISPVEKGGSHKSSRSSGEASPSPSQRDSETSSESSLRQAKPVGESLVKSPPVAAKQLAEVAVDGAASTLPAQSVEAIPGVSNAEDQKKEAPSIQKKPGKKGNIEPQHQIGGQTTLSTSLSSRTVELGVFYGSGVSETAETNTAPSPSPANSEALTKSIKEPVSTISALNPDVSEMKVENAGDGFNTVSALGLVAGVAKTPHTTPQAMLDGSSSQEELQCEIPTAEEKGQKSLSECLKQDYSMSPAPVNSKFADIVKQDKEVSDLTGTSVGNEVPASETGQEGLVEPVTRHAANDRVSDSVDVSASRNLDSADDRKPSDASLRHGDGIGNKEASVTKSSVSGQQESLPVPDLSEATAKHKGQCAENPGSGTVPHAISSSKEKPTEPTLSKSTSGKFKKKRREFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVTESTSPILNQTPADALQVDSVASEKNKAEPDDWEDAADMSTPKLDSDGELSCGGLGQHDSDGNANTAKKYSRDFLLKFSEQFSNLPEGFVITSDIAEALSVNVSHPADLDSYPSPARVMDRSNSGSRIGRGSGMVDDGRWSKQPGPFGPGRDLHLDMGYGPNASFRPVAGGNHGVLRNPRAQSPGQYAGGILSGPVQSTGLQGGMQRGGSDADKWQRSVSSVYKGLIPSPHTPLQTMHKAERKYEVGKVADEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNAVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELIEDDEKVTFKRLLLNKCQEEFERGEREQEEANKADEEGEIKKSDEEREEQRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDVESLCKLMSTIGEMIDHPKAKVHMDAYFDMMAKLSNNMKLSSRVRFMLKDAIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGMNSSPRRGPMDFGPRGSTMLSSPNAHMGGFRGFPSQVRGHGNQDVRHEDRQSYEARTVSVPLPQRPLGDDSITLGPQGGLARGMSIRGTPAITVAPVSEISPSPSDSRRMAAGLNGVSAILERSNYSPREDLIPRYSPDRFAVPPTHDQMSGQERNMNYVNRDLRNLDHGFDRPLGSSSLTNTQGPSFAQSIPTGKMWPEEQLREMSMVTIKEFYRDEKEVALCIKDLNSPSFHPSMISLWVTDSFERKDMDRDLLAKLLASLTRSQDCILDSNQLVKGFESVLTTLEDAVTDAPKAPEFLGRILGRVVVENVVPLKEIGRLLHEGGEEPGSLLKFGLAGDVLGSVLEMIKAENGQGVLNEIRNASNLRFEDFRPPHPNRSRILEKFI >Potri.018G040100.1.v4.1 pep chromosome:Pop_tri_v4:18:3194838:3197249:-1 gene:Potri.018G040100.v4.1 transcript:Potri.018G040100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040100.v4.1 MKMVALASPVSTLQALSFSDPSPPYKLVHDHPSLTLLSNCKTLQTLKQIHSQIIKTGLHNTHFALSKLIEFCAVSPHGDLSYALSLFKTIRNPNHVIWNHMIRGLSSSESPFLALEYYVHMISSGTEPNEYTFPSIFKSCTKIRGAHEGKQVHAHVLKLGLEHNAFVHTSLINMYAQNGELVNARLVFDKSSMRDAVSFTALITGYASKGFLDEARELFDEIPVRDVVSWNAMISGYAQSGRVEEAMAFFEEMRRAKVTPNVSTMLSVLSACAQSGSSLQLGNWVRSWIEDRGLGSNIRLVNGLIDMYVKCGDLEEASNLFEKIQDKNVVSWNVMIGGYTHMSCYKEALGLFRRMMQSNIDPNDVTFLSILPACANLGALDLGKWVHAYVDKNMKSMKNTVALWTSLIDMYAKCGDLAAAKRIFDCMNTKSLATWNAMISGFAMHGHTDTALGLFSRMTSEGFVPDDITFVGVLTACKHAGLLSLGRRYFSSMIQDYKVSPKLPHYGCMIDLFGRAGLFDEAETLVKNMEMKPDGAIWCSLLGACRIHRRIELAESVAKHLFELEPENPSAYVLLSNIYAGAGRWEDVAKIRTRLNDNRMKKVPGCSSIEVDSVVHEFLVGDKVHPQSNEIYKMLDEIDMRLEKAGFVPDTSEVLYDMDEEWKEGVLSHHSEKLAIAFGLISTKPGTTIRIMKNLRVCGNCHSATKLISKIFNREIIARDRNRFHHFKDGSCSCKDYW >Potri.007G076200.11.v4.1 pep chromosome:Pop_tri_v4:7:10025174:10030727:-1 gene:Potri.007G076200.v4.1 transcript:Potri.007G076200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076200.v4.1 MSVDEGGSGSLWTREHDKAFENALATYPEDASDRWEKIAEDVPGKTLEEIKHHYELLVEDINQIEAGCVPLPCYSSSSEGSTSHAGDEGTGKKGGHLGHHNSESNHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDIATPQGPITGQTNGSAVAGSSGKAAKQPPPHPAGPPGVGIYGPPTIGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGAMVPGAPMNMGPMTYPMPPTTTHR >Potri.007G076200.13.v4.1 pep chromosome:Pop_tri_v4:7:10025152:10030509:-1 gene:Potri.007G076200.v4.1 transcript:Potri.007G076200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076200.v4.1 MSVDEGGSGSLWTREHDKAFENALATYPEDASDRWEKIAEDVPGKTLEEIKHHYELLVEDINQIEAGCVPLPCYSSSSEGSTSHAGDEGTGKKGGHLGHHNSESNHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDIATPQGPITGQTNGSAVAGSSGKAAKQPPPHPAGPPGVGIYGPPTIGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGAMVPGAPMNMGPMTYPMPPTTTHR >Potri.007G076200.10.v4.1 pep chromosome:Pop_tri_v4:7:10025181:10030633:-1 gene:Potri.007G076200.v4.1 transcript:Potri.007G076200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076200.v4.1 MSVDEGGSGSLWTREHDKAFENALATYPEDASDRWEKIAEDVPGKTLEEIKHHYELLVEDINQIEAGCVPLPCYSSSSEGSTSHAGDEGTGKKGGHLGHHNSESNHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDIATPQGPITGQTNGSAVAGSSGKAAKQPPPHPAGPPGVGIYGPPTIGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGAMVPGAPMNMGPMTYPMPPTTTHR >Potri.007G076200.12.v4.1 pep chromosome:Pop_tri_v4:7:10025181:10030547:-1 gene:Potri.007G076200.v4.1 transcript:Potri.007G076200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076200.v4.1 MSVDEGGSGSLWTREHDKAFENALATYPEDASDRWEKIAEDVPGKTLEEIKHHYELLVEDINQIEAGCVPLPCYSSSSEGSTSHAGDEGTGKKGGHLGHHNSESNHGNKASRSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNGDIATPQGPITGQTNGSAVAGSSGKAAKQPPPHPAGPPGVGIYGPPTIGQPIGGPLVSAVGTPVNLSAPAHMAYGVRAPVPGAMVPGAPMNMGPMTYPMPPTTTHR >Potri.018G131500.2.v4.1 pep chromosome:Pop_tri_v4:18:14167252:14169914:1 gene:Potri.018G131500.v4.1 transcript:Potri.018G131500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131500.v4.1 MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLIEPNNNNSTTKNNGDSRPLKKPRFAAAEGGGGGDCKEGSMTKEEIDFIKLLDDELEKFNSFFVEKEEEYIIRLKELQDSVAKAINSNEEMIKIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQRVLQQPFFTTDLLYKLVKECEAMLDRLLPLNELPPSSVEAADGDDDLCGDPSTSSTTTNDDLPRFPRELAEIELMESSSMKSTISALRVLKEIRSKSSTVSVFSLPPLQISGLEDAWKKVPILEQEAK >Potri.009G110800.3.v4.1 pep chromosome:Pop_tri_v4:9:9498084:9499310:-1 gene:Potri.009G110800.v4.1 transcript:Potri.009G110800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110800.v4.1 MSTSEFKGFTEEQEALVVKSWSAMKKNASELGLKFFLKIFEIAPSAQKLFPFLRDSSVPVEQNPKLKPHAMSVFIMTCESAVQLRKAGKVTVRESSLKKLGAVHFKNGVVDEHYEVTKFALLETIKEAVPEMWSPDMKKAWGEAYDQLVAAIKTEMKPSS >Potri.008G178100.1.v4.1 pep chromosome:Pop_tri_v4:8:12304906:12309098:1 gene:Potri.008G178100.v4.1 transcript:Potri.008G178100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178100.v4.1 MADAHVIHFQTEHQPPPHTPLLDDDDTNNKNSNSKSTPNHNPQQQNQGISFPNQNINQALEKLEAYLSFLGFNQSSLKSFLLSWAAFLLVGVLLPVALLELSQCSGCDKYQIKDFELDIVASQACLAAVSLICLSHNLRKYGIRKFLFVDRFGGHISRFSLLYIRQIKDSLRLLILWSLPCFILKVAREAIRVSYVRHESWLMSTAVLIGLIISWSYVSTISLSASILFHLICNLQVIHFEDYAKLLERDCDVLVFIEEHTRLRYHLSKISHRFRIFLILQFCVVTASQFVTLFRITGYRGIITGINGGDFAVSSTVQVVGIILCLHAATKISHRALGIASIASRWHALATCGQNDTSQLRVSNSTGNLEAANLQNSARINYSESDLESFDYIAMPTNMQLASYMTSYHKRQAFVLYLQNNPGGITIFGWTVDRGLINTIFFIELSLITFVLGKTIVFSS >Potri.001G168100.1.v4.1 pep chromosome:Pop_tri_v4:1:14350248:14359277:-1 gene:Potri.001G168100.v4.1 transcript:Potri.001G168100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168100.v4.1 MDDVEKAILISFDESGAIDSALKSQAVSFCQQIKETPTVCRLCIEKLCFCRLVQVQFWCLQTLHELVRVKYALLSLQEKDFMRKSVFSMCCFEAIDDHNNNAVRILEGGPAFIKNKLAQVFVALVYFEYPLTWSSVFVDFLPHLRKGAVVIDMFCRILNALDDELISLDFPRTSEEMGVAGRVKDAMRQQCITQIVTFWYDVVSMYWNSDPDLCSGVLDSMRRYISWIDIGLIVNDLFIPLLFQLILVDGGSDQLQGAAAGCVLAVVSKRMDHQSKLSILQSLQINRVFGLVTGDGDSELVSKVATLITGYAAEVLQCYKWVNTDDAKGVSLELLNEVLPSVFYAMRNFEVGTTFSIVQFLSCYVATMKSLSPLREKQLRQVGQILEVICARIRYDPIYRDNLDMLDKIGREEEERMAESRKDLFLLLRSVGRVAPDVTQIFIRNSLASSISSMSERNVEEVEASLSLLHALGESLSDDAIKTGSGLLHELVPNLLSTRFPCHSNRLVALVYLETITRYMKFVQENTRYVPVVLAAFLDERGIHHPNFHVSRRASYLFMRVVRLLKAKLVPFIEKILQSLQDTVARFTTMNHLSNEFSGSEDGIHIFEAIGLLIGMEDLPPEKQSDYLSSLLTPLCHQVEALLINANVLNPEESPVKIANIQQIIMAINALSKGFSERLVTTSRPAIGVMFKKTLDILLQILVVFPKIEPLRNKVTSFIHRMVDTLGASVFPCLPKALGQLLAESEPKEMVGFLVLLNQLICKFNTSMHHIVEEVFPAIASRIFRIVSTKELPSGDGISSEEIRELQELQKTFYTFLHVITTHDLSSVFLSTKSRGYLDKMMHLLLHSACNHKDILVRKACVQIFIRLIKDWCTRPYGEEKVPGFQRFIIDGFAMNCCFDSALDKSFEFHDANTLILFGEIVLAQKVMYEKFGDDFLAHFVTKCFTSAHCPQDLASQYCQKLQGNDMKALRSFYQSHIENLRFQQNGSLVFK >Potri.001G168100.4.v4.1 pep chromosome:Pop_tri_v4:1:14350363:14359245:-1 gene:Potri.001G168100.v4.1 transcript:Potri.001G168100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168100.v4.1 MDDVEKAILISFDESGAIDSALKSQAVSFCQQIKETPTVCRLCIEKLCFCRLVQVQFWCLQTLHELVRVKYALLSLQEKDFMRKSVFSMCCFEAIDDHNNNAVRILEGGPAFIKNKLAQVFVALVYFEYPLTWSSVFVDFLPHLRKGAVVIDMFCRILNALDDELISLDFPRTSEEMGVAGRVKDAMRQQCITQIVTFWYDVVSMYWNSDPDLCSGVLDSMRRYISWIDIGLIVNDLFIPLLFQLILVDGGSDQLQGAAAGCVLAVVSKRMDHQSKLSILQSLQINRVFGLVTGDGDSELVSKVATLITGYAAEVLQCYKWVNTDDAKGVSLELLNEVLPSVFYAMRNFEVGTTFSIVQFLSCYVATMKSLSPLREKQLRQVGQILEVICARIRYDPIYRDNLDMLDKIGREEEERMAESRKDLFLLLRSVGRVAPDVTQIFIRNSLASSISSMSERNVEEVEASLSLLHALGESLSDDAIKTGSGLLHELVPNLLSTRFPCHSNRLVALVYLETITRYMKFVQENTRYVPVVLAAFLDERGIHHPNFHVSRRASYLFMRVVRLLKAKLVPFIEKILQSLQDTVARFTTMNHLSNEFSGSEDGIHIFEAIGLLIGMEDLPPEKQSDYLSSLLTPLCHQVEALLINANVLNPEESPVKIANIQQIIMAINALSKGFSERLVTTSRPAIGVMFKKTLDILLQILVVFPKIEPLRNKVTSFIHRMVDTLGASVFPCLPKALGQLLAESEPKEMVGFLVLLNQLICKFNTSMHHIVEEVFPAIASRIFRIVSTKELPSGDGISSEEIRELQELQKTFYTFLHVITTHDLSSVFLSTKSRGYLDKMMHLLLHSACNHKDILVRKACVQIFIRLIKDWCTRPYGEEKVPGFQRFIIDGFAMNCCFDSALDKSFEFHDANTLILFGEIVLAQKVMYEKFGDDFLAHFVTKCFTSAHCPQDLASQYCQKLQGNDMKALRSFYQSHIENLRFQQNGSLVFK >Potri.002G107700.14.v4.1 pep chromosome:Pop_tri_v4:2:7998824:8005583:-1 gene:Potri.002G107700.v4.1 transcript:Potri.002G107700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107700.v4.1 MDNKAPDNGEFSFASNTPRSTGRKGLPKIQTQDHNKKANDVCHDDSGTPVKAKTIDELHSLQRKKSAPTTPIKGAQGAFNAISEEERQKQQLQSISASLASLMRETGPKLVKGDPARKGEGQQIAHHHHYTPTISATDSSLKFTHYLHNLSPAELYEQAIKYEKGSFIASSGALATLSGAKTGRSPRDKRVVRDETTEDDLWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLARKEMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYVDSSVTENTRAAYPIDYIPNAKIPCVGPHPKNIILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTKYAAMLSEKMQKHGATGWLVNTGWSGGSYGSGKRIKLAYTRRIIDAIHSGSLLNASYKKTEVFGLEIPTEIEGVPSEILDPVNTWTDKNAYKDTQLKLAGLFKNNFAVFTNYKIGKNSMLTEEILAAGPNY >Potri.002G107700.13.v4.1 pep chromosome:Pop_tri_v4:2:7998884:8005915:-1 gene:Potri.002G107700.v4.1 transcript:Potri.002G107700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107700.v4.1 MDNKAPDNGEFSFASNTPRSTGRKGLPKIQTQDHNKKANDVCHDDSGTPVKAKTIDELHSLQRKKSAPTTPIKGAQGAFNAISEEERQKQQLQSISASLASLMRETGPKLVKGDPARKGEGQQIAHHHHYTPTISATDSSLKFTHYLHNLSPAELYEQAIKYEKGSFIASSGALATLSGAKTGRSPRDKRVVRDETTEDDLWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLARKEMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYVDSSVTENTRAAYPIDYIPNAKIPCVGPHPKNIILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTKYAAMLSEKMQKHGATGWLVNTGWSGGSYGSGKRIKLAYTRRIIDAIHSGSLLNASYKKTEVFGLEIPTEIEGVPSEILDPVNTWTDKNAYKDTQLKLAGLFKNNFAVFTNYKIGKNSMLTEEILAAGPNY >Potri.002G107700.11.v4.1 pep chromosome:Pop_tri_v4:2:7998965:8005594:-1 gene:Potri.002G107700.v4.1 transcript:Potri.002G107700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107700.v4.1 MDNKAPDNGEFSFASNTPRSTGRKGLPKIQTQDHNKKANDVCHDDSGTPVKAKTIDELHSLQRKKSAPTTPIKGAQGAFNAISEEERQKQQLQSISASLASLMRETGPKLVKGDPARKGEGQQIAHHHHYTPTISATDSSLKFTHYLHNLSPAELYEQAIKYEKGSFIASSGALATLSGAKTGRSPRDKRVVRDETTEDDLWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLARKEMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYVDSSVTENTRAAYPIDYIPNAKIPCVGPHPKNIILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTKYAAMLSEKMQKHGATGWLVNTGWSGGSYGSGKRIKLAYTRRIIDAIHSGSLLNASYKKTEVFGLEIPTEIEGVPSEILDPVNTWTDKNAYKDTQLKLAGLFKNNFAVFTNYKIGKNSMLTEEILAAGPNY >Potri.002G107700.15.v4.1 pep chromosome:Pop_tri_v4:2:7998800:8005939:-1 gene:Potri.002G107700.v4.1 transcript:Potri.002G107700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107700.v4.1 MDNKAPDNGEFSFASNTPRSTGRKGLPKIQTQDHNKKANDVCHDDSGTPVKAKTIDELHSLQRKKSAPTTPIKGAQGAFNAISEEERQKQQLQSISASLASLMRETGPKLVKGDPARKGEGQQIAHHHHYTPTISATDSSLKFTHYLHNLSPAELYEQAIKYEKGSFIASSGALATLSGAKTGRSPRDKRVVRDETTEDDLWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPEHRIKVRIVSARAYHSLFMHNMCIRPTPEELENFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDINLARKEMVILGTQYAGEMKKGLFGVMHYLMPKRQILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDHNRYLIGDDEHCWSENGVSNIEGGCYAKCIDLSREKEPDIWNAIKFGTVLENVVFDEHTREVDYVDSSVTENTRAAYPIDYIPNAKIPCVGPHPKNIILLACDAFGVLPPVSKLSLAQTMYHFISGYTALVAGTEDGIKEPQATFSACFGAAFIMMHPTKYAAMLSEKMQKHGATGWLVNTGWSGGSYGSGKRIKLAYTRRIIDAIHSGSLLNASYKKTEVFGLEIPTEIEGVPSEILDPVNTWTDKNAYKDTQLKLAGLFKNNFAVFTNYKIGKNSMLTEEILAAGPNY >Potri.015G114501.1.v4.1 pep chromosome:Pop_tri_v4:15:13047779:13048712:1 gene:Potri.015G114501.v4.1 transcript:Potri.015G114501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G114501.v4.1 MRRNTMAGSASITSHTMDDDLHVKLLYLENRERNPAMISSNTLLTYLHINSTDLLAALSEL >Potri.004G089100.1.v4.1 pep chromosome:Pop_tri_v4:4:7582691:7586294:1 gene:Potri.004G089100.v4.1 transcript:Potri.004G089100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G089100.v4.1 MGFLEGSCLNTEEPPNSSTSDALSTATFRAKDQYFEHHYERKMKAFLSKMFWQFGLACFVPNESDETSKGSNKKNADLEHNKAWLLAESGGCGGAELTNADPQSVHSSFRFSLCSQVELESMNMNSSATVLMVNLDNGLNETRAKELKWRRIQSLERSISPVANSLVRFSYREILAATNNFSKGRVLGRGALSFVFRGKVGFLRTAVAIKRLDKEDKEASKAFCRELMIASSLYHSNIVPLVGFCIDPDEGLFLVYRYVSGGSLERHLHDKKKGKGGVKGSSGLPWSVRYKVALGIAQAIAYLHNGTERCVVHRDIKPSNILLSSKKVPKLCDFGLATWTSAPSVPFLCKTVKGTFGYLAPEYFQHGKISDKTDVYAFGVVLLELISGRKPIEARKPLREENLVLWAKPLLQKGNEAIEELLDPRLKCTLRNTTQITHMIQAATACISNEESRRPGIDEIIGILRGEEQPIYSNRKKSNFSGIIDCYPQLQQTKSEMNSHLALAMLGVSEFEDDDHLYCR >Potri.002G161900.1.v4.1 pep chromosome:Pop_tri_v4:2:12387539:12388036:-1 gene:Potri.002G161900.v4.1 transcript:Potri.002G161900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161900.v4.1 MGFPVGYSEVFLPKLFVHILSFLGFIRNLILCLFNYLGLSGFLETDNIWPDNPIRMSCYPPLSAALLREILPVIKFEDLVTGDGGCCDLPESCAVCLYEFEGEDEIRWLKNCKHIFHRTCLDRWMDHDRKTCPLCRNSFVPDEMQEEFNQRLWAANNDVSDFYCE >Potri.010G110850.1.v4.1 pep chromosome:Pop_tri_v4:10:13094902:13095045:-1 gene:Potri.010G110850.v4.1 transcript:Potri.010G110850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110850.v4.1 MVEILRSSSNYMLSLCQSRAGVVSVASAFAFGSCKEGWYYRCIGVDP >Potri.005G131200.1.v4.1 pep chromosome:Pop_tri_v4:5:10116898:10123052:1 gene:Potri.005G131200.v4.1 transcript:Potri.005G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131200.v4.1 MCSVTEDGVQCSFALEYTGPPVGYDIPRAVPINVNNIPVAAVVPHINFQQNITLPVVKPLLPASDPRKNPKSVNTGKNPGKDCGSEEAAITVSPTSVIERAVDHNLQECVFSSELSSSGLSNDAGTSSSTNSFDDKSRDESLLKLRVSNELSSNRDWESNESVLSSVDVDDEYPSSRVSSVKVSNNEVNGEGRKAPVVTFRDIESNDDGGDGDIDDGFGGNEGFFEEEERVLRIKPEARSKGKKGSCYRCFKGSRFTEKEVCLVCDAKYCINCVLRAMGSMPEGRKCVTCIGFPIDESKRGSLGKCSRMLKRLLNNLEVRQIMKAEELCEANQLPPEYVYVNGEPLCHEELVVLQTCSNPPKKMKPGNYWYDKVSGLWGKVGQKPCQIISPHLNVGGPIKANASNGNTQVFMNGREITKVELRMLQLAGVQCAGNPHFWVNEDGSYQEEGQKNTKGYIWGKAGMKLVCAFLSLPVPSKPSNSCGEQVNSLISRSIPDYLEQRTLLKLLLVGFSGSGTSTIFKQAKILYKPVPFTEDERENIKLTIQSNVYGYLGILLEGRDRFEEESLTAVTKEQSTDETEHIGSTSNTNHQTIYSIGPRLKAFSDWLLKTMVSGNLEAIFPAATREYAPLVEELLKDEAIQATYKRRNELEMLPSVSSYFLERAVHILRTDYEPSDLDILYAEGVTSSNGLACLDFSYPQSASDDNYDTEDQHDALLRYQLISVHSRGLGENCKWLEMFEDVGMVIFCVAMNDYDQYTVDGNGLSTNKMLLSRKFFESIVTHPTFEQMDFLLILNKFDLFEEKIERVPLTQCEWFDDFHPVISSHRSNSNSNSNSINTSPSLGHLGAHYMAVKFKRLYALLTGRKLYASVVKGLEPDSVDAALKYAREIMKWDEEKPNFSLSEYSLYSTEASSYSP >Potri.003G185732.1.v4.1 pep chromosome:Pop_tri_v4:3:19051838:19054174:1 gene:Potri.003G185732.v4.1 transcript:Potri.003G185732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185732.v4.1 MYWPPSLQVFNSTFELIEGKQGSDGRKLAFLADMDWFYSKIWSPQEINKLPSTVPMSLAWILNNNSWTYNKDTMDDNCYVTQINSTTNMTAGRCSCSEGYEGNPYLQCRVIGLALGVLFLLIGAWWMYKLFKRRKSIQLKKKFFKRNGGLLLQQQLSSSDGSVQKTKIFSSNELEKATDYFNENRILGHGGQGTVYKGMLADGSIVAVKKSTIVDEEKLEEFINEVVILSQISHRNVVRLLGCCLETDVPLLVYEFIPNGTLSQYLHEQNEDFTLSWESRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVSIDQTHLTTKVQGTFGYLDPEYFRTSQLTEKSDVYSFGVVLVELLSGKKTIFLTHSLETMSLVKHFIDLMEDGRLFGIIDAQVKGDCTEEEAIVIANLAKRCLDLNGRNRPTMREVAMELEGILLSRNGINIQQIGEVDNSSRSISCSSFEIGIDLPLDCKPSISSETW >Potri.018G003201.1.v4.1 pep chromosome:Pop_tri_v4:18:225691:226590:-1 gene:Potri.018G003201.v4.1 transcript:Potri.018G003201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003201.v4.1 MTASTSTSFASINNNDSFLLIIIVVNATMTTASELSNWQGLILEQTTIDNWLQPNNMGKINNHSAQIHRRRRSCVYIL >Potri.010G215300.4.v4.1 pep chromosome:Pop_tri_v4:10:20275473:20279630:-1 gene:Potri.010G215300.v4.1 transcript:Potri.010G215300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215300.v4.1 MAVLLRNPKTITRTTTCSIKPLSSCISRSFSSTTLNSETDLKVPSFFSCISPPLRQEPKDRNVQWVFLGCPGVGKGTYASRLSNLLGIPHIATGDLVREELNSSGTLSSQLKEIVNQGKLVSDEIIISLLSKRLEAGEAKGESGFILDGFPRTIRQAEILEGVTDIDLVVNLKLREEALLAKCLGRRICSQCGGNYNIASIDIKGENGKPGIYMAPLPAPPQCVPNLIQRADDTKEVVKERLRIYNEMSRPVEEFYRNRGKLLEFDLPGGIPESWPKLLEALNLDHEDKCTAAA >Potri.010G215300.2.v4.1 pep chromosome:Pop_tri_v4:10:20274384:20279631:-1 gene:Potri.010G215300.v4.1 transcript:Potri.010G215300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215300.v4.1 MAVLLRNPKTITRTTTCSIKPLSSCISRSFSSTTLNSETDLKVPSFFSCISPPLRQEPKDRNVQWVFLGCPGVGKGTYASRLSNLLGIPHIATGDLVREELNSSGTLSSQLKEIVNQGKLVSDEIIISLLSKRLEAGEAKGESGFILDGFPRTIRQAEILEGVTDIDLVVNLKLREEALLAKCLGRRICSQCGGNYNIASIDIKGENGKPGIYMAPLPAPPQCVPNLIQRADDTKEVVKERLRIYNEMSRPVEEFYRNRGKLLEFDLPGGIPESWPKLLEALNLDHEDKCTAAA >Potri.010G215300.3.v4.1 pep chromosome:Pop_tri_v4:10:20276197:20279580:-1 gene:Potri.010G215300.v4.1 transcript:Potri.010G215300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215300.v4.1 MAVLLRNPKTITRTTTCSIKPLSSCISRSFSSTTLNSETDLKVPSFFSCISPPLRQEPKDRNVQWVFLGCPGVGKGTYASRLSNLLGIPHIATGDLVREELNSSGTLSSQLKEIVNQGKLVSDEIIISLLSKRLEAGEAKGESGFILDGFPRTIRQAEILEGVTDIDLVVNLKLREEALLAKCLGRRICSQCGGNYNIASIDIKGENGKPGIYMAPLPAPPQCVPNLIQRADDTKEVVKERLRIYNEMSRPVEEFYRNRGKLLEFDLPGGIPESWPKLLEALNLDHEDKCTAAA >Potri.005G001400.1.v4.1 pep chromosome:Pop_tri_v4:5:168418:171164:1 gene:Potri.005G001400.v4.1 transcript:Potri.005G001400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001400.v4.1 MGDVEGSKEDHVPLGLAGKKGRGGFRATMFVYGLVALDSMGFVANMVSLVLYFMGVMHFDIPTSANTLTNLMGSTFLLTVLGGFISDAYLNRLHTVLLFGFLEIMALVLITIQAHAKNMHPPACGKASCVEGGIEYMLYFSLGLLALGSGGVRGALPALGADQFNEKDPEEARALASYFNWMTLSTVVGAVVGVTGIVNLSMFHGWWKGFLVSTVGTFIGFVILAAGKPFYHLRQPGDSPLIRIAQVFALAIRNRRLPLPKVEELYEISDKETIAYEEKLEHTEQFRFLDKAAILPEGTQPAPWKVCSVTQVEEVKILTRMLPILGSTIIMNTCLAQLQTFSVQQGNDMYRKIGKHDFPAPSVPVIPLVFMVILIPAYEFFFVPFARKFTGHPAGITQLQRVGVGLVLSAVSMAVAGLVEVKRRDQALKGHLISLFWLSFQYGIFGIADMFTLVGLLDFFYKEAPSSMKSLSTSFTWLSLSFGYFLSTVFVNLINAVTKRITPSRQGWLHGQLLDYNNLNLFYWFLAILSCLNFVLYLYSASWYKYKPDDTESNNKPKAKDSDEGLLLAKESSGAKTDEEESEQNAANRNEEESAQETDDAEAKAPSSEQSNGTK >Potri.018G109800.3.v4.1 pep chromosome:Pop_tri_v4:18:12778760:12780347:1 gene:Potri.018G109800.v4.1 transcript:Potri.018G109800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109800.v4.1 MHTKSHQIFIQSQNPQFKTQTLTLDPTQTLTLYNLKLSLITDNQNPSSFYFTLNGKPLKDSTCLPNPQITPLCTLILQVRLSGGGGDGGATGAESRDCYLNMYADKKPDKVDPHELRLSKWLNCSLSNEPLRQPCVIDRLGNMFNKEALVEALIGKKLPKEFGYIKGLKDMIDIQLEVVPGDGSGNARFQCPVTGLEFNGKYKFFALKNCGHVLSAKALKEVKSSECLVCYKEFEECDKIVINGGDEEVAVLRERMEEERSKMKEKKMKKVKNGEVGANGEEFSGQGAEVSQLSGKKHGIVDVKGVDKVVGKVKGNGKVENVKGVSHGGSVKRFKATDMVPTNATKEVYASIFTSSKKQSFKETYSCRSLPLGRN >Potri.003G191100.1.v4.1 pep chromosome:Pop_tri_v4:3:19446738:19448179:-1 gene:Potri.003G191100.v4.1 transcript:Potri.003G191100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191100.v4.1 MVPPPSSNHQAIQQFLSSVLSQSGTSALPYSEDTKWLIRQHLLSLTTTSPSLEPKTATFTHNDGRTVNLLQADGTVPITFISVTYNIPVIIWLFESYPRHPPCVYVNPTRDMIIKRSHPFVNPSGLVSIPYLQNWIYPSSNLVDLARELSSVFGRDPPLFSQRPKPNPNPNYHPNQSSLGSVGNTGGGGGYPRPIVRPPQYPPYGSGGAVGKVEAEDAAEVYKRNVIDKLVENVHGDMLQLSKTREAEMESWFSAQSVLRGREEEFNKGLKEMRDKMEGLELHLQVVLMNTDVLEAWVRENKGKLKGGSEDIDVDNAFECVDVLSKQMLECTAVDMAIEDAVYSLEKAVQEGAMPFDQYLRNVRLLSREQFFNRATAAKVRAAQMQAQVAGMAARAPRYAT >Potri.001G221200.1.v4.1 pep chromosome:Pop_tri_v4:1:23157119:23158597:-1 gene:Potri.001G221200.v4.1 transcript:Potri.001G221200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221200.v4.1 MGSRMPFAIITFTLVIFLYACTHAGAQSVTFVVKNNCPYTVWPGTLTAAGRPPISSTGLTLATGASSSLSVPATWSGRLWARTQCSTDASGKFVCATADCASGVIECNGAGAIPPASFAEFTLRGDGGKDFYDISLVDGFNTPISVTPQGGSTGCPTTSCAANVNAVCDPRLAVKGADGTVIACKSACLEFHQPQFCCTGEYSTPDKCPPTQYSMTFKQQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.006G232400.2.v4.1 pep chromosome:Pop_tri_v4:6:23518759:23520803:-1 gene:Potri.006G232400.v4.1 transcript:Potri.006G232400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232400.v4.1 MANLISSIKFTGFIYVFLLFLCPLCSLKKGYAVEANEHIKKYVHTLEVNSLLASDSCDQSMIDKASSLQVLHKYGPCMQVLNDRSHVEFLLQDQLRVDSIQARLSKISGHGIFEEMVTKLPAQSGIAIGTGNYVVTVGLGTPKEDFTLVFDTGSGITWTQCQPCLGSCYPQKEQKFDPTKSTSYNNVSCSSASCNLLPTSERGCSASNSTCLYQIIYGDQSYSQGFFATETLTISSSDVFTNFLFGCGQSNNGLFGQAAGLLGLSSSSVSLPSQTAEKYQKQFSYCLPSTPSSTGYLNFGGKVSQTAGFTPISPAFSSFYGIDIVGISVAGSQLPIDPSIFTTSGAIIDSGTVITRLPPTAYKALKEAFDEKMSNYPKTNGDELLDTCYDFSNYTTVSFPKVSVSFKGGVEVDIDASGILYLVNGVKMVCLAFAANKDDSEFGIFGNHQQKTYEVVYDGAKGMIGFAAGACS >Potri.006G232400.1.v4.1 pep chromosome:Pop_tri_v4:6:23518649:23520802:-1 gene:Potri.006G232400.v4.1 transcript:Potri.006G232400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232400.v4.1 MANLISSIKFTGFIYVFLLFLCPLCSLKKGYAVEANEHIKKYVHTLEVNSLLASDSCDQSSKVIDKASSLQVLHKYGPCMQVLNDRSHVEFLLQDQLRVDSIQARLSKISGHGIFEEMVTKLPAQSGIAIGTGNYVVTVGLGTPKEDFTLVFDTGSGITWTQCQPCLGSCYPQKEQKFDPTKSTSYNNVSCSSASCNLLPTSERGCSASNSTCLYQIIYGDQSYSQGFFATETLTISSSDVFTNFLFGCGQSNNGLFGQAAGLLGLSSSSVSLPSQTAEKYQKQFSYCLPSTPSSTGYLNFGGKVSQTAGFTPISPAFSSFYGIDIVGISVAGSQLPIDPSIFTTSGAIIDSGTVITRLPPTAYKALKEAFDEKMSNYPKTNGDELLDTCYDFSNYTTVSFPKVSVSFKGGVEVDIDASGILYLVNGVKMVCLAFAANKDDSEFGIFGNHQQKTYEVVYDGAKGMIGFAAGACS >Potri.012G121700.15.v4.1 pep chromosome:Pop_tri_v4:12:13906866:13913016:-1 gene:Potri.012G121700.v4.1 transcript:Potri.012G121700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121700.v4.1 MERNRLGLRIHHSGSSQSEESALDLERNYCNHLPWSSLSPLQPFTSGGQHSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGILTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTDLVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAGDFNMNNVTTTVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLKGQDCEKPQPVGIIWGGTANRGRLKLKVGLPPENWTSGVDLGRLLDLLELDLITTNDGLQVQDQRNASAPAIDSTVGESSPLDRVPSKEKIEENFEPINLNMQQGVVKGESQQGQSPLFIGPEFHIEDGAEAAPNVEHQFIPSFSGQSLMHDNKPQETPELKNLSALRSDSDEEMCFSLQLGKPEPKRRKQLD >Potri.012G121700.12.v4.1 pep chromosome:Pop_tri_v4:12:13906887:13913462:-1 gene:Potri.012G121700.v4.1 transcript:Potri.012G121700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121700.v4.1 MERNRLGLRIHHSGSSQSEESALDLERNYCNHLPWSSLSPLQPFTSGGQHSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGILTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTDLVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAGDFNMNNVTTTVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLKGQDCEKPQPVGIIWGGTANRGRLKLKVGLPPENWTSGVDLGRLLDLLELDLITTNDGLQAAVQDQRNASAPAIDSTVGESSPLDRVPSKEKIEENFEPINLNMQQGVVKGESQQGQSPLFIGPEFHIEDGAEAAPNVEHQFIPSFSGQSLMHDNKPQETPELKNLSALRSDSDEEMCFSLQLGKPEPKRRKQLD >Potri.012G121700.16.v4.1 pep chromosome:Pop_tri_v4:12:13906868:13913394:-1 gene:Potri.012G121700.v4.1 transcript:Potri.012G121700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121700.v4.1 MERNRLGLRIHHSGSSQSEESALDLERNYCNHLPWSSLSPLQPFTSGGQHSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGILTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTDLVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAGDFNMNNVTTTVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLKGQDCEKPQPVGIIWGGTANRGRLKLKVGLPPENWTSGVDLGRLLDLLELDLITTNDGLQGLCFQFNPIFFSFYINALIFQNSFVFFPSYMEGVLPKSRYYSNK >Potri.012G121700.14.v4.1 pep chromosome:Pop_tri_v4:12:13906866:13913425:-1 gene:Potri.012G121700.v4.1 transcript:Potri.012G121700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121700.v4.1 MERNRLGLRIHHSGSSQSEESALDLERNYCNHLPWSSLSPLQPFTSGGQHSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGILTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTDLVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAGDFNMNNVTTTVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLKGQDCEKPQPVGIIWGGTANRGRLKLKVGLPPENWTSGVDLGRLLDLLELDLITTNDGLQAAVQDQRNASAPAIDSTVGESSPLDRVPSKEKIEENFEPINLNMQQGVVKGESQQGQSPLFIGPEFHIEDGAEAAPNVEHQFIPSFSGQSLMHDNKPQETPELKNLSALRSDSDEEMCFSLQLGKPEPKRRKQLD >Potri.012G121700.11.v4.1 pep chromosome:Pop_tri_v4:12:13906817:13913085:-1 gene:Potri.012G121700.v4.1 transcript:Potri.012G121700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121700.v4.1 MERNRLGLRIHHSGSSQSEESALDLERNYCNHLPWSSLSPLQPFTSGGQHSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGILTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTDLVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAGDFNMNNVTTTVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLKGQDCEKPQPVGIIWGGTANRGRLKLKVGLPPENWTSGVDLGRLLDLLELDLITTNDGLQAAVQDQRNASAPAIDSTVGESSPLDRVPSKEKIEENFEPINLNMQQGVVKGESQQGQSPLFIGPEFHIEDGAEAAPNVEHQFIPSFSGQSLMHDNKPQETPELKNLSALRSDSDEEMCFSLQLGKPEPKRRKQLD >Potri.012G121700.13.v4.1 pep chromosome:Pop_tri_v4:12:13906816:13913456:-1 gene:Potri.012G121700.v4.1 transcript:Potri.012G121700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121700.v4.1 MERNRLGLRIHHSGSSQSEESALDLERNYCNHLPWSSLSPLQPFTSGGQHSESNAAYFSWPTLSRLNDAAEDRANYFGNLQKGVLPETLGRLPTGQQATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGILTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGAPAATPKEQLYTDLVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDDLWYGIFAGTNPETFVRADGAFIPFAGDFNMNNVTTTVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLKGQDCEKPQPVGIIWGGTANRGRLKLKVGLPPENWTSGVDLGRLLDLLELDLITTNDGLQAAVQDQRNASAPAIDSTVGESSPLDRVPSKEKIEENFEPINLNMQQGVVKGESQQGQSPLFIGPEFHIEDGAEAAPNVEHQFIPSFSGQSLMHDNKPQETPELKNLSALRSDSDEEMCFSLQLGKPEPKRRKQLD >Potri.T171201.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:114482:119669:1 gene:Potri.T171201.v4.1 transcript:Potri.T171201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T171201.v4.1 MGIEKEGSKSGGYVGGFFQLFDWTAKSRKKLFSSKSDLPERSKQGKRSDGSLPMTRLHLMDDDENGAESGIRGGSDYSCASSVTDDDGYGARAPGVVARLMGLDSMPTSNLSEPNSTPSFDTQSLRDASRGSRNFDYYQDHQIAYSGNLLDKEDRPPRNFEESKSHKVLSRPIEKFQTEILPPKSAKSIPITHHKLLSPIKSPGFIPNKTAAHIMEAAAKIIEPGPQAAAKPKMPAVGSSSVPLKVRDLKEKLEVAQKMPLAGSSSAALRTREPKEKVEVSHKTLRLAETSRRPVESNAAKHLKGQSLNKSWNGSDDTSCRAFSETDEGSSSSKTKGKSISLAIQAKVNVQRREGLNSSSRQGCVGQKELREVSSSQSFKCQPNVQKSLQKRSPVQNTSGVLRQNNQKQNCIMDKDKLPSKPLVSKLQGKRVLSGNPPVRHKTSGKPFGSKNGSRKLDLDLREGEKGNSNYSMANNPRKKRSIDGNLHVEKNQVVDNKLIDRNRKAVEPTPVIDRPFSWAEESKRKGMDVVSFTFTAPLTRSMPGSETPTQAVQKNSGSCMDNCSKRLLLDTDSMKLSSVGYNVIGGDALSSLLEQKLRELTKGVESSSSISTFSSGGAAPRLHDNKDQSFSCIDKSDSCYDSPSALFFTDPAALRLKQTFQGVDEMDCSSKSNDSRQLLDCRRPSPVSVLEHSFSTESSSSLDSMDSCSTEGNKHCSSIQTQEVLSLSSTKRVHFVDADMELSDSASSTSTGTVARKHSIMLAVTGLVRSKKWEVQYVEKILCNIESMFQDLALGRASEIINPHLFHQLERKKIMLESDDVDARLERKVLFDCASECLDLRCRRYVGGGYKAWVKGTTMVRRKEWLAEDMYKEISEWSRMGDCMVDELVEKDMSSQYGRWLDFEVDAYALGVEFESQIFNSLVNEVVADILRF >Potri.011G070800.2.v4.1 pep chromosome:Pop_tri_v4:11:6260661:6260976:-1 gene:Potri.011G070800.v4.1 transcript:Potri.011G070800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070800.v4.1 MIEKYVIGEVDVTIVPTKLLYVAPGLGGTNPKDEKPGFLIKILQLLVPLLILGLALAIRTYTKKE >Potri.004G012300.2.v4.1 pep chromosome:Pop_tri_v4:4:755469:755681:-1 gene:Potri.004G012300.v4.1 transcript:Potri.004G012300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012300.v4.1 MSRSTWEKKLAVYRRWGLSEEEILASFVKFPWFMALSEEKIMALMDLFVHKLGWEAFYLAKNPSIASNGM >Potri.017G047400.1.v4.1 pep chromosome:Pop_tri_v4:17:3360843:3361582:1 gene:Potri.017G047400.v4.1 transcript:Potri.017G047400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047400.v4.1 MASRKSFILAFFIALAFSSMTVSVAARHLLQLPTLPPLPSIPNLPQPTLPTLPTTQPSLPKPTLPPLPSIPTIPTIPTVPKVTFPPLPSMPSIPTIPTIPSIPFLSPPPATTSP >Potri.006G233301.1.v4.1 pep chromosome:Pop_tri_v4:6:23594192:23594386:-1 gene:Potri.006G233301.v4.1 transcript:Potri.006G233301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233301.v4.1 MALSKATLIAVLALAFTVLSVHAAAPMSAPAPAPTAAAAGIYPSLGSVFVAAIVSLFLGYGLKI >Potri.006G035100.2.v4.1 pep chromosome:Pop_tri_v4:6:2217568:2218098:-1 gene:Potri.006G035100.v4.1 transcript:Potri.006G035100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035100.v4.1 MAGIFSVTAAIYGTYWDLVMDWGLLQFKSKNWLLRDKLLIPYRSVYFGAMVLNVLLRFAWLQTVLNFQVSFPHAQTLSAIVASLADYGTFSDQVGE >Potri.001G148800.9.v4.1 pep chromosome:Pop_tri_v4:1:12393562:12435236:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVRRVWKAYKFDMKTLVLKVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVTEDGGIGSIWRPICPDGYISIGDIAHVGGHPPNVAAVYRNTDGLFALPLGYDLMCRCGGIVRMTTKLQYLFGIHGLQKDMYLLDVLLFPTLRSQNLVRYTV >Potri.001G148800.11.v4.1 pep chromosome:Pop_tri_v4:1:12393562:12435018:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVRRVWKAYKFDMKTLVLKVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVTEDGGIGSIWRPICPDGCGGIVRMTTKLQYLFGIHGLQKDMYLLDVLLFPTLRSQNLVRYTV >Potri.001G148800.1.v4.1 pep chromosome:Pop_tri_v4:1:12393545:12435309:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVRRVWKAYKFDMKTLVLKVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVTEDGGIGSIWRPICPDGYISIGDIAHVGGHPPNVAAVYRNTDGLFALPLGYDLVWRNCQDDYKAPVSVWHPRAPEGYVSPGCVAVSNFEEPEPCSVYCVAESLVEETEFEEQKVWSAPDSYPWACHIYQVRSDALHFVALRQTKEESDWKPMRVADNLPPRLQLSEPQ >Potri.001G148800.5.v4.1 pep chromosome:Pop_tri_v4:1:12400202:12435309:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MACTKRYSDGKTLVGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVRRVWKAYKFDMKTLVLKVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVTEDGGIGSIWRPICPDGYISIGDIAHVGGHPPNVAAVYRNTDGLFALPLGYDLVWRNCQDDYKAPVSVWHPRAPEGYVSPGCVAVSNFEEPEPCSVYCVAESLVEETEFEEQKVWSAPDSYPWACHIYQVRSDALHFVALRQTKEESDWKPMRVADNLPPRLQLSEPQ >Potri.001G148800.12.v4.1 pep chromosome:Pop_tri_v4:1:12393562:12435197:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVRRVWKAYKFDMKTLVLKVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVLLNYQAMPDC >Potri.001G148800.6.v4.1 pep chromosome:Pop_tri_v4:1:12393562:12435139:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVRRVWKAYKFDMKTLVLKVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVTEDGGIGSIWRPICPDGYISIGDIAHVGGHPPNVAAVYRNTDGLFALPLGYDLDDYKAPVSVWHPRAPEGYVSPGCVAVSNFEEPEPCSVYCVAESLVEETEFEEQKVWSAPDSYPWACHIYQVRSDALHFVALRQTKEESDWKPMRVADNLPPRLQLSEPQ >Potri.001G148800.8.v4.1 pep chromosome:Pop_tri_v4:1:12393562:12435309:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVRRVWKAYKFDMKTLVLKVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVTEDGGIGSIWRPICPDGYISIGDIAHVGGHPPNVAAVYRNTDGLFALPLGYDLVWRNCQDDYKAPVSVWHPRAPEGYVSPGCVAVSNFEEPEPCSVYCVAESLVEETEFEEQKVWSAPDSYPWACHIYQVRSDALHFVALRQTKEESDWKPMRVADNLPPRLQLSEPQ >Potri.001G148800.10.v4.1 pep chromosome:Pop_tri_v4:1:12393562:12435019:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVRRVWKAYKFDMKTLVLKVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVTEDGGIGSIWRPICPDGMFHIKFLFSGGRLFLPLFMFHSFCLQMMLFFSVYFEPEMSLSLQVYLHWGHSSCWWPSSKRGCCLSEH >Potri.001G148800.13.v4.1 pep chromosome:Pop_tri_v4:1:12393562:12427594:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRYFS >Potri.001G148800.7.v4.1 pep chromosome:Pop_tri_v4:1:12393562:12435018:1 gene:Potri.001G148800.v4.1 transcript:Potri.001G148800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148800.v4.1 MLEDQVASLLQRLLGNYVRGFNKEALKISVWKGDVELTNMQLKPEALNALKLPVKVKAGFLGSVKLKVPWSRLGQDPVLVYLDRIFLLAEPATQVDGLTEDVVQEAKKSRVREMEMKLLEKAQQLTSEMNTSWLGSLINTIIGNLKLSISNIHIRYEDLESNPEHPFAAGVTLGKLSAVTVDDNGMETFVSGGALDRIQKSVELDQLAIYLDSDISPWNIDKSWEDLLPSEWLQVFRFGTKDGKPADHMMVKHSYILQPVTGDATYSKLRRKESANSDQPLQKAVVNLNDVTLSLSKDGYRDILKLADNFAAFNQRLKFAHYRPLLPVKSNPRSWWRYAYKAVSDQTKKASGKLSWEQVLRYAGLRKRYISLYASLLKSDPSHEIVDDNEEIEELDRELDIELILQWRMLAHKYVKQSMESDRYSRKQKPKTSWWSFGWNNKSDKDESEQFHFSEEDWEQLNKLIGYREGENEQSVIINEKADTLNMSLEVHMKHNASKLVDGAREYIAELSCEDLDCSIKLYPETKVFDLKLGSYQLSSPNGLLAESATASGSLVGVFYYKPFDAKVDWSMAVKAAPCYMTYLKDSIDGIINFFESSNAVSQTIALETAAAVQMTFDGVKRSAQQQVNRALKDHARFLLDLDIAAPKITIPTEFYPDNIHSTKLLLDLGNLVIRSEDDYERRLSEDQNMYLQFDLVLSDVCAFLVDGDYRWSQTASQGSASSVRSEGVSFLPVIDRCGVILTFQQIRLENPSYPSTRLSVRVPSLGFHFSPARYHRLMRVAKIFQEEGSENSDLLRPWNQSDFEGWLSLLIRKGMGNREAVWQRRYICLVGPFLYVLENLDSKSYKHYLSLRGKQVYHLPAELLGGVEHVLTICDAARPLSKVVEDANALILLCDSDDSQRNWQSRLQGAIYSASGSAPITTLSETSSDPEDSETELNDSGEASNILKMERIFITGALDELKICFNYNRQRDLSFVNVLLAEENHLFEFRAIGGQVELSIRENDMFIGTVLKSLEIEDLVCCNGVSQPCFLARSFVQSSDVHLSFDDTGNQTFDNNNSTPSEGEDKFYEAPENLVNSDYPSPQNSLSSEYSSFKPPSFSRVAGLLPGDVVQARMDDIEIMNTMDSFVKAQIVIYDQNSSLYKNIDTQVTVSLATLSFFCRRPTILAIMEFVNAINVEDEKCETFSDNSPSAMVKHDSSGDDIVDDQDLTTIEKPAVKGLLGKGKSRIIFNLILKMDRAQILLMHENETKFATLSQDNLLTDIKVFPSSFSIKAALGNLRISDDSLPGGHAYFWICDMRNYGGSSFVELVFTSFSADDEDYEGYEYSLFGQLSEVRIVYLNRFIQEVVSYFMGLIPNNSKNFVKLKDQVTNSEKWFTTSEIEGSPALKLDLSLRKPIILMPRRTDSPDYLKLDVVHITIQNTFQWLGGSKGELHAVHLEILTIKVEDINLNVGSGTELGESIIQDVNGVSILIRRSLRDLLHQIPITEAAIKMEELKAALTSRDYQIITECATSNISETPHTVPPLNHDSVASSADVVKPIALQDPSGVEAETRNGEAWISLKVSVAINLVELCLYAGVARDASLATIKVSGAWLLYKSNNAGEGFLSATLKGFTVIDDREGTEEEFRLAVGMPEKIGYSLLHLSSDDENQHISDLNVTKQDEIKPVPTMLIFDAKFGQYSTFISLCVQRPQLLVALDFLLAVAEFFVPTVGDMLSNEESRTPMHEVDAVVLDQPIYQQSSAEISLSPLRPLIVDDERFDHFTYDGKGGILHLKDRQGANLSAPSKEAIIYVGSGKELQFKNVVIKNGKYLDSCIFLGSDSGYSVSRNDQVQLEGQDDAPLTESSRSINDQPSEDTLVDRSTEFIIELQAISPELTFYNTSKDVGVPSNLSNKLLHAQLDAFARLVLKGNTIEMTANVLGLMMESNGITILEPFDTSVKYSNASGKTNIHLSVSDIFMNFTFSILRLFLAVEEDILSFLRMTSKKTIPCSQFDKVGTITNPYTDQIYAFWRPCAPPGYAILGDYLTPLDKPPTKGVVAVNTNFARVKRPISFKLIWPPLASEEISGQDVANSSFLLDSFLTKEGNYCSIWFPEAPKGYVALGCVVSPGRTQPPLSAAFCISASLVSSCSLRDCITINSVNSYQSTLAFWRVDNSVGTFLPADPVTLSLIGRAYELRDVKFGFLESSSASSGSDVQASPSGNVDIQPENSTTVNSGRGFEVVASFQLIWWNQGSSSRNKLSIWRPVVPHGMVYFGDIAVTGYEPPNTCIVLHDTEDGVLFKAPLSFQPVGQIKKQRGMDSISFWMPQAPPGFVSLGSIACKGPPKQFDFSKLRCMRSDMVTQDRFLEESLWDTSDARYTKESFSIWSVGNELGTFLVRSGLKKPPRRFALKLADPNLPSGSDDTVIDAEVRTFSAAIFDDYGGLMVPLFNAHLTGIGFSLHGRADYLNSTASFSLVARSYNDKYESWEPLVESVDGYLRYQYDLNAPGAASQLRLTTSRDLNINVSVSNANMIIQAYASWSNLSHADEYHKKREAVSPTHGLRSVIDVHQRRNYYIIPQNKLGQDIFIRAAENAGFSNVLRMPSGDMTPVKVPVSKNMMESHLKGKLSTKDRTMVTVAIVDAELPRVRGLTSNLYVVALRLTPNQNLGSESLLHQQSARTSGSISNFLSDEQQLVNWSEIFFFKVDSPDKYLLELIVTDLGKGDTVGFFSAPLNQIAGNIKESSYQFDYLNYLTWIDLSSSNSMTMTQGDEHTKSSGRIRCAVLLSPRSEAMDKDEVFIGKRKSGFIQISPSMEGPWTTVRLHYAAPAACWRLGNDVIASEVSVRDGNIYVNMRSLVSVRNNTDFILELCLVPKTSKENIRNIRSLSIASKPEGLQIDGSTVQTDEIFETENYNPSLGWVGYSNYSDGGDHNQEISRVGLPSGWEWTEDWHLDTLSVNDADGWVYSPDVESLKWPESSNPLEFANHARQRRWIRTRKQILYDVKQEVSVGSLKPGDSMPLPLPALTQSGVYILQLKPSNVSTHDEYSWSYMVDKPGQPEGFGEPKDSGICISSLTESEELLYCSQISGTSSKGSHKLWFCVSIQATEIAKDIRCDPIEDWCLVVKSPLTFSNCLPLAAEYSVLNMQPRGHFVACARGVFSPGETVKVHTADIRKPLFLSLLPQKGWVPMHEAVLISHPSGLPSKTISLRSSISGRIVQLVLDHNYDKEQPLLAKIIRVYAPYWFSITRCPPLRFRLVDLAEEKNPRKIALPFMSKRRDQEILGEITEEEIYEGHTIASALNFNLLGLSASITRSDQEQHFGPVKDLSPLGDMDGSLDFYAYDADGNCMWLFVSTKPCPYQSVPTKVIYVRPFMTFTNRIGQDMFIKLNSEDEPKVLRASDSRIAFAYRKTTETDKIQVRLQDTEWSFPVQISKEDTIFLVLRGQNHSWRFFRTEIRGYEEGSRFIVVFRPGSSDGPIRIENRTDKMISIRQSGFGDNAWIKLEPLSTKKFAWEDPYGQKIVDAMVDSDSRNSIWKLDMEGTGISSAEDAELGLQFHVVEMGDVKVGRFTNYQGSTSREESMSLTPAGNWGTSHVQSAMQNAAAPIELIVELGVVGISVVDHRPKELSYMYLERVFVSYSTGYDGGSTSRFKLILGNLQIDNQLPLTLMPVLFAPEQTTDTHHPVFKMTFTIRNESTDGIQVYPRLYIRVTDKVWRLNIHEPIIWALVDFYNNLQLDRVPQSSNVTEVDPEIHIGLIDVSEIRLKVSLETEPSQRPHGVLGVWSPILSAVGNALKIQVHLRRVMHRDRFMRKSSIAPAIQNRIWRDLIHNPLHLIFSVDVLGMTSSTLSSLSKGFAELSTDGQFLQLRSKQVESRRITGVGDGIIQGTEAFAQGVAFGVSGVLTKPVESARQNGFLGLAHGLGRAFIGFIVQPVSGALDFFSLTVDGIGASCSKCLGALNNKTTPQRFRNPRAIRADGILREYSEKEASGQMILYLAEASRHFGCTEIFKEPSKFAWSDYYKDHFFVPYQKIVLVTNKRVMLLRCFDLDKIDKKPSKIMWDVAWEELMALELAKAGCHQPSHLLLHLKSFKRSENFVRVIKCNVGEESEDMEAQATKICSVVPSSQRHVYFAWSEADGREPHNPNKAIIKSRELSSSNYASDEGRFVKHAINFLKIWSSEQESKGRCKLYRKQVTEDGGIGSIWRPICPDGYISIGDIAHVGGHPPNVAAVYRNTDGLFALPLGYDLVWRNCQDDYKAPVSVWHPRAPEGYVSPGCVAVSNFEEPEPCSVYCVAESLVEETEFEEQKVWSAPDSYPWACHIYQVRSDALHFVALRQTKEESDWKPMRVADNLPPRLQLSEPQ >Potri.010G089400.1.v4.1 pep chromosome:Pop_tri_v4:10:11438572:11439872:-1 gene:Potri.010G089400.v4.1 transcript:Potri.010G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089400.v4.1 MAAQASLFTPPTLSTLKSSNQAFVPWKQASFLPISNVKPQRTMKVAAAEETAVKEAPVGFTPPELDPSTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNVSPIEVKFTGKQAYDL >Potri.013G062950.1.v4.1 pep chromosome:Pop_tri_v4:13:4679054:4680121:1 gene:Potri.013G062950.v4.1 transcript:Potri.013G062950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062950.v4.1 MEGLKFVLVFVVLALASSFASASDPGPLQDFCVAIKETDGVFVNGKFCKDPEQVTAKDFFFPGLNVPRDTSSAVGSNVTAVNVAQIPGLNTLGISFARIDFAPHGGLNPPHTHPRATEILVVVEGTLYVGFVTSNLANGDNRLITKVLNPGDVFVFPVGLIHFQLNVGKTNAVAFASLSSQNPGVITIAKAVFGADPPINPNVLTKAFQVDKKVVDYLQKQLWTDNNN >Potri.013G022000.1.v4.1 pep chromosome:Pop_tri_v4:13:1419290:1424650:1 gene:Potri.013G022000.v4.1 transcript:Potri.013G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022000.v4.1 MFQNIIDAITGDSDGSKKLKCSDGECKKIKGTVVLMKKNVLGFNDFHASVLDRVHELLGQRVSLQLISAVNSDRSDNDLKGKLGEPAYLEEWVTAVTPLTAGEAAFKVTFDWDEEIGVPGAFLIRNNHLSEFYLKTVTLEGVPGHGRVHFVCNSWIYPAKRYNKDRVFFTNQTFMPHETPTPLRKYREEELVHLRGNGEGELKEWDRVYDYAYYNDLGDPDKGPKYVRPVLGGSSEYPYPRRGRTGRAATESDPNTESRQPLLMSLNIYVPRDERFGHLKMADFLAYALKSIAQFVKPELEALCDSTPNEFDSFDDVLKLYEGGFELPEGPLLDNLRKNIPLEMLKEIFRTDGENLFKFPKPQVIQDNHSAWRTDEEFGREMLAGVNPVIIRRLEEFPPKSKLNSKQYGDQNSSITEEHIKDNLDGLTIDEAIKNNRMFILDHHDELMPYLRRINSTTTKTYASRTLLFLKDDGTLKPLVIELSLPHDKGDEFGAISKVYTPAEDGVEGTIWQLAKAYVGVNDSGYHQLISHFLNTHAVSEPFVIATNRQLSVLHPIYKLLEPHFRDTMNINALARQTLINAGGILESTVYPAKYAMEMSSVIYKNWNFTEQALPEDLKKRGVAVEDPKAPHGVRLLIKDYPYAVDGLEIWFAIKEWVKDYCLFYYKDDDMIQKDSELQSWWKEAREEGHGDLKDAPWWPKMKTREELIDSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTISRRFLPEEGSPDYEELKSNPEKAFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTPEWTADKEPLEAFEKFGKKLAVIEDRMFDMNKDVNLKNRVGSVKVPYTLLVPTSEGGLTGRGIPNSVSI >Potri.001G127300.1.v4.1 pep chromosome:Pop_tri_v4:1:10446681:10454278:1 gene:Potri.001G127300.v4.1 transcript:Potri.001G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127300.v4.1 MMILRRRLACCTRDREISLDFDKQERIMTYNGLESCIINNQSYENESGTSRGEGCVSDSFDDDGCSSCSSSKDAFGSFSSKWLTMKKDEHGLNDWELARSPQHFYDKEKPCYSIQYSDVETMKEKFAKLLLGEDITGGQSGLSTALALSNAITNLAATVFGELWKLEPLPEERKTKWQREMDWLLSPTNYMVELVPAKQNCANGRMLEIMTPKARADIHVNLPALQKLDSMLIDTLDAMVNTEFWYSEVGSRAEGRTKSAKQSKRWWLPLPQVPTTGLSDSGRKKLLNQSKVVYQVFKAAKSVNETVLLEMPVPTIIKDALPKSGKANLGEELYKVLTADSNTAEEMLNSLDLKSEHSALEAVNKLEAAIFAWKERVTAQVSGRSPVRTSWSFVKDPVSEFDKMESLLDSAESLLQLLKSRYPNLPQTFLDSTKVQYGKDVGHAILEAYSRVLGNVAFSILSRIADVMQEDSLTNPSSPAATCCFPGINSSGYVETPVHVLHVRHSLIIDEMNNVDGKYRESNASHISDQELSYSEARTSSAIATPSRSRVWCIGGDACRSLSPTNSP >Potri.001G127300.2.v4.1 pep chromosome:Pop_tri_v4:1:10447498:10454283:1 gene:Potri.001G127300.v4.1 transcript:Potri.001G127300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127300.v4.1 MMILRRRLACCTRDREISLDFDKQERIMTYNGLESCIINNQSYENESGTSRGEGCVSDSFDDDGCSSCSSSKDAFGSFSSKWLTMKKDEHGLNDWELARSPQHFYDKEKPCYSIQYSDVETMKEKFAKLLLGEDITGGQSGLSTALALSNAITNLAATVFGELWKLEPLPEERKTKWQREMDWLLSPTNYMVELVPAKQNCANGRMLEIMTPKARADIHVNLPALQKLDSMLIDTLDAMVNTEFWYSEVGSRAEGRTKSAKQSKRWWLPLPQVPTTGLSDSGRKKLLNQSKVVYQVFKAAKSVNETVLLEMPVPTIIKDALPKSGKANLGEELYKVLTADSNTAEEMLNSLDLKSEHSALEAVNKLEAAIFAWKERVTAQVSGRSPVRTSWSFVKDPVSEFDKMESLLDSAESLLQLLKSRYPNLPQTFLDSTKVQYGKDVGHAILEAYSRVLGNVAFSILSRIADVMQEDSLTNPSSPAATCCFPGINSSGYVETPVHVLHVRHSLIIDEMNNVDGKYRESNASHISDQELSYSEARTSSAIATPSRSRVWCIGGDACRSLSPTNSP >Potri.001G127300.3.v4.1 pep chromosome:Pop_tri_v4:1:10449609:10454215:1 gene:Potri.001G127300.v4.1 transcript:Potri.001G127300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127300.v4.1 MICFWISCEPGPPILHILVCTMTGIMTYNGLESCIINNQSYENESGTSRGEGCVSDSFDDDGCSSCSSSKDAFGSFSSKWLTMKKDEHGLNDWELARSPQHFYDKEKPCYSIQYSDVETMKEKFAKLLLGEDITGGQSGLSTALALSNAITNLAATVFGELWKLEPLPEERKTKWQREMDWLLSPTNYMVELVPAKQNCANGRMLEIMTPKARADIHVNLPALQKLDSMLIDTLDAMVNTEFWYSEVGSRAEGRTKSAKQSKRWWLPLPQVPTTGLSDSGRKKLLNQSKVVYQVFKAAKSVNETVLLEMPVPTIIKDALPKSGKANLGEELYKVLTADSNTAEEMLNSLDLKSEHSALEAVNKLEAAIFAWKERVTAQVSGRSPVRTSWSFVKDPVSEFDKMESLLDSAESLLQLLKSRYPNLPQTFLDSTKVQYGKDVGHAILEAYSRVLGNVAFSILSRIADVMQEDSLTNPSSPAATCCFPGINSSGYVETPVHVLHVRHSLIIDEMNNVDGKYRESNASHISDQELSYSEARTSSAIATPSRSRVWCIGGDACRSLSPTNSP >Potri.008G015350.1.v4.1 pep chromosome:Pop_tri_v4:8:784519:786819:1 gene:Potri.008G015350.v4.1 transcript:Potri.008G015350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G015350.v4.1 MARQANTLFLEEWLRICSGSSNNTSATTNQSQGFNSFNAGTAQVSAAGTGLATSTQSMPKQFSSPASIPFSLTTSSAFSSGSSTFGSSTSKLLSSGTTFGVNSSTSESSSVSCMASPASAVFGSNWQAPKSTGFSSTPSSSSSTLFAFGATSNTGTSSAPMVFGSTSSVSSGPSFPFSSPASATPSQPVFGAPNPSFGFGSSSGNNDQMSMEDSMAEDTVEATTPSVCVFSQQPATPGSIFGFSAPSGGNQFSSTGPSRANPLQFGSQPNIAAPQNPSFQASGSLEFNAGGSFSLFTGGGDKSLGKFVRIKKTQRKR >Potri.014G116332.1.v4.1 pep chromosome:Pop_tri_v4:14:7827610:7828015:1 gene:Potri.014G116332.v4.1 transcript:Potri.014G116332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116332.v4.1 MYMTDTFSSYAPEYSPSLWPFGVKRLKSNRKACH >Potri.016G066700.1.v4.1 pep chromosome:Pop_tri_v4:16:4704715:4709276:-1 gene:Potri.016G066700.v4.1 transcript:Potri.016G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066700.v4.1 MGNSNCIGSRFSKDGLFQTISSSIRWSRSTDGSIIHSKRENGEGLSLTKVQELPVHAQRKPPEQMKIVKEETKQVTLPASPKEGAAKPSEIVMKVKEESKPAQPASDKEEKKPAVPTGPNKPLVKRTPSAGLQVDSVLKTRTGHLREYYNLGRKLGHGQFGTIFLCAEKATGKEYACKSISKRKLLTSDDVVDVRREIQIMHHLAGHPNVVSIKGAYEDEVAVHVVMELCAGGELFDRIIKRGHYTERKAAQLTRTIVGVIEACHSLGVMHRDLKPENFLFVNESEDSPLKAIDFGLSVFFKPGEIFNDVVGSPYYVAPEVLRKRYGPEADVWSAGVIVYILLCGVPPFWAEKEHDIFEEVLHGDLDFTSDPWPNISASAKDLVRRMLVRDPKKRLTAHEVLCHPWVHDDGVAPDKPLDPAVLSSLKQFSAMNKIKKMALRIIAENVSEEEIAGLKEIFKMIDTDNSGQITFEELKVGLRRFGANLSEAEIYSLLRAADVDNSGTIDYKEFIAATLHLNKVEREDRLFAAFSYFDKDNSGYITIDELQQACNEFGMDDVHLEEMIREVDQDKDGRIDFNEFVAMMQKGNAELGKNGLQGNNFGIGFREALSVY >Potri.005G193800.4.v4.1 pep chromosome:Pop_tri_v4:5:20120789:20122253:1 gene:Potri.005G193800.v4.1 transcript:Potri.005G193800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193800.v4.1 MAEEKQHQGVFHHHKSEEKPETATGYDAPPPPVSDVDYRKEKKHHKNLEHVAELGTAGAGAFAMNEKHKTKKDPEHPHRHKIKEEIAAAAAVGTCGLVFHEHHEKKATKKEEEEANGKKHHHF >Potri.005G193800.3.v4.1 pep chromosome:Pop_tri_v4:5:20120800:20122301:1 gene:Potri.005G193800.v4.1 transcript:Potri.005G193800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193800.v4.1 MAEEKQHQGVFHHHKSEEKPETATGYDAPPPPVSDVDYRKEKKHHKNLEHVAELGTAGAGAFAMNEKHKTKKDPEHPHRHKIKEEIAAAAAVGTCGLVFHEHHEKKATKKEEEEANGKKHHHF >Potri.005G237300.2.v4.1 pep chromosome:Pop_tri_v4:5:23527500:23532167:-1 gene:Potri.005G237300.v4.1 transcript:Potri.005G237300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237300.v4.1 MSLTIKLTITPHIINLNLHPKHRTKIPAYSLGVRPKPIYHSNALIPILYNNKQPRMSQASVSGSVIKSSLIEPDGGVVVDVIVPECERGSKTLEAESLPKVRLARIDVEWVHVISEGWASPLKGFMRENEYLQSLHFNSLRMGNETVVNMSLPIVLAIDDETKENIGSAKDVGLVGPDGDLLAILRSTEIYKHNKEERIARTWGTTAPGLPYVEEFITPAGNWLIGGDLEVLKPIKYNDGLDHYRLSPQQLRKEFDRRQADAVFAFQLRNPVHNGHALLMNDTRRRLLEMGYKNPILLLHPLGGFTKADDVPLAVRMEQHSKVLEDGVLDPETTIVAIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMGHPTEKRDLYDPDHGKKVLSMAPGLEKLNILPFRVAAYDTVAKKMAFFDPSRSKDFLFISGTKMRTYARTGENPPDGFMCPGGWEVLVKYYERLQAEEATPAAVSA >Potri.010G063300.2.v4.1 pep chromosome:Pop_tri_v4:10:9239205:9240965:1 gene:Potri.010G063300.v4.1 transcript:Potri.010G063300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063300.v4.1 MESFASGCGRGTGPVLLTTKPCSNPSSQKPLISLWKLKKPYFFFYSHCYSTTTRCSSNNRWDPNAETRRFNFKFKFRDKNGDSVQVEEDEKGGSTRKGKKRKRRWWSDQEEEPPGILEEAIDSLWVLQLAKSYGWMFPPIVITSLLATGPKAFLMVLALSVGQSALTFAFQKLLGKTQSKPKRKVRKRRKTTGNTVNDADIDDEEQDKEGAVKGRMGYRSWVVDDNGSFNKDNQDAPNFGGWDEFDATAPQRKRQPRKKPLANGKWSMSGRKSDTPLLLRLLIAVFPFLGSWTKML >Potri.001G050200.1.v4.1 pep chromosome:Pop_tri_v4:1:3803818:3809091:1 gene:Potri.001G050200.v4.1 transcript:Potri.001G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050200.v4.1 MATSTKPKAKNLSSQASTAGRPQQAVKFARRTSSGRIASFSHDEDLDLSGEFSGQNDYINYTVVMPPTPDNQPAWPSSENKSDGPTSRFGSEAQNASRRVGEQEDNYGSRGGNGRSNDNSKTERGMSIMKSNNRSLLSRSQTGDFDHNRWLFETKGTYGVGNAYWSDQDKYGQDSELSKSDFLDKPWKPLSRKIRVPAAILSPYRILVVIRLVLLCFFLGWRVQNPNRDAMWLWGLSIVCEIWFAFSWLLDIFPKYNPINRSTDLAALRDKFEQPSPANPHGRSDLPGVDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYISDDGGAILTFEAMAEAVKFAEVWVPFCRKHDIDLRNPDSYFNQKTDHTKNKKRPDFVKDRRWMKREYDEFKVRINGLPEAIRRRSKSFNSKELKKAKSLAREKNGGVLPSEGVGDVPKATWMADGTQWPGTWLDQTADHKKGDHAGILQVMTKVPENEKVMGQPDEKKLDFTGVDIRIPMFAYVSREKRPGFDHNKKAGAMNALVRASAILSNGPFILNLDCDHYFYNCQAIREGMCFMMDRGGDRICYIQFPQRFEGIDPSDRYANHNTVFFDGSMRALDGLQGPVYVGTGCMFRRYALYGFLPPRANEYLGMFGSTKRRAPGQLQDESEAQPLTSHPDLDLPKKFGNSAMFNESIAVAEFQGRPLADHKSVKNGRPPGALLLPRPPLDAPTVAEAIAVISCWCEDKTDWGDKIGWIYGSVTEDVVTGYRMHNRGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSKNNALFGSRRLKFLQRIAYLNVGIYPFTSFFLVTYCFLPALSLFTGTFIVQSLDISFLIYLLTITVTLTLISLLEIRWSGIGLEEWWRNEQFWAIGGTSAHLIAVIQGLLKVVAGVEISFTLTSKSAGEDEDDIYADLYIVKWTGLFFMPLTIIVVNLVAIVIGCSRTLYSEIPEWGKLMGGLFFSFWVLSHMYPFVKGLLGRRGRVPTIVYVWSGLISITVSLLWISITSENRGKLEV >Potri.006G026900.9.v4.1 pep chromosome:Pop_tri_v4:6:1747762:1752070:-1 gene:Potri.006G026900.v4.1 transcript:Potri.006G026900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026900.v4.1 MATNENLPPNVIKQLAKELKNLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTRIFHPNIASNGEICVNTLKKDWNPSLGLRHVLTVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARIYTGIHAKPKPKFKSGAISESTTALNVDQSNTSVLNNGDQKNAAVSAAVPLQSLLAPCTMASKGGNSQDQLAAVGPMHETEVSGSAAAPTTSTLKKDVGLSKVQAVKKKMDARKKSLKRL >Potri.006G026900.2.v4.1 pep chromosome:Pop_tri_v4:6:1747759:1752066:-1 gene:Potri.006G026900.v4.1 transcript:Potri.006G026900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026900.v4.1 MATNENLPPNVIKQLAKELKNLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTRIFHPNIASNGEICVNTLKKDWNPSLGLRHVLTVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARIYTGIHAKPKPKFKSGAISESTTALNVDQSNTSVLNNGDQKNAAVSAAVPLQSLLAPCTMASKGGNSQDQLAAVGPMHETEVSGSAAAPTTSTLKKDVGLSKVQAVKKKMDARKKSLKRL >Potri.006G026900.8.v4.1 pep chromosome:Pop_tri_v4:6:1747761:1751887:-1 gene:Potri.006G026900.v4.1 transcript:Potri.006G026900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026900.v4.1 MATNENLPPNVIKQLAKELKNLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTRIFHPNIASNGEICVNTLKKDWNPSLGLRHVLTVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARIYTGIHAKPKPKFKSGAISESTTALNVDQSNTSVLNNGDQKNAAVSAAVPLQSLLAPCTMASKGGNSQDQLAAVGPMHETEVSGSAAAPTTSTLKKDVGLSKVQAVKKKMDARKKSLKRL >Potri.006G026900.6.v4.1 pep chromosome:Pop_tri_v4:6:1747758:1751988:-1 gene:Potri.006G026900.v4.1 transcript:Potri.006G026900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026900.v4.1 MATNENLPPNVIKQLAKELKNLDESPPEGIKVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTRIFHPNIASNGEICVNTLKKDWNPSLGLRHVLTVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARIYTGIHAKPKPKFKSGAISESTTALNVDQSNTSVLNNGDQKNAAVSAAVPLQSLLAPCTMASKGGNSQDQLAAVGPMHETEVSGSAAAPTTSTLKKDVGLSKVQAVKKKMDARKKSLKRL >Potri.011G102500.1.v4.1 pep chromosome:Pop_tri_v4:11:13036282:13042464:1 gene:Potri.011G102500.v4.1 transcript:Potri.011G102500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102500.v4.1 MEFFTEYGDANRYKILEVIGKGSYGVVCAAIDTHTGEKVAIKKINNVFEHISDAFRILREVKLLRLLRHPDIVEIKRIMLPPSKREFKDIFVVFELMESDLHQVIKANDDLTREHHQFFLYQMLRALKYMHTANMYHRDLKPKNILANANCKLKVCDFGLARVAFSDTPTTVFWTDYVATRWYRAPELCGSFFSKYTRAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLDLITDLLGTPSLETISRVRNDKARKYLTEMRKKQPVPFAQKFPNADPSAHRLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKLEFEFERRRVTKEDVRELLYREILEYHPQLLKDYMNGNESTNFLYPSAIGHFRKQFAYLEENSGRSAPVIPLERKHVSLPRSTVHSNTMPPNMQSNSTSFDNRQVTEDASKNLRVQDTSFENPAKVARPPPRMPSAKPGRVVGSVVPYENGRNVKDLYDTRMFCRNAVLPPQTSSPHCFLRTNTLIQEKSISETGKDSQTKQQPPKCNVAAKPSSGMAMDVNTNPYYQPQSRVEQLNERIAIDAKLLQAQSQFGPVGRAAVAAHRNVGTVHYGLT >Potri.011G102500.2.v4.1 pep chromosome:Pop_tri_v4:11:13036280:13042220:1 gene:Potri.011G102500.v4.1 transcript:Potri.011G102500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102500.v4.1 MLLQDGIELQSCVDLSFQREDYELSWKLKYLSIQYTRAIDIWSIGCIFAEVLTGKPLFPGKSVVHQLDLITDLLGTPSLETISRVRNDKARKYLTEMRKKQPVPFAQKFPNADPSAHRLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKLEFEFERRRVTKEDVRELLYREILEYHPQLLKDYMNGNESTNFLYPSAIGHFRKQFAYLEENSGRSAPVIPLERKHVSLPRSTVHSNTMPPNMQSNSTSFDNRQVTEDASKNLRVQDTSFENPAKVARPPPRMPSAKPGRVVGSVVPYENGRNVKDLYDTRMFCRNAVLPPQTSSPHCFLRTNTLIQEKSISETGKDSQTKQQPPKCNVAAKPSSGMAMDVNTNPYYQPQSRVEQLNERIAIDAKLLQAQSQFGPVGRAAVAAHRNVGTVHYGLT >Potri.011G102500.3.v4.1 pep chromosome:Pop_tri_v4:11:13036283:13042561:1 gene:Potri.011G102500.v4.1 transcript:Potri.011G102500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102500.v4.1 MRKKQPVPFAQKFPNADPSAHRLLQRLLAFDPKDRPTAEEALADPYFKGLAKVEREPSCQPITKLEFEFERRRVTKEDVRELLYREILEYHPQLLKDYMNGNESTNFLYPSAIGHFRKQFAYLEENSGRSAPVIPLERKHVSLPRSTVHSNTMPPNMQSNSTSFDNRQVTEDASKNLRVQDTSFENPAKVARPPPRMPSAKPGRVVGSVVPYENGRNVKDLYDTRMFCRNAVLPPQTSSPHCFLRTNTLIQEKSISETGKDSQTKQQPPKCNVAAKPSSGMAMDVNTNPYYQPQSRVEQLNERIAIDAKLLQAQSQFGPVGRAAVAAHRNVGTVHYGLT >Potri.010G029700.1.v4.1 pep chromosome:Pop_tri_v4:10:4368781:4374579:-1 gene:Potri.010G029700.v4.1 transcript:Potri.010G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029700.v4.1 MQPDQRKKSSVDIDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAIARVRNEKARRYLSSMRKKKPIPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPTAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEFLDGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGAPVAPPERQHASLPRPCVLYSDNTIQKSAEVTNDLSKCSIKEIEKPHVDRSDGMPMTRLPLQVPQSIQAGAARSGKVASSVLRYNNCGAAAAENLDQQRMVRNPAISTQYATANCSYPRRNPACKNERGEDEGVEGPNGLQPKPQYMARKVAAAQGGPGNHWY >Potri.010G029700.2.v4.1 pep chromosome:Pop_tri_v4:10:4368774:4374551:-1 gene:Potri.010G029700.v4.1 transcript:Potri.010G029700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029700.v4.1 MQPDQRKKSSVDIDFFTEYGEGSRYKIEEVIGKGSYGVVCSAYDTHIGEKVAIKKINDIFEHVSDATRILREIKLLRLLRHPDIVEIKHILLPPSRREFKDIYVVFELMESDLHQVIKANDDLTPEHYQFFLYQLLRGLKYIHTANVFHRDLKPKNILANADCKLKICDFGLARVAFNDTPTAIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLDLMTDLLGTPSPEAIARVRNEKARRYLSSMRKKKPIPFSQKFPNADPLALRLLERMLAFEPKDRPTAEEALADPYFKGLAKVEREPTAQPVTKMEFEFERRRITKEDVRELIYREILEYHPKMLKEFLDGSEPTGFMYPSAVDHFKKQFAYLEEHYGNGAPVAPPERQHASLPRPCVLYSDNTIQKSAEVTNDLSKCSIKEIEKPHVDRSDGMPMTRLPLQVPQSIQGAARSGKVASSVLRYNNCGAAAAENLDQQRMVRNPAISTQYATANCSYPRRNPACKNERGEDEGVEGPNGLQPKPQYMARKVAAAQGGPGNHWY >Potri.016G021500.1.v4.1 pep chromosome:Pop_tri_v4:16:1161066:1162917:1 gene:Potri.016G021500.v4.1 transcript:Potri.016G021500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021500.v4.1 MGSISKPHVVVIPCPLQGHIKTMLKLAKLLHYKGLHITFVSTEFNHKRFLRSRGPHALDDLPGFHFRTIPDGLPPSDIDATQDIPSLCHAMNKNFLAPFKDLLLQLKNTISENNPPITCIVSDPFAPFSIKAGEEVGLPVVMYATMNACGYMGFKQLYALRKKGFTPIKDLSNLSNGYLETKVDWAPGMKDVRLKDFPFIQTTDPDEVVFNFVIGVAETSVKARAIAFHTFDALEPEVLDGLSTIFPRVYSIGPLQLLLNQFEEDGLKSIGYSLWKEDHECLQWLETKEPKSVVYVNFGSITVMTADQLVEFAMGLVNSNIPFLWIIRPDLVIGESAVLPAEFAEETEKRGFITSWCPQEEVLNHPAVGGFLTHSGWGSTIESLCAGVPMVCWPFFADQAMNCRYSCNEWGVGMEIGNNVKREEVEMLVKELMEGGKGEKMRGKAMEWKRLAEEAVGPEGTSSINLDKFIHEIISSNN >Potri.002G158000.1.v4.1 pep chromosome:Pop_tri_v4:2:12051842:12057321:1 gene:Potri.002G158000.v4.1 transcript:Potri.002G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158000.v4.1 MSNLKLGVEVVGAHDLMAKDGQGSASAFVELHFDQQKFRTTIKDKDLSPVWNENFYFNISDPSSLSNLTLEAHVYHHKREKNSKSSLGKVRLTGTSFVPYSDAIVLHYPLEKQGILSRVKGELGLKVFVTNDPSIRSSNPLPAMESSLFSDSRATQAQAPEQQTPNVAQKVFSDGKSESRHTFHHLPNPSQSQKQQHAPPAATQPSVDYGIREMKSEPQAPRVVRMFPGLSAQPVDYTPKETSPFLGGGQIVGGRVIRGDRPASTYDLVEQMKYLFVRVVKARDLPTMDVTGSLDPYVEVKVGNYKGTTKHFEKKQNPEWNEVFAFARDRMQSSVLEVVVKDKDLIKDDFVGIVRFDLHEVPTRVPPDSPLASEWYRLEDKKGEKSKAELMLAVWYGTQADEAFPDAWHSDAISPDSSSIISTLIRSKVYHSPRLWYVRVNVIEAQDLVASDKSRFPDAYVKVQIGNQVLKTKMVQSRTLSPVWNEDLLFVAAEPFDDHLILSVEDRTGPNKDESIGKVVIPLNTVEKRADDRMIRSRWFGLEKSVSASMDEHQLKKDKFSSRLHLRVVLDGGYHVLDESTHYSSDLRPTAKQLWRPSIGVLELGILNADGLHPMKTREGKGTSDTYCVVKYGQKWVRTRTIINSLSPKYNEQYTWEVYDPATVLIVGVFDNNHLGGSNGNKDTKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGEIHLAIRFSYTSFPNMMFQYSRPLLPKMHYVRPLTVMQQDMLRFQAVNLVAARLGRAEPPLRKEVVEYMSDADSHLWSMRRSKANFFRLMSVFSGLLSVGKWFGEVCMWKNPITTVLVQVLFVMLVCFPELILTTVFLYMFLIGVWNYHSRPRYPPHMSTRISYADAVSPDELDEEFDTFPSRVSPEVVRFRYDRLRSVAGRIQTVVGDMATQGERVQALLSWRDPRATTIFLIFCLVVAIVLYATPFQVLALLGGFYFMRHPRFRHRVPSAPVNFFRRLPARTDSML >Potri.019G074700.2.v4.1 pep chromosome:Pop_tri_v4:19:11595420:11599150:1 gene:Potri.019G074700.v4.1 transcript:Potri.019G074700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G074700.v4.1 MPSSSPRFVLRGLSVSQIKKCIPKQGKQSAIVVGVQSNYPVVETDASNNVSMIDNLFNSLKDLARKGHLLKAFETFSLIKLHASSANRDAILHSISSLLYSCTNLKSLPQGKQLHAHTISLGFENHLVLVPKLVTFYSSFSLLADAHTITVNSDIVNPLPWNLLISSYVNNGLHGEALSAYREMVHKGVRPDNFTYPSVLKACGEKLDLDFGREVHESINAAYGHRWNLYVHNSLVSMYGKFGELDAARRLFNQMPERDAVSWNGIISNYASRGLWKEAFELFEEMRLAGAEVNIITWNTIAGGCVQTRNFKGALELLSQMRRCDIDLDPVAMIIGLGACSHIGAIKLGTVIHASAIRSCFDGFDNVRNALITMYSRCKDLRHADILFKSIKTKSLTTWNSMLSGYTHMDRSEEASFLFREMLFSGIEPNYVTIASILPHCARVANLQQGKEFHCYIMRREGFEDYLLLWNSLVEMYARSGKVLSAKRVFDSLRRRDKVTYTSLIAGYGIQGEGKTALKLFDEMIKHRIKPDQVTMVAVLSACSHSGLVTEGNVLFEKMSTLYGIVPAVEHFSCMVDLFGRAGLLNKAKKVITSMPYRPTTAMWATLVGACRIHGNTEIGEWAAEKLLEMKPENPGYYVLIANMHAAAGRWSKLAEVRTYMRDLGVRKAPGCTWVDVGSGFSPFVVGDTSKHNSNDLYELLEGLTDLMKDAGYVAGENFSSEDEVLEEIECCNM >Potri.019G074700.3.v4.1 pep chromosome:Pop_tri_v4:19:11596298:11599150:1 gene:Potri.019G074700.v4.1 transcript:Potri.019G074700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G074700.v4.1 MPSSSPRFVLRGLSVSQIKKCIPKQGKQSAIVVGVQSNYPVVETDASNNVSMIDNLFNSLKDLARKGHLLKAFETFSLIKLHASSANRDAILHSISSLLYSCTNLKSLPQGKQLHAHTISLGFENHLVLVPKLVTFYSSFSLLADAHTITVNSDIVNPLPWNLLISSYVNNGLHGEALSAYREMVHKGVRPDNFTYPSVLKACGEKLDLDFGREVHESINAAYGHRWNLYVHNSLVSMYGKFGELDAARRLFNQMPERDAVSWNGIISNYASRGLWKEAFELFEEMRLAGAEVNIITWNTIAGGCVQTRNFKGALELLSQMRRCDIDLDPVAMIIGLGACSHIGAIKLGTVIHASAIRSCFDGFDNVRNALITMYSRCKDLRHADILFKSIKTKSLTTWNSMLSGYTHMDRSEEASFLFREMLFSGIEPNYVTIASILPHCARVANLQQGKEFHCYIMRREGFEDYLLLWNSLVEMYARSGKVLSAKRVFDSLRRRDKVTYTSLIAGYGIQGEGKTALKLFDEMIKHRIKPDQVTMVAVLSACSHSGLVTEGNVLFEKMSTLYGIVPAVEHFSCMVDLFGRAGLLNKAKKVITSMPYRPTTAMWATLVGACRIHGNTEIGEWAAEKLLEMKPENPGYYVLIANMHAAAGRWSKLAEVRTYMRDLGVRKAPGCTWVDVGSGFSPFVVGDTSKHNSNDLYELLEGLTDLMKDAGYVAGENFSSEDEVLEEIECCNM >Potri.011G057200.2.v4.1 pep chromosome:Pop_tri_v4:11:4663062:4666948:-1 gene:Potri.011G057200.v4.1 transcript:Potri.011G057200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057200.v4.1 MTSNFWTSRIAAAKQQYASQHHHQSSHQDRFNIDDFEVEEEVRPDFPCPYCYEDFDIGSLCSHLEDEHSYESKVAVCPICSVKVAQDMLSHITLQHGHLFKLQRRRRLRRVAIPNSQALSLLGRDLREAHLQVLLGGGGYRSNNTNANVSNASTDPFLSSFILNFHTSEAEEISKSVVTSIEDSSAKNSAPSHMWKSSFDPSLSYEEREKRMKQVSGRVGFVQDLLLSTLLSN >Potri.014G074125.1.v4.1 pep chromosome:Pop_tri_v4:14:4736587:4738317:1 gene:Potri.014G074125.v4.1 transcript:Potri.014G074125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074125.v4.1 MSNVVGTVNFANILPFSEPSFAGLLRPKVELVRDYYLCQSSWKCRGTRLVMMSLGTCQLILKQNSVDNSGMRFDSNGRTALAFVTLRADGEREFMFFRNPSADFFNKQNLMKT >Potri.009G083500.1.v4.1 pep chromosome:Pop_tri_v4:9:7876953:7878091:1 gene:Potri.009G083500.v4.1 transcript:Potri.009G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083500.v4.1 MKSTLVIFLVFIIYFIFPVNASLPSILGNNLIEKTCKQTPYYDLCVRSLISSPRSFNTDVEGLAKIMVHTINARATHTLHRINKLLQHRQDTNMKRALQSCASRYDAIIKEDIPESLQALRLGNYKFAEAGTVDAAFEARLCEKEFRRCKSPLADMNRVVHDVSIVAASIVQTIV >Potri.010G054300.14.v4.1 pep chromosome:Pop_tri_v4:10:8566795:8573441:-1 gene:Potri.010G054300.v4.1 transcript:Potri.010G054300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054300.v4.1 MIKQILGRLPKKPSKSSENREFGGPSIAPSNTSSASKSTSDFQSNRLGTLNNSSPPGLDSAPHLGFSHGMKPTQAGNPKLNGSSVPPPYEALPTFRDVPNSEKQNLFIRKLNLCCVVFDFADPTKNLKEKDIKRQTLLELVDYITSANGKFTETVMQEVIKVVSVNLFRPRTPQTRENKVLEAFDLEEEEPMMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLSKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALYLWNNDHIENLIRQNRKVILPIIFPALEKNGRNHWNQVVQSLTVNVRKIFADIDPELFEECLRQFQENEAKAEEIKTKQEATWKRLEEIAATKATSSEAVLVPWAIPTLTSSG >Potri.010G054300.10.v4.1 pep chromosome:Pop_tri_v4:10:8566795:8573377:-1 gene:Potri.010G054300.v4.1 transcript:Potri.010G054300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054300.v4.1 MIKQILGRLPKKPSKSSENREFGGPSIAPSNTSSASKSTSDFQSNRLGTLNNSSPPGLDSAPHLGFSHGMKPTQAGNPKLNGSSVPPPYEALPTFRDVPNSEKQNLFIRKLNLCCVVFDFADPTKNLKEKDIKRQTLLELVDYITSANGKFTETVMQEVIKVVSVNLFRPRTPQTRENKVLEAFDLEEEEPMMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLSKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALYLWNNDHIENLIRQNRKVILPIIFPALEKNGRNHWNQVVQSLTVNVRKIFADIDPELFEECLRQFQENEAKAEEIKTKQEATWKRLEEIAATKATSSEAVLVPWAIPTLTSSG >Potri.010G054300.15.v4.1 pep chromosome:Pop_tri_v4:10:8566795:8573336:-1 gene:Potri.010G054300.v4.1 transcript:Potri.010G054300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054300.v4.1 MIKQILGRLPKKPSKSSENREFGGPSIAPSNTSSASKSTSDFQSNRLGTLNNSSPPGLDSAPHLGFSHGMKPTQAGNPKLNGSSVPPPYEALPTFRDVPNSEKQNLFIRKLNLCCVVFDFADPTKNLKEKDIKRQTLLELVDYITSANGKFTETVMQEVIKVVSVNLFRPRTPQTRENKVLEAFDLEEEEPMMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLSKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALYLWNNDHIENLIRQNRKVILPIIFPALEKNGRNHWNQVVQSLTVNVRKIFADIDPELFEECLRQFQENEAKAEEIKTKQEATWKRLEEIAATKATSSEAVLVPWAIPTLTSSG >Potri.010G054300.17.v4.1 pep chromosome:Pop_tri_v4:10:8566760:8573233:-1 gene:Potri.010G054300.v4.1 transcript:Potri.010G054300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054300.v4.1 MIKQILGRLPKKPSKSSENREFGGPSIAPSNTSSASKSTSDFQSNRLGTLNNSSPPGLDSAPHLGFSHGMKPTQAGNPKLNGSSVPPPYEALPTFRDVPNSEKQNLFIRKLNLCCVVFDFADPTKNLKEKDIKRQTLLELVDYITSANGKFTETVMQEVIKVVSVNLFRPRTPQTRENKVLEAFDLEEEEPMMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLSKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALYLWNNDHIENLIRQNRKVILPIIFPALEKNGRNHWNQVVQSLTVNVRKIFADIDPELFEECLRQFQENEAKAEEIKTKQEATWKRLEEIAATKATSSEAVLVPWAIPTLTSSG >Potri.010G054300.5.v4.1 pep chromosome:Pop_tri_v4:10:8566794:8573389:-1 gene:Potri.010G054300.v4.1 transcript:Potri.010G054300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054300.v4.1 MIKQILGRLPKKPSKSSENREFGGPSIAPSNTSSASKSTSDFQSNRLGTLNNSSPPGLDSAPHLGFSHGMKPTQAGNPKLNGSSVPPPYEALPTFRDVPNSEKQNLFIRKLNLCCVVFDFADPTKNLKEKDIKRQTLLELVDYITSANGKFTETVMQEVIKVVSVNLFRPRTPQTRENKVLEAFDLEEEEPMMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLSKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALYLWNNDHIENLIRQNRKVILPIIFPALEKNGRNHWNQVVQSLTVNVRKIFADIDPELFEECLRQFQENEAKAEEIKTKQEATWKRLEEIAATKATSSEAVLVPWAIPTLTSSG >Potri.010G054300.16.v4.1 pep chromosome:Pop_tri_v4:10:8566824:8573280:-1 gene:Potri.010G054300.v4.1 transcript:Potri.010G054300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054300.v4.1 MIKQILGRLPKKPSKSSENREFGGPSIAPSNTSSASKSTSDFQSNRLGTLNNSSPPGLDSAPHLGFSHGMKPTQAGNPKLNGSSVPPPYEALPTFRDVPNSEKQNLFIRKLNLCCVVFDFADPTKNLKEKDIKRQTLLELVDYITSANGKFTETVMQEVIKVVSVNLFRPRTPQTRENKVLEAFDLEEEEPMMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGLSKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQIARCLSSSHFQVAERALYLWNNDHIENLIRQNRKVILPIIFPALEKNGRNHWNQVVQSLTVNVRKIFADIDPELFEECLRQFQENEAKAEEIKTKQEATWKRLEEIAATKATSSEAVLVPWAIPTLTSSG >Potri.017G125400.19.v4.1 pep chromosome:Pop_tri_v4:17:12944517:12950915:-1 gene:Potri.017G125400.v4.1 transcript:Potri.017G125400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125400.v4.1 MEIGTTRCCIGKQVPWFSRNSRMLDVESIHKLPYHVFGCTIKPSNLRFAHSYWGSARKNWMSNVLWRTYTMPVSLEESSSEQPEDYSDDEGSSSEDLPEEPLDQSLSSDELKALLLDSQRKNLTKKLSEANQQNRFLKRQLHVMEDALVNFKSELAVMELEIQALVTLSEEIAQYEIPEGSRKINGKYVQSHLLSRLRVLQEKLKEQIKDVDAAKSKEVSLFWCGMAESVQVMGSFDGWSQGEHLSPEYDGSFTKFSATLMLRPGRYEIKFLVDGDWQLSPEYPTIGEGLTENNLLIVE >Potri.007G023400.3.v4.1 pep chromosome:Pop_tri_v4:7:1795215:1798377:1 gene:Potri.007G023400.v4.1 transcript:Potri.007G023400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023400.v4.1 MSIKSIVRELKEMKDGIGNISRRGLEGKHWRGRARSHIAPDETPAETDQIEQGQWANLPPELLLDIIRRVEESETSWPARAVVVHCASVCRSWREITKEIVKTPEQCGRLTFPISLKQPGHRESPIQCFIKRDTATSTFLLYYGLVPSEGENDKLLLAARKIRRATCSDFIVSLVSDDFSRASNTYVGKLRSNFLGTKFTMYDCEPTFEVPTQTQHANRISRRFHSRQVSPRLPACNYSIGTITYELNVLRSRGPRRMHCMMHSIPMSSIEEGGTVPTLTSLTETFGGQFPHLSTSKGKESVSDISSPSPSQSPVLTQGSEEPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVESFHNVSSADQERVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Potri.007G023400.2.v4.1 pep chromosome:Pop_tri_v4:7:1795137:1798168:1 gene:Potri.007G023400.v4.1 transcript:Potri.007G023400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023400.v4.1 MSIKSIVRELKEMKDGIGNISRRGLEGKHWRGRARSHIAPDETPAETDQIEQGQWANLPPELLLDIIRRVEESETSWPARAVVVHCASVCRSWREITKEIVKTPEQCGRLTFPISLKQPGHRESPIQCFIKRDTATSTFLLYYGLVPSEGENDKLLLAARKIRRATCSDFIVSLVSDDFSRASNTYVGKLRSNFLGTKFTMYDCEPTFEVPTQTQHANRISRRFHSRQVSPRLPACNYSIGTITYELNVLRSRGPRRMHCMMHSIPMSSIEEGGTVPTLTSLTETFGGQFPHLSTSKGKESVSDISSPSPSQSPVLTQGSEEPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVESFHNVSSADQERVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Potri.007G023400.5.v4.1 pep chromosome:Pop_tri_v4:7:1795217:1798151:1 gene:Potri.007G023400.v4.1 transcript:Potri.007G023400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023400.v4.1 MSIKSIVRELKEMKDGIGNISRRGLEGKHWRGRARSHIAPDETPAETDQIEQGQWANLPPELLLDIIRRVEESETSWPARAVVVHCASVCRSWREITKEIVKTPEQCGRLTFPISLKQPGHRESPIQCFIKRDTATSTFLLYYGLVPSEGENDKLLLAARKIRRATCSDFIVSLVSDDFSRASNTYVGKLRSNFLGTKFTMYDCEPTFEVPTQTQHANRISRRFHSRQVSPRLPACNYSIGTITYELNVLRSRGPRRMHCMMHSIPMSSIEEGGTVPTLTSLTETFGGQFPHLSTSKGKESVSDISSPSPSQSPVLTQGSEEPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVESFHNVSSADQERVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Potri.007G023400.4.v4.1 pep chromosome:Pop_tri_v4:7:1795137:1798205:1 gene:Potri.007G023400.v4.1 transcript:Potri.007G023400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023400.v4.1 MSIKSIVRELKEMKDGIGNISRRGLEGKHWRGRARSHIAPDETPAETDQIEQGQWANLPPELLLDIIRRVEESETSWPARAVVVHCASVCRSWREITKEIVKTPEQCGRLTFPISLKQPGHRESPIQCFIKRDTATSTFLLYYGLVPSEGENDKLLLAARKIRRATCSDFIVSLVSDDFSRASNTYVGKLRSNFLGTKFTMYDCEPTFEVPTQTQHANRISRRFHSRQVSPRLPACNYSIGTITYELNVLRSRGPRRMHCMMHSIPMSSIEEGGTVPTLTSLTETFGGQFPHLSTSKGKESVSDISSPSPSQSPVLTQGSEEPLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVAAVESFHNVSSADQERVILQFGKIGKDIFTMDYRYPLSAFQAFAICLSSFDTKPACE >Potri.011G003700.1.v4.1 pep chromosome:Pop_tri_v4:11:275854:278674:1 gene:Potri.011G003700.v4.1 transcript:Potri.011G003700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003700.v4.1 MLLSSFLIKRKCFLERSFHLGKSILNPISDDVVLRAICVNLKQRRWNFLEKNLASLTNALVSRVVCEFRNTPQLVLEFYNWVGEKKSVLHSLEISCSVIHVLVNSRRYDDALSLMGNLMTVNGLSPLEVLEALNNSYGICESNHAVFDALVRACTQIGATVGACEVIKKLQIEGCWVTIHAWNNFLSHLIKVNEIHRFWIVYKEMVSYGYMENVNTFNVVVHALCKDCKLQEALSVFYRILKSGIWPNVVTFNMMVDGACKMGDMDLALKLVRKMEIMSAGSIKPNSVTYNSLIDGFCKIGGITVAEELRNEMMKIDIEPNVRTYATMIEGYSRAGCLEEALRLCDEMVERGLLPNSVVYNSIMHWLYMEGDVDGASLVFTDMSDKQIPLDKFTCSILTRGLCRNGYITTALKFLNQVLENNLIEDAFSHNILINFLCKSNNFAAARQLLARMYVRGLVPDVVTFGTLIDGHCKEGNIESAVQVYDKMVKGEEKPNLLVYNSIINGLCKDGLVDVARSLVDVLQRMGLVDTITYNTLINGYFNCGKFDKAFKLSTLMQNAGILASSATYNTVIKFLCKFGCVQEAKELMTMMVLWGVLPDNITYRTLVININKNCSAEEVIELHDYMVLKGVVPDKLTYENIVSPLLQEESATS >Potri.012G132100.2.v4.1 pep chromosome:Pop_tri_v4:12:14680481:14681575:1 gene:Potri.012G132100.v4.1 transcript:Potri.012G132100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132100.v4.1 MFPFQQNSDEFWPQIFSNPYQEDIGKDFQDLIYGQDSLHGISNQTISVDEIQKHKILATSKANDHSGKIIRDEKKIARKEIERQRRQHISTLHASLRNLLPLESIKGKRSISDHMNEAAKYIKHLSSNIRELSAKRDKFKKLSNSSTFEQGTEISGHNLLDFVKVRPYLGGVEIVVSGGCGEEGFLLSRVLEALLEEGFDAVSYVSTQKDERHYTTIQCQATNRNCIDSDGLQRKLNGVISLS >Potri.011G120300.1.v4.1 pep chromosome:Pop_tri_v4:11:15126816:15129532:-1 gene:Potri.011G120300.v4.1 transcript:Potri.011G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120300.v4.1 MGASLLPPPAFLAVFLFSFVTLSVNPEPALAITRHYKFDVMLQNVTRLCHTRSMVTVNGKFPGPRIVAREGDRLVIRVVNHVQNNISIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRSTLHGPIILLPKLGTPYPFAKPYKEVPIIFGEWFNADPEAIISQAMQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRMINAALNDELFFSIANHTVTVVDVDAVYVKPFDAETLLITPGQTTNVLLKTKPDYPNAQFFMSARPYATGQGTFDNSTVAGILEYEVPNKTSQSNHSTKKLPLYKPNLPPLNDTSFATNFSSKLRSLASADFPANVPQKVDRQFFFTVGLGTNPCSKNQTCQGPNGTRFAASVNNVSFVMPTTALLQAHHFGQSRGVYSPYFPISPLIPFNYTGTPPNNTMVSNGTKLVVLPFNTSVELIMQGTSILGAESHPLHLHGFNFFVVGQGFGNFDPSKDPANFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVLDGKLPNQKLLPPPADLPKC >Potri.010G107900.2.v4.1 pep chromosome:Pop_tri_v4:10:12880005:12883350:1 gene:Potri.010G107900.v4.1 transcript:Potri.010G107900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107900.v4.1 MNSSTLSSTDKQQNGKFVPNDHNVLQKHVFFFDRNQDGIVYPWETFQGFRSIGCGILLSTASAFLINIALSQKTRPGKFPSLLFPIEVKNIQRAKHGSDSGVYDSEGRFVPAKFEEIFSKHARSHPNSLTSDELMGMLKANREPKDFKGWVASYTEWKILYTLCKDKDGLLHKDTIRAVYDGSLFERMEKERASPKKTAVV >Potri.004G193300.4.v4.1 pep chromosome:Pop_tri_v4:4:20713605:20722441:-1 gene:Potri.004G193300.v4.1 transcript:Potri.004G193300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G193300.v4.1 MSDDMLMHFSSNSSNQSDQSLPTKIAKLEARMAGKVSSVCPAPHVQLQQQQQKQPIWSSVSPGPRFGPPEELAESSDSDDDNGGGFLIQANTQKRRRLQENDNSAVFEHLETQTVNDGKQKIGKTVETKVSTDANRRKQGRGRGHSNSSRGRGVRANDQTRSQSSVSAALPSNGQLENSCHKDSTAKEHFQNGDCTSLEEELTSLHAKVAALEDDLRKSCQEASNNHDLCHQLEKELKELKDLEQQMKPKRTKIISDLLISVSKAERQEARMKVRQDSLRLGSVGVIRAGTIISETWEDGQMLKDLNIHLRQLLETKEAVERQRKSLKKRQSDKGDGTDAESGAQEEDFLIQDEIFKSRLVSIKREEETILRERDRYELEKGRLIRELKRIRDENGSRFNNFQILNHRYALLNLLGKGGFSEVYKAYDLVEHRYVACKLHGLNVQWSEDKKQSYIRHALREYNIHKTLVHNHIVRLWDIFEIDQNTFCTILEYCSGKDLDAVLKATPVLPEREARIIIVQIFQGLVYLNKRAQKIIHYDLKPGNVLFDEFGIAKVTDFGLSKIVEEDVGSQGMELTSQGAGTYWYLPPECFELSKTPLISSKVDVWSAGVLFYQMLFGRRPFGHDQTQERILREDTIIKARRVEFPTKPTISNEAKDLIRQCLTYNQAERPDVLTIAQDPYLTYLKK >Potri.014G095300.4.v4.1 pep chromosome:Pop_tri_v4:14:6180163:6188136:-1 gene:Potri.014G095300.v4.1 transcript:Potri.014G095300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095300.v4.1 MDQVAEELVANDVHGNADLQAKGYDPLTLEPRNALGSPIMCASTRSDWAESSTTDYMDTSRMEEKDLSRSAITLAEAEPPCSIPCSVKDSGHVVEKLTVGNYWTSHQALGRSLDSNRQHRWQNIYQFVNGSRDKASHGDYVHEDKEKLLSRAGKQLMKMRSDLWSGLKPLSTKHFGHDSKAISTHSRASDKRVVSSIILPNGDASLKTSSMPGFSQPPLKKVFKGKGVLCRNQEALPECGGADAGPTDGKLDYARKVASDALVRSSSNNDKNRVDRSCPESLHEGISLREWLKPGHCRRDKVESLLIFKQTVELVDLAHSQGVAFQDLRPSCFNLLPSNRVIYIGSSTKTEQGVPIPCAFVKKRPLEQVAGSYCSLVPKKQRLGEETKSLQQQSRYSSSSGFGTKPMDGNNIHETGAQDSRFVELQSQKHSNYQSSCMETRQLSFSLTLQSEEKWYRSPELLNGGPITFSSNIYNLGVLLFELLSRFESFEENSAVMLDLRDRILPPSFLSENPREAGFCLWLLHPEPSSRPTAREILQSELLCRSGELSSGNNVSTTPDNDDTEPGLLHHFLSLLKEQKQKHEAKLLVDIECLEEDIKEVEKRHLLRTPKIVSETQERCLDSREQDLYPGSVAISSSFSVSKKNEARLSRNINQIKNAYFSMRSQIRHTSSAPPSDKDLLKNRDSLPAVQYNREDSNTNQRSDDPLGAFFEGLCKFASYSRFEVCGSLKNGDFMSSTNVVCTLSFDRDEDYIAAAGVSKKIKVFEFGALLNDSIDIHYPTVEMSNKSKISSVCWNNYIKNYLASTDYDGVVQMWDAGTGQIFSQYTEHQKRAWSVDFSLADPMMFASGSDDCSVKLWSINERSSFGTIGNPANVCCVQFSPSSTNLLVFGSADYKVYCYDLRHTKIPWCTLAGHGKTVSYVKFLDSETLVSASTDNTLKLWDLNKTSSTGVSSSACSLTFGGHTNEKNFVGLSALDGYIACGSETNEVYCYYRSLPMPITSHKFGCVDPVSGNEIVDGGGQFVSSVCWRRKSNMVVAANSSGNMKVLRMV >Potri.014G095300.10.v4.1 pep chromosome:Pop_tri_v4:14:6181328:6188482:-1 gene:Potri.014G095300.v4.1 transcript:Potri.014G095300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095300.v4.1 MDQVAEELVANDVHGNADLQAKGYDPLTLEPRNALGSPIMCASTRSDWAESSTTDYMDTSRMEEKDLSRSAITLAEAEPPCSIPCSVKDSGHVVEKLTVGNYWTSHQALGRSLDSNRQHRWQNIYQFVNGSRDKASHGDYVHEDKEKLLSRAGKQLMKMRSDLWSGLKPLSTKHFGHDSKAISTHSRASDKRVVSSIILPNGDASLKTSSMPGFSQPPLKKVFKGKGVLCRNQEALPECGGADAGPTDGKLDYARKVASDALVRSSSNNDKNRVDRSCPESLHEGISLREWLKPGHCRRDKVESLLIFKQTVELVDLAHSQGVAFQDLRPSCFNLLPSNRVIYIGSSTKTEQGVPIPCAFVKKRPLEQVAGSYCSLVPKKQRLGEETKSLQQQSRYSSSSGFGTKPMDGNNIHETGAQDSRFVELQSQKHSNYQSSCMETRQLSFSLTLQSEEKWYRSPELLNGGPITFSSNIYNLGVLLFELLSRFESFEENSAVMLDLRDRILPPSFLSENPREAGFCLWLLHPEPSSRPTAREILQSELLCRSGELSSGNNVSTTPDNDDTEPGLLHHFLSLLKEQKQKHEAKLLVDIECLEEDIKEVEKRHLLRTPKIVSETQERCLDSREQDLYPGSVAISSSFSVSKKNEARLSRNINQIKNAYFSMRSQIRHTSSAPPSDKDLLKNRDSLPAVQYNREDSNTNQRSDDPLGAFFEGLCKFASYSRFEVCGSLKNGDFMSSTNVVCTLSFDRDEDYIAAAGVSKKIKVFEFGALLNDSIDIHYPTVEMSNKSKISSVCWNNYIKNYLASTDYDGVVQMWDAGTGQIFSQYTEHQKRAWSVDFSLADPMMFASGSDDCSVKLWSINERSSFGTIGNPANVCCVQFSPSSTNLLVFGSADYKVYCYDLRHTKIPWCTLAGHGKTVSYVKFLDSETLVSASTDNTLKLWDLNKTSSTGVSSSACSLTFGGHTNEKNFVGLSALDGYIACGSETNEVKPFNLNRNINLKNTFYHRIVLWYSLPYI >Potri.014G095300.9.v4.1 pep chromosome:Pop_tri_v4:14:6180161:6188543:-1 gene:Potri.014G095300.v4.1 transcript:Potri.014G095300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095300.v4.1 MDQVAEELVANDVHGNADLQAKGYDPLTLEPRNALGSPIMCASTRSDWAESSTTDYMDTSRMEEKDLSRSAITLAEAEPPCSIPCSVKDSGHVVEKLTVGNYWTSHQALGRSLDSNRQHRWQNIYQFVNGSRDKASHGDYVHEDKEKLLSRAGKQLMKMRSDLWSGLKPLSTKHFGHDSKAISTHSRASDKRVVSSIILPNGDASLKTSSMPGFSQPPLKKVFKGKGVLCRNQEALPECGGADAGPTDGKLDYARKVASDALVRSSSNNDKNRVDRSCPESLHEGISLREWLKPGHCRRDKVESLLIFKQTVELVDLAHSQGVAFQDLRPSCFNLLPSNRVIYIGSSTKTEQGVPIPCAFVKKRPLEQVAGSYCSLVPKKQRLGEETKSLQQQSRYSSSSGFGTKPMDGNNIHETGAQDSRFVELQSQKHSNYQSSCMETRQLSFSLTLQSEEKWYRSPELLNGGPITFSSNIYNLGVLLFELLSRFESFEENSAVMLDLRDRILPPSFLSENPREAGFCLWLLHPEPSSRPTAREILQSELLCRSGELSSGNNVSTTPDNDDTEPGLLHHFLSLLKEQKQKHEAKLLVDIECLEEDIKEVEKRHLLRTPKIVSETQERCLDSREQDLYPGSVAISSSFSVSKKNEARLSRNINQIKNAYFSMRSQIRHTSSAPPSDKDLLKNRDSLPAVQYNREDSNTNQRSDDPLGAFFEGLCKFASYSRFEVCGSLKNGDFMSSTNVVCTLSFDRDEDYIAAAGVSKKIKVFEFGALLNDSIDIHYPTVEMSNKSKISSVCWNNYIKNYLASTDYDGVVQMWDAGTGQIFSQYTEHQKRAWSVDFSLADPMMFASGSDDCSVKLWSINERSSFGTIGNPANVCCVQFSPSSTNLLVFGSADYKVYCYDLRHTKIPWCTLAGHGKTVSYVKFLDSETLVSASTDNTLKLWDLNKTSSTGVSSSACSLTFGGHTNEKNFVGLSALDGYIACGSETNEVYCYYRSLPMPITSHKFGCVDPVSGNEIVDGGGQFVSSVCWRRKSNMVVAANSSGNMKVLRMV >Potri.014G095300.8.v4.1 pep chromosome:Pop_tri_v4:14:6180295:6188061:-1 gene:Potri.014G095300.v4.1 transcript:Potri.014G095300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095300.v4.1 MDQVAEELVANDVHGNADLQAKGYDPLTLEPRNALGSPIMCASTRSDWAESSTTDYMDTSRMEEKDLSRSAITLAEAEPPCSIPCSVKDSGHVVEKLTVGNYWTSHQALGRSLDSNRQHRWQNIYQFVNGSRDKASHGDYVHEDKEKLLSRAGKQLMKMRSDLWSGLKPLSTKHFGHDSKAISTHSRASDKRVVSSIILPNGDASLKTSSMPGFSQPPLKKVFKGKGVLCRNQEALPECGGADAGPTDGKLDYARKVASDALVRSSSNNDKNRVDRSCPESLHEGISLREWLKPGHCRRDKVESLLIFKQTVELVDLAHSQGVAFQDLRPSCFNLLPSNRVIYIGSSTKTEQGVPIPCAFVKKRPLEQVAGSYCSLVPKKQRLGEETKSLQQQSRYSSSSGFGTKPMDGNNIHETGAQDSRFVELQSQKHSNYQSSCMETRQLSFSLTLQSEEKWYRSPELLNGGPITFSSNIYNLGVLLFELLSRFESFEENSAVMLDLRDRILPPSFLSENPREAGFCLWLLHPEPSSRPTAREILQSELLCRSGELSSGNNVSTTPDNDDTEPGLLHHFLSLLKEQKQKHEAKLLVDIECLEEDIKEVEKRHLLRTPKIVSETQERCLDSREQDLYPGSVAISSSFSVSKKNEARLSRNINQIKNAYFSMRSQIRHTSSAPPSDKDLLKNRDSLPAVQYNREDSNTNQRSDDPLGAFFEGLCKFASYSRFEVCGSLKNGDFMSSTNVVCTLSFDRDEDYIAAAGVSKKIKVFEFGALLNDSIDIHYPTVEMSNKSKISSVCWNNYIKNYLASTDYDGVVQMWDAGTGQIFSQYTEHQKRAWSVDFSLADPMMFASGSDDCSVKLWSINERSSFGTIGNPANVCCVQFSPSSTNLLVFGSADYKVYCYDLRHTKIPWCTLAGHGKTVSYVKFLDSETLVSASTDNTLKLWDLNKTSSTGVSSSACSLTFGGHTNEKNFVGLSALDGYIACGSETNEVYCYYRSLPMPITSHKFGCVDPVSGNEIVDGGGQFVSSVCWRRKSNMVVAANSSGNMKVLRMV >Potri.002G233400.1.v4.1 pep chromosome:Pop_tri_v4:2:22636780:22638268:1 gene:Potri.002G233400.v4.1 transcript:Potri.002G233400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233400.v4.1 MHACPFQISCLILLCKSNMRDRKERFVVLPFSIGCASQSSVAVATAAEPCKKPKHETKSSHATRRREGEESSCQEKTKSNTFSSLALPKPNMSSGMYKLVRGIKSLSQIFVYKEDDDDRMEREMEIGYPTDVKHLTHIGLDGSTTTTTATNPIKGWESLKPPEIISFPSISLRHLELAMAAQAHGPLVEVDHSRLS >Potri.010G103600.2.v4.1 pep chromosome:Pop_tri_v4:10:12596615:12600907:1 gene:Potri.010G103600.v4.1 transcript:Potri.010G103600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103600.v4.1 MSSTSVPVQEDAGQKTEALPQSNSATDWTVNVSDVRTVKVSNISLTATDRDIEEFFSFSGDILYVEMRRESETTQLAYVTFEESHGADTAMLLSGAIVADLSVLITPVEDYQLPPEALSSKLEQKPPATDSAVKKAEDVVSTMLAKGFVLGKDAINKGKAFDERIHLTSNASATVASIDHKMGLSEKLSVGTAVVNEKVREMDEKFQVLVKTKTVLSVAEQKASSVGSAIMSNPYVSTGASWVSGAFTAVAKAAEDVSVMTREKVEKAEEEKIEIPVSEGSPAHIHHNGSPAGEPPVIPIDSADSKLANI >Potri.010G103600.3.v4.1 pep chromosome:Pop_tri_v4:10:12597044:12600907:1 gene:Potri.010G103600.v4.1 transcript:Potri.010G103600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103600.v4.1 MRRESETTQLAYVTFEESHGADTAMLLSGAIVADLSVLITPVEDYQLPPEALSSKLEQKPPATDSAVKKAEDVVSTMLAKGFVLGKDAINKGKAFDERIHLTSNASATVASIDHKMGLSEKLSVGTAVVNEKVREMDEKFQVLVKTKTVLSVAEQKASSVGSAIMSNPYVSTGASWVSGAFTAVAKAAEDVSVMTREKVEKAEEEKIEIPVSEGSPAHIHHNGSPAGEPPVIPIDSADSKLANI >Potri.003G086500.2.v4.1 pep chromosome:Pop_tri_v4:3:11329268:11330298:1 gene:Potri.003G086500.v4.1 transcript:Potri.003G086500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086500.v4.1 MFGFLPIYIYIYGQKKRKPLHSSSSCLLHRVHLQTLTRRNMKHSFSLSFNFVIVFLLVHGSLSFNNLHHYCDEAAKSDPNLSCDFCIASLEAISKSKNASLEELVEISTVLAMSKATNISCYISQLLKAQNLDKYHTSALQDCLELYADANSTLHDSMCDLKSKDYSKANIDASAAMDSSSTCEDGFKEREGVVSPLTKENNTFFQLTAIMLAFINMLSRS >Potri.001G149900.1.v4.1 pep chromosome:Pop_tri_v4:1:12509818:12516247:-1 gene:Potri.001G149900.v4.1 transcript:Potri.001G149900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149900.v4.1 MNFAEQEVDLFGEEEDDNNGARRSSPSSSQSSSSSSSGSSSSSSSAAASSSSDGSDGEESDGGREGGGGKSGSSGGEEEGGREVETNNQYYSNYNDSNHERGSDVNEEEDEEEEEERDLFGSDNEDYVKTTVVSPHPIPVLPAMRHPHNPGRGNFGRGRWHNNRGAGLLPRPGFPPRQGYGYGSKFANGHRDERFVSELKFSKSDETLSRKCVAFQEPCELACYSRIEGGEVYFDDRSLRLFKRLISEDVGADLNEGFDTFIEKRDLGSQGFGDLLACIRDKNIPLQNMHFVTFRNNLNKILATAYMRHEHWEMGVHKRNGVVYLDVHKLPERPQSELERRRCYWGYCFESLATEDPRRANGEAIHHVDSNVEYCSVIKTKLGAHRILMGAEMDCCDSTDSGRRFYVELKTNREIDNYHQEERFEKEKLLKCWIQAFLAGVPYIVIGYRDDAGRLVRTERLTTKDITQRVKMKNYWQGGVCLAFADEVLCWLYGTVKENEDYILQFAPPFTRLELLQAQSCPEAITSHVEQM >Potri.005G012800.1.v4.1 pep chromosome:Pop_tri_v4:5:1008663:1010407:1 gene:Potri.005G012800.v4.1 transcript:Potri.005G012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012800.v4.1 MEHPLFIILVTLFIITSRGISQVSDCKPGNNGSKVAITIVVDQSGMGNFRAIQEAIDSIPANNDQWIKVQINPGTYTEQVAIPIDKPCIFLEGQDSSLTTITYDAHERTDLSATFASRPTNIVAKGITFKNSFNLGAVPAVSAVIYGDKTAFYNCAFLGFQDTIWDALGRHYFSNCYIEGAVDFIFGVGKSFYEGCSINVTGDGFITAQGREFPFETNGFVFSNCTVTGLQGFQAYLGRAYRPYATVIFQSTFLSEVVRPLGWDAWQYPGQESNFTFAEIDCKGPGSDTSKRVPWEKKLDGSQLEKFSKSSFIDRDGWLAKLPL >Potri.007G040400.1.v4.1 pep chromosome:Pop_tri_v4:7:3341604:3343641:-1 gene:Potri.007G040400.v4.1 transcript:Potri.007G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040400.v4.1 MATGFDKRRVATVLSIDGGGIRGIIPGSLLAFLESKLQELDGSQARIADYFDIIAGTSTGGLVATMLAAPNKENRPLYAAKDINGFYLEHTPKIFPQKSNLLGPLSVFFGGPKYDGKYLRSLTNNLLGDMTIAQTLANVILPTFDMKLLQPVIFSTTEGKTNALKNARLADICVATSAAPTYLPAHFFTTKDPNGTSARNFDLVDGAVAANNPALLAISEIRNQIRMHTGEFPGVEPTEKKGMLVLSLGTGEAKFEEKYNASTAANWSMINWVYNGGKTPIIDMFSSASSDMVDYHISTLFQSLDSKECYLRIQDDKLSGDAASVDIATPQNLQRLKEIGAELLKKTESRVNLDTGKYEEIEGGRTNEAALAKFAQLLSDEKKHRQTN >Potri.016G046600.1.v4.1 pep chromosome:Pop_tri_v4:16:2978494:2982264:-1 gene:Potri.016G046600.v4.1 transcript:Potri.016G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046600.v4.1 MMDTRKRGRPDFGTNGYGGFKKSKQEMDSLSTGVGSKSKPCTKFFSTAGCPFGESCHFLHHVPGGYKAVAQMVNLGPTVALPPVPNSSAPSAVKSRLCKKYNSAEGCKFGDKCHFAHGEWELGKAFVPSHNDPHAAGSVPGRLGGRVEPPPPGPATSFGVFATTTRISVDASLAGSIIGKAGVHSKQICRQTGIKLSIKDHETNPNLKNIELEGSLEQIAQASKMVEELVRVTSANAAAKSSGGHANPGSNYKTKLCDNFAKGSCTFGQRCHFAHGAAELRKSSV >Potri.001G344300.1.v4.1 pep chromosome:Pop_tri_v4:1:35543783:35546459:-1 gene:Potri.001G344300.v4.1 transcript:Potri.001G344300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344300.v4.1 MVSAEAARNVVGIIGNVISFGLFLSPVPTFYRICKKKDVEEFQPYPYAATVLNCLFWILYGLPIVKPDSTLVVTINSVGLVLELIYLSIFCIFDTQNKGRKKVFLVLFGEVIFMAAIVVTTFLAFHTHEKRTLFVGVFCDIFNILMYASPLTIVKKVVTTKSVEYMPLSLSLANFLNGCVWTAYALIRFDIFILVSNGLGAFFGFLQLVLYAFYYKSTPKRGSQDVKPSEIQLSASDAASRA >Potri.007G126750.1.v4.1 pep chromosome:Pop_tri_v4:7:14213260:14214242:1 gene:Potri.007G126750.v4.1 transcript:Potri.007G126750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126750.v4.1 MSSCMTTSSIASFFFFYCDFGIVLGSKPFEEIDDRVDLYNVRDDFLLLKNVFLYDHLEHCFFFFYCDFGMVSS >Potri.003G061400.18.v4.1 pep chromosome:Pop_tri_v4:3:8797603:8804120:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MLVWLYHINQEKLSGTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.14.v4.1 pep chromosome:Pop_tri_v4:3:8797603:8804311:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MLVWLYHINQEKLSGTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.10.v4.1 pep chromosome:Pop_tri_v4:3:8797676:8804075:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MDTSQVIVMCVLLNFLFFFFMGQFNFLGLVSLLLVSNTVLSLKEFKDCCEFDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.6.v4.1 pep chromosome:Pop_tri_v4:3:8797676:8804075:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MDTSQVIVMCVLLNFLFFFFMGQFNFLGLVSLLLVSNTVLSLKEFKDCCEFDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.12.v4.1 pep chromosome:Pop_tri_v4:3:8797676:8804075:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.21.v4.1 pep chromosome:Pop_tri_v4:3:8797675:8804076:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MFVAIAMKMPSCQTTRMDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.17.v4.1 pep chromosome:Pop_tri_v4:3:8797603:8804123:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MLVWLYHINQEKLSGTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.23.v4.1 pep chromosome:Pop_tri_v4:3:8797676:8804075:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MLVWLYHINQEKLSGTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKECQNEC >Potri.003G061400.13.v4.1 pep chromosome:Pop_tri_v4:3:8797676:8804075:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MFVAIAMKMPSCQTTRMDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKECQNEC >Potri.003G061400.7.v4.1 pep chromosome:Pop_tri_v4:3:8797676:8804311:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MFVAIAMKMPSCQTTRMDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.15.v4.1 pep chromosome:Pop_tri_v4:3:8797603:8804311:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MLVWLYHINQEKLSGTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.2.v4.1 pep chromosome:Pop_tri_v4:3:8797604:8804075:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MFVAIAMKMPSCQTTRMDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.8.v4.1 pep chromosome:Pop_tri_v4:3:8797659:8804119:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MFVAIAMKMPSCQTTRMDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.22.v4.1 pep chromosome:Pop_tri_v4:3:8797676:8804075:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MFVAIAMKMPSCQTTRMDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.11.v4.1 pep chromosome:Pop_tri_v4:3:8797604:8804075:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MDTSQVIVMCVLLNFLFFFFMGQFNFLGLVSLLLVSNTVLSLKEFKDCYSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.16.v4.1 pep chromosome:Pop_tri_v4:3:8798089:8804311:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MLVWLYHINQEKLSGTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.20.v4.1 pep chromosome:Pop_tri_v4:3:8797603:8804120:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MASYSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.19.v4.1 pep chromosome:Pop_tri_v4:3:8797604:8804076:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MDTSQVIVMCVLLNFLFFFFMGQFNFLGLVSLLLVSNTVLSLKEFKDCCEFDSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.003G061400.9.v4.1 pep chromosome:Pop_tri_v4:3:8797603:8804120:1 gene:Potri.003G061400.v4.1 transcript:Potri.003G061400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061400.v4.1 MASYSLGALNVKLSKLDFGGGKFGNLQQRSGVRVWMGRVQLQYAGVAISHKSRKAFRCCGSASEAEWTTKETTSLGLTSQLIPNSSEIESLVTEICNTTSIAEFELKLGGFRLYVMRDLTEKNEPTPQPLPPPPLAVTVKTTTDASDLNGSASTSLAISKQEPSFGGIKSFLDRTTDEGLMILPSPRVGFFRRSRTIKGKRAPPSCKEKQIIKEGQVLCYIEQLGGELPIESDISGEVIKILREDGEPVGYGDALIAILPSFPGIKKLQ >Potri.001G243804.1.v4.1 pep chromosome:Pop_tri_v4:1:26144755:26145066:1 gene:Potri.001G243804.v4.1 transcript:Potri.001G243804.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243804.v4.1 MKGNDQSWVHVLRDKYMRKDGSDLGWLAKPDSSPLWKTICRLHPKVPEGVSGSIAGLLNVILGFLSLLLIWFLRSNFNGLSVSLLVMLASGSGQSLIDSYLWN >Potri.011G143300.1.v4.1 pep chromosome:Pop_tri_v4:11:17291313:17297487:1 gene:Potri.011G143300.v4.1 transcript:Potri.011G143300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143300.v4.1 MGKTSEIGGLISAIAASFSFSQSNFVSFADGPFSFSPFSSSNPSPSPQSSSPSSSVQSQPSTLPQSTAADNSEPAPRAPRNDHPRTTSAGFDPVALERGAKVLREITSSSHAKKLFETIKTQEATRQAELAEKAAEFKALQAQAETERQRVVYDEQRKLAQHQAQTKSQMARYEDELARKRMQAENEYQRARNQELVKLQEESSIRQEQARRATEEQIQAQQRQTEREKAEIERETIRVRAIAEAEGRAHEAKLAEDVNRRILKDRANAEMEKWVATINTTFEHIGGGLRAVLTDQNKLVVVVGGVTALAAGIYTTREGARVIWSYVDRLLGQPSLIRESSRGKYPWSGVFTRSLSTLSSGANKGSTSKNGNGFGDVILHPSLQKRIEQLANATANTKSHQAPFRNMLFYGPPGTGKTMAARELAKKSGLDYALMTGGDVAPLGSQAVTKIHQLFDWSKKSRRGLLLFIDEADAFLCERNKTYMSEAQRSALNALLFRTGDQSKDIVLALATNRPGDLDSAVSDRIDEVLEFPLPQTGERFKLLKLYLDKYIAQAGSRKSGGWLQNLFKRQPRKIEIKGLTDDILKEAAEKTEGFSGREIAKLMAGVQAAVYGSPNCVLDATLFREVVDYKVAEHQQRSKLASKSEQKSH >Potri.017G015167.1.v4.1 pep chromosome:Pop_tri_v4:17:1060182:1060469:-1 gene:Potri.017G015167.v4.1 transcript:Potri.017G015167.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015167.v4.1 MEFSHHEPAYRGGCGLALLAALVAELRDCCASQERNVQGDECSGRWKQNPEKTKLRADESTRICCDFAAFVEAELMYSVETLMMDSSRRRRQNSG >Potri.017G126000.1.v4.1 pep chromosome:Pop_tri_v4:17:13030898:13032325:1 gene:Potri.017G126000.v4.1 transcript:Potri.017G126000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126000.v4.1 MYFACLYIDLLALIRWEIDYIRGTMVSSSGIRKGAWTREEDILLRDCVEKYGEGRWHQVSSKAGLNRCRKSCRLRWLSYLKPGIKRGQYSEDEEDLIIKLHRLLGNRWSLIAGRLPGRTANDLKNYWNTNLSKKVVSGTREAQTKPEPKAITKANIIKPRPHKFKSLCWLRGKGIPFFNGGFQYGYDLCKPWSTSALSPSDIIEVESMRWESLLDDKEISVSSNTGCLRSGSESDQEPIKSLFAEDSAPEGMRIGDVFCEQGQHCWSGNSFDAADLWNLVNT >Potri.017G126000.2.v4.1 pep chromosome:Pop_tri_v4:17:13029418:13032466:1 gene:Potri.017G126000.v4.1 transcript:Potri.017G126000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126000.v4.1 MKVDEILLEGANKKVALRNYTVTKLKSKPKGHAFCAIYVHIYKLHYVLLHRWSLIAGRLPGRTANDLKNYWNTNLSKKVVSGTREAQTKPEPKAITKANIIKPRPHKFKSLCWLRGKGIPFFNGGFQYGYDLCKPWSTSALSPSDIIEVESMRWESLLDDKEISVSSNTGCLRSGSESDQEPIKSLFAEDSAPEGMRIGDVFCEQGQHCWSGNSFDAADLWNLVNT >Potri.008G024601.1.v4.1 pep chromosome:Pop_tri_v4:8:1246196:1246587:1 gene:Potri.008G024601.v4.1 transcript:Potri.008G024601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024601.v4.1 MLRLYLRRILYMANRELKRPLGQSCQKKLLTESERQRMMKLEELNKIISAFYDLTARYCIHYVLIFP >Potri.010G154932.1.v4.1 pep chromosome:Pop_tri_v4:10:22683928:22686042:-1 gene:Potri.010G154932.v4.1 transcript:Potri.010G154932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154932.v4.1 MDINNLSKRAQELWNEWEIRSLILLSLFLQILLIILGNRRKYHTGIVLGGLVWIAYLSADWVTTYALGSVSRSQGGSGTNCINPTPNNIPAFWAPILLVHLGGPDTITAYALEDNELWLRHLLQLAIQASTASYSLFKSWGKDPLIYIAIPIFVAGITKYGDRVLVLWLASSKKFRDIQSEEVDTFQSKFGENFQSPTFVDMSEEDLNEGLKFNKIISEALYLHEAHFLFKMFKIFYADLALSHSSHMASYLILRGKDATDAFKVIEVELGFMYDVLFTKVTGVCSTRTILRSISFLSSTSALVAFSLMVANKCAYTETEVIISYILLGGGVVLEIYGVIMLLLSEWAMFRLSLLLKKPWANAVYKAVHKAIYSDNNKRWERYMCDTT >Potri.008G047100.1.v4.1 pep chromosome:Pop_tri_v4:8:2725860:2729643:1 gene:Potri.008G047100.v4.1 transcript:Potri.008G047100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047100.v4.1 MPFCLYNCRFYVHLKSNRTLLLCPTRHVRSRSLTVQSSGIISSDPAMASNSVRVAAVQMTSINDLAANFATCSRLVKEAVAAGAKLVCFPESFSFIAAKDGESVKLAEPLDGPIMQRYCLLARESGIWLSLGGFQEKGSDDAHLRNTHVIIDDSGNIRSSYSKIHLFDVDVPGGRVYKESSFTEPGKDIVAVDSPVGRLGLSVCYDLRFPGLYQQLRFQHEAQILLVPSAFTTITGQAHWEILLRARAIETQCYVIAAAQAGKHNEKRESYGDTLIIDPWGTVVGRLPDRISTGITVADIDFSLIDSVRAKIPIAKQRKLIEFWKSASL >Potri.015G146000.3.v4.1 pep chromosome:Pop_tri_v4:15:15043339:15048597:1 gene:Potri.015G146000.v4.1 transcript:Potri.015G146000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146000.v4.1 MSGVPRKQNLNPKVRVIAKIRGSSHLDGLSTSWISVHNNIRDGIFSHSLTFSLGDRPVATSGGGGRKEAYVVDYCYEQNEKNDLVFEREVKPFINEVFDGRNATIIACGARGTGKSYLFQGTDDEPGLTVLAVDEMLRLAADNGKSIAVSFYEVDQDHHVKDLLDPNRQQVFVLKDAHGKTQLKGLSQVSVTSVSQFHNFYGGGTNPRKSIQKAVTELPKRSHKGLIVYVSSHGGEKLDVSVSKLNFVDLAGYQDARRKSIDGHNLVESTRNINKSIHAIHNVVYSLKANETHVPYRESKITTMLQDSLGGAGRILMVTCLNPSFCQESIYMVKLASRSCQGSSWAITDSTKKANSSARPMVPSSHNSRMLGSVSTSVKKQIVSRGHISGKKAHCSTSTLKARKLFDESSDLISQKDQLTSNVAKEASSLEEGVSLFTHEDSNSVSVDVSPVAAISSTCETTILDKEVSPVAAVSSTCETTIIDKEVSPVAAVSVTCEATILDKEASPLAISSTCEITVLDKADEDQNKTVLYTGELSMFNEGKKIDKENNSSIVNQGGSPPISAQLQELSNSLKLLCSSTPSCMDITLKNDAFHNQTSTDIGEPTTPSSSMRVTNREITSFCSPWEKFNARSTGMKNSLVQDYLRLLNTADKEELRKLKGIGEKRATSILELREDCPEPFKNLDDLKDIGLSAKQVKGWLKKEVGGLFD >Potri.015G146000.5.v4.1 pep chromosome:Pop_tri_v4:15:15043267:15048674:1 gene:Potri.015G146000.v4.1 transcript:Potri.015G146000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146000.v4.1 MSGVPRKQNLNPKVRVIAKIRGSSHLDGLSTSWISVHNNIRDGIFSHSLTFSLGDRPVATSGGGGRKEAYVVDYCYEQNEKNDLVFEREVKPFINEVFDGRNATIIACGARGTGKSYLFQGTDDEPGLTVLAVDEMLRLAADNGKSIAVSFYEVDQDHHVKDLLDPNRQQVFVLKDAHGKTQLKGLSQVSVTSVSQFHNFYGGGTNPRKSIQKAVTELPKRSHKGLIVYVSSHGGEKLDVSVSKLNFVDLAGYQDARRKSIDGHNLVESTRNINKSIHAIHNVVYSLKANETHVPYRESKITTMLQDSLGGAGRILMVTCLNPSFCQESIYMVKLASRSCQGSSWAITDSTKKANSSARPMVPSSHNSRMLGSVSTSVKKQIVSRGHISGKKAHCSTSTLKARKLFDESSDLISQKDQLTSNVAKEASSLEVEGVSLFTHEDSNSVSVDVSPVAAISSTCETTILDKEVSPVAAVSSTCETTIIDKEVSPVAAVSVTCEATILDKEASPLAISSTCEITVLDKADEDQNKTVLYTGELSMFNEGKKIDKENNSSIVNQGGSPPISAQLQELSNSLKLLCSSTPSCMDITLKNDAFHNQTSTDIGEPTTPSSSMRVTNREITSFCSPWEKFNARSTGMKNSLVQDYLRLLNTADKEELRKLKGIGEKRATSILELREDCPEPFKNLDDLKDIGLSAKQVKGWLKKEVGGLFD >Potri.015G146000.1.v4.1 pep chromosome:Pop_tri_v4:15:15043299:15048691:1 gene:Potri.015G146000.v4.1 transcript:Potri.015G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146000.v4.1 MSGVPRKQNLNPKVRVIAKIRGSSHLDGLSTSWISVHNNIRDGIFSHSLTFSLGDRPVATSGGGGRKEAYVVDYCYEQNEKNDLVFEREVKPFINEVFDGRNATIIACGARGTGKSYLFQGTDDEPGLTVLAVDEMLRLAADNGKSIAVSFYEVDQDHHVKDLLDPNRQQVFVLKDAHGKTQLKGLSQVSVTSVSQFHNFYGGGTNPRKSIQKAVTELPKRSHKGLIVYVSSHGGEKLDVSVSKLNFVDLAGYQDARRKSIDGHNLVESTRNINKSIHAIHNVVYSLKANETHVPYRESKITTMLQDSLGGAGRILMVTCLNPSFCQESIYMVKLASRSCQGSSWAITDSTKKANSSARPMVPSSHNSRMLGSVSTSVKKQIVSRGHISGKKAHCSTSTLKARKLFDESSDLISQKITVQPSSSNNVPTVESVMHEADQLTSNVAKEASSLEVEGVSLFTHEDSNSVSVDVSPVAAISSTCETTILDKEVSPVAAVSSTCETTIIDKEVSPVAAVSVTCEATILDKEASPLAISSTCEITVLDKADEDQNKTVLYTGELSMFNEGKKIDKENNSSIVNQGGSPPISAQLQELSNSLKLLCSSTPSCMDITLKNDAFHNQTSTDIGEPTTPSSSMRVTNREITSFCSPWEKFNARSTGMKNSLVQDYLRLLNTADKEELRKLKGIGEKRATSILELREDCPEPFKNLDDLKDIGLSAKQVKGWLKKEVGGLFD >Potri.015G146000.4.v4.1 pep chromosome:Pop_tri_v4:15:15043339:15048658:1 gene:Potri.015G146000.v4.1 transcript:Potri.015G146000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146000.v4.1 MSGVPRKQNLNPKVRVIAKIRGSSHLDGLSTSWISVHNNIRDGIFSHSLTFSLGDRPVATSGGGGRKEAYVVDYCYEQNEKNDLVFEREVKPFINEVFDGRNATIIACGARGTGKSYLFQGTDDEPGLTVLAVDEMLRLAADNGKSIAVSFYEVDQDHHVKDLLDPNRQQVFVLKDAHGKTQLKGLSQVSVTSVSQFHNFYGGGTNPRKSIQKAVTELPKRSHKGLIVYVSSHGGEKLDVSVSKLNFVDLAGYQDARRKSIDGHNLVESTRNINKSIHAIHNVVYSLKANETHVPYRESKITTMLQDSLGGAGRILMVTCLNPSFCQESIYMVKLASRSCQGSSWAITDSTKKANSSARPMVPSSHNSRMLGSVSTSVKKQIVSRGHISGKKAHCSTSTLKARKLFDESSDLISQKITVQPSSSNNVPTVESVMHEADQLTSNVAKEASSLEEGVSLFTHEDSNSVSVDVSPVAAISSTCETTILDKEVSPVAAVSSTCETTIIDKEVSPVAAVSVTCEATILDKEASPLAISSTCEITVLDKADEDQNKTVLYTGELSMFNEGKKIDKENNSSIVNQGGSPPISAQLQELSNSLKLLCSSTPSCMDITLKNDAFHNQTSTDIGEPTTPSSSMRVTNREITSFCSPWEKFNARSTGMKNSLVQDYLRLLNTADKEELRKLKGIGEKRATSILELREDCPEPFKNLDDLKDIGLSAKQVKGWLKKEVGGLFD >Potri.001G194500.2.v4.1 pep chromosome:Pop_tri_v4:1:18294801:18295596:-1 gene:Potri.001G194500.v4.1 transcript:Potri.001G194500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G194500.v4.1 MVGAGATYLFGTYSKDIKATLGYDQTTLNLLGFFKDLGANVGVFSGLLAEVTPTWFVLLVGSAMNFAGYFMIWLAMTQKIARPVVWQMCLYICIGANSQNFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAILTQFYLAIYGTDSKSLILLIGWLPAALSVIFVFTVRERKPERQPNELRVFYHFLYVSIVLALFLMAMNIVEKQVDFSKVAYAGSAAVV >Potri.019G117800.1.v4.1 pep chromosome:Pop_tri_v4:19:14398904:14399481:-1 gene:Potri.019G117800.v4.1 transcript:Potri.019G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117800.v4.1 MSLRSIGKEFFQPGFQRMQSVKDKASKNDSSVKPLNDAACTSASSKQKTSFSGNFSSKDINNPAKNSEDNKRKQAEESLRTVMYLSCWGPN >Potri.001G098400.1.v4.1 pep chromosome:Pop_tri_v4:1:7838084:7839354:1 gene:Potri.001G098400.v4.1 transcript:Potri.001G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098400.v4.1 MSLQGSRAKTTTTILKMIFFLKSLSRSVHDQEHYHEPVAETEEVENKISTDGKCTLLTVWRKSLLISCNGFTVINSCGDLVYRVDNYIDRPEELILMDGSGKSILTMRRRKKLGVRIDSWLVYEGEVGNHCARTKLSKNPIWCVRKNTNHNALAYVFRGSSDKRPSFVIEGSYTHRSCKVLDGSRKVLAEIKRKEAIVGGVSYGVEVFVLNVEPGFDPGFAMGLVLILDQIFS >Potri.013G152800.3.v4.1 pep chromosome:Pop_tri_v4:13:14851718:14861413:-1 gene:Potri.013G152800.v4.1 transcript:Potri.013G152800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152800.v4.1 MMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVFCGNGGEKLAMLAEGLLKKHVASHSLHEPEALMVYISILEQQEKYGDALEILSGQLGSLLMIEVDKLRIQGRLLARSGDYATSANIYQKILELCPDDWECFLHYLGCLLEDGSSWSNGANNDPINPPKPVDCKVSQLADDVFHSRISTSLAFVKKLQADTSNDFIRCPYLATLEIERRKRLHGKGNDDDIVEALMLYFLKFGHLASFSSDVEAFLQVLTPDKKTEFLAKLIKTLDSSASAPTKVLGQSITIFKIQELTGNMYKLPVLELEGCAVQMVEMYCKSLPLSKDLDPQESMHGEELLSMVCNVLVQLFWRTRHLGYFIEAIMVLEFGLTIRRYIWQYKILLLHLYSHLGAISLAYEWYKSLDVKNILMETVSHHILPQMLVSPLWGDLNNLLKDYLRFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQRSNQYLVARVETPILQLKQKADNIEEEEGVLENLNGGVHFVELSNEIGSKNLTFNEDFQSRPWWTPTTEKNYLLGPFEGVSYCPKENLTKEREENVRGVIEKKSLLPRMIYLSIHNASASLKESVEENGSVSGSKISSEFKFLLERHAKMLGFSLSDAVEVVMGVSSGVKSFEAFGSDEIDWINFAVFLNAWNLNSHEPLQPNGDQCGRGIWYVVDTLLVKYISEKIKSMESLICSPRVDLPILVQLVTEPLAWHGLVIQSCVRSSLPSGKKKKKGGPVDQHSSLVFNDIRDSIQSLCDIVKEVAKWIRGQIDRPEDESVEIILSSLRKKEQDEGPGRVFHVLESLIPSINEAELGDRISQELKTWSPLDVARKIVTGDSTLLSQFLNICESKIKSFQALNQQIAQI >Potri.013G152800.2.v4.1 pep chromosome:Pop_tri_v4:13:14851770:14862452:-1 gene:Potri.013G152800.v4.1 transcript:Potri.013G152800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152800.v4.1 MASKFGLAGGIPERRVRPIWDAIDSRQFKNALKHSTSLLAKCPNSPYALALKSLILERMGKSDEALSVCLNAKELLYKNDSLLMDDLTLSTLQIVFQRLDRLDLATGCYEYACSKFPSNLELMMGLFNCYVREYSFVKQQQTAIKMYKLVGEERFLLWAVCSIQLQVFCGNGGEKLAMLAEGLLKKHVASHSLHEPEALMVYISILEQQEKYGDALEILSGQLGSLLMIEVDKLRIQGRLLARSGDYATSANIYQKILELCPDDWECFLHYLGCLLEDGSSWSNGANNDPINPPKPVDCKVSQLADDVFHSRISTSLAFVKKLQADTSNDFIRCPYLATLEIERRKRLHGKGNDDDIVEALMLYFLKFGHLASFSSDVEAFLQVLTPDKKTEFLAKLIKTLDSSASAPTKVLGQSITIFKIQELTGNMYKLPVLELEGCAVQMVEMYCKSLPLSKDLDPQESMHGEELLSMVCNVLVQLFWRTRHLGYFIEAIMVLEFGLTIRRYIWQYKILLLHLYSHLGAISLAYEWYKSLDVKNILMETVSHHILPQMLVSPLWGDLNNLLKDYLRFMDDHFRESADLTFLAYRHRNYSKVIEFVQFKERLQRSNQYLVARVETPILQLKQKADNIEEEEGVLENLNGGVHFVELSNEIGSKNLTFNEDFQSRPWWTPTTEKNYLLGPFEGVSYCPKENLTKEREENVRGVIEKKSLLPRMIYLSIHNASASLKESVEENGSVSGSKISSEFKFLLERHAKMLGFSLSDAVEVVMGVSSGVKSFEAFGSDEIDWINFAVFLNAWNLNSHEPLQPNGDQCGRGIWYVVDTLLVKYISEKIKSMESLICSPRVDLPILVQLVTEPLAWHGLVIQSCVRSSLPSGKKKKKGGPVDQHSSLVFNDIRDSIQSLCDIVKEVAKWIRGQIDRPEDESVEIILSSLRKKEQDEGPGRVFHVLESLIPSINEAELGDRISQELKTWSPLDVARKIVTGDSTLLSQFLNICESKIKSFQALNQQIAQI >Potri.012G069700.2.v4.1 pep chromosome:Pop_tri_v4:12:9165960:9173203:-1 gene:Potri.012G069700.v4.1 transcript:Potri.012G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069700.v4.1 MADSDASPHTAAFWIQADALLRKNLTYQKRNISANCRLISFPFVLCILLIITQTLVDNQISKDSNVCGCQCIDNNGDGTCDQRVCGLQYSDVDQAPSCSVPSPPKWPPLLQVPGPQYRAVRSASDSLSDFPDESCRQNGTCPVSLLITGTNKTLGQSLADMMFAAPSILNSTNSVLGSNSEPQQDNFLDPAFAEGSLYNIQSQCTPNSIFNVSVPLLQAAPFQNVTTCVQSISLWRNSSSEINDQIYRGYEKGNAERTYNEILGAYDFLNSDANNFNVNVWYNATYKDSSDGETYLVRLPRAVNLVSNAYLQSFRGSGVKMILDFVKEMPKTSTKLKLDIASLLGTLFFTWVVIQLFPVVLTALVYEKQQKLRIMMKMHGLGDGPYWMISYMYFLAISALYMFVFVAFGSIVGLKFFTLNDYFIQFLFYFLYINLQISLAFLVSAFFSNVKTATVVGYICVFGTGLLGGFLFQSFVEDTSFPKGWIIFMELYPGFALYRGLYEFAEYSLQGNSMGTDGMKWGNLSDSENGMSDVMIIMLLEWLAVLCIAYYVDQIFASGSGKNPKYLLQKFRKKRPSSFQKPSLGRQASKVFVDMDKPDVIQEREKVEQILLEPTTTHSIVCDNLRKVYPGRDGNPEKLAVRGLSLAIPRGECFGMLGPNGAGKTSFISMMIGLTAPSTGTAYVEGLDIRTQMDWVYTSMGVCPQHDLLWETLTGREHLLFYGRLKNLKGAALKKAVEDSLKSVNLFNGGVADKQAGKYSGGMKRRLSVAISLIGDPKVVYMDEPSTGLDPASRSNLWNVVKRAKQDRAIILTTHSMEEAEYLCDRLGIFVSGGLQCVGNPKELKARYGGSYVFTMTTSINDEHEVERMVQRLSPNAERTYHMAGTQKFEMPKHEVSMADVFHAVEVAKSRFPVYAWGLSDTTLEDVFIKVANSAQEFHTLT >Potri.012G100700.2.v4.1 pep chromosome:Pop_tri_v4:12:12375974:12379443:-1 gene:Potri.012G100700.v4.1 transcript:Potri.012G100700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100700.v4.1 MDWNLKATSWDLTEFEQGAVPSICIDAFGRPTNFGANRTGGGFSIDLKLGRVGNSSDESMVNWKQPGVSKLESSPSGSTKRARGANNGTQVAMCLVDGCNSDLSTCRDYHRRHKVCELHSKTPQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDPFSHPPSFLPNHQGTQIFPFSSSHVYHSTAVVNSTWSGVANTEADGGHYNLHQLPDKQNLFLGSSSNSYKGGKLFPFLQCDNPCLNNQTSEASVCLPLPRAIAFPGSSGASSHSMFCDRLATQVQDSDCALSLFCHQHRRMHGETPCCNDITQSPFRIP >Potri.012G100700.4.v4.1 pep chromosome:Pop_tri_v4:12:12375991:12379184:-1 gene:Potri.012G100700.v4.1 transcript:Potri.012G100700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100700.v4.1 MDWNLKATSWDLTEFEQGAVPSICIDAFGRPTNFGANRTGGGFSIDLKLGRVGNSSDESMVNWKQPGVSKLESSPSGSTKRARGANNGTQVAMCLVDGCNSDLSTCRDYHRRHKVCELHSKTPQVTIGGQKQRFCQQCSRFHSLEEFDEGKRSCRKRLDGHNRRRRKPQPDPFSHPPSFLPNHQGTQIFPFSSSHVYHSTAVVNSTWSGVANTEADGGHYNLHQLPDKQNLFLGSSSNSYKGGKLFPFLQCDNPCLNNQTSEASVCLPLPRAIAFPGSSGASSHSMFCDRLATQVQDSDCALSLFCHQHRRMHGETPCCNDITQSPFRIP >Potri.002G125300.2.v4.1 pep chromosome:Pop_tri_v4:2:9540771:9543124:1 gene:Potri.002G125300.v4.1 transcript:Potri.002G125300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125300.v4.1 MLHYELHRRKELCTLCDKLGQQKEALFGDLPSHLKSLKKALLPVQNQLGVLHTKKLKQHNSAELLTPPPNVIYSQLLAQKEAFGECIDLELAGNVKEAQSSTRQQGNKDSGISTNEETSRLVDDAPDEEDDGQRRGKRVQSKEGIDQAGLYQAHSLKTILHIFDDEFEYFLKLNVVCVGVEGSLEGPENNILCNLFHSDTGTELPHQVWTTSRPYKWAQPLAGIGFSLETAPLLSDLEPASSETAINEIVLSGLSLYRQLNRLQTVVQRIRSQKRAQQALVEQLESLTKLEWPALNCESPPPNQASTLPVIDTNQLQEPIHVNMDGRSENVGEDGVSNRSCVNLYILKLLPLDQENHVLAHQVRTVLQCCLSISSMRHLQVQSVLLLLMLVCVKPVSGSLLARSFRGRDRRKMISWKDMACTSGYPY >Potri.012G023300.1.v4.1 pep chromosome:Pop_tri_v4:12:2348139:2353554:1 gene:Potri.012G023300.v4.1 transcript:Potri.012G023300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023300.v4.1 MGHCCSKDVSAVNNEVINSIKHPATPPNAATVEVAPPATNGYANSFAASPFQSPLPAGVMPSPSPARTPGRKFRWPLPPPSPAKPIMAMMRRRVQGKQQPQEGQPITVDGGGEGGGGERVAAGTGTGGLDKNFGYPKNLAAKFELGKEVGRGHFGHTCWAKGKKGELKGQPVAVKIISKAKMTTAISIEDVRREVKILKALSGHKNIIKFYDAFEDENNVYIAMELCEGGELLDRILSRGGRYTEQDAKTIVVQILSVVAYCHLQGVSHRDLKPENFLFTSRDEDAPMRIIDFGLSDFVRPDDRLNDVVGSAYYVAPEVLHRSYNLEADMWSTGVITYILLCGSRPFWARTESGIFRSVLRADPNFDDSPWPSVSPEAKDFVKRLLNKDHRKRMTAAQALTHPWLRDLNPAVPLDILIFKLVKSYVRATPFKRAALKALSKAIPEDELVYLKTQFSLLEPKNGSVSLNNFRVALTRHVTDAMKESRVLDILNVMEPLAHKRMGFEEFCAAAISTHQLEALEGWENIATEAFGFFEQEGNQVISVEELAQEMNLGPTAYSAVKDWIRSSDGKLSFIGYTKFLHGVTMRTSNARHR >Potri.001G200200.2.v4.1 pep chromosome:Pop_tri_v4:1:19860368:19865259:-1 gene:Potri.001G200200.v4.1 transcript:Potri.001G200200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200200.v4.1 MKAEEEGGLKLFTNKPKKAQLKAKDLSSPTTATGTSSSSSSAAAAASYKMGSQSTAPPPPPQPPKESFARRYKFLWPLILTVNLSVGAYLFMRTKKKDTVQEEEVSSKIPSSTPSTTAPVSETPIPSPTISEVVKLCEPIREDQQRELFKWILEEKRKVKPKDSEERKRIDDEKAILKQFIRAKSIPSI >Potri.001G200200.3.v4.1 pep chromosome:Pop_tri_v4:1:19860361:19865159:-1 gene:Potri.001G200200.v4.1 transcript:Potri.001G200200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200200.v4.1 MKAEEEGGLKLFTNKPKKATGTSSSSSSAAAAASYKMGSQSTAPPPPPQPPKESFARRYKFLWPLILTVNLSVGAYLFMRTKKKDTVQEEEVSSKIPSSTPSTTAPVSETPIPSPTISEVVKLCEPIREDQQRELFKWILEEKRKVKPKDSEERKRIDDEKAILKQFIRAKSIPSI >Potri.001G200200.4.v4.1 pep chromosome:Pop_tri_v4:1:19860682:19860978:-1 gene:Potri.001G200200.v4.1 transcript:Potri.001G200200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200200.v4.1 MRTKKKDTVQEEEVSSKIPSSTPSTTAPVSETPIPSPTISEVVKLCEPIREDQQRELFKWILEEKRKVKPKDSEERKRIDDEKAILKQFIRAKSIPSI >Potri.005G134900.6.v4.1 pep chromosome:Pop_tri_v4:5:10393415:10396003:-1 gene:Potri.005G134900.v4.1 transcript:Potri.005G134900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134900.v4.1 MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPKKFASVHKVFGASNVAKLLNELNAAQREDAVNSLAYEAEERLRDPVYGCVGLISILQQRLKQLQNDLYSAKKELVNYVEGQAMLPMLMQPQHLGNPSSSTVMQHNGMPMMGIPSVGQMGMRADTHQHHHAHPQQIYEAQQLAAVVAAREQEMLRAYEQQHQHHTQAQRQHEIVRFNGGFDPANSVAATGFNHVNPAAAMSPSLALGTFENTFQIQPQGEPQPNQLQPELLLQPQSQQPQQLLQHPKSESEEGRSTVGPSC >Potri.005G134900.7.v4.1 pep chromosome:Pop_tri_v4:5:10393415:10396003:-1 gene:Potri.005G134900.v4.1 transcript:Potri.005G134900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134900.v4.1 MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPKKFASVHKVFGASNVAKLLNELNAAQREDAVNSLAYEAEERLRDPVYGCVGLISILQQRLKQLQNDLYSAKKELVNYVEGQAMLPMLMQPQHLGNPSSSTVMQHNGMPMMGIPSVGQMGMRADTHQHHHAHPQQIYEAQQLAAVVAAREQEMLRAYEQQHQHHTQAQRQHEIVRFNGGFDPANSVAATGFNHVNPAAAMSPSLALGTFENTFQIQPQGEPQPNQLQPELLLQPQSQQPQQLLQHPKSESEEGRSTVGPSC >Potri.005G134900.5.v4.1 pep chromosome:Pop_tri_v4:5:10393415:10396003:-1 gene:Potri.005G134900.v4.1 transcript:Potri.005G134900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134900.v4.1 MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPKKFASVHKVFGASNVAKLLNELNAAQREDAVNSLAYEAEERLRDPVYGCVGLISILQQRLKQLQNDLYSAKKELVNYVEGQAMLPMLMQPQHLGNPSSSTVMQHNGMPMMGIPSVGQMGMRADTHQHHHAHPQQIYEAQQLAAVVAAREQEMLRAYEQQHQHHTQAQRQHEIVRFNGGFDPANSVAATGFNHVNPAAAMSPSLALGTFENTFQIQPQGEPQPNQLQPELLLQPQSQQPQQLLQHPKSESEEGRSTVGPSC >Potri.005G134900.4.v4.1 pep chromosome:Pop_tri_v4:5:10393415:10396003:-1 gene:Potri.005G134900.v4.1 transcript:Potri.005G134900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134900.v4.1 MSSSNSPCAACKFLRRKCTQECVFAPYFPPDQPKKFASVHKVFGASNVAKLLNELNAAQREDAVNSLAYEAEERLRDPVYGCVGLISILQQRLKQLQNDLYSAKKELVNYVEGQAMLPMLMQPQHLGNPSSSTVMQHNGMPMMGIPSVGQMGMRADTHQHHHAHPQQIYEAQQLAAVVAAREQEMLRAYEQQHQHHTQAQRQHEIVRFNGGFDPANSVAATGFNHVNPAAAMSPSLALGTFENTFQIQPQGEPQPNQLQPELLLQPQSQQPQQLLQHPKSESEEGRSTVGPSC >Potri.001G342966.1.v4.1 pep chromosome:Pop_tri_v4:1:35380286:35380948:1 gene:Potri.001G342966.v4.1 transcript:Potri.001G342966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342966.v4.1 MIFLTLEHILTHISFSVVSIVIIIHFLTLLVNEFVGLYDSSEKGMLTTFFCLTGLLITRWIYSGHLPISDLYESLIFLSWIFSIIHMVPYFKKHKNYLSTITAPSTFFTQGFATWGLLTDMHQSKILVPALQSQWLIMHVSMMVSGYAALLCGSLLSAALLVITFRKVIRIVGKNNNLLNDSFPVDEIQYMMEKKSILKNTFFPSSRNYYMFQLVQQLDH >Potri.009G021701.1.v4.1 pep chromosome:Pop_tri_v4:9:3399033:3399829:-1 gene:Potri.009G021701.v4.1 transcript:Potri.009G021701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021701.v4.1 MKNITDNHFLNSPYKPTSVLAILIHHVSSKAYNLNLDRRMPAMLLPNPHKTVLPKLGFFQAIILMKSLGNQVVHAFGFLADFLNSYGMNTKSVLFLQYDGIPGKKIVVRIHSSASVTFLQNHSTPHHSIAG >Potri.005G020400.8.v4.1 pep chromosome:Pop_tri_v4:5:1302619:1307739:1 gene:Potri.005G020400.v4.1 transcript:Potri.005G020400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNRREESLQKKRREGLQAQAIPAALHSSAAEKKLEHLPSMVAGVWSEDGNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVQFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLIELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQSLPCLLNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVIEANLIGPLVHLLQNAEFDIKKESAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNVSDTGGVNLYAQMIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEDDETMPPGDASQSGFQFGGDAPAVPSGGFNFG >Potri.005G020400.6.v4.1 pep chromosome:Pop_tri_v4:5:1302613:1308224:1 gene:Potri.005G020400.v4.1 transcript:Potri.005G020400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNRREESLQKKRREGLQAQAIPAALHSSAAEKKQLEHLPSMVAGVWSEDGNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVQFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLIELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQSLPCLLNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVIEANLIGPLVHLLQNAEFDIKKESAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNVSDTGGVNLYAQMIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEDDETMPPGDASQSGFQFGGDAPAVPSGGFNFG >Potri.005G020400.5.v4.1 pep chromosome:Pop_tri_v4:5:1302613:1307424:1 gene:Potri.005G020400.v4.1 transcript:Potri.005G020400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNRREESLQKKRREGLQAQAIPAALHSSAAEKKLEHLPSMVAGVWSEDGNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVQFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLIELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQSLPCLLNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVIEANLIGPLVHLLQNAEFDIKKESAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNVSDTGGVNLYAQMIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEDDETMPPGDASQSGFQFGGDAPAVPSGGFNFG >Potri.005G020400.7.v4.1 pep chromosome:Pop_tri_v4:5:1302613:1307410:1 gene:Potri.005G020400.v4.1 transcript:Potri.005G020400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNRREESLQKKRREGLQAQAIPAALHSSAAEKKQLEHLPSMVAGVWSEDGNLQLEATTQFRKLLSIERSPPIEEVIQAGVVPRFVQFLMREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVQLLGSPSDDVREQAVWALGNVAGDSPRCRDLVLGHGALLPLLAQLNENAKLSMLRNATWTLSNFCRGKPQPPFDQVKPALPALARLIHSNDEEVLTDACWALSYLSDGTNDKIQAVIEAGVCPRLIELLLHPSPSVLIPALRTVGNIVTGDDMQTQCIINHQSLPCLLNLLTNNYKKSIKKEACWTISNITAGNKEQIQAVIEANLIGPLVHLLQNAEFDIKKESAWAISNATSGGTHEQIKYLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKNVSDTGGVNLYAQMIDDAEGLEKIENLQSHDNSEIYEKAVKILETYWLEEDDETMPPGDASQSGFQFGGDAPAVPSGGFNFG >Potri.006G219500.5.v4.1 pep chromosome:Pop_tri_v4:6:22475256:22479753:1 gene:Potri.006G219500.v4.1 transcript:Potri.006G219500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219500.v4.1 MLSIITQNMGSLCSKQRRCNEADAEENAQAAEIERRIEQETKAEKHIQKLLLLGAGDSGKSTIFKQIKLLFQSGFDEAELKSYIPVIHANVYQTIKILHDGSKELAQNETDSLKYVISNENKDIGKKLSEIGGRLDHPRLTKELAQEIETLWRDAAVQETYACGHKLQVPDCTPYFMDNLQRLSDSNYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMIETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLKAPLNACEWFKDYQPISTGKQEIEHAYEFVKKKFEELYFQSTTPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >Potri.006G219500.1.v4.1 pep chromosome:Pop_tri_v4:6:22473709:22480093:1 gene:Potri.006G219500.v4.1 transcript:Potri.006G219500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219500.v4.1 MLSIITQNMGSLCSKQRRCNEADAEENAQAAEIERRIEQETKAEKHIQKLLLLGAGDSGKSTIFKQIKLLFQSGFDEAELKSYIPVIHANVYQTIKILHDGSKELAQNETDSLKYVISNENKDIGKKLSEIGGRLDHPRLTKELAQEIETLWRDAAVQETYACGHKLQVPDCTPYFMDNLQRLSDSNYIPTKEDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMIETKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLKAPLNACEWFKDYQPISTGKQEIEHAYEFVKKKFEELYFQSTTPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >Potri.002G029900.1.v4.1 pep chromosome:Pop_tri_v4:2:1992264:1993998:-1 gene:Potri.002G029900.v4.1 transcript:Potri.002G029900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G029900.v4.1 MALPQLISTHKPSLKPNTTALSSLNPNNLNSLSKLSSSLDSLLHSGRQKRRAASLKCSASSFSEKHHNTNHPKSDDVVELPLFPLPLVLFPGAILPLQIFEFRYRIMMHTLLRTDLRFGVIFSDAVSGTAEVGCVGEIIKHERLVDDRFFLICKGQERFRVTNIVRTKPYLVAEVTWLEDRPSGEEDVDALATEVETHMKDVIRLSNRLNGKPEKEAQDLRRNLFPTPFSFFVGSTFEGAPREQQALLELEDTATRLKREKETLRNTLNYLSAASAVKDAFPSS >Potri.004G170400.1.v4.1 pep chromosome:Pop_tri_v4:4:20506406:20510149:-1 gene:Potri.004G170400.v4.1 transcript:Potri.004G170400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170400.v4.1 MFISQLSDHTSLFSSNTSLISQRKQENMAAELFLTFAMQETLTRVSSIATEGIRLAWGLKGQLQRLNKPLTMIQAVLRDAAKRPETDDSVKLWLERLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFHLNMGQKVKKINEALDEIWKDAAGFGLGLTSLPVDRAQEVSWDPDRETDSFLDSSEVVGREDDVSKVMELLTSLTKHQHVLLVVPIMGMAGLGKTTVAKKVCEVVREKKHFDVTLWVCVSNDFNNVKILAAMLQMIDKTTGGLNSLDAILQNLKKELENKTFFLVLDDVWNEDQDKWDDLKEQLLKIKSKNGNAVVVTTRSKKVAGMMETSPGIQHEPGRLSADQCWSIIKQKVSRGGQETIPSDLETIGKEIAKKCGGIPLLAKVLGGTLRQKETQEWQSILNSRIWDSQDGNKALRILRLSFDYLSSPTLKKCFAYCSIFPKDFEIEKEELVQLWMAEGFLRPSNRRMEDESNEYFNDLLANSFFQDVERNGYEIVTRCKMHDLVHDLALQVSKSETLNLEAGSAVDGASHIRHLNIVSCGDVEAALTVIDARKLRTVFSMVDVFNGSWKFKSLRTLKLRRSNITKLPDSICKLRQLRYLDVSDTAIRVLPESITKLYHLETLRFTDCKSLEKLPKKMRKLVSLRHLHFDDPKLVPAEVRLLTRLQTLPFFVVGPNHMVEELGCLNELRGALKICKLEQVRDREEAEKAKLHEKRMSKLVLEWSLNSNVNNEYVLEGLQPHPDIRSLTIEGYGGEDFSSWMSTFLLNNLMELSLKDCSKCRQLPTLGCLPRLRILEMSGMPNVKCIGNEFYSSSGRAAVLFPALKELTLSSMEGLEEWMVPGGEGDQVFPCLEKLSIERCGKLKSIPICRLSSLVQFKIERCEELGYLCGEFHGFVSLQFFSVTYCPKMASIPSVQHCTALVELSICWCPELISIPGDFRELKYSLKKLGIWGCKLGALPSGLECCASLEELRIWKCSELIHISDLLELSSLRSLEIRGCDKLISIDWHGLRQLPSLVYLGIIGCPSLSDIPEDDWLGGLTQLKVLSIGGFTEELEAFPSGVLNSFQHLNLSGSLESLRICGWDKLKSVPHQLQHLTALKSLWIYDFKGEGFEEALPDWLANLSSLQSLTIWNCYNLKYLPSSTAIQGLSKLNELEIYGCSFLSENCRKENGSEWPKISHIPSIIIR >Potri.008G224328.1.v4.1 pep chromosome:Pop_tri_v4:8:19078210:19080666:-1 gene:Potri.008G224328.v4.1 transcript:Potri.008G224328.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224328.v4.1 MAGVDTTRSQLNAGTPAARLPQPATSGWPLQRLASPPQGAGQNAGGQGPKAAPPRAREQASRGCRLPRPATSGWPLQRLASPPQGAGQNAGGQGPKAARDGEQASRGSPCFRQPSMAAGAAGRHARAPKRPSAQGPPRAPGPQAPAPSAGAGGRARARAWYFWAKGRRRPENGSSMPAKLRAMRPPTLNFSLPAAQVRTRISPPRQQANAAEADSAADTVPRAATAPVSKPAVFLVEPWTIQPVQTHRQHLLPILPISSALPIPPLPPAVSKPALFRQRVPWTNFPAWPGQSIVQPMFVDKSALPIFPHVGMAAAAPLSGPTVFSVKPWTVNHSSPAPAADSADFVGAADSTTAPAVSKPALFRQRVPWTNFPAWPGQSIVQPMFVDKSALPIFPHVGMAAAAPLSGPTVFSVKPWTVNRPDSELIASTCCRFCRFLANAAETDTAAESADTVPRAATAPVSKPAVFLVEPWTVQTHRQHLLPISSALPIPPLPPRV >Potri.014G144500.1.v4.1 pep chromosome:Pop_tri_v4:14:9872567:9877307:1 gene:Potri.014G144500.v4.1 transcript:Potri.014G144500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144500.v4.1 MGKKKKKDIIHLEKESVIPILKHKLIAALANRISIERSRSDVDEFLKLCQRVEYTIRAWYLLQFEDLMRLYSFFEPIHGAKKLEQQNLTPEEIDVFEQNFLASLFQVMEKSNFKIATDEEIEVALSAQYRLNLPIVVNENKLDKRLFTSYFAAHPQDDLPCFADKFIIFRRGFGIDHLNSYFIMPKINTIISRFWRCFLKVTGLKRLFFRKRNAHITEVPKSIEISMDNSDEGLYVERIRIEKIKLSISNLLGKVTIQEPTFDRIIVVYRRASAKKARARNIYVKHFKSIPMADMEIVLPEKKNPGLTPVDWVKFIVSAVIGLITVIGSLSNPKADIRVILAILTSVVGYCVKTYFTFQNNLVSYQSLITQSVYDKQLDSGRGTLLHLCDDVIQQEVKEVIVSFFILMVQGKATRQELDQRCEELITEEFNEKCNFDVDDALQKLQKLGIVAKDPAGKYACTDLKHANEIIGTTTEELVLKANQGDSSFGR >Potri.006G152200.1.v4.1 pep chromosome:Pop_tri_v4:6:13401972:13403240:1 gene:Potri.006G152200.v4.1 transcript:Potri.006G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152200.v4.1 MAISIFAFVTSWLTPGSLFLFLNIMIFTIVLASRYGTHNKPVHEYQHLARAPSLLQRVKSIDYFSFYNFPPAQEPQNTTQEHDPPQLERAPSLLQRVKSIDYLSFYKFPPAQEPENTTQEHDPPQLERAPSLLERVKSINFSSLYYSSGPEETTQRLPAQTRSDADPVSHDHDHHVKRIQSEHMVRATKRQVKMKKSASEKAVSLDLAEEVEREKVERRRPATTRASEKTVMIGDEEVDAKADEEVDAKADDFINRFKQQLKLQRLESLLRYKEMLKGKLSI >Potri.010G074000.2.v4.1 pep chromosome:Pop_tri_v4:10:10182930:10186712:1 gene:Potri.010G074000.v4.1 transcript:Potri.010G074000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074000.v4.1 MVAEAWIVKMGNQVSSNLKHALLLESSKKKNNHNHNHPRNKQDSKDKQIIGILSFEVAIVLSQTVHLHKSLSDSEISKLKNEILKSEGVKNLVSTDESYLLQLALAEKLDDLNRVANVVSRLGKKCVEPALQGFEHVYGDIVGGVIDVKDLGFLVKDMEGMVKKMERYVNATSNLYCELEVLNELEQATKKFQQNQHEESRRAFEQKLIWQKQDVRHLKEISLWNQTCDKVVELLARTVCTIYARISVVFGESVLQMKGPGAVEGVCSSPPMKDECREVPGHIGDCHGSQRVSGPLRRAVSKRSSNLCQSGPIERAVVEKRETHIKPRIASGKGEVDLLFRTEDIVFPCGTSPGRLFLDCLSLSSSASKFDDDESCVAVDEDQRSQTSRCYSVGNGSLKIEDPIPSGFSNRVSFSGDQRQARRGGMNNARFGPKSRLMVYAPPSTIGGSALALHYANVIIVIEKLLRYPHLVGEEARDDLYQMLPTSLRMSLRTNLKSYVKHLAIYDAPLAHDWKETLDGILRWLAPLAHNMIRWQSERNFEQHQIVKRTNVLLLQTLYFADRGKTEAAICELLVGMNYICRYEHQQNALLDCASSFDFEDCMQWQLQCRASFVV >Potri.010G231432.1.v4.1 pep chromosome:Pop_tri_v4:10:21335634:21336976:1 gene:Potri.010G231432.v4.1 transcript:Potri.010G231432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231432.v4.1 MFTFKIFYFLGHIRKKIIQNPLAQLPRLYNAHVTPSFASSVLHLISEANCILRKDFQHLHVLSFCFLSTFCASISLSNILISSRRLVLRGSKLCNSTRFDSLSLDKYLNCSTSYISSSDNFFSKISLAKACKPKLLPSTVISFHKSSKHLEAIVLSLIVASIFFCNRCFAF >Potri.002G216266.1.v4.1 pep chromosome:Pop_tri_v4:2:20311077:20312686:-1 gene:Potri.002G216266.v4.1 transcript:Potri.002G216266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216266.v4.1 MSAFSCYRSRALVFGSTVDDSLPFLFRVQRFLLTKPLVQISIILLVVPPATQTLAIPDLNRTPTNSTPKVLIDVLVVVFPDLWDFDKVMAGCSFAEDAFDGGLIFWPLPFCAMELRFDSPVGVKGLFVCGYRIPRLGFVPV >Potri.001G015801.1.v4.1 pep chromosome:Pop_tri_v4:1:1176092:1178597:-1 gene:Potri.001G015801.v4.1 transcript:Potri.001G015801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015801.v4.1 MSPLSFMVPSLFKLHYCSLTIPFLRLKEGLLASTVASVRLTTKILWFLVQSFQLKCLMLLCNSVLDDEL >Potri.008G011000.8.v4.1 pep chromosome:Pop_tri_v4:8:548155:551138:1 gene:Potri.008G011000.v4.1 transcript:Potri.008G011000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011000.v4.1 MPSSQVQSFSVFVATWNVGGKSPHSGLNLDDFLQVHDESDIYVLGFQEIVPLNAGNVLVAEDSEPAAKWLALINQSLNRSYSVASRRSKSPLCSSLLFQKPSLKKVCKSFRTESRRRLKTCNCSPILERKYSRDCCVWPPSTNMTEDYCSSEEDEDGLSNHVSSEISTPASANQMKYSLITGKQMVGIFVTVWVRKELVQHVSHLRISNVGRGILGYLGNKGCISVSMSFHQTSFCFVCSHLASGEKEGDQRRRNLDVIEIIKNTQFSRICKSPCSRIPEKIMEHDRVIWLGDLNYRIALSYSETRRLLEQYNWDTLLDKDQLKIQREAGQVFRGWKEGEIYFAPTYKYSYNSDIYAGETIETQKKRRTPAWCDRILWYGDGIRQLSYVRRESRFSDHRPVCAKFMVGVQVTNNDMD >Potri.008G011000.2.v4.1 pep chromosome:Pop_tri_v4:8:546555:551130:1 gene:Potri.008G011000.v4.1 transcript:Potri.008G011000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011000.v4.1 MTLENQDQNKKSFLRKLLLQKEVRGRKAEEVSFDSSEALSDPSLKSLFSHQLSSPMPSSQVQSFSVFVATWNVGGKSPHSGLNLDDFLQVHDESDIYVLGFQEIVPLNAGNVLVAEDSEPAAKWLALINQSLNRSYSVASRRSKSPLCSSLLFQKPSLKKVCKSFRTESRRRLKTCNCSPILERKYSRDCCVWPPSTNMTEDYCSSEEDEDGLSNHVSSEISTPASANQMKYSLITGKQMVGIFVTVWVRKELVQHVSHLRISNVGRGILGYLGNKGCISVSMSFHQTSFCFVCSHLASGEKEGDQRRRNLDVIEIIKNTQFSRICKSPCSRIPEKIMEHDRVIWLGDLNYRIALSYSETRRLLEQYNWDTLLDKDQLKIQREAGQVFRGWKEGEIYFAPTYKYSYNSDIYAGETIETQKKRRTPAWCDRILWYGDGIRQLSYVRRESRFSDHRPVCAKFMVGVQVTNNDMD >Potri.008G011000.9.v4.1 pep chromosome:Pop_tri_v4:8:548155:551029:1 gene:Potri.008G011000.v4.1 transcript:Potri.008G011000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011000.v4.1 MPSSQVQSFSVFVATWNVGGKSPHSGLNLDDFLQVHDESDIYVLGFQEIVPLNAGNVLVAEDSEPAAKWLALINQSLNRSYSVASRRSKSPLCSSLLFQKPSLKKVCKSFRTESRRRLKTCNCSPILERKYSRDCCVWPPSTNMTEDYCSSEEDEDGLSNHVSSEISTPASANQMKYSLITGKQMVGIFVTVWVRKELVQHVSHLRISNVGRGILGYLGNKGCISVSMSFHQTSFCFVCSHLASGEKEGDQRRRNLDVIEIIKNTQFSRICKSPCSRIPEKIMEHDRVIWLGDLNYRIALSYSETRRLLEQYNWDTLLDKDQLKIQREAGQVFRGWKEGEIYFAPTYKYSYNSDIYAGETIETQKKRRTPAWCDRILWYGDGIRQLSYVRRESRFSDHRPVCAKFMVGVQVTNNDMD >Potri.003G172200.1.v4.1 pep chromosome:Pop_tri_v4:3:18045148:18047280:-1 gene:Potri.003G172200.v4.1 transcript:Potri.003G172200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172200.v4.1 MDDIDHTLIDLEITDLLEQLSLCLEKIGRRIDESPEARSEVIRVMREAASTTYQQTSTHMSMAEENARVYHKLEKTVAELNGYISENDRKMIASANVFVEGIEELKKNREASTILFNELMINMRDIGQERNLLEARKKIADVNRWLKERAVVIDETMKEEHSGTGFDQSAAPGREQDVLGLGNGSEEDTEGSRKGNKPGHEEVKSIPQGSSSPASDKFSVLLVEYDTCDRLFNKTQIIDFGKEYNIGMEVKVAKSGQKAIHLHSQGASFDLIFMDMDMPAHVTSGYEATTQLRSLSGVQSNIVGLTYTPESEPINEFIRAGHLDGCIGKPLTDEKIDSLIPIPKGSN >Potri.002G178300.1.v4.1 pep chromosome:Pop_tri_v4:2:13889166:13893712:-1 gene:Potri.002G178300.v4.1 transcript:Potri.002G178300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178300.v4.1 MSVNVKLYIKKKLFSTKPLSILKKMEKFQRVLLSASCLLLAVFMVGCSPPENHIKCSSTNTNCTITNSYGTFPDRSICQAANAAYPTTEEELISIVAAATKAKRKVKVATRYSHSIPKLVCPDGQNGLLISTDYLNRTLEIDVQSMTMSVESGVTLRQLINEAAKAGLALPYSPYWWGLTIGGLLSTGAHGSTLWGKGSAIHDYVVALTIISPGGPEDGYAKVRSLDESNSAELDAAKVSLGVLGVISKVTLQLQPLFKRSISYEVKKDTDLGDQVASFGRQHEFADITWYPSQGKAVYRIDDRISSNTSGNGLYDYIPFRSTPSLGLAVVRATEDAQESLKDPDGKCASAKLITSTLKNLAYGLTNNGIVFTGYPIIGYHNRLQSSGTCLDSPEDAMITACPWDSRIKGEYFFQATFSISLSVVKSFIQDVQMLVKLDPRALCGLEQYNGILMRYVKASSAYLGKEDDALDFDITFYRNKDPAKPRLYEDILEEIEQLAVFKYGGLPHWGKNRNLVFNGALKKYKNAGAFLRVKEMYDPLGLFSNEWTDQVLGLKGDVNIIKEGCALEGLCICSQDIHCAPSKGYLCRAGKIYQEARVCAHVSTPEQ >Potri.002G231501.2.v4.1 pep chromosome:Pop_tri_v4:2:22332433:22337402:1 gene:Potri.002G231501.v4.1 transcript:Potri.002G231501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231501.v4.1 MTLLQTATAIYLVFSVAEFMSHDGTSSKCLTGTASNGNKWKTKLLIPFVITVCSVPLMHCFVGPAVLRWRSFYETQDDAWKAHYQEVFDHGIREALCCLGRVKYMGAPKEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQRHSESPKSHDEMVAEKRFGRLFLSINLLKLHILYL >Potri.005G043400.1.v4.1 pep chromosome:Pop_tri_v4:5:2733431:2739233:-1 gene:Potri.005G043400.v4.1 transcript:Potri.005G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043400.v4.1 MGSVGVLTNSVMVCAPLMAQSVEQMVIDMHSAKAQGADVVEVRLDCISKFQPRQDLETIIRNKPLPVIIVYRPKWEGGQYEGDEHRRLEALRLANDLGADYIDLELKVASELIWELKNKHQNGGKVIVSSYLNGATPSKENLSHLVATMQATEADIIKVVSNADDITEMERIFHLLSHCEVPAVAYSVGERGLISQLLCPKFGGALVYGSMEGNSIPGLPTLDSLREAYKVDCINSDTKVFGLVSKPVGHSKGPLLHNPTLRHVNFNGIYVPMFVDDLKKFFDVYASPDFAGYSVGFPYKEAVVQFCDEVHPLAKCIGAVNTIIRRPCDGKLIGYNTDCEGSITAIEDALRDQKYVNGRSLNSPLAGKQFVVVGAGGAGRAIAVGAKSRGARLIIFDIDLERAKSLARAVSGEAQHFESLAHFQPENGAILANATPIGMHPSTDRIPAAEETLGNYQLVFDAVYTPRKTRLLKDADAAGAITVSGVEMFLRQAIGQFNLFTGREAPKDFMREIVLAKF >Potri.011G019200.2.v4.1 pep chromosome:Pop_tri_v4:11:1540629:1545694:-1 gene:Potri.011G019200.v4.1 transcript:Potri.011G019200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G019200.v4.1 MGSLPIMGIPYRAAMSGNWKSMLDHYQERVLDVPFPVTLSADTALHLAVYSKQKQPLKDLLEIVKYIPDETEFLVPDETEFVVPDETEPLVTETESETESLVPEIETEFLKRKNKFGNTALHEATIYGNYEAARLLVERCPDLLKEKNNYGETPLFTAAGFAETKIVEFLITSKPEKCVDNKCRLSLIHRQRTDGLSIISSAIRGQHIETALLLLELDDSLHKLKDKDGVTALQLLAQMPTAFESGFPMGICERLIYCCLPVKRHHKVKLQVETWFKERKRPRDPESGQGRNSEDLGSGSERNQRGGILKYLEVPKVRCWPARLEEFWNQKRKHVFALRLAKKLIEKDESLNRVSITEEEEGQEDQNKEEEEKGLGEKKKGREKSSSLNSLAKEKTEEIQRQYPTETSETNSSFKEKIPLFIIATKNGKEEIVLEIMKKYPDATTSLTRKEHIPLFIATINGIEEIVRGIINQYPHAVEHLNEEGQSILDVAVMHRQKNIFSLVKHQKVPLARLHRVLDKKGNTLLHHVADMEHYRGGTKPGPALKLQEELQWFEQVQKVIPSHYVTLRNDEGKTAEELFKESHQDQLKNAQKWIKETTQSCSTVAALVATVVFAAAYTVPGGSDKNGTPNFINSPYFLVFTVSDVLSLASSLTSLVVFLSLLTSPFELQEFHISLPRKLLVGFTFLFFAVITTMLSFGATILILIQSEKKLTTLLLSIAAFLPVLVFAIMQFRLYVSFMGSTYNILKITGKALPPFLVPCLPRDKKLCRVD >Potri.015G145400.1.v4.1 pep chromosome:Pop_tri_v4:15:15013738:15019544:-1 gene:Potri.015G145400.v4.1 transcript:Potri.015G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145400.v4.1 MDLAVAILLVLLNLASWCTCSDSRLLVKMTLVPNASKIGGFCLDGSLPAYHLHRGFGAGARNWLLQFEGGGWCNDILSCLERAQTRRGSTLYMNKLEDFNGILSNNASLNPDFYNWNRVKLRYCDGGSFSGDAKFDNGTSVLYFRGKKIWEAIILDLLPKGLMHARKALLSGCSAGGLSSFLHCENFARILPRNTSVKCLSDAGFFMDERDVTLNHTMRNFFENLVSLQGIEENLNKNCTSFLNNPKLCMFPQYFLKYITTPFFILNTAYDVYQFHHALVPPSADTRGHWNRCKLNIASCNTRQLDILQDFRQDMLVALLSSRIYSRRGGMFINSCFAHCQSESQDTWFALDSPQIHSKTIAEAVGDWYFSRNTSKLIDCAYPCGTSCHNIVA >Potri.005G251400.3.v4.1 pep chromosome:Pop_tri_v4:5:24434578:24438090:-1 gene:Potri.005G251400.v4.1 transcript:Potri.005G251400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251400.v4.1 MAGSDENNPGVIGPGNIQEGLRGRVGKFPVATGTNRRALSNINRNIIGGPPYPCAVNKRGLSEKYAAQLANKQQQQLEPEEIKKPVRPVPISSEPEDCNIIDVEGYKTSDDFSAPTFVQHTEAMLEEIDRMDEVEMEDVEEEPVLDIDGCDKRDPLAVVEYIDDLYNFYKKAERSGCVPPNYMAQQFDINDRMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVHPVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRNEVLDMEKNMVNALQFNLSVPTPYVFMRRFLKASQCDRKLELLAFFIIELCLVEYNMLKFPPSLLAAAAIYTAQCTLSGTKQWSKTNEWCTGYSEQQLTECSRLMVNFHRIAGTGKLTGVHRKYCTSKFGYAAKNEPADFLLDPPF >Potri.005G251400.2.v4.1 pep chromosome:Pop_tri_v4:5:24434524:24438107:-1 gene:Potri.005G251400.v4.1 transcript:Potri.005G251400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251400.v4.1 MAGSDENNPGVIGPGNIQEGLRGRVGKFPVATGTNRRALSNINRNIIGGPPYPCAVNKRGLSEREAFCNKNPPIPVHRPLTRKYAAQLANKQQQQLEPEEIKKPVRPVPISSEPEDCNIIDVEGYKTSDDFSAPTFVQHTEAMLEEIDRMDEVEMEDVEEEPVLDIDGCDKRDPLAVVEYIDDLYNFYKKAERSGCVPPNYMAQQFDINDRMRGILIDWLIEVHYKFELMEETLYLTVNLIDRFLAVHPVVRKKLQLVGVTAMLLACKYEEVSVPVVEDLILISDKAYSRNEVLDMEKNMVNALQFNLSVPTPYVFMRRFLKASQCDRKLELLAFFIIELCLVEYNMLKFPPSLLAAAAIYTAQCTLSGTKQWSKTNEWCTGYSEQQLTECSRLMVNFHRIAGTGKLTGVHRKYCTSKFGYAAKNEPADFLLDPPF >Potri.014G131000.7.v4.1 pep chromosome:Pop_tri_v4:14:8768837:8780683:-1 gene:Potri.014G131000.v4.1 transcript:Potri.014G131000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131000.v4.1 MVETRRSSSSSKRSLPPSSPPPPSSKRCKAAAAAAALEVSSSTSDTPPPALPLESTSPEKESGSPPELDPPEEEKSADVQAEDSMSLAGEKSKAAVLLNKSKKRVPKSVKSSAKAAWGQLLSQCSQNPHKLMNSTLFSVGQSRQCNLWLNDPSISTVLCKLKHIERGGASVVLLEITGGKGAVQVNGKLYQKNESLVLNGGDEVIFTTSGKHAYIFQQLTSNNLGTPGMPSVSILEAQSAPIKGIHIEARPRDPSDYAGASILASLSHLLPPAAKTGEDTQQNTDFSILPSGCEASEDRIPDVEMKDGTCNNDTADVFPREKAAVPSSNAASENANVDSMGSGACTDAVIGRIPNSTYELKPLLRMLAGSSSELDKIFDERERREILKDLDTPPVLMSTRRQLFKDSLQKGILNPEEIEVSFDSFPYYLSDTTKKVLISAAFIHLKCGNKVAKFACDLPTVSPRMLLSGPAGSEIYQETLTKALAKDVGARLLIVDSLQLPGGSIPKEADSSRESSKSERVSVFAKRAVQAALQSKKPTSSVEADITGCSTFSSHARPKQETSTASSKNYTFKTGDRVKFVGASLASAISSLQPPLKGPTIGLRGKVVLAFEGNDSSKIGVRFDRSIPEGNDLGGRCEEDHGFYCTANSLRLDISGGEDVDRLAINELFEVALNESKNGPLILFVKDLEKSVVGNQDAYSSLKSKLESLPEKVVVVGCHTQIDNRKEKSHAGGLLFTKFGGNHTALLDLAFPDSFGRLSDRSKETPKAMKQLSRLFPNKVTVQLPQDEALLVDWKQQLERDIETLKVQANIASVRSVLSRVGLCCPDLETVCVKDQALATDSVEKMVGWALSHHFMQCSEASVKDSKLLISSESVMYGLSILQGIQNENKSLKNSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKIVRVILAKEDLAPDVDLEAVANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKVCWTLLLV >Potri.014G131000.2.v4.1 pep chromosome:Pop_tri_v4:14:8767538:8780708:-1 gene:Potri.014G131000.v4.1 transcript:Potri.014G131000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131000.v4.1 MVETRRSSSSSKRSLPPSSPPPPSSKRCKAAAAAAALEVSSSTSDTPPPALPLESTSPEKESGSPPELDPPEEEKSADVQAEDSMSLAGEKSKAAVLLNKSKKRVPKSVKSSAKAAWGQLLSQCSQNPHKLMNSTLFSVGQSRQCNLWLNDPSISTVLCKLKHIERGGASVVLLEITGGKGAVQVNGKLYQKNESLVLNGGDEVIFTTSGKHAYIFQQLTSNNLGTPGMPSVSILEAQSAPIKGIHIEARPRDPSDYAGASILASLSHLLPPAAKTGEDTQQNTDFSILPSGCEASEDRIPDVEMKDGTCNNDTADVFPREKAAVPSSNAASENANVDSMGSGACTDAVIGRIPNSTYELKPLLRMLAGSSSELDKIFDERERREILKDLDTPPVLMSTRRQLFKDSLQKGILNPEEIEVSFDSFPYYLSDTTKKVLISAAFIHLKCGNKVAKFACDLPTVSPRMLLSGPAGSEIYQETLTKALAKDVGARLLIVDSLQLPGGSIPKEADSSRESSKSERVSVFAKRAVQAALQSKKPTSSVEADITGCSTFSSHARPKQETSTASSKNYTFKTGDRVKFVGASLASAISSLQPPLKGPTIGLRGKVVLAFEGNDSSKIGVRFDRSIPEGNDLGGRCEEDHGFYCTANSLRLDISGGEDVDRLAINELFEVALNESKNGPLILFVKDLEKSVVGNQDAYSSLKSKLESLPEKVVVVGCHTQIDNRKEKSHAGGLLFTKFGGNHTALLDLAFPDSFGRLSDRSKETPKAMKQLSRLFPNKVTVQLPQDEALLVDWKQQLERDIETLKVQANIASVRSVLSRVGLCCPDLETVCVKDQALATDSVEKMVGWALSHHFMQCSEASVKDSKLLISSESVMYGLSILQGIQNENKSLKNSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKIVRVILAKEDLAPDVDLEAVANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERTLALAENSPLPILYSSADIRPLKMEDFRYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKSLSYFM >Potri.014G131000.8.v4.1 pep chromosome:Pop_tri_v4:14:8767538:8777879:-1 gene:Potri.014G131000.v4.1 transcript:Potri.014G131000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131000.v4.1 MPSVSILEAQSAPIKGIHIEARPRDPSDYAGASILASLSHLLPPAAKTGEDTQQNTDFSILPSGCEASEDRIPDVEMKDGTCNNDTADVFPREKAAVPSSNAASENANVDSMGSGACTDAVIGRIPNSTYELKPLLRMLAGSSSELDKIFDERERREILKDLDTPPVLMSTRRQLFKDSLQKGILNPEEIEVSFDSFPYYLSDTTKKVLISAAFIHLKCGNKVAKFACDLPTVSPRMLLSGPAGSEIYQETLTKALAKDVGARLLIVDSLQLPGGSIPKEADSSRESSKSERVSVFAKRAVQAALQSKKPTSSVEADITGCSTFSSHARPKQETSTASSKNYTFKTGDRVKFVGASLASAISSLQPPLKGPTIGLRGKVVLAFEGNDSSKIGVRFDRSIPEGNDLGGRCEEDHGFYCTANSLRLDISGGEDVDRLAINELFEVALNESKNGPLILFVKDLEKSVVGNQDAYSSLKSKLESLPEKVVVVGCHTQIDNRKEKSHAGGLLFTKFGGNHTALLDLAFPDSFGRLSDRSKETPKAMKQLSRLFPNKVTVQLPQDEALLVDWKQQLERDIETLKVQANIASVRSVLSRVGLCCPDLETVCVKDQALATDSVEKMVGWALSHHFMQCSEASVKDSKLLISSESVMYGLSILQGIQNENKSLKNSLKDVVTENEFEKKLLADVIPPSDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDKERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNREKIVRVILAKEDLAPDVDLEAVANMTDGYSGSDLKNLCVTAAHCPIREILEKEKKERTLALAENSPLPILYSSADIRPLKMEDFRYAHEQVCASVSSESTNMNELLQWNDLYGEGGSRKKKSLSYFM >Potri.010G170100.2.v4.1 pep chromosome:Pop_tri_v4:10:17199223:17202837:1 gene:Potri.010G170100.v4.1 transcript:Potri.010G170100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170100.v4.1 MSLVYFTSPIALSPHINQFKVDDLFSQKISLSNPSFSVVDCKISRGVRKSACDVFRNKSQSIIRFTTRHAVYSNSQKFTDVSLNWDDQEEEDAEDMESPWEGAIIYKRNSSISHVEYCTTLERLGLGKLSTEISKSRASVMGLRVTKAVKDYPLGTPVQISIDVTKKKKRLRLDGIIKTVITLGCYRCGEPVAEGIFSNFSLLLSEEPVAEPEIINMGKVFGNDKLKSSIFEEEDGDEASIEWDDRLHFPPEDKEIDISKPLRDMVHVEITLDVICDPSCKGLCLECGTNLNKSSCNCSKEKEKERGPGPLKDLKKQMLSES >Potri.005G080700.1.v4.1 pep chromosome:Pop_tri_v4:5:5492297:5494337:1 gene:Potri.005G080700.v4.1 transcript:Potri.005G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080700.v4.1 MVTAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRSSKGKLILLSNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDAGDSDIIKTVPGDH >Potri.005G080700.6.v4.1 pep chromosome:Pop_tri_v4:5:5492619:5494471:1 gene:Potri.005G080700.v4.1 transcript:Potri.005G080700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080700.v4.1 MKSGKYTLGYKTVLKSLRSSKGKLILLSNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIVDAGDSDIIKTVPGDH >Potri.015G129600.1.v4.1 pep chromosome:Pop_tri_v4:15:14040617:14042593:1 gene:Potri.015G129600.v4.1 transcript:Potri.015G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129600.v4.1 MALQIHSPSSSSLFKKPSHLLLLSPLTKPTKLKVIAQTSTNNPTPPEKKPSTVSPGQGFGSQSAAAATTSKTASGSESKNKPKGNRRERASIIRRAPAEKPGFISQENEVKVKEQGRNETAFLLAWLGLGGIILVEGILLAASGFLPEEWDKFFVKYLYPSFTPTVGLFVAGTVAYGVSKYLQNENLKDLK >Potri.019G021500.5.v4.1 pep chromosome:Pop_tri_v4:19:3304776:3320183:-1 gene:Potri.019G021500.v4.1 transcript:Potri.019G021500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021500.v4.1 MEEKNEEVQDIDSASSDSFIDDDENDEPSTSGQDDGTRIQVPLTDQEVEELVAEFLEVESKAAEAQEALEKESLAKVESDVREELAQSLQGDDLEAAVEDEMTTFREEWENVLDELETESYHLLEQLDGSGIELPSLYKWIESQAPNGCCTEAWKRRAHWVGSQVTKETIDSVADAEKYLQIHRPARRRHGKLLEEGASGFLQKKLSMDGSEAIVENGEVDWVSMKKLFSTSSGEDVASFGSKHWASVYLANTPQEAALMGLKFPGVNEVEEIEDIDEDSIDPFVAEAVANEKELVLSEEQRKSYRKVKEEDDAKIDQKLQLHLKQRRQRKRCKQGVSSVIQEMGRNMDEPLPLDDDYNEVTCQDLKKDKLSVDLVMEHSTGKSNSVFPESALPDATEPRRSKRPNESEDLSINDKKIRTVIIDSDDEAGILEDKSVHNIKVEDQSTLQENTGDPTTDCNPSQGSNEKFLCTACDKVAVEAHSHPLLKVIVCKDCKFLMEEKMHAKDPDCSECYCGWCGRNIELVSCKSCRTLFCTACIKRNIGEEYLPKVPASGWQCCCCSPSLLQMFTLQLEKAVGSGDTMITSSDSDSESSDTDGGVTIRSKRKKKKKIRRIIDDAELGEETKRKIAIEKERQERLKSLKVQFSDKSKMINPASCSGNLTEGASVEVLGDATTGYIVNVVREKGEEAVRIPPSISSKLKAHQVTGIRFLWENIIQSIGKARSGDKGLGCILAHMMGLGKTFQVIAFLYTAMRSVDLGLRTVLLVTPVNVLHNWRKEFMKWTPSEVKPLRVFMLEDVSRERRAELLAKWRAKGGVFLIGYSAFRNLTLGKNVKEPKLAREICNALQDGPDILVCDEAHIIKNTRADTTQALKLVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSMVDDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVVAVKLSPLQRKLYKRFLDVHGFTNGRVSNEKMRKSFFAGYQALAQIWNHPGILQLRKGRDYIGREDNVENVLADDCSSDENVDYNTIVGEKSRNQNDFVQGKSDDGFFQKDWWNDLLHENNYKVIDYSGKMVLLLDILVMSSNVGDKTLVFSQSIPTLDLIELYLSRLTRHGKKGKFWRKGKDWYRLDGRTESSERQRLVERFNDPENKRVKCTLISTRAGSLGINLYAANRVIIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVYRTMSREEMLHLFEFGDDEKSDTLNDIGQEYRHADTRNVTCQTVNSLKENIPCSQGSCSSDKLMESLLDKHRQRWIFDYHEHETLLQENEEEKLTKEEQDMAWEVYKRSLEWEEVQRVSVDDSTFERKPQMSNGASSALDTSSIPVPSMAPPASEASNVAPSKSILRSRVVQRKCTNLSHLLTLRSQGTKAGCTTVCGECAQEISWEDLNREGKAAR >Potri.017G081400.8.v4.1 pep chromosome:Pop_tri_v4:17:9107002:9111236:1 gene:Potri.017G081400.v4.1 transcript:Potri.017G081400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081400.v4.1 MYPGGYTAEVTSLSPKAEEKDVYEFFSHCGAIEHVEIIRSGEHACTAYVTFKDAYGLQTAILLSGATIVDQRVCITHWGTFVDEFDAWGSSSKDEGNTSSAGIPFNQFVSTPGEAVTVAQEVVKTMLSKGYILGKDAMVKAKAFDESHQVLATAAAKVSELSNRIGLTDKIYAGMETVKCVDEKYHVSEFTKSAASVTGTAAVSAATFTGKTAVAAANAVVNSTYFAKGALWVSGVLTQAAEAAADMGKKAST >Potri.017G081400.7.v4.1 pep chromosome:Pop_tri_v4:17:9107100:9111178:1 gene:Potri.017G081400.v4.1 transcript:Potri.017G081400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081400.v4.1 MYPGGYTAEVTSLSPKAEEKDVYEFFSHCGAIEHVEIIRSGEHACTAYVTFKDAYGLQTAILLSGATIVDQRVCITHWGTFVDEFDAWGSSSKDEGNTSSAGIPFNQFVSTPGEAVTVAQEVVKTMLSKGYILGKDAMVKAKAFDESHQVLATAAAKVSELSNRIGLTDKIYAGMETVKCVDEKYHVSEFTKSAASVTGTAAVSAATFTGKTAVAAANAVVNSTYFAKGALWVSGVLTQAAEAAADMGKKAST >Potri.T002456.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:270861:271190:1 gene:Potri.T002456.v4.1 transcript:Potri.T002456.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002456.v4.1 MNSAGSMQWSMRVFELATWHGRGGLDDGPSKSAADAAEAGSWGCQVDSQEMGEVAASWEAERGSITGVLSRPLCPENMGSWVRGSAPPCPENMGSWEKGSAPLGPENGG >Potri.002G036666.1.v4.1 pep chromosome:Pop_tri_v4:2:2414226:2418874:-1 gene:Potri.002G036666.v4.1 transcript:Potri.002G036666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036666.v4.1 MCWKIAAKRNQTVIWVKPLTNDCYMEREPGTQPPLCKSDDDPDAVWGVPMEACITHSDQNHKARGSGLAPWPARLTALPPCLADFGYSNKMFEKDMEVWQQRVENYWNLLSPKIRPDTLRNVMDMKANLGSFAAALKSKDVWVMNVVPEDGSNTLKIIYDRGLIGTVHSWCESFSTNPRTYDLLHAWMVFSDIEKKGCSAVDLLIEMDCILRPTGFIIIRDKRPVVEFVKKHLSALHWEAVATAEAEGESEQDEDDMVFIIKKKLWLTSESFRETE >Potri.009G026900.1.v4.1 pep chromosome:Pop_tri_v4:9:3836638:3838881:-1 gene:Potri.009G026900.v4.1 transcript:Potri.009G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026900.v4.1 MPRKGMRTILFKPSPSRSPTRSTPHRHTFSDTLMDDNVENARELISKWDASRSSSLHCVDDANLFASDNRYEAQQYLNSIKDLQTAMQYYISQDPTSDKLVLAQNLMQIAMKRLEKEFYIILKSRRQHLDPESVSRTSRSSVSEFEDESEDDELSRVGEDSISEVELVSMDAMKDLKAIAECMIGAGYGKECVKIFKIIRKSVVDEALYHLNVESRLSLAQIQKMDWEVLEVKIKAWLNAVKVAVKTLFYGERVLSDHVFSSSPSLKESCFADITREGALSLFVFPENVAKCKKAPERIFRTLDLYEAIADLWAEIEPIFDLESTSTIRQQVINSLNKLGEAVCAILTEFETAISKFNSKAAVPGGGIHPLTRYVMNYITFLTDYSGVLTDILADWPLTVPSPLPEAYFGSPVSADGTSTSSISIRLAWLILVMLCKLDGKAEMYKDVALSYLFLANNLQYVVNKVQKSNLKLLLGDEWMEKHEEKVRQYASNYERMGWSKVFAALPDANDNQMTAPQVTECFKRFNSSFEEAYNNQASWVVSDSKLRDQIKVSVARKLVPVYREFYGKYRQLVARKEGIVRFAPDDLENYLSDLLFGTGGSGSNLSLSTSSSVSSFSSSSGHSRGGRSR >Potri.012G034600.7.v4.1 pep chromosome:Pop_tri_v4:12:3101228:3104924:1 gene:Potri.012G034600.v4.1 transcript:Potri.012G034600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034600.v4.1 MHKKYVPLIVLVLLHINPLPEFITGVRGAKFRCIERERRALLQFKEDLIDDYGVLSSWGGKEEKRDCCKWIGVGCDNITGHVTLLDLHSSPVYEDRFTPLIGKVSDSLLELQYLNYLDLSLNNFDESIMDFIGSLTSLRYLNLSYNFFTVTIPYQLGNLSRLQSLDLSYSFDGSVENLDWLSHLSSLERLYLSGSNLSKVNDWLQVITNLPHLKELRLNQCSLPDIIPSPPFVNSSKFLAVLHLSNNNLSSAIYPWLYNFNKSLVDLDLSGNQLKGSIPDAFRNMSALTKLVLSSNQLEGGIPRSLGEMCSLHVLDLCHNHISEDLSDLVQNLYGRTESSLEILRLCQNQLNGPLPDIARFSSLRELDISYNRLNGCIPESIGFLSKLEHFDVSFNSFQGVVSGEHFSNLSKLQNLDLSYNSLVLRFKSEWDPTFQLNTIRLSSCNLGPFFPQWLQTQRNVHLLDISSANISDKIPNWFWNLLPTLAFLNLSHNLMSGTLPDLLSVDVVDGTFPGFDLSFNQFEGLLPAFPSTTSSLILSNNLFSGPISYICNIAGEVLSFLDLSNNLLSGQLPNCFMDWKGLVVLNLANNNLSGKIPSSVGSLFLLQTLSLHNNKLYGELPVSLKNCSMLKFLDLGENRLSGEIPAWIGESLSSLMFLSLQSNEFIGSIPPHICQLRNIRILDLSLNNITGAIPECLNNLTAMVLRGEAETVIDNLYLTKRRGAVFSGGYYINKAWVGWKGRDYEFERNLGLLRVIDFSGNNLSGEIPEEITGLLELVALNLSGNNLTGVIPQKIDHLKLLESLDLSRNHFYGAIPLTMAALNFLSCLNVSCNNLSGKIPSSTQLQSFDASAFTGNPALCGLPVTQKCLGDVDVPQSPAMNDVIQDNQKTVHEFSMWFYIGMENGFFVFFIGFSGALLLKHSWRHGYFQFLDESLEFLCLILRAHRAKQKRLHPNSCS >Potri.012G034600.6.v4.1 pep chromosome:Pop_tri_v4:12:3099900:3106184:1 gene:Potri.012G034600.v4.1 transcript:Potri.012G034600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034600.v4.1 MHKKYVPLIVLVLLHINPLPEFITGVRGAKFRCIERERRALLQFKEDLIDDYGVLSSWGGKEEKRDCCKWIGVGCDNITGHVTLLDLHSSPVYEDRFTPLIGKVSDSLLELQYLNYLDLSLNNFDESIMDFIGSLTSLRYLNLSYNFFTVTIPYQLGNLSRLQSLDLSYSFDGSVENLDWLSHLSSLERLYLSGSNLSKVNDWLQVITNLPHLKELRLNQCSLPDIIPSPPFVNSSKFLAVLHLSNNNLSSAIYPWLYNFNKSLVDLDLSGNQLKGSIPDAFRNMSALTKLVLSSNQLEGGIPRSLGEMCSLHVLDLCHNHISEDLSDLVQNLYGRTESSLEILRLCQNQLNGPLPDIARFSSLRELDISYNRLNGCIPESIGFLSKLEHFDVSFNSFQGVVSGEHFSNLSKLQNLDLSYNSLVLRFKSEWDPTFQLNTIRLSSCNLGPFFPQWLQTQRNVHLLDISSANISDKIPNWFWNLLPTLAFLNLSHNLMSGTLPDLLSVDVVDGTFPGFDLSFNQFEGLLPAFPSTTSSLILSNNLFSGPISYICNIAGEVLSFLDLSNNLLSGQLPNCFMDWKGLVVLNLANNNLSGKIPSSVGSLFLLQTLSLHNNKLYGELPVSLKNCSMLKFLDLGENRLSGEIPAWIGESLSSLMFLSLQSNEFIGSIPPHICQLRNIRILDLSLNNITGAIPECLNNLTAMVLRGEAETVIDNLYLTKRRGAVFSGGYYINKAWVGWKGRDYEFERNLGLLRVIDFSGNNLSGEIPEEITGLLELVALNLSGNNLTGVIPQKIDHLKLLESLDLSRNHFYGAIPLTMAALNFLSCLNVSCNNLSGKIPSSTQLQSFDASAFTGNPALCGLPVTQKCLGDVDVPQSPAMNDVIQDNQKTVHEFSMWFYIGMENGFFVFFIGFSGALLLKHSWRHGYFQFLDESLEFLCLILRAHRAKQKRLHPNSCS >Potri.013G029300.2.v4.1 pep chromosome:Pop_tri_v4:13:1906127:1908655:-1 gene:Potri.013G029300.v4.1 transcript:Potri.013G029300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029300.v4.1 MLKQVFGKVLNKGFFANGGDKLRPGLYVPNTGFHNGQAHCAPRSFFGVEDFLDDDNSRPYTYQKGKKSKNPNKHLSFKQRTVAYMEPFTLDVFISKRFVSASLTHRVTSKQVAVAGTNSKDIKAVLKSRSDIPACLAVGQILADRAREADVYTASYTPRERDKFEGKIRAVVQSLIDNGIDVKIYLD >Potri.001G237300.1.v4.1 pep chromosome:Pop_tri_v4:1:25503479:25506488:-1 gene:Potri.001G237300.v4.1 transcript:Potri.001G237300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237300.v4.1 MLATTDRARAVWLRCLASAFRTALACTIVGCTTLYGPAAVQHYIAFPAFSYVTVILIVTDATLGDTLHGCWLALYATIQSVGPALLSLWLVGPGRFTNGTISLAVALAAFVVAFPEGTHLIAKRIALGQIVIVYVIAFINGVDAEAIMHPLNVAASTAIGVLACVIALLLPYPRLACWELKQDCGKLAENVSERLNLYVKAFCAEDNALALTSISQAKPLTIAGAKLLQSIKRYQESVKWERLPLKFLRNFYLNPGERLQELEIPLRGMEIALTSTSSFPIRMLEAETKQGLVQLEEHVSLTLKQIKNCFPRDSFTVPESNADKIIEFLQTLQATIPTNHEDLPSFFFLFCMKLLQRKSLAKPITSIQQKESSTPCQKNGFFKSMWMSNWSTSVNCKRLMPAFKCSLSLGLAVLFGLIYSKKYSYWSGLPVAISMAAAREATFKVANVKAQGTVLGTVYGVFGCFVFERYFPIRFISLLPWFVVISFLRHSQMYGQAGGISAVIGAVIILGRKDFGPPSEFAIARIVETFIGLSCSIMVDLLLQPTRSCSLAKVQLSKCFGTLSACVGSMSLAANRKTNLLEKQRRLKLDVSELGKFIGEAEVEPNFWFLPFHSACYCKLLASLSKLVDLFLFSADAVGLLEQESQKLGASWKESVNKLHGDVEIFKEMAGSLVKCFEDVTLLKSLTFLEKKLENKNISYDLELGKSSNWNIFKASSLKDDKIDSIISSYLQHSKEIVDKFHAADHEGERELKSQVVLCLSALGFCMSNLIKETREIEKGIIELLQWENPSKHINLYEISCKIHALNN >Potri.001G237300.2.v4.1 pep chromosome:Pop_tri_v4:1:25503475:25506468:-1 gene:Potri.001G237300.v4.1 transcript:Potri.001G237300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237300.v4.1 MEIALTSTSSFPIRMLEAETKQGLVQLEEHVSLTLKQIKNCFPRDSFTVPESNADKIIEFLQTLQATIPTNHEDLPSFFFLFCMKLLQRKSLAKPITSIQQKESSTPCQKNGFFKSMWMSNWSTSVNCKRLMPAFKCSLSLGLAVLFGLIYSKKYSYWSGLPVAISMAAAREATFKVANVKAQGTVLGTVYGVFGCFVFERYFPIRFISLLPWFVVISFLRHSQMYGQAGGISAVIGAVIILGRKDFGPPSEFAIARIVETFIGLSCSIMVDLLLQPTRSCSLAKVQLSKCFGTLSACVGSMSLAANRKTNLLEKQRRLKLDVSELGKFIGEAEVEPNFWFLPFHSACYCKLLASLSKLVDLFLFSADAVGLLEQESQKLGASWKESVNKLHGDVEIFKEMAGSLVKCFEDVTLLKSLTFLEKKLENKNISYDLELGKSSNWNIFKASSLKDDKIDSIISSYLQHSKEIVDKFHAADHEGERELKSQVVLCLSALGFCMSNLIKETREIEKGIIELLQWENPSKHINLYEISCKIHALNN >Potri.003G165700.1.v4.1 pep chromosome:Pop_tri_v4:3:17480489:17482354:1 gene:Potri.003G165700.v4.1 transcript:Potri.003G165700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165700.v4.1 MTGTPQEAETQQVPPPPPKADQEAEFHEPTSSATVTEESHPNDHSPASDENPKKWGTHIMGPAAAPNVHPDNQQAALWNASEHQQIPEHPYLVYTPIDKSEMTTQKSFEPVIHKFQEWGKKAETVARNMWHNLSTGPSVPKAAWGKVNLTAKAITEGGFESLFKHIFETDPNEKLKKTFACYLSTSTGPVAGTLYLSTARVAFCSDRPLCHTAPSGEEAWSYYKLMIPLDKISTVSSETMLENPSRKYIQIVSTDGHDFWFMGFVNFEKALQNLSESVSSFKEAGIAIQPVVA >Potri.014G120800.1.v4.1 pep chromosome:Pop_tri_v4:14:8107810:8108229:-1 gene:Potri.014G120800.v4.1 transcript:Potri.014G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120800.v4.1 MSARQAASSGSDSDPRYANVDERKRKRMISNRDSARRSRMRKQKQMEDLVNEVSKLQNENNQLMQGINVAQQRYMEMESANNVLRAQAVELTERLRSLNSVLQIVEDVSGLSVEIPEIPDPLFKPWAAPVFSTAYYDIC >Potri.011G095800.1.v4.1 pep chromosome:Pop_tri_v4:11:12369452:12377086:1 gene:Potri.011G095800.v4.1 transcript:Potri.011G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095800.v4.1 MAAVVEIASEAAVAAKSNSNTTTNKNDNNNNLDSERNPKSESEFTVQKLVDMFTKLNPLAKEFFPSSYNKNNPKQFHINNFPVPNKQSANDNFPKRRRNDFNQGRRRLNGRAYRAQREDSIRRTVYVSDIDQHVTEEQLAGLFSGCGQVVDCRICGDPRSVLRFAFVEFAVEQGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPQSEDEREMCTRTVYCTNIEKKVSQAEVKNFFESICGEVTRLRLLGDHVHSTRIAFVEFAMAESAIVALNCSGMVLGSQPVRVSPSKTPVRPRVTRLALH >Potri.003G023900.1.v4.1 pep chromosome:Pop_tri_v4:3:2494626:2503944:1 gene:Potri.003G023900.v4.1 transcript:Potri.003G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023900.v4.1 MADENGIGRSESRLASMDSVESRWVFQDDDDDEDDSLMDDDDDEHSRLRRGGGLDSEEEDEEDTAEQRLIRTGPRIDSFDVEALEIPSAHRNDYFYEELGVGRRIILAFQTLGVVFGDVGTSPLYTFHVMFNKAPVNGEEDVIGALSLVLYTLILIPLVKYVLVVLWANDDGEGGTFALYSLICRHAKVNLLPNQLPSDARISSFRLKVPSAELERSLKIKERLETSPHLKRMLLMLVLAGTSMLIADGVVTPAMSVMSAVGGLKVGVASIKQEQVVMISVAFLVILFSVQKFGTSKVGLAVGPALFIWFCSLAAIGIYNLVKYDSSVLRAFNPVHIYYFFKRNSTKGWRALGGCLLCATGSEAMFADLCYFSVRSVQLTFVFLVLPCLLLGYLGQAAYLMEHYSDDLAEHAFYSSVPSGFFWPVFLVANLAALIASRAMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVINWFLLVVCLVLVCSISSITEIGNAYGIAELGVMMTTTILVTIVMLLIWQINIIIVLSFLVIFLGIELVFFSSVLGGVGDGSWIILVFAVVMFFVMLVWNYGSKLKYETEVKKKLSMDLVRELGPNLGTIRAPGIGLIYNELVKGIPAIFGHFLTTLPAIHSMIIFVCIKYVPVPVVPQGERFLFRRVCPKSYHIFRCIARYGYKDVRKENHQAFEQLLIESLEKFIRREAQERSLESDGDDDTDYDDDYSSTRVLIAPNGSVYSLGVPLLGEYKDTSKSISEASTSEEAKIGYPSDSASDAEQSLERELSFIHKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYAFLRKNCRRGTANLSVPHSHLMQVGMTYMV >Potri.005G127300.1.v4.1 pep chromosome:Pop_tri_v4:5:9578748:9581088:1 gene:Potri.005G127300.v4.1 transcript:Potri.005G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127300.v4.1 MTGGDQSCKTRGGNIPPPPVESSTHSQFAEDFSSYEDACKLDPCLQSFDATLMEKTNHVINSLSTGDIATGSSGSFKAVTNCLLEMNQDVVKFILESKEDIWNNPELFALVDEYFGSSIKTMDFCTELESCVTSARTSQLNIMAAIAHFEKEVELQDGVIEKKYVKTLEELQKFMVAGDPFTPKFFMLFQSVYEQQVSMLKKLQSHKRKLDKKLKSVKIWRRVSNVLFVSVFVTVMIFAVAAAAIAAPPVVTALASALADPMRSVGTWCNLLWHRYENALKEQKVLVNAIQVGTFITIKDMESIRVLVNKLEMEIKSLLHHADFAIREVDVVKLVIDEIKKKMAVFTETFEDLAAQAHRCNHDIILGRTMISKRIIEFAGK >Potri.009G035100.1.v4.1 pep chromosome:Pop_tri_v4:9:4528578:4531825:1 gene:Potri.009G035100.v4.1 transcript:Potri.009G035100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035100.v4.1 MSLCSSASPLRKLKVSKSANHLLSRALTSHNHHEEHSSSRTFNSNQNTSSPVDSDLIAEFTRHGSLSNPYFLNKIVSFCAKSGSFHLGIQAHSTILKLGFISNVYICSAVVDMYAKCGEISSARVLFDQMPQRTVVTWNSLIYGYLAVNCPKIAIELFIKMLKAAINVSAFSVSSCLAGCSQLEAREVGTQVHGLILKTGLGYNVVVGTSLVDMYSKCGDVDDSRLVFDHMVNRNVITWTSMVTGYSQIEKPDEAMALVKEMVLQDLRPNCVTYNSLLSSFSGPDCLSYCLQVHCCIIQLGLESNVYIAATLVTVYSKCSSSLEDFKKVCSVVMTCDNIAWNAVIAGYSKLGRHEEALRCFHEMKQAGIDIDSYTLTSVVGAIGNSSFLEEGKAMHALIHRTGYISHLNVQNGLVSMYARCGAIGDSKRVFWFMEEHDVISWNALLTAFAHHGYGREAVELFEQMRKTEIKPNSSTFLAVLCACSHVGFVDKGIEYFDTMKSDILLEPLKVEHYASLVDTFGRAGYLNEAEAFINSMPIVPAPSVYKALLSASLVHGNREIAARSAKKLLELWPNDPATYVLLSSVLTVDGNWDDAADLRKLMCDRGLRKKPGYSWT >Potri.005G148800.2.v4.1 pep chromosome:Pop_tri_v4:5:12586082:12590788:1 gene:Potri.005G148800.v4.1 transcript:Potri.005G148800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148800.v4.1 MSRISLQLMVRYLSGSRCSPSVVVWSLVGFLLSLHLYSLVSHNGGKVGDVQFHTSHHTLFRELEEVEEENIQIPPPKGKRSPRAAKRRPKRPTTLIDEFLDENSQLRHVFFPDMKTAIDPMNDSGNDSFNYYPGRIWLDTEGNPIQAHGGGILYDESSRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWAWKNEGIVLEAEEANETCDLHKSNVLERPKVIYNEKTGKYVMWMHIDDANYTKAAVGIAISDYPTGPFNYLHSKQPHGFDSRDMTIFKDDDGVAYIIYSSEDNSELHIGPLTEDYLDVTHVVRRILIGQHREAPALFKYQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCVGGNKMFRQTTFFAQGTYVFPLTGLPGSFVFIADRWNPADLRDSRYVWLPLIVGGPADRPLDYDFGFPVWSRVSIYWHRKWRLPSGRRGLK >Potri.005G148800.1.v4.1 pep chromosome:Pop_tri_v4:5:12586075:12590764:1 gene:Potri.005G148800.v4.1 transcript:Potri.005G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148800.v4.1 MELKRGEKMTMRNKYRKPTTFHCNAGSRCSPSVVVWSLVGFLLSLHLYSLVSHNGGKVGDVQFHTSHHTLFRELEEVEEENIQIPPPKGKRSPRAAKRRPKRPTTLIDEFLDENSQLRHVFFPDMKTAIDPMNDSGNDSFNYYPGRIWLDTEGNPIQAHGGGILYDESSRTYYWYGEYKDGPTYHAHKKGAARVDIIGVGCYSSKDLWAWKNEGIVLEAEEANETCDLHKSNVLERPKVIYNEKTGKYVMWMHIDDANYTKAAVGIAISDYPTGPFNYLHSKQPHGFDSRDMTIFKDDDGVAYIIYSSEDNSELHIGPLTEDYLDVTHVVRRILIGQHREAPALFKYQGTYYMITSGCTGWAPNEALAHAAESIMGPWETMGNPCVGGNKMFRQTTFFAQGTYVFPLTGLPGSFVFIADRWNPADLRDSRYVWLPLIVGGPADRPLDYDFGFPVWSRVSIYWHRKWRLPSGRRGLK >Potri.018G139000.2.v4.1 pep chromosome:Pop_tri_v4:18:14418824:14422678:-1 gene:Potri.018G139000.v4.1 transcript:Potri.018G139000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139000.v4.1 MGICCSCSAQKPATTQDQDPTPSTADHVSAGSDDFGNSWFSRVSTSITSWMSQPSSSFTFIWDKNVPESSQFSDATGSGDGTLANGQNATNSSARVFTLAQLRAATYNFRSDMLLGKGGFGDVYKGWLKEKLPPSGIKKTVVAVKKLDTFSMQGLNEWKAEVYFSEKHSHPNLVKLLGYCSECGDRILVYEFMKKGSLNYHLFGKHSFPPLSWDIRLKIAVDTARGLAYLHTLEEPIIYRDFKSSNMLLDEFYNPKLPDFGLSFWGPLIDSHVSTGIAGMIGYIDPEYLATGHLNVKSDVYGFGVVMVEMLTGLRAIDMKRPSGKQILVDWAKPYLTNRSKLKNIMDSWLKGKFPPKEAYQIAHLAIKCLQHDPRFRPSMTEIAETVEQIDAIHMRLG >Potri.018G139000.3.v4.1 pep chromosome:Pop_tri_v4:18:14418798:14425773:-1 gene:Potri.018G139000.v4.1 transcript:Potri.018G139000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139000.v4.1 MGICCSCSAQKPATTQDQDPTPSTADHVSAGSDDFGNSWFSRVSTSITSWMSQPSSSFTFIWDKNVPESSQFSDATGSGDGTLANGQNATNSSARVFTLAQLRAATYNFRSDMLLGKGGFGDVYKGWLKEKLPPSGIKKTVVAVKKLDTFSMQGLNEWKAEVYFSEKHSHPNLVKLLGYCSECGDRILVYEFMKKGSLNYHLFGKHSFPPLSWDIRLKIAVDTARGLAYLHTLEEPIIYRDFKSSNMLLDEFYNPKLPDFGLSFWGPLIDSHVSTGIAGMIGYIDPEYLATGHLNVKSDVYGFGVVMVEMLTGLRAIDMKRPSGKQILVDWAKPYLTNRSKLKNIMDSWLKGKFPPKEAYQIAHLAIKCLQHDPRFRPSMTEIAETVEQIDAIHMRLG >Potri.018G139000.1.v4.1 pep chromosome:Pop_tri_v4:18:14418798:14422630:-1 gene:Potri.018G139000.v4.1 transcript:Potri.018G139000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139000.v4.1 MGICCSCSAQKPATTQDQDPTPSTADHVSAGSDDFGNSWFSRVSTSITSWMSQPSSSFTFIWDKNVPESSQFSDATGSGDGTLANGQNATNSSARVFTLAQLRAATYNFRSDMLLGKGGFGDVYKGWLKEKLPPSGIKKTVVAVKKLDTFSMQGLNEWKAEVYFSEKHSHPNLVKLLGYCSECGDRILVYEFMKKGSLNYHLFGKHSFPPLSWDIRLKIAVDTARGLAYLHTLEEPIIYRDFKSSNMLLDEFYNPKLPDFGLSFWGPLIDSHVSTGIAGMIGYIDPEYLATGHLNVKSDVYGFGVVMVEMLTGLRAIDMKRPSGKQILVDWAKPYLTNRSKLKNIMDSWLKGKFPPKEAYQIAHLAIKCLQHDPRFRPSMTEIAETVEQIDAIHMRLERPVPPLPWDTRMKIVKDTATGLAYLHTLE >Potri.010G242800.3.v4.1 pep chromosome:Pop_tri_v4:10:21958950:21963538:-1 gene:Potri.010G242800.v4.1 transcript:Potri.010G242800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242800.v4.1 MSRQSLLPPRCPFQKPVVSRPIHDSYPQHHRSPSQGSILGEKPAWLDDLLSDEDADSRGTCLRRSASDSVTLLEGIVDSFSGSSPYNNEAASGGGETCSGLESASMYGPNSPRRRGNVTFSENAIASALSEYAFQNPLQYVDGSLCIWGNTPLDSMGNACGSAGELNGETSTVKRQSGQRSRVRKLQYIAELERTVNVLQTLESELAFKVASMLQKRAALSLENNTLKQQVARLRQEKLIVDAQHKTLKKEAERLKNKLGSSTNNKFRTYSRSSLSPEAARSEVTWQMARLNLN >Potri.010G242800.7.v4.1 pep chromosome:Pop_tri_v4:10:21960651:21962301:-1 gene:Potri.010G242800.v4.1 transcript:Potri.010G242800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242800.v4.1 MSRQSLLPPRCPFQKPVVSRPIHDSYPQHHRSPSQGSILGEKPAWLDDLLSDEDADSRGTCLRRSASDSVTLLEGIVDSFSGSSPYNNEAASGGGETCSGLESASMYGPNSPRRRGNVTFSENAIASALSEYAFQNPLQYVDGSLCIWGNTPLDSMGNACGSAGELNGETSTVKRQSGQRSRVRKLQYIAELERTVNVLQVNSFER >Potri.003G030066.1.v4.1 pep chromosome:Pop_tri_v4:3:3345443:3346768:1 gene:Potri.003G030066.v4.1 transcript:Potri.003G030066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030066.v4.1 MGNQETGPNTGGKTKNRENGKRRKERPLSPPSRAPETEDRRQRGNKKEHRGESHERSVQEEQERTGEDENTETNREKRVNRHKTSIQESRRETKRTKTEDTESRKTRKKQRK >Potri.005G221200.2.v4.1 pep chromosome:Pop_tri_v4:5:22332872:22333198:1 gene:Potri.005G221200.v4.1 transcript:Potri.005G221200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221200.v4.1 MGFCHRESTFIIFFILSILSASLQPGATRPLGYQLLKQEGLLLQSLPKGSVTPSGPNPCTYIPRGAPGTCKLKGMNIAGNVARSPPAFSKHAVASSISKNIREQDQVS >Potri.004G019000.1.v4.1 pep chromosome:Pop_tri_v4:4:1360019:1361948:1 gene:Potri.004G019000.v4.1 transcript:Potri.004G019000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019000.v4.1 MELIDLLILGLTLFFLAIWWRSFSVVNGGGAKNLPPGPPGWPLVGNLFQIILERRHFIFVIRDLRKKYGPIFSMQMGQSTLVIVTSPDLIHEALVQKGPIFASRPPDSPIRLVFSVGKCAVNSAEYGPLWRTLRRNFVTELISPVRIRQCSWIREWALESHMKRLKSEALENGYVDVMDVCRFTVCSILVFICFGAKISEHWIHDIDNVTKDVMLISIPQLPDFLPILTPLFRKQMKRAKDLRKTQIECLVPLIRNRRAFVEKGENPKMEMLSPVGAAYVDSLFTLKAPGRGLLGEEELVTVCSELFVAGIDTSTSVLQWVFLELVLNQDIQEKLYREIVESVGKDGVINEEDVEKMNYLNAVVKETLRVHSPAHFTLSHATTEETELGGYKIPSNVNVEFYIEWMTEDPSLWKDPGIFRPERFIDGDGVNVDMTGTKGKVKMLPFGAGRRTCPGLALGLLHVNLMLARMVQAFKWLPAPNAPPDPTEAFAFTVVMKNPLKAVILPR >Potri.001G434101.1.v4.1 pep chromosome:Pop_tri_v4:1:45958516:45958824:-1 gene:Potri.001G434101.v4.1 transcript:Potri.001G434101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434101.v4.1 MRCIWKGLVLSKLTTLEVVECKRLTLVFTCSMIVSLVQLKVLKILSCEEFKRIIAKDDDENDQILLGDHLQSLCIPNLCEIEIGECNMLKSLFPVTMASGLS >Potri.008G207500.2.v4.1 pep chromosome:Pop_tri_v4:8:15686000:15688530:1 gene:Potri.008G207500.v4.1 transcript:Potri.008G207500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G207500.v4.1 MANSDSSTATLPIAPKKENTVPIGSKIAELNESRSDLLNRIQGLKQDLQSWRSKLDTQVKIHRDELSELKKSLNVEVDQLRKEFQELKNTLQQQQEDVTASLRNLGLQDSTGDAKEAQEPMLDVEDQEVHASVEEVIEMR >Potri.001G001400.2.v4.1 pep chromosome:Pop_tri_v4:1:109588:114197:1 gene:Potri.001G001400.v4.1 transcript:Potri.001G001400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001400.v4.1 MLFCSGGGGGGCCTAAHLFKHWFQSWLRDYDSLQHLALILIYIQIGCSLIGSLGALYNGVLLINLAISLFALVAIESSSQRLGRTYAVLLFSSLLLDIAWFILFSRPIWDISSDDRGMFFVFSVKLTLAMQIIGFIVRLSSSLLWIQIYRLGVPYIDSLAPQEADFDLRSSFLSPTTPAAVARQCSDSEVVSGGSIYDPAYYSSLFEDGQDNKCLRGVSYTLFVYHSLLI >Potri.004G102875.1.v4.1 pep chromosome:Pop_tri_v4:4:9020583:9021413:-1 gene:Potri.004G102875.v4.1 transcript:Potri.004G102875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102875.v4.1 MEETVHRLLVAPKLQIIGFLVMVENLNLGFIPSPCYRHYNLCVNGLPSLVAFPSGCKRQIVLLGLRVISPLMQSLIGLQQQC >Potri.013G136100.1.v4.1 pep chromosome:Pop_tri_v4:13:14106910:14108170:1 gene:Potri.013G136100.v4.1 transcript:Potri.013G136100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136100.v4.1 MRKTKAMKIFVAALVIIALCLLPMAISARHIGRPRTHHGHHHSTQPRIKDGTVAKPNFLYRERWPEKRRGADTVQIAGSSLPDCSHACGSCSPCRLVMVSFICASLEEAETCPMAYKCMCDNKSYPVP >Potri.004G045800.1.v4.1 pep chromosome:Pop_tri_v4:4:3617727:3626418:-1 gene:Potri.004G045800.v4.1 transcript:Potri.004G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G045800.v4.1 MENLFSTLFTSTGNTTNTTNASNAIMGCPQNSQFSSMYGPLSYHSTVPSNSVTQSNCVPPQYYMPAPCESRQNPYTHSLEKPSHHTPSADPFRLAMAGQNAFEMQRSCILQPNAFQLEQERRALDAYKTKVARCKRKLARQRSLSKTSIGASSTQIMDARRLALHGASTCGQRSRSNTSKDLYEFLTPDNKRLRAVLRKDLKNSDVGSLGRIVLPKREVEENLPVLNDKEGILLFLRDVYSNQEWALKFKFWSNNKSRMYVLENTGEFVKQNGLETGDFLTLYEDESKNLYFSITKVGKPASVPSQTPQPINHNSNCLYTPHMCQARDEEKSSLALLIEQLDQKEQEEANSLVAVPPDSAYTKNELTNNPFNNSSTYSQPASSAMQPSSPNGKMKAVDDSHVDDCYTGLGVLPDVYRFNFSL >Potri.014G143800.2.v4.1 pep chromosome:Pop_tri_v4:14:9822200:9824118:1 gene:Potri.014G143800.v4.1 transcript:Potri.014G143800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143800.v4.1 MEDSFKVRVDKTFGSLPFSSSAIQTQQPSSSLSSLWCLTDEEIERNQWNRDHKGSPETESQPQPYFSPERLDDKNLNSEIGKDLVDLDDEDEDDEVESSRALKLKPEDCDDEEWDIKKSIGLDRTLDYEEEEDHYDKVAVGREGAGDDRLYVTQMNDYGNDVDSGDVMPMPSSFGDVTRDPRANHLAAKVRLLEDAEAAKKMDSLRVTVKEDISVSDDGNLKSILKRKDVQSDSKSISNHLDSKLQKRVRFDPECKDGNDEESGGVEDTQMETTDSTEETQIYHLPPDYPSGIPDYMRNPSKYTRYTFDSATNVDEESNQEAYMDFLKILQRPNTTESHPDEVPVDLSKPLTFIPKRKTSGAAVIDNSIDSKQNQDDASEDFKLRRGLPLAIAAFDDNDTETCAMEEDKPETAADKTNSSRPERQYRSKAKSET >Potri.017G066500.2.v4.1 pep chromosome:Pop_tri_v4:17:6792059:6798695:-1 gene:Potri.017G066500.v4.1 transcript:Potri.017G066500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066500.v4.1 MEFTCLHNKCISPSLTVLSRVSISFSNLPKRAVSFHRRRRNLCFATLVDGKRTSEVVSKRGGEEEDEFGDLKSWMHKNGLPPCKVVLKERPSHDKKLRPIHYVAASEDLQASDVAVSVPNSLVVTLERVLGNETLAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEAELAYLTGSPTKAEVLDRADGIKREYEELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAIQSCVVHLQKVSLARRFALVPLGPPLLAYSSNCKAMLTAVDGAVELVVDRPYKAGEPIVVWCGPQPNSKLLLNYGFVDEDNPYDRIAVEAALNTEDPQYQDKRMVAQRNGKLSVQVFQVYAGKEKEAVSDILPYLRLGYVSDPSEMQSVISSQGPVCPVSPCMEQAVLDQLTVYFRTRLAGYCTSISEDELMLADPNLNPKKRVATQLVRLEKKMLKACLQATVDLINQLPDHTMPPCPAPYAPLLK >Potri.017G066500.3.v4.1 pep chromosome:Pop_tri_v4:17:6792080:6798651:-1 gene:Potri.017G066500.v4.1 transcript:Potri.017G066500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066500.v4.1 MEFTCLHNKCISPSLTVLSRVSISFSNLPKRAVSFHRRRRNLCFATLVDGKRTSEVVSKRGGEEEDEFGDLKSWMHKNGLPPCKVVLKERPSHDKKLRPIHYVAASEDLQASDVAVSVPNSLVVTLERVLGNETLAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEAELAYLTGSPTKAEVLDRADGIKREYEELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAIQSCVVHLQKVSLARRFALVPLGPPLLAYSSNCKAMLTAVDGAVELVVDRPYKAGEPIVVWCGPQPNSKLLLNYGFVDEDNPYDRIAVEAALNTEDPQYQDKRMVAQRNGKLSVQVFQVYAGKEKEAVSDILPYLRLGYVSDPSEMQSVISSQGPVCPLLQVSPCMEQAVLDQLTVYFRTRLAGYCTSISEDELMLADPNLNPKKRVATQLVRLEKKMLKACLQATVDLINQLPDHTMPPCPAPYAPLLK >Potri.017G066500.4.v4.1 pep chromosome:Pop_tri_v4:17:6792119:6798654:-1 gene:Potri.017G066500.v4.1 transcript:Potri.017G066500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066500.v4.1 MEFTCLHNKCISPSLTVLSRVSISFSNLPKRAVSFHRRRRNLCFATLVDGKRTSEVVSKRGGEEEDEFGDLKSWMHKNGLPPCKVVLKERPSHDKKLRPIHYVAASEDLQASDVAVSVPNSLVVTLERVLGNETLAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEAELAYLTGSPTKAEVLDRADGIKREYEELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAIQSCVVHLQKVSLARRFALVPLGPPLLAYSSNCKAMLTAVDGAVELVVDRPYKAGEPIVVWCGPQPNSKLLLNYGFVDEDNPYDRIAVEAALNTEDPQYQDKRMVAQRNGKLSVQVFQVYAGKEKEAVSDILPYLRLGYVSDPSEMQSVISSQGPVCPVSPCMEQAVLDQLTVYFRTRLAGYCTSISEDELMLADPNLNPKKRVATQLVRLEKKMLKACLQATVDLINQLPDHTMPPCPAPYAPLLK >Potri.017G066500.1.v4.1 pep chromosome:Pop_tri_v4:17:6792132:6798656:-1 gene:Potri.017G066500.v4.1 transcript:Potri.017G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066500.v4.1 MEFTCLHNKCISPSLTVLSRVSISFSNLPKRAVSFHRRRRNLCFATLVDGKRTSEVVSKRGGEEEDEFGDLKSWMHKNGLPPCKVVLKERPSHDKKLRPIHYVAASEDLQASDVAVSVPNSLVVTLERVLGNETLAELLTTNKLSELACLALYLMYEKKQGKKSFWYPYIRELDRQRGRGQLAVESPLLWSEAELAYLTGSPTKAEVLDRADGIKREYEELDTVWFMAGSLFQQYPYDIPTEAFPFEIFKQAFVAIQSCVVHLQKVSLARRFALVPLGPPLLAYSSNCKAMLTAVDGAVELVVDRPYKAGEPIVVWCGPQPNSKLLLNYGFVDEDNPYDRIAVEAALNTEDPQYQDKRMVAQRNGKLSVQVFQVYAGKEKEAVSDILPYLRLGYVSDPSEMQSVISSQGPVCPLLQVSPCMEQAVLDQLTVYFRTRLAGYCTSISEDELMLADPNLNPKKRVATQLVRLEKKMLKACLQATVDLINQLPDHTMPPCPAPYAPLLK >Potri.003G040900.1.v4.1 pep chromosome:Pop_tri_v4:3:4708938:4712524:-1 gene:Potri.003G040900.v4.1 transcript:Potri.003G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G040900.v4.1 MSMDYDYPPQERQYGDNVLAFNRHSDEEHQQQQQQQEGFYEEEQQQQEGDIGSGGIERNLSMNHHHHHHNRDSSSAGKLFVGGVSWETTEETFTNYFSKYGEVMDSVIMTDRHSGRPRGFGFVTFADPAVADRVLEEDHVIDGRAVEVKRTVPREDMDVKGVTRTKKIFVGGIPPSLTEDELKEYFSVYGSIVDHQIMLDHKTGRSRGFGFVTFDIEDAVEQIFSEGRTHELGGKQVEIKKAEPKRTGGDYGSTAKSYTGFSNGAGGFGAGNGASGFGAGNSSVGRYGRKMGRGYDGYSGYDGYGSYGSYGGNYPAGTAGFYGGYGAYGYGFGFGGPMMYGTGVYGGSGYGIPSSYNNAAEYGGGKAYGRTGDDDGFGSGKRYDNGDALGGGYGSNKGYAGGANGGAAVTGRYHPYRK >Potri.002G082500.1.v4.1 pep chromosome:Pop_tri_v4:2:5883574:5887043:1 gene:Potri.002G082500.v4.1 transcript:Potri.002G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082500.v4.1 MTSDFALQIPAELETALQLKTVQYLVTKRPWLDLYGVNVRPVAPYGSASRRVNVDPALIHRCLPDELLFEVFSRMAPYDLGRAACVCRKWRYTLRNPIFWRNACLKAWQLSGMVENYKILQSKYESSWRKMWLLRPRIRIDGLYVSRNTYIRAGVREWTVTNPVHLVCYYRYMRIFPSGRFLYKTSGQTVKEVVKCMSFRASKTDGVFSGRYTLTDDKVEATFMYPGLCPTMWRACLRLRGTTLGANNRMDLLSLSTSKVNSDGIIEPGEDILGPVELQESVISRISHQRGLAPFAFVPFEEVETSVLNLPVEKMDYYLPG >Potri.006G122400.1.v4.1 pep chromosome:Pop_tri_v4:6:9725669:9727963:-1 gene:Potri.006G122400.v4.1 transcript:Potri.006G122400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122400.v4.1 MAGADFRSSDGGGGGGGWREMKRFGLQVLLGRWFMVFASFLIMAMSGATYMFSLYSTDIKRSLGYDQSTLNLLSFFKDVGGNVGLLPGVINEVSPPWVILSAGVVMNFFGYFMIWLAITGKIAKPKVWQMCLYICIGANSQTFATTGALVTCVKNFPESRGSVLGLLKGFVGLSGAIMTQVYHAFYGDDSKAFILLIAWLPAAVSFIFLRTIRIMKIVRQANEIKVFYQLLYISLGLAGFLMILIIIQNKFRFTRIEYIGGAIVVLILLFLPVAVAIKEEYDIWKSKKVVFSDPSQVKIVTENPPEVELPLSTQPPESLPSNASDPAATSAEKQTSCFENIFKPPERGEDYTILQALFSLDMLVLFIAATCGIGGTLTAVDNLGQIGHSLGYPSRSITTFVSLVSIWNYLGRVVSGFASEILLKKYKIPRPLLLSIVLLFTCAGHILIAFPSSNSLYFASVILGFCFGAQWPLMYAIISEIFGLKYYSTLYNFGAVASPVGSYILNVVIAGDLYDKEASKQMKALGLKRNAGEDLTCNGVQCYRMSSIIITAATLFGSFASFILTLRTRKFYKGDIYKKFRDEAEGAENVTGSSGITKEERKCEARGGHQ >Potri.005G168100.3.v4.1 pep chromosome:Pop_tri_v4:5:17011903:17016021:1 gene:Potri.005G168100.v4.1 transcript:Potri.005G168100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168100.v4.1 MSEGGKRSSSNGFEKPLTTEDQQAWVNGVRRLVGPLPDKIRCPFIVLMHQLQGYLRARNWNVKKALKMLKETLKWRAAHKPEEIRWEEVAHEAQTGKIYRSNYFDKHGRTVLAMRPSCQVAKAFLEPKTYNKVKFVYAEEINTMKIMEDLFDMDHLEAAFGGKGVDFDISEYAERMTEDDKRMPSFWTRVSFSPAAPQPDMACTTLDSLNLDSDSDASDNTLVMESIQKLLPLLKIL >Potri.004G057000.2.v4.1 pep chromosome:Pop_tri_v4:4:4732745:4734676:1 gene:Potri.004G057000.v4.1 transcript:Potri.004G057000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057000.v4.1 MAPKQPNTGLFVGLNKGHIVTKKELAPRPSDRKGKTSKRVLFVRSLIREVAGFAPYEKRITELLKVGKDKRALKVAKRKLGTHKRAKKKREEMSNVLRKMRAAGGAEKKK >Potri.002G081300.12.v4.1 pep chromosome:Pop_tri_v4:2:5745600:5757210:1 gene:Potri.002G081300.v4.1 transcript:Potri.002G081300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081300.v4.1 MSFSRSQRSSAISPFRSRKSPAQPPPPAPKPTGRPLTPSSTTSSRPPSRLSSSAASSGPSPTPHDQPETSRSKENVTVTVRFRPLSAREINKGDEIAWYADGDSTVRNEYNPSIAYGFDKVFGPATTTRHVYDIAAEHVVGGAMKGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLNPMGQNLRIREDAQGTYVEGIKVEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPCGEYQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDEKATHVPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSNSEETHNTLKFAHRSKQVEIKASQNKIMDEKSLIKKYQKEISCLKQELHQLRRGMMESPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERSDHIRRHSFGEDELAYLPDRKREYMTEEDAGSYASELSVEGRDEITNLDELVKDFKRNRRRGMLGWFKLKKPENPVGSSPSTDSESSAGGSPASRSKLSQNRVTFNDIKDGKRKSISRKGDETTIIDSFPERTQAGDLFSATIGGRRLPPVKMLAGEVALCTSSLKRLSEQAASNPENLQLKEQMQKLKAEISEKKHQMHVLERRMIGSVEMTSNTSTSIEMPKALSKLTTQLNEKTFELEIKSADNRILQEQLQIKISENTEMQETILLLRQQLNSLSEKSSSKQRIAESESTTHRKSKEGRNEIWSFEEIYADENTPKSVMSLNQIFSQDDPKERNGTSLLNSQVLIQASEIENLKQEKVKLIEEKDGLEIQSQKLAEEASYAKELAAAAAVELRNLAEEVTKLSYENAKLSGDLAAAKETQCRSNCCQRSISYDFTQSNSIGSLPDGRIRKTEDSLLVGELQKELNERYQREASLEMALSERNKVEGELRKQLDEAKHHEEDLENELANMWVLVAKMRKSGVNAEDMPSEGVYASTTFGAGLKSGFLLSNGHSSRISKDETFENIDGMKTLEELKVSYQKERRKCKQLESIISRLKVEDIDGLDVTALEDLQNFHVEAITKICHAKCANRA >Potri.002G081300.13.v4.1 pep chromosome:Pop_tri_v4:2:5745589:5756416:1 gene:Potri.002G081300.v4.1 transcript:Potri.002G081300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081300.v4.1 MSFSRSQRSSAISPFRSRKSPAQPPPPAPKPTGRPLTPSSTTSSRPPSRLSSSAASSGPSPTPHDQPETSRSKENVTVTVRFRPLSAREINKGDEIAWYADGDSTVRNEYNPSIAYGFDKVFGPATTTRHVYDIAAEHVVGGAMKGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLNPMGQNLRIREDAQGTYVEGIKVEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPCGEYQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDEKATHVPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSNSEETHNTLKFAHRSKQVEIKASQNKIMDEKSLIKKYQKEISCLKQELHQLRRGMMESPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERSDHIRRHSFGEDELAYLPDRKREYMTEEDAGSYASELSVEGRDEITNLDELVKDFKRNRRRGMLGWFKLKKPENPVGSSPSTDSESSAGGSPASRSKLSQNRVTFNDIKDGKRKSISRKGDETTIIDSFPERTQAGDLFSATIGGRRLPPTGTTITDQMDLLREQVKMLAGEVALCTSSLKRLSEQAASNPENLQLKEQMQKLKAEISEKKHQMHVLERRMIGSVEMTSNTSTSIEMPKALSKLTTQLNEKTFELEIKSADNRILQEQLQIKISENTEMQETILLLRQQLNSLSEKSSSKQRIAESESTTHRKSKEGRNEIWSFEEIYADENTPKSVMSLNQIFSQDDPKERNGTSLLNSQVLIQASEIENLKQEKVKLIEEKDGLEIQSQKLAEEASYAKELAAAAAVELRNLAEEVTKLSYENAKLSGDLAAAKETQCRSNCCQRSISYDFTQSNSIGSLPDGRIRKTEDSLLVGELQKELNERYQREASLEMALSERNKVEGELRKQLDEAKHHEEDLENELANMWVLVAKMRKSGVNAEDMPSEGVYASTTFGAGLKSGFLLSNGHSSRISKDETFENIDGMKTLEELKVSYQKERRKCKQLESIISRLKVF >Potri.002G081300.11.v4.1 pep chromosome:Pop_tri_v4:2:5745572:5757220:1 gene:Potri.002G081300.v4.1 transcript:Potri.002G081300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081300.v4.1 MSFSRSQRSSAISPFRSRKSPAQPPPPAPKPTGRPLTPSSTTSSRPPSRLSSSAASSGPSPTPHDQPETSRSKENVTVTVRFRPLSAREINKGDEIAWYADGDSTVRNEYNPSIAYGFDKVFGPATTTRHVYDIAAEHVVGGAMKGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLNPMGQNLRIREDAQGTYVEGIKVEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPCGEYQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDEKATHVPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSNSEETHNTLKFAHRSKQVEIKASQNKIMDEKSLIKKYQKEISCLKQELHQLRRGMMESPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERSDHIRRHSFGEDELAYLPDRKREYMTEEDAGSYASELSVEGRDEITNLDELVKDFKRNRRRGMLGWFKLKKPENPVGSSPSTDSESSAGGSPASRSKLSQNRVTFNDIKDGKRKSISRKGDETTIIDSFPERTQAGDLFSATIGGRRLPPTGTTITDQMDLLREQVKMLAGEVALCTSSLKRLSEQAASNPENLQLKEQMQKLKAEISEKKHQMHVLERRMIGSVEMTSNTSTSIEMPKALSKLTTQLNEKTFELEIKSADNRILQEQLQIKISENTEMQETILLLRQQLNSLSEKSSSKQRIAESESTTHRKSKEGRNEIWSFEEIYADENTPKSVMSLNQIFSQDDPKERNGTSLLNSQVLIQASEIENLKQEKVKLIEEKDGLEIQSQKLAEEASYAKELAAAAAVELRNLAEEVTKLSYENAKLSGDLAAAKETQCRSNCCQRSISYDFTQSNSIGSLPDGRIRKTEDSLLVGELQKELNERYQREASLEMALSERNKVEGELRKQLDEAKHHEEDLENELANMWVLVAKMRKSGVNAEDMPSEGVYASTTFGAGLKSGFLLSNGHSSRISKDETFENIDGMKTLEELKVSYQKERRKCKQLESIISRLKVEDIDGLDVTALEDLQNFHVEAITKICHAKCANRA >Potri.002G081300.10.v4.1 pep chromosome:Pop_tri_v4:2:5745543:5757959:1 gene:Potri.002G081300.v4.1 transcript:Potri.002G081300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081300.v4.1 MSFSRSQRSSAISPFRSRKSPAQPPPPAPKPTGRPLTPSSTTSSRPPSRLSSSAASSGPSPTPHDQPETSRSKENVTVTVRFRPLSAREINKGDEIAWYADGDSTVRNEYNPSIAYGFDKVFGPATTTRHVYDIAAEHVVGGAMKGINGTVFAYGVTSSGKTHTMHGEQKSPGIIPLAVKDVFGIIQETPGREFLLRVSYLEIYNEVINDLLNPMGQNLRIREDAQGTYVEGIKVEVVLSPAHALSLIASGEEHRHVGSNNFNLLSSRSHTIFTLTIESSPCGEYQGEEDVTLSQLNLIDLAGSESSKTETTGLRRKEGSYINKSLLTLGTVISKLTDEKATHVPYRDSKLTRLLQSSLSGHGRVSLICTVTPASSNSEETHNTLKFAHRSKQVEIKASQNKIMDEKSLIKKYQKEISCLKQELHQLRRGMMESPYMAASTQEDLVNLKLQLEAGQVKLQSRLEEEEQAKAALMGRIQRLTKLILVSTKNSMQSSLPERSDHIRRHSFGEDELAYLPDRKREYMTEEDAGSYASELSVEGRDEITNLDELVKDFKRNRRRGMLGWFKLKKPENPVGSSPSTDSESSAGGSPASRSKLSQNRVTFNDIKDGKRKSISRKGDETTIIDSFPERTQAGDLFSATIGGRRLPPTGTTITDQMDLLREQVKMLAGEVALCTSSLKRLSEQAASNPENLQLKEQMQKLKAEISEKKHQMHVLERRMIGSVEMTSNTSTSIEMPKALSKLTTQLNEKTFELEIKSADNRILQEQLQIKISENTEMQETILLLRQQLNSLSEKSSSKQRIAESESTTHRKSKEGRNEIWSFEEIYADENTPKSVMSLNQIFSQDDPKERNGTSLLNSQVLIQASEIENLKQEKVKLIEEKDGLEIQSQKLAEEASYAKELAAAAAVELRNLAEEVTKLSYENAKLSGDLAAAKETQCRSNCCQRSISYDFTQSNSIGSLPDGRIRKTEDSLLVGELQKELNERYQREASLEMALSERNKVEGELRKQLDEAKHHEEDLENELANMWVLVAKMRKSGVNAEDMPSEGVYASTTFGAGLKSGFLLSNGHSSRISKDETFENIDGMKTLEELKVSYQKERRKCKQLESIISRLKVEDIDGLDVTALEDLQNFHVEAITKICHAKCANRA >Potri.007G007700.1.v4.1 pep chromosome:Pop_tri_v4:7:541256:547853:1 gene:Potri.007G007700.v4.1 transcript:Potri.007G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007700.v4.1 MGMGMLGVAVRLRRCLKPQNTTVLSSYSYHSSSSNRQRKNENQVDSSFNRDPTSPPRLFVVQPRLRPDTLLQAKLNEALCLANSLEEQRDGYFDSDFFDKPLPPHILVQNPIFRSSKARADTYFGTGTVDDILCHIRAIESKDDVDAVFVNAILSGIQLRNLERKWNKPVLDRVSLIIEIFNAHAHTKEAKLQAELAALMYAKSRLVRVRSSDGRLTFGAFGDAEVVSARGRGSGGRGFTSGAGETELQLQRRRILERRETLRTQIKDVRRTRALQRASRKRHCQLDSQYLATVAVVGYTNAGKSTLVSALSGNDLYSDSRLFATLDARLKSVVLPSGRKVLLGDTVGFISDLPVQLVQAFRATLEEVVEADLLVHLMDSTAPNLEEHRATVLQALQQIGVSEEKLQNMIEVWNKIDYQEEMVADEYLCDGEDGEASSLSGDEGGDVASGADDEINGFSGRSEGDFEETIDNEQDDYSGDWLLSGDDQEMVGDHWLKTLDEQRDEALNDLGMENFLQCQAQHGPHLKISAMTGVGLQELLELIDDRLKTQDEKFKEQNVVERGFFNKKWRPPRTEDAGIAAAEQ >Potri.010G065400.1.v4.1 pep chromosome:Pop_tri_v4:10:9406391:9411272:1 gene:Potri.010G065400.v4.1 transcript:Potri.010G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065400.v4.1 MLLSSLSLSIFNKNEGPEDEEQSGLDMRTEEELMRTITIGENIESIGSGDFSFGKKSMGLIEEEGEEQKQGSDGIENFDNEEVKDPVSPSMYLAGGLGIDDIDFGGDSGGGGGGGGGGFHLSVPNFDEGGDAEEYFKKMIDEYPCHPLLLSNYARFLQSKGELRGAEEYYHLATLADPTDSEILMQYAKLEWELNHDQGRALVNFERAVQAAPQNSDVLAAYASFLWEIEDDGEGDTSQPEYIQLPSELNIDVEDHAASDANEGGNAEEYCRRMVEENPCNSLVLKNYAEFLYQSKRDLEGAEEYYSRAILADPSDGEILSQYAKLVWELYHDHDKALSFYEEAVQATPSDSNVLAAYASFLWETEENEEDSTSQFQIPNHHEGAAAAANA >Potri.006G110800.1.v4.1 pep chromosome:Pop_tri_v4:6:8594780:8598297:1 gene:Potri.006G110800.v4.1 transcript:Potri.006G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110800.v4.1 MSRGSGGGYDRHITIFSPEGRLFQVEYAFKAVKAASTTSIGVRGSDSVCVVTQKKVPDKLLDQTSVTHLFSITKFLGLLATGMTADARNLVQQARNEAAEFRFRYGYEMPVDALARWIADKSQVYTQHAYMRPLGVVAMILGIDEENGPQLYKCDPAGHYFGHKATSAGLKEQEAINFLEKKMKNDPSLSYEETVQTAISALQSVLQEDFKATEIEVGVVRTGDRIFRVLSTEEIDEHLTAISERD >Potri.002G090800.1.v4.1 pep chromosome:Pop_tri_v4:2:6574852:6577223:1 gene:Potri.002G090800.v4.1 transcript:Potri.002G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090800.v4.1 MDNNRPLVPSNIGAQGPQFPSLPGQESLYNLTFDEVNDQIGNVRKPLNAVNVDELRNVISVEESQLLQNPPSSSSSSSSSSTFLFLGNYNLNGTSSRKTIDDMWKEIANEEHVNVFDNQIVRQQLGETTLEDFLVRAGVINKGNQNEVFSHQPIMEVDPMVVGSQQTDLLPFQMASVQQQQQQQMTLLDSNFHMFEAVSDQNPVVDVGYSDNRLPMPMPVSAMSATSSDSRVAAEKQCRYTDEMMKKTIERRQNRMIKNRESAARSRAKKQAYTSQLEHAVFHSRKTNNRLKKEKELEIIFLSSDQAPVPRFQLRRTSSASF >Potri.014G133450.5.v4.1 pep chromosome:Pop_tri_v4:14:8942047:8946308:1 gene:Potri.014G133450.v4.1 transcript:Potri.014G133450.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133450.v4.1 MKEQWRQRKQTTLTNTISLRQARELLLVKELKNNRDRKQTTLYMRSGAGSFLKVLLTNFDFLARLNKSGKHWICMRDNWRNWMHSTA >Potri.014G133450.1.v4.1 pep chromosome:Pop_tri_v4:14:8941286:8946308:1 gene:Potri.014G133450.v4.1 transcript:Potri.014G133450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133450.v4.1 MAVWFGVRFIEMKEQWRQRKQTTLTNTISLRQARELLLVKELKNNRDRKQTTLYMRSGAGSFLKVLLTNFDFLARDFRLNKSGKHWICMRDNWRNWMHSTA >Potri.014G133450.4.v4.1 pep chromosome:Pop_tri_v4:14:8942176:8946308:1 gene:Potri.014G133450.v4.1 transcript:Potri.014G133450.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133450.v4.1 MKEQWRQRKQTTLTNTISLRQARELLLVKELKNNRDRKQTTLYMRSGAGSFLKVLLTNFDFLARDFRLNKSGKHWICMRDNWRNWMHSTA >Potri.014G133450.3.v4.1 pep chromosome:Pop_tri_v4:14:8942048:8946308:1 gene:Potri.014G133450.v4.1 transcript:Potri.014G133450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133450.v4.1 MKEQWRQRKQTTLTNTISLRQARELLLVKELKNNRDRKQTTLYMRSGAGSFLKVLLTNFDFLARDFRLNKSGKHWICMRDNWRNWMHSTA >Potri.014G133450.7.v4.1 pep chromosome:Pop_tri_v4:14:8942099:8943263:1 gene:Potri.014G133450.v4.1 transcript:Potri.014G133450.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133450.v4.1 MKEQWRQRKQTTLTNTISLRQARELLLVKELKNNRDRKQTTLYMRSGAGSFLKVLLTNFDFLARLNKSGKHWICMRDNWRNWMHSTA >Potri.014G133450.2.v4.1 pep chromosome:Pop_tri_v4:14:8941286:8946308:1 gene:Potri.014G133450.v4.1 transcript:Potri.014G133450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133450.v4.1 MAVWFGVRFIEMKEQWRQRKQTTLTNTISLRQARELLLVKELKNNRDRKQTTLYMRSGAGSFLKVLLTNFDFLARLNKSGKHWICMRDNWRNWMHSTA >Potri.014G133450.6.v4.1 pep chromosome:Pop_tri_v4:14:8942176:8946308:1 gene:Potri.014G133450.v4.1 transcript:Potri.014G133450.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133450.v4.1 MKEQWRQRKQTTLTNTISLRQARELLLVKELKNNRDRKQTTLYMRSGAGSFLKVLLTNFDFLARLNKSGKHWICMRDNWRNWMHSTA >Potri.002G176200.3.v4.1 pep chromosome:Pop_tri_v4:2:13665481:13669300:-1 gene:Potri.002G176200.v4.1 transcript:Potri.002G176200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176200.v4.1 MNDLSNATLREHNSHSCKPIPRLAVCILLLVFFVGLSVSVFILVVVHNVFFFLSFILLFALVLSFLAWNKLNWRRKAAVFWCLRSFPDSDLAAATEGQLVKITGLVSCGSVSLESSYERAARCTYVSTLLYEYGGFGVKPMNANTSCLQWNLKYCERFSTDFYITDRKSGIRAMVKAGSGCKVVPLIVESKLVTTRQCRTLSSHLRKWLQERNLSAEARLLRLEEGYVQEGSFVTVIGVLRRNNDISMIVQPQELFSTGCLWQKLLLPVDVDGLILGFPDTAGPNMNPGYTQHSEQ >Potri.002G176200.2.v4.1 pep chromosome:Pop_tri_v4:2:13665481:13669690:-1 gene:Potri.002G176200.v4.1 transcript:Potri.002G176200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176200.v4.1 MNDLSNATLREHNSHSCKPIPRLAVCILLLVFFVGLSVSVFILVVVHNVFFFLSFILLFALVLSFLAWNKLNWRRKAAVFWCLRSFPDSDLAAATEGQLVKITGLVSCGSVSLESSYERAARCTYVSTLLYEYGGFGVKPMNANTSCLQWNLKYCERFSTDFYITDRKSGIRAMVKAGSGCKVVPLIVESKLVTTRQCRTLSSHLRKWLQERNLSAEARLLRLEEGYVQEGSFVTVIGVLRRNNDISMIVQPQELFSTGCLWQKLLLPVDVDGLILGFPDTAGPNMNPGYTQHSEQ >Potri.008G196500.1.v4.1 pep chromosome:Pop_tri_v4:8:13878528:13883161:-1 gene:Potri.008G196500.v4.1 transcript:Potri.008G196500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196500.v4.1 MALSAFSLPAHVNSVTSLDHLQNYSFLSSHFLWRTDLLCQSFKKINQVHVKKRPNGVCASLSESGEFPSQRPPTPLLDTVNYPIHMKNLSIKELKQLAEELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNSPQDKILWDVGHQAYPHKILTGRRDKMHTIRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGRANNVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLIAILKEVKSTKTTGPVLIHVVTEKGRGYPYAERAADKYHGVNKFDPATGKQSKASASTQSYTTYFAEALIAEAEADKDVVAIHAAMGGGTGLNLFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTYMACLPNMVVMAPSDEAELFHMVATAAAIDDRPSCFRYPRGNGVGVQLPPENKGIPLEVGKGRILIEGERVALLGYGTAVQSCLAAASLVERHGIHLTVADARFCKPLDNALIRSLAKSHEVLITVEEGSIGGFGSHVAHFLALDGLLDGKLKWRPVVLPDRYIDHGSPADQLVEAGLTPSHIAATVFNILGQRRNSLEIMSS >Potri.014G163100.1.v4.1 pep chromosome:Pop_tri_v4:14:11754908:11760559:1 gene:Potri.014G163100.v4.1 transcript:Potri.014G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163100.v4.1 MSGFVGVLVSDPWLQSQFTQVELRSLKSKFVSTRTQCGSVTVGDLPPIFAKLRAFTEMFNEDGIKAMLGEAGFNMEEELDFESFLKAYINLQSRATKKSGGKKLKSSVSFLKAATTTFHHNINESEKASYVSHINSYLAEDRFLKKYLPLDAATNDLFDLVKDGVLLCKLINVAVPGTIDERAINTKGTLNPWERNENHTLCLNSAKAIGCTVVNIGTQDLVEARPHLVLGLISQIIKIQLLADLNLKKTPQLVELVDDSKDVEELLGLGPEKVLLKWMNFHLKKAGYSKQVTNFSSDVKDGEAYAYLLNALAPEHSSPASLDTKDPTERANMVLVQAEKLDCKGYLTSKDIVEGSPNLNLAFVAQIFQHRNGLSADTSKMSFAEMMTDDAQTSREERCFRLWINSLGTATYVNNVFEDIRNGWVLLEVLDKVSPGSVNWKLASKPPIKMPFRKVENCNQVIQIGKDLCFSLVNVAGNDIVQGNKKLILAYLWQLMRFTMLQLLKNLRSHSSHSQGKEITDADILKWANNKVKKAGRTSQMESFKDKNLSNGIFFLELLSAVEPRVVNWSVVTKGETDEDKKLNATYIISVARKLGCSIFLLPEDIIEVNQKMILTLTASIMYWSLQQQGYSESSAAEDSDVPDASPPPSVNGEKEEVLVGEVSNLTVDDAVSDATKSY >Potri.007G053200.2.v4.1 pep chromosome:Pop_tri_v4:7:5278189:5281379:1 gene:Potri.007G053200.v4.1 transcript:Potri.007G053200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053200.v4.1 MSSKKKELQSTAMKRTSEWIFSQEIPSDVTVHAGGASFSLHKFPLVSKSGYIRKMVSESSDADLSEIKIPNVPGGAEAFELAAKFCYGINFEISTENIAMLRCVAEYLEMTEDYAVGNLVSRTDAYLNEVALKSLAGAVSVLHLSENLLPMAEKVKLVSRCLDAIALAACKESQFSMSGRSDSGNEVVISSIVSQPKPIVDWWAEDLTVLRIDIFQRVLIAMMARGFKQYALGPVLMLYAQKSLRGLEAFGKGRKKIEAQQEHEKRVVLETIVSLLPREKNVLSVSFLSMLLRAAIYLETTVACRLDLEKRMGLQLGQAALDDLLIPSYSFTGDTMFDVDTVQRIMMNYLENEVEGNRIGYHADDECGVTLLSDMERVAKLMENYLAEIASDRNLTVSKFIGLAEIIPEQSRVTEDGMYRAIDIYLKAHPALSDMERKKVCSLMDCQKLSREACAHAAQNDRLPVQTVVQVLYYEQQRLRDVMNGNLMGGDSPDLPPKVNIFSTDIRPVSDELSSLRRENEDLKIELVKMKMKMKEIERATVVSTTSSPSADKPPLPRKSFINSVSKKLGRINPFVRADGFPLSNTKARTRPSKDRRHSIS >Potri.017G155200.1.v4.1 pep chromosome:Pop_tri_v4:17:15170225:15175095:-1 gene:Potri.017G155200.v4.1 transcript:Potri.017G155200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G155200.v4.1 MVGMSGKERSSWSVLMPSATQQQHHSNLERFLQCVTPTPPSKFLPQSCIHDLNSLWQPPGTKDMVEYFTLGDLWDCYDEWSAYGAGTQVVLSSGETIRQYYVPYLSAIQIYSHKSVVASRNSREYNDVVELESDSWSDDSMSDKLSRSPSNNSSKTWDTISEDSSYDHEGCLSMRDKLGYLYFQYFEISSPYWRVPLMEKVTELSRNNPGLMTLKNVDLSPASWMAVAWYPIYHIPSQGNDKDLSTCFLTYHTLSSSFQDCVNGDDDIEANGAGSEQKGEGNGDISLPPFGLATYKMQGGLWINPETSDDERMIYLESAAGSWLKQLNVHHHDYNFFTSCHCTM >Potri.015G041700.1.v4.1 pep chromosome:Pop_tri_v4:15:3846368:3853398:1 gene:Potri.015G041700.v4.1 transcript:Potri.015G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041700.v4.1 MLSLRNFWRRHKRKILITSGVLGSGYFLCKLYNAHQQKLADLERELARQRANDELIKAQLQDHFENVQLIADTTTLPHAMQYLRTRIAEELDLSQLTERLQKGKGQPTTLTSSEKLELWDRLKILSFTQMLVSLWAVTMLSLYIKVQVNILGRHLYIDTARGLGSSLLLENVDLVDRDDQQKFLASADFLANNGLLALISNIQAVVTEVLEGKKLTDLFNTTSLHETVMQILNKFMSMGSPHQWIDYLMPEDCGHYKLGPSSSIDDMILPDSMNFDQLMVEARAVLSSAEFGKIMEISLKVAVDALVDDMEAQSQSTGASLTLGMPLAKLLSRVLQIVPSLLGEASQNQIIQIIRNVPEVELFFTLLYANNLLD >Potri.004G065100.1.v4.1 pep chromosome:Pop_tri_v4:4:5583320:5590369:1 gene:Potri.004G065100.v4.1 transcript:Potri.004G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065100.v4.1 MADEPSVTRWSFQDFKTFYDGKFGRKKAAAAEAEAASDSQQNGQTTVVASNGNGHVNNSSDMAIYEQYRNQYGNSTTHSNGVLSNGVNERPKKSLLPAFDSAETRALAESLCRDIIRGSPDVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFELARHHAPSTIFLDEIDAIISQRGEARSEHEASRRLKTELLIQMDGLNRTNELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARAAMFEELLPSQPDEEKLPYDLLVERTEGFSGSDIRLLCKEAAMQPLRRIMTLLEDTEEVVPEDELPKVGPIRPEDIETALKNTRPSAHLHAHRYDKFNADYGSQILQ >Potri.001G311400.2.v4.1 pep chromosome:Pop_tri_v4:1:32192228:32192533:-1 gene:Potri.001G311400.v4.1 transcript:Potri.001G311400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G311400.v4.1 MALGSCSVPFIAAYSSLLLGFVGLTWTSVGAGSIPATLFLACAVICGYVYHVREILLVCGVLKPIGINHFLSFFHTTVCLPSATTQLTLPLTNENLHHYRC >Potri.011G027400.2.v4.1 pep chromosome:Pop_tri_v4:11:2021140:2021981:1 gene:Potri.011G027400.v4.1 transcript:Potri.011G027400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027400.v4.1 MSTSQQLPTHHQREPKPIKRHHSASYYVNRVRDSLTTRISKIICGIFLTLLFVGGIAVFITWLSLRPHRPRILISNFFIPGLDQPDGFENAEISFNVTARNANRAVGYYYDSVEAFVYYRDRAIGSTPLVDSFYQEPKNTTNLSKVLKGATLDVNSDRWRVFRKDFARGAVVFRLDVTAMIRFKLSTWDSKRHRMHANCDVAVGRDGSILATSKNKRCLVYFT >Potri.009G084800.2.v4.1 pep chromosome:Pop_tri_v4:9:7985350:7988307:1 gene:Potri.009G084800.v4.1 transcript:Potri.009G084800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084800.v4.1 MASLHILKSTLSTLSTSPSKDFIGKSNYRKTIPKLRTFYGSSRRYSGRQQKVKVFCSVQEEDNNQRNGEEPTESLFMKELKRRGMTPTSLLEETNRGNYGVEDEMKIGEEDRGFSKRNPVSTELDKSLSNQREKSMALNSEGIEGLIPRAKLLLTLGGTFFLGFWPLILITVAFFSSLYFYFGPSFVHDGSNASFSPPQYIDPYELLEDERISQIAPSLK >Potri.007G128800.1.v4.1 pep chromosome:Pop_tri_v4:7:14377999:14380518:-1 gene:Potri.007G128800.v4.1 transcript:Potri.007G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128800.v4.1 MDKQSVHGFDSNDSDLDLHGEAYECGRIEDELQNNLDFCVDEDDKTSVQCIELAVNTEGLEPCEGMEFNSRDEAREFYISYGRCTGFTVRIHHNRRSRVNNQVIGQDFVCSKEGFRAKKYVHRKDRILPPPPITREGCGAMIRLALKGGKWVVTKFVKEHTHKLMSPSKVPWRGSGKQLISEDEKDKRIRELSLELYNERQKCKRRCAVYEEQLNMILQDLEKHTEHVSKKVDDVVKSIREIEEEHSDDSNSE >Potri.007G147300.3.v4.1 pep chromosome:Pop_tri_v4:7:15542761:15548603:1 gene:Potri.007G147300.v4.1 transcript:Potri.007G147300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G147300.v4.1 MKVIDKIREAAGGGGDANGNGGDNSSSNKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQIQGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGFATPEDYQKDLAYLKQKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEVTAALEPIKDNEEAVRAYGIHLGTEMCKKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKITRALPWRPPTNVFRAKEDVRPIFWANRPKSYLSRTIGWDQYPHGRWGDSHNPSYGALSDYQFMRPRARGKKLFEEWASPLKSVEDIYEKFKVYCLGKLKSSPWSELEGLQPETKIINEQLGRINLKGFLTINSQPAVNAEKSDSPSVGWGGPGGYVYQKAYLEFFCSKEKLNALVERCKAFPLVTYMAVNRGGSWISNVALTDVNAVTWGVFPAKEIIQPTVVDPTSFSVWKDEAFEIWSRGWASLYPEGDPSRTLLEEVQNSYFLVSLVDNDYIHGDIFAVFAEL >Potri.007G147300.2.v4.1 pep chromosome:Pop_tri_v4:7:15542756:15548621:1 gene:Potri.007G147300.v4.1 transcript:Potri.007G147300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G147300.v4.1 MKVIDKIREAAGGGGDANGNGGDNSSSNKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQIQGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGFATPEDYQKDLAYLKQKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEVTAALEPIKDNEEAVRAYGIHLGTEMCKKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKITRALPWRPPTNVFRAKEDVRPIFWANRPKSYLSRTIGWDQYPHGRWGDSHNPSYGALSDYQFMRPRARGKKLFEEWASPLKSVEDIYEKFKVYCLGKLKSSPWSELEGLQPETKIINEQLGRINLKGFLTINSQPAVNAEKSDSPSVGWGGPGGYVYQKAYLEFFCSKEKLNALVERCKAFPLVTYMAVNRGGSWISNVALTDVNAVTWGVFPAKEIIQPTVVDPTSFSVWKDEAFEIWSRGWASLYPEGDPSRTLLEEVQNSYFLVSLVDNDYIHGDIFAVFAEL >Potri.007G147300.6.v4.1 pep chromosome:Pop_tri_v4:7:15542761:15548599:1 gene:Potri.007G147300.v4.1 transcript:Potri.007G147300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G147300.v4.1 MKVIDKIREAAGGGGDANGNGGDNSSSNKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQIQGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGFATPEDYQKDLAYLKQKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEVTAALEPIKDNEEAVRAYGIHLGTEMCKKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKITRALPWRPPTNVFRAKEDVRPIFWANRPKSYLSRTIGWDQYPHGRWGDSHNPSYGALSDYQFMRPRARGKKLFEEWASPLKSVEDIYEKFKVYCLGKLKSSPWSELEGLQPETKIINEQLGRINLKGFLTINSQPAVNAEKSDSPSVGWGGPGGYVYQKAYLEFFCSKEKLNALVERCKAFPLVTYMAVNRGGSWISNVALTDVNAVTWGVFPAKEIIQPTVVDPTSFSVWKDEAFEIWSRGWASLYPEGDPSRTLLEEVQNSYFLVSLVDNDYIHGDIFAVFAEL >Potri.007G147300.5.v4.1 pep chromosome:Pop_tri_v4:7:15542741:15548599:1 gene:Potri.007G147300.v4.1 transcript:Potri.007G147300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G147300.v4.1 MKVIDKIREAAGGGGDANGNGGDNSSSNKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQIQGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGFATPEDYQKDLAYLKQKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEVTAALEPIKDNEEAVRAYGIHLGTEMCKKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKITRALPWRPPTNVFRAKEDVRPIFWANRPKSYLSRTIGWDQYPHGRWGDSHNPSYGALSDYQFMRPRARGKKLFEEWASPLKSVEDIYEKFKVYCLGKLKSSPWSELEGLQPETKIINEQLGRINLKGFLTINSQPAVNAEKSDSPSVGWGGPGGYVYQKAYLEFFCSKEKLNALVERCKAFPLVTYMAVNRGGSWISNVALTDVNAVTWGVFPAKEIIQPTVVDPTSFSVWKDEAFEIWSRGWASLYPEGDPSRTLLEEVQNSYFLVSLVDNDYIHGDIFAVFAEL >Potri.007G147300.4.v4.1 pep chromosome:Pop_tri_v4:7:15542697:15548600:1 gene:Potri.007G147300.v4.1 transcript:Potri.007G147300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G147300.v4.1 MKVIDKIREAAGGGGDANGNGGDNSSSNKVVFSFEFFPPKTEDGVDNLFERMDRMVAHNPSFCDITWGAGGSTADLTLDIANKMQNIICVETMMHLTCTNMPVEKIDHALETIKSNGIQNVLALRGDPPHGQDKFVQIQGGFACALDLVKHIRSKYGDYFGITVAGYPEAHPDAIGSDGFATPEDYQKDLAYLKQKVDAGADLIVTQLFYDTDIFLKFVNDCRQIGITCPIVPGIMPINNYKGFLRMTGFCKTKIPAEVTAALEPIKDNEEAVRAYGIHLGTEMCKKILAHGIKTLHLYTLNMEKSALAILMNLGLIEESKITRALPWRPPTNVFRAKEDVRPIFWANRPKSYLSRTIGWDQYPHGRWGDSHNPSYGALSDYQFMRPRARGKKLFEEWASPLKSVEDIYEKFKVYCLGKLKSSPWSELEGLQPETKIINEQLGRINLKGFLTINSQPAVNAEKSDSPSVGWGGPGGYVYQKAYLEFFCSKEKLNALVERCKAFPLVTYMAVNRGGSWISNVALTDVNAVTWGVFPAKEIIQPTVVDPTSFSVWKDEAFEIWSRGWASLYPEGDPSRTLLEEVQNSYFLVSLVDNDYIHGDIFAVFAEL >Potri.014G112400.8.v4.1 pep chromosome:Pop_tri_v4:14:7576820:7583254:-1 gene:Potri.014G112400.v4.1 transcript:Potri.014G112400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112400.v4.1 MAGGKKKEVKKETGLGLSFKKEENFGEWYSEVVVNGEMIEYYDISGCYILRPWAMSIWEIMQVFFDAEIKKMKIKNCYFPLFVSSSVLEKEKDHIEGFAPEVAWVTKSGKSDLEIPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKQEADEEVLQILELYRRIYEEFLAIPIIKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKGMAWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVSSVQVIVVPVPFKDANTQGIFDACAATVDTLCEAGIRAEADYRENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKVDISCDNLAEKVKDMLDDIQKSMFDAAKQKRDACIQVAKTWDEFKEALSQRKMILAPWCDEEEVEKDVKDRTRGEMGAAKSLCSPFDQPELPEGTTCFASGKPAKKWTYWGRSY >Potri.014G112400.3.v4.1 pep chromosome:Pop_tri_v4:14:7576791:7583254:-1 gene:Potri.014G112400.v4.1 transcript:Potri.014G112400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112400.v4.1 MAGGKKKEVKKETGLGLSFKKEENFGEWYSEVVVNGEMIEYYDISGCYILRPWAMSIWEIMQVFFDAEIKKMKIKNCYFPLFVSSSVLEKEKDHIEGFAPEVAWVTKSGKSDLEIPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKQEADEEVLQILELYRRIYEEFLAIPIIKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKGMAWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVSSVQVIVVPVPFKDANTQGIFDACAATVDTLCEAGIRAEADYRENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKVDISCDNLAEKVKDMLDDIQKSMFDAAKQKRDACIQVAKTWDEFKEALSQRKMILAPWCDEEEVEKDVKDRTRGEMGAAKSLCSPFDQPELPEGTTCFASGKPAKKWTYWGRSY >Potri.014G112400.1.v4.1 pep chromosome:Pop_tri_v4:14:7576478:7583260:-1 gene:Potri.014G112400.v4.1 transcript:Potri.014G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112400.v4.1 MAGGKKKEVKKETGLGLSFKKEENFGEWYSEVVVNGEMIEYYDISGCYILRPWAMSIWEIMQVFFDAEIKKMKIKNCYFPLFVSSSVLEKEKDHIEGFAPEVAWVTKSGKSDLEIPIAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFATKQEADEEVLQILELYRRIYEEFLAIPIIKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKGMAWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVSSVQVIVVPVPFKDANTQGIFDACAATVDTLCEAGIRAEADYRENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKVDISCDNLAEKVKDMLDDIQKSMFDAAKQKRDACIQVAKTWDEFKEALSQRKMILAPWCDEEEVEKDVKDRTRGEMGAAKSLCSPFDQPELPEGTTCFASGKPAKKWTYWGRSY >Potri.013G110200.2.v4.1 pep chromosome:Pop_tri_v4:13:11856384:11859287:-1 gene:Potri.013G110200.v4.1 transcript:Potri.013G110200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110200.v4.1 MTSTVPFQIIVDDDEFDWEAAVREIDASCERANNPSSTTINQASSSNFTPPVNILNNSSYSSCTKTGTCKQSTLDKFIGRANPPVKPTVEVRHPQGNGIINSDGRSCCVEIDAEAAKTWIFPVNVPLRDYRLAITKTALFTNTLVALPTGLGKTLSAAVVMYNYFRWFPDDLMEGYGLGEKSSGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPPKRACFWKTKRVFFVTPQVLEKDIQSGTCPAKHLVCLVIDEAHRASGIKLFLLCSNSRVAGHTSATENISIDCDSRIKTAGCPAYH >Potri.014G036700.2.v4.1 pep chromosome:Pop_tri_v4:14:2318075:2319853:-1 gene:Potri.014G036700.v4.1 transcript:Potri.014G036700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036700.v4.1 MQASTCFLVICLLLLSSFSSFSVALSHSEASFIARRQPLSLKKNVNLPDYFELKIELNITFPNPRLRKAYIALQAWKKAIRSDPFNITGNWEGLRVCDYNGVFCAPALDDPKQNVVAGIDLNHFDIVGYLPVELGLLTDIALFHINSNRFSGIIPKSFSRLTLLHELDVSNNRLVGPFPEVVISLPSLKYLDIRYNDFEGGLPPEVFEKDLDALFLNNNRFTSTIPETLGSSPASVVVIANNKLTGCIPSSIGKMGSTLNEFVFLNNSLSGCLPSEIGKLGNATVLDVGSNSFSGVLPRCFKGLSQVERLDVSHNLLTGFVPEGICKLPNLVNFTFSYNYFNGEAQACSPPKRKDITMDDTSNCLPDRPKQKSPKICHPVVSKPVDCNKAMCGGSPSSSSLPKPPPQPSPSPKAHPPKVPKPAPCPPKLKLHPKPAPAPAPAPTDDHNEESTISHSPSSPPPLVYSPPPPAYSSPPTAHLAPPLVHSPLPPVHSPPTAAPVPAPAPADNPSDKSPVDHFLSSPPPLIPSPPPPVHSPPPPIHSPPPPVHSPPPPVHSPPPPMVSPPPPPKVVVPPNLGFSYSSPPPPTLPGY >Potri.018G039901.1.v4.1 pep chromosome:Pop_tri_v4:18:3181735:3182031:1 gene:Potri.018G039901.v4.1 transcript:Potri.018G039901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039901.v4.1 MASIRRTLSPVPRAGTLLNGEACQVASPLSKSSYSQSYPSSGGLLPSIFGPSDSQAFVYGVFSPRSSRPLERSKPEGQVWKRALSHFFVCFVIGVLLD >Potri.013G078001.1.v4.1 pep chromosome:Pop_tri_v4:13:6662363:6662665:1 gene:Potri.013G078001.v4.1 transcript:Potri.013G078001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G078001.v4.1 MVLKDDACWLEGSRDKNASRNCWAALEFSNESVPSVTWWIDVKSGSCNDINSFKLFHLCWCVRTWYNDELL >Potri.010G213400.3.v4.1 pep chromosome:Pop_tri_v4:10:20157643:20160711:1 gene:Potri.010G213400.v4.1 transcript:Potri.010G213400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213400.v4.1 MSRVVAENYSPSRDSFTVPFYTMDFTNNHLQPPLSASSASGASPTLGQMLKRVGDVSREATGDGSETPVHQVLELGSTNLEVPRSIPFVLSFNKLTYSVKVRRKFKFPAILPSRNIHRLGPATDTDPVGGESLFTTTKTLLNDISGEARDGEILAVLGASGSGKSTLIDALANRIAKGSLKGTKTLNGEVLESRMLKVISAYVMQDDLLFPMLTVEETLMFAAEFRLPRSLSKSKKRLRVQALIEQLGLKNAAKTVIGDEGHRGVSGGERRRVSIGIDIIHDPIILFLDEPTSGLDSTSAFMVVKVLQRIAQSGSIVIMSVHQPSYRILGLLDRLIFLSRGQTVYSGSPMNLPVYFSDFGHPIPENENRTEFALDLIRELEGSPGGTRSLVEFNKSWQDLKHSRNGGSEPDRGGLSLKEAISASISKGKLVSGATNNDAISPNSMVPTFANSVLIEMAVLSKRSFLNSRRMPELFGIRLGAVMVTGFILATMFWQLDNSPKGVQERLGFFAFAMSTTFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHGLVVLPSLIFLSLAFSATTFWAVGLDGGLSGFLFYFLIIFASFWAGSSFVTFLSGVVPHVMLGYTIVVAILAYFLLFSGFFINRDRIPAYWIWFHYLSLVKYPYEAVLLNEFQDPAKCFVRGVQIFDQSPLGEVSTSLKLQLLESMSNTLGTKITSSTCLTTGADILLQQGITDLSKWNCLWVTVAWGFLFRILFYISLLFGSKNKRR >Potri.010G183900.1.v4.1 pep chromosome:Pop_tri_v4:10:18151860:18153100:1 gene:Potri.010G183900.v4.1 transcript:Potri.010G183900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183900.v4.1 MPASLQLQRAAIPTTTTTLACHKQSQTTVNTWRVPLTWDAPVPDYVSCHHTRLVGPKQCCSVVVKTINAPVSTVWSVVRRFDNPQAYKHFVKSCHVIDGDGNVGSLREVHVVSGLPAASSTERLEILDDEQHVLSFSVVGGVHRLNNYRSVTTLHASPNGNGTVVVESYVVDVPAGNTKEDTCSFIETIVRCNLQSLAQIAEKMARNAQISTSS >Potri.015G101300.1.v4.1 pep chromosome:Pop_tri_v4:15:12068385:12074979:1 gene:Potri.015G101300.v4.1 transcript:Potri.015G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101300.v4.1 MKRKRGEKEEAAEKKMEIVWQTPANPPEKHDYIFRDGRRHVRPYHFEFISHVKNRWAGKTIVDLFAEEFKGRPYDYYVSAVKCGRIQVDGEMVPVSYIVKSSQKISHFVHRHEPPVMAWDVPILDKEDDVVTVWKPATVPVHPCGQYRKNTVVGVLQAEHGLAPLFPVHRLDRLVSGLLILARNATRADLFRQEIEAGKVQKQYVAKVIGVFPEDEQIVDVNVNYNAREGRSTVEVGNSCDGSPIKGKTACTKFTRIGTNGIHSIVLCKPITGRTHQIRVHLQYTGHPIANDILYLSENVTNRSSEGVNADRAAHSPNNCLVPENNSSDKYEDSTEEDFDIDPMCTNCPNLVPKGYDGHEEGLWLHCVQYSGSGWVYQCPYPDWAVPT >Potri.010G066000.2.v4.1 pep chromosome:Pop_tri_v4:10:9443985:9452622:-1 gene:Potri.010G066000.v4.1 transcript:Potri.010G066000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066000.v4.1 MHCSISGEVPGEPVVSKRSGILYEKRLIERHISEYGKCPITGEPLTMDDVVPVETGKIVKPRTVQTASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQVPMFTSEAVTANASVLSNGKRAAKDDDLAQPGKRIRPGISASIITELTECNAALSQQRKKRQVPPTLAPIDALERYTQLSSHPLHRTSKPGILSIDIQYSKDIIATGGADATAVIFDRPSGQIASALSGHSKKVTSVKFVGEGEFFLTGSADKTVRVWQGSEDGNYDCRHILKDHTAEVQAVTVHATNNYFVTASLDNTWCFYDLSSGLCLTQVADTSKTDGYTSAAFHPDGLILGTGTSEAVVKIWDVKSQANVAKFEGHVGPVTAITFSENGYFLATAAHDSVKLWDLRKLKNFRTLNLYDSDTPTNSVEFDHSGSYLGISGSDIRVYQVGSVKADWNCIKTLPDLSGTGRATCVKFGPESNYIAVGSMDHNLRIFGLPEDEAPVES >Potri.010G066000.1.v4.1 pep chromosome:Pop_tri_v4:10:9443249:9452632:-1 gene:Potri.010G066000.v4.1 transcript:Potri.010G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066000.v4.1 MHCSISGEVPGEPVVSKRSGILYEKRLIERHISEYGKCPITGEPLTMDDVVPVETGKIVKPRTVQTASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQVPMFTSEAVTANASVLSNGKRAAKDDDLAQPGKRIRPGISASIITELTECNAALSQQRKKRQVPPTLAPIDALERYTQLSSHPLHRTSKPGILSIDIQYSKDIIATGGADATAVIFDRPSGQIASALSGHSKKVTSVKFVGEGEFFLTGSADKTVRVWQGSEDGNYDCRHILKDHTAEVQAVTVHATNNYFVTASLDNTWCFYDLSSGLCLTQVADTSKTDGYTSAAFHPDGLILGTGTSEAVVKIWDVKSQANVAKFEGHVGPVTAITFSENGYFLATAAHDSVKLWDLRKLKNFRTLNLYDSDTPTNSVEFDHSGSYLGISGSDIRVYQVGSVKADWNCIKTLPDLSGTGRATCVKFGPESNYIAVGSMDHNLRIFGLPEDEAPVES >Potri.008G102200.5.v4.1 pep chromosome:Pop_tri_v4:8:6461791:6464325:1 gene:Potri.008G102200.v4.1 transcript:Potri.008G102200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102200.v4.1 MAGHNESEKKSSDALDDLQTFRAENLQSNMKVIYYSRTFLSIIGGVVAGILGFTGLTGFVFYFLVMAITSVALIAKAKFSIHTYFDSWNRVVFDGFLGGLMSFVLFWTFAYDIVHIF >Potri.008G102200.2.v4.1 pep chromosome:Pop_tri_v4:8:6461361:6464338:1 gene:Potri.008G102200.v4.1 transcript:Potri.008G102200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102200.v4.1 MAGHNESEKKSSDALDDLQTFRAENLQSNMKVIYYSRTFLSIIGGVVAGILGFTGLTGFVFYFLVMAITSVALIAKAKFSIHTYFDSWNRVVFDGFLGGLMSFVLFWTFAYDIVHIF >Potri.008G116700.1.v4.1 pep chromosome:Pop_tri_v4:8:7456213:7456353:-1 gene:Potri.008G116700.v4.1 transcript:Potri.008G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G116700.v4.1 MKMNSARMGSSKRRISSKGLGAVLREQRARLYIIRRCVVMLICWHD >Potri.010G187600.3.v4.1 pep chromosome:Pop_tri_v4:10:18393925:18397473:-1 gene:Potri.010G187600.v4.1 transcript:Potri.010G187600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT2 MQPSRRKSPLLSSVTVTMKHRKNSNLSVFVVVFSVFLFGVFMYNEDVKSIAEFPFSWPKSQEEPSKGVTPVQETLEKDQELPASVGSRTSLEEPQVDQGPGEVQESDNLKSSESKEDEEKIEFPVIEEDDEDVELPPEECDLFTGQWVFDNETRPLYKEDECEFLTAQVTCMRNGRKDSLYQNWKWQPRDCSLPKFKPRLLLNKLRNKRLMFVGDSLNRNQWESMVCFVQSLIPPGRKSLNKTGSLAVFRIEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIDKHGVNWKNVDYLVFNTYIWWMNTFKMKVLRGSFDEGSTEYDEIERPVAYRRVLTTWSKWVEKNVDTNRTTVFFSSMSPLHIKSLDWENPDGIKCAKETAPILDVSMKFNLGTDRRLFAVAANITGSMKVPVHFINITKLSEYRKDAHTSVYTIRQGKMLTPEQQADPATYADCIHWCLPGLPDTWNEFLYTRIISRT >Potri.010G187600.2.v4.1 pep chromosome:Pop_tri_v4:10:18394092:18397540:-1 gene:Potri.010G187600.v4.1 transcript:Potri.010G187600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT2 MKHRKNSNLSVFVVVFSVFLFGVFMYNEDVKSIAEFPFSWPKSQEEPSKGVTPVQETLEKDQELPASVGSRTSLEEPQVDQGPGEVQESDNLKSSESKEDEEKIEFPVIEEDDEDVELPPEECDLFTGQWVFDNETRPLYKEDECEFLTAQVTCMRNGRKDSLYQNWKWQPRDCSLPKFKPRLLLNKLRNKRLMFVGDSLNRNQWESMVCFVQSLIPPGRKSLNKTGSLAVFRIEDYNATVEFYWAPFLVESNSDDPNMHSILNRIIMPESIDKHGVNWKNVDYLVFNTYIWWMNTFKMKVLRGSFDEGSTEYDEIERPVAYRRVLTTWSKWVEKNVDTNRTTVFFSSMSPLHIKSLDWENPDGIKCAKETAPILDVSMKFNLGTDRRLFAVAANITGSMKVPVHFINITKLSEYRKDAHTSVYTIRQGKMLTPEQQADPATYADCIHWCLPGLPDTWNEFLYTRIISRT >Potri.013G001600.2.v4.1 pep chromosome:Pop_tri_v4:13:115879:117119:1 gene:Potri.013G001600.v4.1 transcript:Potri.013G001600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001600.v4.1 MSSTNFIDILLAIILPPLGVFLKFGCGAEFWICLLLTILGYIPGIIYAVYIITK >Potri.001G231004.1.v4.1 pep chromosome:Pop_tri_v4:1:25037027:25037248:1 gene:Potri.001G231004.v4.1 transcript:Potri.001G231004.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G231004.v4.1 MLLCAEILDLQRKIRFSSIAILAVWLCCAARHCDGQEDCSSFSASALVPPTPPAAAANLDSHGFEDDKTSTES >Potri.017G149600.1.v4.1 pep chromosome:Pop_tri_v4:17:14827453:14828654:-1 gene:Potri.017G149600.v4.1 transcript:Potri.017G149600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G149600.v4.1 MVMGIIRRLYVSAIAFLSQLVSSDPAINPELHLPAETQPPALSLTVNQEAPQPPNSQPSSHATDLESQLPATLPPELSLASINLQTPPPQQQHSFTTNHQPTNTESAVNQRFPWAKILIAFCLSTAMQNDLTYEQIDQHTKPFLKLYLRAVCIALTFVSLILSQLIHDKFPLASRMLEKVGISLGFASFFIILALPLT >Potri.017G073800.1.v4.1 pep chromosome:Pop_tri_v4:17:8130501:8131564:-1 gene:Potri.017G073800.v4.1 transcript:Potri.017G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G073800.v4.1 MSQGGYAIELYLDPALENQVLKAWNVLARRQISSQLIEIESRPHLTLYSAPFIDPTKLESIIKTFASKQEPLPLSLSTIGCHNNLLFLAPTPTLSLLQFHSQLCDAMRREGIEISEDYRPENWIPYCAVAQDVPKARMAESLCVLRDLKLPVAGYAMDIGLVEFSPVREFFSFVLGNTVEG >Potri.006G267800.2.v4.1 pep chromosome:Pop_tri_v4:6:26234750:26237843:-1 gene:Potri.006G267800.v4.1 transcript:Potri.006G267800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267800.v4.1 MGLLFSRMFSSLFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTERIGIAKEEFHSILEEEELKGAVVLIFANKQDLPGALDAAAVTEALELHKIKSRQWAIFKACATKGDGLFEGLDWLSNTLKSGGG >Potri.001G080700.1.v4.1 pep chromosome:Pop_tri_v4:1:6409382:6410204:1 gene:Potri.001G080700.v4.1 transcript:Potri.001G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080700.v4.1 MAKLVLVYSLVVLGLALTCNAATYMVGDNSGWDISTDLDTWAQSKTFVVGDLLSFQYSSSHSLEEVKKEDFDSCNTTNVARTFTNGNTTVPLTEPGTRYFVCGNQLHCLGGMKLQVNVEDNQANPPIGAPQAQPAGGTLTQPSSKSNNPASVIPTSAGSVYGGRDCIVMAFLGFVATMFWVVRV >Potri.014G101800.2.v4.1 pep chromosome:Pop_tri_v4:14:6725855:6728690:-1 gene:Potri.014G101800.v4.1 transcript:Potri.014G101800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101800.v4.1 MARPIFVGNFEQETRQSELERLFRKYGRVERVDMKSGFAFVYFEDDRDAADAIRGLDNIPFGYDRRRLSVEWARGERGRHRDGPRSTVNQRPTKTLFVINFDPIHTRVEDIKRHFERYGEVLHVRIRRNFAFVQFETQEDATKALQRTHMTKILDRVVSVEYALRDDSERGDRYDSPRRGSYYGRSPSPAHHRRPNPDYGRGHSPVYDKYNGPVHDRRRSPDYGRNRSPEYGRHRSRSPV >Potri.014G101800.5.v4.1 pep chromosome:Pop_tri_v4:14:6725392:6728748:-1 gene:Potri.014G101800.v4.1 transcript:Potri.014G101800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101800.v4.1 MARPIFVGNFEQETRQSELERLFRKYGRVERVDMKSGFAFVYFEDDRDAADAIRGLDNIPFGYDRRRLSVEWARGERGRHRDGPRSTVNQRPTKTLFVINFDPIHTRVEDIKRHFERYGEVLHVRIRRNFAFVQFETQEDATKALQRTHMTKILDRVVSVEYALRDDSERGDRYDSPRRGSYYGRSPSPAHHRRPNPDYGRGHSPVYDKYNGPVHDRRRSPDYGRNRSPEYGRHRSEQCTRGIRTWRPT >Potri.019G016702.2.v4.1 pep chromosome:Pop_tri_v4:19:2731644:2735379:1 gene:Potri.019G016702.v4.1 transcript:Potri.019G016702.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016702.v4.1 MHLQGSLVAGRFLSFPPLDRAYSWLCGETRCCLHSGRWRSESHFPARFRAR >Potri.019G016702.1.v4.1 pep chromosome:Pop_tri_v4:19:2731644:2735378:1 gene:Potri.019G016702.v4.1 transcript:Potri.019G016702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016702.v4.1 MLSSFWTVEIGIPFSGKVSCALNSSSSVSGEAVGTGQLHGVAARAPILGLSGSRQG >Potri.001G251400.1.v4.1 pep chromosome:Pop_tri_v4:1:26770759:26771633:-1 gene:Potri.001G251400.v4.1 transcript:Potri.001G251400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251400.v4.1 MASKKTKGRQKVEMKRIENQDDRLITFSKRRSGIYKKASELATLTGAEIAIAVFSPAGKPFSFGHPSVESVINRFLEDPLDMDSTYHLVEAHRRMRIEELTQKHNDMQHQLDEEKEKGLKLKSKIKEMDSKGWWDTAVDELNIQELIELEKKFKELQMTLCSKIAENASTVASSSQAPEIGHSFASTTVNDQNAPGFPDKNH >Potri.008G057500.2.v4.1 pep chromosome:Pop_tri_v4:8:3388015:3391130:-1 gene:Potri.008G057500.v4.1 transcript:Potri.008G057500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057500.v4.1 MDSTPRRKSGLNLPSGMNETSLRLETFSSSSSFRAVTCVSSPRAISSLSSPSKTSSCSDRFIPCRSSSRLHTFGLVEKGSPVKEGGNEAYARLLKSELFGSDFGSFSSPAGGQGGLSSPNKNMLRFKTDHSGPNSPFSPSILGHDSGISSESSTPPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTRLCDLGPNDSVCSVQWTREGSYISVGTHLGQVQVWDGTQCKRVRTMGGHQTRTGVLAWNSRTLASGSRDRHILQHDLRISSDYVSKLIGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSQLPILKLTEHTAAVKAIAWSPHQSGLLASGGGTADRCIRFWNTTNGHQLNHVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSLSKVATLVGHSLRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSMKTQTPVKDTGLWSLGRTQIR >Potri.015G019200.12.v4.1 pep chromosome:Pop_tri_v4:15:1346887:1351958:1 gene:Potri.015G019200.v4.1 transcript:Potri.015G019200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019200.v4.1 MLCNSCIRSVIVQAGIFRKTRLQLDNIGRSLNASRSINYKWNRQVFLRSSITMMVDSDSTDTRGPTVDLLKEKEDDGGFVSGWWKSEDGELSCGYSSFRGKRVTMEDFYDAKSTTIDGQRVCMFGIFDGHGGSRAAEYLKEHLFENLLKHPQFMADTKLAISQSYQQTDVDFLDSEKDTYRDDGSTASTAVLVGDHLYVANVGDSRTVISKGGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPDIQEQKIDQEFELLVLASDGLWDVVPNEDAVSIARTEEEPETAARKLTEAALTRGSADNITCIVVRFHHDKIDPSNSQQD >Potri.015G019200.1.v4.1 pep chromosome:Pop_tri_v4:15:1346993:1351912:1 gene:Potri.015G019200.v4.1 transcript:Potri.015G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019200.v4.1 MLCNSCIRSVIVQAGIFRKTRLQLDNIGRSLNASRSINYKWNRQVFLRSSITMMVDSDSTDTRGPTVDLLKEKEDDGGFVSGWWKSEDGELSCGYSSFRGKRVTMEDFYDAKSTTIDGQRVCMFGIFDGHGGSRAAEYLKEHLFENLLKHPQFMADTKLAISQSYQQTDVDFLDSEKDTYRDDGSTASTAVLVGDHLYVANVGDSRTVISKGGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPDIQEQKIDQEFELLVLASDGLWDVVPNEDAVSIARTEEEPETAARKLTEAALTRGSADNITCIVVRFHHDKIDPSNSQQD >Potri.015G019200.13.v4.1 pep chromosome:Pop_tri_v4:15:1347022:1351945:1 gene:Potri.015G019200.v4.1 transcript:Potri.015G019200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019200.v4.1 MLCNSCIRSVIVQAGIFRKTRLQLDNIGRSLNASRSINYKWNRQVFLRSSITMMVDSDSTDTRGPTVDLLKEKEDDGGFVSGWWKSEDGELSCGYSSFRGKRVTMEDFYDAKSTTIDGQRVCMFGIFDGHGGSRAAEYLKEHLFENLLKHPQFMADTKLAISQSYQQTDVDFLDSEKDTYRDDGSTASTAVLVGDHLYVANVGDSRTVISKGGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPDIQEQKIDQEFELLVLASDGLWDVVPNEDAVSIARTEEEPETAARKLTEAALTRGSADNITCIVVRFHHDKIDPSNSQQD >Potri.001G043400.5.v4.1 pep chromosome:Pop_tri_v4:1:3142183:3146339:1 gene:Potri.001G043400.v4.1 transcript:Potri.001G043400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043400.v4.1 MATTACFIIVSRDDIPIYEAEVGSATKREDAAQMHQFILHAALDIVQDLAWTTSAMYLKAIDRFNDLVVSVYVTAGHTRLMLLHDSRNDDGIKSFFQEVHELYIKILLNPLYLPGSRIASSHFDTKVRALARKYL >Potri.017G140300.4.v4.1 pep chromosome:Pop_tri_v4:17:14110197:14113584:-1 gene:Potri.017G140300.v4.1 transcript:Potri.017G140300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140300.v4.1 MKISENDDLEDIFLDHRVEVLYHCFAPSTGWKHTVDSMINLLEDPRHQYRRYLENMKKENEGGDRHDGMTEFQEMNSNKEKDEVVGDQNQKGRNSRKVLKKILLQALKDNKKTEKQKQKVSYHQDKLPRCLGKGDQYGKENKEDNIFPFEEFVKKRFKILSEKLDLLIVGLYTHLPTSVISLEVVKNMIKALDSLSRLKTLLNGVSIGDEGLKLVLHDFEDEDNSACQFSWLATPRKDCIRILNSLPRSFDVPNIFERYQVRNFCLENACLIFCTASSSAKLHTEGMTPIKLLVVDEAAQLKECESTIPLQLSGLRHAVLIGDERQLPAMVQSEISKEAEFGRSLFERLVILGHEKHLLNMQYRMHPSISLFPNKEFYDGLMQDASTVKERNYQKQFLQGNMYGPYSFINVASGKEEFDNGGSKKNLVEVAVVSEVVASLFKEFTRARKRMSVGVISPYNAQVYAIQEKIGKTYSAHSDFAVNIRSVDGFQGGEEDVIIISTVRCNANGKIGFLADRQRVNVPLTRARHCLWILGNGATLVNSDSIWKKLVTDAKERGCFYNVEEDKGLSKAITDAFLESDQLDALLNVNSPLFRNARWKFCFSNDFRKSILKVRNEARQEVFSLLSKLSSGWRESPEERIIVVRHGTSSLLLEHYRVNDQLHLIWTVDIIKENSNHTQILKVWDVLPLPDLPKLARHLDDVFGNYTVDKMNRCKHKCIEGNLVVPMRWPLYSDGAAERSIPEIDPVELLSQPSASLMARTPQAKTPNRQPRLPKYTNKDNKKYRSTSLFGWDNEPIWVYFIIFILIILIICVIQF >Potri.012G008666.1.v4.1 pep chromosome:Pop_tri_v4:12:477253:480747:1 gene:Potri.012G008666.v4.1 transcript:Potri.012G008666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G008666.v4.1 MPFSLLLILNSQLYFESSKAAMGCSPLSLSQSFSFFLFVFHFHSTISSPLSSNYSSSSSSSSSHLCAHHQSLSLLQFKQSFSINSSASSDYYNCQYPFPKTESWKDGTDCCLWNGVSCDLKTGHVTGLNLSCSMLHGTLHSNNSLFSLHHLQKLDLSFNDFNTSHISSGFGQFSNLTLLNLSGSDLAGQVPLEISQLSKLVSLDLSDNDNLSLQPISFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLKLIGCGLQGKLPSSMGKFKHLQYLDLGRNSLTGSIPYDFEQLTELVSLDFSWNNYLNLEPISFDKLVRNLTKLRELDLSSVNMSLVAPNSLTNLSSSLSYLSLLGCGLQGKFPGNIFHLPNLESLDLSYNQGLAGSFPSSNLSNVLSRLYLSNTRISVYLENDLISNLKSLEYMSLRDCNIIRSDLAPLANLTRLVYLDLSSNNLTGEIPSSLGNLVHLQYLILYSNNFMGQVPDSLANLVNLSYLDLSNNQLGGPIHSQLKTLSNLLGLSLYGNLFNGTIPSFLFALPSLYYLDLHDNNLIGNISELQHYSLIYLDLSNNHLHGTIPSSIFKQKNLEVLILASTSKLTGEITSSICKLRFLILLDLSNNSLSGSTPLCLGNFSNSLSVLHLGMNKLQGIIPSTFTKDNSLEYLNLNGNEFEGKIPSSINNCAMLEVLDLGNNKIEDTFPYFLEKLPKLQILVLKSNKLQGFVKGPTAHNSFSTLRILDISDNDFSGSLPTGYFNSLEAMMASDQNMIYMNATSYSSYVYSIDLT >Potri.012G008666.2.v4.1 pep chromosome:Pop_tri_v4:12:477483:480259:1 gene:Potri.012G008666.v4.1 transcript:Potri.012G008666.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G008666.v4.1 MLHGTLHSNNSLFSLHHLQKLDLSFNDFNTSHISSGFGQFSNLTLLNLSGSDLAGQVPLEISQLSKLVSLDLSDNDNLSLQPISFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLKLIGCGLQGKLPSSMGKFKHLQYLDLGRNSLTGSIPYDFEQLTELVSLDFSWNNYLNLEPISFDKLVRNLTKLRELDLSSVNMSLVAPNSLTNLSSSLSYLSLLGCGLQGKFPGNIFHLPNLESLDLSYNQGLAGSFPSSNLSNVLSRLYLSNTRISVYLENDLISNLKSLEYMSLRDCNIIRSDLAPLANLTRLVYLDLSSNNLTGEIPSSLGNLVHLQYLILYSNNFMGQVPDSLANLVNLSYLDLSNNQLGGPIHSQLKTLSNLLGLSLYGNLFNGTIPSFLFALPSLYYLDLHDNNLIGNISELQHYSLIYLDLSNNHLHGTIPSSIFKQKNLEVLILASTSKLTGEITSSICKLRFLILLDLSNNSLSGSTPLCLGNFSNSLSVLHLGMNKLQGIIPSTFTKDNSLEYLNLNGNEFEGKIPSSINNCAMLEVLDLGNNKIEDTFPYFLEKLPKLQILVLKSNKLQGFVKGPTAHNSFSTLRILDISDNDFSGSLPTGYFNSLEAMMASDQNMIYMNATSYSSYVYSIDLT >Potri.005G114700.1.v4.1 pep chromosome:Pop_tri_v4:5:8373753:8375734:-1 gene:Potri.005G114700.v4.1 transcript:Potri.005G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114700.v4.1 MEPQQQQHQNQQQPNEDNNGGAKGNFICRQTSTRWTPTTDQIRILKELYYIKGVRSPNGAEIQQISARLRKYGKIEGKNVFYWFQNHKARERQKKRFTNDVPTQQRTTLKPEDYYSYKYSGSNNNPGFSSASSSSNTGAVTVGQADNYGYGSVTMQEKKNWDCSVPAGGESMNNINYGSRGGIYPYSSSYTVFDQDQEAAEKIETLPLFPMHGEDISTSFNINNVNPDFYYSSWYGSDDYGNATTSRTSLELSLYSYNGQQQDY >Potri.013G161300.1.v4.1 pep chromosome:Pop_tri_v4:13:15503754:15506789:-1 gene:Potri.013G161300.v4.1 transcript:Potri.013G161300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161300.v4.1 MWSRLWSSSAPGVLKRTLLAANTQSLSTPPRCTTTRTIDSSAYSYYYYSGRGGGAAADASTPFCKIKSICFSSAIDADAEASSPSSNNNNNNKNDKNILITSSEAKRLMRLVNVEALKMKLGMESREIIPFSDLLEACQSIGIARSHDEAVTFAHVLDDAGVVLLFRDQVYLHPDKVVDLIRRAVPLALTPEDDPARDELKMLQEKKEEIDVQAHKEVRRILYSGLCLALLQVGLFFRLTFWEFSWDVMEPIAFFATTSSIVIGYAYFLITARDPSYQDLMKRLFLSRQRKLFKKLNFDVERFKELQLKQKSPLDATASIKKRVGMKLELDDAMHK >Potri.016G005650.1.v4.1 pep chromosome:Pop_tri_v4:16:283512:284137:-1 gene:Potri.016G005650.v4.1 transcript:Potri.016G005650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005650.v4.1 MFNNMMEEDYGWGTCSTMVLLMVAAILLFAPLVMDSLGPPSALMILLIPVILVAVLYFLHEASD >Potri.009G152200.1.v4.1 pep chromosome:Pop_tri_v4:9:11974874:11977396:-1 gene:Potri.009G152200.v4.1 transcript:Potri.009G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152200.v4.1 MYSTMNSFSNSMHTHPKPIQKTSYTTFRGIPHLGARLPSRRQETWVPVLISSQEKTTIQEDHADSTGSSLGKIALSNSLFLSSHISRFQNCLSTTISKFIDHRPPLHPSVDPYQVFTGNFAPVDELEPTNCSTVVEGELPSCLNGVYIRNGSNPQHMPSGPLHFFEGDGMLHSLKLSGGQATHCSRYVKTYKYMLEKEAGFPIFPNILSGFYSLPDVLAYVMVAGRVLCGQINLMRGFGMANTSLAFFSNKLLALCESDLPYVIGMTQEGDIETLGRWDFDRKLFASMTAHPKVDKDTRETFAFQCNPSFFPYVTYFYFNEDGVKQRDVPLLSINQPTPIHDFAITKRFAIFPETQLVVEPTNVMLGRGMPVVFEQKKVPRIGILPRYAESDSNTRWFPVPGFNAMHVTNAWENGDDEVVLVAPNVLNIENVFHEIEKVHYSLEKLTINTRTGKVSRKILSKRSLELGSINPSYIGKKNRYAYLGIAEKVPKMSGLAKIDLEKECEVSRRLYGSGCFGGEPLFVPRKGNAVKSDEDEDDGFVVSYVHDENSGQSNFTVMDAKSPNLDIVAKVKLPRRVPYGFHSLFVCQNSL >Potri.009G152200.2.v4.1 pep chromosome:Pop_tri_v4:9:11974863:11976154:-1 gene:Potri.009G152200.v4.1 transcript:Potri.009G152200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152200.v4.1 MVAGRVLCGQINLMRGFGMANTSLAFFSNKLLALCESDLPYVIGMTQEGDIETLGRWDFDRKLFASMTAHPKVDKDTRETFAFQCNPSFFPYVTYFYFNEDGVKQRDVPLLSINQPTPIHDFAITKRFAIFPETQLVVEPTNVMLGRGMPVVFEQKKVPRIGILPRYAESDSNTRWFPVPGFNAMHVTNAWENGDDEVVLVAPNVLNIENVFHEIEKVHYSLEKLTINTRTGKVSRKILSKRSLELGSINPSYIGKKNRYAYLGIAEKVPKMSGLAKIDLEKECEVSRRLYGSGCFGGEPLFVPRKGNAVKSDEDEDDGFVVSYVHDENSGQSNFTVMDAKSPNLDIVAKVKLPRRVPYGFHSLFVCQNSL >Potri.015G010150.1.v4.1 pep chromosome:Pop_tri_v4:15:659166:659845:1 gene:Potri.015G010150.v4.1 transcript:Potri.015G010150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010150.v4.1 MQYCTPIQEGGECFLPTVIRAHAAFAMNACYQGTGKNDFDCDFETGAISTVDPSCEYILKTSVHAVDYLQ >Potri.003G117200.2.v4.1 pep chromosome:Pop_tri_v4:3:13947069:13947554:1 gene:Potri.003G117200.v4.1 transcript:Potri.003G117200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117200.v4.1 MCTNGVDRIPSPFHPSKRRQRSKKCKVQVIGLTCSRRSGYEEWEEKNMELKNLKLYLENQSIVEENEKLRKKASLLHQENLALMSELQKKFPHLDRFSNTLLLLHKH >Potri.003G117200.1.v4.1 pep chromosome:Pop_tri_v4:3:13947069:13947554:1 gene:Potri.003G117200.v4.1 transcript:Potri.003G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117200.v4.1 MCTNGVDRIPSPFHPSKRRQRSKKCKVQVIGLTCRRSGYEEWEEKNMELKNLKLYLENQSIVEENEKLRKKASLLHQENLALMSELQKKFPHLDRFSNTLLLLHKH >Potri.010G236500.1.v4.1 pep chromosome:Pop_tri_v4:10:21661458:21663084:-1 gene:Potri.010G236500.v4.1 transcript:Potri.010G236500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236500.v4.1 MSQTVVLKVGMSCEGCVGAVKRVLGKMEGVESYDIDLKEQKVTVKGNVQPDAVLQTVSKTGKKTAFWEAEAPAEPAKPAETVAAA >Potri.015G061600.1.v4.1 pep chromosome:Pop_tri_v4:15:8573969:8578663:1 gene:Potri.015G061600.v4.1 transcript:Potri.015G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061600.v4.1 MAIFITIDYKTIYIKLCEAFLPCLVWSPCSLQALRFSHFLTSSVFLIWGCEEPEDIKKKRKKTVASLIMKEDETDHLWRIVLFMFLILITGSVVVSGDSLDTDRQVLLGLKSFLEERNHVNRGQYSQWNQQSSNPCNWSGILCTLDGSRVRGINLAANNISGDLYGNFSSLTALTYLDLSQNTFGGAVPGDLSNCQNLVYLNLSHNILEGELNLTGLTKLETLDLSTNRIFGGIQFSFPGICNNLIVANVSANNFSGGIDNFFDGCLKLQYLDLSSNFFSGAIWKGFSRLKEFSVSENYLSGEVSGSFFAENNCSLQVLDLSGNNFIGKVPSEVSNCRNLSILNLWGNSFTGEIPSEIGLISSLEGLFLGNNTFSPTIPESLLNLGNLAFLDLSRNHFGGDIQQIFGRFTQLKILVLHGNSYIDGINSSGILKLPNLVGLDLSNNSFTGPLPVEISEMHNLKFLILAYNQFNSNIPQEYGNFRGLQALDLSFNNLSGQIPSSLGKLRSLLWLMLANNTLTGEIPAELGSCTSLLWLNLANNQLSGSIPRELMKVGMDPSQTFESNQRDGGIIAGSGECLTMKRWIPADYPPFSFIYTILNRKTCRSIWDRLIKGVGLFPVCAAGSTVRTLQISGYLQLSGNQLSGEVPGDIGKMHSFSMIHLGFNNLSGTLPPQIGQLPLVVLNLTKNTFSGEIPNEIGNAECIKNLDLSCNNFSGTFPVSLNNLSELSKFNISYNPLISGTIPTTGQLATFEKDSYLGDPLLKLPSFINNSMGSPPNQYPKIEKKEPKKWVAVLVLLTMTVALLICGLASLVVCMLVKSPAESPGYLLDDTKHLRHDFASSSWSSSPWSSDTVKVIRLDRTAFTHADILKATGNFTESRIIGKGGFGTVYRGVLPDGREVAVKKLQREGIEGEKEFRAEMEVLTGNGFGWPHPNLVTLYGWCLDGTEKILVYEYMEGGSLEDLISDRTRLTWRRRIDIAIDVARALVFLHHECYPAIVHRDVKASNVLLDKDGKARVTDFGLARFVDVGDSHVSTMVAGTVGYVAPEYGQTFHATTKGDVYSFGVLSMELATGRRAVDGGEECLLEWARRVMGSGRHGLSRARIPVVLLGSGLAEGAEEMCDLLRIGIGCTAEAPQWRPNMKEVLAMLIKLSC >Potri.007G062222.1.v4.1 pep chromosome:Pop_tri_v4:7:7066024:7066323:1 gene:Potri.007G062222.v4.1 transcript:Potri.007G062222.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062222.v4.1 MALEEKGAGLRSFAPPYSLSLHRFSSSHGLITGSELVVRNRSGALSSPLVVLFSNECKSFSNLFPFDTCLPIGKEGSATSVSIGCFLYCCYCPRILNLR >Potri.008G081100.1.v4.1 pep chromosome:Pop_tri_v4:8:5069055:5071820:1 gene:Potri.008G081100.v4.1 transcript:Potri.008G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081100.v4.1 MTKKAVLIGCNYPGTKAELKGCVNDVKRMCRCLVDRYGFYEDNITILIDTDDSYTLPTGKNVRKALNDLVLSSEPGDFLFVHYSGHGTRLPAETGEEDDTGYDECIVPCDMNLITDDDFRDLVDQVPEGCRITIVSDSCHSGGLIDETKEQIGESTKRQDEEEKDSGIRFKSFLKQTVKDAFESRGVHIPSGLHRNRHGKEEDFDDRAVEGEYGERGYVRSRSLPLSTLIEILKQKTGKDDIDVGKLRPTLFNVFGEDASPKVKKFMKIIMDKVQHGDGESGGGGFFGMVGNLAQEFLKQQLEHDEGYAQPALETEVGSKQEVYAGATKHALPDGGILISGCQSDQTSADASPGGNPAEAYGAFSNAIQIILAETAGEISNQELVLRARKMLKKQGFIQRPGLYCSDHHVEVPFVC >Potri.004G196000.1.v4.1 pep chromosome:Pop_tri_v4:4:20830105:20832613:-1 gene:Potri.004G196000.v4.1 transcript:Potri.004G196000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196000.v4.1 MSKLGITTIILTLALISTVPANTAAAPAPAPAEAPAPVSGLGPAAPAPVEAPAPVSDFGPAAYGPIPGNDCITAVANASDCLDYVTTGSNLTVPDKNCCPEIAGLIETNVICLCQLLSGDVAKQFGLSIDFGRAVNLPAVCKIANVPSASLCSVAGFPVAAPASGPSTGLPPPVPAAESPGGLAAGPSAGEKGAASSIAGSAFAVFGGLAISILSTLF >Potri.007G129900.1.v4.1 pep chromosome:Pop_tri_v4:7:14426545:14430417:1 gene:Potri.007G129900.v4.1 transcript:Potri.007G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129900.v4.1 MYNNVGPQPGVPRPPTNPQPTPFGNAFYGAGSGLIKGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDHYVRNKLKVVLFPFLHRGHWTRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLNGKFSPEALNWLFVKGLLGWFMQVALLKIILLSLGSGEAPLLDMVAYAGYTFTGMCFAVLGKILPGYSYYILMPCTCLCMGIFLVKTMKRVLFAEVRSVDSSRHHYLLLLIGLVQFPLFAWLGNVSVNWFL >Potri.003G108100.4.v4.1 pep chromosome:Pop_tri_v4:3:13092514:13102636:1 gene:Potri.003G108100.v4.1 transcript:Potri.003G108100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108100.v4.1 MDIWKLFFVALMPVLKVLLLTAVGVFLAIERVGILGADARNHLNNLVFYVLSPALVGSSLAKFVTLRSLLELWFMPLNVLITFIIGSVLGWLLIKITKAPKRMRGMILGSCAGGNLGAIPLILIPAVCKEKGSPFGDSNSCNTRGLAYASLSMAIGSIYLWSYVYHIVRVYSSSKDSDEPKLDELPEGTESAGETTENLPKCRTGPLLPLKEPSLEEGHMERLELDCVVPQEKAKEPFPSNVKQGFQKVIKKLNLRRLFSPIINGAIVGFIIGVVPTFQKAFIGDNAPLHVLEDSAYFLGEAAIPSVTLIMGANLLEGLKGSKVPLMVIIGIVAVRYIILPISGALIIKYAIRFGLLHSDPLYQFVLLLQFALPPAIGIGTMTQLFGAGQTECSVIMLYTYSLATISLTLWSAFFIWFVR >Potri.003G108100.5.v4.1 pep chromosome:Pop_tri_v4:3:13092514:13102636:1 gene:Potri.003G108100.v4.1 transcript:Potri.003G108100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108100.v4.1 MDIWKLFFVALMPVLKVLLLTAVGVFLAIERVGILGADARNHLNNLVFYVLSPALVGSSLAKFVTLRSLLELWFMPLNVLITFIIGSVLGWLLIKITKAPKRMRGMILGSCAGGNLGAIPLILIPAVCKEKGSPFGDSNSCNTRGLAYASLSMAIGSIYLWSYVYHIVRVYSSSKDSDEPKLDELPEGTESAGETTENLPKCRTGPLLPLKEPSLEEGHMERLELDCVVPQEKAKEPFPSNVKQGFQKVIKKLNLRRLFSPIINGAIVGFIIGVVPTFQKAFIGDNAPLHVLEDSAYFLGEAAIPSVTLIMGANLLEGTMTQLFGAGQTECSVIMLYTYSLATISLTLWSAFFIWFVR >Potri.007G064200.2.v4.1 pep chromosome:Pop_tri_v4:7:7994724:8000187:1 gene:Potri.007G064200.v4.1 transcript:Potri.007G064200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064200.v4.1 MAAGGYGERSLKETPSWAAALVCAVFVVISIVIERGIHSLEKWFQKRQKKAMIEALEKLKAELMLLGFISLLITVGTKPISKICIPEKAGNTMLPCKKEAKKDYGNGDGRKLLWYAGDDISHRLLAAAAASGDDYCSQKGKVSLVSQSGVHQLHIFIFVLAAFHVIYSVVIIALAKAKMKRWKSWELETTSLEYQFTNDPSRFRFTRQTSFVKRHTGLSATPGLRWIVAFFRQFFTSVTKVDYLTLRHGFINAHFAPNSKFNFHKYIKRSMEDDFKVVVGISIPLWICSIIFQLLNVYGWYTFTWLPFVPLAVILIVGAKLEIIIMEMAREIQEKTAVVKGVPVVEPSNKYFWFNRPDIILFLIHFTLFQNAFQTAYFLWTWYEFGLKSCFHDNLLEMLAKVVLAIILQFLCSYVTFPLYALVTQMGSHMKKAIFEEQTSKAIKKWQRSAKERRRLKNKAVADGSSNGFSMTSPDHQSGTPSRGTSPLHLLHKFKHNSTDIEGGLSACTSPRSCFSETELSEIEGAAPSSDDYELRRQNNPKRLEESRSADFSFAMP >Potri.007G064200.1.v4.1 pep chromosome:Pop_tri_v4:7:7994724:8000187:1 gene:Potri.007G064200.v4.1 transcript:Potri.007G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064200.v4.1 MAAGGYGERSLKETPSWAAALVCAVFVVISIVIERGIHSLEKWFQKRQKKAMIEALEKLKAELMLLGFISLLITVGTKPISKICIPEKAGNTMLPCKKEAKKDYGNGDGRKLLWYAGDDISHRLLAAAAASGDDYCSQKGKVSLVSQSGVHQLHIFIFVLAAFHVIYSVVIIALAKAKMKRWKSWELETTSLEYQFTNDPSRFRFTRQTSFVKRHTGLSATPGLRWIVAFFRQFFTSVTKVDYLTLRHGFINAHFAPNSKFNFHKYIKRSMEDDFKVVVGISIPLWICSIIFQLLNVYGWYTFTWLPFVPLAGVPVVEPSNKYFWFNRPDIILFLIHFTLFQNAFQTAYFLWTWYEFGLKSCFHDNLLEMLAKVVLAIILQFLCSYVTFPLYALVTQMGSHMKKAIFEEQTSKAIKKWQRSAKERRRLKNKAVADGSSNGFSMTSPDHQSGTPSRGTSPLHLLHKFKHNSTDIEGGLSACTSPRSCFSETELSEIEGAAPSSDDYELRRQNNPKRLEESRSADFSFAMP >Potri.015G106725.1.v4.1 pep chromosome:Pop_tri_v4:15:12521252:12521638:-1 gene:Potri.015G106725.v4.1 transcript:Potri.015G106725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106725.v4.1 MLLLGGGFHQNSTPSGQLTNLYKPHEGLYYCIATEDFMYISTMVGEAPNSLKGFQHHIIASSNGNVGNLLWGFESLLPMTQWVTRFSKNIYIYIVHIPQFILVSTSICFSTRMLKIQLLLNSLPQLLL >Potri.001G020400.1.v4.1 pep chromosome:Pop_tri_v4:1:1582083:1583432:-1 gene:Potri.001G020400.v4.1 transcript:Potri.001G020400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020400.v4.1 MMLGCIATMQLDGLTMDLIHKDSPQSPLYPGNLPPGEQILQPAACPFAGLHHQTSMMSTNKAVMNRMMSPLTSYGDPFLFLAQVGVGSFQEKSHRTHFKTYYFQIDTGNELSWIQCEGCQNKGNVCFPHKDPPYPSSQSKSYYPVSCNQHSFCEPNQCKEGLCAYNVTYGPGSYTSGNLANETFTFYSNHGKHTALKSISFGCSTDSRNMIYAFLLDKNPVSGVLGMGWGPRSFLAQLGSISHGKFSYCITANNTHNTYLRFGKHVVKSKNLQTTKIMQVKPSAAYHVNLLGISVNGVKLNITKTDLAVRKDGSRGCIIDAGTLATLLVKPIFDTLHTALANHLSSNQNLKRWVIHKLHKDLCYEQLSDAGRKNLPVVTFHLENADLEVKPEAIFLFREFEGKNVFCLSMLSDDSKTIIGAYQQMKQKFVYDTKARVLSFGPEDCEKNG >Potri.001G134900.1.v4.1 pep chromosome:Pop_tri_v4:1:10997891:11002113:-1 gene:Potri.001G134900.v4.1 transcript:Potri.001G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134900.v4.1 MHDMTKWSFFLSLLLCIFNTRTCFSNGSDTLSVGQSLSVTQSLISEGRTFELGFFRPGASQNIYLGIWYKNFADKIIVWVANRESPLNPASLKLELSPDGNLVLLTNFTETVWSTALISPILNSTEAILLDNGNFVIRDVSNTSITYWQSFDNPTDTWLPGGKLGINKQTGQVQRLISWKNSEDPAPGMFSVGIDPNGSIQYFIEWNRSHRYWSSGVWNGQGFTAIPEMRVNIYNFSVISNENESYFTYSLSNTSILSRFVMDSSGKMMQWLWLAGSSQWFLYWSQPADQADVYAACGAFGVFGGSTTSPCKCIKGFKPFGQNDWSSGCVRESPLQCQNKEGNRKKDEFLKMSNLTLPTNSKAHEAANATRCELDCLGSCSCTVFAYNNSGCFVWEGDLVNLQQQAGEGVSWAGADIYIKLAAAELQVENGAGKGNKRRTRAILAVVIPVTLITFGLFIYCCYLRKSKLHHKGEEDTSENLLFFDFDTCPNSPNNVPSSVDNRRKNVELPLFSYESVSAVTEQFSHKLGEGGFGPVYKGKLSNGVEVAVKRLSKRSGQGLEEFRNETMVIARLQHRNLVRLLGCCIERDEKILIYEYMPNKSLDFFLFDANKRQILDWGSRVRIIEGIAQGLVYLHRYSRLRIIHRDLKPSNILLDSEMNPKISDFGMARIFGDSETEANTKKIAGTYGYMSPEYAMDGLFSIKSDVFSFGVLLLEIVSGRKNTGFYHRDSLNLLGHAWKSWNSSRALDLMDPVLGDPPSTSVLLRHINIGLLCVQESPADRPTMSDVFSMIVNEHAPLPAPKQPAFATGRNMGDTSSSTSSAGFPSVNNVTVTMMDAR >Potri.014G093400.1.v4.1 pep chromosome:Pop_tri_v4:14:6052984:6057615:1 gene:Potri.014G093400.v4.1 transcript:Potri.014G093400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093400.v4.1 MERKEKGVEREGKKVEILKSKQKLKQGMVLQVGKRGGPSTPSPTWRLEFSPSPNDNNNGNHIQEFLNTTTTTVSARKLCANFWEIQPQVHLSASKMNKNLGHRRAHPSHQHQDKKAFEPRTHLVDTPNSPPDQPASASPLRKHVTKSLIQHHRPDGRNGNALRPLSPASCDGPMEVALYNPAVTPTSSSDFRDRMRESSYGLKTSTELLKVLNRIWSLEEQQASNMSLLRALKMELGHSQSQIKDLLKEKQANRQEMDHLMKQLAEDKVIRKNKEQDRIKSAVQSVQEELKDERKLRKHSESLHRKLARELSEVKYSFCNALKELERERKTCFLLENLCDEFAQGIRDYEQEVRSLSHKSDMDSVGGEKTDRLVLHISEAWLDERMQMELAEAENDLVKKNTIVDKLGPDIETFLQARLSIELKKDGNFEKEGIKNCSRRESYLLNEAASAPQDAADDDSTDSDSHCFELSSASKRQTIGNSKQQADNASEIHLEKTVKSNSTKRMAGSRENTKFHNPAHFQVQFEDYMAGNKTQFSDRGHSELSGESQGISNIYEAKQDGQHKRKTKQVIHGLNSNYVLDTLTRNHSLSSEGDKIHPVSDFKEDACAQPVFGGHASPVRQWMSKLTSPEFDKSECSSKLTRDLKENTLKAKLLEARLEGQKSRIRASKAVF >Potri.005G200200.1.v4.1 pep chromosome:Pop_tri_v4:5:20584684:20589854:1 gene:Potri.005G200200.v4.1 transcript:Potri.005G200200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200200.v4.1 MAEKPIRFGIIGCADIARKLSRAITLAPNAELSAVASRSLDKASAFAKANNFPPSAKIYGSYEDLLDDPEIDAVYVPLPTSLHVKWACLVAQKKKHILLEKPVGLNVTELDKIVEACEANGVQIMDGTMWIHNPRTHKMKEFLNDKERFGELKNMHSCFTFAGDEDFLKNDIRVKSDLDALGALGDAGWYGIRSILWAVDYELPKTVTALPGPVLNEAGVILSCGAALHWEDGKVATFHCSFLAHLTMDITAIGTAGTLHLNDFIIPFGEKEASFTTVSKSGFTDLVTGWVPLPSQHTVTTDLPQEACMVTEFARLVGNIKANGAKPDPKWPSISRKTQLILDAVTTSIAQGSKPVEI >Potri.010G195100.1.v4.1 pep chromosome:Pop_tri_v4:10:18934078:18936508:1 gene:Potri.010G195100.v4.1 transcript:Potri.010G195100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195100.v4.1 METLQKPQVVVDDVDVLDESSHHHHQQSDDEGQRRSSSQSGSCSEIVKERGSSVSEVDLEYGAPEIKLHLAKVERDCRICHLSLDAGSLEGGLPIELGCSCKNDLAAAHKQCAEAWFKIKGNKTCEICGSIARNVAGANETGSAEQWNQASDVAVTAAPPVQPADTRNFWQGHRFLNFLLACMVFAFVISWLFHFNVPS >Potri.007G025300.4.v4.1 pep chromosome:Pop_tri_v4:7:1931544:1937330:1 gene:Potri.007G025300.v4.1 transcript:Potri.007G025300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025300.v4.1 MWRRSFSTATNASTALKEKKWDALVIGAGHNGLTAAAYLAGSGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSLIKELELGRHGLKLLKRSPSSFTPCLDGSYLLLGPDRELNHSEISKFSVNDANAYHRYEKQLESFCKLMDPLLDSPPPETAQNGASFNDRLKDKLRKSAFWASFMRQALSLGQKDLVDFMDLLLSPASKVLNKWFETDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSSAIANAARESGAHIVTSAEVSQLMIKDSGTVNGVLLADGTEVLSPIVLSNATPYKTFLATTKINLAVDKLPQFQCCKLNHPDAGPQHVGTIHIGSESMEEIDLACQDAVNGVPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPSDGSWGDSAYRESFAQKCFSLIEEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSSYRTPLQGLYLCGSGTHPGGGVMGAPGRNAAHVVLQDVEKR >Potri.007G025300.5.v4.1 pep chromosome:Pop_tri_v4:7:1931722:1937274:1 gene:Potri.007G025300.v4.1 transcript:Potri.007G025300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025300.v4.1 MWRRSFSTATNASTALKEKKWDALVIGAGHNGLTAAAYLAGSGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSLIKELELGRHGLKLLKRSPSSFTPCLDGSYLLLGPDRELNHSEISKFSVNDANAYHRYEKQLESFCKLMDPLLDSPPPETAQNGASFNDRLKDKLRKSAFWASFMRQALSLGQKDLVDFMDLLLSPASKVLNKWFETDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSSAIANAARESGAHIVTSAEVSQLMIKDSGTVNGVLLADGTEVLSPIVLSNATPYKTFLELVPNNTLPDDFTRALKYSDYSSATTKINLAVDKLPQFQCCKLNHPDAGPQHVGTIHIGSESMEEIDLACQDAVNGVPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPSDGSWGDSAYRESFAQKCFSLIEEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGW >Potri.007G025300.1.v4.1 pep chromosome:Pop_tri_v4:7:1931544:1937330:1 gene:Potri.007G025300.v4.1 transcript:Potri.007G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025300.v4.1 MWRRSFSTATNASTALKEKKWDALVIGAGHNGLTAAAYLAGSGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSLIKELELGRHGLKLLKRSPSSFTPCLDGSYLLLGPDRELNHSEISKFSVNDANAYHRYEKQLESFCKLMDPLLDSPPPETAQNGASFNDRLKDKLRKSAFWASFMRQALSLGQKDLVDFMDLLLSPASKVLNKWFETDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSSAIANAARESGAHIVTSAEVSQLMIKDSGTVNGVLLADGTEVLSPIVLSNATPYKTFLELVPNNTLPDDFTRALKYSDYSSATTKINLAVDKLPQFQCCKLNHPDAGPQHVGTIHIGSESMEEIDLACQDAVNGVPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPSDGSWGDSAYRESFAQKCFSLIEEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSSYRTPLQGLYLCGSGTHPGGGVMGAPGRNAAHVVLQDVEKR >Potri.007G025300.3.v4.1 pep chromosome:Pop_tri_v4:7:1931759:1937248:1 gene:Potri.007G025300.v4.1 transcript:Potri.007G025300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025300.v4.1 MLVDFGRDFMDLLLSPASKVLNKWFETDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSSAIANAARESGAHIVTSAEVSQLMIKDSGTVNGVLLADGTEVLSPIVLSNATPYKTFLELVPNNTLPDDFTRALKYSDYSSATTKINLAVDKLPQFQCCKLNHPDAGPQHVGTIHIGSESMEEIDLACQDAVNGVPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPSDGSWGDSAYRESFAQKCFSLIEEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSSYRTPLQGLYLCGSGTHPGGGVMGAPGRNAAHVVLQDVEKR >Potri.007G025300.7.v4.1 pep chromosome:Pop_tri_v4:7:1931759:1937275:1 gene:Potri.007G025300.v4.1 transcript:Potri.007G025300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025300.v4.1 MWRRSFSTATNASTALKEKKWDALVIGAGHNGLTAAAYLAGSGLSVAVLERRHVIGGAAVTEELIPGFKFSRCSYLQSLLRPSLIKELELGRHGLKLLKRSPSSFTPCLDGSYLLLGPDRELNHSEISKFSVNDANAYHRYEKQLESFCKLMDPLLDSPPPETAQNGASFNDRLKDKLRKSAFWASFMRQALSLGQKDLVDFMDLLLSPASKVLNKWFETDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSSAIANAARESGAHIVTSAEVSQLMIKDSGTVNGVLLADGTEVLSPIVLSNATPYKTFLVKF >Potri.007G025300.6.v4.1 pep chromosome:Pop_tri_v4:7:1931544:1937330:1 gene:Potri.007G025300.v4.1 transcript:Potri.007G025300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025300.v4.1 MSGCHSFYSNGNKVIATNFDFLNMILFIICIGFESLLCFYDFWLFNLLLLNLQTDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSSAIANAARESGAHIVTSAEVSQLMIKDSGTVNGVLLADGTEVLSPIVLSNATPYKTFLELVPNNTLPDDFTRALKYSDYSSATTKINLAVDKLPQFQCCKLNHPDAGPQHVGTIHIGSESMEEIDLACQDAVNGVPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPSDGSWGDSAYRESFAQKCFSLIEEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSSYRTPLQGLYLCGSGTHPGGGVMGAPGRNAAHVVLQDVEKR >Potri.007G025300.2.v4.1 pep chromosome:Pop_tri_v4:7:1931721:1937282:1 gene:Potri.007G025300.v4.1 transcript:Potri.007G025300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025300.v4.1 MDPLLDSPPPETAQNGASFNDRLKDKLRKSAFWASFMRQALSLGQKDLVDFMDLLLSPASKVLNKWFETDVLKATLATDAVIGSTASVHTPGSGYVLLHHVMGETDGERGIWSYVEGGMGSVSSAIANAARESGAHIVTSAEVSQLMIKDSGTVNGVLLADGTEVLSPIVLSNATPYKTFLELVPNNTLPDDFTRALKYSDYSSATTKINLAVDKLPQFQCCKLNHPDAGPQHVGTIHIGSESMEEIDLACQDAVNGVPSRRPVIEMTIPSVLDKTISPPGKHVINLFVQYTPYKPSDGSWGDSAYRESFAQKCFSLIEEYAPGFSSSIIGYDMLTPPDLEREIGLTGGNIFHGAMGLDSLFLMRPVKGWSSYRTPLQGLYLCGSGTHPGGGVMGAPGRNAAHVVLQDVEKR >Potri.017G000700.1.v4.1 pep chromosome:Pop_tri_v4:17:35611:38667:-1 gene:Potri.017G000700.v4.1 transcript:Potri.017G000700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000700.v4.1 MEEPKTSVKLKKALQDDQRQQLIPGLPDEIAMECLVRVPYQFHSNMKSVCHTWQRLISHPSFYQQRLQSGTAEHLVCLVQPLPPINHSTTSTTTDDDDDDDDPLISSSSNNKILKNEDKQEEQQHIHSPPQYALSTYNATHNIWQRTRPPEGSRIPMFCQCLALPSSGKLLLLGGWDPTTLEPVPHVYILDLIETTGAACKWRRGASMSVPRSFFACGVVGPSTVCVAGGHDSQKNALRSAEVYDVETDQWEMLPDMIEERDECQGLSWEGDSKFWVVSGYGTESQGQFRSDVEFYDRHTGCWSKIDGVWPFSTTSPRVVTTTVCVSRDKYQWSWFLGGEQQSQQQQSREVVKVSDNIRLEIVSSIPLPNCITGTTPCVTALDYVGQEGGNHRLFVMSGGGGRGSSTLACGECEGEGAFLSDGYSNNGTIKWRHIHTPVGFSGFPYSASSLVI >Potri.014G050000.3.v4.1 pep chromosome:Pop_tri_v4:14:3232597:3233862:1 gene:Potri.014G050000.v4.1 transcript:Potri.014G050000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050000.v4.1 MHQISQQEEEEEAQEGQRSFLIRMDHGERDVPNYELHVSFSTPQAIHEMGFVQFEENQVLSFLAPSQSSQISQPLNANTTTTNNTHMGFSHNDQQVGALDPKASSDENCTGNANNDGNNSWWRSSSADKNKLKVRRKLREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPR >Potri.014G050000.1.v4.1 pep chromosome:Pop_tri_v4:14:3232477:3236360:1 gene:Potri.014G050000.v4.1 transcript:Potri.014G050000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050000.v4.1 MHQISQQEEEEEAQEGQRSFLIRMDHGERDVPNYELHVSFSTPQAIHEMGFVQFEENQVLSFLAPSQSSQISQPLNANTTTTNNTHMGFSHNDQQVGALDPKASSDENCTGNANNDGNNSWWRSSSADKNKLKVRRKLREPRFCFQTRSEVDVLDDGYKWRKYGQKVVKNSLHPRSYYRCTHNNCRVKKRVERLSEDCRMVITTYEGRHNHSPCDDSNSSEHECFSSF >Potri.017G123300.5.v4.1 pep chromosome:Pop_tri_v4:17:12797897:12804237:1 gene:Potri.017G123300.v4.1 transcript:Potri.017G123300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123300.v4.1 MNSSLMLRRFFCFNSTRTAVTAASSTSSKKKPLVFLGSPQVAATVLDALFNASKAPNSLFEVAAIVTQPPARRDRGKKLMPSPVAEFALDTGFPSDLIFTPERAGEDTFLSTLRALQPELCITAAYGNILPTKFLNIPPMGTVNIHPSLLPLYRGAAPVQRALQDGAKETGVSLAFTVRALDAGPVIAYETLEVDDQIKAPDLLALLFLEGSKLLIHELPSILHGSARLKAQPQDDSKATLAPKISVEESWLSFDQEASVLHNKVRAFAGWPGTRAKVAIVDDENDRRNIVELKIITTRVCDRSIVQGDEADDITYVKDSLVFPCGRSTALEVLELQLPGKKVFRAAAFWNGLRGQKLKKL >Potri.017G123300.6.v4.1 pep chromosome:Pop_tri_v4:17:12797889:12804252:1 gene:Potri.017G123300.v4.1 transcript:Potri.017G123300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123300.v4.1 MNSSLMLRRFFCFNSTRTAVTAASSTSSKKKPLVFLGSPQVAATVLDALFNASKAPNSLFEVAAIVTQPPARRDRGKKLMPSPVAEFALDTGFPSDLIFTPERAGEDTFLSTLRALQPELCITAAYGNILPTKFLNIPPMGTVNIHPSLLPLYRGAAPVQRALQDGAKETGVSLAFTVRALDAGPVIAYETLEVDDQIKAPDLLALLFLEGSKLLIHELPSILHGSARLKAQPQDDSKATLAPKISVEESWLSFDQEASVLHNKGGQGHGLK >Potri.005G098400.1.v4.1 pep chromosome:Pop_tri_v4:5:7061168:7062835:-1 gene:Potri.005G098400.v4.1 transcript:Potri.005G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098400.v4.1 MFKMESTSSRTEVMKPLFLKAGIPLVLSVAGFVYARIVLRRSTIAKPFPLQTTVSPVLDTIDSNDDFIDEASIHSLQSTSSPIKDDDQEHMITSSQVCSSTVASHIHFEEEILGLKNEIEELQKREHSLAMQFLRYRVMKEQDSVLEELKNMLLLETASVKFLDREISLIEAQTQGFENFMVECRRVLEQIEFAKKENRLLERKVKKLSRRTREQSRVIGEKNARINGLEAEIMRFCDAQEMRTDVIKKLDDEVREFEAVVNRLQEEKNDLLVKLDAAESQASLISKIEAEGIGMEAYNRLVNELEQLHKDRAAETTELIYLRWSNACLRHELMRSHGHQQQLQLQIEDKKNYLELELVGREIADCDLEQQQQHEKPCLGVASSSKTYSKRKRLLKKLKKWVEGGDEGMQSNMDEKGKHEINCFGRHSVSEGAEEDHLIYSRRSCSSA >Potri.016G117800.1.v4.1 pep chromosome:Pop_tri_v4:16:12329217:12337946:-1 gene:Potri.016G117800.v4.1 transcript:Potri.016G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117800.v4.1 MLSAKRLIQKAVLLHHHQNNEERSSLTAADFDLQVVIHYGIPSTASLLAFDPIQRLLAIATLDGRIKVIGGDGIEALFTSPKQLPYKNIEFLQNQGFLISISIENDIQVWNLESRCLACSLQWELNITAFSVISRSCFMYIGDEHGSMSVLKYDSEDAKLLWLPYRITADSLKEAAGFPSPDHQPIVGVLPQPHSSGNRVLIAYQNGLIVLWDVSEGQILFVGGGKDLQLKDDSKNEVDPNIPKDTSHHHLEEKEITALSWASSKGSILAVGYLDGDILFWKTSTTSSTRGQKNESTNSNIVKLQLSSAEKRLPIIVLHWSTSDRPSNDGDGRLFIYGGDEIGSEEVLTVLTLEWSSRMETVTCVGRMDITLAGSFADMILLPSSGPTEGNPKAAVSVLANPGQLHLFDDASLSALPSRQKHKASVLTMGFPMVVPTVDPPITVAKFITLPSGGNSSKMFSEIASATKRGSTPFQGGSANWPLTGGVPSHLSFTEHTGVERVYIAGYLDGSVRLWDATYPALSLICIVEGEVENIEVAGFSDPVTNLDFCSLTLSLAVGNKCGLVRIYNLDGSSDETTFHFLIDTKHEVHTMPQGKGPPLRAVFSLLNSPILALQFANYGAKLAVGLECGRVVVLDMSSLAVLFSTESVSSSCSPVISVNWVECINTCSLVKSPKHSDSNMPINPTEQVMFFLTKDATLYMIDGGTGSMISSHPWHPKKKSVAISMYVIDGSPSVPGLTDGKQLESDQNFIAKNESEHTTTSTGISSHNNEHHSSVNTLTREKLLDSFILLCCEDSLHLYSTKNVIQGNNKTICKVKHAKPCCWASTFRKQGNICGVVLLFQSGVIEIRSFSGLELVKETSLMSVLRWNFKANMEKMMSCDNGQITLAHGCELAFISLFSGENCFRIPESLPCLHDKVLAAAADAAFNFSSNQKKKQGTKPGILGGIVKGFKGGKVDHSVEITLNPKSDFSHLEGAFSKQPFSDSYRTAVDTEEVVELNIDDIEIDEPSLPTATTSSQDVKHMKREKWSEREQLLGATDDMKPKLRTPEEIMAKYRKAGDAASVAAHARKKLVERQEKLERISRRTEELQSGAEDFSSMANELVKLMEKRKWWQI >Potri.016G117800.2.v4.1 pep chromosome:Pop_tri_v4:16:12329406:12336282:-1 gene:Potri.016G117800.v4.1 transcript:Potri.016G117800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117800.v4.1 METVTCVGRMDITLAGSFADMILLPSSGPTEGNPKAAVSVLANPGQLHLFDDASLSALPSRQKHKASVLTMGFPMVVPTVDPPITVAKFITLPSGGNSSKMFSEIASATKRGSTPFQGGSANWPLTGGVPSHLSFTEHTGVERVYIAGYLDGSVRLWDATYPALSLICIVEGEVENIEVAGFSDPVTNLDFCSLTLSLAVGNKCGLVRIYNLDGSSDETTFHFLIDTKHEVHTMPQGKGPPLRAVFSLLNSPILALQFANYGAKLAVGLECGRVVVLDMSSLAVLFSTESVSSSCSPVISVNWVECINTCSLVKSPKHSDSNMPINPTEQVMFFLTKDATLYMIDGGTGSMISSHPWHPKKKSVAISMYVIDGSPSVPGLTDGKQLESDQNFIAKNESEHTTTSTGISSHNNEHHSSVNTLTREKLLDSFILLCCEDSLHLYSTKNVIQGNNKTICKVKHAKPCCWASTFRKQGNICGVVLLFQSGVIEIRSFSGLELVKETSLMSVLRWNFKANMEKMMSCDNGQITLAHGCELAFISLFSGENCFRIPESLPCLHDKVLAAAADAAFNFSSNQKKKQGTKPGILGGIVKGFKGGKVDHSVEITLNPKSDFSHLEGAFSKQPFSDSYRTAVDTEEVVELNIDDIEIDEPSLPTATTSSQDVKHMKREKWSEREQLLGATDDMKPKLRTPEEIMAKYRKAGDAASVAAHARKKLVERQEKLERISRRTEELQSGAEDFSSMANELVKLMEKRKWWQI >Potri.012G097800.3.v4.1 pep chromosome:Pop_tri_v4:12:12161426:12165319:-1 gene:Potri.012G097800.v4.1 transcript:Potri.012G097800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097800.v4.1 MNSRELALVSTATVFGALASAFAVRFYSSNSNSRKQFSKIDSVPNCDVSKKCSSQSPFDPSKRKEYLSWDDYFMAIALLSAERSKDPNRQVGACLVSKNGIILGIGYNGFPRGCSDDDLPWAKKSKSGDPLETKYPYVCHAEVNAILNTNHASAVGQSGVSEVIYFIEKNNSDMAYIASHKLLSMAGIKFRKHQPQTDQISIKFQES >Potri.005G194500.1.v4.1 pep chromosome:Pop_tri_v4:5:20164182:20166881:1 gene:Potri.005G194500.v4.1 transcript:Potri.005G194500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194500.v4.1 MSHRKFEHPRHGSLGFLPRKRAARHRGKVKSFPKDDPTKPCKLTSFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIVETPPMVIVGVVGYLKTPSGLRTLNTVWAQHLSEEVKRRFYKNWCKSKKKAFAKYSKQYETDEGKKSIQSQLEKLKKYATVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGTIAQKVDFAYGFFEKQVPIDAVFQKDEMIDVIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKVGKVGQETHTAITEYDRTEKDITPLGGFPHYGVVKDDYLMIKGCCVGPKKRVVTLRQSLLKQTSRLALEEIKLKFVDTSSKFGHGRFQTTQEKQKFYGRLKA >Potri.011G146000.1.v4.1 pep chromosome:Pop_tri_v4:11:17452590:17454656:-1 gene:Potri.011G146000.v4.1 transcript:Potri.011G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146000.v4.1 MGQEEKQVFSSPLPVLPRLDRLDRLLQFLEEKHSLSGRHTAKSVVRTVEAEDQFKNLSSALEEVQHKGNLMDRLEMLENRVLQLSLEMDIENTSRSSSSTFQGPEKMGRDEASTITTKEDEQMITTHKEKQDSLTDQEITCTAEACVRISKSSQKDKRHKKKRRAWLGWLAMGC >Potri.011G146000.4.v4.1 pep chromosome:Pop_tri_v4:11:17452590:17454656:-1 gene:Potri.011G146000.v4.1 transcript:Potri.011G146000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146000.v4.1 MGQEEKQLQFLEEKHSLSGRHTAKSVVRTVEAEDQFKNLSSALEEVQHKGNLMDRLEMLENRVLQLSLEMDIENTSRSSSSTFQGPEKMGRDEASTITTKEDEQMITTHKEKQDSLTDQEITCTAEACVRISKSSQKDKRHKKKRRAWLGWLAMGC >Potri.005G224900.4.v4.1 pep chromosome:Pop_tri_v4:5:22557688:22570037:-1 gene:Potri.005G224900.v4.1 transcript:Potri.005G224900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224900.v4.1 MNAELDAEKRNQEDLMQELEKFGHEADKKKKEQEKYQKEITQCERKIKERSLKLDKHQPELLKLNEEMSRINSKIKSSRKELERKMVERRKHADEIKELESGIQDLSSKMDGLREKSRDVGGKLPLADGQLQEYFQIKEDAGMKTVRLRDEKEVLDRQQHADMEAQKNLEENLQQLENRAHELDSQDKQMRERMKKILDASTKHKNEVIDLKKELREMQDKHRDSRHKYENLKSKIGEIENQLRESRADRHENERDAKLFQAVETLKRLFQGVHGRMIDLCRPTQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKDQRLPPQTFIPLQSVRVKPVIERLRTLGGTAKLVFDVIQFDPVLEKAILFAVGNTLVCDELDEAKVLSWTGERFRVVTVDGILLTKSGTMTGGTSGGMEAKSKQWDDKKIEGLKRKKEQLESELEELGSIREMHLKESEASGKMSGLEKKIQYAEIEKKSIEDKLANMKKEKRVIKEEIDRINPELRKLKETVEKRATEIRKLEKRINDIVDRIYRKFSEDVGVENIREYEENHVKAAQHMAEERLSLSNQLAKLKYQLEYEQKRDMESRIRKLESSLAALENDLKQVQKKEAQIKLASDKATDEINKWKEEMKEWKSKSEECANEIREWTKKGSAVTSNLSKLTRLINSKETQIAQLSSWKQDIVEKCELENINLPTVSDPMDIDSPIPGPDYDFSQLNRSLQDRRPSVREKIEADFKQKIDALISEIEKTAPNLKALDQYEALRERERVVTEEFEAARKEEKQIADSYNGVKQRRYELFMGAFNHISNSIDKIYKQLTKSSNHPLGGMAYLSLENEDDPFLHGIKYTAMPPQKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRARSCEGTRGIVDADGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLSVYRPS >Potri.005G224900.2.v4.1 pep chromosome:Pop_tri_v4:5:22557697:22571649:-1 gene:Potri.005G224900.v4.1 transcript:Potri.005G224900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224900.v4.1 MPSMSSPGKILKLEMENFKSYKGLQTIGPFKDFTAIIGPNGAGKSNLMDAISFVLGVRTGHLRGAQLKDLIYAYDDREKEQKGRRAFVRLVYLLPDGSELQFTRAITSSGGSEYRIDGRVVNWDEYNARLKELGILVKARNFLVFQGDVESIASKNPKELTALFEQISGSEDLKREYEDLEEKKARAEEKSALVYQKKRTVVMERKQKKEQKEEAEKHLRLQDQLKSLKKEHFLWQLYTIHNDSIKMNAELDAEKRNQEDLMQELEKFGHEADKKKKEQEKYQKEITQCERKIKERSLKLDKHQPELLKLNEEMSRINSKIKSSRKELERKMVERRKHADEIKELESGIQDLSSKMDGLREKSRDVGGKLPLADGQLQEYFQIKEDAGMKTVRLRDEKEVLDRQQHADMEAQKNLEENLQQLENRAHELDSQDKQMRERMKKILDASTKHKNEVIDLKKELREMQDKHRDSRHKYENLKSKIGEIENQLRESRADRHENERDAKLFQAVETLKRLFQGVHGRMIDLCRPTQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKDQRLPPQTFIPLQSVRVKPVIERLRTLGGTAKLVFDVIQFDPVLEKAILFAVGNTLVCDELDEAKVLSWTGERFRVVTVDGILLTKSGTMTGGTSGGMEAKSKQWDDKKIEGLKRKKEQLESELEELGSIREMHLKESEASGKMSGLEKKIQYAEIEKKSIEDKLANMKKEKRVIKEEIDRINPELRKLKETVEKRATEIRKLEKRINDIVDRIYRKFSEDVGVENIREYEENHVKAAQHMAEERLSLSNQLAKLKYQLEYEQKRDMESRIRKLESSLAALENDLKQVQKKEAQIKLASDKATDEINKWKEEMKEWKSKSEECANEIREWTKKGSAVTSNLSKLTRLINSKETQIAQLSSWKQDIVEKCELENINLPTVSDPMDIDSPIPGPDYDFSQLNRSLQDRRPSVREKIEADFKQKIDALISEIEKTAPNLKALDQYEALRERERVVTEEFEAARKEEKQIADSYNGVKQRRYELFMGAFNHISNSIDKIYKQLTKSSNHPLGGMAYLSLENEDDPFLHGIKYTAMPPQKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRARSCEGTRGIVDADGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLSVYRPS >Potri.005G224900.3.v4.1 pep chromosome:Pop_tri_v4:5:22557709:22570494:-1 gene:Potri.005G224900.v4.1 transcript:Potri.005G224900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224900.v4.1 MERKQKKEQKEEAEKHLRLQDQLKSLKKEHFLWQLYTIHNDSIKMNAELDAEKRNQEDLMQELEKFGHEADKKKKEQEKYQKEITQCERKIKERSLKLDKHQPELLKLNEEMSRINSKIKSSRKELERKMVERRKHADEIKELESGIQDLSSKMDGLREKSRDVGGKLPLADGQLQEYFQIKEDAGMKTVRLRDEKEVLDRQQHADMEAQKNLEENLQQLENRAHELDSQDKQMRERMKKILDASTKHKNEVIDLKKELREMQDKHRDSRHKYENLKSKIGEIENQLRESRADRHENERDAKLFQAVETLKRLFQGVHGRMIDLCRPTQKKYNLAVTVAMGKFMDAVVVEDENTGKECIKYLKDQRLPPQTFIPLQSVRVKPVIERLRTLGGTAKLVFDVIQFDPVLEKAILFAVGNTLVCDELDEAKVLSWTGERFRVVTVDGILLTKSGTMTGGTSGGMEAKSKQWDDKKIEGLKRKKEQLESELEELGSIREMHLKESEASGKMSGLEKKIQYAEIEKKSIEDKLANMKKEKRVIKEEIDRINPELRKLKETVEKRATEIRKLEKRINDIVDRIYRKFSEDVGVENIREYEENHVKAAQHMAEERLSLSNQLAKLKYQLEYEQKRDMESRIRKLESSLAALENDLKQVQKKEAQIKLASDKATDEINKWKEEMKEWKSKSEECANEIREWTKKGSAVTSNLSKLTRLINSKETQIAQLSSWKQDIVEKCELENINLPTVSDPMDIDSPIPGPDYDFSQLNRSLQDRRPSVREKIEADFKQKIDALISEIEKTAPNLKALDQYEALRERERVVTEEFEAARKEEKQIADSYNGVKQRRYELFMGAFNHISNSIDKIYKQLTKSSNHPLGGMAYLSLENEDDPFLHGIKYTAMPPQKRFRDMEQLSGGEKTVAALALLFSIHSYKPSPFFILDEVDAALDNLNVAKVAGFIRARSCEGTRGIVDADGGSGFQSIVISLKDSFYDKAEALVGVYRDSERSCSRTLTFDLSVYRPS >Potri.003G145600.3.v4.1 pep chromosome:Pop_tri_v4:3:16044263:16047660:-1 gene:Potri.003G145600.v4.1 transcript:Potri.003G145600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145600.v4.1 MVGRVLGGIATSLLFSAFESWLVAEHFKRGFDQQWLSVTFSQAIFLGNGLVAIVSGLFGNVLVDTLALGPVAPFDAAACFLAIGMAIIMSSWTENYGDPSENKDLLTQFKGAAVVIASDEKITLLGAIQSLFEGSMYTFVFLWTPALSPNGEDIPHGFIFATFMLASMLGSSIASRLMARSSLKVESYMQIVFLISAAALLLPVITSFLVVPSGEKGGGISFSGCIQLIGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAYPIVFMFGMCSVFLFVASLLQKRLRFITESQRSRSQEWTAMKETGSEAEPLNI >Potri.003G145600.1.v4.1 pep chromosome:Pop_tri_v4:3:16044255:16047738:-1 gene:Potri.003G145600.v4.1 transcript:Potri.003G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145600.v4.1 MEIFYWMVFGGLAAVVATLELSKTSRDRINTSSAFSSFKNNYLIVYSLMMAGDWLQGPYVYYLYSQYGFGKGDIGHLFIAGFGSSMLFGTIVGSLADKQGRKRASITYCITYILSCATKHSPEYKILMVGRVLGGIATSLLFSAFESWLVAEHFKRGFDQQWLSVTFSQAIFLGNGLVAIVSGLFGNVLVDTLALGPVAPFDAAACFLAIGMAIIMSSWTENYGDPSENKDLLTQFKGAAVVIASDEKITLLGAIQSLFEGSMYTFVFLWTPALSPNGEDIPHGFIFATFMLASMLGSSIASRLMARSSLKVESYMQIVFLISAAALLLPVITSFLVVPSGEKGGGISFSGCIQLIGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCIVLYNVNAYPIVFMFGMCSVFLFVASLLQKRLRFITESQRSRSQEWTAMKETGSEAEPLNI >Potri.013G043600.1.v4.1 pep chromosome:Pop_tri_v4:13:3018189:3019114:1 gene:Potri.013G043600.v4.1 transcript:Potri.013G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043600.v4.1 MGSQRRNQCLTVMIFVTIVLGSCSQQVLAARPLKGERWSEKIVGNIQSLQRGPVPPSGGSPCTHIPGRGSGKCSLGEMNFAGHTVALAPPAFPDAIMNFGAAASTTSGTHKQDSSS >Potri.012G026000.1.v4.1 pep chromosome:Pop_tri_v4:12:2507570:2510509:-1 gene:Potri.012G026000.v4.1 transcript:Potri.012G026000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G026000.v4.1 MGFSPPSLSFILFLFHFLSTISSSHFCAPDQSLSLLQFKESFSISSSASELCHHPKTESWKEGTDCCLWDGVTCDLETGHVTGLDLSCSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNSSHISSRFGQFSNLTLLNLNYLDFAGQVPSEISHLSKLVSLDLSRNYDLSLQPICFDKLVQNLTKLRQLDLGSVNMSLVEPNSLTNLSSSLSSLSLGDCGLQGKFPGNIFLLPNLESLDLIFNDGLTGSFPSSNLSNVLSRLDLSNTRISVYLENDLISNLKLLEYMSLSESNIIRSDLALLGNLTRLTYLDLSGNNFGGEIPSSLGNLVQLRSLYLYSNKFVGQVPDSWGSLIHLLDLDLSDNPLVGPVHSQINTLSNLKSLALSDNLFNVTIPSFLYALPSLYYLDLHNNNLIGNISEFQHNSLTYLDLSNNHLHGTIPSSIFKQENLEALILASNSKLTGEISSSICKLRFLQVLDLSNNSLSGSTPPCLGNFSNILSVLHLGMNNLQGAIPSTFSKDNSLEYLNLNGNELQGKISSSIINCTMLEVLDLGNNKIEDTFPYFLETLPHLQILILKSNKLQGFVKGRTTYNSFSELQIFDISDNDFRGPLPTGFLNCLEAMMASDQNMIYMNATNYSRYVYSIEMTWKGVEIEFPKIQSTIRVLDLSNNNFTEEIPKVIGKLKALQQLNLSHNSLAGYIQSSLGILTNLESLDLSSNLLTGRIPMQLGVLTFLAILNLSHNQLEGPIPSGKQFNTFNASSFEGNLGLCGFQVLKECYGDEAPSLPPSSFDEGDDSTLVGDGFGWKAVTIGYGCGFVFGVASGYVVFRTKKPSWFFRMVEDKWNLKSKKTKKNVGRYGARGN >Potri.015G143300.1.v4.1 pep chromosome:Pop_tri_v4:15:14874704:14878016:1 gene:Potri.015G143300.v4.1 transcript:Potri.015G143300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143300.v4.1 MVGAGSAVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENEIEGLKRKLTSKLGANSPALVPDWQIGECVATWWRPNFETIMYPYCPPHITKPKECKKLYLVHLSEREYFAVPKNLKLLAVPLFELYDNVQRYGPVISTIPQQLSRFQFNMITT >Potri.005G045300.1.v4.1 pep chromosome:Pop_tri_v4:5:2877179:2877806:-1 gene:Potri.005G045300.v4.1 transcript:Potri.005G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045300.v4.1 MPPPGWGPPPGGPPGGPGACGCFGFLCDGICRVVNSCCYVLCCCCIFERCCGRGGPGGPGGPGGPGRF >Potri.002G256802.1.v4.1 pep chromosome:Pop_tri_v4:2:24549329:24551129:-1 gene:Potri.002G256802.v4.1 transcript:Potri.002G256802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256802.v4.1 MPNLEQIHALIKHYGHGPSVFFHPDEVYLPSSVSRFFKNGALLYRAGDLSGEPIDAGGTNFPGGGENDGHFGETCLPMTEDILSNRATWNVLNFTSMRSLALVGTFTDLAMWVFYPFNGPIEYCSRQNWAACRRWGAFHSRVCNFTGQLWNSGGESVEAYDLEYIAGNRATVYSSKSGHASFPHSGCYIQGSPKLRTGIRNDAARSSLYVDSSIHYENIAAEYLQELS >Potri.013G047900.2.v4.1 pep chromosome:Pop_tri_v4:13:3412658:3414388:-1 gene:Potri.013G047900.v4.1 transcript:Potri.013G047900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047900.v4.1 MKTVDMFTQLGSVIASVMFFWAMFKQYCPYQLQNYFEKHSKSVFTFVYPFIQITFNEFTGDRFMRSEAYSAIENYLGSSSSMQAKRLKADVVKNSTQSLVLSMDDFEEVTDEFQGVKLRWASGKHISKTQPFSFYPVTDERMYYTLTFHKRHRNLILGTYLSHVLKEGDAIKVKNRQRKLYTNSGSCWRHVVFEHPASFESIAMEADKKKEIMDDLITFSQAEEFYARIGRAWKRGYLLYGPPGTGKSTMIAAMANLLNYDIYDLELTSVKDNTELRKLLIETSSRSIIVIEDIDCSLDLTGQRKKKKEEQGRGDEKDPKLKLPKEETGGKQSQVTLSGLLNFIDGLWSACKGERLVVFTTNFFEKLDPALIRKGRMDKHIELSYCSFEAFKVLAKNYLRLETHHLYSKIQELLGETKMTPAEVAEHLMPKTLPGDSKVCLEGLIAGLEKAKEDARLKAEEEAREKGSSPEENAKEQGIENGLCDNGNTELNCKEKE >Potri.006G211000.1.v4.1 pep chromosome:Pop_tri_v4:6:21815228:21816774:-1 gene:Potri.006G211000.v4.1 transcript:Potri.006G211000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211000.v4.1 MLGKKIVSFKKLAKKVKDISRNECKQSQHECLLRDHNFDDGVTTPTGFFAIYVGEDRERFVVPTSCLSHPLFKMLLEKSYNVFGFDQRNRLVVPCNVSTFQEVLNAVECCNGRFDFGNLVEEFL >Potri.003G212500.1.v4.1 pep chromosome:Pop_tri_v4:3:20973779:20980438:-1 gene:Potri.003G212500.v4.1 transcript:Potri.003G212500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212500.v4.1 MAKQQPLQQPSTPWGTLEELLLACAVNRHGTDRWDSIAMEVSNRTSTLSSLTSQNCIDKFDDLKRRFGFPTELQNDTASLLVDELRKLRVDELRREVHQRDVSIVSLEMKVKRLEEDREKSLKEKPPDLPKPSPETVAGKSATGEECGDGDERSFNESNSTSQQPQKAEAEAKKERDEDTEVKPEPDSIKDDPDPARLGSDPEAEREWSYNGKLEDEDDKKPKKEMKIESVSRVGVLGPDSNELGESVGESKREEKEKDIKQINNSNNNNNNNNSDVQSSVSLSLKKKKRRRGSGEGSSSGEEEREGGDDEVSPATKTLPAVKSEPWLKLLEIIRSHQLGSIFEKRLRSQESERYKKLIRQHMDLQMIQSRLDKGVYSKCFKKLFKDLLILLNNAIVFFRKNSPENLAANELRAVVLKEMKEKLQKPKPKPVAVKPATEQYSASFSKPNKSTSTMVACSKHSSIKAISEGAGKKDDKKDAEIEEKPKANEKKLEVSIVRIEEKGLKKKTTKERSVSGRRNSRASNMNGEIKHQYGGNELSSHDALEITVDRKESTGRKKLGAASFLKRMKQNSPGQVTENDDDDSSSSEDESKDSKTVDKKRRRREADRITKRVTRSSKGRGLGEDSRNIKRGRPPKKQMDSGGGTGKRGREDDDSEVGVGGAGRAKKRSRR >Potri.002G140400.1.v4.1 pep chromosome:Pop_tri_v4:2:10548995:10551009:1 gene:Potri.002G140400.v4.1 transcript:Potri.002G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140400.v4.1 MAEQTEKAFLKQPRVFLSSKKSGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGTVSVRGRILAGTCHSAKMNRTIIVRRNYLHWVKKYQRYEKRHSNIPAHISPCFRIREGDHVTIGQCRPLSKTVRFNVLKVIPAGSSGGAKKAFTAM >Potri.002G140400.2.v4.1 pep chromosome:Pop_tri_v4:2:10550282:10551088:1 gene:Potri.002G140400.v4.1 transcript:Potri.002G140400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140400.v4.1 MNRTIIVRRNYLHWVKKYQRYEKRHSNIPAHISPCFRIREGDHVTIGQCRPLSKTVRFNVLKVIPAGSSGGAKKAFTAM >Potri.008G099700.1.v4.1 pep chromosome:Pop_tri_v4:8:6222966:6225002:-1 gene:Potri.008G099700.v4.1 transcript:Potri.008G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099700.v4.1 MASEDNSNLEVLKTSMENLNVQQQPSSSSRNDAASGNGVEVTCFTEVVDDVTLHLQIIHLGKQIYAWIGCNSGKLGHLYAAASTRPNNTASVTCVLGGNSDNSGTGIARRLVLKTGLNIMLASNIPKNSPLLEANAEKKLVEKLIHLGYATPKSKGTSS >Potri.012G078400.1.v4.1 pep chromosome:Pop_tri_v4:12:10235270:10236608:-1 gene:Potri.012G078400.v4.1 transcript:Potri.012G078400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078400.v4.1 MASCRFTVFALVVLSTYSLAQFGASRKLKSSPFESALDILQNQIGYTFKNIGYLRRAMTHSSFSQENNKALSILGSNVIDTSVSMYYLGKDIEISSKDLNRWISENAKVDTSCAVDGMRLGLHRVVRVSPKTNSTAPTVVCSAFRAIFGAIAIDTRKVDDAGSVFWNVHCSEVGRVMDM >Potri.014G070300.1.v4.1 pep chromosome:Pop_tri_v4:14:4459188:4462222:-1 gene:Potri.014G070300.v4.1 transcript:Potri.014G070300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070300.v4.1 MMSAGANNGSGPCGACKFLRKKCVKGCIFAPYFDPDQGTAHFAAVHKVFGASNASKLLLRIPAHKRLDAVVTLCYEALARVRDPVYGCVGHIFTLQQQVLNLQAELAFIQAHLSTLQRLPQPQPPSQCAQSPSQTTLDSSSEVPSFTKLASSSNISTHFDQLQVQQTSTEMTGFYNPSE >Potri.001G012800.1.v4.1 pep chromosome:Pop_tri_v4:1:888665:894134:1 gene:Potri.001G012800.v4.1 transcript:Potri.001G012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012800.v4.1 MEVEYPVRDLEHVASDEEDVDEEEKRSRRKSKKSGKVVAREHKDQLQRLKEKDPDFFKYLEEHDKELLEFDDEDFEEDGDTDVEDADMLVDEEIRDRDIAKKNQKPSDNVITTALVESWCNSVRENGKISAVRSLLKAFRIACHYGDDGGGDASAKYTIMSSSVFNKVMLFVLSEMDGILRNVLGLPAYGGKKETVNDLLHTKKWMNYHHLAKSYLGNALYVLNQMTDTQMISFTLRRLKFSSVLLVAFPALLRKYIKVALHFWSTGEGVLPLVAFFFLRDICIRIGSDCLDDCFKGIYKAYVLNCHFVNAVKLQYIQFRANCVIELLGVDLPTAYQHAFVFIRQLGMILRDAITMKTKDSFRKVYEWKFMNCLELWTGAICTYSSEADLRPLAYPLTQIISGVARLVPTARYIPLRLRCVRMLNRIAASTGTFIPVSMLLLDMLEMKELDRPPTGGVGKAIDLRAELKVNKSTLKTRAFQEACVFSVVEELAEHLAQWSYSVAFFELSFIPAARLRSFCKTTKVERFRKQMRELIRWIEANSKFTNEKRMSVTFLPNDPAAASFLEDEKKSGASPLSQYVATLREVARQRSDSLTESSVLVGEHSSVFRNKIPESDEDDDDDDAANEKGAVVFSSSWLPGGTPEAKPSKKEKKKKKRKAEHQEELASDEDVVEDLILSSDEDESLDDSSSDEDESPKPLPSKPQSNKQKRPTDLSKKKSLLKKSKTKSSASDSASKGNVDSAKPTPSKQHRKKQNAPANLSKMDLPSHAKKSKKRKISN >Potri.012G085700.7.v4.1 pep chromosome:Pop_tri_v4:12:11132593:11137560:1 gene:Potri.012G085700.v4.1 transcript:Potri.012G085700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085700.v4.1 MDSTMVIKVKYGDTLRRFNAHVKENEQLDLDMTALRAKILRLFNFPADCDLALTYIDEDGDVVTLADDDDLRDVMRQNLKFLRIDVQLNNDKFGKSYARSSGSSTPMRSPRVQSPLPCLNNGVAEVLKSVPEPLRGILSKISHDLASKAVASNAVRTELVDCFSKMGQSHLNPAPQSEDGAGSSVQTGAAVPTVVNASKDMGMLEDLPKSNSPFKTSQEESFENVTRTSAGPHTAVSAPVNLNRNPQASNPSAHCAPLASFVPAGDDGKEAKKQNTCRPTRKPVPFGLPTFPMNYGFPSHTDFPFSGVAVENDSAVRSPKSHAIKRSDYVNNPMFGMFHRGVQCDGCGVHPITGPRYKSKVKEDYDLCSICFAAMGNEADYIKMDRPMSCRNPWSSKCFNDPKSLAFPQPLYKGSCGVKGAQPKLDSRFVLDVNVSDGTVMPSSTPFTKIWRMRNSGSVVWPQGVRLVWIGGDQFFSADSVEIEIPVNGVPIDGELDIAADFVAPALPGRYISYWKMAHPSGVKFGQRIWVLIEVDASLKDPFFKDLNLNESPNWSGSKCPEDLDMNAQPADGCFLGPQNTTSLSEPVEPMVAEQPKSQELFPIDDALPVGHGVLASAPPEASASSVPVLYPMIDISEPVPVLYPMIDISETATTGPFEPLPAVDAPASSEGVNMESVVEKTLLKDLEEMGFKQVDLNKEILRRNEYDLEQSVDDLCGFAEWDPILEELQEMGFSDKEMNKKLLKKNNGSIRGVVMDILTGEKA >Potri.012G085700.6.v4.1 pep chromosome:Pop_tri_v4:12:11132490:11136958:1 gene:Potri.012G085700.v4.1 transcript:Potri.012G085700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085700.v4.1 MDSTMVIKVKYGDTLRRFNAHVKENEQLDLDMTALRAKILRLFNFPADCDLALTYIDEDGDVVTLADDDDLRDVMRQNLKFLRIDVQLNNDKFGKSYARSSGSSTPMRSPRVQSPLPCLNNGVAEVLKSVPEPLRGILSKISHDLASKAVASNAVRTELVDCFSKMGQSHLNPAPQSEDGAGSSVQTGAAVPTVVNASKDMGMLEDLPKSNSPFKTSQEESFENVTRTSAGPHTAVSAPVNLNRNPQASNPSAHCAPLASFVPAGDDGKEAKKQNTCRPTRKPVPFGLPTFPMNYGFPSHTDFPFSGVAVENDSAVRSPKSHAIKRSDYVNNPMFGMFHRGVQCDGCGVHPITGPRYKSKVKEDYDLCSICFAAMGNEADYIKMDRPMSCRNPWSSKCFNDPKSLAFPQPLYKGSCGVKGAQPKLDSRFVLDVNVSDGTVMPSSTPFTKIWRMRNSGSVVWPQGVRLVWIGGDQFFSADSVEIEIPVNGVPIDGELDIAADFVAPALPGRYISYWKMAHPSGVKFGQRIWVLIEVDASLKDPFFKDLNLNESPNWSGSKCPEDLDMNAQPADGCFLGPQNTTSLSEPVEPMVAEQPKSQELFPIDDALPVGHGVLASAPPEASASSVPVLYPMIDISEPVPVLYPMIDISETATTGPFEPLPAVDAPASSEGVNMESVVEKTLLKDLEEMGFKQVDLNKEILRRNEYDLEQSVDDLCGFAEWDPILEELQEMGFSDKEMNKKLLKKNNGSIRGVVMDILTGEKA >Potri.004G148300.1.v4.1 pep chromosome:Pop_tri_v4:4:17017935:17025454:-1 gene:Potri.004G148300.v4.1 transcript:Potri.004G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G148300.v4.1 MAGVLRKFLPIQSPIHVEKEDDDDKIKNLEYSFALPYSGPLLTCDVPQAVPIDFRQIPIASPAIGSGSLLTDVSLPVVQPIVKSHRKLSKKPNLVSDRTVCLETDGGMDGNGMPCEASRVDDSSSSLCANDDERGPKLSDGIQSSGRLDFFSGCGSSGELPRSLEVSELPDNGSENEGRDFYDYMNPANYESIGSESSHSNSSEIFSCQEEDHNEEAPGHVRRPSIVTFRDPESNDVVDNESDVSNTDSSVHERHIAVRPGKKGTCYRCMKGSRLTEKEVCIVCDAKYCSDCVIRAMGSMPEGRKCVTCIGKRIDESRRKTLGKCSRMLKQLLAVVEVEQIMRSERSCVANQLPPELIYVNRQRLSKQELFLLLTCPNPPKKLKPGNYWYDKVSGLWGKEGHKPCQVISPQLTVGGHIQEGASNGNTSIMINNRKITKAELIMLQWAGVKCEGATHLWVSADGAYQEEGMNNIKGKLWNKTGIKLICAVLSLPTPPNSVSPSGEGVNDVMANNLEQKTLYKLLLVGLEKSGTCTIFKQARIVYSVPFSEDERQSIKSIIQCNLYGYLGILLEERERFEEECLIDKRRKVVHRHNSLGQIDCKTIYSIGPKLKAFSDWLLQVIASGNLESMIPAAAREYAPFVEELWRDGAFQATYNRRNELELLPRVATYFLERAVEVARPDYQPSDMDILYAEGFSSSKGLSSMEFSFPKVAPDFCENIGYQHDPLLRYQLIRVHPTTLGGNCKRLEMFEDVDVVLFCVSLIDYDEFSEDKNGVLINKMIASRQLFERTATHPTFEEKKFLLILNKFDLLEEKIQQVPLTQCEWFDDFNPVIGYNPNSSSSTNPSLARRASQYIAVKFKRLFRDLTDRKLYVSLATGLEPDNVDEAFKYAREVLKWKQEELNYPNNELSSTSIEASSSS >Potri.002G183700.2.v4.1 pep chromosome:Pop_tri_v4:2:14573989:14577513:1 gene:Potri.002G183700.v4.1 transcript:Potri.002G183700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183700.v4.1 MNMAFATSLYPATGKRPIFKIINPFTCNAATRRNLTVRRNFSCSATVATDITARVSEFEIENKKNDLLRLVQDTQRGLVTTPDQRSSIEEALVSLEGYNMGESVDLVRLDGTWRLQNTSAPDVLVLFESAARFPFFQVGQIYQKFECRDQSDGGVIRNVVQWSIPTLLEEQEGATLLVSAKFNVVSARNIYLQFEEISIQNIRISEELQALIAPALLPRSFLSLQILQFIRTFKAHVPVRNPGDPGRRSVGGLYYLSYLDRNMLLGRAVGGGGVFVFTRAQPIDL >Potri.001G412077.1.v4.1 pep chromosome:Pop_tri_v4:1:44015072:44015769:1 gene:Potri.001G412077.v4.1 transcript:Potri.001G412077.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412077.v4.1 MASSQNQNSNFQDFLPLMASKLGGDGLIGELCNGFNLLMDGEKGVITFDSLKKNSALLGLQDLSDDDLRSMLKEGDFDGDEALNQMEFCVLMFRLSPELMEESQFLLEEALLQEFKDSC >Potri.006G247100.2.v4.1 pep chromosome:Pop_tri_v4:6:24712980:24717532:1 gene:Potri.006G247100.v4.1 transcript:Potri.006G247100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247100.v4.1 MLRQILSKLPKKSSKTDSAESTRLESPLTQQRSNGSTAGLGKSSSGPKRTSSVVFPASVVAGIEPLVPFKDVPNAEKMNLFVSKVSLCCVTFDFSDPSKNTLEKDVKRQTLLELVDFVASGSMRFSEPAILAMCRMCAVNLFRVFPPYYRSNLSSVGENDDNDDPMFDPAWPHLQIVYDLLLRFINSTCLDAKVAKKYIDHSFILRLLDLFDTEDPRERDCLKTILHRSYGKFMVHRPFIRKSLSNIFYRFVFETEKHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPKSVGVYFQQLSYCVLQFIEKEPRLASVVIKGILKYWPITNSQKEVMFLGELEEILEAISMVEFQKVMVPLFWRIGCCINSFHFQVLTICPALEV >Potri.006G247100.1.v4.1 pep chromosome:Pop_tri_v4:6:24712805:24717591:1 gene:Potri.006G247100.v4.1 transcript:Potri.006G247100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247100.v4.1 MLRQILSKLPKKSSKTDSAESTRLESPLTQQRSNGSTAGLGKSSSGPKRTSSVVFPASVVAGIEPLVPFKDVPNAEKMNLFVSKVSLCCVTFDFSDPSKNTLEKDVKRQTLLELVDFVASGSMRFSEPAILAMCRMCAVNLFRVFPPYYRSNLSSVGENDDNDDPMFDPAWPHLQIVYDLLLRFINSTCLDAKVAKKYIDHSFILRLLDLFDTEDPRERDCLKTILHRSYGKFMVHRPFIRKSLSNIFYRFVFETEKHNGIAELLEIFGSIISGFALPLKEEHKIFLWRVLIPLHKPKSVGVYFQQLSYCVLQFIEKEPRLASVVIKGILKYWPITNSQKEVMFLGELEEILEAISMVEFQKVMVPLFWRIGCCINSFHFQVAERALFLWNNDQIINLIAHNRLVILPIIFPALEKNAHNHWNPGVLNLTLNIRKMFSEMDDALYLACLDQLKEDEEKLSLLAEQRKEAWQRLEYAASLKPMTGNTAVLVTPLATSMTC >Potri.013G162500.1.v4.1 pep chromosome:Pop_tri_v4:13:15572275:15572388:-1 gene:Potri.013G162500.v4.1 transcript:Potri.013G162500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaI MTILNNLPSIFVPLVGLVFPAIAMASLSLHVQKNKIF >Potri.004G108840.1.v4.1 pep chromosome:Pop_tri_v4:4:9661652:9662529:1 gene:Potri.004G108840.v4.1 transcript:Potri.004G108840.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108840.v4.1 MDSSKHILGSEGCSSSESGWTMYLTSPMQEDDDDQCSYDVNDYNAHDVSKNYRSAADGDSDDSMASDASSGPHHQNTHENGHGVVHFKFSKGGLFNLLSSMAKPEKKDKKSDKNSAKKSRKLDAHRKHK >Potri.017G032100.1.v4.1 pep chromosome:Pop_tri_v4:17:2196396:2199872:1 gene:Potri.017G032100.v4.1 transcript:Potri.017G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032100.v4.1 MAMAMKRQSAVMAISSSASTLLGFHSQRSQTEKGMICCLHTSTISRSRSGSGRQKYQKGCSLTNIEDALFSFNHMIHKHPLPCIVEFDKLLSALVRIKHYGTVLSLSKRIELLRIERDVFHFNILINCFSRLQRVDFAFSVLGKIIKLGFEPDAVTFSSLINGLCFEDKFARAMEFFDEMVASGYQPNLHTYNTIIKGFCKIGKTTVAVGLLKKMDKAGGRPDIVIYNTIIDGLCKDRLVSEALDIFSEIKGKGVRPDVFTYSILMHGLCNSDQKEEASALFNEMMSLNIMPDVVTFNILVDKLCKEGMLSEAQGIIKIMIEKGVEPNYATYNSLMNGYCLQNKVFEARMVFDAMITKGCMPNVVSYNILINGYCKAQRIDEARELFDEMSFRGLIPNTFNYNTLISGLCQAGRHCEARELFKDMQAQGCSPDLVTCTILLDSLCKLGYLDNALRLFRAMQDSCLKPNLVTYDILIRAMYKSGNLKTARELFMECTFNGLQPNARVSTAIINGLCREDLIDEAYKAFRKMEEDGCPPDVCSYNVIV >Potri.014G139500.1.v4.1 pep chromosome:Pop_tri_v4:14:9489787:9493752:1 gene:Potri.014G139500.v4.1 transcript:Potri.014G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139500.v4.1 MLLDVRILTFVRFVFLGLLFAFVCYQMAASSACLVGNGLSTSNAKRNLTKEFCGSHLFVSTSVPLCKTSRILTVKAVLDKRRHEGRRGFLKLLIGNVGIVGSTLLGGGKAIADDQGVSSSRMSYSRFLEYLDKDRVEKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEESGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPSTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHAGNKKFDADVSLDVISMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAVQKVTLIPRGQARGLTWFIPTDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSEIGPWSLMDASAQSADVFMRMMARNSMSEKLAEDIDAAVKRISDGAYEIALSHIRSNREAIDKIVEVLLEKETMTGDEFRAILSEFVEIPTENRVPPAVPSPVSV >Potri.014G139500.2.v4.1 pep chromosome:Pop_tri_v4:14:9489659:9493752:1 gene:Potri.014G139500.v4.1 transcript:Potri.014G139500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139500.v4.1 MAASSACLVGNGLSTSNAKRNLTKEFCGSHLFVSTSVPLCKTSRILTVKAVLDKRRHEGRRGFLKLLIGNVGIVGSTLLGGGKAIADDQGVSSSRMSYSRFLEYLDKDRVEKVDLFENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEESGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLAFGQSKAKFQMEPSTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVIAATNRADILDSALLRPGRFDRQVTVDVPDIRGRTEILKVHAGNKKFDADVSLDVISMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAVCGTLTPGHDAVQKVTLIPRGQARGLTWFIPTDDPTLISKQQLFARIVGGLGGRAAEEVIFGEPEVTTGAAGDLQQITGLAKQMVTTFGMSEIGPWSLMDASAQSADVFMRMMARNSMSEKLAEDIDAAVKRISDGAYEIALSHIRSNREAIDKIVEVLLEKETMTGDEFRAILSEFVEIPTENRVPPAVPSPVSV >Potri.015G003700.6.v4.1 pep chromosome:Pop_tri_v4:15:227560:233331:-1 gene:Potri.015G003700.v4.1 transcript:Potri.015G003700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003700.v4.1 MMNYGVSYGYNNGGGSSSSSSNLSASAPPFTVDRSAAKSLLDLTETTYPVSLNPSLHNWVTSNSHIPNSRPDLFPIPNLEFDSVPSPPAFGYSSPTQMPSMSHPLVSASTDAVLYVQGNPSIVEAEPYYPSSYVSPAIASDGSLKIPNQSGYELLSTSHVGTSNGSSRDDYSQSLVVLEHPAQWSGLWEGVTDWHQSKKMQLDGGFSAKENFINQGFSAFKDISKCEETSLGINVVGRQTHTESASTGQMDYKAFLGEKPKFMPAGYSTPSPLVFPSVAPQAYPQVPSSNVVNSPINQMPDVILYGKSSRKRDASPNDSMPVTKPSPVVVVRSPGQDTYSFKNMNTGCDGDEKGNNSSSVQEPNPFISSEGKVFYDSSQINFHLKQNDDYLAEISSKNNELPSNKNISVDFFDQLFKAKMDNKVLRRNLDFFNLAMDGHEAIGSVENTSESLDHYNPAVDSPCWKGAPVSHLSAFEISEVVDPLIPKKVEACNGLSPQGPQIFPSATNDAVKACPEKQSNISVPLNHESLEHQQVSLFKRPLDAKVLFREEIDDAGKYGPYQRIPSYCHEAQISDVIDDETRKESILSDFNSLHTEQRSLEDGEWPSKKNSYVADVRRKINDDPDDCSSHVPFHAIEQVLCSPPSSEHAPAQHTQSQGEESLSKMHARTLVDTMHNLAELLLFYSSNDTCELKDEDFDVLKDVINNLDICISKNLERKISTQESLIPQQATSQFHGKLSDLYKGQLEFQHFEDEEEHKIASDKRKEKLSNWASTRCAADTVKDDNMTQAIKKVLAKNFPIEEESESQILLYRNLWLEAEASLCSVNYMARFNRMKIEMEKGHSQKANEKSMVLENLSRPKVSSDILPADDKGSPVQDVSFLDSSILSRNSHSDDVMARFHILKSRVDDSNSMSTSAVEKLSSSKVSPDLNLVDKLACDTKDSTKPNVSIQDSHMSGTSSNADDVSSHADDVIARFHILKCRVDNSSSGNTSAMEKLSSSKVSPDLNKVDKMVYDTKDSTKPHITIQDSPMAGRSSHADDVMARFRTLEGRVDNCNSVNISAMEKLPSSKVSSNLSNVGKLTVEAKDSTKPDITKQDSPLPSTSSHAEDIEAAIMARLLILKHRDGCSSSLEMEEHQPESIDNGYTSLRRDVPMGKGGLKDSILDVNMEPVIRNYPADSAEDKSTVKEFRLFVNDDAKTQSSLTNRFGDQPHAGWYDSCSSDWEHVLKEEIVGQGYL >Potri.015G003700.3.v4.1 pep chromosome:Pop_tri_v4:15:227512:233281:-1 gene:Potri.015G003700.v4.1 transcript:Potri.015G003700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003700.v4.1 MMNYGVSYGYNNGGGSSSSSSNLSASAPPFTVDRSAAKSLLDLTETTYPVSLNPSLHNWVTSNSHIPNSRPDLFPIPNLEFDSVPSPPAFGYSSPTQMPSMSHPLVSASTDAVLYVQGNPSIVEAEPYYPSSYVSPAIASDGSLKIPNQSGYELLSTSHVGTSNGSSRDDYSQSLVVLEHPAQWSGLWEGVTDWHQSKKMQLDGGFSAKENFINQVFEVCTQQKTNGFSAFKDISKCEETSLGINVVGRQTHTESASTGQMDYKAFLGEKPKFMPAGYSTPSPLVFPSVAPQAYPQVPSSNVVNSPINQMPDVILYGKSSRKRDASPNDSMPVTKPSPVVVVRSPGQDTYSFKNMNTGCDGDEKGNNSSSVQEPNPFISSEGKVFYDSSQINFHLKQNDDYLAEISSKNNELPSNKNISVDFFDQLFKAKMDNKVLRRNLDFFNLAMDGHEAIGSVENTSESLDHYNPAVDSPCWKGAPVSHLSAFEISEVVDPLIPKKVEACNGLSPQGPQIFPSATNDAVKACPEKQSNISVPLNHESLEHQQVSLFKRPLDAKVLFREEIDDAGKYGPYQRIPSYCHEAQISDVIDDETRKESILSDFNSLHTEQRSLEDGEWPSKKNSYVADVRRKINDDPDDCSSHVPFHAIEQVLCSPPSSEHAPAQHTQSQGEESLSKMHARTLVDTMHNLAELLLFYSSNDTCELKDEDFDVLKDVINNLDICISKNLERKISTQESLIPQQATSQFHGKLSDLYKGQLEFQHFEDEEEHKIASDKRKEKLSNWASTRCAADTVKDDNMTQAIKKVLAKNFPIEEESESQILLYRNLWLEAEASLCSVNYMARFNRMKIEMEKGHSQKANDFSSAAPVVPEKSMVLENLSRPKVSSDILPADDKGSPVQDVSFLDSSILSRNSHSDDVMARFHILKSRVDDSNSMSTSAVEKLSSSKVSPDLNLVDKLACDTKDSTKPNVSIQDSHMSGTSSNADDVSSHADDVIARFHILKCRVDNSSSGNTSAMEKLSSSKVSPDLNKVDKMVYDTKDSTKPHITIQDSPMAGRSSHADDVMARFRTLEGRVDNCNSVNISAMEKLPSSKVSSNLSNVGKLTVEAKDSTKPDITKQDSPLPSTSSHAEDIEAAIMARLLILKHRDGCSSSLEMEEHQPESIDNGYTSLRRDVPMGKGGLKDSILDVNMEPVIRNYPADSAEDKSTVKEFRLFVNDDAKTQSSLTNRFGDQPHAGWYDSCSSDWEHVLKEEIVGQGYL >Potri.015G003700.4.v4.1 pep chromosome:Pop_tri_v4:15:227537:233479:-1 gene:Potri.015G003700.v4.1 transcript:Potri.015G003700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003700.v4.1 MMNYGVSYGYNNGGGSSSSSSNLSASAPPFTVDRSAAKSLLDLTETTYPVSLNPSLHNWVTSNSHIPNSRPDLFPIPNLEFDSVPSPPAFGYSSPTQMPSMSHPLVSASTDAVLYVQGNPSIVEAEPYYPSSYVSPAIASDGSLKIPNQSGYELLSTSHVGTSNGSSRDDYSQSLVVLEHPAQWSGLWEGVTDWHQSKKMQLDGGFSAKENFINQGFSAFKDISKCEETSLGINVVGRQTHTESASTGQMDYKAFLGEKPKFMPAGYSTPSPLVFPSVAPQAYPQVPSSNVVNSPINQMPDVILYGKSSRKRDASPNDSMPVTKPSPVVVVRSPGQDTYSFKNMNTGCDGDEKGNNSSSVQEPNPFISSEGKVFYDSSQINFHLKQNDDYLAEISSKNNELPSNKNISVDFFDQLFKAKMDNKVLRRNLDFFNLAMDGHEAIGSVENTSESLDHYNPAVDSPCWKGAPVSHLSAFEISEVVDPLIPKKVEACNGLSPQGPQIFPSATNDAVKACPEKQSNISVPLNHESLEHQQVSLFKRPLDAKVLFREEIDDAGKYGPYQRIPSYCHEAQISDVIDDETRKESILSDFNSLHTEQRSLEDGEWPSKKNSYVADVRRKINDDPDDCSSHVPFHAIEQVLCSPPSSEHAPAQHTQSQGEESLSKMHARTLVDTMHNLAELLLFYSSNDTCELKDEDFDVLKDVINNLDICISKNLERKISTQESLIPQQATSQFHGKLSDLYKGQLEFQHFEDEEEHKIASDKRKEKLSNWASTRCAADTVKDDNMTQAIKKVLAKNFPIEEESESQILLYRNLWLEAEASLCSVNYMARFNRMKIEMEKGHSQKANDFSSAAPVVPEKSMVLENLSRPKVSSDILPADDKGSPVQDVSFLDSSILSRNSHSDDVMARFHILKSRVDDSNSMSTSAVEKLSSSKVSPDLNLVDKLACDTKDSTKPNVSIQDSHMSGTSSNADDVSSHADDVIARFHILKCRVDNSSSGNTSAMEKLSSSKVSPDLNKVDKMVYDTKDSTKPHITIQDSPMAGRSSHADDVMARFRTLEGRVDNCNSVNISAMEKLPSSKVSSNLSNVGKLTVEAKDSTKPDITKQDSPLPSTSSHAEDIEAAIMARLLILKHRDGCSSSLEMEEHQPESIDNGYTSLRRDVPMGKGGLKDSILDVNMEPVIRNYPADSAEDKSTVKEFRLFVNDDAKTQSSLTNRFGDQPHAGWYDSCSSDWEHVLKEEIVGQGYL >Potri.015G003700.5.v4.1 pep chromosome:Pop_tri_v4:15:227532:233006:-1 gene:Potri.015G003700.v4.1 transcript:Potri.015G003700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003700.v4.1 MMNYGVSYGYNNGGGSSSSSSNLSASAPPFTVDRSAAKSLLDLTETTYPVSLNPSLHNWVTSNSHIPNSRPDLFPIPNLEFDSVPSPPAFGYSSPTQMPSMSHPLVSASTDAVLYVQGNPSIVEAEPYYPSSYVSPAIASDGSLKIPNQSGYELLSTSHVGTSNGSSRDDYSQSLVVLEHPAQWSGLWEGVTDWHQSKKMQLDGGFSAKENFINQGFSAFKDISKCEETSLGINVVGRQTHTESASTGQMDYKAFLGEKPKFMPAGYSTPSPLVFPSVAPQAYPQVPSSNVVNSPINQMPDVILYGKSSRKRDASPNDSMPVTKPSPVVVVRSPGQDTYSFKNMNTGCDGDEKGNNSSSVQEPNPFISSEGKVFYDSSQINFHLKQNDDYLAEISSKNNELPSNKNISVDFFDQLFKAKMDNKVLRRNLDFFNLAMDGHEAIGSVENTSESLDHYNPAVDSPCWKGAPVSHLSAFEISEVVDPLIPKKVEACNGLSPQGPQIFPSATNDAVKACPEKQSNISVPLNHESLEHQQVSLFKRPLDAKVLFREEIDDAGKYGPYQRIPSYCHEAQISDVIDDETRKESILSDFNSLHTEQRSLEDGEWPSKKNSYVADVRRKINDDPDDCSSHVPFHAIEQVLCSPPSSEHAPAQHTQSQGEESLSKMHARTLVDTMHNLAELLLFYSSNDTCELKDEDFDVLKDVINNLDICISKNLERKISTQESLIPQQATSQFHGKLSDLYKGQLEFQHFEDEEEHKIASDKRKEKLSNWASTRCAADTVKDDNMTQAIKKVLAKNFPIEEESESQILLYRNLWLEAEASLCSVNYMARFNRMKIEMEKGHSQKANDFSSAAPVVPEKSMVLENLSRPKVSSDILPADDKGSPVQDVSFLDSSILSRNSHSDDVMARFHILKSRVDDSNSMSTSAVEKLSSSKVSPDLNLVDKLACDTKDSTKPNVSIQDSHMSGTSSNADDVSSHADDVIARFHILKCRVDNSSSGNTSAMEKLSSSKVSPDLNKVDKMVYDTKDSTKPHITIQDSPMAGRSSHADDVMARFRTLEGRVDNCNSVNISAMEKLPSSKVSSNLSNVGKLTVEAKDSTKPDITKQDSPLPSTSSHAEDIEAAIMARLLILKHRDGCSSSLEMEEHQPESIDNGYTSLRRDVPMGKGGLKDSILDVNMEPVIRNYPADSAEDKSTVKEFRLFVNDDAKTQSSLTNRFGDQPHAGWYDSCSSDWEHVLKEEIVGQGYL >Potri.015G003700.7.v4.1 pep chromosome:Pop_tri_v4:15:227536:231449:-1 gene:Potri.015G003700.v4.1 transcript:Potri.015G003700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003700.v4.1 MDYKAFLGEKPKFMPAGYSTPSPLVFPSVAPQAYPQVPSSNVVNSPINQMPDVILYGKSSRKRDASPNDSMPVTKPSPVVVVRSPGQDTYSFKNMNTGCDGDEKGNNSSSVQEPNPFISSEGKVFYDSSQINFHLKQNDDYLAEISSKNNELPSNKNISVDFFDQLFKAKMDNKVLRRNLDFFNLAMDGHEAIGSVENTSESLDHYNPAVDSPCWKGAPVSHLSAFEISEVVDPLIPKKVEACNGLSPQGPQIFPSATNDAVKACPEKQSNISVPLNHESLEHQQVSLFKRPLDAKVLFREEIDDAGKYGPYQRIPSYCHEAQISDVIDDETRKESILSDFNSLHTEQRSLEDGEWPSKKNSYVADVRRKINDDPDDCSSHVPFHAIEQVLCSPPSSEHAPAQHTQSQGEESLSKMHARTLVDTMHNLAELLLFYSSNDTCELKDEDFDVLKDVINNLDICISKNLERKISTQESLIPQQATSQFHGKLSDLYKGQLEFQHFEDEEEHKIASDKRKEKLSNWASTRCAADTVKDDNMTQAIKKVLAKNFPIEEESESQILLYRNLWLEAEASLCSVNYMARFNRMKIEMEKGHSQKANDFSSAAPVVPEKSMVLENLSRPKVSSDILPADDKGSPVQDVSFLDSSILSRNSHSDDVMARFHILKSRVDDSNSMSTSAVEKLSSSKVSPDLNLVDKLACDTKDSTKPNVSIQDSHMSGTSSNADDVSSHADDVIARFHILKCRVDNSSSGNTSAMEKLSSSKVSPDLNKVDKMVYDTKDSTKPHITIQDSPMAGRSSHADDVMARFRTLEGRVDNCNSVNISAMEKLPSSKVSSNLSNVGKLTVEAKDSTKPDITKQDSPLPSTSSHAEDIEAAIMARLLILKHRDGCSSSLEMEEHQPESIDNGYTSLRRDVPMGKGGLKDSILDVNMEPVIRNYPADSAEDKSTVKEFRLFVNDDAKTQSSLTNRFGDQPHAGWYDSCSSDWEHVLKEEIVGQGYL >Potri.005G105700.1.v4.1 pep chromosome:Pop_tri_v4:5:7612056:7614805:1 gene:Potri.005G105700.v4.1 transcript:Potri.005G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105700.v4.1 MADSPVKRDSRSPSPWREQSRSRSRSWSRPRPRSRSRSRSLPRPRHRSRSQSRGRSRSRDQDRTEVVNPGNTLYVTGLSTRVTERDLEEHFSKEGKVASCFLVVEPRTRISRGFAFVTMDNVDGANRCVKYLNQSVLEGRYITVEKSRRKRPRTPTPGHYLGLKNTRDYGSRGDRGGDRGGDRGRYRGGYGRDDHRRSPRHSPYRGGRDYSPRRSPYGGRSRRDRSRSPYSPRGSR >Potri.015G120300.4.v4.1 pep chromosome:Pop_tri_v4:15:13456479:13459790:1 gene:Potri.015G120300.v4.1 transcript:Potri.015G120300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120300.v4.1 MDYDQRSEALSGPHWKGDGSSISSDKACPATCRVPAKALNIIWGNDPRFWQWIKLSEVETRSVGFDEGARLLQVNWIEVTGKLPSTMFNVASATKYGVYYVMKFQVDAFGWHSVPIKFKVRLNGQETVKNFVLESYKEKHDVWHEICGGEFTVSKNAAGVVEFGMFEVKSEWWKGGVVLAGIKIKPKEG >Potri.015G120300.2.v4.1 pep chromosome:Pop_tri_v4:15:13456479:13459774:1 gene:Potri.015G120300.v4.1 transcript:Potri.015G120300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120300.v4.1 MNPFNTTFMFSFAVRRTLNYDMDYDQRSEALSGPHWKGDGSSISSDKACPATCRVPAKALNIIWGNDPRFWQWIKLSEVETRSVGFDEGARLLQVNWIEVTGKLPSTMFNVASATKYGVYYVMKFQVDAFGWHSVPIKFKVRLNGQETVKNFVLESYKEKHDVWHEICGGEFTVSKNAAGVVEFGMFEVKSEWWKGGVVLAGIKIKPKEG >Potri.015G120300.3.v4.1 pep chromosome:Pop_tri_v4:15:13456469:13459837:1 gene:Potri.015G120300.v4.1 transcript:Potri.015G120300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120300.v4.1 MDYDQRSEALSGPHWKGDGSSISSDKACPATCRVPAKALNIIWGNDPRFWQWIKLSEVETRSVGFDEGARLLQVNWIEVTGKLPSTMFNVASATKYGVYYVMKFQVDAFGWHSVPIKFKVRLNGQETVKNFVLESYKEKHDVWHEICGGEFTVSKNAAGVVEFGMFEVKSEWWKGGVVLAGIKIKPKEG >Potri.003G159200.2.v4.1 pep chromosome:Pop_tri_v4:3:16960756:16966617:1 gene:Potri.003G159200.v4.1 transcript:Potri.003G159200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159200.v4.1 MAMAMTMAMYKPIKGQEEEDNGNRNKSLKSNDEDGVGGDHTIVDDSKTFILPTHSKQQHHQQRMKQQQQQQRLVSLDVFRGLTVALMILVDDAGGVLPAINHSPWNGLTLADVVMPFFLFMVGVSLGLTYKKLPSKAVATRKAILRALKLLVIGLFLQGGFLHGLNDLTFGVDMVQIRWMGILQRIAIGYLIGAMCEIWLKGDNHVASGLSMLRKYQLQWGAVVVLVSLYLSLLYGLYVPDWEYEIPVAASSSSPKIFRVKCGVRGTTGSACNAVGMIDRTVLGIQHLYRKPIYARTKACSINSPDYGPLPPDAPSWCQAPFDPEGLLSSVMAIVTCLVGLHYGHIIVHFKEHKDRILHWMVPSTCFVVLGLVLDLSGMHVNKALYTFSYMCVTAGAAGIVFTGIYMLVDVCGFRRPTLVLEWMGMHALMIFILATSNVLPVVMQGFYWKQPGNNILRLIGIGR >Potri.006G083500.1.v4.1 pep chromosome:Pop_tri_v4:6:6214822:6223133:1 gene:Potri.006G083500.v4.1 transcript:Potri.006G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083500.v4.1 MDRPAPTTSTSTPPITKQPTEPPPSQPLPQPQPQPQPQPQPSSSTASPNPNLNPNPPTKPSISAATTITTTPSSTRPQTVHRPWQHPHPHSQFPSVSSGPPIPSSSSTTPSISSPRGVALGVPAPSPASFSSSFGHQFVGLNRAPVNVPESVANTSNSQVRQGVMASMASNSQMRPHQQRPVQSSLRPPTSSPNTQNFPGHVFIRSTPVGTAGSPVPNTSQSLQSPNQLWLSSASQGKPPLPSPSYRPQMNSPSLQQRSHIPPQHHSPPTTSQQQHMSPAQPQQPLQSHQQPEHYGQQFPPSRVQQSLSPLQQVSRVQGSVNHKPSSLAMSHPNTVQPLPQNSIANAESDESGNRILSKRSIHELVSQIDPSEKFNPEVVEILADIADEFLVSVTTFGCSLAKHRKSDTLEAKDILLHLDRNWNMTLPGFCGDEIKSYRKQVTNDIHKERLAAIKKSILTSEMANAKNSVGQAAGNAKSSTTKTLSNPIVSPNLKVT >Potri.013G054700.2.v4.1 pep chromosome:Pop_tri_v4:13:3971225:3972160:-1 gene:Potri.013G054700.v4.1 transcript:Potri.013G054700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054700.v4.1 METFKLYYDDFEPFCQWKEDEHAILEIHLRGFKKQHLRVQIEEPGVVKITGERPIDGTLRCRFRKQIKIPKNCKTDEIRAKLSGDILQIILPKQTTAFPRKPGSTECITRSESMPSNYLLYIESSSWTLEMTTKLALQVAGVLAVVVAFGASAYKYCHCGHVEG >Potri.012G025400.2.v4.1 pep chromosome:Pop_tri_v4:12:2470164:2473805:-1 gene:Potri.012G025400.v4.1 transcript:Potri.012G025400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G025400.v4.1 MGFSPLSLSQYLSFFLLLFHFHSTISSPLSSNHSSSSSSSSHLCAHRQSLSLLQFKQSFSIQSSPFWFARNYQYDQYPKTESWKEGTDCCLWDGVSCDLKTGHVTGLDLSCSMLYGTLLPNNSLFSLHHLQQLDLSFNDFNSSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNYDLVSVEPISFDKLSFDKLSFDKLARNLTKLRELDLSGVNMSLVVPDSLMNLSSSLSSLKLIECGLQGKLPSSMGKFKHLQCLDLGGNNLSGPIPYDFDQLTELVSLDLFDNDYLSLEPISFDKLVRNLTKLRELDLTWVNMSLVVPDSLMNLSSSLSSLIFYSCGLQGKLPSSMGKFKHLQYLDLRWNNITGSIPYGFEQLSELVSLDLSGNFYLSLEPISFDKIVQNLTKLRHLALDYVNMSLVAPNSLTNLSSSLSSLSLGGCRLQGKFPGNIFLLPNLESLDLSYNEGLTGSFPSSNLSNVLSLLGLSNTRISVYLEKDLISNLKSLEYMSLRNCNIIRLDLPLLGNLTQLISLDLSSNNFSGQIPSSLGNLVQLRILCLYSNEFIGQLPDSFGSLVNLSYLDLSNNQLGGPIHSQLNTLSNLENLSLYGNLFDGTIPSFLFALRYLYYLGLHNNNLIGNISELQHDSLEYLDLSNNHLHGPIPSSIFKQENLRVLILASNSKLTGEISSSICKLRFLEVLDLSNNSFSGSTPLCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNFNGNELEGKISRSIINCTMLQVLDLGNNKIEDTFPCFLETLSKLQILVLKSNKFQGFVKGPTANNSFSKLRILDISDNNFSGPLPTGYFNSLEAMMASDQNMIYMGATNYTGYVYSIEMTWKGVEIEFTKIRSTIRVLDLSNNNFTGEIPKVIGMLKALQQLNLSHNSLTGHIQSSLENLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGPIPSGEQFNTFDASSFEGNLGLCGSQVLKKCYGDEAPSLPPSSFDEGDDSTLFGEGFGWKAVTVGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLQSKKTKKNAGRYGARRN >Potri.002G123400.1.v4.1 pep chromosome:Pop_tri_v4:2:9340107:9342299:1 gene:Potri.002G123400.v4.1 transcript:Potri.002G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123400.v4.1 MVDAMEHRTADREMSDVTAAQQHQVPNDNNVREMLTLARQLINQGNPSQALQAVVMAMRTKGGDQAVFQSLHRARELYLNRLQESTNVDHLASLFAECAIAEAQPLQDEQTPLNGGDQSPSAVPEVHVNSILAETGRTQIVLDAFSDGSSFICLHCGGLVSNYRKDEHYAFWCG >Potri.016G074700.1.v4.1 pep chromosome:Pop_tri_v4:16:5627956:5629734:-1 gene:Potri.016G074700.v4.1 transcript:Potri.016G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074700.v4.1 MRVRVVCRKVYDYIRYDLREIAFPSSLPDPPHIQNRPKLTWRDNLFILKKATRLYCASWVRDIGPDLRPNDYAKDNEANGEAKTTSSAQEKEPSVVEDLAVAARGGMETLRPALQRVYMTRASAYRDALKSFIQGYQEGVQQVMEKKEESKTQSEGNAPKKST >Potri.015G057200.1.v4.1 pep chromosome:Pop_tri_v4:15:7958865:7961117:1 gene:Potri.015G057200.v4.1 transcript:Potri.015G057200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057200.v4.1 MGPSEPEARQMFPDNKSEHGSETSNGLRTCPATTLGEDDHFTNSRMLNSPSSSCSSSSGDRFELDTDEISKSYTDDVGVPKHGKNTRYDHDNGIPISYMPWQEQQPNHAPTILSSDNGSTAQFPPTQVMERPAESNSSASYRIPSSVFARTQSNAQMEWSVASNGSLFSIYTGNMSFTTDDQNSVGKSGEIGFPSDSTLPNPLVDFSSNQHPINKSTDVGPKNENVDEYLGVTESKAPETMRGVVKEDGGDDNKERSLAKGSLHSARLSHRSDASGESIQSFAFPILTGDDKSYSLSQKHYPSSRHRSQPPTPRSAQSPRARLESQPLSEPVTVPKANINEARGRWFSCIPCCSFCS >Potri.015G057200.2.v4.1 pep chromosome:Pop_tri_v4:15:7958865:7961117:1 gene:Potri.015G057200.v4.1 transcript:Potri.015G057200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057200.v4.1 MGPSEPEARQMFPDNKSEHGSETSNGLRTCPATTLGEDDHFTNSRMLNSPSSSCSSSSGDRFELDTDEISKSYTDDVGVPKHGKNTRYDHDNGIPISYMPWQEQQPNHAPTILSSDNGSTAQFPPTQVMERPAESNSSASYRIPSSVFARTQSNAQMEWSVASNGSLFSIYTGNMSFTTDDQNSVGKSGEIGFPSDSTLPNPLVDFSSNQHPINKSTDVGPKNENVDEYLGVTESKAPETMRGVVKEDGGDDNKERSLAKGSLHSARLSHRSDASGESIQSFAFPISTIHHHGTGRSRRLLDQLNRLGHG >Potri.004G008700.4.v4.1 pep chromosome:Pop_tri_v4:4:503831:507275:-1 gene:Potri.004G008700.v4.1 transcript:Potri.004G008700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G008700.v4.1 MGSVAKRGLQQYLLQLQQHPLRTKAITAGVLSAVSDIVAQKLSGIQKLQIKRILLKVLFGFGYLGPFGHFLHLMLEKMFKGKKDTATVAKKVAVEQLTASPWNNLVFMIYYGMVIDGRPWMQVKTKLKKEYPAVQFTSWTFWPVVGWVNHQYVPLQFRVIFHSLIAVGWGIFLNLRAKSMALTKG >Potri.014G041250.1.v4.1 pep chromosome:Pop_tri_v4:14:2701422:2702308:1 gene:Potri.014G041250.v4.1 transcript:Potri.014G041250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041250.v4.1 MSVINVQFYYITKITGIINKYKLTRGKRTGLLYPCMQQNNQFPIFFHQCPLATLDRLKHHHLCIPYYP >Potri.004G207000.1.v4.1 pep chromosome:Pop_tri_v4:4:21465729:21468313:1 gene:Potri.004G207000.v4.1 transcript:Potri.004G207000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207000.v4.1 MAICFLSPLKPITPTTSLTTNLNPKKPNILRCNFSLPIITTTEPEPVFTSVRSFAPATVANLGPGFDFLGCAVDGLGDFVSLRVDPSVHPGELSISDISGTKNLSKNPLNNCAGIAAIATMKMLNIRSVGLSLSLEKGLPLGSGLGSSAASAAAAAVAVNEMFGRKLEVKDLVLAGLESEAKVSGYHADNIAPAIMGGFVLIRSYDPLELMSLQFPVEKDLIFVLVSPDFEAPTKKMRAALPAEIGMSHHVWNCSQAGALVASVLQGDLVGLGKALSSDKIVEPKRAPLIPGMVGVKKAALEAGAFGCTISGAGPTAVAVVGSEDRGMEVGERMVEAFWKEGNLKAVAMVKRLDRVGARLVGSVPR >Potri.003G150800.1.v4.1 pep chromosome:Pop_tri_v4:3:16395972:16397858:1 gene:Potri.003G150800.v4.1 transcript:Potri.003G150800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150800.v4.1 MASFDEASALELIREHLLTDFASMDSLITNLDDLCSTSSSCITTDYIKTESTEFDPFNQSEHPQPKLQSQSSIESKPQSSPKSPSTLSQRKPSMIKNIAIPPPATLNMTPQVVQPVVIKADVSSEQERHYRGVRRRPWGKYAAEIRDPNKKGARVWLGTFDTAIEAAKAYDSAAFRLRGSKAILNFPLEAGKSNSQQPEQFLETSSKKRKIEEIESSMESTGSVITNKVAKRESSSPETEVKAAATEPLTPSSWKGFWDGEVMGIFNVPPLSPLSPHPSFGYSRLMVV >Potri.008G188000.1.v4.1 pep chromosome:Pop_tri_v4:8:13137086:13142511:-1 gene:Potri.008G188000.v4.1 transcript:Potri.008G188000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188000.v4.1 MVIMCWMDTKTRNKWGYFDHRRRQHQCTKRTNTTTDLAKEMTPGSFSNMASSWCNKKISSVISVFVCFLLVVSSKICPVSSSETGSRHATNQTFRPQEELQKLKYIRKHLEKINKPAVKTIQSPVGDLIDCVLSHQQPAFDHPQLKGQKPLEPPERPKGLEPTGMVTENFQLWDLSGEACPEGTIPIRRTTEQDMLRASSIRRFGRKLRRHVRRDTNSNGHEHAVGYVTGDQYYGAKASINVWAPRVSSQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTSDAYQATGCYNLLCSGFVQTNNRVAIGAAISPTSSYSGGQFDISLLVWKDPKHGNWWLEFGNGVLVGYWPSFLFTHLRDHASMVQFGGEIVNSMPSGFHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNNLLPLSNLRVLADHPNCYDIQGGINRVWGNYFYYGGPGRNVRCP >Potri.012G114266.1.v4.1 pep chromosome:Pop_tri_v4:12:13276861:13279165:1 gene:Potri.012G114266.v4.1 transcript:Potri.012G114266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114266.v4.1 MGSIAAIQCALTAILLVSTTVSSDDKSPIPADPSSLNTWFQDNVKPLADRKGTIDPALEAAEAKPRTIKVRQDGSGEFKTLKDAINSIPTGNTERVIVDIGPGEYIEKLKIERSKPFVTFLGSPSNKPTLSFDGTAKEYGTVYSATLEAEADYFVAANIIFKNSAPRPNGELKGEQAVALRISGDKSAFYNCRLIGFQDTLCDDKGRHLFKDCYIEGTVDYIFGSGKSLYLGTELHVIGDENGNFITAHARNSEAEDTGFSFVHCKVDGTGAKGAYLGRAWQARPRVVFSYTTMSSVVNPEGWSNNFHPERDQTALFGEYKCEGEGANPAGRAKATKQLTPDQAAPFISLGFIEGSKWLLHPPN >Potri.001G415500.6.v4.1 pep chromosome:Pop_tri_v4:1:44390770:44395599:1 gene:Potri.001G415500.v4.1 transcript:Potri.001G415500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415500.v4.1 MEVEKNTEGALPTYCVTGANGYIGSWLVKLLLQRGYTVHATLRDLAKSLDLLSSWRGADRLRLFKADLREEGSFDEAVRGCDGVFHVAASMEFYVAGNEDNENYVQRNIIDPAIEGTLNLLTSCSKSNTVKRVVFTSSISTLTAKDGAGKWRQVVDETCQTPIDHVWNTKPPGWIYVLSKRLTEEAAFKYAKDNGIDLISVITTTVAGAFLTSSVPSSIRVLLSPITGDTKFFSILSAVNARMGSIALVHIDDICDAHIFLMEQTRAEGRYICSAHSCVLSQLINHLVEEYPCSNIQRLAEKQGSISPEISSKKLRDMGFKYKHSIKDIISETITCCLDQGFLPQVKSKCH >Potri.001G415500.2.v4.1 pep chromosome:Pop_tri_v4:1:44390692:44396284:1 gene:Potri.001G415500.v4.1 transcript:Potri.001G415500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415500.v4.1 MEVEKNTEGALPTYCVTGANGYIGSWLVKLLLQRGYTVHATLRDLAKSLDLLSSWRGADRLRLFKADLREEGSFDEAVRGCDGVFHVAASMEFYVAGNEDNENYVQRNIIDPAIEGTLNLLTSCSKSNTVKRVVFTSSISTLTAKDGAGKWRQVVDETCQTPIDHVWNTKPPGWIYVLSKRLTEEAAFKYAKDNGIDLISVITTTVAGAFLTSSVPSSIRVLLSPITGDTKFFSILSAVNARMGSIALVHIDDICDAHIFLMEQTRAEGRYICSAHSCVLSQLINHLVEEYPCSNIQRLAEKQGSISPEISSKKLRDMGFKYKHSIKDIISETITCCLDQGFLPQVKSKCH >Potri.001G415500.4.v4.1 pep chromosome:Pop_tri_v4:1:44390691:44396285:1 gene:Potri.001G415500.v4.1 transcript:Potri.001G415500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415500.v4.1 MEFYVAGNEDNENYVQRNIIDPAIEGTLNLLTSCSKSNTVKRVVFTSSISTLTAKDGAGKWRQVVDETCQTPIDHVWNTKPPGWIYVLSKRLTEEAAFKYAKDNGIDLISVITTTVAGAFLTSSVPSSIRVLLSPITGDTKFFSILSAVNARMGSIALVHIDDICDAHIFLMEQTRAEGRYICSAHSCVLSQLINHLVEEYPCSNIQRLAEKQGSISPEISSKKLRDMGFKYKHSIKDIISETITCCLDQGFLPQVKSKCH >Potri.001G415500.5.v4.1 pep chromosome:Pop_tri_v4:1:44390691:44396285:1 gene:Potri.001G415500.v4.1 transcript:Potri.001G415500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415500.v4.1 MEFYVAGNEDNENYVQRNIIDPAIEGTLNLLTSCSKSNTVKRVVFTSSISTLTAKDGAGKWRQVVDETCQTPIDHVWNTKPPGWIYVLSKRLTEEAAFKYAKDNGIDLISVITTTVAGAFLTSSVPSSIRVLLSPITGDTKFFSILSAVNARMGSIALVHIDDICDAHIFLMEQTRAEGRYICSAHSCVLSQLINHLVEEYPCSNIQRLAEKQGSISPEISSKKLRDMGFKYKHSIKDIISETITCCLDQGFLPQVKSKCH >Potri.001G415500.1.v4.1 pep chromosome:Pop_tri_v4:1:44390692:44396284:1 gene:Potri.001G415500.v4.1 transcript:Potri.001G415500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415500.v4.1 MEVEKNTEGALPTYCVTGANGYIGSWLVKLLLQRGYTVHATLRDLAKSLDLLSSWRGADRLRLFKADLREEGSFDEAVRGCDGVFHVAASMEFYVAGNEDNENYVQRNIIDPAIEGTLNLLTSCSKSNTVKRVVFTSSISTLTAKDGAGKWRQVVDETCQTPIDHVWNTKPPGWIYVLSKRLTEEAAFKYAKDNGIDLISVITTTVAGAFLTSSVPSSIRVLLSPITGDTKFFSILSAVNARMGSIALVHIDDICDAHIFLMEQTRAEGRYICSAHSCVLSQLINHLVEEYPCSNIQRLAEKQGSISPEISSKKLRDMGFKYKHSIKDIISETITCCLDQGFLPQVKSKCH >Potri.002G116200.1.v4.1 pep chromosome:Pop_tri_v4:2:8818726:8819070:-1 gene:Potri.002G116200.v4.1 transcript:Potri.002G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G116200.v4.1 MAATTSSTNCSSFFNPRSNSVEPRVRTSTSHGSSPGCGRLDGVAMWFINGVAGAFFASMERCSCIRIATEDDGDEANDAPLILHDGNTRHHEGGAINRRRTGKGKRSTGAFDED >Potri.019G023020.1.v4.1 pep chromosome:Pop_tri_v4:19:662767:666278:1 gene:Potri.019G023020.v4.1 transcript:Potri.019G023020.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023020.v4.1 MVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNCMDRLPDYMKLCFLALFNSVNEMAYDILKYQGVDILPYLKKAWADLCKSYLLEAKWYFSGYTPTLQEYMDNAWISISAPVILVHAYFYVSNPTTEEASQFMDEYPDIIRWSSMILRLADDLGTSTDELKRGDISKSIQCYMHEAGVSEEKAREHIRNLIENTWKKINDYQFDNPCISQTFIGIAMNLARMAQCMYQYGDGHGVGHLETKDRVKSLLIKPL >Potri.002G102700.1.v4.1 pep chromosome:Pop_tri_v4:2:7508854:7513383:-1 gene:Potri.002G102700.v4.1 transcript:Potri.002G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102700.v4.1 MITNKKPRSSDTDSNYMRDDRTDMSEDDDRSPPSDSIANDSCPTRTCTPGSGSGSSSIPEYSAPYPDQVLENVLENVLWFLTSRKDRNAASLVCRLWYRVEAMTRSDLFIGNCYAVSPERATSRFTRIRSVTLKGKPRFADFNLMPPNWGAHFAPWVSAMAKAYPWLEKIHLKRMSVTDDDLALLAESFSGFKELALVCCDGFGTSGLAVVASKCRQLKVLDLIESEVSDDEVDWILCFPDTETCLESLILDCVECPIDFDALERLVTRSPSLKKLRLNRFVSIGQLYRLMVRAPQLTHLGTGSFSQSEDVAQGELELDYGSAFAACKSLVCLSGFREIIPDYLPAIYPVCANLTSLNFSYANISAEQLKPIISNCHKLQTFWVLDSICDEGLQAVATTCKELRELRVFPFEAREDIEGPVSEVGLQAISEGCRKLQSILYFCPRMTNAAVIAMSKNCPDLVAFRLCIMGLHQPDHVTGEPMDEGFGAIVMNCKKLTRLAVSGLLTDRAFAYIGKYGKIVRTLSVAFAGDSDMGLKYVLEGCPKLQKLEIRDSPFGDAALLSGLHHYYNMRFLWMSACKLSHQGCQQIAQALPHLVVEVIKHEDNVDMDEYVDTLYMYRSLAGRRHDVPRFVSIL >Potri.016G048800.1.v4.1 pep chromosome:Pop_tri_v4:16:3139589:3141784:1 gene:Potri.016G048800.v4.1 transcript:Potri.016G048800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048800.v4.1 MMILMHRALNIVFPLAILILLLIILPPYLVFKLLSYIKRSIFSENVAGKVVLITGASSGIGEDLAYEYAVRGARLALVARREDRLRAVADKARNLGSPDVFQVRADISKVEDCRRIIDETLNHFGQLDHLVNNAGISQAAYFEDCTEVSDLTHIMDVNFWGSTFCSRFAIPHLKRSKGKIVVISSIAPWSLTPKLSVYNASKAALISFYGTLRLEIGSHIGITIVMPGLIDTEMTSPSSLAKYSVKYCPPNEPANQCAKAIVKSTCRGDRYLTEPSWWNALFMFKSLCPEALDLILCWAFMVNGAQKERRI >Potri.006G096200.1.v4.1 pep chromosome:Pop_tri_v4:6:7321147:7322169:-1 gene:Potri.006G096200.v4.1 transcript:Potri.006G096200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096200.v4.1 MNFRSLEEFWSFYVTQHSKPSTRRWHFVGTLSSILLLLYSLVFNLWFLFFVPLVGYGFAWYSHFFVEGNVPTSFGHPVWSFRCDCKMFGLMLTGQMDREIKRLGKRPILQGF >Potri.006G170800.1.v4.1 pep chromosome:Pop_tri_v4:6:17297484:17299324:1 gene:Potri.006G170800.v4.1 transcript:Potri.006G170800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170800.v4.1 MGRAPCCDKNNVKRGPWSPEEDAKLKAYIDHFGTGGNWIALPQKIGLKRCGKSCRLRWLNYLRPNIKHGGFSEEEDNIICNLYISIGSRWSVIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSSINRISSTNPDADGVEDSSSSQALSNAALERLQLHMQLQSLQNPNSFYNNHVLWPKLHPFQEKMVLQSLKESFSPLMQYAFPSPQQGDEQKVVMYGQLVDSDTRQQGHPKFSNSSVVGLENSLNGITSSDSYIPFTSGDHAMDLTIVSRAGVVEPADAAAQPVPNFQSELENFLNSKTSGFTSQEDKIGEFGCFKEMNRYGEGMNWWSNDFEIKASSNSWDSASVLKSEGMFHDYELGYNM >Potri.014G053900.8.v4.1 pep chromosome:Pop_tri_v4:14:3454475:3456931:1 gene:Potri.014G053900.v4.1 transcript:Potri.014G053900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053900.v4.1 MEENELSNSMNHNEKPCKDIAIEIPEDLIPKDLETTFRPEECIYKAPAALCDSNRACYTPRVISIGPFHHGSEKLMPMEIQKQRYLKEFCKRLRGKTKESLKVLWRTIEDLEDDIKRRYAANTFDFSRDQFVKMILFDAVFIFELFLKNEEDMRDNQRYQDDFVIGKPWLRAAIQRDLILLENQLPFFILEKLYSLAIEETKPDYRSFLDLSCRYFEKYGKNKTKPYNVLHFTDLVRHFLSFKHPQLESPDGKQIKNLYSATMLHQAGIKFKALPDECLLDIRAWKETENTVKKGELHMPPLEIDNSTECLFRNLMALEQCHYPRQEFICRYVKLLDFLVDVEKDVDLLIENKVIVSRLGDSKAVAELMNKLCLEIVEVTSGYDALSQLLNDYYDSSWNKNKAYLVSVYFQNVWIGTGTVIGLIILAITVTRFILFFFR >Potri.014G053900.6.v4.1 pep chromosome:Pop_tri_v4:14:3454491:3456897:1 gene:Potri.014G053900.v4.1 transcript:Potri.014G053900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053900.v4.1 MEENELSNSMNHNEKPCKDIAIEIPEDLIPKDLETTFRPEECIYKAPAALCDSNRACYTPRVISIGPFHHGSEKLMPMEIQKQRYLKEFCKRLRGKTKESLKVLWRTIEDLEDDIKRRYAANTFDFSRDQFVKMILFDAVFIFELFLKNEEDMRDNQRYQDDFVIGKPWLRAAIQRDLILLENQLPFFILEKLYSLAIEETKPDYRSFLDLSCRYFEKYGKNKTKPYNVLHFTDLVRHFLSFKHPQLESPDGKQIKNLYSATMLHQAGIKFKALPDECLLDIRAWKETENTVKKGELHMPPLEIDNSTECLFRNLMALEQCHYPRQEFICRYVKLLDFLVDVEKDVDLLIENKVIVSRLGDSKAVAELMNKLCLEIVEVTSGYDALSQLLNDYYDSSWNKNKAYLVSVYFQNVWIGTGTVIGLIILAITVTRFILFFFR >Potri.014G053900.7.v4.1 pep chromosome:Pop_tri_v4:14:3454478:3457023:1 gene:Potri.014G053900.v4.1 transcript:Potri.014G053900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053900.v4.1 MEENELSNSMNHNEKPCKDIAIEIPEDLIPKDLETTFRPEECIYKAPAALCDSNRACYTPRVISIGPFHHGSEKLMPMEIQKQRYLKEFCKRLRGKTKESLKVLWRTIEDLEDDIKRRYAANTFDFSRDQFVKMILFDAVFIFELFLKNEEDMRDNQRYQDDFVIGKPWLRAAIQRDLILLENQLPFFILEKLYSLAIEETKPDYRSFLDLSCRYFEKYGKNKTKPYNVLHFTDLVRHFLSFKHPQLESPDGKQIKNLYSATMLHQAGIKFKALPDECLLDIRAWKETENTVKKGELHMPPLEIDNSTECLFRNLMALEQCHYPRQEFICRYVKLLDFLVDVEKDVDLLIENKVIVSRLGDSKAVAELMNKLCLEIVEVTSGYDALSQLLNDYYDSSWNKNKAYLVSVYFQNVWIGTGTVIGLIILAITVTRFILFFFR >Potri.015G022400.1.v4.1 pep chromosome:Pop_tri_v4:15:1658392:1663504:-1 gene:Potri.015G022400.v4.1 transcript:Potri.015G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022400.v4.1 MRFLPLLNQTSKRNHPKKPIIHSLTMARFLFSKYTEEPEPKEFIDYLDSLKNYEKLGVPKDAGTDSDDGLDLGRMRRLMDRLGNPQSKFKAVHVAGTKGKGSTAAYLSNILRAEGYSVGCYTSPHMMSIRERISLGQSGNPVSTKTLNKLFHMIKPKLDEAIQLENGSLTHFEVLTATAFTLMAEEKVDIAVIEAGLGGARDATNILCSSELAASVITTIGEEHLAALGGSLESIAVAKSGIIKYGRPVVLGGPFLSHVDRILRDKASVMCSPVVSASDAGIRTSIKGLIILDGRPCQLSDIMIQVERDFPLFIELSDVKLRMLGRHQLHNASSAACVALCLRDQGCRISDRSIRAGLENTFLLGRSQFLSSKETEVLGLPGATILLDGAHTKDSAKALVDTVRMAFPDARVALVVAMASDKDHLAFAREFLSGLQLEAVFLTEADIAGGKSRTTSASLLMDCWIQASEELGINTLHDGMEKNRELLEENKIILATEKSPEVAMRAANETLRRRAGNRSSVIVVTGSLHIVSLLLASLHR >Potri.019G031200.7.v4.1 pep chromosome:Pop_tri_v4:19:4354579:4356383:1 gene:Potri.019G031200.v4.1 transcript:Potri.019G031200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031200.v4.1 MVGNLGKPYSETAAVIDQGGGSVQMAYAISRENAEKAPTVADGEDPYVEKFLLRGAEYYVYVHSYLSYGLLASRAEILKVSRNSSNECVATGYNGVYKYGGKEYKASSSPTGTSFKKCRTLVLKALKVNAPCNYVNCTFGGVWNGGGGDGQNNFYASSFFFSMSQAAGFVDANAYTATASAADFKKAAKRACETRFEDASSRFPNALEEDLPFLCMDFTYEYTLLVDGFGLHPQKKFSVEEKVKYKNSLMEAAWPLGSAIEAVSPSSASFLK >Potri.019G031200.5.v4.1 pep chromosome:Pop_tri_v4:19:4353538:4356337:1 gene:Potri.019G031200.v4.1 transcript:Potri.019G031200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031200.v4.1 MNNKLKLMGLIPFFLLMVFVLPTSAAYKFTNPRIVLPVRSKGLDADSRSYAVVFDAGSTGSRVHVFCFDQNFDLLPVGNDTDFEFFAQVRPGLSAYAKDPQAAANSLVPLLNEAESVVPEEFSPKTPVKLGATAGLRLLEGDSAERILEAVRDLLSHGSLEYEADDVSILSGSQEGYYMWIAINYMVGNLGKPYSETAAVIDQGGGSVQMAYAISRENAEKAPTVADGEDPYVEKFLLRGAEYYVYVHSYLSYGLLASRAEILKVSRNSSNECVATGYNGVYKYGGKEYKASSSPTGTSFKKCRTLVLKALKVNAPCNYVNCTFGGVWNGGGGDGQNNFYASSFFFSMSQAAGFVDANAYTATASAADFKKAAKRACETRFEDASSRFPNALEEDLPFLCMDFTYEYTLLVDGFGKSYKLFLL >Potri.019G031200.6.v4.1 pep chromosome:Pop_tri_v4:19:4353976:4356364:1 gene:Potri.019G031200.v4.1 transcript:Potri.019G031200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031200.v4.1 MWIAINYMVGNLGKPYSETAAVIDQGGGSVQMAYAISRENAEKAPTVADGEDPYVEKFLLRGAEYYVYVHSYLSYGLLASRAEILKVSRNSSNECVATGYNGVYKYGGKEYKASSSPTGTSFKKCRTLVLKALKVNAPCNYVNCTFGGVWNGGGGDGQNNFYASSFFFSMSQAAGFVDANAYTATASAADFKKAAKRACETRFEDASSRFPNALEEDLPFLCMDFTYEYTLLVDGFGLHPQKKFSVEEKVKYKNSLMEAAWPLGSAIEAVSPSSASFLK >Potri.019G031200.2.v4.1 pep chromosome:Pop_tri_v4:19:4353543:4356336:1 gene:Potri.019G031200.v4.1 transcript:Potri.019G031200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031200.v4.1 MNNKLKLMGLIPFFLLMVFVLPTSAAYKFTNPRIVLPVRSKGLDADSRSYAVVFDAGSTGSRVHVFCFDQNFDLLPVGNDTDFEFFAQVRPGLSAYAKDPQAAANSLVPLLNEAESVVPEEFSPKTPVKLGATAGLRLLEGDSAERILEAVRDLLSHGSLEYEADDVSILSGSQEGYYMWIAINYMVGNLGKPYSETAAVIDQGGGSVQMAYAISRENAEKAPTVADGEDPYVEKFLLRGAEYYVYVHSYLSYGLLASRAEILKVSRNSSNECVATGYNGVYKYGGKEYKASSSPTGTSFKKCRTLVLKALKVNAPCNYVNCTFGGVWNGGGGDGQNNFYASSFFFSMSQAAGFVDANAYTATASAADFKKAAKRACETRFEDASSRFPNALEEDLPFLCMDFTYEYTLLVDGFGLHPQKKFSVEEKVKYKNSLMEAAWPLGSAIEAVSPSSASFLK >Potri.001G371400.2.v4.1 pep chromosome:Pop_tri_v4:1:38901087:38902240:1 gene:Potri.001G371400.v4.1 transcript:Potri.001G371400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371400.v4.1 MFILPILIFLIILPIAEAESSNISRLCLLSLLRSPVGWRQTRKVPFSIELSEKLSKRSKMSNKSNSVRDQKPSKILKKQQQVTPEIMASAIDMEVLKKKSPLHNPGTSPRLHRALTKPRSTERGNCLCSPTTHAGSFKCRFHRSSGMIRGGSIGSNLSELDGKSRAISDLV >Potri.004G059300.1.v4.1 pep chromosome:Pop_tri_v4:4:4954940:4957168:-1 gene:Potri.004G059300.v4.1 transcript:Potri.004G059300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059300.v4.1 MVSAVVFYPQNTFFNKPSCVSFSKQLKLGYPIYSLKDGSLAFASQNGVLQSSDRKLPLSSNQEEIMALFRRIQYSISKGESTATEKKNAGRSEKSPTDSILEVLLRSRKQAKDTNTVTEGKNVPTHKRSVPKVQKMQARNALADFKLTRPHSNFTKKFSIPSPSTPGEKNAELNSEASEAKASGSISELPRVEEMKLTELKELAKSRGIKGYSKLKKGELLEFLRS >Potri.005G058900.2.v4.1 pep chromosome:Pop_tri_v4:5:3722848:3726037:-1 gene:Potri.005G058900.v4.1 transcript:Potri.005G058900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058900.v4.1 MGKKSSLPPGFRFHPTDVELVKYYLKRKVLGKKLHFQAIAEVEIYKYAPWDLPGKSCLRTGDLKWYFFCPTEKKYASGVRMKRSTDIGYWKSTGKDRSIQYKNEVVGMIKTLVFHTGRAPKGDRTDWVMYEYRLEEIELADKGIAQDGYVLCVIFKKGPGPNNGAQYGEPFKEEEWGDDDDDDEVEEVNFHKAILPAAMHTPAFMLPKNSNTSIAMSSYVPESTCTGLPVSCPSQTPTACTTLPMVSSNDVASTEDHQVVHEYVNGGEADSSAGISATAYQQPSNPKGSILASSSSYVPENMCYPAYIPSMDASQVEAPQIMDNGGDLLSPLAIFDNDNTENVDFGGIAEPETDIYQNLGDIASSGTGDYISNSQYLMDPTLLDSNVGFLELMDLDTPLYHS >Potri.005G058900.1.v4.1 pep chromosome:Pop_tri_v4:5:3722806:3726121:-1 gene:Potri.005G058900.v4.1 transcript:Potri.005G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058900.v4.1 MGKKSSLPPGFRFHPTDVELVKYYLKRKVLGKKLHFQAIAEVEIYKYAPWDLPGKSCLRTGDLKWYFFCPTEKKYASGVRMKRSTDIGYWKSTGKDRSIQYKNEVVGMIKTLVFHTGRAPKGDRTDWVMYEYRLEEIELADKGIAQDGYVLCVIFKKGPGPNNGAQYGEPFKEEEWGDDDDDDEVEEVNFHKAILPAAMHTPAFMLPKNSNTSIAMSSYVPESTCTGLPVSCPSQTPTACTTLPMVSSNDVASTEDHQVVHEYVNGGEADSSAGISATAYQQPSNPKGSILASSSSYVPENMCYPAYIPSMDASQVEAPQIMDNGGDLLSPLAIFDNDNTENVDFGGIAEPETDIYQNLGDIASSGTGDYISNSQYLMDPTLLDSNVGFLELMDLDTPLYHS >Potri.008G073450.1.v4.1 pep chromosome:Pop_tri_v4:8:4552780:4554972:-1 gene:Potri.008G073450.v4.1 transcript:Potri.008G073450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073450.v4.1 MFFCHCLHCTAFNWHWFTKSCRKLHSKHWVNSSAAEIQVQKQVELRQFHDCQHPVPNRGVHRIDSATIALHERLCSIQGNKSIE >Potri.006G002500.1.v4.1 pep chromosome:Pop_tri_v4:6:213450:215920:-1 gene:Potri.006G002500.v4.1 transcript:Potri.006G002500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002500.v4.1 MVRKQLEISLLVSLTCLLLFPSIFTVRANAATRTSTSSTTLQTSDQQVLDYKIQDQRGDQEEGGGHKDLGDEEEEINPYVFEYDRDFVSRVKTEHGRVDVLQQFTKNSNLLSALANYRVEILEANPLTFITPAHIDADFVLFVVKGRGAITVIHEEIKRETSNLECGDIFRVHADTTFYMVNRDEYEKLYVAKILFPVNLPGNYEAFYGAGGGDSESFFEAFSWDLVEAALNTERGRLEKIFKQQQGKIMNATKQQIEALSQDEEGVRGSNGAWPFPSNVSGSPFNLFKKGAIKSNDYGDLYEADPRDFKPLEYLNLIVSFASITQGSMAGPFHSKAAKIFIVVEGEGYFEMTCPHHSSSSGSSSPTYQNISSHLRRGTIFIAPASYPVAIVASNNSTLKLLCFEVNAQANIRYTLAGKGNVIDAMHIEAKELAFGVAGIEVEQIFRNQMDCFFFPGPSTRQQRQGSRADT >Potri.011G126900.3.v4.1 pep chromosome:Pop_tri_v4:11:15638790:15640507:1 gene:Potri.011G126900.v4.1 transcript:Potri.011G126900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126900.v4.1 MVFPASSSGNPMLSLKVALISTGVLFLAVILKLPVLVTDFAVSELPIMYSSVISWLQPPYLYLVINCIIISILASSKLQLQKPNQEQQVPLPPADIIVLPVQVTEEEEEDISVRVGSAAYVNEAVVASDRYDDYEYLDVEDKTVIVEDCAVESGEVYEREVNKAAPYRSDSIEFLIEKDQNKEKPLVSARLGRRKSLIKASPEGGGKAAALRVSKPKRHDTLETTWKTITDGRPMPLARHLKKSDAWDSHVRRENAPPPKMMTMKKYETFNDSISSSSEKLSRSPHGSGKLRKEPSPSQDELNKRVEAFINKFNEEMRLQRQRSLDQYYQQMSGRGAY >Potri.014G172400.1.v4.1 pep chromosome:Pop_tri_v4:14:12815789:12817577:-1 gene:Potri.014G172400.v4.1 transcript:Potri.014G172400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172400.v4.1 MAAQALVSSSLTSSVETARKVLGARPTQSPFVSSRKSSFVVRAASTPPVKQGNRQLWFASKQSLSYLDGSLPGDFGFDPLGLSDPEGTGGFIEPKWLAYGEIINGRYAMLGAVGAIAPEILGKAGLIPPETALPWFRTGVIPPAGTYSYWADPYTLFVFEMALMGFAEHRRLQDWAKPGSMGKQYFLGFEKYLGGSGEPAYPGGPLFNPLGFGKDEKSLKDLKLKEVKNGRLAMLAILGYFVQGLVTGVGPYQNLLDHLADPVHNNILTSLKFH >Potri.001G185950.1.v4.1 pep chromosome:Pop_tri_v4:1:16520152:16524673:1 gene:Potri.001G185950.v4.1 transcript:Potri.001G185950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185950.v4.1 MIPDQYNIIGQSSYQKKRKQRLARSSKKNPSFTSCCANGKIQLPAAPNTPQFLDDLLNPDKGSLSIKFRHNIRAYNSMFAFTSMGAQIDHTVNSQPGPYIFKINGQCHHLMGSLVPIDAESPRFAQLYIFDTDNEIANRLHPFNNDNCQSSLDENVVNKLIDMLDSSNALVKLFRQVRHRLNNDEFPNFKLRLIGKRDGDSKQYDDPSSNDVCGLIVGDIGESQTDRDIIIEGYSRNLRRISKLHPKFMSLQYPLLFPYGEDGYHTDILFTNQEHYTPSKRQKVTMRAYYAYVIQERLGDSSTLTKGGRLYQQFLVDAFMNVEQERLDFIRSNQENLRTESYKGVQDAVLRGDVNGSSTGKIILPSSLTGSPRYMINNYHDAMAICRHYGNPDLFITFTCNVNWPEIQREIKKSRNYKAEDKPDIIGRVFRYKLNDMISFIKSGQPFGKTIADVCAIEFQKRGLPHTHLLIWLASEYKFRSPQDVDSVISAELPNKADDPHCYAIVSKFMLHGPCGIASPKAQCMKGNQCSKKFPKKFKQSTVFGENGFVFYKRRNFPASFVMKNGIALSNSYVVPYNKELLIRYNAHVNVEICCQSMLIKYLFKYVSKGSDRCRAVIQGQTNDEIQAYLNCRFVCPYEAVWRLLQFPIHSRNPAVERLQIHLPMQHSVVFFGNQNLSSVLRKNGLNKTMLTGWFDQNKEDVEATQLYYSQFPNKYVWDARQKEWIYRTRGFSLGRITYVHPAAGELYFLKMLLNHVKGATSFEYLRCVSGIVYPTFQLACKALGLLDDGKEWAEAFSEAVLTASSSQLRQLFVSVTLFCQIANPQDLLDQFWHTMHDDIRIKLSSFSPHNLHFSDNELKNYVLYELEQLFNALATSLKDYNLPLPNDRLMSEIRNNLLREELNYDISELRSNNEASISLLNTCQKKIYDRVMESISKNQQSLIFVYGHGGTGKTFLWHSLINSIRSEGLIVLAVASSGIASILLPGGRTAHSRFKIPLAINENSTCEIKKNTHLSRLIETTTLIVWDEAPMNNRYCFETLDRSLRDIMGQTGHSNHNQPFGGKSILLGGDYRQILPVIPGGTKEDIINASLSSSPLWPKFEIMLLKQNMRLSIAGLGSDEINEIKTFAKWILKIGDGDLCDIPFFDELDESLIKIPCDLQLHTSGDPIKAMVSAIYPSIEQPALEPFYFKERAIITPKNITVTEINNFILGVTHGPQRIYLSNDSVDASSSDNDNINLLYPLEFINQLEFSGVPSHILALKIGAPIMLLRNLSPMIGLCNGTRLIITQLADRVIEAQIITGSHIGDRVFIPRIIFPINDDKCPFTIKRRQFPIRLCYAMTINKSQGQSLKFVGVFLKEQVFAHGQLYVALSRVTSKKGLKIISCDQEGKQLDYAKNIVYKDVLNLLPKGLFPIQNDF >Potri.003G048200.2.v4.1 pep chromosome:Pop_tri_v4:3:7010199:7010868:-1 gene:Potri.003G048200.v4.1 transcript:Potri.003G048200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048200.v4.1 MTHQHEMFDQDHDQDWNNSRKLQPQAPRSPYAWLKSTTDDLDIKDKYLGLIGKRGKNMKHLCPEDFGYDPRNYSHNFEDDFRREDELCSIIIVGENFLPHERLVVLLQVRRTEIKLWSIYFRIKDICGFYLLQSRCCGLFLAQAIELNFQKQKN >Potri.013G001750.1.v4.1 pep chromosome:Pop_tri_v4:13:137935:138276:1 gene:Potri.013G001750.v4.1 transcript:Potri.013G001750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001750.v4.1 MGGISGYSVEQLQESSPEVGGQETTRFCEGGCHWLYCPPNIFRMKCDRKCLAKHRYCKKSLFKNGDELSIILLWNFAFCFGIRSHPLTKHVLFLSLCCFEINRCLAFYSDKIF >Potri.002G042300.1.v4.1 pep chromosome:Pop_tri_v4:2:2778651:2779232:1 gene:Potri.002G042300.v4.1 transcript:Potri.002G042300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G042300.v4.1 MASRCPGKSSWPELVRAYGEAAAATIERENRNVDAIVLREGTPVTKDFRCNRVWVNEQGVVTRSVP >Potri.001G166700.1.v4.1 pep chromosome:Pop_tri_v4:1:14190092:14193019:-1 gene:Potri.001G166700.v4.1 transcript:Potri.001G166700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166700.v4.1 MKLFSWMQNKLNGKQGNNTKPNAVNSATRHVKQESREEFSDWPHGLLAIGTFGNKELGESNEIQDAEEDQLVEEDPSSSHDLQDFTPEEIGKLQKELTKLLTRKPTSQDKEKETANLPLDRFLNCPSSLEVDRRVSNTAIGDVDDKEDDIERTISVILGRCKDICENNKKKAIGKKSISFLLKKIFVCRSGFAPQPSLRDTLQESRMEKLLRTLLHKKINPQSTSRPSSMKKYIEDKKISKKEKEDDEKQYKTSDGSKWVKTDSEYIVLEI >Potri.003G078601.2.v4.1 pep chromosome:Pop_tri_v4:3:10576288:10578201:1 gene:Potri.003G078601.v4.1 transcript:Potri.003G078601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078601.v4.1 MVGISELVLMACGCFDDTKGAVLVKNLAAKEPSAHGLDAYYKQYYPCLSAAAATIKWTEAEKGVIVTNHPLLLSLSLSLSLSLSLGTFLSLAKCMYHCSLRIFTSVQHAHF >Potri.003G078601.3.v4.1 pep chromosome:Pop_tri_v4:3:10576288:10578201:1 gene:Potri.003G078601.v4.1 transcript:Potri.003G078601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078601.v4.1 MMFQGAVLVKNLAAKEPSAHGLDAYYKQYYPCLSAAAATIKWTEAEKGVIVTNHPLLLSLSLSLSLSLSLGTFLSLAKCMYHCSLRIFTSVQHAHF >Potri.003G078601.1.v4.1 pep chromosome:Pop_tri_v4:3:10576288:10578201:1 gene:Potri.003G078601.v4.1 transcript:Potri.003G078601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078601.v4.1 MILWSSLFLLINMRLMVLMACGCFDDTKGAVLVKNLAAKEPSAHGLDAYYKQYYPCLSAAAATIKWTEAEKGVIVTNHPLLLSLSLSLSLSLSLGTFLSLAKCMYHCSLRIFTSVQHAHF >Potri.003G078601.4.v4.1 pep chromosome:Pop_tri_v4:3:10576288:10578201:1 gene:Potri.003G078601.v4.1 transcript:Potri.003G078601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078601.v4.1 MMFQGAVLVKNLAAKEPSAHGLDAYYKQYYPCLSAAAATIKWTEAEKGVIVTNHPLLLSLSLSLSLSLSLGTFLSLAKCMYHCSLRIFTSVQHAHF >Potri.001G288600.1.v4.1 pep chromosome:Pop_tri_v4:1:30078148:30078786:1 gene:Potri.001G288600.v4.1 transcript:Potri.001G288600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288600.v4.1 MKMSKIALAIISLVSLATVHHAHAQDSPQDFLNAHNAARASVGVGPMRWDDKVAAFARSYINGLRDGCRMVHSGGPYGENLAWGSPDLAGTGAVKMWVDERANYDYNSNSCVGGQCLHYTQVVWRNSVRLGCAKVRCNNGAGTLISCNYDPPGNYNDQRPFEFISSS >Potri.001G288600.2.v4.1 pep chromosome:Pop_tri_v4:1:30078297:30078792:1 gene:Potri.001G288600.v4.1 transcript:Potri.001G288600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288600.v4.1 MRWDDKVAAFARSYINGLRDGCRMVHSGGPYGENLAWGSPDLAGTGAVKMWVDERANYDYNSNSCVGGQCLHYTQVVWRNSVRLGCAKVRCNNGAGTLISCNYDPPGNYNDQRPFEFISSS >Potri.015G082700.1.v4.1 pep chromosome:Pop_tri_v4:15:10794959:10796902:1 gene:Potri.015G082700.v4.1 transcript:Potri.015G082700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082700.v4.1 MGRHSCCLKQKLRKGLWSPEEDEKLLNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISLHEVLGNRWAQIAAQLPGRTDNEIKNLWNSYLKKKLMKQGIDPTTHKPLCQVGVKEEKDCTEKASFQIPQSKGLPIVSNFTAQEPAFLINDTTYNSSGLPEVSREQFLNKQAYDPLSYFEFPAGIDLTGYNPSLSSVYHPTVRSLDQNQFETSSNFGFTSMPSLTSFDHGSMSGTDFSDNSASRMSSMFLNEAKESSSNSSNISNYAGYQMNNMVENAAAFSSWDSDDHKLESVFQYHQVNGVKTEELKPSPWHEAGRLHTHQNSVDFNSYPLTSLSEDITGANFDVFHQI >Potri.005G231500.7.v4.1 pep chromosome:Pop_tri_v4:5:23072245:23075933:1 gene:Potri.005G231500.v4.1 transcript:Potri.005G231500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231500.v4.1 MGAACCVAARDNDFPTGTGCRALHGNAGCSPTLSFRWDNRRRVAGEIEDSSYQMSRGVSRDVSVEMKGTLGSDRGNLSDGLSPLESFGTPISLKSPVHEGMGVNLTTQPSDLSMESNYPMEVKSLAESPDIADLPLPKIAYSVQSSFSTPTADPLPTCGHPLPPNSTPSRRARRSPGHRLLRQISDSRILGLKSPNNYSLSEGRSSFVLSTCSHDLAVESHGGSSDGWSMRTFSELVASSQRGRWSFDSEHFGAGFGKISGCSSRFSCSPSLDPQACGACSKFLTEKSVWSSQRIAGTHEFPVVAMLVCGHVYHAECLEATTPEVDKYDPACPICEGGEKQVLKMSKKALKTEAELKAKSLKISRNRVVDSYLDSDSDDFYQQKNAIQDRDAAKMDPSSSVASSSLKPFLRRHFSFRSKWSRTLSEKRGFWARHRKD >Potri.005G231500.6.v4.1 pep chromosome:Pop_tri_v4:5:23072243:23075914:1 gene:Potri.005G231500.v4.1 transcript:Potri.005G231500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231500.v4.1 MESNYPMEVKSLAESPDIADLPLPKIAYSVQSSFSTPTADPLPTCGHPLPPNSTPSRRARRSPGHRLLRQISDSRILGLKSPNNYSLSEGRSSFVLSTCSHDLAVESHGGSSDGWSMRTFSELVASSQRGRWSFDSEHFGAGFGKISGCSSRFSCSPSLDPQACGACSKFLTEKSVWSSQRIAGTHEFPVVAMLVCGHVYHAECLEATTPEVDKYDPACPICEGGEKQVLKMSKKALKTEAELKAKSLKISRNRVVDSYLDSDSDDFYQQKNAIQDRDAAKMDPSSSVASSSLKPFLRRHFSFRSKWSRTLSEKRGFWARHRKD >Potri.017G013800.1.v4.1 pep chromosome:Pop_tri_v4:17:967363:970242:1 gene:Potri.017G013800.v4.1 transcript:Potri.017G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013800.v4.1 MDNGTKKWGFKAKKGMNSTASISVRGVLNRLAENLNKEDKREVIPLAHGDPSAFPCFRTTPVADEAIFDAVRSAKNNHYAPTVGLLPARRAAADYLNRDLPYKLSPDDVFLTLGCIQAIEIAVTVLAAIPGANVLLPRPGFPYYEARAAHCCLDVRHFDLLPEKGWEVDLEAVEALADENTVAMVIINPGNPCGSVYSYQHLEKVAETARMLGIMVISDEVYGHLTFGSAPFVPMGVFASTVPVLTLGSISKRWIVPGWRMGWLVTNDPNGILQDSGIVASIKDYLNISSDPPTFIQAAVPQIIENTKDGFFSKINNILGEAADICYGKIQDIPCIICPHKPEGSMFVMVKLNLTLLEGIDDDVDFCLKLAKEESVMVLPGIAVGMKNWLRITFAIEPSALEVGLERLKVFCQRHAKKQ >Potri.007G115800.1.v4.1 pep chromosome:Pop_tri_v4:7:13566417:13568583:-1 gene:Potri.007G115800.v4.1 transcript:Potri.007G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115800.v4.1 MARNEETTPLPPSPRESLSSLRARSGPDLFLVVCRCFSVVTALTAILCIAVNLLSAIQSFKNGSDVFDGIFRCYAVIIAVIVVVAETEWGFIIKYWKVLEYWVGRGMLQIFVAVMTRAFPDYSSKQKQLVILENVASYLLLGCGVVYVVLGILCIGCLKRAQQKKKTTRVQAIKDLEELEQRREELEQSLVADRS >Potri.019G093800.1.v4.1 pep chromosome:Pop_tri_v4:19:13078384:13080752:-1 gene:Potri.019G093800.v4.1 transcript:Potri.019G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093800.v4.1 MFSLNMGNNLLSIILAMMLAVTMPQLLMSQNCGCAPNLCCSQFGFCGTGDAYCGQGCREGPCTPSTPSNNDVTVADVVTPEFFNGIINQAGGDCAGKSFYTRDAFLSALNSYSQFGKIGSNDDSKREIAAFFAHVTHETGHFCYIEEINGASHDYCDETNTQYPCNPNKNYFGRGPLQLTWNYNYGAAGGANNFDGLNSPETVANDPVVSFKTALWFWMTNVRPVVTQGFGATIRAINGAVECNGGNSGAVQARIGYYTDYCNQFGVAPGDNLSC >Potri.003G001000.2.v4.1 pep chromosome:Pop_tri_v4:3:406325:412992:1 gene:Potri.003G001000.v4.1 transcript:Potri.003G001000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G001000.v4.1 MVSAAMNHTSGGNPHPGGCNDALYKELWHACAGPLVTLPCEGERVYYFPQGHMEQLEASMHQGMEQQMPSFNLPSKILCKVVNVQRRAEPETDEVYAQITLLPEPDQSEVTSPDPPLPEPERCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQTNMPSSVISSQSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVNLNKYIEAQNHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNISSGWADSEWRSLKVHWDEPSSILRPERVSPWDLEPLVATTPSNSQPMQRNKRPRPSVLPSPTANLSALGMWKPSVESSAFSYGESQRGRDPYPSPNFSTTAKANSLSFCGNSQVTSVSPNSMYRPNQVESVTDSFAPVVNKDLGERRQGTGIGYRLFGIQLIDNFNAEGTSPVVTVSGTVGNDRPVVSLEAESDQHSEPEKSCLRSHQELQSRQIRSCTKVHMQGVAVGRAVDLTQFEHYEDLLRKLEEMFDIEGELSGSTKKWQVVYTDNEDDMMKVGDDPWHEFCSMVKKIFIYASEEVKRLSPKIKLSGDEEIKGDSANANADASVNTEDRSSVVGPGC >Potri.003G001000.3.v4.1 pep chromosome:Pop_tri_v4:3:406211:413159:1 gene:Potri.003G001000.v4.1 transcript:Potri.003G001000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G001000.v4.1 MNHTSGGNPHPGGCNDALYKELWHACAGPLVTLPCEGERVYYFPQGHMEQLEASMHQGMEQQMPSFNLPSKILCKVVNVQRRAEPETDEVYAQITLLPEPDQSEVTSPDPPLPEPERCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQTNMPSSVISSQSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVNLNKYIEAQNHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNISSGWADSEWRSLKVHWDEPSSILRPERVSPWDLEPLVATTPSNSQPMQRNKRPRPSVLPSPTANLSALGMWKPSVESSAFSYGESQRGRDPYPSPNFSTTAKANSLSFCGNSQVTSVSPNSMYRPNQVESVTDSFAPVVNKDLGERRQGTGIGYRLFGIQLIDNFNAEGTSPVVTVSGTVGNDRPVVSLEAESDQHSEPEKSCLRSHQELQSRQIRSCTKVHMQGVAVGRAVDLTQFEHYEDLLRKLEEMFDIEGELSGSTKKWQVVYTDNEDDMMKVGDDPWHEFCSMVKKIFIYASEEVKRLSPKIKLSGDEEIKGDSANANADASVNTEDRSSVVGPGC >Potri.003G001000.1.v4.1 pep chromosome:Pop_tri_v4:3:406208:413092:1 gene:Potri.003G001000.v4.1 transcript:Potri.003G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G001000.v4.1 MNHTSGGNPHPGGCNDALYKELWHACAGPLVTLPCEGERVYYFPQGHMEQLEASMHQGMEQQMPSFNLPSKILCKVVNVQRRAEPETDEVYAQITLLPEPDQSEVTSPDPPLPEPERCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQTNMPSSVISSQSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVNLNKYIEAQNHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNISSGWADSEWRSLKVHWDEPSSILRPERVSPWDLEPLVATTPSNSQPMQRNKRPRPSVLPSPTANLSALGMWKPSVESSAFSYGESQRGRDPYPSPNFSTTAKANSLSFCGNSQVTSVSPNSMYRPNQVESVTDSFAPVVNKDLGERRQGTGIGYRLFGIQLIDNFNAEGTSPVVTVSGTVGNDRPVVSLEAESDQHSEPEKSCLRSHQELQSRQIRSCTKVHMQGVAVGRAVDLTQFEHYEDLLRKLEEMFDIEGELSGSTKKWQVVYTDNEDDMMKVGDDPWHEFCSMVKKIFIYASEEVKRLSPKIKLSGDEEIKGDSANANADASVNTEDRSSVVGPGC >Potri.001G259216.1.v4.1 pep chromosome:Pop_tri_v4:1:27556264:27557303:1 gene:Potri.001G259216.v4.1 transcript:Potri.001G259216.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259216.v4.1 MTRLLSQTFRSVKLKASSSKPPPPQQQQPSSSSSLPRSRKTRAKSSSNSKSRSWSVYLILSTNHPIKTYVGVTTNFSRRLKQHNGELKGGAKASRAGRPWICACIIRGFNDRSEACKFESKWKSFSRKFPRKRIDDDQMKQSRKDSHRLLQHRKTALDRVKGSFDLSHLEIDWKLNTF >Potri.008G176700.2.v4.1 pep chromosome:Pop_tri_v4:8:12208292:12209076:1 gene:Potri.008G176700.v4.1 transcript:Potri.008G176700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176700.v4.1 MDCKDMEENGTKTRSLRFQDYYNRRVFLRSYPLHFGAEDEKTNEEKVSATNKDTEKKPIRRMFSAVIHWGEGKVLILRKFKDKLQVTKTRSLRFEDYNNRRVFLAVIHWGEGKVLILRKFMHKLQVYIIACMPISFKPPTALVSI >Potri.010G138300.3.v4.1 pep chromosome:Pop_tri_v4:10:15247986:15251344:1 gene:Potri.010G138300.v4.1 transcript:Potri.010G138300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138300.v4.1 MLLTRLASYTVCWLFTVANKPKPHLLHQGTAAGLQSSAKRARTMSSTSESSSSSSSFKDAFGNYANYLNKLNEKRERVVKASRDITMNSKKVIFQVHRISKDNRDEVLDKAEKDLAAVTEQYILKLVKELQGTDFWKLRRAYSPGVQEYVEAATFCKFCRTGTLLNLDEINATLLPLSEPSVEPLQINVLDYLLGLADLTGELMRLAIGRISDGELEYAKKICQFVHDIYRELTLIVPYMDDSSDMKTKMDTMLQSVVKIENACYGVHVRGSEYTPLLGASEPSSFLLGVSDVEL >Potri.010G138300.10.v4.1 pep chromosome:Pop_tri_v4:10:15247913:15251266:1 gene:Potri.010G138300.v4.1 transcript:Potri.010G138300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138300.v4.1 MLLTRLASYTVCWLFTVANKPKPHLLHQGTAAGLQSSAKRARTMSSTSESSSSSSSFKDAFGNYANYLNKLNEKRERVVKASRDITMNSKKVIFQVHRISKDNRDEVLDKAEKDLAAVTEQYILKLVKELQGTDFWKLRRAYSPGVQEYVEAATFCKFCRTGTLLNLDEINATLLPLSEPSVEPLQINVLDYLLGLADLTGELMRLAIGRISDGELEYAKKICQFVHDIYRELTLIVPYMDDSSDMKTKMDTMLQSVVKIENACYGVHVRGSEYTPLLGASEPSSFLLGVSDVEL >Potri.011G107500.1.v4.1 pep chromosome:Pop_tri_v4:11:13592344:13593097:-1 gene:Potri.011G107500.v4.1 transcript:Potri.011G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107500.v4.1 MACMPLVSGFMPKSTLVLRMASTRLCLQRKTLIMAVNIPIARRGAEVSHGGGGKINQTRKSMDAVRMAEEKLSLGGFNSVKENKKQSKEKVDDGITVNTETSG >Potri.017G103701.2.v4.1 pep chromosome:Pop_tri_v4:17:11415247:11423914:1 gene:Potri.017G103701.v4.1 transcript:Potri.017G103701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103701.v4.1 MASTSVQGITSSSSSPPLYKYDVFLSFRGKDTRNNFTSHLQTNLAQRGIDAYMDDRELERGKTIEPALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQGMKEMGHTVLPVFYDVDPSETYEKAFVEHEQNFKENLEKVQIWKDCLSTVTNLSGWDIRNRNESESIKIIAEYISYKLSVTLPTISKKLVGIDSRVEVLNGYIGEEVGEAIFIGICGMGGIGKTTVSRVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAEPGWFGPRSRIIITSRDKNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMHEIPDCKIMDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDSCGFNAGIGIPVLIERSLISVYGDQVWMHNLLQIMGKEIVRCEDPKEPGKRSRLWTYEDVSLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPEDLSKELRFLEWHSYPSKSLPAGLQVDGLVELHMANSSIEQLWYGCKSAVNLKVINLSNSLNLSKTPDLTGIPNLSSLILEGCTSLSEVHPSLGRHKNLQYVNLVNCKSFRILPSNLEMESLKVFTLDGCTKLEKFPDIVGNMNCLMELCLDGTGIAELSSSIHHLIGLEVLSMNNCKNLESIPSSIGCLKSLKKLDLSGCSELKNIPENLGKVESLEEFDVSGTSIRQPPASIFLLKSLKVLSFDGCKRIAVNPTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINKLFGLETLVLEDCRMLESLPEVPSKVQTLNLNGCIRLKEIPDPIKLSSSKRSEFICIDCRELYEHKGQDSLGLTMLERYLQGLSNPRPGFGIAFPGNEIPGWFNHRSKGSSISVLVPSWSLGFVACVAFSANGESPSLFCHFKANGIENYPSPMCISCNSIQVLSDHIWLLYLSFDYLKELKEWQHGSFSNIELSFHSFQPGVKVKNCGVCLLYYSSSKSSARFIVASKEASSSSFTSSLSVSSSYRQWVQDFFLSFRGADTSNDFIHLNTALALRVIIPDDKELEKVMAIRSRLFEAIEESGLSIIIFARDCASLPWCFDELVKIVGFMDEMRSDTVFPVSYDVKQSKIDDQTESYTIVFDKDEEDFRENEEKVQRWTNILTEVLFSSGPRRLHLTDAELMLYLKRKICENSFKFDTIPDVDVYKWDPEELPELSPLENRQWYFFGPRYRRYPRTGARLNRATKQGYWKPAGRVRNIVCNSRKVGVKKTLVFYRGRAPRGERTDWEMQEYTLNEKELKGCTNVQDCYALYKLYKNKKRVVKIFGIQVYHVPN >Potri.017G103701.6.v4.1 pep chromosome:Pop_tri_v4:17:11415245:11423859:1 gene:Potri.017G103701.v4.1 transcript:Potri.017G103701.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103701.v4.1 MASTSVQGITSSSSSPPLYKYDVFLSFRGKDTRNNFTSHLQTNLAQRGIDAYMDDRELERGKTIEPALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQGMKEMGHTVLPVFYDVDPSETYEKAFVEHEQNFKENLEKVQIWKDCLSTVTNLSGWDIRNRNESESIKIIAEYISYKLSVTLPTISKKLVGIDSRVEVLNGYIGEEVGEAIFIGICGMGGIGKTTVSRVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAEPGWFGPRSRIIITSRDKNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMHEIPDCKIMDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDSCGFNAGIGIPVLIERSLISVYGDQVWMHNLLQIMGKEIVRCEDPKEPGKRSRLWTYEDVSLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPEDLSKELRFLEWHSYPSKSLPAGLQVDGLVELHMANSSIEQLWYGCKSAVNLKVINLSNSLNLSKTPDLTGIPNLSSLILEGCTSLSEVHPSLGRHKNLQYVNLVNCKSFRILPSNLEMESLKVFTLDGCTKLEKFPDIVGNMNCLMELCLDGTGIAELSSSIHHLIGLEVLSMNNCKNLESIPSSIGCLKSLKKLDLSGCSELKNIPENLGKVESLEEFDVSGTSIRQPPASIFLLKSLKVLSFDGCKRIAVNPTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINKLFGLETLVLEDCRMLESLPEVPSKVQTLNLNGCIRLKEIPDPIKLSSSKRSEFICIDCRELYEHKGQDSLGLTMLERYLQGLSNPRPGFGIAFPGNEIPGWFNHRSKGSSISVLVPSWSLGFVACVAFSANGESPSLFCHFKANGIENYPSPMCISCNSIQVLSDHIWLLYLSFDYLKELKEWQHGSFSNIELSFHSFQPGVKVKNCGVCLLYYSSSKSSARFIVASKEASSSSFTSSLSVSSSYRQWVQDFFLSFRGADTSNDFIHLNTALALRVIIPDDKELEKVMAIRSRLFEAIEESGLSIIIFARDCASLPWCFDELVKIVGFMDEMRSDTVFPVSYDVKQSKIDDQTESYTIVFDKDEEDFRENEEKVQRWTNILTEVLFSSGPRRLHLTDAELMLYLKRKICENSFKFDTIPDVDVYKWDPEELPELSPLENRQWYFFGPRYRRYPRTGARLNRATKQGYWKPAGRVRNIVCNSRKVGVKKTLVFYRGRAPRGERTDWEMQEYTLNEKELKGCTNVQDCYALYKLYKNKKRGNVPGIIFQYNKH >Potri.017G103701.7.v4.1 pep chromosome:Pop_tri_v4:17:11418535:11422226:1 gene:Potri.017G103701.v4.1 transcript:Potri.017G103701.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103701.v4.1 MGGIGKTTVSRVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAEPGWFGPRSRIIITSRDKNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMHEIPDCKIMDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDSCGFNAGIGIPVLIERSLISVYGDQVWMHNLLQIMGKEIVRCEDPKEPGKRSRLWTYEDVSLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPEDLSKELRFLEWHSYPSKSLPAGLQVDGLVELHMANSSIEQLWYGCKSAVNLKVINLSNSLNLSKTPDLTGIPNLSSLILEGCTSLSEVHPSLGRHKNLQYVNLVNCKSFRILPSNLEMESLKVFTLDGCTKLEKFPDIVGNMNCLMELCLDGTGIAELSSSIHHLIGLEVLSMNNCKNLESIPSSIGCLKSLKKLDLSGCSELKNIPENLGKVESLEEFDVSGTSIRQPPASIFLLKSLKVLSFDGCKRIAVNPTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINKLFGLETLVLEDCRMLESLPEVPSKVQTLNLNGCIRLKEIPDPIKLSSSKRSEFICIDCRELYEHKGQDSLGLTMLERYLQGLSNPRPGFGIAFPGNEIPGWFNHRSKGSSISVLVPSWSLGFVACVAFSANGESPSLFCHFKANGIENYPSPMCISCNSIQVLSDHIWLLYLSFDYLKELKEWQHGSFSNIELSFHSFQPGVKVKNCGVCLLYYSSSKSSARFIVASKEASSSSFTSSLSVSSSYRQWVQDFFLSFRGADTSNDFIHLNTALALRVIIPDDKELEKVMAIRSRLFEAIEESGLSIIIFARDCASLPWCFDELVKIVGFMDEMRSDTVFPVSYDVKQSKIDDQTESYTIVFDKDEEDFRENEEKVQRWTNILTEVLFSSGPRR >Potri.017G103701.3.v4.1 pep chromosome:Pop_tri_v4:17:11415127:11423858:1 gene:Potri.017G103701.v4.1 transcript:Potri.017G103701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103701.v4.1 MASTSVQGITSSSSSPPLYKYDVFLSFRGKDTRNNFTSHLQTNLAQRGIDAYMDDRELERGKTIEPALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQGMKEMGHTVLPVFYDVDPSETYEKAFVEHEQNFKENLEKVQIWKDCLSTVTNLSGWDIRNRNESESIKIIAEYISYKLSVTLPTISKKLVGIDSRVEVLNGYIGEEVGEAIFIGICGMGGIGKTTVSRVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAEPGWFGPRSRIIITSRDKNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMHEIPDCKIMDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDSCGFNAGIGIPVLIERSLISVYGDQVWMHNLLQIMGKEIVRCEDPKEPGKRSRLWTYEDVSLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPEDLSKELRFLEWHSYPSKSLPAGLQVDGLVELHMANSSIEQLWYGCKSAVNLKVINLSNSLNLSKTPDLTGIPNLSSLILEGCTSLSEVHPSLGRHKNLQYVNLVNCKSFRILPSNLEMESLKVFTLDGCTKLEKFPDIVGNMNCLMELCLDGTGIAELSSSIHHLIGLEVLSMNNCKNLESIPSSIGCLKSLKKLDLSGCSELKNIPENLGKVESLEEFDVSGTSIRQPPASIFLLKSLKVLSFDGCKRIAVNPTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINKLFGLETLVLEDCRMLESLPEVPSKVQTLNLNGCIRLKEIPDPIKLSSSKRSEFICIDCRELYEHKGQDSLGLTMLERYLQGLSNPRPGFGIAFPGNEIPGWFNHRSKGSSISVLVPSWSLGFVACVAFSANGESPSLFCHFKANGIENYPSPMCISCNSIQVLSDHIWLLYLSFDYLKELKEWQHGSFSNIELSFHSFQPGVKVKNCGVCLLYYSSSKSSARFIVASKEASSSSFTSSLSVSSSYRQWVQDFFLSFRGADTSNDFIHLNTALALRVIIPDDKELEKVMAIRSRLFEAIEESGLSIIIFARDCASLPWCFDELVKIVGFMDEMRSDTVFPVSYDVKQSKIDDQTESYTIVFDKDEEDFRENEEKVQRWTNILTEVLFSSGPRRLHLTDAELMLYLKRKICENSFKFDTIPDVDVYKWDPEELPELSPLENRQWYFFGPRYRRYPRTGARLNRATKQGYWKPAGRVRNIVCNSRKVGVKKTLVFYRGRAPRGERTDWEMQEYTLNEKELKGCTNVQDCYALYKLYKNKKRVVKIFGIQVYHVPN >Potri.017G103701.5.v4.1 pep chromosome:Pop_tri_v4:17:11415553:11423914:1 gene:Potri.017G103701.v4.1 transcript:Potri.017G103701.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103701.v4.1 MASTSVQGITSSSSSPPLYKYDVFLSFRGKDTRNNFTSHLQTNLAQRGIDAYMDDRELERGKTIEPALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQGMKEMGHTVLPVFYDVDPSETYEKAFVEHEQNFKENLEKVQIWKDCLSTVTNLSGWDIRNRNESESIKIIAEYISYKLSVTLPTISKKLVGIDSRVEVLNGYIGEEVGEAIFIGICGMGGIGKTTVSRVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAEPGWFGPRSRIIITSRDKNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMHEIPDCKIMDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDSCGFNAGIGIPVLIERSLISVYGDQVWMHNLLQIMGKEIVRCEDPKEPGKRSRLWTYEDVSLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPEDLSKELRFLEWHSYPSKSLPAGLQVDGLVELHMANSSIEQLWYGCKSAVNLKVINLSNSLNLSKTPDLTGIPNLSSLILEGCTSLSEVHPSLGRHKNLQYVNLVNCKSFRILPSNLEMESLKVFTLDGCTKLEKFPDIVGNMNCLMELCLDGTGIAELSSSIHHLIGLEVLSMNNCKNLESIPSSIGCLKSLKKLDLSGCSELKNIPENLGKVESLEEFDVSGTSIRQPPASIFLLKSLKVLSFDGCKRIAVNPTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINKLFGLETLVLEDCRMLESLPEVPSKVQTLNLNGCIRLKEIPDPIKLSSSKRSEFICIDCRELYEHKGQDSLGLTMLERYLQGLSNPRPGFGIAFPGNEIPGWFNHRSKGSSISVLVPSWSLGFVACVAFSANGESPSLFCHFKANGIENYPSPMCISCNSIQVLSDHIWLLYLSFDYLKELKEWQHGSFSNIELSFHSFQPGVKVKNCGVCLLYYSSSKSSARFIVASKEASSSSFTSSLSVSSSYRQWVQDFFLSFRGADTSNDFIHLNTALALRVIIPDDKELEKVMAIRSRLFEAIEESGLSIIIFARDCASLPWCFDELVKIVGFMDEMRSDTVFPVSYDVKQSKIDDQTESYTIVFDKDEEDFRENEEKVQRWTNILTEVLFSSGPRRLHLTDAELMLYLKRKICENSFKFDTIPDVDVYKWDPEELPELSPLENRQWYFFGPRYRRYPRTGARLNRATKQGYWKPAGRVRNIVCNSRKVGVKKTLVFYRGRAPRGERTDWEMQEYTLNEKELKGCTNVQDCYALYKLYKNKKRGNVPGIIFQYNKH >Potri.017G103701.1.v4.1 pep chromosome:Pop_tri_v4:17:11415134:11423881:1 gene:Potri.017G103701.v4.1 transcript:Potri.017G103701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103701.v4.1 MASTSVQGITSSSSSPPLYKYDVFLSFRGKDTRNNFTSHLQTNLAQRGIDAYMDDRELERGKTIEPALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQGMKEMGHTVLPVFYDVDPSETYEKAFVEHEQNFKENLEKVQIWKDCLSTVTNLSGWDIRNRNESESIKIIAEYISYKLSVTLPTISKKLVGIDSRVEVLNGYIGEEVGEAIFIGICGMGGIGKTTVSRVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAEPGWFGPRSRIIITSRDKNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMHEIPDCKIMDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDSCGFNAGIGIPVLIERSLISVYGDQVWMHNLLQIMGKEIVRCEDPKEPGKRSRLWTYEDVSLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPEDLSKELRFLEWHSYPSKSLPAGLQVDGLVELHMANSSIEQLWYGCKSAVNLKVINLSNSLNLSKTPDLTGIPNLSSLILEGCTSLSEVHPSLGRHKNLQYVNLVNCKSFRILPSNLEMESLKVFTLDGCTKLEKFPDIVGNMNCLMELCLDGTGIAELSSSIHHLIGLEVLSMNNCKNLESIPSSIGCLKSLKKLDLSGCSELKNIPENLGKVESLEEFDVSGTSIRQPPASIFLLKSLKVLSFDGCKRIAVNPTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINKLFGLETLVLEDCRMLESLPEVPSKVQTLNLNGCIRLKEIPDPIKLSSSKRSEFICIDCRELYEHKGQDSLGLTMLERYLQGLSNPRPGFGIAFPGNEIPGWFNHRSKGSSISVLVPSWSLGFVACVAFSANGESPSLFCHFKANGIENYPSPMCISCNSIQVLSDHIWLLYLSFDYLKELKEWQHGSFSNIELSFHSFQPGVKVKNCGVCLLYYSSSKSSARFIVASKEASSSSFTSSLSVSSSYRQWVQDFFLSFRGADTSNDFIHLNTALALRVIIPDDKELEKVMAIRSRLFEAIEESGLSIIIFARDCASLPWCFDELVKIVGFMDEMRSDTVFPVSYDVKQSKIDDQTESYTIVFDKDEEDFRENEEKVQRWTNILTEVLFSSGPRRLHLTDAELMLYLKRKICENSFKFDTIPDVDVYKWDPEELPELSPLENRQWYFFGPRYRRYPRTGARLNRATKQGYWKPAGRVRNIVCNSRKVGVKKTLVFYRGRAPRGERTDWEMQEYTLNEKELKGCTNVQDCYALYKLYKNKKRGNSSLWSTAYFLRDHATY >Potri.017G103701.4.v4.1 pep chromosome:Pop_tri_v4:17:11415276:11424027:1 gene:Potri.017G103701.v4.1 transcript:Potri.017G103701.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103701.v4.1 MASTSVQGITSSSSSPPLYKYDVFLSFRGKDTRNNFTSHLQTNLAQRGIDAYMDDRELERGKTIEPALWKAIEESRFSVIIFSRDYASSPWCLDELVKIVQGMKEMGHTVLPVFYDVDPSETYEKAFVEHEQNFKENLEKVQIWKDCLSTVTNLSGWDIRNRNESESIKIIAEYISYKLSVTLPTISKKLVGIDSRVEVLNGYIGEEVGEAIFIGICGMGGIGKTTVSRVLYDRIRWQFEGSCFLANVREVFAEKDGPRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKKQLEFLAAEPGWFGPRSRIIITSRDKNVFTGNDDTKIYEAEKLNDDDALMLFSQKAFKNDQPAEDFVELSKQVVGYANGLPLALEVIGSFLYGRSIPEWRGAINRMHEIPDCKIMDVLRISFDGLHESDQKIFLDIACFLKGFKKDRITRILDSCGFNAGIGIPVLIERSLISVYGDQVWMHNLLQIMGKEIVRCEDPKEPGKRSRLWTYEDVSLALMDNTGKEKIEAIFLDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPEDLSKELRFLEWHSYPSKSLPAGLQVDGLVELHMANSSIEQLWYGCKSAVNLKVINLSNSLNLSKTPDLTGIPNLSSLILEGCTSLSEVHPSLGRHKNLQYVNLVNCKSFRILPSNLEMESLKVFTLDGCTKLEKFPDIVGNMNCLMELCLDGTGIAELSSSIHHLIGLEVLSMNNCKNLESIPSSIGCLKSLKKLDLSGCSELKNIPENLGKVESLEEFDVSGTSIRQPPASIFLLKSLKVLSFDGCKRIAVNPTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINKLFGLETLVLEDCRMLESLPEVPSKVQTLNLNGCIRLKEIPDPIKLSSSKRSEFICIDCRELYEHKGQDSLGLTMLERYLQGLSNPRPGFGIAFPGNEIPGWFNHRSKGSSISVLVPSWSLGFVACVAFSANGESPSLFCHFKANGIENYPSPMCISCNSIQVLSDHIWLLYLSFDYLKELKEWQHGSFSNIELSFHSFQPGVKVKNCGVCLLYYSSSKSSARFIVASKEASSSSFTSSLSVSSSYRQWVQDFFLSFRGADTSNDFIHLNTALALRVIIPDDKELEKVMAIRSRLFEAIEESGLSIIIFARDCASLPWCFDELVKIVGFMDEMRSDTVFPVSYDVKQSKIDDQTESYTIVFDKDEEDFRENEEKVQRWTNILTEVLFSSGPRRLHLTDAELMLYLKRKICENSFKFDTIPDVDVYKWDPEELPELSPLENRQWYFFGPRYRRYPRTGARLNRATKQGYWKPAGRVRNIVCNSRKVGVKKTLVFYRGRAPRGERTDWEMQEYTLNEKELKGCTNVQDCYALYKLYKNKKRGNVPGIIFQYNKH >Potri.005G232300.1.v4.1 pep chromosome:Pop_tri_v4:5:23111641:23117599:-1 gene:Potri.005G232300.v4.1 transcript:Potri.005G232300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232300.v4.1 MATKLLLTFAICRLIVTVGLTVDPSELLRLGVDGQLSVDPFDVETASLDFGLISRSEPMAVLHPGSADDIARLVQAAYLSSQGFTVSARGHGHSINGQAQTSNGVVIEMNGGSRGSRLGLGNLAKPQVAVKEMHVDVWGGELWIDVLRSTLEHGLAPKSWTDYLYLSVGGTLSNGGISGQAFNHGPQISNVYELDVVTGKGELMTCSEEKNSKLFHAVLGGLGQFGIITRARIALEPAPQRVRWIRVLYSNFSTFTGDQEYLISMHGKPSTLKFDYVEGFVIVDEGLINNWRSSFFSPRNPVKISSVGANGGVLYCLEITKNYDESTGDTIDQEVEALMKNLNFIPSTVFTTDLPYTDFLDRVHRAELKLRAKGLWEVPHPWLNLFVPRSRIADLDRGVFKGILGNNKTSGPILIYPMNKNKWDQRSSVVTPDEDVFYLVALLRSALDNGEETQSLEYLTDQNRKILRFCDDAGIKVKQYLPHYTTREEWMDHFGDKWDRFYQRKMEFDPRRILATGQRIFNPSSASTSTVSPW >Potri.002G034000.1.v4.1 pep chromosome:Pop_tri_v4:2:2256321:2259547:-1 gene:Potri.002G034000.v4.1 transcript:Potri.002G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034000.v4.1 MGAAALPSNGEIATVTVATHPLVLGLQPAALVDNVAHVDWSLLDQIPGDRGGSMPVAIEELEHILKEVKAHKLASPDELSPMKTMAGGSVANTIRGLSAGFGVSCGIIGACGDDEQGKLFVSNMSFNGVNLSRLRMKQGHTAQCVCMVDELGNRTMRPCLSSAVKVQADELTKEDFKGSKWLVLRYAIFNLEVIQAAIRNAKQEGLFVSLDLASFEMVRNFRSPLLQLLESGDIDLCFANEDEAMELLRGEQTTDPEAAAEFLAKHCNWAVVTLAADGCIARHGKEIVRVPAIGEAKATDATGAGDLFAGGFLYGLIKGLSLEECCQVGACSGGSVIRSLGGEVTPENWQWMYKQMQIKDLPLPDIRN >Potri.005G024250.1.v4.1 pep chromosome:Pop_tri_v4:5:1528608:1530663:-1 gene:Potri.005G024250.v4.1 transcript:Potri.005G024250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024250.v4.1 MSLLDQLWDDTVAGPLPESGLGKLRKMPSLGLRPNPGKESGGGGVMRSHSEEATTVDEKRVTRSIMIVRPPGYTNGSSTTPPASPAGSTPPVSPFPD >Potri.004G200600.1.v4.1 pep chromosome:Pop_tri_v4:4:21097373:21097813:-1 gene:Potri.004G200600.v4.1 transcript:Potri.004G200600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200600.v4.1 MASKNTKCSPTQSEKLSFITKTKSNSFPTALCEETSNPNASLKHTLSSPYSLSYTYTSVKDLIPCVESNSPLSQGGFCTIRSAEDIAISNVLVQKAAWLYLQPLPKTRTSSSSFENLLLGSHLEQANEYLSQIHQQQHSWEDYTCP >Potri.001G239000.2.v4.1 pep chromosome:Pop_tri_v4:1:25669397:25670479:-1 gene:Potri.001G239000.v4.1 transcript:Potri.001G239000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239000.v4.1 MFSESKPFSLTGLPNSQIFFSEKDPNPKEFNFLVRPTLELGDDGETVPQELHQEKEVEEEGKQEDKCEISVPTLKIKFPSLGAFQIEDSDHGDGSKTPTSSDCKIPVIFQCPPAPRKPKSLPSTKRKSPRRRVLLDLSNEVETLFPPALAANLGGKIKKVRQGNDTK >Potri.014G154850.1.v4.1 pep chromosome:Pop_tri_v4:14:10883511:10883873:1 gene:Potri.014G154850.v4.1 transcript:Potri.014G154850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154850.v4.1 MYVRVQHTHETKSSCLLRPFQPLTSPCHGRWKHSLVSRELSNPYQQLIYAYQQSSFPPSPKHTHTHKLKYTLYIAPSLFQTPKSAFTPDQKSTHHKLKTNPQITSNPNQASHQNRSSSTV >Potri.002G041500.8.v4.1 pep chromosome:Pop_tri_v4:2:2716082:2719193:1 gene:Potri.002G041500.v4.1 transcript:Potri.002G041500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041500.v4.1 MHWDVRNNRAALFDGIEEGGIRASSSYSSHEIDEQDNERALEGLEDRVSLLKRLSGDINEEVDSHNLMLDRMGNDMDSSRGVLSGTMDRFKMVFETKSSRRMLTLVASFVVIFLIIYYLTR >Potri.002G041500.9.v4.1 pep chromosome:Pop_tri_v4:2:2716082:2719193:1 gene:Potri.002G041500.v4.1 transcript:Potri.002G041500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041500.v4.1 MHWDVRNNRAALFDGIEEGGIRASSSYSSHEIDEQDNERALEGLEDRVSLLKRLSGDINEEVDSHNLMLDRMGNDMDSSRGVLSGTMDRFKMVILLRWI >Potri.002G041500.6.v4.1 pep chromosome:Pop_tri_v4:2:2715341:2719567:1 gene:Potri.002G041500.v4.1 transcript:Potri.002G041500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041500.v4.1 MNSRRDVRNNRAALFDGIEEGGIRASSSYSSHEIDEQDNERALEGLEDRVSLLKRLSGDINEEVDSHNLMLDRMGNDMDSSRGVLSGTMDRFKMVFETKSSRRMLTLVASFVVIFLIIYYLTR >Potri.002G041500.7.v4.1 pep chromosome:Pop_tri_v4:2:2715456:2719193:1 gene:Potri.002G041500.v4.1 transcript:Potri.002G041500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041500.v4.1 MNSRRDVRNNRAALFDGIEEGGIRASSSYSSHEIDEQDNERALEGLEDRVSLLKRLSGDINEEVDSHNLMLDRMGNDMDSSRGVLSGTMDRFKMVFETKSSRRMLTLVASFVVIFLIIYYLTR >Potri.013G027000.1.v4.1 pep chromosome:Pop_tri_v4:13:1751775:1753987:-1 gene:Potri.013G027000.v4.1 transcript:Potri.013G027000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027000.v4.1 MIFELIIAGFAVLLLTVFILTNKGHGSLPPGPVPLPIIGHLHLLQPLIHRSFRDLCSCYGPIIYLRLGSVPCVVASTPELARELLKTNDLTFSSRKHSLAIDHLTYSSSFAFAPYGPYWRFIKKLSTFEFLGNRALNQFLPVRRKELRQFIGVLHDKSEVCESVNVTEELLNLSSNIISQIILSLRCSGTDNEAEGVRTLVREVTQIFGEFNVSDFIWFCRNLDFRGYRKKFEDVHRRYDALLENIITNREIERKKSGGEYKVKDLLDMMLDALEDKSSEVELTREHIKALVLDFITAATDTTAAATEWALAELINNPKVLEKARQEIDTVVGNKRLVEESDSPNLPYIQAIIKETFRLHPPIPMITRKSIQESKINGYTIPKNTMLFVNIWSIGRDSRYWKNPLEFEPERFLKSEGDMVQSTASMDIKGQHYELLPFGTGRRSCPGIALALQELPVSLAAMIQCFEWKVADPHGVKIKGNALVDMTERPGLTAPRLHDLVCAPVPRPALDSFQP >Potri.002G253500.1.v4.1 pep chromosome:Pop_tri_v4:2:24241114:24246233:1 gene:Potri.002G253500.v4.1 transcript:Potri.002G253500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253500.v4.1 MERNCCHVPLAFVLKLLNFLQAFIGISIIIYSFWMLDQWNNKVPVFPPSAPSPDSSFSSSSLLLLPGSESHSIRDLVFSDVVPRFENGLDFDLNSFQLPAPWFIYSFMGVGVILCCITFIGCIAAESINGCCLCFYTILKIVFILLEAALVAFIAIDRRWEKDLPFDPTGELQSLRIFVEENVDICKWVGITVLIIQALALLLAMILRAMVSTRRNEFDEDDFENVRGRTREPLLNQSGQTFSPGTHSDIWTSRMREKYGLSTGDKPNLLNQNASMSTKSK >Potri.002G130100.1.v4.1 pep chromosome:Pop_tri_v4:2:9857092:9860124:-1 gene:Potri.002G130100.v4.1 transcript:Potri.002G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130100.v4.1 MTLFHFFNCAILTFGPHAVYYSATPLSEYDTLGTSVKAALVYLGTALVKLVCLATFLKVSENDSFDPYQELLKALIGFIDVAGLYFALTQLTHRNISQNHKFQAVGLGWAFADSVLHRLAPLWVGSRGLEFTWDYILQGLEANANLVLSISLAALGSLMWLRKNKPKTLIPIIYACAGIVATMPSITSYLRRGLGWHFPKVVGFELFTSLMMAFISWQLFSACQRPSS >Potri.012G046900.1.v4.1 pep chromosome:Pop_tri_v4:12:4277316:4286139:1 gene:Potri.012G046900.v4.1 transcript:Potri.012G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046900.v4.1 MASNSANLWVLLGLGLAGILLMTKKFKKSIIRQDFGAFIEKLLLVPPPQPPPPKAPHPLTALTFAVSDLFEIEGYVSGFGHPEWAKTHQAASRTSLVVSTLVDGGATCVGKTVIDELAYSIHGENKHYGTPINPVVPARVPGGSCSGAAVAVAANLVDFSLGVDTVGGVRVPAGFCGVIGFRPSYGAISKTGVLPVSASLDTVGWFAKDPNILRRVGHVLLQPAFGGQRSPRQIIMAEDCFQLLKIPVDRVAQVVVNSTEKHFGRQVLKHEILDVYLNSKVPSLKEFHNKKKNGDVKTSSIRLLAYVMQLLHRYEFRSNHEEWINTEKPILEPDFSAQMNEIMKISEAEIELCKSIREEMRLAINSLLKDDGILVVPTMAYLPPKLDGKEILSEEYKSSSFSLLSIASLSGCCQVTVPLGYYDKCPVSVSLIARHGNDRFLLDTLQTMYASLQEQAETHVKSKSRNTDSGENSAEMAKEKGNQAFKEKQWKKAISYYNEAIKLNDKNATYYSNRAAAYLELGSFHQAEADCSKAINLDKKNVKAYLRRGTAREMLGYYKDAIEDFKYALVLEPTNKRASLSAERLRKVFPVGY >Potri.005G209800.8.v4.1 pep chromosome:Pop_tri_v4:5:21391960:21394348:1 gene:Potri.005G209800.v4.1 transcript:Potri.005G209800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209800.v4.1 MEALSPDSGSMDSDIYRTLGLEELRKGVLRSPRVLMLLSSLLDRSVQKNEMLLETTQIKDVVTIFHGLRPPTVSIRNYVDRIFKYSACSPSCFVVAHIYMDRFLQQTDIHLTALNVHRLLITSVMIAAKFVDDAFFNNAYYAKVGGVSTEELNRLEMKFLFSIDFRLQVNVNTFGKHCYQLEKESAGGLQIERPIQACRIKESWSSKDDSTACSSTIAR >Potri.005G209800.2.v4.1 pep chromosome:Pop_tri_v4:5:21391974:21394819:1 gene:Potri.005G209800.v4.1 transcript:Potri.005G209800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209800.v4.1 MEALSPDSGSMDSDIYRTLGLEELRKGVLRSPRVLMLLSSLLDRSVQKNEMLLETTQIKDVVTIFHGLRPPTVSIRNYVDRIFKYSACSPSCFVVAHIYMDRFLQQTDIHLTALNVHRLLITSVMIAAKFVDDAFFNNAYYAKVGGVSTEELNRLEMKFLFSIDFRLQVNVNTFGKHCYQLEKESAGGLQIERPIQACRIKESWSSKDDSTACSSTIAR >Potri.015G010800.2.v4.1 pep chromosome:Pop_tri_v4:15:698441:703461:-1 gene:Potri.015G010800.v4.1 transcript:Potri.015G010800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010800.v4.1 MAVSLLHHPPLTNQRITQAFVDLNSRSLQRGITCFSICLQKQKCSIELYMIPTTTVARHWMLKTFASAGSLNAEVECQENQISSGFSTSNDFGRSKTVADSSSKPSDGVKDFERQLQELFDEVKMMIKMGNKNDAMDLLQANYEFVKEQINAGSSGIEEAATLDIIALGYMAIGDLKSVGFILNKLDEVVERLTDDEPLLDSVLIHMGSMYSALGKFEKSMLVYQRVIAILERIHGKGSAFLVSPLLGMAKILGSIGKATKAIEVYQRVISILESSRGAESKDLVVPLSGLGNLLIKEGRATDAESLFNRILSIYKESYGEYDGRFGMALCSVAHVKCATGNAEEAINLYRKALQVIKDADYMAVDDSIMERMRIDLAELLHVVGRGNEGRELLEECLLITEKYKGKDHPSSVTHLINLATSYSQSKNYVQAERLLRTSLEIMMKSVRPDDSSITFPMLHLAVTLYRLNQDEEAEQLTLEVLHIREKAFGKDSLPVGEALDCLVSIQTRLGKPEAELLDLLKQVLKIQEKEFGYESEEVMRTLKKIVFYLDKTGRKDEKFSMQKRLSVLRMKYNQMMQY >Potri.008G139600.1.v4.1 pep chromosome:Pop_tri_v4:8:9384125:9388110:-1 gene:Potri.008G139600.v4.1 transcript:Potri.008G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139600.v4.1 MWPRLVVNKILGNRLSNNNFVADFPVDARTCSLLDISSLGQPSLSDNTIRNQRKDNFHDYNVFVSTWNVGGIAPQEDLDISDWLDTPNNICDIYVFGFQEIVPLRASNVLGSENSKISMKWNSLIREALNKKIQYCLEKQKYNHKQLGIRTQKTSFNEDEKAVFESSIPEDFRCVICKQMVGILISVWIRSDLRPYVRHPSVSCVGCGIMGLGNKGSVSVRFLLHETSFCFVCSHLASGGREGDEKLRNSDVAEIFSRTSFHRRPSLDLPRNILDHDRVILLGDLNYRVSLPEATTRLLVDRKEWNALLDNDQLRMGLVNGQVFEGWHEGLIKFAPTYKYCLKSNVYFGCAEGQRGGKWRAPAWCDRIIWHGEGLKQHFYTRGESNLSDHRPVKAMFTAEVQVSSTLKGLQKFFLSERFDHQITTTYEMPLSDRYPCKSRSSFKL >Potri.006G237400.3.v4.1 pep chromosome:Pop_tri_v4:6:23938962:23943195:1 gene:Potri.006G237400.v4.1 transcript:Potri.006G237400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237400.v4.1 MLSSMAAAKGPQACSMLFMFWFLVLNSRMLHADNQELELLLSFKSSLNDPLKYLSNWNPSATFCKWQGITCTNSSRITVIELSGKNISGKISSSIFQLPYIQTIDLSSNQLSGKLPDDIFSSSSLRFLNLSNNNFTGPIPNGSIFLLETLDLSNNMLSGKIPQEIGSFSSLKFLDLGGNVLVGKIPLSVTNLTSLEVLTLASNQLVGQIPSELGQMRSLKWIYLGYNNLSGEIPIELGQLTSLNHLDLVYNNLTGQIPSSLGNLSNLQYLFLYQNMLAGPIPKSIFGLTKLISLDLSDNSLSGEIPELIIKLKNLEILHLFSNNFTGKIPVALSSLPRLQILQLWSNKLSGEIPKDLGKRNNLTVLDLSSNSLTGRIPEGLCSSGNLFKLILFSNSLEDEIPKSLSTCNSLRRVRLQDNSLSGELSSEFTKLPLVYFLDISSNNLSGRIDSRKWEMPSLQMLSLARNSFLGGLPDSFGSENLENLDLSQNLFSGAIPRKFGSLSEIMQLRLSKNKISGEIPDELSSCEKLVSLDLSHNKLSGQIPASFSEMPVLGLLDLSHNELSGKIPANLGRVESLVQVNISHNHFHGSLPSTGAFLAINASAIAGNDLCGGDKTSGLPPCRRVKSPMWWFYVACSLGALVLLALVAFGFVFIRGQRNLELKRVENEDGTWELQFFNSKVSKSIAIDDILLSMKEENLISRGKKGASYKGKSITNDMEFIVKKMNDVNSIPLSEISELGKLQHPNIVNLFGLCQSNKVAYVIYEYIEGKSLSEVLLNLSWERRRKIAIGIAKALRFLHCYCSPSVLAGYMSPEKIIIDGKDEPRLILSLPSLLCIETTKCFISSAYVAPETRETKDITEKSDMYGFGLILIELLTGKGPADAEFGGHESIVEWARYCYSDCHLDMWIDPMISGNASINQNELIETMNLALQCTATEPTARPCANEVSKTLESALRKSSCVLGLKFSSLF >Potri.006G237400.5.v4.1 pep chromosome:Pop_tri_v4:6:23938962:23943196:1 gene:Potri.006G237400.v4.1 transcript:Potri.006G237400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237400.v4.1 MLSSMAAAKGPQACSMLFMFWFLVLNSRMLHADNQELELLLSFKSSLNDPLKYLSNWNPSATFCKWQGITCTNSSRITVIELSGKNISGKISSSIFQLPYIQTIDLSSNQLSGKLPDDIFSSSSLRFLNLSNNNFTGPIPNGSIFLLETLDLSNNMLSGKIPQEIGSFSSLKFLDLGGNVLVGKIPLSVTNLTSLEVLTLASNQLVGQIPSELGQMRSLKWIYLGYNNLSGEIPIELGQLTSLNHLDLVYNNLTGQIPSSLGNLSNLQYLFLYQNMLAGPIPKSIFGLTKLISLDLSDNSLSGEIPELIIKLKNLEILHLFSNNFTGKIPVALSSLPRLQILQLWSNKLSGEIPKDLGKRNNLTVLDLSSNSLTGRIPEGLCSSGNLFKLILFSNSLEDEIPKSLSTCNSLRRVRLQDNSLSGELSSEFTKLPLVYFLDISSNNLSGRIDSRKWEMPSLQMLSLARNSFLGGLPDSFGSENLENLDLSQNLFSGAIPRKFGSLSEIMQLRLSKNKISGEIPDELSSCEKLVSLDLSHNKLSGQIPASFSEMPVLGLLDLSHNELSGKIPANLGRVESLVQVNISHNHFHGSLPSTGAFLAINASAIAGNDLCGGDKTSGLPPCRRVKSPMWWFYVACSLGALVLLALVAFGFVFIRGQRNLELKRVENEDGTWELQFFNSKVSKSIAIDDILLSMKEENLISRGKKGASYKGKSITNDMEFIVKKMNDVNSIPLSEISELGKLQHPNIVNLFGLCQSNKVAYVIYEYIEGKSLSEVLLNLSWERRRKIAIGIAKALRFLHCYCSPSVLAGYMSPEKIIIDGKDEPRLILSLPSLLCIETTKCFISSAYVAPETRETKDITEKSDMYGFGLILIELLTGKGPADAEFGGHESIVEWARYCYSDCHLDMWIDPMISGNASINQNELIETMNLALQCTATEPTARPCANEVSKTLESALRKSSCVLGLKFSSLF >Potri.006G237400.1.v4.1 pep chromosome:Pop_tri_v4:6:23938678:23943200:1 gene:Potri.006G237400.v4.1 transcript:Potri.006G237400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237400.v4.1 MLSSMAAAKGPQACSMLFMFWFLVLNSRMLHADNQELELLLSFKSSLNDPLKYLSNWNPSATFCKWQGITCTNSSRITVIELSGKNISGKISSSIFQLPYIQTIDLSSNQLSGKLPDDIFSSSSLRFLNLSNNNFTGPIPNGSIFLLETLDLSNNMLSGKIPQEIGSFSSLKFLDLGGNVLVGKIPLSVTNLTSLEVLTLASNQLVGQIPSELGQMRSLKWIYLGYNNLSGEIPIELGQLTSLNHLDLVYNNLTGQIPSSLGNLSNLQYLFLYQNMLAGPIPKSIFGLTKLISLDLSDNSLSGEIPELIIKLKNLEILHLFSNNFTGKIPVALSSLPRLQILQLWSNKLSGEIPKDLGKRNNLTVLDLSSNSLTGRIPEGLCSSGNLFKLILFSNSLEDEIPKSLSTCNSLRRVRLQDNSLSGELSSEFTKLPLVYFLDISSNNLSGRIDSRKWEMPSLQMLSLARNSFLGGLPDSFGSENLENLDLSQNLFSGAIPRKFGSLSEIMQLRLSKNKISGEIPDELSSCEKLVSLDLSHNKLSGQIPASFSEMPVLGLLDLSHNELSGKIPANLGRVESLVQVNISHNHFHGSLPSTGAFLAINASAIAGNDLCGGDKTSGLPPCRRVKSPMWWFYVACSLGALVLLALVAFGFVFIRGQRNLELKRVENEDGTWELQFFNSKVSKSIAIDDILLSMKEENLISRGKKGASYKGKSITNDMEFIVKKMNDVNSIPLSEISELGKLQHPNIVNLFGLCQSNKVAYVIYEYIEGKSLSEVLLNLSWERRRKIAIGIAKALRFLHCYCSPSVLAGYMSPEKIIIDGKDEPRLILSLPSLLCIETTKCFISSAYVAPETRETKDITEKSDMYGFGLILIELLTGKGPADAEFGGHESIVEWARYCYSDCHLDMWIDPMISGNASINQNELIETMNLALQCTATEPTARPCANEVSKTLESALRKSSCVLGLKFSSLF >Potri.006G237400.4.v4.1 pep chromosome:Pop_tri_v4:6:23939110:23943200:1 gene:Potri.006G237400.v4.1 transcript:Potri.006G237400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237400.v4.1 MLSSMAAAKGPQACSMLFMFWFLVLNSRMLHADNQELELLLSFKSSLNDPLKYLSNWNPSATFCKWQGITCTNSSRITVIELSGKNISGKISSSIFQLPYIQTIDLSSNQLSGKLPDDIFSSSSLRFLNLSNNNFTGPIPNGSIFLLETLDLSNNMLSGKIPQEIGSFSSLKFLDLGGNVLVGKIPLSVTNLTSLEVLTLASNQLVGQIPSELGQMRSLKWIYLGYNNLSGEIPIELGQLTSLNHLDLVYNNLTGQIPSSLGNLSNLQYLFLYQNMLAGPIPKSIFGLTKLISLDLSDNSLSGEIPELIIKLKNLEILHLFSNNFTGKIPVALSSLPRLQILQLWSNKLSGEIPKDLGKRNNLTVLDLSSNSLTGRIPEGLCSSGNLFKLILFSNSLEDEIPKSLSTCNSLRRVRLQDNSLSGELSSEFTKLPLVYFLDISSNNLSGRIDSRKWEMPSLQMLSLARNSFLGGLPDSFGSENLENLDLSQNLFSGAIPRKFGSLSEIMQLRLSKNKISGEIPDELSSCEKLVSLDLSHNKLSGQIPASFSEMPVLGLLDLSHNELSGKIPANLGRVESLVQVNISHNHFHGSLPSTGAFLAINASAIAGNDLCGGDKTSGLPPCRRVKSPMWWFYVACSLGALVLLALVAFGFVFIRGQRNLELKRVENEDGTWELQFFNSKVSKSIAIDDILLSMKEENLISRGKKGASYKGKSITNDMEFIVKKMNDVNSIPLSEISELGKLQHPNIVNLFGLCQSNKVAYVIYEYIEGKSLSEVLLNLSWERRRKIAIGIAKALRFLHCYCSPSVLAGYMSPEKIIIDGKDEPRLILSLPSLLCIETTKCFISSAYVAPETRETKDITEKSDMYGFGLILIELLTGKGPADAEFGGHESIVEWARYCYSDCHLDMWIDPMISGNASINQNELIETMNLALQCTATEPTARPCANEVSKTLESALRKSSCVLGLKFSSLF >Potri.001G383800.8.v4.1 pep chromosome:Pop_tri_v4:1:40327651:40331765:-1 gene:Potri.001G383800.v4.1 transcript:Potri.001G383800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383800.v4.1 MEVSNELAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQLLVAKKKKKDTSLSLANVNVSYDEAQRKDEYIKPTVMKSDLEQRKDEVISLGSCRFDQERSQLDLARMIILHGYPLTMVEHVGFKRFVKNLQPLFEFVPNSSIEVSCMEFYLKEKQKVYELINRLHGRINLAIEMWSSPENAEYMCLIAHYIDEDWKLQQKILNFVTLDSSHTEDVLSEVIINCLMEWDVEYKLFAMTFDDCSADDDIVLRIKDRISQNRPLLSNGQLFDVRSAVHVLNLIVKDAMETLQEVTEKVRGSVSYVKSSQVIQGKFNDIAQQIGISSQRNLVLDSSTRWNSTYSMLETVIGYKSAFCFLQEHDPAYTSALSDIEWEWAKSITGYLKLFVEITNIFSGDKCPTANRYFPEICDVHIQLIEWCKNPDDFLSSIASKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSSISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTVSPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLRVESEDHNPSSALALYVEAN >Potri.001G383800.6.v4.1 pep chromosome:Pop_tri_v4:1:40327710:40331966:-1 gene:Potri.001G383800.v4.1 transcript:Potri.001G383800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383800.v4.1 MEVSNELAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQLLVAKKKKKDTSLSLANVNVSYDEAQRKDEYIKPTVMKSDLEQRKDEVISLGSCRFDQERSQLDLARMIILHGYPLTMVEHVGFKRFVKNLQPLFEFVPNSSIEVSCMEFYLKEKQKVYELINRLHGRINLAIEMWSSPENAEYMCLIAHYIDEDWKLQQKILNFVTLDSSHTEDVLSEVIINCLMEWDVEYKLFAMTFDDCSADDDIVLRIKDRISQNRPLLSNGQLFDVRSAVHVLNLIVKDAMETLQEVTEKVRGSVSYVKSSQVIQGKFNDIAQQIGISSQRNLVLDSSTRWNSTYSMLETVIGYKSAFCFLQEHDPAYTSALSDIEWEWAKSITGYLKLFVEITNIFSGDKCPTANRYFPEICDVHIQLIEWCKNPDDFLSSIASKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSSISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTVSPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLRVESEDHNPSSALALYVEAN >Potri.001G383800.7.v4.1 pep chromosome:Pop_tri_v4:1:40327710:40331799:-1 gene:Potri.001G383800.v4.1 transcript:Potri.001G383800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383800.v4.1 MEVSNELAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQLLVAKKKKKDTSLSLANVNVSYDEAQRKDEYIKPTVMKSDLEQRKDEVISLGSCRFDQERSQLDLARMIILHGYPLTMVEHVGFKRFVKNLQPLFEFVPNSSIEVSCMEFYLKEKQKVYELINRLHGRINLAIEMWSSPENAEYMCLIAHYIDEDWKLQQKILNFVTLDSSHTEDVLSEVIINCLMEWDVEYKLFAMTFDDCSADDDIVLRIKDRISQNRPLLSNGQLFDVRSAVHVLNLIVKDAMETLQEVTEKVRGSVSYVKSSQVIQGKFNDIAQQIGISSQRNLVLDSSTRWNSTYSMLETVIGYKSAFCFLQEHDPAYTSALSDIEWEWAKSITGYLKLFVEITNIFSGDKCPTANRYFPEICDVHIQLIEWCKNPDDFLSSIASKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSSISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTVSPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLRVESEDHNPSSALALYVEAN >Potri.001G383800.10.v4.1 pep chromosome:Pop_tri_v4:1:40327709:40331508:-1 gene:Potri.001G383800.v4.1 transcript:Potri.001G383800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383800.v4.1 MEVSNELAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQLLVAKKKKKDTSLSLANVNVSYDEAQRKDEYIKPTVMKSDLEQRKDEVISLGSCRFDQERSQLDLARMIILHGYPLTMVEHVGFKRFVKNLQPLFEFVPNSSIEVSCMEFYLKEKQKVYELINRLHGRINLAIEMWSSPENAEYMCLIAHYIDEDWKLQQKILNFVTLDSSHTEDVLSEVIINCLMEWDVEYKLFAMTFDDCSADDDIVLRIKDRISQNRPLLSNGQLFDVRSAVHVLNLIVKDAMETLQEVTEKVRGSVSYVKSSQVIQGKFNDIAQQIGISSQRNLVLDSSTRWNSTYSMLETVIGYKSAFCFLQEHDPAYTSALSDIEWEWAKSITGYLKLFVEITNIFSGDKCPTANRYFPEICDVHIQLIEWCKNPDDFLSSIASKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSSISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTVSPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLRVESEDHNPSSALALYVEAN >Potri.001G383800.9.v4.1 pep chromosome:Pop_tri_v4:1:40327661:40331508:-1 gene:Potri.001G383800.v4.1 transcript:Potri.001G383800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383800.v4.1 MEVSNELAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQLLVAKKKKKDTSLSLANVNVSYDEAQRKDEYIKPTVMKSDLEQRKDEVISLGSCRFDQERSQLDLARMIILHGYPLTMVEHVGFKRFVKNLQPLFEFVPNSSIEVSCMEFYLKEKQKVYELINRLHGRINLAIEMWSSPENAEYMCLIAHYIDEDWKLQQKILNFVTLDSSHTEDVLSEVIINCLMEWDVEYKLFAMTFDDCSADDDIVLRIKDRISQNRPLLSNGQLFDVRSAVHVLNLIVKDAMETLQEVTEKVRGSVSYVKSSQVIQGKFNDIAQQIGISSQRNLVLDSSTRWNSTYSMLETVIGYKSAFCFLQEHDPAYTSALSDIEWEWAKSITGYLKLFVEITNIFSGDKCPTANRYFPEICDVHIQLIEWCKNPDDFLSSIASKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSSISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTVSPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLRVESEDHNPSSALALYVEAN >Potri.001G383800.5.v4.1 pep chromosome:Pop_tri_v4:1:40327661:40331510:-1 gene:Potri.001G383800.v4.1 transcript:Potri.001G383800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383800.v4.1 MEVSNELAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQLLVAKKKKKDTSLSLANVNVSYDEAQRKDEYIKPTVMKSDLEQRKDEVISLGSCRFDQERSQLDLARMIILHGYPLTMVEHVGFKRFVKNLQPLFEFVPNSSIEVSCMEFYLKEKQKVYELINRLHGRINLAIEMWSSPENAEYMCLIAHYIDEDWKLQQKILNFVTLDSSHTEDVLSEVIINCLMEWDVEYKLFAMTFDDCSADDDIVLRIKDRISQNRPLLSNGQLFDVRSAVHVLNLIVKDAMETLQEVTEKVRGSVSYVKSSQVIQGKFNDIAQQIGISSQRNLVLDSSTRWNSTYSMLETVIGYKSAFCFLQEHDPAYTSALSDIEWEWAKSITGYLKLFVEITNIFSGDKCPTANRYFPEICDVHIQLIEWCKNPDDFLSSIASKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSSISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTVSPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLRVESEDHNPSSALALYVEAN >Potri.001G383800.4.v4.1 pep chromosome:Pop_tri_v4:1:40327715:40331592:-1 gene:Potri.001G383800.v4.1 transcript:Potri.001G383800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383800.v4.1 MEVSNELAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQLLVAKKKKKDTSLSLANVNVSYDEAQRKDEYIKPTVMKSDLEQRKDEVISLGSCRFDQERSQLDLARMIILHGYPLTMVEHVGFKRFVKNLQPLFEFVPNSSIEVSCMEFYLKEKQKVYELINRLHGRINLAIEMWSSPENAEYMCLIAHYIDEDWKLQQKILNFVTLDSSHTEDVLSEVIINCLMEWDVEYKLFAMTFDDCSADDDIVLRIKDRISQNRPLLSNGQLFDVRSAVHVLNLIVKDAMETLQEVTEKVRGSVSYVKSSQVIQGKFNDIAQQIGISSQRNLVLDSSTRWNSTYSMLETVIGYKSAFCFLQEHDPAYTSALSDIEWEWAKSITGYLKLFVEITNIFSGDKCPTANRYFPEICDVHIQLIEWCKNPDDFLSSIASKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSSISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTVSPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLRVESEDHNPSSALALYVEAN >Potri.001G383800.11.v4.1 pep chromosome:Pop_tri_v4:1:40327691:40331506:-1 gene:Potri.001G383800.v4.1 transcript:Potri.001G383800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383800.v4.1 MEVSNELAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLLRCLKRSNYDVSQLLVAKKKKKDTSLSLANVNVSYDEAQRKDEYIKPTVMKSDLEQRKDEVISLGSCRFDQERSQLDLARMIILHGYPLTMVEHVGFKRFVKNLQPLFEFVPNSSIEVSCMEFYLKEKQKVYELINRLHGRINLAIEMWSSPENAEYMCLIAHYIDEDWKLQQKILNFVTLDSSHTEDVLSEVIINCLMEWDVEYKLFAMTFDDCSADDDIVLRIKDRISQNRPLLSNGQLFDVRSAVHVLNLIVKDAMETLQEVTEKVRGSVSYVKSSQVIQGKFNDIAQQIGISSQRNLVLDSSTRWNSTYSMLETVIGYKSAFCFLQEHDPAYTSALSDIEWEWAKSITGYLKLFVEITNIFSGDKCPTANRYFPEICDVHIQLIEWCKNPDDFLSSIASKMKAKFDKYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSALPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSSISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTVSPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLRVESEDHNPSSALALYVEAN >Potri.001G186075.1.v4.1 pep chromosome:Pop_tri_v4:1:16601027:16601722:-1 gene:Potri.001G186075.v4.1 transcript:Potri.001G186075.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186075.v4.1 MVVREFSLSLSLLGHGGNFKNPLSHLPTNHLYQPTDYLDHKLLSMEKIKSIRTTSLLKYTIFSTGRSTATKCLGYNSKFMSPKGQPSFTFGIFANSSGSQMEILERVK >Potri.001G438200.1.v4.1 pep chromosome:Pop_tri_v4:1:46430843:46432195:-1 gene:Potri.001G438200.v4.1 transcript:Potri.001G438200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438200.v4.1 MEMVGVENQLEMACSEKQLEMANSENQFEMACSEKQLEMASSENQVEMACSEKQLEMASSENQLEMAISEKQLEAVSSENQLEMVGTEGQLENIVGAENQLEISQKSQMATVSTEGEIERVSKEGSMERLTVEEEKEKWITHYSSHQKILLVGEGDFSFAACLGKAFGSAASMVATSLDSKVATYSRAAENLKKLKDLGCTILHEVNAHTMGCHPLLHEQWFDRIVFNFPHAGFHYLYREHDIRLIESHQKLVKGFLRSANDMLSKNGEAHVTHKTAHPFDRWEIEKLAEDVGLCLIE >Potri.014G019600.1.v4.1 pep chromosome:Pop_tri_v4:14:1194924:1196750:-1 gene:Potri.014G019600.v4.1 transcript:Potri.014G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019600.v4.1 MSWWWSGAIGAAKKRSEEDEAPRGYQSVALILGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRSRPNWNEDHPVEYIQCDISDTAETQSKLSKLADVTHIFYVTWASKPTEEENCEINGLMFRNVLQAVIPNAPNLRHVCLQTGGKQYVGPFELYGKIEAHDPPFTEDLPRLNAPNFYYTLEDVMFEEVAKKEGVTWSVHRPDVIFGFSPYSLMNLIVTISVYAAICKHEGAPLIFRGTKEAWNGYAIASDADLIAEHEIWACVDPNAQNEAFNIHNGDLFKWKHLWRILAEEYGIEEHGFEEGESSITFTEAMKDKEPVWEEIVKKNQLLPNKLEQVGGWWFADLIFGGPGIVTNLNKTKEHGFLGFRNSKKSFVSWLDKMKDYKVVP >Potri.009G127400.1.v4.1 pep chromosome:Pop_tri_v4:9:10504838:10505161:-1 gene:Potri.009G127400.v4.1 transcript:Potri.009G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127400.v4.1 MSTSRLKEMFLHVKNKIRRTSTLNHHQLSHKRSTRLDVPKGHFAIYVGEEEKERKRFVIPVSYLKHPLFQILLSQAEEEFGFDHQMGGLTIPCAEDEFTVLTSHLNG >Potri.004G079000.2.v4.1 pep chromosome:Pop_tri_v4:4:6544488:6550847:1 gene:Potri.004G079000.v4.1 transcript:Potri.004G079000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079000.v4.1 MMSAVGLELTNFINPDLTWKTVTKGYRSASRRKPVVRSFTVETKLSDESARNADDMTVSDTEKHGVAVLGRRFSGKNEKIEHVPIKKRRFTAQGPSKPSHTTSPHLEVVLPNSSGKRRRRATDVTVPTKLSLKTSEFNYKFDYSDDFSGIEILAAVACDNSMINDAACVEESSIMEESTREGVGSSSSAVPIKETAASPKDMAHEDKTEAFSLQNNEVTVLPCVEESSIMEESTREGVSSSSSAVPIKETAASPKDMAHEDKTEAFSLQNNEVTVLPCVEESSIMEESTREGVGSSSSAVPIKETAASPKDMAHEDKTEALSLQNNEVTVLHTSAGTEEGGTGERSLLSRDEMLNLDLNVAWEQPCDTLSFDPSENDLQICKAKPEALEQHIPPDRVVSSDLHRDNTPVDLIGLSLGTCESNREEHPSEACSLHDGNHEELFPSPTGNALEPSICDVAIAKSSCQIVEGEESLDHPPCNTLPSLTLKQCSETCSSDDQMGKALRTECMQVESINISSHHLPNLERVTCEIDLSISNDNGENSQIASCTHEDGKSIQNTSSLENFPPIGPAWLGIEAGSCEEESGGCHCLPNSGDIFASSPSAEKGQPVIEVDARGANEASAANKAEVHSPVQAGSEELMQKSSADSTVTPGDACGAHGNGLTSVSANVNMEDLEDSFESDVYQADKVIVGTENDLELQAGYDSQFEDGELRESDARFYWDENGEDGEVEHVDYGSECDEERLCGMDNEKEMKVERGSSSGSDDASRKIEHGMGDSLRDDSVSPKTRTSDVTTDKDFLSGVVGSRTSNRDFLSSIEESSSIFRKDPTLRSRAGNIYNLYPRDERDAGSHKFMGRDRAVPQMRGRSPGGHRFVNHATGYCDSERRYLSNYRGNYTSGHTRTRGGFDSRRYIISSDHTDSEGVGFAGSDNRARRRFVNPSSTGAYERIVRRRSPTSRDDSYRVHTGALPVRDGSPIRSGFRRFPREVARGGLREEYHRPMPEDKIEYSNRFAPRMLRRERSISPLCRGQPRHPFTHKKSRSRSRSRSPSSYLPRVRNEVSRLRSRSPDFRTDARMDRVRLPFQKRFPADFEGDFIPTRRNHFTQHNPRWFDDRNGGLDSFRGRKSPVNMFRPNQRFDSVRTIRRLDSEDQFRPMMRSRKFNDMGSASRGGEFDGSDDDRRKHNRYDMAQRVRQYDTDSLRRFRFNAEDSLVANNDTPNCDEGNRITDRRPGGAHRRDGEE >Potri.004G079000.4.v4.1 pep chromosome:Pop_tri_v4:4:6544488:6550198:1 gene:Potri.004G079000.v4.1 transcript:Potri.004G079000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079000.v4.1 MMSAVGLELTNFINPDLTWKTVTKGYRSASRRKPVVRSFTVETKLSDESARNADDMTVSDTEKHGVAVLGRRFSGKNEKIEHVPIKKRRFTAQGPSKPSHTTSPHLEVVLPNSSGKRRRRATDVTVPTKLSLKTSEFNYKFDYSDDFSGIEILAAVACDNSMINDAACVEESSIMEESTREGVGSSSSAVPIKETAASPKDMAHEDKTEAFSLQNNEVTVLPCVEESSIMEESTREGVSSSSSAVPIKETAASPKDMAHEDKTEAFSLQNNEVTVLPCVEESSIMEESTREGVGSSSSAVPIKETAASPKDMAHEDKTEALSLQNNEVTVLHTSAGTEEGGTGERSLLSRDEMLNLDLNVAWEQPCDTLSFDPSENDLQICKAKPEALEQHIPPDRVVSSDLHRDNTPVDLIGLSLGTCESNREEHPSEACSLHDGNHEELFPSPTGNALEPSICDVAIAKSSCQIVEGEESLDHPPCNTLPSLTLKQCSETCSSDDQMGKALRTECMQVESINISSHHLPNLERVTCEIDLSISNDNGENSQIASCTHEDGKSIQNTSSLENFPPIGPAWLGIEAGSCEEESGGCHCLPNSGDIFASSPSAEKGQPVIEVDARGANEASAANKAEVHSPVQAGSEELMQKSSADSTVTPGDACGAHGNGLTSVSANVNMEDLEDSFESDVYQADKVIVGTENDLELQAGYDSQFEDGELRESDARFYWDENGEDGEVEHVDYGSECDEERLCGMDNEKEMKVERGSSSGSDDASRKIEHGMGDSLRDDSVSPKTRTSDVTTDKDFLSGVVGSRTSNRDFLSSIEESSSIFRKDPTLRSRAGNIYNLYPRDERDAGSHKFMGRDRAVPQMRGRSPGGHRFVNHATGYCDSERRYLSNYRGNYTSGHTRTRGSDNRARRRFVNPSSTGAYERIVRRRSPTSRDDSYRVHTGALPVRDGSPIRSGFRRFPREVARGGLREEYHRPMPEDKIEYSNRFAPRMLRRERSISPLCRGQPRHPFTHKKSRSRSRSRSPSSYLPRVRNEVSRLRSRSPDFRTDARMDRVRLPFQKRFPADFEGDFIPTRRNHFTQHNPRWFDDRNGGLDSFRGRKSPVNMFRPNQRFDSVRTIRRLDSEDQFRPMMRSRKFNDMGSASRGGEFDGSDDDRRKHNRYDMAQRVRQYDTDSLRRFRFNAEDSLVANNDTPNCDEGNRITDRRPGGAHRRDGEE >Potri.013G118900.2.v4.1 pep chromosome:Pop_tri_v4:13:12686600:12691681:-1 gene:Potri.013G118900.v4.1 transcript:Potri.013G118900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118900.v4.1 MASGSVVEAGNEGGEVREKQRYTESKVYTRKAFKGPKKNSLTSTNNNTATTTADNNNSNTNLTTTSTAAAGNNNVNTTATTAPSATETATVPTTTSTAATETAAAPATLSTTATKNATAAATTTATANETRDRDNNEKELVEVRESEPVVVDNTNTAQKVQQPVSLSIVVSDASISRNRQEVQEVVPSVREKIVERGQAVGNGVFLKEGLDNKVKVDLLSRSKQEKRELKKKLESELALVRGLVKRIEAKEMQLGVGRLSNSRVVLVNDRVDNRLTRVNSEVGSVGVPRESTTILTPTPRQSKPLNQLSISVLENSQGVGEFVEKEKRTPKANQFYMNSEFLLAKDKFPPAESNKKSKLIGKKQGAGESGYGFGTSTKIFKNCSTLLEKLMKHKHGWVFNTPVDAKGLGLHDYFTIIKHPMDLGTVKSRLTKNWYKSPEEFAEDVRLTFHNAMKYNPKGQDVHVMAEQLLDIFETKWAVIKSDYDLEMRFAASYEVSIPTPTSRKAPPFVPPPLDMRRILDRSESMNYPIIDTRSRPKSITTTPSSRTPVPKKPKAKDPHKRDMTYDEKQKLSTNLQSLPSEKLDNIVQIIKKRSSALSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAIQARTEAQQNVQQKIPASVVAEAPKETKADERDASTLSPVQVEKQGDNGSRSSSSSSSSSDSGSSSSDSDSDNSSASGSDVGN >Potri.013G118900.3.v4.1 pep chromosome:Pop_tri_v4:13:12686599:12691679:-1 gene:Potri.013G118900.v4.1 transcript:Potri.013G118900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118900.v4.1 MASGSVVEAGNEGGEVREKQRYTESKVYTRKAFKGPKKNSLTSTNNNTATTTADNNNSNTNLTTTSTAAAGNNNVNTTATTAPSATETATVPTTTSTAATETAAAPATLSTTATKNATAAATTTATANETRDRDNNEKELVEVRESEPVVVDNTNTAQKVQQPVSLSIVVSDASISRNRQEVQEVVPSVREKIVERGQAVGNGVFLKEGLDNKVKVDLLSRSKQEKRELKKKLESELALVRGLVKRIEAKEMQLGVGRLSNSRVVLVNDRVDNRLTRVNSEVGSVGVPRESTTILTPTPRQSKPLNQLSISVLENSQGVGEFVEKEKRTPKANQFYMNSEFLLAKDKFPPAESNKKSKLIGKKQGAGESGYGFGTSTKIFKNCSTLLEKLMKHKHGWVFNTPVDAKGLGLHDYFTIIKHPMDLGTVKSRLTKNWYKSPEEFAEDVRLTFHNAMKYNPKGQDVHVMAEQLLDIFETKWAVIKSDYDLEMRFAASYEVSIPTPTSRKAPPFVPPPLDMRRILDRSESMNYPIIDTRSRPKSITTTPSSRTPVPKKPKAKDPHKRDMTYDEKQKLSTNLQSLPSEKLDNIVQIIKKRSSALSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAIQARTEAQQNVQQKIPASVVAEAPKETKADERDASTLSPVQVEKQGDNGSRSSSSSSSSSDSGSSSSDSDSDNSSASGSDVGN >Potri.013G118900.4.v4.1 pep chromosome:Pop_tri_v4:13:12686602:12691570:-1 gene:Potri.013G118900.v4.1 transcript:Potri.013G118900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118900.v4.1 MASGSVVEAGNEGGEVREKQRYTESKVYTRKAFKGPKKNSLTSTNNNTATTTADNNNSNTNLTTTSTAAAGNNNVNTTATTAPSATETATVPTTTSTAATETAAAPATLSTTATKNATAAATTTATANETRDRDNNEKELVEVRESEPVVVDNTNTAQKVQQPVSLSIVVSDASISRNRQEVQEVVPSVREKIVERGQAVGNGVFLKEGLDNKVKVDLLSRSKQEKRELKKKLESELALVRGLVKRIEAKEMQLGVGRLSNSRVVLVNDRVDNRLTRVNSEVGSVGVPRESTTILTPTPRQSKPLNQLSISVLENSQGVGEFVEKEKRTPKANQFYMNSEFLLAKDKFPPAESNKKSKLIGKKQGAGESGYGFGTSTKIFKNCSTLLEKLMKHKHGWVFNTPVDAKGLGLHDYFTIIKHPMDLGTVKSRLTKNWYKSPEEFAEDVRLTFHNAMKYNPKGQDVHVMAEQLLDIFETKWAVIKSDYDLEMRFAASYEVSIPTPTSRKAPPFVPPPLDMRRILDRSESMNYPIIDTRSRPKSITTTPSSRTPVPKKPKAKDPHKRDMTYDEKQKLSTNLQSLPSEKLDNIVQIIKKRSSALSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAIQARTEAQQNVQQKIPASVVAEAPKETKADERDASTLSPVQVEKQGDNGSRSSSSSSSSSDSGSSSSDSDSDNSSASGSDVGN >Potri.013G118900.5.v4.1 pep chromosome:Pop_tri_v4:13:12686602:12691533:-1 gene:Potri.013G118900.v4.1 transcript:Potri.013G118900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118900.v4.1 MASGSVVEAGNEGGEVREKQRYTESKVYTRKAFKGPKKNSLTSTNNNTATTTADNNNSNTNLTTTSTAAAGNNNVNTTATTAPSATETATVPTTTSTAATETAAAPATLSTTATKNATAAATTTATANETRDRDNNEKELVEVRESEPVVVDNTNTAQKVQQPVSLSIVVSDASISRNRQEVQEVVPSVREKIVERGQAVGNGVFLKEGLDNKVKVDLLSRSKQEKRELKKKLESELALVRGLVKRIEAKEMQLGVGRLSNSRVVLVNDRVDNRLTRVNSEVGSVGVPRESTTILTPTPRQSKPLNQLSISVLENSQGVGEFVEKEKRTPKANQFYMNSEFLLAKDKFPPAESNKKSKLIGKKQGAGESGYGFGTSTKIFKNCSTLLEKLMKHKHGWVFNTPVDAKGLGLHDYFTIIKHPMDLGTVKSRLTKNWYKSPEEFAEDVRLTFHNAMKYNPKGQDVHVMAEQLLDIFETKWAVIKSDYDLEMRFAASYEVSIPTPTSRKAPPFVPPPLDMRRILDRSESMNYPIIDTRSRPKSITTTPSSRTPVPKKPKAKDPHKRDMTYDEKQKLSTNLQSLPSEKLDNIVQIIKKRSSALSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAIQARTEAQQNVQQKIPASVVAEAPKETKADERDASTLSPVQVEKQGDNGSRSSSSSSSSSDSGSSSSDSDSDNSSASGSDVGN >Potri.013G118900.1.v4.1 pep chromosome:Pop_tri_v4:13:12686602:12691681:-1 gene:Potri.013G118900.v4.1 transcript:Potri.013G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118900.v4.1 MASGSVVEAGNEGGEVREKQRYTESKVYTRKAFKGPKKNSLTSTNNNTATTTADNNNSNTNLTTTSTAAAGNNNVNTTATTAPSATETATVPTTTSTAATETAAAPATLSTTATKNATAAATTTATANETRDRDNNEKELVEVRESEPVVVDNTNTAQKVQQPVSLSIVVSDASISRNRQEVQEVVPSVREKIVERGQAVGNGVFLKEGLDNKVKVDLLSRSKQEKRELKKKLESELALVRGLVKRIEAKEMQLGVGRLSNSRVVLVNDRVDNRLTRVNSEVGSVGVPRESTTILTPTPRQSKPLNQLSISVLENSQGVGEFVEKEKRTPKANQFYMNSEFLLAKDKFPPAESNKKSKLIGKKQGAGESGYGFGTSTKIFKNCSTLLEKLMKHKHGWVFNTPVDAKGLGLHDYFTIIKHPMDLGTVKSRLTKNWYKSPEEFAEDVRLTFHNAMKYNPKGQDVHVMAEQLLDIFETKWAVIKSDYDLEMRFAASYEVSIPTPTSRKAPPFVPPPLDMRRILDRSESMNYPIIDTRSRPKSITTTPSSRTPVPKKPKAKDPHKRDMTYDEKQKLSTNLQSLPSEKLDNIVQIIKKRSSALSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAIQARTEAQQNVQQKIPASVVAEAPKETKADERDASTLSPVQVEKQGDNGSRSSSSSSSSSDSGSSSSDSDSDNSSASGSDVGN >Potri.006G141700.2.v4.1 pep chromosome:Pop_tri_v4:6:11938134:11941258:1 gene:Potri.006G141700.v4.1 transcript:Potri.006G141700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G141700.v4.1 MARVAGLVVSSILFLLCCVAAGSSFDESNPIKLVSDRLHDFESSFVKVLGQSRRALSFARFAHRHGKRYETEGEMKLRFAIFSESLDLIRSTNKKGLPYTLGLNQFADWTWQEFQKYRLGAAQNCSATTRGNHKLTNALLPETKDWREEGIVSPVKNQGHCGSCWTFSTTGALEAAYHQAFGKGISLSEQQLVDCARAFNNFGCNGGLPSQAFEYIKFNGGLDTEEAYPYTGKDDACKFSSENVGVRVVESVNITLGAEDELKHAVAFVRPVSVAFEVVGSFRLYKEGVYTTSTCGSTPMDVNHAVLAVGYGVENGIPYWLIKNSWGEDWGDNGYFKMEMGKNMCGIATCASYPVVAA >Potri.011G078401.1.v4.1 pep chromosome:Pop_tri_v4:11:8431670:8437457:1 gene:Potri.011G078401.v4.1 transcript:Potri.011G078401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078401.v4.1 MHTCHVQLGFGLEGMLIPWPQAKLRYTWQWKPAIDYTAVSQLLTSSLDCCKAKARPLASISNLSTRLKLDEGSPDCWNSLLQLQACTGEIVLFFLSGERLNLVVSAAKL >Potri.001G404500.1.v4.1 pep chromosome:Pop_tri_v4:1:43053420:43054162:1 gene:Potri.001G404500.v4.1 transcript:Potri.001G404500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404500.v4.1 METISQKQTRGRLQPPARQSLGEGNRVRRNNQVASISPRPRSTCTCSNRPGSVRCSKHGYLVPSDKLRRNQANTEILRRALAPPNRRLTLRWFNFQPTPSRLSNMSMA >Potri.013G145000.1.v4.1 pep chromosome:Pop_tri_v4:13:14298189:14300665:-1 gene:Potri.013G145000.v4.1 transcript:Potri.013G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145000.v4.1 MKLLLSSQRHYSTLASLNLKTLENPQSKAYKIIQYCKSGSLFEAIHVLNSIDWTRLSNKPFFYASLLQTCTKAVSFTHGIQFHSHAIKSGLDTDRFVGNSLLALYFKLGPNLFEARRVFDGLFYKDLISWTSMITGYVKVEKPKKSLELFLEMLGLGIEPNGFTLSAVIKACSGLGDLRLGKCFHGVVMVRGFDLNDVISTALIDMYGRNSAVDDAILVFVELPQPDAICWTSIISAFTRNDVYDKALGFFYSMCRKHGLSPDGFTFGTVLTACGNLGRLKQGKEVHAKVITSGLSGNVFVESSLVDMYGKCRLVNQSQCVFDRMSVKNLVSWTALLGGYCQNGDFESVIRIFREGKKVDTYSFGTVLRACAGLAAVRQGKEVHCQYVKRCCWRDVVTESALVDLYAKCGCIDFADRIFVRMSVRNLITWNSMIYGFAQNGRGGEVFQLFDEMIEEGIRPDYISFVGVLFACSHAGLVDQGKKYFAAMTEVYEIKPGIEHYNCMIDLLGRAGLLEEAENLIENANCRDEPSLWTVLLGACAASPHSATAERIAKKAVELKPDHHLSYVYLANVYRAVGRWDDAVKIRNLMTKRGVGKMPGTSWIET >Potri.019G120400.2.v4.1 pep chromosome:Pop_tri_v4:19:14637750:14638351:-1 gene:Potri.019G120400.v4.1 transcript:Potri.019G120400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120400.v4.1 MLPSSKTRYSFEFDSLQTTWFSTSHIFPARFLSSKFEVNVREECLAFLQVYSCKIDACIGVCIDIGQLHLRYYLAVVI >Potri.002G026600.3.v4.1 pep chromosome:Pop_tri_v4:2:1765217:1772134:-1 gene:Potri.002G026600.v4.1 transcript:Potri.002G026600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G026600.v4.1 MSTVEAEKKAEEEKKAEEKGGELLFCGATCWDIIGRKKGAQEGNLVSPTRLRPLVGVNIRFVASGSASCHCVALDVEGRCYTWGRNERGQLGHGDTIQRDRPTVVSELSKYKLIKAGAGRSHTVVVTEDGLSLAFGWNKHGQLGSGSARNEIESSPVRCLVSDVKTTACGADFTVWLSSVEGASILSAGLPQYGQLGHGTDNEYNTKDSSVRLAYEAQPRPRALTALARETIVKVACGTNHTVAVDSNGYVYTWGFGGYGRLGHREQKDEWVPRRVDVFSKHNVLPPDAVISAGSVNSACTAGGGQLYMWGKIKNTGDDWMYPKPLMDLSGWNLRCMDSGNMHHFVGADNSCISWGHAQNGELGYGPSGQKSSAVPKKVDILEGMHVIGVACGMGHSMVIVDRMNVGDQLDQLDVYDGKASGEGSGEPERKNPVKQSAKKGAAKASDNSRKRKSKDSSESEDEENGDDESDASEDQVNGQTEKKSKRGGKVSGRGQSKGGKKSTSDGKSTGRGRGRPLSGNKSTVSSQEKAGKRGRPRKS >Potri.013G119800.1.v4.1 pep chromosome:Pop_tri_v4:13:12863974:12865902:-1 gene:Potri.013G119800.v4.1 transcript:Potri.013G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119800.v4.1 MELFLAMLPLLLYYIIFNLYKLGYQKKDQCCYMLSYECHKAAEDQKLDPRSSARIISRNKNLGIEEYKFLLKTTVSSGIGEETYVPKNIMEGREESATLMDEISEMDGILFDTVDKLFAKTGVSPSEINIIVSSVSLFSPAPSLTARVINRYKMREDIKAFNLSGMGCSASAVAIDLVKQLFKTYKNSFAIVMSTESMSSHWYPGKDKSMMLSNILFRTGGCSILLTNNRDWKIKALMELTCSVRTHIGSNDEAYNSCFQAEDDLGINGFRLNKDLPKAGAKALTMNLRVLLPKVIPLSEVLRYRISYYRNKIMKRPTPKDAGPGLDLKSGIDHFCVHPGGRAIIDEAGQSLALNDYDLEPARMALYRFGNTSSGGLWYVLGYMEAKKRLKKGDTILMISLGAGFKCNNCVWKVMKDLEDTNVWKNCIDQYPPKTLANSFSKILASISDESMNFTSLEDYLP >Potri.019G034900.1.v4.1 pep chromosome:Pop_tri_v4:19:4766150:4768277:1 gene:Potri.019G034900.v4.1 transcript:Potri.019G034900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034900.v4.1 MRPPRGGGFRGGRDGGFRGGRDGGFRGGRGGRGGPGRGGRGFGGGGFRDEGPPSEVVEVSSFLHACEGDAVTKLTNEKIPYFNAPIFLQNKTQIGKVDEIFGPINESHFSIKMMEGIVATSYAPGEKFYIDPNKLLPLARFLSQPKGQAQAAGRGGRGGGRGGRGGRGGFSGRGRGRGPPRGGGFGRGGFRGRGRG >Potri.006G265801.1.v4.1 pep chromosome:Pop_tri_v4:6:26120620:26121465:-1 gene:Potri.006G265801.v4.1 transcript:Potri.006G265801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265801.v4.1 MLAVPIHIFSIHLIINVRWWPDFEFKICFGTLLGPSRFRRNHMVGYEGWPLVINFVRLPPCKDKTIQDFISSLFTALFFYIFIVETQITPLGGFLLPATDSKLHDKTCPPN >Potri.008G130300.1.v4.1 pep chromosome:Pop_tri_v4:8:8543490:8549181:-1 gene:Potri.008G130300.v4.1 transcript:Potri.008G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130300.v4.1 MGKKKGTDPPALQKYGVPLYSAAWLPLQFRHEDHDSSKQHEQDKDREEITHASASSYEYYVILAGGGGEGRSGIPNAVLLSRFDFSSNSLSPQPVAKLNLGSDLPYRMVVHPGGDGLICALPNSCRFFEWDEVEDNEDHKLGLKSSEKVLTQLEDVGQQLALVFNSDSSVLAVGGEDGNLRVFKWPSMEIIFNEAQAHASLKDLCFSPDGKFLVSLGGRGPGRVWDVTSSMAVASLSKENDEFFASCRFSQISDQTQVLYVAAITDKGSSIVTWNASSWKRVSSKHVFREPVSSFNISPDGKFLAIGTAQGDVMLINSTNMCIQTMIRKAHLGIVTALTFSHDSRALVSASMDSSARVTLVEDKKCAGGGSSLRIIIFIIIMAIVVYFLKNEGVLPFLG >Potri.010G226200.1.v4.1 pep chromosome:Pop_tri_v4:10:20981003:20981780:1 gene:Potri.010G226200.v4.1 transcript:Potri.010G226200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226200.v4.1 MSGTTLFFMAFFYLVLLLSPPEMLMGTNSMAGVVAATRPLETKSPNYETLKPKTKHGQQDQFHGGEVENCLPKGFHHNSAPSRYINYHPLGSTILCATSKHADAP >Potri.008G062800.1.v4.1 pep chromosome:Pop_tri_v4:8:3792012:3792730:1 gene:Potri.008G062800.v4.1 transcript:Potri.008G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062800.v4.1 MLSLWIPPLVASITLMGFSSGDAPHTRPSHASSTPTSLIWLTEKTNLVLKFVQTDNFIISTYRTTDQILNETSQYCHLQTIPNSKFGTTPLDLILIRLHTTVYLPQKKRNGHWLRENFQER >Potri.012G018400.1.v4.1 pep chromosome:Pop_tri_v4:12:2001610:2004470:1 gene:Potri.012G018400.v4.1 transcript:Potri.012G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018400.v4.1 MEALLPSLKKLWNEWQLQALVLLSLLLQVILILLGNRRKYVNKIWIKIIVWPAYLLADLIATTALGILTNRFAYHSGPLDAQLELTAFWAPFLLLHLGGPDTITAYSVEDNELWSRHLLQLAGQTGFAFYILSAGWTGSRVSFLTIPIMLAGLIKYGERTWVLWSASSEQRSKDSTSPHHNMFESISDFQDYSNISDYKLLQVSYGMLEMAKCLFVGVPITARETSILPNHQEATSNPLKKIYPKDAFKVTEMQLGFMYDLLYTKALVSYTPCGIVLRLTSFLLTSIVLVLFSLAPNNVHKYSKVDLCITFSLLVVAIVLELYAALAFLFSDRTLVWMRKNNFPSISRYITSLPVHRNHRWSNYMGQFNLLSYFFNEKPMGFRGILELLKINEKLEKQRYATYPQVPEDLKEWLVMHSIKFRDMLKKGPEDVKLMSRSARGAASLESFLPNADDQTILFMSCFTEFHQTIIIWHIATELCYHLDHDYFTQKEIRPSSSAETAVLNWKMSKRISRYMMYLLAISPETLPSSGEIGHVNFNRTCDEGRIEIASFDKVGIQREREHKIKIEASKRLYERHKDSITEKSQILKQLNGSLLSLGCVLAKHLIESMRDRPEEERMERKWNAIAGCLFEFLVYAGRQSSGNQHAQQLRQGGEFLTHFWLLFEELTFLDYSPASPTTTS >Potri.009G046000.3.v4.1 pep chromosome:Pop_tri_v4:9:5205808:5206619:1 gene:Potri.009G046000.v4.1 transcript:Potri.009G046000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046000.v4.1 MAEKLAPEKRHSFVREDKTVFEWDQTLEEVNIYINLPPNVHSKQFYCKIQSKHAFISMSRNPDDLLVNGTSICVSPFSPETLISLPVL >Potri.009G046000.2.v4.1 pep chromosome:Pop_tri_v4:9:5205806:5206619:1 gene:Potri.009G046000.v4.1 transcript:Potri.009G046000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046000.v4.1 MAEKLAPEKRHSFVREDKTVFEWDQTLEEVNIYINLPPNVHSKQFYCKIQSKHAFISMSRNPDDLLVNGTSICVSPFSPETLISLPVL >Potri.009G046000.4.v4.1 pep chromosome:Pop_tri_v4:9:5205812:5206619:1 gene:Potri.009G046000.v4.1 transcript:Potri.009G046000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046000.v4.1 MAEKLAPEKRHSFVREDKTVFEWDQTLEEVNIYINLPPNVHSKQFYCKIQSKHAFISMSRNPDDLLVNGTSICVSPFSPETLISLPVL >Potri.009G046000.1.v4.1 pep chromosome:Pop_tri_v4:9:5205777:5206619:1 gene:Potri.009G046000.v4.1 transcript:Potri.009G046000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046000.v4.1 MAEKLAPEKRHSFVREDKTVFEWDQTLEEVNIYINLPPNVHSKQFYCKIQSKHAFISMSRNPDDLLVNGTSICVSPFSPETLISLPVL >Potri.006G252500.1.v4.1 pep chromosome:Pop_tri_v4:6:25096682:25098345:-1 gene:Potri.006G252500.v4.1 transcript:Potri.006G252500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252500.v4.1 MGKGGSLSDSVLKKILLSYTYVAIWIFLSFTVIVYNKYILDKKMYNWPFPISLTMIHMSFCATLAILLIKVFKFVEPVSMSRDVYLKSVVPIGALYSLSLWLSNSAYIYLSVSFIQMLKALMPVAVYSIGVLLKKENFKSNTMANMISISVGVGIAAYGEARFDTWGVFLQLGAVAFEATRLVMIQILLTSKGITLNPITSLYYVAPCCLAFLSIPWIFVEYPVLKESSSFHFDFVIFGTNSLCAFALNLAVFLLVGKTSALTMNVAGVVKDWLLIAFSWSVIKDTVTPINLFGYGLAFLGVAYYNHAKLQALKAKEAQKKAQQADEEAGRLLEGREGEGNTKRTESED >Potri.001G072800.1.v4.1 pep chromosome:Pop_tri_v4:1:5461841:5466979:-1 gene:Potri.001G072800.v4.1 transcript:Potri.001G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072800.v4.1 MSFTASTNLVTNAPLNTTIFSSRRKQRATVNPRASCIKCDIISPPSFPSPQNPKPHSNSKQQKRQNSLLKALAFSGTAAVATIFLRFTPVIDSGGGDWFGGGSWLGGGGGGGGGGDGGSGGEFWKNLFSVASANADESQSQDWDSHGLPANIVVQLNKLSGFKKYKLSEILFFDRRRWTTVGTEDSFFEMVSLRPGGVYTKAQLQKELESLATCGMFEKVDMEGKTNPDGTIGITISFTESTWQSADKFRCINVGLMQQSKPIEMDPDMTDKEKLEYYRSQEKDYRRRIEKARPCLLPTQVHREVLQMLREQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDITQLVIQYQDKLGNVVEGNTQLPVVKRELPKQLRQGQVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELEPKSAEVSTEWSIVPGRGGRPTLASFQPGGTVSFEHRNIKGLNRSILGSITTSNFFSAQDDLSFKLEYVHPYLDGVYNPRNRTLRGSCFNSRKLSPVFTGGPGVDEVPPIWVDRAGMKANITENFTRQSKFTYGIVMEEITTRDESSHISSNGQRVLPSGGISADGPPTTLSGTGIDRMAFLQANITRDNTKFVNGTVVGDRNVFQVDQGLGIGSKFPFFNRHQLTLTRFIQLKEVEEGAGKPPPPVLVLNGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILELGAEVRIPVRNTHVYAFAEHGNDLGTSKDVKGNPTEVYRRMGHGSSYGVGVKLGLVRAEYAVDHNTGTGSVFFRFGERY >Potri.005G208900.2.v4.1 pep chromosome:Pop_tri_v4:5:21316105:21319546:-1 gene:Potri.005G208900.v4.1 transcript:Potri.005G208900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208900.v4.1 MLVRNVRGTLAAAARQFSAAAVTEKGIVSGGEGKKSGGGDTLGRRLFSLVYGKRSAVITIRKWKEEGHNVRKYELNRIVRELRKLKRYKHALEVCEWMTKQSDIKLVPGDYAVHLDLIAKIRGLNSAEKFFEDIPDKMRDYQACSALLHVYVQNKSISKAEALMEKMSECGFLKNALPYNHMLSVYVANGQLEKVAEIIQELKKKTSPDVVTYNMWLTACASQNDVETAEKVFMELKKSKLDPDWVTYSTLTNLYIKKECLEKAAYTLKEVEKRASKKNRVTYSSLLSLHANMKDKDGLHRTWNKMKSVFNKMNDAEYNCMISSLVKLGEFGGAENLYNEWESVSATRDSRVSNIVLASYINRNQMEDAENFCQRMVQKGITPCYTTWELLTCGHLKTEQMEKVLENFKKALCSVRKWTPDKRLIGDIFKNLEERGDIEGAEKLLVILRDAGHVSTMIYNSLLRTYAKAGKMPVIIEERMQKDNVELDDETHKLIQTTSTMCVSEVSSLPILK >Potri.005G208900.1.v4.1 pep chromosome:Pop_tri_v4:5:21317438:21319544:-1 gene:Potri.005G208900.v4.1 transcript:Potri.005G208900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208900.v4.1 MLVRNVRGTLAAAARQFSAAAVTEKGIVSGGEGKKSGGGDTLGRRLFSLVYGKRSAVITIRKWKEEGHNVRKYELNRIVRELRKLKRYKHALEVCEWMTKQSDIKLVPGDYAVHLDLIAKIRGLNSAEKFFEDIPDKMRDYQACSALLHVYVQNKSISKAEALMEKMSECGFLKNALPYNHMLSVYVANGQLEKVAEIIQELKKKTSPDVVTYNMWLTACASQNDVETAEKVFMELKKSKLDPDWVTYSTLTNLYIKKECLEKAAYTLKEVEKRASKKNRVTYSSLLSLHANMKDKDGLHRTWNKMKSVFNKMNDAEYNCMISSLVKLGEFGGAENLYNEWESVSATRDSRVSNIVLASYINRNQMEDAENFCQRMVQKGITPCYTTWELLTCGHLKTEQMEKVLENFKKALCSVRKWTPDKRLIGDIFKNLEERGDIEGAEKLLVILRDAGHVSTMIYNSLLRTYAKAGKMPVIIEERMQKDNVELDDETHKLIQTTSTMCVSEVSSLPILK >Potri.004G209300.1.v4.1 pep chromosome:Pop_tri_v4:4:21604430:21607848:1 gene:Potri.004G209300.v4.1 transcript:Potri.004G209300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209300.v4.1 MAAQNPYSIIALLIIMLFNLAHLAFTDDMSSFNFDRFVDDDHKKLLSFRGNSSIYLEALQLTPETPNDAHSWKQKNASGRIMYHKPFRFWIGDGGDEYRLASFNTTFVINIYRERDWEAGSGLAFLIAPNASIPEASYGQYLGLTNASTDGNTANHFVAIEFDTEKQDYIEDPDHNHIGFNINSIRSKNAIPLDKYNITLSPDPPGVNYTVWVDYNGTSKLMQVYMVKEGNQKPGEPLLNETIDLKEYLKQESYFGFAASTGDPRIELNCVLKWSLQINNQPDEENDEKWWKIGAGVCVSVVMIIFIFVVCRVVFVRKKRSKASLEEATEFGTYILKWLPGMPREFKYKELKKATSNFHESMKLGEGGFGIVYKGVLLLNDKADDGTTTTTTTEIAVKKFSRDSIKGKDDFLAELTIIHHLRHKNLVRLVGWCYEKGKLLLVYDFMPNGSLEKHLQKGPEQDTLNWNRRYRILVGVASALHYLHNEYDKKVVHRDLKTSNILLDADFNSRLGDFGLARALENEKNSYNELGLGGVPGTMGYVAPECFHTGRATPESDVFGFGVVVLEVVCGKGPGTKIRHNQHLYSMVDWVWTLHREGRILEAVDENLGNDFVHDEANRLLLLGLACSHPIDSERPKTETIIQIVSGTLPPPHVPPFKPVFTWPSMSTTDSTTGSLSSMTSSSRSYARTQSVIKLRRTTSSLQV >Potri.006G119200.1.v4.1 pep chromosome:Pop_tri_v4:6:9371746:9374797:-1 gene:Potri.006G119200.v4.1 transcript:Potri.006G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119200.v4.1 MFKFWGSQEQQGQPGPQEVAQGPAQSWYPPSVVGSPSSSRPATPTSTSSSTSHSFQSPAERPLSPSPAEAAAFITLLKDKSVDELRKLLSDKDAYHQFLLSLDQVKIQNNIRDELRKETLQLARENLEKEPRIMELRNQCRIIRTTELAAAKEKLNELERQKEELLRSCSPASLLQRLQEAMNKTDEESEALHRQFLDKEIDLGSFVLKYKKLRTTYHKRALIHLAAKASPTA >Potri.017G002501.1.v4.1 pep chromosome:Pop_tri_v4:17:143575:145086:1 gene:Potri.017G002501.v4.1 transcript:Potri.017G002501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002501.v4.1 MELKFVEPVAKNGKELALVNEEDVASEFKRWENAVVAYFLGHDSTFGPPSFNRFINNLIDSEWRKYGNVKVYSKGSGVFVLDFESAHGKELALGEGPWFYDGSKPFILKPWSRDMSLEIEELKSAPIWIRLPNLRLHLWSPEALGKIASLVGRPLFADTVTASRETLCFARVCVEVDFDKMLPDSITIEDDKGYSYDQKVEYEWQPTSRCSFCLHFGHSDSCPRRKTTFPCPFCLGQHTVLQILRLRQHSVQLLQCSVMKPRYLVQTFRPLQHLVQLLFQNLVPLCLVLLLLVLPVQRMMQATTASKFGSTLLSTTAPGATSPEDDARTS >Potri.010G098350.1.v4.1 pep chromosome:Pop_tri_v4:10:12155955:12156633:-1 gene:Potri.010G098350.v4.1 transcript:Potri.010G098350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098350.v4.1 MESLAKNGSTVFVDALMTCCPDEKELLLSWKGIIVLIFCAKVRERVAMHRCVRYRYHRDIESRNRSAGVWAENKFWIS >Potri.002G099200.1.v4.1 pep chromosome:Pop_tri_v4:2:7244011:7249401:1 gene:Potri.002G099200.v4.1 transcript:Potri.002G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099200.v4.1 MSLTSSSVIPTKSLIPSNKPHHPCFFTTKPSRSILHISAVHSADPSKSPSPIKTPAATSTKAATVAPTTNVGTGKWTVESWKSKKALQLPEYPNKEDLDSVLETLDAFPPIVFAGEARNLEERLAEAAMGNAFLLQGGDCAESFKEFNANNIRDTFRILLQMGAVLMFGGQMPVIKVGRMAGQFAKPRSDPLEEKNGVKLPSYRGDNVNGDAFDEKSRIPDPQRMIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFTEHSEQGDRYRELAHRVDEALGFMAAAGLTVDHPIMTTTEFWTSHECLLLPYEQSLTRLDSTSGLYYDCSAHFLWAGERTRQLDGAHVEFLRGVANPLGIKVSDKMDPNELVKLIEILNPQNKPGRITIITRMGAENMRVKLPHLIRAVRRAGQIVTWVSDPMHGNTIKAPCGLKTRPFDAIRAEVRAFFDVHEQEGSHPGGVHLEMTGQNVTECIGGSRPVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIGLQPSIAPALL >Potri.009G045400.1.v4.1 pep chromosome:Pop_tri_v4:9:5170161:5173370:-1 gene:Potri.009G045400.v4.1 transcript:Potri.009G045400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045400.v4.1 MGKLSFKDSLKALEADIQHANTLALDHPRENDGARLQMRLSYSPAAQFFLFLVQWTDCNLAGALGLLRILIYLTYADGKTTMSVQERKASIREFYAVIFPSLLQLQGGITDVDDRKQKEVCTMRYRRKDELEKGKLSEVDIEREEECGICMEMNNKVVLPTCSHSLCLRCYRDWRGRSQSCPFCRGSLKRVNSGDLWIYAEKSDVVDLALITRQNCKRLFMYIDKLPLIIPDTVYMPYDSHVK >Potri.008G026250.3.v4.1 pep chromosome:Pop_tri_v4:8:1355683:1357253:1 gene:Potri.008G026250.v4.1 transcript:Potri.008G026250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026250.v4.1 MHADAFRTLPLVWWWPRSVCSVFSAGISLLGTILTFLGCQKIISRSLAGMISTKLEVLNAQTILKSGIFSLFLLCTLLGFMFIGKIASKNFMEEIESENSQFFHTYKMDVTSLFILGLLAVSSRVPGS >Potri.008G026250.2.v4.1 pep chromosome:Pop_tri_v4:8:1355683:1357253:1 gene:Potri.008G026250.v4.1 transcript:Potri.008G026250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026250.v4.1 MHADAFRTLPLVWWWPRSVCSVFSAGISLLGTILTFLGCQKIISRSLAGMISTKLEVLNAQTILKSGIFSLFLLCTLLGFMFIGKIASKNFMEEIESENSQFFHTYKMDVTSLFILGLLAVSSRVPGS >Potri.008G026250.1.v4.1 pep chromosome:Pop_tri_v4:8:1355683:1357253:1 gene:Potri.008G026250.v4.1 transcript:Potri.008G026250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026250.v4.1 MHADAFRTLPLVWWWPRSVCSVFSAGISLLGTILTFLGCQKIISRSLAGMISTKLEVLNAQTILKSGIFSLFLLCTLLGFMFIGKIASKNFMEEIESENSQFFHTYKMDVTSLFILGLLAVSSRVPGS >Potri.010G102900.1.v4.1 pep chromosome:Pop_tri_v4:10:12542180:12550733:1 gene:Potri.010G102900.v4.1 transcript:Potri.010G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CRE1B MALNIQQSYHHSVAVKMNGQQMGTKRGYTFIQANRTWLPKILLLWVMAMALFSLTIYNGMDADNRVRRKEVLSSMCDQRARMLQDQFNVSVNHVHALAILVSTFHYYKNPSAIDQETFAEYTARTAFERPLLSGVAYAQRVVNSERLEFERQHGWTIKTMEREPSPIRDEYAPVIFSQETVSYIESLDMMSGEEDRENILRARASGKAVLTGPFRLLGSHHLGVVLTFPVYKSKLPPSPTVAQRIEATAGYLGGAFDVESLVENLLGQLAGNQAILVNVYDITNSSDLLIMYGHQNQDGDMSLLHESKLDFGDPFRRHLMTCRYHEKAPTSWTALTTTFLFFVIGLLVGYILYEAAIHIVKVEDDFHEMQDLKVQAEAADVAKSQFLATVSHEIRTPMNGILGMLALLLDTDLSSTQRDYAQTAQVCGKALIALINEVLDRAKIEAGKLELEAVPFHIRSIVDDVLSLFSEKSRNKGIELAVFVSDKVPEIVVGDPGRFRQIITNLVGNSVKFTERGHTFVKVHLYEHAKATTDTKADTCLIGGSNESVLISGSQKFKTLSGCEAADDQNSWDVFKHLSDEDFRFDASINVMTSNEASENITLMVCVEDTGIGIPLKAQSRVFMPFVQADSSTSRHYGGTGIGLSISKCLVELMGGQISFISRPEVGSTFSFTAVFSTCKKNAFTKMEKRNAEDLPSGFRGLKALVVDGKPVRAAVTRYHLKRLGILAEVVSNLKVAAGSCGKNGSLTSGSKIQPDMILVEKDTWISGEDGVSNVWKLDWKQNGHAFKFPKMILLATNITNSEFDKAKAAGFADTVIMKPLRASMVAACLLQVLGMGKKRSQGKCMPNGSSFLQSLLCGKKILVVDDNRVNRRVAAGALKKFGADVECADSGKEALKLLQLPHTFDACFMDIQMPEMDGFEATRRIRQMESQANEQMNGESMVEGGTARKGQWHIPILAMTADVIHATHDECLKCGMDGYVSKPFEEENLYQAVARFFDSKSTLKS >Potri.T126206.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:146096:147747:1 gene:Potri.T126206.v4.1 transcript:Potri.T126206.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126206.v4.1 MEDDDEIQSHSNTTEYSSPSPPPPNGRITVSAPAAVHPQPAPPPPQQNNKNRLALVLPTKPKVNGGGGGGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVAEIVSSREDYSKSSKTDIQCKNRIDTVKKKYKLEKAKIASGGGPSGWPFFDPLDRLIGSTARIPVVGNGNVGSKIPTRVRSGSRRGGVNQYHFRNQNVKIRILKHEDDEDDEEEGEEEEGGAESDDSFPPMKKRRVVVEREVRGKVGKEKRGGGWGNSIRMLTQAMVKFGEAYEQAESAKLQQVVEMEKTRMEFVKELELQRMQFFMQTQMGISQLKNARRGGNATSNHYHHQYHRHHTGNNINASNNVNNSDSDN >Potri.018G085200.1.v4.1 pep chromosome:Pop_tri_v4:18:10292203:10294709:1 gene:Potri.018G085200.v4.1 transcript:Potri.018G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085200.v4.1 MAGGFVDAGNLKRAHLYEYKITGYFIFACIVAALGGSLFGYDLGVSGGVTSMDDFLVEFFPDVYRRKHAHLRETDYCKYDDQVLTLFTSSLYFAALVSTFGASYVTRSRGRRASILVGAVSFFTGALVNAFAKNITMLIIGRCFLGAGIGFSNQAVPLYLSEMAPAKVRGAVNQLFQLTTCLGILVANFINYGTEKIHPWGWRLSLGLATVPATIMFVGGIFLPETPNSLVEQGKLEEARRVLEKVRGTTRVDAEFADLVDASNEARAIKHPFRNLLARKNRPQFIIGALAIPAFQQLTGMNSILFYAPVLFQSLGFSNDASLFSSVITNAALVVGALISMALVDKFGRRAFFLEAGTEMFFVMIAVTITLALKFGEGKPIPKGIGIFLVIAICLFVLAYGRSWGPLGWLVPSELFPLEMRSAGQSVVVCVNMIFTALIAQCFLISLCHLKYGIFLLFGGLIFIMSAFIFFFLPETKQVPIEEVYLLWQNHWFWKRIVGTGPSSASQV >Potri.008G183200.1.v4.1 pep chromosome:Pop_tri_v4:8:12669706:12673273:-1 gene:Potri.008G183200.v4.1 transcript:Potri.008G183200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183200.v4.1 MAGAPQKTRRERTVFAASDENVMMKQIQATHAPDGREFSVKLLLQIVEDIFHRATPAPGITDFVQHQGSHQAQLYELEEKVLQNGFNEMIDMLSHTISKISCEMSCKCSGGGDAHATTLAIFNLVSNYSWDAKVVVALAAFALNYGEFWLVSQLYLTNPLAKAVALLKQLPEIIERAEALKPKFEALTNLIRAMTDVAKCIVEFKELPSQYITPDTPEMLTATAHIPTAVYWTIRSIVACTSQIVGLTGMGHEYIASTTEAWELSGLAYKVSNIHSHLVKQLTLCFQHIDEKRHHEAYLTLVRLLESVHIDNMKILKALIYAKDDQLPLFDGSTKKRASLDLLRRKSVLLLISDLEPSQEELLMLQQMYSEAREQPGRAESQYEIVWLPVMDRSTPWNETKKKQYEDFQSSMPWYSVYQPSLLDVAVIRYIKEVWHFNKKALLVVLDPQGKVVNPNAIHMMWIWGSLAFPFTSLREEGLWKEETWKIDLLADNIDPALSSWIQQGKFICLYGGEDIEWIRKFTATAKAVAKDARIQLEMLYVGKSNPKEKARKINGVIVNENLSHVLPDLTLIWFFWVRLESMWHSKVQHQRTADNDPIMQEIMTMLSFDGSDQGWAVISKGSDEMAKAKGDTILKSFVDFESWKQSAEVKGFLPALNDHLHELHSPSHCNRLILPGATGSIPERIVCAECGRPMEKFIMYRCCTD >Potri.013G146400.2.v4.1 pep chromosome:Pop_tri_v4:13:14382865:14384749:-1 gene:Potri.013G146400.v4.1 transcript:Potri.013G146400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146400.v4.1 MWRQTSLFYEESPSNSIKKIRCHFNLSNWLRRREADYEDTVNSEPDQKTSTASHLWHTYFSRVLLFQSFGLMDQLHITDQTASWLESIKTELAYLNPLSNWSIWRVPNNLLAVNKDAYSPHIISIGPLHHGEQNVLAMEVHKRHYMLSLLERTPDPAKSLDECGKAILRFDKHIRACYAEPIDKYEKYDLAKMLLVDGCFILELFLRFSMADLRLQDDPVFNTSWMVLTLRRDLALLENQIPFFALEWLFKLTVKPSAIGQSLPTLPDLAFDFFKSSLYINIGTLAVSRRIVPHLLALIHNCYLPSSSRPNPRGRGGWEFIHCSSVLLEAGIKFERGTTSSLFDLKFENGVFKIPPLRIHDSTVSLFQNLIAYEQRFHGGQQYITSYFLLMDRLIDTPNDVELLVQKLIIENDFGGWEDVSAFFNSICKQIVLQDFYYAGLCEDVNAYYNKQWYRYKADFRRDHCKNPWAIISLVAGFVLLSLAALQTVYSVLAYYQ >Potri.001G100500.1.v4.1 pep chromosome:Pop_tri_v4:1:8029246:8031003:-1 gene:Potri.001G100500.v4.1 transcript:Potri.001G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100500.v4.1 MAATVNFYGQLPRQTICPEGVAGLTFGFSPFKGSSQSMQNKMKITCLRKRASNKSFNKRWANMVVSAADDFDFKLGPSPSHMIKQFYTCINEKKLKELDGYISDDCFFEDCSFLQPMQGKKEVMHFFGQLTAGMGQNVKFILEHVCEDDEFTAGVNWHLEWKTIQIPFTKGCSFYECSQKEDRLVIKKALVVIESPIKPGGIVLTLLKNVTAIFDDFPKAAEWLLKSPHVIMQFCSKIYSRLLAPFVNPLLAGYIRAWNLIARLFAFALNTIIHFLMKYFG >Potri.011G052200.1.v4.1 pep chromosome:Pop_tri_v4:11:4163649:4166604:1 gene:Potri.011G052200.v4.1 transcript:Potri.011G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052200.v4.1 MICGVLTFRAPLSSSCSFTCDSKRPAKHSARLKIQCSNSSPDIPLTAEKIVNDSYLTGGAYDFDRASTSLTQKLLSSPKKVTIVRHGLSSWNKESRVQGSSNLSVLSETGVRQAERCRKALGNMYFDRCFSSPISRAKSTAEVIWQGRDEPLVFLDSLKEAHLFYLEGMKNVDAREKYPKEYTTWREDPANFTVNGIYPVRKLWGTAREAWKEILFSSGENFLVITHKSILRALICTALGLSPERFRSIDVNNGGISVFTFNKKGEAMLQSLNMTAHMYNDHTYVY >Potri.006G161600.1.v4.1 pep chromosome:Pop_tri_v4:6:14642495:14645687:-1 gene:Potri.006G161600.v4.1 transcript:Potri.006G161600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G161600.v4.1 MTLTEEEIKRLLRIRKTVMQMLKDRGYFVGDFEIKMTREQFESKYGNNMKREDLVINKTKRNDSSDQIYVFFPEEAKVGVKTMKTYTNRMKSENVFRAILVVQQNLTPFARTCINEISTKFHLEVFQEAELLVNIKEHVLVPEHQVLSNEEKKTLLERYTVKETQLPRIQITDPIARYYGLKRGQVVKIIRPSETAGRYVTYRYVI >Potri.017G058600.5.v4.1 pep chromosome:Pop_tri_v4:17:4716818:4718724:-1 gene:Potri.017G058600.v4.1 transcript:Potri.017G058600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058600.v4.1 MVRLVAKTPCSWVEGKEKNKTDDDRKLDGQGIKDEARFTGSAQSLSATFQARIDGMLRPLEKDIEKKMKGKDKTKQKETNDKNEDQYKDKEKKGKEKDKVRDKEKKKEEKEKEKRERKKKEPDKLKESNMSNIVGNHTVKASHLSKESFNGAVDEVNIKKRKDLDTNGFLHANDVKPDKLPRPISLPQSAENGRMLGTCENPTAAIRDKQEAANMDKVDNKGHKINGLIEARAPSISSTTHPLSISLMKSLTNPSHSTAQTDEIAEVSRKQPHPDSKYLPDVLKVPKMEDWSDFEDQEWLFQSTCSQTKKPQVEVSVVDEKREVWSEALQIGATDVYALPYVIPY >Potri.004G232800.2.v4.1 pep chromosome:Pop_tri_v4:4:23798588:23799958:-1 gene:Potri.004G232800.v4.1 transcript:Potri.004G232800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232800.v4.1 MSHTRQGLKLVVGLTLAIFLFVVVAVVFVFFKRKAERKTCNDIENTEEKHGDHGAETTEDLVTFQGGQDLTISDILDAPGEVIGKSNYGTLYKALLQRSNCVRLLRFLRPICTARVEDFGDVVQLLGCIRHPNLVPLLGFYAGPRGEKLLVHPFLRRGNLSDFIRDGKSEFHKWTVIYKISIGIAKGLDHLHAGLQKPVIHGNLKSKNILLDRNFHPCISDFGLHLLLNLTAGQEMLEASAAEGYKAPELIKMKEVTLETDIYSLGIILLELLSGKEPINENPTADEDFYLPTFMRNAVLDRRIADLYHPDIL >Potri.008G079500.1.v4.1 pep chromosome:Pop_tri_v4:8:4921277:4925916:-1 gene:Potri.008G079500.v4.1 transcript:Potri.008G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9A4 MHSANHWGGSLEIYNGAESTTDDEKSRNMEWDKAALQPQHHHLDETQQSWLLYPQETKKKKHVDLGCVACSHKALKWTLYAFVFALLVIALPIILVKTLPKHNSKPPPPDNYTLALRKALLFFNAQKSGKLHKNNGIPWRGDSGLQDGNGTDFSKAGLVGGYYDAGDNTKFHFPMAFAMTMLSWSVIEYRQKYEAIGEYQHTRDLIRWGTDYLLLTFNSSASKIDKIYCQVGGSRNGSILPDDHYCWQRPEDMDYPRPTQVVNSGADLAGEMAAALAAASIVFRDNEAYSKKLVRGAVTVYDFARDGGRRGAYSSGNDYIQPYYNSTGYYDEYIWGATWLYYATGNITYIKLATEPGFSKHSKALLSIPDLSVLSWDNKLPAAMLLLTRYRIFLNPGYPYEEMLHMYHQKTELNMCSYFQQFDVFNWTKGGMIQLNHGTPQPLQYVANAAFLASLYVDYLNATRVPGLNCGPKFISLDLLRSFATSQINYILGDNPMKMSYVVGYGTKFPRHVHHRGASTPSDKTRYSCTGGWKWRDSSKPNPHNITGAMVGGPDRFDQFRDVRTNYNFTEPTLAGNAGLVAALASLTSSGGIGIDKNSIFTAVPPLYPPSPPSPPAWKP >Potri.003G124100.1.v4.1 pep chromosome:Pop_tri_v4:3:14422022:14427103:-1 gene:Potri.003G124100.v4.1 transcript:Potri.003G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124100.v4.1 MYPSLLRRSKFLSEQLRTRVVIRLMGGGPLSFPGGLNKWQWKRLHEKKAKEKEKRLLDQEKQLFQDRMRSQIRSNLAGQSHPNLNPDPNKYNPMSPNEHLKALADRFMKDGAEDLWNENDGSLKPPSDEQTEFVGTNQQPGSIHSPVDLRKLISEGRHVSQNRENGFNFVKSRDYSMLRDLGFESGGDSTKPLARRQRKFRINESSSSVDDEDHGFVNDKVKNFVGDSWNERGGVSNLRNVSDFMKNRGSETVKQRRFQRNESDDEDEDLEGGGDRRGRSATDIGSRAALGKYDMKKTRRVPLKELDKNDFANEVELIRYELGRKKKFAGNEGDKEEEDSILSEKRFDECGLSPLTVKALIAAGYVQMTRVQEATLSVCLEGKDAMVKAKTGTGKSAAFLLPAIEAVLKATSSNDKPQVSPIYALILCPTRELASQIAAEANAMLKYHDGIGVLTLVGGTRFKDDQRRLESDPYQIIVATPGRLLDHIENKGGLSVHLMGLKVLILDEADHLLDLGFRKDMEKILDCLPRQRQSLLFSATIPKEVRRISQLVLKREHAFINTVGVGCVETPAKIKQSFLVSPHRLHFQVVHHLLKEHILQAPDYKVIVFCTTGMVTSLMYLLLREMNMNVREMHSRKPQLYRTRVSNEFRESKRLILVTSDVSARGMNYPDVTLVIQVGIPYDREHYIHRLGRTGREGKDGEGILLLAPWEEYFLNELKDLPLEKFPLPQIDSETNFKMEESMSKIDSSVKEGAYHAWLGYYNSIREIGRDKTTLVELANQFSESIGLHKPPSLFRKTALKMGLKDIPGIRIRR >Potri.001G294200.2.v4.1 pep chromosome:Pop_tri_v4:1:30570938:30575741:1 gene:Potri.001G294200.v4.1 transcript:Potri.001G294200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294200.v4.1 MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVVRTYSEWVIDGEYDWPPKCYSCQAVLEEGDGPQTTRLGCLHVIHTNCLVSHIKSFPPHTAPAGYVCPSCSTPIWPPKSVKDSGSRFHSKLKEAIMQTGLEKNLFGNHPVSLLAPPPTFTSDPLVAISSSFSVTKDETGTGSSKISVSDIVEIDSPNSAGNYMKNSSPGIPGAATRKVGVHVERQNSELSYYADDEDGNHKKYSRRGSFRHKFLRALLPFWSSALPILPVTAPPRKDASNADDPSEGRTRQKRSRTDPRKILLFIAIMACMATMGILYYRIAQRGFGEEQQ >Potri.001G294200.1.v4.1 pep chromosome:Pop_tri_v4:1:30570870:30576198:1 gene:Potri.001G294200.v4.1 transcript:Potri.001G294200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294200.v4.1 MVVCKCRKATKLYCFVHKVPVCGECICFPEHQICVVRTYSEWVIDGEYDWPPKCYSCQAVLEEGDGPQTTRLGCLHVIHTNCLVSHIKSFPPHTAPAGYVCPSCSTPIWPPKSVKDSGSRFHSKLKEAIMQTGLEKNLFGNHPVSLLAPPPTFTSDPLVAISSSFSVTKDETGTGSSKISVSDIVEIDSPNSAGNYMKNSSPGIPGAATRKVGVHVERQNSELSYYADDEDGNHKKYSRRGSFRHKFLRALLPFWSSALPILPVTAPPRKDASNADDPSEGRTRQKRSRTDPRKILLFIAIMACMATMGILYYRIAQRGFGEEQQ >Potri.016G014700.1.v4.1 pep chromosome:Pop_tri_v4:16:782392:783713:1 gene:Potri.016G014700.v4.1 transcript:Potri.016G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014700.v4.1 MGGELGNFLLVWVSAVALLCYCHKIGQLTHKGTTRLLAILPVVCIFLVMPLSILSLSLRAITSFFLCWLANFKLLLFVFDQGPLSSNPPLSLPHFVAFACFPIKIQQDPAPTVLPHDQELVNVDDNQDLEKLVSVENKDTPSQKIAPKGLKSHLNYALKFFLLVIFGYIYAKEDYFHPKFILFLYVIHIYIALELILAMFGALARACLGVELEPQFDEPYLASSLQDFWGKRWNLMVTSILHPTVYSPIRSTFSRWIAKKWASLPAVIGTFLVSGLMHELIFYHIGRQKPKGEVTCFFLLHGFCLAIEIGIKREIKGTRGLPRVVAAPLVVGFVVVTAMWLFMPTVVRCKIDAEARMQTIAFINFVEGVYIYLKDVFIDHKF >Potri.003G210701.1.v4.1 pep chromosome:Pop_tri_v4:3:20852925:20861738:-1 gene:Potri.003G210701.v4.1 transcript:Potri.003G210701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210701.v4.1 MDPLVSIEDQFVKLHPCLSVNTRIGIVGGGPSGISAAYALAKLGYQNVTVLEKHHTVGGMCESVDIEGRIYDLGGQVLAKNSAPVIFHLAKEVGSELEEMDSHKLAHIDSSTGKYQDIKVADDYVAVMSLTLELQDKAKDSGRIGVHAVSELAQDLTPTYLESRGFKSVPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQKISESLPIEVNCKTDVLAIRRNSDSVRVDVKRNNGEIQEMEFDKIIISGSFPFTNGNTYRFPAENSTESETEVMDLSEVERYFFRKVQTIDYYTTVLKIKGLEDMPVGFYYFGEYMEDPATIGHPVAMQKFYADTDIFLFWSYGNSFDIKRPTVAELAKKVVMSMGAKVEEEVLQRRFKYFPHVGSQEMKDGFYEKLESELQGQRNTYYVGGLMAFELTERNSSYAMDLICKHFANNNSVPMFPYVKSLFSLKSDCWDRNPKELGEGVEFPDLSTLDGYLKHWGTESMTKDKTLYTWIGEDGAVVCQRTYAELHAKASCIARKLLTSRKPVIKPGDRVLLVYVPGLDFIDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIESIAKSCNAVAILSTLLYHSAVRAGSVKNLISLAGKNGKWPNLPWMHTDSWLKDSKVLAPGNIAYESECQPDDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMKRIYKSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGSAILFSPMTFIKNPLLWLQIMSKYNATHSAGPNFAFELLIRRLEYADKDKVRNFDLSSLIFLMVAAEPVRQRTLKRFVELTRPFGLSQEVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVEPNGEDIDIRIVDPESNEELKESGKEGEIWISSPSAGIGYWGREELSQSTFRNVLQNHPGRKYTRTGDLGRIIDGKVFITGRIKDLIIVAGRNIYSTDVEKTVESASELLRPGCCAVIGVPEEVLSSKGISLPDCSDQVGLVVIAEVRDAKHVDKDVVENIKTRVAEEHGVTVASIKLIKPRTISKTTSGKIKRFECLKHFTDGTLNTVPDPFFAKRKLLRSFTTGTSKEGLTPRSRFATSPLPTAKFSKKEIVEFLKGLVSEQTGIPIKNISATESLVSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTATCIADLASFSENLAMKSQPHLMNSQSYQPEPDIDSAEFDTEVSTTRLISVWFFQLLALVYVCAMLSFPAYFSVSAFTSLLSASHMLNEEFPWWNYLIPLALAPLAWILGIISTCISIAFLGNSFLKPNYALAPEVSIWSIHFVKWWALYKAQEISSKVFAEHLRGTVFLNYWFEMLGAKIGSSVLLDTVDITDPSLVSIGDGAVIAEGALLQSHEVKNGILSFQAIRIGRNSSVGPYAVIQKGSTLGEEADVQPLQKTEGGKAVLKSSKAHNVQKGAMLSDKATYHFMGIYMVGLLSTLSAAIIYFLYIWLSQKPASIQHFSFLCISGAFHWTPFTIIAYATMIANVPSNPATFAISVAIVYLAHGLILSLLTCTLTHFLAEKQEKRESHMKAWLRHRITIACHLRFAKLLSGTEAFCIYLRLLGASVGQHCSIRAVNPVSDPELITIGDGVHLGDFSRMIAGFYSSSGFTQGKIEVQDNSVVGSQSLILPGSVVQKDVILGALSVAPANSVLRQGGVYIGSQTPVMIKNTMHALDDRIEEMDYKYKKIVGNLAATLAANTLKVKARYFHRIGVSGKGYLKIYDNLKGFPDHKIFQAGKSYPIVVRHSNGMSADDDARIDLRGAAIRILSDDNGSNSSSLLDLTLKTGKALSARTIGDFATWLVCGLPAREQHVKRAPHIRDAVWMSLRNANSFAELHYYSNICRLFRFSDGQEMYVKFKLRPGDENISEDSGKVEPMGILPPETGAIPRDEKDTRPLLFLAEDFQSRVSSPGGVRYIFQLQIRPVPHDDATCDIALDCTKPWDESEFPYIDIGEVHIDQNLTGAESEALQFNPYIRCHEVDVIRATSSSQSASIDHGRSLIYEICQHLRNGEPLPEAWRIFIEQSDVKVDLSGCPMAAALEKKDSGKVTLARTWYQTLWVIFAQPLLQTFLPYFLMGLLIFAPLNWILHLKESKKVAMHWLLPLVWVSSGVLAALACVLAKWILVGKKKEGQTVHIWSIGVFMDTVWQAFRTVVGDYFMEMTRGSILFLLWLKLMGSDIDLDQGAYVDSMGAALNPEMVEIERGGCVGREALLFGHIYEGEGGKVKFGRIRVGEGGFVGSRAIAMPGVRIEIGGNLSALSLAMKEEIVRSM >Potri.T125304.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:78651:80837:-1 gene:Potri.T125304.v4.1 transcript:Potri.T125304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125304.v4.1 MGGHVSKRPAEASSSSINLNNNLQYTTGLSSYEAACLLDKDLQSFDTTLQARTNHVINTLAVGVEVRALSFDSLKEVTECLLEMNQEVVKVILECKRDIWKNQELFELVEEYFENSLQTLDFCAALEKCLKRARDSQLLILVALQQFEEESEAGGSKYVKTLEELKSFKAAGDPFTEEFFQIFQSVYRQQITMLEKLQLRKNKLDKKLKCIHAWRKVSSIIFVATFATVLICSVVAAAMAAPPVAAALAAASSIPLGSMGKWIDSMWKNYENALKGQKEVISTMQVGTYVAIKDLDTIRVLINRLEIEIEALMQTTDFAIEHDAVKLAIEEIKKKLGVFMKNVEDLGLQADTCSRDIRRARTVVLQRIIKNPQN >Potri.001G443966.1.v4.1 pep chromosome:Pop_tri_v4:1:46943636:46943854:1 gene:Potri.001G443966.v4.1 transcript:Potri.001G443966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443966.v4.1 MSIEGARKRVYMEIENLKACCMLLGTDTEEYGKMHDLVRDVAIQIASEEYGFMVKAGFGLEEWPMSNKSFEG >Potri.006G103700.1.v4.1 pep chromosome:Pop_tri_v4:6:7971844:7974314:1 gene:Potri.006G103700.v4.1 transcript:Potri.006G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103700.v4.1 MARGLKKHLKRLNAPKHWMLDKLGGAFAPKPSSGPHKSRECLPLILILRNRLKYALTYREVIAILMQRHVLVDGKVRTDKTYPSGFMDVVSIPKTNESFRLLYDTKGRFRLHSLRDDEAKFKLCKVRSIQFGQKGIPYLNTYDGRTIRYPDPLIKANDTIKLDLESNKIVDFIKFDVGNVVMVTGGRNRGRVGVIKNREKHKGSFETIHVQDATGHEFATRLGNVFTIGKGSKPWISLPKGKGIKLSIIEEARKRQAASQTAA >Potri.006G020300.1.v4.1 pep chromosome:Pop_tri_v4:6:1351562:1352884:-1 gene:Potri.006G020300.v4.1 transcript:Potri.006G020300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020300.v4.1 MVETKVTEMVIKVVDLGCEKCHKKIKRVLCAIPQIQNQIYDKKKNTVKITVVGCCPEKIKKKIYCKGGPTVKCIVITPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPPQPCTCCEKCRRGPCCHHFCMPTVPPYCPVPCRRAVCDIWEDGCCSCRSRGYYVCRSAYVCEEYYPSAPCTVM >Potri.010G180000.1.v4.1 pep chromosome:Pop_tri_v4:10:17903519:17908293:-1 gene:Potri.010G180000.v4.1 transcript:Potri.010G180000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180000.v4.1 MECIYTGHRPSQEPNQARLIMGIQCQETPPPLQDLKVTIQNSSLVFPNHETAQRKSMFLSNIDQVLNFNVETVHFFASHEDFPPQTVAEKIKDALEKILVPYDFLAGRLKSNARTGRLEVDCNGAGAGFVVASSECTLDEIGDLVYPNAAFAKLVVNSSDHSLEKDGKLLCIIQVTSFKCGGFAMGISTSHATFDGISFKIFLQNLAALAGGKPLAVTPCNDRELLAARSPPRVTFPHPELVKLQTHLGQELNAPVFDDAQEALDFKIFRLTSGNFSDMKEKAKTSPSARVSGFNVVTAHIWRCKALSQSEAQDPDRVSTILYAVNIRPRLTPPLPESYAGNAVLTAYANATCKELREGPISKLVERVAEGSKRMTDEYARSAIDWGEIHKGFPHGDFLLSSWWKLGFDEVDYPWGCPRYSCPVVYHRKDIILLFPDIDDKNSVNVLVALPCKEMEKFESLFHKFLSA >Potri.015G079200.1.v4.1 pep chromosome:Pop_tri_v4:15:10535150:10536455:1 gene:Potri.015G079200.v4.1 transcript:Potri.015G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079200.v4.1 MAPEYLVRGQLTEKADVYGFGVLVLETATGRKNSVFSQGSSSILHSVWKHYKVKTITDMIDPGLKDSFPEKQAETVLQIGLLCTQASPRLRPFMNEVVSMLANAKSEIPSPKQPPFLNASVLSPDGSTESCITEVSFTCNSVIDQQTKAQAVPLNDPPNSQATDGPGSRNSSILEQTKRN >Potri.009G016832.2.v4.1 pep chromosome:Pop_tri_v4:9:2869255:2872663:-1 gene:Potri.009G016832.v4.1 transcript:Potri.009G016832.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016832.v4.1 MQHVWIYRILLKVLGIVQIDGGNFARPTVIRLTRVGKIPEYNVGDCVVCSAKKEFHVGCLRESGLCDLEEIPEDNWFCCQDCNNIYWQILTGKSRSREDLSLLFGGCCNFSTLCRRNIFDQEFGGMYCVLLTVRLLCSLNVEQLVLPAAETIWTRRFGFRKMSEGQVSFVFYSLMNGQIYTSFYESIKR >Potri.009G016832.1.v4.1 pep chromosome:Pop_tri_v4:9:2869255:2871683:-1 gene:Potri.009G016832.v4.1 transcript:Potri.009G016832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016832.v4.1 MCFSYYRCLNVMLSFSAKKEFHVGCLRESGLCDLEEIPEDNWFCCQDCNNIYVALRNSVSTGVQKIPASLLNIINRKHVEKGLLVDEAAYDVQWQILTGKSRSREDLSLLFGGCCNFSTLCRRNIFDQEFGGMYCVLLTVRLLCSLNVEQLVLPAAETIWTRRFGFRKMSEGQVSFVFYSLMNGQIYTSFYESIKR >Potri.005G047301.1.v4.1 pep chromosome:Pop_tri_v4:5:2987209:2989089:-1 gene:Potri.005G047301.v4.1 transcript:Potri.005G047301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047301.v4.1 MEEEDNYVQYVPVVKRRALAAQMILQRTGDSSALEDELEKSKLAEAKPSLLVKASQLKRDQPEISQTEQIVQQEKEMIEHLSDKKTLMSPILKMLKAKGIVQPTPIQVQGLPVILPGRDMIGIAFTGSGKTLVFVLPLIMIALQEEIMMPIMPGEGPVGLVLEVVKKGVHIVVATPGRLKDMLAKKKMSLDNCRVSIFYMHPYRFDTKLSLCHIFPLFFQTPLSLNKVATMPTKIQNFARSALVKPVTVNVGRAGAANLDVIQEVKYVKQEAKIVYLLECLQKTPPPVLVFCENKADVDDIHQYLLLKGVEAVAIHGGKDQEEREYAISSFKAGKNDVLVATDVASKGLDFPDIQHVINYDMPAEIENYVHRIGRTGRCGKTGIATTFINKNQSETTLLDLKHLLQEAKQRIPPVLAELNDPMEDGDTISTASGVKGCAYCGGLGHRIQNFMFC >Potri.005G174700.4.v4.1 pep chromosome:Pop_tri_v4:5:18003501:18005426:-1 gene:Potri.005G174700.v4.1 transcript:Potri.005G174700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174700.v4.1 MAYVERGVVKSQRSIWRLRTITDFFWAIVNFIGVFFSTMFSMEKTDAYRKGSGSSKKWDGGPGGPGSGPYGGGPRGPPRGLDNVRGIDHSSLPACGSCCG >Potri.006G157200.2.v4.1 pep chromosome:Pop_tri_v4:6:14052115:14055808:-1 gene:Potri.006G157200.v4.1 transcript:Potri.006G157200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157200.v4.1 MVAAAAASSFFPVPSPSGDAKASKFGSVSASLGGIKTKSASSGALQVNTNAQAPPKINGPPVGLTASVETLKNEDVVSSPAPRTFINQLPDWSMLLAAITTMFLAAEKQWMMLDWKPKRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVTRMQILVDRYPTWGDVVQVDTWVSASGKNGMRRDWLLRDAKTGETLTRASSVWVMMNKVTRRLSKIPEEVRGEIEPHFLTSDPVVNEDSRKLPKIDDNTADYICESLTPRWNDLDVNQHVNNVKYIGWILESAPPPIMESHELAAITLEYRRECGRDSVLQSLTAVSDTGIGNLGSPGEVEFQHLLRFEEGAEIVRGRTEWRPKHADNFGIMGQIPAVSA >Potri.007G018300.3.v4.1 pep chromosome:Pop_tri_v4:7:1412724:1413399:1 gene:Potri.007G018300.v4.1 transcript:Potri.007G018300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018300.v4.1 MGFGALRNAIRPLSRTLTTHARTSSTTPFLASKPEFRLSLSGGGHSPWTQMIRHFSFLSEANPFDRLTSTRFPKRRPVDKPRRKRASLKPPGPYAWVQYVPGQPIKPNNPNVGSVKRRNEKKRIRQRKEFILVRVH >Potri.015G026550.1.v4.1 pep chromosome:Pop_tri_v4:15:1991308:1992329:-1 gene:Potri.015G026550.v4.1 transcript:Potri.015G026550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026550.v4.1 MSHSSFASKEKITIRDDSSLLDLTGTKGIQHTKNLRRSKQANNC >Potri.004G221600.2.v4.1 pep chromosome:Pop_tri_v4:4:22664577:22665284:1 gene:Potri.004G221600.v4.1 transcript:Potri.004G221600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G221600.v4.1 MEVLIMEKKLSQTDIEYRLAFPTSSLWAFPMPEGETAVYFEATDTLENEWNFRLSIRGENDRYTKPVITGDWLQFVRDKGLKVGDKLFLTREEGVNGVRYSIRAERKIFKVWANVQ >Potri.010G084100.1.v4.1 pep chromosome:Pop_tri_v4:10:11127264:11135658:-1 gene:Potri.010G084100.v4.1 transcript:Potri.010G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084100.v4.1 MAAFLLRHRQVSTLGVSGILKDKLDPSRPHVESRLGALAGVCNLLREYSTSNDNRAHKFDFLDLTCPHAWYPVARRKNRKIFLHVGPTNSGKTYHALKQLESSPSGVYCGPLRLLAWEVAKRLNKANVPCDLITGQEREEVNGAKHKAVTVEMADVTSNYSCAVVDEIQMLGCMTRGFSFTRALLGISADELHLCGDPAAVPLIQEILKPTGDDIHVQYYERLSPLVPSQKPLGSFKNIQTGDCIVTFSRREIYKLKGQIERGRKHLCSVVYGSLPPETRTRQATMFNDASSEFDVLVASDAIGMGLNLNISRIIFSTMKKFDGVEMRDLTISEVKQIAGRAGRYGSDFPVGEVTCLHADDLPLLHSSLKSPSPILECAGLFPTFDLIFMYSRLHPKKGLYRIMEHFLENAKLSENYFIANCEEMLKVAAVVDVLPISLHDKYLFVISPVDMRNEISSQGLTQFAQNYAQKGIVRLKEIFTPGTLQVPKTESALKELESIHKVLDLYVWLSFRLEDSFPDRELAASQKAICGLLIEEFLERFGWQKQPKTRKLPSRVNSGFLLSKETRQYV >Potri.005G061000.1.v4.1 pep chromosome:Pop_tri_v4:5:3852362:3856370:1 gene:Potri.005G061000.v4.1 transcript:Potri.005G061000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061000.v4.1 MNKNPRKRGGPPGRNERGGSKFSMNRDPFFSNNKRRKKGEDEDIESEEEEELDGGMLNGGGESGEDEEDEELIPEETAEEKRQRIAKAVVENLRAREKELEGEEDEEGDREFEKEGERDSSVAKKLMQQQLEESGRLRRAIASRVQKPESVDGFEVLVKHRQSVTAVCLSDDDSKGFSASKDGTILHWDVDSGKAEKYQWPNDEILRSHGAKNPEGRATKHSRNVLALAVSTDGRYLASGGLDRHVHLWDTRTREHIQAFPGHKGPVSCLTFRKGSSELFSGSYDRSIKIWNVEDRAYMNTLFGHQSELLTIDCLWQERVLAVGRDRSMQLFKVHDESRLIFRSSTSSLECCCFIDNSEFVSGSDDGNIELWSVQKKKPVYIVKNAHTSSTDVNNGELKDNGRNYNGHVASSWVSSVGVCRGSDLAASGAGNGSVRLWAVEGAGKGIRPLYDLPLTGFVNSLAFAKSGKFLLAGVGQEPRLGRWGHNSAARNGVAIQQLKLL >Potri.014G196600.5.v4.1 pep chromosome:Pop_tri_v4:14:17712306:17717287:1 gene:Potri.014G196600.v4.1 transcript:Potri.014G196600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196600.v4.1 MKGFLLVTILVLHQCTLGQQDFFKSRIERSALLDLRSSLGIRSTDWPIKSNPCKKWTGIQCRNGRVTGINISGFKRTRAGRLNPSFSVGSLANLTFLESFNASGFSLPGSIPDWFGYRLGSLKVLDLRSSSVTGPIPASLGNLTGLNALYLANNDLAGSMPAALGQLMQLLVLDLSRNSLTGLIPNDFGFLRNLSCLDLSSNHFSGSIPSTFGSISGLQFLNVSDNSLVGSVPVELGNLSHLVKLNLGVNVLSGSLPVEFRRLRNLEMLVLRHNRLEGRLPDGLFSSLGKLRVLELRENKFDGALPGALWSLRNLQVLDVSANNFTGDLSNFSFKGHASGAVFNLSNNQLYGTTACLSGNYTSIDLSGNYFQGKVPRISPSNGSLDMNCLQAVPDQRRLEDCSLFYAGRGLTFDYFGNPEPRQQLLPTPAPRRRKQWIYILVVLLGGVGFLAFFILVIVLVLRKCNYAISNERGSADVGPVPEGDSPPLPKDPTSVSSLGESFTYAQLRSLTGEFSERNLIRHGHSGDLYQGFLEGGIPIVVKRINLHSLKKDSYVTELEFFSKYSHARLIPLLGHCLENENQKLLVYKYMPNGDLAESLFRVTNFDDNGLQSLDWITRLKIAIGAAEGLSYLHHECNPPLVHRDVQASSILLDDKFEVRLGSLSEIRIHEGDSHQSVLTRFLRKSQSSVSDPGTSGSSPITCAHDVYCFGKVLLELVTGKLGISKSDDATTKEWLEQTLVQISLYDKELVAKIVDPSLIIDEDLLEEVWAMTVVARSCLNPKPSKRPPMKYVLKALENPLKVVREDSYSSERLRTTSSRRSWSAAFFGSWRHSSENVTVLGHANREGISGLKQPVRVGSQGSGRIEHSSSNKRLSNEIFPELLEMQDMERLDEH >Potri.014G196600.1.v4.1 pep chromosome:Pop_tri_v4:14:17712112:17717277:1 gene:Potri.014G196600.v4.1 transcript:Potri.014G196600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196600.v4.1 MKGFLLVTILVLHQCTLGQQDFFKSRIERSALLDLRSSLGIRSTDWPIKSNPCKKWTGIQCRNGRVTGINISGFKRTRAGRLNPSFSVGSLANLTFLESFNASGFSLPGSIPDWFGYRLGSLKVLDLRSSSVTGPIPASLGNLTGLNALYLANNDLAGSMPAALGQLMQLLVLDLSRNSLTGLIPNDFGFLRNLSCLDLSSNHFSGSIPSTFGSISGLQFLNVSDNSLVGSVPVELGNLSHLVKLNLGVNVLSGSLPVEFRRLRNLEMLVLRHNRLEGRLPDGLFSSLGKLRVLELRENKFDGALPGALWSLRNLQVLDVSANNFTGDLSNFSFKGHASGAVFNLSNNQLYGTTACLSGNYTSIDLSGNYFQGKVPRISPSNGSLDMNCLQAVPDQRRLEDCSLFYAGRGLTFDYFGNPEPRQQLLPTPAPRRRKQWIYILVVLLGGVGFLAFFILVIVLVLRKCNYAISNERGSADVGPVPEGDSPPLPKDPTSVSSLGESFTYAQLRSLTGEFSERNLIRHGHSGDLYQGFLEGGIPIVVKRINLHSLKKDSYVTELEFFSKYSHARLIPLLGHCLENENQKLLVYKYMPNGDLAESLFRVTNFDDNGLQSLDWITRLKIAIGAAEGLSYLHHECNPPLVHRDVQASSILLDDKFEVRLGSLSEIRIHEGDSHQSVLTRFLRKSQSSVSDPGTSGSSPITCAHDVYCFGKVLLELVTGKLGISKSDDATTKEWLEQTLVQISLYDKELVAKIVDPSLIIDEDLLEEVWAMTVVARSCLNPKPSKRPPMKYVLKALENPLKVVREDSYSSERLRTTSSRRSWSAAFFGSWRHSSENVTVLGHANREGISGLKQPVRVGSQGSGRIEHSSSNKRLSNEIFPELLEMQDMERLDEH >Potri.003G177200.6.v4.1 pep chromosome:Pop_tri_v4:3:18400612:18401301:-1 gene:Potri.003G177200.v4.1 transcript:Potri.003G177200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177200.v4.1 MEEVSTYESVQDHQKSNGGRNRRSSSPCGSNNGPHIETSTLKSNLKKTASTTTIEENQARTDHQKRKVSWPDIAYGTDIAHVLEFEPSISDDGELEGARNSCVCTIQ >Potri.003G177200.5.v4.1 pep chromosome:Pop_tri_v4:3:18398940:18402149:-1 gene:Potri.003G177200.v4.1 transcript:Potri.003G177200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177200.v4.1 MEEVSTYESVQDHQKSNGGRNRRSSSPCGSNNGPHIETSTLKSNLKKTASTTTIEENQARTDHQKRKVSWPDIAYGTDIAHVLEFEPSISDDGELEGARNSCVCTIQ >Potri.005G085500.2.v4.1 pep chromosome:Pop_tri_v4:5:5905925:5910492:1 gene:Potri.005G085500.v4.1 transcript:Potri.005G085500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085500.v4.1 MAFSYRLLSRSKQLYGSQAILNQQLAFPVRYYAKEAAPDGFKGDEMLKDIFRDLKKKFDTAIGVFRKEKIIIDPEDPAAVSHYAKVMKTAREKAGLLSESQRIQYTIEEETKDIPDARTYFLQLQEIRIKRDLPDELGVEAMMMDALEKVEKEIKKPLMRNDKKGMALLMAEFDKINTKFGVRREDLPKYEEELELKIAKAQLEELKKDAVEAMETQRKREEFKNEKAVDVRSLDIRNFL >Potri.005G085500.3.v4.1 pep chromosome:Pop_tri_v4:5:5905925:5910342:1 gene:Potri.005G085500.v4.1 transcript:Potri.005G085500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085500.v4.1 MAFSYRLLSRSKQLYGSQAILNQQLAFPVRYYAKEAAPDGFKGDEMLKDIFRDLKKKFDTAIGVFRKEKIIIDPEDPAAVSHYAKVMKTAREKAGLLSESQRIQYTIEEETKDIPDARTYFLQLQEIRIKRDLPDELGVEAMMMDALEKVEKEIKKPLMRNDKKGMALLMAEFDKINTK >Potri.006G043300.1.v4.1 pep chromosome:Pop_tri_v4:6:2913979:2914871:-1 gene:Potri.006G043300.v4.1 transcript:Potri.006G043300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043300.v4.1 MHESIYTTNCQISIKYICILDTSVAQRDRTSSLCFCVKMPGLETQENKPPAGYPIDTPTTGKKCFPRTKKKGERGFIEGCLFALCCCWICEMCC >Potri.006G251300.1.v4.1 pep chromosome:Pop_tri_v4:6:25023778:25025175:1 gene:Potri.006G251300.v4.1 transcript:Potri.006G251300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251300.v4.1 MSRPGDWNCRSCQHLNFQRRDSCQRCGDPRPGERDHYGSFGGRSSGGSFGFTGPDVRPGDWYCTAGNCGAHNFASRSSCFKCGVSKDESSGGGLDADMSRMRGYGFGGGGGGGSGSSRNWKSGDWICTRSGCNEHNFASRTECYRCNAPRESSSNKSSY >Potri.012G105500.1.v4.1 pep chromosome:Pop_tri_v4:12:12739154:12742595:1 gene:Potri.012G105500.v4.1 transcript:Potri.012G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105500.v4.1 MHSQTWKAMTMAALVVQLCTSMGVDSSSPHPDKIAGLPGQPHVGFQQFSGYVTVDGNKHRALFYYFVEAEIDPASKPLVLWLNGGPGCSSLGVGAFSENGPFRPNGRVLIRNEHSWNREANMLYLETPVGVGFSYSTDNSSYVAVDDEATARDNLVFLQGWFHKFPQYRNKDLFITGESYAGHYIPQLAKLMVEINKKERLVNLKGIALGNPVLEFATDLNSRAEYFWSHGLISDSTYKMFTSACNYSRYVSEYYRDSVSSVCSLVMKQVSTETSRFVDKYDVTLDVCIPSVLSQSKVISPKQVSERIDVCIEDETVNYLNREDVRKALHARLIGVRRWEVCSNILDYEVLNIEIPTINIVGSLIKAGIPVLIYSGDQDSVIPLTGSRTLVHRLAKELGLNTTVPYRAWFAGKQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLMLFKSFLQGKHLPEVF >Potri.011G118166.1.v4.1 pep chromosome:Pop_tri_v4:11:14929436:14931081:-1 gene:Potri.011G118166.v4.1 transcript:Potri.011G118166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118166.v4.1 MVDNYLIKTSDEHPLAHQVQIHTLAVPASLRWWCQLRAAVWLCAVTVLGHHRAQRKLRSQRRE >Potri.002G003500.1.v4.1 pep chromosome:Pop_tri_v4:2:208142:211595:-1 gene:Potri.002G003500.v4.1 transcript:Potri.002G003500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003500.v4.1 MGLLDLLIASSIPVLKVLLITAIGSYLALDHVDVLGEDARKHVNNVVFYVFNPALVSSNLAETITYDSMKKMWFMPFNILITFVIGSLLGWFVVQFTRPPSHLHGLIVGCCAAGNLGNMFLIMIPAICKEKGSPFGSPDICETFGLGYVSLSMAIGAVYLWSYVFNIVRASSFPSVKQFDKIHVDESSIETPKSELGSCKEPLLASENQADQYALRSSASDEMVVRSGLKQKIVVVFGNINWKSLFAPSTIAAIVGFVIGVIPLTRKLMVGNDAPLRVIQDSASLLGDGAIPTLNLIMGANLLKGLRGSGIQKSVIFGIIVARYIALPLIGIFIVRGALRFGFIPQDPLYQFILLLQFAVPPAMNMGTITQLFGAGETECSVIILWAYALASISLTLWSTFFMWLVA >Potri.013G157800.13.v4.1 pep chromosome:Pop_tri_v4:13:15260879:15269408:-1 gene:Potri.013G157800.v4.1 transcript:Potri.013G157800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157800.v4.1 MLQWMGGSRRKVTTSRRSTQKRQKQYFEQRRRKQHQQAAGLDSFEEGVNIRGENCREHHRSLDVLSLQNWSTIAKDCTSTCHPGREDAKVNASTVNSHIFKDPPIIRANPIGPLQSPEIMETRTLSGYQPETVSPKKVLFSSSNNRSKAFSGTSSKNKMDPCKMTTQQSSSVFDLLADDEPNGKSDGSPAREAHVAFSVEGLGKVGAETPPYSPRQPDRYVSYGCPSPLKAARQISSSKNLDYVLNDLEVEVDAIMQHVDMPLRGHPSEFSMEIEDSFCKLNNNLSTIAESVQLDGHGRMRNSFGETENNDDTWGARCRSWDANFLDKRGFDISWNSWPYSMDGNSAEFLKYGNDRLPDDAFEGCHLLKKRDSIIAKEAFNVLDSPSLKHRTPETGFDFMISERAARKPSLRTNFGFGDTTIQPDWSCFITEDARDNQSLLSEESSSSTAEAVRDKVTDISPSNSRARRSQRHQNASGFPENNCRTKSIFLKGRQFKNREEIQKGNNVFGSGKSTQTPMLSESSNLDCPFQEKIGSRRSWMFKEGYGSADMNMGFSSPCQTSETKHPLSGSKPWTEDTFDAFVLEPQIDAKFSFDTSKHGGSVKHSPSDSFISEKSAFCQKSSSMHAHDSPMFSKVEFGATRADFTRDPPDFEFKGNPPDFSSEDAASHGEKLVLELSAKESVSKEKKNRSKFQQTNYEKVETWNGHVGNNMKPMDALESVDNGPDRKDAKDEPLETSSSVKIPNKSESSVDKKEYHHDAEVSPPCPKGNKENEGPEDRKISRDGNRLDSSPPVMMLKSYVLQLLCVQKAPREASVQSP >Potri.016G023380.1.v4.1 pep chromosome:Pop_tri_v4:16:1311013:1311554:-1 gene:Potri.016G023380.v4.1 transcript:Potri.016G023380.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023380.v4.1 MTMKIKLKQLLEGVQLTMSIKKPVPLSWRYQYQTRVLATVIL >Potri.016G049900.4.v4.1 pep chromosome:Pop_tri_v4:16:3234196:3236235:-1 gene:Potri.016G049900.v4.1 transcript:Potri.016G049900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049900.v4.1 MMEKARQKIDSVVGRSRLVQESDIANPPYLQAIVKETLRLHPTGPLIVRESLEDCTIAGYKIPANTRLFVNIWSLGRDPNHWENPLDFRPQRFTGEDWSGNSNMMDVRGQHFHLLPFGTGRRSCPGASFALQFVPTTLAALIQCFEWKVGDGECGTVDMDEGPGLTLPRAHSLVCIPVSRPCPFLAA >Potri.016G049900.5.v4.1 pep chromosome:Pop_tri_v4:16:3234576:3236210:-1 gene:Potri.016G049900.v4.1 transcript:Potri.016G049900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049900.v4.1 MMEKARQKIDSVVGRSRLVQESDIANPPYLQAIVKETLRLHPTGPLIVRESLEDCTIAGYKIPANTRLFVNIWSLGRDPNHWENPLDFRPQRFTGEDWSGNSNMMDVRGQHFHLLPFGTGRRSCPGASFALQFVPTTLAALIQCFEWKVGDGECGTVDMDEGPGLTLPRAHSLVCIPVSRPCPFLAA >Potri.016G049900.6.v4.1 pep chromosome:Pop_tri_v4:16:3234576:3236210:-1 gene:Potri.016G049900.v4.1 transcript:Potri.016G049900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049900.v4.1 MMEKARQKIDSVVGRSRLVQESDIANPPYLQAIVKETLRLHPTGPLIVRESLEDCTIAGYKIPANTRLFVNIWSLGRDPNHWENPLDFRPQRFTGEDWSGNSNMMDVRGQHFHLLPFGTGRRSCPGASFALQFVPTTLAALIQCFEWKVGDGECGTVDMDEGPGLTLPRAHSLVCIPVSRPCPFLAA >Potri.016G049900.3.v4.1 pep chromosome:Pop_tri_v4:16:3233922:3236277:-1 gene:Potri.016G049900.v4.1 transcript:Potri.016G049900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049900.v4.1 MMEKARQKIDSVVGRSRLVQESDIANPPYLQAIVKETLRLHPTGPLIVRESLEDCTIAGYKIPANTRLFVNIWSLGRDPNHWENPLDFRPQRFTGEDWSGNSNMMDVRGQHFHLLPFGTGRRSCPGASFALQFVPTTLAALIQCFEWKVGDGECGTVDMDEGPGLTLPRAHSLVCIPVSRPCPFLAA >Potri.016G049900.2.v4.1 pep chromosome:Pop_tri_v4:16:3233922:3236277:-1 gene:Potri.016G049900.v4.1 transcript:Potri.016G049900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049900.v4.1 MMEKARQKIDSVVGRSRLVQESDIANPPYLQAIVKETLRLHPTGPLIVRESLEDCTIAGYKIPANTRLFVNIWSLGRDPNHWENPLDFRPQRFTGEDWSGNSNMMDVRGQHFHLLPFGTGRRSCPGASFALQFVPTTLAALIQCFEWKVGDGECGTVDMDEGPGLTLPRAHSLVCIPVSRPCPFLAA >Potri.014G064900.1.v4.1 pep chromosome:Pop_tri_v4:14:4004012:4005176:1 gene:Potri.014G064900.v4.1 transcript:Potri.014G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G064900.v4.1 MAEDHQSNLGFKGDSIVAQHAVFALLVDTLSNQIQMKFQSMPVSPFDTHQRVMTAFNAALIIYATTSVAEAILRTQKSVHQRLVGNIRLFASALATILLLVILSVIVSCIISVLWTCFFLKLAYESCQDLCQLLGQTTKEVLSMLKKLIAAVRSPKEKPNQPNVEVLTSPETEIVLSH >Potri.004G050600.1.v4.1 pep chromosome:Pop_tri_v4:4:4087186:4090352:-1 gene:Potri.004G050600.v4.1 transcript:Potri.004G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050600.v4.1 MDSNLPKEILFGEIKLTAVSNGNYKYCSQQEDVLSEKEEIILDNLFFAHERRLRKVFANALAACQQHYKDLLSEKQDVLRRVKLALEDLVPVIGRLPDEDQFRNIFSSYMQLQDIEDLYILRKGNTEEDTSHAMEITYAKTGWCMPNLKTYLPAYTMLQQEAIKRNLKYLEEASEEVYRLIIQKLHSESKGFKSLKQHLEMATINTHVTPTMLSSDSGLISLVVARLGSPETLVSGFFENGLLQKLEVDTTSYREATLLPGCVQQSLVAYVTEVRRLKKIVPQKIFLRFYSTGPDWENDGVSYFPSYHLILMDYDEELQAKPIHSIKREWQEAWMEEKEVQKRKALSMKIIVETLRYIFRDTCPYLKIYAISSRILMLGKRIYGNNRYGWYQARQRELFDRINNWCNGEFEASAFCKGHYCSLMQGCFHKCTICQSPETDNMSEDHALDEEALRNL >Potri.004G050600.2.v4.1 pep chromosome:Pop_tri_v4:4:4087171:4088295:-1 gene:Potri.004G050600.v4.1 transcript:Potri.004G050600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050600.v4.1 MLQQEAIKRNLKYLEEASEEVYRLIIQKLHSESKGFKSLKQHLEMATINTHVTPTMLSSDSGLISLVVARLGSPETLVSGFFENGLLQKLEVDTTSYREATLLPGCVQQSLVAYVTEVRRLKKIVPQKIFLRFYSTGPDWENDGVSYFPSYHLILMDYDEELQAKPIHSIKREWQEAWMEEKEVQKRKALSMKIIVETLRYIFRDTCPYLKIYAISSRILMLGKRIYGNNRYGWYQARQRELFDRINNWCNGEFEASAFCKGHYCSLMQGCFHKCTICQSPETDNMSEDHALDEEALRNL >Potri.008G042100.5.v4.1 pep chromosome:Pop_tri_v4:8:2379977:2382767:-1 gene:Potri.008G042100.v4.1 transcript:Potri.008G042100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042100.v4.1 MTFRLFHGHDGVVPLSERSFSHSEKVEPRPTAPEFNPLAAKAATISLSSFGAGGPFSFDAFSKKWNNQKKNSNSSKKESSSQGGHSKHEALSNEWLQTGNCPIAKSFRAVSGVLPLVAKVLKPPPGMKFKCPPAIVAARAAISQTAFAKNLRPQPLPEKILVIGMLGMAANVPLGIWREHTKKFSPSWFAAVHAAVPFIGMLRKSILMPKSAMAFTIGASILGQVIGSRAERYRLKGVAAKGMPLTETPAVAAKGKPLTETPAVAAKGMPLTETPASRLSQLQVIAVNSGHCGSLVEYPVSLQMAGNSSSAADVFC >Potri.008G042100.1.v4.1 pep chromosome:Pop_tri_v4:8:2379977:2382870:-1 gene:Potri.008G042100.v4.1 transcript:Potri.008G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042100.v4.1 MGFFFRGLNEDLASSQLDIQRCPFLKNINEPTNFSFSPSMPFPMPVRTGKGPIFEDGPNFDMTFRLFHGHDGVVPLSERSFSHSEKVEPRPTAPEFNPLAAKAATISLSSFGAGGPFSFDAFSKKWNNQKKNSNSSKKESSSQGGHSKHEALSNEWLQTGNCPIAKSFRAVSGVLPLVAKVLKPPPGMKFKCPPAIVAARAAISQTAFAKNLRPQPLPEKILVIGMLGMAANVPLGIWREHTKKFSPSWFAAVHAAVPFIGMLRKSILMPKSAMAFTIGASILGQVIGSRAERYRLKGVAAKGMPLTETPAVAAKGKPLTETPAVAAKGMPLTETPASRLSQLQVIAVNSGHCGSLVEYPVSLQMAGNSSSAADVFC >Potri.018G151400.1.v4.1 pep chromosome:Pop_tri_v4:18:16178868:16182619:-1 gene:Potri.018G151400.v4.1 transcript:Potri.018G151400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151400.v4.1 MKFYSTVKSFNRRKGAMQTHPSSLNTESVGLYCLNKNLQYSAVLPDCVQPKPPNSLPQASLFLTRTLNASPILNDAVSYGSIGQPRKGTMFSASLQPSPLTIAESDLQLPTSPFLQRPLPVDHITPPSDSLFLGGQDVITEPENENLMGVLDECSGGSFTGLHCTKESLTSTEKLVLQYLSKELEIPVDDVSQNSTLNEAQRVSSIPVTELNHKANYRSSAAQMDDSINRLPEAATSQKQRIRWTTELHDLFVDAVKSLGGPDVATPKSILGIMNVKGLSIYHVKSHLQKYRLAKKFPETNHDKSTSTVVENKAASSNSNNDALVIESNRDVQVTEALRTQIEIQKLLHEQLKAQKELQIRIEQNEKFLRELMEQKAISIYEPSSFAVPASEPKLLPHSPSADVSSPGQAAVNSDCYLFQPSNHKDSDAVESEKAKCPKRDRGQKEHPILH >Potri.018G151400.2.v4.1 pep chromosome:Pop_tri_v4:18:16178867:16182078:-1 gene:Potri.018G151400.v4.1 transcript:Potri.018G151400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151400.v4.1 MKFYSTVKSFNRRKGAMQTHPSSLNTESVGLYCLNKNLQYSAVLPDCVQPKPPNSLPQASLFLTRTLNASPILNDAVSYGSIGQPRKGTMFSASLQPSPLTIAESDLQLPTSPFLQRPLPVDHITPPSDSLFLGGQDVITEPENENLMGVLDECSGGSFTGLHCTKESLTSTEKLVLQYLSKELEIPVDDVSQNSTLNEAQRVSSIPVTELNHKANYRSSAAQMDDSINRLPEAATSQKQRIRWTTELHDLFVDAVKSLGGPDVATPKSILGIMNVKGLSIYHVKSHLQKYRLAKKFPETNHDKSTSTVVENKAASSNSNNDALVIESNRDVQVTEALRTQIEIQKLLHEQLKAQKELQIRIEQNEKFLRELMEQKAISIYEPSSFAVPASEPKLLPHSPSADVSSPGQAAVNSDCYLFQPSNHKDSDAVESEKAKCPKRDRGQKEHPILH >Potri.014G129200.1.v4.1 pep chromosome:Pop_tri_v4:14:8661076:8664586:-1 gene:Potri.014G129200.v4.1 transcript:Potri.014G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129200.v4.1 MGSSELIFRGHDETQPTPDAYSPKPAKPWLFVIRPVRYLLREKRLVFFLVGMAIATVFFTILPSSSPHAHKYDPLPDSFSHISHELTTPVRYKYYEPLQVGFQSANSGGKIPLGLKRKGLRIVVTGGAGFVGSHLVDRLIARGDSVIVVDNFFTGRKENVMHHFKNPRFELIRHDVVEPLLLEVDQIYHLACPASPVHYKHNPVKTIKTNVVGTLNMLGLAKRVGARFLLTSTSEVYGDPLQHPQVETYWGNVNPIGVRSCYDEGKRTAETLAMDYHRGAGVEVRIARIFNTYGPRMCIDDGRVVSNFVAQALRKEPMTVYGDGKQTRSFQFVSDLVEGLMRLMEGEHVGPFNLGNPGEFTMLELAQVVQETIDPNARIEFRPNTEDDPHKRKPDITKAKDLLGWEPKIPLRKGLPMMVSDFRQRIFGDHREEGTATNTSTS >Potri.001G146600.5.v4.1 pep chromosome:Pop_tri_v4:1:12108640:12111989:1 gene:Potri.001G146600.v4.1 transcript:Potri.001G146600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146600.v4.1 MMPDLPGYMWACLRTGKLPFLAILVSGGIALQILACALYNNWWPMLTVIMYVLLPMPLLFYAGSNSSSLLTESDSGWVNATKFLTGASAIGSIAIPVILKHAGVIGWGALAMELSSFFVFVIAIMCYIGMSDDDDYSML >Potri.001G146600.3.v4.1 pep chromosome:Pop_tri_v4:1:12108373:12111989:1 gene:Potri.001G146600.v4.1 transcript:Potri.001G146600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146600.v4.1 MMPDLPGYMWACLRTGKLPFLAILVSGGIALQILACALYNNWWPMLTVIMYVLLPMPLLFYAGSNSSSLLTESDSGWVNATKFLTGASAIGSIAIPVILKHAGVIGWGALAMELSSFFVFVIAIMCYIGMSDDDDYSML >Potri.001G146600.6.v4.1 pep chromosome:Pop_tri_v4:1:12108373:12111989:1 gene:Potri.001G146600.v4.1 transcript:Potri.001G146600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146600.v4.1 MMPDLPGYMWACLRTGKLPFLAILVSGGIALQILACALYNNWWPMLTVIMYVLLPMPLLFYAGSNSSSLLTESDSGWVNATKFLTGASAIGSIAIPVILKHAGVIGWGALAMELSSFFVFVIAIMCYIGMSDDDDYSML >Potri.016G130700.1.v4.1 pep chromosome:Pop_tri_v4:16:13374052:13375345:1 gene:Potri.016G130700.v4.1 transcript:Potri.016G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130700.v4.1 MATGQAPGNPIPAVRTYPPVEHPVVVIGPQYLAQYPVELAVSTKLWTLGENDFKVSDINGTLIFQVKSKLLTLHDRRFLKDAAGNTLVNLRQKIRTMHRRWEAFRGESKEEKDLLFTAKKSKLFQFKTELDIFLANNKGEVPDFKVKGGYGESSCSILLGDSNAMLAQMHRLHSLTTMILDTDSFGVTVYPNVDYAFIVALVVILDEINADRSGED >Potri.001G018200.1.v4.1 pep chromosome:Pop_tri_v4:1:1315158:1322727:-1 gene:Potri.001G018200.v4.1 transcript:Potri.001G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018200.v4.1 MKGLIVLVVLSMLCGFGTSKECTNTPTQLSSHTFRYALLSSENETWKEEMFAHYHLTPTDDSAWANLLPRKILREEDEYSWAMMYRNLKSPLKSSGNFLKEVSLHNVRLDPSSIHWQAQQTNLEYLLMLDVDSLVWSFRKTAGLSTPGTAYGGWEAPNCELRGHFVGHYLSASAQMWASTHNDILEKQMSAVVSALSSCQEKMGSGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTFADNAQALKMVKWMVDYFYNRVRNVITNFSVERHYQSLNEETGGMNDVLYKLFSITGDPKHLVLAHLFDKPCFLGLLAVQAEDISGFHANTHIPIVIGAQMRYEITGDPLYKDIGTFFMDIVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEMAYADYYERALTNGVLGIQRGTEPGVMIYMLPQHPGSSKGKSYHGWGTLYDTFWCCYGTGIESFSKLGDSIYFEEEGEAPGLYIIQYISSSLDWKSGQIMINQKVDPVVSSDPYLRVTFTFSPNKGSSQASTLNLRIPVWTHLDGATATINSQSLAIPAPGSFLSVNRKWSSGDKLSLQLPISLRTEAIQDDRHQYASIQAILYGPYLLAGHTSGDWNLKAGSAGSLSDSITPIPASYNEQLVSFSQDSGNSTFVLTNSNQSITMEEHPKSGTDACLQATFRIVFNDSSSSEVLGINDVIDKSVMLEPFDLPGMLLVQQGKDSSLAVTNSAADDGSSIFHVVLGLDGKDGTVSLESGSQEGCYIYSGVNYKSGQSMKLSCKLGSSDPGFNQGASFVMNKGLSEYHPISFVAEGDKRNFLLAPLHSLRDEFYTIYFNIQA >Potri.002G177600.2.v4.1 pep chromosome:Pop_tri_v4:2:13822973:13826123:-1 gene:Potri.002G177600.v4.1 transcript:Potri.002G177600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177600.v4.1 MQQPPQMIPMMASFPPTNITTEQIQGYLDENKRLILAIWDNQNLGKLAECAQYQTQLQKNLMYLAAIADAQPQTPAMPPQMAPHLAMQQGTYYMQHPQAAAMAQQAGIFPPKMPLQFNAVHHMQDPQLLHQQAIQGQMGIRPIGANNGTHPMHAEIALGSSGPSASAGTNDMCGGSKQHASEAGTTGADGQGGSAARHNGDDGSEDAK >Potri.002G177600.4.v4.1 pep chromosome:Pop_tri_v4:2:13823330:13823716:-1 gene:Potri.002G177600.v4.1 transcript:Potri.002G177600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177600.v4.1 MAPHLAMQQGTYYMQHPQAAAMAQQAGIFPPKMPLQFNAVHHMQDPQLLHQQAIQGQMGIRPIGANNGTHPMHAEIALGSSGPSASAGTNDMCGGSKQHASEAGTTGADGQGGSAARHNGDDGSEDAK >Potri.016G097000.1.v4.1 pep chromosome:Pop_tri_v4:16:9665346:9668824:-1 gene:Potri.016G097000.v4.1 transcript:Potri.016G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097000.v4.1 MFKFWGNQEQQEQARPQDVNPAQSWYPPSVVTSPSSSRPATPTSSSSSSHSLQRPTERPLSPSPAEAAAIITLLKDKSVDELRKLLSDKDAYHQFLLSLDQVKIQNNIRDELCKETLQLARENLEKEPRIMELRNQCRIIRTTELAAAQEKLNELEKQKEELLRSCSPASILQRLQEAMNKTEEESDAFHRQFLEKEMDLGAFVQKYKKLRTTYHKRALIHLAAKASPTG >Potri.007G012600.2.v4.1 pep chromosome:Pop_tri_v4:7:980045:982832:1 gene:Potri.007G012600.v4.1 transcript:Potri.007G012600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012600.v4.1 MAGEDVDLSSLTLQVGETQETWKQEMERRQSQVDVLQVKLMEVKACVQGSEEHAKEMEVLWRRVKTTSTLLTYLKAKARVFAVPELAHTSCGIKELEGVGLVDRNGTPLSSWSRDVDLSSFGPDEESCIRLRKQQGSYDEQDEAYIGELLKSVQMVSDVMEGLVKRVIMAESETALEKDKVTLGQEEIRRKAIQIDNMSLKLEEMERFALGTNGILNDMRKRVEDLVEETSRQRQCAAENEQELCRVKRDFESLKSYVSSLISVRETLLSSERQFQTIERLFERLVAKTTQLEGEKMQKETEVQKLMEENVRLSALLDKKEAQLLAMNEQCKLMALNASNI >Potri.007G012600.1.v4.1 pep chromosome:Pop_tri_v4:7:980090:982820:1 gene:Potri.007G012600.v4.1 transcript:Potri.007G012600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012600.v4.1 MAGEDVDLSSLTLQVGETQETWKQEMERRQSQVDVLQVKLMEVKACVQGSEEHAKEMEVLWRRVKTTSTLLTYLKAKARVFAVPELAHTSCGIKELEGVGLVDRNGTPLSSWSRDVDLSSFGPDEESCIRLRKQQGSYDEQDEAYIGELLKSVQMVSDVMEGLVKRVIMAESETALEKDKVTLGQEEIRRKAIQIDNMSLKLEEMERFALGTNGILNDMRKRVEDLVEETSRQRQCAAENEQELCRVKRDFESLKSYVSSLISVRETLLSSERQFQTIERLFERLVAKTTQLEGEKMQKETEVQKLMEENVRLSALLDKKEAQLLAMNEQCKLMALNASNI >Potri.002G173900.1.v4.1 pep chromosome:Pop_tri_v4:2:13399613:13401548:-1 gene:Potri.002G173900.v4.1 transcript:Potri.002G173900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173900.v4.1 MGRAPCCSKVGLRRGPWTPREDALLTEYIQAHGEGHWRSLPKKSGLLRCGKSCRLRWMNYLRPDIKRGNITPDEDDLIIRMHSLLGNRWSLIAGRLPGRTDNEIKNHWNTHLSKRLRSQGTDPNTHKKLAEPGKREVRRRTSNSDKNTSNKKQSKSKAKPVPAEKHKVHLPKAVRFASLSLPRNDSFASSTTTSLSPSQGRDQGYCFGTELAVDVSWSNFKDCDNGVAFFVGDVDLDNGSDLECQSLLPTTDTLEKLYEEYLQVLNTNDHQDQVELNSFAESLLV >Potri.010G049100.1.v4.1 pep chromosome:Pop_tri_v4:10:8090566:8094734:-1 gene:Potri.010G049100.v4.1 transcript:Potri.010G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049100.v4.1 MEMEYVSEFPHNYMDRRPRKRPKLAAAAWDIPPQPQPHTHTKAQSGLYYGQVVGNGTSTGSSRMLPDHASLFVKGLAQKGSPPWRGDDKDGHYVFALGENLTSRYKIHRKIGEGTFGQVLECWDRETREMVAVKVVRSTKKYREAAMLEVDVLQLLGKYDRNGSRCVQIRNWLDYRNHICIVFEMLGPSLYDFLRKNNYCPFPVNLVRELGRQLLECVAFMHDMRLIHTDLKPENILFVSSEYIKIPDYKSHTEGTFYKRLPKSSAIKVIDFGSTAYGHQDHKYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDLQAEKYVRRGRLDWPDGAMSRESIKATMKLPRLQNLVMQHVDHSAGDIIDLLQGLLRHDPAIRLTAHEALRHPFFTKYRRF >Potri.010G049100.16.v4.1 pep chromosome:Pop_tri_v4:10:8090569:8094768:-1 gene:Potri.010G049100.v4.1 transcript:Potri.010G049100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049100.v4.1 MSFYLHVVSFFRLECILNPFDFTGTFGQVLECWDRETREMVAVKVVRSTKKYREAAMLEVDVLQLLGKYDRNGSRCVQIRNWLDYRNHICIVFEMLGPSLYDFLRKNNYCPFPVNLVRELGRQLLECVAFMHDMRLIHTDLKPENILFVSSEYIKIPDYKSHTEGTFYKRLPKSSAIKVIDFGSTAYGHQDHKYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDLQAEKYVRRGRLDWPDGAMSRESIKATMKLPRLQNLVMQHVDHSAGDIIDLLQGLLRHDPAIRLTAHEALRHPFFTKYRRF >Potri.010G049100.17.v4.1 pep chromosome:Pop_tri_v4:10:8090566:8094762:-1 gene:Potri.010G049100.v4.1 transcript:Potri.010G049100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049100.v4.1 MHDMRLIHTDLKPENILFVSSEYIKIPDYKSHTEGTFYKRLPKSSAIKVIDFGSTAYGHQDHKYIVSTRHYRAPEVILGLGWSYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMLKRVDLQAEKYVRRGRLDWPDGAMSRESIKATMKLPRLQNLVMQHVDHSAGDIIDLLQGLLRHDPAIRLTAHEALRHPFFTKYRRF >Potri.009G092600.1.v4.1 pep chromosome:Pop_tri_v4:9:8441461:8442262:1 gene:Potri.009G092600.v4.1 transcript:Potri.009G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092600.v4.1 MKKLFFATLLLCSLLFSSSFLEPVMAKSSFCAKKCETRCANAGIQDRCLKYCGICCEQCKCVPSGTYGNKHECPCYRDKRNSKGKPKCP >Potri.008G009001.1.v4.1 pep chromosome:Pop_tri_v4:8:438231:440352:-1 gene:Potri.008G009001.v4.1 transcript:Potri.008G009001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009001.v4.1 MPNGSLALHLLGTEMSAELDWKLRVSIIINGIARGLVYQHEDSRLRIIHRDMIRASNILLDHQMNPRVSDFGMARIFGGDQKQANTNGVAGTHGYMAPEYAMQGIFLVKSYVFGFGVLLLEIIAGKGRNGGFYLSDDGRQSLLMHAWNLWHEGKAMEIMD >Potri.006G064400.1.v4.1 pep chromosome:Pop_tri_v4:6:4607903:4610365:1 gene:Potri.006G064400.v4.1 transcript:Potri.006G064400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064400.v4.1 MAALTAVKRKGKKNTDQAQKKQGKITKDEISQGKATKRCDLVSFWELPEYLKDNEFILSYYRADWPLKEALFSIFRWHNETLNVWTHLLGFLLFVGLTMANLMQVPQVADLLGLLTRSILISAQRNVSYNSKDFYLGTTQLLDLEHISPVEMDVLSATRWPFYVFLGGSMFCLLSSTICHLFCCHSHHLSILLLRMDYVGIATMIITSFFPPIYYIFQCEPHWQFIYLGGVTALGMFTIVTLLSPSLSTGKFRSFRAFLFSSMALFGLIPAAHAIFVNWSNPKRDTILAYESAMAIFYLTGTGFYVSRFPERLKPGWFDLTGHSHQVFHVFVVLGALAHYGATLSFLEYRSHVGCGANLQHVL >Potri.004G049400.1.v4.1 pep chromosome:Pop_tri_v4:4:4000376:4009640:-1 gene:Potri.004G049400.v4.1 transcript:Potri.004G049400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G049400.v4.1 MFKIFRGRDTTADSSPQSSYSPSSSSSLSPSPSSPPVTGPARPIRLVYYDEKGKFRMDSEAVAALQLVKEPIGVVSVCGRSRQGKSFILNQLLGRSSGFQVASTHRPCTKGLWLWSAPLKRTALDGTEYNLLLLDSEGIDAFDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVRASGGRSSASELGQFSPIFVWLLRDFYLDLVEDNKRITPRDYLELALRPVQGSGKDIAAKNEIRDSIRALFPDRECFPLVRPLNNENDLQRMDQISLDKLRPEFRAGLDALTKFVFERTRPKQVGATVMTGPILVGITESYLEALNNGAVPTISSSWQSVEEAECRRAYDTATEIYMSSFDRSKPPEEVFLRESHDEAVQKSLAAFNAAAVGIGSARKKYEGLLQKFFRRALEDYKRNAFMEADLRCSNAIQNMEKRLRAACHASDANIDNIVKVLDGLLSEYETSCHGPGKWQKLAMFLQQSLEGSILDLAKRLNDKIGSEKSSLMLRCHSMEDKMALLHKQLEASEKDKSEYMKRYDEAINEKKKLADDYMRRINDLQSNRGSLDERCSSLVKALESAKQETSNWKRKHDQVLSKQKADEEQAASEIAILKSRSSASEARLAAAHEQTRSAEEDAAEWKRKYDIAVRETKAALEKAANVQERTNKETQLREDALREEFSSHLVVKEDEIKEKNRRIEYAEQCLTALNLELKAAESKMKSYGTEISSLKLEIKELVEKLETANTKAQSYDKEARILEQEKIHLEQRYQSEFERFAEVQERCNHAEKECKRATELADKARADAVSAQKEKNEFQKLAMERLAQIERAQRHIESLDRQKNNLAGELERVRVSELDAVSKVSLLEARVEEREKEIESLLKSNNEERASTVKALQDLLEDERKAHSVANKRAEDFSLQLEVARAKLDALQQEFTSVRLNESALDNKLKAASHGKRFRTDNVEMGGGSVQDAVTNDRRVNKRSRSTTSPVMFTQPEDGGSVFKGDDDDNQSQQTGQEDYKKFTAQKLRQELTKHNFGAELLQLRNNNKKDVLALYEKCVLRKS >Potri.007G056900.1.v4.1 pep chromosome:Pop_tri_v4:7:5957173:5963080:1 gene:Potri.007G056900.v4.1 transcript:Potri.007G056900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056900.v4.1 MTGTEEITTPLIHQHSKQTSSSVANSSSTSADSKENSPIEQVALTVPTTDDPTLPAVTFRTWTLGSLACILLSFLNQFFWYRREPLSITSICAQIAVVPLGHLMASTITNKTFFIGKKWEFTLNPGPFNVKEHVLITIFANSGAGNVYAIHIVSAVKLFYKKELTFFVALLVVLTTQMLGFGWAGLFRRYLVEPASMWWPQNLVQVSLFRALHEKEERHKDGLTRNQFFIIAFICSFAYYAFPGYLFPKLTSISWLCWIFPSSILAHQLGSGLHGLGIGAFGFDWATISSYLGSPLASPWFATANIAAGFVLLMYVIAPIAYWLNIYEAKNFPIFSDGLFTSTGQSYNISAIIDPKFHIDLDAYDRQGPLYLSTIFAMIYGLNFACLTATVVHVFLFHGRDIWQLSKSAFRYKKMDVHTKLMRKYNQVPEWWFLCILFLNIAATIFTCEYYNEQLQLPWWGVLLACGIAVFFTLPVGVITATTNKTPGLNVITEYVIGYLYPGFPVANICFKVYGYISMKQGITFLEDFKLGHYMKIPPRAMFMAQVVGTIISALVHLGTAWWLMETIPNICDRELLSPGSPWTCPSDHLFYDASVIWGLIGPRRVFGDLGHYSATNWFFLGGAIAPILVWLAHKAFPNKDWIGLISIPVLLSATLNMLPATAVNYTTWVVVGFASGFIAYRYYRDWWSRHNYVLSGALDAGLAFMAVFLYLCLGMWHVSLEWWGSESEGCPLASCPTAPGVFGKGCPIL >Potri.001G170001.1.v4.1 pep chromosome:Pop_tri_v4:1:14572923:14573636:-1 gene:Potri.001G170001.v4.1 transcript:Potri.001G170001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170001.v4.1 MPPWALSSLQRSKKFVTMVPLECLSPLCSTLALTPPSIKASLWTNLLSISSLQFTVPGNDMPFSPDDDKIKRFEDAEKLYLKIVAKEHLRDSFVGLYDTTSTGISSNQRSALKRLAVASFFLLPSKFTKNKNSC >Potri.007G072750.1.v4.1 pep chromosome:Pop_tri_v4:7:9501783:9503221:-1 gene:Potri.007G072750.v4.1 transcript:Potri.007G072750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072750.v4.1 MNLEFLHTLDVQILGAVAVAIVAIVIGVVFLFSYKKPKGCLDPENFKQFKLVKRV >Potri.017G051500.1.v4.1 pep chromosome:Pop_tri_v4:17:3698068:3702596:-1 gene:Potri.017G051500.v4.1 transcript:Potri.017G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051500.v4.1 MAQCMRTTLNPPKTLLRNPTKSSPVSSPLFHLPKSKKHSRISMSQQNQQELSLDSLISSTRKEEVLGAIKGSLSNCLWETNLLKTVPALKSKVRGKVRDIYDGGDYLVLVTTDRQSAFDKILASIPFKGQVLNETSLWWFDRTRHITQNAIVSSPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYKNGVRNYCGNALPDGLVKSQKLPSNILTPTTKADDHDVPITPEEIVKSGLMTEADYCEASSKALSLFEYGQRVALEHGLILVDTKYEFGKGSDGSVLLIDEVHTPDSSRYWIAHSYEERIQKGLEPENVDKEFLRLWFKDHCNPYEDKVLPDAPEDLVCELAWRYIFLYETITKSKFELPLTEEPIHDRISRNVELALSSLR >Potri.017G051500.4.v4.1 pep chromosome:Pop_tri_v4:17:3697943:3702596:-1 gene:Potri.017G051500.v4.1 transcript:Potri.017G051500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051500.v4.1 MAQCMRTTLNPPKTLLRNPTKSSPVSSPLFHLPKSKKHSRISMSQQNQQELSLDSLISSTRKEEVLGAIKGSLSNCLWETNLLKTVPALKSKVRGKVRDIYDGGDYLVLVTTDRQSAFDKILASIPFKGQVLNETSLWWFDRTRHITQNAIVSSPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYKNGVRNYCGNALPDGLVKSQKLPSNILTPTTKADDHDVPITPEEIVKSGLMTEADYCEASSKALSLFEYGQRVALEHGLILVDTKYEFGKGSDGSVLLIDEVHTPDSSRYWIAHSYEERIQKGLEPENVDKEFLRLWFKDHCNPYEDKVLPDAPEDLVCELAWR >Potri.017G051500.2.v4.1 pep chromosome:Pop_tri_v4:17:3698097:3702596:-1 gene:Potri.017G051500.v4.1 transcript:Potri.017G051500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051500.v4.1 MAQCMRTTLNPPKTLLRNPTKSSPVSSPLFHLPKSKKHSRISMSQQNQQELSLDSLISSTRKEEVLGAIKGSLSNCLWETNLLKTVPALKSKVRGKVRDIYDGGDYLVLVTTDRQSAFDKILASIPFKGQVLNETSLWWFDRTRHITQNAIVSSPDKNVTIAKKCSVFPVEFVVRGYVTGSTDTSLWTVYKNGVRNYCGNALPDGLVKSQKLPSNILTPTTKADDHDVPITPEEIVKSGLMTEADYCEASSKALSLFEYGQRVALEHGLILVDTKYEFGKGSDGSVLLIDEVHTPDSSRYWIAHSYEERIQKGLEPENVDKEFLRLWFKDHCNPYEDKVLPDAPEDLVCELAWRYIFLYETITKSKFELPLTEEPIHDRISRNVELALSSLR >Potri.014G134300.1.v4.1 pep chromosome:Pop_tri_v4:14:9007037:9008074:1 gene:Potri.014G134300.v4.1 transcript:Potri.014G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX19 MDKVLRLASEQGVVIFIKSTCCLCYAVKILFQEIGVDPLVHEIDQDPEGREMEKALTRMGCSAPVPAVFVGGKLLGSTNEVMSLHLSGSLNQMLKPYQSQT >Potri.004G016800.1.v4.1 pep chromosome:Pop_tri_v4:4:1210897:1211232:-1 gene:Potri.004G016800.v4.1 transcript:Potri.004G016800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G016800.v4.1 MGALARRSSYGYSKLDKEDPEEVMHRRAQFLIYKALQQADSPRRRPSLLRLRLCRLKIKIGKKLKKLRKGMLLSISAARVRVYEQVTGQWKRLFGDGEAIASLPPMLALNA >Potri.002G052400.5.v4.1 pep chromosome:Pop_tri_v4:2:3537634:3543145:-1 gene:Potri.002G052400.v4.1 transcript:Potri.002G052400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052400.v4.1 MEKTIDTMRANFNSVRTGRANPSMLDKIEVEYYGTPVSLKSIAQISTPDASSLLVQPYDKSSLKAIEKAIVSSDLGLTPNNDGEVIRMSIPQLTSERRKELSKMVAKLAEEGKVALRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYMKKLENIFKQKEKELLKV >Potri.002G052400.2.v4.1 pep chromosome:Pop_tri_v4:2:3538204:3543220:-1 gene:Potri.002G052400.v4.1 transcript:Potri.002G052400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052400.v4.1 MAAPFSSPTTPPPLRSIFQPNPNPPKALLSLPGSFHRGPHRAKVCYNSIGATSVSLWSSSANYSSLRNGSTKLSGKPVAVKRLLQNRRGVVRNATIEEIEAEKSLIEDDAKGRMEKTIDTMRANFNSVRTGRANPSMLDKIEVEYYGTPVSLKSIAQISTPDASSLLVQPYDKSSLKAIEKAIVSSDLGLTPNNDGEVIRMSIPQLTSERRKELSKMVAKLAEEGKVALRNIRRDALKAYEKLEKEKKLSEDNVKDLSSDLQKLTDEYMKKLENIFKQKEKELLKV >Potri.002G255100.1.v4.1 pep chromosome:Pop_tri_v4:2:24406250:24407931:-1 gene:Potri.002G255100.v4.1 transcript:Potri.002G255100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255100.v4.1 MAALTCSASDLQSLLGGAANATAAAEYICTRFVAVSDHFVDTAYAVDNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAATGGLFYYLFGFAFAFGSPSNGFIGKQFFGLESFPSPSFDYGYFLYQWAFAIAAAGITSGSIAERTQFVSYLIYSSFLTGLVYPIVSHWFWSADGWASAGRTDGNLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRMGRFDHEGKSMALRGHSGTLVVLGTFLLWFGWYGFNPGSFLNILRTYGDVGSYYGQWSAIGRTAVTTTLAGSSAALTTLFGKRMLAGNWNVTDVCNGLLGGFAAITSGCAVVDPWAAIICGFVAAWVLIGCNKLADKFHYDDPLEAAQLHGGCGAWGIIFTALFAKETYVNEIYSGKPGRPYGLLMGGGGRLLAAHMVQILVITGWVSVTMGTLFWILHKFKLLRISADEEMAGMDLTSHGGLAYAYYDEHDDAMQKKSFMMTKADP >Potri.012G141100.1.v4.1 pep chromosome:Pop_tri_v4:12:15305128:15311651:-1 gene:Potri.012G141100.v4.1 transcript:Potri.012G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141100.v4.1 MRTRFLNTDYFSSSPVDTLSFLNLPVTHLAPPTPPEQELHRLLRFFHPLETLSLPIERPPIDSALSKFISSVLPHFIDFDFRDFLPDRFHQKEEEIERGFGTDSAQEKDNGNNKHSERLEVIQLEAPEIDTFMENVCFSDEGMQLLSEVSEIENDLDLLRPEIEMQYPDKVQESVYSVEDVTLEFDMDEKACALEYDGSVQEQAHFHHNTFPLLEVEEMSLRTFTNPSMEDEFLLFLEHVESKWGQENILHIDGNELLGSMHFDILEFLSKHCPEKQCLEPELASLDTSLGMDIISMVEIPQGREDSADCLSPMNPVIFQEFKFLETDSSQFYEVFFEMQSTDEPQTCDSMFREDMNFKNFDELIVSCELTLEDDTFKSLPIPIFSDPDKISSIYAIMKEKLAELKPQPLSASHGIYLDWHLLEEDNYNGKNSSIYQKMLEELDSHNIDFDRESFDGGKLVIDLVFSDNGLSGAHMEEHKELLNVISETPNSGLVEGASSESLDRRQETGNRETLIGENARKASLLFNSTSQFNDLDYFLNPGKATARGKNESTVKIPDTRASFPKGSKSHSVPGMNENINDQKLEELLNLAPIEDKFNMTSSEAADKAEACSIPLQLPYAPYATKTEKTQGDMIYFPDIVIIVNTQNFDKEMIVSRRSTYQRILAMEKEGAQVVERDLNLPVDVIISSSICLVWYDCGNIGKKATAADEASSCLPLCIENIAANVLTLLSFAFSGCILVFEGETRFLSTVMEFSDGLYAAAASLGIDLQLFSSYSAELTDEIILNSILYATKSSRGRYPKMPESETLAESFLTKFPSINPLTAHAILSSGGMLIEFLEWSHERRILAVQQYHVPVESVALFSALCKYGEREDSRSIMTDCSSSASSCPDSDKLHLHIDSERKRRKCINSLQKIDIQVDDMWKSESLNQFTDGMLDPGVFKQYDCWTSTDPEMLGELKQPSSSLKDLFGQKQVPDIAPVMDFPTSIKPLYSGNFKDPLIRDDRRQPRLPLNDIFLGQNRASEINIKKELKLDSGNPCKSNANNLHEYFRGEVIDLTDDPVSLEKDVASIANSTYFSPWMPDTDIEQDSARKSKAARRLSFGKNSHPNNPTAAEKNSCPDLWTPIESDRQRLPQNRGDPIIDDKHEKVPVKPRKNLLEEAFTLRAAGKSTRFPFEEEISHCGGSGTPLSKAIHSAHPQPGSPWTIEFLNRVREKSRLRQQSLPPDTCTPDFWNSGNTSKATERRSLSILDFFKYQGGSTPRKVYEQKKQKQPIQLSSSSQKERTSASLIPSWTPKDKRSKQTLSFAMDGGNQTRLVWSDGSAHRMSKKLRRN >Potri.016G084900.1.v4.1 pep chromosome:Pop_tri_v4:16:6636325:6645207:1 gene:Potri.016G084900.v4.1 transcript:Potri.016G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084900.v4.1 MGSWLLLLFSLLLFFHASSAQPGFLSLDCGGPANFTDALGLSWTSDVNFIYGEAASISVANETRKQYTTVRHFPADTRKYCYRLDVTSRTRYLLRATFLYGDFDNNNVYPKFDISVGPTHWSTIVISDANTIESIELIFLASSSSISVCLSNATTGQPFISTLELRQFNGSVYFTAFENQFFLSVSARINFGADSVDPVRYPDDPYDRIWESDSVKKANYLVDVAAGTKKVSTDMPINVNIDERPPEKVMQTAVVGTNGSLTYRLNLDGFPGFGWACTYFAEIEDLDPTESRKFRLVLPGNPDMSKAVVNIEENAQGKYRLYEPGYTNLSLPFVLSFRFGKTSDSSRGPLLNAMEINKYLEKNDGSLDGDVISGVILLYSTADWAQEGGDPCMPVPWSWVQCNSEARPRIVKLSLSSKNLSGSVPSDLTKLTGLVELWLDGNSLTGPIPDFTGCTDLEIIHLENNQLTGELPSSLLNLPNLRELYVQNNMLSGTIPSGLGRKVVLNYSGNINLHEGARRGRHMGIIIGSSVGAAVLLITTLVSCMFMQKGKKRHPDQEQLRDSLPVQRVVSTLSNAPGEAAHRFTSFEIEDATKKFEKKIGSGGFGVVYYGKMKDGREIAVKVLTSNSFQGKREFSNEVSLLSRIHHRNLVQFLGFCQEVGKSMLVYEFMHNGTLKEHLYGPLKQGRSISWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKTSNILLDKNMRAKVADFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTNKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGDIQGIIDPSLCNEFDIQSMWKIAEKALTCVQPHGHMRPSISEVLKEIQDAILIEREVTAARGFSDEMSRNSVQSSFNLGSLDLGGTENCLALDESIARPTAR >Potri.018G136800.1.v4.1 pep chromosome:Pop_tri_v4:18:15688338:15691193:1 gene:Potri.018G136800.v4.1 transcript:Potri.018G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136800.v4.1 MRLLHSLNQKYRFFSICKRGLMDTVYISHGSPMMAIDESIPARKFLKTWQQIFKERPNAILVISGHWDTKEPTVNVVNRNDTIYDFYGFPKSMYKLKYTPPGAPLLAKRVKELLMANGFKRVHEDKTRGVDHGTWVPLMFMYPEADIPVCQLSVQTDRDGTYHYNLGKALAPLREEGILIMGSGATTHNLGTMQPSGSPVPSWALQFDTWLKNALLEGRYEDVNHYDSRAPYGKMAHPWPDHFYPLHVAIGAAGENAKAKLVHHSWDNGTLSYASYQFTARK >Potri.019G051700.1.v4.1 pep chromosome:Pop_tri_v4:19:8591729:8595656:-1 gene:Potri.019G051700.v4.1 transcript:Potri.019G051700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051700.v4.1 MSLANIKDSEVDIVIGALHSDLTSFMNEWRPIFSRFHLIIVKDPDLKEELRIPEGFNFDVYSKSHIDRVVGSSSSIVFSGYSCRYFGFLVSRKKYIVSIDDDCIPAKDSKGFLIDAVAQHLANLTTPATPFFFNTLYDPYREGADFVRGYPFSLRSGVTCALSCGLWLNLADLDAPTQALKPGQRNSRYVDAVMTIPSRAMMPISGINIAFDREAVGPALLPALKLAGEGNLRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRKDRGSAIESLKKEWEGVKLTEEIVPFFQLVRLPQTAATTEDCILEMATTVKQQLGPSNPVFTRAAEAMVEWVKLWKAVGSGSSPL >Potri.019G051700.2.v4.1 pep chromosome:Pop_tri_v4:19:8591735:8592927:-1 gene:Potri.019G051700.v4.1 transcript:Potri.019G051700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051700.v4.1 MNEWRPIFSRFHLIIVKDPDLKEELRIPEGFNFDVYSKSHIDRVVGSSSSIVFSGYSCRYFGFLVSRKKYIVSIDDDCIPAKDSKGFLIDAVAQHLANLTTPATPFFFNTLYDPYREGADFVRGYPFSLRSGVTCALSCGLWLNLADLDAPTQALKPGQRNSRYVDAVMTIPSRAMMPISGINIAFDREAVGPALLPALKLAGEGNLRWETMEDIWSGMCVKVVCDHLGLGVKSGLPYVWRKDRGSAIESLKKEWEGVKLTEEIVPFFQLVRLPQTAATTEDCILEMATTVKQQLGPSNPVFTRAAEAMVEWVKLWKAVGSGSSPL >Potri.008G014300.2.v4.1 pep chromosome:Pop_tri_v4:8:716802:720454:1 gene:Potri.008G014300.v4.1 transcript:Potri.008G014300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014300.v4.1 MAKPVHYPCYLHLLFLILSFHRSSQLQPFQSQSLLRIQQLLNYPSFSTSFDNTTDFCNIEPTPSLTLLCYEDNITQLHIVGNTGVPPNFSTDYFFATVASLSSLKVLSLVSLGFSGPLPESIGQLSSLEILNASSNYFSGSIPASLSSLKSLQTLILDHNKFSGEVPGWVGFLPVLAVLSLKNNSLSGYLPNSLTRLESLRIFSLSKNHLSGQVPDLHNLTNLQVLELEDNHFGPDFPGLHNKVVTLVLRNNSFHSGIPADLVTYHQLQKLDLSFNGFVGPFLPSLLSSPPMNYLDISHNKFTGMLFENMSCHAELAYVDLSSNLLTGELPTCLNLSSESRTVLYARNCLSNKEQEQHPFNFCHNEALAVKILPRDDVKHQRHDKEVLASSTMGGVVGGIAIVGLVFLFVKRVYSKDDVKKPQTRILVKNLSSVNTVKLLSDARHISQTMKLGASLPNYRTFSLEELKEATNNFDASNLLSEDSSSQMYKGKLNDGSLVAIRSSKVRKKISQRTFTHHIELISKLRHNHLISALGHCFDCCQDDSSTSRIFNIFEFVPNGTLRDYISENKLKWPQRIGVAIGVARGIQFLHTGIVPGVFPNNLKITDVLLDHDLLVKLCSYNLPLLTEGSVGAAVSSGTKQKFGTRDRHEDKEDIYDLGVILVEIIFGRPVVKNEVIVSKDLLKVSMTVDDVARRNIVDPAINKECSDESLKIMMEICIRCLSKEPSDRPSVDDVLWNLQFAAQVRESSRTS >Potri.008G014300.5.v4.1 pep chromosome:Pop_tri_v4:8:716802:720443:1 gene:Potri.008G014300.v4.1 transcript:Potri.008G014300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014300.v4.1 MAKPVHYPCYLHLLFLILSFHRSSQLQPFQSQSLLRIQQLLNYPSFSTSFDNTTDFCNIEPTPSLTLLCYEDNITQLHIVGNTGVPPNFSTDYFFATVASLSSLKVLSLVSLGFSGPLPESIGQLSSLEILNASSNYFSGSIPASLSSLKSLQTLILDHNKFSGEVPGWVGFLPVLAVLSLKNNSLSGYLPNSLTRLESLRIFSLSKNHLSGQVPDLHNLTNLQVLELEDNHFGPDFPGLHNKVVTLVLRNNSFHSGIPADLVTYHQLQKLDLSFNGFVGPFLPSLLSSPPMNYLDISHNKFTGMLFENMSCHAELAYVDLSSNLLTGELPTCLNLSSESRTVLYARNCLSNKEQEQHPFNFCHNEALAVKILPRDDVKHQRHDKEVLASSTMGGVVGGIAIVGLVFLFVKRVYSKDDVKKPQTRILVKNLSSVNTVKLLSDARHISQTMKLGASLPNYRTFSLEELKEATNNFDASNLLSEDSSSQMYKGKLNDGSLVAIRSSKVRKKISQRTFTHHIELISKLRHNHLISALGHCFDCCQDDSSTSRIFNIFEFVPNGTLRDYISGIPENKLKWPQRIGVAIGVARGIQFLHTGIVPGVFPNNLKITDVLLDHDLLVKLCSYNLPLLTEGSVMTR >Potri.008G014300.1.v4.1 pep chromosome:Pop_tri_v4:8:716665:720508:1 gene:Potri.008G014300.v4.1 transcript:Potri.008G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014300.v4.1 MAKPVHYPCYLHLLFLILSFHRSSQLQPFQSQSLLRIQQLLNYPSFSTSFDNTTDFCNIEPTPSLTLLCYEDNITQLHIVGNTGVPPNFSTDYFFATVASLSSLKVLSLVSLGFSGPLPESIGQLSSLEILNASSNYFSGSIPASLSSLKSLQTLILDHNKFSGEVPGWVGFLPVLAVLSLKNNSLSGYLPNSLTRLESLRIFSLSKNHLSGQVPDLHNLTNLQVLELEDNHFGPDFPGLHNKVVTLVLRNNSFHSGIPADLVTYHQLQKLDLSFNGFVGPFLPSLLSSPPMNYLDISHNKFTGMLFENMSCHAELAYVDLSSNLLTGELPTCLNLSSESRTVLYARNCLSNKEQEQHPFNFCHNEALAVKILPRDDVKHQRHDKEVLASSTMGGVVGGIAIVGLVFLFVKRVYSKDDVKKPQTRILVKNLSSVNTVKLLSDARHISQTMKLGASLPNYRTFSLEELKEATNNFDASNLLSEDSSSQMYKGKLNDGSLVAIRSSKVRKKISQRTFTHHIELISKLRHNHLISALGHCFDCCQDDSSTSRIFNIFEFVPNGTLRDYISGIPENKLKWPQRIGVAIGVARGIQFLHTGIVPGVFPNNLKITDVLLDHDLLVKLCSYNLPLLTEGSVGAAVSSGTKQKFGTRDRHEDKEDIYDLGVILVEIIFGRPVVKNEVIVSKDLLKVSMTVDDVARRNIVDPAINKECSDESLKIMMEICIRCLSKEPSDRPSVDDVLWNLQFAAQVRESSRTS >Potri.006G273733.1.v4.1 pep chromosome:Pop_tri_v4:6:26617613:26620553:-1 gene:Potri.006G273733.v4.1 transcript:Potri.006G273733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273733.v4.1 MIGLGFDRVKKVINDDFIIDDGIGWIRALQACDKLDRNLFTLHTLHIHFICRTKLQLQSKTLIQSQMVESSVHSCVVWINQTPDTRHPHQTSSPINWSLTDSNNAQACWLHQTLPFSSCTFPLFQVSC >Potri.011G099400.1.v4.1 pep chromosome:Pop_tri_v4:11:12825643:12829115:1 gene:Potri.011G099400.v4.1 transcript:Potri.011G099400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G099400.v4.1 MTVTVTSILVSIVYVAVVRWAWRVLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKESSDMMKEARTKPIGLSDALLPRVMPFLHQLVKDYGKNSFMWIGPKPRVNIMNPDQIRDVFMKINEYKKPSHPLLKLIVCGLASHEGEKWAKHRKIINPAFHQEKLKLMIPAFYESCSGMINKWEKLVSVDEGPCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTNLTAHVIIKAIVIPGYRFLPIPSNRRLKAIDKEIKASLNALINKREKAMSAGEDAKKDLLGLLLESNFREIQEHGNTKSVGMSIEDVIDECKIFYFAGQETTLVLLTWTMILLAQYPNWQARAREEVVQVFGNKKPDFDGLNHLKVVTMILYEVLRLYPPVITLNRDVHEEIKLGNLLLPAGVQVSLPTILLHQDHELWGDDASEFKPERFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRYSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.013G082066.1.v4.1 pep chromosome:Pop_tri_v4:13:7026141:7029788:-1 gene:Potri.013G082066.v4.1 transcript:Potri.013G082066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082066.v4.1 MSSVNVVVMVVGLLVLAQQSFQMSLRNPVAETNNCKIDFTRLGLVLTSDTNEKALQDSGLFTPDAETPYVDIAGRRFHIGTLNARFIVYVKIGGNSVNAAIAVQILLNRFRIHGIIHFGSAGSLDKESIVPGDVSVPLAVAFTGAWNWKKFGSDEGTLNFGEFNYPVNGENLLASVDYDTIKLFSKGQSPQDVFWFPSTTSWYSAATQVLQDLELRQCYDGVCLPSKPKIVFGTNGSSSDSYIKNKAYGDFLHKVFNVSTADQESAAVAWTSLSNEKPFIVIRGASNVAGEANPGFSPAGYLASYNAFLAAAKFIESIPTPRLALNINILDKAPLLVKIQL >Potri.013G082066.2.v4.1 pep chromosome:Pop_tri_v4:13:7027937:7029777:-1 gene:Potri.013G082066.v4.1 transcript:Potri.013G082066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082066.v4.1 MSSVNVVVMVVGLLVLAQQSFQMSLRNPVAETNNCKIDFTRLGLVLTSDTNEKALQDSGLFTPDAETPYVDIAGRRFHIGTLNARFIVYVKIGGNSVNAAIAVQILLNRFRIHGIIHFGSAGSLDKESIVPGDVSVPLAVAFTGAWNWKKFGSDEGTLNFGEFNYPVNGENLLASVDYDTIKLFSKGQSPQDVFWFPSTTSWYSAATQVLQDLELRQCYDGVCLPSKPKIVFGTNGSSSDSYIKNKAYGDFLHKVFNVSTADQESAAVAWTSLSNEKPFIVIRGASNVAGEANPGFSPAGYLASYNAFLAAAKFIESIPTPRLACE >Potri.010G186200.6.v4.1 pep chromosome:Pop_tri_v4:10:18313440:18315371:-1 gene:Potri.010G186200.v4.1 transcript:Potri.010G186200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G186200.v4.1 MAPPWLSRRLLERWRPYTLIEAWGDRYINPNRGNVGQKDWKEVADTVNNRQNGVKPKKTDVQCKNRIVMLKKKYKIEKSKPPPLSWPLCNRLDSLIGTNSNTTNTDKKPTSFTVKSKKKPKKRMFSGLASYSESSSDDDEDDMAWFEERLKKKRHRMEDVGLSDGAACRELARAILKFGEIPERIESSRQRQMIELEKQRMEFTKEVEFERLNMFVDAQLDHTKKSFKRDKFTSSSGLFNEILEFAFLFL >Potri.002G068700.1.v4.1 pep chromosome:Pop_tri_v4:2:4762323:4767825:-1 gene:Potri.002G068700.v4.1 transcript:Potri.002G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068700.v4.1 MEGNLSQGGMIPGGAPFGGLDLQGSMRVHHQAQHPHTMHHHQHPLHRQGSSTLTSVEEGFPLTMGFMHNSDQNISMNDYNKGDRGKNSVSDEDEPSYTEEGADGHNDAITGKKGTPWQRVKWTDKMVRLLITAVSYIGEDGTSDCGGGMRRKFTVLQKKGKWKSVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDMLGRGTSCQVVENPALLDVIDYLTEKEKDDVRKILNSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSRDDHDNDDARRHQHDDLDEDDQEIETDDHDEFEENHASHGDCRGIHGVLGGSAKRPRQGQGHEDACFWNSSQEPNKGSSSYHPQAAQVDMNQVSPESSKAVWLQKQWMESRTLQLEERKLQIQQEMLELEKQRFKWQRFSKKRDRELEKLRMENERIKLENEQMALELKRKEMGADFN >Potri.002G068700.9.v4.1 pep chromosome:Pop_tri_v4:2:4765645:4766920:-1 gene:Potri.002G068700.v4.1 transcript:Potri.002G068700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068700.v4.1 MRRKFTVLQKKGKWKSVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDMLGRGTSCQVVENPALLDVIDYLTEKEKDDVRKILNSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSRDDHDNDDARRHQHDDLDEDDQEIETDDHDEFEENHASHGDCRGIHGVLGGSAKRPRQGQGHEDACFWNSSQEPNKGSSSYHPQAAQVDMNQVSPESSKAVWLQKQWMESRTLQLEERKLQIQQEMLELEKQRFKWQRFSKKRDRELEKLRMENERIKLENEQMALELKRKEMGADFN >Potri.002G068700.8.v4.1 pep chromosome:Pop_tri_v4:2:4765710:4767771:-1 gene:Potri.002G068700.v4.1 transcript:Potri.002G068700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068700.v4.1 MEGNLSQGGMIPGGAPFGGLDLQGSMRVHHQAQHPHTMHHHQHPLHRQGSSTLTSVEEGFPLTMGFMHNSDQNISMNDYNKGDRGKNSVSDEDEPSYTEEGADGHNDAITGKKGTPWQRVKWTDKMVRLLITAVSYIGEDGTSDCGGGMRRKFTVLQKKGKWKSVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDMLGRGTSCQVVENPALLDVIDYLTEKEKDDVRKILNSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSRDDHDNDDARRHQHDDLDEDDQEIETDDHDEFEENHASHGDCRGIHGVLGGSAKRPRQGQGHEDACFWNSSQEPNKGSSSYHPQAAQVDMNQVSPESSKAVWLQKQWMESRTLQLEERKLQIQQEMLELEKQRFKWQRFSKKRDRELEKLRMENERIKLENEQMALELKRKEMGADFN >Potri.001G007100.1.v4.1 pep chromosome:Pop_tri_v4:1:510210:511549:1 gene:Potri.001G007100.v4.1 transcript:Potri.001G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007100.v4.1 MAPTAAVLINGSSDAITDFVLKQGNGVKGLSEMGLKDLPKQYIQPLEEIISDAKIMPQASIPIIDVSKLDGPTVAEAVCRAAERWGFFQIINHGVPIDVLENVKESTHRFFGLPAEEKRKYLKELSPSNNVRFGTSFSPEAEKALEWKDYLSLFYVSEDEASALWPSVCKDQVLDYMRRSEIVIRRLLDVLMKNLKVTEIDETKESLLMGSKRINLNYYPICPNPELTVGIGRHSDVSTLTVLLQDDVGGLYVRGDDDYWIHVPPVNGSLVINVGDALQIMSNGRYKSVEHCVMTDGSKNRISIPIFINPRPSNKISPFHEVLASGEKAAYKEVLYSDYVKHFFRKAHDGKKTIDFAKIRI >Potri.009G158800.1.v4.1 pep chromosome:Pop_tri_v4:9:12318183:12320771:1 gene:Potri.009G158800.v4.1 transcript:Potri.009G158800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158800.v4.1 MESFTAEDLSTIGGIATVSLLHSFIPTHWLPFSIVGRAQKWTLSRTLLVTAFGAVLHVLSTSLLGITAITMANTIAGEETVHKLASLLLIILGGCYMILFLSGKGGHSHSHNQPMEKMAVAGLVLVPALSPCATTLPVFLAVGNSSSMMVLAIIVLLFSTITVMTSLVALSFYGASQLKFHWVERYDKLLVGSVLCLVGILTLIFHDHDHDHQGHGDFSGEHLNRKLIGL >Potri.014G142000.3.v4.1 pep chromosome:Pop_tri_v4:14:9654150:9660887:-1 gene:Potri.014G142000.v4.1 transcript:Potri.014G142000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142000.v4.1 MTSNQQDIKTFAFRTKAMLSMMELKVQSAREQESINWHLASHGVPKSLHCLCLKLAEEYAVNAMARSHLPPPEYVSRLTDPSFHHVVLLTDNVLAASVVISSTVQHSANPEKLVFHIVTDKKTYIPMNAWFAINPIKSAAVEVKGLHQYDWSHEVNVHVKEMLEIHRLIWSHYNDNLRNANFQHEGVNRRSLEALTPSCLSLLNHLRIYIPELFPDLNKIVFLDEDVVVQHDMSSLWELDLNKKVVGAVVDSWCGDNCCPGKKYKDYLNFSYPIISSNFDHDRCVWLYGVNVFDLEAWRRVKITTNYHKWLKHNLNFGMELWQPGVHPPALLAFEGQVHPIDPSWHVGGLGYRPPQAHNIKMLGDAAVLHFSGPAKPWLDIGFPELRSLWNRHVNFSDKFIRKCRILG >Potri.014G142000.1.v4.1 pep chromosome:Pop_tri_v4:14:9654152:9663046:-1 gene:Potri.014G142000.v4.1 transcript:Potri.014G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142000.v4.1 MKFYISTTGIKRVTISTTNSSAKGSTVATRRITRRTFLPVVLLLSIVLPFLFVRIAFLVLESASACNSALDCIGWGLLGGSEASLLREELTRALMEAKEGHGTNDGDYRREGSTESFNVLVNEMTSNQQDIKTFAFRTKAMLSMMELKVQSAREQESINWHLASHGVPKSLHCLCLKLAEEYAVNAMARSHLPPPEYVSRLTDPSFHHVVLLTDNVLAASVVISSTVQHSANPEKLVFHIVTDKKTYIPMNAWFAINPIKSAAVEVKGLHQYDWSHEVNVHVKEMLEIHRLIWSHYNDNLRNANFQHEGVNRRSLEALTPSCLSLLNHLRIYIPELFPDLNKIVFLDEDVVVQHDMSSLWELDLNKKVVGAVVDSWCGDNCCPGKKYKDYLNFSYPIISSNFDHDRCVWLYGVNVFDLEAWRRVKITTNYHKWLKHNLNFGMELWQPGVHPPALLAFEGQVHPIDPSWHVGGLGYRPPQAHNIKMLGDAAVLHFSGPAKPWLDIGFPELRSLWNRHVNFSDKFIRKCRILG >Potri.014G142000.4.v4.1 pep chromosome:Pop_tri_v4:14:9654152:9658976:-1 gene:Potri.014G142000.v4.1 transcript:Potri.014G142000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142000.v4.1 MQLSMMELKVQSAREQESINWHLASHGVPKSLHCLCLKLAEEYAVNAMARSHLPPPEYVSRLTDPSFHHVVLLTDNVLAASVVISSTVQHSANPEKLVFHIVTDKKTYIPMNAWFAINPIKSAAVEVKGLHQYDWSHEVNVHVKEMLEIHRLIWSHYNDNLRNANFQHEGVNRRSLEALTPSCLSLLNHLRIYIPELFPDLNKIVFLDEDVVVQHDMSSLWELDLNKKVVGAVVDSWCGDNCCPGKKYKDYLNFSYPIISSNFDHDRCVWLYGVNVFDLEAWRRVKITTNYHKWLKHNLNFGMELWQPGVHPPALLAFEGQVHPIDPSWHVGGLGYRPPQAHNIKMLGDAAVLHFSGPAKPWLDIGFPELRSLWNRHVNFSDKFIRKCRILG >Potri.003G079550.1.v4.1 pep chromosome:Pop_tri_v4:3:10657838:10663959:1 gene:Potri.003G079550.v4.1 transcript:Potri.003G079550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079550.v4.1 MCFSNHLLTLFGSCSFPMWYKSGPILFKGVFVLKKTLYPLFASNPVLQLADLRWQPLINACTSMWSLCCARISGQPEFNWLMKMSPPLKIHFIYGGYLLF >Potri.018G020700.2.v4.1 pep chromosome:Pop_tri_v4:18:1478884:1482053:1 gene:Potri.018G020700.v4.1 transcript:Potri.018G020700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020700.v4.1 MALAFLGLSIFLFLNLDLDGASFSPASASSSSASDSALEITYGSVIKLMHERTKYRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRPQPGTNAKQGDTIKSGTIVRLQHMKTRKWLHSHLHASPISGNLEVSCFGGENESDTGDYWRLLIEGSGKTWKQDQRIRLQHVDTQGYLHSHDKKYQRIAGGQQEVCGVREKRADNVWLTAEGVYLPITASK >Potri.008G005300.3.v4.1 pep chromosome:Pop_tri_v4:8:223152:225589:-1 gene:Potri.008G005300.v4.1 transcript:Potri.008G005300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005300.v4.1 MTEVVSNISPPPGAVATVSDAPPIHYMVKIESFSSLGKNAVETYESGVFEAGGYKWKLVLYPNGNKSNNVKDHISLYLAMVDASSLPRGWEVNVIFRLFLLDQNKDSYLVIQDAAGKERRFHGLKLECGFDQFIKLSTFNDARYGFVLEDTCVLGAEVFVCGERSRGKGEVLSMKKDPTASKYTWKIVDFSKLDEKRQESQIFSTGDHQWKIVLYPKGKGPGMGTHLSLYLALDLATLPAGCRVYAEYTLRLVDQLYDRKFDMYGKAKSWFGASSSENGWSRYGLLSLYQSNNYLFAKDICMIEAEVIVLGIGSPF >Potri.008G005300.1.v4.1 pep chromosome:Pop_tri_v4:8:223208:225320:-1 gene:Potri.008G005300.v4.1 transcript:Potri.008G005300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005300.v4.1 MTEVVSNISPPPGAVATVSDAPPIHYMVKIESFSSLGKNAVETYESGVFEAGGYKWKLVLYPNGNKSNNVKDHISLYLAMVDASSLPRGWEVNVIFRLFLLDQNKDSYLVIQAGKERRFHGLKLECGFDQFIKLSTFNDARYGFVLEDTCVLGAEVFVCGERSRGKGEVLSMKKDPTASKYTWKIVDFSKLDEKRQESQIFSTGDHQWKIVLYPKGKGPGMGTHLSLYLALDLATLPAGCRVYAEYTLRLVDQLYDRKFDMYGKAKSWFGASSSENGWSRYGLLSLYQSNNYLFAKDICMIEAEVIVLGIGSPF >Potri.001G462400.1.v4.1 pep chromosome:Pop_tri_v4:1:48825961:48828554:1 gene:Potri.001G462400.v4.1 transcript:Potri.001G462400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462400.v4.1 MLRSNMFALLLVFLLSISWEATSDLAHENFLQCLLNHSQLTYPISTAIYTPKNESYSYVLQSYIRNLRFNMSTTPKPLLILTALRESHVQAAISCAREHNLQMKIRSGGHDYEGVSSVSDVPFFVLDMFNFRSIDVDVASETAWIQVGATLGEVYYRISEKSKAHGFPASVEPTVGVGGHFGGGGYGNMMRKYGLSVDNIIDAKMVDVNGRLLVRKSMGEDLFWAITGGGGSSFGVVLAYKINIVRVPEVVTVFLVRRNVDQNATDIVEQWQQVAYNIDDDLFIRLTMNVVNSTTRISEKTVRTTFRALFLGDSERLLSIMNASFPKLGLLRSDCTEMSWLESVLFWTDPPLGTPTDLLRRTPPSLVHFKRKSDYVQKPIPRDGLEGIWKKMIELQVPQLTFNPYGGKMWEIPATERPFPHRAGNLWKVQYATDWNESGQEKANYYIDLTRQLYSYMTPFVSKNPRQAFLNYRDLDLGINHNGKESYLEGRVYGIKYFQENFNRLVKIKTKVDPGNFFRNEQSIPTFP >Potri.018G103300.1.v4.1 pep chromosome:Pop_tri_v4:18:12303661:12315455:-1 gene:Potri.018G103300.v4.1 transcript:Potri.018G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103300.v4.1 MASTTTFSIQHPTWTTSKHPKFHKPYKNLHFLSNPTSHSLSNPSSIYSSSFPLSPKFPLLNPPLPFHPLHDSSHDRLLEDFEIPTICSHQKLETLIKFDQIMHGESSKKVFIQDPPWISAHFWKGMYKLANKKVKVEFKDIEKRKYNLLRRRQIREETEAWERMADEYRGLVREMCERKLAPNLPYVKGLLLGWFEPLKEAIEKEQKMEKSKKQKSAFSPNIELLPADKMAVIVMHKMMGLLMVGHEDGCVRVVQAAVQIGMAIEQEVRIHNFLEKTKNYQRKKTMHEVQETMDKEKEVLRKRVNSLIRRKRLMEVQNLVKQDETKPWSRGTQAKLGSRLIELLTETAYVQPPVNQSEDIPPDVRPAFRHIFKTLTKNPGQKIVKKYGVIECDPLILTGLDGTAKHMLIPYFPMLVPPKKWKGYDKGGHLFLPSYVMRTHGSRQQQVAVRSVPGKQMQKVFEALDTLGNTKWRVNRRLLDVVERIWTSGGNIAGLVDREDIPIPEKPSSDDLTEIQKWKWSVRKAKKINQERHSQRCDTELKLSVARKLKDEEGFYYPHNLDFRGRAYPMHPHLTHLSSDLCRGVLEFEEGRPLGKSGLRWLKIHLANLYSGGVEKLSHDGRLAFVENHLSEIFDSAKNPVNGKRWWLKAEDPFQCLAACINLSEALNSASPHTVISHLPIHQDGSCNGLQHYAALGRDTLEAAAVNLVAAEKPSDVYSEIAVRVHEIIRRDSSKDPATNPHALLAKILVDQVDRKLVKQTVMTSVYGVTYVGAREQIKRRLEEKGHITDDRLLFSAACYTAKVTLTALGELFQAARDIMSWLGDCAKIIASEDQPVQWTTPLGLPVVQPYYKTERHLIKTSLQILALQREGSSVQVRKQRTAFPPNFVHSLDGSHMMMTAVACRDAGLCFAGVHDSFWTHATDVDLMNRILREKFVELYNMPILENLLEDFQTSYPTLQFPPLPERGNFDLQKVLRSPYFFN >Potri.009G023200.1.v4.1 pep chromosome:Pop_tri_v4:9:3529170:3533117:-1 gene:Potri.009G023200.v4.1 transcript:Potri.009G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G023200.v4.1 MIFVDGLTFPTDSSQERGALVTLLEHPKLVSASNSFEAMQEVKLSASKEYALQGRWVYVFQREFATVDPALIDFIGTDEATTCVGLVIRNQRNGMTSVAHMDSTKVVDIGLAQMLSIVVDKNFDDDLDVHLIGGFEDVLPKQANGSTRSETQAKGDGYSFPLCTKIIENLRKGKEKFHIQTLFVLGHNTKRDSQGNAYPVFNGFLVKTSTGSVIPASFDRTTRCPDEIVRRIRISASNEDPTWNGKLLETYDTQNDRFVIAPCSWTFWQVHVALTLQDLSDEEILLECSTSPSAEGPEFVDNLRRQWDYLIKQPLWNLTFPTRHPRVFEWTADGSWKRCLFSPQDSWNEDGAQTSLQMT >Potri.001G458700.1.v4.1 pep chromosome:Pop_tri_v4:1:48391183:48392656:1 gene:Potri.001G458700.v4.1 transcript:Potri.001G458700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX13 MVSRLSLACVVFSLFLISSCFPCQAQLSSNFYDSTCPNALTTIRTAIRRAVSSERRMAASLIRLHFHDCFVQGCDASIMLDNSPSIDSEKFSFSNNNSIRGFEVVDDAKAQVESICPGVVSCADIAAVAARDASVAVGGPSWTVRLGRRDSTTASRSLADSDIPRATTSLVNLIGMFNGKGLSERDMVALSGSHTIGQARCVTFRGRIYDNSSDIDAGFASTRRRNCPSASGNGNNNLAPLDLVTPNSFDNNYFRNLIQRRGLLQSDQVLFSGQSTDSIVTEYSRNPSLFSSDFAAAMLRMGDIEPLTGSQGEIRRVCSVVN >Potri.011G148900.2.v4.1 pep chromosome:Pop_tri_v4:11:17623123:17624237:1 gene:Potri.011G148900.v4.1 transcript:Potri.011G148900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148900.v4.1 MGISSGGLRGRRKSSTRGHHRFVGVQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDDAARALRGANARTNFELPQPAPNSGPGGRANLSEVEPFSFEDLLDGKGLRVLPSANCSSSVQPDMIANISHKNNSKRELASTASITHGVGTVNAIQDPGSSGSGKGDLVLDLDHGDMMAGHVAAAQWSRPCQTTATANMEWPSEPASYEVSWATQMNHIYVQAALFTSSTTIATSAWPLSATTQPSFDSTYPYPCATELLMNKISRTMTTNMPSPQIDGPTEGVWSAEQQFLHCDNSGWTGANSSSWDPFLLYPQC >Potri.006G203400.1.v4.1 pep chromosome:Pop_tri_v4:6:21135889:21139116:-1 gene:Potri.006G203400.v4.1 transcript:Potri.006G203400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203400.v4.1 MPQGDYIELHRKRHGYRLDHFERKRKKEAREVHKHSERAQKALGIKGKMIAKKNYAEKALMKKTLAMHEESTSRRKVDDDVQDGAVPAYLLDRENTTRAKILSNSIKQKRKEKAGKWEVPLPKVRPVAEDEMFKVIRSGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPTYTSLGVITKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Potri.006G203400.2.v4.1 pep chromosome:Pop_tri_v4:6:21136192:21139095:-1 gene:Potri.006G203400.v4.1 transcript:Potri.006G203400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203400.v4.1 MIAKKNYAEKALMKKTLAMHEESTSRRKVDDDVQDGAVPAYLLDRENTTRAKILSNSIKQKRKEKAGKWEVPLPKVRPVAEDEMFKVIRSGKRKTKQWKRMVTKATFVGPGFTRKPPKYERFIRPTGLRFTKAHVTHPELKCTFNLEIIGVKKNPNGPTYTSLGVITKGTIIEVNVSELGLVTPAGKVVWGKYAQVTNNPENDGCINAVLLV >Potri.002G074850.1.v4.1 pep chromosome:Pop_tri_v4:2:5234181:5235230:1 gene:Potri.002G074850.v4.1 transcript:Potri.002G074850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074850.v4.1 MASRLSERIMTTQSGLSFLCFIVAFVDSFPPGNENWYWNQRGEEGRRGVGEGRSAAFESAGIRNPVSQHPRPLEICPSADLRGFQACLFSVTSITVCFPYPSRDLMRLFYSLDLVNGARPLL >Potri.001G070900.1.v4.1 pep chromosome:Pop_tri_v4:1:5356105:5360788:-1 gene:Potri.001G070900.v4.1 transcript:Potri.001G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070900.v4.1 MIRSEGLRGAYSEDSSDTESIASDRTAFSGPLGSGGGGLLNKKHSSKKSAGFNIPPETTINSGFTDDSSSFVEITLDICDDSVAVHSVQGANEDPELTLLAKTALEGNNSSSLRSSLFRNTSSKIRQVSQELKRFASRRSSTARRFDRTKSAAAHALKGLKFITAKTGNGWPAVEKRFQELTASTHGLLPCSLFGECIGMNKDSKEFANELFRALARRHNTNGDSINKAQLRQFWDQIADESFDSRLQTFFDMVDKDADGRITEEEVLEIISLSASANKLSNIQKQAKEYAALIMEELDPDNAGYIMIYNLETLLLQASTQSVRVSDSRVLSELLSQKLKPTQENNPLKRWYPKVKYFLMDNWQRVWIMMLWMGIVAGLFTYKFIEYRHNKAAYDVMGYCVCVAKGGAETLKFNMALILLPVCRNTITWLRNKTKLGVAVPFDDNLNFHKVIAVGIAIGTGLHAGAHITCDFPRLLHATEKEYEPLKPYFGDEQPDNYGWFLKGVEGITGIIMVVLMAIAFTLATPWFRRNRLNLPKPLKKLTGFNAFWYSHHLFVIVYTLLVVHGIYLYLTKTWYKKTTWIYLAVPVILYACERLIRAFRSSTRAVKILKVAVYPGNVLALHMSKPQGFKYKSGQYMFVNCAAVSPFQWHPFSITSSPGDDYLSVHIRTLGDWTRQLQTVFSEVCQPPPAGKSGLLRADMQGENNPSFPKILIDGPFGAPAQEYKKYDVVLLVGLGIGATPMVSIVKDIINNKKLKDKDGEDNGALEGLESGRGPPNKNKISTSRSFKTRKAYFYWVTREQGSFEWFKEIMNEVAEMDDKQVIEMHNYCTSVYEEGDARSALIAMLQSLNHAKNGVDVVSGTRVKSHFAKPIWRQVYKKIALRHPDARIGVFYCGAPALTKELRQLALDFSHKTSTEFDFHKENF >Potri.011G021100.1.v4.1 pep chromosome:Pop_tri_v4:11:1605833:1607917:-1 gene:Potri.011G021100.v4.1 transcript:Potri.011G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021100.v4.1 MKVDGSSDLVGESGTVIEGLSEYYRYSPPAKVAIGEEREREREGEEELFVPPLNFAMVDNGIFRSGFPDIANFTFLQSLSLRSILYLCPEPYPEANSDFLKDNGVQLFQFGMEMCKEPFVNIPEETIREALKVLLDVRNHPILIHCKRGKHRTGCLVGCLRKLQRWCLSSIFDEYQRFAAAKARVSDQRFMELFDISSLKNLPLSFPCSSR >Potri.008G060900.9.v4.1 pep chromosome:Pop_tri_v4:8:3661680:3666383:-1 gene:Potri.008G060900.v4.1 transcript:Potri.008G060900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060900.v4.1 MQTEARVGVVVEGGPRALNSQPKQHKPLQQQYQQSQIGTVSQLVAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRVIREEGVRALWKGNLVTIAHRLPYSSVNFYAYERYKQFLHMIPGLEIHRESAGVNLFVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVIYYRGIWHALQTISREEGVFGLYKGLGATLLGVGPSIAISFSVYESLRSFWHSRRPHDSTVAVSLACGSLSGIASSTGSAETS >Potri.008G060900.3.v4.1 pep chromosome:Pop_tri_v4:8:3661843:3666383:-1 gene:Potri.008G060900.v4.1 transcript:Potri.008G060900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060900.v4.1 MQTEARVGVVVEGGPRALNSQPKQHKPLQQQYQQSQIGTVSQLVAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRVIREEGVRALWKGNLVTIAHRLPYSSVNFYAYERYKQFLHMIPGLEIHRESAGVNLFVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVIYYRGIWHALQTISREEGVFGLYKGLGATLLGVGPSIAISFSVYESLRSFWHSRRPHDSTVAVSLACGSLSGIASSTATFPLDLVRRRKQLEGAGGRARVYTTGLLGIFKHIIQTEGFRGLYRGIMPEYYKVVPGVSICFTTYETLKLLLADVTPTI >Potri.018G054600.2.v4.1 pep chromosome:Pop_tri_v4:18:5425686:5426045:1 gene:Potri.018G054600.v4.1 transcript:Potri.018G054600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054600.v4.1 MVLRRPAMNRSCNRENPDILIVMIADEQIMRGGEDDKERETMGPQKPAREMSGTAPKSNLLPHHSFFFLSLSIPLFYSCVALLSLSLSSLVSMKGSILCISPSHCGSFFWLLGTLFLAF >Potri.016G102100.5.v4.1 pep chromosome:Pop_tri_v4:16:10376004:10377935:-1 gene:Potri.016G102100.v4.1 transcript:Potri.016G102100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102100.v4.1 MAKAIPFFELNTGAKIPSVGLGTWRADPGAVADAVTAAIKAGYRHIDCARLYCNEKEVGCALKKLFDNGVVKRGEVWITSKLWCNDHAPEDVPESLGKTLQDLQLDYVDLYLIHWPVSMKKGSEGYKPENLTQTNIPATWRAMEALYDSGKARAIGVSNFSSKKLGDLLAAARVPPAVNQVECHPVWQQPKLHEFCQSRGVHLSGYSPLGSPDAGTIKTQVLKNSILNTIAEKLGKSPAQVALRWGLQMGHSVLPKSTNEARIKENLDIFDWSIPEDLFAKLSGIEQASQWLFLPQRFMPVNFSVHLLHLAYDPQG >Potri.017G052300.1.v4.1 pep chromosome:Pop_tri_v4:17:3852796:3854602:1 gene:Potri.017G052300.v4.1 transcript:Potri.017G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052300.v4.1 MVSIDLANKPHAVCIPFPAQGHINPMLKLAKLLHFKGFHITFVNTEYNHRRLLKSRGSSSLDGLPEFQFKTIPDGLPPSDIADATQDIPSLCDCTSTTCLAPFRDLIAKLNSSSIVPQVTCIISDACMSFTLDAAEEFGIPEALFWTPSACGVLGYAQYRSLIERGLTPLKDATDLTNGYLETSIDWIPGMKNIRLRDLPSFVRTTDINDFMLHFQIREIDRTSRASAVIINTFDSFEQDVLDALSPMFPPIYTLGPLQLLVDQIPNGNLKNIGSNLWKDHPECIEWLDSKGPNSVVYVNFGSITVITAQQMIEFAWGLANSNKPFLWIIRPDLIVGEASMLPPEFLSVTKDRSLLVSWCPQEQVLKHPSIGGFVSHMGWNSTLESICGGVPMVCWPFFGEQQTNCWFACTKWGIGMEIENNVKRDEVEKLVRELMEGEKGKDMKRKAMEWKTKAEEAAWTGDASHRNLDRLVKVLASEQIR >Potri.001G308200.1.v4.1 pep chromosome:Pop_tri_v4:1:31821992:31825361:-1 gene:Potri.001G308200.v4.1 transcript:Potri.001G308200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G308200.v4.1 MALYQLALFPISTVTKRTFSSRNSLGSSRNGCFPSQVRCMVATETCDQSIARRSGNYPTPFWDHKFLQSLTSEYVGEPYTGQANKLKETVRDMLEKPLDAVYQLELIDNLQRLGVAYHFELEIKSILESRWNDYKKDNREMKEDLYGTSVEFRLLRQHGYNVPQDVFNSFKDEQGNFKNCLRDDVKGMLNLYEASYYLVNGESILEEARDFSEKHLKEYSKEQNEDHYLSLLVNHSLELPLHWRMQRMEARWFIDAYGRKRDLNPILLEFAGLDFNMVQAKYQEDIRHASRWWTSMDLGNKLFYTRDRLMENTLWAVGEVFEPQFGYYRKMATRITALITALDDAYDVYGTLEELEVFTDVIESWDVNALDQLPYYMKISFFALFQSINEIGYNILKEQGINVVPSLKKLWGDLCRAFLKEAKWYYAGYTPTLQEYLDNAWLSVSGQVILGHAFFLVTNQLTEEAVRCCMEYPDLIRHSSTIVRLADDLGTSSDEIARGDNPKSIQCYMHETGATEQEAREHVRYLIYETWKKLNVEILKPYPFSKKFMGIPMDLARTAQCFYEDGDAYGIQDQETHGRLASLIVKPIPLQDI >Potri.005G095900.1.v4.1 pep chromosome:Pop_tri_v4:5:6769307:6779647:1 gene:Potri.005G095900.v4.1 transcript:Potri.005G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095900.v4.1 MDQESGATQDSRLKFYKTTLLLAYQTFGVVYGDLCTSPIYVYKSSFSGELQLHEGNHEIFGVLSLVFWTLTIIPLCKYMIIVLGANDNGEGGTFALYSLLCRHSRMGLLKSSHLEHEFVTCCDSNVSIKETRASLVIKEFFNKHRSSRVVLLLVVLLGTSMVIGDGILTPAMSALSAVYGIQIMAPDLHENYTVAIACVILVGVFALQHCGTHRIGFLFSPILIAWLLCISGVGIYNIFHWNPDVVKALSPYYIYNFFIKTGTAGWSSLGGIVLCATGAEAMFADLGHFSELSVRIAFTGLVYPCLVLAYMGEAAYLSKNRGDLQSSFYKAVPDAIFLPVFIIATLATVVGSQAIISATFSIISQCRALNCFPRVKIVHTSNNIHGQIYIPEVNWVLMILCLAVVVGFRDTATIGNAYGLAVITVMLVTTLLMFLIISTVWNKHVFLAFLFVVIFGFVELSYFDACLAKLHKGGWFPLVVSAVVLSLMSIWHYGTIKKQAFELENKVSLDCLLRLGPCMGIARVPGVCLVYSHVTSGVPPMFAHFVTNFPAFHQILIFVSIQSLIVPKVPVSDRFHVSRIGPPELPLFRCVVRYGYKDIRDSYAFETQLIEKISEFLKRDLSSEQMVVIEQSLHGAKTRRSRELRFQCQESSEDVNELMEAEEAGVVYMIGHTCVISNEASCILKKFVINVVYGFLRRNSRSPAASLGIPHAALIEVGRVYRV >Potri.005G095900.6.v4.1 pep chromosome:Pop_tri_v4:5:6777240:6779595:1 gene:Potri.005G095900.v4.1 transcript:Potri.005G095900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095900.v4.1 MILIRLIKDHGKIFSQFLTCSYVPDYTVAIACVILVGVFALQHCGTHRIGFLFSPILIAWLLCISGVGIYNIFHWNPDVVKALSPYYIYNFFIKTGTAGWSSLGGIVLCATGAEAMFADLGHFSELSVRIAFTGLVYPCLVLAYMGEAAYLSKNRGDLQSSFYKAVPDAIFLPVFIIATLATVVGSQAIISATFSIISQCRALNCFPRVKIVHTSNNIHGQIYIPEVNWVLMILCLAVVVGFRDTATIGNAYGLAVITVMLVTTLLMFLIISTVWNKHVFLAFLFVVIFGFVELSYFDACLAKLHKGGWFPLVVSAVVLSLMSIWHYGTIKKQAFELENKVSLDCLLRLGPCMGIARVPGVCLVYSHVTSGVPPMFAHFVTNFPAFHQILIFVSIQSLIVPKVPVSDRFHVSRIGPPELPLFRCVVRYGYKDIRDSYAFETQLIEKISEFLKRDLSSEQMVVIEQSLHGAKTRRSRELRFQCQESSEDVNELMEAEEAGVVYMIGHTCVISNEASCILKKFVINVVYGFLRRNSRSPAASLGIPHAALIEVGRVYRV >Potri.013G097300.7.v4.1 pep chromosome:Pop_tri_v4:13:10192036:10201417:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKSLTIPKLQQLLQQHQQLQLLEHKLMLEEQQLQQQWQQTWWRQQQLDEELLRQQQVLQPVLRQQQRRQQQLQRRQQQGLQQLQHLLQQQQLQQQLPWFWSGINLL >Potri.013G097300.14.v4.1 pep chromosome:Pop_tri_v4:13:10189886:10201113:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKSLTIPKLQQLLQQHQQLQLLDSRCSSRC >Potri.013G097300.10.v4.1 pep chromosome:Pop_tri_v4:13:10189190:10201493:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKSLTIPKLQQLLQQHQQLQLLEHKLMLEEQQLQQQWQQTWWRQQQLDEELLRQQQVLQPVLRQQQRRQQQLQRRQQQGLQQLQHLLQQQQLQQQLPWFWSGINLL >Potri.013G097300.13.v4.1 pep chromosome:Pop_tri_v4:13:10189997:10201345:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKSLTIPKLQQLLQQHQQLQLLEHKLMLEEQQLQQQWQQTWWRQQQLDEELLRQQQVLQPVLRQQQRRQQQLQRRQQQGLQQLQHLLQQQQLQQQLPWFWSGINLL >Potri.013G097300.15.v4.1 pep chromosome:Pop_tri_v4:13:10189910:10198928:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKR >Potri.013G097300.12.v4.1 pep chromosome:Pop_tri_v4:13:10189892:10201417:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKSLTIPKLQQLLQQHQQLQLLEHKLMLEEQQLQQQWQQTWWRQQQLDEELLRQQQVLQPVLRQQQRRQQQLQRRQQQGLQQLQHLLQQQQLQQQLPWFWSGINLL >Potri.013G097300.6.v4.1 pep chromosome:Pop_tri_v4:13:10189366:10201417:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKSLTIPKLQQLLQQHQQLQLLEHKLMLEEQQLQQQWQQTWWRQQQLDEELLRQQQVLQPVLRQQQRRQQQLQRRQQQGLQQLQHLLQQQQLQQQLPWFWSGINLL >Potri.013G097300.11.v4.1 pep chromosome:Pop_tri_v4:13:10192261:10201493:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKSLTIPKLQQLLQQHQQLQLLEHKLMLEEQQLQQQWQQTWWRQQQLDEELLRQQQVLQPVLRQQQRRQQQLQRRQQQGLQQLQHLLQQQQLQQQLPWFWSGINLL >Potri.013G097300.9.v4.1 pep chromosome:Pop_tri_v4:13:10192293:10201432:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKSLTIPKLQQLLQQHQQLQLLEHKLMLEEQQLQQQWQQTWWRQQQLDEELLRQQQVLQPVLRQQQRRQQQLQRRQQQGLQQLQHLLQQQQLQQQLPWFWSGINLL >Potri.013G097300.16.v4.1 pep chromosome:Pop_tri_v4:13:10192036:10198928:1 gene:Potri.013G097300.v4.1 transcript:Potri.013G097300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097300.v4.1 MASTSVKGITSSSSSSSPPPLYMHDVFLSFRGKDTRDNFTSHLYSNLKQRGIDVYMDDRELERGKTIEPALWKAIEESRFSVIIFSKDYASSPWCLDELVKIVQCMKEMGQSVLPVFYDVDPSEVAEQKGQYERAFVEHEQNFKENLEKVRNWKDCLSMVANLSGWDIRNRNESESIKIIVEYISYKLSVTLPTISKKLVGIDSRVDVLNGYIREEVCKAIFIGICGMGGIGKTTVARVVYDRIRWQFEGSCFLANVREVFAEKDGRRRLQEQLLSEILMERASVWDSSRGIEMIKRRLRLKKILLILDDVDDKEQLEFLAEEPGWFGPGSRIIITSRDKKVVTGNNNNRIYEAEKLNDDDALMLFSQKAFKNDHPAEDFVELSKQVVGYANGLPLALEVIGSFLYDRSIPEWRGAINRMNEIPDGRIIDVLRVSFDGLHESDKKIFLDIACFLKGFKIDRITRILQSRGFHAGIGIPVLIERSLISVSRDQVWMHNLLQIMGKEIVRCESPEEPGRRSRLWTYEDVCLALMDNTGKEKIEAIFFDMPGIKEAQWNMKAFSKMSRLRLLKIDNVQLSEGPENLSNKLLFLEWHSYPSKSLPAGLQVDELVELHMANSNLDQLWYGCKSAFNLKVINLSNSLHLTKTPDFTGIPNLESLILEGCTSLSEVHPSLGYHKKLQYVNLMDCESVRILPSNLEMESLKVCILDGCSKLEKFPDIVGNMNCLMVLRLDGTGIEELSSSIHHLIGLEVLSMKTCKNLKSIPSSIGCLKSLKKLDLFGCSELENIPENLGKVESLEEFDVSGTSIRQPPASIFLLKNLKVLSFDGCKRIAESLTDQRLPSLSGLCSLEVLDLCACNLREGALPEDIGCLSSLKSLDLSRNNFVSLPRSINQLSGLEMLALEDCTMLESLPEVPSKVQTLNLNGCIRLKEIPNPTELSSSKRSEFICLNCWELYNHNGEDSMGLTMLERYLEGLSNPRPGFGIAIPGNEIPGWFNHQSMGSSISVQVPSWSMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNYIQVLSDHIWLFYLSFDHLKELKEWKHESYSNIELSFHSFQPGVKVKNCGVCLLSSVYITPQPSSAHFIVTSKEAASSFRASLTFSSSDHQWKATVFPEIADTSRRPLKSNLAQRFIVPVEMEPEKVMAIRSRLFKAIEESGLSVIIFARDCASLHWCFEELVKIVGFVDEMRSDTVFPVSCDVEQSKIDDQTESYTIVFDKNEENLRENEEKVQRWRNILNEVEISSGSKR >Potri.001G192000.1.v4.1 pep chromosome:Pop_tri_v4:1:17708835:17710340:1 gene:Potri.001G192000.v4.1 transcript:Potri.001G192000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G192000.v4.1 MKGGLIVDDGFIYEEEKLLTVLKTSLFFANDGFTVYDCKGELVFRVESYGPDTRDQGEIVLMDAHGRCLLTVRRKRPSLHQRWEGYIGEGTDGNRPIFSVRRSSIIGRCSVTVEVYGKPGAEYQIEGSFANRSCTIFNAEKESMAEIRRKVDASTNVLLGKDVFSLCLKPGFDGAFAMGLVLILDQITGDDAVDGHPTTED >Potri.009G040200.1.v4.1 pep chromosome:Pop_tri_v4:9:4804539:4806154:-1 gene:Potri.009G040200.v4.1 transcript:Potri.009G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040200.v4.1 MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDSPLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLQMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEGDEYQQDYQ >Potri.009G040200.2.v4.1 pep chromosome:Pop_tri_v4:9:4804356:4805636:-1 gene:Potri.009G040200.v4.1 transcript:Potri.009G040200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040200.v4.1 MGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLQMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEEEEEGDEYQQDYQ >Potri.001G334500.1.v4.1 pep chromosome:Pop_tri_v4:1:34281750:34284792:-1 gene:Potri.001G334500.v4.1 transcript:Potri.001G334500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334500.v4.1 MDGCNGWHVIRGAAADACGRAGAQDKTIINRIMHRFRPIAPKPAAGADLDSSSSVIGNKELVAFKTTRRKRKYVRVCKSNKLKKSKRVPGVPSSDQEKEREGEGEGEGEGEGGDFSSVVTLQLLPERSESKDDESQLERMGKTWCNNDNSQDLAVDNIKEYVDDQEKQEQPMCFKFKLKQPMIEGYGKPDQMFVMLPQKRETTVAESWVTVECVTDIYSTCMDGRAILLGCTDVERMRNLEGDTCPGFISDGLNHVQWINWAYKKMVEMVTKEDSKERVQLYDTVPEVMVWLVIKEKLLPFVDCASAFSCWVRLQNSWQKEKGSQTVVPCDVWRMDCGGFAWRLDIDSALSLGR >Potri.010G069800.1.v4.1 pep chromosome:Pop_tri_v4:10:9801717:9805906:-1 gene:Potri.010G069800.v4.1 transcript:Potri.010G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069800.v4.1 MDRPSLVSLFSRILITLCFGIAQPQQFCSNKGTYSVNSTYSYNLNLLLSSLPSSIAENGIFYKATVGQGPDKVYALALCREDLPSEKCYSCVNTTSQGIKQQCPNQKEALVWTGSNCLVRYANRDIFAKMEEIPPFCISNPNDFSGDFAKFNETLHDLMEKLITQASSGPKFATGDVNFTKPIYGLVQCTPDISERDCGICLQKGMGEMESCAGGREGGRVLSPSCIVWFEVFRFYIPAGTVDAPLQFPPGAAILPPQPPSPPSPANVSPLNETRNKIVIIIVAAVASLVTLITIICALFLWRRMKQKVENDDEMRMLESLEFNFSTLKIATDEFSNDNKLGQGGFGSVYKGVLPNGQEIAVKRLSGYSSQGEIEFKNEILLLAKLQHRNLVSLVGFCSEGEERILVYEFLGNGSLDKFIFDPIKSTQLNWETRCRIISGIARGILYLHEDSRLRIIHRDLKASNVLLDEEMNPKVSDFGLARLFQPDQTQRITGRVAGTYGYMAPEYALHNRFSVKSDVFSFGVLVLEIVTGKKNSWLNNSEELELLLIHVWRNWREGTATNLIDETLRGSPVSDVMRCLHIGLLCVQENVSGRPTMAAVVPMLNSQSWSLPSPSRPAFLLDSNADTGLPLLESDTGTTTQDQSTENTQRTAYLSPN >Potri.010G069800.4.v4.1 pep chromosome:Pop_tri_v4:10:9801716:9805906:-1 gene:Potri.010G069800.v4.1 transcript:Potri.010G069800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069800.v4.1 MDRPSLVSLFSRILITLCFGIAQPQQFCSNKGTYSVNSTYSYNLNLLLSSLPSSIAENGIFYKATVGQGPDKVYALALCREDLPSEKCYSCVNTTSQGIKQQCPNQKEALVWTGSNCLVRYANRDIFAKMEEIPPFCISNPNDFSGDFAKFNETLHDLMEKLITQASSGPKFATGDVNFTKPIYGLVQCTPDISERDCGICLQKGMGEMESCAGGREGGRVLSPSCIVWFEVFRFYIPAGTVDAPLQFPPGAAILPPQPPSPPSPANVSPLNETRNKIVIIIVAAVASLVTLITIICALFLWRRMKQKVENDDEMRMLESLEFNFSTLKIATDEFSNDNKLGQGGFGSVYKGVLPNGQEIAVKRLSGYSSQGFCSEGEERILVYEFLGNGSLDKFIFDPIKSTQLNWETRCRIISGIARGILYLHEDSRLRIIHRDLKASNVLLDEEMNPKVSDFGLARLFQPDQTQRITGRVAGTYGYMAPEYALHNRFSVKSDVFSFGVLVLEIVTGKKNSWLNNSEELELLLIHVWRNWREGTATNLIDETLRGSPVSDVMRCLHIGLLCVQENVSGRPTMAAVVPMLNSQSWSLPSPSRPAFLLDSNADTGLPLLESDTGTTTQDQSTENTQRTAYLSPN >Potri.008G145700.1.v4.1 pep chromosome:Pop_tri_v4:8:9923054:9924402:1 gene:Potri.008G145700.v4.1 transcript:Potri.008G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145700.v4.1 MEGEIKNLIKASLSVLASLIYCHFISSKIPKGKLRLVSLLPIFYLFITLPLFFSYLFPNTIASLFISWLANFKLALFAFDHGPLSCDQSNSLLQFISKALLPIKIKQNEKYPSSQTPQNPPKLALNLATKVLLFTISVGVNDYKGRFHQKLVLALYCCMVYLLVDIIFGVFNATVHAMLHMELEPPSNEPYLSTSLQDFWGRRWNLMVTNLLRHTVYKPVRSSLGSLLGEWAPLPAVAASFLVSGLMHELLFYRVTRASPSWEVTMFFVLQGVCLVVELAMKRWFGGRWQLHWAVSGPLTVGFVMMTAMWLFFPPLIRSGADEHAIEECKMFFHFVKEEGLKWIGKLI >Potri.010G100800.1.v4.1 pep chromosome:Pop_tri_v4:10:12356467:12362057:1 gene:Potri.010G100800.v4.1 transcript:Potri.010G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100800.v4.1 MLGGGGGGQGGSGQELRAADSAANKREFVVNVESSSSNRHSLNLRNSAFFIPPPPLTITTAHPEERGRWETVVLAYKTLGVVFGGLVTSPLYVYPSMPLKSPTEQDYLGIYSIMFWTLTLIGVVKYTSIALKADDQGEGGTFALYSLLCRNMNIGILSSKQAQTNSSLSHSVLHGSTENKSRLGIFFERSIVARRVLLFIAMLGTCMLIGDGILTPAISVLSAMDGIRAPFPSVSKSLVEALSAGVLVVLFLLQKFGTSRVSFMFSPIMGAWTLCTPLVGIYSIIQHYPSIFKALSPHYIFRFFWRNGKEGWLLLGGTVLCITGSEALFADLGHFNRSSIQIAFLLTIYPSLVLTYAGQTAYLIKNPNDHDDGFYKFIPTTIYWPIFIIATLAAVVASQSLISATFSVIKQSVVLDYFPKIKVVHTSSNKEGEVYSPEVNCILMILCVAVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIMIWRTPPWLVAIYFFIFFTMEGVYASAVLSKIPEGGWIPFAISFILAFIMFGWFYGRQKKIEYELTHKIDLGRLGILLSEPGIQRVPGFCFFYTNIQDGLTPILGHYIKNMKSLHTVTIFTTLRYLLVPKVAAHERIVVNKLGLEGVYGCVIQYGYADSLNLEGDDFLSQVTDSLQLCIQNCSGCVTSDHEEVQEEISTFEEAKLAGVVHIRGKTRFYIGNNCGWFERSMLAFYEFLHSNCRSALPALGVPLPQRIEVGMLYEA >Potri.018G043700.3.v4.1 pep chromosome:Pop_tri_v4:18:3479634:3483977:1 gene:Potri.018G043700.v4.1 transcript:Potri.018G043700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043700.v4.1 MGQEFRYPCTTEIGKLLWFIGVIVAVVLTFQHFELPYGNIISSLSSAQKDLGAGNGSLLPHGASSTHEMLSNVTQSNGLNYAAGGQETGDNHGTETPANVNNGVVSEGSRGMNESSLVDSRGEESSLDELVDTNTNSTLYVNNDVGSEGIKGLNKSLGIDNHGRESSPEQLLDQNENSTLELNHSGNGSASIETDRSLFRENITSTSENTGTSQAGITPIAPALPPVDSPTNIAIPRNAEPSTLAPVVPVESNTSKTDKDASHGLENDGKAGEQLNNSTSLQNNTSVTSVREVKKEPHTPSPAVISISEMNNLQLQSWSSPISRRPRWPSAVDQELLNAKSQIQKAPLVESDSMLYAPLYRNISMFKKSYELMEDILKVYIYKEGERPILHQAPLKGIYASEGWFMKLLETNKKFVTKDPKKSHLFYLPFSSRNLEVNLYVPNSHSHKNLIQYLKNYLDMISAKYPFWNRTRGADHFLVACHDWAPTETRQHMANCIRALCNSDAKGGFVFGKDAALPETTVRTPQNLLRDLGGKPASKRSILAFFAGSMHGYLRPILLQHWGNKDPDVKVFGKLPKVKGRGKMNYPQYMKSSKYCICAKGFEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFAVFVLEKDIPNLKNILLSIPENKYREMQMRVKKVQQHFLWHARPVKYDIFHMILHSVWYNRVFQVHPR >Potri.018G043700.1.v4.1 pep chromosome:Pop_tri_v4:18:3479634:3483989:1 gene:Potri.018G043700.v4.1 transcript:Potri.018G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043700.v4.1 MGQEFRYPCTTEIGKLLWFIGVIVAVVLTFQHFELPYGNIISSLSSAQKDLGAGNGSLLPHGASSTHEMLSNVTQSNGLNYAAGGQETGDNHGTETPANVNNGVVSEGSRGMNESSLVDSRGEESSLDELVDTNTNSTLYVNNDVGSEGIKGLNKSLGIDNHGRESSPEQLLDQNENSTLELNHSGNGSASIETDRSLFRENITSTSENTGTSQAGITPIAPALPPVDSPTNIAIPRNAEPSTLAPVVPVESNTSKTDKDASHGLENDGKAGEQLNNSTSLQNNTSVTSVREVKKEPHTPSPAVISISEMNNLQLQSWSSPISRRPRWPSAVDQELLNAKSQIQKAPLVESDSMLYAPLYRNISMFKKSYELMEDILKVYIYKEGERPILHQAPLKGIYASEGWFMKLLETNKKFVTKDPKKSHLFYLPFSSRNLEVNLYVPNSHSHKNLIQYLKNYLDMISAKYPFWNRTRGADHFLVACHDWAPTETRQHMANCIRALCNSDAKGGFVFGKDAALPETTVRTPQNLLRDLGGKPASKRSILAFFAGSMHGYLRPILLQHWGNKDPDVKVFGKLPKVKGRGKMNYPQYMKSSKYCICAKGFEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFAVFVLEKDIPNLKNILLSIPENKYREMQMRVKKVQQHFLWHARPVKYDIFHMILHSVWYNRVFQVHPR >Potri.018G043700.4.v4.1 pep chromosome:Pop_tri_v4:18:3479596:3484051:1 gene:Potri.018G043700.v4.1 transcript:Potri.018G043700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043700.v4.1 MGQEFRYPCTTEIGKLLWFIGVIVAVVLTFQHFELPYGNIISSLSSAQKDLGAGNGSLLPHGASSTHEMLSNVTQSNGLNYAAGGQETGDNHGTETPANVNNGVVSEGSRGMNESSLVDSRGEESSLDELVDTNTNSTLYVNNDVGSEGIKGLNKSLGIDNHGRESSPEQLLDQNENSTLELNHSGNGSASIETDRSLFRENITSTSENTGTSQAGITPIAPALPPVDSPTNIAIPRNAEPSTLAPVVPVESNTSKTDKDASHGLENDGKAGEQLNNSTSLQNNTSVTSVREVKKEPHTPSPAVISISEMNNLQLQSWSSPISRRPRWPSAVDQELLNAKSQIQKAPLVESDSMLYAPLYRNISMFKKSYELMEDILKVYIYKEGERPILHQAPLKGIYASEGWFMKLLETNKKFVTKDPKKSHLFYLPFSSRNLEVNLYVPNSHSHKNLIQYLKNYLDMISAKYPFWNRTRGADHFLVACHDWAPTETRQHMANCIRALCNSDAKGGFVFGKDAALPETTVRTPQNLLRDLGGKPASKRSILAFFAGSMHGYLRPILLQHWGNKDPDVKVFGKLPKVKGRGKMNYPQYMKSSKYCICAKGFEVNSPRVVEAIFYECVPVIISDNFVPPFFEVLNWESFAVFVLEKDIPNLKNILLSIPENKYREMQMRVKKVQQHFLWHARPVKYDIFHMILHSVWYNRVFQVHPR >Potri.010G220000.1.v4.1 pep chromosome:Pop_tri_v4:10:20546647:20556351:-1 gene:Potri.010G220000.v4.1 transcript:Potri.010G220000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220000.v4.1 MPPEPLPWDRKDFFKERKHERSESTSSSFGGGSTPRWREFPFSSANNYGSPRDFNRWGPHDFRRPPGHGKQGGWHMLAEESGHVLSPYRLSDKMLEDENCRPFSRGDGRYGRNNRENRGYVSQRDWRGGHSWEMINGSPNMPGRQHDVNNDQRSVDEMLMYPPSHPAHSDFVNSWDQHQLKDQDDNNKMGGVVGSGTGQRGDREIPLDWRPLKWTRSGSLSSRGSGFSHSSSSKSLGGVDSNEGKTELQPKNATPVQSPSVDVAARVTSVALSEEISSRKKARLGWGEGLAKYEKKKVEGPDASENKDGAAVSASNMESIHFQTSNLADKSPRVMGFSDCASPATPSSVACSSSPGLEEKTFLKSTNADNIASNLCGSPSVGSQSHIEGLSFNLEKMDVSSIANLGSSLAELLQSDDPSSMDSGFVRSTAMNKVLVWKSDISKALELTESEIDSLENELKSMKFEYGSRCPWPAASSPLFVSDVKPCSVQGVASNSVPRPSPLQVASRGDGIVEKVSLCNGGLEVHGDVKDDDIDSPGTATSKLVEPVCLVRIDSSTVALENDFDGIQSARMDLKGPVPRADDEETGVFACKDDVISSGDVISETNGEDNLCSLILASNKESASGASEVFNKLFPSDQCKFDFSCVTNGSSWQSGDLVVEKIAKKKRLLRFKETAVTLKFKAFQHLWKEEMRLPSLRKYPAKSQKKWEPSLRTTHIGYQKHRSSIRARFSSPAGNLSLVPTTEILNFTSKLLSDSQVKPYRNALKMPALILDKKEKMGSRFISSNGLVEDPYAVEKERAMINPWTSDEKEIFMHKLATFGKDFRKIASFLDHKSTADCVEFYYKNHKSDCFEKTKKSKQTKSSTNYLMASSTKWNRELNAASLDILGVASRIAADADHAMNSQQLCSGRIFSRGYRNSKITEGDDGILERSSSFDVLGNERETVAADVLGSLSSEAMGSCITTSVDLMEGYREQKCQKVDSVAKAPLISDVMENFDEETCSDESCGEMDPTDWTDEEKSIFIQAVSSYGKDFAMISQVVRTRTRDQCKVFFSKARKCLGLDLMHPGPRKSRTPVSDNANGGGSDTEDACAMETGSAICSDKLDSKIDEDLPSSIMNTEHDESDAEEMIGLHEDLNGTEGNNACGILDKNDSRVVDEMVSDPSEAGQSADLAFNVDSKFVNTVHQSEPVQAQKMLIASANAESERDQVADKVVSVVESLSVVGAVDVSTSNASTAVELKGVAEVSGNGLQNGFTEQELFLPENSLGSPSGLMQDSTSNASHHPVHMDSCSEFSCSLENMHQVSVQLESVEKPPVISLPQENNLALTNSILQDSAVIQFEKRHKQDTLQESSRDKQGKISVSGDDYFQHLSDHPLLNHNESSQIPRGYSLQIPTKKEMNGVISGRLLSGAQSLPNSEKNVTSQSEAQECYLQKCSSLKAQHSVPELPFISQRRGRGSDHLRDHSRRSSDVEKPCRNGDVKLFGKILSNPLQKQNSSARENGEKEAQHLKPTSKSSTFKFTGHHPTEGNMTLLKCDPNNQPGLENVPMRSYGFWDGNRIQTGFPSMPDSATLLVKYPAAFSNYHVSSSKMPQQTLQAAVKSNECNLNGISVFPSREITGSNGVVDYQMYRSHDSTGVPSFTVDMKQREVILAEMQRLNGQQTRGMAGVNVVGRGGILVGGACTGVSDPVAAIKRHYAKADQYGGQSGIVFREEESWRGKGDIGR >Potri.010G220000.5.v4.1 pep chromosome:Pop_tri_v4:10:20546787:20556352:-1 gene:Potri.010G220000.v4.1 transcript:Potri.010G220000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220000.v4.1 MPPEPLPWDRKDFFKERKHERSESTSSSFGGGSTPRWREFPFSSANNYGSPRDFNRWGPHDFRRPPGHGKQGGWHMLAEESGHVLSPYRLSDKMLEDENCRPFSRGDGRYGRNNRENRGYVSQRDWRGGHSWEMINGSPNMPGRQHDVNNDQRSVDEMLMYPPSHPAHSDFVNSWDQHQLKDQDDNNKMGGVVGSGTGQRGDREIPLDWRPLKWTRSGSLSSRGSGFSHSSSSKSLGGVDSNEGKTELQPKNATPVQSPSVDVAARVTSVALSEEISSRKKARLGWGEGLAKYEKKKVEGPDASENKDGAAVSASNMESIHFQTSNLADKSPRVMGFSDCASPATPSSVACSSSPGLEEKTFLKSTNADNIASNLCGSPSVGSQSHIEGLSFNLEKMDVSSIANLGSSLAELLQSDDPSSMDSGFVRSTAMNKVLVWKSDISKALELTESEIDSLENELKSMKFEYGSRCPWPAASSPLFVSDVKPCSVQGVASNSVPRPSPLQVASRGDGIVEKVSLCNGGLEVHGDVKDDDIDSPGTATSKLVEPVCLVRIDSSTVALENDFDGIQSARMDLKGPVPRADDEETGVFACKDDVISSGDVISETNGEDNLCSLILASNKESASGASEVFNKLFPSDQCKFDFSCVTNGSSWQSGDLVVEKIAKKKRLLRFKETAVTLKFKAFQHLWKEEMRLPSLRKYPAKSQKKWEPSLRTTHIGYQKHRSSIRARFSSPAGNLSLVPTTEILNFTSKLLSDSQVKPYRNALKMPALILDKKEKMGSRFISSNGLVEDPYAVEKERAMINPWTSDEKEIFMHKLATFGKDFRKIASFLDHKSTADCVEFYYKNHKSDCFEKTKKSKQTKSSTNYLMASSTKWNRELNAASLDILGVASRIAADADHAMNSQQLCSGRIFSRGYRNSKITEGDDGILERSSSFDVLGNERETVAADVLGSLSSEAMGSCITTSVDLMEGYREQKCQKVDSVAKAPLISDVMENFDEETCSDESCGEMDPTDWTDEEKSIFIQAVSSYGKDFAMISQVVRTRTRDQCKVFFSKARKCLGLDLMHPGPRKSRTPVSDNANGGGSDTEDACAMETGSAICSDKLDSKIDEDLPSSIMNTEHDESDAEEMIGLHEDLNGTEGNNACGILDKNDSRVVDEMVSDPSEAGQSADLAFNVDSKFVNTVHQSEPVQAQKMLIASANAESERDQVADKVVSVVESLSVVGAVDVSTSNASTAVELKGVAEVSGNGLQNGFTEQELFLPENSLGSPSGLMQDSTSNASHHPVHMDSCSEFSCSLENMHQVSVQLESVEKPPVISLPQENNLALTNSILQDSAVIQFEKRHKQDTLQESSRDKQGKISVSGDDYFQHLSDHPLLNHNESSQIPRGYSLQIPTKKEMNGVISGRLLSGAQSLPNSEKNVTSQSEAQECYLQKCSSLKAQHSVPELPFISQRRGRGSDHLRDHSRRSSDVEKPCRNGDVKLFGKILSNPLQKQNSSARENGEKEAQHLKPTSKSSTFKFTGHHPTEGNMTLLKCDPNNQPGLENVPMRSYGFWDGNRIQTGFPSMPDSATLLVKYPAAFSNYHVSSSKMPQQTLQAAVKSNECNLNGISVFPSREITGSNGVVDYQMYRSHDSTGVPSFTVDMKQREVILAEMQRLNGQQTRGMAGVNVVGRGGILVGGACTGVSDPVAAIKRHYAKADQYGGQSGIVFREEESWRGKGDIGR >Potri.010G220000.2.v4.1 pep chromosome:Pop_tri_v4:10:20546795:20556352:-1 gene:Potri.010G220000.v4.1 transcript:Potri.010G220000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220000.v4.1 MLAEESGHVLSPYRLSDKMLEDENCRPFSRGDGRYGRNNRENRGYVSQRDWRGGHSWEMINGSPNMPGRQHDVNNDQRSVDEMLMYPPSHPAHSDFVNSWDQHQLKDQDDNNKMGGVVGSGTGQRGDREIPLDWRPLKWTRSGSLSSRGSGFSHSSSSKSLGGVDSNEGKTELQPKNATPVQSPSVDVAARVTSVALSEEISSRKKARLGWGEGLAKYEKKKVEGPDASENKDGAAVSASNMESIHFQTSNLADKSPRVMGFSDCASPATPSSVACSSSPGLEEKTFLKSTNADNIASNLCGSPSVGSQSHIEGLSFNLEKMDVSSIANLGSSLAELLQSDDPSSMDSGFVRSTAMNKVLVWKSDISKALELTESEIDSLENELKSMKFEYGSRCPWPAASSPLFVSDVKPCSVQGVASNSVPRPSPLQVASRGDGIVEKVSLCNGGLEVHGDVKDDDIDSPGTATSKLVEPVCLVRIDSSTVALENDFDGIQSARMDLKGPVPRADDEETGVFACKDDVISSGDVISETNGEDNLCSLILASNKESASGASEVFNKLFPSDQCKFDFSCVTNGSSWQSGDLVVEKIAKKKRLLRFKETAVTLKFKAFQHLWKEEMRLPSLRKYPAKSQKKWEPSLRTTHIGYQKHRSSIRARFSSPAGNLSLVPTTEILNFTSKLLSDSQVKPYRNALKMPALILDKKEKMGSRFISSNGLVEDPYAVEKERAMINPWTSDEKEIFMHKLATFGKDFRKIASFLDHKSTADCVEFYYKNHKSDCFEKTKKSKQTKSSTNYLMASSTKWNRELNAASLDILGVASRIAADADHAMNSQQLCSGRIFSRGYRNSKITEGDDGILERSSSFDVLGNERETVAADVLGSLSSEAMGSCITTSVDLMEGYREQKCQKVDSVAKAPLISDVMENFDEETCSDESCGEMDPTDWTDEEKSIFIQAVSSYGKDFAMISQVVRTRTRDQCKVFFSKARKCLGLDLMHPGPRKSRTPVSDNANGGGSDTEDACAMETGSAICSDKLDSKIDEDLPSSIMNTEHDESDAEEMIGLHEDLNGTEGNNACGILDKNDSRVVDEMVSDPSEAGQSADLAFNVDSKFVNTVHQSEPVQAQKMLIASANAESERDQVADKVVSVVESLSVVGAVDVSTSNASTAVELKGVAEVSGNGLQNGFTEQELFLPENSLGSPSGLMQDSTSNASHHPVHMDSCSEFSCSLENMHQVSVQLESVEKPPVISLPQENNLALTNSILQDSAVIQFEKRHKQDTLQESSRDKQGKISVSGDDYFQHLSDHPLLNHNESSQIPRGYSLQIPTKKEMNGVISGRLLSGAQSLPNSEKNVTSQSEAQECYLQKCSSLKAQHSVPELPFISQRRGRGSDHLRDHSRRSSDVEKPCRNGDVKLFGKILSNPLQKQNSSARENGEKEAQHLKPTSKSSTFKFTGHHPTEGNMTLLKCDPNNQPGLENVPMRSYGFWDGNRIQTGFPSMPDSATLLVKYPAAFSNYHVSSSKMPQQTLQAAVKSNECNLNGISVFPSREITGSNGVVDYQMYRSHDSTGVPSFTVDMKQREVILAEMQRLNGQQTRGMAGVNVVGRGGILVGGACTGVSDPVAAIKRHYAKADQYGGQSGIVFREEESWRGKGDIGR >Potri.004G228500.2.v4.1 pep chromosome:Pop_tri_v4:4:23158198:23167404:-1 gene:Potri.004G228500.v4.1 transcript:Potri.004G228500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228500.v4.1 MKLLLIFFFLSFSLFFPGFRKRERAKNFVAMAAVVMSYYCKPSPFLGHFPSYLAKSSSRQVAGTAVQESKISALFWGSKKSVQPKELDVSVSLQDSILTGGGLEKQITPKRISLSIISSISEVSSHEWDACNLDATGPDKFNPFLSHGFLSSLEESRSAVKETGWMPSHIVAKDESDNVLGVVPLYLKSHSYGEFVFDHSWADAYYGFGSRYYPKFQCCVPFTPVTGPRILVRNTPFRDQLFDVLVSALKDLAAKSQVSSLHITFPTEKEWHMLKEKGFLQRIGMQYHWKNRNYKNFDEFLMDMKQSKRKNIRQERKKVSTQNLSMKRLRGYEIKARHWDTFYSFYRNTTDNKWGTPYLTRDFFHTMGSKMGDQVLLVVAEEGDELVAGALNIIGGDTLFGRLWGCHPKAYYPSLHFEACYYQAIEAAIELNLNTVEAGAQGEHKIQRGYLPVLTYSCHYLIDEAFRKAIEEFLVRESTQVKLVMKLIHDSGPLKEGIK >Potri.004G230900.1.v4.1 pep chromosome:Pop_tri_v4:4:23456031:23460029:1 gene:Potri.004G230900.v4.1 transcript:Potri.004G230900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230900.v4.1 MAKQKEAVCVTGANGFIGSWLVRTLLDQGYTKIHASIYPGSDPSHLFEIPGATDASVSLEVFEADVLDHDAICKAVEGCQGVFHVASPCTLEDPKDPQEELVMPAVQGTLNVLEAAKKFKVRRVVVTSSISALVPNPSWPREKVFDESSWTDLDYCKSRQKWYPVSKTLAEKAAWEFAGRNGMDVVAIHPATCLGPLLQPALNASCAVLQQLLQGSRDTQEYHWLGAVHVRDVARAQVLLFETPTASGRYLCTNGIYQFGDFAATVSRLFPEFPLHRFSGETQPGLRGCKDASKKLIDLGLVFTPVEDAVRETVESLKAKGFLRNEMSQS >Potri.001G341000.1.v4.1 pep chromosome:Pop_tri_v4:1:35141745:35144659:1 gene:Potri.001G341000.v4.1 transcript:Potri.001G341000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341000.v4.1 MYACRYGKVFKSHLFGSPAIVSCDHELNMFILQNEERLFEASYPKAMHDILGKFSLLVISGDLHRKLRNFVVTFNTVSKSSPRFLNFAENLAITMLESWKGCKEIDFLKDIRKFTLSLMVKSVLSIEPEEPRALKILDDFRTYMKGFVSLPLNFPGSSYSKAVKARTRLASTMKGIINEREKEKVGLITGDFLDVILSKRGILTDGQIVSVALDILLGGYETTSILIALIVYFLGHVPKAFQTLKEEHDAIRKSKQAGEPLDMEDYRKMEFTKNVIYESMRCGNVVKFLHRKAIQDVKYKEYFIPSGWKVIPVLSGPHLDPSLHESPLKFNPWRWKNQETRKTVMPFGGGPRLCPGAELAKVEIAFFLHHLVLNYRWKVKEDDFPVAYPYVEFRRGLLLEVEPAQEEFRK >Potri.001G341000.2.v4.1 pep chromosome:Pop_tri_v4:1:35141429:35144659:1 gene:Potri.001G341000.v4.1 transcript:Potri.001G341000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341000.v4.1 MAEFSSIGLALILGLVLALFLLRFFSRQNHKNLPNGSMGFPFVGETLSLLKPHSSNSMGTFLQERVSRYGKVFKSHLFGSPAIVSCDHELNMFILQNEERLFEASYPKAMHDILGKFSLLVISGDLHRKLRNFVVTFNTVSKSSPRFLNFAENLAITMLESWKGCKEIDFLKDIRKFTLSLMVKSVLSIEPEEPRALKILDDFRTYMKGFVSLPLNFPGSSYSKAVKARTRLASTMKGIINEREKEKVGLITGDFLDVILSKRGILTDGQIVSVALDILLGGYETTSILIALIVYFLGHVPKAFQTLKEEHDAIRKSKQAGEPLDMEDYRKMEFTKNVIYESMRCGNVVKFLHRKAIQDVKYKEYFIPSGWKVIPVLSGPHLDPSLHESPLKFNPWRWKNQETRKTVMPFGGGPRLCPGAELAKVEIAFFLHHLVLNYRWKVKEDDFPVAYPYVEFRRGLLLEVEPAQEEFRK >Potri.001G035500.1.v4.1 pep chromosome:Pop_tri_v4:1:2593059:2595854:-1 gene:Potri.001G035500.v4.1 transcript:Potri.001G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035500.v4.1 MMLFPEITADILSRLPVKSLKRFRCVSKSWCKEIDSPYFINTHLKRSSQAHTHLNLILRDATNLCTVDLDSPDFTSIELKNNPLKSDDCATEVMGSCNGLLALLNSDFSIALYNPSTREKKMIPVSPLELPNDLDDSKVSSLFNFYGFGHDPINEDYKVVRFIHFYGDSPDGFFHCEVKVYSLKSNSWKRIDDYPYDLRFILPPDYHPRCRRGYGVFANSAVHWKATVVGKGKENGSDLIVAFDLGAEEFKIIPQPDYSSNEHEMNVGVLGGCLCVFCNKNCKQVEIWVMKEYGVKESWTHLCTVIAQLQVKEFWLYARPLAYSKGGDKILLELDNRFFVWYDLRRRKSKIIRIRGAPPIFIAEICVGSLVTLNGGGEGQTSGKDTQEKRKTRKKRAGNRWFRMQTWKSMHMERVVGDLY >Potri.001G035500.5.v4.1 pep chromosome:Pop_tri_v4:1:2593059:2595854:-1 gene:Potri.001G035500.v4.1 transcript:Potri.001G035500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035500.v4.1 MMLFPEITADILSRLPVKSLKRFRCVSKSWCKEIDSPYFINTHLKRSSQAHTHLNLILRDATNLCTVDLDSPDFTSIELKNNPLKSDDCATEVMGSCNGLLALLNSDFSIALYNPSTREKKMIPVSPLELPNDLDDSKVSSLFNFYGFGHDPINEDYKVVRFIHFYGDSPDGFFHCEVKVYSLKSNSWKRIDDYPYDLRFILPPDYHPRCRRGYGVFANSAVHWKATVVGKGKENGSDLIVAFDLGAEEFKIIPQPDYSSNEHEMNVGVLGGCLCVFCNKNCKQVEIWVMKEYGVKESWTHLCTVIAQLQVKEFWLYARPLAYSKGGDKILLELDNRFFVWYDLRRRKSKIIRIRGAPPIFIAEICVGSLVTLNGGGEGQTSGKDTQEKRKTRKKRFDMDFEPNMAVDVI >Potri.001G035500.7.v4.1 pep chromosome:Pop_tri_v4:1:2593029:2595854:-1 gene:Potri.001G035500.v4.1 transcript:Potri.001G035500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035500.v4.1 MMLFPEITADILSRLPVKSLKRFRCVSKSWCKEIDSPYFINTHLKRSSQAHTHLNLILRDATNLCTVDLDSPDFTSIELKNNPLKSDDCATEVMGSCNGLLALLNSDFSIALYNPSTREKKMIPVSPLELPNDLDDSKVSSLFNFYGFGHDPINEDYKVVRFIHFYGDSPDGFFHCEVKVYSLKSNSWKRIDDYPYDLRFILPPDYHPRCRRGYGVFANSAVHWKATVVGKGKENGSDLIVAFDLGAEEFKIIPQPDYSSNEHEMNVGVLGGCLCVFCNKNCKQVEIWVMKEYGVKESWTHLCTVIAQLQVKEFWLYARPLAYSKGGDKILLELDNRFFVWYDLRRRKSKIIRIRGAPPIFIAEICVGSLVTLNGGGEGQTSGKDTQEKRKTRKKRDQFLSKGFKLVL >Potri.003G099600.1.v4.1 pep chromosome:Pop_tri_v4:3:12485370:12491710:-1 gene:Potri.003G099600.v4.1 transcript:Potri.003G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099600.v4.1 MATPLVAHSVQLCHHHSKQYSFKSQSRVNRDIGTKTLLGVYNGFHNVYNQSYFHKPWAPIRVLEPNSRFLQMGPQETCSSRGISKKSMSSEGSTSTSSSSISQQVIGILHLLVSLGIILAMDKLLKKAFVAAAIKFPSALFGMFCIFSVLVILDITIPAAATSLMNFFQPALLFIQRWLPLFYVPSLVVLPLSVKDIPAASGVKICFITAGGWLASLCVAGFTAIAVRKMVKTEMTDAEPMAKPSPFSPLEIWAWSGVFLVSFVVALLYRTALGTAARTCLPFLLASTVLGYMVGSGLPSGVKKVFHPIICCALSADLAALAFGFLSQSGLDPVLGYYLTKVSSNPGAGDVLMGFLGPVILSFAFSMFKQRKLVKRHAAEIFTSVIVATLFSLYSTALVGRLVGLEPTLTVSIIPRCITVALALSIVSFFEGANSSLTAAVVVVTGLIGANFVQAVLDKLNFRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLFCSVPAVRQSLLAIIG >Potri.009G111323.1.v4.1 pep chromosome:Pop_tri_v4:9:9523825:9528659:-1 gene:Potri.009G111323.v4.1 transcript:Potri.009G111323.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111323.v4.1 MESCSLQSSAITTIPTSLTKCGFIEKPSIHGQFLKFPNLSKFAHSRKLKILDIKAQASVAVKFSSGAVEAISKEMETKDENLAFVAGATGKVGSRAVRELLKLGFRVRAGVRSAQKAEALAQSVKEMKLDVEGSQPVERLETVECDLEKPNQIGPALGNASVVLCCIGASEKEVFDVTGPCRIDYRATKNLVDAATVAKVDHFIMVSSLGTNKFGFPAAILNLFWGVLIWKRKAEEALIASGVPYTIVRPGGMERPTDAYKETHNLTVSEEDTLFGGQVSNLQVAEFMAFMAKNRGLSYCKVVEVIAETTAPLTPMDELLAKIPSQRVEPKKSDAAELPKSVPPKIVEPEAPSPPSQREPAQAKAVVTRPLSPYTAYEDLKPPTSPIPTQPSGKKENVNSVEAVSMLDTPDPSPASASGIAETKPAPVETKTARPLSPYVAYDDLKPPTSPSPTAPVGLVAITAPAVPKTGNSAPPTAAIDNQRHEEPNPRPLSPYPIYDDLKPPTSPSPTAPVGLVATTSSINAVSKTGNNAPPTAAIDNQHHKEPNPRPLSPYPMYEDLKPPASPTPSLKL >Potri.016G080101.1.v4.1 pep chromosome:Pop_tri_v4:16:6143482:6143877:1 gene:Potri.016G080101.v4.1 transcript:Potri.016G080101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080101.v4.1 MQMHVEMLDFEFVFLEIASNQIQNLWYLSVMLDVLVRTMSSKAFGSFILGRYLGWSENTPFVCILNFIRLKQQDEVHFCWLMQLGILFSEPGISVDQSNSYVIHHKLLSSSIMLDLLHALEVLCTPSTDYS >Potri.001G257100.1.v4.1 pep chromosome:Pop_tri_v4:1:27303917:27309333:-1 gene:Potri.001G257100.v4.1 transcript:Potri.001G257100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257100.v4.1 MGNCCVTPTGASSSEKKVKGKKKEKKNPFFGDNYAVINESGNVDKLGVLKEPTGRDILAHYDLGRELGRGEFGVTYLCTDINTGEKFACKSISKKKLRTAVDIEDVRREVEIMKHLPAHPNIVSLKASYEDDSAVHIVMELCEGGELFDRIVARGHYTERAAAAVMRTIVEVVQMCHKHGVIHRDLKPENFLFANKKETSALKTIDFGLSVFFKPGERFNEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPRVSDNAKGLVMKMLNPDPKLRLTAQQVLEHPWIQNAKKAPNVPLGETVKARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKDAFDSMDTGKKGSINLEELRVGLQKLGQHIADADLQILMEAADIDGDGALNYGEFVAISVHIKKMGNDEHLHKAFAFFDRNQSGYIEIEELRESLNDDIDTSSEDVINAIMHDVDTDKDGRISYEEFATMMKAGTDWRKASRQYSRERFNSLSITLRRDGSLQVAS >Potri.007G122200.1.v4.1 pep chromosome:Pop_tri_v4:7:13952574:13961589:-1 gene:Potri.007G122200.v4.1 transcript:Potri.007G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX45 MAIQKLFAVCFLQLVFAFLLAGLTNAGGLQLGFYQRACPDAELIVHQTLYRYVSRDRTLAAPLLRMHFHDCFIRGCDGSVLLSSTEKNQAEKDAIPNKTLRGFNVIDAVKSALEKNCPGVVSCSDVLALVARDAVLMIGGPHWDVPTGRRDGRVSIANEALFNLPSPFANITVLKQQFAATGLSVKDLAVLSGGHTIGIGHCTIISNRLYNFTGKGDTDPSLDPRYAAQLKKKCKPGNSNTVVEMDPGSFKTFDEDYYNIVAKRRGLFRSDAALLDDAETRDYVKFQSRTQGSTFAQDFAESMVKMGYIGVLTGEQGEIRKRCAVVN >Potri.018G142500.2.v4.1 pep chromosome:Pop_tri_v4:18:14781842:14784931:-1 gene:Potri.018G142500.v4.1 transcript:Potri.018G142500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142500.v4.1 MALRKLYSEIKGLKVKELPDHVKPMLSIGYVKKAVQRGMDNYHAKYIETSSIDPLLHVCYGGMILSYLIALPEERRHLEHQQHAKEHGGH >Potri.001G128600.1.v4.1 pep chromosome:Pop_tri_v4:1:10540843:10542186:1 gene:Potri.001G128600.v4.1 transcript:Potri.001G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128600.v4.1 MADFGFLSDTDDSAVDELVSQTQELCVLEQVSKINCSGFTDSVLPADLETRFHKLKSFPPTKSKTPTTNKSLSRSNTDMNNISVKGKKDDDGSFSDSEKGENFSSEEQNPGEKMGSLKGQNEVFLGNKENPQWKSGLEKEMKSGCVSSPPSKSSMEEEIFSPKKENPDGKGGLKKKSLHGSDHSNSWVEDVIFSPSKRKPERKMSMKSKSKFGSSNSSNSFMDSPSPPRKVGCFWCSPKKKQSKESLGLDWESNNLDEYLSDLSTFSVKEQQKRLKKAMKEQEKMSQEAEKIVKWAKQASARMSFHGTDDVLSDDEIAK >Potri.016G012601.1.v4.1 pep chromosome:Pop_tri_v4:16:670006:672708:1 gene:Potri.016G012601.v4.1 transcript:Potri.016G012601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012601.v4.1 MIAEILCRLQAQKLPCFRSHSLDTSSNLYIIIRTTSHVHYMGFDQNLVSSGCFTLKELNHPLMCYNHGIKVLGSVNGLLCVSNVVDDIAVWNPTIRKYFGTKSCSVYVIGFGYDCVSDDYKRSFESEVKVYSLKKQSWGRIGDMPYFISHMECIYTRNIYYLQGWFIDLLLHSRLFFDLDSQKATICTTSHAFQWSLTQGDESNIAAFDIQREEFCARPLPGLGGSADSYRNLGVLGHCLCLVSMLVHTYSKDCDHEFLLKVHTYSRKFIWYDLKTRTYEDAGIPCYQRLFDAYTFVGSLKIKKRNKVKRKRRSCLDFLLDDKQGIVVLVMFLFSLVL >Potri.008G087400.1.v4.1 pep chromosome:Pop_tri_v4:8:5445056:5447192:-1 gene:Potri.008G087400.v4.1 transcript:Potri.008G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087400.v4.1 MELLHVLLAASYILLVSFSQSSSKCQGKTLPYMTPDVTQISGRSFDYIIVGGGTAGCPLAATLSERYSVLLVERGSSPYKNPFVLDKRFYGFALFQTNEFSSVSQSFISKDGVSNLRGRVLGGSSAINGGFYSRASDAFVRRAGWDEELVKESYKWVESKMVFKPELTKWQSAVKFGLLEAGILPYNGFSLEHVEGTKMGRTVFDHDGRRHTSADLLETGNPDNIVVLLNATVKNIIFHKKGTENETTVHGIRFIKSDGNVSQTYEAYLKQLENSGSWGDVILSAGTLGSPQILLLSGIGPKRHLKNFGIPLVLDFPEIGQEMVDNPSISVLLESDPQVQLPDPPQIVGIADDFKFIVQGLILPISINATRIPISIKLAFPASKGKLELNSTDPRQNPLVEFNYLAKEKDMKECIKMVQLVERVARSKSIAGFLGKEHYSNSKSPREQREFCKKNVRTFYHYHGGCAVGSVVDNDYRVHGVKGLRVVDGSTFLESPGTNPMATLLMLGRYQGIKILAENNQHEPSKSNHTHTHI >Potri.012G103100.2.v4.1 pep chromosome:Pop_tri_v4:12:12546354:12549372:-1 gene:Potri.012G103100.v4.1 transcript:Potri.012G103100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103100.v4.1 MPEEDLVDIKFRLYDGSDIGPFRYSSTSTVDMLKQRIVSDWPRGKTITPKAVNEIKLISSGKVLDNNKTVGQCRTPFGEAAGGVIIMHVVVQPSLAKTKTEKKIDKSPKKIVCSCSIM >Potri.012G103100.4.v4.1 pep chromosome:Pop_tri_v4:12:12546652:12549000:-1 gene:Potri.012G103100.v4.1 transcript:Potri.012G103100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103100.v4.1 MPEEDLVDIKFRLYDGSDIGPFRYSSTSTVDMLKQRIVSDWPRGKTITPKAVNEIKLISSGKVLDNNKTVGQCRTPFGEAAGGVIIMHVVVQPSLAKTKTEKKIDKSPKKIVCSCSIM >Potri.011G032700.1.v4.1 pep chromosome:Pop_tri_v4:11:2638289:2640019:-1 gene:Potri.011G032700.v4.1 transcript:Potri.011G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032700.v4.1 MAYYSYSYEDDYQGEYYTGEYSITPYNSSYDPSPDHDSVAYSSYNYNEHQVLAYDPPSYYAAYDPVSSYSRTAYSASTFSEPVCIEYDPGHYYNEQTRFIVSYNVSEFNEPAYEEYDPTPYDGGYDLAATYGKPLPHSAETCYPRSTPDPNVSSLNGFSYGSIIAPYGKDEVNEPAAKPQNESKPISPPAIEAAPVPVPLELSNGRGNSQEKLQKGEESEEKGVDHPDPSPGYDTGIANGSCGEFGYEYGMPGPQIPPGYGLEAMDLCESLFGYWPCLSRYARNVNDCQEAADCGSRGNQWKGTADYLFGSSNPYGERDDGGNSYGNAIYGYERHYQEEPLSYQVKYVEDSWSS >Potri.002G005100.1.v4.1 pep chromosome:Pop_tri_v4:2:292096:294905:-1 gene:Potri.002G005100.v4.1 transcript:Potri.002G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005100.v4.1 MHLSENEGIEANTFVVTGGLGFVGSALCLELVRRGARQVRAFDLRLTSPWSDDLKNHGVRLIQGDLTRKKDVDKALRGADCVFHLASYGMSGKEMLQFGRVDEVNISGTCLVLEACLEFEIRRLVYVSTYNVVFGGKEIVNGNESLPYFPIDDHVDSYGRSKSIAEQLILKHNGRPFKKNNGKRLYTCAIRPAAIYGPGEERHFPRIVSFAKLGLLPFKIGDSNVKTDWVYVDNLVLAIILASMGLLDDIPKKGGHPVAAGQPYFISDGSPINSFEFLRPLLRSLDYDLPKAALSVSHALFLGRMFSAIYTVLYPWLNRWWLPQPLILPAEVYKVGVTHYFSFLKAKEELGYVPMVSPREGMAATISYWQERKRKALDGPNIYAWLFVVVGMISLFGVAYLPDIGPVPLLRAISLFFFRSMWVIRAVFVLSMAAHLGEGLYAWHLAKMVDPANARAWFWQTFALGFFSLRFLLKRAKS >Potri.001G281500.4.v4.1 pep chromosome:Pop_tri_v4:1:29521267:29527272:1 gene:Potri.001G281500.v4.1 transcript:Potri.001G281500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281500.v4.1 MAGDTGAPHQISLFRSQITTRRFNDESLRILESLLVFKDVKSQIETRSDLKQFLRLESLSIFHEIKYKTVYQKLFILQFFVRAFALIGDTESCLALKYEALLFRDVKSSSDQSLHVSYLEWLNFAHHSLDQGFYSIATQASEKALACFQKKDVADAKTGDFFENARVIEDIKRLKDRAMRSAASGSVQAQAAEYLKRKVVEKSRTCSSFRTETKSAASTVFRNGIKKRHARELRKHQSLQQNIEF >Potri.001G281500.5.v4.1 pep chromosome:Pop_tri_v4:1:29521365:29527179:1 gene:Potri.001G281500.v4.1 transcript:Potri.001G281500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281500.v4.1 MRIITHSLNLFSLSFSCRFNDESLRILESLLVFKDVKSQIETRSDLKQFLRLESLSIFHEIKYKTVYQKLFILQFFVRAFALIGDTESCLALKYEALLFRDVKSSSDQSLHVSYLEWLNFAHHSLDQGFYSIATQASEKALACFQKKDVADAKTGDFFENARVIEDIKRLKDRAMRSAASGSVQAQAAEYLKRKVVEKSRTCSSFRTETKSAASTVFRNGIKKRHARELRKHQSLQQNIEF >Potri.001G281500.6.v4.1 pep chromosome:Pop_tri_v4:1:29521231:29527178:1 gene:Potri.001G281500.v4.1 transcript:Potri.001G281500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281500.v4.1 MAGDTGAPHQISLFRSQITTRRFNDESLRILESLLVFKDVKSQIETRSDLKQFLRLESLSIFHEIKYKTVYQKLFILQFFVRAFALIGDTESCLALKYEALLFRDVKSSSDQSLHVSYLEWLNFAHHSLDQGFYSIATQASEKALACFQKKDVADAKTGDFFENARVIEDIKRLKDRAMRSAASGSGTGGRVFEKESCREEQNMFFISYRNKECC >Potri.001G281500.3.v4.1 pep chromosome:Pop_tri_v4:1:29520754:29527328:1 gene:Potri.001G281500.v4.1 transcript:Potri.001G281500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281500.v4.1 MAGDTGAPHQISLFRSQITTRRFNDESLRILESLLVFKDVKSQIETRSDLKQFLRLESLSIFHEIKYKTVYQKLFILQFFVRAFALIGDTESCLALKYEALLFRDVKSSSDQSLHVSYLEWLNFAHHSLDQGFYSIATQASEKALACFQKKDVADAKTGDFFENARVIEDIKRLKDRAMRSAASGSVQAQAAEYLKRKVVEKSRTCSSFRTETKSAASTVFRNGIKKRHARELRKHQSLQQNIEF >Potri.001G265000.1.v4.1 pep chromosome:Pop_tri_v4:1:28017356:28018584:1 gene:Potri.001G265000.v4.1 transcript:Potri.001G265000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265000.v4.1 MASITMAASFLSSSALTSQSLATATGRLVVASAARGNEVEKVKWNHDIKKDGGYGRRDVMFAAAAAAVCSVAGIAVAEGPKPGTPEANS >Potri.003G090300.1.v4.1 pep chromosome:Pop_tri_v4:3:11655446:11658379:1 gene:Potri.003G090300.v4.1 transcript:Potri.003G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090300.v4.1 MPVPLAPYPTPPAPYAPTPPPPPPPPPAANGAQSQLVCSGCRNLLLFPVGATSVCCAVCNAVTAVPPPGTEMAQLVCGGCHTLLMYIRGATSVQCSCCHTVNLALEANQVAHVNCGNCRMLLMYQYGARSVKCAVCNFVTPIGVSTSATEEKFNA >Potri.010G068900.1.v4.1 pep chromosome:Pop_tri_v4:10:9708740:9710598:-1 gene:Potri.010G068900.v4.1 transcript:Potri.010G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068900.v4.1 MSDVAKEAWRKYLIQLQVNPLRTKALTSGVIAGLGDALAQKISGIKKLQLRRLLLFSLFGFAYGGPFGHYLHKLMSVIFKGKNDSKTVAKMVLFEQLTSSPLNNLLFMLYYGLVIEGIPWVFIKDKIKKDFTSVQVAAWKVGPVVAWVNNQFVPLQLRVIFQCFVGLCWTIFLNLKARSAVIKDS >Potri.002G202400.1.v4.1 pep chromosome:Pop_tri_v4:2:16621878:16624554:-1 gene:Potri.002G202400.v4.1 transcript:Potri.002G202400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202400.v4.1 MACANFSFTFLVLCSCFATSFIACHGFPVHHNNPFHHRRHPRFASHNYRDALTKSILFFEGQRSGKLPSSQRMTWRRDSGLTDGSTMHVDLVGGYYDAGDNVKFGFPMAFTTTMLSWSVLEFGGLMKGELQNAREAIRWATDYLLKATAHTDTIYVQVGNANKDHACWERPEDMDTPRSVFKVDKHSPGSDVAAETAAALAAASLVFRRCDPTYAKLLVRRAIRVFQFADKYRGAYSNGLKRFVCPFYCSYSGYEDELLWGAAWLHKATKNPTYLNYIQVNGQNLGAAQFDNTFGWDNKHVGARILLSKAFLVQKLQSLHDYKGHADNFICSLIPGAPFSSAQYTPGGLLFKMSDSNMQYVTSTSFLLLTYAKYLTSARTVVNCGGTVVTPKRLRTIAKKQVDYLLGDNPLKMSYMVGYGPRYPQRIHHRGSSLPSIATHPGKIQCTSGFSVMNSQSPNPNVLVGAIVGGPDEHDRFPDERSDYEQSEPATYINAPLVGALAYLAHSSGQLEVLAASPIC >Potri.007G062602.1.v4.1 pep chromosome:Pop_tri_v4:7:7213804:7214400:-1 gene:Potri.007G062602.v4.1 transcript:Potri.007G062602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062602.v4.1 MRLISTSMQARKMLFAAILFICALSSKKILIYNEEMIVACCFIGFIIFSRKSLGKTFKVTLDGRIQAIQEELLQFLNPNEVVLLESNEQQRLLRISLRICGTVVESLSMARCTPKCEKTVQALLCRNLNLKLATLLNATSSRRIRLQDDLVTKFHVLVSAAFSPSCLLKAKKVELIREGLVVLRKVRVGGSLKNKEDE >Potri.010G132600.3.v4.1 pep chromosome:Pop_tri_v4:10:14865601:14871221:-1 gene:Potri.010G132600.v4.1 transcript:Potri.010G132600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132600.v4.1 MPNTYISLASLSLGTKGPLSFSFNEYSNSLNEGNPFLLQSCSSSCGSCCCFSCSCCASSSFTTTATLHRVPINPGFFFGLRQASLIQCSASRRLILGGMGRDYYRVPDYGVDHGCCFKENNGSEKILRRRKGGIGGVRLRGRRCFSGVNDAETVISLLSEEVSGQCLGDGERYWGLSKRVEMVKRGNHSGGTRKGRRRKKNVGLSSLEGDAKCEFESAKVELRKEEMEDQKEKEEKKAVSKGENHRGRRVSSSFSSFYSLSSAGDIESDTESLDEYVDCPKESSSGYRKELRSGEGRSEGQVVEEFRRHRDGTEWKGEVLEARTSARRTGVEWDPRKKSEKKLTEIEETQSERESSQMQSRMARNHESDYRKVSSSHNQIGNEDEKSLAVNLEKETRKRYCQMGDQVKEQSEFRRSYQEITNKQERSGINVEKASQSQKRFSGREENLVDANLVWEGRDERYKRVGETAAKNNIRRATHQLIDTSTTENASTERVSNLQRQSESRMKILVEDRALGSFYETNEQKFQMGGQTSGQVQSRRSSQQLSKISEVHDSSNKKTSILLSETRMKQQEVSKSVVSRSGTEAKEHQSHTDQKALQGTESSKVSGDATNISLDFTNVSLVHASDMTMVTNFGRTSGKRVFDQENELTSAVKAICETRERDDKIEQNLTQSKSSSEVCRATNKLRLHETTSQEAFDSQASANMVSKVGIQQVDVGEGNERTSQTITMLPSPQLLDRGSFHINSSGGIANQEDSRESLECGSSTLYRNSGRRTAVFQQEKYGGNKKDEIYGEASNLTLTEDALGSAHRLEASSMQFVGEFVEKARHEVSTSEIQKEKTVSDTKLAYEVEKQRRKSSSQYDTKDLQLKRQDSMQSSGDSGEKGPSDEMWNVTNPSVQEPPETEAPAGSTAIKSIVVRRTGRSMWNIISNIVRLRWGSHAETPKSTRRSGGKSLSNDSVTSEAWFSGHEPDEISDKNLKRERKSMPKEAASSHQLQLTQTSSPDEVKASDTFGSKNVIRPLEGDTSSPSITLKIGFTSKGISSPSEEENLGCSQDRNNSQVATSSMEVGESSLVLSPPSSTSGPIVEESFGAAKNNISVSGSMELMERPDSEKLIEVAGSEGKGVELKQRKLQRNEQVGRDRFNEWEEAYLCESEQRKIDEMFMREALLDAKKAADSWEVPVGAVMVHHGKIIARGYNLVEELRDSTAHAEMICIREASNQLRSWRLSVLSLCFESFYLLPRFF >Potri.010G132600.1.v4.1 pep chromosome:Pop_tri_v4:10:14865851:14871270:-1 gene:Potri.010G132600.v4.1 transcript:Potri.010G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132600.v4.1 MPNTYISLASLSLGTKGPLSFSFNEYSNSLNEGNPFLLQSCSSSCGSCCCFSCSCCASSSFTTTATLHRVPINPGFFFGLRQASLIQCSASRRLILGGMGRDYYRVPDYGVDHGCCFKENNGSEKILRRRKGGIGGVRLRGRRCFSGVNDAETVISLLSEEVSGQCLGDGERYWGLSKRVEMVKRGNHSGGTRKGRRRKKNVGLSSLEGDAKCEFESAKVELRKEEMEDQKEKEEKKAVSKGENHRGRRVSSSFSSFYSLSSAGDIESDTESLDEYVDCPKESSSGYRKELRSGEGRSEGQVVEEFRRHRDGTEWKGEVLEARTSARRTGVEWDPRKKSEKKLTEIEETQSERESSQMQSRMARNHESDYRKVSSSHNQIGNEDEKSLAVNLEKETRKRYCQMGDQVKEQSEFRRSYQEITNKQERSGINVEKASQSQKRFSGREENLVDANLVWEGRDERYKRVGETAAKNNIRRATHQLIDTSTTENASTERVSNLQRQSESRMKILVEDRALGSFYETNEQKFQMGGQTSGQVQSRRSSQQLSKISEVHDSSNKKTSILLSETRMKQQEVSKSVVSRSGTEAKEHQSHTDQKALQGTESSKVSGDATNISLDFTNVSLVHASDMTMVTNFGRTSGKRVFDQENELTSAVKAICETRERDDKIEQNLTQSKSSSEVCRATNKLRLHETTSQEAFDSQASANMVSKVGIQQVDVGEGNERTSQTITMLPSPQLLDRGSFHINSSGGIANQEDSRESLECGSSTLYRNSGRRTAVFQQEKYGGNKKDEIYGEASNLTLTEDALGSAHRLEASSMQFVGEFVEKARHEVSTSEIQKEKTVSDTKLAYEVEKQRRKSSSQYDTKDLQLKRQDSMQSSGDSGEKGPSDEMWNVTNPSVQEPPETEAPAGSTAIKSIVVRRTGRSMWNIISNIVRLRWGSHAETPKSTRRSGGKSLSNDSVTSEAWFSGHEPDEISDKNLKRERKSMPKEAASSHQLQLTQTSSPDEVKASDTFGSKNVIRPLEGDTSSPSITLKIGFTSKGISSPSEEENLGCSQDRNNSQVATSSMEVGESSLVLSPPSSTSGPIVEESFGAAKNNISVSGSMELMERPDSEKLIEVAGSEGKGVELKQRKLQRNEQVGRDRFNEWEEAYLCESEQRKIDEMFMREALLDAKKAADSWEVPVGAVMVHHGKIIARGYNLVEELRDSTAHAEMICIREASNQLRSWRLSETTLYVTLEPCPMCAGAILQARINTLVWGAPNKLLGADGSWIRLFPDGRDGNGSELADKPAAPVHPFHPKMAIRRGILELECADVMQQFFQLRRRKKEKKEDSPPQPSCLPITNPQSKILGKMQDIFHAMFCL >Potri.019G047200.10.v4.1 pep chromosome:Pop_tri_v4:19:7053194:7054372:-1 gene:Potri.019G047200.v4.1 transcript:Potri.019G047200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047200.v4.1 MSSLTPSKRHRVGYALPPKKTQTFIRPSLIHHADQHNIDLIPIDPSRPLIEQGPLDCVIHKLYGPDWMSQLLHFSSLNPDAPIIDPLDSIQRLHDRISMLQVVSNLKVSERNQVLDVPRQHFFSDSETMMKNSDDLIKKLGFPLIAKPLMADGSETSHKMYLVFDKEGLDKLESRRIIMQEFVNHGGIIFKVYVVGDFVKCVKRKSLPDIKEDKLVTLKGLLPFSQISNLEEKTDCGDGGGGGEFDRVEMPPVDFVEEVAKAMKEETGISLLNFDVIRDARDANRYLIIDINYFPGYEKIPNYESVLTDFLLNSMEKNKSGDVSMVREHDDD >Potri.019G047200.11.v4.1 pep chromosome:Pop_tri_v4:19:7053188:7054158:-1 gene:Potri.019G047200.v4.1 transcript:Potri.019G047200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047200.v4.1 MSQLLHFSSLNPDAPIIDPLDSIQRLHDRISMLQVVSNLKVSERNQVLDVPRQHFFSDSETMMKNSDDLIKKLGFPLIAKPLMADGSETSHKMYLVFDKEGLDKLESRRIIMQEFVNHGGIIFKVYVVGDFVKCVKRKSLPDIKEDKLVTLKGLLPFSQISNLEEKTDCGDGGGGGEFDRVEMPPVDFVEEVAKAMKEETGISLLNFDVIRDARDANRYLIIDINYFPGYEKIPNYESVLTDFLLNSMEKNKSGDVSMVREHDDD >Potri.011G116400.1.v4.1 pep chromosome:Pop_tri_v4:11:14741824:14744871:1 gene:Potri.011G116400.v4.1 transcript:Potri.011G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116400.v4.1 MVGSGGADRSKEAVGMMALHEALRSVCLNSDWTYSVFWTIRPRPRVRSGNGCKVGDDNGSLMLMWEDGFCRGRVGDCLEEIDGEDPVRKSFGKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFDSGIQTIAVIQAGHGLLQLGSSKIIPEDLHFVLRMRHAFESLGYQSGSYLSQLFSPTRNTSSSSLPTKQSAIPTRPPPPLFNWSQRPLPSAASLLSSPNFQNHAARLGFPQAKDEPHMFTLPHSSETRVEEITGEHENDIKWPNGLSFFNALTGRADNAKLLFSPESLGNKGDQNHHPLILEGKSPNPNSDASNMNNSDVMNPNEFLSLDSHPDSARKMENKYKRSFTFPARMTSSSSSTSIDHHQHQAVDYRNPEPGVYSDIMETFLE >Potri.011G116400.3.v4.1 pep chromosome:Pop_tri_v4:11:14741824:14744871:1 gene:Potri.011G116400.v4.1 transcript:Potri.011G116400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116400.v4.1 MLMWEDGFCRGRVGDCLEEIDGEDPVRKSFGKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPPEWTDQFDSGIQTIAVIQAGHGLLQLGSSKIIPEDLHFVLRMRHAFESLGYQSGSYLSQLFSPTRNTSSSSLPTKQSAIPTRPPPPLFNWSQRPLPSAASLLSSPNFQNHAARLGFPQAKDEPHMFTLPHSSETRVEEITGEHENDIKWPNGLSFFNALTGRADNAKLLFSPESLGNKGDQNHHPLILEGKSPNPNSDASNMNNSDVMNPNEFLSLDSHPDSARKMENKYKRSFTFPARMTSSSSSTSIDHHQHQAVDYRNPEPGVYSDIMETFLE >Potri.003G081800.1.v4.1 pep chromosome:Pop_tri_v4:3:10871561:10874122:-1 gene:Potri.003G081800.v4.1 transcript:Potri.003G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081800.v4.1 MNPEYDYLFKLLLIGDSGVGKSCLLLRFADDSYLESYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIVVYDVTDQESFNNVKQWLNEIDRYASENVNKLLVGNKCDLTANKVVSYETAKAFADEIGIPFMETSAKNATNVEQAFMAMAAEIKNRMASQPAMNNARPPTVQIRGQPVNQKSGCCSS >Potri.005G238600.1.v4.1 pep chromosome:Pop_tri_v4:5:23614353:23619923:1 gene:Potri.005G238600.v4.1 transcript:Potri.005G238600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238600.v4.1 MSVAATTSPAFGTLYVSPSPRSRGTPRVTMALRSVVSVGSILTKLQQDCATPLPVIRHVADSMTADMRAGLAVDGGSNLKMILSYVDSLPSGNEKGLFYALDLGGTNFRALRVQLGGKEERVVATEFEQLSIPQGLMFGTSEELFDFIASTLAGFAEKESKKFHLPHGRQREIGFTFSFPVKQTSIDSGILMKWTKGFAVSGTAGRDVVACLNEAMERQGLDMRVSALVNDTVGTLAGARYWDDDVMVAVILGTGTNACYVERTDCIPKLQGPKSSSGRTIINTEWGAFSDGIPLTVFDRDMDAASINPGEQIFEKTISGMYLGEIARRALVTMAGEGSLFGRAVPNKLSTPFALRTPDICAMQQDNSDDLQAVGSILHNVAGVESSLSARKIVLEVCDALVKRGGRLAGAGIVGILQKMEEDSKGTIFNKRTVVAMDGGLYEHYPQYRSYLQDAVTELLGSEISKNIVIEHSKDGSGIGAALLAATNSKYDHDF >Potri.018G063600.2.v4.1 pep chromosome:Pop_tri_v4:18:7528234:7531450:1 gene:Potri.018G063600.v4.1 transcript:Potri.018G063600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063600.v4.1 MGREREAPQKPQQQQEEVVSYTVEQLVAVNPYNPDILPDLENYVNEQVSSLTYSLDANLCLLRLYQFEPERMSTQIVARILIKALMAMPAPDFGLCLFLIPERVQMEEQFKTLIVLSHYLETGRFRQFWDEAAKNRHIVEAVPGFEQAIQTYAIHLLSLTYQKVPRSILAEAINIEGLSLDKFLEQQVSNCGWTLEKGHGQVQLIVLPSNEFNHPELKKNTADSIPLEHVTRIFPILG >Potri.008G108800.4.v4.1 pep chromosome:Pop_tri_v4:8:6887270:6890836:1 gene:Potri.008G108800.v4.1 transcript:Potri.008G108800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108800.v4.1 MSEGTNMVMGSSEDGTDISQDDKGTTEETPEDAILLRQTSVNLVPFIGQRFASQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSTRCGCQAFMRIVKRADFDVPEWRVTGFSNIHNHEMFKLNEAHLLPASCTMSPDDKSRICIFAKAGMSVRQMLRLMELEKGVKLGCLPYTEIDVRNLLLSFRNVNQDNDAIDLIAMCKKLKDEDHNFKYDFKIDCNNRLEHIAWSYASSVRLYEAFGDAVVFDTTHHLDAYDMWLGIWVGVDNHGMTCFFSCVLLREENMESFSWALKAFVNFMNGKAPQTIITDQNMWLKEAIAIEMPDTKHAFCIWHIISKFSDWFSILLGSCYDDWKAEFLRLYNLESVQDFEEGWSEMVEKYELHANKHITSLYAFRSFWALSFLRNYFFGGTMDVCQSGSITAFIQRFLSAQSRLDYFVDELADIVDFKPELPQKLHKVYLKTGSPIESHAASVLTPYAFGKFQEELVLAPQYASFPVDEYCFQVRHHTQISGGCKVIWDSCQGNISCSCSWFEYSGILCRHVLRVLSTNNCFQIPDNYLPTRWQCVSSSSTSRMHSEKIQLLESMASTLMAESVETEERLDVACEQISMVLSHIRDLPTQTHGESAYNCPPDSLILPEVEDSGGIGNFTIENPDDAITLAKLKDRQPRVGVDISRKRRHHSGPCCGHFGHDATDCPMTRSDQMNGTTLGYL >Potri.008G108800.3.v4.1 pep chromosome:Pop_tri_v4:8:6887087:6891286:1 gene:Potri.008G108800.v4.1 transcript:Potri.008G108800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108800.v4.1 MSEGTNMVMGSSEDGTDISQDDKGTTEETPEDAILLRQTSVNLVPFIGQRFASQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSTRCGCQAFMRIVKRADFDVPEWRVTGFSNIHNHEMFKLNEAHLLPASCTMSPDDKSRICIFAKAGMSVRQMLRLMELEKGVKLGCLPYTEIDVRNLLLSFRNVNQDNDAIDLIAMCKKLKDEDHNFKYDFKIDCNNRLEHIAWSYASSVRLYEAFGDAVVFDTTHHLDAYDMWLGIWVGVDNHGMTCFFSCVLLREENMESFSWALKAFVNFMNGKAPQTIITDQNMWLKEAIAIEMPDTKHAFCIWHIISKFSDWFSILLGSCYDDWKAEFLRLYNLESVQDFEEGWSEMVEKYELHANKHITSLYAFRSFWALSFLRNYFFGGTMDVCQSGSITAFIQRFLSAQSRLDYFVDELADIVDFKPELPQKLHKVYLKTGSPIESHAASVLTPYAFGKFQEELVLAPQYASFPVDEYCFQVRHHTQISGGCKVIWDSCQGNISCSCSWFEYSGILCRHVLRVLSTNNCFQIPDNYLPTRWQCVSSSSTSRMHSEKIQLLESMASTLMAESVETEERLDVACEQISMVLSHIRDLPTQTHGESAYNCPPDSLILPEVEDSGGIGNFTIENPDDAITLAKLKDRQPRVGVDISRKRRHHSGPCCGHFGHDATDCPMTRSDQMNGTTLGYL >Potri.008G108800.2.v4.1 pep chromosome:Pop_tri_v4:8:6887240:6891285:1 gene:Potri.008G108800.v4.1 transcript:Potri.008G108800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108800.v4.1 MRSAPLPFNHPPLSVARRSDCKNWLGMSEGTNMVMGSSEDGTDISQDDKGTTEETPEDAILLRQTSVNLVPFIGQRFASQDAAYEFYCSFAKQCGFSIRRHRTRGKDGVGRGVTRRDFTCHRGGYPQMKLSEDGKMQRNRKSTRCGCQAFMRIVKRADFDVPEWRVTGFSNIHNHEMFKLNEAHLLPASCTMSPDDKSRICIFAKAGMSVRQMLRLMELEKGVKLGCLPYTEIDVRNLLLSFRNVNQDNDAIDLIAMCKKLKDEDHNFKYDFKIDCNNRLEHIAWSYASSVRLYEAFGDAVVFDTTHHLDAYDMWLGIWVGVDNHGMTCFFSCVLLREENMESFSWALKAFVNFMNGKAPQTIITDQNMWLKEAIAIEMPDTKHAFCIWHIISKFSDWFSILLGSCYDDWKAEFLRLYNLESVQDFEEGWSEMVEKYELHANKHITSLYAFRSFWALSFLRNYFFGGTMDVCQSGSITAFIQRFLSAQSRLDYFVDELADIVDFKPELPQKLHKVYLKTGSPIESHAASVLTPYAFGKFQEELVLAPQYASFPVDEYCFQVRHHTQISGGCKVIWDSCQGNISCSCSWFEYSGILCRHVLRVLSTNNCFQIPDNYLPTRWQCVSSSSTSRMHSEKIQLLESMASTLMAESVETEERLDVACEQISMVLSHIRDLPTQTHGESAYNCPPDSLILPEVEDSGGIGNFTIENPDDAITLAKLKDRQPRVGVDISRKRRHHSGPCCGHFGHDATDCPMTRSDQMNGTTLGYL >Potri.001G266400.6.v4.1 pep chromosome:Pop_tri_v4:1:28121883:28127176:1 gene:Potri.001G266400.v4.1 transcript:Potri.001G266400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266400.v4.1 MKQDKTVVPMSTGHAPSERGAGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRITNPVRNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDNEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGMLSSLCGGSRKKGSKSSKKGSDKKKSGKHVDPTVPIFSLDDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLLYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGGFAELYLFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.001G266400.4.v4.1 pep chromosome:Pop_tri_v4:1:28119663:28127056:1 gene:Potri.001G266400.v4.1 transcript:Potri.001G266400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266400.v4.1 MESEGETGAKPMKSTGGQVCQICGDNVGKTADGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRLNGSPAILGDREEDGDADDGASDFNYSSENQNQKQRIAERMLSWQMTYGRGEDSGAPNYDKEVSHNHIPLLTNGHEVSGELSAASPEHVSMASPGAGAGGGKRIPYASDVHQSSNVRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKTVVPMSTGHAPSERGAGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRITNPVRNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDNEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGMLSSLCGGSRKKGSKSSKKGSDKKKSGKHVDPTVPIFSLDDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLLYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGGFAELYLFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.001G266400.5.v4.1 pep chromosome:Pop_tri_v4:1:28119652:28127034:1 gene:Potri.001G266400.v4.1 transcript:Potri.001G266400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266400.v4.1 MESEGETGAKPMKSTGGQVCQICGDNVGKTADGEPFVACDVCAFPVCRPCYEYERKDGNQSCPQCKTRYKRLNGSPAILGDREEDGDADDGASDFNYSSENQNQKQRIAERMLSWQMTYGRGEDSGAPNYDKEVSHNHIPLLTNGHEVSGELSAASPEHVSMASPGAGAGGGKRIPYASDVHQSSNVRVVDPVREFGSPGLGNVAWKERVDGWKMKQDKTVVPMSTGHAPSERGAGDIDAATDVLVDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVILCIFLHYRITNPVRNAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLALRYDNEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPIDKVSCYVSDDGAAMLTFEALSETSEFARKWVPFCKKYSIEPRAPEWYFAQKIDYLKDKVQPSFVKDRRAMKREYEEFKIRINGLVAKAQKVPEEGWIMQDGTPWPGNNTRDHPGMIQVFLGQSGGLDSDGNELPRLVYVSREKRPGFQHHKKAGAMNSLVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFMMDPNLGKHVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGMLSSLCGGSRKKGSKSSKKGSDKKKSGKHVDPTVPIFSLDDIEEGVEGAGFDDEKSLLMSQMSLEKRFGQSAVFVASTLMENGGVPQSATPETLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYGGRLKWLERFAYVNTTIYPITAIPLLLYCTLPAICLLTDKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGGFAELYLFKWTTLLIPPTTLLIVNLVGVVAGISHAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSILLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.005G083100.1.v4.1 pep chromosome:Pop_tri_v4:5:5688061:5694376:-1 gene:Potri.005G083100.v4.1 transcript:Potri.005G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083100.v4.1 MASISTTPKFSVSQISLNRFLFSPNTTKLSFPPSISFPSTSTSLLKTKTITSSLPPSSSPSPSLTRPVYVPNKIPDPNYVRIFDTTLRDGEQSPGATLTSKEKLDIARQLAKLGVDIIEAGFPAASKDDFEAVKMIANEVGNQVDSEGYVPVICGLSRCNEKDIRAAWEAVKNAKRPRIHTFIATSGIHMEYKLRKSKEEVVEIASSMVRFARSLGCDDVEFSPEDAGRSEREFLYHILGEVIKAGATTLNIPDTVGITTPSEFGQLIADIKANTPGIENVIISTHCQNDLGLSTANTLSGACAGARQVEVTINGIGERAGNASLEEVVMVIKCRGEHVLGGLYTGINTRHITMASKMVEEYTGLHVQPHKAIVGANAFAHESGIHQDGMLKHKGTYEIISPEDIGLERSNDAGIVLGKLSGRHALKDRLNELGYELDDAQLGNIFWRFKAVAETKKRVTDADLIALVSDEVFQPENVWKLHDLQVTCGTLGLSTATVKLLSADGEEHVACSLGTGPVDSAYKAVNLIVKEPVTLLEYSMIAVTEGIDAIATTRVVIRGENQHAPTHALTDEPFQRTFSGSGAGMDIVVSSVKAYVGALNKMLAFKQQPSMKRVSTERTPVSA >Potri.013G045333.1.v4.1 pep chromosome:Pop_tri_v4:13:3148804:3164327:1 gene:Potri.013G045333.v4.1 transcript:Potri.013G045333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045333.v4.1 MQVLTYILLAIMLFFGAFGPGARTCYAVRYVNKMEHSRTGLRAFQKRDIPAGGGFPAPARNKPRSQVLVPPPPGAIS >Potri.013G045333.2.v4.1 pep chromosome:Pop_tri_v4:13:3148803:3156678:1 gene:Potri.013G045333.v4.1 transcript:Potri.013G045333.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045333.v4.1 MQVLTYILLAIMLFFGAFGPGARTCYAVRYVNKMEHSRTGLRAFQKRDIPAGGGFPAPARNKPRSQVLVPPPPGAIS >Potri.008G165600.10.v4.1 pep chromosome:Pop_tri_v4:8:11420041:11422270:1 gene:Potri.008G165600.v4.1 transcript:Potri.008G165600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165600.v4.1 MASTASNNAGFCTRFKPHILMVLAQIGYTFLYFITEASFNHGMNPNVYITYRHIISGVVMFPFAYFLERKQRPKLTIALFVEIFILSLLGVGLTLNMYFVSMRYTSPTFVASMVNTIASLTFVIAVVLRLEVLDLRNPRGIAKVLGTLVSLAGVTTMTLYRGPIMKHLWHPLIHIQGTTGNNHESWLKGSILTVASCITWSIWYIMQAFTLKRYPAQLSLTTWMSFVGAAQSAVFTAIVQHKRAAWTIGFDIDFWSIVYGGIVVSGLIIFFQLWCTEEKGPVFVTMFNPLSTILVAILAYFVLGEKLYLGSILGAVIVIIGLYLLLWGKEEDQQVHSKEEEQSRLAYDEQKELTIQVVTSTESKVSPGGP >Potri.011G077900.2.v4.1 pep chromosome:Pop_tri_v4:11:8378429:8381341:-1 gene:Potri.011G077900.v4.1 transcript:Potri.011G077900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077900.v4.1 MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHVICTGNLSIKEVHDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSNITYDANPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTATTHSAH >Potri.015G012900.1.v4.1 pep chromosome:Pop_tri_v4:15:825886:833734:1 gene:Potri.015G012900.v4.1 transcript:Potri.015G012900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012900.v4.1 MAFAAVRCKPTKVTASQFRYFMFNYMHVGSRLSSSHCANKIATRDDHFANTPYHFTSFKPVSLRGEFVEKSTQLLENMRSSSSSSDRNWRETVSSNSGGGSNYGDPPEVWQPPGDGVAKMRVSDGGGDFKVWSRGGSGSKDGYWGGSNLGSSFPTPKEICKALDNFVIGQRRAKKVLSVAVYNHYKRIYLESVKKWSNTDSGNEKSDIMDDDGVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTAADYNVAAAQQGIIYIDEIDKITKKAESVNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGVTSTAVTSSLLETAESSDLVSYGLIPEFVGRFPILVSLAALTEDQLVQVLTEPKNALGKQYKKLFQMNDVKLHVTENALRSIARKAITKNTGARALRSILENILMDSMYEIPDVRTGDDIIDAVVVDEVAIGSEERSVGAKILYGRGALDHYLSKEKLKCSKKTTEGSDGEPEVESELPSIVASM >Potri.015G012900.4.v4.1 pep chromosome:Pop_tri_v4:15:825788:833365:1 gene:Potri.015G012900.v4.1 transcript:Potri.015G012900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012900.v4.1 MAFAAVRCKPTKVTASQFRYFMFNYMHVGSRLSSSHCANKIATRDDHFANTPYHFTSFKPVSLRGEFVEKSTQLLENMRSSSSSSDRNWRETVSSNSGGGSNYGDPPEVWQPPGDGVAKMRVSDGGGDFKVWSRGGSGSKDGYWGGSNLGSSFPTPKEICKALDNFVIGQRRAKKVLSVAVYNHYKRIYLESVKKWSNTDSGNEKSDIMDDDGVELEKSNILLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLTAADYNVAAAQQGIIYIDEIDKITKKAESVNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKDILFICGGAFIDLEKTISERRQDSSIGFGAPVRANMRTGGVTSTAVTSSLLETAESSDLVSYGLIPEFVGRFPILVSLAALTEDQLVQVLTEPKNALGKQYKKLFQMNDVKLHVTENALRSIARKAITKNTGARALRSILENILMDSMYEIPDVRTGDDIIDAVVVDEVAIGSEERSVGAKILYGRGALDHYLSKEKLKCSKKTTEGSDGEPEVESELPSIVASM >Potri.007G084901.1.v4.1 pep chromosome:Pop_tri_v4:7:10966500:10973409:1 gene:Potri.007G084901.v4.1 transcript:Potri.007G084901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084901.v4.1 MKMVDDMHHHVRWRAMYAVEEFSKYLHPELQNNYNQKVLPALTKAMDDFSDSKIQVQAAMATYHFVEYCTSNMLEPHLDEIISKLLRCLQKGKQLLKLWALSALAAIAKSSQDRFLEYYRTVMPYLKVVMTKAEGESNSKLLSATVSCITAIWTVFGKDKFGDDTQQVVQLLVSTPISNLEIHDPMRIEGLRAWGRLCKCLGHRFQPYMEVAIPCLLQSARLTLPDDANVEESDERNRMIQIKTETLEEKATACVLLRDCVAELKEGIDLWIDEVAETLVPLLNFYEHAEVRIAAVLAMPEILKSSKAAIEKRLLQKSPFEKLCSDIIPALVEALVKEEVIKISAVMLDSLEDCLELSGPVLNIDQIKRFLSVIMDVLDTSISIPKVDEASEQGEKVSKKVCACLKIFMKTYKGSLLQFFDQLLSRMEHMWVKDKTVKERKIALKIFTDVVEEFREEALKFCESELLLLFRACNDDEPEVQEVAAHGIGVAAAFGGSIFKPLVGEAVSALNANISDSMALHRDYIKAHDAAVTALGQIYLFHKDRINASEVFSTWLSHLPIKNNLLEVKIAHDLLCSIVEISEDELLRQDFAYLPKIIAAFAEILWADDETLATEETVNRVIKQLTDFKSRLPSNIWSSILSTLEPSRQNVLLLSLSS >Potri.007G084901.3.v4.1 pep chromosome:Pop_tri_v4:7:10968536:10973409:1 gene:Potri.007G084901.v4.1 transcript:Potri.007G084901.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084901.v4.1 MRIEGLRAWGRLCKCLGHRFQPYMEVAIPCLLQSARLTLPDDANVEESDERNRMIQIKTETLEEKATACVLLRDCVAELKEGIDLWIDEVAETLVPLLNFYEHAEVRIAAVLAMPEILKSSKAAIEKRLLQKSPFEKLCSDIIPALVEALVKEEVIKISAVMLDSLEDCLELSGPVLNIDQIKRFLSVIMDVLDTSISIPKVDEASEQGEKVSKKVCACLKIFMKTYKGSLLQFFDQLLSRMEHMWVKDKTVKERKIALKIFTDVVEEFREEALKFCESELLLLFRACNDDEPEVQEVAAHGIGVAAAFGGSIFKPLVGEAVSALNANISDSMALHRDYIKAHDAAVTALGQIYLFHKDRINASEVFSTWLSHLPIKNNLLEVKIAHDLLCSIVEISEDELLRQDFAYLPKIIAAFAEILWADDETLATEETVNRVIKQLTDFKSRLPSNIWSSILSTLEPSRQNVLLLSLSS >Potri.007G084901.2.v4.1 pep chromosome:Pop_tri_v4:7:10967377:10973418:1 gene:Potri.007G084901.v4.1 transcript:Potri.007G084901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084901.v4.1 MSHIDTFVLSFQVQAAMATYHFVEYCTSNMLEPHLDEIISKLLRCLQKGKQLLKLWALSALAAIAKSSQDRFLEYYRTVMPYLKVVMTKAEGESNSKLLSATVSCITAIWTVFGKDKFGDDTQQVVQLLVSTPISNLEIHDPMRIEGLRAWGRLCKCLGHRFQPYMEVAIPCLLQSARLTLPDDANVEESDERNRMIQIKTETLEEKATACVLLRDCVAELKEGIDLWIDEVAETLVPLLNFYEHAEVRIAAVLAMPEILKSSKAAIEKRLLQKSPFEKLCSDIIPALVEALVKEEVIKISAVMLDSLEDCLELSGPVLNIDQIKRFLSVIMDVLDTSISIPKVDEASEQGEKVSKKVCACLKIFMKTYKGSLLQFFDQLLSRMEHMWVKDKTVKERKIALKIFTDVVEEFREEALKFCESELLLLFRACNDDEPEVQEVAAHGIGVAAAFGGSIFKPLVGEAVSALNANISDSMALHRDYIKAHDAAVTALGQIYLFHKDRINASEVFSTWLSHLPIKNNLLEVKIAHDLLCSIVEISEDELLRQDFAYLPKIIAAFAEILWADDETLATEETVNRVIKQLTDFKSRLPSNIWSSILSTLEPSRQNVLLLSLSS >Potri.001G013000.1.v4.1 pep chromosome:Pop_tri_v4:1:907259:908985:-1 gene:Potri.001G013000.v4.1 transcript:Potri.001G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013000.v4.1 MHLSKAIVAAFFFVVLLGGTLAHGQLTPTFYDETCPNVSSIIRNVITETVVSDRRIGGSLIRLHFHDCFVNGCDGSLLLDNTDTIESEKEANGNNNSARGFEVVDRMKALLESACPTTVSCADILAIAAEESVFLAGGPNWTVPLGRRDSTTASRDAANAFLPAPFFTLDQLRESFTNVSLNNNSDLVALSGAHTFGRAQCSTFVFRLYDFNDTGAPDSTIDPPFLEALQKLCPENGNGSVITDLDVTTADAFDNKYYSNLQGKRGLLQTDQELFSTPGADDVIALVNAFSANQTAFFESFVESMIRMGNLSPLTGTEGEIRLNCRVVNANLAGPDSMLVSSI >Potri.018G067900.2.v4.1 pep chromosome:Pop_tri_v4:18:8168355:8177403:1 gene:Potri.018G067900.v4.1 transcript:Potri.018G067900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067900.v4.1 MARLVTLRRALFVPEGLRRVVLGTSSQTRNFATKGKRKSKSDGSESGEENTSKKDLALQQALDQITSQFGKGSIMWFGRSEAPKNVPVVSTGSFALDIALGCGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFMDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSQSQTVLIFINQVRAKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGTQVQVKIAKNKLAPPFKTVQFELEFGKGISRESEIIELAVKHKFIKKNGAFYDCNGRKYHGKEALREFLAHNDDVQEELMMKLREKLLEAETDQELKDETTDGEPTQESIPPDSTDEEVIAAAEAYT >Potri.011G115000.2.v4.1 pep chromosome:Pop_tri_v4:11:14522279:14525727:-1 gene:Potri.011G115000.v4.1 transcript:Potri.011G115000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G115000.v4.1 MASLEVESGSGIKVHVFSSSSELLESLHEKWGSVKKQPYPAMYSSVYGGIILDPAMMVIPIDDHMVHRGHGVFDTAIILDGHLYELDVHLDRFLRSASKARIASPFPCSTLRSILIQLAAASKCKKGTLRYWLSAGPGNFLLSPAGCPTSAFYAVVIDEDFSQRKEGVKVITSTIPMKSPMFATMKNVNYLPNVLSVMEAEDQGAFASIWIDEEGYIAEGPNVNVAFISQDKELILPIFDKILSGRTALRLLQLAPKLIEQGRLKSVKTGNLTVEEAKGAAEMMYVGSTLPILPIVMWDEQPIGDGKVGELTMALSDLLWDDMVAGPATLRIPVPYEE >Potri.001G175600.1.v4.1 pep chromosome:Pop_tri_v4:1:15096908:15101396:1 gene:Potri.001G175600.v4.1 transcript:Potri.001G175600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175600.v4.1 MKNTAYVVVLVWSFCWLPLIFGFTNSYTTASTVVFESATSESTVNYNYDRIDEVKKHCAPFLASASDLKHEVDRVYNIEDLYFVNGDWRQEVGQSPLLPYIDPGIQKSNFSDFKTPLNLASFWIMDVDRSHRSKKSVSVNGFLVMGTTLDSFRDKPYDGSPHFQIWSGHTQLSISFQGIYTESKNNGGERVMCLLGSTMLPSRESDSSNPWEWAKANFNQPPLLQDDQILLVLRYPMSFTLTSRVIQGEMKSLNSKSNLKYFDEVRILSQLGQSVKYEFGSESLVSKSCAPYPYNDSFVNGGIDIYKGTGFCEILGMITGEGAGPFTIVPNWRCSGTDAYCSKLGPFVSDKEIKATDGSFKGVKLAMQNVICEQKAAPGNASSARVAAVFRAIPPLENQYAVAMRSGLSNMTVVAEGIWKSSTGQLCMVGCLGLVDSDGSTCDSRICLYIPLSFSIKQRSIIFGSFSSTSRINDSYFPLSFEKLVQPTELWNYFRNSHPFYSYSKIEQAGVILEKNEPFSFQTVVKKSLLHFPKVEDTETLRTGLSLLAEDLTLHRSAFPDPLPRSQPKKRTHFQIEILSLGPMFGRFWNVSFGDEETLYDNESQYTQKQLLMNVSAQITLDGEAYSNFSVLFLEGLYDPLVGKMYLAGCRDVRASWNILFESNDLEAGLDCLIEAMVSYPPTTARWLVNPTARISISSQRGEDDPLYFSTVKLQTRPIMYRRQREDILSRRGVEGILRILTLSFAIACISSQLFYINHEVDSVPFMSLVMLGVQALGYSLPLITGAEALFKRKSSESYESSSYYLEKNQWLNVIDYVVKLLVMVAFLVTLRLCQKVWKSRIRLLSRSPREPHRVPSEKWVFLTTSTIHVIGYVIVLIIHSAKTSQISVQMVEYLDSSGRSHTIREWETKLEEYVGLAQDFFLLPQVIGNIIWQINCKPLRKLYFIGITVVRLLPHFYDYIESPVRNPYFAEKYEFVNPNMDFYSKFGDVAIPATAIFLAVAVYIQQKWNYEKLSQTLTIGRRRLLPLGSRAYERLPSKSVEAELASGVNGNTKLETEHEEEE >Potri.001G175600.2.v4.1 pep chromosome:Pop_tri_v4:1:15097369:15101215:1 gene:Potri.001G175600.v4.1 transcript:Potri.001G175600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175600.v4.1 MKNTAYVVVLVWSFCWLPLIFGFTNSYTTASTVVFESATSESTVNYNYDRIDEVKKHCAPFLASASDLKHEVDRVYNIEDLYFVNGDWRQEVGQSPLLPYIDPGIQKSNFSDFKTPLNLASFWIMDVDRSHRSKKSVSVNGFLVMGTTLDSFRDKPYDGSPHFQIWSGHTQLSISFQGIYTESKNNGGERVMCLLGSTMLPSRESDSSNPWEWAKANFNQPPLLQDDQILLVLRYPMSFTLTSRVIQGEMKSLNSKSNLKYFDEVRILSQLGQSVKYEFGSESLVSKSCAPYPYNDSFVNGGIDIYKGTGFCEILGMITGEGAGPFTIVPNWRCSGTDAYCSKLGPFVSDKEIKATDGSFKGVKLAMQNVICEQKAAPGNASSARVAAVFRAIPPLENQYAVAMRSGLSNMTVVAEGIWKSSTGQLCMVGCLGLVDSDGSTCDSRICLYIPLSFSIKQRSIIFGSFSSTSRINDSYFPLSFEKLVQPTELWNYFRNSHPFYSYSKIEQAGVILEKNEPFSFQTVVKKSLLHFPKVEDTETLRTGLSLLAEDLTLHRSAFPDPLPRSQPKKRTHFQIEILSLGPMFGRFWNVSFGDEETLYDNESQYTQKQLLMNVSAQITLDGEAYSNFSVLFLEGLYDPLVGKMYLAGCRDVRASWNILFESNDLEAGLDCLIEAMVSYPPTTARWLVNPTARISISSQRGEDDPLYFSTVKLQTRPIMYRRQREDILSRRGVEGILRILTLSFAIACISSQLFYINHEVDSVPFMSLVMLGVQALGYSLPLITGAEALFKRKSSESYESSSYYLEKNQWLNVIDYVVKLLVMVAFLVTLRLCQKVWKSRIRLLSRSPREPHRVPSEKWVFLTTSTIHVIGYVIVLIIHSAKTSQISVQMVEYLDSSGRSHTIREWETKLEEYVGLAQDFFLLPQVIGNIIWQINCKPLRKLYFIGITVVRLLPHFYDYIESPVRNPYFAEKYEFVNPNMDFYSKFGDVAIPATAIFLAVAVYIQQKWNYEKLSQTLTIGRRRLLPLGSRAYERLPSKSVEAELASGVNGNTKLETEHEEEE >Potri.011G149800.2.v4.1 pep chromosome:Pop_tri_v4:11:17699568:17701601:1 gene:Potri.011G149800.v4.1 transcript:Potri.011G149800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149800.v4.1 MDSFSSHSSMGSGSPQISAEDLKDQLKNQLAQAYAQEFLETVREKCFEKCITRPGSSLSGSESSCTSRCVERYIEATGIISRALFSAPR >Potri.001G215132.1.v4.1 pep chromosome:Pop_tri_v4:1:22182325:22182977:-1 gene:Potri.001G215132.v4.1 transcript:Potri.001G215132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215132.v4.1 MIAMGKKHSRTEWVLAVLPKTTLLTLFNLSLVGVAEGGGRDNGGEDVVHSLKVSLEDLYLGTSKKHSLTWNVICSMCNGKGSKSGGSIKCGVCQGSGMKVSIRQLGPSMIQQMQRPCNECKGSGETIRDKDRCPQCKGEKDVPENKVLEVIVEKGMQNGQKITFPGEADEALCCISTLLILTVLLF >Potri.014G145550.1.v4.1 pep chromosome:Pop_tri_v4:14:9951240:9952338:1 gene:Potri.014G145550.v4.1 transcript:Potri.014G145550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145550.v4.1 MTSCMQSKPDTSCAHCAHQNVDDERKIDSLKYHRERSAIAFALISTPEGAPILVARNLRACTDSHAAINEISKTVGREITVRDSNRFIILEMGFALAGIIGILTGNWGVSFPFLNDRSSNGTSQERADSFGGETPSLNAAMENFSSLSGSYSPFLAAYHEPE >Potri.005G247500.1.v4.1 pep chromosome:Pop_tri_v4:5:24183309:24188770:-1 gene:Potri.005G247500.v4.1 transcript:Potri.005G247500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247500.v4.1 MSSETEAAQFSNGTDAVQVISPNDDHRVTPGDDERRHLNDAVRSLPSHAVKDLLSAGVCIRCIFRLFGISDHAYFRSSLSPSVMCNILGEGDSSSSESMESELKPEVCRICLGILQFIYRDEKEMLVKRKNGDELAASIAELTRQEGHQIDGFSLEVSIPPIIFENEQAVRLYMKKKYGSELWFQERLSECISTKDALKFAIVNPLEIILDVKSGPSYVRIRLTYTETKPSIIPSKSVDRNGGSKRRKTGTNNGLDTVHVELVGNGTGCCDLSLGQGSPASGRSSTGLAEHESSECSNFPLERVNEPCHLVFLCYRTPIYFGGRYLKFSRNVSQTRWIIDDERMGEASIEEIIGGNILPMCQGDSYKFHAAGREDIDVRMLGSGRPFLVEVQNARKVPSEALVKEIETRMNNLGNKLVGVKNLSLVTSHSWDLMREGEAEKQKQYSALVWISRPLEDEDLQCIASHKDLQILQRTPVRVLHRRSPLERGKIIHWMKIEQITGSSQYFLLHLCTQAGTYIKEFVHGDLGRTHPSIGSILRCRAEILQLDVTDVKMDCFLAE >Potri.014G088100.1.v4.1 pep chromosome:Pop_tri_v4:14:5727613:5729281:-1 gene:Potri.014G088100.v4.1 transcript:Potri.014G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088100.v4.1 MEETNSHRIHTFSLLILTLSLFSTLSLSSFSPTDNHLISCGSTVDTTVDNRRFISDSNPNSPLLSSAKTIPLTNQSPSPYSIQIYNSARIFKKPSKYAFEIKEPGTHMVRLHFHAFVSSNLDLSDAQFHVLVNGYVALSNFSVGKVRDFVVKEYFIWADSDKIVINFVPAKKGNYGFVNAIEVISAPKDLIADVGFLVNGNKDEKFVGLTKQALETVHRINVGGPKVTPFNDTVWRTWIPDYEFLKSSNDLSKRMYFGGRIHYQIGGASREVGPDFVYKTARVITNTNGSVLNVNMTWEFPVDEGYKYLVRMHFCDIASISLGLIHFNVYVNGYLAYEDLDLSSITYTLASPFYVDFVVDDDSSGVLRVSVGPTNKTMAYGVDGILNGVEIMKMNNSMGSLGGKICAGMVLKSWPRGNIGVLVPFVAGLCLLLSLALVMHRRTVGMRNPVSWSKLPTEVPGVDLNHGNLHFSGKA >Potri.014G088100.2.v4.1 pep chromosome:Pop_tri_v4:14:5727542:5729220:-1 gene:Potri.014G088100.v4.1 transcript:Potri.014G088100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088100.v4.1 MVRLHFHAFVSSNLDLSDAQFHVLVNGYVALSNFSVGKVRDFVVKEYFIWADSDKIVINFVPAKKGNYGFVNAIEVISAPKDLIADVGFLVNGNKDEKFVGLTKQALETVHRINVGGPKVTPFNDTVWRTWIPDYEFLKSSNDLSKRMYFGGRIHYQIGGASREVGPDFVYKTARVITNTNGSVLNVNMTWEFPVDEGYKYLVRMHFCDIASISLGLIHFNVYVNGYLAYEDLDLSSITYTLASPFYVDFVVDDDSSGVLRVSVGPTNKTMAYGVDGILNGVEIMKMNNSMGSLGGKICAGMVLKSWPRGNIGVLVPFVAGLCLLLSLALVMHRRTVGMRNPVSWSKLPTEVPGVDLNHGNLHFSGKA >Potri.008G027700.2.v4.1 pep chromosome:Pop_tri_v4:8:1445975:1447171:1 gene:Potri.008G027700.v4.1 transcript:Potri.008G027700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027700.v4.1 MSTMTARSFPIFVAILLLVAMQSRTIQSKPSGDPFGFIKHLEGCHRNVSVKGLHELKRYLEKFGYLKYGHQGKKGHNHANDDEFDDLLESAIKAYQQNHHLNVTGSLDNSTVHEMMQPRCGVPDVVNGTKHYHTHKSIHTLAHYNFFPENPRWTKRQLTYKFRSSVQVPAAQNIRSICAKAFQRWAQVTEFTFQEVSGSSPADIVIGFHRRDHNDGSAFDGPGGTLAHATPPVRNAMFHFDADENWSENPGPNQMDLESVAVHEIGHLLGLDHNDDPNADAIMSSRIPSGIAKRDLRADDIQGVRALYGFAN >Potri.016G081200.1.v4.1 pep chromosome:Pop_tri_v4:16:6267290:6267713:1 gene:Potri.016G081200.v4.1 transcript:Potri.016G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G081200.v4.1 MEEKYLDALKVYEELVKEEPRDFRLYLCQGIIYTLLRKKDEAEKKFEQFKKLVPKNHPYREYLVDNMFATKFFSDKVERERS >Potri.013G156300.1.v4.1 pep chromosome:Pop_tri_v4:13:15133599:15134817:-1 gene:Potri.013G156300.v4.1 transcript:Potri.013G156300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156300.v4.1 MEPPTSLSTISHQSSHLMKKFLAFDPVSDFIHMLSDLIKKFMASGVMVHVVKWFTKQNVTAVVAVAVIGLLMICCCCKCLKKNRYGGRTMKAPGQDFRILRDDFEANPADYFRNLRSL >Potri.009G159900.1.v4.1 pep chromosome:Pop_tri_v4:9:12384948:12389694:1 gene:Potri.009G159900.v4.1 transcript:Potri.009G159900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159900.v4.1 MRPIRLPEPPSPTLGVPEIFENGGYSVIRRAVVIGNGIPGSENQSLGLIHALGLADNHVLYRVTRPSGGINEWLRWLPVSLHKILYHIIMRIYSYSRFIVSRGKKLAPLPSENGGSVGLSSILEADSKQIVNMARESYEKDGPLLVVASGRDTISIASSIKRLASEKVFLVQIQHPRSDLSRFDLVVTPRHDYYALTPQAQEQIPRIIRKWITPHETPDQHVVLTVGALHQIDFAALHSAASTWHDEFAPLPKPLLVVNIGGPTCRCRYGTELAQQLSAFLTNVLVSCGSVRISFSNRTPKKVSNIIIKELANNPKVYIWDGEEPNPYMGHLAWADAFVVTADSVSMISEACSTGKPVYVMGSERCTWKLADFHKSLRERGVVRPFTGSEDISESWSYPPLNDTAEVARRVHDVLAERGLRVRP >Potri.009G113600.1.v4.1 pep chromosome:Pop_tri_v4:9:9655590:9658531:1 gene:Potri.009G113600.v4.1 transcript:Potri.009G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113600.v4.1 MATGQNTEGDANPSQPALDRTLADFDPVKKPKRNKFAFACAILASMTSVLLGYDIGVMSGANIYIQDDLKISDLQVALLVGTLNLYSLVGSAAAGRTSDRIGRRYTIVMAGAIFFLGSILMGFATNYAFLMVGRFVAGVGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILLGYVSNYAFSKLPTNLGWRFMLGIGAIPSVFLALVVLGMPESPRWLVMQGRLGEARKVLDKTSDSKEESQQRLSDIKEAAGIPQDCNDDIVHVQKQSHGEGVWKELLIYPTPAVRHILICGIGIHFFQQASGIDAVVLYSPRIFEKAGITSSNDKLLATVAVGFTKTVFILVATFLLDRIGRRPLLLSSVGGMVLSLATLGFGLTMIDHSDEKLPWAVALSIAMVLAYVSFFSIGMGPITWVYSSEIFPLKLRAQGTSMGVAVNRVTSGVISTTFILLYKAITIGGSFFLFAGVAAVGWLFFYACLPETRGRTLEDMEVLFGSFFKWRSALKDEQRKEVSSGENGGQIQMGTKAQE >Potri.009G113600.2.v4.1 pep chromosome:Pop_tri_v4:9:9655783:9658565:1 gene:Potri.009G113600.v4.1 transcript:Potri.009G113600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113600.v4.1 MSGANIYIQDDLKISDLQVALLVGTLNLYSLVGSAAAGRTSDRIGRRYTIVMAGAIFFLGSILMGFATNYAFLMVGRFVAGVGVGYALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILLGYVSNYAFSKLPTNLGWRFMLGIGAIPSVFLALVVLGMPESPRWLVMQGRLGEARKVLDKTSDSKEESQQRLSDIKEAAGIPQDCNDDIVHVQKQSHGEGVWKELLIYPTPAVRHILICGIGIHFFQQASGIDAVVLYSPRIFEKAGITSSNDKLLATVAVGFTKTVFILVATFLLDRIGRRPLLLSSVGGMVLSLATLGFGLTMIDHSDEKLPWAVALSIAMVLAYVSFFSIGMGPITWVYSSEIFPLKLRAQGTSMGVAVNRVTSGVISTTFILLYKAITIGGSFFLFAGVAAVGWLFFYACLPETRGRTLEDMEVLFGSFFKWRSALKDEQRKEVSSGENGGQIQMGTKAQE >Potri.009G075000.2.v4.1 pep chromosome:Pop_tri_v4:9:7296213:7298998:1 gene:Potri.009G075000.v4.1 transcript:Potri.009G075000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075000.v4.1 MEGFPHNMRIFLYFGRGHAINGKGNLSPPFKFTKYWPWKDWKYYNIMEAMKRSSSPGLPPWPKRALELTSFSAMPQSIHPLARSSFQGLKKPYSMDSSVTKSPFNPSDAMTISSSSADTNNKESQNLSFPFDNKNSTTKDLATDPAIPSSGDKPVKTRAMISGVQIRAARAIDPNMDPKKLKRVLSNRVSAQKSRLKRLQYLADIERKVKALEEEIAVLSPRVAQYRSHHQALKMEQKMLNMEISAQTSNKMLKDAEIEDNKAEVSRLRQLHLTQQEVMLAGWENGFDQQMAVNPNMFQLSLERMAFITSIQGIYILFL >Potri.013G024500.2.v4.1 pep chromosome:Pop_tri_v4:13:1568732:1569424:1 gene:Potri.013G024500.v4.1 transcript:Potri.013G024500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024500.v4.1 MPRAIHCKPQPLLSKSSLLLNPFGEITFTDPKSTNFAFKVHIFYSPLLLSHRTHIQKPIICARKNKRGSGYQKLIKIVTFMASNLDTLPEPLGLVIEEFAAAGGGGGNLGFWKGFGGGRFDGWGRKGKMNSGFLGFLVVSGLGLILFLFGGELKIDVVSGVLVLSLLGAVLVKGFKRGIKDWILGLCFFGVLLGLGLKKGEMRKWVERCRVRSPVLVLMKGKRRHGRRIW >Potri.006G172900.3.v4.1 pep chromosome:Pop_tri_v4:6:17803654:17808497:1 gene:Potri.006G172900.v4.1 transcript:Potri.006G172900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G172900.v4.1 MRMAKQKSFCCFLCFLSVILWSEQVTMGKVIIRVGVVLDMNSVVGKTAENCISAAANDFYARNADYRTRISLVTRDSKGDVVTAASAALDLMKNEEVEAIIGPQRSSEAKFVIELGNKTQVPILSFSATSPALTPVQSNYFIRTAQSDSSQVKAIASIVETYGWREIVLIYEGTEYGIALVPYLLHAFHEIGTRVPYESCIPSSFDDTEIMSELQKIKKMQESVFLVHMTASMGSRLFLLAESAGMMSEGYAWLVTTGLSTLLDPVDAKVMDSMQGVLGVKPYVPKSIELEGFKSRWKKNFNSENLFGLWAYDTVWAIAMAVERAGIVHSSFLKQNASNRSVDLAALGISEMGPRLLKSILNTTFDGLSGKFQLVKGEMAPFAFEIFNVVGRSERVIGYWTEKGGLSQSLDSSSKISHSNSKTKLKQPIWPGGTIQQPKKLRIGVPVRSDFSEFIKVEWDQQSNEPIVSGFSAEVFLAVHDILPFPLPYEFIPFMNESSRKSAGTYDDLLRQIEHQKFDAVVGDTTIVAYRSSYVDFTLPYSESGITMVVLMKRDERDNMWIFLKPLSPKLWLVTGVAFFVTGLVVWVLEHRTNTEFRGTPEQQLGTVIWFSFSTLVFAHRERPENNLTKFVLIIWMFVVLIISQSYTASLASMLTVQRMHPAFVDVTEIQRNNYFVGHHKDSFVKDFLKKELHFNDTMLREYSTPEEFHDALSRGSHNGGVAAIFEEIPYVRRFLNDKYRCSKFQMVGPTYQTDGFGFVSDSLSLFLKLLGFK >Potri.011G169100.4.v4.1 pep chromosome:Pop_tri_v4:11:19203447:19209854:-1 gene:Potri.011G169100.v4.1 transcript:Potri.011G169100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169100.v4.1 MASKLCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTADSKLTRYRIKPRKTRLMVDIYTTAQYCTLEELDRRNGTSWATMSSLPAQETEKSDAPKEGKKPRVLPIHPEDAGDVGPVYIDANGTKVRILSQFNDASPVAEVSEDDGARREDIGGKKSLKGGKASNYISMKKKKRLAQKHQKYLKLASQRKKVLFHEAPGSQISGGREEGNGEEKSCEKDHQMLRQIKPSDCGTLRPWVCSKRRGFPKKIATQESHQLVRCKWHLAQDLLVENDQSSVGDHLSERSRAQKPTILCDDQISSPRNSERMEKLFHKDQVNERREWSPGRKTVGNLLVGDRISGKVDKLFPPMKRNANQLNKDGTSIHDGCMLRPPNSPRNDVSSLTKKTVYTDDDTCNNSDMYPIASTKSSRSSHAVVTKAMRFSSIRKSVLSVSSQSSVTESRPSKGKRWSTLDKSQEPLTREIDEEAVGRHSEVDEQYDLMQDHTENLLEREEMTDEVSLGGSPVQEVRQGKRFSCSSERLEALNLRSSKSALGCGHAEGINVDYSGRGDGDYVHKVDSLESPGTQVPIHEDIVVEPSSKTLDGRRSVAGMSKSVNTEFHELGICSKVQSNCIRSIEDYGGLLSQNNVSTSPTGPFIHDQRMFSATEAGNGMMSQDAGDMGVGLDSEAAKVDSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNSSLTTIRVHSSPDQHDMIDGDSSDSPLSAVSTISNSMVGRSDFSYSEPASSAGHCVFQDKIRSGLMSAGIEPLAHNAGAVPQAATRGVERTTFSGEYLKLDRISIEKESFGFKNDQPCCCQRKERFSENVALNHQESLLLRRRKMASMPVPSEGKHMGCNSNLTPINLDVSPELVPLNSYSASGSEKMVLPLIKPPTDCIPLKDSPSSAGVRFLARADADSASPSASNPILRLMGKNLMVVNKEDNVSMPNGQVRPCAQNVNQTSHIPTISAVSPGNIQNLDSHSFHPMTPQGSVIFSRDPYKTAVQRLDAGFSDSFGSHTDSKLSQAPSKLPAGMFCDQHSDGGLAPSIKPHQCKEDYNFSSSQNRLKRRLETFPTCTMKRATETPDRHCKRADSFTHPVKEIIIIDDVPESQTVVMSDITKYNEGWRERQVFPSGISVPTIPIYNMTNVNPFTCYQSQEHPPIGGTPVAHNGSFHASTTRLVNTSPVRWGCPPDGPGALQMNPFVAASNSSGHLRSASLYYSPSF >Potri.011G169100.1.v4.1 pep chromosome:Pop_tri_v4:11:19203383:19211101:-1 gene:Potri.011G169100.v4.1 transcript:Potri.011G169100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169100.v4.1 MFSIENPPVPDPPCSSSQPNSRSDERASQLPTSSTYNKLPPSNLSEVVVVDLPNPNPNPNPCLDNPTPLPNFSIRDYVFKARSKDIKNSWPFSQKNLQLCLKHGVKGVLPQFEPLDTVRNQFFKRFKGETNSVEKQNISKRSSFDKEASRPESHVVVDLSDDAQLHAKLAESCVDISSCRYGEENDFPSTATSEIDSVPDSRKPRSPLETRTLAKAAVEVGATVTHKTESTTRPLANKKCRLIVKFGGNSDRASAEDIASNCTTISETMASKLCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTADSKLTRYRIKPRKTRLMVDIYTTAQYCTLEELDRRNGTSWATMSSLPAQETEKSDAPKEGKKPRVLPIHPEDAGDVGPVYIDANGTKVRILSQFNDASPVAEVSEDDGARREDIGGKKSLKGGKASNYISMKKKKRLAQKHQKYLKLASQRKKVLFHEAPGSQISGGREEGNGEEKSCEKDHQMLRQIKPSDCGTLRPWVCSKRRGFPKKIATQESHQLVRCKWHLAQDLLVENDQSSVGDHLSERSRAQKPTILCDDQISSPRNSERMEKLFHKDQVNERREWSPGRKTVGNLLVGDRISGKVDKLFPPMKRNANQLNKDGTSIHDGCMLRPPNSPRNDVSSLTKKTVYTDDDTCNNSDMYPIASTKSSRSSHAVVTKAMRFSSIRKSVLSVSSQSSVTESRPSKGKRWSTLDKSQEPLTREIDEEAVGRHSEVDEQYDLMQDHTENLLEREEMTDEVSLGGSPVQEVRQGKRFSCSSERLEALNLRSSKSALGCGHAEGINVDYSGRGDGDYVHKVDSLESPGTQVPIHEDIVVEPSSKTLDGRRSVAGMSKSVNTEFHELGICSKVQSNCIRSIEDYGGLLSQNNVSTSPTGPFIHDQRMFSATEAGNGMMSQDAGDMGVGLDSEAAKVDSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNSSLTTIRVHSSPDQHDMIDGDSSDSPLSAVSTISNSMVGRSDFSYSEPASSAGHCVFQDKIRSGLMSAGIEPLAHNAGAVPQAATRGVERTTFSGEYLKLDRISIEKESFGFKNDQPCCCQRKERFSENVALNHQESLLLRRRKMASMPVPSEGKHMGCNSNLTPINLDVSPELVPLNSYSASGSEKMVLPLIKPPTDCIPLKDSPSSAGVRFLARADADSASPSASNPILRLMGKNLMVVNKEDNVSMPNGQVRPCAQNVNQTSHIPTISAVSPGNIQNLDSHSFHPMTPQGSVIFSRDPYKTAVQRLDAGFSDSFGSHTDSKLSQAPSKLPAGMFCDQHSDGGLAPSIKPHQCKEDYNFSSSQNRLKRRLETFPTCTMKRATETPDRHCKRADSFTHPVKEIIIIDDVPESQTVVMSDITKYNEGWRERQVFPSGISVPTIPIYNMTNVNPFTCYQSQEHPPIGGTPVAHNGSFHASTTRLVNTSPVRWGCPPDGPGALQMNPFVAASNSSGHLRSASLYYSPSF >Potri.011G169100.2.v4.1 pep chromosome:Pop_tri_v4:11:19203446:19211061:-1 gene:Potri.011G169100.v4.1 transcript:Potri.011G169100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169100.v4.1 MFSIENPPVPDPPCSSSQPNSRSDERASQLPTSSTYNKLPPSNLSEVVVVDLPNPNPNPNPCLDNPTPLPNFSIRDYVFKARSKDIKNSWPFSQKNLQLCLKHGVKGVLPQFEPLDTVRNQFFKRFKGETNSVEKQNISKRSSFDKEASRPESHVVVDLSDDAQLHAKLAESCVDISSCRYGEENDFPSTATSEIDSVPDSRKPRSPLETRTLAKAAVEVGATVTHKTESTTRPLANKKCRLIVKFGGNSDRASAEDIASNCTTISETMASKLCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTADSKLTRYRIKPRKTRLMVDIYTTAQYCTLEELDRRNGTSWATMSSLPAQETEKSDAPKEGKKPRVLPIHPEDAGDVGPVYIDANGTKVRILSQFNDASPVAEVSEDDGARREDIGGKKSLKGGKASNYISMKKKKRLAQKHQKYLKLASQRKKVLFHEAPGSQISGGREEGNGEEKSCEKDHQMLRQIKPSDCGTLRPWVCSKRRGFPKKIATQESHQLVRCKWHLAQDLLVENDQSSVGDHLSERSRAQKPTILCDDQISSPRNSERMEKLFHKDQVNERREWSPGRKTVGNLLVGDRISGKVDKLFPPMKRNANQLNKDGTSIHDGCMLRPPNSPRNDVSSLTKKTVYTDDDTCNNSDMYPIASTKSSRSSHAVVTKAMRFSSIRKSVLSVSSQSSVTESRPSKGKRWSTLDKSQEPLTREIDEEAVGRHSEVDEQYDLMQDHTENLLEREEMTDEVSLGGSPVQEVRQGKRFSCSSERLEALNLRSSKSALGCGHAEGINVDYSGRGDGDYVHKVDSLESPGTQVPIHEDIVVEPSSKTLDGRRSVAGMSKSVNTEFHELGICSKVQSNCIRSIEDYGGLLSQNNVSTSPTGPFIHDQRMFSATEAGNGMMSQDAGDMGVGLDSEAAKVDSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNSSLTTIRVHSSPDQHDMIDGDSSDSPLSAVSTISNSMVGRSDFSYSEPASSAGHCVFQDKIRSGLMSAGIEPLAHNAGAVPQAATRGVERTTFSGEYLKLDRISIEKESFGFKNDQPCCCQRKERFSENVALNHQESLLLRRRKMASMPVPSEGKHMGCNSNLTPINLDVSPELVPLNSYSASGSEKMVLPLIKPPTDCIPLKDSPSSAGVRFLARADADSASPSASNPILRLMGKNLMVVNKEDNVSMPNGQVRPCAQNVNQTSHIPTISAVSPGNIQNLDSHSFHPMTPQGSVIFSRDPYKTAVQRLDAGFSDSFGSHTDSKLSQAPSKLPAGMFCDQHSDGGLAPSIKPHQCKEDYNFSSSQNRLKRRLETFPTCTMKRATETPDRHCKRADSFTHPVKEIIIIDDVPESQTVVMSDITKYNEGWRERQVFPSGISVPTIPIYNMTNVNPFTCYQSQEHPPIGGTPVAHNGSFHASTTRLVNTSPVRWGCPPDGPGALQMNPFVAASNSSGHLRSASLYYSPSF >Potri.011G169100.3.v4.1 pep chromosome:Pop_tri_v4:11:19203417:19211104:-1 gene:Potri.011G169100.v4.1 transcript:Potri.011G169100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169100.v4.1 MFSIENPPVPDPPCSSSQPNSRSDERASQLPTSSTYNKLPPSNLSEVVVVDLPNPNPNPNPCLDNPTPLPNFSIRDYVFKARSKDIKNSWPFSQKNLQLCLKHGVKGVLPQFEPLDTVRNQFFKRFKGETNSVEKQNISKRSSFDKEASRPESHVVVDLSDDAQLHAKLAESCVDISSCRYGEENDFPSTATSEIDSVPDSRKPRSPLETRTLAKAAVEVGATVTHKTESTTRPLANKKCRLIVKFGGNSDRASAEDIASNCTTISETMASKLCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTADSKLTRYRIKPRKTRLMVDIYTTAQYCTLEELDRRNGTSWATMSSLPAQETEKSDAPKEGKKPRVLPIHPEDAGDVGPVYIDANGTKVRILSQFNDASPVAEVSEDDGARREDIGGKKSLKGGKASNYISMKKKKRLAQKHQKYLKLASQRKKVLFHEAPGSQISGGREEGNGEEKSCEKDHQMLRQIKPSDCGTLRPWVCSKRRGFPKKIATQESHQLVRCKWHLAQDLLVENDQSSVGDHLSERSRAQKPTILCDDQISSPRNSERMEKLFHKDQVNERREWSPGRKTVGNLLVGDRISGKVDKLFPPMKRNANQLNKDGTSIHDGCMLRPPNSPRNDVSSLTKKTVYTDDDTCNNSDMYPIASTKSSRSSHAVVTKAMRFSSIRKSVLSVSSQSSVTESRPSKGKRWSTLDKSQEPLTREIDEEAVGRHSEVDEQYDLMQDHTENLLEREEMTDEVSLGGSPVQEVRQGKRFSCSSERLEALNLRSSKSALGCGHAEGINVDYSGRGDGDYVHKVDSLESPGTQVPIHEDIVVEPSSKTLDGRRSVAGMSKSVNTEFHELGICSKVQSNCIRSIEDYGGLLSQNNVSTSPTGPFIHDQRMFSATEAGNGMMSQDAGDMGVGLDSEAAKVDSFPEVDPIPIPGPPGSFLPSPRDMGSEDFQGNSSLTTIRVHSSPDQHDMIDGDSSDSPLSAVSTISNSMVGRSDFSYSEPASSAGHCVFQDKIRSGLMSAGIEPLAHNAGAVPQAATRGVERTTFSGEYLKLDRISIEKESFGFKNDQPCCCQRKERFSENVALNHQESLLLRRRKMASMPVPSEGKHMGCNSNLTPINLDVSPELVPLNSYSASGSEKMVLPLIKPPTDCIPLKDSPSSAGVRFLARADADSASPSASNPILRLMGKNLMVVNKEDNVSMPNGQVRPCAQNVNQTSHIPTISAVSPGNIQNLDSHSFHPMTPQGSVIFSRDPYKTAVQRLDAGFSDSFGSHTDSKLSQAPSKLPAGMFCDQHSDGGLAPSIKPHQCKEDYNFSSSQNRLKRRLETFPTCTMKRATETPDRHCKRADSFTHPVKEIIIIDDVPESQTVVMSDITKYNEGWRERQVFPSGISVPTIPIYNMTNVNPFTCYQSQEHPPIGGTPVAHNGSFHASTTRLVNTSPVRWGCPPDGPGALQMNPFVAASNSSGHLRSASLYYSPSF >Potri.003G152900.2.v4.1 pep chromosome:Pop_tri_v4:3:16570267:16573784:1 gene:Potri.003G152900.v4.1 transcript:Potri.003G152900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152900.v4.1 MEKRLLKLRNTESMNEGCSKLVRNRRAIAMKRFPRSKQNRKLKANMESLREDMAEIGEEQQRIKDGQTEIRRSFEEIESQCDQLNKETSLILRQTLYNQQRLCLIFKIIKAREDNDFSMATEHTRLLRELMKQNMGSNI >Potri.008G206733.1.v4.1 pep chromosome:Pop_tri_v4:8:15303701:15304678:1 gene:Potri.008G206733.v4.1 transcript:Potri.008G206733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206733.v4.1 MSLTNFDGFLNWVLIVGSAGGVVVIFCLKILLSEKNLLTSSTRIGFSPAIFRVTKSLFFDKLWIWMSSCLKNMMSNFIVCMSLEMIFLNLFGGLESNWSNNHDYALLQGK >Potri.009G117900.1.v4.1 pep chromosome:Pop_tri_v4:9:9920908:9925762:-1 gene:Potri.009G117900.v4.1 transcript:Potri.009G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117900.v4.1 MNDLMTKSFLDYVDLKKQAIENIQPEPDLEMGKLDSTDERNLSKFFEEVKAIKIDMEEITNLLIDLQDLKEESSRSTHSAKFLKGIRDRINSDMVTILRKAKKIKSRLESLDQSNVANRRLSKAYKEGSSVDRTRVSVTNGLRVKLRDMMHDFQALRENILKDHKEGLKRRYYNATGEHPTEEMIERMILRGEKERVFEGKAELVMENLERHEALKKIQRSLTELHQVFLDMAILVEIQGDEINVIEENVAGAANHISGGTNGLYYADQMKRRGSHWACCG >Potri.011G000100.1.v4.1 pep chromosome:Pop_tri_v4:11:4658:7679:1 gene:Potri.011G000100.v4.1 transcript:Potri.011G000100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G000100.v4.1 MEWWVSMPKIELHAHLNGSIRDSTLLELARVLGEKGVIVFSDVEHVIMKNDRSLGEVFKLFDLIHILTTDHKTVTRITKEVVEDFASENVVYLELRTTPKKNDSIGMSKHSYMEAVVEGLRAVTAVDIDFVPHKFNTQDSLNSIAMNDACDGTKKKKIYVRLLLSIDRRETTEAAIETVKLALEMRDLGVVGIDLSGNPVVGEWNTFLPALKFAQEQGLYITLHCGEVLNCQEVQPMLDFLPQRIGHAIFFEEEEWRQLKTSKIPVEICLTSNIKTESISSIDIHHFVDLYNAKHPLVLCTDDAGVFSTSLSNEYKLASTAFGLGKKEMFELARTGIEFIFAGDEVKQDLVETFDSAAKKLNL >Potri.001G303000.1.v4.1 pep chromosome:Pop_tri_v4:1:31315430:31317302:-1 gene:Potri.001G303000.v4.1 transcript:Potri.001G303000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G303000.v4.1 MGSLGHQLHIFFLPFFAHGHMIPSVDMAKLFASRGIKTTIITTPLNAPFFSKTIQKTKELGFDINILTIKFPAAEAGLPEGYENTDAFIFSENAREMTIKFIKATTFLQEPFEKVLQECHPDCIVADVFFPWATDAAAKFGIPRLVFHGTSNFALSASECVRLYEPHKKVSSDSEPFVVPDLPGDIKLTKKQLPDDVRENVENDFSKFLKASKEAELRSFGVVVNSFYELEPAYADYYKKVLGRRAWNVGPVSLCNRDTEDKAGRGKETSIDHHECLKWLDSKKPNSVVYICFGSTTNFSDSQLKEIAAGLEASGQQFIWVVRRNKKGQEDKEDWLPEGFEERMEGVGLIIRGWAPQVLILDHEAIGAFVTHCGWNSTLEGITAGKPMVTWPIFAEQFYNEKLVTDVLKTGVGVGVKEWFRVHGDHVKSEAVEKTITQIMVGEEAEEMRSRAKKLGETARKAVEEGGSSYSDFNALIEELRWRRP >Potri.018G001700.1.v4.1 pep chromosome:Pop_tri_v4:18:125254:126819:1 gene:Potri.018G001700.v4.1 transcript:Potri.018G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001700.v4.1 MYPDDARSYDAGDDHPSLDASEIPTFFKTMVPTVARIYNVFESGTLSLFAAGVVISPYGHILTINTDTGTNRTGMHVCFKDDDEGFKAAICHEYADHPFLLLKLESEREDFPYARLATSAAVHGSEIHFIHVFFNNAYRYLKGMVSCPNRNLSSVIMSKEVQQIVAPSIKHAYHNRVPIQFVEVGAIYGSEHVCGTPFFGKNGTVVGIYYFSAFNLAYGFNLDYLETMSPAWEEKITECQPARRTKLTSDGLASGSQSGGQRAM >Potri.018G001700.3.v4.1 pep chromosome:Pop_tri_v4:18:125374:127959:1 gene:Potri.018G001700.v4.1 transcript:Potri.018G001700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001700.v4.1 MYPDDARSYDAGDDHPSLDASEIPTFFKTMVPTVARIYNVFESGTLSLFAAGVVISPYGHILTINTDTGTNRTGMHVCFKDDDEGFKAAICHEYADHPFLLLKLESEREDFPYARLATSAAVHGSEIHFIHVFFNNAYRYLKGMVSCPNRNLSSVIMSKEVQQIVAPSIKHAYHNRVPIQFVEVGAIYGSEHVCGTPFFGKNGTVVGIYYFSAFNLAYGFNLDYLETMSPAWEEKITECQPARRTKLTSDGLASGSQSGGQRAM >Potri.011G104000.3.v4.1 pep chromosome:Pop_tri_v4:11:13233636:13237582:-1 gene:Potri.011G104000.v4.1 transcript:Potri.011G104000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104000.v4.1 MEVSNESAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKKKKKDTSLSIANVNANYDETQRKDEYIKPSIIKFDHEQRKDEIISLGSCRFDQEQSRLDLARMIILHGYPLTMVEHVGFKIFVKNLQPLFEFVPNSSIEVSCIEIYMKEKQKVYEMINRLHGRINLAVEMWSSPENAEYLCLIAHYIDEDWKLQQKILNFVTLDSSHTEDMLSEVIINCLMEWDVECKLFAMTFDDCFADDDIVLRIKDRISQNRPLLSNGQLFDVRSAAHVLNLIVQDAMETIREVTEKVRGSVRYVKSSQVIQGKFNEIAEQIGISSQKNLVLDLPTRWNSTYFMLETVIGYKSAFCFLQERDPAYTSALTDTEWEWASSITGYLKLFVEITNIFSGDKCPTANIYFPEICDVHIQLIEWCKNPDDFLSSMASKMKAKFDRYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSTLPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSAISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTIAPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLQVESEDHNPSSALALYVEAN >Potri.011G104000.2.v4.1 pep chromosome:Pop_tri_v4:11:13233657:13237691:-1 gene:Potri.011G104000.v4.1 transcript:Potri.011G104000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104000.v4.1 MEVSNESAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKKKKKDTSLSIANVNANYDETQRKDEYIKPSIIKFDHEQRKDEIISLGSCRFDQEQSRLDLARMIILHGYPLTMVEHVGFKIFVKNLQPLFEFVPNSSIEVSCIEIYMKEKQKVYEMINRLHGRINLAVEMWSSPENAEYLCLIAHYIDEDWKLQQKILNFVTLDSSHTEDMLSEVIINCLMEWDVECKLFAMTFDDCFADDDIVLRIKDRISQNRPLLSNGQLFDVRSAAHVLNLIVQDAMETIREVTEKVRGSVRYVKSSQVIQGKFNEIAEQIGISSQKNLVLDLPTRWNSTYFMLETVIGYKSAFCFLQERDPAYTSALTDTEWEWASSITGYLKLFVEITNIFSGDKCPTANIYFPEICDVHIQLIEWCKNPDDFLSSMASKMKAKFDRYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSTLPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSAISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTIAPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLQVESEDHNPSSALALYVEAN >Potri.011G104000.1.v4.1 pep chromosome:Pop_tri_v4:11:13233663:13237621:-1 gene:Potri.011G104000.v4.1 transcript:Potri.011G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104000.v4.1 MEVSNESAIKKPKRLTSVVWNHFQRIRKADVCYAVCVHCDKKLSGSSNSGTTHLRNHLMRCLKRSNYDVSQLLAAKKKKKDTSLSIANVNANYDETQRKDEYIKPSIIKFDHEQRKDEIISLGSCRFDQEQSRLDLARMIILHGYPLTMVEHVGFKIFVKNLQPLFEFVPNSSIEVSCIEIYMKEKQKVYEMINRLHGRINLAVEMWSSPENAEYLCLIAHYIDEDWKLQQKILNFVTLDSSHTEDMLSEVIINCLMEWDVECKLFAMTFDDCFADDDIVLRIKDRISQNRPLLSNGQLFDVRSAAHVLNLIVQDAMETIREVTEKVRGSVRYVKSSQVIQGKFNEIAEQIGISSQKNLVLDLPTRWNSTYFMLETVIGYKSAFCFLQERDPAYTSALTDTEWEWASSITGYLKLFVEITNIFSGDKCPTANIYFPEICDVHIQLIEWCKNPDDFLSSMASKMKAKFDRYWSKCSLALAVAAILDPRFKMKLVEYYYSQIYGSTALDRIKEVSDGIKELFNAYSICSTLVDQGSTLPGSSLPSTSTDSRDRLKGFDKFLHESSQGQSAISDLDKYLEEPVFPRNCDFNILNWWKVHTPRYPILSMMARDILGTPMSTIAPELAFGVGGRVLDSYRSSLNPDTRQALICTRDWLQVESEDHNPSSALALYVEAN >Potri.003G116600.1.v4.1 pep chromosome:Pop_tri_v4:3:13866630:13869918:-1 gene:Potri.003G116600.v4.1 transcript:Potri.003G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116600.v4.1 MEWSACLDEYEKLVIRMTTPRVVIDNAVCPKATVVKVDSARKHGILLEAVQVLTDLNLSIKKAYISSDGRWFMDVFHVTDLNGNKLTDKSVINYIEQSLVTIHYGRKTGSNGLTALELTGTDRVGLLSEVFAVLADLQCNVVDAKVWTHNGRIAALMFVKDCNSGSPIEDTQQIDRIEARLRNVLKGDNDIRSAKTMVSMAVTHTERRLHQMMFADRDYERNPILQPSGDSPVVTVQNWVERGYSVVNVQCRDRTKLLFDVVCTLTDMEYIVFHATIKTSGDRAYLEFYIRHTDGTPISSEPERQRVIQCLQAAVERRVSEGVRLELCTLDRQCLLADVTRTFRENGLNVTRAEISTTRDMALNVFYVTDAIGNAADPKLIESVRQKIGMSSLKVKELPPLVYHQEAEREDQTAGVAGTVLLSLGSLVKRNLYHLGLIRSYS >Potri.002G037500.1.v4.1 pep chromosome:Pop_tri_v4:2:2472868:2474498:-1 gene:Potri.002G037500.v4.1 transcript:Potri.002G037500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037500.v4.1 MNSIPEMENSNSENISFNNIGNSPTAMSVSNSSSPSSSTAPSRYENQKRRDWNTFGQYLKNHRPPLSLSRCSGAHVLEFLRYLDQFGKTKVHTPICPFYGHPNPPAPCPCPLRQAWGSLDALIGRLRAAFEENGGKPEANPFGARAVRLYLREVRDLQSKARGVSYEKKKRKRPPQQQIQSLPLPLPLPPPPGAA >Potri.015G134800.3.v4.1 pep chromosome:Pop_tri_v4:15:14376479:14380965:1 gene:Potri.015G134800.v4.1 transcript:Potri.015G134800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134800.v4.1 MGRGKVVLERIENKISRQVTFSKRRNGLLKKAYELSLLCDAEVALIIFSSRGKLFEFCSSTDINKTLQRYQQCCYSTEGTNIPEEGSQTLYQEVSRLRARCESLQRSQRNFLGEELEPLTVKELKKIEKQLDKTLSEARQRKTQLMFDRVEELRKREQDLKEKNQQLWIKLEEVQRLPAIHGVGDPIKGDGNRQIMMDPSKFNYVEPQPSLQMDQHQQFVSQEQGFDSRTSIRGKNKYPTPKWFP >Potri.015G134800.2.v4.1 pep chromosome:Pop_tri_v4:15:14376621:14380965:1 gene:Potri.015G134800.v4.1 transcript:Potri.015G134800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134800.v4.1 MGRGKVVLERIENKISRQVTFSKRRNGLLKKAYELSLLCDAEVALIIFSSRGKLFEFCSSTDINKTLQRYQQCCYSTEGTNIPEEGSQTLYQEVSRLRARCESLQRSQRNFLGEELEPLTVKELKKIEKQLDKTLSEARQRKTQLMFDRVEELRKREQDLKEKNQQLWIKLEEVQRLPAIHGVGDPIKGDGNRQIMMDPSKFNYVEPQPSLQMDQHQQFVSQEQGFDSRTSIRGKNKYPTPKWFP >Potri.015G134800.1.v4.1 pep chromosome:Pop_tri_v4:15:14376479:14380965:1 gene:Potri.015G134800.v4.1 transcript:Potri.015G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134800.v4.1 MMSSSYFVTQRAKTQLGFLLQEGKQMGRGKVVLERIENKISRQVTFSKRRNGLLKKAYELSLLCDAEVALIIFSSRGKLFEFCSSTDINKTLQRYQQCCYSTEGTNIPEEGSQTLYQEVSRLRARCESLQRSQRNFLGEELEPLTVKELKKIEKQLDKTLSEARQRKTQLMFDRVEELRKREQDLKEKNQQLWIKLEEVQRLPAIHGVGDPIKGDGNRQIMMDPSKFNYVEPQPSLQMDQHQQFVSQEQGFDSRTSIRGKNKYPTPKWFP >Potri.011G160250.1.v4.1 pep chromosome:Pop_tri_v4:11:18552818:18553869:1 gene:Potri.011G160250.v4.1 transcript:Potri.011G160250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G160250.v4.1 MLAARVPTRHRSWRRVKPRAAADAGKMVGSAQLLPLFSAGGAVTCKTKKKHKSQQQAAPFHLGFFFICILQIRFSGLCFYSVSSRRQGRGHGPEGRHCWRREERLRVLLLPLGAVRPVVKEIGCCCWWPVCGLC >Potri.011G160250.3.v4.1 pep chromosome:Pop_tri_v4:11:18552818:18553723:1 gene:Potri.011G160250.v4.1 transcript:Potri.011G160250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G160250.v4.1 MLAARVPTRHRSWRRVKPRAAADAGKMVGSAQLLPLFSAGGAVTCKTKKKHKSQQQAAPFHLGFFFICILQIRFSGLCFYSVSSRRQGRGHGPEGRHCWRREERLRVLLLPLGAVRPVVKEIGCCCWWPVCGLC >Potri.011G160250.2.v4.1 pep chromosome:Pop_tri_v4:11:18552818:18553723:1 gene:Potri.011G160250.v4.1 transcript:Potri.011G160250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G160250.v4.1 MLAARVPTRHRSWRRVKPRAAADAGKMVGSAQLLPLFSAGGAVTCKTKKKHKSQQQAAPFHLGFFFICILQIRFSGLCFYSVSSRRQGRGHGPEGRHCWRREERLRVLLLPLGAVRPVVKEIGCCCWWPVCGLC >Potri.019G014376.1.v4.1 pep chromosome:Pop_tri_v4:19:2322884:2326281:-1 gene:Potri.019G014376.v4.1 transcript:Potri.019G014376.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014376.v4.1 MDSKHIFGGVVAAFLFGFVVLYSSRGRENIKASEKNRSKKTLKSSGNGVCRSNFAGNTDVIIVGAGVAGSALAYALAKDGWRVQVIERDLAEPDRIVGELLHAGGCLKLAALGLEDCLDGIDSQIVLSFAAINKDGKRTAISYPSNASGRSFHNGRFIQKLREKAASLPNVKLEQGTVTSLVEENGSIKGVLYKTKAGQELAASASLTIVCDGCFSNLRRNLCNPKIEVPSYFVGLLLEDYNLPYANRAYFILKDTIVIAYPISSNEIRCLVDVPGSKQPPIFNGEMASYLKTVVAPQMPPELYNAFICAIDKGNIRTMPNRIMSASPYPTPGAFLIGDSLNMRHAVTGGGMTVGLSDVVLLRDLLRPLNDLSNAASICKYLESFYILRKPTAFAINTLASTLHIVFSSSDQDPSRKEMKEAFFNYLSLGGVFSEGLMALLSGLNPDPLSLVFHCFAMLAYAVGRLLLPFPTPKRMCIAAKLILVGSGIIFPILKAEGIRATFFPATKPAYYRTPPVQSTGDKETGK >Potri.003G073400.2.v4.1 pep chromosome:Pop_tri_v4:3:10113753:10119028:1 gene:Potri.003G073400.v4.1 transcript:Potri.003G073400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073400.v4.1 MPLLDIATAQASLQNHLGLLRVQTNAHCKVFSSPFAFGDDKRLLSLGKSIKFRRKINVFERSKLQIKAVATVEPKSLVRKGDGKRKTSLENEQLAANSDTLPAQVESSGEDSMALDDKENLRRKRISNANKGNTPWNKGRKHSPETLQKIRERTRLAMQDPKIKMKLANLGHAQSKETREKIGHGVRLGWQKRREKQMVQEGCYFEWQNLIAEASRRGYTGEEELQWDSYNILRQQLEVEWVESVQQRKTLPRPKGSKRAPKSLEQRRKISEAIAAKWADPEYRERVYSGLSKYHGTLAGAARKPRRMPSGSSQSARRDSSKRRTSDTEKGYTRSPIQQLRRRSRTPSYKDPLASSKLEMIKNIRAQRIATETKKNEAIERARSLIVEAEKAANALEAAAMKSPIARASLTEARKLISEAIQSIESLDQGNGVSSDSISNVNDRYPSLALTELVSEDEKEINAGNGSMDQVELRQVNGTMIMETSKDEDLNFSNLAFHDLLNGQGELLPLSSSAYSLPSSTIDHSSSGKQPDQAEPNGSLTSEKINLPNGSRVQYVEEETPSKSVATKKWVHGRLVEGTEGG >Potri.017G063966.1.v4.1 pep chromosome:Pop_tri_v4:17:5443945:5445925:-1 gene:Potri.017G063966.v4.1 transcript:Potri.017G063966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063966.v4.1 MSKAEERRLASGLNSMSMNCQEIMESKETTEDKETRSEQQDNMKNNLMEQTKIPQVRAIVERQDPSSKEVDDLTIRRFLRARDLDIGKASSMLLRYLKWRREFVPNGSVSLLETPNEVAQNKMFLQGSDKKGRPITVILGARHVRSKGGLEEFKRFVVYGFDKICSRMPPGQEKFVVIGDLEGWGYANSDIHGYLAGLSILQEYYPERLAKVFLVHAPYIFMAVWKIVYPFIDKNTRKKIVFVDNRKLKSTLLEEIDESQIPDIYGGKLPLIPIHQSK >Potri.012G110750.1.v4.1 pep chromosome:Pop_tri_v4:12:13088314:13088669:-1 gene:Potri.012G110750.v4.1 transcript:Potri.012G110750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110750.v4.1 MPFFWVFLVLLCSCHHWHKLLMFSTKIPVLVG >Potri.006G194100.1.v4.1 pep chromosome:Pop_tri_v4:6:20140192:20144557:-1 gene:Potri.006G194100.v4.1 transcript:Potri.006G194100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194100.v4.1 MAPGGSAFKEVLEFHSTVADCDVANSKSENNITPTKGTVVLGGKHGVYSNNGVHELLECPVCTNLMYPPIHQCPNGHTLCSNCKLRVHNCCPTCRYDLGNIRCLALEKVAESLELPCKFQSLGCLDIFPYYSKLKHEQHCRFRPYSCPYAGSECSVTGDIPALVSHLKDDHKVDMHDGCTFNHRYVKSNPHEVENATWMLTVFNCFGRQFCLHFEAFQLGMAPVYMAFLRFMGDDNEAKKFSYSLEVGGNGRKLVWQGIPRSIRDSHRKVRDSQDGLIIQRNLALYFSGGDRQELKLRVTGRVWKEE >Potri.002G014100.1.v4.1 pep chromosome:Pop_tri_v4:2:869328:873273:1 gene:Potri.002G014100.v4.1 transcript:Potri.002G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014100.v4.1 MGDVSSEDWIDQVSERFELDSYCSSADVSGSESDTSISSFSCRRYDVQGGASTSFTSSTPDFAGNSAYSAPLPVMLPVSGGRYDAIPEEKEEKPESDLSEIELMRERFAKLLLGEDMSGGGQGTCTAAAISNAITNLSASVFGELWKLEPLAPQKKAMWKREMEWLLCVSDSIVELVPSMQEFPGGGTYEVMVAQPRSDLYVNLPALKKLDAMLISILDLFSEPEFYYVDRGIVVAGDDVIEEFPVPSSLRRPPIRQEGKWWLPFPKVPPNGLSEELTKRLQQCRECTSQILKAAMAINSSVLAEMEIPDTYFENLPKSGKACLGRIMYRYITAKHFSPDYLLDYLDVSSEYTTLEIANRIEAASHFWSEKYLNRYLGRARDGRSSWGGKVKGFVGETQKRKLLAKRAEILIHNLRLRFPGLPQTALDANKIQYNKDVGYAIIESYSRVMESLAFNIMARIDDLLYVDDATKQRATAESVSPCVQGKFSSRPSKQKSISSSHVSFQHSSSSSMPTAGSSGEVIRIPNGRKHHSLKKSNLRDSLDQTLEKLTF >Potri.002G014100.2.v4.1 pep chromosome:Pop_tri_v4:2:869407:873327:1 gene:Potri.002G014100.v4.1 transcript:Potri.002G014100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014100.v4.1 MGDVSSEDWIDQVSERFELDSYCSSADVSGSESDTSISSFSCRRYDVQGGASTSFTSSTPDFAGNSAYSAPLPVMLPVSGGRYDAIPEEKEEKPESDLSEIELMRERFAKLLLGEDMSGGGQGTCTAAAISNAITNLSASVFGELWKLEPLAPQKKAMWKREMEWLLCVSDSIVELVPSMQEFPGGGTYEVMVAQPRSDLYVNLPALKKLDAMLISILDLFSEPEFYYVDRGIVVAGDDVIEEFPVPSSLRRPPIRQEGKWWLPFPKVPPNGLSEELTKRLQQCRECTSQILKAAMAINSSVLAEMEIPDTYFENLPKSGKACLGRIMYRYITAKHFSPDYLLDYLDVSSEYTTLEIANRIEAASHFWSEKYLNRYLGRARDGRSSWGGKVKGFVGETQKRKLLAKRAEILIHNLRLRFPGLPQTALDANKIQYNKDVGYAIIESYSRVMESLAFNIMARIDDLLYVDDATKQRATAESVSPCVQGKFSSRPSKQKSISSSHVSFQHSSSSSMPTAGSSGEVIRIPNGRKHHSLKKSNLRDSLDQTLEKLTF >Potri.010G080333.1.v4.1 pep chromosome:Pop_tri_v4:10:10762986:10763967:1 gene:Potri.010G080333.v4.1 transcript:Potri.010G080333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080333.v4.1 MADEKKQNLENKPVLSPPLPERKKNTLPNFLLSVQLKYVKLGYHYLVSNAMYLMLMPVLCVIFAHLSTFTVDELWNQLKFNFVTVVLSSTSIVFTATLYFMSRPRKVYLVDFSCYKPGPAHKASRELFMQLSAQSAVFTEQSLAFQKKILEKSGYGEMTYAPKGLMRVPPDQSMAESWRESEMVMFGAIDDLLAKTMVKPRDIGILVVNSSLFNPTPSLSARVVNHYKLRGNILSYYLGGMGCSAGLISIDLAKDLLQVCYFKWQTFTVFKVWWLDRSGFNKRPAVATARPNPGDPGEPGRDPGEPGHFFPSNVGFETH >Potri.010G201000.3.v4.1 pep chromosome:Pop_tri_v4:10:19319396:19321173:1 gene:Potri.010G201000.v4.1 transcript:Potri.010G201000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201000.v4.1 MDPNVENGSMQGDEPLGWGKSLPVPSVQEMVRKDSQCVPERYIQEHKDRPVETEMCPTSSEIPVINFSLLVNGDEDERRKLDLACKEWGFFQITNHDVSEEVIKQMKAAVAAFFELPLEEKRNYAMAANDIHGYGQGYVVSEHQKLDWCDLMFLVTSPPKYQKMKYWPVTIPGFKEAVEQYSTEILKLTEDIFANISLLMGMDKDALKRLHGEMMKQGIRMNYYPTCSRPELVLGVGPHSDASSITFLLQDDDITGLQIRHEEGWVPVKPIPNAIVVNIGDVIESWSNGVYKSIEHRAVTSVTATRMSIATFVIPDDDVELGPVETMADDYNRPKMYKAIKYVDYLRHTLSKKMDGKANTELLKVEIESN >Potri.006G241400.1.v4.1 pep chromosome:Pop_tri_v4:6:24312013:24314140:1 gene:Potri.006G241400.v4.1 transcript:Potri.006G241400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241400.v4.1 MTKCARCCLRNSIRIVNLMMLLCGTGIIIYSLWLEKKWDQGIAKFPHRQSPLAPWFIFTFLGVGIVVCLSTVGGHIIANRISNSTLLFYIVTICCLLSLEATVVFAIFFKTDWGKKISAYTGEKNTYFEMFILTHVKISRAITILILVAQSSVVILAAILWAVGSEPRTDFLEVDTSVFIQSFLVPAESPGFAERPGHTCRRCGTSLPLGGGNALRSLLSRFKSLLRRRFQRTYTVY >Potri.012G120160.1.v4.1 pep chromosome:Pop_tri_v4:12:13792580:13793919:1 gene:Potri.012G120160.v4.1 transcript:Potri.012G120160.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120160.v4.1 MSEAADPGEVEYDEHTGRWRFKPRGLHITWSSNPDYWTMPEKGTDGPAELLKVCWLEIEGSTPEHLSKGKRYALSFKISMTEDRFGWQEAPAFMMAKVGKKGIAKWARINLADVQVDHEMEVPLGKLQFEVPENAQDTTLYFGFYELWCGGWKGGLRIHEAVVEKMPDLPS >Potri.006G053400.3.v4.1 pep chromosome:Pop_tri_v4:6:3742569:3746409:1 gene:Potri.006G053400.v4.1 transcript:Potri.006G053400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053400.v4.1 MGEAPAFVVDNLLQNQDGFGSKSKGCNTTTTIGDKTYVIGGGNDESTLSVEVQIFDKTTGNCVTPIVLGTKPNTCKGLSAVSLNDDRILIVKKGSTPDDCIWFLEVDTRFVREQKKIQGTDVVAWSKGARGYAEKPVVISGPSGVGKGTLISMFMKEFPSMFGFSVSHTTRAPRCMEKDGVHYHFTERSIMEKEIKDGKFLEYASVHGNLYGTSIEAVEVVTDAGKRCILDIDVQGARSVKASSLEAIFIFICPPSMEELEKRLRSRGTETEEQILKRLRNAKTEMEQGHSSGIFDHILYNDNLDECYESLKKLLGLDGAAAATQRSAPQGIDLPTDHSVSKMDNKVIINCGTPELKKASKNFRIVLDVSSLKGGAPGRTRGLDVYAIDSFSDGLNGINQTS >Potri.006G053400.1.v4.1 pep chromosome:Pop_tri_v4:6:3742670:3746611:1 gene:Potri.006G053400.v4.1 transcript:Potri.006G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053400.v4.1 MGEAPAFVVDNLLQNQDGFGSKSKGCNTTTTIGDKTYVIGGGNDESTLSVEVQIFDKTTGNCVTPIVLGTKPNTCKGLSAVSLNDDRILIVKKGSTPDDCIWFLEVDTRFVREQKKIQGTDVVAWSKGARGYAEKPVVISGPSGVGKGTLISMFMKEFPSMFGFSVSHTTRAPRCMEKDGVHYHFTERSIMEKEIKDGKFLEYASVHGNLYGTSIEAVEVVTDAGKRCILDIDVQGARSVKASSLEAIFIFICPPSMEELEKRLRSRGTETEEQILKRLRNAKTEMEQGHSSGIFDHILYNDNLDECYESLKKLLGLDGAAAATQRSAPQGIDLPTDHSVSKMDNKVIINCGTPELKKASKNLIVLDVSSLKGGAPGRTRGLDVYAIDSFSDGLNGINQTS >Potri.001G407000.1.v4.1 pep chromosome:Pop_tri_v4:1:43432080:43446162:1 gene:Potri.001G407000.v4.1 transcript:Potri.001G407000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407000.v4.1 MMLSASPAAVTRSSLEEMLDSLRRRDEALENSKDLPPALPARPASRARLPSARHSLPTDFKVGSNGQVESKVETRVTKVKEYNKRKEKELGYKSGSFGSKKMRKDQNCVDSNPYVEENNEKAKGPVTGSVPKGKEPEWDDNIGYFIKKRLRVWCRLPNGQWGIGKIQSNSGDEATVSLSSGTVIKVSTEELIPANPDVLEGVDDLIQLSYLNEPSVLHNVKHRYAQDLIYSKAGPVLIAVNPFKDIPIYGNETLTSYKQNAKDSPHVYAIADAAYNEMMRDEKNQSIIISGESGAGKTETAKYAMQYLAALGCGNDGMEYEILQTNCILEAFGNAKTSRNDNSSRFGKLIEIHFTASGKIRGAKIQTFLLEKSRVVQLANGERSYHIFYQLCAGAPSTLRDRLNLKMASEYKYLNQSECLVIDGVDDGMKFHKLVEALDTVQIHKEDQEQAFAMLAAVLWLGNISFQVIDNENHVEALADEAFNSAARLLNCSAQDLMLALSSHKIQAGKDSIAKKLTMQQAIDRRDALSKFIYADLFEWLVVQINKSFEVGELMITGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQQEYEEDGIDWTKVDFEDNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQYLNGNPCFKGERGRAFGVCHYAGEVVYDTNGFLEKNRDPMHSDFIQLLSSCGCQLLKLASPSSQFGGSESSMQSVGTKFKSQLFKLMHQLEKTTPHFIRCIKPNAKQLPGQYEDDLVSKQLRCCGVLEVVRISRSGYPTRMTHQEFAGRYGFLLPETNVSQDPLSLSVAVLKNFNVLPEMYQVGYTKVYLRMGQIGTLEEQRKQFLQGIVGVQKYFRGGQARHNFHELKQGVMILQSFVRGENLRRKFNHIKKKCTARAPIAMDEQLVAAVYLQSVIRGWLARKHFNNMHKMKWLIHENSNSKRKPGKKISEVKVIPQEQIDIQTSILAELQKRVVKAEATIGQKEEENAALQEQLQQYEKRWSDYEAKMKAMEEMWQMQMLSLQTSLAAARKSLAADNTAAQPGKLDSSTSPRDYDSEDNVSMESRTPGGNTPNIFANAFPDLRAGRENNGSVNVVNTLAKEFELQKQNFDDDAKALVEVRAGQSASNMNPDEELRRLKLKFETWKKDYKVRLRETKARLHKLGHGEVDRNRRKWWRR >Potri.001G407000.5.v4.1 pep chromosome:Pop_tri_v4:1:43436173:43446197:1 gene:Potri.001G407000.v4.1 transcript:Potri.001G407000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407000.v4.1 MFSFDQSRVVQLANGERSYHIFYQLCAGAPSTLRDRLNLKMASEYKYLNQSECLVIDGVDDGMKFHKLVEALDTVQIHKEDQEQAFAMLAAVLWLGNISFQVIDNENHVEALADEAFNSAARLLNCSAQDLMLALSSHKIQAGKDSIAKKLTMQQAIDRRDALSKFIYADLFEWLVVQINKSFEVGELMITGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQQEYEEDGIDWTKVDFEDNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQYLNGNPCFKGERGRAFGVCHYAGEVVYDTNGFLEKNRDPMHSDFIQLLSSCGCQLLKLASPSSQFGGSESSMQSVGTKFKSQLFKLMHQLEKTTPHFIRCIKPNAKQLPGQYEDDLVSKQLRCCGVLEVVRISRSGYPTRMTHQEFAGRYGFLLPETNVSQDPLSLSVAVLKNFNVLPEMYQVGYTKVYLRMGQIGTLEEQRKQFLQGIVGVQKYFRGGQARHNFHELKQGVMILQSFVRGENLRRKFNHIKKKCTARAPIAMDEQLVAAVYLQSVIRGWLARKHFNNMHKMKWLIHENSNSKRKPGKKISEVKVIPQEQIDIQTSILAELQKRVVKAEATIGQKEEENAALQEQLQQYEKRWSDYEAKMKAMEEMWQMQMLSLQTSLAAARKSLAADNTAAQPGKLDSSTSPRDYDSEDNVSMESRTPGGNTPNIFANAFPDLRAGRENNGSVNVVNTLAKEFELQKQNFDDDAKALVEVRAGQSASNMNPDEELRRLKLKFETWKKDYKVRLRETKARLHKLGHGEVDRNRRKWWRR >Potri.001G407000.4.v4.1 pep chromosome:Pop_tri_v4:1:43432187:43446210:1 gene:Potri.001G407000.v4.1 transcript:Potri.001G407000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407000.v4.1 MMLSASPAAVTRSSLEEMLDSLRRRDEALENSKDLPPALPARPASRARLPSARHSLPTDFKVGSNGQVESKVETRVTKVKEYNKRKEKELGYKSGSFGSKKMRKDQNCVDSNPYVEENNEKAKGPVTGSVPKGKEPEWDDNIGYFIKKRLRVWCRLPNGQWGIGKIQSNSGDEATVSLSSGTVIKVSTEELIPANPDVLEGVDDLIQLSYLNEPSVLHNVKHRYAQDLIYSKAGPVLIAVNPFKDIPIYGNETLTSYKQNAKDSPHVYAIADAAYNEMMRDEKNQSIIISGESGAGKTETAKYAMQYLAALGCGNDGMEYEILQTNCILEAFGNAKTSRNDNSSRFGKLIEIHFTASGKIRGAKIQTFLLEKSRVVQLANGERSYHIFYQLCAGAPSTLRDRLNLKMASEYKYLNQSECLVIDGVDDGMKFHKLVEALDTVQIHKEDQEQAFAMLAAVLWLGNISFQVIDNENHVEALADEAFNSAARLLNCSAQDLMLALSSHKIQAGKDSIAKKLTMQQAIDRRDALSKFIYADLFEWLVVQINKSFEVGELMITGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQQEYEEDGIDWTKVDFEDNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQYLNGNPCFKGERGRAFGVCHYAGEVVYDTNGFLEKNRDPMHSDFIQLLSSCGCQLLKLASPSSQFGGSESSMQSVGTKFKSQLFKLMHQLEKTTPHFIRCIKPNAKQLPGQYEDDLVSKQLRCCGVLEVVRISRSGYPTRMTHQEFAGRYGFLLPETNVSQDPLSLSVAVLKNFNVLPEMYQVGYTKVYLRMGQIGTLEEQRKQFLQGIVGVQKYFRGGQARHNFHELKQGVMILQSFVRGENLRRKFNHIKKKCTARAPIAMDEQLVAAVYLQSVIRGWLARKHFNNMHKMKWLIHENSNSKRKPGKKISEVKVIPQEQIDIQTSILAELQKRVVKAEATIGQKEEENAALQEQLQQYEKRWSDYEAKMKAMEEMWQMQMLSLQTSLAAARKSLAADNTAAQPGKLDSSTSPRDYDSEDNVSMESRTPGGNTPNIFANAFPDLRAGRENNGSVNVVNTLAKEFELQKQNFDDDAKALVEVRAGQSASNMNPDEELRRLKLKFETWKKDYKVRLRETKARLHKLGHGEVDRNRRKWWRR >Potri.001G407000.3.v4.1 pep chromosome:Pop_tri_v4:1:43433241:43446223:1 gene:Potri.001G407000.v4.1 transcript:Potri.001G407000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407000.v4.1 MMLSASPAAVTRSSLEEMLDSLRRRDEALENSKDLPPALPARPASRARLPSARHSLPTDFKVGSNGQVESKVETRVTKVKEYNKRKEKELGYKSGSFGSKKMRKDQNCVDSNPYVEENNEKAKGPVTGSVPKGKEPEWDDNIGYFIKKRLRVWCRLPNGQWGIGKIQSNSGDEATVSLSSGTVIKVSTEELIPANPDVLEGVDDLIQLSYLNEPSVLHNVKHRYAQDLIYSKAGPVLIAVNPFKDIPIYGNETLTSYKQNAKDSPHVYAIADAAYNEMMRDEKNQSIIISGESGAGKTETAKYAMQYLAALGCGNDGMEYEILQTNCILEAFGNAKTSRNDNSSRFGKLIEIHFTASGKIRGAKIQTFLLEKSRVVQLANGERSYHIFYQLCAGAPSTLRDRLNLKMASEYKYLNQSECLVIDGVDDGMKFHKLVEALDTVQIHKEDQEQAFAMLAAVLWLGNISFQVIDNENHVEALADEAFNSAARLLNCSAQDLMLALSSHKIQAGKDSIAKKLTMQQAIDRRDALSKFIYADLFEWLVVQINKSFEVGELMITGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQQEYEEDGIDWTKVDFEDNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQYLNGNPCFKGERGRAFGVCHYAGEVVYDTNGFLEKNRDPMHSDFIQLLSSCGCQLLKLASPSSQFGGSESSMQSVGTKFKSQLFKLMHQLEKTTPHFIRCIKPNAKQLPGQYEDDLVSKQLRCCGVLEVVRISRSGYPTRMTHQEFAGRYGFLLPETNVSQDPLSLSVAVLKNFNVLPEMYQVGYTKVYLRMGQIGTLEEQRKQFLQGIVGVQKYFRGGQARHNFHELKQGVMILQSFVRGENLRRKFNHIKKKCTARAPIAMDEQLVAAVYLQSVIRGWLARKHFNNMHKMKWLIHENSNSKRKPGKKISEVKVIPQEQIDIQTSILAELQKRVVKAEATIGQKEEENAALQEQLQQYEKRWSDYEAKMKAMEEMWQMQMLSLQTSLAAARKSLAADNTAAQPGKLDSSTSPRDYDSEDNVSMESRTPGGNTPNIFANAFPDLRAGRENNGSVNVVNTLAKEFELQKQNFDDDAKALVEVRAGQSASNMNPDEELRRLKLKFETWKKDYKVRLRETKARLHKLGHGEVDRNRRKWWRR >Potri.001G407000.2.v4.1 pep chromosome:Pop_tri_v4:1:43432076:43446218:1 gene:Potri.001G407000.v4.1 transcript:Potri.001G407000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407000.v4.1 MMLSASPAAVTRSSLEEMLDSLRRRDEALENSKDLPPALPARPASRARLPSARHSLPTDFKVGSNGQVESKVETRVTKVKEYNKRKEKELGYKSGSFGSKKMRKDQNCVDSNPYVEENNEKAKGPVTGSVPKGKEPEWDDNIGYFIKKRLRVWCRLPNGQWGIGKIQSNSGDEATVSLSSGTVIKVSTEELIPANPDVLEGVDDLIQLSYLNEPSVLHNVKHRYAQDLIYSKAGPVLIAVNPFKDIPIYGNETLTSYKQNAKDSPHVYAIADAAYNEMMRDEKNQSIIISGESGAGKTETAKYAMQYLAALGCGNDGMEYEILQTNCILEAFGNAKTSRNDNSSRFGKLIEIHFTASGKIRGAKIQTFLLEKSRVVQLANGERSYHIFYQLCAGAPSTLRDRLNLKMASEYKYLNQSECLVIDGVDDGMKFHKLVEALDTVQIHKEDQEQAFAMLAAVLWLGNISFQVIDNENHVEALADEAFNSAARLLNCSAQDLMLALSSHKIQAGKDSIAKKLTMQQAIDRRDALSKFIYADLFEWLVVQINKSFEVGELMITGRSISILDIYGFESFKNNSFEQFCINYANERLQQHFNRHLFKLEQQEYEEDGIDWTKVDFEDNQECLNLFEKKPLGLLSLLDEESNFPNATDLTFANKLKQYLNGNPCFKGERGRAFGVCHYAGEVVYDTNGFLEKNRDPMHSDFIQLLSSCGCQLLKLASPSSQFGGSESSMQSVGTKFKSQLFKLMHQLEKTTPHFIRCIKPNAKQLPGQYEDDLVSKQLRCCGVLEVVRISRSGYPTRMTHQEFAGRYGFLLPETNVSQDPLSLSVAVLKNFNVLPEMYQVGYTKVYLRMGQIGTLEEQRKQFLQGIVGVQKYFRGGQARHNFHELKQGVMILQSFVRGENLRRKFNHIKKKCTARAPIAMDEQLVAAVYLQSVIRGWLARKHFNNMHKMKWLIHENSNSKRKPGKKISEVKVIPQEQIDIQTSILAELQKRVVKAEATIGQKEEENAALQEQLQQYEKRWSDYEAKMKAMEEMWQMQMLSLQTSLAAARKSLAADNTAAQPGKLDSSTSPRDYDSEDNVSMESRTPGGNTPNIFANAFPDLRAGRENNGSVNVVNTLAKEFELQKQNFDDDAKALVEVRAGQSASNMNPDEELRRLKLKFETWKKDYKVRLRETKARLHKLGHGEVDRNRRKWWRR >Potri.001G219100.1.v4.1 pep chromosome:Pop_tri_v4:1:22894369:22895673:1 gene:Potri.001G219100.v4.1 transcript:Potri.001G219100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219100.v4.1 MSSHLSSWSRLEDKQFEQALVLFPEETPRRWEKISSYVPGKSWREVRKHYEDLVHDVLEIDSGRVEVPLYDQDELWGDSTTSLGGAAAESRSGKEREHTERRKGTPWTEEEHRLFLIGLQKYGKGDWRSISRNAVVSRTPTQVASHAQKYFLRLNSVKKEKKRSSIHDITATNATHSMAQTSHDPNWNFELMDQSVDEPLSRPANFFHDQGNPLAYQGFGFPM >Potri.006G137700.1.v4.1 pep chromosome:Pop_tri_v4:6:11422214:11423051:1 gene:Potri.006G137700.v4.1 transcript:Potri.006G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137700.v4.1 MDVLDSPIDALVFDYVNLGILTIINNLWTWVAVITAAVSFWRIRAAGAVKTLAEPSPSPDYIERKITESSHPETSSSSSSSSSSSSSTTTTTPKSVTEPAAPGSVSSSPSVFEDNGAKKGKFVVYYYQDDDRQNEGNIDGGGEEELTVLGEWDRCGEEITLWERMLRVRMGEYGWYRCQDLTVINGNVVRLWDGVRREKNSSLGRWSENI >Potri.018G141300.2.v4.1 pep chromosome:Pop_tri_v4:18:14635458:14639999:1 gene:Potri.018G141300.v4.1 transcript:Potri.018G141300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141300.v4.1 MFITGQLAALYLSWRLAMVAIPALLMLIIPGLVYGKLLGEVGKMIQEAYEVAGGMVEQAVSSIRTVYSYGGEERTAKDYKIALQPTLKLGIKQGLLKGMAIGTIGITFAVWALQGWYGSTLIINKGAKGGNVFVAGVCVIYGGLALGASLINVKYFIEANMAASQIFKMIYRVPENDPADERGKTMSDVKGEVEFRDIDFEYPSRPGSLVLIKFNLKVMAGQTVGLVGKSGSGKSTVINLLERFYEPLRGDILLDGIDIKNLQLKWLRSQIGLVNQEPVLFATSIKENILFGKEEASMEEVIGAAKAANAHNFIHKLPEGYNTLVGQLGAHMSEGQKQRISIARALLRDPRILLLDEATSALDSHSEKAVQNSLNQASAGRSTIVISHRLSTLRNADVIAVIQSGQVVEFGSHDQLMENRSGAYAVMVQLQRTYMDDSVISEDTQEYGSSVALDNGMIGAEETVDISLSRSFSRSMKTNQQKEDNYSPPSLWQLISMAAPEWKSSLIGCIAALGYGLIQPLHSFCMAALLSVYFTNDHSEIRSQTRIYCFAFLAFAVFTILTNVIQHYYFGITGESLTKRLREEIFHKILTFEIEWFDQENNSTGAVCSRLATDAAMVRNLVVDRLSFLTQATSAATLAVVLGLVLSWRLALVAIALQPCIIAAFYLRVMTMRTMSKKILKAQNRSSNLASEAVGNHRTISAFCSQEKVLKLYELTQVSSKKESHKQSWYAGLGLFISQFLTSALTALIFWYGGRLLFNQKITAKQLFQTFFILVSTGRIIAEGASMTADLSKGTSALKSVFKILQRNTKMEPENSYAIKPEKINGDIEFKQVYFSYLARPEQIILRGLSLKIEAQKVVGLVGRSGSGKSTIIRLIERFYDTASGSVEIDGVDIKCYNLRALRSNIALVSQEPTLFSGKIRDNIAYAKENATEAEIIEAATTANAHDFISSLKDGYETHCGERGVQLSGGQKQRIALARALLKNPAILLLDEATSALDVNSEKLVQEALERTMFGRTCLVVAHRLSTIQKADKVVVIDKGRVVEEGNHSSLLSEGAKGAYYSLVKLQQLSELPTFEEQP >Potri.009G076400.1.v4.1 pep chromosome:Pop_tri_v4:9:7425408:7429264:-1 gene:Potri.009G076400.v4.1 transcript:Potri.009G076400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076400.v4.1 MASNDNPGSESNINGGDAAASSSTSKVGETPTQIQPFRMPTIEEVRAQEVWNNCAVRSVASGVMGGGLGLFMGLFLGALDNPIMQDEMTGRQQFIYTAKQMGRRSWNSCKAFAIMGLVFSAAECVAEKARAKHDTTNTVVAGCVTGGAMSAKGGPKAACFGCAGFAAFSVLIEKFLDRHT >Potri.010G121800.1.v4.1 pep chromosome:Pop_tri_v4:10:13963660:13966334:-1 gene:Potri.010G121800.v4.1 transcript:Potri.010G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121800.v4.1 MKGQTQNQNLVTAFTKLFNAQLQLINVLSLFFLFGCGLATGVILSSYLNNISFNLQVSHFSFSTTTTTASPTFKLPPRVGLKEYLKVPDVKHDMDEKELLWRASVTPNIREFPFDRVPKVAFMFLTKGPVLMAPLWEKFFKGHDGLYSIYVHSSPSYNESEPESPVFHGRRIPSKVVQWGNANMIEAERRLLANALLDIANQRFVLLSESCIPLFNFSTVYTYLMNSTKSHVESYVLEGPVGNGRYSPRMRPGIKIDQWRKGSQWFEIDRDLAIEIVSDRKYFPLFQKYCTGQCYSDEHYLPTFVTMKHSKRNSNRTLTWVDWSRGGPHPAKFLRTEVTIEFLERMRSGSKCVYNGNHTNTCFLFARKFWPNALDRLLRFAPKIMHFNS >Potri.002G110000.1.v4.1 pep chromosome:Pop_tri_v4:2:8212548:8216817:1 gene:Potri.002G110000.v4.1 transcript:Potri.002G110000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110000.v4.1 MPHLGVQLLVQDRHVVMDNGILQVTLSNPDGIVTGIRYSGIDNLLEVQNDESNRGYWDLVWNTAGTTGIFDVIKGRSFKVIVETEEQVEVSFTRTWDSSQEGKLVPLNIDKRFVMLRGSSGFYSYAIYEHLKEWPGFNLGETRIAFKLRKDKFHYMIAADNRQRYMPLPDDRLPPRGQPLAYPEAVQLVNPVEPDFKGEVDDKYQYSIENKDNKVHGWICMDPAVGFWQITPSDEFRSGGPVKQNLTSHVGPTTLVMFLSAHYSGEDLVPKIGAGEAWKKVFGPVFMYFNSVMDGDDPLSLWEDAKLQMLIEVQSWPYGFPASEDYQKSDQRGNVSGRLLVRDRFVSDDYTPANGAYVGLALPGDVGSWQRECKDYQFWNRADEGGYFSINNVRTGDYNLYAWVPGVIGDYRYDVSITITSGCDIEMGDLVYEPPRDGPTLWEIGIPDRSAEEFYVPDPNPKYINKLYVNHPDRFRQYGLWERYAELYPDGDLVYTVGVSDYRKDWFYAQVTRKKDDNTYQRATWQIKFNLDKVDHNGIYKLRVALASATVSELQVRINDPKAKPLFSSGLIGKDNSIARHGIHGLYWLYGIDVPGARLVEGDNAVFLTQPRSISPFQGIMYDYIRLEGPSSSSSNSPETSF >Potri.006G090000.2.v4.1 pep chromosome:Pop_tri_v4:6:6856358:6862817:-1 gene:Potri.006G090000.v4.1 transcript:Potri.006G090000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090000.v4.1 MGTTDLRQLLESLCNNSDWKYAVLWKMRYGSPMILTWEDGYFDCPKPREPLQTISSDVYCNGGNDLASSLRDASASNANFGGHQIELVVADMLHLQYPLGEGVVGEVAYTGDHFWLSFNNIFSCEMSKNLVPEFPEEWLLQFASGIKTILLVPVLPHGVLQLGSFDEVAEDIQIVAYIKGRFNDLHSTRENAVPLTLKREFKAQSTLISCPVEQLNATSAISISQVKSEDSNYSIPVNSVKLHKDEQPEVFKCESKNNSLSPIFADVSPPSESLSASQPGMVESKIFELSYLMDELQAYSDCNEYNVGWFGEPLDGMMNTYPTADMVEQSSGGMDANDVYHKNRQSFLSFPKGSELHKVLGPPFLSQTNEKTWEPSLLVEDSCKSSNFIFSEDHSARIEPSLFAREGEVEFLLEPVAGNSYSSSDNASSNRSHSLKSSEMLSGHLLATSQNQFQTRTLVGDDLAPWNHLASEQQEKDQSYKHPWKGQKMSNVARRRARPGENQKPRPRDRQLIQDRVKELRELVPNGSKCSIDGLLDQTIKHMQYLRSVTDQAEKLRQWVHQEVADRKNCRLSETNVNIQSGKSWAFEFGNDLQICPIVVEDLAYPGHLLIEMLCNDRGVFLEIAQVIRSLDLTILKGVMESRLSNTWAHFIVEACKGFHRLDIFWPLMQLLQRKRSSISGKI >Potri.006G090000.4.v4.1 pep chromosome:Pop_tri_v4:6:6856411:6862822:-1 gene:Potri.006G090000.v4.1 transcript:Potri.006G090000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090000.v4.1 MGTTDLRQLLESLCNNSDWKYAVLWKMRYGSPMILTWEDGYFDCPKPREPLQTISSDVYCNGGNDLASSLRDASASNANFGGHQIELVVADMLHLQYPLGEGVVGEVAYTGDHFWLSFNNIFSCEMSKNLVPEFPEEWLLQFASGIKTILLVPVLPHGVLQLGSFDEVAEDIQIVAYIKGRFNDLHSTRENAVPLTLKREFKAQSTLISCPVEQLNATSAISISQVKSEDSNYSIPVNSVKLHKDEQPEVFKCESKNNSLSPIFADVSPPSESLSASQPGMVESKIFELSYLMDELQAYSDCNEYNVGWFGEPLDGMMNTYPTADMVEQSSGGMDANDVYHKNRQSFLSFPKGSELHKVLGPPFLSQTNEKTWEPSLLVEDSCKSSNFIFSEDHSARIEPSLFAREGEVEFLLEPVAGNSYSSSDNASSNRSHSLKSSEMLSGHLLATSQNQFQTRTLVGDDLAPWNHLASVCISGSGNTDTTAALDSMMSTIFDQEQQEKDQSYKHPWKGQKMSNVARRRARPGENQKPRPRDRQLIQDRVKELRELVPNGSKCSIDGLLDQTIKHMQYLRSVTDQAEKLRQWVHQEVADRKNCRLSETNVNIQSGKSWAFEFGNDLQICPIVVEDLAYPGHLLIEMLCNDRGVFLEIAQVIRSLDLTILKGVMESRLSNTWAHFIVEACKGFHRLDIFWPLMQLLQRKRSSISGKI >Potri.006G090000.5.v4.1 pep chromosome:Pop_tri_v4:6:6856449:6862701:-1 gene:Potri.006G090000.v4.1 transcript:Potri.006G090000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090000.v4.1 MGTTDLRQLLESLCNNSDWKYAVLWKMRYGSPMILTWEDGYFDCPKPREPLQTISSDVYCNGGNDLASSLRDASASNANFGGHQIELVVADMLHLQYPLGEGVVGEVAYTGDHFWLSFNNIFSCEMSKNLVPEFPEEWLLQFASGIKTILLVPVLPHGVLQLGSFDEVAEDIQIVAYIKGRFNDLHSTRENAVPLTLKREFKAQSTLISCPVEQLNATSAISISQVKSEDSNYSIPVNSVKLHKDEQPEVFKCESKNNSLSPIFADVSPPSESLSASQPGMVESKIFELSYLMDELQAYSDCNEYNVGWFGEPLDGMMNTYPTADMVEQSSGGMDANDVYHKNRQSFLSFPKGSELHKVLGPPFLSQTNEKTWEPSLLVEDSCKSSNFIFSEDHSARIEPSLFAREGEVEFLLEPVAGNSYSSSDNASSNRSHSLKSSEMLSGHLLATSQNQFQTRTLVGDDLAPWNHLASVCISGSGNTDTTAALDSMMSTIFDQEQQEKDQSYKHPWKGQKMSNVARRRARPGENQKPRPRDRQLIQDRVKELRELVPNGSKCSIDGLLDQTIKHMQYLRSVTDQAEKLRQWVHQEVADRKNCRLSETNVNIQSGKSWAFEFGNDLQICPIVVEDLAYPGHLLIEMLCNDRGVFLEIAQVIRSLDLTILKGVMESRLSNTWAHFIVEACKGFHRLDIFWPLMQLLQRKRSSISGKI >Potri.007G080100.3.v4.1 pep chromosome:Pop_tri_v4:7:10451383:10456947:1 gene:Potri.007G080100.v4.1 transcript:Potri.007G080100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080100.v4.1 MICGLLVRSGSHFLLYDPYYIPPLWKLRSNPFFIFIFVGVGKTSLVHLIVKGSSSARPPQTIGCTVGVKHISYGNSSSSSSSIKGDSERDFFVELWDVSGHDRYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWASEIAATGTFSAPLSSGGPGGLPVPYIVIGNKSDVAAKEGTRGSSGNLVDAARQWVEKQGLLPSSEEIPLTESFPGSGGLIAAAKEARYDKEAVVKFFRTLIRRRYFSDELPAPSPWSAYPVQRSVQRLDENTSDEDPFYKTTSLAGDPYKYNTLPPLPAQRNLTPPPTLYPQQPVSVTESYSIPRFTLTGSQEISNTARLKRMDINV >Potri.007G080100.2.v4.1 pep chromosome:Pop_tri_v4:7:10451726:10456999:1 gene:Potri.007G080100.v4.1 transcript:Potri.007G080100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080100.v4.1 MFWRDRERENKDQNGGPPCGQVRVLVVGDSGVGKTSLVHLIVKGSSSARPPQTIGCTVGVKHISYGNSSSSSSSIKGDSERDFFVELWDVSGHDRYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWASEIAATGTFSAPLSSGGPGGLPVPYIVIGNKSDVAAKEGTRGSSGNLVDAARQWVEKQGLLPSSEEIPLTESFPGSGGLIAAAKEARYDKEAVVKFFRTLIRRRYFSDELPAPSPWSAYPVQRSVQRLDENTSDEDPFYKTTSLAGDPYKYNTLPPLPAQRNLTPPPTLYPQQPVSVTESYSIPRFTLTGSQEISNTARLKRMDINV >Potri.005G142200.2.v4.1 pep chromosome:Pop_tri_v4:5:11397538:11399524:-1 gene:Potri.005G142200.v4.1 transcript:Potri.005G142200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142200.v4.1 MDSVHPGMVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGLVNYNPLERREACKGGKEASKKCPQSQASSKGSTAAPKVQSSHNARRNDVSSSNQSVKASKPSCPVPAYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQSPGIENLPVVAAMKRILYSTDDDASVLAEAQAMVSLHQKEAEYLSPIAEVSTEEKENSDPQKRKNIVNLGVDAVGISTLSPRQRLSDATDVRCSGSPLMTY >Potri.005G142200.1.v4.1 pep chromosome:Pop_tri_v4:5:11397544:11399619:-1 gene:Potri.005G142200.v4.1 transcript:Potri.005G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142200.v4.1 MASNIGMMDSAYFVGRSEILCWINSTLQLNLSKVEEACSGAVHCQLMDSVHPGMVPMHKVNFDAKNEYEMIQNYKVLQDVFNKLKITKHIEVSKLVKGRPLDNLEFMQWMKRYCDSVNGGLVNYNPLERREACKGGKEASKKCPQSQASSKGSTAAPKVQSSHNARRNDVSSSNQSVKASKPSCPVPAYDEQITELKLSVDSLEKERDFYFAKLRDIEILCQSPGIENLPVVAAMKRILYSTDDDASVLAEAQAMVSLHQKEAEYLSPIAEVSTEEKENSDPQKRKNIVNLGVDAVGISTLSPRQRLSDATDVRCSGSPLMTY >Potri.010G248200.1.v4.1 pep chromosome:Pop_tri_v4:10:22231627:22233945:-1 gene:Potri.010G248200.v4.1 transcript:Potri.010G248200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248200.v4.1 MAHYTLSSCVLLALLVMVFTSYLAIAEQHNVLSYGAKPDGGTDSTTAFLAAWAQACSSISPSTIYVPPGRFFLQNVEFKGPCKNKAIVVRIDGTLLAPTDYRIIGNAGNWILFQNVDGVTVSGGVLDGHGSGLWDCKRSGKNCPSGATTLRFSNSNNIVISGLTSLNSQMFHIVINGCNSVKIQGVRITASGNSPNTDGIHVQLSSNITILSSKIGTGDDCVSIGAGTTNLWIENVVCGPGHGISIGSLGKDLNEPGVQNVTAKTITFIGTQNGLRIKSWGRPSDGFVRNVLFQHAAMTNVQNPIVIDQNYCPDNINCPGQASGVKISDVIYQDIHGTSATQVAVRFDCSIKFPCTGIKMEDVKLTYKNQPAGASCNNADGTTSGVIQPNSCL >Potri.016G095001.1.v4.1 pep chromosome:Pop_tri_v4:16:8850383:8851021:1 gene:Potri.016G095001.v4.1 transcript:Potri.016G095001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G095001.v4.1 MFAIEKSGSSPGTRQGNLCVAVCTPLYFPMIIECWNVRGLNDPIKHSALRELIHQERIALFGLVETRVRDKNKNNVSQLLLRNWSFLYNFDFSCRGRFWVCWNVDTVKVDVFGMSHQAIHVSVTILATNMCFNTSIIYGDNNASLREALLSDIVSCSDGWESTPWILMGDFNAIRNQSDRLGGATSTMDKLDTCIREANVFRYALYLVEPMS >Potri.008G150100.1.v4.1 pep chromosome:Pop_tri_v4:8:10260730:10267706:-1 gene:Potri.008G150100.v4.1 transcript:Potri.008G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150100.v4.1 MELKKHQDQRVPERKGQKRKLEEEIEEGKREISAAEAAAAAPYGEARRVILNEVYTQVNILNSTFSWHETHRGAAKRATHILAELAKNEEVVNVIVEGGAVPALVKHLEAPPSSEIDHNNSKPFEHEVEKESAFALGLLAVKPEHQQIIVDAGALSHLVSLLKRQRDVHRDGSNSRAVNSVIRRAADAITNLAHENSSIKTRVRMEGGIPPLVELLEFTDTKVQRAAAGALRTLAFKNDENKNQIVECYALPTLILMLRSDDAAIHYEAVGVIGNLVHSSPNIKREVLAAGALQPVIGLLSSCCSESQREAALLLGQFAATDSDCKVHIVQRGAVRPLIEMLQSPDVQLREMSAFALGRLAQDTHNQAGIAHNGGLVPLLKLLDSKNGSLQHNAAFALYGLADNEDNVSDFISVGGVQKLQDGEFIVQATKDCVAKTLKRLEEKIHGRVLNHLLYLMRVAEKAVQRRVALALAHLCSPDDQRAIFIDNCGLDLLLGLLGSSSLKQQLDGAIALYRLANKATTLSPVDAAPPSPTPQVYLGEQYVNNPTLSDVTFLVEGRRFYAHRICLLASSDAFRAMFDGGYREKDARDIEIPNIRWEVFELMMRFIYTGSVDVTLIIAQDLLRAADQYLLEGLKRLCEYTIAQDITLENIGSMYELSEAFHAISLRHRCILFILEQFDKLSDKPRHSQLIQRIIPEIRNYFEKALTNPHQHNSRL >Potri.004G142600.2.v4.1 pep chromosome:Pop_tri_v4:4:16542017:16543094:-1 gene:Potri.004G142600.v4.1 transcript:Potri.004G142600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G142600.v4.1 MASTERRMASAIIEGAAQPVEASKSGPVAVADSEEEGEEELFEIDIDIVNCIPPPHYHWETYFTATGCALLANCLLPIADLSTAVPIQSSIEYKHREIISLFYLL >Potri.005G184900.2.v4.1 pep chromosome:Pop_tri_v4:5:19188151:19193738:-1 gene:Potri.005G184900.v4.1 transcript:Potri.005G184900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184900.v4.1 MANTAAACAERATSDMLIGPDWAVNIELCDIINMDPRQAKDALKILKKRLGSKNPKIQLLALFALETLSKNCGDSVFQQIIERDILHDMVKIVKKKPDLNVREKILILIDTWQEAFGGQRGRYPQYYAAYNELRASGVEFPPQAENSVPFFTPPQTQPIADAPLAYEDAAIQASLQADASGLSLLEIQSAHGIADVLMEILSALDPKNPEGVKQEVIVDLVDQCRSYQKRVRLLVNNTVDEELLCHGLALNDNLQRVLRQHDDIAKGTPIVGEREMETSLVPLANINHEDDELEDDFAQLAHRSSRDNSQGLSRKPVSVRTQPGRVSPFIPPPPSSKKSVSADSGMIDYLSGDLYKSEGPPQTSEPTSLKVPNVSFSPPYSPTLPASSPPANAMNSSPVLTGLPVYDEPAPLSQSGDRLPPAPWDVQSPGFLPPPPSRYNQRQQFFGQHHSVPGGASNSSSGSGSSYDSLVGQTQSLSLNPSTPPKQARKEDALFKDLVDFARSKSSSPSKPNNRSF >Potri.005G214400.9.v4.1 pep chromosome:Pop_tri_v4:5:21771258:21776971:1 gene:Potri.005G214400.v4.1 transcript:Potri.005G214400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214400.v4.1 MRSSFTSESCKESQLNSLNPQSWLQVERGKLSKLSSQSSTSPTSSSPSIESFIKVPEPPVQPFFKPGDYVEVLAQIHEELESCSPQERSNLYLFQYQLFKGLGEAKLMRRSLRSAWLKGSTVHEKLVFGAWLKFERQGEELISDLLATCGKCAQESGQIDVSSDLDIDISSSSRETVSMMNGSHILRSVSFKIGDEKIVCDRQKIASLSAPFHAMLNGCFSESLCEHIDLSENNISPLGFRSISEFSITGSLNEESPNVLLEMLIFANKFCCERLKDVCDRKLASLVSSRDDAVELMECALEENSPVLAASCLQVFLQDLPDCLNDDRVVEIFSHANKQEKMIMVGPASFSLYCLLSEVAMNLDPQSDKTACFLDQLVESAQTNRQKLLAFHQLGCVRLLRKEYDEAERLFEAALNAGHIYSVSGLARLGRIRGHRLWAFDKLSSVISSGTPLGWMYLERSLCCEGDKRWEDLEKATELDPTLTYPYMYRAAALMRRQNVQAALAEINRILGFKLALECLELRFCFYLALENYQAAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVENWTTADCWLQLYDRWSSVDDTGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASTEHERLVYEGWILYDTGHCNEGLQKAEESINIKKSFEAFFLKAYALADSSLDPSCSSTVISLLEEALKCPSDRLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHQGLARVHFLRNEKTAAYEEMTKLIEKAQNNASAYEKRSEYCDRELTKADLEMVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELSRAIVFKADLHLLHLRAAFHEHTGDVLAALRDCRAALSVDPNHREMLELHNRVNSHEP >Potri.005G214400.1.v4.1 pep chromosome:Pop_tri_v4:5:21770838:21776834:1 gene:Potri.005G214400.v4.1 transcript:Potri.005G214400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214400.v4.1 MRSSFTSESCKESQLNSLNPQSWLQVERGKLSKLSSQSSTSPTSSSPSIESFIKVPEPPVQPFFKPGDYVEVLAQIHEELESCSPQERSNLYLFQYQLFKGLGEAKLMRRSLRSAWLKGSTVHEKLVFGAWLKFERQGEELISDLLATCGKCAQESGQIDVSSDLDIDISSSSRETVSMMNGSHILRSVSFKIGDEKIVCDRQKIASLSAPFHAMLNGCFSESLCEHIDLSENNISPLGFRSISEFSITGSLNEESPNVLLEMLIFANKFCCERLKDVCDRKLASLVSSRDDAVELMECALEENSPVLAASCLQVFLQDLPDCLNDDRVVEIFSHANKQEKMIMVGPASFSLYCLLSEVAMNLDPQSDKTACFLDQLVESAQTNRQKLLAFHQLGCVRLLRKEYDEAERLFEAALNAGHIYSVSGLARLGRIRGHRLWAFDKLSSVISSGTPLGWMYLERSLCCEGDKRWEDLEKATELDPTLTYPYMYRAAALMRRQNVQAALAEINRILGFKLALECLELRFCFYLALENYQAAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVENWTTADCWLQLYDRWSSVDDTGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASTEHERLVYEGWILYDTGHCNEGLQKAEESINIKKSFEAFFLKAYALADSSLDPSCSSTVISLLEEALKCPSDRLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHQGLARVHFLRNEKTAAYEEMTKLIEKAQNNASAYEKRSEYCDRELTKADLEMVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELSRAIVFKADLHLLHLRAAFHEHTGDVLAALRDCRAALSVDPNHREMLELHNRVNSHEP >Potri.005G214400.10.v4.1 pep chromosome:Pop_tri_v4:5:21770838:21776596:1 gene:Potri.005G214400.v4.1 transcript:Potri.005G214400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214400.v4.1 MRRSLRSAWLKGSTVHEKLVFGAWLKFERQGEELISDLLATCGKCAQESGQIDVSSDLDIDISSSSRETVSMMNGSHILRSVSFKIGDEKIVCDRQKIASLSAPFHAMLNGCFSESLCEHIDLSENNISPLGFRSISEFSITGSLNEESPNVLLEMLIFANKFCCERLKDVCDRKLASLVSSRDDAVELMECALEENSPVLAASCLQVFLQDLPDCLNDDRVVEIFSHANKQEKMIMVGPASFSLYCLLSEVAMNLDPQSDKTACFLDQLVESAQTNRQKLLAFHQLGCVRLLRKEYDEAERLFEAALNAGHIYSVSGLARLGRIRGHRLWAFDKLSSVISSGTPLGWMYLERSLCCEGDKRWEDLEKATELDPTLTYPYMYRAAALMRRQNVQAALAEINRILGFKLALECLELRFCFYLALENYQAAICDVQAILTLSPDYRMFEGRVAASQLRTLVREHVENWTTADCWLQLYDRWSSVDDTGSLSVIYQMLESDAAKGVLYFRQSLLLLRLNCPEAAMRSLQLARQHASTEHERLVYEGWILYDTGHCNEGLQKAEESINIKKSFEAFFLKAYALADSSLDPSCSSTVISLLEEALKCPSDRLRKGQALNNLGSVYVDCGKLDLAADCYINALKIRHTRAHQGLARVHFLRNEKTAAYEEMTKLIEKAQNNASAYEKRSEYCDRELTKADLEMVTQLDPLRVYPYRYRAAVLMDSHKEKEAIAELSRAIVFKADLHLLHLRAAFHEHTGDVLAALRDCRAALSVDPNHREMLELHNRVNSHEP >Potri.001G010900.2.v4.1 pep chromosome:Pop_tri_v4:1:701793:704243:1 gene:Potri.001G010900.v4.1 transcript:Potri.001G010900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010900.v4.1 MTDSSLPISSAKHRLLTKSADTSKPNFIPFTGRKSLSFAFGFTFIFIPSTFLLLYIQSFSGYSFPFSSLISHFLSNSSSLSHQHHTLLHVPNESPLADAKYGVHSLSQEYNLTSEKSPLLSAGLNDTVAQHGKVDAGNDSHGEEEQYALKRENGDKKRAVEKERVESNVLALDSKGRDRNTKIEKWVRKMEQCNWFDGKWGKDDSYPIFAPGSCPHIDEPFNCFINGRLDSEYQKYRWQPRHCNIPRMNGKIMLEMLRGKRLVFVGDSLNRNMWESLVCILRNSVEDKSKVFEASGREEFRSESSYSFIFEDYNSSVEFFQSPFLVQEWEMEGKNGSKKETLRLDMLERSSDNYKTADVLIFNSGHWWTHEKTLDGRGYYQEGSHVYSQLNVDKAFRKALRTWARWVETKTDPFKTLVFFRGYSVSHFRGGEWDSGGKCDSETKPLMEETYFEEDPPMVKILESTLKRMKTPVFYLNVTRMTNFRRDAHPSVYREQNMTEEGRSLSRVQDCSHWCLPGVPDTWNEIVYSHLLFKHKQKELKQQQQRLVHNSMRLH >Potri.004G215500.1.v4.1 pep chromosome:Pop_tri_v4:4:22143940:22147657:-1 gene:Potri.004G215500.v4.1 transcript:Potri.004G215500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G215500.v4.1 MEKVMNIIKPKPNPQQQLRDWQRRLRQECRNIERQIRDIQREEKTVQKAIRDAAKRNDMVSAKALAKEIVMSRRTVNRLYENKAQMNSISMHLGESVAIARTVGHLSKSAEVMKLVNDLMKAPDVAVTMQEFSKEMTKAGVIEEFVNDALDSALDSEDMEEEIEEEVDKVLTAIAGETAAELPAAVRKERVKQSAQMEEEEAIAEGVDDEGELEEIRARLASVRS >Potri.001G151700.2.v4.1 pep chromosome:Pop_tri_v4:1:12686068:12692942:-1 gene:Potri.001G151700.v4.1 transcript:Potri.001G151700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151700.v4.1 MGKQGPCCHCGVTSTPLWRNGPPEKPVLCNACGSRWRTKGTLANYTPLHARAGPDDYEDHRVSRLKSISMNKNREVKLLKRKPNYDHRVAEGVALDYNEGYRKVVDEDTSNRSSSGSAISNSESCAQFGSADASDLTGPAQSVVWDSLVPSRKRTCVNRPKPSPVEKLTKDLYTILHEQQSSCFSGSSEEDLLFDNETPMVSVEIGHGSVLIRHPSSIARDEESEASSLSVENKQYSTNEAYSHPVILPVHNENQSVNMTYPVTVKTKNLSGQGMQQEQLNRDKSPHEKVHILGSHNSPLCSVDLNDILNFEEFARHLTNEEQQQLLKYLPPLDTAKLPNSIKSMFDSPQFKENINCYQQLLSEGVFDLSLEAKSEDCKTLKRLTLSNLSKSKWVERYHLLKKCKNSTGKSLVGKGPNPNVVASSNLIGAKRSRDNLSQKFSEAKSMKSPKRIVMKATYEIKELIDNDGSCFSPRSLFALPPDGSSLMLDSLHFVDESSDQDLLLDIPSNGSFAQAELLYPTNNSFGQQASTSSSSIYPHLGCP >Potri.007G119400.1.v4.1 pep chromosome:Pop_tri_v4:7:13766638:13767649:1 gene:Potri.007G119400.v4.1 transcript:Potri.007G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119400.v4.1 MEIELVNKKLTTTDIKSCLVYPTANLRAFQMVQGENAIRFNARDPTGRVWEFKLCTRNHGRYKKPVIRGDWLDYVREKGLTVNDSIILTMVADAENGGSYNIRVEPNTELAI >Potri.002G147702.1.v4.1 pep chromosome:Pop_tri_v4:2:11124293:11126306:1 gene:Potri.002G147702.v4.1 transcript:Potri.002G147702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147702.v4.1 MEGDKGKVCVTGGTGFLASWLIMRLLEHGYSVHTTIRPDPEHKRDVSFLTSLPEASEKLQIFQADLSDPNSFEVAIKGCIGVFHVATPVDFESKEPEEVVVQRAIDGTLGILKACLNSMTVKRVVYTSSGAAVVFNDSDVDTMDESYWTDVEHVKALKSFAGPYFISKTLTEKRALEFADEHGFDLVTIIPSFINGPFICSKFPGSVHTSLAMVLGEQQKYGTLLNMSMVHVDDVARAHIFLFEHPDAKGRNICSSHTITIEKMSKFLSSKYPECPLPSLESLKEIEGTRLAGLSSKKLLDLGFKFKCGLDEMFDGAIQSCKEKGYL >Potri.001G382000.2.v4.1 pep chromosome:Pop_tri_v4:1:40192292:40195194:-1 gene:Potri.001G382000.v4.1 transcript:Potri.001G382000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382000.v4.1 MTMDTLRFFQLLFLACFTSVVMACNDDFISAVGDPGMRRDGLRVAIEAWNQCNEVGEEAVNMGSPRMADCFDVDHSTSSVNLIHKVSEDENRLGLLNDTYGRIKARSYDRYAPKKQLYLGNKCQVKDYPKPWQFWMIMLKSGNMDTLAARCPENGKKSEPFAPESRFPCFGEGWMNMPKMYHNYTGVHGNNTLDANVKSGVVDNSTSYFNVTWQKELGKGSWVFHFYLKTSSKYPWLMLYLRSDATKGFSGGYHYQTRGMSKIVPKSQNFKVKFKLNITQGGGPGSQFYLMDIGSCWKNDGSTLNGNQTLMFSYI >Potri.008G054000.1.v4.1 pep chromosome:Pop_tri_v4:8:3172262:3175415:1 gene:Potri.008G054000.v4.1 transcript:Potri.008G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054000.v4.1 MAGKGEGPAIGIDLGTTYSCVGVWQHDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPVNTVFDAKRLIGRRFSDPSVQSDVKLWPFKVIAGPGDKPMIVVTYKGEEKQFAAEEISSMVLIKMREIAEAYLGTTIKNAVVTVPAYFNDSQRQATKDAGVIAGLNVMRIINEPTAAAIAYGLDKKATSVGEKNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRMVNHFVQEFKRKNKKDISGNPRALRRLRTACERAKRTLSSTAQTTIEIDSLYEGIDFYSSITRARFEEMNMDLFRKCMEPVEKCLRDAKMDKSTVHDVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGASVQAAILSGEGNEKVQDLLLLDVTPLSLGLETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQPGVLIQVYEGERTRTRDNNLLGKFELSGIPPAPRGVPQITVCFDIDANGILNVSAEDKTTGQKNKITITNDKGRLSKEEIEKMVEEAEKYKSEDEEHKKKVEAKNALENYAYNMRNTVKDEKIGSKLNPADKKKIEDAIDQAIHWLDSNQLAEADEFEDKMKELESICNPIIAKMYQGDGADMGGRMDEDAPSTGGSGAGPKIEEVD >Potri.001G377100.2.v4.1 pep chromosome:Pop_tri_v4:1:39664849:39668149:1 gene:Potri.001G377100.v4.1 transcript:Potri.001G377100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377100.v4.1 MMNTKWRDEQHPSFINFIASFLSANSFRLNFVPIAPDCIFNCGGLSVAFIFVTNWDCQNCEPIFSRVKKLKGQFANLYVVVSLPIKEQNDSFVHSYFKYGMELGKPTFVPVQDLEMGFEKIVKIAHSRGTCKRQDALSKLKAERKQSVQGMGNFLRVVTSIPGIDNHDANALNQAIGSIEAIAKASKGYILENTDLSADNAETVTKFFRDPKFYLGPKIN >Potri.001G377100.6.v4.1 pep chromosome:Pop_tri_v4:1:39664849:39668102:1 gene:Potri.001G377100.v4.1 transcript:Potri.001G377100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377100.v4.1 MSNLNSSYRYPTPQIPSNSGFLRSSFINPVNAGSSAGVCMMNTKWRDEQHPSFINFIASFLSANSFRLNFVPIAPDCIFNCGGLSVAFIFVTNWDCQNCEPIFSRVKKLKGQFANLYVVVSLPIKEQNDSFVHSYFKYGMELGKPTFVPVQDLEMGFEKIVKIAHSRGTCKRQDALSKLKAEEAIGARDGQFS >Potri.001G377100.7.v4.1 pep chromosome:Pop_tri_v4:1:39664849:39668150:1 gene:Potri.001G377100.v4.1 transcript:Potri.001G377100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377100.v4.1 MMNTKWRDEQHPSFINFIASFLSANSFRLNFVPIAPDCIFNCGGLSVAFIFVTNWDCQNCEPIFSRVKKLKGQFANLYVVVSLPIKEQNDSFVHSYFKYGMELGKPTFVPVQDLEMGFEKIVKIAHSRGTCKRQDALSKLKAEVSL >Potri.001G377100.3.v4.1 pep chromosome:Pop_tri_v4:1:39664849:39668102:1 gene:Potri.001G377100.v4.1 transcript:Potri.001G377100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377100.v4.1 MSNLNSSYRYPTPQIPSNSGFLRSSFINPVNAGSSAGVCMMNTKWRDEQHPSFINFIASFLSANSFRLNFVPIAPDCIFNCGGLSVAFIFVTNWDCQNCEPIFSRVKKLKGQFANLYVVVSLPIKEQNDSFVHSYFKYGMELGKPTFVPVQDLEMGFEKIVKIAHSRGTCKRQDALSKLKAERKQSVQGMGNFLRVVTSIPGIDNHDANALNQAIGSIEAIAKASKGYILENTDLSADNAETVTKFFRDPKFYLGPKIN >Potri.001G377100.5.v4.1 pep chromosome:Pop_tri_v4:1:39664849:39668150:1 gene:Potri.001G377100.v4.1 transcript:Potri.001G377100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377100.v4.1 MSNLNSSYRYPTPQIPSNSGSSAGVCMMNTKWRDEQHPSFINFIASFLSANSFRLNFVPIAPDCIFNCGGLSVAFIFVTNWDCQNCEPIFSRVKKLKGQFANLYVVVSLPIKEQNDSFVHSYFKYGMELGKPTFVPVQDLEMGFEKIVKIAHSRGTCKRQDALSKLKAEVSL >Potri.001G377100.1.v4.1 pep chromosome:Pop_tri_v4:1:39664847:39668149:1 gene:Potri.001G377100.v4.1 transcript:Potri.001G377100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377100.v4.1 MSNLNSSYRYPTPQIPSNSGSSAGVCMMNTKWRDEQHPSFINFIASFLSANSFRLNFVPIAPDCIFNCGGLSVAFIFVTNWDCQNCEPIFSRVKKLKGQFANLYVVVSLPIKEQNDSFVHSYFKYGMELGKPTFVPVQDLEMGFEKIVKIAHSRGTCKRQDALSKLKAERKQSVQGMGNFLRVVTSIPGIDNHDANALNQAIGSIEAIAKASKGYILENTDLSADNAETVTKFFRDPKFYLGPKIN >Potri.001G377100.4.v4.1 pep chromosome:Pop_tri_v4:1:39664849:39668149:1 gene:Potri.001G377100.v4.1 transcript:Potri.001G377100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377100.v4.1 MSNLNSSYRYPTPQIPSNSVNAGSSAGVCMMNTKWRDEQHPSFINFIASFLSANSFRLNFVPIAPDCIFNCGGLSVAFIFVTNWDCQNCEPIFSRVKKLKGQFANLYVVVSLPIKEQNDSFVHSYFKYGMELGKPTFVPVQDLEMGFEKIVKIAHSRGTCKRQDALSKLKAERKQSVQGMGNFLRVVTSIPGIDNHDANALNQAIGSIEAIAKASKGYILENTDLSADNAETVTKFFRDPKFYLGPKIN >Potri.002G145200.1.v4.1 pep chromosome:Pop_tri_v4:2:10961143:10965477:-1 gene:Potri.002G145200.v4.1 transcript:Potri.002G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145200.v4.1 MTDPNLELQESGWEELRREARKIEGDLDVKLSSYAKLGARFTTQGGGYVEGGSPRVGSSRSWKSMEMEIQSSLEKLLDINDAMSRCAAASAATSVTQKLARHRDILHEFTQEFRRIKGNINSMREHAELLSSVRDDISEYKASGSMSPRVHLLRERAAIHGSIAHIDDVINQAQTTRAVLGSQRTFFGDVQGKVKVLSDKFPIIRGLLGSIRRRRSRDTLILSAVIAACTLFLIIYWLSK >Potri.012G043200.1.v4.1 pep chromosome:Pop_tri_v4:12:3870834:3872110:1 gene:Potri.012G043200.v4.1 transcript:Potri.012G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043200.v4.1 MALVRERRQVNLRLPELSDRRPRFPLPLPPTSTINNNNTTSTISCNDIEKIHVLGHGNGGTVYKVRHKRNSQIYALKVVHGDSDPLVRRQIYREIEILRRTDSPNIVKCHGVYEKPSGDIAITMEYMDLGTLDSLLQKHGTFNESKLSHVASQVLNGLSYLHAQKIIHRDIKPSNLLVNKDMEVKIADFGVSKIMHRTLDACNSYVGTCAYMSPERFDPDTYGGNYNGYAADIWSLGLILLELYLGHFPFLPPGQRPDWATLMCAICFGDPPSLPEGASEEFRDFIQCCLQKESGKRWTAAQLLAHPFACKVPRSDLVDL >Potri.019G118200.1.v4.1 pep chromosome:Pop_tri_v4:19:14427151:14428855:1 gene:Potri.019G118200.v4.1 transcript:Potri.019G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118200.v4.1 MGRVPCCDKNGLKKGPWTPEEDHKLISFIQLHGPGNWRSLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHSVLGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRNGIDPVTHAPRLDLLDLSSIISSALCNPSTLLNLSTLVGNTQALLNPNILRLATTLSSLKQENSDMFLQKLQENQLFNPLLQNQDVPSLFSQPRQFHNLAQQVPACTTATTSTGGFIDQTTQVMQANVEGFSSNPTSTFNCQNSPENFVPSSLNESLVSLPNFYCNGTTTDPTVPEPGEHSGFQSANNGYQNFSIDSVISTPLMSPDPLNSSSTYLNSSSTEDERECYSSLLKFEIPESLNIDDFL >Potri.005G030700.2.v4.1 pep chromosome:Pop_tri_v4:5:1986108:1992301:-1 gene:Potri.005G030700.v4.1 transcript:Potri.005G030700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030700.v4.1 MASSSSPTTPYLKHEVFLSFRGFDTRNSFTSHLYDALQRNQIDAYIDNKLDGGEKIEPALLKRIEESFISLVIFSENYADSTFCLRELSKILECMETKQQMVLPVFYRLDPSHVQNLTGSYGDALCKHEKDCSSEEVKSWRRALKEIANLKGWDSNVIKDETKLIQEIVSDIQKKFSHELSLSFDAEGLVGMKSRVKDIESLLSFGSTGVLIVGIWGIGGIGKSTTADVVYHRNRSKFEGHCFFQNVREESKRHGVNHVRQEILGEVLEKKDMTIRPIGLPPDIKRMLQRKKVLIVLDDVNDPQDLKYLLGEDGLFGQGSRIMVTSRDRRVLENACDEDKIYEVEILDEDDALRLFSLHAFKQDRPMEGYTGLSKTVVSCVKGIPLVLKVLGANLYNKRSVEYWESKVAQLRTNGSEDIKKHLEMCYHELNQTEKKIFLDIACFFELCERDILQQTLDLKERSGIDRLADMCLIKIVHGKIWMHDVLLLLGREIVLRENDDPRERSRLWEDEDVCRVLTTQGTRSKVESISLILDATKDQLRLSPTAFEGMYNLRLLKIYYPPFLKNPSKEQIMNRKRVGIHLPGGLHFLSSELRFLYWYNYPLKSLPSNFFPEKPFQLEMPCSQLEQLWNEGQPLENLKLMNPPSSKLSLIDSDLSKIPNLEVLHPGHYSSLAGIPSSIKYSTSLATLELPRFESFCTLPSSIGCLSQLVRLNLSLCESLASLPDNIDELKSLVELDLYSCSKLASLPNSICKLKCLTKLNLGHQPKLVSLPDNIGELRSLVKLSLFSCSKLVSLPDSIGELRSLVELSLSSCSKLASLPDSIGQLKSLVELRLSSCSKLASLPDSIGELRSLVELRLSSCSGLASLPDSIGGLKSLQWFDLNGCFGLASLPDNIGALKSLQWLYLNGCSGLASLPNNIGGLESLKSLLSRLTSLPDNINELKSLKLFYHSGRSGLASLTDTIDGLKSLKLLDLSGRSGLTSLPDNIGALKSLENLDLSGCSGLASLPDNIGSLKSLKSLNLNGWSALTSLSDKIGALKSLNNIDLSGCSGLASLPDSIGVLKSLNNINLSGCSGLANLPDSIGALKSLKSLHLCGCSRLASLPDRIGRLKSLTWLNLSGCSGLKSLPDSIGELKSLERLDLYDCSGLASLPNNIGALKSLKSLNLSGCSGLTSLRDNIGALKSLESLILSGCSRLASLPDNIGELKYLKSLHLSGFSRLASLPDNIRELKSLESLSLYGCSGLASLPDNIGVLKSLKSLRLSGFSRLASLPNSIVELKSLESLSLCGCSGLASLPDIIGGLKSLKSLCLSGFSRLASLPDSIGALKSLESLSLCGCSGLASLSDIIGGLKSLTWLNLSDCSGLKSLPDNIVELKHLTTLILSGCLKLASLPDNFIDLEFSGLDKQRCYMLRGFQKVEEIAASTYQLGCHELLNLENSRVLKAPESLGSLLSLTRLRLSKIDFERIPASIKHLTKLSELYLDDCKRLQCLPELPSTLQVLIASGCISLKSIASMFMQGDREYKAASQEFNFSGCLQLDQNQRTRIMGDARLRIQRTATSLFYQEYHGQFIRVRLCIPGSEVPEGFSYKNREGSSVKIRQPAHSYRGFTFCAVVSFGQNGERRPVNIECECHLIIKDGTQIDLSSYYYDKYERQVRSLWKRDQHVFIWSVHSKGFFKEASFHFKPLWGATDVMVACGVHPLFVNEF >Potri.005G030700.3.v4.1 pep chromosome:Pop_tri_v4:5:1986127:1987110:-1 gene:Potri.005G030700.v4.1 transcript:Potri.005G030700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030700.v4.1 MLRGFQKVEEIAASTYQLGCHELLNLENSRVLKAPESLGSLLSLTRLRLSKIDFERIPASIKHLTKLSELYLDDCKRLQCLPELPSTLQVLIASGCISLKSIASMFMQGDREYKAASQEFNFSGCLQLDQNQRTRIMGDARLRIQRTATSLFYQEYHGQFIRVRLCIPGSEVPEGFSYKNREGSSVKIRQPAHSYRGFTFCAVVSFGQNGERRPVNIECECHLIIKDGTQIDLSSYYYDKYERQVRSLWKRDQHVFIWSVHSKGFFKEASFHFKPLWGATDVMVACGVHPLFVNEF >Potri.014G181000.1.v4.1 pep chromosome:Pop_tri_v4:14:14100526:14102578:-1 gene:Potri.014G181000.v4.1 transcript:Potri.014G181000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G181000.v4.1 MKASLKGKYDNDKSSTAATVAFNAGDVKLRASITDATVVNGPSLNGLALAVEKPGFFIIDYNVPKKDFRFQFMNSVKVVDKPLNLTYIHCRGDNRTILDGTLVVDSANKISANYMLGTENCKLKYTYVHGGLTSFEQCYDFAKNSWDFAGSRKVYGDDVLRAVYQTSSKNLGMEWSRNSKLNGNFKVSASINLAEESKMPKLTAESTWNFEM >Potri.018G096100.1.v4.1 pep chromosome:Pop_tri_v4:18:11707708:11711122:-1 gene:Potri.018G096100.v4.1 transcript:Potri.018G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096100.v4.1 MIPPAPSKILIGISSDLDDSNELLSWAIRVLAQPNDTIVAIYVLVGEESKNKQSQIRQAKAHVISVLGEFARTCQSKQIGLEAKVGFSSSIARGLIEEAKSISADYLLLRHLRNQSNRTWHRVIRFCFEHASETCTVLSLGKCERRPDSATTRETHQPSSKWLSKNDDGNTRSGRTSSSVEKHINSETKRLNSSPRTVLYEIETESHSTEDDTFSFGGSSTTESPPLATNFNGQSKTKKQTSTCKLISSIFASPMRKINRSVSNKQKQQSLLKCFTYEEIANATNNFHPDNIVGRGGYSEVYRGDLSDGRTIAVKMLTKDNKDVTKEKEFLLELGIIGHVSHPNTANLLGCCIENGLYLIFNFSQNGNLASALHSKTGESLQWPVRHKIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKWTHHAVIPIEGTFGYIAPEYFMHGIVDEKTDVYAFGVLLLEIITGRRPVDSSKQNLLLWAKPLMESGNIMELADPELKGKFDPDQMHRVVLTASYCVRQSSPWRPSMSEVLELLTGGHDSEVARSWRMPKFTSDELDDYSVTFGYDVAVDIASEDYL >Potri.010G092700.1.v4.1 pep chromosome:Pop_tri_v4:10:11713966:11720353:1 gene:Potri.010G092700.v4.1 transcript:Potri.010G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092700.v4.1 MAMQAGIGVSRILILAGAGYTGTIMLKNGKLSELIAELQSLVKGMEKSGEKSDGDSDYSDAIAQQVRRLAMEVRQLASARQITVLSGNPGQMGNLTGLIIPAATLGALGYGYMWWKGLKFSDLMYVTKRSMASAVSNLTKHLEQVSEALSTAKTHLTQRIQHLDDKMESQKEISKAIQNDVNAASENLTLIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVMYLCNFVGGKKAKMPKALEDQLKPSGRTRASLAYAEVPSLTGLKELADDLSQTFSKPATDATLQDGTDNLEDQLRTPRNDQPRALLRFNSARC >Potri.017G133951.1.v4.1 pep chromosome:Pop_tri_v4:17:13582571:13584408:-1 gene:Potri.017G133951.v4.1 transcript:Potri.017G133951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133951.v4.1 MANMQTPRSPLQLPTRGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSKFASAANLVKTLGGPKYDGKFLHSIVKEKLGDTWLHQTLTNIVIPTFDIKRLQPTIFSSYNVKNNPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVRDFNLIDGGVAANNPTLVAISEVSKAINREGPDSYRMNPMEYGRFLVLSLGTGTAKSEEKYDAEEAAKWGLLGWLTSDHSTPLVDVFTQASADMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKPVSRVNLATGVFEPINKMTNEEALRKLAKLLSREKHLRQAKSAVGN >Potri.014G165500.13.v4.1 pep chromosome:Pop_tri_v4:14:11995692:12001176:1 gene:Potri.014G165500.v4.1 transcript:Potri.014G165500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165500.v4.1 MDFSESTKVVYNRIQEIEPEFVGKIIGYILLQNHGEREMIRLAFSPDNLIYATISKAKSDLGLNKTPVPNPISPSQVNPAPVSDVHLQFIPNTAVSSHPISSPIKIRTAGSFWDAQVTGDQQQAHNLDFGPPGYSEMLPEDYRLQNQMQFLTSDDQLEFVNSDFSSSYFYPEPALGPRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESFSQILSLNSNEIANDEHFISPGSLEKLELELTELLKSRRGVPVSIASLPMMYYEKYGRMLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILTEDVPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILSKGNPHHVCGARVLVKPYREKSRLVDRKYAEKIQHPFFYSQHFIDGDSELHSVPRVCDNSRLLRKQLMEEHEQALELERRRLSEFQLAPKPLARHAYHGHSMDEFKLSEEQADQFPSAEHFNYWFDVLNNGSTSEEKHRHTRTNCSEQDSNQGVNLPESPFASAIGKGISTVI >Potri.014G165500.11.v4.1 pep chromosome:Pop_tri_v4:14:11995531:12001463:1 gene:Potri.014G165500.v4.1 transcript:Potri.014G165500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165500.v4.1 MDFSESTKVVYNRIQEIEPEFVGKIIGYILLQNHGEREMIRLAFSPDNLIYATISKAKSDLGLNKTPVPNPISPSQVNPAPVSDVHLQFIPNTAVSSHPISSPIKIRTAGSFWDAQVTGDQQQAHNLDFGPPGYSEMLPEDYRLQNQMQFLTSDDQLEFVNSDFSSSYFYPEPALGPRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESFSQILSLNSNEIANDEHFISPGSLEKLELELTELLKSRRGVPVSIASLPMMYYEKYGRMLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILTEDVPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILSKGNPHHVCGARVLVKPYREKSRLVDRKYAEKIQHPFFYSQHFIDGDSELHSVPRVCDNSRLLRKQLMEEHEQALELERRRLSEFQLAPKPLARHAYHGHSMDEFKLSEEQADQFPSAEHFNYWFDVLNNGSTSEEKHRHTRTNCSEQDSNQGVNLPESPFASAIGKGISTVI >Potri.014G165500.10.v4.1 pep chromosome:Pop_tri_v4:14:11995906:12001505:1 gene:Potri.014G165500.v4.1 transcript:Potri.014G165500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165500.v4.1 MDFSESTKVVYNRIQEIEPEFVGKIIGYILLQNHGEREMIRLAFSPDNLIYATISKAKSDLGLNKTPVPNPISPSQVNPAPVSDVHLQFIPNTAVSSHPISSPIKIRTAGSFWDAQVTGDQQQAHNLDFGPPGYSEMLPEDYRLQNQMQFLTSDDQLEFVNSDFSSSYFYPEPALGPRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESFSQILSLNSNEIANDEHFISPGSLEKLELELTELLKSRRGVPVSIASLPMMYYEKYGRMLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILTEDVPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILSKGNPHHVCGARVLVKPYREKSRLVDRKYAEKIQHPFFYSQHFIDGDSELHSVPRVCDNSRLLRKQLMEEHEQALELERRRLSEFQLAPKPLARHAYHGHSMDEFKLSEEQADQFPSAEHFNYWFDVLNNGSTSEEKHRHTRTNCSEQDSNQGVNLPESPFASAIGKGISTVI >Potri.014G165500.12.v4.1 pep chromosome:Pop_tri_v4:14:11995816:12001373:1 gene:Potri.014G165500.v4.1 transcript:Potri.014G165500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165500.v4.1 MDFSESTKVVYNRIQEIEPEFVGKIIGYILLQNHGEREMIRLAFSPDNLIYATISKAKSDLGLNKTPVPNPISPSQVNPAPVSDVHLQFIPNTAVSSHPISSPIKIRTAGSFWDAQVTGDQQQAHNLDFGPPGYSEMLPEDYRLQNQMQFLTSDDQLEFVNSDFSSSYFYPEPALGPRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESFSQILSLNSNEIANDEHFISPGSLEKLELELTELLKSRRGVPVSIASLPMMYYEKYGRMLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILTEDVPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILSKGNPHHVCGARVLVKPYREKSRLVDRKYAEKIQHPFFYSQHFIDGDSELHSVPRVCDNSRLLRKQLMEEHEQALELERRRLSEFQLAPKPLARHAYHGHSMDEFKLSEEQADQFPSAEHFNYWFDVLNNGSTSEEKHRHTRTNCSEQDSNQGVNLPESPFASAIGKGISTVI >Potri.014G165500.9.v4.1 pep chromosome:Pop_tri_v4:14:11995750:12001203:1 gene:Potri.014G165500.v4.1 transcript:Potri.014G165500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165500.v4.1 MDFSESTKVVYNRIQEIEPEFVGKIIGYILLQNHGEREMIRLAFSPDNLIYATISKAKSDLGLNKTPVPNPISPSQVNPAPVSDVHLQFIPNTAVSSHPISSPIKIRTAGSFWDAQVTGDQQQAHNLDFGPPGYSEMLPEDYRLQNQMQFLTSDDQLEFVNSDFSSSYFYPEPALGPRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGHPMPESFSQILSLNSNEIANDEHFISPGSLEKLELELTELLKSRRGVPVSIASLPMMYYEKYGRMLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILTEDVPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSKFGPVQDVRIPCQQKRMFGFVTFVFAETVKQILSKGNPHHVCGARVLVKPYREKSRLVDRKYAEKIQHPFFYSQHFIDGDSELHSVPRVCDNSRLLRKQLMEEHEQALELERRRLSEFQLAPKPLARHAYHGHSMDEFKLSEEQADQFPSAEHFNYWFDVLNNGSTSEEKHRHTRTNCSEQDSNQGVNLPESPFASAIGKGISTVI >Potri.004G063500.1.v4.1 pep chromosome:Pop_tri_v4:4:5369795:5381965:1 gene:Potri.004G063500.v4.1 transcript:Potri.004G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063500.v4.1 MLAGTFFVFLIVSLASCFWLPTFPEAKLVQEEVDALEEIARTLGSKYWKFNADTCEIEMAGVTQVPPKNAEQRIDCECNNGNNTDCHVTRMELKRYNLPGVLPTQLVKLPRLQVVDFAYNYLNGTLPREWASMQLTSISVLVNRLSGEIPKELGNITTLTTLSLEANQFYGTIPPDLGKLINLQALGLSSNHLSGNLPVSFAGLINLTDFRINDNNFSGTIPIFIQNWKKLKRLEMHATGLEGPIPSNISLLNILAELRISDLNGPTQGFPMLSNMTGMIKLTLRNCNISGKLPAYLWTMKSLEALDVSFNKLVGKIPDTITADRLRFVFLTGNLLSGDVPDSILKDGSNVDLSYNNFELQGPEQPACQENMNLNLNLFRSSSMGNRSRRILPCKGTFSCPKYSNCLHVNSGGKDVIIKENKTTFSYEGDGQEEGGAAKYFVNEQSFWGFSSSGDFMDDNDYQNTRYTVSMQSSTLPELYSTARISPISLTYFHYCLENGNYTVNLHFAEIQFTNDLTYKSLGRRIFDIYVQEILVWEKFNIEDQVGSAEKPLVKQVLNVSVTNNMLEIRFYFAGKGTTRTPDRGVYGPIISGISVFSDLKPCSSGKKKGTVYAVAGAVVASCLIAIILGILWWKDYLPGKWCRKKDAEGLNFPNGTFSLKQIRAATDDFDPSNKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGIISCLQHPNLVKLHGCCIESDQLLLVYEYMENNSLARALFGHEINQPNLDWPSRLKICIGIARGLAFLHEESRFKIVHRDIKATNVLLDGDLNAKISDFGLARLDEEEKSHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVSGKNNNNYMPSDNNCVCLLDWACHLQQSGSFMELVDETLKSEVNMKEAEIMVKVALLCTNASPTLRPTMSEAVGMLEGRMAVPDTVPVLSSTDDLRFKAMRELRQHEQRHSFRGSQTQRPNPVQMFSSSSISENTSYEISSEPKL >Potri.004G063500.2.v4.1 pep chromosome:Pop_tri_v4:4:5369595:5381902:1 gene:Potri.004G063500.v4.1 transcript:Potri.004G063500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063500.v4.1 MLAGTFFVFLIVSLASCFWLPTFPEAKLVQEEVDALEEIARTLGSKYWKFNADTCEIEMAGVTQVPPKNAEQRIDCECNNGNNTDCHVTRMELKRYNLPGVLPTQLVKLPRLQVVDFAYNYLNGTLPREWASMQLTSIVLVNRLSGEIPKELGNITTLTTLSLEANQFYGTIPPDLGKLINLQALGLSSNHLSGNLPVSFAGLINLTDFRINDNNFSGTIPIFIQNWKKLKRLEMHATGLEGPIPSNISLLNILAELRISDLNGPTQGFPMLSNMTGMIKLTLRNCNISGKLPAYLWTMKSLEALDVSFNKLVGKIPDTITADRLRFVFLTGNLLSGDVPDSILKDGSNVDLSYNNFELQGPEQPACQENMNLNLNLFRSSSMGNRSRRILPCKGTFSCPKYSNCLHVNSGGKDVIIKENKTTFSYEGDGQEEGGAAKYFVNEQSFWGFSSSGDFMDDNDYQNTRYTVSMQSSTLPELYSTARISPISLTYFHYCLENGNYTVNLHFAEIQFTNDLTYKSLGRRIFDIYVQEILVWEKFNIEDQVGSAEKPLVKQVLNVSVTNNMLEIRFYFAGKGTTRTPDRGVYGPIISGISVFSDLKPCSSGKKKGTVYAVAGAVVASCLIAIILGILWWKDYLPGKWCRKKDAEGLNFPNGTFSLKQIRAATDDFDPSNKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGIISCLQHPNLVKLHGCCIESDQLLLVYEYMENNSLARALFGHEINQPNLDWPSRLKICIGIARGLAFLHEESRFKIVHRDIKATNVLLDGDLNAKISDFGLARLDEEEKSHISTRVAGTIGYMAPEYALWGYLTYKADVYSFGVVALEIVSGKNNNNYMPSDNNCVCLLDWACHLQQSGSFMELVDETLKSEVNMKEAEIMVKVALLCTNASPTLRPTMSEAVGMLEGRMAVPDTVPVLSSTDDLRFKAMRELRQHEQRHSFRGSQTQRPNPVQMFSSSSISENTSYEISSEPKL >Potri.005G197000.1.v4.1 pep chromosome:Pop_tri_v4:5:20370766:20377760:1 gene:Potri.005G197000.v4.1 transcript:Potri.005G197000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197000.v4.1 MKIFFFFSLLVFSLSFSSSIARGPLHSSLPSGIRNGSRISYSDSVRRQLFQDKPSKLSDDRAKGYMTNSDLEKAVKEFGRRCSNISRIYSIGKSVHGVPLWVIEISDKPGEEEPEPAFKFIGNVHGDEPVGRELLLRLANWICDNYMKDSLARLIVENIHLHILPSMNPDGYFLRSRGNANNIDLNRDFPDQFFPLNNDINARQPETRAVMNWLREIQFAASASLHGGALVANYPWDGTEDKRRNYYACPDDDTFRFMASIYSRSHHNMSLSKEFPGGITNGAFWYPIYGGMQDWNYIHAGCFELTLEISENKWPNANELPTLWEYNKMSLLNLAASLVKTGIHGRIFSSDSGMPLPGSVSIKGINYTVKAGRGFADYHRLLAPGERYEVMATMPGYKPKTTRISLEEAAMTLDFILDPEVTTKGSLRSINDCRCESKCGLEVFWRMHSEVYFILIVVSVFLCFLLKRKLKVNILNHRQLPRRSVQV >Potri.007G146800.1.v4.1 pep chromosome:Pop_tri_v4:7:15494351:15496839:1 gene:Potri.007G146800.v4.1 transcript:Potri.007G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146800.v4.1 MRPLNSVVESSRHALYNVFLTHCQAFKSGIISHIYVANNILFRYSKCFSGDLNLACKLFDEMPHKDTVTWNTMITGYVESGNLGAAWEFLKSMKRRGFQADGYTFGSILKGVAHACRHDLGQQVHSLIVKIGYEQSVYAGSALLDMYAKCERVEDAYDVFQGMPVRNFVSWNALIDGFVQVGDRDTAFWLLDCMQKEGVRVEDGTFAPLLTLLDGDKFYKLTMQLHCKIIKHGLEFYNALCNATLTAYSECGLLEDAKRVFDGAVGTRDLVTWNSMLVAYLVHDKDEDAFNLFLEMQGFGFEPDIYTYTCVISACFAAAHKNYGKSFHALVIKRGLEESVTICNALITMYLKLNNKSMEAALNLFHSMKSKDRVSWNSILTGFSQMGFSEDALKLFGHMRSSLEEIDDYAYSAVLRSCSDLAILQLGQQIHLLTVKTGFDSNDFVASSLIFMYSKCGIIEDAWKCFEDTTKESSITWNSIMFAYAQHGQGDVALDLFSIMREREVKLDHVTFVAVLTACSHVGLVEQGRCVLKSMESDYGIPPRMEHYACAVDLFGRAGYLEEAKALIDSMPFQPNAMVLKTLLGACRACGNIELAAQVASQLLEVEPEEHCTYVILSNMYGHLKRWDDKASVTRLMRERKVKKVPGWSWIEVKNEVHAFKAEDRSHPYSEDVYQILGELMEEMKRLHSLASFDSLMHDVNHMYPSSDLSY >Potri.019G070001.2.v4.1 pep chromosome:Pop_tri_v4:19:11055446:11061303:1 gene:Potri.019G070001.v4.1 transcript:Potri.019G070001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070001.v4.1 MGGIGKTTIAGAFYDSFSSQYEGHHFLQNIRQESEKGRLNDLRDELLSKLLEEQNLRVGTPHIPTFIRDRLCQKKVLLVLDDVNDVRQFQHLIEERSFGEGSVVVITSRDKQVLKNVVDEIYEVEELNSHEALQLFSLNAFKGNQPPKAYMELSITAINYAKGNPLALQVLGSFLFGRERYFWESQLNEIESFPELNIYDLLRIGFDALRDHNTKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKIEMHDLLQEMAHEVVRKESLDELGRQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVTKIREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPRGLEYLSEELRYLHWDGYPLTSLPSKFCPQNLVELSLSSSKVKQLWRGQQNLVNLKDVNLSNCEHITSLPDLSTARNLERLNLQFCTSLDKVPSSIQHLDKLNDLDLRGCKRLINLPSRFNSSFLETLNLSGCSNIKKCPETARKLTYLNLNETAVEELPQSIGEQSGLVALNLKNCKHLVNLPENIYLLKSLLIADFSGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGGLRELIYLDLVGCNWLKNLPSAVSKLGCLKKLDLSGCSSITEFPKVSNTIKELYLNGTAIREIPSSIECLFDLAELHLRNCKQFEILPSSICKLRKLERLNLSGCLQFRNFPEVLEPMVFLRYLYLEQTRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLPKRCVDLDCLRKLNLDGCSLSEVPDSLGRLSSLEVLDLSGNDFKTIPISINKLLELQYLGLRNCKRLESLPELPPQLSKLDADNCESLNYLESSSSTVVEGNIFEFIFTNCMRLLETNQILAYSLLKFQLYTKRLYHQLPDVPEGACSFCLPGVVTPKWFSHQSWGSTVTFQLSSYWANSKFLGFSLCAVIAFDSFNHSLQVKCTYHFHNEHGDSHDFYCYLHGWYDEKLISSDHIFVGFDPCLDAKKKNMFSEYNEVSVKFQLEDMNGNFLPLDLCQVLECGVRLLYEDGIHQFDLIMPGFSRFHPLDRDGLEARFQAKRARSQGMRRDYSVMHTTSEFLAYLQEPNFSKRIPSSCLPEDVTPEWFSHQSWGSTVTCQLSSHCANSEFLGFCLCAVIASYSFNPDLVVKCTYHFRNEHGDSHDLYCYLHDEFEERRINSENIVMRFDPCLVAKEKDMFSIYSEVSVEFQLEDMDGNLLPLDLCQVVECGVRLLHANDGLEAMHQAKRERFYDLDMRWEDYFGVVTRRRKKTRHN >Potri.002G159600.1.v4.1 pep chromosome:Pop_tri_v4:2:12161748:12161978:1 gene:Potri.002G159600.v4.1 transcript:Potri.002G159600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159600.v4.1 MLDCGVKLNDDVSLSFQSALRPCGLECKGHDLFISFKKKYGRTPKLAHYACMVDLLIWQGKVEEALEFETKCHWSL >Potri.012G116401.1.v4.1 pep chromosome:Pop_tri_v4:12:13477936:13478214:-1 gene:Potri.012G116401.v4.1 transcript:Potri.012G116401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116401.v4.1 MLSCKVIQKTQQSKLISVLFFVFQSASFFQIFSTSLQIRSFSLPHLFSVSWISSPSPLLRAALLADGGSVAGQERNGAAS >Potri.012G004900.5.v4.1 pep chromosome:Pop_tri_v4:12:53821:54264:-1 gene:Potri.012G004900.v4.1 transcript:Potri.012G004900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004900.v4.1 MDVFGKSILSAPANVVYLSTILGLDGPIPVHKCDCKCQNEHVFANMYRCSLTGLTHICDKNCNQRILYDNHSSLCRASGRIFPLSPAEDQAVRGVSRKLDADNSNAPTDSCSFKRRRNAQFHPSPFERSFSAASPICSQVGDGMDMS >Potri.001G203300.2.v4.1 pep chromosome:Pop_tri_v4:1:20470298:20473363:1 gene:Potri.001G203300.v4.1 transcript:Potri.001G203300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G203300.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAVSGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGIGGGNGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGGGKGGKGSGGGGGGGGGGGGGSGSGSGSGYGSGSGYGEGYGGGKVEEVDLFENMQQWKHCLLQRNSS >Potri.015G068500.1.v4.1 pep chromosome:Pop_tri_v4:15:9405576:9407112:-1 gene:Potri.015G068500.v4.1 transcript:Potri.015G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068500.v4.1 MDLEFLHTLDVQILGAVAVAIVAIVIGAVFLFSYKKPKGCLDPENFKQFKLVKRVQLSHNVAKFTFALPTPTSVLGLPIGQHISCKFSSFLLFL >Potri.012G020200.1.v4.1 pep chromosome:Pop_tri_v4:12:2137490:2139446:-1 gene:Potri.012G020200.v4.1 transcript:Potri.012G020200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020200.v4.1 MLGSWSQLQTQSQLMSSSNSHDRHQEEETPGPPTAFHMRQLLVSCADLISQSDYSAAKRFFSILSSNSSPYGDSTERLVHQFIRALSLRLNGHGISTSTAPAAHVFNINNMVTSRPCGTNDKMLISYEADQETLRSCYLSLNKITPFIRFCHLTANQAILEAIQVGQQAIHIIDFDIMHGVQWPPLMQALAERSNNTLHPPPMLRITGTGHDLNVLHRTGDRLLKFAQSLGLRFHFHPLLLLNNDPTSLAHYLPSAITLLPDEALAVNCVSYLHRFLKDDSRELLLFLHKIKALNPKVVTVAEREANHNHPLFLQRFLEALDHYTALFDSLEATLPPNSRERLAVEQIWFGREIMDIVAAEGEGRRERHQRFETWEMMLKSVGFIKVPLSPFALSQAKLLLRLHYPSDGYQLQILNNSFFLGWRNHSLFSVSSWN >Potri.012G020200.2.v4.1 pep chromosome:Pop_tri_v4:12:2137490:2139446:-1 gene:Potri.012G020200.v4.1 transcript:Potri.012G020200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020200.v4.1 MLGSWSQLQTQSQLMSSSNSHDRHQEEETPGPPTAFHMRQLLVSCADLISQSDYSAAKRFFSILSSNSSPYGDSTERLVHQFIRALSLRLNGHGISTSTAPAAHVFNINNMVTSRPCGTNDKMLISYEADQETLRSCYLSLNKITPFIRFCHLTANQAILEAIQVGQQAIHIIDFDIMHGVQWPPLMQALAERSNNTLHPPPMLRITGTGHDLNVLHRTGDRLLKFAQSLGLRFLKDDSRELLLFLHKIKALNPKVVTVAEREANHNHPLFLQRFLEALDHYTALFDSLEATLPPNSRERLAVEQIWFGREIMDIVAAEGEGRRERHQRFETWEMMLKSVGFIKVPLSPFALSQAKLLLRLHYPSDGYQLQILNNSFFLGWRNHSLFSVSSWN >Potri.001G473100.3.v4.1 pep chromosome:Pop_tri_v4:1:49628914:49637455:1 gene:Potri.001G473100.v4.1 transcript:Potri.001G473100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G473100.v4.1 MAMRELVTGAAACAVPGSSSSSSNPLGALANALIGSSSKPLEGLKEIPTSTTTATEPPLYQDATAEDRLRGLPGADFDHSFSQHPTSQGSEFLRRFRTSDQNGFANAWDEIQRSGPPPPPALLDHGLPPPQLQPTLDGPPQRVLSNFLHSFVGSSHGGIPFRPAPLPALGLSEGDKQCIRDRSSIMARHFFADKSEDFINTQVNALLSSLDIDGDVRVKGPLPGRFQELEDYWNESQAARRPGPPQADGWVTEFSQHRIDHGDPNVWAHSFEQQHGANGWASEFEQLISAADQMGGANISNLSTMEQTRMLAHTLAQNNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPAALSSPGDWATEYQQKYSGGRWADEYARDQLSHGPDQWANEFAAEREQSRPVDDKWVNEFSKLHVDDWADEFGRQVGEGAFGEISTDNWADTYDEYLSEQVVAKQKPDASRGVYVFTDMNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAHEADPTNLEVLLALGVSHTNEFEQAAALKYLYGWLQHHQKYRTLATPELSDSLYYANVARLFNEAAQMAPEDADVHIVLGVLYNLSREYDKAIAFFQTALKLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSFASRNDMLEACDSRNLDALQKEFPL >Potri.001G473100.2.v4.1 pep chromosome:Pop_tri_v4:1:49628832:49637462:1 gene:Potri.001G473100.v4.1 transcript:Potri.001G473100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G473100.v4.1 MAMRELVTGAAACAVPGSSSSSSNPLGALANALIGSSSKPLEGLKEIPTSTTTATEPPLYQDATAEDRLRGLPGADFDHSFSQHPTSQGSEFLRRFRTSDQNGFANAWDEIQRSGPPPPPALLDHGLPPPQLQPTLDGPPQRVLSNFLHSFVGSSHGGIPFRPAPLPALGLSEGDKQCIRDRSSIMARHFFADKSEDFINTQVNALLSSLDIDGDVRVKGPLPGRFQELEDYWNESQAARRPGPPQADGWVTEFSQHRIDHGDPNVWAHSFEQQHGANGWASEFEQEQLISAADQMGGANISNLSTMEQTRMLAHTLAQNNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPAALSSPGDWATEYQQKYSGGRWADEYARDQLSHGPDQWANEFAAEREQSRPVDDKWVNEFSKLHVDDWADEFGRQVGEGAFGEISTDNWADTYDEYLSEQVVAKQKPDASRGVYVFTDMNPYVGHPNPLKEGQDLFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAHEADPTNLEVLLALGVSHTNEFEQAAALKYLYGWLQHHQKYRTLATPELSDSLYYANVARLFNEAAQMAPEDADVHIVLGVLYNLSREYDKAIAFFQTALKLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMYEDSIRYYVRALAMNPKADNAWQYLRISLSFASRNDMLEACDSRNLDALQKEFPL >Potri.002G240700.1.v4.1 pep chromosome:Pop_tri_v4:2:23286247:23289743:1 gene:Potri.002G240700.v4.1 transcript:Potri.002G240700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240700.v4.1 MEAAAAGSSRKCSANGGKKEKDLFHVIHKVPAGDGPYVKAKHAQLVQKDPEAAIVWFWKAINAGDKVDSALKDMAVVMKQIDRTEEAIEAVKSFRGRCSKQAQESLDNVLIDLYKKCGKVEEQIELIKRKLRLIYQGEVFNGKPTKTARSHGKKFQVSVKQETSRLLGNLGWAYMQKPNFIAAEVVYQKAQMIDPDANKACNLALCLIKQARYDEARSVLLDVSQGRLPGSGDVKSRSRAEELLMEVKSRETPDELTDMLGFNLDEDDDFVKGLEKLMSEWAPSRSKRLPIFEEISSFRDPLTC >Potri.013G031900.1.v4.1 pep chromosome:Pop_tri_v4:13:2066780:2067004:-1 gene:Potri.013G031900.v4.1 transcript:Potri.013G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031900.v4.1 MFPSHVSRSSFRVSYPMLESFSIGIYPLLWGFYPILQPFFYRKSFYFYLCLHVFHPCLVLQTCNDIYFFIIFCF >Potri.001G236800.1.v4.1 pep chromosome:Pop_tri_v4:1:25472700:25475855:-1 gene:Potri.001G236800.v4.1 transcript:Potri.001G236800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236800.v4.1 MKFHRCHPHRLVLNPIPIFQTKPKHLYCTSAIQDNDVKDSQFIATLRNIVRGKESWKIAFNDPFISTKLKPHHVEKVLLLTLDDTRLALRFFNFLGLHKNFNHSTMSFCILIHALVNANLFWPASSLLQTLLLRGGLDPREVFEALLDCFEKCDFISSLGFDLLIQSYVQEKRMFDSVLIFRLMRQCELMPQVRTLGEVLNGLAKIRRVDMVLVLFGEILSMGIRPDIYIYVAVIRSFCELKNFAKAKEMIQRMESSDLNVVVYNVLIHGLCKNKRVWEAVEIKNGLIQKGLTASEVTYCTLVLGLCKVQEFEVGAGVMDEMIELGFVPTEAALSSLVEGLRRKGKVVDAFDLVNRVKKVGAMPSLFVYNALINSLCKDGKFDEAELLFKEMGEKGLCANDVTYSILIDSFCRRGKLDTAIHFLGKMIMAGIKITVYPYNSLINGHCKLGNLSAAVSFFDEMIDKGLKPTVVSYTSLISGYCNKGKLHEAFRLYHEMTGKGIAPNTYTFTTLISALFRANRMTDAFRLFDEMLEQNMMPNEVTYNVMIEGHCKEGNTVKAFELLNQMVQKGLVPDTYTYRPLISSLCSTGRVCEAKKFIDDLHREHFKLNEMCYSALLHGYCKEGRLRDALGVCREMVKRGVDMDLVCYAVLIDGTIKEQDTSAVFGLLKNMHDQRLRPDKVIYTSMIDGYSKAGSVKKAFGIWDIMIDEGCTPNIVTYTTLINELCKAGLMDKAELLWKEMLVSNSTPNHVTYCCFLDHLAREGSMEKAVQLHNDMLKGLLANTVSYNILVRGFCKLGRVEEATKLLDEMIDNAIFPDCITYSTIIYQCCRRGNLDGAIEFWDTMLNKGLKPDTLAYNFLIYGCCIAGELGKAFELRDDMIRRGVKPNQATHKSLSHGASRKFSISTHPVMPEN >Potri.011G140200.1.v4.1 pep chromosome:Pop_tri_v4:11:17107046:17108640:-1 gene:Potri.011G140200.v4.1 transcript:Potri.011G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140200.v4.1 MEKRDKGSSPMATMMGSRDENEDVENTTRTAETMLRLVPMALCVSALVVMLKNTQTNDYGSLSYSDLGAFRYLVHVNGICAGYSLLSAVIVAMPRASTMPRAWAFFLLDQVLTYVILAAGTVSTEVLYLASKGDTTITWSEACVSFGGFCHKALISIVITFVVVICYAALSLLSSYKLFSKYDSPVLTYPGKGIEIATFHG >Potri.002G117600.1.v4.1 pep chromosome:Pop_tri_v4:2:8917299:8918569:-1 gene:Potri.002G117600.v4.1 transcript:Potri.002G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117600.v4.1 MNVSASEYSGGGCESGWTSYLNQSSNSKHQYQGFGGFVDGDYARVEEDQEEDLSMVSDASSGPPQYCEDDEYGCDKLAKKSKSKKKSKEYGRSKQHSYLDDTASSPALGKKVNNEGTTEHVLEFSQGFSATHFKGKSSLKKHLGFFQSSHSEKAASKEPGDCQARKWK >Potri.001G078200.1.v4.1 pep chromosome:Pop_tri_v4:1:5884474:5886114:-1 gene:Potri.001G078200.v4.1 transcript:Potri.001G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078200.v4.1 MALSRYTKHSENREKRGVNRKIKRLKAEMEEISKQQVSIRQGQKEMRERFVEIESECDQLKKETELISLASDNVQFRLNIIFKILKAREEKDFGKAADLTCSLRNSLLSSRG >Potri.006G097600.1.v4.1 pep chromosome:Pop_tri_v4:6:7456779:7461993:-1 gene:Potri.006G097600.v4.1 transcript:Potri.006G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097600.v4.1 MIPSTSLSIIPTLSPIPFYSITCSSSPSTSSSSFLYPFLVSFKVSNFSSRKPKPIDLSCKQNCFTEFQRLKKGERNKGCFLVRAESNNDMTISEVREEDEENPPPFLDYDTISRPRRIALFVEPSPFAYVSGYKNRFQNFIKYLREMGDEVMVVTTHEGVPQEFYGAKLIGSRSFPCPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALAIAKLLCVPIVMSYHTHVPVYIPRYTFSWLVKPMWMILKFLHRAADLTLVPSAAIGRDLEAARVTAANKIRLWNKGVDSESFHPRFRSNEMRMRLSNGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPGARIAFIGDGPYREELEKMFTGIPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVAARAGGIPDIIPPEQDGKTGFLFNPGDLDDCLSKLEPLLDNQELRETMGKAARHDMEKYDWKAATKKIRNEQYNAAIWFWRKKRAQLLRPIQWLVKRLFPSPEV >Potri.003G036500.1.v4.1 pep chromosome:Pop_tri_v4:3:4629756:4632900:-1 gene:Potri.003G036500.v4.1 transcript:Potri.003G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G036500.v4.1 MSNYNMKVSSSPKVSKHVECLRHLQSQHDELEAQFLEERKALEAKYNKLYQPLYTKRYEIVNGLKEVDGVMSAELDSIKEDQAIEEKGVPEFWLTAMKTHEVLAEEIKGQDEGALKFIKDIKWSRLQNPEGFELEFYFNPCPYFKNSVLTKTCHVIDESDPILSQAIGSEIEWYPEKCLTTKVVKRKQRTVSKKTKTTMTIKNCESFFTFFNTSQIPENEDELDDDDYDELQDRLKQGYNLGNIIRDKIIPHAVSWFTGEAIEEDELDGIDYDGNDDDDDDDEDYEDDDGDEEDNERDKEAEQEEKRKQGVKKDIKKDYDEDEDEENERKWGLKKDDDDDDDEAGDEENDDGDEEDNERDKEAEQEEKRKQGVKKDIKKDYDEDEDEEKERNWDLKKDDDDEAEDDEYDDGDEEDNASDKEAEQEEKRKQGVKKDIKEDNDEDGDEEKERKWGLKKDVEDEDEEEEEEKQVWKKSSDGHKKIGGAQIQKEHPPECKQQ >Potri.008G068200.1.v4.1 pep chromosome:Pop_tri_v4:8:4131785:4132153:1 gene:Potri.008G068200.v4.1 transcript:Potri.008G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068200.v4.1 MNTTLDSFSAAESSMEDTSNPSITTNQNANKEDEVDAEVWATFNNSFRQVQSVLDRNRVLIQQVNENHQSRTPDNMVKNVSLIQELNGNISKVVGLYSDLNSNFSTAYHQRNHNGKNNGKKA >Potri.007G072600.1.v4.1 pep chromosome:Pop_tri_v4:7:9485384:9487809:1 gene:Potri.007G072600.v4.1 transcript:Potri.007G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072600.v4.1 MPAGCLPSLYTPFASMTTRILTPKTFTSFPPTSSRKTCNYSLTCRATSSSSSSSSYSSITDFDLYDLLGIDSSSDHSQIKTAYRTLQKRCHPDIAGPAGHDMAIILNEAYSLLSDPNSRLAYDKEQAKMAELRGYSGKPIYSVWFGSESEQRAVFVDEVKCVGCLKCALIAEKTFAIESLYGRARVVAQWADPEHKIQAAIDACPVDCISTVERSDLAALEFLMSKQPRGSVRVGGGNTAGGRVSNIFIDVKKFQNRFVDAMNKANPQNSMESDLQREARISAFQAIRSISNWLYWQSPKGRSDSPESCQKLARIVRKSPQPNINKIREAAAARKKARENTRPFRQTPSSSLYYDEYWTPSTQFLPASVNSSSSSATPETSHAKEPKKLEKDNRGEEKRQTNPIRWEIPMVPAIIAAVIIHLQVGEGTVGRLNEHVGGSFALEIVNSSWLQVTLAGITWYLIGLSIIGVVEAIRKR >Potri.019G092000.2.v4.1 pep chromosome:Pop_tri_v4:19:12979898:12984997:1 gene:Potri.019G092000.v4.1 transcript:Potri.019G092000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G092000.v4.1 MATELEELVGFLSSPSPPVKKAAVEIVRDLTGSEDGLLSLSKYASTMLPSLSQLLKDKKEVSEPAAEALINLSLNSNLAAKMVEMGMIKAAMDVLYKPDSSITRLLVMLLVNLTQLDSGIVSLLQIEDEKMQGLFVMKLVRSFCRSSDETRDDPFDHVGSILVNISKKEAGRKMLLDSKRGLLKQILRQFDSTSPLRKKGVFGTLRNCCFEAENQLQNLLLISEFLWPALLLPVAGKRIYSEEDASKMPLELGNVLSFEREPWDDPEIRVEALESIYLITVQEAGLRAFWSMNGPRILQFWYEDEEDPKVMEAYERVGSLLVHGSETSK >Potri.012G015900.1.v4.1 pep chromosome:Pop_tri_v4:12:1807708:1811601:-1 gene:Potri.012G015900.v4.1 transcript:Potri.012G015900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015900.v4.1 MEFCPTCGMLLRYETPNMGQAARFYCPTCPYFASIESRVKIKRKQKLAKKEIEPIFTLEDMKTGGAETDATCPHCNFGRACFQQIQIRSADEPATTFYFCLNEKCGRMWRED >Potri.006G093900.2.v4.1 pep chromosome:Pop_tri_v4:6:7131494:7135730:1 gene:Potri.006G093900.v4.1 transcript:Potri.006G093900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093900.v4.1 MGARCSKFSLCWFQSHLKASVLESSDLENGGKSDRNAFPSFTEFSFEQLKAATSGFSSDNIVSEHGEKAPNVVYKGKLDNDRWIAVKRFNRLAWPDSRQFLEEARTVGSLRSERLANLIGCCCEGEERLLVAEFMPHETLAKHLFHWESQPMKWAMRLRVALYLAQALEYCCSKGRALYHDLNAYRILFDKDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSALEGHFSKDDGTELVRLASRCLQYEARERPNAKSLVTALLSLQKETEVPSYVLMGIRQETASSTQPLSLTPFGEACLRTDLTAIHEILEKIGYKDDEGIANELSFQMWTNQMQETLNSKKHGDTAFRAKDFATAIDCYTQFIDGGTMVSPTVYARRCLSYLMSDMPQEALGDAMQAQVVSPEWSTASYLQAACLFSLGMETDAQETLKDGTNLEAKRNKN >Potri.008G224355.1.v4.1 pep chromosome:Pop_tri_v4:8:19090878:19091998:-1 gene:Potri.008G224355.v4.1 transcript:Potri.008G224355.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224355.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQGGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSPSSAVRTSHVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNAMPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQGAPGPVRGHPPKEQ >Potri.005G206000.2.v4.1 pep chromosome:Pop_tri_v4:5:21098494:21102754:-1 gene:Potri.005G206000.v4.1 transcript:Potri.005G206000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206000.v4.1 MAMKSYQINAELLLKEYLLAGPLIPYTSITGGIFACKMVYDLTSLFSAVYFKSYSNLTNLQRIEWNNRAISTFHAVFITMMSLYFVFCSDLFSDQPHAGLVTFRSSALSTFALGVSAGYFIADLGMIIWFYPSLGGMEYVIHHSLSLIAVAYSMLTGEGQLYTYMVLISETTTPGINLRWYLDTAGMKKSKAYLLNGVVIFFAWFVARILLFIYLFYHVFLHQYQVKQMHSFGRLLALVVPVVLSVMNLMWFWKIFKGMKKTLAKRH >Potri.002G113900.1.v4.1 pep chromosome:Pop_tri_v4:2:8628386:8630871:-1 gene:Potri.002G113900.v4.1 transcript:Potri.002G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113900.v4.1 MLSAKASHDSHGQDSSYFLGWQEYEKNPYHDVHNPSGIIQMGLAENQLSFDLIESWIARNPDAVGLKKNGASIFKELALFQDYHGLPAFKNAIVKFMAEIRGNKVKFDPNKLVLTAGSTSANETLMFCLAERGDAFLVPTPYYPGFDRDLRWRTEVEIVPIHCSSSNDFQITMPALEKSYQEAQRLDLKVKGVLITNPSNPLGTTMTRDELNHLISFCTAKNIHIVSDEIYSGTVFDCPKFISITEALMDRHLENTDIWSRIHVVYSLSKDLGLPGFRVGMIYSNNETLVSAATKMSSFGLISSQTQYLLSGMLSESKFTNNYMEKNSKRLRKRKEMLVSGLQSAGIKCLKSNAGLFCWVDMRHLLSSQTFEAEKELWKKILFDVGLNIPPGSSCHCSEPGWFRICFANLSEGTLKVAMKRIKNFVDNNYQEMVCDQRSIIYKWLSKILSYNHEADRY >Potri.016G099300.2.v4.1 pep chromosome:Pop_tri_v4:16:10031513:10034327:-1 gene:Potri.016G099300.v4.1 transcript:Potri.016G099300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G099300.v4.1 MAETSALCLSPFSSLPSNIYRSREPNSYLSFASPFKSSASHSRSSRSIRFTCKASDSGNFLGDESLGFFPWSDGDNDIEWVREERITLFTTDGLVQIGGSVVPRLVASSNRKRGKSKTSQRFQRFQESDYMDPNQGLCLGALFDIAATNGLDTGRRLCIFGFCRSIEMLSDVVEDTVLEHGGEVVTAEKAIKGGLHEKLTMTVAVPYLWGVPPASETLRLAVRSGGGIVQKVYWQWDFL >Potri.009G033500.4.v4.1 pep chromosome:Pop_tri_v4:9:4385167:4386659:-1 gene:Potri.009G033500.v4.1 transcript:Potri.009G033500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033500.v4.1 MIQKLGIEETEASQMNGVLYKSYGTSMAGLKAIGYDFDNDDYHRFVHGRLPYERLRPDHVLRNLLLSLPIRKVIFSNADQAHVAKVLSRLGLEDCFEGVICFETLNPFNYEDINACDGTGAWSPSYASKSQILDIIEHPCQSNPVSALPKSPVVCKPFEDAFEQAFKLANINPQKTVFFDDSVRNIMTGKLMGLHTVLVGTANRTNGADYALESIHNMKEALSDLWKANDKSEARSFTRKVSMETTVTA >Potri.009G033500.2.v4.1 pep chromosome:Pop_tri_v4:9:4385167:4387642:-1 gene:Potri.009G033500.v4.1 transcript:Potri.009G033500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033500.v4.1 MESLFSSSHHYKYMLKPSAFFPIISALFSVPTPSLISPFNLSSPLSLSHTHTNTHRHTSSPFLSFRALFYLIKMAYEDQCQQGLKPKYDCLLFDVDDTLYPRSSGLLEEVTKNIQEYMIQKLGIEETEASQMNGVLYKSYGTSMAGLKAIGYDFDNDDYHRFVHGRLPYERLRPDHVLRNLLLSLPIRKVIFSNADQAHVAKVLSRLGLEDCFEGVICFETLNPFNYEDINACDGTGAWSPSYASKSQILDIIEHPCQSNPVSALPKSPVVCKPFEDAFEQAFKLANINPQKTVFFDDSVRNIMTGKLMGLHTVLVGTANRTNGADYALESIHNMKEALSDLWKANDKSEARSFTRKVSMETTVTA >Potri.009G033500.3.v4.1 pep chromosome:Pop_tri_v4:9:4385167:4387642:-1 gene:Potri.009G033500.v4.1 transcript:Potri.009G033500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033500.v4.1 MAYEDQCQQGLKPKYDCLLFDVDDTLYPRSSGLLEEVTKNIQEYMIQKLGIEETEASQMNGVLYKSYGTSMAGLKAIGYDFDNDDYHRFVHGRLPYERLRPDHVLRNLLLSLPIRKVIFSNADQAHVAKVLSRLGLEDCFEGVICFETLNPFNYEDINACDGTGAWSPSYASKSQILDIIEHPCQSNPVSALPKSPVVCKPFEDAFEQAFKLANINPQKTVFFDDSVRNIMTGKLMGLHTVLVGTANRTNGADYALESIHNMKEALSDLWKANDKSEARSFTRKVSMETTVTA >Potri.009G092750.1.v4.1 pep chromosome:Pop_tri_v4:9:8445905:8446873:1 gene:Potri.009G092750.v4.1 transcript:Potri.009G092750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092750.v4.1 MIVKQKKEKTRLAELKLLGESRALSLVLGNRNMEIYSESSRDESGKWDKHWWGRRW >Potri.001G400600.1.v4.1 pep chromosome:Pop_tri_v4:1:42694885:42695322:-1 gene:Potri.001G400600.v4.1 transcript:Potri.001G400600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400600.v4.1 MTEAPFTSASGNNEHFPTLLFIIMVLVAAILIVIFYLLLEFCLRHLEYRRHALRNQDPRHHPHDVESGQVTGRLEPAPLSMLKLYYVQIDESSVNFSSGCVICLDDFQKGENCCVLSSCKHVFHSGCFMQWLDKNQSCPLCRDPV >Potri.004G190200.2.v4.1 pep chromosome:Pop_tri_v4:4:20263160:20264203:1 gene:Potri.004G190200.v4.1 transcript:Potri.004G190200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190200.v4.1 MDYSLAALKLLCVQLKDASETPSQNALTLGGILFQRAWLQGILVSNDGDGRLLLDDGTGVIELCLSPDFRLRHWDSGMYVMVVGGYFVRHGETPMIKVHKMVDLSAFPDREAMWYLEVMEAYKLFYQPLIEEFM >Potri.002G223700.1.v4.1 pep chromosome:Pop_tri_v4:2:21131884:21137293:-1 gene:Potri.002G223700.v4.1 transcript:Potri.002G223700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223700.v4.1 MPDQNNRQVKSNAVSNHSADNIEEAFWRLKIHDPQEQGGMAQSSPYPDRPGVPDCGYYLRTGLCGYGSNCRYNHPIYAAQGTQLREELPERIGQPDCGYYIKTGTCKYGSTCKYHHPRDRNGAGPVSFNALGLPMRQDEKSCPYYMRTRSCKFGVACKFHHPQPASLGTSLPLTGAAAFGSTGSPIVPSSGLPYVGGLPTWSLPRAPPYMSGTHLQGPQAYMPVVVSPSQGIVPVPGWNTYVGNSNPMSSSSILGSNRAYDSRNHGDSGSSGHLLSTAIPALPERPDQPECRHFMSSGTCKYGSDCKYHHPKERIAQLATNTMGPFGLPLRPGQAVCPDYSMYGICKFGPTCRYDHPLPTYPYNYSLSLPSLSMMDSSLVTYPRMAQAALSSATPVSLSKLPDLIRNPDGASYNKHQNSDSNTKTLDDPTEHAGSPPPHSSQASSEPSHD >Potri.002G223700.5.v4.1 pep chromosome:Pop_tri_v4:2:21132433:21137251:-1 gene:Potri.002G223700.v4.1 transcript:Potri.002G223700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223700.v4.1 MRTRSCKFGVACKFHHPQPASLGTSLPLTGAAAFGSTGSPIVPSSGLPYVGGLPTWSLPRAPPYMSGTHLQGPQAYMPVVVSPSQGIVPVPGWNTYVGNSNPMSSSSILGSNRAYDSRNHGDSGSSGHLLSTAIPALPERPDQPECRHFMSSGTCKYGSDCKYHHPKERIAQLATNTMGPFGLPLRPGQAVCPDYSMYGICKFGPTCRYDHPLPTYPYNYSLSLPSLSMMDSSLVTYPRMAQAALSSATPVSLSKLPDLIRNPDGASYNKHQNSDSNTKTLDDPTEHAGSPPPHSSQASSEPSHD >Potri.002G223700.4.v4.1 pep chromosome:Pop_tri_v4:2:21132385:21137275:-1 gene:Potri.002G223700.v4.1 transcript:Potri.002G223700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223700.v4.1 MRQDEKSCPYYMRTRSCKFGVACKFHHPQPASLGTSLPLTGAAAFGSTGSPIVPSSGLPYVGGLPTWSLPRAPPYMSGTHLQGPQAYMPVVVSPSQGIVPVPGWNTYVGNSNPMSSSSILGSNRAYDSRNHGDSGSSGHLLSTAIPALPERPDQPECRHFMSSGTCKYGSDCKYHHPKERIAQLATNTMGPFGLPLRPGQAVCPDYSMYGICKFGPTCRYDHPLPTYPYNYSLSLPSLSMMDSSLVTYPRMAQAALSSATPVSLSKLPDLIRNPDGASYNKHQNSDSNTKTLDDPTEHAGSPPPHSSQASSEPSHD >Potri.001G365300.2.v4.1 pep chromosome:Pop_tri_v4:1:38184991:38190480:-1 gene:Potri.001G365300.v4.1 transcript:Potri.001G365300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G365300.v4.1 MHLQLGQVQTIVISSPETAEQVIKVHDINFAHRPHVLAAQIIFYNCTDIATAAYGDYWRQLQKISILELLSAKRVQSFRSIREEEVSSLIGSISSSAGSIVNLSGMLFSVAYSIITRAAFSKLRKEEEIFVPLVQGIIQVGAGFNISDLFPSIKLLPWITGMRSRMERLHQEADRILESIIKEHRARKAEGNSSNESKADDLVDVLLDLQEHGNLDFSLTTDNIKAVILDLFIAGTETSSTILEWAMSELLTHPEVMEKAQTEVREVFGKDGSVGELNYLKMVIRETMRLHPPLPLLIPRECREECGINGYNIPIKSRVLVNVWAIGRDSNYWVEAERFQPERFLDSSIDYKGVNFEFTPFGAGRRRMCPGIMFGISNVDLLLANLLYHFDWKLPGDMKPESLDMSEAFGAAVRRKNALHLTPILHHPHPVRS >Potri.011G123200.1.v4.1 pep chromosome:Pop_tri_v4:11:15351079:15354989:1 gene:Potri.011G123200.v4.1 transcript:Potri.011G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123200.v4.1 MEREMADIEALNEEEPGVSTIFKKATRAVSLRFEDVVYKVRLTKAGFCGKIVKAEEKVILKGITGKVLPGEMLAMFGPSGSGKTTLLTALGGKLGGLLDGNISYNGKNFSNSMKRNMGFVTQDDVLYPHLTVTETLVFTALLRLENTFSKEEKIMHAESVITQLGLTKCKNSIIGGPFMRGVSGGERKRVSIGQEMLINPSLLFLDEPTSGLDSTTAQRIVSNLWELAKGGRTIVMTIHQPSSRLFYMFDKVLLLSEGSPLYFGEGSQVMDYFSSNGYAPAVPMNPADFLLDLANGVSSNSEVPGSVKQNLVSAYKSNLASKLKSEVQDIDDQPQDGLNDQKVARWATTWWQQFSVLLRRGVKERKHDSFSGLKIAQVLVVAFLSGLLWWQSDVSHLQDQMGLLFFYSGFWGFFPLFQAIFTFPQERSMLEKERSSGMYRLSSYFMSRIVSDLPMELVLPTIFVSITYWMAGLKGTPGNFLHTLFVLLYSVLVSGGLGLALGALVLNQKSATIMGSVIMLSFLLAGGYYVTHVPAFISWVKYISISQYTYKLLLGSQFKPTDTYPCGGAGGVCLVGDYPAIKQVGLDGQVLGAAVLGIMLVVYRLIAFFALMRIGVTKK >Potri.001G025200.3.v4.1 pep chromosome:Pop_tri_v4:1:1901934:1903679:-1 gene:Potri.001G025200.v4.1 transcript:Potri.001G025200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025200.v4.1 MATIVTEISSNTLFTILFLLPLIYLIAKQLKTLYSSRFAPLPPGPYSWPILGNALQIGNSPHITLASLAKTYGPLFSLRLGSQLVILAASQEAATEILKTQDRFLSGRFVPDVIPAKWLKLENLSLGWIGEVNNEFKFLRTVCQSKLFSNKALLSQSCLREKKAADTVRFIRTMEGKVLKIKKVAFAAVFSMLTNILISSDLISMEQESMEGEMTEIIRNIFEVGAAPNISDLFPILAPFDLQNLRKKSKELYLRFSTMFEAIIEERRERKMSSDNASGKEDFLDTLISNGSSNEHINVLLLV >Potri.001G025200.2.v4.1 pep chromosome:Pop_tri_v4:1:1901461:1903692:-1 gene:Potri.001G025200.v4.1 transcript:Potri.001G025200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025200.v4.1 MATIVTEISSNTLFTILFLLPLIYLIAKQLKTLYSSRFAPLPPGPYSWPILGNALQIGNSPHITLASLAKTYGPLFSLRLGSQLVILAASQEAATEILKTQDRFLSGRFVPDVIPAKWLKLENLSLGWIGEVNNEFKFLRTVCQSKLFSNKALLSQSCLREKKAADTVRFIRTMEGKVLKIKKVAFAAVFSMLTNILISSDLISMEQESMEGEMTEIIRNIFEVGAAPNISDLFPILAPFDLQNLRKKSKELYLRFSTMFEAIIEERRERKMSSDNASGKEDFLDTLISNGSSNEHINVLLLELLVAGSDTSTSAIEWAMAELLRNPQCMKKAQAELASEINQDLIQESDLPRLKFLHACLKESMRLHPPGPLLLPHRAVNSCKVMGYTIPKNSQVLVNAYAIGRDPKSWKDPLDYKPERFLTSNMDFRGSNIEFIPFGAGRRACPGQPMATKHVPLVLASLLHFFDWSLPTGHDPKDIDMTDKFHTSLQKKQPLLLIPKIKN >Potri.004G076800.1.v4.1 pep chromosome:Pop_tri_v4:4:6375386:6376487:-1 gene:Potri.004G076800.v4.1 transcript:Potri.004G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076800.v4.1 MSLTKLKRSSSQENIHHVSVKRLKLFKNKLVSYSPSPAWELLFLVAQYLDPKSLATASCVSKSWLESFSSEDIWRPICSAHYPSVYNLKHVDPAVSCHRLYGIASTAASKLQLQKPIKPHLPLNDLLFVINARTGESSTLFTLSKPCDELQVDPNGIFKFAVDIDLESSLKKEAIREIKVTWNVVLRGWKDVFNMMESCSGKASLVPEAEDLFSKELPLPGCCSNMVTASSLVAEIKLGFCSENCIDEEEGTEDDGKFKRGKLSLAIMNTKHWRYLSMDDALRHLQHFLLPCDA >Potri.003G192400.4.v4.1 pep chromosome:Pop_tri_v4:3:19534585:19541022:1 gene:Potri.003G192400.v4.1 transcript:Potri.003G192400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192400.v4.1 MTTMPPPSSTTVSPSRTTCASLLHELQIIWDEIGENDGQRDKMLLQLEQECLDIYRRKVKNTRKYKADLHQLLADAKAEIANLVSALEENASLFSPGKGPLKQQISAVNPVLDELRLKKQERMKDFYETETQIARICAEIAGSDRSFDSADPEIDERDLTVKRLGELKSHLKELQNEKSLRLQKVNSSIKTVHDLSVVMSIDFFKTVNDVHPSLSDPSKAQSKSISNDTLARLTSTIHSLKQEKQQRLEKLQGLGHKLIELWDLMDTPVDERRLDHVTTLISASVDNVSRLGCLAVDVIEQTEVEVERLNALKASKMKELVFKRQNELEEIYRGVHMDVDSDAARQILISLIESGNAEMSELLASMDDQITKAKEQALSRKDILDKVEKWKFASEEEQWLDEYEKDDNRYSAGRGAHRNLKRAEKARALVSKIPCEFI >Potri.003G192400.3.v4.1 pep chromosome:Pop_tri_v4:3:19534479:19541172:1 gene:Potri.003G192400.v4.1 transcript:Potri.003G192400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192400.v4.1 MTTMPPPSSTTVSPSRTTCASLLHELQIIWDEIGENDGQRDKMLLQLEQECLDIYRRKVKNTRKYKADLHQLLADAKAEIANLVSALEENASLFSPGKGPLKQQISAVNPVLDELRLKKQERMKDFYETETQIARICAEIAGSDRSFDSADPEIDERDLTVKRLGELKSHLKELQNEKSLRLQKVNSSIKTVHDLSVVMSIDFFKTVNDVHPSLSDPSKAQSKSISNDTLARLTSTIHSLKQEKQQRLEKLQGLGHKLIELWDLMDTPVDERRLDHVTTLISASVDNVSRLGCLAVDVIEQTEVEVERLNALKASKMKELVFKRQNELEEIYRGVHMDVDSDAARQILISLIESGNAEMSELLASMDDQITKAKEQALSRKDILDKVEKWKFASEEEQWLDEYEKDDNRYSAGRGAHRNLKRAEKARALVSKIPSMVESLTSKVKAWELERKVPFLYYKAPLLHTLEEYTVLRREREEEKRRSRKRLQEQFAAEQEALYGSRSAIKKPLGLSTSANTMAGTPTARRGVTPFGHHASSAGKQRRESRAHNVTPINYVALPKDDSVSRGC >Potri.003G192400.2.v4.1 pep chromosome:Pop_tri_v4:3:19534479:19541171:1 gene:Potri.003G192400.v4.1 transcript:Potri.003G192400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192400.v4.1 MTTMPPPSSTTVSPSRTTCASLLHELQIIWDEIGENDGQRDKMLLQLEQECLDIYRRKVKNTRKYKADLHQLLADAKAEIANLVSALEENASLFSPGKGPLKQQISAVNPVLDELRLKKQERMKDFYETETQIARICAEIAGSDRSFDSADPEIDERDLTVKRLGELKSHLKELQNEKSLRLQKVNSSIKTVHDLSVVMSIDFFKTVNDVHPSLSDPSKAQSKSISNDTLARLTSTIHSLKQEKQQRLEKLQGLGHKLIELWDLMDTPVDERRLDHVTTLISASVDNVSRLGCLAVDVIEQTEVEVERLNALKASKMKELVFKRQNELEEIYRGVHMDVDSDAARQILISLIESGNAEMSELLASMDDQITKAKEQALSRKDILDKVEKWKFASEEEQWLDEYEKDDNRYSAGRGAHRNLKRAEKARALVSKIPSMVESLTSKVKAWELERKVPFLYYKAPLLHTLEEYTVLRREREEEKRRSREQKRLQEQFAAEQEALYGSRSAIKKPLGLSTSANTMAGTPTARRGVTPFGHHASSAGKQRRESRAHNVTPINYVALPKDDSVSRGC >Potri.011G058800.1.v4.1 pep chromosome:Pop_tri_v4:11:4889322:4890072:-1 gene:Potri.011G058800.v4.1 transcript:Potri.011G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX9 MQEAIPFRAYSPATTSGNRRLPARDHGGANTSSGHVLVVTNGHENHVQKLVLENSVIVFGKRGCCMCHVVKRLLLGLGVNPPVFEVEEKEEDDVIKELSMIDSDRGGEGVDQVQFPVVFVGGKLFGGLERVMATHITGELVPILKDAGALWL >Potri.006G231100.1.v4.1 pep chromosome:Pop_tri_v4:6:23453856:23455705:1 gene:Potri.006G231100.v4.1 transcript:Potri.006G231100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231100.v4.1 MIEEEEEQDQLSMSVDHQEYIVEDASSETDESSDPRPDSAADQFKNFTRNGMIKIGEESSQYESVKRRFLAGMKQYARDTDVVALHKISGSTLAVQARFAAFRVYEGAVLKKSEGKWQGEGEGEGVANIMYGWYGGSKEEITQIISHGFSRCNGQSHGVGVYLSPTNFLLDGLASSSADENGTRHMLLCNVLMGKMEVIPAGSKQMYPSSEEFDTGVDNLEAPRRLVVWSAFMNSHIFPIHIVSFKVPSFHVLLRNQISELKKHGPISVAALFPVLVKFLGPTKKALMEKIFDDLRKCKITRLQLVKSLRRVVGDDQLLIAIIESYRDKLALRKHQAVQVGHRQQLI >Potri.007G033700.1.v4.1 pep chromosome:Pop_tri_v4:7:2639790:2642044:-1 gene:Potri.007G033700.v4.1 transcript:Potri.007G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033700.v4.1 MAASLQAAATLMQPTKVGVPSRTSLQLRSSQSVSKAFGLEPASARISCSLQSDLKDLAQKCVDASKIAGFALATSALVVSGASAEGVPKRLTYEEIQSKTYMEVKGSGTANQCPTIDGGLDSFAFKPGKYNAKKICLEPTSFTVKAEGINKNSPPDFQKTKLMTRLTYTLDEIEGPFEVSSDGNIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLVASGKPDSFSGDFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELTKENIKNTASSTGKITLSVTKSKPETGEVIGVFESVQPSDTDLGAKTPKDVKIQGIWYAQLDQ >Potri.013G017166.2.v4.1 pep chromosome:Pop_tri_v4:13:1066705:1070893:-1 gene:Potri.013G017166.v4.1 transcript:Potri.013G017166.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017166.v4.1 MARTSCFQVVLPLTTCSLPSSTLYSKSIKLKPNTIDNLRIKCFASINARNSSSSSNIPMPPINPKDPFLSKLASIAATSPDSLLQDSPVSGSDTPPYLDIFESPKLMATPAQVERSVSYNEHRPRTPPPDLPSLLLHGRIVYIGMPLVAAVTELVVAELMYLQWMDPKAPIYIYINSTGTTRDDGESVGMETEGFAIYDSMMQLKNEIHTVAVGAAIGQACLLLAAGTKGHRYMMPHAKAMIQQPRVPSSGLMPASDVLIRAKEAVINRDVLTELLAKHTGNSVETVANVMKRPFYMDSRRAKEFGVIDKILWQGQEKIMADVLPPEDWDKSAGIKVADPF >Potri.001G300900.1.v4.1 pep chromosome:Pop_tri_v4:1:31153472:31156774:1 gene:Potri.001G300900.v4.1 transcript:Potri.001G300900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G300900.v4.1 MAATTSTAASSLLATKKNLSSLSLTSANKLPAFSPLLTSSRRQRFIVLSASLNSKPTVLVAEKLGEAGINLLKDFANVDCSYNLSPDELCTKISLCDAIIVRSGTKVSREVFESSGGRLKVVGRAGVGIDNVDLAAATEHGCLVVNAPTANTVAAAEHGIALMAAMARNVAQADASVKAGKWERNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVELVSFDEAIATADFISLHMPLTPATAKILNDETFAKMKKGVRIVNVARGGVIDEDALVRALDAGIVAQAALDVFTVEPPPQDSKLVQHERVTVTPHLGASTKEAQEGVAIEIAEAVVGALKGELASTSVNAPMVPAEVLTELKPYVELAEKLGRLAVQLVSGGSGVKDVKVTYASARAPDDLDTRVLRAMITKGLIEPISSVFVNLVNADFSAKQRGLRISEERILGDGSPESPLHFIQVQIANVESKFASAISENGEIKVEGRVKDGIPHLTKVGSFEVDVSLEGSIILCRQVDQPGMIGKVGSVLGVENVNVSFMSVGRIAPRKQAVMAIGVDEQPSKETLKKIGDIPAVEEFVFLKL >Potri.016G036133.1.v4.1 pep chromosome:Pop_tri_v4:16:2140494:2140910:-1 gene:Potri.016G036133.v4.1 transcript:Potri.016G036133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036133.v4.1 MVRTTGSLLLLVKSSKHIIPRLELDILQLYGSANTSVVHLFHANRNLQYLINLSSLVNNSLFDNLVSHWLVSWTNKSATKVTRDCSGLTELTWKFPMPGPTYHSTNSHLYRHKHTTRAHGRFTPPKLTYDSVIHSRNL >Potri.005G002100.1.v4.1 pep chromosome:Pop_tri_v4:5:220949:221245:1 gene:Potri.005G002100.v4.1 transcript:Potri.005G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002100.v4.1 MAGAVKCIDILIAIILPPLGVFLRFGCGVEFWICLLLTILGYIPGIIYAVYAITK >Potri.008G074300.4.v4.1 pep chromosome:Pop_tri_v4:8:4626045:4630854:1 gene:Potri.008G074300.v4.1 transcript:Potri.008G074300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074300.v4.1 MNTWIKDGETLKGYMTHWPPQGWRECEPLLSYCRDNGIRIVACGVPLKVLRTVQAEGIRGLSKADRKLYAPPAGTGFISGFSSISRRSTDMNAPKQSVPFGPSSYLSAQARVVEDHAMSQIILQAVIDGGANGLLVVVTGASHVMYGSRGTGLPARISKKTQKKNQVVILLDPERQFIRREGEVPVGDFLWYSAARPCNRNCFDRAEIARVMNAAGRRRDALPQDLQKGLDLGLVSPEVLQNFFDLEQYPIIKELTHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKENFFEELDYVITDTVRGIVVDFFTVWLPAPTLSFLSYADDTAVPDSVDALKGLLKSIPDNAFQKNLVGKDWNISHRVASVIVGGVKLSSVGFISSIGTVAASNLLYAIRKLINPALVTDQRTKRSPILKTAAIYGCFLGTSANLRYQIIAGIVEHRISDEFSSQTLLVNMLSFIVRTINSYWGTQQWVDLARFSGLQSQKSEPPSYQTLDSPSNAAIGCNTLEDTNIDEINNQ >Potri.008G074300.3.v4.1 pep chromosome:Pop_tri_v4:8:4626101:4630891:1 gene:Potri.008G074300.v4.1 transcript:Potri.008G074300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074300.v4.1 MRPHTQRRFASTPPRHPCPSTPPSPPLRRLFPSKTISLAHRCHLSVPDSPCSSQTQTTTRRRQVLLTPLLALGVSILQSAASKAEVANKEPDSPPPPPPPVAAEKKAEEVISSRIYDATVIGEPMAVGKDKRKVWEKIMNGRIVYLGEAEQVPIKDDKELELEIVKNLKKQCDEREKSISLAMEAFPCDLQRLLNEYLDKRIDGETLKGYMTHWPPQGWRECEPLLSYCRDNGIRIVACGVPLKVLRTVQAEGIRGLSKADRKLYAPPAGTGFISGFSSISRRSTDMNAPKQSVPFGPSSYLSAQARVVEDHAMSQIILQAVIDGGANGLLVVVTGASHVMYGSRGTGLPARISKKTQKKNQVVILLDPERQFIRREGEVPVGDFLWYSAARPCNRNCFDRAEIARVMNAAGRRRDALPQDLQKGLDLGLVSPEVLQNFFDLEQYPIIKELTHRFQGFRERLLADPKFLHRLAIEEAISITTTLLAQYERRKENFFEELDYVITDTVRGIVVDFFTVWLPAPTLSFLSYADDTAVPDSVDALKGLLKSIPDNAFQKNLVGKDWNISHRVASVIVGGVKLSSVGFISSIGTVAASNLLYAIRKLINPALVTDQRTKRSPILKTAAIYGCFLGTSANLRYQIIAGIVEHRISDEFSSQTLLVNMLSFIVRTINSYWGTQQWVDLARFSGLQSQKSEPPSYQTLDSPSNAAIGCNTLEDTNIDEINNQ >Potri.010G011300.3.v4.1 pep chromosome:Pop_tri_v4:10:2027141:2033331:-1 gene:Potri.010G011300.v4.1 transcript:Potri.010G011300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011300.v4.1 MLIVRRVWHKLVRSSTPRFLYPYPAPALLKAPKLPFTGYTNNSINIKIETKVGWRLFSSQALADGGMSDRIVDVPLAQTGEGIAECELLKWFVKEGDEVEDFQPLCEVQSDKATIEITSRYKGKVAQFQYVPGDIVKVGETLLKMVVEGAQVPPQKHDVTENIISHCSEGEVNKSKTCGVLSTPAVRHLGKQYDINLNDVHGSGKDGRVLKEDIIKHAIQKGIIKDSSGFENADSGDQFLRGEEDYSYVPAELGSHHGDKTIPLRGFQRTMVKTMSMAAKVPHFHYVEEINCDALVELKESFQNNNTEPGVKHTFLPSLIKSLSVAISKYPWINSRFNEDSMEVILKGSHNIGIAMATPSGLVVPNIKNVQSLSILEITKELSRLQQLALANKLNPEDITGGTITLSNIGAIGGKFGAPILNLPELAIIAIGRIQKVAHFADDGNAYPASVMTVNIGADHRVLDGATVARFCNEWKQLIEKPELLMLLMR >Potri.009G048900.1.v4.1 pep chromosome:Pop_tri_v4:9:5437429:5438196:-1 gene:Potri.009G048900.v4.1 transcript:Potri.009G048900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048900.v4.1 MATTTTHRHVLALAMLLIVGIHILGNQKVAASCQETLPPLISKCTQFVRIPGPKVPPSDACCQAVKQVPLGDLPCLCKLVTPAVEKVISMEKAVYVARTCGLPIPSGLTVCGSYTIPPKFV >Potri.001G324200.1.v4.1 pep chromosome:Pop_tri_v4:1:33313173:33321978:1 gene:Potri.001G324200.v4.1 transcript:Potri.001G324200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324200.v4.1 MSTVTTVGSFFPSVRCCAPPSTSPLSNGRLKLNHVSNTARRLAVSTEQAISTETTTERNETTMRNHVSNGRLKESEEGEGMKEKQKNPYALELEKTEMELRSSRKSLEDYFEESKNFIAKSDGGGGGPPRWFSPLECGSRLDNSPLLLFLPGIDGIGLGLSKQHNTLGKIFDIWCLHIPVKDRTSFLGLVKLIERTVRSESYCFPNRPIYLAGESLGACLALAVAARNPDVDLVLVLANPATSFEKSQLQPLIPLLEVLPFQHQLTIPYMLSLMTGDSLRMAMDNAVKGFPLEQTIGGLSQDLVAMSSYLNALANILPRETLLWKLQMLKTASAYANSRLHAVKSQTLVLSSGRDQLLPSEEEGQRLYVALPKCEIRKFNDSGHFLFLEHDVDLANIIKGASCYRRGKYLDYISDYIPPTPLEFKKLYDSNRLFVLATSPVMLSYFQDGKIVRGLAGVPSEGPVLYVGYHMLMGFEVIPLISNFLLERNILIRGITHPMLYVKLKKEGMMPPLQQFDVVRTMGAVPVSGSNFYKLMSSKAHALLYPGGMREAYHRKGEEYKLFWPEKSEFVRMASRFGAKIVPFGVVGEDDFGEVVFDYDDQMKIPFLRDYIKGLSEEVVSLRTEADGEVGQQDLHQVGIVPKFPGRFYYYFGKPIETEGRKQELRDREKAHELYLHVKSEVENCIAFLKEKRESDPYRNILARLAYQASHGFDAEVPTFDI >Potri.009G121100.2.v4.1 pep chromosome:Pop_tri_v4:9:10143535:10149334:-1 gene:Potri.009G121100.v4.1 transcript:Potri.009G121100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121100.v4.1 MAEPVDNNNSNSNKEINNGSRESNNENNYNNDEENKKTKKNVDPESSSSHSGVHRRLMQWKRVCDFRNYIRRPRNWDNLPSHQSTPGNSGRWLQSTSPLSHLFEVDSWTSSQNLRSINPSSTRRTSFSSTASDNDSLRRRGPEPASSFVGMHCIFDQCKAAAPSFFLYAVTVLKFGYMSSDVSEPPSVIKKLEGHSKDVTDFDFSSNNQYIASAFMDKTVRVWELSKGICIRVIYGVSSQLCIRFHPVIGNANREITVFNFSTGRIINKLVLDDKVTALDHDHTGHLFSVGMHRRLFFCALFRSHRYRSSGKSRYQVTTVWYRSLSLLAGGPVLLTCTQDGSFSFFSVALEIKGYLTLSGSLKLAPRVHSIRASFCPLLSLEKGEYIVAGSEDSNVYFYDLTRPKHTCVNKLQGHRFPVGDVAWNHGENLLATSDIYGIVILWKREKTRQETVGQNAIFLGNLCYFSSWLPLSESQSELFPSGTGGQFFLSS >Potri.005G205400.1.v4.1 pep chromosome:Pop_tri_v4:5:21034275:21037935:-1 gene:Potri.005G205400.v4.1 transcript:Potri.005G205400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205400.v4.1 MEAMTKMSGCISSDNYDKDEEVILPGFRFHPTDEELVGFYLRRKVEKKRIGIELIKQIDIYKYDPWDLPISTAEDKEWYFFCLRGRKYRNSVRPNRVTRSGFWKATGIDKPIYSDKETHECIGLKKCLVYYRGSAGKGTKTDWMMHEFRLPPNGKTTNPLNARNIAQEAEVWTLCRILKRIPSYKKYVAAVPATEQKPINCDSNSKSCSFESETSTEQDVSFGDSFVQRNERKPVTIDQVDHERNNLFMGGQYDSVTEAPFTAAYQGFRNTGIGDDFFVNGNWDDLRTVVELAIDPAQVPDECRW >Potri.010G109200.1.v4.1 pep chromosome:Pop_tri_v4:10:12978901:12983295:-1 gene:Potri.010G109200.v4.1 transcript:Potri.010G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109200.v4.1 MARKPLITFLGNFRVTAFLLLLLLTSRQVIGGGHDYHDALRKSILFFEGQRSGKLPPDQRVKWRRDSALHDGSSVGRDLTGGYYDAGDNIKFGFPMAFTTTLLSWSIIDFGRNMGPELKNAVKAVKWATDYLLKVTAVPNVVYVQLGDAYSDHNCWERPEDMDTLRTVYKIDGSHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFDFADSHRGAYSSSLHSAVCPFYCDVNGYQDELLWGAVWLHKASRRRRYREYIVKNEVILHAGDTINEFGWDNKHAGINVLISKEVLMGRAEYFESFKHNADGFICSILPGISHSQVQYSPGGLIFKAGGSNMQHVTSLSFLFLAYSNYLSHANKAVPCGEKTASPALLKQLAKRQVDYILGDNPLRMSYMVGYGPRYPQRIHHRGSSLPSVRAHPARIRCKEGSRYFLSPNANPNVHVGAVVGGPNVTDAFPDSRPFFQESEPTTYINAPLVGLLAYFSAHP >Potri.006G282400.1.v4.1 pep chromosome:Pop_tri_v4:6:27168510:27168728:-1 gene:Potri.006G282400.v4.1 transcript:Potri.006G282400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282400.v4.1 MAAGKYQESYSSRFAKCKYQVFLSFRGEDTRKNFTDHLYTALVQAGIHTLRDDDEIGRGENIKSELQQAIQR >Potri.009G015000.8.v4.1 pep chromosome:Pop_tri_v4:9:2634417:2646075:-1 gene:Potri.009G015000.v4.1 transcript:Potri.009G015000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015000.v4.1 MDLVASCKDKLVYFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKIWAKKSAIGKEEAAKLVDDTYRKMQVSGATDLASKGQGVSNCSNSKFSGEMDEPFHSDTKVRCPCGTSLETESMIKCEDFKCHVWQHIGCVIIPEKAMEGTPQFPDVFYCETCRLSRADPFWVTVAQPLYPVKLVATNVPTDGSSPAQGVEKTFHLTRADKDLLAKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGIAVRAINRPGSQLLGANGRDDGPIITSCAKDGINKISLTGCDARIFCLGVRIVKRRTVQQIFNLIPKESEGERFEDALARVCRCVGGGTATDDAYSDSDSDLEVVADSFGVNLRCPMSGSRMKIAGRFKSCAHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRHCVEDITEIEVKPDGSWRVKTKTESDHRDAGELAQWHNPDSTLCVPYTGELKSKVEMKQIKQEGGSEGNAGASLKLGIRKNRNGFWEVSKPDDMNTSSSGRLQENFELYEQKVIPMSSSATGSGRDGEDPSVNQDTGENFEFTNNGMELDSLSLNVYSTYGFTDQNLSAPVGNAEVIVLSDSDEENDILMSSGSVYKSNQNGGATISVPSPEIADHFLEDPTLGTGGNSCLGLFNADEYGMPLWPLPPGNQAGPGFQLFNSDVSDALVDLPHDPVNCPSSMNGYTLAPETVMRSTCLIPDSSIGRSDTDVNDGLVDNPLAFGREDPSLQIFLPTGPSDASMQSDMRDQADVSNGVRTDDWISLRLGGGGATGNHSEAVPSTNRLNSRQQMPSREDGMDLAGTDSLHLGINDGRSEKASRQRSDSPFSFPRQKRSKTFAGVIR >Potri.009G015000.3.v4.1 pep chromosome:Pop_tri_v4:9:2634424:2645953:-1 gene:Potri.009G015000.v4.1 transcript:Potri.009G015000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015000.v4.1 MDLVASCKDKLVYFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKIWAKKSAIGKEEAAKLVDDTYRKMQVSGATDLASKGQGVSNCSNSKFSGEMDEPFHSDTKVRCPCGTSLETESMIKCEDFKCHVWQHIGCVIIPEKAMEGTPQFPDVFYCETCRLSRADPFWVTVAQPLYPVKLVATNVPTDGSSPAQGVEKTFHLTRADKDLLAKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGIAVRAINRPGSQLLGANGRDDGPIITSCAKDGINKISLTGCDARIFCLGVRIVKRRTVQQIFNLIPKESEGERFEDALARVCRCVGGGTATDDAYSDSDSDLEVVADSFGVNLRCPMSGSRMKIAGRFKSCAHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRHCVEDITEIEVKPDGSWRVKTKTESDHRDAGELAQWHNPDSTLCVPYTGELKSKVEMKQIKQEGGSEGNAGASLKLGIRKNRNGFWEVSKPDDMNTSSSGRLQENFELYEQKVIPMSSSATGSGRDGEDPSVNQDTGENFEFTNNGMELDSLSLNVYSTYGFTDQNLSAPVGNAEVIVLSDSDEENDILMSSGSVYKSNQNGGATISVPSPEIADHFLEDPTLGTGGNSCLGLFNADEYGMPLWPLPPGNQAGPGFQLFNSDVSDALVDLPHDPVNCPSSMNGYTLAPETVMRSTCLIPDSSIGRSDTDVNDGLVDNPLAFGREDPSLQIFLPTGPSDASMQSDMRDQADVSNGVRTDDWISLRLGGGGATGNHSEAVPSTNRLNSRQQMPSREDGMDLAGTDSLHLGINDGRSEKASRQRSDSPFSFPRQKRSVRPRLYLSIDSDSE >Potri.009G015000.9.v4.1 pep chromosome:Pop_tri_v4:9:2634415:2646079:-1 gene:Potri.009G015000.v4.1 transcript:Potri.009G015000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015000.v4.1 MDLVASCKDKLVYFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKIWAKKSAIGKEEAAKLVDDTYRKMQVSGATDLASKGQGVSNCSNSKFSGEMDEPFHSDTKVRCPCGTSLETESMIKCEDFKCHVWQHIGCVIIPEKAMEGTPQFPDVFYCETCRLSRADPFWVTVAQPLYPVKLVATNVPTDGSSPAQGVEKTFHLTRADKDLLAKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGIAVRAINRPGSQLLGANGRDDGPIITSCAKDGINKISLTGCDARIFCLGVRIVKRRTVQQIFNLIPKESEGERFEDALARVCRCVGGGTATDDAYSDSDSDLEVVADSFGVNLRCPMSGSRMKIAGRFKSCAHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRHCVEDITEIEVKPDGSWRVKTKTESDHRDAGELAQWHNPDSTLCVPYTGELKSKVEMKQIKQEGGSEGNAGASLKLGIRKNRNGFWEVSKPDDMNTSSSGRLQENFELYEQKVIPMSSSATGSGRDGEDPSVNQDTGENFEFTNNGMELDSLSLNVYSTYGFTDQNLSAPVGNAEVIVLSDSDEENDILMSSGSVYKSNQNGGATISVPSPEIADHFLEDPTLGTGGNSCLGLFNADEYGMPLWPLPPGNQAGPGFQLFNSDVSDALVDLPHDPVNCPSSMNGYTLAPETVMRSTCLIPDSSIGRSDTDVNDGLVDNPLAFGREDPSLQIFLPTGPSDASMQSDMRDQADVSNGVRTDDWISLRLGGGGATGNHSEAVPSTNRLNSRQQMPSREDGMDLAGTDSLHLGINDGRSEKASRQRSDSPFSFPRQKRSVRPRLYLSIDSDSE >Potri.009G015000.5.v4.1 pep chromosome:Pop_tri_v4:9:2634416:2645977:-1 gene:Potri.009G015000.v4.1 transcript:Potri.009G015000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015000.v4.1 MDLVASCKDKLVYFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKIWAKKSAIGKEEAAKLVDDTYRKMQVSGATDLASKGQGVSNCSNSKFSGEMDEPFHSDTKVRCPCGTSLETESMIKCEDFKCHVWQHIGCVIIPEKAMEGTPQFPDVFYCETCRLSRADPFWVTVAQPLYPVKLVATNVPTDGSSPAQGVEKTFHLTRADKDLLAKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGIAVRAINRPGSQLLGANGRDDGPIITSCAKDGINKISLTGCDARIFCLGVRIVKRRTVQQIFNLIPKESEGERFEDALARVCRCVGGGTATDDAYSDSDSDLEVVADSFGVNLRCPMSGSRMKIAGRFKSCAHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRHCVEDITEIEVKPDGSWRVKTKTESDHRDAGELAQWHNPDSTLCVPYTGELKSKVEMKQIKQEGGSEGNAGASLKLGIRKNRNGFWEVSKPDDMNTSSSGRLQENFELYEQKVIPMSSSATGSGRDGEDPSVNQDTGENFEFTNNGMELDSLSLNVYSTYGFTDQNLSAPVGNAEVIVLSDSDEENDILMSSGSVYKSNQNGGATISVPSPEIADHFLEDPTLGTGGNSCLGLFNADEYGMPLWPLPPGNQAGPGFQLFNSDVSDALVDLPHDPVNCPSSMNGYTLAPETVMRSTCLIPDSSIGRSDTDVNDGLVDNPLAFGREDPSLQIFLPTGPSDASMQSDMRDQADVSNGVRTDDWISLRLGGGGATGNHSEAVPSTNRLNSRQQMPSREDGMDLAGTGLFRFS >Potri.009G015000.4.v4.1 pep chromosome:Pop_tri_v4:9:2634416:2646075:-1 gene:Potri.009G015000.v4.1 transcript:Potri.009G015000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015000.v4.1 MDLVASCKDKLVYFRIKELKDVLTQLGLSKQGKKQDLVDRILAILSDEQVSKIWAKKSAIGKEEAAKLVDDTYRKMQVSGATDLASKGQGVSNCSNSKFSGEMDEPFHSDTKVRCPCGTSLETESMIKCEDFKCHVWQHIGCVIIPEKAMEGTPQFPDVFYCETCRLSRADPFWVTVAQPLYPVKLVATNVPTDGSSPAQGVEKTFHLTRADKDLLAKQEYDIQAWCMLLNDKVPFRMQWPQYADLQVNGIAVRAINRPGSQLLGANGRDDGPIITSCAKDGINKISLTGCDARIFCLGVRIVKRRTVQQIFNLIPKESEGERFEDALARVCRCVGGGTATDDAYSDSDSDLEVVADSFGVNLRCPMSGSRMKIAGRFKSCAHMGCFDLEVFVELNQRSRKWQCPICLKNYSLENIIIDPYFNRITSKMRHCVEDITEIEVKPDGSWRVKTKTESDHRDAGELAQWHNPDSTLCVPYTGELKSKVEMKQIKQEGGSEGRLQENFELYEQKVIPMSSSATGSGRDGEDPSVNQDTGENFEFTNNGMELDSLSLNVYSTYGFTDQNLSAPVGNAEVIVLSDSDEENDILMSSGSVYKSNQNGGATISVPSPEIADHFLEDPTLGTGGNSCLGLFNADEYGMPLWPLPPGNQAGPGFQLFNSDVSDALVDLPHDPVNCPSSMNGYTLAPETVMRSTCLIPDSSIGRSDTDVNDGLVDNPLAFGREDPSLQIFLPTGPSDASMQSDMRDQADVSNGVRTDDWISLRLGGGGATGNHSEAVPSTNRLNSRQQMPSREDGMDLAGTDSLHLGINDGRSEKASRQRSDSPFSFPRQKRSVRPRLYLSIDSDSE >Potri.002G092900.1.v4.1 pep chromosome:Pop_tri_v4:2:6756522:6757920:-1 gene:Potri.002G092900.v4.1 transcript:Potri.002G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092900.v4.1 MLLGKRPRNPMKRTTSFSEITFDLNTATSEAAPPPSDHHQKQAGYGGLIDQRFLSDAGSPRTTYRRASADFLETAHFLRACSLCKRRLIPGRDIYMYRGDSAFCSLECRQQQMSLDERKEKCSLASKKESVSTTTATEVSAKGESTVAAL >Potri.018G119100.1.v4.1 pep chromosome:Pop_tri_v4:18:13465006:13466137:-1 gene:Potri.018G119100.v4.1 transcript:Potri.018G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119100.v4.1 MCFFLSIATIVVVALTEIFLWDWQCTSLLLLPMMCILLLISAAEFVFGVAIVTDTSVANVFPLRALIMVVILLNLIWQIAWTYQYLRKRLQRFRRNEERRGE >Potri.011G155300.1.v4.1 pep chromosome:Pop_tri_v4:11:18206326:18207222:1 gene:Potri.011G155300.v4.1 transcript:Potri.011G155300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155300.v4.1 MASKLDLLLIAFAFFFLCSSHYCSASGRSLNPEMPATTEFGLDPPYLLKPTFPLPEDEETQTPPSSTLPMLPMPLQQPITPPPPPPFPAGQDGANLTPAFPFPHLPPLPKFPPFPFIPTMPSIPELPSIPLPPQFVDSGFSSPGIGNEGSP >Potri.011G028901.1.v4.1 pep chromosome:Pop_tri_v4:11:2184385:2185285:1 gene:Potri.011G028901.v4.1 transcript:Potri.011G028901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028901.v4.1 MAKLFQMDQTQDATSRIVGTLGYMAPEYAMHGCFSAKSDVLSFGELVVEIITGRQNGSFNSEEEQEYLSPTHGRVGMKEEH >Potri.001G053300.1.v4.1 pep chromosome:Pop_tri_v4:1:4045496:4045918:1 gene:Potri.001G053300.v4.1 transcript:Potri.001G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053300.v4.1 MNTMHHFLLVLAAFYLISSQSSFAADDEDFLWVWHHVYITNDLPGDPSKTLMVHCKDKTKDLGFKYLSQKQVFHFKASIDFFRRRLFFCNMQWNGKQTYIDAFYAKRDENRCRKHCMWSVREDGFYFSKGDSHWNREYQW >Potri.010G060200.1.v4.1 pep chromosome:Pop_tri_v4:10:9027931:9030575:-1 gene:Potri.010G060200.v4.1 transcript:Potri.010G060200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060200.v4.1 MANEDVSHDLSSLLSSEERDFLIRNNGDQVKVSNLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEQLSSKGDFEVVFISSDGDDESFNTYFSEMPWLAIPFSDTETRQRLKEVFKVRGIPRLVIFDTNGKVSCDNGVRHVKEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPVLDLEGKLVGLYFSAHAHRMCREFTPKLVELYKTLKEKRENFEVVLISLDDEEEDFKESFETMPWLALPFKDKSCEKLVRYFELRTIPNLVIIGQDGKTLNPNVAELIEEHGIEAYPFTPEKLDELAAIEKAKLESQTLESVLVNGENDFVIDKSGSKVPVSELVGKNILLYFSAQWCPPCRAFLPKLIEAYHTIKRKDNAFEVIFISSDRDQSTFDEFYSEMPWLALPFGDGRKQILSRKFKIQGIPAAVAIGPSGRTITKEARMHLTAYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRKTYICDGCGETGNRWSFYCKQCDFDLHPKCALKEDEDTGIEKGKEGWNCDGDVCRRA >Potri.007G095000.1.v4.1 pep chromosome:Pop_tri_v4:7:12027692:12029846:1 gene:Potri.007G095000.v4.1 transcript:Potri.007G095000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G095000.v4.1 MGSLNNKPHAVLLPYPAQGHVNPLMQLARLLHSKGFHVTFVNTEFNHRRLVRSNGPEFFKGLLDFRFETIPDGLPPSDRDATQDIWALSDSVRKNCLDPFRELLAKLNSSPELPPVTCIISDGLMSFAIEAAEELDIPEIQFWTASAIGLMGFLQFEELVKRGIVPFKDENFINDGTLDMPLGWIPGVKNIRLKDMPSLIRTTDPDDIMLKFMSDEAQNCLKASAIIFNTFDEIEHVVLEAIVTKFPRIYTIGPLSLLGRNMPPTQAKSLRSNLWKEDLKCFEWLDKQEPKSVLYVNYGSITVMTDQQFEEFAWGLANSNHPFLWIVRPDVVMGSSGFLPKEYHEEIKNRGFLAPWCPQDEVLSHPSIGAFLTHGGWNSTLESISSGIPMLCWPFFDEQPMNCRYLCTIWGIGMEINHYVKREEVEAIVKQMMEGEKGKRMKNNALQWKKKAEAAASIGGSSYNNFNKFISEVLHFKGNIH >Potri.019G075600.1.v4.1 pep chromosome:Pop_tri_v4:19:11683393:11684586:1 gene:Potri.019G075600.v4.1 transcript:Potri.019G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075600.v4.1 MEGRIRDGHLGISPPRYRGVRQRKWGKWVSEIREPGKKTRIWLGSYEMPEMAAAAYDVAALHLRGRGAQLNFPEMVDILPQPASSSAEDVQMAAQEAALLFRRPMKCSEAVSGDSSVGGGLGPVRVGLSPSQIQAINEAPLDSPKMWMELAGALLLEEPMIMSDDIDVAYRDERGEMQHDSIWDY >Potri.001G241300.1.v4.1 pep chromosome:Pop_tri_v4:1:25874371:25878864:-1 gene:Potri.001G241300.v4.1 transcript:Potri.001G241300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241300.v4.1 MMGREGAGNDIVEIKVHPRRENNVGSPPPYQNKAVFKKWVPWLVPLFVVSNIAVFIAVMYVNDCPTNSGSCVAPSLGRFSFQPLKENPLLGPSSSTLVKMGALDVARVVNKHQSWRLISCIWLHAGVFHVVANMLSLLLIGIRLEQEFGFFRIGLVYVISGFGGSLLSALFIQTGISVGASGALFGLLGGMLSELITNWTIYANKSAALTTLLCIIAINLAVGLLPHVDNYAHIGGFLSGFFLGFVFLIRPQFKWINQKACPPGYIAPPAKSKHKAYQYVLWVVSLIVIIIGFTLGMVALLRGVNVNDHCSWCHYLSCVPTSLWSCNSRQVYCQSSQLGNQLNLTCLSNGKSNLYYLSADDLSKVQQLCAQLCS >Potri.009G049800.1.v4.1 pep chromosome:Pop_tri_v4:9:5510708:5511175:-1 gene:Potri.009G049800.v4.1 transcript:Potri.009G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049800.v4.1 MAMIPSFFNNRSRDIIFDPFSSFDPFKDFPFPSSSLISRENSAFVNTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFLRRFKLPENAKIDQVKAGLENGVLTVTVPKEEVKKPDVKKAIEISG >Potri.002G260600.1.v4.1 pep chromosome:Pop_tri_v4:2:24877413:24882433:1 gene:Potri.002G260600.v4.1 transcript:Potri.002G260600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260600.v4.1 MTIARLARQAKRPRGFCVKMTAVAVMGFCFIFVWSMFSSSSTSATTQRESFDDIAEPVAGNTRVSRPHTQSREREKEKHEPSRVNEKQNGESDLDLKKDEKKINGSVSLVVNEHESRRKDKKEEASLERKEKDDGTKKLPNEGEKDNQGQEESGDEESEKEEEEGEVVDGKKEANDGENTEGNGDIQGDGDLIQNADQESVEEVEHESAGSKSTGKKRKIKGPVFDPNAHYSWRLCSTRSKHNYMPCIDIESGTGRLQSYRHTERSCPKTPPMCLVPLPHEGYGTPVHWPESKLKVLYSNVAHPKLAAFIKKNSWLVQSGEYLTFPQNQSEFKGGVQHYLDSIEEMVPDIEWGKNIRVVLDIGCTDSSFAASLLDKEVLTLSLGLKDDLVDLAQVALERGFPTIVSPFGSRRLHFPSGVFDAIHCSGSSIPWHSNGGKLLLEMNRILRPGGYFILSTKHDNIEEEEAMTTLTASVCWNVLAHKTDEVGEVGVKIYQKPESNDIYGLRRRKHPPLCKENENPDAAWYVPLKTCLHPVPSAIEQHGTEWPEEWPKRLETYPDWMNNKEKLVADTNHWKAIVEKSYLTGMGIDWSNIRNIMDMKAINGGFAAALAQHKVWVMNVVPVHAPDTLPIIYERGLIGVYHDWCESFGTYPRSYDLLHADHLFSRLKNRCRQAASIVVEMDRMLRPGGWAVIRDKVEILDPLEGILRSLHWEIRMTYAQDKEGILCAQKTMWRP >Potri.004G039100.1.v4.1 pep chromosome:Pop_tri_v4:4:3116014:3118839:1 gene:Potri.004G039100.v4.1 transcript:Potri.004G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039100.v4.1 MGVQENGNGIVGGKNKYKRMDSDALEEDIVLSNNLQDQEGVFVEKRDTRIYLLACAIFASLNSVLLGYDVGVMSGAILFIKEDLKISEVQEEVLVGILSIISLLGSLAGGKMSDAIGRKWTIAFATFVFQSGAAVMALAPSFTILMTGRLLAGVGIGFGIMIAPVYIAEISPTAVRGSLTSFPEIFINLGILLGYISNYAFSGLPVHINWRVMLGIGILPSIFMGVALFVIPESPRWLVGQNRIEEARAVLSKTNDSEKEAEERLAEIQLAADLANSEKHEAKAVWQELLKPSPAVRKMLITGCGIQCFQQITGIDATVYYSPTIFKDAGIKSETHLLAATVAVGFTKTIFILIAIFLIDKVGRKPLLYISTIGMTVSLLSLSLTLSFMSDEKFGIELAILSVCANVAFFSVGIGPICWVLSSEIFPQRLRAQASALGAVGSRVSSGAVSMSFLSVSAAITVGGTFFVFSLISALSVAFVHTCVPETKGKSLEQIEMMFQDEGELQRGEVELGDVEVQRLVQKE >Potri.004G039100.2.v4.1 pep chromosome:Pop_tri_v4:4:3116027:3118756:1 gene:Potri.004G039100.v4.1 transcript:Potri.004G039100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039100.v4.1 MGVQENGNGIVGGKNKYKRMDSDALEEDIVLSNNLQDQEGVFVEKRDTRIYLLACAIFASLNSVLLGYDVGVMSGAILFIKEDLKISEVQEEVLVGILSIISLLGSLAGGKMSDAIGRKWTIAFATFVFQSGAAVMALAPSFTILMTGRLLAGVGIGFGIMIAPVYIAEISPTAVRGSLTSFPEIFINLGILLGYISNYAFSGLPVHINWRVMLGIGILPSIFMGVALFVIPESPRWLVGQNRIEEARAVLSKTNDSEKEAEERLAEIQLAADLANSEKHEAKAVWQELLKPSPAVRKMLITGCGIQCFQQITGIDATVYYSPTIFKDAGIKSETHLLAATVAVGFTKTIFILIAIFLIDKVGRKPLLYISTIGMTVSLLSLSLTLSFMSDEKFGIELAILSVCANVAFFSVGIGPICWVLSSEIFPQRLRAQASALGAVGSRVSSGAVSMSFLSVSAAITVGGTFFVFSLISALSVAFVHTCVPETKGKSLEQIEMMFQDEGELQRGEVELGDVEVQRLVQKE >Potri.014G197200.1.v4.1 pep chromosome:Pop_tri_v4:14:12938482:12941432:1 gene:Potri.014G197200.v4.1 transcript:Potri.014G197200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197200.v4.1 MDSNSQQPGSSPPPKPWERAGTSSSGPTPFKPPSPGSTSDVVEASGTTKPGEIVPATGGNTVATANSFGRPVPTRPWEQQNAGTMYSGYNNGYGTGMYGNSYGGMYGSSYGGAGGYSGGMYGNSSYRGGYGGVYNGGMYGGGLGGGLGGGLGGGLGGGLGGGLGGGLGGPMGGYGVGMGPCGDQDPNNPFGAPPSPPSFWVSFLRVMQGVVNVFGRISFLIDQNTQAFHMFMSALLQLFDRTGLLYGELARFALRLLGIKSKPRKVQGPGPDGFPVPHNPRGNQNYIEGPKAAPSGAWDNVWGNGPSN >Potri.001G104600.1.v4.1 pep chromosome:Pop_tri_v4:1:8431433:8433420:1 gene:Potri.001G104600.v4.1 transcript:Potri.001G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104600.v4.1 MREILHVQAGQCGNQIGGKFWEVVCDEHGIDPTGNYAGNSNAQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRTGPYGQIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSQVSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLAMSSTFMGNSTSIQEMFKRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAAADNEGEYDEEEPMEN >Potri.005G181200.1.v4.1 pep chromosome:Pop_tri_v4:5:18759999:18760985:-1 gene:Potri.005G181200.v4.1 transcript:Potri.005G181200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181200.v4.1 MAVEIDDSFKKPGAVPFKWEIRPGVPKIQRQQKQQKKELSPPTLPSPSPPFNHRRPSPTPQVQKQKLKPPPARSVFLPPPEPRAHSFRSAPRSRSGRWRFEQPTHVRPECVSPGCFPSPLLRRKDSKRRTSAGIAKPASEPDYTSDLDTLSRWSISSRKSFSSFRDSPASSFSSYQSSPRPVSDAQWAGFGLF >Potri.003G176600.4.v4.1 pep chromosome:Pop_tri_v4:3:18355371:18361169:1 gene:Potri.003G176600.v4.1 transcript:Potri.003G176600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176600.v4.1 MQSTFVSPRATPLKPKTPFPTRLPPSSLLVKAQSVAVEPSQATSTVAQKLNKYSSRITEPKSQGGSQAILHGVGLSDDDMSKPQIGISSVWYEGNTCNMHLLKLSEAVKRGVEEAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFNGHTYDIVSAFQVYGEYVSGSISDDERKNVVHNSCPGAGACGGMYTANTMASAIEALGMSLPYSSSIPAENQLKLDECRLAGKYLLELLKMDLKPRDIITCKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDVHKIGGTPAVLRYLLEHGFLDGDCLTVTGKTLAENVQNCPPLSEGQEIIRSLENPIKQTGHLQILRGNLAPEGSVAKITGKEGLYFSGPALVFEGEESMIAAISEDPMSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDCALLTDGRFSGGSHGFVAGHICPEAQEGGPIGLIRNGDIINVDVRERRIDVQLTDSELEERRKNWTPPPYKATRGVLYKYIKNVQSASEGCVTDE >Potri.003G176600.1.v4.1 pep chromosome:Pop_tri_v4:3:18355372:18361169:1 gene:Potri.003G176600.v4.1 transcript:Potri.003G176600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176600.v4.1 MQSTFVSPRATPLKPKTPFPTRLPPSSLLVKAQSVAVEPSQATSTVAQKLNKYSSRITEPKSQGGSQAILHGVGLSDDDMSKPQIGISSVWYEGNTCNMHLLKLSEAVKRGVEEAGMVGFRFNTIGVSDAISMGTRGMCYSLQSRDLIADSIETVMSAQWYDGNISIPGCDKNMPGTIMAMGRLNRPSIMVYGGTIKPGHFNGHTYDIVSAFQVYGEYVSGSISDDERKNVVHNSCPGAGACGGMYTANTMASAIEALGMSLPYSSSIPAENQLKLDECRLAGKYLLELLKMDLKPRDIITCKSLRNAMVIVMALGGSTNAVLHLIAIARSVGLELTLDDFQKVSDEVPFLADLKPSGKYVMEDVHKIGGTPAVLRYLLEHGFLDGDCLTVTGKTLAENVQNCPPLSEGQEIIRSLENPIKQTGHLQILRGNLAPEGSVAKITGKEGLYFSGPALVFEGEESMIAAISEDPMSFKGKVVVIRGEGPKGGPGMPEMLTPTSAIMGAGLGKDCALLTDGRFSGGSHGFVAGHICPEAQEGGPIGLIRNGDIINVDVRERRIDVQLTDSELEERRKNWTPPPYKATRGVLYKVMEGVSK >Potri.003G062100.1.v4.1 pep chromosome:Pop_tri_v4:3:8876757:8881719:1 gene:Potri.003G062100.v4.1 transcript:Potri.003G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062100.v4.1 MEALQVISSATEIISSMVGVVSALDQASRNLDEAPKKIRMLEEFVYDLANLTRQIKQKHVYKLHNPQLDHQIQSLNVLIERMHPNIMKARRIVSRSRVKNLAKVVWSSMAGDPLSKLINTIRDDLNWWFESQRFAQHVQMVIESTAQDVPVRLKIKVELGWPISSKCHFVRNLLEQEVSHRVLLIVGLSGIGKSCLARQVASNPPTKFVDGAVELGFGQWCSRNACNGNKDEYQRRLARKISKFLVQIGFWKKIRDEDNGDLEYVCCILQEALYGKSILILLDDVWEQDIVERFARLYDNDCKYLVTTRNEAVCEITEAEKVELSKDDTREISKAILQYHSLLSVEELPGVAETLLERCGHHPLTVAVMGKALRKEVRAEKWEKAITNLSTFATRAPGPVSYVNEKEAESTLTIFGSFEFSLEAMPRDSKRLFIALASLSWAAPVPEACLEAVWSVLGEEILFPLIVCKLVEGSLLIKTEMDPMYLVHDMVSLYLDSKADDSTGILLNEYSPEETAIICPWLLIFGKENVKRIAEKRTEFLFNVLEEKQVVTTLEALIQALMASKSMSELEVSRERFSGILGPRIADLISTDSLSLIAVTTEAITNIFSTSDYCNYFPSLETTGAINKLATTLQECEEDPITQIHVLIVLAKLAEFGSLETVDKVLESIPFNQLADLLSPSAEILHESMFTVLNSLTKAGKSNAVERMFASGIEKKLIKLLENGSEVLQHHAIVTLKGFYEVACNPGSGSLHPSNLNLLPWQVRLRLETFVLSDQTVPQTSKTQSFEDLIYKLSDGNIKQILQAMQDLIPIIEKAVDSTIREMILQSPLVKRLSELLQSRHSEQNSVRSESAFLLMKLALAGGEPCITKFLDHEIIPELVKMMQCNVAELQDSGYTALHQMLYGNGGILVLHKIFKTGLVDRMVESLDRKSIKTREVNVHCILDLVELGNKSCLEKMLSSQVVEKLVRLEKVTGGSGETIVGFLEGMDKCKDLSMMERKVIKQQVVRKVRASLKGHKFDSQILASVDACMSERSKGSSSSGCGRYRK >Potri.003G062100.2.v4.1 pep chromosome:Pop_tri_v4:3:8876743:8881754:1 gene:Potri.003G062100.v4.1 transcript:Potri.003G062100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062100.v4.1 MEALQVISSATEIISSMVGVVSALDQASRNLDEAPKKIRMLEEFVYDLANLTRQIKQKHVYKLHNPQLDHQIQSLNVLIERMHPNIMKARRIVSRSRVKNLAKVVWSSMAGDPLSKLINTIRDDLNWWFESQRFAQHVQMVIESTAQDVPVRLKIKVELGWPISSKCHFVRNLLEQEVSHRVLLIVGLSGIGKSCLARQVASNPPTKFVDGAVELGFGQWCSRNACNGNKDEYQRRLARKISKFLVQIGFWKKIRDEDNGDLEYVCCILQEALYGKSILILLDDVWEQDIVERFARLYDNDCKYLVTTRNEAVCEITEAEKVELSKDDTREISKAILQYHSLLSVEELPGVAETLLERCGHHPLTVAVMGKALRKEVRAEKWEKAITNLSTFATRAPGPVSYVNEKEAESTLTIFGSFEFSLEAMPRDSKRLFIALASLSWAAPVPEACLEAVWSVLGEEILFPLIVCKLVEGSLLIKTEMDPMYLVHDMVSLYLDSKADDSTGILLNEYSPEETAIICPWLLIFGKENVKRIAEKRTEFLFNVLEEKQVVTTLEALIQALMASKSMSELEVSRERFSGILGPRIADLISTDSLSLIAVTTEAITNIFSTSDYCNYFPSLETTGAINKLATTLQECEEDPITQIHVLIVLAKLAEFGSLETVDKVLESIPFNQLADLLSPSAEILHESMFTVLNSLTKAGKSNAVERMFASGIEKKLIKLLENGSEVLQHHAIVTLKGFYEVACNPGSGSLHPSNLNLLPWQVRLRLETFVLSDQTVPQTSKTQSFEDLIYKLSDGNIKQILQAMQDLIPIIEKAVDSTIREMILQSPLVKRLSELLQSRHSEQNSVRSESAFLLMKLALAGGEPCITKFLDHEIIPELVKMMQCNVAELQDSGYTALHQMLYGNGGILVLHKIFKTGLVDRMVESLDRKSIKTREVNVHCILDLVELGNKSCLEKMLSSQVVEKLVRLEKVTGGSGETIVGFLEGMDKCKDLSMMERKVIKQQVVRKVRASLKGHKFDSQILASVDACMSERSKGSSSSGCGRYRK >Potri.013G116000.1.v4.1 pep chromosome:Pop_tri_v4:13:12472961:12474094:-1 gene:Potri.013G116000.v4.1 transcript:Potri.013G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116000.v4.1 MALARVDETLTAVSTTLVNPDNNTEPMFLVQILSESRKERRVRVRGQSLAMRVDDNRPTAADPTSYFFQIPCQVVAQPASCFHYVAHMISCSDFSASLSNDLASKIAAFSDNLVRAGCFGFFVLAHVKVLEETVHVVEPIFDTDRHVTVSTGASNRVLKKLEKERFYTKQGQSNGDSSSSGTCVVCLEDFSSSVKLSKLPCSHVFHEKCIFRWVLNSKSCPLCRSQVE >Potri.005G076300.1.v4.1 pep chromosome:Pop_tri_v4:5:5142058:5146735:-1 gene:Potri.005G076300.v4.1 transcript:Potri.005G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076300.v4.1 MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMVALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAIHAGVTPPLVELLRGRLTWVEQRVAVRALGHLATYTNTFPAVASHGEILELAIQLAMSSLEIVYSHFYQYADRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLIQDPSTCHKVIDKAVPALVDLAEITNLGDHKKLGDYIVNVLQECLQSQGTGRNSISNHVKELIEELLNSKQRLKWEKSMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLDLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHGEGDAGDIYGQESDGSEWETASESDIGNDGRAEMGDDDDDDSEWKNEDKRKDKYDKVTLKDLKHGYNVHLAEDQS >Potri.005G076300.2.v4.1 pep chromosome:Pop_tri_v4:5:5142087:5146735:-1 gene:Potri.005G076300.v4.1 transcript:Potri.005G076300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076300.v4.1 MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMVALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAIHAGVTPPLVELLRGRLTWVEQRVAVRALGHLATYTNTFPAVASHGEILELAIQLAMSSLEIVYSHFYQYADRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLIQDPSTCHKVIDKAVPALVDLAEITNLGDHKKLGDYIVNVLQECLQSQGTGRNSISNHVKELIEELLNSKQRLKWEKSMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLDLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHGEGDAGDIYGQESDGSEWETASESDIGNDGRAEMGDDDDDDSEWKNEDKRKDKYDKVTLKDLKHGYNVHLAEDQS >Potri.005G076300.3.v4.1 pep chromosome:Pop_tri_v4:5:5142090:5146715:-1 gene:Potri.005G076300.v4.1 transcript:Potri.005G076300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076300.v4.1 MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMVALIWKGLKNRRWLSHDQNIYIPYYAAHIIGSYTMNMEEFAESAIHAGVTPPLVELLRGRLTWVEQRVAVRALGHLATYTNTFPAVASHGEILELAIQLAMSSLEIVYSHFYQYADRRLSYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLIQDPSTCHKVIDKAVPALVDLAEITNLGDHKKLGDYIVNVLQECLQSQGTGRNSISNHVKELIEELLNSKQRLKWEKSMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAASKYSEALSLCPMRSKKERVVLYSNRAQCHLLLQQPLAAISDATRALCLHSPLNRHAKSLWRRAQAYDMLDLAKESLLDAILFINECSQSNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHGEGDAGDIYGQESDGSEWETASESDIGNDGRAEMGDDDDDDSEWKNEDKRKDKYDKVTLKDLKHGYNVHLAEDQS >Potri.008G204000.1.v4.1 pep chromosome:Pop_tri_v4:8:14845151:14850715:1 gene:Potri.008G204000.v4.1 transcript:Potri.008G204000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204000.v4.1 MAESTVQQSRSRDLDKLLLRPGNLVAPTFEPGAQLRDDLQEYARILVIGAGGLGCELLKDLALSGFKNLEVIDMDRIEVTNLNRQFLFRLEDVGKPKAEVAAKRVMERVSGVNIVPHFCRIEDKEIDFYKDFIIIVLGLDSVEARSYINAVACGFLEYDSDDNPIEETVKPMVDGGTEGFKGHARVIIPGSTPCFECTIWLFPPQVKFPLCTLAETPRTAAHCIEYAHLIKWDEVHSGKTFDPDDPEHMKWVYTEAVKRAELFGIQGVTYSLTQGVVKNIIPAIASTNAIISAACTLETLKLASGCSKTLSNYLTYNGVEGLHIKVTEFVKDKDCLVCGPGVLLELDTSVTLQKFIDMLEEHPKLLLSKASVRHRATNLYMQAPPVLEEMTRSNLNLPLFELMGKFPKDIVHVTGTTSKDDKKTSCLRKLCLVFKGADAVTDLDMAVGA >Potri.013G115400.4.v4.1 pep chromosome:Pop_tri_v4:13:12393103:12397348:-1 gene:Potri.013G115400.v4.1 transcript:Potri.013G115400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115400.v4.1 MASSSAGNSPPISTISSLSKRFEFSPDPPPKISLSTDQYQHCSEALHFFKEKFRTPKRIAQEFAHLQASRITPSQMARSCKVALDGVNVNKNRYLDVVPFDQNRVVLNPCKDYRPSARGYINASLITTSSSDNISQFIATQGPLPHTFEDFWEMIIQHHCPVIVMLTRLVDNYKTVKCGDYFQAEDGPRDFGNISIVTKWIKTTDTSLLLRNLKVGYKEAEEQPMNVLHIQYPEWPDHGVPEDTIVVREIFKRVYNVPPSLGPIVVHCSAGIGRTGTYCTIHNTVQRILVGDMSALDIANTVTTFRSQRIGMVQTMVSSSACLKLQFFFFHMLRLSSS >Potri.013G115400.1.v4.1 pep chromosome:Pop_tri_v4:13:12393085:12397436:-1 gene:Potri.013G115400.v4.1 transcript:Potri.013G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115400.v4.1 MASSSAGNSPPISTISSLSKRFEFSPDPPPKISLSTDQYQHCSEALHFFKEKFRTPKRIAQEFAHLQASRITPSQMARSCKVALDGVNVNKNRYLDVVPFDQNRVVLNPCKDYRPSARGYINASLITTSSSDNISQFIATQGPLPHTFEDFWEMIIQHHCPVIVMLTRLVDNYKTVKCGDYFQAEDGPRDFGNISIVTKWIKTTDTSLLLRNLKVGYKEAEEQPMNVLHIQYPEWPDHGVPEDTIVVREIFKRVYNVPPSLGPIVVHCSAGIGRTGTYCTIHNTVQRILVGDMSALDIANTVTTFRSQRIGMVQTMEQYFFCYEAIIHELEDLISEMNS >Potri.003G013400.2.v4.1 pep chromosome:Pop_tri_v4:3:3144009:3145019:1 gene:Potri.003G013400.v4.1 transcript:Potri.003G013400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013400.v4.1 MREIVVDQSPYGVEAISMWPFCVPTSAVSALQVIQQSTSLDLGWEDNSPIIWEPIDFLRELSDINLRDFCTALHLLERYRTWKAFNISRNRMHASLAGNNFQLNTKS >Potri.004G191101.1.v4.1 pep chromosome:Pop_tri_v4:4:20316170:20317546:-1 gene:Potri.004G191101.v4.1 transcript:Potri.004G191101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191101.v4.1 MESKPNGNAAAARVHEEFEPSIDWVRETGADTLRIYLPGFKKEQLKVQVTSSRVLRVSGERQLSGNRWSTFRKEIPISSNYDTNEIAARFEKGILYVKQPKIIVPDAPKPQEQARSPVEASKNDQKPAQENAQPPPIQAPGKPEQKSSSVEGKVEPPSESATKPKKQPQEKPASEQQPEDPVAKVGMEKQMGGKIEGAEDDNLSPRTPRKEKDPVKYEWNEKSTKNGQDEAKGIATTSKSSKPENLVDSSLDSTNLVKEKAEKGLLSGTEKLRMESYKKDFSVLVMDMKKPRTLVNLVLVILFITVLGIYGRNAIRSLKKSDN >Potri.006G222700.1.v4.1 pep chromosome:Pop_tri_v4:6:22794683:22796625:-1 gene:Potri.006G222700.v4.1 transcript:Potri.006G222700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222700.v4.1 MYRREKTMREERYKHGIKNPSFSSSLLDEIYRSIDDGEPKREELKFYRETMPKKQNKIGRNIGGEAGMPTLQRACLIEKWMEQKVTQKVITQQRRQNSTELERKAQLDHDLDQDVLFFSSTSTSSDSSSGGFSSSDTESMYGARSRASSFNPPRPKPVRTSLSARSGKTEKTERTLFHEQRELRMFDDYHYSSASEQTPRLEDNIIKSKSRALKIYSNLKKVKQPISPGGKLANFLNSLFTTGNSKKSKNSSSIGNFDEERKLNSGQASTCSSASSFSRSCLSKHSPSTREKLRNGVKRSVRFYPVSVIVDEDCRPVGHKSLYEEEESSLMSVSLPTAWKIGKSPSRKTDDELKYQVMEKSRRVEEVAREFLKDHRQNQKKNDVTMIDVRGKYNDRYHDEDEDEDDDAASYSSSDLFELDHLAVIGNDRRYCEELPVYETTHLDTNRAIANGLIV >Potri.012G131400.1.v4.1 pep chromosome:Pop_tri_v4:12:14614858:14617607:-1 gene:Potri.012G131400.v4.1 transcript:Potri.012G131400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131400.v4.1 MAKLWCLLTIITLLQVSKVVISRREVTTPPLPILPLPSSSQLKWQERELIMFLHFGVNTFTDSEWGTGHENPAIFNPVGLNASQWVNVAAEAGFSLMILTAKHHDGFCLWPSKYTDHSVASSPWKNGRGDVVQELVIAARAHEGIDVGLYLSPWDRHDKRYGHDLQYNEYYLAQLQELLNKYGSVREIWFDGAKGSNVPNMSYYFSDWFSMVKELQTSINIFSDAGPDVRWVGNEMGFAGSTCWSTINRTSLSIGNGSIVDYLNTGDSKGTDWLPAECDVSIRKGWFWHKSESPKRLSELLDIYYKSVGRNCVLLFNVPPNTTGLISENDVQRLKEFRGAIDTIFSTNLAESCFVRASSQRGGKDGDFGPENVLDGDHLWTYWAPKDEESEDNWIEFKCIDKLRFNVIRIQEAIGLGQRIKQHEVYVDGRKVAKGTTVGHKRLHRLEKGVVKGHIVSIKILKSRAVPLMSSIGLHYDPFWHPNET >Potri.011G117001.1.v4.1 pep chromosome:Pop_tri_v4:11:14813693:14813800:1 gene:Potri.011G117001.v4.1 transcript:Potri.011G117001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117001.v4.1 MKFLFQCPCCSCFCFMKPKQGRPKVKETTKEAKKE >Potri.001G147200.5.v4.1 pep chromosome:Pop_tri_v4:1:12149176:12151087:-1 gene:Potri.001G147200.v4.1 transcript:Potri.001G147200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147200.v4.1 MVPTLQFLLFLSGTLLFMQTLAEAATCSDCFIHSRAAYYPNSYENGTDTGRCGYGSFGATLNGGDVSAVSDLYRDGVGCGACYQVRCTDSHYCTDKGVTIVVTDHGSSHNTDFILSRRAFGRMAQTTDAAASLIALGVVDVEYKRVSCSYPNKNITIKIDENSDHPSYMGFVVWYQQGRRDITAVHLCETQNFACKVLDRSYGAVWTTTSPPSGPLSLRMLFSEEDGDETWVVPVNNIPNDWKAGQTYDTGVQVNS >Potri.001G409300.2.v4.1 pep chromosome:Pop_tri_v4:1:43733752:43737634:1 gene:Potri.001G409300.v4.1 transcript:Potri.001G409300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409300.v4.1 MGKSISGFIILFVHTFLLISAIRASTDTLTPGQSIRDGDLLVSADGSFELGFFSPGISKGRYLGIWYQKISAGTVVWVANRETPLNDSSGALIVTDQGILILLNSSKDAIWSSNASRTAQNPVMKLLDSGNLVVKDINDNSENFLWQSFDYPGDTLLPGMKWGRNMVTGLDRYLSSWKSSNDPAQGEFTFRIDPRGNTQMLLMRGPKILYRTGTWNGYRWTGTPQLEPNMLYTYGFISTATEMYYKFDLINSSVASRIVMNSSGAAQRFTWITRTNSWARFSAVLLYQCDDYALCGAYGSCNVNKQPVCACLEGFIPKSPKDWSIQEWSDGCVRRTKLDCDKGDRFLQHGGVKLPDMIKSWVDTSKGLKECKDLCLKNCSCVAYANSDIRGGGSGCLLWFDELIDTRELTTGGQDLYIRIAASELYNIEKNRSSDKKQLGIIVGTIITIVGVLVLAFILYARRKKLKKQANMKTSHLQNYEDEDQRKEDMELPTFDLSTIANATDNFSSRNKLGEGGFGSVYKGTLIEGQEVAVKRLSKNSGQGLTEFKNEVILIAKLQHRNLVKLLGCCIEGDERILIYEYMPNKSLDYFIFDKKTRNSSDWRIWINIVGGIARGLLYLHQDSRLRIIHRDLKAANVLLDNGMNPKISDFGLARTFGGDQTEANTNKIVGTYGYMSPEYAVDGFFSVKSDVFSFGVLVLEIVSGKKNRGFNHPDHHHNLLGHAWRLWNEGMPLELINEPEQDSCTLSEIIRCIHVGLLCVQKRPEDRPNMSSVIVMLSSGISLPQPKQPGFFTERNLPERESSSSNQKSFSTNEITVSFLGPR >Potri.001G409300.1.v4.1 pep chromosome:Pop_tri_v4:1:43733652:43737426:1 gene:Potri.001G409300.v4.1 transcript:Potri.001G409300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409300.v4.1 MGKSISGFIILFVHTFLLISAIRASTDTLTPGQSIRDGDLLVSADGSFELGFFSPGISKGRYLGIWYQKISAGTVVWVANRETPLNDSSGALIVTDQGILILLNSSKDAIWSSNASRTAQNPVMKLLDSGNLVVKDINDNSENFLWQSFDYPGDTLLPGMKWGRNMVTGLDRYLSSWKSSNDPAQGEFTFRIDPRGNTQMLLMRGPKILYRTGTWNGYRWTGTPQLEPNMLYTYGFISTATEMYYKFDLINSSVASRIVMNSSGAAQRFTWITRTNSWARFSAVLLYQCDDYALCGAYGSCNVNKQPVCACLEGFIPKSPKDWSIQEWSDGCVRRTKLDCDKGDRFLQHGGVKLPDMIKSWVDTSKGLKECKDLCLKNCSCVAYANSDIRGGGSGCLLWFDELIDTRELTTGGQDLYIRIAASELYNIEKNRSSDKKQLGIIVGTIITIVGVLVLAFILYARRKKLKKQANMKTSHLQNYEDEDQRKEDMELPTFDLSTIANATDNFSSRNKLGEGGFGSVYKGTLIEGQEVAVKRLSKNSGQGLTEFKNEVILIAKLQHRNLVKLLGCCIEGDERILIYEYMPNKSLDYFIFDKKTRNSSDWRIWINIVGGIARGLLYLHQDSRLRIIHRDLKAANVLLDNGMNPKISDFGLARTFGGDQTEANTNKIVGTYGYMSPEYAVDGFFSVKSDVFSFGVLVLEIVSGKKNRGFNHPDHHHNLLGHAWRLWNEGMPLELINEPEQDSCTLSEIIRCIHVGLLCVQKRPEDRPNMSSVIVMLSSGISLPQPKQPGFFTERNLPERESSSSNQKSFSTNEITVSFLGPR >Potri.002G170000.2.v4.1 pep chromosome:Pop_tri_v4:2:13090246:13095512:1 gene:Potri.002G170000.v4.1 transcript:Potri.002G170000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170000.v4.1 MNLKGDKFVRFQDWRSEKSFSSEQGCSIEDGFYARKVKPTFNAVWDNIRRGWEMGSERIRSLKKPLRFYPRGARSVKEQGPKKKILDPQGSFLQNWNKIFMLVCVLAVAIDPLFFYIPWVNGTDKDKCLDLDYKMEAAACVLRTFIDVVYILRIAFQFRTGFIAPSSRVFGRGELVEDPKVIAKKYLTSHFIVDILAILPLPQVVVIIILPSVDGPVSLAAKNLLEIVIFSQYIPRFVRIYPLFKEITRTSGILTETAWAGAVFNLFLYMLASHIIGAFWYLFSIEREDSCWREVCKDRAGCDSTYWYCGNHRPENYTFLTESCPFIQPDQIQNSSVFNFGIFIDALDSGVVESTYFPRKFFYCFWWGLRNLSSLGQNLKTSTFIGEILFAIFISITGLVLFALLIGNMQKYLESTTVRIEEMRVKRRDSEQWMSHRMLPDNLKERIRRHEQYKWQETRGVEERGLIRNLPKDLRRDINRHLCLDLIKKVPIFEKMDEHILDAVCDRLKATLYTKDSYIVREGDPVDEMLFIMRGTLLSVTTNGGRTGFFNAVSLKAGDFCGEGLLTWALDPQSSNLPISTRTVQALSEVEAFALEAEDLKTVASQFRRLHHKDIQHTFRLFSVQWRTWAACFIQAAWHRHCRRKQAKSLRQAEEKLQDALANEASTSPSLDVAIYASQFAANALRNLRQNGTHAARLPQRLSFLPQKPTEPDFSAQNHK >Potri.002G170000.3.v4.1 pep chromosome:Pop_tri_v4:2:13090236:13095509:1 gene:Potri.002G170000.v4.1 transcript:Potri.002G170000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170000.v4.1 MNLKGDKFVRFQDWRSEKSFSSEQGCSIEDGFYARKVKPTFNAVWDNIRRGWEMGSERIRSLKKPLRFYPRGARSVKEQGPKKKILDPQGSFLQNWNKIFMLVCVLAVAIDPLFFYIPWVNGTDKDKCLDLDYKMEAAACVLRTFIDVVYILRIAFQFRTGFIAPSSRVFGRGELVEDPKVIAKKYLTSHFIVDILAILPLPQVVVIIILPSVDGPVSLAAKNLLEIVIFSQYIPRFVRIYPLFKEITRTSGILTETAWAGAVFNLFLYMLASHIIGAFWYLFSIEREDSCWREVCKDRAGCDSTYWYCGNHRPENYTFLTESCPFIQPDQIQNSSVFNFGIFIDALDSGVVESTYFPRKFFYCFWWGLRNLSSLGQNLKTSTFIGEILFAIFISITGLVLFALLIGNMQKYLESTTVRIEEMRVKRRDSEQWMSHRMLPDNLKERIRRHEQYKWQETRGVEERGLIRNLPKDLRRDINRHLCLDLIKKVPIFEKMDEHILDAVCDRLKATLYTKDSYIVREGDPVDEMLFIMRGTLLSVTTNGGRTGFFNAVSLKAGDFCGEGLLTWALDPQSSNLPISTRTVQALSEVEAFALEAEDLKTVASQFRRLHHKDIQHTFRLFSVQWRTWAACFIQAAWHRHCRRKQAKSLRQAEEKLQDALANEASTSPSLDVAIYASQFAANALRNLRQNGTHAARLPQRLSFLPQKPTEPDFSAQNHK >Potri.015G088350.1.v4.1 pep chromosome:Pop_tri_v4:15:11188733:11190456:1 gene:Potri.015G088350.v4.1 transcript:Potri.015G088350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088350.v4.1 MELFVETHVRSEDRQKGVQQFIDNHAQHFVETYNSWLRERYRDDPSTHSDFNPDLWMEARSSGRPEKNRVYGLSNTTAENLRVVHSVSTNESSNRYQGPSLRSSWPCNNTRLISLKNMIDSRLIMNNSAK >Potri.001G279100.1.v4.1 pep chromosome:Pop_tri_v4:1:29266036:29281193:1 gene:Potri.001G279100.v4.1 transcript:Potri.001G279100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279100.v4.1 MGTGMSKDADGSSHGSEEGEENLDHAGGQLYVSLKMENLQLKGELIPHVYGSVPLVGSWDSSKALSMERESASMWELSFVVPPNHETLDFKFLLKPKHSNAPCVVEEGPNRLLTGGTLQGESRLAVFKNGDEILECRVFIKADRVSPFDLAASWRAYQENLQPSTVRGIPDVSINSTPMVEAENGSSASLELDLEHYVVPAPSISANSALVYAANNAENPRFSNVDGPGNASFSYKDSVVSADRPATIKQMEVVIPDPSKIYSGSGMVESKSVGTFSPLQKQDGHRGLFVDRGVGSPRLVKSSSSSAFSSSLKLDTETKNSMPAAAGAVTAAAVADQMLGPKEDRHLAIVLVGLPARGKTFTAAKLTRYLRWLGHDTKHFNVGKYRRLKHGSNQSADFFRADNPEGMEARNEVAALAMDDMISWMQEGGQVGIFDATNSNRKRRNMLMKMAEGKCKIIFLETLCNDERIIERNIRLKIQQSPDYAEQPDFEAGLQDFKSRLVNYEKVYEPVEEGSYIKMIDMASGHGGQIQVNNISGYLPGRIVFFLVNTHLTPRPILLTRHGESRDNVRGRIGGDTVLSDAGEIYAKKLTNFVEKRLKSEKAASIWTSTLQRTIITASPIPGFPKIQWRALDEINAGVCDGMTYEEIKKNMPEEYGARKKDKLRYRYPRGESYLDVIQRLEPVIIELERQRAPVVVISHQAVLRALYAYFADRPLKEIPHIEVPLHTIIEIQMGVTGVQEKRYKLMD >Potri.012G110600.2.v4.1 pep chromosome:Pop_tri_v4:12:13075956:13078058:1 gene:Potri.012G110600.v4.1 transcript:Potri.012G110600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110600.v4.1 MSGFYAFGSCLSENTNHKVVVLILQHYGCQVEYDVEPCSSANAVSDGCIDVQRTSLTMLISGHVIYGVAHQHTGGIGSTLYGEEGRVICTSEPIFGEGKDAGDEAGYVVGMSTCYPEPGSIQITAGENLVLESYYSSTQKHTGVMGIFYVLVAERTPNPTNFLHSPIHIHEKMKVSTSALAIVALLGLAVVAVGLRYRLKKGREEGYEPIMA >Potri.007G096400.9.v4.1 pep chromosome:Pop_tri_v4:7:12113365:12116300:-1 gene:Potri.007G096400.v4.1 transcript:Potri.007G096400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096400.v4.1 MERYEILKDIGSGNFGVAKLVREITTGELFAVKYIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTHLAIVMEYAAGGELFERICTAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGTTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDSRNFRKTIQRILSVHYSIPDYVRVSKECKHLLSRIFVADPEKRITIPEIRTHSWFLRSFPVELKEEEDGSLQIDDRNEESQSIEEILAIIQEARKPAEGHKIGGHFFGGSMDLDDIDSDADIDDVETSGDFVCAL >Potri.007G096400.6.v4.1 pep chromosome:Pop_tri_v4:7:12113463:12116277:-1 gene:Potri.007G096400.v4.1 transcript:Potri.007G096400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096400.v4.1 MERYEILKDIGSGNFGVAKLVREITTGELFAVKYIERGQKIDEHVQREIMNHRSLKHPNIIRFKEVLLTPTHLAIVMEYAAGGELFERICTAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGTTAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDSRNFRKTIQRITIPEIRTHSWFLRSFPVELKEEEDGSLQIDDRNEESQSIEEILAIIQEARKPAEGHKIGGHFFGGSMDLDDIDSDADIDDVETSGDFVCAL >Potri.009G132700.1.v4.1 pep chromosome:Pop_tri_v4:9:10769601:10772856:-1 gene:Potri.009G132700.v4.1 transcript:Potri.009G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132700.v4.1 MGYLQTSSTSFKATKWLGFVTAVWVQAISGNNYTFSNYSDALKTLMSLTQLELNNLSVAKDVGKAFGLLAGLASDRLPTPVILLIGSIEGLIGYGTQWLVVSRRIQPLSYWQMCIFLCLGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCAALFADDPAKFLIMLAVIPFAVCLTAIVFLRETPPAATIEEEKEESKYFNLFNVVAVIVAVYLLAYSFIPNPSHVLSSVFSLILLVLLASPLAVPAHAFINSWNLNRFKNQEDVERQIQEPLLREDKTQEKIQEKPAEEAAKAVVERTRAVEEEKAVEVVKRRPVIGEDHTVFEAMSTVDFWILFLSFLCGVGTGLAVMNNMGQIGLALGYADVSLFVSMTSIWGFFGRIISGTVSEYYIKKAGTPRPLWNAASQILMAVGYILMAVALPGSLYIGSIVVGVCYGVRLAVSVPTASELFGLKYFGLIYNILILNLPLGSFLFSGLLAGLLYDAQATPTPGGGNTCVGAHCYRLVFIIMAVACVIGFGLDVLLGIRTKKIYTKIYMSRRSKKLASASNLQ >Potri.012G116090.1.v4.1 pep chromosome:Pop_tri_v4:12:13403100:13404366:-1 gene:Potri.012G116090.v4.1 transcript:Potri.012G116090.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116090.v4.1 MKMEQGMQLIDGNGKFNVDGLKDFMTATEFAQSGLSYAIVAIIGSQSSGKSTLMNQTFHTNFEEMDAYNGRGQTTKGIWIAKCSDIDPFTIAMDFEGTDC >Potri.016G139350.2.v4.1 pep chromosome:Pop_tri_v4:16:14240311:14242481:-1 gene:Potri.016G139350.v4.1 transcript:Potri.016G139350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139350.v4.1 MVNIAAEMAYLETKKYLSTIGFLVIVLCLFPAMDDATAVWLNHGADISNTRSAKGEVLINRLTVKNLRLKWTFFAGKDISATPAIANGVVYFPSWNGFLYAVNAFNGALIWRQNLSQLTGLNGTGIVVNVTVSRSTPTIADDLLLVGIYGPAVVIAVARASGRLVWSTQLDPRPRAQITQSGTFYNGAFYVGVSSLEVGLPANQCCTFRGSMAKLNVRTGTTIWRTYTLPDNEGQLGGYSGAAIWGSSPAIDVTRRLVFVGTGNLYTAPREVTQCQEAQNNQTTKPAQPDQCIGPDIHFDSILAIDIDTGRIKWSRKLSGYDVFYFACLVPNNPDCPPGPNLDADFGEAPMLLTITANRTRRDVAVAVQKSGFAVALDRDTGSIVWSKLAGPGGLEGGGIWGAATDERTVYTNIANSNRERFTLAPSNQTTTVGAWVALDANSGQILWSTANPSNEAAQGPVTIVNDVLFAGSVAPNGPIYAMDAKTGNILWSYNTGATVYGGVSASYGCIYLGNGYSIGLARFHPSWTGGTSLYAFCVA >Potri.007G113550.1.v4.1 pep chromosome:Pop_tri_v4:7:13427272:13427592:1 gene:Potri.007G113550.v4.1 transcript:Potri.007G113550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113550.v4.1 MLKQRRLRLICSCGGKCRICSVIMLTQLCFLDYFSDALFYYGVSAYFASNSATENAKYFCLDRKVQIATRFKGQSRILFETSISTEKHIKHNAIHILKQYTNIIFM >Potri.018G000301.1.v4.1 pep chromosome:Pop_tri_v4:18:22346:22738:1 gene:Potri.018G000301.v4.1 transcript:Potri.018G000301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000301.v4.1 MVKLLGCCLETEVPLIVYEFIPNKNLYRHLHVQNNDFSLSWERRLWIAIEVTEALSYLHSKASIQIYHRDIKSTNILNILIDEEYIAKISYFGTSRAIAINQTHLTTQVKVTLGYFVSEYFRSGPYTEKK >Potri.015G094100.2.v4.1 pep chromosome:Pop_tri_v4:15:11627936:11642309:-1 gene:Potri.015G094100.v4.1 transcript:Potri.015G094100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094100.v4.1 MVSTRSGSLSAKRSSSDDNNNSNSKRSSPSEDNNNHNHNHNNKPPSPKRLKGENGGVTEKQMPTTENSKESSPPEEDPDDHGPGDAPTNGCGGGGALISGKGQETVTSAVAVVTPIAEGSTPVVLEKPRSSFSTWSLYHKQNSGFETPWCKLLSQSAQNQNIKICKSSYLIGSTKQCDSLLKDHAMGTIQCKIKHTQREGGAVAVLETSGSKGTVQVNGTAVKRICVLNSGDEVAFGVLGNHAFIFQQLLTEVAVKSAEVHSSMGKLLQLERRSGDPSAVAGASILASLSSLRPDLSCRKSPGQTTSKIHHGSDVPAQSVIHDGSEVELDGMEGNSTPNLGSDKAAEVGAIDHNLSHDCSQDSGTEAGNVLEERNEWTKDSQLASTSGMSLRCAAFKDDFHAGILDGQNIEVSFDNFPYYLSENTKNVLIAASFIHLKHRKHAKYTSELTTVNPRILLSGPTGSEIYQEMLAKALANYFGAKLLVFDSHSFLGGLSSKEAKLMKDGFNAEKSCTCSKQSPVTTDASKSVILSASEADTPCSLNAPTNLESQTKMEDTLPSSSGVGASRNLLFKKGDRVKFTSSSSSGLYQTASSSRGPPYGTRGKVVLLFEDNPLSKIGVRFDKPIHDGVDLGDVCEGGHGYFCNVADLRLENTAVEDLDKLLINTLFEAVHSESRNSPFILFMKDAEKSIIGNSDSYSTFKSRLEKLPDNVVVIGSHTQNDNRKEKPHPGGLLFTKFGSNQTALLDLAFPDSFGRLGDRGKEVPKATKLLTKLFPNKVAIHMPQDEALLASWKHQLGQDSETLKMKGNLNNLCTVLGRCGMECEGLETLCIKDQTLTNESAEKVVGWGLSHHLMQNSEANADADADAKLVLSSESIQHGIGILHAIQNESKSLKKSLKDVLTENEFEKRLLGDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDTPNRAKILQVILAKEDLSPDVDFDAVASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKERAAALVEGKPAPALSRSSDVRPLNMVDFKDAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Potri.015G094100.4.v4.1 pep chromosome:Pop_tri_v4:15:11628067:11642333:-1 gene:Potri.015G094100.v4.1 transcript:Potri.015G094100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094100.v4.1 MVSTRSGSLSAKRSSSDDNNNSNSKRSSPSEDNNNHNHNHNNKPPSPKRLKGENGGVTEKQMPTTENSKESSPPEEDPDDHGPGDAPTNGCGGGGALISGKGQETVTSAVAVVTPIAEGSTPVVLEKPRSSFSTWSLYHKQNSGFETPWCKLLSQSAQNQNIKICKSSYLIGSTKQCDSLLKDHAMGTIQCKIKHTQREGGAVAVLETSGSKGTVQVNGTAVKRICVLNSGDEVAFGVLGNHAFIFQQLLTEVAVKSAEVHSSMGKLLQLERRSGDPSAVAGASILASLSSLRPDLSCRKSPGQTTSKIHHGSDVPAQSVIHDGSEVELDGMEGNSTPNLGSDKAAEVGAIDHNLSHDCSQDSGTEAGNVKISGMNDLIGPFFRMLARTSSYKQKLSKNICKQVLEERNEWTKDSQLASTSGMSLRCAAFKDDFHAGILDGQNIEVSFDNFPYYLSENTKNVLIAASFIHLKHRKHAKYTSELTTVNPRILLSGPTGSEIYQEMLAKALANYFGAKLLVFDSHSFLGGLSSKEAKLMKDGFNAEKSCTCSKQSPVTTDASKSVILSASEADTPCSLNAPTNLESQTKMEDTLPSSSGVGASRNLLFKKGDRVKFTSSSSSGLYQTASSSRGPPYGTRGKVVLLFEDNPLSKIGVRFDKPIHDGVDLGDVCEGGHGYFCNVADLRLENTAVEDLDKLLINTLFEAVHSESRNSPFILFMKDAEKSIIGNSDSYSTFKSRLEKLPDNVVVIGSHTQNDNRKEKPHPGGLLFTKFGSNQTALLDLAFPDSFGRLGDRGKEVPKATKLLTKLFPNKVAIHMPQDEALLASWKHQLGQDSETLKMKGNLNNLCTVLGRCGMECEGLETLCIKDQTLTNESAEKVVGWGLSHHLMQNSEANADADADAKLVLSSESIQHGIGILHAIQNESKSLKKSLKDVLTENEFEKRLLGDVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKIAPSVIFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDTPNRAKILQVILAKEDLSPDVDFDAVASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKERAAALVEGKPAPALSRSSDVRPLNMVDFKDAHERVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Potri.002G027200.4.v4.1 pep chromosome:Pop_tri_v4:2:1820151:1822474:1 gene:Potri.002G027200.v4.1 transcript:Potri.002G027200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027200.v4.1 MASTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Potri.010G223900.3.v4.1 pep chromosome:Pop_tri_v4:10:20815977:20821053:-1 gene:Potri.010G223900.v4.1 transcript:Potri.010G223900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223900.v4.1 MGFLNAFLEFLGFGIGLPFGLLIGFFLFVYSKPKDVKDPVVRPLHELDTDALLDILPDIPLWVKCPDYERVDWLNKFLLDMWPYLDKAICAMIRSTTKPMFAEYIGKYKIEAIEFEHLTLGTLPPIIQGLKVYETMEKDLVMEPAIRWAGNPNIVLVLQLLSVRLRFQLVDLQIFAAPRVALKPLVPTFPCFANIVVSLMERPHVDFGLKILGGDVMSIPGLYRLVQDMIKKQVASLYLWPQTLDIPVIDASTMVIKKPVGILHVKVVRAKKLLKADILGTSDPYVKLCLTGEKLPAKKTTIKKKNLNPEWNENFKLVVKDPESQALQLQVFDWDKVGGHDRLGMQFVPLKVLTPRETKEFTLDLLKHTNISDSQDKKQRGQIVLELTYVPFREDSIEFSGPLDGNDRRGSASGRSSSGDESLSGAGLLSVIVQGAEDVEGKHHINPYALVHFRGERKRTKMIKKTRDPRWNEEFQFTLDQPPLHELIRIEVMSKRTSFSFRSKESLGHVEINLDDVVHNGRINQKYHLIDSKNGVIHVEIRWSTV >Potri.010G223900.2.v4.1 pep chromosome:Pop_tri_v4:10:20815977:20821061:-1 gene:Potri.010G223900.v4.1 transcript:Potri.010G223900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223900.v4.1 MGFLNAFLEFLGFGIGLPFGLLIGFFLFVYSKPKDVKDPVVRPLHELDTDALLDILPDIPLWVKCPDYERVDWLNKFLLDMWPYLDKAICAMIRSTTKPMFAEYIGKYKIEAIEFEHLTLGTLPPIIQGLKVYETMEKDLVMEPAIRWAGNPNIVLVLQLLSVRLRFQDMIKKQVASLYLWPQTLDIPVIDASTMVIKKPVGILHVKVVRAKKLLKADILGTSDPYVKLCLTGEKLPAKKTTIKKKNLNPEWNENFKLVVKDPESQALQLQVFDWDKVGGHDRLGMQFVPLKVLTPRETKEFTLDLLKHTNISDSQDKKQRGQIVLELTYVPFREDSIEFSGPLDGNDRRGSASGRSSSGDESLSGAGLLSVIVQGAEDVEGKHHINPYALVHFRGERKRTKMIKKTRDPRWNEEFQFTLDQPPLHELIRIEVMSKRTSFSFRSKESLGHVEINLDDVVHNGRINQKYHLIDSKNGVIHVEIRWSTV >Potri.010G223900.1.v4.1 pep chromosome:Pop_tri_v4:10:20815968:20821061:-1 gene:Potri.010G223900.v4.1 transcript:Potri.010G223900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223900.v4.1 MGFLNAFLEFLGFGIGLPFGLLIGFFLFVYSKPKDVKDPVVRPLHELDTDALLDILPDIPLWVKCPDYERVDWLNKFLLDMWPYLDKAICAMIRSTTKPMFAEYIGKYKIEAIEFEHLTLGTLPPIIQGLKVYETMEKDLVMEPAIRWAGNPNIVLVLQLLSVRLRFQLVDLQIFAAPRVALKPLVPTFPCFANIVVSLMERPHVDFGLKILGGDVMSIPGLYRLDMIKKQVASLYLWPQTLDIPVIDASTMVIKKPVGILHVKVVRAKKLLKADILGTSDPYVKLCLTGEKLPAKKTTIKKKNLNPEWNENFKLVVKDPESQALQLQVFDWDKVGGHDRLGMQFVPLKVLTPRETKEFTLDLLKHTNISDSQDKKQRGQIVLELTYVPFREDSIEFSGPLDGNDRRGSASGRSSSGDESLSGAGLLSVIVQGAEDVEGKHHINPYALVHFRGERKRTKMIKKTRDPRWNEEFQFTLDQPPLHELIRIEVMSKRTSFSFRSKESLGHVEINLDDVVHNGRINQKYHLIDSKNGVIHVEIRWSTV >Potri.010G158100.2.v4.1 pep chromosome:Pop_tri_v4:10:16483966:16488756:1 gene:Potri.010G158100.v4.1 transcript:Potri.010G158100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158100.v4.1 MEGFRGPHPPAGVPEPAVSLCNGRLNLDGYGNRGSSFPGLKKRGHALGNRSWIKIDQDGNSKILELDKVTIMRHCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSVDVCVVRYMSEFCKRLQTNREQADDLPFEFRALELTLELTCTSLDAQVKELELEVYPALDELATSINTLNLERVRRLKGHLLALTQRVQKVHDEIEHLMDDDGDMAEMHLTKKKQRLEAYALGDIYFQNDIPAETRVVSKSAPGSPVRSISGAQKLQRAFSNTSPSKHGSLMSSSSNGENIDELEMLLEAYFVAIDNTQSKLFTLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVATIFAVVTGIFGMNFVASIFDLPSAFNWVLIITGLACVFLYFSFLFYFRYKKVFPS >Potri.010G193500.2.v4.1 pep chromosome:Pop_tri_v4:10:18849061:18853099:1 gene:Potri.010G193500.v4.1 transcript:Potri.010G193500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193500.v4.1 MSAYAHQMEREFSAQSLSSRGVSEMGSHYVAESGFYMTSFAATIFIASLVTVGVLLTTLLVSLAVMLQSCQDRSKGVIEIQKLSHDYNYCKMFALHAELNSLGPDDFPSMCASLAVQHNKGGAYERDLNASLLMIERYFDSLLPLHDGLDVLLMDIDDIFPSNIRYTSLLMNRVRDNGCIDCFQEEKHLKQILCLSLYTKLQASGWSLILLSRKPEKLRNATIQHLISAGYRGWSSTIMRSDNEIEIDSREYFSRRMVAMQKAGFRISGVISSQMDALTSASLGHRVFKLPNPVYYNFEHHTGNSRVLE >Potri.004G233000.1.v4.1 pep chromosome:Pop_tri_v4:4:23812253:23818711:-1 gene:Potri.004G233000.v4.1 transcript:Potri.004G233000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233000.v4.1 MHMVMMEQSEIIEEEHVLGLPPSPPLTVGIAIDGKGSSKYLVQWALEKFMPQGKVAFKLLHVCPKITAVPTPMGNFIPISQVRDDVAAAYKKEKEWQTLQMLLPFKSICTRKKVQVDIVLTELDDVAKAIAEEVAKCNINKLVIGAASRRMFTRKHKGNNLSSRISVCAPNTCTVYAVSKGKLLSIRPSDLETSGSFRDGVSITSRATNSSSSSTSSSQTGSNSVSPFSHFQSPSLPVQRFQALSSINQGFLYTRTSSCETNPSRSLSLDFEEKVIGSSCPSISEIEHPVTQSSSFKSLSTDHPSWRSDQASTSDVLTDCSSSDSQANINFELEKLRIELRHARGIYAVARSETFDASRKLNDLHKRRLEEATRLQEIKHEEEKAWELARQERGRCEAAIQKAECLRECAKREASQRNEAEIKAMHDAKEKEKLEKAIAGSVQQYQEITWEEIVSGTLSFSEELKIGMGAYGTVYKCNLHHTTTAVKVLHSKEDKNSKQFQQELEILSKIHHPHLLILLGACPDHGCLVYEYMKNGSLEDRLQRVNNTPPIPWFERYRIAWEIASALVFLHSSKPKPIIHRDLKPANILLDHNFVSKIGDVGLSTMLCSDVSSLSTMYKNTGPVGTLCYIDPEYQRTGVISPKSDAYAFGMIILQLLTAKPAIALAHVMETAMEEGHLVEILDSEAGNWPLEETKELAILGLSCTEMRRKDRPDLKDVVLPALERLKKVARRAQESVSSLQLTPPKHLICPILKDLMDDPCVAADGYTYDRKAIQKWLEENDKSPMTNLPLPNKDLLPSYTLLSAIMEWKSKTPSDTLHIRSSG >Potri.004G233000.3.v4.1 pep chromosome:Pop_tri_v4:4:23812235:23817147:-1 gene:Potri.004G233000.v4.1 transcript:Potri.004G233000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233000.v4.1 MVMMEQSEIIEEEHVLGLPPSPPLTVGIAIDGKGSSKYLVQWALEKFMPQGKVAFKLLHVCPKITAVPTPMGNFIPISQVRDDVAAAYKKEKEWQTLQMLLPFKSICTRKKVQVDIVLTELDDVAKAIAEEVAKCNINKLVIGAASRRMFTRKHKGNNLSSRISVCAPNTCTVYAVSKGKLLSIRPSDLETSGSFRDGVSITSRATNSSSSSTSSSQTGSNSVSPFSHFQSPSLPVQRFQALSSINQGFLYTRTSSCETNPSRSLSLDFEEKVIGSSCPSISEIEHPVTQSSSFKSLSTDHPSWRSDQASTSDVLTDCSSSDSQANINFELEKLRIELRHARGIYAVARSETFDASRKLNDLHKRRLEEATRLQEIKHEEEKAWELARQERGRCEAAIQKAECLRECAKREASQRNEAEIKAMHDAKEKEKLEKAIAGSVQQYQEITWEEIVSGTLSFSEELKIGMGAYGTVYKCNLHHTTTAVKVLHSKEDKNSKQFQQELEILSKIHHPHLLILLGACPDHGCLVYEYMKNGSLEDRLQRVNNTPPIPWFERYRIAWEIASALVFLHSSKPKPIIHRDLKPANILLDHNFVSKIGDVGLSTMLCSDVSSLSTMYKNTGPVGTLCYIDPEYQRTGVISPKSDAYAFGMIILQLLTAKPAIALAHVMETAMEEGHLVEILDSEAGNWPLEETKELAILGLSCTEMRRKDRPDLKDVVLPALERLKKVARRAQESVSSLQLTPPKHLICPILKDLMDDPCVAADGYTYDRKAIQKWLEENDKSPMTNLPLPNKDLLPSYTLLSAIMEWKSKTPSDTLHIRSSG >Potri.005G252100.1.v4.1 pep chromosome:Pop_tri_v4:5:24505961:24508097:1 gene:Potri.005G252100.v4.1 transcript:Potri.005G252100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252100.v4.1 MNIKKSPSTPLLRLLLISPRPFEFSETMRRKVSPAFLFLFLSVFLFASFSLYFSSCNPIYASTEISFPSVNLTAISKIPGYSSSSSSLAIKEDINYLTRHVASIQDSSGVKSVSVLLPGWEVLLIVLPESTPPMTASISGNDFLCLYPNNETSPARFSGVLPSTNQTTFKCGLPKRNRRRLPFLSPVLIRSGEEFLVSSPPPEPMMRWTRLAYESFTTENDVVLFVKGLNHRQGINLSPKELTCVFIDETSNNSVRTAVSSSIQEVFRCERPDLTSFGYGGEGNGLNKRIKVSLEIRHQGESSFMMPSVAYYIPWRKLEIERPKSLLCASTMVFDVAKFLREWVMYHSKIGVEKFVLYDNDSDDDLMKVIKELNQEGYNIETFFWIWPKTQEAGFSHASLYAKDSCTWMMYLDVDEFVFAPSWVTSLQPSPDDPMLRSLLPKTQWWSDPRPIGQVSIRCNEFGPSNQITHPLEGVTQGYTCRRKEDNRHKSIVLLEAIEHSLLNAIHHFKLKEGYRTKPVSLEVAVVNHYKYQAWSEFKVKFRRRVSAYVVDWTKGLNPLSKDRAPGLGFEAVEPSGWEHKFCEVQDDRLKLLAQRWFEKQTMAGSKMAWQI >Potri.003G139700.1.v4.1 pep chromosome:Pop_tri_v4:3:15609934:15616531:-1 gene:Potri.003G139700.v4.1 transcript:Potri.003G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139700.v4.1 MAPRKYLIEVEKAKEAKDGKPSIGPVYRSLFAKDGFPPPVPGLESCWDVFRMSVEKYPNNPMLGRREIVNGKAGKYVWQTYKQVYDVVIKVGNSIRSCGVEPGAKCGIYGANCAEWIMSMEACNAHGLYCVPLYDTLGASAVEFIICHSEVSIAFVEENKICELLKTFPNSTQYLKTIVSFGKVALKEQEEIEKSGLAVYSWDEFLKLGENKQYELPVKKKEDICTIMYTSGTTGDPKGVLISNDSIVTLIAGVKRLLESVKESLTSEDVYLSYLPLAHIFDRVIEELFIQHGASIGFWRGDVKLLIEDIGELKPTIFCAVPRVLERVYSGLQQKVSTGGFLKKTLFNVAYSHKFSSMKKGLAHHQASPICDKIVFNKVRQGLGGKVRLILSGAAPLSNHVEAFLRVVSCAHVLQGYGLTETCAGTFVSLPNELPMLGTVGPPVPNVDVCLESVPEMGYDALSSTPRGEICIRGKTLFAGYYKREDLTEEVLNDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYSLVSDIDSIWVYGNSFESFLVAVANPNQQALEHWAQEHGISGDFKALCENPRAKEYMLGELTKIGKEKKLKGFEFIKAIHLDPEPFDMERDLITPTYKKKRPQLLKYYQNVIDNMYKSASKPSA >Potri.006G266300.2.v4.1 pep chromosome:Pop_tri_v4:6:26143080:26146897:-1 gene:Potri.006G266300.v4.1 transcript:Potri.006G266300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266300.v4.1 MDKGKAVMGSGRRWAVDFTDNSTLPSSRDIPDPPGFSRASQDQEDSAVTKQKKDAEANWKAQKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPIGALQGVGKVFEPYKDSKVDLLVPKLVFIALNLGGLALGIWKLNTLGLLPTHASDWVSSLPPAKEVEYSGGGVPLL >Potri.011G128000.1.v4.1 pep chromosome:Pop_tri_v4:11:15561220:15564709:1 gene:Potri.011G128000.v4.1 transcript:Potri.011G128000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128000.v4.1 MVRMKGESWLPVVVAFVLFELIGHNISFAAFTPPDNYLIACGSSQSVTFQGKTYVPDSGHSSPTIKSGASVIAKSNSSFPSPIYQSARIFSGISSYKFDIKQEGRHWIRLYFYPIPNSGHNLMSSLITVATDDFVLLNNFTFKNYNGSYMFKEYAVNVTSDTLTLSFIHSNNSVTFVNAIEVVSVPDGVLPDQALAINPSSTVSGLSELAFETVFRLNTGGPLITAENDTLGRIWENDAKYLHVNSSALNVSVNPASIRYPATLTTEIAPNWVYASAEVMGDAKVANMNFNITWVFSVNQNFSYFVRAHFCDIVSKALNNLVFNLYINDDIAVESLDLSTFTGGLNVPYYKDFVSNASVDSDTFTVSIGPDTTSDMINAIMNGLEIFKISNEVKSLDGLSSVESVLPQSPSKKKKIGIIIGSIVGALGAFGLIGLCYCCLAARRSKTTTHQAHPWLPLPLYGNSQTMTKMSTTSQKSGTASCISLASSNLGRLFTFQEILNATNKFDESLLLGIGGFGRVYKGTLEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAGRGLHYLHTGAAQSIIHRDVKTTNILLDESFVAKVADFGLSKTGPALDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDSNLAGKVNPASLKKFGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEETSSALMEPEDNSTNHIPGIPLTPLEPFDNSTSIIDGGNSGTEDDAEDVATSAVFSQLVNPRGR >Potri.004G158400.6.v4.1 pep chromosome:Pop_tri_v4:4:17922417:17926469:1 gene:Potri.004G158400.v4.1 transcript:Potri.004G158400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158400.v4.1 MGSACCVAARDKNIVSGPGGEILHRNIRYSPTWSFRWDNRGRVAGEDTSISWFSDGISRNDGSEIKNESTYTSEDGSPTESFQRHTWQKSPTSEGTAAHARTPASDQSLPRNISMDTSLEQVKESTESMAVLNPSPEKISLSVPSTSSLSTSPLPPQSHLHPASPTTSRWLQHSPRHQLTKPVSDVRIPGLRSSKSIPGSEERPPVSSWSHESTQGCHGESSDGWSMHAFSELMATSNRERWSFDNECLGFNHEKTRSSGRSSAFTSVDLQTCGICSKLLTDKSLWGSQKLIATNELSVVAVLICGHTYHAECLEALTPEIDKYDPACPFCTLGEKQAFKLSQKALKTEMDLKARNKKLRSRVVDSDLDGDSAMFDRFKDGGSEGKGPKMGLSSSMKSSLAKPFLRRHFSFASKASRSSTENHSTRKKGFFWTRSLRG >Potri.004G054500.2.v4.1 pep chromosome:Pop_tri_v4:4:4439527:4442158:-1 gene:Potri.004G054500.v4.1 transcript:Potri.004G054500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054500.v4.1 MQSPVRFSSCRGVSFEIKPRENPFAISAPSNDEAGGSTRIWLPWTLGSSSKVFPSIYSSSSRPSSHFCDLDLDGEDDQDDISLAVLEEGKVEENEQKLAPFPSATKLEQPSKPARKQESRLSVILLDQGLFTVYKRLFVVCLTLNITGLVLAATGKFPYARNRAALFSIANILALTLCRSEAFLRVVFWIAVKVIGRSWIPLPIKTATTSLLQSLGGIHSSCGISSVAWLIYALVLTLKNRENTSPEIVGVASIILSLLCLSCLAAFPLVRHLHHNVFERFHRFAGWTALALLWAFIILTISYDPKTKSYSNELGSRMIKQQEFWFTVAITVLIIIPWITVRRVPVKVSAASGHASIIKFEGGVKAGILGRISPSPLSEWHAFGIISDGKTEHMMLAGAVGDFTKSLVSNPPSHLWVRQVHFAGLPYLVNLYDRVLLVATGSGICVFLSFLLQPCRASVCVLWVAKGIEQNFGKEIQEMMSGHPKDKVIVHDTAVLGRPNVSEMSVDAAKNWRAEVVIVTSNPEGSRDVVNSCKAAGIAAFGPIWDS >Potri.013G034200.1.v4.1 pep chromosome:Pop_tri_v4:13:2213768:2216046:1 gene:Potri.013G034200.v4.1 transcript:Potri.013G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034200.v4.1 MMMMRKSTFTASAFQLQQRQMEMGNFPKLPFFLFFIHQHITASTASTTKISPSSRNNGGFCNNYSTLHSVADAVASFNELLSMRPMPPVVEFNKLLGSLVKKKHYSTVISLCKQMDLSNIRPDVYTLTILINCFCHSNHDHFHFAFSVLGNMFKLGLQPNHVTFSTLLNGLSSKAKIIDAVKLFDEMVKMGYEPDVITYSTIINGLCKMGSTTMAIQLLKKMEEKGCKPNVVVYSTIIDSLCKDKLITEAMEFLSEMVNRGISPNVVTYSSILHGFCNLGRSNEATSLFKQMVERNVMPDTVTFNILVDGLSKEGMILEAQCVFETMIEKGVEPNVNTYNALMDGYCSQSQMDEAQKLFNIMVRKGCAPSVRSYNILIKGHCKSGRIDEAKGLLAEMSHKALTPDTVTYSTLMKGFCQDGRPQDAQKLLEEMRSYGLLPDLMTYSIVLDGLCKQGHLDEAFELLKAMQESKIEPNIFIYTILIQGMCNFGKLEAVRELFSNLFVKGIQPDVVTYTVMISGLLKGGLSSEACELFREMAVHGCLPNSCTYNVIIQGFLRNGDTSNAGRLIEEMVGRGFSADSSTFQMLSDLESRDEIISLFMHGSSQGRKMK >Potri.015G037700.1.v4.1 pep chromosome:Pop_tri_v4:15:3246780:3248222:-1 gene:Potri.015G037700.v4.1 transcript:Potri.015G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037700.v4.1 MALLRPSTKAAMVSILSIFVFCFPSTVLSESFRQIFLPPTGFGPESLAFESPGGAFYTGVNDGRVLRYQPPTGSWTSFAITSPNRTIALCDGTTDPDKGPICGRPLGLAYSPSTKLLYIADAYYGLFVADSNGRLAKQIATSAEGQRFVACNALDIDPITGNIYFTDASAVYDLRNSSKALLANDSTGRLMKYDVRKNQVTVLLRNLSVAVGVAVSKDGGFVLVSEFVGNRIRRYWLTGRDAGTSDIFLSNLNIVRPNNIKRTSLGDFRIAAATVRQDSQTLVPIRVRVDEHGRISETVSLEAQYGSTPISEVQQSGLSLYVSSRGVNFVGVYTP >Potri.001G406401.1.v4.1 pep chromosome:Pop_tri_v4:1:43377106:43377231:-1 gene:Potri.001G406401.v4.1 transcript:Potri.001G406401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406401.v4.1 MNHQRNMKEKLELPLQNSLQSQTKKGRTKSLKCYFHVMQLF >Potri.014G122900.1.v4.1 pep chromosome:Pop_tri_v4:14:8281342:8283177:-1 gene:Potri.014G122900.v4.1 transcript:Potri.014G122900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122900.v4.1 MRLDSNPASSSASTSTSTPNGKRSRDPEDEVYLDNLNSHKRYLSEIMASSLNGLTVGDPLQDNLMESPARSDTMIFARDEMSLQYSPMSEDFDDSRFCETPTNACSPQSESLPSSPVSPCRYQRPLGGFSSAPYSSSFLSHGCSVTSATYSQPRQRGSDSEGRFPSSPSDICHSADLRRTALLRSVQMRTQPLGSSSFELPFDSGHEPGSNIEAEERPCSYMKSLVEEREYALEECSSMSISEPGFNEEKACRVLNMNIKGDGSGV >Potri.013G008500.5.v4.1 pep chromosome:Pop_tri_v4:13:548297:557254:1 gene:Potri.013G008500.v4.1 transcript:Potri.013G008500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008500.v4.1 MGLISRNIFPACESMCVCCPALRSRSRQPVKRYKKLLAEIFPKSLDGHPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRSGHVKFINIVTEAYNKLLCMCKDQMAYFAISLLNVVNELLEKSKQDPLMILGCQTLTRFIYSQADGTYSHNIEKFVHKVCNLARENGNENNKSCLRASSLQCLSAMVWFMAEFSYIFAAFDEIVHVTLDNYEPDEEDDGREDAHHNWLDVVRCEGRVADMGSSCMAIRPRPEKKDPSLLTREEIDTPGVWAQICIQRMAELAKESTTMRHVLDPMLVYFDSGHHWVPRQGLAMIVLSDMSYLLESAGHHQLVLAAVIRHLDHKNVALDPQVKSYVIEVAAALAQQIRSGAVLTEIGYVSDLCRHLRKSLQAAVESAGEQESNLNISLQNSIEDCLLEIAKGICDARPLFDTMAIALEKLPSSSGVVTRATIGSLMILAHTISVSSVCCHSQQVFPEVLLVQLLKAMLHPDVKVRVGAHQIFSALLIPSSNHPLREAASWRSGYTCEPKGWHSDTASAFDSISALLEKLRREKDGSKMEKHGNDANDGYKERDVVEEDWKQGRARKNSPNFYKISSIIDRTASTTSLSEAEPHIMKLNEDQIAQLLSAFWIQATLPDNMPSNIEAIAHSFVLTLISSRLKNPNDNLVVRFFQLPLSLRNLSLDLNNGMLPPACQRSILVLSTGMLMFAAKIYQIPELNDLLKSLLPYDADPYVGISDDLQVHVKAQADVRGYGSVADNQLASSLLSELQSKIFESDKVLMDILLQTLSTTTELEVDDLAQQLLEPFTPDDAFMYGPRSILEDHNQMASHSKESLSFDEDIPTNSLVDDDVTSEASVADLSRFIPKIPSSPSVSHVISIGQLLESALEVAGQVAGTSVSTSPLPYDTMARHCENLGTGTRKKLSNWLTYETHYTIANERHSPAFTANGCLAPWKITSDVGNIKEAAKPVGPFLAMRLPPASPFDNFLKAAGC >Potri.013G008500.7.v4.1 pep chromosome:Pop_tri_v4:13:548313:557198:1 gene:Potri.013G008500.v4.1 transcript:Potri.013G008500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008500.v4.1 MGLISRNIFPACESMCVCCPALRSRSRQPVKRYKKLLAEIFPKSLDGHPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRSGHVKFINIVTEAYNKLLCMCKDQMAYFAISLLNVVNELLEKSKQDPLMILGCQTLTRFIYSQADGTYSHNIEKFVHKVCNLARENGNENNKSCLRASSLQCLSAMVWFMAEFSYIFAAFDEIVHVTLDNYEPDEEDDGREDAHHNWLDVVRCEGRVADMGSSCMAIRPRPEKKDPSLLTREEIDTPGVWAQICIQRMAELAKESTTMRHVLDPMLVYFDSGHHWVPRQGLAMIVLSDMSYLLESAGHHQLVLAAVIRHLDHKNVALDPQVKSYVIEVAAALAQQIRSGAVLTEIGYVSDLCRHLRKSLQAAVESAGEQESNLNISLQNSIEDCLLEIAKGICDARPLFDTMAIALEKLPSSSGVVTRATIGSLMILAHTISVSSVCCHSQQVFPEVLLVQLLKAMLHPDVKVRVGAHQIFSALLIPSSNHPLREAASWRSGYTCEPKGWHSDTASAFDSISALLEKLRREKDGSKMEKHGNDANDGYKERDVVEEDWKQGRARKNSPNFYKISSIIDRTASTTSLSEAEPHIMKLNEDQIAQLLSAFWIQATLPDNMPSNIEAIAHSFVLTLISSRLKNPNDNLVVRFFQLPLSLRNLSLDLNNGMLPPACQRSILVLSTGMLMFAAKIYQIPELNDLLKSLLPYDADPYVGISDDLQVHVKAQADVRGYGSVADNQLASSLLSELQSKIFESDKVLMDILLQTLSTTTELEVDDLAQQLLEPFTPDDAFMYGPRSILEDHNQMASHSKESLSFDEDIPTNSLVDDDVTSEASVADLSRFIPKIPSSPSVSHVISIGQLLESALEVAGQVAGTSVSTSPLPYDTMARHCENLGTGTRKKLSNWLTYETHYTIANERHSPAFTANGCLAPWKITSDVGNIKEAAKPVGPFLAMRLPPASPFDNFLKAAGC >Potri.013G008500.2.v4.1 pep chromosome:Pop_tri_v4:13:548371:557222:1 gene:Potri.013G008500.v4.1 transcript:Potri.013G008500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008500.v4.1 MGLISRNIFPACESMCVCCPALRSRSRQPVKRYKKLLAEIFPKSLDGHPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRSGHVKFINIVTEAYNKLLCMCKDQMAYFAISLLNVVNELLEKSKQDPLMILGCQTLTRFIYSQADGTYSHNIEKFVHKVCNLARENGNENNKSCLRASSLQCLSAMVWFMAEFSYIFAAFDEIVHVTLDNYEPDEEDDGREDAHHNWLDVVRCEGRVADMGSSCMAIRPRPEKKDPSLLTREEIDTPGVWAQICIQRMAELAKESTTMRHVLDPMLVYFDSGHHWVPRQGLAMIVLSDMSYLLESAGHHQLVLAAVIRHLDHKNVALDPQVKSYVIEVAAALAQQIRSGAVLTEIGYVSDLCRHLRKSLQAAVESAGEQESNLNISLQNSIEDCLLEIAKGICDARPLFDTMAIALEKLPSSSGVVTRATIGSLMILAHTISVSSVCCHSQQVFPEVLLVQLLKAMLHPDVKVRVGAHQIFSALLIPSSNHPLREAASWRSGYTCEPKGWHSDTASAFDSISALLEKLRREKDGSKMEKHGNDANDGYKERDVVEEDWKQGRARKNSPNFYKISSIIDRTASTTSLSEAEPHIMKLNEDQIAQLLSAFWIQATLPDNMPSNIEAIAHSFVLTLISSRLKNPNDNLVVRFFQLPLSLRNLSLDLNNGMLPPACQRSILVLSTGMLMFAAKIYQIPELNDLLKSLLPYDADPYVGISDDLQVHVKAQADVRGYGSVADNQLASSLLSELQSKIFESDKVLMDILLQTLSTTTELEVDDLAQQLLEPFTPDDAFMYGPRSILEDHNQMASHSKESLSFDEDIPTNSLVDDDVTSEASVADLSRFIPKIPSSPSVSHVISIGQLLESALEVAGQVAGTSVSTSPLPYDTMARHCENLGTGTRKKLSNWLTYETHYTIANERHSPAFTANGCLAPWKITSDVGNIKEAAKPVGPFLAMRLPPASPFDNFLKAAGC >Potri.013G008500.6.v4.1 pep chromosome:Pop_tri_v4:13:548312:557254:1 gene:Potri.013G008500.v4.1 transcript:Potri.013G008500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008500.v4.1 MGLISRNIFPACESMCVCCPALRSRSRQPVKRYKKLLAEIFPKSLDGHPNERKIVKLCEYAAKNPFRIPKIAKYLEERCYKELRSGHVKFINIVTEAYNKLLCMCKDQMAYFAISLLNVVNELLEKSKQDPLMILGCQTLTRFIYSQADGTYSHNIEKFVHKVCNLARENGNENNKSCLRASSLQCLSAMVWFMAEFSYIFAAFDEIVHVTLDNYEPDEEDDGREDAHHNWLDVVRCEGRVADMGSSCMAIRPRPEKKDPSLLTREEIDTPGVWAQICIQRMAELAKESTTMRHVLDPMLVYFDSGHHWVPRQGLAMIVLSDMSYLLESAGHHQLVLAAVIRHLDHKNVALDPQVKSYVIEVAAALAQQIRSGAVLTEIGYVSDLCRHLRKSLQAAVESAGEQESNLNISLQNSIEDCLLEIAKGICDARPLFDTMAIALEKLPSSSGVVTRATIGSLMILAHTISVSSVCCHSQQVFPEVLLVQLLKAMLHPDVKVRVGAHQIFSALLIPSSNHPLREAASWRSGYTCEPKGWHSDTASAFDSISALLEKLRREKDGSKMEKHGNDANDGYKERDVVEEDWKQGRARKNSPNFYKISSIIDRTASTTSLSEAEPHIMKLNEDQIAQLLSAFWIQATLPDNMPSNIEAIAHSFVLTLISSRLKNPNDNLVVRFFQLPLSLRNLSLDLNNGMLPPACQRSILVLSTGMLMFAAKIYQIPELNDLLKSLLPYDADPYVGISDDLQVHVKAQADVRGYGSVADNQLASSLLSELQSKIFESDKVLMDILLQTLSTTTELEVDDLAQQLLEPFTPDDAFMYGPRSILEDHNQMASHSKESLSFDEDIPTNSLVDDDVTSEASVADLSRFIPKIPSSPSVSHVISIGQLLESALEVAGQVAGTSVSTSPLPYDTMARHCENLGTGTRKKLSNWLTYETHYTIANERHSPAFTANGCLAPWKITSDVGNIKEAAKPVGPFLAMRLPPASPFDNFLKAAGC >Potri.013G104400.3.v4.1 pep chromosome:Pop_tri_v4:13:11356285:11364925:1 gene:Potri.013G104400.v4.1 transcript:Potri.013G104400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104400.v4.1 MVYSKVDMPHQYISSGTDDSFYHGHRRSSSVLNPAQSAATRADLHRRSIAQMRINNRSIQDMYIFGDPLRIPIMIVERVANAPRRTLSENSYFRNLELVDSHSLHGGPGAEAEAEAGKKQSGGVLPKNGRELKAVIFVHGFQGHHLDLRLVRNQWLLIDPKMEFLMSEANEDKTSGDFREMGLRLAQEVISFLKKKMDRVSRSGFLRDIKLSFVGHSLGNIIIRTALAESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGMWLLKKLKGTRCIHQLMFTDDPNLQNTFLYKLCERKTLENFRHIVLLSSPQDGYVPYHSARIELCHAASMDHSKKGRLFLQMLNNCLDQLRAPTSEHRLFLRCDVNFDTSAYGRNLNTIIGRAAHIEFLESDVFAKFIMWSFQELFC >Potri.013G104400.2.v4.1 pep chromosome:Pop_tri_v4:13:11356287:11364925:1 gene:Potri.013G104400.v4.1 transcript:Potri.013G104400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104400.v4.1 MFETVQEIAVCIHRFHNLDLFQQGWYQIKISMRWEDRGYTSLATPARVVQYEAPDLGGENIYGIWKIDDTDNSFLTQPFRIKYARQDIRLSIMISFTLPLGENEGPSTSAVILKFELMQAPITENMVMAYPNASSVSVHEFRIPPKALLGLHSYCPVHFDAFHYVLVDASVHTSLMTAGSFMKVPSGSYGQDVAGKISDGISQALGEVASLDTKKIMLVKALLVSRDALLEELQKISKGIGHAIDLSDFISNMDDMRMFDSIMQENLGTADGEVSGQGKPHNGLEKANGTADFQSDNLPHISTKAVVVNIFHSLGAQLSYLWKIFLQFHRANKITILEFLRDVWTKDRRAEWSIWMVYSKVDMPHQYISSGTDDSFYHGHRRSSSVLNPAQSAATRADLHRRSIAQMRINNRSIQDMYIFGDPLRIPIMIVERVANAPRRTLSENSYFRNLELVDSHSLHGGPGAEAEAEAGKKQSGGVLPKNGRELKAVIFVHGFQGHHLDLRLVRNQWLLIDPKMEFLMSEANEDKTSGDFREMGLRLAQEVISFLKKKMDRVSRSGFLRDIKLSFVGHSLGNIIIRTALAESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGMWLLKKLKGTRCIHQLMFTDDPNLQNTFLYKLCERKTLENFRHIVLLSSPQDGYVPYHSARIELCHAASMDHSKKGRLFLQMLNNCLDQLRAPTSEHRLFLRCDVNFDTSAYGRNLNTIIGRAAHIEFLESDVFAKFIMWSFQELFC >Potri.013G104400.1.v4.1 pep chromosome:Pop_tri_v4:13:11356267:11364924:1 gene:Potri.013G104400.v4.1 transcript:Potri.013G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104400.v4.1 MFETVQEIAVCIHRFHNLDLFQQGWYQIKISMRWEDRGYTSLATPARVVQYEAPDLGGENIYGIWKIDDTDNSFLTQPFRIKYARQDIRLSIMISFTLPLGENEGPSTSAVILKFELMQAPITENMVMAYPNASSVSVHEFRIPPKALLGLHSYCPVHFDAFHYVLVDASVHTSLMTAGSFMKVPSGSYGQDVAGKISDGISQALGEVASLDTKKIMLVKALLVSRDALLEELQKISKGIGHAIDLSDFISNMDDMRMFDSIMQENLGTADGEVSGQGKPHNGLEKANGTADFQSDNLPHISTKAVVVNIFHSLGAQLSYLWKIFLQFHRANKITILEFLRDVWTKDRRAEWSIWMVYSKVDMPHQYISSGTDDSFYHGHRRSSSVLNPAQSAATRADLHRRSIAQMRINNRSIQDMYIFGDPLRIPIMIVERVANAPRRTLSENSYFRNLELVDSHSLHGGPGAEAEAEAGKKQSGGVLPKNGRELKAVIFVHGFQGHHLDLRLVRNQWLLIDPKMEFLMSEANEDKTSGDFREMGLRLAQEVISFLKKKMDRVSRSGFLRDIKLSFVGHSLGNIIIRTALAESIMEPYLRYLHTYVSISGPHLGYLYSSNSLFNSGMWLLKKLKGTRCIHQLMFTDDPNLQNTFLYKLCERKTLENFRHIVLLSSPQMLNNCLDQLRAPTSEHRLFLRCDVNFDTSAYGRNLNTIIGRAAHIEFLESDVFAKFIMWSFQELFC >Potri.008G164466.1.v4.1 pep chromosome:Pop_tri_v4:8:11330935:11331802:-1 gene:Potri.008G164466.v4.1 transcript:Potri.008G164466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164466.v4.1 MEIAGGADVAESVAQFARRRQRGVCVLSGSGSVANVTLRQPAAPGAVVALHGRFEILSLTGAFLPGPAPPGSTGLTVYLAGGQGQVVGGSVVGSLVAAGPVMVIAATFANATYERLPLEDDDEAGSGGQGQIQSGANNSPPAIGSSGQQAGLPDPSAMPIYNLPPNLIPNGAHQLGHDAYAWAHARPPY >Potri.007G099301.1.v4.1 pep chromosome:Pop_tri_v4:7:12405583:12408939:1 gene:Potri.007G099301.v4.1 transcript:Potri.007G099301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099301.v4.1 MGKEKVGLWVVGVLVLVLILGLSAASDDRQQRWRKAMMSGETMGSSMLMNRVPSSIVLPLHGNVYPTGFYNVTLNIGQPSKPYFLDVDTGSDLTWLQCDVPRAQCTEAPHPYYKPSNNLVAWNDPICQSLHTGGDQRCENPGQCDYEVEYADGGSSLGVLVKDAFNLNFTSEKHQSPLLALGCGYDQLPGGTYHPIDGVLGLGRGKPSIVSQLSSLGLLRNVIGHCLSGRGGGFLFFGDDLYDSSSVAWTPMSPNAKHYSPGFAELTFDGKTTGFINLIVAFDSGASYTYLNSQAYQGLISLIKRELSTKPLREALDDQTLPICWKGRKPFKSVHDVNKYFKTFALSFANDGKSKTQLEFPPEAYLIVSSKGNACLGVLNGTEVGLNDLNVIGDISMQDRVVIYDNEKQLIGWAPRNCDRIPKSRSIII >Potri.010G129101.1.v4.1 pep chromosome:Pop_tri_v4:10:14562442:14563095:-1 gene:Potri.010G129101.v4.1 transcript:Potri.010G129101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129101.v4.1 MPGDIPKPPSNELPETSSEHQIHDSEWIVKMRAACELAAHVLENAGCFKFSYACHAGRIIFWKANFYPSNHNNKFLQLSWNSQSVCLTGVASCQLTCFLGVMNEHALMGIFANDFMLA >Potri.002G150300.1.v4.1 pep chromosome:Pop_tri_v4:2:11452021:11453855:1 gene:Potri.002G150300.v4.1 transcript:Potri.002G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150300.v4.1 MEYLFYLLLISFCWACLHVLNASVLLRRKSGCTILPPGPRQLPIIGNILALGDKPHRTLAKLSQTYGPLMTLKLGRITTIVISSPNIAKEALQKHDQALSSRTVPDAVRGHHKNSILWLPASSHWKFLKKLTATQMFTSQRLDASRALRGKKVQELLEYVHEKCNKGHAVDVGRSVFTTVLNLISNTFFSLDIANYNSDLSQEFSYLVVGVMEQIGKANIADYFPILRLVDPQGIRRKTNNYLKRLTQIFDSIINERTRLRSSSVASKASHDVLDALLILAKENNTELSSTDIQVLLLDFFIAGTDTTSSTVEWAMTELLLNPDKMVKAKNELQQVEGPVQESDISKCPYLQAIVKETFRLHPPAPLLLPRKAVSEVEMQGFTVPKNAQILINIWAIGRDPTIWPDPNSFKPERFLECQADVKGRDFELIPFGAGRRICPGLPLGHKMVHLALASLIHSFDWKIADDLTPEDIDTSETFGITLHKSEPLRAIPMKT >Potri.008G063000.7.v4.1 pep chromosome:Pop_tri_v4:8:3810623:3812758:-1 gene:Potri.008G063000.v4.1 transcript:Potri.008G063000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063000.v4.1 MGLWTLLEGFLLLANAFAILNEDRFLAPRGWSFSEFSVGRTKSLKGQLIGLIYATQYMRVPLVILNSICIFVKLVSG >Potri.009G069100.1.v4.1 pep chromosome:Pop_tri_v4:9:6840312:6843396:1 gene:Potri.009G069100.v4.1 transcript:Potri.009G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069100.v4.1 MALNMVLLWELTMAALFFFINYLLTRCLIRKLSTRQLPPGPRGWPIIGAIPVLGAMPHAALAKMAKQYGPVMYLKMGTCNMVVASTPDAARAFLKTLDLNFSNRPPNAGATHLAYNAQDMVFADYGPRWKLLRKLSNLHMLGGKALEDWAHVRVSELGHMLRAMCEASRKGEPVVVPEMLTYAMANMIGQIILSRRVFVTKGSESNEFKDMVVELMTSAGLFNVGDYIPSVAWMDLQGIERGMKRLHRRFDVLLTKMMEEHIATAHERKGKPDFLDVLMANQENLDGEKLSFTNIKALLLNLFTAGTDTSSSIIEWSLAEMLKNPRILKQAQDEMDQVIGRNRRLEESDIPKLPYLQAICKETFRKHPSTPLNLPRIADQACEVNGYYIPKGTRLSVNIWAIGRDPDVWDNPLDFTPERFFSEKYAKINPQGNDFELIPFGAGRRICAGTRMGIVLVQYILGTLVHSFDWKLPKDVELNMDEVFGLALQKAVPLSAMVTPRLEPNAYLA >Potri.010G113700.2.v4.1 pep chromosome:Pop_tri_v4:10:13335566:13344742:1 gene:Potri.010G113700.v4.1 transcript:Potri.010G113700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113700.v4.1 MGIPDSSLFYLLHKVRSWISWGSSDLSTSCLSANFEMPNNDTVNMCSECDSNNNQFFNGYHCQSCGKWSCFNCMRGYQSNVVNCNGDFGEAIKYCKFCNGVTVKRDGGSKNNEKVHPTDSPRGSPEPPSPSCSAASIQSDHLVHYLESRDCGFSPNTISSRSMTSFSAHPSPVSVRRSSSRSDEEEAGDSGKLFYSPLSEYSHDISDIDSSSVSARLEFCNCKSVGSSPLDSPSRIDFSSYRVGHTVQRGREGSSLSQSDGPFDKENMVILRRPDKRTEDPENADDYSDDVSVLRDQYDKSQKPLDFESNGLIWFPPPPEDENDETESSFFTYDDEDDDIGDSSAIFLPSSSLSCTFPSKENQNEINKDPLKAVIQGHFRALVAQLLQGEGIKASKEETNEEWLDIVTTIAWQAANFVKPDTSRGGSMDPVDYVKVKCIASGNPSDSTLVKGVVCTKNIKHKRMTTQYKNPRLLLLGGALEYQSVVNQLASFNTLVQKENDHLKLIMSKIEALRPNVLLVEKSVSPFAQEYLLGKEISLVLNVKRPLLERIAQCTGAYISPSFENISTTRLGHSELFRVERVFEEHETSNQFNKKPSKTLMFFEGCPRRLGCTVLLRGTCREELKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKQTVRPSIAIPERTAADESISVISPITCHAEVALSAQDNDGSLGVKPEHEGSESLTGDLDAGVIPPLSPRSVTCKSGNELSIAYHGDLVSDVGRLDSFSISECEGLKISVVPPGIDNLSLPELQDMMAQEGGQLMETCESVQPEKIDEDEVSSEYFSATDTYQSILVSFSSRCVLKGTVCERSRLLRIKFYGSFDKPLGRYLRDDLFNQKSCCKSCKELAEAHVLCFTHQQGNLTINVRSLPSVKLPGERDGKIWMWHRCLRCAHIDGVPPATRRVVMSAAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVAFFRYSPIDILNVHLPPSVLEFNSTIQHEWIRKEASELLGKMETFYGEISGVLDSMEQRSKYFGGELSDTNELQSHIMELKDQLLKEKDDYNVMLQLAVMESSDQTVVDILELNRIRRALLIGSRVWDQKLFSLDSVLKTNSLVKAKEGTNQLPIKVQPLHALQAEADGFQPGPVRQPNLFDNPPFRRMMAPLRVHSFDSALRVQERIQKGLPPSMHLSTIRSFHASGDYRSMLRDPVSAMRTYSQTLPLEAQKLNLIPNSTRTFISSAANMAGGARLLLPMRTNSDIVIGVYDNDPASVVSYALSSKEYEDWVTDRSNENGGIWSTFERSKEGSAASSFTAWQSFGSVDLDYISYGGYGSEDPSSSLGNLFMVSKKSPHLTISYGDDSSFAGGKVKFSVTCYFAKQFDSLRRKCCPSDVDFVRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQIKKTELESFEEFALEYFKYLTDSLNSGSPTCLAKILGIYQVTVKHLRGGKETKMDLMVMENLFFNRNIARVYDLKGSSRSRYNPDTSGSNKVLLDTNLVETLRTDPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIVSPKQYKKRFRKAMTSYFLTVPDQWSS >Potri.010G113700.3.v4.1 pep chromosome:Pop_tri_v4:10:13335466:13344674:1 gene:Potri.010G113700.v4.1 transcript:Potri.010G113700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113700.v4.1 MGIPDSSLFYLLHKVRSWISWGSSDLSTSCLSANFEMPNNDTVNMCSECDSNNNQFFNGYHCQSCGKWSCFNCMRGYQSNVVNCNGDFGEAIKYCKFCNGVTVKRDGGSKNNEKVHPTDSPRGSPEPPSPSCSAASIQSDHLVHYLESRDCGFSPNTISSRSMTSFSAHPSPVSVRRSSSRSDEEEAGDSGKLFYSPLSEYSHDISDIDSSSVSARLEFCNCKSVGSSPLDSPSRIDFSSYRVGHTVQRGREGSSLSQSDGPFDKENMVILRRPDKRTEDPENADDYSDDVSVLRDQYDKSQKPLDFESNGLIWFPPPPEDENDETESSFFTYDDEDDDIGDSSAIFLPSSSLSCTFPSKENQNEINKDPLKAVIQGHFRALVAQLLQGEGIKASKEETNEEWLDIVTTIAWQAANFVKPDTSRGGSMDPVDYVKVKCIASGNPSDSTLVKGVVCTKNIKHKRMTTQYKNPRLLLLGGALEYQSVVNQLASFNTLVQKENDHLKLIMSKIEALRPNVLLVEKSVSPFAQEYLLGKEISLVLNVKRPLLERIAQCTGAYISPSFENISTTRLGHSELFRVERVFEEHETSNQFNKKPSKTLMFFEGCPRRLGCTVLLRGTCREELKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKQTVRPSIAIPERTAADESISVISPITCHAEVALSAQDNDGSLGVKPEHEGSESLTGDLDAGVIPPLSPRSVTCKSGNELSIAYHGDLVSDVGRLDSFSISECEGLKISVVPPGIDNLSLPELQDMMAQEGGQLMETCESVQPEKIDEDEVSSEYFSATDTYQSILVSFSSRCVLKGTVCERSRLLRIKFYGSFDKPLGRYLRDDLFNQKSCCKSCKELAEAHVLCFTHQQGNLTINVRSLPSVKLPGERDGKIWMWHRCLRCAHIDGVPPATRRVVMSAAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVAFFRYSPIDILNVHLPPSVLEFNSTIQHEWIRKEASELLGKMETFYGEISGVLDSMEQRSKYFGGELSDTNELQSHIMELKDQLLKEKDDYNVMLQLAVMESSDQTVVDILELNRIRRALLIGSRVWDQKLFSLDSVLKTNSLVKAKEGGVSYTELKDLRNDIFCKDSKFDRDHEENISGFSKSKEIVWNDFQSEKKETSPSFEIFLPEHSLLPLHHNTEDEVHADGETVNKTFFNDIPSHASNLSDRIDSAWTGTNQLPIKVQPLHALQAEADGFQPGPVRQPNLFDNPPFRRMMAPLRVHSFDSALRVQERIQKGLPPSMHLSTIRSFHASGDYRSMLRDPVSAMRTYSQTLPLEAQKLNLIPNSTRTFISSAANMAGGARLLLPMRTNSDIVIGVYDNDPASVVSYALSSKEYEDWVTDRSNENGGIWSTFERSKEGSAASSFTAWQSFGSVDLDYISYGGYGSEDPSSSLGNLFMVSKKSPHLTISYGDDSSFAGGKVKFSVTCYFAKQFDSLRRKCCPSDVDFVRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQIKKTELESFEEFALEYFKYLTDSLNSGSPTCLAKILGIYQVTVKHLRGGKETKMDLMVMENLFFNRNIARVYDLKGSSRSRYNPDTSGSNKVLLDTNLVETLRTDPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKASGILGGPKNASPTIVSPKQYKKRFRKAMTSYFLTVPDQWSS >Potri.009G100100.6.v4.1 pep chromosome:Pop_tri_v4:9:8879419:8886128:1 gene:Potri.009G100100.v4.1 transcript:Potri.009G100100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100100.v4.1 MHRQRGAFHTFSETIDIDQGSVSDNIGTSQQTSFGNMLNPVDSRLSNNAVSSGDVSCSNAMTHDIQNFSGWNSGESSSSLSLQNQLNDDEIKTEERWSSSVNAYPAVGQRSDERLFETTDILFPGRGNMGISGNQVRSGPLFLQGSSSNHIPPNESPNAGHIGDTINGRPITGAVLGLNRLNPGGLEIELASSSGVSSDDVGTSSGSSGCIVEETNGGPGSSLGGWGLSCKRKALEGTSGQSFPGASSSCFPQAENSAWHNGPNNHSVSSSLSLSTPTWNAPSVTPPEQLNPRFGYGMRAAPSDAFPSSNVSGNADTLRNFDRRTSPGLQQESAAFNVSTTGGSRRWSLQHPPRPVSVSDYLESRSPEPANSNATQGQLHAINPSALSRSMLRWNDFSSSRVGNSPSSLIPGELGAALREETNSRRFQRNNAEHPMFAPATEMRSMIQDPTCWGLATGNMSTSGSVSSTRIGPSSSVRPFPTPRWIHRNPTTHNQQRFSEFSTWSLFPPMDSEPGGHSGHFSPLSSGPSSSAQDTVISSGSNSQGHNPPFPRSALLMEEQSDDILGMPRSLRVLAADIEGRHRLISEIRQVLNAMRRGENLRVEDYMLFDPLIYHGMAEMHDQHRDMRLDVDNMSYEELLALEERIGDVSTGLSGETILKLMKKQKHVPVSTESPADLEPCCICQEEYVDGDDMGIIDCGHDFHANCIKQWLMQKNLCPICKMTALIT >Potri.009G100100.1.v4.1 pep chromosome:Pop_tri_v4:9:8879123:8886187:1 gene:Potri.009G100100.v4.1 transcript:Potri.009G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100100.v4.1 MHRQRGAFHTFSETIDIDQGSVSDNIGTSQQTSFGNMLNPVDSRLSNNAVSSGDVSCSNAMTHDIQNFSGWNSGESSSSLSLQNQLNDDEIKTEERWSSSVNAYPAVGQRSDERLFETTDILFPGRGNMGISGNQVRSGPLFLQGSSSNHIPPNESPNAGHIGDTINGRPITGAVLGLNRLNPGGLEIELASSSGVSSDDVGTSSGSSGCIVEETNGGPGSSLGGWGLSCKRKALEGTSGQSFPGASSSCFPQAENSAWHNGPNNHSVSSSLSLSTPTWNAPSVTPPEQLNPRFGYGMRAAPSDAFPSSNVSGNADTLRNFDRRTSPGLQQESAAFNVSTTGGSRRWSLQHPPRPVSVSDYLESRSPEPANSNATQGQLHAINPSALSRSMLRWNDFSSSRVGNSPSSLIPGELGAALREETNSRRFQRNNAEHPMFAPATEMRSMIQDPTCWGLATGNMSTSGSVSSTRIGPSSSVRPFPTPRWIHRNPTTHNQQRFSEFSTWSLFPPMDSEPGGHSGHFSPLSSGPSSSAQDTVISSGSNSQGHNPPFPRSALLMEEQSDDILGMPRSLRVLAADIEGRHRLISEIRQVLNAMRRGENLRVEDYMLFDPLIYHGMAEMHDQHRDMRLDVDNMSYEELLALEERIGDVSTGLSGETILKLMKKQKHVPVSTESPADLEPCCICQEEYVDGDDMGIIDCGHDFHANCIKQWLMQKNLCPICKMTALIT >Potri.009G100100.5.v4.1 pep chromosome:Pop_tri_v4:9:8879522:8886138:1 gene:Potri.009G100100.v4.1 transcript:Potri.009G100100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100100.v4.1 MHRQRGAFHTFSETIDIDQGSVSDNIGTSQQTSFGNMLNPVDSRLSNNAVSSGDVSCSNAMTHDIQNFSGWNSGESSSSLSLQNQLNDDEIKTEERWSSSVNAYPAVGQRSDERLFETTDILFPGRGNMGISGNQVRSGPLFLQGSSSNHIPPNESPNAGHIGDTINGRPITGAVLGLNRLNPGGLEIELASSSGVSSDDVGTSSGSSGCIVEETNGGPGSSLGGWGLSCKRKALEGTSGQSFPGASSSCFPQAENSAWHNGPNNHSVSSSLSLSTPTWNAPSVTPPEQLNPRFGYGMRAAPSDAFPSSNVSGNADTLRNFDRRTSPGLQQESAAFNVSTTGGSRRWSLQHPPRPVSVSDYLESRSPEPANSNATQGQLHAINPSALSRSMLRWNDFSSSRVGNSPSSLIPGELGAALREETNSRRFQRNNAEHPMFAPATEMRSMIQDPTCWGLATGNMSTSGSVSSTRIGPSSSVRPFPTPRWIHRNPTTHNQQRFSEFSTWSLFPPMDSEPGGHSGHFSPLSSGPSSSAQDTVISSGSNSQGHNPPFPRSALLMEEQSDDILGMPRSLRVLAADIEGRHRLISEIRQVLNAMRRGENLRVEDYMLFDPLIYHGMAEMHDQHRDMRLDVDNMSYEELLALEERIGDVSTGLSGETILKLMKKQKHVPVSTESPADLEPCCICQEEYVDGDDMGIIDCGHDFHANCIKQWLMQKNLCPICKMTALIT >Potri.009G100100.7.v4.1 pep chromosome:Pop_tri_v4:9:8879507:8886128:1 gene:Potri.009G100100.v4.1 transcript:Potri.009G100100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100100.v4.1 MHRQRGAFHTFSETIDIDQGSVSDNIGTSQQTSFGNMLNPVDSRLSNNAVSSGDVSCSNAMTHDIQNFSGWNSGESSSSLSLQNQLNDDEIKTEERWSSSVNAYPAVGQRSDERLFETTDILFPGRGNMGISGNQVRSGPLFLQGSSSNHIPPNESPNAGHIGDTINGRPITGAVLGLNRLNPGGLEIELASSSGVSSDDVGTSSGSSGCIVEETNGGPGSSLGGWGLSCKRKALEGTSGQSFPGASSSCFPQAENSAWHNGPNNHSVSSSLSLSTPTWNAPSVTPPEQLNPRFGYGMRAAPSDAFPSSNVSGNADTLRNFDRRTSPGLQQESAAFNVSTTGGSRRWSLQHPPRPVSVSDYLESRSPEPANSNATQGQLHAINPSALSRSMLRWNDFSSSRVGNSPSSLIPGELGAALREETNSRRFQRNNAEHPMFAPATEMRSMIQDPTCWGLATGNMSTSGSVSSTRIGPSSSVRPFPTPRWIHRNPTTHNQQRFSEFSTWSLFPPMDSEPGGHSGHFSPLSSGPSSSAQDTVISSGSNSQGHNPPFPRSALLMEEQSDDILGMPRSLRVLAADIEGRHRLISEIRQVLNAMRRGENLRVEDYMLFDPLIYHGMAEMHDQHRDMRLDVDNMSYEELLALEERIGDVSTGLSGETILKLMKKQKHVPVSTESPADLEPCCICQEEYVDGDDMGIIDCGHDFHANCIKQWLMQKNLCPICKMTALIT >Potri.008G216400.2.v4.1 pep chromosome:Pop_tri_v4:8:16455495:16456995:-1 gene:Potri.008G216400.v4.1 transcript:Potri.008G216400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216400.v4.1 MGIVSQTSVVCLLLGLSSAKDVPAFYIFGDSLVDVGNNFYMKTLATPLFPNGIDFGNGYRVPSGRYSNARLEVGLKNFPPPCLAPTTVGDVLLNGVNYASSGSGILKATGESYGDHISLENQISYFEKTRKDIISNIGIQAAKKLLREAIYILFIGTNDVRDISNVVDGGAALDTIISSLRSQLTRLYKLGARKFVVGNCGPYGCIPFTRDEYGVKDGCPMLDELTKNLSGSIHVYADVYAVFLDIVQNYISYGFEDAVNACCNVVGAHGGIIPCTTISQVCSDRTKNVFWDPIHLPESANLIAAKHLLDGDLNYTSPMNLRQLVNT >Potri.001G115600.1.v4.1 pep chromosome:Pop_tri_v4:1:9388097:9391303:1 gene:Potri.001G115600.v4.1 transcript:Potri.001G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115600.v4.1 MEWSACSDEYEKLVIRMTTPRVVIDNAVSSKATVVKVDSARKHRILLEAVQVLTDLNLSIKKAYISSDGRWFMDVFHVTDLNGNKLTDESVINYIEQSLGTIHPGKTTGSNGLTALELTGTDRIGLLSEVFAVLADLQCSVVDAKVWTHNGRIASLMYVKDCNSGSPIEDTQHIDRIEARLRNVLKGDNDIRSAKTMVSMAVTHTERRLHQVMFADRDYERKPILQPSGDSPVVTVQNWVERGYSVVNVQCKDRTKLLFDVVCTLTDMEYIVFHATINTAGDRAYLEFYIRHTDGTPISSEPERQRVIQCLQAAVERRASEGVRLELCTPDRQGLLADVTRTFRENGLNVTRAEISTAGDMALNVFYVTDAVGNPADPKLIESVRQKIGVSNLKVKELPPLIYHQEAEREDQTAGVAGTVLLSLGSLVKKNLYHLGLIRSYS >Potri.008G186900.2.v4.1 pep chromosome:Pop_tri_v4:8:13050129:13056811:-1 gene:Potri.008G186900.v4.1 transcript:Potri.008G186900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186900.v4.1 MDSYQQQQQHLGYMRPPPPPQQQQQQVPPPPADPYHYYHQYQQRPPLPQQPQGGTSWYSNPFQYNPPPPPSHSVSPPLPQQWPPPPPPPPPSSSYPYPTHLPPQSHHFPPPRPHVPPPTQPHSQEWGNPNWEQHQAWEYPSAAGRNHVEDWAAKARAWAATKNGASEDQHPQSQFTTVGRSEEQSWYYDQNPQTVDTHYQGVQQQPFPATTYQQFPVSAAPHQLPVAYPQENASFNMGQPSHVYDRPQPYPGGAATSNASPSVHQQEVPSSYSSVTGKEETGDPKEQLYRSLPLPISSAQEGQHHLLPSLPAIGRSVLTEQPFAYSNQTANPTADLSNQPLEFAPGFNCDHDPHAQSSYAAHHDSVGTVKGIGSAAPMPSISSWTPAVTTGVVYPPALPPGPQDPTIVPSSVSGHAAPPFGNFPGTSFQPPISPAGVPYGLGAGNALHSTGGFGDAYGVSNISERPKKASVPNWLKEEIIKNASVMTRSSLEHPREEIESMDEEGVDKSFEKGNQAGSKSIGSPRSTEEEDDDEDYVEAARSAAINQEIKRILTEVLLKVTDELFDEIATKVLDEDDLIIEVEHQPVASNHKVPSPSHPAISTPKASAKVLVPVRTKESENEDVNEKSSSSSHGNVLGLVNYASDEDDEIESSSISNSRKNPVPQLLAIPESAEDMNDAAENGNSQVELGKNSLVTNIESDLSKTSSVGSDNKINGAFSELSEHAHSKVVSGVRHVEISVNGEKILESNNKNVPKATIEENAKIESDRIGECVNVEKPVADYSQARDTRARPDQDSRHESRSSGSMADEKGDDGHRRHDAKHSSKEKTGDLNGSKEKRRERKDKTGESAKEPESRRRSSRPEVKEDRKDAEKLHRSSVKEDATRKRDRSKEKEEDRARHKPTSDSSKHKRTRSSSISSRGRNSKDNDSSDEASDDSKRKHSRKRRSSPSPVRSRRRQVSRSPHSKHSQRRHSPYSSLETTRRRRSRSQSPVRRHK >Potri.008G186900.11.v4.1 pep chromosome:Pop_tri_v4:8:13050193:13056778:-1 gene:Potri.008G186900.v4.1 transcript:Potri.008G186900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186900.v4.1 MDSYQQQQQHLGYMRPPPPPQQQQQQVPPPPADPYHYYHQYQQRPPLPQQPQGGTSWYSNPFQYNPPPPPSHSVSPPLPQQWPPPPPPPPPSSSYPYPTHLPPQSHHFPPPRPHVPPPTQPHSQEWGNPNWEQHQAWEYPSAGRNHVEDWAAKARAWAATKNGASEDQHPQSQFTTVGRSEEQSWYYDQNPQTVDTHYQGVQQQPFPATTYQQFPVSAAPHQLPVAYPQENASFNMGQPSHVYDRPQPYPGGAATSNASPSVHQQEVPSSYSSVTGKEETGDPKEQLYRSLPLPISSAQEGQHHLLPSLPAIGRSVLTEQPFAYSNQTANPTADLSNQPLEFAPGFNCDHDPHAQSSYAAHHDSVGTVKGIGSAAPMPSISSWTPAVTTGVVYPPALPPGPQDPTIVPSSVSGHAAPPFGNFPGTSFQPPISPAGVPYGLGAGNALHSTGGFGDAYGVSNISERPKKASVPNWLKEEIIKNASVMTRSSLEHPREEIESMDEEGVDKSFEKGNQAGSKSIGSPRSTEEEDDDEDYVEAARSAAINQEIKRILTEVLLKVTDELFDEIATKVLDEDDLIIEVEHQPVASNHKVPSPSHPAISTPKASAKVLVPVRTKESENEDVNEKSSSSSHGNVLGLVNYASDEDDEIESSSISNSRKNPVPQLLAIPESAEDMNDAAENGNSQVELGKNSLVTNIESDLSKTSSVGSDNKINGAFSELSEHAHSKVVSGVRHVEISVNGEKILESNNKNVPKATIEENAKIESDRIGECVNVEKPVADYSQARDTRARPDQDSRHESRSSGSMADEKGDDGHRRHDAKHSSKEKTGDLNGSKEKRRERKDKTGESAKEPESRRRSSRPEVKEDRKDAEKLHRSSVKEDATRKRDRSKEKEEDRARHKPTSDSSKHKRTRSSSISSRGRNSKDNDSSDEASDDSKRKHSRKRRSSPSPVRSRRRYSYIRISFFLSYLLCLYFSLPVLIFKLFCGTIVKL >Potri.008G186900.10.v4.1 pep chromosome:Pop_tri_v4:8:13050017:13056778:-1 gene:Potri.008G186900.v4.1 transcript:Potri.008G186900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186900.v4.1 MDSYQQQQQHLGYMRPPPPPQQQQQQVPPPPADPYHYYHQYQQRPPLPQQPQGGTSWYSNPFQYNPPPPPSHSVSPPLPQQWPPPPPPPPPSSSYPYPTHLPPQSHHFPPPRPHVPPPTQPHSQEWGNPNWEQHQAWEYPSAAGRNHVEDWAAKARAWAATKNGASEDQHPQSQFTTVGRSEEQSWYYDQNPQTVDTHYQGVQQQPFPATTYQQFPVSAAPHQLPVAYPQENASFNMGQPSHVYDRPQPYPGGAATSNASPSVHQQEVPSSYSSVTGKEETGDPKEQLYRSLPLPISSAQEGQHHLLPSLPAIGRSVLTEQPFAYSNQTANPTADLSNQPLEFAPGFNCDHDPHAQSSYAAHHDSVGTVKGIGSAAPMPSISSWTPAVTTGVVYPPALPPGPQDPTIVPSSVSGHAAPPFGNFPGTSFQPPISPAGVPYGLGAGNALHSTGGFGDAYGVSNISERPKKASVPNWLKEEIIKNASVMTRSSLEHPREEIESMDEEGVDKSFEKGNQAGSKSIGSPRSTEEEDDDEDYVEAARSAAINQEIKRILTEVLLKVTDELFDEIATKVLDEDDLIIEVEHQPVASNHKVPSPSHPAISTPKASAKVLVPVRTKESENEDVNEKSSSSSHGNVLGLVNYASDEDDEIESSSISNSRKNPVPQLLAIPESAEDMNDAAENGNSQVELGKNSLVTNIESDLSKTSSVGSDNKINGAFSELSEHAHSKVVSGVRHVEISVNGEKILESNNKNVPKATIEENAKIESDRIGECVNVEKPVADYSQARDTRARPDQDSRHESRSSGSMADEKGDDGHRRHDAKHSSKEKTGDLNGSKEKRRERKDKTGESAKEPESRRRSSRPEVKEDRKDAEKLHRSSVKEDATRKRDRSKEKEEDRARHKPTSDSSKHKRTRSSSISSRGRNSKDNDSSDEASDDSKRKHSRKRRSSPSPVRSRRRYSYIRISFFLSYLLCLYFSLPVLIFKLFCGTIVKL >Potri.008G186900.4.v4.1 pep chromosome:Pop_tri_v4:8:13049754:13056833:-1 gene:Potri.008G186900.v4.1 transcript:Potri.008G186900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186900.v4.1 MDSYQQQQQHLGYMRPPPPPQQQQQQVPPPPADPYHYYHQYQQRPPLPQQPQGGTSWYSNPFQYNPPPPPSHSVSPPLPQQWPPPPPPPPPSSSYPYPTHLPPQSHHFPPPRPHVPPPTQPHSQEWGNPNWEQHQAWEYPSAGRNHVEDWAAKARAWAATKNGASEDQHPQSQFTTVGRSEEQSWYYDQNPQTVDTHYQGVQQQPFPATTYQQFPVSAAPHQLPVAYPQENASFNMGQPSHVYDRPQPYPGGAATSNASPSVHQQEVPSSYSSVTGKEETGDPKEQLYRSLPLPISSAQEGQHHLLPSLPAIGRSVLTEQPFAYSNQTANPTADLSNQPLEFAPGFNCDHDPHAQSSYAAHHDSVGTVKGIGSAAPMPSISSWTPAVTTGVVYPPALPPGPQDPTIVPSSVSGHAAPPFGNFPGTSFQPPISPAGVPYGLGAGNALHSTGGFGDAYGVSNISERPKKASVPNWLKEEIIKNASVMTRSSLEHPREEIESMDEEGVDKSFEKGNQAGSKSIGSPRSTEEEDDDEDYVEAARSAAINQEIKRILTEVLLKVTDELFDEIATKVLDEDDLIIEVEHQPVASNHKVPSPSHPAISTPKASAKVLVPVRTKESENEDVNEKSSSSSHGNVLGLVNYASDEDDEIESSSISNSRKNPVPQLLAIPESAEDMNDAAENGNSQVELGKNSLVTNIESDLSKTSSVGSDNKINGAFSELSEHAHSKVVSGVRHVEISVNGEKILESNNKNVPKATIEENAKIESDRIGECVNVEKPVADYSQARDTRARPDQDSRHESRSSGSMADEKGDDGHRRHDAKHSSKEKTGDLNGSKEKRRERKDKTGESAKEPESRRRSSRPEVKEDRKDAEKLHRSSVKEDATRKRDRSKEKEEDRARHKPTSDSSKHKRTRSSSISSRGRNSKDNDSSDEASDDSKRKHSRKRRSSPSPVRSRRRQVSRSPHSKHSQRRHSPYSSLETTRRRRSRSQSPVRRHK >Potri.007G131300.2.v4.1 pep chromosome:Pop_tri_v4:7:14497205:14502069:-1 gene:Potri.007G131300.v4.1 transcript:Potri.007G131300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131300.v4.1 MQMISKASCAMATLPCSRVRSGLCILPGMRQLSLSKGLVYAFTRLLSTPFKTLRGASRTLKVAQFCSVSNMSSSLQIELVPCLKDNYAYLLHDEDTGTVGVVDPSEATPVIDALSRKNWNLTYILNTHHHHDHTGGNQELKARYGAKVIGSGVDKDRIPGIDIVLNDGDKWMFAGHEVQVMDTPGHTRGHISFYFPGSGAIFTGDTLFSLSCGKLFEGTPEQMLSSLKKIVSLPDDTNIYCGHEYTLSNSKFALSIEPNNEALQSYAAHIAHLRSKSLPTIPTKLKVEKACNPFLRTSSTEIRQTLNIPATASDSETLGVIRQAKDNF >Potri.015G072900.5.v4.1 pep chromosome:Pop_tri_v4:15:9862630:9869587:1 gene:Potri.015G072900.v4.1 transcript:Potri.015G072900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072900.v4.1 MHATVQPDGCSPKTMNGPTSTSQVKPSSDSVQNNAASFPSQSKGKKRERGDQGSEPIKRERFSKMDDVDSVHRPESIWKSEISKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSMLAGVIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGSSPKDSDRSVEDFLLVLLHALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDMWKKRVEAEMDANAKFSSNQGVTWSTRSRIPEVSQVGNRPSGVSSEIAMKSSVVQLSASKSGPVKLVQGETVTKSASSPGPIKSTASPGTVGNNLKDGQLRNIGVSGASDLPASAAKDEKSSSSSQSLNNSQSCSSDHAKTSGLPGKEDARSSTAVSMATNKIIGGSLRQRKSVNGFPGPAVSGVQRDSGSSRSSPLHRNPGSEKLQQSSLACDQALDVPTAEGFSHKFIVKIPTKGRSPAQSSSGGTLEDTSVMNSRDSSPVPSERHDQFDHNLKEKINSYRVNIASDVKTESWQSNDFKEVLTGSDEGDGSPATVPDEEHGCMGDDASKLGEVSKATPSSNVYEHKFGKLHDASFSSMNALIESCAKYSDGNASMSVGDDVGMNLLASVAAGEMSKSDMVSPTDSPRRNMPIEHPCAPSGSRAKSSPRDVPAQSQGKPVDDEDEKQGITVGTSLSKNIGAKTVLFSQEKHTGELNGPPNSSHVDGKKIAEPCLESNVKSEEILLAAVSSESMAVKTSNCRGKELWEKEGGGRSNLDGISDEKEKLHGSVLNEINNTGVQDGTDAIDVSSTNHPVETDGENKKKMNKELDVSVGDEPKPPAMLQSDFAKGTNDEVREPSSSGKDVVSENMHDVKAGETDGRSHSTEKNKIEHECNTASATTDYEGECKVESLGGIQVNEQCSARPAAHKAAPTLVQAPELVVSTRSNLAGTGADETEECMSAPAAASSLSATGGSDLEAKVEFDLNEGFISDDGKYGESGDLRTPGCSSAIQLISPFPLPVSSVSSGLPASITVAAAAKGSFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLVTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQETVSVSDLAKNNDCARDALMGSIPVRSSGGLDFDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCRDFDLNDGPLVDEVSAEPSPLGQHTRNIVPSQPLISNLRMNSTEIGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPVVATGGPQRMLASSTGSNPFNTDVYRGAVLSSSPAVPFPSPPFQYPVFPFGTNFPLTSATFSGGSASYVDSPSGGRLCFPTVPSQVLGAVSSHYPRPSYAVNFPDSNNNNNGAVESSRKWGRQGLDLNAGPLGPDMESRDETSALASRQLSVASSQVLTEEQSRMYQVTSGGVLKRKEPEGGWEGYKQSSWQ >Potri.015G072900.1.v4.1 pep chromosome:Pop_tri_v4:15:9862625:9868967:1 gene:Potri.015G072900.v4.1 transcript:Potri.015G072900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072900.v4.1 MLHGREAEEERKKDHIRHMWTAPTRVNNNSVVAAPDFVPSPPSSSSLSSADSFYKDGRKVSVGDSALFKPPQDSPPFIGIIQRLTTDKENKLKLGVNWLYRPADIKLGKGILLEAAPNEVFFSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEVVDQLLSKTRLEMHATVQPDGCSPKTMNGPTSTSQVKPSSDSVQNNAASFPSQSKGKKRERGDQGSEPIKRERFSKMDDVDSVHRPESIWKSEISKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSMLAGVIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGSSPKDSDRSVEDFLLVLLHALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDMWKKRVEAEMDANAKFSSNQGVTWSTRSRIPEVSQVGNRPSGVSSEIAMKSSVVQLSASKSGPVKLVQGETVTKSASSPGPIKSTASPGTVGNNLKDGQLRNIGVSGASDLPASAAKDEKSSSSSQSLNNSQSCSSDHAKTSGLPGKEDARSSTAVSMATNKIIGGSLRQRKSVNGFPGPAVSGVQRDSGSSRSSPLHRNPGSEKLQQSSLACDQALDVPTAEGFSHKFIVKIPTKGRSPAQSSSGGTLEDTSVMNSRDSSPVPSERHDQFDHNLKEKINSYRVNIASDVKTESWQSNDFKEVLTGSDEGDGSPATVPDEEHGCMGDDASKLGEVSKATPSSNVYEHKFGKLHDASFSSMNALIESCAKYSDGNASMSVGDDVGMNLLASVAAGEMSKSDMVSPTDSPRRNMPIEHPCAPSGSRAKSSPRDVPAQSQGKPVDDEDEKQGITVGTSLSKNIGAKTVLFSQEKHTGELNGPPNSSHVDGKKIAEPCLESNVKSEEILLAAVSSESMAVKTSNCRGKELWEKEGGGRSNLDGISDEKEKLHGSVLNEINNTGVQDGTDAIDVSSTNHPVETDGENKKKMNKELDVSVGDEPKPPAMLQSDFAKGTNDEVREPSSSGKDVVSENMHDVKAGETDGRSHSTEKNKIEHECNTASATTDYEGECKVESLGGIQVNEQCSARPAAHKAAPTLVQAPELVVSTRSNLAGTGADETEECMSAPAAASSLSATGGSDLEAKVEFDLNEGFISDDGKYGESGDLRTPGCSSAIQLISPFPLPVSSVSSGLPASITVAAAAKGSFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLVTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQETVSVSDLAKNNDCARDALMGSIPVRSSGGLDFDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCRDFDLNDGPLVDEVSAEPSPLGQHTRNIVPSQPLISNLRMNSTEIGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPVVATGGPQRMLASSTGSNPFNTDVYRGAVLSSSPAVPFPSPPFQYPVFPFGTNFPLTSATFSGGSASYVDSPSGGRLCFPTVPSQVLGAVSSHYPRPSYAVNFPDSNNNNNGAVESSRKWGRQGLDLNAGPLGPDMESRDETSALASRQLSVASSQVLTEEQSRMYQVTSGGVLKRKEPEGGWEGYKQSSWQ >Potri.015G072900.4.v4.1 pep chromosome:Pop_tri_v4:15:9864455:9870435:1 gene:Potri.015G072900.v4.1 transcript:Potri.015G072900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072900.v4.1 MHATVQPDGCSPKTMNGPTSTSQVKPSSDSVQNNAASFPSQSKGKKRERGDQGSEPIKRERFSKMDDVDSVHRPESIWKSEISKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSMLAGVIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGSSPKDSDRSVEDFLLVLLHALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDMWKKRVEAEMDANAKFSSNQGVTWSTRSRIPEVSQVGNRPSGVSSEIAMKSSVVQLSASKSGPVKLVQGETVTKSASSPGPIKSTASPGTVGNNLKDGQLRNIGVSGASDLPASAAKDEKSSSSSQSLNNSQSCSSDHAKTSGLPGKEDARSSTAVSMATNKIIGGSLRQRKSVNGFPGPAVSGVQRDSGSSRSSPLHRNPGSEKLQQSSLACDQALDVPTAEGFSHKFIVKIPTKGRSPAQSSSGGTLEDTSVMNSRDSSPVPSERHDQFDHNLKEKINSYRVNIASDVKTESWQSNDFKEVLTGSDEGDGSPATVPDEEHGCMGDDASKLGEVSKATPSSNVYEHKFGKLHDASFSSMNALIESCAKYSDGNASMSVGDDVGMNLLASVAAGEMSKSDMVSPTDSPRRNMPIEHPCAPSGSRAKSSPRDVPAQSQGKPVDDEDEKQGITVGTSLSKNIGAKTVLFSQEKHTGELNGPPNSSHVDGKKIAEPCLESNVKSEEILLAAVSSESMAVKTSNCRGKELWEKEGGGRSNLDGISDEKEKLHGSVLNEINNTGVQDGTDAIDVSSTNHPVETDGENKKKMNKELDVSVGDEPKPPAMLQSDFAKGTNDEVREPSSSGKDVVSENMHDVKAGETDGRSHSTEKNKIEHECNTASATTDYEGECKVESLGGIQVNEQCSARPAAHKAAPTLVQAPELVVSTRSNLAGTGADETEECMSAPAAASSLSATGGSDLEAKVEFDLNEGFISDDGKYGESGDLRTPGCSSAIQLISPFPLPVSSVSSGLPASITVAAAAKGSFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLVTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQETVSVSDLAKNNDCARDALMGSIPVRSSGGLDFDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCRDFDLNDGPLVDEVSAEPSPLGQHTRNIVPSQPLISNLRMNSTEIGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPVVATGGPQRMLASSTGSNPFNTDVYRGAVLSSSPAVPFPSPPFQYPVFPFGTNFPLTSATFSGGSASYVDSPSGGRLCFPTVPSQVLGAVSSHYPRPSYAVNFPDSNNNNNGAVESSRKWGRQGLDLNAGPLGPDMESRDETSALASRQLSVASSQVLTEEQSRMYQVTSGGVLKRKEPEGGWEGYKQSSWQ >Potri.015G072900.2.v4.1 pep chromosome:Pop_tri_v4:15:9862651:9870112:1 gene:Potri.015G072900.v4.1 transcript:Potri.015G072900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072900.v4.1 MLHGREAEEERKKDHIRHMWTAPTRVNNNSVVAAPDFVPSPPSSSSLSSADSFYKDGRKVSVGDSALFKPPQDSPPFIGIIQRLTTDKENKLKLGVNWLYRPADIKLGKGILLEAAPNEVFFSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEVVDQLLSKTRLEMHATVQPDGCSPKTMNGPTSTSQVKPSSDSVQNNAASFPSQSKGKKRERGDQGSEPIKRERFSKMDDVDSVHRPESIWKSEISKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSMLAGVIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGSSPKDSDRSVEDFLLVLLHALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDMWKKRVEAEMDANAKFSSNQGVTWSTRSRIPEVSQVGNRPSGVSSEIAMKSSVVQLSASKSGPVKLVQGETVTKSASSPGPIKSTASPGTVGNNLKDGQLRNIGVSGASDLPASAAKDEKSSSSSQSLNNSQSCSSDHAKTSGLPGKEDARSSTAVSMATNKIIGGSLRQRKSVNGFPGPAVSGVQRDSGSSRSSPLHRNPGSEKLQQSSLACDQALDVPTAEGFSHKFIVKIPTKGRSPAQSSSGGTLEDTSVMNSRDSSPVPSERHDQFDHNLKEKINSYRVNIASDVKTESWQSNDFKEVLTGSDEGDGSPATVPDEEHGCMGDDASKLGEVSKATPSSNVYEHKFGKLHDASFSSMNALIESCAKYSDGNASMSVGDDVGMNLLASVAAGEMSKSDMVSPTDSPRRNMPIEHPCAPSGSRAKSSPRDVPAQSQGKPVDDEDEKQGITVGTSLSKNIGAKTVLFSQEKHTGELNGPPNSSHVDGKKIAEPCLESNVKSEEILLAAVSSESMAVKTSNCRGKELWEKEGGGRSNLDGISDEKEKLHGSVLNEINNTGVQDGTDAIDVSSTNHPVETDGENKKKMNKELDVSVGDEPKPPAMLQSDFAKGTNDEVREPSSSGKDVVSENMHDVKAGETDGRSHSTEKNKIEHECNTASATTDYEGECKVESLGGIQVNEQCSARPAAHKAAPTLVQAPELVVSTRSNLAGTGADETEECMSAPAAASSLSATGGSDLEAKVEFDLNEGFISDDGKYGESGDLRTPGCSSAIQLISPFPLPVSSVSSGLPASITVAAAAKGSFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLVTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQETVSVSDLAKNNDCARDALMGSIPVRSSGGLDFDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCRDFDLNDGPLVDEVSAEPSPLGQHTRNIVPSQPLISNLRMNSTEIGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPVVATGGPQRMLASSTGSNPFNTDVYRGAVLSSSPAVPFPSPPFQYPVFPFGTNFPLTSATFSGGSASYVDSPSGGRLCFPTVPSQVLGAVSSHYPRPSYAVNFPDSNNNNNGAVESSRKWGRQGLDLNAGPLGPDMESRDETSALASRQLSVASSQVLTEEQSRMYQVTSGGVLKRKEPEGGWEGYKQSSWQ >Potri.015G072900.3.v4.1 pep chromosome:Pop_tri_v4:15:9862583:9870106:1 gene:Potri.015G072900.v4.1 transcript:Potri.015G072900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072900.v4.1 MLHGREAEEERKKDHIRHMWTAPTRVNNNSVVAAPDFVPSPPSSSSLSSADSFYKDGRKVSVGDSALFKPPQDSPPFIGIIQRLTTDKENKLKLGVNWLYRPADIKLGKGILLEAAPNEVFFSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDITNKCLWWLTDQDYINERQEVVDQLLSKTRLEMHATVQPDGCSPKTMNGPTSTSQVKPSSDSVQNNAASFPSQSKGKKRERGDQGSEPIKRERFSKMDDVDSVHRPESIWKSEISKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSMLAGVIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGSSPKDSDRSVEDFLLVLLHALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDMWKKRVEAEMDANAKFSSNQGVTWSTRSRIPEVSQVGNRPSGVSSEIAMKSSVVQLSASKSGPVKLVQGETVTKSASSPGPIKSTASPGTVGNNLKDGQLRNIGVSGASDLPASAAKDEKSSSSSQSLNNSQSCSSDHAKTSGLPGKEDARSSTAVSMATNKIIGGSLRQRKSVNGFPGPAVSGVQRDSGSSRSSPLHRNPGSEKLQQSSLACDQALDVPTAEGFSHKFIVKIPTKGRSPAQSSSGGTLEDTSVMNSRDSSPVPSERHDQFDHNLKEKINSYRVNIASDVKTESWQSNDFKEVLTGSDEGDGSPATVPDEEHGCMGDDASKLGEVSKATPSSNVYEHKFGKLHDASFSSMNALIESCAKYSDGNASMSVGDDVGMNLLASVAAGEMSKSDMVSPTDSPRRNMPIEHPCAPSGSRAKSSPRDVPAQSQGKPVDDEDEKQGITVGTSLSKNIGAKTVLFSQEKHTGELNGPPNSSHVDGKKIAEPCLESNVKSEEILLAAVSSESMAVKTSNCRGKELWEKEGGGRSNLDGISDEKEKLHGSVLNEINNTGVQDGTDAIDVSSTNHPVETDGENKKKMNKELDVSVGDEPKPPAMLQSDFAKGTNDEVREPSSSGKDVVSENMHDVKAGETDGRSHSTEKNKIEHECNTASATTDYEGECKVESLGGIQVNEQCSARPAAHKAAPTLVQAPELVVSTRSNLAGTGADETEECMSAPAAASSLSATGGSDLEAKVEFDLNEGFISDDGKYGESGDLRTPGCSSAIQLISPFPLPVSSVSSGLPASITVAAAAKGSFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLVTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQETVSVSDLAKNNDCARDALMGSIPVRSSGGLDFDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCRDFDLNDGPLVDEVSAEPSPLGQHTRNIVPSQPLISNLRMNSTEIGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPVVATGGPQRMLASSTGSNPFNTDVYRGAVLSSSPAVPFPSPPFQYPVFPFGTNFPLTSATFSGGSASYVDSPSGGRLCFPTVPSQVLGAVSSHYPRPSYAVNFPDSNNNNNGAVESSRKWGRQGLDLNAGPLGPDMESRDETSALASRQLSVASSQVLTEEQSRMYQVTSGGVLKRKEPEGGWEGYKQSSWQ >Potri.015G072900.6.v4.1 pep chromosome:Pop_tri_v4:15:9864711:9869085:1 gene:Potri.015G072900.v4.1 transcript:Potri.015G072900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072900.v4.1 MLPERNERKVDLVGRSMLAGVIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGSSPKDSDRSVEDFLLVLLHALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDMWKKRVEAEMDANAKFSSNQGVTWSTRSRIPEVSQVGNRPSGVSSEIAMKSSVVQLSASKSGPVKLVQGETVTKSASSPGPIKSTASPGTVGNNLKDGQLRNIGVSGASDLPASAAKDEKSSSSSQSLNNSQSCSSDHAKTSGLPGKEDARSSTAVSMATNKIIGGSLRQRKSVNGFPGPAVSGVQRDSGSSRSSPLHRNPGSEKLQQSSLACDQALDVPTAEGFSHKFIVKIPTKGRSPAQSSSGGTLEDTSVMNSRDSSPVPSERHDQFDHNLKEKINSYRVNIASDVKTESWQSNDFKEVLTGSDEGDGSPATVPDEEHGCMGDDASKLGEVSKATPSSNVYEHKFGKLHDASFSSMNALIESCAKYSDGNASMSVGDDVGMNLLASVAAGEMSKSDMVSPTDSPRRNMPIEHPCAPSGSRAKSSPRDVPAQSQGKPVDDEDEKQGITVGTSLSKNIGAKTVLFSQEKHTGELNGPPNSSHVDGKKIAEPCLESNVKSEEILLAAVSSESMAVKTSNCRGKELWEKEGGGRSNLDGISDEKEKLHGSVLNEINNTGVQDGTDAIDVSSTNHPVETDGENKKKMNKELDVSVGDEPKPPAMLQSDFAKGTNDEVREPSSSGKDVVSENMHDVKAGETDGRSHSTEKNKIEHECNTASATTDYEGECKVESLGGIQVNEQCSARPAAHKAAPTLVQAPELVVSTRSNLAGTGADETEECMSAPAAASSLSATGGSDLEAKVEFDLNEGFISDDGKYGESGDLRTPGCSSAIQLISPFPLPVSSVSSGLPASITVAAAAKGSFVPPEDLLKSRRELGWKGSAATSAFRPAEPRKALEIPLVTANISLPDAMVSKPGRPLLDIDLNVPDERILEDLASRSSAQETVSVSDLAKNNDCARDALMGSIPVRSSGGLDFDLNRADEASDIGNHLTSIGRRLDAPLHPAKSSGGFLNGKVGGCRDFDLNDGPLVDEVSAEPSPLGQHTRNIVPSQPLISNLRMNSTEIGNFPSWFPQGNPYPAVTIQSILHDRGEQPFPVVATGGPQRMLASSTGSNPFNTDVYRGAVLSSSPAVPFPSPPFQYPVFPFGTNFPLTSATFSGGSASYVDSPSGGRLCFPTVPSQVLGAVSSHYPRPSYAVNFPDSNNNNNGAVESSRKWGRQGLDLNAGPLGPDMESRDETSALASRQLSVASSQVLTEEQSRMYQVTSGGVLKRKEPEGGWEGYKQSSWQ >Potri.001G321900.2.v4.1 pep chromosome:Pop_tri_v4:1:33146845:33149270:-1 gene:Potri.001G321900.v4.1 transcript:Potri.001G321900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321900.v4.1 MGKHTSGNKMTTKMCGVSLFFFSLLVLSDAITLRKIGLNYGRFGNNLPSAYESIEILRSMKAGSVKLYDANPEILRLLARTNIHVSIMVRNDEIINIAANQTTANKWVEDNVLRYYPDTIIRTILVGNEVLSYSSDAGKQIWNHLVPAMRRIKISLRAQDIRNIKVGTPLAMDVLQTAFPPSNGTFRSDISTSVMVPLLNFLNSTKSFFFMDAYPYFPWSANPINISLNFALFQSNIKYTDPGTGLVYTNLLDQMLDSLVFAMTKLGYPDVRLSIAETGWPNAGDIDEAGANIKNAATYNRNLVRKMTASNPTGTPARPGSLIPTFIFALYDENRKTGPGTERHWGLLHPNGTSIYQIDLTGKRASSDYETLPPAQNNVPYKGKLWCIAAPEVNLTELESALTFACNQGNGTCDSLTPGKECYEPLSVTWHASYAFSSYWAKFRSQGANCYFNGLAQQTTSNPSRGSCQFPSVTI >Potri.001G073000.8.v4.1 pep chromosome:Pop_tri_v4:1:5470282:5475348:1 gene:Potri.001G073000.v4.1 transcript:Potri.001G073000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073000.v4.1 MISLFSATNPLLTWSPSSTPTRISYSQTLRRCCTSRTTNALSFPIPFSLTCSRLTQKPNAHTTTNLQVQGSSSDSNKEEQEEEYKVLTAVKSQYNDILIVDTPKTRMLLLDSTHNVHSLLYKDGQKWTRSYWDEFASLPAIIPQGPVAIFGLGGGTAAHLMLDVWPSLQLEGWEIDEILINKARDYFGLSDLEKQTQAGGMLHVVVGDALCSLEDDGRKYAGIVIDLFYGGKVLPQLQEVATWLELKGRLIPNGRLMVNCGGIEESDAINERISTKSVDNAWVENPTIKVLCEAFPGQLSWKRVPESEGANYLALTGPLPDLTSWSAMVPDHLSAAVSKWRPCSPLP >Potri.005G056000.1.v4.1 pep chromosome:Pop_tri_v4:5:3521701:3523928:1 gene:Potri.005G056000.v4.1 transcript:Potri.005G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G056000.v4.1 MTMETVAVAPTPTPTPTTHQTMNKDTTTTLAQQKNNRIQVSNTKKPLFFYVNLAKRYMQQYNEVELSALGMAITTVVTIAEILKNNGLATEKKVLTSTVCMKDENKGRQVQKAKIEIVLGKSEKFDSLMNAANAAPEEEAAKEKDDEK >Potri.012G096300.1.v4.1 pep chromosome:Pop_tri_v4:12:12031905:12046001:-1 gene:Potri.012G096300.v4.1 transcript:Potri.012G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096300.v4.1 MVSTRRSGSLSANNNSKRSSSSDDNNNNNSKRSSSSEDNNKPPSPKRQKGENGGITEKPMPTTDNSKESSPPEEEDPDDGGPGDAPTTGGGGRGALISGKGQETATPAVAVVTPIAEGSTPVVLEKPRSSLSTWSLYQKQNSSFETPWCKLLTQSAQNQNIVICTSSYSIGTTKQCDFILKDHTMGAIQCKIRHTQREGSAVAELESSGTKGSVQVNGTAVKKGAICVLNSGDEVVFGAAGNHAYIFQQLLTEVAVKSAEVHSSLGKLLQLERRSGDPSAVAGASILASLSSLRPDLSRWKSPGQTASKIHHGTEVPAQSVVHGGAEVELDGMEGNSTPNLGSDKAAEVGAINQNLPHDCSQDSGTEAGNVLEERNEWPKDSQLASTSGMSLRCAVFKDDLHAGILNGKNIEVSFDNFPYYLSENTKNVLIAASFIHLMHKKYAKYTSELTTVNPRILLSGPAGSEIYQEMLAKALANYFGAKLLVFDSHSFLGGLSSKEAELLKDGTNAEKSCTCSKQVPVTTDPSKSVNISAGETDTPNSSNAPASQELFEMEDTLPSSSGPGAPRNRLFKIGDRVKFTSSSSSVLYQTASASRGPPYGIRGKVVLPFEDNPLSKIGVRFDKPIPDGVDLGDVCEKGHGYFCNVTDLRLENTAVEDLDKLLINTLFEAVHSESRNSPFILYMKDAEKSIVGNSDSYSTFKSRLEKLPDNVVVIGSHTQNDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLGDRGKEVPKATKLLTKLFPNKVAIHMPQDEALLASWKHQLDQDAETLKMKGNLNNLRTVLGRCGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQNSANADADVKLVLSSESIQYGIGILQAIQNESKSLKKSLKDVMTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDIDFEAIASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKEQAAAVAEGKPAPALSGSADIRPLNMVDFKDAHEQVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Potri.012G096300.5.v4.1 pep chromosome:Pop_tri_v4:12:12032227:12045931:-1 gene:Potri.012G096300.v4.1 transcript:Potri.012G096300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096300.v4.1 MVSTRRSGSLSANNNSKRSSSSDDNNNNNSKRSSSSEDNNKPPSPKRQKGENGGITEKPMPTTDNSKESSPPEEEDPDDGGPGDAPTTGGGGRGALISGKGQETATPAVAVVTPIAEGSTPVVLEKPRSSLSTWSLYQKQNSSFETPWCKLLTQSAQNQNIVICTSSYSIGTTKQCDFILKDHTMGAIQCKIRHTQREGSAVAELESSGTKGSVQVNGTAVKKGAICVLNSGDEVVFGAAGNHAYIFQQLLTEVAVKSAEVHSSLGKLLQLERRSGDPSAVAGASILASLSSLRPDLSRWKSPGQTASKIHHGTEVPAQSVVHGGAEVELDGMEGNSTPNLGSDKAAEVGAINQNLPHDCSQDSGTEAGNVKISGMNDLIRPFFRMLARSSSCKQKLSKSICKQVLEERNEWPKDSQLASTSGMSLRCAVFKDDLHAGILNGKNIEVSFDNFPYYLSENTKNVLIAASFIHLMHKKYAKYTSELTTVNPRILLSGPAGSEIYQEMLAKALANYFGAKLLVFDSHSFLGGLSSKEAELLKDGTNAEKSCTCSKQVPVTTDPSKSVNISAGETDTPNSSNAPASQELFEMEDTLPSSSGPGAPRNRLFKIGDRVKFTSSSSSVLYQTASASRGPPYGIRGKVVLPFEDNPLSKIGVRFDKPIPDGVDLGDVCEKGHGYFCNVTDLRLENTAVEDLDKLLINTLFEAVHSESRNSPFILYMKDAEKSIVGNSDSYSTFKSRLEKLPDNVVVIGSHTQNDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLGDRGKEVPKATKLLTKLFPNKVAIHMPQDEALLASWKHQLDQDAETLKMKGNLNNLRTVLGRCGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQNSANADADVKLVLSSESIQYGIGILQAIQNESKSLKKSLKDVMTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDIDFEAIASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKAAAVAEGKPAPALSGSADIRPLNMVDFKDAHEQVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Potri.012G096300.4.v4.1 pep chromosome:Pop_tri_v4:12:12031906:12045963:-1 gene:Potri.012G096300.v4.1 transcript:Potri.012G096300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096300.v4.1 MVSTRRSGSLSANNNSKRSSSSDDNNNNNSKRSSSSEDNNKPPSPKRQKGENGGITEKPMPTTDNSKESSPPEEEDPDDGGPGDAPTTGGGGRGALISGKGQETATPAVAVVTPIAEGSTPVVLEKPRSSLSTWSLYQKQNSSFETPWCKLLTQSAQNQNIVICTSSYSIGTTKQCDFILKDHTMGAIQCKIRHTQREGSAVAELESSGTKGSVQVNGTAVKKGAICVLNSGDEVVFGAAGNHAYIFQQLLTEVAVKSAEVHSSLGKLLQLERRSGDPSAVAGASILASLSSLRPDLSRWKSPGQTASKIHHGTEVPAQSVVHGGAEVELDGMEGNSTPNLGSDKAAEVGAINQNLPHDCSQDSGTEAGNVKISGMNDLIRPFFRMLARSSSCKQKLSKSICKQVLEERNEWPKDSQLASTSGMSLRCAVFKDDLHAGILNGKNIEVSFDNFPYYLSENTKNVLIAASFIHLMHKKYAKYTSELTTVNPRILLSGPAGSEIYQEMLAKALANYFGAKLLVFDSHSFLGGLSSKEAELLKDGTNAEKSCTCSKQVPVTTDPSKSVNISAGETDTPNSSNAPASQELFEMEDTLPSSSGPGAPRNRLFKIGDRVKFTSSSSSVLYQTASASRGPPYGIRGKVVLPFEDNPLSKIGVRFDKPIPDGVDLGDVCEKGHGYFCNVTDLRLENTAVEDLDKLLINTLFEAVHSESRNSPFILYMKDAEKSIVGNSDSYSTFKSRLEKLPDNVVVIGSHTQNDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLGDRGKEVPKATKLLTKLFPNKVAIHMPQDEALLASWKHQLDQDAETLKMKGNLNNLRTVLGRCGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQNSANADADVKLVLSSESIQYGIGILQAIQNESKSLKKSLKDVMTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDIDFEAIASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKEQAAAVAEGKPAPALSGSADIRPLNMVDFKDAHEQVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Potri.012G096300.3.v4.1 pep chromosome:Pop_tri_v4:12:12031753:12045915:-1 gene:Potri.012G096300.v4.1 transcript:Potri.012G096300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096300.v4.1 MVSTRRSGSLSANNNSKRSSSSDDNNNNNSKRSSSSEDNNKPPSPKRQKGENGGITEKPMPTTDNSKESSPPEEEDPDDGGPGDAPTTGGGGRGALISGKGQETATPAVAVVTPIAEGSTPVVLEKPRSSLSTWSLYQKQNSSFETPWCKLLTQSAQNQNIVICTSSYSIGTTKQCDFILKDHTMGAIQCKIRHTQREGSAVAELESSGTKGSVQVNGTAVKKGAICVLNSGDEVVFGAAGNHAYIFQQLLTEVAVKSAEVHSSLGKLLQLERRSGDPSAVAGASILASLSSLRPDLSRWKSPGQTASKIHHGTEVPAQSVVHGGAEVELDGMEGNSTPNLGSDKAAEVGAINQNLPHDCSQDSGTEAGNVKISGMNDLIRPFFRMLARSSSCKQKLSKSICKQVLEERNEWPKDSQLASTSGMSLRCAVFKDDLHAGILNGKNIEVSFDNFPYYLSENTKNVLIAASFIHLMHKKYAKYTSELTTVNPRILLSGPAGSEIYQEMLAKALANYFGAKLLVFDSHSFLGGLSSKEAELLKDGTNAEKSCTCSKQVPVTTDPSKSVNISAGETDTPNSSNAPASQELFEMEDTLPSSSGPGAPRNRLFKIGDRVKFTSSSSSVLYQTASASRFSTSLDQGPPYGIRGKVVLPFEDNPLSKIGVRFDKPIPDGVDLGDVCEKGHGYFCNVTDLRLENTAVEDLDKLLINTLFEAVHSESRNSPFILYMKDAEKSIVGNSDSYSTFKSRLEKLPDNVVVIGSHTQNDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLGDRGKEVPKATKLLTKLFPNKVAIHMPQDEALLASWKHQLDQDAETLKMKGNLNNLRTVLGRCGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQNSANADADVKLVLSSESIQYGIGILQAIQNESKSLKKSLKDVMTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDIDFEAIASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKEQAAAVAEGKPAPALSGSADIRPLNMVDFKDAHEQVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Potri.012G096300.7.v4.1 pep chromosome:Pop_tri_v4:12:12031913:12044674:-1 gene:Potri.012G096300.v4.1 transcript:Potri.012G096300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096300.v4.1 MEGNSTPNLGSDKAAEVGAINQNLPHDCSQDSGTEAGNVLEERNEWPKDSQLASTSGMSLRCAVFKDDLHAGILNGKNIEVSFDNFPYYLSENTKNVLIAASFIHLMHKKYAKYTSELTTVNPRILLSGPAGSEIYQEMLAKALANYFGAKLLVFDSHSFLGGLSSKEAELLKDGTNAEKSCTCSKQVPVTTDPSKSVNISAGETDTPNSSNAPASQELFEMEDTLPSSSGPGAPRNRLFKIGDRVKFTSSSSSVLYQTASASRGPPYGIRGKVVLPFEDNPLSKIGVRFDKPIPDGVDLGDVCEKGHGYFCNVTDLRLENTAVEDLDKLLINTLFEAVHSESRNSPFILYMKDAEKSIVGNSDSYSTFKSRLEKLPDNVVVIGSHTQNDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLGDRGKEVPKATKLLTKLFPNKVAIHMPQDEALLASWKHQLDQDAETLKMKGNLNNLRTVLGRCGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQNSANADADVKLVLSSESIQYGIGILQAIQNESKSLKKSLKDVMTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDIDFEAIASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKEQAAAVAEGKPAPALSGSADIRPLNMVDFKDAHEQVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Potri.012G096300.6.v4.1 pep chromosome:Pop_tri_v4:12:12032145:12045936:-1 gene:Potri.012G096300.v4.1 transcript:Potri.012G096300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096300.v4.1 MVSTRRSGSLSANNNSKRSSSSDDNNNNNSKRSSSSEDNNKPPSPKRQKGENGGITEKPMPTTDNSKESSPPEEEDPDDGGPGDAPTTGGGGRGALISGKGQETATPAVAVVTPIAEGSTPVVLEKPRSSLSTWSLYQKQNSSFETPWCKLLTQSAQNQNIVICTSSYSIGTTKQCDFILKDHTMGAIQCKIRHTQREGSAVAELESSGTKGSVQVNGTAVKKGAICVLNSGDEVVFGAAGNHAYIFQQLLTEVAVKSAEVHSSLGKLLQLERRSGDPSAVAGASILASLSSLRPDLSRWKSPGQTASKIHHGTEVPAQSVVHGGAEVELDGMEGNSTPNLGSDKAAEVGAINQNLPHDCSQDSGTEAGNVLEERNEWPKDSQLASTSGMSLRCAVFKDDLHAGILNGKNIEVSFDNFPYYLSENTKNVLIAASFIHLMHKKYAKYTSELTTVNPRILLSGPAGSEIYQEMLAKALANYFGAKLLVFDSHSFLGGLSSKEAELLKDGTNAEKSCTCSKQVPVTTDPSKSVNISAGETDTPNSSNAPASQELFEMEDTLPSSSGPGAPRNRLFKIGDRVKFTSSSSSVLYQTASASRGPPYGIRGKVVLPFEDNPLSKIGVRFDKPIPDGVDLGDVCEKGHGYFCNVTDLRLENTAVEDLDKLLINTLFEAVHSESRNSPFILYMKDAEKSIVGNSDSYSTFKSRLEKLPDNVVVIGSHTQNDNRKEKSHPGGLLFTKFGSNQTALLDLAFPDSFGRLGDRGKEVPKATKLLTKLFPNKVAIHMPQDEALLASWKHQLDQDAETLKMKGNLNNLRTVLGRCGMECEGLETLCIKDQTLTNESAEKVVGWALSHHLMQNSANADADVKLVLSSESIQYGIGILQAIQNESKSLKKSLKDVMTENEFEKRLLADVIPPNDIGVTFDDIGALENVKDTLKELVMLPLQRPELFCKGQLTKPCKGILLFGPPGTGKTMLAKAVATEAGANFINISMSSITSKWFGEGEKYVKAVFSLASKISPSVVFVDEVDSMLGRRENPGEHEAMRKMKNEFMVNWDGLRTKDTERVLVLAATNRPFDLDEAVIRRLPRRLMVNLPDAPNRAKILKVILAKEDLSPDIDFEAIASMTDGYSGSDLKNLCVAAAHRPIKEILEKEKKAAAVAEGKPAPALSGSADIRPLNMVDFKDAHEQVCASVSSESVNMTELLQWNELYGEGGSRRKKALSYFM >Potri.016G116300.4.v4.1 pep chromosome:Pop_tri_v4:16:12063462:12069060:1 gene:Potri.016G116300.v4.1 transcript:Potri.016G116300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116300.v4.1 MAQPDQISVSSRDHSAAAASSSSNHTSFPVREEYDHDHDQRLRQLEFRQPEIEQQQQEYIEISNRGNYFGFNDVASVRDDTWSCVVVVLTFWFFVSMTMILGVYGAMSLTLGPKCSVLLQPTPIFVQSIKVEQLYDTNPGLILYGLYTSPPLDVVETWDRTLNFSVSPDSHKDFMYFLNEGSQINISYRVNSPISSVFLIIAQGSESLSQWLENPTRPNTTLSWNVIQGSGFVQQSIFTSASYYVAVGNLNSEEVEVQLTLRVRSFMYNTTEAYYKCTFTDSKCSLSILFPNGNAVVLNSLGPEEGSYSEEWNVKVSYGPRWATYILGIVGMTVIMMAAFNFLNKFQCVHEDGNRLQFGEVEPGRAPLLSRKDDDLASWGSSYDSASNDEEGLEDFLAASSLEGKSRDGENGNNTRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEADGTCPICRRNMRKVRKIFTV >Potri.016G116300.1.v4.1 pep chromosome:Pop_tri_v4:16:12063348:12068873:1 gene:Potri.016G116300.v4.1 transcript:Potri.016G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116300.v4.1 MAQPDQISVSSRDHSAAAASSSSNHTSFPVREEYDHDHDQRLRQLEFRQPEIEQQQQEYIEISNRGNYFGFNDVASVRDDTWSCVVVVLTFWFFVSMTMILGVYGAMSLTLGPKCSVLLQPTPIFVQSIKVEQLYDTNPGLILYGLYTSPPLDVVETWDRTLNFSVSPDSHKDFMYFLNEGSQINISYRVNSPISSVFLIIAQGSESLSQWLENPTRPNTTLSWNVIQGSGFVQQSIFTSASYYVAVGNLNSEEVEVQLTLRVRSFMYNTTEAYYKCTFTDSKCSLSILFPNGNAVVLNSLGPEEGSYSEEWNVKVSYGPRWATYILGIVGMTVIMMAAFNFLNKFQCVHEDGNRLQFGEVEPGRAPLLSRKDDDLASWGSSYDSASNDEEGLEDFLAASSLEGKSRDGENGNNTRRLCAICFDAPRDCFFLPCGHCVACFACGTRIAEADGTCPICRRNMRKVRKIFTV >Potri.009G004000.1.v4.1 pep chromosome:Pop_tri_v4:9:1042766:1044386:1 gene:Potri.009G004000.v4.1 transcript:Potri.009G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004000.v4.1 MGQIQYSDKYFDDTFEYRHVVLPPEVAKLLPKNRLLSENEWRAIGVQQSRGWVHYAIHRPEPHIMLFRRPLNFQQQQENQVLAK >Potri.004G053800.1.v4.1 pep chromosome:Pop_tri_v4:4:4385746:4390646:-1 gene:Potri.004G053800.v4.1 transcript:Potri.004G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053800.v4.1 MRPKIYLFGDSITEVSFGDGGWAASLSNHFSRTVDVVLKGHSGYNTRWALKVAERIFPPVGSGGAPTLAVTVFFGANDACLSDRYAAFQHVPLHEYKQNLHSLISFFKKRWAETVILLVTPPPIDEDARLRHPYMENPSGLPERTNEAAGAYAQTCISVAKECGCPVVDLWTKIQEFPDWKEACLCDGLHLTQTGNRIVFEEVVKILEEQGLSPGKLPAEAPLFADIDPKDPLKAFEGY >Potri.006G121100.1.v4.1 pep chromosome:Pop_tri_v4:6:9582825:9591134:-1 gene:Potri.006G121100.v4.1 transcript:Potri.006G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121100.v4.1 MVKSYLRYEPALSFGVIASVEGNIAYDSSGKHLLTPALEKVGVWHVRQGICTKTLAPSTSSSRSGPSLAVTSIAPSPSSSSLVAVGYADGSIRIWDSEKGTCETTLNGHKGAVTVLRYNKPGALLASGSKDNDVILWDVVGETGLFRLRGHRDQVTDLVFLESTKKLVSSSKDKFLRVWDLETQHCMQIISGHHSEIWAVDADPEERYLVTGSADPEIRFYTIKHDSENTQAISNEKGAVIVNSGDMPTQNKWEVLKLFGEIKRQSKDRVATVRFDKSGSLLACQVAGKTVDIFHVLGDVVASRKAKRRLHRKKEKKSAKGALGTTESKEDTKHASEEDGNTPTVTVSDVFKHLQTVRAGKKICSISFSPITPKNSLATLALSLNNNLLEFYSIESSTTTKTLAIELQGHRSDVRSVTLSSDNTLLMSTSHNAVKIWNPSTGSCLRTIDSDYGLCGLIIPQNKYAFVGTKSGKIEVIDIGSGTCIDTLEAHGGPVRSIAALPNENGFVTGSADHDVKFWEYQIKQKPGQDSKNLVLSNARAMKMNDDVLVVVVSPDAKYIAVALLDCTVKVFFLDSFKFFLSLYGHKLPVLCMDVSSDGDLIVTGSADKNLKIWGLDFGDCHKSLFAHGDSVMAVQFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHADVWGLAISSRGDFLVTGSHDRSLRRWDRTEEPFFIEEEKEKRLEEMFEADIENAFENKHVPREELPEEGAVALAGKKTQETLSATDLILDALDVAEVELKRIAEHQEENTKGNVTEYQPNVIMRGLSPSNYVLHAFTNVHTNDLEQTLLALPFSDGLKLLSYFKDWTSNPDKVELVCRLATVLLQTHYNQLVTTPAARPVLTLLKDILYERVKECKDTLGFNLAAMDHLKQLMASRSDALFRDAKAKLLEIRSQQSKRLEAGTDTREEKRRKKKKKTSSDMHALTGF >Potri.006G121100.2.v4.1 pep chromosome:Pop_tri_v4:6:9582973:9590044:-1 gene:Potri.006G121100.v4.1 transcript:Potri.006G121100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121100.v4.1 MQIISGHHSEIWAVDADPEERYLVTGSADPEIRFYTIKHDSENTQAISNEKGAVIVNSGDMPTQNKWEVLKLFGEIKRQSKDRVATVRFDKSGSLLACQVAGKTVDIFHVLGDVVASRKAKRRLHRKKEKKSAKGALGTTESKEDTKHASEEDGNTPTVTVSDVFKHLQTVRAGKKICSISFSPITPKNSLATLALSLNNNLLEFYSIESSTTTKTLAIELQGHRSDVRSVTLSSDNTLLMSTSHNAVKIWNPSTGSCLRTIDSDYGLCGLIIPQNKYAFVGTKSGKIEVIDIGSGTCIDTLEAHGGPVRSIAALPNENGFVTGSADHDVKFWEYQIKQKPGQDSKNLVLSNARAMKMNDDVLVVVVSPDAKYIAVALLDCTVKVFFLDSFKFFLSLYGHKLPVLCMDVSSDGDLIVTGSADKNLKIWGLDFGDCHKSLFAHGDSVMAVQFVRNTHYMFSVGKDRLVKYWDADKFELLLTLEGHHADVWGLAISSRGDFLVTGSHDRSLRRWDRTEEPFFIEEEKEKRLEEMFEADIENAFENKHVPREELPEEGAVALAGKKTQETLSATDLILDALDVAEVELKRIAEHQEENTKGNVTEYQPNVIMRGLSPSNYVLHAFTNVHTNDLEQTLLALPFSDGLKLLSYFKDWTSNPDKVELVCRLATVLLQTHYNQLVTTPAARPVLTLLKDILYERVKECKDTLGFNLAAMDHLKQLMASRSDALFRDAKAKLLEIRSQQSKRLEAGTDTREEKRRKKKKKTSSDMHALTGF >Potri.014G155800.2.v4.1 pep chromosome:Pop_tri_v4:14:10985143:10989441:-1 gene:Potri.014G155800.v4.1 transcript:Potri.014G155800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155800.v4.1 MAMGTMMNCRMMSISPGMPSSSPPTQSPAPASLPLRTIPGSYGWPLLGPISDRLDYFWFQGPDTFFKKRIDKYKSTVFRTNVPPTFPFFAGVNPNVVAVLDTKSFAYLFDMDIVEKKNILVGEFMPSVKFTGNIRTCAYLDTSEPQHTQLKNFAMGVLKRSSKVWLSELVASLDTMWDTIDTDVSQKGSVSYLLPLQQALFRFLVKSLAGADPSNSPEIAEGGYAMLDKWLALQLLPTIKIGILQPLEEIFLHSFSYPFFLVSGDYNKLYQFIKNEAKELLRYAETEFGLNQEETIHNLLFIIGFNAFGGFSIFLPGLISRIVSDTALQEKLRDEVRQNAGPSLSFESVMKMPLVQSVVYETLRLSPPVPLQFARARKDFQLSSHDSVFDIKKGELLCGYQPLVMRDAEVFDDPESFRADRFMGEEGRELLNYLYWSNGPQTGSPSESNKQCAAKDYVTLTGSMMVAYLLKRYDSITGDSASITAVEKAVK >Potri.008G106600.1.v4.1 pep chromosome:Pop_tri_v4:8:6730739:6732788:1 gene:Potri.008G106600.v4.1 transcript:Potri.008G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106600.v4.1 MDPVEKNGVIDRWVKKHLVLYTGATRHPFILSIRDGTIDFSSFKRWLGQDYIFVREFVPFAASVLLKASKNSDDNSDMEVILSGLASLSDEISWFKQEAAKWDVPLSDVVVHKSNQNYCRFLESLMLPAVEYSVVFTALWAIETVYQESFSHCLEDGSKTPPELLEACKRWGSEGFGEFCRSLKKIVNRCLEKAPDEELKKAEVTFLHVLELEIEFWDMSHGGPK >Potri.001G205400.2.v4.1 pep chromosome:Pop_tri_v4:1:20878717:20901709:1 gene:Potri.001G205400.v4.1 transcript:Potri.001G205400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205400.v4.1 MDSALAISATALPLFTLSKVNRHFITHNARSFLRHSSSQRGTLRLSSICSHNNDALASSPFLCTVVPLLRRRLECVSSSAASFGTSSGGGGGGGGGGEFGSGGGGGGSDGGDAESNSVAGAVGAEEVSALSPDVIILDVGGMTCGGCAASVKRILESQPQVSSASVNLATETAIVWPVSEAKVVPNWQKELGEALAKHLTSCGFMSNLRDAGRQNFFKFFEKKMDEKRDRLKESSHQLAVSCALCAVCLLGHVSHIFAAKPPWIHVFHSVGFHVSLSLFTLLGPGRQLILDGVKSLSKGAPNMNTLVGLGALSSFAVSSLAALIPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKATSDMTGLLSVLPTKARLVVNGDAKDLGSIVEVPCSSLSVGDKIVVLPGDRVPADGTVTAGRSTIDESSFTGEPLPVTKLPGSQVSAGSINLNGTLTIEVKRPGGETAMGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMTISAATFVFWSMFGTRILPAALNQGNPISLALQLSCSVLVVACPCALGLATPTAVLVGTSLGATRGLLLRGGNVLEKFSMVNSVVFDKTGTLTIGRPAVTKVVPLGGMKITDSQLNPDATLSEVELLKLAAGVESNTIHPVGKAIVEAAQAAGCQNVKVTDGTFMEEPGSGVVATIENKVVSIGTLDWIQRHGVDEKPFQEVEDLKNQSVVYVGVDNTLAGLIYFEDQIREDARQVVESLSSQGINVYMLSGDKKSTAEHVASLVGIPKEKVLSGVKPDEKKRFISELQKDQSIVAMVGDGINDAGALAESHVGVAMGGGVGAASEVSSIVLMGNRLSQVLDALELSRLTMKTVKQNLWWAFAYNIVGIPIAAGMLLPVNGTILTPSIAGALMGLSSIGVMTNSLLLRFKFSLKQKKVYGASPNTKIDVDSVLLYQKEKTKQPYSDSRWGEV >Potri.006G048900.1.v4.1 pep chromosome:Pop_tri_v4:6:3376355:3379871:1 gene:Potri.006G048900.v4.1 transcript:Potri.006G048900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048900.v4.1 MRSGLKGIVKKGIGEMGFNAGGGAINWFPGHMAAATRAIRDRLKLADLVIEVRDSRIPLSSTNEDLQPQLAAKRRVIALNKKDLANPNIMHKWIRYFDSCKQDCIPISAHSKSSVQKLLEVVEFKLKEVISREPTLLVMVVGVPNVGKSALINSIHQIALSRFAVQGKKKRATVGPLPGVTQDIAGYKIAHKPSIYVLDTPGVLVPSIPDIETGLKLSLAGSVKDSVVGEERIARYLLAVLNTRGTPLHWKHWNNRKMDGIRYESEEKHKYDPKDLRPNMRKPPSVSDVVYVENLVTEVQCAMYKTLAEFNGNVEDENDLENLIEQQFEVLQKALKIPHKASEARLMVSKKFLTLFRTGKLGCFILDDVPETNTVS >Potri.013G011700.1.v4.1 pep chromosome:Pop_tri_v4:13:756158:757290:-1 gene:Potri.013G011700.v4.1 transcript:Potri.013G011700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011700.v4.1 MMFLVVFLLTLLSHVPALDACPKCGNMLVPYPLSTSDNCGNPRYRIYCNNGALEFLSAQGLYYRILSINPSAYKLVIRPPLIGKDTCYSSDLAVGGLRLDENLPFNISVRNTVMLFNCSDNILLSPLNCSSTSYCRQYEEIEEGSGCKGTLCCHFLKDASMTSHRIRVRVGGCTAYTSVVDIKPVDPVDKWNYGIELQWMPPY >Potri.005G064300.1.v4.1 pep chromosome:Pop_tri_v4:5:4153988:4156767:1 gene:Potri.005G064300.v4.1 transcript:Potri.005G064300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064300.v4.1 MPINWLNLEKPNQKFEQKIAMKKQGCEIEAIGISYKISTKKREHPFKIFTKKQEINEEPKQVTDLEEASLGAKHVLKDVFCKAKPWEILAIVGPSGAGKSSLLEILAGKLTPQNGTIFVNQNPIDKARFKKISGYVTQKDTLFPLLTVEETLMFSAKLRLRLPQAQLSSNVKSLMKELGLDHVAMTRVGDDRIRGISGGERRRVSIGVDAIHDPEVLILDEPTSGLDSTSALQIIDMLKVMAETRGRTIILSIHQPGFRIVKLFNSILMMANGSVLHHGTVDQLGVNLRTMGMQLPIHVNVVEFAIESIETIQQQREVLQKEMQPQVLTSSTTKPQQKKIEEVGEGRSGKFTLQQLFQQSKVVDEEIINVEFDFPLGFANSRLQETLILTHRFSKNIFRTKELFACRTIQMLISGLVLGSIFYNLEDDLIGAEERVGLFAFILTFLLSCTTEALPIFLQEREILMKETSCGSYRVSSYAIANGLVYLPFLLILAILFTIPLYWLVGLNPNFIAFMHFLLLIWLILYTANSVVVCFSALVPNFIVGNSVISGVMGSFFLFSGYFTSKHGIPNYWIFMHYISLFKYPFEGFLINEFSNSGKCLEYMFGKCMVNAEDLLREEGYREDEKWRNVVIMVCFILLYRFISYVILRFRCCPGISRFKGTLV >Potri.011G130951.1.v4.1 pep chromosome:Pop_tri_v4:11:16470484:16470789:1 gene:Potri.011G130951.v4.1 transcript:Potri.011G130951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130951.v4.1 MLLSLPVFLTILLVISILWTWTKLIKSNKSSSNPPPGPWKLPFIGNLHQLVHPLPHHRLRDLAKKFGPVMQLQVGEVSTVIISSSEAAKEVMSTLLKDLIS >Potri.012G035700.1.v4.1 pep chromosome:Pop_tri_v4:12:3214888:3216450:1 gene:Potri.012G035700.v4.1 transcript:Potri.012G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035700.v4.1 MEALYFLRFWRPTTNSHKENRPSSGSSDDTTEIPFTDCEFEEGEDSFFELELTVPDFDTSKSSSSSNTTSIKNYHPLDKESNIFDPKQAPPLNLAHKESNSPQHIFHPPTLSTDHLLSKRKILPIEPVSFKPQSPISLLKSAPRFKILMFKKSKSMASQKTEKTGATEYLKANNKKHESNKLFTVKFKLEEVTNISFFTKQNSLRKQISHESDDNDTSKRFSKEMIQKYLKLIKPLYIKVSKKHSDKMKFSSELSVGSPSSSPATVPAKEKQGSFPSGIRVVSRHLGKSKSASATTGVSPPVVSRRDDSLLLQHDGIQSAILHCKKSFNSSRDSSSMSRFVSDPSHEKSMSSPRISSSE >Potri.002G133700.2.v4.1 pep chromosome:Pop_tri_v4:2:10086742:10088864:1 gene:Potri.002G133700.v4.1 transcript:Potri.002G133700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133700.v4.1 MTSDGATSTSAAAAATTRRKPSWRERENNRRRERRRRAIAAKIFTGLRAQGNYNLPKYCDNNEVLKALCAEAGWVVEEDGTTYRKGHRPPPIEIVGSSMRVTPYSSQNPSPLSSSFPSPIPSYQVSPSSSSFPSPTRGDNNVSSNLLPFLQSAIPLSLPPLRISNSAPVTPPLSSPTSRNPKPIPNWDFIAKQSMASFSYPFNAVSAPASPTHRQFHAPATIPECDESDSSTVESGQWISFQKFAPSVAAAMPTSPTYNLVKPVARQILSNNLVKDNGMSMDFEFGSEQVKPWEGERIHEVGLDDLELTLGGGKARS >Potri.013G150900.2.v4.1 pep chromosome:Pop_tri_v4:13:14717459:14721575:1 gene:Potri.013G150900.v4.1 transcript:Potri.013G150900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150900.v4.1 MMWLCVLLLFLSISSSLLPFCASQGIIAPGKYISANQPIISASGTFALGFFSMRNSTPRYYLGIWYNKIQKKTIVWVANRESPTDSLGTFALGVDGNLVVLDATKKTVWSSNVKVADSAINNTTGMLMDNGNLVLRSDEAVLWQSFDHPSDTFLPGMKLGYNRKTNQRRQLTSWTDAEDPQPGMFSFGIGTTGGPQFLIWKDNDPYSRSDVYSNSVSFAKLSKMRPFAYYLTLNLKGDDIYVTYSASENSAILRVTLVPEGRIELFLWQEINNDWISIWQWPSTYCEFYAQCSPFSSCDPKGSQDRCKCLPGFQPKVQQEWDMRNWTGGTCVRQKALRCDKDDGFLKLVNMKLPDHSYILGNMSTNDCESRCLRNCSCTAYAYLNASDGTSGKCLNWYGDLMDLAQDFVGSDLYIRLHDRDQVGNVKSSVKFTRKNKRSIIIAVAAVSIGLLCVLSGYFIWRKSIGKQERLEETCTGMNTSIELGKSETELNIFSFNQIVAVTNDFCEENKLGEGGFGPVYKGNLMNQEVAIKRLSKKSEQGIEEFMNELKLIAKLQHTNLVRLLGCCVEGEEKMLVYEYLPNRSLDKFLFDPYEKANLDWSKRFRIIEGIAQGLLYIHKYSRLKVIHRDLKASNILLDEAMNPKISDFGMARMFGSDQTEADTKRVVGTYGYISPEYALYGKFSEKSDVFSFGVLLLELVTGKRNIEFFGAELPLTLQGWAWELWNDDRGLDLIDPSIRDTSECPERALKCIHVGLLCVQESPVDRPTMPLVVLMLGNDNASLPSPEEPAFSSIKRRKSSNVVSSSSSDHNTLSSYSNNELTITLPEAR >Potri.003G164600.13.v4.1 pep chromosome:Pop_tri_v4:3:17381317:17381914:1 gene:Potri.003G164600.v4.1 transcript:Potri.003G164600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164600.v4.1 MENNDNDNNSERKWEGVRTWLIELGLSRYAPVFEIHEVDDQVLPLLTLEDLKDMGINAVGSRRKLYSAIQKLRKGFP >Potri.003G164600.12.v4.1 pep chromosome:Pop_tri_v4:3:17380804:17381872:1 gene:Potri.003G164600.v4.1 transcript:Potri.003G164600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164600.v4.1 MAAELLPPEGPITTATATTTAPTATEPTTTATTTALAQSENRGPPPPALKRQRRPSVRLGEIGDHHHQTAYESHMRRSTKLLHPQHNTWRIPKESSKSIKARSLTHLVNGNDNEIEEHEVIKNSPNGELNLVEFGHRRKAKRATTKRVRSNWISSNSRIEEGDNNLENSNGEEGFVREFDLDSDSPTKDQSPVHSADNVGLDFWHGNRRTGTGSGRVRVTESRENEGIEMENNDNDNNSERKWEGVRTWLIELGLSRYAPVFEIHEVDDQVLPLLTLEDLKDMGINAVGSRRKLYSAIQKLRKGFP >Potri.008G172250.1.v4.1 pep chromosome:Pop_tri_v4:8:11891211:11891732:-1 gene:Potri.008G172250.v4.1 transcript:Potri.008G172250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172250.v4.1 MKLKCLVCPSWVSAQVPMLCCCPINEETSIPLLLLVLHIPLHSSHPKHPLLSFKSQLSRIHGCCSPQ >Potri.015G058800.1.v4.1 pep chromosome:Pop_tri_v4:15:8176977:8179221:1 gene:Potri.015G058800.v4.1 transcript:Potri.015G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058800.v4.1 MISNSRVMDLQGKQAGGGGSEGDKISKATPSTSSRQWSAFRNPRIVRVSRSFGGKDRHSKVCTVRGLRDRRIRLSVPTAIQLYDLQDRLGLSQPSKVIDWLLDATKNDIDKLPPLQMPPGFGQFHQPMLFSHQSNIASPFFDPNSTFIKDVGFHSLGIKIGTSTAGLDGQVQNSSNTMPKSSYSRDIEASIRAKSKQVDTINEKGKWIKTNEDGEIGSYSTTGQVPAQNFFPLANHSSLPSLLYNPTVPFNSNHWDASNLSLSTQFGGHGFLSQTENSLDTPSSLPLSSGSQLFFCPPPPMPPLFPSYPPCVTTPSENESRDMNHFQLLSSSSSQHILPISLTMSSTTKPFSLNLNPGLLRSQNNNESNPDEDTTES >Potri.002G057400.4.v4.1 pep chromosome:Pop_tri_v4:2:3920412:3923306:1 gene:Potri.002G057400.v4.1 transcript:Potri.002G057400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057400.v4.1 MGKENETSETPGGYKLAGFSSFVRSNPRSDRFKVNRFHHIEFWCTDATNTARRFSWGLGMPIVAKSDLSTGNATHASYLLRSGDLNFLFTAPYSPSIASMDNLSHTATASIPTFNHETSRGFSAKHGLAVRAIAIEVDDAELAFTTSVAHGAKPSASPVLLDNRAVVAEVHLYGDVVLRYVSYGNSESDDSDPGSWFLPKFEAVEAASSFPLDYGIRRLDHAVGNVPELAQAVNYVKEFTGFHEFAEFTAEDVGTSESGLNSVVLANNEETVLFPMNEPVFGTKRKSQIQTYLEHNEGAGLQHLALVSEDIFRTLREMRKRSAVGGFEFMPSPPPTYYKNLKSRAGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTMFIEIIQRVGCMLKDEKGKEYQKGGCGGFGKGNFSELFKSIEEYEKTLGAKIIAETASA >Potri.002G057400.5.v4.1 pep chromosome:Pop_tri_v4:2:3920442:3923386:1 gene:Potri.002G057400.v4.1 transcript:Potri.002G057400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057400.v4.1 MGKENETSETPGGYKLAGFSSFVRSNPRSDRFKVNRFHHIEFWCTDATNTARRFSWGLGMPIVAKSDLSTGNATHASYLLRSGDLNFLFTAPYSPSIASMDNLSHTATASIPTFNHETSRGFSAKHGLAVRAIAIEVDDAELAFTTSVAHGAKPSASPVLLDNRAVVAEVHLYGDVVLRYVSYGNSESDDSDPGSWFLPKFEAVEAASSFPLDYGIRRLDHAVGNVPELAQAVNYVKEFTGFHEFAEFTAEDVGTSESGLNSVVLANNEETVLFPMNEPVFGTKRKSQIQTYLEHNEGAGLQHLALVSEDIFRTLREMRKRSAVGGFEFMPSPPPTYYKNLKSRAGDVLSDEQIKECEELGILVDRDDQGTLLQIFTKPVGDRPTMFIEIIQRVGCMLKDEKGKEYQKGGCGGFGKGNFSELFKSIEEYEKTLGAKIIAETASA >Potri.015G123200.1.v4.1 pep chromosome:Pop_tri_v4:15:13631708:13636476:1 gene:Potri.015G123200.v4.1 transcript:Potri.015G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123200.v4.1 MKFDKGYMSPQFITNQEKSLVEFDKAKVLVTDQKIANVQEIVPVLEKTTQLSVPLLIIAEDISKLVLETLVVNKMKGLLNVAVVKCPGFGDRKKALLQDIALMTGADFLSGDFGLTLGSVTSDQLGIARKVTITSNSTTIVADSATKAEIQARILQITKDLAETDNAALSRKLSERIAKLSGGVAVIKVGAHTETELEDRKLRIEDAKNATFAAMDEGIVPGGGATYVHLSEQISSIKNSMKDEIEKIGADIVAKALLAPAKTIATNAGVDGAVVVENIRSCDWRTGYNAMTGRYEDLLNAGVVDPCRVSRCALHSAVSIAGIVLTTQAVLVEKIKKPKPAVPCVPGITP >Potri.005G025200.2.v4.1 pep chromosome:Pop_tri_v4:5:1596207:1600221:-1 gene:Potri.005G025200.v4.1 transcript:Potri.005G025200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G025200.v4.1 MADIKAYISYAKRLLIADGTHFSAPKPDPERRVASLDIFRGLTVALMILVDDAGGEWPKMGHAPWHGSNLADFVMPFFLFIVGMAIPLTFKGITSRDHAVKKMIVRTLKLLFWGIMLQGGFSHAPDKLSYGVDMKKIRWCGILQRIAFAYLVMALMEIFTKKDQTKDLPPGRLSIFRLYGSQWLVGACILVVYLAVIYGMYVPHWQFTVNDEESSDYGKVFTVECAVRGKLDPACNAIAYIDRKILGINHLYQHPAWKRSEACTEASLYEAPFQTSAPTWCKAPFEPDGILSSISSVLSTITGAHFGHVHVHLKGDTARLKHWTVMGLALLILGLVLHFTHAMPLNKQLYTFSYVCVTSGAAALVFSAIYILVDMWGRKSMFLPFQWIGMNAMLVYVMAAEGIFAGFINGWYYNDPHNTLIYWIQKHMFIGVWNSQSVGILLYVIFAEIPFWGIVAGIFHRLGIYWKL >Potri.014G162501.1.v4.1 pep chromosome:Pop_tri_v4:14:11686977:11687634:1 gene:Potri.014G162501.v4.1 transcript:Potri.014G162501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162501.v4.1 MSLRDTIKYDNKAFSQNKEKTMIFLRHHPNEVLQQYRKRGFNKYSKLISCLFVVEKNNKLLMKNYVSQLISSALFPEVNAIRYNNNYGHVRGYGRGCGRGHGRRWNNFHNNNGYNSNKPFQNKEKQEKRK >Potri.001G097901.1.v4.1 pep chromosome:Pop_tri_v4:1:7753797:7756197:1 gene:Potri.001G097901.v4.1 transcript:Potri.001G097901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097901.v4.1 MKMLGKNFQAILLFSFILYAVPCYSLPLKTQGRWLVDERTGERVKLHCANWPAHVFPMLAEGLDKQPLPFIASEIVKNNYNCIRFTFSIHMFTRYANLTIEESFDRLNLKKAKAGVIKHNPFVLKMTVPQAYEAVVDVLGSYGIMIDADNHISEPIWCCSNDDENGFPNDPHFDPEEWIEGLKLVAQRFKGKSQLISIGLRNEPRGKNQNATLWFDHYIMEAAAQVHQANPDVLVIASGLNFATDLTYFKKHSLKSNFDNKLIFEGHSYSWGGKGNPWVDGSVNKACADKIGSLNNNLAFVTDGENAVPLFFSEFGIDRKQMPAGDDRFLSCFSTWAAEKDLDWGLWALQGSYYLRQNVTNMEEYFGVLEIDWDRVKNPEVERRLGLLKQTLLDPKSTAPLNYIMYHPQSGACVGEGMDGQIRAGNCKGLTRWTHNGHEGPLELKRTGLCLKAIGDGLPPILTPDCSQTTWKPISASKLHLASKDHKGEYLCLHLEPPFAGNIVTKKCICVGGDPTCKDNPTSQWFKLIETNIEN >Potri.006G033500.1.v4.1 pep chromosome:Pop_tri_v4:6:2080809:2083135:-1 gene:Potri.006G033500.v4.1 transcript:Potri.006G033500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033500.v4.1 MEGNGCLGSYFQRCKPYIAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAIILERKVRPKITFPIFMQMFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEIVDIKKVRCQAKVIGTIVTVAGAMFMTLYKGQAINLMWSKHVNTQTSSATATTGSADKDWLMGSILLIIATLAWASFFILQAVTLRRYSAQLSLTTIVCFLGTLQSIAVTFVMEHKPSAWTIGWDMNLLAAAYAGIVSSSIAYYVQGLVMQKRGPVFVTAFSPLMMIIVAIMGSFILAENIYVGGILGAILIVAGLYAVLWGKYKEHKEKEAETIPEPIKENGENGHTAGMIQDIEANNDIERQRNQANNVTLQALAITLPISQAPMIAKEAPRA >Potri.016G135500.2.v4.1 pep chromosome:Pop_tri_v4:16:13977304:13978361:1 gene:Potri.016G135500.v4.1 transcript:Potri.016G135500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G135500.v4.1 MANAKLICALLLCILVTAPMLNIEASIPCHTVKVDLATCLGYFRKGGSVPNSCCKGVQNVNNAARTTKDRRDTCNCLKTTAKQYHIVNFRFAADLPRICRVKIPYRISASIDCSRIK >Potri.017G019300.1.v4.1 pep chromosome:Pop_tri_v4:17:1629723:1632619:1 gene:Potri.017G019300.v4.1 transcript:Potri.017G019300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G019300.v4.1 MVKLSTACASTMNNEFEGASASNGEDYDMTRNFVTRLNSMVDSCTPQQDLYKRCIYKVPNLLRNVKPEAYTPKLISIGPLHHGDAKLEIMKREKLICFRKFKGNRMSDERIMDLVNIIRNNEKNIRQNYSKNFNEIGSWEFIEMILLDAVFIIEFIKEPIDFEDGPYKNLEPWMMSDIEEDLKLLENQLPFFIIEEIYDEVNRARLELPSIPFLHLATLHFGKYPFSQEVNNDPKVWGSRHFTDLLRNLMLNGVDIGKCFTLDPIKLKYSAVMLRKAGVRFRVAEEKCMLNIRFEKGVLEIPRLKVDYSFERFIRNIMALEQCYKPFEAYICNYIKFMDNLISGAEDVDLLIGKGIILHWPGDDAALSNMINKLNENIGDTSTCYNDICRKMNVHYENRWNRMKANLSLVYFPNVWRGTATVAAAILLVLTLIQTITSVKSVF >Potri.019G099300.2.v4.1 pep chromosome:Pop_tri_v4:19:13613429:13614568:-1 gene:Potri.019G099300.v4.1 transcript:Potri.019G099300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099300.v4.1 MKSLYSSLNSLLPNQNFKEAQPLPDQIDRAINYIKSLEEKLEKAREKKESLARSRKRSYTCTFDPISSAASKSPQLKIHEIGSALEIVLTSGLGNQFLFYEIISILHEEGVEVVSANFQALGDSFFHIVHAQMKGSADGFGAARVTERLNRFISGSTSEIELDSELWDFAVHHPKTNWEF >Potri.003G096900.1.v4.1 pep chromosome:Pop_tri_v4:3:12296802:12302800:1 gene:Potri.003G096900.v4.1 transcript:Potri.003G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096900.v4.1 MGCMLSQLAAKFAFFPPSPPTYQIKKRDNGKFSVVSTSPSMPLPLADDSSLDILLIDTKRGNKIVAFYLKNPYARLTVLYSHGNAADLGQLYDLFVQLKVNLRVNIMGYDYSGYGASSGKPSESNTYADIEAVYEFLQTQYGVSQEDLILYGQSVGSGPTLHLAAKLPRLRGVVLHSSILSGLRVLCHVKFTFCFDIYKNVNKIRKVKSPVLVIHGTEDDVVNWLHGDGLWKMSKEPYEPLWIEGGGHCNLELYPDYIRHLSRFIYEMENITAEIHLQKIRQNLRIKPRSENASNKCCGFKLWRPKCSECLRSRCIKCWWRPKCTQSRRPKCSSCVACCWRPRCPKCPIHGCCCRISCAPWRCYLGKHSGINGKQDG >Potri.004G175200.4.v4.1 pep chromosome:Pop_tri_v4:4:19052437:19054796:1 gene:Potri.004G175200.v4.1 transcript:Potri.004G175200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175200.v4.1 MDDGELDFSNQDLLSSPNIGEIPSSCSFDFLDELLKDTHACTHTHTCNPPGPDYSHTHTCYHVHTKILPPAEDKVVSDDTAESTDKKSKKRSSGNREAVRKYRERKKAKAASLEDEVKHLRALNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSANNVNLANPNIPGSLVMNPCNIQCDGQIYCQRPVLDSKGGEGAPLNGQGFSSCEIENLQCMEYQNSGMIGLSGCSLGNEATNGNSSSTNKRKKGIVEQV >Potri.004G175200.6.v4.1 pep chromosome:Pop_tri_v4:4:19052441:19055089:1 gene:Potri.004G175200.v4.1 transcript:Potri.004G175200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175200.v4.1 MDDGELDFSNQDLLSSPNIGEIPSSCSFDFLDELLKDTHACTHTHTCNPPGPDYSHTHTCYHVHTKILPPAEDKVVSDDTAESTDKKSKKRSSGNREAVRKYRERKKAKAASLEDEVKHLRALNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSANNVNLANPNIPGSLVMNPCNIQCDGQIYCQRPVLDSKGGEGAPLNGQGFSSCEIENLQCMEYQNSGMIGLSGCSLGNEATNGNSSSTNKRKGIVEQV >Potri.004G175200.3.v4.1 pep chromosome:Pop_tri_v4:4:19052437:19054781:1 gene:Potri.004G175200.v4.1 transcript:Potri.004G175200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175200.v4.1 MDDGELDFSNQDLLSSPNIGEIPSSCSFDFLDELLKDTHACTHTHTCNPPGPDYSHTHTCYHVHTKILPPAEDKVVSDDTAESTDKKSKKRSSGNREAVRKYRERKKAKAASLEDEVKHLRALNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSANNVNLANPNIPGSLVMNPCNIQCDGQIYCQRPVLDSKGGEGAPLNGQGFSSCEIENLQCMEYQNSGMIGLSGCSLGNEATNGNSSSTNKRKGIVEQV >Potri.002G066100.3.v4.1 pep chromosome:Pop_tri_v4:2:4561848:4568645:1 gene:Potri.002G066100.v4.1 transcript:Potri.002G066100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066100.v4.1 MDRYQRVEKPRNETPINENEIRITTQGRMRNYITYATTLFQEKGSDEISLKAMGRAINKTVMIAELIKRRIAGLHQNTSIGSTDITDTWEPLEEGLLPLETTRHVSVITVTLSKKELDTSSTGYQSPIPADQVKPLAEYDYEGEGGSPRRQGRGRGGRGIARGRGNNSNGVVEHNGDGDRVGGRGYGGRDGGRGYGDRDGGRGYGGRDGGYGYGGRDGGYGYGGRGRGRGRGRGYRGRGRGYGGGYMQQQSGGYNDYGGGAFVGQGSGRGRGRGRGRGRGRGFRLDGPAQPAE >Potri.012G112000.1.v4.1 pep chromosome:Pop_tri_v4:12:13145414:13151584:1 gene:Potri.012G112000.v4.1 transcript:Potri.012G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G112000.v4.1 MEVLLSSSSPLSVHSRLDFYSLRKPKDSSLVFHSNNYNTISSPFSSCFGISISQKHQNRKTLLLKRFNSSKKRRILQVSAVFERFTERAIKAVVFSQREARALGKDMVFTQHLLLGLIIEDRDPNGFLGSGIKIDKAREVVKSIWQRESDSAEASELVSKGERGVSHSDVPFSASTKRVFEAAIEYSRTMGHNFIAPEHIAIGLFTVDDGSAGRVLNRLGVDGDALAAIAITKLQGELVKDGREPSVESKGKHGKSVSKRAAALRSYEKTKEKSALAQFCVDLTARASEGRIDPVIGRHSEIERIVQILCRRTKNNPILLGESGVGKTAIAEGLAIKIAQADIPVFLLEKRVMSLDVGLLIAGAKERGELEARVTSLIREIQKEGDVILFIDEVHTLVGTGTVGRGNKGSGLDIANILKPSLGRGELQCIASTTLDEYRTHFEIDKALARRFQPVLINEPSQEDAIRILLGLRQRYEAHHNCRFTPEAINAAVHLSARYIADRYLPDKAIDLIDEAGSRARIEAYRRKKEQQTFILSKTPDDYWQEIRTVQAMHEVVLASRLANDCSLSSMDGSGEITIESSLPPASNADEPAVVGPDDIAAVASLWSGIPVQQLTADERKFLVGLEEELRKRVIGQDEAVAAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELTKALARNYFGSESAMLRLDMSEYMERHTVSKLIGAPPGYVGYGKGGILTESIRKQPFTVVLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSAAIAKGGRASIGFMIEDNENSSYAAMQSLIMEELKGYFRPELLNRIDEVVVFHPLEKAQMLQILNLMLQEVKERLISLGIGLEVSESIKDLICQQGYDKFYGARPLRRAVTQVIENPLSEAFLAGQFKPGDTAFIDLDASGNPVVSKWSDRSMHLSDTSSAS >Potri.016G092000.2.v4.1 pep chromosome:Pop_tri_v4:16:7560236:7562024:-1 gene:Potri.016G092000.v4.1 transcript:Potri.016G092000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092000.v4.1 MSNGGEENTPGRPKSDDFGRAVSRMAVAQICESAGFDGFKKSALDSLSDVTIQYLCDLGKTARFYANLSGRTQCHFFDIVRSFEDIIIGASHGFLGASSSDNCLVNSGTIKELIDFVGSNDEIPFAQPVPRFPVIRDRKLIPTFEKMSEVPPGKHIPAWLPALPDPHTYLHTPMWNERLVDPRAETIEQARQRRKAERALLSLQKRLLSNGSAGASSSGISNNVKESGVVDSGQFLAMPSESVKKDVSPVVLSDKLKNHISVMQAFAPAIEAAKEGGICDDGDFERKTLPEKRPAVIFKFKTGKKLLGESLDLSLSKKGGRRTGHWLGRDDERDDKKRRAEYILRQSMENPQELTQL >Potri.009G093900.1.v4.1 pep chromosome:Pop_tri_v4:9:8514218:8522210:-1 gene:Potri.009G093900.v4.1 transcript:Potri.009G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093900.v4.1 MEDEEWVLVRRTTEKDWWSSSFMDDDDNGENSSSLKITFNGPAKHWTDAIPIGNGRLGAMIWGGVALETLQLNEDTLWTGTPGNYTNPHAPEALSVVRKLVDNGQYADATTAAEKLSHDPSDVYQLLGDIKLEFDNSHLKYVEKSYHRELDLDTATARVKYSVGDVEYTREYFASNPNQVIATKISGSKSGSVSFTVYLDSKMHHYSYVKGENQIIMEGSCPGKRIPPKLNADDNPKGIQFTAILNLQISNSRGVVHVLDGRKLKVEGSDWAILLLVSSSSFDGPFTKPIDSKKDPTSDSLSALKSINNLSYTDLYAHHLDDYQSLFHRVSLQLSKSSKRVSGNEPLHMKKHMANNNDLFFKRSEDDTVSTAERVKSFKTDEDPSLVELLFQYGRYLLISCSRPGTQVANLQGIWNKDIEPPWDGAQHLNINLQMNYWPALPCNLKECQDPLFEYISSLSINGSKTAKVNYDAKGWVAHQVSDIWAKTSPDRGQAVWALWPMGGAWLCTHLWEHYTYTMDKDFLKNKAYPLLEGCSLFLLDWLIEGRGGYLETNPSTSPEHMFIDPDGKPASVSYSSTMDMSIIKEVFSAIISAAEILGKNEDEIVQKVREAQPRLLPTRIARDGSIMEWAVDFEDPEIHHRHVSHLFGLFPGHTITVEKTPDLCKAADYTLYKRGDEGPGWSTIWKTALWARLHNSEHAYRMVKHLFDLVDPDHESNYEGGLYGNLFTSHPPFQIDANFGFSAAIAEMLVQSTVKDLYLLPALPRYKWANGCVKGLKARGGVTVNVCWKEGDLHEVGLWSKEHHSIKRLHYRGTIVNANLSPGRVYTFNRQLRCIKTYALPSATSY >Potri.017G115900.1.v4.1 pep chromosome:Pop_tri_v4:17:12306282:12309983:1 gene:Potri.017G115900.v4.1 transcript:Potri.017G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115900.v4.1 MMLPGTSSWILWPIFLQIYLLVSFSFSIYGGNETDKLSLLTFKAQITGDPLGKLSSWNESSQFCQWSGVTCGRRHQRVVELDLHSYQLVGSLSPHIGNLSFLRILNLANNSLSLYIPQELGRLFRLEELVLRNNTFDGGIPANISRCANLRILDFSRGNLTGKLPAELGLLSKLQVLTIELNNFVGEIPYSFGNLSAINAIYGSINNLEGSIPNVFGQLKRLKILSLGANNLSGMIPPSIFNLSSLTLLSFPVNQLYGSLPHTLGLTLPNLQVFNIHTNQFGGLIPATFSNASNLLSFQIGSNNFNGKVPPLSSSHDLQVLGVGDNNLGKGENNDLNFVYPLANNMTSLEALDTSDNNFGGVLPEIVSNFSTKLMKMTFARNQIRGSIPTQIGNLINLEALGLETNQLTGMIPSSMGKLQKLSDLFLNGNKISGMIPSSMGNMTSLGRVNMRLNNLEGSIPPSLGNWQKLLSLALSQNNLSGPIPKELVSIPSLSMYLVLSENELTGSLPIEMEKLVNLGYLDVSKNRFSGEIPKSLGSCVSLESLHLEENFLQGPIPITLSSLRAIQELNLSYNNLTGQIPEFLEDFKLLESLNLSFNDFEGEVPVQGAFQNTSAISIFGNKKLCGGIPQLNLTRCPSSEPTNSKSPTKLIWIIGSVCGFLGVILIISFLLFYCFRKKKDKPAASQPSLETSFPRVAYEDLLGATDGFSSANLIGEGSFGSVFKGILGPDKIVVAVKVLNLLRKGASKSFMAECEALKSIRHRNLVKLLTTCSSIDFQGNDFKALVYEFMVNGSLEEWLHPVQTSDEANEPKALDLMHRLNIAIHMASALDYLHHDCQMPIIHCDLKPSNILLDTNMTAHVGDFGLARFHSEASNQTSSVGLKGTIGYAAPEYGIGGKVSTYGDVYSYGILLLEMFTGKRPVDGMFKDGLNLHSYAKMALPDRIVEVVDPLLVREIRSVNSSDEMDLYHIGPHEIFACLMTIIKMGVACSVELPRERMDIGDVVTELNRIKDTLLGTRMRA >Potri.007G013900.1.v4.1 pep chromosome:Pop_tri_v4:7:1040107:1041889:1 gene:Potri.007G013900.v4.1 transcript:Potri.007G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013900.v4.1 MASESNQPGSDSTIPVNGEITPKIALITGITGQDGSYLTEFLLNKGYEVHGLIRRSSNFNTQRINHIYIDPHNAHKARMKLHYADLSDASSLRRWLDTINPDEVYNLAAQSHVAVSFEIPDYTADVVATGALRLLEAVRSHIAATGRSHIKYYQAGSSEMFGSTPPPQSETTPFHPRSPYAASKCAAHWYTVNYREAYGLYACNGILFNHESPRRGENFVTRKITRAVGRIKVGLQNKLFLGNLQASRDWGFAGDYVEAMWMMLQQEKPDDYVVATEESHTVEEFLDVAFGYVGLNWKDHVVIDKRYFRPAEVDNLKGDSSKTRKVLGWKPKVGFEQLVKMMVDEDIDLAKREKVLVDAGYMDAQQQP >Potri.014G189500.1.v4.1 pep chromosome:Pop_tri_v4:14:16320047:16324059:-1 gene:Potri.014G189500.v4.1 transcript:Potri.014G189500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189500.v4.1 MEKGKAWRWVRGLVYIFSVANIWIAASFVVQSVVDAGVSPFLVTYICNSLFVIYIPMVEIGRYLEDSYGSLLFWRNKKRSSLEELRESEQAILLGDGYLGVKADELNPSVIMEDGISSLNEKAVHSNLDSVSNELERTLPVQADEDVNKGVDEKGRWTRARVAKVSLLICPFWFLAQLTFNLSLKYTSVTSNTILSSVSSLFTFVVSLVFLGEKFTWVKLLSVLFCMAGTIIVSLGDSETGLSAVSSKPLLGDILALVSAGLYAVYITLIRLKLPDNDGKSGHASMAQFLGYLGLFNVIIFLPVALVLDLTNLEPLCKLTWKQFGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLSIQVPLAAIVDSFIGNAPRLMDGLGALAVLIGFVGINIPSDAFSRSKGASIELENENVRSTDQDRVSLPQTTVGIS >Potri.014G189500.4.v4.1 pep chromosome:Pop_tri_v4:14:16319977:16324058:-1 gene:Potri.014G189500.v4.1 transcript:Potri.014G189500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189500.v4.1 MEKGKAWRWVRGLVYIFSVANIWIAASFVVQSVVDAGVSPFLVTYICNSLFVIYIPMVEIGRYLEDSYGSLLFWRNKKRSSLEELRESEQAILLGDGYLGVKADELNPSVIMEDGISSLNEKAVHSNLDSVSNELERTLPVQADEDVNKGVDEKGRWTRARVAKVSLLICPFWFLAQLTFNLSLKYTSVTSNTILSSVSSLFTFVVSLVFLGEKFTWVKLLSVLFCMAGTIIVSLGDSETGLSAVSSKPLLGDILALVSAGLYAVYITLIRLKLPDNDGKSGHASMAQFLGYLGLFNVIIFLPVALVLDLTNLEPLCKLTWKQFGLIVGKGLLDNVLSDYLWAKAVLLTTTTVATAGLSIQVPLAAIVDSFIGNAPRLMDGLGALAVLIGFVGINIPSDAFSRSKGASIELENENVRSTDQDRVSLPQTTVGIS >Potri.009G097600.2.v4.1 pep chromosome:Pop_tri_v4:9:8752245:8755848:1 gene:Potri.009G097600.v4.1 transcript:Potri.009G097600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097600.v4.1 MESVSYQRFPKIKIREMKDDYLKFELRETDASMANALRRVMIAEVPTIAIDLVEIEVNSSVLNDEFIAHRLGLIPLTSERAMGMRFSRDCDACDGDGQCEYCSVEFHLRAKCITDQTLDVTSKDLYSSDHAVIPVDFSDPSDANDPFDHTETQRGIIIVKLRRGQELRLRAIARKGIGKDHAKWSPAATVTFMYEPEIHINEDLMETLTLEEKLSWIESSPTKVFDIDKVTQQVVVVDPEAYTYDDEVIKKAEAMGKRGLIDIRAKEDSFIFTVESTGAVKASQLVLNAIEILKQKLDAVRLSDDTVEADDQFGELGVHMRGG >Potri.017G077000.5.v4.1 pep chromosome:Pop_tri_v4:17:8567336:8571074:-1 gene:Potri.017G077000.v4.1 transcript:Potri.017G077000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077000.v4.1 MEEVLTNPKFGFYISRDVFGTEGDFITSPEVSQMFGEMVGVWAMCLWEQMGRPKQVNLVELGPGRGTLMADLLRGASKFKSFTESLHVHLVECSPTLQKLQHHNLKCLDEDDNGDGVEKRTISTLAGTLVSWHALLEQVPSGLPSIIIAHEFYDALPVHQFQRASRGWCEKMVDVSEDSMFRFVLSPQPTPATLYLMKRCKWAAPEEIEKLSHIEVCPKAMDLTHAIADRIGCDGGGALIIDYGLNGVVSDSLQAIRKHKFINILDNPGSADLSAYVDFASIRHSAEEVSADISVHGPITQSQFLGALGINFRVESLLQNCTDEQADSLRTGYWRLVGEGEAPFWEGPDEQVPIGMGTRYLAMAIVNTKQGVPVPFQ >Potri.017G077000.1.v4.1 pep chromosome:Pop_tri_v4:17:8567294:8571070:-1 gene:Potri.017G077000.v4.1 transcript:Potri.017G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077000.v4.1 MLTRHLLKQASTCRHFLLNSKTSSPLLTKSLLFSSSSSSSPESQSPTTTFVDDKVTDQYPSSTSISIDRSDLYNPPDHSHEPTSESELVKHLKGIIKFRGGPISVAEYMEEVLTNPKFGFYISRDVFGTEGDFITSPEVSQMFGEMVGVWAMCLWEQMGRPKQVNLVELGPGRGTLMADLLRGASKFKSFTESLHVHLVECSPTLQKLQHHNLKCLDEDDNGDGVEKRTISTLAGTLVSWHALLEQVPSGLPSIIIAHEFYDALPVHQFQRASRGWCEKMVDVSEDSMFRFVLSPQPTPATLYLMKRCKWAAPEEIEKLSHIEVCPKAMDLTHAIADRIGCDGGGALIIDYGLNGVVSDSLQAIRKHKFINILDNPGSADLSAYVDFASIRHSAEEVSADISVHGPITQSQFLGALGINFRVESLLQNCTDEQADSLRTGYWRLVGEGEAPFWEGPDEQVPIGMGTRYLAMAIVNTKQGVPVPFQ >Potri.005G078200.1.v4.1 pep chromosome:Pop_tri_v4:5:5309592:5310506:-1 gene:Potri.005G078200.v4.1 transcript:Potri.005G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078200.v4.1 MARLLLFALCVLPSLVSAWRMGNPFHVRGRVYCDTCQCGFETKKTTYISGATVRIECKDRTDLQLRYSMEGVTDSTGAYKIKVVGDQADRICHVVLVDSPLADCKTVHPVRNRAEVILTRSNGAISDLHYANSLGFVKDEALPGCAELVKKLLESDE >Potri.007G017600.8.v4.1 pep chromosome:Pop_tri_v4:7:1366906:1374934:-1 gene:Potri.007G017600.v4.1 transcript:Potri.007G017600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G017600.v4.1 MRKRDLAILMLSAFAIFFSLQHEGDFSFREAWFHLTDDYPIKYETDRLPPPIVSDLNGDGKKEILVATHDAKILVLEPHSRRVDEGFSETRLLTELSLLPDKTRVATGRRAVAMATGVIERRYKEGHPLKQVLVVVTSGWSVMCFDHNLKKLWETNVQEDFPHNAHHREIAISISNYTLKHGDMGLVIIGGRMEVQPHNYLDPFEEIGMAEKNAEQHRRSAGEKEPSENSGTVNLRHFALYAFAGRTGTVRWSRKNENIEAESSDAASQLIPQHNYKLDVHALNSRHPGEFECREFRESILGVMPHHWDRREDTVLQLSHFRRHKRKTSKKSNGKNSNYPFHKPEENHPPGKDTTKKISNLIGKAAKYASSTKSKKPSQYIPTITNYTQLWWVPNVVVAHQKEGIEAIHLASGRTLCKLHLQEGGLHADINGDGVLDHVQAVGGNGAEKTVVSGAMEVLQPCWAVATSGVPVREQLFNASICHHSPFNLFQHGDFGRNFGRTDVSSLEVATPILIPRSDGHRHRKGSHGDVVFLTNRGEVTSYSPGLHGHDAVWQWQILTGATWSNLPSPSGMMEGGMVVPTLKAFSLRAHDNQQMILAAGDQEAAVISPGGSVQTSFDLPAPPTHALICEDFTNDGLTDLIVVTSNGVYGFVQTRSPGALFFSTLVGCLLIVMGVIFVTQHINSIKGKPRASSGLR >Potri.006G254000.3.v4.1 pep chromosome:Pop_tri_v4:6:25182180:25185764:1 gene:Potri.006G254000.v4.1 transcript:Potri.006G254000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254000.v4.1 MHGSRGCFGCCAKPPTLSEADATSKGLRGQERSVKNSSISDDFWSTSAGEMENSAVHSQGSLSSISTLNQPLDPCCNAGSTSNPPEFVNRGLLLWNQTRQQWLGNKKTQNRTQVREPTISWSATYESLLGSNRPFSRPVPLAEMVDFLVDVWEQEGLYD >Potri.006G254000.2.v4.1 pep chromosome:Pop_tri_v4:6:25182180:25185762:1 gene:Potri.006G254000.v4.1 transcript:Potri.006G254000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254000.v4.1 MQLCGLLPPWISHLFACMGGCFGCCAKPPTLSEADATSKGLRGQERSVKNSSISDDFWSTSAGEMENSAVHSQGSLSSISTLNQPLDPCCNAGSTSNPPEFVNRGLLLWNQTRQQWLGNKKTQNRTQVREPTISWSATYESLLGSNRPFSRPVPLAEMVDFLVDVWEQEGLYD >Potri.005G236800.1.v4.1 pep chromosome:Pop_tri_v4:5:23458935:23462037:1 gene:Potri.005G236800.v4.1 transcript:Potri.005G236800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236800.v4.1 MEGLSKSDANLIVYVHPSQSKNVDKAILRELSSLLFKYSQAFDGVVLAYSVDPQDKCARILSGVHPYFGVRLRANLLIFSPKPNMLLEGKVVKITRESIHCIVLGFSSAIITDENIRNELKYKAKHGEGVYVSRYHKRHVIKVGAVIRFEVKSLDEEILHISGSLIPANTGSVHWLDKYFVDAAIDSNKETKTEEEMEMQEQITVGGETLSFVNDHEIKKSKKRRRAEDQ >Potri.005G236800.7.v4.1 pep chromosome:Pop_tri_v4:5:23459045:23459891:1 gene:Potri.005G236800.v4.1 transcript:Potri.005G236800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236800.v4.1 MEGLSKSDANLIVYVHPSQSKNVDKAILRELSSLLFKYSQAFDGVVLAYSVDPQDKCARILSGVHPYFGVRLRANLLIFSPKPNMLLEGKVVKITRESIHCIVLGFSSAIITDENIRNELKYKAKHGEGVYVSRYHKRHVIKVGAVIRFEVKR >Potri.015G140200.1.v4.1 pep chromosome:Pop_tri_v4:15:14733912:14736209:1 gene:Potri.015G140200.v4.1 transcript:Potri.015G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140200.v4.1 MQIQVKCSCGAENCREWVVVELQGTVELNPSFFQTHLQNLQIGQLCRLSSSSQESFTFTVGYHELTGSKVTLKKPLLVLKKVKRFMDVDQSDDNNKGDLPSSKVELDVIGIIRHKILFKTRPKALISKLQPLVKERVRAAGHAVPN >Potri.011G164400.5.v4.1 pep chromosome:Pop_tri_v4:11:18921062:18927155:1 gene:Potri.011G164400.v4.1 transcript:Potri.011G164400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164400.v4.1 MGSEEVLENSTLMDVSMSSCNGTMVQHTNGIEESENLGADLLKDFDLCWEDIEDRLTVSRMVSDSVIKGMVSAVEQEAVQKIAQKELELTRLKEELHLYHVGADENESVCSGMCQEQKYRKNGLYSTHSDTFVEQAMLQESLENLKIAVKGKLKKLKKEIHKVKGSCSMRRNSASEIVGLSGILPEKVPDKWSDVDRMLEDLGTTLDSFYKHTDDMVRFSKLSLFEWQQEKEFQAEIEGLVIQNCIRGLQEEFEQSLWDQNTQFFGNVSASWLEKVKELSSLRQELDAIAKSLFVSESGLLISHGSFEHRKSSGHHVSNGNHDESIITMPENLEAAQLKHMNREELFHYLKTEMTKMKRHHESKVQEMTEEIFSLKREYLKERGSSLPVRKDKDLDILRKKIAEVILKLDDILVENEKVPSASNNAESLDNMKDRLESLRLENHELRDLLAQKIREIKLLSSQVSDATEKMSQHSLTEVNLLRIITNLKSLIEDTHAETTISEDLHKILLKEFMGQIKCFTKESDLEYDFMEGIYEIIFREAAQNAKSASKLEIEDSDMESIITQGLLEVGLQEAFKEAEEKLGSLNQKYVDENKVRLTLEMEAMEKEKALRMSIAEKEKLDQDIHLLTATIQEKDKLVRESTDALEKEKENLELASRELGNLRAQTSQQRLLISQNSEESEIIKHDLLEALDKNKLCEEEISKLQEKIQLVTENLREATEEKSMLLAVSQEKQSLVEAREREHREQLDSIVVLVNGLSRAVTDFESRATKEIKRSSLRLENLSSQSGSLIQKAGILTRMGFLHKQKLESRCSDLQKAEAEVDLLGDEVENLLSLLEKIYIALDHYSPILKHYSGITEILKLVRRELNGESMKPV >Potri.005G203300.2.v4.1 pep chromosome:Pop_tri_v4:5:20836791:20842279:-1 gene:Potri.005G203300.v4.1 transcript:Potri.005G203300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203300.v4.1 MSSESLNAELSKKTSVLGLKLWVLIGISVGVFIISILCVLSVWITFQRKSRRSVDKYCHSKIPNVSKDIKIDKVGVQNPIHHHESAFLTINDKSSDKNSDKMLAHLGMSKSSDPDIASQSSSIYHNERACSSHSGEEGSSGTVRKQSSLSYAGLVTASPLIGLPEISHLGWGHWFTLRDLEFATNRFAAENVLGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMQHHGMLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDNEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGMLNEKSDIYSFGVLLLESVTGRDPVDHGRPANEVNLVEWLKMMVGTRRSEEVVDPNLEVKPTTRALKRALLVALRCVDPDAEKRPRMTQVARMLEADEYPSREDRRNRKSRTTSMEIESMKDSTETENKVVDPESCLSETTNG >Potri.005G203300.3.v4.1 pep chromosome:Pop_tri_v4:5:20837773:20842267:-1 gene:Potri.005G203300.v4.1 transcript:Potri.005G203300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203300.v4.1 MSSESLNAELSKKTSVLGLKLWVLIGISVGVFIISILCVLSVWITFQRKSRRSVDKYCHSKIPNVSKDIKIDKVGVQNPIHHHESAFLTINDKSSDKNSDKMLAHLGMSKSSDPDIASQSSSIYHNERACSSHSGEEGSSGTVRKQSSLSYAGLVTASPLIGLPEISHLGWGHWFTLRDLEFATNRFAAENVLGEGGYGVVYKGRLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMQHHGMLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDNEFNAKVSDFGLAKLLDSGESHITTRVMGTFGYVAPEYANTGMLNEKSDIYSFGVLLLESVTGRDPVDHGRPANEVNLVEWLKMMVGTRRSEEVVDPNLEVKPTTRALKRALLVALRCVDPDAEKRPRMTQVARMLEADEYPSREDRRNRKSRTTSMEIESMKDSTETENKVVDPESCLSETTNG >Potri.011G068500.2.v4.1 pep chromosome:Pop_tri_v4:11:5989438:5995076:1 gene:Potri.011G068500.v4.1 transcript:Potri.011G068500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068500.v4.1 MSCVVAFLLLISCLIVCLAIPHTNTTDELALMSLKKHITFDPGNILANNWSTATSFCSWIGVACSAGHQRVTSLNLYSMRLEGTLPPQVGNLSFLVSINLSNNSFHGYLPRELTHLHRLKDMNLAYNNFAGDIPSSWFAMLPQLQHLFLTNNSLAGSIPSSLFNVTALETLNLEGNFIEGNISEEIRNLSNLKILDLGHNHFSGVISPILFNMPSLRLINLRANSLSGILQVVMIMSNIPSTLEVLNLGYNQLHGRIPSNLHKCTELRVLDLESNRFTGSIPKEICTLTKLKELYLGKNNLTGQIPGEIARLVSLEKLGLEVNGLNGNIPREIGNCTYLMEIHVENNNLTGVIPNEMGNLHTLQELDLGFNNITGSIPSTFFNFSILRRVNMAYNYLSGHLPSNTGLGLPNLEELYLEKNELSGPIPDSIGNASKLIVLDLSYNSFSGRIPDLLGNLRNLQKLNLAENILTSKSLRSELSFLSSLSNCRSLAYLRFNGNPLRGRLPVSIGNLSASLEELYAFDCRIIGNIPRGIGNLSNLIGLILQQNELTGAIPSEIGRLKHLQDFSLASNKLQGHIPNEICHLERLSYLYLLENGFSGSLPACLSNITSLRELYLGSNRFTSIPTTFWSLKDLLQINLSFNSLTGTLPLEIGNLKVVTVIDFSSNQLSGDIPTSIADLQNLAHFSLSDNRMQGPIPSSFGDLVSLEFLDLSRNSLSGAIPKSLEKLVHLKTFNVSFNRLQGEILDGGPFANFSFRSFMDNEALCGPIRMQVPPCKSISTHRQSKRPREFVIRYIVPAIAFIILVLALAVIIFRRSHKRKLSTQEDPLPPATWRKISYHELYRATEGFNETNLLGTGSCGSVYKGTLSDGLCIAVKVFHLQLEGELMRFDSECEVLRMLRHRNLVKIISSCCNLDFKALILEFIPHGSLEKWLYSHNYYLDILQRLNIMIDVASALEYLHHGCTRPVVHCDLKPSNVLINEDMVAHVSDFGISRLLGEGDAVTQTLTLATIGYMAPEYGLEGIVSVKGDVYSYGIFLMETFTRKKPTDDMFGGEMSLKNWVKQSLPKAITEVIDANLLIEEEHFVAKKDCITSILNLALECSADLPGERICMRDVLPALEKIKLKYKKDVERYYSSYV >Potri.011G068500.3.v4.1 pep chromosome:Pop_tri_v4:11:5989939:5995493:1 gene:Potri.011G068500.v4.1 transcript:Potri.011G068500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068500.v4.1 MSCVVAFLLLISCLIVCLAIPHTNTTDELALMSLKKHITFDPGNILANNWSTATSFCSWIGVACSAGHQRVTSLNLYSMRLEGTLPPQVGNLSFLVSINLSNNSFHGYLPRELTHLHRLKDMNLAYNNFAGDIPSSWFAMLPQLQHLFLTNNSLAGSIPSSLFNVTALETLNLEGNFIEGNISEEIRNLSNLKILDLGHNHFSGVISPILFNMPSLRLINLRANSLSGILQVVMIMSNIPSTLEVLNLGYNQLHGRIPSNLHKCTELRVLDLESNRFTGSIPKEICTLTKLKELYLGKNNLTGQIPGEIARLVSLEKLGLEVNGLNGNIPREIGNCTYLMEIHVENNNLTGVIPNEMGNLHTLQELDLGFNNITGSIPSTFFNFSILRRVNMAYNYLSGHLPSNTGLGLPNLEELYLEKNELSGPIPDSIGNASKLIVLDLSYNSFSGRIPDLLGNLRNLQKLNLAENILTSKSLRSELSFLSSLSNCRSLAYLRFNGNPLRGRLPVSIGNLSASLEELYAFDCRIIGNIPRGIGNLSNLIGLILQQNELTGAIPSEIGRLKHLQDFSLASNKLQGHIPNEICHLERLSYLYLLENGFSGSLPACLSNITSLRELYLGSNRFTSIPTTFWSLKDLLQINLSFNSLTGTLPLEIGNLKVVTVIDFSSNQLSGDIPTSIADLQNLAHFSLSDNRMQGPIPSSFGDLVSLEFLDLSRNSLSGAIPKSLEKLVHLKTFNVSFNRLQGEILDGGPFANFSFRSFMDNEALCGPIRMQVPPCKSISTHRQSKRPREFVIRYIVPAIAFIILVLALAVIIFRRSHKRKLSTQEDPLPPATWRKISYHELYRATEGFNETNLLGTGSCGSVYKGTLSDGLCIAVKVFHLQLEGELMRFDSECEVLRMLRHRNLVKIISSCCNLDFKALILEFIPHGSLEKWLYSHNYYLDILQRLNIMIDVASALEYLHHGCTRPVVHCDLKPSNVLINEDMVAHVSDFGISRLLGEGDAVTQTLTLATIGYMAPEYGLEGIVSVKGDVYSYGIFLMETFTRKKPTDDMFGGEMSLKNWVKQSLPKAITEVIDANLLIEEEHFVAKKDCITSILNLALECSADLPGERICMRDVLPALEKIKLKYKKDVER >Potri.011G068500.4.v4.1 pep chromosome:Pop_tri_v4:11:5989438:5995076:1 gene:Potri.011G068500.v4.1 transcript:Potri.011G068500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068500.v4.1 MPSLRLINLRANSLSGILQVVMIMSNIPSTLEVLNLGYNQLHGRIPSNLHKCTELRVLDLESNRFTGSIPKEICTLTKLKELYLGKNNLTGQIPGEIARLVSLEKLGLEVNGLNGNIPREIGNCTYLMEIHVENNNLTGVIPNEMGNLHTLQELDLGFNNITGSIPSTFFNFSILRRVNMAYNYLSGHLPSNTGLGLPNLEELYLEKNELSGPIPDSIGNASKLIVLDLSYNSFSGRIPDLLGNLRNLQKLNLAENILTSKSLRSELSFLSSLSNCRSLAYLRFNGNPLRGRLPVSIGNLSASLEELYAFDCRIIGNIPRGIGNLSNLIGLILQQNELTGAIPSEIGRLKHLQDFSLASNKLQGHIPNEICHLERLSYLYLLENGFSGSLPACLSNITSLRELYLGSNRFTSIPTTFWSLKDLLQINLSFNSLTGTLPLEIGNLKVVTVIDFSSNQLSGDIPTSIADLQNLAHFSLSDNRMQGPIPSSFGDLVSLEFLDLSRNSLSGAIPKSLEKLVHLKTFNVSFNRLQGEILDGGPFANFSFRSFMDNEALCGPIRMQVPPCKSISTHRQSKRPREFVIRYIVPAIAFIILVLALAVIIFRRSHKRKLSTQEDPLPPATWRKISYHELYRATEGFNETNLLGTGSCGSVYKGTLSDGLCIAVKVFHLQLEGELMRFDSECEVLRMLRHRNLVKIISSCCNLDFKALILEFIPHGSLEKWLYSHNYYLDILQRLNIMIDVASALEYLHHGCTRPVVHCDLKPSNVLINEDMVAHVSDFGISRLLGEGDAVTQTLTLATIGYMAPEYGLEGIVSVKGDVYSYGIFLMETFTRKKPTDDMFGGEMSLKNWVKQSLPKAITEVIDANLLIEEEHFVAKKDCITSILNLALECSADLPGERICMRDVLPALEKIKLKYKKDVERYYSSYV >Potri.011G068500.5.v4.1 pep chromosome:Pop_tri_v4:11:5989545:5994345:1 gene:Potri.011G068500.v4.1 transcript:Potri.011G068500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068500.v4.1 MPSLRLINLRANSLSGILQVVMIMSNIPSTLEVLNLGYNQLHGRIPSNLHKCTELRVLDLESNRFTGSIPKEICTLTKLKELYLGKNNLTGQIPGEIARLVSLEKLGLEVNGLNGNIPREIGNCTYLMEIHVENNNLTGVIPNEMGNLHTLQELDLGFNNITGSIPSTFFNFSILRRVNMAYNYLSGHLPSNTGLGLPNLEELYLEKNELSGPIPDSIGNASKLIVLDLSYNSFSGRIPDLLGNLRNLQKLNLAENILTSKSLRSELSFLSSLSNCRSLAYLRFNGNPLRGRLPVSIGNLSASLEELYAFDCRIIGNIPRGIGNLSNLIGLILQQNELTGAIPSEIGRLKHLQDFSLASNKLQGHIPNEICHLERLSYLYLLENGFSGSLPACLSNITSLRELYLGSNRFTSIPTTFWSLKDLLQINLSFNSLTGTLPLEIGNLKVVTVIDFSSNQLSGDIPTSIADLQNLAHFSLSDNRMQGPIPSSFGDLVSLEFLDLSRNSLSGAIPKSLEKLVHLKTFNVSFNRLQGEILDGGPFANFSFRSFMDNEALCGPIRMQVPPCKSISTHRQSKRPREFVIRYIVPAIAFIILVLALAVIIFRRSHKRKLSTQEDPLPPATWRKISYHELYRATEGFNETNLLGTGSCGSVYKGTLSDGLCIAVKVFHLQLEGELMRFDSECEVLRMLRHRNLVKIISSCCNLDFKALILEFIPHGSLEKWLYSHNYYLDILQRLNIMIDVASALEYLHHGCTRPVVHCDLKPSNVLINEDMVAHVSDFGISRLLGEGDAVTQTLTLATIGYMAPEYGLEGIVSVKGDVYSYGIFLMETFTRKKPTDDMFGGEMSLKNWVKQSLPKAITEVIDANLLIEEEHFVAKKDCITSILNLALECSADLPGERICMRDVLPALEKIKLKYKKDVERYYSSYV >Potri.011G068500.1.v4.1 pep chromosome:Pop_tri_v4:11:5989545:5994345:1 gene:Potri.011G068500.v4.1 transcript:Potri.011G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068500.v4.1 MSCVVAFLLLISCLIVCLAIPHTNTTDELALMSLKKHITFDPGNILANNWSTATSFCSWIGVACSAGHQRVTSLNLYSMRLEGTLPPQVGNLSFLVSINLSNNSFHGYLPRELTHLHRLKDMNLAYNNFAGDIPSSWFAMLPQLQHLFLTNNSLAGSIPSSLFNVTALETLNLEGNFIEGNISEEIRNLSNLKILDLGHNHFSGVISPILFNMPSLRLINLRANSLSGILQVVMIMSNIPSTLEVLNLGYNQLHGRIPSNLHKCTELRVLDLESNRFTGSIPKEICTLTKLKELYLGKNNLTGQIPGEIARLVSLEKLGLEVNGLNGNIPREIGNCTYLMEIHVENNNLTGVIPNEMGNLHTLQELDLGFNNITGSIPSTFFNFSILRRVNMAYNYLSGHLPSNTGLGLPNLEELYLEKNELSGPIPDSIGNASKLIVLDLSYNSFSGRIPDLLGNLRNLQKLNLAENILTSKSLRSELSFLSSLSNCRSLAYLRFNGNPLRGRLPVSIGNLSASLEELYAFDCRIIGNIPRGIGNLSNLIGLILQQNELTGAIPSEIGRLKHLQDFSLASNKLQGHIPNEICHLERLSYLYLLENGFSGSLPACLSNITSLRELYLGSNRFTSIPTTFWSLKDLLQINLSFNSLTGTLPLEIGNLKVVTVIDFSSNQLSGDIPTSIADLQNLAHFSLSDNRMQGPIPSSFGDLVSLEFLDLSRNSLSGAIPKSLEKLVHLKTFNVSFNRLQGEILDGGPFANFSFRSFMDNEALCGPIRMQVPPCKSISTHRQSKRPREFVIRYIVPAIAFIILVLALAVIIFRRSHKRKLSTQEDPLPPATWRKISYHELYRATEGFNETNLLGTGSCGSVYKGTLSDGLCIAVKVFHLQLEGELMRFDSECEVLRMLRHRNLVKIISSCCNLDFKALILEFIPHGSLEKWLYSHNYYLDILQRLNIMIDVASALEYLHHGCTRPVVHCDLKPSNVLINEDMVAHVSDFGISRLLGEGDAVTQTLTLATIGYMAPEYGLEGIVSVKGDVYSYGIFLMETFTRKKPTDDMFGGEMSLKNWVKQSLPKAITEVIDANLLIEEEHFVAKKDCITSILNLALECSADLPGERICMRDVLPALEKIKLKYKKDVERYYSSYV >Potri.010G008053.1.v4.1 pep chromosome:Pop_tri_v4:10:1695299:1695802:-1 gene:Potri.010G008053.v4.1 transcript:Potri.010G008053.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G008053.v4.1 MTRQADRLAKIGLEGFAAIDEHFGRAKRRPPVLKVPNAHPTYYYANQIPATEVIDSIEAAQRYKGRVYLDYPKGKPVPF >Potri.018G145554.1.v4.1 pep chromosome:Pop_tri_v4:18:15371363:15373502:1 gene:Potri.018G145554.v4.1 transcript:Potri.018G145554.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145554.v4.1 MNPEPLIKMLRQNDPVSHHVDRSFGEYVEKMDDGRMRCKFCRHLFGNGTSISRIKLHLAGVTGRGVKICGQVPQDVQDAALPAIDGRPGRKRKTVAGSRNNEVQRRNWIKIISGWTLLMTNLILEFASAVFDQLGYAPIGMVLAFVALLLATAELIYMARKEIMDLLPCFHRPSTSTSAP >Potri.T011100.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:107830:111058:1 gene:Potri.T011100.v4.1 transcript:Potri.T011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011100.v4.1 MAEVAQNVSQEQVVVVTDVPQAEKTTPVPPTMPVVGKELPLPVPETEEVPMKPKQVEEAVVETEDLKASGGDDEKMPQLVSFKEESTKVADLLESEKKALQEFKKLVQEALNKHEFSALTTTPAPAKEEKKKDVVVASEEEKKPAQEEETPAVIEEKENVEPQVVAEKEEKKEVVESEVLDDQEKVAPVPASDTTAVSTVDDDGAKTVEAIEETIVAVSSSVASQEGTSAQATKEPEGETKAASALDEGAKEVKSETVVEVTPEEVSIWGITLLADDRSDVILLKFLRARDFKVKDAFTMLKNTIRWRKELGIDELLEQDLGCDDLGKVVFMHGLDKEGHPVCYNVYGEFQNKELYKNSFSDEEKRQRFLRWRIQFLERSIRKLDFSPGGVSTIVQVNDLKNSPGPAKRELRQATRQALQLLQDNYPEFVAKQIFINVPWWYLTVNRMISPFLTQRTRSKFVFAGPSKSAETLTRYITAEQIPVKYGGLSKDGEFCTADAVTEITVKASAKHTVEFPVTETCLLTWEMRVVGWDVSYGAEFVPSADDSYTVIIQKARKVATTEEPVVSNSFKVGEPGKVVLTIDNTTSKKKKKLLYRLKTKPCSD >Potri.008G140500.1.v4.1 pep chromosome:Pop_tri_v4:8:9460359:9464107:-1 gene:Potri.008G140500.v4.1 transcript:Potri.008G140500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140500.v4.1 MESNPVQLFHHLALALLLFVFSVSVSVTEQGPVPSIRTDAAALLSFKKIIQNDPNRVLSGWQINRSPCNWYGVSCTLGRVTHLDLSGSSLAGTISFDPLSSLDMLSALNLSSNPFTVNSTSLLHLPYALQQLQLSSTGLEGPVPEKFFSKNPNLVYVNLSHNNLSSLPDDLLLNSDKVQALDLSYNNFTGSISGSRVENSCNSLSQLDLSGNFLMDSIPPSLSNCTNLKTLNLSFNMITGEIPRSLGELGSLQRLDLSHNHISGWIPSELGNACNSLLELKLSYNNISGPIPVSFSPCSWLQTLDLSNNNISGPFPDSILQNLGSLERLLISYNLISGLFPASVSSCKSLKVLDLSSNRFSGTIPPDICPGAASLEELRLPDNLIEGEIPAQLSQCSKLKTLDLSINFLNGSIPAELGNLENLEQLIAWYNGLEGKIPPELGKCKNLKDLILNNNNLSGIIPVELFSCSNLEWISLTSNQFTGKIPREFGLLSRLAVLQLANNSLSGEIPTELGNCSSLVWLDLNSNKLTGEIPPRLGRQLGAKALSGILSGNTLVFVRNVGNSCKGVGGLLEFAGIKAERLLQVPTLKTCDFTRLYSGAVLSLFTQYQTLEYLDLSYNELRGKIPDEIGEMMALQVLELAHNQLSGEIPASLGQLKNLGVFDASHNRLQGQIPDSFSNLSFLVQIDLSNNELTGEIPQRGQLSTLPATQYANNPGLCGVPLNPCGSGNSHAASNPAPDGGRGGRKSSATSWANSIVLGILISIASLCILVVWAVAMRVRHKEAEEVKMLNSLQASHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEFMEFGSLEEMLHGRGRARDRPILTWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVREGKQMEVIDPEFLSVTKGTDEAEAEEVKEMVRYLEISLQCVDDFPSKRPSMLQVVAMLRELMPGSANGSSNSG >Potri.008G140500.2.v4.1 pep chromosome:Pop_tri_v4:8:9460357:9463266:-1 gene:Potri.008G140500.v4.1 transcript:Potri.008G140500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140500.v4.1 MITGEIPRSLGELGSLQRLDLSHNHISGWIPSELGNACNSLLELKLSYNNISGPIPVSFSPCSWLQTLDLSNNNISGPFPDSILQNLGSLERLLISYNLISGLFPASVSSCKSLKVLDLSSNRFSGTIPPDICPGAASLEELRLPDNLIEGEIPAQLSQCSKLKTLDLSINFLNGSIPAELGNLENLEQLIAWYNGLEGKIPPELGKCKNLKDLILNNNNLSGIIPVELFSCSNLEWISLTSNQFTGKIPREFGLLSRLAVLQLANNSLSGEIPTELGNCSSLVWLDLNSNKLTGEIPPRLGRQLGAKALSGILSGNTLVFVRNVGNSCKGVGGLLEFAGIKAERLLQVPTLKTCDFTRLYSGAVLSLFTQYQTLEYLDLSYNELRGKIPDEIGEMMALQVLELAHNQLSGEIPASLGQLKNLGVFDASHNRLQGQIPDSFSNLSFLVQIDLSNNELTGEIPQRGQLSTLPATQYANNPGLCGVPLNPCGSGNSHAASNPAPDGGRGGRKSSATSWANSIVLGILISIASLCILVVWAVAMRVRHKEAEEVKMLNSLQASHAATTWKIDKEKEPLSINVATFQRQLRKLKFSQLIEATNGFSAASLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEFMEFGSLEEMLHGRGRARDRPILTWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDHEMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKEDFGDTNLVGWVKMKVREGKQMEVIDPEFLSVTKGTDEAEAEEVKEMVRYLEISLQCVDDFPSKRPSMLQVVAMLRELMPGSANGSSNSG >Potri.001G197000.1.v4.1 pep chromosome:Pop_tri_v4:1:19270882:19273084:-1 gene:Potri.001G197000.v4.1 transcript:Potri.001G197000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197000.v4.1 MGHHSCCNKQKVKRGLWSPEEDEKLANYISTYGHGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFSPQEAALIIELHSILGNRWAQIAKHLPGRTDNEVKNFWNSSIKKKLISHDQVPALAAFTDYIVHNSSVPEEAFLSLNANPNLIMTAHHHDHHQLYLPSPTSILQSFGHGDFKLNQPNNYNVDLPHLSPATPLIPPPLNDNSSSFDPGWSLPYLPQHLDQNQEDHHQSLSNGAIPVHYIGEKVVTHDQSTINATMSYDNQSMMVSMMPKLFEIIEGNVCRMPPSSESQNTVHDPLARLSSLPSGPYPVHEVATSQLESIDAIMSSLSAYSSSSSSSSLSPFSSSQFVANPHLPSSWDA >Potri.016G118200.1.v4.1 pep chromosome:Pop_tri_v4:16:12355506:12356665:1 gene:Potri.016G118200.v4.1 transcript:Potri.016G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118200.v4.1 MMMIRTGKIILHFEHEHVVVVVVDGYEFGLAKLGPCGDKMYPSSRVISIGGKKLANLMMHATCLIKCLVEI >Potri.012G098200.2.v4.1 pep chromosome:Pop_tri_v4:12:12199692:12201693:1 gene:Potri.012G098200.v4.1 transcript:Potri.012G098200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098200.v4.1 MGRNNEGEVLYSAGSKARMEGQKKKSLLASITKPGVENSRPSSMVVKKAHTVVPAHILAEAISTIRGLDLRWSGPITTTEMQYVEQYVLAKYPQYAGFIGEKVDLSTLCINEEISEPSPDDKNKSPRASPREVSIPSLGSNHPDLDRTQLEPSRLLDILTEKSSFPGSFVSIPEIQAQHKVLRHCGLLDNEYLVLFTQNYKDAMMLVGESYPFFRGKFYMTAIGEEMDYVKEFASYKESKVIPTPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPADVHGTRYSMHWVSEAHRNSWHVLLDATALVVGKDRLNLALHRPDFVLCSPDNTPTNPSTITCLLVRKRSFDTTTASS >Potri.012G098200.3.v4.1 pep chromosome:Pop_tri_v4:12:12199692:12201693:1 gene:Potri.012G098200.v4.1 transcript:Potri.012G098200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098200.v4.1 MKERFFTVQDLRMEGQKKKSLLASITKPGVENSRPSSMVVKKAHTVVPAHILAEAISTIRGLDLRWSGPITTTEMQYVEQYVLAKYPQYAGFIGEKVDLSTLCINEEISEPSPDDKNKSPRASPREVSIPSLGSNHPDLDRTQLEPSRLLDILTEKSSFPGSFVSIPEIQAQHKVLRHCGLLDNEYLVLFTQNYKDAMMLVGESYPFFRGKFYMTAIGEEMDYVKEFASYKESKVIPTPETWLDLRIKGSQLSQYFRRKCKHSPKGLFSYPADVHGTRYSMHWVSEAHRNSWHVLLDATALVVGKDRLNLALHRPDFVLCSPDNTPTNPSTITCLLVRKRSFDTTTASS >Potri.002G046800.2.v4.1 pep chromosome:Pop_tri_v4:2:3066793:3069595:1 gene:Potri.002G046800.v4.1 transcript:Potri.002G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046800.v4.1 MKFKKGNLVEVLRREHDSCGSWFPASVVSARGNYCKIRYESHSDNNGEPVMEKVHKEDVRPQPPHKKRKRWIVGDVAEIFDFQCWREGKIAKVLKNNLFVVRLFGSIQLKEFHESNIRIQQAWRSNNWSVIGKVAHNKEYTKNCTENKPKHSGSLMRRAPVLVVRKDPCLREKDGQKHLKDGHNNIKKGLDHQHLERSSKDLISCVGGCHKQLARNLPLFKREDSISAEKLRVDEKFKGSSEMNAKVVKATTEWLFTSPRPRLTEDSNLISSVASCRSNGSVDSSSHKFQKPLDNTSHNSDAESSFLSFSVIKRLTPCFEQKQGAEIHELEFHAYRSTVQALYASGPLSWEQESLLTNLRLSLNISDEEHLLHLRQLLSTQVLQSSSSPRILSL >Potri.017G057300.6.v4.1 pep chromosome:Pop_tri_v4:17:4507900:4512575:-1 gene:Potri.017G057300.v4.1 transcript:Potri.017G057300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057300.v4.1 MRRLGHQRQHGKQGGGGGLLAKLSIAVLFLLICSLSLLSAPFTPNTNGSTASSQINVEELWKSANSGGWKPSSAPRSKWPPPPKETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFHGLYDVEHFIQSLRFDVQIVERIPEIHKNGKTKKIKAFQLRPPRDAPISWYTTDALKKMKEHGAIYLSPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRSQGHFMAIHLRFEMDMLSFAGCFDIFTPAEQKILKKYRKENFADKTLIYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGELFGGERFMTPFRALFPRLENHSSVDASEELATNSQGLIGSAVDYMVCLLADIFMPTYDGPSNFANNLLGHRLYYGFRTNIRPDRKGLAPVFIARENGRTAGFEEAVRHVMLKTNFGGPHKRISPESFYTNSWPECFCQMETQNPAHKCPSENVMQNLHSQLVTENIDMEQHNRSDSTVSLAER >Potri.017G057300.7.v4.1 pep chromosome:Pop_tri_v4:17:4507980:4512490:-1 gene:Potri.017G057300.v4.1 transcript:Potri.017G057300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057300.v4.1 MRRLGHQRQHGKQGGGGGLLAKLSIAVLFLLICSLSLLSAPFTPNTNGSTASSQINVEELWKSANSGGWKPSSAPRSKWPPPPKETNGYLRVRCNGGLNQQRSAICNAVLAARIMNATLVLPELDANSFWHDDSGFHGLYDVEHFIQSLRFDVQIVERIPEIHKNGKTKKIKAFQLRPPRDAPISWYTTDALKKMKEHGAIYLSPFSHRLAEEIDNPEYQRLRCRVNYHALRFKPHIMKLSESIVDKLRSQGHFMAIHLRFEMDMLSFAGCFDIFTPAEQKILKKYRKENFADKTLIYKERRAIGKCPLTPEEVGLILRAMGFNNSTRIYLAAGELFGGERFMTPFRALFPRLENHSSVDASEELATNSQGLIGSAVDYMVCLLADIFMPTYDGPSNFANNLLGHRLYYGFRTNIRPDRKGLAPVFIARENGRTAGFEEAVRHVMLKTNFGGPHKRISPESFYTNSWPECFCQMETQNPAHKCPSENVMQNLHSQLVTENIDMEQHNRSDSTVSLAER >Potri.014G111100.1.v4.1 pep chromosome:Pop_tri_v4:14:7443403:7446323:1 gene:Potri.014G111100.v4.1 transcript:Potri.014G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111100.v4.1 MFMTRFIGRTLLAAAKSETHAASAAAATATSGHNPLEEFFEADRSQDEDKPIVYGRSWKASELRLKSWDDLQKLWYVLLKEKNMLMTQRQMLHAQNFRFPNPERLPKVRKSMCRIKHVLTERAIEEPDSRRSAEMKRMINAL >Potri.001G456100.1.v4.1 pep chromosome:Pop_tri_v4:1:48238252:48240185:1 gene:Potri.001G456100.v4.1 transcript:Potri.001G456100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456100.v4.1 MGQEEKQELFSPLPVLPRLDRLDRLLQFLEEKHSSSGRGRHAAKSVVRTVEAEGRCKALTSALEEVQHKGTLMWRLETLENRALQLCLEVDVENTSRSSSSTIQGPEKIGHDEVSTILPKEDEQMIIANKEKQDNSLIDQEITCTAEACVGSSKASQKGRRHKMKHRKWLAMGC >Potri.001G222904.1.v4.1 pep chromosome:Pop_tri_v4:1:23848486:23851728:-1 gene:Potri.001G222904.v4.1 transcript:Potri.001G222904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222904.v4.1 MGSIDDFSRYSFPDDFVFGTSSSAYQYEGETNKHGRGPAIWDTFTEEHTERINDHSNGNVAVDFYHRYKEDVQRMKEMGMDAFRFSISWSRVLPHGRLSAGVNEEGIKFYNDLIDDLLKNGLQPYVTLFHWDTPQALEDKYGGFLSPNIVNDFRDFVDLCFQKFGDRVKKWITLNEPWMFSVQGYNMGTMAPGRISVVVNDPHRSLNTGATEVYTVSHHLLLAHAAAVKLYKEKYQSCQGGQIGITLVSHWFEPYSNSEDDQNATKRSLDFMLGWFMDPLTNGDYPRNMHDFVGGRLPKFTAEESKMLKGSYDFIGINYYTTYYAQNIDANYQSVGFMSDARANWTGERNGIPIGPQAGVKWLYIYPEGISRLLNYTKDLYGNPTIYITENGVDDENNNASSLKEALNDPIREKSYKDHLKNVLRSINEHGVDVKGFFAWSLMDNFEWGSGYAVRFGLYYVDYKNDLKRYPKKSVKWFKQFLRRDSHSPIPHTYPLITSNETSKIEDSLVRDAKRPRNA >Potri.006G199400.1.v4.1 pep chromosome:Pop_tri_v4:6:20695573:20702130:1 gene:Potri.006G199400.v4.1 transcript:Potri.006G199400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199400.v4.1 MGNTCVGPNLGNNGFFNTVTAAIWRSRPPEDRLHLPKGEDSSKNNGDSEAGPVGGSKKSEGSKKGSNDHPPILVQNTPPEPVKMPNEAPPPKVIEHEKSIKQEMREVGIGQPGEEQKGKKPTHVKRVSSVALQMESVLGRKTGNLKDIYSLGRKLGQGQFGTTFLCIEKATGKEFACKSIAKRKLTTQEDVDDVRREIQIMHHLEGHPNVIKIVDAYEDAVAVHVVMELCSGGELFDRIVRRGHYTEKQAAELARLIVGVVEACHSLGVMHRDLKPENFLFVSQEEESPLKTIDFGLSVFFRPGETFTDIVGSPYYVAPEVLRKLYGPKCDVWSAGVIIYILLSGVPPFWDETEQGIFEQVLKGELDFESEPWPNISESAKDLVRKMLVRDPKRRLTAHEVLCHPWVQMEGVAPDRPLDSAVLSRLMQFSAMNRLKKIVIRVIAESLSEEEIAGLKEMFKMIDADNSGHITLEELKTGLEKVGANTKDSEIAGLMQAADVDNSGTIDYGEFVAAMLHLNKIEKEDHLYAAFSYFDQDGSGYITKDELQQACEKFGLGDVQLDEIIREVDQDDDGRIDYSEFVAMMQDTGFGQTRSQIT >Potri.002G019400.5.v4.1 pep chromosome:Pop_tri_v4:2:1171206:1178176:1 gene:Potri.002G019400.v4.1 transcript:Potri.002G019400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019400.v4.1 MDCIIQVFPDEYHLQTLETLLGACPQLQPTVDVKTVLSRLMERLSNYAASSADVLPEFLQVEAFAKLSSAIGKVIEAHVDMPIVGAVALYVSLLTFTLHVHPERLDYVNQVLGACVKKLSGKPKLEDIRAKKQIVALLSAPLEKYNDIVTALTLSNYPHVMDCLDYETNKVMAMVIIQSAMKNNTCISTADKVEVLFELIKGLIKDLDETATDELDEEDFKEEQNSVACLVHMLYNDDSEEMLKIICAVRKHIMAGGSQRLPFTVPPLIFSALRLVRKLQDQDGNVVGEEEPATPKKVFQLLNETIEALSSVSSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEVADSKAQVTALHLIIGALQRMNVFGVENRDTLTHKATGHSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQIANATRGCSGPVTLFVEILNKYLYFFEKGNPQITSAAIQGLIELITNEMQSDSTTPDPASDAFFASTIRYIQFQKQKGGVMGEKFGPIKV >Potri.002G019400.6.v4.1 pep chromosome:Pop_tri_v4:2:1171155:1178175:1 gene:Potri.002G019400.v4.1 transcript:Potri.002G019400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019400.v4.1 MILAGIEDEDKWLAEGIAGIQHNAFYMHRALDSNNLRDALKCSALMLSELRTSKLSPHKYFDLYMRAFDELRKLEMFFKDESRHGVSIVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGVQHPIRGLFLRSYLAQVSRDKLLDLGSKYEGAEDTVMDAVEFVLQNFTEMNKLWVRMQHQGPVWVKEKLEKERSELRDLVGKNLHVLSQIEGVDLEIYRNTVLPRVLEQVVNCKDELAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDVKTVLSRLMERLSNYAASSADVLPEFLQVEAFAKLSSAIGKVIEAHVDMPIVGAVALYVSLLTFTLHVHPERLDYVNQVLGACVKKLSGKPKLEDIRAKKQIVALLSAPLEKYNDIVTALTLSNYPHVMDCLDYETNKVMAMVIIQSAMKNNTCISTADKVEVLFELIKGLIKDLDETATDELDEEDFKEEQNSVACLVHMLYNDDSEEMLKIICAVRKHIMAGGSQRLPFTVPPLIFSALRLVRKLQDQDGNVVGEEEPATPKKVFQLLNETIEALSSVSSPELALRLYLQCAEAANDCDLEPVAYEFFTQAFILYEEEVADSKAQVTALHLIIGALQRMNVFGVENRDTLTHKATGHSAKLLKKPDQCRAVYACSHLFWVDDQDGIKDGERVLLCLKRALRIANAAQQIANATRGCSGPVTLFVEILNKYLYFFEKGNPQITSAAIQGLIELITNEMQSDSTTPDPASDAFFASTIRYIQFQKQKGGVMGEKFGPIKV >Potri.007G045200.1.v4.1 pep chromosome:Pop_tri_v4:7:3980218:3983178:1 gene:Potri.007G045200.v4.1 transcript:Potri.007G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045200.v4.1 MKGSNGFCNVLSIQLLFIALCLFIASSSSLKMGETCSSNSSCDAGLSCQSCSANGNTRQRCTKIQPLIPTSKVKGLAFNKYSWLTTHNSYALMDAQSDTGSPLFSPRNQEDTVTRQLKNGVRGLMLDMYDFMNDIWLCHSIGGTCYNYTAFQPAIKVLKEIETFLAANPSEVVTIFIEDYVTSRQGLTKLFNASGLRTYWFPVSKMPKKGEDWPTVDDMVKQNQRLVVFTSKSNKEATEGIAYNWKYVVENQYGDDGMKAGLCPNRAESSPMNTKTASLVLQNYFPTTPNVTGVCLDNSAPLISMTNTCYEASGKRWPNFIAVDFYQRSDGGGAPEAVDEANGHLTCGCDNIAFCRANATFGTCDVPLAAPPPPAAAAAAGGGGNSRVPSVTPSNVASLDSKPVQLWWLIGTILMMTLLLRS >Potri.005G151700.6.v4.1 pep chromosome:Pop_tri_v4:5:12935336:12935678:1 gene:Potri.005G151700.v4.1 transcript:Potri.005G151700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151700.v4.1 MATFELYRRSTIGMCLTETLDDMVQNGTLSPELAFQVLVQFDKSMTEALETKVKSKVSIKVSSFNFMFYLAITIAIFCQLIIV >Potri.005G151700.4.v4.1 pep chromosome:Pop_tri_v4:5:12935084:12938173:1 gene:Potri.005G151700.v4.1 transcript:Potri.005G151700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151700.v4.1 MATFELYRRSTIGMCLTETLDDMVQNGTLSPELAFQVLVQFDKSMTEALETKVKSKVSIKGHLHTYRFCDNVWTFILQDAMFKNEDTQENVGRVKIVACDSKLLTQ >Potri.005G151700.5.v4.1 pep chromosome:Pop_tri_v4:5:12935336:12937808:1 gene:Potri.005G151700.v4.1 transcript:Potri.005G151700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151700.v4.1 MATFELYRRSTIGMCLTETLDDMVQNGTLSPELAFQVLVQFDKSMTEALETKVKSKVSIKGHLHTYRFCDNVWTFILQDAMFKNEDTQENVGRVKIVACDSKLLTQ >Potri.018G025500.1.v4.1 pep chromosome:Pop_tri_v4:18:1865779:1869015:-1 gene:Potri.018G025500.v4.1 transcript:Potri.018G025500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025500.v4.1 MKNVCWPYFDPDFDSLPERIFGPTCRVCIDNESMEDCTVVKVDSVNKQGLLLEVVQVLTDLNLTIAKGYISSDAGWFMDVFHVKDEHGKKLRDQNVINYIQQAIGTTRESTPSPPNARAYTNNIFEADHSSEHTAIEMSGTDRPGLFSEISAALADLQCNIVEVHAWSHNARLACVAYISDPSSHTPIEDPHRLASIEDHLTTVLRANTVRSAGEPSQINNREVKTGGFLGGEGTVSNVERRLHQLMLSVRDFDGPISSSSTGTGLNNNKGGSKMVVSIENCDQKEYSIVNIECKDRRRLMFDTICTLNDMQYVIFHASVSSDHDGRAFQEYFIRHKDGYARNTESEKERVIKCLEAAIERRVSEGVLLKLRAENRLGLLSDITRVLRENGLAVVRADVATEGEKAVNAFYVRDISGNEVDMGFIKSMKKEMGLTDLEVIKNDTSTTTSPTRTITNISPHERHRFSFGDLLKSQIERFSHNFVAIN >Potri.018G025500.2.v4.1 pep chromosome:Pop_tri_v4:18:1866036:1868910:-1 gene:Potri.018G025500.v4.1 transcript:Potri.018G025500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025500.v4.1 MEDCTVVKVDSVNKQGLLLEVVQVLTDLNLTIAKGYISSDAGWFMDVFHVKDEHGKKLRDQNVINYIQQAIGTTRESTPSPPNARAYTNNIFEADHSSEHTAIEMSGTDRPGLFSEISAALADLQCNIVEVHAWSHNARLACVAYISDPSSHTPIEDPHRLASIEDHLTTVLRANTVRSAGEPSQINNREVKTGGFLGGEGTVSNVERRLHQLMLSVRDFDGPISSSSTGTGLNNNKGGSKMVVSIENCDQKEYSIVNIECKDRRRLMFDTICTLNDMQYVIFHASVSSDHDGRAFQEYFIRHKDGYARNTESEKERVIKCLEAAIERRVSEGVLLKLRAENRLGLLSDITRVLRENGLAVVRADVATEGEKAVNAFYVRDISGNEVDMGFIKSMKKEMGLTDLEVIKNDTSTTTSPTRTITNISPHERHRFSFGDLLKSQIERFSHNFVAIN >Potri.008G123500.2.v4.1 pep chromosome:Pop_tri_v4:8:8030257:8033543:1 gene:Potri.008G123500.v4.1 transcript:Potri.008G123500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123500.v4.1 MKGQKQNLPTTCTKLFNAQLQLINVLSFLFLFGCGLVTGVVLSSYLKHVSCNLHVSQFSVSTTTTTTVPLATLPAFKLPRVGLKEHLKVPDVKHDMDEKELLWRASMTPRIREYPFDRVPKVAFMFLTKGPVLMAPLWERFFQGHEGLYSIYVHSSPSYNESEPESPVFHGRRIPSKDVQWGNTNIIEAERRLLANALLDISNQRFVLLSESCIPIFDFSTVYTYLMNSTKNHVDSYVLDGPVGNGRYNPRMRPVIKIEQWRKGSQWFEMDRDLAIEVVSDQEYFPVFQKYCKRRLLR >Potri.014G176225.1.v4.1 pep chromosome:Pop_tri_v4:14:13237137:13239792:-1 gene:Potri.014G176225.v4.1 transcript:Potri.014G176225.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176225.v4.1 MFSFFKPNEQASTSKGHSPSNVDVSNRSEQPPFRSQRVEIDVNTLERDPELRIPMWKHPINLQDEIRRAYIKMGPYQPKLAEYPRTESGRQYRRFQYTWFDQFPRLKYSPSKDAVFCFPCFIFEKKVPVISHSPPKALEVGRGLMMGLDVHF >Potri.006G268200.4.v4.1 pep chromosome:Pop_tri_v4:6:26258650:26265866:1 gene:Potri.006G268200.v4.1 transcript:Potri.006G268200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G268200.v4.1 MIMKEYSLNPVLSIFFFLSLMILFLEMAVAQNTTSTIPVNVGVVLDLASLDANIALSCINMALSDFYASHGDYKTRLVLNTRDSKKDVIGAAGAALDLIKNVEVQAILGPTTSMQANFVIDLGEKAQVPIISFSATSPSLTSIRSSYFLRATQNDSAQVNAISAIVQAFGWREAVPIYIDNEYGEGIIPYLTDALQEVDARVPYRSVISPSATDDQIVEELYKLKTMQTRVFIVHMYRSLGTRLFTKAKEIGMMSEGYVWIMTDGLSVDFLSSPNHSVTDTIQGVLGIKPYVPRTKELEYFRARWKRKFLRDKPNKIDAELNIYGLLAYDATTALALAVEKAGTTNFGFQKANVSSNSSTDLATLGISLNGPNILRALSTTSFKGLTGDYLFVDGQLQSPAFQIVNVNGNGGRRIGFWTPTEGLVKTLNPRINKRMNSTATSRVSTVIFPGDTTAVPKGWEIPTNEKKLKIGVPVKDGFSELVAVTKDPGSNTTTFTGFCIDVFDAVVKALPYALPYEYIPFANSDGEPAGTYNDLAYQVYLKNYDAVVGDITIVYNRSLYIDYTLPFTESGVSMIVPIADNNSKNAWVFMKPLTWDLWVTSFLFFVFIGFAVWVIEHRINDDFRGSASDQAGTSFWFSFSTMVFAQRERVVSNLSRAVIIIWCFVVLILTQSYTASLASLLTVEQLQPTVTDVRELIKKGEYVGYQKGSFVLGLLLDLGFDKSTLMVYSSAEECHHLFSKGSGNGGIAAAFDELAFIKLILSRYCSKYTMIDPKFKTGGFGFVFPKGSPLVPDISRAILNVTEGDQMKQIEGAWFGKKSTCPDSSPSISSNSLSLKSFWGLFLIAGLAALLALIIFVVMFVYRERNVLRSSDSTASIWSRIENFFRIFIQRDSTSSTFRQSDLKDRNGISLPPMCAPSPSDYSVHTEYPANRSSASYDSSPNREAPQEVV >Potri.006G268200.3.v4.1 pep chromosome:Pop_tri_v4:6:26258467:26265867:1 gene:Potri.006G268200.v4.1 transcript:Potri.006G268200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G268200.v4.1 MIMKEYSLNPVLSIFFFLSLMILFLEMAVAQNTTSTIPVNVGVVLDLASLDANIALSCINMALSDFYASHGDYKTRLVLNTRDSKKDVIGAAGAALDLIKNVEVQAILGPTTSMQANFVIDLGEKAQVPIISFSATSPSLTSIRSSYFLRATQNDSAQVNAISAIVQAFGWREAVPIYIDNEYGEGIIPYLTDALQEVDARVPYRSVISPSATDDQIVEELYKLKTMQTRVFIVHMYRSLGTRLFTKAKEIGMMSEGYVWIMTDGLSVDFLSSPNHSVTDTIQGVLGIKPYVPRTKELEYFRARWKRKFLRDKPNKIDAELNIYGLLAYDATTALALAVEKAGTTNFGFQKANVSSNSSTDLATLGISLNGPNILRALSTTSFKGLTGDYLFVDGQLQSPAFQIVNVNGNGGRRIGFWTPTEGLVKTLNPRINKRMNSTATSRVSTVIFPGDTTAVPKGWEIPTNEKKLKIGVPVKDGFSELVAVTKDPGSNTTTFTGFCIDVFDAVVKALPYALPYEYIPFANSDGEPAGTYNDLAYQVYLKNYDAVVGDITIVYNRSLYIDYTLPFTESGVSMIVPIADNNSKNAWVFMKPLTWDLWVTSFLFFVFIGFAVWVIEHRINDDFRGSASDQAGTSFWFSFSTMVFAQRERVVSNLSRAVIIIWCFVVLILTQSYTASLASLLTVEQLQPTVTDVRELIKKGEYVGYQKGSFVLGLLLDLGFDKSTLMVYSSAEECHHLFSKGSGNGGIAAAFDELAFIKLILSRYCSKYTMIDPKFKTGGFGFVFPKGSPLVPDISRAILNVTEGDQMKQIEGAWFGKKSTCPDSSPSISSNSLSLKSFWGLFLIAGLAALLALIIFVVMFVYRERNVLRSSDSTASIWSRIENFFRIFIQRDSTSSTFRQSDLKDRNGISLPPMCAPSPSDYSVHTEYPANRSSASYDSSPNREAPQEVKLSYFIFLAFCFSL >Potri.005G010900.1.v4.1 pep chromosome:Pop_tri_v4:5:917411:919944:1 gene:Potri.005G010900.v4.1 transcript:Potri.005G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010900.v4.1 MITKRLLSTSNFHPFPPMIKNYLQWAQNTPKYQSSTAFKPKGTSILATDLLKSYFEKGLISRARNLFDEMPERDVVALTAMISGYTHCNEYTQAWSVFLDMVKNDNVPPNAFTISSVLKACKGMNSVFCGGLVHGLAIKRRFVEGFIYVDNALMDMYATCGVTMRDACVVFHDIKEKNVVSWTTLIAGYTHRGNGSRAVQVFREMSLEGAELNPHSISIAVRACASVGSNILGRQIHTAVIKHGFESDLPVMNSILDMYCRCGCLSEAKEYFNEMSEKDLITWNTLIAGYERSDSIEPLFIFSQMESEGFSPNCFTFTSLVAACANAAALQCGQQVHGGIFRRGLDGNLELANALIDMYAKCGNIIDSQKNFSEMSCTNLVSWTSMMIGYGTHGYGKEAVELFDEMVRSGIRPDQVVFMAVLHACSHAGLVDQGLRYFNCMLDDYHIKPNQEIYGCVVGLLGRAGRVEEAYHLIRSMPFMADESVWGALLGACKAHNLSKLGKLAAKKALALKPNMVETYVMLSNIYAAEGKWGEAERMRKLMKRAGSKKEAGRSWIEMHLMISRVIEKSC >Potri.001G389300.1.v4.1 pep chromosome:Pop_tri_v4:1:41202172:41210647:1 gene:Potri.001G389300.v4.1 transcript:Potri.001G389300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G389300.v4.1 MREEQIEKLRGVVRDCVSKHLYSSAIFFADKVAAFTNDPADIYMQAQALFLGRHYRRAYHLLNASKIVLRDLRFRYLAAKCLEELKEWDQCLLMLGDAKVDEHGDVYDTKDCNVMYLDKDSEDREINISAATCFLRGRAYEALENRALARQWYKAAIKADPLCYEALECLIENHMLTCEEETRLLSSLQFGPEDGWLSSFYSCLIKKYEKKSVVEAKFREVEKESCNSNPSSPSIKHTLKNDTDLLTCKAEYFNQCGEYQKCFELTSDLLEKDPFHLKCTLVHIAAAMELGNSNELYLMASNLVKDYPQKALSWFAVGCYYYCIKKYDQSRRYFSKATSLEGTFAPAWIGFGNAYAAQEEGDQAMSAYRTAARLFPGCHLPTLYIGMEYMRTHSYKLAEQFFMQAKAICPSDPLVYNELGVVAYNMKEYNKSVLWFEKTLKHIPSLSQLWEPTIVNLAHAYRKLKIYHEAISYYERALTLSPRSLSTYAGLAYTYHLQDNFTAAITCYHKALWLKPDDQFCTEMLSLALVDEGRRGIDPKIEFR >Potri.014G147000.1.v4.1 pep chromosome:Pop_tri_v4:14:10088419:10090279:1 gene:Potri.014G147000.v4.1 transcript:Potri.014G147000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147000.v4.1 MRDHMERFIVLPFSIACASHSSVDVASSESCKKPKPETKSHATRGQEGEESSCKEKTKNNTFGFLLALPKPCISSSIHKLIRGIKTLSQVFVYKEEDEELMEREMEIGYPTDVKHVTHIGLDGTTMTNPIKGWECLKSPEIIPFPSFTLRQFELAMAAQAHGPLVGVDHSKLV >Potri.003G118800.1.v4.1 pep chromosome:Pop_tri_v4:3:14041292:14043779:1 gene:Potri.003G118800.v4.1 transcript:Potri.003G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118800.v4.1 MKKINLFTAMAIIPLLLLIFMLNFCPEIAQATQHTTKITEKTTLLNYIVHVAKPEGRTMAEFEDLESWYQSFLPVSTASSEKQQRMLYAYQNVMSGFAARLTQEEVKSMEEKDGFLSARPERILHLQTTHTPRFLGLHQELGFWKESNFGKGVIIGVLDGGIFPSHPSFSDEGMPPPPAKWKGRCDFNASDCNNKLIGARSFNIAAKAKKGSAATEPPIDVDGHGTHTASTAAGAFVKDAEVLGNARGTAVGIAPHAHLAIYKVCFGDPGDDCPESDILAGLDAAVQDGVDVLSLSLGEDSVPLFNDTIAIGSFAAIQKGIFVSCSAGNSGPFNGTLSNEAPWILTVGASTVDRRFSATARLGNGEQIDGESLSQHSNFPSTLLPLVYAGMSGKPNSSLCGEGALEGMDVKGKIVLCERGGGIGRIAKGGEVKNAGGAAMILMNEEADGFSTNADVHVLPATHVSFAAGLKIKAYINSTQAPMATILFKGTVIGDSSSPFVASFSSRGPSLASPGILKPDIIGPGVSILAAWPFPLDNNTNSKSTFNIISGTSMSCPHLSGIAALLKSSHPYWSPAAIKSAIMTTADTLNMEGKLIVDQTLQPADVFATGAGHVNPSRANNPGLVYDIQPDDYIPYLCGLGYADNEVSIIVHEQVKCSEKPSIPEGELNYPSFAVTLGPSQTFTRTVTNVGDVNSAYEVAIVSPPGVDVTVKPSKLYFSKVNQKATYSVAFSRTEYGGKISETAQGYIVWASAKYTVRSPIAVSLK >Potri.013G101801.1.v4.1 pep chromosome:Pop_tri_v4:13:11031351:11037302:-1 gene:Potri.013G101801.v4.1 transcript:Potri.013G101801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101801.v4.1 MQLITSDASFSSNDFLKKSNICSVQLYSAMLFMSSTYSKYANGRLLPLFDRKRDTRIFRTKTLHMSRTFSLVFKKLWSCWKKKCGASFFSAEHEDKKKKEIRELKCENQKLKDQLKKTEEKVKIFEKKKDFQNAKIKKLR >Potri.001G467400.6.v4.1 pep chromosome:Pop_tri_v4:1:49214945:49219271:-1 gene:Potri.001G467400.v4.1 transcript:Potri.001G467400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467400.v4.1 MSIFAGNLASKVSSSLQFRVSVIPWVKRNNAVLCLKGREFNSSSVPVRYTPKRSSKSDEIENSLPMKGDKKRVFGKNLDGVSGNFGLNDKAKGRMGSEKRVFENPLPVKSSEKRVFDKNLDGVRGNFRLNEKVKNQNRICNESLSFDDARQVKQNALEVTAFSQLGEKINHESEPRSHELMGEPEEDVEESVMQQEKDISNQSMIVHKIMQDAEKVAVGLLARRAYTAVELRKKLHGKRFPPDIVEAVIGDFESRGLVNDGLYAETYSRSRWSSSSWGPRRIKKALSNKGVSEADTDKALKLVFEDGDSDEQESKVGMSKISMDQLLIQASKQWLRGQDVPKDTRKSRLIRWLQYRGFNWDVVNFVLKKLESQHIS >Potri.001G467400.2.v4.1 pep chromosome:Pop_tri_v4:1:49214984:49219271:-1 gene:Potri.001G467400.v4.1 transcript:Potri.001G467400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467400.v4.1 MSIFAGNLASKVSSSLQFRVSVIPWVKRNNAVLCLKGREFNSSSVPVRYTPKRSSKSDEIENSLPMKGDKKRVFGKNLDGVSGNFGLNDKAKGRMGSEKRVFENPLPVKSSEKRVFDKNLDGVRGNFRLNEKVKNQNRICNESLSFDDARQVKQNALEVTAFSQLGEKINHESEPRSHELMGEPEEDVEESVMQQEKDISNQSMIVHKIMQDAEKVAVGLLARRAYTAVELRKKLHGKRFPPDIVEAVIGDFESRGLVNDGLYAETYSRSRWSSSSWGPRRIKKALSNKGVSEADTDKALKLVFEDGDSDEQESKVGMSKISMDQLLIQASKQWLRGQDVPKDTRKSRLIRWLQYRGFNWDVVNFVLKKLESQHIS >Potri.001G467400.4.v4.1 pep chromosome:Pop_tri_v4:1:49214992:49219151:-1 gene:Potri.001G467400.v4.1 transcript:Potri.001G467400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467400.v4.1 MSIFAGNLASKVSSSLQFRVSVIPWVKRNNAVLCLKGREFNSSSVPVRYTPKRSSKSDEIENSLPMKGDKKRVFGKNLDGVSGNFGLNDKAKGRMGSEKRVFENPLPVKSSEKRVFDKNLDGVRGNFRLNEKVKNQNRICNESLSFDDARQVKQNALEVTAFSQLGEKINHESEPRSHELMGEPEEDVEESVMQQEKDISNQSMIVHKIMQDAEKVAVGLLARRAYTAVELRKKLHGKRFPPDIVEAVIGDFESRGLVNDGLYAETYSRSRWSSSSWGPRRIKKVI >Potri.001G467400.1.v4.1 pep chromosome:Pop_tri_v4:1:49214990:49219228:-1 gene:Potri.001G467400.v4.1 transcript:Potri.001G467400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467400.v4.1 MSIFAGNLASKVSSSLQFRVSVIPWVKRNNAVLCLKGREFNSSSVPVRYTPKRSSKSDEIENSLPMKGDKKRVFGKNLDGVSGNFGLNDKAKGRMGSEKRVFENPLPVKSSEKRVFDKNLDGVRGNFRLNEKVKNQNRICNESLSFDDARQVKQNALEVTAFSQLGEKINHESEPRSHELMGEPEEDVEESVMQQEKDISNQSMIVHKIMQDAEKVAVGLLARRAYTAVELRKKLHGKRFPPDIVEAVIGDFESRGLVNDGLYAETYSRSRWSSSSWGPRRIKKALSNKGVSEADTDKALKLVFEDGDSDEQESKVGMSKISMDQLLIQASKQWLRGQDVPKDTRKSRLIRWLQYRGFNWDVVNFVLKKLESQHIS >Potri.004G140000.3.v4.1 pep chromosome:Pop_tri_v4:4:16233836:16238663:1 gene:Potri.004G140000.v4.1 transcript:Potri.004G140000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140000.v4.1 MVVVVVSGHDGGDESPQVLRAGSAASFGCAGGGESSSWPSASSSSSSSESSFRELDDVFLQTQARIWLGEVLQTRSDEQLPIADLIADGELLFETSRVIWRMLSTKHMELRYVKAYKYEPFASRRSCGSRYLPYSNVDSFLKICKILGMAGIDLFSPSDVVEKRDTRKVCMCIRSLSKKARSSHLNVPDFDIVTYTVTMPTDMVGNIRRNLELSHHSFSSSASKTPHHEPRQRSRQKHSNATSEGNGDSYFEEYAEVESVFMLESGSSVSSCSNDISSQMNSDSMNSLGASLSVKGHSPGQCSLELEDQNQHRDECSKRQWHEDHLNESTRSICSQHLENDHHLDGGLSTSVVESNMYLGSRSSYTEIGAKHSCENSGMDLIHFDLSLEDDASVVGDSEDCSTPRGNRNDDVEVSSTSSMSSVSGPVQKLNFEDQLDEEDDFKTVWFPESSNSMVTFLIKKSVDRSESQEKVIYNTPVGSLITDCEEESPFDMKVMDIGFSSKLSVPCTQIEHSDHAFLHKSGSCMSQANTDLGYGEDRELFADWSSFSHEFNQWDQKGKHRFAVVPTRASSLSLSQVDSPEENLPSVRSKARGDALWPENTNIKGIVGDNDTEGHNATMVESDLENGKLPNKCLQTTCFNSIKNKTHKESDSPDRIAAMVDSEKCHEFKSSEDSSGFQPLCDSSVFQSQGPQIPDEHRCSTTEYSENVGENSDQVSIYEKKDMICSTENMDRVDDQNHEKVQILAIENSNVTEGPSVEKADCKPPKRPLLKTVAKGTAVVGVLLFLLHFRKNDTEKTDQSVKQSNRLRKASGRNFSSLKSQKGSRTNRMYPAEKLRFGN >Potri.004G140000.8.v4.1 pep chromosome:Pop_tri_v4:4:16233908:16238663:1 gene:Potri.004G140000.v4.1 transcript:Potri.004G140000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140000.v4.1 MVVVVVSGHDGGDESPQVLRAGSAASFGCAGGGESSSWPSASSSSSSSESSFRELDDVFLQTQARIWLGEVLQTRSDEQLPIADLIADGELLFETSRVIWRMLSTKHMELRYVKAYKYEPFASRRSCGSRYLPYSNVDSFLKICKILGMAGIDLFSPSDVVEKRDTRKVCMCIRSLSKKARSSHLNVPDFDIVTYTVTMPTDMVGNIRRNLELSHHSFSSSASKTPHHEPRQRSRQKHSNATSEGNGDSYFEEYAEVESVFMLESGSSVSSCSNDISSQMNSDSMNSLGASLSVKGHSPGQCSLELEDQNQHRDECSKRQWHEDHLNESTRSICSQHLENDHHLDGGLSTSVVESNMYLGSRSSYTEIGAKHSCENSGMDLIHFDLSLEDDASVVGDSEDCSTPRGNRNDDVEVSSTSSMSSVSGPVQKLNFEDQLDEEDDFKTVWFPESSNSMVTFLIKKSVDRSESQEKVIYNTPVGSLITDCEEESPFDMKVMDIGFSSKLSVPCTQIEHSDHAFLHKSGSCMSQANTDLGYGEDRELFADWSSFSHEFNQWDQKGKHRFAVVPTRASSLSLSQVDSPEENLPSVRSKARGDALWPENTNIKGIVGDNDTEGHNATMVESDLENGKLPNKCLQTTCFNSIKNKTHKESDSPDRIAAMVDSEKCHEFKSSEDSSGFQPLCDSSVFQSQGPQIPDEHRCSTTEYSENVGENSDQVSIYEKKDMICSTENMDRVQSLSQDDQNHEKVQILAIENSNVTEGPSVEKADCKPPKRPLLKTVAKGTAVVGVLLFLLHFRKNDTEKTDQSVKQSNRLRKASGRNFSSLKSQKGSRTNRMYPAEKLRFGN >Potri.004G018600.6.v4.1 pep chromosome:Pop_tri_v4:4:1311315:1312413:-1 gene:Potri.004G018600.v4.1 transcript:Potri.004G018600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018600.v4.1 MQYKASTITTKFPEKMAATAPVAIGTRGTVGSLVRKEIEYFSKFEIDRCASSRKPQEQVADIASSNGQSRPSFWSLTMSWKRKKRRGSSGFLPSICSAVEVADSNRLSRIPGFSYRILKDDSKDMQV >Potri.010G148500.3.v4.1 pep chromosome:Pop_tri_v4:10:15926495:15931411:1 gene:Potri.010G148500.v4.1 transcript:Potri.010G148500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148500.v4.1 MRISFPVTRGQFSFLLGIVPVFAAWIYAEYLEYKKNNTLAKARHSDIGLVELGNEAVKEDDDRAVLLEGGGGLQPASPKARTPTSSFPIFRFLMMEEQFLIDNRLTLRAILEFGFFMAYFYICDRTDMLGSSKKSYNRDLFLFLYFLLIIVSAVTSFTIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRMFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLFCCVVLDNSYMLYYICPMHTLFTLMVYAALGIFNKYNEIGSVMAAKIIACFFVVILMWEIPGVFEVIWSPFTFLVGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVEGWMEKLEETEAKRRIPIKTAVATISLAVGYTWYEYIYKLDKISYNKYHPYTSWIPITVYICLRNVTQQFRCYSLTLFAWLGKITLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFMLTTSIYIGVSYRLFDLTNTLKTAFVPSKDNKRLTNNIITAAAVSSVLYSLSVVFLKVPQMLV >Potri.010G148500.5.v4.1 pep chromosome:Pop_tri_v4:10:15926579:15931407:1 gene:Potri.010G148500.v4.1 transcript:Potri.010G148500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148500.v4.1 MRISFPVTRGQFSFLLGIVPVFAAWIYAEYLEYKKNNTLAKARHSDIGLVELGNEAVKEDDDRAVLLEGGGGLQPASPKARTPTSSFPIFRFLMMEEQFLIDNRLTLRAILEFGFFMAYFYICDRTDMLGSSKKSYNRDLFLFLYFLLIIVSAVTSFTIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRMFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLFCCVVLDNSYMLYYICPMHTLFTLMVYAALGIFNKYNEIGSVMAAKIIACFFVVILMWEIPGVFEVIWSPFTFLVGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVEGWMEKLEETEAKRRIPIKTAVATISLAVL >Potri.010G148500.4.v4.1 pep chromosome:Pop_tri_v4:10:15926616:15931407:1 gene:Potri.010G148500.v4.1 transcript:Potri.010G148500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148500.v4.1 MRISFPVTRGQFSFLLGIVPVFAAWIYAEYLEYKKNNTLAKAHSDIGLVELGNEAVKEDDDRAVLLEGGGGLQPASPKARTPTSSFPIFRFLMMEEQFLIDNRLTLRAILEFGFFMAYFYICDRTDMLGSSKKSYNRDLFLFLYFLLIIVSAVTSFTIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEIYNAIRMFIAAYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLFCCVVLDNSYMLYYICPMHTLFTLMVYAALGIFNKYNEIGSVMAAKIIACFFVVILMWEIPGVFEVIWSPFTFLVGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYYHPTVEGWMEKLEETEAKRRIPIKTAVATISLAVGYTWYEYIYKLDKISYNKYHPYTSWIPITVYICLRNVTQQFRCYSLTLFAWLGKITLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFMLTTSIYIGVSYRLFDLTNTLKTAFVPSKDNKRLTNNIITAAAVSSVLYSLSVVFLKVPQMLV >Potri.010G044400.1.v4.1 pep chromosome:Pop_tri_v4:10:7634416:7638352:1 gene:Potri.010G044400.v4.1 transcript:Potri.010G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044400.v4.1 MIRWWTSALQLTELFVSTVVHLLYGLYIFSTALAGDLSQVMNEWFFKVNVNGVVKEEETKEILTTTATAATETSVDDLPPIVLVHGIFGFGKGKLGGLSYFAGAEEKDERVLVPDLGSLTSIYDRARELFYYLKGGLVDYGEEHSKAYGHSQFGRTYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFNGYESTSDKWVLSITSLSGAFNGTTRTYLDGMQPEDWRNMKPICLLQFCRLGTIIYDWLDIPWLKAYYNFGFDHFNMSWKKIGIFGLIDCLLGNAGPFASGDWILPDLTIQGSMQLNCHLQTFPDTYYFSYATKRTRRIFGINVPSSIFGIHPLLFIRVLQMSQWRHPPDVSPPYKGYRDEDWQDNDGALNTISMTHPHIPVEHPSQFVGHDSECQPLQPGIWYYKIVEGDHILFILNRDRAGVQFDMIYDSIFERCRKHVFRKSQQTLPNEIQQ >Potri.010G044400.2.v4.1 pep chromosome:Pop_tri_v4:10:7634414:7638351:1 gene:Potri.010G044400.v4.1 transcript:Potri.010G044400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044400.v4.1 MIRWWTSALQLTELFVSTVVHLLYGLYIFSTALAGDLSQVMNEWFFKVNVNGVVKEEETKEILTTTATAATETSVDDLPPIVLVHGIFGFGKGKLGGLSYFAGAEEKDERVLVPDLGSLTSIYDRARELFYYLKGGLVDYGEEHSKAYGHSQFGRTYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFNGYESTSDKWVLSITSLSGAFNGTTRTYLDGMQPEDWRNMKPICLLQFCRLGTIIYDWLDIPWLKAYYNFGFDHFNMSWKKIGIFGLIDCLLGNAGPFASGDWILPDLTIQGSMQLNCHLQTFPDTYYFSYATKRTRRIFGINVPSSIFGIHPLLFIRVLQMSQWRHPPDVSPPYKGYRDEDWQDNDGALNTISMTHPHIPVEHPSQFVGHDSECQPLQPGIWYYKIVEGDHILFILNRDRAGVQFDMIYDSIFERCRKHVFRKSQQTLPNEIQQ >Potri.006G004000.1.v4.1 pep chromosome:Pop_tri_v4:6:316718:320498:1 gene:Potri.006G004000.v4.1 transcript:Potri.006G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004000.v4.1 MGTVSHDAINQFKALMDQVEEPLKRAYQNVHQGYHAETLARFLKAREWNLIKAHKMLVDCLHWRVQNEIDNILTKPIIPADLYRAVRDSQLIGMSGYSREGLPVFAHGVGLSTFDKASVHYYVQSHIQINEYRDRIVLPTASKKYGRPITTCVKVLDMTGLKLSALNQIKLMTIISTIDDMNYPEKTNTYYIVNAPYIFSACWKVVKPLLQERTRKKVQVLSGNGRDELLKIMDAASLPHFCKREGSGSSRHSEYANENCFSLDHPFHQQLYNYIKQQSLVSEPTQPIKQGSVHVDLPEPAAEGTEIVKTIESEMHKLENGNGLSGSLDGLKINDNSDYRTTHCP >Potri.014G078000.1.v4.1 pep chromosome:Pop_tri_v4:14:5045829:5050600:1 gene:Potri.014G078000.v4.1 transcript:Potri.014G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078000.v4.1 MVAFGKKLRQNQIEEWQRYYINYKLLKKKVNRYSQQIQVGADNQQNVLKDFSIMLDNQIEKIVMFMLEQKGLLASRLSILGEQHDALVEQSDGSKISELREAYRAVGQDLLRLLFFVEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLRQVFKHVGVGAVVGAISRNLADLQDQEGNYISIYDQPALSHPDPVIDSIKAAVNRLSNSTNFLEFLGKHAFIFQDESPTPSEDHLAEQRYHFMSLLLNLVNTFLYMVNTYIIVPTADNYSLHLGAAATVCGVIIGSMAVAQVFSSVYFSAWSNRSYLRPLVFSSIVLLVGNTLYALAYDLNSIPVLLIGRLFCGLGSARAVNRRYISDCVPLKLRLKASAGFVSASALGMACGPALACLFQTNFKIYKLTLNQDTLPGWVMALSWLVYLLWLWVSFREPPRENNKLFPQESYTGLPVQDAVKIDFTQPLLLNSEAELQDNNDDQEFDDGEEDSDENHKPVTSIVSAYRLLTPSVKVQLFIYFMLKYAMEILVAESSVVTGHYFIWSTTSVAFFLAFLGLTVLPVNVIVGNYISNMFEERQVLLASEIMVLIGILLSFQVLIPYTVPQYVSAALITFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVIADGTITLSGYLGENRLLNVTLVPSLFICLSSIIATCFTYNSLY >Potri.005G242500.1.v4.1 pep chromosome:Pop_tri_v4:5:23890312:23893579:1 gene:Potri.005G242500.v4.1 transcript:Potri.005G242500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242500.v4.1 MRRVNHLATLSRAFSGAASHQRLVQGAAMISTYAASNSQCFASRIAESFRISNPPVARGVTGTMFFSVAASSLAQEAQAKEAPPVEKLMPKDVVLYQYEACPFCNKVKAFLDYYNIPYKVVEVNPINKKEIKWSDYKKVPILKIDGEQMVDSSDIVDKLFQRIHPDNSVTDSDEERQWRGWVDNHLVHVLSPNIYRSVSEALESFDYITTHGNFSFTERLVAKYAGATAMYFVSKKLKKRHNITDERAALYGAAETWVDALKGRQYLGGLKPNLADLAVFSVLRPIRYLKSGKDMVEHTRIGEWYSRMENAVGEPSRIKA >Potri.001G056000.5.v4.1 pep chromosome:Pop_tri_v4:1:4212774:4216400:-1 gene:Potri.001G056000.v4.1 transcript:Potri.001G056000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056000.v4.1 MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHISKSAAKPLQAPQGYSSAPYLGSGAPSSMYMGVPPYGSSLFNGSSIPPYDVPFTGGSAYHYNYGSRLSGGSPYRPLHISGPPPYSGGSMMGNGGMYAMPPLMDRYGLGMPMGPAAMGPRPGFFPDDISQKKGSDASRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQVAKSDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGAEKPAESKKSPSPAPDEDDQ >Potri.001G056000.3.v4.1 pep chromosome:Pop_tri_v4:1:4210112:4216384:-1 gene:Potri.001G056000.v4.1 transcript:Potri.001G056000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056000.v4.1 MSQVDNRNSSAAKRARTDGSRREDDWTCPSCGNVNFSFRTTCNMRNCTQPRPADHISKSAAKPLQAPQGYSSAPYLGSGAPSSMYMGVPPYGSSLFNGSSIPPYDVPFTGGSAYHYNYGSRLSGGSPYRPLHISGPPPYSGGSMMGNGGMYAMPPLMDRYGLGMPMGPAAMGPRPGFFPDDISQKKGSDASRDNDWTCPKCGNINFSFRTVCNMRKCNTPKPGSQVAKSDKNSKQKMPEGSWKCEKCNNINYPFRTKCNRQNCGAEKPAESKKSPSPAPDEDDQIREIQIL >Potri.009G034800.1.v4.1 pep chromosome:Pop_tri_v4:9:4497625:4499138:-1 gene:Potri.009G034800.v4.1 transcript:Potri.009G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034800.v4.1 MASTTTTTTSYWCYRCSRFVTVSPHNEDEEHSHTSNITCPHCDGGFVEEIQSNSTSDIRQNRSRVSNLTRNRRNAGDRSSFNPVVVLRGTTPAAAAEDNEDGSAYEFYYDDGIGTGLRPVPEMMSEFLMGSGFDRLLDQLSQIEINSLGRSVPNPPASKVVIESMPSVEINETHVISETYCAVCKEAFEIGNEAREMPCKHIYHSDCIFPWLAMRNSCPVCRHELPVENSREEEVEGELVGLTVWRLPGGGFAVGRFSGGRRGGEREFPGVFTEMDGGGNGGLNGSSWPSRDWDSWVTRRSRRRESTSGTGGFRRVFRGMASFLRRIRPNSSSRMRDGSEEP >Potri.005G218950.1.v4.1 pep chromosome:Pop_tri_v4:5:22163653:22164575:-1 gene:Potri.005G218950.v4.1 transcript:Potri.005G218950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218950.v4.1 MLSCELWSMVLQTTVNLFYAPPVYVTSPWDERDARHGLGAPEPLTGKDHGPANFLDTKGLPSDHCQQEGIRVHPIWGTECLISNSKSMPCRDRKWTCIAYYDL >Potri.019G116300.1.v4.1 pep chromosome:Pop_tri_v4:19:14337836:14338470:-1 gene:Potri.019G116300.v4.1 transcript:Potri.019G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116300.v4.1 MSYLNRVWVAATVAAVRHPDQGWKSNLKSLQQGKIRVFSRGDATEIRPLAGSVESDCGGVFGSCGLEGGVRQNDDSLRRVMYLNCWGQG >Potri.008G022700.1.v4.1 pep chromosome:Pop_tri_v4:8:1138650:1140202:1 gene:Potri.008G022700.v4.1 transcript:Potri.008G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022700.v4.1 MTAKAGAAASFMFMLFLLNTACQAQLSPAFYDSSCPNAISAIRTAIRSAIASDRRMAASLIRLHFHDCFVQGCDASILLDETLSIQSEKTALGNLNSARGYNVIDKAKTEVEKICPGVVSCADIIAVAARDASAYVGGPSYAVKLGRRDSTTASRTLANAELPAFFESLESLISRFQKKGLTARDMVALSGSHTLGQAQCFTFRERIYNHSNIDAGFASTRRRRCPRVGSNSTLAPLDVVTPNSFDNNYFKNLMQNKGLLQSDQVLFNGGSTDSIVSEYSRNPARFKSDFGSAMIKMGDIGLLTGSAGQIRRICSAVNN >Potri.006G109250.1.v4.1 pep chromosome:Pop_tri_v4:6:8502058:8503986:-1 gene:Potri.006G109250.v4.1 transcript:Potri.006G109250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109250.v4.1 MWEYLKKVYYQDNNARRFQLENDISNYSQSNLSIQEYYSGFQNLWAEYTDIIYAQIPAESLSVIQKVHEQSKRDQFLMKLRSKFEITRSNLMNRAPLPSLDGCFGELLREEQRILTQSTLKQDNPAAVAFAAQGRGRGRNMGNVQCYSCKEYGHIANNCRKKFCNYCKQQGHIIKECPTRPQNRKIQAFPAVVSESSSVTVATSSLTPEMVQQMIITALSALGLQGSGVGESNREGA >Potri.002G031300.1.v4.1 pep chromosome:Pop_tri_v4:2:2062990:2065917:1 gene:Potri.002G031300.v4.1 transcript:Potri.002G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031300.v4.1 MGKQATAWNTIQKKRWTLMILALFTLLTLTFFFFTRSAFNSCNSTDHFQAQIQSASQTSSSGPNPLGFMKSKLVLLVSHELSLSGGPLLLMELAFLLRGVGAEVVWITNQKPAQADDGVIYSLERKMLDRGVQVFSAKSQKAIDTAMKADLVVLNTAVAGKWLEGVLKENVKQVLPKVLWWIHEMRGHYFKLEYVKHLPFVAGAMIDSHTTAEYWKNRTRERLGIKMPETYVVHLGNSKDLMEVAEDSVAKRVLREHVRESLGVRDDDLLFAIINSVSRGKGQDLFLHSFYESLHLIQEKKQQVPSVHAVIVGSDMNAQTKFETELRNFVLEKKIQDRVHFVNKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTMEIVVNGTTGLLHPIGKEGVIPLANNILKLATHVERRLTMGKKGYERVKDVFLEHHMSQRISFVLKKVLQKAQVLG >Potri.005G073000.2.v4.1 pep chromosome:Pop_tri_v4:5:4850688:4853113:-1 gene:Potri.005G073000.v4.1 transcript:Potri.005G073000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073000.v4.1 MASGGGYGDASQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRSHADKNIVIILIGNKSDLEDQRAVPTEDVNEFAQKEGLFFLETSALQATNVESAFMTLLTEIFNIVNKKNLIAGENQSNGNPASLSGKKIIIPGPAQEIPSKSKCCR >Potri.005G073000.1.v4.1 pep chromosome:Pop_tri_v4:5:4850823:4865724:-1 gene:Potri.005G073000.v4.1 transcript:Potri.005G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073000.v4.1 MASGGGYGDASQKIDYVFKLVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRSHADKNIVIILIGNKSDLEDQRAVPTEDVNEFAQKEGLFFLETSALQATNVESAFMTLLTEIFNIVNKKNLIAGENQSNGNPASLSGKKIIIPGPAQEIPSKSKCCR >Potri.015G013600.3.v4.1 pep chromosome:Pop_tri_v4:15:879298:884917:1 gene:Potri.015G013600.v4.1 transcript:Potri.015G013600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013600.v4.1 MSMASVALSPVHDESLDSHRNFPWLCGLRSSDSIGIFLVVGRSIIPLQVMESDSIASVKLRIQASQGFFVKKQKLVFEGRELARGNSQVRDYGVADGKVLHLVVRLSNLQAITVGTVCGKVYKFRVDRGRSVGYVKKQIAKRGKGLALVDQELVCDGEELEDQRLITDICKGNDAVIHLLIRKSAKLRGKPVEKDFELSIEALDLNEKKADSVGEHQQGALSMGYRVIERKPLLREFLLEPLIVNSKIQLPLVIRELFKSTFNGLDRGNEPIRSSEGSGGAYFMQDSSGQKYVSIFKPIDEEPMAVNNPQGLPLSIDGEGLKKGTRVGEGALREVAAYILDHPKSGPRTFSGEERGFAGVPPTAMVKCLHRGFNHPDGYEFDSKNIKIGSLQMFMENNGSCEDRGPSSFPVAEVHKISVLDIRLANADRHAGNILVSKDSEHGQIVLIPIDHGYCFPTNFEDCTFDWLYWPQAQQPYSHDTVEYIKALDAEQDIALLRFHGWDMPPECARTLCISTMLLKKGAERGLTPFAIGSIMCRETLRKESVIEQIVQEAQDAVLPGSGEATFLEAVSLIMDRHLDKLSSHGLFQ >Potri.015G013600.2.v4.1 pep chromosome:Pop_tri_v4:15:879298:883511:1 gene:Potri.015G013600.v4.1 transcript:Potri.015G013600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013600.v4.1 MSMASVALSPVHDESLDSHRNFPWLCGLRSSDSIGIFLVVGRSIIPLQVMESDSIASVKLRIQASQGFFVKKQKLVFEGRELARGNSQVRDYGVADGKVLHLVVRLSNLQAITVGTVCGKVYKFRVDRGRSVGYVKKQIAKRGKGLALVDQELVCDGEELEDQRLITDICKGNDAVIHLLIRKSAKLRGKPVEKDFELSIEALDLNEKKADSVGEHQQGALSMGYRVIERKPLLREFLLEPLIVNSKIQLPLVIRELFKSTFNGLDRGNEPIRSSEGSGGAYFMQDSSGQKYVSIFKPIDEEPMAVNNPQGLPLSIDGEGLKKGTRVGEGALREVAAYILDHPKSGPRTFSGEERGFAGVPPTAMVKCLHRGFNHPDGYEFDSKNIKIGSLQMFMENNGSCEDRGPSSFPVAEVHKISVLDIRLANADRHAGNILVSKDSEHGQIVLIPIDHGYCFPTNFEDCTFDWLYWPQAQQPYSHDTVEYIKALDAEQDIALLRFHGWDMPPECARTLCISTMLLKKGAERGLTPFAIGSIMCRETLRKESVIEQIVQEAQDAVLPGSGTDSFSKWVGSTRMGRLFKDNGQLDRIRPSNHSWPGTACRFVDSSQNNTKPKTYSALLT >Potri.015G013600.4.v4.1 pep chromosome:Pop_tri_v4:15:879294:883591:1 gene:Potri.015G013600.v4.1 transcript:Potri.015G013600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013600.v4.1 MSMASVALSPVHDESLDSHRNFPWLCGLRSSDSIGIFLVVGRSIIPLQVMESDSIASVKLRIQASQGFFVKKQKLVFEGRELARGNSQVRDYGVADGKVLHLVVRLSNLQAITVGTVCGKVYKFRVDRGRSVGYVKKQIAKRGKGLALVDQELVCDGEELEDQRLITDICKGNDAVIHLLIRKSAKLRGKPVEKDFELSIEALDLNEKKADSVGEHQQGALSMGYRVIERKPLLREFLLEPLIVNSKIQLPLVIRELFKSTFNGLDRGNEPIRSSEGSGGAYFMQDSSGQKYVSIFKPIDEEPMAVNNPQGLPLSIDGEGLKKGTRVGEGALREVAAYILDHPKSGPRTFSGEERGFAGVPPTAMVKCLHRGFNHPDGYEFDSKNIKIGSLQMFMENNGSCEDRGPSSFPVAEVHKISVLDIRLANADRHAGNILVSKDSEHGQIVLIPIDHGYCFPTNFEDCTFDWLYWPQAQQPYSHDTVEYIKALDAEQDIALLRFHGWDMPPECARTLCISTMLLKKGAERGLTPFAIGSIMCRETLRKESVIEQIVQEAQDAVLPGSGEATFLEAVSLIMDRHLDKLSSHGLFQ >Potri.015G013600.1.v4.1 pep chromosome:Pop_tri_v4:15:880029:883468:1 gene:Potri.015G013600.v4.1 transcript:Potri.015G013600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013600.v4.1 MSMASVALSPVHDESLDSHRNFPWLCGLRSSDSIGIFLVVGRSIIPLQVMESDSIASVKLRIQASQGFFVKKQKLVFEGRELARGNSQVRDYGVADGKVLHLVVRLSNLQAITVGTVCGKVYKFRVDRGRSVGYVKKQIAKRGKGLALVDQELVCDGEELEDQRLITDICKGNDAVIHLLIRKSAKLRGKPVEKDFELSIEALDLNEKKADSVGEHQQGALSMGYRVIERKPLLREFLLEPLIVNSKIQLPLVIRELFKSTFNGLDRGNEPIRSSEGSGGAYFMQDSSGQKYVSIFKPIDEEPMAVNNPQGLPLSIDGEGLKKGTRVGEGALREVAAYILDHPKSGPRTFSGEERGFAGVPPTAMVKCLHRGFNHPDGYEFDSKNIKIGSLQMFMENNGSCEDRGPSSFPVAEVHKISVLDIRLANADRHAGNILVSKDSEHGQIVLIPIDHGYCFPTNFEDCTFDWLYWPQAQQPYSHDTVEYIKALDAEQDIALLRFHGWDMPPECARTLCISTMLLKKGAERGLTPFAIGSIMCRETLRKESVIEQIVQEAQDAVLPGSGEATFLEAVSLIMDRHLDKLSS >Potri.006G100100.1.v4.1 pep chromosome:Pop_tri_v4:6:7659510:7663007:-1 gene:Potri.006G100100.v4.1 transcript:Potri.006G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100100.v4.1 MDIALFSPSSLFADDDDFSSEETKETQQNHVERRHSFPGMELLIREFSFHKLNANLLWPGTFAFAEWLVQNRPLVEGRHCIELGSGTGALAIFLRKSFHLDITTSDYNDQEIEENIAHNCRVNGVTPVLPHIRHSWGDTFPAADPDWDLVIASDILLYVKQYPNLIKTLSFLLKSYKLKNDRAGSIMENEQNGGTHNIGLPRPAFLMSWRRRIGKEDESLFFDGCESAGLQVEHLGSRVYCITPKDQVSGYQIEVTR >Potri.001G380600.2.v4.1 pep chromosome:Pop_tri_v4:1:40094821:40095585:-1 gene:Potri.001G380600.v4.1 transcript:Potri.001G380600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380600.v4.1 MAKAMAALSLLLLLLYLSSGGGSVMANEQKTWCVAKPSSDQATLLANINYACAHVDCQILQKGCPCFSPDSLINHASIAMNLYYQCKGRNHWNCDFRNSGLIVVTDPSYSNCIYA >Potri.003G015300.2.v4.1 pep chromosome:Pop_tri_v4:3:1691951:1699599:-1 gene:Potri.003G015300.v4.1 transcript:Potri.003G015300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015300.v4.1 MSSATTARSLPLLAFISLLILSPALAFDADHRYGQEEPVKLWVNKVGPYNNPQETYNYYSLPFCHPSGDVATHKWGGLGEVLGGNELIDSQIDIKFGKNVDKGVTCQLELDEAKVKQFKDAIENNYWLEFFVDDLPLWGFVGELRPDKNGENGKHFLFTHKSITIQYNKDQIIHVNLTQENAKPLESGRILDLTYSVKWSLTNVSFARRFDVYLDYPFFEHQIHWFSIFNSFMMVIFLTGLVSMILMRTLRNDYAKYAREDDDLVESLERDVSEETGWKLVHGDVFRPPRSMVLLSAVVGTGAQLALLVLLVILMAIVGTLYVGRGAIVTTFITCYALTSFIAGYVSGGMYSRHGGKNWIKSMILTACLFPFMCFGVGFILNTIAIFYGSLAAIPFGTIVVVFVIWAFISFPLALLGTVVGRNWSGAPNNPCRVKTIPRPIPEKKWYLTPSVVSMMGGLLPFGSIFIEMYFVFTSFWNYKVYYVYGFMLLVFLILIIVTVCVTIVGTYFLLNAENYHWQWTSFFSAASTAVYVYLYSIYYFYVKTKMSGFFQTSFYFGYTLMFCLGLGILCGAVGYLGSNLFVRRIYKNIKCD >Potri.004G044100.1.v4.1 pep chromosome:Pop_tri_v4:4:3467978:3474207:-1 gene:Potri.004G044100.v4.1 transcript:Potri.004G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044100.v4.1 MTGGSLGIRSGSYGSLDKQLQLLQQNGNGGLSGVPFSMQTTGRTKPAKMFKEKESLFHWIVKFAGRKKVGMLFLCVISAAVFVWVLYVGKGEDAQEGDRPPNISVNASVSLSRIENKTSFLQGIISDISLPPPPPAYFLGYTLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKVPSFSPVIKNLTYIHEDPLSGERDFGGSDFGGYPTLKHRSDSYDIRESMSVHCGFVQGKRPGQNTGFDMDEIDLEAMKQCHGVVVASAIFGAFDDIQQPHNISEYSKNTVCFFMFVDEETEAYLKNNSGLDDSRKIGLWRIVVAHNLPYTDGRRNGKIPKLLSHRMFPNARFSLWIDGKLELLVDPYQILERHLWRKNATFAISRHYRRFDVFMEAEANKAAGKYENASIDFQVEFYKKEGLIPYSEAKLPITSDVPEGCVVIREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIHEKTNWTVNMFLDCERRNFVVQKYHRDVLEQMAHPPPVYPPPPPSLLQLPPSPPVLVNEPPIQTTPETSTVKVIGAPVRKTPARRGRRSGSRRHRKVVAGAKDTDAS >Potri.004G044100.3.v4.1 pep chromosome:Pop_tri_v4:4:3467969:3474352:-1 gene:Potri.004G044100.v4.1 transcript:Potri.004G044100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044100.v4.1 MTGGSLGIRSGSYGSLDKQLQLLQQNGNGGLSGVPFSMQTTGRTKPAKMFKEKESLFHWIVKFAGRKKVGMLFLCVISAAVFVWVLYVGKGEDAQEGDRPPNISVNASVSLSRIENKTSFLQGIISDISLPPPPPAYFLGYTLPPGHPCNSFTLPPPPADKKRTGPRPCPVCYLPVEEAIALMPKVPSFSPVIKNLTYIHEDPLSGERDFGGSDFGGYPTLKHRSDSYDIRESMSVHCGFVQGKRPGQNTGFDMDEIDLEAMKQCHGVVVASAIFGAFDDIQQPHNISEYSKNTVCFFMFVDEETEAYLKNNSGLDDSRKIGLWRIVVAHNLPYTDGRRNGKIPKLLSHRMFPNARFSLWIDGKLELLVDPYQILERHLWRKNATFAISRHYRRFDVFMEAEANKAAGKYENASIDFQVEFYKKEGLIPYSEAKLPITSDVPEGCVVIREHVPISNLFTCLWFNEVDRFTSRDQISFSTVRDKIHEKTNWTVNMFLDCERRNFVVQKYHRDVLEQMAHPPPVYPPPPPSLLQLPPSPPVLVNEPPIQTTPETSTVKVIGAPVRKTPARRGRRSGSRRHRKVVAGAKDTDAS >Potri.006G069100.1.v4.1 pep chromosome:Pop_tri_v4:6:5068525:5069619:-1 gene:Potri.006G069100.v4.1 transcript:Potri.006G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069100.v4.1 MSSMLCSQGVVLATAMAVSGTVILLAFRLQKSLLPSGQFPIDLHHRIAQSSPQALRSCISSEGKKKGKKKRVHFAEDVVDPRGDGQEFRRQHEAIFLSQNSCSSSSSTSTEFKKNGQQRRMPANRAALYNGILRDRGVQRLAYCC >Potri.006G069100.2.v4.1 pep chromosome:Pop_tri_v4:6:5068525:5069619:-1 gene:Potri.006G069100.v4.1 transcript:Potri.006G069100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069100.v4.1 MSSMLCSQGVVLATAMAVSGTVILLAFRLQKSLLPSGQFPIDLHHRIAQSSPQALRSCISSGKKKGKKKRVHFAEDVVDPRGDGQEFRRQHEAIFLSQNSCSSSSSTSTEFKKNGQQRRMPANRAALYNGILRDRGVQRLAYCC >Potri.018G062900.6.v4.1 pep chromosome:Pop_tri_v4:18:7360482:7366123:-1 gene:Potri.018G062900.v4.1 transcript:Potri.018G062900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062900.v4.1 MTGSASLSSPLCTWLFAACMSVTCAKESAHPLSPPSYNSSRRRKAKSAALPMKCCSSSSSSSSGIRGIVHSSPSNDNTNNKSTLSFKGLMSSCLADEPCSSYYASGGLLRRRLSPPATSGQAMAVAVQPTREVETKKKPLTRQRRVVVTGMGVVSPLGHDPGVFYNNLLQGVSGVTRIEAFDCAQFPTRIAGEIKSFSTDGWVAPKLSKRMDKFMLYMLTAGKKALADGGITEDVMDELNKTKCGVLIGSAMGGMKVFNDAIEALRISYKKMNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATSNFCILNAANHIIRGEADVMLCGGSDAAIIPIGLGGFVACRALSQRNDDPTRASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIHAEFLGGSFTCDAYHMTEPRPDGVGVILCIEKALSQSGISKEDVNYINAHATSTPAGDLKEYQALMHCFGQNSGLRVNATKSMIGHLLGAAGAVEAIAAIQAIRTGWVHPNINLENPDQGVDTSVLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPFK >Potri.008G082400.1.v4.1 pep chromosome:Pop_tri_v4:8:5162925:5168050:1 gene:Potri.008G082400.v4.1 transcript:Potri.008G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G082400.v4.1 MSCSRPWFCPSSISATLTDPASKLVTGEFKITSLNFHGTKERIKKMFDKIELSVSSYDTAWVAMVPSPDCPETPCFPECTKWILENQLGDGSWSLPHGNPLLVKDALSSTLACILALKRWGIGEEQINKGLRFIELNSASVTDNEQHKPIGFDIIFPGMIEYAKDLDLNLPLKPTDINSMLHRRALELTSGGGKNLEGRRAYLAYVSEGIGKLQDWEMAMKYQRKNGSLFNSPSTTAAAFIHIQDAECLHYIRSLLQKFGNAVPTIYPLDIYARLSMVDALERLGIDRHFRKERKFVLDETYRFWLQGEEEIFSDNATCALAFRILRLNGYDVSLDTLNQFSEDHFSNSLGGYLKDSGAALELYRALQLSYPDESLLEKQNSRTSYFLKQGLSNVSLCGDRLRKNIIGEVHDALNFSDHANLQRLAIRRRIKHYATDDTRILKTSYRCSTIGNQDFLKLAVEDFNICQSIQREEFKHIERWVVERRLDKLKFARQKEAYCYFSAAATLFAPELSDARMSWAKNGVLTTVVDDFFDVGGSEEELVNLIELIERWDVNGSADFCSEEVEIIYSAIHSTISEIGDKSFGWQGRDVKSQVIKIWLDLLKSMLTEAQWSSNKSVPTLDEYMTTAHVSFALGPIVLPALYFVGPKLSEEVAGHPELLNLYKVTSTCGRLLNDWRSFKRESEEGKLNAVSLYMIHSGGASTEEEAIEHFKGLIDSQRRQLLQLVLQEKDSIIPRPCKDLFWNMIKLLHTFYMKDDGFTSNEMRNVVKAIINEPISLDEL >Potri.007G050300.1.v4.1 pep chromosome:Pop_tri_v4:7:4840195:4842858:1 gene:Potri.007G050300.v4.1 transcript:Potri.007G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050300.v4.1 MAIESVLSPLGPPACEKDAKALQFIEDMTANVDLVQERVLKEILTSNAETEYLQKYHLNGATDRDSFKSKIPMVTYEDLQPQIQRIANGDKSPILSSHPISEFLTSSGTSAGERKLMPTIEEEMDRRQLLYSLLMPVMNLYVPGLDKGKGLYFYFIKAEAKTPGGLVARPVLTSYYKSKQFKTRPYDPYNVITSPNETILCVDSFQSMYSQMLCGLIMREEVLRVGAVFASGLLRAIRFLQLNWKELANDISTGSLNPKVTNPSIRECMAKILKPNQELAEFITKECSDENWERIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPKPCTMYASSECFFGLNLRPMSEPSEVSYTIMPNMGYFEFLPHDPSAPAFSRESPPRLLDLADLEVGKEYELVITTYSGLNRYRVGDILLVTGFYNKAPQFRFVRRKNVLLSIESDKTDEAELQKAIDNASLLLREFNTSVVEYTSYAETKIIPGHYVIYWELLVKDPANSPTEEVLNQCCLAMEESLNSVYRQSRVADNSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVSFTPIMELLDSRVVSKHFSPSVPHWTPERRS >Potri.014G025000.1.v4.1 pep chromosome:Pop_tri_v4:14:1520170:1522442:-1 gene:Potri.014G025000.v4.1 transcript:Potri.014G025000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025000.v4.1 MLVLIHFWWAGGAVVVGSMIFALAVWRCFCFKDRRGTVDPLRTNGTSSLQDGIAKLHQGSIHHQPGQYETKRRGNYYVFRRGVSTRPLFNWADHPALITDAVENGWSRFCFTSYMPSPSTRSSMLGLCAAGDPGRETDTEISWEVCQGSADFMQKIRLNSGLKKVNVSNPSLSAASVIRTALPLPGPPLGNSSFPQEAYFEITVLYSHGDDQESAGKAKEGERAKLIQEKPNAKANSESLVHVSSSRISKIEELKLAGKDDCQGSAVMLSVGLTIGGSLPLKLPGSYPGSIGFNSNGSLYLDGMELVFESEKAEWARADKVIGCGFDPRNKQVFFTVDGELLHVVHCKTEEFGTPLYPTIAANNNILVLVNFGQSAFSYARANAQRTPNPCFIGPLVKSPTLGYDDSMELFSMGRIDSQWLNRSTTKDSHINGANNQGLDFDDESEADLFEIVLDNGTGRSPNTRP >Potri.001G354400.1.v4.1 pep chromosome:Pop_tri_v4:1:36810414:36813306:-1 gene:Potri.001G354400.v4.1 transcript:Potri.001G354400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354400.v4.1 MAHITRYTRTITLSYTTSLANHLKNQRLDQARLIFDKIPSPNLHLYTKMIAGYTRNDRLCDALKLFDRMSVRDVVSWNSMIKGCLDCGNLGMATRLFDEMPEKNVISWTTMVNGYLKFGRVELAQRLFLDMHVKDVAAWNAMVHGYFENGRVEEGVRLFEEMPVRDVISWTSMIGGLDLNGKSEEALFVFKKMLRSGVEPTWSTFACVLSACANAVEFNLGVQVHGHVVKLGCFFHEFISVSLITFYANCMKIEHAHKIFNETLTKNVVKWTALLTAYVWNNKHQDALRVFGDMTKMGALPNQSTFSITLKACCGLEALDKGKEIHTMAIKLGLETDVFVGNSLVVMYTECGNVNSAVAVFRNINEKDIVSWNSIIVGSAQHGFGLWALIFFNQMIRRGVDPNEITFTGLLSACSRSGMLLKGRCFFEYISRYKSNVLRPQHYACMVDILGRCGKLDEAEELVRYMPVKANSMIWLALLSACRVHSNLEVAERAAKHILDLEPNCSSAYVLLSNIYASAGRWADVSRMRVKMKQGGLVKQPGSSWVVLRGKKHEFLSADRSHPLSERIYEKLDWLGKKLKEFGYVPDQKFALHDVEDEQKEEMLSFHSERLAIAFGLVSTVEGSTITVMKNLRVCGDCHSVIKLMSKIVGRKIVVRDSGRFHHFKNGICSCSDYW >Potri.010G133900.1.v4.1 pep chromosome:Pop_tri_v4:10:14984099:14986850:1 gene:Potri.010G133900.v4.1 transcript:Potri.010G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133900.v4.1 MDLAVSAIGFEGYEKRLEITYFEPGIFDDPEGKGLRSLSKPQLDEILGPAECTIVDSLSNDYVDSYVLSESSLFVYPYKIIIKTCGTTKLLLSIPAILKLADTLSLNVRSVRYTRGSFIFPGAQSYPHRSFSEEIAVLDGCFGKLGLASKAYIMGGLDKPQKWHVYSASADSALSRDLIYTIEMCMTGLDREKASVFYKTQSSSAAAMTDDSGIRKILPASNICDFEFEPCGYSMNSIEGAAISTIHVTPEDGFSYASFEAAGYDLKDASLNQLVDRVLACFQATEFSIAVHADVAGEQLERICSLDVKGYCRGERSHGELGMGGSIIYQKFVRSGNADSPRSILKCCWKEEEDY >Potri.001G281004.1.v4.1 pep chromosome:Pop_tri_v4:1:29488676:29495079:-1 gene:Potri.001G281004.v4.1 transcript:Potri.001G281004.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281004.v4.1 MASVNYPCKSLVVLVFVSLLESSTTILPSNSDKLFLALYYESLCPYSANSIVSYLNKLVEDDELLSIVDLYLSPWGNAKIRGNDTFVCQHGPYECLLSTVEACAIHPWPKLEDHFPFVYCVERLVYERKYPEWESCFEDLGLDPKAVSECYTGGYGDELEKYATETNALQPPHKYVPWVVVDGQPLYEDYEDFITYICKAYKGTATPKACSKPSGYSIQRPKAKSIPPVCYRDTIISTLLEQI >Potri.002G207400.5.v4.1 pep chromosome:Pop_tri_v4:2:18147970:18150357:-1 gene:Potri.002G207400.v4.1 transcript:Potri.002G207400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207400.v4.1 MQDPVAIIVGAGPSGLATSACLNQHSIPHILLEREDCYASLWKKYSYDRLRLHLRKQFCELPRMSFPDSYPTYVPKDQFLQYLDDYVSHFKISPMYQRSVEFASFDEEAKKWNVKARNVSSGEIEEYSARFLVVASGETSNPFIPEFEGLNTFTGEVLHSTEFKNGKTYCDKNVLVVGSGNSGMEIALDLANHGARTSIAIRSPIHILSREMVYLGLNMLKYFSCGMVDKVMVMLSKLVYGDLSKHGIKRPKEGPFFMKVAYGKYPVFDVGTCNKIKSGEIQVLPALESIRGNEVVFENGKSHPFDTIVFCTGFERSTNKWLKGDDYLLNEDGIPKPGYPNHWKGKDGLYCIGLSRRGLYGASADAQNVVNDIKALI >Potri.004G086050.1.v4.1 pep chromosome:Pop_tri_v4:4:7162739:7164226:-1 gene:Potri.004G086050.v4.1 transcript:Potri.004G086050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086050.v4.1 MNSRAPTPQGHYKRLSLGLSRCTSDQNRVFLQWLAALLFRLLAKTHGANKEEVRCWGGFPMPPANLVDYCSYVVNDDDRGHRYFICNPPKRQFQRLALPS >Potri.010G137600.1.v4.1 pep chromosome:Pop_tri_v4:10:15211081:15215238:1 gene:Potri.010G137600.v4.1 transcript:Potri.010G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137600.v4.1 MRGKGNQNQEEEEYEEDEFGSRKDGPSSNFTIDNTNSCKDGKHSDKANAIRSKHSVTEQKRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVQKYEGPYPGWSPEPAKLMPWRNSHWRLQSFVGHPQATKNGSGLGAAFPGKLDENNITLTPAMLPSTPNLIESDHVTCKVLEHQPELANALPMPAPARSDGLVAPPLEQPVSDAQSAECPITSEMLNQQELAIEAGTISISSVYSQELLNTLTQALQSASVDLSQANISVQIDLGKRANKGLASGTSTSKDPQDCNQVMTHLRDTSEGEDSDQAQKRLKT >Potri.010G137600.2.v4.1 pep chromosome:Pop_tri_v4:10:15211109:15215191:1 gene:Potri.010G137600.v4.1 transcript:Potri.010G137600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137600.v4.1 MRGKGNQNQEEEEYEEDEFGSRKDGPSSNFTIDNTNSCKDGKHSDKANAIRSKHSVTEQKRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQYLQEKVQKYEGPYPGWSPEPAKLMPWRNSHWRLQSFVGHPQATKNGSGLGAAFPGKLDENNITLTPAMLPSTPNLIESDHVTCKVLEHQPELANALPMPAPARSDGLVAPPLEQPVSDAQSAECPITSEMLNQQELAIEAGTISISSVYSQELLNTLTQALQSASVDLSQANISVQIDLGKRANKGLASGTSTSKDPQDCNQVMTHLRDTSEGEDSDQAQKRLKT >Potri.001G252100.5.v4.1 pep chromosome:Pop_tri_v4:1:26831782:26836045:1 gene:Potri.001G252100.v4.1 transcript:Potri.001G252100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252100.v4.1 MAGSYRMCVCFTRKFKVTEAGPPPDVNEAFFKYTDGGTHMSAEQLRRFLMEVQGDGGVSIADAEKIVDQVLQKLHHIAKFTRRTLTLDDFHHFLFSADLNPPVGDQVHQDMTKPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVIELDIWPNSTKDNVDVLHGRTLTAPVELIRCLKSIKEYAFSSSPYPVIITLEDHLTPDLQVKVAQMINETFGDMLYSPECECLEEFPSPEELKYRIIISTKPPKEYLKAESGKDKGIKSRKDKDSDDDTWGKEPLDLVSDQEDGDVSDTFTSEDSDGESQQPEVSAYKRLIAIHAGKPKGGLKEALKVDPNKVRRLSLSEQALEKASENHGTDVIRFTQKNVLRVYPKGTRFNSSNYKPLIGWTHGAQMVAFNMQV >Potri.001G252100.1.v4.1 pep chromosome:Pop_tri_v4:1:26831780:26836046:1 gene:Potri.001G252100.v4.1 transcript:Potri.001G252100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252100.v4.1 MAGSYRMCVCFTRKFKVTEAGPPPDVNEAFFKYTDGGTHMSAEQLRRFLMEVQGDGGVSIADAEKIVDQVLQKLHHIAKFTRRTLTLDDFHHFLFSADLNPPVGDQVHQDMTKPLSHYFIYTGHNSYLTGNQLSSDCSDVPIIKALKRGVRVIELDIWPNSTKDNVDVLHGRTLTAPVELIRCLKSIKEYAFSSSPYPVIITLEDHLTPDLQVKVAQMINETFGDMLYSPECECLEEFPSPEELKYRIIISTKPPKEYLKAESGKDKGIKSRKDKDSDDDTWGKEPLDLVSDQEDGDVSDTFTSEDSDGESQQPEVSAYKRLIAIHAGKPKGGLKEALKVDPNKVRRLSLSEQALEKASENHGTDVIRFTQKNVLRVYPKGTRFNSSNYKPLIGWTHGAQMVAFNMQGYGRYLWLMNGMFRSNGGCGFVKKPDFLMKGGPHGEVFNPKTKFPVKKSLKVKVYMGDGWHLDFKPTHFDSYSPPDFYTRVGIAGVPGDAIMKKTKIKEDNWTPVWDEEFIFQLTVPELALLRVEVHEYDMSEKDDFAGQTCLPVSELRPGIRAVPLFDRKGEKLNSSRLLMRFEFVQD >Potri.003G057001.1.v4.1 pep chromosome:Pop_tri_v4:3:8369003:8370626:1 gene:Potri.003G057001.v4.1 transcript:Potri.003G057001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G057001.v4.1 MANIRLLSTSMVQATTDKVTDERIELTQCDLKLLLVHGIQKGLLFLKPKSLEDQNSLIQHLKISLSRTLDCFNPLAGRLATVEHDDNTVSFFIDCNNAGAQFIHAAADGVTMADILQPVYVPPILHSFFPLNGISNYEAVSKPLLAVQVTELVDGIFVGCTMNHAAVDGSSFWNFFNSWSEIHRGLDHISKTPVLERWSLLNGSISPPIRLPLSIIKNNLDSFIPSPLQERVFHFAKGKIAMLKAKANAEAATTSISSLQSLLAHIWRATTRARLFEHDKEIDLRIFIGLRARLQPPLPESYCGNAIVPGIVTLRTREILEQGLGFVALEINKVVSSYTKNKVADTLASALKNPSPLTKADFGRIHSLSISSSPRHNVYGTDFGWGRPVAVRSGPGNKFDGKLTLFPGLEEGSMDVEFSLLPETLKALGNDLEFMDAVTI >Potri.007G127200.1.v4.1 pep chromosome:Pop_tri_v4:7:14246034:14247335:1 gene:Potri.007G127200.v4.1 transcript:Potri.007G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127200.v4.1 MGPICKARKKTAEVIELVEEEEIDLDEQFGKERCSDDQCMWLTSDSSSPAYFFEEYRLSSSLHVSVDEWMISWLSTLANSNVHLDKASSISDKSRELNPDQEDASSERTEPDFEMILQEDLKEEKRLSLVSKSCRRKIEFSVSSSESSSSNFEHCCGNLTSSDDSAAPPSSTTFDSPSCSSRTSDLDGMSFSVSLLSLDGDDSKWTSDTELESDILRSSFPSPSCWRSWSSEAFSSSSNVSTPGKFQDGHLHRDLSLENSSSEAEVLKDFNTDGPLFWPFDKKLDWNSEEDWKCFAMSPRKDKLSISPQRISSKSVEMKFHDSRVDSKMVCRRRLVFSSGSAASNIMEKKQRRDNNSSTKKMNSMPSRLKKSVKDGRIPTPKVDSGNTNLSQDDFASNRKLPIEILLGLDEFDGHEGVDSEFNEGVFSLDDSL >Potri.015G128000.1.v4.1 pep chromosome:Pop_tri_v4:15:13934668:13937242:1 gene:Potri.015G128000.v4.1 transcript:Potri.015G128000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128000.v4.1 MPVKLIGSRGELELRERMGGKSMMNMAVLMLLLGVTVVTAAMSHDYGDALTKSILFFEGQRSGKLPSNQRMNWRKDSALRDGSDIGMDMVGGYYDAGDNVKFHFPMAFTTTLLAWSIVEFGESMGSDLEHALEALRWGTDYFLKATSKPGMVVAQVGDPISDHTCWERPEDMDTLRTTYVVNQTHPGSEVSAEIAAALAASSIVFKDKDKRYSSVLLQRASQVFDFANNYQGSYNESIGRGACPFYCDFNGYHDELIWGAAWLSKATQDPKYWDYVVKNMATLGGSIFEFGWDSKHSGINIIVSPKVMSSSGSSFITNADSFVCSLLPESPTKSVTYSPGGLMFKPGGCNLQHATALSFLLIVYSRYLQVANRSVHCGSVVATPSRLVEVAKTQVDYILGSNPLGMSYMVGYGPKFPQRIHHRGSSLPSMSTFHKHIGCHDGNSYLATKMPNRNVLVGAIVGGPDNNDQFLDSRLNVSQSEPATYFNAPLVGALAFFKGGK >Potri.001G055400.1.v4.1 pep chromosome:Pop_tri_v4:1:4170766:4172861:-1 gene:Potri.001G055400.v4.1 transcript:Potri.001G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055400.v4.1 MGFIMEFAENLVLRLMEDPKERDRKFRERVYAVKDRCQKTKEMWSYPLRPYGFWTFERHNAQLAWDAQISQVPGRRDPYDDLLQDSYGSPK >Potri.011G153400.1.v4.1 pep chromosome:Pop_tri_v4:11:18047170:18049685:1 gene:Potri.011G153400.v4.1 transcript:Potri.011G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153400.v4.1 MGKKGGTSWLTIVKRAFRSPSKENEKKSSRRREEHDQEEEEKKREKRRWLFRKTSSSTNHVPVQRCEENIAITNTTSTTTAPLSPTLDAEKKLAVAVAAATAAAADAAAVTAQAAVEIVRLTRPASIFVRAKLWAAIAIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKLTLQYMQALARVQDRVRDHRARLSHEGSRRSMFSETNSSWEFKYLHEIRERKSMSRDVSSVLDDWDDRPRTNEEIEAMVESKKEAALKREKALAYAFSSQIWRSRRNPSAGDEKELEDRTGWLDRWMATKQWEASSRAITDRKDNSIKTVEMDTSRPFSYSTTTSSQRLQSQNHLQKQTPRHSIASPLHRSHSSLSLHQSPITPSPCKPRPLQVRSASPRCLKEEKKCYSAAHTPSLSSRYFMNNGIGRHGMVGASGGTATILPNYMAATESAKARVRPQSAPRQRPSTPERERGGSVAKKRLSFPVQDHGPHGNGAGIIDYSSNRSFSQNLRSPSFKSVHGCHFGMGEQSNYFSCYNESIGGEISPCSTTDLRWLK >Potri.004G203800.2.v4.1 pep chromosome:Pop_tri_v4:4:21268593:21272956:1 gene:Potri.004G203800.v4.1 transcript:Potri.004G203800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203800.v4.1 MAGGGVIHQLLRRKLQSHSGVPPVLSSFTSKKFHDDAGSLGMKSLRAFALLGAGLSGFLSFASVASADEAEHGLECPSYPWPHNGILSSYDHASIRRGHQVYQQVCASCHSMSLISYRDLVGVAYTEEETKAMAAEIEVVDGPNDEGEMFTRPGKLSDRFPQPYSNEQAARFANGGAYPPDLSLITKARHNGQNYVFSLLTGYRDPPAGVSIREGLHYNPYFPGGAIAMPKMLIDGAVEYEDGIPATEAQMGKDVVSFLSWAAEPEMEERKLMGFKWIFVLSLALLQAAYYRRLKWSVLKSRKLVLDVVN >Potri.014G086700.7.v4.1 pep chromosome:Pop_tri_v4:14:5602245:5606076:-1 gene:Potri.014G086700.v4.1 transcript:Potri.014G086700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086700.v4.1 MIANDFTKKPQRKIAPDGFWVDLEPNSKGSVLKSELDFQLRSRFDKFLDSFFKEICGLDSCLWILPPMLGNGQFVDLLKLFLAVSEKGGYGVVSENGLWDLVAREFGFGLNLAPTVKLVYIKYLDSLERWLERLLVDGIGLNAELSDRGVNVGGALMELGAEFKGLLSEMPENEFLELNSELNVNAEVESYESEKFAEDEESLHIDSTKSGVDFVEVGESGDNVVKSFMMDGSFSNEDVKGKDVDENLISDSRKSEKVENEDEVKSVVVVETDGDEEGNKGDNSEIEELDLATFEESVSSRKRKRDSIYRMLNWVTEIAKDPCDPVVGSLPEWSKWKSYGNEECWKQVLVTRESLFLKRNADSTSSAEGFVKQKYQKMHPCMYDDHAGSSYNLRERLKCRKLFPGETSSQALVCSQSSSAATQTDSDSCMEGVYDGDSSTECSVLDLPITKRIPVGPVFQAEVPEWTGMASESDSKWLGTRVWPLETSINKFVIEREPIGKGRSDSCGCQVPKSIKCVRFHITERRSRVMRELGKAFNQWRFDKMGEEVKLSWSLEDQKKFGAIVRSNPLSLDKCFWDEIFRCFPSRRREDLVSYYYNVFLLQRRANQNRSTPDSINSDDDESECELVNYGSRREAVNSPGSLISAKKQHKYVK >Potri.014G086700.6.v4.1 pep chromosome:Pop_tri_v4:14:5602249:5606008:-1 gene:Potri.014G086700.v4.1 transcript:Potri.014G086700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086700.v4.1 MTGWSMIANDFTKKPQRKIAPDGFWVDLEPNSKGSVLKSELDFQLRSRFDKFLDSFFKEICGLDSCLWILPPMLGNGQFVDLLKLFLAVSEKGGYGVVSENGLWDLVAREFGFGLNLAPTVKLVYIKYLDSLERWLERLLVDGIGLNAELSDRGVNVGGALMELGAEFKGLLSEMPENEFLELNSELNVNAEVESYESEKFAEDEESLHIDSTKSGVDFVEVGESGDNVVKSFMMDGSFSNEDVKGKDVDENLISDSRKSEKVENEDEVKSVVVVETDGDEEGNKGDNSEIEELDLATFEESVSSRKRKRDSIYRMLNWVTEIAKDPCDPVVGSLPEWSKWKSYGNEECWKQVLVTRESLFLKRNADSTSSAEGFVKQKYQKMHPCMYDDHAGSSYNLRERLKCRKLFPGETSSQALVCSQSSSAATQTDSDSCMEGVYDGDSSTECSVLDLPITKRIPVGPVFQAEVPEWTGMASESDSKWLGTRVWPLETSINKFVIEREPIGKGRSDSCGCQVPKSIKCVRFHITERRSRVMRELGKAFNQWRFDKMGEEVKLSWSLEDQKKFGAIVRSNPLSLDKCFWDEIFRCFPSRRREDLVSYYYNVFLLQRRANQNRSTPDSINSDDDESECELVNYGSRREAVNSPGSLISAKKQHKYVK >Potri.011G094100.5.v4.1 pep chromosome:Pop_tri_v4:11:12147185:12162552:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.1.v4.1 pep chromosome:Pop_tri_v4:11:12147180:12162541:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.11.v4.1 pep chromosome:Pop_tri_v4:11:12147268:12162539:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.9.v4.1 pep chromosome:Pop_tri_v4:11:12147268:12162237:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.7.v4.1 pep chromosome:Pop_tri_v4:11:12147185:12162552:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.13.v4.1 pep chromosome:Pop_tri_v4:11:12147199:12162527:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.14.v4.1 pep chromosome:Pop_tri_v4:11:12147199:12162525:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.10.v4.1 pep chromosome:Pop_tri_v4:11:12147268:12162111:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.15.v4.1 pep chromosome:Pop_tri_v4:11:12147268:12162068:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVICCTVYVDIL >Potri.011G094100.8.v4.1 pep chromosome:Pop_tri_v4:11:12147268:12162518:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.6.v4.1 pep chromosome:Pop_tri_v4:11:12147180:12162540:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVREEVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.011G094100.12.v4.1 pep chromosome:Pop_tri_v4:11:12147268:12162538:1 gene:Potri.011G094100.v4.1 transcript:Potri.011G094100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094100.v4.1 MTKLKKRRSTEVPPKIKSIINGVITSPLENIEEPLKGFVWEFDKGDFHHWVDLFNHFDSYFEKHIKPRKDLQVEDNFLESDPPFPREAVLQILHVIRIILENCTNKHFYSSYEQHLSNLLASTDADIVEACLQTLAAFLNKTLGRYSIRDLSLNTKLFSLAQGWGGKDEGLGLVASTTQNGCDPVAYELGCTLHFEFYALNELSSQFSAIEQPTQGLQIIHLPNVDTCPETDCELLNKLVVEYKVPPSLRFSLLTRLRFARAFRPLVSRHLYTCIRLYAFIVLVQASSDADDLVSFFNSEPEFVNELVSLLSYEDEVPEKIRILCLLSLVALSQDRSRQSTVLAAVTSSGHRGILSSLMQKAIDSVISDSSKWSVDFAEALLSLVTVLVSSSSGCSAMREAGFIPTLLPLLKDTDPQHLHLVAAAVHILEAFMDYSNPATALFRELGGLDDTISRLKVEVSHVEDCKQQGEDSDSRTRNLQVAASASSELDSMLPLYSEALVAYHRRLLMKALLRAISLGTYAAGNTSRIYGSEESLLPQCLCLIFRRAKDFGGGVFSLAATVMSDLIHKDPTCFPILDAAGLPSAFLNAIMDGVLCSSEAIMCIPQCLDALCLNNNGLQAVKDRNALRCFVKIFTSKTYLRALFGETPGSLSTGLDELMRHASSLRGPGVDMLIEILNVITKIGSGVDGSCASTDPSCSAPVPMETDAEERSLVLSDDRGSFRMETLEQTTEQSSDTSAANIDSLFPECLSNVARLLETVLQNSDTCHIFVEKKGIDAVLQLFTLPLMPISTSIGQIISVAFKNFSHQHSASLARAVCAFLREHLKSTNELLVSVAGTHLGVVESAKQAKVLRYLSSLEGILSLSNFLLKGNSTFVSELGTADADVLKDIGMAYREIIWQVSLYNDSKVDEKRNAEQGTDLSSSTAVVRESDDDANIPVVRYMNPVSIRNGSQSLWGGEREFLSVIRSGEGLHRRSRHGLARIRSGRTGQHLDALSIDSEIPSDEPETSLPKLKSRTPDEILNKLASLLRSFFSALVKGFTSPNRRRADVGLLSAVSKTLGTTLAKIYLEALSFSGYFTAGLDTSLSVKCRYLGKVVDDMAALTFDSRRRTCYASMVNNFYVHGTFKELLTTFEATSQLLWTLPYPFPCPSVDHEKAGEGNNLSHSTWLLDTLHSYCRVLEYFVNSTLLLSSTSGSQVQLLVQPVAAGLSIGLFPVPKDPEVFVRMLQSQVLDVMLSVWNHPMFPSCSTGFISSIVSLVTHIYSGVGDVKRNRSGIAGSTNQRFMLPPPDENTIAMIVEMGFTRARAEEALRRVETNSVEMAMEWLFSHAEDPVQEDDELARALALSLGSSSEGLKIDDEDNSIDAVTEEGQMTVPPVEDILAASVKLFQSSDTMAFSLTDLLVTLCNRNKGEDRLKVASYLIEQLKLCPLDFSKDSSALCMISHILALLLFEDGTVREIAAQNGIVAAVTNVLMNFKARNASGSEILIPKCISALLLILDNMSQSRPRISSETTGGTQTVSLPDSSVLASGTEKNVASDFPEKESGTALEKLLGKSTGYLTIEESREVLLVACDLMKQHVPAVIMQAILQLCARLTKTHILALQFLENGGLTALFSIPRSCFFPGYDTVASAIIRHLLEDPHTLQTAMELEIRQTLIGNRHAGRIFPRTFLTSMAPVISRDPVVFMKAAAAACQLESSGGRTFVVLLKEKEKERDKSKASGAEESVRISENKMHDGSGKCAKGHKKIPANLTQVMDQLLDIVLKHPLPKSPEGCVGDLNSMDVDEPATKLKGKSKVDETKKVESESERSAGLAKVTFVLKLLSDVLLMYVHAVGVILRRDLELCHLRGSNQTDSSGQGGIIHHILHQLLLISTDKSAGPDEWRDKLSEKASWFIVVLCGRSGEGRRRVINELVKAMSSFSNLESNSHNNVLLPDKKVFAFSDLVYSILSKNASSSHLPGSGCSPDIAKSMIDGGMVQSLTSILQVIDLDHPDAPKIVNLLLKALESLSRAANASEQVLKSVGLNKKKTTVSNGRCDEQTAASAVETIEHNQNSGATQEAPDEEDTDIQQQQGTTHVEGNHAAHQNQPAEQDMRIESEDTMPTNPSVEIGMDFMHEEMEEGGVLHNTDQIEMTFRVENRAGDDMGDEDDDMGDDGGEDEDEDDDEGEDEDITEDGAGMMSLADTDVEDHDDTGLADDYNDEMIDEDDFHENRVIEVRWREALDGLDHLQVLGQPGASSGLIDVAAEPFERVNVDDLFGLRRPLGFDRRRQSGRSSFERSVTEANGFQHPLLLRPSQSEDLVSMWSSGGHSSRGLEALSYGSFDVPHFYMFDAPVLPFEHVPSSIFGDRLGRAAPPPLSDSSLGMDSLHTQGRRGPGDGRWTDDGQPQAGARSAAIAQAIEEQFISQLCSVPTTNAPIERQVQNSGVQENQPFHNPPSNDGQVVVDDDNTSSQQNEVQQGNGNEVTHYQPNPTAETIPSNEQVDSRSSFSDSGEDLQVDEPMLAQPISLNSTPNGLDNMEIGDGDGTACDQVETMPENVNSAEHHASLQCEGVPEAHASLNDVPVQDVRSSTDDQCNNPLLANSVSMMPDVDQMNADVEMTGADAEGNRPGQSMPASEQGADETSSRQETLVAQDATQANQNGIDNETPTTSAIDPTFLEALPEDLRTEVLASQQAQSVQPPTYAPPSVEDIDPEFLAALPPDIQAEVLAQQRAQRIAQQAEGQPVDMDNASIIATFPADVLLTSSEAVLSALPSPLLAEAQMLRDRAMSHYQARSLFGSSHRLNSRRNGLGFDRQTVMDRGVGVTIGRRAASAFADGMKMNEIEGEPLLDTNALKALIHLLRMAQPLGKGLLQRLLLNLCAHSTTRTSLVCLLLNMIKPEAEGSVSGLAAINSQRLYGCQSNVVYGRSQLMDGLPPLVLRRVLEILTYLATNHSSIANMLFYFDPSIVLEPLSPKYLETKIDKGKEKIGDGDNSLKPLGDTDNVPLILFLKLLNRPLFLHSTTHLEQVMGLLQVVVFTAASKLDTHAQSGQARENSQKQTAGEVPGGVQSVPPLVAESSQEDKAASSGSISNGNRSIDACSVFLKLPQPELSNLCSLLGCEGLSDKVYMLAGEVLKKLASIVATHRKFFTSELSELAHGLSSSAVSELVTLRNTHMLGLSAGSMAGAAILRVLQALSSLTSLTSLTSPTIDENMDLESGGEQEEQTTMWNLSIALQPLWLELSECISLTETQLVQSTFSPTVSNINVGELVQGGSSSSPLPPGTQRLLPFIEAFFVLCEKLQANQSIVQQDHVTITAREVKESSGSSSSTTACFGDSQRKVDGVVTFSRFAEKHRRLLNTFIRQNPGLLEKSLSMMLKAPRLIDFDNKRAYFRSRIRQQHEQHRSGPLRISVRRAYVLEDSYNQLRMRPTQDLRGRLNVQFQGEEGIDAGGLTREWYQLLSRVVFDKGALLFTTVGNDVTFQPNPNSVYQTEHLSYFKFVGRVVSKALFDGQLLDVYFTRSFYKHILGAKVTYHDIEAVDPDYYKNLKWMLENDVSDIPDLTFSMDADEEKHILYEKTQVTDYELKPGGRNIRVTEETKHEYVDLVADHILTNAIRPQINSFLEGFNELVPRELISIFNDKELELLISGLPEIDLDDLKANTEYTGYTPASGVVQWFWEVVKGFNKEDMARLLQFVTGTSKVPLEGFKALQGISGPQKLQIHKAYGAPERLPSAHTCFNQLDLPEYTSGEQLQERLLLAIHEASEGFGFG >Potri.004G236300.1.v4.1 pep chromosome:Pop_tri_v4:4:24060094:24063975:-1 gene:Potri.004G236300.v4.1 transcript:Potri.004G236300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236300.v4.1 MEGEGGGIRLSKVFDDNNKKSGGGGDEVDYKNKSGTAWSHSYLNQKPWHPLSYPNQRRKWIAEQTHAQRQRRTEEVAREYAQEQEFFRQTALISKKEKEKIEMMKAVSFMYVRPPGYNAESAKAAEIADASQPPAAAASSSSNSMHPESVPGDDKKKPRPKDAFGRPLPTQEDFQVLTNAPRLETGVPARVKPFGIEVRNVKCLRCGKYGHQSGDRECPLKDVIMPNEESRLKRDDPLTAIVAQTDPSEPLKWELKQKPGLSPPRGGFNPDDPNQQIVAEDIFDEYGGFLSGGNIPDLLTNFSPSERKRKSKKSKHKKESSPSREIRASEENGLSSPSNREEKRSKEEKKRKKKKKRLDHSESSSSEDFEFDRPSKRNRHRHSYSSEDCDSDKNLRNKKSKQKHYYSSESDSDRCRSKNSRKKDSYSTVHFHSERHHRSGRDKQSYSHLSTDSKSDRQRRGKKHSHRLFHRSDS >Potri.003G144700.4.v4.1 pep chromosome:Pop_tri_v4:3:15983815:15986481:-1 gene:Potri.003G144700.v4.1 transcript:Potri.003G144700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144700.v4.1 MPIISRIKPVDLTATKNVFVSAVRFATSTGESCPPFGDELKISAQEQIEYMLGEDEDMPLVMADDEVKSVVRMGLSRIFSTFEKQLSSLVLESDIASDTAEANILQCVSDLEWMCSMLPKMELMKDFVSSWAGISGGILGILADKKLESAMWGLKVKLIEVSGKALEAVGYGNVILSAPIRVQLLKSWLPYIREMKPLLDSKGTEDTSFPHKMDEDLCQSIEGAIISLVLALPSNDQADILADWMEADQVSYPDLSEAFEVWCYRTKSAKRRLAEGLRRVDNTTVSLE >Potri.003G144700.3.v4.1 pep chromosome:Pop_tri_v4:3:15983791:15986481:-1 gene:Potri.003G144700.v4.1 transcript:Potri.003G144700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144700.v4.1 MPIISRIKPVDLTATKNVFVSAVRFATSTGESCPPFGDELKISAQEQIEYMLGEDEDMPLVMADDEVKSVVRMGLSRIFSTFEKQLSSLVLESDIASDTAEANILQCVSDLEWMCSMLPKMELMKDFVSSWAGISGGILGILADKKLESAMWGLKVKLIEVSGKALEAVGYGNVILSAPIRVQLLKSWLPYIREMKPLLDSKGTEDTSFPHKMDEDLCQSIEGAIISLVLALPSNDQADILADWMEADQVSYPDLSEAFEVWCYRTKSAKRRLAEGLRRVDNTTVSLE >Potri.003G144700.6.v4.1 pep chromosome:Pop_tri_v4:3:15983815:15986352:-1 gene:Potri.003G144700.v4.1 transcript:Potri.003G144700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144700.v4.1 MPIISRIKPVDLTATKNVFVSAVRFATSTGESCPPFGDELKISAQEQIEYMLGEDEDMPLVMADDEVKSVVRMGLSRIFSTFEKQLSSLVLESDIASDTAEANILQCVSDLEWMCSMLPKMELMKDFVSSWAGISGGILGILADKKLESAMWGLKVKLIEVSGKALEAVGYGNVILSAPIRVQLLKSWLPYIREMKPLLDSKGTEDTSFPHKMDEDLCQSIEGAIISLVLALPSNDQADILADWMEADQVSYPDLSEAFEVWCYRTKSAKRRLAEGLRRVDNTTVSLE >Potri.006G263000.1.v4.1 pep chromosome:Pop_tri_v4:6:25877628:25881903:-1 gene:Potri.006G263000.v4.1 transcript:Potri.006G263000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263000.v4.1 MPPVPPNVLQNLKIFELRCKKQSSHSQQQETMKRTHISYSLDRTCLILLITLALLSLILLLIVGQNKSSPSTDTSSNQQKHSILDQNLNDPSQLPRLPRFAYFISGTKGDVSSVKRLLQAVYHPRNYYLLHLDFEASDGERLELAKYVKVESGVMREFGNVMVLGKGDLVTYKGPTMIASILHGVAILLKQFEDWDWFVNLSAEDYPLMHQDDILHIFSYLPRDLNFLEHTSGIGWKEYQRAKPIIIDPGLYHAKKSGVFWAKEKRSLPAAFKLFMGSELVVLTRSFLEFCVWGWDNLPRTVLMYYTNFLSSTEGYFHTVICNQKDYQNTTVNHDLHYLKWDNPPKQYPLNLTVEHFEDMVASGAPFARKFAKDDPVLNKIDKELLGIPDGQLTRGRWCAGKSLSDKDPCVVYGSPFAVKPSTVNSRRLEELMVKLLDSENFRSKQCK >Potri.006G132700.1.v4.1 pep chromosome:Pop_tri_v4:6:10863820:10869826:-1 gene:Potri.006G132700.v4.1 transcript:Potri.006G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132700.v4.1 MKQLLILGSSTVTPPTNPSSTPHHHHHSPKPKPKTPSLHAPSKPIPAVHSRSPPLLSTIPFRQNHNSSSLLDYHANLASKLAEDGRLQDFVMIAESVIASGVEPSSFVAALSVGPVAKGISKNLQQGNVDCVVRFLKKTEELGVSTLKFLDGVAIDLLKKEFIRIVNCGDVEQVVYIMETLAGFCFSFKELVDPSYIIKICVDKLNPKMAVRYAAIFPGEGRILFCNIISEFGRKGHLDSALVAYDEAKHKLSVPNMYLHRTIIDVCGLCGDYMKSRYIYEDLINRKVIPNVYVFNSLMNVNAHDLGYTFSVFKNMQNLGVTADVASYNILLKACCIAGRVDLAKDIYREVKQLESAEVLKLDVFTYCMIVKIFADAKMWQMALKIKEDMLSSGVTPNMHIWSSLISACANAGLVEQAIQLFEEMLLSGCKPNSQCCNILLHACVQACQYDRAFRLFQCWKGSEAQEVFHGDHSGNADEIEHAQKHCPNMTTIVPNSHHLNFIKKFPFTPTPATYHMLMKACGSDYHRAKALMDEMKTVGISPNHISWSILIDICGVSGNVSGAVQILKNMRMAGVEPDVVAYTTAIKVCVETKNLKLAFSLFAEMKRCQINPNLVTYNTLLRARTRYGSLREVQQCLAIYQDMRKAGYKSNDYYLKQLIEEWCEGVIQDNNQIQGGFASCKRTDLGRPRSLLLEKVAAHLQNNISENLAIDLQGLTKVEARIVVLAVLRMIKENYTLGYSVKEDMWITLDVSKVDPASKRDSEVKNAIIELLRNELGLEVLVAVPGHLDDIKTDSKSSLDPGPDLVVRLARNNKMASSTRRPIVVTQRLKVRRKSLHEWLQRRAGAIRR >Potri.019G090600.2.v4.1 pep chromosome:Pop_tri_v4:19:12868310:12871724:-1 gene:Potri.019G090600.v4.1 transcript:Potri.019G090600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090600.v4.1 MQIHPLKLMLSPTFARCSSNLKPYFLKTKPFSSSSLDFSPWSGLQSWRESPLNKNRFWGPKGPQTPPSSIDTNGTSLLDSASSLAELGALVLSTSDPLSKSKLSHLAFSKWRNEKLPVGVYDPPSRPARPPKPELVSPKEIPAPKDSGMPLNAYMLHNLAHVELNAIDLAWDTVVRFSPFSEILEEGFFADFAHVADDESRHFAWCSQRLDELGFRYGDMPAHNLLWRECEKSSDDVAARLAVIPLVQEARGLDAGPRLVQKLVGFGDNITSKIVARIADEEVAHVAVGVYWFVSVCQKMGRAPCSTFKDLLREYNVELKGPFNYSARDEAGIPRDWYDKSSTNKQDEVTKPNTPEKLSVVYERLASIIAMETENASLNKPAG >Potri.007G070000.1.v4.1 pep chromosome:Pop_tri_v4:7:9101771:9106609:1 gene:Potri.007G070000.v4.1 transcript:Potri.007G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070000.v4.1 MATSVVPAGRSARRAAAEDEKLVFETTEGIEPVASFDEMGLKEDVLRGIYNYGFEKPSAIQQRALMPIIKGRDVIAQAQSGTGKTSMIALTACQLVDTANREVQALILSPTRELAEQTEKVITAIGENINIQVHACIGGKSVGEDIRKLEYGVHVVSGTPGRVCDMIKRRSLRTRAIRVLVLDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPNEILEITSKFMTDPVKILVKRDELTLEGIKQFFVAVEKEEWKFETLTDLYDTLTITQAVIFCNTKRKVDWLTAKMVEFNFTVSAMHGDMPQRERDAIMSNFRLGETRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIRILRDIEQYYSTQIDEMPMNIGDLI >Potri.005G145702.1.v4.1 pep chromosome:Pop_tri_v4:5:11993702:12002237:-1 gene:Potri.005G145702.v4.1 transcript:Potri.005G145702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145702.v4.1 MAPSHQISMPLTNLVGCYFGVVLPNSFIGFLQSWEVGLNLVQESLANEHDSSAFSLFFKIARTSINHTDPEVNNHQAKTLVSTTVTPSTTNTNSNRSSTFQG >Potri.017G148000.2.v4.1 pep chromosome:Pop_tri_v4:17:14719923:14725878:1 gene:Potri.017G148000.v4.1 transcript:Potri.017G148000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148000.v4.1 MGSKSTTMEDVDRLFECFKCGISPPQSAVRERKRSKGKIKNQGISINEASPGSAEQPKKKIASNAVEGKNVSQGKQISPVVFYGSPHGVPPKRPISLLRLLREIRIDLAEQQKSQLSKEVWATFPRQNEAMNFAKEHADVRIFSYQDHHNGQRRFLVSTYREFWRRYKNMDAKFRHHYEVIQEGLPCHLYFDLEFSRRDNAERNGDEMVDLLISVTLEALFEKYSIQGNQDWIVELDSSTAEKFSRHLIIRIPKTAFKDNTHAGAFVSEICSRILSTRGRDEGFENMFIRKDSSSAEPPSQLFIDAAVYSRNRCFRLALSSKAGKNSVLLPTERFKCKDMCEEDMFMSSLICSMDVDCNKLLVCKMDMECMKTLQFDTEVNNDYRRHCTPKEFPLNGVPSDTGNSPFPALDKFIESIASIGNISGKIRSWYWFSEYGLMVYSMSRNRYCERIGREHKSNHVMYVVDLRRADYYQKCHDPDCQGYRSPLRPIPWNVISDPPYSYDSVQMVDQIGSTNDYLKLQHVSNECEDFLLCDNKHDPDSCSKDSWWLEAIKVADDIENKQRTSMEPELCWGSGICLQSRFSMAGMSQRSSLKIDEVAPLSLILTWIALTRKMTIGGRLWKKLHPNLNLFTSVNKWVLTDG >Potri.017G148000.3.v4.1 pep chromosome:Pop_tri_v4:17:14719923:14725878:1 gene:Potri.017G148000.v4.1 transcript:Potri.017G148000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148000.v4.1 MGSKSTTMEDVDRLFECFKCGISPPQSAVRERKRSKGKIKNQGISINEASPGSAEQPKKKIASNAVEGKNVSQGKQISPVVFYGSPHGVPPKRPISLLRLLREIRIDLAEQQKSQLSKEVWATFPRQNEAMNFAKEHADVRIFSYQDHHNGQRRFLVSTYREFWRRYKNMDAKFRHHYEVIQEGLPCHLYFDLEFSRRDNAERNGDEMVDLLISVTLEALFEKYSIQGNQDWIVELDSSTAEKFSRHLIIRIPKTAFKDNTHAGAFVSEICSRILSTRGRDEGFENMFIRKDSSSAEPPSQLFIDAAVYSRNRCFRLALSSKAGKNSVLLPTERFKCKDMCEEDMFMSSLICSMDVDCNKLLVCKMDMECMKTLQFDTEVNNDYRRHCTPKEFPLNGVPSDTGNSPFPALDKFIESIASIGNISGKIRSWYWFSEYGLMVYSMSRNRYCERIGREHKSNHVMYVVDLRRADYYQKCHDPDCQGYRSPLRPIPWNVISDPPYSYDSVQMVDQIGSTNDYLKLQHVSNECEDFLLCDNKHDPDSCSKDSWWLEAIKVADDIENKQRTSMEPELCWGSGICLQSRFSMAG >Potri.017G148000.5.v4.1 pep chromosome:Pop_tri_v4:17:14719923:14725878:1 gene:Potri.017G148000.v4.1 transcript:Potri.017G148000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148000.v4.1 MGSKSTTMEDVDRLFECFKCGISPPQSAVRERKRSKGKIKNQGISINEASPGSAEQPKKKIASNAVEGKNVSQGKQISPVVFYGSPHGVPPKRPISLLRLLREIRIDLAEQQKSQLSKEVWATFPRQNEAMNFAKEHADVRIFSYQDHHNGQRRFLVSTYREFWRRYKNMDAKFRHHYEVIQEGLPCHLYFDLEFSRRDNAERNGDEMVDLLISVTLEALFEKYSIQGNQDWIVELDSSTAEKFSRHLIIRIPKTAFKDNTHAGAFVSEICSRILSTRGRDEGFENMFIRKDSSSAEPPSQLFIDAAVYSRNRCFRLALSSKAGKNSVLLPTERFKCKDMCEEDMFMSSLICSMDVDCNKLLVCKMDMECMKTLQFDTEVNNDYRRHCTPKEFPLNGVPSDTGNSPFPALDKFIESIASIGNISGKIRSWYWFSEYGLMVYSMSRNRYCERIGREHKSNHVMYVVDLRRADYYQKCHDPDCQGYRSPLRPIPWNVISDPPYSYDSVQMVDQIGSTNDYLKLQHVSNECEDFLLCDNKHDPDSCSKDSWWLEAIKVADDIENKQRTSVSVLL >Potri.017G148000.1.v4.1 pep chromosome:Pop_tri_v4:17:14719923:14725878:1 gene:Potri.017G148000.v4.1 transcript:Potri.017G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148000.v4.1 MGSKSTTMEDVDRLFECFKCGISPPQSAVRERKRSKGKIKNQGISINEASPGSAEQPKKKIASNAVEGKNVSQGKQISPVVFYGSPHGVPPKRPISLLRLLREIRIDLAEQQKSQLSKEVWATFPRQNEAMNFAKEHADVRIFSYQDHHNGQRRFLVSTYREFWRRYKNMDAKFRHHYEVIQEGLPCHLYFDLEFSRRDNAERNGDEMVDLLISVTLEALFEKYSIQGNQDWIVELDSSTAEKFSRHLIIRIPKTAFKDNTHAGAFVSEICSRILSTRGRDEGFENMFIRKDSSSAEPPSQLFIDAAVYSRNRCFRLALSSKAGKNSVLLPTERFKCKDMCEEDMFMSSLICSMDVDCNKLLVCKMDMECMKTLQFDTEVNNDYRRHCTPKEFPLNGVPSDTGNSPFPALDKFIESIASIGNISGKIRSWYWFSEYGLMVYSMSRNRYCERIGREHKSNHVMYVVDLRRADYYQKCHDPDCQGYRSPLRPIPWNVISDPPYSYDSVQMVDQIGSTNDYLKLQHVSNECEDFLLCDNKHDPDSCSKDSWWLEAIKVADDIENKQRTSDSIDEEDDNWWTAVEETASQSELIHFS >Potri.017G148000.4.v4.1 pep chromosome:Pop_tri_v4:17:14719923:14725878:1 gene:Potri.017G148000.v4.1 transcript:Potri.017G148000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148000.v4.1 MGSKSTTMEDVDRLFECFKCGISPPQSAVRERKRSKGKIKNQGISINEASPGSAEQPKKKIASNAVEGKNVSQGKQISPVVFYGSPHGVPPKRPISLLRLLREIRIDLAEQQKSQLSKEVWATFPRQNEAMNFAKEHADVRIFSYQDHHNGQRRFLVSTYREFWRRYKNMDAKFRHHYEVIQEGLPCHLYFDLEFSRRDNAERNGDEMVDLLISVTLEALFEKYSIQGNQDWIVELDSSTAEKFSRHLIIRIPKTAFKDNTHAGAFVSEICSRILSTRGRDEGFENMFIRKDSSSAEPPSQLFIDAAVYSRNRCFRLALSSKAGKNSVLLPTERFKCKDMCEEDMFMSSLICSMDVDCNKLLVCKMDMECMKTLQFDTEVNNDYRRHCTPKEFPLNGVPSDTGNSPFPALDKFIESIASIGNISGKIRSWYWFSEYGLMVYSMSRNRYCERIGREHKSNHVMYVVDLRRADYYQKCHDPDCQGYRSPLRPIPWNVISDPPYSYDSVQMVDQIGSTNDYLKLQHVSNECEDFLLCDNKHDPDSCSKDSWWLEAIKVADDIENKQRTSMEPELCWGSGICLQSRFSMAGMSQRSSLKIDEVAPLSLILTW >Potri.005G147200.1.v4.1 pep chromosome:Pop_tri_v4:5:12287284:12289156:1 gene:Potri.005G147200.v4.1 transcript:Potri.005G147200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147200.v4.1 MNSSILTRRLNPAKTLLLPYSTNTPTFHFHSRTPNPPQSDPLNLDSSTVFQTLSCYNNDWKRALDFFNWVETESQFQHTTETYNRMIDILGKFFEFDLSWDLIQRMRNNPFSTPNHTTFRVLFHRYISAHLVNEAVSVYEDRLKEFGLKDETSYCILVDALCEYKHVIEAHELCFGNNNNSINVRNITKIYNMILRGWFKMGWWGKCREFWEEMDRKEVCKDLHSYSIYMDILCKSGKPWKAVKLYKEMKSKGIKLDVVAYNTVINAIGLSEGVDFVLRVYREMRELGCQPNVVTCNTVIKLLCENGRIKEAYKMLDEMPQSYIAPDVFTYHCFFRCLEKPKEILCLFDQMIENGVCPRMDTYVMLMRKFGRWGFLRPVFLVWKKMEKLGCSPDEFAYNALIDALIQKGMVDMARKYDEEMMAKGLSAKPRVELNTTEG >Potri.017G010600.1.v4.1 pep chromosome:Pop_tri_v4:17:808188:809843:1 gene:Potri.017G010600.v4.1 transcript:Potri.017G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010600.v4.1 MEIQIISKEIIKPSAPTPHHLKTYKLSAVDQLAAFSADVPIILFYSPTDEISSKNSDYLKKSFAKTLTLFYPFAGRIKDDSSIDCNDDGATYIEAHVAGNMSMILQQPDMDQLEQLQSCKPDENVDEPSGKVMLAAQVNYFDCGGIAISVRIRHRIGDASSLASFVKCWGAISCGIYDNNAGTVVDCSSVFPPQDLSGMSFLYNLIPRSSFNISTKRFVFEGPKLAALRGKLCNGPYLNRPTRFEAVSALIWGVVGEDSESKKVNRPATIAVDLRKRMDPPLPQHCIGNMVHLAEANWENKAVDCNGLAGKIHESISMINSDYVRQVYADGTFFSLMRQRMAEMAEDPNSFRGVIGFSSWCKFRFYEVDFGWGKPIWVGTSLRLEPNWVMLLDTRDGEGMEVRIALPNEEMVKFEQNPDILAYASFTPAI >Potri.017G031400.2.v4.1 pep chromosome:Pop_tri_v4:17:2115519:2118419:1 gene:Potri.017G031400.v4.1 transcript:Potri.017G031400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031400.v4.1 MTNDLVPFIILSLKRMLQPRSIIQNPMDMATMLQRVDSGQYITCSGFLQDIDLIVTNAKVYNGDDYNGARIVSRGYELRDAVHGMLSQMDPALVTYCDKIAAQGGPVQIPDDLGGSIFPSTPVVQLGTVTRTSARLRNVQPDVNLDQSYEALKRQKKNADATCAASTAEDKSRHQDSVQAKPPEEAGADDMNPDRPESSSADDSRHETSGGEASGHTEGSGSQDVTMSEAEVSSHVDYIKRLFVERTENYGMPLLERLYTRIMKGIFETMDKGVEDGPRYSILRFLVKFAENTANF >Potri.001G372850.2.v4.1 pep chromosome:Pop_tri_v4:1:39095474:39097560:1 gene:Potri.001G372850.v4.1 transcript:Potri.001G372850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372850.v4.1 MEKRKILALPLYIYCSPIQVVTSVLLTGAFSVSSSALFDAVLSILKKWLAFNSLMEGDTDKENESKVVVSQVHQIQHLIVLQMEQS >Potri.001G372850.1.v4.1 pep chromosome:Pop_tri_v4:1:39095474:39097560:1 gene:Potri.001G372850.v4.1 transcript:Potri.001G372850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372850.v4.1 MEKRKILALPLYIYCSPIQVVTSVLLTGAFSVSSSALFDAVLSILKKWAILNGLCYLATFFLGINSVGLFLYSARLAFNSLMEGDTDKENESKVVVSQVHQIQHLIVLQMEQS >Potri.004G025425.1.v4.1 pep chromosome:Pop_tri_v4:4:1885782:1889410:1 gene:Potri.004G025425.v4.1 transcript:Potri.004G025425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025425.v4.1 MAFLQMLFLLCPIFMHFIVPNTAQPEINFHLCVGENGNYTADSTYQANLNYLLNSVYSNTEIDYGFYNFSYGESSDKVYAIGLCRGDVKPDACRDCLNYSSLALTSLCPTQKEAIIGLDNCILRYASRYIFGLNEVAPYFFVHSLTNVSDEKGFNRSLNSLLDSLQDEAAAGDSRRKYATRKISAPNFQTIYALSQCTPDLSQTECSSCLRNASARVGQCCQERQGGRVIYPSCNFRYEINRFYEIPIGEDPSPPPSKGKNNTVIVIIILSVVISFMVILIISFYTFSGKRKSREKVKSGSVDDEITRVESLQYNLEIIHLATDNFSEVNKLGQGGFGSVYKGTLPNGQYIAVKRLSRDSTQGEQEFKNEVLLVAKLQHKNLVRLLGFCFEQEERLLIYEFMPNSSLNNFIFDQTKRSQLDWERRYKIIEGISRGLLYLHEDSRLRIIHRDLKPSNILLDAEMNAKISDFGMARLFAGDQTQESTSRVVGTFGYMPPEYVMRGHFSVKSDIFSFGVLVLEIVSGRKRTFINEGEVEDLLTYTWENWNSGPNLDKLIDATLRAGSRNEMLRCIHVGLLCVQENALDRPNMASVVIMLSSYSVTLPVPQKPAFFARGTVLPGTSSTWTESDQSRSASVPFSINEASISELYPR >Potri.016G028600.1.v4.1 pep chromosome:Pop_tri_v4:16:1578351:1579019:-1 gene:Potri.016G028600.v4.1 transcript:Potri.016G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028600.v4.1 MDNFNLRSAYRGSNNLERYDCFDVQCDDMYCYTITTDNEICLMQWIVRLFSLTFPNSGKRLKVSMDMIWDQSVDDHSFFIPVALQFCYEHFCIIYHVSPPQNFPIFSLESFLNHDYVDFFGFQMLYKVRYLRQQYNLVVKNWFDISCQVCLSTPAFLRNHDVSVPLQTLVSVIFSKKYLKPDDILQSNWRLRELSLDKIMFATLDCFFVYKIANLITFPLPL >Potri.017G101200.1.v4.1 pep chromosome:Pop_tri_v4:17:11260324:11262361:-1 gene:Potri.017G101200.v4.1 transcript:Potri.017G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101200.v4.1 MRKLKFHEKKLLKKVNFLDWKRENNHREAHVMQRYHIVERDDYKKYSSVCRMVQKLTNILKQMDPRDPFRVEMTDVLLEKLYNMGVIPSRKSLALCDRLSVSSFCRRRLSTVLMRLKFAEHLKEAVTYIEQGHIRVGPETVTDPAFLVTRNTEDFVTWVDTSKIKRKVLEYNEKVDDYDAMN >Potri.001G402000.2.v4.1 pep chromosome:Pop_tri_v4:1:42792068:42793840:1 gene:Potri.001G402000.v4.1 transcript:Potri.001G402000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402000.v4.1 MGGRGVIGDKWSSRVLWACAIGSVVSLYMVVVERQLQNRERMMAEGLKNIDAESGAGDNV >Potri.012G084600.6.v4.1 pep chromosome:Pop_tri_v4:12:11035546:11040223:-1 gene:Potri.012G084600.v4.1 transcript:Potri.012G084600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084600.v4.1 MMNPYDNRYTDPDSYRHRRSGLMGQQPPLGATYGRGGPVPYGSGGGPLPPSFASRGGPAPVGGGYPAFEPPASGFSVGRGGGGGGGRGRGFSGGRGSNFYTGDRRNDAGHGRGWNSGSGRGGRGYGGGGRGGRHGGGGPKGELDNIALPKQDFGNLVPFEKNFYFENPSIRALSEHEVVMYRTRREITVEGHDVPKPIRLFHEANFPDYCLQVIAKLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYMLPAFVHVAAQPRLVQGDGPIVLVLAPTRELAVQIQEEGLKFGSPANIRSTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDMLEAQHTNLRRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTLYWSATWPREVEILARQFLHNAYKVIIGSADLKANQSINQVVEVIMDMEKYNRLIKLLKEVMDGSRLLIFMETKKGCDQVTRQLRMDGWPALSIHGDKNQAERDWVLAEFKSGRSAIMTATDVAARGLGRIIMCWTPRSICICLEI >Potri.012G084600.1.v4.1 pep chromosome:Pop_tri_v4:12:11035560:11040086:-1 gene:Potri.012G084600.v4.1 transcript:Potri.012G084600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084600.v4.1 MMNPYDNRYTDPDSYRHRRSGLMGQQPPLGATYGRGGPVPYGSGGGPLPPSFASRGGPAPVGGGYPAFEPPASGFSVGRGGGGGGGRGRGFSGGRGSNFYTGDRRNDAGHGRGWNSGSGRGGRGYGGGGRGGRHGGGGPKGELDNIALPKQDFGNLVPFEKNFYFENPSIRALSEHEVVMYRTRREITVEGHDVPKPIRLFHEANFPDYCLQVIAKLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYMLPAFVHVAAQPRLVQGDGPIVLVLAPTRELAVQIQEEGLKFGSPANIRSTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDMLEAQHTNLRRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTLYWSATWPREVEILARQFLHNAYKVIIGSADLKANQSINQVVEVIMDMEKYNRLIKLLKEVMDGSRLLIFMETKKGCDQVTRQLRMDGWPALSIHGDKNQAERDWVLAEFKSGRSAIMTATDVAARGLDVKDIKCVINYDFPSSLEDYVHRIGRTGRAGARGTAFTFFTDSNAKFARGLIRILQESGQIVPPALSALARSSGSFGGSAGNFRSRGRGGSFGNRGSISGSNTVPLGARRPW >Potri.012G084600.7.v4.1 pep chromosome:Pop_tri_v4:12:11035566:11040090:-1 gene:Potri.012G084600.v4.1 transcript:Potri.012G084600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084600.v4.1 MMNPYDNRYTDPDSYRHRRSGLMGQQPPLGATYGRGGPVPYGSGGGPLPPSFASRGGPAPVGGGYPAFEPPASGFSVGRGGGGGGGRGRGFSGGRGSNFYTGDRRNDAGHGRGWNSGSGRGGRGYGGGGRGGRHGGGGPKGELDNIALPKQDFGNLVPFEKNFYFENPSIRALSEHEVVMYRTRREITVEGHDVPKPIRLFHEANFPDYCLQVIAKLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYMLPAFVHVAAQPRLVQGDGPIVLVLAPTRELAVQIQEEGLKFGSPANIRSTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDMLEAQHTNLRRVTYLVLDEADRMLDMGFEPQIRKIISQIRPDRQTLYWSATWPREVEILARQFLHNAYKVIIGSADLKANQSINQVVEVIMDMEKYNRLIKLLKEVMDGSRLLIFMETKKGCDQVTRQLRMDGWPALSIHGDKNQAERDWVLAEFKSGRSAIMTATDVAARGLGRIIMCWTPRSICICLEI >Potri.009G156600.1.v4.1 pep chromosome:Pop_tri_v4:9:12210220:12213261:-1 gene:Potri.009G156600.v4.1 transcript:Potri.009G156600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156600.v4.1 MGASVPASPEILLTILLFAMSCLWAFPEVAGAKHAGITRHYKFNIELTNVTRLCHTKSMVTVNGKFPGPRVVAREGDRLVVKVVNHVPNNISIHWHGIRQLQSGWADGPAYITQCPIQTNQTYVYNFTITGQRGTLFWHAHLSWLRASVYGPLIILPKRNVSYPFAKPHKEVTIMLGEWFNADTEAVISQALQTGGGPNVSEAYTFNGLPGPLYNCSENNTYKLKVKPGKTYLLRLINAALNDDLFFSIANHTFTVVEVDATYAKPFETNLLVITAGQTTNVLLKAKPIAPNASFYMLARPYFTGQGTFDNTTVAGILEYETSSNSTAFKPTLPPINATNVVANFTRRLRSLANSRFPVNVPQTADKKFFFTVGLGNSPCPKNQTCQGPNGTKFSASVNNISMALPSSALLQSYFFKKSNGVYTSDFPSFPLHPFNYTGTPPNNTLVANGTKLVVVPFNTSVEVVMQGTRIFGAESHPLHLHGFNFYVVGEGFGNFDPNNDPKNFNLVDPVERNTVGVPTAGWVAIRFHADNPGVWFMHCHFDVHLSWGLRMAWIVLDGTLPSQKLPPPPSDLPKC >Potri.001G076300.1.v4.1 pep chromosome:Pop_tri_v4:1:5750905:5753888:-1 gene:Potri.001G076300.v4.1 transcript:Potri.001G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076300.v4.1 MSYSKFSDANGAVSNENTSLNAALINSVRKRMAGMNKHIAKYKNANTSLVKENVYLKNRQAWMTKSQKRQKKTLVCLKDKYNELTDKATGLSNLLLESYAQLQERNTELEASNAQLRERNAVLENESNARDEVNKENEHELDQAKI >Potri.014G058300.1.v4.1 pep chromosome:Pop_tri_v4:14:3716855:3718342:-1 gene:Potri.014G058300.v4.1 transcript:Potri.014G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058300.v4.1 MVDIQTVCCMCGDVGFPDKLFRCNKCRNRFQHLYCSNYYGEFSEPIEQCDWCQSEERNARHGNSSKKSGAEHDSGTLVTKRSEYSGDHKIKQHDREENSTTSSDQKGKNPSGIPSPRPTTRRYKLLKDVMC >Potri.008G066300.1.v4.1 pep chromosome:Pop_tri_v4:8:4000751:4003208:-1 gene:Potri.008G066300.v4.1 transcript:Potri.008G066300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066300.v4.1 MVNKLSKADKKIAYDAKLCQLLDEYSQILIAAADNVGSTQLQNIRRGLRGDSVVLMGKNTMMKRSVRIHSEKTGNKTFLNLIPLLQGNVGLIFTKGDLKEVSEEVAKYKVGAPARVGLVAPIDVVVPPGNTGLDPSQTSFFQVLNIPTKINKGTVEIITPVELIKKGDKVGSSEAALLAKLGIRPFSYGLVVLSVYDNGSVFSPTVLDLTEDDLVEKFATGITMITSLSLAISYPTLAAAPHMFINAYKNILSVAVATEYSYPQAEEVKEFLKDPSKFAVAAAPAAAAAAAPGGAPAAAKEEEKKEEPAEESDDDMGFSLFD >Potri.019G019053.1.v4.1 pep chromosome:Pop_tri_v4:19:294255:299346:-1 gene:Potri.019G019053.v4.1 transcript:Potri.019G019053.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019053.v4.1 MLKEKRKQSKDEDNDSSSRKRRKADLSKPVSFVSTATRTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIRAFRDDDDLPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILECKKRKTGQIVLPIFYDIDPSDVRKQTGSFAKAFDKHEKRFEEKLVKEWRKALEDAANLSGRSLNNMANGHEAKFIKKIIKDVLNKLDPKYLYVPEHLVGMDKLAHDIFDFLSTATDDVRIAGIHGMPGIGKTTIAKVVFKQLCDRFEDSCFLSNINIETPKKLTGLVGFQTQLLREILKQGVPNFECVDRGKVLIKERLRGKRVLVVADDVAHLDQLKALMGERSWFGLGSRVIITTRDSNLLREADRTYQIEELKPDESLQLFSCHAFKDSKPAKDYIKLSKDAVDYCGGLPLALEVMGACLSGKNRDGWKCVIEKLRRIPNHDIQGRLRISFDALDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLQTLHERSLIKVLGETVTMHDLLRDMGREVVRDKFPKEPGKRTRIWNQEDAWNVLEQQKGTVVVEGLALDVRASEAKALCAGSFAEMKRLNLLQINGVHLTGSFKLLSKELMWICWHRCPLKDFPSDFTADYLAVLDMQYSNLKELWKGKKILNRLKIFNLSHSRNLVKTPNLHSSSLEKLILKGCSSLVEVHQSIGHSTSLVFLNLKGCWSLKTLPESIRNVKSLETMKIYGCSQLEKLPEGMGDMKFLTELLADGIKTEQFLSSIGQLKYVKRLSLRGCSPTPPSCSLISAGVSILKCWLPTSFTEWRLVKHLMLSNCGLSDRATNCVDFSGLFSLEKLDLSENKFSSLPYGIGFLPKLSHLVVQTCEYLVSIPDLPSSLCLLDASSCKSLERVRIPIESKKELCVNIFQSLSLEEIQGIEGLNNSFWNVSIERRSHSPNKLQKSVLEAMCNRGHGYRINFSLEHDELHEMPDWMSYRGEGCSLSFHIPPVFHGLVLWLEKGTHMYTYTNIIIIIRNKSNGRILFKDKRAQIGIHIFMQGWLRYISRSEMAMEDYCGDELELYISSEPTDYALRKGKSLKPSVKECGVHVIAGKSDSLKKSAVERDTVMLSPPLYHLLPHPHRGSITTSTPKQWCDFLLAELQNHSLGLLLLGREDLE >Potri.002G032500.11.v4.1 pep chromosome:Pop_tri_v4:2:2160648:2165021:1 gene:Potri.002G032500.v4.1 transcript:Potri.002G032500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032500.v4.1 MVSLQGPVICPTVRAKQAGKHAFPMTGPLVKARLVRSELWGFKGYKTKVGLTSRQLKARRCNTVQCSLSSSSDGNGSTAENFNENDEDYVNSSVVEAVEVKSGSDGFVIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMVEKMGFEVKLVRVTKRVHEAYFAQLYLTKIGNETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKPIQSPASDGILFTELDRPTGQPCLDTKEFNLVRNMFTAAFEERYGDAAQWRDKLGQFRAKRNLKKYT >Potri.002G032500.14.v4.1 pep chromosome:Pop_tri_v4:2:2160552:2164529:1 gene:Potri.002G032500.v4.1 transcript:Potri.002G032500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032500.v4.1 MVSLQGPVICPTVRAKQAGKHAFPMTGPLVKARLVRSELWGFKGYKTKVGLTSRQLKARRCNTVQCSLSSSSDGNGSTAENFNENDEDYVNSSVVEAVEVKSGSDGFVIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMVEKMGFEVKLVRVTKRVHEAYFAQLYLTKIGNETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKPIQSPASDGILFTELDRPTGQPCLDTKEFNLVRNMFTAAFEERYGDAAQWRDKLGQFRAKRNLKKYT >Potri.002G032500.12.v4.1 pep chromosome:Pop_tri_v4:2:2160688:2164449:1 gene:Potri.002G032500.v4.1 transcript:Potri.002G032500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032500.v4.1 MVSLQGPVICPTVRAKQAGKHAFPMTGPLVKARLVRSELWGFKGYKTKVGLTSRQLKARRCNTVQCSLSSSSDGNGSTAENFNENDEDYVNSSVVEAVEVKSGSDGFVIKMRDGRHLRCVHNNPQGGHLPDYAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKDMVEKMGFEVKLVRVTKRVHEAYFAQLYLTKIGNETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKPIQSPASDGILFTELDRPTGQPCLDTKEFNLVRNMFTAAFEERYGDAAQWRDKLGQFRAKRNLKKYT >Potri.001G181200.5.v4.1 pep chromosome:Pop_tri_v4:1:15974226:15978425:-1 gene:Potri.001G181200.v4.1 transcript:Potri.001G181200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181200.v4.1 MHQMVCVDEDGDLILTRRHAHHYLPTRSFSVTIQHNITSSISNVGLQVWKAELLLSDFVLHKMLTSSDFDEIVSLELGAGTGLVGMLLAHVAKTVFLTDRGDEILDNCASNVDLNSEVLNYQGSIHVRELDWMGSWPPSTSSGNSTCHKSYSWTSSNVEEAERAALLVAADVIYSDDLTDALFCVLEKLMSLSPKKVLYLALEKRYNFSLDDLDVVANGYSHFRSYLRGQEDYDNLKHGSSPCFVGKCLDLSLIPQYVREYERGNDVELWQIMYNARKPNLGDSCD >Potri.001G181200.1.v4.1 pep chromosome:Pop_tri_v4:1:15974226:15978425:-1 gene:Potri.001G181200.v4.1 transcript:Potri.001G181200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181200.v4.1 MEEEGQEDHVMSEVHLGCPPGSSGPHISHFTISIPPGVDCGRFNNLFKDEQVPMHQMVCVDEDGDLILTRRHAHHYLPTRSFSVTIQHNITSSISNVGLQVWKAELLLSDFVLHKMLTSSDFDEIVSLELGAGTGLVGMLLAHVAKTVFLTDRGDEILDNCASNVDLNSEVLNYQGSIHVRELDWMGSWPPSTSSGNSTCHKSYSWTSSNVEEAERAALLVAADVIYSDDLTDALFCVLEKLMSLSPKKVLYLALEKRYNFSLDDLDVVANGYSHFRSYLRGQEDYDNLKHGSSPCFVGKCLDLSLIPQYVREYERGNDVELWQIMYNARKPNLGDSCD >Potri.001G181200.4.v4.1 pep chromosome:Pop_tri_v4:1:15974562:15977943:-1 gene:Potri.001G181200.v4.1 transcript:Potri.001G181200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181200.v4.1 MLGVDCGRFNNLFKDEQVPMHQMVCVDEDGDLILTRRHAHHYLPTRSFSVTIQHNITSSISNVGLQVWKAELLLSDFVLHKMLTSSDFDEIVSLELGAGTGLVGMLLAHVAKTVFLTDRGDEILDNCASNVDLNSEVLNYQGSIHVRELDWMGSWPPSTSSGNSTCHKSYSWTSSNVEEAERAALLVAADVIYSDDLTDALFCVLEKLMSLSPKKVLYLALEKRYNFSLDDLDVVANGYSHFRSYLRGQEDYDNLKHGSSPCFVGKCLDLSLIPQYVREYERGNDVELWQIMYNARKPNLGDSCD >Potri.001G181200.3.v4.1 pep chromosome:Pop_tri_v4:1:15969394:15978425:-1 gene:Potri.001G181200.v4.1 transcript:Potri.001G181200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181200.v4.1 MEEEGQEDHVMSEVHLGCPPGSSGPHISHFTISIPPGVDCGRFNNLFKDEQVPMHQMVCVDEDGDLILTRRHAHHYLPTRSFSVTIQHNITSSISNVGLQVWKAELLLSDFVLHKMLTSSDFDEIVSLELGAGTGLVGMLLAHVAKTVFLTDRGDEILDNCASNVDLNSEVLNYQGSIHVRELDWMGSWPPSTSSGNSTCHKSYSWTSSNVEEAERAALLVAADVIYSDDLTDALFCVLEKLMSLSPKKVLYLALEKRYNFSLDDLDVVANGYSHFRSYLRGQEGLSRSQINIMTIGWCSILQISSFFII >Potri.001G181200.7.v4.1 pep chromosome:Pop_tri_v4:1:15974340:15978425:-1 gene:Potri.001G181200.v4.1 transcript:Potri.001G181200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181200.v4.1 MHQMVCVDEDGDLILTRRHAHHYLPTRSFSVTIQHNITSSISNVGLQVWKAELLLSDFVLHKMLTSSDFDEIVSLELGAGTGLVGMLLAHVAKTVFLTDRGDEILDNCASNVDLNSEVLNYQGSIHVRELDWMGSWPPSTSSGNSTCHKSYSWTSSNVEEAERAALLVAADVIYSDDLTDALFCVLEKLMSLSPKKVLYLALEKRYNFSLDDLDVVANGYSHFRSYLRGQEVMYA >Potri.001G181200.2.v4.1 pep chromosome:Pop_tri_v4:1:15974226:15978425:-1 gene:Potri.001G181200.v4.1 transcript:Potri.001G181200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181200.v4.1 MEEEGQEDHVMSEVHLGCPPGSSGPHISHFTISIPPGVDCGRFNNLFKDEQVPMHQMVCVDEDGDLILTRRHAHHYLPTRSFSVTIQHNITSSISNVGLQVWKAELLLSDFVLHKMLTSSDFDEIVSLELGAGTGLVGMLLAHVAKTVFLTDRGDEILDNCASNVDLNSEVLNYQGSIHVRELDWMGSWPPSTSSGNSTCHKSYSWTSSNVEEAERAALLVAADVIYSDDLTDALFCVLEKLMSLSPKKVLYLALEKRYNFSLDDLDVVANGYSHFRSYLRGQEVMYA >Potri.001G181200.8.v4.1 pep chromosome:Pop_tri_v4:1:15974298:15978425:-1 gene:Potri.001G181200.v4.1 transcript:Potri.001G181200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181200.v4.1 MLITEFISLSLPGLVGMLLAHVAKTVFLTDRGDEILDNCASNVDLNSEVLNYQGSIHVRELDWMGSWPPSTSSGNSTCHKSYSWTSSNVEEAERAALLVAADVIYSDDLTDALFCVLEKLMSLSPKKVLYLALEKRYNFSLDDLDVVANGYSHFRSYLRGQEDYDNLKHGSSPCFVGKCLDLSLIPQYVREYERGNDVELWQIMYNARKPNLGDSCD >Potri.001G181200.6.v4.1 pep chromosome:Pop_tri_v4:1:15969394:15978425:-1 gene:Potri.001G181200.v4.1 transcript:Potri.001G181200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181200.v4.1 MHQMVCVDEDGDLILTRRHAHHYLPTRSFSVTIQHNITSSISNVGLQVWKAELLLSDFVLHKMLTSSDFDEIVSLELGAGTGLVGMLLAHVAKTVFLTDRGDEILDNCASNVDLNSEVLNYQGSIHVRELDWMGSWPPSTSSGNSTCHKSYSWTSSNVEEAERAALLVAADVIYSDDLTDALFCVLEKLMSLSPKKVLYLALEKRYNFSLDDLDVVANGYSHFRSYLRGQEGLSRSQINIMTIGWCSILQISSFFII >Potri.T009323.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:51720:57955:1 gene:Potri.T009323.v4.1 transcript:Potri.T009323.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T009323.v4.1 MASKLLSAGSFSSSSLLTECTYHVFLSFRGADTRKTFLGHLYNALVQAGIHTFKDDEELPPGEEISHHLKKAIQESKISIVVFSRDYASSRWCLNELVEILECRNTKGRTVFPIFCGVDPSHVRKQEGSFKKAFKAYENKEEKEKIDKWKNALKDAANLSGKDIYSTANGDESVLIKKIVKDVLNKVDIKNLNIPKYLVGIDSCVNNIIKSLNASDDVSMVGIRGMPGMGKTTIAKVVYQKLFQKFDGSCFLFDVNEKSKGPDSKVGLQKQLIRETLGVNILKRKKISDVDSGISLIKNLLGNKKILLVLDGMDQPQQLDTFGGRSVFGKGSKIVITTTNEKLLAQLKVDKKHSVEEWDEEMCLDLFNFHAFEGKTPEEEWAELSKEVVEQSGKLPSALVVLGNRFSQISERDEWEKEIYELRKFPDQIHSKLKGGYDSLEDDLKSVFLDIACFFVGEDVDFVASILGGRYRYCNDLRSRIQSLEERSLITIDFDDTIMMNDLVQKMGREIVRQTSHKYPGKHSRIWDHEDALDVLINHMGTESVEGLTLDEFDEDTKLEQKMRMVWEQRMRRCRTLDVQASKFLTLRTESFKEMRFLQLLRIDGVHLTGSFKIFPKGLIWLSWKGFTLNSLPLDFHLDNLIVLDLQYSCNIKELKVLNKLKTLNLSYSKFTKTPNFLGLPCLEELILEDCERLVEVHESICLLKRLVSLNLNQCSRLKSLPSGISELSKLESLLVEDCTNLQSISELPSSLETLDADGCEKLTEIQGIEDVSDCDFSLLGSNTLSKKFKKSLAEALCKRYEYSICLDGGSLPEWFISNPEEGYSLSFQAPPSADSDGEVRLVIWAVCACEIESAECELGIKISTDDGFRLLEDSISPKSDNCSWIKYIVLEDIEAGDQLELSMEIIEGDNFVQVKELGFYLVEEKPNVEENNGESRETAPKGKPNVDEPNDRRAIAYSAIDDKEEDSDVQETFLKKLYVEESDDSEATSSIDDEEENGSDGQETAKEKPNVKRRDYRQRMASSIDDVEEDGNVDDRETAHGKPDVEEEDEDGKGSVNQGTTLHIYNERWNNYLRKELPKWDILEFAVCSEDTVSLNDDSDSDSDSDRDSVRVSVDRDSNSDSNSDSEGRSSVTSDD >Potri.006G177900.2.v4.1 pep chromosome:Pop_tri_v4:6:18394856:18397166:-1 gene:Potri.006G177900.v4.1 transcript:Potri.006G177900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177900.v4.1 MFRLSNNLVGILNFITFLLSIPILWAGIWLRNKGASECEKFLDTPVIVLGVFLLVVSLAGLIGACCGVSWLLWVYLVVMFLLIVVLFCFTILTFVVTNKGAGKVLSDKGYKEYRLGDYSNWLQKRVTSGKNWSKIKSCLIDAKICTDFQQRYLNDSLTVLYTRHLSALQAGCCKPPDSCGFNYQNPTTWDKTTNVTSDPDCNAWDNQSNVLCFNCNSCKAGLLDNLKSDWKKVAIINIIFLVFLIIVYSIGCCAFRNNRSENAYFSGWKHT >Potri.009G085100.2.v4.1 pep chromosome:Pop_tri_v4:9:7998350:8000888:-1 gene:Potri.009G085100.v4.1 transcript:Potri.009G085100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085100.v4.1 MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAVFVDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICKRSLDIERPTYTNLNRLISQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDSKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGDDDDGDEYM >Potri.013G055600.1.v4.1 pep chromosome:Pop_tri_v4:13:4067004:4071775:1 gene:Potri.013G055600.v4.1 transcript:Potri.013G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055600.v4.1 MARISPIFLMGLAVIWAALAQAEYMIYKDATKPLNSRIKDLMSRMTLEEKIGQMTQIERNVASAEVMKDYFIGSVLSGGGSVPSKQASAETWINMVNEFQKGALSTRLGIPMIYGIDAVHGHNNVYKATIFPHNVGLGATREPELVKRIGAATALEVRATGIPYVFAPCIAVCRDPRWGRCYESYSEDPKLVQAMTEIVPGLQGDIPANSSKGIPFVAGKTKVAACAKHYLGDGGTTDGINENNTQISRHGLLSTHMPGYYNSIIKGVSTIMVSYSSWNGVKMHANRDMVTGFLKNILRFRGFVISDWEGIDRITSPPHANYSYSIQAGISAGIDMIMVPNNYKEFIDGLTSHVKNKVIPMSRIDDAVKRILRVKFVMGLFENPLADKSLVNELGSQEHRELAREAVRKSLVLLKNGESADEPLLPLHKKASKILVAGSHADNLGYQCGGWTIEWQGLSGKNLTSGTTILTAIENTVDPSTEVVYKENPDADFVKSNNFSYAIVVVGEPPYAETFGDCLNLTISEPGPSTIQNVCGSVKCVTVISSGRPVVIQPYLSLMDALVAAWLPGTEGQGVADVLFGDYGFTGKLPRTWFKTVDQLPMNVGDRHYDALFPFGYGLATDPTKTI >Potri.017G143566.1.v4.1 pep chromosome:Pop_tri_v4:17:14373374:14385916:1 gene:Potri.017G143566.v4.1 transcript:Potri.017G143566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143566.v4.1 MYLTSLGSSSNPSPVTRACKFCNLKIDFGSLFIFALFKKWRYLKCFKAPILSGKVSNSKSSINSKDRKCLNSNKHFSKSVLHVGPTILSAKTSDRT >Potri.016G075600.1.v4.1 pep chromosome:Pop_tri_v4:16:5668008:5670016:1 gene:Potri.016G075600.v4.1 transcript:Potri.016G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075600.v4.1 MTADAEGGAPEVTLETSMGPFTVELYYKHAPRTCRNFLELSRRGYYDNVKFHRIIKDFIVQGGDPTGTGRGGKSIYGDKFEDEINPELKHTGAGILSMANAGPNTNGSQFFITLSPTPSLDGKHTIFGRVCRGMEIIKRLGSVQTDNNDRPIHDVKILRASVKD >Potri.004G064800.1.v4.1 pep chromosome:Pop_tri_v4:4:5520432:5522432:-1 gene:Potri.004G064800.v4.1 transcript:Potri.004G064800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064800.v4.1 MPVFNFSLKRNVHLENFFNSITVRNKYGAMAATATVIFASVYIGWAYAKRSCKKQKKKVHAVFTRSMSVGVLHGGKLALDRVIDYHRARADEASLKSAENELQDLLIEEHPDFVKLQSTVARLEMSGKEAVAVGILETQLKSARKEGKSHVAYEIEMLLVEMHIYQGEFKKALACECLSHEEISDARRPLYKAIIYIMLEDPGKEAMNCWEKFIDIRIRFESPSSHQSQLNEAVTNFNEFEKAVKLLRNDIQEAHGKQIKP >Potri.005G241300.2.v4.1 pep chromosome:Pop_tri_v4:5:23798573:23806495:1 gene:Potri.005G241300.v4.1 transcript:Potri.005G241300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241300.v4.1 MAPSFVFEPPSDEEVELSEAEELEDEEEEAEGEGEEEKPSKRRQKQSPWDFASYSESVAEEHARRSTTSIDDKISRARQQHSTPLTEHADDASSSDSEPDKQEVYKGEDDEGDEDTNVEERKSFFAPSEGTSFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRILAIRVLILTPTRELAVQVHSMIEKIAQFTDIRCCLVVGGLSTKVQEASLRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFNAEIHELVRLCPKRRQTMLFSATMTEEVDMLIKLSLTKPLRLSADPSAKRPAALTEEVLRLRRMREVNQEAVLLALCSKTFTSKAIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQAQRLDALELFRKQEVDFLIATDVAARGLDIIGVQTVINYACPRDLTSYIHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRAGSKLRSRIVAEQSIIKWSQMIENMENQVADVLQQEREERAIRKAEMEATKAENMIAHKDEIFSRPKRTWFVTEREKMLAAKAAKSSVEKEKGSGNEVMSAQQAEDLKMKEKRKREREKNLPRKKRRKLQAAREMLEDEDLTEKSEGSGKNKKEKTGLSLVDLGYRRAKAAKAVKKAMDAGKFVQKKGSKKSKQPPERTQSRTEEMQELFQSDMSEKKQKRRSSGTGNKKSKNSFKSKSRYKRK >Potri.005G241300.1.v4.1 pep chromosome:Pop_tri_v4:5:23798566:23806452:1 gene:Potri.005G241300.v4.1 transcript:Potri.005G241300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241300.v4.1 MAPSFVFEPPSDEEVELSEAEELEDEEEEAEGEGEEEKPSKRRQKQSPWDFASYSESVAEEHARRSTTSIDDKISRARQQHSTPLTEHADDASSSDSEPDKQEVYKGEDDEGDEDTNVEERKSFFAPSEGTSFHANSFMELNLSRPLLRACEALGYTKPTPIQAACIPLALTGRDICGSAITGSGKTAAFALPTLERLLFRPKRILAIRVLILTPTRELAVQVHSMIEKIAQFTDIRCCLVVGGLSTKVQEASLRSMPDIVVATPGRMIDHLRNSMSVDLDDLAVLILDEADRLLELGFNAEIHELVRLCPKRRQTMLFSATMTEEVDMLIKLSLTKPLRLSADPSAKRPAALTEEVLRLRRMREVNQEAVLLALCSKTFTSKAIIFSGTKQAAHRLKILFGLAGFKAAELHGNLTQAQRLDALELFRKQEVDFLIATDVAARGLDIIGVQTVINYACPRDLTSYIHRVGRTARAGREGYAVTFVTDNDRSLLKAIAKRAGSKLRSRIVAEQSIIKWSQMIENMENQVADVLQQEREERAIRKAEMEATKAENMIAHKDEIFSRPKRTWFVTEREKMLAAKAAKQSSVEKEKGSGNEVMSAQQAEDLKMKEKRKREREKNLPRKKRRKLQAAREMLEDEDLTEKSEGSGKNKKEKTGLSLVDLGYRRAKAAKAVKKAMDAGKFVQKKGSKKSKQPPERTQSRTEEMQELFQSDMSEKKQKRRSSGTGNKKSKNSFKSKSRYKRK >Potri.006G173600.1.v4.1 pep chromosome:Pop_tri_v4:6:17514652:17517264:1 gene:Potri.006G173600.v4.1 transcript:Potri.006G173600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G173600.v4.1 MGIEVESLKNLTGGWSVAAKRCDSCKTAAAAAFCRADSAFLCLNCDTKIHHSGVNSKIMSRHERVWMCEVCEQAPAAVTCKADAAALCVTCDADIHSANPLARRHERVPVEPFYDSAESIVKTSSAFNFLVPGDQNGVSAYDHNDEIEGVSWLLHGNHTTHDLNTKINIENPVVKTGDMFFCEMDPFLDFEYQNSMDGRYKQSHGGGGAGADSVVPVQNKPAPLPVIDHKNCFDIDFCRSKLTSFSSYPSQSLSHSVSSSSLDVGVVPDGNSMSDISYPFGRSMNTYTDPSMPISGSTTNQAAAQLAGIDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTEMESDMDTLYNSPSSVPFLADTHYGVVPSF >Potri.002G195000.1.v4.1 pep chromosome:Pop_tri_v4:2:15789964:15790689:1 gene:Potri.002G195000.v4.1 transcript:Potri.002G195000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195000.v4.1 MELNKSILLLLLIFSPSLLLFAEAICIPRNASSDDSHGPPGMILHVASSAFMQSLPPVSSPSQSPVSYPSLPDYPPHSPSVLPSPFNNAALKKICGVTDHQAECLAIFGPSLTGAIEPLSVLKMGIQTLHKGYEQATAMATKLSNDPSTDGVVKDCLSVCLEVFDLGMTDLDQALTAISSNDMDSLVQLLNGVIGYAETCKDAFTEQGEIASPLAEINDRLDKLGSISISISILVPGVTII >Potri.012G093000.2.v4.1 pep chromosome:Pop_tri_v4:12:11769942:11775658:-1 gene:Potri.012G093000.v4.1 transcript:Potri.012G093000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G093000.v4.1 MKTTKGGKVMNPTDAYRKELRKKELKRNKKERKKVREVGILKKDPEVIKDQIEKLELMKADGALDKARKHKKRQLQDTLSLVLKKRREYEDKMKEKGETPVMFSHLGPLRRTSTEEEERAKHPKPEDSVYYHPTLNPTGAPPPGKPPMYKSSIGPRIPLSGASSSATESEDVALTIPPPLPESGELGLGDSSVIPASLPLPPLPPMPPKPADSSLGMSLPPPPLPPPPPGPPPKDQVLSHTPLPPPPPLQQSAQPPPPGTNESGRETNISALLDESSSNDTVQVPASLPPPPPIAMPLKSAINQTEGSSFEADANTTATMDNPKMVPPPPPPRQQPLAPGPALIPALQPDVLPPGILRFPPPPPPPPPNMRPPLSTPGIPSQMAPPGVTVPFIPRPPYGPPPGPPPMMRPPLPPGPPPLQEDAAIWPPVPQKPSYVKSAASTVVKRLLAQHTPELTAMVPASVRVRREAVIPKSKPKAGTSTTAVATRPTAPTTVKPESTNSSSAPKSQSIDDSYMAFLEDMKTLGALDG >Potri.003G157900.1.v4.1 pep chromosome:Pop_tri_v4:3:16888029:16893859:1 gene:Potri.003G157900.v4.1 transcript:Potri.003G157900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157900.v4.1 MNKGGGMKKMKYVVVTGGVVSGLGKGVTASSIGVLLKACGFRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQAVIDKERRGDYLGKTVQVVPHITDAIQEWIERVAMIPVDGQPGPADVCVIELGGTIGDIESMPFTEALGQFSYRVGAGNFCLVHVSLVPVLSAVGEQKTKPTQHSVRGLRSLGLTPNILACRSTLALEENVMQKLSQFCHVPAENIITLYDVPNIWHLPLLLRDQKAHEAILGVLNLLGIAREPNLKEWTSRAELCDMLLEPVRIAIVGKYTGLSDSYLSLLKALLHASVSLRKKLVVDWIPASDLEDETAKENPDVYKAAWKLLKGSDGVLVPGGFGDRGVEGKILAAKYARENRIPFLGICLGMQIAVIEFARSILGLQDANSTEFDPDTKDPCVIFMPEGSKTHLGGTMRLGSRRTYFQVMDCKSAKLYGNRGFIDERHRHRYEVNPDMVSRLEDAGLSFTGKDETGQRMEIVELPNHPYYIGAQFHPEFKSRPGKPSALFLGLIAAACDQLDSLLHAHKIPNGMAKKISLCQNGNATKFAKIPTDGIYSNCNGVHA >Potri.006G015000.1.v4.1 pep chromosome:Pop_tri_v4:6:940714:942567:-1 gene:Potri.006G015000.v4.1 transcript:Potri.006G015000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015000.v4.1 MARTLNLVFSLAILMSLIFSSTAQSCKSYAFSSNKIFRACNDLPVLNSYLHWNYDSSSNKLQIAYRHTGITSSKWVAWAINPTSTGMAGSQALVAYRQNDGTMKAYTSPISSYQTSLQEGKLSFDVSDLSATLANNELIMFATLELSNTSTTVNQVWQDGPLSGNAPQIHSTSGSNVQSMGTLNLLSGESSSTGGNGKIRKRNIHGVLNAVSWGILMPIGALIARYLKVFKSADPAWFYLHASCQSIAYIVGVAGWATGLKLGSESAGIQYDAHRTIGIILFCLGTLQVFALLLRPKADHKYRFYWNIYHHIVGYTVIILSIINIFKGFNILNPDEKWKNAYIGVIVALALNAVWLEGYTWYVVVKRKSSETAGKMPHFTNGSNGANGYGGRPHQGV >Potri.019G120766.1.v4.1 pep chromosome:Pop_tri_v4:19:14730199:14732213:-1 gene:Potri.019G120766.v4.1 transcript:Potri.019G120766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120766.v4.1 MEWKSPPSIWSLGTLTGLRDRVRRLVAKKEGINTPNTFYLRLPLPPQTKPPQLSFSFLLPHRRSSPIAGHFPSIHQHKAAVSLHCLHTDPLSPSRPLTLLQPEPPLEPSLLSADHRSSLSRPTQLQQRDPRTTVLKEDHQRRPPLLPPAVAATAASPTGAEQSAFSLRWRLQIQPLLLHRDKRTPAAAPSFFPLSADPAVPQLHHQICHRQKEQPPGGSRLRSKVEEH >Potri.005G053300.1.v4.1 pep chromosome:Pop_tri_v4:5:3367583:3370604:-1 gene:Potri.005G053300.v4.1 transcript:Potri.005G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053300.v4.1 MKQSQEISMASKSSVRKPLKDLSNNNGRFLKSVNPKKKCKEIGEKKNVIRVQELQQQQQDDDDGFLDRLLLVQSDLSSLTSQIDELVAQAFKLKATSKEGSEEIESFMHVLSNMLSSLKPWVPRFQKVLSSHFVEPEKKLQHKIVSEITEDGSFDVDSPDKIRMDSLISPSPLVSWRAGCNVERGRQLFLLTPLPISKTLSARHQDLPKLVFERIALNPAVEPPSFSTVSGDGNEDLLEEMATKPTPSKPADSVATEGKLYCVSSPVFSKQNRSVVITPCLKMSPPKSCVLLEPISHSSHKGDNRFRKSTPFPHGIHSHIYESSGSEGSEDLAMKYPELLGIQRAYKSRMGIKDLEASPNWSFSPPKTCVVLKPPFEKSLDIKAADHCLKVHAPVLNQKTNPTPSKEYGVKGGCHQIKKPCNEEAILSSSKVTIESTPLWKESESTIRTGKRPGENTLKKELWTKFEAASTYGFCLNASAFQGTAKKGFLDMLEEASL >Potri.001G394900.1.v4.1 pep chromosome:Pop_tri_v4:1:41868190:41868966:1 gene:Potri.001G394900.v4.1 transcript:Potri.001G394900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394900.v4.1 MAKLQNPWFMTVAPPQFISVTKRPMTIMLATIVEEEKDFGVGDSPLSSSPIFISSSLHLPRHKERSLCLNKP >Potri.015G133500.1.v4.1 pep chromosome:Pop_tri_v4:15:14273628:14275388:-1 gene:Potri.015G133500.v4.1 transcript:Potri.015G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G133500.v4.1 MGKLQCFLFIITLLQVSKLVISERDQVTTPPLPILPLPSFSQLKWQERELIVFLHFGVNTFTDSEWGTGHENPAIFNPVGLNANQWVKVAAEAGFSLMILTAKHHDGFCLWPSKYTGHSVESSPWKNGHGDVVQELVNAAKNHGGIDVGLYLSPWDRHDKRYGNDLEYNGYYMAQLQELLNKYGSIREIWFDGAKGSNAPNMSYYFSDWFSMVKELQASINIFSDAGPDVRWVGNENGFAGDSCWSTINRTSLSIGNGSIVDYLNTGDPEGTDWLPAECDVSIRRGWFWHKSESPKKLSMLLDIYYKSVGRNCVLLFNVPPNSTGLVSEDDAQRLREFRGAIDTIFSTNLADKCLTKASSQRGDQDSDFGPQNVLDSDHLWTYWAPKDEEFKDNWIEIKCIDELRFNVIRIQEAIGLGQRIKQHEVYVDGKKVAKGTTVGHKRLHRIEEGVVKGRTVRIQILKSRAVPLISSVGLHYDPFWHPDN >Potri.017G059000.1.v4.1 pep chromosome:Pop_tri_v4:17:4741441:4743713:1 gene:Potri.017G059000.v4.1 transcript:Potri.017G059000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059000.v4.1 MAFFNKFGSLVRQSVSQNGQVPMASMLNSIRCMSSSKLFIGGLAWSTDDQSLKDAFSGFGEVTEARVITDRDTGRSRGFGFVSYESTESASEALSAMDGQELGGRNIRVGYATDKRQPQPYNSNYGGNPDY >Potri.003G205000.1.v4.1 pep chromosome:Pop_tri_v4:3:20416728:20419687:1 gene:Potri.003G205000.v4.1 transcript:Potri.003G205000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205000.v4.1 MADPYSNYFNGWYNFKLHHNLSASASSSNPSLYASYGCNTYSDNNTIHNSSFIQFCQSSSPPSPPLREALPLLSLSPTRHEHQQESSCSAMEVDKNKEREESLCDGETVTVALHLGLPSPCSADLVSRLSSSEISSDKEDVTAASGYQTSSTLNKGQYWIPTPSQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTAMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIKSFGHGHSANGIDFFEEDDEPASEIEQDNDSTQRN >Potri.014G160700.1.v4.1 pep chromosome:Pop_tri_v4:14:11498864:11503217:-1 gene:Potri.014G160700.v4.1 transcript:Potri.014G160700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160700.v4.1 MAQTLPLFLLIICLLLIPSSQIQQEQEAFTTIVISQQGLDFLKNLLITQAISSIIPLKLPNITKTAKFPFLGYVHMLLSNITIYQLQVLDSYVKPGDTGIAIIASGTTCNLSMDWSYEYNTWLFPVEISDKGHASVQVEGMEVGLTLGLKNQEGTLKLSLMDCGCYVKDISIKLDGGASWLYQGMIDAFEEQIGSAVENAITKNLGEGILKLDLFLQSLPKEIPVDDDASINVTFVDNPSLSNSSVGFDINGLFTARKKVPITMYYYENTLPSVLCTEPTKMLGISLDEAVFNSASALYYDAKFMQWIVDKIPDQSLLNTAGWRFIVPQLYKKYPNDDMNMNLSLSSPPILRISEHNLDATVYADLIIDVLEADQVIPVACISLVIRGSGSVGIAGNNLVGSVKLNDFSMSSKWSNIGNLRMYLIQPLMWTLIQTVFVPHANAHLAKGFPLPIIHGFTVQNAEIIFSTSKITVCGDVAFRESLSIKQASGPL >Potri.014G160700.4.v4.1 pep chromosome:Pop_tri_v4:14:11498949:11503202:-1 gene:Potri.014G160700.v4.1 transcript:Potri.014G160700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160700.v4.1 MAQTLPLFLLIICLLLIPSSQIQQEQEAFTTIVISQQGLDFLKNLLITQAISSIIPLKLPNITKTAKFPFLGYVHMLLSNITIYQLQVLDSYVKPGDTGIAIIASGTTCNLSMDWSYEYNTWLFPVEISDKGHASVQVEGMEVGLTLGLKNQEGTLKLSLMDCGCYVKDISIKLDGGASWLYQGMIDAFEEQIGSAVENAITKNLGEGILKLDLFLQSLPKEIPVDDDASINVTFVDNPSLSNSSVGFDINGLFTARKKVPITMYYYENTLPSVLCTEPTKMLGISLDEAVFNSASALYYDAKFMQWIVDKIPDQSLLNTAGWRFIVPQLYKKYPNDDMNMNLSLSSPPILRISEHNLDATVYADLIIDVLEADQVIPVACISLVGWFDGEIETFYNLNHCLLYICAGPYSVLLFLIFYQEL >Potri.014G160700.3.v4.1 pep chromosome:Pop_tri_v4:14:11498927:11503206:-1 gene:Potri.014G160700.v4.1 transcript:Potri.014G160700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160700.v4.1 MAQTLPLFLLIICLLLIPSSQIQQEQEAFTTIVISQQGLDFLKNLLITQAISSIIPLKLPNITKTAKFPFLGYVHMLLSNITIYQLQVLDSYVKPGDTGIAIIASGTTCNLSMDWSYEYNTWLFPVEISDKGHASVQVEGMEVGLTLGLKNQEGTLKLSLMDCGCYVKDISIKLDGGASWLYQGMIDAFEEQIGSAVENAITKNLGEGILKLDLFLQSLPKEIPVDDDASINVTFVDNPSLSNSSVGFDINGLFTARKKVPITMYYYENTLPSVLCTEPTKMLGISLDEAVFNSASALYYDAKFMQWIVDKIPDQSLLNTAGWRFIVPQLYKKYPNDDMNMNLSLSSPPILRISEHNLDATVYADLIIDVLEADQVIPVACISLVGWFDGEIETFYNLNHCLLYICAGPYSVLLFLIFYQEL >Potri.001G199300.1.v4.1 pep chromosome:Pop_tri_v4:1:19682734:19684556:-1 gene:Potri.001G199300.v4.1 transcript:Potri.001G199300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199300.v4.1 MDGRGKGWWDASCRYRHGKAVRFEGCEHLLVDHINIVNSPQIHITLLGSRNAELRFLDIQSPESSPNTDGIHISSSNDVTIHDSIIGSGDDCISIGDYTSNITILDVSCGPGHGISSNLSIFVAQLRNSIGSFGGGGNEVEVEGIFVSRANFSGTTNGARIKTWQGARGNVRDVHFSDLIFTAVENPIIIDEHYGDPQEKNQTGVHISDVSYSRAQGTAKTAVAINFNCSSSVACTNITLDNIQLKSSIRGQQLSSFCINAHGITKGIVYPKSCLNSMKYQRA >Potri.018G018500.1.v4.1 pep chromosome:Pop_tri_v4:18:1258012:1261269:1 gene:Potri.018G018500.v4.1 transcript:Potri.018G018500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018500.v4.1 MKSPMVALQWLPILMLIPVMHSTSVTAKGLRIPRLARLGGMNNPRVKPLGRISRNSASFAASSIYHNLQTFYYNQTLDHFNYRPDSFDMFQQRYVINSKYWGGANSNAPIFVYFGEEAPLENDFGDIGILAENAHRFKALQVYIEHRYYGKSIPFGSRNEAFKNASTLGYFNSAQALADYAEIIIHVNEKFHVQRSPVIVVGASYGGMLASWFRLKYPHIALGALASSAPILYFTDITPAHAYVSIVTKDFREDSQSCHDTIKKSWTVIDKIASEPDGLSILSKKFETCKPLNNSSELTDYLAGIYMAAAQYDAPPSYPVTMVCKSIDEPSFGNDILGRIFAGMVAYQGELPCYVNEPTKETETDVGWSWQTCADMVIPFGISNDSMFQPYPFDLNAYINDCKDEYGVPPRPHWVTTYFGGHDIKLILKRFGSNIIFSNGLRDPYSSGGVLQNISDSVVAITTVKGSHCLDVLATTKSDPQWLVAQRKEEVRIIRKWIRNYFSDLDACEKGKHR >Potri.003G125800.2.v4.1 pep chromosome:Pop_tri_v4:3:14543873:14547209:-1 gene:Potri.003G125800.v4.1 transcript:Potri.003G125800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125800.v4.1 MELKVYVDRLSQPSRAIVIFCKVNKIDFEEVGIELLKGQHLTPEFKEINPMGKVPAIVVDGKFKLFESHAILIFLASAFPGVADHWYPADLYRRAEIHSILDWHHSNLRRGSVEFIQNTLLAPFFGRPLNPQAAAEGEKVLSSSLSKIEALWLKESGQFLLGSSQPSIADVCLVCEIMQLEFTDETDRNRILGPHKKIQQWIEDTKNATKPHFDEVHQALFAAKVKLQMQRK >Potri.006G214300.1.v4.1 pep chromosome:Pop_tri_v4:6:22082947:22085269:1 gene:Potri.006G214300.v4.1 transcript:Potri.006G214300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214300.v4.1 MPRKGMRSICFSPKTISFLSSPRSSPSRLSLPPTPLRSEWMVEQIIENASSLIMKWNPETSAYANVTSLFYENRREAMQFIKCVNDLQKVMHSIVSEDSTHYRLVQAQNLMQIAMKRLQKEFYQILSMNRAHLDPESMSTRSSRTSRSSISDFEDDISPDDDVRAASDSISEVEQVSSIAMADLKAIAECMSAAGYAKECVNVYKVVRKSIIDEGIYRLGVERISSSRINKMDWEALDMRIKNWLEAIKIAMKTLFFGERFLCDHVFAVSESIRESCFSEISKEGATLLFGFPELVAKSKKPSSSDKMFRALDMYTAISENWIEIESIFSFESTSPVRTQALSSLVKLSESIYSMLSDFESSVQKHSSKALVPGGGVHSLTSNAMNYLSLLADYSNVLTDIISDWPPPTKPSLPESYFDSPDSDDPPAAAISTRFAWLVLYLLCKLDGKAKYYKDVSLSYLFLANNLQHVVFKVRTSNLQYLLGEDWIVKHEAKVGQFAANYERLAWGKVFASLPENPTAEISPEEVKETFKRFNISFDEACRKQSACVVADPKLQDEIKVSIGRKITPVYREFYEKHRSSVGGQRRVGVFVKYAPEDVENCLSHLFFGTVDSGSSVSTSTTSSRQRHRA >Potri.001G095900.1.v4.1 pep chromosome:Pop_tri_v4:1:7594446:7596515:1 gene:Potri.001G095900.v4.1 transcript:Potri.001G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095900.v4.1 MSGGKRIGRPSKGKNGFHSSSYEEDSLPLDVRVEENLLAIVGGDENQPTPIHSVPIMSSFNDRIRPILDAVDQLRHLMVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHTSLIPEMFLEFNGKTTQTDEANVADDINIATEEIAGSGKGISDAPLTLVIKKNGVPDLTMVDLPGITRVPVHGQPDNIYEQIAGIVMQYIQPEESIILNVLPASVDFTTCESIRMSRQVDKTGERTLAVVTKADKAPEGLLEKVTADDVNIGLGYVCVRNRIGDESYDNARMEEANLFATHPLLSRIDKSIVGIPVLAKKLMQVQATIMAKCWPEIVRKINEKLNGNVTELNRMPKAMSSVAEFLTAFMEFIGSVKESLTKILVRGEYDEYPDDPNMHGVARVVEMFNQYSDELLNCPESEHTRNFLMDEIRVLDDSKAIALPNFLPRHAFLSLLQRKVERVSHIPFGFVEKAWAYFENVVWSVSRHHTENYPQVLLTTKRACQNLMVKMREQSTDWVSELVQMEKLTDYTCNPEYLNEWNMLMSHRQTFIDEVQKNESSKMKIEVFGEVEIVNLRGYQPLLSQAFDLKMRMTAYWKIVSRRLVDCMALHLQLCVRNLVSKELEKEIATELMATNGGKLEMMLEEAPSVAAKRKRLNTSIELLREAKDVLSNIMGNVSA >Potri.010G097700.2.v4.1 pep chromosome:Pop_tri_v4:10:12101434:12103213:1 gene:Potri.010G097700.v4.1 transcript:Potri.010G097700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097700.v4.1 MTSLETLDLSHNNLSGVIPWSLVDLSFLSKFSVAYNQLRGKIPTGGQFMTFPNSSFEGNYLCGDHGTPPCPKSDGLPLDSPRKSGINKYVIIGMAVGIVFGAASLLVLIIVLRAHSRGLILKRWMLTHDKEAEELDPRLMVLLQSTENYKDLSLEDLLKSTNNFDQANIIGCGGFGIVYRATLPDGRKLAIKRLSGDSGQMDREFRAEVEALSRAQHPNLVHLQGYCMFKNDKLLVYPYMENSSLDYWLHEKIDGPSSLDWDSRLQIAQGAARGLAYLHQACEPHILHRDIKSSNILLDKNFKAYLADFGLARLMLPYDTHVTTDLVGTLGYIPPEYGQAAVATYKGDVYSFGVVLLELLTGRRPMDMCKPKGSQDLISWVIQMKKEDRESEVFDPFIYDKQNDKELLRALQIACLCLSEHPKLRPSTEQLVSWLDSIDTNT >Potri.010G097700.1.v4.1 pep chromosome:Pop_tri_v4:10:12099428:12103191:1 gene:Potri.010G097700.v4.1 transcript:Potri.010G097700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097700.v4.1 MGVQALWVAFLVLGFLMFQAHVLQSQNLACNQNDLRALQEFMRGLQSPIQGWGTTNSSSSDCCNWSGITCYSSSSLGLVNDSVNSGRVTKLELVRQRLTGKLVESVGSLDQLRTLNLSHNFLKDSLPFSLFHLPKLEVLDLSSNDFSGSIPQSINLPSIKFLDISSNSLSGSLPTHICQNSSRIQVLVLAVNYFSGILSPGLGNCTTLEHLCLGMNDLIGGISEDIFQLQKLKLLGLQDNKLSGNLSTGIGKLLSLERLDISSNNFSGTIPDVFRSLSKLKFFLGHSNYFVGRIPISLANSPSLNLLNLRNNSFGGIVELNCSAMTNLSSLDLATNSFSGNVPSYLPACKNLKNINLAKNKFTGKIPESFKNFQGLSYLSLSNCSITNLSSTLRILQQCKSLTALVLTLNFQGEALPADPTLHFENLKVLVIANCRLTGSIPQWLSNSSKLQLVDLSWNNLSGTIPSWFGGFVNLFYLDLSNNSFTGEIPRNLTELPSLISRSISIEEPSPYFPLFMRRNESGRGLQYNQVRSFPPTLALSDNFLTGPIWPEFGNLTKLHIFELKSNFLSGTIPGELSGMTSLETLDLSHNNLSGVIPWSLVDLSFLSKFSVAYNQLRGKIPTGGQFMTFPNSSFEGNYLCGDHGTPPCPKSDGLPLDSPRKSGINKYVIIGMAVGIVFGAASLLVLIIVLRAHSRGLILKRWMLTHDKEAEELDPRLMVLLQSTENYKDLSLEDLLKSTNNFDQANIIGCGGFGIVYRATLPDGRKLAIKRLSGDSGQMDREFRAEVEALSRAQHPNLVHLQGYCMFKNDKLLVYPYMENSSLDYWLHEKIDGPSSLDWDSRLQIAQGAARGLAYLHQACEPHILHRDIKSSNILLDKNFKAYLADFGLARLMLPYDTHVTTDLVGTLGYIPPEYGQAAVATYKGDVYSFGVVLLELLTGRRPMDMCKPKGSQDLISWVIQMKKEDRESEVFDPFIYDKQNDKELLRALQIACLCLSEHPKLRPSTEQLVSWLDSIDTNT >Potri.005G192000.1.v4.1 pep chromosome:Pop_tri_v4:5:19931412:19934759:-1 gene:Potri.005G192000.v4.1 transcript:Potri.005G192000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192000.v4.1 MLGDSSVLASSSDVATTATRVVPEGGEVCEVGDAGGFGSNSTEEDKNMGGDHEGDRMNYGANRWPRQETLALLKIRSAMDAVFRDSSLKGPLWEEVSRKLAELGYHRSAKKCKEKFENLYKYHKRTKEGRTGKSEGKTYKFFDELEAFQNHHSHSAQPPTILAPPLPPPKAQTPTATTATLPWTNSPAIVSHVTVQSTTNPIDILSQGIATPTTIHSTISPMPLSSNSLNPSQDTLPSSLQNLATHLFSSSTSSSTASDEKLEGSRKRKRKRNWKDFFLRLTRDVIKKQEDLQKKFLETVEKCEHERMAREDAWRMKEMARMNRQHEILIQERSTAAAKDAAVFAFLQKISGQQNSTETQAIPQPKLTPPPTQPPQPRPPPTSLEPVTNLVVSKWDNGENVTVSSSSRWPKVEVQALISLRADLDIKYQEHGAKGPLWEDISAGMQKLGYNRSAKRCKEKWENINKYFKKVKESNRKRPGDSKTCPYFDQLDALYKEKNKMESRVSTGYAVKPISTMEPLMVSPEQQCPFEQANQPETIIEDNERDINIDHNIEEDDDDMDDDTEEEDEGVGFEVVANRPASLTNGE >Potri.004G034400.3.v4.1 pep chromosome:Pop_tri_v4:4:2667420:2668845:-1 gene:Potri.004G034400.v4.1 transcript:Potri.004G034400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034400.v4.1 MALGKRCSSMRANRVCGGPIVSGEEEGLGFKFVRSYSFGRKRILSTKNNVNSEDLLNLDSTFKSPLKRLCSLEPEKSNLESLPQDILIRVLCRVDHDDLKQLFHVSKVIREATLIAKESHFAYSTPRKSQGFRSPFDLENPGELDEIEAPNAPKQRRSYKSRLNRKSIADVSVALFASPKKGLFMETEM >Potri.004G034400.4.v4.1 pep chromosome:Pop_tri_v4:4:2667420:2668847:-1 gene:Potri.004G034400.v4.1 transcript:Potri.004G034400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034400.v4.1 MALGKRCSSMRANRVCGGPIVSGEEEGLGFKFVRSYSFGRKRILSTKNNVNSEDLLNLDSTFKSPLKRLCSLEPEKSNLESLPQDILIRVLCRVDHDDLKQLFHVSKVIREATLIAKESHFAYSTPRKSQGFRSPFDLENPGELDEIEAPNAPKQRRSYKSRLNRKSIADVSVALFASPKKGLFMETEM >Potri.014G171100.1.v4.1 pep chromosome:Pop_tri_v4:14:12616106:12618117:1 gene:Potri.014G171100.v4.1 transcript:Potri.014G171100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G171100.v4.1 MRDDQIMRRYPNSKSLFSSSSLSLGSSPFLVLLVVLPLIVIPVFIFTSCLKTSSWSKYSMPSPLTWRVGFFDNSYTFASFAQSEASVVSYNNSTLNSLYPDDQATSSLRESNDEGAVARGVVKIRHSKLEKMEANLAKIRSSIREAARVRNLTSIYEDPDYVPKGPIYRNANAFHRSYLEMEKLFKIYIYKEGDPPMFHDGPCKSIYSSEGRFIHELEKGKSFTTTDPDEALVYFLPFSVVMLVQYLYVPGSHEIDAIGNTVVDYINVIADKYPFWNRSLGADHFILSCHDWGPRTSSYVPHLFNNSIRVLCNANTSEGFNPKKDASFPEIHLRTGEITGLVGGPSPSRRSILAFFAGRLHGHIRRLLLEQWKDKDQDVQVHDQLRNGMSYDSMLKNSRFCLCPSGYEVASPRIVEAIYAECVPVLISDGYVPPFSDVLNWKAFSIQVQVKDIPKIKDILMGISQRQYLRMQRRVKQVQRHFVVNGIPKRFDVFHMTIHSIWLRRLNIRIHD >Potri.004G120900.1.v4.1 pep chromosome:Pop_tri_v4:4:11533261:11536791:-1 gene:Potri.004G120900.v4.1 transcript:Potri.004G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120900.v4.1 MASICNSLSLAPKLSFKPSPLFSASISTSWSPKTRPTTPLSSSSSSTRSVKDDPKSPEPISIDNLHRFFDLSIGKWHGSFHQFDAKGKLMQKVSTKLAASSYGEDELISLIQTLYIKQSPSSTSISRHDEEPEWAEYKIKETNMFTADKYQQIAFFPNERAFSLRYQTAGMLETVLRQGVLGEDDTGEESPKNLKLPSRRPSIVCENCLYSQEKDRRARAFHIMDPKGILEMLLIFLEDRGDGVPFHPSLESNSDSPNRILPLLGKWKGHSQTKRSGVYGATIAEADTIALLEMDGKGQLIQDISSTSDGGDVTTNVHWTGTRSDDLITFDGGYQITLLPGGMYMGCPSDISKNVAESKSFHLEFCWLESPEKRQRLVRTYDVDGLAVSSTYFSETKM >Potri.010G130000.1.v4.1 pep chromosome:Pop_tri_v4:10:14647686:14651392:-1 gene:Potri.010G130000.v4.1 transcript:Potri.010G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130000.v4.1 MCGLKEEDQGECSQTIHNLQNYQEQLLLQYHQQMQQHQQQQSSDIYGGARGSGFIFPEVSPILPWPLPPVHSFNPAHFTPNHPVRDHDPFLIPPPVPSSYGGLFNRRAPSLQFAYDGTPSDHLRIISDTLGPVVQPGSAPFGLQAELSKMTAQEIMDAKALAASKSHSEAERRRRERINNHLAKLRSLLPSTTKTDKASLLAEVIQHVKELKRQTTLIAETSPVPTEMDELTVDTADEDGKFVIKASLCCEDRPDLLPDLIKTLKALRLRTLKAEITTLGGRVKNVLFISGEEDSSSDSNDQHQQQEPLQYSISSIQEALKAVMEKTGGDESSSGSVKRQRTNINLLEQQQQQQQHRSL >Potri.013G158200.3.v4.1 pep chromosome:Pop_tri_v4:13:15298062:15299585:1 gene:Potri.013G158200.v4.1 transcript:Potri.013G158200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158200.v4.1 MDEQAGLPNLHFVLVHGVCHGAWCWYKIRCLMEKSGHKVTCLDLKSAGIDQSNPNTILTFDEYNAPPLTRFLSNLPDNEKVILVGHGAGGLSLTDAIHRFARKIRMAIYVAANMLKHGSDQDIKDGDPDVSEYGEVADLEYGMGLDQPPTSIIIKEEFQKRLLYHMSPKEDTILASMLLRPGPVRALKGARFEGGKDADSVPRIYIKTLHDQMLKPMKQEQMIKRWQPCQVLVLESDHSPFFSTPSLLLDLISKGAAASF >Potri.018G145514.1.v4.1 pep chromosome:Pop_tri_v4:18:15159121:15163480:1 gene:Potri.018G145514.v4.1 transcript:Potri.018G145514.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145514.v4.1 MAKLVEEARNSKSKTRRFIDKFAQYYTPGNVWGLVHGKIGHCLVFKAGLDGDEHVNHSLTTMDASCGEMGFARKVFDEMGDRDLVSWNSMISGYSKMGFAKEAIGLFMEMREEGFEPDEMTLVNVLGACGDLGLGRWVEGLVLEKKMEVNSYVGSALIVMYGKCGDLIFVRRVFDSMPNKDVVTWNAIITCRINGASNEAIVLFNGMREAGPNPDKVTMIEVLSACSTIGALDLGKWVETHASERGFQHDVYVASELIDMHAKCGSLDNALRVFESMPHKNEVSWNAMISALAFHGQALEALSLFRRMSKDNGTVQPNDITFIGVLSACVHAGLVDEGRQLFESMKLSFGLVPKVKHYSCMVDLCARAGLLNEAWDLIKKMPGKQYEIVLGSLLGACQRRRNADVGEKIYANMRRWDDSAKMRQCGVSKTPGCSWIDVGARAHEFHAGGSLHHHSENIYQLLNEEMKREGYIPNIGCI >Potri.018G013875.1.v4.1 pep chromosome:Pop_tri_v4:18:928351:929988:-1 gene:Potri.018G013875.v4.1 transcript:Potri.018G013875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013875.v4.1 MIDSSQQLKKKKTHTEGEREREADKESKSAATVKGQEEHV >Potri.018G098700.3.v4.1 pep chromosome:Pop_tri_v4:18:11932695:11938087:1 gene:Potri.018G098700.v4.1 transcript:Potri.018G098700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098700.v4.1 MNQRRNASSKSQPTKPLITKSPDISKQKGYKSQKSNFFSSEKNIFTLCLAFRIANSLLIRTYFNPDEHWQALEVAHRIVFGYGHLTWEWRKGIRSYFHPLLFAVLYKVLALFGLDTPWFMAHAPRLLQALFSAVGDLYFYRLSNAIFGNFVAKWALFSQLANWFMFFCFNRTLSNSLETVLTLVGLYYWPCMRASPSKVPLVLRKWGLAIAALACAIRPTSAITWVYVGLLELAVTRDRLKFLVLEVVPIGALVLGLSCLLDRLMYGSWVIVPLNFLKFNFLSSGGDYYGTHKWHWYFSQGFTVMLFTFLPFSIAGSIKSKCWKLSGLIAWVLIVYSIQGHKEFRFVLPMLPIALMFSGYSLSVMAKSDSDSRRKGSPNSHMKRPSKVGFAIFFLLATNIPMALYMSLVHQRGTEDVMIYLSKEAQNEKVKGILFLMPCHATPYYSTLHYDLPMRILDCSPSEEKGIPDESDHFMMDPVSFVTRMTNGSLPSHVVLFDSEEKLLRDFLISHSFTEIRRFFHAHFKVDRDLQASVVVYALAN >Potri.002G079400.2.v4.1 pep chromosome:Pop_tri_v4:2:5573650:5577147:-1 gene:Potri.002G079400.v4.1 transcript:Potri.002G079400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079400.v4.1 MGENTSAKNQLPHQVFSVSIDTNPQSGSKWFDDDGRPKRTGNVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMLLFSLVTYYTSILLSACYRSGDPVNGKRNYTYMDAVRANLGGGKVKICGFVQYVNLFGVAIGYTIASSISMMAIKRSNCFHQSGGQDPCHMNAYPYMIAFGIAEILLSQIPGFDQLHWLSLVAAVMSFTYSSIGLGLGIGKVVENKRVMGSLTGISIGTVTQTQKIWRSFQALGDIAFAYSYSMILIEIQDTVKAPPTEAKTMKKATLISVAVTTLFYMFCGCFGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVYCQPLFAFVEKEAARRFPDSDFVTKDIKISIPGLGPYNLNLFRMIWRTLFVVTTTVISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVLDDVKTIKPFQTSY >Potri.002G079400.1.v4.1 pep chromosome:Pop_tri_v4:2:5573627:5577149:-1 gene:Potri.002G079400.v4.1 transcript:Potri.002G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079400.v4.1 MTMGENTSAKNQLPHQVFSVSIDTNPQSGSKWFDDDGRPKRTGNVWTASAHIITAVIGSGVLSLAWAIGQLGWIAGPAVMLLFSLVTYYTSILLSACYRSGDPVNGKRNYTYMDAVRANLGGGKVKICGFVQYVNLFGVAIGYTIASSISMMAIKRSNCFHQSGGQDPCHMNAYPYMIAFGIAEILLSQIPGFDQLHWLSLVAAVMSFTYSSIGLGLGIGKVVENKRVMGSLTGISIGTVTQTQKIWRSFQALGDIAFAYSYSMILIEIQDTVKAPPTEAKTMKKATLISVAVTTLFYMFCGCFGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVIHLVGAYQVYCQPLFAFVEKEAARRFPDSDFVTKDIKISIPGLGPYNLNLFRMIWRTLFVVTTTVISMLLPFFNDIVGLLGALGFWPLTVYFPVEMYISQKKIPKWSTRWLCLQILSVACLIITIAAAAGSIAGVLDDVKTIKPFQTSY >Potri.001G372100.2.v4.1 pep chromosome:Pop_tri_v4:1:38970640:38976855:1 gene:Potri.001G372100.v4.1 transcript:Potri.001G372100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372100.v4.1 MRFEFGDSVCITAMTSSLHDLSDNSEADEQQNESEPQIQSSSPAMAQAHPGFSTPNVQYATPQLGAGHAMAPATYPYPDPYYRSIFAPYDPQPYPPQPYGAQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESESKAIKSRKPYLHESRHQHALKRARGCGGRFLNSKKQENQEHNGVASGSDGGAHN >Potri.001G372100.15.v4.1 pep chromosome:Pop_tri_v4:1:38970640:38976854:1 gene:Potri.001G372100.v4.1 transcript:Potri.001G372100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372100.v4.1 MRFEFGDSVCITAMTSSLHDLSDNSEADEQQNESEPQIQSSSPAMAQAHPGFSTPNVQYATPQLGAGHAMAPATYPYPDPYYRSIFAPYDPQPYPPQPYGAQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESESKAIKSRKPYLHESRHQHALKRARGCGGRFLNSKKQENQEHNGVASGIHRK >Potri.001G372100.14.v4.1 pep chromosome:Pop_tri_v4:1:38970640:38976859:1 gene:Potri.001G372100.v4.1 transcript:Potri.001G372100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372100.v4.1 MRFEFGDSVCITAMTSSLHDLSDNSEADEQQNESEPQIQSSSPAMAQAHPGFSTPNVQYATPQLGAGHAMAPATYPYPDPYYRSIFAPYDPQPYPPQPYGAQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESESKAIKSRKPYLHESRHQHALKRARGCGGRFLNSKKQENQEHNGVASGDKSQSNINLNSDKNDIVSSND >Potri.001G372100.5.v4.1 pep chromosome:Pop_tri_v4:1:38970509:38976697:1 gene:Potri.001G372100.v4.1 transcript:Potri.001G372100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372100.v4.1 MRFEFGDSVCITAMTSSLHDLSDNSEADEQQNESEPQIQSSSPAMAQAHPGFSTPNVQYATPQLGAGHAMAPATYPYPDPYYRSIFAPYDPQPYPPQPYGAQPMVHLQLMGIQQAGVPLPSDAVEEPVFVNAKQYHGILRRRQSRAKAESESKAIKSRKPYLHESRHQHALKRARGCGGRFLNSKKQENQEHNGVASGDKSQSNINLNSDKNDIVSSND >Potri.015G021100.1.v4.1 pep chromosome:Pop_tri_v4:15:1509976:1512105:-1 gene:Potri.015G021100.v4.1 transcript:Potri.015G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G021100.v4.1 MVKLASARESRMYGPRLARNRGEYMNAGLCVFAAIVLVGGFVAELSKEPKSGLVLLLIALLLIMVVNLHDLVAHLAGIDYRFPLMGFDTQLALVEFAVPVVQASGALLSFLGILFLFIQEYKGYGHFKLERHALNLLIAGPALWVLGSIHNSCQIYERADGHVQILQQSVHIPFLMGSLLFLVGSILNIHEQAGRGHHGLRLLGKTWVWTGIYGSLMIFIGGLANVVKVFKMQQIDGLRLEKLRGGAQERLIRDREGHSPLILEEERRRKMTAAETRAAHIPATTPYRDVLVGQP >Potri.015G021100.2.v4.1 pep chromosome:Pop_tri_v4:15:1509976:1511915:-1 gene:Potri.015G021100.v4.1 transcript:Potri.015G021100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G021100.v4.1 MVKLASARESRMYGPRLARNRGEYMNAGLCVFAAIVLVGGFVAELSKEPKSGLVLLLIALLLIMVVNLHDLVAHLAGIDYRFPLMGFDTQLALVEFAVPVVQASGALLSFLGILFLFIQEYKGYGHFKLERHALNLLIAGPALWVLGSIHNSCQIYERADGHVQILQQSVHIPFLMGSLLFLVGSILNIHEQAGRGHHGLRLLGKTWVWTGIYGSLMIFIGGLANVVKVFKMQQIDGLRLEKLRGGAQERLIRDREGHSPLILEEERRRKMTAAETRAAHIPATTPYRDVLVGQP >Potri.005G070900.4.v4.1 pep chromosome:Pop_tri_v4:5:4613756:4620755:1 gene:Potri.005G070900.v4.1 transcript:Potri.005G070900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070900.v4.1 MMGLGISLVRVLASSYDYYSGSFIAWLITAALGFLVIVYRLINWHKRASQKWVKAAAKAKKQVWKRLNVPRSSHLWIEDLAYGQKQPSACCVCLTSLVLPYNGCSASLRSDFVHRCVVCGAAAHFNCSEFAVKDCKCIAQAGSSHVRHHWSERWFNMDENPEMSVFCSYCDEPCGVTFIDASPTWHCLWCQRLIHVRCQAKMSKESGDVCDLGPLRRIILSPLCVKEVDGGNSLSLITEEIIASSVRGQMRRKQRSRGKHGDDRPVNGKLQGATTANRNSDFVLNGFAGLNRSSSETDFHCLKKNGRIKYTLNGLMHDKGGTAICEQVKKYALADLAQDARPLLVFINSKSGGQLGPILRRTLNMLLNPVQVFELSDLQGPDIGLELFSKVRYFRVLVCGGDGTVAWVLDAIERRNFESPPPVAIIPLGTGNDLSRVLQWGGGFSKFDGQGGLSTLLQDIDQAAVTMLDRWKVNIKEENSEGYMEREQSKFMMNYLGIGCDAKLAYEFHITRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKLYGGRGSLAK >Potri.005G070900.1.v4.1 pep chromosome:Pop_tri_v4:5:4613660:4620757:1 gene:Potri.005G070900.v4.1 transcript:Potri.005G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070900.v4.1 MMGLGISLVRVLASSYDYYSGSFIAWLITAALGFLVIVYRLINWHKRASQKWVKAAAKAKKQVWKRLNVPRSSHLWIEDLAYGQKQPSACCVCLTSLVLPYNGCSASLRSDFVHRCVVCGAAAHFNCSEFAVKDCKCIAQAGSSHVRHHWSERWFNMDENPEMSVFCSYCDEPCGVTFIDASPTWHCLWCQRLIHVRCQAKMSKESGDVCDLGPLRRIILSPLCVKEVDGGNSLSLITEEIIASSVRGQMRRKQRSRGKHGDDRPVNGKLQGATTANRNSDFVLNGFAGLNRSSSETDFHCLKKNGRIKYTLNGLMHDKGGTAICEQVKKYALADLAQDARPLLVFINSKSGGQLGPILRRTLNMLLNPVQVFELSDLQGPDIGLELFSKVRYFRVLVCGGDGTVAWVLDAIERRNFESPPPVAIIPLGTGNDLSRVLQWGGGFSKFDGQGGLSTLLQDIDQAAVTMLDRWKVNIKEENSEGYMEREQSKFMMNYLGIGCDAKLAYEFHITRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKDCEGLIVLNIGSYMGGVDLWQNDYEHDDDFSLQSMQDKMLEVVSVCGAWHLGKLQVGLSQATRLAQGKAIKIHASSAFPVQIDGEPFIHQPGCLEITHDEQVFMLRRASEEPRGHAAAIMTEVLADAECKGVINASQKKLLLQQLALNLS >Potri.005G070900.5.v4.1 pep chromosome:Pop_tri_v4:5:4613760:4620756:1 gene:Potri.005G070900.v4.1 transcript:Potri.005G070900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070900.v4.1 MMGLGISLVRVLASSYDYYSGSFIAWLITAALGFLVIVYRLINWHKRASQKWVKAAAKAKKQVWKRLNVPRSSHLWIEDLAYGQKQPSACCVCLTSLVLPYNGCSASLRSDFVHRCVVCGAAAHFNCSEFAVKDCKCIAQAGSSHVRHHWSERWFNMDENPEMSVFCSYCDEPCGVTFIDASPTWHCLWCQRLIHVRCQAKMSKESGDVCDLGPLRRIILSPLCVKEVDGGNSLSLITEEIIASSVRGQMRRKQRSRGKHGDDRPVNGKLQGATTANRNSDFVLNGFAGLNRSSSETDFHCLKKNGRIKYTLNGLMHDKGGTAICEQVKKYALADLAQDARPLLVFINSKSGGQLGPILRRTLNMLLNPVQVFELSDLQGPDIGLELFSKVRYFRVLVCGGDGTVAWVLDAIERRNFESPPPVAIIPLGTGNDLSRVLQWGGGFSKFDGQGGLSTLLQDIDQAAVTMLDRWKVNIKEENSEGYMEREQSKFMMNYLGIGCDAKLAYEFHITRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKDCEGLIVLNIGSYMGGVDLWQNDYEHDDDFSLQSMQDKMLEVVSVCGAWHLGKLQVGLSQATRLAQGKAIKIHASSAFPVQIDGEPFIHQPGCLEITHDEQVFMLRRASEEPRGHAAAIMTEVLADAECKGVINASQKKLLLQQLALNLS >Potri.005G070900.2.v4.1 pep chromosome:Pop_tri_v4:5:4613758:4620734:1 gene:Potri.005G070900.v4.1 transcript:Potri.005G070900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070900.v4.1 MMGLGISLVRVLASSYDYYSGSFIAWLITAALGFLVIVYRLINWHKRASQKWVKAAAKAKKQVWKRLNVPRSSHLWIEDLAYGQKQPSACCVCLTSLVLPYNGCSASLRSDFVHRCVVCGAAAHFNCSEFAVKDCKCIAQAGSSHVRHHWSERWFNMDENPEMSVFCSYCDEPCGVTFIDASPTWHCLWCQRLIHVRCQAKMSKESGDVCDLGPLRRIILSPLCVKEVDGGNSLSLITEEIIASSVRGQMRRKQRSRGKHGDDRPVNGKLQGATTANRNSDFVLNGFAGLNRSSSETDFHCLKKNGRIKYTLNGLMHDKGGTAICEQVKKYALADLAQDARPLLVFINSKSGGQLGPILRRTLNMLLNPVQVFELSDLQGPDIGLELFSKVRYFRVLVCGGDGTVAWVLDAIERRNFESPPPVAIIPLGTGNDLSRVLQWGGGFSKFDGQGGLSTLLQDIDQAAVTMLDRWKVNIKEENSEGYMEREQSKFMMNYLGIGCDAKLAYEFHITRQENPEKFSSQFVNKLRYAREGARDMMDRACADLPWQVWLEVDGKDIQIPKDCEGLIVLNIGSYMGGVDLWQNDYEHDDDFSLQSMQDKMLEVVSVCGAWHLGKLQLRRGGGFTGHCHNEVRSACINNRRCIAILL >Potri.001G387000.3.v4.1 pep chromosome:Pop_tri_v4:1:40615239:40616046:-1 gene:Potri.001G387000.v4.1 transcript:Potri.001G387000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387000.v4.1 MACMSLVAGGFMPKSTLVRMPNTRLCYQRKTLIISAGRGPDVSHGGGGQTNNGGRKPKDAANVAKEKLPLGGFNSEKEKKKKSEEKVDDGTTVDNEATG >Potri.014G149300.1.v4.1 pep chromosome:Pop_tri_v4:14:10276480:10277704:1 gene:Potri.014G149300.v4.1 transcript:Potri.014G149300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149300.v4.1 MAKLMKSSVPFLVCFLVLSTLLVSGVSGYRGGMVGGRSEVSDVKTNKQVQELGRFSVKEFNSHRSLYWKGGGVGKLMFSEVVEAHKQVVSGLKYYLNIVATTQNGEKRMFDSVVVVQPGLRTTELLTFEPSAKLMVRK >Potri.006G264101.1.v4.1 pep chromosome:Pop_tri_v4:6:26031468:26031827:-1 gene:Potri.006G264101.v4.1 transcript:Potri.006G264101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264101.v4.1 MGISSPLLAKLVYVANLFRSATHLRKEFAWRLLAKPGDSELIGWLSRDFLPAPKVDSSVVIIQPKDQIPGVNLDEWCEFTKTCFEKKNKTLGVAFKQKVIELFGLSKMASSNREEMNRN >Potri.017G061800.4.v4.1 pep chromosome:Pop_tri_v4:17:5099915:5103239:-1 gene:Potri.017G061800.v4.1 transcript:Potri.017G061800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061800.v4.1 MSYQRAPHDPYPPPGYSPSAPPPPPHEGYPPPPPPPPPHEGYPPPPPPPPGYPGYPPPGPPPPGYPGYPPPGPPRGYQGYFAEGYPTPPGPPQYQQCCHYEHHPYQDNYGGCSSFLRGCLAALCCCCVLEECCF >Potri.017G061800.3.v4.1 pep chromosome:Pop_tri_v4:17:5099912:5103245:-1 gene:Potri.017G061800.v4.1 transcript:Potri.017G061800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061800.v4.1 MSYQRAPHDPYPPPGYSSPYPPPGYSPSAPPPPPHEGYPPPPPPPPPHEGYPPPPPPPPGYPGYPPPGPPPPGYPGYPPPGPPRGYQGYFAEGYPTPPGPPQYQQCCHYEHHPYQDNYGGCSSFLRGCLAALCCCCVLEECCF >Potri.016G125200.1.v4.1 pep chromosome:Pop_tri_v4:16:12881311:12883233:1 gene:Potri.016G125200.v4.1 transcript:Potri.016G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G125200.v4.1 MAATTTTDASPKYRAPTDFHPEMPELSVSENPHDGLHFWQFMVAGSIAGSIEHMAMFPVDTLKTRMQAIVGSGSYPVQNVNVRQACKSIMKNEGAAGFYRGIGAMGLGAGPAHAVYFSVYELCKQYFSRGDPNNSVAHAVSGVCATVASDAVFTPMDVVKQRLQLKSSPYKGVVDCVRRVLVEEGIGAFYASYKTTVVMNAPFTAVHFATYEAAKRGLIEVSPDIADDERLVVHATAGAAAGALAAIVTTPLDVVKTQLQCQGVCGCDRFSSSSIGNVIKIIVKKDGYQGLMRGWIPRMLFHAPAAAICWSTYEASKDFFHRLNGNPDN >Potri.004G147600.2.v4.1 pep chromosome:Pop_tri_v4:4:16973832:16975788:1 gene:Potri.004G147600.v4.1 transcript:Potri.004G147600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147600.v4.1 MKRTATEIDCVFSSWVEDHRRNRLNGSINEEERDFIHVMLSNLEDGKISAVDTDTAIKGTCLSLILGGHDTTFVTLTWALSLILNNREVLEKAQDELDIQVGKHRQVDETDIKNLVYLQAIVKETMRLYPAAPLSAPRQAMEDCTVAGFHIPAGTRLLVNLWKLHRDPNIWSNPLEFQPERFLKEHANLDVRGQDFEYVPFGSGRRMCPGISLALQVLHLTLARLLHGFEMGTVSDALIDMSEGPGITIPKETPLEVILRPRLHSSLYEC >Potri.003G178800.2.v4.1 pep chromosome:Pop_tri_v4:3:18515805:18520648:1 gene:Potri.003G178800.v4.1 transcript:Potri.003G178800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178800.v4.1 MALSLSRPRHRSLSNPYLIHLFSSSSTTPSDDPSVSEPQQQQQQQQQQQQQQQQKSSLSSYFNDVKASLKQQNPENRPNSPPLSKPSYSTNQSFSKPTSNIASFDEIRKNLSEFRLRSSDPPPREPNSAPSQEPSSKQQISFQELYKRNVLARSTGGSGTTQSGGINANQPISGRLTFDAIRESLRQMKGGGDNNNTAAGRGRVGELSFSSFKIKPGNENEPMNKSTIIGGTEGLPSAVFGREMEGEGGAKGEMSTEFVKMYSHGELGDKLRILRPKVKRGEKGWFTLKELNERLRKLREMEEKETESRISGVSFRDLRESLVKLKASSDEKAIKNSVQRLNLMGQLRASNVTLQPPKKHLVEKYFHPDNMSSSEKMKIELARVRDEFKMSESDCGSARVQVALLTTKIKHLSSVLNKKDKHSRKGLIGMVQKRKKLLKYLRRTDWDSYCLVLSKLGLRDNPDHKTLTRQ >Potri.003G178800.3.v4.1 pep chromosome:Pop_tri_v4:3:18515811:18518550:1 gene:Potri.003G178800.v4.1 transcript:Potri.003G178800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178800.v4.1 MALSLSRPRHRSLSNPYLIHLFSSSSTTPSDDPSVSEPQQQQQQQQQQQQQQQQKSSLSSYFNDVKASLKQQNPENRPNSPPLSKPSYSTNQSFSKPTSNIASFDEIRKNLSEFRLRSSDPPPREPNSAPSQEPSSKQQISFQELYKRNVLARSTGGSGTTQSGGINANQPISGRLTFDAIRESLRQMKGGGDNNNTAAGRGRVGELSFSSFKIKPGNENEPMNKSTIIGGTEGLPSAVFGREMEGEGGAKGEMSTEFVKMYSHGELGDKLRILRPKVKRGEKGWFTLKELNERLRKLREMEEKETESRISGVSFRDLRESLVKLKASSDEKAIKNSGEYFLC >Potri.013G040800.3.v4.1 pep chromosome:Pop_tri_v4:13:2788143:2791246:-1 gene:Potri.013G040800.v4.1 transcript:Potri.013G040800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040800.v4.1 MKQSHQVSIASKSSERKPLKDLSNNNGRFLKSVNPKKISMTAKEIGDKQSVVRVQEQQQQQQQHQDDDCLDRLLLVHSDLSSLTRQIDELVAQAFKLKATSKEGRQEIESFMHVLSNMLSSLKPWVPRFQKVLSSHFVEPENQLPHKTVSEINEDGSFDVDSPEKTNMDSLISPSPLVSWRAGCNVERGRQLFLLTPLPMPKTLSSRCQDLPKSVFEKISLNPAVEPPSTSTIFGDGNEDLHEDMATKPITSKPSDPVATEGKLECLSSPMFSKQNHFVAMTPCLNMSPPKSCVLLEAISQSSHKGNYRLRKSTPFPVGIHSHISESSGSEGSEDLTLKYPELLGIQRAYKSRMGIKDLESSPNWSFSPPKTCIVLEPPVEKSLDIHVADHHLKVHAPVLNQQTYSTLSKECDFQGGCHQIKKPCNGGPVCGVSKLIIESTPLWKEPESTIRTGKRPGENTLKKELWTKFEAASTYGFRLDASAFQGTAQKGFLDMLDEASCDEGKSNC >Potri.004G143900.1.v4.1 pep chromosome:Pop_tri_v4:4:16682308:16686927:1 gene:Potri.004G143900.v4.1 transcript:Potri.004G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143900.v4.1 MSAQNATPVISPDRQQTPQNDNNAIEQEAEEEDKTAVVLKNPSYMDREGPPLDDCCPICFGTFDVPCKANCGHWYCGSCILQYWKYSGPSSRCKCPMCSSRISNLTPEASLHGQQEQEVVKVLEDVRRYNHVFVGGVRGLARKVHVVPFLFKRMLEEMMDPDGHNFFLYEKLMRMFAIFLAILYISSPFDFIPLGRIGVVRLFEYMSMLLAVTLRLAGIFRRRRLNQRVRDLAAAPLGH >Potri.004G014351.1.v4.1 pep chromosome:Pop_tri_v4:4:967440:968703:1 gene:Potri.004G014351.v4.1 transcript:Potri.004G014351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014351.v4.1 MSLLKLLMHLSPFLVAHLFVSGVVSATLTIINNCDYTIWPGINSTYDPRPTIYVTPTIATGFSLGKKDWKDITTSDDWHGRLWGRTHCTEDDTGRFSCITGDCGSGKIECSTIPAGSSPVTMAEFKINNAGLDFFNVSLLDGYNLPLLVLPSNRSCKKAGCVVDLNGVCPPELTVNSSDGMIAGCRSACEAFNSQQFCCTGEYETPSTCKPSSYSQNFKKKCPSAYTYC >Potri.017G113601.2.v4.1 pep chromosome:Pop_tri_v4:17:12086135:12090415:-1 gene:Potri.017G113601.v4.1 transcript:Potri.017G113601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113601.v4.1 MHPRVLVDGFEIAKRATLQFLEKFKTPVVMGDEPDREILKMVARTALRTKLHEALADQLTDIVVNSVLCIRKPEEGIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCFILTCNVSLEYEKSEINAGFFYSNAEQREAMVTAERRQVDERVKKIIELKDKVCSGTDNNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEGVNSVDSLTPDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFEFAARKYLINEVKKTVKGRAQLGVEAFADALLVVPKTLAENSGLDTQDEIVTLTGEHDRDNIVGINLQTGGPLDPQMEGIFDNYSVKRQLINSGPVIASQLLLVDEVIRAGRNMRKPT >Potri.017G113601.1.v4.1 pep chromosome:Pop_tri_v4:17:12086123:12091790:-1 gene:Potri.017G113601.v4.1 transcript:Potri.017G113601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113601.v4.1 MSIRLLNPNAEVLNKSAALHMNINAAKGLQDVLKSNLGPKGTIKMLVGGAGDIKLTKDGNTLLKEMQIQNPTAIMIARTAVAQDDISGDGTTSTVIFIGELMKQSERCIDEGMHPRVLVDGFEIAKRATLQFLEKFKTPVVMGDEPDREILKMVARTALRTKLHEALADQLTDIVVNSVLCIRKPEEGIDLFMVEIMHMRHKFDVDTRLVEGLVLDHGSRHPDMKRRAENCFILTCNVSLEYEKSEINAGFFYSNAEQREAMVTAERRQVDERVKKIIELKDKVCSGTDNNFVVINQKGIDPPSLDLLARAGIIALRRAKRRNMERLVLACGGEGVNSVDSLTPDCLGWAGLVYEHVLGEEKYTFVENVKNPHSCTILIKGPNDHTIAQIKDAVRDGLRAVKNTIEDEAVVLGAGAFEFAARKYLINEVKKTVKGRAQLGVEAFADALLVVPKTLAENSGLDTQDEIVTLTGEHDRDNIVGINLQTGGPLDPQMEGIFDNYSVKRQLINSGPVIASQLLLVDEVIRAGRNMRKPT >Potri.006G158800.2.v4.1 pep chromosome:Pop_tri_v4:6:14176307:14177474:1 gene:Potri.006G158800.v4.1 transcript:Potri.006G158800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158800.v4.1 MENRFRLRISSMFRGSCRTRNLSDVAEKAVFVPQNHKNFHLIDHLPPKARPFPSICIRKCPEATNQAINPSIISRKNLSHRYPPASPIFPMNPFYKELGFQEKTKGRCSSIRNRSKKKKNITNKKDQMSLLSSSSQDSACFGGRYYWFSSEDENKREDDESDTLFSSRSLSSDSSGSLRHPSSRRRKYTSRRRRAKVKSSQVGGLPLDGKVKDSFAVVKSSSDPYNDFRKSMVEMIVEKQIFAAKDLEQLLQCLLVFELLSSSRDNC >Potri.001G274400.1.v4.1 pep chromosome:Pop_tri_v4:1:28793115:28794831:-1 gene:Potri.001G274400.v4.1 transcript:Potri.001G274400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274400.v4.1 MDDQEFGRLLDLFPVVRPRNYHIETDPSKQSTSRSFPEPVFQEEEGKKESNNQVIDVQDAFWEKLKLAVEKKAGAAEAQRFCKAFQEIHRKLVYEELSLDAACSFINLSKRSGQ >Potri.008G224346.1.v4.1 pep chromosome:Pop_tri_v4:8:19084230:19084478:1 gene:Potri.008G224346.v4.1 transcript:Potri.008G224346.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224346.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.018G106000.1.v4.1 pep chromosome:Pop_tri_v4:18:12500156:12501077:-1 gene:Potri.018G106000.v4.1 transcript:Potri.018G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106000.v4.1 MAANQTSLNIDDARFPLPGHDFEQQATSDIVETKDFDYSKRSQWLRAAVLGANDGLVSIGSLMMGVGAVKQDVKAMILTGCAGLVAGASSMAIGEFVSVQSQLDIELAQIKRNKQRRDSEEVPEEEEGEKANLPSPTQASAASAIAFALGASVPVLAASFIGQYKLRLGVVVGAVTLALMAFGWLGAVLGKAPTVKSSLRVLIGGWFAMAITFGLTKLIGSTGL >Potri.005G007100.1.v4.1 pep chromosome:Pop_tri_v4:5:516985:518799:1 gene:Potri.005G007100.v4.1 transcript:Potri.005G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007100.v4.1 MELAIIILLTNPTLSEAQDSQVLIDEVCRQMEDYGFCNRVFHENMKSPSIDYVGLTAIAMDQTITNATNTYEYILGLLKNTTDQSLRNVLVACENAFGIVKSSFGAALQSFNRKDYDDMFKLERVAPRAQASCETSFTAPPSPPNPLAERNREMRILITMAIASGKEILKR >Potri.012G090900.1.v4.1 pep chromosome:Pop_tri_v4:12:11595813:11597816:1 gene:Potri.012G090900.v4.1 transcript:Potri.012G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090900.v4.1 MEMKKFLPVALSLALVLGITESLGFHGKDLESEESLWDLYERWRSHHTVSRSLDEKHKRFNVFKENVIHVHKTNKMDKPYKLKLNKFADMTNHEFRSVYAGSKVKRHKMFCGTTPRGNGSFMYEKVDEVPSSVDWRKKGAVTAVKDQGQCGSCWAFSTIVAVEGINYIKTNKLVSLSEQELVDCDTTENQGCNGGLMEYAFEFIKKKGGVTTESTYPYQAEDGTCDVEKVNKPVVSIDGYEKVPENDEDALLKAAANQPISVAIDAGGSDFQFYSEGVFTGKCGTELDHGVAIVGYGTTLDGTKYWVVRNSWGPEWGEKGYIRMQRGISDKEGLCGIAMEASFPIKNSSTNPSGTRSSPKDEL >Potri.013G069250.1.v4.1 pep chromosome:Pop_tri_v4:13:5473850:5485984:-1 gene:Potri.013G069250.v4.1 transcript:Potri.013G069250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069250.v4.1 MDNSMEERLVSPEELNSDDLKKRVWKEFGKLWGIAFPGTVARLTSFGMIVVTQLFMGHVSELDLAAFGLQQSILIRFVNGILIGMSSATETLCGQAYGAGQYHMMGIYLQRSWIIDGVTATILLPLFIFTAPILKLLGQDEDIAIEAGKMSLWFIPILYYYVLSLTIQMYLQAQQKNKIVGLFTASSFLVHVFLSWLFVIKLDLGVAGAMSAFIISAWLLVIGEFVYIFGGWCPHTWKGFTKAAFADMLPLVKLSLSSGVMICLEFWYTSILVLLAGYMKNATVAISAFSICINIYGCDFMICLGFLGASSVRVSNELGKGNAKAARFSIKVALLTSVIIGIILWILCLVFSNEIAYLFTSNEEIAESVSRLHVLLAFSVLLNSIYPVLSGVAIGAGVQSTVAFLNLGSYYVIGVPIGLVLGYVAHLQIQGLWIGLLTGVVVLTLLLSYLTWRIDWDEQVHKAEERLGRFFLEPPKQSVENSNLA >Potri.016G110900.1.v4.1 pep chromosome:Pop_tri_v4:16:11361328:11362438:-1 gene:Potri.016G110900.v4.1 transcript:Potri.016G110900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110900.v4.1 MNFRSLDEFWSFYVTQHSKPSTRRWHFVGTLASMLLLLNSLVFNLWFLFLVPFVGYGCAWYSHFFVEGNVPATFGHPVWSFLCDFKMFGLMLTGQMDREIKRLEKRPVLQGF >Potri.008G224075.1.v4.1 pep chromosome:Pop_tri_v4:8:18914760:18915526:1 gene:Potri.008G224075.v4.1 transcript:Potri.008G224075.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224075.v4.1 MLRELVKRWANHKVMVRWLSRFFHYLDRYFIARRSLPPFNEVGLTCFRDLVYQELNGKVRDAVISLIDQEREGEQIDRALLKNVLDIFVEIGMGQMDYYENDFEAAMLKDIAAYYSRKASNWKASKAF >Potri.015G099400.2.v4.1 pep chromosome:Pop_tri_v4:15:11933102:11939686:-1 gene:Potri.015G099400.v4.1 transcript:Potri.015G099400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099400.v4.1 MLTKKMKVERKGKAYPSQPSASSSRFSYKDPDSVLKLLPVTILALALSLPNQDREVLAYLIARSIFVTTAPNPSSLITQHPKKKCKTKSTASKNDKYCDQKVPSFQCGCFDCYTRFWYRWDSSPNRDFIHQVIEPFEEHLVQKIESSKKHSRGKKKGKVLMMGHFESDNVLFNIPEIAVPKTVCEVMIMQENLESGEIEGNGVVFEEEMVGQEVTRNLEMEVVTGHPSGYNDNNHKGLAMKVLLDVMGLLNSRLWSNLWSPGMYVDAVLTIPKGTLFPTCGMNLAFDRELIGPAIYFGPMGDGQPIGRYDDVWAGWCAKCLRS >Potri.013G030450.1.v4.1 pep chromosome:Pop_tri_v4:13:1958401:1959361:1 gene:Potri.013G030450.v4.1 transcript:Potri.013G030450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G030450.v4.1 MASCRIFMIIAIVAVFVPSILATEHMVGDKTGWTLGFNYQTWAQGKAFYVGDTLVFKYTPGAHNVLSVNGTGFEECKAADDIVPLTTGNDVITLSTPGKKWYICSVPGHCESGNQKLFITVLPQLSSPATSPFPGPTDTSPSGAAGNIASTYYGLIAAIVGIFGMIMF >Potri.016G051700.2.v4.1 pep chromosome:Pop_tri_v4:16:3354380:3356634:-1 gene:Potri.016G051700.v4.1 transcript:Potri.016G051700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051700.v4.1 MESHDETGCQAPEGPILCINNCGFFGSAATMNMCSKCHKDIILNQQQAQLAASSIESIVNGNSSGNGKEPVVAGAVDVQAAPVEVKIISTEPSIASSKPSEMKAKEGPSRCTACRKRVGLTGFGCRCGNLFCAIHRYSDKHDCPFDYRTAARDAIAKANPVVKAEKLDKI >Potri.017G130500.1.v4.1 pep chromosome:Pop_tri_v4:17:13350334:13354212:1 gene:Potri.017G130500.v4.1 transcript:Potri.017G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130500.v4.1 MGLFDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVSAILYVVDAADRDSVPLSRSELHDLLTKPSLSGIPLLVVGNKIDKPEAFSKQALVDQLGLESITDREVCCYMISCKDSTNIDIVIDWLIKHSKTATGSVA >Potri.T093108.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:48484:50329:1 gene:Potri.T093108.v4.1 transcript:Potri.T093108.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T093108.v4.1 MYVTRPLSMYLRDPSALASPPPEGPNSGVLVIQDEEAVPTFCCGFFKSDRVSWGLPFPQNKNLTVRYTQQAGEHQNVDTNRVLFIPVLNQPLSSNQYYVVERKGKHKGEAYINSKEEDMKTCCFCSCISDLKPQPLDPRNIYQQFEIQHRKRGGFAAKSVRADGFPPNFLRRKGWRVYTSTSKEFQLNEAPGLNTALRARLPDFNFPLSQRCSTPVVAGKWYCPFMFIKEGTVLKDQMKYSTYYEMTLEQQWEQIFACENMYNEGNTVTVDVAVECEVVTVGGTEAVADQKDVVDGVMWFRSLNRVTGSETSVGLRMEIVERMKGVQGVGGASGDEREVRVKRKEVFDGKGKWRKFGCYVLVERFALKRMDGSLLLTYDFRHPHRIRSRWEL >Potri.017G128900.1.v4.1 pep chromosome:Pop_tri_v4:17:13219888:13221398:-1 gene:Potri.017G128900.v4.1 transcript:Potri.017G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128900.v4.1 MRKPCCDKQGNNKGAWSVQEDQKLIDYIKTHGEGCWRSLPMAAGLHRCGKSCRLRWINYLRPDIKRGNFGQDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLKKKLINMGIDPNNHRLNQILPRPQTEPAPVLATSTTTGSKNTITTSKPEKSSNDDSDRVSDTASCLEDDYKSLVKQQVATSGSSNINLDLTIAAPASPGHKTSFGNEQQNCNWGKTCQVESEPTSLPTLILFR >Potri.003G071050.1.v4.1 pep chromosome:Pop_tri_v4:3:9815787:9816533:-1 gene:Potri.003G071050.v4.1 transcript:Potri.003G071050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071050.v4.1 MNALFKPMIILQNGFDLMIPHSTVSMFLSLCNTGIMEQLRVPQYVQHWNHGACICLIPCLL >Potri.019G084900.1.v4.1 pep chromosome:Pop_tri_v4:19:12421791:12425709:-1 gene:Potri.019G084900.v4.1 transcript:Potri.019G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G084900.v4.1 MAHLLHTTFLPSSSSLPQKPCLSSSPSHISSSRTRVHAKIREIFMPALSSTMTEGKIVAWVKSEGDKLSKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAAIGSAIALLAESQEEIEEAKSKAAASSSSSSPAPDQNPSAAAPALESTVAVDKAVVVAPPSPSVVASAVHPASEGGKRVVASPYAKKLGNELKVELGRVIGSGPNGRIVAKDVEAAAAAAAELGSTGAKVSGAPSVHARPGIELGSVVPFTTMQGAVSRNMVESLSVPTFRVGYTITTDALDALYKKIKSKGVTMTALLAKATALALVKHPLINSSCRDGNSFTYNSSVNIAVAVAMDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPQEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVGTKDGRIGMKNQMQVNVTADHRVIYGADLAAFLQTLAKIIEDPKDLTF >Potri.017G041100.1.v4.1 pep chromosome:Pop_tri_v4:17:2727133:2741394:-1 gene:Potri.017G041100.v4.1 transcript:Potri.017G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041100.v4.1 MGSGGVACMPLQHGSNNIIMEERFPVQEQPTAAAAAMTTTATTACGGGKTVNSNSNISSADNDNNNNGSSGDKKDNGKVNASSNGVTGKLKRVKRIIKVKKVVRRVVLGEKKGVGLDKAVKGAGGSGSKEVAVLEKKESGLKTEEKSKEVAAEKKESGLKKEDKSKEVAAEKKESGLKSSSGSKIVENGDGLGSGDSKVQSGSNNIKEEVEEGELGTLRWPSKGEIENGEFVPTPEKPRRSEIERGEIGSGKWKKGDIEKGEIVSGNKWRKGEAVRDEIEKGEFIPDRWNIKDEYGYNKSRGRHDMSSERTPPSGKYSSEDVYRRKELSRSGGMRWESGQERSTRISSKIVDEEGSYKSEYSNGKSHEREHASGNRLKRHVTDSDNTERKYYGDYAISKSRRLSEDGSRYAYSEHYSRHSVERFYKSSSYSRVSSSDKYSSRHHEPTLSSKVVYDRHSHSDRSPHDRPRYYDHRDRSPIRYEKSPYGREKTPFGHERSPYGRERSPYGRERSPYWRDRSPDGHDRSPYGREKSPYGRERSPYVLEKSPYDRSSYNEHRKRSPAYFERSPQDRTRHHDRSDRTPSYLERSPHDRARPTNHREASRKGAAHEKRSSQYGNKKQDDKISQKDPAVKDTELSAKESQDKSSVHNLDGLDEKNTSSETRLEEKSESPVINAKESPKVDGPPPEELQSMEEDMDICDTPPHVPVVADTSTGRWFYLDHFGVECGPSKLCELKALVDEGILMSDHFIKHLDSDRWLTIENAVSPLVTVNFPSVVPDVITQLVSPPEAPGNLLADTGDIVQSCSQIGEGVPGNLLQPLVCPNHSAVASEPLEDLQIDERVGALLEGFSVVPGSEIETVGEALQMKFEHVQWEGWIKAEGFAWYLASTAEQQDQNSNELLGHSDLITKEAVEAWPGSLADKDDGFASSVDSADWFSGRWSCKGGDWKRNDESVQDRFTRRKVVLNDGFPLCHMTKSGCEDPRWQRKDDLYFPSQSRKLDLPPWAFSSTDERNDTGGVSKSTLNKPPITRGVKGTVLPVVRINACVVQDHVSETRTKVRGKDRYHSRAARTHSATNDVKRSSVESDSQSKVVNDPDSHGCWKSTAPLNTPKDCLCTADDLQLNLGEWYYLDGAGHEQGPSSFSELQNLADIGTIQKYSSVFRKFDRVWVPITSATETFGASVKIQQSNVEPVIGSSGTLSKSQTASNIESDRSSSSFHSLHPQFIGFTRGKLHELVMKSYKNREFAAAINEALDPWIVAKRPPKEIDKHMYLKSEIDARAGKRARMQPAQNDEDYEMEEGTLHKDETTFEQLCGDTNFHREESMCSEIEAGSWGLLDGHMLARVFHFLRSDMKSLVFASLTCKKWRSAVSFYKGISIQVDLSSGAPNCTDMMVRSIMNGYNKEKINAMVLAGCKNITSGMLEEILRSFPCLSSIDIRGCTQFMELALRFPNISWLKSRTRISVESNSKLRSLKQISERDDFGELKEYFDSVNKRDSANQLFRRSLYKRSKVFDARKSSSILPRDARMRRWAVKKSENSYRRMEGFLASGLKDIMKENTFDFFVPKLTEIEDRMKSGYYVGHGLRAVKEDISRMCRDAIKVKNRGAGDMNHIITLFLQLASRLEESSKFSYERDELMKSWKDDVSTALDSAPIKHKKKAIDKKYMNRSNGTILANGSFDFGEYASDQEIKKRISKLNRKSMDSGSETSDDRSSEDGRSGGGSTASDTESDLDFRSEGRPGDSRGDEYFMTDEDEREWGARMTNASLVPPVTRKYEVIDQYVIVADEEDVQRKMSVSLPDDYAEKLDAQKNGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEEVDWPLSQKHMFIEDVLLCTLNKQVRHYTGAGNTPMTYPLQPVVEELEQAAMEDCDTRTMKICRGILRAIDSRPDDKYVAYRKGLGVVCNKEAGFRDDDFVVEFLGEVYPAWKWFEKQDGIRLLQKDSKEPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCKPNCEAKVTAVGGQYQIGIYSVRKIQHGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKECHGLLDRHYLMLGACELNSVSEEDYLDLGRAGLGSCLLGGLPDWVVAYSARLVRFINLERTKLPEEILRHNLEEKKKYFADICIEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCIFGDPKLAPPPLEKLTPKETVSFLWKEEGSLVEELLQCMSPHMDGEMLNDLKSKIYAHDPSDSDDIPKAIQKSLLWLRDEVRSLPCTYKCRHDAAADLIHVYAYTKSFFRVREYDAFTSPPVYISPLDLGPKCADKLGGLPHKYQKTYGENYCMGQLIFWHIQTNTEPDSTLAKASKGCLSLPDIGSFYSKVQKPSQQRIYGPKTVKMMLGRMEKYPQKPWPKDQIWSFKSSPKVFGSPMLDAVLNKSPLDREMVHWLKHRPTVYQAMWDR >Potri.013G109100.1.v4.1 pep chromosome:Pop_tri_v4:13:11759470:11760306:1 gene:Potri.013G109100.v4.1 transcript:Potri.013G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109100.v4.1 MPSSDPKTPEEAKPSFDDPASKESIESLNSTKTLEETDQTNTPMTGNEEEEEEGECGFCLFMKGGGCKDAFVAWEDCIKQVEEKNEDIVEKCFEITSALKLCMEAHADYYEPILRAEKAAEQEAVKQLEKEKEEEAAAAAQKSEFNEKEK >Potri.008G191800.1.v4.1 pep chromosome:Pop_tri_v4:8:13481493:13483564:-1 gene:Potri.008G191800.v4.1 transcript:Potri.008G191800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191800.v4.1 MMPRSCSQCGNNGHNSRTCGESPAGGDQSSSTGIMLFGVRVTEVAASFRKSYSMNNLSQYDEQPHEEPNADVAAGYESDDVVHASGRSRERKRGVPWTEEEHKLFLLGLQKVGKGDWRGISRNFVKTRTPTQVASHAQKYFLRRNNQNRRRRRSSLFDITTDTFMGSSMEEDQVHQETATPALPQLQLQPRPCLNNNRPGGFPMSTFPVTAISPVTSPVSGDNPLEKLTSGQTNVNKKSSKLVRPVPIVPIPPSSKMADLNLNQKSPEDQFPALSLKLSTPSSEEQQQSPPASTHSSTFQAISSSDSIISVA >Potri.008G180300.1.v4.1 pep chromosome:Pop_tri_v4:8:12440577:12443513:1 gene:Potri.008G180300.v4.1 transcript:Potri.008G180300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180300.v4.1 MGFSIGCSLGSRVLVRNTGKFPPLCRKERLNLSTMCSEFNKPCKIRVSLCKSELVDFDERTSPTEIRKEIGRCYELIHRLGRGVVYLGSSRMGPDHPHYSQALELGREVANLLDCTSWTGAGPGLMDAATKGALEAGKPVGGFKIAKEAGEWTASNFHSYLPSETYLTCRFFSARKHGLVDAAVRSSCSDRTAVVALPGGIGTLDEMFEILTLIQLQRIGSELPVPFLVMNYDSYYQKLLDFLGDCENWGTVSKGEVASLWKICENNSEALAYLADFYGLPSSGEERREVSLHVQIE >Potri.010G246300.1.v4.1 pep chromosome:Pop_tri_v4:10:22124381:22125509:1 gene:Potri.010G246300.v4.1 transcript:Potri.010G246300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246300.v4.1 MTGLLLLSSHEVKGSATKKMDRCSSKNEITVAQGPAGTLPSGIPQFSVEIANTNSQVPIANIHLNCKEFSSAILVNPEIFKRIAVDDCLVNDGRALASSRCSTLLQINTPTPSNTLLQFSQPLVKSSAVSCAFSIVAS >Potri.002G245500.3.v4.1 pep chromosome:Pop_tri_v4:2:23663612:23666031:1 gene:Potri.002G245500.v4.1 transcript:Potri.002G245500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245500.v4.1 MSGQTQGVKSPPLRGYRRRKTVLDLNVPPIDGRDDEGTSTTRIEPPQGVQASHQRNGQGPSLPPPTIDVDAIDDDDDDVIESSPRAFAQAKNNSRRALVVDVESGGRFTHNKRRRVPPNQTIINCDLYINLEGGSSSSSSKRENVQTLPPKEPTFNCPICLCPLVEEMSTKCGHIFCKACISDAIKRQAKCPTCRKRVTTKELIRVFLPATS >Potri.002G245500.2.v4.1 pep chromosome:Pop_tri_v4:2:23663639:23666133:1 gene:Potri.002G245500.v4.1 transcript:Potri.002G245500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245500.v4.1 MSGQTQGVKSPPLRGYRRRKTVLDLNVPPIDGRDDEGTSTTRIEPPQGVQASHQRNGQGPSLPPPTIDVDAIDDDDDDVIESSPRAFAQAKNNSRRALVVDVESGRFTHNKRRRVPPNQTIINCDLYINLEGGSSSSSSKRENVQTLPPKEPTFNCPICLCPLVEEMSTKCGHIFCKACISDAIKRQAKCPTCRKRVTTKELIRVFLPATS >Potri.001G349500.1.v4.1 pep chromosome:Pop_tri_v4:1:36168730:36171139:-1 gene:Potri.001G349500.v4.1 transcript:Potri.001G349500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349500.v4.1 MESSVQHFPVTHKECSVVIKGNKTDMVISGYDDHFLVIATQIGSMGTILHARKDESLSIHPTFNVSVIFGKRDEPMLVACARQLIEHISTSGSSKPLVLSLGLQDHSSETLRGVVSAVTANRVW >Potri.014G013200.1.v4.1 pep chromosome:Pop_tri_v4:14:763183:764740:-1 gene:Potri.014G013200.v4.1 transcript:Potri.014G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013200.v4.1 MAITETKPPKQLRELLQEQQESFTLNIHLLERGYSRKSSNSEHTFSCCYGNSSKSPKRSVSCRLNTTKKPQLSKVLRAVLNQVISTKKRLRINGSNHDDGKLNVSEKGRNNQQVPELDKFSSASSATVFNSCSESEVEETSTSSQNDILFTTNTSQLPNLHNPQEATADRKLQQQCIEESRQLSPASVLERIPSHGNSPIHSNKTEDSSTTEEESASKTRVILPKKFTEDCILSASLREVLFYSPNEKPVCGEATEIQEFVLSYFSPQYLKSKMVLQQTKQLLFDYVKEIVETQESEGKPQCHHQQFLGPEELGKIIGEKMKPWDKQSGNESNLTKLLNLDLLSSQGGSNYKPERRDNGLAIEDTVFDLLYSEQDWNEYGLQRRETGSEIGDTILEELVNDIVRNMIGFSSPITRC >Potri.009G097750.2.v4.1 pep chromosome:Pop_tri_v4:9:8761592:8762329:-1 gene:Potri.009G097750.v4.1 transcript:Potri.009G097750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097750.v4.1 MCKCLVLMILETEGGRLLFFSKGNSLPSRSRDGEVSPCYCSINKSHLDKKPSCKFSSTLFVVTAVKFTGQ >Potri.002G156900.2.v4.1 pep chromosome:Pop_tri_v4:2:11956367:11959024:1 gene:Potri.002G156900.v4.1 transcript:Potri.002G156900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156900.v4.1 MSDSGHSNMSQGKGLPPFNHNNHQMELQNYPRRSKPSLSPTLAELLKRVDDAQSDTSNYSTPVHQHQVLELGFACSSMPPLTPFVLSFNNLIYSVKVNQKLSFPFCGKDSSLGSSDTSGMKVLLNDISGEAREGEIMAVLGASGSGKSTLIDALADRIAKESLKGSVTLNGEVLESRLLKVISAYVMQDDLLFPMLTVEETLMFSADFRLPRSLSKSKKKARVQALIDQLGLRNAANTVIGDEGHRGVSGGERRRVSIGIDIVHDPILLFLDEPTSGLDSTSAFMVVKVLQRIAQRGSIVIMSVHQPSYRILTLLDRLIFLSHGQTVYAGSPGSLPEFFSQFGHPIPENENRTEFALDLIRELEEAPEGTKTLVEFNKSWQVMKNPKNKNFNASKLSLKDAISASISRGKLVSGAPNNSSLTSSVPTFANPLWIEMMVISKRSLLNAKRMPELFGIRLGAVLVTGIILATVFYHLDNSPRGAQERLGFFAFAMSTTYYTCAESIPAFLQERYIFMRETAYNAYRRSSYVLAHSLISIPSLVVLSIAFAATTFWAVRLDGGFSGFCFFFFTILSAFWAGSSFVTFLSGVVSHVMLGFTIVVAILAYFLLFSGFFISRDRIPSFWLWFHYISLVKYPYEAALQNEFHDPTKCFVRGVQMFDTTPLAAVPISLKLNMLKSISNTLGMNITGNTCVVTGADILRQQGITDISKWNCLWITIAWGFFFRILFYFALLFGSKNKRS >Potri.006G205300.4.v4.1 pep chromosome:Pop_tri_v4:6:21352275:21354993:-1 gene:Potri.006G205300.v4.1 transcript:Potri.006G205300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205300.v4.1 MVGDFIIRFLVLLFGYAYPAFECFKSVEKNNVDIEEIRFWCQYWIIIALVTVCERIGDIFLSWLPMYGEVKLAFFIYLWHPKTKGTGYIYDTLLRPLVARHETGIERKLQEMKARGWDFAIYYWNNYTELGQTKFFEALQYLASQSGKFTNNNSEKSNGDEPSATSPNELPSLAKNTSKNSKSPPRPPTTPGSSTINREVAGSQKSKRVQVHLNEETESVTAMDTDNSTNLDPNVNEKLHQFRTRLRRSKPTQQ >Potri.006G205300.2.v4.1 pep chromosome:Pop_tri_v4:6:21352202:21355098:-1 gene:Potri.006G205300.v4.1 transcript:Potri.006G205300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205300.v4.1 MVGDFIIRFLVLLFGYAYPAFECFKSVEKNNVDIEEIRFWCQYWIIIALVTVCERIGDIFLSWLPMYGEVKLAFFIYLWHPKTKGTGYIYDTLLRPLVARHETGIERKLQEMKARGWDFAIYYWNNYTELGQTKFFEALQYLASQSGKFTNNNSEKSNGDEPSATSPNELPSLAKNTSKNSKSPPRPPTTPGSSTINREVAGSQKSKRVQVHLNEETESVTAMDTDNSTNLDPNVNEKLHQFRTRLRRSKPTQQ >Potri.006G205300.3.v4.1 pep chromosome:Pop_tri_v4:6:21352202:21355098:-1 gene:Potri.006G205300.v4.1 transcript:Potri.006G205300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205300.v4.1 MVGDFIIRFLVLLFGYAYPAFECFKSVEKNNVDIEEIRFWCQYWIIIALVTVCERIGDIFLSWLPMYGEVKLAFFIYLWHPKTKGTGYIYDTLLRPLVARHETGIERKLQEMKARGWDFAIYYWNNYTELGQTKFFEALQYLASQSGKFTNNNSEKSNGDEPSATSPNELPSLAKNTSKNSKSPPRPPTTPGSSTINREVAGSQKSKRVQVHLNEETESVTAMDTDNSTNLDPNVNEKLHQFRTRLRRSKPTQQ >Potri.009G168500.7.v4.1 pep chromosome:Pop_tri_v4:9:12832559:12838631:-1 gene:Potri.009G168500.v4.1 transcript:Potri.009G168500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168500.v4.1 MNGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFHLESEPTIEGLIVATSLVGATLITTCSGPISDCLGRRPLLIISSILYFVSGLVMLWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRVMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGELALLVEGLGVGTDISIEEYIIGPANDFTDDHDIAADKDHIKLYGPEQGHSWVARPVSGQSAIGLASRHGSMANQSLALMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFSVGGNHPRNEDWDEESQARDGEDYASDGAAGDSDDNLQSPLISRQATSMDKDMVPPAHGSMSSMRHGSLITGNAGDPVGNTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGAPGSRRGSLVSLTGADAHADSEYIQAAALVSQSALYPKELVNENPAGPAMVHPSETVAKGPSWRDLFEPGVKHALAVGVGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIISLILLVLGSLVDMGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSIGLAGVFGLYAIVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKEN >Potri.009G168500.10.v4.1 pep chromosome:Pop_tri_v4:9:12832622:12838396:-1 gene:Potri.009G168500.v4.1 transcript:Potri.009G168500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168500.v4.1 MNGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFHLESEPTIEGLIVATSLVGATLITTCSGPISDCLGRRPLLIISSILYFVSGLVMLWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRVMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGELALLVEGLGVGTDISIEEYIIGPANDFTDDHDIAADKDHIKLYGPEQGHSWVARPVSGQSAIGLASRHGSMANQSLALMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFSVGGNHPRNEDWDEESQARDGEDYASDGAAGDSDDNLQSPLISRQATSMDKDMVPPAHGSMSSMRHGSLITGNAGDPVGNTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGAPGSRRGSLVSLTGADAHADSEYIQAAALVSQSALYPKELVNENPAGPAMVHPSETVAKGPSWRDLFEPGVKHALAVGVGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIISLILLVLGSLVDMGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSIGLAGVFGLYAIVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKEN >Potri.009G168500.4.v4.1 pep chromosome:Pop_tri_v4:9:12832584:12838852:-1 gene:Potri.009G168500.v4.1 transcript:Potri.009G168500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168500.v4.1 MNGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFHLESEPTIEGLIVATSLVGATLITTCSGPISDCLGRRPLLIISSILYFVSGLVMLWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRVMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGELALLVEGLGVGTDISIEEYIIGPANDFTDDHDIAADKDHIKLYGPEQGHSWVARPVSGQSAIGLASRHGSMANQSLALMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFSVGGNHPRNEDWDEESQARDGEDYASDGAAGDSDDNLQSPLISRQATSMDKDMVPPAHGSMSSMRHGSLITGNAGDPVGNTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGAPGSRRGSLVSLTGADAHADSEYIQAAALVSQSALYPKELVNENPAGPAMVHPSETVAKGPSWRDLFEPGVKHALAVGVGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIISLILLVLGSLVDMGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSIGLAGVFGLYAIVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKEN >Potri.009G168500.11.v4.1 pep chromosome:Pop_tri_v4:9:12832621:12838353:-1 gene:Potri.009G168500.v4.1 transcript:Potri.009G168500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168500.v4.1 MNGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFHLESEPTIEGLIVATSLVGATLITTCSGPISDCLGRRPLLIISSILYFVSGLVMLWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRVMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGELALLVEGLGVGTDISIEEYIIGPANDFTDDHDIAADKDHIKLYGPEQGHSWVARPVSGQSAIGLASRHGSMANQSLALMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFSVGGNHPRNEDWDEESQARDGEDYASDGAAGDSDDNLQSPLISRQATSMDKDMVPPAHGSMSSMRHGSLITGNAGDPVGNTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGAPGSRRGSLVSLTGADAHADSEYIQAAALVSQSALYPKELVNENPAGPAMVHPSETVAKGPSWRDLFEPGVKHALAVGVGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIISLILLVLGSLVDMGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSIGLAGVFGLYAIVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKEN >Potri.009G168500.8.v4.1 pep chromosome:Pop_tri_v4:9:12832700:12838629:-1 gene:Potri.009G168500.v4.1 transcript:Potri.009G168500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168500.v4.1 MNGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFHLESEPTIEGLIVATSLVGATLITTCSGPISDCLGRRPLLIISSILYFVSGLVMLWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRVMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGELALLVEGLGVGTDISIEEYIIGPANDFTDDHDIAADKDHIKLYGPEQGHSWVARPVSGQSAIGLASRHGSMANQSLALMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFSVGGNHPRNEDWDEESQARDGEDYASDGAAGDSDDNLQSPLISRQATSMDKDMVPPAHGSMSSMRHGSLITGNAGDPVGNTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGAPGSRRGSLVSLTGADAHADSEYIQAAALVSQSALYPKELVNENPAGPAMVHPSETVAKGPSWRDLFEPGVKHALAVGVGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIISLILLVLGSLVDMGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSIGLAGVFGLYAIVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKEN >Potri.009G168500.9.v4.1 pep chromosome:Pop_tri_v4:9:12832623:12838593:-1 gene:Potri.009G168500.v4.1 transcript:Potri.009G168500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168500.v4.1 MNGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFHLESEPTIEGLIVATSLVGATLITTCSGPISDCLGRRPLLIISSILYFVSGLVMLWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRVMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGELALLVEGLGVGTDISIEEYIIGPANDFTDDHDIAADKDHIKLYGPEQGHSWVARPVSGQSAIGLASRHGSMANQSLALMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFSVGGNHPRNEDWDEESQARDGEDYASDGAAGDSDDNLQSPLISRQATSMDKDMVPPAHGSMSSMRHGSLITGNAGDPVGNTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGAPGSRRGSLVSLTGADAHADSEYIQAAALVSQSALYPKELVNENPAGPAMVHPSETVAKGPSWRDLFEPGVKHALAVGVGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIISLILLVLGSLVDMGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSIGLAGVFGLYAIVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKEN >Potri.009G168500.6.v4.1 pep chromosome:Pop_tri_v4:9:12832557:12839056:-1 gene:Potri.009G168500.v4.1 transcript:Potri.009G168500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168500.v4.1 MNGAVLVAVAAAIGNLLQGWDNATIAGAVLYIKREFHLESEPTIEGLIVATSLVGATLITTCSGPISDCLGRRPLLIISSILYFVSGLVMLWSPNVYVLLLARLLDGFGIGLAVTLVPVYISETAPPEIRGLLNTLPQFTGSGGMFLSYCMVFGMSLMEAPSWRVMLGVLFIPSIIYFLLTVFFLPESPRWLVSKGRMLEAKKVLQRLRGREDVSGELALLVEGLGVGTDISIEEYIIGPANDFTDDHDIAADKDHIKLYGPEQGHSWVARPVSGQSAIGLASRHGSMANQSLALMDPLVTLFGSVHEKLPEQGSMRSMLFPHFGSMFSVGGNHPRNEDWDEESQARDGEDYASDGAAGDSDDNLQSPLISRQATSMDKDMVPPAHGSMSSMRHGSLITGNAGDPVGNTGIGGGWQLAWKWSEREGQDGKKEGGFKRIYLHQEGAPGSRRGSLVSLTGADAHADSEYIQAAALVSQSALYPKELVNENPAGPAMVHPSETVAKGPSWRDLFEPGVKHALAVGVGIQILQQFAGINGVLYYTPQILEQAGVGVLLSNLGLSSASTSLLISALTTLLMLPCIAVAMRLMDISGRRTLLLTTIPVLIISLILLVLGSLVDMGSVVNASISTVSVVLYFCFFVMGFGPIPNILCAEIFPTRVRGLCIAICALTFWICDIIVTYTLPVMLKSIGLAGVFGLYAIVCVISFVFVYLKVPETKGMPLEVISEFFAVGAKQAAAAKEN >Potri.007G073900.2.v4.1 pep chromosome:Pop_tri_v4:7:9620068:9622433:1 gene:Potri.007G073900.v4.1 transcript:Potri.007G073900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073900.v4.1 MAGGAFVGPSTGGNYEGRVTTFVITTCLVAAMGGLIFGYDIGISGGVTSMDSFLKKFFPSVYHKENEDHRESMYCKFDSHWLQLFTSSLYLSALVASFFSSTVTRLFGRKISMLSGGLVFLVGAFLNGAAANVAMLILGRLLLGVGIGFANQSVPIYLSEMAPAKIRGALNIGFQMAITIGILAANLINYGTSKIKEGYGWRISLALAAVPAIMMIVGSFFLPDTPNSILERGHPEKAKKMLQRIRGTNNVEVEFQDLAAANELAKRVEHPWKNILQPRYRPQLVICILIPFFQQITGINVINFYAPVLFKTLGFGDDASLMSAVITGIVNVVCTAVSIYSADRFGRRILFLEGGIQMIICQILVAVMIGINFGTNGVGEMSGSTANFVLFLICAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQAINVSVNMFFTFLIGQFFLTMLCHLKFGLFLFFAGFVVIMTIFIYFFLPETKNVPIEEMNTVWKAHWFWGKYIPDDAVIGGQNLKELTA >Potri.008G003400.2.v4.1 pep chromosome:Pop_tri_v4:8:128539:132306:1 gene:Potri.008G003400.v4.1 transcript:Potri.008G003400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003400.v4.1 MLSPGSWNLSVYCMPLYSNFFLVVRKLVDYLNCSMSSSSYLCGYGYGTFLFLIALLSSIAQSQGVIINSTSASSLPSSVRRDSTTAEGKQWAVLVAGSAGYENYRHQADVCHAYQILKKGGLKDENIIVFMYDDIAFHVDNPRPGIIINKPFGHDVYAGVPKDYTGDNCTVDNLFAVLLGNKSALTGGSGKVVDSGPNDNIFIYYADHGAPGLVGMPIGKDLYAKDLIQVLKKQQEANSYKSMVFYLEACESGSMFEGLLPSNWSIYAITAANGEESSYGIYCPGYYPAPPPEFLTCLGDVFSISWMEDSDLHDMSQETLQQQYEVVRRRTGFDYEDRSHVMQYGNMELSKELLSSYLGTNAANDNYATNINIEEYPSMIPRAFDQREATLLHFWHKYQEAPDGSDKKAEAHKDLLRIHSHIRHVDRSLSHIASTLFGDENAANAMKHVRPSGQPLVDDWDCLKGLVEAYEKQCGGLSWYGKKYTRVIANMCNAGINVEQMIGASTRACSSRTTTTTPTRGSLQNEFDK >Potri.009G034150.1.v4.1 pep chromosome:Pop_tri_v4:9:4454477:4459068:1 gene:Potri.009G034150.v4.1 transcript:Potri.009G034150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034150.v4.1 MYYFGSISFQYKLYTHTHTIMQLDIIGFTSLVSSPYDLRSYPQTKESSSWVNQLSNPSFNSISLSIYIYTLSSLHPYLHSKFTHTKFLVILLVFSLYFLFFSF >Potri.002G112600.1.v4.1 pep chromosome:Pop_tri_v4:2:8497567:8500899:1 gene:Potri.002G112600.v4.1 transcript:Potri.002G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112600.v4.1 MDPCPFVRITVGNLALKIPVASKPARSVVHPSSSPCFCKIKLKNFPLQTAVIPYTPPDNTQFPEGQHQTLAATFHLCKSDLDRLVTKSIFTNKLHVKISIYTGRRGNTCGVNSGRLLGKVSVPLDLAGTESRGVVFHNGWISVGKECVKGSSAQFHLNVKAEPDPRFVFQFDGEPECSPQVFQIQGNIRQPVFTCKFSLRTTTGDRSQRSRSLQGEPNSSRSWLSSFGSERERPLKERKGWSITIHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGSWLILRPGDGTWKPWGRLEAWRERGSSDGLGYRFELIPDTKGSMSAASIVLAESTLSSHKGGKFVIDLGAGSNGRATSPVGSPRGSGDYGHGLWPYCMYRGFVMSASVDGEGKCSKPGVEVSVQHVNCTEDAAAFVALAAAVDLSMDACQLFSQRLRKELCQDQDLLG >Potri.006G171240.1.v4.1 pep chromosome:Pop_tri_v4:6:17376808:17379721:-1 gene:Potri.006G171240.v4.1 transcript:Potri.006G171240.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171240.v4.1 MDLEEEKPSIDLPLISPETAIKSRHGFSKVEIVEEVKKQLVLAGPLVTVNFFIFLLQVISVMFVGHLGELALSGASMATSFASVTGLSLLKGLASALDTYCGQSYGAKQYHMLGIHLQRAMIVLLLASVPLAVVWANAGAILVFLKQDPEISAEAGRYARYMIPTIFGFAIQECHVRFLQSQNNVIPMMVCAGITTFLHIFTCWILVFKSGLGNKGAALANAISYWANALLLILYVRISPSCKKTWTGLSKEALHGIPNFLKLAIPSAIMVSLEIWSFEMMVLLSGLLPNPKLETSVLSISLNTCALTYMIPLGLSAAISTRVSNELGAGKPQAARLAVCVATFLVGTEGISVASLMILGRNVWGTFYTTEKIVVNYVGEMLVFVAVSHFFDGIQSVFSGTARGCGWQKIGAVINLGAYYLLGIPCSVILAFVYHFGGKGLWTGIIVALFFQALALFVVTLRTNWENDSKKANDRVYRAVILDNSST >Potri.006G092600.1.v4.1 pep chromosome:Pop_tri_v4:6:7032273:7035845:-1 gene:Potri.006G092600.v4.1 transcript:Potri.006G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092600.v4.1 MEGLIEKNNERNREKDTNFGEKKGEVQTFGDLIEDKCRESSSSSDFLTSEATGNEEHGHSSSEEGSTSPHTMGWPVLKDEAPDCTNTDGATDDEEKSHFDDRKLGKQGSSVSETEMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQLWRLEPLPLEKKAMWRREMEWLLCVSDHIVELMPSWQTFPDGSKLEVMTCGPRSDLYINLPALRKLDNMLLEILDSFDNTEFWYVDQGILAPDTDGSASFRRTLQRQEEKWWLPVPQVPPGGLHENSRKKLQHKRDSTNQILKAAMAINSITLAEMEIPESYLEALPKNGKASLGDLIYRYISSDQFYPECLLDCLDLSSEHQAIELANRVEASIYIWRKRTNYKPASSTNRSSSKSSWELVKELMIDADKRELLADRAESLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLTKHSDHFSSISKVSVIAHKSVTIPYSVPVSNTPYKTAFNTPSFSPGQQRISPAKGDRSPFMTSGKIPQRGLGVKKVLTEYLSIDTEGRDGGNMIEGADNVIRNTSASQTGVESFGSIIETISSPENRFSDIC >Potri.010G075501.1.v4.1 pep chromosome:Pop_tri_v4:10:10335820:10343807:1 gene:Potri.010G075501.v4.1 transcript:Potri.010G075501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075501.v4.1 MASICQGKSSWPELLGVDGKCAVTTIERENPLVEAIIVPEGSSIIENFRCDRVWVWVDKDGIVYIVPVIG >Potri.010G075501.2.v4.1 pep chromosome:Pop_tri_v4:10:10335452:10336438:1 gene:Potri.010G075501.v4.1 transcript:Potri.010G075501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075501.v4.1 MASICQGKSSWPELLGVDGKCAVATIERENPLVEAIIVPEGSSIIENFRCDRVWVWVDKDGIVYIVPVIG >Potri.005G247051.1.v4.1 pep chromosome:Pop_tri_v4:5:24155256:24155588:1 gene:Potri.005G247051.v4.1 transcript:Potri.005G247051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247051.v4.1 MTDGPYKAMLFYMPHWKGETTLFKIQRKAAFNLKLILLSGYLLFCHYVGVINSSLNHTPTSLLVYFLAIRQKTKPLVFLQFLREKEACVASETRVRT >Potri.001G465401.1.v4.1 pep chromosome:Pop_tri_v4:1:49064721:49068968:-1 gene:Potri.001G465401.v4.1 transcript:Potri.001G465401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465401.v4.1 MWSHNNNDKNSPARGFWTPPASWRSQHSPAVAMMPMSERKERVSSPSCKRDIFHVIHKVPAGDSPYVRAKHVQLIEKDPSKAVSLFWAAINSGDRVDSALKDMAVVMKQLDRADEAIEAIKSFRHLCPYDSQESIDNVLVELYKRSGRIEEEIEMLQRKLKNIEEGIAFSGKKTKTARSQGRKIQITVEQERSRILGNLAWAYLQHHDYGLAEQYYRKGLSMEPDQNKQCNLAICLMHMNRIPEAKSLLQTVKASSGSKPMDDSYAKSFERACQILTELESHLIVKPTEQDEKVHRRSLALPTTRNLKEVASSRNGDVSGFVDSRKCTGVVKPTEQDEKVHQRSLALPTTRNLKEVASSRNGDVSGFVDSRKCTGVFNEDRVLSDEHNRRSYWQNHSENEKSFFASNNRSSQCISPGLRGGPQSSPQTAVDNSWRRDSSFASPGERLGFASKMKDYRFSFAEIGPSSEQKKTFTSPAIHTQPRRCCWGFDKGDQRRIRWGEDTAEIEKENAIRNLSGELLDLTDNHTSWKRNGQENGRQRKSATEDRNTSLKCSTEQTVVIDNAGVSKASTDCDWDQSAETVGNKYLGKDSSLKSHRKSWADMVEEEEEELDLLTTDLGQSFHSWNYEDACSDENLNVNTFHQNSYQKNQLGTIFRKLEAADLQDGYGTSMNAVSSRNSTARRSLSYESAEDVNTKRRNRLQVFRDITPTPDSP >Potri.019G097000.6.v4.1 pep chromosome:Pop_tri_v4:19:13449552:13456262:1 gene:Potri.019G097000.v4.1 transcript:Potri.019G097000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097000.v4.1 MSLDSQDSTSPSFNRDWFFPSPSFIHQSPPKPPKPHRRFSTASKHSPGSNISNPPSFRSSPSLSPTTTSKYGRLRRRVELPRPPDKYSIQHQNDSVLDRKPAVSSEKKQSTVKVSSGSLGHRVRVRWNLAITVAIVITALTSLVHKNFTLHNQVIVLQDQILKLNVRLRACNLLSNVDTFDSVMQELDDIGYGSDNGLKNLALIVSVTLLSIPVLAFKYIDFVSKSRSSDSVSEEALLNKQLAYRVDIFLSVHPYAKPLALLVATLLVICLGGLALFGVTDDNLADCLWLSWTFVADSGNHANTEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLGIANESLGGGIVVVMAERDKEEMEMDIAKMEFDFKGTSVICRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLKGHIVVELSDLDNEVLVKLVGGDLVKTVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLHGMQFEDILISFPDAIPCGIKVASCDGKIILNPEDSYVLQEDDEILVIAEDDDSYAPAALPTVWRGSLPKDFIGPKSAEKILFCGWRRDMEDMIMVML >Potri.019G097000.3.v4.1 pep chromosome:Pop_tri_v4:19:13449561:13456380:1 gene:Potri.019G097000.v4.1 transcript:Potri.019G097000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097000.v4.1 MSLDSQDSTSPSFNRDWFFPSPSFIHQSPPKPPKPHRRFSTASKHSPGSNISNPPSFRSSPSLSPTTTSKYGRLRRRVELPRPPDKYSIQHQNDSVLDRKPAVSSEKKQSTVKVSSGSLGHRVRVRWNLAITVAIVITALTSLVHKNFTLHNQVIVLQDQILKLNVRLRACNLLSNVDTFDSVMQELDDIGYGSDNGLKNLALIVSVTLLSIPVLAFKYIDFVSKSRSSDSVSEEALLNKQLAYRVDIFLSVHPYAKPLALLVATLLVICLGGLALFGVTDDNLADCLWLSWTFVADSGNHANTEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLGIANESLGGGIVVVMAERDKEEMEMDIAKMEFDFKGTSVICRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLKGHIVVELSDLDNEVLVKLVGGDLVKTVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLHGMQFEDILISFPDAIPCGIKVASCDGKIILNPEDSYVLQEDDEILVIAEDDDSYAPAALPTVKEASFMHIARPARMPQKILLCGWRRDIDDMIVVLDAFLAPGSELWMFNDVPENEREKKLIDGGLDLSRLENIQLVNREGNAVIRRHLESLPLQSFDSILILADESVEDSAIQADSRSLATLLLIRDIQSKRLPMVNQVRRGTFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDQQINDVLEELFADEGNELQIRQADLYLSEGEELSFYEVLLRARQRREIVIGYRAANAEKAVINPPAKSERRRWSLKDVFVVIAEKE >Potri.019G097000.2.v4.1 pep chromosome:Pop_tri_v4:19:13449548:13456263:1 gene:Potri.019G097000.v4.1 transcript:Potri.019G097000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097000.v4.1 MSLDSQDSTSPSFNRDWFFPSPSFIHQSPPKPPKPHRRFSTASKHSPGSNISNPPSFRSSPSLSPTTTSKYGRLRRRVELPRPPDKYSIQHQNDSVLDRKPAVSSEKKQSTVKVSSGSLGHRVRVRWNLAITVAIVITALTSLVHKNFTLHNQVIVLQDQILKLNVRLRACNLLSNVDTFDSVMQELDDIGYGSDNGLKNLALIVSVTLLSIPVLAFKYIDFVSKSRSSDSVSEEALLNKQLAYRVDIFLSVHPYAKPLALLVATLLVICLGGLALFGVTDDNLADCLWLSWTFVADSGNHANTEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLGIANESLGGGIVVVMAERDKEEMEMDIAKMEFDFKGTSVICRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLKGHIVVELSDLDNEVLVKLVGGDLVKTVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLHGMQFEDILISFPDAIPCGIKVASCDGKIILNPEDSYVLQEDDEILVIAEDDDSYAPAALPTVWRGSLPKDFIGPKSAEKILFCGWRRDMEDMIMVLDAFLAPGSELWMFNDVPENEREKKLIDGGLDLSRLENIQLVNREGNAVIRRHLESLPLQSFDSILILADESVEDSAIQADSRSLATLLLIRDIQSKRLPMVNQVRRGTFSQGSWIGEMQQASDKSVIISEILDPRTKNLLSMSKISDYVLSNELVSMALAMVAEDQQINDVLEELFADEGNELQIRQADLYLSEGEELSFYEVLLRARQRREIVIGYRAANAEKAVINPPAKSERRRWSLKDVFVVIAEKE >Potri.019G097000.4.v4.1 pep chromosome:Pop_tri_v4:19:13449558:13456280:1 gene:Potri.019G097000.v4.1 transcript:Potri.019G097000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097000.v4.1 MSLDSQDSTSPSFNRDWFFPSPSFIHQSPPKPPKPHRRFSTASKHSPGSNISNPPSFRSSPSLSPTTTSKYGRLRRRVELPRPPDKYSIQHQNDSVLDRKPAVSSEKKQSTVKVSSGSLGHRVRVRWNLAITVAIVITALTSLVHKNFTLHNQVIVLQDQILKLNVRLRACNLLSNVDTFDSVMQELDDIGYGSDNGLKNLALIVSVTLLSIPVLAFKYIDFVSKSRSSDSVSEEALLNKQLAYRVDIFLSVHPYAKPLALLVATLLVICLGGLALFGVTDDNLADCLWLSWTFVADSGNHANTEGIGPRLVSVSISFGGMLIFAMMLGLVSDAISEKFDSLRKGRSEVVEQNHTLILGWSDKLGSLLNQLGIANESLGGGIVVVMAERDKEEMEMDIAKMEFDFKGTSVICRSGSPLILADLKKVSVSKARAIVVLAEDGNADQSDARALRTVLSLTGVKEGLKGHIVVELSDLDNEVLVKLVGGDLVKTVVAHDVIGRLMIQCARQPGLAQIWEDILGFENCEFYIKRWPQLHGMQFEDILISFPDAIPCGIKVASCDGKIILNPEDSYVLQEDDEILVIAEDDDSYAPAALPTVKEASFMHIARPARMPQKILLCGWRRDIDDMIVVSSFVKPLIFLFFAWMLQVWRGSLPKDFIGPKSAEKILFCGWRRDMEDMIMDFQL >Potri.007G077600.2.v4.1 pep chromosome:Pop_tri_v4:7:10191729:10195796:-1 gene:Potri.007G077600.v4.1 transcript:Potri.007G077600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077600.v4.1 MGCVQTKSSTYSPPQTLEKLKQENGYVKRENGGRPTGQRELGKLFRQELDKKREVAVNGAVNGGDKLVVGEGLKNDVGNVSQRFSSKKAGGEELVDGWPKWLVDNIAGDVLAGLVPKSADSYDKLAKVGQGTYSNVYKARDRDTGKIVALKKVRFDTSEPESVKFMAREIMMLQKLDHPNVIKLEGLATSRMQYSLYLVFDLMQSDLTRIISRPGERLTEPQVKCYMQQLLSGLQHCHERGILHRDIKASNLLIDKNGMLKIADFGLANFFIPKPKRPLTNRVVTLWYRAPELLLGSTDYGVGIDLWSAGCLLAEMFIGRPIMPGRTEVEQLHRIFKLCGSPPEDYWKIMRLPTSFRPPQHYKPSFQEAFKDFPESSLVLLTTLLALNPAYRGTAASALQSHFFSSSPMACELSGLPVIYKEEDEPNQIDDRKKRRNPKKHSSRARQGGQGRKNSFSQRAKEETESSKEVAKISEPSMNYGLETGNSASSSTSWGLKSILRQEQGLPRPSFSPILDQNQNRSIRTEAHPNATKNIQNFTLLQASITDIINLNGGNAMPGGYRRSVSTLDVRALDPEKISKLFGLDKD >Potri.008G133200.1.v4.1 pep chromosome:Pop_tri_v4:8:8812185:8817730:-1 gene:Potri.008G133200.v4.1 transcript:Potri.008G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133200.v4.1 MAVLFLLFLLTVSVVSADEDGFIGVNIGTAISDMPSPTQVVALLKAQNIRHVRLYDADRALLLALANTGIRVTVSVPNDQILGIGQSNATAANWVARNVIAHVPATNITAIAVGSEILTTLPNAAPVLVSALKFIHSALVASNLDGQIKVSTPHSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYFMLNAYPYYDYMQSNGVIPLDYALFRPLPPDKEAVDANTLLHYTNVFDAIVDAAYFAMSYLNFTNVPIFVTESGWPSKGDSSEPDATLENANTYNSNLIRHVLNNTGTPKHPKIAVSTYIYELYNEDLRPGAISEKNWGLFDANGMPVYTLHLTGAGTVLANDTTNQTFCVAKEGADPKMLQAALDWACGPGKVDCSYLLQGQPCYEPDNVVAHSTYAFNAYFQKMAKSPGTCDFKGVATITTTDPSHGSCIFPGSAGRNGSLPNTTSLAPSSNSTTSGCHSVYIYGAGSFTTSVIIGVLLMSVFFL >Potri.019G130700.1.v4.1 pep chromosome:Pop_tri_v4:19:15315781:15319611:-1 gene:Potri.019G130700.v4.1 transcript:Potri.019G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130700.v4.1 MDLLLLEKTLLGSFVAILVAILVSQLRGKRFKLPPGPLPVPVFGNWLQVGDDLNHRNLTDLAKKFGDILLLRMGQRNLVVVSSPDLAKEVLHTQGVEFGSRTRNVVFDIFTGKGQDMVFTVYGEHWRKMRRIMTVPFFTNKVVQQYRYGWEEEAAQVVEDVKKNPEAATHGIVLRRRLQLMMYNNMYRIMFDRRFESEEDPLFNKLKALNGERSRLAQSFDYNYGDFIPILRPFLRGYLKICKEVKERRLQLFKDYFVEERKKLGSTKSMSNEGLKCAIDHILDAQKKGEINEDNVLYIVENINVAAIETTLWSIEWGIAELVNHPEIQKKLRDELDTVLGPGHQITEPDTNKLPYLNAVIKETLRLRMAIPLLVPHMNLHDAKLGGFDIPAESKILVNAWWLANNPAKWKNPEEFRPERFFEEEAKVEANGNDFRYLPFGVGRRSCPGIILALPILGITLGRLVQNFELLPPPGQSKIDTSEKGGQFSLHILKHSTIVAKPRSF >Potri.017G017000.1.v4.1 pep chromosome:Pop_tri_v4:17:1252644:1253508:-1 gene:Potri.017G017000.v4.1 transcript:Potri.017G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017000.v4.1 MKFTGSPGIDLKIKDKTLSLQEDNSSFHVGTSVWSGSLVLSKFLDRWTPLSTNPTTTPNRYSTLLDFHNRRAIELGTGCGVTGMALYLLGLTDIVLTDIHPVMPALKHNLKRNKQVLGKMLKTAILYWSNEDQINGVNPPFDYVIAADVVYIEESVGALVKAMEMLVKDDGVVLLGYQLRSPEADKLFWEICGEAFVIEKVPKEDLHPEYCYEETDVFIFRKKKKNL >Potri.017G017000.2.v4.1 pep chromosome:Pop_tri_v4:17:1250670:1253510:-1 gene:Potri.017G017000.v4.1 transcript:Potri.017G017000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017000.v4.1 MKFTGSPGIDLKIKDKTLSLQEDNSSFHVGTSVWSGSLVLSKFLDRWTPLSTNPTTTPNRYSTLLDFHNRRAIELGTGCGVTGMALYLLGLTDIVLTDIHPVMPALKHNLKRNKQVLGKMLKTAILYWSNEDQINGVNPPFDYVIAADVVYIEESVGALVKAMEMLVKDDGVVLLGYQLRSPEADKLFWEICGEAFVIEKVPKEDLHPEYCYEETDVFIFRKKKKNL >Potri.002G180100.13.v4.1 pep chromosome:Pop_tri_v4:2:14135388:14138592:1 gene:Potri.002G180100.v4.1 transcript:Potri.002G180100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180100.v4.1 MEEQNTQHAPPVETSVDILDGGDSGASNVCGEAPCVFSDTGNNLKNAKERSTSMRKLWIAVALCVVFMSAEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSFWAAGWEATPRQSYGFVRIEVLGALVSIQLIWLLAGILVYEAIVRLIHDTGEVDGFLMFLVAAFGLLVNIVMALVLGHDHGHDHDHNHGTGHSHGMTVTTHHRHHDEHPKDAGNHHKHSKDEHRHAHEEHVEPLLDKKEARHEKKQRNINVQGAYIHVLGDSIQSIGVMIGGAIVWYKPEWKIVDVICTLFFSVIVLGTTIKMLRNILDVLMESTPREIDATKIEKGLFEMEDVVAIHELHIWAITVGKILLACHVKIRPEVNADMVLDNLINYIRSEYSISHVTIQIER >Potri.002G180100.14.v4.1 pep chromosome:Pop_tri_v4:2:14134836:14138624:1 gene:Potri.002G180100.v4.1 transcript:Potri.002G180100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180100.v4.1 MEEQNTQHAPPVETSVDILDGGDSGASNVCGEAPCVFSDTGNNLKNAKERSTSMRKLWIAVALCVVFMSAEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSFWAAGWEATPRQSYGFVRIEVLGALVSIQLIWLLAGILVYEAIVRLIHDTGEVDGFLMFLVAAFGLLVNIVMALVLGHDHGHDHDHNHGTGHSHGMTVTTHHRHHDEHPKDAGNHHKHSKDEHRHAHEEHVEPLLDKKEARHEKKQRNINVQGAYIHVLGDSIQSIGVMIGGAIVWYKPEWKIVDVICTLFFSVIVLGTTIKMLRNILDVLMESTPREIDATKIEKGLFEMEDVVAIHELHIWAITVGKILLACHVKIRPEVNADMVLDNLINYIRSEYSISHVTIQIER >Potri.002G180100.15.v4.1 pep chromosome:Pop_tri_v4:2:14135312:14138622:1 gene:Potri.002G180100.v4.1 transcript:Potri.002G180100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180100.v4.1 MEEQNTQHAPPVETSVDILDGGDSGASNVCGEAPCVFSDTGNNLKNAKERSTSMRKLWIAVALCVVFMSAEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSFWAAGWEATPRQSYGFVRIEVLGALVSIQLIWLLAGILVYEAIVRLIHDTGEVDGFLMFLVAAFGLLVNIVMALVLGHDHGHDHDHNHGTGHSHGMTVTTHHRHHDEHPKDAGNHHKHSKDEHRHAHEEHVEPLLDKKEARHEKKQRNINVQGAYIHVLGDSIQSIGVMIGGAIVWYKPEWKIVDVICTLFFSVIVLGTTIKMLRNILDVLMESTPREIDATKIEKGLFEMEDVVAIHELHIWAITVGKILLACHVKIRPEVNADMVLDNLINYIRSEYSISHVTIQIER >Potri.002G180100.16.v4.1 pep chromosome:Pop_tri_v4:2:14134853:14138611:1 gene:Potri.002G180100.v4.1 transcript:Potri.002G180100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180100.v4.1 MEEQNTQHAPPVETSVDILDGGDSGASNVCGEAPCVFSDTGNNLKNAKERSTSMRKLWIAVALCVVFMSAEVAGGIKANSLAILTDAAHLLSDVAAFAISLFSFWAAGWEATPRQSYGFVRIEVLGALVSIQLIWLLAGILVYEAIVRLIHDTGEVDGFLMFLVAAFGLLVNIVMALVLGHDHGHDHDHNHGTGHSHGMTVTTHHRHHDEHPKDAGNHHKHSKDEHRHAHEEHVEPLLDKKEARHEKKQRNINVQGAYIHVLGDSIQSIGVMIGGAIVWYKPEWKIVDVICTLFFSVIVLGTTIKMLRNILDVLMESTPREIDATKIEKGLFEMEDVVAIHELHIWAITVGKILLACHVKIRPEVNADMVLDNLINYIRSEYSISHVTIQIER >Potri.001G142200.1.v4.1 pep chromosome:Pop_tri_v4:1:11649288:11651987:1 gene:Potri.001G142200.v4.1 transcript:Potri.001G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142200.v4.1 MTDYRLPPTMNLWTDDNASVMEAFMNSSDLSSLWAPPPQSSASTSTPSAAAQPSEKTMLNQETLQQRLQTLIEGACEGWAYAIFWQSSYDYSGASVLGWGDGYYKGEEDKGKTRTRNSASSAVEQEHRKTVLRKLNSLIAGPNSVTDDAIDEEVTDTEWFFLVSMTQSFVNGSGLPGQALFNGSPVWVAGSERLGASPCERARQGQVFGLQTLVCIPSASGVVELGSTELIFQSSDLMNKVRVLFDFNSLEVVSWPIGTTNTDQGENDPSSFWLTDPETKDGNGGIPWNLNGSDQNKNNHHSSNQSSSSLTDHLGGIHHAQNHQQQPIHARSLFTRELNFGECSTYDGSSVRNGNSHLTKPESGEILNFGESKRTASSANGNFYSGLVTEENNKKKRSVGNEEGMLSFTSGVILPSSCILKSSGGTGGDSDHSDLEASVVKEADSSRVVEPEKRPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNVSKMDKASLLGDAISYIDELRTKLQSAESSKEELEKQVESMKRELVSKDSSPPPKEELKMSNNQGVKLIDMDIDVKISGWDAMIRIQCCKKNHPAARLMSALRDLDLDVQYANVSVMNDLMIQQATVKMGSRFYTQEELRVAISTNVGGVH >Potri.004G101900.1.v4.1 pep chromosome:Pop_tri_v4:4:8916607:8918897:1 gene:Potri.004G101900.v4.1 transcript:Potri.004G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101900.v4.1 MGAGGGFVAGDVKNYPGKVTRHVVNACVLGATGGLIFGYDLGISGGVTSMAPFLSKFFPDVYRKEALDTSTNQYCRFNDMGLTLFTSSLYLAALIASFGASYITRTWGRKRTMLLGGIVFFIGAALNAGAVDLSMLIAGRILLGVGVGFSTQSVPLYVSEMAPQQHRGAFNIVFQLAITIGIFIANLVNYLTPKIAGNQAWRYSLGGATIPAALICLSALKLDDTPNSLLEQGKAEKAREILRKIRGLNDKEIESEFQDLVTASEAAKQVEHPWTRILKRQYRPQLTMAVAIPFFQQLTGMNVVMFYAPVLLQSIGFESNASLLSTVITGAVNILATGVSIYGSDKSGRRSLFLSGGAVMFVFQVALAVLIGSKFGTSGDVIQLPKWYAGIVVACICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAVNMLFTFFIAQLFLAMLCHFKFGLFIFFAIFVAIMSTFIFFFLPETMNIPIEEMSKVWKQHWYWRRFTTEDDDRRALDVIV >Potri.003G056400.9.v4.1 pep chromosome:Pop_tri_v4:3:8258909:8262363:1 gene:Potri.003G056400.v4.1 transcript:Potri.003G056400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056400.v4.1 MGDAENALPSSKKRAASSEISRDNPGLDDDGSVEQETGTFKKASDEVLARRKIVKVRRSQTTSTPSSNPFAGIRLVPPTEPIAAPAVATIEVVSARQQVSEEGQSDTCEEVEKGKGEKSELSESEIDKPVAEVATCKTDEPVAETAVDKVSVEDKGKAVDKPVTEISEDEEKSKESEGKTDEPVAETAVDKVSVEDKGKAVDKPVTEISKDEEKSKESEGKTDEPVAENAIEKESSEDKGINSAVNEATELKVDNEKPAEDETEKENAGGDDTENQDKKDNGNEIVYPSTEGAPLNSFQQLSSSQNAFTGLAGTGFSTSTFTFGSVPKDGSVLGSGSGSLFGQKNEQPSFGFGLSNNGSSSLSSVVSKTEGSGFPSMQEVPVETGEENERVVFSADSVLFEFLDGGWKERGKGELKVNVSAAGAERARLLMRARGHFRLILNASLYPDMKLANMDKRGITFACMNSIGEGKDSLSTFALKFKDGSIVEEFCAAVTAHKDKAPAVMKTPENSPKASSDE >Potri.003G056400.8.v4.1 pep chromosome:Pop_tri_v4:3:8258821:8262662:1 gene:Potri.003G056400.v4.1 transcript:Potri.003G056400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056400.v4.1 MGDAENALPSSKKRAASSEISRDNPGLDDDGSVEQETGTFKKASDEVLARRKIVKVRRSQTTSTPSSNPFAGIRLVPPTEPIAAPAVATIEVVSARQQVSEEGQSDTCEEVEKGKGEKSELSESEIDKPVAEVATCKTDEPVAETAVDKVSVEDKGKAVDKPVTEISEDEEKSKESEGKTDEPVAETAVDKVSVEDKGKAVDKPVTEISKDEEKSKESEGKTDEPVAENAIEKESSEDKGINSAVNEATELKVDNEKPAEDETEKENAGGDDTENQDKKDNGNEIVYPSTEGAPLNSFQQLSSSQNAFTGLAGTGFSTSTFTFGSVPKDGSVLGSGSGSLFGQKNEQPSFGFGLSNNGSSSLSSVVSKTEGSGFPSMQEVPVETGEENERVVFSADSVLFEFLDGGWKERGKGELKVNVSAAGAERARLLMRARGHFRLILNASLYPDMKLANMDKRGITFACMNSIGEGKDSLSTFALKFKDGSIVEEFCAAVTAHKDKAPAVMKTPENSPKASSDE >Potri.006G076400.2.v4.1 pep chromosome:Pop_tri_v4:6:5644214:5648743:1 gene:Potri.006G076400.v4.1 transcript:Potri.006G076400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076400.v4.1 MVSEGNGDMKVVLTKPLSLDGDAHADYKAPNFAQRFLSLFRNVRPGSDLTNFQLTPQFNIPKSQLQCFGESAYSFGKNLLQQCNNAESSLERFISVVAWSISTTRPPHFGVAPYNPILGETHHVSRGSLNVLLEQVSHHPPVSALHATDDKENIEFIWCQHPVPKFYGTRVEAEVLGKRQLKLLNHGETYMMNSPKLMVRFFPPRVDWIGDVNICCQESGLEAELCYATSSLFGRGGLHSVKGKIYQSSSMKTLYEVEGHWNSTVKAKDINSGKETIIYDAKEVFSELKIAVVEDLQGIRPTESAAVWNEVSKAILSKNWTKAREEKSTVEDNQRKLAKERNSKGETWVPNNFTVSYSKEDGWDCSPIQERVPPAPIVVPI >Potri.009G090000.2.v4.1 pep chromosome:Pop_tri_v4:9:8310125:8311227:-1 gene:Potri.009G090000.v4.1 transcript:Potri.009G090000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090000.v4.1 MGHSNVWNSHPKNYGPGSRTCRVCGNPHGIIRKYGLMCCRQCFRSNAKEIGFIKVNSLTSLSLSSLAVI >Potri.017G070600.3.v4.1 pep chromosome:Pop_tri_v4:17:7646261:7650730:1 gene:Potri.017G070600.v4.1 transcript:Potri.017G070600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070600.v4.1 MGKSIHSFELKNGARIPSVGLGTWRAAPGVIGDVVTTAVKVGYRHIDCALLYCNEIEDSCALKKLFDDGVVKREELWITSKLCCNEQAPEDVSGALDRTLHELQLDYVNLYLIHWPVSMKKDSVGYEPENLTQPDILASWREMEALYESGKARAIGVSNFSSKKLGDLLAAARVRPAVNQVECHPVWQQPKLHAFCQSEGVHLSGYAPLGSAGSQKIKIEVLKNPILKMIAEKLGKSPAQVALRWGLHMGHSVLPKSINKERLVTGTTLVHGTYGAYRTLDELWDGEM >Potri.017G070600.2.v4.1 pep chromosome:Pop_tri_v4:17:7646261:7650730:1 gene:Potri.017G070600.v4.1 transcript:Potri.017G070600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070600.v4.1 MGKSIHSFELKNGARIPSVGLGTWRAAPGVIGDVVTTAVKVGYRHIDCALLYCNEIEDSCALKKLFDDGVVKREELWITSKLCCNEQAPEDVSGALDRTLHELQLDYIHWPVSMKKDSVGYEPENLTQPDILASWREMEALYESGKARAIGVSNFSSKKLGDLLAAARVRPAVNQVECHPVWQQPKLHAFCQSEGVHLSGYAPLGSAGSQKIKIEVLKNPILKMIAEKLGKSPAQVALRWGLHMGHSVLPKSINKARIIENIDIFYWSIPEGLFSKLSEIEQERLVTGTTLVHGTYGAYRTLDELWDGEM >Potri.017G070600.1.v4.1 pep chromosome:Pop_tri_v4:17:7646261:7650730:1 gene:Potri.017G070600.v4.1 transcript:Potri.017G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070600.v4.1 MGKSIHSFELKNGARIPSVGLGTWRAAPGVIGDVVTTAVKVGYRHIDCALLYCNEIEDSCALKKLFDDGVVKREELWITSKLCCNEQAPEDVSGALDRTLHELQLDYVNLYLIHWPVSMKKDSVGYEPENLTQPDILASWREMEALYESGKARAIGVSNFSSKKLGDLLAAARVRPAVNQVECHPVWQQPKLHAFCQSEGVHLSGYAPLGSAGSQKIKIEVLKNPILKMIAEKLGKSPAQVALRWGLHMGHSVLPKSINKARIIENIDIFYWSIPEGLFSKLSEIEQERLVTGTTLVHGTYGAYRTLDELWDGEM >Potri.017G070600.7.v4.1 pep chromosome:Pop_tri_v4:17:7646261:7650730:1 gene:Potri.017G070600.v4.1 transcript:Potri.017G070600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070600.v4.1 MGKSIHSFELKNGARIPSVGLGTWRAAPGVIGDVVTTAVKVGYRHIDCALLYCNEIEDSCALKKLFDDGVVKREELWITSKLCCNEQAPEDVSGALDRTLHELQLDYVNLYLIHWPVSMKKDSVGYEPENLTQPDILASWREMEALYESGKARAIGVSNFSSKKLGDLLAAARVRPAVNQVECHPVWQQPKLHAFCQSEGVHLSERLVTGTTLVHGTYGAYRTLDELWDGEM >Potri.017G070600.4.v4.1 pep chromosome:Pop_tri_v4:17:7646261:7650730:1 gene:Potri.017G070600.v4.1 transcript:Potri.017G070600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070600.v4.1 MGKSIHSFELKNGARIPSVGLGTWRAAPGVIGDVVTTAVKVGYRHIDCALLYCNEIEDSCALKKLFDDGVVKREELWITSKLCCNEQAPEDVSGALDRTLHELQLDYIHWPVSMKKDSVGYEPENLTQPDILASWREMEALYESGKARAIGVSNFSSKKLGDLLAAARVRPAVNQVECHPVWQQPKLHAFCQSEGVHLSGYAPLGSAGSQKIKIEVLKNPILKMIAEKLGKSPAQVALRWGLHMGHSVLPKSINKERLVTGTTLVHGTYGAYRTLDELWDGEM >Potri.017G070600.11.v4.1 pep chromosome:Pop_tri_v4:17:7646261:7649380:1 gene:Potri.017G070600.v4.1 transcript:Potri.017G070600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070600.v4.1 MGKSIHSFELKNGARIPSVGLGTWRAAPGVIGDVVTTAVKVGYRHIDCALLYCNEIEDSCALKKLFDDGVVKREELWITSKLCCNEQAPEDVSGALDRTLHELQLDYVNLYLIHWPVSMKKDSVGYEPENLTQPDILASWREMEALYESGKARAIGVSNFSSKKLGDLLAAARVRPAVNQVECHPVWQQPKLHAFCQSEGVHLSVSMK >Potri.007G010000.1.v4.1 pep chromosome:Pop_tri_v4:7:777632:782849:1 gene:Potri.007G010000.v4.1 transcript:Potri.007G010000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010000.v4.1 MELGFSKWVLAFALSSWLLFSRAYSVEGLRGNSKVRGVNLGGWLVIEGWIKPSLFDAIPNGDMLDGTGVRFMSVSSHKYVSAENGGGMGVTVYRDVAFSWETFKLWRVSASEFQLRTSQGYFLACYGEGCSISATANSPSEGEIFYIERNNNNQVHIKLITGAYLQVTVGNLLTADYPGKPGWDDNAATFEMMIVANDLHGDYQLANGYGRHQAKEVLKKHRNSFITMDDFSFLYRRGINTVRIPVGWWIAFDPDPPAPFIGGCLEALDNAFSWAQAYNIKCVIDLHAAPGSQNGAEHSASRDGTTGWPSSPDYVSKTLDVIDFLASRYGRHPALLGIELLNEPSASLVPMEVLVPYYKQGYEIVRKYSSTAYVIICQRIGNADPIELYQANISSHNLVVDLHFYNLFDSYFVNMSTMDNIDFVYKSRAAQLQALNSANGPLVFVGEWVNEWSVTTASQTDYQDFGRAQLEVYNAASFGWAYWTLKNDRKHWDFEWNIRNNYLQLGNSTTRKIYDKLVLLGLISVWIFLLCIL >Potri.001G055625.1.v4.1 pep chromosome:Pop_tri_v4:1:4184092:4185412:-1 gene:Potri.001G055625.v4.1 transcript:Potri.001G055625.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055625.v4.1 MNTDLGISVDAFPGSLNPLREEIECVKAGLRDGLDKAREFSVIVEETSSMLEKFFPSTLPSKPIDIDESNKEDNRDAFDHSGDQGKREAMATKKRDNRDSEENRCLKKSWAAHRVQPHARKGPNDPEAGLYDLPSPLLIFRYELWMKCLTNSSMKLGTKCMIQRIIKT >Potri.005G219100.1.v4.1 pep chromosome:Pop_tri_v4:5:22178792:22179824:-1 gene:Potri.005G219100.v4.1 transcript:Potri.005G219100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219100.v4.1 MISSYPAPAPAPDPYDSLPSAAAPSTFLTRSANTTTNFFATRRPWRELIEFSSFTRPLSFGEATIRVKRNLYYFRVNYTMIILVILFLSLLWHPLSMIVFLVVFVAWFFLYFFRDQPLVIFHRPIDDRVVLGLLSIVTIIALIFTHVWLNVLVSVLIGAAVVVLHAAFRGTENLYLDEHDLADEGLFSVVGSPMRDGYTRV >Potri.014G106500.3.v4.1 pep chromosome:Pop_tri_v4:14:7147574:7151014:-1 gene:Potri.014G106500.v4.1 transcript:Potri.014G106500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106500.v4.1 MFNHTTLVFKKILESYSGFENLKQVVDVGGGIGVALSLITFKYPFINAINFDLPHVIQNAPPLPGVKHVEGDMFKSVPKGDAIILKWILRDWDDEHCLKLLKNCYMSVPVDGKIIVVEQILPTFAEISAVSKDKSQLDMVSLTQTPGGKERMQGHLFNLAISAGFKGISHVSYVYHYSVMEFLK >Potri.014G106500.1.v4.1 pep chromosome:Pop_tri_v4:14:7147826:7151052:-1 gene:Potri.014G106500.v4.1 transcript:Potri.014G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106500.v4.1 MNQKHGVSRSMPMAASVTEEETTQNDNQNQTVEEERESFTCAMLLVNASVLPLALKTVVDLGVLDVLSMADPDVGLTAAEIAERIPTRNPEAPGMLERILRLLMNEGVVYCSSDLFDEAPMKYRLGRVGKYFVRAENGVSLAPLMTLAHDKVYLETWSHLKDAILEGGTPFDRAHRKPLSEYSGTHLFKYSARDARFSQVYNTAMFNHTTLVFKKILESYSGFENLKQVVDVGGGIGVALSLITFKYPFINAINFDLPHVIQNAPPLPGVKHVEGDMFKSVPKGDAIILKWILRDWDDEHCLKLLKNCYMSVPVDGKIIVVEQILPTFAEISAVSKDKSQLDMVSLTQTPGGKERMQGHLFNLAISAGFKGISHVSYVYHYSVMEFLK >Potri.001G391200.1.v4.1 pep chromosome:Pop_tri_v4:1:41416308:41420621:-1 gene:Potri.001G391200.v4.1 transcript:Potri.001G391200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391200.v4.1 MSSQLRVSLLAFLSIISLIAQAIAVTVPLSSTFKYVNEGEFGDYIVEYGANYRVLDPFNSPFQLCFYNTTPNAFTLALRMGTVRSTSTMRWVWEANRGNPVGENATLTFGEDGNLVLADADGRIAWQTNTANKGVVHFQVQPNGNMVLQDVKGYFIWQSFDYPTDTLLVGQSLLAGGAARLVSRRSEKQNSNGAYSLVMEPKRLAIYYKSPSSPKPKLYYTSDRFSVKNGRLQYVTFQSEPVTEEGFSYDLALEFSTGGNAILATPKYNSTLSFLRLGVDGNVKVYTYNDKVDIGAWEVTFTLFPGGKP >Potri.009G053400.1.v4.1 pep chromosome:Pop_tri_v4:9:5767450:5773836:-1 gene:Potri.009G053400.v4.1 transcript:Potri.009G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053400.v4.1 MPTANTTIPIHGPVDLLTRLDSPDPETKLKALREIKNQIIGNRTKKLSFLKLGAVPAVASILSSYATEADSQLADADVSISNVIVQSAAVLGSFACGFDAGVRAVLDAGSFPHLIRLLFNPAEKVVDASARSLRMIYQSKLAPKYEFVQEKNMEFLISLINSECENVTGLGASIITHSCETSAEQRALCDAGVLKKLISLLEGSLSQKNATLESLAAVFKNNPEVISKFVGLENERAVEGSLSQRDSSLESLGTVLKNNPESVSKFVGPESGSALSSIIELTKDRYARTRLLACMCLIVIRNASPNYLQDIGIKAKLVYLLLELLDDPGQVGDEAPFVFSSLVAGKEDLQKLAFEDSAINKFCNQLQKGQVHPKRLQGILLALADLCSKLESCRLTFLSLKALNQVIDALTHDCANVRTSACICLRSVTRSIKNLCTGYFMNEMLVISLIRLLDDPSVSVQVAALGAISNIVVDFTTRKSTFIQCGGIKQLVQLTKSMDSTVRFNALWALKNMMFLADDRCKEGIFLELTGSLLASLICDPEPCVQEQALALVRNLVDGCIKSIEYVFAEDGILLDAVGRQLHNVSDEVGIQGMYLLGNVASGNEFHKEAVMRQLLAQADNGAQSFVIKFLQSSDSRLRTAALWVIVNLTFPSCPGAFGRLVQLKNAGIISQIRNMVHDSCLDVKLRVRTVITQSMTFGDGLA >Potri.009G053400.5.v4.1 pep chromosome:Pop_tri_v4:9:5767737:5773785:-1 gene:Potri.009G053400.v4.1 transcript:Potri.009G053400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053400.v4.1 MPTANTTIPIHGPVDLLTRLDSPDPETKLKALREIKNQIIGNRTKKLSFLKLGAVPAVASILSSYATEADSQLADADVSISNVIVQSAAVLGSFACGFDAGVRAVLDAGSFPHLIRLLFNPAEKVVDASARSLRMIYQSKLAPKYEFVQEKNMEFLISLINSECENVTGLGASIITHSCETSAEQRALCDAGVLKKLISLLEGSLSQKNATLESLAAVFKNNPEVISKFVGLENERAVEGSLSQRDSSLESLGTVLKNNPESVSKFVGPESGSALSSIIELTKDRYARTRLLACMCLIVIRNASPNYLQDIGIKAKLVYLLLELLDDPGQVGDEAPFVFSSLVAGKEDLQKLAFEDSAINKFCNQLQKGQVHPKRLQGILLALADLCSKLESCRLTFLSLKALNQVIDALTHDCANVRTSACICLRSVTRSIKNLCTGYFMNEMLVISLIRLLDDPSVSVQVAALGAISNIVVDFTTRKSTFIQCGGIKQLVQLTKSMDSTVRFNALWALKNMMFLADDRCKEGIFLELTGSLLASLICDPEPCVQEQALALVRNLVDGCIKSIEYVFAEDGILLDAVGRQLHNVSDEVGIQGMYLLGNVASGNEFHKEAVMRQLLAQADNGAQSFVIKFLQSSDSRLRTAALWVIVNLTFPSCPGAFGRLVQLKNAGIISQIRNMVHDSCLDVKLRVRTVITQSMTFGDGLA >Potri.007G114100.1.v4.1 pep chromosome:Pop_tri_v4:7:13449510:13450279:-1 gene:Potri.007G114100.v4.1 transcript:Potri.007G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114100.v4.1 MASLNCFILVLFIALSFSAGEAARNLLQLPNLPKPTLPPLPSIPTLPQPTLPTLPTTQPSLPKPALPPLPSLPVLPTMPAVPTVTLPPLPSMPSIPTIPIPTAIPSIPFFSPPPATTKP >Potri.006G028000.1.v4.1 pep chromosome:Pop_tri_v4:6:1833890:1835607:1 gene:Potri.006G028000.v4.1 transcript:Potri.006G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G028000.v4.1 MKQLKGNTKLWIVVAAYLLSTVLVASTEAGNMDSLAEDQTGRDMIIRSVVPKNDDVVSGSKSTQHIVGINNLCVDVFLELYFDGNLVQLYPCKSDGDVNQQWSLEKNGTIQSKGKCLATNGTSPGSYVFISDCNKVKASATIWKVQKDGSILNPSSSLVLTSKSGKSGSLLTLETNVYALGQGWNFTSVSKPSPKSIVGLWGYCLEFNKYVPKLAKCVKNKTEQKWNFYADGSIRLDANTDLCLTSNGNTKGSLVLVVSCSPVSSNQRWTFGDSHGKAYFPILNVNNALVLDVSYSILNLFEIIIWDFNGGANQVWRLS >Potri.006G227700.5.v4.1 pep chromosome:Pop_tri_v4:6:23186515:23193104:1 gene:Potri.006G227700.v4.1 transcript:Potri.006G227700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227700.v4.1 MAWRRLITQVSRHQSELGQFKNLFVRTYFPINKFGGSVGNRILNAERRFQSSYVGNLARRMRDMDDGSEVLQLKELLRHDPEAVIRLFESQPSLYGNPSALSEYVKALVRVDRLDDSELLKTLQRGISNSAREEESIGGLSVFRNVGKSTKDGVLGTAGTPIHMVATEGGHFKEQLWRTIRTIALAFLLISGVGALIEDRGISKGLGLNEEVQPSMESNTKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKILKGEDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKSVTMTDLEYAKDKIMMGSERKSAVISAESRKLTAFHEGGHALVAIHTEGALPVHKATIVPRGMSLGMVAQLPDKDETSVSLKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATNLARAMVTKFGMSKEVGVVTHNYDDNGKSMSTETRLLIEKEVKYFLERAYNNAKKILTTNSKELHALANALLEQETLSGSQIKALLAQVNSQQQRQQPQQQQIVASHSSSQSNPVPPSTPNPAASAAAAAAAAAANAAAKAKGIAPVGS >Potri.006G227700.3.v4.1 pep chromosome:Pop_tri_v4:6:23186475:23192532:1 gene:Potri.006G227700.v4.1 transcript:Potri.006G227700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227700.v4.1 MAWRRLITQVSRHQSELGQFKNLFVRTYFPINKFGGSVGNRILNAERRFQSSYVGNLARRMRDMDDGSEVLQLKELLRHDPEAVIRLFESQPSLYGNPSALSEYVKALVRVDRLDDSELLKTLQRGISNSAREEESIGGLSVFRNVGKSTKDGVLGTAGTPIHMVATEGGHFKEQLWRTIRTIALAFLLISGVGALIEDRGISKGLGLNEEVQPSMESNTKFNDVKGVDEAKAELEEIVHYLRDPKRFTRLGGKLPKGVLLVGPPGTGKTMLARAIAGEAGVPFFSCSGSEFEEMFVGVGARRVRDLFSAAKKRSPCIIFIDEIDAIGGSRNPKDQQYMKMTLNQLLVELDGFKQNEGIIVIAATNFPESLDKALVRPGRFDRHIVVPNPDVEGRRQIMESHMSKILKGEDVDLMIIARGTPGFSGADLANLVNIAALKAAMDGAKSVTMTDLEYAKDKIMMGSERKSAVISAESRKLTAFHEGGHALVAIHTEGALPVHKATIVPRGMSLGMVAQLPDKDETSVSLKQMLARLDVCMGGRVAEELIFGENEVTSGASSDLQQATNLARAMVTKFGMSKEVGVVTHNYDDNGKSMSTETRLLIEKEVKYFLERAYNNAKKILTTNSKELHALANALLEQETLSGSQIKALLAQVNSQQQRQQPQQQQIVASHSSSQSNPVPPSTPNPAASAAAAAAAAAANAAAKAKGIAPVGS >Potri.001G437800.2.v4.1 pep chromosome:Pop_tri_v4:1:46375802:46378163:-1 gene:Potri.001G437800.v4.1 transcript:Potri.001G437800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437800.v4.1 MTSYWRVACWFSFLPFLSPANSSFTPLSQTSSHPFLGQHCSSSEKTALLQLKRDLSAAKPESSIPLQPSSGSLLTSWKPNTDCCSWESVNCHEVTKHVFGLNLSGHNLSGLVNSIKFLNLPYLERLNLVNCNIGEIPSFVQKLGGLVELDLSINKIHGKVPKWIWLLESLVYLNLSNNFLDGFEAPPSAPFLSSLTSLDLTCNLIEGSIPTLPISISFLSLAKNKLTGEIPVSLCSLSNLTILDACYNYMSGQIPKCLEVLGDTLIVLNLRKNRFSGLMPWKFTKECSLKTLNLYANQLTGKIPMSLKHCKRLQVLDLGDNQINDTFPFWLGVLPDLRVLILQSNSLRCPIGEPLASNDFPMLQILDLSSNYFTGNLPLDYFAIWKSMRIKLNGSLMYMGSYYYREWMSITSKGQRMDDINILTIFNVLDLSNNLFEGEIPEVIGDLKLLEVLNLSTNNLIGEIPLSLSKLTLLESLDLSKNKLIGEIPMKLLSLTFLSVLNLSYNRLEGKIPIGNQFSTFANDSYEGNIGLCGFPLSKKCDDVEDHQSSGAQRESILSDPISPFSWKFALVGYGCGAPVGVAIGYILFWRTKRCTKWIEQSFKAKKRQKNEQNRRRRRKFK >Potri.003G067100.1.v4.1 pep chromosome:Pop_tri_v4:3:9438001:9440126:-1 gene:Potri.003G067100.v4.1 transcript:Potri.003G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067100.v4.1 MDTDVTMVPAGEASSSSSRKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Potri.001G016300.1.v4.1 pep chromosome:Pop_tri_v4:1:1214199:1216288:-1 gene:Potri.001G016300.v4.1 transcript:Potri.001G016300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016300.v4.1 MAEPKENIVMFPFMAQGHIIPFLALALHIEQTKGYTITFVNTPLNIKKLKSSIPPNSSIKLLEVPFNSSDHGLPPNSENTDILPYPLIIRLLHASTSLKPAFKTLIEDIVEEQGGKPPLCIIADIFFGWTATVAKELGVFHAIFSGAGGFGLACYYSVWLSLPHREVDSDEFELQDFKEASRFHVSQLPLSILTADGSDSWSVFQRMNLPAWVDSNGILFNTVEEFDQLGLMYFRKRLGRPAWAIGPVLLSVDNRARAGKQAGISADFLKEWLDAKPVNSVLYVSFGSNNTISTSQMMQLAMALEGSGKNFIWVVRPPIGFDINSEFKAKEWLPQGFEERIKDSGRGLLVHNWAPQVDILSHKSTCAFLSHCGWNSVLEALDKGVPMLGWAMAGEQFFNVKFLEEELGVCVEIVRGKTCEVRHEDMKAKIELVMNETEKGKEMRRKASKVKGMIKNAIRDEDGFKGSSVKELDDFFKAATVMRDGANHDA >Potri.007G137500.7.v4.1 pep chromosome:Pop_tri_v4:7:14888533:14889548:1 gene:Potri.007G137500.v4.1 transcript:Potri.007G137500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137500.v4.1 MASFTAARSIFRSSAARNAAARLASQSKSKPKAPPFSLNSTANKPVLRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCGYGWLPEGRVKTR >Potri.007G137500.9.v4.1 pep chromosome:Pop_tri_v4:7:14888533:14889293:1 gene:Potri.007G137500.v4.1 transcript:Potri.007G137500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137500.v4.1 MASFTAARSIFRSSAARNAAARLASQSKSKPKAPPFSLNSTANKPVLRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCGYGWLPEGI >Potri.007G137500.8.v4.1 pep chromosome:Pop_tri_v4:7:14888533:14889959:1 gene:Potri.007G137500.v4.1 transcript:Potri.007G137500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137500.v4.1 MASFTAARSIFRSSAARNAAARLASQSKSKPKAPPFSLNSTANKPVLRRSPVEMSFAVESMMPYHTVTASALMTSMLSISRCGYGWLPEGA >Potri.014G192200.2.v4.1 pep chromosome:Pop_tri_v4:14:16888452:16894814:-1 gene:Potri.014G192200.v4.1 transcript:Potri.014G192200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192200.v4.1 MGWNYPYISLEEMVKHLKGFVDIMILASGYQSSALLAHWDAQNIKKAIHWGSFFQNVLKHMSSSDVYQDSIKELDAALCQMKSNPYFPQGLANLSCDTLSRARSFVLAHLFQTLPLRDSHLKAFLTAVIEMELERVSESEHDCLSVYLNKLKPRNLQLDLVLERRGFVKDSMVLSEEISQTVKFGKFSDDDLTKLTLQEVFKRQSAVSCISTVETGLDVLSNAIRCSSGTESDSSMLEEQLKLDGAPSSVGEIEQPVDFFTWNHWKSKMVSYFLDKRTIRLVSGASMIFSAPKMQWLQVFERLNTSADCKNDGLSEIVELLLLGRIASQWNCLIEYATSVSYFSATISNLYYEVCSLLTGRAQGFHSSERAADSKESDILEYLARLQGYQLSLLWKQSPVLAAVAIPSWSPLLRLYLGEIETQFKGDSSAQRCCSCIQDRKQHKDCELAERIWCLYIFHIFASHVMHGANSS >Potri.014G192200.3.v4.1 pep chromosome:Pop_tri_v4:14:16880786:16894814:-1 gene:Potri.014G192200.v4.1 transcript:Potri.014G192200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192200.v4.1 MGWNYPYISLEEMVKHLKGFVDIMILASGYQSSALLAHWDAQNIKKAIHWGSFFQNVLKHMSSSDVYQDSIKELDAALCQMKSNPYFPQGLANLSCDTLSRARSFVLAHLFQTLPLRDSHLKAFLTAVIEMELERVSESEHDCLSVYLNKLKPRNLQLDLVLERRGFVKDSMVLSEEISQTVKFGKFSDDDLTKLTLQEVFKRQSAVSCISTVETGLDVLSNAIRCSSGTESDSSMLEEQLKLDGAPSSVGEIEQPVDFFTWNHWKSKMVSYFLDKRTIRLVSGASMIFSAPKMQWLQVFERLNTSADCKNDGLSEIVELLLLGRIASQWNCLIEYATSVSYFSATISNLYYEVCSLLTGRAQGFHSSERAADSKQESDILEYLARLQGYQLSLLWKQSPVLAAVAIPSWSPLLRLYLGEIETQFKGDSSAQRCCSCIQDRKQHKDCELAERIWCLYIFHIFASHVMHGANSS >Potri.014G192200.6.v4.1 pep chromosome:Pop_tri_v4:14:16889014:16894815:-1 gene:Potri.014G192200.v4.1 transcript:Potri.014G192200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192200.v4.1 MGWNYPYISLEEMVKHLKGFVDIMILASGYQSSALLAHWDAQNIKKAIHWGSFFQNVLKHMSSSDVYQDSIKELDAALCQMKSNPYFPQGLANLSCDTLSRARSFVLAHLFQTLPLRDSHLKAFLTAVIEMELERVSESEHDCLSVYLNKLKPRNLQLDLVLERRGFVKDSMVLSEEISQTVKFGKFSDDDLTKLTLQEVFKRQSAVSCISTVETGLDVLSNAIRCSSGTESDSSMLEEQLKLDGAPSSVGEIEQPVDFFTWNHWKSKMVSYFLDKRTIRLVSGASMIFSAPKMQWLQVFERLNTSADCKNDGLSEIVELLLLGRIASQWNCLIEYATSVSYFSATISNLYYEVCSLLTGRAQGFHSSERAADSKESDILEYLARLQGYQLSLLWKQSPVLAAVAIPSWSPLLRLYLGEIETQFKGDSSAQRCCSCIQDRKQHKD >Potri.014G192200.5.v4.1 pep chromosome:Pop_tri_v4:14:16888452:16894814:-1 gene:Potri.014G192200.v4.1 transcript:Potri.014G192200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192200.v4.1 MGWNYPYISLEEMVKHLKGFVDIMILASGYQSSALLAHWDAQNIKKAIHWGSFFQNVLKHMSSSDVYQDSIKELDAALCQMKSNPYFPQGLANLSCDTLSRARSFVLAHLFQTLPLRDSHLKAFLTAVIEMELERVSESEHDCLSVYLNKLKPRNLQLDLVLERRGFVKDSMVLSEEISQTVKFGKFSDDDLTKLTLQEVFKRQSAVSCISTVETGLDVLSNAIRCSSGTESDSSMLEEQLKLDGAPSSVGEIEQPVDFFTWNHWKSKMVSYFLDKRTIRLVSGASMIFSAPKMQWLQVFERLNTSADCKNDGLSEIVELLLLGRIASQWNCLIEYATSVSYFSATISNLYYEVCSLLTGRAQGFHSSERAADSKESDILEYLARLQGYQLSLLWKQSPVLAAVAIPSWSPLLRLYLGEIETQFKGDSSAQRCCSCIQDRKQHKD >Potri.014G192200.1.v4.1 pep chromosome:Pop_tri_v4:14:16888452:16894814:-1 gene:Potri.014G192200.v4.1 transcript:Potri.014G192200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192200.v4.1 MGWNYPYISLEEMVKHLKGFVDIMILASGYQSSALLAHWDAQNIKKAIHWGSFFQNVLKHMSSSDVYQDSIKELDAALCQMKSNPYFPQGLANLSCDTLSRARSFVLAHLFQTLPLRDSHLKAFLTAVIEMELERVSESEHDCLSVYLNKLKPRNLQLDLVLERRGFVKDSMVLSEEISQTVKFGKFSDDDLTKLTLQEVFKRQSAVSCISTVETGLDVLSNAIRCSSGTESDSSMLEEQLKLDGAPSSVGEIEQPVDFFTWNHWKSKMVSYFLDKRTIRLVSGASMIFSAPKMQWLQVFERLNTSADCKNDGLSEIVELLLLGRIASQWNCLIEYATSVSYFSATISNLYYEVCSLLTGRAQGFHSSERAADSKQESDILEYLARLQGYQLSLLWKQSPVLAAVAIPSWSPLLRLYLGEIETQFKGDSSAQRCCSCIQDRKQHKDCELAERIWCLYIFHIFASHVMHGANSS >Potri.014G192200.4.v4.1 pep chromosome:Pop_tri_v4:14:16880786:16894814:-1 gene:Potri.014G192200.v4.1 transcript:Potri.014G192200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192200.v4.1 MGWNYPYISLEEMVKHLKGFVDIMILASGYQSSALLAHWDAQNIKKAIHWGSFFQNVLKHMSSSDVYQDSIKELDAALCQMKSNPYFPQGLANLSCDTLSRARSFVLAHLFQTLPLRDSHLKAFLTAVIEMELERVSESEHDCLSVYLNKLKPRNLQLDLVLERRGFVKDSMVLSEEISQTVKFGKFSDDDLTKLTLQEVFKRQSAVSCISTVETGLDVLSNAIRCSSGTESDSSMLEEQLKLDGAPSSVGEIEQPVDFFTWNHWKSKMVSYFLDKRTIRLVSGASMIFSAPKMQWLQVFERLNTSADCKNDGLSEIVELLLLGRIASQWNCLIEYATSVSYFSATISNLYYEVCSLLTGRAQGFHSSERAADSKESDILEYLARLQGYQLSLLWKQSPVLAAVAIPSWSPLLRLYLGEIETQFKGDSSAQRCCSCIQDRKQHKDCELAERIWCLYIFHIFASHVMHGANSS >Potri.017G129800.1.v4.1 pep chromosome:Pop_tri_v4:17:13282052:13289305:-1 gene:Potri.017G129800.v4.1 transcript:Potri.017G129800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129800.v4.1 MENPRLSLLSSSSPKLVMGYPTSLKNPTTPKFSISTTRPSLPFPHRTSKTVTHTSRISISALSQSHGPRRTSKNGSEYFASISSSSGQQTASVGVNPQSVSPPPSQIGSPLFWVGVGVALSAIFSWVATRLKNYAMQQAFKSLTEQMNAQNNQFNPAFSARSPFPFSPPPASQPATSPFQTASQPAVTVDIPATKVEAAPETDARKEKETDTLEEREIKEEPRKFAFVDVSPEETSLNTPFSSVEDVIDTSSSKDVQFAKEASQNGAAFKQGPSASEPSEGSQSSQKAGSLSVEALEKMMDDPTVQKMVYPYLPEEMRNPTTFKWMLQNPQYRQQLEEMLNNMSGSSEWDSRMVDSLKNFDLSSPEVKQQFDQIGLTPEEVISKIMANPDVALAFQNPRVQQAIMECSQNPLSIAKYQNDKEVMDVFNKISEILG >Potri.015G104000.1.v4.1 pep chromosome:Pop_tri_v4:15:12282499:12285264:-1 gene:Potri.015G104000.v4.1 transcript:Potri.015G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104000.v4.1 MAEIRPPETHPNNGGGGGSSGGGGGALTSSETVGSKRQRRPSVRLGEIGGGDQLYESQHHRRTASNSNKQWKQQQQQQQSLGFKEASKSSKTRALTNLSEFNEILDGDNDINLDSVAIGSWRVNHSSKKRGSLNVSAKRVRSNWVSKFDDSSGGRGNGGEGEEKYSGGEDDDDDDEEEEEEEEEEDCREFDVENSETLLKEQSRIRDPLDNLEDGNERNGREVHCYRRVIRGRNDRNVNHDYRHDGVDLSGPSDNDMRDYRNIGRCGGGGEDGVRIWLNSLGLGRYAPVFEIHEVDDEVLPKLTLEDLKDMGINAVGSRRKMFCAIQKLGKGFS >Potri.008G189600.2.v4.1 pep chromosome:Pop_tri_v4:8:13302013:13304846:1 gene:Potri.008G189600.v4.1 transcript:Potri.008G189600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189600.v4.1 MRGLDRAMERLRPLVDSNAWDYCVVWKLGDDPSRFIEWVGCCCGGGGGGGYNVERDRGEDNQFGRGPLCKDVYFKHPVRTKACEALSRFPSSMPLYSGIHGEVVISAEPRWLCHATVTTHDSNTLREVAGTQVLIPVIGGLVELFAAKHMKKDEKMIESIRAHCHVPVKQEAVTELGYSNSSFNDHRLDSLLEENLPHSCHLLSLIPRTQFLLPLTQPRNSISFEGSSSGSNPSNEAPSFVSNASQLPQHGHLELSVGKSNHDEKILKQRAGSADCNKKVPKVMRRSERDDYKSKNLVTERNRRTRIKTGLFALRALVPKISKMDKAAILGDAIDYVGELLKEVKNLQDEIKNAEEEERRASNIELKTSKLEIFQEDHVSSSKINQDSSGFVEKKGAEVQLEVDQISKRQFLLKFLCEQRQGGFGRLMETIHSLGLQILDANITTFNGNVLNILKVEADKDIHPKTLKKSLIELTGNLIQTFGSQI >Potri.002G097900.1.v4.1 pep chromosome:Pop_tri_v4:2:7131576:7133076:-1 gene:Potri.002G097900.v4.1 transcript:Potri.002G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097900.v4.1 MAWRLLLSKSTSSKTLTSPPLQNPTPNPLLSLFSSHFSTSFLVTKTPKKHKKKREKPESPRTRLVQHPSTRIPQFESLLERDSYFRFLTKSKQFLSQQPERILRLDDAGKLHQELGFPRGRKIHRFLQRHPLIFQTYRHTDNKLWVGFTDFMEELLEEEKEIMDSMESDRVNKVRKLLMMSKNKRIPLSKIHHCRLLLGIPDDFRDRVAKYPDYFRIVVEGDGKRILELVNWDPSLAVSKLEKEFMVNEEKAKRAFKFPVKHGKDLDLEVEDTRRLNLLNTLPLVSPYSDGERLELWSLEAEKYRVGILHEFLSLTLEKRASIHHIVEFKEELCLTKHTYDMLKKQPRTFYLAGTEMNWVVFLKDAYDENGGLIDKDSQVIFNEKLYKYAQMKEGELDSSVWKS >Potri.002G097900.2.v4.1 pep chromosome:Pop_tri_v4:2:7131573:7132956:-1 gene:Potri.002G097900.v4.1 transcript:Potri.002G097900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097900.v4.1 MEELLEEEKEIMDSMESDRVNKVRKLLMMSKNKRIPLSKIHHCRLLLGIPDDFRDRVAKYPDYFRIVVEGDGKRILELVNWDPSLAVSKLEKEFMVNEEKAKRAFKFPVKHGKDLDLEVEDTRRLNLLNTLPLVSPYSDGERLELWSLEAEKYRVGILHEFLSLTLEKRASIHHIVEFKEELCLTKHTYDMLKKQPRTFYLAGTEMNWVVFLKDAYDENGGLIDKDSQVIFNEKLYKYAQMKEGELDSSVWKS >Potri.013G153000.1.v4.1 pep chromosome:Pop_tri_v4:13:14870104:14871874:-1 gene:Potri.013G153000.v4.1 transcript:Potri.013G153000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G153000.v4.1 MAPALLFLTLATICNLSGAATLPKFSSILIFGDSTVDTGNNNYVKTVFRSDHPPYGRDFPGHVPTGRFSNGKLIPDFTASILGMEETVPPVLSPSLTDDDIRTGVCFASAGSGYDVMTTVASGAIPMYEQLELFQNYITRLRGIVGEEEAKKILGRAFIIVSSGTNDLIYNYYDIPTRRYQFNSISGYHDYLLSSLQNFVQELYNLGGRLMAIAGLPPIGCLPIQIVTRYGSSGNLACLEDQNSDCQAYNKKLKRLLPPLQSSLPGSRILYADIYDPLSDMVSQPQKYGFVETHKGCCGTGVVEAGSTCNKATPTCGNASQFMFWDAIHPSESAYKFLTEYLEKNIISRFNPI >Potri.003G055000.1.v4.1 pep chromosome:Pop_tri_v4:3:8093851:8097690:1 gene:Potri.003G055000.v4.1 transcript:Potri.003G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055000.v4.1 MAPPKSTEPPLSPTHCYAQIPCQHEEGCVVLPFHRHPTTPFCRRWPMITTVFLLLLLLSALVYVFWPSDPMIKVVGLRLDKIRIHTLPIINIDLSLYVTLRVRNVDVYSMDFRSLDVAVRYKGKRLGHVRSDHGHVRALGSSYVDAEIDLRGISVLSGVVSLLEDLGRGTVPFDTVTEVSGKLGLLFFGFPLKARVSCEVLVNTHNQTIVRQTCYPER >Potri.003G055000.2.v4.1 pep chromosome:Pop_tri_v4:3:8093851:8097690:1 gene:Potri.003G055000.v4.1 transcript:Potri.003G055000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055000.v4.1 MAPPKSTEPPLSPTHCYAQIPCQHEEGCVVLPFHRHPTTPFCRRWPMITTVFLLLLLLSALVYVFWPSDPMIKVVGLRLDKIRIHTLPIINIDLSLYVTLRVRNVDVYSMDFRSLDVAVRYKGKRLGHVRSDHGHVRALGSSYVDAEIDLRGISVLSGVVSLLEDLGRGTVPFDTVTEVSGKLGLLFFGFPLKVILKYSFKQILRC >Potri.006G117900.7.v4.1 pep chromosome:Pop_tri_v4:6:9254817:9257355:-1 gene:Potri.006G117900.v4.1 transcript:Potri.006G117900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117900.v4.1 MIMAASASSVWYNLRVPHPTREVPIIDYDLALLFQPMLLLGITLGVSLSVVFPYWLITVLIIILFIGTSSRSFFKGIEMWKEETILKKEMVIQQETIVNSRGELLIDTEYEPLIPREEKSKMQILCFNLKWKRLLILFLVWTSFLLLQVIKNDVAVCSTWYWVLFCLQFPIAFGVFGYEAVKLYRENKKRISTGNTETICEASIEWTPMHILFCALCGIIGGTVGGLLGSGGGFVLGPLLLEIGVSPHVASATSTFVMMFSSSLSVVEFYLLKRFPIPFALYLMGVSVLAGFWGQFFVRKLVKILGRASLIVFILSGVIFVSALTMGGVGIDTSITMIRNHEFMGFLEFCSSQ >Potri.006G117900.1.v4.1 pep chromosome:Pop_tri_v4:6:9254805:9258581:-1 gene:Potri.006G117900.v4.1 transcript:Potri.006G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117900.v4.1 MATRGLVLYLLSGFSVAILSVFFLSHPNEKASPNPNSDIFASPYLSTTDKVWPKLEFSWRTVLATVIGLLGSACGTVGGVGGGGIFVPMLTLIVGFDTKSAAALSKCMIMAASASSVWYNLRVPHPTREVPIIDYDLALLFQPMLLLGITLGVSLSVVFPYWLITVLIIILFIGTSSRSFFKGIEMWKEETILKKEMVIQQETIVNSRGELLIDTEYEPLIPREEKSKMQILCFNLKWKRLLILFLVWTSFLLLQVIKNDVAVCSTWYWVLFCLQFPIAFGVFGYEAVKLYRENKKRISTGNTETICEASIEWTPMHILFCALCGIIGGTVGGLLGSGGGFVLGPLLLEIGVSPHVASATSTFVMMFSSSLSVVEFYLLKRFPIPFALYLMGVSVLAGFWGQFFVRKLVKILGRASLIVFILSGVIFVSALTMGGVGIDTSITMIRNHEFMGFLEFCSSQ >Potri.006G075000.2.v4.1 pep chromosome:Pop_tri_v4:6:5524359:5527307:1 gene:Potri.006G075000.v4.1 transcript:Potri.006G075000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075000.v4.1 MDLIASYASSDEEEKDQPQPQPQQRHQTPASPPGKPSLFSSLPQPKSSSSLFSSLPQPTQEPTSKPQVIPQNNNLRIANFKEEDKRPTFKSTTSLFSSLPQPKTETLQQPTSNLTPVDSNPKRVVQFKPPINRPSILDEEDEDEEEKKEKERKRKKTESLLQSDSSSVKGFLSSIPAPRNSSTLGVGSLGSGSGRRSVIESEGPTSSSGGVGAENESGVDQSSEGHVSYDGGYVGFDHNGGDYVNYGSYESGAGQSVAQNVGGDGVSYGGYESYGGYGDSGQYGSNWDDRSVAAVAETGSGGAAESALRMMGKRRRNEIPTEIIEVKQDELIKNRPREDQVKSTGIAFGPAYQVLHLLLFVYVRGSFSLFVEDDFTCVEILICGLFVVGELM >Potri.006G075000.1.v4.1 pep chromosome:Pop_tri_v4:6:5524314:5528716:1 gene:Potri.006G075000.v4.1 transcript:Potri.006G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075000.v4.1 MDLIASYASSDEEEKDQPQPQPQQRHQTPASPPGKPSLFSSLPQPKSSSSLFSSLPQPTQEPTSKPQVIPQNNNLRIANFKEEDKRPTFKSTTSLFSSLPQPKTETLQQPTSNLTPVDSNPKRVVQFKPPINRPSILDEEDEDEEEKKEKERKRKKTESLLQSDSSSVKGFLSSIPAPRNSSTLGVGSLGSGSGRRSVIESEGPTSSSGGVGAENESGVDQSSEGHVSYDGGYVGFDHNGGDYVNYGSYESGAGQSVAQNVGGDGVSYGGYESYGGYGDSGQYGSNWDDRSVAAVAETGSGGAAESALRMMGKRRRNEIPTEIIEVKQDELIKNRPREDQVKSTGIAFGPAYQPASSKGKPSKLHKRKHQIGTLYFDMKQKETELTERRSKGFLTKAETHAKYGW >Potri.001G333800.5.v4.1 pep chromosome:Pop_tri_v4:1:34214435:34216554:1 gene:Potri.001G333800.v4.1 transcript:Potri.001G333800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333800.v4.1 MAFSSSCRRFISKSSLSSIKSAIRSSNVPKSPSMPTRSATALPSSKPPTSPQFSFSRAPCELGCVQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGT >Potri.001G333800.7.v4.1 pep chromosome:Pop_tri_v4:1:34214569:34214998:1 gene:Potri.001G333800.v4.1 transcript:Potri.001G333800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333800.v4.1 MAFSSSCRRFISKSSLSSIKSAIRSSNVPKSPSMPTRSATALPSSKPPTSPQFSFSRAPCELGCVQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGTLCCTLLLLL >Potri.001G333800.9.v4.1 pep chromosome:Pop_tri_v4:1:34214435:34217073:1 gene:Potri.001G333800.v4.1 transcript:Potri.001G333800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333800.v4.1 MAFSSSCRRFISKSSLSSIKSAIRSSNVPKSPSMPTRSATALPSSKPPTSPQFSFSRAPCELGCVQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGT >Potri.001G333800.8.v4.1 pep chromosome:Pop_tri_v4:1:34214435:34217073:1 gene:Potri.001G333800.v4.1 transcript:Potri.001G333800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333800.v4.1 MAFSSSCRRFISKSSLSSIKSAIRSSNVPKSPSMPTRSATALPSSKPPTSPQFSFSRAPCELGCVQSLLPLHSAVAASRMTSCLSTTSRSCRALSQGT >Potri.006G113600.1.v4.1 pep chromosome:Pop_tri_v4:6:8802014:8806607:1 gene:Potri.006G113600.v4.1 transcript:Potri.006G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113600.v4.1 MGNCGTREESAVVSTAQVQQQLHIISSSASIKNGQSDKKHSRSVSDLSDPTSTPRNFEDSRKNALLYTHVIAFTLYELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSLPVAVKVLNKEGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQSLVDWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCSEVSSSLTPALTGGAVAFAMGGVPDYRMRHRFTNNVGPGSSCRSPNPNCSPGGPAACRVR >Potri.016G015600.2.v4.1 pep chromosome:Pop_tri_v4:16:824058:824641:-1 gene:Potri.016G015600.v4.1 transcript:Potri.016G015600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015600.v4.1 MATMLKSLSFFVILLIVNSLFFMETTEARPFNTMKSRNSAASRAIESFFDGLSLGEIKQSGPTPGVGNGFTNSKTLGGIKDGPSPCCGNKYTTGTHH >Potri.007G110901.2.v4.1 pep chromosome:Pop_tri_v4:7:13283365:13285760:-1 gene:Potri.007G110901.v4.1 transcript:Potri.007G110901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110901.v4.1 MATVFFVIFIYLFSAAKSQSNITKGSTLFTNSTPNFWPSPSGHFAFGFYPSGNGFKVGTWLIGRPRNTVVWTAQRNEPAVLPGASLVFTSDGRLVVQNFTEVQLIAETQQRAQVAAMHDSGNLLLYGSNMEVVWATFRFPTNTLLVTQELGPDKILYSSKSDADDSAGNFKLWMQGDGNLVAYPSKGLQMVKYFYWSSHSTNIAPDVSLTFAADSRLYLASSTGFTIRNLTEGRLPVNKTTLYRATFDVDGVLRLYQHQMGTNGSLNSNVLWSAINGEDRCSVKGVCGLNSYCANNGADNIACLCPPGFDFVDPNQPNKGCKLNFSIDSDCFLKGANDNYMISTLENTVWERDEYEVLTPVSEEACSKACLEDCYCVVAMFRDQTCFKPKLPLRYGMKNSSSPTKSFVKVRLTNTKNVITKKIGKELLITGVVLIAFSLVIFASSGYLIYTHQIWSLKVMTSQDCPPDVLGDINLTSFSYDQLAVATDDFMEEIGKGASGRVYKGSLPENGGKEIAVKRLEKLVEDGEREFQNEMKIIGRTHHKNLVRLIGFCCEGSHRILVYELMKNGSLGNLIFKDKKQPSWKVRTKITLEVAKGLHYLHEECETKIIHCDIKPHNVLMDESMSAKISDFGLSKLLKPDQTRTYTIPRGTRGYEAPEWHRNNTPVTTKADVYSFGILLLEIVSCRKNVDLSAPDDEIILMDWVQRCYEAGELKKVVGEVEVNLEELEKMVKIGLWCVQTETDSRPTMKQVILMMDGTIVTPPPPSPNSSANN >Potri.014G018000.4.v4.1 pep chromosome:Pop_tri_v4:14:1112746:1118376:-1 gene:Potri.014G018000.v4.1 transcript:Potri.014G018000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018000.v4.1 METKQNGPNAENQQQQGGFPQEPTRPPLLKKTSQDDIKQELVEQAPLQTPQSIGMQSYEKNPIPKSEPDKMQSSDGDPHFLNFQKMSNQQTAGTDQAGNQKNSKQIPFAILLPALKPHLDKDREMQLQTLYNKLRKNEIAKDQFVRLMRNIVGDQVLRLAAAQLQSQPGTNQSQLQPQAGRQLDGRMPAGISAAQFPDPHHSVLHPRGSIPAEPSHNPPSAVQLQTDSSIVNSQKSKAVEWKPDSLVMQASQSHSSNASISNQERERSSIAMQGQNKQQQHVNFPPTSFPMYGSSGGNYHPYSGTNVSTSGPSVKPQPHDPQTRQILHHQNLGVTQIGGPMHSMISTPKFERQNSADDPSRVHSGSVSHYTNKSALQQNSAPWQAPSNREKSPASFSSLNYVKPGLLEQAGEQQNKPQLSSPQVLSPSPVEKGNAISGNLKDQSLDKQSTKIVFSTVPPNSAPPSIATQMDPNGQAGSRISSVASPAGVNARTPPKKPSVGQKKPFEALGSSPPASTKKHKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRVSEASRRFVQEEEERLMLQKTPLKKKLGEIMAKCGLKNFGTDVERCLSLCVEERMRGLISNMIRLSKQRVDAEKPRHQTLITSDVRQQIMTMNRKAQEELEKKQAEAEKLQKVNEPEGDNGGEGEKEKDEGRVKSVKVNKEEDDKMRTTAANVAARAAVGGDDILSKWQLMAEQARQKREGGMEGASGSQPVKDVNRKPLSPSGRNMMENLEAEKRSHVVPSSASGAGRKCGRNQAIVPQTKVVRTISVKDVMSVLEREPQMSRSTLIYQLYERIRSDATAE >Potri.014G018000.1.v4.1 pep chromosome:Pop_tri_v4:14:1112748:1119661:-1 gene:Potri.014G018000.v4.1 transcript:Potri.014G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018000.v4.1 MDPNIMRLLEEDEDETMHSGADVEAFQAALNRDIGGDVSNSQPSDSSAGKIGNANNTEELDAKNVQRQHHQEQHTSAMETKQNGPNAENQQQQGGFPQEPTRPPLLKKTSQDDIKQELVEQAPLQTPQSIGMQSYEKNPIPKSEPDKMQSSDGDPHFLNFQKMSNQQTAGTDQAGNQKNSKQIPFAILLPALKPHLDKDREMQLQTLYNKLRKNEIAKDQFVRLMRNIVGDQVLRLAAAQLQSQPGTNQSQLQPQAGRQLDGRMPAGISAAQFPDPHHSVLHPRGSIPAEPSHNPPSAVQLQTDSSIVNSQKSKAVEWKPDSLVMQASQSHSSNASISNQERERSSIAMQGQNKQQQHVNFPPTSFPMYGSSGGNYHPYSGTNVSTSGPSVKPQPHDPQTRQILHHQNLGVTQIGGPMHSMISTPKFERQNSADDPSRVHSGSVSHYTNKSALQQNSAPWQAPSNREKSPASFSSLNYVKPGLLEQAGEQQNKPQLSSPQVLSPSPVEKGNAISGNLKDQSLDKQSTKIVFSTVPPNSAPPSIATQMDPNGQAGSRISSVASPAGVNARTPPKKPSVGQKKPFEALGSSPPASTKKHKVSGAFSDQSIEQLNDVTAVSGVNLREEEEQLFSGPKEDSRVSEASRRFVQEEEERLMLQKTPLKKKLGEIMAKCGLKNFGTDVERCLSLCVEERMRGLISNMIRLSKQRVDAEKPRHQTLITSDVRQQIMTMNRKAQEELEKKQAEAEKLQKVNEPEGDNGGEGEKEKDEGRVKSVKVNKEEDDKMRTTAANVAARAAVGGDDILSKWQLMAEQARQKREGGMEGASGSQPVKDVNRKPLSPSGRNMMENLEAEKRSHVVPSSASGAGRKCGRNQAIVPQTKVVRTISVKDVMSVLEREPQMSRSTLIYQLYERIRSDATAE >Potri.010G156700.1.v4.1 pep chromosome:Pop_tri_v4:10:16389447:16392714:-1 gene:Potri.010G156700.v4.1 transcript:Potri.010G156700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G156700.v4.1 MAGSSVKPTPILKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKIIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKNPSGELINALEQHIKNLLSPSTPFFFNTLYDPYREGADFVRGYPFSLREGAPTAVSHGLWLNIPDYDAPTQLVKPRERNTRYVDAVLTVPKGTLFPMCGMNLGFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHMGWGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEDLIPFFQSAVLPKECVTVQQCYIELAKQVKEKLGKVDPYFIKLADAMVTWIEAWDELNSSGEKSSKSTSK >Potri.018G152100.1.v4.1 pep chromosome:Pop_tri_v4:18:16217500:16218994:1 gene:Potri.018G152100.v4.1 transcript:Potri.018G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152100.v4.1 MPRRYAFGKADEATRPDAMRAALAELVSTFIFVFAGEGSILALDKLYKGTGPPASGLLVVALAHALALFSAVASSINISGGHVNPAVTFGSLVGGRISVIRAVSYWVAQLLGSIFAALLLRLVTNGMIPAGFHVQSEVGEVHGLLLEMALTFGLVYTVYATAIDPKRGSLGIIAPLAIGFVVGANILVGGPFDGASMNPARAFGPALVGWRWRNHWIYWVGPFLGGGLAALIYEYIVISAEPVAHHTHQHQPLAPEDY >Potri.014G140600.1.v4.1 pep chromosome:Pop_tri_v4:14:9541423:9542380:1 gene:Potri.014G140600.v4.1 transcript:Potri.014G140600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140600.v4.1 MAKEAELKKIELKVSVNCCDGCKRKVKKALQGVEGVLKTEIDPQHPKVTVLGNVNPQILIKRLLKTGKQAELWSSGNQNAGKEKKEADMLVEKEKDKSKSECEQTKSSDSCVKVTDKNRETKNGGDGGENKASKDCNETDVSVKSSNPEVVRSENPVPPHPEVGNFRTYNQYCYKVEPYAIALPFYAIPSYTVPPVNPTGYGQEYLLYERPVFQPPVQAPTARVEDYFSDENTVGCHVM >Potri.003G047800.1.v4.1 pep chromosome:Pop_tri_v4:3:6929780:6933679:1 gene:Potri.003G047800.v4.1 transcript:Potri.003G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047800.v4.1 MGRATRWLKGLLGMKKDRDKERDDVATPISSDKKEKKRWSFSKSGKDDAPATLSGNMKDAAWLSSYLSETEREQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTMLGGGKEKWAAVKIQTVFRGYLARKALRALKGLVKLQAVVRGYLVRKRAAATLHSMQALIRAQNSVLSQHARRWLNKEIRFSEHRPRKSLERFDDMRSEFHSKRLSTSYDTTVFDESPKIVEIDTYKPRSRSRRINIALSECGEELPYQAISSPLPCPTPARISIPECKHYQDLEWYFTGEECRFSTAHSTPRFANSARCNAPATPARSICGDAYFKPYSNFHNYMANTQSFKAKLRSHSAPKQRPDPGSKKRLPLNEIMASRNSISGVRMQRSCTKVDEDLVIEELVSEEGRSYPK >Potri.019G081200.1.v4.1 pep chromosome:Pop_tri_v4:19:12152771:12153511:-1 gene:Potri.019G081200.v4.1 transcript:Potri.019G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081200.v4.1 MAMIPSFFDNRRGTIFDPFTWEPFKDFPFPSSSLVSHDNSAFVNTRIDWKETPEAHVFKADLPGLKKEEVKVEVEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFLRRFRLPENAKVDQVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Potri.001G306300.1.v4.1 pep chromosome:Pop_tri_v4:1:31642427:31643030:1 gene:Potri.001G306300.v4.1 transcript:Potri.001G306300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306300.v4.1 MSNMQEDKKSKVKKGWLAVRVGLEDEDCGFQRFVIPISYLYHPLFKRLLEKAHEVYGYHTTGPLRVPCSVDDFLHLRWRIEKESSHHSHHSHHQHHLPSSLSFYSC >Potri.011G056600.1.v4.1 pep chromosome:Pop_tri_v4:11:4571804:4579518:-1 gene:Potri.011G056600.v4.1 transcript:Potri.011G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056600.v4.1 MPPSAAATGDDDEDHALPSPNPSFAQISGSDMDIDNGDDEEEEDPEEEVGGGDDDDDDEKIEEIEEKEEVEEEEVDQRRNDNRQHLESDRQMGSHSTHEMSELREDSVSNFKCSGPLDGPLTLQKEKSCVNYLDSWSPKLSKHLVIAQKNGTLEHAINKTDAFRNSKTHLSNSSEAIAVETTEPFVITGIEVDVVLSKRNCLGQEANVVLDSKEELKPVDVKSEDSKEQTESRFSRLVASGTRARSLSPSTELRDGNKRAAVICDFFAKGWCIRGSSCRFLHTTNKADNTGQQLGVDEVATREDQFDEGVRNILETPKFPHFPDPVAASTGKEATFSSHFSSERLPPLEHKENERLHQLDDKHKLSLRQRVGIPLNAKQFSSSKDDPGFSSSFKDVGIENFRQQWPATDYGSYTSLINRGSSFSFSSSFDTSLLGSQKLLDSDRASRSSSLLQSASAFSGSEPESLSLASVPGDQLRHAEHKTKISSNDWEPSVPFRPSFFITPEMISSAGSKYDPLRDSFVLPNVGDKSFKFSFFSLGASISNTSQQPIYGDSLSNRNFGTEFNGDKSTISSHDKPHGSLSDKNCSTPGKDSFTTATVTGGAGTADGENGSALKEESASGIGYDKVNRVTNKIDRDARPQTDGSRHKKDLKADSVRQNNDMEVDQKIGGDTQKESKVLRHFRSALIDFVKDLLKPTWREGHLSKDAHNTIVKKTVEKVLSTLQPHQIPATVESIKQYLSSSQPKMAKLVEGYISKYGKS >Potri.011G056600.2.v4.1 pep chromosome:Pop_tri_v4:11:4571935:4579478:-1 gene:Potri.011G056600.v4.1 transcript:Potri.011G056600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056600.v4.1 MPPSAAATGDDDEDHALPSPNPSFAQISGSDMDIDNGDDEEEEDPEEEVGGGDDDDDDEKIEEIEEKEEVEEEEVDQRRNDNRQHLESDRQMGSHSTHEMSELREDSVSNFKCSGPLDGPLTLQKEKSCVNYLDSWSPKLSKHLVIAQKNGTLEHAINKTDAFRNSKTHLSNSSEAIAVETTEPFVITGIEVDVVLSKRNCLGQEANVVLDSKEELKPVDVKSEDSKEQTESRLVASGTRARSLSPSTELRDGNKRAAVICDFFAKGWCIRGSSCRFLHTTNKADNTGQQLGVDEVATREDQFDEGVRNILETPKFPHFPDPVAASTGKEATFSSHFSSERLPPLEHKENERLHQLDDKHKLSLRQRVGIPLNAKQFSSSKDDPGFSSSFKDVGIENFRQQWPATDYGSYTSLINRGSSFSFSSSFDTSLLGSQKLLDSDRASRSSSLLQSASAFSGSEPESLSLASVPGDQLRHAEHKTKISSNDWEPSVPFRPSFFITPEMISSAGSKYDPLRDSFVLPNVGDKSFKFSFFSLGASISNTSQQPIYGDSLSNRNFGTEFNGDKSTISSHDKPHGSLSDKNCSTPGKDSFTTATVTGGAGTADGENGSALKEESASGIGYDKVNRVTNKIDRDARPQTDGSRHKKDLKADSVRQNNDMEVDQKIGGDTQKESKVLRHFRSALIDFVKDLLKPTWREGHLSKDAHNTIVKKTVEKVLSTLQPHQIPATVESIKQYLSSSQPKMAKLVEGYISKYGKS >Potri.007G074028.1.v4.1 pep chromosome:Pop_tri_v4:7:9674469:9675278:1 gene:Potri.007G074028.v4.1 transcript:Potri.007G074028.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074028.v4.1 MSDNSGAVNNGSLIDPQRNQPPGGGGGGGGVTNGALVAKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRAGGNSNSISSLSSNNIHPVGSLEHKPLLGPAPFILGKRMRPEEDGNGGGKDDDGVPVGPTIGSLMGPTATAGPGGFWALPARPDFWGFAAAAPPEMVVQPTAVQQSSLFMHQHAAAMGEASAARVGNYLPGHLNLLASLSSGHGSSGRREDDHR >Potri.003G179900.2.v4.1 pep chromosome:Pop_tri_v4:3:18587577:18588493:-1 gene:Potri.003G179900.v4.1 transcript:Potri.003G179900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179900.v4.1 MLFFCTNESLERVSNCFNSCTKMKRFVFILGCRKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPHNPNEPQSSSSKLLSATLAAKLHKCHMTSLQATKKNVTKQSHDAQCTSFGTSHGIAGKTVENGSKWQEGNWVGGGSQAGNDDHQEHFKSLEDHHIEQMIEELLDRGSMEFCYVDST >Potri.003G179900.1.v4.1 pep chromosome:Pop_tri_v4:3:18587342:18588493:-1 gene:Potri.003G179900.v4.1 transcript:Potri.003G179900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179900.v4.1 MTRPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKARTNFPHNPNEPQSSSSKLLSATLAAKLHKCHMTSLQATKKNVTKQSHDAQCTSFGTSHGIAGKTVENGSKWQEGNWVGGGSQAGNDDHQEHFKSLEDHHIEQMIEELLDRGSMEFCYVDST >Potri.008G041400.2.v4.1 pep chromosome:Pop_tri_v4:8:2325979:2330354:-1 gene:Potri.008G041400.v4.1 transcript:Potri.008G041400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSSTTKAVSLEETPTWAVAVVCFVLVAISIVIEHLIHVVEKWLKKKHKIALVEALEKVKAELMLMGFISLFLTILQGPISDICIPKSVAATWHPCDAKQEEKAKAEKSSDSKDNRRGLLQFLDSGESHRRFLASKYDSCGEGTVALVSSYGIHQLHIFIFMLAVVHVLYCIITYFLGRTKMRKWKTWENETKTLEYQYHNDPERFRFARDTSFGRRHMQLWSNSPVLLWIVCFFRQFLGSVTKVDYMTLRHGFITAHLAPGSETRFDFQKYISRSLDEDFKVVVGISPIIWFMAVLLLLTDTHGWNSHLWLPFIPLVVILSIGTKLQVIITQMGLRIQERGDVVKGAPVVQPGDDLFWFGRPRFLLFLIHLVLFQNAFQIAFFIWSVYEFSIKSCYHARTEAIVIRITLGVIIQIVCSYVTLPLYALVTQMGSSMRPTVFNDRVAAALKTWHHTAKKQSKHGKNSESHTPMSSRPQTPSHGMSPVHLLRNHRSSTAPNSFPNSPRLSNHDNNDQWDTEANSVHDYELNESVHHGSPDVRDQVTHIQDPNSIQLPPGPGSIRTQHEINIGSARDFTFRR >Potri.008G041400.1.v4.1 pep chromosome:Pop_tri_v4:8:2325980:2330440:-1 gene:Potri.008G041400.v4.1 transcript:Potri.008G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSSTTKAVSLEETPTWAVAVVCFVLVAISIVIEHLIHVVEKWLKKKHKIALVEALEKVKAELMLMGFISLFLTILQGPISDICIPKSVAATWHPCDAKQEEKAKAEKSSDSKDNRRGLLQFLDSGESHRRFLASKYDSCGEGTVALVSSYGIHQLHIFIFMLAVVHVLYCIITYFLGRTKMRKWKTWENETKTLEYQYHNDPERFRFARDTSFGRRHMQLWSNSPVLLWIVCFFRQFLGSVTKVDYMTLRHGFITAHLAPGSETRFDFQKYISRSLDEDFKVVVGISPIIWFMAVLLLLTDTHGWNSHLWLPFIPLVVILSIGTKLQVIITQMGLRIQERGDVVKGAPVVQPGDDLFWFGRPRFLLFLIHLVLFQNAFQIAFFIWSVYEFSIKSCYHARTEAIVIRITLGVIIQIVCSYVTLPLYALVTQMGSSMRPTVFNDRVAAALKTWHHTAKKQSKHGKNSESHTPMSSRPQTPSHGMSPVHLLRNHRSSTAPNSFPNSPRLSNHDNNDQWDTEANSVHDYELNESVHHGSPDVRDQVTHIQDPNSIQLPPGPGSIRTQHEINIGSARDFTFRR >Potri.005G175600.2.v4.1 pep chromosome:Pop_tri_v4:5:18104332:18105394:1 gene:Potri.005G175600.v4.1 transcript:Potri.005G175600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175600.v4.1 MFTREALSTIASLCIETDVLVFTDEVYDKLAFETDHISMASLPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPESYYVELKRDYMAKKEILVEGLKAVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEETLKAAVERMKEKLKRK >Potri.015G073600.1.v4.1 pep chromosome:Pop_tri_v4:15:9929340:9931873:1 gene:Potri.015G073600.v4.1 transcript:Potri.015G073600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073600.v4.1 MCRFLFEVKQKDGFAIYPPPVSPPSSVSSPYNGLNYEKESTPPSSSLNKISPVLLLVIGVLAVIFFVSGLLHLLVRFLLKRASFSPIYHSNRFPETSGSHSIQRQLQQLFRLHDSGLDQAFVDALPVFYYKDIMGSKEPFDCAVCLCEFSGQERLRLLPLCSHAFHIDCIDTWLLSNSTCPLCRGTLLGSSFHMENPLFNFDLSRELSNGFSSEGESGSSNCQKSVTIVEDKGVGEKRVFSVRLGKFKSLNGGEGSGQKQHGETSRSNLDARRCYSLGTVQYVEGESSLQVAMSPGNLNCRGRDKGCPSIDGDLEDKKIRGRTGGDSLSVSKIWLWSKKSKFPTSSTTHMDMSCSTSLAVSLPINANRTGTLRDI >Potri.008G138000.3.v4.1 pep chromosome:Pop_tri_v4:8:9278797:9279719:1 gene:Potri.008G138000.v4.1 transcript:Potri.008G138000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138000.v4.1 MISPKSKSDFENDLEVSSQVASNISVHELSPDPSKDSTTPSSYLTDLVKHQENSVPVSLDLSLHFNSSEIELKGTGETSIEVAAHTPATTIPRVFSCNYCRRKFYSSQALGGHQNAHKRERTMAKRAMRMGMFSDRYTNLASLPLNGSAFRSLGIKAHAAMHQSIIQSQTPPVTRGGARFEQGYYGMPMFMEDDDVGPYWPGSFRRVGEAVGGNSGLELAQSPNMNFEARAPPPRTDSSAPDLTLKL >Potri.006G055300.2.v4.1 pep chromosome:Pop_tri_v4:6:3912562:3926771:1 gene:Potri.006G055300.v4.1 transcript:Potri.006G055300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055300.v4.1 MFRQKMMGKRVNYACRSVISPDPYLDVNEIGIPPCFAVKLTYPERVTPWNVAKLRNAVINGAESHPGATHYVDKLSTTKLPLNRKMRVSVARKLSGRSVDYEYEGKIVYRHLQDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEVSRAEGYNIVNANNQYVRPSNGEPIRSLIQDHIISAVLLTKKDTFLTEDEVYQLLYSSGVSNARPTSFSGKAGRKVIFLSYEDEIETVDPAIRKPIYLWSGKQLITAVLNHITRGHPPFTVEKGGKLSYDFFKSKIKNGKSSNGEKVGVSKPMKEKESGKVNPKENQLEDDKMIIFRNVLVQGVIDKAQFGEYGLVHTVQELFGAKAAGTLLSVFSRLFTAYLQMHGFTCGVDDLLITKIKDDERKKQLENCEKCGEQIHRKFIGIKDENIKIDPLELQSNIEKTIRSDGESALTYLDRQMTNELNSKTSSGVINELLSEGLLKPSGKNCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFHPWDWAARAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLRIGYDHTVRDADGSIVQFYYGEDGVDVHQTGFIAKFEALAANREIIYEKSDELGTCNAYISELPKALKEKAETFLRKIAKEQSSLHDPTKDRSSNLVEHDFYKLLKQKFFTSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASADIKTPIMTCPLHEGRTKEDAERLSDKLKKVTVADIVESMEVSVMPFAVQNDDICRIYKLKMKLYTPAHYPQHADISVENWEETLEVLFVRELEDAIQNYLVLLSKISGIKNFLKESHSGTPIEAEEDVSGNISREGENDDDSDDEEGEEADDLGLDVQKRKQQVTDEMDYDDGSEGVLNEDEGDLSGSQAPSGSESDTEPADKESEISNTDMVDYDSEYFEKPTHLGNYSKPKSRKKTSESSSQVEMHSKLKSTEKKKQKAKGKKVRSKLVKKDFDRSIFVEAKGLHFEIHLKFTNEPHILLAEIAQKTAKKVCIQNPGKVQRCQVTDCKENQVIYYGKDPKRRIDIEPGEKQKIPALHTIGVDFNTFWKMQDHLDVRYMYSNSIHGMLKAYGVEAARETIIREIKHVFNSYGISVNTRHLSLIADYMTHTGEYRPMSRIGGISESISPLSKMSFETASKFIVEAALHGEVDNLEAPSARVCLGLPVKMGTGSFDLMQKLEI >Potri.006G055300.1.v4.1 pep chromosome:Pop_tri_v4:6:3905053:3926733:1 gene:Potri.006G055300.v4.1 transcript:Potri.006G055300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055300.v4.1 MAALEGATVSVDSVAFSFLTDEEVHKHSFVKITSARLLDTLDKPVPGGLYDPAMGPLGDEPCKTCGQRSTNCTGHCGHIDLISPVYNPLLFNFLHKLLQRTCFFCFHFRADSNQVEKFVSQLELIIKGDVVGAKRLDSFSPIEASLPEDSDGSSESCSTIHSGARHPNNEQSKQSEWTSLQLSEAMSILNNFLKLESKKCKNCSASNPNIRKPTFGWFHWAGLSNAAIRSNLIKQQTIEGPFGGAFEELIDAEDATKSPSNKESATNRNLKEHQKLQHQFTSQKDALSSQLLPSEAMDILKLLWKNEARLCSLMSDIQQQGVGKKKAGHSMFFLNTVLVPPIKFRPPTKGGDSVMEHPLSVLLSKVLELNGSLADAHRSNDFPLIARRWLELQQSLNVLFDSNTAKGQKDVISGICQILEKKEGMFRQKMMGKRVNYACRSVISPDPYLDVNEIGIPPCFAVKLTYPERVTPWNVAKLRNAVINGAESHPGATHYVDKLSTTKLPLNRKMRVSVARKLSGRSVDYEYEGKIVYRHLQDGDIVLVNRQPTLHKPSIMAHVVRVLKGEKTLRMHYANCSTYNADFDGDEMNVHFPQDEVSRAEGYNIVNANNQYVRPSNGEPIRSLIQDHIISAVLLTKKDTFLTEDEVYQLLYSSGVSNARPTSFSGKAGRKVIFLSYEDEIETVDPAIRKPIYLWSGKQLITAVLNHITRGHPPFTVEKGGKLSYDFFKSKIKNGKSSNGEKVGVSKPMKEKESGKVNPKENQLEDDKMIIFRNVLVQGVIDKAQFGEYGLVHTVQELFGAKAAGTLLSVFSRLFTAYLQMHGFTCGVDDLLITKIKDDERKKQLENCEKCGEQIHRKFIGIKDENIKIDPLELQSNIEKTIRSDGESALTYLDRQMTNELNSKTSSGVINELLSEGLLKPSGKNCISLMTTSGAKGSKVNFQQISSFLGQQELEGKRVPRMVSGKTLPCFHPWDWAARAGGYIIDRFLTGLRPQEYYFHCMAGREGLVDTAVKTSRSGYLQRCLIKNLECLRIGYDHTVRDADGSIVQFYYGEDGVDVHQTGFIAKFEALAANREIIYEKSDELGTCNAYISELPKALKEKAETFLRKIAKEQSSLHDPTKDRSSNLVEHDFYKLLKQKFFTSLAQPGEPVGVLAAQSVGEPSTQMTLNTFHLAGRGEMNVTLGIPRLQEILMTASADIKTPIMTCPLHEGRTKEDAERLSDKLKKVTVADIVESMEVSVMPFAVQNDDICRIYKLKMKLYTPAHYPQHADISVENWEETLEVLFVRELEDAIQNYLVLLSKISGIKNFLKESHSGTPIEAEEDVSGNISREGENDDDSDDEEGEEADDLGLDVQKRKQQVTDEMDYDDGSEGVLNEDEGDLSGSQAPSGSESDTEPADKESEISNTDMVDYDSEYFEKPTHLGNYSKPKSRKKTSESSSQVEMHSKLKSTEKKKQKAKGKKVRSKLVKKDFDRSIFVEAKGLHFEIHLKFTNEPHILLAEIAQKTAKKVCIQNPGKVQRCQVTDCKENQVIYYGKDPKRRIDIEPGEKQKIPALHTIGVDFNTFWKMQDHLDVRYMYSNSIHGMLKAYGVEAARETIIREIKHVFNSYGISVNTRHLSLIADYMTHTGEYRPMSRIGGISESISPLSKMSFETASKFIVEAALHGEVDNLEAPSARVCLGLPVKMGTGSFDLMQKLEI >Potri.005G013000.7.v4.1 pep chromosome:Pop_tri_v4:5:1022467:1026794:1 gene:Potri.005G013000.v4.1 transcript:Potri.005G013000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013000.v4.1 MGSLGREASKKMEMWLYPKVSGFNPSERWGHSACYSHGVVYVFGGCCGGLDFSDVLMLNLDTMVWNTLATTGQGPGPRDSHSAVLVGRQMIVFGGTNGSKKVNDLHILDLGTKEWISPECKGTPPLPRESHTATLIGDDRIMVFGGSGEGEANYLNDLHVLDLKSMRWTSPEVKGSIPAPRDSHSAVEIGNKLFVYGGDRGDRYHGDVDVLDTDTMTWTKLVVQGSSPGVRAGHASVNIGTKLYVIGGVGDKHYYNDVWVLDVSTCSWTQLDISGQQPQGRFSHTAIVTDLDIVIYGGCREDERPLNQLLVLQLGAEHPNGRYNISMCKIFGQHWNQEKRRFLPGSEDISQSMFLGNNEIDMKGSYESEESKQPFQFSSDTLHHKKIRPTNSKAWEIDLEQEEHSLSPSHNSSPSQSDQEQIPVQKSVDSLTSCKGLNFFRQLNKIPRNYQADSVASNQKLPRLVIQKTRHRLQISRENKRVEQYVHAGFGRQGTPFPAVEHRPMEPGCIQNLVGAEVRGKVDGAFDSGLLMTATVNGKIFRGVLFSPAPGFVSRGAILAQNHASPATQIPIVHQFPNSNHIDTLKPSHHPTTFSGQESSHSSRQTQVTRTYPVIRAAPSLAKESNPRSDLQGVVLTLGGPASGHA >Potri.005G013000.10.v4.1 pep chromosome:Pop_tri_v4:5:1023473:1026759:1 gene:Potri.005G013000.v4.1 transcript:Potri.005G013000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013000.v4.1 MLNLDTMVWNTLATTGQGPGPRDSHSAVLVGRQMIVFGGTNGSKKVNDLHILDLGTKEWISPECKGTPPLPRESHTATLIGDDRIMVFGGSGEGEANYLNDLHVLDLKSMRWTSPEVKGSIPAPRDSHSAVEIGNKLFVYGGDRGDRYHGDVDVLDTDTMTWTKLVVQGSSPGVRAGHASVNIGTKLYVIGGVGDKHYYNDVWVLDVSTCSWTQLDISGQQPQGRFSHTAIVTDLDIVIYGGCREDERPLNQLLVLQLGAEHPNGRYNISMCKIFGQHWNQEKRRFLPGSEDISSMFLGNNEIDMKGSYESEESKQPFQFSSDTLHHKKIRPTNSKAWEIDLEQEEHSLSPSHNSSPSQSDQEQIPVQKSVDSLTSCKGLNFFRQLNKIPRNYQADSVASNQKLPRLVIQKTRHRLQISRENKRVEQYVHAGFGRQGTPFPAVEHRPMEPGCIQNLVGAEVRGKVDGAFDSGLLMTATVNGKIFRGVLFSPAPGFVSRGAILAQNHASPATQIPIVHQFPNSNHIDTLKPSHHPTTFSGQESSHSSRQTQVTRTYPVIRAAPSLAKESNPRSDLQGVVLTLGGPASGHA >Potri.005G013000.8.v4.1 pep chromosome:Pop_tri_v4:5:1022467:1026794:1 gene:Potri.005G013000.v4.1 transcript:Potri.005G013000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013000.v4.1 MGSLGREASKKMEMWLYPKVSGFNPSERWGHSACYSHGVVYVFGGCCGGLDFSDVLMLNLDTMVWNTLATTGQGPGPRDSHSAVLVGRQMIVFGGTNGSKKVNDLHILDLGTKEWISPECKGTPPLPRESHTATLIGDDRIMVFGGSGEGEANYLNDLHVLDLKSMRWTSPEVKGSIPAPRDSHSAVEIGNKLFVYGGDRGDRYHGDVDVLDTDTMTWTKLVVQGSSPGVRAGHASVNIGTKLYVIGGVGDKHYYNDVWVLDVSTCSWTQLDISGQQPQGRFSHTAIVTDLDIVIYGGCREDERPLNQLLVLQLGAEHPNGRYNISMCKIFGQHWNQEKRRFLPGSEDISSMFLGNNEIDMKGSYESEESKQPFQFSSDTLHHKKIRPTNSKAWEIDLEQEEHSLSPSHNSSPSQSDQEQIPVQKSVDSLTSCKGLNFFRQLNKIPRNYQADSVASNQKLPRLVIQKTRHRLQISRENKRVEQYVHAGFGRQGTPFPAVEHRPMEPGCIQNLVGAEVRGKVDGAFDSGLLMTATVNGKIFRGVLFSPAPGFVSRGAILAQNHASPATQIPIVHQFPNSNHIDTLKPSHHPTTFSGQESSHSSRQTQVTRTYPVIRAAPSLAKESNPRSDLQGVVLTLGGPASGHA >Potri.005G013000.9.v4.1 pep chromosome:Pop_tri_v4:5:1022467:1026794:1 gene:Potri.005G013000.v4.1 transcript:Potri.005G013000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013000.v4.1 MGSLGREASKKMEMWLYPKVSGFNPSERWGHSACYSHGVVYVFGGCCGGLDFSDVLMLNLDTMVWNTLATTGQGPGPRDSHSAVLVGRQMIVFGGTNGSKKVNDLHILDLGTKEWISPECKGTPPLPRESHTATLIGDDRIMVFGGSGEGEANYLNDLHVLDLKSMRWTSPEVKGSIPAPRDSHSAVEIGNKLFVYGGDRGDRYHGDVDVLDTDTMTWTKLVVQGSSPGVRAGHASVNIGTKLYVIGGVGDKHYYNDVWVLDVSTCSWTQLDISGQQPQGRFSHTAIVTDLDIVIYGGCREDERPLNQLLVLQLGAEHPNGRYNISMCKIFGQHWNQEKRRFLPGSEDISSMFLGNNEIDMKGSYESEESKQPFQFSSDTLHHKKIRPTNSKAWEIDLEQEEHSLSPSHNSSPSQSDQEQIPVQKSVDSLTSCKGLNFFRQLNKIPRNYQADSVASNQKLPRLVIQKTRHRLQISRENKRVEQYVHAGFGRQGTPFPAVEHRPMEPGCIQNLVGAEVRGKVDGAFDSGLLMTATVNGKIFRGVLFSPAPGFVSRGAILAQNHASPATQIPIVHQFPNSNHIDTLKPSHHPTTFSGQESSHSSRQTQVTRTYPVIRAAPSLAKESNPRSDLQGVVLTLGGPASGHA >Potri.005G013000.2.v4.1 pep chromosome:Pop_tri_v4:5:1022467:1026794:1 gene:Potri.005G013000.v4.1 transcript:Potri.005G013000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013000.v4.1 MGSLGREASKKMEMWLYPKVSGFNPSERWGHSACYSHGVVYVFGGCCGGLDFSDVLMLNLDTMVWNTLATTGQGPGPRDSHSAVLVGRQMIVFGGTNGSKKVNDLHILDLGTKEWISPECKGTPPLPRESHTATLIGDDRIMVFGGSGEGEANYLNDLHVLDLKSMRWTSPEVKGSIPAPRDSHSAVEIGNKLFVYGGDRGDRYHGDVDVLDTDTMTWTKLVVQGSSPGVRAGHASVNIGTKLYVIGGVGDKHYYNDVWVLDVSTCSWTQLDISGQQPQGRFSHTAIVTDLDIVIYGGCREDERPLNQLLVLQLGAEHPNGRYNISMCKIFGQHWNQEKRRFLPGSEDISQSMFLGNNEIDMKGSYESEESKQPFQFSSDTLHHKKIRPTNSKAWEIDLEQEEHSLSPSHNSSPSQSDQEQIPVQKSVDSLTSCKGLNFFRQLNKIPRNYQADSVASNQKLPRLVIQKTRHRLQISRENKRVEQYVHAGFGRQGTPFPAVEHRPMEPGCIQNLVGAEVRGKVDGAFDSGLLMTATVNGKIFRGVLFSPAPGFVSRGAILAQNHASPATQIPIVHQFPNSNHIDTLKPSHHPTTFSGQESSHSSRQTQVTRTYPVIRAAPSLAKESNPRSDLQGVVLTLGGPASGHA >Potri.015G077900.1.v4.1 pep chromosome:Pop_tri_v4:15:10423360:10426784:-1 gene:Potri.015G077900.v4.1 transcript:Potri.015G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077900.v4.1 MQSTAFTFSPSPSLLKPRRLISSSSTATYSLPPRFDPIRAFSSSKRYDLDSNNVVFPRRSWSLSSASNSSLSRPWNPLPPLVSESKTERFEVRATAVPESAGEGDEKSSLVKTLELGLLFGLWYLFNIYFNIYNKQVLKVFPNPVTVTAVQFAVGTVLVVFMWTFNLYKKPKISGAQLAMILPLAVVHTLGNLFTNMSLGKVAVSFTHTIKAMEPFFSVVLSAMFLGEMPTLWVVGSLLPIVGGVALASVTEASFNWAGFWSAMASNLTNQSRNVLSKKVMVKNEESMDNITLFSIITIMSLVLLAPVTIFMEGVKFTPAYLQSAGLNVKQVYTRSLIAALCFHAYQQVSYMILQRVSPVTHSVGNCVKRVVVIVSSVFFFKTPVSPINSLGTGVALAGVFLYSRVKRIKPKPKTA >Potri.003G075300.1.v4.1 pep chromosome:Pop_tri_v4:3:10265253:10267527:-1 gene:Potri.003G075300.v4.1 transcript:Potri.003G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075300.v4.1 MEPFSACCFIVLILVQGATCSITRQIMTIKFHKKLQEMEGYHPVNATLSFPKASNTKKNIGRVFYPIGYGADPTGAQESCDAIMDALSDAFQVQNGLELLPDVHDLGGVVIDLQGGNYKISKPIRFPSGGGNIVVKKGTVRASETFPGDRHLIELWSPKSRMHNKKVYYEDITFRDILFDSNYRGGGIFTMNSVRTRINNCFFIHFTTQGILVKEGHETFISSSFLGQHPTIGGDKNENKFSGTAIDLESNDNSITDVVVFSAAIGVLLRGEANILTGVHCYNKANVFGGIGIIVKPTAFLTRITNCYLDFTNIVMEDPVQVQVTNGLFIGDAYIVLQSINGKISGLNIVDNMFKGEGRNLNPIVELDGNFTIIDQVVIERNNVRSMSLKSTVGKLTVAGNGTKWVADFSSVLLFPNKISNFQYSFYVEGVPTGNVAHAVTNVSDNMVVVESNKVVNAVVSVVVDQSSMVEEINYL >Potri.003G075300.2.v4.1 pep chromosome:Pop_tri_v4:3:10265253:10267527:-1 gene:Potri.003G075300.v4.1 transcript:Potri.003G075300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075300.v4.1 MLFYCINSCTRCDLFHHTTDHDDKIPQKATRNGRLSSCQCYLVISQGIKHQKDPTGAQESCDAIMDALSDAFQVQNGLELLPDVHDLGGVVIDLQGGNYKISKPIRFPSGGGNIVVKKGTVRASETFPGDRHLIELWSPKSRMHNKKVYYEDITFRDILFDSNYRGGGIFTMNSVRTRINNCFFIHFTTQGILVKEGHETFISSSFLGQHPTIGGDKNENKFSGTAIDLESNDNSITDVVVFSAAIGVLLRGEANILTGVHCYNKANVFGGIGIIVKPTAFLTRITNCYLDFTNIVMEDPVQVQVTNGLFIGDAYIVLQSINGKISGLNIVDNMFKGEGRNLNPIVELDGNFTIIDQVVIERNNVRSMSLKSTVGKLTVAGNGTKWVADFSSVLLFPNKISNFQYSFYVEGVPTGNVAHAVTNVSDNMVVVESNKVVNAVVSVVVDQSSMVEEINYL >Potri.004G048201.1.v4.1 pep chromosome:Pop_tri_v4:4:3878428:3881433:-1 gene:Potri.004G048201.v4.1 transcript:Potri.004G048201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048201.v4.1 MAAEGNEASNGWPLGLMSTRLRVMETIQAAPAEPYSLRIRSSSFSSFSSSNLDTESTASFFQDKSVPLGRLIGIRPGNGGLYFPRRVHADEQGKIAVRAIRAASSEVSGARRADMSHGICIPLLAGTLEKMSRSKSKSRQ >Potri.001G083100.1.v4.1 pep chromosome:Pop_tri_v4:1:6580119:6581882:-1 gene:Potri.001G083100.v4.1 transcript:Potri.001G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083100.v4.1 MASRFLLFFLFTSLLLSISLAEIHFSEIRSDDRQIIPFDEFGFTHLGRLELNVTNIHLSNPNPDLDRSKIGFFLCTRDSWLHVINQLEDGEITCALQSDLIKPVFTFNELVKDQTSLSKTLTHNDADQYTLVFANCLTSLKVSMDVKSAMYNLDKGGKARDYLSAGKTMLPRVYYLLSLIYFGLAGVWIYVLYKKRLTVYRIHFFMLAVVILKTMNLLCEAEDKSYIKRTGYAHGWDVLFYIFSFLKGITLFTLIVLIGTGWSFLKPYLQGKEKKVLMIVIPLQVVANIAQVVIDETGPYGQDWITWKQVFLLVDVVCCCAVLFPIVWSIKNLREAARTDGKAAVNLMKLTLFRQYYIVVICYIYFTRVVVYALETITSYKYLWTSVVAGELATLAFYFFTGYKFKPEAHNPYFVVDDEEEEAAAEALKLEDEFEL >Potri.014G123600.1.v4.1 pep chromosome:Pop_tri_v4:14:8309895:8310762:-1 gene:Potri.014G123600.v4.1 transcript:Potri.014G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G123600.v4.1 MDGYGYGSGQRGDRRLEIVSGKGFGFGNSNQIFATRPHSPNVASIPPRRSLSSSSKPWGGLTDPEMKRKKRIAKYKVYTVEGKVKASLRRGICWIKNKCSKIIHGY >Potri.016G047200.2.v4.1 pep chromosome:Pop_tri_v4:16:3027968:3030622:-1 gene:Potri.016G047200.v4.1 transcript:Potri.016G047200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047200.v4.1 MASLCLALGQHPLFPALPSERARDMEVEHFSHPDHPLILINQVLEYSCELVICSGCEGPIWGPCYSCTSCYFFLHKKCAELPREIKRRIHRRHPLHLLAKSPYKGKYRCNRCTKTFNSFVYHCSFCKFDLDIKCAFQPGFFEVDSQFAHKDHPLILNEEQEYHGAGVVCSLCKEPMSGPSYSCTSCNFFLHKKCAELPPEIKRHIHPEHPLRLLPNHHMICGFCKETCYESFVYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLLNPLSLKSISFTCNACGTERDDSPFMCTTCQLLVHEECISLPRTLKTALHHHPRIIHTYQHQQCIESINKYCGICRLEVDSKYGVYYCPDCDFVAHVNCSVEYGDFETEIVEENEEEQSVTVDDQFMEPSFRVVREIKHGEERIIEEIEHFSHQHNLILIDKVDDDLKCDGCMLPISTPFYRCASCNFFLDKTYIELPRKKKWQYHENQLILSWNRGSHDLYYCHVCNQVSRGLSYFCDICRLSIDVRCFKSLKDSFKHGGHEHPLYLPADRKNILRCNNGGRGPPPWATDVRENIPHCSGCCVSEESKVFFKCVVCDFKLGMKCATLPYKARHEYDDHPLFLTYINENDYQPSCIICEEDRDPKLWFYRCEKCDFDAHPECALGKYPYVKPGGVHTYPKHPHPLVLVVKTEDYRPQACDTCGEPCDDLALECTDPNCSFIVHKERRQCFQSLIW >Potri.016G047200.3.v4.1 pep chromosome:Pop_tri_v4:16:3027956:3029748:-1 gene:Potri.016G047200.v4.1 transcript:Potri.016G047200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047200.v4.1 MSGPSYSCTSCNFFLHKKCAELPPEIKRHIHPEHPLRLLPNHHMICGFCKETCYESFVYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLLNPLSLKSISFTCNACGTERDDSPFMCTTCQLLVHEECISLPRTLKTALHHHPRIIHTYQHQQCIESINKYCGICRLEVDSKYGVYYCPDCDFVAHVNCSVEYGDFETEIVEENEEEQSVTVDDQFMEPSFRVVREIKHGEERIIEEIEHFSHQHNLILIDKVDDDLKCDGCMLPISTPFYRCASCNFFLDKTYIELPRKKKWQYHENQLILSWNRGSHDLYYCHVCNQVSRGLSYFCDICRLSIDVRCFKSLKDSFKHGGHEHPLYLPADRKNILRCNNGGRGPPPWATDVRENIPHCSGCCVSEESKVFFKCVVCDFKLGMKCATLPYKARHEYDDHPLFLTYINENDYQPSCIICEEDRDPKLWFYRCEKCDFDAHPECALGKYPYVKPGGVHTYPKHPHPLVLVVKTEDYRPQACDTCGEPCDDLALECTDPNCSFIVHKERRQCFQSLIW >Potri.016G047200.1.v4.1 pep chromosome:Pop_tri_v4:16:3027968:3030683:-1 gene:Potri.016G047200.v4.1 transcript:Potri.016G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047200.v4.1 MSFNMASLCLALGQHPLFPALPSERARDMEVEHFSHPDHPLILINQVLEYSCELVICSGCEGPIWGPCYSCTSCYFFLHKKCAELPREIKRRIHRRHPLHLLAKSPYKGKYRCNRCTKTFNSFVYHCSFCKFDLDIKCAFQPGFFEVDSQFAHKDHPLILNEEQEYHGAGVVCSLCKEPMSGPSYSCTSCNFFLHKKCAELPPEIKRHIHPEHPLRLLPNHHMICGFCKETCYESFVYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLLNPLSLKSISFTCNACGTERDDSPFMCTTCQLLVHEECISLPRTLKTALHHHPRIIHTYQHQQCIESINKYCGICRLEVDSKYGVYYCPDCDFVAHVNCSVEYGDFETEIVEENEEEQSVTVDDQFMEPSFRVVREIKHGEERIIEEIEHFSHQHNLILIDKVDDDLKCDGCMLPISTPFYRCASCNFFLDKTYIELPRKKKWQYHENQLILSWNRGSHDLYYCHVCNQVSRGLSYFCDICRLSIDVRCFKSLKDSFKHGGHEHPLYLPADRKNILRCNNGGRGPPPWATDVRENIPHCSGCCVSEESKVFFKCVVCDFKLGMKCATLPYKARHEYDDHPLFLTYINENDYQPSCIICEEDRDPKLWFYRCEKCDFDAHPECALGKYPYVKPGGVHTYPKHPHPLVLVVKTEDYRPQACDTCGEPCDDLALECTDPNCSFIVHKERRQCFQSLIW >Potri.007G105100.1.v4.1 pep chromosome:Pop_tri_v4:7:12839180:12842952:-1 gene:Potri.007G105100.v4.1 transcript:Potri.007G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105100.v4.1 MNLVSIIAKPEITITKNPFLVSSPLLFFSNKTKSKTSIPRVLSSSMSYNKELAAAKKAVSLAARLCQKMQKAILQSDVQSKSDKSPVTVADYGSQALVSYALQRELPSELFSLVAEEDSEDLLKDGGQETLERITKLVNDILATDGSYSDSTLSTEDIVKAIDCGKSEGGSRGRHWVLDPIDGTKGFLRGDQYAIALALLDEGTVVLGVLACPNLPLPSIAGGSQHSLPGEVGCLFFSVVGGGTYMQPLDSSSAVKVQVNATDNPEEASLFESYEAAHSMHDLSSSIVKKLGVKAPPVRIDSQAKYGALSRGDGVIYLRFPHKGYREKIWDHAAGCIVVSEAGGLVTDVAGNPLDFSRGRYLDLDTGIIVTNQKLMPLLLKAVRESIEEKASSL >Potri.015G032700.1.v4.1 pep chromosome:Pop_tri_v4:15:2521573:2522421:-1 gene:Potri.015G032700.v4.1 transcript:Potri.015G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032700.v4.1 MESDNTNDLYKECLRNHAASLGSYATDGCGEFTLDDTSSPYSLQCAACGCHRNFHRKVTYSNSSNRRDHIMHPPSSETVVMEMIDYAEGNNERDFRPPVMVVESGERSGKKRYRTKFTPEQKEKMLGFAEKLGWKLQRKDEEDEVESFCRGIGISRQVFKVWMHNHKNSSSSTSASTGNASSLTTQEEGG >Potri.T126706.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:214055:219067:1 gene:Potri.T126706.v4.1 transcript:Potri.T126706.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126706.v4.1 MFMASSSSSLPRPGWVYDVFLSFRGEDTRKNFTDHLYTALLQAGIHTFRDDEKLRRGEEISFQLSKAIQESKISIVVFSEGYASSTWCLGELQKILDCRHTTGQIVLPVFYDIDPSDIRKQTGSLAEAFGKHEESFKEEMEKVQKWRKALLEAANLSGLDRRSIANGHESKLIQKIVEDVSSILNPRNINDRLLKRLKTTMISGNGLLDDAEEKQITNKAVRDWLAEYKDAVYEADDFLDEIAYEALRQELEAEAQTFRDQTQKLLSFINPLEIMGLREIEEKSRGLQESLDDLVKQKDALGLINRTGKEPSSHRTPTTSHVDESGVYGRDDDREAILKLLLSEDANRESPGVVSIRGMGGVGKTTLAQHVYNRSELQEWFGLKAWVYVSEDFSVLKLTKMILEEVGSKPDSDSLNILQLQLKKRLQGKRFLLVLDDVWNEDYAEWDKLLTPLKYGAQGSKILVTTRNESVASVMQTVPTHHLKELTEDSCWSLFAKHAFRGENPTAHEELLEIGRAIARKCKGLPLAAVTLGGLLRTKRDVEEWEKILESNLWDLPKDNILPALRLSYLYLLPHLKQCFAYCAIFSKDYSFRKDELVLLWMAEGFLVHSVDDEMERAGAECFDDLLSRSFFQQSSSSFVMHDLMHDLATHVSGQFCFSSRLGENNSSKATRRTRHLSLVDTRGGFSSTKLENIRQAQLLRTFQTFVRYWGRSPDFYNEIFHILSTLGRLRVLSLSNCAGAAKMLCSTSKLKHLRYLDLSQSDLVMLPEEVSALLNLQTLILEDCLQLASLPDLGNLKHLRHLNLEGTGIERLPESLERLINLRYLNISGTPLKEMLPHVGQLTKLQTLTFFLVGGQSETSIKELGKLQHLRGQLHIRNLQNVVDARDAAEANLKGKKHLDKLRFTWDGDTHDPQHVTSTLEKLEPNRNVKDLQIDGYGGVRFPEWVGESSFSNIVSLVLISCRNCTSLPPLGQLASLEKLLIEAFDKVVTVGSEFYGNCTAMKKPFESLKRLFFLDMREWCEWISDEGSREAFPLLDELYIGNCPNLTKALPSHHLPRVTRLTISGCEQLPRFPRLQSLSVSGFHSLESLPEEIEQMGWSPSDLGEITIKGWAALKCVALDLFPKLNSLSIYNCPDLELLCAHERPLNDLTSLHSLIIRECPKLVSFPKGGLPAPVLTRLKLRYCRKLKQLPECMHSLLPSLSHLEIRDCLELELCPEGGFPSKLQSLEIWKCNKLIAGLMQWGLQTLPSLSRFTIGGHENVESFPEEMLLPSSLTSLHIYDLEHVKSLDYKGLQHLTSLTELVISSCPLIESMPEEGLPSSLFSLEIKYCPMLSESCEREKGKDWPKISHIPRIVIFPTSAEQKSSS >Potri.T126706.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:214047:218932:1 gene:Potri.T126706.v4.1 transcript:Potri.T126706.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126706.v4.1 MHRHESKLIQKIVEDVSSILNPRNINDRLLKRLKTTMISGNGLLDDAEEKQITNKAVRDWLAEYKDAVYEADDFLDEIAYEALRQELEAEAQTFRDQTQKLLSFINPLEIMGLREIEEKSRGLQESLDDLVKQKDALGLINRTGKEPSSHRTPTTSHVDESGVYGRDDDREAILKLLLSEDANRESPGVVSIRGMGGVGKTTLAQHVYNRSELQEWFGLKAWVYVSEDFSVLKLTKMILEEVGSKPDSDSLNILQLQLKKRLQGKRFLLVLDDVWNEDYAEWDKLLTPLKYGAQGSKILVTTRNESVASVMQTVPTHHLKELTEDSCWSLFAKHAFRGENPTAHEELLEIGRAIARKCKGLPLAAVTLGGLLRTKRDVEEWEKILESNLWDLPKDNILPALRLSYLYLLPHLKQCFAYCAIFSKDYSFRKDELVLLWMAEGFLVHSVDDEMERAGAECFDDLLSRSFFQQSSSSFVMHDLMHDLATHVSGQFCFSSRLGENNSSKATRRTRHLSLVDTRGGFSSTKLENIRQAQLLRTFQTFVRYWGRSPDFYNEIFHILSTLGRLRVLSLSNCAGAAKMLCSTSKLKHLRYLDLSQSDLVMLPEEVSALLNLQTLILEDCLQLASLPDLGNLKHLRHLNLEGTGIERLPESLERLINLRYLNISGTPLKEMLPHVGQLTKLQTLTFFLVGGQSETSIKELGKLQHLRGQLHIRNLQNVVDARDAAEANLKGKKHLDKLRFTWDGDTHDPQHVTSTLEKLEPNRNVKDLQIDGYGGVRFPEWVGESSFSNIVSLVLISCRNCTSLPPLGQLASLEKLLIEAFDKVVTVGSEFYGNCTAMKKPFESLKRLFFLDMREWCEWISDEGSREAFPLLDELYIGNCPNLTKALPSHHLPRVTRLTISGCEQLPRFPRLQSLSVSGFHSLESLPEEIEQMGWSPSDLGEITIKGWAALKCVALDLFPKLNSLSIYNCPDLELLCAHERPLNDLTSLHSLIIRECPKLVSFPKGGLPAPVLTRLKLRYCRKLKQLPECMHSLLPSLSHLEIRDCLELELCPEGGFPSKLQSLEIWKCNKLIAGLMQWGLQTLPSLSRFTIGGHENVESFPEEMLLPSSLTSLHIYDLEHVKSLDYKGLQHLTSLTELVISSCPLIESMPEEGLPSSLFSLEIKYCPMLSESCEREKGKDWPKISHIPRIVIFPTSAEQKSSS >Potri.014G127900.1.v4.1 pep chromosome:Pop_tri_v4:14:8579608:8581467:-1 gene:Potri.014G127900.v4.1 transcript:Potri.014G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127900.v4.1 MGDRQAVDQQQQLQSPSQLQQQQQQGAAREDMIGCVMALEAALLPCLPARELQAIDRSPHPSHQIDVERHARDFMEAAKKLQLYFIGLQREDQPTMSETLRKDIGMMEEELKVKDELIKRQERLIQGWWKELKDQAEKHNTELERV >Potri.005G128600.1.v4.1 pep chromosome:Pop_tri_v4:5:9702522:9704988:1 gene:Potri.005G128600.v4.1 transcript:Potri.005G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128600.v4.1 MNITRLSRAIIPINHQVRYFVRDPFPNKFTHYLRRAELINSIRLVLRSNNPNSLQTIINTRLLDTFVVTQALRSAPNADAVLSFVDSLKKVDNTAHLSRHQSTLHALATVLAKWQRGLELKALIGEINEGKYGNVRFSFMNLMQWYAATGDLEAVLDVWKQYRNGDKRVCTESYNIVMGLYAQKGRDCEAVRVFYRMIHEGAIPNSRTYTVMIEHLVNSGKLDPAIEIFSVLPLMRIKRTLKQYLVLVEGFVGLERFDGVRTLLDEMRADGKFPGRAMRKALQCLQEAGFVEETEEFLKEMFPDERIKSISNSGDISFDKDGDEDGDEDEDEDENHGCAFADIQEVRLKPWLDPRALAKALNKWSPDVVSALEDAKFVWTTRLVWKVLRNINSPETAWDFFCWVAYQPGFTHCVYTVQRMMTLLAKHGKVELVDQLINKIRSEGMRLPFSTIRLIIDFCGISKNADAALKVFREDRALCGPITKYNLMLLYSSLIRTLTKCKRDSDAIDVLEEMILCGICPDIQTFSGLMYHFASQGDIKTVQKLLTIVRQSDVEPDAYMYKVLIQAYCKCDRAALAWRIFEDMKNSNLIPDAATKDLLVKSLWKEGKLKEAATVEESCDGINSVLPLKQHGHKWTVSSADLAKIYNLYSNSFKLSNGH >Potri.019G012601.1.v4.1 pep chromosome:Pop_tri_v4:19:1725634:1726258:1 gene:Potri.019G012601.v4.1 transcript:Potri.019G012601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012601.v4.1 MGSQRKNQCLTMIIFVAAVFGPCFQQILAGRPLEGEQWLKQNLGNIQSLQKGGSSCTHIPDHGSGPCPLGEMNFAGHIVAHAPPAFPDAIVNFAAASVTNNETQKQDSSS >Potri.007G065250.1.v4.1 pep chromosome:Pop_tri_v4:7:8168626:8169462:1 gene:Potri.007G065250.v4.1 transcript:Potri.007G065250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065250.v4.1 MWNNQIPTRHQSSELEGRKGNPTPTIKISIPRSTWNHLKQTQLHNSKTPGLIRVRPGRPGHGSNRRVDRVLPGYCTGRSLDKPEPIQPPGRPVPGSTRRAGPGLITMLVIVFISGLVPFLLLIFFNFILNNK >Potri.005G257100.2.v4.1 pep chromosome:Pop_tri_v4:5:24766373:24767113:1 gene:Potri.005G257100.v4.1 transcript:Potri.005G257100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257100.v4.1 MSSGWRRTVGNVRSFIGNSLGGLRGGSNVASWIVAGTLAYYLWIKPSQDLKRQQEERAALASSDPYRYIEKRKPIPDPQETGLIYGKKNRTNKSEE >Potri.001G135950.1.v4.1 pep chromosome:Pop_tri_v4:1:11061053:11062329:-1 gene:Potri.001G135950.v4.1 transcript:Potri.001G135950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135950.v4.1 MHPCLIQLGDLIVAKEKGRLAKILKKYDKRTGGLLRLPFIQKVLEQPFFITDLVSKLVKQCEYMIDTVFPVEEEERVKEGREAITVAGEGIFRNTIAALMTMQEIRRGSSTYSHFSLPPLNLPDSDLIQSFQLNSPISIV >Potri.004G167700.1.v4.1 pep chromosome:Pop_tri_v4:4:18590955:18591560:1 gene:Potri.004G167700.v4.1 transcript:Potri.004G167700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167700.v4.1 MHYYRRRSDSIFDAFTLNPLPYPVLLILAVLSIFLGMSWFFSYEDMVETTEEQMGWILLVVPLVLIVIVRWLSSMENPDMIFVMSPWDKRRRTHHRPSEGSSPWGVAAFIVLLLVLVKFQSTFLDSWLV >Potri.002G022200.1.v4.1 pep chromosome:Pop_tri_v4:2:1390392:1393280:-1 gene:Potri.002G022200.v4.1 transcript:Potri.002G022200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022200.v4.1 MGTSKRTKVVTSDREKWDKVFGGLVKLLKNQQEQLETLLKERKILEDRIKTQHERWVSDIRLYDDHILQIKGGLVEKDMACLLEAAKGDLMLGLKQREVSLHKLKLEQTEDELADFQALFGYLSQSLKENSEETANGKGPGHSDLKSGEAKKLEAEVERLKLENEKLVFEKNSEVSALQKGKNFVWNQYDILESNLTNKLRIKEAEVEKANEKIAEVLATAELLQSSNDEKNEIIQRLNTKVAKIEADTKKWKEETSKLSRELELLRKLRTAQITTVMKPCSAPVRTFTSGVKSCGRDCNLVDRKVLQSAVPSKDAEKSIRSLKKKRMDASVFEAPRLFSSSFKIPKVKVPSTPV >Potri.002G030701.7.v4.1 pep chromosome:Pop_tri_v4:2:2031450:2034504:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.10.v4.1 pep chromosome:Pop_tri_v4:2:2031611:2034423:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.2.v4.1 pep chromosome:Pop_tri_v4:2:2031450:2034423:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MDENIPVTPIPGPCGVVSALSASGLATNEFTFVGFLPRHGPSRKERLMASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.8.v4.1 pep chromosome:Pop_tri_v4:2:2031610:2034447:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.6.v4.1 pep chromosome:Pop_tri_v4:2:2031450:2034504:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.5.v4.1 pep chromosome:Pop_tri_v4:2:2031450:2034504:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.1.v4.1 pep chromosome:Pop_tri_v4:2:2031450:2034423:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MDENIPVTPIPGPCGVVSALSASGLATNEFTFVGFLPRHGPSRKERLMASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.4.v4.1 pep chromosome:Pop_tri_v4:2:2031621:2034423:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MDENIPVTPIPGPCGVVSALSASGLATNEFTFVGFLPRHGPSRKERLMASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.9.v4.1 pep chromosome:Pop_tri_v4:2:2031610:2034423:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.002G030701.3.v4.1 pep chromosome:Pop_tri_v4:2:2031621:2034423:-1 gene:Potri.002G030701.v4.1 transcript:Potri.002G030701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030701.v4.1 MDENIPVTPIPGPCGVVSALSASGLATNEFTFVGFLPRHGPSRKERLMASANEARTQIFYVLPHKFSQFLKEFSSLFGVSSFGGALWWRQKNQQPKEEMTLLIEGRTNCSVETPSEVQLEHELRELISCGHTLSTVMAYLIRDAMHQCCINSFPTQMLLKLTTWNPVSFDVLKLDELLNCDWFLLGQNIVAS >Potri.014G088600.1.v4.1 pep chromosome:Pop_tri_v4:14:5760633:5762861:-1 gene:Potri.014G088600.v4.1 transcript:Potri.014G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088600.v4.1 MSQPQQPHRPFFPFGNPFRMLSPKGSQLSPRLLSLLNTFEEALAARLRKLNPKDKGDVLSFTWMKLAMESLCETHTVIKTLITELELPVTEWDEKWIDVYLDITVKLLDICIAFSSELSWLNQGQLFLQCALHKLESNNSEKLMQACSSLDSWRQHIGSKNPRLENCKSILENLVDSLTLPKVKNSAKGKVLMRAMYGVKVQTVFVCSVFAAAFSVNSKNLIDLDVPNTILWAQAYSDLQTTVNGEIREVFSRGKFTFLKELDEVETVVNNLYPMIQDGMGPTEVEAFSSSFSDLGRRAERLSQVLDFLAKEVDGFFKIVLSGRDALLCNLRVSDTVADPFRGSNSEGIMGNRL >Potri.014G088600.2.v4.1 pep chromosome:Pop_tri_v4:14:5759554:5761844:-1 gene:Potri.014G088600.v4.1 transcript:Potri.014G088600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088600.v4.1 MLSPKGSQLSPRLLSLLNTFEEALAARLRKLNPKDKGDVLSFTWMKLAMESLCETHTVIKTLITELELPVTEWDEKWIDVYLDITVKLLDICIAFSSELSWLNQGQLFLQCALHKLESNNSEKLMQACSSLDSWRQHIGSKNPRLENCKSILENLVDSLTLPKVKNSAKGKVLMRAMYGVKVQTVFVCSVFAAAFSVNSKNLIDLDVPNTILWAQAYSDLQTTVNGEIREVFSRGKFTFLKELDEVETVVNNLYPMIQDGMGPTEVEAFSSSFSDLGRRAERLSQVLDFLAKEVDGFFKIVLSGRDALLCNLRVSDTVADPFRGSNSEGIMGNRL >Potri.008G157000.2.v4.1 pep chromosome:Pop_tri_v4:8:10768621:10773315:1 gene:Potri.008G157000.v4.1 transcript:Potri.008G157000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157000.v4.1 MDKPVTEIWRPDPVLFRPPETPREPMEFLSRSWSVSALEVSKVLAPQMVFSSKAIPCGAGGMIQEDIIGELEEGCATVSGNPFSFASSETSQMVLERIMSQSEASPRTSGRLSHSSGPLNGSLTDSPPVSPSEMDDVKFCRPNNPPNVQFRPPAVTPGGNALTVTAAGGGGKTVGRWLKDRREKKKEEVRAHNAQLHAAISVAGVAAAVAASAAATAATSGAGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLASVVNSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVDKGVGVGVGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTREGDLHWKIVSVYVNKMNQVMLKMKSKHVAGTITKKKKNVVIEVIKNMPSWPGRHLLERGEQRRYFGLKTLQRGVVEFECSNQKEYDLWTQGVARLLSIAAEKGNRHRMRV >Potri.008G157000.1.v4.1 pep chromosome:Pop_tri_v4:8:10768621:10773320:1 gene:Potri.008G157000.v4.1 transcript:Potri.008G157000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157000.v4.1 MDKPVTEIWRPDPVLFRPPETPREPMEFLSRSWSVSALEVSKVLAPQMVFSSKAIPCGAGGMIQEDIIGELEEGCATVSGNPFSFASSETSQMVLERIMSQSQEASPRTSGRLSHSSGPLNGSLTDSPPVSPSEMDDVKFCRPNNPPNVQFRPPAVTPGGNALTVTAAGGGGKTVGRWLKDRREKKKEEVRAHNAQLHAAISVAGVAAAVAASAAATAATSGAGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLASVVNSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVDKGVGVGVGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTREGDLHWKIVSVYVNKMNQVMLKMKSKHVAGTITKKKKNVVIEVIKNMPSWPGRHLLERGEQRRYFGLKTLQRGVVEFECSNQKEYDLWTQGVARLLSIAAEKGNRHRMRV >Potri.015G056100.1.v4.1 pep chromosome:Pop_tri_v4:15:7754658:7756750:1 gene:Potri.015G056100.v4.1 transcript:Potri.015G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056100.v4.1 MEAARKVKDVSPHEFVKAYAAHLKRSGKVELPPWTDIVKTGKLKELAPYDPDWYYIRAASMARKIYLRGGLGVGAFKRIYGGSKRNGSRPPHFCKSSGSIARHILQQLQNMNIIDLDLKGGRKITSSGQRDLDQVAGRIAIAS >Potri.015G014550.2.v4.1 pep chromosome:Pop_tri_v4:15:966762:972724:1 gene:Potri.015G014550.v4.1 transcript:Potri.015G014550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014550.v4.1 MNRKHPAEGRFPARESRGPPRNVQNFTNGPVSPELVKKIMIAKDLIERCLQLYMNKKRVIRTVFEQVGIEPNVTSGVWDQMTRENAEFFEEYYKKLILIDQIEAFNRLVERQCHLQNLASQKASLAPFREGNQHMPVDSRLQFPSSSSGHLQRSTVSSNDSEDAASNSSYLAHMNNGGGMALDSNAADRTPYFGNRNLTETSYSGAKDRHSLSTSSLPPPVEPQWQDFIEPQWQHFIEQQGFDWSSARKIYELTGAHENPASTTLIGSQESSSCSISNYHNGNPALGSFHPAQMNYERGMATYENEAPPFQIPSPVSVGRHLKEYDFILSRDPFSSSSLAPPIEKQGQPSTQQQGQHFMEQQGTGSSFHPAQMNYERGMATYENEAPPFQIPSPVSVERHLKEYDFILSRDPFSSSSLAPLIEKQGQPSTQQQGQHFMEQQGTGSSVQGEKVQLLLH >Potri.015G014550.1.v4.1 pep chromosome:Pop_tri_v4:15:966762:972724:1 gene:Potri.015G014550.v4.1 transcript:Potri.015G014550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014550.v4.1 MNRKHPAEGRFPARESRGPPRNVQNFTNGPVSPELVKKIMIAKDLIERCLQLYMNKKRVIRTVFEQVGIEPNVTSGVWDQMTRENAEFFEEYYKKLILIDQIEAFNRLVERQCHLQNLASQKASLAPFREGNQHMPVDSRLQFPSSSSGHLQRSTVSSNDSEDAASNSSYLAHMNNGGGMALDSNAADRTPYFGNRNLTETSYSGAKDRHSLSTSSLPPPVEPQWQDFIEPQWQHFIEQQGFDWSSARKIYELTGAHENPASTTLIGSQESSSCSISNYHNGNPALGSFHPAQMNYERGMATYENEAPPFQIPSPVSVGRHLKEYDFILSRDPFSSSSLAPPIEKQGQPSTQQQGQHFMEQQGTGSSFHPAQMNYERGMATYENEAPPFQIPSPVSVERHLKEYDFILSRDPFSSSSLAPLIEKQGQPSTQQQGQHFMEQQGTGSSVQESPASTTLIDSREPSSNVDQSLNGPPSEENN >Potri.019G014364.1.v4.1 pep chromosome:Pop_tri_v4:19:2278933:2282461:1 gene:Potri.019G014364.v4.1 transcript:Potri.019G014364.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014364.v4.1 MPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVLVLEEFPSGITVKGKEVGSLRNLETLECHFEGLSDFVEYLRSRDGIQSLSTYKILVGMVDYLYWENINDFPSKTVGLCNLSINRDGDFQVKFLNGIQRLICERIDARSLCDVLSLENATELEDINIRDCNNMESLVSSSWFCYAPPPLPSYNGMFSGLKEFYCGGCKSMKKLFPLVLLPNLVNLERIEVRCCEKMEEIIGTTDEESRTSNPITEFILPKLKTLKLSVLPELKSICSAKLICNSLKKIRVSFCKKLKRMPICLPLLENGQPSPPPSLKKIEASPKEWWETVVEWEHPNAKDVLRPFVKFGYW >Potri.019G004100.1.v4.1 pep chromosome:Pop_tri_v4:19:975094:979615:1 gene:Potri.019G004100.v4.1 transcript:Potri.019G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G004100.v4.1 MGEKKPYLAVLLVQSIYGGMFMLSKAAFNGGMNNYVFVFYRQAAATLFLAPFAFYFEWKNRPTLSFVTFCKIFFLSLFGISLCLDIFGIGIVYASATLAAAISNCLPVVTFFLALLLRMEVLKLRSVSGIAKIVGIIACIAGAITLALYKGPHFNLLCLHHLFESHNSHGIVSHVPSSQTRIKGCFLLFVSNILWGLWLVLQGRVLKDYPSKLLFITLQCFLSTIQLFAIAIGFERDPREWELGWNVRLLAVAYCGIVVTGVTFYLQAWIIEKKGPVFLAMSTPVTLVFTMFFSAILLCEIITLGSVLGGLMLVAGLYSVLWGKSKEEKTNDAKCLKAEVDKERSEMKQVVPVETKGPSLV >Potri.018G033450.1.v4.1 pep chromosome:Pop_tri_v4:18:2537568:2538470:1 gene:Potri.018G033450.v4.1 transcript:Potri.018G033450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033450.v4.1 MYLTLFSSKGSMEEDNNRTTQEPRLYPSKAVFNLNLFLSEKRLFEGFELERLSPRFHFLLLKNINGIEQQWVLQLKEFVASVSITLQTLYPCLFSPGIKVKTSAVIFV >Potri.019G021681.3.v4.1 pep chromosome:Pop_tri_v4:19:412380:417283:-1 gene:Potri.019G021681.v4.1 transcript:Potri.019G021681.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021681.v4.1 MTEPESSRSRPEGTYDVFLSFRGKDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEIHDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVQPIFYNIDPSDVRKQNGSFAKAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGIDRLAHNIIDFLSTATDDVLIVGIHGMPGIGKTTIARVVFNQLCYGFEESCFLSNINETSKQFNGLVPLQKQLLHDIFKQDAANINCVDRGKVLIKERLCRQRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPDESLQLFSWHALRDTEPAEDYIELSKDVVDYCGGLPLALEVMGACLSGKNRDGWKSVIDKLRRIPNHDIQGKLKISYDSLDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLETLRGRSLIKVNAIGKITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVKASEAKSLSTGSFAKMKRLNLLQINGVHLTGSFKLLSRELMLICWLQCPLVYFPSDFTIDNLAVLDMQYSNLKELWKGKKILNRLKIINLSHSQNLIKTPNLHSSSLKKLKLKGCSSLVEVHQSIGNLTSLIFLNLEGCWRLKILPESIVNVKSLKRLNISRCSQLEKLPERMGDMESLIELLADGIENKQFLSSIGQLKYVRRLSLRGYNFSQDSPSWLSPSSTSWPPSISSFISASVLCLKRLLPTTFIDWRSVKSLELSYVGLSDRVTNCVDFRGFSSLEELDLSGNKFSSLPSGIGFLAKLEMMDVQECKYLVSIRDLPSNLVYLFAGGCKSLERVRIPIESKKELYINLHESHSLEEIQGIEGQSNIFWNILVDDCIPSPNKLQKSVVEAFCNGCYRYFIYCLPGKMPNWMSYSGEGCPLSFHIPPVFQGLVVWFVCSLEKVHRHSIYLDIDIIIIIRNKSNGIQLFEDERTKYTYPAPKTGGWIRYISGSEMAMEDYCADDELELYIYSKPIRIAVRNSYPFYLLHIKECGVHVIAGKSNSFEESEVERDTVMPYHLLPHPPCGSITASTPKQWSDYLFPKLQNHNLNLTLDGDI >Potri.019G021681.2.v4.1 pep chromosome:Pop_tri_v4:19:412380:417398:-1 gene:Potri.019G021681.v4.1 transcript:Potri.019G021681.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021681.v4.1 MTEPESSRSRPEGTYDVFLSFRGKDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEIHDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVQPIFYNIDPSDVRKQNGSFAKAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGIDRLAHNIIDFLSTATDDVLIVGIHGMPGIGKTTIARVVFNQLCYGFEESCFLSNINETSKQFNGLVPLQKQLLHDIFKQDAANINCVDRGKVLIKERLCRQRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPDESLQLFSWHALRDTEPAEDYIELSKDVVDYCGGLPLALEVMGACLSGKNRDGWKSVIDKLRRIPNHDIQGKLKISYDSLDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLETLRGRSLIKVNAIGKITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVKASEAKSLSTGSFAKMKRLNLLQINGVHLTGSFKLLSRELMLICWLQCPLVYFPSDFTIDNLAVLDMQYSNLKELWKGKKILNRLKIINLSHSQNLIKTPNLHSSSLKKLKLKGCSSLVEVHQSIGNLTSLIFLNLEGCWRLKILPESIVNVKSLKRLNISRCSQLEKLPERMGDMESLIELLADGIENKQFLSSIGQLKYVRRLSLRGYNFSQDSPSWLSPSSTSWPPSISSFISASVLCLKRLLPTTFIDWRSVKSLELSYVGLSDRVTNCVDFRGFSSLEELDLSGNKFSSLPSGIGFLAKLEMMDVQECKYLVSIRDLPSNLVYLFAGGCKSLERVRIPIESKKELYINLHESHSLEEIQGIEGQSNIFWNILVDDCIPSPNKLQKSVVEAFCNGCYRYFIYCLPGKMPNWMSYSGEGCPLSFHIPPVFQGLVVWFVCSLEKVHRHSIYLDIDIIIIIRNKSNGIQLFEDERTKYTYPAPKTGGWIRYISGSEMAMEDYCADDELELYIYSKPIRIAVRNSYPFYLLHIKECGVHVIAGKSNSFEESEVERDTVMPYHLLPHPPCGSITASTPKQWSDYLFPKLQNHNLNLTLDGDI >Potri.019G021681.1.v4.1 pep chromosome:Pop_tri_v4:19:411321:417410:-1 gene:Potri.019G021681.v4.1 transcript:Potri.019G021681.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021681.v4.1 MTEPESSRSRPEGTYDVFLSFRGKDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEIHDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVQPIFYNIDPSDVRKQNGSFAKAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGIDRLAHNIIDFLSTATDDVLIVGIHGMPGIGKTTIARVVFNQLCYGFEESCFLSNINETSKQFNGLVPLQKQLLHDIFKQDAANINCVDRGKVLIKERLCRQRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPDESLQLFSWHALRDTEPAEDYIELSKDVVDYCGGLPLALEVMGACLSGKNRDGWKSVIDKLRRIPNHDIQGKLKISYDSLDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLETLRGRSLIKVNAIGKITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVKASEAKSLSTGSFAKMKRLNLLQINGVHLTGSFKLLSRELMLICWLQCPLVYFPSDFTIDNLAVLDMQYSNLKELWKGKKILNRLKIINLSHSQNLIKTPNLHSSSLKKLKLKGCSSLVEVHQSIGNLTSLIFLNLEGCWRLKILPESIVNVKSLKRLNISRCSQLEKLPERMGDMESLIELLADGIENKQFLSSIGQLKYVRRLSLRGYNFSQDSPSWLSPSSTSWPPSISSFISASVLCLKRLLPTTFIDWRSVKSLELSYVGLSDRVTNCVDFRGFSSLEELDLSGNKFSSLPSGIGFLAKLEMMDVQECKYLVSIRDLPSNLVYLFAGGCKSLERVRIPIESKKELYINLHESHSLEEIQGIEGQSNIFWNILVDDCIPSPNKLQKSVVEAFCNGCYRYFIYCLPGKMPNWMSYSGEGCPLSFHIPPVFQGLVVWFVCSLEKVHRHSIYLDIDIIIIIRNKSNGIQLFEDERTKYTYPAPKTGGWIRYISGSEMAMEDYCADDELELYIYSKPIRIAVRNSYPFYLLHIKECGVHVIAGKSNSFEESEVERDTVMPYHLLPHPPCGSITASTPKQWSDYLFPKLQNHNLNLTLDGDI >Potri.019G021681.4.v4.1 pep chromosome:Pop_tri_v4:19:411321:417410:-1 gene:Potri.019G021681.v4.1 transcript:Potri.019G021681.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021681.v4.1 MTEPESSRSRPEGTYDVFLSFRGKDTRKTFTDHLYTALVQAGIHTFRDDDELPRGEEIHDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILKCKNRKTGQIVQPIFYNIDPSDVRKQNGSFAKAFVKHEERFEEKLVKEWRKALEEAGNLSGWNLNDMANGHEAKFIKEIIKDVLNKLDPKYLYVPEHLVGIDRLAHNIIDFLSTATDDVLIVGIHGMPGIGKTTIARVVFNQLCYGFEESCFLSNINETSKQFNGLVPLQKQLLHDIFKQDAANINCVDRGKVLIKERLCRQRVLVVADDVARQDQLNALMGERSWFGPGSRVIITTRDSSVLLKADQTYQIEELKPDESLQLFSWHALRDTEPAEDYIELSKDVVDYCGGLPLALEVMGACLSGKNRDGWKSVIDKLRRIPNHDIQGKLKISYDSLDGEELQNAFLDIACFFIDRKKEYVAKVLGARCGYNPEVDLETLRGRSLIKVNAIGKITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVKASEAKSLSTGSFAKMKRLNLLQINGVHLTGSFKLLSRELMLICWLQCPLVYFPSDFTIDNLAVLDMQYSNLKELWKGKKILNRLKIINLSHSQNLIKTPNLHSSSLKKLKLKGCSSLVEVHQSIGNLTSLIFLNLEGCWRLKILPESIVNVKSLKRLNISRCSQLEKLPERMGDMESLIELLADGIENKQFLSSIGQLKYVRRLSLRGYNFSQDSPSWLSPSSTSWPPSISSFISASVLCLKRLLPTTFIDWRSVKSLELSYVGLSDRVTNCVDFRGFSSLEELDLSGNKFSSLPSGIGFLAKLEMMDVQECKYLVSIRDLPSNLVYLFAGGCKSLERVRIPIESKKELYINLHESHSLEEIQGIEGQSNIFWNILVDDCIPSPNKLQKSVVEESQIHLKSRK >Potri.019G069000.1.v4.1 pep chromosome:Pop_tri_v4:19:10967049:10968637:1 gene:Potri.019G069000.v4.1 transcript:Potri.019G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069000.v4.1 MSGPSSCSIYIGNLDERVSDRVLYDILIQAGRVVDLHIPRDRETDKPKGYAFAEYETEEIADYAVKLFSGLVTLYNRTLKFAISGQDKLAQNNLNGVVPVPNSSNRQRPSHPVLINNLEIPNHSMRLSAPSRVSAYPANDSLAPPGVTNLSNGYGSNSNGNSNDSDRRLFGSAVNAISRSRSRWYDTSNPMPYSY >Potri.019G069000.3.v4.1 pep chromosome:Pop_tri_v4:19:10967166:10968065:1 gene:Potri.019G069000.v4.1 transcript:Potri.019G069000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069000.v4.1 MSGPSSCSIYIGNLDERVSDRVLYDILIQAGRVVDLHIPRDRETDKPKGYAFAEYETEEIADYAVKLFSGLVTLYNRTLKFAISGQDKLAQNNLNGVVPVPNSSNRQRPSHPVLINNLEIPNHSMRLSAPSRVSAYPANDSLGNVAYFIFLSPIVLCESLLFLFLSLFAEQVFIFLHC >Potri.008G165100.2.v4.1 pep chromosome:Pop_tri_v4:8:11381707:11383910:-1 gene:Potri.008G165100.v4.1 transcript:Potri.008G165100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165100.v4.1 MGFFSFLGRVLFASLFILSAWQMFNEFGENGGPAVTELIPKLAIFKKHLSSLLGVGILDIDPRHLVAGMIALKGLGGFLFVFGSPFGAYLLLIYLVFSSPILYDFYNYDQNESTYIILLNEFLQSVALFGALLFFIGMKNLIPRRQLKKKTPKAKVG >Potri.005G083600.3.v4.1 pep chromosome:Pop_tri_v4:5:5748568:5754364:1 gene:Potri.005G083600.v4.1 transcript:Potri.005G083600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083600.v4.1 MYLSTSDRPQNADLYECASFFTPYLQQVFGNKFDFFARNFQKSFGSTLSTLRLINESSINLGPHALIHQNLEISASNRTLNKRDGCTNSACMKDSDSEADLPNNSTEGQTHKPEQVEENISVGCLGQELVLHGPANQLACAPSSYPLINMYNNIEKSVVEQVRSNDLKALEIGVAMKRLKLDEELLNLTSESNYLERSKLVMGMSKASFKADKFKTQLEDTRHAELHRNCIDCLVAGLFIMSASLSYSTYVYSYRRIKEATASCSHSHKVSKFGWFVRPFSSLNSWLQILICQVQVVSQMAFGILIILAVAFLLVQRSSSSHRTMPITFILLLLGAVCGFTGKLCVDTLGGSGSLWLLYWEALCSLQFFSNVCTPTLFRILHGPVVAISQGTKPNTIFPYWLRKLLFYATSVLFLPLCCGLLPFAGPGEWTNHFCLLKPNMMCPKTGD >Potri.005G083600.1.v4.1 pep chromosome:Pop_tri_v4:5:5748219:5754385:1 gene:Potri.005G083600.v4.1 transcript:Potri.005G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083600.v4.1 MDRTAPSPSLSTQEPTPTNHPNPTVENDCNPKTINKRKKKRVFKDTAITPPPSQPTSSSSSSSSSVNKSPRVSHKRRSPKVVFAPVRRRGGIGDDGVEAIALPLGMSFAAVVAQVLERKDAAGERMSVDHLSMICSSAVRESLASVFGNKFDFFARNFQKSFGSTLSTLRLINESSINLGPHALIHQNLEISASNRTLNKRDGCTNSACMKDSDSEADLPNNSTEGQTHKPEQVEENISVGCLGQELVLHGPANQLACAPSSYPLINMYNNIEKSVVEQVRSNDLKALEIGVAMKRLKLDEELLNLTSESNYLERSKLVMGMSKASFKADKFKTQLEDTRHAELHRNCIDCLVAGLFIMSASLSYSTYVYSYRRIKEATASCSHSHKVSKFGWFVRPFSSLNSWLQILICQVQVVSQMAFGILIILAVAFLLVQRSSSSHRTMPITFILLLLGAVCGFTGKLCVDTLGGSGSLWLLYWEALCSLQFFSNVCTPTLFRILHGPVVAISQGTKPNTIFPYWLRKLLFYATSVLFLPLCCGLLPFAGPGEWTNHFCLLKPNMMCPKTGD >Potri.001G370000.2.v4.1 pep chromosome:Pop_tri_v4:1:38763247:38769519:-1 gene:Potri.001G370000.v4.1 transcript:Potri.001G370000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370000.v4.1 MGGSGGELRYEISQNAYIKLVLHSLKHKTSAVNGVFVGSSCDDGVQIIDSVPLFHNHLGLLPPLEISLIMIEEYYSARGLGIVGYFHANERSDDVELGNVAKNIGDHIYRYFPQAAILLLDNKKLEALPKGKDGLPVMQLYTRDASKNWKLAGSDVGCRLTTKEPAANAVLLDYISSKKWEDVVDFDDHLDDITKDWLNPELFK >Potri.013G085300.1.v4.1 pep chromosome:Pop_tri_v4:13:7801091:7804117:1 gene:Potri.013G085300.v4.1 transcript:Potri.013G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085300.v4.1 MNASVSSALAASSTTSLATPGSFHRQCCRSSNRFIFTCRPFTSSLHHPFILRVTNDSSRTEPSPAEPANESSEADRIVNGMDFGQLCNEFECISSPLVESTARQLVRDILQLREGNRALGTFAVSVRYKDPVRSFTGREKYKRPLWATGALDNPSVTVQEMIMLSTSILSIKWTITGKPKSFIAGVGGDLIVKVHSKFTLNQISGQVIEHEEFWDLSASSVVDQAFFWTSRRLFATIENGKDLSDLVKSLTNRPSSKKENMEIYPDPSGDPTKFFQRDDSFQRDAYQIALFLAVLYFVVQFLRTTL >Potri.016G130800.2.v4.1 pep chromosome:Pop_tri_v4:16:13427589:13429195:1 gene:Potri.016G130800.v4.1 transcript:Potri.016G130800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130800.v4.1 MATGQAFNPVPAMRTYPPVEHPVVVIGPQYLAQYPVELDVNIDFKVSDINGTLIFQVKSKLLSLHDRRFLKDAAGNTVVHLRKKIMTMHRRWEAFRGKSKEKNDLLFTAKKSKLFQFKTELDVFLGYNKGEVPDFKVHGRHTLAIMPNVDYAFIVALVVVILNKINANDDGDAAINGFMNGLVLCS >Potri.016G130800.1.v4.1 pep chromosome:Pop_tri_v4:16:13427589:13429195:1 gene:Potri.016G130800.v4.1 transcript:Potri.016G130800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130800.v4.1 MATGQAFNPVPAMRTYPPVEHPVVVIGPQYLAQYPVELDVNIDFKVSDINGTLIFQVKSKLLSLHDRRFLKDAAGNTVVHLRKKIMTMHRRWEAFRGKSKEKNDLLFTAKKSKLFQFKTELDVFLGYNKGEVPDFKVKEGYSKSSCSILLGDSNTTLAQVHGRHTLAIMPNVDYAFIVALVVVILNKINANDDGDAAINGFMNGLVLCS >Potri.003G183300.1.v4.1 pep chromosome:Pop_tri_v4:3:18836670:18837669:-1 gene:Potri.003G183300.v4.1 transcript:Potri.003G183300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183300.v4.1 MPHSIIVQKSVLHHPHSRKKAAATITRDCTMVSSSAQLIFFCFFILFSATATTATDHIVGANKGWNPSINYTLWANNQTFYVGDLISFRYQKTQYNVFEVNQTGYDNCTTEGALGNWTSGKDFIPLNEAKRYYFICGNGQCFNGMKVTILVHPLPPPPSGSIAANSTPSGSAAPVVFHKGLVGLRAFVLAFASIWFGSGWI >Potri.006G246200.2.v4.1 pep chromosome:Pop_tri_v4:6:24656859:24664668:-1 gene:Potri.006G246200.v4.1 transcript:Potri.006G246200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246200.v4.1 MENRVGKSHGVGIPKKSRSLDLKSLYETKNSKWYQNSNNLKRKGGGIGDDEKGHKNKKSRKEVCISSFKNVNSSYSKSLKEVYNGSLSSGLKDPRTGLIQRLADSNGFSGASLPLEDGAVKIPRRKRGFVGRRKVDNGSEGGKLARGFGREVGNADQADKLTGEDEGKGVENGSQESKAVVILVSVVGDVDQASKLTGEGKAKQVEHSKAKQKKGSDDLKENRNGELDASRHLKEEDRHDDHSVATKRDSLLKKSDNCPLVVNNGDSSLKKSLRKRSRKKKDMVSNKKRTKEADPSVDASIKISDVLHDEDEENLEENAAMMLSSRFDPSCTGFSSNSKASASPSKDGFQEFAARESSYVSGSESSSVDTDGRVLRPRKQNKEKGNTRKRRHYYEIFSGDLDAHWVLNRRIKVFWPLDQSWYHGLVGDYDKDRKLHHVKYDDRDEEWINLQNERFKLLMLPCEVPAKTRRKRSVTRNKCSNGGKEKLMSRKEKRDLMTEDDSYEGAYMDSEPIISWLARSTHRVKSSPLCALKKQKTSYLSSTRTPLSSLNRDRGKLCSNSASSESVATDGRSGLPVMEKPVYPKGSKLPIVYYRKRFRETSNVLCHESKGVHISASVAESVRSLVHHTVNSGALEEHDTSLGRLNPDEDLDRLDAFDPLWSTNKAGLLRLNISAIEPRWFRFKLSFLLPSVPRHYSFGSEIVWLIHAMALLQYGMLMTTWPRIHLEMLFVDNGVGLRFLLFEGCLKEAVAFVFLVLTIFYQPNEQQGKCADFQLPITSIRFKFSCIQDFRKQFAFAFHNFSEVENSKWIYLDHKLKKHCLLSRQLPLSECTYDNVKALQCGMNQLLSPWACSDATLNKVSHRRSRESIGLVGFSRESTCVNANLSSSKSDKNHRYLPSFALSFTAAPTFFLGLHLKMLMEHSMMHINFLDHDSIEHPEKSSGLLADSCSSVEDCSKEYLDGTPGNDFKALSMGADFDGCISRAKPESQTVDGTDPGSRTLLKGITVEIPSVNLNQHVNKELHSVQRSSDLSWNMNGGIIPSPNPTARRSTWYRNRSSSASFGWSDGRTDFLQNNFGNGPKKPRTHVSYTLPLGGFDYSPRNRGQQQKGFSHKRIRTATEKRTSDISRGSERNLELLSCDANVLITNGDKGWRECGVQVVLELFDHNEWRLGIKLSGTTKYSYKAHQFLQTGSTNRFTHAMMWKGGKEWTLEFPDRSQWVLFKEMHEECYNRNMRAASVKNIPIPGVCLIEENDDNGIEAPFFRGFKYFQQLETDVELALNPSRVLYDMDSDDEKWMLKNRSSPEVNSSSRQISEEMFEKAMDMFEKAAYSQQRDQFTSDEIMKLMAGIGPTGAIKIIHEYWQHKRQRKRMPLIRHLQPPLWERYQQQLREWEQAMERSSTSLPSGCHGKVALEDKPPMYAFCLKPRGLEVPNKGSKQRSHRKFSVAGKSNSFAGDHDGFHPYGRRINGFASGDEKTIYPIHNNESFDDSPLPRISPRFFSPQDACAPRYFSMTGDRSDRNHLQKLRRTKSKKLGTCVSPYGTQMAALYNQRMMDQGNGFHRWNASFSDWPSQQHHQIDFNVRHGLEQLNGSDLDEFRLRDASGAAKHALNMANIKRERAQRLLYRADLAIHKAVVALMNAEAIKASSEDLNGDG >Potri.002G005600.2.v4.1 pep chromosome:Pop_tri_v4:2:311738:316233:-1 gene:Potri.002G005600.v4.1 transcript:Potri.002G005600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005600.v4.1 MRSKYGGGQRRISKSPQKPPSSSTLRPFPQLSPDEANSDEGDANLSEKSSRSDDDVGNGGDWMEVDACLSCNKRGKSKLLVCCVIGCPVSIHEKCANFKLAFDDSGRFCCPYCSYKREVGRAKELFRKAMLAKKALLGFIDPEMVGGEAKRNGGERAEFDGAENRDALVEDGLKVSDCDRCEVMVDDEMDGALPGAVDGSDNGHKSQEEKIQGIESLEDSISNEIRDERNISETHEFETLEGEEGKQEREKDGRILEGGERAESSKDHYVEKEQKQMQQDGCDDEEQKEQEEKHQDGCDDKEQGQCVGEEQVHHDAREANSGGGVAAPKAPHVSDSDTGKSVVLRRRVKHIGKKNIAESLDAKLSKEAPPQPHTIDEKEAKIQKKKVILSKEPRQRLESPKISSNLYPRNEKRQRLNWTADEEDTLKEGVEKFAIPGNKNTPWRKILEFGHRVFDSTRTPTDLKDKWRNMTK >Potri.015G067400.1.v4.1 pep chromosome:Pop_tri_v4:15:9307230:9309349:-1 gene:Potri.015G067400.v4.1 transcript:Potri.015G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067400.v4.1 MIVPQTMTEMWATMGSTIASFMFISAIIHQYCPYEVRLYFGKYTQRIMSFFYPYIKISIHEYAGDRLKRSEAYAAVEAYLSINSSKCAKRLKAEMAKDCSNLVLSMDEYERVKDEFQGIQVWWVSSKVMPPLQSMYPQQERRYYRLTFHKRYRGVISEVYLKHVMQQGKEIRVRNRQRKLYTNGSGNKWQIYKQTMWNHIVFEHPATFDTLAMEPAKKQEIIEDLVTFSESKDFYARIGKAWKRGYLLYGPPGTGKSTMIAAMANLLNYDVYDLELTAVKDNSELRTLLIETTSKSIIVIEDIDCSLELTGQRNKKEEKSPDEDKEKSEKETGKEHHKEETSSKVTLSGLLNFIDGIWSASGGERLIVFTTNYVEKLDPALVRRGRMDKHIELSYCSFEAFKVLSRNYLRLEAHPLFDKIESLMKETKITPADVAESLMPKSPLDDAEKCLSHLIQALEEAEEATEKADKDASRQNAQAATKAAEGRAKEDAAQPQENSH >Potri.012G103600.2.v4.1 pep chromosome:Pop_tri_v4:12:12586812:12589091:1 gene:Potri.012G103600.v4.1 transcript:Potri.012G103600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103600.v4.1 MGCGGSRPDNRADVLPAKLRPLLWKRYEEMKRQKNARIVKDSPNHSKKELLKDGVSDGDSSPRDNDRRSISSQEDDVKVAPAPECDETTTENVKIAKAVELKPEFNKQCEQATLPAGSINIISNETSTQMKEVETPVAGSQGLASAAPYNNETSDKQDSKHGEDGEHKTLEAMDTAMKNVNGIEMCGDKNEQDSELEDELRSMDESCICPGSPSFRVYFIESSNTDDEDKDDDTDKKLSSDQDSSEVIESVNSNELSGTIEKKKGKRGRRFRVIPKGKNLLKVKSCYYPTCSGGHDKARLLSQ >Potri.002G068800.1.v4.1 pep chromosome:Pop_tri_v4:2:4771459:4773259:1 gene:Potri.002G068800.v4.1 transcript:Potri.002G068800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068800.v4.1 MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRATRRTVPFLFVRGDGVILVSPPLRTA >Potri.018G136700.2.v4.1 pep chromosome:Pop_tri_v4:18:15673756:15678994:1 gene:Potri.018G136700.v4.1 transcript:Potri.018G136700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136700.v4.1 MARQRDPFWDFVEKLDDGPFNCTFCGYKFAAATSVSRIKLHLSKVRGRGVAICDKVPEDVQEAAFQAVHGGNKRHKTIASSSNFNENSILTTPQEQNNEVDNLAGDAGRIQAPGTMGQALERFLEEINNVMEDDIENGTGGVVQPGAGSSSSGGLTGNTNETPGDPLPTILHVEVDNVAPQRQHLEGVTGQPVVRGSSHERPLVNHDELQEDSSQPTDPPCLTHGRYHDQLRTPLVNMVGDPGQPVVRHSSREALQRNGDESGRDVFLTEELTGGEFENNKNAIWSWIMNDEASSSIGIYGMGGVGKTTLLTHIYNQLLQEPGTFPHVHWITVSQDFSVYKLQNLIARDIRLDLSNEDNERKRAAKMSKALIEKQRWVLILDDLWNCFDFDVVGIPIQVKGCKLILTTRSFEVCQRMVCQETIKVEPLSMEEAWALFTKILGRIPSEVEEIAKSMARECAGLPLGIKTMAGTMRGVDDICEWRNALEELKQSRVRQEGMDEEVFQILRFSYMHLKESALQQCFLYCALFPEDFMIPREHLIAYLIDEGVIKGLKSREAEFNKGHSMLNKLERVCLLESAEKWGDDERYVKMHDLIRDMAIQIQQENSQCMVKAGEQLRELPGAEEWTENLMRVSLMHNQIEKIPSGHSPRCPSLSTLLLCGNQLVLIADSFFEQLHELKVLDLSYTGITKPPDSVSELVNLTALLLIGCKMLRHVPSLEKLRALKRLDLSGSLALEKMPQGMECLCNLSYLIMDGCGEKEFPSGLLPKLSHLQVFVLLEDSVVDNRFIFPLYSPITVKGKEVGCLRKLETLECHFEGCSDFVEYLNSRDKTRLLKKYRIAVGLLHHNHYEHDKNKVIVLSKLSINRDGDFRDMFPEDIQQLTIDECDDAKSLCDVSSLIKYATDLEYIYISSCNSMESLVSSSWYCSAPLPLPSYNGIFTGLKRFNCSGCKSMKKLFPLVLLPSLVNLEEITVEECEKMEEIILGTRSDEEGVMGEESSNNEFKLPKLRLLHLVGLPELKSICNATLICDSLEVIWIIECEKLKRMGICPPLLENGQPSPPPSLKRMYIEPKEWWESVVKWEHPNAKDVLLPFVRFL >Potri.010G205200.1.v4.1 pep chromosome:Pop_tri_v4:10:19615513:19617231:1 gene:Potri.010G205200.v4.1 transcript:Potri.010G205200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G205200.v4.1 MGLITKIKHSSHSEHFLLLKYPREPYECNGCRVLGLGPCYECEHEDCSFYLHEECANATPSALHSFNSKCSLRFHSRAPQRGRRFCDACGQDVLGFVYQCKYKKPHDYHPSCLKLQHTLTAGDGTRLHLRKKLPSKCLNCGSRKTSNKIEGWSYVSSCGHYCYHVACVKDMILKKWKEGYFLQDGNVNETDNYLTLQSAIPSRELELPSRKSSSKARNIWRKAKKAIMLIISALFGDPTTLISVLVQQLLSD >Potri.012G107900.2.v4.1 pep chromosome:Pop_tri_v4:12:12918982:12925781:-1 gene:Potri.012G107900.v4.1 transcript:Potri.012G107900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107900.v4.1 MPFEVMDHRGSTTASSHYFEDIHLPAERQIGFWNPNTMPDHQGGPLPMHYAELSQSILDRDKKEKSLISEQGANMCEHAWSSMDHHPKSWSSLSLQPASYSKGRSRADISAAQWENSLFSSSFSEIFSRKLRFSGNDIHSHQPAKTITSSNGEEEPFESLEELEAKTIGNLLPPEDNMFSGVTTELGHDAQNNNLDDLEDFDLFSSGGGMELEGEGGQGNSGLLGGVPNGQGDSNGSTVVGHPSRTLFVRNINSNVEDSELKAIFEQFGDIRTLYTACKHRGFVMVSYYDIRAARNAMNALQNKPLRCRKLDIHYSIPKDNPSEKDMNQGTIVVFNLDSSISIDELHQIFGVYGEIKEIRESPQRHNDKFIEYYDIRDADAALSALNRSDIAGKQIKVESSLPGGTRSLMQQSEHKQTEPHPYTSKMLLAAIDDQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLVCSDSGKSCSYCAFPEFKLDE >Potri.007G062102.1.v4.1 pep chromosome:Pop_tri_v4:7:7039495:7040130:1 gene:Potri.007G062102.v4.1 transcript:Potri.007G062102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062102.v4.1 MMSIYLSRSFPRSNSSFFLCSGNALQSEVLRLREEMFLVNAGLGTPRICMQDELTGVPINRATRFTNKVGFLAGESLIKERAATWFKDLVGSTDVVAGEPLLLLPRKFRQNRAWMELNKIWRTKKKKKVKGFILKKKVKVKGLKRKRGYLVQVAIAGFITFCRRNKIRKKILNDRFTFTIKSIKSKRTKIVLKSGRSNIDERDEKKKKIIK >Potri.003G169400.1.v4.1 pep chromosome:Pop_tri_v4:3:17805952:17809878:1 gene:Potri.003G169400.v4.1 transcript:Potri.003G169400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169400.v4.1 MESASSGSLKRARTLKNATRVPSCLVDGCTSDLTKCRDYHRRHKVCELHSKSRQVFIKGQEQRFCQQCSRFHSLGEFDEGKRSCRKRLDGHNRRRRKSQPESLSVNSGRIFSNQGTRYLHFGSSQIFSTSVMNAVWTGAAKAESDPMLNTSQSSMNFGGRKNLFPGSLSSNYKEGKQFSFLQGTSSTIPGDSVHLDANSTLGNSGNSQKMFSDGLNRVIDSNRALSLLSSPPSETREIGLSDMMQPDLNSPAQSLIPSLNYNALGMESEPAGSVLVSDGSSGNANLNGQHMFQIEPDGSSANGSHQTLSFSWE >Potri.001G257600.1.v4.1 pep chromosome:Pop_tri_v4:1:27326680:27331618:-1 gene:Potri.001G257600.v4.1 transcript:Potri.001G257600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257600.v4.1 MRSKPENTNGLDADLKDIEYTVNSEPWWRNIGYSSIPPAMTGGNASNLTTPEGHNGSESNDDQSLSSGRLNEEDADANKDSQATASSQLGNGLHYQNLQSVVSSMTRTHDGLSQSPQFELVSHSIACASNPYQDAYYSGMMAYGHQPLGYPHFVGMPHARMLLPLEVAQEPVYVNAKQYPGIIRRRQQRAKAEVEKKLIKSRKPYLHESRHQHAIRRERSSGGRFAKKSGDDASKNTSERKLNGSGPLRASQSGSSSGSEPFSSDSVETLKSSDGQKEARASQVHDTFEAYGYANRDGHYQNHHGLQSSTYGLYLGENKDGDRSRENEDEDHPGRQLRKQAKTSSAHQ >Potri.003G000400.1.v4.1 pep chromosome:Pop_tri_v4:3:293169:294011:1 gene:Potri.003G000400.v4.1 transcript:Potri.003G000400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000400.v4.1 MELSSREGEIPIPLNAFGGGHGHLIQYDHAATHNHIISSTAPQIPSNNGPSITTASIDDNHVPYKKMVRYRECLKNHAAAMGGNATDGCGEFMPSGEEGSIEALTCSACNCHRNFHRREIEGEHTSCGDCYHNNPHFNRVGRKVILGHQTSILAPEALGYPTATGTLVPPRAAAPHHQMIMSYNMGSLPSESDEQEDGGGVVMARPAQLMKKRYRTKFTQEQKEKMLNFAEKVGWKLQKQEETVVQQFCQEIGIKRRVLKVWMHNNKLNLAKKNPSTTTI >Potri.011G046500.1.v4.1 pep chromosome:Pop_tri_v4:11:3644103:3645413:-1 gene:Potri.011G046500.v4.1 transcript:Potri.011G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046500.v4.1 MEQENLKPRETEVHFWGNTPEDEYYKQQGIKASRSSYTSPRGLSLFTRSWLPISTDPVLGVMCMVHGYGNDISWTFQSTAIFLAQMGFACFGLDIEGHGKSQGLKGYVPNVDLVVQDCLSFFDSIKNDTQFHGLPFFLYGESMGGAICLLIHLANPKGFDGAVLVAPMCKISDSIKPRWPISDILLLVAKFLPTLAIVPAASILHKSIKVERKVPIAEMNPMRYRGKPRLGTVVELLRVTDYLSQNLRNVTIPFIVLHGSMDVVTDPKVSESLYEEAKSEDKTIKIYDGMVHSLLFGETDENVEIVRQDIISWLNDRCKQNYQ >Potri.004G132400.1.v4.1 pep chromosome:Pop_tri_v4:4:15231161:15232025:1 gene:Potri.004G132400.v4.1 transcript:Potri.004G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132400.v4.1 MGFNQTIKFFCTFLLFLLISIVAFSSASSCHIVIQPSTPAKELTQYQVVYIKNTNPFILDKEPNKNRKEKRKMMMMKRRKEMIKNFKTRSFSAMLPKGSVPPSGSSPCHNQKPNSKVTFFCDLSTTKP >Potri.012G054300.1.v4.1 pep chromosome:Pop_tri_v4:12:5184533:5184814:1 gene:Potri.012G054300.v4.1 transcript:Potri.012G054300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054300.v4.1 MRTSCMVVCTLLVLLLAKEHAKVDAVTCSPAQLSSCVSAITSSTPPTNLCCSKIKEQKPCLCQYLKNPKLQKFINTPNARKVASTCGTPFPKC >Potri.001G128100.1.v4.1 pep chromosome:Pop_tri_v4:1:10493421:10495175:1 gene:Potri.001G128100.v4.1 transcript:Potri.001G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128100.v4.1 MEEVPEHGKFAVIFTEKSVVKAANPLPKPQRLTLSNLDLLSGRFPVTYFYFYHNPEKVCFSSIMESLKSSLAETLSYYYPFAGQIVQNPNTNEPEIICDDNGALVVEGHANISLKKLDFYNLDQFLQGKLVSLNTEFPLQVQVTRYTCGGISITFTFDHALGDASAFGKFLVSWSEIAQRKPISCMPDHRRNLLARCPPTCHPSLDQTFVKCTIEEIINMPTTQILLKRLYHIDVSSINRLQQLACASGNKRTKIEAFSAYVWKIMVTAIDERHQKCKMGWLVDGRGRVHGAQNLMSNYIGNVLSVAVAEATIAELKQGSISDIASNVHDSISKVTNEAHFLDLIDWIECHRPGLMLSSIVLGRGGPALVLSSGRRFPVAELDFGFGGPVLGTVCTTVEKIGVGYMNQRPSARNDGSWTVSAILWPELAAALESDSIFQPMSASLLRL >Potri.001G253900.1.v4.1 pep chromosome:Pop_tri_v4:1:27008656:27015719:-1 gene:Potri.001G253900.v4.1 transcript:Potri.001G253900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253900.v4.1 MASNLSFTAITDFPLTLGKFPNHPQRIHPFPLLPLNTSSEYGSFKCFASSRRRMTSPLVAHAVKEEVIDQSPNSEATLGSETTPPSSSKLVLVVGGSGGVGQLVVAALLNRNIKSRLLMRDPEKAVALFGKQDEEKMQVYKGDTRNPEDLDPSLFEGVTHVICCTGTTAFPSRRWDGDNTPERTDWEGVRNLVSALPSTLKRIVLVSSVGVTKFNELPWSIMNLFGVLKYKKMGEDFVLKSGLPFTIIRPARLTDGPYTSYDLNTLLKATAGKRRAVVIGQGDKLVGEVSRIVVAEACIQALDIEFTEGEIYEINSVEGEGPGCDPRKWKELFKNPQSQ >Potri.001G041900.5.v4.1 pep chromosome:Pop_tri_v4:1:3049374:3049917:-1 gene:Potri.001G041900.v4.1 transcript:Potri.001G041900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041900.v4.1 MQDQNLTPELSERRKALFEPLEPVTNINGKRSSAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRAEVERENAMLQDQISMLMNVLQENEPMGDEDLGNEGPDEP >Potri.001G041900.1.v4.1 pep chromosome:Pop_tri_v4:1:3049100:3052198:-1 gene:Potri.001G041900.v4.1 transcript:Potri.001G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041900.v4.1 MEKEDDNNKTKDVRMVEVEPLNGFSPVSSTRIFWKSRKRSASGRNLDKVTEDNVNETPNKQEESSNDEKMQDQNLTPELSERRKALFEPLEPVTNINGKRSSAESLLPPPDFDAASYPKGWLIGKKRKLVNVDVVESMRRIAVQEMNRKDREIDGLNEQLEEDARCLEHLQLQLLQEKSKRAEVERENAMLQDQISMLMNVLQENEPMGDEDLGNEGPDEP >Potri.004G016700.5.v4.1 pep chromosome:Pop_tri_v4:4:1200935:1207021:-1 gene:Potri.004G016700.v4.1 transcript:Potri.004G016700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G016700.v4.1 MSVVGFDFGNENCVIAVAKERGIDVLLNDESNRETPAVVSFFEKQRFMGSEGAASLTMNPKSTVSQVKRLIGRKFKEVEVQDDLKLFPFEIVEGHDGGILIKVQYLGEIHEFSPVQILGMLFSHLKQIAEKSLEMPISDCVIGIPCYFTDLQRRAYLDAAAIAGLRPLRLLHDCTATALGYGIYKNDISNAGPTYVVFVDIGHCDTQVCLASFESGQMKILSHAFDRNLGGRDFDEVLFSYFAALFKEKDDIDVCTNMKASIRLRASCEKLKKVLSANAEAPLNIECLMDEKDVRGFIKREEFERLSSGLVESISVPCRKVLANSGLTVEKIHSVELVGSGSRIPAITRMLASLFKREPSRRINASECVARGCALQCAMLSPIFRVREYQVQDSFPFSIGLSSDKVPICTLPNSTLFPKGQAFPSLKILALHRNNMFQMEAFYADPNELPFGIASQISSFMIGPFPVYQLEMVKVKVRVQLNLHGIVNIEAFMQIEDGAEVTNVTSENMVAKSDHSPSVEQNGAEVTNVAQSAPSSIPADEIRKGKIFKRLEIPVSEEVYGGMTKAELSEAEKIELQLAQQDLKMERIKDKKNALESYVYEMRDKIFSKYQSFATESERNEISINLEKTEEWLYEDEPDDESENIYNQKLEDLRKLVDPIEIRYKEDEAREKARKDLLSCIADYRMNAGSLTAGERDAVIDECNKAENWLQEKTQQQDSLPKNVDPVLWSCEIKRKAEGFDATCKYITKSLPRTDDSDHIDKPDDGELD >Potri.002G121900.1.v4.1 pep chromosome:Pop_tri_v4:2:9232644:9235437:1 gene:Potri.002G121900.v4.1 transcript:Potri.002G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G121900.v4.1 MFEMESLYHHLALLFFLFLVVKILFRQKQNLPPSPFALPIIGHLHLFKHPQSLQTLSSQYGPILFLKFGWRSTLVVSSPSAVEECFTKNDIIFANRPQSMAGDHLTYNYTGFVWAPYGHLWRSLRRISVIELFASKSLQKSSIIREEEVCSLLRRLLKARNGVTAKVDLKFLFSLLTCNVMMRLAAGKPCIDEEVAGTKVEKQLCQEFKERFSPGLGMNICDFIPILRLIGYKGLEKSMKKLQSSRDKYLQHLIDEIRMRRTSSSSKTAEQWKREGKSSVIETFLSLQDLEPEFLTDTVIKNVLVMMFVAGTETSAVTLEWAMALLLNHPKAMQKLKAEIDEHVGHGRLLNESNIVKLPYLRCVIKETLRLYPPAPLLLPHFSSGACTVGGFDIPQGTTLVVNAWAMHRDPKLWEESNEFKPERFEAGLGEQEGFKYIPFGTGRRVCPGASMGLQMVSIALGALVQCFEWDKVAPVEDMSHSPGISLSKVKPLEALCCPRGDLTTLLYHP >Potri.008G053601.1.v4.1 pep chromosome:Pop_tri_v4:8:3148033:3151914:-1 gene:Potri.008G053601.v4.1 transcript:Potri.008G053601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053601.v4.1 MRIVGLTGGISSGKSTVSNLFKSHGIPVVDADIVARDVLRKGTGGYKGVVAAFGEDILQANGEVDRPKLGQIVFSDPGKRQLLNRLLAPYISSGIFWEILSLWLKGYKVIVLDIPLLFEAKMDKWTKPITVVWGLTLKHSFSDSWQETESTRKMPGIE >Potri.009G162400.1.v4.1 pep chromosome:Pop_tri_v4:9:12506459:12508838:-1 gene:Potri.009G162400.v4.1 transcript:Potri.009G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G162400.v4.1 MVSVSSSLLYCLSLLSLFPFISSSITIPLQHPQTNQIPFQDQYQKLNHLVTTSLARARHLKNPQTTPATTTTAPLFSHSYGGYSVSLSFGTPPQTLSFIMDTGSDIVWFPCTSHYLCKHCSFSSSSPSSRIQPFIPKESSSSKLLGCKNPKCSWIHHSNINCDQDCSIKSCLNQTCPPYMIFYGSGTTGGVALSETLHLHSLSKPNFLVGCSVFSSHQPAGIAGFGRGLSSLPSQLGLGKFSYCLLSHRFDDDTKKSSSLVLDMEQLDSDKKTNALVYTPFVKNPKVDNKSSFSVYYYLGLRRITVGGHHVKVPYKYLSPGEDGNGGVIIDSGTTFTFMAREAFEPLSDEFIRQIKDYRRVKEIEDAIGLRPCFNVSDAKTVSFPELRLYFKGGADVALPVENYFAFVGGEVACLTVVTDGVAGPERVGGPGMILGNFQMQNFYVEYDLRNERLGFKQEKCK >Potri.018G147873.1.v4.1 pep chromosome:Pop_tri_v4:18:15910844:15911682:-1 gene:Potri.018G147873.v4.1 transcript:Potri.018G147873.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147873.v4.1 MAFQVTTSLFGIESKTLLADIKSPHLPYMSIKADPTYEFTSIFFSKTSPSTHLPKPKSPHAPRTFTSVISSGSNPSSLISINNQIASLAKPILEYPEIIEFHDTKSLSPISSNTFRANPISPHLASIVVKE >Potri.007G080600.1.v4.1 pep chromosome:Pop_tri_v4:7:10495366:10503352:-1 gene:Potri.007G080600.v4.1 transcript:Potri.007G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080600.v4.1 MDLSTKLHSTFFTGSTFFNPRQKSTLFKPNPSLLSTKYNTNPFKFPTRRSNYKTKARLSTATVETSGADSKTDIESLFSSNSDVEFDRKRSNKQSNGGASGISSGIKLENISKSYKGVTVLKDVTWEVKKGEKVGLVGVNGAGKTTQLRIITGQEEPDSGNVIKAKANMKIAFLSQEFEVSMSRTVKEEFMSAFKEEMEIAERLEKVQKAIEGAVEDLDLMGRLLDEFDLLQRRAQAVDLDEVDAKISKLMPELGFSPEDSDRLVASFSGGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLQKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYIISKAEWVEAQLAAWEKQQKEIEHTRELISRLGAGANSGRASSAEKKLERLQEEDQIEKPFQHKQMKIRFPERGRSGRSVVAINNLEFGFEDKVLFNKTNLMIERGEKIAIIGPNGCGKSTLLKLIMGLEKPTGGQIMVGEHNVLPNYFEQNQAEALDLDKTVIQTVEEVAEDWRLDDIKGLLGRCNFKADMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYSGTVITVSHDRYFIKQIVNRVVEVKDDKLQDYAGDYNYYLEKNLDAREKELEREAELEDKAPKVKAKSKMSKAEKEARKKQKMKAFQAAKQKSKGSKNAKRWN >Potri.012G126500.3.v4.1 pep chromosome:Pop_tri_v4:12:14286582:14291011:-1 gene:Potri.012G126500.v4.1 transcript:Potri.012G126500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PtVNS06 MNTFTHVPPGFRFHPTDEELVDYYLRKKVNSRRIDVDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKQDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRLPTMRKVSEHESVCWYDDQVAFMHDLDSPKQNSQPDLGYQFPYPCKKEIDLQYQIPHDHFLQLPLLQSPKLLQSAPTISCNSINAAYGLDINQASTIQSSTLTQEDHIQQAHEQSFPSIYGSNNINEQAVDQVTDWRVLDKFVASQLSQEDVVKENNHPNASNNIFNTSSIHAPNIFARHLSKQDTSVPENASTSTSSCQIDLWK >Potri.012G126500.2.v4.1 pep chromosome:Pop_tri_v4:12:14286585:14291012:-1 gene:Potri.012G126500.v4.1 transcript:Potri.012G126500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PtVNS06 MNTFTHVPPGFRFHPTDEELVDYYLRKKVNSRRIDVDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKQDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRLPTMRKVSEHESVCWYDDQVAFMHDLDSPKQNSQPDLGYQFPYPCKKEIDLQYQIPHDHFLQLPLLQSPKLLQSAPTISCNSINAAYGLDINQASTIQSSTLTQEDHIQQAHEQSFPSIYGSNNINEQAVDQVTDWRVLDKFVASQLSQEDVVKENNHPNASNNIFNTSSIHAPNIFARHLSKQDTSVPENASTSTSSCQIDLWK >Potri.012G126500.4.v4.1 pep chromosome:Pop_tri_v4:12:14286582:14291011:-1 gene:Potri.012G126500.v4.1 transcript:Potri.012G126500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PtVNS06 MNTFTHVPPGFRFHPTDEELVDYYLRKKVNSRRIDVDVIKDVDLYKIEPWDLQELCRIGTEEQNEWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKAIYSKQDLIGMRKTLVFYKGRAPNGQKSDWIMHEYRLETDENGTPQEEGWVVCRVFKKRLPTMRKVSEHESVCWYDDQVAFMHDLDSPKQNSQPDLGYQFPYPCKKEIDLQYQIPHDHFLQLPLLQSPKLLQSAPTISCNSINAAYGLDINQASTIQSSTLTQEDHIQQAHEQSFPSIYGSNNINEQAVDQVTDWRVLDKFVASQLSQEDVVKENNHPNASNNIFNTSSIHAPNIFARHLSKQDTSVPENASTSTSSCQIDLWK >Potri.016G086400.1.v4.1 pep chromosome:Pop_tri_v4:16:6796427:6798744:-1 gene:Potri.016G086400.v4.1 transcript:Potri.016G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GT43B MGSVERSKRRVQLWKKAIVHFGLCFVMGFFTGFAPAGKASIFTSHVAASNKSQSLPQPVEMTLHQQAASTPHASNVNRSLIAETAVPAPPSSKESEHATFLGKEETESKLAPRRLAIIVTPTSTKDPYQGVFLRRLANTIRLVPPPLLWIVVEGQSDSDEVSEVLRKTGIMYRHLVFKENFTDPEAELDHQRNVALRHIEKHRLSGIVHFAGLSNVYDLGFFDEIRQIEVFGTWPMALLSANEKKVIIEGPVCDSSQVIGWHLRKMNNETDKRPPIHISSFGFNSSILWDPERWGRPSSVQQTSQNSIKFVKQVALEDETKLKGIPPEDCSKIMLWRLNLPTSKSPSYQENQEDKIV >Potri.001G242333.1.v4.1 pep chromosome:Pop_tri_v4:1:23304635:23310967:-1 gene:Potri.001G242333.v4.1 transcript:Potri.001G242333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242333.v4.1 MLLLRFSIQRARNLKALKPSIFALRSSYCFSTGAAEPSSSLPSPPRVPNLIGGKFVDSQSSSTIDVINPATQEAVSRVPFTTNEEFRAAVSAAKQAFPAWRNTPITTRQRVMLKLQELIRRDIDKLAMNITTEQGKTLKDAHGDVFRGLEVVEHACGMATLQMGEYVPNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASIILAELAMEAGLPDGVLNIVHGTNDVVNAICDDDDIRAISFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHAIVLPDANVDATLNALVAAGFGAAGQRCMALSTVVFVGDPESWENKLVERAKSLKVNSGMEPDADLGPVISKQAKERVCRLIQSGVESGARLLLDGRNIVVPGFEHGNFIGPTILSGVTADMECYKEEIFGPVLLCMEAGSFEEAINILNRNKYGNGAAIFTASGAAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFYTQIKTITQQWKDLPGGSGVSLAMPTSQKL >Potri.018G020800.6.v4.1 pep chromosome:Pop_tri_v4:18:1482905:1486102:-1 gene:Potri.018G020800.v4.1 transcript:Potri.018G020800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020800.v4.1 MQEIRGRNYSQARVLAFNPESLVLVESQHCGSVLERKPSRPVFTAGRGLKKDPLALSLRPFCTFKENKGSGFLWIDGIGDGTSPPAHRKPVRDFDLEKVPEDEADESADLEGIPKQWKGSNLPESTELFQDFGSSGEVALTSPKSELHNSHDDDVDSFPKDPVKCSQDSSILEPKQLDEFNIQRSIHLETNSNLAQKNLNLPAVAKDSSNGSNSIKSQDTEFCKKKDTCVSRDTSCKEDQASPRSKEVFLSKCEEVPLISQDVLRSSASSTHTVYEIKHTDSNSHSNGEHENTISLEKTPVVTKDNQNKKGKSVLYEECESLAAEILLSFAPNKSQADTERHSAEAESGNSYGDLTTTDEKPSLCQKRCATFSSGGRVYESLSWTHSANRMRTIKRHQQ >Potri.018G020800.5.v4.1 pep chromosome:Pop_tri_v4:18:1482908:1486043:-1 gene:Potri.018G020800.v4.1 transcript:Potri.018G020800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020800.v4.1 MDQIRKTMMQHEALFKEQVQALHKLYNIQKAAMQEIRGRNYSQARVLAFNPESLVLVESQHCGSVLERKPSRPVFTAGRGLKKDPLALSLRPFCTFKENKGSGFLWIDGIGDGTSPPAHRKPVRDFDLEKVPEDEADESADLEGIPKQWKGSNLPESTELFQDFGSSGEVALTSPKSELHNSHDDDVDSFPKDPVKCSQDSSILEPKQLDEFNIQRSIHLETNSNLAQKNLNLPAVAKDSSNGSNSIKSQDTEFCKKKDTCVSRDTSCKEDQASPRSKEVFLSKCEEVPLISQDVLRSSASSTHTVYEIKHTDSNSHSNGEHENTISLEKTPVVTKDNQNKKGKSVLYEECESLAAEILLSFAPNKSQADTERHSAEAESGNSYGDLTTTDEKPSLCQKRCATFSSGGRVYESLSWTHSANRMRTIKRHQQ >Potri.018G020800.7.v4.1 pep chromosome:Pop_tri_v4:18:1482915:1485996:-1 gene:Potri.018G020800.v4.1 transcript:Potri.018G020800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020800.v4.1 MQEIRGRNYSQARVLAFNPESLVLVESQHCGSVLERKPSRPVFTAGRGLKKDPLALSLRPFCTFKENKGSGFLWIDGIGDGTSPPAHRKPVRDFDLEKVPEDEADESADLEGIPKQWKGSNLPESTELFQDFGSSGEVALTSPKSELHNSHDDDVDSFPKDPVKCSQDSSILEPKQLDEFNIQRSIHLETNSNLAQKNLNLPAVAKDSSNGSNSIKSQDTEFCKKKDTCVSRDTSCKEDQASPRSKEVFLSKCEEVPLISQDVLRSSASSTHTVYEIKHTDSNSHSNGEHENTISLEKTPVVTKDNQNKKGKSVLYEECESLAAEILLSFAPNKSQADTERHSAEAESGNSYGDLTTTDEKPSLCQKRCATFSSGGRVYESLSWTHSANRMRTIKRHQQ >Potri.018G020800.4.v4.1 pep chromosome:Pop_tri_v4:18:1482904:1486008:-1 gene:Potri.018G020800.v4.1 transcript:Potri.018G020800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020800.v4.1 MDQIRKTMMQHEALFKEQVQALHKLYNIQKAAMQEIRGRNYSQARVLAFNPESLVLVESQHCGSVLERKPSRPVFTAGRGLKKDPLALSLRPFCTFKENKGSGFLWIDGIGDGTSPPAHRKPVRDFDLEKVPEDEADESADLEGIPKQWKGSNLPESTELFQDFGSSGEVALTSPKSELHNSHDDDVDSFPKDPVKCSQDSSILEPKQLDEFNIQRSIHLETNSNLAQKNLNLPAVAKDSSNGSNSIKSQDTEFCKKKDTCVSRDTSCKEDQASPRSKEVFLSKCEEVPLISQDVLRSSASSTHTVYEIKHTDSNSHSNGEHENTISLEKTPVVTKDNQNKKGKSVLYEECESLAAEILLSFAPNKSQADTERHSAEAESGNSYGDLTTTDEKPSLCQKRCATFSSGGRVYESLSWTHSANRMRTIKRHQQ >Potri.009G158700.2.v4.1 pep chromosome:Pop_tri_v4:9:12315291:12316739:1 gene:Potri.009G158700.v4.1 transcript:Potri.009G158700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158700.v4.1 MVAAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKSLRNSKGKLIIISNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVCCLSIIDPGDSDIIKSVPGDH >Potri.006G194600.1.v4.1 pep chromosome:Pop_tri_v4:6:20202330:20204595:-1 gene:Potri.006G194600.v4.1 transcript:Potri.006G194600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194600.v4.1 MNSILLPSSPVLQLPFPQFSLCRQLQPLYISKSRGNRCASQNSSLPHSFQPNSKFSFHSHQPLIATAVPSDEGPVSVINFEDFIEKDWSFLDSEESNSKEHDQNIGRIISAGRIEETSRVLVSLGSEGFVDRLVDTSPCSLLLIVHDSLFLLACVKEKYDKVKCWQGELIHVSEKWAPLDVVFLYFLPALPFKLDEVLGSLAKRFSPGARLVISHPQGREVLEQQKKQYQDVVTSDLPDKMTLQKAAANHSFEMVEYVDEPGFYLTVLRLSDARN >Potri.006G194600.3.v4.1 pep chromosome:Pop_tri_v4:6:20202030:20203788:-1 gene:Potri.006G194600.v4.1 transcript:Potri.006G194600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194600.v4.1 MNSILLPSSPVLQLPFPQFSLCRQLQPLYISKSRGNRCASQNSSLPHSFQPNSKFSFHSHQPLIATAVPSDEGPVSVINFEDFIEKDWSFLDSEESNSKEHDQNIGRIISAGRIEETSRVLVSLGSEGFVDRLVDTSPCSLLLIVHDSLFLLACVKEKYDKVKCWQGELIHVSEKWAPLDVVFLYFLPALPFKLDEVLGSLAKRFSPGKL >Potri.001G167300.1.v4.1 pep chromosome:Pop_tri_v4:1:14257148:14260051:1 gene:Potri.001G167300.v4.1 transcript:Potri.001G167300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167300.v4.1 MAISPVSFLHFLLLLLLNQPSSSSSDHPQTFIIHVSKSHKPSLFSSHHDWYTSIIQSLPPSPQPAKILYNYNHAIHGFSVHLTPTQLAKLRLVPGILSVIPDQIRQLHTTHTPTFLGLSESSRLWQNSGYGDGVIIGVLDTGIWPEHKSLSDSGLSDVPANWKGICETGPDFPASSCNKKLIGARAFHKGYITHKGRPINESIESASPRDTEGHGTHTATTAAGSLAHNASLFQYATGEARGMASKARIAAYKICWSSGCYDSDILAAMDQAIYDGVHVISLSVGATGHAPQYDHDSIAIGAFSASQHGIVVSCSAGNAGPGPYTAVNIAPWILTVGASTIDREFPADVVLGNGWVFSGVSLYSGDPLVDHKLPLVYAGDVGSRYCYMGSISPSKVQGKIVVCDRGGNARVEKGAAVKLAGGLGMILANTADSGEELIADSHLLPATEVGEIAANKIRQYIKSDQSPTATILFRGTIIGTSPAAPKVAAFSSRGPNYLTPEILKPDVIAPGVNILAGWTGFVGPTDLELDPRRVEFNIISGTSMSCPHVSGIAALLRKAYPDWSPAAIKSALVTTAYTLDNSGKNIKDLASGEESTPFIHGAGHVDPNSALDPGLVYDMDTSDYISFLCAIGYDSNRIAVFVREPPSSDICSGKVGSPGNLNYPSISVVFQSTSDVVTYKRVVKNVGGSLDAVYEVKVNSPANVDIKVSPSKLVFSAENKTLSYEITFSSVSLDWPTIIPSTFGSIEWSDGIHGVRGPIAVKWRQGSSRDYI >Potri.003G116100.3.v4.1 pep chromosome:Pop_tri_v4:3:13820362:13824155:1 gene:Potri.003G116100.v4.1 transcript:Potri.003G116100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116100.v4.1 MLAAKLTTILNNYLTANQAKQTHACIIINGFNNLEPLLVRQILLSARNNPRRISQYVHSILYHLPHPDSFSWGWAIRYFSQQGQFKEALYLYVQMQRQGLCPSTFAVSSALRAYARTTYKMGGMSIHAESYKYGFSNCVYVQTALVDLYSKLGDMNTAQKVFDELAEKNVVSWNSILSGHLKSGNLLEAQRVFDQISKKDVISWNSMISGYAKIGDMDRACVLFQQMPEKNYSSWNALISGYVNCGDIKSAWRFFDAMPERNSVSWITMIAGYSKCGDVDSASKLFDQIAKKDLLTFNAMISCFAQNSQPRKALWLFSEMLKAYANIQPDQMTLASVVSACSQLGDLRFASWIESYVNDLGTEIDDQLVTALLDLYAKCGSVDKAYELFHGLNKKDVVAYSAMISGCGINGKVADAIKLFDMMVDAQIHPNLATFTGLLTACNHAGLVKEGYRFFSSMKDHGLVPSTDHYAIMVDLLGRAGRLQDAYELIKSMPMQPHSGVWGALLLACNVHNNVELGEIAAQHCFNLETNATAYYSLLANIYSSAGRWDDVGRLRKLWKEKKLAKLSGCSWTEST >Potri.003G116100.4.v4.1 pep chromosome:Pop_tri_v4:3:13820352:13824003:1 gene:Potri.003G116100.v4.1 transcript:Potri.003G116100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116100.v4.1 MLAAKLTTILNNYLTANQAKQTHACIIINGFNNLEPLLVRQILLSARNNPRRISQYVHSILYHLPHPDSFSWGWAIRYFSQQGQFKEALYLYVQMQRQGLCPSTFAVSSALRAYARTTYKMGGMSIHAESYKYGFSNCVYVQTALVDLYSKLGDMNTAQKVFDELAEKNVVSWNSILSGHLKSGNLLEAQRVFDQISKKDVISWNSMISGYAKIGDMDRACVLFQQMPEKNYSSWNALISGYVNCGDIKSAWRFFDAMPERNSVSWITMIAGYSKCGDVDSASKLFDQIAKKDLLTFNAMISCFAQNSQPRKALWLFSEMLKAYANIQPDQMTLASVVSACSQLGDLRFASWIESYVNDLGTEIDDQLVTALLDLYAKCGSVDKAYELFHGLNKKDVVAYSAMISGCGINGKVADAIKLFDMMVDAQIHPNLATFTGLLTACNHAGLVKEGYRFFSSMKDHGLVPSTDHYAIMVDLLGRAGRLQDAYELIKSMPMQPHSGVWGALLLACNVHNNVELGEIAAQHCFNLETNATAYYSLLANIYSSAGRWDDVGRLRKLWKEKKLAKLSGCSWTEST >Potri.013G062000.1.v4.1 pep chromosome:Pop_tri_v4:13:4577133:4579601:-1 gene:Potri.013G062000.v4.1 transcript:Potri.013G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062000.v4.1 MDRLIGLEPSNLVSIRIEPGQKCYGELTLRNVMYTMPVAFRIQALNKTRYTIKPQSGIISPLATLTIEITYHLSPGSLLPETFPHCEDSFLLHSVVVPGAAIKDATSSMDAVPIDWFTTRKKQVFIDSGIKVMFVGSPILAQLVMDGLMDEIREVLEHSDPAWNPADAVDFHGKTLLHLAISQSRADIVQLLLEFEPDVEFQSRSGYSPLEAAARSGEALIVELLLARRASTERSQSSTWGPIHLAAGGGHLEVLRLLLLKGANVNALTKDGNTALHLAVEERRRDCARLLLASGAKADIRNNGDGDTPLHIAAGLGDENMVKLLLHKGANKDIRNKNGKIAYDIAAEHGHARLFDALKLGDSLCIAARKGEVRTINRLIENGAAINGRDQHGWTALHRAAFKGKTDAVRVLIEKGIDVDAKDEDGYTALHCAVESGHADVIELLVKKGADVEARTNKGVTALQIAESLHYVGITRVLIHGGAAKDGVTQLVVPALHSPFRNGMAGKEVETKPMKKRPLRARTLRGSFDRAMPLAVV >Potri.014G039000.1.v4.1 pep chromosome:Pop_tri_v4:14:2519519:2522140:1 gene:Potri.014G039000.v4.1 transcript:Potri.014G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039000.v4.1 MMSTTRLLRSSKPLRHVGPPSATSSPFSTVKCAGKATGLNGEKMVSGDHGDHKRKSTVAVKASVATSQGSLLTVEPPRVERGLIDLASLLATVSNALLKVLRPPASKSKQWKFQVQKLIEKAIIDCRFFTLFAVAGSLLGSTLCFVEGCFLILESYFQYFNTLSRVSDQGHLVHLLIEAIDSFLVGTAMLIFGVGLYVMFVGSKNPKDEALSLPDSNLFGLFSLKSLPTWVEMRSVSQAKSKIGHAIMMILQVGMLEKFKNIPLATSLDLACFAGAVMFSSACIFLLSRLSPGAMEDRW >Potri.017G137801.1.v4.1 pep chromosome:Pop_tri_v4:17:13903778:13905987:1 gene:Potri.017G137801.v4.1 transcript:Potri.017G137801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137801.v4.1 MVTRRMAATFVQPMGRLSEEDSWHLFQRLAFGMKRTEERAQLEAIGVSIVKKCGGVPLAIKALGNLMRLKDNEDQWIAVKESEIWDLREEASKILPALRLSYTNLSPHLKQCFAFCAIFPKDQVMMREELIALWMANGFISCRREMNLHVTGIEIFNELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAVQECYMSTEGDGKLEIPKTVRHVAFYNKSVASSSKGLKVLSLRSLLLRNK >Potri.008G177300.1.v4.1 pep chromosome:Pop_tri_v4:8:12255418:12257510:-1 gene:Potri.008G177300.v4.1 transcript:Potri.008G177300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177300.v4.1 MESTAGTCRMSGRRRPLHACGVSFMEITRKAYREAKEINGPLGLVTKKLIRLASFASLLECVLRYECLFLAILSFVDDHIILALERKAEGIFPPSRYVFNKVDKLVQIVETLPAKFDSAVNKFPILIHQIPFLDWALSCAICWLNFWLSILTHWGSETTKEKEIVVDINCNNNSIEQTIVQEEDSNAIEFPNHDGNETKVCFCPISATSGSESQTGSPKVVRCTYKDALEKVVKSTNKDALEKGTGESIQNSEESTKQMIQSTVISSEETSSTEQMIQSTVSGEETSTKGETNESMKPKECIAEEETEEARASKEATGEGGDNTAVIEGNLISLPANKKLTSISKEDPILALFESAWHI >Potri.011G111200.1.v4.1 pep chromosome:Pop_tri_v4:11:14125185:14127230:1 gene:Potri.011G111200.v4.1 transcript:Potri.011G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G111200.v4.1 MVSLQNRQTIIAFATLAASIMLVRRIASAFVPSGVQRYFSNLHSFSSHFSTQLLTVVVEKDQRPEFNQLFQAADFYWGTLVTSSIIRGREAEEETAVDKDLEILDVFRNVKIRWKLVFTEVEQFDIEKINTTMQSGRRAYELTFHKEHKDTVLNLYLAYVLEQEKAIKEERRVQRFQKFRNRRWELDDTFEHTTNFKTLVMEPQLKKILLDDLNTFMSAQEKYRRIGKAWNRRYLLCGPPGTGKSDLIAAMANHLNYDIYKLDRTDFNIHYIMHHEVPSKSILVFKDIDCDVELLDQEYENGPENYDEHKRMMSLFLEATDGLWLSCSNELILVYMANNKAMLDPALLGRTDMHINMSYCTISTFKQLAFQYLAVQHHKFFEEIEGLIEDVEVAPEEVLRQLMKSSDMEASFQGLVKFLHDKKFNLEKPETSMKTDSIE >Potri.018G043800.1.v4.1 pep chromosome:Pop_tri_v4:18:3496972:3500056:1 gene:Potri.018G043800.v4.1 transcript:Potri.018G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043800.v4.1 MATESKQSVVKVKPSSSNFDGSKGKIESSMKAKKIESSNKQQQQSVVDSKDKSISVVTKTEVKSKSAPSSSKTTTTTTTTRVRQKKVYSLPGQRYDPPEEREPLRIFYESLSKQIPTSEMAEFWMMEHGLLSPERAKKAHEKKQRKQKMQRFGTPIKSTKPSTSKPESSQKQQQSSKNGDLKAKRKISNDSDDDDFILSSKRRKG >Potri.010G100700.1.v4.1 pep chromosome:Pop_tri_v4:10:12345729:12347761:1 gene:Potri.010G100700.v4.1 transcript:Potri.010G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100700.v4.1 MAYYHSSSSSNLSSLGWDLQNLGVLNADMTLAMDRRTSPFFSSLESDFSTGYLEDALLEFNERSKRRRLLLFSTEHDHAHDQYEKSNDLPESNWNEENFDDWELMSENFSCLSHITGIRGTSDEPMTTSMSNTSEEANVISEIKTPEEGISAPETLDYSSSSSYKDLAGTNSIFEKDNIPHSSDDDGEKRKRRLGTRVVYPFALVKPGGLEGDMTINDINERILMPPTRPVRHPVGDFACKPCVSADGPGLSGKAVVALTRVHTQGRGTITIIRTKG >Potri.008G145800.4.v4.1 pep chromosome:Pop_tri_v4:8:9925200:9930414:1 gene:Potri.008G145800.v4.1 transcript:Potri.008G145800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145800.v4.1 MGLKAAAPFVGMVMAECAQVGLMILSKAAMSDGMTNFIFVLYSNALASLILLPSSFFLHRSERPPLTFPILCGFFLLGLFGWLAQIFGYAGINLSSATLGTAMLNLIPGLTFILAVAFRMEKLDWKSSSALVKSTGTIVSVAGAFIVCYYKGPPLLMAPSTSNLPHELLSQQQNWIIGGLLLAVDCVMASAWLIIQALILKKYPAELIVVFFYCFSVTILSTIVCLFMERDPGAWSLKPTVRWIAVVYSGVFGSAFQVGVSTWCLHKTGPVFVAMFKPLGIVIAAAVSIICLRDTLYLGSLVGATVIVIGFYSVMWGKAKEEKVGVDDGVRSFESSSQKVPLLQSHTEET >Potri.001G350300.1.v4.1 pep chromosome:Pop_tri_v4:1:36269370:36270487:-1 gene:Potri.001G350300.v4.1 transcript:Potri.001G350300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350300.v4.1 MSEGGYAIELYFDPALENQVLKAWNVLARRQISSQLIEIESRPHITLYSTPFIDPTKLESIIKTFASKQEPLPLSLSTIGCLPNDNNILFLAPTPSLSLLQFHSQLCDAMRKEGIEISEEYRPDNWIPYCAVAQDVPKARMGESLCVLRDLKLPVAGYAMDIGLVEFSPVREFFSFVLGNTLEG >Potri.006G066900.1.v4.1 pep chromosome:Pop_tri_v4:6:4895116:4897575:1 gene:Potri.006G066900.v4.1 transcript:Potri.006G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066900.v4.1 MALRKAIGAVKDQTSISIAKVAANASPELEVLVIKATSHDEDPADEKYYREIISLISSSRGYVNACVATISKRIRKTRDWIVALKALMLVHRVLIDGHPLFEEEILYATRRGMRVLSMSGFRDEAHPNSWDHTGFVRFYAMYLDEKVEYAVFERKVREDERKFDEGDDEFGRRDNRNDYEHGMPRRSRSYGDLNGDMVKREQKKEVTPIREMKPERLLGILGQQLRILDRVLACRPTGMAKNDRLVLVALYQMAKESFGLYTEICEALGVLLDRFTEMEYAYCLKGFDIYAGAAKIIDELVMFYGWCKDMGIGRSSEYPEVKKITENLLGTLGVFLQEMTNRRTKNPERSMGENVPAKREQEPEMNEVKALPPPESYTPPPPPELQPKPQPQQVTEDFINLKDDGISADEQGNKLDLALFSGPPTTNTNGAWVAFSSDIGEPEVTSAWQTPSAQSGQADWEMALVESASNLSKQKATLGGGFDPLLLNGMYDQGLVRQHVSTWQLTGGSASSVALPSVGKSATPVLALPAPDETIQPVGNQDPFAASLAVPPPSYVQIADMEMKHHLLASEQKLWQHYGRDGMHGQVSLAKINGASGFYGSNPHPMTMPYGMSQSMAWGIREGTTIRPIKSLVSMTCLHFL >Potri.008G119000.1.v4.1 pep chromosome:Pop_tri_v4:8:7646530:7647918:-1 gene:Potri.008G119000.v4.1 transcript:Potri.008G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119000.v4.1 MLLNSEVLFHRLEKDDMAKKKDRAGLDFNKLVLATLLLTLFYHVIQNLLVGVPFLFYSFFYWFGGGGWLEWQKGFLALSWEHYLCRRCVDVCSMMLLISVCLSAVLI >Potri.010G069700.1.v4.1 pep chromosome:Pop_tri_v4:10:9797546:9799101:-1 gene:Potri.010G069700.v4.1 transcript:Potri.010G069700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069700.v4.1 MEETEEISIAEEKVVDISLKDLAKKLEEFAKARDWEKYHSPRNLLLAMVGEVGELSEIFQWKGEVDKGLPNWEESDKEHLAEELSDVLLYLIRLSDICGIDLGDAATKKIVKNAIKYPPKAC >Potri.011G000600.2.v4.1 pep chromosome:Pop_tri_v4:11:37820:39439:-1 gene:Potri.011G000600.v4.1 transcript:Potri.011G000600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G000600.v4.1 MRRGKKTNLFSCCGSKKQISHVESTSGSNKTSDKQSRTISFWKNISWKIGSSKRKNNLRVFTYQELAVATDNFNPSCSVGEGGFGKVFKGYIESIDQHVGVKQLDSNGRQGNKEFFSEIITLSIVQHPNLVKLIGYCVEDDQRLLVYEFMPNESLETHLLALPPGRKPLDWTTRMKIASGAAKGLEYLHDTADPQIIYRDFKASNILLDEGFHPKLSDFGLAKLGPTEGKDHVSTRVMGTYGYCAPEYQRTGQLTTKSDVYSFGVVFLEIISGRRVVDPSRPKEEQNLLHWAGPLFKDRIQFTKMADPLLEGNYPQKCLYQALAIAAICLQEEADTRPLMADVVTALEFLATPLEEKKPTVISTENIHYVDSVTGGNVKEE >Potri.016G061500.1.v4.1 pep chromosome:Pop_tri_v4:16:4261822:4272158:-1 gene:Potri.016G061500.v4.1 transcript:Potri.016G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061500.v4.1 MHIPPALPFLLLLLLLLLCQHGSVFSADSRYVSYGALQRNNVPCSRRGATYYACADQNFNCSADGGLPCDEVYALRAVISALGLPVPQISRSYCSEKSFYYNSISTTCDCDNTTTICHITTIATYGISVSGHVHEWLSKLIYLKEIDLSQNQLRGSIPNLVNLTRLTSLILGQNHLSGPIPPTLGKLKALEMLDLQKNFLNGAIPPSLGNLMNLATLSLSNNMLSGQIPKELGTLLNLTSLRLEDNQLSGYLPPELGKLSKLEELYLNSNSLSGGLPGSFANLRSLVLFRVAGNKLSGRIPPFIAKWTNLTDLYLMGNDFEGELPPEIFNMPGLQSLWISDLNNTGFSFPKFANMTNINYLIMRNCSLRGQIPKYIGDNWTSLMYLDLSFNNLTGGIPRSMKKLYLNRLGLASNMLNGPIPSWIRDIYKVDLSYNYNFTNPQNSTKNKNRQKLNMTEPNRGSILALSNQCKSKHHSLFINCGGPQTLAEGNQYDEDNATTNFYSIPGKWAYSCSGDFISTTSNSSDYVKKMTCGVSISEESLYKTARLCPVSLIYYGFCLHKGNYTVELHFAETVYTQDEDYSSLGTRIFDVYIQGERKLKDFNIKQKANSTNEAWIEKFPVIVDDHPLEIHFFWAGKGSLYNPPALNGPLVSAISVTPNFDVHDGKLSASQIAGITIGCAFLPLLLFLFIWKMGFLGNRELREKRIEVQKRSFTIQQIIDGTKNFSSKTEIGRGRFGVVYKAELPYQIKLAVKKISPQSKQQGKDEIKSEIGNLMSLSHENLLQLLGGYSNKELHLLIYEYMESGSLHQALFEQKITNSATELPWRARYDICLGIAKGLKYLHEEEEKRIKIKIVHGNINAKNILLDNTHTAKLSDFGLATIYNEEDPFTAIKARGSRVYMAPEHALGKAITVKADVYSYGVVVLEIVSGRSNTEYIPNQEADFLLDTAGRLHQAGRIRDLVDKKLGSRFDNKQALTLLHLAMDCIKLSPTLRPSMSDVVTILSGSKVNALSPNSEVV >Potri.011G169600.2.v4.1 pep chromosome:Pop_tri_v4:11:19251718:19256937:-1 gene:Potri.011G169600.v4.1 transcript:Potri.011G169600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169600.v4.1 MKKHWRISSQRQPPKAMIRIFGYVSLLLLLFMPSSSQARELSSQQSSNNEVVGLLAFKKSSVQSDPKNLLANWSPNSATPCSWSGISCSLGHVTTLNLAKAGLIGTLNLHDLTGALQSLKHLYLQGNSFSATDLSASPSCVLETIDLSSNNLSDPLPRNSFLESCIHLSYVNLSHNSISGGTLRFGPSLLQLDLSRNTISDSTWLTYSLSTCQNLNLLNFSDNKLTGKLGATPSSCKSLSILDLSYNPFSGEIPPTFVADSPPSLKYLDLSHNNFSGSFSSLDFGHCSNLTWLSLSQNRLSGNGFPFSLRNCVLLQTLNLSRNELKFKIPGSLLGSLTNLRQLSLAHNLFYGDIPPELGQACRTLQELDLSANKLTGGLPQTFASCSSMRSLNLGNNLLSGDFLSTVVSKLQSLKYLYVPFNNITGTVPLSLTKCTQLEVLDLSSNAFTGDVPSKLCSSSNPTALQKLLLADNYLSGNVPPELGSCKNLRSIDLSFNNLIGPIPMEVWTLPNLLDLVMWANNLTGEIPEGICVNGGNLETLILNNNLITGSIPQSIGNCTNMIWVSLSSNRLTGEIPAGIGNLVDLAVLQMGNNSLTGQIPPELGKCRSLIWLDLNSNNLTGPLPPELADQAGLVVPGIVSGKQFAFVRNEGGTSCRGAGGLVEFQGIRAERLENLPMAHSCSTTRIYSGMTVYTFTTNGSMIFLDLAYNSLSGDIPQNFGSMSYLQVLNLGHNKLTGNIPDSFGGLKAIGVLDLSHNDLQGFLPGSLGTLSFLSDLDVSNNNLTGPIPSGGQLTTFPQSRYENNSGLCGVPLPPCSSGDHPQSLNTRRKKQSVEVGMVIGITFFILCVFGLSLALYRVKKYQQKEEQREKYIESLPTSGSSSWKLSGVPEPLSINIATFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGEVYKAQLGDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLESVLHDRSKGGCSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALETHLSVSTLAGTPGYVPPEYYQSFRCTSKGDVYSYGVILLELLSGKKPIDSAEFGDDNNLVGWAKQLYREKRCNEILDPELMTQTSGEAKLYQYLRIAFECLDDRPFRRPTMIQVMAMFKELQVDSESDILDGLSLKDASIDEFKEESSS >Potri.011G169600.1.v4.1 pep chromosome:Pop_tri_v4:11:19251946:19257189:-1 gene:Potri.011G169600.v4.1 transcript:Potri.011G169600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169600.v4.1 MKKHWRISSQRQPPKAMIRIFGYVSLLLLLFMPSSSQARELSSQQSSNNEVVGLLAFKKSSVQSDPKNLLANWSPNSATPCSWSGISCSLGHVTTLNLAKAGLIGTLNLHDLTGALQSLKHLYLQGNSFSATDLSASPSCVLETIDLSSNNLSDPLPRNSFLESCIHLSYVNLSHNSISGGTLRFGPSLLQLDLSRNTISDSTWLTYSLSTCQNLNLLNFSDNKLTGKLGATPSSCKSLSILDLSYNPFSGEIPPTFVADSPPSLKYLDLSHNNFSGSFSSLDFGHCSNLTWLSLSQNRLSGNGFPFSLRNCVLLQTLNLSRNELKFKIPGSLLGSLTNLRQLSLAHNLFYGDIPPELGQACRTLQELDLSANKLTGGLPQTFASCSSMRSLNLGNNLLSGDFLSTVVSKLQSLKYLYVPFNNITGTVPLSLTKCTQLEVLDLSSNAFTGDVPSKLCSSSNPTALQKLLLADNYLSGNVPPELGSCKNLRSIDLSFNNLIGPIPMEVWTLPNLLDLVMWANNLTGEIPEGICVNGGNLETLILNNNLITGSIPQSIGNCTNMIWVSLSSNRLTGEIPAGIGNLVDLAVLQMGNNSLTGQIPPELGKCRSLIWLDLNSNNLTGPLPPELADQAGLVVPGIVSGKQFAFVRNEGGTSCRGAGGLVEFQGIRAERLENLPMAHSCSTTRIYSGMTVYTFTTNGSMIFLDLAYNSLSGDIPQNFGSMSYLQVLNLGHNKLTGNIPDSFGGLKAIGVLDLSHNDLQGFLPGSLGTLSFLSDLDVSNNNLTGPIPSGGQLTTFPQSRYENNSGLCGVPLPPCSSGDHPQSLNTRRKKQSVEVGMVIGITFFILCVFGLSLALYRVKKYQQKEEQREKYIESLPTSGSSSWKLSGVPEPLSINIATFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGEVYKAQLGDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLESVLHDRSKGGCSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALETHLSVSTLAGTPGYVPPEYYQSFRCTSKGDVYSYGVILLELLSGKKPIDSAEFGDDNNLVGWAKQLYREKRCNEILDPELMTQTSGEAKLYQYLRIAFECLDDRPFRRPTMIQVMAMFKELQVDSESDILDGLSLKDASIDEFKEESSS >Potri.006G183000.3.v4.1 pep chromosome:Pop_tri_v4:6:18927911:18929571:-1 gene:Potri.006G183000.v4.1 transcript:Potri.006G183000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183000.v4.1 MKKMKAAVAAMDFSPPSYAAMYEDPRIMLKHQSLMQDYDELYKETEAKKRKLQMMRQKKLTLMAEVRFLRRRYKYLTQNKSQKPPMEQNFVQPQNLVTASKKLKEKNSCGNNSSLRPPVPRFDLNQKGKVYIEREATLRNPAPIFDLNKKQKTHIGREVALLNSTIPDLNKKERTYSVKEATVQNNTPIFDLNEISTEEEEHVNGDMLRTEEPKISLMRGASDEMHNERKLSACRNVGNGSSRAGKRKITWQDQVALRV >Potri.006G183000.4.v4.1 pep chromosome:Pop_tri_v4:6:18927730:18930273:-1 gene:Potri.006G183000.v4.1 transcript:Potri.006G183000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183000.v4.1 MMRQKKLTLMAEVRFLRRRYKYLTQNKSQKPPMEQNFVQPQNLVTASKKLKEKNSCGNNSSLRPPVPRFDLNQKGKVYIEREATLRNPAPIFDLNKKQKTHIGREVALLNSTIPDLNKKERTYSVKEATVQNNTPIFDLNEISTEEEEHVNGDMLRTEEPKISLMRGASDEMHNERKLSACRNVGNGSSRAGKRKITWQDQVALRV >Potri.016G085000.3.v4.1 pep chromosome:Pop_tri_v4:16:6650862:6654074:1 gene:Potri.016G085000.v4.1 transcript:Potri.016G085000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085000.v4.1 MAAEAPASPGGGSHESGDQSPRSNSNVREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLSRYREMEGDTKGSAKTGDTSAKKDIHPGPNAQISHQGSFSQGVSYGNSNSQAPHMMVPMQSNE >Potri.016G085000.2.v4.1 pep chromosome:Pop_tri_v4:16:6650663:6654075:1 gene:Potri.016G085000.v4.1 transcript:Potri.016G085000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085000.v4.1 MAAEAPASPGGGSHESGDQSPRSNSNVREQDRFLPIANISRIMKKALPANGKIAKDAKETVQECVSEFISFITSEASDKCQREKRKTINGDDLLWAMATLGFEDYIDPLKIYLSRYREMEGDTKGSAKTGDTSAKKDIHPGPNAQISHQGSFSQGVSYGNSNSQAPHMMVPMQSNE >Potri.006G270400.3.v4.1 pep chromosome:Pop_tri_v4:6:26363007:26368885:1 gene:Potri.006G270400.v4.1 transcript:Potri.006G270400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270400.v4.1 MIMKEYSLNPVLSFFFFLSLMILFLEMGVAQNTTSTIPVNVGVVLDLASLEANIALSCINMALSDFYASHGDYKTRLVLNTRDSKKDVIGAAAAALDLIKNMEVQAILGPTTSMQANFVIDLGEKAQVPIISFSATSPSLTSIRSSYFLRATQNDSAQVNAISAIVQAFGWREAVPIYIDNEYGEGIIPYLTDALQEVDARVPYRSVISPSATDDQIVEELYKLMTMQTRVFIVHMYRSLGTRLFTKAKEIGMMSGGYVWIMTDGLSVDFLSSPNHSVTDTIQGVLGIKPYVPRTKQLEYFRARWKRQFLRDNPNKFDAELNIYGLLAYDAATALALAVEKAGTTNFGFQKANVSRNSSTDLATLSISLNGPNILRALSTTSFKGLTGDYFFVDGQLQSPAFQIINVNGNGGRRIGFWTPTEGLVKTLNPRINKRMNSTSTSRLSTVIFPGDTTVVPKGWEIPTNEKKLKIGVPVKSGFSEFVAVTKDPGSNTATFTGFCIDVFDAVVKALPYALPYEYIPFAKPDGEPAGTYNDLAYQVYLKNYDAVVGDITIVYNRSLYIDYTLPFTESGVSMIVPIADNNRKNAWVFMKPLTWDLWVSSFLFFVFIGFVVWVLEHRINEDFRGSASDQAGTSFWFSFSTMVFAQRERVVSNLSRAVIIIWCFVVLILTQSYTASLTSLLTVEQLKPTVTDVRELIKKGEYVGYQKGSFILGILLDLGFDKSKLMVYSSPEECHHLFSKGSGNGGIAAAFDELAYIKLILSRYCSKYTMIDPKFKTGGFGFVFPKGSPLVPDISRAILNVTEGDEMKQIEGAWFGKKSTCPESSSSISSNSLSLKSFWGLFLIAGLAALLALIIFVVMFVYRERNVLRSSDSTASIWSRIENFFRIFIQRDSTSSTFRQSDLNDRNGISLPTMCAPSPSAYSVDTEYPANRSSASYDSSPNREPPHEVAIDIDQLTNRNQERPAALEIDHENN >Potri.006G270400.2.v4.1 pep chromosome:Pop_tri_v4:6:26362861:26369057:1 gene:Potri.006G270400.v4.1 transcript:Potri.006G270400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270400.v4.1 MIMKEYSLNPVLSFFFFLSLMILFLEMGVAQNTTSTIPVNVGVVLDLASLEANIALSCINMALSDFYASHGDYKTRLVLNTRDSKKDVIGAAAAALDLIKNMEVQAILGPTTSMQANFVIDLGEKAQVPIISFSATSPSLTSIRSSYFLRATQNDSAQVNAISAIVQAFGWREAVPIYIDNEYGEGIIPYLTDALQEVDARVPYRSVISPSATDDQIVEELYKLMTMQTRVFIVHMYRSLGTRLFTKAKEIGMMSGGYVWIMTDGLSVDFLSSPNHSVTDTIQGVLGIKPYVPRTKQLEYFRARWKRQFLRDNPNKFDAELNIYGLLAYDAATALALAVEKAGTTNFGFQKANVSRNSSTDLATLSISLNGPNILRALSTTSFKGLTGDYFFVDGQLQSPAFQIINVNGNGGRRIGFWTPTEGLVKTLNPRINKRMNSTSTSRLSTVIFPGDTTVVPKGWEIPTNEKKLKIGVPVKSGFSEFVAVTKDPGSNTATFTGFCIDVFDAVVKALPYALPYEYIPFAKPDGEPAGTYNDLAYQVYLKNYDAVVGDITIVYNRSLYIDYTLPFTESGVSMIVPIADNNRKNAWVFMKPLTWDLWVSSFLFFVFIGFVVWVLEHRINEDFRGSASDQAGTSFWFSFSTMVFAQRERVVSNLSRAVIIIWCFVVLILTQSYTASLTSLLTVEQLKPTVTDVRELIKKGEYVGYQKGSFILGILLDLGFDKSKLMVYSSPEECHHLFSKGSGNGGIAAAFDELAYIKLILSRYCSKYTMIDPKFKTGGFGFVFPKGSPLVPDISRAILNVTEGDEMKQIEGAWFGKKSTCPESSSSISSNSLSLKSFWGLFLIAGLAALLALIIFVVMFVYRERNVLRSSDSTASIWSRIENFFRIFIQRDSTSSTFRQSDLNDRNGISLPTMCAPSPSAYSVDTEYPANRSSASYDSSPNREPPHEVGLNWVSEIELLYISCLLFISLSCVTEEHLPLPSVPSYL >Potri.002G158950.1.v4.1 pep chromosome:Pop_tri_v4:2:12101007:12110751:-1 gene:Potri.002G158950.v4.1 transcript:Potri.002G158950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158950.v4.1 MEGCNGSSLPSKRIQSQAIDSEGPYRLLSCSSKGDKAGVLQELEKGVEPNLADYDKRTALHLASCEGCTEVVILLLEKGADVNSIDRWGRTPLSDARSFGHEGICKILEARGGIDPVGLDSQTACYEIDYSEVGMDDAILIGEGSYGEVYLVKWRGTEVAAKTIRSSIASDPRVRNTFLKELGLWQKLRHPNIVQFLGVLKHSDRLIFLTEYLRDGSLYDILKRKGRLDQETAVSYALDIARGMNYLHQHKPRAIIHRDLTPRNVLQDESGHLKVTDFGLSKIAQEKDDQGYMMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEIFQGGPSNRTALPEHIADKRAFEDARPSLSSFVYPDPIKMLLRECWHKNPESRPTFEEIISKLESIQESFQSKKDAGGCCCCCIL >Potri.002G158950.5.v4.1 pep chromosome:Pop_tri_v4:2:12101007:12110751:-1 gene:Potri.002G158950.v4.1 transcript:Potri.002G158950.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158950.v4.1 MEGCNGSSLPSKRIQSQAIDSEGPYRLLSCSSKGDKAGVLQELEKGVEPNLADYDKRTALHLASCEGCTEVVILLLEKGADVNSIDRWGRTPLSDARSFGHEGICKILEARGGIDPVGLDSQTACYEIDYSEVGMDDAILIGEGSYGEVYLVKWRGTEVAAKTIRSSIASDPRVRNTFLKELGLWQKLRHPNIVQFLGVLKHSDRLIFLTEYLRDGSLYDILKRKGRLDQETAVSYALDIARGMNYLHQHKPRAIIHRDLTPRNVLQDESGHLKVTDFGLSKIAQEKDDQGYMMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEIFQGGPSNRTALPEHIADKRAFEDARPSLSSFVYPDPIKMLLRECWHKNPESRPTFEEIISKLESIQESFQSKKDAGGCCCCCIL >Potri.002G158950.4.v4.1 pep chromosome:Pop_tri_v4:2:12101007:12110751:-1 gene:Potri.002G158950.v4.1 transcript:Potri.002G158950.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158950.v4.1 MEGCNGSSLPSKRIQSQAIDSEGPYRLLSCSSKGDKAGVLQELEKGVEPNLADYDKRTALHLASCEGCTEVVILLLEKGADVNSIDRWGRTPLSDARSFGHEGICKILEARGGIDPVGLDSQTACYEIDYSEVGMDDAILIGEGSYGEVYLVKWRGTEVAAKTIRSSIASDPRVRNTFLKELGLWQKLRHPNIVQFLGVLKHSDRLIFLTEYLRDGSLYDILKRKGRLDQETAVSYALDIARGMNYLHQHKPRAIIHRDLTPRNVLQDESGHLKVTDFGLSKIAQEKDDQGYMMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEIFQGGPSNRTALPEHIADKRAFEDARPSLSSFVYPDPIKMLLRECWHKNPESRPTFEEIISKLESIQESFQSKKDAGGCCCCCIL >Potri.002G158950.3.v4.1 pep chromosome:Pop_tri_v4:2:12101007:12110751:-1 gene:Potri.002G158950.v4.1 transcript:Potri.002G158950.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158950.v4.1 MEGCNGSSLPSKRIQSQAIDSEGPYRLLSCSSKGDKAGVLQELEKGVEPNLADYDKRTALHLASCEGCTEVVILLLEKGADVNSIDRWGRTPLSDARSFGHEGICKILEARGGIDPVGLDSQTACYEIDYSEVGMDDAILIGEGSYGEVYLVKWRGTEVAAKTIRSSIASDPRVRNTFLKELGLWQKLRHPNIVQFLGVLKHSDRLIFLTEYLRDGSLYDILKRKGRLDQETAVSYALDIARGMNYLHQHKPRAIIHRDLTPRNVLQDESGHLKVTDFGLSKIAQEKDDQGYMMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEIFQGGPSNRTALPEHIADKRAFEDARPSLSSFVYPDPIKMLLRECWHKNPESRPTFEEIISKLESIQESFQSKKDAGGCCCCCIL >Potri.002G158950.2.v4.1 pep chromosome:Pop_tri_v4:2:12101007:12110751:-1 gene:Potri.002G158950.v4.1 transcript:Potri.002G158950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158950.v4.1 MEGCNGSSLPSKRIQSQAIDSEGPYRLLSCSSKGDKAGVLQELEKGVEPNLADYDKRTALHLASCEGCTEVVILLLEKGADVNSIDRWGRTPLSDARSFGHEGICKILEARGGIDPVGLDSQTACYEIDYSEVGMDDAILIGEGSYGEVYLVKWRGTEVAAKTIRSSIASDPRVRNTFLKELGLWQKLRHPNIVQFLGVLKHSDRLIFLTEYLRDGSLYDILKRKGRLDQETAVSYALDIARGMNYLHQHKPRAIIHRDLTPRNVLQDESGHLKVTDFGLSKIAQEKDDQGYMMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEIFQGGPSNRTALPEHIADKRAFEDARPSLSSFVYPDPIKMLLRECWHKNPESRPTFEEIISKLESIQESFQSKKDAGGCCCCCIL >Potri.002G158950.6.v4.1 pep chromosome:Pop_tri_v4:2:12101007:12110751:-1 gene:Potri.002G158950.v4.1 transcript:Potri.002G158950.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158950.v4.1 MEGCNGSSLPSKRIQSQAIDSEGPYRLLSCSSKGDKAGVLQELEKGVEPNLADYDKRTALHLASCEGCTEVVILLLEKGADVNSIDRWGRTPLSDARSFGHEGICKILEARGGIDPVGLDSQTACYEIDYSEVGMDDAILIGEGSYGEVYLVKWRGTEVAAKTIRSSIASDPRVRNTFLKELGLWQKLRHPNIVQFLGVLKHSDRLIFLTEYLRDGSLYDILKRKGRLDQETAVSYALDIARGMNYLHQHKPRAIIHRDLTPRNVLQDESGHLKVTDFGLSKIAQEKDDQGYMMTGGTGSYRYMAPEVYRRESYGKSVDVFSFALIVHEIFQGGPSNRTALPEHIADKRAFEDARPSLSSFVYPDPIKMLLRECWHKNPESRPTFEEIISKLESIQESFQSKKDAGGCCCCCIL >Potri.001G003900.6.v4.1 pep chromosome:Pop_tri_v4:1:233894:248930:1 gene:Potri.001G003900.v4.1 transcript:Potri.001G003900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003900.v4.1 MEGDQHGIVLACAISGTLFAVLGSASFSILWAVNWRPWRIYSWIFARKWPHILQGPQLGILCRFLSLSAWMIVVSPVLMLVMWGSWLIVVLNRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGKNASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEMGPIPCSPEPPEPNELYPRQSSRASHLGLLYFGSLVVLLVYSILYGLTATEARWLGFITSAAVIILDWNMGACLYGFQLLQSRVVALFVAGTSRVFLFCFGVHYWYLGHCISYAIVASVLLGAAVSRHLSVTNPLAARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSIEAGPLGNIVDSGNQLAVQCTTDSSNWNNVLCRNASCHEGINSDKSTDSGRPSLALHSSSCRSVVQEPEAGTSGDKKFDLNSSPVVCSSSGLDSQCCESSASTSANQQLLDLNLALAFQERLNDPRITSMLKKRARQGNRELATLLQDKGLDPNFAMMLKEKNLDHTILALLQRNSLDADRDHRDNIDITIVDSNSVDNVMPNQISLSEELRLQGREKWLQLSRFVLHHIAGTPERAWVLFSFIFIVETTILAIVRPKIIKIINTTHQQFELGIAVFLLSLVVCSIMTFLRSLQVEEMAMTSKPRKYGVIAWLLSTGVGLLLSFLSKSSLLLGLSLTVPLMVACLSVAIPIWIHNGYQFWVHQVQSAGHTENHRPPGTKEGIVLIICTIVFIGSVLALGGIVSAKPLDDLGYRALTSGQKSFCSPYASPAYLGWVMASAIALIVTGVLPIISWFATYRFSLSSAVCVGIFAVVLVAFCGTSYLEVVQSRDDQVPTKGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISAVIVVVKPWTIGVAFLLILLLIVLAIGVIHHWASNNFYLTRTQMLFVCFLAFLLGLAAFLVGWFEGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSGAFLMLYGIALATEGWGVVASLNIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVQFLSKDMIVQAITRSATKTRNALSGTYSAPQRSASSTALLVGDPTATRDKAGKLVLPRDDVMKLRDRLRNEELVVGSFLCRMRYQTFRHESVSGVDYRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTAQAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDHRQFEIIQESYLREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISTIPNAGSREAAAMTAAVRAVGGDSVLSDSFARERVSSIARRIRTAQLARRALQTGVTGAVCVLDDEPTTSGRHCGEIDSSVCQSRKVSFSIAVLIQPESGPVCLLGTEFQKKECWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTVDADLGEATCYLDGGFDGFQTGLPLSVGSSIWEQGTEVWVGVRPPIDVDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIASLHTAIGSTEFGMIDYPEDNWQWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREGVTIDVDSFARRFRKPRIETQEEINQRMLSVELAVKEALCARGEAHFTDQEFPPNDQSLYMDPRNPPSKLQVVSYL >Potri.001G003900.3.v4.1 pep chromosome:Pop_tri_v4:1:233893:248918:1 gene:Potri.001G003900.v4.1 transcript:Potri.001G003900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003900.v4.1 MEGDQHGIVLACAISGTLFAVLGSASFSILWAVNWRPWRIYSWIFARKWPHILQGPQLGILCRFLSLSAWMIVVSPVLMLVMWGSWLIVVLNRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGKNASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEMGPIPCSPEPPEPNELYPRQSSRASHLGLLYFGSLVVLLVYSILYGLTATEARWLGFITSAAVIILDWNMGACLYGFQLLQSRVVALFVAGTSRVFLFCFGVHYWYLGHCISYAIVASVLLGAAVSRHLSVTNPLAARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSIEAGPLGNIVDSGNQLAVQCTTDSSNWNNVLCRNASCHEGINSDKSTDSGRPSLALHSSSCRSVVQEPEAGTSGDKKFDLNSSPVVCSSSGLDSQCCESSASTSANQQLLDLNLALAFQERLNDPRITSMLKKRARQGNRELATLLQDKGLDPNFAMMLKEKNLDHTILALLQRNSLDADRDHRDNIDITIVDSNSVDNVMPNQISLSEELRLQGREKWLQLSRFVLHHIAGTPERAWVLFSFIFIVETTILAIVRPKIIKIINTTHQQFELGIAVFLLSLVVCSIMTFLRSLQVEEMAMTSKPRKYGVIAWLLSTGVGLLLSFLSKSSLLLGLSLTVPLMVACLSVAIPIWIHNGYQFWVHQVQSAGHTENHRPPGTKEGIVLIICTIVFIGSVLALGGIVSAKPLDDLGYRALTSGQKSFCSPYASPAYLGWVMASAIALIVTGVLPIISWFATYRFSLSSAVCVGIFAVVLVAFCGTSYLEVVQSRDDQVPTKGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISAVIVVVKPWTIGVAFLLILLLIVLAIGVIHHWASNNFYLTRTQMLFVCFLAFLLGLAAFLVGWFEGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSGAFLMLYGIALATEGWGVVASLNIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVQFLSKDMIVQAITRSATKTRNALSGTYSAPQRSASSTALLVGDPTATRDKAGKLVLPRDDVMKLRDRLRNEELVVGSFLCRMRYQTFRHESVSGVDYRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTAQAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDHRQFEIIQESYLREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISTIPNAGSREAAAMTAAVRAVGGDSVLSDSFARERVSSIARRIRTAQLARRALQTGVTGAVCVLDDEPTTSGRHCGEIDSSVCQSRKVSFSIAVLIQPESGPVCLLGTEFQKKECWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTVDADLGEATCYLDGGFDGFQTGLPLSVGSSIWEQGTEVWVGVRPPIDVDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIASLHTAIGSTEFGMIDYPEDNWQWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREGVTIDVDSFARRFRKPRIETQEEINQRMLSVELAVKEALCARGEAHFTDQEFPPNDQSLYMDPRNPPSKLQVVSEWMRPVEIVKESHLDSHPCLFSGAANPSDVCQGHLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGDWVPVVVDDWIPCESPGKPAFATSQKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVQVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRVYPTEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLRATGPDASLPIHVFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >Potri.001G003900.4.v4.1 pep chromosome:Pop_tri_v4:1:233865:248919:1 gene:Potri.001G003900.v4.1 transcript:Potri.001G003900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003900.v4.1 MEGDQHGIVLACAISGTLFAVLGSASFSILWAVNWRPWRIYSWIFARKWPHILQGPQLGILCRFLSLSAWMIVVSPVLMLVMWGSWLIVVLNRDIIGLAVIMAGTALLLAFYSIMLWWRTQWQSSRAVAILLLLAVALLCAYELCAVYVTAGKNASERYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEMGPIPCSPEPPEPNELYPRQSSRASHLGLLYFGSLVVLLVYSILYGLTATEARWLGFITSAAVIILDWNMGACLYGFQLLQSRVVALFVAGTSRVFLFCFGVHYWYLGHCISYAIVASVLLGAAVSRHLSVTNPLAARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSIEAGPLGNIVDSGNQLAVQCTTDSSNWNNVLCRNASCHEGINSDKSTDSGRPSLALHSSSCRSVVQEPEAGTSGDKKFDLNSSPVVCSSSGLDSQCCESSASTSANQQLLDLNLALAFQERLNDPRITSMLKKRARQGNRELATLLQDKGLDPNFAMMLKEKNLDHTILALLQRNSLDADRDHRDNIDITIVDSNSVDNVMPNQISLSEELRLQGREKWLQLSRFVLHHIAGTPERAWVLFSFIFIVETTILAIVRPKIIKIINTTHQQFELGIAVFLLSLVVCSIMTFLRSLQVEEMAMTSKPRKYGVIAWLLSTGVGLLLSFLSKSSLLLGLSLTVPLMVACLSVAIPIWIHNGYQFWVHQVQSAGHTENHRPPGTKEGIVLIICTIVFIGSVLALGGIVSAKPLDDLGYRALTSGQKSFCSPYASPAYLGWVMASAIALIVTGVLPIISWFATYRFSLSSAVCVGIFAVVLVAFCGTSYLEVVQSRDDQVPTKGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISAVIVVVKPWTIGVAFLLILLLIVLAIGVIHHWASNNFYLTRTQMLFVCFLAFLLGLAAFLVGWFEGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSGAFLMLYGIALATEGWGVVASLNIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVQFLSKDMIVQAITRSATKTRNALSGTYSAPQRSASSTALLVGDPTATRDKAGKLVLPRDDVMKLRDRLRNEELVVGSFLCRMRYQTFRHESVSGVDYRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTAQAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDHRQFEIIQESYLREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISTIPNAGSREAAAMTAAVRAVGGDSVLSDSFARERVSSIARRIRTAQLARRALQTGVTGAVCVLDDEPTTSGRHCGEIDSSVCQSRKVSFSIAVLIQPESGPVCLLGTEFQKKECWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTVDADLGEATCYLDGGFDGFQTGLPLSVGSSIWEQGTEVWVGVRPPIDVDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIASLHTAIGSTEFGMIDYPEDNWQWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREGVTIDVDSFARRFRKPRIETQEEINQRMLSVELAVKEALCARGEAHFTDQEFPPNDQSLYMDPRNPPSKLQVVSEWMRPVEIVKESHLDSHPCLFSGAANPSDVCQGHLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGDWVPVVVDDWIPCESPGKPAFATSQKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVQVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRVYPTEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLRATGPDASLPIHVFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >Potri.001G003900.7.v4.1 pep chromosome:Pop_tri_v4:1:238084:248919:1 gene:Potri.001G003900.v4.1 transcript:Potri.001G003900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003900.v4.1 MLKKRARQGNRELATLLQDKGLDPNFAMMLKEKNLDHTILALLQRNSLDADRDHRDNIDITIVDSNSVDNVMPNQISLSEELRLQGREKWLQLSRFVLHHIAGTPERAWVLFSFIFIVETTILAIVRPKIIKIINTTHQQFELGIAVFLLSLVVCSIMTFLRSLQVEEMAMTSKPRKYGVIAWLLSTGVGLLLSFLSKSSLLLGLSLTVPLMVACLSVAIPIWIHNGYQFWVHQVQSAGHTENHRPPGTKEGIVLIICTIVFIGSVLALGGIVSAKPLDDLGYRALTSGQKSFCSPYASPAYLGWVMASAIALIVTGVLPIISWFATYRFSLSSAVCVGIFAVVLVAFCGTSYLEVVQSRDDQVPTKGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISAVIVVVKPWTIGVAFLLILLLIVLAIGVIHHWASNNFYLTRTQMLFVCFLAFLLGLAAFLVGWFEGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSGAFLMLYGIALATEGWGVVASLNIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVQFLSKDMIVQAITRSATKTRNALSGTYSAPQRSASSTALLVGDPTATRDKAGKLVLPRDDVMKLRDRLRNEELVVGSFLCRMRYQTFRHESVSGVDYRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTAQAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDHRQFEIIQESYLREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISTIPNAGSREAAAMTAAVRAVGGDSVLSDSFARERVSSIARRIRTAQLARRALQTGVTGAVCVLDDEPTTSGRHCGEIDSSVCQSRKVSFSIAVLIQPESGPVCLLGTEFQKKECWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTVDADLGEATCYLDGGFDGFQTGLPLSVGSSIWEQGTEVWVGVRPPIDVDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIASLHTAIGSTEFGMIDYPEDNWQWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREGVTIDVDSFARRFRKPRIETQEEINQRMLSVELAVKEALCARGEAHFTDQEFPPNDQSLYMDPRNPPSKLQVVSEWMRPVEIVKESHLDSHPCLFSGAANPSDVCQGHLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGDWVPVVVDDWIPCESPGKPAFATSQKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVQVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRVYPTEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLRATGPDASLPIHVFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >Potri.001G003900.5.v4.1 pep chromosome:Pop_tri_v4:1:237181:248930:1 gene:Potri.001G003900.v4.1 transcript:Potri.001G003900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003900.v4.1 MGPIPCSPEPPEPNELYPRQSSRASHLGLLYFGSLVVLLVYSILYGLTATEARWLGFITSAAVIILDWNMGACLYGFQLLQSRVVALFVAGTSRVFLFCFGVHYWYLGHCISYAIVASVLLGAAVSRHLSVTNPLAARRDALQSTVIRLREGFRRKEQNTSSSSSEGCGSSVKRSSSIEAGPLGNIVDSGNQLAVQCTTDSSNWNNVLCRNASCHEGINSDKSTDSGRPSLALHSSSCRSVVQEPEAGTSGDKKFDLNSSPVVCSSSGLDSQCCESSASTSANQQLLDLNLALAFQERLNDPRITSMLKKRARQGNRELATLLQDKGLDPNFAMMLKEKNLDHTILALLQRNSLDADRDHRDNIDITIVDSNSVDNVMPNQISLSEELRLQGREKWLQLSRFVLHHIAGTPERAWVLFSFIFIVETTILAIVRPKIIKIINTTHQQFELGIAVFLLSLVVCSIMTFLRSLQVEEMAMTSKPRKYGVIAWLLSTGVGLLLSFLSKSSLLLGLSLTVPLMVACLSVAIPIWIHNGYQFWVHQVQSAGHTENHRPPGTKEGIVLIICTIVFIGSVLALGGIVSAKPLDDLGYRALTSGQKSFCSPYASPAYLGWVMASAIALIVTGVLPIISWFATYRFSLSSAVCVGIFAVVLVAFCGTSYLEVVQSRDDQVPTKGDFLAALLPLVCIPALLSLCCGLLKWKDDDWKLSRGVYIFVIIGLLLLLGAISAVIVVVKPWTIGVAFLLILLLIVLAIGVIHHWASNNFYLTRTQMLFVCFLAFLLGLAAFLVGWFEGKPFVGASVGYFSFLFLLAGRALTVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSGAFLMLYGIALATEGWGVVASLNIYPPFAGAAVSAITLVVSFGFAVSRPCLTLKMMEDAVQFLSKDMIVQAITRSATKTRNALSGTYSAPQRSASSTALLVGDPTATRDKAGKLVLPRDDVMKLRDRLRNEELVVGSFLCRMRYQTFRHESVSGVDYRREMCAHARILALEEAIDTEWVYMWDRFGGYLLLLLGLTAQAERVQDEVRLRLFLDSIGFSDLSAKKIKKWMPEDHRQFEIIQESYLREKEMEEEILMQRREEEGRGKERRKALLEKEERKWKEIEASLISTIPNAGSREAAAMTAAVRAVGGDSVLSDSFARERVSSIARRIRTAQLARRALQTGVTGAVCVLDDEPTTSGRHCGEIDSSVCQSRKVSFSIAVLIQPESGPVCLLGTEFQKKECWEILVAGAEQGIEAGQVGLRLITKGDRQTTVAKEWSISATSIADGRWHIVTMTVDADLGEATCYLDGGFDGFQTGLPLSVGSSIWEQGTEVWVGVRPPIDVDAFGRSDSEGAESKMHIMDVFLWGRCLTEDEIASLHTAIGSTEFGMIDYPEDNWQWADSPPRVDEWDSDPADVDLYDRDDVDWDGQYSSGRKRRSDREGVTIDVDSFARRFRKPRIETQEEINQRMLSVELAVKEALCARGEAHFTDQEFPPNDQSLYMDPRNPPSKLQVVSEWMRPVEIVKESHLDSHPCLFSGAANPSDVCQGHLGDCWFLSAVAVLTEVSRISEVIITPEYNEEGIYTVRFCIQGDWVPVVVDDWIPCESPGKPAFATSQKGNELWVSILEKAYAKLHGSYEALEGGLVQDALVDLTGGAGEEIDMRSAQAQIDLASGRLWSQLLRFKQEGFLLGAGSPSGSDVQVSSSGIVQGHAYSLLQVREVDGHKLVQIRNPWANEVEWNGPWSDSSPEWTDRMKHKLKHVPQSKDGIFWMSWQDFQIHFRSIYICRVYPTEMRYSVHGQWRGYSAGGCQDYASWNQNPQFRLRATGPDASLPIHVFITLTQGVSFSRTAAGFRNYQSSHDSMMFYIGMRILKTRGRRASYNIYLHESVGGTDYVNSREISCEMVLDPDPKGYTIVPTTIHPGEEAPFVLSVFTKASVTLEAL >Potri.016G072851.1.v4.1 pep chromosome:Pop_tri_v4:16:5423687:5425178:-1 gene:Potri.016G072851.v4.1 transcript:Potri.016G072851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072851.v4.1 MMMAFFQFISNYFSIKNERTHKTLRKGPAEDGIYRIPWIALPSLQQGAKTIIRVRISLEDWQAGLGSLQFPSVLSVIKNFQLPCSNSLKVSKQKCTLYCLGKFSRITLPGTGSLTNEPLSLVHVDF >Potri.003G081700.3.v4.1 pep chromosome:Pop_tri_v4:3:10867490:10871469:1 gene:Potri.003G081700.v4.1 transcript:Potri.003G081700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081700.v4.1 MKTITQKFSSFCFPNLGIENVLFPNKTENPLSLHFLKTFSPAKQRASSLSTCTIQNPIADPNREANSPTKTQLYPTIFGKSTKPLHHHAKSSDVILSNKMITSHIRCGDLDSALNVFDNMTLKTTVTWNSVLAGMSKKRGKLKEAQELFVKIPEPDAVSYNTMLSCYVRNSNMERAQAFFEDMPIKDTPSWNTMITGFAQNQQMDKARDLFLIMPTKNVVTWNAMISGYVECGDLDSALKLFEKAPFKSVVAWTAMITGYMKLGRIGLAERLFEKMPEKNLVTWNAMIAGYIENHRAEDGVKLFRTMVGFGIQPNSSTLSSALLGCSELSALQLGRQVHQLVCKSPLCDDTTAGTSLISMYCKCGVLEDGWKLFVQVPRRDVVTWNAMISGYAQHGEGKKALGLFDEMIEKGMKPDWITFVAVLMACNHAGFTDLGVKYFHSMAKDYGLVAKPDHYTCMVDLLGRAGKLVEAVDLIEKMPFKPHAAVFGTLLGACRIHKNTEMAEFASQKLLNLDPASATGYVQLANVYAATKRWDHVARVRKSMKSCKVVKTPGYSWIEVKSMAHQFRSGDKFHPELASIHGKLKELEKKMKLAGYVPDLEFALHDVGEEQKEQLLLWHSEKLAIAYGLIKLPPGTPIRVFKNLRVCGDCHRAIKYISQIERREIIVRDTTRFHHFKDGHCSCADYW >Potri.003G081700.2.v4.1 pep chromosome:Pop_tri_v4:3:10867486:10871593:1 gene:Potri.003G081700.v4.1 transcript:Potri.003G081700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081700.v4.1 MKTITQKFSSFCFPNLGIENVLFPNKTENPLSLHFLKTFSPAKQRASSLSTCTIQNPIADPNREANSPTKTQLYPTIFGKSTKPLHHHAKSSDVILSNKMITSHIRCGDLDSALNVFDNMTLKTTVTWNSVLAGMSKKRGKLKEAQELFVKIPEPDAVSYNTMLSCYVRNSNMERAQAFFEDMPIKDTPSWNTMITGFAQNQQMDKARDLFLIMPTKNVVTWNAMISGYVECGDLDSALKLFEKAPFKSVVAWTAMITGYMKLGRIGLAERLFEKMPEKNLVTWNAMIAGYIENHRAEDGVKLFRTMVGFGIQPNSSTLSSALLGCSELSALQLGRQVHQLVCKSPLCDDTTAGTSLISMYCKCGVLEDGWKLFVQVPRRDVVTWNAMISGYAQHGEGKKALGLFDEMIEKGMKPDWITFVAVLMACNHAGFTDLGVKYFHSMAKDYGLVAKPDHYTCMVDLLGRAGKLVEAVDLIEKMPFKPHAAVFGTLLGACRIHKNTEMAEFASQKLLNLDPASATGYVQLANVYAATKRWDHVARVRKSMKSCKVVKTPGYSWIEVKSMAHQFRSGDKFHPELASIHGKLKELEKKMKLAGYVPDLEFALHDVGEEQKEQLLLWHSEKLAIAYGLIKLPPGTPIRVFKNLRVCGDCHRAIKYISQIERREIIVRDTTRFHHFKDGHCSCADYW >Potri.014G094200.7.v4.1 pep chromosome:Pop_tri_v4:14:6115732:6120633:1 gene:Potri.014G094200.v4.1 transcript:Potri.014G094200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094200.v4.1 MCHDSIIIFCFSSICSHSAPTPLLTCLLLLQVRELKQFWRIISKLSKDFYFFWCFTMGNNEEGKSSASDKSSPAQQDQTSIHVYPDWAAIQAYYGSRVALPPYYNSGVASGHAPHPYMWGPPQPMMATYGAPYAAIYSHGGVYAHPAVPIGSHPHGPGVLSSPAAHTPLSAETPTKSSGNTDQGLMKKLKGFDGLAMSIGNGDAESAEGGSRLPQSMETEGSSDGSDGNTARGKKRSREGTPTVDHHTTGGDTKTETHCSPLLGEVNPSTDKVLGAVVDPGMTKALELRNPPSVNVAKTNPATIPQPGAMLPSEAWSPNDRELKRERRKQSNRESARRSRLRKQAEAEELAHKVETLTTVNMTLKSEIDQFTEKSQKLRLENAALTEKLKNAQLGPTQEIILNNIDEQRSSNSGAKLHQLMDASPRADAVAAG >Potri.014G094200.4.v4.1 pep chromosome:Pop_tri_v4:14:6114809:6120719:1 gene:Potri.014G094200.v4.1 transcript:Potri.014G094200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094200.v4.1 MGNNEEGKSSASDKSSPAQQDQTSIHVYPDWAAIQAYYGSRVALPPYYNSGVASGHAPHPYMWGPPQPMMATYGAPYAAIYSHGGVYAHPAVPIGSHPHGPGVLSSPAAHTPLSAETPTKSSGNTDQGLMKKLKGFDGLAMSIGNGDAESAEGGSRLPQSMETEGSSDGSDGNTARGKKRSREGTPTVGGDTKTETHCSPLLGEVNPSTDKVLGAVVDPGMTKALELRNPPSVNVAKTNPATIPQPGAMLPSEAWSPNDRELKRERRKQSNRESARRSRLRKQAEAEELAHKVETLTTVNMTLKSEIDQFTEKSQKLRLENAALTEKLKNAQLGPTQEIILNNIDEQRSSNSGAKLHQLMDASPRADAVAAG >Potri.014G094200.2.v4.1 pep chromosome:Pop_tri_v4:14:6114809:6120671:1 gene:Potri.014G094200.v4.1 transcript:Potri.014G094200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094200.v4.1 MGNNEEGKSSASDKSSPAQQDQTSIHVYPDWAAIQAYYGSRVALPPYYNSGVASGHAPHPYMWGPPQPMMATYGAPYAAIYSHGGVYAHPAVPIGSHPHGPGVLSSPAAHTPLSAETPTKSSGNTDQGLMKKLKGFDGLAMSIGNGDAESAEGGSRLPQSMETEGSSDGSDGNTARGKKRSREGTPTVVVDPGMTKALELRNPPSVNVAKTNPATIPQPGAMLPSEAWSPNDRELKRERRKQSNRESARRSRLRKQAEAEELAHKVETLTTVNMTLKSEIDQFTEKSQKLRLENAALTEKLKNAQLGPTQEIILNNIDEQRSSNSGAKLHQLMDASPRADAVAAG >Potri.014G094200.8.v4.1 pep chromosome:Pop_tri_v4:14:6115773:6120647:1 gene:Potri.014G094200.v4.1 transcript:Potri.014G094200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094200.v4.1 MCHDSIIIFCFSSICSHSAPTPLLTCLLLLQVRELKQFWRIISKLSKDFYFFWCFTMGNNEEGKSSASDKSSPAQQDQTSIHVYPDWAAIQAYYGSRVALPPYYNSGVASGHAPHPYMWGPPQPMMATYGAPYAAIYSHGGVYAHPAVPIGSHPHGPGVLSSPAAHTPLSAETPTKSSGNTDQGLMKKLKGFDGLAMSIGNGDAESAEGGSRLPQSMETEGSSDGSDGNTARGKKRSREGTPTVVVDPGMTKALELRNPPSVNVAKTNPATIPQPGAMLPSEAWSPNDRELKRERRKQSNRESARRSRLRKQAEAEELAHKVETLTTVNMTLKSEIDQFTEKSQKLRLENAALTEKLKNAQLGPTQEIILNNIDEQRSSNSGAKLHQLMDASPRADAVAAG >Potri.004G199700.1.v4.1 pep chromosome:Pop_tri_v4:4:21038945:21039415:-1 gene:Potri.004G199700.v4.1 transcript:Potri.004G199700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199700.v4.1 MLFLLLANALTPSSAAWDLWTEKMGWKVNIVNQLSHNKRLFVHCKSKDDDLGPHHVQSKDRFVFRFVENFYWATTLFWCSMSKDRKSYASFDVFWSADNHEKNKNFNLQGLTGTREIIWLVRDDGIYFRAQRNYGNSYVPRYITEEIFYRKWDKKK >Potri.009G074900.1.v4.1 pep chromosome:Pop_tri_v4:9:7291491:7292616:1 gene:Potri.009G074900.v4.1 transcript:Potri.009G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G074900.v4.1 MESITESSATDLALSQPPLVSNGNQNILDKQVPAETNQPSTDQTNQQAPQGRPVDPNLDPKRLRRIMASRQYSQKYRLRQMQYIMQLETEVKSLQAEVAIIGPRIEYSNRQNSLLRMENSSIKHKLSSCSSELMFKEAQYEEMKKERDHMKQSYIVNQYQYPGFFKTMPPANYPFMNVNLNQPRYDLYMESAAAAAAKPPPTMDESSNRFDSA >Potri.010G010111.1.v4.1 pep chromosome:Pop_tri_v4:10:1095234:1096173:1 gene:Potri.010G010111.v4.1 transcript:Potri.010G010111.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010111.v4.1 MKITSVLGLSFLFFAFIGTSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTINGQVLCNSDVILSTLNESLPITFSPVIQSTDSVIREGTHLNVNFAGPSAMCLMGGVTPMWKIGFSTTLKGYIVTTGGVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVGVNGDKNLVPGAGPLLVMFEPDE >Potri.008G196901.1.v4.1 pep chromosome:Pop_tri_v4:8:13918151:13919190:1 gene:Potri.008G196901.v4.1 transcript:Potri.008G196901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196901.v4.1 MEGAESSDKASWSKKMLHTFCDISIVAIEKGMRPSTHFDKAGWKFVMAVFKEKTGLAFTKTQLKNKWDGAKKEWRIWKKLISETGIGWNSELGTISASDEWWKKKTQKIRGSKKFRHAGIEPSLCSKFDRMLNNVVATGHYAWAPSSGVLFDDDVVNQNTQDVHVNKEENLEEGNGDSEEDVIPNYTDDVCNLIARVNMGNSSTTNSSGKRKAREQGGGKSIKKSKKPHGVGAQMLSRWDKLVDDVSTKNDRRDKIGCSISEVMTEIHSIPDIILGDDLYYFATEYLSRRNKREMWAAIGDLDRKYQ >Potri.010G055200.2.v4.1 pep chromosome:Pop_tri_v4:10:8635044:8642525:1 gene:Potri.010G055200.v4.1 transcript:Potri.010G055200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055200.v4.1 MGIFLLGTGMDISVEIVNAAFAVLLLAWLLVDILKQRRGGGDLHSREHKAVKQPTVLFTTVAVLSNIIISILYLGFGFYQYWDLGIVTSKSVFLSVTWILATLVACYSKNRTLRENNRWPVVVILWWVVYSIFCSLSVSIHFITRFSSIELPYSWPEANIADFPSLPLSILLSLNALTFRCRSTKTHNDLETPLLQEEHESLFKDSACYRNAGIWSKLTFRWINPLFSRGRMEKLELSHVPSVPASETAGYASSLLEDSFGKNKNETSNLPKAIAYAVWKSLTLNGVFAGVNTIASYMGPLLITNFVNFLSENHDDSGYLNGLVLAFIFFFSKTVESLTQRQWYFGAQRIGVRVRAALSVLVYKKSLSVKFAGSSNGKIINMINVDVERIGDFCWNIHGVWLLPFQVFLALVILYRNLGAAPSIAALSSTILVMVSNTPLASKQERLHSRIMEAKDLRIKATSETLKSMRVLKLYSWEPTFFKKLLQLRETERNWLRRYLYTSSAMAFLFWASPTLVSVVTFGVCIILKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQDFLREKDQKKQIPYQTSQASDIAIEMKSGEYAWETKDQISTKTTIKITKNMKIMKLYKVAVCGSVGSGKSSLLCSIIGEIPRISGAGIKVHGTKAYVPQRAWIQTRTVRDNVLFGKDMNRDFYEDVLKGCALKQDIEQWADGDLTVVGERGVNLSGGQKQRIQLARALYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTVIYATHQLEFLEDADLVLVMKDGMIVQSGKYEDLIADPTGELVRQMVAHRRSLNQVNPPKEDNSLTSIPSQLNQIEVTEEKFEEPSSSDRFSERTQEEVSETGRVKWSVYSTFITSAYKGALVPIILLCQVLFQGLQMGSNYWIAWATEENHKVTKEKLIGIFILLSGGSSVFILGRAVFLATIAIETAQRLFLGMISSVFRASISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLSIIILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFNQEERFLTRNLSLIDDYSRIVFHNSGTMEWLCVRINFLFNLGFFLVLIILVSLPKSAINPSLAGLAATYGLNLNVLQSWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCGPKPEWPVDGRIELISLHVQYGPSLPMVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLQDLRSRLGIIPQDPTLFQGTVRTNLDPLEQHSDQEIWEVLNKCRLADTVKQDKRLLDAPVAEDGENWSVGQRQLVCLARVMLKKRRILVLDEATASIDTATDNIIQGTIREETSTCTVITVAHRIPTVIDNDLVLVLDDGKVVEYDSPVKLLEDNSSSFSKLVTEFLRRSMQE >Potri.010G055200.1.v4.1 pep chromosome:Pop_tri_v4:10:8635242:8642774:1 gene:Potri.010G055200.v4.1 transcript:Potri.010G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055200.v4.1 MGIFLLGTGMDISVEIVNAAFAVLLLAWLLVDILKQRRGGGDLHSREHKAVKQPTVLFTTVAVLSNIIISILYLGFGFYQYWDLGIVTSKSVFLSVTWILATLVACYSKNRTLRENNRWPVVVILWWVVYSIFCSLSVSIHFITRFSSIELPYSWPEANIADFPSLPLSILLSLNALTFRCRSTKTHNDLETPLLQEEHESLFKDSACYRNAGIWSKLTFRWINPLFSRGRMEKLELSHVPSVPASETAGYASSLLEDSFGKNKNETSNLPKAIAYAVWKSLTLNGVFAGVNTIASYMGPLLITNFVNFLSENHDDSGYLNGLVLAFIFFFSKTVESLTQRQWYFGAQRIGVRVRAALSVLVYKKSLSVKFAGSSNGKIINMINVDVERIGDFCWNIHGVWLLPFQVFLALVILYRNLGAAPSIAALSSTILVMVSNTPLASKQERLHSRIMEAKDLRIKATSETLKSMRVLKLYSWEPTFFKKLLQLRETERNWLRRYLYTSSAMAFLFWASPTLVSVVTFGVCIILKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQDFLREKDQKKQIPYQTSQASDIAIEMKSGEYAWETKDQISTKTTIKITKNMKIMKLYKVAVCGSVGSGKSSLLCSIIGEIPRISGAGIKVHGTKAYVPQRAWIQTRTVRDNVLFGKDMNRDFYEDVLKGCALKQDIEQWADGDLTVVGERGVNLSGGQKQRIQLARALYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTVIYATHQLEFLEDADLVLVMKDGMIVQSGKYEDLIADPTGELVRQMVAHRRSLNQVNPPKEDNSLTSIPSQLNQIEVTEEKFEEPSSSDRFSERTQEEVSETGRVKWSVYSTFITSAYKGALVPIILLCQVLFQGLQMGSNYWIAWATEENHKVTKEKLIGIFILLSGGSSVFILGRAVFLATIAIETAQRLFLGMISSVFRASISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLSIIILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFNQEERFLTRNLSLIDDYSRIVFHNSGTMEWLCVRINFLFNLGFFLVLIILVSLPKSAINPSLAGLAATYGLNLNVLQSWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCGPKPEWPVDGRIELISLHVQYGPSLPMVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLQDLRSRLGIIPQDPTLFQGTVRTNLDPLEQHSDQEIWEVLNKCRLADTVKQDKRLLDAPVAEDGENWSVGQRQLVCLARVMLKKRRILVLDEATASIDTATDNIIQGTIREETSTCTVITVAHRIPTVIDNDLVLVLDDGKVVEYDSPVKLLEDNSSSFSKLVTEFLRRSMQE >Potri.010G055200.4.v4.1 pep chromosome:Pop_tri_v4:10:8635247:8642772:1 gene:Potri.010G055200.v4.1 transcript:Potri.010G055200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055200.v4.1 MGIFLLGTGMDISVEIVNAAFAVLLLAWLLVDILKQRRGGGDLHSREHKAVKQPTVLFTTVAVLSNIIISILYLGFGFYQYWDLGIVTSKSVFLSVTWILATLVACYSKNRTLRENNRWPVVVILWWVVYSIFCSLSVSIHFITRFSSIELPYSWPEANIADFPSLPLSILLSLNALTFRCRSTKTHNDLETPLLQEEHESLFKDSACYRNAGIWSKLTFRWINPLFSRGRMEKLELSHVPSVPASETAGYASSLLEDSFGKNKNETSNLPKAIAYAVWKSLTLNGVFAGVNTIASYMGPLLITNFVNFLSENHDDSGYLNGLVLAFIFFFSKTVESLTQRQWYFGAQRIGVRVRAALSVLVYKKSLSVKFAGSSNGKIINMINVDVERIGDFCWNIHGVWLLPFQVFLALVILYRNLGAAPSIAALSSTILVMVSNTPLASKQERLHSRIMEAKDLRIKATSETLKSMRVLKLYSWEPTFFKKLLQLRETERNWLRRYLYTSSAMAFLFWASPTLVSVVTFGVCIILKTPLTTGTVLSALATFRILQEPIYNLPELISMIAQTKVSIDRIQDFLREKDQKKQIPYQTSQASDIAIEMKSGEYAWETKDQISTKTTIKITKNMKIMKLYKVAVCGSVGSGKSSLLCSIIGEIPRISGAGIKVHGTKAYVPQRAWIQTRTVRDNVLFGKDMNRDFYEDVLKGCALKQDIEQWADGDLTVVGERGVNLSGGQKQRIQLARALYSNSDVYILDDPFSAVDAHTGTHLFKKCLMQLLSQKTVIYATHQLEFLEDADLVLVMKDGMIVQSGKYEDLIADPTGELVRQMVAHRRSLNQVNPPKEDNSLTSIPSQLNQIEVTEEKFEEPSSSDRFSERTQEEVSETGRVKWSVYSTFITSAYKGALVPIILLCQVLFQGLQMGSNYWIAWATEENHKVTKEKLIGIFILLSGGSSVFILGRAVFLATIAIETAQRLFLGMISSVFRASISFFDATPSSRILSRSSTDQSTVDTDIPYRLAGLAFALIQLLSIIILMSQVAWQVFPIFLVILGISIWYQAYYITTARELARMVGIRKAPILHHFSESIAGAATIRCFNQEERFLTRNLSLIDDYSRIVFHNSGTMEWLCVRINFLFNLGFFLVLIILVSLPKSAINPSLAGLAATYGLNLNVLQSWVIWNLCNVENKMISVERILQFTNIPSEAPLVIEDCGPKPEWPVDGRIELISLHVQYGPSLPMVLKGITCTFPGGKKIGVVGRTGSGKSTLIQALFRVIEPSGGQILIDGLDISKIGLQDLRSRLGIIPQDPTLFQGTVRTNLDPLEQHSDQEIWEVLNKCRLADTVKQDKRLLDAPVAEDGENWSVGQRQLVCLARVMLKKRRILVLDEATASIDTATDNIIQGTIREETSTCTVITVAHRIPTVIDNDLVLVLDDGKVVEYDSPVKLLEDNSSSFSKLVTEFLRRSMQE >Potri.001G359600.2.v4.1 pep chromosome:Pop_tri_v4:1:37600339:37602729:-1 gene:Potri.001G359600.v4.1 transcript:Potri.001G359600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359600.v4.1 MGFFVTTLIFAVIGIIASLCTRICCNRGPSTNLLHLTLVLTATVCCWMMWAIVYLAQMKPLIVPILSEGE >Potri.001G359600.5.v4.1 pep chromosome:Pop_tri_v4:1:37600254:37602889:-1 gene:Potri.001G359600.v4.1 transcript:Potri.001G359600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359600.v4.1 MGFFVTTLIFAVIGIIASLCTRICCNRGPSTNLLHLTLVLTATVCCWMMWAIVYLAQMKPLIVPILSEGE >Potri.012G141600.1.v4.1 pep chromosome:Pop_tri_v4:12:15329782:15331561:-1 gene:Potri.012G141600.v4.1 transcript:Potri.012G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141600.v4.1 MANAASGMAVDDECKLKFLELKAKRNYRFIIFKIESQQVVVEKLGSPEETYEEFAASLPADECRYAVFDYDFTTNENCQKSKIFFIAWSPDTSRIRSKMVYASTKDRFKRELDGIQVELQATDPSEMSFDIIKSRAL >Potri.008G048300.1.v4.1 pep chromosome:Pop_tri_v4:8:2828823:2830522:1 gene:Potri.008G048300.v4.1 transcript:Potri.008G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048300.v4.1 MVHFPSFPPHQTQLPLVTPQAQTYNPERERVALKYCELLEMAMNHLLSYDHDDDDYIDMEVSSYSTFFCQSRGSPPFPKEFEFQKSTASLEKDTTTSPADELFYKGKLLPLHLPPRLRMVEKMLENSNSSYDHRKDTFEEFFSTPLMTTAPTPTSTSTPFESCNISPVESCYVSRELNPEEYLFEYSSETGGFIDENPKPSRTKKLNLIKQSSLGSKLKASRAYLKSLFGKSGCSDDSCTVASKVADEVTVSKAKETSNKYVKPAKKTPCGQIQKDKYQTSTTALQNKQRISEDGSGRLHRRSFSMSIKRYSTKKSSSSSDSSSSSSSTSSNGFHRLPFLKRSSSAKSEIENPIQGAIAHCKQSQQLFHSRKTVNEAKLYSLSASRISICDEQERPVLCRG >Potri.002G255600.3.v4.1 pep chromosome:Pop_tri_v4:2:24445428:24453186:1 gene:Potri.002G255600.v4.1 transcript:Potri.002G255600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255600.v4.1 MESKGLQPMDSEQLRENAHKMVDFIADYYKSIENFPVLSQVEPGYLRELLPDSAPNQPETLQNVLDDVQAKILPGVTHWQSPSYFAYYPSNSSVAGFLGEMLSAGINMVGFSWITSPAATELEMIVLDWLGKLLKLPEDFLSTGQGGGVIQGTASEAVLVVLLAARDRVLRKLGKNALEKLVVYASDQTHSALQKACQIGGIHPENCKLLKTGSPTNYALSPDLLGKAISDDISTGLVPFFLCATVGTTSSTAVDPLLSLGKIAKNNGIWFHVDAAYAGSACICPEYRCYIDGVEEADSFNMNAHKWFLTNFDCSALWVKDRNALIQSLSTNPEFLKNKASQANMVVDYKDWQIPLGRRFRSLKLWMVLRLYGLENLQCYIRNHINLAKYFEGLVAADSRFEVVTPRIFSLVCFRLLPPNNNEDHGNNLNHDLLDAVNSTGKIFISHTVLSGKYILRFAVGAPLTEERHVTAAWKVLQDEASALLGSL >Potri.008G027800.1.v4.1 pep chromosome:Pop_tri_v4:8:1458414:1459472:-1 gene:Potri.008G027800.v4.1 transcript:Potri.008G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027800.v4.1 MASPRALGTAFLVLLIVDIAFAARTLQSISGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGSGGGNGSGSGYGSGSGSGYGSGSGIGGGKGGGGGGGSGGGGGGGGGQGSGSGSGSGYGSGSGSGSGGGKGGNGSGGGGGEGGGGGGGGGTGSGSGSGYGSGSGYGSGYGGGKGN >Potri.018G039500.2.v4.1 pep chromosome:Pop_tri_v4:18:3141607:3149120:1 gene:Potri.018G039500.v4.1 transcript:Potri.018G039500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039500.v4.1 MESTVLVEKNHPCSHSKLVSKVESSIRIDSITIDLDNVDEKIEAEKCSHFSMRGYVSEIRKRDWKMCWPFVSDGDSNNYEEQACLLPPLHVPKFRFWRCQNCVWEVGAKGTANCYGTALKSCGAELKSTNVCSHGPNFDDAARLPSDVQEATNQEIPEGRQADVFASLNSTSKSHHSLSIDKNEKKTKDMNGSIIGKHMGSEDNLKQENHRLACVVTEVVSSPIQKADHSDEIVAFKSIGIDLCESGCGHHEVADAEFSKNLNCMVNNATGLCEAGKETSIDDQHKELIACGISGEVGNIDDGALSTANKDPVSRPSLELDEYDDPSSESAEIMVGHNTQDVLHENSSGLHRRKTRKVRLLTELLCENGDRDTDNRTRFSLPHAFPDASAGVDKISVLQGEVAIQGKVRRGLGHNSKRKLPQDEDSRSLEMRSPNKVCKEAGILKRDGESAEPIVASESEEDASGRMGLQTGMKIHWAKNKVDRSPIVSKKKNKKVPSFDECLSPDPSQENLPNEIGEKNGDNSRPIAVDGVLAKSVHNAFIGREMDLFPLPDPRMEKNVSEYKKKGKMPQFDDYQVSPTPWNHDILREGPVIRKDVGTINTGPVVFPFHSAQETSLEKGLDLSLNSYKTAQSYDGKHIPLVENRRSCLFTWQEGTSQIQAKRKASKIEHAGNISFTSKIAQDAPFEKGLHCDPNTKRPSFEMPFRREKQKYTSQVEIGGCSLMQKKDFCHNKGNEGTIGILEHSAFPRKDVNQRADKVCEQGALDDIPMEIVELMAKNQYERCLPDGEYEKCQLETTSSSRRSQTINFSQLLGLGGLSLFHQETTRKQNPPARRNDIIKIGEMVGQTKQKEVDFFSQADRNPFSMRQPEKIRSPVGFGAFLQLQEKPSGRFQHPASSYNIQNTPQICKQRGEVVGNRSCHTRFQTPGACNTCQSIPQQSKEANQLWSSMMPNRMPFVYSIPPKCVTPSTNVDVFPHSPGTVLKENMNGDRVLKFPNKNAANLGKQNRNLGSETLLRAHAEYPFAGKHNGIELNHKPMGSLELYSNETIPAMHLLSLMDAGVQSSAPINMDVNPKFLKRPAIIHNAEPKEFSRLDTGAYKVISSVKHPPRNHNGKNQLAESSRDLIPIMQTTAGASSLSIRHDKRIRKPVDLPSPVIQYKERRKGSDSRTQNKANRSQTSANGGFGTNCGSIPAHSMRIMSFGAPDPSVFSLPFRALENPNKDKLKSLDNNRIVHPHKSSSETEVCSVNRNPADFTIPEAGNMYMIAGEALRFEKDVPFANGSHSLKLDGRKRQRKLPAMKDHGRPPMS >Potri.018G039500.5.v4.1 pep chromosome:Pop_tri_v4:18:3144329:3149222:1 gene:Potri.018G039500.v4.1 transcript:Potri.018G039500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039500.v4.1 MVNNATGLCEAGKETSIDDQHKELIACGISGEVGNIDDGALSTANKDPVSRPSLELDEYDDPSSESAEIMVGHNTQDVLHENSSGLHRRKTRKVRLLTELLCENGDRDTDNRTRFSLPHAFPDASAGVDKISVLQGEVAIQGKVRRGLGHNSKRKLPQDEDSRSLEMRSPNKVCKEAGILKRDGESAEPIVASESEEDASGRMGLQTGMKIHWAKNKVDRSPIVSKKKNKKVPSFDECLSPDPSQENLPNEIGEKNGDNSRPIAVDGVLAKSVHNAFIGREMDLFPLPDPRMEKNVSEYKKKGKMPQFDDYQVSPTPWNHDILREGPVIRKDVGTINTGPVVFPFHSAQETSLEKGLDLSLNSYKTAQSYDGKHIPLVENRRSCLFTWQEGTSQIQAKRKASKIEHAGNISFTSKIAQDAPFEKGLHCDPNTKRPSFEMPFRREKQKYTSQVEIGGCSLMQKKDFCHNKGNEGTIGILEHSAFPRKDVNQRADKVCEQGALDDIPMEIVELMAKNQYERCLPDGEYEKCQLETTSSSRRSQTINFSQLLGLGGLSLFHQETTRKQNPPARRNDIIKIGEMVGQTKQKEVDFFSQADRNPFSMRQPEKIRSPVGFGAFLQLQEKPSGRFQHPASSYNIQNTPQICKQRGEVVGNRSCHTRFQTPGACNTCQSIPQQSKEANQLWSSMMPNRMPFVYSIPPKCVTPSTNVDVFPHSPGTVLKENMNGDRVLKFPNKNAANLGKQNRNLGSETLLRAHAEYPFAGKHNGIELNHKPMGSLELYSNETIPAMHLLSLMDAGVQSSAPINMDVNPKFLKRPAIIHNAEPKEFSRLDTGAYKVISSVKHPPRNHNGKNQLAESSRDLIPIMQTTAGASSLSIRHDKRIRKPVDLPSPVIQYKERRKGSDSRTQNKANRSQTSANGGFGTNCGSIPAHSMRIMSFGAPDPSVFSLPFRALENPNKDKLKSLDNNRIVHPHKSSSETEVCSVNRNPADFTIPEAGNMYMIAGEALRFEKDVPFANGSHSLKLDGRKRQRKLPAMKDHGRPPMS >Potri.018G039500.1.v4.1 pep chromosome:Pop_tri_v4:18:3141607:3149120:1 gene:Potri.018G039500.v4.1 transcript:Potri.018G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039500.v4.1 MESTVLVEKNHPCSHSKLVSKVESSIRIDSITIDLDNVDEKIEAEKCSHFSMRGYVSEIRKRDWKMCWPFVSDGDSNNYEEQACLLPPLHVPKFRFWRCQNCVWEVGAKGTANCYGTALKSCGAELKSTNVCSHGPNFDDAARLPSDVQEATNQEIPEGRQADVFASLNSTSKSHHSLSIDKNEKKTKDMNGSIIGKHMGSEDNLKQENHRLACVVTEVVSSPIQKADHSDEIAFKSIGIDLCESGCGHHEVADAEFSKNLNCMVNNATGLCEAGKETSIDDQHKELIACGISGEVGNIDDGALSTANKDPVSRPSLELDEYDDPSSESAEIMVGHNTQDVLHENSSGLHRRKTRKVRLLTELLCENGDRDTDNRTRFSLPHAFPDASAGVDKISVLQGEVAIQGKVRRGLGHNSKRKLPQDEDSRSLEMRSPNKVCKEAGILKRDGESAEPIVASESEEDASGRMGLQTGMKIHWAKNKVDRSPIVSKKKNKKVPSFDECLSPDPSQENLPNEIGEKNGDNSRPIAVDGVLAKSVHNAFIGREMDLFPLPDPRMEKNVSEYKKKGKMPQFDDYQVSPTPWNHDILREGPVIRKDVGTINTGPVVFPFHSAQETSLEKGLDLSLNSYKTAQSYDGKHIPLVENRRSCLFTWQEGTSQIQAKRKASKIEHAGNISFTSKIAQDAPFEKGLHCDPNTKRPSFEMPFRREKQKYTSQVEIGGCSLMQKKDFCHNKGNEGTIGILEHSAFPRKDVNQRADKVCEQGALDDIPMEIVELMAKNQYERCLPDGEYEKCQLETTSSSRRSQTINFSQLLGLGGLSLFHQETTRKQNPPARRNDIIKIGEMVGQTKQKEVDFFSQADRNPFSMRQPEKIRSPVGFGAFLQLQEKPSGRFQHPASSYNIQNTPQICKQRGEVVGNRSCHTRFQTPGACNTCQSIPQQSKEANQLWSSMMPNRMPFVYSIPPKCVTPSTNVDVFPHSPGTVLKENMNGDRVLKFPNKNAANLGKQNRNLGSETLLRAHAEYPFAGKHNGIELNHKPMGSLELYSNETIPAMHLLSLMDAGVQSSAPINMDVNPKFLKRPAIIHNAEPKEFSRLDTGAYKVISSVKHPPRNHNGKNQLAESSRDLIPIMQTTAGASSLSIRHDKRIRKPVDLPSPVIQYKERRKGSDSRTQNKANRSQTSANGGFGTNCGSIPAHSMRIMSFGAPDPSVFSLPFRALENPNKDKLKSLDNNRIVHPHKSSSETEVCSVNRNPADFTIPEAGNMYMIAGEALRFEKDVPFANGSHSLKLDGRKRQRKLPAMKDHGRPPMS >Potri.001G044200.1.v4.1 pep chromosome:Pop_tri_v4:1:3190110:3193183:1 gene:Potri.001G044200.v4.1 transcript:Potri.001G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044200.v4.1 MGVFAAVGVLLPFPYYYWLWTNPQAWVNLCGKDKNPSKVMSHVSHFLKLLQFISLFSVSTLSWPPPLYFWPLFGFGQFLNFRVYQLLGESGTYYGVRFGKNIPWVTQFPFGVIQDPQYVGSILSLFACLSWTPFQYILLWTLGYVFMIYVESKEDPATRAKPIS >Potri.001G044200.2.v4.1 pep chromosome:Pop_tri_v4:1:3190209:3190484:1 gene:Potri.001G044200.v4.1 transcript:Potri.001G044200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044200.v4.1 MGVFAAVGVLLPFPYYYWLWTNPQAWVNLCGKDKNPSKVMSHVSHFLKLLQFISLFSVSTLSWPPPLYFWPLFGFGQFLNFRSVNHCTIYI >Potri.003G021400.1.v4.1 pep chromosome:Pop_tri_v4:3:2230245:2233513:1 gene:Potri.003G021400.v4.1 transcript:Potri.003G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021400.v4.1 MKVSLSPKVRKRMEGLRHLQSQHDELEAQFLEERKALEAKYQKLYQPLYTKRFEIVNGLKEVEGATLTELAGTKEDQATEEKGVPEFWLAAMKTHEVLAAEIKGRDEGALKFINDIKWSRLQDSEGFRLEFYFNPNTYFKNSVLTKTYRIIDELDPILSQAIGTEIEWYPGKCLTKKVIVKKKPRRGSKIAKTITTIKKSESFFTFFTPPRIPENEDDRDDDAYDELQDMIEQDYIVGMIIRDKTIPHAVSWFTGEATKDDEAEKEGERKEGVKKDVNEDHDEEKERALKKGVEDERKEGMEDVHEDHNEEEGGKGALKKGVEEKEAEKQVEKKKNGGAQIQEGQKNGGAQIQEDQKKELPPECRQL >Potri.003G021400.3.v4.1 pep chromosome:Pop_tri_v4:3:2230245:2233513:1 gene:Potri.003G021400.v4.1 transcript:Potri.003G021400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021400.v4.1 MKVSLSPKVRKRMEGLRHLQSQHDELEAQFLEERKALEAKYQKLYQPLYTKRFEIVNGLKEVEGATLTELAGTKEDQATEEKGVPEFWLAAMKTHEVLAAEIKGRDEGALKFINDIKWSRLQDSEGFRLEFYFNPNTYFKNSVLTKTYRIIDELDPILSQAIGTEIEWYPGKCLTKKVIVKKKPRRGSKIAKTITTIKKSESFFTFFTPPRIPENEDDRDDDAYDELQDMIEQDYIVGMIIRDKTIPHAVSWFTGEATKDDEAEKEGERKEGVKKDVNEDHDEEKERALKKGVEDERKEGMEDVHEDHNEEEGGKGALKKGVEEKEAEKQVEKKKNGGAQIQEGQKNGGAQIQEGQKNGGAQIQEDQKKELPPECRQL >Potri.011G149700.1.v4.1 pep chromosome:Pop_tri_v4:11:17681176:17683207:-1 gene:Potri.011G149700.v4.1 transcript:Potri.011G149700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149700.v4.1 MEFGSGFSEEDQISRGKGLPFSSYSSSSSPSSSSSHQKTQLVVPLGQIFENHQMGSWLGNKYDQEDQDTSRFDESGASTAAKLDLMDVNDDEEGGRGESSCVVIEKEHMFDKVVTPSDVGKLNRLVIPKQHAEKYFPLDSSSNEKGLLLNFEDRNGKAWTFRYSYWNSSQSYVMTKGWSRFVKEKKLDAGDIVSFQRGVGELGKDRLYIDWRRRPDAPDHASHHQLYHHNLPFSSIPWSPLLMRPPTAPILPRDHLHLSNPNRNAYYNVGGSYYGHGYGNYNNVVNPCSSSESVFYMRSSAGAAVEPTPQQVGMGMVQWQLGGGGVVEPVVYESVPVVQGKAAAKKLRLFGVNMDCPITDQSDNYDRKLSSTTTAAATLPHNATIALQPTPQLASQSLQHPLHQLKLYRGTPLPELPPSNTQFLHKGKSSSSSSSMSLDLDI >Potri.009G154032.1.v4.1 pep chromosome:Pop_tri_v4:9:12068211:12069103:1 gene:Potri.009G154032.v4.1 transcript:Potri.009G154032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154032.v4.1 MDRIDDAVMRILRVKFTLCLFETPLADETLVDQLGSQAHRDLAREAVRKSPVLLKNGENADDPVLPLPKKASRILVAGIHANNMGYQCGGWTATWQGVDGNNYTAETPYAETAGDSLNLTIAEPGPSTILNVCGNVKCVVVTVSGRPVVIEPYESQIDALVAAWLPGIEGQGVADVLFGDYGFTGKLPQTWFKTVD >Potri.002G182000.1.v4.1 pep chromosome:Pop_tri_v4:2:14458696:14460476:-1 gene:Potri.002G182000.v4.1 transcript:Potri.002G182000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182000.v4.1 MTNRALSFPSSPVGYVFRPTDEELVNHYLRLKMHGGYEQEVSIIAEVNVCDFEPWILPGLSTYQSNDPECYFFCPRSYKYVNSHRANRTTEAGYWKVTGKNRIIIAKSTKEHIATKKTLVFYENRVPNGVKTDWVIHEYHPTFSFHNQRDFVLCKLKKHPDENMPTFEESESSSNVPYGLGNQNPTVRNYPLILEEGGHTAQMASNLANNRLEEEINQLRTQLESFRGFDDGDFGLNSALQFSPGNYM >Potri.001G459900.1.v4.1 pep chromosome:Pop_tri_v4:1:48553740:48561251:-1 gene:Potri.001G459900.v4.1 transcript:Potri.001G459900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459900.v4.1 MEVIIMTNGQNGLSGFERNGNLNCNCSWKPYSSCGPLNSWRPPIFGVPLKSKRGKAMRVVGLRVKALQKDESDNRLVGSGGVIEKEFEFKPSFGEYLKAMESVKTGREKNQVHKSNSSKLKDDLEGNDAPLLERDERSVKLRGFKDRVKVSKVMESDEFGENANRPSGEEDVVNAELDYRRGRIREFNHNVGGKESHAHANVRRKRGGATSNERWLRNGTRSSNSDLEDLNYRSYKLKGDLEESDAPSSVRHESSVNLRRFNNQEKVSGVMESDEFGDNGDGCSGQEDVVNAELNYRGGRIREFNHKVGGKESHVHANARKKMGGATSDERWLRNRTSSMNSDLEDLNYRSYKLKGDLEESDAPSSVRHESNVDLRRFNNREKVSGVMESDEFGDNGDGCSGQEDVINVELDYRGGRIREFNHKAGGKVSGVHADFRRKMGGATSDGRWLRDHTSSMNSDSEDFNETKSMKTQIAQRSPMVLGYIESIDRTIGLKENLAHAKDSLDMFGIKGKAFEKENIGSGVNKMNGGLVRNRSQADKITDKRYVQKNRLSRRSDQAFLERGYGEDFEVERAAFKSFEQSNDVIGKTKVPMWKIEEKIQKLGNWLNGADIDMPEWMFSKAMRSARVKYTDHSVLRIIQILGKLGNWRRVLQIIEWLNIRERYKSHRLSHVYTTALHVLGKAKRPVEALNLFHAMQQEMCLYPDLVAYRSIAVTLGQAGYMKELFDVIDSMRSPPKKFKSGAPGKRDLGLEPDLVVYNAVLNACVRRKQWEGAFWVLQQMKEKGVQPSTATYGLVMEVMLACGKYNLVHEFFKKVQKSSIPNALVYKVLVNTFWREGKTEEAVLAVKDMERRGIVGSAALYYDLARCLCTSGRCQEALDLIEKICKVANKPLVVTYTGLVQACLDSGNIQNAVYIFNQMRNFCPPNLVTCNIMLKAYLEHGLFEEANELFNKMLDDGNHISRRSDYKFRVIPDIYTFNTMLDASIAENKWDDFEYVYQKMLRHGFHFNANRHLRMVLDASRAGKGEVLEITWKHLAQEDRIPPPPLVKERFCMMLEKEDFDSALACITTNSAGESQAFCKSAWLNLFEENAQRFRKDTLMRLMHEVRVLAAQSNSPNPVLQNLLISCSDYNRPRVKVPGFNQT >Potri.002G249200.1.v4.1 pep chromosome:Pop_tri_v4:2:23951877:23952872:1 gene:Potri.002G249200.v4.1 transcript:Potri.002G249200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249200.v4.1 MASLPFSALSLFFSLCVLLVLPTHSLTCSTSQKFTNNKHYTNCTALPALKSYLHYTYNSSNSSLSVAFIASPAKPDGWTGWGINLNGTGMAGAQVILALKSSKGAPEVKTYNIISYGDIREERLSFDVWDLSAETNATSGEFTIYASVKLPEKVESFNHIWQVGAAVNNGKPVKHEFAAENKDAKATLELTTAQKTGKSATTTTPAGGNSTGNGTTSSSNTTTNGGNSGSYRIKEMNVGFCFAVFVLLASFIVF >Potri.001G345200.1.v4.1 pep chromosome:Pop_tri_v4:1:35617649:35622978:1 gene:Potri.001G345200.v4.1 transcript:Potri.001G345200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345200.v4.1 MPKTETDTPKMKPRPIVRLGIFLISHSLLVSVVCCTAGVLALLLLPLLAKSTYISENALMPGSASSMISNRDISEANRLASDLSGLNFEPQDAAALESRGILTKYMSDLGAEVSYHKFHHKPNQFHPLHFFSSPDSTSSVKNFSCSAHGVNTVGIIRAPRGDGKEAIVLVTPYKFGKSGAAETLSLSIAYTVFSLLSRVTWLAKDIIWFVADSQYGEYAPVEAWLRDYHTPVFTGLGTLNADTCVKIDDLYVFEQKSIAQRKISDGFRRAGTMAAALILKVADRNELLEDTLNIYAEASNGQMPNLDLINIVNYLAVHRQGLRVKVEKVLTLLDLRWLEILGEMFELLGKVARSLNPGWKFGISAADYIEGSATLASSLYYQALGIPTGPHGAFRDFQVDAITLEISPKVFPHNKARHNEFLLRGGRLVEGVIRSINNLLEKFHQSFFLYMLTSPSKFVSVGVYMIAFALLVAPLPLVAASLYADANQLDLGVKNTKSTPTATASDELDITFRSWKWLYAAKEVFLIHVWGAAVSLLPYFICQIPNCSPTSSSVIWVFLSMLSLLILYLIVGSPSTHVSISQPEEKGEWAILKSATISAVFIGLLVMSVVNFAAAEIGALLLVPMCLMAQPLKLDMKAGKLRSFLRIICNVVLGFVAFPPAAFFVVKTIFEGFDSINMGDFWNWMESLWAWNSATYIYIGMVHLPCWVLCLHILLHSC >Potri.001G345200.3.v4.1 pep chromosome:Pop_tri_v4:1:35617649:35622977:1 gene:Potri.001G345200.v4.1 transcript:Potri.001G345200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345200.v4.1 MYAAMMPGSASSMISNRDISEANRLASDLSGLNFEPQDAAALESRGILTKYMSDLGAEVSYHKFHHKPNQFHPLHFFSSPDSTSSVKNFSCSAHGVNTVGIIRAPRGDGKEAIVLVTPYKFGKSGAAETLSLSIAYTVFSLLSRVTWLAKDIIWFVADSQYGEYAPVEAWLRDYHTPVFTGLGTLNADTCVKIDDLYVFEQKSIAQRKISDGFRRAGTMAAALILKVADRNELLEDTLNIYAEASNGQMPNLDLINIVNYLAVHRQGLRVKVEKVLTLLDLRWLEILGEMFELLGKVARSLNPGWKFGISAADYIEGSATLASSLYYQALGIPTGPHGAFRDFQVDAITLEISPKVFPHNKARHNEFLLRGGRLVEGVIRSINNLLEKFHQSFFLYMLTSPSKFVSVGVYMIAFALLVAPLPLVAASLYADANQLDLGVKNTKSTPTATASDELDITFRSWKWLYAAKEVFLIHVWGAAVSLLPYFICQIPNCSPTSSSVIWVFLSMLSLLILYLIVGSPSTHVSISQPEEKGEWAILKSATISAVFIGLLVMSVVNFAAAEIGALLLVPMCLMAQPLKLDMKAGKLRSFLRIICNVVLGFVAFPPAAFFVVKTIFEGFDSINMGDFWNWMESLWAWNSATYIYIGMVHLPCWVLCLHILLHSC >Potri.002G177100.3.v4.1 pep chromosome:Pop_tri_v4:2:13801549:13805398:-1 gene:Potri.002G177100.v4.1 transcript:Potri.002G177100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177100.v4.1 MSEETKSSDFTSLETRPGILFIGSSNVGKRTLLSRLLSIDLEDAFDSSNQVFSHGWSIDTKYYTADVSLWIAHLHEGFSIGSLPIYNKLAALVMVFDLSDLSSFVALKDWVAGNDISKFEILLCIGNKVDRIPGHPVHAEYRRQLRKIGESGSFDDLNIELDEFGISEIEGSSLLGDEEEPSREIKRSCIEWCTDHGIEYIEACASNVDFDKCLLVDGDSQGVERLYGALSAHMWPGMILKSDNKIIQPTLPDKEEISEDESDYELEYEVLSGGSAEPWDDTYGGWVSANGRSAIPDEGGSVTENNYVKECENENREKFDKEMQPSSSATELQGDKRAVPDVQEPCENGESDEVTPFDFEDLEQLMSEIGNVRDNLRLMPDFQRREMAANLAMKMAAMFGGGSDVDDEEVE >Potri.002G177100.6.v4.1 pep chromosome:Pop_tri_v4:2:13799561:13805364:-1 gene:Potri.002G177100.v4.1 transcript:Potri.002G177100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177100.v4.1 MVFDLSDLSSFVALKDWVAGNDISKFEILLCIGNKVDRIPGHPVHAEYRRQLRKIGESGSFDDLNIELDEFGISEIEGSSLLGDEEEPSREIKRSCIEWCTDHGIEYIEACASNVDFDKCLLVDGDSQGVERLYGALSAHMWPGMILKSDNKIIQPTLPDKEEISEDESDYELEYEVLSGGSAEPWDDTYGGWVSANGRSAIPDEGGSVTENNYVKECENENREKFDKEMQPSSSATELQGDKRAVPDVQEPCENGESDEVTPFDFEDLEQLMSEIGNVRDNLRLMPDFQRREMAANLAMKMAAMFGGGSDVDDEEVE >Potri.017G083900.1.v4.1 pep chromosome:Pop_tri_v4:17:9662250:9664890:-1 gene:Potri.017G083900.v4.1 transcript:Potri.017G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G083900.v4.1 MVRLPVPRTVNSNSKLLSKKNHCISLLKLSSSVKHVSQIHAQILVSGLQQDTNLLTELIRFCSLSPSKHLSYAHSLLYNSLDSLPPWNFLIRGYASGDAPKNAIRVFHRMRKEGVGPNNFTFPFVLKACATCLALEEGKQVHADIFKFGLDCDVYVNNNLVHLYGSCKRIWDACKVFDEMPVRSIVSWNSVITACVQNLWLGDAIWNFVKMKDFGFEPNETTMVIMLSLCAQIGNLSLGRWIHSQVIERGMTLNCQLGTALVDMYAKSGDLGYAKLVFDRMEKKNVWTWSAMILGLAQHGFGKEGLELFLKMKESVSICPNYVTFLGVLCACSHAGLVDEGFRYFYEMEHRHGIRPMVIHYGAMVDILGRAGNLEEAYNFLMDVPFQPDPILWRTLLSACNIHNANVSDGLVDKVRKKLLELEPRRSENFVIIANMYADAGMWETATNVRRTMRDGGLKKIGGESCIVLEGSIHQFFSGCSKDDHESIELLLDGLNLNMKMVNSL >Potri.005G050120.1.v4.1 pep chromosome:Pop_tri_v4:5:3174346:3176485:-1 gene:Potri.005G050120.v4.1 transcript:Potri.005G050120.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050120.v4.1 MVRMNPRPSVAEFGKFLGSFAKKKQYSTVVSLCNQMDLFGVTHNVYSLNVLINCLCRLNHVDFAVSILGKMFKLGIHPTASTFNALINGLCNEGKIKEAGGLFNEMLRRGHEPNVISYNTIIKGLFKSGNRNVAVHVFKKMEPNGCKPDVVTYNTIIDNLCKDRLVNDAMEFLSEMLDRGIPPNVFTYNCMVHGFCILGQLNEATRLFKEMVGRDVMPDTVTLTILVDGLCKEGMVSEARLVFETMTEKGVEPDISTYNALMDGYCLQRLMNEAKKVFEIMIRKGCAPGAHSYNILINGYCKSRRMDEAKSLLAEMYHKA >Potri.004G119500.3.v4.1 pep chromosome:Pop_tri_v4:4:11297871:11298947:-1 gene:Potri.004G119500.v4.1 transcript:Potri.004G119500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G119500.v4.1 MSYEEILKVVFPLLEGADLASCMAVCKQWRDMAQDDYFWKCVCAKRWPSICKRSDPPTVTYYKLYQTFYKRQHRQILLPPRLSFDNLEFFIDIWTDDKLIFSEVVPGPVLHTGIKSPPPGICDRLRFQLEGPDCKMTLPVEPRFKVPLGETVSVSVLVGRKDSNRVACIINKSVFDYIDRTSYRAMAFDYLGLSPAHPFVPGIRAWISLLFMDDRNDSVIDVFGIEMDFCDAAKSRDEVLWLLDILDWK >Potri.009G092100.1.v4.1 pep chromosome:Pop_tri_v4:9:8392904:8396660:-1 gene:Potri.009G092100.v4.1 transcript:Potri.009G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092100.v4.1 MTEKLALPLLLTNPPPTKLLLPLQSHLHQQQLKLTTPTSPPPQHGTPPMQDFLFKQSPNLSKPINPQTQPDLFPIPRNRTRIGKACDPNRGKPWTHRRLSLQGQRVLDSLNDPSFETSELDKILSLLFDYYKEELSVCSGGKERLSNDVLGIIKGLGFYKKSDLAMSVFEWFKNRNGYESVLSNSAVAVIINMLGKEGKVSVAASLLNNLHKDGFEPDVYAYTSLITACVSNGRYREAVMVFKKMEEEGCKPTLITYNVILNVYGKMGMPWNKITGLFEGMKNAGILPDEYTYNTLITCCRRGSLYEEAAAVFEDMKSMGFVPDKVTYNTLLDVYGKSRRIKEAIEVLREMEVNGCSPSIVTYNSLISAYARDGLLEEAMELKNQMVERGIKLDVFTYTAMLSGFVRTGKDESAMRVFEEMRTAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKICCCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRVGFVPERDTYNTLISAYSRCGSFDQAMAMYKRMLDTGITPDLSTYNAVLAALARGGLWEQSEKILAEMQDGMCKPNELTHCSLLHAYANGKEIGRMLALAEEICSGVIEPHAVLLKTLVLVNSKCDLLLEAERAFLELKRKGFSPDLSTLNAMIAIYGRRQMVTKTNEILNFMKESGFTPSLATYNSLMYMHSQSENFERSEEVLKEILAKGIKPDIISYNTVIFAYCRNGRMKEASHIFSEMRESGLIPDVITYNTFVASYAADSMFEEAIDVVCYMIKHGCKPNQNTYNSVIDGYCKLNRRDDAIKFISSLHELDPHISREDECRLLERLTKWS >Potri.009G092100.2.v4.1 pep chromosome:Pop_tri_v4:9:8393131:8396576:-1 gene:Potri.009G092100.v4.1 transcript:Potri.009G092100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092100.v4.1 MTEKLALPLLLTNPPPTKLLLPLQSHLHQQQLKLTTPTSPPPQHGTPPMQDFLFKQSPNLSKPINPQTQPDLFPIPRNRTRIGKACDPNRGKPWTHRRLSLQGQRVLDSLNDPSFETSELDKILSLLFDYYKEELSVCSGGKERLSNDVLGIIKGLGFYKKSDLAMSVFEWFKNRNGYESVLSNSAVAVIINMLGKEGKVSVAASLLNNLHKDGFEPDVYAYTSLITACVSNGRYREAVMVFKKMEEEGCKPTLITYNVILNVYGKMGMPWNKITGLFEGMKNAGILPDEYTYNTLITCCRRGSLYEEAAAVFEDMKSMGFVPDKVTYNTLLDVYGKSRRIKEAIEVLREMEVNGCSPSIVTYNSLISAYARDGLLEEAMELKNQMVERGIKLDVFTYTAMLSGFVRTGKDESAMRVFEEMRTAGCKPNICTFNALIKMHGNRGKFAEMMKVFEEIKICCCVPDIVTWNTLLAVFGQNGMDSEVSGVFKEMKRVGFVPERDTYNTLISAYSRCGSFDQAMAMYKRMLDTGITPDLSTYNAVLAALARGGLWEQSEKILAEMQDGMCKPNELTHCSLLHAYANGKEIGRMLALAEEICSGVIEPHAVLLKTLVLVNSKCDLLLEAERAFLELKRKGFSPDLSTLNAMIAIYGRRQMVTKTNEILNFMKESGFTPSLATYNSLMYMHSQSENFERSEEVLKEILAKGIKPDIISYNTVIFAYCRNGRMKEASHIFSEMRESGLIPDVITYNTFVASYAADSMFEEAIDVVCYMIKHGCKPNQNTYNSVIDGYCKLNRRDDAIKFISSLHELDPHISREDECRLLERLTKWS >Potri.010G173800.1.v4.1 pep chromosome:Pop_tri_v4:10:17451446:17455195:1 gene:Potri.010G173800.v4.1 transcript:Potri.010G173800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173800.v4.1 MQQLKLSARLIKSLPISSLPSTPSSTLQQIASSHSKGIAKVVLKKGKTQLFKDGSPMVYSGAVDRIIGRPPPKTGDIVLVADGTEKPIGWGLYNSVSMFCVRLMQLEEEATRDPSCALDMKKLLETRTNAAIELRRRLGLPSSHTNAYRLVNSEGDRLSGLIVDVFGDLAVIASSAAWVENYKPEVEACINRIDGINHINWRPSVDILKEEGMDVSDMKEVHPSTCPERIKVMENGISYAISLVGQKTGFYADQRENRQFISTISNGQKVLDICCYSGGFALNAAHGGAIDVTGVDTSMPALELARENIVLNNLDPGRISFLREDAIQFMKGALSRNESWDIVILDPPKLAPRKKVLQNASGMYRNMNSMALRLTKRGGLLMTCSCSGAMTQSGMFLRVLQGAASMAGRKISVLREAGAASDHPIDPSYPEGAYLSNILLRVL >Potri.010G049600.3.v4.1 pep chromosome:Pop_tri_v4:10:8124684:8129619:1 gene:Potri.010G049600.v4.1 transcript:Potri.010G049600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049600.v4.1 MGGKSSKESSWRDYSSYGSANSSSSSSSNQNGYPPASPYPYPSPQHNSYYTSQNHHAPAPSSSSYPYESQRTPQHPQKRLDRKYSRIADNYKTLDQVTAALAQAGLESSNLIVGIDFTKSNEWTGARSFNRRSLHHIGNGQNPYEQAISIIGRTLSAFDEDNLIPCYGFGDASTHDQDVFSFFPDERFCNGFEEVLMQYKEIVPNLRLAGPTSFAPVIEMAITIVEQSGGQYHVLLIIADGQVTRSVDTQSGQLSPQERRTIDAIVRASKYPLSIILVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMSKNVSESRKETEFALASLMEIPSQHQATIELGLLGHHSGNAPERVPLPPPLYGPHSSSRSNASRSSSFQRRVPSYSGYDTPVSGYETASGKAPSPSSVYDNQVCVICLTNPKDMAFGCGHQTCCDCGEDLQLCPICRRPIQTRIRLY >Potri.007G012100.1.v4.1 pep chromosome:Pop_tri_v4:7:952907:954239:-1 gene:Potri.007G012100.v4.1 transcript:Potri.007G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012100.v4.1 MEPHQQQPNEDNNGGAKGNFLCRQTSTRWNPTTDQIRILKELYYIKGVRSPNGAEIQQISARLRKYGKIEGKNVFYWFQNHKARERQKKRLTNEVPMQQRTAWKPEDYYSYKYSNSNNNPGFSSASSSANTGVVTVGQTDSHGYGSVTMQEKNSWDCSAPAGGSNGAGSGSMSNINYGSGVDINSHSSSYAVFGQEQEAAAKIETLPLFPMLGEDISSSFNINNINPDFYYSSGCGYGDYGNDTSSRTSLDLSLYSYNGQPQDY >Potri.015G084101.1.v4.1 pep chromosome:Pop_tri_v4:15:10902513:10902773:1 gene:Potri.015G084101.v4.1 transcript:Potri.015G084101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084101.v4.1 MQRYHLQRLKLKFGSINLQLLINCCKLRKSAQMSKGTVHQTRQQHGWDTTSDDYHAYISKLSRMPSVLHGAPQYPSVRKAFNNKVI >Potri.017G087600.1.v4.1 pep chromosome:Pop_tri_v4:17:10065527:10068673:-1 gene:Potri.017G087600.v4.1 transcript:Potri.017G087600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G087600.v4.1 MKCSTINFTTLQSLPARFRSLLRSCARNSSLSTGKKLHAVILTSGLASSSPNTFLLNALHHLYASCGVTSSARHLFYQIPRSHKDVTDWTTLLTSLVQHGTKPSEGFFFFKEMRKEGVVLDDVAMISVFVLCTRVEDLGMGRQAQGCLVKMGLGLGVKVCNAIMNMYVKCGLVEEVRRVFCEMNERNVVSWSTLLEGVVKWEGVENGRVVFDEMPERNEVGWTIMIAGYVGNGFSREGFLLLDEMVLRFRLGLNFVTLSSILSACAQSGDVLMGRWVHVYALKGMGREMHIMVGTALVDMYAKCGPIDMAFKVFKYLPKRNVVAWNAMLGGLAMHGRGKFVLDIFPKMIEEAKPDDLTFMAVLSACSHSGLVDQGYHYFRSLESEYGTTPKIEHYACMVDILGRAGHLEEAVMLIKKMPMCPNEVVLGSLLGSCNAHGKLQLGERILQELIQMDGHNTEYHVLLSNMYVLEGKQDKANSLRQILKSKGIRKVPGVSSIYVGGNIHQFSAGDKSHPLTKEIYHALNNMIQRLRLAGYVPNTTNQVFPGSDGREGSSEEMEEKEQALFLHSEKLAVCFGHISTKPGAPLYIFKNLRICQDCHSAIKIVSKIYNREIVIRDRNRFHCFKHGSCSCSDYW >Potri.010G181400.12.v4.1 pep chromosome:Pop_tri_v4:10:18013877:18018081:1 gene:Potri.010G181400.v4.1 transcript:Potri.010G181400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181400.v4.1 MLLRKKLLLKKLVNSVCNLSIIGLGVLYFQSTIYTFKKSFLTSILFKQFNLVIVYFIGNERYDDEQPIYLPPELASQGPRNLKTKYYCYLIELNQKFDYGVPVHDIVLVMRTELESDVLSSMGFELEAERGLLAVSLRYIGDIYLDQVPVLLCRRFQITLFEVLIHREVNKLEEVLKGLELGTGVVMDYFLLPAIRSRSQPSIDWEPISSVLFSYKNEDHFNCSSKGNAHVVHTKGGPVCTCVLQNSLVCTPHNGNVYFITGASEDLNGRSLLKLRNGSAITYKEHFAKRRNSIQLLFDQEPLLEGRHIFPVHNFLNRCRTKKEKESKNAHVDLPPELCDIILSPVSISTLYSYTFIPSIMHRLESLLIAVNLKKMHSDHCMQNVDIPAMKVLEAITTKKCQEKFHLESLETLGDSFLKYAASQQLFKLYQNHHEGLLSMKKEKIISNAALCRRGCDHKLPGFIRNESFDPKLWMIPGDKCGSDLLSEEPLSECRKIYVRGRRKVKSKTVADVVEALIGAYLSTGGEVLALFFMDWIGIKVDFMIVPYERHFQLQAEKFVNVRYLESLLNYSFRDPSLLVEALTHGSYMLPEIPSCYQRLEFLGDAVLDYLITMHLYKEYPGMSPGLLTDLRSASVNNDCYAQSAVKGDLHKHILHTSQDLHKHIVETAEIFQKSSLGSTFGWESETSFPKVLGDVIESLAGAILVDSGYNKEIVFQSIRPLLEPLITPATVRLHPARELSELCQKQHFDYKKSVVSYNGRNASITIVVGANGVTFKHTATAADKKTAKKLASKEVLKSLKESNFASSSTPSKLD >Potri.010G181400.9.v4.1 pep chromosome:Pop_tri_v4:10:18007316:18018099:1 gene:Potri.010G181400.v4.1 transcript:Potri.010G181400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181400.v4.1 MMEPVSVDIDTTQQLPADPLPFARSYQLEALEQALKQNTIVFLETGSGKTLIATMLLRSYAHLLRKPSRFIAVFLVPEVFLVRQQAGVVRMHTDLNVGMYWGDMGIDFSHAATWKQEIDKHEVLVMTHQILLNGLRQGYFKLDFIKVLIFDECHHARGNHPYACIMTEFFHRELRSGHHDLPRIFGMTASLIKSKGANSESYYRQQICELENIMNSKVYTCASETVLAEFIPSPAAEFLFYEPMKIPDGIYACLEEELGNLKAKHELLLKQLDLSESAAESVHSKISKVHSALMFCSGELGVWLAFQAARFLSHSDTDSDFIAWGKVDVSGETIVKKFCWDASLVISNCFSAECCIGDNTEADVGAGLITAKVLCLIKTLLQYRDLKDIRCIVFVERVITAVVLESLLRELLPKHSSWKTKYIAGNNSGLQSQTRQMQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPPSSVSSFIQSRGRARMQNSDYLLMVKTEDSTTHSRLENYLSSSEIMRRESLRRSSTSCSAPQSELYEDEFYSVEGTGAVVTLSSSVSLIYFYCSRLPSDGYFKPAPICIIDKEKETCTLHLPKSSPIQNICVQGNNKNLKQKACLEACKQLHLIGALTDNLVPDVVEEEAVAQEIRNERYDDEQPIYLPPELASQGPRNLKTKYYCYLIELNQKFDYGVPVHDIVLVMRTELESDVLSSMGFELEAERGLLAVSLRYIGDIYLDQVPVLLCRRFQITLFEVLIHREVNKLEEVLKGLELGTGVVMDYFLLPAIRSRSQPSIDWEPISSVLFSYKNEDHFNCSSKGNAHVVHTKGGPVCTCVLQNSLVCTPHNGNVYFITGASEDLNGRSLLKLRNGSAITYKEHFAKRRNSIQLLFDQEPLLEGRHIFPVHNFLNRCRTKKEKESKNAHVDLPPELCDIILSPVSISTLYSYTFIPSIMHRLESLLIAVNLKKMHSDHCMQNVDIPAMKVLEAITTKKCQEKFHLESLETLGDSFLKYAASQQLFKLYQNHHEGLLSMKKEKIISNAALCRRGCDHKLPGFIRNESFDPKLWMIPGDKCGSDLLSEEPLSECRKIYVRGRRKVKSKTVADVVEALIGAYLSTGGEVLALFFMDWIGIKVDFMIVPYERHFQLQAEKFVNVRYLESLLNYSFRDPSLLVEALTHGSYMLPEIPSCYQRLEFLGDAVLDYLITMHLYKEYPGMSPGLLTDLRSASVNNDCYAQSAVKGDLHKHILHTSQDLHKHIVETAEIFQKSSLGSTFGWESETSFPKVLGDVIESLAGAILVDSGYNKEIVFQSIRPLLEPLITPATVRLHPARELSELCQKQHFDYKKSVVSYNGRNASITIVVGANGVTFKHTATAADKKTAKKLASKEVLKSLKESNFASSSTPSKLD >Potri.010G181400.11.v4.1 pep chromosome:Pop_tri_v4:10:18007354:18018073:1 gene:Potri.010G181400.v4.1 transcript:Potri.010G181400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181400.v4.1 MMEPVSVDIDTTQQLPADPLPFARSYQLEALEQALKQNTIVFLETGSGKTLIATMLLRSYAHLLRKPSRFIAVFLVPEVFLVRQQAGVVRMHTDLNVGMYWGDMGIDFSHAATWKQEIDKHEVLVMTHQILLNGLRQGYFKLDFIKVLIFDECHHARGNHPYACIMTEFFHRELRSGHHDLPRIFGMTASLIKSKGANSESYYRQQICELENIMNSKVYTCASETVLAEFIPSPAAEFLFYEPMKIPDGIYACLEEELGNLKAKHELLLKQLDLSESAAESVHSKISKVHSALMFCSGELGVWLAFQAARFLSHSDTDSDFIAWGKVDVSGETIVKKFCWDASLVISNCFSAECCIGDNTEADVGAGLITAKVLCLIKTLLQYRDLKDIRCIVFVERVITAVVLESLLRELLPKHSSWKTKYIAGNNSGLQSQTRQMQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPPSSVSSFIQSRGRARMQNSDYLLMVKTEDSTTHSRLENYLSSSEIMRRESLRRSSTSCSAPQSELYEDEFYSVEGTGAVVTLSSSVSLIYFYCSRLPSDGYFKPAPICIIDKEKETCTLHLPKSSPIQNICVQGNNKNLKQKACLEACKQLHLIGALTDNLVPDVVEEEAVAQEIRNERYDDEQPIYLPPELASQGPRNLKTKYYCYLIELNQKFDYGVPVHDIVLVMRTELESDVLSSMGFELEAERGLLAVSLRYIGDIYLDQVPVLLCRRFQITLFEVLIHREVNKLEEVLKGLELGTGVVMDYFLLPAIRSRSQPSIDWEPISSVLFSYKNEDHFNCSSKGNAHVVHTKGGPVCTCVLQNSLVCTPHNGNVYFITGASEDLNGRSLLKLRNGSAITYKEHFAKRNSIQLLFDQEPLLEGRHIFPVHNFLNRCRTKKEKESKNAHVDLPPELCDIILSPVSISTLYSYTFIPSIMHRLESLLIAVNLKKMHSDHCMQNVDIPAMKVLEAITTKKCQEKFHLESLETLGDSFLKYAASQQLFKLYQNHHEGLLSMKKEKIISNAALCRRGCDHKLPGFIRNESFDPKLWMIPGDKCGSDLLSEEPLSECRKIYVRGRRKVKSKTVADVVEALIGAYLSTGGEVLALFFMDWIGIKVDFMIVPYERHFQLQAEKFVNVRYLESLLNYSFRDPSLLVEALTHGSYMLPEIPSCYQRLEFLGDAVLDYLITMHLYKEYPGMSPGLLTDLRSASVNNDCYAQSAVKGDLHKHILHTSQDLHKHIVETAEIFQKSSLGSTFGWESETSFPKVLGDVIESLAGAILVDSGYNKEIVFQSIRPLLEPLITPATVRLHPARELSELCQKQHFDYKKSVVSYNGRNASITIVVGANGVTFKHTATAADKKTAKKLASKEVLKSLKESNFASSSTPSKLD >Potri.010G181400.10.v4.1 pep chromosome:Pop_tri_v4:10:18007213:18018074:1 gene:Potri.010G181400.v4.1 transcript:Potri.010G181400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181400.v4.1 MMEPVSVDIDTTQQLPADPLPFARSYQLEALEQALKQNTIVFLETGSGKTLIATMLLRSYAHLLRKPSRFIAVFLVPEVFLVRQQAGVVRMHTDLNVGMYWGDMGIDFSHAATWKQEIDKHEVLVMTHQILLNGLRQGYFKLDFIKVLIFDECHHARGNHPYACIMTEFFHRELRSGHHDLPRIFGMTASLIKSKGANSESYYRQQICELENIMNSKVYTCASETVLAEFIPSPAAEFLFYEPMKIPDGIYACLEEELGNLKAKHELLLKQLDLSESAAESVHSKISKVHSALMFCSGELGVWLAFQAARFLSHSDTDSDFIAWGKVDVSGETIVKKFCWDASLVISNCFSAECCIGDNTEADVGAGLITAKVLCLIKTLLQYRDLKDIRCIVFVERVITAVVLESLLRELLPKHSSWKTKYIAGNNSGLQSQTRQMQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPPSSVSSFIQSRGRARMQNSDYLLMVKTEDSTTHSRLENYLSSSEIMRRESLRRSSTSCSAPQSELYEDEFYSVEGTGAVVTLSSSVSLIYFYCSRLPSDGYFKPAPICIIDKEKETCTLHLPKSSPIQNICVQGNNKNLKQKACLEACKQLHLIGALTDNLVPDVVEEEAVAQEIRNERYDDEQPIYLPPELASQGPRNLKTKYYCYLIELNQKFDYGVPVHDIVLVMRTELESDVLSSMGFELEAERGLLAVSLRYIGDIYLDQVPVLLCRRFQITLFEVLIHREVNKLEEVLKGLELGTGVVMDYFLLPAIRSRSQPSIDWEPISSVLFSYKNEDHFNCSSKGNAHVVHTKGGPVCTCVLQNSLVCTPHNGNVYFITGASEDLNGRSLLKLRNGSAITYKEHFAKRNSIQLLFDQEPLLEGRHIFPVHNFLNRCRTKKEKESKNAHVDLPPELCDIILSPVSISTLYSYTFIPSIMHRLESLLIAVNLKKMHSDHCMQNVDIPAMKVLEAITTKKCQEKFHLESLETLGDSFLKYAASQQLFKLYQNHHEGLLSMKKEKIISNAALCRRGCDHKLPGFIRNESFDPKLWMIPGDKCGSDLLSEEPLSECRKIYVRGRRKVKSKTVADVVEALIGAYLSTGGEVLALFFMDWIGIKVDFMIVPYERHFQLQAEKFVNVRYLESLLNYSFRDPSLLVEALTHGSYMLPEIPSCYQRLEFLGDAVLDYLITMHLYKEYPGMSPGLLTDLRSASVNNDCYAQSAVKGDLHKHILHTSQDLHKHIVETAEIFQKSSLGSTFGWESETSFPKVLGDVIESLAGAILVDSGYNKEIVFQSIRPLLEPLITPATVRLHPARELSELCQKQHFDYKKSVVSYNGRNASITIVVGANGVTFKHTATAADKKTAKKLASKEVLKSLKESNFASSSTPSKLD >Potri.010G181400.5.v4.1 pep chromosome:Pop_tri_v4:10:18007205:18018083:1 gene:Potri.010G181400.v4.1 transcript:Potri.010G181400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181400.v4.1 MMEPVSVDIDTTQQLPADPLPFARSYQLEALEQALKQNTIVFLETGSGKTLIATMLLRSYAHLLRKPSRFIAVFLVPEVFLVRQQAGVVRMHTDLNVGMYWGDMGIDFSHAATWKQEIDKHEVLVMTHQILLNGLRQGYFKLDFIKVLIFDECHHARGNHPYACIMTEFFHRELRSGHHDLPRIFGMTASLIKSKGANSESYYRQQICELENIMNSKVYTCASETVLAEFIPSPAAEFLFYEPMKIPDGIYACLEEELGNLKAKHELLLKQLDLSESAAESVHSKISKVHSALMFCSGELGVWLAFQAARFLSHSDTDSDFIAWGKVDVSGETIVKKFCWDASLVISNCFSAECCIGDNTEADVGAGLITAKVLCLIKTLLQYRDLKDIRCIVFVERVITAVVLESLLRELLPKHSSWKTKYIAGNNSGLQSQTRQMQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPPSSVSSFIQSRGRARMQNSDYLLMVKTEDSTTHSRLENYLSSSEIMRRESLRRSSTSCSAPQSELYEDEFYSVEGTGAVVTLSSSVSLIYFYCSRLPSDGYFKPAPICIIDKEKETCTLHLPKSSPIQNICVQGNNKNLKQKACLEACKQLHLIGALTDNLVPDVVEEEAVAQEIRNERYDDEQPIYLPPELASQGPRNLKTKYYCYLIELNQKFDYGVPVHDIVLVMRTELESDVLSSMGFELEAERGLLAVSLRYIGDIYLDQVPVLLCRRFQITLFEVLIHREVNKLEEVLKGLELGTGVVMDYFLLPAIRSRSQPSIDWEPISSVLFSYKNEDHFNCSSKGNAHVVHTKGGPVCTCVLQNSLVCTPHNGNVYFITGASEDLNGRSLLKLRNGSAITYKEHFAKRRNSIQLLFDQEPLLEGRHIFPVHNFLNRCRTKKEKESKNAHVDLPPELCDIILSPVSISTLYSYTFIPSIMHRLESLLIAVNLKKMHSDHCMQNVDIPAMKVLEAITTKKCQEKFHLESLETLGDSFLKYAASQQLFKLYQNHHEGLLSMKKEKIISNAALCRRGCDHKLPGFIRNESFDPKLWMIPGDKCGSDLLSEEPLSECRKIYVRGRRKVKSKTVADVVEALIGAYLSTGGEVLALFFMDWIGIKVDFMIVPYERHFQLQAEKFVNVRYLESLLNYSFRDPSLLVEALTHGSYMLPEIPSCYQRLEFLGDAVLDYLITMHLYKEYPGMSPGLLTDLRSASVNNDCYAQSAVKGDLHKHILHTSQDLHKHIVETAEIFQKSSLGSTFGWESETSFPKVLGDVIESLAGAILVDSGYNKEIVFQSIRPLLEPLITPATVRLHPARELSELCQKQHFDYKKSVVSYNGRNASITIVVGANGVTFKHTATAADKKTAKKLASKEVLKSLKESNFASSSTPSKLD >Potri.010G181400.1.v4.1 pep chromosome:Pop_tri_v4:10:18008693:18018082:1 gene:Potri.010G181400.v4.1 transcript:Potri.010G181400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181400.v4.1 MHTDLNVGMYWGDMGIDFSHAATWKQEIDKHEVLVMTHQILLNGLRQGYFKLDFIKVLIFDECHHARGNHPYACIMTEFFHRELRSGHHDLPRIFGMTASLIKSKGANSESYYRQQICELENIMNSKVYTCASETVLAEFIPSPAAEFLFYEPMKIPDGIYACLEEELGNLKAKHELLLKQLDLSESAAESVHSKISKVHSALMFCSGELGVWLAFQAARFLSHSDTDSDFIAWGKVDVSGETIVKKFCWDASLVISNCFSAECCIGDNTEADVGAGLITAKVLCLIKTLLQYRDLKDIRCIVFVERVITAVVLESLLRELLPKHSSWKTKYIAGNNSGLQSQTRQMQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPPSSVSSFIQSRGRARMQNSDYLLMVKTEDSTTHSRLENYLSSSEIMRRESLRRSSTSCSAPQSELYEDEFYSVEGTGAVVTLSSSVSLIYFYCSRLPSDGYFKPAPICIIDKEKETCTLHLPKSSPIQNICVQGNNKNLKQKACLEACKQLHLIGALTDNLVPDVVEEEAVAQEIRNERYDDEQPIYLPPELASQGPRNLKTKYYCYLIELNQKFDYGVPVHDIVLVMRTELESDVLSSMGFELEAERGLLAVSLRYIGDIYLDQVPVLLCRRFQITLFEVLIHREVNKLEEVLKGLELGTGVVMDYFLLPAIRSRSQPSIDWEPISSVLFSYKNEDHFNCSSKGNAHVVHTKGGPVCTCVLQNSLVCTPHNGNVYFITGASEDLNGRSLLKLRNGSAITYKEHFAKRNSIQLLFDQEPLLEGRHIFPVHNFLNRCRTKKEKESKNAHVDLPPELCDIILSPVSISTLYSYTFIPSIMHRLESLLIAVNLKKMHSDHCMQNVDIPAMKVLEAITTKKCQEKFHLESLETLGDSFLKYAASQQLFKLYQNHHEGLLSMKKEKIISNAALCRRGCDHKLPGFIRNESFDPKLWMIPGDKCGSDLLSEEPLSECRKIYVRGRRKVKSKTVADVVEALIGAYLSTGGEVLALFFMDWIGIKVDFMIVPYERHFQLQAEKFVNVRYLESLLNYSFRDPSLLVEALTHGSYMLPEIPSCYQRLEFLGDAVLDYLITMHLYKEYPGMSPGLLTDLRSASVNNDCYAQSAVKGDLHKHILHTSQDLHKHIVETAEIFQKSSLGSTFGWESETSFPKVLGDVIESLAGAILVDSGYNKEIVFQSIRPLLEPLITPATVRLHPARELSELCQKQHFDYKKSVVSYNGRNASITIVVGANGVTFKHTATAADKKTAKKLASKEVLKSLKESNFASSSTPSKLD >Potri.001G264900.1.v4.1 pep chromosome:Pop_tri_v4:1:28011228:28017261:1 gene:Potri.001G264900.v4.1 transcript:Potri.001G264900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264900.v4.1 MVKFSGYSLISSFTPFHSVILKKQRRFSALDLYSNNSTKSRRTLSVFANKHQLRNIKTHTEMGVEQYRPPLFSVAPMMDWTDNHYRTVARIISKHAWLYTEMLAAETIVHQQGDLDRFLAFSPEQHPIVLQIGGNNLDNIAKATRLANSYGYDEINLNCGCPSPKVAGHGCFGVRLMLDPKFVGEAMSVIAANTDVPVSVKCRIGLDDHDSYNELCDFIYKVSSLSPTKHFIIHSRKALLNGISPADNRRIPPLKYEYYYALLRDFPDLRFTINGGINCVDEVNAALREGAHGVMVGRAAYNYLWNTLAHVDTAIYGAPSSGLTRRQVLEQYQVYGDAVLGTYGNSRPNIRDLIKPLFGFFYSEPGNNLWKRKADAAFQMKNITTVKSFFEETLVAIPDAVLDSHAAELPSGRKDLFANVRGLLPPPYETREQEVVVYA >Potri.010G211900.1.v4.1 pep chromosome:Pop_tri_v4:10:20038206:20039641:-1 gene:Potri.010G211900.v4.1 transcript:Potri.010G211900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211900.v4.1 MARLLFVTTTSLKAIVYFFLIAMTLSYANSARILDGVEPENPAVVGPPVTTAVPAPTTTLPSGQFQATTPSTVEDGEADPPLPDETVAPDTAVAAPVADAVTPVDDGTAPVAPPVRVAAPLPAGPITTTTPPSGPAPLVATSATVAKPAGSQTTTATTSPPLSFFMHDILGGSHPSNRIVTGIIARTEINGIPFSQPNNNFFPLQGGTPLVNINNLNNLINPNNAPLLTGLTGTQTNTFLQNTGNNNNVANGNNQPFVTAGNLPAGSALQKLMFGSITVVDNELTEGHELGSAVIGKAQGFYLASSMDGTSHTMAFTVLLHGGENHGDVEDTISFFGVHRTATADSQIAIIGGTGKYENAKGYATVETLPQVDQHTTDGVDTIMHFNVFLSE >Potri.015G134700.1.v4.1 pep chromosome:Pop_tri_v4:15:14365847:14373891:-1 gene:Potri.015G134700.v4.1 transcript:Potri.015G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134700.v4.1 MASCCCLRLENVIFSSTFRKLGQTSANGSVLLSSPISSFSLLSSSSALFSPILKTSHSLSSSLSVKASSSTAIAEPEGIKINSVPTKPIEGQKTGTSGLRKKVKVFTEENYLANWIQALFNSLPPEDYKNGVLVLGGDGRYFNREASQTIIKIAAGNGVGKILVGKEGIMSTPAVSAVIRKRKANGGFIMSASHNPGGPEYDWGIKFNYSSGQPAPESITDKIYGNTLSISEIKMADIPDVDLSSLGVTEYGNFIVEVVDPVSDYLELMENVFDLELIKSLLSRSDFRFVFDAMHAVTGAYAKPIFVDKLGASPDSISNGVPLEDFGHGHPDPNLTYAKDLVNIMYGENGPDFGAASDGDGDRNMILGRGFFVTPSDSVAIIAANAQEAIPYFMSGPKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGEKLVSVADVAKEHWETFGRNFFSRYDYEECESEGANKMIQNLRDIVSKSKPGDKYGNYTLQFADDFTYTDPVDGSVVSKQGVRFVFTDGSRIIFRLSGTGSAGATVRIYIEQYEPDVSKHEMDAQVALKPLIDLALSVSKLKDFTGRDKPTVIT >Potri.013G007400.1.v4.1 pep chromosome:Pop_tri_v4:13:477747:481033:1 gene:Potri.013G007400.v4.1 transcript:Potri.013G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007400.v4.1 MANIPIGEVLTFLWNVIKEPVVYIDYEQNLRILETKMDELLHLKNDLTGKVQMAEVRSMTSRVTGWVSRVERMITEVNELTNQAAQEMQKNCFGSCCPKNCWSRYKIGKKIDEKLRAVSDHIEKGEKYLSSVSSPVESVMGCLCEVGKSTIGIYGPGGVGKTALLTQVSNNLLSSQLPFDFVIWVVASQDPDSERIQGDIGKEIGFLEDRWKGKSFQEKAREVSSVLSQKKFVLLVDDLWKPVDLAEVGVPSRENGSKLVFTTSSEELCNSMGAEEKIRVGGLAWEKAWKLFQEKVGEDTLKIHPDIPELAETIAKMCNGLPLALITVGRAMAFRKTLLEWRHSIEALSRATAEFSRTPCRDFVLLKFGYDSLRNDKVRSCFLYCALFPEGFFINKSYLIDYWIGEGFLGAYSDAYEARTEGHNIIDILTQACLLEDEGRDVKMHQVIRDMALWMDSRKENPVYLVEAGTQLADAPEVGKWEVVRRVSLMANNIQNLSKAPRCNDLVTLFLKKNNLKMISDTFFQFMLSLKVLDLSENREITEFPSGILKLVSLQYLNLSRTGIRQLPVQLKNLVKLKCLNLEHTYELRTIPMQVISNFSSLTVLRMFHCASSDSVVGDGVQTGGPGSLARDLQCLEHLNLLTITIRSQYSLQTFASFNKFLTATQALSLQKFHHARSLDISLLEGMNSLDDLELIDCSNLKDLSINNSSITRETSFNSLRRVSIVNCTKLEDLAWLTLAPNIKFLTISRCSKMEEIIRQEKSGQRNLKVFEELEFLRLVSLPKLKVIYPDALPFPSLKEIFVDDCPNLRKLPLNSNSAKEHRIVIQGWEDWWRRLEWEDEAAQHTFLHSFKGCLY >Potri.013G007400.2.v4.1 pep chromosome:Pop_tri_v4:13:477886:481027:1 gene:Potri.013G007400.v4.1 transcript:Potri.013G007400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007400.v4.1 MANIPIGEVLTFLWNVIKEPVVYIDYEQNLRILETKMDELLHLKNDLTGKVQMAEVRSMTSRVTGWVSRVERMITEVNELTNQAAQEMQKNCFGSCCPKNCWSRYKIGKKIDEKLRAVSDHIEKGEKYLSSVSSPVESVMGCLCEVGKSTIGIYGPGGVGKTALLTQVSNNLLSSQLPFDFVIWVVASQDPDSERIQGDIGKEIGFLEDRWKGKSFQEKAREVSSVLSQKKFVLLVDDLWKPVDLAEVGVPSRENGSKLVFTTSSEELCNSMGAEEKIRVGGLAWEKAWKLFQEKVGEDTLKIHPDIPELAETIAKMCNGLPLALITVGRAMAFRKTLLEWRHSIEALSRATAEFSRTPCRDFVLLKFGYDSLRNDKGFLGAYSDAYEARTEGHNIIDILTQACLLEDEGRDVKMHQVIRDMALWMDSRKENPVYLVEAGTQLADAPEVGKWEVVRRVSLMANNIQNLSKAPRCNDLVTLFLKKNNLKMISDTFFQFMLSLKVLDLSENREITEFPSGILKLVSLQYLNLSRTGIRQLPVQLKNLVKLKCLNLEHTYELRTIPMQVISNFSSLTVLRMFHCASSDSVVGDGVQTGGPGSLARDLQCLEHLNLLTITIRSQYSLQTFASFNKFLTATQALSLQKFHHARSLDISLLEGMNSLDDLELIDCSNLKDLSINNSSITRETSFNSLRRVSIVNCTKLEDLAWLTLAPNIKFLTISRCSKMEEIIRQEKSGQRNLKVFEELEFLRLVSLPKLKVIYPDALPFPSLKEIFVDDCPNLRKLPLNSNSAKEHRIVIQGWEDWWRRLEWEDEAAQHTFLHSFKGCLY >Potri.019G014342.1.v4.1 pep chromosome:Pop_tri_v4:19:2162273:2162620:1 gene:Potri.019G014342.v4.1 transcript:Potri.019G014342.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014342.v4.1 MEEIIGTTDEESSTYNSIMELILPKLRSLRLYELPELKSICSAKLTFNSLKDIDVMDCEKLKRMPICLPLLENSQPSLLPSLKYKRAYPVEWWETVVEWEHPNTKDVLRPYVKFG >Potri.003G099100.3.v4.1 pep chromosome:Pop_tri_v4:3:12457801:12465074:1 gene:Potri.003G099100.v4.1 transcript:Potri.003G099100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099100.v4.1 MSEGVVTFLLTKLADFLVERGKNLAGVECEVEYISDELEFMTAFLRLGDTMEDSDPVLKCLVKKVRDAAYDTEDALDNFSLSHVSDRGHGIFSCFRKISRSIKDKRARRRIASKIQSIKSRVISISESHRRYCNKNNIMIQGSSSNSIPRLECQRDALLIEEADLVGIEKPKKQLIEWLLGSKTGREVISVVGMGGLGKSTLVKRVYDDSNVKKHFKFRAWVTVSQSFKREDLLKDMIQQLFRVHRKPDPKGVNSMDYNKLRSVIHEFLQQKKYLIVLDDVWHPSAWHAFQHALPNNICGSRILVTTRNTEVASTSCMDSPDKVYPLNPLSQEESWTLFCKKIFQNNICPPHLKSVSETILDRCEGLPLAIVAISGVLATKDKSRIDEWEMVHRSLGAGLEENDMLMSARKILSLSYNDLPYYLKSCLLYFSIFPVGNRIKRMTLIRLWIAEGFVKGKEGMTVEEVAQDYLNELMKRSLVQVVKTTTDGRVKTCRIHDLLREIMIAMAKDQDFVAIAREEGITWPEKVRRVSIHNAMPNKQRRQVASRLRSLLTFWVADCNYESPVRKLFSGRLRLLHVLDLEGAPLKEFPKEIVSLFLLKYLSLRNTKVNFIPSTISQLKNLETLDMKRALVSELPAEIRKLQKLCYLLAPDQIGRLQSLQKLCFVEANQGRNLMFELGRLKQLRKLGIVKLKKKHGKALCSSVERLTNLRALSATSITENEIIDLDYVASPPQYLQRLYLGGRMEKLPDWISSLDSLVRLVLKWSQLNDDPLVSLQHLPNLVHLELVQVYNGELLCFQAKGFQRLKFLGLNKLERLRMITVEQGAMPCLEKLIVQSCKSLRRVPSGIEHLSTLKVLEFFDMPKELVMTLHPNGEDGDYLKVAHVPDVYSTYWNNGNWDIFSSLGAKLEDKHSAQLTPRMIKRNYTWK >Potri.018G001800.3.v4.1 pep chromosome:Pop_tri_v4:18:126862:131147:-1 gene:Potri.018G001800.v4.1 transcript:Potri.018G001800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001800.v4.1 MIGKMVEGPKFTGIIGGNTNNENNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVDNSSVGSSDSLTHILSHPGLKPVNHHNYSGTVGQSVFRPGKVTHALNDDALAQALMNPKYPTEGLQNYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQVMEQQFQQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSDIMTRCWDTNPEVRPPFTEIVRMLENAETEILTTVRKARFRCCMTQPMTVD >Potri.018G001800.6.v4.1 pep chromosome:Pop_tri_v4:18:126824:131145:-1 gene:Potri.018G001800.v4.1 transcript:Potri.018G001800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001800.v4.1 MIGKMVEGPKFTGIIGGNTNNENNYYDFTQGFYQKLGEGTNMSIDSLQTSNAGGSVSMSVDNSSVGSSDSLTHILSHPGLKPVNHHNYSGTVGQSVFRPGKVTHALNDDALAQALMNPKYPTEGLQNYDEWTIDLRKLNMGTAFAQGAFGKLYRGTYNGEDVAIKILERPENSPEKAQVMEQQFQQEVMMLANLKHPNIVRFIGACRKPMVWCIVTEYAKGGSVRQFLTRRQNRAVPLKLAVKQALDVARGMAYVHALGFIHRDLKSDNLLISADKSIKIADFGVARIEVQTEGMTPETGTYRWMAPEMIQHRPYTQKVDVYSFGIVLWELITGLLPFQNMTAVQAAFAVVNKGVRPVIPNDCLPVLSDIMTRCWDTNPEVRPPFTEIVRMLENAETEILTTVRKARFRCCMTQPMTVD >Potri.002G165300.1.v4.1 pep chromosome:Pop_tri_v4:2:12638298:12640266:1 gene:Potri.002G165300.v4.1 transcript:Potri.002G165300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165300.v4.1 MTKPNISVKLGRWGGVRRPIFILGILALLVSIATLSKFYSIRSLFISDTFCSHVNIEYQGGFQSEPGIAVETIVSKIQKEMNEMRDMPIDSSSAETIVSRYSAFLADILGLIESLQTTGNPQENSEIRAVHPLVGRKQQSDEPAKFFLIEEIRKYVRIKPNRLGKQNFMGANGTFTSIGHACFAMKKDIEEYMDYDVGEICKDDWKLAQKLMVHGCDPLPRRRCFARAPQLYSKPFPINESMWKLPDNRNVRWSQYRCKNFTCLASNTTRKGFFKCADCFNLSDHELPRWIKQVISDPEMNLTADFLIPEVLNIKLGEIRIGLDFSVGTGTFAARMREFNVTIVSATINLGAPFNEMIALRGLVPLYLTINQRLPFFDNTLDLLHTTRFLDGWIDFVLLDFILYDWDRVLRPGGLLWIDSFFCLKEDLDDYLEAFKMLSYRRHKWIVVPKLDKDDREVFFSAVLEKPPRPFR >Potri.004G157500.2.v4.1 pep chromosome:Pop_tri_v4:4:17851569:17855875:-1 gene:Potri.004G157500.v4.1 transcript:Potri.004G157500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157500.v4.1 MATATDLSQSRFISKTLTHSLNQNLFSKKSILPFKLTKKNYLKPLSLKAVISQQNPATTTAQETTQFKHCFTKSKDGFLCCENLKVQEIMENVEKRPFYLYSKPQITRNVEAYKDALQGLNSIIGYAIKANNNLKILEHLRGLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKLLDDLVLAAQEGVFVNVDSEFDLENIVAAARIAGKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHHDELKLVGAHCHLGSTITKVDIFRDAAVLMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHSGAVLPTPRDLIDTVRELVLSRDLNLIIEPGRSLIANTCCLVNRVTGVKTNGTKNFVVIDGSMAELIRPSLYDAYQHIELVSPASPKAEVSTFDVVGPVCESADFLGKDRELPTPAKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDGTVSKIRHGETFEDHIRFFEGL >Potri.005G109400.1.v4.1 pep chromosome:Pop_tri_v4:5:7927370:7935657:1 gene:Potri.005G109400.v4.1 transcript:Potri.005G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109400.v4.1 MATLTNSNPNSIAAADHSPRHTIAADNISSSSNPVSSPQSRRAAGKQVSPPWARIVRGAESEFSSTASTVAEQAAAVLVVEEESVESENNASKRPVWNKPLTASNGPVEIGNVMGADSWPALSESAARASSSTKSSSDSLKGSLSDGSSSSVSVSQGIGTASSSSQKQVANSANTNSTSNHIVPVRQRSMKRSGANTTSNGGAPQSPGSQGTTGEGHSNNSSSGDHGQRNSQSRSFNDHPQQRNSFRNRNGGPHSRGDGSHHHSYGGRRNDQDRSNQDWNAHRNFNRDGGHVQPSPGVSARLMRHPPPPPPPPAAATTFVAPPPVRPFSPMGFPDMRSPLYYVAPHPDSMRGVPIIAAPIPPHAVFFSSDPQLHNKILRQIDYYFSNENLIKDLYLRKNMDDQGWVPIKLIASFNKVLLLTDNIQLILDAIRNSSVVEIQGEKVRKRNDWMRWIMTTPIQFPNVSSPQSGEKSGHDMLVANVQGISLEEMTAGHSNVRSQADVRTEAFLGRSLSGDFNSQSQLSSSKGIDENRFQGDLDLPTSSRNSSK >Potri.002G124200.1.v4.1 pep chromosome:Pop_tri_v4:2:9429256:9437245:1 gene:Potri.002G124200.v4.1 transcript:Potri.002G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124200.v4.1 MAQIQVHQAAAPVPGPNGVAAGPGAIQFVPTSLYVGDLDFNVTDSQLYDLFNQVGQVVSVRVCRDLSTRRSLGYGYVNYSNPQDAARALDVLNFTPLNNKPLRIMYSHRDPSIRKSGMANIFIKNLDKTIDHKALHDTFSSFGNILSCKVATDASGQSKGYGFVQFDSEEAAQNAIDKLNGMLINDKQVYVGNFLRKQERDSALSNIKFNNIYVKNLAESTTDEDLKSIFEEHGAITSAVVMRDADGKSKCFGFVNFENVDDAAKAVEALNGKKFDDKEWYVGKAQKKSERELELKGRFEQSLESVEKYQAVNLYIKNLDDSVNDEKLKELFSDFGTITSCKVMHDPSGISRGSGFVAFSTPEEASRALAEMNGKMVVSKPLYVAPAQRKEERRARLQAQFSQMRPVAMAPSVAPRMQMYPPGAPGLGQQFLYGQGPPAMIPQAGFGYQQQLVPGMRPGGAPMPNFFVPLVQQGQQGQRPGGRRGGGPVQQTQQPVPLMQQQMLPRGRVYRYPPGRNMPDVPMPGVAGGMLSVPYDMGVMPIRDAAGGQPMPITALATALANATPEQQRTMLGEGLYPLVDQLEHDSAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTVAAQQQINNPADQLASLSLNENLVSLVCLVD >Potri.006G188200.1.v4.1 pep chromosome:Pop_tri_v4:6:19536077:19540202:-1 gene:Potri.006G188200.v4.1 transcript:Potri.006G188200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188200.v4.1 MISLSALIHAPAPCFISTTQRSTSSPDPTTVRSSSMAASARIAVVGDVHDDWNLEEDSKALQLLQPDLVLFTGDFGNENVELVQSIADLNLPKVVILGNHDSWKTQHFSGKRKDGVQRQLECLGEEHVAYKRLDFPTLKLSVVGGRPFSCGGEQIFRRSLLSARYGVLDMDGSADRIYNAALGTPEDHMVIFLAHNGPTGLGSNLNDICGKDWVFGGGDHGDPDLAQAISHLKETTKISIPLVVFGHMHKELAYGNGLRKMIVVGADKTIYLNGAIVPRVRRLVAEQGTDNTNFMNNETSVFSPGSRGTMRAFTLVEILEGRVDKIAETWVSVIEDETAIGEEHVLFQRGN >Potri.006G188200.4.v4.1 pep chromosome:Pop_tri_v4:6:19535976:19540128:-1 gene:Potri.006G188200.v4.1 transcript:Potri.006G188200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188200.v4.1 MDGSADRIYNAALGTPEDHMVIFLAHNGPTGLGSNLNDICGKDWVFGGGDHGDPDLAQAISHLKETTKISIPLVVFGHMHKELAYGNGLRKMIVVGADKTIYLNGAIVPRVRRLVAEQGTDNTNFMNNETSVFSPGSRGTMRAFTLVEILEGRVDKIAETWVSVIEDETAIGEEHVLFQRGN >Potri.004G181100.1.v4.1 pep chromosome:Pop_tri_v4:4:19535404:19538594:-1 gene:Potri.004G181100.v4.1 transcript:Potri.004G181100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181100.v4.1 MGEIGNVEQLPFHNLPSSATGPELSLHVISVAASSMREMQSAATSTSMGVYDSSDSSGYLSEVNPQEAWLPITESRNGNTVTSVFHLLSSGIGIQALLLPVAFSILGWAWGIICLSLAFTWQLYTIWVLVQLHESVPGIGTRYSRYLQLAIAAFGPKLGKLLAIFPVMYLSGGSCVLLIIRGAGTMELFFKMMFRGEATIEDSPLAGAGWFLVFTCMAIALAQRPNLNSIAGFSLIGAVTAIAYCTLIWALPISKGRPSGVSYNSQKEESGMSEMFDVLNAIGMIVLAFRGHNLVLEIQGTLPSSSKCPSKKLMWRGVTLSYIIIAICLFPIAIAGFWTYGNKIPSNGGMLTAFMQLHGHDTSKFAKGLVYLLVVINCLSSFQIYAMPVFDNLEFRYTCMKNKRCSWWVRTGFRLFFGGLAFFIAVAFPFLPSLAALIGGIALPLTLAYPCFMWISIKKPHQKGHGVMWCLNLGLGCLGMVLSVLLVVAAVWNLATKGLHANFFHPE >Potri.002G069900.2.v4.1 pep chromosome:Pop_tri_v4:2:4862056:4863361:1 gene:Potri.002G069900.v4.1 transcript:Potri.002G069900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069900.v4.1 MDDSPINRLPQDTLHQIFSSLPLRQIMICRSVCKFFNQMLTSPSFVDLISTQPPLRFLALRPPHHHHHLHHQRQNSHVSSVPYLHVFDPDQNQWLRFSLSFLPFRSPHPVASASGLIYLWGESPTSIESNRSLVVCNPLTRQFQVLPQLGSAWSRHGSVLVDSVNHRVMVLTELAALYFSNTNKTNSWLTFSANLPSKPRSPILISDSVFALCDVGSPWRSQWKLFTCILSKLNNNYNNWVCLERQEWGDIFDIIKRPRLVRGKGNKLLMIGGLKSNFSLNTSCSTILILRLDLERLEWEEAGRMPVDMYRSFQESSKLKVFGGGDRVCFSAKRMRKLALWDDCDGVVWRWIEGVPVGGDGLCRGFVFEAGRTALP >Potri.008G096000.9.v4.1 pep chromosome:Pop_tri_v4:8:5980172:5985036:1 gene:Potri.008G096000.v4.1 transcript:Potri.008G096000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096000.v4.1 MRGLGLVFFAFFLVLATLLQVTTSHGEQPLSRIVVQNTELHLSENAYVKASPSILGLKGQNFEWVTLEYASPNPSIDDWIGVFSPADFSASTCTPDDGSKLAPPFLCTAPIKYQYANYSSPGYRKTGKGSLRLQLINQRSDFSSVLFSGGLSNPKLMAVSNKVAFTNPNAPVYPRLAQGKIWNEMTVTWTCGYGINEAEPFVEWGQKDGDRMHSLAGTLTFDRNSLCGAPARTVGWRDPGFIHTSFLKELWPNAVYTYKLGHKLFNGTYVWSQEYQFRASPYPGQSSVQRVVIFGDMGKDEADGSNEYNNYQRGSLNTTKQLSQDLKNIDIVFHIGDICYANGYLSQWDQFTAQVEPIASTVPYMVASGNHERDWPGTGSFYGNSDSGGECGVLAETMFYVPAENRANFWYSTDYGMLRFCRADTEHDWREATEQYKFIEHCLASVDRQKQPWLIFLAHRVLGYSFSTFYADEGSFEEPMGRESLQKLWQKYKVDIAIYGHAHNYERTCPIYQNICTSKEKSYYKGALNGTIHVAGGGGASLADFTPINTTWSYFKDHDYGFVKLTAFDHSNLLLEYKKSRDGKFYDSFKISRGYRDITVCTVDSCPSMTLAS >Potri.002G100900.2.v4.1 pep chromosome:Pop_tri_v4:2:7380996:7391408:1 gene:Potri.002G100900.v4.1 transcript:Potri.002G100900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100900.v4.1 MLHQSLPLLCDSKIADSILLSVSHFSNNNNNNSIGSSRVLDVGLKFSKQSSGGGSSGRGFSSKAGAKTTTTPNVYGRRAQESVLEQEEAPQKLGFKTFPGQAFPFGVSQVENGINFAIFSQHATAVTLCLSLPHRGKSERTDGGMIEVALDPKVNKTGDIWHICIEDLPRDDVLYGYRIDGPRDWRQGHRFDSSIMLIDPYAKLVESRRFFGDASRKLSKFYGTYDFDSLPFDWGDDYKPPNIPEKDLVIYEMNVRAFTVDKSSGLDPSIRGSYLGVIEKIPHLLELGVNAVELLPVFEFDEFEFQRRPNPRDHMINTWGYSTINFFAPMNRYASRGGGPRNASREFKEMVKALHGAGIEVILDVVFNHTNEADDKNPFTTSFRGIDNKVYYMVDLSNNGQLLNFSGCGNTLNCNHPVVMELILDSLRHWVIEYHVDGFRFDLASVLCRGTDGSPLDAPPIIRAIAKDSILSRCKIIAEPWDCGGLYLVGNFPNWDRWAEWNGKYRDDIRKFIKGDSGMKGSFATRVAGSADLYRANKRKPCHSVNFVIAHDGFTLRDLVSYNFKHNDANGEGGNDGCNDNFSWNCGFEGETDDHNIKALRFRQMKNFHLALMISQGTPMMLMGDEYGHTRYGNNNSYGHDTSINNFQWGLLDAEKSSHFRFFSEVIKFRQTHGVFTHDNFLSENDVTWHENNWENHESKFLAFTLHDQNGGDIYLAFNAHDYIVKVSIPPPPPKRRWLRVVDTNFESPDDFVPQGLPRIGSTYNVAPHSSILLEAKN >Potri.012G089400.4.v4.1 pep chromosome:Pop_tri_v4:12:11461702:11465239:-1 gene:Potri.012G089400.v4.1 transcript:Potri.012G089400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089400.v4.1 MVNSGICAGDAMGSINGLVSPPGKRPLFSFGVISDVQYADIPDGHSFLGVPRYYRHSIHVLQRAVQKWNNHQNLNFVINFGDIVDGKCPPGQSLDAVKKVNNEFQKINGPVYHLIGNHCLYNLPRDKLLPLLKIQGLNGLAYYDFSPSPEYRIVVLDGYDISAIGWPQGHSKTLQALEFLEKKNPNSDKNSPSGLLGLDRRFVMFNGAVGKEQLEWLDGTLQDATKLKQKVIVCCHLPLDVVASSQEALLWNYDEVMNVIHQYNCVKACLSGHDHKGGYSVDSHGVHHRSFEAALECPPDTDAYGHIDVYDDRLLLFGTDRIQSTEMCFNP >Potri.012G089400.5.v4.1 pep chromosome:Pop_tri_v4:12:11461702:11465008:-1 gene:Potri.012G089400.v4.1 transcript:Potri.012G089400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089400.v4.1 MVNSGICAGDAMGSINGLVSPPGKRPLFSFGVISDVQYADIPDGHSFLGVPRYYRHSIHVLQRAVQKWNNHQNLNFVINFGDIVDGKCPPGQSLDAVKKVNNEFQKINGPVYHLIGNHCLYNLPRDKLLPLLKIQGLNGLAYYDFSPSPEYRIVVLDGYDISAIGWPQGHSKTLQALEFLEKKNPNSDKNSPSGLLGLDRRFVMFNGAVGKEQLEWLDGTLQDATKLKQKVIVCCHLPLDVVASSQEALLWNYDEVMNVIHQYNCVKACLSGHDHKGGYSVDSHGVHHRSFEAALECPPDTDAYGHIDVYDDRLLLFGTDRIQSTEMCFNP >Potri.012G089400.2.v4.1 pep chromosome:Pop_tri_v4:12:11461702:11465261:-1 gene:Potri.012G089400.v4.1 transcript:Potri.012G089400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089400.v4.1 MGSINGLVSPPGKRPLFSFGVISDVQYADIPDGHSFLGVPRYYRHSIHVLQRAVQKWNNHQNLNFVINFGDIVDGKCPPGQSLDAVKKVNNEFQKINGPVYHLIGNHCLYNLPRDKLLPLLKIQGLNGLAYYDFSPSPEYRIVVLDGYDISAIGWPQGHSKTLQALEFLEKKNPNSDKNSPSGLLGLDRRFVMFNGAVGKEQLEWLDGTLQDATKLKQKVIVCCHLPLDVVASSQEALLWNYDEVMNVIHQYNCVKACLSGHDHKGGYSVDSHGVHHRSFEAALECPPDTDAYGHIDVYDDRLLLFGTDRIQSTEMCFNP >Potri.016G129500.1.v4.1 pep chromosome:Pop_tri_v4:16:13266024:13267077:1 gene:Potri.016G129500.v4.1 transcript:Potri.016G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129500.v4.1 MSANDAVVLAMPVSDLNAEMQNKAIVDALYKGLSNGEIETVARFIASDLEWWFHGPPKCQHMMRMLTGESSQTKFRFEPRNIEAIGDCVIIEGWEGAQVYWVHVWTLKDGVITHLREYFNTWLTVTDISPHGWKIRHENHTLWESHPRDLFNRSLPGLVLGI >Potri.001G313900.3.v4.1 pep chromosome:Pop_tri_v4:1:32467272:32471854:-1 gene:Potri.001G313900.v4.1 transcript:Potri.001G313900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313900.v4.1 MQVFLVYDHNILETREIILLIYILYSYVCTCLCTDNCCFLYVFSFYLLNSWQKIFCLLTKAVPCWCMYQVAVTSGTPTIKRSRKKKKLVELREEEILLLKEKRHLKNKLETMRVSLEKERARNESLKRTKFDLLSQHRPEIFTASVKSEDVISMKPQETKVACDSTYSVLPHNVSFQLQEDEGRKPSFTLPDLNLPVDGDSGSGILG >Potri.001G313900.1.v4.1 pep chromosome:Pop_tri_v4:1:32466083:32471854:-1 gene:Potri.001G313900.v4.1 transcript:Potri.001G313900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313900.v4.1 MTEEDEWVHVALNDDTLVVELLLKLNQPEQQPPPPPVRRRRRRRFAADLAKEGAVLALSVDWSVRQRRSSSKQVMMTRSKKGSDSSSTRASPTTPLSFSGGTSVSGGGAGDGFDEATTSACLPAKLIATSRSKVAVTSGTPTIKRSRKKKKLVELREEEILLLKEKRHLKNKLETMRVSLEKERARNESLKRTKFDLLSQHRPEIFTASVKSEDVISMKPQETKVACDSTYSVLPHNVSFQLQEDEGRKPSFTLPDLNLPVDGDSGSGILG >Potri.010G121700.1.v4.1 pep chromosome:Pop_tri_v4:10:13959026:13963014:1 gene:Potri.010G121700.v4.1 transcript:Potri.010G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121700.v4.1 MALAKPIFLFPYMTTFFLISLHFSLLQASSNPDSEPLLQFKTLSDTDNKLQDWNSSTNPCTWTGIACLNDRVSRLVLENLNLQGSSLQTLTSLTQLRVLSLKRNNLSGPIPQNISNLSALKLLFLSHNHFSGTFPVSVPSLSRLYRLDLSHNNFSGNIPVIVNRLTHLLTLRLEENQFTGSISSLNLPSLQDFNVSNNRVSGEIPKSLSGFPESAFAQSLPAGLCGSPLQACKSLASDPTRPGSDGAIASPLLPGTNPTSIVSSTPSSVVAPNKPTNTNHKISKTSTKISPLALIAIILGDILILAVVSLLLYCYFWRNYAAKMRNGKGSKLLETEKIVYSSSPYPNQPGFERGRMVFFEGVERFELEDLLRASAEMLGKGGFGTAYKAVLDDGNVVAVKRLKDANVGGKRELEQHMEVLGRLRHPNLVSFKSYYFAREEKLLVYDYMPNGSLFWLLHGNRGPGRTPLDWTTRLKIAAGAARGLAFMHNSCKALKLVHGNIKSTNILLDKAGNARVSDFGLTLFASSTNSAPRSNGYRAPEATSDGRKQTQKSDVYSFGVLLLEILTGKCPSIVDCGAGPGNGYGGPVDLPRWVQSVVREEWTAEVFDLELMRYKDIEEEMVGLLQIALACTTPSPDHRPRMGHVVRMIEEIRGVEMSPCHDTFDSVSDSPCLSEETSGAGQ >Potri.016G102600.1.v4.1 pep chromosome:Pop_tri_v4:16:10434774:10437501:1 gene:Potri.016G102600.v4.1 transcript:Potri.016G102600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102600.v4.1 MRSSQTSIPPKKNCFLTVLFLFLSTSSAQNVLRRGSSLSVEDDSDILTSPDKTFSCGFYGTGQNAYWFSIWFTNSKDRTVVWMANRDRPANGRGSRVSLRRDGAMVLTDVDGSIIWETNTTSTDVGRAELLDTGNLVLKDPGGKILWQSFDFPTDTLLPNQLFTKRTKLVARLHSGSYASGYFSFFFDNDNVLRLIYDGPDISSIYWPNPDFDVFRNGRTNYNSSRTAVFDEMGHFISSDQLQFSAPDTDLLRIKRRLTMDHDGNLRLYSLNNETGLWVISWQALSQLCNVHGICGINSICVNTPDPKCSCPPGYEITEPGNWNKGCKPMFNSTLSQSQQVKFVLLPHVDFWGFDLNFSASTTFDSCMKLCLGDYRCKAFSYRLDGGGRCFTKGVLFNGYQSPSFPGNIYLRLPVSFETSQLGILNGTDLICQSAESETTIGSPSMYNFNTKRTRWVYFYSFASAIGFIEILFVVSGWWFLFRKRGSPNLAEDGYHLVLSPFRRFTYTELKKATNNFKEELGRGGSGAVYKGILTDERVVAVKRLENMYQGEDVFWAEVSTIGKINHMNLVRMWGFCSEGKHRLLVYEYMEYQSLDKHLFSPTFLEWKDRFKAALGIAKGLAYLHHECLEWVMHCDVKPGNILLDSEFEPKIADFGLAKLSQRGDNSSDFSQIRGTKGYMAPEWATNLPITAKVDVYSYGVVVLEIVKGIPLSNWVIEGREEHDESDLTRFVRVVKRKIQCGETSWIEEIVDPRLNGQFSRSQATTIVELGMSCVEEDRNKRPTMDSVVQALLECLDES >Potri.018G054100.2.v4.1 pep chromosome:Pop_tri_v4:18:5363935:5371900:1 gene:Potri.018G054100.v4.1 transcript:Potri.018G054100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054100.v4.1 MLRREPFLCKLIFLLYCFASLSLADELNESDRLEFTANTSISNTSLSKPREGSSFADIIDKALEKEFTENDQNEATDAGSFNNSVAEQQAVLETVARVKSKKNDSKEEKLFKFQHVFNLDNDNGAEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVVGGLLEIILFMFLCGITAMLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGLMSMTKVLVVLIAFLAVLSILSCTWVPWFLKLMMSLSSQTNELYQLASVAFCLLVAWSSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWSHVDILLASVILVIIIKTAIITAVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLVTTTLLFKLIPAVMHLGVLLRWFPPDSAVEVGSKGEIFRSDSGKQRISVLVEVPHNS >Potri.018G054100.4.v4.1 pep chromosome:Pop_tri_v4:18:5363887:5371995:1 gene:Potri.018G054100.v4.1 transcript:Potri.018G054100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054100.v4.1 MLRREPFLCKLIFLLYCFASLSLADELNESDRLEFTANTSISNTSLSKPREGSSFADIIDKALEKEFTENDQNEATDAGSFNNSVAEQQAVLETVARVKSKKNDSKEEKLFKFQHVFNLDNDNGAEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVVGGLLEIILFMFLCGITAMLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGLMSMTKVLVVLIAFLAVLSILSCTWVPWFLKLMMSLSSQTNELYQLASVAFCLLVAWSSDKLGLSLELGSFAAGVMISTTDLAQHTLEQIEPIRNFFAALFLASIGMLIHVHFLWSHVDILLASVILVIIIKTAIITAVVKGFGYNNKTSLLVGMSLAQIGEFAFVLLSRASNLHLVEGKLYLLLLGTTALSLLFSLKSPLHEVKSAIQTTIVVDAYFYSGD >Potri.018G054100.5.v4.1 pep chromosome:Pop_tri_v4:18:5364017:5371993:1 gene:Potri.018G054100.v4.1 transcript:Potri.018G054100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054100.v4.1 MLRREPFLCKLIFLLYCFASLSLADELNESDRLEFTANTSISNTSLSKPREGSSFADIIDKALEKEFTENDQNEATDAGSFNNSVAEQQAVLETVARVKSKKNDSKEEKLFKFQHVFNLDNDNGAEDTPTLIDRKDNVFIISNFKSKYPVLQLDLRLISDLVVVIVSATCGGIAFACAGQPVITGYLLAGSIIGPGGFNFVSEMVQVETVAQFGVIFLLFALGLEFSTTKLRVVRAVAVVGGLLEIILFMFLCGITAMLCGGKSSEGVFVGAFLSMSSTAVVLKFLMEKNSTNALHGQVTIGTLILQDCAVGLLFALLPVLGGTSGVLQGLMSMTKVLVVLIAFLAVLSILSCTWVPWFLKLMMSLSSQTNELYQLASVAFCLLVAWSSDKLGLSLELGSFAAGVMISTTDLAQHTLEQVSVYTRTSKLKLVCYFVNGSCEGVCICIL >Potri.015G080900.6.v4.1 pep chromosome:Pop_tri_v4:15:10664624:10670377:1 gene:Potri.015G080900.v4.1 transcript:Potri.015G080900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080900.v4.1 MEWKATETVRAKMESLRLICDRDAQIQLQKMDSFTASFSNSMDSVKARAEETVQNQGKLGRLKSSLKEADGEFVKVLAVKTQKEAKQLVTRDSISATRARIQKLQKSVQVQRARRDEHAAIMSQQSLALATSKETEHQDIDHRREIQEAMLWYNMVLGFKIEGGRGVKFTFNNINLKNPYKEYSFTIRYENDMYTLLACDPQLNDTKQLIHELNKTNGLFKFVRKLREKFQEAAPLGFLPQSTTLHQETTISVSAPVFSDVSESPSTTSQTSDELKRNSKRSRHGRGGRQAIMSPVSVRRSPRFKGKK >Potri.015G080900.8.v4.1 pep chromosome:Pop_tri_v4:15:10664624:10669114:1 gene:Potri.015G080900.v4.1 transcript:Potri.015G080900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080900.v4.1 MEWKATETVRAKMESLRLICDRDAQIQLQKMDSFTASFSNSMDSVKARAEETVQNQGKLGRLKSSLKEADGEFVKVLAVKTQKEAKQLVTRDSISATRARIQKLQKSVQVQRARRDEHAAIMSQQSLALATSKETEHQDIDHRREIQEAMLWYNMVLGFKIEGGRGVKFTFNNINLKNPYKEYSFTIRYENDMYTLLACDPQLNDTKQLIHELNKTNGLFKFVRKLREKFQEAAPLGFLPQSTTLHQETTISVSAPVFSDVSESPSTTSQTSDELKRNSKRSRHGRGGRQAIMSPVSVRRSPRFKGKK >Potri.015G080900.9.v4.1 pep chromosome:Pop_tri_v4:15:10664624:10669114:1 gene:Potri.015G080900.v4.1 transcript:Potri.015G080900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080900.v4.1 MEWKATETVRAKMESLRLICDRDAQIQLQKMDSFTASFSNSMDSVKARAEETVQNQGKLGRLKSSLKEADGEFVKVLAVKTQKEAKQLVTRDSISATRARIQKLQKSVQVQRARRDEHAAIMSQQSLALATSKETEHQDIDHRREIQEAMLWYNMVLGFKIEGGRGVKFTFNNINLKNPYKEYSFTIRYENDMYTLLACDPQLNDTKQLIHELNKTNGLFKFVRKLREKFQEAAPLGFLPQSTTLHQETTISVSAPVFSDVSESPSTTSQTSDELKRNSKRSRHGRGGRQAIMSPVSVRRSPRFKGKK >Potri.015G080900.10.v4.1 pep chromosome:Pop_tri_v4:15:10664587:10669112:1 gene:Potri.015G080900.v4.1 transcript:Potri.015G080900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080900.v4.1 MEWKATETVRAKMESLRLICDRDAQIQLQKMDSFTASFSNSMDSVKARAEETVQNQGKLGRLKSSLKEADGEFVKVLAVKTQKEAKQLVTRDSISATRARIQKLQKSVQVQRARRDEHAAIMSQQSLALATSKETEHQDIDHRREIQEAMLWYNMVLGFKIEGGRGVKFTFNNINLKNPYKEYSFTIRYENDMYTLLACDPQLNDTKQLIHELNKTNGLFKFVRKLREKFQEAAPLGFLPQSTTLHQETTISVSAPVFSDVSESPSTTSQTSDELKRNSKRSRHGRGGRQAIMSPVSVRRSPRFKGKK >Potri.015G080900.7.v4.1 pep chromosome:Pop_tri_v4:15:10664688:10669161:1 gene:Potri.015G080900.v4.1 transcript:Potri.015G080900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080900.v4.1 MEWKATETVRAKMESLRLICDRDAQIQLQKMDSFTASFSNSMDSVKARAEETVQNQGKLGRLKSSLKEADGEFVKVLAVKTQKEAKQLVTRDSISATRARIQKLQKSVQVQRARRDEHAAIMSQQSLALATSKETEHQDIDHRREIQEAMLWYNMVLGFKIEGGRGVKFTFNNINLKNPYKEYSFTIRYENDMYTLLACDPQLNDTKQLIHELNKTNGLFKFVRKLREKFQEAAPLGFLPQSTTLHQETTISVSAPVFSDVSESPSTTSQTSDELKRNSKRSRHGRGGRQAIMSPVSVRRSPRFKGKK >Potri.001G317600.1.v4.1 pep chromosome:Pop_tri_v4:1:32766777:32773195:-1 gene:Potri.001G317600.v4.1 transcript:Potri.001G317600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317600.v4.1 MPGNEWINGYLEAILNSGGGAGAIEEHKPAPTVNLRETGHFNPTKYFVEEVVRGVDETDLHRTWIKVVATRNTRERSSRLENMCWRIWHLTRKKKQLEWEELQRLANRRWEREQGRRDATEDMSEDLSEGEKGDGLGELAQSETPRKKFQRSLSNPEVWSDDKKEKKLYIVLISIHGLVRGENMELGRDSDTGGQVKYVVELARALARMPGVYRVDLFTRQISSPEVDWSYGEPTEMLTSGPEDDDGNEVGESSGAYIVRIPFGPHDKYLGKELLWPYIQEFVDGALSHILNMSKVLGEQIGGGQPVWPYVIHGHYADAGDSAALLSGALNVPMVLTGHSLGRNKLEQLLKQGRQSKEDINSTYKIMRRIEGEELSLDAAELVITSTRQEIDEQWGLYDGFDVKLEKVLRARARRGVNCHGRYMPRMVVIPPGMDFSSVVVQEDAPEVDGELATLISSTDGSSPKAIPPIWSEIMRFLTNPHKPMILALSRPDPKKNITTLLKAFGECRPLRELANLTLIMGNRDDIEEMTGGNGSVLTTVLKMIDKYDLYGLVAYPKHHKQADVPEIYRLAAKTKGVFINPALVEPFGLTLIEAAAHGLPMVATKNGGPVDIHRALNNGLLVDPHDQQAIADALLKLVSEKNLWALCRKNGLKNIHLFSWPEHCRTYLTRVAACRMRHPQWQTDTPEDEIAAEESSLNDSLKDVQDMSLRLSIDGDKPSLNGSLDYSAVSSGDPALQDQVQRVLNKIKKPESEPVVSEGARHEAVVSKYPMLRRRRRLIVIALDCYDSKGFPEMKMIQIVQDIIKAVRSDSLFARVTGLALSTAMSLTETTEFLTSAKIHANEFDALICNSGGEVYYPGTCTQVDGKLVRDPDYAAHIDYRWGCDGLKKTIWKLMNTTEGGKQSDESSNPIEEDKKSRNAHCIAYLVKDRSKVKRVDDLRQKLRMRGLRCHLMYCRNSTRLQIIPHLASRAQALRYLFVRWRLNVANMFVILGENGDTDYEEMISGAHKTIILKDVVTKGSEDLLRTTDLRDDIVPKESPLIAYLSGKATASEIADVLKQVSKASAGM >Potri.006G137800.1.v4.1 pep chromosome:Pop_tri_v4:6:11443261:11444098:1 gene:Potri.006G137800.v4.1 transcript:Potri.006G137800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137800.v4.1 MKELETMSPPFSLFNLLFIFISFSTSPYSAAAKSHNAPRDLVRSSCVHASYPNLCLRTLSSYAGPANTPRDLAQAAVKVSIARARKVSNYLSTLSGLKKKRERVALSDCIEQIYDSVDELSKTLGELKHLREETFGWQMSNAQTWVSAALTNEDTCLDGFHEVESKAKDDVKRKITNVARVTSNALYMINRLDESRGRPKLGRH >Potri.018G150000.9.v4.1 pep chromosome:Pop_tri_v4:18:16108575:16110859:-1 gene:Potri.018G150000.v4.1 transcript:Potri.018G150000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150000.v4.1 MTTSMVLCNNLSFNTNQSQNPPRNRNSLTKSYRLLLQFGNKSRGFCGVVANAKKKGENHSKRKRGWWQRFFIDEEGNWLGLKDDDMLDAEAELSENSSDEELSEEEKFEAWKRRAEAIVDLREAQEDMLNEESRKWEDWIVDYGDNGHDDDSNGSWWSKEYDGNGGIGNGGSVEDVRSDPTDLVREKGFVESVRDLVFGREEEDLLYEDRVFRYASLNSAKFLAVLIIIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRKNQKLEMIKEIKLEKARLKLEVEIGKSPPLSDEEEWRELRHKA >Potri.018G150000.8.v4.1 pep chromosome:Pop_tri_v4:18:16106387:16110857:-1 gene:Potri.018G150000.v4.1 transcript:Potri.018G150000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150000.v4.1 MITAIWSSSFLSLVEKIKNVGFLMTTSMVLCNNLSFNTNQSQNPPRNRNSLTKSYRLLLQFGNKSRGFCGVVANAKKKGENHSKRKRGWWQRFFIDEEGNWLGLKDDDMLDAEAELSENSSDEELSEEEKFEAWKRRAEAIVDLREAQEDMLNEESRKWEDWIVDYGDNGHDDDSNGSWWSKEYDGNGGIGNGGSVEDVRSDPTDLVREKGFVESVRDLVFGREEEDLLYEDRVFRYASLNSAKFLAVLIIIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRKNQKLEMIKEIKLEKARLKLEVEIGKSPPLSDEEEWRELRHKA >Potri.018G150000.6.v4.1 pep chromosome:Pop_tri_v4:18:16106387:16110853:-1 gene:Potri.018G150000.v4.1 transcript:Potri.018G150000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150000.v4.1 MITAIWSSSFLSLVEKIKNVGFLMTTSMVLCNNLSFNTNQSQNPPRNRNSLTKSYRLLLQFGNKSRGFCGVVANAKKKGENHSKRKRGWWQRFFIDEEGNWLGLKDDDMLDAEAELSENSSDEELSEEEKFEAWKRRAEAIVDLREAQEDMLNEESRKWEDWIVDYGDNGHDDDSNGSWWSKEYDGNGGIGNGGSVEDVRSDPTDLVREKGFVESVRDLVFGREEEDLLYEDRVFRYASLNSAKFLAVLIIIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRKNQKLEMIKEIKLEKARLKLEVEIGKSPPLSDEEEWRELRHKA >Potri.018G150000.7.v4.1 pep chromosome:Pop_tri_v4:18:16106387:16110857:-1 gene:Potri.018G150000.v4.1 transcript:Potri.018G150000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150000.v4.1 MITAIWSSSFLSLVEKIKNVGFLMTTSMVLCNNLSFNTNQSQNPPRNRNSLTKSYRLLLQFGNKSRGFCGVVANAKKKGENHSKRKRGWWQRFFIDEEGNWLGLKDDDMLDAEAELSENSSDEELSEEEKFEAWKRRAEAIVDLREAQEDMLNEESRKWEDWIVDYGDNGHDDDSNGSWWSKEYDGNGGIGNGGSVEDVRSDPTDLVREKGFVESVRDLVFGREEEDLLYEDRVFRYASLNSAKFLAVLIIIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRKNQKLEMIKEIKLEKARLKLEVEIGKSPPLSDEEEWRELRHKALELRDEWRLENRRSFANIWSDMVFGVSIFVLLYFNQSKVALLKFTGYKILNNVSDTGKAFLIILITDIFLGYHSESGWQTLLELFKYLPRLSPKVANIFREMKRH >Potri.018G150000.1.v4.1 pep chromosome:Pop_tri_v4:18:16106387:16110857:-1 gene:Potri.018G150000.v4.1 transcript:Potri.018G150000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150000.v4.1 MITAIWSSSFLSLVEKIKNVGFLMTTSMVLCNNLSFNTNQSQNPPRNRNSLTKSYRLLLQFGNKSRGFCGVVANAKKKGENHSKRKRGWWQRFFIDEEGNWLGLKDDDMLDAEAELSENSSDEELSEEEKFEAWKRRAEAIVDLREAQEDMLNEESRKWEDWIVDYGDNGHDDDSNGSWWSKEYDGNGGIGNGGSVEDVRSDPTDLVREKGFVESVRDLVFGREEEDLLYEDRVFRYASLNSAKFLAVLIIIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRKNQKLEMIKEIKLEKARLKLEVEIGKSPPLSDEEEWRELRHKALELRDEWRLENRRSFANIWSDMVFGVSIFVLLYFNQSKVALLKFTGYKILNNVSDTGKAFLIILITDIFLGYHSESGWQTLLEVIFEHYGLEVDQSAITIFICLVPVVIDACVKLWLFKYLPRLSPKVANIFREMKRH >Potri.010G166500.2.v4.1 pep chromosome:Pop_tri_v4:10:16983410:16986166:-1 gene:Potri.010G166500.v4.1 transcript:Potri.010G166500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G166500.v4.1 MGKYGLFDLEKHYAFYGAYHSNPINILIHMIFVWPIFFASSLILYFTPPLFNLPQVELSLFGSNDVVLFLNIGFFLVLIYALFYICLDPKAGSLAALFCGFCWVSSCFVASWLGFSLAWKVVLVAQIICWTGQFIGHGVFEKRAPALLDNLVQAFVMAPFFVLLEALQTSFGYEPYPGFHASVQAKIDAEIKEWKEKKLKLLS >Potri.011G114900.1.v4.1 pep chromosome:Pop_tri_v4:11:14514432:14516424:1 gene:Potri.011G114900.v4.1 transcript:Potri.011G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114900.v4.1 MGSGFSVLKLVKPFLPFIPEVQRPVNVVPFRVKAMYTGISLVIFLACSQLPLYGIHSTTGADPMHWMRAILASSRGTVMELGIGPLVTSGMVMQFLAGSKLIKVNKDVREDRALLKAAEKFLSILIAIGQAAANLFMGMYGPLGLLGVGNSILIIAQLCFASILMMCLDELLQIGYGLGSGISLFTATHMCENVIWKSFSPTTINTVYGPEFEGAIPALFHGLLKQRNKTLALRKALFRTNLPNVTNLLSTAFISLLAIYLQGFSVPLTVTSNNLNSCFRQRGTYPIKLFYTSNMPIILLSAFISNIYFLSQLLYTRFGGNIVLVNLLGSWSESQYPASHSIPVGGLAYYITAPSSLADMAASPMRALFYLVFMLFACAWFSRKWTEVSGSSAKDVAKQLKDQKMVMPGYREGQLEAVLNRHIPVAAAFGGMCIGALTVSADMMGAIGSGTGVLLAVSVIYQYFEMFDKERVSLFGSLGF >Potri.001G151150.3.v4.1 pep chromosome:Pop_tri_v4:1:12654927:12656605:-1 gene:Potri.001G151150.v4.1 transcript:Potri.001G151150.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151150.v4.1 MPRKMAKLCRGKAVIVSVYEERPGKRRVSSSSNSHHHHHHHHHYVHHVIKQEIVAAPSKPRFSRSTSPTCLGNWKILIPNICRSLTSIQAKQKKKKKHSGSTSNAMITVMKSLEVQKKKGFILKLLSKLQRHR >Potri.001G151150.2.v4.1 pep chromosome:Pop_tri_v4:1:12654926:12656605:-1 gene:Potri.001G151150.v4.1 transcript:Potri.001G151150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151150.v4.1 MPRKMAKLCRGKAVIVSVYEERPGKRRVSSSSNSHHHHHHHHHYVHHVIKQEVNLHKNGDSRKGYSRRAELLHYSQRLRESALSATSSPSVPKPISSNNHHHQPTTNIVAAPSKPRFSRSTSPTCLGNWKILIPNICRSLTSIQAKQKKKKKHSGSTSNAMITVMKSLEVRYKRRRDLF >Potri.001G151150.1.v4.1 pep chromosome:Pop_tri_v4:1:12654927:12656605:-1 gene:Potri.001G151150.v4.1 transcript:Potri.001G151150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151150.v4.1 MPRKMAKLCRGKAVIVSVYEERPGKRRVSSSSNSHHHHHHHHHYVHHVIKQEVNLHKNGDSRKGYSRRAELLHYSQRLRESALSATSSPSVPKPISSNNHHHQPTTNIVAAPSKPRFSRSTSPTCLGNWKILIPNICRSLTSIQAKQKKKKKHSGSTSNAMITVMKSLEVQKKKGFILKLLSKLQRHR >Potri.016G090100.1.v4.1 pep chromosome:Pop_tri_v4:16:7320224:7323988:-1 gene:Potri.016G090100.v4.1 transcript:Potri.016G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090100.v4.1 MSSVSRPTVHPVEAPPLTDGPQNALIRVRMKDVQGMPGTRGSLSLRLTQFVFGLVSICVMATTSDFRSVTAFRYLVGAVCVQILWSLSMAIVDIYALLVRRSLRKQIIFRLFTIGDGIISTLTFAAACASAGITVLIDNDLDKCSENHCARFQTATAMAYISCFAMMPSFLLNFWSLASQ >Potri.012G110100.1.v4.1 pep chromosome:Pop_tri_v4:12:13033824:13035109:1 gene:Potri.012G110100.v4.1 transcript:Potri.012G110100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110100.v4.1 MGRTRKIPMLKRETAEQRSVTFTKRRQGLFNKAADMCRICDAQIAIMVSSTGSKEKVYTFGHSSVDAVFDRFLYNFTAAPEAVAYEAGIKSASNSLYEEIKALEGDVNTLMQNKKRNVGGVLWDSLEEIEQSSTSVDELQDVVDILESLLGQAKNKLMNNATGNLGISIAVEPRSDDFLALEPKPRDDSSSSLGGDQIGQNSAIVGDNGTDYSDSYWNADGSTADSGMDFPVEVDVDLIWNLLEPSDFSSGSDKVISINNSSDCTTSGIASESASGSQKNEDNVFLTTNSDSELFKDMELVDCGTYNTTPDPGSGDIDQHYAMDGDCNAKQHDSATDNSIKSFEGPY >Potri.001G151800.2.v4.1 pep chromosome:Pop_tri_v4:1:12714640:12716704:-1 gene:Potri.001G151800.v4.1 transcript:Potri.001G151800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151800.v4.1 MDAFASFFDSQSASRNRWSYDSLKNLRQISPLVQNHLKQVYLTLCCALVASAAGAYLHILWNIGGLLTTIACFGCMAWLLSISPYEEQKRVALLMATALLEGASIGPLIDLAIQIDPSVLITAFVGTAVAFGCFSVAAMLARRREYLYLGGLLSSGLSILLWLHFASSIFGGSAALFKFELYFGLLVFVGYVVVDTQDIIEKAHLGDLDYVKHSLSLFTDFVAVFVRILIIMLKNSTEKEKKKKRRD >Potri.013G045500.1.v4.1 pep chromosome:Pop_tri_v4:13:3202915:3203311:-1 gene:Potri.013G045500.v4.1 transcript:Potri.013G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045500.v4.1 MKVHFMNIHAILFIFAVFASTAKTCYGRDLGVHFYKMELSRTVLHRIPDGGYNELRRRGTAPVTQISAPVANQRHGMGAPPKSPPGFMH >Potri.003G143500.1.v4.1 pep chromosome:Pop_tri_v4:3:15875486:15880692:-1 gene:Potri.003G143500.v4.1 transcript:Potri.003G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143500.v4.1 MAIASPSTTIFSASFCCKRAESPHPTLFPSILSTQRAHRLPTRRFAINSRLNSSKFCGFLSPDNGGSEDLEQYELQHGFTGPMERRKKGSPVYVMLPADSVAKDGKVRRIKVLTASLRALVTAGVEGVVMEVWWGVVEREKPMVYNWGGYSDLVALARRCGLKVRAVLAFHQHGIGPGDPLWISLPQWVLEEMDKDPDIAYSDRFGRRNMEYISLGCDMFPVLKGRSPLQAYSDFMMNFRDTFRPLLGSVITGVQVGMGPAGELRYPSCPSQELAWAWRSRELGEFQCYDKYMLACLNACAHDVGMREWGYGGPIVAGNLMHGPDNTDFFKSNGGSWNTPYGEFFLQWYSGMLLLHGERICREAKTIFQGTEVDTSAKLAGIHWHYGTQSHPSELTAGYYNTSRRDGYLPIARMFGRYGFGLCCSVFGMRDVEEKQTNPVSSPEDFLKQLLLAARVCQIPVEGENSATFLEEESYEQVLKMSKFFSYGPGNPSFSFNFMRMDRYLFEQHNWARFTRFVRQMSGANIFRARLDFGGDGHPTSMSDAVKAKSCIYILLRKKDQTHIQTSKANLTLRPPSLGLCSYSST >Potri.017G080000.1.v4.1 pep chromosome:Pop_tri_v4:17:8896463:8898404:1 gene:Potri.017G080000.v4.1 transcript:Potri.017G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G080000.v4.1 MAKVTVLLCFCILAVFGSVNAHTGKEKVGIYELKRGDMSLKFTNWGATLVSFVLPDKNGKLIDIVLGYDTIKQYKNDTTYFGAIVGRVANRIKGAQFKLNGHTYKLVPNEGKNMLHGGPKGYADVVWEVTKYQNKGHKPHIVFSYHSFDGEEGFPGEILVTVRYTLLADNQVTVVMKAKNIGNKATPVNIANHAYWNLGGHNSGDILSEKIQIFASNYTPVDNDLIPTGKIEAVKGTPFDFLKPEAIGSRTRQLPKGYDINYALDGVHGGKIRKAAVLQDEKSGIEMELSTNAPGLQFYTGNMIKDVKGKAGFVYKAHAALCLETQWYPDYVNQPDFPQSIVEPRKNYKHVMLYKFSSH >Potri.007G006300.1.v4.1 pep chromosome:Pop_tri_v4:7:435357:438435:-1 gene:Potri.007G006300.v4.1 transcript:Potri.007G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006300.v4.1 MLLLRNRLFTLSSLPSLTQHAKNHFPLTFSFNYSTREPPNRNPSGHVSASKTSKPPPLSDVARFVRTVLFVPPGVDPDKVTEEIILPGSNIVVGPYAGHSQIKEVEFVKSSGRAKDCPRDDRPEFAILGRSNVGKSSLINVLARKKEVALTSKKPGKTQLINHFLVNKSWYLVDLPGYGFAKAPDSARMDWSSFTKGYFLNRETLVAVLLLIDASVPPQKIDLDCANWLGRNNIPMTLVFTKCDKMKGGKRTRPDENIKNFQELMRQNYREHPAWIMTSSVTGLGRDELLLHMSQLRNYWDQ >Potri.002G074600.2.v4.1 pep chromosome:Pop_tri_v4:2:5213686:5217697:1 gene:Potri.002G074600.v4.1 transcript:Potri.002G074600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074600.v4.1 MVKESEYYDVLGVSPSASEEEIRKAYYLKARQVHPDKNPDDPQAAERFQVLGEAYQVLSDPVQRDAYDRNGKYCISRETMLDPTAVFALLFGSELFEDYVGHLSVTSMASSELASESGNPDKVHEKLKAVQKEREEKLARFLKDFLNQYAQGDRVGFLRRAESEAKRLSDAAFGVDILHTIGYIYSRQAAQELGKKAIYLGVPFLAEWVRNKGHFWKSQITAAKGAFQLLQLQEDMRRQFKMDGSGPGNDVESHLLSNKDTLMNSLWKLNVVDIEITVIHVCQMVLKENNVRKEELKARALALKNLGRIFQQERQSRNGATSKGKSSVETDDDDDDSSSEEDSPRALSYRTPLLTQGIGRLFRCLCNPAFDVDDEEIVYKSK >Potri.012G014000.1.v4.1 pep chromosome:Pop_tri_v4:12:1662027:1666040:-1 gene:Potri.012G014000.v4.1 transcript:Potri.012G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014000.v4.1 MYEESGLLFPYMQNFFQDIQQLEEYCKYQKTNVPMSNLLQTTISEYDLGGEGDLFKAPKPIIEEPLVGIDPMASAISMISCGEEVITSQGLKVTDIESIQNEQLLNEVFYECKKDLLEKEAMDTQSLAELLDIKLPVVRTDDENPIKENKLPPDAALSKSVSSGCLSSIEWVHGAAVKPSFLDFPGIDFENAYGMRRAFSEGDIKTLGNGNTSLICSPLDRPLIINNYSAEDRQEKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEEWDVSKRQ >Potri.012G014000.2.v4.1 pep chromosome:Pop_tri_v4:12:1662025:1666040:-1 gene:Potri.012G014000.v4.1 transcript:Potri.012G014000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014000.v4.1 MYEESGLLFPYMQNFFQDIQQLEEYCKYQKTNVPMTTISEYDLGGEGDLFKAPKPIIEEPLVGIDPMASAISMISCGEEVITSQGLKVTDIESIQNEQLLNEVFYECKKDLLEKEAMDTQSLAELLDIKLPVVRTDDENPIKENKLPPDAALSKSVSSGCLSSIEWVHGAAVKPSFLDFPGIDFENAYGMRRAFSEGDIKTLGNGNTSLICSPLDRPLIINNYSAEDRQEKLSRYRNKKTKRNFGRKIKYACRKALADSQPRIRGRFAKTEEWDVSKRQ >Potri.008G211200.1.v4.1 pep chromosome:Pop_tri_v4:8:17661336:17665710:1 gene:Potri.008G211200.v4.1 transcript:Potri.008G211200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211200.v4.1 MAAATMATAAGAALLLYYILIRRLAAAKGGDLSKSRSGRRIPRRLVQAPATWLETISTLSETLKFTYSETLGKWPIGDLAFGINYLLRRQGNLQVASVYAGSDSVQLKGPEIIAEMYDLLRLLTLCMYFSKKPFPVFLELAGFSQEDVLIQKPKAGLLKPAFTIIHDRRSKYFLLLIRGTHSIKDTLTAATGAVVPFHHSVLHDGGVSNLVLGYAHCGMVAAARWIAKLISPCLLKALGEYPDHKIKIVGHSLGGGTAALLTYILREQKEFSSSTCVTFAPAACMTWDLAESGKHFITTVINGSDLVPTFSAASVDDLRSEVTASSWLNDLRDQVEHTRVLNVVYRSATALGSRLPSIASAKARVAGAGALLLPVSRSTKVVMKRAQDVAQAVVRTRSSLTSWSCIGPRRRSVGPTINSNSEDLPEGTLVSGNSEALATEVQTKDSVQIKLESSASSGSGHDDTEEDEPLLSDNGVIASSVIEEVTEGQLWYELERELQRQDSEVDIQAQEEEAAAAKEIIEEENVLANAAEAKNPITSEDLSESQLLYPPGRIMHVISISSSDTTNLELDGQTEEHIGLYETPRELYSKIRLSRTMINDHYMPMYKKMMELVIQELENDEDCSCAMLQD >Potri.009G146500.3.v4.1 pep chromosome:Pop_tri_v4:9:11607318:11612497:-1 gene:Potri.009G146500.v4.1 transcript:Potri.009G146500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146500.v4.1 MEPPPPWLDDLTDDLQSTSFTSTATTTTTLHRSTSSGSSSATLTPAPSTHTSFSSKSTSKHSLSLSDLRFSHRLGSGDIGSVYLAELKTKLNETDSKFFAAKVMDKKELVSRNKEGRARTEREILETLDHPFLPTLYAFIDTQRWLCLLTEFCSGGDLHVLRQRQPLKRFEETAVRFYASEVIVALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKCDDSTSTPQIISDKIDAAAAPKNDYLFEHPSYTSSSCILPNCIVPAVSCFHPRRKRKKKMGNRGGPEFVAEPVDVRSMSFVGTHEYLAPEIVSGEGHGSPVDWWTLGIFMFELFYGYTPFRGVDHELTLANVVARALEFPKEPVVPATAKDLVSQLLVKDPARRMGSPMGASAVKHHPFFQGVNWALLRCRPPPYVPPPFSREVVSDESCPETPVEYY >Potri.003G062600.2.v4.1 pep chromosome:Pop_tri_v4:3:8954747:8958907:1 gene:Potri.003G062600.v4.1 transcript:Potri.003G062600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062600.v4.1 MITVSVKPLFTAIFTLSLFLAIVLLSPSSPFSSVPSNKQAGKSDIWGVKRLVDWRPCKWWIHGPRIALLAESNGYIRVDCYGGLNQMRRDFCDGVGIARLLNATLVLPKFEAAAYWNESSGFADVFDVDYFIQQMDGFIKVVKELPPEVALKEPFHVDCSKRKGQFDYIESVLPSLLKYQYISITPAMSQRRDRYPLNAKAALCQACYGSLRLTRTLEQKAAELLEAIPKPFLSLHLRFEPDMVAYSQCEYPGLSPASKEAIEAARGDRKPWTGELARTWRNRGKCPLTPNETAFIFQALSIPTNTNIYLAAGDGLMEIEGLKSIYTNVVTKSALLSGEDFLNMHGNTKAALDYFVSINSDFYVATFFGNMDKMVAAMRAYKGLHNTLFLSRRAYAELTSKGLDGKELMQALWLAHKEDYAMGRGSALPDCFCDFKS >Potri.002G007601.4.v4.1 pep chromosome:Pop_tri_v4:2:415668:418053:-1 gene:Potri.002G007601.v4.1 transcript:Potri.002G007601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007601.v4.1 MWNYFLFPGELAHPVSCDADDKQEAKAYSKDLSSYCGRLLEETEDELAELIKKGSVKVGRLSKILCQDLSKHCKQSSDSHEVDADDDEPDGEL >Potri.011G086300.2.v4.1 pep chromosome:Pop_tri_v4:11:10663160:10666914:1 gene:Potri.011G086300.v4.1 transcript:Potri.011G086300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G086300.v4.1 MGRQSCDPIIFHSLIALLQERFKQLEKANEMRQQRKILKLFSKSEHVQPTTACESSKLFFQTGSILPPRHPLQACISLQLTMQNKHTDLQVNETPTVVNSCSTDSHEHKK >Potri.003G176100.2.v4.1 pep chromosome:Pop_tri_v4:3:18319735:18325542:1 gene:Potri.003G176100.v4.1 transcript:Potri.003G176100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176100.v4.1 MTHETPGSSGQQFFGDKMKVVTRDGIPADGNVHNISVQTGEEFSPQFARRRVLDHNQPGQMGFNYNQNNPMGSENHAGTHGVRRKDSDCDASDHVSRIEATGLAAELENRAYADNAGRYHWEYVPNALKPSNYVDETNNSGRVTLCPTSSPNCVPETPWPYQPFGTRVSESAFSGKMKFLCSFGGRILPRPNDGKLRYVGGETRIISIRKNVTWEELAKKTLAICDQPHTIKYQLPGEDLDALISVSSDEDLHHMIEEYQGLEKNGGSQRLRIFLVSSGEPDSPNSFEGKTSQQCNADCQYVVAVNGMLDHSPQKSSSGQSSASQLGTASDYKNQSPPVSPVNIQYRGHKNSKSLFYVDQPFPDNNKNIGTFAADKFPFDTAFYNNLPHGPIPSVNQVCYQQYPGETDQTSKQLEMHLHNRSQSGDFPSYQQRPQNSMNSDWPAIMERAFSDSQLQENGEVAEKWLEEAVILLSLRNDGMGKSSSLKMSNSSLERPVLAPYIMDEKHQLIEFENHCNEELSYIDLEQEVLKWMNRNANYSDVGRQQYEGNVEVALNDNAMEHRNLPNLNFPPSAYRHPLDSQAYGRMVSATRVNTSENYADAMKEHPKSHQSDTIAPDFFVKSHKVAKEQQCTMTESKDGQRILHWDPEYLPSASLGSRDKGPKVPSSKSNRSASSRLDSLCHEDPVNYNEKVEKIHDKGLSYKESIDGDALYVQSQPLDNHHDDKIAEPVVIVEDVTGTTPPDIPFSLNVVPRVEEELAEGFQSDGVIEVESTGQEYESEDIEGDDKDVNDSISDAAMAEIEAGIYRLQIIRNADIEEEQELGSGTFGTVYYGKWRGTDVAIKRIKRSCFSGNSSEQERLSRDFWREARILSDLHHPNVLAFYGVVPDGPGGTMATVTEYMVNGSLRRVLQKKDRSLDRRKKLIVALDAAFGMEYLHLRDIIHFDLKCDNLLVNLRDPQRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLDGTSNRVSEKVDVFSFGIAMWEILTGEEPYANMQFGAIIGGIVSSTLRPPVPEHCDTGWRKLMEECWASDPEARPSFTEITNRLRSMSTALQPKRPNYANR >Potri.008G117300.2.v4.1 pep chromosome:Pop_tri_v4:8:7510137:7511258:1 gene:Potri.008G117300.v4.1 transcript:Potri.008G117300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117300.v4.1 MASTSSLKPVSSLKLSNPRSQSLFQSQQQLGFTHSQGFAIQHLKLSKANRDQDHFSTKRSSGDFTTRAFFFNKKPRSPPVSPKPTKVQELNVYEINERDRGSPAFLKLSQKPQNSLGDLVPFSNKLYSGDLQKRLGITSGLCVLIQNVPEKKGDRYEATYSFYFGDYGHISVQGAYLTYEDTYLAVTGGSGIFEGVYGQVKLQQLVFPFKLFYTFYLKGIPDLPAELLGQPVTPSPSVEPAPAAKATEPRGIIQNFTK >Potri.005G162300.1.v4.1 pep chromosome:Pop_tri_v4:5:15932631:15933807:1 gene:Potri.005G162300.v4.1 transcript:Potri.005G162300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G162300.v4.1 MDRGCQSSGDSTITSVNSTSTTINNRDHYLKHLNKLSHKISKPPIITATSTIKKNPFDQPNQLQPHPSSQQPPNQDLQGQQQPPVYNINKSDFRDLVQKLTGSPAHERFSTPPPIHPPKPQSIRLQRIRPPPLVQVSNRPPPLLNSVIPPPQQKLLTTASNPSATNTFIQRSTTPLSPLPPFPAVHAAAESPVSAYMRYLQNTISAVDSNKQFSGFSPLAPLVSPRWNNQTASKQQFPFPPQQQGVDPSQSAGMIAPQAHEQPQFQLPTSPLPFGCLNSPRSPYPLLSPSSFPLSPTVPVHSPRWRSL >Potri.003G120500.2.v4.1 pep chromosome:Pop_tri_v4:3:14160781:14163021:-1 gene:Potri.003G120500.v4.1 transcript:Potri.003G120500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120500.v4.1 MRSPCTREASQACFHNFCQLPSEPQSPTSITTVSASRHVLEVAMGSLIYPNSQFTNHESLPSLQESFSNFTKAFPQYSQTDQADKIREQEYYHLSLSNHVCFDYIGHGLFSYSQQRSHSWEAPFASTSSASPPSRQYSSGLEPPFFDISYKAANLHSQIQHGGQMSELEYEMQKRIMALMNLSEDDYTMVFTANQLSAFKLVADSYPFQSNQNLLTVYDYENEAVKVMIESSKNKGARVMSAEFSWPSLRLKSGKLLKKVRRKRKNKRGLFVFPLQSRMTGARYSYLWMTMAQENGWHVLLDACGLGPKDMETLGLSLFKPDFLVCSFFKVFGENPSGFCCLFVKKSSSSILKDSTGTCIVRLVPARRPSQISEELANDDVETEERTKQEIHVDDNLQGSSSGPIFRQQTSEVTSDPQETKEISVKHEAPEIEESVASFESSKSQIIAGYGSGHSHLECRGLDHADSLGLILISTRARYLINWLVNALMSLQHPHSENRNPLVRIYGPKVKFDRGPAVAFNVFDWKGEKIDPSIVQKLADRNNISLSKGFLFHIWFPDEYEHEREQIIETRTSKGGKVLNGTREKLHSGISVVTASLGFLTNFEDIYRLWAFVSRFLDADFVEKERWRYTALNQMTIEV >Potri.003G138900.1.v4.1 pep chromosome:Pop_tri_v4:3:15544191:15546200:-1 gene:Potri.003G138900.v4.1 transcript:Potri.003G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138900.v4.1 MLSRAFTKPKKSPKHGDNESKSGTSMLDSEDCLVGFMDDRPLFFCGESVSRLSLRETLRASVGVMGESPLGMTEKVVLLRGKVYALKRFRALRVRRREFGKRIERLAQVSKRCEYLVPVIAYLYTKRIKFVVSDYFPMGSLADLLAGGRECGHTALDWNQRLRIALDIAQAITFIHTQYPPYEKNMLMNVHGNIKSSNVMITVNFTARLSDYGLTQLAGELEEVSDTWQRKPPPSPESPYTNKLSQKSDILNFGILLLDMLGGPRVRDFRNGVMERMEEIKKGDIEFFEFIVEGKERKQALLVLDIALKCADKVPEARPPIEQTLRRLGDVLLIK >Potri.008G179000.1.v4.1 pep chromosome:Pop_tri_v4:8:12363486:12366387:-1 gene:Potri.008G179000.v4.1 transcript:Potri.008G179000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179000.v4.1 MAYNESRNDAAAKEKAIDDWLPITSSRKAKWWYSTFHNVTAMVGAGVLSLPYAMAQLGWGPGIAILVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHVFGDKLGLWIVVPQQLIVQVGVNIVYMVTGGKSLKKFHDVVCPNCKDIRLTYFIMIFASVQMVLAHLPNLNSISVISLAAAVMSLSYSTIAWAVTLNKGVQPDVDYSYKARTRTGAFFDFITALGDVAFAYAGHNVVLEIQATIPSSPEKPSKKPMWRGAFLAYLVVAFCYFPVALIGYWCYGNSVDDNILISLQKPSWLIAAANMFVVIHVIGSYQIYAIAVFDLLETALVKKLHFSPSFMLRFVTRTVYVGLTMFVGICIPFFNGLLSFFGGFAFAPTTYFLPCVMWLSIYKPKRFGFSWTANWVCVILGVLLMILSPIGALRHIILTAKDYEFFS >Potri.007G146700.1.v4.1 pep chromosome:Pop_tri_v4:7:15489749:15490306:1 gene:Potri.007G146700.v4.1 transcript:Potri.007G146700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146700.v4.1 MEPPAPDVLEWLQKVHVPTIVAVAVIGLLLRSCYRCLTKSKKNGKTMKAPGRNFRMSRSDFDENPSAYFRNLRKK >Potri.019G075100.1.v4.1 pep chromosome:Pop_tri_v4:19:11614903:11615226:1 gene:Potri.019G075100.v4.1 transcript:Potri.019G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075100.v4.1 MEKSNAIVLLIMRAKDWRVPIKEYLLTGTLPSDRMEAIKLTKRASGYCLIDGVLYRRSTSSPLLKCLSSEEGTYVLREMHEGVYVHTRFRALTAQTIRAGFYWPSIL >Potri.010G092800.1.v4.1 pep chromosome:Pop_tri_v4:10:11720604:11723808:-1 gene:Potri.010G092800.v4.1 transcript:Potri.010G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092800.v4.1 MEMTRNTGDQISLGRRRLCMIEEERRAGEAGKCIKRRRRDPSTFALSCNINDQQSDQQQQQQSLGDRTAAVATTVKRSSRFRGVSRHRWTGRFEAHLWDKGTWNPTQRKKGKQVYLGAYDEEESAARAYDLAALKYWGTSTFTNFPASDYEKEIEIMKTVTKEEYLASLRRRSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAAHAYDIAAIEYRGINAVTNFDLSTYIRWLKPEASLPAPQTQESKPASDPLPMATFSNHLPSEKPTQLSVLQMDPSLMDNLNTPKNEDIFHRKTLPVSPLTRSSSSTALSLLFKSSIFKELVEKNLNTTSEEIEENDSKNPHNGNNNAGEAFYDGLSPIPHTGTSTEDPFLCSEQGETNTLPPYSGMEQSLWNGALSMPSRFR >Potri.005G030800.1.v4.1 pep chromosome:Pop_tri_v4:5:2005758:2009643:-1 gene:Potri.005G030800.v4.1 transcript:Potri.005G030800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030800.v4.1 MAHNQNCNMAVPVFCLIFFLMPGASAFVCNFTDCEALLKFKAGITSDPEGYVKDWNEANPFCNWTGVTCHQSLQNRVIDLEITDMRLEGSISPFLSNLSLLTKLSLQGNNFHGEIPTTLGALSQLEYLNMSENKLSGALPASLHGCQILKFLDLTDNNLSGVIPEELGWMKKLSFLALSENNLTGVIPAFLSNLTELTQLELAVNYFTGQIPVELGVLSRLEILYLHLNFLEGTIPASLSNCTALQAISLIENRLSGEIPSQMGNKLQNLRKLYFMNNNISGRIPVTFSNLSQITLLDLSVNYLEGEVPEELGKLKNLEILYLHSNNLVSNSSLSFLTALTNCSFLMKLHLGSCLFSGSLPASIGNLSKDLYYFNLLNNRIRGEIPDSIGNLSGLVTLQLWYNHLDGTIPATFGKLKLLQRLYLGRNKLQGSIPDEMGQTENLGLLDLANNSITGSIPCSLGNLSQLRYLYLSQNSLSGNIPIKLSQCSLMMQLDLSFNSLQGPLPPEIGVFSNLGLSLNLSNNNLDGEIPATIGNLVSVQAIDLSVNRFSGIIPSSVGSCTALEYLNLSKNMIQGTILESLKQIASLKALDLAFNQLTGSVPIWLANDSVMKNFNLSYNRLTGEVSSMGRFKNLSGSTLIGNAGLCGGSALMRLQPCAVHKKRRKLWKWTYYLLAITVSCFLLLLVYVGVRVRRFFKKKTDAKSEEAILMAFRGRNFTQRELEIATDGFSDANLLGRGSFGSVYKAWIDDRISFVAVKVLNEDSRRCYKSLKRECQILSGIKHRNLVQMMGSIWNSQFKALILEFVGNGNLEQHLYPESEGGNCRLTLSERLGIAIDIANALEYLQLGCSTQVVHCDLKPQNVLLDDDMVAHVADFGIGKVFFADKPTEYSSTASGLRGSVGYIPPEYGQSNEVSVRGDVYSFGIMLLELITRQRPTGEMFTDGLDLRKWVGAATPHHILDVVDMSLKREAHSSGAIEKLKQCCVHVVDAGMMCTEENPQSRPSISLISRELQNLWKQMEFGK >Potri.018G119600.2.v4.1 pep chromosome:Pop_tri_v4:18:13521189:13524642:1 gene:Potri.018G119600.v4.1 transcript:Potri.018G119600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119600.v4.1 MIGTEIITVFYGADDMPCSSGTELLSRQKSYLLDSSELRPLSPRVDASDGSLKLLNVHHSHAHQNYNLGRSIVLKRSRHHYGHQYSRRNSGSHADASTSRGKTALSCDERLTFKLSSHLGSEPGCHTENKELEFSRPDRVRFNSLVMDAVSSDALKIVCGICQKLVRRKPYFIGNALTAGEFSVVAILVCGHVYHSECLEQKTSLEDMRDPPCPLCSGLLSEEDAPREQE >Potri.018G119600.1.v4.1 pep chromosome:Pop_tri_v4:18:13521191:13524642:1 gene:Potri.018G119600.v4.1 transcript:Potri.018G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119600.v4.1 MGKRKRSSGDHNKNKYNSSSDDMPCSSGTELLSRQKSYLLDSSELRPLSPRVDASDGSLKLLNVHHSHAHQNYNLGRSIVLKRSRHHYGHQYSRRNSGSHADASTSRGKTALSCDERLTFKLSSHLGSEPGCHTENKELEFSRPDRVRFNSLVMDAVSSDALKIVCGICQKLVRRKPYFIGNALTAGEFSVVAILVCGHVYHSECLEQKTSLEDMRDPPCPLCSGLLSEEDAPREQE >Potri.008G224273.1.v4.1 pep chromosome:Pop_tri_v4:8:19042122:19043500:-1 gene:Potri.008G224273.v4.1 transcript:Potri.008G224273.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224273.v4.1 MGAAGRQFGAPARSLPTRTTRLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGREGSRRDKRQAGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRSGLGSRPVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQATSRTRRISKGQATGRGGKRRGQSCGGLSAPARKTEVRPRQQARHATSVELSSQSPSSAVRPSRVGQASNLRARAAATAAGREGSRRDKGQAAGGNDGDNHAGGCQPRLGRRRPGLGSGHITPRGRGLQGEPTHGRAHDNLMPRPRQRRALLAIPKLGGPHQPRQPGLRLASRGRGSGHRRRDVAASRRPRSSCQHLGTSTANECHAHAADKQPQRARRLGAGPEDGGRNRVVAGRKTGHRCRQYFGP >Potri.002G260100.5.v4.1 pep chromosome:Pop_tri_v4:2:24857413:24862072:1 gene:Potri.002G260100.v4.1 transcript:Potri.002G260100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260100.v4.1 MKELLLVTILVLFHCTLGQQGFLTRNELSALFDLRSSLGIRSTDWPIKSNPCKQWTGIQCRNGRVIGINISGLKRTRVGRLNPSFSVDSLANCTFLESFNASGFSLPGPIPDWFGYQLGSLKVLDLQSSSVTGPIPASLGNLTRLNALYLGSNDLAGSLPAALGQLMQLLVLDLSRNSLTGQLPSEFGLLRNLSKLDLSSNYFSGSIPSTFGSISSLKILNLSDNSLMGSVPVELGNLSNLVELNLGMNVLSGLLPVEFMRLRNLEVLVLRDNRLEGRLPDGLFPSLGKLRVLELRENKFDGALPDALWSSQNLQVLDVSANNFTADLTNFSLKGHASGAVFNLSNNKLYGTPACLSENCTSIDFSGNYFQGKVTISSPRNGSLDMNCLQTVPYQRSLEDCRLFYAERDLTFDYFGNPEPGSVPKKRKRWIYILVGLLGGVGFLTILVLVIVVVLRKFSNTITNERGSADVGRVPDGDSPPLPKDPTSVSSLRDSFTYEQLLGLTSEFGERNLIKHGHSGDLYQGFLEGGIPIVVKRINLHSLKQDSCMMELEFFSKHSHARLVPLLGHCLENENHKLLVYKYMPNRDLAESLYRVTNFEDDGLQSLDWITRLKIATGAAEGLSYLHQECNPPLVHRDVQASSILLDDKFEVRLGSLSRVRVQEGDLHQSVLTRFLRKSQSSVSDPGTSGSSPATCAHDVYCFGKVLLELVTGKLGISKSDDATTREWLEHALAQISVYDRELVAKIVDPSMIVDEDLLEEVWAMAIVARSCLNSKPSKRPPMKYVLKALENPLKVVRDDMYSSGRLRTTSSRRSWSAAFFGSWRHSPDNASTLGHANTSGLKQPGRAGSRGSSGIEHSSSNKRLSSEIFPEPLEMQDMERLDEHR >Potri.002G260100.11.v4.1 pep chromosome:Pop_tri_v4:2:24857407:24862049:1 gene:Potri.002G260100.v4.1 transcript:Potri.002G260100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260100.v4.1 MKELLLVTILVLFHCTLGQQGFLTRNELSALFDLRSSLGIRSTDWPIKSNPCKQWTGIQCRNGRVIGINISGLKRTRVGRLNPSFSVDSLANCTFLESFNASGFSLPGPIPDWFGYQLGSLKVLDLQSSSVTGPIPASLGNLTRLNALYLGSNDLAGSLPAALGQLMQLLVLDLSRNSLTGQLPSEFGLLRNLSKLDLSSNYFSGSIPSTFGSISSLKILNLSDNSLMGSVPVELGNLSNLVELNLGMNVLSGLLPVEFMRLRNLEVLVLRDNRLEGRLPDGLFPSLGKLRVLELRENKFDGALPDALWSSQNLQVLDVSANNFTADLTNFSLKGHASGAVFNLSNNKLYGTPACLSENCTSIDFSGNYFQGKVTISSPRNGSLDMNCLQTVPYQRSLEDCRLFYAERDLTFDYFGNPEPGSVPKKRKRWIYILVGLLGGVGFLTILVLVIVVVLRKFSNTITNERGSADVGRVPDGDSPPLPKDPTSVSSLRDSFTYEQLLGLTSEFGERNLIKHGHSGDLYQGFLEGGIPIVVKRINLHSLKQDSCMMELEFFSKHSHARLVPLLGHCLENENHKLLVYKYMPNRDLAESLYRVTNFEDDGLQSLDWITRLKIATGAAEGLSYLHQECNPPLVHRDVQASSILLDDKFEVRLGSLSRVRVQEGDLHQSVLTRFLRKSQSSVSDPGTSGSSPATCAHDVYCFGKVLLELVTGKLGISKSDDATTREWLEHALAQISVYDRELVAKIVDPSMIVDEDLLEEVWAMAIVARSCLNSKPSKRPPMKYVLKALENPLKVVRDDMYSSGRLRTTSSRRSWSAAFFGSWRHSPDNASTLGHANTSGLKQPGRAGSRGSSGIEHSSSNKRLSSEIFPEPLEMQDMERLDEHR >Potri.002G260100.10.v4.1 pep chromosome:Pop_tri_v4:2:24856771:24862066:1 gene:Potri.002G260100.v4.1 transcript:Potri.002G260100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260100.v4.1 MKELLLVTILVLFHCTLGQQGFLTRNELSALFDLRSSLGIRSTDWPIKSNPCKQWTGIQCRNGRVIGINISGLKRTRVGRLNPSFSVDSLANCTFLESFNASGFSLPGPIPDWFGYQLGSLKVLDLQSSSVTGPIPASLGNLTRLNALYLGSNDLAGSLPAALGQLMQLLVLDLSRNSLTGQLPSEFGLLRNLSKLDLSSNYFSGSIPSTFGSISSLKILNLSDNSLMGSVPVELGNLSNLVELNLGMNVLSGLLPVEFMRLRNLEVLVLRDNRLEGRLPDGLFPSLGKLRVLELRENKFDGALPDALWSSQNLQVLDVSANNFTADLTNFSLKGHASGAVFNLSNNKLYGTPACLSENCTSIDFSGNYFQGKVTISSPRNGSLDMNCLQTVPYQRSLEDCRLFYAERDLTFDYFGNPEPGSVPKKRKRWIYILVGLLGGVGFLTILVLVIVVVLRKFSNTITNERGSADVGRVPDGDSPPLPKDPTSVSSLRDSFTYEQLLGLTSEFGERNLIKHGHSGDLYQGFLEGGIPIVVKRINLHSLKQDSCMMELEFFSKHSHARLVPLLGHCLENENHKLLVYKYMPNRDLAESLYRVTNFEDDGLQSLDWITRLKIATGAAEGLSYLHQECNPPLVHRDVQASSILLDDKFEVRLGSLSRVRVQEGDLHQSVLTRFLRKSQSSVSDPGTSGSSPATCAHDVYCFGKVLLELVTGKLGISKSDDATTREWLEHALAQISVYDRELVAKIVDPSMIVDEDLLEEVWAMAIVARSCLNSKPSKRPPMKYVLKALENPLKVVRDDMYSSGRLRTTSSRRSWSAAFFGSWRHSPDNASTLGHANTSGLKQPGRAGSRGSSGIEHSSSNKRLSSEIFPEPLEMQDMERLDEHR >Potri.002G260100.9.v4.1 pep chromosome:Pop_tri_v4:2:24857411:24862134:1 gene:Potri.002G260100.v4.1 transcript:Potri.002G260100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260100.v4.1 MKELLLVTILVLFHCTLGQQGFLTRNELSALFDLRSSLGIRSTDWPIKSNPCKQWTGIQCRNGRVIGINISGLKRTRVGRLNPSFSVDSLANCTFLESFNASGFSLPGPIPDWFGYQLGSLKVLDLQSSSVTGPIPASLGNLTRLNALYLGSNDLAGSLPAALGQLMQLLVLDLSRNSLTGQLPSEFGLLRNLSKLDLSSNYFSGSIPSTFGSISSLKILNLSDNSLMGSVPVELGNLSNLVELNLGMNVLSGLLPVEFMRLRNLEVLVLRDNRLEGRLPDGLFPSLGKLRVLELRENKFDGALPDALWSSQNLQVLDVSANNFTADLTNFSLKGHASGAVFNLSNNKLYGTPACLSENCTSIDFSGNYFQGKVTISSPRNGSLDMNCLQTVPYQRSLEDCRLFYAERDLTFDYFGNPEPGSVPKKRKRWIYILVGLLGGVGFLTILVLVIVVVLRKFSNTITNERGSADVGRVPDGDSPPLPKDPTSVSSLRDSFTYEQLLGLTSEFGERNLIKHGHSGDLYQGFLEGGIPIVVKRINLHSLKQDSCMMELEFFSKHSHARLVPLLGHCLENENHKLLVYKYMPNRDLAESLYRVTNFEDDGLQSLDWITRLKIATGAAEGLSYLHQECNPPLVHRDVQASSILLDDKFEVRLGSLSRVRVQEGDLHQSVLTRFLRKSQSSVSDPGTSGSSPATCAHDVYCFGKVLLELVTGKLGISKSDDATTREWLEHALAQISVYDRELVAKIVDPSMIVDEDLLEEVWAMAIVARSCLNSKPSKRPPMKYVLKALENPLKVVRDDMYSSGRLRTTSSRRSWSAAFFGSWRHSPDNASTLGHANTSGLKQPGRAGSRGSSGIEHSSSNKRLSSEIFPEPLEMQDMERLDEHR >Potri.002G260100.8.v4.1 pep chromosome:Pop_tri_v4:2:24857413:24862048:1 gene:Potri.002G260100.v4.1 transcript:Potri.002G260100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260100.v4.1 MKELLLVTILVLFHCTLGQQGFLTRNELSALFDLRSSLGIRSTDWPIKSNPCKQWTGIQCRNGRVIGINISGLKRTRVGRLNPSFSVDSLANCTFLESFNASGFSLPGPIPDWFGYQLGSLKVLDLQSSSVTGPIPASLGNLTRLNALYLGSNDLAGSLPAALGQLMQLLVLDLSRNSLTGQLPSEFGLLRNLSKLDLSSNYFSGSIPSTFGSISSLKILNLSDNSLMGSVPVELGNLSNLVELNLGMNVLSGLLPVEFMRLRNLEVLVLRDNRLEGRLPDGLFPSLGKLRVLELRENKFDGALPDALWSSQNLQVLDVSANNFTADLTNFSLKGHASGAVFNLSNNKLYGTPACLSENCTSIDFSGNYFQGKVTISSPRNGSLDMNCLQTVPYQRSLEDCRLFYAERDLTFDYFGNPEPGSVPKKRKRWIYILVGLLGGVGFLTILVLVIVVVLRKFSNTITNERGSADVGRVPDGDSPPLPKDPTSVSSLRDSFTYEQLLGLTSEFGERNLIKHGHSGDLYQGFLEGGIPIVVKRINLHSLKQDSCMMELEFFSKHSHARLVPLLGHCLENENHKLLVYKYMPNRDLAESLYRVTNFEDDGLQSLDWITRLKIATGAAEGLSYLHQECNPPLVHRDVQASSILLDDKFEVRLGSLSRVRVQEGDLHQSVLTRFLRKSQSSVSDPGTSGTLNSLTSSSPATCAHDVYCFGKVLLELVTGKLGISKSDDATTREWLEHALAQISVYDRELVAKIVDPSMIVDEDLLEEVWAMAIVARSCLNSKPSKRPPMKYVLKALENPLKVVRDDMYSSGRLRTTSSRRSWSAAFFGSWRHSPDNASTLGHANTSGLKQPGRAGSRGSSGIEHSSSNKRLSSEIFPEPLEMQDMERLDEHR >Potri.014G037300.2.v4.1 pep chromosome:Pop_tri_v4:14:2357842:2359744:1 gene:Potri.014G037300.v4.1 transcript:Potri.014G037300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037300.v4.1 MDPSPQLIAIALFFSCILLYNALTKKNSIKGNQIKEAPEPAGAWPIIGHLHLLGGGDQLLYRTLGAMADKHGSAFTIRLGSRRAFVVSSWEVVKECFTINDKALASRPTTVAAKHMGYNYAVFGFAPYSSFWREMRKIATLELLSNRRLEMLKHVRASEVDIGIRELYNSWANNSSSSVVVELKQWLEDLTLNVVVRMVAGKRYFGSAAASDDGEARRCQKAINQFFRLIEYSQRVGCYSRRLAWLDEHRQRRVSAGIKDEGEQDFIDVMLSLKEEGQLSNFQYDANTSIKSTCLALILGGSDTTAGTLTWAISLLLNNRHMLKKAQEELDLHVGKERQVEDSDVKNLVYLQTIIKETLRLYPAGPLLGPREAMEDCKVAGYHVPAGTRLIVNVWKIQRDPRVWTKTSAFLPERFLTSHGDVDVRGQQFELIPFGSGRRSCPGVSFALQVLHLTLARLLHSFELATPMDQPVDLTESSGLTIPKATPLEVILTPRLPPKLYGY >Potri.006G085600.1.v4.1 pep chromosome:Pop_tri_v4:6:6376853:6383908:1 gene:Potri.006G085600.v4.1 transcript:Potri.006G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085600.v4.1 MSMSSSSIPATSLEAEKEEMDELKIEERCLENKQLTPASSSSQSEEGSGSAILKSPGVYSPATVSPTNRRTTGPIRRAKGGWTPEEDETLRTAVATYKGKSWKKIAEFFPDRSEVQCLHRWQKVLDPELVKGPWTQEEDDKIVELVAKYGPTKWSVIAKALPGRIGKQCRERWHNHLNPDIKKDAWTLEEELALMNAHRIYGNKWAEIAKVLPGRTDNSIKNHWNSSLKKKLDFYLSTGKLPPISKNGFQNGTKDTNKSAVMKTMKESDSAAQTSSGTTDICKLDEDGKDQLESTLVLDVAASSSVLPNEYADSVDLSCCDSESQQKFEDHQDKGIGSGLQFDRSTYGSLYYEPPLVEQLDSYPSNMGSVQHENNSCPVSSPIIFFTPPCVKSRDLSAQSPESILRIAAMSFPNTPSIFRKRKTAQVDLLPSKIGKVGEETVKDRLDMSVEHEKIENTLEKTAAQDGSLCESPASLGNGTIRPNDKPFNASPPYRLRSKRTAVFKSVERQLEFTFEKGRSDGTKPTRLSVKRGSPVSEDCSRATKMGVT >Potri.001G169000.3.v4.1 pep chromosome:Pop_tri_v4:1:14419052:14419834:-1 gene:Potri.001G169000.v4.1 transcript:Potri.001G169000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169000.v4.1 MLLFVCLFALLFSSSSHAADFCVAKLKGAGNPAGYACRMPSKVTVDDFVFTGLGVAGNTTNIISAAVTPAFVQQFPGVNGLGLSMARLDLAPAGVIPMHTHPGASEVLFVVQGKITAGFISSLANTVYVKTLKKGDVMVFPRGLLHFQINDGEINAVAVVSFSDPDPGLQITDFAFFANNLSSELLEKSTFLDDAQVKKLKKVLGGTG >Potri.007G091200.1.v4.1 pep chromosome:Pop_tri_v4:7:11690839:11694792:-1 gene:Potri.007G091200.v4.1 transcript:Potri.007G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091200.v4.1 MGDLSPEKKSAGCGLFSAVFGQRVFWPRRSTSTGSISTMNAANFTKTPSTPKRRRSGSDEAAFLGNPSSIAEEQRKSITKAPMHPKIPPSKNQNYGRKLPEDATKISSDQGHANQNQGYGNQNAYVKHGRRVPKEAVGLSGELESYISDHQKSKGSSTLVRASSSNVMLLGNLGNLRQGGGGGNTTSRDVLDYLPKTAREEVATPNGKYPNSVMGNVVKKQNEEKPNVGAQPAAGSLCRALSTRMDPEQLKIMGNEDYKNGNFAEALALYDAAISIDPNKASYRSNRSAALTALGKLLEAVFECREAIRIEPHYHRAHHRLANLHLRLGEAEKAIYHYKRAGPEADHADISNAQALQAHLSKCTDARRHRDWNTLIKETAATISAGSDSALQIYALQAEALIKLHRHQEADEALQKGPNFDVDACTQFFGPIGNANLLMVRAQVDMAIGRFDDALATAQRATRLDSNNKEAYTVLKKAKAVAAARSHGNQLFKAAKFYEACNAYSEGLEHDPFNSVLLCNRAACRSKLGQYEKAVEDCNAALTVRPGYAKARLRRADCYAKLGKWEVSIKDYEMLQNEAPEDEELGRALMEAKEQLKKQKGLDAAA >Potri.004G088000.1.v4.1 pep chromosome:Pop_tri_v4:4:7350534:7354001:1 gene:Potri.004G088000.v4.1 transcript:Potri.004G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088000.v4.1 MKRKKWSELEEQTLLSKYSDLLTSGTLSKLKTREKKFRPIADHVNTIHHLQDPIGYPFKWSWRDVSIKVQNMRHQYLGVKQKIRISKDEFNWKDGENHWENFLKYKEVFGDVELEVKSKKSSGSGDSDLFKDCGDLGFGIDSEDYLEEDDQEEEDGEEEEDVNGDGGNDNVGGGEEDGEFRGEKGNGEMGIGRKEKMKKGLGGNRRLGLLGAQVMDLRDVVLRREEKRREREFNGEKSVLESEKRRRELEYRRDMWRSEKEERVENWEMELEERELMWARREFERRERVERELDEERRKRRLMEEKREEEEMEWRERMLGMQIEHEKAMMQIHADACQNQMQILGVMARFICQFFGSANDGLGGGLGGLPPQVLQNLQHPGGLGDSGKPDANSPSEFM >Potri.009G011200.1.v4.1 pep chromosome:Pop_tri_v4:9:2143412:2151722:1 gene:Potri.009G011200.v4.1 transcript:Potri.009G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011200.v4.1 MDVIVISQQKKHDDNNDNDNDNNNNNNERMEGWLYLIRSNRIGLQYSRKRYFVLQHHLLQSFKSVPLSKNQDPVRSAIIDSCIRVTDNGRESIHRKVFFIFTVYNTSNHNDQLKLGASSPEEAARWIHSIQEAALKGAHSIVGCSRSSCQSFRLSGPSWVNHNKPIDWTHCSSTHTDLVTDVIAPSPWTIFGCQNGLRLFKEAKDRDSHGKWDDHPAIMAVGVVDGTSEAIFQTLMSLGPSRSKWDFCFYKGSVVEHLDGHMDIIHKELYNDWLPWGMKRRDFLLRRYWRREDDGTYVILYHSVYHKKCPPQKGYVRACLKSGGYVISPVNHERRSVVKHMLAVDWKSWKSYLRTSSARSITIRMLERVAALRELFKAKLGNCPSSDFSSGELVGNMRLHQIEGDQRIDVQTPTNDGMTKECMNEEVDKTPSEHASLVGLNDPADEFFDVPEPSDYDQLENGWSSDFDPEMYSQDARQPKLSTAAGFVKKLHELAVQKRGYVDLQEMVREDSISCCYGTTLLKDPTFTLPSSWATADPSSFLIRGKNYLEDQKKFKANGTLMQMVAADWLRSDKREDDLAGRPGSIVQKYAAQGGPEFFFIVNIQVPGSTTYSLALYYMMNTPVEDAPLLESFIEGDDAYRNSRFKLIPYISKGSWIVKQSVGKKACLIGQALEMNYFRGKNYLELGVDIGSSTVARGVVSLVLGYLSNLVIEMAFLIQANTDEELPEYLLGTCRLNHLDASKAVLLKS >Potri.019G067300.2.v4.1 pep chromosome:Pop_tri_v4:19:10737574:10742054:1 gene:Potri.019G067300.v4.1 transcript:Potri.019G067300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067300.v4.1 MRGKPFSAKAIFVLCIASFLAGSLFTNQNWTHPSRAKDNRIAVIPHHVTKLQEVKQDCDPKRKLVEGKPGDIMGEVHKTHEAIRSLESTMSTLEMELAVARTVQSNGQHYSLEKLANHTLQKAFVVIGINTAFSSRKRRDSLRQTWMPKGEKLKKLEKEKGIVIRFVIGHSATPGGVLDRAVDLEDAEHKDFLRLKHVEGYHELSTKTRLYFSTAVSIWDAEFYLKVDDDVHLNLGMLMSTLAKYRSKPRIYIGCMKSGPVLSQKEDKYYEPEYWKFGEDGNKYFRHATGQLYAISKDLAAYISINSPILHRYANEDVSLGSWLLGLEVEHVDERSMCCGTPPDCEWKAQAGNVCVASFDWSCSGICNSVRRMKVVHDSCGEGEGAVWNVDL >Potri.019G067300.1.v4.1 pep chromosome:Pop_tri_v4:19:10738010:10742054:1 gene:Potri.019G067300.v4.1 transcript:Potri.019G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067300.v4.1 MDRRKFHMAVDSRLLLSVKKLIVGLRDVVVSRDCQPENQLKKMRGKPFSAKAIFVLCIASFLAGSLFTNQNWTHPSRAKDNRIAVIPHHVTKLQEVKQDCDPKRKLVEGKPGDIMGEVHKTHEAIRSLESTMSTLEMELAVARTVQSNGQHYSLEKLANHTLQKAFVVIGINTAFSSRKRRDSLRQTWMPKGEKLKKLEKEKGIVIRFVIGHSATPGGVLDRAVDLEDAEHKDFLRLKHVEGYHELSTKTRLYFSTAVSIWDAEFYLKVDDDVHLNLGMLMSTLAKYRSKPRIYIGCMKSGPVLSQKEDKYYEPEYWKFGEDGNKYFRHATGQLYAISKDLAAYISINSPILHRYANEDVSLGSWLLGLEVEHVDERSMCCGTPPDCEWKAQAGNVCVASFDWSCSGICNSVRRMKVVHDSCGEGEGAVWNVDL >Potri.008G128301.1.v4.1 pep chromosome:Pop_tri_v4:8:8339659:8340155:-1 gene:Potri.008G128301.v4.1 transcript:Potri.008G128301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128301.v4.1 MRMINSSGESLLGRLFNIVLPMILQFQSGCGNKLWGAWNDAVEEACEAGVGVIAEPGGRSIRDKDAIDCCNKHGVSLFFTNVL >Potri.008G055900.1.v4.1 pep chromosome:Pop_tri_v4:8:3290516:3293480:-1 gene:Potri.008G055900.v4.1 transcript:Potri.008G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055900.v4.1 MATITTTSITTALLIISTLLHFSTTAFAIGVNYGTLANNLPSPSQVASFLKTQTTIDSIKIFDTNPDILRAFANSNITVTVTVGNGDIPALVDVNAASQWVANNIKPYYPQTRIKLIAVGNEILFTGNKEWISRLVPCMKSLHQALVHAGIKDVQVSTPHTLGILHNSVQPSAARIRPGYDRVIFAPMLQFLRQTKSPLMVNPYPYFSYSPSMENYILFKPNRGVHDTNTNITYTNMFVAMMDAVYSAIKAMGYGDLDIVVAESGWPSLGDPNQPMCTVENAVSYNKNMIKVVTSGNGTPLMPKRRFQTYVFSLFNENLKPGSTAERNWGLFRPEFTPVYDVGIMRNGQSSRPTPPSPTKSKKWCVPKADATDKALQANIDYVCSQGMDCKPIQAGGACFSPNNIRSHASYIMNSYYQSHGSNDFNCDFSQTAVLTTSDPSHGTCKYN >Potri.005G126700.1.v4.1 pep chromosome:Pop_tri_v4:5:9538852:9542529:-1 gene:Potri.005G126700.v4.1 transcript:Potri.005G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126700.v4.1 MESKPPQDGQRKYRFMPKAPPRRLSKPEVKTEKVENVDTLQAMNLMKQFQERSLKQKITNEKKVQKPDIAFGPGAAATKPFPSWSTINRDQGSSSNGNADAPGLREKEYIEPWDYYSNYPVSLPMRRPYSGNSAILDEEEFGEVSEAATYDENSTNSAVELGLMEENVEASMLFVQLPPTMPMIKRSATAVGPEVKESSRPSGGARAIEKTCRLDELPAGYMGKVLVYRSGAVKLKLGDTLYDVSPGMNSIFAQDVVAINRGEETCCVVAEIEKRVTLIPDVDAITSRVAEM >Potri.005G126700.9.v4.1 pep chromosome:Pop_tri_v4:5:9538852:9542529:-1 gene:Potri.005G126700.v4.1 transcript:Potri.005G126700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126700.v4.1 MRRPYSGNSAILDEEEFGEVSEAATYDENSTNSAVELGLMEENVEASMLFVQLPPTMPMIKRSATAVGPEVKESSRPSGGARAIEKTCRLDELPAGYMGKVLVYRSGAVKLKLGDTLYDVSPGMNSIFAQDVVAINRGEETCCVVAEIEKRVTLIPDVDAITSRVAEM >Potri.019G008406.1.v4.1 pep chromosome:Pop_tri_v4:19:1152748:1155163:1 gene:Potri.019G008406.v4.1 transcript:Potri.019G008406.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008406.v4.1 MTDKIKVWCFLLFLLKLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRTTVDIIGELLGFNQFIPPFATARGRDILVGVNYASGSAGIRDESGRQLGDRISLNEQLQNHAATFNRSIQLLGTKQAAENYLNKCLYYVSLGRNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYHLGARKIALHGLGAIGSIPYSFSTLCRNNLSCVTNKNNAVLPFNAGLVSLVDQLNRELNDARFIYLNSTGILSSGDPSVLGFRVTNVECCPARSDGRCIQDSTPCQNRTEYVFWDAVHPTEAMNQVTARRSYNAFLPSDAYPTDISHLIS >Potri.016G094901.1.v4.1 pep chromosome:Pop_tri_v4:16:8847643:8848077:-1 gene:Potri.016G094901.v4.1 transcript:Potri.016G094901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G094901.v4.1 MWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNKRMEQDCFVETHLEPVQ >Potri.009G100600.1.v4.1 pep chromosome:Pop_tri_v4:9:8919312:8922175:-1 gene:Potri.009G100600.v4.1 transcript:Potri.009G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100600.v4.1 MENANPSASMLPSDSPEQMGIHMADSNMQATQPSVNQQIRPSLDGPVAILWDIENCPVPSDVRSEDVAGNIRMALQVHPVIKGAVMMFSAYGDFNSFPRRLREGCQRTGVKLIDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFSPALHILGQRGYTVILVIPSGVGVSSALCNAGKFVWDWPSVARGEGFIPPLKTLLPAHLGPADMAGYSMGCRINDSHDGQNEEEAIVYRGLAQSYYNLRDFSIVTRSLSEYNSSSLMSLPCFPTSSRSQSLPSGLKEVSAGPASYDDYYSTMWVQPGGINSLKAQLVKLLEISGGCLPLTRVPPEYQKMYGRPLCVSEYGALKLVNLFKKMGDAMAIDGKAQKKFVYLKNWKAGPSAPPIILARRDKTGKGPQDESLDIVTGGGSSDELSDEERMANKKQENRRKQEKTNLGTPARYEVDDPNLEQFKFELQEILVSYSSWIFLGCFEAIYQQRYKKPLDYQSFGVDQLEQLFDKVRDVVVLHEEPASKKKFLAAVGG >Potri.015G083000.2.v4.1 pep chromosome:Pop_tri_v4:15:10827583:10831824:-1 gene:Potri.015G083000.v4.1 transcript:Potri.015G083000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083000.v4.1 MNPYDNRYSDPDSYRHRHHRSDLMGQKTPVVPTMIGPVPHGGGAGVPPPPSFAGRGGPPPVGGGYPAFERPSSGFSVGRGGGGGGRGFSGGRGSNFHGGDRRNDAGRGRGWNSGSGRGGGGGRGGRFGGGDPRRELDNIALPKQDFGDLVPFEKNLYFENPSIRAMSEHEVVTFRARREITVEGHDVPRPIRIFHEANFPDYCLQVIAKLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAFVHVAAQPRLVHGDGPIVLVLAPTRELAVQIQEEALKFGSKANIRSTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDMLGAQHVNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVEALARHFLHNPYKVIIGSQDLKANQSIKQVVEVMMDLEKYKRLIKLLKEVMDGSRILIFMETKKGCDQVTRQLRMDGWAALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLGRIIVCRSPRSIHLCLEI >Potri.015G083000.3.v4.1 pep chromosome:Pop_tri_v4:15:10827628:10831812:-1 gene:Potri.015G083000.v4.1 transcript:Potri.015G083000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083000.v4.1 MNPYDNRYSDPDSYRHRHHRSDLMGQKTPVVPTMIGPVPHGGGAGVPPPPSFAGRGGPPPVGGGYPAFERPSSGFSVGRGGGGGGRGFSGGRGSNFHGGDRRNDAGRGRGWNSGSGRGGGGGRGGRFGGGDPRRELDNIALPKQDFGDLVPFEKNLYFENPSIRAMSEHEVVTFRARREITVEGHDVPRPIRIFHEANFPDYCLQVIAKLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAFVHVAAQPRLVHGDGPIVLVLAPTRELAVQIQEEALKFGSKANIRSTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDMLGAQHVNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVEALARHFLHNPYKVIIGSQDLKANQSIKQVVEVMMDLEKYKRLIKLLKEVMDGSRILIFMETKKGCDQVTRQLRMDGWAALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLGRIIVCRSPRSIHLCLEI >Potri.015G083000.1.v4.1 pep chromosome:Pop_tri_v4:15:10827585:10831820:-1 gene:Potri.015G083000.v4.1 transcript:Potri.015G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083000.v4.1 MNPYDNRYSDPDSYRHRHHRSDLMGQKTPVVPTMIGPVPHGGGAGVPPPPSFAGRGGPPPVGGGYPAFERPSSGFSVGRGGGGGGRGFSGGRGSNFHGGDRRNDAGRGRGWNSGSGRGGGGGRGGRFGGGDPRRELDNIALPKQDFGDLVPFEKNLYFENPSIRAMSEHEVVTFRARREITVEGHDVPRPIRIFHEANFPDYCLQVIAKLGFVEPTPIQAQGWPMALKGRDLIGIAETGSGKTLAYLLPAFVHVAAQPRLVHGDGPIVLVLAPTRELAVQIQEEALKFGSKANIRSTCIYGGAPKGPQIRDLQRGVEIVIATPGRLIDMLGAQHVNLRRVTYLVLDEADRMLDMGFEPQIRKIVSQIRPDRQTLYWSATWPREVEALARHFLHNPYKVIIGSQDLKANQSIKQVVEVMMDLEKYKRLIKLLKEVMDGSRILIFMETKKGCDQVTRQLRMDGWAALSIHGDKNQAERDWVLAEFKSGRSPIMTATDVAARGLDVKDIKCVVNYDFPSSLEDYVHRIGRTGRAGARGTALTFFTESNAKFARDLIKILQEAGQIVPPSLSAMTRSAGSFGGNFRSRGRGGFGNRGMISGSNTVPLGAGRPW >Potri.005G185000.1.v4.1 pep chromosome:Pop_tri_v4:5:19241806:19244835:1 gene:Potri.005G185000.v4.1 transcript:Potri.005G185000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185000.v4.1 MAQTSPVHTHETCEHTNITSVPFSNINIPMDSVLAVDEDIPTIDFLMLFSDDPIQQSKALDNLSNACDEYGFFYLVNHGVPDSVIEGALEGIADFFEVTKEEERKEYVKSSPADRIMWGLNSHAGENREYLKVVAHPQFHCPAKPASLSEALEEYFKRFQDVKIGLARAISKILGLEEFYIEKFFNLKSGFDVSAMNVYPPNFQSKGSIGVPSHTDPGFFVSLIQDVNGGLRVLSHKGKWINVYIPRNAFLIQIGDHLEVLTNGKYKSHIHQVVVDNNKVRRISLATLHGPSLDTFVIPATKFVDHFHPLGYRGMTYKESLEANGHHEIEVQSCLEQLRL >Potri.012G006400.1.v4.1 pep chromosome:Pop_tri_v4:12:254890:258106:-1 gene:Potri.012G006400.v4.1 transcript:Potri.012G006400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COMT1 MGSTGETQMTPTQVSDEEAHLFAMQLASASVLPMILKTAIELDLLEIMAKAGPGAFLSTSEIASHLPTKNPDAPVMLDRILRLLASYSILTCSLKDLPDGKVERLYGLAPVCKFLTKNEDGVSVSPLCLMNQDKVLMESWYYLKDAILDGGIPFNKAYGMTAFEYHGTDPRFNKVFNKGMSDHSTITMKKLLETYKGFEGLTSLVDVGGGTGAVVNTIVSKYPSIKGINFDLPHVIEDAPSYPGVEHVGGDMFVSVPKADAVFMKWICHDWSDAHCLKFLKNCYDALPENGKVILVECILPVAPDTSLATKGVVHIDVIMLAHNPGGKERTEKEFEGLAKGAGFQGFEVMCCAFNTHVIEFRKN >Potri.005G161500.1.v4.1 pep chromosome:Pop_tri_v4:5:15834727:15836567:1 gene:Potri.005G161500.v4.1 transcript:Potri.005G161500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161500.v4.1 MKRFNSSDSLAAFISITSSKEDRTQNTNQGYSRDFQAMLDSLEEEDYIEEANLGSEKKRRLTLHQVKALEKNFEVDNKLVPERKLKLAEELCLQPRQVAIWFQNRRARWKTKQLERDYGTLKANYEALNLDYSNLEQKNEALAQKVKELKAKLREEIVDSSHSVKEEYHVSESDNNASVHSQNHDFSEHKNSSAVTKDHSNVSSSNELMNCFNLTDSRAILGNRYQVYQPHLMKLEEQSLFSAEESCNFFSVDQAPTLHCYFPEQ >Potri.005G161500.4.v4.1 pep chromosome:Pop_tri_v4:5:15834727:15836388:1 gene:Potri.005G161500.v4.1 transcript:Potri.005G161500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161500.v4.1 MLDSLEEEDYIEEANLGSEKKRRLTLHQVKALEKNFEVDNKLVPERKLKLAEELCLQPRQVAIWFQNRRARWKTKQLERDYGTLKANYEALNLDYSNLEQKNEALAQKVKELKAKLREEIVDSSHSVKEEYHVSESDNNASVHSQNHDFSEHKNSSAVTKDHSNVSSSNELMNCFNLTDSRAILGNRYQVYQPHLMKLEEQSLFSAEESCNFFSVDQAPTLHCYFPEQ >Potri.005G161500.2.v4.1 pep chromosome:Pop_tri_v4:5:15834727:15836385:1 gene:Potri.005G161500.v4.1 transcript:Potri.005G161500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161500.v4.1 MKRFNSSDSLAAFISITSSKDRTQNTNQGYSRDFQAMLDSLEEEDYIEEANLGSEKKRRLTLHQVKALEKNFEVDNKLVPERKLKLAEELCLQPRQVAIWFQNRRARWKTKQLERDYGTLKANYEALNLDYSNLEQKNEALAQKVKELKAKLREEIVDSSHSVKEEYHVSESDNNASVHSQNHDFSEHKNSSAVTKDHSNVSSSNELMNCFNLTDSRAILGNRYQVYQPHLMKLEEQSLFSAEESCNFFSVDQAPTLHCYFPEQ >Potri.009G069600.1.v4.1 pep chromosome:Pop_tri_v4:9:6878484:6881007:-1 gene:Potri.009G069600.v4.1 transcript:Potri.009G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069600.v4.1 MKRSVYSHTKSCFAFPNYFLHPKLSSSVSDVEAQLRSLCAKPDNFHLNEAVSLFHKAVNSSPLLSQSACNSLMESLVKSKHYELAFSVYSRMTHVGVLPSFISLGGLIDSFVFAKKPQLASGVLGLIFKRGFIVGVYNINVILKGLCRNKEVYGALDLFNRMKRTNILPDIVSYNTIINGLCKEKRLEKAVDLLVEMEGSNCEPNSFTYCILMDGLCKEGRVEEAMRLLGEMKRKGLEVDVVVYSTLISGFCSKGCLDRGKALFDEMLEKGISPNVVVYSCLINGFCKKGLWREATAVLHTMTERGIQPDVYTYTCMIGGLCKDGRARKALDLFDLMTEKGEEPSTVTYNVLINGLCKEGCIGDAFKIFETMLEKGKRLEVVSYNTLIMGLCNNGKLDEAMKLFSSLLEDGNYVEPDVITFNTVIQGLCKEGRLDKAVEIYDTMIERGSFGNLFTCHILIGEYIKSGIIDKAMELWKRVHKLGLVPSSTTYSVMIDGFCKMHMLNFAKGLFSRMKISGLSPTLFDYNTLMASLCKESSLEQARRLFQEMKESNCEPDTISFNIMIDGTLKAGDIHSAKELLNDMQQMGLTPDAYTYSSFINRLSKLGQMEEAKGAFDSMIASGITPDNHVYDSLIKGFGLNDEIEEVINLLRQMADMGVILDIEITNSILTFLCNSAEHLHVMELLPNFSSESSGGTSISCDELLMKIQKFNPKLQISAA >Potri.001G082900.1.v4.1 pep chromosome:Pop_tri_v4:1:6561396:6571831:1 gene:Potri.001G082900.v4.1 transcript:Potri.001G082900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082900.v4.1 MGWSVKLLHSSVFAVCSCCLHLFILLSVFHLSNAQNATTDPSEVSALNLLFEQWDTKAVGLWNLSGEPCSGSAINGTDFEDTANNPAIKCVCTYNNSATCHITQLRVYALNKRGEIPEVITALKYLTLLKIDQNYFTGPLPAFIGNLTALQSLSIAHNAFSGTIPTELGNLKELTLLSIGINNFSGTLPPELGQLVNLEQLYVNSCGLGGEIPSTFVNLKKMTIFSASDAAFTGNIPDFIGNWTRLTSLRFQGNSFEGPIPSSFSNLTSLESLRISDLSNVSSTLDFIKNLKSLTDLTLRNALISGSIPSDIGEIFQTLDRLDLSFNNLTGQVPSALFNMSSLQYLFLGNNSLIGTLPNQKSSKLQTIDLSYNYLSGTFPSWVTSNIQLNLVANNFTFDSSNISVLPGLNCLQRNFPCNRNPPLYANFSIKCGGPMMRTADGTVYEAENSSISAASFTVTSTEKWAVSNAGLYADRENPSYVENNLKQVTGTNTPELYQTSRISPGSLRYYGLGLQNGPYTINLLFAETRFAARSSQTWDSLARRVFDIYIQGNRQLKDFDISMEAGGVDRAITKTFNVTVSENHLEIHLFWAGKGTCCNPVQGYYGPIISALNVVPDFTPNVSGIPSSTRKEKSRTGVIVGVSISVGVVSLILISVLLYIRLKKDSEDEEVLLGMGPRPNTFSYSQLRTATEDFSPSNKLGEGGYGPVYKGMLSDGREVAVKKLSVASNQGTNQFVTEIATISAVQHRNLVKLYGCCIEGNRRLLVYEYLENKSLDKTLFEKDGMHLDWPTRLNICLGTARGLAYLHEESRPRIVHRDVKASNILLDANLFPKISDFGLAILYDDKKTHISTRVAGTIGYLAPEYAMRGHLTEKADVFGFGVVALEILSGRANSDSSLDDERVYLLEWAWKLHESGRSLELMDPSVTEFDENEALRVVGVALLCTQGSPAMRPTMSRVVAMLTGDIEVSAVTSKPSYLTDWDFKDITGTFSTENTQASTSSEASKSKNHNPIDLIPRGDQMHSPLNVTEPRLSDLIGDGR >Potri.015G082432.1.v4.1 pep chromosome:Pop_tri_v4:15:10762999:10764809:-1 gene:Potri.015G082432.v4.1 transcript:Potri.015G082432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082432.v4.1 MLMFLFRGASMCMKRHRCPYPFVLMSSPYNKSSLRCHLPLRAINQKESEETEQMPLTALQIRPFTPFPIQISHHTYRTIIKLSSKTLSKPLQIKSKSQETHHDFTPQTRTQEDGIPIEDVKIIAKFKSRHNYIRVLEISRKADHPFAGSRLLLLDAPGNIHSISFLFKSLTNTYFDVFAALPPIIPPGPIAILGFGAGSAARLLLELYPGVVVHGWELDSSVIDVGREFFGLKKLEKQYPDRLFIYVGNALSAKVKDGFSGILVDLFCKGSLIPELQDPNTWEKLRKSLRKGGRIMVNVGGSCVEAEDKRRDGKVVMEDTLKAMHQVFGDRLFVLNLGNRKDDSSLALTGKLPDLDAWKKVLPRSLSCYVDMWRPLSL >Potri.001G115100.1.v4.1 pep chromosome:Pop_tri_v4:1:9316113:9324943:1 gene:Potri.001G115100.v4.1 transcript:Potri.001G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115100.v4.1 MATTASIYRNGNYSKNSLITNNNKVERPPSVNSNPKNSLKSKSLRKSAPAALGAAKDDTGVPGRVRVAVRLRPRNAEEMVADADFADCVELQPEVKRLKLRKNNWDSDTYEFDELLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADVSLETNSVSISYLQLYMETIQDLLNPTNDNISIVEDPKSGDVSLPGASLVEIRNQQSFVELLRLGEAHRFAANTKLNAESSRSHAILMVHVKRSVRGRDSDLSSENGNNSRMVKILKPRIVRKGKLVIVDLAGSERIDKSGSEGHTLEEAKSINLSLIALGKCINALAENSAHVPVRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETASTIMFGQRAMKVENMLKLKEEFDYKSLSRRLDLQLDKFIAEHERQQKAFEEEIERVTMEAQNRISESERNNADALEKERTKYQKEYMESIKKLEEKWMMNQQKHAGGENIPGCKDESSNAISNGKDSRVANMEELAEMKKKLQKETLLRKVAEGEVNILKSQLAGLKKSEALAKSDISKLQKMLEDEARQKEKLEGEIATLQSQLLQISFEADETARRIDKGGSEEVLGGLDSLMLQVRQPQINDSGNGEKASIAKLFEQVGLQKILSLLEAEDADVRIHAVKVVANLAAEETNQEKIVEAGGLKSLLTLLRSSEDETIYRVAAGAIANLAMNETNQELIMAQGGIRLLSMTAGNAEDPQTLRMIAGAIANLCGNDKLQMKLRSEGGIKALLGMVRCRHPDVLAQVARGIANFAKCESRASTQGTKTGRSLLIEDGVLPWIVQNAKSEASQIRHHVELALCHLAQHEVNAKDMISGGALWELVRVSRDCLREDIRTLAHRTLTSSPTFQAEMRRLRIDR >Potri.012G136200.1.v4.1 pep chromosome:Pop_tri_v4:12:15037338:15039367:-1 gene:Potri.012G136200.v4.1 transcript:Potri.012G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136200.v4.1 MGAGSSSLALESEGGSSPVRPNLDDIPESSVASILMHLDPPEICKLAKVNKTFHGASLADFVWETKLPSNYKYLVEKILGQSPESLSKKEIYARLCQPNCFEDGTKQVWLDKSSGKICVAVSYKALRITGIDDRRYWNHISSEESRFNTIAYLQQIWWLEVVGELEFEFPAGTYSLFFKLQLGKTSKKFGRRACNVDQVHGWGAKPVRFQLSTSNGQQASSECYLHQQGNWGHYRVGDFVVDNKNTPMKLKFSMMQIDCTHTKGGVCLDSVLICPSEFREKLKQF >Potri.008G159300.1.v4.1 pep chromosome:Pop_tri_v4:8:10939950:10942222:-1 gene:Potri.008G159300.v4.1 transcript:Potri.008G159300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159300.v4.1 MAEVLTEEQMVEFKEAFCLFDKDGDGCITIDELATVIRSLDQNPTEEELQDMISEVDSDGNGTIEFAEFLTLMAKKTKETDAEEELKEAFKVFDKDQNGYISANELRHVMINLGEKLTDEEVDQMIKEADLDGDGQVNYDEFVKMMMNVG >Potri.001G310000.1.v4.1 pep chromosome:Pop_tri_v4:1:32066186:32067897:1 gene:Potri.001G310000.v4.1 transcript:Potri.001G310000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310000.v4.1 MEVQILSEKFIKPYSQTPLHLRNMKVSLIDQLAPPAYTPMILYYSAVNGEKTMSERDNRFNRLEKSLSETLACYYPLAGRYIEDRNMVDCNDDGALFLEAKVSGHLAQLDLQGAVDVKSLNRFVPFGDEMAFSPIVLAVQINKFDCGGLVIGVCISHRVADGHTMGAFLKAWATACRAGMHEMIRPSFDAGALFPATDVLRFGTPVPRDHGSQIVTKRFVFDGEKISSLKAKVMSYARDSDVKRPPSRVEVVTALLWKALIGVAQAKHGKLRPSLLTLPLNLRGKVDLLITENSFGNLYRMVGVRFNPKESSSEMHHLVSLLNDAVNKANKDCEQVVNSDDVIAMVSNSMEEIHNGARNGDLDICVVPSWCKFPFYQIDFGFGKPTWFSSVHKPLEIVLLVDTKFGTGIEAWVSLEVENMLQFQQYISQQCSNTSSDDIYFKAIQLSKSSFNNQTGVYDI >Potri.002G240800.2.v4.1 pep chromosome:Pop_tri_v4:2:23294535:23297612:1 gene:Potri.002G240800.v4.1 transcript:Potri.002G240800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240800.v4.1 MEASKKEPLIITDKEQMRKWSRTMRSQGKSIGLVPTMGYLHQGHISLVKEALKLTNLTVVSIYVNPGQFAPSEDLSTYPSDFHGDISKLMSVPGGVDVVFHPHNLYDYENDTKRNKNDKGACKNLEGKGEVVSCLEESGMGHETWVRVEKLENGLCGKSRPVFFRGVATVVTKLFNIVEPDVAVFGKKDYQQWRIVQRMVRDLDFSIRIIGTEILRDNDGLAMSSRNVHLSAEERRKALSISRSLLGAKSSADNGHINCRELRDSVIQAVSEAGGRIDYAEIVDQESLQAVEEISSPVVFCIAAWFGRVRLIDNIEINL >Potri.002G240800.3.v4.1 pep chromosome:Pop_tri_v4:2:23294623:23297544:1 gene:Potri.002G240800.v4.1 transcript:Potri.002G240800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240800.v4.1 MEASKKEPLIITDKEQMRKWSRTMRSQGKSIGLVPTMGYLHQGHISLVKEALKLTNLTVVSIYVNPGQFAPSEDLSTYPSDFHGDISKLMSVPGGVDVVFHPHNLYDYENDTKRNKNDKGACKNLEGKGEVVSCLEESGMGHETWVRVEKLENGLCGKSRPVFFRGVATVVTKLFNIVEPDVAVFGKKDYQQWRIVQRMVRLFLFLSIGFLLIE >Potri.001G236700.2.v4.1 pep chromosome:Pop_tri_v4:1:25470048:25471381:1 gene:Potri.001G236700.v4.1 transcript:Potri.001G236700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236700.v4.1 MANAASGMAVHDDCKLKFLELKAKRTYRFIVYKIEEKQKQVIVEKLGEPAQSYEDFTASLPADECRYAVYDFDFVTEENVQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIKSRAS >Potri.001G236700.3.v4.1 pep chromosome:Pop_tri_v4:1:25470849:25471824:1 gene:Potri.001G236700.v4.1 transcript:Potri.001G236700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236700.v4.1 MAVHDDCKLKFLELKAKRTYRFIVYKIEEKQKQVIVEKLGEPAQSYEDFTASLPADECRYAVYDFDFVTEENVQKSRIFFIAWSPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIKSRAS >Potri.018G072400.1.v4.1 pep chromosome:Pop_tri_v4:18:9167215:9171585:-1 gene:Potri.018G072400.v4.1 transcript:Potri.018G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072400.v4.1 MMMPPVKTSYRDRTQEFLSVAERLKKSFSSANNVASSSSGSSTKADATRSAVAIQSEFNKRASKIGLGIHQTSQKLAKLAKLAKRTSVFDDPTLEIQELTAVIKQDITVLNSAVVDLQLLCSSQNESGNISSDTTTHSTTVVDNLKNRLMTATKEFKEVLTMRTENLKVHDNRRQLFSSTASKDSSNPFVRQRPLASRTAANASQAPPPPWANGSVSSSQLFTSKQTDVESQPLLQQQQQMVPLQDSYMQSRAEALRNVESTIHELSNIFTQLATMVSQQGELAIRIDENMEETLSNVEGAQGQLVRYLNSISSNRWLMMKIFLVLVVFLMFFVFFVA >Potri.005G186400.1.v4.1 pep chromosome:Pop_tri_v4:5:19392141:19394242:-1 gene:Potri.005G186400.v4.1 transcript:Potri.005G186400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186400.v4.1 MEESLAGNSSDDAKSTACPRGHWRPAEDDKLRQLVEQYGAQNWNSIAEKLQGRSGKSCRLRWFNQLDPRINRRPFSEEEEERLLAAHRIHGNKWALIARLFPGRTDNAVKNHWHVIMARRQREQSKLCGKRSYQDNLSESNATNSSHAGKSRAQDVFNSRIGFDDSRVLEFRNPGKDRILSISPSGSSPSWNFAPSTIAASNNSSSVDLSRREGRDNYFNSSLFCTTESSKLISDQPIYRYYTNSSVCGSYRSSSIFGLPNYRRVVPSPFGSYLKLGDDYENHGMIKKELASCHNSSTLNNLRATSNHQEKGDHESIKHKDAPFIDFLGVGISS >Potri.012G005000.17.v4.1 pep chromosome:Pop_tri_v4:12:57829:66818:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.12.v4.1 pep chromosome:Pop_tri_v4:12:57829:66905:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.18.v4.1 pep chromosome:Pop_tri_v4:12:57819:66937:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.10.v4.1 pep chromosome:Pop_tri_v4:12:57821:66931:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.14.v4.1 pep chromosome:Pop_tri_v4:12:57855:66951:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.5.v4.1 pep chromosome:Pop_tri_v4:12:57816:66892:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.15.v4.1 pep chromosome:Pop_tri_v4:12:57829:66931:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.8.v4.1 pep chromosome:Pop_tri_v4:12:57816:66942:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.13.v4.1 pep chromosome:Pop_tri_v4:12:57815:66881:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.9.v4.1 pep chromosome:Pop_tri_v4:12:58229:66951:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.16.v4.1 pep chromosome:Pop_tri_v4:12:58235:66837:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.012G005000.11.v4.1 pep chromosome:Pop_tri_v4:12:57825:66931:-1 gene:Potri.012G005000.v4.1 transcript:Potri.012G005000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005000.v4.1 MLSATATAGVVFGSNWITLLTTPTRLLYFCHSAAAAAVSDFPLTINITRRPRHRLRKNSCSFSSTSSPFPSILTEPDDGNQKKSVNDARYSSLRVLEWDKLCDLVSSFATTSLGREACKEQLWSLNHTYHHSLILLKETNAAVQMHNHGACRLDFSSINLLLVKSGLRNARRGGLPINANEAMAVAAILESAYFLQLNLKAAIKEDADWYNRFTPLSQLIMEMVINISLVRVIKQVIDEDGSVKDSASSALKRARDQVQLLEKKLSQLMDSLIRNEMKETSFLEVSNIDGRWCINSGTGQLTSFNGLLLSSDSGTGRIIEPLSAVPLNDELQQARASVAKAEADVLLMLTEKMKKDLDDIEKVSDSVIQLDVINARATYSLFFRGAPPSLYLSEELDGSFSTETYLSENETLMASFPKEREWLLYMPKAYHPLMLQQHRQNVQKAKKEGSNAPDVSALEQAHPVPVDFFISHKTRVLTITGPNTGGKTICLKTVGLAAMMAKSGLHVLSSESVEIPWFDSVFADIGDEQSLSQSLSTFSGHLKQISDIRSQSTSQSLVLLDEVGAGTNPLEGAALGMSLLESFADSGALLTIATTHHGELKSLKYSNDAFENACMEFDEVNLKPTYKILWGVPGRSNAINISEKLGLPSVVVSNARELHGAASAEINEVIIDMERFKQDSQELLHEARHHLMLSKNLHEKLKLARRKIKEHGTEQRYRKMRQISEAASMARSILHKKVRQLRAHATQTFQPTADQKQLSTSDSRFTAEAKNGRPTESMSTSVVEINKQPSAAMTELPEVGDMVQVSSLGRKATVLRVDRSKEEILVQAGNMKLKLKLAEIGVK >Potri.005G216900.3.v4.1 pep chromosome:Pop_tri_v4:5:22009163:22012857:1 gene:Potri.005G216900.v4.1 transcript:Potri.005G216900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216900.v4.1 MPFPMKIQPIDYQTLDEPVAHQLESVKPVGKSRLKRLFERQFLRNSAAEKVGAIEESHLKDGCNEFEPSSVCLAKMVQNFIEDSNEKQPSVRCNRNRCNCFNGNCNDSSEDEFDSFGGFGDSNLSSSVEAIEILKSLVLCASVCERNLLADTARVVDKNKMCKRKDDVWRKIVVDGLLGLGYDASICKSRWEKAPSYPAGEYEYIDVIIAGERLLIDVDFRSEFEIARSTKTYKSLLQTLPYIFVGKADRLQKIIAIVSDAAKQSLKKKGMPIPPWRKAEYIKAKWLSPHPRTTPPLSSKETYPKPEKEQTLVQNGIAEIERSCQEKNSVEDDAEMGESVFALSSEGSVAEEEVIAVKEWKPPDVKPKSLQIGIKMVTGLASVIEDEP >Potri.005G216900.2.v4.1 pep chromosome:Pop_tri_v4:5:22009163:22012903:1 gene:Potri.005G216900.v4.1 transcript:Potri.005G216900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216900.v4.1 MPFPMKIQPIDYQTLDEPVAHQLESVKPVGKSRLKRLFERQFLRNSAAEKVGAIEESHLKDGCNEFEPSSVCLAKMVQNFIEDSNEKQPSVRCNRNRCNCFNGNCNDSSEDEFDSFGGFGDSNLSSSVEAIEILKSLVLCASVCERNLLADTARVVDKNKMCKRKDDVWRKIVVDGLLGLGYDASICKSRWEKAPSYPAGEYEYIDVIIAGERLLIDVDFRSEFEIARSTKTYKSLLQTLPYIFVGKADRLQKIIAIVSDAAKQSLKKKGMPIPPWRKAEYIKAKWLSPHPRTTPPLSSKETYPKPEKEQTLVQNGIAEIERSCQEKNSVEDDAEMGESVFALSSEGSVAEEEVIAVKEWKPPDVKPKSLQIGIKMVTGLASVIEDEP >Potri.008G175301.1.v4.1 pep chromosome:Pop_tri_v4:8:12143110:12148817:-1 gene:Potri.008G175301.v4.1 transcript:Potri.008G175301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175301.v4.1 MSVVRSEGSCVAIRNLSPSTSINRSCAHGSASSDDDATCGTPTWIGKGLTCVCFKRKGAYERICINLTPRQEERFKRLKHRMKVYFDASRPDHQDALRALWSATYPDQELNGLISDQWKEMGWQGRDPSTDFRGAGFISLENLLFFAKTFSTSFQHLLKKQGGKRSAWEYPFAVAGVNITFMIMQMLDLDALKTRRTFVRSVFLQMLSENEWAFDLLYCVAFVVMDKQWLERNATYMEFNDILKSTRAQVERELLMDDVLRIEDMPSYSLLI >Potri.017G135800.2.v4.1 pep chromosome:Pop_tri_v4:17:13732970:13735235:1 gene:Potri.017G135800.v4.1 transcript:Potri.017G135800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135800.v4.1 MEVMASNAASSFDPEDGSNYDNANEVKAFDETKAGVKGLADSGVIKIPRFFVHPPEKIQQPSPKSSNISLQVPIIDFEGFESSRRMEVVNEIRKASENWGFFQVVNHGIPENVMDEMLAGVKRFHEQPQEVKMEFYSRDADQRVKFFTGVLLLTKEPAIWRDTVAFDFKDGKLDPQLFPGIVREEVSEYFRHVSKIGKALSELLSEALGLRSNFLSSIECMETESVVGHYYPACPQPDLTLGTTTHSDPCFLTILLQDNMGGLQVRHQNQWVDVPPLPGALLVNIGDLMQLITNDKFRSVEHRVLAGEVGPRISVACFFFPSTANKFKPYGVIKELLSDDTPMIYRATHLAEFMGQYMSTGSYVSTLSHFKVSSGHACSSTEDTD >Potri.004G227400.2.v4.1 pep chromosome:Pop_tri_v4:4:23087322:23088667:-1 gene:Potri.004G227400.v4.1 transcript:Potri.004G227400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227400.v4.1 MAKEMPAMKRALCEWMIVEEFPSWFKADNEWKAELCRLCNLESTEDLELGWRDMVNKFELVWKGEPRKKYKKPRPKVRVGLPKKICQYFDDRIGREENEVIVVLGSRGDSFCLALRFLNSNWVQKPVSTHLQEKTWRIASIKKKKASSRL >Potri.001G421100.2.v4.1 pep chromosome:Pop_tri_v4:1:44941314:44941784:1 gene:Potri.001G421100.v4.1 transcript:Potri.001G421100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G421100.v4.1 MARSQSNLPTKTETINQLSSSASLLQLNKHNMHKSSIIPTRVSEDMAAQNPVQIGTRGTVGSLVMQEIKYFSQLEISCRESSKKPQPHVTSLASTSNQFKTTLGSVITTPKKKMKGGSRRLPRICSMVEVSDSSRPNAISRFSYRNLKSDVKKLQA >Potri.010G227100.2.v4.1 pep chromosome:Pop_tri_v4:10:21048673:21063536:-1 gene:Potri.010G227100.v4.1 transcript:Potri.010G227100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227100.v4.1 MGIFDGLPVPPDKAYLREELSRIDESWAAARFDSLPHVVHILTSKDREAEAQVLKEQSDVVEDVVDEVVQSYHSGFNKAIQNYSQILRLFSESAESIASLKVDLAEAKKRLGTRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLIAEKQFYAAVQLHAHSSLMLEREGLQMVGALQDVRSELTKLRGVVFYKILEDLHAHLYNKGEYSSVASSMYERDDELPTTIAVFTMSNSQSLSRRTRLMKGDNHSFADGSYKPSSIDGGSSFDGHDEDLDITDEATSDGHTASVRTNGGDGNMKDIKVGSRQIPSWLSNSTPDEFIETIKKSDAPLHVKYLQTMVECLCMLGKVAAAGAIICQRLRPTIHDIITSKIKSHSELVNSSRSSINQSAQTRGLHFVKGQLESYKLPKQKRQNGTLLAVSPVSPVMAPTGKAQAAAKELLDSILDTVIRIFENHVVVGELLEFKTSQNVDLNAPGSLTTDLNWNLDSEASQVIGGYSIGFSLTVLQSECQQLICEILRATPEAASADASVQTARLASKAPSKGKKDGSEDGLSFAFRFTDATISIPNQGVDLIRQGWSRKGPNVLQEGYGSAAVLPELGIYLAASVYRPVLQFTDKLASMLPKNYSQFGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHTVAPYTPSIEKGRPVLQGLLAIDFLAKEVLGWAQAMPKFAGDLVKFVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRFDPASAYLPNSLGQSSMVNNASGAESIEIELELSEILLNLRPIKQENLIRDDNKLILLASLSDSLEYVADSIERLGQITSRSSNQVADKAKTLAAFADDYRKLAIDCLKVLHVEMKLETIFHMQEMTNREYLEDQDAEEPDDFVIALTAQITRRDEEMAPFVAAVKQNYIFGGICSIAANASIKALADMKSINLFGVQQICRNSIALEQALAAIPSMDSEAVQQRLDHVRTYYELLNMPFEALLAFITEHENLFTPAEYANLLKVNVLGREIPPDAQDRVSYILSH >Potri.010G227100.4.v4.1 pep chromosome:Pop_tri_v4:10:21048663:21062446:-1 gene:Potri.010G227100.v4.1 transcript:Potri.010G227100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227100.v4.1 MGIFDGLPVPPDKAQYLREELSRIDESWAAARFDSLPHVVHILTSKDREAEAQVLKEQSDVVEDVVDEVVQSYHSGFNKAIQNYSQILRLFSESAESIASLKVDLAEAKKRLGTRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLIAEKQFYAAVQLHAHSSLMLEREGLQMVGALQDVRSELTKLRGVVFYKILEDLHAHLYNKGEYSSVASSMYERDDELPTTIAVFTMSNSQSLSRRTRLMKGDNHSFADGSYKPSSIDGGSSFDGHDEDLDITDEATSDGHTASVRTNGGDGNMKDIKVGSRQIPSWLSNSTPDEFIETIKKSDAPLHVKYLQTMVECLCMLGKVAAAGAIICQRLRPTIHDIITSKIKSHSELVNSSRSSINQSAQTRGLHFVKGQLESYKLPKQKRQNGTLLAVSPVSPVMAPTGKAQAAAKELLDSILDTVIRIFENHVVVGELLEFKTSQNVDLNAPGSLTTDLNWNLDSEASQVIGGYSIGFSLTVLQSECQQLICEILRATPEAASADASVQTARLASKAPSKGKKDGSEDGLSFAFRFTDATISIPNQGVDLIRQGWSRKGPNVLQEGYGSAAVLPELGIYLAASVYRPVLQFTDKLASMLPKNYSQFGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHTVAPYTPSIEKGRPVLQGLLAIDFLAKEVLGWAQAMPKFAGDLVKFVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRFDPASAYLPNSLGQSSMVNNASGAESIEIELELSEILLNLRPIKQENLIRDDNKLILLASLSDSLEYVADSIERLGQITSRSSNQVADKAKTLAAFADDYRKLAIDCLKVLHVEMKLETIFHMQEMTNREYLEDQDAEEPDDFVIALTAQITRRDEEMAPFVAAVKQNYIFGGICSIAANASIKALADMKSINLFGVQQICRNSIALEQALAAIPSMDSEAVQQRLDHVRTYYELLNMPFEALLAFITEHENLFTPAEYANLLKVNVLGREIPPDAQDRVSYILSH >Potri.010G227100.5.v4.1 pep chromosome:Pop_tri_v4:10:21048693:21062449:-1 gene:Potri.010G227100.v4.1 transcript:Potri.010G227100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227100.v4.1 MGIFDGLPVPPDKAYLREELSRIDESWAAARFDSLPHVVHILTSKDREAEAQVLKEQSDVVEDVVDEVVQSYHSGFNKAIQNYSQILRLFSESAESIASLKVDLAEAKKRLGTRNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPARIEKLIAEKQFYAAVQLHAHSSLMLEREGLQMVGALQDVRSELTKLRGVVFYKILEDLHAHLYNKGEYSSVASSMYERDDELPTTIAVFTMSNSQSLSRRTRLMKGDNHSFADGSYKPSSIDGGSSFDGHDEDLDITDEATSDGHTASVRTNGGDGNMKDIKVGSRQIPSWLSNSTPDEFIETIKKSDAPLHVKYLQTMVECLCMLGKVAAAGAIICQRLRPTIHDIITSKIKSHSELVNSSRSSINQSAQTRGLHFVKGQLESYKLPKQKRQNGTLLAVSPVSPVMAPTGKAQAAAKELLDSILDTVIRIFENHVVVGELLEFKTSQNVDLNAPGSLTTDLNWNLDSEASQVIGGYSIGFSLTVLQSECQQLICEILRATPEAASADASVQTARLASKAPSKGKKDGSEDGLSFAFRFTDATISIPNQGVDLIRQGWSRKGPNVLQEGYGSAAVLPELGIYLAASVYRPVLQFTDKLASMLPKNYSQFGNDGLLAFVENFVKDHFLPTMFVDYRKGVQQAISSPAAFRPRAHTVAPYTPSIEKGRPVLQGLLAIDFLAKEVLGWAQAMPKFAGDLVKFVQTFLERTYERCRTSYMEAVLEKQSYMLIGRHDIEKLMRFDPASAYLPNSLGQSSMVNNASGAESIEIELELSEILLNLRPIKQENLIRDDNKLILLASLSDSLEYVADSIERLGQITSRSSNQVADKAKTLAAFADDYRKLAIDCLKVLHVEMKLETIFHMQEMTNREYLEDQDAEEPDDFVIALTAQITRRDEEMAPFVAAVKQNYIFGGICSIAANASIKALADMKSINLFGVQQICRNSIALEQALAAIPSMDSEAVQQRLDHVRTYYELLNMPFEALLAFITEHENLFTPAEYANLLKVNVLGREIPPDAQDRVSYILSH >Potri.015G094600.5.v4.1 pep chromosome:Pop_tri_v4:15:11676328:11679978:-1 gene:Potri.015G094600.v4.1 transcript:Potri.015G094600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094600.v4.1 MYVFFSSLLRACLNAGNFMQGGMRVYICEHDTSPPEGQQIKTNQTNILIRSLQLNKHKGDSSSKDVKGLTATEGSRKRAPERALDSRAPAKRGNNQIGSRQGFEHTEGSDSRTSDKDYYSLTVERLRALLKERGLSPKGKKDELVARLRGV >Potri.015G094600.2.v4.1 pep chromosome:Pop_tri_v4:15:11676325:11680008:-1 gene:Potri.015G094600.v4.1 transcript:Potri.015G094600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094600.v4.1 MESSSSCPSDSNPKPPGASKFLSNLPSRGFLSSTVSSSNPGGMRVYICEHDTSPPEGQQIKTNQTNILIRSLQLNKHKGDSSSKDVKGLTATEGSRKRAPERALDSRAPAKRGNNQIGSRQGFEHTEGSDSRTSDKDYYSLTVERLRALLKERGLSPKGKKDELVARLRGV >Potri.005G252600.9.v4.1 pep chromosome:Pop_tri_v4:5:24530432:24533048:1 gene:Potri.005G252600.v4.1 transcript:Potri.005G252600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252600.v4.1 MKGGRSKSDTKSAKLSVTKKPTKGGGGGAAARKSGKAAKDPNKPKRPASAFFVFMEEFREQYKREHPKNKSVAAVGKAGGDKWKSLSEAEKAPFVAKADKRKVEYEKKMKAYNKEQAEGPKEEEESEKSMSEVNDDDEDDEEGSGEEDDDDE >Potri.005G252600.1.v4.1 pep chromosome:Pop_tri_v4:5:24530430:24531961:1 gene:Potri.005G252600.v4.1 transcript:Potri.005G252600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252600.v4.1 MKGGRSKSDTKSAKLSVTKKPTKGGGGGAAARKSGKAAKDPNKPKRPASAFFVFMEEFREQYKREHPKNKSVAAVGKAGGDKWKSLSEAEKAPFVAKADKRKVEYEKKMKAYNKEQAEGPKEEEESEKSMSEVNDDDEDDEEGSGEVSIA >Potri.004G076700.2.v4.1 pep chromosome:Pop_tri_v4:4:6369764:6372227:1 gene:Potri.004G076700.v4.1 transcript:Potri.004G076700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076700.v4.1 MEEFAAKSLLGKLGSSAIKELYLAWGLKAELASLEEKLLAINAVLMDAEKKQSRNEKIRFWLQMLREFMYDAEDALDGFECEDLRRQVVKTTGSTSRKLSRFFSSSNKLALRFKMGHKIKDLNDRLAEIESLKSLLGLTEQTSDHSSSFSGLIGRDRDKECTINLLVEPLKVDDAHPFVIPIVGMAGLGKTALAKSVYDDGSVDAFFELKMQACVSDGFALKQVMQKMINSATGERCNDLEEVELKAKLEMKCLLLKPILSKGALGSKIIVTTRSKRVAQIMGSAGAQELSLLDQKDCLTLFYKCAFKERQKEQHPNLVEIGKEIVGKCKQIPLAVINLELNFMFTDPYWCSFGWHKDWFINQHIQVKTWKMLGFAMCCMILHHHWFKMNVQSYAQITTKFSKLPNIYQLLTLNSFFQALPKFPNKIERVRTLVFVASLEEPSCRTDFEKCLSGFKHLRSLELMDVCEFLPDKIGSLKELRYLNLVENTKLKRFPKSIFKLQNLQALILGDGFVELPKDVRCLISLRLLFLITKQKRLPEGGVGCLGSLQILLIAGCENPEYLCEDMQGLKSLRKLCIGGCKNLISLPRSMKYLTALEYLSIMVCEKLDLMTIETLCFITLPATRPLPKQFLQGSAGSLQTFAIEDCPNIIELPECTGNLKELQKLVIRMCPSLGERCQRETGEDWPKIAHVPHIDVDY >Potri.001G250000.1.v4.1 pep chromosome:Pop_tri_v4:1:26585955:26588886:-1 gene:Potri.001G250000.v4.1 transcript:Potri.001G250000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250000.v4.1 MEGGGRVGGRLGNRNLQNNCPNIYPPLTARDRFLFGQSHFTPHQNIQNNDKNKETTVSNNGSCGFYPPSGAIGAVPWQSIQETSFVDGAIGVGGDDLNWTYEGNPNGGLNGKVSVSGKSYKGIGKKTKKGSCATLIKGQWTEEEDRKLIRLVKQFGVRKWAQIAEKLDGRAGKQCRERWHNHLRPDIKKDSWSEEEERIMVGAHAKVGNRWAEIAKLIPGRTENAIKNHWNATKRRQNSRRKHKQKESKSGKTQSSILQDYIRSKNLKNASTSTQSTTTTTNTPSSSISEEPSSQNNYFLPELSESNTDDSPSLLAQACSDDELMFIQNFFANKSKDPSTDKADMENPIMEVNSFNADLFNDSLSLDSWAHNDGNENGFVSSSLNPKLCTNGFQGAEDHTPPHNHLHSDLYLSYLLNGASSAPCSSSTEYGYNSMNLDLEMDQTDSLNGKKEMDLIEMLCSSQFSQGCNKM >Potri.002G111832.1.v4.1 pep chromosome:Pop_tri_v4:2:8429226:8429968:1 gene:Potri.002G111832.v4.1 transcript:Potri.002G111832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111832.v4.1 MLEVKLHRDQVGLISELIQPADARINAQIYGLTTQIVCRIAGLRF >Potri.017G038300.1.v4.1 pep chromosome:Pop_tri_v4:17:2501228:2501836:1 gene:Potri.017G038300.v4.1 transcript:Potri.017G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G038300.v4.1 MEPSSSSVYSTQFLAKKVTVEFIIVKESQVGLQVSRQQFLLRLWELVLRNHRYKQMFTNTDISLSSAMKATLPFAISDQARKLHGEIDHIFVCVLHPRFNIMTGIHVDEDKAVSWDVVENPENPVLPACKPPIPCLKKVKIEQQTPGSMNEELCCAICLQDFPDGSEAATTRCSHLFHCHCIVKWLSKSTSCPMCRTKLPVG >Potri.002G159100.3.v4.1 pep chromosome:Pop_tri_v4:2:12113632:12116145:1 gene:Potri.002G159100.v4.1 transcript:Potri.002G159100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159100.v4.1 MSSNNPNQTIRSPEKPESKFEDEAEDEEEAEELEQLETQVKQMAKKILEYRVTLPDQLKTTLALLLSSQRPILPDCDSGSDPGPSGELNPDSGGQDKSSRAALLTKEDRKTAEKVHLLKEKISSNVSTMPVVLKRMKDCISRINKLDSYNGSIHPAFKKKKTG >Potri.002G159100.4.v4.1 pep chromosome:Pop_tri_v4:2:12113599:12116145:1 gene:Potri.002G159100.v4.1 transcript:Potri.002G159100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159100.v4.1 MSSNNPNQTIRSPEKPESKFEDEAEDEEEAEELEQLETQVKQMAKKILEYRVTLPDQLKTTLALLLSSQRPILPDCDSGSDPGPSGELNPALGQWMCRLQAVLNYIEQCTVVLSALAFFFQSRGNNF >Potri.002G159100.1.v4.1 pep chromosome:Pop_tri_v4:2:12113599:12116145:1 gene:Potri.002G159100.v4.1 transcript:Potri.002G159100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159100.v4.1 MSSNNPNQTIRSPEKPESKFEDEAEDEEEAEELEQLETQVKQMAKKILEYRVTLPDQLKTTLALLLSSQRPILPDCDSGSDPGPSGELNPGGQDKSSRAALLTKEDRKTAEKVHLLKEKISSNVSTMPVVLKRMKDCISRINKLDSYNGSIHPAFKKKKTG >Potri.002G159100.5.v4.1 pep chromosome:Pop_tri_v4:2:12113643:12116067:1 gene:Potri.002G159100.v4.1 transcript:Potri.002G159100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159100.v4.1 MSSNNPNQTIRSPEKPESKFEDEAEDEEEAEELEQLETQVKQMAKKILEYRVTLPDQLKTTLALLLSSQRPILPDCDSGSDPGPSGELNPALGQWMCRLQAVLNYIEQCTVVLSALAFFFQSRGNNF >Potri.008G214900.1.v4.1 pep chromosome:Pop_tri_v4:8:16730712:16737596:-1 gene:Potri.008G214900.v4.1 transcript:Potri.008G214900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G214900.v4.1 MFGFSKRRMKLGRVKKVQLSDSSPGIRSPIRPPKRIISNNNANSEGVALTASNSDDLDYHCSSSAPPVISSSTAGNNAENWMVLSISGDKPNPRFNHAATVIGNKMIVVGGESGSGLLDDVQVLKFDQFTWTSISSKLYLSPSSLPLKIPACRGHCLVSWGKKALLIGGKTDPASDRISVWAFDTETECWSLVEAKGDIPIARNGHTVVRASSVLILFGGEDAKRKKLNDLHMFDLKSFTWLPLHCTGTGPSPRSNHVAALYDDKNLLIFGGTSKSRTLNDLYSLDFETMVWSRTKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLIYDILKMEWSVAFASPPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPSNQVEVMGIEKNESSTGRHSALSKGPGRLLFEKRSSSTVLAAQLGTGSSQRSADSVARQNLASAIEQHGSGRKSLSDSLLVDPNSASRNVSHRKEFHHEEENRIAAKTARNLEDDNSSSPAAEHRSNHSDISIQPNNPVSKINAEMSSIFEMETPNSHNQGIGNVSVDNEDVVSPESDCTTGGARASIYQLYETKIAALIRKNGILEGQLAAALAGREAAEKNLSSILKSRQEMEKKLVDSVREMELLKEKLAGVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRTSTPRKPFRVQ >Potri.008G214900.5.v4.1 pep chromosome:Pop_tri_v4:8:16730694:16737512:-1 gene:Potri.008G214900.v4.1 transcript:Potri.008G214900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G214900.v4.1 MFGFSKRRMKLGRVKKVQLSDSSPGIRSPIRPPKRIISNNNANSEGVALTASNSDDLDYHCSSSAPPVISSSTAGNNAENWMVLSISGDKPNPRFNHAATVIGNKMIVVGGESGSGLLDDVQVLKFDQFTWTSISSKLYLSPSSLPLKIPACRGHCLVSWGKKALLIGGKTDPASDRISVWAFDTETECWSLVEAKGDIPIARNGHTVVRASSVLILFGGEDAKRKKLNDLHMFDLKSFTWLPLHCTGTGPSPRSNHVAALYDDKNLLIFGGTSKSRTLNDLYSLDFETMVWSRTKIRGFHPSPRAGCCGVLCGTKWYIAGGGSRKKRHSETLIYDILKMEWSVAFASPPSSITTNKGFSLVLVQHKEKDFLVAFGGSKKEPSNQVEVMGIEKNESSTGRHSALSKGPGRLLFEKRSSSTVLAAQLGTGSSQRSADSVARQNLASAIEQHGSGRKSLSDSLLVDPNSASRNVSHRKEFHHEEENRIAAKTARNLEDDNSSSPAAEHRSNHSDISIQPNNPVSKINAEMSSIFEMETPNSHNQGIGNVSVDNEDVVSPESDCTTGGARASIYQLYETKIAALIRKNGILEGQLAAALAGREAAEKNLSSILKSRQEMEKKLVDSVREMELLKEKLAGVELAQEEANSLSNIVHSDNVRLEHDVAFLKAVLDDTQKELHSTRGVLAGERARAFQLQVEVFHLKQRLQSMENRTSTPRKPFRVQ >Potri.008G184400.2.v4.1 pep chromosome:Pop_tri_v4:8:12798100:12800521:-1 gene:Potri.008G184400.v4.1 transcript:Potri.008G184400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G184400.v4.1 MALYVVPLWLPLILLLALLLLFMKKMEVKRQSEQLLPPSPPKLPILGNLHQLGSLPHQSLWQLSKKYGPVMLIRLGRIPTVVISSAEAAREVLKVHDLAFCSRPLLAGTGRLTYNYLDIAFSPYSDHWRNMRKIVTLELFSLKRVQSFRFIREEEVSLLVNFISESSALAAPVDLTQKLYALVANITFRMAYGFNYRGTSFDRDKFHEVVHDTEAVAGSISADESIPYLGWIVDRLTGHRARTERVFHELDTFFQHLIDNHLKPGRIKEHDDMVDVLLRIEKEQTELGASQFTKDNIKAILLVCCSAFPLSYIKS >Potri.008G184400.1.v4.1 pep chromosome:Pop_tri_v4:8:12797896:12800566:-1 gene:Potri.008G184400.v4.1 transcript:Potri.008G184400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G184400.v4.1 MALYVVPLWLPLILLLALLLLFMKKMEVKRQSEQLLPPSPPKLPILGNLHQLGSLPHQSLWQLSKKYGPVMLIRLGRIPTVVISSAEAAREVLKVHDLAFCSRPLLAGTGRLTYNYLDIAFSPYSDHWRNMRKIVTLELFSLKRVQSFRFIREEEVSLLVNFISESSALAAPVDLTQKLYALVANITFRMAYGFNYRGTSFDRDKFHEVVHDTEAVAGSISADESIPYLGWIVDRLTGHRARTERVFHELDTFFQHLIDNHLKPGRIKEHDDMVDVLLRIEKEQTELGASQFTKDNIKAILLNLFMAGVDTSSLTVNWAMAELVRNPRVMKKVQDEVRKCVGNKGRVTESDIDQLEYLRMVIKETLRLHPPGPLLIPRETMSHCKVSGHNIYPKMLVQINVWAIGRDPRYWKDPEEFFPERFLDRSIDYKGQSFEYLPFGSGRRICPGMHMGSITMEIILANLLYCFDWVFPDGMKKEDINMEEKAGVSLTTSKKTPLILVPVNYLP >Potri.006G080001.1.v4.1 pep chromosome:Pop_tri_v4:6:5954978:5958197:-1 gene:Potri.006G080001.v4.1 transcript:Potri.006G080001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080001.v4.1 MHPLLSSCISSQALSLTNGRQQMNAVLNRVPFLEANSTWQSNCSFKVTHSGDFFIRPAKAGGIRWQLN >Potri.006G080001.3.v4.1 pep chromosome:Pop_tri_v4:6:5956410:5958074:-1 gene:Potri.006G080001.v4.1 transcript:Potri.006G080001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080001.v4.1 MHPLLSSCISSQALSLTNGRQQMNAVLNRVPFLEANSTWQSNCSFKVTHSGDFFIRPAKAGGIRWQLN >Potri.006G080001.2.v4.1 pep chromosome:Pop_tri_v4:6:5955988:5958197:-1 gene:Potri.006G080001.v4.1 transcript:Potri.006G080001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080001.v4.1 MHPLLSSCISSQALSLTNGRQQMNAVLNRVPFLEANSTWQSNCSFKVTHSGDFFIRPAKAGGIRWQLN >Potri.008G088500.1.v4.1 pep chromosome:Pop_tri_v4:8:5536440:5539207:-1 gene:Potri.008G088500.v4.1 transcript:Potri.008G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088500.v4.1 MFKFIYDINFHRRLNIPAPPVRMSLQSSLFTFSFTTPCSSSSHETSTPTITPFLNPVTRQSNQPDSSGRSEENNGELLRASSRSCMCGRRHFLEAASTALFPICPSIASDNLQPRYKTVLNRVHPPRPGWYDEFYASVLNSTVEPYEAEVAVYKTQLFTNLRGKAEKVLEIGIGTGPNLKYYANSADIQVYGVDPNTKMEKFAQESAVAAGLPLSNFEFIQAVGEAIPLNDASVDAVVGTLVLCSVKEVGQTLQEVKRVLKPGGLYLFVEHVAAKDGTILRLLQSALDPLQQTVADGCHLSRDTGKEILKAGFSSVDLSMAFLSNALIINPHVYGIASK >Potri.008G088500.8.v4.1 pep chromosome:Pop_tri_v4:8:5536565:5539171:-1 gene:Potri.008G088500.v4.1 transcript:Potri.008G088500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088500.v4.1 MSLQSSLFTFSFTTPCSSSSHETSTPTITPFLNPVTRQSNQPDSSGRSEENNGELLRASSRSCMCGRRHFLEAASTALFPICPSIASDNLQPRYKTVLNRVHPPRPGWYDEFYASVLNSTVEPYEAEVAVYKTQLFTNLRGKAEKVLEIGIGTGPNLKYYANSADIQVYGVDPNTKMEKFAQESAVAAGLPLSNFEFIQAVGEAIPLNDASVDAVVGTLVLCSVKEVGQTLQEVKRVLKPGGLYLFVEHVAAKGLVG >Potri.011G072616.1.v4.1 pep chromosome:Pop_tri_v4:11:6606718:6607592:-1 gene:Potri.011G072616.v4.1 transcript:Potri.011G072616.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072616.v4.1 MTKVLPRRCRVWAAGSLVRTGLLVVFLRCCCSWFLLLELGWSCGRRELLWRLLGGATGSAGGRRCCFTGRGRRCWKKKKPEGKGEWPVSVCVAREGRSGAGGEKLGR >Potri.011G072616.2.v4.1 pep chromosome:Pop_tri_v4:11:6606717:6607592:-1 gene:Potri.011G072616.v4.1 transcript:Potri.011G072616.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072616.v4.1 MTKVLPRRCRVWAAGSLVRTGLLVVFLRCCCSWFLLLELGWSCGRRELLWRLLGGATGSAGGRRCCFTGRGRRCWKKKKPEGKGEWPVSVCVAREGRSGAGGEKLGR >Potri.018G096600.1.v4.1 pep chromosome:Pop_tri_v4:18:11739158:11740612:-1 gene:Potri.018G096600.v4.1 transcript:Potri.018G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096600.v4.1 MQVSSGENERSINSSCRATEYTELMVGSLREDFRKKMKVTEDHRQERSTQNNYHHKDQLLNHENPRLPPVVRDQEEEEFSFVCANPSGPLISAEDIFQNGMIRPIYTLCNRDDLQFAEDVRIKAKTSTPSTPLMFMEERSETEGPCCVWSGGHTVSRNQQICKKRNFTRFSKLRRIREFFLPSSRLLSNDAFILFNHKYYSSKHPATIRTTASKNVLNQEKKK >Potri.001G293400.1.v4.1 pep chromosome:Pop_tri_v4:1:30519817:30524528:-1 gene:Potri.001G293400.v4.1 transcript:Potri.001G293400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293400.v4.1 MNTIGFKPALPVISGSKKLGLFSFNGGNQPIDFKPRGVCLIKVAMEETQTLKVDVQGKVDKLANGHAGKNHSHLSTIGNSTNIKWHECPVEKIDRQKLLQQKGCVIWITGLSGSGKSSVACALSQMLYQRGKLSYILDGDNVRHGLNRDLSFKAEDRVENIRRVGEVAKLFADAGFICIACLISPYKRDRAACRAMLPSGDFIEVFMDVPLRVCEDRDPKGLYKLARAGKIKGFTGIDDPYESPLDAEIVLQCNTGDCSTPCDMAGKVISYLEEKGYLQA >Potri.004G110671.1.v4.1 pep chromosome:Pop_tri_v4:4:9986926:9987291:-1 gene:Potri.004G110671.v4.1 transcript:Potri.004G110671.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110671.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEECHKKVTKRCAIEISNSIYTNKTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCASIIALAPVA >Potri.009G049700.1.v4.1 pep chromosome:Pop_tri_v4:9:5503864:5508746:-1 gene:Potri.009G049700.v4.1 transcript:Potri.009G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049700.v4.1 MATENKPKSRASATTNSKKRKQHYLPHNKPVRKKGSYPLHPGVQGFFITCDGGRERQASREAINVIDSFYEELAYGKDTSVKLADFPDKPTNKKIKFSYSDDEGDGEEDDDEEDGEDENKSEAPEGNDAMNDNPTDKKVGSPTVENENSENQTEEKTNQEEGCKNDEKQANEAEGPPAKKKCTETCAPKTVVQEKVEEKSIDRLIEDELKELGDKNKRRFLSLDSGCNGVAFIQMRKIDGDPCPKDIVQHIMTSAASTRKHMSRFIIRMLPIEVACYASEEEISRAIAPVVEKYFPVDTQDPLKFAVMYEARANSGIDRMKIINSVAKSVPGPHKVDLGNPDKTIVVEIVKTVCLIGVIEKYKELSKYNLRQLTSSKQ >Potri.009G049700.2.v4.1 pep chromosome:Pop_tri_v4:9:5503863:5507817:-1 gene:Potri.009G049700.v4.1 transcript:Potri.009G049700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049700.v4.1 MNDNPTDKKVGSPTVENENSENQTEEKTNQEEGCKNDEKQANEAEGPPAKKKCTETCAPKTVVQEKVEEKSIDRLIEDELKELGDKNKRRFLSLDSGCNGVAFIQMRKIDGDPCPKDIVQHIMTSAASTRKHMSRFIIRMLPIEVACYASEEEISRAIAPVVEKYFPVDTQDPLKFAVMYEARANSGIDRMKIINSVAKSVPGPHKVDLGNPDKTIVVEIVKTVCLIGVIEKYKELSKYNLRQLTSSKQ >Potri.009G114001.8.v4.1 pep chromosome:Pop_tri_v4:9:9685483:9687979:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MIEASAMSAPAAIFSISSRTKPPSLSIFSHGRNSVVSALPRPSSDSSTSLKARGGNPPIMPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHPG >Potri.009G114001.2.v4.1 pep chromosome:Pop_tri_v4:9:9683858:9688401:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.009G114001.12.v4.1 pep chromosome:Pop_tri_v4:9:9685483:9687979:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHPG >Potri.009G114001.6.v4.1 pep chromosome:Pop_tri_v4:9:9684415:9687947:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MIEASAMSAPAAIFSISSRTKPPSLSIFSHGRNSVVSALPRPSSDSSTSLKARGGNPPIMPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEFWRKENGRRNRLL >Potri.009G114001.7.v4.1 pep chromosome:Pop_tri_v4:9:9685483:9688135:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MGDACFEMIEASAMSAPAAIFSISSRTKPPSLSIFSHGRNSVVSALPRPSSDSSTSLKARGGNPPIMPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHPG >Potri.009G114001.10.v4.1 pep chromosome:Pop_tri_v4:9:9683859:9688135:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.009G114001.3.v4.1 pep chromosome:Pop_tri_v4:9:9683859:9688248:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MSLKKRKGIQNSSRKLMGDACFEMIEASAMSAPAAIFSISSRTKPPSLSIFSHGRNSVVSALPRPSSDSSTSLKARGGNPPIMPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.009G114001.9.v4.1 pep chromosome:Pop_tri_v4:9:9683859:9688135:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.009G114001.1.v4.1 pep chromosome:Pop_tri_v4:9:9683859:9688050:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MHILQVMIFLYSYVTVKARGGNPPIMPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.009G114001.5.v4.1 pep chromosome:Pop_tri_v4:9:9683859:9687979:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MIEASAMSAPAAIFSISSRTKPPSLSIFSHGRNSVVSALPRPSSDSSTSLKARGGNPPIMPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.009G114001.4.v4.1 pep chromosome:Pop_tri_v4:9:9684415:9688050:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MIEASAMSAPAAIFSISSRTKPPSLSIFSHGRNSVVSALPRPSSDSSTSLKARGGNPPIMPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.009G114001.11.v4.1 pep chromosome:Pop_tri_v4:9:9683859:9688050:-1 gene:Potri.009G114001.v4.1 transcript:Potri.009G114001.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114001.v4.1 MPAVMTPGGPLDLSSVLFRNRIIFIGQPINSQVAQRVISQLVTLATIDENADILMYLNCPGGSTYSVLAIYDCMSWIKPKVGTVCFGVAASQGALLLAGGEKGMRYAMPNARIMIHQPQGGCGGHVEDVRRQVNEAVQARHKIDQMYAVFTSQPLEKVQQYTERDRFLSTSEAMEFGLIDGILETEY >Potri.001G021000.1.v4.1 pep chromosome:Pop_tri_v4:1:1632802:1635574:-1 gene:Potri.001G021000.v4.1 transcript:Potri.001G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G021000.v4.1 MAKKRSWFYRVRRLFTSDTQSRQEKERRRKWMFFGKFKVKNRLASIAAPSSPLREEAEKEQSKHALSVALATAAAAEAAVVAAQAAAEVVLLTGVPHSINEYEKETDHLAFEVQGDAPHSTHQHARGIKELAAIKIQATFRGYLARKALRALKGIVKLQAIIRGRNVRRQAMTTLKCLQSIVNIQSQVCAKRIQMVEGAWTCSENKQLENLSDKIIKMDMNSERRWDSSLLTKEEAVASFLSKKEAAIKRERIREYWFNRRNSAESERSKPSGRWRYWLDQWVDTQLVKSKELEDLDSVLTSNPKPGVEYRGKQIKLRGLQRLYHLDSVDSPISAPRKSFHRKQCSLGEDNSFSRSPVVPTYMAATESAKAKTRSMSSPKLRPGSFDAYSDSYSPCKNKLSLISSTTTEVPSSARYGRPSAYQQRSPSLKGLPGPIKCNRPTSKVLSFDSDCSLKTWDKQSSFR >Potri.001G373900.1.v4.1 pep chromosome:Pop_tri_v4:1:39215842:39217078:1 gene:Potri.001G373900.v4.1 transcript:Potri.001G373900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373900.v4.1 MKTNKETRSSNPSWEDLNFSILSLIFSCLSLHEQLFLLPRVCHAWLSVTLDTLFKNSILDLRLLDKLDEEEQQLRFTHLLRLAINRFNGWVSIYFPRKYIFGYFATIYIAEKTPNVSCVVLPCDTIYRVSPIYIPLLYWKQLKVFHARLSPDKGLHLHIISQLVFCCNNIGELGFHGKITEKEALAIVEGFPKLRILDFSDSTLSSKALFMVLDGKLKYLYELNVLHCLIEDDDGKDIGADMDRLRDFKKEMLEKACTFRSLRKFMHCFGKSCEHCKDKS >Potri.010G014950.3.v4.1 pep chromosome:Pop_tri_v4:10:2488408:2493976:-1 gene:Potri.010G014950.v4.1 transcript:Potri.010G014950.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014950.v4.1 MQATQAALSNGVAEGSPVEADSKIVEPSNEVSNPEPSGRRSDLSLQIPPRHVGFGTSRSGKGLLHSQNSYKGRSPGGFLRTLSLKKKAAAPDGERSSLLTADYKTAPDSPIMASFKSAFSWNRCTSLPVTPASNLSPSVSMPASARMPGESHKIKGAAHPVVSRSLSVPWRNVVIVRSASFSTRDEHVLTDPSNDQITPIPTEVDDEEIPEEEAVCRICFDVCEEGNTLKMECSCKGALRLVHEDCAIKWFSTKGNKNCDVCGLEVKNLPVTLLRVTSAAHRNNRQEQSHQMSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAIIVAAPFAFTLGLLSSIFAVILAIREYIWTYAALEFAFVAITVHLFYNMLHVKAIYAILLSSVLGFGIAMSINSLYIQYFAWRVQVGQNHNSNPNSNPV >Potri.010G014950.2.v4.1 pep chromosome:Pop_tri_v4:10:2488439:2494002:-1 gene:Potri.010G014950.v4.1 transcript:Potri.010G014950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014950.v4.1 MQATQAALSNGVAEGSPVEADSKIVEPSNEVSNPEPSGRRSDLSLQIPPRHVGFGTSRSGKGLLHSQNSYKGRSPGGFLRTLSLKKKAAAPDGERSSLLTADYKTAPDSPIMASFKSAFSWNRCTSLPVTPASNLSPSVSMPASARMPGESHKIKKGAAHPVVSRSLSVPWRNVVIVRSASFSTRDEHVLTDPSNDQITPIPTEVDDEEIPEEEAVCRICFDVCEEGNTLKMECSCKGALRLVHEDCAIKWFSTKGNKNCDVCGLEVKNLPVTLLRVTSAAHRNNRQEQSHQMSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAIIVAAPFAFTLGLLSSIFAVILAIREYIWTYAALEFAFVAITVHLFYNMLHVKAIYAILLSSVLGFGIAMSINSLYIQYFAWRVQVGQNHNSNPNSNPV >Potri.010G014950.4.v4.1 pep chromosome:Pop_tri_v4:10:2488433:2492490:-1 gene:Potri.010G014950.v4.1 transcript:Potri.010G014950.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014950.v4.1 MASFKSAFSWNRCTSLPVTPASNLSPSVSMPASARMPGESHKIKKGAAHPVVSRSLSVPWRNVVIVRSASFSTRDEHVLTDPSNDQITPIPTEVDDEEIPEEEAVCRICFDVCEEGNTLKMECSCKGALRLVHEDCAIKWFSTKGNKNCDVCGLEVKNLPVTLLRVTSAAHRNNRQEQSHQMSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAIIVAAPFAFTLGLLSSIFAVILAIREYIWTYAALEFAFVAITVHLFYNMLHVKAIYAILLSSVLGFGIAMSINSLYIQYFAWRVQVGQNHNSNPNSNPV >Potri.010G014950.1.v4.1 pep chromosome:Pop_tri_v4:10:2488438:2493924:-1 gene:Potri.010G014950.v4.1 transcript:Potri.010G014950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014950.v4.1 MQATQAALSNGVAEGSPVEADSKIVEPSNEVSNPEPSGRRSDLSLQIPPRHVGFGTSRSGKGLLHSQNSYKGRSPGGFLRTLSLKKKAAAPDGERSSLLTADYKTAPDSPIMASFKSAFSWNRCTSLPVTPASNLSPSVSMPASARMPGESHKIKKGAAHPVVSRSLSVPWRNVVIVRSASFSTRDEHVLTDPSNADQITPIPTEVDDEEIPEEEAVCRICFDVCEEGNTLKMECSCKGALRLVHEDCAIKWFSTKGNKNCDVCGLEVKNLPVTLLRVTSAAHRNNRQEQSHQMSQSISAWQDFVVLVLISTICYFFFLEQLLIHDMKTQAIIVAAPFAFTLGLLSSIFAVILAIREYIWTYAALEFAFVAITVHLFYNMLHVKAIYAILLSSVLGFGIAMSINSLYIQYFAWRVQVGQNHNSNPNSNPV >Potri.008G124700.1.v4.1 pep chromosome:Pop_tri_v4:8:8094461:8097249:-1 gene:Potri.008G124700.v4.1 transcript:Potri.008G124700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124700.v4.1 MDPPPPPQPPPPSSTAATPTIYPDSVESSPRSRNTDSNFFDDPPLPLSSKLRLMCSYGGHIVPRPHDKSLCYVGGDTRIVVVDRHSTLSSLSSRLSNTLLNSRPFILKYQLPSEDLDSLISVTTDEDLENMIDEYDRTNSNNGPKPSRLRLFLFPLKPESSQSIGPILENSAKSEDWFLNALNGAAAAAAAGLLNRGFSDSASVNCLLGLDYNDSSDGLNNESNSNNNSNADLVVGGGGRDGEGSNKGVVKQDVHSVPDSPMLETTSSFGSTSSSPSLANLPPIRVHVEDGGGVRDQKVVGIEDQLAQMTVGGGRVGQRQDEGFAALSSPPPMPVSIAVSSVPVGGQMVVGEYQNRVFSDDERSDHGVPVCYRKPPQPQPQTQMQTLTPQNQQRSGSGGGAAIDLPSPDSVSSDSSLSNAINRQKPVIYQDQIMQIPSGANRVAANPVDSKINAFSDPNTRVQIHQQVQDSGYVLQHQFDQQQQQQQQQQQQQPQQQQQYIHAGAHYIQHHPTGAVPMSAYYPVYSPQQQQQHNHQLQPHMDSQYPVYYVQTRQPQAYNLPVQQPSINESTTTSRNQTPPNPNMAPPSTFNPMRNAPIVKPELAAYRTATPGAPQLVQVPSSQHQQQYIGYSQVHHPSQSVAPASTGTANYGYEFADPTQAQIYYAQPMAPAMPQYHTMMPDSSGQLSNDNMKQQIRSSQPM >Potri.009G132800.1.v4.1 pep chromosome:Pop_tri_v4:9:10781566:10784897:1 gene:Potri.009G132800.v4.1 transcript:Potri.009G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132800.v4.1 MVRVFPACVFQPKCLLLFIVLVIFLIFAFTNPKQDEVKEEEQDYEITHRVYLDIDIDGQRQGRIVIGLYGEVVPKTVENFRALCTGTKHKGASGKALHYKGIPFHRIISGFMIQGGDVVYGDGRGSDSIYGSVFPDENFKIKHSHAGVVSMVNSGPNSNGSQFFITTIKASWLDGEHVVFGKVIQGMDIVYAIEGGAGTYSGKPRKKVIIADSGEIPKDKWDEER >Potri.019G103900.1.v4.1 pep chromosome:Pop_tri_v4:19:13951096:13958388:1 gene:Potri.019G103900.v4.1 transcript:Potri.019G103900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103900.v4.1 MGVKRSGKREDLNLEIYGKFFTAFVLICTARISVGVTNPSDVTAINSLYLSLGSPVLPGWVSIGGDPCGEGWQGVLCNVSEIQSIVLNGANLGGELGDNLGMFASIRSIDLSNNNIGGSIPSNLPVTMQTFFLADNNFTGSIPDSLSSLTLLKDMSLNDNFLSGEIPDAFQSLPGLINLDLSNNNLSGQLPSSFVDLASLTTLRLQDNQLSGTLDVLQDLPLRDLNIENNLFSGPIPDKLLAIPNFRKDGNPFNSSTSPVPAPMSPPPLTPPPPSAPPPPSTPTPPFWVPPSPPSRKTPGKQADGPSSAEESNSGGKKFLTTKRLVWISIAGVLLFVILALALGLLIPKCSRTRKEASRIFKQHQVGAYKGNRENPRDNGSSAQPTNQIEKVPKETLQQPKEDHPKPQNVHKRNEPKMDLALKRDDYLIDVSRLDSDFTLPPPPPPPPPPPPPPPPPPPVENVIVKPNVPAEASSGKPSRKTRTLLTSAKSFTIASLQQYTKSFSQENLIGEGMLGSVYRAQLPDGKLLAVKKLDKRTAEQQKENEFIELVNNIDRIRHANVVELMGYCAEHGQRLLIYEYCSSGSLQDALHSDDEFKRKLSWNARIRMALGAARALEYLHEVCQPPVIHRNFKSANVLLDDDLDVRVSDCGLASLISSGAASQLSGQLLTAYGYGAPEFESGIYTVQSDVYSFGVVMLELLTGRKSLDRTRNRGEQFLVRWAIPQLHDIDTLSKMVDPSLNGEYSAKSLSHFADIISRCVQSEPEFRPPMSEVVQDLTDMIRRDRPSKESISD >Potri.019G103900.5.v4.1 pep chromosome:Pop_tri_v4:19:13951367:13958278:1 gene:Potri.019G103900.v4.1 transcript:Potri.019G103900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103900.v4.1 MGVKRSGKREDLNLEIYGKFFTAFVLICTARISVGVTNPSDVTAINSLYLSLGSPVLPGWVSIGGDPCGEGWQGVLCNVSEIQSIVLNGANLGGELGDNLGMFASIRSIDLSNNNIGGSIPSNLPVTMQTFFLADNNFTGSIPDSLSSLTLLKDMSLNDNFLSGEIPDAFQSLPGLINLDLSNNNLSGQLPSSFVDLASLTTLRLQDNQLSGTLDVLQDLPLRDLNIENNLFSGPIPDKLLAIPNFRKDGNPFNSSTSPVPAPMSPPPLTPPPPSAPPPPSTPTPPFWVPPSPPSRKTPGKQADGPSSAEESNSGGKKFLTTKRLVWISIAGVLLFVILALALGLLIPKCSRTRKEASRIFKQHQVGAYKGNRENPRDNGSSAQPTNQIEKVPKETLQQPKEDHPKPQNVHKRNEPKMDLALKRDDYLIDVSRLDSDFTLPPPPPPPPPPPPPPPPPPPVENVIVKPNVPAEASSGKPSRKTRTLLTSAKSFTIASLQQYTKSFSQENLIGEGMLGSVYRAQLPDGKLLAVKKLDKRTAEQQKENEFIELVNNIDRIRHANVVELMGYCAEHGQRLLIYEYCSSGSLQDALHSDDEFKRKLSWNARIRMALGAARALEYLHEVCQPPVIHRNFKSANVLLDDDLDVRVSDCGLASLISSGAASQLSGQLLTAYGYGAPEFESGIYTVQSDVYSFGVVMLELLTGRKSLDRTRNRGEQFLVRWAIPQLHDIDTLSKMVDPSLNGEYSAKSLSHFADIISRCVQSEPEFRPPMSEVVQDLTDMIRRDRPSKESISD >Potri.019G103900.4.v4.1 pep chromosome:Pop_tri_v4:19:13951550:13958279:1 gene:Potri.019G103900.v4.1 transcript:Potri.019G103900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103900.v4.1 MGVKRSGKREDLNLEIYGKFFTAFVLICTARISVGVTNPSDVTAINSLYLSLGSPVLPGWVSIGGDPCGEGWQGVLCNVSEIQSIVLNGANLGGELGDNLGMFASIRSIDLSNNNIGGSIPSNLPVTMQTFFLADNNFTGSIPDSLSSLTLLKDMSLNDNFLSGEIPDAFQSLPGLINLDLSNNNLSGQLPSSFVDLASLTTLRLQDNQLSGTLDVLQDLPLRDLNIENNLFSGPIPDKLLAIPNFRKDGNPFNSSTSPVPAPMSPPPLTPPPPSAPPPPSTPTPPFWVPPSPPSRKTPGKQADGPSSAEESNSGGKKFLTTKRLVWISIAGVLLFVILALALGLLIPKCSRTRKEASRIFKQHQVGAYKGNRENPRDNGSSAQPTNQIEKVPKETLQQPKEDHPKPQNVHKRNEPKMDLALKRDDYLIDVSRLDSDFTLPPPPPPPPPPPPPPPPPPPVENVIVKPNVPAEASSGKPSRKTRTLLTSAKSFTIASLQQYTKSFSQENLIGEGMLGSVYRAQLPDGKLLAVKKLDKRTAEQQKENEFIELVNNIDRIRHANVVELMGYCAEHGQRLLIYEYCSSGSLQDALHSDDEFKRKLSWNARIRMALGAARALEYLHEVCQPPVIHRNFKSANVLLDDDLDVRVSDCGLASLISSGAASQLSGQLLTAYGYGAPEFESGIYTVQSDVYSFGVVMLELLTGRKSLDRTRNRGEQFLVRWAIPQLHDIDTLSKMVDPSLNGEYSAKSLSHFADIISRCVQSEPEFRPPMSEVVQDLTDMIRRDRPSKESISD >Potri.009G005900.2.v4.1 pep chromosome:Pop_tri_v4:9:1235809:1252138:1 gene:Potri.009G005900.v4.1 transcript:Potri.009G005900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005900.v4.1 MLRLRPCVSIRSIYSTRHSKLLSPLIPSLFFSKSPSFLKTHTLSLNPNSTMSSSRPSAFDALMSNARAAASAKKKTQPQPQLKPSSPKKRKTLDHPQNPDKTLSSVQNPQTDESKKLINTPDSSNEPKSEPNSRSDSLLKVNESNKLRVEDKNTELKSKIVLLKKKAGDFKPEMVANWEKGERVPFIFVSLAFDLIANETGRIVITDIVCNMLRTVMDTTPEDLVAVVYLLANKVAPAHEGVELGIGEALIIKALAEACGRKEKEVKKQYKDLGDLGLVAKASRSSQSMMRKPDPLTITKVFNTFQQIAKESGKDSQDKKKNHIKALLVAATDCEPLYLIRLLQTKLRIGLAEQTLLAALGQAAVYTEEHSTPPPHIQSPLEEAAKIVKQVYSVLPVYDKIVPALLSDGVWNLPKTCSFTPGVPVGPMLAKPTKGVSEIVTKFQDMEFSCEYKYDGERAQIHYLENGSVEIYSRNSERNTGKFPDVVAVISRLKRPSASSFILDCELVAYDREKKKILPFQILSTRARKNVVMSDIKVNVCIYAFDMLYLNDQPLIQKELKVRREHLYSSFEEEPGFFQFATAITSNDLEEIQKFLDTAVDASCEGLIIKTMNKDATYEPSRRSHNWLKLKKDYMESIGDSLDLVPIGAFHGRGKRTGVYGAFLLACYNSNNEEFQSICKIGTGFSEQVLEERSASLRSQVIPKPKSYYRFGDTIKPDVWFEPKEVWEVKAADLTISPVHRAAIGEVDPNKGISLRFPRLVRVREDKSPEQASSSEQVAEMYNAQKHNHQNNQDDNDDDD >Potri.010G142400.2.v4.1 pep chromosome:Pop_tri_v4:10:15529746:15531227:1 gene:Potri.010G142400.v4.1 transcript:Potri.010G142400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142400.v4.1 MSSKKEEKSQVAAERIKAAALSAAKGLSRAQAERAATAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDLNSMSKGFGGNAQCQKCFQSGHWTYECKNERVYMSRPSRTQQLKNPKLRMKVSISYDLENPEGEDNQGQKREKKSKRKHRSDSDSATNSEASVFETDSGSSSVTGSESSEEESSSGYSSSSSEEERRRRRKKRKQKKERRRRYSSSSDESSDSDSGSESDSDDKSSRRKRRHSRRR >Potri.010G142400.4.v4.1 pep chromosome:Pop_tri_v4:10:15529747:15531229:1 gene:Potri.010G142400.v4.1 transcript:Potri.010G142400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142400.v4.1 MSSKKEEKSQVAAERIKAAALSAAKGLSRAQAERAATAAARNVNAYGQKEEGPSRWQEKREAKRQMYLMSTEKAVRLGERKDLNSMSKGFGGNAQCQKCFQSGHWTYECKNERVYMSRPSRTQQLKNPKLRMKVSISYDLENPEGEDNQGQKREKKSKRKHRSDSDSATNSEASVFETDSGSSSVTGSESSEEESSSGYSSSSSEEERRRRRKKRKQKKERRRRYSSSSDESSDSDSGSESDSDDKSSRRKRRHSRRR >Potri.006G102000.2.v4.1 pep chromosome:Pop_tri_v4:6:7847064:7857149:-1 gene:Potri.006G102000.v4.1 transcript:Potri.006G102000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102000.v4.1 MDAYALHLAMAALVGASFVAVSAYYMHRKTLTQLLEFAKTVERERERDDNSDGGGSSPQNLKKSRSHGRRKGSNGHYNKRGSASLPDVTAISGGGIDGEEKRNGQVLYVEGIPAGLPRLHTLLEGKSAGHVKRPASFIRPTSPKSPGASASAFDSVEGSDDEDNMTGNSKLDTTYLHINGNADIKDVLPQHINANGDQMPIPASSMIRSHSVSGDLHGVQPDPFAADILRKEPEQETFARLKISPMAEVPSPDEVDSYIVLQECLEMRKRYVFKEAIAPWEKEIISDPSTPKPNPDPFSYTPEGKSDHYFEMQDGVIHVYPNKDSKEELFPVADATMFFTDLHHILRVIAIGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLVASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNILDNIFMPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPVQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTCHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYNRGPDGNDIHKTNVPHIRVEFRDTIWRDEMQQVYLGKAIIPKEVDK >Potri.006G102000.3.v4.1 pep chromosome:Pop_tri_v4:6:7847050:7857149:-1 gene:Potri.006G102000.v4.1 transcript:Potri.006G102000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102000.v4.1 MDAYALHLAMAALVGASFVAVSAYYMHRKTLTQLLEFAKTVERERERDDNSDGGGSSPQNLKKSRSHGRRKGSNGHYNKRGSASLPDVTAISGGGIDGEEKRNGQVLYVEGIPAGLPRLHTLLEGKSAGHVKRPASFIRPTSPKSPGASASAFDSVEGSDDEDNMTGNSKLDTTYLHINGNADIKDVLPQHINANGDQMPIPASSMIRSHSVSGDLHGVQPDPFAADILRKEPEQETFARLKISPMEVPSPDEVDSYIVLQECLEMRKRYVFKEAIAPWEKEIISDPSTPKPNPDPFSYTPEGKSDHYFEMQDGVIHVYPNKDSKEELFPVADATMFFTDLHHILRVIAIGNIRTLCHHRLNLLEQKFNLHLMLNADREFLAQKSAPHRDFYNVRKVDTHVHHSACMNQKHLLRFIKSKLRKEPDEVVIFRDGTYLTLKEVFESLDLTGYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLGELTKQVFSDLVASKYQMAEYRISIYGRKQSEWDQLASWIVNNELYSENVVWLIQLPRLYNIYKEMGIVTSFQNILDNIFMPLFEVTVDPDSHPQLHVFLKQVVGLDLVDDESKPERRPTKHMPTPVQWTNVFNPAFSYYVYYCYANLYTLNKLRESKGMTTIKFRPHSGEAGDIDHLAATFLTCHNIAHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLDYHRNPFPMFFLRGLNVSLSTDDPLQIHLTKEPLVEEYSIAASVWKLSSCDLCEIARNSVYQSGFSHALKSHWIGKEYYNRGPDGNDIHKTNVPHIRVEFRDTIWRDEMQQVYLGKAIIPKEVDK >Potri.004G142100.1.v4.1 pep chromosome:Pop_tri_v4:4:16469521:16471532:-1 gene:Potri.004G142100.v4.1 transcript:Potri.004G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G142100.v4.1 MGESARNSTRVAETEIPESSITSFSKKMNFDFEEFNSPSLNLKLQPHRCADMSPQKVVPPGNSSNPSGVLTGFSSCGDSPVLSCCSSNAPVQVVKDSLRFLDLEAKSPETESSTCNDRKFSRETTPSSEFHGSSTDHMDTPAAIEKKESLRPRDSPAVKMPSQAEIDAFFTGAEREEQRRFAEKYNYDVVMDLPMEGRYQWICLKP >Potri.003G101500.2.v4.1 pep chromosome:Pop_tri_v4:3:12629517:12636785:1 gene:Potri.003G101500.v4.1 transcript:Potri.003G101500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101500.v4.1 MFTCIACTKPVAEDGRGEEGGARGSGTPSTKEAVKSLTSQIKDMALKMSGAYKQCKPCTSPSSYKKGQRPYPDFDAASEGVPYPYFGGGSSSSTPAWDFTTPKHNRGTRADSRFSTLYGGDRTPGGAESCDVVLEDEDEPKEWMAQVEPGVHITFVSLPNGGNDLKRIRFSREMFNKWQAQRWWGENYDRITELYNVQRFNRQALHTPPRCEDEQRDSSYSRLESARESPMAPSFTPRNYYKPAGSKGYFPSDTMDQGGSHHYHAGSSSYGMGGPRFEASSLEASRTTTSSRDEPSISVSNASDLETEWVEQDEPGVYITIRQLADGTRELRRVRFSREQFGEVHAKTWWEQNRERIQAQYL >Potri.006G222800.1.v4.1 pep chromosome:Pop_tri_v4:6:22816146:22820907:-1 gene:Potri.006G222800.v4.1 transcript:Potri.006G222800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222800.v4.1 MGITGTLSSDQFDSFNSQGYLVIESFANPEDIESMMKRMDKLLDDFDYTNVSVFSTKNQRKVTDDYFYQSAENISFFFEEKAFGDDGSLKQPKQLSINKVGHALHELDPVFKGFSSSEKCSGLLSSLGYKKPVIIQSMYIFKQPGIGGEVVPHQDNSFLYTEPTTCTGMWLALEDATLLNGCLWAIPGSHKNGLVRRFLRGENGVYFDQPSPSYDQKDFVPIEVKAGSLVLIHGDLIHQSFENQSSKSRHAYSWHVVDTDGCKWAPENWIRRKVEPEPLYAS >Potri.004G122466.1.v4.1 pep chromosome:Pop_tri_v4:4:11667405:11667948:1 gene:Potri.004G122466.v4.1 transcript:Potri.004G122466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G122466.v4.1 MYILYFYTNLEVEITLTTNLQRKSQQETQILQACLCPQPAPPTKKKEWYFRNSLPDQLRPCKDEIPEVQEDYL >Potri.007G049500.1.v4.1 pep chromosome:Pop_tri_v4:7:4682539:4683591:-1 gene:Potri.007G049500.v4.1 transcript:Potri.007G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049500.v4.1 MATMLKSLSFFVFVFLLVNSLFFIETEARPFNILKSGNSATSRAIESFFDGLSLGEIKQSGPSPGVGHEFTNSQTLGGIKAGPSPCCGNKYTTGTHH >Potri.010G007911.1.v4.1 pep chromosome:Pop_tri_v4:10:1119958:1120906:1 gene:Potri.010G007911.v4.1 transcript:Potri.010G007911.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007911.v4.1 MKITNVLGLSFLFFAFIGTSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTINGQVLCNSDVILSTLNESLPITFSPVIQSTDSVIREGTHLNVNFAGPSAMCLMGGVTPMWKIGFSTTLKGYIVTTGGVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVGVNGDKNLVPGAGPLLVMFEPDE >Potri.010G121650.1.v4.1 pep chromosome:Pop_tri_v4:10:13953851:13954614:1 gene:Potri.010G121650.v4.1 transcript:Potri.010G121650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121650.v4.1 MARTADIIDRLVLGYEYDGQRKWQACRLTNWSQYMKHSHPIEDRAVGH >Potri.018G029200.1.v4.1 pep chromosome:Pop_tri_v4:18:2167070:2170354:1 gene:Potri.018G029200.v4.1 transcript:Potri.018G029200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029200.v4.1 MGRGGNQLFDDNRDVFLSVACLGSQWGNVQDMQYLPRGGLFASVNLMGTGDNSNLKSLYNDLYVKYLSFVGFQEEEGVLKKKKGGLQLKIKVKNPSLRRLISGGIAGAISRTAVAPLETIRTHLMVGSSGQSTTEVFKNIMQTDGWKGLFRGNLVNVIRVAPSKAIELFAYDTVNKKLSPAPGEQPKLPIPASLIAGACAGVSSTLCMYPLELVKTRLTIQRDVYNGIAHAFLKILREEGPGELYRGLAPSLIGVIPYAATNYFAYDTLRKAYRKKFKQEKIGNIETLLIGSAAGAISSSATFPLEVARKHMQVGALSGRQVYKNVIHALACILEQEGIQGLYKGLGPSCMKLVPAAGISFMCYEACKRILVEDDEKT >Potri.009G060300.1.v4.1 pep chromosome:Pop_tri_v4:9:6240384:6242219:-1 gene:Potri.009G060300.v4.1 transcript:Potri.009G060300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060300.v4.1 MEGEEGISARSEKKGRFKRICVFCGSRAGYKSSFSDASLELGKQLVRRKIDLVYGGGSAGLMGLISRTVFNGGCHVLGVIPKALMSHEISGEAVGEVKTVADMHQRKAEMAKHADAFIALPGGYGTMEELLEIISWSQLGIHEKPVGLLNVDGYYNSLLALFDKGVEEGFINDTARHIVVIAETAAELIKKMEEYAPVHDKVAPRQSWEVDQLPEPTASGNL >Potri.009G109300.1.v4.1 pep chromosome:Pop_tri_v4:9:9416721:9416927:1 gene:Potri.009G109300.v4.1 transcript:Potri.009G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109300.v4.1 MSAVVEAWASELAKLKEKVRTRMPFLSETREGEVQGEKGVKKERTVALRETTMSETTVCLLMERFVTW >Potri.002G134500.1.v4.1 pep chromosome:Pop_tri_v4:2:10133525:10138547:-1 gene:Potri.002G134500.v4.1 transcript:Potri.002G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134500.v4.1 MRGRSRAIPESRVYLFIHGWITERSPPSLNSTAFSLTRTDLTMQILFLLLVSLLLACILKFIHSVMWVPWRIQVHFRRQGINGPSYRLLLGNAPEFGRLFSEARSKPMPFNHDVVPRVAPFYHEWSRKYGKTFLYWFGTKPTLAISDPDMIKEVLMNTGDGSFEKARNNPLAKLLFGQGLIGLNGDEWAHHRRIANQAFMIERVKCWVPGIVASTENMLTKWEEIRGGRDEFEMDVMDDLQDLSADVISKTAFGSNYEEGRRVFGLQEQQKYLAFQALGNAYIPGFRFLPTKKNRERWRIEKETREAIKNLIKTNNRVKENSKNLLRLLMSSYKNGDGREDQTLGVEDVVDECKTFYFAGKETTADLVTWALLLLALHQEWQDKAREEVFSVYGRKELPVAEKLNDLKIVNLILNETLRLYPPVLMLMRQTSRKVRLGAIDIPADTQLYLPLPAVQHDTEIWGEDANEFNPLRFNKSRKHLASFFPFALGPRICVGQSLAIMEAKIALTMIIRQYSLAVSPTYTHAPNLFISMQPQYGAQILFRKISN >Potri.005G002600.1.v4.1 pep chromosome:Pop_tri_v4:5:244598:245226:-1 gene:Potri.005G002600.v4.1 transcript:Potri.005G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002600.v4.1 MVGNKQKKSSSSSFFSFFKARKPRRTGDQDAYYGNDDVMSPRKIRPFDDDKGPLVSVKPDPRVDSRASVFIANFHAARMSESERQIFQQAAGKAA >Potri.015G037800.4.v4.1 pep chromosome:Pop_tri_v4:15:3260596:3265578:-1 gene:Potri.015G037800.v4.1 transcript:Potri.015G037800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037800.v4.1 MAAAASSLTTPKLTSPSLQSLYRKLPLSFPLSPSSSFLPLPKTPTTTTTFLSSRRPLSHHQRRHLTTRAEAENGAEPSRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLLVYASKYAHEFDESRGFGWKYDSEPLHDWNTLMAHKNAELQRLTGIYKHILNNAGVTLIEGRGKIVDPHTVDVDGKLYSARHILISVGGRPFIPDIPGSEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEIRDFVAEQMSLRGIEFHTEESPQAIIKSADGSLSLKTNKGTVEGFSHVMFATGRRPNTKNLGLESLGVKMTNNGAIEVDEYSCTSVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDYRYHQ >Potri.015G037800.1.v4.1 pep chromosome:Pop_tri_v4:15:3260308:3265574:-1 gene:Potri.015G037800.v4.1 transcript:Potri.015G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037800.v4.1 MAAAASSLTTPKLTSPSLQSLYRKLPLSFPLSPSSSFLPLPKTPTTTTTFLSSRRPLSHHQRRHLTTRAEAENGAEPSRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLLVYASKYAHEFDESRGFGWKYDSEPLHDWNTLMAHKNAELQRLTGIYKHILNNAGVTLIEGRGKIVDPHTVDVDGKLYSARHILISVGGRPFIPDIPGSEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEIRDFVAEQMSLRGIEFHTEESPQAIIKSADGSLSLKTNKGTVEGFSHVMFATGRRPNTKNLGLESLGVKMTNNGAIEVDEYSCTSVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQATKEYGDIDVFTANFRPLKATLSGLPDRVFMKLIVCAKTNKVLGLNMCGEDSPEIVQGFAVAIKAGLTKADFDSTVGIHPTAAEEFVTLRTPTRKIRERPPAEGKADHDVKAAAGV >Potri.015G037800.2.v4.1 pep chromosome:Pop_tri_v4:15:3260595:3265574:-1 gene:Potri.015G037800.v4.1 transcript:Potri.015G037800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037800.v4.1 MAAAASSLTTPKLTSPSLQSLYRKLPLSFPLSPSSSFLPLPKTPTTTTTFLSSRRPLSHHQRRHLTTRAEAENGAEPSRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLLVYASKYAHEFDESRGFGWKYDSEPLHDWNTLMAHKNAELQRLTGIYKHILNNAGVTLIEGRGKIVDPHTVDVDGKLYSARHILISVGGRPFIPDIPGSEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEIRDFVAEQMSLRGIEFHTEESPQAIIKSADGSLSLKTNKGTVEGFSHVMFATGRRPNTKNLGLESLGVKMTNNGAIEVDEYSCTSVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQATKEYGDIDVFTANFRPLKATLSGLPDRVFMKLIVCAKTNKVLGLNMCGEDSPEIVQGFAVAIKAGLTKADFDSTVGIHPTAAEEFVTLRTPTRKIRERPPAEGKADHDVKAAAGV >Potri.015G037800.3.v4.1 pep chromosome:Pop_tri_v4:15:3260592:3265576:-1 gene:Potri.015G037800.v4.1 transcript:Potri.015G037800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037800.v4.1 MAAAASSLTTPKLTSPSLQSLYRKLPLSFPLSPSSSFLPLPKTPTTTTTFLSSRRPLSHHQRRHLTTRAEAENGAEPSRHYDFDLFTIGAGSGGVRASRFAANFGASVAVCELPFSTISSETTGGVGGTCVLRGCVPKKLLVYASKYAHEFDESRGFGWKYDSEPLHDWNTLMAHKNAELQRLTGIYKHILNNAGVTLIEGRGKIVDPHTVDVDGKLYSARHILISVGGRPFIPDIPGSEYAIDSDAALDLPSKPEKIAIVGGGYIALEFAGIFNGLKSDVHVFIRQKKVLRGFDEEIRDFVAEQMSLRGIEFHTEESPQAIIKSADGSLSLKTNKGTVEGFSHVMFATGRRPNTKNLGLESLGVKMTNNGAIEVDEYSCTSVPSIWAVGDVTDRINLTPVALMEGGALAKTLFQNEPTKPDYRAVPSAVFSQPPIGQVGLTEEQATKEYGDIDVFTANFRPLKATLSGLPDRVFMKLIVCAKTNKVLGLNMCGEDSPEIVQGFAVAIKAGLTKADFDSTVGIHPTAAEEFVTLRTPTRKIRERPPAEGKADHDVKAAAGV >Potri.002G038400.3.v4.1 pep chromosome:Pop_tri_v4:2:2529080:2529652:1 gene:Potri.002G038400.v4.1 transcript:Potri.002G038400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038400.v4.1 MSCLNLRLPPAKKAWKSFTSKLQRKLHKLNTSKSITKSKYPTKNINSTASHILFDQKRDGNRKRLAYPPRALPFKQRSSRLGHVHKKSAPVYIDKLFREPVSGFELMTKYPPTPKTMKILDHQAATTVAPAGTSMEDEINRGERGCAADDMWESLGFASPQMQGIDERAEQFILTFRAEMEIQETIACDL >Potri.006G180900.1.v4.1 pep chromosome:Pop_tri_v4:6:18734852:18738912:1 gene:Potri.006G180900.v4.1 transcript:Potri.006G180900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180900.v4.1 MVVSNINPHNKEIVVRRRIASIFNKREDDFPSLREYNDYLEEVEDMIFNLVAGDDVAATEVKIAEYQEENADLILINHARKAEELALAMSASKGPPAQTDNTDGSSQGISVGAGQYAPTIVGGQPRPTGIAPQPVPLRGGPDMHGYLEDEETMRIRTENASRAAGWSIEFSKKRGFEEAFASFCF >Potri.003G102300.1.v4.1 pep chromosome:Pop_tri_v4:3:12690478:12691950:1 gene:Potri.003G102300.v4.1 transcript:Potri.003G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102300.v4.1 MDSIKPFALRRHVYKSKQRKQWKREENIKKNRERRETMERLKTDMVEISEGQERLKEGQREIRQKFEEIESECHKLKEETMNIAKQSDCNQIRINLMFSILKARQDNNFSHAEHLTQLLREEMGRQEEGKPGLVG >Potri.003G102300.3.v4.1 pep chromosome:Pop_tri_v4:3:12690705:12691388:1 gene:Potri.003G102300.v4.1 transcript:Potri.003G102300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102300.v4.1 MERLKTDMVEISEGQERLKEGQREIRQKFEEIESECHKLKEETMNIAKQSDCNQIRINLMFSILKARQDNNFSHAEHLTQLLREEMGRQEEGKPGLVG >Potri.001G241250.1.v4.1 pep chromosome:Pop_tri_v4:1:25871005:25873332:1 gene:Potri.001G241250.v4.1 transcript:Potri.001G241250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241250.v4.1 MFILHLLLSLHYQCHPSNILSFSPLTTRISSSISFSALSFASFSMLSSFINPLSSSSLFTSPLGGSISSLPALTTFVFFCKIFLLFTTKNQSCSFCIHLSCLYTSEKEMSLGSFLTAWGASLVGYGNSGGKVLWQCKNSVT >Potri.011G007000.1.v4.1 pep chromosome:Pop_tri_v4:11:553451:554725:-1 gene:Potri.011G007000.v4.1 transcript:Potri.011G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007000.v4.1 MSSLQASSFLFSSTCSCSTRTHAAISIPKLPRIPFSAPKNPLKPVEDLNLRDGFKGTIPLENNNVANNTRLDQYEPIISTTSTTATAKLYAILEAVGDRVEMHGNIGEQRDNWNKLLLNSINMITLTAATMAGVAAGGAAGAPLMALKLSSTLLFSAATGMLIIMNKIQPSQLAEEQRNATRLFKQLYSQIQNTLALHDPTDTDVKDTMDRVLALDEAYPLPLLGKMIEKFPDKFEPAIWWPKSNKSHSRKHVKAQGKNGWSEDLEEEMRVLIEVIKRKDSEDYMRLGNLALKVNKILAVSGPLLTGIAAAGSAFVGHGSWAAIVAVTAGALASTVNTFEHGGQVGMVVEMYRNSAGFFTLLEESIESTIQEKDFEEREDGEMSEMSVALKLGRSLSQLRDLARKSTSSHIDGSTIDEFASKLF >Potri.009G121600.3.v4.1 pep chromosome:Pop_tri_v4:9:10181898:10184054:-1 gene:Potri.009G121600.v4.1 transcript:Potri.009G121600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121600.v4.1 MMMMRPAGCLGGSRCQDCGNQAKKDCFYMRCRTCCKSKGFHCQTHVKSTWVPAYRRRQRAQDLSPVQQHQLQGHNPKRLRENPSSGLEIENFPAEVNSTATFRCFRVSSIDEAVDQVAYQTRVNIGGHVFKGILYDQGPENRYDFGESSCRQLQGPNLTNAGALTPATTTLASTSGAAECLARPSFPFPLNAFMSDKQLFLHPKS >Potri.009G121600.4.v4.1 pep chromosome:Pop_tri_v4:9:10181898:10183595:-1 gene:Potri.009G121600.v4.1 transcript:Potri.009G121600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121600.v4.1 MMMMRPAGCLGGSRCQDCGNQAKKDCFYMRCRTCCKSKGFHCQTHVKSTWVPAYRRRQRAQDLSPVQQHQLQGHNPKRLRENPSSGLEIENFPAEVNSTATFRCFRVSSIDEAVDQVAYQTRVNIGGHVFKGILYDQGPENRYDFGESSCRQLQGPNLTNAGALTPATTTLASTSGAAECLARPSFPFPLNAFMSDKQLFLHPKS >Potri.003G139800.1.v4.1 pep chromosome:Pop_tri_v4:3:15625992:15626583:-1 gene:Potri.003G139800.v4.1 transcript:Potri.003G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139800.v4.1 MACLFSLSTRANIVRRRYNASFSYVLNDDRKHNSIDEGPPLEGMGNLFQQKPFGSSSDRFNNNNDSAVFGLFHNRRCSDFSPSPSIGVPFCRHMSTKIGGGSEKIEFINDVADVITDTTVEAVAAQAPAMDEVAVAAADSYFPVAALQHVLLFYEVVDLVVFHLRIY >Potri.004G048675.5.v4.1 pep chromosome:Pop_tri_v4:4:3920365:3926215:-1 gene:Potri.004G048675.v4.1 transcript:Potri.004G048675.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048675.v4.1 MQVKDCEDIISTIRNGSAAENAFALMREKGLLLPRKSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCVRAAFRKDPADAEAILAAARKKIRIFENGFLGGEVADDVERDEESEGDVDEDPEVDDLATPLSANKSTVPSSKLNTLSVSGKYKVGNDISLTVQNESEKGLSTFSLNGPKDVMTPIIIEQCVAHEDEGTNNGDGQNIEIDESKSGASWIQGLTEGEYSHLSVEERLNALVVLVGIANEGNSIRSVLEDRLEAANALKKQMWAEAQLDRSRLKEEFISKLDFPSLTGGRVETQVASSALEGSQSPLVLVDSKNKEASPSNAEDQKSLAENVENHLSSVLSEKALVVQDLSMNPDNISVQQHGYASKRSRSQLKAYVTHLAEELYIYRSLPLGQDRRRNRYWQFVASASRNDPCSGRIFVELHDGNWRVIDSEEAFDTLLSSLDTRGVRESHLRIMLQKIESSFKENGRRNLWSPNIVCQSGTTDENKKAETDSGNCPADIDDPSSMFCVSSSDTFDTFSLFRIELGRNSAEKKGALKRYLDFQNWMWKDCFNSSTLRAMKFGKKRCEQLLDTCNLCFSSYLSEDTHCLSCHQTFKVDKKNFDFAEHEIQCKEKRFDPGNARAFDSCLPLGIRLLTALLGSIEVSVPQEALESFWMEICRKDWVVKLIMSSSTEELLQRLTVFESAIKRERLSSNFETTKELLGPSASSGSAARDSASLGSVSLLPWMPKTIAAVALRLFELDASIIYVKNEKPEPSTDKSVKVYMKLPSRYSDFKNEEVEIKDVKLEEHNKEEIVDLRGKRSNYKRGRGGRDQGLATKWQRRVPGLKSDTGRQSSSRGIQNLNQGPRQQGRKTNLQASSRGRRTVRKRRVEKTVAKEPLLGRMRRVEKTVAKEPLLGRMTNTVAAPVSYLSKKTAARNSYVKSFRNLDDEDWSAKKGSLNVVGDDNSNSMEEAESDDDVEEEVYEQGNWEPGFNGTSNGWNRNSMEVSDDDGDASNGIAAMGDDDSEGDIEMSDGSDREANRVKNDEGLDYADSDEYSD >Potri.004G048675.4.v4.1 pep chromosome:Pop_tri_v4:4:3920308:3930995:-1 gene:Potri.004G048675.v4.1 transcript:Potri.004G048675.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048675.v4.1 MWFCHRRLKDKKETPVKKQRNTAPLPESPLEDMRIGAENGSDYGSGSGSGSSPLSESRKVILDDGHTMRRYYDSSPQSVMELRAIACVEAQLGEPLREDGPILGMEFDPLPPDAFGTPIASITEQQKRMGYSYEDKVYERHDAKSSKDHASVRSDAYGLTQSPYHDSPVDTLRGRASPFGAGQISRARLMSQQDKRGHVFSSPQRDDDYLLQRDTFANNRTSAQSNSHPIMGPENPNVFSDAQTFHHDTELRLERKRKIEEPRTVRDVEACENRMRKELEKQDILRRKNEERMRKEMERHDRERRKEEERLMRERQREEERLLREQKRELERREKFMQKEYLRAEKRRQKEELRREKEAVKRKAAMEKATARKIAKDSLDLIEDEQLELMELAAASKGLASIVNLNYDTLQNLDSFRDLLITFPSESVQLKKPFGFQPWLDSEENIGNLLMVWRFFITFADVLGLWPFTLDEFVQAFHDYDSRLLSELHVALLKLIIKDIEDVARTPSIGLGINQYYTANPEGGHPQIVQGAYTWGFDIRNWQQHLNPLTWPEILRQLALSAGFGPQLRKRNATWTGLGDIDEMQVKDCEDIISTIRNGSAAENAFALMREKGLLLPRKSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCVRAAFRKDPADAEAILAAARKKIRIFENGFLGGEVADDVERDEESEGDVDEDPEVDDLATPLSANKSTVPSSKLNTLSVSGKYKVGNDISLTVQNESEKGLSTFSLNGPKDVMTPIIIEQCVAHEDEGTNNGDGQNIEIDESKSGASWIQGLTEGEYSHLSVEERLNALVVLVGIANEGNSIRSVLEDRLEAANALKKQMWAEAQLDRSRLKEEFISKLDFPSLTGGRVETQVASSALEGSQSPLVLVDSKNKEASPSNAEDQKSLAENVENHLSSVLSEKALVVQDLSMNPDNISVQQHGYASKRSRSQLKAYVTHLAEELYIYRSLPLGQDRRRNRYWQFVASASRNDPCSGRIFVELHDGNWRVIDSEEAFDTLLSSLDTRGVRESHLRIMLQKIESSFKENGRRNLWSPNIVCQSGTTDENKKAETDSGNCPADIDDPSSMFCVSSSDTFDTFSLFRIELGRNSAEKKGALKRYLDFQNWMWKDCFNSSTLRAMKFGKKRCEQLLDTCNLCFSSYLSEDTHCLSCHQTFKVDKKNFDFAEHEIQCKEKRFDPGNARAFDSCLPLGIRLLTALLGSIEVSVPQEALESFWMEICRKDWVVKLIMSSSTEELLQRLTVFESAIKRERLSSNFETTKELLGPSASSGSAARDSASLGSVSLLPWMPKTIAAVALRLFELDASIIYVKNEKPEPSTDKSVKVYMKLPSRYSDFKNEEVEIKDVKLEEHNKEEIVDLRGKRSNYKRGRGGRDQGLATKWQRRVPGLKSDTGRQSSSRGIQNLNQGPRQQGRKTNLQASSRGRRTVRKRRVEKTVAKEPLLGRMRRVEKTVAKEPLLGRMTNTVAAPVSYLSKKTAARNSYVKSFRNLDDEDWSAKKGSLNVVGDDNSNSMEEAESDDDVEEEVYEQGNWEPGFNGTSNGWNRNSMEVSDDDGDASNGIAAMGDDDSEGDIEMSDGSDREANRVKNDEGLDYADSDEYSD >Potri.004G048675.3.v4.1 pep chromosome:Pop_tri_v4:4:3920325:3931937:-1 gene:Potri.004G048675.v4.1 transcript:Potri.004G048675.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048675.v4.1 MEANSDEEQQNRSKNNVVSSNEGQSKPKRQMKTPFQLETLEKAYATETYPSEEMRAELSEKLGLSDRQLQMWFCHRRLKDKKETPVKKQRNTAPLPESPLEDMRIGAENGSDYGSGSGSGSSPLSESRKVILDDGHTMRRYYDSSPQSVMELRAIACVEAQLGEPLREDGPILGMEFDPLPPDAFGTPIASITEQQKRMGYSYEDKVYERHDAKSSKDHASVRSDAYGLTQSPYHDSPVDTLRGRASPFGAGQISRARLMSQQDKRGHVFSSPQRDDDYLLQRDTFANNRTSAQSNSHPIMGPENPNVFSDAQTFHHDTELRLERKRKIEEPRTVRDVEACENRMRKELEKQDILRRKNEERMRKEMERHDRERRKEEERLMRERQREEERLLREQKRELERREKFMQKEYLRAEKRRQKEELRREKEAVKRKAAMEKATARKIAKDSLDLIEDEQLELMELAAASKGLASIVNLNYDTLQNLDSFRDLLITFPSESVQLKKPFGFQPWLDSEENIGNLLMVWRFFITFADVLGLWPFTLDEFVQAFHDYDSRLLSELHVALLKLIIKDIEDVARTPSIGLGINQYYTANPEGGHPQIVQGAYTWGFDIRNWQQHLNPLTWPEILRQLALSAGFGPQLRKRNATWTGLGDIDEVKDCEDIISTIRNGSAAENAFALMREKGLLLPRKSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCVRAAFRKDPADAEAILAAARKKIRIFENGFLGGEVADDVERDEESEGDVDEDPEVDDLATPLSANKSTVPSSKLNTLSVSGKYKVGNDISLTVQNESEKGLSTFSLNGPKDVMTPIIIEQCVAHEDEGTNNGDGQNIEIDESKSGASWIQGLTEGEYSHLSVEERLNALVVLVGIANEGNSIRSVLEDRLEAANALKKQMWAEAQLDRSRLKEEFISKLDFPSLTGGRVETQVASSALEGSQSPLVLVDSKNKEASPSNAEDQKSLAENVENHLSSVLSEKALVVQDLSMNPDNISVQQHGYASKRSRSQLKAYVTHLAEELYIYRSLPLGQDRRRNRYWQFVASASRNDPCSGRIFVELHDGNWRVIDSEEAFDTLLSSLDTRGVRESHLRIMLQKIESSFKENGRRNLWSPNIVCQSGTTDENKKAETDSGNCPADIDDPSSMFCVSSSDTFDTFSLFRIELGRNSAEKKGALKRYLDFQNWMWKDCFNSSTLRAMKFGKKRCEQLLDTCNLCFSSYLSEDTHCLSCHQTFKVDKKNFDFAEHEIQCKEKRFDPGNARAFDSCLPLGIRLLTALLGSIEVSVPQEALESFWMEICRKDWVVKLIMSSSTEELLQRLTVFESAIKRERLSSNFETTKELLGPSASSGSAARDSASLGSVSLLPWMPKTIAAVALRLFELDASIIYVKNEKPEPSTDKSVKVYMKLPSRYSDFKNEEVEIKDVKLEEHNKEEIVDLRGKRSNYKRGRGGRDQGLATKWQRRVPGLKSDTGRQSSSRGIQNLNQGPRQQGRKTNLQASSRGRRTVRKRRVEKTVAKEPLLGRMRRVEKTVAKEPLLGRMTNTVAAPVSYLSKKTAARNSYVKSFRNLDDEDWSAKKGSLNVVGDDNSNSMEEAESDDDVEEEVYEQGNWEPGFNGTSNGWNRNSMEVSDDDGDASNGIAAMGDDDSEGDIEMSDGSDREANRVKNDEGLDYADSDEYSD >Potri.004G048675.2.v4.1 pep chromosome:Pop_tri_v4:4:3920381:3932117:-1 gene:Potri.004G048675.v4.1 transcript:Potri.004G048675.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048675.v4.1 MEANSDEEQQNRSKNNVVSSNEGQSKPKRQMKTPFQLETLEKAYATETYPSEEMRAELSEKLGLSDRQLQMWFCHRRLKDKKETPVKKQRNTAPLPESPLEDMRIGAENGSDYGSGSGSGSSPLSESRKVILDDGHTMRRYYDSSPQSVMELRAIACVEAQLGEPLREDGPILGMEFDPLPPDAFGTPIASITEQQKRMGYSYEDKVYERHDAKSSKDHASVRSDAYGLTQSPYHDSPVDTLRGRASPFGAGQISRARLMSQQDKRGHVFSSPQRDDDYLLQRDTFANNRTSAQSNSHPIMGPENPNVFSDAQTFHHDTELRLERKRKIEEPRTVRDVEACENRMRKELEKQDILRRKNEERMRKEMERHDRERRKEEERLMRERQREEERLLREQKRELERREKFMQKEYLRAEKRRQKEELRREKEAVKRKAAMEKATARKIAKDSLDLIEDEQLELMELAAASKGLASIVNLNYDTLQNLDSFRDLLITFPSESVQLKKPFGFQPWLDSEENIGNLLMVWRFFITFADVLGLWPFTLDEFVQAFHDYDSRLLSELHVALLKLIIKDIEDVARTPSIGLGINQYYTANPEGGHPQIVQGAYTWGFDIRNWQQHLNPLTWPEILRQLALSAGFGPQLRKRNATWTGLGDIDEMQVKDCEDIISTIRNGSAAENAFALMREKGLLLPRKSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCVRAAFRKDPADAEAILAAARKKIRIFENGFLGGEVADDVERDEESEGDVDEDPEVDDLATPLSANKSTVPSSKLNTLSVSGKYKVGNDISLTVQNESEKGLSTFSLNGPKDVMTPIIIEQCVAHEDEGTNNGDGQNIEIDESKSGASWIQGLTEGEYSHLSVEERLNALVVLVGIANEGNSIRSVLEDRLEAANALKKQMWAEAQLDRSRLKEEFISKLDFPSLTGGRVETQVASSALEGSQSPLVLVDSKNKEASPSNAEDQKSLAENVENHLSSVLSEKALVVQDLSMNPDNISVQQHGYASKRSRSQLKAYVTHLAEELYIYRSLPLGQDRRRNRYWQFVASASRNDPCSGRIFVELHDGNWRVIDSEEAFDTLLSSLDTRGVRESHLRIMLQKIESSFKENGRRNLWSPNIVCQSGTTDENKKAETDSGNCPADIDDPSSMFCVSSSDTFDTFSLFRIELGRNSAEKKGALKRYLDFQNWMWKDCFNSSTLRAMKFGKKRCEQLLDTCNLCFSSYLSEDTHCLSCHQTFKVDKKNFDFAEHEIQCKEKRFDPGNARAFDSCLPLGIRLLTALLGSIEVSVPQEALESFWMEICRKDWVVKLIMSSSTEELLQRLTVFESAIKRERLSSNFETTKELLGPSASSGSAARDSASLGSVSLLPWMPKTIAAVALRLFELDASIIYVKNEKPEPSTDKSVKVYMKLPSRYSDFKNEEVEIKDVKLEEHNKEEIVDLRGKRSNYKRGRGGRDQGLATKWQRRVPGLKSDTGRQSSSRGIQNLNQGPRQQGRKTNLQASSRGRRTVRKRRVEKTVAKEPLLGRMRRVEKTVAKEPLLGRMTNTVAAPVSYLSKKTAARNSYVKSFRNLDDEDWSAKKGSLNVVGDDNSNSMEEAESDDDVEEEVYEQGNWEPGFNGTSNGWNRNSMEVSDDDGDASNGIAAMGDDDSEGDIEMSDGSDREANRVKNDEGLDYADSDEYSD >Potri.004G048675.6.v4.1 pep chromosome:Pop_tri_v4:4:3920321:3927219:-1 gene:Potri.004G048675.v4.1 transcript:Potri.004G048675.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048675.v4.1 MREKGLLLPRKSRHRLTPGTVKFAAFHVLSLEGSKGLTVLELADKIQKSGLRDLTTSKTPEASISVALTRDQKLFERIAPSTYCVRAAFRKDPADAEAILAAARKKIRIFENGFLGGEVADDVERDEESEGDVDEDPEVDDLATPLSANKSTVPSSKLNTLSVSGKYKVGNDISLTVQNESEKGLSTFSLNGPKDVMTPIIIEQCVAHEDEGTNNGDGQNIEIDESKSGASWIQGLTEGEYSHLSVEERLNALVVLVGIANEGNSIRSVLEDRLEAANALKKQMWAEAQLDRSRLKEEFISKLDFPSLTGGRVETQVASSALEGSQSPLVLVDSKNKEASPSNAEDQKSLAENVENHLSSVLSEKALVVQDLSMNPDNISVQQHGYASKRSRSQLKAYVTHLAEELYIYRSLPLGQDRRRNRYWQFVASASRNDPCSGRIFVELHDGNWRVIDSEEAFDTLLSSLDTRGVRESHLRIMLQKIESSFKENGRRNLWSPNIVCQSGTTDENKKAETDSGNCPADIDDPSSMFCVSSSDTFDTFSLFRIELGRNSAEKKGALKRYLDFQNWMWKDCFNSSTLRAMKFGKKRCEQLLDTCNLCFSSYLSEDTHCLSCHQTFKVDKKNFDFAEHEIQCKEKRFDPGNARAFDSCLPLGIRLLTALLGSIEVSVPQEALESFWMEICRKDWVVKLIMSSSTEELLQRLTVFESAIKRERLSSNFETTKELLGPSASSGSAARDSASLGSVSLLPWMPKTIAAVALRLFELDASIIYVKNEKPEPSTDKSVKVYMKLPSRYSDFKNEEVEIKDVKLEEHNKEEIVDLRGKRSNYKRGRGGRDQGLATKWQRRVPGLKSDTGRQSSSRGIQNLNQGPRQQGRKTNLQASSRGRRTVRKRRVEKTVAKEPLLGRMRRVEKTVAKEPLLGRMTNTVAAPVSYLSKKTAARNSYVKSFRNLDDEDWSAKKGSLNVVGDDNSNSMEEAESDDDVEEEVYEQGNWEPGFNGTSNGWNRNSMEVSDDDGDASNGIAAMGDDDSEGDIEMSDGSDREANRVKNDEGLDYADSDEYSD >Potri.009G014900.2.v4.1 pep chromosome:Pop_tri_v4:9:2628663:2634140:1 gene:Potri.009G014900.v4.1 transcript:Potri.009G014900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014900.v4.1 METRGPPTPSSKFAAYQNPAFSAALTAKSLRPSKSALLFIVSLSSASAFSLLSTISRENGLIEKMSFRIFSQEVAYLFAKAAQAVVGLLFIGSVFSIFKAISLYRVKIAGVRITSPSKDARDQPQLTNRQLGLIGVKPKVEPVVSESSKKPPKSNPTSSASNVLVPIHQLITCSHQKSRVGSDKSNAGSGNKMASFSTPSKSRNSPSFYLVPGANSPLPSVQSSPAIDSAVSTPWSDKRASYTKEIRTEEQLEQFLAEVDEKISESAGKYATPPPTIGGFGMASPNTVASPANTPGVTRSTPLRPVRMSPGSQKFTTPPKIGEGDLPPPMSMEESIEAFKNLGIYPQIERWHDRLRQWFSSVLLNPLLDKIESSHIQVMQAAAKLGISITISQVGSDTPSENTATVSSTDRKEWQPTFSLDEDGLLSQLRATLLQALDASTLKLPLSSLQQSPQQNPMISIMQECVDAITKHQRLLALMRGEWARGLLPHSNVREDYMVQRIRELAEGTCLKNYEYPGSGEVYDKKNKKRTLGLLDDPHLLLYLFCAFLEHPKWMLHVDPASNAGAQSSKNPLFLGVLPPRERFPEKYISVISSAPSMLHPGALVLAVGKQSPTVFALYWDQKLQFSLQGRTALWDSISLLCHRITVGYGAVVRGMHLGSSALRLCPVLESEIED >Potri.004G162800.7.v4.1 pep chromosome:Pop_tri_v4:4:18255194:18261616:1 gene:Potri.004G162800.v4.1 transcript:Potri.004G162800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162800.v4.1 MGSISKEELLKMAKMQMASAREEKILVLVRLRPLSDKEILANEVADWECINDTTILYRNTLREGSTFPSACTFDRVFRGNDTTREVYEAGAKEVALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYMHRHEERAFVLKFSAIEIYNEAIRDLLSTDDTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFLGKENSTTLSATVNFVDLAGSERASQALSTGARLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELRSPAPASSTCDYVSLLRKRDLQIQKMEKEIKELTKQRDLAQSRLEDLLRVVGNGQKSRKENGISHHHNPQTGDAWEDECSVSESSGMGGPHYLNGGVGKFNNARYDGDTGSNDDEEPYLHDNTDDHGLSDGTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRIRSNFEHDSVSNGENEGTLTLTAFREGAIGQGISTPANGDREGSHMQNGFTYDVLEQRLHHVQRTIDALVSPYPDESSPQSVADLTTSRSPNLTRSRSCRENFMSGSSPGFEKAEQIESTPPNGFEKKFTGRPAGSRRKIPPLDFGTSGTMLSRNDSQSSLGSACTDDFRAQSIRTSADEDIPSIHTFVAGLKEMAQEEYEKQLVDAQVQETEAMTGEYDKSSKDVGLDPMHEPLETPRNWPLEFERQQRAILELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVELRRLSFLKETFSQGNQGVGGGRTLTLASSIKALHRERGMLSKMMNKRFSEEERNRLYKKWGIGLSSKRRRLQLANRIWSNTKDIDHVMESAAVVAKLVRFVEQGQALKEMFGLSFTPPTSSTKRRSLGWTYSKSSLL >Potri.004G162800.10.v4.1 pep chromosome:Pop_tri_v4:4:18254732:18261534:1 gene:Potri.004G162800.v4.1 transcript:Potri.004G162800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162800.v4.1 MGSISKEELLKMAKMQMASAREEKILVLVRLRPLSDKEILANEVADWECINDTTILYRNTLREGSTFPSACTFDRVFRGNDTTREVYEAGAKEVALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYMHRHEERAFVLKFSAIEIYNEAIRDLLSTDDTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFLGKENSTTLSATVNFVDLAGSERASQALSTGARLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELRSPAPASSTCDYVSLLRKRDLQIQKMEKEIKELTKQRDLAQSRLEDLLRVVGNGQKSRKENGISHHHNPQTGDAWEDECSVSESSGMGGPHYLNGGVGKFNNARYDGDTGSNDDEEPYLHDNTDDHGLSDGTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRIRSNFEHDSVSNGENEGTLTLTAFREGAIGQGISTPANGDREGSHMQNGFTYDVLEQRLHHVQRTIDALVSPYPDESSPQSVADLTTSRSPNLTRSRSCRENFMSGSSPGFEKAEQIESTPPNGFEKKFTGRPAGSRRKIPPLDFGTSGTMLSRNDSQSSLGSACTDDFRAQSIRTSADEDIPSIHTFVAGLKEMAQEEYEKQLVDAQVQETEAMTGEYDKSSKDVGLDPMHEPLETPRNWPLEFERQQRAILELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVELRRLSFLKETFSQGNQGVGGGRTLTLASSIKALHRERGMLSKMMNKRFSEEERNRLYKKWGIGLSSKRRRLQLANRIWSNTKDIDHVMESAAVVAKLVRFVEQGQALKEMFGLSFTPPTSSTKRRSLGWTYSKSSLL >Potri.004G162800.8.v4.1 pep chromosome:Pop_tri_v4:4:18253761:18261589:1 gene:Potri.004G162800.v4.1 transcript:Potri.004G162800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162800.v4.1 MGSISKEELLKMAKMQMASAREEKILVLVRLRPLSDKEILANEVADWECINDTTILYRNTLREGSTFPSACTFDRVFRGNDTTREVYEAGAKEVALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYMHRHEERAFVLKFSAIEIYNEAIRDLLSTDDTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFLGKENSTTLSATVNFVDLAGSERASQALSTGARLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELRSPAPASSTCDYVSLLRKRDLQIQKMEKEIKELTKQRDLAQSRLEDLLRVVGNGQKSRKENGISHHHNPQTGDAWEDECSVSESSGMGGPHYLNGGVGKFNNARYDGDTGSNDDEEPYLHDNTDDHGLSDGTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRIRSNFEHDSVSNGENEGTLTLTAFREGAIGQGISTPANGDREGSHMQNGFTYDVLEQRLHHVQRTIDALVSPYPDESSPQSVADLTTSRSPNLTRSRSCRENFMSGSSPGFEKAEQIESTPPNGFEKKFTGRPAGSRRKIPPLDFGTSGTMLSRNDSQSSLGSACTDDFRAQSIRTSADEDIPSIHTFVAGLKEMAQEEYEKQLVDAQVQETEAMTGEYDKSSKDVGLDPMHEPLETPRNWPLEFERQQRAILELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVELRRLSFLKETFSQGNQGVGGGRTLTLASSIKALHRERGMLSKMMNKRFSEEERNRLYKKWGIGLSSKRRRLQLANRIWSNTKDIDHVMESAAVVAKLVRFVEQGQALKEMFGLSFTPPTSSTKRRSLGWTYSKSSLL >Potri.004G162800.6.v4.1 pep chromosome:Pop_tri_v4:4:18253795:18261660:1 gene:Potri.004G162800.v4.1 transcript:Potri.004G162800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162800.v4.1 MGSISKEELLKMAKMQMASAREEKILVLVRLRPLSDKEILANEVADWECINDTTILYRNTLREGSTFPSACTFDRVFRGNDTTREVYEAGAKEVALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYMHRHEERAFVLKFSAIEIYNEAIRDLLSTDDTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFLGKENSTTLSATVNFVDLAGSERASQALSTGARLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELRSPAPASSTCDYVSLLRKRDLQIQKMEKEIKELTKQRDLAQSRLEDLLRVVGNGQKSRKENGISHHHNPQTGDAWEDECSVSESSGMGGPHYLNGGVGKFNNARYDGDTGSNDDEEPYLHDNTDDHGLSDGTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRIRSNFEHDSVSNGENEGTLTLTAFREGAIGQGISTPANGDREGSHMQNGFTYDVLEQRLHHVQRTIDALVSPYPDESSPQSVADLTTSRSPNLTRSRSCRENFMSGSSPGFEKAEQIESTPPNGFEKKFTGRPAGSRRKIPPLDFGTSGTMLSRNDSQSSLGSACTDDFRAQSIRTSADEDIPSIHTFVAGLKEMAQEEYEKQLVDAQVQETEAMTGEYDKSSKDVGLDPMHEPLETPRNWPLEFERQQRAILELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVELRRLSFLKETFSQGNQGVGGGRTLTLASSIKALHRERGMLSKMMNKRFSEEERNRLYKKWGIGLSSKRRRLQLANRIWSNTKDIDHVMESAAVVAKLVRFVEQGQALKEMFGLSFTPPTSSTKRRSLGWTYSKSSLL >Potri.004G162800.5.v4.1 pep chromosome:Pop_tri_v4:4:18253850:18261591:1 gene:Potri.004G162800.v4.1 transcript:Potri.004G162800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162800.v4.1 MGSISKEELLKMAKMQMASAREEKILVLVRLRPLSDKEILANEVADWECINDTTILYRNTLREGSTFPSACTFDRVFRGNDTTREVYEAGAKEVALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYMHRHEERAFVLKFSAIEIYNEAIRDLLSTDDTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFLGKENSTTLSATVNFVDLAGSERASQALSTGARLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELRSPAPASSTCDYVSLLRKRDLQIQKMEKEIKELTKQRDLAQSRLEDLLRVVGNGQKSRKENGISHHHNPQTGDAWEDECSVSESSGMGGPHYLNGGVGKFNNARYDGDTGSNDDEEPYLHDNTDDHGLSDGTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRIRSNFEHDSVSNGENEGTLTLTAFREGAIGQGISTPANGDREGSHMQNGFTYDVLEQRLHHVQRTIDALVSPYPDESSPQSVADLTTSRSPNLTRSRSCRENFMSGSSPGFEKAEQIESTPPNGFEKKFTGRPAGSRRKIPPLDFGTSGTMLSRNDSQSSLGSACTDDFRAQSIRTSADEDIPSIHTFVAGLKEMAQEEYEKQLVDAQVQETEAMTGEYDKSSKDVGLDPMHEPLETPRNWPLEFERQQRAILELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVELRRLSFLKETFSQGNQGVGGGRTLTLASSIKALHRERGMLSKMMNKRFSEEERNRLYKKWGIGLSSKRRRLQLANRIWSNTKDIDHVMESAAVVAKLVRFVEQGQALKEMFGLSFTPPTSSTKRRSLGWTYSKSSLL >Potri.004G162800.4.v4.1 pep chromosome:Pop_tri_v4:4:18254713:18261533:1 gene:Potri.004G162800.v4.1 transcript:Potri.004G162800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162800.v4.1 MGSISKEELLKMAKMQMASAREEKILVLVRLRPLSDKEILANEVADWECINDTTILYRNTLREGSTFPSACTFDRVFRGNDTTREVYEAGAKEVALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYMHRHEERAFVLKFSAIEIYNEAIRDLLSTDDTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFLGKENSTTLSATVNFVDLAGSERASQALSTGARLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELRSPAPASSTCDYVSLLRKRDLQIQKMEKEIKELTKQRDLAQSRLEDLLRVVGNGQKSRKENGISHHHNPQTGDAWEDECSVSESSGMGGPHYLNGGVGKFNNARYDGDTGSNDDEEPYLHDNTDDHGLSDGTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRIRSNFEHDSVSNGENEGTLTLTAFREGAIGQGISTPANGDREGSHMQNGFTYDVLEQRLHHVQRTIDALVSPYPDESSPQSVADLTTSRSPNLTRSRSCRENFMSGSSPGFEKAEQIESTPPNGFEKKFTGRPAGSRRKIPPLDFGTSGTMLSRNDSQSSLGSACTDDFRAQSIRTSADEDIPSIHTFVAGLKEMAQEEYEKQLVDAQVQETEAMTGEYDKSSKDVGLDPMHEPLETPRNWPLEFERQQRAILELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVELRRLSFLKETFSQGNQGVGGGRTLTLASSIKALHRERGMLSKMMNKRFSEEERNRLYKKWGIGLSSKRRRLQLANRIWSNTKDIDHVMESAAVVAKLVRFVEQGQALKEMFGLSFTPPTSSTKRRSLGWTYSKSSLL >Potri.004G162800.9.v4.1 pep chromosome:Pop_tri_v4:4:18254701:18261534:1 gene:Potri.004G162800.v4.1 transcript:Potri.004G162800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162800.v4.1 MGSISKEELLKMAKMQMASAREEKILVLVRLRPLSDKEILANEVADWECINDTTILYRNTLREGSTFPSACTFDRVFRGNDTTREVYEAGAKEVALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYMHRHEERAFVLKFSAIEIYNEAIRDLLSTDDTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTIESSAREFLGKENSTTLSATVNFVDLAGSERASQALSTGARLKEGCHINRSLLTLGTVIRKLSKGRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQSRNTLLFACCAKEVTTKAQVNVVMSDKALVKHLQKEVARLESELRSPAPASSTCDYVSLLRKRDLQIQKMEKEIKELTKQRDLAQSRLEDLLRVVGNGQKSRKENGISHHHNPQTGDAWEDECSVSESSGMGGPHYLNGGVGKFNNARYDGDTGSNDDEEPYLHDNTDDHGLSDGTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRIRSNFEHDSVSNGENEGTLTLTAFREGAIGQGISTPANGDREGSHMQNGFTYDVLEQRLHHVQRTIDALVSPYPDESSPQSVADLTTSRSPNLTRSRSCRENFMSGSSPGFEKAEQIESTPPNGFEKKFTGRPAGSRRKIPPLDFGTSGTMLSRNDSQSSLGSACTDDFRAQSIRTSADEDIPSIHTFVAGLKEMAQEEYEKQLVDAQVQETEAMTGEYDKSSKDVGLDPMHEPLETPRNWPLEFERQQRAILELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVELRRLSFLKETFSQGNQGVGGGRTLTLASSIKALHRERGMLSKMMNKRFSEEERNRLYKKWGIGLSSKRRRLQLANRIWSNTKDIDHVMESAAVVAKLVRFVEQGQALKEMFGLSFTPPTSSTKRRSLGWTYSKSSLL >Potri.007G094900.6.v4.1 pep chromosome:Pop_tri_v4:7:12015654:12021967:1 gene:Potri.007G094900.v4.1 transcript:Potri.007G094900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G094900.v4.1 MAIIPCGSTSIAQWGIRPQFMIRSYMPNRMLTAHYGINNKMSYLAAPSSSLFSRDSFPVLSYTGTSQTSNGRRGARFVVRADSDFYSVLGVSKNASKPEIKSAYRKLARSYHPDVNKEPDAEQKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGGRASQNRAVDGQDEYYNLVLTFKEAVFGVEKEIEITRLESCGTCDGSGAKPGTKPSKCSTCGGQGQVVSSARTPLGVFQQVMTCSSCGGSGETFSPCNTCSGDGRVRRTKRISLKVPAGVDYGSRLRVRSEGNAGRRGGSPGDLFVIIEVMPDPVLKRDDTNILYTCKVSYIDAILGTTIKVPTVDGMVDLKIPAGAQPNTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSSEERKLIEELADLSKGKAATSRR >Potri.007G094900.1.v4.1 pep chromosome:Pop_tri_v4:7:12014445:12022264:1 gene:Potri.007G094900.v4.1 transcript:Potri.007G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G094900.v4.1 MAIIPCGSTSIAQWGIRPQFMIRSYMPNRMLTAHYGINNKMSYLAAPSSSLFSRDSFPVLSYTGTSQTSNGRRGARFVVRADSDFYSVLGVSKNASKPEIKSAYRKLARSYHPDVNKEPDAEQKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGGRASQNRAVDGQDEYYNLVLTFKEAVFGVEKEIEITRLESCGTCDGSGAKPGTKPSKCSTCGGQGQVVSSARTPLGVFQQVMTCSSCGGSGETFSPCNTCSGDGRVRRTKRISLKVPAGVDYGSRLRVRSEGNAGRRGGSPGDLFVIIEVMPDPVLKRDDTNILYTCKVSYIDAILGTTIKVPTVDGMVDLKIPAGAQPNTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSSEERKLIEELADLSKGKAATSRR >Potri.007G094900.5.v4.1 pep chromosome:Pop_tri_v4:7:12014551:12022263:1 gene:Potri.007G094900.v4.1 transcript:Potri.007G094900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G094900.v4.1 MAIIPCGSTSIAQWGIRPQFMIRSYMPNRMLTAHYGINNKMSYLAAPSSSLFSRDSFPVLSYTGTSQTSNGRRGARFVVRADSDFYSVLGVSKNASKPEIKSAYRKLARSYHPDVNKEPDAEQKFKEISNAYEVLSDDEKRSLYDKYGEAGLKGAGMGMGDFSNPFDLFESLFEGMGGMGGMGGRASQNRAVDGQDEYYNLVLTFKEAVFGVEKEIEITRLESCGTCDGSGAKPGTKPSKCSTCGGQGQVVSSARTPLGVFQQVMTCSSCGGSGETFSPCNTCSGDGRVRRTKRISLKVPAGVDYGSRLRVRSEGNAGRRGGSPGDLFVIIEVMPDPVLKRDDTNILYTCKVSYIDAILGTTIKVPTVDGMVDLKIPAGAQPNTTLVMAKKGVPVLNKSNMRGDQLVRVQVEIPKRLSSEERKLIEELADLSKGKAATSRR >Potri.010G132850.1.v4.1 pep chromosome:Pop_tri_v4:10:14898559:14899912:-1 gene:Potri.010G132850.v4.1 transcript:Potri.010G132850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132850.v4.1 MEGLESFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTISASDEWWKQKIQEIRGAKKFRHVGIEQSLKNKFDRMYSNIVATGAYAWAPSSGVPADSDVDPGTSNADIAHDGLEEGSGDSEEDVIPDFQTDMARMVGGINMSSSSNTKSGDKRKERDHYDVRDRKKKTSGIQLLSRCNQLLESISTKSDSTSVNLDREGCSIREVMAELHSIPGVSIEDEFHDFATEYLSLRRKREMWASMGDKEQKLRWLQRMYARTKRA >Potri.002G141000.1.v4.1 pep chromosome:Pop_tri_v4:2:10579079:10581164:-1 gene:Potri.002G141000.v4.1 transcript:Potri.002G141000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141000.v4.1 MDAPLPENNTINDSIHLQNVVVMRHGDRIDSLEPLWVTTATRPWDPPLVEAGRIRAFCTGRKLKTDLGFPIHRVFVSPFLRCIQTASEVVSALCAVDDGPDVVSSLGVTIDSSKLKVSIEYGLCEMLNREAIRSDCAPKDGNFGFNISELEAMLPTGTVDHAVKPVYEELPQWEETVMGARTRYEQIIKALTDKYPSENLLLVTHGEGVGVSVSAFLKDISVYEVDYCAYSQLRRSVVHEKKSFTAGEFEVLTHNGQSGISYCSANPMATGAM >Potri.019G017302.1.v4.1 pep chromosome:Pop_tri_v4:19:2803475:2803789:-1 gene:Potri.019G017302.v4.1 transcript:Potri.019G017302.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017302.v4.1 MEIYSYLLAFIIFHGMILSQTSCMLNAISVKIKLPPIPRRPPRLPPLPPLPRRRPRRPSPRPPPPSKVLNDGLHTSLPPPQKILPPPHTYGSPRYPPSPPPQRS >Potri.018G110300.3.v4.1 pep chromosome:Pop_tri_v4:18:12814949:12819032:1 gene:Potri.018G110300.v4.1 transcript:Potri.018G110300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110300.v4.1 MEKKRRSWACTFVLQVSLLIAFYLALNLGQPQKSIFQNRNGTSSSRRPLDVYFLSVRGGYRPLKQQNLLLKQMEKVASFYRARFVVNVSELGEGDPLTQNASRLFPPQKVPWYSTKVSNDGKVGCFLEHVNITSGKMLTVVGLDTGSFQDSMPMGSTSDFKNRQLNWLTQSLEATTDNWIIVSGFHPVVICDKEQLEAKQINGPLHNIFMKHGVNVYMSSQGCTSRTVQGGVAHIGIADPTGSEPLVNLNGRLAIQKEVINDGFLLHRVSSLEITTYFVSSAGEIVNEAVITQHGKEVV >Potri.006G231600.3.v4.1 pep chromosome:Pop_tri_v4:6:23471342:23473081:-1 gene:Potri.006G231600.v4.1 transcript:Potri.006G231600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231600.v4.1 MEEQVSMTIKDYDEEDILDDAATSKTEDSSDHHDIFTHFARNGMIKIGKETEEYVSMKTQFLMGMKQYANDTEVIALHKNMGFTPLKLARFFAFKSFEKVILQKRGARGDAKVDHGWFGASKEEIIQIISYGFSRCNGQSHGLGVYLSPFEFLLDAVKFTIADENDMRYMLLCHLTMGNMEVIPAGSKQVYPSSVEFDTGVDNLEAPRRLIVWSAFMNSHICPAYIITFKAPFFGFVLSRDQISELPGITLSFHGSALTFPALFPILVKVIGPAKAGLIYKSLVDRKKCKITQEQMIQTTRRIIGNDRRLIAIIKSSIDKIIS >Potri.006G231600.1.v4.1 pep chromosome:Pop_tri_v4:6:23470580:23473081:-1 gene:Potri.006G231600.v4.1 transcript:Potri.006G231600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231600.v4.1 MEEQVSMTIKDYDEEDILDDAATSKTEDSSDHHDIFTHFARNGMIKIGKETEEYVSMKTQFLMGMKQYANDTEVIALHKNMGFTPLKLARFFAFKSFEKVILQKRGARGDAKVDHGWFGASKEEIIQIISYGFSRCNGQSHGLGVYLSPFEFLLDAVKFTIADENDMRYMLLCHLTMGNMEVIPAGSKQVYPSSVEFDTGVDNLEAPRRLIVWSAFMNSHICPAYIITFKAPFFGFVLSRDQISELPGITLSFHGSALTFPALFPILVKVIGPAKAGLIYKSLVDRKKCKITQEQMIQTTRRIIGNDRRLIAIIKSSIDKAVASASSSKDDGRVNK >Potri.013G127500.1.v4.1 pep chromosome:Pop_tri_v4:13:13502159:13505121:1 gene:Potri.013G127500.v4.1 transcript:Potri.013G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127500.v4.1 MENKEENRKALCKHIPDLLSSFVDTFVDFSVSGGLFLPSQNPSLDPRNPHQETPLSLQTRYPAPDRLIAIGDLHGDLEKSKQALRLAGLIDGSDKWAGGSATAVQVGDVLDRGDDEIQILYFLEKLKREAMKDGGNFITMNGNHEIMNIEGDFRYVTKLGLKEFEDWAYWYCLGNEMKSLCVGLEKPKDIYDGIPLNFRGVDSEVLQGIRARIAALRPNGPIANKFLSKNVTVLVVGDSIFVHGGLLAQHVEYGLERINEEVRDWISGLMGKAAPRYCRGRNAVVWLRKYSDVEKNCDCSMLEHVLATVPGVKRMIMGHTIQEDGINVACNNRAVRIDVGMSKGCGDGLPEVLEINQNSDLRVLTSNPLYQSKHKSYLDADTKEGLGLLITESGSKQVEVKA >Potri.005G058501.1.v4.1 pep chromosome:Pop_tri_v4:5:3699920:3704631:-1 gene:Potri.005G058501.v4.1 transcript:Potri.005G058501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058501.v4.1 MQLGQYNMNLVGDFPSMSSLINQSFGNGGSSSGLSGLESNPISMVANDVGFSSSSDGFNMVNQLGAAAQKYQASAQNASSNSSSPDLESNCNMFLASACQLAKALEIPLVNDLGYTKRYVRCLQISEVVNCMKDLIDYTAQEAGKGPIESLAQFPRRTRPFSGLHSSDQQPPEKKQHTKPTSNDDLHSVQASVMHPSTSNGVASANNSLGTSSTSSSAIAVARLFHQNSVNSRIENQMTSPGSPYTGTFVQIPSAVSSTTLTLAQLNPSSPFSCLTPSSSNNPQSSHNMLAASTAINHVSSAHSPVQTPMEQSSQSNEVDPNEFQSSVEKIIQEMMISSQFSGAGGMVSVDYEGNDIKDINRVTRSTQNVFTSGPCLEGNVMGNKKSGTMDGGFGSLNGQNHISASTSRFTAAMGNVSSTLSGRFAMPVMDHDAGMNHQQQALAYQLRLGAVNRFLDNQFD >Potri.009G036201.1.v4.1 pep chromosome:Pop_tri_v4:9:4576413:4579107:-1 gene:Potri.009G036201.v4.1 transcript:Potri.009G036201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036201.v4.1 MIAVVVGTRLCRHRSSSYASVTDMTNVAVGKFNSIEASRSFDVEGRGLGPATCGFDNDNPNDLKQK >Potri.003G006751.1.v4.1 pep chromosome:Pop_tri_v4:3:714877:715618:-1 gene:Potri.003G006751.v4.1 transcript:Potri.003G006751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006751.v4.1 MESCCIHKKHHHECLSGMMVILANSKVSICSLNNVQAQHFSDSVKSHKNGASLVIKLSTTVSRSMAEDEIQHCPAQKDKIKYCSVLCVFSMPDLANEKT >Potri.006G034700.3.v4.1 pep chromosome:Pop_tri_v4:6:2194239:2198739:1 gene:Potri.006G034700.v4.1 transcript:Potri.006G034700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034700.v4.1 MDSTTQQAVAAINEKESMVDPFLVEALQNPRHRLTILRMELDIQRFLQNPDQQLFEFQHFPTSYLRLAAHRVAHHYGLITMVQDNGIDGLGNKILVQKTAQSRYPAVCLTAIPAKQLESDKPEKIKLAIRPRPNKGSINDPNGFGVKRNPVRSVEERKEDYDRARARIFSSPSSPTAEDTVPELPTDSKNLSSSKDENEESRNSVVDPEKNVFIRDSMSSRVAIFRDREKDRTDPDYDRSYDRYVRSLPANQSFSLTPFNMQKIPHPFMQYDAGFPQMGQMPRTQASLGYQPAAGPVMSPFCALGSNQTSRDAAYVQWPSAAMMYAHSYEQFRHAAFQAPFCQQPLSFDYSQNH >Potri.006G034700.4.v4.1 pep chromosome:Pop_tri_v4:6:2194273:2198713:1 gene:Potri.006G034700.v4.1 transcript:Potri.006G034700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034700.v4.1 MDSTTQQAVAAINEKESMVDPFLVEALQNPRHRLTILRMELDIQRFLQNPDQQLFEFQHFPTSYLRLAAHRVAHHYGLITMVQDNGIDGLGNKILVQKTAQSRYPAVCLTAIPAKQLESDKPEKIKLAIRPRPNKGSINDPNGFGVKRNPVRSVEERKEDYDRARARIFSSPSSPTAEDTVPELPTDSKNLSSSKDENEESRNSVVDPEKNVFIRDSMSSRVAIFRDREKDRTDPDYDRSYDRYVRSLPANQSFSLTPFNMQKIPHPFMQYDAGFPQMGQMPRTQASLGYQPAAGPVMSPFCALGSNQTSRDAAYVQWPSAAMMYAHSYEQFRHAAFQAPFCQQPLSFDYSQNH >Potri.001G400100.5.v4.1 pep chromosome:Pop_tri_v4:1:42644518:42645117:-1 gene:Potri.001G400100.v4.1 transcript:Potri.001G400100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400100.v4.1 MSSTCDNCDCADKTQCVKKGSSYTAGIVETEKNYVSSVVMEVPAAENDGKCNCGTGCTCTTCTCGH >Potri.004G083200.1.v4.1 pep chromosome:Pop_tri_v4:4:6872140:6878133:-1 gene:Potri.004G083200.v4.1 transcript:Potri.004G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G083200.v4.1 MEERLKHLTLVGAGALLGSVSTLFLLKLLPRSIIKECSKSVGELNAGKGIVSEMSVRSGVDVDSNSGMPDSDLLADEIVSEQLTRNIQFFGFEAQQKVTTSYVVVIGLGGVGSHAASMLLRSGVGRLLLVDFDQVSVSSLNRHAVATRADVGIPKAECLKKHFSTIFPECHIEAKVLLYDASTEEKILSGHPDFVLDCIDNIDTKVALLAACVRRGLKVLSATGAGARADPTRIRVADLRESTNDPLSRAVRYRLRKDHGIEGGIPVVFSLEKPKAKLLPFKGPSGEEENPSDYQVVPGFRVRIIPVLGTIPAIFGQVMASYVVTQLTGLNVHPEPIVNLDLDHYRVLHQRLIEHEESLFGTAVQVQVDVEEVMYVAKELWHGRSARDQFAKDVGRGMWRSVNELMLVRWDKEKPASVSNLILLKFKEADEHELRTLEEIKELEPEFYERVESALKRAQLDFGL >Potri.001G270300.1.v4.1 pep chromosome:Pop_tri_v4:1:28459351:28462117:-1 gene:Potri.001G270300.v4.1 transcript:Potri.001G270300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270300.v4.1 MWAIGLVVVALVVIYYTHMIFKWRSPKIEGVLPPGSMGWPLIGETLQFISPGKSLDLHPFVKKRMQKYGPIFKTSLVGRPIIVSTDYEMNKYILQHEGTLVELWYLDSFAKFFNLEGETRVNAIGAVHKYLRSITVNHFGVESLKESLLPKIEDMLHTTLAKWASQGPVDVKQVISVMVFNFTANKIFGYDAENSKETLSKNYTKILNSFISLPLNIPGTSFHKCMQEREKMLKLLKDTLMERLNGPSKRRGDFLDQAIDDMKTKKFLTVDFIPQLMFGILFASFESMSTTLTLTFKFLTENPRVVEELRAEHEAIVKKRENPNSRLTWEEYRSMTFTQMVVNETLRISNIPPGLFRKALKDFQVKGYTVPAGWTVMLVTPAIQLNPDTFKDPVTFNPWRWKDLDQVTISKNFMPFGGGTRQCAGAEYSKLVLSTFLHVLVTSYSFTKVKGGDVSRTPIISFGDGIHIKFTARN >Potri.003G042700.1.v4.1 pep chromosome:Pop_tri_v4:3:4833956:4836857:-1 gene:Potri.003G042700.v4.1 transcript:Potri.003G042700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G042700.v4.1 MGASGNWLKSLITLKNPLTTTDQRDNKGNKKKWRLWRSPSEGYIQTSIKGSKRVHVASSESSDSSLVADDAFTAAMATVARAPPRDFMMVKQEWAAIRIQTAFRGLLARRATRALKAVVRLQAIFRGRKVRKQAAVTLRCMQALVRVQARVRAQTVSMAEAQATQNVLNECMCQADPIKQAEKRWCDSPGTVDKVKKKLQMRTEGAIKRERAIAYSLSQQKSRSNCASPCRRTSKSALSLKNQSLNNSSPGWSWLERWMATKPWEDRLVEEFHTKSSEIPFSRKSEDNIASFYFSKHDSEKVRRNNVATGILAKPPIVNHVTRSSSTPSSESLYNESSLSTASTSPSPIPILNDMLVEEGSYNQKPAYMNLTESTKLKQKNSRHSSQNIQRQMMDDKFLMKSMELLDEDSKSSADSNPSFHLSRDLYPPLPLGRHDEIRNRRF >Potri.008G205900.6.v4.1 pep chromosome:Pop_tri_v4:8:15174060:15183345:1 gene:Potri.008G205900.v4.1 transcript:Potri.008G205900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205900.v4.1 MHGSEMYGRELVDLRKENRWEFLNTLFSVSEAVAYMQMVDRYDEGAISAEICPPDYKGLYKAVGKALFRAHVEGQLKSEIMSNPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMKHSFNRFLPNDMGWRDLFDMVIISARKPEFFQMSHPLYEVVTGEGLMRPCFKARKGGLYSGGSAQMVENSLDIHGDEILYVGDHIYTDVSQSKVHQLWRTALICRELEEEYSALIHSRDHRATLIELINQKEVVGDLFNQLRLALQRRNTGRPAQTLAATNMDDQELTESMQKLLIVMQRLDEKIAPMLEADGELFSERWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSYSHYQAQINGSAVDSTGAN >Potri.008G205900.1.v4.1 pep chromosome:Pop_tri_v4:8:15174110:15183451:1 gene:Potri.008G205900.v4.1 transcript:Potri.008G205900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205900.v4.1 MFMTAEVLGKFFLLQKDTLCGFPMAAAATTNSLLFVRPRPMFANNIKRSQMMMACCCSVGEQVFSVTPSNNYEVDYLGQSTKGDLNLNFDHLDAVGIDGPATLQGPIEEVARKKAEEAENLLNDLGISSPSSARNSSRGIFCSRTLNLRSISAIGYDMDYTLIHYNVKAWEGRAYDYCMGNLQSIGFPVDGLAFDPDLVIRGLVIDKEKGNLVKADRFGYVKRAMHGTRMLSTQDVSEMYGRELVDLRKENRWEFLNTLFSVSEAVAYMQMVDRYDEGAISAEICPPDYKGLYKAVGKALFRAHVEGQLKSEIMSNPELFVEPDPELPLALLDQKEAGKKLLLITNSDYHYTDKMMKHSFNRFLPNDMGWRDLFDMVIISARKPEFFQMSHPLYEVVTGEGLMRPCFKARKGGLYSGGSAQMVENSLDIHGDEILYVGDHIYTDVSQSKVHQLWRTALICRELEEEYSALIHSRDHRATLIELINQKEVVGDLFNQLRLALQRRNTGRPAQTLAATNMDDQELTESMQKLLIVMQRLDEKIAPMLEADGELFSERWGFLSRAGLWDKSHLMRQIEKYADIYTSRVSNFLHYTPFMYFRSQEQTLAHDSYSHYQAQINGSAVDSTGAN >Potri.006G097100.1.v4.1 pep chromosome:Pop_tri_v4:6:7401326:7404349:1 gene:Potri.006G097100.v4.1 transcript:Potri.006G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097100.v4.1 MEYSNWLIRFMLLAVCLLPALVECRIRHYKFNVVMKNTTRLCSRKPIVTVNGRFPGPTLYAREHDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQSYVYNFTITGQRGTLLWHAHILWLRATVHGAMVVLPKRGIPYPFPAPHKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGAVSACSSQGGFTLPVKSGETYMLRLINAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTVLIAPGQTTNVLVTTNKNTGKYLVAASPFMDAPIAVDNMTATATLQYSGALANSPTTLTTPPPKNATAVANQFTNSLRSLNSRRFPAKVPLNVDHNLFFTVGLGVNPCPSCKAGNGSRVVASINNVTFVMPTTALLQAHFFNISGVFTTDFPAKPPHVFNYTGTPPTNLQTKSGTKVYRLSYNSTVQLVMQDTGIISPENHPIHLHGFNFFAVGRGVGNYNPKTDTKKFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPKESLLPPPSDLPKC >Potri.016G139200.1.v4.1 pep chromosome:Pop_tri_v4:16:14235451:14238223:-1 gene:Potri.016G139200.v4.1 transcript:Potri.016G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139200.v4.1 MMMLSFSVALTWSLLLLFLHHSVAALLSDRQALLEFASAVPHSPKLNWNSSTPICTSWVGIACNTEGSRVVAVHLPGVGLYGPIPAKTLGKLDSLTILSLRSNFLSGDLPADLLSLPSLHSIYLQHNNFSGNIPSSFSPRTSMLDLSFNSFTGLIPAAMQNLTRLTSLSLQNNLLSGPIPEFNISGITQLNLSYNLLNGSIPAALQKFPTSSFEGNNMLCGPPLKLCNASTPSPSPSPTSLPPPPTVTKRPSDGSKKKLSRGYIIGTAVGSSALLLFLLVMIVVCCVKKKSGESNGVLKGKGGRTEKPSKDFGSGVQEAEKNKLVFFEGCSCNFDLEDLLRASAEVLGKGSYGTTYKAILEEGMTVAVKRLKEVVVGKKEFEQQMEIVGSVARHPHVMPLRAYYYSKDEKLLVYDYAPSGSFFTVLHGNREVGRTALDWESRVKICLGTAKGIAYIHSACGGKFIHGNIKSSNVLLMQDLHGCISDFGLTPLLSYPSVPSRSAGYRAPEVIDTRKSTQKSDVYSFGVVLLEMLTGKAPVQSPGHDDVIDLPRWVQSVVREEWTAEVFDEELMRYQNIEEEMVEMLQIAMACVAVVPDMRPTMEEVVRMIEEVGSSESENRPSSEENKGSDT >Potri.002G117100.1.v4.1 pep chromosome:Pop_tri_v4:2:8863276:8864799:1 gene:Potri.002G117100.v4.1 transcript:Potri.002G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117100.v4.1 MKTKAHNQSKFMRVVAIPVRLLCKARDVYVKSIIDCSTRMGYGHSMALPTGQYPPLPWSFSIGSSRCNDNEDYRKLVRAASDRSLGQRNEIEMFIQQLRQQQSSIMVGSKMFLPKSCGFGMGIMGRIDGDKPCDFETGAGAVVKPQLGPRSRSFAVGRKG >Potri.016G009400.5.v4.1 pep chromosome:Pop_tri_v4:16:438876:442208:-1 gene:Potri.016G009400.v4.1 transcript:Potri.016G009400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009400.v4.1 MENHDSPRVPLLSPPHRVAEIEKISPEDEVSTDVPCNDEAGNAASDIKLQLENIANIVKGRDLDSLHNIGGVKRVAVVLETDLENGITGDIEDLRQRRANAVYKTPVHAARNFLELLLKSGNTYTIFLLIVSAALSLGFGIKEEGMRTGWYEGVIIILAIIILVIERAVRDFLGENPENLLEEQRQRRKGEMEVDVIRAGKPLKVSDLDLVIGDIVSLKRGCPIPGDGLFVSGEYLKLDESFPSNVNKQNPFLFYGAKVIEGQGNMMVTSMGLNTTLGEMISKASKRRLPVQLDKVSKHTEIAGLATSILILVVLFLRFKLGKEKEDLSLPEIKGEHKTKEVMELIKRIVRKPSGEISALTPCLATFLVGVVGGVPFVISLAIYYWNKKILSTKAIVQEQLTIVTMGSVTAICIDKTAWLTMNPQEVDERWIDETVTREDSAIPEVKEAFCFGISTSSSNDQDKCLKETIDAVRACRNAGVKIMLVSEDGESVIEDIAQKYGMLSGPGILEHGGETFRSFSDEQRKDVVNEICVMGNSLPSDKLLLVRCLKQQGHIVAFVGVRTDDAPSLKEADVGIVTGTGSSELVNGSSELIILDRSFGFLASILNGGRCINGNIHKYIQVEVTITISGLLISIVTTIIFGNAPLEAIQMIWVNLVVAVLGGLALLTEPPSQKLMEKPPIRPSEPFITNAMWRNIIIQASYQVSILLAFQFKGQAILNINEEVSKTMIFNSFLLCQLSNQFNASEQKLKNLGKGIQQNLWFWVASVLTVVLQVVFIEISHHIFGFARLNGPQWSICFLIGALSWVTDGAVNLTWGVIKGKLTRPSSQPPRSTGILELPLRAENSSPTAS >Potri.016G009400.4.v4.1 pep chromosome:Pop_tri_v4:16:438859:443321:-1 gene:Potri.016G009400.v4.1 transcript:Potri.016G009400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009400.v4.1 MTSSSETSQEMDLESQETGRGISAPRKFFRRVAWVLLAVRTLSSKAYKDKKENRDSPRVPLLSPSHRNLEEAEIEKISPEDEVSIEVPCNDGAGDAAIVEKISKGPAIPALGSVLRSAILVLRAGHVFFSKAHSDKMENHDSPRVPLLSPPHRVAEIEKISPEDEVSTDVPCNDEAGNAASDIKLQLENIANIVKGRDLDSLHNIGGVKRVAVVLETDLENGITGDIEDLRQRRANAVYKTPVHAARNFLELLLKSGNTYTIFLLIVSAALSLGFGIKEEGMRTGWYEGVIIILAIIILVIERAVRDFLGENPENLLEEQRQRRKGEMEVDVIRAGKPLKVSDLDLVIGDIVSLKRGCPIPGDGLFVSGEYLKLDESFPSNVNKQNPFLFYGAKVIEGQGNMMVTSMGLNTTLGEMISKASKRRLPVQLDKVSKHTEIAGLATSILILVVLFLRFKLGKEKEDLSLPEIKGEHKTKEVMELIKRIVRKPSGEISALTPCLATFLVGVVGGVPFVISLAIYYWNKKILSTKAIVQEQLTIVTMGSVTAICIDKTAWLTMNPQEVDERWIDETVTREDSAIPEVKEAFCFGISTSSSNDQDKCLKETIDAVRACRNAGVKIMLVSEDGESVIEDIAQKYGMLSGPGILEHGGETFRSFSDEQRKDVVNEICVMGNSLPSDKLLLVRCLKQQGHIVAFVGVRTDDAPSLKEADVGIVTGTGSSELVNGSSELIILDRSFGFLASILNGGRCINGNIHKYIQVEVTITISGLLISIVTTIIFGNAPLEAIQMIWVNLVVAVLGGLALLTEPPSQKLMEKPPIRPSEPFITNAMWRNIIIQASYQVSILLAFQFKGQAILNINEEVSKTMIFNSFLLCQLSNQFNASEQKLKNLGKGIQQNLWFWVASVLTVVLQVVFIEISHHIFGFARLNGPQWSICFLIGALSWVTDGAVNLTWGVIKGKLTRPSSQPPRSTGILELPLRAENSSPTAS >Potri.016G009400.3.v4.1 pep chromosome:Pop_tri_v4:16:438881:443340:-1 gene:Potri.016G009400.v4.1 transcript:Potri.016G009400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009400.v4.1 MTSSSETSQEMDLESQETGRGISAPRKFFRRVAWVLLAVRTLSSKAYKDKKENRDSPRVPLLSPSHRNLEEAEIEKISPEDEVSIEVPCNDGAGDAAIVEKISKGPAIPALGSVLRSAILVLRAGHVFFSKAHSDKMENHDSPRVPLLSPPHRVAEIEKISPEDEVSTDVPCNDEAGNAASDIKLQLENIANIVKGRDLDSLHNIGGVKRVAVVLETDLENGITGDIEDLRQRRANAVYKTPVHAARNFLELLLKSGNTYTIFLLIVSAALSLGFGIKEEGMRTGWYEGVIIILAIIILVIERAVRDFLGENPENLLEEQRQRRKGEMEVDVIRAGKPLKVSDLDLVIGDIVSLKRGCPIPGDGLFVSGEYLKLDESFPSNVNKQNPFLFYGAKVIEGQGNMMVTSMGLNTTLGEMISKASKRRLPVQLDKVSKHTEIAGLATSILILVVLFLRFKLGKEKEDLSLPEIKGEHKTKEVMELIKRIVRKPSGEISALTPCLATFLVGVVGGVPFVISLAIYYWNKKILSTKAIVQEQLTIVTMGSVTAICIDKTAWLTMNPQEVDERWIDETVTREDSAIPEVKEAFCFGISTSSSNDQDKCLKETIDAVRACRNAGVKIMLVSEDGESVIEDIAQKYGMLSGPGILEHGGETFRSFSDEQRKDVVNEICVMGNSLPSDKLLLVRCLKQQGHIVAFVGVRTDDAPSLKEADVGIVTGTGSSELVNGSSELIILDRSFGFLASILNGGRCINGNIHKYIQVEVTITISGLLISIVTTIIFGNAPLEAIQMIWVNLVVAVLGGLALLTEPPSQKLMEKPPIRPSEPFITNAMWRNIIIQASYQVSILLAFQFKGQAILNINEEVSKTMIFNSFLLCQLSNQFNASEQKLKNLGKGIQQNLWFWVASVLTVVLQVVFIEISHHIFGFARLNGPQWSICFLIGALSWVTDGAVNLTWGVIKGKLTRPSSQPPRSTGILELPLRAENSSPTAS >Potri.016G009400.2.v4.1 pep chromosome:Pop_tri_v4:16:438878:443321:-1 gene:Potri.016G009400.v4.1 transcript:Potri.016G009400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009400.v4.1 MTSSSETSQEMDLESQETGRGISAPRKFFRRVAWVLLAVRTLSSKAYKDKKENRDSPRVPLLSPSHRNLEEAEIEKISPEDEVSIEVPCNDGAGDAAIVEKISKGPAIPALGSVLRSAILVLRAGHVFFSKAHSDKMENHDSPRVPLLSPPHRVAEIEKISPEDEVSTDVPCNDEAGNAASDIKLQLENIANIVKGRDLDSLHNIGGVKRVAVVLETDLENGITGDIEDLRQRRANAVYKTPVHAARNFLELLLKSGNTYTIFLLIVSAALSLGFGIKEEGMRTGWYEGVIIILAIIILVIERAVRDFLGENPENLLEEQRQRRKGEMEVDVIRAGKPLKVSDLDLVIGDIVSLKRGCPIPGDGLFVSGEYLKLDESFPSNVNKQNPFLFYGAKVIEGQGNMMVTSMGLNTTLGEMISKASKRRLPVQLDKVSKHTEIAGLATSILILVVLFLRFKLGKEKEDLSLPEIKGEHKTKEVMELIKRIVRKPSGEISALTPCLATFLVGVVGGVPFVISLAIYYWNKKILSTKAIVQEQLTIVTMGSVTAICIDKTAWLTMNPQEVDERWIDETVTREDSAIPEVKEAFCFGISTSSSNDQDKCLKETIDAVRACRNAGVKIMLVSEDGESVIEDIAQKYGMLSGPGILEHGGETFRSFSDEQRKDVVNEICVMGNSLPSDKLLLVRCLKQQGHIVAFVGVRTDDAPSLKEADVGIVTGTGSSELVNGSSELIILDRSFGFLASILNGGRCINGNIHKYIQVEVTITISGLLISIVTTIIFGNAPLEAIQMIWVNLVVAVLGGLALLTEPPSQKLMEKPPIRPSEPFITNAMWRNIIIQASYQVSILLAFQFKGQAILNINEEVSKTMIFNSFLLCQLSNQFNASEQKLKNLGKGIQQNLWFWVASVLTVVLQVVFIEISHHIFGFARLNGPQWSICFLIGALSWVTDGAVNLTWGVIKGKLTRPSSQPPRSTGILELPLRAENSSPTAS >Potri.007G072100.1.v4.1 pep chromosome:Pop_tri_v4:7:9412536:9415367:-1 gene:Potri.007G072100.v4.1 transcript:Potri.007G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072100.v4.1 MIHTMISPSINNNLTSLSSSLSDDVAGYFISRLFFLRDIQILELLLALVVFIAIHSLRQKKHCGLPVWPVLGMLPSLVSGLQCNMYEWISDVLCDRNGTFRFKGPWFSSLNCVVTADPRNLEHLLKTKFPNYPKGQYFRDTLGDLLGGGIFNADDEKWQRQRKTASIEFHSAKFRQLTTDSLLELVHSRLLPVLENAENNSMSIDMQDILLRLTFDNVCMIAFGVDPGCLRPGLPDIPFARAFEDATEATLLRFVTPTCIWKVMRFLDLGSEKKLKRSIKDVDEFAEDVIRTRKKELSLQSENDKEKQRSDLLTVFMGLKDENGKPFSDRFLRDICVNFILAGRDTSSVAMSWFFWLLDSHPTVEEKILAEICKIISEREDLDTKTPLVFRPEEIKKMDYLQAALSEALRLYPSVPVDHKEVVEDDIFPDGTELKKGTKVIYAIYAMGRMEAVWGSDCREFKPERWLRIIDGRFMSESAYKFTAFNGGPRLCLGKDFAYYQMRFAVASILYRYHVKVVKDHPVVPKLALTMYMKHGLKVNLVKREESELQKYLNIK >Potri.018G118062.1.v4.1 pep chromosome:Pop_tri_v4:18:13352616:13362189:1 gene:Potri.018G118062.v4.1 transcript:Potri.018G118062.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118062.v4.1 MGFNRFSLPAVAVIMMMNAMLLSQGCLEEERIALLQIKTSFAEYPNLKSPVLSWGKDALCCSWEGVTCSNSTTRRVIEIDLFLARDRSMGDWHLNASIFLPFQELNVLDLTGNRIAGCVANEGFERLSRLAKLETLDLNLNNFNNSIISSLKGLSSLKHLYLGYNRLQGSIDTKEFDSLSNLEMLWLYENKIQEFVTLRGSEEPSRLDKLETLYLGHNNFNNSILSSLKGLSSLKYLYLDGNQLQGSINMKEFDSLSNLEVLSLTANEIQEFVALTGSEEPSRLDKLEFLDLDDNNFNNSILSSLKGLSSLKHLYLDHNQLQGSINMKEFDSLSNLEELWLAGNTIQDFVALTGSEEPSRLDKLETLYLGHNNFNNSILSSLKGLSSLKYLYLDGNQLQGSINMKEFDSLSNLEELWLAGNTIQDFVALTDSEEPSRLTKLEVLYLSSNYLNNSIISSLKGLSSLKYLYLDGNQLQGSINMKGLCELKHLQKLDISHNNLNGYLPWCLSNLTNLQVLDISFNDFTGNISLSPIGSLTSIQDLRLSHNHFKIPISLGPFFNLSKLKHLNGDHNEIYESTELVHNLIPRFQLQWLSLECTGSGGTFPKSLYYQHDLQFVDLSHIKMTGEFPSWLLQNNTKLEGLYLVNNSLSGSFQLANHSLVRLSHLDISRNRIHNQIPTEIGACFPRLVFLNLSRNDFDGSIPSSISNMSLLKVLDLSNNNLSGNIPEQLVEGCLSLEVIMLSNNYFEGQLFWKNFNLTYLTELILRGNQLTGILPNSLSSCSALEALDVSNNNLSGKVPRWIGNMSSLEYLDLSENNLFGSLPSSFCSSRMMTEVYLSKNKLEGSLIDAFDGCMSLNRLDLSHNYFRGKIPESIGSLFQLSFLLLGYNNLEGEIPSQLCKLEKLSLIDLSHNNLCGHILSCLQPSSKWYREREASINPSDSAPGPIMPFAAAPIPLEDPSVNKAFEFTTKSRSYSFKGIILTYFSGIDLSCNNLTGEIPFELGYLGNIQVLNLSHNSLTGPIPPTFSNLKEIESMDLSYNNLNGEIPRQLLELNFLSAFSVAYNNLSGKTPEMVGQFSTFNKSSYEGNPLLCGPPLTNNYIGEISPSPLPRYKTDKNEENSFIDIEAFFVTFSVAYIMVLLAIGAILYINPHWRRSWFYFIGKSINNCYFYLVDNLLVPAKFRRFQPCV >Potri.007G087000.4.v4.1 pep chromosome:Pop_tri_v4:7:11205330:11209497:-1 gene:Potri.007G087000.v4.1 transcript:Potri.007G087000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087000.v4.1 MVDLVSYPVQRHYALIIWELLKAYSECGNSAEIISVQNAWLSQQNQVPKAVSDAKGGEISENEGTSDDSQDGFPPLERNMNHLNFQESEDESE >Potri.015G088200.4.v4.1 pep chromosome:Pop_tri_v4:15:11174768:11180666:1 gene:Potri.015G088200.v4.1 transcript:Potri.015G088200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088200.v4.1 MKATEKVTDEDTIKIFCPRLVLIKNEPGLQWLIGSPFFPPFTIISTLKSIHTSSSSSFPDFIKESDDLRTLLIKGLDVIGALVAGGSSSDDGEKNAREAIGWARKLREFVIGGGGENLENRELIGAVAGLSGGDVKFFVERNGNVTAFDSVVYEENQENFVWERGCLFRCNLPIKMPVYFPVKNEADAEKMYLRAAEAVASSFKDPLATYMVERLSKDISSVPQPVIIRGVDLDFNTDLTNIKLVDDKAAQDSDAKKLTCAHFCLKSKSSPGLISVENADVIQVSVLFNSSGKSPKSSAPLAEYFPALEEARLFFVNFELEVLCYVAKDLLLMSAISKLIVPALVDQFNSMKKAVLPKLLVQHPQLCSYHFNPPGLLHPITVIYELNYGETELKQVEVRRSLHTRLGLPFDRPLLRIANALDFSMMKDGSGNSSKRKGPSLLKDVHIGIPSSGVSGGVVSLVQGSYEYHHYLQDGFDDSVMKLSFSGRFFVLNIHPKL >Potri.015G088200.1.v4.1 pep chromosome:Pop_tri_v4:15:11174768:11180659:1 gene:Potri.015G088200.v4.1 transcript:Potri.015G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088200.v4.1 MKATEKVTDEDTIKIFCPRLVLIKNEPGLQWLIGSPFFPPFTIISTLKSIHTSSSSSFPDFIKESDDLRTLLIKGLDVIGALVAGGSSSDDGEKNAREAIGWARKLREFVIGGGGENLENRELIGAVAGLSGGDVKFFVERNGNVTAFDSVVYEENQENFVWERGCLFRCNLPIKMPVYFPVKNEADAEKMYLRAAEAVASSFKDPLATYMVERLSKDISSVPQPVIIRGVDLDFNTDLTNIKLVDDKAAQDSDAKKLTCAHFCLKSKSSPGLISVENADVIQVSVLFNSSGKSPKSSAPLAEYFPALEEARLFFVNFELEVLCYVAKDLLLMSAISKLIVPALVDQFNSMKKAVLPKLLVQHPQLCSYHFNPPGLLHPITVIYELNYGETELKQVEVRRSLHTRLGLPFDRPLLRIANALDFSMMKDGSGNSSKRKGPSLLKDVHIGIPSSGVSGGVVSLVQGSYEYHHYLQDGFDDSGWGCAYRSLQTIISWFRLQHYTSIDVPSHREIQQALVEIGDKDPSFIGSREWIGAIELSFVLDKLLGVSCKIINVRSGAELPEKCRELALHFENQGTPIMIGGGVLAYTLLGVDYNEVSGDCAFLILDPHYTGNDDHKKIVNGGWCGWKKAVDSKGRNFFLHDKFYNLLLPQRPSMV >Potri.010G113800.1.v4.1 pep chromosome:Pop_tri_v4:10:13345907:13348596:-1 gene:Potri.010G113800.v4.1 transcript:Potri.010G113800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113800.v4.1 MGNSLGWKKTAKVMKISGETFKFKTPVKAGEVVKDYPGHVLLESEAVKHYGIRAKPLEAHQDLVPKRLYFLVELPKTPTEKVPRRVRSGINMSAKDRLESLMLSRRSTSDLSIMKPASIEAEEAKSGAMRVKMRLPKAEVEKLMQESKDETEAAAKIVDLCMANTAGGSNNSSREIAQNGQESALLQQQVHWKSAGHGRVSSQGIIKAREKRVSFLPVNEGETQIAVASY >Potri.010G194700.1.v4.1 pep chromosome:Pop_tri_v4:10:18902213:18903745:-1 gene:Potri.010G194700.v4.1 transcript:Potri.010G194700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194700.v4.1 MATFPISRSTLPFNLLVLVLHLLCPISTFSLVTLTSKNPNFDPQITLLGDAKVSNDGSHVLLTSPFPSSSGLLMYKHPFKFPSFSPAKATSFSTEFSFSISGKGNGLSLLMGSRNFALRVLGQGKSGFLSEKSYLGVEIGSLSDSNVGDFNASLVAVGVSNASSSVHLETNTGEKLKSWVDYKVSLKRLEVRLSKVGDERPHNPTIAYSIDLSKTWGDNEVYVALQSFNGKSLETCIVYSWRFRLRKVPNRMHSLPADPHGYVDEPNEELRVHKRRFCPLSVLAGIIFVTGCGAMLAFAVLFVWAVFVNRHTVFPTKGNVKPVDFKYEKISVVVEKDGEVVKS >Potri.001G252600.1.v4.1 pep chromosome:Pop_tri_v4:1:26889364:26892803:1 gene:Potri.001G252600.v4.1 transcript:Potri.001G252600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252600.v4.1 MCSGTKRKPTHTGFNMENEFRKEDGVCYDFSGLLELSALNDLIGFKKAIEEEGHDVDMPGLWYGRRIGSKKMGFEERTPLMIAALFGSKDVLNYILETGHVDVNRGYGSDGATALHCAAAGGSSSAPEVARLLLDASADPNSVDANGNLPGDLIAPVVKSGSNSRRKTLEIMLKGGTSGEETCVLADQIADEMDGMEQQEVPMPRVSKDGSEKKEYPIDLTLPDIKNGMYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETGCTRRVCFFAHKPEELRPLYASTGSAVPSPRSYSANGSTFDMSSISPLSLGSSSVLMPSTSTPPMTPSGSSSPMGGWTNQSNVVPPALQLPGSRLKAAFCARDMDLDMELLGLESHRRRQQLMDEISGLSSPSSWNNGLSTASAFTASGDRTGELNRLGGVRPTNLEDMFGSLDPSILPQLQGLSLDGSTTHLQSPTGLQMRQNINQQLRSSYPTSFSSSPVRTSPSFGMDHSGGAAAAVLSSRSAAFAKRSQSFVERNAVNRHPVFSSPAKVMPPNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSDGSSFATAAASVPATVGEPDVSWVQSLVKDTPPVKPGPLGLEEQQQQQQCHLNIGGSEMLPAWVEQLYIEQEPLVA >Potri.001G252600.2.v4.1 pep chromosome:Pop_tri_v4:1:26889364:26892722:1 gene:Potri.001G252600.v4.1 transcript:Potri.001G252600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252600.v4.1 MFLKVLSVYNLVEKRRCGGDFVMCSGTKRKPTHTGFNMENEFRKEDGVCYDFSGLLELSALNDLIGFKKAIEEEGHDVDMPGLWYGRRIGSKKMGFEERTPLMIAALFGSKDVLNYILETGHVDVNRGYGSDGATALHCAAAGGSSSAPEVARLLLDASADPNSVDANGNLPGDLIAPVVKSGSNSRRKTLEIMLKGGTSGEETCVLADQIADEMDGMEQQEVPMPRVSKDGSEKKEYPIDLTLPDIKNGMYGTDEFRMYTFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCRQGDACEYAHGIFECWLHPAQYRTRLCKDETGCTRRVCFFAHKPEELRPLYASTGSAVPSPRSYSANGSTFDMSSISPLSLGSSSVLMPSTSTPPMTPSGSSSPMGGWTNQSNVVPPALQLPGSRLKAAFCARDMDLDMELLGLESHRRRQQLMDEISGLSSPSSWNNGLSTASAFTASGDRTGELNRLGGVRPTNLEDMFGSLDPSILPQLQGLSLDGSTTHLQSPTGLQMRQNINQQLRSSYPTSFSSSPVRTSPSFGMDHSGGAAAAVLSSRSAAFAKRSQSFVERNAVNRHPVFSSPAKVMPPNLSDWGSPDGKLDWGIQGEELNKLRKSASFGFRSDGSSFATAAASVPATVGEPDVSWVQSLVKDTPPVKPGPLGLEEQQQQQQCHLNIGGSEMLPAWVEQLYIEQEPLVA >Potri.003G014176.1.v4.1 pep chromosome:Pop_tri_v4:3:1594428:1594979:1 gene:Potri.003G014176.v4.1 transcript:Potri.003G014176.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014176.v4.1 MSVYYAFSSNNRGGGRKYNGSRGQSYTSNTSNYNYRGRGRGSRYGYNGRHNSTNSEKPQCQLCGKFGHTVHVCYHRFDMSYQSSQNNGASSLNVGNQNSIPTMVASSNNLADDNWYLDSRASHHLTLNVGNLTNSIPYTSTDKVTVGNGKHLSISNTGSHHLVSNSHSFKLRMVFHVHLSQPT >Potri.004G007200.2.v4.1 pep chromosome:Pop_tri_v4:4:437795:438730:1 gene:Potri.004G007200.v4.1 transcript:Potri.004G007200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007200.v4.1 MQLPITKEEPSSLISFFSINTSAILHSSTSKPFISTPFFLINSSSPYISSYLLLRTHYITHTHTMKFLKIFFLLAMVLGCLAITLSATPSEEDQSSLDFINNDDEGNSDLPWPENEETTSSLRGANRFLAQTRAVMTCDKYPRVCRVIGSPGPDCCKKKCVNVITDRLNCGMCGNKCKYPEICCKGQCVNPMSNKKNCGGCSNKCKKGSTCQYGMCSYA >Potri.015G058700.3.v4.1 pep chromosome:Pop_tri_v4:15:8114364:8122437:-1 gene:Potri.015G058700.v4.1 transcript:Potri.015G058700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058700.v4.1 MATQETAPASGPSAEVVGNAFVEQYYHILHESPELVHRFYQDSSSLSRPNTDGFMTTVTTMQAINDKILSLNYKDYTAEIKTADAQESHEKGVIVLVTGCLTGKDDVKKKFTQTFFLAPQEKGYFVLNDVFRFVGENEPMPNTSALANGIVESAPPALTAESGWDDVVEPAKSGWDDVVEPAKSGWDDVVEPDPTQATDHLTVDPATSFEEEDLNNGSEVCDHSDKEDGSVIDIEVVEPVTDSTQNEILATINAAPASLEDAPKISYASILKVMKGNTPHPVHFSMTKMRAAPISIEQQSANSAKSAAPEALASAGSSAGETSDVHEEAEGHSIFVKNLPFDATVEQLEEAFKHFGPIKHGGIQVRSSKQGFCFGFVEFETMSSMQGALEASPINIGDHQAIVEEKRTNTRVGSSSGRGRYSSGRSGFRSDSFKSRGNFFGGRGHGRNEFKNQGEFSGRPRGSTGYAGEDYQQGYQSGSRRGGRQGGTKSSSFST >Potri.018G056301.1.v4.1 pep chromosome:Pop_tri_v4:18:5566251:5571587:-1 gene:Potri.018G056301.v4.1 transcript:Potri.018G056301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056301.v4.1 MLLPLHQEMIPISNFRMDDHLLGILQRLVVWLEFSLQLYSYLECKARVPSFTAQRIHPPVYMTKEAIAVVQGQHSNHATSKGYSYSDVGQ >Potri.015G062400.1.v4.1 pep chromosome:Pop_tri_v4:15:8698513:8704242:-1 gene:Potri.015G062400.v4.1 transcript:Potri.015G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062400.v4.1 MTGIRLQQEESADLTQIRAAGTGGDLVSDDDRSVAADSWSIKSDYGSTLDDDQRHADAAEALSAAANCRAASDYSSDKEELDAEGVASMLGLQSYWDAAYADELANFHEHGHAGEVWFGADVMDVIASWTKGLCFEISQGCIPNHVDDIKSETVEESDKYLSSWSVLDIGTGNGLLLHELAKQGFSDLTGVDYSEGAINLARRLADRDGFSNINLLVDDVLETKLNRQFQLVMDKGTLDAIGLHPDGAIKRIMYWESVSKLVAVGGILVITSCNNTKDELVQEVENFNQRRIDVSLESESMKGHEASRDPPFRYLNHVRTYPTFMFGGSVGSRVATVAFLRN >Potri.015G062400.2.v4.1 pep chromosome:Pop_tri_v4:15:8701254:8704008:-1 gene:Potri.015G062400.v4.1 transcript:Potri.015G062400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062400.v4.1 MTGIRLQQEESADLTQIRAAGTGGDLVSDDDRSVAADSWSIKSDYGSTLDDDQRHADAAEALSAAANCRAASDYSSDKEELDAEGVASMLGLQSYWDAAYADELANFHEHGHAGEVWFGADVMDVIASWTKGLCFEISQGCIPNHVDDIKSETVEESDKYLSSWSVLDIGTGNGLLLHELAKQGYTLRFC >Potri.018G017400.2.v4.1 pep chromosome:Pop_tri_v4:18:1184358:1188135:-1 gene:Potri.018G017400.v4.1 transcript:Potri.018G017400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017400.v4.1 MDPLETQELVGKSPTDSSKQALPISEQDQGRQSSETCARASPLSIFMEPFQWLQMLSSQLNPTFIFGVVVVYGLSQGFSGSFFKVVTDFYWKDVQKVQPSAVQLYIGLYYIPWVMKPIWGLLTDVFPVRGYKRRPYFLVAGVLGCVSALMVATLGKVPIAVALSCLIGITAGVAIADVTIDACIAKNSIEIRSLAPDMQSLCRICSSLGALIGYSSSGFFVHHLGPQASLVLLAVPPTILIVLGFVIYEVRSTTLQSEKKKAVEDLQIALRGMSKTIKLPQVWKPSLYMYLSLALSISTHEGQFYWYTDPKAGPAFSQEFVGMIYAVGALASIAGVLIYQKTLKDYPFRSLLLYAQLLYGMTGMLDVIFFLRWNLVIGIPDYFFVIMEECVSRIISRIRWIPMIVLSTRLCPLGIEGTFFALLMCIDSLGSLSSKWGGGVVLHLFHVTRTDFTNLWLAILIRNFLRFATIGLIFLVPKGDQEDDLIPPDILTANSDASLDDDGLELAPVKETSEEARIFAIISDTPPQDRAAVSKTNLEPQLFCTMLRQKLGGKIRKLILSVKMKNFQHAFLNHIMDKMQVNLKVFHARMLPWIKTKFSSTQVVTE >Potri.002G133800.1.v4.1 pep chromosome:Pop_tri_v4:2:10089983:10093490:-1 gene:Potri.002G133800.v4.1 transcript:Potri.002G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133800.v4.1 MSGATKLQSATKQVHEPPRAILGPTGNRARVLEEARRKIEVLRKSQQKPKKPVEKMSQAAVKNNLSVDSTCSSDSSSSSSGVSSSSGKNVKHYGIKKVEDVRNGREIKDVSSKKERPVKRCDWITPNSDPLYMSFHDEEWGVPVYDDRKLFELLVYSQALAELSWPAILHMRDIFWKLFDNFDPSSIAQFTEKKLLSLRFNGNLLLSEPKLRAVVENAKQMLKIQQEFGSFSNYCWRFVNQKPLRNGFRYARQVPVKTPKAELISKDLMQRGFRCVGPTAVYSFMQVAGFVNDHLKACFRYQECNVDVKKDFKPKSEETEMVTKALGNTCVSHD >Potri.012G035800.1.v4.1 pep chromosome:Pop_tri_v4:12:3230339:3232006:-1 gene:Potri.012G035800.v4.1 transcript:Potri.012G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035800.v4.1 MEDTVVLYPSQGHLSSMLELGKLILKHRPSVSVTFVMSNPSTELVSANPFITFIPLPEVSLPTPITSFLDLVASFFEIPKLNNPDLHQTLSSLSASSNIKALIIDFFCSPAFEFLSSRLDIPIYYFNSSGACGLSMFLYMPTLDKNTTESLKDLDILVEVPGAPKVPSKDIPPVLCDRSHRVYQYFVDTGKQMFRSAGVVVNTFESLEPNACKAIQERKCIPNEPLPPLFCVGPLAITGESRKENECLTWLDSQPSRSVLYLCFGSMGVLSSSQLKEMAIGLEKSGVRFLWAVRAPKEDGQTQARKTGSIATEPCLESIFPEGFLDRTKDRGFIVKSWAPQLAILNHGSVGGFVTHCGWKSILEAVCAGVPMLGWPLYAEQKMNSVFLVEEMKVGLAVKLADEDDFVSAAELEERVTELMNSKKGEALRERVKALREAAVVAKSEGGSTYVAMERLVESFK >Potri.012G035800.2.v4.1 pep chromosome:Pop_tri_v4:12:3230316:3231812:-1 gene:Potri.012G035800.v4.1 transcript:Potri.012G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035800.v4.1 MLELGKLILKHRPSVSVTFVMSNPSTELVSANPFITFIPLPEVSLPTPITSFLDLVASFFEIPKLNNPDLHQTLSSLSASSNIKALIIDFFCSPAFEFLSSRLDIPIYYFNSSGACGLSMFLYMPTLDKNTTESLKDLDILVEVPGAPKVPSKDIPPVLCDRSHRVYQYFVDTGKQMFRSAGVVVNTFESLEPNACKAIQERKCIPNEPLPPLFCVGPLAITGESRKENECLTWLDSQPSRSVLYLCFGSMGVLSSSQLKEMAIGLEKSGVRFLWAVRAPKEDGQTQARKTGSIATEPCLESIFPEGFLDRTKDRGFIVKSWAPQLAILNHGSVGGFVTHCGWKSILEAVCAGVPMLGWPLYAEQKMNSVFLVEEMKVGLAVKLADEDDFVSAAELEERVTELMNSKKGEALRERVKALREAAVVAKSEGGSTYVAMERLVESFK >Potri.012G102900.2.v4.1 pep chromosome:Pop_tri_v4:12:12539177:12542628:1 gene:Potri.012G102900.v4.1 transcript:Potri.012G102900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102900.v4.1 MSFSSSTMRTVVSKIIGVVLVMVLLLAILISTSSFLSGSGKDEGGFMGLSHMFLHEEGNYSVSALHRKLLVRSLAMEEPNRIGEKCTSADIVISQGPTAPLSSGIPTYTVQIMNMCATGCDISRVHLNCGWFSSARLIDPKIFKRLRYNDCLVNDGKPLVTGGILTFEYANTFSYPLSVSSISCH >Potri.012G102900.3.v4.1 pep chromosome:Pop_tri_v4:12:12539762:12542628:1 gene:Potri.012G102900.v4.1 transcript:Potri.012G102900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102900.v4.1 MSFSSSTMRTVVSKIIGVVLVMVLLLAILISTSSFLSGSGKDEGGFMGLSHMFLHEEGNYSVSALHRKLLVRSLAMEEPNRIGEKCTSADIVISQGPTAPLSSGIPTYTVQIMNMCATGCDISRVHLNCGWFSSARLIDPKIFKRLRYNDCLVNDGKPLVTGGILTFEYANTFSYPLSVSSISCH >Potri.012G102900.5.v4.1 pep chromosome:Pop_tri_v4:12:12540353:12542628:1 gene:Potri.012G102900.v4.1 transcript:Potri.012G102900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102900.v4.1 MSFSSSTMRTVVSKIIGVVLVMVLLLAILISTSSFLSGSGKDEGGFMGLSHMFLHEEGNYSVSALHRKLLVRSLAMEEPNRIGEKCTSADIVISQGPTAPLSSGIPTYTVQIMNMCATGCDISRVHLNCGWFSSARLIDPKIFKRLRYNDCLVNDGKPLVTGGILTFEYANTFSYPLSVSSISCH >Potri.013G011800.1.v4.1 pep chromosome:Pop_tri_v4:13:759782:763360:-1 gene:Potri.013G011800.v4.1 transcript:Potri.013G011800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011800.v4.1 MSSLVLRFAKLLRVPSPVIMPTSSLGVGLQRSLRSCYSTVSFNSDNEEGKNDKVEEEFDDLLGDRRESRFQGVDPRKGWEFRGVHRAIICGKVGQAPVQKILRNGRTVTIFTVGTGGMFDQRIIGSKDLPKPAQWHRIAVHNDSLGAYAVQQLAKNSSVYVEGDIEIRVYNDSISGEVKNIPEICVRRDGKIRLIRSGENISNISFEDLREGLFS >Potri.001G186400.1.v4.1 pep chromosome:Pop_tri_v4:1:16642156:16642455:-1 gene:Potri.001G186400.v4.1 transcript:Potri.001G186400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186400.v4.1 MVCIGIIFGCKHSRSIKDYVLSALVIVTILICLRIFCYAIYRLLKKEQPRHGEDRRGGLEMQPENASHAHRICTCRALLESNFVSSAEDGVASFVVQHN >Potri.010G137100.2.v4.1 pep chromosome:Pop_tri_v4:10:15173378:15176640:-1 gene:Potri.010G137100.v4.1 transcript:Potri.010G137100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137100.v4.1 MVKALILCSVSLSLLLLFQLFSICGNAKNTKLVPALYIFGDSTVDAGNNNNLSTTARAISLPYGIDFNHTATGRFTNGLTVPDYFARFLGLPFAPPYMNLSELERRTTTTGLNFASASSGILPETGSFTGSPLTLDNQTDLFKITAKTLDVQNIKVHLAKSIFFISIGSNDYIMNYRNIASKMNKLFSPDYFAKFLTEELVKRLKKLYLIGARKFVVTGLGPVGCIPAIAKSTPHEGDCAESFNQALLSYNKELFMKLSKLQSQLYGSFFVHTDTFKFLHELKENKEKYGITDTQNACWDGKHDPCAVRDRYIYFDSAHPSQITNSIFAGRCFNESSICTPMNVMQLVSA >Potri.010G137100.3.v4.1 pep chromosome:Pop_tri_v4:10:15173377:15176640:-1 gene:Potri.010G137100.v4.1 transcript:Potri.010G137100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137100.v4.1 MVKALILCSVSLSLLLLFQLFSICGNAKNTKLVPALYIFGDSTVDAGNNNNLSTTARAISLPYGIDFNHTATGRFTNGLTVPDYFARFLGLPFAPPYMNLSELERRTTTTGLNFASASSGILPETGSFTGSPLTLDNQTDLFKITAKTLDVQNIKVHLAKSIFFISIGSNDYIMNYRNIASKMNKLFSPDYFAKFLTEELVKRLKKLYLIGARKFVVTGLGPVGCIPAIAKSTPHEGDCAESFNQALLSYNKELFMKLSKLQSQLYGSFFVHTDTFKFLHELKENKEKYGITDTQNACWDGKHDPCAVVVLTSHQYALP >Potri.001G216900.2.v4.1 pep chromosome:Pop_tri_v4:1:22509007:22517634:1 gene:Potri.001G216900.v4.1 transcript:Potri.001G216900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216900.v4.1 MGLLLREVLKILCGVNQWCYAVFWKIGCQNPKLLIWEECHYEPTSCSVPPSTSGTENLALPFGEWEGHFGSDAHSSQLGIQAGGRLCSLINKMMVNNQVNIVGEGIVGRVAFTGNHEWILANNYSKDAHPPEVLNEVHHQFSAGMQTIAVIPVCPHGVLQLGSSLAILENIGFVNNVKSLILQLGCVPGALLSDNHMEKEPTERIGMPISCGMALPVCFSGTYKVPSSTPSLADSCNQQIISSQEASRIVGQPSCSQTRQVQDDQHATSSAIHIPNATGILAKSCDDFREPKITSLMKPDNPFMGQLANGVVGAEVIPSNPGAWLNHQTASSSSRLGFNHRPIISQSNTNSSILKLLEQQIFSDVGAQNHVSHYKNESNGLTMAHPRTNEGHFLTSTGGSHISGQLPSEVGTKRRANSNLCSLLKPQKLADINHSSTLLAGGGTQNVGSSRAEDDHLSGLLDQSSASGILSGGSNLEYPYTDVKPTKKEATTMEKKIEGDLFQALNVQLTQPGEHVYLGENVLGPVNDCLMSASGSQNTVTVNAKREEPCAQPPSGDDLYDILGVEFKNKLLNGKWNNLLGDEPCVKTQDMVKDASTFMSIREANSDLFSLTGGVSDSNMFSDLGTDHLLDAVVSKAHAAAKQSSDDNVSCRTTLTKISMPSFPGGSATYGRIGMCDQVQRELISLPKRAGTIASSSFRSGCSKDDVGTCSQTTSIYGSQLSSWVEQGHNAGHDCSVSTAFSKKNDETSKPNRKRLKAGENPRPRPKDRQMIQDRVKELREIVPNGAKCSIDALLERTIKHMLFLQSVTKHADKLKQTGDSKLLNKESGLLLKENFEGGATWAFEVGSQSMVCPIIVEDLNPPRQMIVEMLCEERGFFLEIADLIRGLGLTILKGVMETRNDKIWARFAVEANRDVTRMEIFMSLVQLLEQTVKGSAPPVGALENGNTMVHHTFPQATSIPATGMPSSLQ >Potri.013G092250.1.v4.1 pep chromosome:Pop_tri_v4:13:9462047:9465154:-1 gene:Potri.013G092250.v4.1 transcript:Potri.013G092250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092250.v4.1 MDTAASQSPSSPSIMFYDFLYKMRNPASLNLVKSIKSFIVSFLFSYASPENNSKKVQEFFWTMEAAIIEHPVDENNTLSFPYLSVVCPPKLLLIQAYNPCHLLVSMMDCVPLLLEALSQLKFIEVIDLEIDQEISEMIHFLQSFLRSEHLDIPAFLQNEASWLLAEKELQKINAFEAREKLLCIMSCCMIINNLLLNSTM >Potri.004G053700.1.v4.1 pep chromosome:Pop_tri_v4:4:4350279:4350673:1 gene:Potri.004G053700.v4.1 transcript:Potri.004G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053700.v4.1 MASLRCYLCVLLILLSFAQSEARPLDPSVVRRNLIRTIRALGESEAVGDQCASKRVSPGGPDAKHH >Potri.007G003000.1.v4.1 pep chromosome:Pop_tri_v4:7:198310:202863:-1 gene:Potri.007G003000.v4.1 transcript:Potri.007G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003000.v4.1 MADGGPRYTVDEALVTVGFGKFQFLVLLYAGMGWVSEAMEVMILSFVGPAVHSKWGLTSHEESLITTVVFAGMLVGAYTWGVISDKYGRRKGFFVTAIMTSVAGFLSAFAPNYIALLISRCLVGFGIGGGPVLLAWFLEFVPAPNRGTWMVVFSAFWTVGTIFEGGLAWIIMPRLGWRWLLALSALPSFLLLVFYTLTPESPRYLCLKGRKNEALIILKKIAKLNGKELPPGVVVAGNEIELQGNNHLPESKDKDVCAAPPPPPPPKQKDSHMGVFKSVLIIFSPRLVRSTLLLWVVIFANAFSYYGLVLLTTELNDRSNTCHQTKKQSQKPANINYKQVFITSFAEFPGLILSALVIDRLGRKLSMAAMFFVCCIFLLPLVVHQSTGVTTTLLFGARTCITGTFTIVYIYAPELYPTFMRTTGVGVASSVSRIGGMVCPLVAVSLVQGCHQTAAVVFFASIVFVAGICVLLFPFETKGLDLADSLSGTKQEKPQDSETGRALI >Potri.005G115100.1.v4.1 pep chromosome:Pop_tri_v4:5:8404821:8409617:-1 gene:Potri.005G115100.v4.1 transcript:Potri.005G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115100.v4.1 MGEGREGDWECSGCQNRNYAFRSFCNRCKQPRLLVDNKTPPDSKWLPRIGDWICTGCTNNNYASREKCKKCGQPKEVAAMPAIAMPGVSLPTYSHYFARSPGGPDQRLNIGLEGNGALQQPVPLNSSWSGGGADNYGVQQTSTWPLGGKQMSGHPYANPLNQPPPPIPKGWRNGDWLCNCGFHNYSSRAQCKKCNASLPPVGTKRLASQEFFQDWENKRLNSGNTDGQPQPYPSFNQMAGTNGDQSSGVYVSYPTYSTELAPNWQAPVQIPLQITTPALLGKGAKQWRNGDWMCANCNNHNYASRAQCNRCKTQRDVVAQPVPQPVNVV >Potri.005G115100.3.v4.1 pep chromosome:Pop_tri_v4:5:8404823:8409617:-1 gene:Potri.005G115100.v4.1 transcript:Potri.005G115100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115100.v4.1 MAAMWVACVLGNGSIGCTNNNYASREKCKKCGQPKEVAAMPAIAMPGVSLPTYSHYFARSPGGPDQRLNIGLEGNGALQQPVPLNSSWSGGGADNYGVQQTSTWPLGGKQMSGHPYANPLNQPPPPIPKGWRNGDWLCNCGFHNYSSRAQCKKCNASLPPVGTKRLASQEFFQDWENKRLNSGNTDGQPQPYPSFNQMAGTNGDQSSGVYVSYPTYSTELAPNWQAPVQIPLQITTPALLGKGAKQWRNGDWMCANCNNHNYASRAQCNRCKTQRDVVAQPVPQPVNVV >Potri.015G013100.4.v4.1 pep chromosome:Pop_tri_v4:15:845297:849846:1 gene:Potri.015G013100.v4.1 transcript:Potri.015G013100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL7 MGCYLSKRTKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSSSVIDDGLIHKEELQLALFRNKNKRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKIHFAFRLYDLRQTGFIEREELKEMVMALLHESDLLLSDDCVETIVDKTFSDADLKGDGKIDPDEWKEFVSKNPSLIKNMTLPHLKDITLAFPSFVSKTEVEDSEI >Potri.015G013100.9.v4.1 pep chromosome:Pop_tri_v4:15:846408:849846:1 gene:Potri.015G013100.v4.1 transcript:Potri.015G013100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL7 MGCYLSKRTKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSSSVIDDGLIHKEELQLALFRNKNKRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKIHFAFRLYDLRQTGFIEREELKEMVMALLHESDLLLSDDCVETIVDKTFSDADLKGDGKIDPDEWKEFVSKNPSLIKNMTLPHLKDITLAFPSFVSKTEVEDSEI >Potri.015G013100.7.v4.1 pep chromosome:Pop_tri_v4:15:845311:849846:1 gene:Potri.015G013100.v4.1 transcript:Potri.015G013100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL7 MGCYLSKRTKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSSSVIDDGLIHKEELQLALFRNKNKRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKIHFAFRLYDLRQTGFIEREELKEMVMALLHESDLLLSDDCVETIVDKTFSDADLKGDGKIDPDEWKEFVSKNPSLIKNMTLPHLKDITLAFPSFVSKTEVEDSEI >Potri.015G013100.10.v4.1 pep chromosome:Pop_tri_v4:15:846981:849846:1 gene:Potri.015G013100.v4.1 transcript:Potri.015G013100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL7 MGCYLSKRTKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSSSVIDDGLIHKEELQLALFRNKNKRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKIHFAFRLYDLRQTGFIEREELKEMVMALLHESDLLLSDDCVETIVDKTFSDADLKGDGKIDPDEWKEFVSKNPSLIKNMTLPHLKDITLAFPSFVSKTEVEDSEI >Potri.015G013100.2.v4.1 pep chromosome:Pop_tri_v4:15:845066:849858:1 gene:Potri.015G013100.v4.1 transcript:Potri.015G013100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL7 MGCYLSKRTKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSSSVIDDGLIHKEELQLALFRNKNKRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKIHFAFRLYDLRQTGFIEREELKEMVMALLHESDLLLSDDCVETIVDKTFSDADLKGDGKIDPDEWKEFVSKNPSLIKNMTLPHLKDITLAFPSFVSKTEVEDSEI >Potri.015G013100.8.v4.1 pep chromosome:Pop_tri_v4:15:845401:849846:1 gene:Potri.015G013100.v4.1 transcript:Potri.015G013100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL7 MGCYLSKRTKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSSSVIDDGLIHKEELQLALFRNKNKRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKIHFAFRLYDLRQTGFIEREELKEMVMALLHESDLLLSDDCVETIVDKTFSDADLKGDGKIDPDEWKEFVSKNPSLIKNMTLPHLKDITLAFPSFVSKTEVEDSEI >Potri.015G013100.6.v4.1 pep chromosome:Pop_tri_v4:15:845297:849846:1 gene:Potri.015G013100.v4.1 transcript:Potri.015G013100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CBL7 MGCYLSKRTKKTPGYEEPTVLAAETPFTVNEVEALYELFKKLSSSVIDDGLIHKEELQLALFRNKNKRNLFADRIFDLFDVKRNGVIEFGEFVRSLGVFHPNAPVEDKIHFAFRLYDLRQTGFIEREELKEMVMALLHESDLLLSDDCVETIVDKTFSDADLKGDGKIDPDEWKEFVSKNPSLIKNMTLPHLKDITLAFPSFVSKTEVEDSEI >Potri.011G166900.1.v4.1 pep chromosome:Pop_tri_v4:11:19075408:19080267:1 gene:Potri.011G166900.v4.1 transcript:Potri.011G166900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166900.v4.1 MDQKLQVAGTQSQLEMGSLAANESSHEFEDESLFSPESVIQKMQLGHISEYGSAPGGFQPGGSKMWKNVVYKSIKTVVFSNKLNVLIAFGPLTMLVHILTGHNGSVFLLSLLGIIPLAERLGYATEQLAMYTGPTVGGLLNATFGNATELIIAIYALRNGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFYRKEQVFNKASAIVNSGLLLMAVMGLLFPAVLHFTHTEVHYGKSELALSRFSSCIMLGVYAAYLFFQLKSRKDPYIPLSEDESQNRENEGDNDETPEIGKWESVIWLLIMTAWISVLSEYLVDAIEGTSHAWNIPIAFIGVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVVGWIMGKPMDLNFQLFETATLFITVIVVAFFLQEGSSNYFKGLMLILCYVIVAASFFVHEDPPPEDKSLTP >Potri.011G166900.5.v4.1 pep chromosome:Pop_tri_v4:11:19075362:19080254:1 gene:Potri.011G166900.v4.1 transcript:Potri.011G166900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166900.v4.1 MDQKLQVAGTQSQLEMGSLAANESSHEFEDESLFSPESVIQKMQLGHISEYGSAPGGFQPGGSKMWKNVVYKSIKTVVFSNKLNVLIAFGPLTMLVHILTGHNGSVFLLSLLGIIPLAERLGYATEQLAMYTGPTVGGLLNATFGNATELIIAIYALRNGMIRVVQLSLLGSILSNMLLVLGCAFFCGGLVFYRKEQVFNKASAIVNSGLLLMAVMGLLFPAVLHFTHTEVHYGKSELALSRFSSCIMLGVYAAYLFFQLKSRKDPYIPLSEDESQNRENEGDNDETPEIGKWESVIWLLIMTAWISVLSEYLVDAIEGTSHAWNIPIAFIGVILLPIVGNAAEHAGAIMFAMKDKLDISLGVAIGSSTQISMFGIPFCVVVGWIMGKPMDLNFQLFETATLFITVIVVAFFLQEGSSNYFKGLMLILCYVIVAASFFVHEDPPPEDKSLTP >Potri.005G236700.1.v4.1 pep chromosome:Pop_tri_v4:5:23446460:23452901:-1 gene:Potri.005G236700.v4.1 transcript:Potri.005G236700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236700.v4.1 MGSVEEKIKTGGLANGAQTNLLEEMKLLKEFQDQSGIRKAINSELWYACAGPLVSLPQVGSLVYYFPQGHSEQVAVSTRRSATSQIPNYPNLPSQLLCQVHNVTLHADKDTDEIYAQMSLQPVNTEKDVFPIPDFGLRPSKHPSEFFCKTLTASDTSTHGGFSVPRRAAEKLFPPLDYTMQPPTQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLKAGDSVLFIRDEKSQLMVGVRRANRQQTTLPSSVLSADSMHIGVLAAAAHATANRSPFTIFYNPRACPSDFVIPLIKFRKAVFGTQVSVGMRFGMMFETEESGKRRYMGTIVGISDLDPLRWPGSKWRNLQVEWDEPGCSDKQNRVSSWEIETPESLFIFPSLTSGLKRPLQSGFLGDSEWGSLVKKPLSWLPGSGNANLPYASMSNICSEQLINMLMKPHPINYPGICGAALPEVSAAKVASLDVKNMQATINQMPQLNQSGVTSVENQNYSQICLDQSDAIISSSLKINVAGKSFSSSEVENQASVGVGERKLKAETEHLPDQLSQLTSTGECIVQKPSSCPMTQQNATNHLAFQNQNQGHSQLQTNLWPAQAFPESSLLNSQQILSPLADATAPNCSLPFLDADEWISHPMSLAGMYRSGPLSMFGSQDTSVVFPEAINPSLPFMNQDVWDHQMSNSRFLSQANQLISSTQQEPCTLNSGAVKDLSDESNDQSGIYGSLNFDASNGGGSVVDPSVSSAILDEFCTLKDADLQNASDCLVGNLSSSQDVQSQITSASLADSQAFSRQDFPDNSGGTSSSNVDFDNSNMLQNSSWQQVAPRVRTYTKVQKTGSVGRSIDVSSFKNYEELCSAIECMFGLDGLLNNPKRSGWKLVYVDYENDVLLIGDDPWEEFVGCVRCIRILSPSEVQQMSEEGMKLLNSANIQGTNAPITEGSHAR >Potri.018G138100.8.v4.1 pep chromosome:Pop_tri_v4:18:15788251:15792690:-1 gene:Potri.018G138100.v4.1 transcript:Potri.018G138100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138100.v4.1 MRERKMDLDNRATHGLHTVKEEDVEGDIENDAKGILNSYNGAYSTEPLLVKRRNTTSQTAIVGANISLIESLDYEIAENELFKQDRRSRKKVQIFQYILLKWAFALLIGLFTGLVGFLNNIAVENISGFKLLLTNKLMRKQQYYKAFAAFAGCNMVLAAAAAALCAFIAPAAAGSGIPEVKAYLNGIDAHSILAPGTLFVKIFASILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWTWLRYFKNDRQRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFMEFCATGKCGLFGKGGLIMYDVSSEKVQYSGPDVLAVILLGIIGGIFGSLYNYLVDKVLRTYSIINEKSAAFKISLVIAIALLTSCCSYGLPWFGRCIPCPTHITVSCPNTDESGNYKSFQCPPGYYNDIASLFLSTNDDAIRNLFSASTKKEFRISTLFLFFSAVYCLGIVTYGIAIPSGLFIPVILAGACYGRLVGRLFRSISNLDTGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADNFNKGVYDQIVKLKGLPYMEAHAEPYMRHLVARDVVSSPLVTFLGIEKVGNILHALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLVLLKGKNFSRERIPAGQEILRRFAVFDLAKAGSGKGVKLEDLDIEEEEMEMYADLHPITNASPHTVVETMSLAKAAILFRQIDLRHMCVVPISQGRPPIVGILTRHDFMPEHILGLYPHIKPHK >Potri.018G138100.6.v4.1 pep chromosome:Pop_tri_v4:18:15788253:15793084:-1 gene:Potri.018G138100.v4.1 transcript:Potri.018G138100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138100.v4.1 MRERKMDLDNRATHGLHTVKEEDVEGDIENDAKGILNSYNGAYSTEPLLVKRRNTTSQTAIVGANISLIESLDYEIAENELFKQDRRSRKKVQIFQYILLKWAFALLIGLFTGLVGFLNNIAVENISGFKLLLTNKLMRKQQYYKAFAAFAGCNMVLAAAAAALCAFIAPAAAGSGIPEVKAYLNGIDAHSILAPGTLFVKIFASILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWTWLRYFKNDRQRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFMEFCATGKCGLFGKGGLIMYDVSSEKVQYSGPDVLAVILLGIIGGIFGSLYNYLVDKVLRTYSIINEKSAAFKISLVIAIALLTSCCSYGLPWFGRCIPCPTHITVSCPNTDESGNYKSFQCPPGYYNDIASLFLSTNDDAIRNLFSASTKKEFRISTLFLFFSAVYCLGIVTYGIAIPSGLFIPVILAGACYGRLVGRLFRSISNLDTGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADNFNKGVYDQIVKLKGLPYMEAHAEPYMRHLVARDVVSSPLVTFLGIEKVGNILHALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLVLLKGKNFSRERIPAGQEILRRFAVFDLAKAGSGKGVKLEDLDIEEEEMEMYADLHPITNASPHTVVETMSLAKAAILFRQIDLRHMCVVPISQGRPPIVGILTRHDFMPEHILGLYPHIKPHK >Potri.018G138100.7.v4.1 pep chromosome:Pop_tri_v4:18:15788253:15793084:-1 gene:Potri.018G138100.v4.1 transcript:Potri.018G138100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138100.v4.1 MRERKMDLDNRATHGLHTVKEEDVEGDIENDAKGILNSYNGAYSTEPLLVKRRNTTSQTAIVGANISLIESLDYEIAENELFKQDRRSRKKVQIFQYILLKWAFALLIGLFTGLVGFLNNIAVENISGFKLLLTNKLMRKQQYYKAFAAFAGCNMVLAAAAAALCAFIAPAAAGSGIPEVKAYLNGIDAHSILAPGTLFVKIFASILGVSAGFVVGKEGPMVHTGACIASLLGQGGSRKYHLTWTWLRYFKNDRQRRDLITCGAAAGVAAAFRAPVGGVLFALEEAASWWRSALLWRTFFTTAVVAIVLRAFMEFCATGKCGLFGKGGLIMYDVSSEKVQYSGPDVLAVILLGIIGGIFGSLYNYLVDKVLRTYSIINEKSAAFKISLVIAIALLTSCCSYGLPWFGRCIPCPTHITVSCPNTDESGNYKSFQCPPGYYNDIASLFLSTNDDAIRNLFSASTKKEFRISTLFLFFSAVYCLGIVTYGIAIPSGLFIPVILAGACYGRLVGRLFRSISNLDTGLFALLGAASFLGGTMRMTVSLCVILLELTNDLLLLPLVMLVLLISKTVADNFNKGVYDQIVKLKGLPYMEAHAEPYMRHLVARDVVSSPLVTFLGIEKVGNILHALRTTGHNGFPVIDEPPFSDAPELCGLVLRSHLLVLLKGKNFSRERIPAGQEILRRFAVFDLAKAGSGKGVKLEDLDIEEEEMEMYADLHPITNASPHTVVETMSLAKAAILFRQIDLRHMCVVPISQGRPPIVGILTRHDFMPEHILGLYPHIKPHK >Potri.008G171500.1.v4.1 pep chromosome:Pop_tri_v4:8:11846355:11848970:-1 gene:Potri.008G171500.v4.1 transcript:Potri.008G171500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171500.v4.1 MKNHSWRMPKGKSNLNHSSKTSNYKDDVSSPVSDQILEFCDPEFFQETLQNSEVTSSSNCCYEENSSCANNLPLPPDIDKYNSYQDNNGNHNSATPITTTTGTTTITAANTHNINSNLSIIFDSQDELDNVLSASIDFSPSPTLSVPQFIATQNDHFDFSLVQPQLTLTNLVSADGLSQYPAAPLTAHPLSSVFEEDCLSSVPSYVPLNPSSPSCSFLGPAVGAYMPAGTLNAASLSADSSGMFDGGIIMGSEWQTLELDFQGDNGGIYCPDSLQHVLNPRDLQALGNETPNLVGGHVSSAPLTSEISSLEDSTFKVGKLSVEQRREKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDIHRTVCSHHEDDEDEEAVMKEEDVIDSDIFAHISGVNSFECNYSIQSWIN >Potri.008G171500.2.v4.1 pep chromosome:Pop_tri_v4:8:11846355:11850603:-1 gene:Potri.008G171500.v4.1 transcript:Potri.008G171500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171500.v4.1 MLEDMIHPPEQLPIDDVSSPVSDQILEFCDPEFFQETLQNSEVTSSSNCCYEENSSCANNLPLPPDIDKYNSYQDNNGNHNSATPITTTTGTTTITAANTHNINSNLSIIFDSQDELDNVLSASIDFSPSPTLSVPQFIATQNDHFDFSLVQPQLTLTNLVSADGLSQYPAAPLTAHPLSSVFEEDCLSSVPSYVPLNPSSPSCSFLGPAVGAYMPAGTLNAASLSADSSGMFDGGIIMGSEWQTLELDFQGDNGGIYCPDSLQHVLNPRDLQALGNETPNLVGGHVSSAPLTSEISSLEDSTFKVGKLSVEQRREKIHRYMKKRNERNFSKKIKYACRKTLADSRPRVRGRFAKNDDFGDIHRTVCSHHEDDEDEEAVMKEEDVIDSDIFAHISGVNSFECNYSIQSWIN >Potri.017G036200.1.v4.1 pep chromosome:Pop_tri_v4:17:2400956:2406031:-1 gene:Potri.017G036200.v4.1 transcript:Potri.017G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036200.v4.1 MTKALFFSGLEKTELEMADDTSVGPEIRITEIEEEPLLQQPQEEEDPNGSSLLILSPTQADQEETNNQDQPSPTSFKNVKFSSLTESDTNFGEKEELGLEGNEVKFDYLIGKKVAYCELVSGSFESGDFDDKIESSGVKVLETETSVDGVLNKGEIEWSVDGVKEVKEKGKDFVGSECFEAEMGLGSEDNKEFVMVKEIGGESSLEAKKKQLLEELQDGSIFKNKTNVDNNVDGFDTGVGISGILKGSDESVKRSLKIEMIDHTVLIEPASVTKTGNGGGNVAERNGKKNGKHETDEKKVKRPRRRGKIATKGGLETSEGQKKVTQIDEAQKRTIDVGEVRNGCETDGDQMKRKYSREEMKVLRFANIVEQRKLWRDIYTGLGDDAVEGYKDLASSKHHKNVSLNFNPWEHFGRKEPDIPGEESSEIVDDGLENMEVDGGVQNADLLDPACSNSIEGEGTDTVLEEAYGEEVDSDDDYASIQRPAFAVEGEPDFDSGPPEDGLEFLRRVRWEAAHIPKVKVAKLDRSRVNKEQTVYMPQIPNIAKCPEYLLPLKQWEDAFLVDFSELRQFLSQDDGSSTRISQKMQPAAIVLGNSSPQHAESIVVERSNILGTETDEVQSYKPLDTSSAENAIDHPCMANVEDCRNLTSSQIPTPEASCSDALCNYPTLSVILAMDCVARVSMLRKRIKLAETMDTLSKNDCVWLFALCAAVDAPLDADTCAALRGLLRKCASLRASKSEHDDEVIMLNILATISGRYFGQSES >Potri.017G036200.3.v4.1 pep chromosome:Pop_tri_v4:17:2400954:2406004:-1 gene:Potri.017G036200.v4.1 transcript:Potri.017G036200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036200.v4.1 MTKALFFSGLEKTELEMADDTSVGPEIRITEIEEEPLLQQPQEEEDPNGSSLLILSPTQADQEETNNQDQPSPTSFKNVKFSSLTESDTNFGEKEELGLEGNEVKFDYLIGKKVAYCELVSGSFESGDFDDKIESSGVKVLETETSVDGVLNKGEIEWSVDGVKEVKEKGKDFVGSECFEAEMGLGSEDNKEFVMVKEIGGESSLEAKKKQLLEELQDGSIFKNKTNVDNNVDGFDTGVGISGILKGSDESVKRSLKIEMIDHTVLIEPASVTKTGNGGGNVAERNGKKNGKHETDEKKVKRPRRRGKIATKGGLETSEGQKKVTQIDEAQKRTIDVGEVRNGCETDGDQMKRKYSREEMKVLRFANIVEQRKLWRDIYTGLGDDAVEGYKDLASSKHHKNVSLNFNPWEHFGRKEPDIPGMAAARGRWNHLNVFAH >Potri.001G380100.1.v4.1 pep chromosome:Pop_tri_v4:1:40054351:40058520:1 gene:Potri.001G380100.v4.1 transcript:Potri.001G380100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380100.v4.1 MPIQFIRSSLFASPKNLLRTLFRVTKLRSLAMGSYTASSQSSKLFFRQLFEKESSTYTYLLADVSHPDKPALLIDPVDKTVDRDLSLVKELGLKLIYALNTHVHADHITGTGLIKTKSPGVKSIISKASGSKADILVEPGDKVSFGDLFLEVRATPGHTSGCVTYVTGDGPDQPQPRMAFTGDALLIRGCGRTDFQGGSSLQLYKSVHSQIFTLPKDTLIYPAHDYKGFSVSTVEEEMLYNPRLTKNQEAFKGIMENLNLAYPKMMGIAVPANMVCGLQDTTS >Potri.019G014390.1.v4.1 pep chromosome:Pop_tri_v4:19:2374679:2377942:1 gene:Potri.019G014390.v4.1 transcript:Potri.019G014390.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014390.v4.1 MGNGCSSTSDHDHQGLTTILSIDGGGVRGIIPSIVLAALEAKLQKLDVDNKDARIADYFDFIAGTSTGGLMTAMLTTPNAEKRPSFEAKDIVNFYLEKSALIFPQTTLKSLEDDQLSYDEAAINSSLDEATKQIQQYYEMSHKIIDPLIATLRYLLTCTWLPNFFRKRLGKTLLFPKYDGVKLHEVINEEMGQKLLSDALTNVIIPTFDIKLFRSIIFSSLKAQRDKSADARIADICIGTSAAPSYFPPYSFKTTVDFNLADGGLAANNPSLIAVCEVMKEQKTDGRKPLILSLGTGAANQSDRYEVGSDPSKWGILRWLWYSENNGSPLIEILTTASDEMISTYISSFFQYCGWEDNYYRLQAEMKLSDTKMDDASQENLKKLVKIGEDLAAKQDAELEALAHKLIENRKARNMASMAV >Potri.001G161500.1.v4.1 pep chromosome:Pop_tri_v4:1:13681954:13685189:-1 gene:Potri.001G161500.v4.1 transcript:Potri.001G161500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161500.v4.1 MSTKKIGGTVFMAAATSTATKFENNTDDQEKSEESITNYLIVRPEKGGMLDLLRYLAWADIGSGVRFLESSEEGIMGEEAADHRWIILVSIIARKIISLFGKPLEYTGFVVDFFLNLLFQNGGIMGLFLNFLQGKVVTPQRDTETFISSIGHLDGRIDLYRAENLLEQIDHSVSAEKTITEEIGNRAHMDLCIMASKLAYENAKVVRSIVVHHWKMHFVDFYNCWNDFQKEFSTQVFILCDKPKDANLILISFRGTEPFDSYDWDTDFDYSWYEIPKLGKVHMGFLEALGLGNRDDTTTFQYLLQMKNTNFNHDYEGSGSLLSNTDSDMEQNELDRSSDSDRATAVGHKKFPPEMVKKTAYYTVRKKLKSLLVEHKNAKFIVTGHSLGGALAILFPSVLVLHQQMDVMKRLLGVYTFGQPRIGNRQLAKFMEAHLEYPVPKYFRVVYSYDLVPRLPYDDKTFLYKHFGVCLYYNSLYIEQKVDEEPDPNLYGLRNVISAHLNAIWELIRSFIIGYTHGREYKESWFMVLVRIMGLALPGIAAHCPTDYVNSVRLGKERVVQMSSF >Potri.003G213601.2.v4.1 pep chromosome:Pop_tri_v4:3:21028005:21031358:-1 gene:Potri.003G213601.v4.1 transcript:Potri.003G213601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G213601.v4.1 MVMMEVVILQRSIVLCLGVFNKVMLFVLSEMDGILRNVLGLPAFGGKKETVNDLLHTKKWMNYNHLAKSYLGNALYVLNQMTDTQMISFTLRHLKFSSVLLVAFPALLRKYIKVALHFWSTGEGVLPLVAFFFLRDVCIWIGSDCLDDCLKGIYKAYVLNCHFINAAKLQHIQFRANCVIELLGVDLPTAYQHAFVFIRQLAMILRDAITMKTKLNGSADPTSLRLRLVAAAAAVVVLMCEFNLRVFYLLDSFCKVYEWKFMNFLELWTGAICTYSSEADLNPLAYPLTQIISGVARLVPTARCFPLRLRCVRMLNRIAASTGTFIPVSMLLLDMLEMKELNSPPPHWRCW >Potri.006G069600.1.v4.1 pep chromosome:Pop_tri_v4:6:5105646:5107307:1 gene:Potri.006G069600.v4.1 transcript:Potri.006G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069600.v4.1 MEGLWLVVLVIFVMALSVQSQLKTGFYSTSCSKAEAIVRSTVESYFKKDPTIAAGLLRLHFHDCFVQGCDGSVLIAGSSAERNALPNLGLRGFEVIDDAKSQIEALCPGVVSCADILALAARDAVDLSDGPSWSVPTGRRDGRVSLSSQASNLPSPLDTVAAQKQKFSDKGLDDHDLVTLVGAHTIGQTHCQFIRYRLYNFTTTGNSDPTINQSFLSQLQALCPKNGDGTKPVPLDKDSQTDFDTSFFKNVRDGNGVLESDQRLWDDAATRDVVKKYAGTIRGLLGLRFDIEFRQAMVKMSSIEVKTGTDGEIRKVCSKFN >Potri.008G181801.1.v4.1 pep chromosome:Pop_tri_v4:8:12531895:12532089:1 gene:Potri.008G181801.v4.1 transcript:Potri.008G181801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181801.v4.1 MGGFNFMFCMYFNLLAIFILQISHPLSRNSLPLPFLHFARVKYNPSHLDRNLRTSRTKDIKTER >Potri.017G106400.10.v4.1 pep chromosome:Pop_tri_v4:17:11569789:11576831:1 gene:Potri.017G106400.v4.1 transcript:Potri.017G106400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106400.v4.1 MNMDTDSVHEAKVSLEDVGTCDLDVESINTDLVVEASSNGDKSRELETAGGILTGVELDLACVAEKLVNLSVLMMHVATKETDFEAFASSNDILADSVEKALEFDFLSEILDAETMELDKLMMNIQKYIFEVGEIISSNGPGETFMALEEKLRDSQESLKQSKDQVSEIRAQSAKFQRTFSCLHGEENWSADKGSNFLEDNQLSSMNSKINMQTAEQQRHFLRMLEKSLAREMDLEKKLTESRQLEEELKDRVLSFQQQVFFIEEETMDVYEKWFETENAAEVLMGISKELLGRLQIFQLNLNGSVKREAELRSKLENSIEQLEAKEIALQKFDSSSTKLSLLVAKTDSLKASLSEAENNLGLANSEALTLREKVTSLENQLIESEFQLSAKVSADGTQEQHNAYLCSEINEMKNVTDTVKEKLSKAESRADNAEAKLKLLEETNMKLDEELGHLKDTSEKVDSLERQLRESDFRLQHAVASAEASQEKQNMLYATIRDMENLIEGLKSKVSKAESRADSVEDKCIILSETNSDLNEELSFLRGRLECLEASLNQAEEKKMATAKDICIRSKVITDLVMQLAIERERLHKQIASLALQNQTLVMKLQQTSKDAVDTKYHNKGSGEKFLFTEHDVSKFSATISELDKAQKNEPVSETKVAPADSTSELETVRRIDAGLSFKHAIMAMLILLISAIVYVFPPQKLPL >Potri.017G106400.8.v4.1 pep chromosome:Pop_tri_v4:17:11569848:11576845:1 gene:Potri.017G106400.v4.1 transcript:Potri.017G106400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106400.v4.1 MNMDTDSVHEAKVSLEDVGTCDLDVESINTDLVVEASSNGDKSRELETAGGILTGVELDLACVAEKLVNLSVLMMHVATKETDFEAFASSNDILADSVEKALEFDFLSEILDAETMELDKLMMNIQKYIFEVGEIISSNGPGETFMALEEKLRDSQESLKQSKDQVSEIRAQSAKFQRTFSCLHGEENWSADKGSNFLEDNQLSSMNSKINMQTAEQQRHFLRMLEKSLAREMDLEKKLTESRQLEEELKDRVLSFQQQVFFIEEETMDVYEKWFETENAAEVLMGISKELLGRLQIFQLNLNGSVKREAELRSKLENSIEQLEAKEIALQKFDSSSTKLSLLVAKTDSLKASLSEAENNLGLANSEALTLREKVTSLENQLIESEFQLSAKVSADGTQEQHNAYLCSEINEMKNVTDTVKEKLSKAESRADNAEAKLKLLEETNMKLDEELGHLKDTSEKVDSLERQLRESDFRLQHAVASAEASQEKQNMLYATIRDMENLIEGLKSKVSKAESRADSVEDKCIILSETNSDLNEELSFLRGRLECLEASLNQAEEKKMATAKDICIRSKVITDLVMQLAIERERLHKQIASLALQNQTLVMKLQQTSKDAVDTKYHNKGSGEKFLFTEHDVSKFSATISELDKAQKNEPVSETKVAPADSTSELETVRRIDAGLSFKHAIMAMLILLISAIVYVFPPQKLPL >Potri.017G106400.9.v4.1 pep chromosome:Pop_tri_v4:17:11569902:11577840:1 gene:Potri.017G106400.v4.1 transcript:Potri.017G106400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106400.v4.1 MNMDTDSVHEAKVSLEDVGTCDLDVESINTDLVVEASSNGDKSRELETAGGILTGVELDLACVAEKLVNLSVLMMHVATKETDFEAFASSNDILADSVEKALEFDFLSEILDAETMELDKLMMNIQKYIFEVGEIISSNGPGETFMALEEKLRDSQESLKQSKDQVSEIRAQSAKFQRTFSCLHGEENWSADKGSNFLEDNQLSSMNSKINMQTAEQQRHFLRMLEKSLAREMDLEKKLTESRQLEEELKDRVLSFQQQVFFIEEETMDVYEKWFETENAAEVLMGISKELLGRLQIFQLNLNGSVKREAELRSKLENSIEQLEAKEIALQKFDSSSTKLSLLVAKTDSLKASLSEAENNLGLANSEALTLREKVTSLENQLIESEFQLSAKVSADGTQEQHNAYLCSEINEMKNVTDTVKEKLSKAESRADNAEAKLKLLEETNMKLDEELGHLKDTSEKVDSLERQLRESDFRLQHAVASAEASQEKQNMLYATIRDMENLIEGLKSKVSKAESRADSVEDKCIILSETNSDLNEELSFLRGRLECLEASLNQAEEKKMATAKDICIRSKVITDLVMQLAIERERLHKQIASLALQNQTLVMKLQQTSKDAVDTKYHNKGSGEKFLFTEHDVSKFSATISELDKAQKNEPVSETKVAPADSTSELETVRRIDAGLSFKHAIMAMLILLISAIVYVFPPQKLPL >Potri.010G018400.1.v4.1 pep chromosome:Pop_tri_v4:10:2745037:2749061:-1 gene:Potri.010G018400.v4.1 transcript:Potri.010G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018400.v4.1 MDCIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFTPEKSRNRYLGIWYGKISVQTAVWVANRETPLNDSSGVVRLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAKLLDSGNLVVKEEGDNNPENSLWQSFEHLGNTLIPGSKLGRNRLTGMDWYLTSWKSPDDPSSGNITIILIPGGYPEYAAVEDSNVKYRAGPWNGLGFSGLPRLKPNPIYTFEFVFNDKEIFYRETLLNNSTHWRAVASQNGDLQLLLWMEQTQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLNGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMAASELDNGDSAKVNTKSKEKKRIVVSSVLSTGILFVGLCLVLYVWKKKQQKNRKMTGNLQRRSNKKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDEKMLVYELLPNKSLDFYIFDETRSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNVLLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYKNRGFHHPDHHLNLIGHAWILFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEASYSSRQSKPPSANVCSVSVLEAR >Potri.010G018400.2.v4.1 pep chromosome:Pop_tri_v4:10:2745037:2749060:-1 gene:Potri.010G018400.v4.1 transcript:Potri.010G018400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018400.v4.1 MDCIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFTPEKSRNRYLGIWYGKISVQTAVWVANRETPLNDSSGVVRLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAKLLDSGNLVVKEEGDNNPENSLWQSFEHLGNTLIPGSKLGRNRLTGMDWYLTSWKSPDDPSSGNITIILIPGGYPEYAAVEDSNVKYRAGPWNGLGFSGLPRLKPNPIYTFEFVFNDKEIFYRETLLNNSTHWRAVASQNGDLQLLLWMEQTQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLNGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMAASELDNGDSAKVNTKSKEKKRIVVSSVLSTGILFVGLCLVLYVWKKKQQKNSNLQRRSNKKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDEKMLVYELLPNKSLDFYIFDETRSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNVLLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYKNRGFHHPDHHLNLIGHAWILFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEASYSSRQSKPPSANVCSVSVLEAR >Potri.006G100600.1.v4.1 pep chromosome:Pop_tri_v4:6:7695150:7696145:-1 gene:Potri.006G100600.v4.1 transcript:Potri.006G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100600.v4.1 MALQLNHFYPYTSKHGKQPKPALTSTRPRPTKFQVKAASTNGRELIQSGAVRPIPPKEAAMAMSSEGFVLLDIRPDWERDKARVAGSLHVPLFVKDMDNSPLTLLKKWVHFGYIGLWTGQNFTTMNPDFLQQVEAEVPDKDAKLLVACGEGLRSVMAASKLYAGGFKNLGWLAGGFNRSAVSDFPAVEGSEKLQYATIGGVSYFFLQLLILLQAVGKDD >Potri.004G074201.1.v4.1 pep chromosome:Pop_tri_v4:4:6173430:6174177:-1 gene:Potri.004G074201.v4.1 transcript:Potri.004G074201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074201.v4.1 MSWFSLCFIRFLCGWSLFVPWSSASCPSVGPGFFLSVSMCRKELDRLVSRLVQKTIQITSFETELLERSLLWLSCCSNSGRNVPMTWNNIYCLCNIFS >Potri.001G126700.1.v4.1 pep chromosome:Pop_tri_v4:1:10412447:10417320:1 gene:Potri.001G126700.v4.1 transcript:Potri.001G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126700.v4.1 MENDSSYGDSWDSWVDEALAKLHSLKLLRSLRPINLSPQPHKNCEDDYQVFDEMQPWDRSSVEISISERTFHKWLLDIPSSGDEDTWSNGVADNKEANGRFKKLLLFSGNDYLGLSSHPTIARAVAKAAQEHGMGPRGSALICGYTNYHRLLESSLADLKKKEDCLLCPTGFAANMAVMVALGNIVSLLAAAEKPMKEDRIALFSDALNHASIIDGIRLAERQHTVDVYVYRHCDMSHLSSLLSSCELKKKVVVTDSLFSMDGDFAPMIELVNLRERHGFLLVIDDAHGTFVCGKHGGGVAEEFNCDRQVDICIGTLSKAAGCHGGFIACSKKWKQLIQSRGRSFIFSTSSPVPIVAAAHAAVIVGKKEGWRRKAIWNRVQEFRALTGIPITSPIISLIVGSEEKALKASRHLLKSGFHVTAIRPPTVPPNSCRLRVTLCATHTTDDLKKLTAALSCCINFQDISLCNSRGTARL >Potri.016G067300.2.v4.1 pep chromosome:Pop_tri_v4:16:4752324:4756023:-1 gene:Potri.016G067300.v4.1 transcript:Potri.016G067300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067300.v4.1 MSLDIASERVCYVHCNFCNTILVVNIPCSANSILLNTVTVRCGRCANLLSLNTGSLLQTSHPQNSHKQNLLYQDLSEGSQSSSSGNKVSALEPSQNEQPGRTVAVHAATGKKQQRSPSAYNRFIKEEIRRIKEKNPEISHREAFSNAAKNWAHLPHTQSGLTLNDTGMDA >Potri.008G152000.1.v4.1 pep chromosome:Pop_tri_v4:8:10435824:10439121:1 gene:Potri.008G152000.v4.1 transcript:Potri.008G152000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152000.v4.1 MAEPQYTVASDSESTGEEKSSSAFPETAIGIDIGTSQCSVAVWNGSQVELLKNTRNQKLMRSYVTFKDEVPSGGVSNQLSHEYEILSGAAIFNMKRLIGRVDTDPVVHASKRLPFLVQTLDIGVRPFIAALVSNAWRSTTPEEVLAIFLVELRAMAEVQLKRPIRNVVLTIPVSFSRFQLTRIERACAMAGLHVLRLMPEPTAVALLYAQQQQQTVHENMGSGSEKNALIFNMGAGYCDVAVTATAGGVSQIKALAGAAIGGEDMLQNMMQHLLPNSENLFLSHGINEIKSLGLLRVATEDAIHRLSSQSSVQVDVDLRNGSKICKVVTREEFEEVNLKIFEKCESLLTQCLRDSKVDIEDLTDVILVGGCSYIPNIRNVVKGVCKKEELYKVINPLEAAVCGAALEGAVASGISDPFGSLDLLTIQATPLGVGIRADGNSFVPIIPRNTTMPARKELIFTTTHDNQTEALILVYEGEGTKVEENHLLGYFKIMGIPAAPKGIPEINVCMDIDASNALRVFAGVVMPGTDQPMAPFMEVRMPTVDDGHGWCAEALNRTYGSTLDLVTVQKKM >Potri.004G018100.2.v4.1 pep chromosome:Pop_tri_v4:4:1289752:1293828:1 gene:Potri.004G018100.v4.1 transcript:Potri.004G018100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018100.v4.1 MGRIIKMPVELPAMEIGAMVGDWWEEINESTQWQDGIFYALCGAYALVSAVALIQLIRIELRVPEYGWTTQKVFHLMNFIVNGVRAIVFGFHKQVFTMNPQALVLVLLDLPGLLFFSTYTLLVLFWAEIYHQARSLPTDKLRVVYISVNVAMYFVQVCIWVYLWVDDNSIVELIGKIFIAVVSILAALGFLVYGGRLFFMLRRFPIESKGRRKKLHEVGSVTAICFTCFLIRCFVVFLSAFDADASLDVLDHPVLNLIYYMLVEILPSALVLFILRKLPPKRISAQYHPIR >Potri.002G191200.5.v4.1 pep chromosome:Pop_tri_v4:2:15333209:15335184:-1 gene:Potri.002G191200.v4.1 transcript:Potri.002G191200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191200.v4.1 MAAKEGIRSGREGEEEEHVYRISTDKEWEELQRNGSCFGGQLDKSSGFIHLSKLDQVMSTLQNFFLNTKVDLYLLQIDAKKLGDGLIYEVVDGTNNFPHFYGPSRSFSPLPLDVVIKAEKLVLADGQFSCSLLN >Potri.006G011200.1.v4.1 pep chromosome:Pop_tri_v4:6:737469:740359:1 gene:Potri.006G011200.v4.1 transcript:Potri.006G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011200.v4.1 MNLYNFYGRVIELWNGWELRAMILLSLSLQIFLTITGSRRKYTAGIWFGMFVWLAYLSADWFATITLGILARSQGGSESKGTTSNASFIPAFWAPMLLVHLGGPDTITAYSVEDNELWSRHLLQLLTQLAVAFYVSLRSWWSNDPLIYIVIPIFVSGVIKYGEKIWVLWCACSSKLMDSANEAGIHVRRQIYPEILLHQKLDDILPQLYEILITELGIDRLKQMLGIEGGTQRGLSDIFVTEQGMRRIVEMLGTEGFVDQIDDILSSEENVRRINEILGTEGFDKISGFNNIISEAKKFHEACFLFQTLKVLFADYTVPFPVHRISYGILQSKDAAGAFKLIEVELGFMFDVLFTKVMTTVCPRPRIILRFITFLSSVSALVAFSSMTRNSHTYSKIDIIVSYLLLFGATVLEIYSAILMIFSDWGMLWLSDQRKPLADSISRVICSSRLLSFFSNNKKWKASIAQYEPKNSRESPCKHLPKIFRTGNIQSWEDVGCDLKELIFKRVLDMRSRCNFPLVPYKILEERGDHALRSKDCLEKFEWSVVLKDFHESFLIWHIAVNTCVGQDSIHCNMSRSLSRYMMYLWSDLPFMLPKELGEPKYKQIGQNSEGSALSDGDELAKSLNLLQSEQKWEMISEVLVEMLTYSAIQCGWKEHARALQGGGELLTLVAVLMAHLGLHGQCA >Potri.016G034501.1.v4.1 pep chromosome:Pop_tri_v4:16:1990770:1991030:1 gene:Potri.016G034501.v4.1 transcript:Potri.016G034501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034501.v4.1 MQLIITMSSATFSYHLVNHSWIFPVTLLDQGFKFHHPQNQYKRKMLRI >Potri.013G055100.3.v4.1 pep chromosome:Pop_tri_v4:13:4017939:4023035:1 gene:Potri.013G055100.v4.1 transcript:Potri.013G055100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055100.v4.1 MQTRTVEVKNVSDLASEREVHEFFSFSGEIENIHIQRDHGQSKTAFVTFKDPKALEIALLLSGATIVDQIVAITPVENYVPNRELQEVRNMDIAIHVVPSEDFPSNVEGKTSPSGSGRVYVSRAQEVVTSMLAKGSAIGQDAMNKAKAFDEKHRLSANASEKVISFDRRVGLTEKLTVGISVVNEKVKSVDQRLHVSDKTMAAIFAAERKINDTGSAVKSSRYVSAGTAWLNGAFSKVAKAGQVAGTKTRAKFNSAVSNLTAKESPIAV >Potri.013G055100.2.v4.1 pep chromosome:Pop_tri_v4:13:4017979:4023030:1 gene:Potri.013G055100.v4.1 transcript:Potri.013G055100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055100.v4.1 MQTRTVEVKNVSDLASEREVHEFFSFSGEIENIHIQRDHGQSKTAFVTFKDPKALEIALLLSGATIVDQIVAITPVENYVPNRELQEVRNMDIAIHVVPSEDFPSNVEQGKTSPSGSGRVYVSRAQEVVTSMLAKGSAIGQDAMNKAKAFDEKHRLSANASEKVISFDRRVGLTEKLTVGISVVNEKVKSVDQRLHVSDKTMAAIFAAERKINDTGSAVKSSRYVSAGTAWLNGAFSKVAKAGQVAGTKTRAKFNSAVSNLTAKESPIAV >Potri.009G085600.1.v4.1 pep chromosome:Pop_tri_v4:9:8029484:8030021:1 gene:Potri.009G085600.v4.1 transcript:Potri.009G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085600.v4.1 MAYRTSTVCIMAILLFSFTLTSAARPEPAFADVTPMETLYGDNAEAETVEMEKSCEGVGEDECLTRRTLAAQIDYIYTQKHKP >Potri.005G169000.1.v4.1 pep chromosome:Pop_tri_v4:5:17165576:17167943:1 gene:Potri.005G169000.v4.1 transcript:Potri.005G169000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G169000.v4.1 MKRSLFIGCILATLALLANSAHHESSPRKSPAPSPSADCTDVAFDMLDCITYLSDGSEAAKPTASCCAGFEAVLSLDAECLCFALKHSADFGVALNLTRAAALSSKCGVSAPPLSKCGISVPATGAPANPPSSVPEPAPPTESPPYPVIEPATNNQPSAPAPAPSNSDDNGVSAAAPVIIEVPAQAPAKGMAYSISAPFSVLISCAVASTPLFLWV >Potri.003G094400.1.v4.1 pep chromosome:Pop_tri_v4:3:12055240:12064255:-1 gene:Potri.003G094400.v4.1 transcript:Potri.003G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094400.v4.1 MSSLAGNIEDTDDVEKKKEEKKKQRKVPFWKLFAFADFYDYVLMGLGSLGACVHGASVPVFFIFFGKLINIIGLAYLFPKEASHRVGKYSLDFVYLSVVILFASWIEVACWMHTGERQAAKMRMAYLKSMLSQDISLFDTEASTGEVIAAITSDIIVVQDAISEKVGNFMHYISRFLGGFIIGFVRIWQISLVTLSIVPLIALAGGIYAYITIGLIAKVRKSYVKASQVAEEVIGNVRTVQAFTGEEKAVRSYIEALRKTYKYGRKAGLAKGLGLGTLHCVLFLSWALLVWYTSIVVHKNIANGGESFTTMLNVVISGLSLGMAAPDISSFLHATAAAYPIFEMIEKNTMSKISSESGRKVDRVDGHIEFKDVCFRYPSRPDVTIFDKFCLDIPSGKIVALVGGSGSGKSTVISLIERFYDPLFGKILLDGNDIRDLDLKWLRQQIGLVNQEPALFATSIRENILYGKDDATLEEITRAAKLSGAMSFINNLPDKFETQVGERGIQLSGGQKQRIALSRAIVKNPSILLLDEATSALDAESEKSVQEAIDRAIVGRTTVVVAHRLSTIRNADVIAVVQEGKIVEIGSHEELISNPQSTYASLVHLQEAASLQRHPSHGPTLGRPLSMKYSRELSHTRSSFGTSFHSDKDSVSRVGGDALESTRTKNVSLKRLYSMVGPDWIYGVLGTMGAFIAGSAMPLFALGVSQALVAYYMDWDTTRHEVKKIAILFCCGAAISVIVYAIEHLSFGIMGERLTLRVREMMFSAILKNEIGWFDDLNNTSSMLTSRLESDATLLRTIVVDRSTILLQNVGLVVTSFIIAFTLNWRITLVVIATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYARELVEPSKNSFTRGQIAGIFYGICQFFIFSSYGLALWYGSVLMEKELAGFKSIMKSFMVLIVTALAMGETLALAPDLLKGNHMAASVFEILDRKTQVMGDVGEELKNVEGTIELRGVQFSYPSRPDALIFKDFDLRVRSGKSMALVGQSGSGKSSVLSLILRFYDPTAGKVMIDGIDIKELKVKSLRKHIGLVQQEPALFATSIYENILYGKEGASEAEVIEAAKLANAHSFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMRNRTTVVVAHRLSTIKNADQISIIQEGKIIEQGTHSSLVENKDGAYFKLVRLQQQGGVEQNH >Potri.002G046700.1.v4.1 pep chromosome:Pop_tri_v4:2:3051784:3055990:-1 gene:Potri.002G046700.v4.1 transcript:Potri.002G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046700.v4.1 MMGIVQEQNMEKHIEKQMGCMAGFLQIFDRHQIITGKRLYATRRLPSSMGVDSTPKSEKSMGSPAISRELEKSQQTRSMPSPDRFKQSPVTEIRPPAAPESPIPVEIQSKSHLTPPVFELKDGPKSSWRSCKEAPRLSLDSRATFDAKGSLKPREIRTNAAILSVNGCENNVEQADYNDKQRRSPSVIARLMGLEPLHDADPEQVKKPELRRSASESRASRELFQYRFIDGVNFQLKQTQQQNKQSNVSSKGAKDQKLNGRTVDPKAYNVVRNARAEPARAQHRGIGQRKSFFDSADFFPEPKQTVSIYGEIEKRLRMRGIDEPSKDLETLKHILEALQLKGLLHSNKPANQINQRNFVYEESPIVLMKPAKSLASMNMPAGRIINDSPLSSFRPRSGVRRDPNYAETLPAMSPRRERPEIEKNARGQVRGRNLSSPMGNESSSRRRPLSVETQKRVSNDSVEQRRVSPVQSPKIISRKTGLDQTTTRALRRKPTVEIYHKDDKSFVLADDDLSAFSESSVSTSSHADMERSKLEDYNEGRNLLERCDKLLHSIAEITASELQPSPVSVLDSSFYKEESSPSPVMKRTVDFKDQLEEVEVEDDIWSTTISTAESNSDDSDLMYISDILRASNYLPEDSDIFLLLEKQQYLKGKDTSKVSTLQRKLVFDTITEILNSRRHLPPWKAISLTNPESGPISLQQIWSEFQRIRERDASDDLFEVICGVLRKDLAGDTINGWGDCPIEMSEAVLDIERLVFKDLIGETIRDLAAFGRKGKCNQGDPLPRRKLVF >Potri.005G255601.1.v4.1 pep chromosome:Pop_tri_v4:5:24674089:24674406:1 gene:Potri.005G255601.v4.1 transcript:Potri.005G255601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255601.v4.1 MILRLLAYKHNVEEEEEEEEDKEITCLKESRGGETTSIYDDYMWQCVDLLKPEISVAGLDEKNVPVLSFYQKRTGFKQEATCSKRNLLSFGSCMVRFLSFFPQSC >Potri.T108626.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:51367:65601:1 gene:Potri.T108626.v4.1 transcript:Potri.T108626.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T108626.v4.1 MANLIDQDQEWLLNCLNATLDPNQEIRSLAEVSLRQASLQPGFGVALSKVAANKELPFGLRQLAAVLLKQFIKTHWHESEESFEPPAVATEEKEVIRRLLLPSLDDSHRKICTAISMAIASIAVYDWPENWPDLLPFLLKLINDRTNVSGVHGALRCLALLSGDLDDTVVPTLVPVLFPCLLTIVSSPQNYDNYLRTKALTIVYSCVSVLGIMSGVYKTEISALITPMLKPWMDQFSVILEPPMQPEDPDNWSLRMEVLKCLNQFVQNFPSLTESEFMVIVGPLWQTFVTSLSVYVRSSIECTEDPYGDRYDSDGAEKSLDAFVIQLFEFLLTIVGSAKLMKVVKNNIKELAYYTIAFLQMTEQQVHTWSRDANQFVADEDDATYSCRVSGVLLLEEVVNSFGSEGIYAIIDAMRERFNESEREKAAGSAAWWRIRESILFALADLSDQLLDAEASGMISVNLGNLVEQIVTIDVGTGVHEYPFLYARIFTSVAKFSSVISHGVLEHFLHAAIKGVGMNVPPPVKMGACQALSQLLPEANKENIQPQLMGLFSSLTDLLHQASDETLHLVLETLQASIKAVREAAVSFESVVSPVVLNTWALYVSDPFLSIDAIEVLEALKNAPGGIHPLVSRILPHIGPILNKPYQQPDGLVAGSLDLVTMLLKNAPSDIIKAIYDTCFDAVIRIVLQSDDHSEMQNATQCLASFISGGREEILSWAADSGFTMRSLLDAASRLLDPGMESSGSLFVGSYILQLILHLPLQMAMHIRDLVTALVRRMQSAQIVGLKSSLLLIFARLVHMSVPHVEQFIDMLIGIPAEGYENSFVYVMSEWTQKQGEIQGAYQIKVTASALALLLSTRHAELNKVNVLGHLKSAAGITTRSKAKLAPDQWTLVPLPVKILALLADTVIEFQEQAMADDEESDWEEIQGGVAESNDSLLSSAAAPSFGRTTYGQLEAMAKAYNENEEDWDDDDLLSVSDQLNGINLVNYLADFFAKFVHSNRQLFDHLCQSLAQAQRDAIQTLLRC >Potri.T108626.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:51850:65598:1 gene:Potri.T108626.v4.1 transcript:Potri.T108626.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T108626.v4.1 MAIASIAVYDWPENWPDLLPFLLKLINDRTNVSGVHGALRCLALLSGDLDDTVVPTLVPVLFPCLLTIVSSPQNYDNYLRTKALTIVYSCVSVLGIMSGVYKTEISALITPMLKPWMDQFSVILEPPMQPEDPDNWSLRMEVLKCLNQFVQNFPSLTESEFMVIVGPLWQTFVTSLSVYVRSSIECTEDPYGDRYDSDGAEKSLDAFVIQLFEFLLTIVGSAKLMKVVKNNIKELAYYTIAFLQMTEQQVHTWSRDANQFVADEDDATYSCRVSGVLLLEEVVNSFGSEGIYAIIDAMRERFNESEREKAAGSAAWWRIRESILFALADLSDQLLDAEASGMISVNLGNLVEQIVTIDVGTGVHEYPFLYARIFTSVAKFSSVISHGVLEHFLHAAIKGVGMNVPPPVKMGACQALSQLLPEANKENIQPQLMGLFSSLTDLLHQASDETLHLVLETLQASIKAVREAAVSFESVVSPVVLNTWALYVSDPFLSIDAIEVLEALKNAPGGIHPLVSRILPHIGPILNKPYQQPDGLVAGSLDLVTMLLKNAPSDIIKAIYDTCFDAVIRIVLQSDDHSEMQNATQCLASFISGGREEILSWAADSGFTMRSLLDAASRLLDPGMESSGSLFVGSYILQLILHLPLQMAMHIRDLVTALVRRMQSAQIVGLKSSLLLIFARLVHMSVPHVEQFIDMLIGIPAEGYENSFVYVMSEWTQKQGEIQGAYQIKVTASALALLLSTRHAELNKVNVLGHLKSAAGITTRSKAKLAPDQWTLVPLPVKILALLADTVIEFQEQAMADDEESDWEEIQGGVAESNDSLLSSAAAPSFGRTTYGQLEAMAKAYNENEEDWDDDDLLSVSDQLNGINLVNYLADFFAKFVHSNRQLFDHLCQSLAQAQRDAIQTLLRC >Potri.005G064250.1.v4.1 pep chromosome:Pop_tri_v4:5:4152062:4152915:1 gene:Potri.005G064250.v4.1 transcript:Potri.005G064250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064250.v4.1 MESTECLSDHRNGQLREGIKSRVLTATCICKHEQISRQHHHHMRLLFSLISRRASSTTSLKKVPKVKPQVSSAPQPTWRSLISCQVLSHVNDSFSCHDHFAPSIILPLVFSFHSMET >Potri.012G121320.1.v4.1 pep chromosome:Pop_tri_v4:12:13876870:13877554:-1 gene:Potri.012G121320.v4.1 transcript:Potri.012G121320.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121320.v4.1 MSMICIWKVAVFQHQRNKTQWDINYAKGKIKFQLARKIKLCKLNSYVVEKVTCYFNISTQGYKTSTKGLFCNLAALVLTLGLQRDRRTTDSKNSHHRQLLMERMLPTLFQPWFCTILL >Potri.001G397001.1.v4.1 pep chromosome:Pop_tri_v4:1:42226862:42233006:-1 gene:Potri.001G397001.v4.1 transcript:Potri.001G397001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397001.v4.1 GRTRKREDTWRSKSKKSWRRDDSESYSDDDSRSSLSASKDVKGTKKRARAWSSSSEESPHVRKRKRFKRNDERKKTHKKTRRKKNARRDSSSDESEYERRKGRLEKREDERRKPENTRSGDERRRYWSGSCSLCSRYDGGSDIFMSNTTIGENPSKRPRSVIILPGEDNETRELDKDKHKEEMTYDDDDDYPSSRNNGSNDGLNNMEKRPMEDEKREDYAASNIEGNEITENDKHSFETRPGYRPGQGGINDAKKEKNEVSRVNIDSVSGDDLETILRQKALENIKSFRSGLGQSQAAAKSAALSPVMPELGQIKSSKSNLTRMPREDYAYFLQHKKIPNGGICGSESSSARNNVHPPDQVAIPGREKVSTVSSYSKNKTWLITSASRQALSNATTTLEETLDSPEANQAKLASGTSLGKSATLKEAPTPLEANQAKLRSGSNVVKNATHGAHTVTSPTSPIVNGNDASVSTPAEPSACIASSAGDITLNKSLDEGKAGSQLEQKTTSVTCGGEMVQVNYKVYIPKRISALARRQLKR >Potri.007G103901.1.v4.1 pep chromosome:Pop_tri_v4:7:12735514:12736522:-1 gene:Potri.007G103901.v4.1 transcript:Potri.007G103901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G103901.v4.1 MEVIYFSTKAALSIKKSAKSFIFPLHMPIFLFFFPVISVHKTSLNITIKVKTNLLHFGEGVCVHGRETEDENHGNRTDGHGGGSPENPCLKSCSSNNHNSKEQEHFLPIANVGRVMNKAIPI >Potri.003G124433.1.v4.1 pep chromosome:Pop_tri_v4:3:14449267:14449476:1 gene:Potri.003G124433.v4.1 transcript:Potri.003G124433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124433.v4.1 MDVFIPEEYVMRRRREKRGAAIAEKRTEESSKRNAEEIKRVHPSPFRLENEFLVASGLSESVLFICFSA >Potri.001G162300.2.v4.1 pep chromosome:Pop_tri_v4:1:13758064:13758917:1 gene:Potri.001G162300.v4.1 transcript:Potri.001G162300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162300.v4.1 MKAYSIALAALALLLSLLLSAWLNKAQAEGRPLAPPSSTSVPSKASTSQTFRGSQVDKNPFKKVGSSFRRIPPSTPSPIQNK >Potri.013G067000.1.v4.1 pep chromosome:Pop_tri_v4:13:5130779:5133562:-1 gene:Potri.013G067000.v4.1 transcript:Potri.013G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067000.v4.1 MSLQHLMSDKHVFNGYQDMNFLPPPPLPASQLSLSHSFGVMGQPREIKTSNPQMDFQILSHSMDQDSKPWSIQQLEIWGAKRPGDGNFGVKKTSLSFNSGEQEEPKISVHGRNGNAKLCARGHWRPAEDAKLKELVAQYGPQNWNLIAENLEGRSGKSCRLRWFNQLDPRINRRAFAEEEEERLLAAHRLYGNKWAMIARLFPGRTDNAVKNHWHVIMARKHRENSSIYRRRKPSSSAQIFPTGSLDVTLQNNACSESTTISSTIDESGSTCTDLSLTPSSTKVPPRLFTRFSPQGGRRGSSVDKEVTMENVDFDRFYGSEDGFYQNGPIGVVRGVDQSGQSDSNSEDSATESVGTNRANPSRCGDNENRNEKINMPFIDFLGVGAASDKFGFR >Potri.018G088701.1.v4.1 pep chromosome:Pop_tri_v4:18:10773080:10773541:1 gene:Potri.018G088701.v4.1 transcript:Potri.018G088701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088701.v4.1 MSRLRGLLMGHDFYKPTPFKSQHTKVTTQNDIMSFSTSNSKLGRGMSVPNSTLGCDPKSLRLSARLKGHSTLNLPYPESGLIIMMSIIILLNTNKQSMLTLIRCDGMSNKIILSYSYEITFLPLLHCMKQGKLGNIKEGRNMQKRVRKPYTLG >Potri.008G172300.1.v4.1 pep chromosome:Pop_tri_v4:8:11898783:11900261:1 gene:Potri.008G172300.v4.1 transcript:Potri.008G172300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172300.v4.1 MSHVILSPFQLLELNVISAQDLAKVSRKMKTYAVAWIHPDRKLSTRIDSEGRNNPTWNDKFVFRVDDRFLHGDTSAVMIEIYALHWFRDIHVGTVRVIVGNLIPPPDPRHHNQFQIGMRFVALQVRRPSGRPQGILNIGVALLDSSMRSMPLYSQLSSAVGYRQLMGEEDVNHHKDASDVSSSGIRSNPLLLPWPPKPELRRTKSDSSSLFGSMVMEKEKMVMKGKGASMISGSESEDTKMMNGGRTKARSMVSGSELEKKNKNWRKEASYMISGSEIVKKDQNNNLVLSDSELNGAFAKASLSSEALTNERSDDKSTELDNIVKPLPKFPGLDLASPYNNFRHATPKKANLISRPAITDSELGPSPSEVAAVMTRKKNRRFVEIESEIMGVMSLDGSMEGLQSKLERWRAELPPVYDASDISSFPASSTSKESKIVKQHSRRHSADDDGTFTCFGRFCGLECSIVCGGPPRRKTDGKRNRSYSMDNLRYNV >Potri.006G146500.7.v4.1 pep chromosome:Pop_tri_v4:6:12476803:12480532:1 gene:Potri.006G146500.v4.1 transcript:Potri.006G146500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146500.v4.1 MVKVIGKGSSGIVQLVQHKWTSQFFALKVIQMNIEESARKAITQELKINQSSQCPYVVMCYQSFYDNGAISIILEYMDGGSLADFLKSVKKISEPYLAAIFKQVLKGLLYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMQSTSGQANTFVGTYNYMSPERISGGRYDYKSDIWSLGLVLLECATGEFSITPPVPNEGWTNVYELMVAIVDQPPPSAPPDQFSPEFCSFISACVQKDPKDRQSAHELMEHPFMNMYEDQHVDLSSYFTNAGSLATL >Potri.006G146500.5.v4.1 pep chromosome:Pop_tri_v4:6:12476777:12480611:1 gene:Potri.006G146500.v4.1 transcript:Potri.006G146500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146500.v4.1 MKKGGLNPNVKLKLSLPPPNEVSFAKFLTQSGTFRDGDLLVNRDGVRIVSQIDTQPPPPITPTDNQLSLADIDMVKVIGKGSSGIVQLVQHKWTSQFFALKVIQMNIEESARKAITQELKINQSSQCPYVVMCYQSFYDNGAISIILEYMDGGSLADFLKSVKKISEPYLAAIFKQVLKGLLYLHHEKHIIHRDLKPSNLLINHRGEVKITDFGVSAIMQSTSGQANTFVGTYNYMSPERISGGRYDYKSDIWSLGLVLLECATGEFSITPPVPNEGWTNVYELMVAIVDQPPPSAPPDQFSPEFCSFISACVQKDPKDRQSAHELMEHPFMNMYEDQHVDLSSYFTNAGSLATL >Potri.017G114000.1.v4.1 pep chromosome:Pop_tri_v4:17:12138350:12145239:-1 gene:Potri.017G114000.v4.1 transcript:Potri.017G114000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114000.v4.1 MKVFVKTLKGTNFEIEVKPEDTVVEVKKNIENVQGADVYPAAQQMLIYQGKVLKDDTTLDESKVAENSFIVVMLSKSKVSSGGPSTATAAPPNSKVSSGGPSTATAAPPTLAQPTSSLPSNVTQPSSTSQAAVPAAALPQSAAESSPSVVISALSSDADMYGQAASNLVAGSNLEATIQQILDMGGGSWNRETVVRALRAAFNNPERAVEYLYSGIPEQAEVPPVAQGPASGVAVNPPAQAQQPAAPPSGGPNANPLDLFPQGLPSTGSNAGAGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQPDFLRLINEPVEGEGNVLGQLASAVPQTVTVTPEEREAIDRLVAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFDE >Potri.017G114000.2.v4.1 pep chromosome:Pop_tri_v4:17:12138449:12145167:-1 gene:Potri.017G114000.v4.1 transcript:Potri.017G114000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114000.v4.1 MKVFVKTLKGTNFEIEVKPEDTVVEVKKNIENVQGADVYPAAQQMLIYQGKVLKDDTTLDESKVAENSFIVVMLSKSKVSSGGPSTATAAPPNSKVSSGGPSTATAAPPTLAQPTSSLPSNVTQPSSTSQAAVPAAALPQSAAESSPSVVISALSDADMYGQAASNLVAGSNLEATIQQILDMGGGSWNRETVVRALRAAFNNPERAVEYLYSGIPEQAEVPPVAQGPASGVAVNPPAQAQQPAAPPSGGPNANPLDLFPQGLPSTGSNAGAGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQPDFLRLINEPVEGEGNVLGQLASAVPQTVTVTPEEREAIDRLVAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFDE >Potri.017G114000.6.v4.1 pep chromosome:Pop_tri_v4:17:12138371:12145141:-1 gene:Potri.017G114000.v4.1 transcript:Potri.017G114000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114000.v4.1 MKVFVKTLKGTNFEIEVKPEDTVVEVKKNIENVQGADVYPAAQQMLIYQGKVLKDDTTLDESKVAENSFIVVMLSKSKVSSGGPSTATAAPPTLAQPTSSLPSNVTQPSSTSQAAVPAAALPQSAAESSPSVVISALSSDADMYGQAASNLVAGSNLEATIQQILDMGGGSWNRETVVRALRAAFNNPERAVEYLYSGIPEQAEVPPVAQGPASGVAVNPPAQAQQPAAPPSGGPNANPLDLFPQGLPSTGSNAGAGNLDFLRNSQQFQALRAMVQANPQILQPMLQELGKQNPHLMRLIQEHQPDFLRLINEPVEGEGNVLGQLASAVPQTVTVTPEEREAIDRLVAMGFDRALVLEVFFACNKNEELAANYLLDHMHEFDE >Potri.018G059400.1.v4.1 pep chromosome:Pop_tri_v4:18:6009033:6012446:-1 gene:Potri.018G059400.v4.1 transcript:Potri.018G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G059400.v4.1 MTGLATGAIFLLISLCGIAAAGRDTVGDVLRLPSEASRFFHNDDNSDDDSTGTRWAILLAGSNGYWNYRHQADVFHAYQLLRKGGLKEENIIVFMYDDIAYNSENPRRGVIINSPQGEDVYKGVPKDYTGEDVTVGNFFAAILGNKTALTGGSGKVVDSGPNDHIFIYYTDHGGPGVLGMPTNPYLYADDLIDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGENPSPPPEYETCLGDLYSVAWMEDSDIHNLQTETLHQQYELVKRRTSNDNSPYGSHVMQYGDVGLSKDNIFLYMGTNPANDNFTFMDENLLRPRSKAVNQRDADLVHFWDKYRKAPEGSSRKVEAQKQFVEAMSHRMHIDHSIKLIGKLLFGIEKASEVLNAIRPAGQPLVDDWDCLKTLVRTFETHCGSVSQYGMKHMRSLANLCNAGIGKEQMAEASAQACVSFPSGPWSTLHKGFSA >Potri.001G450401.1.v4.1 pep chromosome:Pop_tri_v4:1:47644813:47651343:1 gene:Potri.001G450401.v4.1 transcript:Potri.001G450401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450401.v4.1 MGKPRPICALVCLFLFLCGQVILMITKVEATSNVHIVYLGGKQHDDPMLKTDSHHDMLASVVGSKEIASELMVYSYKHGFSGFAAKLTESQAQKVAELPGVVRVIPNSLHRLQTTRSWDFLGLSAHSPANTLHNSSMGDGVIIGVLDTGIWPEAKAFSDKGLGPIPSHWKGVCESGKRFKAKSHCNKKIIGARWFVEGFLAEYGQPLNTSGNREFFSPRDANGHGTHTASTAAGTFIDDVSYRGLALGTIRGGAPRARLAIYKVCWNVLGGQCSSADILKAFDEAIHDGVDVLSLSIGSSIPLFSDIDERDGIATGSFHAVAKGITVVCGAANDGPFAQTVQNTAPWILTVAASSMDRALPTPITLGNNKTFLGQAIYSGKEIGFRSLIYPEAKGLNPNSAGVCQFLSVDNSMVAGKVVLCFTSMNLGAVRSASEVVKEAGGVGLIVAKNPSEALYPCTDGFPCVEVDYEIGTRILFYIRSTRSPVVKLSPSKTIVGKPVLAKVAHFSSRGPNSIAPAILKPDIAAPGVNILAATSPLDRFQDGGYVMHSGTSMATPHVSGIAALLKAIHPDWSPASIKSAIVTTAWINNPSGFPIFAEGSPQKLADPFDYGGGIANPNGAAHPGLVYDMGTDDYVNYLCAMDYNNTAISRLTGKPTVCPTEGPSILNINLPSITIPNLRNSVTLTRTVTNVGASNSIYRVVIEAPFCCSVLVEPYVLVFNYTTKKITFSVTVNTTYQVNTGYFFGSITWIDGVHTVRSPLSVRTEISQPYIDEK >Potri.018G073925.1.v4.1 pep chromosome:Pop_tri_v4:18:9018373:9023163:-1 gene:Potri.018G073925.v4.1 transcript:Potri.018G073925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G073925.v4.1 MLKQILSKIPRKFPKSDPLDSTGIDCGNDSTCSGPPTNGGNSFSSRLNVVKRVSSAVFPASIMAGMEAVDPHLSFKDVSNLQKQNLFVSKLNLCCEVSDCSDPDKNAVQQDLKHQVLIDLVDFVSSGSAKFTEPAIAAICKMCANNLFRVFPPKYRTVNTGGETEDEEPMFDPAWSHLQSVYDLLLRFVSSVDAKAAKKHVDHAFILRLLELFDSVDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIIYHFVFETERHNGIAELLEIFGSVISGFALPLKEEHKIFLWRALIPLHKPKSVGIYHQQLTYCVVQFIEKDPRLTSPVIKGLLKYWPVTNSQKELMFISELEEILEMTGMDEFQKIMVPLFRRIRCCLNSSHYQVAERAHLLWNNERILKLIEHNRQVIVPLVFSALEQNTLNHWNQSVLIQTQHIRKMFCEMDEELVLACQRKLEEQDSLSSVEAEKRRLTWERLENAADLQPRADNILPVSCSVTC >Potri.018G073925.2.v4.1 pep chromosome:Pop_tri_v4:18:9018289:9023254:-1 gene:Potri.018G073925.v4.1 transcript:Potri.018G073925.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G073925.v4.1 MLKQILSKIPRKFPKSDPLDSTGIDCGNDSTCSGPPTNGGNSFSSRLNVVKRVSSAVFPASIMAGMEAVDPHLSFKDVSNLQKQNLFVSKLNLCCEVSDCSDPDKNAVQQDLKHQVLIDLVDFVSSGSAKFTEPAIAAICKMCANNLFRVFPPKYRTVNTGGETEDEEPMFDPAWSHLQSVYDLLLRFVSSVDAKAAKKHVDHAFILRLLELFDSVDPRERDCLKTILHRIYGKFMVHRPFIRKAVSNIIYHFVFETERHNGIAELLEIFGSVISGFALPLKEEHKIFLWRALIPLHKPKSVGIYHQQLTYCVVQFIEKDPRLTSPVIKGLLKYWPVTNSQKELMFISELEEILEMTGMDEFQKIMVPLFRRIRCCLNSSHYQVAERAHLLWNNERILKLIEHNRQVIVPLVFSALEQNTLNHWNQSVLIQTQHIRKMFCEMDEELVLACQRKLEEQDSLSSVEAEKRRLTWERLENAADLQPRADNILPVSCSVTC >Potri.012G084100.1.v4.1 pep chromosome:Pop_tri_v4:12:10970629:10972607:1 gene:Potri.012G084100.v4.1 transcript:Potri.012G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084100.v4.1 MGRHSCCLKQKLRKGLWSPEEDERLFNYITRFGVGCWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGMFSQQEEDLIISFHEVLGNRWAQIAAQLPGRTDNEIKNFWNSCLKKKLMKQGIDPATHKPLSQVEVKEEKICTEKASFQIPQSKGLPILSNFSAPEPAFIINDTAYNSSGLTEASREQFINKQAYDPIAYFEFPPSIVPTGYNSNLSSVYHPTVRPLDQNQFETSSNFVFTSMPSLTSFDHGSMSGTDFSDNSASRMSSMFLNEAKESSSNSSNISNYAGYQMSNMVENAAGFSSWDSDDKLESVFQYHQVNGIKTGELKPSPWHDAGQLHTHQNSVDFSSCPLKSLSEDLKGANFDGFHQI >Potri.016G141900.1.v4.1 pep chromosome:Pop_tri_v4:16:14452315:14454232:-1 gene:Potri.016G141900.v4.1 transcript:Potri.016G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141900.v4.1 MACIAYRDQELEESFSFSSCYDFNSSSFQSIFSDESDDESYIEIALEQKNYHGDIDDWADEEMELRISFSSSVPFQEPSTTTTSIENESAATMSKSPSASSTTTTFTMNSSSPSKEGDQWDTQMGSKASNSNCRIQKTIKRKAQFPKVHGFLNMLKPSLTVSSEASDENGRPASSNHLELVRPSTMKGSKETAMNSSGIVMKFLVKFRTLRIRTLLASFMKSRQEINFPRGKKNIGAYQTLIKPFDKWLVRKGQGSSSNSNNPFGNGDRSRVMEKNLDAIRGVLEAMSTSVGGKDRKSKSCPSSTKSSPTHLGFSSGDQNHKICAQDNSIQAAIAHCKRSFGPNIV >Potri.003G118700.1.v4.1 pep chromosome:Pop_tri_v4:3:14035594:14038107:1 gene:Potri.003G118700.v4.1 transcript:Potri.003G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118700.v4.1 MENNRSELLPTMVIVFLVGFISMFSSQAYTDEGKPLRTSETSQKGKFETYIVFVQKPEEGVSADDLDSWYKSFLPVTIPSSNHQERMVYSYRHVATGFAAKLTAEEAKAMEDKDGFLSAKPQKILSLHTTHSPNFLGLQKNLGFWRNSTYGKGVIIGVLDTGISPDHPSFSDEGVPPPPTKWKGKCNFNGTVCNNKLIGARDFTSSKAAPPFDEEGHGTHTASTAAGNFVNDASVFGNANGTAVGMAPLAHLAIYKVCSDFGCADSDILAAMDAAVEDGVDVLSLSLGGGSAPFFEDSIAVGAFGATQKGIFVSCSAGNEGPYNGSLSNEAPWILTVGASTIDRSIRADVLLGNSNHFFGESLFQSNSPPYMSLVYAGAHGSQSAAFCAPESLTDIDVKGKIVLCERGGGIARIDKGQAVKDAGGAAMILMNDKDSGYSTLADAHVLPASHVSYSAGLSIKAYINSTQVPTATIMFLGTKIGDKTAPTVASFSSRGPSLASPGILKPDIIGPGVSILAAWPVSVENKTDTKSTFNIISGTSMSCPHLSGIAALLKSAHPDWSPAAIKSAIMTTADLVNLGNQPILDERLLPADILATGAGQVNPSKASDPGLVYDIQPDDYIPYLCGLGYPDKDISYIVQRQVNCSEESSILEAQLNYPSFSIVYGPNPATQTYTRTVTNVGPPNSSYTAFVDPPPGVNVTVTPKNIIFTNTEQTATYSVTFTATSESNNDPIGQGYIRWVSDKHSIRSQILVSFSNED >Potri.002G106300.2.v4.1 pep chromosome:Pop_tri_v4:2:7861388:7864992:1 gene:Potri.002G106300.v4.1 transcript:Potri.002G106300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106300.v4.1 MAVAFTNLSWWLWSGKHQEPRISNGSSLNARPDSDLWESSDTLKFPLVQTNVASSSRRVKRKWHSREERKIDREYDVVLVPSDGGCVSGSESDDSDYSIGWLEPHGPEFQSDDDTDNSFAVLVPCYGRVQDNAFEDKKNNLFGAIVNIPDESKKYMEQWLSSVQNI >Potri.002G106300.5.v4.1 pep chromosome:Pop_tri_v4:2:7861420:7864836:1 gene:Potri.002G106300.v4.1 transcript:Potri.002G106300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106300.v4.1 MAVAFTNLSWWLWSGKHQEPRISNGSSLNARPDSDLWESSDTLKFPLVQTNVASSSRRVKRKWHSREERKIDREYDVVLVPSDGGCVSGSESDDSDYSIGWLEPHGPEFQSDDDTDNSFAVLVPCYGRVQDNAFEDKKNNLFGAIVNIPDGKSHCSSRVLFIFWLLLQNLLFASSTVSVGFLMLC >Potri.006G255900.1.v4.1 pep chromosome:Pop_tri_v4:6:25334343:25337724:1 gene:Potri.006G255900.v4.1 transcript:Potri.006G255900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255900.v4.1 MFAAENGLKSDPRLQGISEAIRVVPHFPKQGIMFQDITTLLLDHKVFKDTVDIFVDRYRDMNISVVAGVEARGFMFGPAIALAIGAKFVPLRKPRKLPGEVISEAYELEYGTDCLEIHVGAVQPGERAIVIDDLVATGGTLSAAISLLERVGAEVIECACVIGVPDIKGQCRLNGKPLYILVEPRQIDNCC >Potri.016G028100.1.v4.1 pep chromosome:Pop_tri_v4:16:1561420:1561992:1 gene:Potri.016G028100.v4.1 transcript:Potri.016G028100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028100.v4.1 MSSTEQNRAAENMNSNRSRLDLSLMDFFEGNSSGERRMFKCKYCKNKFTTSQALGGHQNAHKRERAIEKRDKLLSEHMTYIPYPFWDMAIRSPMHYSSLGKNLGVDTSSMIHKPYSHWFRGGFGQAGGRRYGGPSRPHIMNHQPSSSHMQNGGLQPMPSNILTNGNHTGGSSTSKNQKEEGPRLELSLKL >Potri.009G167400.7.v4.1 pep chromosome:Pop_tri_v4:9:12774981:12781531:-1 gene:Potri.009G167400.v4.1 transcript:Potri.009G167400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167400.v4.1 MGICWGSSAHSPTTPSTTGHLSTGISQTTSDTASSGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRIFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRIGSGTIIAVKRLNSESLQGFEEWQSEVNFLGRLSHPHLVRLIGYCWEVKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGAYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDINRPSGRHSLVDWIKPYLSDKRKLKSIMDSHLEGRYPSKAALQIAQLALNCLESEPKHRPHMKQVVETLERIEASKERQGQTRTRPNRSKSHQNGQQPLQYHSPLHPIPDRSRGYQHSPRASCWI >Potri.009G167400.14.v4.1 pep chromosome:Pop_tri_v4:9:12774974:12781531:-1 gene:Potri.009G167400.v4.1 transcript:Potri.009G167400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167400.v4.1 MGICWGSSAHSPTTPSTTGHLSTGISQTTSDTASSGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRIFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRIGSGTIIAVKRLNSESLQGFEEWQSEVNFLGRLSHPHLVRLIGYCWEVKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGAYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDINRPSGRHSLVDWIKPYLSDKRKLKSIMDSHLEGRYPSKAALQIAQLALNCLESEPKHRPHMKQVVETLERIEASKERQGQTRTRPNRSKSHQNGQQPLQYHSPLHPIPDRSRGYQHSPRAS >Potri.009G167400.13.v4.1 pep chromosome:Pop_tri_v4:9:12774901:12780515:-1 gene:Potri.009G167400.v4.1 transcript:Potri.009G167400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167400.v4.1 MGICWGSSAHSPTTPSTTGHLSTAGISQTTSDTASSGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRIFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRIGSGTIIAVKRLNSESLQGFEEWQSEVNFLGRLSHPHLVRLIGYCWEVKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGAYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDINRPSGRHSLVDWIKPYLSDKRKLKSIMDSHLEGRYPSKAALQIAQLALNCLESEPKHRPHMKQVVETLERIEASKERQGQTRTRPNRSKSHQNGQQPLQYHSPLHPIPDRSRGYQHSPRAS >Potri.009G167400.2.v4.1 pep chromosome:Pop_tri_v4:9:12777111:12780651:-1 gene:Potri.009G167400.v4.1 transcript:Potri.009G167400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167400.v4.1 MGICWGSSAHSPTTPSTTGHLSTGISQTTSDTASSGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRIFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRIGSGTIIAVKRLNSESLQGFEEWQSEVNFLGRLSHPHLVRLIGYCWEVKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGAYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDINRPSGRHSLVDWIKPYLSDKRKLKSIMDSHLEGRYPSKAALQIAQLALNCLESEPKHRPHMKQVVETLERIEASKERQGQTRTRPNRSKSHQNGQQPLQYHSPLHPIPDRSRGYQHSPRAR >Potri.009G167400.15.v4.1 pep chromosome:Pop_tri_v4:9:12774901:12780515:-1 gene:Potri.009G167400.v4.1 transcript:Potri.009G167400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167400.v4.1 MGICWGSSAHSPTTPSTTGHLSTGISQTTSDTASSGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRIFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRIGSGTIIAVKRLNSESLQGFEEWQSEVNFLGRLSHPHLVRLIGYCWEVKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGAYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDINRPSGRHSLVDWIKPYLSDKRKLKSIMDSHLEGRYPSKAALQIAQLALNCLESEPKHRPHMKQVVETLERIEASKERQGQTRTRPNRSKSHQNGQQPLQYHSPLHPIPDRSRGYQHSPRAS >Potri.009G167400.12.v4.1 pep chromosome:Pop_tri_v4:9:12774900:12780515:-1 gene:Potri.009G167400.v4.1 transcript:Potri.009G167400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167400.v4.1 MGICWGSSAHSPTTPSTTGHLSTAGISQTTSDTASSGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRIFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRIGSGTIIAVKRLNSESLQGFEEWQSEVNFLGRLSHPHLVRLIGYCWEVKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGAYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDINRPSGRHSLVDWIKPYLSDKRKLKSIMDSHLEGRYPSKAALQIAQLALNCLESEPKHRPHMKQVVETLERIEASKERQGQTRTRPNRSKSHQNGQQPLQYHSPLHPIPDRSRGYQHSPRAS >Potri.009G167400.1.v4.1 pep chromosome:Pop_tri_v4:9:12777258:12781531:-1 gene:Potri.009G167400.v4.1 transcript:Potri.009G167400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167400.v4.1 MGICWGSSAHSPTTPSTTGHLSTAGISQTTSDTASSGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRIFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRIGSGTIIAVKRLNSESLQGFEEWQSEVNFLGRLSHPHLVRLIGYCWEVKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGAYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDINRPSGRHSLVDWIKPYLSDKRKLKSIMDSHLEGRYPSKAALQIAQLALNCLESEPKHRPHMKQVVETLERIEASKERQGQTRTRPNRSKSHQNGQQPLQYHSPLHPIPDRSRGYQHSPRAR >Potri.009G167400.5.v4.1 pep chromosome:Pop_tri_v4:9:12774981:12781531:-1 gene:Potri.009G167400.v4.1 transcript:Potri.009G167400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167400.v4.1 MGICWGSSAHSPTTPSTTGHLSTAGISQTTSDTASSGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRIFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRIGSGTIIAVKRLNSESLQGFEEWQSEVNFLGRLSHPHLVRLIGYCWEVKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLAFLHTSDKQVIYRDFKASNILLDGAYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDINRPSGRHSLVDWIKPYLSDKRKLKSIMDSHLEGRYPSKAALQIAQLALNCLESEPKHRPHMKQVVETLERIEASKERQGQTRTRPNRSKSHQNGQQPLQYHSPLHPIPDRSRGYQHSPRASCWI >Potri.018G124501.1.v4.1 pep chromosome:Pop_tri_v4:18:13629470:13630713:-1 gene:Potri.018G124501.v4.1 transcript:Potri.018G124501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124501.v4.1 MVRFQKGWQDFADFYSLKKGDLLVFEYKGNSRFSVSIYKEMDYPAGSIDSVSSNQFGHFEEDMEDEDYLEFLAKLPKQKPEVSYSFSKPASDSPSCMIIKSGRSRKRLKS >Potri.013G079101.1.v4.1 pep chromosome:Pop_tri_v4:13:6783039:6788367:1 gene:Potri.013G079101.v4.1 transcript:Potri.013G079101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079101.v4.1 MWLEIICCLIAYMLFTCFFSDDDDVLEVESSDTNALFNVANKLEKLYGGKVYVGLRIPDADTGSRQNIDIVLVTKGEAVVISVKNFSGSVSISGDGSWVCEGEGRHKSERHPDPVEETKKQASILESYLEQRGVALPEGYLSCKVVLPNPKLHTIRSGYFPPEVITYDQWVLLKPEPKGLFSGWKKGSFSGGKKEMQESMHQKLNFTLSTAPVWDRLELKGNKYVLGEFLEFKGQQEDIMALRNIKRSKVSRLIIQKTSMFGLANSKLQVLYSGRDYRSEGASASELKEETVRSSTEVLFQAENSAKVRKFKLSSIISMSLSA >Potri.008G175775.1.v4.1 pep chromosome:Pop_tri_v4:8:12173793:12174077:1 gene:Potri.008G175775.v4.1 transcript:Potri.008G175775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175775.v4.1 MDWQGQKLAELWMQVLLIVFAVVAFATGYIMGSFRTMMLIYAGGVVFTSLVTVPNWPFFNRHPLKWLDPSEAEKHPKPQQQVVASKDKKKSSKK >Potri.017G010100.1.v4.1 pep chromosome:Pop_tri_v4:17:768512:773064:1 gene:Potri.017G010100.v4.1 transcript:Potri.017G010100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010100.v4.1 MGKNQAYKAMQRSRLGSSSAGPEEIEDGMVDGSFHSPEWHAARLASLNKSHTVTWEEFKKKQKEDEMRNGELEADKDRMMREYRAQLDAERAHKLAHGRNHSGSKSSHKKDRKDRDLKKRRSKKRKHSRRRSDDSSSSSSSSDSSSSEDERELKRSKSKSRKTKEKKHKSRPKHSSSDDEEAGGPVPLSRFFGSLKN >Potri.002G111766.1.v4.1 pep chromosome:Pop_tri_v4:2:8426833:8427069:-1 gene:Potri.002G111766.v4.1 transcript:Potri.002G111766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111766.v4.1 MPTRVAVHTVMCSCLFPVASFSTGTPAESTRENALSLCSISAAFIVKLRDRSSFCFGERVEQSAPQCCLWKEPVIRAS >Potri.002G066600.2.v4.1 pep chromosome:Pop_tri_v4:2:4590428:4598120:-1 gene:Potri.002G066600.v4.1 transcript:Potri.002G066600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066600.v4.1 METKGRLIAGSHNRNEFVLINADEIARVTSVKELSGQICKICGDEIEVTVDGEPFVACNECAFPVCRPCYEYERREGNQACPQCRTRYKRIKGSPRVDGDEEEEDTDDLENEFDIGVNDRRDPRHVAEALLSARLNTGRGSQAHVSGFATPSEFDSASVAPEIPLLTYGEEDVGISSDKHALIVPPFHGKRIHPMPFSDSSIPLPPRPMDPKKDLAVYGYGTVAWKERMEEWKKKQSDKLQVVKHQGGKGGENNGGDELDDPDLPMMDEGRQPLSRKLPISSSKISPYRLIIILRLVILGLFFHYRILHPVNDAYGLWLTSVICEIWFAVSWILDQFPKWYPIERETYLDRLSLRYEKEGKPSELASVDVFVSTVDPMKEPPLITANTVLSILAVDYPVDKVACYVSDDGAAMLTFEAISETSEFARKWVPFCKRFSIEPRAPEWYFAQKVDYLKDRVDPAFIRERRAMKREYEEFKVRINGLVATAQKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGHNGVHDVEGNELPRLVYVSREKRPGFDHHKKAGAMNSLVRVSAIITNAPYMLNVDCDHYINNSKALREAMCFMMDPTSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGYDAPIKKKPPGRTCNCLPKWCCCCCRSKKKNKKSKSNEKKKSKDASKQIHALENIEEGIEGIDNEKSALMPQIKFEKKFGQSSVFIASTLMEDGGVPKGASSASLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEILLSRHCPIWYGYGCGLKWLERFSYINSVVYPLTSIPLIAYCTLPAVCLLTGKFIVPEISNYASIIFMALFISIAATGILEMQWGGVGIHDWWRNEQFWVIGGASAHLFALFQGLLKVLAGVNTNFTVTSKAADDGEFSDLYLFKWTSLLIPPMTLLIINIIGVVVGISDAINNGYETWGPLFGKLFFALWVIVHLYPFLKGWLGKQDRLPTIIVVWSILLASVLTLLWVRINPFVSKGGIVLEVCGLDCN >Potri.002G187000.7.v4.1 pep chromosome:Pop_tri_v4:2:14912171:14916971:-1 gene:Potri.002G187000.v4.1 transcript:Potri.002G187000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187000.v4.1 MKIKNCYFPLFVSSSVLQKEKDHIEGFAPEVAWVTKAGKSDLEVPVAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFASKQEADEEVLQILELYQRIYEEFLAIPVIKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKGMAWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVVPVPYKDADTQGIFDACAATVDTLCEAGIRAEADFRENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKVDISRDSLMEKVKDMLDDIQQRLFDAAKQKRDACIQVVKTWGEFKEALSQRKMILAPWCDEEEVERDVKERTRGEMGAAKSLCSPFDQPELPEGTACFASGKPAKKWTYWGRSY >Potri.002G187000.2.v4.1 pep chromosome:Pop_tri_v4:2:14912217:14916937:-1 gene:Potri.002G187000.v4.1 transcript:Potri.002G187000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187000.v4.1 MADGKKKEVKKETGLGLTFKKEENFGEWYSEVVVNGEMIEYYDISGCYILRPWSMSIWETMQAFFDVEIKKMKIKNCYFPLFVSSSVLQKEKDHIEGFAPEVAWVTKAGKSDLEVPVAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFASKQEADEEVLQILELYQRIYEEFLAIPVIKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKGMAWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVVPVPYKDADTQGIFDACAATVDTLCEAGIRAEADFRENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKVDISRDSLMEKVKDMLDDIQQRLFDAAKQKRDACIQVVKTWGEFKEALSQRKMILAPWCDEEEVERDVKERTRGEMGAAKSLCSPFDQPELPEGTACFASGKPAKKWTYWGRSY >Potri.002G187000.6.v4.1 pep chromosome:Pop_tri_v4:2:14912110:14916971:-1 gene:Potri.002G187000.v4.1 transcript:Potri.002G187000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187000.v4.1 MADGKKKEVKKETGLGLTFKKEENFGEWYSEVVVNGEMIEYYDISGCYILRPWSMSIWETMQAFFDVEIKKMKIKNCYFPLFVSSSVLQKEKDHIEGFAPEVAWVTKAGKSDLEVPVAIRPTSETVMYPYFSKWIRGHRDLPLKLNQWCNVVRWEFSNPTPFIRSREFLWQEGHTAFASKQEADEEVLQILELYQRIYEEFLAIPVIKGKKSEMEKFAGGLYTTSVEAFIPNTGRGIQGATSHCLGQNFAKMFEINFENEKGEKGMAWQNSWAYSTRTIGVMVMVHGDDKGLVLPPKVASVQVIVVPVPYKDADTQGIFDACAATVDTLCEAGIRAEADFRENYSPGWKYSHWEMKGVPLRIEIGPKDLANNQVRAVRRDNAAKVDISRDSLMEKVKDMLDDIQQRLFDAAKQKRDACIQVVKTWGEFKEALSQRKMILAPWCDEEEVERDVKERTRGEMGAAKSLCSPFDQPELPEGTACFASGKPAKKWTYWGRSY >Potri.007G017100.1.v4.1 pep chromosome:Pop_tri_v4:7:1316007:1319532:1 gene:Potri.007G017100.v4.1 transcript:Potri.007G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G017100.v4.1 MEGLEGTLAELRDTFKSGRTRSVAWRKSQLRAMIEFVQDNEEEMFKVLDQDLGKHPVEAYRDEVGVVAKSAKLSLSCVEKWMAPKKGNLPLAFFPASAEVMPEPFGVVLIMGSWNFPISLTLDPLIGAISAGNVVVLKPSELSPACSSFLAEAIPKYLDPKSIKVIEGGIDVCEQLLQQNWDKIFFTGSQRVGRIVMTAAAQHLTPVTLELGGKSPAILDSSSNPTNMKVIAKRIVAAKWGSCSGQACIAIDYMLVEEKFASYLIDLLEKTIKQFFGENPRESKSLCKILNKNNFMRLLDLLKDPLIRASVVYGGSVDEETMYIEPTILLNPPLDSQIMTEEIFGPLLPIITLNNIHDSIEFISSRPKPLAIYAFTRDETFKKQILSKTSSGSVTFNDTLLQFVCDSLPFGGVGQSGFGRYHGKYSFDTFSHEKAILQRRFFPELEPRYPPWNNLKFQFIKLLYAFNYIGLLLLLLGLKK >Potri.009G097100.1.v4.1 pep chromosome:Pop_tri_v4:9:8726781:8728340:-1 gene:Potri.009G097100.v4.1 transcript:Potri.009G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097100.v4.1 MLPSILQLLLTFSILFSTKNLIASSLTLPQDISALKAFRASVKPSSIQPWSCLASWDFIADPCAVPRRTRFTCGITCSPDSTRVTQLTLDSAGYSGRLTPLTSQLTSLIILDLADNNFFGPIPSSISSLINLQTLTLRSNSFSGSVPDSITNLKSLESLDLSHNSLSGYLPKTMNSMSSLRRLDLSYNKLTGSLPKLPYNLLELALKANSLSGSLSKSSFDSLTQLEVVELSENSLTGTLESWFFLLPALQQVDLANNSLTRLEIPKPANSDLVAVDLGFNKIEGNAPLHFADYPLLSSLSLRYNSLRGTIPLEYSRKKSLRRLFLDGNFLIGKPPPAFFAADRSVSGSIGDNCLQACPGSSQLCMPSQKPSSICKQAYGGKPRS >Potri.009G034700.7.v4.1 pep chromosome:Pop_tri_v4:9:4485256:4490172:-1 gene:Potri.009G034700.v4.1 transcript:Potri.009G034700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034700.v4.1 MSFVFRGSRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTAVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLMGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRALDSGNASTTSMTEEEINALPVHKYKVPVQENTSASLQHASSSSAPAETKQDSKNADGNMKTSEDELTCTICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKFLIGSGWQESRESESDGSDMV >Potri.009G034700.8.v4.1 pep chromosome:Pop_tri_v4:9:4485110:4490172:-1 gene:Potri.009G034700.v4.1 transcript:Potri.009G034700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034700.v4.1 MSFVFRGSRGDIESGFSGFIPERPAVRIHAARPVNSNSLAFLVTVLLLFMILNSHQMSPNFLLWLVVGVFLMATSLRMYATCQQLQAQARAHAAAASGLMGHTELRLHMPPSIAFATRGRLQGLRLQLALLDREFDDLDYDTLRALDSGNASTTSMTEEEINALPVHKYKVPVQENTSASLQHASSSSAPAETKQDSKNADGNMKTSEDELTCTICLEQVNRGELVRSLPCLHQFHTNCIDPWLRQQGTCPVCKFLIGSGWQESRESESDGSDMV >Potri.003G109000.1.v4.1 pep chromosome:Pop_tri_v4:3:13148990:13151843:-1 gene:Potri.003G109000.v4.1 transcript:Potri.003G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109000.v4.1 MDLQRAMLDELMGADRNLTEEERKDYKEITWDSKEVCAYYMARFCPHDLFVNTKSDLGPCDRVHDPKLKESFEKSPSHDAYLAKFEAELALRCEKLVMELDRRVRRGRERLAQGDEPVAPPPLSADKSERLSVVEEKIKNLLVQVEALGEVGKVDEAQALMKKVDELNAEKALIQSQNDKVLVVPQEKKMALCEICGSFLVANDAAERTQSHVTGKQHIGYGMVRDYITEYKEAKEKAREEERLAREKEAGERKKPREKEYESSSRRSDSGDRDRYRERERDRDRDRERSREWNGRGSRGDWRTRNGRDGGKDRHRYRSRSRSPVRHDRRRSPRSPVRPY >Potri.003G109000.3.v4.1 pep chromosome:Pop_tri_v4:3:13147959:13151856:-1 gene:Potri.003G109000.v4.1 transcript:Potri.003G109000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109000.v4.1 MELDRRVRRGRERLAQGDEPVAPPPLSADKSERLSVVEEKIKNLLVQVEALGEVGKVDEAQALMKKVDELNAEKALIQSQNDKVLVVPQEKKMALCEICGSFLVANDAAERTQSHVTGKQHIGYGMVRDYITEYKEAKEKAREEERLAREKEAGERKKPREKEYESSSRRSDSGDRDRYRERERDRDRDRERSREWNGRGSRGDWRTRNGRDGGKDRHRYRSRSRSPVRHDRRRSPRSPVRPY >Potri.003G109000.2.v4.1 pep chromosome:Pop_tri_v4:3:13148026:13151798:-1 gene:Potri.003G109000.v4.1 transcript:Potri.003G109000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109000.v4.1 MDLQRAMLDELMGADRNLTEEERKDYKEITWDSKEVCAYYMARFCPHDLFVNTKSDLGPCDRVHDPKLKESFEKSPSHDAYLAKFEAELALRCEKLVMELDRRVRRGRERLAQGDEPVAPPPLSADKSERLSVVEEKIKNLLVQVEALGEVGKVDEAQALMKKVDELNAEKALIQSQNDKVLVVPQEKKMALCEICGSFLVANDAAERTQSHVTGKQHIGYGMVRDYITEYKEAKEKAREEERLAREKEAGERKKPREKEYESSSRRSDSGDRDRYRERERDRDRDRERSREWNGRGSRGDWRTRNGRDGGKDRHRYRSRSRSPVRHDRRRSPRSPVRPY >Potri.007G041000.1.v4.1 pep chromosome:Pop_tri_v4:7:3401059:3416693:1 gene:Potri.007G041000.v4.1 transcript:Potri.007G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041000.v4.1 MEWTTVQHLDLRHVARGFHRPLQPHAAAFHPTQTLIAAAIGTYIIEFDAVTGSKLSSIDIGASVLRMAYSPNTSHAVIVMVEDGTIRSCDFDTEQSWVLHSPEKKMEPLSFDTEVHMALTPLQPVVFFGFHRRMSVTVVGTVDGGRAPTKIKTDLKKPIVNLACHTRHPVLYVAYADGLIRAYNIHSYAVHYTLQLDNSIKLIGAGAFAFHPTLEWIFVGDRRGTLLAWDVSTERPSMIGITQVGSQPITSIAWLPALRLLVTVSKDGTLQTWKTRVILNPNRPPMQANFFEPAGIESIDIPRILSQQGGEAIYPLPKIKALEAHPKLNLAALLFANMTGVDNVKSRTAYTRDGRKQLFAVLQSARGSSASVLKEKLSSLGSSGILADHQLQAQLQEHHLKGQSQLTISDIARKAFLYSHFMEGHAKSAPISRLPLITILDTKHHLRDIPVCQPIHLELNFFNKENRVLHYPVRAFYLDGLNLMAYNFCSGVDNIYKKLYTSIPGNVEYQAKHMVYSIKQHLFLVVYEFSGSANEVVLYWENTNAQPANNKGSTIKGRDAAFIGPSESQFAILDEDKTGVALYILPGGASKEAGEKNLLLEENHFAETNGASLRGPMQFLFESEVDRIFTTPLESTLMFASTGSHIGFAKMVQGYRLSTSDGNYISTKTEGKKSIKLKVNEIVLQVHWQETLRGYVAGILTTHRVLMVSADLDILASSSTKFDKGLPSFRSLLWLGPALLFSTATAISVLGWDGIVRTILSVSLPYAVLVGALNDRLVLANPTDVNPRQKKGVEIKSCLVGLLEPLLIGFATMQHTFEQKLDLSEILYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQVLRGVYAIEALRFSTALDVLKDEFLRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYEGMLDLFICHLNPSAMRRLAQKLEEEGLDSQLRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAGEVMPYMKTDDGTIPAIITDHIGVYLGSIKGRGNVVEVREDSLVKAFIPAGDNKPNGLPNALAKSISNKSNGLPDGHMKLDSLLGLETLTKQNAGTSAADEQAKAEEEFKKTMYGTANDGSSSDEEGVSKTKKLQIRIRDKPVSSTTVDVNKIKEATRQFKLGDGLGPPMRTKSLTGSQDLGQILSQPPATTAPVSASADMFVTDSLMQPAPVSQPGPMVMGGGVTARPIPEDFFQNTIPSLQVAASLPPPGTYLAKLDQVSQGVGSNNAGGIPNPGAASVSDIGLPDGGIPPQATQLAAPLASIGLADGGVPPQASIQAGIPPQPQVQAPQVPLSTQPLDLSVLGVTDSGKTPAPASLPSSVRPGQVPRGAAAPVCFKTGLAHLEQNQLPDALSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLKEIARLQKVQGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNFAYGKQMLELLISKAPSSKQDELRSLIDMCVQRGSSNKSIDPLEDPSHFCAATLSRLSTIGYDVCDLCGAKFSALSAPGCIICGMGSIKRSDALAGPVPSPFG >Potri.007G041000.7.v4.1 pep chromosome:Pop_tri_v4:7:3409434:3416694:1 gene:Potri.007G041000.v4.1 transcript:Potri.007G041000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041000.v4.1 MQFLFESEVDRIFTTPLESTLMFASTGSHIGFAKMVQGYRLSTSDGNYISTKTEGKKSIKLKVNEIVLQVHWQETLRGYVAGILTTHRVLMVSADLDILASSSTKFDKGLPSFRSLLWLGPALLFSTATAISVLGWDGIVRTILSVSLPYAVLVGALNDRLVLANPTDVNPRQKKGVEIKSCLVGLLEPLLIGFATMQHTFEQKLDLSEILYQITSRFDSLRITPRSLDILARGPPVCGDLAVSLSQAGPQFTQVLRGVYAIEALRFSTALDVLKDEFLRSRDYPKCPPTSHLFHRFRQLGYACIKYGQFDSAKETFEVIADYEGMLDLFICHLNPSAMRRLAQKLEEEGLDSQLRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPTNLKSIPQWELAGEVMPYMKTDDGTIPAIITDHIGVYLGSIKGRGNVVEVREDSLVKAFIPAGDNKPNGLPNALAKSISNKSNGLPDGHMKLDSLLGLETLTKQNAGTSAADEQAKAEEEFKKTMYGTANDGSSSDEEGVSKTKKLQIRIRDKPVSSTTVDVNKIKEATRQFKLGDGLGPPMRTKSLTGSQDLGQILSQPPATTAPVSASADMFVTDSLMQPAPVSQPGPMVMGGGVTARPIPEDFFQNTIPSLQVAASLPPPGTYLAKLDQVSQGVGSNNAGGIPNPGAASVSDIGLPDGGIPPQATQLAAPLASIGLADGGVPPQASIQAGIPPQPQVQAPQVPLSTQPLDLSVLGVTDSGKTPAPASLPSSVRPGQVPRGAAAPVCFKTGLAHLEQNQLPDALSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLKEIARLQKVQGPSALSAKDEMARLSRHLGSLPLLAKHRINCIRTAIKRNMEVQNFAYGKQMLELLISKAPSSKQDELRSLIDMCVQRGSSNKSIDPLEDPSHFCAATLSRLSTIGYDVCDLCGAKFSALSAPGCIICGMGSIKRSDALAGPVPSPFG >Potri.003G060000.1.v4.1 pep chromosome:Pop_tri_v4:3:8674159:8680581:1 gene:Potri.003G060000.v4.1 transcript:Potri.003G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060000.v4.1 MQLSLCLSKPAQHLKNPLMAASGSGSIIWFRKGLRIHDNPALEYASKGSDFVYPVFVIDPHYMEPDPKAFSPGSRLAGLNRIRFLLESLVDLDTSLKKLGSRLLILRGEPGQVLTRCLKEWGVKKLCFEYDTDPHYQALDIRVKEYASAAGIEVFSPVSHTLFNPADIIQRNGGKPPLTYQSFLKLAGQPSWASSPLLTSISSLPPVGDVGSCEISEVPTIKDLGYGDIEQEWIPFRGGESEALKRLKESISDKEWVANFEKPKGNPSAFVKPATTVLSPYLKFGCLSSRYFYQCLQDVYKNVQKHTSPPVSLAGQLLWRDFFYTVAFGTPNFDRMEGNKLCKQIPWNDDDELLAAWREARTGYPWIDAIMVQLRKWGWMHHLARHSVACFLTRGDLFLHWERGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPPGIQRKAKCIIGRDYPKPVVYHDSASKECKRKLAEAYALNKKLNGQLSQEDLDNLRRKLEQDEDQEPKIRRQRQKVGHLT >Potri.003G060000.5.v4.1 pep chromosome:Pop_tri_v4:3:8674245:8680840:1 gene:Potri.003G060000.v4.1 transcript:Potri.003G060000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060000.v4.1 MQLSLCLSKPAQHLKNPLMAASGSGSIIWFRKGLRIHDNPALEYASKGSDFVYPVFVIDPHYMEPDPKAFSPGSRLAGLNRIRFLLESLVDLDTSLKKLGSRLLILRGEPGQVLTRCLKEWGVKKLCFEYDTDPHYQALDIRVKEYASAAGIEVFSPVSHTLFNPADIIQRNGGKPPLTYQSFLKLAGQPSWASSPLLTSISSLPPVGDVGSCEISEVPTIKDLGYGDIEQEWIPFRGGESEALKRLKESISDKEWVANFEKPKGNPSAFVKPATTVLSPYLKFGCLSSRYFYQCLQDVYKNVQKHTSPPVSLAGQLLWRDFFYTVAFGTPNFDRMEGNKLCKQIPWNDDDELLAAWREARTGYPWIDAIMVQLRKWGWMHHLARHSVACFLTRGDLFLHWERGRDVFERLLIDSDWAINNGNWLWLSCSSFFYQYNRIYSPISFGKKYDPNGDYIRHFLPVLKDMPKEYIYEPWTAPPGIQRKAKCIIGRDYPKPVVYHDSASKECKRKLAEAYALNKKLNGQLSQEDLDNLRRKLEQDEDQEPKIRRQRQKVGHLT >Potri.005G007750.1.v4.1 pep chromosome:Pop_tri_v4:5:552495:553684:-1 gene:Potri.005G007750.v4.1 transcript:Potri.005G007750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007750.v4.1 MIRELPESIRKLKYLEILDLKGSPVSSLPAGITQLTCLCQLRNYRHSFQSSSFFPDTHGMRVPSRIGRLTNLQTLGSVEVNEDYELVRELGKLTQLRRLGILAKRRAGNGSLLYFGQVETPYCFLSCFTKQH >Potri.004G203700.1.v4.1 pep chromosome:Pop_tri_v4:4:21263306:21267597:-1 gene:Potri.004G203700.v4.1 transcript:Potri.004G203700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203700.v4.1 MFAAIAVALAAGLLSWAYQTIKPPPPKICGSPGGPRITSPRVKLSDGRHLAYREMGVPKEEAKHKIIVIHGFDDSKDLSLPVSQETIEELSIYFLFFDRAGYGESDPYPSRSVKSEAYDIQELADQLQIGSKFYVIGMSMGAYPVYGCLKYIPHRLSGASLVVPFVHYWWPSLPANISREGFQTLCTADQRTFQVAHHTPRLFYWWMTQKWFPSLSIMAGNMNLFSPPDMEIIKKLSETPKVGQEKVRQQGVHESLHRDILAGYAKWEFDIMDISNPFPDNEGSVHLWQGYEDRIIPLQINRYIAEKLPWIHYHEVPDAGHLMLFKTELCEAILRALLLG >Potri.002G224500.1.v4.1 pep chromosome:Pop_tri_v4:2:21282154:21284443:-1 gene:Potri.002G224500.v4.1 transcript:Potri.002G224500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224500.v4.1 MPSKLRKAIGAVKDKTSISLAKVSSSNASNLEVLILKATRHDEVPVDERYVNEVLSLISSNKVYAAVCAQAIAKRIGKTRNWIVALKSLMLVLRIFQDGDPYFPKEVLVAMKRGAKILNISSFRDDSKSKPWDYTAFVRTFALYLDERLDCFLTGKLQRRFTNRERENSHPRSRRGNDSVSEMKPAMLLEKLSYWQKLLDRAVATRPTGAAKTNRLVQISLYAIVQESFDLYRDISDGLAFLLDSFFQLQPQYCVNAFQTCVMASKQFEELCSFYDLCKSLGVGRTSEYPSVQTISQELLETLQEFLRDQSSFPTNGRSPAHLLLPSPPNNDASSSTDRYGGWEESSEKGSEFGSQCTSLEDLMSATDMGTSPSMSTDHYLEQFEIRSQTEDILSTADSSSIHSPAIDQGAISATNSVFDLVSLDGWPPEEQEQEQEQESEPEPGQRTSALDSNTGLSDCWEAVLAETANQSMQASPDPTIGFEPNAVSNFFDHGSAHVDSGFEPPIVDNIIDQASLPDHQYNPFLQDTTEIPATVATTDSQSICPVNEMFSVAPTFRATPTFSEQNPDTAAGLHNQDDPFAPCLTTMAAGHVSNVSMDQQSLLQQQQLWLQNQDKIIAKNMS >Potri.002G194900.1.v4.1 pep chromosome:Pop_tri_v4:2:15782584:15783496:1 gene:Potri.002G194900.v4.1 transcript:Potri.002G194900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194900.v4.1 MELNKFILLLISSSILPFLAGATFEAPGIADALGPAMSSLSAATTRSPLPPPVVSPTMRSPFPSPVSSPSPPDSPAHSSSTLPLLSNNAALTKICDVTRYPAECLATIAPFLTGETNPISVLKIGIHALQKSFEEATAVATKVINDLSTTAAVKAPLDTCVESFDSGIAVLNDALTAISAHDIGRLSTKLSAALTYSDTCEEAFAEQPDLESPLKETAQHLDKLASINLAISASLQWS >Potri.006G014900.2.v4.1 pep chromosome:Pop_tri_v4:6:936378:940379:1 gene:Potri.006G014900.v4.1 transcript:Potri.006G014900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014900.v4.1 MAQNDSVSEFLETAVEAAKKAGEIIREGFYQTKHVEHKGQVDLVTETDKACEALIFNHLKQQYPSHKLIGEETTAAYGATELTDEPTWIVDPLDGTTNFVHGFPFVCISIGLTIGKVPTVGVVYNPIMDELFTGIHGKGAFLNGKPIKVSSQSELVKSLLATEAGTKRDKSTVDATTGKINSLLFKVRSLRMSGSCALNLCGIACGRIDLFYETGYGGPWDVAGGAVIVKEAGGLVYDPSGKDFDITSQRVAASNPLLKDAFVEVLQQSE >Potri.018G084300.1.v4.1 pep chromosome:Pop_tri_v4:18:10221453:10223481:1 gene:Potri.018G084300.v4.1 transcript:Potri.018G084300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084300.v4.1 MASLRASLVALFIFAVAFDPSSVNAKFSKSMYFYWGARHSSILGNGDDLQLVLNQSSGSGVKSKRPFLFGSIQMLIKLVPGNSAGTVTAYYVSSSGDRHDEIDFEFLGNTSGQPYTIHTNIYTQGNGSREQQFRPWFDPTADFHNYTIHWNPTEVVWYIDNVPIRVYRNYENEGIAYPNKQGMRVYSSLWNADNWATQGGRVKIDWTVAPFIARYRNFRARACKWNGPVSINQCAAKTPANWWTSPAYSKLSNAQLDQMKRVRDNYMIYNYCNDTKRFNGQMPPECFKAQF >Potri.001G029500.1.v4.1 pep chromosome:Pop_tri_v4:1:2198179:2202366:1 gene:Potri.001G029500.v4.1 transcript:Potri.001G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029500.v4.1 MSELVARTGRLQQRYEGGCRLVAGCIPYRFRDYCEDDNANSAKVVELLMINSPSGPGLLFPKGGWENDETAEEAAVREAIEEAGVRGDLMDFIGYYEFKSKTHQDDCCPEGLCKAAMYALFVKEELELWPERSTRTRSWLTMSEAVESCRHKWMEEALKDFSTWLAKM >Potri.007G008200.2.v4.1 pep chromosome:Pop_tri_v4:7:627280:628699:1 gene:Potri.007G008200.v4.1 transcript:Potri.007G008200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008200.v4.1 MGCLDDGCNTGLVLGLGFTTTNLENTSRPADNNKRLIKPQIKPLMTGFEPSLSLGLSAETYSLVDGKKGCEESIGAHDQLYRQASPHSAVSSFSSGRVKRERDLSSEDIEVERVSSRVSDEDEDGSNARKKLRLTKEQSALLEESFKQHSTLNPVSN >Potri.007G008200.1.v4.1 pep chromosome:Pop_tri_v4:7:627147:628748:1 gene:Potri.007G008200.v4.1 transcript:Potri.007G008200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008200.v4.1 MGCLDDGCNTGLVLGLGFTTTNLENTSRPADNNKRLIKPQIKPLMTGFEPSLSLGLSAETYSLVDGKKGCEESIGAHDQLYRQASPHSAVSSFSSGRVKRERDLSSEDIEVERVSSRVSDEDEDGSNARKKLRLTKEQSALLEESFKQHSTLNPKQKQALARQLNLRPRQVEVWFQNRRARTKLKQTEMDCEFLKKCCETLTDENRRLQKELQDLKSLKMAQPFYMHMPAATLTMCPSCERIGGVGEGASKSPFSMATKPHFYNSFTNPSAAC >Potri.015G007000.2.v4.1 pep chromosome:Pop_tri_v4:15:422680:424096:-1 gene:Potri.015G007000.v4.1 transcript:Potri.015G007000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007000.v4.1 MASGAQATNVPTGYRFSPNDDDLIVHYLKKKILGQQLPADVIPTTDVYASSPDKLPLDDFKGGVANEWFFFSTRSEDADIILTENGYYAVDPEGTAPIAWKDKIVGYAKTLNFYQGSPPNGSETDWMVEEFSVNAEFVPINKDDHSTQEKKANFVVCKIYRVETEPEWDKQFK >Potri.006G121600.2.v4.1 pep chromosome:Pop_tri_v4:6:9641834:9642619:-1 gene:Potri.006G121600.v4.1 transcript:Potri.006G121600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121600.v4.1 MGSKELKQIIKGKRTKRPGPSLPLTLAMTSSSSSNAGESEGELDQRIYNNSSSSPGPSTSFEFMERTGEEEDMANCLIFLAQDNQNYKILVKPMAIVASTTTTNINKDAGLYVYQCKTCDRRFPSFQALGGHRASHKKSKPGSLDHEDNKVLTAVGMTHEDTTLSLQLVNNRVLCSPNVKSNKVHECSICGAEFSSGQALGGHMRRHRAFAAATSTTTITTTTTSTMSLGRVNGLDHESQESKKPRNNLQ >Potri.011G037800.7.v4.1 pep chromosome:Pop_tri_v4:11:2908201:2911583:-1 gene:Potri.011G037800.v4.1 transcript:Potri.011G037800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037800.v4.1 MGLGNCEVAVALLLFLSCSSSVYGDAGDTITPSQPIKDPEAIVSAGKKFELGFFSPVNSTYRYVGLWYSNISAETQVLWVANRNNPINDSSGMMTISEDGNLVVLNGQGEVLWSSNVSNGFYQSTAQLTDDGNLVLKAGLNGNLVWQSFQQPTDTYIPKMTLSSNARTGKKTLLMSWRSSSDPSVGNFSAGLNPLGIPEFFIWYNGHPFWRSGPWGGQNFIGIPGMYTSVYLEGFSVQEADDTFTLSLIRDPVFRATYVLTSHGKFIEQYWDYGKQGWEYTWEAPSTECDIYGKCGPFGSCGAQNSPICTCLKGFVAKNKDEWNKGIWTSGCVRMTSLQCDGIQNGSEVGKEDGFMKLEMMKVPTFAEYWSNPSSEQECKDECLMNCSCVAYSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLAYTELVADNMRNREIIISLSVIVGAIAICICLFFSWKWMATHRERNLICEETLSSEAQDTIFDGNLPENIMEVKLEPVFKLQILETATNNFDISMKLGQGGFGAVYRGKLPDGQEIAVKRLSRTSGQGLEELMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYMPNKSLDAFLFG >Potri.011G037800.5.v4.1 pep chromosome:Pop_tri_v4:11:2908131:2911627:-1 gene:Potri.011G037800.v4.1 transcript:Potri.011G037800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037800.v4.1 MGLGNCEVAVALLLFLSCSSSVYGDAGDTITPSQPIKDPEAIVSAGKKFELGFFSPVNSTYRYVGLWYSNISAETQVLWVANRNNPINDSSGMMTISEDGNLVVLNGQGEVLWSSNVSNGFYQSTAQLTDDGNLVLKAGLNGNLVWQSFQQPTDTYIPKMTLSSNARTGKKTLLMSWRSSSDPSVGNFSAGLNPLGIPEFFIWYNGHPFWRSGPWGGQNFIGIPGMYTSVYLEGFSVQEADDTFTLSLIRDPVFRATYVLTSHGKFIEQYWDYGKQGWEYTWEAPSTECDIYGKCGPFGSCGAQNSPICTCLKGFVAKNKDEWNKGIWTSGCVRMTSLQCDGIQNGSEVGKEDGFMKLEMMKVPTFAEYWSNPSSEQECKDECLMNCSCVAYSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLAYTELDNMRNREIIISLSVIVGAIAICICLFFSWKWMATHRERNLICEETLSSEAQDTIFDGNLPENIMEVKLEPVFKLQILETATNNFDISMKLGQGGFGAVYRGKLPDGQEIAVKRLSRTSGQGLEELMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYMPNKSLDAFLFDSLRKGQLDWKRRFHIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDHELNPKISDFGIARISGGNEVNTTRVVGTFGFMSPEYLMEGRFSEKSDVFSFGVVLLEIVSGRRNAHFYSNENALSLIGFAWKLWNEGDIAALVDPAISDPCFQVEIFRCIHIGLLCVQELAKDRPAVSTIISMLNSEIVDLPTPKKPAFVERQSSLDTESITQSHKINSINNVTISDLKGR >Potri.011G037800.4.v4.1 pep chromosome:Pop_tri_v4:11:2908131:2911627:-1 gene:Potri.011G037800.v4.1 transcript:Potri.011G037800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037800.v4.1 MGLGNCEVAVALLLFLSCSSSVYGDAGDTITPSQPIKDPEAIVSAGKKFELGFFSPVNSTYRYVGLWYSNISAETQVLWVANRNNPINDSSGMMTISEDGNLVVLNGQGEVLWSSNVSNGFYQSTAQLTDDGNLVLKAGLNGNLVWQSFQQPTDTYIPKMTLSSNARTGKKTLLMSWRSSSDPSVGNFSAGLNPLGIPEFFIWYNGHPFWRSGPWGGQNFIGIPGMYTSVYLEGFSVQEADDTFTLSLIRDPVFRATYVLTSHGKFIEQYWDYGKQGWEYTWEAPSTECDIYGKCGPFGSCGAQNSPICTCLKGFVAKNKDEWNKGIWTSGCVRMTSLQCDGIQNGSEVGKEDGFMKLEMMKVPTFAEYWSNPSSEQECKDECLMNCSCVAYSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLAYTELVADNMRNREIIISLSVIVGAIAICICLFFSWKWMATHRERNLICEETLSSEAQDTIFDGNLPENIMEVKLEPVFKLQILETATNNFDISMKLGQGGFGAVYRGKLPDGQEIAVKRLSRTSGQGLEELMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYMPNKSLDAFLFDSLRKGQLDWKRRFHIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDHELNPKISDFGIARISGGNEVNTTRVVGTFGFMSPEYLMEGRFSEKSDVFSFGVVLLEIVSGRRNAHFYSNENALSLIGFAWKLWNEGDIAALVDPAISDPCFQVEIFRCIHIGLLCVQELAKDRPAVSTIISMLNSEIVDLPTPKKPAFVERQSSLDTESITQSHKINSINNVTISDLKGR >Potri.011G037800.6.v4.1 pep chromosome:Pop_tri_v4:11:2908131:2911627:-1 gene:Potri.011G037800.v4.1 transcript:Potri.011G037800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037800.v4.1 MGLGNCEVAVALLLFLSCSSSVYGDAGDTITPSQPIKDPEAIVSAGKKFELGFFSPVNSTYRYVGLWYSNISAETQVLWVANRNNPINDSSGMMTISEDGNLVVLNGQGEVLWSSNVSNGFYQSTAQLTDDGNLVLKAGLNGNLVWQSFQQPTDTYIPKMTLSSNARTGKKTLLMSWRSSSDPSVGNFSAGLNPLGIPEFFIWYNGHPFWRSGPWGGQNFIGIPGMYTSVYLEGFSVQEADDTFTLSLIRDPVFRATYVLTSHGKFIEQYWDYGKQGWEYTWEAPSTECDIYGKCGPFGSCGAQNSPICTCLKGFVAKNKDEWNKGIWTSGCVRMTSLQCDGIQNGSEVGKEDGFMKLEMMKVPTFAEYWSNPSSEQECKDECLMNCSCVAYSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLAYTELVIVGAIAICICLFFSWKWMATHRERNLICEETLSSEAQDTIFDGNLPENIMEVKLEPVFKLQILETATNNFDISMKLGQGGFGAVYRGKLPDGQEIAVKRLSRTSGQGLEELMNEVVVISKLQHRNLVRLLGCCVEGEEMMLVYEYMPNKSLDAFLFDSLRKGQLDWKRRFHIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDHELNPKISDFGIARISGGNEVNTTRVVGTFGFMSPEYLMEGRFSEKSDVFSFGVVLLEIVSGRRNAHFYSNENALSLIGFAWKLWNEGDIAALVDPAISDPCFQVEIFRCIHIGLLCVQELAKDRPAVSTIISMLNSEIVDLPTPKKPAFVERQSSLDTESITQSHKINSINNVTISDLKGR >Potri.007G034500.3.v4.1 pep chromosome:Pop_tri_v4:7:2695496:2700615:-1 gene:Potri.007G034500.v4.1 transcript:Potri.007G034500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034500.v4.1 MILQQESLVLFITIITIFIAATSTPTRAQKSNSSDCESSCGTGKSAKVVPYPFGFSRGCPIILQCNHTVGDVKIGEFQVQNITPNVIMINILADCNRSIERIKPLFGKNFGPSSNNSLLLQNCDKPLNSCVIPTSSLRRDLKLTNCDKNDNLNCYSQVPRDFDTLGYDNMTSTSCKSVFSSLFLGWEGSAVSFQFGRVELEWWLEGGYNNLCSNNANSTKVKLWNGRVGFRCHCADGFAGDGFAAGNGCRKVSKCSASKYMSGECGGTTRVGVLVGGLIAGALLMAGLAFLCYYVRRKSTSLRNRLSAKRLLCEAAGNSSVPFFQYKEIEKATNGFSEKHRLGIGAYGTVYAGKLNSDDLVAIKKLRHRDTDSIDQVMNEIKLLSSVSHPNLVRLLGCCIEEGEPILVYEFMPNGTLCQHLQQERGTGLPWTVRLTVATETAKAIAYLHSAMNPPIYHRDIKSSNILLDYNYRSKVADFGLSRLGMVESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITALKAVDFSRPHSEVNLAALAIDRIGRGCVDEIIDPYLDPNRDAWTLTSILSVAELAFRCLAFHRDMRPTMLEVAGELEQIMLSAWIPTMYMASPSASSCSSQNGSQKSLSVSIGRKAGLARGKLLLPQRTDSLTSLEETKDSSPVSEQDTWLSEQSSPSTNSLLGNVVR >Potri.007G034500.2.v4.1 pep chromosome:Pop_tri_v4:7:2695013:2700797:-1 gene:Potri.007G034500.v4.1 transcript:Potri.007G034500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034500.v4.1 MILQQESLVLFITIITIFIAATSTPTRAQKSNSSDCESSCGTGKSAKVVPYPFGFSRGCPIILQCNHTVGDVKIGEFQVQNITPNVIMINILADCNRSIERIKPLFGKNFGPSSNNSLLLQNCDKPLNSCVIPTSSLRRDLKLTNCDKNDNLNCYSQVPRDFDTLGYDNMTSTSCKSVFSSLFLGWEGSAVSFQFGRVELEWWLEGGYNNLCSNNANSTKVKLWNGRVGFRCHCADGFAGDGFAAGNGCRKVSKCSASKYMSGECGGTTRVGVLVGGLIAGALLMAGLAFLCYYVRRKSTSLRNRLSAKRLLCEAAGNSSVPFFQYKEIEKATNGFSEKHRLGIGAYGTVYAGKLNSDDLVAIKKLRHRDTDSIDQVMNEIKLLSSVSHPNLVRLLGCCIEEGEPILVYEFMPNGTLCQHLQQERGTGLPWTVRLTVATETAKAIAYLHSAMNPPIYHRDIKSSNILLDYNYRSKVADFGLSRLGMVESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITALKAVDFSRPHSEVNLAALAIDRIGRGCVDEIIDPYLDPNRDAWTLTSILSVAELAFRCLAFHRDMRPTMLEVAGELEQIMLSAWIPTMYMASPSASSCSSQNGSQKSLSVSIGRKAGLARGKLLLPQRTDSLTSLEETKDSSPVSEQDTWLSEQSSPSTNSLLGNVVR >Potri.003G164100.1.v4.1 pep chromosome:Pop_tri_v4:3:17336316:17342552:-1 gene:Potri.003G164100.v4.1 transcript:Potri.003G164100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164100.v4.1 MDRQRTESPLYTRQWTSDSGASPTAAMLPNARHGHHARSSSASGFSTIKRNQNVAAKAAAQRLAQVMASQTADDDDDDDDEGDDLGFRYSAPPPLSLSRNANSNSNNVVTTSKASVTTASSRINRSPSPAFARNFEETAAGRSTSAGRPAMSLRTAAAVPPVPLSKGSLGTAVSLPPIDPPRNGHRDGKRFLSEVVNFNSKDTGDQHEASALRDELDMLQEENGNILEKLRLEEERCKEADARVKELEKQVAALGEGVSLEAKLLSRKEAALRQREAALKDAKQNNMVDKEIASIRSEIENAKDEATVIVRQLRGAESEVKALRSMTQRMILTQKEMEEVVLKRCWLARYWGLAAKYGICGDVAVSKHEYWSSLAPLPFEVVVSAGQKAKEECWEKGDEDSEKRSTLAHDLSDLTGEGNIESMLSVEMGLKELVSLKVEVAIVLALAQQRRANALRLSILDVKSSGDQKYMEAFELSPEESEDVLFKEAWLTYFWRRAKAHGIEEDTAKERLQFWISRSAHSPSSHDAVDVEQGLTELRKLGIEHRLWEASRKEIDQGS >Potri.001G002600.4.v4.1 pep chromosome:Pop_tri_v4:1:167594:171062:1 gene:Potri.001G002600.v4.1 transcript:Potri.001G002600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002600.v4.1 MEDQRTELEFDEFEKLLGEIPNATSGNQHSADAGPKSAPLNGSWAPVSVNSFKGPFIEKLESNGSLDDRQILANKTQQSPTTNAQSEEANLPDDQSLTLAFAELSFNSGSPLANYKSLPNPSVYTNTMNSSLSNTDSSTMAAPPYQSPNNLPSGFDKFNAVKVCHEQSNFIKFDAREQKKVPTDFCQPHQIKKFSTALPPTHGMQGFQLLSNMAVPGMDFPLMSDHQQYLTDVQSSLPYIHSQQLNRSHINWRNIEEEQCYRMHQQCLYLQQLRNQRLEAQNPTSANGNVETKLMSRNVRQPYLEVPFSHQLQQSNQETFWSNYAVTRGLNQSQNGIRVLDKVGKQSFPEKILTRSQGLNTLKALKFGSVGGNESLAHLNHNGKLLSNAHLFHSLSTPTAGCFQLDPLSSWNLSPDFTVLKSTNLRAQPPKYNSVDEVTGRIYLMAKDQHGCRFLQRTFSEGTPQDVEKIFLEIIDHIVELMTDPFGNYLVQKLLEVCNEDQRMQILRTITRKAGELVRISCDMHGFALCLYICVCLFFLCIQRLKAFTFHALIIFGMALLNTGLELFKR >Potri.001G002600.2.v4.1 pep chromosome:Pop_tri_v4:1:167594:173805:1 gene:Potri.001G002600.v4.1 transcript:Potri.001G002600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002600.v4.1 MEDQRTELEFDEFEKLLGEIPNATSGNQHSADAGPKSAPLNGSWAPVSVNSFKGPFIEKLESNGSLDDRQILANKTQQSPTTNAQSEEANLPDDQSLTLAFAELSFNSGSPLANYKSLPNPSVYTNTMNSSLSNTDSSTMAAPPYQSPNNLPSGFDKFNAVKVCHEQSNFIKFDAREQKKVPTDFCQPHQIKKFSTALPPTHGMQGFQLLSNMAVPGMDFPLMSDHQQYLTDVQSSLPYIHSQQLNRSHINWRNIEEEQCYRMHQQCLYLQQLRNQRLEAQNPTSANGNVETKLMSRNVRQPYLEVPFSHQLQQSNQETFWSNYAVTRGLNQSQNGIRVLDKVGKQSFPEKILTRSQGLNTLKALKFGSVGGNESLAHLNHNGKLLSNAHLFHSLSTPTAGCFQLDPLSSWNLSPDFTVLKSTNLRAQPPKYNSVDEVTGRIYLMAKDQHGCRFLQRTFSEGTPQDVEKIFLEIIDHIVELMTDPFGNYLVQKLLEVCNEDQRMQILRTITRKAGELVRISCDMHGTRAVQKVIETLKTPEQFSMVVSALKPCIVTLIKNMNGNHVAQRCLQYLMPEYSEFLFEATTANCVELATDRHGCCVLQKCLSQSKGEQRRCLVSEITSNALILSQDPFGNYVVQFVFELRLPWAATDILDQLEGNYGDLSVQKYSSNVVEKCLKYAGEVRRTRIIRELINNSRLDQVMQDPFGNYVIQAALQQSKGALHAALVEAIRPHVPTLQTSPYGKKVLSSNILKK >Potri.001G002600.3.v4.1 pep chromosome:Pop_tri_v4:1:167594:173801:1 gene:Potri.001G002600.v4.1 transcript:Potri.001G002600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002600.v4.1 MEDQRTELEFDEFEKLLGEIPNATSGNQHSADAGPKSAPLNGSWAPVSVNSFKGPFIEKLESNGSLDDRQILANKTQQSPTTNAQSEEANLPDDQSLTLAFAELSFNSGSPLANYKSLPNPSVYTNTMNSSLSNTDSSTMAAPPYQSPNNLPSGFDKFNAVKVCHEQSNFIKFDAREQKKVPTDFCQPHQIKKFSTALPPTHGMQGFQLLSNMAVPGMDFPLMSDHQQYLTDVQSSLPYIHSQQLNRSHINWRNIEEEQCYRMHQQCLYLQQLRNQRLEAQNPTSANGNVETKLMSRNVRQPYLEVPFSHQLQQSNQETFWSNYAVTRGLNQSQNGIRVLDKVGKQSFPEKILTRSQGLNTLKALKFGSVGGNESLAHLNHNGKLLSNAHLFHSLSTPTAGCFQLDPLSSWNLSPDFTVLKSTNLRAQPPKYNSVDEVTGRIYLMAKDQHGCRFLQRTFSEGTPQDVEKIFLEIIDHIVELMTDPFGNYLVQKLLEVCNEDQRMQILRTITRKAGELVRISCDMHGTRAVQKVIETLKTPEQFSMVVSALKPCIVTLIKNMNGNHVAQRCLQYLMPEYSEFLFEATTANCVELATDRHGCCVLQKCLSQSKGEQRRCLVSEITSNALILSQDPFGNYVVQFVFELRLPWAATDILDQLEGNYGDLSVQKYSSNVVEKCLKYAGEVRRTRIIRELINNSRLDQVMQDPFGNYVIQAALQQSKGALHAALVEAIRPHVPTLQTSPYGKKVLSSNILKK >Potri.007G138400.1.v4.1 pep chromosome:Pop_tri_v4:7:14937100:14937593:-1 gene:Potri.007G138400.v4.1 transcript:Potri.007G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138400.v4.1 MARIVGFLILAISTQAMAKFDVDPHQCQVIFDNFPYCMDFLIGSNDWPSSQCCQRVYDFNALAEHGMGPRAICECIEIIIRTIPMKLRADRISDLPVRCNTHLSFPISEYMDCSSIN >Potri.006G109300.3.v4.1 pep chromosome:Pop_tri_v4:6:8505723:8509516:1 gene:Potri.006G109300.v4.1 transcript:Potri.006G109300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109300.v4.1 MEMEFVELFDEAKKAADASLNDDVWSSGPEVTRCVDSLKQLRKFKVTSELLVSTQVGKKLRPLAKHPKEKIRAVASDLLEMWKKMVIDETRKKNGSIDSKSSVKAEVSKSETVKVEKLRKTSVVKVEKASTSETVKVEKMDQDKTVKVEKMSKQEIQTSSVKQPSQSPIGPPKLKTLVKCNDALRDKIRELLAEALSKVASEADEDIRDEVEACDPIRVAVSVESMMFEKLGRSNGAQKLKYRSIMFNIKDQNNPDFRRKVLLGEVQPERLVTMGPEEMASEQRKRENNQIKEKVLFDCERSGQAQATTDQFKCGRCRQRKCTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Potri.006G109300.1.v4.1 pep chromosome:Pop_tri_v4:6:8505681:8509488:1 gene:Potri.006G109300.v4.1 transcript:Potri.006G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109300.v4.1 MEMEFVELFDEAKKAADASLNDDVWSSGPEVTRCVDSLKQLRKFKVTSELLVSTQVGKKLRPLAKHPKEKIRAVASDLLEMWKKMVIDETRKKNGSIDSKSSVKAEVSKSETVKVEKLRKTSVVKVEKASTSETVKVEKMDQDKTVKVEKMSKQEIQTSSVKQPSQSPIGPPKLKTLVKCNDALRDKIRELLAEALSKVASEADEDIRDEVEACDPIRVAVSVESMMFEKLGRSNGAQKLKYRSIMFNIKDQNNPDFRRKVLLGEVQPERLVTMGPEEMASEQRKRENNQIKEKVLFDCERSGQAQATTDQFKCGRCRQRKCTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Potri.004G219200.1.v4.1 pep chromosome:Pop_tri_v4:4:22495397:22499058:-1 gene:Potri.004G219200.v4.1 transcript:Potri.004G219200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219200.v4.1 MGMVLILIFFFFYLISSISPSYGFDPLDPYGNITIKWDLLLSNSGTNNLMVSIYNFQQYRHVEPPGWKLNWAWKGKEVIWSMQGAEATEQGNCTEFKESTLPHCCEKEPFIVDLLPGTNYNAQTQNCCKGGVLSSMKQDPSKYVATFQMAVGGSGTNSQFKMPENFKLGVPGYSCGGAVKVEPSRYTTDGGRRWTQALATWNVTCMYSQSLASPTPRCCVSLSAFYNQTIVSCPRCSCGCQGQPGTKCVKYGETPPLLKQNQDPTPVVRCSEHMCPIRVHWHLKESYKQYWRAKMTVTNFHIMKNYSEWNLVVLHPNLQSLTQVFSFNYAPLNRYGYINDTGMFWGLPFYNDILLQEGKDGNLQTEILLRKDPGIFTFREGWGFPRKIQFNGDECVMPPPDEYPSLPNKGHSASATTPFIILFSLFLAFML >Potri.006G060300.2.v4.1 pep chromosome:Pop_tri_v4:6:4263248:4265928:-1 gene:Potri.006G060300.v4.1 transcript:Potri.006G060300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060300.v4.1 MGSWGGTGKPFGTEAKWHVKVQDTKNGSIQVFHTEFVVLCVGQFSGLPNIPEFLPNKGPEVFKGKVMHSEDFSALNNSTAAELIKTKRVTIVGSHKTAADIAAECANANGVKYPCTMIQRNAHWFLPSDKLSGLLLGFLYFNRFSEFLVHKPGETFLLSFVATMLSPLRWGISKLIETYLRWNLPLKKYGMLPKISFLEDMSACQTVMLPDKFYDRVEEGSIIIKNSQILSFCEEGLIIDGENQPIETDVVIFATGFKGDEKLRNIFESPVFQNNIMGSPTSTVSLYRQIIHPRIPRLAIIGYNENFSNLGRSEIKSVWLSQFLDGNLELPSIRDMEKEANMWADHIKQVTGRYFRRACISNSSIWYTDQLCRDMGCNPRRKKGFLVDLFIPYAPTDYAGLTSK >Potri.001G144933.1.v4.1 pep chromosome:Pop_tri_v4:1:11941178:11942582:-1 gene:Potri.001G144933.v4.1 transcript:Potri.001G144933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144933.v4.1 MGRVVSSVQSSVNPVQKCFNSSSFLCPMNTNDENGVGLLVDDFHGINKCVCCNMVVAEESKSVVLCEQYALPPGDIGWPLIGNTWSFLISFKYGSPDSFISSFVTRSPLFPSLIFHELT >Potri.001G356900.2.v4.1 pep chromosome:Pop_tri_v4:1:37153476:37157960:1 gene:Potri.001G356900.v4.1 transcript:Potri.001G356900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356900.v4.1 MGTILKPVASATLFLCFLLLPMISSALSPPNDGLIRIGLKKRKYERNNRLAAKLESKEGESIKKYHLLRNLGGDAEDTDIVSLKNYMDAQYFGEIGIGTPPQKFTVIFDTGSSNLWVPSSKCYFSVACYFHSKYKSSHSRTYKENGKSAEIHYGTGAISGFFSQDHVKVGDLVVKNQEFIEATREPSVTFLVAKFDGILGLGFQEISVGKAVPVWYNMVEQGLVKEPVFSFWFNRNADEKEGGEIVFGGVDPDHYKGEHTYVPVTQKGYWQFDMGDVLIGGQTSGFCASGCAAIADSGTSLLAGPTTIITEVNHAIGATGVVSQECKAVVAQYGDTIMEMLLAKDQPQKICAQIGLCTFDGTRGVSMGIESVVNEHAQKASDGFHDAMCSTCEMAVVWMQNQLKQNQTQERILDYVNELCERLPSPMGESAVDCDGLSSMPNVSFTIGGRVFELSPEQYVLKVGEGDVAQCISGFTALDVPPPRGPLWILGDVFMGSFHTVFDYGNMRVGFAEAT >Potri.008G199601.1.v4.1 pep chromosome:Pop_tri_v4:8:14161653:14162861:-1 gene:Potri.008G199601.v4.1 transcript:Potri.008G199601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199601.v4.1 MTESLPLDIISHILSRLPVKSLLRFKCVSKSWCSLISYPQFIRMNLNVAIADSYVKHQRQRLILISPALHSLYPVVGYEAHYDAIAVFTEEVGF >Potri.001G372750.1.v4.1 pep chromosome:Pop_tri_v4:1:39076250:39078118:1 gene:Potri.001G372750.v4.1 transcript:Potri.001G372750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372750.v4.1 MNIGHSNESRDQNDEFNKEKDTEELKEDDSQEQGILGVENLDELSAEQYFRFWDNELVLVELTTRLENVPRYFAIFDINIKELEKRSDTEDKKIREVIEQWKRREKEVTEKMDGLVKRYIQALLTRYSFFFQVFLRRHRLRAERTHLEESIKIDQAKESGDLGEFKEEEDNGNKGFAESRTAELDQSSERSVDGHFSSYMGEWDQEWQELGSGWEEDYKESEEGYEENGEVKNKEDFMQLIALTVKVTFDQSEESNPTYVSNIMGFGGKVYELIHGRKADLKKAQHGSKKMSLVKWLRGSVKSDEHLELIQPVKDQVVGLATKASSLLATLMFLMLGSEEMKAKLPVLELSIKWVTEAGDSVVTRKIISLMKMIVEEMKRKSEKGKSVVPDRAPIDLTIFTEFYQLILLFLDGIECLERILMLEAEPYSDSDESVKNLLCSVNEYEKEYSEKVESGIKEIHELKGLYSGKPEEVTVHHGLEIKIEELWNKVWNSEEDMKRVM >Potri.002G149500.1.v4.1 pep chromosome:Pop_tri_v4:2:11273696:11277235:1 gene:Potri.002G149500.v4.1 transcript:Potri.002G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149500.v4.1 MAAMMSYSVCLRESTLQFPRPNCGDNYKRRGGKWRSPQAAAIPDLHLPMRSFEVKNRTSAEDIKSLRLITAIKTPYLPDGRFDLEAYDALVNMQIVNGAEGVIVGGTTGEGQLMSWDEHIMLIGHTVNCFGSSVKVIGNTGSNSTREAIHATEQGFAVGMHAALHINPYYGKTSVEGMVSHFDCVLPMGPTIIYNVPSRTGQDIPPRVIHTIAQSPNLAGVKECVGNDRVEQYTDKGIVVWSGNDDQCHDARWNHGATGVISVTSNLLPGLMRKLMFEGKNSELNSKLLPLIDWLFQEPNPIALNTALAQLGVVRPVFRLPYMPLPLAKRIEFVNLVKKIGRENFVGENNVQVLDDDDFILISRY >Potri.001G248400.1.v4.1 pep chromosome:Pop_tri_v4:1:26449558:26451549:1 gene:Potri.001G248400.v4.1 transcript:Potri.001G248400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248400.v4.1 MTQPLQPQLQKHRHSSCNRHPSKPITGFCASCLRERLAGIDPDTHQETPVTHLAAELRRSKSYSSTTKNNPNNHNASSTSTSSTTATTSEPRRKSCDVGPIRNTLSELFHVDDKRINSSAQKPKCLDSGLELKEEEGFGEIRVLALDANDSERNVEDFEEDGELKTMKEFIDLEWERKKNGGRDLKYIAGSFWEVASVFSKKLGKWQRKQKKKEKKDRENLGGLVRNEMSSVRKLRETQSEIGEYGLGRRSCDTDPRLSVDAARLSVDDSRYSFDEPRASWDGYLIGKTYPRLTPLVSVLEDVKLPSGVVENVKENMDLKNEGESSPGGTMQTRNYYSDRRRKSFDRSSSNRRVGLGDEEFKSMLNAKVSPETVGLFHGAKLLVTEKELRDSNWYSLKDYGGQNVDAVSKDATSITGGGVSKKGFKKPQRWYGVWNIWGLMQRSSQSKCGDEESSFSGNVVEGPMPESWQNLSGVADGEANGIVTPKLIRSYTVSARDSCQMACSSSGAESKENDMKRREDHLLKRNRSARYSPNNLDNGLLRFYLAPLRSYGRSKSGKSGLKNSNSMSRNVL >Potri.016G103900.1.v4.1 pep chromosome:Pop_tri_v4:16:10682077:10683627:1 gene:Potri.016G103900.v4.1 transcript:Potri.016G103900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103900.v4.1 MIHARVCVCICKSIFSCRKTKGSNMGMPKPFLLLLLLIVTVSASQKQRTIEARALSSLPQPKYSKIFPTLGVVCKCCDSTGPECATTWTGSCHDLQCLPWKI >Potri.006G005900.1.v4.1 pep chromosome:Pop_tri_v4:6:407314:412178:1 gene:Potri.006G005900.v4.1 transcript:Potri.006G005900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005900.v4.1 MEDIKNESIDLEKIPIEEVFEKLKCTKEGLRTTEGEERLRIFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIVLANGGGKPPDWQDFVGIIVLLIINSTISFIEENNAGNAAASLMAGLAPKTKVLRDGKWSEQDAAILVPGDIISIKLGDIIPADARLMVGDPLKIDQSALTGESLPVTKYPGSGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNNVGHFQKVLTAIGNFCICSIAIGMLIEIIVMYPIQHRRYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKTLIEVFVKDMDKDTLILHAARASRTENQDAIDASIVGMLGDPSEARSGIIEVHFLPFNPVEKRTAITYFDGNGDWYRSSKGAPEQIIELCELKGNIRKKAHEIINNFADRGLRSLGVARHRIPEKNKESAGAPWEFVGLLPLFDPPRHDSAETIRRALDLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGDNKDESIASVPVDELIEKADGFAGVFPEHKYEIVKKLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLVALIWKFDFSPFMVLIIAILNDGTIMTISKDRVKPSPVPDSWKLKEIFAMGVVLGTYLAIITVLFFWLAHGTDFFSDKFGVRSIRGKPDELTAALYLQVSIISQALIFVTRSRSWSFTERPGLLLVGAFLAAQLVATVIAVYANWGFARIQGIGWGWAGIIWIFSIITYIPLDILKFITRYALTGKAWDNLLENKTAFTTKKDYGKGEREAQWATAQRTLHGLQSPETMKNDKASYRELSELAEQAKRRAEVARLREIHTLKGHVESVVKMKGLDIETIQQHYTV >Potri.009G102000.1.v4.1 pep chromosome:Pop_tri_v4:9:9014638:9018124:-1 gene:Potri.009G102000.v4.1 transcript:Potri.009G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102000.v4.1 MGTSQSREGQEFSDTESEYQSEEEEVEEEEEEFHYDAVERQETPQATSSSKKTLDEIDSKLKSLKLKYPSNSQTTLNTVKLYLHIGGDSPNAKWILSDKLTSYKFIKTNGPDDSDSDHDDKEGDGNSFWVLKVGSKVKARISTEMQLKMFGDQRRVDFVNNGVWALRFFSDEEYRKFLSLFQDCLFENVHGLNATEENKLKIYSKEFIGWLKPEIADDSMWEDAVEEKEEEPVRVNQDLLEEFEEAANGGVQSLSLGALDNSFLVNDLGVQVLRNYNKGIYGKGICVKFDSNRSEGSSLEQSTPKKAMLMRAETNMMLMSPLKEGKPHSTGIKHLDIETGKIVTEWKFEKDGTEITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDRRGIVQDIVKGGDSPVLNWSQGHQFSRGTNFQCFASTGDGSIVVGSRDGKIRLYSKTSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLILICTLFTDKDGKTKTGFGGRMGNKIPAPRLLKLTPLDSHLAGANNKFHGGHFSWVTENGKQERHLVVTVGKFSVIWDFQRVKNSAHDCYRNQHGLKSCYCYKIVLKDESIVESRFMHENYAVSDSPEAPLVVATPMKVSSISLSGKRSRG >Potri.001G312600.1.v4.1 pep chromosome:Pop_tri_v4:1:32317781:32319763:1 gene:Potri.001G312600.v4.1 transcript:Potri.001G312600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312600.v4.1 MGSLVPADKPHAVCVPFPAQGHINPMLKLAKLLHFKGFHVTFVNTEYNHKRLLKSRGTNSLDGFPDFQFETIPDGLPSSDIADATQDVPSLCKYTSQTALAPLCDLIAKLNSSGVAPQVTCIVADACMSFSLDAAEEFGIPEAVFWTPSACGVLGYSQYRPLIERGLIPLKDARDLTNGYLETPVDWIPGMKDIRLKDLPTFIRTTDVNDVMLQFVKREIDRTSRASAVILNTFDSFEQDVLDALSPMFPPIYTVGPLQLLVDQIPNGDLKNIGSNLWKEQPECIEWLDSKEPKSVVYVNFGSITVITPQQMIEFAWGLANSNQTFLWIIRPDIVLGEAAMLPPEFLSETKDRGMLVSWCPQEQVLKHPSIGGFLSHMGWNSTLDSICGGVPMVCWPFFAEQQTNCRLACTDQWGIGMEIDNNVKRDEVEKLVRELMEGEKGKAMKKKAMEWKTKAEEAALAGNGSHRNLDQLVKALSNKKIS >Potri.006G132800.1.v4.1 pep chromosome:Pop_tri_v4:6:10879449:10883121:1 gene:Potri.006G132800.v4.1 transcript:Potri.006G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132800.v4.1 MPPKQQSKVDLAKKQKIVEDKTFGLKNKNKSKNVQKYVQSLKQNVQPQPDPSKLAAKKKKEEEKAKEKELNELFKVAVSQPKVPVGVDPKSILCEFFKVGQCAKGFKCKFSHDLNVQRKGEKIDIYSDKRDQETMEDWDQETLEKVVESKGKEYQQNKPTDIVCKYFLEAVEKKQYGWFWVCPNGGKDCHYRHALPPGYVLKSQMKALLDEEAEKISIEEEIENQRAKTTTSTSMTPELFMQWKKKKMEERDFGLAAQRAERAKNDRMSGRELFLSDASVFVDDAEAYEKYQREEEPDGTEQKVNDKSTADGPSTSAAAVGDAEDLTDDDDELDMDELNELEASLSKTSIQIPERSGSH >Potri.005G021000.3.v4.1 pep chromosome:Pop_tri_v4:5:1332521:1336269:-1 gene:Potri.005G021000.v4.1 transcript:Potri.005G021000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021000.v4.1 MGRGQKVNCKRNPKKRVRDKDSDDSDEDYVLENEENVSDDDSEDCRISLDGYASEESFDSFVEEEEEEEFRKPVRSRKKSGSLGDGKVEGKTSQKRKRVSYDDEDEEYINDDEGETDEEFTPDEDDDDCLDEDEELTVKRKSRNVKVIKRRVPKRGSGRRRKRIRKSRVLKKPLAKKGRNKRKLKKKERCEYDDEDDGDFLADSSIVREKSKKHSGVRKRKFAVNSDSDFLSNGSSDYDYTISEEERELVREANQFGELKTSLRSSSAGKRFQENGDLCEQTKPLGRKGKEKVDEVKSELGRQVCGICLSEEDKRRFRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTITKNGRSIVGVDLRNMVIQVPKRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSSSSQTQDSLPDQWNISSNIFNRPSLMLNLEEGLDPNLESSPRLTVPQAFGSLSSPRFPTGDNHVASPVSGAGASTLSGRRHIHRNIRILLSNMNPSTNMNPMANRIDVISAASLRGDLSNSQIDLGRETALQNLRTQEVDTLEQTHHEERLQTNDHQPSSFQNRDSFYLTPNQLTRQIVQGPTIPTSDRPVNLTLWPELMGINSMSGSEQLHEFDSRAMTGHGGTLSSYQVRGESQFYDVKEKLQSMVKNHLGSLSHDTELDHDTFKDISRSSTHTILAACGLEHKRSEVHTVPPPSTCIHIDRVVAGQTSPMKGCCSSCFDSFVRDVVKRIMDKRPRQWLTLGL >Potri.005G021000.2.v4.1 pep chromosome:Pop_tri_v4:5:1332574:1336294:-1 gene:Potri.005G021000.v4.1 transcript:Potri.005G021000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021000.v4.1 MGRGQKVNCKRNPKKRVRDKDSDDSDEDYVLENEENVSDDDSEDCRISLDGYASEESFDSFVEEEEEEEFRKPVRSRKKSGSLGDGKVEGKTSQKRKRVSYDDEDEEYINDDEGETDEEFTPDEDDDDCLDEDEELTVKRKSRNVKVIKRRVPKRGSGRRRKRIRKSRVLKKPLAKKGRNKRKLKKKERCEYDDEDDGDFLADSSIVREKSKKHSGVRKRKFAVNSDSDFLSNGSSDYDYTISEEERELVREANQFGELKTSLRSSSAGKRFQENGDLCEQTKPLGRKGKEKVDEVKSELGRQVCGICLSEEDKRRFRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTITKNGRSIVGVDLRNMVIQVPKRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSSSSQTQDSLPDQWNISSNIFNRPSLMLNLEEGLDPNLESSPRLTVPQAFGSLSSPRFPTGDNHVASPVSGAGASTLSGRRHIHRNIRILLSNMNPSTNMNPMANRIDVISAASLRGDLSNSQIDLGRETALQNLRTQEVDTLEQTHHEERLQTNDHQPSSFQNRDSFYLTPNQLTRQIVQGPTIPTSDRPVNLTLWPELMGINSMSGSEQLHEFDSRAMTGHGGTLSSYQVRGESQFYDVKEKLQSMVKNHLGSLSHDTELDHDTFKDISRSSTHTILAACGLEHKRSEVHTVPPPSTCIHIDRVVAGQTSPMKGCCSSCFDSFVRDVVKRIMDKRPRQWLTLGL >Potri.005G021000.6.v4.1 pep chromosome:Pop_tri_v4:5:1332544:1336228:-1 gene:Potri.005G021000.v4.1 transcript:Potri.005G021000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021000.v4.1 MGRGQKVNCKRNPKKRVRDKDSDDSDEDYVLENEENVSDDDSEDCRISLDGYASEESFDSFVEEEEEEEFRKPVRSRKKSGSLGDGKVEGKTSQKRKRVSYDDEDEEYINDDEGETDEEFTPDEDDDDCLDEDEELTVKRKSRNVKVIKRRVPKRGSGRRRKRIRKSRVLKKPLAKKGRNKRKLKKKERCEYDDEDDGDFLADSSIVREKSKKHSGVRKRKFAVNSDSDFLSNGSSDYDYTISEEERELVREANQFGELKTSLRSSSAGKRFQENGDLCEQTKPLGRKGKEKVDEVKSELGRQVCGICLSEEDKRRFRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTITKNGRSIVGVDLRNMVIQVPKRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSSSSQTQDSLPDQWNISSNIFNRPSLMLNLEEGLDPNLESSPRLTVPQAFGSLSSPRFPTGDNHVASPVSGAGASTLSGRRHIHRNIRILLSNMNPSTNMNPMANRIDVISAASLRGDLSNSQIDLGRETALQNLRTQEVDTLEQTHHEERLQTNDHQPSSFQNRDSFYLTPNQLTRQIVQGPTIPTSDRPVNLTLWPELMGINSMSGSEQLHEFDSRAMTGHGGTLSSYQVRGESQFYDVKEKLQSMVKNHLGSLSHDTELGT >Potri.005G021000.1.v4.1 pep chromosome:Pop_tri_v4:5:1332513:1336295:-1 gene:Potri.005G021000.v4.1 transcript:Potri.005G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021000.v4.1 MGRGQKVNCKRNPKKRVRDKDSDDSDEDYVLENEENVSDDDSEDCRISLDGYASEESFDSFVEEEEEEEFRKPVRSRKKSGSLGDGKVEGKTSQKRKRVSYDDEDEEYINDDEGETDEEFTPDEDDDDCLDEDEELTVKRKSRNVKVIKRRVPKRGSGRRRKRIRKSRVLKKPLAKKGRNKRKLKKKERCEYDDEDDGDFLADSSIVREKSKKHSGVRKRKFAVNSDSDFLSNGSSDYDYTISEEERELVREANQFGELKTSLRSSSAGKRFQENGDLCEQTKPLGRKGKEKVDEVKSELGRQVCGICLSEEDKRRFRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTITKNGRSIVGVDLRNMVIQVPKRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSSSSQTQDSLPDQWNISSNIFNRPSLMLNLEEGLDPNLESSPRLTVPQAFGSLSSPRFPTGDNHVASPVSGAGASTLSGRRHIHRNIRILLSNMNPSTNMNPMANRIDVISAASLRGDLSNSQIDLGRETALQNLRTQEVDTLEQTHHEERLQTNDHQPSSFQNRDSFYLTPNQLTRQIVQGPTIPTSDRPVNLTLWPELMGINSMSGSEQLHEFDSRAMTGHGGTLSSYQVRGESQFYDVKEKLQSMVKNHLGSLSHDTELDHDTFKDISRSSTHTILAACGLEHKRSEVHTVPPPSTCIHIDRVVAGQTSPMKGCCSSCFDSFVRDVVKRIMDKRPRQWLTLGL >Potri.005G021000.5.v4.1 pep chromosome:Pop_tri_v4:5:1332571:1336295:-1 gene:Potri.005G021000.v4.1 transcript:Potri.005G021000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021000.v4.1 MGRGQKVNCKRNPKKRVRDKDSDDSDEDYVLENEENVSDDDSEDCRISLDGYASEESFDSFVEEEEEEEFRKPVRSRKKSGSLGDGKVEGKTSQKRKRVSYDDEDEEYINDDEGETDEEFTPDEDDDDCLDEDEELTVKRKSRNVKVIKRRVPKRGSGRRRKRIRKSRVLKKPLAKKGRNKRKLKKKERCEYDDEDDGDFLADSSIVREKSKKHSGVRKRKFAVNSDSDFLSNGSSDYDYTISEEERELVREANQFGELKTSLRSSSAGKRFQENGDLCEQTKPLGRKGKEKVDEVKSELGRQVCGICLSEEDKRRFRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTITKNGRSIVGVDLRNMVIQVPKRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSSSSQTQDSLPDQWNISSNIFNRPSLMLNLEEGLDPNLESSPRLTVPQAFGSLSSPRFPTGDNHVASPVSGAGASTLSGRRHIHRNIRILLSNMNPSTNMNPMANRIDVISAASLRGDLSNSQIDLGRETALQNLRTQEVDTLEQTHHEERLQTNDHQPSSFQNRDSFYLTPNQLTRQIVQGPTIPTSDRPVNLTLWPELMGINSMSGSEQLHEFDSRAMTGHGGTLSSYQVRGESQFYDVKEKLQSMVKNHLGSLSHDTELGT >Potri.005G021000.4.v4.1 pep chromosome:Pop_tri_v4:5:1332573:1336295:-1 gene:Potri.005G021000.v4.1 transcript:Potri.005G021000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021000.v4.1 MGRGQKVNCKRNPKKRVRDKDSDDSDEDYVLENEENVSDDDSEDCRISLDGYASEESFDSFVEEEEEEEFRKPVRSRKKSGSLGDGKVEGKTSQKRKRVSYDDEDEEYINDDEGETDEEFTPDEDDDDCLDEDEELTVKRKSRNVKVIKRRVPKRGSGRRRKRIRKSRVLKKPLAKKGRNKRKLKKKERCEYDDEDDGDFLADSSIVREKSKKHSGVRKRKFAVNSDSDFLSNGSSDYDYTISEEERELVREANQFGELKTSLRSSSAGKRFQENGDLCEQTKPLGRKGKEKVDEVKSELGRQVCGICLSEEDKRRFRGTLDCCSHYFCFTCIMEWSKVESRCPLCKQRFRTITKNGRSIVGVDLRNMVIQVPKRDQVYQPTEEEIRSYIDPYENVICKECHEGGDDGLMLLCDLCDSSAHTYCVGLGRQVPEGNWYCDDCRPVALGSSSSQTQDSLPDQWNISSNIFNRPSLMLNLEEGLDPNLESSPRLTVPQAFGSLSSPRFPTGDNHVASPVSGAGASTLSGRRHIHRNIRILLSNMNPSTNMNPMANRIDVISAASLRGDLSNSQIDLGRETALQNLRTQEVDTLEQTHHEERLQTNDHQPSSFQNRDSFYLTPNQLTRQIVQGPTIPTSDRPVNLTLWPELMGINSMSGSEQLHEFDSRAMTGHGGTLSSYQVRGESQFYDVKEKLQSMVKNHLGSLSHDTELDHDTFKDISRSSTHTILAACGLEHKRSEVHTVPPPSTCIHIDRVVAGQTSPMKGCCSSCFDSFVRDVVKRIMDKRPRQWLTLGL >Potri.008G010400.1.v4.1 pep chromosome:Pop_tri_v4:8:502439:506390:1 gene:Potri.008G010400.v4.1 transcript:Potri.008G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010400.v4.1 MAVSLAFANLRFHFSNVKVVENPLCFGLAPTQLPFLCKERRRLSSSRTIIIVQASSAPTVEDGSQNETDAIPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVVKANVFLDSSGKHNTFAITKSSTGRKVDDPELLEAIRLTIINNLLQYHPESSSQLAMGIAFGVEQPKQVDVDIATHIKVKDDGPDRSLFLVETADRPGLLVDLVKNITDINISVESGEFDTEGLLAKAKFHVSYKGKAISKPLQLVLGNSLRYFLRRPMTEEASF >Potri.008G010400.15.v4.1 pep chromosome:Pop_tri_v4:8:502473:506216:1 gene:Potri.008G010400.v4.1 transcript:Potri.008G010400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010400.v4.1 MAVSLAFANLRFHFSNVKVVENPLCFGLAPTQLPFLCKERRRLSSSRTIIIVQASSAPTVEDGSQNETDAIPTPKVIIDQDSDPDATVVEITFGDRLGALLDTMNALKNLGLNVVKANVFLDSSGKHNTFAITKSTGRKVDDPELLEAIRLTIINNLLQYHPESSSQLAMGIAFGVEQPKQVDVDIATHIKVKDDGPDRSLFLVETADRPGLLVDLVKNITDINISVESGEFDTEGLLAKAKFHVSYKGKAISKPLQLVLGNSLRYFLRRPMTEEASF >Potri.010G046900.1.v4.1 pep chromosome:Pop_tri_v4:10:7943591:7946546:1 gene:Potri.010G046900.v4.1 transcript:Potri.010G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046900.v4.1 MWWMMNENGGHYCSKKTDDICSDFCGQDSSRVLSMSRIRCILRGMDLKMYLLLFILVPPCVYGIYMHGQKISYFLRPIWESPPKQFNEIPHYYHENVSMENLCKLHGWGIREFPRRVYDAVLFSNELDILTLRWKELYPYITQFIILESNSTFTGTEKLLYFANHRDQFKFVEPRLTYGTVGGRSRKGENPFIEEAFQRVALDRLIKIAGISDDDLLIMSDVDEIPSRHTINLLRWCDDIPSVLHLRLKNYLYSFEFLVDNNSWRASVHRYQTGTTQYAHYRHADDILADAGWHCSFCFRRISEFIFKMKAYSHFDRVRFKRYLNPERIQRVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLEKADKYKFLLPGNCLRESG >Potri.006G049700.7.v4.1 pep chromosome:Pop_tri_v4:6:3445411:3448978:-1 gene:Potri.006G049700.v4.1 transcript:Potri.006G049700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049700.v4.1 MASSSDPVLKPEIGGGVCGGGSGGCGGGGGGGESSEAAVIANDQLLLYRGLKKPRKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGISKYRALSSRWDSSYSRVPGSEYFSNVNYGAGDDQAAESEYSFCIERKIDLTGYIKWWGSNKTSLAESMTKSSEDTKHGCADDIGSELKTTEREVQCTEPYQMPRLGLSVEGKRHKGSKISALSILSQSAAYKNLQEKASKKQETVTENDENENRNNINKMDHGKAVEKSTSHDSNSERLGAALGMTGGLSLQRNVPLTPFLSAPLLTNYNTIDPLVDPILWTSLVPALPTGLSRNPEVTKTETSSTYSFFRPEE >Potri.006G049700.1.v4.1 pep chromosome:Pop_tri_v4:6:3445391:3448884:-1 gene:Potri.006G049700.v4.1 transcript:Potri.006G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049700.v4.1 MASSSDPVLKPEIGGGVCGGGSGGCGGGGGGGESSEAAVIANDQLLLYRGLKKPRKERGCTAKERISKMPPCTAGKRSSIYRGVTRHRWTGRYEAHLWDKSTWNQNQNKKGKQVYLGAYDDEEAAARAYDLAALKYWGPGTLINFPVTDYTRDLEEMQNVSREEYLASLRRKSSGFSRGISKYRALSSRWDSSYSRVPGSEYFSNVNYGDDQAAESEYSFCIERKIDLTGYIKWWGSNKTSLAESMTKSSEDTKHGCADDIGSELKTTEREVQCTEPYQMPRLGLSVEGKRHKGSKISALSILSQSAAYKNLQEKASKKQETVTENDENENRNNINKMDHGKAVEKSTSHDSNSERLGAALGMTGGLSLQRNVPLTPFLSAPLLTNYNTIDPLVDPILWTSLVPALPTGLSRNPEVTKTETSSTYSFFRPEE >Potri.004G064700.1.v4.1 pep chromosome:Pop_tri_v4:4:5511708:5512631:1 gene:Potri.004G064700.v4.1 transcript:Potri.004G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064700.v4.1 MTSQHIATHREKAEIHTGESLCKQKSLELLEEIHLPMGLLPLDDIVEVGYNRTTGFVWLKQKKRKDHRFLKIGRQVSYDTEVTAFVENRRMRRLTGVKTKELLFWVSISDIYVDEKDLEKITFGNPTGISRTFPVSAFELEEEKK >Potri.004G171000.1.v4.1 pep chromosome:Pop_tri_v4:4:18688305:18690917:1 gene:Potri.004G171000.v4.1 transcript:Potri.004G171000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G171000.v4.1 MVTKKPLSSSLFSSLIFFLLAISCHAFSIREASISDLQLAFKQKKLTSRQLVEFYVGEIHGLNSVLKGVIEINPDALYQADRADYERRVRAPGALVGLHGIPILLKDNIATKDKLNTTAGSFALLRSVVPRDAGVVAKLRKSGAIILGKASLSEWAAFRSLNAPNGFSARGGQGKNPYVLSDDPCGSSSGSAISVAANFVAVSLGTETDGSILCPSNANSVVGIKPTVGLTSRAGVIPISPRQDTVGPICRTVSDAVIVLDAIVGVDYNDGATQEASKYIPHGGYKQFLKPYGLKGKRLGIVRNPFLGFASKAESQAFEYHLQTLRQGGSVIVDHLEIANINAILNSTGSGEAIALLAEFKISLNTYLKDLVASPVRTLADVIAFNQKFADLEKINEFGQDIFLLAQATNGIGKVEKAALINLEKLTRDGFQKLMRYYKLDALVTPGAGFAPVLAIGGFPGINVPAGYDDKGVPFGINFGGLKGTEPKLIQIAFGFEQATKIRKPPTFKA >Potri.001G456600.4.v4.1 pep chromosome:Pop_tri_v4:1:48269276:48273505:-1 gene:Potri.001G456600.v4.1 transcript:Potri.001G456600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456600.v4.1 MSDSLPSGSPSDHEPATTATNSPEEATTTSSTTTTTSTPEGPSPPPIQIPLSWPSNSSVTLDWIQNLISAFDWSSKNLPPSELPSVLPVSVFDALVLTASKILHKEPNCVRIDDCNENSRVVVVGDVHGQLHDVMFLLQDAGFPFEDRFFVFNGDYVDRGAWGLETFLLLLAWKVFLPQRVFLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASVIAGRVYTAHGGLFRSVSITPSKRSKGKKNRRIILNSETKPLCLGSLEELSKARRSVLDPPWEGQNLIPGDVLWSDPTMKSGLSPNTERGIGLLWGPDCTEDFLKKFELKLIIRSHEGPDAREKRPGLGGMDEGYTIDHVVPSGKLITLFSAPDYPQFQATEDRYKNKGAYIVLKPPCFDDPEFHSFEAITPRPAVNAYYDYKDVIDSDEELDLASMVTST >Potri.014G111600.3.v4.1 pep chromosome:Pop_tri_v4:14:7501827:7506678:1 gene:Potri.014G111600.v4.1 transcript:Potri.014G111600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111600.v4.1 MTSTFTDLSLLRPTIPSLIPSSFSKFTTHRPLKLRCSLTEDSTTFIPFKLNGEAQSSAGHSATADCVIVGGGISGLCIAQALATKHWDVAPNVIVTEARDRVGGNITTLERDGYLWEEGPNSFQPSDPMLTMVVDSGLKEDLVLGDPNAPRFVLWDGTLRPVPGKPTDLPFFDLMSIGGKLRAGFGALGLRPPPPGHEESVEEFVRRNLGDEVFERLIEPFCSGVYAGDPSKLSMKAAFGKVWNLEQTGGSIFGGTFKTIQERSKNPKPPRDPHLPTPKGQTVGSFRKGLAMLPDAIATRLGSNVKLSWKLSSIIKLENGGYSLTYETPEGLVSLLSKSVVFTVPSHIASTLLHPLSPTAADALSKLYYPPVAAVSISYPKEAIRPERLIDGELKGFGQLHPRSQGVETLGTIYSSSLFPNRAPAGRILLLNYIGGATNPGIVSKTESELVEAVDRDLRKILINPNATDPLVLGVRVWPQAIPQFLIGHFDILDAARDALKEKGLQGLFLGGNFVSGVALGRCVEGAYEVASEVTDFLSQYAYK >Potri.005G068801.1.v4.1 pep chromosome:Pop_tri_v4:5:4461844:4462990:1 gene:Potri.005G068801.v4.1 transcript:Potri.005G068801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068801.v4.1 MDLVATRSKLQLLIHHQRKPGFHHLRDIPLFLMNLGKQLRLGVMGMMQVFLRMMSNGCGFDYSRSMEHQ >Potri.013G002400.3.v4.1 pep chromosome:Pop_tri_v4:13:190108:191651:1 gene:Potri.013G002400.v4.1 transcript:Potri.013G002400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002400.v4.1 MSPKHYFSPFVSSLPSSLPSSYLSLSFSPFFLLHAMASSSLKHHKSHSLNGFTTPAKRTKLSFISDSEIQSEFSHHDQTIARINNGSFGSCPQSVISAQQNLQLQFLRQPDNFYFNTLKPSILHSRSLIKSLVNAHSVDEISLVDNATTAAAIVLQNCAWGFNEGRFSKGDVAVMLHYAYGAVKKSVQAYVTRAGGEVIEVHLPFPVASKEEIVSEFRKALARGKENGKKKVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVRDIGADFYTSNLHKWFFCPPSVAFLYCRKRGEDGKGGDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAVLEFFNRFEGGIEGIKKRNHEKVVEMGEMLVKAWGTNLGSPPEMCGSMIMVGLPACLGISNDLDTLKLRSHLREHFQVEVPIYFRAPVDGEVDSITGYARISHQVYNKVEDYYRFRDAVNQLVSDGFTCASLSN >Potri.013G002400.4.v4.1 pep chromosome:Pop_tri_v4:13:190208:193612:1 gene:Potri.013G002400.v4.1 transcript:Potri.013G002400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002400.v4.1 MASSSLKHHKSHSLNGFTTPAKRTKLSFISDSEIQSEFSHHDQTIARINNGSFGSCPQSVISAQQNLQLQFLRQPDNFYFNTLKPSILHSRSLIKSLVNAHSVDEISLVDNATTAAAIVLQNCAWGFNEGRFSKGDVAVMLHYAYGAVKKSVQAYVTRAGGEVIEVHLPFPVASKEEIVSEFRKALARGKENGKKKVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVRDIGADFYTSNLHKWFFCPPSVAFLYCRKRGEDGKGGDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAVLEFFNRFEGGIEGIKKRNHEKVVEMGEMLVKAWGTNLGSPPEMCGSMIMVGLPACLGISNDLDTLKLRSHLREHFQVEVPIYFRAPVDGEVDSITGYARISHQVYNKVEDYYRFRDAVNQLVSDGFTCASLSN >Potri.013G002400.1.v4.1 pep chromosome:Pop_tri_v4:13:189757:193667:1 gene:Potri.013G002400.v4.1 transcript:Potri.013G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002400.v4.1 MSPKHYFSPFVSSLPSSLPSSYLSLSFSPFFLLHAMASSSLKHHKSHSLNGFTTPAKRTKLSFISDSEIQSEFSHHDQTIARINNGSFGSCPQSVISAQQNLQLQFLRQPDNFYFNTLKPSILHSRSLIKSLVNAHSVDEISLVDNATTAAAIVLQNCAWGFNEGRFSKGDVAVMLHYAYGAVKKSVQAYVTRAGGEVIEVHLPFPVASKEEIVSEFRKALARGKENGKKKVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVRDIGADFYTSNLHKWFFCPPSVAFLYCRKRGEDGKGGDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAVLEFFNRFEGGIEGIKKRNHEKVVEMGEMLVKAWGTNLGSPPEMCGSMIMVGLPACLGISNDLDTLKLRSHLREHFQVEVPIYFRAPVDGEVDSITGYARISHQVYNKVEDYYRFRDAVNQLVSDGFTCASLSN >Potri.001G412900.1.v4.1 pep chromosome:Pop_tri_v4:1:43897053:43901704:-1 gene:Potri.001G412900.v4.1 transcript:Potri.001G412900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412900.v4.1 MRSLCVEPFQNLSVVDTGARRAFTHCSPWKTNHKHEAVLSFPLSSPIRKSLCICLSSSANLPVMMEEKKQTFDANEAAWLVKELNESFRTGKTKSYEWRVSQLKGIEKMVVEREKDISEALYKDLSKPEYEAFVSEIAMVKSSCEEALKELKQWMKPEKAKTSMATYPSSAEIVSEPLGAVLVISTWNYPFSLSVKPVIGAITAGNAVVLKPSEIAPATSSLLSKLFEEYLDRSAVRVLEGGVLETTALLDQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPAVIDSDVDLQVTVRRIIAGKWQLNNGQACISVDYIITTKEFAPKLIDALRKGIEEFFGTDPMESKDISCIVSSNHFSRLESLMDDYKVFNKIVVGGQRNQKKLKIAPTIFLDVPGDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPKPLTAYLFTNNEKLKNNFVQSVSSGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDASVRYPPYTPEKQKLIRAVMNGGIFDIILALMGF >Potri.014G130400.1.v4.1 pep chromosome:Pop_tri_v4:14:8719202:8720754:-1 gene:Potri.014G130400.v4.1 transcript:Potri.014G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130400.v4.1 MGGSRRKYKNSRPKVRVGLPKKNPHVFKPAFNLPPKLRSLAGADISKWDDKASVIQNYKSFGVVSNPNFLGVRSRTSHIIETESLQVAPSQEQLDDGSADEFEPIDSGSDLEEDDLKSALGKKRRDGEHAPLQPLTKMQRVHIGQLVEKYGDDYRRMFMDTKLNAMQHSVATLEKLCGRYHMHKDKNPLIRSIQTR >Potri.013G132200.1.v4.1 pep chromosome:Pop_tri_v4:13:13806724:13811965:1 gene:Potri.013G132200.v4.1 transcript:Potri.013G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G132200.v4.1 MVSSMVLSSYPSSRLKCSLSNPQSVIMMSSPQPTVALLFPVRLGGAASFAEFGGLRIQMGSKLSPSLVSINTRRNPKVFCRIVSEAQETVVDIPTVTDETWQSLVIEADGPVMIEFWAPWCGPCRIIHPVIAELSTEYGGKLKCFMLNTDESPSTVTKYGIRSIPTIIIFKKGEKKDAIIGAVPKTTLISNIKKFL >Potri.013G003301.1.v4.1 pep chromosome:Pop_tri_v4:13:234699:236803:-1 gene:Potri.013G003301.v4.1 transcript:Potri.013G003301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003301.v4.1 MSAMGGGGGADAQYVNAKTSVWWDIENCAVPRGCDPHAIAQNISSALVEMNYRGPVSVSAYGDTHGINSTVQQALSSTGIVLNHVPAGVKDASDKKILVDMLLWAADNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPKTASAPLVAAAKSVWLWTSLLAGGRPLAEGELQQHGSKNYTSTSDTTQIPASDTAQMKEPVDSYSEKPYVANQKSPSTSRRARVRANATLRNPSQTNESKTTSTPLDPGAPPPMPARPNGTSSTSAPSTRVPAFDSLNNCGHPVSSSSVQRNPELKHDPKNKPDSRNKKKSKVENSKGSGEGKGPEVKHDPQKKPEGENKKKPGGENSKGSCDGKGPELIHDPQKKPEGENSEGSCEGKGPELIHDPDKKPIGRKLNISRFFSFRYPICKGLIYQYLRRKICLPYRIKIVGFPCNLRLLLCIVLSPLFCKCMIHHIIKN >Potri.005G250000.1.v4.1 pep chromosome:Pop_tri_v4:5:24372769:24375622:1 gene:Potri.005G250000.v4.1 transcript:Potri.005G250000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250000.v4.1 MDSLTAKGSFFVSKLSSSSSSNSSTVLVSTRPRLCSSVSFPRNKEKYCNNGRLGFRVKAYDSSKDDSSSNNKSGDAKPPNGSVQPKNRREILLEYVKNVQPEFMELFVKRAPPQVVDAMRQTVTNMIGTLPPQFFAVTITTVAENLAQLMYSVMMTGYMFKNAQHRLELQQSLEQVALPDAQEEKKDEPDYAPGTQKNVSGEVLRWNNVSGPERIDAKKYIELLEAEIEELNSQVGRKTANGQNELLEYLKSLEPQNLKDLTSSAGEDVVLAMNTFIKRLLAVSGPDQMKTSVTETSAPELAKLLYWLMVVGYSVRNIEVRFDMERVLGVPPKLAELPPGENV >Potri.005G250000.2.v4.1 pep chromosome:Pop_tri_v4:5:24372769:24375629:1 gene:Potri.005G250000.v4.1 transcript:Potri.005G250000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250000.v4.1 MDSLTAKGSFFVSKLSSSSSSNSSTVLVSTRPRLCSSVSFPRNKEKYCNNGRLGFRVKAYDSSKDDSSSNNKSGDAKPPNGSVPKNRREILLEYVKNVQPEFMELFVKRAPPQVVDAMRQTVTNMIGTLPPQFFAVTITTVAENLAQLMYSVMMTGYMFKNAQHRLELQQSLEQVALPDAQEEKKDEPDYAPGTQKNVSGEVLRWNNVSGPERIDAKKYIELLEAEIEELNSQVGRKTANGQNELLEYLKSLEPQNLKDLTSSAGEDVVLAMNTFIKRLLAVSGPDQMKTSVTETSAPELAKLLYWLMVVGYSVRNIEVRFDMERVLGVPPKLAELPPGENV >Potri.014G169200.5.v4.1 pep chromosome:Pop_tri_v4:14:12350366:12353527:-1 gene:Potri.014G169200.v4.1 transcript:Potri.014G169200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169200.v4.1 MVASTNLIISCNFSCLPLKVNPKPKSLLKTHYTSLLFSNTSTREGLNISNLVKPQYIYNNKKGVLQTCYSTLNSDNKSPDDQVLDKDAMGGGKEGERDWTTSILLFVFWGGLIYYVFNLTPDQTPSRDMYFLEKLLYLKGDDGFRMNSVLVSLWYIMGLWPLVYSMLLLPTGRSSKTNIPVWPFLILSCFGGAYALLPYFILWRPPPPPVEENELGRWPLNFLESKLTSGILLAAGLTIIVNAALNGGEWKEFYQYFRESKFIHITCLDFTLLSAFGPFWVYNDMTARKWYDKGSWLLLISLVPFLGPALYLVLRPSLSEMPVSQSPTSSEEQ >Potri.014G169200.6.v4.1 pep chromosome:Pop_tri_v4:14:12349897:12353464:-1 gene:Potri.014G169200.v4.1 transcript:Potri.014G169200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169200.v4.1 MVASTNLIISCNFSCLPLKVNPKPKSLLKTHYTSLLFSNTSTREGLNISNLVKPQYIYNNKKGVLQTCYSTLNSDNKSPDDQVLDKDAMGGGKEGERDWTTSILLFVFWGGLIYYVFNLTPDQTPSRDMYFLEKLLYLKGDDGFRMNSVLVSLWYIMGLWPLVYSMLLLPTGRSSKTNIPVWPFLILSCFGGAYALLPYFILWRPPPPPVEENELGRWPLNFLESKLTSGILLAAGLTIIVNAALNGGEWKEFYQYFRESKFIHITCLDFTLLSAFGPFWVYNDMTARKWYDKGSWLLLISLVPFLGPALYLVLRPSLSEMPVSQSPTSSEEQ >Potri.016G144200.1.v4.1 pep chromosome:Pop_tri_v4:16:14605670:14616445:-1 gene:Potri.016G144200.v4.1 transcript:Potri.016G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G144200.v4.1 MEKLKCLVPESIKRMVGESSDEDLASTSSTLLHCLLNLPQFQQMIDDLADSNNSLCGKNKKVALELKQKGNESYLTAEYASALESYSQALRVAPMDAVDMDKNLVATLFLNRASLFHKIGFLTESLRDCKRAIQISPTYAKAWYRRGKANVDLGNFKDAVNDFNNAKSFELSLDGKRHIETELNIILERQKSTSRMAVQENENCFGHFDEPCQLKLSQVSTPDKGRGMASQCDIPQASLVHKEEPYALIILKSCRETHCHYCLNQLRADTVPCTSCTIPLYCSEHCQIQAGGEPFSNFQDKIGTKESHSHSHGEYKFALCSDSNPIECFPEHKHECLGVNWPAILPSDIVLAGRILVKSTSQRCSTESNALCDLGLSHSYTQITPESKLELHMYAIVLLCCLQHSFGFELPINGVSLSQTIILISQIRVNSMAIVRMKSVDDPPDQFRKLTSVGDALTSSLEQVPVGQAIYKAASLFNHSCLPNIHAYFLSRTLFIRTTEYVSTGCPLELSYGPQVGQSDCEDRLRYLADKYSFRCQCHGCSQLNLSDLVLNAFCCVNHNCAGVVLESTIINGETRELNNFPRAPEKQKFDSHLQGHKLNIVDINDVASLALKFNNSSLHIQPGFCLHCGTHRDLDASHEAINKAWSYIKRLQEAIISKDISGTTLLDASRALGILRSTLHAYNKSVAEAEDNLAQAFCLVRDFQSAREHCKESIKILQTLYDPDHIVIGYELVKLASIQLSLDDPAAVDSTNHLGLIFARYFGPHVDFIVPYQQFLKRVAHR >Potri.007G059100.1.v4.1 pep chromosome:Pop_tri_v4:7:6274918:6284683:1 gene:Potri.007G059100.v4.1 transcript:Potri.007G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G059100.v4.1 MLHSKTFNKKTRGGRIQKLVREVYLRDDIYCGALFCKSCEDKSKARLTATASTILILDTNVVLNQIDLLENPAVEDVVLLSVVLQEVKNKNSAVYGRIRNLIDSPARRFVVFSNEFHRDTYVQIMAGESPNDRNDRAIRVAARWYQSHLGEAVKVLLITNDRENKRKATEEGVSAETIESYVKSLGQPALLDLLVQPASEDVIMEEVEDMRPSKRKVVYPEHKPMSEITSGLTKGIYHQGKLRVNRYNPFEAYVGSESIGDEIVIYGRGNMNRAFDGDVVAVELLPQDQWHEEKSLSIVDEEDEEEDVHLVPGSSDDAPRTSIPVSSSTFDTNPVSSRPSGLVVGIIKRNWHSYCGSLEPMPMPAGSGGLVYALFVSKDRRIPKIRIQTRQLDNLLDKRIIVAVDSWDRQSRHPSGHYIRSIGQIGDRDTETELVLIENDIDARPFSAQVLACLPPLPWSVSSKDLNESNRVDLRHLCVFSVDPPGCKDIDDALHCTMLPTGNFEVGVHIADVTNFVHPGTPLDDEATQRGTSVYLVERRIDMLPKPLTEDICSLRADVERLAFSVIWEMTPEAEIISTKYTKSVIKSRAALSYVEAQARMDDSRLVDPVTKDLRNMNALAKIMRQRRIHRGALTLASAEVKFQIDTETHDPLDIGMYQIREANQMVEEFMLAANVSVAEKILKEFPECSLLRRHPTPTKEMLGPLLRTAAAVGLNLDVTSSKALADSLDRAVGDDPYFNKLIRIMATRCMTQAVYFCSGELSPPEFLHYGLAAPLYTHFTSPIRRYSDVIVHRLLAASIGIYKLPTIFRDRPQLTSIADNLNYRHRNAQMASRGSVELHTLIYFRNRPTDTEARIVKMRSNGFIVFVPKFGIEGPVYLTMRGDKGGGEWFVDEQQQKIRKMDGSVSYSILQAVKIHLEVLEPKPNRPKLQLTLL >Potri.012G138300.4.v4.1 pep chromosome:Pop_tri_v4:12:15142266:15147831:1 gene:Potri.012G138300.v4.1 transcript:Potri.012G138300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138300.v4.1 MGDPKSDDWLPEGWRVEVKVRNNGKKDKFYFPPSGGCRFNSKIEVSRYLNGSHPNSEQKVLIEKTVPEGLPLGWTQEIKVTKKGGRIRRDSLYTDPVSGYVFRSMKDACRYIESGVVGRLAFKRNDRENHDVELEDDKTFSPAVAEKEGSEVHEKQNPVIGDQSLKSCEIAKDDQTLTSVSTGECITGSERTSDQFMSVAKRQNVEVNRVPSPIVSDQSLKSFEIAKDEQTLNSASAGECTTVSDHASDQCVSIAKKQKLEVDGTSSQIVSDQTLKSCEIAKDEQVLDSASTGECTAISKRTSDQFVSVIKKQKLAVNRTPSSIISDQSLKLGGIVKDEQILNSASAGECTILSKHTSGGVGTESSSSEFLEDKGSNQTEEKSDSVKAEDPLQAVLQDKPSVEVGETKKESKKTGVRKSRKKTDLNLPRRASKRLAGIPLAPTPELKAATRARRAALEPSNEIVASTSEQASCGDPDTELNTKHAFESSKSTEIPVDSNESKHAIVDVEHAGKAGSGKQGDKKHQYDVASPPGNLATGEHAGKIETYSTGDEKQGLPFDLPLEELWQDPCIAFAIKTLTGTSVDDSDSIKVSPGSSNNEFVGMATLDNHAGKEDIGNNGNLSILEEHARAVGTSNKSDEKPVSPLVLPFADAWSDPCIEFAIKTLTGALPLDFDMVQDYLPPQVRSSQPQESRGFTLPNVGYAAAAGPSRRLHSEERSNKRRR >Potri.012G138300.5.v4.1 pep chromosome:Pop_tri_v4:12:15144655:15147720:1 gene:Potri.012G138300.v4.1 transcript:Potri.012G138300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138300.v4.1 MSVAKRQNVEVNRVPSPIVSDQSLKSFEIAKDEQTLNSASAGECTTVSDHASDQCVSIAKKQKLEVDGTSSQIVSDQTLKSCEIAKDEQVLDSASTGECTAISKRTSDQFVSVIKKQKLAVNRTPSSIISDQSLKLGGIVKDEQILNSASAGECTILSKHTSGGVGTESSSSEFLEDKGSNQTEEKSDSVKAEDPLQAVLQDKPSVEVGETKKESKKTGVRKSRKKTDLNLPRRASKRLAGIPLAPTPELKAATRARRAALEPSNEIVASTSEQASCGDPDTELNTKHAFESSKSTEIPVDSNESKHAIVDVEHAGKAGSGKQGDKKHQYDVASPPGNLATGEHAGKIETYSTGDEKQGLPFDLPLEELWQDPCIAFAIKTLTGTSVDDSDSIKVSPGSSNNEFVGMATLDNHAGKEDIGNNGNLSILEEHARAVGTSNKSDEKPVSPLVLPFADAWSDPCIEFAIKTLTGALPLDFDMVQDYLPPQVRSSQPQESRGFTLPNVGEFRQTEFLCQQFGTSEKPSFNQAALVGPALPHTKHVNLGYAAAAGPSRRLHSEERSNKRRR >Potri.012G138300.1.v4.1 pep chromosome:Pop_tri_v4:12:15142199:15147815:1 gene:Potri.012G138300.v4.1 transcript:Potri.012G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138300.v4.1 MGDPKSDDWLPEGWRVEVKVRNNGKKDKFYFPPSGGCRFNSKIEVSRYLNGSHPNSEQKVLIEKTVPEGLPLGWTQEIKVTKKGGRIRRDSLYTDPVSGYVFRSMKDACRYIESGVVGRLAFKRNDRENHDVELEDDKTFSPAVAEKEGSEVHEKQNPVIGDQSLKSCEIAKDDQTLTSVSTGECITGSERTSDQFMSVAKRQNVEVNRVPSPIVSDQSLKSFEIAKDEQTLNSASAGECTTVSDHASDQCVSIAKKQKLEVDGTSSQIVSDQTLKSCEIAKDEQVLDSASTGECTAISKRTSDQFVSVIKKQKLAVNRTPSSIISDQSLKLGGIVKDEQILNSASAGECTILSKHTSGQCGVGTESSSSEFLEDKGSNQTEEKSDSVKAEDPLQAVLQDKPSVEVGETKKESKKTGVRKSRKKTDLNLPRRASKRLAGIPLAPTPELKAATRARRAALEPSNEIVASTSEQASCGDPDTELNTKHAFESSKSTEIPVDSNESKHAIVDVEHAGKAGSGKQGDKKHQYDVASPPGNLATGEHAGKIETYSTGDEKQGLPFDLPLEELWQDPCIAFAIKTLTGTSVDDSDSIKVSPGSSNNEFVGMATLDNHAGKEDIGNNGNLSILEEHARAVGTSNKSDEKPVSPLVLPFADAWSDPCIEFAIKTLTGALPLDFDMVQDYLPPQVRSSQPQESRGFTLPNVGEFRQTEFLCQQFGTSEKPSFNQAALVGPALPHTKHVNLGYAAAAGPSRRLHSEERSNKRRR >Potri.012G138300.2.v4.1 pep chromosome:Pop_tri_v4:12:15142051:15147831:1 gene:Potri.012G138300.v4.1 transcript:Potri.012G138300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138300.v4.1 MGDPKSDDWLPEGWRVEVKVRNNGKKDKFYFPPSGGCRFNSKIEVSRYLNGSHPNSEQKVLIEKTVPEGLPLGWTQEIKVTKKGGRIRRDSLYTDPVSGYVFRSMKDACRYIESGVVGRLAFKRNDRENHDVELEDDKTFSPAVAEKEGSEVHEKQNPVIGDQSLKSCEIAKDDQTLTSVSTGECITGSERTSDQFMSVAKRQNVEVNRVPSPIVSDQSLKSFEIAKDEQTLNSASAGECTTVSDHASDQCVSIAKKQKLEVDGTSSQIVSDQTLKSCEIAKDEQVLDSASTGECTAISKRTSDQFVSVIKKQKLAVNRTPSSIISDQSLKLGGIVKDEQILNSASAGECTILSKHTSGGVGTESSSSEFLEDKGSNQTEEKSDSVKAEDPLQAVLQDKPSVEVGETKKESKKTGVRKSRKKTDLNLPRRASKRLAGIPLAPTPELKAATRARRAALEPSNEIVASTSEQASCGDPDTELNTKHAFESSKSTEIPVDSNESKHAIVDVEHAGKAGSGKQGDKKHQYDVASPPGNLATGEHAGKIETYSTGDEKQGLPFDLPLEELWQDPCIAFAIKTLTGTSVDDSDSIKVSPGSSNNEFVGMATLDNHAGKEDIGNNGNLSILEEHARAVGTSNKSDEKPVSPLVLPFADAWSDPCIEFAIKTLTGALPLDFDMVQDYLPPQVRSSQPQESRGFTLPNVGEFRQTEFLCQQFGTSEKPSFNQAALVGPALPHTKHVNLGYAAAAGPSRRLHSEERSNKRRR >Potri.008G189100.4.v4.1 pep chromosome:Pop_tri_v4:8:13247841:13249132:-1 gene:Potri.008G189100.v4.1 transcript:Potri.008G189100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189100.v4.1 MYHEGHQHLLPCLRCHPHSYIRMVQHLIERCLLLQMSRDQCIKALYKHANIRPIVTLTGHLISLIFTSKGR >Potri.008G189100.2.v4.1 pep chromosome:Pop_tri_v4:8:13247663:13249545:-1 gene:Potri.008G189100.v4.1 transcript:Potri.008G189100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189100.v4.1 MYHEGHQHLLPCLRCHPHSYIRMVQHLIERCLLLQMSRDQCIKALYKHANIRPIVTLTVWRELQKENRDFFQAYFHSVYPRPFTSRHI >Potri.001G194901.1.v4.1 pep chromosome:Pop_tri_v4:1:18473533:18473643:1 gene:Potri.001G194901.v4.1 transcript:Potri.001G194901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G194901.v4.1 MCCLFYFKFLLFIIIYGCMRKKHNKKGCVCVCIFFL >Potri.015G000600.1.v4.1 pep chromosome:Pop_tri_v4:15:6359:9758:-1 gene:Potri.015G000600.v4.1 transcript:Potri.015G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G000600.v4.1 MEQQGKQGVIVSKLLPNQDPVKFVQFKYKELEDGFKSWLSKQSLLVEAAVVTATSGVQGAAIGAIMGTITPDISSSMPTPPQAALNPQAMASLKQAQALAGGPLIQARNFAVMTGTNAGIACIMKRLRGKEDVQSSMVAAFGSGAMFSLVSGMGGPNNATNAITSGLFFALVQGGLFKLGEKFSQPPTEDLHYARTRSMLSNLGLQNYEKNFKKGLLTDHTLPLLTDSALRDVRIPPGPRLLILDHIQRDPELREKTGKP >Potri.010G097800.1.v4.1 pep chromosome:Pop_tri_v4:10:12106973:12113076:-1 gene:Potri.010G097800.v4.1 transcript:Potri.010G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097800.v4.1 MKGKTGVVGSHFVLNTGAKIPAIGLGTWQSGGDLCVEAVRTALSVGYRHIDCAHLYGNEIEVGEALGEAFNVSLKREDVFLTSKLYCTMNSLNKIENYVRVSLKNLGVSYLDLYLMHWPDSSAFGDATDPPSKSGSEHRQFLNRLKQAWKAMEALVDLGLVRAIGVSNFSVQQIKELLKFAKVVPAVNQVELHPFWRQEELVKFCQLKGIHVSAHTPLGVPTWSPGPSDSGSGEDEPGTPRISFRRSRSVHGPMLKLCVVSEIAERHKKTPEQVILRWGMQRGTSVLPCSLKSDRIMKNIDIFSWSLSDDEWDCLNKTEPQVCLFGNGPLNNLSDSGYMFGGGPLQAVREIEDDMESNA >Potri.009G066900.1.v4.1 pep chromosome:Pop_tri_v4:9:6714429:6717445:1 gene:Potri.009G066900.v4.1 transcript:Potri.009G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066900.v4.1 MAGLPRSLLLPIIFTSIGLIILFSLYQSTHHIPSAEFTSSNNPIKAPIARFAPQNFTLLIKVLAFNRLDSLSRCLNSLSSANYGGDTVHLHIHIDHFALTNESLNVIDKKLEESRKVLNFVDGFDWKFGNKVVHYRTNNVGLQAQWLEAWWPSSDHEFSFIVEDDVEVSPLFYKFVRGLIVNYYYNVSNFSPFIYGASLQRPRFVPGKHGNKIQLDSETHLFLYQLVGTWGQILFPKPWKEFRLWYDLHKSKGIKPLLDGMVTNGWYKRIGERIWTPWFIKFIHSRGYFNIYTNFQHERALSVSHRDAGVNYGKTAGPDSQLLDGSSLDFNLLEMQPLSNLKWYDYCFREVLPGRVGRTLDEVGSILRTVQEDQSVLLVNIFGASDTITRNMLCHFERLNIRNYILIGPGSDFLFDLARRGHPVIDADQFFNYHRAQKVMGFQHSSAELMKNILVNAYVIKKCLENGYDSLIVDANMLVLSKVQEFIDPTNDFYAGKSLGFFFVKSSSSAQEIWAGLLKKVAVTKGNVLFQGENTDFVYLVKLLEQNGVRIHRVDEASVGIQIHADTSNQSSLEAGKKMAYWFAGTGVDLIQKRLQELSLWVVDGDSSCSAVVCHQS >Potri.002G093100.2.v4.1 pep chromosome:Pop_tri_v4:2:6772773:6774086:1 gene:Potri.002G093100.v4.1 transcript:Potri.002G093100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093100.v4.1 MARVFMLLALCVLPALVSAARPGRNPFSVQGLVYCDTCLAGFETPKTTYIAGSKVKVECRDRKTQDLVYSKEGTTDSTGKYIITVDEDHKDQICDAMLVSSPRKDCSSPSAGRDRARVILTSYNGLVSTTRYANSMGFMAAQPMSGCTELLRSYQEFDN >Potri.002G093100.1.v4.1 pep chromosome:Pop_tri_v4:2:6772634:6774163:1 gene:Potri.002G093100.v4.1 transcript:Potri.002G093100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093100.v4.1 MARVFMLLALCVLPALVSAARPGRNPFSVQGLVYCDTCLAGFETPKTTYIAGSKVKVECRDRKTQDLVYSKEGTTDSTGKYIITVDEDHKDQICDAMLVSSPRKDCSSPSAGRDRARVILTSYNGLVSTTRYANSMGFMAAQPMSGCTELLRSYQEFDN >Potri.016G015100.4.v4.1 pep chromosome:Pop_tri_v4:16:795055:799254:1 gene:Potri.016G015100.v4.1 transcript:Potri.016G015100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015100.v4.1 MMTGKEFVAIPAGSWYNFNKVAHYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKVDDKEGVSAGGRGGRRKASGDDDEGNVSDRGEEDEEEEAGRKSRLGLNKQGGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVAIDPEEREDLAPEVPAPPEIKQDEDDEDEENEEGGLSKSGKELKKLLGKANGLNESDVEDDDDDEDMDDDISPVLAPKQKDVVPKEEAADISPAKPTPSGSAKGTPSTSKSAKGKRKLNGEDAKSSNGAPVKKVKTENEVKPAVKEESSPATKGTATPKVTPPSSKTGSTSGSTGPVTEEEIRAVLLQNGPVTTQDLVARFKSRLRTPEDKKAFADILRRISKIQKTSGSNYVVLRDK >Potri.016G015100.2.v4.1 pep chromosome:Pop_tri_v4:16:795047:799251:1 gene:Potri.016G015100.v4.1 transcript:Potri.016G015100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015100.v4.1 MSFDLLLKPSCSGCGSTTDLYGSNCKHMTLCLNCGKTMAENRGKCFDCGTTVSRLIREYNVRASTSSDKNYFIGRFVTGLPSFSKKKNAENKWSLHKEGILGRQITDALREKFKNKPWLLEDETGQSQYQGHLEGSQSATYYLLMMTGKEFVAIPAGSWYNFNKVAHYKQLTLEEAEEKMKNRRKTADGYERWMMKAANNGAAAFGEVEKVDDKEGVSAGGRGGRRKASGDDDEGNVSDRGEEDEEEEAGRKSRLGLNKQGGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVAIDPEEREDLAPEVPAPPEIKQDEDDEDEENEEGGLSKSGKELKKLLGKANGLNESDVEDDDDDEDMDDDISPVLAPKQKDVVPKEEAADISPAKPTPSGSAKGTPSTSKSAKGKRKLNGEDAKSSNGAPVKKVKTENEVKPAVKEESSPATKGTATPKVTPPSSKTGSTSGSTGPVTEEEIRAVLLQNGPVTTQDLVARFKSRLRTPEDKKAFADILRRISKIQKTSGSNYVVLRDK >Potri.002G058500.2.v4.1 pep chromosome:Pop_tri_v4:2:4008753:4017334:1 gene:Potri.002G058500.v4.1 transcript:Potri.002G058500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058500.v4.1 MNIKSNCSPLDLLIVNIALACIDGALACIAFSQFTRIHLRNQQTGWTRQKVLHLMVASCNLGYFIYFISTVIATCDRWPCWSHACGFVLMAFPKILFLAAFLLLLSFWVDLCHQANEEDDDEEEHSSQQPLLESSKNKPGSTNTDNFWSCCSFRGIHVGGRQKFVITVVVLIFFLMLSFAVIIWIGVGKNPICSSVAARVYVDFLASAILILGGALGCYGLLLFLKLRNVRSETASSEMRKVAGLAVVSVVCFTSSAAVALLTDVPVRLCPQPLYYGP >Potri.002G058500.6.v4.1 pep chromosome:Pop_tri_v4:2:4010462:4017371:1 gene:Potri.002G058500.v4.1 transcript:Potri.002G058500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058500.v4.1 MVASCNLGYFIYFISTVIATCDRWPCWSHACGFVLMAFPKILFLAAFLLLLSFWVDLCHQANEEDDDEEEHSSQQPLLESSKNKPGSTNTDNFWSCCSFRGIHVGGRQKFVITVVVLIFFLMLSFAVIIWIGVGKNPICSSVAARVYVDFLASAILILGGALGCYGLLLFLKLRNVRSETASSEMRKVAGLAVVSVVCFTSSAAVALLTDVPLLYDWSMENKNEAKTLVLLVFYYFIGSSVPSAFVLWAMRELPTPVTITQAQSRAVTFFCYGADGTQNPRHWVAATTSNNQVSKASPI >Potri.002G058500.5.v4.1 pep chromosome:Pop_tri_v4:2:4007967:4017371:1 gene:Potri.002G058500.v4.1 transcript:Potri.002G058500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058500.v4.1 MNIKSNCSPLDLLIVNIALACIDGALACIAFSQFTRIHLRNQQTGWTRQKVLHLMVASCNLGYFIYFISTVIATCDRWPCWSHACGFVLMAFPKILFLAAFLLLLSFWVDLCHQANEEDDDEEEHSSQQPLLESSKNKPGSTNTDNFWSCCSFRGIHVGGRQKFVITVVVLIFFLMLSFAVIIWIGVGKNPICSSVAARVYVDFLASAILILGGALGCYGLLLFLKLRNVRSETASSEMRKVAGLAVVSVVCFTSSAAVALLTDVPLLYDWSMENKNEAKTLVLLVFYYFIGSSVPSAFVLWAMRELPTPVTITQAQSRAVTFFCYGADGTQNPRHWVAATTSNNQVSKASPI >Potri.002G058500.7.v4.1 pep chromosome:Pop_tri_v4:2:4009327:4017218:1 gene:Potri.002G058500.v4.1 transcript:Potri.002G058500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058500.v4.1 MNIKSNCSPLDLLIVNIALACIDGALACIAFSQFTRIHLRNQQTGWTRQKVLHLMVASCNLGYFIYFISTVIATCDRWPCWSHACGFVLMAFPKILFLAAFLLLLSFWVDLCHQANEEDDDEEEHSSQQPLLESSKNKPGSTNTDNFWSCCSFRGIHVGGRQKFVITVVVLIFFLMLSFAVIIWIGVGKNPICSSVAARVYVDFLASAILILGGALGCYGK >Potri.002G058500.1.v4.1 pep chromosome:Pop_tri_v4:2:4008753:4017371:1 gene:Potri.002G058500.v4.1 transcript:Potri.002G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058500.v4.1 MNIKSNCSPLDLLIVNIALACIDGALACIAFSQFTRIHLRNQQTGWTRQKVLHLMVASCNLGYFIYFISTVIATCDRWPCWSHACGFVLMAFPKILFLAAFLLLLSFWVDLCHQANEEDDDEEEHSSQQPLLESSKNKPGSTNTDNFWSCCSFRGIHVGGRQKFVITVVVLIFFLMLSFAVIIWIGVGKNPICSSVAARVYVDFLASAILILGGALGCYGLLLFLKLRNVRSETASSEMRKVAGLAVVSVVCFTSSAAVALLTDVPLLYDWSMENKNEAKTLVLLVFYYFIGSSVPSAFVLWAMRELPTPVTITQAQSRAVTFFCYGADGTQNPRHWVAATTSNNQVSKASPI >Potri.006G113100.1.v4.1 pep chromosome:Pop_tri_v4:6:8762193:8764551:1 gene:Potri.006G113100.v4.1 transcript:Potri.006G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113100.v4.1 MLVDKRREKGRKEERVGMNGLEGLILRLLEARSDRGKRIQLTEPEIRQLCVTAKQVFLAQPVLLELEAPINICGDIHGQYPDLLRLFEYGGFPPDSNYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKIFTDCFNCLPVAAVVDDKILCMHGGLSPEMDNLDQIRAIERPADVPDQGILCDLLWADPDRNIKGWGDNDRGVSYTFGADKVAEFLKKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGAFMWVDASLLCSFQILKPWRGREGHPE >Potri.006G113100.2.v4.1 pep chromosome:Pop_tri_v4:6:8762193:8764399:1 gene:Potri.006G113100.v4.1 transcript:Potri.006G113100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113100.v4.1 MLVDKRREKGRKEERVGMNGLEGLILRLLEARSDRGKRIQLTEPEIRQLCVTAKQVFLAQPVLLELEAPINICVFWLYAGDIHGQYPDLLRLFEYGGFPPDSNYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKIFTDCFNCLPVAAVVDDKILCMHGGLSPEMDNLDQIRAIERPADVPDQGILCDLLWADPDRNIKGWGDNDRGVSYTFGADKVAEFLKKHDLDLICRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGAFMWVDASLLCSFQILKPWRGREGHPE >Potri.002G154400.1.v4.1 pep chromosome:Pop_tri_v4:2:11781954:11787763:1 gene:Potri.002G154400.v4.1 transcript:Potri.002G154400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154400.v4.1 MAILSPSYLTFKAKKLNLYKITITIILCTVFYLAGFYQNSRGTISINTTSSSSITAFRCAPTNHSTTTLDFAARHFAQDPKPPVAREHHFPPCDPKYSEYTPCEDVDRSLRFDRDRLVYRERHCPESHEILKCRVPPPYGYKMPFSWPESRELAWYANVPHKDLTVEKKNQNWVRVEGERLRFPGGGTMFPRGADAYIDDIGKLINLKDGSIRTAIDTGCGVASWGAYLLSRNILTVSFAPRDTHVSQVQFALERGVPALIGIIASIRLPYPSRSFDMAHCSRCLVPWGQYDGQYLIEIDRILRPGGYWILSGPPINWETHWKGWNRTREDLRAEQSQIERVAKSLCWRKLVQRNDIAIWQKPTNHVHCKVNRKVFKRPLFCKSQNPDMAWYTKMETCLTPLPEVASIRDIAGGQLAKWPERLNAIPPRISSGGLEGLAANSFVENSELWKKRVAYYKKIDYQLAKTGRYRNLLDMNAHLGGFAAALVDDPVWVMNVVPVQAKMNTLGVIFQRGLIGTYQNWCEAMSTYPRTYDFIHADSLFSLYENRCGVEDILLEMDRILRPEGSVIIRDDVDILLNVKAIMDAMQWDGRITDHESSPHEREKILFATKKYWTAPRPDQD >Potri.003G125700.3.v4.1 pep chromosome:Pop_tri_v4:3:14538291:14543447:1 gene:Potri.003G125700.v4.1 transcript:Potri.003G125700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125700.v4.1 MATMFLKLTCLRRENCGDLLARPHYPSMPKYPKGVAGDVKGTEVKAMFSVEGMTCSACAGSVEKAIKRLPGILEAVVDVLNNRAQVLFYPSSLVNEETIRETIEDAGFQATLIEDEINERSSQVCRIQINGIRCTSCCCTAEIVLQAIHGVQRIQVALETEEAEVYYDPKILNYNHLLEAMEDIGFQTMLVSAGEDVSKIDLKVDGLGAGHSMQIIENSLQTLPGVQVIEIDPELDKVSISYKPSMTGPRKFIKAIESAGSENFKALVYPQGEEKESHRQDEIKQYRSTFLWSLVFTIPVFLISMVFMYIPIINCQLDTKVVNMLNVGEVLKWMLSTPVQFIIGRRFYTGSYKALRRGSANMDVLIALGTNAAYFYSAYSVLRAAGSPDFEGTDFFETSSMLISIILLGKYLEVMAKGKTSEAIAKLMDLGPETAILLTLDDYGNILSEEEIDSRLVQKNDVIKILPGAKVASDGLIIWGASHVNESMITGEAIPVKKGVGDPVIGGTLNENGVLHIKATRVGSDSALSHIVRLIESAQLAKAPVQKFADTISKYFVPLVIILSFSTWLTWFLAGVFHGYPKSWIPHSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCVIFDKTGTLTIGKPVVVKTTLLKSMVLQDFYELIAATEMNSEHPLAKAIVEYAKKIREDEEDPVWPEARAFESITGYGVKATVRNKEIIIGNKSLILDQNIAIPVDGELMLAETETMAQTGILVSIDREVTGILAISDPLKPSACEVISILKSMKVRSIMVTGDNWGTANSIAKEIGIETVIAEAKPEEKAEKVKELQVHLCTLQPLFSQDLVSCESCFQSL >Potri.003G125700.1.v4.1 pep chromosome:Pop_tri_v4:3:14538291:14543853:1 gene:Potri.003G125700.v4.1 transcript:Potri.003G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125700.v4.1 MATMFLKLTCLRRENCGDLLARPHYPSMPKYPKGVAGDVKGTEVKAMFSVEGMTCSACAGSVEKAIKRLPGILEAVVDVLNNRAQVLFYPSSLVNEETIRETIEDAGFQATLIEDEINERSSQVCRIQINGIRCTSCCCTAEIVLQAIHGVQRIQVALETEEAEVYYDPKILNYNHLLEAMEDIGFQTMLVSAGEDVSKIDLKVDGLGAGHSMQIIENSLQTLPGVQVIEIDPELDKVSISYKPSMTGPRKFIKAIESAGSENFKALVYPQGEEKESHRQDEIKQYRSTFLWSLVFTIPVFLISMVFMYIPIINCQLDTKVVNMLNVGEVLKWMLSTPVQFIIGRRFYTGSYKALRRGSANMDVLIALGTNAAYFYSAYSVLRAAGSPDFEGTDFFETSSMLISIILLGKYLEVMAKGKTSEAIAKLMDLGPETAILLTLDDYGNILSEEEIDSRLVQKNDVIKILPGAKVASDGLIIWGASHVNESMITGEAIPVKKGVGDPVIGGTLNENGVLHIKATRVGSDSALSHIVRLIESAQLAKAPVQKFADTISKYFVPLVIILSFSTWLTWFLAGVFHGYPKSWIPHSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCVIFDKTGTLTIGKPVVVKTTLLKSMVLQDFYELIAATEMNSEHPLAKAIVEYAKKIREDEEDPVWPEARAFESITGYGVKATVRNKEIIIGNKSLILDQNIAIPVDGELMLAETETMAQTGILVSIDREVTGILAISDPLKPSACEVISILKSMKVRSIMVTGDNWGTANSIAKEIGIETVIAEAKPEEKAEKVKELQATGFTVAMVGDGVNDSPALAAADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFSRIRLNYIWALGYNLIGIPVAAGALFPGTGLRLPPWAAGAAMAASSVSVVLCSLLLKNYRRPKKLENLNIHGIKIE >Potri.013G100300.1.v4.1 pep chromosome:Pop_tri_v4:13:10588408:10589382:-1 gene:Potri.013G100300.v4.1 transcript:Potri.013G100300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L9 MSGSSSSRTDQESGASAARKKFKGVRRRKWGKWVSEIRIPGKQDRLWLGSYSTPEAAAVAHDIASYCLRGPSSIESLNFPLMLPASVREDMSPKSIQKAASDAGMAIDAQMILNRVPENEVKFWTASGGVNHGLEIELCEPAGGDHGGNWHGNNTGMREGDISIEDYL >Potri.014G032300.1.v4.1 pep chromosome:Pop_tri_v4:14:1999853:2000970:1 gene:Potri.014G032300.v4.1 transcript:Potri.014G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G032300.v4.1 MSVFYHEEPPNHSKKCKFLAATLKDVFSNCSACGGQISTPGPEEEYPTTDVDDDQEIIVSEIRSRAMEKLRHKPFVFTDSFSWVYSPMTGELFVTPKAVQQKEEDDSSEDENDDAREEFFSVGSCLSCCSSALSREVFVSAKTISSPCSSFNGFEFPDFPKRSILQEFCCHCQGWPFGLCRKAVFLPPLPKSPSESWSWRKGARIVKIA >Potri.014G032300.2.v4.1 pep chromosome:Pop_tri_v4:14:1999977:2000823:1 gene:Potri.014G032300.v4.1 transcript:Potri.014G032300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G032300.v4.1 MEKLRHKPFVFTDSFSWVYSPMTGELFVTPKAVQQKEEDDSSEDENDDAREEFFSVGSCLSCCSSALSREVFVSAKTISSPCSSFNGFEFPDFPKRSILQEFCCHCQGWPFGLCRKAVFLPPLPKSPSESWSWRKGARIVKIA >Potri.015G111200.1.v4.1 pep chromosome:Pop_tri_v4:15:12802000:12806751:1 gene:Potri.015G111200.v4.1 transcript:Potri.015G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111200.v4.1 MPSLSKSASSPQPLLHHDNSDAERRLREAEERLREAIEDLHRRNAVHGPYPPCDHGPDESCAAHAIGNLCQSFLLSYGVRVGIGILLRAFKLAKGHSYSSILDLKQLVSEKDLIVREEACRVGLLFGGFTGSYHALRCFLRKFRRKETPINAFLAGSVAGLSVLALDDSNRRRTLALYLLARVAQSAYNSAKSKNKFHLWGSHWRHGDALLFAIACAQVMYAFIMRPESLPKAYQDFIQKTGPVAAPVYKAVKETCRGGPLDVASLSAFLSRRGKLGSVKLEEFPSIIPCSVVHPGTNSCLAQNANAASATFRKTFPLYFSLTFVPYVVLHLQKFMDAPARTCWLALRDAVRSTTFLSAFVGIFQGVICLHRKISTRDHKLVYWIAGGISALSVLLEKKSRRAELALYVLPRAGDSLWYILVNHHVLPNIKNAEVALFCACMGGVMYYLEHEPDTMAPFLRGLIRRFLASRISNPGGPLNRSASYTYLQTLDAIKKPKLQESREAEASTSQEYNLESIEGL >Potri.014G160800.2.v4.1 pep chromosome:Pop_tri_v4:14:11523749:11528582:1 gene:Potri.014G160800.v4.1 transcript:Potri.014G160800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160800.v4.1 MALQTGVSTSKVLILVGAGLTSSIILKNGRLPELIGQLQELLKGVDEVEIAPYKYDTALLAAQIRQLAQEIKELSLSSPVTIYNGNSVSNGNFSSYLVPAAALGAMGYCYFWWKGWSFSDVMFVTKQNMANAVATVSKQLENVSETLASTKRHLTKRLGNLDWKIEEQIETSKLIANDIDEMKSNLSQIGYDVESIHEMISGLEGKLELLESKQDATNSGLWYLCQFAGGFKDGPGTKAYQDVGAKLANHSAMAYEERSLKGLQFIAETKESVEKPVENAKKNDLDTFPGEKARTLKIHRSYPGGFSLTRDILGSGI >Potri.003G067600.5.v4.1 pep chromosome:Pop_tri_v4:3:9463984:9466629:1 gene:Potri.003G067600.v4.1 transcript:Potri.003G067600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067600.v4.1 MIDYHDIYLPFLDRINALDGRKAYATRTIFFLTPLGTLKPVAIELSLPPAGPNSRSKRVVTPPVDATGSWIWQLAKAHVCSNDASVHQLVHHWLRTHASLEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINALARQNLINGDGVIESCFTPGQYSMEISAAAYKNFWRFDKESLHADLIRRGMAVPDPTQPHGLKLLLEDYPYAQDGLLIWSAIENWVRTYVEHYYPDSSIVCNDKELQAWYSESVNVGHFDLRDADWWPKLATSDDLIWILTVLIWLASAQHAALNFGQYPYGGYVPNRPTLMRRLIPEENDPEYANFLADPQKYFLLALPNVLQGTKLMAVIDTLSTHSPDEEYIGERQQPSIWTGDAEMIEAFYDFSAEIQQIEQEINRRNADPSLKHRCGAGVLPYELLAPSSGPGVTCRGVPNSVTI >Potri.003G067600.4.v4.1 pep chromosome:Pop_tri_v4:3:9460528:9466675:1 gene:Potri.003G067600.v4.1 transcript:Potri.003G067600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067600.v4.1 MAKELEKCQTGFTTSMCTMIWEIQTRVNINAESRVEKPTPLYVPRDEQFEESKQITFSNGRLRAVLHTLIPAIKASISADNQDFSSFSDIGVLYKEGLLLKVGLQDEIWKNLPLLKAVNKIQESGEGQLKYDTPKILSRDKLAWLRDDEFARETVSGINPVSIERLRVFPPRSSLDPEIYGPLESALKEEHILGHLNGLSVSQALEENKLFMIDYHDIYLPFLDRINALDGRKAYATRTIFFLTPLGTLKPVAIELSLPPAGPNSRSKRVVTPPVDATGSWIWQLAKAHVCSNDASVHQLVHHWLRTHASLEPFILAAHRQLSAMHPIFKLLDPHMRYTLEINALARQNLINGDGVIESCFTPGQYSMEISAAAYKNFWRFDKESLHADLIRRGMAVPDPTQPHGLKLLLEDYPYAQDGLLIWSAIENWVRTYVEHYYPDSSIVCNDKELQAWYSESVNVGHFDLRDADWWPKLATSDDLIWILTVLIWLASAQHAALNFGQYPYGGYVPNRPTLMRRLIPEENDPEYANFLADPQKYFLLALPNVLQGTKLMAVIDTLSTHSPDEEYIGERQQPSIWTGDAEMIEAFYDFSAEIQQIEQEINRRNADPSLKHRCGAGVLPYELLAPSSGPGVTCRGVPNSVTI >Potri.019G026700.2.v4.1 pep chromosome:Pop_tri_v4:19:3836782:3838277:1 gene:Potri.019G026700.v4.1 transcript:Potri.019G026700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026700.v4.1 MRSVHFLLAFVLLTLASSIASASDPSPLQDFCVAINDPKAAVFVNGKFCKDPKMATANDFSFSGLNIPRDTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPENRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPAVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.019G026700.3.v4.1 pep chromosome:Pop_tri_v4:19:3837018:3838124:1 gene:Potri.019G026700.v4.1 transcript:Potri.019G026700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026700.v4.1 MRSVHFLLAFVLLTLASSIASASDPSPLQDFCVAINDPKAAVFVNGKFCKDPKMATANDFSFSGLNIPRDTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPENRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPAVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.003G063900.1.v4.1 pep chromosome:Pop_tri_v4:3:9135428:9137250:-1 gene:Potri.003G063900.v4.1 transcript:Potri.003G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063900.v4.1 MEGDLFPGLSNGNQVDGKVLQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLTRNVGLIKELNNNIRRVVDLYADLSSNFTRSMEPSSEGESSGILKSNGKANSKRIRSG >Potri.008G112300.2.v4.1 pep chromosome:Pop_tri_v4:8:7149306:7152194:-1 gene:Potri.008G112300.v4.1 transcript:Potri.008G112300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112300.v4.1 MSKANVRKQILEKNSIFIKEKEKPLSSQGLFTKHLKRVYPIGLHRSSSSLSLSSVSLSLSQNSNDSSLTDCSATPLEQKISLALRLISPSERREVPVARNFQTRQQRQQQQQKQDQGSNDGELKRCNWITKNSDKVYVAFHDEFWGVPVYDDIQLFELLALSGMLMDYNWTEILKRKELFREAFDGFNPNIVAKKGEKEIMEIASNKAIMLAESRVRCIVDNARCLLKASLKN >Potri.008G112300.1.v4.1 pep chromosome:Pop_tri_v4:8:7149307:7152194:-1 gene:Potri.008G112300.v4.1 transcript:Potri.008G112300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112300.v4.1 MSKANVRKQILEKNSIFIKEKEKPLSSQGLFTKHLKRVYPIGLHRSSSSLSLSSVSLSLSQNSNDSSLTDCSATPLEQKISLALRLISPSERREVPVARNFQTRQQRQQQQQKQDQGSNDGELKRCNWITKNSDKVYVAFHDEFWGVPVYDDIQLFELLALSGMLMDYNWTEILKRKELFREAFDGFNPNIVAKKGEKEIMEIASNKAIMLAESRVRCIVDNARCLLKIAREFGSFSNYMWGNVNFKPTINRYKYPRNVQLRSPKAEAISKDLLKRGFRFVGPVIVYSFMQAAGLTIDHLVDCYRYGECVSLAERPRRHI >Potri.005G167300.7.v4.1 pep chromosome:Pop_tri_v4:5:17199973:17206368:-1 gene:Potri.005G167300.v4.1 transcript:Potri.005G167300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G167300.v4.1 MSSAVHSTQEYDMDTRSLSSSHRHPQDAPYVHKVGLPPKQNLFKEFKATVKETFFADDPLRSFKDQPRSKKFILGLQAIFPILEWGRSYSFAKFRGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQSEIADPVANAAEYRRLAFTATFFAGITQVTLGFLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKKTDIVSVMHSVFASAHHGWNWQTIVMGVSLLSFLLFAKYIGKKNKKLFWVPAIAPLISVILSTFFVYITRADKDGVQIVKHIEKGINPSSVNQIYFSGDHMLKGVRIGIVAAMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNFMSGCQTAVSNIVMSIVVFLTLQFITPLFKYTPNAVLSAIIISAVIGLVDYDAAYLIWKIDKFDFVACMGAFFGVVFVSVEIGLLIAVSISFFKLLLQVTRPRTAILGKLPRTAVYRNILQYPEATKVPGVLIVRVDSAIYFSNSNYIKERILRWLIDEEELVNKSGQPKIQFLIVEMSPVTDIDTSGIHALEELYRSLQKREIQLILANPGPVVIDKLHASDFAQLIGEDKIFLTVANAVAACSPKLMVEVV >Potri.005G167300.5.v4.1 pep chromosome:Pop_tri_v4:5:17199994:17206890:-1 gene:Potri.005G167300.v4.1 transcript:Potri.005G167300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G167300.v4.1 MSSAVHSTQEYDMDTRSLSSSHRHPQDAPYVHKVGLPPKQNLFKEFKATVKETFFADDPLRSFKDQPRSKKFILGLQAIFPILEWGRSYSFAKFRGDLIAGLTIASLCIPQDIGYAKLANLDPQYGLYSSFVPPLIYAFMGSSRDIAIGPVAVVSLLLGTLLQSEIADPVANAAEYRRLAFTATFFAGITQVTLGFLRLGFLIDFLSHAAIVGFMGGAAITIALQQLKGFLGIKKFTKKTDIVSVMHSVFASAHHGWNWQTIVMGVSLLSFLLFAKYIGKKNKKLFWVPAIAPLISVILSTFFVYITRADKDGVQIVKHIEKGINPSSVNQIYFSGDHMLKGVRIGIVAAMIALTEAIAIGRTFAAMKDYQLDGNKEMVALGTMNIVGSMTSCYVATGSFSRSAVNFMSGCQTAVSNIVMSIVVFLTLQFITPLFKYTPNAVLSAIIISAVIGLVDYDAAYLIWKIDKFDFVACMGAFFGVVFVSVEIGLLIAVSISFFKLLLQVTRPRTAILGKLPRTAVYRNILQYPEATKVPGVLIVRVDSAIYFSNSNYIKERILRWLIDEEELVNKSGQPKIQFLIVEMSPVTDIDTSGIHALEELYRSLQKREIQLILANPGPVVIDKLHASDFAQLIGEDKIFLTVANAVAACSPKLMVEVV >Potri.006G189401.1.v4.1 pep chromosome:Pop_tri_v4:6:19651887:19652399:1 gene:Potri.006G189401.v4.1 transcript:Potri.006G189401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189401.v4.1 MAKPTHASSFFFFFFIYITESIFLYQNRSCGTGPTTGFFQAKKSHGNVDDSRCNSKISLKQSLAYHVTTSLEW >Potri.019G006570.1.v4.1 pep chromosome:Pop_tri_v4:19:102832:105255:1 gene:Potri.019G006570.v4.1 transcript:Potri.019G006570.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G006570.v4.1 MFTSSRAVIHSKVRSSSAKSIIKQESKLKTYIIFLKKPEGMMSAKREDVDSWYRSFLPTATTSSSNQQRLVHSYHNVVTGFAAKLTEQEAKAMEMKEGVVSARPQKIFHVKTTHTPSFLGLQQNLGFWNHSSYGKGVIIGVLDTGIKASHPSFSDEGMPPPPAKWKGKCDFNATLCNNKLIGARSLYLPGKPPVDDNGHGTHTASTAAGSWVQGASFYGQLNGTAVGIAPLAHLAIYRVCNGFGSCADSDILAGMDTAVEDGVDVLSLSLGGPSIPFYEDSIAIGAFGAIQKGVFVSCAAGNSGPFNQTLSNEAPWILTVGAGTVDRNIRAKVLLGNNASYDGQSFYQPTNFSSTLLPLIYAGANGNDSAFCDPGSLKDVDVKGKVVLCESRGFSGAVDKGQEVKYAGGAAMILMNAESFGNITTADLHVLPASDVTYADGLSIKAYINSTSSPMATILFEGTVFGVPYAPQLAYFSSRGPSLASPGILKPDIIGPGVDILAAWPYAVDNNGNTKSAFNMISGTSMATPHLTGIAALLKSSHPDWSPAAIKSAMMTTANLTNLGGTPITDDTFDPVNVFSIGSGHVNPTKADDPGLIYDIQPDDYIPYLCGLGYNDTAIGIIVQRSVTCRNSSSIPEAQLNYPSFSLNLTSSPQTYTRTVTNVGPFNSSYNAEIIAPQGVDVKVTPGVIQFSEGSPKATYSVTFTRTANTNLPFSQGYLNWVSADHVVRSPIAVLFA >Potri.006G158700.1.v4.1 pep chromosome:Pop_tri_v4:6:14159751:14161458:1 gene:Potri.006G158700.v4.1 transcript:Potri.006G158700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158700.v4.1 MADTELAQALEAISPVGVHSPTNIKPHRLNIHALACALLASTNSILLGYDIGVMSGAVLFIKENLEISSTQVEILVGVLNEKPQDYIGRRYTIVLAATTFLIGALLMGLAPSFPFLMAGRVIAGVGVGYSLMIAPVYSAEISPAMARGFLTSLPEVFIVFGILLGYIVNYALSGLPQHINWRLMLGLAAIPSLLIGCGVIAMPESPRWLVMQGRLDDAKQVLIKISDTIQEADFRLNSSSAKWHGHGVYKELLLRPSRPVRRMLIAALGINFFMQASGNDAVIYYCPEVFKDAGIHSKKVLFGVNVIMGSAKTSFVLFSALFLDRFGRRPLFLLGSAGMALSLAVLGMSSKFLEHSNSKPMWAIVLCVVAVCGVVSFFSIGLGPITWVYSSEIFPLRLRAQGSGLAISVNRLVSGVMSMTFLTISKKITFGGMFFVLSGIMVVATVFFYVFMPETKGKTLEEIGALFEDKNAEASIDYGREMG >Potri.003G215900.2.v4.1 pep chromosome:Pop_tri_v4:3:21184020:21185165:1 gene:Potri.003G215900.v4.1 transcript:Potri.003G215900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215900.v4.1 SSVDAVFGNDDLVTEILLRVPAKAVLKLKLVSKKWLSIISPTSFAILHTRRNPHTVSALLLNFSSFFEEPSKHKYVSLDGKSLVYLSYDFLDFDPNNLGCFSTYVSQSCNGLLLCPKWRWCSTERSKPTDYIFNPTTRQFALLPLPPNDGKYFTRIQLVFDPLESPRYRVVCIQFFNSELKIYVYSSETKDWKLSVKLENFDLLSVNFTDGVSWNGAVHWMSPMGNGLSFLLDMECLQTIPRPPLPENWQDKNFRYFGESGGQLHFIGILAGTDQSIVVYSMERGCSKWFAKYCLHMNAIVMAYPEITEDKDPTTSPFSAEKWFDLLSFIEGNDEEGALLVMRISGEIISYSFKNNILKKI >Potri.003G215900.1.v4.1 pep chromosome:Pop_tri_v4:3:21183993:21185234:1 gene:Potri.003G215900.v4.1 transcript:Potri.003G215900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215900.v4.1 MTLPTQKHFSSVDAVFGNDDLVTEILLRVPAKAVLKLKLVSKKWLSIISPTSFAILHTRRNPHTVSALLLNFSSFFEEPSKHKYVSLDGKSLVYLSYDFLDFDPNNLGCFSTYVSQSCNGLLLCPKWRWCSTERSKPTDYIFNPTTRQFALLPLPPNDGKYFTRIQLVFDPLESPRYRVVCIQFFNSELKIYVYSSETKDWKLSVKLENFDLLSVNFTDGVSWNGAVHWMSPMGNGLSFLLDMECLQTIPRPPLPENWQDKNFRYFGESGGQLHFIGILAGNQNPDDLNLGIVSPDMSHDQSTDQSIVVYSMERGCSKWFAKYCLHMNAIVMAYPEITEDKDPTTSPFSAEKWFDLLSFIEGNDEEGALLVMRISGEIISYSFKNNILKKILSFPLKHATCYAFNYTETLSCV >Potri.007G114600.6.v4.1 pep chromosome:Pop_tri_v4:7:13468432:13472041:-1 gene:Potri.007G114600.v4.1 transcript:Potri.007G114600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114600.v4.1 MAVPLSSFSLSKHPVSNSSSIPASNPSLDPSKASVLRTQNVLGSKANSGGIEQTQLRLCGANLKTNSDLKLQASREPAGVTKELKSDKSERMAGVEHVDLFNEMKQRFLSFKKHKYMKDLEHYEKLAKGQAPKFMVIACADSRVCPSSILGFQPGEAFVVRNVANMVPPYENGPSETNAALEFAVNSLKVENILVIGHSCCGGIRALMSMHDDVEPSSLIGSWVSVGMNARVKTKAAAHLLNFDQQCKHCEKESVNCSLVNLLSYPWVEEKVRNGELNIHGGYYDFVDCSFEKWTLYKENNMKDRSGKVAVKDRAFWF >Potri.013G083400.6.v4.1 pep chromosome:Pop_tri_v4:13:7448047:7453551:-1 gene:Potri.013G083400.v4.1 transcript:Potri.013G083400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083400.v4.1 MDLGHGKCWDTSKKDSWKTLLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADESLSTYKLENPPEKDSSRVKMYLEKHKALHTALLILVLLGTCMVIGDGLLTPAISVFTAVSGLELSMSSNHHQYAVVPITCFILVCLFTLQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFMKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPGKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPILALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFESELIARLADFINYDWHRSHGTNSFPEDDASQSNESSNEYSLAVIGTVAFSGIPAYEIEESVQLASISGGFSTVESVTDVIEMEPVGVVERRVRFAIDDESGSHSPADMHLQLQEELEDLLSGQQAGTAFILGHSHVKAKQGSSLLKRLALNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVM >Potri.013G083400.8.v4.1 pep chromosome:Pop_tri_v4:13:7448048:7453387:-1 gene:Potri.013G083400.v4.1 transcript:Potri.013G083400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083400.v4.1 MDLGHGKCWDTSKKDSWKTLLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADESLSTYKLENPPEKDSSRVKMYLEKHKALHTALLILVLLGTCMVIGDGLLTPAISVFTAVSGLELSMSSNHHQYAVVPITCFILVCLFTLQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFMKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPGKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPILALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFESELIARLADFINYDWHRSHGTNSFPEDDASQSNESSNEYSLAVIGTVAFSGIPAYEIEESVQLASISGGFSTVESVTDVIEMEPVGVVERRVRFAIDDESGSHSPADMHLQLQEELEDLLSGQQAGTAFILGHSHVKAKQGSSLLKRLALNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVM >Potri.013G083400.10.v4.1 pep chromosome:Pop_tri_v4:13:7448047:7453134:-1 gene:Potri.013G083400.v4.1 transcript:Potri.013G083400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083400.v4.1 MDLGHGKCWDTSKKDSWKTLLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADESLSTYKLENPPEKDSSRVKMYLEKHKALHTALLILVLLGTCMVIGDGLLTPAISVFTAVSGLELSMSSNHHQYAVVPITCFILVCLFTLQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFMKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPGKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPILALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFESELIARLADFINYDWHRSHGTNSFPEDDASQSNESSNEYSLAVIGTVAFSGIPAYEIEESVQLASISGGFSTVESVTDVIEMEPVGVVERRVRFAIDDESGSHSPADMHLQLQEELEDLLSGQQAGTAFILGHSHVKAKQGSSLLKRLALNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVM >Potri.013G083400.7.v4.1 pep chromosome:Pop_tri_v4:13:7448048:7453548:-1 gene:Potri.013G083400.v4.1 transcript:Potri.013G083400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083400.v4.1 MDLGHGKCWDTSKKDSWKTLLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADESLSTYKLENPPEKDSSRVKMYLEKHKALHTALLILVLLGTCMVIGDGLLTPAISVFTAVSGLELSMSSNHHQYAVVPITCFILVCLFTLQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFMKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPGKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPILALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFESELIARLADFINYDWHRSHGTNSFPEDDASQSNESSNEYSLAVIGTVAFSGIPAYEIEESVQLASISGGFSTVESVTDVIEMEPVGVVERRVRFAIDDESGSHSPADMHLQLQEELEDLLSGQQAGTAFILGHSHVKAKQGSSLLKRLALNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVM >Potri.013G083400.9.v4.1 pep chromosome:Pop_tri_v4:13:7448069:7453230:-1 gene:Potri.013G083400.v4.1 transcript:Potri.013G083400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083400.v4.1 MDLGHGKCWDTSKKDSWKTLLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADESLSTYKLENPPEKDSSRVKMYLEKHKALHTALLILVLLGTCMVIGDGLLTPAISVFTAVSGLELSMSSNHHQYAVVPITCFILVCLFTLQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFMKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPGKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPILALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFESELIARLADFINYDWHRSHGTNSFPEDDASQSNESSNEYSLAVIGTVAFSGIPAYEIEESVQLASISGGFSTVESVTDVIEMEPVGVVERRVRFAIDDESGSHSPADMHLQLQEELEDLLSGQQAGTAFILGHSHVKAKQGSSLLKRLALNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVM >Potri.013G083400.3.v4.1 pep chromosome:Pop_tri_v4:13:7448047:7454183:-1 gene:Potri.013G083400.v4.1 transcript:Potri.013G083400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083400.v4.1 MDLGHGKCWDTSKKDSWKTLLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADESLSTYKLENPPEKDSSRVKMYLEKHKALHTALLILVLLGTCMVIGDGLLTPAISVFTAVSGLELSMSSNHHQYAVVPITCFILVCLFTLQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFMKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPGKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPILALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFESELIARLADFINYDWHRSHGTNSFPEDDASQSNESSNEYSLAVIGTVAFSGIPAYEIEESVQLASISGGFSTVESVTDVIEMEPVGVVERRVRFAIDDESGSHSPADMHLQLQEELEDLLSGQQAGTAFILGHSHVKAKQGSSLLKRLALNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVM >Potri.013G083400.4.v4.1 pep chromosome:Pop_tri_v4:13:7448047:7454157:-1 gene:Potri.013G083400.v4.1 transcript:Potri.013G083400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083400.v4.1 MDLGHGKCWDTSKKDSWKTLLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADESLSTYKLENPPEKDSSRVKMYLEKHKALHTALLILVLLGTCMVIGDGLLTPAISVFTAVSGLELSMSSNHHQYAVVPITCFILVCLFTLQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFMKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPGKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPILALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFESELIARLADFINYDWHRSHGTNSFPEDDASQSNESSNEYSLAVIGTVAFSGIPAYEIEESVQLASISGGFSTVESVTDVIEMEPVGVVERRVRFAIDDESGSHSPADMHLQLQEELEDLLSGQQAGTAFILGHSHVKAKQGSSLLKRLALNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVM >Potri.013G083400.5.v4.1 pep chromosome:Pop_tri_v4:13:7448050:7453860:-1 gene:Potri.013G083400.v4.1 transcript:Potri.013G083400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083400.v4.1 MDLGHGKCWDTSKKDSWKTLLLLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSDTNEEIFGVLSFVFWTLTLVPLFKYVFVVLRADDNGEGGTFALYSLICRHAKVSLLPNRQVADESLSTYKLENPPEKDSSRVKMYLEKHKALHTALLILVLLGTCMVIGDGLLTPAISVFTAVSGLELSMSSNHHQYAVVPITCFILVCLFTLQHYGTHRVGFLFAPVVLAWLLCISALGLYNIIHWNPHVYQALSPYYMFKFMKKTKKGGWMSLGGILLCITGSEAMFADLGHFSYTAIQIAFTFLVYPALILAYMGQAAYLSQHHDNTNHIGFYISVPGKLRIPVLIIAILASVVGSQAIISGTFSIINQSQSLGCFPRVKVVHTSDKIHGQIYIPEINWMLMILCIAVTIGFRDTKHMGNASGLAVMTVMLVTTCLTSLVIILCWHKPPILALSFLLFFGSIELLYFSASLTKFTEGAWLPILLALILMTIMFVWHYATIKKYEFDLHNKVSLEWLLALGPSLGIARVPGIGLVFTDLTSGIPANFSRFVTNLPAFHRVLVFVCVKSVPVPFVPPAERYLVGRVGPPGHRSYRCIVRYGYRDVHQDVDSFESELIARLADFINYDWHRSHGTNSFPEDDASQSNESSNEYSLAVIGTVAFSGIPAYEIEESVQLASISGGFSTVESVTDVIEMEPVGVVERRVRFAIDDESGSHSPADMHLQLQEELEDLLSGQQAGTAFILGHSHVKAKQGSSLLKRLALNFGYNFLRRNCRGPDVALKVPPVSLLEVGMVYVM >Potri.002G231900.1.v4.1 pep chromosome:Pop_tri_v4:2:22407502:22410007:-1 gene:Potri.002G231900.v4.1 transcript:Potri.002G231900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231900.v4.1 MRASIYRNFLFRLHPTTTAKASKITNHTRNIMSESDQSTGFSTPRLVTKKVLAKLQHEGEGAVVRRSIGRSELKFLDPFLMLDDFSVSPPAGFPDHPHRGFETVTYMLQGGITHQDFAGHKGTIHTGDVQWMTAGRGIIHSEMPAGEGEQKGLQLWINLSSQDKMIEPGYQELLSDDIKTAEKDGVEVRIIAGKSMGVQSPVYTRTPTMYLDFTLKPRAQMNQGIPESWNGFVYIIEGEGVFGKPASSSVTAHHVLVLGPGDGLSVWNRSSKPLRFVLIAGQPINEPVVQYGPFVMNTQAEIDQTIEDYHYSKNGFEMAKYWRSQ >Potri.013G040700.1.v4.1 pep chromosome:Pop_tri_v4:13:2781611:2786229:1 gene:Potri.013G040700.v4.1 transcript:Potri.013G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040700.v4.1 MERVFSVEDNITELFWSPPPPPPDDLSKMNRSESEWAFERFLQEASAVTLDDNTSSNSSADKTDVVQIKDHGNSNNNATSKCSFSNGTCATAASSFAAPAIIPVESDDYHAFLKSKLNLACAAVALTRASFVKPLDSPAAAESGSQASNTSQLGSHAPSKGAGYDLPISQDKDANEPLGTPSLPSMQRKSAVTVKPTTSVSSRELSEDDENEAETELTENMQPADAKRVRRMLSNRESARRSRSRKQAHLTELETQVAQLRVENSSLLKSLTDISQKYNESAVDNRVLKADVETLRAKVKMAEETVKRFTGLNTMFHALPDISTMSMSSFDGSPSDTADAAVPVKDDPKHHFYKAPNNPITTHDSRPRGNNVLADISSVENVQPNPVPAAGVSGNKMGRTPSLQRVASLEHLQKRIRGGASPCGPQSNGEQAK >Potri.013G040700.5.v4.1 pep chromosome:Pop_tri_v4:13:2781611:2786214:1 gene:Potri.013G040700.v4.1 transcript:Potri.013G040700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040700.v4.1 MERVFSVEDNITELFWSPPPPPPDDLSKMNRSESEWAFERFLQEASAVTLDDNTSSNSSADKTDVVQIKDHGNSNNNATSKCSFSNGTCATAASSFAAPAIIPVESDDYHAFLKSKLNLACAAVALTRASFVKPLDSPAAAESGSQASNTSQLGSHAPSKGAGYDLPISQDKDANEPLGTPSLPSMQRKSAVTVKPTTSVSSRELSEDDENEAETELTENMQPADAKRVRRMLSNRESARRSRSRKQAHLTELETQVAQLRVENSSLLKSLTDISQKYNESAVDNRVLKADVETLRAKVKMAEETVKRFTGCCCSCKG >Potri.013G040700.7.v4.1 pep chromosome:Pop_tri_v4:13:2781762:2786212:1 gene:Potri.013G040700.v4.1 transcript:Potri.013G040700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040700.v4.1 MESCGYVFFSRMLSNRESARRSRSRKQAHLTELETQVAQLRVENSSLLKSLTDISQKYNESAVDNRVLKADVETLRAKVKMAEETVKRFTGLNTMFHALPDISTMSMSSFDGSPSDTADAAVPVKDDPKHHFYKAPNNPITTHDSRPRGNNVLADISSVENVQPNPVPAAGVSGNKMGRTPSLQRVASLEHLQKRIRGGASPCGPQSNGEQAK >Potri.013G040700.6.v4.1 pep chromosome:Pop_tri_v4:13:2781759:2786211:1 gene:Potri.013G040700.v4.1 transcript:Potri.013G040700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040700.v4.1 MQRKSAVTVKPTTSVSSRELSEDDENEAETELTENMQPADAKRVRRMLSNRESARRSRSRKQAHLTELETQVAQLRVENSSLLKSLTDISQKYNESAVDNRVLKADVETLRAKVKMAEETVKRFTGLNTMFHALPDISTMSMSSFDGSPSDTADAAVPVKDDPKHHFYKAPNNPITTHDSRPRGNNVLADISSVENVQPNPVPAAGVSGNKMGRTPSLQRVASLEHLQKRIRGGASPCGPQSNGEQAK >Potri.004G210800.2.v4.1 pep chromosome:Pop_tri_v4:4:21705827:21714437:-1 gene:Potri.004G210800.v4.1 transcript:Potri.004G210800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210800.v4.1 MISILAQERLLGAALGAAFAGFIVYEQRKRIYQSISPEHPQSQLREPIFGKQFRSEFELSWNKAVDQTFGPLVASLNSPEELSQDDPSRI >Potri.013G126450.1.v4.1 pep chromosome:Pop_tri_v4:13:13392519:13393251:1 gene:Potri.013G126450.v4.1 transcript:Potri.013G126450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126450.v4.1 MDSPAIRPGIVEQYGAWMLVQKSRPRGKTFDPLINTKSVKVTTTVKQPYGDSDSTEKAMRSRYDILTEFQVPDQIEIPESSAAREISGNDQIEIPESSVEPRINGVSADKRISEPWLS >Potri.003G178700.2.v4.1 pep chromosome:Pop_tri_v4:3:18507313:18514252:1 gene:Potri.003G178700.v4.1 transcript:Potri.003G178700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178700.v4.1 MKIVPSTLSSRSDGTTNAAIENCNLIGTEFGHGIKTQASVADLLCAECKKLLFQPVVLNCGHVYCESCIASPMQGIPRCQICQSLHPNGIPSVCLVLEHFLEEQFSEIYAERREAFAKQTDCSSSAQTQQLATQSSSVPAKVYSSWIFGNGPKVHIRVGCDSCGMIPIIGERYKCKDCSEEIGFDMCESCYNNPSEVSGRFNQQHKPEHNFEIVPPRGIGEFIYMLNLDQSDDTDDSDDDGHDFLAQVLSDDALQDVEDGSNDLLEVSALVLSVDVAPDQEDDPSVS >Potri.003G178700.1.v4.1 pep chromosome:Pop_tri_v4:3:18507313:18514252:1 gene:Potri.003G178700.v4.1 transcript:Potri.003G178700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178700.v4.1 MENKDNNKIPSTEESKMQCQCDNNKKTPSPKHLQQIEEDNVREEEDFLDEFQCSVCLDLLYKPVVLGCGHLSCFWCVFYSMNGLRESHCPICRHQFNHFPSVCQLLHFLLMKMYPIAYKRREREVGEEEKKGGRFSPQFVHHPFGSHSGEELDFPSYSQHFPIHPQNKLCYFPKAIAHREENMKIVPSTLSSRSDGTTNAAIENCNLIGTEFGHGIKTQASVADLLCAECKKLLFQPVVLNCGHVYCESCIASPMQGIPRCQICQSLHPNGIPSVCLVLEHFLEEQFSEIYAERREAFAKQTDCSSSAQTQQLATQSSSVPAKVYSSWIFGNGPKVHIRVGCDSCGMIPIIGERYKCKDCSEEIGFDMCESCYNNPSEVSGRFNQQHKPEHNFEIVPPRGIGEFIYMLNLDQSDDTDDSDDDGHDFLAQVLSDDALQDVEDGSNDLLEVSALVLSVDVAPDQEDDPSVS >Potri.019G086400.1.v4.1 pep chromosome:Pop_tri_v4:19:12574061:12577028:-1 gene:Potri.019G086400.v4.1 transcript:Potri.019G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086400.v4.1 MKTQNLPFYLLLFFCTTATSQTTIQLGATLSASNPNKTWSSPNNSFYIGFSQVGFSSSYTLTINYNGGVPIWTAGNAATTVDSKGSFQFLSSGNLRLLNGSGAIVWDSNTARLGVTTASLDDFGNLVLKNGTFFVWSSFDNPTDTIVPNQTFTVNQVLRSGSYSFRFLSTGNLTLRWNDNIVYWNKGLNSSADANLTSPALGLQPNGILTIFDVAFTSGSYIVAYSNDYAEGSTRLRFLRLEKDGNFRMYSTDIGSGTATMVWSALTDQCEIFGYCGNMGICSYNELSSSLSPTCGCPSENFEPVDVNDSRQGCKRKVEIESCVGSATMLVLDNVKFLTYLPETVSQVFFVGISACRLNCLSQSSCIASTSLSDGTGLCYLKNQGFISGYQNPALPSTSYVKICGPARPNPPPGVQIAGKSKSSRLRVWVVLVVVVITLLGLIAVEGGLWWWCCRNSPKFGSLSAQYALLEYASGAPVQFSYKELQHSTKEFKEKLGAGGFGAVYKGVLDNRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLIRLIGFCSEGRHRLLVYDFMKNGSLDNFLFTSEEQPGRLLNWEQRFNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLINPEDHRYRTLVSVRGTRGYLAPEWIANLPITSKSDIYSYGMVLLEIVSGRRNYEVSSETNRKKFSVWACEEFEKGDVNAILDQRLTHQDLDLDQVTRAIQVSFWCIQEQPSQRPTMGKVVQMLEGISEIERPPAPKTITGGSFGGSNVSVSSNVSTLSTFEVLAPAPSSSSSYQTIGISPLASVRNIERTSSLLHSDSN >Potri.006G163301.1.v4.1 pep chromosome:Pop_tri_v4:6:15725183:15725464:1 gene:Potri.006G163301.v4.1 transcript:Potri.006G163301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163301.v4.1 MYLKILLIVFQCSLPGFAMYRLAAPTACAMSGLVHIMANIKLPTADAYGTRDISILSASLLGDILEDNLKLTGSGVEIGLQSCMLKRRKIFFR >Potri.017G026500.1.v4.1 pep chromosome:Pop_tri_v4:17:1755627:1760161:1 gene:Potri.017G026500.v4.1 transcript:Potri.017G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026500.v4.1 MQMISKASCAMASLPCSRVRSGIRVRPGTRQLSLRKVIVYGFMRLLSTPFKTLRGASRTLKVAQFCSVSNMSSSLQIELVPCLKDNYAYLLHDVDTGTVGVIDPSEAAPVIDALSRKNRNLTYILNTHHHYDHTGGNEELKARYGAKVIGSGVDRDRIPGIDIVLNDGDNWMFGGHEVLVMETPGHTRGHVSFYFPGSGAIFAGDTLFSLSCGKLFEGTPEQMLSSLRKIMSLPDDTNIYCGHEYTLSNSKFALSIDPNNEALQSYAAHVAHLRSKSLPTIPTKLKVEKACNPFLRTSSTAIRHTLNIPATANDSEALGVIRQAKDNF >Potri.002G180600.1.v4.1 pep chromosome:Pop_tri_v4:2:14270199:14272289:-1 gene:Potri.002G180600.v4.1 transcript:Potri.002G180600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180600.v4.1 MASSIENHVSQVDEAKDENFGYAMQLALSSVLPMTLHTAIQLGIFEIIAKAGPDVKLSAADIAAKLPTDNPDTPKMLDRILRLLASHQVLCCFVDGSERFYSLAPVSMYFVRNQNGVSLAPFMALNHENVILQSWSQLKDAVLEGGVAFHRVHGVHAFEYNGLDPRFNQVFNTAMYNQTTVVNGNMLEKYNGFKNLKQLVDIGGGLGHTMKAVTSKYPQIKGINFDLPHVIEHAPAYPGVEHVGGDMFESVPKGDAIFLKWILHNWSDDHCLKLLKNCYKAIPEDGKVIVMESVLPITAKTSPAAKAISQLDVLMMMSQNPGGKERTEDEFMALATAAGFRGIKFETFVCNFWVMEFFK >Potri.T085301.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:184112:190840:1 gene:Potri.T085301.v4.1 transcript:Potri.T085301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085301.v4.1 MATKNCFLPALLLVLGTVTSNVAAAAPSHINIASLNRSSFPGGFIFGTASSAYQYEGAAAKGGRGPSIWDIYTHRYPGKIKDGSNGDLAIDSYHRYKEDVGIMKEMDLDAYRFSISWSRILPNGKLSGGVNREGIQYYNNLINELLANGIQPFVTLFHWDLPHTLEDEYGGFLSPRIVNDYEDYAEICFKEFGDRVKYWITLNEPWTYSMGGYAAGLLAPGRCSDWQGLNCAGGDSGTEPYLAAHYQLLAHAKAVHLYKKKYQKTQKGVIGITLISQWFVPFSDAKHDQNAAKRALDFMLGWFMDPLTNGDYPHTMRSLVGDRLPKFSREQSEMIKGSFDFLGLNYYTTNYAAYMPHSNSPNASYLTDSRANLSTERHGVLIGPKAASDWLYIYPRGIRDLLLYVKREYRNPLIYITENGVDEFNNGSLTLKEALVDTMRVDYYHHHLDFLRRSIKDGVNVKGYFAWSLLDNFEWYAGYTVRFGINYVDYKDGLKRYPKLSAHWFKSFLKK >Potri.005G219600.1.v4.1 pep chromosome:Pop_tri_v4:5:22210217:22211289:-1 gene:Potri.005G219600.v4.1 transcript:Potri.005G219600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219600.v4.1 MIVTTTLSLAGSSSPSSPAVQAKNEPQRHFRGVRKRPWGRFAAEIRDPWKKKRSWLGTFDTAEEAALAYDEAARSLRGPKARTNFFHNDHFAPVPFSQTAVVGAGVFEKCCSPVCLAGEVARMTMPAASPVRSEYTGYNMETVNENVGLVLNEEKKLRGGKKPFLFDLNLPAPLF >Potri.008G019325.1.v4.1 pep chromosome:Pop_tri_v4:8:967755:970446:1 gene:Potri.008G019325.v4.1 transcript:Potri.008G019325.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019325.v4.1 MDLCFLLLQMNRLEWNAVKFEEDLQGTAWIPLFVTWKKVVNGGEPRLRGCIGTLEAQCIITGFRDYALTRFPPIQAKEYLPTLECTVSILTDYETANHYLDWEVGKHGLIIEFTDPNNNARRSATYLPEVAAHCQRIISTQ >Potri.008G046200.3.v4.1 pep chromosome:Pop_tri_v4:8:2674335:2683096:1 gene:Potri.008G046200.v4.1 transcript:Potri.008G046200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046200.v4.1 MLIVQMNKNASIDQRVAEQNHIVEDEQKEIRDGIMGEGQELSEEDESQINEDGKYMNDKGMELLQVQNDAQAVIQSQQQQSQGPLVIWERFLPLRSLKVLLVENDDSTRHVVSALLRNCGYEVTAVSNGLQAWKVLQDLTNHIDLVLTEVAMPCLSGIGLLSKIMSHKTCRNIPVIMMSSHDSMNVVFKCLSKGAVDFLVKPIRKNELKILWQHVWRKCHSASGSGSESAVRTQKSTKSNGADESDNDTGSNDDDGIGSVGLNARDGSDNGSGTQSSWTKRAVEVESPKPMSPWDQDHLSDPPDSTCAQVIHSRPEACDNSWVPLATMKKCGEQDDELDNIVMGKDLEIGVPRIPNLQLKDPIKRVPTNIADNDGEKFPEIKSKHDGGHLEKRQQELNSEKCNTELRNQGNDLKGGGITNSANPRMDSLVLDVPNGLSSNRKNEVTYETKEVPSFELSLKRLRDIGDAGASSHDRNVLRHSDLSAFSRYNSASTADQAPTGNVGSCSPLDNSSEAAKTESMQNLQSNSNSTPPNQRSNGSSHNNDMGSTNNITFAKPSVISDKPTLKPTVKCHYPSAFQPVQNDHTALPQPVIQGKGDAPIANTTLVKSRGVNQQGQVQHHNHCVHNMPQQQQLTNHDDLSLNMTAAAPQCGSSNMLSTPTQGNAGDYSLNGSDHGSNGQNGSSIALSGAVEKGGTPGPGDESGSRSGVGRNRFALREAALSKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVGPEHKDEDARS >Potri.008G046200.9.v4.1 pep chromosome:Pop_tri_v4:8:2674339:2682947:1 gene:Potri.008G046200.v4.1 transcript:Potri.008G046200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046200.v4.1 MLIVQMNKNASIDQRVAEQNHIVEDEQKEIRDGIMGEGQELSEEDESQINEDGKYMNDKGMELLQVQNDAQAVIQSQQQQSQGPLVIWERFLPLRSLKVLLVENDDSTRHVVSALLRNCGYEVTAVSNGLQAWKVLQDLTNHIDLVLTEVAMPCLSGIGLLSKIMSHKTCRNIPVIMMSSHDSMNVVFKCLSKGAVDFLVKPIRKNELKILWQHVWRKCHSASGSGSESAVRTQKSTKSNGADESDNDTGSNDDDGIGSVGLNARDGSDNGSGTQSSWTKRAVEVESPKPMSPWDQDHLSDPPDSTCAQVIHSRPEACDNSWVPLATMKKCGEQDDELDNIVMGKDLEIGVPRIPNLQLKDPIKRVPTNIADNDGEKFPEIKSKHDGGHLEKRQQELNSEKCNTELRNQGNDLKGGGITNSANPRMDSLVLDVPNGLSSNRKNEVTYETKEVPSFELSLKRLRDIGDAGASSHDRNVLRHSDLSAFSRYNSASTADQAPTGNVGSCSPLDNSSEAAKTESMQNLQSNSNSTPPNQRSNGSSHNNDMGSTNNITFAKPSVISDKPTLKPTVKCHYPSAFQPVQNDHTALPQPVIQGKGDAPIANTTLVKSRGVNQQGQVQHHNHCVHNMPQQQQLTNHDDLSLNMTAAAPQCGSSNMLSTPTQGNAGDYSLNGSDHGSNGQNGSSIALSGAVEKGGTPGPGDESGSRSGVGRNRFALREAALSKFRQKRKERCFEKKVRYQSRKKLAEQRPRIRGQFVRQVGPEHKDEDARS >Potri.007G085550.1.v4.1 pep chromosome:Pop_tri_v4:7:11007926:11008855:-1 gene:Potri.007G085550.v4.1 transcript:Potri.007G085550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085550.v4.1 MELPYSLHLLLLLLKGIQLTEIDAGQLGINVPIPVPPPFFSFTGRV >Potri.017G070100.1.v4.1 pep chromosome:Pop_tri_v4:17:7581842:7587195:-1 gene:Potri.017G070100.v4.1 transcript:Potri.017G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070100.v4.1 MIKQILNRLPKKSSKSADSRDGSGTSTSSSNASTSSRNSDLVSNRYGSLSAASLPDFNSGPNLGSGYGNKLSQAVNSKLNGNQPIPYEALPSFRDVPNSEKPNLFIRKLNLCCIVFDFNNPTKNLKEKDIKRQTLTELVDYVSSANGRFSETVMQEIVKMLSVNLFRTLTSPPRENKVLEAFDLEEDEPLMDPAWPHLQVVYEFLLRFVASTETDAKLAKRYVDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGMLKYWPITNSSKEVMFLNELEEVLEATQPADFQRCMVPLFCHIRCCLCSLHFQVAERALFLWNNDHIENLIKQNRRVILPIIFPALERNARQHWNQAVQSLTLNVRKIFSDIDPELFEECLLKFQKEESRENEIKSKREATWKRLEEIAAMKAASNEPVLISPKSRGGHHLGRELDSC >Potri.017G070100.3.v4.1 pep chromosome:Pop_tri_v4:17:7581962:7587171:-1 gene:Potri.017G070100.v4.1 transcript:Potri.017G070100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070100.v4.1 MIKQILNRLPKKSSKSADSRDGSGTSTSSSNASTSSRNSDLVSNRYGSLSAASLPDFNSGPNLGSGYGNKLSQAVNSKLNGNQPIPYEALPSFRDVPNSEKPNLFIRKLNLCCIVFDFNNPTKNLKEKDIKRQTLTELVDYVSSANGRFSETVMQEIVKMLSVNLFRTLTSPPRENKVLEAFDLEEDEPLMDPAWPHLQVVYEFLLRFVASTETDAKLAKRYVDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGMLKYWPITNSSKEVMFLNELEEVLEATQPADFQRCMVPLFCHIRCCLCSLHFQVAERALFLWNNDHIENLIKQNRRVILPIIFPALERNARQHWNQAVQSLTLNVRKIFSDIDPELFEECLLKFQKEESRENEIKSKREATWKRLEEIAAMKAASNEPVLISPKSRGGHHLGRELDSC >Potri.017G070100.2.v4.1 pep chromosome:Pop_tri_v4:17:7581958:7587187:-1 gene:Potri.017G070100.v4.1 transcript:Potri.017G070100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070100.v4.1 MIKQILNRLPKKSSKSADSRDGSGTSTSSSNASTSSRNSDLVSNRYGSLSAASLPDFNSGPNLGSGYGNKLSQAVNSKLNGNQPIPYEALPSFRDVPNSEKPNLFIRKLNLCCIVFDFNNPTKNLKEKDIKRQTLTELVDYVSSANGRFSETVMQEIVKMLSVNLFRTLTSPPRENKVLEAFDLEEDEPLMDPAWPHLQVVYEFLLRFVASTETDAKLAKRYVDHSFVLRLLDLFDSEDPREREYLKTVLHRIYGKFMVHRPFIRKAINNIFYRFIFETEKHNGIAELLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCLPMYHQQLSYCITQFVEKDCKLADTVIRGMLKYWPITNSSKEVMFLNELEEVLEATQPADFQRCMVPLFCHIRCCLCSLHFQVAERALFLWNNDHIENLIKQNRRVILPIIFPALERNARQHWNQAVQSLTLNVRKIFSDIDPELFEECLLKFQKEESRENEIKSKREATWKRLEEIAAMKAASNEPVLISPKSRGGHHLGRELDSC >Potri.007G021900.1.v4.1 pep chromosome:Pop_tri_v4:7:1688419:1692783:1 gene:Potri.007G021900.v4.1 transcript:Potri.007G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G021900.v4.1 MELVALNLSTKIPRQKPTFLSTTTKTLLFRNYHYQLRRRASNHFRFQARNKQQQQLLISQIDSESELEFDSDEDEDEMSSGLLSLSVKPDRNTALLDDYEIEELAFHDSSNSNHRSGYVAVVGKPNVGKSTLSNQMIGQKLSIVTDKPQTTRHRILGICSAPDYQMILYDTPGVIEKKMHKLDSMMMKNVRSAAINADCVLVIVDACRAPEKIDEMLEEGVGNLKDNVPTLLVLNKKDLIKPGEIAKKLEWYEKFTGVDEVIPVSAKFGQGVEDIKEWILSKLPMGPAYYPKDIVSEHPERFFVAEIVREKIFMQFRNEVPYACQVNVVGYKARPTAKDFIQVEIVVEKNTQKIIIIGKEGKALKLLATAARLDIEDFLQKKVYLEIEVKVKENWRQDEGLLKYYGYGGQISAL >Potri.001G199250.1.v4.1 pep chromosome:Pop_tri_v4:1:19665819:19666427:1 gene:Potri.001G199250.v4.1 transcript:Potri.001G199250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199250.v4.1 MSNLTKLKFTALDISENNYLSWFLDVEIHLEAMNLGETIKEENCASLQDCAKVMIFTCHHLYEGLKLKLCGENITKDQMLEKTFSTFHALNIVMQLQYRECNFKRYSKLISCLLVAKQNNELFIKNHQSYPTGSKPFPEVNGTF >Potri.010G222100.4.v4.1 pep chromosome:Pop_tri_v4:10:20690735:20693875:-1 gene:Potri.010G222100.v4.1 transcript:Potri.010G222100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222100.v4.1 MPQTWFHIFYLFNSILLTFTIFYITPISELLFFKEVNDYNDLTKIPEFIPMPPVDCKNEKSLFDEKDVEVLTQNNFSEFVAATQHVMLNFYAPWCVWSQRLAPEYAAAATMLKGEAVFAKIDATNEIELGKMFKIKEYPTMYLLVNGGVQKVTYDLTDERTTDAITTWVRQKMSRAVQNVTTIEAAERILAARSVLVMGFFGALEGSDSEELAAVAKQHIDVNFYQTANAEVARLFQIDPQIKQPALVMLKLKWMTRNHNHFGFDCQFTRSEISNFVSENKLPSVITFSEEDAPNIFKNPMKQLWLFAATYPKEVLAPFIEAADHLKGKLLFVHVETEYNSMQRRVSYEFGVAEGLPTLVGYTANGADKHVYNSDLSFNGIKAFAEKFLEDKPPSQPSSTPDNVIKLPFRTHNSGPTSTGWAF >Potri.010G222100.2.v4.1 pep chromosome:Pop_tri_v4:10:20689782:20693957:-1 gene:Potri.010G222100.v4.1 transcript:Potri.010G222100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222100.v4.1 MPQTWFHIFYLFNSILLTFTIFYITPISELLFFKEVNDYNDLTKIPEFIPMPPVDCKNEKSLFDEKDVEVLTQNNFSEFVAATQHVMLNFYAPWCVWSQRLAPEYAAAATMLKGEAVFAKIDATNEIELGKMFKIKEYPTMYLLVNGGVQKVTYDLTDERTTDAITTWVRQKMSRAVQNVTTIEAAERILAARSVLVMGFFGALEGSDSEELAAVAKQHIDVNFYQTANAEVARLFQIDPQIKQPALVMLKLKWMTRNHNHFGFDCQFTRSEISNFVSENKLPSVITFSEEDAPNIFKNPMKQLWLFAATYPKEVLAPFIEAADHLKGKLLFVHVETEYNSMQRRVSYEFGVAEGLPTLVGYTANGADKHVYNSDLSFNGIKAFAEKFLEDKPPSQPSSTPDNVIKLPFRTHNSGPTSTGWAF >Potri.010G222100.3.v4.1 pep chromosome:Pop_tri_v4:10:20689786:20693878:-1 gene:Potri.010G222100.v4.1 transcript:Potri.010G222100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222100.v4.1 MPQTWFHIFYLFNSILLTFTIFYITPISELLFFKEVNDYNDLTKIPEFIPMPPVDCKNEKSLFDEKDVEVLTQNNFSEFVAATQHVMLNFYAPWCVWSQRLAPEYAAAATMLKGEAVFAKIDATNEIELGKMFKIKEYPTMYLLVNGGVQKVTYDLTDERTTDAITTWVRQKMSRAVQNVTTIEAAERILAARSVLVMGFFGALEGSDSEELAAVAKQHIDVNFYQTANAEVARLFQIDPQIKQPALVMLKLKWMTRNHNHFGFDCQFTRSEISNFVSENKLPSVITFSEEDAPNIFKNPMKQLWLFAATYPKEVLAPFIEAADHLKGKLLFVHVETEYNSMQRRVSYEFGVAEGLPTLVGYTANGADKHVYNSDLSFNGIKAFAEKFLEDKPPSQPSSTPDNVIKLPFRTHNSGPTSTGWAF >Potri.005G061780.1.v4.1 pep chromosome:Pop_tri_v4:5:3902378:3903225:1 gene:Potri.005G061780.v4.1 transcript:Potri.005G061780.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061780.v4.1 MANHKPALFLSSILMLSLVLAVSMAESRILGLTGSLKGKTPECDEVFGVGSGDNCFDITQTFNLTTKLFDSINPNLNCDALFVGQWLCVAGSA >Potri.005G253900.2.v4.1 pep chromosome:Pop_tri_v4:5:24594467:24595908:-1 gene:Potri.005G253900.v4.1 transcript:Potri.005G253900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253900.v4.1 MATASVLALLLLAILSPLQNVSAVGVSYGTLGNNFPSPKKAFSNTRIDLIIAVENYHVANISTDTSAADEWFTDRVMSFIPYLTTDPDHLKRNALVQAMQNLHVLFQRAHIHSMAVLASSFPPSVSTFATTLMPVMTSIVGFLADTVCLARGCYRVHDPKGYVYNNMLDAQVDAIGSAIVALGSGNRTVKITISESGWPSKGESGETAATPDNAKTHNTRLVERAQSSKGTPMSPKENIQKFVFALFNENKKEGGLYVVDLSCQFCSSNGGTSGFGEKMSSGVSGPSVRCVAKPHADEKVLQAVLDLFCGPGGVDCREIYVSGDCFAPDKLHAHASYAMNAYYQMHGRNHWNCDFKGTGLVTFSDRSYKFFVTGCRYSQQ >Potri.005G128100.1.v4.1 pep chromosome:Pop_tri_v4:5:9681579:9683091:1 gene:Potri.005G128100.v4.1 transcript:Potri.005G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128100.v4.1 MATDRVSKSSKWFSNKGLRLSLHRRRSKSSSTLSSPNSLMSPYTPKKGRAREDELKEVFRHFDSDGDGRISALELRAYFRSIGEYMSHEEAQSAINDLDADQDNMLDFQDFLRLMKREANDYDDDLKMAFEMFEMEKGSGYITPKGLQRMLHRLGDAKSYDDCVAMIHVFDIDGNGVLDFHEFNQMMA >Potri.010G025400.2.v4.1 pep chromosome:Pop_tri_v4:10:3672379:3672950:1 gene:Potri.010G025400.v4.1 transcript:Potri.010G025400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025400.v4.1 MTDHHLQDLGGHSRLLVIHFLVWKLRWKFGDYPVERCSKQMDQQSNLQVYGLLINLLSVGEFGLRAETSNPLGVKDECGLHPPALRMPSLYSWHAD >Potri.015G046500.11.v4.1 pep chromosome:Pop_tri_v4:15:4600274:4606954:1 gene:Potri.015G046500.v4.1 transcript:Potri.015G046500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046500.v4.1 MASPTASKTRSVLEGLLREGSFKWLLGKGSSFNEEFEEMERSPSAGRNWIAELSPLANVVVRRCSKILGISACELQESFNAEASDSLKHLSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWTTPAAASQPLLNVDEDLTVGLEAFSRIAPAVPIIAHVVISENLFDVLTKATDGRLQFSIYDKYLSGLERAIKKMKTQSDSSLLSTLRLSRREKILEVDGTVTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVSYDKPKIYDLSDDLKQIIKPELTGPWGTRLFDKAVFYKSISLSEPAVIEFPELKGHTRRDYWLAIIREILYVHRFIKKFKISGVERDEALSKAVLGILRLQAIQEISAVNSVCCETLLMFNLCDQLPGGDLILETLANMSSIRELDRTNNYKAGGGMYSISSLAMVSNLGFVLGTSSSDLNEAGLVVGEIAVGEMSSLEKVVKESQNSYKKTVLAQETVNGVKVDGIDTNLAVMKELLLPVMEVGKWLISLIHWDDPMKSLVFCLVLTYVIWRGWLSYAFGLMTIFLAIFMVLTRFCNQGRPVDKLKVVAPPPMNTVEQLLAVQNAISQAEQFIQDGNIILLKFRALLLSIFPQASEKFAFTLLCVALIVVFVPSKYITLLIFLETFTRYSPPRKASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.015G046500.7.v4.1 pep chromosome:Pop_tri_v4:15:4600274:4607018:1 gene:Potri.015G046500.v4.1 transcript:Potri.015G046500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046500.v4.1 MASPTASKTRSVLEGLLREGSFKWLLGKGSSFNEEFEEMERSPSAGRNWIAELSPLANVVVRRCSKILGISACELQESFNAEASDSLKHLSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWTTPAAASQPLLNVDEDLTVGLEAFSRIAPAVPIIAHVVISENLFDVLTKATDGRLQFSIYDKYLSGLERAIKKMKTQSDSSLLSTLRLSRREKILEVDGTVTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVSYDKPKIYDLSDDLKQIIKPELTGPWGTRLFDKAVFYKSISLSEPAVIEFPELKGHTRRDYWLAIIREILYVHRFIKKFKISGVERDEALSKAVLGILRLQAIQEISAVNSVCCETLLMFNLCDQLPGGDLILETLANMSSIRELDRTNNYKAGGGMYSISSLAMVSNLGFVLGTSSSDLNEAGLVVGEIAVGEMSSLEKVVKESQNSYKKTVLAQETVNGVKVDGIDTNLAVMKELLLPVMEVGKWLISLIHWDDPMKSLVFCLVLTYVIWRGWLSYAFGLMTIFLAIFMVLTRFCNQGRPVDKLKVVAPPPMNTVEQLLAVQNAISQAEQFIQDGNIILLKFRALLLSIFPQASEKFAFTLLCVALIVVFVPSKYITLLIFLETFTRYSPPRKASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.015G046500.12.v4.1 pep chromosome:Pop_tri_v4:15:4600274:4606884:1 gene:Potri.015G046500.v4.1 transcript:Potri.015G046500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046500.v4.1 MASPTASKTRSVLEGLLREGSFKWLLGKGSSFNEEFEEMERSPSAGRNWIAELSPLANVVVRRCSKILGISACELQESFNAEASDSLKHLSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWTTPAAASQPLLNVDEDLTVGLEAFSRIAPAVPIIAHVVISENLFDVLTKATDGRLQFSIYDKYLSGLERAIKKMKTQSDSSLLSTLRLSRREKILEVDGTVTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVSYDKPKIYDLSDDLKQIIKPELTGPWGTRLFDKAVFYKSISLSEPAVIEFPELKGHTRRDYWLAIIREILYVHRFIKKFKISGVERDEALSKAVLGILRLQAIQEISAVNSVCCETLLMFNLCDQLPGGDLILETLANMSSIRELDRTNNYKAGGGMYSISSLAMVSNLGFVLGTSSSDLNEAGLVVGEIAVGEMSSLEKVVKESQNSYKKTVLAQETVNGVKVDGIDTNLAVMKELLLPVMEVGKWLISLIHWDDPMKSLVFCLVLTYVIWRGWLSYAFGLMTIFLAIFMVLTRFCNQGRPVDKLKVVAPPPMNTVEQLLAVQNAISQAEQFIQDGNIILLKFRALLLSIFPQASEKFAFTLLCVALIVVFVPSKYITLLIFLETFTRYSPPRKASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.015G046500.8.v4.1 pep chromosome:Pop_tri_v4:15:4600141:4607020:1 gene:Potri.015G046500.v4.1 transcript:Potri.015G046500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046500.v4.1 MASPTASKTRSVLEGLLREGSFKWLLGKGSSFNEEFEEMERSPSAGRNWIAELSPLANVVVRRCSKILGISACELQESFNAEASDSLKHLSCYARNFLEYCCFRALALSTQVTGHLADKKFRRLTYDMMLAWTTPAAASQPLLNVDEDLTVGLEAFSRIAPAVPIIAHVVISENLFDVLTKATDGRLQFSIYDKYLSGLERAIKKMKTQSDSSLLSTLRLSRREKILEVDGTVTTQPVLEHVGISTWPGRLTLTDHALYFEALRVVSYDKPKIYDLSDDLKQIIKPELTGPWGTRLFDKAVFYKSISLSEPAVIEFPELKGHTRRDYWLAIIREILYVHRFIKKFKISGVERDEALSKAVLGILRLQAIQEISAVNSVCCETLLMFNLCDQLPGGDLILETLANMSSIRELDRTNNYKAGGGMYSISSLAMVSNLGFVLGTSSSDLNEAGLVVGEIAVGEMSSLEKVVKESQNSYKKTVLAQETVNGVKVDGIDTNLAVMKELLLPVMEVGKWLISLIHWDDPMKSLVFCLVLTYVIWRGWLSYAFGLMTIFLAIFMVLTRFCNQGRPVDKLKVVAPPPMNTVEQLLAVQNAISQAEQFIQDGNIILLKFRALLLSIFPQASEKFAFTLLCVALIVVFVPSKYITLLIFLETFTRYSPPRKASTERWTRRLREWWFSIPAAPVVLEREKEDKKKK >Potri.001G094000.1.v4.1 pep chromosome:Pop_tri_v4:1:7445993:7446889:-1 gene:Potri.001G094000.v4.1 transcript:Potri.001G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094000.v4.1 MEGERKEEQAAINVWDCGSPLYDSYEIASLGHLIDRHSLALSSPCGPEKEGRAVIDHARTPRDQEKGLEVKKEGLLSKIIRIFFWKRTIIRERNDHKAREPGNGFYCLCAHVGSLYT >Potri.008G191700.3.v4.1 pep chromosome:Pop_tri_v4:8:13477322:13480977:-1 gene:Potri.008G191700.v4.1 transcript:Potri.008G191700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191700.v4.1 MACWKSAWILVVAAVIFALLSSIHATENGGGVGRRTLLSFKEKPHGSNFTFDCSPSGPCVPCAYSEKSDENYRCSETGYRIPFKCIEIKHDTKNENGKQHSQNGRSAVEISDNVNPHISLQDAASNEGRILLDGSSSAKDGVQTYITYRSCISANAEKLSVLGFEGIILCLLLASGSVVYFRRKQTFTRASGAGPGSIQMNSRF >Potri.005G257600.1.v4.1 pep chromosome:Pop_tri_v4:5:24804006:24808321:-1 gene:Potri.005G257600.v4.1 transcript:Potri.005G257600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257600.v4.1 MIINMDHLNVIPFDNISMGSEDDPNTALHLQHHQPHNLDFDFDYPNNNNDDDLLEPTSLSDPNLDPYEGMEFDSEQSARIFYNSYARRVGFSTRVSVYQRSRRDGSIICRQIVCSREGFRREGNENRSKRQRTVTRVGCKAQMTVKKQSSGKWAVTKLVEDHNHELVPPDKVHSLRSHRHVSGSARSLIDTLQAAGMGPSGVMSVLIRESGGINNVGFTKVDCQNYMSTSRQRTLGPGGQAVFDYLKQMQAEDPGFFYAVQGDFENSTGNVFWADANARMNYSFFGDTITFDTTYRTNRYRVPFAPFTGWNHHGQPLLFGCALLLNESESSFVWLFETWLAAMSGRCPISITTDQDRIIRAAVSQAFPGTRLRFCKWNVFREAQEKLSHEYHSHPTFEPEFHRCINMAESIDEFESCWESLLQRFDLSDNEWLQSMYNARQQWVPVYLQDTFFGEMSILQGSDSINSYFDGYINASTNIHNLIKQYEKAMAIRHEKEVKADYDTLNSPPVLKTPSPMEKQAANLYTRRIFMKFQEELVETLAYLATVVDDIGSAITYQVAKFGEDHKVHHVRFNAFEKRASCSCQMFEFSGIICRHILAVFRVKNVLTLPSNYILKRWTRNAKSGIVLDEHTLGLPCNTQESLAARSENLRQEAIKYVEEGAESEHIYNVAMDALHEAIRKVAAAKMCGSAPVQTTVVNGSQQLLSCSLDEDEKIQELTAELEQASQRCEAYRTKLLCVMKDMEEWKLRISVKVQNVRLKMKD >Potri.003G220200.1.v4.1 pep chromosome:Pop_tri_v4:3:21450438:21451962:1 gene:Potri.003G220200.v4.1 transcript:Potri.003G220200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220200.v4.1 MEYHQFQKQPEGVSPSKPSKFKERCLSNNSKNKFVGVRQRPSGKWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFNTHVSSNSPISTKIRNLLNRKRSLKQNSSTTPPAKSTIRASTIVSTTSAFNSSVDGFPSSIASHASYFNGIKQENQMFDNAYRPDLSGCFGGLEPVTSQFHPSCSFPSGFDPHIPYIQERMELPRDVGLLSDASSCVELAVFERMKVERQISASLYDMNGVNEYFENSNDSSEALWDLPTLCQLFCPS >Potri.002G169550.1.v4.1 pep chromosome:Pop_tri_v4:2:13030749:13031063:-1 gene:Potri.002G169550.v4.1 transcript:Potri.002G169550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169550.v4.1 MVAMGEWVFFRREAGGEKFQGEGHCCHNKMRLLSAELIEKLPLEPNVGLHEAPSAGNLHIASPAWWNGRHVHLLAAAYVQSTSSGFYTERPIFPVILPRFFPSG >Potri.010G194650.1.v4.1 pep chromosome:Pop_tri_v4:10:18901665:18902010:-1 gene:Potri.010G194650.v4.1 transcript:Potri.010G194650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194650.v4.1 MVKNFWRSLLSQESHARNLFDVWTERRTQAICTNLNQITIKGLCAVIKKARGNIIKPGIYSGFHYHLDSVPVLFTRTKQEMAQKEFYR >Potri.004G025100.1.v4.1 pep chromosome:Pop_tri_v4:4:1868380:1869170:1 gene:Potri.004G025100.v4.1 transcript:Potri.004G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025100.v4.1 MSPEYAMHGQYSVKSDVYSFGVLILEIISGKKNSSFYQSDNGMDLLSYAWKHWTNGTALELMDASLGHSYSRNEIARCLHIALLCVQEDPKKRPTLTTIVLMLTSFSVTLPLPRKPAYCVQSRTDSSLPIIGLESDRSTSTSKPLSVNDMSITELYPR >Potri.019G027720.1.v4.1 pep chromosome:Pop_tri_v4:19:4044911:4045276:1 gene:Potri.019G027720.v4.1 transcript:Potri.019G027720.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G027720.v4.1 LISDNGPDRTNINPFYSIYSKTRIQQSLKQNQGTISISTLLNRNMECQSLIILSSSNCFRMDPSNGVKSYNVIKESTKRDPIIPIRNLLGPLGTALQIANFYSFYHLLTHNQISVIKYLKLD >Potri.014G123100.1.v4.1 pep chromosome:Pop_tri_v4:14:8287215:8288791:-1 gene:Potri.014G123100.v4.1 transcript:Potri.014G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G123100.v4.1 MVDREIKNGSAAFSWADEVEKEEEEQARFQENQKQKPNPFGSARPREVVLQEKGIDWRKLDFHLQLPSHIRQLDDPKLCKEKIPASAAPGIDRIHSVTPSKCLKHEMEDANSDLKRGEILRIPLAVQNQNPVPFVPPLRYPPKNVIPSLSESGFHYYLHELSDGQQDFKNKKPLKPEKENAFHQQGSQRVYCSQNNRGSHILPHYHRQILQAEQGSQFKENYENFKLGQSVVNGKNLRKTAASRIQHSDSAIERPCKNLQEDYAVSHGVRQSLTDHSCAGGTSIKQKNGLERSVAKRSSGTEFSLMAGEAWNQSLVVKKIKGGEMKGN >Potri.016G051800.1.v4.1 pep chromosome:Pop_tri_v4:16:3360458:3368298:1 gene:Potri.016G051800.v4.1 transcript:Potri.016G051800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051800.v4.1 MGRKGKKPRVSREEEEEIESEVEEEHQENMNQSSANEKSLYEVLGVEKTASQQEIKKAYYKLALRLHPDKNPGDEEAKEKFQQLQKVISILGDEEKKAVYDQTGCVDDTDLAGDVVQNLKDFFRTFYKKVTEADIEEFEANYRGSDSEKKDLIELYKECKGNMSRLFCSMLCSDPKLDSHRFMDILDEAISAGEVKRTKAYQKWAKRVSETKPPTNPLKRRVKSKKEPEADLFAIISERQSKRKNQVNSFLSSLESKYGGSNSTSEPTEEEFEAIQEKIESRRKGSKKSKQK >Potri.001G190100.1.v4.1 pep chromosome:Pop_tri_v4:1:17288109:17289978:1 gene:Potri.001G190100.v4.1 transcript:Potri.001G190100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190100.v4.1 MSSSFKEIQIQHQKHYSNIPSFPFPSVLSPNPFSSSTLSFFTDSIIAQKPFLDSLLLKTGAILFRGFDVNTAKDFNDVVEAFGFEELPYVGGAAPRTNVVGRVFTANESPPDQKIPFHHEMAQVPEFPSKLFFFCEVEPGSGGETPIVLSHVVYERMKERYPDFVEKIEEHGLIYTRVLGEEDDPSSPIGRGWKSTFLTNDKSVAEQRAAKLGMTLEWFEDGVKTIMGPIPAIKYDKSRNRKIWFNSMVAAYTGWKDARNDPVKAVTFGDGQPLPGDIIHDCLKILEEESLAIPWQKGDVLLIDNWAVLHARRSFNPPRRVLASLCK >Potri.017G007900.1.v4.1 pep chromosome:Pop_tri_v4:17:592560:596118:1 gene:Potri.017G007900.v4.1 transcript:Potri.017G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007900.v4.1 MFSRVSRHLFGAYAALPFLVILSFHHSCSARMKTSNYCAPSSCGYIHNISYPFRLKTDPEGYGNKNYELACENNVRPTLLLDKVKYYVQAINYSNFTIRLVDAAVQKDDCFSIPHRSIKEYPLLRSADDNYYYEIKWPDSSVLTFLCCKNPISNPPDYIIDTSSCKNGSGTAYNSSSSSSISSPSCVDMEGYSYVMVDGQIQDIPDLCSINLIYSVPKNMTNMSYTDVHDILVYGFELSWFSFCCNYSTENRCNLDDATVKNNYCFNDYTEPCPVWSLGDLSFEGYCYDRMTTPLIFLRFLIFFPTVTLALIVIYHVLLFPCGLPCLLTLLIYKWRRRHLSMYEDIEKFLQSRDNDLMPIRYTYSEIKKITNGFKDKLGEGGSGSVYKGKLRSGRFAAVKILDKLKDNEQDFMNEVATIGRIHHVNVVQLIGFTVEGSKRALIYEFMPNGSLEKYIFYREGSIALSNEKMYEISLGVARGIEYLHKGCDMQILHFDIKPHNILLNDKFVPKVSDFGLAKFYPTNNNIVSLSAARGTIGYMAPELFYKNIGRVSDKADVYSYGMLLMEMVGRRKNLNAFASHSSQIYFPSWIYDQVSEGKDIEVQEDAMEHEERTMKKMIIVALWCIQLKPVDRPSMHKVVKMLESDVESLRMPPKPFLTPHRTLEEDDRANNEKLSDPSNDCNHSSYQFGH >Potri.001G395000.2.v4.1 pep chromosome:Pop_tri_v4:1:41884015:41886724:-1 gene:Potri.001G395000.v4.1 transcript:Potri.001G395000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395000.v4.1 MDHISRFPDGVLHHILSFLPTKDVLRTSILSKRWKLVLDTYPILDFSWGDCAGQSSDAMYSENASDEYRQMLTKFMNYVDTSIFRFCKYKFSMQKFKLFLVLPDLELSSHLDKWVQKVIENGAKEVDFGIDLPGYLHFKKPYSMPDAIFAAKSVTVLKLFGCNVKLEESFCIKLHSLQKLALKEVQMDDHLLRRIVTCCPLIEDISLRFCWGLKKIQVFELLRLKKFEIYSHLSKPESVEIKSPSLESFHCSFTVRSVKPIVSVDACQGLKSLILSGSFVTELLLQDLVPKFHVLESLRVGDCPVLKKVKISSWRLKSLEIHSCENIMDIEINTPNLLSCKYCGSVVPVSLINAPSCHWQVEFSLMNTLDILWYMTLKEFLAKLNQLVYLHVYVYLTTIMSSLNLEDLSNNASPYVVDNVVLTVHSASPIMTYANFMDGLFFFSRPKNLFIRSTDEQKRYVEYLCGFSKRALSCCNHRKVKCWRHDLKEVKLGSFVESADGMVDYNRMTSSFFWLVKGHTHCKMEWEL >Potri.001G395000.6.v4.1 pep chromosome:Pop_tri_v4:1:41884014:41886677:-1 gene:Potri.001G395000.v4.1 transcript:Potri.001G395000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395000.v4.1 MDHISRFPDGVLHHILSFLPTKDVLRTSILSKRWKLVLDTYPILDFSWGDCAGQSSDAMYSENASDEYRQMLTKFMNYVDTSIFRFCKYKFSMQKFKLFLVLPDLELSSHLDKWVQKVIENGAKEVDFGIDLPGYLHFKKPYSMPDAIFAAKSVTVLKLFGCNVKLEESFCIKLHSLQKLALKEVQMDDHLLRRIVTCCPLIEDISLRFCWGLKKIQVFELLRLKKFEIYSHLSKPESVEIKSPSLESFHCSFTVRSVKPIVSVDACQGLKSLILSGSFVTELLLQDLVPKFHVLESLRVGDCPVLKKVKISSWRLKSLEIHSCENIMDIEINTPNLLSCKYCGSVVPVSLINAPSCHWQVEFSLMNTLDILWYMTLKEFLAKLNQLVYLHVYVYLTTIMVRNTYSNSSYIVCFCICLFGDSCHIYELLDAFSL >Potri.011G067400.18.v4.1 pep chromosome:Pop_tri_v4:11:5886659:5892425:1 gene:Potri.011G067400.v4.1 transcript:Potri.011G067400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067400.v4.1 MRGRWNSIGFRILFLLVLTLSLENQCSWSLNDEGSVLLKFRARVDFDPFGALANWNPNDIDPCSWSGVHCVAGKVQILDLSGLSLQGTLAPELGKLVNLRSLVLYRNHFTGTIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKYFTWWSSFNQLNGANSFIFPIKGAFMHYLNSFMLQPFKLGKDALHGFGENCFNEPDRSSEQGTVQNVEIVVNFERRRLLEQSSNLQAAPASGGSSSQPIIALPTTRSSGSFPAIPNAKKHQAQSPVSLDSPVSPPPRGTEHSSHSSEKPSIDQTPTNGTSGNTWMYFLIIPIAGVLGIVAVGLLLMCRKQVVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFNGFTAYKGTLSSGVEISVASTAVTSSKDWSKSAEMAYRKKIETLSRINHKNFVNLIGYCEEDEPFNRMMVFEYAPNGSLFEHLHVEEMEHLDWNTRLRIIMGVAYCLQYMHHDLNPPIVHSKLCSRAIFLTDDYAAKIAEICFLPQATSNSKISGEMEDSLPPHVDLETNVYCFGVLLLEVISGKLSYSEEQGHLENWAAEYLNDKRSIGYMIDPSLKSFKNNELDVICEVIQQCIQSDPRHRPTMKEITSKLKEVISISPDQATPRLSPLWWAELEILSVEAT >Potri.011G067400.17.v4.1 pep chromosome:Pop_tri_v4:11:5886632:5892443:1 gene:Potri.011G067400.v4.1 transcript:Potri.011G067400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067400.v4.1 MRGRWNSIGFRILFLLVLTLSLENQCSWSLNDEGSVLLKFRARVDFDPFGALANWNPNDIDPCSWSGVHCVAGKVQILDLSGLSLQGTLAPELGKLVNLRSLVLYRNHFTGTIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKYLLLRDNKFGGSIPPEIRRLNLLYELQFDGNLASGSTTGVSCVNRKLGHGTWWSSFNQLNGANSFIFPIKGAFMHYLNSFMLQPFKLGKDALHGFGENCFNEPDRSSEQGTVQNVEIVVNFERRRLLEQSSNLQAAPASGGSSSQPIIALPTTRSSGSFPAIPNAKKHQAQSPVSLDSPVSPPPRGTEHSSHSSEKPSIDQTPTNGTSGNTWMYFLIIPIAGVLGIVAVGLLLMCRKQVVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFNGFTAYKGTLSSGVEISVASTAVTSSKDWSKSAEMAYRKKIETLSRINHKNFVNLIGYCEEDEPFNRMMVFEYAPNGSLFEHLHVEEMEHLDWNTRLRIIMGVAYCLQYMHHDLNPPIVHSKLCSRAIFLTDDYAAKIAEICFLPQATSNSKISGEMEDSLPPHVDLETNVYCFGVLLLEVISGKLSYSEEQGHLENWAAEYLNDKRSIGYMIDPSLKSFKNNELDVICEVIQQCIQSDPRHRPTMKEITSKLKEVISISPDQATPRLSPLWWAELEILSVEAT >Potri.011G067400.15.v4.1 pep chromosome:Pop_tri_v4:11:5886208:5892447:1 gene:Potri.011G067400.v4.1 transcript:Potri.011G067400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067400.v4.1 MRGRWNSIGFRILFLLVLTLSLENQCSWSLNDEGSVLLKFRARVDFDPFGALANWNPNDIDPCSWSGVHCVAGKVQILDLSGLSLQGTLAPELGKLVNLRSLVLYRNHFTGTIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKYLLLRDNKFGGSIPPEIRRLNLLYELQFDGNLASGSTTGVSCVNRKLGHGTWWSSFNQLNGANSFIFPIKGAFMHYLNSFMLQPFKLGKDALHGFGENCFNEPDRSSEQGTVQNVEIVVNFERRRLLEQSSNLQAAPASGGSSSQPIIALPTTRSSGSFPAIPNAKKHQAQSPVSLDSPVSPPPRGTEHSSHSSEKPSIDQTPTNGTSGNTWMYFLIIPIAGVLGIVAVGLLLMCRKQVVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFNGFTAYKGTLSSGVEISVASTAVTSSKDWSKSAEMAYRKKIETLSRINHKNFVNLIGYCEEDEPFNRMMVFEYAPNGSLFEHLHVEEMEHLDWNTRLRIIMGVAYCLQYMHHDLNPPIVHSKLCSRAIFLTDDYAAKIAEICFLPQATSNSKISGEMEDSLPPHVDLETNVYCFGVLLLEVISGKLSYSEEQGHLENWAAEYLNDKRSIGYMIDPSLKSFKNNELDVICEVIQQCIQSDPRHRPTMKEITSKLKEVISISPDQATPRLSPLWWAELEILSVEAT >Potri.011G067400.4.v4.1 pep chromosome:Pop_tri_v4:11:5886592:5892422:1 gene:Potri.011G067400.v4.1 transcript:Potri.011G067400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067400.v4.1 MRGRWNSIGFRILFLLVLTLSLENQCSWSLNDEGSVLLKFRARVDFDPFGALANWNPNDIDPCSWSGVHCVAGKVQILDLSGLSLQGTLAPELGKLVNLRSLVLYRNHFTGTIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKYLLLRDNKFGGSIPPEIRRLNLLYELQFDGNLASGSTTGVSCVNRKLGHGTWWSSFNQLNGANSFIFPIKGAFMHYLNSFMLQPFKLGKDALHGFGENCFNEPDRSSEQGTVQNVEIVVNFERRRLLEQSSNLQAAPASGGSSSQPIIALPTTRSSGSFPAIPNAKKHQAQSPVSLDSPVSPPPRGTEHSSHSSEKPSIDQTPTNGTSGNTWMYFLIIPIAGVLGIVAVGLLLMCRKQVVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFNGFTAYKGTLSSGVEISVASTAVTSSKDWSKSAEMAYRKKIETLSRINHKNFVNLIGYCEEDEPFNRMMVFEYAPNGSLFEHLHVEEMEHLDWNTRLRIIMGVAYCLQYMHHDLNPPIVHSKLCSRAIFLTDDYAAKIAEICFLPQATSNSKISGEMEDSLPPHVDLETNVYCFGVLLLEVISGKLSYSEEQGHLENWAAEYLNDKRSIGYMIDPSLKSFKNNELDVICEVIQQCIQSDPRHRPTMKEITSKLKEVISISPDQATPRLSPLWWAELEILSVEAT >Potri.011G067400.19.v4.1 pep chromosome:Pop_tri_v4:11:5886202:5892422:1 gene:Potri.011G067400.v4.1 transcript:Potri.011G067400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067400.v4.1 MRGRWNSIGFRILFLLVLTLSLENQCSWSLNDEGSVLLKFRARVDFDPFGALANWNPNDIDPCSWSGVHCVAGKVQILDLSGLSLQGTLAPELGKLVNLRSLVLYRNHFTGTIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKYFTWWSSFNQLNGANSFIFPIKGAFMHYLNSFMLQPFKLGKDALHGFGENCFNEPDRSSEQGTVQNVEIVVNFERRRLLEQSSNLQAAPASGGSSSQPIIALPTTRSSGSFPAIPNAKKHQAQSPVSLDSPVSPPPRGTEHSSHSSEKPSIDQTPTNGTSGNTWMYFLIIPIAGVLGIVAVGLLLMCRKQVVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFNGFTAYKGTLSSGVEISVASTAVTSSKDWSKSAEMAYRKKIETLSRINHKNFVNLIGYCEEDEPFNRMMVFEYAPNGSLFEHLHVEEMEHLDWNTRLRIIMGVAYCLQYMHHDLNPPIVHSKLCSRAIFLTDDYAAKIAEICFLPQATSNSKISGEMEDSLPPHVDLETNVYCFGVLLLEVISGKLSYSEEQGHLENWAAEYLNDKRSIGYMIDPSLKSFKNNELDVICEVIQQCIQSDPRHRPTMKEITSKLKEVISISPDQATPRLSPLWWAELEILSVEAT >Potri.011G067400.16.v4.1 pep chromosome:Pop_tri_v4:11:5886632:5892447:1 gene:Potri.011G067400.v4.1 transcript:Potri.011G067400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067400.v4.1 MRGRWNSIGFRILFLLVLTLSLENQCSWSLNDEGSVLLKFRARVDFDPFGALANWNPNDIDPCSWSGVHCVAGKVQILDLSGLSLQGTLAPELGKLVNLRSLVLYRNHFTGTIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKYLLLRDNKFGGSIPPEIRRLNLLYELQFDGNLASGSTTGVSCVNRKLGHGTWWSSFNQLNGANSFIFPIKGAFMHYLNSFMLQPFKLGKDALHGFGENCFNEPDRSSEQGTVQNVEIVVNFERRRLLEQSSNLQAAPASGGSSSQPIIALPTTRSSGSFPAIPNAKKHQAQSPVSLDSPVSPPPRGTEHSSHSSEKPSIDQTPTNGTSGNTWMYFLIIPIAGVLGIVAVGLLLMCRKQVVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFNGFTAYKGTLSSGVEISVASTAVTSSKDWSKSAEMAYRKKIETLSRINHKNFVNLIGYCEEDEPFNRMMVFEYAPNGSLFEHLHVEEMEHLDWNTRLRIIMGVAYCLQYMHHDLNPPIVHSKLCSRAIFLTDDYAAKIAEICFLPQATSNSKISGEMEDSLPPHVDLETNVYCFGVLLLEVISGKLSYSEEQGHLENWAAEYLNDKRSIGYMIDPSLKSFKNNELDVICEVIQQCIQSDPRHRPTMKEITSKLKEVISISPDQATPRLSPLWWAELEILSVEAT >Potri.011G067400.3.v4.1 pep chromosome:Pop_tri_v4:11:5886223:5892431:1 gene:Potri.011G067400.v4.1 transcript:Potri.011G067400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067400.v4.1 MRGRWNSIGFRILFLLVLTLSLENQCSWSLNDEGSVLLKFRARVDFDPFGALANWNPNDIDPCSWSGVHCVAGKVQILDLSGLSLQGTLAPELGKLVNLRSLVLYRNHFTGTIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKYLLLRDNKFGGSIPPEIRRLNLLYELQFDGNLASGSTTGVSCVNRKLGHGTWWSSFNQLNGANSFIFPIKGAFMHYLNSFMLQPFKLGKDALHGFGENCFNEPDRSSEQGTVQNVEIVVNFERRRLLEQSSNLQAAPASGGSSSQPIIALPTTRSSGSFPAIPNAKKHQAQSPVSLDSPVSPPPRGTEHSSHSSEKPSIDQTPTNGTSGNTWMYFLIIPIAGVLGIVAVGLLLMCRKQVVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFNGFTAYKGTLSSGVEISVASTAVTSSKDWSKSAEMAYRKKIETLSRINHKNFVNLIGYCEEDEPFNRMMVFEYAPNGSLFEHLHVEEMEHLDWNTRLRIIMGVAYCLQYMHHDLNPPIVHSKLCSRAIFLTDDYAAKIAEICFLPQATSNSKISGEMEDSLPPHVDLETNVYCFGVLLLEVISGKLSYSEEQGHLENWAAEYLNDKRSIGYMIDPSLKSFKNNELDVICEVIQQCIQSDPRHRPTMKEITSKLKEVISISPDQATPRLSPLWWAELEILSVEAT >Potri.004G222400.1.v4.1 pep chromosome:Pop_tri_v4:4:22704407:22709670:1 gene:Potri.004G222400.v4.1 transcript:Potri.004G222400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222400.v4.1 MSLRLHLPNFQQCSSPLSSSFSLPPCFHVPCSQRRWPHATWSSSIACHLPQQQQSVAVIEKNETSTSVLSERKVIRFGLPSKGRMAADTLDLLKDCQLLVKQVNPRQYVAEIPQLSNLEVWFQRPKDIVRKLLSGDLDLGIVGFDTFSEYGLGNEDLIIVHDALGYGDCRLSLAIPNHGIFESINSLRELSQMPQWTASKPLRIATGFTHLGPKFMKDNGIVNVTFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVLLESQAVLVARRKSLVEQKDVRCRTKEILERFEAHLRAVDQFTVTAHMRGSSAEEVAGRVCSQPSLSGLEGPTISPVFCKHNGKVTPDYYAIVICVPKKALYKSVQQLREIGGSGVLVSPLTYIFEEVTPRWKELLLKLGL >Potri.003G204900.1.v4.1 pep chromosome:Pop_tri_v4:3:20397171:20403948:1 gene:Potri.003G204900.v4.1 transcript:Potri.003G204900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204900.v4.1 MIKNDDVSFTSSALKIAPFLHHQTKPSLPFFSQFVQTKLTFLDSLLTRTRFPNSPLLCSASLSLTRPSSPGPDPKSLPILCSASLSLSQSQLRDSTQSDSVVAQQKSGGASGVHGPSRYDEERVLISEVLVRNKDGEELERKDLEAEALAALKACRANSALTVREVQEDVHRVISSGYFCSCMPVAVDTRDGIRLVFQVEPNQEFHGLVCEGASVLPTKFLQDAFRGGYGKVVNIKQLDEVISSINSWYMERGLFGMVSNAEILSGGIIRLQIAEAEVNDISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSFIPQPAEDTGKVDLIMNVVERPNGGFSAGGGISSGTTSGSLPGLIGSFAYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIMVQNSRTPGNLVHGNQPVNNSLTIGRVAAGIEFSRPLRPKWSGTVGLIFQHAGARNEKGDPKIKDHYNSPLTASGKNHDDMLLAKFESVYTGSGDHGSSMFVFNMEQGLPLWPEWLFFNRVNTRARKGVEIGPALCLLSLSGGHVMGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEISFPVLGPVEGVFFADYGTDLGSGPSVPGDPAGARLKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRHTKRFHFGVGHRN >Potri.003G204900.4.v4.1 pep chromosome:Pop_tri_v4:3:20397198:20403926:1 gene:Potri.003G204900.v4.1 transcript:Potri.003G204900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204900.v4.1 MERGLFGMVSNAEILSGGIIRLQIAEAEVNDISIRFLDRKTGEPTKGKTKPETILRQLTTKKGQVYSMLQGKRDVDTVLTMGIMEDVSFIPQPAEDTGKVDLIMNVVERPNGGFSAGGGISSGTTSGSLPGLIGSFAYSHRNVFGRNQKLNISLERGQIDSIFRINYTDPWIEGDDKRTSRTIMVQNSRTPGNLVHGNQPVNNSLTIGRVAAGIEFSRPLRPKWSGTVGLIFQHAGARNEKGDPKIKDHYNSPLTASGKNHDDMLLAKFESVYTGSGDHGSSMFVFNMEQGLPLWPEWLFFNRVNTRARKGVEIGPALCLLSLSGGHVMGNFSPHEAFAIGGTNSVRGYEEGAVGSGRSYAVGSGEISFPVLGPVEGVFFADYGTDLGSGPSVPGDPAGARLKPGSGYGYGFGIRVDSPLGPLRLEYAFNDRHTKRFHFGVGHRN >Potri.013G071800.5.v4.1 pep chromosome:Pop_tri_v4:13:5878290:5895138:1 gene:Potri.013G071800.v4.1 transcript:Potri.013G071800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G071800.v4.1 MEIEMEARVKALSYKVKGMSRESPSQKASHVLDTDLRSHWSTATNTKEWILLELDEPCLLSHIRIYNKSVLEWEIAVGLRYKPEAFVKVRPRCEAPRRDMIYPMNYTPCRYVRISCLRGNPIAIFFIQLIGVSVAGLEPEFLPVVNHLLPNIISHKQDAHDMHLQLLQDITNRLLVFLPQLETDLTSFLDAPEQNLRFLAMLAGPLYPILHIVNERETARCSGNISDLDVLKSNQPSSSLTVSSNFEPRRSRSASSFVSSTSSSMVFRPDVIFVLLRKTYKESDLGTVCRMVSRILHKLIEPVAVQETSTTASDVTSVMDETSKSELSNPVPLLDYSSLFGEEFQIPDDHWDSSILSVLDIGAVEEGILHVLYACASQPLLCRKLAETTSEFWSALPLVQALLPALRPSVSSLGDNFDDNFSPWKQSFVQQALSQIVATSSSTLYHPLLHACAGYLSSFSPSHAKAACILIDLCSSVLAPWMAQVIAKVDLAVELLEDLLGTIQGARHSLARARAALKYIVLALSGHMDDILGKYKEVKHKILFLLEMLEPFLDPAIYALKSTIAFGDVSFTFLEKQEQTCVTALNVIRTAVQKPAVLPSLESEWRRGSVAPSVLLSILEPHMQLPPEIDLCKSSVSKSLEHEASTASSHASLVRQGGDSSKSNNQDEVDVSDTGVKMDIFEDVSLLFAPQELQTIVLTNVSSNPNKHILDSNHKDANSELNHVIEKKFGDQLQNGLVLDCGFIAEYFNLQADYFQLINYRDCELRASEYQRLALDLHSENEITVEGHDAAIDALLLAAECYVNPFFMMSFRSSPKVIPVNIGDNKKGKNYEISELRNACKKNSCDLETIALLEKKRDKIVLQLLLEAAELDRKFQRTSDYYPEGIVQQVIKLSPLDVQSTDAITLVRQNQALLCSFLIQRLKKEQHSMHEILMHCLVFLLHSATQLHCAPEEVIDFILESAEHLNGMLTSLYYQLKEGNLRLDPEKIHGVQRRWMLLQRLVIASSGGEGSDFAVNINSGFRCGNLISPSAWMHRISTFSCSASPLVRFLGWMAISRNAKQYIEERLFLASDLSQLTHLLSIFADELAVIDNVIDQKYEDDKIEQSGIKQDMLIHQRSKAADQHGDQSFHVIYPDLSKFFPNLRKHFESFGENILEAVGLQLRSLSSSVVPDILCWFSDLCSWPFFQKNQITSQNSYVHLKGYVGKNAKTIILYILEAIIIEHMEAMVPEIPRVVQVLVSLCRASYCCVSFLDSIMHLLKPIISYSLCKVSAEEKKLVDDSCLNFESLCFEELFLDIRQKNESQDLTAGKDYSRALTIFILASVFGDLSFQRRREILQSLILWADFTSFEPTSSFHDYLCAFQTVLESCKILLVKTLRVFGVCKLQMPHVSDTSTGTLSDSRTELSSWFLSDVFHSSCPPKISEELESNIFDDIASKQKDCNLSVEEIEDFSNVLENLIAKLNPTIELCWNLHHRLARKLTITSAQCFMYSRCLSSIVLQVQNTQENDSENSCAFKPVDWFPVHWRTGLEALAEVIMKLQESHCWEVASLMLDCLLGVPCCFPLDNVINTICLVIKSFSCCAPKISWRLRSDKWLSMLFARGFHNLHESDGHLADLFVTLLGHPEPEQRFVVLQHLGRLVGQDMHGEPVLQSNTISYKLLSPDLVLSVPDSFLSLVVSSTWDQVVLLASSDSLLPLKTRALALLVAYMPYAGRQQLQSFLTAADSVLHVLGKVTYPTCEGPLLRLSLALFAGACLYSPAEDISLISQDIWRNIETIGLSRSEGKLGGLEKNACEVLCRLRNEGDEAKEVLKEVLSRNPSKQVDPDFGSTRESILQVLANLTSVQSCFDMFSKKIDQEAMELEEAEIELEILQKEHAVQESSKDSKEERDIPWITASVKEDNRLQEIKDRIRSLEKSKLQEDIVARRQKKLLVRRARQKYLEEAAIREEELLRELDREKAAEAEKEIERQRLLELECAKTRELRHNLDMEKERQTQRELQRELEQAESGLRSSRRDFPSSTHGSRPRDRYRERENGRSSNEGSARTNAGSLQPDTATSSSMATPAIVLSGSRPFSGQPPTILQSRDRQDDCGSSYEENFEGSKDSGDTGSVGDPDSITAFDGQSVGFGSAQRHGSRGSKSRQVMERREGRERDGRREGKWERKHS >Potri.007G105600.1.v4.1 pep chromosome:Pop_tri_v4:7:12876122:12877370:-1 gene:Potri.007G105600.v4.1 transcript:Potri.007G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105600.v4.1 MDREQEELQFLGFFGIFKESFKIILTWRKIFSKITLALILPLSFIFLAHMQISQMIFFKILDNQDTLDFTQSGTPKHDKLSDTISAEWTAFWLFKFAYFTFLLIFSLLSTSAVVYTIACIYTAKPITFKKIMSVVPKVWKRLMVTFIWSFAVVVLYNIVSIVVLIILSFTLINHVPAAGFRILFLVVLVILYSAGLLYITIVWHLASVISVLEDFYGIKAMMKSRELIKGKMGIAVAIFIVVGICFVGIQFLFETFVVLEWTMEIRIGMGLLCLLLLFKVILFGLVIQTVLYFVCKSYHHENIDKSSLSDHLEVYLGEYIPLKSKDVQMEQFEA >Potri.001G276900.9.v4.1 pep chromosome:Pop_tri_v4:1:29082713:29091485:1 gene:Potri.001G276900.v4.1 transcript:Potri.001G276900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276900.v4.1 MLRSDRMASDLSRTGPVERDMEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKSRTESRSDGILSEVNSPRTYTRRSSPLNSPFGSNDSLQKDADHLRIHSPYESPPKNGLDKTFSDVVLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAVAMDAFRVSLSSAVSSSSQGSGHDDGEAMGDVFIWGEGTGDGVLGGGTHRVGSFFGVKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPQLIEALSNTNIEFVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVSSAGQLFTFGDGTFGVLGHGDRKSISLPREVESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVSALVEPNFCQVACGHSLTIARTTSGHVYTMGSPVYGQLGNPQSDGKLPARVEGKLSRSSVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDKNLPSLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPLNFKRKRHNCYNCGLVYCHSCSSKKSLKASMAPNPNKAYRVCDNCYNKLRKAMETDASSQSSVSRRGSVNQGPSEFIDKDEKLDTRSRAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSQWGAFNISKSFNPMFASSKKFFSASVPGSRIISRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNESLSQEVLKLRAQVENLSHKTQLQEVELERITERLKEARAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARSIKSPLFASFGSSPTSNDVSTIDCLNGQSTCQEPDANGLHSQLLSNVSSTISNRGAGHNNQGHLEATIKNGSRNKEAEWRHEAEWVEQDEPGVYITLTSLPGGIKDLKRVRFSRKRFSEKQAEQWWAENRARVYEKYNVRMIDKSSVGVGSEDLAH >Potri.001G276900.5.v4.1 pep chromosome:Pop_tri_v4:1:29082713:29090751:1 gene:Potri.001G276900.v4.1 transcript:Potri.001G276900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276900.v4.1 MLRSDRMASDLSRTGPVERDMEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKSRTESRSDGILSEVNSPRTYTRRSSPLNSPFGSNDSLQKDADHLRIHSPYESPPKNGLDKTFSDVVLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAVAMDAFRVSLSSAVSSSSQGSGHDDGEAMGDVFIWGEGTGDGVLGGGTHRVGSFFGVKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPQLIEALSNTNIEFVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVSSAGQLFTFGDGTFGVLGHGDRKSISLPREVESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVSALVEPNFCQVACGHSLTIARTTSGHVYTMGSPVYGQLGNPQSDGKLPARVEGKLSRSSVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDKNLPSLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPLNFKRKRHNCYNCGLVYCHSCSSKKSLKASMAPNPNKAYRVCDNCYNKLRKAMETDASSQSSVSRRGSVNQGPSEFIDKDEKLDTRSRAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSQWGAFNISKSFNPMFASSKKFFSASVPGSRIISRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNESLSQEVLKLRAQVENLSHKTQLQEVELERITERLKEARAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARSIKSPLFASFGSSPTSNDVSTIDCLNGQSTCQEPDANGLHSQLLSNVSSTISNRGAGHNNQGHLEATIKNGSRNKEAEWRHEAEWVEQDEPGVYITLTSLPGGIKDLKRVRFRCVVFKPVWLSKSYPHFSGQLDCIVSGCITRLTLLIAYCPCVSVTF >Potri.001G276900.4.v4.1 pep chromosome:Pop_tri_v4:1:29082773:29090772:1 gene:Potri.001G276900.v4.1 transcript:Potri.001G276900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276900.v4.1 MLRSDRMASDLSRTGPVERDMEQQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKSRTESRSDGILSEVNSPRTYTRRSSPLNSPFGSNDSLQKDADHLRIHSPYESPPKNGLDKTFSDVVLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAVAMDAFRVSLSSAVSSSSQGSGHDDGEAMGDVFIWGEGTGDGVLGGGTHRVGSFFGVKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPQLIEALSNTNIEFVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVSSAGQLFTFGDGTFGVLGHGDRKSISLPREVESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVSALVEPNFCQVACGHSLTIARTTSGHVYTMGSPVYGQLGNPQSDGKLPARVEGKLSRSSVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDKNLPSLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPLNFKRKRHNCYNCGLVYCHSCSSKKSLKASMAPNPNKAYRVCDNCYNKLRKAMETDASSQSSVSRRGSVNQGPSEFIDKDEKLDTRSRAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSQWGAFNISKSFNPMFASSKKFFSASVPGSRIISRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNESLSQEVLKLRAQVENLSHKTQLQEVELERITERLKEARAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARSIKSPLFASFGSSPTSNDVSTIDCLNGQSTCQEPDANGLHSQLLSNVSSTISNRGAGHNNQGHLEATIKNGSRNKEAEWRHEAEWVEQDEPGVYITLTSLPGGIKDLKRVRFRCVVFKPVWLSKSYPHFSGQLDCIVSGCITRLTLLIAYCPCVSVTF >Potri.001G276900.8.v4.1 pep chromosome:Pop_tri_v4:1:29082713:29091532:1 gene:Potri.001G276900.v4.1 transcript:Potri.001G276900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276900.v4.1 MLRSDRMASDLSRTGPVERDMEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKSRTESRSDGILSEVNSPRTYTRRSSPLNSPFGSNDSLQKDADHLRIHSPYESPPKNGLDKTFSDVVLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAVAMDAFRVSLSSAVSSSSQGSGHDDGEAMGDVFIWGEGTGDGVLGGGTHRVGSFFGVKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPQLIEALSNTNIEFVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVSSAGQLFTFGDGTFGVLGHGDRKSISLPREVESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVSALVEPNFCQVACGHSLTIARTTSGHVYTMGSPVYGQLGNPQSDGKLPARVEGKLSRSSVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDKNLPSLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPLNFKRKRHNCYNCGLVYCHSCSSKKSLKASMAPNPNKAYRVCDNCYNKLRKAMETDASSQSSVSRRGSVNQGPSEFIDKDEKLDTRSRAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSQWGAFNISKSFNPMFASSKKFFSASVPGSRIISRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNESLSQEVLKLRAQVENLSHKTQLQEVELERITERLKEARAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARSIKSPLFASFGSSPTSNDVSTIDCLNGQSTCQEPDANGLHSQLLSNVSSTISNRGAGHNNQGHLEATIKNGSRNKEAEWRHEAEWVEQDEPGVYITLTSLPGGIKDLKRVRFSRKRFSEKQAEQWWAENRARVYEKYNVRMIDKSSVGVGSEDLAH >Potri.001G276900.6.v4.1 pep chromosome:Pop_tri_v4:1:29082711:29091520:1 gene:Potri.001G276900.v4.1 transcript:Potri.001G276900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276900.v4.1 MLRSDRMASDLSRTGPVERDMEQQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKSRTESRSDGILSEVNSPRTYTRRSSPLNSPFGSNDSLQKDADHLRIHSPYESPPKNGLDKTFSDVVLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAVAMDAFRVSLSSAVSSSSQGSGHDDGEAMGDVFIWGEGTGDGVLGGGTHRVGSFFGVKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPQLIEALSNTNIEFVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVSSAGQLFTFGDGTFGVLGHGDRKSISLPREVESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVSALVEPNFCQVACGHSLTIARTTSGHVYTMGSPVYGQLGNPQSDGKLPARVEGKLSRSSVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDKNLPSLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPLNFKRKRHNCYNCGLVYCHSCSSKKSLKASMAPNPNKAYRVCDNCYNKLRKAMETDASSQSSVSRRGSVNQGPSEFIDKDEKLDTRSRAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSQWGAFNISKSFNPMFASSKKFFSASVPGSRIISRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNESLSQEVLKLRAQVENLSHKTQLQEVELERITERLKEARAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARSIKSPLFASFGSSPTSNDVSTIDCLNGQSTCQEPDANGLHSQLLSNVSSTISNRGAGHNNQGHLEATIKNGSRNKEAEWRHEAEWVEQDEPGVYITLTSLPGGIKDLKRVRFSRKRFSEKQAEQWWAENRARVYEKYNVRMIDKSSVGVGSEDLAH >Potri.001G276900.7.v4.1 pep chromosome:Pop_tri_v4:1:29082803:29091488:1 gene:Potri.001G276900.v4.1 transcript:Potri.001G276900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276900.v4.1 MLRSDRMASDLSRTGPVERDMEQQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKSRTESRSDGILSEVNSPRTYTRRSSPLNSPFGSNDSLQKDADHLRIHSPYESPPKNGLDKTFSDVVLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAVAMDAFRVSLSSAVSSSSQGSGHDDGEAMGDVFIWGEGTGDGVLGGGTHRVGSFFGVKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPQLIEALSNTNIEFVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVSSAGQLFTFGDGTFGVLGHGDRKSISLPREVESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVSALVEPNFCQVACGHSLTIARTTSGHVYTMGSPVYGQLGNPQSDGKLPARVEGKLSRSSVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDKNLPSLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPLNFKRKRHNCYNCGLVYCHSCSSKKSLKASMAPNPNKAYRVCDNCYNKLRKAMETDASSQSSVSRRGSVNQGPSEFIDKDEKLDTRSRAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSQWGAFNISKSFNPMFASSKKFFSASVPGSRIISRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNESLSQEVLKLRAQVENLSHKTQLQEVELERITERLKEARAIAGEETAKCKAAKEVIKSLTAQLKDMAERLPVGAARSIKSPLFASFGSSPTSNDVSTIDCLNGQSTCQEPDANGLHSQLLSNVSSTISNRGAGHNNQGHLEATIKNGSRNKEAEWRHEAEWVEQDEPGVYITLTSLPGGIKDLKRVRFSRKRFSEKQAEQWWAENRARVYEKYNVRMIDKSSVGVGSEDLAH >Potri.001G276900.10.v4.1 pep chromosome:Pop_tri_v4:1:29082713:29089556:1 gene:Potri.001G276900.v4.1 transcript:Potri.001G276900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276900.v4.1 MLRSDRMASDLSRTGPVERDMEQAITALKKGAYLLKYGRRGKPKFCPFRLSNDESVLIWFSGKEEKHLRLSHVSRIISGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKDEAEVWFSGLKALISRSHHRKSRTESRSDGILSEVNSPRTYTRRSSPLNSPFGSNDSLQKDADHLRIHSPYESPPKNGLDKTFSDVVLYAVPPKGFFPSDSASGSVHSLSSGGSDSVHGHMKAVAMDAFRVSLSSAVSSSSQGSGHDDGEAMGDVFIWGEGTGDGVLGGGTHRVGSFFGVKMDSLLPKALESAVVLDVQNIACGGQHAALVTKQGEIFSWGEESGGRLGHGVDSDVLHPQLIEALSNTNIEFVACGEYHTCAVTLSGDLYTWGDGTYNFGLLGHGNEVSHWVPKRVNGPLEGIHVSSISCGPWHTAVVSSAGQLFTFGDGTFGVLGHGDRKSISLPREVESLKGLRTVQAACGVWHTAAVVEVMVGNSSSSNCSSGKLFTWGDGDKGRLGHGDKEAKLVPTCVSALVEPNFCQVACGHSLTIARTTSGHVYTMGSPVYGQLGNPQSDGKLPARVEGKLSRSSVEEIACGAYHVAVLTSKTEVYTWGKGANGRLGHGDTDDKNLPSLVEALKDKQVKSIACGTNFTAAICLHKWVSGVDQSMCSGCRLPLNFKRKRHNCYNCGLVYCHSCSSKKSLKASMAPNPNKAYRVCDNCYNKLRKAMETDASSQSSVSRRGSVNQGPSEFIDKDEKLDTRSRAQLARFSSMESLKQAESRSKRNKKLEFNSSRVSPVPNGGSQWGAFNISKSFNPMFASSKKFFSASVPGSRIISRATSPISRRPSPPRSTTPTPTLGGLTSPKIVVDDAKRTNESLSQEVLKLRAQVENLSHKTQLQEVELERITERLKEARAIAGEETAKCKAAKEVIKSLTAQVRLISSSLEY >Potri.010G085700.1.v4.1 pep chromosome:Pop_tri_v4:10:11211152:11214320:1 gene:Potri.010G085700.v4.1 transcript:Potri.010G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085700.v4.1 MMKKRSRTATSKQALMSQHSSIPSPTDKFRKPTSFPKLLTGLTFKNFSETAEAIMSPTSILDSKPFSGLKNPFWHDACPSPKTPEPDTRRHWDKLDSKGIGLGIVDALDDEETDSNLSKPESRMVLFGSQLKIQIPPLPPPFLSPTDQSPKLNGDFGIKTRNSQFGSFSSGLSPSPVKKSLFGSANSGMDTPNSPRVFTGCLSASEMELSEDYTCVITHGPVPKTTHIFDNCIVESCCGAVGFSASSRKDNNRFLGDGLTYRSDSFLSFCSSCKKNLEQGKDIYIYRGERAFCSNECRYQVMLLEEGIDEVDPDSACELVPDASFHNFE >Potri.008G111500.3.v4.1 pep chromosome:Pop_tri_v4:8:7077541:7078179:-1 gene:Potri.008G111500.v4.1 transcript:Potri.008G111500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111500.v4.1 MTNCLPFFSFATYHSVCFTLSDYPPMRTRNVFLIKTYLHQPFFFINIIPFLLPSLTSMEDLNMLAADCVVISCCCQCLILQSIIFVLLKLPRKVIQKTRAYAKKKLWHRKKEKILESVRGRFQDSFDKFLEGSIEIQVDDFHGGHDCESCIEEVEEVLEGFSQKGEFAFGSFWGRVGSGTSPACIVAVQEFDLSFAQFEVVETISTSFRHSY >Potri.001G468500.2.v4.1 pep chromosome:Pop_tri_v4:1:49269729:49270868:1 gene:Potri.001G468500.v4.1 transcript:Potri.001G468500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G468500.v4.1 MKQKIVIKVHMHCEKCRTKAKKIAATACGVTSVALEAAKDQIVVIGEEVDSVKLAKSLRKKVGHAVLMSVQEEKEKEKEKEKDKDEKKGALYCYPQPALPYAVVCESNPDNCTVL >Potri.001G087900.1.v4.1 pep chromosome:Pop_tri_v4:1:6997674:7002796:-1 gene:Potri.001G087900.v4.1 transcript:Potri.001G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087900.v4.1 MPEPTEVGGGGESEGRKSMELDLDLDSSWPLDQISFISSNPMSTFLISSSNEQPCSPLWAFSDAADDRLLAAAAGGGQASSSFVGGLRLSDNPIVLTCNPNSVTESKGENDDNSKLPSPFLGLMPIDNPDGYCIIKERMTRALRHFKESTEQHILAQVWAPVKNGGRYALTTSGQPFVIDPHSNGLHQYRMVSLMYKFSVDGESDGELGLPGRVFRQKLPEWTPNVQYYSSKEYSRLDHALHYNVRGTVALPVFEPSGQSCVGVVELIMTSQKINYAPEVDKVCKALEAVDLKSSEILDPPSTQICNEGRQNALAEILEILTMVCETHKLPLAQTWVPCMHRSVLAYGGGLKKSCTSFDGSCNGQVCMSTTDVAFYVVDAHMWGFREACLEHHLQKGQGVAGRAFFSHNLCFCPDITQFCKTEYPLVHYARMFGLTSCFAICLRSSYTGDDDYILEFFLPPSFTDSREWKTLLGSILAIMKQDFQSLQVASGMDLEEEEGFVEMIQVSTNGRLDLRLECIQIPQSTKSPPDDNALLNGPIVQIYPEKKQLMLDLDVIKNGGSAVQADVRQTHASLTEKETKKPKERKRGKAEKMISLEVLQQYFTGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSLSKLKRVIESVQGTEGAFSTSSLPVAVGTISWPPNLNGRNQQNSPNSKSPEHHGDKNGSPTCRTPGSDVKAGFEDQLLGCRKLSLEELTVQNRFSPELGTGSNRSKTRGGSRGESAGTPTSHGSCQGCPENESAPAKDPSVSPVHERCIKAGGSPELVLQQTRELNLSAAYSIPDAFFATEAQEQFGGMLIEDTGSSKDLSNLCPAMADAIVDERFPESKWTDPPSSDINPTQMIAALSIAMPHVTSRQEMNSVTIKATYREDMIRFRISLSSGIAKLKEEVAKRLRLEVGTFDIKYLDDDHEWILIARDADLHECMDVSRSSNSNMIRVSVHDANANLGSSCESTWEI >Potri.001G087900.3.v4.1 pep chromosome:Pop_tri_v4:1:6997667:7002887:-1 gene:Potri.001G087900.v4.1 transcript:Potri.001G087900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087900.v4.1 MPIDNPDGYCIIKERMTRALRHFKESTEQHILAQVWAPVKNGGRYALTTSGQPFVIDPHSNGLHQYRMVSLMYKFSVDGESDGELGLPGRVFRQKLPEWTPNVQYYSSKEYSRLDHALHYNVRGTVALPVFEPSGQSCVGVVELIMTSQKINYAPEVDKVCKALEAVDLKSSEILDPPSTQICNEGRQNALAEILEILTMVCETHKLPLAQTWVPCMHRSVLAYGGGLKKSCTSFDGSCNGQVCMSTTDVAFYVVDAHMWGFREACLEHHLQKGQGVAGRAFFSHNLCFCPDITQFCKTEYPLVHYARMFGLTSCFAICLRSSYTGDDDYILEFFLPPSFTDSREWKTLLGSILAIMKQDFQSLQVASGMDLEEEEGFVEMIQVSTNGRLDLRLECIQIPQSTKSPPDDNALLNGPIVQIYPEKKQLMLDLDVIKNGGSAVQADVRQTHASLTEKETKKPKERKRGKAEKMISLEVLQQYFTGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSLSKLKRVIESVQGTEGAFSTSSLPVAVGTISWPPNLNGRNQQNSPNSKSPEHHGDKNGSPTCRTPGSDVKAGFEDQLLGCRKLSLEELTVQNRFSPELGTGSNRSKTRGGSRGESAGTPTSHGSCQGCPENESAPAKDPSVSPVHERCIKAGGSPELVLQQTRELNLSAAYSIPDAFFATEAQEQFGGMLIEDTGSSKDLSNLCPAMADAIVDERFPESKWTDPPSSDINPTQMIAALSIAMPHVTSRQEMNSVTIKATYREDMIRFRISLSSGIAKLKEEVAKRLRLEVGTFDIKYLDDDHEWILIARDADLHECMDVSRSSNSNMIRVSVHDANANLGSSCESTWEI >Potri.015G073100.1.v4.1 pep chromosome:Pop_tri_v4:15:9891146:9895986:1 gene:Potri.015G073100.v4.1 transcript:Potri.015G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073100.v4.1 MGSDGKRKKRGRKSKTQTTLDPTTPTLNDEVFSVNNVEIVNSTTPTSANHLENRRGRPKKRPKHSPEKPPPLTNGEIGVATPVEGVARVLPAMDAVVKVFCVHTEPNFSLPWQRKRQYSSSSSGFVIRGRRVLTNAHSVEHYTQVKLKKRGSDTKYLATVLAIGTECDIALLTVNDDEFWEGVSPVEFGELPALQDAVTVVGYPIGGDTISVTSGVVSRIEILSYVHGSTELLGLQIDAAINSGNSGGPAFNDKGECVGIAFQSLKHEDAENIGYVIPTPVIKHFIQDYEKNGAYTGFPFLGIEWQKMENPDLRMAMGMKPDQKGVRIRRVDPTALESEVLQPSDIILSFDGVDIANDGTVPFRHGERIGFSYLISQKYTGDNAAIKVLRNSKTLEFVIKLSTHRRLIPPHVKGKPPSYYIIAGFVFTTVSVPYLRSEYGKEYEFEAPVKLLDKLLHSMPQSPDEQMVVVSQVLVADINIGYEDIVNTQVLAFNGKPVKNLKSLANMVENCDDEFLKFDLEYDQIVVLRMKTAKEATVDILTTHCIPSAISDDLKP >Potri.010G078400.3.v4.1 pep chromosome:Pop_tri_v4:10:10493879:10495509:1 gene:Potri.010G078400.v4.1 transcript:Potri.010G078400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078400.v4.1 MEGGVAYENDLNLKATELRLGLPGTSCTNEEQAVSGARNNKRPLPETREERGAKGKSDPRHDDQETAPAPKAQIVGWPPIRSYRKNTLQPKKAEAEAAAGMYVKVSMDGAPYLRKIDLKVYKGYPELLKALENMFKLTIGEYSEREGYKGSEYAPTYEDKDGDWMLIGDVPWDMFLSSCKKLRIIKGSEATG >Potri.014G044000.1.v4.1 pep chromosome:Pop_tri_v4:14:2853400:2855626:1 gene:Potri.014G044000.v4.1 transcript:Potri.014G044000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044000.v4.1 MALRAAVLRHVRVAVQTRELKSNPWAPSGSIRLMSSHDDHLTKEEVAERVLSVIKSFPKVDPSRVTPEVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEADRIDSCNLAIEYIHNHPLAS >Potri.016G069000.1.v4.1 pep chromosome:Pop_tri_v4:16:4927559:4928324:1 gene:Potri.016G069000.v4.1 transcript:Potri.016G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069000.v4.1 MTTRFKKNRKKRGHVSAGHGRIGKHRKHPGGRGNAGGMHHHRILFDKYHPGYFGKVGMRYFHKLRNKFHCPIVNIDKLWSMVPQDVKDKATKDTVPMIDVTQFGYFKVLGKGVLPEKQPIVVKAKLVSKIAEKKIKEAGGAVVLTA >Potri.001G083600.1.v4.1 pep chromosome:Pop_tri_v4:1:6632386:6634247:-1 gene:Potri.001G083600.v4.1 transcript:Potri.001G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083600.v4.1 MTTKSLVCLILVTSSLFTLALGSQTHRGKWKLLKRSIGISAMHMQLLPNDKIIAFDWNSGPSNISLPGGKCVVASETTTNCYSHSVEFDPSSRSIRPLTITTDTWCSSGALLQNGILIQSGGFRLGDRVVRSLTPCANCDWVEKKNGLITSRWYASNQILPNGKIIVVGGLNQFNYEFIPKTSTSDQTLYQLPFLEETRYSPLIPNNLYPFLHLTPGGKLFIFANDRAILLDHVNNKVVKNYPVMPGGVSRNYPSTGSSVLLPLILSSNFNSHPEAAVFICGGTVPDSNQKVNAGVFITASKSCGRLVITANNPSWEMEEMPLNRLMGDMILLPTGDVLIINGAAKGSAGWYAGREPVLNPVLYRPNAPITAKTSRFEIMSPSKIPRLYHSTAHLLSDGRVLVGGSNPNSNYNFTALYPTELSVEVFYPPYFSPNVSRPLISKINPGTNLEYKQKFTMHFHIHQWHEELGKIYVTMVAPSFTSHSYSMNQRLLVLALDSEAQKVDFSNYVVDVHAPATATLAPPGYYQLFVVHEGVPSKGTWVHIK >Potri.016G100000.1.v4.1 pep chromosome:Pop_tri_v4:16:10114633:10114812:1 gene:Potri.016G100000.v4.1 transcript:Potri.016G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100000.v4.1 MKVEEECTTPKSVGCRIPTALVCPPPPKKKSVVGKKRDPPKDGYFQPPELDALLSIFTS >Potri.010G174500.1.v4.1 pep chromosome:Pop_tri_v4:10:17522253:17524217:1 gene:Potri.010G174500.v4.1 transcript:Potri.010G174500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174500.v4.1 MGHRCCSKQRVQRGLWSPEEDEKLVKYIATHGHGSWSSVPKFAGLKRCGKSCRLRWLNYLRPELKRGCFSALEEQVIIDAHRILGNRWSQISKHLPGRTDNEVKNFWNSRIKKKLMAQGLDPKTHNLIPSHQRAANKVACNISPSNQQPLSIISQDSKMKDVSMEINPPILTLASPYRSHGSTRLSLLQTTTGLPLPTSCYDNPSVIWNVNTQNSYDSSLFPCVSSIENSRVSPSSSTSVNPTGFGLLDEDCFWSCSNIVEPFEATKLFEGMRSQDQENQLNKICDTQIVDKNKGVHDNMDASFDTSSYDLELVDSTLFPGSICRDLGSMDDLSWNF >Potri.003G051200.1.v4.1 pep chromosome:Pop_tri_v4:3:7485038:7488424:1 gene:Potri.003G051200.v4.1 transcript:Potri.003G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051200.v4.1 MHTLAHSPKNTITATTKMLDRVLSSRRVTSHLDDSDTDASADNSKTKKQNLSLLASNYLSRLSHFCFCPTASLLLCLLLAIVLITSLAFHSRSFVCVSDPGSRVGFFGLDGLESDFGSLGVPWCRSKHGKTVQWTSKDLLKGLEEFVPIYETRPIKNNIYGMGFDHSFGLWFIAKWLKPVLMIESGVFKGHSTWVLRQAMPDTPIISLSPRHPGKYLKKGPAYVDGNCTYFAGKDFVDFGNVDWKSVMNKHGITDISRVLIFFDDHQNELKRVKQALNAGFRHLVFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFRDSDEARVRSKRKLFWEKAVDIDELCGPNEAWWGVRGWMRDNFNHSNKPISYAEHFQNSRFIESTLDVYWELPPVAGPSLTHQTRYDPARATSPLVEDGRYGLFQRLGLGRLEASVFNGYTQMVYIEISERES >Potri.016G004100.6.v4.1 pep chromosome:Pop_tri_v4:16:206311:207522:-1 gene:Potri.016G004100.v4.1 transcript:Potri.016G004100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004100.v4.1 MGTYFQVQDDCLDCFGDPEIIGKIRTDIEDFKCSWLVVKGMEICNEEQKKLLHENYGKPDPANEAQGKALYNDLNLQGVFADYESKTYEKLITSIEDHPSKAVLKSFLAKIYQRQK >Potri.014G140100.3.v4.1 pep chromosome:Pop_tri_v4:14:9515647:9518573:1 gene:Potri.014G140100.v4.1 transcript:Potri.014G140100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140100.v4.1 MSTPLVDGLTVSEAAGDDVKKTSRDQREALPGEPICVVCGRYGEYICDQTDSDICSLECKQTLLGRAADTHLPVGHPLPKRLAATDECFYVRDSESPLTSDQTELLRRKLEIHVKGDSVPDPILSFSSCNLPEKLLHNIQTLGYDMPTPIQMQGIAAALTGKSLLASADTGSGKTASFLVPVVSRCATFRHGNISKSKKPLAMVLTPTRELCIQVEEQAKLLGKGLPFKTALVIGGDAMPRQLYRIQQGVELIVGTPGRLIDLLAKHDIELDDIMILVLDEVDCMLQQGFRDQVMQIFRALSQPQVLMYSATMSQEVEKMASSMAKDLIFVSIGQPNRPSKAVKQLAIWVESKQKKQKLFDILMSKQHFLPPSIVYVGSRIGADLLSNAITVTTGLKALSIHGEKSMKERREIMKSFLVGEVPLVVATGVLGRGVDLLGVRQVIVFDMPNCIKEYVHQIGRASRMGEDGTSIVFVNEENRNLFPDLVEVLKSSGAVIPRELVNSRYVKRSFPMGKGQRKRKYGS >Potri.014G140100.1.v4.1 pep chromosome:Pop_tri_v4:14:9515630:9518686:1 gene:Potri.014G140100.v4.1 transcript:Potri.014G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140100.v4.1 MSTPLVDGLTVSEAAGDDVKKTSRDQREALPGEPICVVCGRYGEYICDQTDSDICSLECKQTLLGRAADTHLPVGHPLPKRLAATDECFYVRDSESPLTSDQTELLRRKLEIHVKGDSVPDPILSFSSCNLPEKLLHNIQTLGYDMPTPIQMQGIAAALTGKSLLASADTGSGKTASFLVPVVSRCATFRHGNISKSKKPLAMVLTPTRELCIQVEEQAKLLGKGLPFKTALVIGGDAMPRQLYRIQQGVELIVGTPGRLIDLLAKHDIELDDIMILVLDEVDCMLQQGFRDQVMQIFRALSQPQVLMYSATMSQEVEKMASSMAKDLIFVSIGQPNRPSKAVKQLAIWVESKQKKQKLFDILMSKQHFLPPSIVYVGSRIGADLLSNAITVTTGLKALSIHGEKSMKERREIMKSFLVGEVPLVVATGVLGRGVDLLGVRQVIVFDMPNCIKEYVHQIGRASRMGEDGTSIVFVNEENRNLFPDLVEVLKSSGAVIPRELVNSRYVKRSFPMGKGQRKRKYGS >Potri.018G152600.1.v4.1 pep chromosome:Pop_tri_v4:18:16254261:16258731:1 gene:Potri.018G152600.v4.1 transcript:Potri.018G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152600.v4.1 MARNPSTNKVIMEIEASIPSGIVEGIAVDGTVVGGLSPLSETLWRDRTSTEFVGDVSARLTWKDLTVMVTLGSGETQNVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTVLLNGRKTKLSFGTAAYVTQDDNLLGTLTVRETISFSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQAAEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPIEKITTAEAIRSLIGYYRTSQYCYAAREKVEEISKVKGDVLESGGSRASFLMQAFTLTKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVIAFVISNTISAMPFLIMITFISGTVCYFMVRLHPGFEHYLFFVLCLFASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFDNQSPDLPKIPGEYILENIFQIDVHRSKWVDLSVIFSMIVIYRVIFFIMIKISEDVTPWIRGYIARRRMQQKNGTQNTTVAPDGLTHSPSLRNYVADHRSK >Potri.018G152600.4.v4.1 pep chromosome:Pop_tri_v4:18:16254249:16258672:1 gene:Potri.018G152600.v4.1 transcript:Potri.018G152600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152600.v4.1 MARNPSTNKVIMEIEASIPSGIVEGIAVDGTVVGGLSPLSETLWRDRTSTEFVGDVSARLTWKDLTVMVTLGSGETQNVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTVLLNGRKTKLSFGTAAYVTQDDNLLGTLTVRETISFSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQAAEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPIEKITTAEAIRSLIGYYRTSQYCYAAREKVEEISKVKGDVLESGGSRASFLMQAFTLTKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVIAFVISNTISAMPFLIMITFISGTVCYFMVRLHPGFEHYLFFVLCLFASVTVVESLMMAIASIVPNFLMGIIIGAGIQVSVYIYIYIYIYTVFFFFC >Potri.018G152600.3.v4.1 pep chromosome:Pop_tri_v4:18:16254298:16258694:1 gene:Potri.018G152600.v4.1 transcript:Potri.018G152600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152600.v4.1 MARNPSTNKVIMEIEASIPSGIVEGIAVDGTVVGGLSPLSETLWRDRTSTEFVGDVSARLTWKDLTVMVTLGSGETQNVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTVLLNGRKTKLSFGTAAYVTQDDNLLGTLTVRETISFSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILMRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQAAEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPIEKITTAEAIRSLIGYYRTSQYCYAAREKVEEISKVKGDVLESGGSRASFLMQAFTLTKRSFINMSRDFGYYWLRLVIYIVVTVCIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVIAFVISNTISAMPFLIMITFISGTVCYFMVRLHPGFEHYLFFVLCLFASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQDCCSTTNHLIFPRYLVSTYWKTYSRLMCTGQNG >Potri.010G026000.2.v4.1 pep chromosome:Pop_tri_v4:10:3791950:3794275:1 gene:Potri.010G026000.v4.1 transcript:Potri.010G026000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026000.v4.1 MAASAVDATGNPIPTSAVLTASSKHLATRCFPENVEFLKCKKKDPNPEKCLDKGQQVTRCVLGLLKDLHQKCTNEMDAYVGCMYYYTNEFDLCRKEQQAFEKACPLE >Potri.004G044750.1.v4.1 pep chromosome:Pop_tri_v4:4:3555851:3556148:-1 gene:Potri.004G044750.v4.1 transcript:Potri.004G044750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044750.v4.1 MFGVVLFLLSFLLLSSRDRNDEDEKKSLLNLRESRDVRERRETFVTLFGLVRNVLVGTRDFEVSSVVLLSTLFGDSVFTLQLRTPKFF >Potri.004G036900.1.v4.1 pep chromosome:Pop_tri_v4:4:2899904:2904427:-1 gene:Potri.004G036900.v4.1 transcript:Potri.004G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036900.v4.1 MGTEILINKWGTWEELLLGGAVIRHGTRDWNLVASELRARTVNCPYTFTPEICKAKYEDLQQRYSGCKAWFEELRKQRMAELRRALEQSEGSIGSLESKLEILKAERREDCHVSYDSSQTESPVLFRKCDGIESSSKETSKDGLSAGSFTQDTKTNWTPECRVATAMPAAEMEIKPEVSISPEENKVSSIWKLSESIFAGQVSSLKRRRGKRKRKDCSKDVKEGSVGESEFLGSADALFATRCKDNSTSTSGQIARCSTVDDQSRGSSKDGAVDVRVIFDSIAENKCASVFHRRLDSQKRGRYKKMILQHMDIDTIRSRIASGSITTAKEIFRDLLLLANNALVFYSKTTREYKSALLLRDIVTKSLQQNLKNYITKTTITFLSTTSPLLNPPVKPQCARPGNGKLSGKVTKAGKLVAKTPNTGKRPNNVHSPPSAESSALKKKGSHSPLLAESLAMRKKSSHSFPSAESLATRKKGFGRPRKTGQESTTQRFESLPKGRKRSRVK >Potri.006G071200.1.v4.1 pep chromosome:Pop_tri_v4:6:5201285:5202914:1 gene:Potri.006G071200.v4.1 transcript:Potri.006G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071200.v4.1 MTFSPMFTCFTVISFLFMAAAMAAGNFNQQFDTTWGDGRAKVLQNGQLLTLSLDKTSGSGFKSKNQYLFGKIDMQLKLVPGNSAGTVTAYYLSSLGSAHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTKDFHTYSVLWNPLSIIFSVDGTPIREFKNMESKGIPYPKSQPMWIYSSLWNADDWATRGGLIKTDWSQAPFTASYRNFNAQACTWTSGSSSCSSSGSSSNSWLSQSLGSTGQGRIKWVQKNYMIYNYCTDTKRFPQGPPPECSVA >Potri.011G056100.1.v4.1 pep chromosome:Pop_tri_v4:11:4543843:4550139:-1 gene:Potri.011G056100.v4.1 transcript:Potri.011G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056100.v4.1 MGLPEIVDVARNFAVLVRIQGPDPKGLKMRKHAFHQFNSGNTTLSASGLLLPDTLYDAELANRILEAKSQGLGMVVTVASVVEPFLSSKHREGISQGPPELIPGAHVDVMVEGKLGLRKDEDGVLDKGAPCWLSAQLIRLVDVPVSSLALQSLVEASSGSMDHGWEVGWSLASHESGPQPFMDVGQTQTEHGNASTVESHRHARGGSSNPSIMGRLTTRVAILGVFLHLKDLPNFKILASRKRGDFLLAVGSPFGILSPVHFFNSLSVGSIANCYPPRSSDISLLMADFRCLPGMEGSPVFGENSDFIGILIRPLRQKSTGAEIQLVIPWEAIATACSDLLLKEPQNAEKGIHFNKENLNAVGNAYSPKSDGSFPYKYEHHNSHRPSPLPVEKAMASICLITIDEAVWASGVLLNDQGLILTNAHLLEPWRFGKTTVNGREDGTKSEDLFFPPKEFSRYSEVDGYRKSQRLPPKTMNIVDSLVADERKGYKLSLSYKGSRNIRVRLDHADPWIWCDAKVVYVCKGPLDVALLQLEHVPDQLCPTKVDFKSPSLGSKAYIIGHGLFGPRCGSSPSVCSGVVSKVVKTKAPPYCQSLQGRNSHIPAMLETTAAVHPGGSGGAVINSEGHMIGLVTSNARHGGGTVIPHLNFSIPCAVLAPIFDFAKEMRDIALLQNLDQPNEDLSSVWALMPPLPPKPTPPLSTLPESILQDNEKQVKGSRFAKFIAERDKLFRGSTQLGKAGSISNVIFPSKL >Potri.001G437700.1.v4.1 pep chromosome:Pop_tri_v4:1:46365138:46368225:-1 gene:Potri.001G437700.v4.1 transcript:Potri.001G437700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437700.v4.1 MKNHCKIVLCFLFLCFSSPTNSTSLSSQSSSTHSISVQHCVDSERTALLQLKRDLLTAQPDSSFPQHPSSGSLLPSWKPNTNCCSWEGVACHHVSGHVISLDLSSHKLSGTFNSTNLLHLPFLEKLNLSNNNFQSSPFPSRLDLISNLTHLNFSNSGFSGQVPLEISRLTKLVSLDLSTSLLDSSKLEKPNFVRLVKDLRSLRELHLDGVNISAGGGDWCIALPLATPNLQVLSLSDCHLSGPVCESLNQLSLLSKLDLSRNNLSSMFPKSIMQLPNLKTLDLSSNTPLSGTLPEFPIGSKLEVLSLLFTSFSGEIPYSIGNLQFLIKLNLRNCSFSGLIPSSLASLNQLVDLDLSSNKFLGWIPFLPPLKKGPRLLDSVNHIGLENLGSLFIESNSMEATLNSSLFELPSLEKLLLSQNRLSGVLQDFERAFLSPLSVVDLSINNLEGHIPNSFLELQNLTELKLFSNNFSGAINLSMIKSIESLAFLQLSDNSQLTIAYSSNLKLPQLQRLWFDSCNVSRIPSFLRNQDGLVELGLSNNKIQGILPKWIWQLESLSYLNLSNNFLTGIETPVLAPLFSSLTLLDLSYNFLEGSFPIFPPSVNLLSLSKNKFTGKLPVSFCNMNSLAILDISYNHLTGQIPQCLGNLSSALTVVNLRENQFSGSMLWNFTEECSLTTLNLYRNQFKGEIPASLGNCRGLKVLDLGDNQINDTFPFWLGKLPNLQVLILQSNRLHGSIGQPLTPNDFPKLHILDLSSNYFTGNLPSDYIGIWQSMKMKLNEKLLYMGGFYYRDWMTITNKGQRMENIHILTIFTVLDLSNNRFEGEIPEMICDLKLLQVLNLSRNNLVGEIPTSLSKLAKLESLDLSQNKLTGEIPMQLTDLTFLSVLNLSYNRLVGRIPVANQFLTFANDSYGGNLGLCGFPLSRKCRHLENDPSGKQQEDSGKKGTPFSWRFALVGYGVGMLLGVVIGYMLFWRTTGCSRWIELFFKAKKW >Potri.010G231700.1.v4.1 pep chromosome:Pop_tri_v4:10:21363318:21363971:1 gene:Potri.010G231700.v4.1 transcript:Potri.010G231700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231700.v4.1 MSSDQELFQNLPKLGLKVIKTTRNDDGEDDDCEQYECCTPTSAQHKIPALLTCPPAPKKPPRSPDSCKRKLSNLHFFEVMNREEVDLFFRSSKKVGFASGVVA >Potri.015G114900.2.v4.1 pep chromosome:Pop_tri_v4:15:13052065:13064234:-1 gene:Potri.015G114900.v4.1 transcript:Potri.015G114900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G114900.v4.1 MLKKLPEEVLNLWDNWKIRGMVLLSLLLQTILIIFGPRRKTSGRIWIRILVWSAYLSADSVATFALGYLARSQGDSSGDNSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLAVQVGVAFYVFLRSWGSGILTFLAIPMFIVDIVKYAERTWVLWSSSFEALRKTRDQALEGNYLLQAYTFLDISMFMMQDLVPGIPALIKSQVLISKNSAEGAFKVVEIELGLIYDMLYTKAPLIYSRAGISLRSISFLLTFTAFITFQVLIDKHASSTIDITITYLLLAAAVFLEFYAFLCLVFSDWTMTVLPEPSLASNNFLNPLQHNCVVFSTWTMIWLEDEGWNALNSAIYSLIRKLTRSERWSRSIAQHDRISSSIKNMPLGCLRSLIINEKTMQMFVHRVDLNVGLQRFIFGHLRKKAEMMKEDFCFIDKNLRSKVIGQRGDGVLEKEGLLQSYKWCTTEDTIPSLWHIAIEICYLVDRSNVSTEYETSKCLSEYMMYLLMIRPNMLSKGFGDEEYLGTLQDLQRLNPHHTRGAEAKKNVVDGILRFELSLDDTTLQSVWKISSSVVIGGGKLAKQLLPLESKKRWEMINEVWIEMVAYAAAHCPWKEHTHQLRRGGELLTHVFLLMLHLGLSEQYEFKGFDGDEVSVWEFLSSVSIPKISLK >Potri.016G107750.1.v4.1 pep chromosome:Pop_tri_v4:16:11027927:11035979:-1 gene:Potri.016G107750.v4.1 transcript:Potri.016G107750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G107750.v4.1 MILFCTGKPNLTSTVVFLWYFNPDIPEILPYNHHNHNSCQCNQLAVINTLPLQAKRQLLFEDETPPQSSESVAAANPLTESKSLDCGPSTILETRGTPSKHHRPSELDQD >Potri.016G107750.2.v4.1 pep chromosome:Pop_tri_v4:16:11027927:11035979:-1 gene:Potri.016G107750.v4.1 transcript:Potri.016G107750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G107750.v4.1 MILFCTDIPEILPYNHHNHNSCQCNQLAVINTLPLQAKRQLLFEDETPPQSSESVAAANPLTESKSLDCGPSTILETRGTPSKHHRPSELDQD >Potri.017G113101.1.v4.1 pep chromosome:Pop_tri_v4:17:12062482:12063253:1 gene:Potri.017G113101.v4.1 transcript:Potri.017G113101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113101.v4.1 MSFLLACFGDNNSKKPKTPPAQIVESRPAAAKGAARDATSSKETFYVMPERTGIGGQREIDHDAAAAASGQMYESFLMHRAHAISKAPTISARGRSF >Potri.011G080900.2.v4.1 pep chromosome:Pop_tri_v4:11:8749642:8753355:1 gene:Potri.011G080900.v4.1 transcript:Potri.011G080900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080900.v4.1 MFSSSVCMPISPSSHSLLKANHNPLQGSKPSCSPSKIRASYLTTRALLSTTKETVLKDFHERRALKIISGLQNFNKENVASVVTAADKGGATHVDIACDPELVKLAIILTSLPVCVSSVEPRAFLAAVEAGAQMVEIGNYDSFYDQGVVFSPEKILNLTKETKRILPSVTLSVTVPHTLSLPDQVKLAELLEQEGVDIIQTEGGKCSNPSKSGVLGLIEKATPSLAAAYSISRAVKIPVMCSSGLSAVTAPMAITAGAAGVGVGSAINRLNDVVAMIAEVRSIADSLGSSADRRTKSEEKSVTL >Potri.009G137800.2.v4.1 pep chromosome:Pop_tri_v4:9:11081465:11087052:1 gene:Potri.009G137800.v4.1 transcript:Potri.009G137800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137800.v4.1 MGVETNNLDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSENLLEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDVFYSRDAAN >Potri.009G137800.6.v4.1 pep chromosome:Pop_tri_v4:9:11081381:11086718:1 gene:Potri.009G137800.v4.1 transcript:Potri.009G137800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137800.v4.1 MGVETNNLDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSENLLEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDVFYSRDAAN >Potri.009G137800.5.v4.1 pep chromosome:Pop_tri_v4:9:11082023:11086706:1 gene:Potri.009G137800.v4.1 transcript:Potri.009G137800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137800.v4.1 MGVETNNLDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSENLLEGGEEDNFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYIDRQLHNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDVFYSRDAAN >Potri.013G121300.1.v4.1 pep chromosome:Pop_tri_v4:13:12986917:12988594:-1 gene:Potri.013G121300.v4.1 transcript:Potri.013G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121300.v4.1 MELINEESASELLQAQTHVWNHIFYFINSMTLKCAVQLGIPDVIQKHGKPMTLSELVSALPIHPSKAQYVHRLMRILVHSGFFSQQNLNDIHNQDAYSLTQSTRLLLKDNPWSMRPLLLVFLDPVLTKPWDCLSTWFQNDDRNAFSVAHENTFWEYAGQDPRINNLFNDAMARDSILVSKVVVCKCKGIFDGVNSLVDVGGGLGTMAKGIAEAFPHMDCTVFDLPHVVSDLQGSKNLKYVGGDMFEAVPPADAILLKWILHDWSDEDCVKILRRCKQAIMSTGQQKAGKVIIIDMVRENLNGDEGSIETQLLFDLQLMVGFSGMERNEKEWAKLFFDAGFLNYKIHPVLGTRALIELYP >Potri.019G102600.2.v4.1 pep chromosome:Pop_tri_v4:19:13830239:13830598:-1 gene:Potri.019G102600.v4.1 transcript:Potri.019G102600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102600.v4.1 MNTTTTLVHDTITKTFSSGLKGYQPLDRYDALHGDDPHDMGYRSARAKKRQVFLKSYRLASRTELRRRRSRSLKLKKVVVKVRMAVLSAVSFMRGNALKSCNSKSSISASSPMQLSKSC >Potri.001G165480.1.v4.1 pep chromosome:Pop_tri_v4:1:14074189:14075714:1 gene:Potri.001G165480.v4.1 transcript:Potri.001G165480.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165480.v4.1 MVRGQTLENKREFMLENICGEELRITLWGDSARDFDELALHNLPSPIIIAFAGFRVTEFKGKPNLNSTAASLWYFNPDIPECLAYKHFFAQLPVEIQQLPSSSNVVLSIEEQIKENRRTIHEILCMNSYEHKHLRFTCQASIVDFDFPNGWWYLSCPKCNKKLSGGEKNYTCMDHDAITSLPVPWFRLECIVTDGEDVTNFLLFGKTVENVFGSLAHHYVYDKKFIDPSVLPPAMAAKLNKCMIFQLRFGAFRSITNRCEVIITNIFDDTTNKSIHPLETPTPEPKSSATSKTSTPLSSMKQVLIASSTP >Potri.001G372600.1.v4.1 pep chromosome:Pop_tri_v4:1:39045882:39050376:-1 gene:Potri.001G372600.v4.1 transcript:Potri.001G372600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372600.v4.1 MFGGGLYGDLPPPSATAVEEKSTTNTSTVWSSTTLMAPPTLRKPITSPLTILKNPNKPKPQISASKSLVSPLVAAATVLPDEVTPQPELVGVNSVVVEEYDPARPNDYEDYRREKKRKAMEAERLREIERRRQEEEEEEREREREKDRERDVNISGEEAWRRRAAMSGGVPRSSSPPRNGDGFSIGTSGTVGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASEKKVKSVNFNGTPPTRVLLLRNMVGPGEVDDELEDEVGSECAKYGTVTRVLIFEITEPNFPREEAVRIFVQFERSEETTKALIDLDGRFFGGNVVRARFFDEEKFSNNELAPVPGEIPGF >Potri.010G147400.2.v4.1 pep chromosome:Pop_tri_v4:10:15829263:15830696:1 gene:Potri.010G147400.v4.1 transcript:Potri.010G147400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147400.v4.1 MEPSSSSAVAAAIVSTNANINTDRTRRKKKKKSVLQQHQSKQNQNSQSHAKWKTEAQQQVYSSKLIQALSQVNLNPSTSSAPRQGRAVREVADRALAFAAKGKTRWSRAILTSRIKLKFRKQQHKRQRLASSSSSSPGSTTGSSSRSSRKHKVSVLRLKAKGLPAVQRKVRVLGRLVPGCLKQPLPVILEEATDYIAALEMQVKTMTAIAELLSRSTSEASSTSEPMTS >Potri.010G147400.1.v4.1 pep chromosome:Pop_tri_v4:10:15829042:15830903:1 gene:Potri.010G147400.v4.1 transcript:Potri.010G147400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147400.v4.1 MEPSSSSAVAAAIVSTNANINTDRTRRKKKKKSVLQQHQSKQNQNSQSHAKWKTEAQQQVYSSKLIQALSQVNLNPSTSSAPRQGRAVREVADRALAFAAKGKTRWSRAILTSRIKLKFRKQQHKRQRLASSSSSSPGSTTGSSSRSSRKHKVSVLRLKAKGLPAVQRKVRVLGRLVPGCLKQPLPVILEEATDYIAALEMQVKTMTAIAELLSRSTSEASSTSEPMTS >Potri.006G107000.1.v4.1 pep chromosome:Pop_tri_v4:6:8296508:8298220:1 gene:Potri.006G107000.v4.1 transcript:Potri.006G107000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107000.v4.1 MASLGIFSLISTLFLVLALATTASSSKGLSPNYYDYVCPKALPTIKRVVEAAVYNERRMGASLLRLHFHDCFVNGCDASILLDSTSAFDSEKNANPNINSIRGFEVIDRIKLEVDKACGRPVVSCADILAVAARDSVVALGGPTWAVQLGRRDSTTASKTTANNDIPAPFMDLPDLIINFKKHGLNKKDLVVLSGAHTTGFAQCFTFKDRIYNETNIDPKFARERKLTCPRTGGDSNLAPLNPTPSYFDARYYNDLLKKRGLFHSDQALFNGGSTDSLVKAYSSNAKAFWTDFANSMVKMGNINPLTGKQGQTRLNCRKVN >Potri.001G333700.3.v4.1 pep chromosome:Pop_tri_v4:1:34210856:34213745:-1 gene:Potri.001G333700.v4.1 transcript:Potri.001G333700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333700.v4.1 MALLHLRRTISPRNSFLFLLRSSTSFTKARTFSNTTTLFSPHLLSNSVSHKLPSATLPSFDFLKQSRRGFAKGKNKSKDDSAGGTVEFVDIGPTVKETANSQMDAAVVALSRELQKLRTGRASAGMLDHIIVETDGVKLPLNRSAVVSVMDAKTLSVNPYDPNTLKQLENAIVSSPLGLNPQRDGDRLIAAIPPLTKEHTQAMCKVVAKSCEDCRQSIRRARQKAMDTVKKAGSSFPKDDAKKLEKEIEEMTKKFVKSAEDMCNNKEKEIKTG >Potri.007G000900.1.v4.1 pep chromosome:Pop_tri_v4:7:64957:66814:1 gene:Potri.007G000900.v4.1 transcript:Potri.007G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000900.v4.1 MARKRVEAESTDGSVDANTTTSLVRAKDGSAFTKCEECKKDVPVALISFHSCSLDAKIKMNLEAQVVEKPTEAKQKPAERKKGSSTEPKLKKAKKEKKGRDPNAPKRPPTAFFLFMDDFRKEYKEANPDSKDVKKVAKEGGVRWKSMTDEEKKQYVDKAAELKAENDKALESDNAENEDDEGVSSEKEVADLELRDKEEEI >Potri.001G039200.1.v4.1 pep chromosome:Pop_tri_v4:1:2809970:2814137:-1 gene:Potri.001G039200.v4.1 transcript:Potri.001G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G039200.v4.1 MIPRSVSLIFFLLFLVPEIATVSALIMARPNCTETCGNISIPFPFGIGAGCYMNDWFSVDCNKTTADSPSRAFLSRINMEVLEISIRDMSNMVRVNSPIISSGCSGRGANSAINMTGSPFAFSSSNIFTAMGCNNRALLNGIEPEIVGCTSTCGANNLTSNSSAEGKENSYCSGNNCCQTTIPSSLQVFNASLGTPDHPINDQGRNECKVAFIVEEEWFRNNISSPEVVKDMQYVPVILDWDMYYGTDIPEGVKNSDAKSCSRGSTTWGSTTWGLSTVTYSNSTTCWCFPGYDGNPYLPDGCTDIDQCKIPGLNLCSGMTKCVNVPGLYKCELDKAKITFLILGAATGLLLLLVGIWRLYKLVKKRKNIELKKKFFKRNGGLLLQQQLSSSDGSIQKTKIFTSKELEKATDRFNDNRILGQGGQGTVYKGMLADGMIVAVKKSKMVDEEKLEEFINEVVILSQLNHRNVVKLLGCCLETEVPLLVYEFIPNGNLFEYIHDQKEEFEFSWEMRLRIATEVARALSYLHSAASIPVYHRDIKSTNIMLDEKFRAKVSDFGTSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERPEDRRSLATHFILLMEENKIFDILDERLMGQDREEEVIAVANLARRCLNLNGRKRPTMREVAIELEQIRLSKGALHAPQSRKELENIRDEVPNVWEIAGPPTSVTIGDFRNGTAPSLDVQTLISHETW >Potri.008G070400.1.v4.1 pep chromosome:Pop_tri_v4:8:4319615:4321877:1 gene:Potri.008G070400.v4.1 transcript:Potri.008G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070400.v4.1 MSCTVAWAIPNSPAFQSPRLPSIFCKPSPSPSIIHGPQSPAPSSSLSLPPSSLSSFSPGLSSPKSPLSLRVNKTKLESKTDKLLKRKRPGILDIPVAGVLGFGLETPKGKKEKVEVVEVEGDGYFVCSKRGRRGGILEDRYSVFVDVNGYSKQALFGVFDGHGGPKAAEFAAKNLNKNIMDEVSSRCQEGTETAIRNGYLTTDEEFLKQNVNGGACCVTALIHQGNLVVSNTGDCRAVMSRGGVAEALTSDHQPSRKDEKDRIEALGGYVDCCHGVWRIQGSLAVTRGIGDGHLKRWVIAEPETKVLKIKPECEFLILASDGLWDKVTNQEAVDVVHPSCVGVDKLDPLSACKKLVDLSLSRGSVDDTSVMIIQLDRFVP >Potri.018G044500.2.v4.1 pep chromosome:Pop_tri_v4:18:3735261:3735625:1 gene:Potri.018G044500.v4.1 transcript:Potri.018G044500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G044500.v4.1 MTNLAKLEFVALDITGKNYLSWIFDAEIHLDVMGLGDTIKDDNEASSQNKAKAMIFLRRHLHEKRYDHQKTIILPKARYDWIHLRLQDFKSLREYNSTMFNI >Potri.007G024200.1.v4.1 pep chromosome:Pop_tri_v4:7:1866612:1867442:-1 gene:Potri.007G024200.v4.1 transcript:Potri.007G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024200.v4.1 MGKPVTRSHSLPLHEPSSPPLSTTTSSKISFQSRKIRKLSTNKTTTTTTAITSTSIPPLKPLSHKGEIELALDHLSKSDPLLAPLLNSHEPPALNPCTSPFLSLTKSILFQQLATNAAKSIYTRFLTLCDGESQVNPDTVLSLSAPKLREIGVSGRKASYLHDLAEKYRNGSLSDSSILEMNDDMLLNRLTEVKGIGVWSVHMFMLFSLHRPDVLPVGDLGVRKGVQSLYGLKDLPQALEMEQICEKWKPYRSVGSWYMWRLMEAKALANKAAKKA >Potri.014G171600.1.v4.1 pep chromosome:Pop_tri_v4:14:12680405:12686519:1 gene:Potri.014G171600.v4.1 transcript:Potri.014G171600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G171600.v4.1 MRLPSPTLCLLPFLFLTLVQRPTFASIKPYVVYFGGHSHGPKPSSFDANLAKDSHYEFLGSFLGSREFAEDAIFYSYTRHINGFAATLEDEVAAEIAKHPRVVSVFLNQGRKQHTTHSWSFLGLEKDGVVPSSSIWKKARFGEDAIIGNLDTGVWPESESFSDEGLGPVPSKWKGICQNGYDPGFHCNRKLIGARYFNKGYASIVGHLNSSFDTPRDEDGHGSHTLSTAGGNFVAGASVFYMGNGTAKGGSPKARVAAYKVCYPPVDGDECFDADILAAFDAAISDGVDVLSVSLGGNPTAFFNDSVAIGSFHAVKHGIVVICSAGNSGPVDGTVSNVAPWEITVGASTMDREFPSYVVLGNKISFKGESLSAKALPKNKFFPLMSAADARATNASIENALLCKDGSLDPEKAKGKILVCLRGINARVDKGQQAALAGAVGMVLANNKDAGNEILADPHVLPVSHINYTSGVAIFKYINSTEYPVAYITHPVTRIGTKPAPVVAAFSSKGPNTVTPEILKPDITAPGVSVIAAYTKAQGPTNQDFDTRRVLFNSVSGTSMSCPHVSGIVGLLKTLHPTWSPASIKSAIMTTAMTQDNTMEPILNANHTKASPFSYGAGHIRPNKAMDPGLVYDLTVNDYLNLLCALGYNETQISTFSDAPYECPSKPISLANFNYPSITVPKFNGSITLSRTVKNVGSPSTYKLRIRKPTGVSVSVEPKKLEFKKVGEEKAFTVTLKGKGKAAKDYVFGELIWSDNKHHVRSPIVVKWF >Potri.001G060400.1.v4.1 pep chromosome:Pop_tri_v4:1:4618087:4619470:-1 gene:Potri.001G060400.v4.1 transcript:Potri.001G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060400.v4.1 MIEVNHLNIHYISNQLFIKAFFLLIFLSNNFIPDYQFILLVINYKEVVCLVVIKSKIFLLFFCYLIASLMDMSKGKGKTEGNLIIKTWERCKSIGRGSKRTSRLVRSLTPKSKSYPHIKVSLEDDHDRKHSRQRRVAPEGCFSVYVGPQKQRFVIKTEYANHPLFKMLLEEAESEYGYSSEGPLTLPCNVDIFYRVLMAVEDTNIDDKIHLGCGFAKNYGSYHLLSPSS >Potri.001G109400.1.v4.1 pep chromosome:Pop_tri_v4:1:8814853:8818141:-1 gene:Potri.001G109400.v4.1 transcript:Potri.001G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109400.v4.1 MLAGCSSSTLLSPRHRLRSESPAQFQACHFQLPSMSTQRLDLPCTFSRKESSRSQPIRPVGVGLSVDKPLESKTSSCSLKQNIRLPPLATSNQSVKDEFWEKGKSLKRFAEQSVDESCINRAKRKRGNNDNVKPDDFCEGGDSLSLGQLGSGNFWFQPSLEVPRGLNPQQFPFSLTCSGDEERVYFVPSEVISPPLPLSNNPWVGSVITEITDLGEKDGESSQRPVKEASGSSTSSESQSLGLRLSENVVEQEVGNGSRNPHPQEGAAMEAAETDQREFQGFELVSLLTACVEAITLKNIAGINHFLAELGGLASPKGIPISRLAAYYTEALALRVTRLWPHIFHITAPRELDRVDDDSGTALRLLNQVSPIPKFIHFTANEMLLRAFEGKDRVHIIDFDIKQGLQWPTLFQSLASRTNPPSHVRITGIGESKQELNETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHVKERECVAINCVFQMHKTLYDGSGGALRDFLGLIRSTNPAIVIVAEQEAEHNAPNLETRVCNSLKYYSALFDSIDSSLPFDSPVRIKIEEMYAREIRNIVACEGSDRHERHEMLDNWKKLMEQGGLRCLVISEREMLQSQILLKMYSCDSYQVKKHGQEGAALTLSWLDQPLYTVSAWTPLDIAGSSSSFSQPS >Potri.004G111000.1.v4.1 pep chromosome:Pop_tri_v4:4:10123177:10125557:-1 gene:Potri.004G111000.v4.1 transcript:Potri.004G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111000.v4.1 MALAARGTRGGGSGGINGGLRPFFSYRIFISAIFTLLFLATFSILFSSHHHHHHHHHEDDSLPSSGNAYVQRTFLAVKSDPLKTRLDLIYKQANDHMTLVNAYAAYARKLKLDISKQLRMFDELAKNLTDLPLKPSYKSSLFEPGSPVDEDVLRQFEKEVKDIVKVARLMIVESKESYDNQIKIQKLKDTIFAVNELLIKAKKNGAFASLISAKSVPKSLHCLAMRLVEERVAHPEKYKEEGYKEEFEDPSLYHYAIFSDNVIAVSVLIRSVVKNAEEPWKHVFHVVTDRMNVAAMKVWFRMRPVEGGAFVGIKAVEEYRFLNSSYVPVLRQLENANMQKFYFENQAENATKDSTNMKFRNPKYLSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWKVDLDGKVNGAVETCFGSFHRYAQYLNFSHPLIKERFNPKACAWAFGMNIFDLDAWRREKCTEQYHYWQSLNEERTLWKLGTLPPGLITFYSTTKSLDKSWHVLGLGYNPSISMDEISNAAVIHYNGNMKPWLDIAMNQYKNLWTKYVDNDMEFVQTCNFGL >Potri.001G215166.1.v4.1 pep chromosome:Pop_tri_v4:1:22186255:22187272:-1 gene:Potri.001G215166.v4.1 transcript:Potri.001G215166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215166.v4.1 MASSQIEIVSSSPFVCGLRDHNRHEQCNRESKRKKESHEKLEEGLSTLLADLNRICRAWNDLYICWYFYKVVVKKYEK >Potri.002G208500.1.v4.1 pep chromosome:Pop_tri_v4:2:18382804:18383508:-1 gene:Potri.002G208500.v4.1 transcript:Potri.002G208500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX26 MDQVRDLASKNAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDREANGREMEWALRGLGCNPTVPAVFIGGKWVGSAKDVLSLHLDGSLKQMLMEAKAIWF >Potri.017G129700.1.v4.1 pep chromosome:Pop_tri_v4:17:13280966:13281797:1 gene:Potri.017G129700.v4.1 transcript:Potri.017G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129700.v4.1 MLKGSRMALRSLVSVQNVTHNPPIFTWCLKPTFQSKGHMPHKVLRSNISRRIGAIAAVATIVLVKEAMFSQDIANGLEFKFATPDRRSVEEAESGVRDYEQSLLQLKDLLGSQSWKEAQKVLRRRSSNLKLDLYAVIESKPGKDRTQLRTLYANLFNNVTKLDYAVREKDVSLVWQCYENIVASYDHILSRI >Potri.010G034600.1.v4.1 pep chromosome:Pop_tri_v4:10:6283944:6288782:1 gene:Potri.010G034600.v4.1 transcript:Potri.010G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034600.v4.1 MLSITHAPNCFINLIPQIFSPNTSASNIQNLSRKGKTRNQDLRFLVVKGSSGSGFFELKNSCCGCGGGFVRRCNTRDWESSEGNIALETEILEFMNSSKNPEMFPSKKQLIDAGRMDLVEAILKEGGWLALGWDFDDNVDDVDVVDWYSSLTDNKECGAAGIQDKALERNEEQSSQVPCSSSGSSQDTATEDDAGIGGILYRLEKERNMNLGFALKEIESTTRVQSSNVNHDLLPKTTKNGTGAGLNGNNSPGLLNPKSSALSDLGGGLDHSRSFSNIDGSGNSLNPDTWRTWSIKRAAFSDLQFEAEELSSNRTGTGGEESVLGDEIIETREGASETVSRRKENCSDGGINQNQVRSRLHDLELELSSVLQSLKSNTGESESQEVDNGRASDDLLKLSDACEFQENEIMNAQDKLRSTRAKIAVSEGKIALAIIDAQKVVEEKQKRIDDACRALQLLRTACIVWPSSASEVFLAGSFDGWATQRRMEKSSVGIFSLYLKLYPGRYEIKFIVDGEWRLDPLRPIVHNNGYENNLLIIT >Potri.001G472900.3.v4.1 pep chromosome:Pop_tri_v4:1:49585829:49589987:-1 gene:Potri.001G472900.v4.1 transcript:Potri.001G472900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472900.v4.1 MKKQWRISSQRPPHKAMIRIFGYVLLLLLLFMPSSSQTRELSSQQSTNNEVVGLLAFKKSSVQSDPNNLLANWSPNSATPCSWSGISCSLDSHVTTLNLTNGGLIGTLNLYNLTGALPSLKHLYLQGNSFSASDLSASSSCVLESLDLSSNNISDPLPRKSFFESCNHLSYVNLSHNSIPGGSLRFSPSLLQLDLSRNTISDSTWLAYSLSTCQNLNLLNFSDNKLAGKLAVTPLSCNSLSVLDLSYNLLSGEIPPNFVADSPSLKYLDLSHNNFSANFSSLDFGHYCNLTWLSLSQNRLSGIGFPLSLRNCVLLQTLNLSRNELQLKIPGNFLGSFTNLRQLSLAHNLFYGDIPLELGQTCGTLQELDLSANKLTGGLPLTFASCSSMQSLNLGNNLLSGDFLTTVVSNLQSLIYLYVPFNNITGTVPLSLANCTHLQVLDLSSNGFTGDVPSKLCSSSNPTALQKLLLADNYLSGKVPSELGSCKNLRSIDLSFNSLNGPIPLEVWTLPNLLDLVMWANNLTGEIPEGICVNGGNLETLILNNNLITGSIPQSIGNCTNMIWVSLSSNRLTGEIPAGVGNLVNLAVLQMGNNSLTGKIPPEIGNCRSLIWLDLNSNNLSGPLPPELADQAGLVVPGIVSGKQFAFVRNEGGTSCRGAGGLVEFQGIRAERLENLPMVHSCPTTRIYSGMTVYTFVTNGSMIFLDLAYNSLSGTIPQNFGSMSYLQVLNLGHNKLTGNIPDSFGGLKAIGVLDLSHNDLQGFLPGSLGTLSFLSDLDVSNNNLTGPIPSGGQLTTFPQSRYENNSGLCGVPLPPCSSGGHPQSFTTGGKKQSVEVGVVIGITFFVLCLFGLTLALYRVKRYQRKEEQREKYIDSLPTSGSSSWKLSGVPEPLSINIATFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGEVYKAQLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLESVLHDRSKGGCSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTSKGDVYSYGVILLELLSGKKPIDSAEFGDDNNLVGWAKQLYREKRSNGILDPELMTQKSGEAELYQYLRIAFECLDDRPFRRPTMIQVMAMFKELQVDSESDILDGFSLKDASIDELREKESS >Potri.001G472900.2.v4.1 pep chromosome:Pop_tri_v4:1:49585860:49590950:-1 gene:Potri.001G472900.v4.1 transcript:Potri.001G472900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472900.v4.1 MKKQWRISSQRPPHKAMIRIFGYVLLLLLLFMPSSSQTRELSSQQSTNNEVVGLLAFKKSSVQSDPNNLLANWSPNSATPCSWSGISCSLDSHVTTLNLTNGGLIGTLNLYNLTGALPSLKHLYLQGNSFSASDLSASSSCVLESLDLSSNNISDPLPRKSFFESCNHLSYVNLSHNSIPGGSLRFSPSLLQLDLSRNTISDSTWLAYSLSTCQNLNLLNFSDNKLAGKLAVTPLSCNSLSVLDLSYNLLSGEIPPNFVADSPSLKYLDLSHNNFSANFSSLDFGHYCNLTWLSLSQNRLSGIGFPLSLRNCVLLQTLNLSRNELQLKIPGNFLGSFTNLRQLSLAHNLFYGDIPLELGQTCGTLQELDLSANKLTGGLPLTFASCSSMQSLNLGNNLLSGDFLTTVVSNLQSLIYLYVPFNNITGTVPLSLANCTHLQVLDLSSNGFTGDVPSKLCSSSNPTALQKLLLADNYLSGKVPSELGSCKNLRSIDLSFNSLNGPIPLEVWTLPNLLDLVMWANNLTGEIPEGICVNGGNLETLILNNNLITGSIPQSIGNCTNMIWVSLSSNRLTGEIPAGVGNLVNLAVLQMGNNSLTGKIPPEIGNCRSLIWLDLNSNNLSGPLPPELADQAGLVVPGIVSGKQFAFVRNEGGTSCRGAGGLVEFQGIRAERLENLPMVHSCPTTRIYSGMTVYTFVTNGSMIFLDLAYNSLSGTIPQNFGSMSYLQVLNLGHNKLTGNIPDSFGGLKAIGVLDLSHNDLQGFLPGSLGTLSFLSDLDVSNNNLTGPIPSGGQLTTFPQSRYENNSGLCGVPLPPCSSGGHPQSFTTGGKKQSVEVGVVIGITFFVLCLFGLTLALYRVKRYQRKEEQREKYIDSLPTSGSSSWKLSGVPEPLSINIATFEKPLRKLTFAHLLEATNGFSADSLIGSGGFGEVYKAQLKDGCVVAIKKLIHVTGQGDREFMAEMETIGKIKHRNLVPLLGYCKIGEERLLVYEYMKWGSLESVLHDRSKGGCSRLDWAARKKIAIGSARGLAFLHHSCIPHIIHRDMKSSNVLLDENFEARVSDFGMARLVNALDTHLSVSTLAGTPGYVPPEYYQSFRCTSKGDVYSYGVILLELLSGKKPIDSAEFGDDNNLVGWAKQLYREKRSNGILDPELMTQKSGEAELYQYLRIAFECLDDRPFRRPTMIQVMAMFKELQVDSESDILDGFSLKDASIDELREKESS >Potri.007G065300.6.v4.1 pep chromosome:Pop_tri_v4:7:8208482:8214462:1 gene:Potri.007G065300.v4.1 transcript:Potri.007G065300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065300.v4.1 MNFKKKHEVEVLSAVVSSVANSVGAQTIVDVGAGQGYLAQVLSFQYQHSVVAIDACSHHGTVTEKRAERIKKHYAAQMRKHYPGIGIPNVPKTITCHVMSIDMLKALTSMSPHIDNVKRPELIGQVADDKTSLVLAGLHACGDLSVTMLKTFLECKDVKAVVSIGCCYNLLSEGCLDIAGSQCGFPVSCGVKSAGISLGKSSRDLACQSAERWRSLEQDAGLHNFELHAFRAAFQMVLCKYYPEIIKSSPSIGRQGKALRRQQQRRILQSSINCDESKHSSMPETISHMQELYLNPHMSESKTDDYSGSALHSHELSCNGGARYNETAAASKYLLFEKFTQSGLSRLGLKSLQETHFHEIWKEAEPFAGLIGPYWSLRAALGPLLETLLLLDRLLFLQEQGSSLEAIMLPIFDPALSPRNVAIIAKRI >Potri.007G065300.9.v4.1 pep chromosome:Pop_tri_v4:7:8208472:8212326:1 gene:Potri.007G065300.v4.1 transcript:Potri.007G065300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065300.v4.1 MNFKKKHEVEVLSAVVSSVANSVGAQTIVDVGAGQGYLAQVLSFQYQHSVVAIDACSHHGTVTEKRAERIKKHYAAQMRKHYPGIGIPNVPKTITCHVMSIDMLKALTSMSPHIDNVKRPELIGQVADDKTSLVLAGLHACGDLSVTMLKTFLECKDVKAVVSIGCCYNLLSEGCLDIAGSQCGFPVSCGVKSAGISLGKSSRDLACQSAERWRSLEQDAGLHNFELHAFRAAFQMVLCKYYPEIIKSSPSIGRQGKALRRQQQRRILQSSINCDESKHSSMPETISHMQELYLNPHMSESKTDDYSGSALHSHELSCNGGARYNETAAASKYLLFEKFTQSGLSRLGLKSLQETHFHEIWKEAEPFAVWGL >Potri.007G065300.2.v4.1 pep chromosome:Pop_tri_v4:7:8208482:8212275:1 gene:Potri.007G065300.v4.1 transcript:Potri.007G065300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065300.v4.1 MEDCNQKYSCRTAKETLEWINAILNFIKPYAFLTNAHVTNFFTHKLWQSIDPVWLHCLRNEPVQNLLLIPCGIIQEHWPASLKEFVLDLKSLAFPREQAHLNKLFPGVNVVSLNTVLAQGMNFKKKHEVEVLSAVVSSVANSVGAQTIVDVGAGQGYLAQVLSFQYQHSVVAIDACSHHGTVTEKRAERIKKHYAAQMRKHYPGIGIPNVPKTITCHVMSIDMLKALTSMSPHIDNVKRPELIGQVADDKTSLVLAGLHACGDLSVTMLKTFLECKDVKAVVSIGCCYNLLSEGCLDIAGSQCGFPVSCGVKSAGISLGKSSRDLACQSAERWRSLEQDAGLHNFELHAFRAAFQMVLCKYYPEIIKSSPSIGRQGKALRRQQQRRILQSSINCDESKHSSMPETISHMQELYLNPHMSESKTDDYSGSALHSHELSCNGGARYNETAAASKYLLFEKFTQSGLSRLGLKSLQETHFHEIWKEAEPFAVWGL >Potri.007G065300.8.v4.1 pep chromosome:Pop_tri_v4:7:8208416:8214552:1 gene:Potri.007G065300.v4.1 transcript:Potri.007G065300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065300.v4.1 MIRTKRHIAYLVHSIKQHVVHISCNLRTQHSKVRKKKNKKKMEDCNQKYSCRTAKETLEWINAILNFIKPYAFLTNAHVTNFFTHKLWQSIDPVWLHCLRNEPVQNLLLIPCGIIQEHWPASLKEFVLDLKSLAFPREQAHLNKLFPGVNVVSLNTVLAQGMNFKKKHEVEVLSAVVSSVANSVGAQTIVDVGAGQGYLAQVLSFQYQHSVVAIDACSHHGTVTEKRAERIKKHYAAQMRKHYPGIGIPNVPKTITCHVMSIDMLKALTSMSPHIDNVKRPELIGQVADDKTSLVLAGLHACGDLSVTMLKTFLECKDVKAVVSIGCCYNLLSEGCLDIAGSQCGFPVSCGVKSAGISLGKSSRDLACQSAERWRSLEQDAGLHNFELHAFRAAFQMVLCKYYPEIIKSSPSIGRQGKALRRQQQRRILQSSINCDESKHSSMPETISHMQELYLNPHMSESKTDDYSGSALHSHELSCNGGARYNETAAASKYLLFEKFTQSGLSRLGLKSLQETHFHEIWKEAEPFAVWGL >Potri.007G065300.3.v4.1 pep chromosome:Pop_tri_v4:7:8208416:8214552:1 gene:Potri.007G065300.v4.1 transcript:Potri.007G065300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065300.v4.1 MIRTKRHIAYLVHSIKQHVVHISCNLRTQHSKVRKKKNKKKMEDCNQKYSCRTAKETLEWINAILNFIKPYAFLTNAHVTNFFTHKLWQSIDPVWLHCLRNEPVQNLLLIPCGIIQEHWPASLKEFVLDLKSLAFPREQAHLNKLFPGVNVVSLNTVLAQGMNFKKKHEVEVLSAVVSSVANSVGAQTIVDVGAGQGYLAQVLSFQYQHSVVAIDACSHHGTVTEKRAERIKKHYAAQMRKHYPGIGIPNVPKTITCHVMSIDMLKALTSMSPHIDNVKRPELIGQVADDKTSLVLAGLHACGDLSVTMLKTFLECKDVKAVVSIGCCYNLLSEGCLDIAGSQCGFPVSCGVKSAGISLGKSSRDLACQSAERWRSLEQDAGLHNFELHAFRAAFQMVLCKYYPEIIKSSPSIGRQGKALRRQQQRRILQSSINCDESKHSSMPETISHMQELYLNPHMSESKTDDYSGSALHSHELSCNGGARYNETAAASKYLLFEKFTQSGLSRLGLKSLQETHFHEIWKEAEPFAGLIGPYWSLRAALGPLLETLLLLDRLLFLQEQGSSLEAIMLPIFDPALSPRNVAIIAKRI >Potri.007G065300.7.v4.1 pep chromosome:Pop_tri_v4:7:8208482:8214462:1 gene:Potri.007G065300.v4.1 transcript:Potri.007G065300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065300.v4.1 MNFKKKHEVEVLSAVVSSVANSVGAQTIVDVGAGQGYLAQVLSFQYQHSVVAIDACSHHGTVTEKRAERIKKHYAAQMRKHYPGIGIPNVPKTITCHVMSIDMLKALTSMSPHIDNVKRPELIGQVADDKTSLVLAGLHACGDLSVTMLKTFLECKDVKAVVSIGCCYNLLSEGCLDIAGSQCGFPVSCGVKSAGISLGKSSRDLACQSAERWRSLEQDAGLHNFELHAFRAAFQMVLCKYYPEIIKSSPSIGRQGKALRRQQQRRILQSSINCDESKHSSMPETISHMQELYLNPHMSESKTDDYSGSALHSHELSCNGGARYNETAAASKYLLFEKFTQSGLSRLGLKSLQETHFHEIWKEAEPFAVWGL >Potri.006G248300.2.v4.1 pep chromosome:Pop_tri_v4:6:24830529:24831600:-1 gene:Potri.006G248300.v4.1 transcript:Potri.006G248300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248300.v4.1 MAIRTTCRLNLSPPGSGSTLPSSSTKNSQVAWFKNEKWRNRCVLGAACMIIGLEMGGGLVGGEDLAMAREMQVAVESKENLNGPRWSDKRMCPPWSRNSLETIVPENLPRPSAHRRWEEVRFSKNNAPAVKVIVIKRSNGCFSM >Potri.006G248300.3.v4.1 pep chromosome:Pop_tri_v4:6:24830538:24831214:-1 gene:Potri.006G248300.v4.1 transcript:Potri.006G248300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248300.v4.1 MTKLDTSFEHFTSCRFKNEKWRNRCVLGAACMIIGLEMGGGLVGGEDLAMAREMQVAVESKENLNGPRWSDKRMCPPWSRNSLETIVPENLPRPSAHRRWEEVRFSKNNAPAVKVIVIKRSNGCFSM >Potri.011G134700.3.v4.1 pep chromosome:Pop_tri_v4:11:16750442:16751342:1 gene:Potri.011G134700.v4.1 transcript:Potri.011G134700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134700.v4.1 MSDFDAQTPSAYDPFADANAEDSGAGTKDYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKESIKIHGF >Potri.011G134700.2.v4.1 pep chromosome:Pop_tri_v4:11:16749575:16751334:1 gene:Potri.011G134700.v4.1 transcript:Potri.011G134700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134700.v4.1 MSDFDAQTPSAYDPFADANAEDSGAGTKDYVHIRIQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDPELGQVIQLQGDQRKNVSTFLVQAGIVKKESIKIHGF >Potri.006G092100.1.v4.1 pep chromosome:Pop_tri_v4:6:7002371:7003875:-1 gene:Potri.006G092100.v4.1 transcript:Potri.006G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092100.v4.1 MSLSIPTLHLSFSKTLSSQNPLFFPKPFSLTLPPKTTIIIRMGGGPRTFPGGVSKWQWKRMQKNKAKQLLKARLCRERQIYEMRKRAELKAAVSELERPWEVVDKAPKLFSVGADEQVKVLADRFQKPGGFDLWSERDGPQLFETPDGVPSARFFPTGVVQTVKPYGKVSASGFGELSARMLDSDFGSYTESEYQNDGMPVNEKFSNLLEIEDGSVSESGYRGSSDGKLGMDKRNAKRNTGKYRKKGDRRRFGNVSNAFDSGQVGFEKEKRVGGTTNRSAGRDNRISRSNNGSRINRNRRDSKSDVYDISLQQDGSYGFQVENEKFNSSSWDVEAFEFDSF >Potri.012G066000.1.v4.1 pep chromosome:Pop_tri_v4:12:8438321:8443477:-1 gene:Potri.012G066000.v4.1 transcript:Potri.012G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066000.v4.1 MASNSPTSSEMQASVAAHNHFFIWREFCWGAIAGAFGEGMMHPVDTVKTRIQSQAILSGGIQTPKSLLQMVRAVAVTDGARGFYRGITPGVTGSLATGATYFGFIESAKKWIEESHPSLGGHWAHFIFGAVGDTLGSFVYVPCEVMKQRMQVQGSRTSWNSSIIKDSISRKSGEQIYGYYTGMFQAGSSILKEQGPRGLYAGYWSTLARDVPFAGLMVMFYEALKDLTEYAKQKWIPSLDHHINSSVEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSIIRYNGWLDAIRRIWMMEGVKGLFRGSVPRITWYIPASALTFMAVEFLRDQIQ >Potri.012G066000.3.v4.1 pep chromosome:Pop_tri_v4:12:8438321:8443477:-1 gene:Potri.012G066000.v4.1 transcript:Potri.012G066000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066000.v4.1 MMHPVDTVKTRIQSQAILSGGIQTPKSLLQMVRAVAVTDGARGFYRGITPGVTGSLATGATYFGFIESAKKWIEESHPSLGGHWAHFIFGAVGDTLGSFVYVPCEVMKQRMQVQGSRTSWNSSIIKDSISRKSGEQIYGYYTGMFQAGSSILKEQGPRGLYAGYWSTLARDVPFAGLMVMFYEALKDLTEYAKQKWIPSLDHHINSSVEGLLLGGLAGGFSAYLTTPLDVIKTRLQVQGSIIRYNGWLDAIRRIWMMEGVKGLFRGSVPRITWYIPASALTFMAVEFLRDQIQ >Potri.018G099900.1.v4.1 pep chromosome:Pop_tri_v4:18:12032021:12034193:-1 gene:Potri.018G099900.v4.1 transcript:Potri.018G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099900.v4.1 MGKEIELKTAPADYRFPTTNQTRHCFTRYIEFHRCVAAKGDEGNDCERFAKYYRSLCPSEWVERWNEQRENGTFPGPL >Potri.002G112100.8.v4.1 pep chromosome:Pop_tri_v4:2:8463047:8472115:1 gene:Potri.002G112100.v4.1 transcript:Potri.002G112100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112100.v4.1 MDREMQNSSLYISRGRPEGSESGGIISKNLDDDGRPKRTGTWITASAHIITAVIGSGVLSLAWAIAQLGWVVGPLVLVVFSFITFFTSTLLADSYRSPDPITGNRNYTYMDAVRANLGGRKVQLCGLAQYVNLIGITVGYTITASISMVAVRRSNCFHKHGHAVKCQTSNNPYMIIFACIQIMLSQIPNFHKLSWLSILAAVMSFAYASIGLGLSLAKVIGGAHARTSLTGVTVGVDVSAQQKVWRTFQALGDIAFAYAYSTVLIEIQDTLKSSPPENKAMKRASFVGILTTTTFYILCGCLGYAAFGNDAPGNFLTGFGFYEPFVLIDIANVCIAIHLIGAYQVFCQPIFSFVESRCHRRWPDSKFITSEHAINIPFYGVYYLNLFRLVWRTLYVIVTAVLAMILPFFNDFLALLGAISFWPLTVYFPVEMYMARTKMPKFSFRWTSLKMLSWACLAVSLVSAAGSVEGLIQALKTYKPFKAQQ >Potri.002G112100.10.v4.1 pep chromosome:Pop_tri_v4:2:8463064:8472115:1 gene:Potri.002G112100.v4.1 transcript:Potri.002G112100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112100.v4.1 MDREMQNSSLYISRGRPEGSESGGIISKNLDDDGRPKRTGTWITASAHIITAVIGSGVLSLAWAIAQLGWVVGPLVLVVFSFITFFTSTLLADSYRSPDPITGNRNYTYMDAVRANLGGRKVQLCGLAQYVNLIGITVGYTITASISMVAVRRSNCFHKHGHAVKCQTSNNPYMIIFACIQIMLSQIPNFHKLSWLSILAAVMSFAYASIGLGLSLAKVIGGAHARTSLTGVTVGVDVSAQQKVWRTFQALGDIAFAYAYSTVLIEIQDTLKSSPPENKAMKRASFVGILTTTTFYILCGCLGYAAFGNDAPGNFLTGFGFYEPFVLIDIANVCIAIHLIGAYQVFCQPIFSFVESRCHRRWPDSKFITSEHAINIPFYGVYYLNLFRLVWRTLYVIVTAVLAMILPFFNDFLALLGAISFWPLTVYFPVEMYMARTKMPKFSFRWTSLKMLSWACLAVSLVSAAGSVEGLIQALKTYKPFKAQQ >Potri.007G082000.2.v4.1 pep chromosome:Pop_tri_v4:7:10663215:10669167:1 gene:Potri.007G082000.v4.1 transcript:Potri.007G082000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082000.v4.1 MRKGAKRKRSQKEEAAAPAPDNHKTTESTKPPTRAKRVKASKPEPEPEYFEDQRNLEDLWKQIFPVGTEWDQLDKVYGFNWNFSNLENAFEEGGVLHGKTVYLFGCTEPQLVPFKDENILIYIPAVVAVVSPFPPSNKIGIKSVQREVEEIIPMKQMKMDWVPYIPLEDRESRVDRLRHQIFILSCTQRRAALKHLKIDRIKKYEYCLPYFYNPLQEDELEQSTEVQIIFPSEPKPIFCEFDWELDELQEFIDKLIQEEELSEDQKDAFKEFVKEKVREAKKANREAREARRKALEEMSEETRRAIENLRFYKFYPVQTPDTPDISKVKASFINRYYGKAHEVL >Potri.003G201200.4.v4.1 pep chromosome:Pop_tri_v4:3:20130069:20138898:-1 gene:Potri.003G201200.v4.1 transcript:Potri.003G201200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201200.v4.1 MELCLNNKLIKKWRKTIRKEAEEATKRGEVFNPSTSLEVRFLRNFTEEFLDVLDFKVFPQKSSANEDEIDDAAVLYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYERFEINDYYGTQLTDDEVVRSHYERFQAFQLLAFKKIPKLRELALSNVGAIHKRADLSKKLSVLSPEELKDLVCCKLKLVSAEDPWSERVDFLIEVMVSFFERQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEATPHLLPYINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSSVTAKITFSISSYKTQIRSEWNALKEHDVLFLLSVCPSFEPLSAEEAEKASVPERLGLQYVRGCEIIEIRDEEGTLMNDFTGKIKREEWKPPKGELRTVTVALDTAQYHMDVTDIAERGAEDIYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHNIFLGYGDPSAAQWTKMPDHLQKVDFKDTFLDADHLKESFPDHQVCFVNPDGSANLNPRPPFRIRLPEKLKGYTHAIPGNEKSTIDSKNGVNMVDSGREKEELIVEAYIPPDPGPYPQDQPNQNSVRFTSTQIGAIMSGIQPGLTMVVGPPGTGKTDTAVQIMNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVAYTCETAGYFWLLHVYSRWEQFLATCADNEDKPTLVQDRFPFKEFFSNTPQPVFTGQSFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVKEGAIFKNANAGFSYDYQLVDVPDYHGRGETAPSPWFYQNEGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYDFIGPPCKVATVDKFQGQQNDFILLSLVRSRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQHLLQRPDRLALNFGEVSTYTERQVEDIGHPYFVSSVEEMGHIVMDKMNQLHQARMMSYQHEHYIAYPSDGPAPAKGAIINDTPDENEAEESKQIDDIPSGEDNQAEESKEMDAIPSGEDGDLQPDNQLNGEKVSEACPNDEDGMPPRSGANGETSMEE >Potri.003G201200.1.v4.1 pep chromosome:Pop_tri_v4:3:20130069:20140971:-1 gene:Potri.003G201200.v4.1 transcript:Potri.003G201200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201200.v4.1 MTKVYGTGAYDFKRHHVAEYPLDLSATRTETKSADSKPGSTTLPSSITLSEIQRDRLTKIASANWLKTTTSAVADGGDMLAEEEERRGFDAELVKKIYETELKVKEGRKTVPLQRVMILEVSQYLENYLWPNFDPETATFEHVMSMILMINEKFRENVAAWSCFYDRKDVFKRFLDRVLHLKEGRELSIAEKTNYLVFMINAFQSLEDEMVSQTVLRIASFESWHSLSYGRFQMELCLNNKLIKKWRKTIRKEAEEATKRGEVFNPSTSLEVRFLRNFTEEFLDVLDFKVFPQKSSANEDEIDDAAVLYCERFMEFLIDLLSQLPTRRYLRPLVADVAVVAKCHLSALYRHEKGKLFAQLVDLLQFYERFEINDYYGTQLTDDEVVRSHYERFQAFQLLAFKKIPKLRELALSNVGAIHKRADLSKKLSVLSPEELKDLVCCKLKLVSAEDPWSERVDFLIEVMVSFFERQQSQKEAINALPLYPNEQIMWDESVVPSINYSGEGCLALPKLNLQFLTLHDYLLRNFNLFRLESTYEIREDIQEATPHLLPYINNEGETAFRGWSRMAVPIKEFKITEVKQPNIGEVKPSSVTAKITFSISSYKTQIRSEWNALKEHDVLFLLSVCPSFEPLSAEEAEKASVPERLGLQYVRGCEIIEIRDEEGTLMNDFTGKIKREEWKPPKGELRTVTVALDTAQYHMDVTDIAERGAEDIYGTFNVLMRRKPKENNFKAILESIRDLMNEYCIVPDWLHNIFLGYGDPSAAQWTKMPDHLQKVDFKDTFLDADHLKESFPDHQVCFVNPDGSANLNPRPPFRIRLPEKLKGYTHAIPGNEKSTIDSKNGVNMVDSGREKEELIVEAYIPPDPGPYPQDQPNQNSVRFTSTQIGAIMSGIQPGLTMVVGPPGTGKTDTAVQIMNVLYHNCPSQRTLIITHSNQALNDLFEKIMQRDVPARYLLRLGQGEQELATDLDFSRQGRVNAMLVRRLELLSEVERLARSLQLPEDVAYTCETAGYFWLLHVYSRWEQFLATCADNEDKPTLVQDRFPFKEFFSNTPQPVFTGQSFEKDMRAAKGCFRHLKTMFQELEECRAFELLKSTADRANYLMTKQAKIVAMTCTHAALKRKDFLQLGFKYDNLLMEESAQILEIETFIPMLLQRQEDGHARLKRCILIGDHHQLPPVVKNMAFQKYSHMDQSLFTRFVRLGIPYIELNAQGRARPSIAKLYNWRYRDLGDLPYVKEGAIFKNANAGFSYDYQLVDVPDYHGRGETAPSPWFYQNEGEAEYIVSVYIYMRLLGYPANKISILTTYNGQKLLIRDVINRRCVPYDFIGPPCKVATVDKFQGQQNDFILLSLVRSRFVGHLRDVRRLVVAMSRARLGLYVFCRRSLFEQCYELQPTFQHLLQRPDRLALNFGEVSTYTERQVEDIGHPYFVSSVEEMGHIVMDKMNQLHQARMMSYQHEHYIAYPSDGPAPAKGAIINDTPDENEAEESKQIDDIPSGEDNQAEESKEMDAIPSGEDGDLQPDNQLNGEKVSEACPNDEDGMPPRSGANGETSMEE >Potri.005G074601.1.v4.1 pep chromosome:Pop_tri_v4:5:5004671:5005838:-1 gene:Potri.005G074601.v4.1 transcript:Potri.005G074601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074601.v4.1 MAHVLFIITSLSLTRCFYSKSNGDQCDYAWNPPSPHYKVLENYQMEAHVGRRRERGGLLAMWLVRVQNHQAQVERDLIMFKAGTRSHGSAHLKIFKTMKDKTS >Potri.002G085900.1.v4.1 pep chromosome:Pop_tri_v4:2:6138429:6141079:-1 gene:Potri.002G085900.v4.1 transcript:Potri.002G085900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085900.v4.1 MDAQKGSQTKLTRTQSSLRSSPTIRSSIHSLTSVAEEDVIKAQQQQQQKLEDDLEEQKKIKPNRCGSTPRRTGSTRFTAVFTMVSLIFFTFFSLSFFFFFYLRREEVSTSENLLLALIFIAVTLFFASKNKNLINQNMIVFKQLWEVNTKRFNLCTSRTKSKQVQWFIGDPNVNNNNKDKKLEKRIIREGVEFYSNGDFYEGEFHKGKCNGSGVYNFFVNGRYEGDWVDGRYDGYGIESWARGSRYRGQYRQGLRHGYGVYRFYTGDSYAGEWFNGQSHGVGVQTCGDGSCYVGEFKCAVKHGLGVYHFRNGDRYAGEYFGDKMHGFGVYHFANGHCYEGSWHEGRKQGYGMYTFRGGDTRCGEWDVGNLRTSLPPITDPVLRAVQSARRTAENAIHLRRVDELVNRAVHAANRAATAARVAAVKAVQNRMDGKFCDTNV >Potri.007G077100.4.v4.1 pep chromosome:Pop_tri_v4:7:10153781:10159858:-1 gene:Potri.007G077100.v4.1 transcript:Potri.007G077100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077100.v4.1 MKTNWVSASGLAAVLGVAGLVLALIKDPKSWNLKLSALPSWLELNQRENNNRPKKLFLLPGLQNLGNNCFLNVILQALGSCSNFQSFLQKVIEEWESFAGEEWNESLQLTVALAALLEELSVISRESIVLSPRKVMLAMAHYIQNFNLTSQQDAEEAFLHLLSSLRDEFSDSYLPKHHSLADAFASPNCRILTPNRIEIQSEQERWQQHFLGPFDGILSSILTCQSCSTEISLNFQFFHSLPLLPVLEGGATIRVGCRLEDCLRQFTVSEQVENYSCSHCWHIAAIKYLSLRGATETEIKRLKSCNEQDSCTCHLLVHLENLPWSNNFSRTLKQLSIARSPKV >Potri.007G077100.1.v4.1 pep chromosome:Pop_tri_v4:7:10153780:10159888:-1 gene:Potri.007G077100.v4.1 transcript:Potri.007G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077100.v4.1 MKTNWVSASGLAAVLGVAGLVLALIKDPKSWNLKLSALPSWLELNQRENNNRPKKLFLLPGLQNLGNNCFLNVILQALGSCSNFQSFLQKVIEEWESFAGEEWNESLQLTVALAALLEELSVISRESIVLSPRKVMLAMAHYIQNFNLTSQQDAEEAFLHLLSSLRDEFSDSYLPKHHSLADAFASPNCRILTPNRIEIQSEQERWQQHFLGPFDGILSSILTCQSCSTEISLNFQFFHSLPLLPVLEGGATIRVGCRLEDCLRQFTVSEQVENYSCSHCWHIAAIKYLSLRGATETEIKRLKSCNEQDSCTCHLLVHLENLPWSNNFSRTLKQLSIARSPKILCIQLQRASINFFGEVVKLQGHISFPLTLNLLPFMMKEMQCQKPSSHLNHFDVQYDTRMLNSIYERNASKLLSANAFRSPAHAEAFLGQSKIPQTTDIFSSQANEKVSAACELVPSIPQVYRLVSVVEHFGRACGGHYTVYRSLQSESHEEHPDENCKPSLMHWFCISDSNVYRVSEEDVLAAEASLLFYERIVES >Potri.013G012733.1.v4.1 pep chromosome:Pop_tri_v4:13:842575:843093:1 gene:Potri.013G012733.v4.1 transcript:Potri.013G012733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012733.v4.1 MGSKNRIMIFAAIVLHLFLHFPSQTEAGAELVSKVCKQSQDYQSCVQILTSHPQTLSASEKKAIAEKALALARKESVDTSDFFTVLAHTHPASKTVLEQCASYFKEAVTFLNLKGLKGGTASLDVHYALDDAESCESALSSGHVHIDSATARIQKWKTVYRAAEAAVIALEN >Potri.008G093300.5.v4.1 pep chromosome:Pop_tri_v4:8:5824430:5827202:-1 gene:Potri.008G093300.v4.1 transcript:Potri.008G093300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093300.v4.1 MNKDYDSSGIEHVTAVAAAVYAIALSNAPSIQEQGRISKGPEPSLTQIKSKMKESTDLMSEPGEGSMRSPESAPRIKRILTSTESPERQNSKVPETAIKEKTTSDDAAAASQFKRTLTVTDKPAPSMKETPTFADKSLSSTKDMKPESAEPVPTTRPRITAPKPDQPPTSKPATPTTKIERKVSTTPGIDGTNADAWERAELSKIQKRYEKTNARILSWENGKKEKARNRLKKTENGSEGIRSKALKQFRAEMADIDQIAGAAKAKAAERQRNEELRAKGKANTIRKTGKLPRTCFCF >Potri.008G093300.4.v4.1 pep chromosome:Pop_tri_v4:8:5824430:5827201:-1 gene:Potri.008G093300.v4.1 transcript:Potri.008G093300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093300.v4.1 MNKDYDSSGIEHVTAVAAAVYAIALSNAPSIQEQGRISKGPEPSLTQIKSKMKESTDLMSEPGEGSMRSPESAPRIKRILTSTESPERQNSKVPETAIKEKTTSDDAAAASQFKRTLTVTDKPAPSMKETPTFADKSLSSTKDMKPESAEPVPTTRPRITAPKPDQPPTSKPATPTTKIERKVSTTPGIDGTNADAWERAELSKIQKRYEKTNARILSWENGKKEKARNRLKKTENGSEGIRSKALKQFRAEMADIDQIAGAAKAKAAERQRNEELRAKGKANTIRKTGKLPRTCFCF >Potri.008G093300.2.v4.1 pep chromosome:Pop_tri_v4:8:5824430:5827202:-1 gene:Potri.008G093300.v4.1 transcript:Potri.008G093300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093300.v4.1 MENLLKQVRVRFFGQENSEEPRSARERTPSFEEVGFAEIKRPRNWFQRQFSGQMNKDYDSSGIEHVTAVAAAVYAIALSNAPSIQEQGRISKGPEPSLTQIKSKMKESTDLMSEPGEGSMRSPESAPRIKRILTSTESPERQNSKVPETAIKEKTTSDDAAAASQFKRTLTVTDKPAPSMKETPTFADKSLSSTKDMKPESAEPVPTTRPRITAPKPDQPPTSKPATPTTKIERKVSTTPGIDGTNADAWERAELSKIQKRYEKTNARILSWENGKKEKARNRLKKTENGSEGIRSKALKQFRAEMADIDQIAGAAKAKAAERQRNEELRAKGKANTIRKTGKLPRTCFCF >Potri.008G093300.6.v4.1 pep chromosome:Pop_tri_v4:8:5824430:5827201:-1 gene:Potri.008G093300.v4.1 transcript:Potri.008G093300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093300.v4.1 MENLLKQVRVRFFGQENSEEPRSARERTPSFEEEIKRPRNWFQRQFSGQMNKDYDSSGIEHVTAVAAAVYAIALSNAPSIQEQGRISKGPEPSLTQIKSKMKESTDLMSEPGEGSMRSPESAPRIKRILTSTESPERQNSKVPETAIKEKTTSDDAAAASQFKRTLTVTDKPAPSMKETPTFADKSLSSTKDMKPESAEPVPTTRPRITAPKPDQPPTSKPATPTTKIERKVSTTPGIDGTNADAWERAELSKIQKRYEKTNARILSWENGKKEKARNRLKKTENGSEGIRSKALKQFRAEMADIDQIAGAAKAKAAERQRNEELRAKGKANTIRKTGKLPRTCFCF >Potri.005G210300.2.v4.1 pep chromosome:Pop_tri_v4:5:21460772:21467583:1 gene:Potri.005G210300.v4.1 transcript:Potri.005G210300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210300.v4.1 MSSHYSSIHLLSTVPSTPRSSFSTSNNIHPLPFPAGVWLYGAKDKKDNIHTRCSAISKPRTQEYADLFQQQNGLPLINWPHDIVEDDAEEDAAKVSVAKEISKRVRTIKAMLDMMEDGEISISAYDTAWVALVEDINGSGLPQFPSSLQWIANNQLPDGSWGDAGIFLAHDRLINTLACVVALKSWNLHQDKCEKGMLFFRDNLCKLEDENAEHMPIGFEVAFPSLLAIAKELDIEVPDDSTFLQEIYARRNLKLKRISKDIMHNVPTTLLHSLEGMRGLDWKQLIKLQCLDGSFLFSPSSTAFALSQTKDKNCLEYLNKAVQRFKGGVPNVYPVDLFEHIWVVDRLQRLGVSRYFVSEINECVDYIHRYWTENGICWARNSNVHDIDDTAMGFRILRLHGHQVSADVFKHFEKGGEFFCFAGQSTGAVTGMFNLYRASQVLFPGEKILEDAKEYSFEFLREKQAANELLDKWIITKDLPGEVGFALEIPWYASLPRVETRFFIEQYGGEDDVWIGKTLYRMSYINNSEYLQLAKLDYNNCQALHRIEWENFQKWYEECNLRDFGISRRTLIFSYFLAAASIFEPERSKERLAWATTTVLLDIVGSYFPENYNNSSEQRRAFIHEFSYGISINGRRSGRKKTRQELVKLLLGTLNQLSLGALVVHGRDISHSLRHAWEKWLLIWELEGDRRQGEAELLVQTINLTAGYLVSEELLAHHPQYEQLVDLTNRICYQLDHYKKNKVHYNGSYSTITSNTDRITTPQIESDMQELVQLVVQNPSDGIDSNIKQTFLQVAKSFYYSAICDPGTINYHIAKVLFERVP >Potri.005G044200.1.v4.1 pep chromosome:Pop_tri_v4:5:2796986:2802600:-1 gene:Potri.005G044200.v4.1 transcript:Potri.005G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044200.v4.1 MEDAMDDLKLVDIKKESLHKCLDQLHAQASSILSFTLEWKDLEAHCESSKAFFLRKMEELALLEKKNVEMLKVVEEREEKFEIKAKKYEECISELQNKEKQLGLVKNWIQECDLELKTRREELNMVRQEVEDCNVVLSVKKEELRLVQTQIESKERDLGSLEKLLEEHCREIFEKDEKLGSLQKSVEERLKELEFNEKEVERVRKLIANCDRDLEFKQKELRNVRNLINDCNKELSSKEMDLKMLQVRSSAKFVSNKDELDGIKKSIECSKELDLKKKELDKTKELIQECVKELDSEERELSLIKKSIEESSKDFDSRQNHLGSISVLIDEYTEELEAKEKQHDAVKKSISVRSAELKSKETELRSIEDSIKELSAKLQQKEEKLDSARQHVKHCARKIESKEEELNKIKGRMNTYVKELESREREFNAIQLSIEYRSEELKGKERQLKSVQLSIGECEKELKAMKEQKNSIQKLILECSEELQSKEKNLILARESLRECCDDLELKKVQLDSIQRSSHESNKKSEEKEKYLNSLEKTLDERLKNLGVKEMQFEERVNEIELKEQQLRLMQQSVEKYRKEVELKEQQLGSNILSSHVRVDQTENVRNPKHASSSAFQFNATTSERSSPVVNVCVSEHDLMHHGVSAEPAKVVLDIVQNWKKGVTGFDASVNRDNVVLLEQLMKVSPKISPQVKEAATKLAVLWEKNIRLETEDSMEVLMFLLFLAVYGLVSCFSRDRILRLVRVIAQQKQAPEIFKALGFADKDLAPAFIENLIEEKQYVAAARFSLAFELVSRYPPEVILGKGVDAMNGASASTGRNNSNEAQCKAIDKAISALSSILELVADYKYESKYVTGDIIRSISYLEKKREGWTRSLQAPNSVDQPQPQGRNYRTAGISCPADQPTSARVVQPQLLNPNLWNQLQQQDMRFRSDFLVDIPGVRNQSACAPIMQPHLHNSNFQDQEQLQNNNKRPRIDLLADRPQVTQDVAAYGGATQFFTATNHFGASDLNAQQSNIAGRHNWYPTWQQR >Potri.003G047700.1.v4.1 pep chromosome:Pop_tri_v4:3:6878930:6881499:1 gene:Potri.003G047700.v4.1 transcript:Potri.003G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047700.v4.1 MSWQTYVDDHLMCDIEGNHLTAAAIIGQDGSVWAQSATFPQYKPEEISAIMKDFDEPGSLAPTGLHIGGTKYMVIQGEPGAVIRGKKGSGGITVKKTAQALIFGIYDEPLTPGQCNMIVERLGDYLLDQGL >Potri.019G014320.1.v4.1 pep chromosome:Pop_tri_v4:19:1955108:1958307:1 gene:Potri.019G014320.v4.1 transcript:Potri.019G014320.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014320.v4.1 MLNRLEYVCLLESSFNHIHVKMHDLIRDMAIHVLLENSQVMVKAGAQLKELPDTEEWTENLTIVSLMKNEIEEIPSSHSPMCPNLSSLFLCENKELRLIADSFFKQLHGLKVLDLSRTGIENLPDSVSDLVSLTALLLNDCTRLRHVPSLKKLRELKRLDLCGTALEKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEQFTARGDGPITVKGKEVGSLRNLESLECHFKGFSDFVEYLRSWDGILSLSTYRILVGMVDEDYSAYIEGYPAYIEDYPSKTVALGNLSFNGDRDFQVKFLKGIQGLICQCFDARSLCDVLSLENATELERIRIEDCNNMESLVSSSWFCYAPPPLPSYNGTFSGLKEFNCCGCNNMKKLFPLVLLPNLVNLARIDVSYCEKMEEIIGTTDEESSTSNPITELILPKLRTLNLCHLPELKSIYSAKLICNSLKDIRVLRCEKLKRMPICLPLLENGQPSPPLSLGEIVVYPEEWWETVVEWEHPNAKDVLRPFVKFL >Potri.002G189300.1.v4.1 pep chromosome:Pop_tri_v4:2:15132669:15133711:1 gene:Potri.002G189300.v4.1 transcript:Potri.002G189300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189300.v4.1 MASMTLSSTFTSKAVPLNGITEFSSATCCGNGRVSMRKAAGKVAASGSPWYGPDRVKYLGPFSGEPPSYLTDEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLARNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWATQVVLMGAVEGYRIAGGPLGEVTDPLYPGGSFDPLGLADDPEAFAELKVKEIKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLADPVNNNAWAYATNFVPGK >Potri.010G156800.3.v4.1 pep chromosome:Pop_tri_v4:10:16396418:16399935:-1 gene:Potri.010G156800.v4.1 transcript:Potri.010G156800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G156800.v4.1 MAVLLVLASWLFVGLFVCFVFAMKWNEIRYGRKGLPPGTMGWPLFGETAEFLKHGPDFMKKQRARYGNLFRSHVLGFPTVICTDPELNRYILLNETRGLVPGYPQSSQDILGKHNVGVVTGSAHKYLRGSLLSLVNPTMIKDHLLLNIDESVRSFLANWEGKTIDLQDRTVEFAFVIAFKLIVDSQSSVIYDNFKSEFDKLAAGTISLAINIPGTAYHSGMQGRTRVVKMLRQVIKERRASSAVHSDILGQIMSCENQKYHLTDDEMIDQIITMLYSGYETVSTTIMMALKYVHDNPKALQELREEHLAIRARRKPEDPIDWDDYKGMRFTRAVIFETSRLAAVVNGLLRKTNQDIELNGFLVPKGWRLYVSLREINFDPILYPEPSTFNPRRWMDNGLENHNYCFVFGGGTRLCPGKELGMVKIATFLHYFVTQYRWEESEGIEIVKFPRVEARNGLPIRVSKY >Potri.017G041600.1.v4.1 pep chromosome:Pop_tri_v4:17:2791112:2795101:1 gene:Potri.017G041600.v4.1 transcript:Potri.017G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041600.v4.1 MAVCCDLEVDVNGEETFMVDKKIIASYCGRLSKLSGKPTGSARNLKVIFNDFPGGAESFELMSRFCYNNGRTAITPSNISFLHSAAQFMEMNNSVSGTHNLLEETGKSLKEMNYWTWSELLITTKKCQDLLPFPNSTGILEKCVDSLIGRITTSGEPSPCPSTSSPDSSGVRLSCDTRSTESLKNSFSRATWWFEDLLVLSTNLVGMVFKSMVSQKFDHVIISRFLFYYQKSKCYTGTSDEKRKVVETVIDMLYILNWNSVSFKSLFGILRVALNCNIRKCSRTKLESMIGSQMDQATLDNLLIPSPYGMNYLYNVNLVLRFLKAFLHGGISQVFPIQLRKVASLMDFYIAEVAPDPCLKPSKFLALAMALPDCARESYDGIYRATDMYLEVHTGLSKEEKMKICCTLNYEKLSAEACIHLSQNKNFPSKCAVQALMSQQVKLKSLLKATGKTKCYIDSSSGVSETGSKGKKNETSKQIVLCAGKLDLPTDNDKLRAHLQGMQWRVTELERVCMKMQAPMTKIMKSRVSNHRTSRSLPRLCS >Potri.017G041600.4.v4.1 pep chromosome:Pop_tri_v4:17:2791287:2794571:1 gene:Potri.017G041600.v4.1 transcript:Potri.017G041600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041600.v4.1 MSRFCYNNGRTAITPSNISFLHSAAQFMEMNNSVSGTHNLLEETGKSLKEMNYWTWSELLITTKKCQDLLPFPNSTGILEKCVDSLIGRITTSGEPSPCPSTSSPDSSGVRLSCDTRSTESLKNSFSRATWWFEDLLVLSTNLVGMVFKSMVSQKFDHVIISRFLFYYQKSKCYTGTSDEKRKVVETVIDMLYILNWNSVSFKSLFGILRVALNCNIRKCSRTKLESMIGSQMDQATLDNLLIPSPYGMNYLYNVNLVLRFLKAFLHGGISQVFPIQLRKVASLMDFYIAEVAPDPCLKPSKFLALAMALPDCARESYDGIYRATDMYLEVHTGLSKEEKMKICCTLNYEKLSAEACIHLSQNKNFPSKCAVQALMSQQVKLKSLLKATGKTKCYIDSSSGVSETGSKGKKNETSKQIVLCAGKLDLPTDNDKLRAHLQGMQWRVTELERVCMKMQAPMTKIMKSRVSNHRTSRSLPRLCS >Potri.004G167800.1.v4.1 pep chromosome:Pop_tri_v4:4:18592619:18593583:-1 gene:Potri.004G167800.v4.1 transcript:Potri.004G167800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167800.v4.1 MEWFYPKRRGPEWKQGWTGQTVASMSTPPFPLLAIFGIVISLLWLSHYTGYEAQLRHSAVNLQIFFFLLPILLILFMASYSTNWLLYYRLRDSPPDSAPRSTSSFPWGIAVFVVLLLMLLSYQSSFHSKWFGPLRGSH >Potri.013G109600.7.v4.1 pep chromosome:Pop_tri_v4:13:11823438:11833873:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MKSTVPFQIIDDDDEFDWEAAVREIDSACERANNPSSTTINQASSSNFTPPVNILNNSSYYSCTKTATSKQSTLDKFIGRANPPVKPTVEVRHHQGNGIFNSDGRPCCVEIDAEAAKTWIYPVNVPLREYQLAITKTALFTNTLVALPTGLGKTLIAAVVMYNYFRWFPDAKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.13.v4.1 pep chromosome:Pop_tri_v4:13:11823438:11832923:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MDVSSYLVDLMEGYGLGKKSSGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPTKRACFWKTKRVFFVTPQVLEKDIQSGTCLAKHLVCLVIDEAHRASGNYSYCVAIRELLAIPVQLRILALTATPGSKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.10.v4.1 pep chromosome:Pop_tri_v4:13:11823440:11844986:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MTTCFSMGISYVLHSHFPSSTSSSSSSSQPLSFHVKKNSNNNEMTGRRRVVSACMGQEVPKDIVFSKKRAVLFLGISVLPFLQLRARALEGLVTSKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPTKRACFWKTKRVFFVTPQVLEKDIQSGTCLAKHLVCLVIDEAHRASGNYSYCVAIRELLAIPVQLRILALTATPGSKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEDVAGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.14.v4.1 pep chromosome:Pop_tri_v4:13:11823435:11833678:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MYNYFRWFPDGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPTKRACFWKTKRVFFVTPQVLEKDIQSGTCLAKHLVCLVIDEAHRASGNYSYCVAIRELLAIPVQLRILALTATPGSKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.6.v4.1 pep chromosome:Pop_tri_v4:13:11823440:11833873:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MKSTVPFQIIDDDDEFDWEAAVREIDSACERANNPSSTTINQASSSNFTPPVNILNNSSYYSCTKTATSKQSTLDKFIGRANPPVKPTVEVRHHQGNGIFNSDGRPCCVEIDAEAAKTWIYPVNVPLREYQLAITKTALFTNTLVALPTGLGKTLIAAVVMYNYFRWFPDAKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEDVAGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.12.v4.1 pep chromosome:Pop_tri_v4:13:11823440:11832923:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MDVSSYLVDLMEGYGLGKKSSGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPTKRACFWKTKRVFFVTPQVLEKDIQSGTCLAKHLVCLVIDEAHRASGNYSYCVAIRELLAIPVQLRILALTATPGSKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEDVAGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.5.v4.1 pep chromosome:Pop_tri_v4:13:11823261:11833892:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MKSTVPFQIIDDDDEFDWEAAVREIDSACERANNPSSTTINQASSSNFTPPVNILNNSSYYSCTKTATSKQSTLDKFIGRANPPVKPTVEVRHHQGNGIFNSDGRPCCVEIDAEAAKTWIYPVNVPLREYQLAITKTALFTNTLVALPTGLGKTLIAAVVMYNYFRWFPDGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPTKRACFWKTKRVFFVTPQVLEKDIQSGTCLAKHLVCLVIDEAHRASGNYSYCVAIRELLAIPVQLRILALTATPGSKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.1.v4.1 pep chromosome:Pop_tri_v4:13:11823261:11833892:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MKSTVPFQIIDDDDEFDWEAAVREIDSACERANNPSSTTINQASSSNFTPPVNILNNSSYYSCTKTATSKQSTLDKFIGRANPPVKPTVEVRHHQGNGIFNSDGRPCCVEIDAEAAKTWIYPVNVPLREYQLAITKTALFTNTLVALPTGLGKTLIAAVVMYNYFRWFPDGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPTKRACFWKTKRVFFVTPQVLEKDIQSGTCLAKHLVCLVIDEAHRASGNYSYCVAIRELLAIPVQLRILALTATPGSKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEDVAGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.11.v4.1 pep chromosome:Pop_tri_v4:13:11823438:11844986:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MTTCFSMGISYVLHSHFPSSTSSSSSSSQPLSFHVKKNSNNNEMTGRRRVVSACMGQEVPKDIVFSKKRAVLFLGISVLPFLQLRARALEGLVTSKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPTKRACFWKTKRVFFVTPQVLEKDIQSGTCLAKHLVCLVIDEAHRASGNYSYCVAIRELLAIPVQLRILALTATPGSKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.013G109600.4.v4.1 pep chromosome:Pop_tri_v4:13:11823435:11835666:-1 gene:Potri.013G109600.v4.1 transcript:Potri.013G109600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109600.v4.1 MLLIQHSISSPSLLEFDWEAAVREIDSACERANNPSSTTINQASSSNFTPPVNILNNSSYYSCTKTATSKQSTLDKFIGRANPPVKPTVEVRHHQGNGIFNSDGRPCCVEIDAEAAKTWIYPVNVPLREYQLAITKTALFTNTLVALPTGLGKTLIAAVVMYNYFRWFPDGKIVFAAPSRPLVMQQIEACHNIVGIPQEWTIDMTGQVCPTKRACFWKTKRVFFVTPQVLEKDIQSGTCLAKHLVCLVIDEAHRASGNYSYCVAIRELLAIPVQLRILALTATPGSKQPAVQHIIDNLQISALEYRNESDPDVIPYVHDRKIELIEVALGKEAVDINKRLLEVIRPYVARLSTLGLLQNRDYQTLSPPDLLNSRDKFRRAPPLDLPQNRYGEIEACFGGLITLYHIRKLLSSHGIRPAYEMLEEKLKQWSFARLMGKNEDIRKIKLLMQQSLSHGAPSPKLSKMLEVLVDHFKTKDPQNSRVIIFSNFRGSVRDIMNTLATIGDLVKATEFIGQSSGKALKGQSQKVQQAVLQKFRAGGYNVIVATSIGEEGLDIMEVDLVVCFDANVSPLRMIQRMGRTGRKHDGRVVVLACEGSELKGYMRKQANSRAIKKHMHNGGINSFSFHSSSRMIPHIFKPEVQFVELSIEQYVPRGKKVKDDNTIQTPVFKENLTVAETALLAKYFHPGNTWTPSLIAFPRFQSFPSRVHRVMHSHRTEMLIDSMQHLQDLTFTRECGAFSAEDEASSRKCLEANPVQEGDNVNEGPVTWDNPPSTKSQEKVVDSEESPIQTLRTDNEHSMLDLNKLCRPAHSYLFGSDYVSVDSVGKVLIMSVPLFPSEEAAHSKGQSSLNQNSCCWKTPGQKDKELTTPHKSSMDLTTSETQYKMNATLAISRSSNSISQQEKTLDLMETIPEAPILKRNLPNEEDCASKSLDVLGIKASSLQADEFNNNFKDSELSPRLTNMIQSGIVPESPINDNGLLNDEGTNEFIVQDLISPTKLCTELPSKLQTSQKNETVMNSHDCQKNISVSPSNNEIETPLLKVKNVARKGRFMSISPVVEETDSPSANLTKSSNSKDWLLSSGNKLEDVERVCKFKRLRKVGDIGERKNSKGTIENSTIPIKNLNRSFSGKKKRVGSARAFIEEEAEVSSEAEISDDEADDLGNSSNDDSFIDDRINPTVASADSKASRADMMAVYRRSLLSQSPMARESSSSATFTPDYGASTSRMNGSGSSSVKTPQTDSANQSAGRDLGPFQINQERFSAARPCTTTDFKRENETRSETRKGNFSFCQSSIPVLNLEQKFSSQSEVPEKASFQQGPADEIDANEDIFYDDFFATLDLDAVEAQATLLPKQRSDLSVQKQDVILKSDLQGSPSFDLGIL >Potri.004G011901.1.v4.1 pep chromosome:Pop_tri_v4:4:735558:735914:-1 gene:Potri.004G011901.v4.1 transcript:Potri.004G011901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011901.v4.1 MMLKEGPRHIANVPCNSKKTATRYAVFCHKNFQLQGGDAKVHMTVAESGMEDNTEPESMTRKEMVAGDAKIHMTGASQQWRITLSKDP >Potri.003G054900.2.v4.1 pep chromosome:Pop_tri_v4:3:8070917:8074143:-1 gene:Potri.003G054900.v4.1 transcript:Potri.003G054900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054900.v4.1 MARPGNKIIQAKLVLLGDMGTGKTSLVLRFVKGQFFDHQEPTIGAAFFTQILSLTEATVKFDIWDTAGQERYHSLAPMYYRGAAAAVIVYDISSMDTFVRAKRWVQELQRQGNPKMVMALVANKLDLDSKREVQNEDGEQFSQENGMFFMETSAKTAQNINELFYEIAKRLAKAYPSKSTGVNLNDETESRTRNLFCCSG >Potri.003G054900.4.v4.1 pep chromosome:Pop_tri_v4:3:8071059:8074143:-1 gene:Potri.003G054900.v4.1 transcript:Potri.003G054900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054900.v4.1 MGTGKTSLVLRFVKGQFFDHQEPTIGAAFFTQILSLTEATVKFDIWDTAGQERYHSLAPMYYRGAAAAVIVYDISSMDTFVRAKRWVQELQRQGNPKMVMALVANKLDLDSKREVQNEDGEQFSQENGMFFMETSAKTAQNINELFYEIAKRLAKAYPSKSTGVNLNDETESRTRNLFCCSG >Potri.006G074900.7.v4.1 pep chromosome:Pop_tri_v4:6:5516534:5517571:1 gene:Potri.006G074900.v4.1 transcript:Potri.006G074900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074900.v4.1 MRSLIGSWAIDEAFSGYYDSSSPDGAASSAASKNIVSERNRRKRLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHKQERRIQAEILELESGKLKKDPGFDVFEQELPALLRSKKKKIDDRFCDFGGSKNFSRIELLELRVAYMGEKTLLVSLTCSKRTDTMVKLCEVFESLRVKIITANITTVSGRVLKTVFIEVTLFSLPHLNFSLYIISLHVSHIRHLNKKNSKFFGDKLL >Potri.006G074900.1.v4.1 pep chromosome:Pop_tri_v4:6:5516261:5520917:1 gene:Potri.006G074900.v4.1 transcript:Potri.006G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074900.v4.1 MESIENIGEEYQNYWETKMFLQNEEFDSWAIDEAFSGYYDSSSPDGAASSAASKNIVSERNRRKRLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHKQERRIQAEILELESGKLKKDPGFDVFEQELPALLRSKKKKIDDRFCDFGGSKNFSRIELLELRVAYMGEKTLLVSLTCSKRTDTMVKLCEVFESLRVKIITANITTVSGRVLKTVFIEADEEEKDNLKTRIETAIAALNDPLSPMSM >Potri.006G074900.9.v4.1 pep chromosome:Pop_tri_v4:6:5516380:5520916:1 gene:Potri.006G074900.v4.1 transcript:Potri.006G074900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074900.v4.1 MDKASIIKDAIDYIQELHKQERRIQAEILELESGKLKKDPGFDVFEQELPALLRSKKKKIDDRFCDFGGSKNFSRIELLELRVAYMGEKTLLVSLTCSKRTDTMVKLCEVFESLRVKIITANITTVSGRVLKTVFIEADEEEKDNLKTRIETAIAALNDPLSPMSM >Potri.006G074900.8.v4.1 pep chromosome:Pop_tri_v4:6:5516830:5520917:1 gene:Potri.006G074900.v4.1 transcript:Potri.006G074900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074900.v4.1 MDKASIIKDAIDYIQELHKQERRIQAEILELESGKLKKDPGFDVFEQELPALLRSKKKKIDDRFCDFGGSKNFSRIELLELRVAYMGEKTLLVSLTCSKRTDTMVKLCEVFESLRVKIITANITTVSGRVLKTVFIEADEEEKDNLKTRIETAIAALNDPLSPMSM >Potri.006G074900.6.v4.1 pep chromosome:Pop_tri_v4:6:5516467:5517571:1 gene:Potri.006G074900.v4.1 transcript:Potri.006G074900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074900.v4.1 MESIENIGEEYQNYWETKMFLQNEEFDSWAIDEAFSGYYDSSSPDGAASSAASKNIVSERNRRKRLNERLFALRAVVPNISKMDKASIIKDAIDYIQELHKQERRIQAEILELESGKLKKDPGFDVFEQELPALLRSKKKKIDDRFCDFGGSKNFSRIELLELRVAYMGEKTLLVSLTCSKRTDTMVKLCEVFESLRVKIITANITTVSGRVLKTVFIEVTLFSLPHLNFSLYIISLHVSHIRHLNKKNSKFFGDKLL >Potri.015G009300.4.v4.1 pep chromosome:Pop_tri_v4:15:594470:598016:-1 gene:Potri.015G009300.v4.1 transcript:Potri.015G009300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009300.v4.1 MDTSTQWPQGIGVVKPVEGPDMLERRARPQKEQALNCPRCTSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSNPSSSAAAASEKKFPLDLTQPNFHQSATDQNPKIHQGPDLNLAYPPSHISAMELLKSSGMNPRGFSAFMSIPAASDSNNMFSTGFPLQEFKPSTQNFSLEGFESGYSNIQAVHETGSSARLLFPIEDLKQQVPSNSEFERINARGQGDGAPGYWNGMLGGESWSPSVGSYQHHFGL >Potri.015G009300.1.v4.1 pep chromosome:Pop_tri_v4:15:596403:597397:-1 gene:Potri.015G009300.v4.1 transcript:Potri.015G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009300.v4.1 MLERRARPQKEQALNCPRCTSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSNPSSSAAAASEKKFPLDLTQPNFHQSATDQNPKIHQGPDLNLAYPPSHISAMELLKSSGMNPRGFSAFMSIPAASDSNNMFSTGFPLQEFKPSTQNFSLEGFESGYSNIQAVHETGSSARLLFPIEDLKQQVPSNSEFERINARGQGDGAPGYWNGMLGGESW >Potri.015G009300.5.v4.1 pep chromosome:Pop_tri_v4:15:593451:597984:-1 gene:Potri.015G009300.v4.1 transcript:Potri.015G009300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009300.v4.1 MDTSTQWPQGIGVVKPVEGPDMLERRARPQKEQALNCPRCTSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSNPSSSAAAASEKKFPLDLTQPNFHQSATDQNPKIHQGPDLNLAYPPSHISAMELLKSSGMNPRGFSAFMSIPAASDSNNMFSTGFPLQEFKPSTQNFSLEGFESGYSNIQAVHETGSSARLLFPIEDLKQQVPSNSEFERINARGQGDGAPGYWNGMLGGESWSPSVGSYQHHFGL >Potri.015G009300.6.v4.1 pep chromosome:Pop_tri_v4:15:594808:597944:-1 gene:Potri.015G009300.v4.1 transcript:Potri.015G009300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009300.v4.1 MDTSTQWPQGIGVVKPVEGPDMLERRARPQKEQALNCPRCTSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSNPSSSAAAASEKKFPLDLTQPNFHQSATDQNPKIHQGPDLNLAYPPSHISAMELLKSSGMNPRGFSAFMSIPAASDSNNMFSTGFPLQEFKPSTQNFSLEGFESGYSNIQAVHETGSSARLLFPIEDLKQQVPSNSEFERINARGQGDGAPGYWNGMLGGESWSPSVGSYQHHFGL >Potri.015G009300.3.v4.1 pep chromosome:Pop_tri_v4:15:594469:598017:-1 gene:Potri.015G009300.v4.1 transcript:Potri.015G009300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009300.v4.1 MDTSTQWPQGIGVVKPVEGPDMLERRARPQKEQALNCPRCTSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSNPSSSAAAASEKKFPLDLTQPNFHQSATDQNPKIHQGPDLNLAYPPSHISAMELLKSSGMNPRGFSAFMSIPAASDSNNMFSTGFPLQEFKPSTQNFSLEGFESGYSNIQAVHETGSSARLLFPIEDLKQQVPSNSEFERINARGQGDGAPGYWNGMLGGESWSPSVGSYQHHFGL >Potri.015G009300.2.v4.1 pep chromosome:Pop_tri_v4:15:594306:598009:-1 gene:Potri.015G009300.v4.1 transcript:Potri.015G009300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009300.v4.1 MDTSTQWPQGIGVVKPVEGPDMLERRARPQKEQALNCPRCTSTNTKFCYYNNYSLSQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSSSNPSSSAAAASEKKFPLDLTQPNFHQSATDQNPKIHQGPDLNLAYPPSHISAMELLKSSGMNPRGFSAFMSIPAASDSNNMFSTGFPLQEFKPSTQNFSLEGFESGYSNIQAVHETGSSARLLFPIEDLKQQVPSNSEFERINARGQGDGAPGYWNGMLGGESWSPSVGSYQHHFGL >Potri.015G032800.2.v4.1 pep chromosome:Pop_tri_v4:15:2537563:2539257:-1 gene:Potri.015G032800.v4.1 transcript:Potri.015G032800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MDEDNSLNIRNWGYYEPTPVKGNLGLQLMSPTMPEKPFLGSRSAAIMTSMNGGFNHKDVGVSQPMHPMEYMRGAWIGQREKFINVLPGNHNYAAVFPETSSAHHMQVFQPPYSTKDEPLELVEEAGVVEKVNGPNKKRQRQKAPKSPKAKKGKRGPQVPKPEDTLSVQRVRSAKKTAEIMINGINMDISVIPIPVCSCTGNPQQCYRWGCGGWQSACCTTCISVYPLPMSMKRRGARIAGRKMSLGAFKKVLEKLAGEGYDFSNPIDLRTHWAKHGTNKFVTIR >Potri.015G032800.1.v4.1 pep chromosome:Pop_tri_v4:15:2534892:2539337:-1 gene:Potri.015G032800.v4.1 transcript:Potri.015G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MDEDNSLNIRNWGYYEPTPVKGNLGLQLMSPTMPEKPFLGSRSAAIMTSMNGGFNHKDVGVSQPMHPMEYMRGAWIGQREKFINVLPGNHNYAAVFPETSSAHHMQVFQPPYSTKDEPLELVEEAGVVEKVNGPNKKRQRQKAPKSPKAKKGKRGPQVPKPEDTLSVQRVRSAKKTAEIMINGINMDISVIPIPVCSCTGNPQQCYRWGCGGWQSACCTTCISVYPLPMSMKRRGARIAGRKMSLGAFKKVLEKLAGEGYDFSNPIDLRTHWAKHGTNKFVTIR >Potri.015G032800.4.v4.1 pep chromosome:Pop_tri_v4:15:2537636:2539163:-1 gene:Potri.015G032800.v4.1 transcript:Potri.015G032800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:BBR MDEDNSLNIRNWGYYEPTPVKGNLGLQLMSPTMPEKPFLGSRSAAIMTSMNGGFNHKDVGVSQPMHPMEYMRGAWIGQREKFINVLPGNHNYAAVFPETSSAHHMQVFQPPYSTKDEPLELVEEAGVVEKVNGPNKKRQRQKAPKSPKAKKGKRGPQVPKPEDTLSVQRVRSAKKTAEIMINGINMDISVIPIPVCSCTGNPQQCYRWGCGGWQSACCTTCISVYPLPMSMKRRGARIAGRKMSLGAFKKVLEKLAGEGYDFSNPIDLRTHWAKHGTNKFVTIR >Potri.013G012666.1.v4.1 pep chromosome:Pop_tri_v4:13:838644:840627:1 gene:Potri.013G012666.v4.1 transcript:Potri.013G012666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012666.v4.1 MVGKISLENSTGKKCYILSERELSITWANNSLYCSWKPHSQSTICWLQIHGKINTEMLSPKTIYGAYLMVKFAGRAYGLDTLQSQISEEGGNFKSVGKVYLRRRQDKNKQACLLKGSNYLQEREDECIEIELGSFYNDGGDAKEVEMCRKEVPGEHLKGGLIVEGIELRPKKMMKV >Potri.002G163400.1.v4.1 pep chromosome:Pop_tri_v4:2:12518148:12520778:1 gene:Potri.002G163400.v4.1 transcript:Potri.002G163400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163400.v4.1 MKKFVLKLDLHDDKSKQKAMKTVSTLSGIDSIAMDMKAKKLTVIGTVDPVSVVSKLRKYWQADIISVGPAKEPEPEKKEEAKKEEPKKEEEAKKEEPKKEEAKPEEAKKEEPKKEEEKKEEEKKKEAAPPPDPVMELVKAYRAYNPQMTTYYYAQSIEENPNACVIC >Potri.005G007600.1.v4.1 pep chromosome:Pop_tri_v4:5:544148:549239:-1 gene:Potri.005G007600.v4.1 transcript:Potri.005G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007600.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLIDPNSIYMRDWVEYSSNCCEWYGIECDNTTRRVIHLSLMDFRLGDWVLNASLFLPFKELQSLDLSYNGLVGCSENQGFEVLSSKLRKLEVLDLSLNRFNNDKGILSCFNGLSALKSLDLSDNEVTGSGSFYGLKVLSSRLKKLENLYLRRNQCNDSIFSSLSGFSSLKYLNLSENQLTGSSTGINSFQVLASGLKNLLSLHLSDNKLNDSILSSFSGFSTLKSLHLSYNMFTGSTGLNGLRNLEILHLEMIDFKESILIESLGALPSLKTLQASFSNFTHFGKGLWNSSSLEEVFLDYSSLPASFLRNIGPLSTLKVLSLTEVDFHSTLPAQGWCELKNLEELLLLGNNLKGLLPPCLGNLSSLQILDLSYNQLEGNIAFSHISHLTQLEYLSVSNNYFQVPISCGSFMNLSNLKVIACDNNELIAAPSFQPSAPKFQLLFFSASNCTPKPLQAGFPNFLHSQYDLVLVDLSHNKFVGEPFPSWLFENNTKLNRLYLRDTSFIGPLQLPQHPTPNLHTVDMSGNNIHGQVARNICSIFPRLKNFMMANNSLTGCIPPCFGNMSSLEYLDLSNNHMSCELLEHNLPTVGSSLWSLKLSNNNFNGRLPLSVFNMTNLAYLFLDGNKFAGQLSGTFSLASSFWWFDISNNLLSGMLPRGIENSSLNHFAQAIDLSRNQFEGTIPIEYFNSHGLEFLDLSENNLSGSLPLGFHASDLHYVHLYRNQLSGPLPYAFCNLSSLVIFDLGDNNLTGPIPNWIDSLSELSIFVLKSNQFNGILPHQLCLLRKLSILDLSENNFSGLLPSCLSNLNFTASDEKTSVEPGRMSGDDGIQEEIFALIGYYLDDKIVLPVIDVKIAVELTAKKNFYSYEGGILRYMSALDLSCNRFTGEIPTEWGNLSGIYSLNLSHNNLTGLIPSSFFNLKQIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNNLSGRTPEMKNQFGTFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDSNGDGGFIDMYSFYASFGVCYIIAVLTIAAVLCINPHWRRRWFYFIEECIDTCYCFLAINFRKLYRFRR >Potri.016G121000.1.v4.1 pep chromosome:Pop_tri_v4:16:12580441:12581751:1 gene:Potri.016G121000.v4.1 transcript:Potri.016G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121000.v4.1 MADEFRLVSPEIDNEGRLPRKYTGEGQGAKKNLSPPVEWYNVPEGTKSLALVVQDLDISDPDGPNVPWPHWVVVNIPPHVKRLPEGFSGKEEEFGGEYAVIKEGVNGWKLPGWRGPVLPKHGHRFEFKLYALDDELHLGNKVTKEKLEEAVQGHVLGEAVLKCRF >Potri.012G065400.3.v4.1 pep chromosome:Pop_tri_v4:12:8362854:8365332:-1 gene:Potri.012G065400.v4.1 transcript:Potri.012G065400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065400.v4.1 MASPQAAAAAPPSVSQTVPSPPPLPPPPPPPPPVVSKLEEKKPSEKGVLVPNAKLDEQPDISHFEILDSVEYIDKCKKYEADYTQRLMAKYFSKKDLYGGNIFDEKMTIDNETIMSSKWPCTLSFADPVKSFEEQSNTGSTSTSEA >Potri.004G231576.1.v4.1 pep chromosome:Pop_tri_v4:4:23588998:23589470:-1 gene:Potri.004G231576.v4.1 transcript:Potri.004G231576.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231576.v4.1 MQAFFPRQTTMTMQARDAFDYRGDFPVSKVEPLYMIE >Potri.003G107300.1.v4.1 pep chromosome:Pop_tri_v4:3:13007105:13011992:1 gene:Potri.003G107300.v4.1 transcript:Potri.003G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107300.v4.1 MDDQVDPSSPSRTKLKFKPKLPRRQRRPSVPKTEEINDDRRSNEDEEAAQAQMLIHKFNENLRRQVPKEKKPQVQVAFGPGAPSPPLLIRKYNVPVHENTGSSWSGTEDTRDDDGKIFVPPSAARVDGAINPLSLKGKRRYKEPWDYHHIYYPNTLPLRPPYSGDPKLLDEAEFGEEARNLEYDETTINPASDLGLLEECDNERLFFFQVPEKLPFLKRSASAKGKERADMSMPSESKSAARKTSFEELPKGYMGKMLVYRSGAIKLKLGDALYDVSPGSECTFAQDVMAINTAGKDCCAIGELGKRAVVTPDIEFNLNSVINLD >Potri.005G003900.3.v4.1 pep chromosome:Pop_tri_v4:5:334898:344628:1 gene:Potri.005G003900.v4.1 transcript:Potri.005G003900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003900.v4.1 MAFNPVTLILFFLSLIPGATLTPRTCDPSFRGPGLTTYDVFLSFRGGDTRQHFTDHLYKALTRAGIPTFRDDDEIRIGENIELEIQKAIQESKSSIIVFSKNYSSSRWCLDELLMIMERRRTVGHLVFPVFYDVDPSEVGNQTGQFGEEFAKLEIRFKYQMERVEGWRRALKEAANMERMVLEDRYESKFIESIVKEIADKLNFSLPHAPPSSLPLSSALRPPSYFLCLLREWRSFFQTSSLFLFF >Potri.005G003900.4.v4.1 pep chromosome:Pop_tri_v4:5:334981:336835:1 gene:Potri.005G003900.v4.1 transcript:Potri.005G003900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003900.v4.1 MAFNPVTLILFFLSLIPGATLTPRTCDPSFRGPGLTTYDVFLSFRGGDTRQHFTDHLYKALTRAGIPTFRDDDEIRIGENIELEIQKAIQESKSSIIVFSKNYSSSRWCLDELLMIMERRRTVGHLVFPVFYDVDPSEVGNQTGQFGEEFAKLEIRFKYQMERVEGWRRALKEAANMERMVLEDRY >Potri.015G105900.3.v4.1 pep chromosome:Pop_tri_v4:15:12458094:12460833:1 gene:Potri.015G105900.v4.1 transcript:Potri.015G105900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105900.v4.1 MEGGNGNEVEREEDDSVSDILQDRFRLSAISIAENEAKKNGVEISEPITSCIADLALNYTEQLAKELEAFAQHAGRKSVNMEDVILSAHRNEHLTALLRSFCNDLKEKEPQSERKRRKTSKKEDKATTSAVNIPDI >Potri.015G105900.1.v4.1 pep chromosome:Pop_tri_v4:15:12458094:12461301:1 gene:Potri.015G105900.v4.1 transcript:Potri.015G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105900.v4.1 MEGGNGNEVEREEDDSVSDILQDRFRLSAISIAENEAKKNGVEISEPITSCIADLALNYTEQLAKELEAFAQHAGRKSVNMEDVILSAHRNEHLTALLRSFCNDLKEKEPQSERKRRKTSKKEDKATTSAVENQTKQKEVSKKTADSGLYL >Potri.015G105900.5.v4.1 pep chromosome:Pop_tri_v4:15:12458094:12460833:1 gene:Potri.015G105900.v4.1 transcript:Potri.015G105900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105900.v4.1 MEGGNGNEVEREEDDSVSDILQDRFRLSAISIAENEAKKNGVEISEPITSCIADLALNYTEQLAKELEAFAQHAGRKSVNMEDVILSAHRNEHLTALLRSFCNDLKEKEPQSERKRRKTSKKEDKATTSAVNIPDI >Potri.019G020102.1.v4.1 pep chromosome:Pop_tri_v4:19:3236217:3240568:1 gene:Potri.019G020102.v4.1 transcript:Potri.019G020102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020102.v4.1 MSCKFCGHLFSQSTSISRIKWHLSGVKGRGVKICENVPEEVQDAARAAIDGPPEKRNKNEAGSSNNEVTNAISAPAKEQNNEVMHLDMEQQEEAFSPGALERWMDSITDQEIESMLGRSSPEELLHDALETVPRTEMVQHLERGSSHERTSINQADEHQGDSSEPSDLLCLGLGRCYDQLCSPPVNNDGMMDEVPSINQPDESQGDSSEPTDVLCLGLGRCYDQLCSTVSNDVMMNEVQNMVTVRTAPVLQLLEQSNAVHHCLAGDAGRILVGVQGTEQGAGEDIICSHLEAANGMGNTGEGSIQHVDRSFSPRRHTVDAHENRGEATQRIDLVNQSAGETVRQMNAISAFSMEEEEDVEDNNGRLVQPGAGTSSSRGLKCNTSETRGDPIPPSSTKLVGRAFEENKNVICSLLMDDKSSIIAIYGMGGVGKTTILQHIHNELLERRDVSHSVYWVTVSRDFSINRLQNLVATCLDLDLSREDDNLRRAVKLSKKLVKKEKWILILDDLWNSFELYIVGIPVNLKGCKLIMTTRLEKVCKQMNSQHKIKLKPLSERESWTLFMEKFGDDKALSPEVEQLAVDVARECAGLPLGIITVARSLRGVHDLHEWRNTLKKLRESKFKDMEDEVFRLLRFSYDQLDDLTLQQCLLYCALFPEDHIIERDDLINYLIDEGIMKGMRSSQAAFDEGHTMLNKLENVCLLESAKKMFDGGRYVKMHDLIRDMAIQIQQENCQIMVKAGVQLKELPDAEEWTENLVRVSLMCNQIEKIPSSHSPRCPNLSTLFLCDNRLLRFISDSFFMQLHGLKLLNLSRTSIQKLPDSISDLVTLTTLLLSHCYSLRDVPSLRELRALKRLDLFKTELENMPQGMECLSNLWYLRFGSNGKMEFPSGILPELSHLQVFVSSASIKVKGKELGCLRKLETLKCHFEGHSDFVEFLRSRDLTKSLSIYRIFVGLLDDEDYSVMWGTSSRRKIVVLSNLSINGDGDFQVMFPNDIQELDIIKCNDATTLCDISSVIMYATKLEILNIRKCSNMESLVLSSRFYSAPLPLPSSNCTFSGLKEFYFCNCMSMKKLLPLVLLPNLKNLEKLVVEECEKMEEIIGTTDEEISSSSSNPITKFILPKLKSLTLKYLPELKSICGAKVICDSLEEIKVDTCEKLKRIPICLPLLENGQPSPPLSLQNIVAYPEEWWDSVVEWEHPNAKDVLLPFVRFRVIRRFFS >Potri.018G127100.1.v4.1 pep chromosome:Pop_tri_v4:18:13810353:13811519:1 gene:Potri.018G127100.v4.1 transcript:Potri.018G127100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127100.v4.1 MMMIITILLLIVLFLAGLINIYFYLPSRKLYAWLQSLASKNPPRTITLQVSNKGRSVTTTSVSSSSVSGPAYEKAELKSVFATFDKNGDGFITKQELRESFKNIRIFMTEKEVEEMVVKIDSNGDGLIDFEEFCILCKVVGIQDQGGDDEKEGQGDGGEGDLKEAFDVFDRDKDGLISVEELGLVLCSLGLKEGGRVEDCKEMIRKVDMDGDGMVNFDEFKRMMTRGGSKLVSVF >Potri.016G005500.1.v4.1 pep chromosome:Pop_tri_v4:16:276912:279628:1 gene:Potri.016G005500.v4.1 transcript:Potri.016G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005500.v4.1 MEEEKETKQNDQNTLENQPNSQHTTLSKNAKKKLLKQQKWEAKKAEKKAQMKEQKKKEGERKRREWEEKLSSCASEEERLKLIESRRELRKERMGKRAEEKEEKTQRLRKAKEFGQNIVIDLEFADLMTNIEIHSLVQQIIYCYAVNGRCASPGHLWLTGCRGEMENQLQRLPGFDKWSIEKESQSYIEALQDQKENLVYLTADSETVLDELDLKKIYIVGGLVDRNRWKGLTMKKANEQGIQTAKLPISSYLKMSSSQVLTVNQVVEILLKFVETKDWKTSFFQVIPQRKRGETDPEELERVDREELEDGNEPSEKKRQCDEVSFTQQN >Potri.005G066950.1.v4.1 pep chromosome:Pop_tri_v4:5:4331127:4331736:1 gene:Potri.005G066950.v4.1 transcript:Potri.005G066950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066950.v4.1 MESSPSDGEVAVFADTDMDTHISMGVSLDITVTDFKSNFLSIFFPCLSFFITNILS >Potri.005G026000.4.v4.1 pep chromosome:Pop_tri_v4:5:1637222:1639705:1 gene:Potri.005G026000.v4.1 transcript:Potri.005G026000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026000.v4.1 MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDASGHYTGQFTTFALCGFVRAQGDADSAVDRLWQKKKTELRQQ >Potri.005G026000.5.v4.1 pep chromosome:Pop_tri_v4:5:1637218:1639705:1 gene:Potri.005G026000.v4.1 transcript:Potri.005G026000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026000.v4.1 MQNEEGQNMDLYIPRKCSATNRLITSKDHASVQINIGHLDASGHYTGQFTTFALCGFVRAQGDADSAVDRLWQKKKTELRQQ >Potri.004G175400.1.v4.1 pep chromosome:Pop_tri_v4:4:19062813:19064400:-1 gene:Potri.004G175400.v4.1 transcript:Potri.004G175400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175400.v4.1 MGALDHLSGFFDCSSGSSKLKKRRQLQTVEVKVRIDCEGCERKVKRALEGMKGVKQVDVERKANKVTVVGYVDPSKVVARVAHRTGKKAELWPYVPYDMVAHPYAPGVYDKKAPAGYVRNAEDPQVSQLARASSTEVRYTTAFSDENPAACAIM >Potri.014G073400.5.v4.1 pep chromosome:Pop_tri_v4:14:4680825:4683624:1 gene:Potri.014G073400.v4.1 transcript:Potri.014G073400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073400.v4.1 MCVLLKYITWQNRHGFVEECLVSIKREGKKNPLLSRASVLKMAVSTDCHKAQQDFPKVLILKPISFLAHVGERHVASNKFTFLKAYESSLPLHQFLSTHSPSIKAILSSVGTPITADILQLLPEVGVVVTTSVGLNQVDLPECRRRGIKVANAGSVFSDDVADFAVGLLIDVLRKVSASDGYVRKGLWATKGDYPLGSKLGGKRIGIVGLGNIGFAVAKRLEAFGCSISYNSRKRKPHVSYPFYESVCELAANCDVLVICCELTDQTRHMINKEVLSALGKEGVIVNIGRGAIINEKEMVQCLVQGEIAGAGLDVFENEPDVPVELFAMDNVVLSPHIAVFTPESFLDLMDLVMGNLEAFFSNKPLLSEYGD >Potri.014G073400.7.v4.1 pep chromosome:Pop_tri_v4:14:4680878:4682477:1 gene:Potri.014G073400.v4.1 transcript:Potri.014G073400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073400.v4.1 MAVSTDCHKAQQDFPKVLILKPISFLAHVGERHVASNKFTFLKAYESSLPLHQFLSTHSPSIKAILSSVGTPITADILQLLPEVGVVVTTSVGLNQVDLPECRRRGIKVANAGSVFSDDVADFAVGLLIDVLRKVSASDGYVRKGLWATKGDYPLGSKLGGKRIGIVGLGNIGFAVAKRLEAFGCSISYNSRKRKPHVSYPFYESVCELAANCDVLVICCELTDQTRHMINKEVLSALGKEGVIVNIGRGAIINEKEMVQCLVQGEIAGAGLDVFENEPDVPVELFAMDNVVLSPHIAVFTPESFLDLMDLVMGNLEAFFSNKPLLSEYGD >Potri.012G045300.1.v4.1 pep chromosome:Pop_tri_v4:12:4113368:4121323:-1 gene:Potri.012G045300.v4.1 transcript:Potri.012G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045300.v4.1 MPHPFSSNANRQTNNLPLPLPSQKNHDTHFSLLFNPSKTSFLSLNSLFLPLSIMAIVTGDRYLEKLVKFVEEQAGPLIDGTLVLKLNPAGLHYVNSRLESLHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTELKVVSVLPLPTRDPTPVCLVPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIVCHNSTDALRHVFASRIAEIKDSPQWSRLSFVSCACNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLRSIAPFCEVSCHIVKLVLRNNALTTLHGLENLKSLEALDVSCNIISNFSELEFLASLPCLQNLWLEGNPLCGARWYRAQVFSYFVHPDAVKLDDREISTREFWKRQIIIASRQKQPASFGFYSPAKGDAHGVGIINRKRGKVSRLASIANKEESMYFSSDHESPTCDYEIQSKEENAMSDDEAEIVDLINRVELMKKERSILWLREFKEWMDHESENIVDCSTYCGVTLHHAKENHPINKSTQKDHCDSSRYSLDALQASGDETSTNLFESDSSFVDTGSYGGVALPGMGNMNLGQKHQKSYSNEGCDSMSMQGKSSHTDSSTVQGVHTILENGSISLLTAHSSSAYPRSPPHYEEDILHRRHNLVEEILQLPAESYSVASSDGNTSSSDDDLYELGPSSYEVDKSENGEYLNPGAGGHLFSNLLKDQGHGIHHVRKEDNYLFDSQTSNSPKLLNSNCNDFSSGSHDIEIANFANQEAYLLEKKKNKRKSRRRVISLLENVVGRIGRPEKSDGNEDTCGADLVEEQREKIVHGSGFHEIIDKKQLYTNSIATLDAANVTGFSDDFIEKYFNENVADSRINESIRSYMCCDCVLEPESLCREREVVLLLSSEDKLYVLLIDVAFDGSGSILSLLGWYRVEDVREVLVGIGLQVVRVYIERGATYLFLTRSIEKSRQLLHILQVSRACSTNNKCLLKSLEQVQVKLFDQQICRGSKLSIFQYSMVQLWHRQDEEDSWLPRSLFVSGGHVLLCVEDFKQFNSPSMDASSPPYFLFDSCCSISDVSELVIEAKESWFVTLALQNATKSFCLSSISQKDVKTTSNDNAASVSLTWKLKWFSKESLLNFVALLKAIHAAAGAATAPLLVTHTS >Potri.012G045300.6.v4.1 pep chromosome:Pop_tri_v4:12:4113368:4121334:-1 gene:Potri.012G045300.v4.1 transcript:Potri.012G045300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045300.v4.1 MPHPFSSNANRQTNNLPLPLPSQKNHDTHFSLLFNPSKTSFLSLNSLFLPLSIMAIVTGDRYLEKLVKFVEEQAGPLIDGTLVLKLNPAGLHYVNSRLESLHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTELKVVSVLPLPTRDPTPVCLVPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIVCHNSTDALRHVFASRIAEIKDSPQWSRLSFVSCACNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLRSIAPFCEVSCHIVKLVLRNNALTTLHGLENLKSLEALDVSCNIISNFSELEFLASLPCLQNLWLEGNPLCGARWYRAQVFSYFVHPDAVKLDDREISTREFWKRQIIIASRQKQPASFGFYSPAKGDAHGVGIINRKRGKVSRLASIANKEESMYFSSDHESPTCDYEIQSKEENAMSDDEAEIVDLINRVELMKKERSILWLREFKEWMDHESENIVDCSTYCGVTLHHAKENHPINKSTQKDHCDSSRYSLDALQASGDETSTNLFESDSSFVDTGSYGGVALPGMGNMNLGQKHQKSYSNEGCDSMSMQGLLLITRRTFCIDATTWWKKFCSYLQSPIQWHHPMVIQALVMMIYMNLGLRHMKLINQRMEST >Potri.012G045300.2.v4.1 pep chromosome:Pop_tri_v4:12:4113373:4121338:-1 gene:Potri.012G045300.v4.1 transcript:Potri.012G045300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045300.v4.1 MPHPFSSNANRQTNNLPLPLPSQKNHDTHFSLLFNPSKTSFLSLNSLFLPLSIMAIVTGDRYLEKLVKFVEEQAGPLIDGTLVLKLNPAGLHYVNSRLESLHELENLLSGAPVDYLRAYVSDLGDHRALEQLRRILRLLTELKVVSVLPLPTRDPTPVCLVPFGRLRVLELRGCDLSTSAAKGLLELRHTLEKIVCHNSTDALRHVFASRIAEIKDSPQWSRLSFVSCACNRLVLMDESLQLLPAVETLDLSRNKFAKVDNLRKCTKLKHLDLGFNHLRSIAPFCEVSCHIVKLVLRNNALTTLHGLENLKSLEALDVSCNIISNFSELEFLASLPCLQNLWLEGNPLCGARWYRAQVFSYFVHPDAVKLDDREISTREFWKRQIIIASRQKQPASFGFYSPAKGDAHGVGIINRKRGKVSRLASIANKEESMYFSSDHESPTCDYEIQSKEENAMSDDEAEIVDLINRVELMKKERSILWLREFKEWMDHESENIVDCSTYCGVTLHHAKENHPINKSTQKDHCDSSRYSLDALQASGDETSTNLFESDSSFVDTGSYGGVALPGMGNMNLGQKHQKSYSNEGCDSMSMQGKSSHTDSSTVQGVHTILENGSISLLTAHSSSAYPRSPPHYEEDILHRRHNLVEEILQLPAESYSVASSDGNTSSSDDDLYELGPSSYEVDKSENGEYLNPGAGGHLFSNLLKDQGHGIHHVRKEDNYLFDSQTSNSPKLLNSNCNDFSSGSHDIEIANFANQEAYLLEKKKNKRKSRRRVISLLENVVGRIGRPEKSDGNEDTCGADLVEEQREKIVHGSGFHEIIDKKQLYTNSIATLDAANVTGFSDDFIEKYFNENVADSRINESIRSYMCCDCVLEPESLCREREVVLLLSSEDKLYVLLIDVAFDGSGSILSLLGWYRVEDVREVLVGIGLQVVRVYIERGATYLFLTRSIEKSRQLLHILQVSRACSTNNKCLLKRFRSSCLINKYVEVQN >Potri.004G188700.1.v4.1 pep chromosome:Pop_tri_v4:4:20135710:20137930:-1 gene:Potri.004G188700.v4.1 transcript:Potri.004G188700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188700.v4.1 MDYTARRNGGGVFEGLYKLIMRRNSIYVTFVIAGAFAGERAVDYGVRKLWEHNNVGKRYEDIPVLGQRPSE >Potri.002G143300.1.v4.1 pep chromosome:Pop_tri_v4:2:10776117:10780313:1 gene:Potri.002G143300.v4.1 transcript:Potri.002G143300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143300.v4.1 MSHQCVPSWELDDNPTTAPKVSLRSHSNSGAPYMPMLNYEVAELTWENGQLAMHGLGQPRVPAKPIASTSPSKYTWDKPRASGTLESIVNLATCIPQCNKQTFDNSGSDHDFVPWFNHHRASASATMTMDALVPCSKRSDQERTTRVIDSSPAGLGTDCVVGCSTRVGSCSAPTATQNEVGLLTRKREKVARVPVPAEWSRDQSVNRGATFSKKDSQQVTVDSCERELGVGFTSTTSFGSQENTSSGTKPCTKTNTADENDSVCHSRPQREAGDEDDKKKGNGKSSVSNRRSRAAAVHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVQMVSRMNMQPMMLPMALQQQLQMSMMAPISMGMAGMGMGMGMGMGMGVVDMNTLAARSNITGVSPVLHPTAFMPMTTWDGSNSHERLPTAAPSATVMPDPLSAFLACQSQPMTMDAYSRMASMYQQLHQQSPASNSKS >Potri.012G077800.1.v4.1 pep chromosome:Pop_tri_v4:12:10177098:10185027:-1 gene:Potri.012G077800.v4.1 transcript:Potri.012G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077800.v4.1 MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAYSLVSRASYENVLKKWIPELQHYAPGIPIVLVGAKLDLREDKHYLADHPGLVPVTTAQGEELRKQIGAAYYVECSSKTQQNVKAVFDAAIKVVIKPAQKPKERKKKPRRGCLLNVFCGRRLVCLK >Potri.012G077800.2.v4.1 pep chromosome:Pop_tri_v4:12:10177298:10183908:-1 gene:Potri.012G077800.v4.1 transcript:Potri.012G077800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077800.v4.1 MASSASRFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVVVEGTTVNLGLWDTAGQEDYNRLRPLSYRGADVFVLAYSLVSRASYENWIPELQHYAPGIPIVLVGAKLDLREDKHYLADHPGLVPVTTAQGEELRKQIGAAYYVECSSKTQQNVKAVFDAAIKVVIKPAQKPKERKKKPRRGCLLNVFCGRRLVCLK >Potri.005G078400.2.v4.1 pep chromosome:Pop_tri_v4:5:5322436:5323835:-1 gene:Potri.005G078400.v4.1 transcript:Potri.005G078400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078400.v4.1 MAAAGGKASRSLKKAVVKHPAYASWGSVVNAQVKAKLTPSSKCNLGKFLGIRDPPASDISELITRFIKLNNRQNPGMKKDVLSEEKLRTMLEGKERVGVSEIAKLLAQQFPKRR >Potri.015G140400.2.v4.1 pep chromosome:Pop_tri_v4:15:14736662:14739529:-1 gene:Potri.015G140400.v4.1 transcript:Potri.015G140400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140400.v4.1 MFSLFYGLWKYMFSKTELHVLILGIDKAGKTTLLEKLKSVYSNLEGLPPDRIVPTVGLNIGRIEVENSKLLFWDLGGQPGLRSIWEKYYDEAHALIYVIDAACPSRFEDAKSELEKVLRHDELQGAPLLILANKQDLPDSVSADEVDRYLDLKKLDERVYMFEAVSAYDGMGIKESVEWLLEVMERSKRTEMLRARAGVTGPGA >Potri.017G112800.1.v4.1 pep chromosome:Pop_tri_v4:17:12048060:12052208:-1 gene:Potri.017G112800.v4.1 transcript:Potri.017G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112800.v4.1 MEKSGYGRDGIYRSLRPTLVLPRDSNLSLVSFLFRNSNSYPHKPALIDADLSITLSFSELKSIVIKFAHGLLNLGISKNDVILIFAPNSYQFPTCFLAITSIGAVATTANPLYTTSELSKQIKDSNPKLVITVPELWDKVKGFNLPAVFLGPKEVSLPLESGSRIRSFHSLVELGGSNSEFPVSDVKQSDIATLLYSSGTTGVSKGVILTHGNFIAASLMVSMDQVMAGEIHNVFLCFLPMFHVFGLAVITYSQLQMGNAVVSMGKFEFEMVLRTIEKYRVTHMWVVPPVILALSKQNLVKKYDLSSLRNIGSGAAPLGKDLMKECAKNLPDATIIQGFGMTETCGIVSLEDPRIGVRHSGSAGILNAGIEAQIISVETAKPLPPNQLGEIWVRGPNMMRGYFNNPQATKDTIDKKGWVHTGDLGYFDDDGQLFVVDRIKELIKYKGFQVAPAELEGLLVSHPEILDAVVTPYPDAEAGEVPVAYVVRSPNSALTEEDVQKFISDQVAPFKRLRKVTFINSVPKSASGKILRRELVQKVKSKM >Potri.005G085700.1.v4.1 pep chromosome:Pop_tri_v4:5:5923135:5926966:1 gene:Potri.005G085700.v4.1 transcript:Potri.005G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085700.v4.1 MGSIKTFSAYPPSSQFQNLKLSKDCFFFNPLKPPLCSFNPLNIHNRHRLRISSKHSCMSPFPQILTSSLSKTNYKFTNFLSEKVLVSLVGAFIFIGSFGLNTRQSLALPAQTTGPSVNLEEKRDAHMEKSEDEEMFEKVLEMEPRNVEALKVVVHGKMRRGQTKEAVKYVERLIDIEPEQVEWRLLEALCYEMMGQLSKAKTLFKEILIERPLLLRALHGLALVMHKSLEGPAVFEMLNKALEVARREKRVTEERNIRILIAQMLVVKGELEEALKKFQGLVSDNPRDFRPYLCQGIIYSLLGRKEAAAEHFETYQSLVPDEFPQRMFLDDVVLEAKTKSREWFQEECQAESSYKK >Potri.010G175100.1.v4.1 pep chromosome:Pop_tri_v4:10:17576693:17578739:-1 gene:Potri.010G175100.v4.1 transcript:Potri.010G175100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX61 MKWWFCTFLLLVQFELYMVSAILPQNPVKDLVKPLGAPSILSPQDFLSLSYYQKTCPAAEEIIHRKMKAWFLKDYTLAASIIRLHFHDCAIRGCDASILLNHRNSERRAYASKTLRGFQVIDEIKAELERKCPKTVSCADILTAAARDATLLLGGPFWEVPFGRKDGKTSIAKEADLVPQGRENVTALIDFFQERGLSILDLVVLSGSHTIGRSSCYSFMHRLANYKGTGRPDPTLDRQYLRNLTGSCKWSSNLVNLDRTTPKTFDVEYYNNLGKKKGLLSTDQELYSDPRTAPFVSAFTDQQPDLFFNQFAASMVNLGNILVYTAPNESEIRLDCNYVNPAPSKKGNPPRRG >Potri.008G036600.1.v4.1 pep chromosome:Pop_tri_v4:8:2021659:2023373:-1 gene:Potri.008G036600.v4.1 transcript:Potri.008G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036600.v4.1 MFFKKPTSKTLKILLHSSQNPIFSLINHKAKNPPYTYTQTKNYVDVYMKWKKDQYLDTIEHIHKSIQLKPVISLKNMIAQNPNGCIPISDVSKKGLHFDVKIKVARFLRQYPSIFEEFTGPQYNLPWFRLTQEAVEIDREERRLYEDCKEDLRERLKKFILMSKQKVLPLKVIQGMLWYLGLPEDFLECLDMNLDGSFRVVEMEEGLKGLAVESNERVLSVLQRNAMKKGVYSNEPMEAIEFPLFPSKGVRLRRKIEVWLREFQKVPYVSPYEDYSHLDPNSDIAEKRVVGFLHELLCLFVEHSAERRRLLCLKKHFGLPQKVHKAFERHPYMFYLSLRNKTCTAILKEAYCDKMAIERHPMLRIRNKYINLMKESQVILKSRRVNNPYVERPKLDLDLDCADEEECVEIGRL >Potri.017G134001.1.v4.1 pep chromosome:Pop_tri_v4:17:13590838:13592675:-1 gene:Potri.017G134001.v4.1 transcript:Potri.017G134001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134001.v4.1 MANMQTPRSPLQLPTRGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSKFASAANLVKTLGGPKYDGKFLHSIVKEKLGDTWLHQTLTNIVIPTFDIKRLQPTIFSSYNVKNNPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVRDFNLIDGGVAANNPTLVAISEVSKAINREGPDSYRMNPMEYGRFLVLSLGTGTAKSEEKYDAEEAAKWGLLGWLTSDHSTPLVDVFTQASADMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKPVSRVNLATGVFEPINKMTNEEALRKLAKLLSREKHLRQAKSAVGN >Potri.004G024500.1.v4.1 pep chromosome:Pop_tri_v4:4:1762027:1770208:1 gene:Potri.004G024500.v4.1 transcript:Potri.004G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024500.v4.1 MNSLKLYVILLSLLTLAILTLAQEDANYLYHTCHNPTDPTINSTYRVNLNLLLSSLASNATWYITNGFYNTSFGQNTYKVYGQFICRGDVSTTVCQNCVTFATKDIVQRCPIGIASIVYYDACILRYSNRNIFSKVDQSPGFTLLNTQNITTEPQRFNNLVETTMNDLAARAASAPPGAKKFAVNKTSFDAFRNIYSLAQCTPDLSSSDCNQCLSAAIADLPFCCSSRTGGRVLFPSCYIHYEITEFYDATAVAAESPPPPPPPVVIPSPPPPRSVTIPEEKGSVSTVLIIAIVIPIAVSIALFCMCFCFLRRARKTRDYVPENDVGDEITTEESLQFDLSTIEAATNNCSPDNKLGEGGFGEVYKGTLPNGQQIAVKRLSRNSGQGAAEFKNEVVLVAKLQHRNLVRLQGFCLEREEKILVYEFVSNKSLDYFLFDPERQGLLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQTQASTNRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAPDLVSYVWNHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIVLTLNSYLVTLPSPQEPAFFFRSTITDEVNISSKEFLLDQSKRKSIAYSVDEVSITEVYPR >Potri.004G024500.2.v4.1 pep chromosome:Pop_tri_v4:4:1762026:1770209:1 gene:Potri.004G024500.v4.1 transcript:Potri.004G024500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024500.v4.1 MNSLKLYVILLSLLTLAILTLAQEDANYLYHTCHNPTDPTINSTYRVNLNLLLSSLASNATWYITNGFYNTSFGQNTYKVYGQFICRGDVSTTVCQNCVTFATKDIVQRCPIGIASIVYYDACILRYSNRNIFSKVDQSPGFTLLNTQNITTEPQRFNNLVETTMNDLAARAASAPPGAKKFAVNKTSFDAFRNIYSLAQCTPDLSSSDCNQCLSAAIADLPFCCSSRTGGRVLFPSCYIHYEITEFYDATAVAAESPPPPPPPVVIPSPPPPRSVTIPEVGDEITTEESLQFDLSTIEAATNNCSPDNKLGEGGFGEVYKGTLPNGQQIAVKRLSRNSGQGAAEFKNEVVLVAKLQHRNLVRLQGFCLEREEKILVYEFVSNKSLDYFLFDPERQGLLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQTQASTNRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAPDLVSYVWNHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIVLTLNSYLVTLPSPQEPAFFFRSTITDEVNISSKEFLLDQSKRKSIAYSVDEVSITEVYPR >Potri.004G128400.1.v4.1 pep chromosome:Pop_tri_v4:4:13354280:13355133:-1 gene:Potri.004G128400.v4.1 transcript:Potri.004G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128400.v4.1 MSSRRSRQSSVPRITDDQIIHLVSKLRQLLPEIRQRRSDKVSASKVLQETCNYIKNLHREVDDLSERLSQLLATIDSDSPEAEIIRSLIM >Potri.018G145568.1.v4.1 pep chromosome:Pop_tri_v4:18:15469970:15473490:1 gene:Potri.018G145568.v4.1 transcript:Potri.018G145568.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145568.v4.1 MVLPKGPFWEYVKETGESRQCTFCGHPFSNQTPITRFKLHWSGVQRRGTTICDKVPEPVRDAAFTAVDGPPEKKLTTTATSSNDGAHNTISTSLLEQNIQVGNVVTDVETEPELYFPSPGEQEFVQTNMGNFQLDRVSSFPGDLIPGEQVEQERGRNAQDNLPLSVEDYRIESTIEELNQLVVRGGSPERLTVNEDEPREDLSQPTDPLCFGLERHYDQPSSSSVNNDVMMIDVENMIGEHLQPVVRHSSREGLQPIGDESGRDVFLTEELIGGEFENNKNAIWSWIMNDIEASTSIGIYGMGGVGKTTLLTHIYNQLLQEPGTFPHVHWITVSQDFSVSKLQNLIAEDIHLDLSNEDNERKRAAKLSKALIEKQRWVLILDDLWDCFDYNKVGIPIRVKGCKLILTTRSFGVCQRMFCQKTIKVEPLSMEEAWALFMKVLGCIPPEVEEIARSIASECAGLPLGIITMAGTMRGVDDRCEWRNA >Potri.018G145568.2.v4.1 pep chromosome:Pop_tri_v4:18:15470919:15473409:1 gene:Potri.018G145568.v4.1 transcript:Potri.018G145568.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145568.v4.1 MVLPKGPFWEYVKETGESRQCTFCGHPFSNQTPITRFKLHWSGVQRRGTTICDKVPEPVRDAAFTAVDGPPEKKLTTTATSSNDGAHNTISTSLLEQNIQVGNVVTDVETEPELYFPSPGEQEFVQTNMGNFQLDRVSSFPGDLIPGEQVEQERGRNAQDNLPLSVEDYRIESTIEELNQLVVRGGSPERLTVNEDEPREDLSQPTDPLCFGLERHYDQPSSSSVNNDVMMIDVENMIGEHLQPVVRHSSREGLQPIGDESGRDVFLTEELIGGEFENNKNAIWSWIMNDIEASTSIGIYGMGGVGKTTLLTHIYNQLLQEPGTFPHVHWITVSQDFSVSKLQNLIAEDIHLDLSNEDNERKRAAKLSKALIEKQRWVLILDDLWDCFDYNKVGIPIRVKGCKLILTTRSFGVCQRMFCQKTIKVEPLSMEEAWALFMKVLGCIPPEVEEIARSIASECAGLPLGIITMAGTMRGVDDRCEWRNA >Potri.012G105700.1.v4.1 pep chromosome:Pop_tri_v4:12:12751924:12752721:-1 gene:Potri.012G105700.v4.1 transcript:Potri.012G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105700.v4.1 MASTKPCKNKVQDKVAIVTGGASGIGEATVLAFAENGARAVVIADIQDEKGQKLAESIGTNRSTYIHCDVGDENQVKSLVESTVQLYGHLDVIFCNAGIASFGKQNVLDFDLDSCDKLFAVNVRGTAACLKHAARAMVDGGVKGSVICTSSAAANLAGVRFTDYIMSKSGVLALMKCASYQLGEHGIRVNCVSPGPVATPLACKTFEKGVEEVEKAFQSSYCLKGVLKTKHVADAVLFLASDDSEFVTGQNLIVDGGFNFQGIPK >Potri.001G132100.1.v4.1 pep chromosome:Pop_tri_v4:1:10761922:10763105:-1 gene:Potri.001G132100.v4.1 transcript:Potri.001G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132100.v4.1 MSSQTPDISPSYDNNDDIHDLAFAKRRCCWIPCLTTDPVPSSSGTAGPGFWQRIKPVDRTTSPESWWFRGWMRIRDCSELLAGPRWKTFLRRFNKKPGGGTQYGRFQYDPSSYALNFDQGSRRRPEDDDLMDRNFSSRYSLPPSRKSSIDFDKEGLLIT >Potri.002G003700.1.v4.1 pep chromosome:Pop_tri_v4:2:220332:227000:1 gene:Potri.002G003700.v4.1 transcript:Potri.002G003700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003700.v4.1 MSEMEDSEMVTVQDLLVDSEDKKPSEASVKEQEETADASVEQKSNENGQTSVADDDHTVTLASDVPMSDTQALPNEKNDTDENINQQAGEEKTDGDDGGCVQNQPQTATPSTPRRHATPKAKQDSAAKSKNVWTDIKMGEADVAGTPEERAAFMKELETFYKQNTMDFKPPKFYGEPLNCLKLWRSVIKLGGYEVVTANKLWRQVGESFHPPKTCTTVSWTFRIFYEKALLEYEKHKKETGELQLPSSPLHQATSVEKEASGYQAPGSGRARRDAAARAMQGWHAQRHLGHGEVSEPIAKVKSLNFARREKPLKSIGLHRQKTTNLELAERPMNAEPDKEVDAEIADIGPPADWVKINVRESKDCYEIYALVPGLLREEVRVQSDPVGRLVITGQPEQLDNPWGITPFKKVVSLPTRIDPLQTSAVVSLHGRLHVRVPFEHGSA >Potri.001G205200.1.v4.1 pep chromosome:Pop_tri_v4:1:20838023:20840751:-1 gene:Potri.001G205200.v4.1 transcript:Potri.001G205200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205200.v4.1 MISGKDIYQVVSALVPLYAAMILAYGSVRWWKVFTPDQCAGINRFVAVFATPFLVFDFICSNNPYKMNLRFIAADSLQKVVVLVVLFIWKATARRGDLDWTITLFSLSTLPNTLVMGVPLLKSMYGEFTSPLMIQVCFMQSVLWYTLLLSMFEYRGAKRLVAGQFPETAASISSFKVDSAVVSLGGHEPLETDAEIDDDGKLRVVVRRSSATSSNFSSRDRFDGWNPVLSVHLPPRASNFSSVEVFSVQSSPRASSYRQTDLPNLTNSFGDIYSLQSSRNSVPRISSNLEEEMRRKNGVAFPGSPSCAVPQKEGGGAPAPNKDLHMFVWSSSISSNISDHRYLRADQINGRHTYPDPFNGADPQEDNIAAAASTAKKQQMPPATVVARLIAMMVGRKLVRNPNTYASLLGLLWSLISFRWSIKLPLIVDGSVRILSNAGLGMAMFSLGLFAALQPKVIASGKVLALISMAIKFLIGPAVLAATSLAVGLRGDLLRIAIVQAALPSGILPFIFAKEYNLHANIQSTS >Potri.014G152900.1.v4.1 pep chromosome:Pop_tri_v4:14:10734282:10739020:-1 gene:Potri.014G152900.v4.1 transcript:Potri.014G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152900.v4.1 MASRPGILTDWPWKPLGSFKHVILAPCVIHNIYAFMVKDEKDLSSFLIFPILLWRVLHNQLWISLSRYRTAKGNNRIIDKGIEFDQVDRERNWDDQILFNGILFYVSSKIIPGASYLPMWRLDGVILTALIHTGPVEFLYYWLHRLLHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHISYSMLFAIPLITTIVTGTASLTSFAGYVTYIDLMNNMGHCNFELIPRWLFIIFPPLKYLMYTPSYHSLHHTQFRTNYSLFMPIYDYIYGTMDTSSVTLYEDSLKRLEEAPDVVHLTHLTTPDSIYHLRLGLACLASTPQESKWYLWLMWPVTLWTMMLTWIYGRTFVVERNRFRKLRLQTWTLPKYNIQYNLRWQKVSINTLIEEAVLEAEEKGVKVLSLGLLNQGEELNRYGELYVQRHPRLKTKVVDGSSLAVAAVLNSIPKGTTHVLHRGNLSKVAYAVALNLCRRGIQVVVPCEDDYQKLKKSFGITSDQNNMILSKSYSIKTWLVGDGLTEEDQKKAAEGTLFIPFSQFPPKKLRKDCFYHSIPAMAAPASLENVDSCENWLPRRVMSAWRVAGIVHALEGWDEHECGSTMADIDKVWQASIQHGFKPLVIKTPLKF >Potri.017G133850.1.v4.1 pep chromosome:Pop_tri_v4:17:13564402:13566664:-1 gene:Potri.017G133850.v4.1 transcript:Potri.017G133850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133850.v4.1 MANMQTPRSPLQLPTQGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSKFASAANLVKTLRGPKYDGKFLHSIVKEKLGDTWLHQTLTNIVIPTFDIKRLQPTIFSSCNVKNNPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVRDFNLIDGGVAANNPTLVAISEVSKAINLEGPDSYRMNPMEYGRFLVLSLGTGTAKSEEKYDAAEAAKWGLLGWLTSDHSTPLVDVFTQASADMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKPVSRVNLATGVFEPINKMTNEEALRKLAKLLSREKHLREAKSAVGN >Potri.015G123400.5.v4.1 pep chromosome:Pop_tri_v4:15:13638332:13641976:-1 gene:Potri.015G123400.v4.1 transcript:Potri.015G123400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123400.v4.1 MGLSDNELHGELSLKWEQFNNLAAFKISGNKISGEIPAALGKATHLQALDLSSNQLVGRIPKELRNLKLIELALNDNKLSGDIPFDVASLSDLERLGLAANNFSASILTQLGKCSKLVFLNMSKNRFTGIIPAEMGSLQSLQNLDLSWNSLMGGIAPELGQLQQLEFLNLSHNMLSGLIPASFSRLQGLTKVDVSYNKLEGPISDIKAFREAPFEAIRNNTNLCGNATGLEACSALMKNKTVHKKGPKVVFLTVFSLLGSLLGLIVGFLIFFQSRRKKRLVETPQRDVTARWCPGGDLRYEDIIEATEEFDSKYCIGTGGYGVVYKAVLPSEQVLAVKKFHQTPEVEMSSLKAFRSEIDVLMGIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKVLNEEEQSAKMDWDKRMNLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEKCDVYSFGVLTLEVMMGKHPGDFISSLMLSASTSSSSPIGHNTVLKDVLDQRLPPPENELADGVAHVAKLAFACLQTDPHYRPTMRQVSTELTTRWPPLPKLFSTMELEDIMVHRNDIG >Potri.015G123400.2.v4.1 pep chromosome:Pop_tri_v4:15:13638332:13641976:-1 gene:Potri.015G123400.v4.1 transcript:Potri.015G123400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123400.v4.1 MASHISLFSTPFLVFSFLACASFFASFAYSASTGAAEVANGRTEAEALLEWKVSLDNQSQSLLSSWAGDSPCNWFGISCDQSGSVINISLPDSSLRGTLNRLRFSSFPNLTVLNLPNNSLYGYVPSHIGNLSNLSILNLAFNSISGNIPPEIGNLVSLTILALSSNKLTGTIPASLENLKNLSKLYLWNNNLFGSITFIGNLTRSLTILVLSFNKLTGTIPASLQNLKSLSKLNLCNNNLSGSITFIENITRSLTNLDLSFNKLTGTIPASLENLRSLYQLDLQHNNFFGPIIFIQNLTRSLTILDLSFNNLTGTIPASLGNLRSLSQLYFQDNSLFGPIPPEMNNLTHLYSLEIYSNRLSGNLPRDVCLGGLLSYFSASENYFTGPIPKSLRNCSSLLRLRLERNQLSGNISEAFGTHPHLYYMGLSDNELHGELSLKWEQFNNLAAFKISGNKISGEIPAALGKATHLQALDLSSNQLVGRIPKELRNLKLIELALNDNKLSGDIPFDVASLSDLERLGLAANNFSASILTQLGKCSKLVFLNMSKNRFTGIIPAEMGSLQSLQNLDLSWNSLMGGIAPELGQLQQLEFLNLSHNMLSGLIPASFSRLQGLTKVDVSYNKLEGPISDIKAFREAPFEAIRNNTNLCGNATGLEACSALMKNKTVHKKGPKVVFLTVFSLLGSLLGLIVGFLIFFQSRRKKRLVETPQRDVTARWCPGGDLRYEDIIEATEEFDSKYCIGTGGYGVVYKAVLPSEQVLAVKKFHQTPEVEMSSLKAFRSEIDVLMGIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKVLNEEEQSAKMDWDKRMNLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEKCDVYSFGVLTLEVMMGKHPGDFISSLMLSASTSSSSPIGHNTVLKDVLDQRLPPPENELADGVAHVAKLAFACLQTDPHYRPTMRQVSTELTTRWPPLPKLFSTMELEDIMVHRNDIG >Potri.001G451600.1.v4.1 pep chromosome:Pop_tri_v4:1:47775631:47777267:-1 gene:Potri.001G451600.v4.1 transcript:Potri.001G451600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G451600.v4.1 MMYSNEVLSSLLISDVKDVPSNNINPIFDLPKLSELDASDDSIPLIDLDGLNGPNRSLIINQIGQACEEYGFFMVKNHGIPEATINNIQSTAMKFFKLPNEERLKFQSIDPTKTIRLTTGFNNKNQKVFVWRESLKFHSYPIEDYEHEWPSNPPSFKEDVANYGTSVRGLEFALLEAISESLGLERDYIDKTLGMHGQGIALNYYPPCPQPELTFGLPGHTDPSIITILLIDDVPGLQVLKNGKWVNIRPIPNTFVVNVGDQIQVLSNDRYKSVLHRVVVNCDKERISIPSFYYSSPDTVIGPAKDLIDNDHPAIYRKSACRAFNERMWNGGLAAAKAAKSQPDVSSPAA >Potri.014G116600.6.v4.1 pep chromosome:Pop_tri_v4:14:7839153:7844061:1 gene:Potri.014G116600.v4.1 transcript:Potri.014G116600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116600.v4.1 MSRKRASLLTIKSIASLSKVCSSSNSFESITFFKNLSTATERFDFQNSNGYHEQNSNEHFHKPDGPYGYNNENSGGNNLNPNGGFRESPRIDLWNNPIGKNVNSTGFHGKNRVDFQQNCDGASWERSRGENHNNRAEKNGNFSGYYERNNGSSQQNYGWVGGQHSQNGYYGGHGNAQLSRNEPGFNSQGLSESQRSLNSNYTHNVEKFHHGLNDHYMVNGGQYQQNQYFGQHQQNQYFGQHQQNLNVEQYQPNCNSFQSSMEASQVSNYAKPEGDSTESSKSSLNRGSMEELDEFCKEGKVKEAVEFLQLLQKQSVFVDLSRYLQLMQACGEAEALEEARVIHDCIVRSQSPLDVGTLNKILEMYSKCGAMDEAFSVFDNMQECNLTSWYIMITWLAKNGYGEDAIDLFNQFKQGGLKPDAQIFVGVFSACNVLGDINEGLLHFESMWKEFSIVPSMEHYVSIVDMLGSNGYLVEALEFIEKMPMEPSVDVWETLMNLCRAHGHLELGDRCAELIEQLDPSRLNEQSNAGLVPVKASDIAKEKKKKTASQNLLDVRSRVHEYRAGDTSFPDRDRVYALLRGMKAQMKDAGYIPVTRFVLHDIDEESKEDALLAHSERLATAHGLLTTAARSPLRVVKNLRFCGDCHNAMKIISKLVGRQLIMRDAKRFHHFKDGVCSCGDYW >Potri.014G116600.5.v4.1 pep chromosome:Pop_tri_v4:14:7839144:7844071:1 gene:Potri.014G116600.v4.1 transcript:Potri.014G116600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116600.v4.1 MSRKRASLLTIKSIASLSKVCSSSNSFESITFFKNLSTATERFDFQNSNGYHEQNSNEHFHKPDGPYGYNNENSGGNNLNPNGGFRESPRIDLWNNPIGKNVNSTGFHGKNRVDFQQNCDGASWERSRGENHNNRAEKNGNFSGYYERNNGSSQQNYGWVGGQHSQNGYYGGHGNAQLSRNEPGFNSQGLSESQRSLNSNYTHNVEKFHHGLNDHYMVNGGQYQQNQYFGQHQQNQYFGQHQQNLNVEQYQPNCNSFQSSMEASQVSNYAKPEGDSTESSKSSLNRGSMEELDEFCKEGKVKEAVEFLQLLQKQSVFVDLSRYLQLMQACGEAEALEEARVIHDCIVRSQSPLDVGTLNKILEMYSKCGAMDEAFSVFDNMQECNLTSWYIMITWLAKNGYGEDAIDLFNQFKQGGLKPDAQIFVGVFSACNVLGDINEGLLHFESMWKEFSIVPSMEHYVSIVDMLGSNGYLVEALEFIEKMPMEPSVDVWETLMNLCRAHGHLELGDRCAELIEQLDPSRLNEQSNAGLVPVKASDIAKEKKKKTASQNLLDVRSRVHEYRAGDTSFPDRDRVYALLRGMKAQMKDAGYIPVTRFVLHDIDEESKEDALLAHSERLATAHGLLTTAARSPLRVVKNLRFCGDCHNAMKIISKLVGRQLIMRDAKRFHHFKDGVCSCGDYW >Potri.014G116600.4.v4.1 pep chromosome:Pop_tri_v4:14:7839144:7843996:1 gene:Potri.014G116600.v4.1 transcript:Potri.014G116600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116600.v4.1 MSRKRASLLTIKSIASLSKVCSSSNSFESITFFKNLSTATERFDFQNSNGYHEQNSNEHFHKPDGPYGYNNENSGGNNLNPNGGFRESPRIDLWNNPIGKNVNSTGFHGKNRVDFQQNCDGASWERSRGENHNNRAEKNGNFSGYYERNNGSSQQNYGWVGGQHSQNGYYGGHGNAQLSRNEPGFNSQGLSESQRSLNSNYTHNVEKFHHGLNDHYMVNGGQYQQNQYFGQHQQNQYFGQHQQNLNVEQYQPNCNSFQSSMEASQVSNYAKPEGDSTESSKSSLNRGSMEELDEFCKEGKVKEAVEFLQLLQKQSVFVDLSRYLQLMQACGEAEALEEARVIHDCIVRSQSPLDVGTLNKILEMYSKCGAMDEAFSVFDNMQECNLTSWYIMITWLAKNGYGEDAIDLFNQFKQGGLKPDAQIFVGVFSACNVLGDINEGLLHFESMWKEFSIVPSMEHYVSIVDMLGSNGYLVEALEFIEKMPMEPSVDVWETLMNLCRAHGHLELGDRCAELIEQLDPSRLNEQSNAGLVPVKASDIAKEKKKKTASQNLLDVRSRVHEYRAGDTSFPDRDRVYALLRGMKAQMKDAGYIPVTRFVLHDIDEESKEDALLAHSERLATAHGLLTTAARSPLRVVKNLRFCGDCHNAMKIISKLVGRQLIMRDAKRFHHFKDGVCSCGDYW >Potri.005G025400.1.v4.1 pep chromosome:Pop_tri_v4:5:1608748:1612341:-1 gene:Potri.005G025400.v4.1 transcript:Potri.005G025400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G025400.v4.1 MAVQRIELGRGDLWKSKAKALQLRLRDRFRVVVDRHRRRPHIFSAASDGYFSSTVQRWLNHFRDFRRDSLHSHSAFYRKRVSKDFNADEESVIIRMVQSVAVPLLGNMCHVFMHGLNRVQVYGVEKLHDALLHRPKNKPLLTVSNHVASVDDPFIIASLLPPSVLMDAQNLRWTLCASDRCFKNPVSSAFFQSVKVLPVARGEGIYQKGMDMAIAKLNSGSWVHIFPEGSRSRDGGKTMGSSKRGVGRLILDADTVPLVIPFVHTGMQEIMPIGATLPRIGKRVTVLLGDPIHFDDILDAEGVENISRGKMYDAVSSRIGQRLQQLKVQVEKLALEQSVEFQQAAAGITERAADMLQQVDWGSFGAGSHVSTEERDLPCEPRPSQELLSSGRYFRIGFSYDSIIISRMRGYMDSTELLGFAARGLFMNFRAREHTASIRDVGPVRAWKQFLEANLLGQWNAC >Potri.004G176000.7.v4.1 pep chromosome:Pop_tri_v4:4:19112694:19117487:1 gene:Potri.004G176000.v4.1 transcript:Potri.004G176000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176000.v4.1 MHNVVGVVSEVAGESDSDGSIMDDDDDDDEDEDDDDDDDEDECDVDEDAGNEGADDDGNDNTNGDRSEGSDNYKSETLQTDEVRVVWMGDVKPVQHVNDVTVIDRGFLHGDYVASASDPTGQVGVVVDVNISVDLLAPDGSVRKDISSINLVRVREFSVGDFVVFGPWLGRVDDVVDDVTVLFDDGSVCKVKGAEPLHLKPISKGIFEEDEHFPYHPGQRVRATSSSVFKNSRWLSGLWEANRLEGTVTKVTSGSVFIYWIASAGYGPDSSTTPAEEQDPKNLELLSCFAHASWQVGDWCLLPSSVAQSSSVTLDKDLLKLGIHDPAKCELDSSQLGNGCDSEGVANEEVDDMNGSMAIDPVTTPDGNLAIIASNESSSCGSSTSVSKVPAHRRKIRKVLIRREKKSIKKEEDFERALLIVNTRTRVDIAWQDGAIERGLNSTTLIPIDSPGDHEFVAEQYVVEKASDDVDNSFESRRVGVVKSLNAKERTACVKWLKPVTRAEDPREFDKDEIVSVYELETHPDYDYSYGDVVVRLSPVSVSDQTTSDLETIGESRQQSGQSEIMNTQKCLGHKKGEDAPSNDVSMDSSDLSWVGNISGLRNGDLEVTWADGMVSMVGPQAIFVVGRDDDDDSVSAGSELSEAAASWETVDDDVRDTHDYTQEAVVLQDATGMNSEEEESVENYSSGRNAALNFPLTALDFVARLATGIFSRGQKNIDPDFSGYQGENKLHSQGTNCISEEKDSSDESSAEKSNVNNNCGMQNTNEKDKHVSMEDPGSSNAEETSCNLSTEKSNAMTCSEARIHHYFKHFDTAEDPLDHHFLDSNRLIKNGRKWLKKVQQDWNILQNNLPDEIYVRVYEDRMDLLRATIIGAYGTPYQDGLFFFDFHLPREYPDVPPSAYYHSGGWRINPNLYEEGKLCLSLLNTWTGRGNEVWHSTSSILQVLVSLQGLVLNSRPYFNEAGYDKQIGTAEGEKKSLSYNENTFLLNCKTMMYLMRKPPKVIY >Potri.004G176000.5.v4.1 pep chromosome:Pop_tri_v4:4:19111742:19118448:1 gene:Potri.004G176000.v4.1 transcript:Potri.004G176000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176000.v4.1 MCFQLENMQNESSSKVNGFVDSGGDKNSLDQSGSMANGLVCELKVDSENNVKKLDEVVGNLNNVPYIYGQDIVRHKMHNVVGVVSEVAGESDSDGSIMDDDDDDDEDEDDDDDDDEDECDVDEDAGNEGADDDGNDNTNGDRSEGSDNYKSETLQTDEVRVVWMGDVKPVQHVNDVTVIDRGFLHGDYVASASDPTGQVGVVVDVNISVDLLAPDGSVRKDISSINLVRVREFSVGDFVVFGPWLGRVDDVVDDVTVLFDDGSVCKVKGAEPLHLKPISKGIFEEDEHFPYHPGQRVRATSSSVFKNSRWLSGLWEANRLEGTVTKVTSGSVFIYWIASAGYGPDSSTTPAEEQDPKNLELLSCFAHASWQVGDWCLLPSSVAQSSSVTLDKDLLKLGIHDPAKCELDSSQLGNGCDSEGVANEEVDDMNGSMAIDPVTTPDGNLAIIASNESSSCGSSTSVSKVPAHRRKIRKVLIRREKKSIKKEEDFERALLIVNTRTRVDIAWQDGAIERGLNSTTLIPIDSPGDHEFVAEQYVVEKASDDVDNSFESRRVGVVKSLNAKERTACVKWLKPVTRAEDPREFDKDEIVSVYELETHPDYDYSYGDVVVRLSPVSVSDQTTSDLETIGESRQQSGQSEIMNTQKCLGHKKGEDAPSNDVSMDSSDLSWVGNISGLRNGDLEVTWADGMVSMVGPQAIFVVGRDDDDDSVSAGSELSEAAASWETVDDDVRDTHDYTQEAVVLQDATGMNSEEEESVENYSSGRNAALNFPLTALDFVARLATGIFSRGQKNIDPDFSGYQGENKLHSQGTNCISEEKDSSDESSAEKSNVNNNCGMQNTNEKDKHVSMEDPGSSNAEETSCNLSTEKSNAMTCSEARIHHYFKHFDTAEDPLDHHFLDSNRLIKNGRKWLKKVQQDWNILQNNLPDEIYVRVYEDRMDLLRATIIGAYGTPYQDGLFFFDFHLPREYPDVPPSAYYHSGGWRINPNLYEEGKLCLSLLNTWTGRGNEVWHSTSSILQVLVSLQGLVLNSRPYFNEAGYDKQIGTAEGEKKSLSYNENTFLLNCKTMMYLMRKPPKDFECLVKEHFRRRGYYILKACDAYMQGNLIGSLSRDGSISSKESSNLTSVGFKLMLAKIVPKLYLALNEVGADCHEFKHLLQS >Potri.004G176000.1.v4.1 pep chromosome:Pop_tri_v4:4:19111769:19118402:1 gene:Potri.004G176000.v4.1 transcript:Potri.004G176000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176000.v4.1 MQNESSSKVNGFVDSGGDKNSLDQSGSMANGLVCELKVDSENNVKKLDEVVGNLNNVPYIYGQDIVRHKMHNVVGVVSEVAGESDSDGSIMDDDDDDDEDEDDDDDDDEDECDVDEDAGNEGADDDGNDNTNGDRSEGSDNYKSETLQTDEVRVVWMGDVKPVQHVNDVTVIDRGFLHGDYVASASDPTGQVGVVVDVNISVDLLAPDGSVRKDISSINLVRVREFSVGDFVVFGPWLGRVDDVVDDVTVLFDDGSVCKVKGAEPLHLKPISKGIFEEDEHFPYHPGQRVRATSSSVFKNSRWLSGLWEANRLEGTVTKVTSGSVFIYWIASAGYGPDSSTTPAEEQDPKNLELLSCFAHASWQVGDWCLLPSSVAQSSSVTLDKDLLKLGIHDPAKCELDSSQLGNGCDSEGVANEEVDDMNGSMAIDPVTTPDGNLAIIASNESSSCGSSTSVSKVPAHRRKIRKVLIRREKKSIKKEEDFERALLIVNTRTRVDIAWQDGAIERGLNSTTLIPIDSPGDHEFVAEQYVVEKASDDVDNSFESRRVGVVKSLNAKERTACVKWLKPVTRAEDPREFDKDEIVSVYELETHPDYDYSYGDVVVRLSPVSVSDQTTSDLETIGESRQQSGQSEIMNTQKCLGHKKGEDAPSNDVSMDSSDLSWVGNISGLRNGDLEVTWADGMVSMVGPQAIFVVGRDDDDDSVSAGSELSEAAASWETVDDDVRDTHDYTQEAVVLQDATGMNSEEEESVENYSSGRNAALNFPLTALDFVARLATGIFSRGQKNIDPDFSGYQGENKLHSQGTNCISEEKDSSDESSAEKSNVNNNCGMQNTNEKDKHVSMEDPGSSNAEETSCNLSTEKSNAMTCSEARIHHYFKHFDTAEDPLDHHFLDSNRLIKNGRKWLKKVQQDWNILQNNLPDEIYVRVYEDRMDLLRATIIGAYGTPYQDGLFFFDFHLPREYPDVPPSAYYHSGGWRINPNLYEEGKLCLSLLNTWTGRGNEVWHSTSSILQVLVSLQGLVLNSRPYFNEAGYDKQIGTAEGEKKSLSYNENTFLLNCKTMMYLMRKPPKDFECLVKEHFRRRGYYILKACDAYMQGNLIGSLSRDGSISSKESSNLTSVGFKLMLAKIVPKLYLALNEVGADCHEFKHLLQS >Potri.004G176000.8.v4.1 pep chromosome:Pop_tri_v4:4:19111755:19118372:1 gene:Potri.004G176000.v4.1 transcript:Potri.004G176000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176000.v4.1 MQNESSSKVNGFVDSGGDKNSLDQSGSMANGLVCELKVDSENNVKKLDEVVGNLNNVPYIYGQDIVRHKMHNVVGVVSEVAGESDSDGSIMDDDDDDDEDEDDDDDDDEDECDVDEDAGNEGADDDGNDNTNGDRSEGSDNYKSETLQTDEVRVVWMGDVKPVQHVNDVTVIDRGFLHGDYVASASDPTGQVGVVVDVNISVDLLAPDGSVRKDISSINLVRVREFSVGDFVVFGPWLGRVDDVVDDVTVLFDDGSVCKVKGAEPLHLKPISKGIFEEDEHFPYHPGQRVRATSSSVFKNSRWLSGLWEANRLEGTVTKVTSGSVFIYWIASAGYGPDSSTTPAEEQDPKNLELLSCFAHASWQVGDWCLLPSSVAQSSSVTLDKDLLKLGIHDPAKCELDSSQLGNGCDSEGVANEEVDDMNGSMAIDPVTTPDGNLAIIASNESSSCGSSTSVSKVPAHRRKIRKVLIRREKKSIKKEEDFERALLIVNTRTRVDIAWQDGAIERGLNSTTLIPIDSPGDHEFVAEQYVVEKASDDVDNSFESRRVGVVKSLNAKERTACVKWLKPVTRAEDPREFDKDEIVSVYELETHPDYDYSYGDVVVRLSPVSVSDQTTSDLETIGESRQQSGQSEIMNTQKCLGHKKGEDAPSNDVSMDSSDLSWVGNISGLRNGDLEVTWADGMVSMVGPQAIFVVGRDDDDDSVSAGSELSEAAASWETVDDDVRDTHDYTQEAVVLQDATGMNSEEEESVENYSSGRNAALNFPLTALDFVARLATGIFSRGQKNIDPDFSGYQGENKLHSQGTNCISEEKDSSDESSAEKSNVNNNCGMQNTNEKDKHVSMEDPGSSNAEETSCNLSTEKSNAMTCSEARIHHYFKHFDTAEDPLDHHFLDSNRLIKNGRKWLKKVQQDWNILQNNLPGSSSPVYVKFYAMF >Potri.004G176000.6.v4.1 pep chromosome:Pop_tri_v4:4:19111819:19118369:1 gene:Potri.004G176000.v4.1 transcript:Potri.004G176000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176000.v4.1 MQNESSSKVNGFVDSGGDKNSLDQSGSMANGLVCELKVDSENNVKKLDEVVGNLNNVPYIYGQDIVRHKMHNVVGVVSEVAGESDSDGSIMDDDDDDDEDEDDDDDDDEDECDVDEDAGNEGADDDGNDNTNGDRSEGSDNYKSETLQTDEVRVVWMGDVKPVQHVNDVTVIDRGFLHGDYVASASDPTGQVGVVVDVNISVDLLAPDGSVRKDISSINLVRVREFSVGDFVVFGPWLGRVDDVVDDVTVLFDDGSVCKVKGAEPLHLKPISKGIFEEDEHFPYHPGQRVRATSSSVFKNSRWLSGLWEANRLEGTVTKVTSGSVFIYWIASAGYGPDSSTTPAEEQDPKNLELLSCFAHASWQVGDWCLLPSSVAQSSSVTLDKDLLKLGIHDPAKCELDSSQLGNGCDSEGVANEEVDDMNGSMAIDPVTTPDGNLAIIASNESSSCGSSTSVSKVPAHRRKIRKVLIRREKKSIKKEEDFERALLIVNTRTRVDIAWQDGAIERGLNSTTLIPIDSPGDHEFVAEQYVVEKASDDVDNSFESRRVGVVKSLNAKERTACVKWLKPVTRAEDPREFDKDEIVSVYELETHPDYDYSYGDVVVRLSPVSVSDQTTSDLETIGESRQQSGQSEIMNTQKCLGHKKGEDAPSNDVSMDSSDLSWVGNISGLRNGDLEVTWADGMVSMVGPQAIFVVGRDDDDDSVSAGSELSEAAASWETVDDDVRDTHDYTQEAVVLQDATGMNSEEEESVENYSSGRNAALNFPLTALDFVARLATGIFSRGQKNIDPDFSGYQGENKLHSQGTNCISEEKDSSDESSAEKSNVNNNCGMQNTNEKDKHVSMEDPGSSNAEETSCNLSTEKSNAMTCSEARIHHYFKHFDTAEDPLDHHFLDSNRLIKNGRKWLKKVQQDWNILQNNLPDEIYVRVYEDRMDLLRATIIGAYGTPYQDGLFFFDFHLPREYPDVPPSAYYHSGGWRINPNLYEEGKLCLSLLNTWTGRGNEVWHSTSSILQVLVSLQGLVLNSRPYFNEAGYDKQIGTAEGEKKSLSYNENTFLLNCKTMMYLMRKPPKDFECLVKEHFRRRGYYILKACDAYMQGNLIGSLSRDGSISSKESSNLTSVGFKLMLAKIVPKLYLALNEVGADCHEFKHLLQS >Potri.011G158000.1.v4.1 pep chromosome:Pop_tri_v4:11:18371124:18372713:1 gene:Potri.011G158000.v4.1 transcript:Potri.011G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158000.v4.1 MFACMFFLLFPFSLVNSAKDTRDDFLQCLHSQNSNSISSFINTPNNSSYSSLLQNYTQNLRVKATKTLEPLVIIKPKKAFHIQTTIICSKKHGVQIRIRSGGHDYEGLSYVSPLPFVVLDLIDLRNITVDLANKSAWVQAGASLGEVYYRIAEKSSKLAFPAGVGLTVGVGGHFSGGGEGMMMRKYGIAADNIIDAKIINAEGKILDRESMGEDLFWAIRGGGGNTFGVVAAWKINLVDVPPVVTVFNVTRTLEQNATNLVHRWQYLVDKFPEDLALRIFVRRVNSSQDGNTTIQAAFTSLFLGRVDRLLPIVQEHFPELGLTKKDCIEMSWINSTLYFAGIPNGASLDVLLKRDPQGRIFFKGKSDYVQEPIPKNALENIWKRLYKMDAKMAELQFTILGGKMNEISEFSIPFPHRAGNLFQIHYALLWHEESIKEINWHMKWIRELYDFMAPYVSNHPRTAYVNYRDLDLGTNNINGNSTYQEACIWGFKYFKVNNFNRLIQVKATVDRDNFFRNEQSIPYLDHYAG >Potri.010G041900.3.v4.1 pep chromosome:Pop_tri_v4:10:7307305:7312519:1 gene:Potri.010G041900.v4.1 transcript:Potri.010G041900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041900.v4.1 MEISSTSNLCLHLISCAFQRCRLSQQLCRLSAVLKSPSPSILQISRLRLKSSMQFLILESVAAWKNSRILTAAALFLLNFGMEFSLSKLLTAAICDDEIYHYHFNLRENISSSRTLTRLPSNPKNGLKFSGTQICLSISESIDVLVSEINHFFQKMMILNIPNIAIELLIEREDIPGSRCENVFLANRSNPGPLSTSNVEFLKSGLEDYVLKHGNSLTQKCSTCFATSECLKVGSGIACSTESHKSSGLMMEVVIIISEIESTCPCFRECSSKTEVLYFKDFTPCSISHSTLNVLSTIDWKSYGLTLENVVDQGVCVLEWEDSPSHSQIDMVLHCYHKQYPMHKTQLERHLIKKAVKAALNNLKEKHPGILLSAHALKICSHAPDLARSIAGLILSSNDPDFQGECFSLLGLQSREIGADVVEDCIQEKIVSVIEMNDRKSRQRKVVAPFLFEDDCDQDSNYQDKEYEEGEDEFSYVD >Potri.010G041900.6.v4.1 pep chromosome:Pop_tri_v4:10:7307305:7312664:1 gene:Potri.010G041900.v4.1 transcript:Potri.010G041900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041900.v4.1 MEISSTSNLCLHLISCAFQRCRLSQQLCRLSAVLKSPSPSILQISISDTGIGSCLEEFQDLNCSSIISAEFWDGILSVKTTAICDDEIYHYHFNLRENISSSRTLTRLPSNPKNGLKFSGTQICLSISESIDVLVSEINHFFQKMMILNIPNIAIELLIEREDIPGSRCENVFLANRSNPGPLSTSNVEFLKSGLEDYVLKHGNSLTQKCSTCFATSECLKVGSGIACSTESHKSSGLMMEVVIIISEIESTCPCFRECSSKTEVLYFKDFTPCSISHSTLNVLSTIDWKSYGLTLENVVDQGVCVLEWEDSPSHSQIDMA >Potri.010G041900.5.v4.1 pep chromosome:Pop_tri_v4:10:7307305:7312519:1 gene:Potri.010G041900.v4.1 transcript:Potri.010G041900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041900.v4.1 MPSISTTLPALCCSQITISFHPSNFQAAIKIFNAVSDTGIGSCLEEFQDLNCSSIISAEFWDGILSVKTTAICDDEIYHYHFNLRENISSSRTLTRLPSNPKNGLKFSGTQICLSISESIDVLVSEINHFFQKMMILNIPNIAIELLIEREDIPGSRCENVFLANRSNPGPLSTSNVEFLKSGLEDYVLKHGNSLTQKCSTCFATSECLKVGSGIACSTESHKSSGLMMEVVIIISEIESTCPCFRECSSKTEVLYFKDFTPCSISHSTLNVLSTIDWKSYGLTLENVVDQGVCVLEWEDSPSHSQIDMVLHCYHKQYPMHKTQLERHLIKKAVKAALNNLKEKHPGILLSAHALKICSHAPDLARSIAGLILSSNDPDFQGECFSLLGLQSREIGADVVEDCIQEKIVSVIEMNDRKSRQRKVVAPFLFEDDCDQDSNYQDKEYEEGEDEFSYVD >Potri.010G041900.4.v4.1 pep chromosome:Pop_tri_v4:10:7307305:7312897:1 gene:Potri.010G041900.v4.1 transcript:Potri.010G041900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041900.v4.1 MEISSTSNLCLHLISCAFQRCRLSQQLCRLSAVLKSPSPSILQISISDTGIGSCLEEFQDLNCSSIISAEFWDGILSVKTTAICDDEIYHYHFNLRENISSSRTLTRLPSNPKNGLKFSGTQICLSISESIDVLVSEINHFFQKMMILNIPNIAIELLIEREDIPGSRCENVFLANRSNPGPLSTSNVEFLKSGLEDYVLKHGNSLTQKCSTCFATSECLKVGSGIACSTESHKSSGLMMEVVIIISEIESTCPCFRECSSKTEVLYFKDFTPCSISHSTLNVLSTIDWKSYGLTLENVVDQGVCVLEWEDSPSHSQIDMVLHCYHKQYPMHKTQLERHLIKKAVKAALNNLKEKHPGILLSAHALKICSHAPDLARSIAGLILSSNDPDFQGECFSLLGLQSREIGADVVEDCIQEKIVSVIEMNDRKSRQRKVVAPFLFEDDCDQDSNYQDKEYEEGEDEFSYVD >Potri.002G105050.1.v4.1 pep chromosome:Pop_tri_v4:2:7721734:7724105:-1 gene:Potri.002G105050.v4.1 transcript:Potri.002G105050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105050.v4.1 MNYRMTQEFKPPFRINTLIEEAGALKAEVILKVSAEFPSSITANTIIVQMPLPKYTTRVNFELEPGALGQTTDFKEANRRLEWGLKKIVGGSEHTLRAKLTFSQELHGNITKEAGPVSMTFTIPMYNASRLQVKYLQIAKKSSTYNPYRWVRYVTQANSYVARI >Potri.007G098300.1.v4.1 pep chromosome:Pop_tri_v4:7:12318246:12323253:-1 gene:Potri.007G098300.v4.1 transcript:Potri.007G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098300.v4.1 MELEDVHSDICSLRKLYGLLQGSPDGVQMAGFLDERARVLLKNLLDAATEKALLAYSKIIVAAQLGVSNTPYSSQSVQPKTMPYLEPPVSPDAENASPSTIQSSNAKTNLCVNSAWKNEQSLIKPTPQDSGSEHSKFSVSLNKPEGRKKHCRVCKQSSMKQRNSDKNLNKDGNERDQHRDEACWINGNPKGSHELKLKGLKSAVTFSEEPRRKRNSEIQCQPSVTSSTSWASSSLGSMFVKLGSEFLSGKIDEHREDSESNFFKEVASAMKFSESGMPSSSLQLYGENADSLKANNAAFVHNLSRADNSIRTEMQSIQRDGAVAVGDMSCAKRQNGDGSVAMGETNRAERQNVRGIVERIESLNRASSQKNYQTCQYVSGLQVPPSQGDVVKKSSMHSMKVRQDTPLRNPMARLEKKSTPSVPSIPPSAGSRVPSHKRNLPRQTFNLPTLLDYDDHHNRITPKRSYVDSQEELEETTSSSSNGRLPELSDERASSSSNPHSPELSDATASSSSNPRSPIESYETASSSSSSSPHSSYSWTSQQQTTGSSRGSDEDPEDYYERGDPPLREKGPSRTYRSPSHKKKATGRLRGLKNKLGLIFHHQHHHHHHHHDDNDGEKAPTKSMWKNLHKMFQNKDLKHNDKAYHKKTVEKFGKSVVVSNKKQKAGHFRALVKGLMKHSKHSKKSKPSKGGMGRHLGRAQNGHDNKHWWKMFQRHRRGVKLPNRGRVKLGIPRKKKKPRLKTLK >Potri.010G128100.2.v4.1 pep chromosome:Pop_tri_v4:10:14473347:14479503:-1 gene:Potri.010G128100.v4.1 transcript:Potri.010G128100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128100.v4.1 MGLALGLAVLGLNRFKKSDRFNFDRYTTNTTGTVGNSHGLTEDYWPMFGLGGGVGTVLGWAWLLLLGFQANHMMKISVHILTTYLAVVSVLCFWSEHFLWGVTFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVSYAFMLVMLLWMGLWSFGAAGVVASNINLNGRWWLLVVLSLSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNSLMKSLRYSVTKSFGSICYGSLFTAAIRTLRWEIRGLRSKIGKNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVHGKSFNRSARDAWELFQSTGVEALIAYDCSGAVLLMVTLLGGLITGTCSGVWTHIKWDDDRVTMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIHRWDAEFFNQLSEALHQRLQHRSSRAREVLTQNRLNVGIQENVPL >Potri.010G128100.1.v4.1 pep chromosome:Pop_tri_v4:10:14472290:14479629:-1 gene:Potri.010G128100.v4.1 transcript:Potri.010G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128100.v4.1 MNDSHSSSFDSASKAAIDQNVGRSRRNGNGDLTLATDIPRHWHDIFWLGVFLFHLMGLALGLAVLGLNRFKKSDRFNFDRYTTNTTGTVGNSHGLTEDYWPMFGLGGGVGTVLGWAWLLLLGFQANHMMKISVHILTTYLAVVSVLCFWSEHFLWGVTFAIGAALQFLYVISVIDRLPFTMLVLQKAVKMVWSLPEVMRVSYAFMLVMLLWMGLWSFGAAGVVASNINLNGRWWLLVVLSLSLFWTGAVLCNTVHVIVSGMVFLVLIHGGREAASMPPNSLMKSLRYSVTKSFGSICYGSLFTAAIRTLRWEIRGLRSKIGKNECLLCCVDFLFHLVETLVRFFNKYAYVQIAVHGKSFNRSARDAWELFQSTGVEALIAYDCSGAVLLMVTLLGGLITGTCSGVWTHIKWDDDRVTMVGSTAMLMGMVLVGLAMVVVESAVTSIYICYAEDPLLIHRWDAEFFNQLSEALHQRLQHRSSRAREVLTQNRLNVGIQENVPL >Potri.010G253900.1.v4.1 pep chromosome:Pop_tri_v4:10:22534300:22535685:-1 gene:Potri.010G253900.v4.1 transcript:Potri.010G253900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253900.v4.1 MDIVCKKSQSTTNLRKMVHIATRPKKILQLWLWYWAPQYYRENPKESLLVGSKFSTGGKLVAKGASAPEKVPRGFLAVYVGAEQRRFVIPLSCLSTPEFVGLMDKVAEEFGYDSQGTGLHIPCEEEDFEEILLRCLRLQRDKASSKSRIKRSNTSS >Potri.002G057200.3.v4.1 pep chromosome:Pop_tri_v4:2:3903785:3906977:1 gene:Potri.002G057200.v4.1 transcript:Potri.002G057200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057200.v4.1 MEALSKMSSSDIDHNEDEEVMLPGFRFHPTDEELVGFYLRRKVEKKPLRFELIKQIDIYKYDPWDLPISNAGDREWYFFCIRGRKYRNSIRPNRVTGSGFWKATGIDKPIYSVKEPHECIGLKKSLVYYRGSAGKGTKTDWMMHEFRLPPNGKTTNPKNIAQEAEVWTLCRILKRVPSHKKYAQAAAAKQHPIIDSNSKTCSFESEISAEQNVSFGDSFGQRNERKPVIIDNHVNHERNHFFTGGQYDSITEAPFTAAFQNFWNPDVGDDFFANGNWDELRTVVELGAIDPAQAYDYCR >Potri.010G219600.5.v4.1 pep chromosome:Pop_tri_v4:10:20518596:20527343:-1 gene:Potri.010G219600.v4.1 transcript:Potri.010G219600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219600.v4.1 MEMNLVASCKGKLAYFRIKELKDILSLLGLSKQGKKQDLMDRVLGLLSDDEICSARSFVRKQQIGKEAVVKIIDDAYRKMHITDASDLAVGAPSGFHTMSVKEEVEDFISPEKRIRCPCGSSLPTEFMIQCIDSKCQVQQHISCVIFLENPVESDHPIPPVFYCETCRIDRADPFWVTVAHLSLPVKLTSSNISMDGNITSQKVETTFQLTRSDQHLLQNCEYDVQAWCMLLNDNVLFRMQWPLFANLQVNDMSVRMLDRLVSQSLGANGRDDGAQIKLCIREGINRISLSGCDSRVFCFGIRLVKRRTVEQVLNLIPKVGESFEDALARVCRCIGGGMSTTNEDSDSDLEVIAEAITVNLRCPMSGSRMKIAGRFKPCAHMGCFDLETFVKLNQRSRKWQCPICLKNYCLEDIVIDPYFNRITTLMGHCEEDITEIEVKPDGSWTVKTKVDIGDLRQWHFPDGSLCALTDEVTSCYKIPRQIEKGDGLKAHFSPETGIKNNLSGIVQGRNPQLAFCSSKNQLEGSFVNHGQRTLTMSSSTTGSGGDEEDPSINQDYSGHVEISPSSVNEINSICHYFDPTLAINHGSSVAPGNADIIILSDSDEENVNLVPPETVYDTYRVNGSGSSLAVNPGITDSYLEDLALDAGANSCFGLFDTGVNDVGMSNWSYSSCTQAGPHFQLFNTDPDVSDAFIDLDHPSISCAVPMNGCTLASTPAITSGGEVLDSLACDANVDMDVGLVDNPMRFVAEDPSLQTFLPVQPVQPDLVHKPPVSNRAPTEDWFPLSLSSTSESFGNHTRNHDQGAAKIGVDLRNQLGSNQGA >Potri.010G219600.6.v4.1 pep chromosome:Pop_tri_v4:10:20517479:20527344:-1 gene:Potri.010G219600.v4.1 transcript:Potri.010G219600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219600.v4.1 MEMNLVASCKGKLAYFRIKELKDILSLLGLSKQGKKQDLMDRVLGLLSDDEICSARSFVRKQQIGKEAVVKIIDDAYRKMHITDASDLAVGAPSGFHTMSVKEEVEDFISPEKRIRCPCGSSLPTEFMIQCIDSKCQVQQHISCVIFLENPVESDHPIPPVFYCETCRIDRADPFWVTVAHLSLPVKLTSSNISMDGNITSQKVETTFQLTRSDQHLLQNCEYDVQAWCMLLNDNVLFRMQWPLFANLQVNDMSVRMLDRLVSQSLGANGRDDGAQIKLCIREGINRISLSGCDSRVFCFGIRLVKRRTVEQVLNLIPKVGESFEDALARVCRCIGGGMSTTNEDSDSDLEVIAEAITVNLRCPMSGSRMKIAGRFKPCAHMGCFDLETFVKLNQRSRKWQCPICLKNYCLEDIVIDPYFNRITTLMGHCEEDITEIEVKPDGSWTVKTKVDIGDLRQWHFPDGSLCALTDEVTSCYKIPRQIEKGDGLKAHFSPETGIKNNLSGIVQGRNPQLAFCSSKNQLEGSFVNHGQRTLTMSSSTTGSGGDEEDPSINQDYSGHVEISPSSVNEINSICHYFDPTLAINHGSSVAPGNADIIILSDSDEENVNLVPPETVYDTYRVNGSGSSLAVNPGITDSYLEDLALDAGANSCFGLFDTGVNDVGMSNWSYSSCTQAGPHFQLFNTDPDVSDAFIDLDHPSISCAVPMNGCTLASTPAITSGGEVLDSLACDANVDMDVGLVDNPMRFVAEDPSLQTFLPVQPVQPDLVHKPPVSNRAPTEDWFPLSLSSTSESFGNHTRNHDQGAAKIGVDLRNQLGSNQATSVAALNDEARSNRKCNKKFSDGPFSFPRQPRSVRQRVYSQ >Potri.006G268400.1.v4.1 pep chromosome:Pop_tri_v4:6:26274811:26279878:1 gene:Potri.006G268400.v4.1 transcript:Potri.006G268400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G268400.v4.1 MIMKKYSLNPVLSFFFFLSLMILFSEMGVAQNTTSSIPVNVGVVLDLASLEANIALSCINMALSDFYASHGDYKTRLVLNTRDSKKDVIGAAAAALDLIKNVEVQAILGPTTSMQANFVIDLGEKAQVPIISFSATSPSLTSIRSSYFLRATQNDSAQVNAISAIVQAFGWREAVPIYIDNEYGEGIIPYLIDALQEVDARVPYRSVISPSATDDQIVEELYRLMTMQTRVFIVHMYPSLGTRLFTKAKEIGMMSEGYVWIMTDGLSVDFLSSPNHSVTDTIQGVLGIKPYVPRTKELEYFRAQWKRKFLRDNPNKIDAELNIYGLLAYDAATALALAVEKAGTTNFGFQKANVSSNSSTDLATLGISLNGPNILQALSTTSFKGLTGDYLFVDGQLQSPAFQIVNVNGNGGRGIGFWTPTEGLVKKMNPRINKRMNSTSTSRVSTVIFPGDTTAVPKGWEIPTNEKKLKIGVPLKAGFSELVAVTKDPGSNTTTFTGFCIDVFDAVVKALPYALPYEYTPFANSDGEPAGTYNDLAYQVYLKNYDAVVGDITIVYNRSLYIDYTLPFTESGVSMIVPIVDNNSKNAWVFMKPLTWDLWVTSFLFFVFIGFVVWVLEHRINDDFRGSASDQAGTSFWFSFSTMVFAQREKVVSNLSRAVIIIWCFVVLILTQSYTASLASLLTVEQLQPTVTDVRELIKKGEYVGYQKGSFVLGLLLDLGFDKSKLMVYSSAEECHHLFSKGSGNGGIAAAFDELAFIKLILSRYCSKYTMIDPKFKTGGFGFVFPKGSPLVADISRAILNVTEGDKMKQIEGAWFGKKSTCPDSSPSISSNSLSLKSFWGLFLIAGLAALLALIIFVVMFVYRERNVLRSSDSTASIWSRIENFFRIFIQRDSTSSTFRQSDLKDRNGISLPPMCAPSPSDYSVHTEYPANRSSASYDSSPNREAPQEVV >Potri.008G154800.1.v4.1 pep chromosome:Pop_tri_v4:8:10603409:10603924:1 gene:Potri.008G154800.v4.1 transcript:Potri.008G154800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154800.v4.1 MDSSRISLRPFKLSDVDDFLKWASDDRVTRYLRWNSITSREEALAHLEKVAIPHPWRRSICLDDRSIGYISIFPESNDDRCRANFGYALAAEYWGQGIATIASKMAVSSVFQDLPYLVRLQALVEVENRSSQRVLEKTGFVKEGLLRKYGYCKGEIRDMFVYSFLSTDLVL >Potri.006G199200.1.v4.1 pep chromosome:Pop_tri_v4:6:20677616:20684073:-1 gene:Potri.006G199200.v4.1 transcript:Potri.006G199200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199200.v4.1 MAKVAAPGGLLGSVTVQIGGGGGGASLTDGPMVRRKTPSELRGEQLKRTKVLEIVDESPMGSKNNSSAVDNGPRKPDASRTPRYIDTRMDEVYPVKKSRLRMLSVKDSAKEITSTEQPISLKNITMLSTLAAKRRQLSRPENSVASDEVSKDGVVQPRQTIENCSQSIFRSVAQLSSSCEKSSGLAFVDMDKALKGLVAHEMPYTSGLNAASEIAGNHSGNFCSECNIAGLKAPLDFTLKTRMRVASSCSVNQIHRSIMSSTYNGMPQLASQFGDSQDNRSSGQALASQILSSKALHSWVYPQSTLPAAVISVLTLSATEGDFIRKRQLAWEDSFRSLYYMLRKNICNIFYVCTSQFVVMFTNSDGPGRTAHLCNAYISQSTRGLRSLLREHDICFSMPLCHSKVEQVTTEDLVELSEIEKQNLGQTRRLSSLSDVDNSPQSLLAFCGNKNVHGLYDFLLNYRSSLTFLSGVDVPVLYSPVPFQNAALSAPEIKCVEVKRADNNAASPKGTGSSQGSSTGLLSSIEIKDACIPPWIVCRVCALMGSEGRNFEASFTTERTSIGLNAALETACEKPDQAAAVEGLQESSHAFGIPEATVAPCLGSGFLKGLKYCDGSYTASLSPA >Potri.016G037100.1.v4.1 pep chromosome:Pop_tri_v4:16:2252336:2252542:-1 gene:Potri.016G037100.v4.1 transcript:Potri.016G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037100.v4.1 MVSYYTTRFIIVMVMLTVSILVLPPVLPPLPPPPLVLLFVPVMIMSLLVLLALSPISQMPNVETTSAI >Potri.002G019200.1.v4.1 pep chromosome:Pop_tri_v4:2:1157434:1159482:1 gene:Potri.002G019200.v4.1 transcript:Potri.002G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019200.v4.1 MSRCFPYPPPGYYRIESIKIQKEKELSVTESYREAKKEKKGRRQRKENSNQTGYYGVKSQQEGKGRLPKEKREEAEKSGLTEEHNEPVCLQNVCYLSDDGIRSNKRRKLDSSTTTDDKPRNVFRIRLPLTRHKEPDVSLNSKGLCSTSGGADSVSGQSEIVRLSDQETVNSKAGELASPPENIPCSSVSDKLESSVSETSWFRFHDRKTLKADSQYKGLVEDWVPPPLQFELKDSDDEEWLFGTLKQERHGNKRLNARHDISCRESSTLWPRAHYLPESDVYALPYTIPF >Potri.007G045400.1.v4.1 pep chromosome:Pop_tri_v4:7:3999371:4008436:-1 gene:Potri.007G045400.v4.1 transcript:Potri.007G045400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045400.v4.1 MVEKVKANCNYSGRVVEGPTNPIVTPLLTDLYQFTMAYAYWKANKHQERAVFDLYFRKNPFGGEYTVFAGLEECIRLIANFKFTEDDISFIRESLPGSCEDGFFDYLRGLDCSEVEVYAIAEGSAVFPKVPLLRIEGPIAVAQLLETPLVNLINFASLVATNAARHRFVAGKSKSLLEFGLRRAQGPDGGLSASKYCYLGGFDATSNVAAGRLFGIPLRGTHSHAFVSSYMSPDEIIDKSLQSADGSSSCEDFVSSVQTWINKIQWSNSLRGSFGETNHSELAAFTSYALAFPRSFLALVDTYDVMRSGIPNFCAVALALNDLGYKAVGIRLDSGDLAYLSCESRKFFSAIEKEFGVPGFGKMGITASNDLNEETLDALNKQGHEVDSYGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRSYRLYGREGYPLVDIMTGENEPSPKVGERILCRHPFNESKRAYVVPQQVEELLKCYWPGSSDKPREDLPPLKDIRDSCIKQLERMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >Potri.003G088100.1.v4.1 pep chromosome:Pop_tri_v4:3:11476033:11477234:-1 gene:Potri.003G088100.v4.1 transcript:Potri.003G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088100.v4.1 MKDELSEETLVQAPASAVWSAYRGLELGRLTDKLLGHVVGKVEVIEGDGGVGTIVKLTFPGTSGGYMKEIFRIMDDEKRVKETEMIEGGYIDLGFDVYRIRLEIIEKDAESTVIRSTVKYEFDDTKTELASLVTVKPLQTMAEEIGKYVSEKKYDA >Potri.019G058600.1.v4.1 pep chromosome:Pop_tri_v4:19:9784139:9789096:-1 gene:Potri.019G058600.v4.1 transcript:Potri.019G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058600.v4.1 MLRAKELLSLLVFLVLAFQCVRSLNPSLNDDVLGLIVFKADLQDPMRKLSSWNQDDDTPCNWFGVKCNPRSNRVAELTLDGLSLSGRIGRGLLQLQFLHKLSLSRNNLTGSINPNLTRLESLRIIDLSENSLSGTISEDFFKECAALRDLSLANNKFSGKIPGSLSSCASLASINLSSNQFTGSLPAGIWGLNGLRSLDLSGNLLDGEIPKGIEVLNNLRRINLSKNRFNGEVPDGIGSCLLLRSVDFSENMLSGHIPDTMQKLGLCDYLSLSSNMFTGEVPNWIGELNRLETLDLSGNRFSGQVPISIGKLQLLKVLNLSANGLSGNLPESMANCGNLLALDFSQNLLSGDLPTWIFGSRSEKVLHLENKLSGKFSSAPRLQFLDLSHNDFSGKIASSIGVLSSLQFLNLSKNSLFGPVPGTFGDLKELDILDLSDNKLNGSIPTEIGGAFALKELRLERNSLSGQIPDSIGNCSSLMTLILSQNNLAGTIPAAIAKLGNLKDVDLSLNSLTGSLPKQLANLPNLISFNISHNNLQGELPAGVFFNTISPSSVSGNPSLCGAAVNKSCPAVLPKPIVLNPNSSSDSTPGSLPQNPGHKRIILSISALIAIGAAAVIVVGVIAITVLNLRVRSSTSRSAAALTLSAGDGFSDSPTTDANSGKLVMFTGKPDFSTGAHALLNKDCELGRGGFGAVYQTVLRDGHPVAIKKLTVSSLVKSQEDFEREVKKLGKIRHQNLVALEGYYWTQSLQLLIYEFVSGGSLYKHLHEGSGGHFLSWNERFNIILGTAKSLAHLHQSNIIHYNIKSSNVLLDSSGEPKVGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECVDGRLMGNFPADEVVPVMKLGLICTLQVPSNRPDMGEVINILDLIRCPSEGQEDSG >Potri.019G058600.2.v4.1 pep chromosome:Pop_tri_v4:19:9784694:9789075:-1 gene:Potri.019G058600.v4.1 transcript:Potri.019G058600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058600.v4.1 MLRAKELLSLLVFLVLAFQCVRSLNPSLNDDVLGLIVFKADLQDPMRKLSSWNQDDDTPCNWFGVKCNPRSNRVAELTLDGLSLSGRIGRGLLQLQFLHKLSLSRNNLTGSINPNLTRLESLRIIDLSENSLSGTISEDFFKECAALRDLSLANNKFSGKIPGSLSSCASLASINLSSNQFTGSLPAGIWGLNGLRSLDLSGNLLDGEIPKGIEVLNNLRRINLSKNRFNGEVPDGIGSCLLLRSVDFSENMLSGHIPDTMQKLGLCDYLSLSSNMFTGEVPNWIGELNRLETLDLSGNRFSGQVPISIGKLQLLKVLNLSANGLSGNLPESMANCGNLLALDFSQNLLSGDLPTWIFGSRSEKVLHLENKLSGKFSSAPRLQFLDLSHNDFSGKIASSIGVLSSLQFLNLSKNSLFGPVPGTFGDLKELDILDLSDNKLNGSIPTEIGGAFALKELRLERNSLSGQIPDSIGNCSSLMTLILSQNNLAGTIPAAIAKLGNLKDVDLSLNSLTGSLPKQLANLPNLISFNISHNNLQGELPAGVFFNTISPSSVSGNPSLCGAAVNKSCPAVLPKPIVLNPNSSSDSTPGSLPQNPGHKRIILSISALIAIGAAAVIVVGVIAITVLNLRVRSSTSRSAAALTLSAGDGFSDSPTTDANSGKLVMFTGKPDFSTGAHALLNKDCELGRGGFGAVYQTVLRDGHPVAIKKLTVSSLVKSQEDFEREVKKLGKIRHQNLVALEGYYWTQSLQLLIYEFVSGGSLYKHLHEGSGGHFLSWNERFNIILGTAKSLAHLHQSNIIHYNIKSSNVLLDSSGEPKVGDFGLARLLPMLDRYVLSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEIVTGKRPVEYMEDDVVVLCDMVRGALEEGRVEECVDGRLMGNFPADEVVPVMKLGLICTLQVPSNRPDMGEVINILDLIRCPSEGQEDSG >Potri.001G040300.2.v4.1 pep chromosome:Pop_tri_v4:1:2891220:2893028:1 gene:Potri.001G040300.v4.1 transcript:Potri.001G040300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040300.v4.1 MVLDSMITSPHRRSPSFRKQFPRDELGSWSTLLQRHRFLLTALALLAFLCTIYLYFAVTLGAIESCSGLTGTKKTLCRLELAEDSGGNGKLKFF >Potri.001G040300.3.v4.1 pep chromosome:Pop_tri_v4:1:2891220:2893028:1 gene:Potri.001G040300.v4.1 transcript:Potri.001G040300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040300.v4.1 MVLDSMITSPHRRSPSFRKQFPRDELGSWSTLLQRHRFLLTALALLAFLCTIYLYFAVTLGAIESCSGLTGTKKTLCRLELAEDSGGNGKLKFF >Potri.016G094700.4.v4.1 pep chromosome:Pop_tri_v4:16:8739669:8744116:1 gene:Potri.016G094700.v4.1 transcript:Potri.016G094700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G094700.v4.1 MVIYEQDSDVIQWGLRLLDGDPPYYSGYYGDAIIQSDDGYHGHYVRDHYDISDCSHVESDEMIARTLQEEFSQLAVTEATEYSHGGEEHLHTSVDEHPWQCTPTRNYCSDNECSHEESDDAVPSSSCSSPANGEEYSYSPESNDDYELDDEVGKRLNQLIPIRHVPRINGEIPSIDEATSDHERLLNRLQLFGFDELKVQGDGNCQFRALSDQIYNTPDRHKTVRRQVVYQLNSHPEIYEGYVPMEYGEYLRKMSRSGEWGDHVTLQAAADSYGVKILVMTSFKDTCYIEILPVSQKPKGVIFLSFWAEVHYNSIYFQGDTSSEFRKKKRWWSFGNKH >Potri.005G008666.1.v4.1 pep chromosome:Pop_tri_v4:5:737368:738569:-1 gene:Potri.005G008666.v4.1 transcript:Potri.005G008666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008666.v4.1 MSLFWMIYIWTVNAWETIKYAFPDCNCGSRIIFTTRLSNLAESIENTSHVYELQALAENEAWILFCMKAFRGEHKAVCPPELEEMSRNILKKCEGLPLAIVAIGGLLSKRKNGGLEWKKVHDCLATELKSNDDLGSLRRILQLSYDNLPYYLKQCYLYLSVFPEDYLIKRRKLIRLWIVERFVEEKQGFTMEEVAEEYLNELVNRILIQVVEMNYFNRVKTCRVHDLMREIIQMKSREESFVMMANGTRIS >Potri.001G467200.2.v4.1 pep chromosome:Pop_tri_v4:1:49200571:49202083:1 gene:Potri.001G467200.v4.1 transcript:Potri.001G467200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467200.v4.1 MQSWFSSSGEDQQQEKPPSSLLADWNSYAAKSDVNEYTNGLGSFGSFDLEAAVRSANDTVSGTFNVYNTEFPGPGFDYSSHAAVSRGISGSCL >Potri.004G156300.1.v4.1 pep chromosome:Pop_tri_v4:4:17749437:17751352:1 gene:Potri.004G156300.v4.1 transcript:Potri.004G156300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156300.v4.1 MGSATMKVYAAYIAVILAHFALLSLMSAATTGSIDMSTAILIRVEQSGKGDFKKIQDAIDSVPSNNSELVFIWVKPGTYREKIVVPADKPFITLSGTQPSDTIITWNDGGNIMESPTLTVLASDFVGRYLTIQNTFGSAGKAVALRVSGDRAAFYGCRILSYQDTLLDDTGSHYYSNCYIEGATDFICGNAASLFERCHLHSISTNNGSITAQHRNLASENTGLVFLGCKITGAGTTFLGRPWGAYSRVLYAFTYMSGVIAPAGWDDWADPSKHSTVFYAEYKCYGPGADRSKRVGWSQSLSNDDAAPLLTKDMIGGSSWLRPAPTSFKRGSTIIKPGSKL >Potri.011G029900.2.v4.1 pep chromosome:Pop_tri_v4:11:2429285:2430303:-1 gene:Potri.011G029900.v4.1 transcript:Potri.011G029900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029900.v4.1 MLRYANHTIFNSMEFGPYFWMYNPVNVTDENEFNEVLNALLGRLINFAALGDSRRKFAAGNATAEKSQQTMYALVQCTPDLTQQQCSDCLNQAIKLIPTCCSKRQGGRVVSPSCHFRYEKDPFYDLASTSPLPP >Potri.010G167300.21.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17035657:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.12.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.17.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MSCNPICKLVQNPFSLFPFVLSFSFLSLLFLVPTFKIPFHHPFFSPRLICYFRMGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.14.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.10.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.18.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.23.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.25.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.1.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MSCNPICKLVQNPFSLFPFVLSFSFLSLLFLVPTFKIPFHHPFFSPRLICYFRMGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.8.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.15.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17035657:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.13.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17035657:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.7.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.19.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17035657:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.24.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.20.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.16.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MSCNPICKLVQNPFSLFPFVLSFSFLSLLFLVPTFKIPFHHPFFSPRLICYFRMGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSKLSFCSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.9.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRDAECTASTSFGSYSGYFGAGKNSELSSSGQESGMNGILDELNGTASLRLRLAGQYPYLPGPYNLNLLNDTKFQPAAEMNIQKGPGDFNVSGSFEAPKPEYDSGPHGWASTSGSCAVTMFDDHLYAPQPH >Potri.010G167300.11.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MSCNPICKLVQNPFSLFPFVLSFSFLSLLFLVPTFKIPFHHPFFSPRLICYFRMGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.010G167300.22.v4.1 pep chromosome:Pop_tri_v4:10:17030244:17036262:-1 gene:Potri.010G167300.v4.1 transcript:Potri.010G167300.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167300.v4.1 MGRVKLKIKKLENTNGRQATFAKRKHGIMKKANELSILCDIDIILLMFSPTGKPSLCKGASSSIEEVITKFAQLTPQERAKRKLESLEALKKTFKKLDHDVNIPEFLGTSSQTIEDLTSQSRLLQNQLSDVHKRLSYWTNPDKINSIEHLGQLENSLRESLNQIRSCKENLGKQHLMSLDCHTQFQNEMHVPFRMGAEQQLSPLLWIPNNDSQHIMLPEEQNLLPHRKKF >Potri.017G120400.3.v4.1 pep chromosome:Pop_tri_v4:17:12644491:12647215:-1 gene:Potri.017G120400.v4.1 transcript:Potri.017G120400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120400.v4.1 MSSLAAPLMLVAMTLYLLQVVSMMMAGHLSALSLSGVSIATSFTNVTGFSLVCQSMVLPMLLSNCATVCIHIPLCWALVYKWELGYIGAALAIGLSYWLNVFFLALYMAFSSSCEKTRGLYLDDIFSSIKEFLHIAFPSAAMICLEWWTFELLLLLAGLLPDSKLETSVLSVCLTTVSLHYYVQYGISAAGSTRVSNELGAGNPEIARGVVYVSLILSTTEAVIVSTALFFCRHIFGYAFSNDKGVVDYVAEVAPLICLSIIMDSFQIVLSGIVRGCGWQHIGAFVNLGAYDLVAAPIAVLLCFVAHLRAKGLWIGILTGTTVQATSYVVITALINWKKQASEARKRIFEGTCSTNDELP >Potri.017G120400.1.v4.1 pep chromosome:Pop_tri_v4:17:12644405:12647378:-1 gene:Potri.017G120400.v4.1 transcript:Potri.017G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120400.v4.1 MDQALLHKTEDRASLLTWGAFVEELKKMSSLAAPLMLVAMTLYLLQVVSMMMAGHLSALSLSGVSIATSFTNVTGFSLVIGLAGGLETLCGQAYGAGQYKKFGSYTYGAMISLIPICLPVSVLWIFMDRIQIAIGIDSDISIVARKYAICLVPALFANAILIPLLRYFQCQSMVLPMLLSNCATVCIHIPLCWALVYKWELGYIGAALAIGLSYWLNVFFLALYMAFSSSCEKTRGLYLDDIFSSIKEFLHIAFPSAAMICLEWWTFELLLLLAGLLPDSKLETSVLSVCLTTVSLHYYVQYGISAAGSTRVSNELGAGNPEIARGVVYVSLILSTTEAVIVSTALFFCRHIFGYAFSNDKGVVDYVAEVAPLICLSIIMDSFQIVLSGIVRGCGWQHIGAFVNLGAYDLVAAPIAVLLCFVAHLRAKGLWIGILTGTTVQATSYVVITALINWKKQASEARKRIFEGTCSTNDELP >Potri.008G101100.2.v4.1 pep chromosome:Pop_tri_v4:8:6347364:6350753:1 gene:Potri.008G101100.v4.1 transcript:Potri.008G101100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101100.v4.1 MASLAQQFAGLRCPPISISRLTERAATFSKPKRAANFVVTAKAVAISNAQTKEREKLKQLFEEAYERCRTAPMEGVSFTVEDFHSALDAYDFNSEIGTRVKGTVFMTDNNGALVDITAKSSAYLPVQEASIHRIKRLEEAGIVPGMREEFVIIGENEADDSLILSLRSIHYELAWERCRQLQAEDVIVKGKVVGGNKGGLVAVVEGLRGFVPFSQISSKSTPEELLDKEFPLKFVDVDEEQSRLILSNRKAMADSQAQLGIGSVVTGTVQSLKPYGAFIDIGGINGLLHVSQISHDRVSDIATVLQPGDTLKVMILSHDRERGRVSLSTKKLEPTPGDMIRNPKLVFEKAEEMAQTFRQRIAQAEAMARADMLRFQPESGLTLSSDGILSPLNSDLPAEGLDLSDVSPADVSDGISEKESD >Potri.011G164200.1.v4.1 pep chromosome:Pop_tri_v4:11:18908267:18910216:1 gene:Potri.011G164200.v4.1 transcript:Potri.011G164200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164200.v4.1 MAPTKAVVADDHLALPAGGKNTPLTNTIPISNVQTDIYGLEIWEGTLPIIDLGGIHGPRRSDTIKQLGHACQHYGGFMLKNHGISERLLNDIMSKAREFFHLPEEERMKLYSPDPTSLIRLATGFKDDNQNVFVSRESLKFHCHPIENYENLWPTNPPSYREVVSEYCVAAKRAEITLLEAVFEGLGMERKSIDQILDNHGQYASLNYYPTCDKSNLGLTFGLRGHTDPTILTMLLPDEVPGLEILQDGDWVPVKPIPNTLIVHVGDVLQGLSNCRYKSLLHRVIVNSEKERLSIASYCYPSNDTQMGPPKELIDDDHPLIYKDYTYEEFYTTMWKQRLPDASRLDSFKVSAA >Potri.006G126600.1.v4.1 pep chromosome:Pop_tri_v4:6:10159704:10163259:-1 gene:Potri.006G126600.v4.1 transcript:Potri.006G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126600.v4.1 MTDQSSRLSITNLRTASQLIKQTATIFSSNLFTLIFLSLLLFSFRTFIENGTHVLTSFIDRDPSLKSLLSRLDLAGQPHPSHPHHRIHTRLPRRRRPFLHLTRVGTLDDDFFSADDEADSSLFPKFPPNGSFVILHNFDPKFGFSDLVIDNGIKVPEIVRSGIQFKAAEENHSFLDSKNNNNEIESSSEDFNNNESGKEGEDKILDLQFLVKGLELGRRDTAALFFLVSFLSAAYGWVILGFTAIYSWILGVVFIVVVNDLLGRYGSFIGVVWNGSRLGSKRLAGFILMRWAVRDALTQLVGLWFFGEIEDQYSFFKLFVRLKLMPFSVMFPWINGFDKEISGFFVTWMLVDVVVGFIFAVDAWVTVVDTRRTGREILKEGCYLISTMFHQAVQLKCYEEILCGSAARWVFARVFGKFFAILLQSAFEVYFMVAWLIFYFVARCKEADSDGRRFGRRELEVLIDGLR >Potri.017G046750.1.v4.1 pep chromosome:Pop_tri_v4:17:3309107:3309786:1 gene:Potri.017G046750.v4.1 transcript:Potri.017G046750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G046750.v4.1 MAAFKCLILSLFIALSFLGGEAARNLLQLPNLPKPALPPLPSIPSLPQPTLPALPTTQPSLPNPNLPPLPSLPTMPTMPAVPKVSLPPLPSMPTLPTVVPKASLPPLPSMPSIPNIPLPTTIPSIPFLSPPPAGN >Potri.014G090300.1.v4.1 pep chromosome:Pop_tri_v4:14:5870018:5871713:1 gene:Potri.014G090300.v4.1 transcript:Potri.014G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090300.v4.1 MEDIDWDLHAVVRGCTTSTSTTSTTTTTINSNSNSTASGYRSDYYPQYSCFSGFGSEQVGHLFSHPDPFETRNAIGELHELYKPFFPKSQQPIYSPQACTPLSSFSSFTSFTKEQPQQQQKQSQPKQSQTGSVTSSANSHTPRSKRRKNQLKKVCQVPAEALSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPGMFIVTYTAEHNHPAPTHRNSLAGSTRQKTSTPQTGKANDSSKPSSPAKPTCSSPATSLEDELLARSTSNTELSREEKDITEDDDEDEIGGFSDIAVSDDFFAGLEEFVGPATGDYFPDHFPASFGQPWLANNAATAAESI >Potri.001G225804.1.v4.1 pep chromosome:Pop_tri_v4:1:24388948:24393530:1 gene:Potri.001G225804.v4.1 transcript:Potri.001G225804.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225804.v4.1 MSFLLSKQRSSPKPSQICPSIVTIAEKPNSFMLGKVTQARDSKTLQNRAGKSHTRERQRSQTALRGWKSWPPKELW >Potri.001G023300.2.v4.1 pep chromosome:Pop_tri_v4:1:1797654:1798640:-1 gene:Potri.001G023300.v4.1 transcript:Potri.001G023300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023300.v4.1 MAISNVHYFMILAILAVIGILIPSNNVALGQECHGDFQGLITQCSKYVQIQGPQTDPSPDCCNTIKTLDVPCVCKQVTNDIEAVINMAKVAHVAQYCGIPLAHGTKCGSFTIP >Potri.011G125300.1.v4.1 pep chromosome:Pop_tri_v4:11:15792852:15793596:-1 gene:Potri.011G125300.v4.1 transcript:Potri.011G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125300.v4.1 MALIGHAVEFQDYLPSMMQTLGTEGFMLELCSGFRLLMDVDKGLITLESLKRNSMLLGMQDMRDDDLVCMLMEGDLDGDGAINQMEFCILMFRLSPGLMNGSKQWVEELYANDM >Potri.001G100700.1.v4.1 pep chromosome:Pop_tri_v4:1:8050234:8053952:1 gene:Potri.001G100700.v4.1 transcript:Potri.001G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100700.v4.1 MKVNTQKDPDKIIWDQMRSPSSTLISGSQNRTLPKLMVWLILFVSVTYVVYTLKLVSTSRACNNEPFTTNRHLSSISNNNSLPLIRNHTSLAIPRRENQEPRLETGFQHIVFGIAASAKLWEQRKNYIKIWYKPQEMRGIVWLDDKVKNQEREDSNLPPIKISRDTSRFSYTNKQGHRSAIRISRIVSETLRLGLKNVRWFVMGDDDTFFIAENLVRILRKYDHNQYYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALDKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKEVGFHQYDVYGNLFGLLASHPVTPLVSLHHLDVVEPIFPNATRVEALRWLTVPMKLDSAGLMQQSICYDKSKRWTISVSWGFAVQIFRGVFSPREIEMPSRTFLNWYRKADYTAYAFNTRPVSRNPCQKPFVFYLLKAKFDSSLNTTVSEYGRHHVPHPACKWKMADPDKIETIVVHKKPDPHLWNRSPRRNCCQVMNSKKKGSVMVNVGVCRDGEISEV >Potri.001G100700.2.v4.1 pep chromosome:Pop_tri_v4:1:8050231:8053405:1 gene:Potri.001G100700.v4.1 transcript:Potri.001G100700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100700.v4.1 MKVNTQKDPDKIIWDQMRSPSSTLISGSQNRTLPKLMVWLILFVSVTYVVYTLKLVSTSRACNNEPFTTNRHLSSISNNNSLPLIRNHTSLAIPRRENQEPRLETGFQHIVFGIAASAKLWEQRKNYIKIWYKPQEMRGIVWLDDKVKNQEREDSNLPPIKISRDTSRFSYTNKQGHRSAIRISRIVSETLRLGLKNVRWFVMGDDDTFFIAENLVRILRKYDHNQYYYIGSLSESHLQNIFFSYGMAYGGGGFAISYPLAKALDKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKEVGFHQVIKFLAVFHML >Potri.016G014500.1.v4.1 pep chromosome:Pop_tri_v4:16:770954:773390:1 gene:Potri.016G014500.v4.1 transcript:Potri.016G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014500.v4.1 MAPAQTTTPKNALVFVPAPGIGHLVSVMEFAKRLLERDDSFSITMLLMSPPFAHDVTTYVEKLNAAHPEFQFLGLPSVTPPPLEDVLACPEHFVSVFIADHKNHVKDMIVNHVLSNKSVKLAGLVLDLFCTAFVDVAKDLGVPSYIFFASGAAFLGSMLYLPDRFDKGGVTYKPTDPDSIIPSYINPVPSRVLPSLLFHDGGYSTFVSHARKFKEAKGIIVNTFAELESHAVNYLNGEAGVPHVYTVGPVVDHKGNSPVADGNQREEIMNWLDAQPQKSVVFLCFGSQGSFGVPQLKEIALGLEQSGQRFLWSIRRPPSQESLNPGEVNDFSELLPEGFLGRTKNVGFICGWAPQVEVLAHKATGAFVSHCGWNSILESTWYGVPVVTWPLYGEQQINAFQLVKDAGVAIEMKMDYRKDGGEVVKADQVAKAVKDVIEGASDVKSKVKAMSETGRKALLEGGSSYVAFETLVGVLSGNKA >Potri.016G118400.5.v4.1 pep chromosome:Pop_tri_v4:16:12374860:12377945:1 gene:Potri.016G118400.v4.1 transcript:Potri.016G118400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118400.v4.1 MDAGSLNSSSYLKAQSRFPLQEQFLHRKNSKDNGRKGGKENPTATVNSPSREAYRKQLAEALNLNRTRILAFKNKPPTPVELIPRDHLSSSLHYQAKPTKPRRYIPQTSERTLDAPDLVDDFYLNLLDWGSKNVLAIALENTVYLWDASNGSTSELVTVGDEVGPVTSVNWAPDGLHLAIGLNNSNVQLWDSASCKQLRNLRGCHRSRVGSMAWNNHILTTGGMDGKIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNIIHIWDRSVASSNSATQWFHRLEEHTSAVKALAWCPFQGNLLASGGGGGDRSIKFWNTHTGACLNSIDTGSQVCALLWNKNERELLSSHGFTQNQLVLWKYPSMLKMAELTGHTSRVLYMAQSPDGCTVATAAGDETLRFWNVFGVPEVAKAAPKANPEPFSRFNRIR >Potri.016G118400.1.v4.1 pep chromosome:Pop_tri_v4:16:12374860:12377945:1 gene:Potri.016G118400.v4.1 transcript:Potri.016G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118400.v4.1 MDAGSLNSSSYLKAQSRFPLQEQFLHRKNSKDNLDRFIPNRSAMDLDYAHYMLTQGRKGGKENPTATVNSPSREAYRKQLAEALNLNRTRILAFKNKPPTPVELIPRDHLSSSLHYQAKPTKPRRYIPQTSERTLDAPDLVDDFYLNLLDWGSKNVLAIALENTVYLWDASNGSTSELVTVGDEVGPVTSVNWAPDGLHLAIGLNNSNVQLWDSASCKQLRNLRGCHRSRVGSMAWNNHILTTGGMDGKIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNIIHIWDRSVASSNSATQWFHRLEEHTSAVKALAWCPFQGNLLASGGGGGDRSIKFWNTHTGACLNSIDTGSQVCALLWNKNERELLSSHGFTQNQLVLWKYPSMLKMAELTGHTSRVLYMAQSPDGCTVATAAGDETLRFWNVFGVPEVAKAAPKANPEPFSRFNRIR >Potri.016G118400.4.v4.1 pep chromosome:Pop_tri_v4:16:12374938:12377315:1 gene:Potri.016G118400.v4.1 transcript:Potri.016G118400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118400.v4.1 MDAGSLNSSSYLKAQSRFPLQEQFLHRKNSKDNLDRFIPNRSAMDLDYAHYMLTQGRKGGKENPTATVNSPSREAYRKQLAEALNLNRTRILAFKNKPPTPVELIPRDHLSSSLHYQAKPTKPRRYIPQTSERTLDAPDLVDDFYLNLLDWGSKNVLAIALENTVYLWDASNGSTSELVTVGDEVGPVTSVNWAPDGLHLAIGLNNSNVQLWDSASCKQLRNLRGCHRSRVGSMAWNNHILTTGGMDGKIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNIIHIWDRSVASSNSATQWFHRLEEHTSAVKALAWCPFQGNLLASGGGGGDRSIKFWNTHTGACLNSIDTGSQVCALLWNKNERELLSSHGFTQNQLVLWKYPSMLKMAELTGHTSRVLYMAQSPDGCTVATAAGDETLRFWNVFGVPEVAKAAPKANPEPFSRFNRIR >Potri.016G118400.2.v4.1 pep chromosome:Pop_tri_v4:16:12374936:12377947:1 gene:Potri.016G118400.v4.1 transcript:Potri.016G118400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118400.v4.1 MDAGSLNSSSYLKAQSRFPLQEQFLHRKNSKDNLDRFIPNRSAMDLDYAHYMLTQGRKGGKENPTATVNSPSREAYRKQLAEALNLNRTRILAFKNKPPTPVELIPRDHLSSSLHYQAKPTKPRRYIPQTSERTLDAPDLVDDFYLNLLDWGSKNVLAIALENTVYLWDASNGSTSELVTVGDEVGPVTSVNWAPDGLHLAIGLNNSNVQLWDSASCKQLRNLRGCHRSRVGSMAWNNHILTTGGMDGKIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNIIHIWDRSVASSNSATQWFHRLEEHTSAVKALAWCPFQGNLLASGGGGGDRSIKFWNTHTGACLNSIDTGSQVCALLWNKNERELLSSHGFTQNQLVLWKYPSMLKMAELTGHTSRVLYMAQSPDGCTVATAAGDETLRFWNVFGVPEVAKAAPKANPEPFSRFNRIR >Potri.016G118400.3.v4.1 pep chromosome:Pop_tri_v4:16:12374860:12377945:1 gene:Potri.016G118400.v4.1 transcript:Potri.016G118400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118400.v4.1 MDAGSLNSSSYLKAQSRFPLQEQFLHRKNSKDNLDRFIPNRSAMDLDYAHYMLTQGRKGGKENPTATVNSPSREAYRKQLAEALNLNRTRILAFKNKPPTPVELIPRDHLSSSLHYQAKPTKPRRYIPQTSERTLDAPDLVDDFYLNLLDWGSKNVLAIALENTVYLWDASNGSTSELVTVGDEVGPVTSVNWAPDGLHLAIGLNNSNVQLWDSASCKQLRNLRGCHRSRVGSMAWNNHILTTGGMDGKIINNDVRIRSHIVETYRGHQQEVCGLKWSASGQQLASGGNDNIIHIWDRSVASSNSATQWFHRLEEHTSAVKALAWCPFQGNLLASGGGGGDRSIKFWNTHTGACLNSIDTGSQVCALLWNKNERELLSSHGFTQNQLVLWKYPSMLKMAELTGHTSRVLYMAQSPDGCTVATAAGDETLRFWNVFGVPEVAKAAPKANPEPFSRFNRIR >Potri.005G097800.1.v4.1 pep chromosome:Pop_tri_v4:5:6987594:6991447:-1 gene:Potri.005G097800.v4.1 transcript:Potri.005G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097800.v4.1 MKESGRKHGALSPCAACKLLRRRCAQDCVFAPYFPADEPQKFASVHKVFGASNVNKMLQELPEHQRRDAVSSMVYEANARVRDPVYGCVGAISSLQQQINSLQTQLAVAQAEVVHMRMREFTSSSNTGAMDMAVNQDNMGESLWSC >Potri.012G098400.1.v4.1 pep chromosome:Pop_tri_v4:12:12210706:12212073:-1 gene:Potri.012G098400.v4.1 transcript:Potri.012G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098400.v4.1 MDAIDSVVDPLREFAKDSVRLVKRCHKPDQKEFTKVATRTAIGFVVMGFVGFFVKLIFIPINNIIVGAS >Potri.008G079700.1.v4.1 pep chromosome:Pop_tri_v4:8:4936601:4944057:1 gene:Potri.008G079700.v4.1 transcript:Potri.008G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079700.v4.1 MRVSTPSATDDRAATLSGGGYRDAESLLRSKTISEIRNVESATRQQIEEKKEELRQLVGNRYRDLIDSADSIVLMKSYCGSISHNIASIHISIRSLSASPLSETPKFTNPSSTRGKIYGIACRVKYLVDTPENIWGCLDEFMFLEAAGRYTRAKHVQNTLMSSDYNKILSNFPLLQHQWQIVESLKVQISQKSRERLSDQGLGIGGYADALAAAAVIDALEPDQVLGLFLDSRKSWISQKLGGFGWVDVKNDNVSGEVVVFVFCEVLKIIQVSVGQVGELFLQVLNDMPLFYKVILGSPPASQLFGGIPNPDEEVRLWKLFREKLESVNVALDKEYIARTCLSWLRDCGGEIVSKINGRFLIDAIATGGELAVAEKMIRETMGSKQVLEGSLDWLKSVFGSEIELPWSRIRELVLEDDSDLWDEIFEGAFVQRMKTIITSRFEDLVRGINLGESICAVRETPGEPIDFQAYLNRPCTGGGVWFIEPNAKKSGLGSGHKVSPEENDFHSCLNAFFGPEVSRIRDAVDSCCQSVLEDLLSFLESPKAALRLNDLAPFLQDKCYESISTILTELKRELDSLYATMGNANNVGQSVSPAMVVDKSLYIGRLLFAFQNHSKHIPVILGSPRFWAEDTMAAVFDKLPSVLRQSRVASDYPIPDSPGRQFPTGSKRQTSSAASALLGANESASPKLEELGRTMRDLCIRAHILWISWLSDELSTILALDLGKDDGLSATTPLRGWEETVVKQEQSDENQPEIKISLPSIPSLYIISFLFRACEEIHRIGGHVLDKSILQKFASRLLEKVIEIYEDFLSSSESHQSQVSEKGVLQILLDLRFAADVLSGGDCNINEEISRNPRVKIPFRRKQEQSHKKSAFRERIDGLINCFSQRLDPIDWLTYEPYLWENERQSYLRHAVLLGFFVQLNRMYIDTMQKLPSNPESNIMRCCTVPRFKYLPISTPALSSRGTTKTSFQATSDDISSRSSWKAYTNEELSRNIDLDENSSFGVATPILKSFMQVGSRFGESTLKLGSMLTDGQVGIFKDRSAAAMSTFGDILPVQAAGLLSSFTATRSDS >Potri.014G081800.1.v4.1 pep chromosome:Pop_tri_v4:14:5286831:5287601:1 gene:Potri.014G081800.v4.1 transcript:Potri.014G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081800.v4.1 MKAFSMKNSVFLLAILVLTYTLHIEAQQCHPSGRIRGTNPPPDQCNQENDSDCCKNGKYYTTYKCSPPASSSTKATLTLNSFQKGGDGGAPSECDNQYHSDDTPVVALSTGWYSNGNRCLNYINIHGNGKSVKAMVVDECDSTMGCDSDHDYQPPCPNNIVDASKAVWKALGVPESDWGEMDIYWSDA >Potri.015G081300.1.v4.1 pep chromosome:Pop_tri_v4:15:10692608:10695372:1 gene:Potri.015G081300.v4.1 transcript:Potri.015G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G081300.v4.1 MEIEGQATVKESQPPKFALPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTASDIGNAGIASVSGAVFARVAMGTACDLFGPRLASASLILITAPAVYFTSIASSSTSFLLVRFFTGFSLATFVSTQFWMSSMFSAPVVGTANGVAGGWGNLGGGATQLIMPLVFALIRDIGAIKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRRLQKAGDKTKDKFSNVFYHGIKNYRGWILALSYGYCFGVELTIDNIVAEYFYDRFDLKLHTAGMIAASFGLANIVSRPGGGMLSDAVGKRFGMRGRLWALWVVQTLGGVFCIILGRVGSLGASIVVMIVFSFFCQAACGLTFGVVPFVSRRSLGLISGMTGGGGNVGAVLTQLIFFRGSKYSKDRGIMLMGVMIICCTLPICLIYFPQWGGIFCGPSSTKIATEEDYYLSEWTSEEKEKGLHLSSLKFADNSRRERGRKEDSETRPVDESPSTQV >Potri.004G097300.1.v4.1 pep chromosome:Pop_tri_v4:4:8381589:8385604:1 gene:Potri.004G097300.v4.1 transcript:Potri.004G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097300.v4.1 MGGVTSTIAAKFAFFPPNPPSYTVVTDESLSAVSGGFTTRLCIPEVPRKDEVDFLKLRTRRGNEIVAVHIKHPRASATLLYSHGNAADLGQMFELFVELSNRLRINLMGYDYSGYGQSSGKPTECNTYADIDAAYKCLKEQYGVKDDQLILYGQSVGSGPTVDLSSRLPNLRGVVLHSPILSGMRVLYPVKRTYWFDIYKNIDKIGMVTCPVLVIHGTSDEVVDCSHGKQLWELCKEKYEPLWINGGGHCNLELYPEFIKHLKKFVLTIGKLKTATNGSKKTQESENQNKQSESGSSDTFELGDLPVISRNSLDSRLEKSKKPNKPEKSRMSTDRVDRFRRKGLVW >Potri.002G207200.2.v4.1 pep chromosome:Pop_tri_v4:2:18137656:18146327:1 gene:Potri.002G207200.v4.1 transcript:Potri.002G207200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207200.v4.1 MATAVANCCFSVLTSTVKFRCCVSSQRYLATSHHSIASVTCKAVVNHKRRPSSNRDRELKEGVSQKKSSRKSKDERSYILDDDDGIRGKRKAGRSQSAAFKSFGGQRKDKNESKFDMKEQQIEPQNLKDAAFLDAVVKVYCTHTEPDYSLPWQKQRQYTSTGSAFMIGNGKLLTNAHCVEHYTQVKVKRRGDDTKYVAKVLARGVDCDIALLSVESEEFWEGAEPLEFGCLPRLQDAVTVVGYPLGGDTISVTKGVVSRIEVTSYAHGSSDLLGIQIDAAINPGNSGGPAFSDQGECIGVAFQVYRSEEVENIGYVIPTTVVSHFLNDYERTGRYTGFPSLGVLLQKLENPALRAWLKVNSNEGVLVRRVEPISDANRVLKEGDVIVSFDDVNVGCEGTVPFRSNERIAFRYLISQKFTGDVAELGIIRAGSFMKVKVVLNPRVNLVPYHVDGGQPSYLIIAGLVFTPLSEPLMEEECEDSIGLKLLAKSRYSLARFKGEQIVIVSQVLANEVNFGYEEMSNQQVLKFNGTQIKNIHHLAHLVDSCKNKYLVFEFEDNYLVVLEREAASASSFYILKDYGIPSERSSDLSEPYVDSLKDNQAAVQDFGNSPISNLEIGFDGLLWA >Potri.010G003900.1.v4.1 pep chromosome:Pop_tri_v4:10:351341:352190:1 gene:Potri.010G003900.v4.1 transcript:Potri.010G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003900.v4.1 MGGYSRQRKSSSCLFSIFSIFKSCCYSSGSDDMSEDGYYVRRACPSDEDGRRWVGEPGIDGKASAFIARFYATRVSDPERQTLAL >Potri.004G151725.1.v4.1 pep chromosome:Pop_tri_v4:4:17315133:17317750:1 gene:Potri.004G151725.v4.1 transcript:Potri.004G151725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151725.v4.1 MSAGLNSERDVNPSQPTTEKTLADFDPVKKPKGNKFAFACAILASMASILLGYDIGVMSGAKDYIKIDLKLSDTQVGLLVGTLNWYSLVGSAAAGVTSDWIGRRYTIVVAGAVFFAGALLMGFSTNYAFLMVARFVTGIGVGFALMIAPVYTAEVSPASSRGFLTSFPEVFINAGILIGYVSNYAFSKLPTNLGWRIMLGVGAIPSVFLALVVIGMPESPRWLVMQGRLGDARKVLDKTSDTKEESQQRLSDIKEAAGIPQDCNDDAVRVQKKSHGEGVWKELFIHPTRPVRHILLCVIGIHFFQQASGIDAVVLYSTNIFEKAGITSSNDKLLATVAVGFTKTLFILVATFFLDRIGRRPLLLSSVGGMVLSLATLGFGLTIIDHSHEKLPWAVALSIAMVLAFVAFFSIGMGPIAGVYSSEIFPLRLRAQGIGMGVAVNRVTSGVITTTFIMLYKAISIGGAFFLFAGIATVAWVFFFACYPETRGRTLEDMEVLFGNFIK >Potri.011G107700.1.v4.1 pep chromosome:Pop_tri_v4:11:13606386:13611165:-1 gene:Potri.011G107700.v4.1 transcript:Potri.011G107700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107700.v4.1 MGCAGSSQAKSDGNVKKIRKPKPWKHPQPITKSQLMQMRDEFWDTAPHYGGRKEIWDALRAAADAELSLAQAIVDSAGVIVQNADLTICYDERGAKYELPKYVLSEPTNLIRET >Potri.011G107700.4.v4.1 pep chromosome:Pop_tri_v4:11:13606446:13611189:-1 gene:Potri.011G107700.v4.1 transcript:Potri.011G107700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107700.v4.1 MGCAGSSQAKSDGNVKKIRKPKPWKHPQPITKSQLMQMRDEFWDTAPHYGGRKEIWDALRAAADAELSLAQAIVDSAGVIVQNADLTICYDERGCTDSFHFCNSFVIVLAPFAKSAKYELPKYVLSEPTNLIRET >Potri.011G107700.3.v4.1 pep chromosome:Pop_tri_v4:11:13606391:13611189:-1 gene:Potri.011G107700.v4.1 transcript:Potri.011G107700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107700.v4.1 MGCAGSSQAKSDGNVKKIRKPKPWKHPQPITKSQLMQMRDEFWDTAPHYGGRKADKRRDREEKLSHLKIKYS >Potri.011G107700.2.v4.1 pep chromosome:Pop_tri_v4:11:13606737:13611189:-1 gene:Potri.011G107700.v4.1 transcript:Potri.011G107700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107700.v4.1 MGCAGSSQAKSDGNVKKIRKPKPWKHPQPITKSQLMQMRDEFWDTAPHYGGRKEIWDALRAAADAELSLAQAIVDSAGVIVQNADLTICYDERGI >Potri.004G110620.1.v4.1 pep chromosome:Pop_tri_v4:4:9949205:9952317:1 gene:Potri.004G110620.v4.1 transcript:Potri.004G110620.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110620.v4.1 MGSIDDFSRNSFPDDFVFGTSSSAYQYEGETNKNGRGPAIWDTFTVEHTERINDHSNGNVAVDFYHRYKEDVQRMKEMGMDAFRFSISWSRVLPHGRLSAGVNEEGIKFYNDLIDDLLKNGLQPYVTLFHWDTPQALEDKYGGFLSPNIVNDFRDFVDLCFQNFGDRVKKWITLNEPWMFSVQGYDMGTMAPGRISVVVNDPHRSLNTGATEVYTVSHHLLLAHAAAVKLYKEKYQSCQGGQIGITLVSHWFEPYSNSEADQNATKRSLDFMLGWFMDPLTNGDYPRNMHDFVGGRLPEFTAEESKMLKGSYDFIGINYYTTYYAQNIDANYQSVGFMSDARANWTGERNGIPIGPQAGVKWLYIYPEGISRLLNYTKDLYGSPTIYITENGVDDVNNNASSLKEALNDPIREKSYKDHLKNVLRSINEHGVDVKGFFAWSLMDNFEWGSGYAVRFGLYYVDYKNDLKRYPKQSVKWFKQFLRRDSHSPIPQTYPLITSNETSKIEDSLVRDAKRPRNA >Potri.009G151100.1.v4.1 pep chromosome:Pop_tri_v4:9:11919347:11924271:-1 gene:Potri.009G151100.v4.1 transcript:Potri.009G151100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151100.v4.1 MALESKSNPLEEHQDEDDDVEEDTRHNEDELARNPSAPPDYEFFEITTTVDPSYIISLIRKLIPIDSVTSRDSRGVNGSDDGGRGDTNQMVEESGNECEKMDIVNDGSRGGEDKDTCRGLAGDEVWEEYGCVLWDLAASRTHAELMVQNLVLEVLMANLTVSQSARVTEICLGIIGNLACHEAPMKHIVSANGLISTIVDQLFSDDTQCLAEACRLLTLGLQGNECCPWAEAVQSEHILCRIIWIAENTLNPQLLEKSVGLILAILESQQEASCTIVPSLMKLGLPSLLINLLDFEMSRLTEERVPERYSVLDVILRAIEALSILDGHSQEICSNKKLLQLVCDLIKLPDKAEVASSCVTVAVLIANILSDVPNLASEMSQDLPFLQGLLEVFPLASDDVEARSALWSIIARLLVRARENDMSLSSLHQYVLVLARKSEIIEDDLLNRQSDNSCEETKDLTSCSSKSNRSTALTRIVSILNQWTASKDSKDCLGGDDAAGEHSADELNVGRLLDCCRKHIDFTE >Potri.015G090800.1.v4.1 pep chromosome:Pop_tri_v4:15:11379086:11382041:-1 gene:Potri.015G090800.v4.1 transcript:Potri.015G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090800.v4.1 MAKRKREDGISSADTKSPISETYERMAKHRSCWIHMLAHMYAGGKKITKQEAEELRSFKLTSQCYLGTFPCSARSKRRIKRKKAIVKEIREKIKLDSGAFDCYFEHMWRNFSEDKRTFITYFDCLWFNLYTKASFKGKVLTWIKKKQIFSKKYVLVPIVHWSHWSLLIFCHLGESLQSKLRTPCMLLLDSLEKAGPRCLEPDIRKFVLDIYKSEGRAENKELISKIPLLVPKVPQQRGGEECGNYVLYYINLFVQGAPENFCMDDYPYFMKQNWFSPGCLEAFFEKLEPIEM >Potri.002G041700.1.v4.1 pep chromosome:Pop_tri_v4:2:2731692:2732279:-1 gene:Potri.002G041700.v4.1 transcript:Potri.002G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041700.v4.1 MDRNYLQKSTTVKDKWEWNNVIFEGEHSTGISWPKRNYTCSFCKREFSSAQALGGHMNVHRRDRARLKQLPSWFFEYPKPSMSNPKPLPYPSSKFSPYPDHTHDHSLLSPFLASFSSPSYPEKKSIVECPQSINSTRKISDMRAVVGVGELKKNFVQDGDQLKVSRTSGIISLDLEMRCEDPKEVLDLELRLGCF >Potri.007G127400.4.v4.1 pep chromosome:Pop_tri_v4:7:14258648:14262434:-1 gene:Potri.007G127400.v4.1 transcript:Potri.007G127400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127400.v4.1 MTVAAGIGYALLALGPSLSLFISVISKKPFLILTVLSSTLLWLMSLIVLAGVWRAFLPLKSTTWWPFAILILTSVSFQEGLRILFWKVYKRLEDILDAFADRLAKPRLFLTDKMLIALAGGLGHGVAHAVFFCLSLLTPAFGPATFFVDKCSQLPFFLVSAIIALAFVTIHTCSMVIAFNGYAEGNKVDQYFVPAVHLVAGMVTLVNFASGGCVVGIPLLYFMAILTLLHCGKMVWRRLSETRQ >Potri.014G099600.2.v4.1 pep chromosome:Pop_tri_v4:14:6532938:6534930:-1 gene:Potri.014G099600.v4.1 transcript:Potri.014G099600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099600.v4.1 MGSLRLAASRILRILASLAKQNKAAHGPCCRPVFNPTLRGYLKSQHKSIRLPPGPPRLPVFGNLLQLGQQPHQDVASLCDKKEDRVHCKSPCWRGPTTGPRCLGLISNRKARELEGSAWCIFYEQCD >Potri.011G028800.1.v4.1 pep chromosome:Pop_tri_v4:11:2172371:2176213:1 gene:Potri.011G028800.v4.1 transcript:Potri.011G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028800.v4.1 MRLYQQLNITSPYHFTMYASTVPMDSSKLVLLLSFSYILLHQPSLTAAQPNFNNYNCNYNNTGNYTTNSTYQRNLNSLLSSLASDTQIDYGFYNLSVGEFPDRVNAIALCRGDVAVDVCRSCVNDSTHKILEVCPNKMEAFGVYELCMIRYSNRSIFGVVNEEPYIYRANRKNVLDVTLFNQALQTLFARLQAKAASGNSLKKFATGNQSAGVETVYAIVQCTPDLSKGQCSSCLLDVFRMIPNCCDGNVQGKIGVRLIRPSCNLRWEIGKFFNGTLEILPSPPPPQISSPTSLPAPAQGKKSNTARIIVITVVPAVGVMILVICICLFIRTRKQREKERVETVDEIESAESLQFAFSTIRDATEDFSEKNKLGQGGFGAVYKGALPSGQEIAVKRLSKDSGQGDLEFKNEVLLVARLQHRNLVRLLGFCLQGIERLLIYEFVPNASLDHFIFDPIKRVHLNWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGMARLFVVDQTQGNTSRIVGTYGYMAPEYAMQGHFSVKSDVFSFGVLVLEIVTGKKNSFRNGNDIEHLMSHAWRNWREGTAQDMIDPVLSSGSATEMMRCIHIGLLCVQENVAERPTMASVVLMLSSSSLTLQIPSQPAFFMNSSTYQSDLSSSMGHNSRVTESSLCESEAIPLSENEVSITELYPR >Potri.001G332601.1.v4.1 pep chromosome:Pop_tri_v4:1:34113160:34114156:-1 gene:Potri.001G332601.v4.1 transcript:Potri.001G332601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332601.v4.1 MESFFRTRKLMARRTSKKVAWRNNKLKKNFEQPCFRVAIPLYFARTIRDEFSLQLSESRNHLAAH >Potri.001G332601.2.v4.1 pep chromosome:Pop_tri_v4:1:34113161:34114156:-1 gene:Potri.001G332601.v4.1 transcript:Potri.001G332601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332601.v4.1 MESFFRTRKLMARRTSKKVAWRNNKLKKNFEQPCFRVAIPLYFARTIRDEFSLQLSESRNHLAAH >Potri.003G142000.1.v4.1 pep chromosome:Pop_tri_v4:3:15756452:15759778:1 gene:Potri.003G142000.v4.1 transcript:Potri.003G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142000.v4.1 MAGVAGAACSSNVLFRSREVGNHGASMAPYNGLRPVVNMQMAPTGSKPSGSVSTPASKLRTVRAMVSQTVSAPKREKDPKKRVVITGMGLVSVFGSDIDTFYNKLLEGESGISLIDRFDASSFSVRFAGQIRDFSSKGYIDGKNDRRLDDCWRYCLVAGKRALVDANLGSELLENMDRTRIGVLVGTGMGGLTAFSNGVESLVQKGYKKISPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYAAANHIRRGEADIMVAGGTEAAVMPTGVGGFIACRALSQRNEDPKKASRPWDKDRDGFVMGEGSGVLIMESLEHAMKRGANIIAEYLGGAATCDAHHMTNPRSDGLGVSTCIAKSLEDAGVSPEEVNYVNAHATSTLAGDLAEVNAIKKVFKDTSEIKMNGTKSMIGHGLGAAGGLEAIATIKAINTGWLHPTINQDNIELDVTIDTVPNVKKQHEVNVAISNSFGFGGHNSVVVFAPFTP >Potri.011G047300.1.v4.1 pep chromosome:Pop_tri_v4:11:3747276:3749628:-1 gene:Potri.011G047300.v4.1 transcript:Potri.011G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047300.v4.1 MASISPSTTTPTTISSSTFFPSFPKTSQLSLIKKRNHRYTPRFSCRATNDDSQNPTTRRDLLIGLGGLYGATSLSDPFAFAAPVSAPDISKCGRADLPTGANETNCCPPVPSTKILDFKRPPSNAPLRVRPAAHLADKDYIAKYKKAIELMKALPEDDPRSFMQQADVHCAYCNGAYDQVGFPNLEIQVHNSWLFFPFHRYYLYFYEKILGKLIDDPTFALPFWNWDSPGGMQLPAMYADPNSPLYDSLRNKNHQPPTLLDLDWSGTDTPTSNEEQLSSNLTIMYRQMVSNGKTPRLFFGGAYRAGDEPGPEPGPIENIPHGPVHIWTGDNTQPNSEDMGNFYSAARDPIFFCHHSNVDRMWSVWKTLGGRRTDLTDPDWLNASFFFYDENANPVRVKVRDCLDSRNLGYVYQDVEIPWLQSRPTPRRSAKKVASNIFGHEKEAIAAEKKKNALTPITAFPLVLNKVISVKVARPKKSRSKKEKEDEEELLVIQGLEFDKTKALKFDVYINDEDDSLSAPDKTEFAGSFVNVPHKHKHGKKMTTCFRLALTDLLEDLDVEGDDSLIVTLVPRYGKGLAKIGGIKIEFDQD >Potri.002G244600.1.v4.1 pep chromosome:Pop_tri_v4:2:23611868:23613504:-1 gene:Potri.002G244600.v4.1 transcript:Potri.002G244600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244600.v4.1 MEGVKLVEKVVIVPEMPTNRRRIFLSNLDLPLVAYQESVSFYDPPENKISFSESYNTLYRALRSLLVPYDFFAGRLVPALEDNSRLEIDCNGAGIVVAAARTDTRLSHLGGLFAPKPDFKQLVAFLREEGEDEMEFKDKPLLYIQLTQFGCGSLALASRYNHCVLDGAAARDFEKNLAAFTRGDDLVIVPNPDRTIFKARNPPRISHPHHEYSKPSETRDNFFSVGGKRCTNVMHFSTPHNTTHLIHIPPQRIASLKMAALKDGKLKKCTTFHAIAAKVWKARSIATKIHDEVCSTMLFPVDVRRIVVPPVQIGFAGNALVPGCARASLRELKDKEDSYIVRKVQEGVERFDDEYVRSGIDWLEVHRGTPCNENSFSLVAWFRLGLEEDVFSWGKLKYATPVVLKPGLVFLLPGLEGDGGLNICLDLPDDQVDEFHRLLME >Potri.002G244600.2.v4.1 pep chromosome:Pop_tri_v4:2:23611868:23613504:-1 gene:Potri.002G244600.v4.1 transcript:Potri.002G244600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244600.v4.1 MEGVKLVEKVVIVPEMPTNRRRIFLSNLDLPLVAYQESVSFYDPPENKISFSESYNTLYPRTDTRLSHLGGLFAPKPDFKQLVAFLREEGEDEMEFKDKPLLYIQLTQFGCGSLALASRYNHCVLDGAAARDFEKNLAAFTRGDDLVIVPNPDRTIFKARNPPRISHPHHEYSKPSETRDNFFSVGGKRCTNVMHFSTPHNTTHLIHIPPQRIASLKMAALKDGKLKKCTTFHAIAAKVWKARSIATKIHDEVCSTMLFPVDVRRIVVPPVQIGFAGNALVPGCARASLRELKDKEDSYIVRKVQEGVERFDDEYVRSGIDWLEVHRGTPCNENSFSLVAWFRLGLEEDVFSWGKLKYATPVVLKPGLVFLLPGLEGDGGLNICLDLPDDQVDEFHRLLME >Potri.006G134000.6.v4.1 pep chromosome:Pop_tri_v4:6:10984263:10988603:1 gene:Potri.006G134000.v4.1 transcript:Potri.006G134000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134000.v4.1 MATETENPSTAAAAAITVEDNPSKTLDTAVPASTKPDRVEDSTNTASSTVDSATEGPMQGADNSKSAAPATDTDKKIRRAERFGITVQLSEKEKRNSRAERFGTGSQGPESESDSVKKSEELKRKARAERFGIPVPPTATAEETKKKARHERLAPAPKIDALEENKRKARALRFSQSSSDSLSMNGKGDLEPKAAIAGKAGGGS >Potri.019G001314.1.v4.1 pep chromosome:Pop_tri_v4:19:9573:13484:-1 gene:Potri.019G001314.v4.1 transcript:Potri.019G001314.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001314.v4.1 MASTCSESPFFSSSSSSRHRWNYDVFLSFRGKDTRKNFTDHLYTALIQAGIHTFRDDNELPRGEEISPQLVKAIEGSRISIVVFSKQYASSRWCLDELVKIVECRQKIDQVVLPIFYDTEPSDVRKQTGSYAKAFDEHEEHFKEEMEKVNKWRGALAEAGNLSGWGLNNEANGYEAEFIKRIVSDVACKLGNKTLHVAKHPVGIYSRVQGIISLLKGAKPDVGIVGIHGIAGIGKTTIAKAVLTNFIWI >Potri.009G104800.1.v4.1 pep chromosome:Pop_tri_v4:9:9174076:9174450:-1 gene:Potri.009G104800.v4.1 transcript:Potri.009G104800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104800.v4.1 MAKLIIFNVVLALLIVVAPMVLAEVELSPSAEPGLYSYVEQCVAVTGARCGEEILYGSFMGKPVTLECCQKLLLMGKACDDALMRVVLEFPEYKGHEEEALAGSNKLWEKCALAVQAASPSPSD >Potri.010G044200.1.v4.1 pep chromosome:Pop_tri_v4:10:7594576:7595500:-1 gene:Potri.010G044200.v4.1 transcript:Potri.010G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044200.v4.1 MESPSPYHYALTAFHLSFIINLVFSGQAVESPEYTLIHQQSDFEIRLYKDISWMSAPVLQATSFQKSTKAGFHRLYQYIHGANLNSTQLAMTAPVLTTITEAPHGSSFFVKMSLSAYYEGTPPQPNSELDLQLEKWRAKCIAIRKFSGFARDDNIGEEVEALGTSLNEHWNGTLENKSSYTIAQYNASNHLSGRFNEVWMAVSGFGAEGCSSHGSHDQGKY >Potri.010G210300.2.v4.1 pep chromosome:Pop_tri_v4:10:19938181:19939931:-1 gene:Potri.010G210300.v4.1 transcript:Potri.010G210300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210300.v4.1 MSPAKADASRKPDPKAQAQKAARAVKSGPTFKKTKKIRTKVTFHRPRTLKKERNPKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Potri.010G210300.3.v4.1 pep chromosome:Pop_tri_v4:10:19938180:19939898:-1 gene:Potri.010G210300.v4.1 transcript:Potri.010G210300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210300.v4.1 MSPAKADASRKPDPKAQAQKAARAVKSGPTFKKTKKIRTKVTFHRPRTLKKERNPKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Potri.002G101300.1.v4.1 pep chromosome:Pop_tri_v4:2:7408548:7409455:-1 gene:Potri.002G101300.v4.1 transcript:Potri.002G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101300.v4.1 MAGLISRSVPCAILVVLCTVVPILAKDHTVGDSSGWAIGMDYSTWTSGKTFSVGDSLVFNYGGGHTVDEVSASDYSTCTTGNAITSDSSGATTIALKTAGTHYFICGVPGHCGSGMKVAVTVAAAGSSTSPSSSGTPSSDSTTTSPAGSNVTNYKPSSNNVPDSSLGINISPFVAIAGTCVAVFVMVFS >Potri.018G065800.4.v4.1 pep chromosome:Pop_tri_v4:18:7833246:7836890:-1 gene:Potri.018G065800.v4.1 transcript:Potri.018G065800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065800.v4.1 MDSGSNGEEPTSWEELYNINLIPSELFLKFRKEIEGIRVGVNLEFYNAPINEFQGKIVLKPLSPERRWKFIYEPIHQDVRILSKKIPVTKFLNLQVGVGHNFQLHATGWKWKLTTCLGGDGISRIQNKTSLGLFPGMDLRFGWRADYVLPEITGALGADEPLFNMNSGRLQASLDRVEAILTHPS >Potri.018G008600.7.v4.1 pep chromosome:Pop_tri_v4:18:626421:635778:1 gene:Potri.018G008600.v4.1 transcript:Potri.018G008600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008600.v4.1 MSDGLVASTLPISEADGSAGVIHEATNQHGKVVDIGPSTVENVEIPSGYGYCGNDGESVQSDRLVTEASSPQYFPEDSFVFVAESDKRPLLNKLASTSDGYAMSPFDDLGQVTFLQLIEVIKGLNEDEYRLLLESRGSVSNVELGTTNSFSSQNGFPGLLERLGEELFLTKCTKDILQLQLSEQSDLQIENDHHLHQLDDEISVLHASLKEARERGNSLAEELAECRSELQASFSGREELEQQFHKAKVEVEEVSARAYKLQNSLEMSQSELLRLSKELANSQDFVAALQVEVENLNGNLVSLTEERKIVEEGKNSCLHENEKLLNELADCKSLIAALQTESSNLRGTVASMTDEKIKLNGEKEYLADCHDKICLELSDCKGLVEALQVENLKLSGSLAMATEERKKFEEDMSYSAQERDRLSSELLVLHDELSKDHAECLQFESELKEMTMRLEQLTEENIFLSSNLDIHKVKLQEIEDLQAQKSSPVGKAANPVGSLETLSKVWEDASDVEHDGEATFSMSEKSMSGNFEVAPPLALLGQEVFDDSLGFVALKGHLEEAGKVMLGLEKEIEVVHSHSVSLIRAGGKSASPAVSKLIQAFESKGQHDENEAEHGSMKEDQSPATDPFASMKEYTGNLKAILKRLTLDAENASLMFKTERDDISIANCTIRELKFQAEALKEHNDNLEATNIQLGVLYEAVKQHLSDFNEKNNKLEVLCDSLRQQEFSLKAENSEFGRKLSDCELKIEDLQSQLHGLQKSSDEKASVLHDELAKSQMEAAERALTVEQEWNSTVAQIIEAVDRLDVSTGFSLTSTASMPSHGSLDVSSHVTASVNAATNTIQDLKAKLEASSRDHETASNLFNGVSEKCNELLGKSELVNATLHKLYSELRKIVIDSCGYVEESNLQDEELPDTVDYIRFKALLEKLENALAERLQLQSANKKLNSELMSQIKDIEELNRRCHDFSSIQRLIEDVEGEVKLEDGGADSEMTPVSHLESLVSFLVHKYKEAKEQVNSSREEFGSKVLEMTELQKEIHQLTGLTLQHENEILVLKEHVTQAEEALVAMRSEWQEKVSELQQSEQRVSSIREKLSIAVAKGKGLVVQRDSLKQSLAETSGELDRCSQELQLKDSRLHEIEAKLKTYSEAGGRVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSATANTLLPTDWDQKSSVGGSHSDTGFVVTDTWKEDVQSGSNSGDDLRRKYEELQSKFYGLAEQNEMLEQSLMERNNLVQRWEERLARINLPSHLRLAEPEDRIEWLENALSEASHDRNSLLQKIDELENYCRSVTADLEESQDRVSHLIAELQESSKRVSDLERDLQAVILERENLFERLEILTSDVEKLSARTVQFELDNEKLQNEASALQEKLVDKLGIEERIQSINDEIRRMQDLVCDALQDPGAKDFISDGSSTECLERLLRKLVENHTTLSSAKSVPVEAVVDYHAKGTDANFIEGQTRDILDFEESDAALLKRDAWGNEEENGDSLKKELEETLSELACVQEERDRDREKQQSLICEVEAKEKKILELQELLHQEEQKSTSVREKLNVAVRKGKLLVQQRDSLKQTIEEMNAELVLLKTQIKDRENALADNEQKMRDFATYPERVEALEADSSLLRNHLAETEHLLQEKGHTLTMMLNVLGDVDVGAEIYSNDPIEKLEYMGKLCRDLHAAVASAEQESKKSGRAAELLLAELNEVQDRNDSLQEELAKASIEISEISKERDTAEAAKLEALSRLERSFTVHAQEKRKQYSELAVLKSTADKLRKSFSDINDLLGGVFTMELEFLQNVEAGMASCVKRTETNPAVHVPPFSRADGITFNTSENMDNFSVEFSSQSSMPDDFDDNFIIEVCNTVQELMKEIGAVKVILGEHSGALHNQARNLSKLIGILHREMISQKESFEALEKENKHIKSAEKEKEKEIVVLLRNISLLYEACTSSIMEIENRKAEVSGNALATGDMAVNWKPARFADGGGHNFPSEEHFKTMAERLSVAVKEFFSIKGDITEGEKKEMKVMISNLQKELQEKDIQRERICMELVSQIKEAESAVTSYLLDLQSSRTRIYDLEKQVDVKEEERELLKQRVKELQDGQAISADLQERVRSLTDVLAAKEQEIETLMQALDEEEVQMEDLTSKTKELEKILQQKNLDIENLEASRGKALKKLSITVNKFDELHHFSESLLAEVEKLQSQLQERDAEISFLRQEVTRCTNEVLVASQMSSKRNSDDIHELLLWLDTLVSQVGMQDVNLYDSSMAPEHKELLQKKITSIVSKLEDLQVVAQSRDTLVQTERNKVDELTRRIETLESSLREKESQLNMLEGVEDLGQTTNSVSEIVEVEPVINKWVAPVPSSSSQVRNLRKVNNDQVAIAIDEDPVGKNSLEDEDDDKVHGFKSLTTSRIVPKFTRPVSDMIDGLWVSCDRALMRRPALRLCIIIYWAVLHALLATFAV >Potri.018G008600.10.v4.1 pep chromosome:Pop_tri_v4:18:626480:632627:1 gene:Potri.018G008600.v4.1 transcript:Potri.018G008600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008600.v4.1 MSDGLVASTLPISEADGSAGVIHEATNQHGKVVDIGPSTVENVEIPSGYGYCGNDGESVQSDRLVTEASSPQYFPEDSFVFVAESDKRPLLNKLASTSDGYAMSPFDDLGQVTFLQLIEVIKGLNEDEYRLLLESRGSVSNVELGTTNSFSSQNGFPGLLERLGEELFLTKCTKDILQLQLSEQSDLQIENDHHLHQLDDEISVLHASLKEARERGNSLAEELAECRSELQASFSGREELEQQFHKAKVEVEEVSARAYKLQNSLEMSQSELLRLSKELANSQDFVAALQVEVENLNGNLVSLTEERKIVEEGKNSCLHENEKLLNELADCKSLIAALQTESSNLRGTVASMTDEKIKLNGEKEYLADCHDKICLELSDCKGLVEALQVENLKLSGSLAMATEERKKFEEDMSYSAQERDRLSSELLVLHDELSKDHAECLQFESELKEMTMRLEQLTEENIFLSSNLDIHKVKLQEIEDLQAQKSSPVGKAANPVGSLETLSKVWEDASDVEHDGEATFSMSEKSMSGNFEVAPPLALLGQEVFDDSLGFVALKGHLEEAGKVMLGLEKEIEVVHSHSVSLIRAGGKSASPAVSKLIQAFESKGQHDENEAEHGSMKEDQSPATDPFASMKEYTGNLKAILKRLTLDAENASLMFKTERDDISIANCTIRELKFQAEALKEHNDNLEATNIQLGVLYEAVKQHLSDFNEKNNKLEVLCDSLRQQEFSLKAENSEFGRKLSDCELKIEDLQSQLHGLQKSSDEKASVLHDELAKSQMEAAERALTVEQEWNSTVAQIIEAVDRLDVSTGFSLTSTASMPSHGSLDVSSHVTASVNAATNTIQDLKAKLEASSRDHETASNLFNGVSEKCNELLGKSELVNATLHKLYSELRKIVIDSCGYVEESNLQDEELPDTVDYIRFKALLEKLENALAERLQLQSANKKLNSELMSQIKDIEELNRRCHDFSSIQRLIEDVEGEVKLEDGGADSEMTPVSHLESLVSFLVHKYKEAKEQVNSSREEFGSKVLEMTELQKEIHQLTGLTLQHENEILVLKEHVTQAEEALVAMRSEWQEKVSELQQSEQRVSSIREKLSIAVAKGKGLVVQRDSLKQSLAETSGELDRCSQELQLKDSRLHEIEAKLKTYSEAGGRVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSATANTLLPTDWDQKSSVGGSHSDTGFVVTDTWKEDVQSGSNSGDDLRRKYEELQSKFYGLAEQNEMLEQSLMERNNLVQRWEERLARINLPSHLRLAEPEDRIEWLENALSEASHDRNSLLQKIDELENYCRSVTADLEESQDRVSHLIAELQESSKRVSDLERDLQAVILERENLFERLEILTSDVEKLSARTVQFELDNEKLQNEASALQEKLVDKLGIEERIQSINDEIRRMQDLVCDALQDPGAKDFISDGSSTECLERLLRKLVENHTTLSSAKSVPVEAVVDYHAKGTDANFIEGQTRDILDFEESDAALLKRDAWGNEEENGDSLKKELEETLSELACVQEERDRDREKQQSLICEVEAKEKKILELQELLHQEEQKSTSVREKLNVAVRKGKLLVQQRDSLKQTIEEMNAELVLLKTQIKDRENALADNEQKMRDFATYPERVEALEADSSLLRNHLAETEHLLQEKGHTLTMMLNVLGDVDVGAEIYSNDPIEKLEYMGKLCRDLHAAVASAEQESKKSGRAAELLLAELNEVQDRNDSLQEELAKASIEISEISKERDTAEAAKLEALSRLERSFTVHAQEKRKQYSELAVLKSTADKLRKSFSDINDLLGGVFTMELEFLQNVEAGMASCVKRTETNPAVHVPPFSRADGITFNTSENMVLSILLDSLKKSCF >Potri.018G008600.9.v4.1 pep chromosome:Pop_tri_v4:18:627879:635822:1 gene:Potri.018G008600.v4.1 transcript:Potri.018G008600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008600.v4.1 MRLEQLTEENIFLSSNLDIHKVKLQEIEDLQAQKSSPVGKAANPVGSLETLSKVWEDASDVEHDGEATFSMSEKSMSGNFEVAPPLALLGQEVFDDSLGFVALKGHLEEAGKVMLGLEKEIEVVHSHSVSLIRAGGKSASPAVSKLIQAFESKGQHDENEAEHGSMKEDQSPATDPFASMKEYTGNLKAILKRLTLDAENASLMFKTERDDISIANCTIRELKFQAEALKEHNDNLEATNIQLGVLYEAVKQHLSDFNEKNNKLEVLCDSLRQQEFSLKAENSEFGRKLSDCELKIEDLQSQLHGLQKSSDEKASVLHDELAKSQMEAAERALTVEQEWNSTVAQIIEAVDRLDVSTGFSLTSTASMPSHGSLDVSSHVTASVNAATNTIQDLKAKLEASSRDHETASNLFNGVSEKCNELLGKSELVNATLHKLYSELRKIVIDSCGYVEESNLQDEELPDTVDYIRFKALLEKLENALAERLQLQSANKKLNSELMSQIKDIEELNRRCHDFSSIQRLIEDVEGEVKLEDGGADSEMTPVSHLESLVSFLVHKYKEAKEQVNSSREEFGSKVLEMTELQKEIHQLTGLTLQHENEILVLKEHVTQAEEALVAMRSEWQEKVSELQQSEQRVSSIREKLSIAVAKGKGLVVQRDSLKQSLAETSGELDRCSQELQLKDSRLHEIEAKLKTYSEAGGRVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSATANTLLPTDWDQKSSVGGSHSDTGFVVTDTWKEDVQSGSNSGDDLRRKYEELQSKFYGLAEQNEMLEQSLMERNNLVQRWEERLARINLPSHLRLAEPEDRIEWLENALSEASHDRNSLLQKIDELENYCRSVTADLEESQDRVSHLIAELQESSKRVSDLERDLQAVILERENLFERLEILTSDVEKLSARTVQFELDNEKLQNEASALQEKLVDKLGIEERIQSINDEIRRMQDLVCDALQDPGAKDFISDGSSTECLERLLRKLVENHTTLSSAKSVPVEAVVDYHAKGTDANFIEGQTRDILDFEESDAALLKRDAWGNEEENGDSLKKELEETLSELACVQEERDRDREKQQSLICEVEAKEKKILELQELLHQEEQKSTSVREKLNVAVRKGKLLVQQRDSLKQTIEEMNAELVLLKTQIKDRENALADNEQKMRDFATYPERVEALEADSSLLRNHLAETEHLLQEKGHTLTMMLNVLGDVDVGAEIYSNDPIEKLEYMGKLCRDLHAAVASAEQESKKSGRAAELLLAELNEVQDRNDSLQEELAKASIEISEISKERDTAEAAKLEALSRLERSFTVHAQEKRKQYSELAVLKSTADKLRKSFSDINDLLGGVFTMELEFLQNVEAGMASCVKRTETNPAVHVPPFSRADGITFNTSENMDNFSVEFSSQSSMPDDFDDNFIIEVCNTVQELMKEIGAVKVILGEHSGALHNQARNLSKLIGILHREMISQKESFEALEKENKHIKSAEKEKEKEIVVLLRNISLLYEACTSSIMEIENRKAEVSGNALATGDMAVNWKPARFADGGGHNFPSEEHFKTMAERLSVAVKEFFSIKGDITEGEKKEMKVMISNLQKELQEKDIQRERICMELVSQIKEAESAVTSYLLDLQSSRTRIYDLEKQVDVKEEERELLKQRVKELQDGQAISADLQERVRSLTDVLAAKEQEIETLMQALDEEEVQMEDLTSKTKELEKILQQKNLDIENLEASRGKALKKLSITVNKFDELHHFSESLLAEVEKLQSQLQERDAEISFLRQEVTRCTNEVLVASQMSSKRNSDDIHELLLWLDTLVSQVGMQDVNLYDSSMAPEHKELLQKKITSIVSKLEDLQVVAQSRDTLVQTERNKVDELTRRIETLESSLREKESQLNMLEGVEDLGQTTNSVSEIVEVEPVINKWVAPVPSSSSQVRNLRKVNNDQVAIAIDEDPVGKNSLEDEDDDKVHGFKSLTTSRIVPKFTRPVSDMIDGLWVSCDRALMRRPALRLCIIIYWAVLHALLATFAV >Potri.018G008600.8.v4.1 pep chromosome:Pop_tri_v4:18:627444:635782:1 gene:Potri.018G008600.v4.1 transcript:Potri.018G008600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008600.v4.1 MTDEKIKLNGEKEYLADCHDKICLELSDCKGLVEALQVENLKLSGSLAMATEERKKFEEDMSYSAQERDRLSSELLVLHDELSKDHAECLQFESELKEMTMRLEQLTEENIFLSSNLDIHKVKLQEIEDLQAQKSSPVGKAANPVGSLETLSKVWEDASDVEHDGEATFSMSEKSMSGNFEVAPPLALLGQEVFDDSLGFVALKGHLEEAGKVMLGLEKEIEVVHSHSVSLIRAGGKSASPAVSKLIQAFESKGQHDENEAEHGSMKEDQSPATDPFASMKEYTGNLKAILKRLTLDAENASLMFKTERDDISIANCTIRELKFQAEALKEHNDNLEATNIQLGVLYEAVKQHLSDFNEKNNKLEVLCDSLRQQEFSLKAENSEFGRKLSDCELKIEDLQSQLHGLQKSSDEKASVLHDELAKSQMEAAERALTVEQEWNSTVAQIIEAVDRLDVSTGFSLTSTASMPSHGSLDVSSHVTASVNAATNTIQDLKAKLEASSRDHETASNLFNGVSEKCNELLGKSELVNATLHKLYSELRKIVIDSCGYVEESNLQDEELPDTVDYIRFKALLEKLENALAERLQLQSANKKLNSELMSQIKDIEELNRRCHDFSSIQRLIEDVEGEVKLEDGGADSEMTPVSHLESLVSFLVHKYKEAKEQVNSSREEFGSKVLEMTELQKEIHQLTGLTLQHENEILVLKEHVTQAEEALVAMRSEWQEKVSELQQSEQRVSSIREKLSIAVAKGKGLVVQRDSLKQSLAETSGELDRCSQELQLKDSRLHEIEAKLKTYSEAGGRVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSATANTLLPTDWDQKSSVGGSHSDTGFVVTDTWKEDVQSGSNSGDDLRRKYEELQSKFYGLAEQNEMLEQSLMERNNLVQRWEERLARINLPSHLRLAEPEDRIEWLENALSEASHDRNSLLQKIDELENYCRSVTADLEESQDRVSHLIAELQESSKRVSDLERDLQAVILERENLFERLEILTSDVEKLSARTVQFELDNEKLQNEASALQEKLVDKLGIEERIQSINDEIRRMQDLVCDALQDPGAKDFISDGSSTECLERLLRKLVENHTTLSSAKSVPVEAVVDYHAKGTDANFIEGQTRDILDFEESDAALLKRDAWGNEEENGDSLKKELEETLSELACVQEERDRDREKQQSLICEVEAKEKKILELQELLHQEEQKSTSVREKLNVAVRKGKLLVQQRDSLKQTIEEMNAELVLLKTQIKDRENALADNEQKMRDFATYPERVEALEADSSLLRNHLAETEHLLQEKGHTLTMMLNVLGDVDVGAEIYSNDPIEKLEYMGKLCRDLHAAVASAEQESKKSGRAAELLLAELNEVQDRNDSLQEELAKASIEISEISKERDTAEAAKLEALSRLERSFTVHAQEKRKQYSELAVLKSTADKLRKSFSDINDLLGGVFTMELEFLQNVEAGMASCVKRTETNPAVHVPPFSRADGITFNTSENMDNFSVEFSSQSSMPDDFDDNFIIEVCNTVQELMKEIGAVKVILGEHSGALHNQARNLSKLIGILHREMISQKESFEALEKENKHIKSAEKEKEKEIVVLLRNISLLYEACTSSIMEIENRKAEVSGNALATGDMAVNWKPARFADGGGHNFPSEEHFKTMAERLSVAVKEFFSIKGDITEGEKKEMKVMISNLQKELQEKDIQRERICMELVSQIKEAESAVTSYLLDLQSSRTRIYDLEKQVDVKEEERELLKQRVKELQDGQAISADLQERVRSLTDVLAAKEQEIETLMQALDEEEVQMEDLTSKTKELEKILQQKNLDIENLEASRGKALKKLSITVNKFDELHHFSESLLAEVEKLQSQLQERDAEISFLRQEVTRCTNEVLVASQMSSKRNSDDIHELLLWLDTLVSQVGMQDVNLYDSSMAPEHKELLQKKITSIVSKLEDLQVVAQSRDTLVQTERNKVDELTRRIETLESSLREKESQLNMLEGVEDLGQTTNSVSEIVEVEPVINKWVAPVPSSSSQVRNLRKVNNDQVAIAIDEDPVGKNSLEDEDDDKVHGFKSLTTSRIVPKFTRPVSDMIDGLWVSCDRALMRRPALRLCIIIYWAVLHALLATFAV >Potri.018G008600.6.v4.1 pep chromosome:Pop_tri_v4:18:624607:635379:1 gene:Potri.018G008600.v4.1 transcript:Potri.018G008600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008600.v4.1 MDKNKSRTDLLAAGRKKLQQFRQKKDSKGSSSSHGRSSKKSSTSENHESDADEALSTGKAAGLKQVHEWEVKSQSDPDSGRVDSLVSSSSGAPDLDLDVVAVDLPSIPLTPETRVAETALDHDAGSAAEEGGADENHIDSSKPDEGESSQSIDDKAARVVPLGSSDIPDSEAKTKHDDAFVSVDVSAPHKLIDTMDGTTVTGETESQDGEDGMWPLPPQEDTPDTSSIQARGNQEADGLDSKHFGGSSDLELEGDRRLSFSGRGEIAKCAGETASEQIHVEEAAASQSKQSDGVDDASVSASATDMSDGLVASTLPISEADGSAGVIHEATNQHGKVVDIGPSTVENVEIPSGYGYCGNDGESVQSDRLVTEASSPQYFPEDSFVFVAESDKRPLLNKLASTSDGYAMSPFDDLGQVTFLQLIEVIKGLNEDEYRLLLESRGSVSNVELGTTNSFSSQNGFPGLLERLGEELFLTKCTKDILQLQLSEQSDLQIENDHHLHQLDDEISVLHASLKEARERGNSLAEELAECRSELQASFSGREELEQQFHKAKVEVEEVSARAYKLQNSLEMSQSELLRLSKELANSQDFVAALQVEVENLNGNLVSLTEERKIVEEGKNSCLHENEKLLNELADCKSLIAALQTESSNLRGTVASMTDEKIKLNGEKEYLADCHDKICLELSDCKGLVEALQVENLKLSGSLAMATEERKKFEEDMSYSAQERDRLSSELLVLHDELSKDHAECLQFESELKEMTMRLEQLTEENIFLSSNLDIHKVKLQEIEDLQAQKSSPVGKAANPVGSLETLSKVWEDASDVEHDGEATFSMSEKSMSGNFEVAPPLALLGQEVFDDSLGFVALKGHLEEAGKVMLGLEKEIEVVHSHSVSLIRAGGKSASPAVSKLIQAFESKGQHDENEAEHGSMKEDQSPATDPFASMKEYTGNLKAILKRLTLDAENASLMFKTERDDISIANCTIRELKFQAEALKEHNDNLEATNIQLGVLYEAVKQHLSDFNEKNNKLEVLCDSLRQQEFSLKAENSEFGRKLSDCELKIEDLQSQLHGLQKSSDEKASVLHDELAKSQMEAAERALTVEQEWNSTVAQIIEAVDRLDVSTGFSLTSTASMPSHGSLDVSSHVTASVNAATNTIQDLKAKLEASSRDHETASNLFNGVSEKCNELLGKSELVNATLHKLYSELRKIVIDSCGYVEESNLQDEELPDTVDYIRFKALLEKLENALAERLQLQSANKKLNSELMSQIKDIEELNRRCHDFSSIQRLIEDVEGEVKLEDGGADSEMTPVSHLESLVSFLVHKYKEAKEQVNSSREEFGSKVLEMTELQKEIHQLTGLTLQHENEILVLKEHVTQAEEALVAMRSEWQEKVSELQQSEQRVSSIREKLSIAVAKGKGLVVQRDSLKQSLAETSGELDRCSQELQLKDSRLHEIEAKLKTYSEAGGRVEALESELSYIRNSATALRESFLLKDSVLQRIEEILEDLDLPEHFHSRDIIEKVDWLARSATANTLLPTDWDQKSSVGGSHSDTGFVVTDTWKEDVQSGSNSGDDLRRKYEELQSKFYGLAEQNEMLEQSLMERNNLVQRWEERLARINLPSHLRLAEPEDRIEWLENALSEASHDRNSLLQKIDELENYCRSVTADLEESQDRVSHLIAELQESSKRVSDLERDLQAVILERENLFERLEILTSDVEKLSARTVQFELDNEKLQNEASALQEKLVDKLGIEERIQSINDEIRRMQDLVCDALQDPGAKDFISDGSSTECLERLLRKLVENHTTLSSAKSVPVEAVVDYHAKGTDANFIEGQTRDILDFEESDAALLKRDAWGNEEENGDSLKKELEETLSELACVQEERDRDREKQQSLICEVEAKEKKILELQELLHQEEQKSTSVREKLNVAVRKGKLLVQQRDSLKQTIEEMNAELVLLKTQIKDRENALADNEQKMRDFATYPERVEALEADSSLLRNHLAETEHLLQEKGHTLTMMLNVLGDVDVGAEIYSNDPIEKLEYMGKLCRDLHAAVASAEQESKKSGRAAELLLAELNEVQDRNDSLQEELAKASIEISEISKERDTAEAAKLEALSRLERSFTVHAQEKRKQYSELAVLKSTADKLRKSFSDINDLLGGVFTMELEFLQNVEAGMASCVKRTETNPAVHVPPFSRADGITFNTSENMDNFSVEFSSQSSMPDDFDDNFIIEVCNTVQELMKEIGAVKVILGEHSGALHNQARNLSKLIGILHREMISQKESFEALEKENKHIKSAEKEKEKEIVVLLRNISLLYEACTSSIMEIENRKAEVSGNALATGDMAVNWKPARFADGGGHNFPSEEHFKTMAERLSVAVKEFFSIKGDITEGEKKEMKVMISNLQKELQEKDIQRERICMELVSQIKEAESAVTSYLLDLQSSRTRIYDLEKQVDVKEEERELLKQRVKELQDGQAISADLQERVRSLTDVLAAKEQEIETLMQALDEEEVQMEDLTSKTKELEKILQQKNLDIENLEASRGKALKKLSITVNKFDELHHFSESLLAEVEKLQSQLQERDAEISFLRQEVTRCTNEVLVASQMSSKRNSDDIHELLLWLDTLVSQVGMQDVNLYDSSMAPEHKELLQKKITSIVSKLEDLQVVAQSRDTLVQTERNKVDELTRRIETLESSLREKESQLNMLEGVEDLGQTTNSVSEIVEVEPVINKWVAPVPSSSSQVRNLRKVNNDQVAIAIDEDPVGKNSLEDEDDDKVHGFKSLTTSRIVPKFTRPVSDMIDGLWVSCDRALMRRPALRLCIIIYWAVLHALLATFAV >Potri.011G085501.2.v4.1 pep chromosome:Pop_tri_v4:11:10221122:10222441:-1 gene:Potri.011G085501.v4.1 transcript:Potri.011G085501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085501.v4.1 MKCPACPAKAAVCSGSWGAMEATAISSSLKLLITFPANKKALITTRKKRDTIQFSDQFPNSKSSTGHYPSPTGITINGRRLKTRFKISAINDYGISAAADIAQVEVTWQIIVGAIDSTTKVSSMWGIWTCFKGQGLFSLPRMWRVSTVADMEKILLWLVCVFRYSYVFSYLYTRGEVYFYICDIFSRRLFRLFFSLSQSEFDGVILWNAGIMNYINGK >Potri.011G085501.1.v4.1 pep chromosome:Pop_tri_v4:11:10219041:10222453:-1 gene:Potri.011G085501.v4.1 transcript:Potri.011G085501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085501.v4.1 MKCPACPAKAAVCSGSWGAMEATAISSSLKLLITFPANKKALITTRKKRDTIQFSDQFPNSKSSTGHYPSPTGITINGRRLKTRFKISAINDYGISAAADIAQVEVTWQIIVGAIAGVTPFVVAGIEFSKRIIAQRRCQVCGGSGLVLRDKDYFRCPGCGKTFFLFSFLFTQLTNKICFSTFGRRVSTVADMEKILLWLVCVFRYSYVFSYLYTRGEVYFYICDIFSRRLFRLFFSLSQSEFDGVILWNAGIMNYINGK >Potri.008G163800.1.v4.1 pep chromosome:Pop_tri_v4:8:11275949:11278004:1 gene:Potri.008G163800.v4.1 transcript:Potri.008G163800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163800.v4.1 MAHFQDQRTKSQRVQYPVDSNAYKILDEIGVGVSATVYKAICVPMNSTLVAIKCIDLDQSRADFDSVRRETKTMSLLSHPNILESHCSFTVDRHLWMVMPFMSAGSLQSIISSSFPDGLPEPCIAVVLKEILNALSYLHDQGHLHRDVKAGNIVIDSNGKVKLADFGVSASIYEFNTLERSSSLSCSSRMRLTDLAGTPYWMAPEVIHSHTGYSFKADIWSFGITALELAHGRPPLSHLPPSKSLIMKITKRFRFSDCHEENHKKSCRNKKFSKAFKDMVASCLDQDPSKRPSAAKLLKHSFFKNCKGLDYFVKNVLHGLPSVEERFKEAKVLSGISSQSGTDVEEEEKGDIDGDSVIQRVKTRRISGWNFNEEGFELDPVFPTDSKNDSVVKQVRFGGESIIQDKKIEFSESDGSGDLVDSAKPSILNSLAPVKEEMSQVGDHIGVNMSGVGGIVEGLNQVTMLEGLVALKRSLEEQRRHVAIIIGLLGGETDGEDQMVQMTENLKEELDIEKQKNLKLEMELEFIKIVISGAFAAASFPN >Potri.010G074601.1.v4.1 pep chromosome:Pop_tri_v4:10:10267183:10267515:-1 gene:Potri.010G074601.v4.1 transcript:Potri.010G074601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074601.v4.1 MFSMGCQVLRLCMGMSSRSGTDIEEQEDHEDIEGDSVVQNVKFRRICGWSFNDEEFELDPVFPTDPKYYSVLKQVRFGGESIIPENKSNCKLVKKMERNSEGMWELKLVS >Potri.002G218100.1.v4.1 pep chromosome:Pop_tri_v4:2:20823067:20828349:-1 gene:Potri.002G218100.v4.1 transcript:Potri.002G218100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218100.v4.1 MYHTHSKREFSIGFQPQMQILRPSIHSRRANLMVKFQDLYGFAVEGNVDDVNILNEVREKVRQQGRVWWALEASKGANWYLLPHVSSLTEGIALKSSLKLSTLTNAITLKRLIRKGIPPVLRPKVWFSLSGAAKKKSTVPESYYNDLTKAVEGKVTAATKQIDHDLPRTFPGHPWLDTQEGHATLRRVLVGYSFRDSDVGYCQGLNYVAALLLLVMKTEEDAFWMLAVLLENVLVNDCYTNNLSGCHVEQRVFQDLLVKKCPRIAAHLEELEFDVSLVATEWFLCLFSKSLPSETTLRVWDVLFYEGAKVLFHVALAIFKMKEEELLLTHHVGDVINILQKTTHHLFDPDELLTVAFDKIGSMTTNTISKERKKQEPAVMAELDQRLRRLNSVKMDDDK >Potri.001G404700.3.v4.1 pep chromosome:Pop_tri_v4:1:43067540:43073508:-1 gene:Potri.001G404700.v4.1 transcript:Potri.001G404700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404700.v4.1 MILIFIQISAPVPLSGWDFWYVSPAKAVLYSPDTKVPRTGELALRKAIPANINMKSIQTSLEDISYLLRIPQRKPYGTMEGNVKKALKIAKDEKDSILASLPEDLKEKGSTLYASLIDGKGGLQALLQCIKDQDPDRVSVGLASSLDAVAELELLQAPGLSFLLPQQYLKYPRLTGRGTVEFTIEKVDGSTFSPEAGGEPKKNAKIQVVIDGFSAPLTAGNFVKLVVDGAYNGAKLSFTDQAVLTDNGLDKNSGYSVPLEIMPSGQFEPLYRTTLSVQDGELPVLPLSVYGAVAMAHSEVSEDFSAPYQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTAGREILSQIKSGDIIQSAELVEGQDRLILPNEN >Potri.001G404700.1.v4.1 pep chromosome:Pop_tri_v4:1:43067562:43074725:-1 gene:Potri.001G404700.v4.1 transcript:Potri.001G404700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404700.v4.1 MCLTLTTPTAFEVMALPLSSSSIIISSKKLSFNVSISQKPTQKHLFLSAHFAFRLNEARSQVTARPAVRACNSTTSTYAKDLACEHDSPPNLYMSTFGDGTKKLKSLIAMILIFIQISAPVPLSGWDFWYVSPAKAVLYSPDTKVPRTGELALRKAIPANINMKSIQTSLEDISYLLRIPQRKPYGTMEGNVKKALKIAKDEKDSILASLPEDLKEKGSTLYASLIDGKGGLQALLQCIKDQDPDRVSVGLASSLDAVAELELLQAPGLSFLLPQQYLKYPRLTGRGTVEFTIEKVDGSTFSPEAGGEPKKNAKIQVVIDGFSAPLTAGNFVKLVVDGAYNGAKLSFTDQAVLTDNGLDKNSGYSVPLEIMPSGQFEPLYRTTLSVQDGELPVLPLSVYGAVAMAHSEVSEDFSAPYQFFFYLYDKRNAGLGGLSFDEGQFSVFGYTTAGREILSQIKSGDIIQSAELVEGQDRLILPNEN >Potri.001G173800.1.v4.1 pep chromosome:Pop_tri_v4:1:14931293:14935324:-1 gene:Potri.001G173800.v4.1 transcript:Potri.001G173800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173800.v4.1 MWRRLASSHLRTLVTSTSTTSARSTQSLLLNRSIINPSPSTSLFNRYFSADSVDGAVKKRVEDVMPIATGHEREELEAELEGKKLLDIDYPDGPFGTKEKPAVIKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKPHECPVCTQNFVLEVVGPGGPPDGHGDDDDHHH >Potri.011G075800.1.v4.1 pep chromosome:Pop_tri_v4:11:8016248:8023867:1 gene:Potri.011G075800.v4.1 transcript:Potri.011G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTAG2 MAYQNEPQESSPLRKLGRGKVEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKSTIERYKKACADSSNNGSVSEANAQFYQQEAAKLRSQIGNLQNSNRNMLGESLSALSVKELKSLEIKLEKGIGRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKRQHMNLMPGGVNFEIMQSQPFDSRNYSQVNGLPPANHYPHEDQLFS >Potri.011G075800.2.v4.1 pep chromosome:Pop_tri_v4:11:8016248:8023867:1 gene:Potri.011G075800.v4.1 transcript:Potri.011G075800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PTAG2 MAYQNEPQESSPLRKLGRGKVEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYSNNSVKSTIERYKKACADSSNNGSVSEANAQFYQQEAAKLRSQIGNLQNSNRNMLGESLSALSVKELKSLEIKLEKGIGRIRSKKNELLFAEIEYMQKREIDLHNNNQLLRAKIAENERKRQHMNLMPGGVNFEIMQSQPFDSRNYSQVNGLPPANHYPHEDQLFS >Potri.006G141500.1.v4.1 pep chromosome:Pop_tri_v4:6:11918768:11921305:-1 gene:Potri.006G141500.v4.1 transcript:Potri.006G141500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G141500.v4.1 MIMTVEKTTWRSMVSSCFKPNEISPSKPKKIVTKQTSFQRISMSDLSNPSTLSEDLSISLAGSNLHVFTLPELKVITQNFAASNFLGEGGFGPVHKGFIDDKLRPGLKAQPVAVKLLDLDGSQGHREWLAEVIFLGQLRHPHLVKLIGYCCEEEHRLLVYEYMPRGSLENQLFRRYSVSLPWSARTKIAVGAAKGLAFLHESEKPVIYRDFKASNILLDSDYTPKLSDFGLAKDGPEGSDTHVSTRVMGTQGYAAPEYIMTGHLTSRSDVYSFGVVLLELLTGRRSVDKSRPQREQNLVEWARPMLNDPRKLGRIMDPRLEGQYSETGARKAAELAYQCLSHRPKHRPTMSIVVNTLDPLKDFEDVPIGPFVYTVPTENLKEDDNKECETKRDQTKKEKNGQQHQRHQLHRGQKRQGRSRPRSPTIHSETALSQNHGNGLHSPLHHKARGSSLNNI >Potri.006G142300.1.v4.1 pep chromosome:Pop_tri_v4:6:11992930:11998374:-1 gene:Potri.006G142300.v4.1 transcript:Potri.006G142300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142300.v4.1 MYARKGYELIKDLANGEKGQLQPFNEDLFNQVVDQCSQHYLELQALIRKMQEESVDVRETRNAEHYGALIHHLSLIRNKRCLMAYVHNRAEIIQSFAWKVGLELLELPEEVQEKLSLSEKNYFGKHSAALQTYMAEVGIDLNVDMVPPKDPYIKVRVLDDMGEGILLSDKTANLARHSMHFLKRTDAEQYIARGLMEELTG >Potri.001G415700.1.v4.1 pep chromosome:Pop_tri_v4:1:44421036:44422401:1 gene:Potri.001G415700.v4.1 transcript:Potri.001G415700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415700.v4.1 MLHNYSPSSRLPSPKGRVASLFTVSFEFLSDKNQSMAGRGKTLGSGASKKATSRSSKAGLQFPVGRIARFLKAGKYAERVGAGAPVYLAAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSKLLGDVTIANGGVMPNIHNLLLPKKSGGSSKAPADDDS >Potri.003G134300.2.v4.1 pep chromosome:Pop_tri_v4:3:15242891:15248743:-1 gene:Potri.003G134300.v4.1 transcript:Potri.003G134300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134300.v4.1 MPSLTLSESSLMAHSLPPCPKPLKPQTLCFLPLNSFSKKHNSSKFSYGNHPSSIFSRFSRQCCVNSFEETFGLVLSKHSKNRDGLGLRDLGCKNYQNTTGTVSVKSLVKKKSLRENHTVASAPSNGGFLKILLIFGYLTLQGSRPAVAGTDISTALQSIPYLGDLGDISTGFASAFLLIFFSELGDKTFFIAALLAARNSAPIVFTGTFGALAVMTIISVVLGRTFHYVDEILPFRLGETDLPVDDIAAVCLLVYFGVSTLIDATSSDGLKAEDEQKEAELAVSELSGNGTGILAAANTIISTFLLVFVAEWGDKSFFSTVALAAASSPLGVIGGALAGHGVATLIAVLGGSLLGAFLSEKAIAYIGGVLFLVFAAVTLVEIVS >Potri.001G211900.1.v4.1 pep chromosome:Pop_tri_v4:1:21774567:21775856:1 gene:Potri.001G211900.v4.1 transcript:Potri.001G211900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211900.v4.1 MDPSCNLSVNGFYNLLTRELDELDQSFLSHNFISIQFLSKVLSSLQSFYSQITTLVQKLHLPVGEKWLDEYMDESSRLWEACHVLKSGVLGMENYHSSGTNITSSIDGFHPHLNAQVSRQVIRAIMGCQREIRGLEEDNKSLIETRVEALSLQFEGNKRNMVMTDQSSWFNSFNGFRGVLYAMRIVNSLLLMILFAGLVYCWPSSWQDAADQCEEAGSAFMASMARLQRRVASEMEQIGGQSSASEGIMLYEFRQAKIAMEELKVELESTVVDDQYELEIDIQDKVDRLRSCFGLLACGVESIIGQLDDFFDEIVEGRKKLLGMCTHQPR >Potri.002G129600.2.v4.1 pep chromosome:Pop_tri_v4:2:9822707:9823859:-1 gene:Potri.002G129600.v4.1 transcript:Potri.002G129600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129600.v4.1 MPSEISQTHPNEAQNTMGTAPPPPKTTEPLPCPRCNSTITKFCYFNNYNLSQPRYFCKSCRRYWTKGGTLRDVPVGGGSRKNSKRSRSSSNNSSPSTSSSNSTASNPATLTAFTAIHEPESIPVVVSSTTDSGAAAVKTEMPAGINLNEGLAENGNFISLISSNDQHGFNSLGGYGYGSGFGLGPCEVGLGFGARGFWSFPGMENVSVNGGTIGGCTSGCNTWQLQGDHVEGGGSQGGFAADGENYFGSWPGLVISAPAEKGLN >Potri.013G016800.1.v4.1 pep chromosome:Pop_tri_v4:13:1043741:1047513:-1 gene:Potri.013G016800.v4.1 transcript:Potri.013G016800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G016800.v4.1 MATPMVEDSSFEDDQLASMTTEDITRKSRLLDNEIRILKEEVQRTNLELDSYKEKIKENQEKIKLNKQLPYLVGNIVEILEMNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHQERFQKLGIRPPKGILLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >Potri.013G016800.2.v4.1 pep chromosome:Pop_tri_v4:13:1043877:1046951:-1 gene:Potri.013G016800.v4.1 transcript:Potri.013G016800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G016800.v4.1 MNPEDEAEEDGANIDLDSQRKGKCVVLKTSTRQTIFLPVVGLVDPDKLKPGDLVGVNKDSYLILDTLPSEYDSRVKAMEVDEKPTEDYNDIGGLEKQIQELVEAIVLPMTHQERFQKLGIRPPKGILLYGPPGTGKTLMARACAAQTNATFLKLAGPQLVQMFIGDGAKLVRDAFQLAKEKSPCIIFIDEIDAIGTKRFDSEVSGDREVQRTMLELLNQLDGFSSDDRIKVIAATNRADILDPALMRSGRLDRKIEFPHPSEEARARILQIHSRKMNVHPDVNFEELARSTDDFNGAQLKAVCVEAGMLALRRDATEVNHEDFNEGIIQVQAKKKASLNYYA >Potri.016G045401.2.v4.1 pep chromosome:Pop_tri_v4:16:2896643:2907014:-1 gene:Potri.016G045401.v4.1 transcript:Potri.016G045401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045401.v4.1 MMSFGTKAAFSIPKTNLFQLQAALFHSSPVLERKRRNFCDASHRSRNRSNNYSRRSRRLNANEALLRNIGAYADHLFQSWHDGFDEEDPSSSSGPSWFRQQYSKGSRKDMNDNRRTTSWGKSTYHEVFTLWCRG >Potri.016G045401.1.v4.1 pep chromosome:Pop_tri_v4:16:2896643:2907014:-1 gene:Potri.016G045401.v4.1 transcript:Potri.016G045401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045401.v4.1 MMSFGTKAAFSIPKTNLFQLQAALFHSSPVLERKRRNFCDASHRSRNRSNNYSRRSRRLNANEALLRNIGAYADHLFQSYRSNNYSRRSSRLNAKEALLHNIGSYADHLFQSWHDGFDEEDPSSSSGPSWFRQQYSKGSRKDMNDNRRTTSWGKSTYHEVFTLWCRG >Potri.002G102500.5.v4.1 pep chromosome:Pop_tri_v4:2:7489122:7491202:1 gene:Potri.002G102500.v4.1 transcript:Potri.002G102500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102500.v4.1 MGSEAEIPRKPRFLCLHGFRTSGEILKTQVHKWPESVLQMLDLVFLDAPFPSQGKSDVEGIFDPPYYEWFQFNKEFSEYTNFDECLAYIEDFMIKNGPFDGLLGFSQGAILSSGLPGLQATGVALTKVPKIKFLIIIGGAMFKSPSVAEKAYDSPIECPSLHLLGETDFLKQYGMELLKCCVDPVVIHHPKGHTIPRLDEKGSETMLSFIDRIQNMLLDK >Potri.009G115800.1.v4.1 pep chromosome:Pop_tri_v4:9:9781271:9785337:-1 gene:Potri.009G115800.v4.1 transcript:Potri.009G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115800.v4.1 MFMENSYETSNGNGLRENGHAVVRQTPHQPRSLPWLDLRVFYVRISRCEIDDSTPEHLSVNYIPLYPDTLLEVNGVRTSINSDGASTTLRRDRLDKKSEEATFVSTDSIRMTGSVRFEVFDKDVLVLSGVLELCCSNGFVGESRNHDQRWSMNCESDITLGNGFLKDKQFMGPDSEMPTIEVYVTGSFSGTPIILTKTLQLGFRKKQMRKGMLDSIPEYEATESQDKAPSRFPLQLSEYSDHKPENEDYHLFPGTEYMDGEDGEMSWFNAGVRVGVGIGLSVCVGIGIGVGLLVRTYQGTTRNFRRRLP >Potri.019G013800.2.v4.1 pep chromosome:Pop_tri_v4:19:1806300:1818244:1 gene:Potri.019G013800.v4.1 transcript:Potri.019G013800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013800.v4.1 MGSKENIIVGSHVWVEDHELAWLDGKVSKITGQDAEIEASNGKKVTAKLSKIYPKDMEAPAGGVDDMTKLSYLHEPGVLENLKTRYELNEIYTYTGNILIAINPFQRLPHLYDGHMMQQYKGAPFGELSPHVFAVADVSYRAMVIEGKSNSILVSGESGAGKTETTKMLMRYLAFLGGRAATEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKHGRISGAAIRTYLLERSRVCQVSNPERNYHCFYLLCAAPQEEIERYKLGSPKSFHYLNQSTCFELVGVSDAHDYLATRRAMDIVGISAREQEAIFRVVAAVLHIGNIDFAKGKEVDSSVPKDDQSKFHLKTTAELLMCDPVALEDALCKRVMITPEEVIKRSLDPQSAVISRDGLAKTIYSRLFDWIVDKINNSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINYTNEKLQQHFNQHVFKMEQEEYTKEQIDWSYIEFVDNQDVLDLIEKKPGGIVALLDEACMFPKSTHETFSNKLYQTYKVHKRFIKPKLSRTDFTIAHYAGEVQYQSDHFLDKNKDYVVPEHQDLLGVSKCPFVAGLFPPLPVETSKSSKFSSIGSRFKLQLQQLMETLNSTEPHYIRCVKPNNQLKPAIFENINIMQQLRCGGVLEAIRISMAGYPTRRPFFEFVNRFGLLFPEALAGSYDEKVACKKILEKKGLQGFQIGKTKVFLRAGQMAELDARRAEVLNNAAKTIQGCVQTHYARKRFIALRKATVLVQSLWRGRLACKIFDRMRREAAAIKIQKHTRKYAARTAYKKLHVSALVVQTGLRAMIARKEFRFRKRTKAATIIQARWRCHKAVSYYKRLKRGAVVTQTGWRCRVARRELRLLKMAARDTGALREAKDKLEKHVEELTWRLQLEKRLRTDLEEARAQEAVKFQNSLEEMKIKIEEANALIVKEREAAKNAINEAPPVIKETQVLVEDTKKIDSLTDEVENLKVTLDYEKQRADDTQKKYSEVQEISEERRVKLEETEKKVQQLQESLQRLEEKLTNLESENKVLRQQALSMAPNKFLSGRSRSVMQRVESHIPVDAARTSLDLQSPSVNHREHSEVDDKPQKSLNEKQQENQELLIRCVAQHLGFAGNRPIAACIIYKCLLQWRSFEVERTSVFDRIIQTIGHAIETQDNNDSLAYWLSNASTLLLLLQRTLKASGAAGMAPQRRRSSSATIFGRMTQSFRGAPQGVNLSLINNGGVDTLRQVEAKYPALLFKQQLTAYVEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGARSVANTAAQQALIAHWQGIVKSLGNFLSTLKSNHVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKTGLAELEHWCYNATDEYAGSSWDELKHIRQAIGFLVIHQKPKKTLDEISHDLCPVLSIQQLYRISTMYWDDKYGTHSVSTDVISNMRVLMTEDSNNAVSSSFLLDDDSSIPFSVDDLSKSMEQIDIADIEPPPLIRENSGFSFLLPRIE >Potri.009G149000.1.v4.1 pep chromosome:Pop_tri_v4:9:11776006:11777523:1 gene:Potri.009G149000.v4.1 transcript:Potri.009G149000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149000.v4.1 MASYQFLNHPNQVRDSSCFMINTTTRHGFSKSQTCLQKILHIITSYPPQEISKEASLNGEILGFEENRGTGIDLNMGLSPAWSEANDHENQSPESSSLLSASSFAEKGLKNDSSTEVFTADKSSSEGECCLKVVGDQFGRDSPTIQATGEESQVVDETTIDISKTTNFKHLANEIKSKIEVINDDQEMAKVKEEETKKVPVKVVEPCHGEKNETNKDCLDLLIEAAEMVSGNSEDKESDSDKQEETKRSRKCSLVVDLYEDNTSSPVIRSKRRRSQVLPHRYRDSSVLLEPWKRLPKPQKAETTAATVVSKKRKSR >Potri.018G045100.2.v4.1 pep chromosome:Pop_tri_v4:18:3811928:3819417:1 gene:Potri.018G045100.v4.1 transcript:Potri.018G045100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045100.v4.1 MMGVSSTCKDGSTRITMDNGKYVRYTPEQVEALERLYHECPKPTSTRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATTLATTDTSCESVVTSGQHRLTPQHPPRDASPAGLLSIAEENLAQFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVINAMSTANGGTIELLYMQLYAPTTLAPARDFLLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFADEGWSLLESDGIDDVTVLVNSSPTKTMGVNFSYDNGFPSMNNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNGIDAYAASAIKAGPCSLPVSRAGNFGGQVILPLAHTIENEEFIEVIKLENMGYREDMLMPGDVFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASALEVGPAGNRASGDLSGRSGCTKSVMTIAFQFAFEMHLQENVASMARQYVRSIIASVQRVALALSPSHFGSHAGFWPPHGTPEAHTLARWICESYRIYLGVKLLKNEGSDSILKTLWHHSDALVCCSLKTLPVFTFSNQAGLDMLETTLVALQDITLEKIFDDNGRKTLCSEFPQIMQQGFMCLPGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFMNWSFV >Potri.018G045100.4.v4.1 pep chromosome:Pop_tri_v4:18:3811947:3819272:1 gene:Potri.018G045100.v4.1 transcript:Potri.018G045100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045100.v4.1 MMGVSSTCKDGSTRITMDNGKYVRYTPEQVEALERLYHECPKPTSTRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATTLATTDTSCESVVTSGQHRLTPQHPPRDASPAGLLSIAEENLAQFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVINAMSTANGGTIELLYMQLYAPTTLAPARDFLLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFADEGWSLLESDGIDDVTVLVNSSPTKTMGVNFSYDNGFPSMNNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNGIDAYAASAIKAGPCSLPVSRAGNFGGQVILPLAHTIENEEFIEVIKLENMGYREDMLMPGDVFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASALEVGPAGNRASGDLSGRSGCTKSVMTIAFQFAFEMHLQENVASMARQYVRSIIASVQRVALALSPSHFGSHAGFWPPHGTPEAHTLARWICESYRIYLGVKLLKNEGSDSILKTLWHHSDALVCCSLKTLPVFTFSNQAGLDMLETTLVALQDITLEKIFDDNGRKTLCSEFPQIMQQGFMCLPGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFMNWSFV >Potri.018G045100.1.v4.1 pep chromosome:Pop_tri_v4:18:3811953:3819415:1 gene:Potri.018G045100.v4.1 transcript:Potri.018G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045100.v4.1 MMGVSSTCKDGSTRITMDNGKYVRYTPEQVEALERLYHECPKPTSTRRQQLIRECPILSHIEPKQIKVWFQNRRCREKQRKEASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATTLATTDTSCESVVTSGQHRLTPQHPPRDASPAGLLSIAEENLAQFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVINAMSTANGGTIELLYMQLYAPTTLAPARDFLLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFADEGWSLLESDGIDDVTVLVNSSPTKTMGVNFSYDNGFPSMNNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNGIDAYAASAIKAGPCSLPVSRAGNFGGQVILPLAHTIENEEFIEVIKLENMGYREDMLMPGDVFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASALEVGPAGNRASGDLSGRSGCTKSVMTIAFQFAFEMHLQENVASMARQYVRSIIASVQRVALALSPSHFGSHAGFWPPHGTPEAHTLARWICESYRIYLGVKLLKNEGSDSILKTLWHHSDALVCCSLKTLPVFTFSNQAGLDMLETTLVALQDITLEKIFDDNGRKTLCSEFPQIMQQGFMCLPGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFMNWSFV >Potri.018G045100.5.v4.1 pep chromosome:Pop_tri_v4:18:3813053:3819307:1 gene:Potri.018G045100.v4.1 transcript:Potri.018G045100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045100.v4.1 MNKLLMEENDRLQKQVSQLVYENSYFRQQTQNATTLATTDTSCESVVTSGQHRLTPQHPPRDASPAGLLSIAEENLAQFLSKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGVAARACGLVGLEPTRVAEILKDRPSWFRDCRAVDVINAMSTANGGTIELLYMQLYAPTTLAPARDFLLLRYTSVLEDGSLVVCERSLNNTQNGPSMPPTQHFVRAEMLPSGYLIRPCEGGGSIIHIVDHMDLEPWSVPEVLRPLYESSTLLAQKTTMAALRHLRQISQEISQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFADEGWSLLESDGIDDVTVLVNSSPTKTMGVNFSYDNGFPSMNNAVLCAKASMLLQNVPPAILLRFLREHRSEWADNGIDAYAASAIKAGPCSLPVSRAGNFGGQVILPLAHTIENEEFIEVIKLENMGYREDMLMPGDVFLLQLCSGVDENAVGTCAELIFAPIDASFSDDAPIIPSGFRIIPLDSGMDASSPNRTLDLASALEVGPAGNRASGDLSGRSGCTKSVMTIAFQFAFEMHLQENVASMARQYVRSIIASVQRVALALSPSHFGSHAGFWPPHGTPEAHTLARWICESYRIYLGVKLLKNEGSDSILKTLWHHSDALVCCSLKTLPVFTFSNQAGLDMLETTLVALQDITLEKIFDDNGRKTLCSEFPQIMQQGFMCLPGGICLSSMGRPVSYERAVAWKVLNEEESAHCICFMFMNWSFV >Potri.012G127300.2.v4.1 pep chromosome:Pop_tri_v4:12:14329786:14332799:1 gene:Potri.012G127300.v4.1 transcript:Potri.012G127300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127300.v4.1 MDLNPIKDAFDRVAKKQKMSGSKTHEVVDQMMRDIEKSLEIMKAEQSGSEVDFKNVLGELKKKLQESAPLGDQMEGTQKELNIALSKYPKQLEKSFNPVISKAYRNIDFDAHTVNQVIAGHFYRQGLFDVGDCFINEAKEPESTADMKSLFSEMYLILEAMKNRNLEPALNWAAANSNKLKENGSDLLLKLHCLQFVEILQGGSRSKALSYVRTHISPFGSNHFSEIQKLMSCLLWSGRLHQSPYSDLLSPTNWNVVAEDLTRQFCNLLGQSFESPLSVTIAAGFQGLPPLLKFMTVMAGKKNEWRSMKQLPVPVPVELGREFQFHSIFVCPVSKEQSTEENPPMLMSCSHVLCKQSIDKMSKNGSKTFKCPYCPSDIESTQCRQLHF >Potri.012G127300.3.v4.1 pep chromosome:Pop_tri_v4:12:14330720:14332815:1 gene:Potri.012G127300.v4.1 transcript:Potri.012G127300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127300.v4.1 MDLNPIKDAFDRVAKKQKMSGSKTHEVVDQMMRDIEKSLEIMKAEQSGSEVDFKNVLGELKKKLQESAPLGDQMEGTQKELNIALSKYPKQLEKSFNPVISKAYRNIDFDAHTVNQVIAGHFYRQGLFDVGDCFINEAKEPESTADMKSLFSEMYLILEAMKNRNLEPALNWAAANSNKLKENGSDLLLKLHCLQFVEILQGGSRSKALSYVRTHISPFGSNHFSEIQKLMSCLLWSGRLHQSPYSDLLSPTNWNVVAEDLTRQFCNLLGQSFESPLSVTIAAGFQGLPPLLKFMTVMAGKKNEWRSMKQLPVPVPVELGREFQFHSIFVCPVSKEQSTEENPPMLMSCSHVLCKQSIDKMSKNGSKTFKCPYCPSDIESTQCRQLHF >Potri.001G368000.18.v4.1 pep chromosome:Pop_tri_v4:1:38421804:38427543:-1 gene:Potri.001G368000.v4.1 transcript:Potri.001G368000.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368000.v4.1 MVGSMTVSVNSTPPVDLYANGTVHHAELLPASDDDHGGIIVDMKEPMDPDIFHAKLRASLSLWGQQGKKGIWIKLPIALANLVETAVKKGFQYHHAEPNYLMLVYWIPETPSTIPANASHRVCVGAIVLNDKREVLVVQEKSGIFQGKGVWKIPTGVVDEGEEIFMAAVREVKEETAIDTEFLEILAFRQWHKSFFEKSDLVFLCMLRPLSFDIQKQDLEIEAAQWMPFEEYAAQPVAQNHELFTYIIDLCLAKVDRDYIGFSPQPLRSTLNDQISYLYSNARDLNQSSSADRQ >Potri.012G052502.1.v4.1 pep chromosome:Pop_tri_v4:12:4822012:4822488:-1 gene:Potri.012G052502.v4.1 transcript:Potri.012G052502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052502.v4.1 ASSISFPQQQHQHQHPPDLPRGVKTGQQQPISSRTPTDTAISSPHQTPLLSQPTHRPPSPGQRTDPITAATALLPPPTEPFPVSSDPRSPSPQQPSTSFSRLHSAPAISSHSRTPQHPFSSSSAQPTNLPSSTGDRSTVPSSATCNAHRASSAVHTRP >Potri.002G070800.1.v4.1 pep chromosome:Pop_tri_v4:2:4965977:4968868:-1 gene:Potri.002G070800.v4.1 transcript:Potri.002G070800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070800.v4.1 MASMIHYYLGAGISLRKTLNSKFSAVQPNNIANARHLSNLVVTSNVHSPIPKFTFPQQSLATKRHLNVAAKNKEDQWKLRCGDYVPGFTSDFVDDIGILIHRFFYAINSRNDEQLLEDVLSYDCVFKDFIFQIAFDGEQSIIQFLRKVMMAMGPNIRFKIESVQCKNELQAATAFLHLEWDNQVIPFTRFCTDFECEFDEKLLIRKITVVKEQGEVVDVDVMLKLLEAASSIFDMFPDQTRKVLSKLNE >Potri.004G151450.1.v4.1 pep chromosome:Pop_tri_v4:4:17292034:17292475:-1 gene:Potri.004G151450.v4.1 transcript:Potri.004G151450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151450.v4.1 MAFPGERNTCQKKKQKELLKEALLLLLLFVAVFLLGGLETASFTT >Potri.002G196200.1.v4.1 pep chromosome:Pop_tri_v4:2:15895088:15895522:-1 gene:Potri.002G196200.v4.1 transcript:Potri.002G196200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196200.v4.1 MSARQAASSGSDSDPRYANVDERKRKRMISNRESARRSRMRKQKQMGDLVNEVSKLQNENNQLMQGINVGQQRRMAMESANNVLRAQAVELTERLRSLNSVLQIVEDVSGLSMEIPEIPDPLLKPWQLPCSVMPIMASADMFQY >Potri.006G016200.3.v4.1 pep chromosome:Pop_tri_v4:6:1024514:1028727:-1 gene:Potri.006G016200.v4.1 transcript:Potri.006G016200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016200.v4.1 MQTSQKHRSAGIHGFYHQPVQEIDPYGLSHIQILDKTLYSDAGSQGTSVSFETCLGQFFTLESSSATAGFVVYDSPAASISSNRSPFSSQGSHSCISDPRHSPENMYGSPLSGSSSADDGNILRQKLRELEISLLGPESDITDSGSFCFVSGGYQAEPYASWDWNQMMEMIPRLDLKHVLLACADAVSNADIQRSAGLMHVLDQMVSVSGEPIQRLGAYMLEGLRARLELSGSKIYRALKCEAPISSDLMTYMGILYQICPYWKFAYTSANVVIREAVEYEPRIHIIDFQIAQGSQWILLMQMLACRPGGPPAIHITGVDDSQSADARGGGLDIVGQRLSKVAESCNVPFEFHDVAMDGCEVQLEHLRVQPGEAVVVNFPYVLHHMPDESVNTWNHRDRLIRMVKSLSPRIVTLIEQESNTNTKPFFPRFIETLDYYAAMFESIAAGSSMDFKQRINAEQQCVARDIVNMIACEEAERVERHELLAKWRSRFTMAGFNQYPLGSSATTAVKDLLKEYHRDYSVQERDWALYLRWRDRDMATSSAWR >Potri.005G147400.4.v4.1 pep chromosome:Pop_tri_v4:5:12304021:12306150:-1 gene:Potri.005G147400.v4.1 transcript:Potri.005G147400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147400.v4.1 MMSQTIDLLKKELPVEEGRLPLNGDGAKTTGLVLVDLVNGFCTVGAGNLAPKVADKQISEMVEESARIARLFCEKKWPVFAFLDTHHPDIPEHPYPPHCILGTDEANLIPALQWLENDPSATLRRKDCIDGFLGSIDKHDGSNVFVDWVRNNDIKLLLVVGICTDICVLDFVSSALSARNRGFLSPLEDVVVYSRACATYDLPLHIAETLKDTIAHPQELMHHIGLYMAQGRGAKVVSEVSFGALP >Potri.006G002700.1.v4.1 pep chromosome:Pop_tri_v4:6:222774:224793:-1 gene:Potri.006G002700.v4.1 transcript:Potri.006G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002700.v4.1 MGKQEEYQETETESNSSDDEEDEEPEKWKKHYSSKHRMLLVGEGDFSFSVSLARAFGSACNLVSTTVDTQDNIAKKYSNGVRNVRELEEKGGLVFYGVDAEEMSEHFFLRTQRFDRIVYNFPHVGFLFPEASYCQIQLNKRLIKGYLSNAKVLLKQDKGEIHVTHKEGDPYDKWDLVKKAEKIGLGLHEIVPFSRRDYPGYENKRAHGSQSDAPFPLGVSSTYKFKPNHSSKFK >Potri.008G024000.1.v4.1 pep chromosome:Pop_tri_v4:8:1207411:1209949:-1 gene:Potri.008G024000.v4.1 transcript:Potri.008G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024000.v4.1 MEPVVEDLTDDLDNLSLASTTTTTTAAETRHSTGSGSETTWTTSTSSLVSNSCKPHHPPQCDQCWHAIQRDNCDNSPLTLADLRFVHKLGSGDIGSVYLVELKEGNGCLFAAKVMDKKEMATRNKDSRARIEREILEMLEHPFLPTLYATLDSPRRSCLLTEFCPGGDLHVLRQQQPERRFGEAAIRFYASEVVAALEYLHMMGIVYRDLKPENVLVRSDGHIMLTDFDLSLKDDNSPSTAQIISDQNQPTTASSTRDYPSDTSQFATSSCILPSCIVPAVSCFHHRRKRKKKLHQRGTLEIVAEPIDVRSMSFVGTHEYLAPEIVSGEGHGNAVDWWTLGIFMFEMFYGVTPFKGMDHELTLANIVARALEFPKEPSIPVLAKDLITQLLIKDPVRRLGSTMGATAIKHHQFFDGINWALLRCRTPPYIPRPVTYKNLVVAEHGNNSIEYY >Potri.001G121700.3.v4.1 pep chromosome:Pop_tri_v4:1:9984885:9993639:1 gene:Potri.001G121700.v4.1 transcript:Potri.001G121700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121700.v4.1 MRVREMHPLCCISLESPDIGSQSPDATLTRARSLPATFSGGSNGNVGGKAAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLCDDVRLIGEISTNRLLRWDSRGSGRQKPQKTVGTVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTRSLFPSRSLNDSLSLVSHDLSISTDRLKKRLLEEGINENLVKDCEQIMLSEFSEVQGQVKVLCEERSNLLDTLRQLEAANIEAETAGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTEPTSMTEAAKYSEKHRRFNQLDSEEKMRADKQVCSSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYECGKAGNSVLRILNVAAFAISGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLTVEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDVSGNKVASLFGKWDDSMHYTTGDGTGKSKDRITSSNATLLWKSNKPPPNLTRYNLSSFAITLNELTPGLQPCLIPGI >Potri.001G121700.9.v4.1 pep chromosome:Pop_tri_v4:1:9985005:9993591:1 gene:Potri.001G121700.v4.1 transcript:Potri.001G121700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121700.v4.1 MRVREMHPLCCISLESPDIGSQSPDATLTRARSLPATFSGGSNGNVGGKAAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLCDDVRLIGEISTNRLLRWDSRGSGRQKPQKTVGTVHLKQISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTRSLFPSRSLNDSLSLVSHDLSISTDRLKKRLLEEGINENLVKDCEQIMLSEFSEVQGQVKVLCEERSNLLDTLRQLEAANIEAETAGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTEPTSMTEAAKYSEKHRRFNQLDSEEKMRADKQVCSSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYECGKAGNSVLRILNVAAFAISGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLTVEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDVSGNKVASLFGKWDDSMHYTTGDGTGKSKDRITSSNATLLWKSNKPPPNLTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANGEKQRLEKRQRMSRKLQEHGWKPRWFQKEGENGSFRYVGGYWEAREQGNWDGCPNIFGEFNEELVESSEGP >Potri.001G121700.2.v4.1 pep chromosome:Pop_tri_v4:1:9985026:9993591:1 gene:Potri.001G121700.v4.1 transcript:Potri.001G121700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121700.v4.1 MRVREMHPLCCISLESPDIGSQSPDATLTRARSLPATFSGGSNGNVGGKAAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLCDDVRLIGEISTNRLLRWDSRGSGRQKPQKTVGTVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTRSLFPSRSLNDSLSLVSHDLSISTDRLKKRLLEEGINENLVKDCEQIMLSEFSEVQGQVKVLCEERSNLLDTLRQLEAANIEAETAGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTEPTSMTEAAKYSEKHRRFNQLDSEEKMRADKQVCSSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYECGKAGNSVLRILNVAAFAISGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLTVEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDVSGNKVASLFGKWDDSMHYTTGDGTGKSKDRITSSNATLLWKSNKPPPNLTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANGEKQRLEKRQRMSRKLQEHGWKPRWFQKEGENGSFRYVGGYWEAREQGNWDGCPNIFGEFNEELVESSEGP >Potri.001G121700.15.v4.1 pep chromosome:Pop_tri_v4:1:9984884:9993119:1 gene:Potri.001G121700.v4.1 transcript:Potri.001G121700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121700.v4.1 MRVREMHPLCCISLESPDIGSQSPDATLTRARSLPATFSGGSNGNVGGKAAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLCDDVRLIGEISTNRLLRWDSRGSGRQKPQKTVGTVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTRSLFPSRSLNDSLSLVSHDLSISTDRLKKRLLEEGINENLVKDCEQIMLSEFSEVQGQVKVLCEERSNLLDTLRQLEAANIEAETAGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTEPTSMTEAAKYSEKHRRFNQLDSEEKMRADKQVCSSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYECGKAGNSVLRILNVAAFAISGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLTVEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDVSGNKVASLFGKWDDSMHYTTGDGTGKSKDRITSSNATLLWKSNKPPPNLTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANGEKQRLEKRQRMSRKLQEHGWKPRWFQKEGENGSFRYVGGYWEAREQGNWDGCPNIFGEFNEELVESSEGP >Potri.001G121700.16.v4.1 pep chromosome:Pop_tri_v4:1:9985012:9992980:1 gene:Potri.001G121700.v4.1 transcript:Potri.001G121700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121700.v4.1 MRVREMHPLCCISLESPDIGSQSPDATLTRARSLPATFSGGSNGNVGGKAAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLCDDVRLIGEISTNRLLRWDSRGSGRQKPQKTVGTVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTRSLFPSRSLNDSLSLVSHDLSISTDRLKKRLLEEGINENLVKDCEQIMLSEFSEVQGQVKVLCEERSNLLDTLRQLEAANIEAETAGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTEPTSMTEAAKYSEKHRRFNQLDSEEKMRADKQVCSSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYECGKAGNSVLRILNVAAFAISGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLTVEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDVSGNKVASLFGKWDDSMHYTTGDGTGKSKDRITSSNATLLWKSNKPPPNLTRYNLSSFAITLNELTPGLQPCLIPGI >Potri.001G121700.14.v4.1 pep chromosome:Pop_tri_v4:1:9985162:9993593:1 gene:Potri.001G121700.v4.1 transcript:Potri.001G121700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121700.v4.1 MRVREMHPLCCISLESPDIGSQSPDATLTRARSLPATFSGGSNGNVGGKAAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLCDDVRLIGEISTNRLLRWDSRGSGRQKPQKTVGTVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTRSLFPSRSLNDSLSLVSHDLSISTDRLKKRLLEEGINENLVKDCEQIMLSEFSEVQGQVKVLCEERSNLLDTLRQLEAANIEAETAGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTEPTSMTEAAKYSEKHRRFNQLDSEEKMRADKQVCSSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYECGKAGNSVLRILNVAAFAISGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLTVEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDVSGNKVASLFGKWDDSMHYTTGDGTGKSKDRITSSNATLLWKSNKPPPNLTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANGEKQRLEKRQRMSRKLQEHGWKPRWFQKEGENGSFRYVGGYWEAREQGNWDGCPNIFGEFNEELVESSEGP >Potri.001G121700.13.v4.1 pep chromosome:Pop_tri_v4:1:9984861:9993641:1 gene:Potri.001G121700.v4.1 transcript:Potri.001G121700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121700.v4.1 MRVREMHPLCCISLESPDIGSQSPDATLTRARSLPATFSGGSNGNVGGKAAGSEATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLCDDVRLIGEISTNRLLRWDSRGSGRQKPQKTVGTVHLKISSFRESKSDDRRFYIFTATKTLHLRTDSKRDRVAWIQALVSTRSLFPSRSLNDSLSLVSHDLSISTDRLKKRLLEEGINENLVKDCEQIMLSEFSEVQGQVKVLCEERSNLLDTLRQLEAANIEAETAGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTEPTSMTEAAKYSEKHRRFNQLDSEEKMRADKQVCSSGYPHIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYECGKAGNSVLRILNVAAFAISGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGVLTVEFDDGEIFQWSKVTTTIYNLILGKVYCDHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDVSGNKVASLFGKWDDSMHYTTGDGTGKSKDRITSSNATLLWKSNKPPPNLTRYNLSSFAITLNELTPGLQEKLPPTDSRLRPDQRHLENGEYEKANGEKQRLEKRQRMSRKLQEHGWKPRWFQKEGENGSFRYVGGYWEAREQGNWDGCPNIFGEFNEELVESSEGP >Potri.017G101400.1.v4.1 pep chromosome:Pop_tri_v4:17:11280133:11285661:1 gene:Potri.017G101400.v4.1 transcript:Potri.017G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101400.v4.1 MVYKGDFVVIGISVGLALGILIASLVFFGIRWYKRRAYLRRCSNDRSLPTLPIRMNGLGTSNDFSDSLANSITIQGTEHPQKSSQVSSWWNHHSKDRFASTSGILRYSYKDIQKATQNFTTVLGQGSFGPVYKAVMPTGEILAVKVLASNSKQGEKEFQTEVSLLGRLHHRNLVNLLGYCIDKGNHMLIYEFMSNGSLANHLYNDEEQFLSWEERIQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSKEEVFDERNSGLKGTYGYIDPVYISTNKFTMKSDIYSFGIIMFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKRLVGECNIEEVRGLATVAHKCLQKFQRKRPSIGEVSQAILKIKQRCLAKEDTMSIEVSRVLTRIDDQQVELSRMASIKDVE >Potri.008G120100.3.v4.1 pep chromosome:Pop_tri_v4:8:7749799:7751192:1 gene:Potri.008G120100.v4.1 transcript:Potri.008G120100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120100.v4.1 MRKIRVICNDPYATETDSSDDESDKRSLKKRFVREINIPLAVSPQPKALEPESSCQDSNNSAKPPSKRRRVLPKTPTSTTEEKKLAPKKPVGVRQRKWGKWAAEIRNPVTKVRTWLGTFNTLEEAAQAYEAKKQEYEALALTMAASEKSQIMSSSAGVLQSHSHSSSKNEGSSASDETDDSVVSHTSPASVLELDTSVVSNVTGDCGLLIKDEDICASVADLDIPDLAFMTDPLASCPFDHDLNLGQEVGNLIDEFGRFYDDYCGIVDLDICGLNSDEPSDLPDYDFEFGNEEFSYLDDHQQKPLNIACL >Potri.001G166500.1.v4.1 pep chromosome:Pop_tri_v4:1:14174947:14179745:-1 gene:Potri.001G166500.v4.1 transcript:Potri.001G166500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166500.v4.1 MFRVHRSILLCVWLFMSLIVEGSVHEYKGERFVGKGNAFVVHGGSEGIYSGNHNENSVLPANGDSYIRFEKITFRRTREFSNFSSGLVQAIVFEVEDRELVGGSAYGGQRAVCCTADLAKLGVCLEGEIIHRPSTKDPSWPQVFGVSFNVDELVATFPSKSIQISSTGMYNLYFMHCDPNLKEVVVEGKTIWKNPSGYLPGRMAPLMRFYGFMSLAFVILGLFWFSQYARFWREVFPLQNCITLVITLGMFEMAFWYFDYAEFNETGIRPTGITLWAVTFGTIKLTVARLVILMVSMGYGVVRPTLGGLTSKVILVGVTFFVASEVLELVENVGTVSDLSGRARLFLVLPVSMLDAFIIIWIFKSLSATLNKLQAKRMMVKLDIYRKFTNALAVAVIVSVGWICYELYFKANDVYNERWQNAWVIPAFWRVLSFSLLCVICALWAPSQNSMRYAYSDDASDEFDRDDGTLTLIKPSTIPSKDVRSALEPRPVQASNGASNSDSEEDKRE >Potri.008G176500.1.v4.1 pep chromosome:Pop_tri_v4:8:12199547:12200191:-1 gene:Potri.008G176500.v4.1 transcript:Potri.008G176500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176500.v4.1 MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFLKGVHNSPSGARLMGGTQAVRMNAPRVGGSFAVWGGLFSAFDCSMVYLRQKEDPWNSIIAGAATGGFLSMRQGLGASARSAVFGGVLLGLIEGAGIMLNKVMGDQQSMPIMIDEDPIPAMAGGGPGGFPMGQAQEGESGSWFGGWFGGEKKKKESTAASSKTEVLESFDAPPVPTFEYK >Potri.008G176500.2.v4.1 pep chromosome:Pop_tri_v4:8:12199456:12200188:-1 gene:Potri.008G176500.v4.1 transcript:Potri.008G176500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176500.v4.1 MGAVGGSAFHFLKGVHNSPSGARLMGGTQAVRMNAPRVGGSFAVWGGLFSAFDCSMVYLRQKEDPWNSIIAGAATGGFLSMRQGLGASARSAVFGGVLLGLIEGAGIMLNKVMGDQQSMPIMIDEDPIPAMAGGGPGGFPMGQAQEGESGSWFGGWFGGEKKKKESTAASSKTEVLESFDAPPVPTFEYK >Potri.015G082500.1.v4.1 pep chromosome:Pop_tri_v4:15:10764957:10769348:-1 gene:Potri.015G082500.v4.1 transcript:Potri.015G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082500.v4.1 MEDITGGASLPSTGTDAKKRRVTYFYEPTIGDYYYGQGHPMKPHRIRMAHNLIVHYALHRRMEINRPFPAGPTDIRKFHSDEYVEFLSSVSPQSVGDPAFGRQLKRFNVGEDCPVFDGLFGFCQASAGGSIGAAVKLNRGDADIALNWAGGLHHAKKSEASGFCYVNDIVLGILELLKVHRRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKYGDFFPGTGHIKDTGAGQGKSYALNIPLNDGMDDENFRGLFRPLIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFLRSYNVPLMVLGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYFEYFGPDYTLHVEPSNVENLNSPKDMERIRNILLEQLSRLPNAPSVPFQTTPPTTEVPEEAEEDMDQRPKRRIWNGEDFESDHDEDEKPGPRFFNADAQPNNKIRDGVDAMEEDKKEHPGL >Potri.005G231700.1.v4.1 pep chromosome:Pop_tri_v4:5:23081073:23084291:-1 gene:Potri.005G231700.v4.1 transcript:Potri.005G231700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231700.v4.1 MATINNDGEDAARRRNAEAEYRKKLLHHKELESRVRSARENLKAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGDIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >Potri.007G014501.1.v4.1 pep chromosome:Pop_tri_v4:7:1080324:1081814:1 gene:Potri.007G014501.v4.1 transcript:Potri.007G014501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014501.v4.1 MMIDHHVIRSGYPVANFSCMDHVLQEVGLRLLIIKLKERTSESPVAFVQTSFKWTKWAHATIRVSCSLACRLQKPVQEKEDYQHDFDGHGVAEQNRNSLAMMFTG >Potri.008G023101.1.v4.1 pep chromosome:Pop_tri_v4:8:1158609:1164838:1 gene:Potri.008G023101.v4.1 transcript:Potri.008G023101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023101.v4.1 MTTTNISPSSIVVEVLRGDNYDDWSACMKSYMLAQDLWDFIEPPGLQEGDQEVDSKPIDHQEGDSKALRKKNAAALHAIQISCAPYVLSKIRSITSAKVAWDTLANLQQQHSPSHKEQSDEAEPSEDDESQGSEQSVESEPAEDDESQGAVSNEMNGPLLTLYKYAHNGDWDAIKTYLSRYPNAKKAKIKPYGRTALHVAASSGNLKVVEELVTLMSVNELAIKDNEGNTALSIAAIVGIRKMAECLVSKNENLVTFANRYPKIPLVEACVGSQMDMVRYLYSVTPIEFLCRGNVDQGSRFLKNAIGAQMLDIALDFLHRCPRSATTMDEVLKSNALFNLSKMPQIFPSASRLAFWQQWIYSCIPMQSIATTDDNVRINMPDQSLSESKNIILQVSSKLRGFAINLLAFLGIKQIYDLKKIHIYSDKILRCMCEHISTLDYEEYLKADVDGAFHSAVENGMVEFIIEVVKACPHAMISVDGNGRNLFMSSIANRQEKVFSLFYGLEAGGAEFVSIVYGSGNTMLHLAAKLSPPSQLARISGAALQMQRELQWYKEVESIVDPTDNDYYNKDNQTPRELFTSDHKDLVVKGEKWMKQAATSCTVVGALIITIMFTVAFTVPGGNVQETGYPVFKDEKSFTVFIVADAISLFSSSTSVLMFLGILTSRYAEEDFLKSLPTKLIIGLSMLFFSIAAMMVTFCAALIIMLDGRLQVIIPIVLLATIPVTLFMLLQFPLLVEIGVSTYGPGIFNRKMKRWSKSSTDRLLEVNSLLSQTV >Potri.012G047301.1.v4.1 pep chromosome:Pop_tri_v4:12:4388811:4391282:1 gene:Potri.012G047301.v4.1 transcript:Potri.012G047301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047301.v4.1 MDNISDLSVNAMMLDSKASELDKEERPEILSLLPPYEGKTVLELGAGIGRFTGELAQKASQVVALDFIESAIKKNENINGHYKNVKFMCADVKSPDLNFSEGSVDLIFSNWLLMYLSDKEVNRLDPYSGLSCLIVDLLTDLLVIHLKASWNQWSVL >Potri.012G047301.2.v4.1 pep chromosome:Pop_tri_v4:12:4388811:4391282:1 gene:Potri.012G047301.v4.1 transcript:Potri.012G047301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047301.v4.1 MDNISDLSVNAMMLDSKASELDKEERPEILSLLPPYEGKTVLELGAGIGRFTGELAQKASQVVALDFIESAIKKNENINGHYKNVKFMCADVKSPDLNFSEGSVDLIFSNWLLMYLSDKEVNRLDPYSGLSCLIVDLLTDLLVIHLKASWNQWSVL >Potri.002G079200.1.v4.1 pep chromosome:Pop_tri_v4:2:5562405:5567592:1 gene:Potri.002G079200.v4.1 transcript:Potri.002G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079200.v4.1 MDEFGVLTERFGLKPQGKSAPMAASRGSNNTQIPNFASSNPKTSPYSSKSTYNSHSFNGSFMDDHETLRSNSKSQNFGDDFDIFGGFQKNSKQTSTGFGFDYDSVFSSTKNSNAKSSFYDDIFGGLNVSSSTVNNNDNDDIFGAFTSSSSKAAKQRAPVDDLLGGFGTKLKPPSRNGSVGYDDLIPGFGSSKSSSKEENIRTTTPAFTSTEDPFVVLEQTSTKTKLSTDPLEEFGKFNHSGRAESTVLSNRSLPLRPPPKPGQVLKTGKSSDVFAIDELEDFAMVRMQTNAHSGSNGRHAREVKESADDLELFFGRGSRSSSVPKSRHEVPDPLFDAKINAKGKPEFPTKKSSTSSPGIRKTSAKNVIGDLSSIFGDAMLSGEFEEVDGESEERRRARWDRHQRTRDRMEQAVADMNQRDRQTLHEQEERRRIADKMDVQIKHWAAGKEGNLRALLSSLQYVLWPDCDWEPVSLTDLITSTSVKKVYRKATLCVHPDKVQQKGATIQQKFIAEKVFDTLKEAWNKFSKEELS >Potri.006G216950.1.v4.1 pep chromosome:Pop_tri_v4:6:22257111:22257648:-1 gene:Potri.006G216950.v4.1 transcript:Potri.006G216950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216950.v4.1 MFSLHLESNRQLPSSILREQIFREELAKAKPPSATSLMIVKLECVDSNFFVYSVFTNFSDLTYLITINPGSLCFGF >Potri.009G058000.1.v4.1 pep chromosome:Pop_tri_v4:9:6062150:6068312:-1 gene:Potri.009G058000.v4.1 transcript:Potri.009G058000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058000.v4.1 MEDEAVNNVMCQFTDPEGTPLGAPLYLPQNAGPQQLQQIVNKLLNNEEKLPYAFYISDQELVVPLETYLQKNKVSVEKVLAIVCQPQAIFRIRPVNRCSATIAGHAEAVLSVAFSPDGRQLASGSGDTTVRLWDLNTQTPMFTCTGHKNWVLCIAWSPDGKHLVSGSKAGELQCWDPQTGKPSGNQLVGHKKWITGISWEPVHLNAPCRRFVSASKDGDARIWDISLRKSVICLSGHTLAITCVKWGGDGVIYTGSQDCTIKVWETSQGKLIRELKGHGHWVNSLALSTEYVLRTGAFDHTGKTHSSPEEMKKVALERYNKMKGNAPERLVSGSDDFTMFLWEPAVSKHPKTRMTGHQQLVNHVYFSPDGNWVASASFDKSVKLWNGITGKFVAAFRGHVGPVYQISWSADSRLLLSGSKDSTLKIWDIRTQKLKQDLPGHADEVFAVDWSPDGEKVASGGKDRVLKLWMG >Potri.003G212700.1.v4.1 pep chromosome:Pop_tri_v4:3:20984070:20988524:-1 gene:Potri.003G212700.v4.1 transcript:Potri.003G212700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212700.v4.1 MAATAASGLQMATARPCISSSHRVVKAGAAILGASSKGASWAKLASGSHISSIQPFQRTFMSSSVKLNKVVTKATSESSDSKPVSGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAASGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSWMDITKVYPLDAVFDNPEDVPEDVKANKRYAGSSKWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAAISASSYSYVSLLKHFLPIMNLGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNRIRVNTISAGPLRSRAAKAIGFIDTMIEYSLANAPLQKELSADEVGNAAAFLASPLASAVTGTVMYVDNGLNTMGVGVDSPIFKDLDIPKDNHQG >Potri.014G042800.1.v4.1 pep chromosome:Pop_tri_v4:14:2771051:2776629:-1 gene:Potri.014G042800.v4.1 transcript:Potri.014G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G042800.v4.1 MPSTYFSRLRSAVQNGIQRSGIGQEGVLQNFESLIGQGKFRFCNYRLFHSVCVASLTDLQLLLRPGTVVAASSDSLVVNRKRNISVVGAVSRTLSVPSVSGPSFQVCGYHIDRALCDNNQILASGKPYNKPMAARASRAVFGESLLENLTSRVGHLPSSTNNPCISYGSSSSQSFRKASMSLKNQEQPTNSPIYGYFVYNVAKRWCDFSPYMETGFRDFQSSAHSCFAAGTAPDVTYENSTREEQPEGSASSEQKISTGKMLKLLSGSCYLPHPDKEETGGEDAHFICADEHAVGVADGVGGWADHGIDSGLYSRELMSNSVTAVQEEPKGSIDPARVLEKAHSSTKAKGSSTACIIALTDQGLHAINLGDSGFIVVRDGCTVFRSPVQQHGFNFTYQLENGNNGDLPSSGQVFTIPVAPGDVIVAGTDGLFDNLYNNEINAVVVHAMRAGLEPQATAQKIAALARQRAQDKDRQTPFSTAAQDAGFRYYGGKLDDITVVVSYITSSDDV >Potri.001G274200.1.v4.1 pep chromosome:Pop_tri_v4:1:28786913:28788116:1 gene:Potri.001G274200.v4.1 transcript:Potri.001G274200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274200.v4.1 MRQLMGLRKELACLAIIFFILLLLETSSLPDRSARYGSSKNTGSTSQLMGPVKSHGGGLRGDKDEGGDATLGDEKRKIFTGPNPLHNR >Potri.006G023400.1.v4.1 pep chromosome:Pop_tri_v4:6:1578439:1585643:1 gene:Potri.006G023400.v4.1 transcript:Potri.006G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023400.v4.1 MMKPPQGEEEDEERLRQRKLEEALEVKSLRRIISAYLNYPEAAEEDVKRYERSFRKLSSSHKALLSHYPLKFQSLRRCISINSFFIINMLQAFEPPLDMSHDVDDCGCSHFEQPPNDMNVCSHESAAASGSCCSKPDEACCGEPSNMMSKPADCLAPNEEVDTEGCLRSDTGSCLAGRENYKMTSECCSNHVSDSNGNVPSSHHDWLDPSLQLRVPMVDVDKVRCIIRNIVRDWAAEGQKERDQCYKPILEELNSLFPDRSNESPPTCLVPGAGLGRLALEISCLGFVSQGNEFSYYMMICSSFILNQTETAGEWTIYPWIHSNCNSLSDSDQLRPVSIPDIHPASAGITEGFSMCGGDFVEVYSDPSQVGVWDAVVTCFFIDTAHNIVEYIEIISRILKDGGVWINLGPLLYHFADVYGQEDEMSIELSLEDVKRVALNYGFEVEKESTIETTYTTNPRAMMQNRYFPAFWTMRKKSVEKHST >Potri.012G061000.2.v4.1 pep chromosome:Pop_tri_v4:12:6239927:6250009:1 gene:Potri.012G061000.v4.1 transcript:Potri.012G061000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061000.v4.1 MEAPQGGSERDKTISTSPVSLVSNFWKDFELEKEKSVLDEQGLRIAENQENSQKNRRKLAESTRDFKKASSDEKLGLFNSLLKGFQEEVDNLTKRAKFGENAFLNIYQKLYEAPDPYPALASISEQDLKLSELESESRKMKVELEEFRTEAAHLKNQQATIRRLEERNRQLEQQMEEKVKEIVEMKQRSLAEENQKTLEVLKEREQSLQDQLRQAKDSVATIQKLHELAQSQLFEVRAQSEEEWAAKQSEVNFLMDEVERAQTRLHSLEREKGVLRSQLQSANEEIEDKKGDNSDSTSILESSLSTKEKLISELNMELHNIETTLTNEREQHINEIKKLNILLNEKEAALEEMKKELQAKPTEKLVDDLRKKVKILQAVGYNSIEAEDWEVATSGEEMSKMESLLLDKNRKMEHELTQLKVKISEKATSLETAEGKITELTAKVNEQQKLIQKLEDDILKGYSSKDRKGSLFDAWDLSEAGGVELSENADQKHVSVDQDQSSMLKVICNQRDRFRARLRETEEEVRQLKEKIGVLAADLEKTKADNVKLYGKIRYVQDYNLEKVVSRGSKKHAEDLESGFASDVESKYKKIYEDDINPFAAFSKKERDQRYKELGFRDRITLSSGRFLLGNKYARTFAFFYTIGLHILVFTCLYRMSALSYLSHGEAFDGDKKLDLPHAL >Potri.016G125400.1.v4.1 pep chromosome:Pop_tri_v4:16:12901134:12902236:1 gene:Potri.016G125400.v4.1 transcript:Potri.016G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G125400.v4.1 MPANPPRSSLLIHRINNTTSNTTLNTTNTTTATSCQKRWSPLPCDATPVPETVSRYHTHAVGPNQCCSAVVQQIAAPISTVWSVVRRFDNPQAYKHFVKSCHVILGDGDVGTLREIHVISGLPAAHSTERLEILDDERHVISFSVVGGDHRLANYKSVTTLHSSPSGNGTVVMESYAVDIPPGNTKEDTCVFVDTIVRCNLQSLAQIAENSNRRNNKSSSA >Potri.002G183500.1.v4.1 pep chromosome:Pop_tri_v4:2:14562676:14568564:1 gene:Potri.002G183500.v4.1 transcript:Potri.002G183500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183500.v4.1 MSARLLKKFLKEQELQQQQHHVEDEEEEEEEAAESPDSENRPAINPFDLLNDDDVDQLQEDEPEIADEMVVGKDHKQELSVMKSMTGAISTSNQKSKKKKKKKCKAGLTSITNKVEEPLDDTLDALSLDANSSRHKLGPTKTKPETSKLCAGFVKQCAPPALQLDPKCLNPENELRRIFGSKVVKSFEKSNQDSSSRQVRGGRRGAHHTRKTILVSPSEHWPRWDGSLSMEFLETKDGYHHFRYVHSSYYDQAQSAFEAAKAIQDLNGIASILLYQPYHLDSLITMADYFKFVGEHQMSADAIAKSLYALECAWHPTFTPLQANCQLKINHETNKPMFTTLFTHMKNLDRRGCHRSALEVCKLLLSLDLDDPMGAMFCVDYFALRAEVYAWLEWFSEDYKSDNSLWLFPNFSYSLAICRFYLECEEPSKDDDTSATKSSSADLMKQALMLHPSVLKKLVAKVPLKDQAWTNILKHAFFQSEKTGSASLDHLINIYVERSYIIWRLPDLQKLLRNSALQVIETLEHSSSDAKDWACVRKEAFSSENNEYGHLLVSDFSDTVPTLPPENLQNFMVDPRMREAEQNGGQIANPLDGGPAPRDVANRNALAVLFESMLPWVNYGGGGDEGGNEENQVDGHEQGDD >Potri.002G183500.2.v4.1 pep chromosome:Pop_tri_v4:2:14562727:14568557:1 gene:Potri.002G183500.v4.1 transcript:Potri.002G183500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183500.v4.1 MSARLLKKFLKEQELQQQQHHVEDEEEEEEEAAESPDSENRPAINPFDLLNDDDVDQEDEPEIADEMVVGKDHKQELSVMKSMTGAISTSNQKSKKKKKKKCKAGLTSITNKVEEPLDDTLDALSLDANSSRHKLGPTKTKPETSKLCAGFVKQCAPPALQLDPKCLNPENELRRIFGSKVVKSFEKSNQDSSSRQVRGGRRGAHHTRKTILVSPSEHWPRWDGSLSMEFLETKDGYHHFRYVHSSYYDQAQSAFEAAKAIQDLNGIASILLYQPYHLDSLITMADYFKFVGEHQMSADAIAKSLYALECAWHPTFTPLQANCQLKINHETNKPMFTTLFTHMKNLDRRGCHRSALEVCKLLLSLDLDDPMGAMFCVDYFALRAEVYAWLEWFSEDYKSDNSLWLFPNFSYSLAICRFYLECEEPSKDDDTSATKSSSADLMKQALMLHPSVLKKLVAKVPLKDQAWTNILKHAFFQSEKTGSASLDHLINIYVERSYIIWRLPDLQKLLRNSALQVIETLEHSSSDAKDWACVRKEAFSSENNEYGHLLVSDFSDTVPTLPPENLQNFMVDPRMREAEQNGGQIANPLDGGPAPRDVANRNALAVLFESMLPWVNYGGGGDEGGNEENQVDGHEQGDD >Potri.002G183500.3.v4.1 pep chromosome:Pop_tri_v4:2:14564138:14568597:1 gene:Potri.002G183500.v4.1 transcript:Potri.002G183500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183500.v4.1 MEFLETKDGYHHFRYVHSSYYDQAQSAFEAAKAIQDLNGIASILLYQPYHLDSLITMADYFKFVGEHQMSADAIAKSLYALECAWHPTFTPLQANCQLKINHETNKPMFTTLFTHMKNLDRRGCHRSALEVCKLLLSLDLDDPMGAMFCVDYFALRAEVYAWLEWFSEDYKSDNSLWLFPNFSYSLAICRFYLECEEPSKDDDTSATKSSSADLMKQALMLHPSVLKKLVAKVPLKDQAWTNILKHAFFQSEKTGSASLDHLINIYVERSYIIWRLPDLQKLLRNSALQVIETLEHSSSDAKDWACVRKEAFSSENNEYGHLLVSDFSDTVPTLPPENLQNFMVDPRMREAEQNGGQIANPLDGGPAPRDVANRNALAVLFESMLPWVNYGGGGDEGGNEENQVDGHEQGDD >Potri.006G043166.1.v4.1 pep chromosome:Pop_tri_v4:6:2908482:2910881:1 gene:Potri.006G043166.v4.1 transcript:Potri.006G043166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043166.v4.1 MATSSSDDFSVFVLASDLGIDARPFLTNKEREQNQENPEPENWHDCCQDFISDEDFSDLDLLQFFTLQGSDKSGNRVFRIVGKYFPAQVVSGERLKKYIFHKICSELPEGPLCIVYMHSTVQKEDNSPGVTILRWIYEELPAGIKDRLQTVYFIHPGLRSRLVFATLGRFFLSGGLYWKIKYVSRLQYLWEDIKKGEIEIPEFVQNHDNILENRPLTDYGIEPDPFHLSEMPMTAYSFGRYEERWSSREFAS >Potri.001G069650.1.v4.1 pep chromosome:Pop_tri_v4:1:5247538:5253011:1 gene:Potri.001G069650.v4.1 transcript:Potri.001G069650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069650.v4.1 MSEQELIEEETKVENKLKGRKISWGNLRRVDSLNLEAGRVSMSHSHGAHTSKTDWKRTLSLAFQSIGIVYGDIGTSPLYVYASTFTEGINHDEDILGVLSLIIYTIVLVPMLKYVFIVLRANDNGDGGTFALYSLICRSAKVSLIPNDQPEDHQLSNYRLDTPSNQLRRAHMIKEKMESSKTIKIILFLITILGTSMVIGDGVLTPCISVLSAVSGIKSLGKDAVVGISIAILIVLFSVQRLGTDKVGFAFAPVILLWFSFITGIGLYNLFKYEIGVLRAFNPKYMIDYFKRNGKQGWISLGGIVLCITGTEAMFADLGHFNVRAIQISFSSIVFPALVAAYSGQAAYLTKFKVDVSDTFYKSIPDPLYWPTFVIAVAAAIIASQAMISGAFAIISQSLSLGCFPRVKVVHTSAKYEGQVYIPEVNYLLMVACVVVCFAFKTTVKIGNAYGIAVVAVMVITTCLVTLIMLVIWKTRIWWIALFFFGFGAIEAVYLSSVLYKFKQGGYFPLAFSLILMISMGIWHYVHRERYIYELQNKVSREYVRDLAERTDINRLPGIGLLYSELVQGIPPIFPHFISNIPSTHSVIVFVSIKSIPITKVALEERFLFRQVEPREYRMFRCIVRYGYKDSIEEPHEFERQLVENLKEFIRHEHFIREGGNNESAPEEDNIQHSTLLAVKDGKTKGYAAVHVEESPQQPNPPLISSVSIQSINASSRSNQSVNGIKSANSSGGMIHAAVPQGAEEEMQFVQKAMEKGVIYLIGEAEVVAKPESSWFKKLVVDYGYSFLRKNFRQGQTVLAIPRTRLLRVGMTYEV >Potri.018G114200.1.v4.1 pep chromosome:Pop_tri_v4:18:13090871:13091698:-1 gene:Potri.018G114200.v4.1 transcript:Potri.018G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114200.v4.1 MAKPHVTFMSPIGSPRMSHEQQGYDRLDDYEQEAYSANGCCCFGLFNFNSRRNNEREYDVLNQNGDSSDPWLVRKLKKVKEASEVLAGPKWKTFIRKISAYIKKKKQRNNQSQYDAESYALNFDREEDDCLIPGFSSRFAAASSEDQEARRNGL >Potri.010G104100.1.v4.1 pep chromosome:Pop_tri_v4:10:12619684:12621220:1 gene:Potri.010G104100.v4.1 transcript:Potri.010G104100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104100.v4.1 MAENGYADLEKQKLFLEEHEEKHFMSSEIVRDIIIGVSDGLTVPFALAAGLSGANVTSSIILIAGIAEVAAGAISMGLGGYLAAESEADHYTRELKREQEEIISVPDTEAAECGEILSQYGIEPHEYEPVVNALRRNPQHWLDFMMKFELGLEKPDPMRALQSALTIAISYIVGGLVPLAPYMVIPLAKEAVVASVIITIVALLLFGFVKGYFTGNNPFKNAIQTAFIGAMASAAAYCIAKVFRA >Potri.014G139700.1.v4.1 pep chromosome:Pop_tri_v4:14:9497696:9503673:1 gene:Potri.014G139700.v4.1 transcript:Potri.014G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139700.v4.1 MGEWVIGAFINLFGSIAINFGTNLLKLGHNERERHSTQDNVGTSGKVPVKPIIYFQTWRVGILFFFLGNCLNFISFGYAAQSLLAALGSIQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNMTFLFYCLVLILLVALHHYIYRRGEIILAISGQDLRPYWQMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSSDYQLHSWFTYSILLLFLSTAGFWMTRLNEGLALFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDALRTTMFILGMTSVFVGISLLAPDESRGGEVKDNASLVPVVSSSISIETDRLVISSEDAQNKDPRSFAQAMVIKIKEVLAKAKTACSLSLGFGEDSINASAVLVMPMVSSKITGFRGTVFDRPKFFSLRNSGWSKISMDDDGVNVLETNPVLPQTL >Potri.014G139700.4.v4.1 pep chromosome:Pop_tri_v4:14:9497710:9503673:1 gene:Potri.014G139700.v4.1 transcript:Potri.014G139700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139700.v4.1 MGEWVIGAFINLFGSIAINFGTNLLKLGHNERERHSTQDNVGTSGKVPVKPIIYFQTWRVGILFFFLGNCLNFISFGYAAQSLLAALGSIQFVSNIAFAYFVLNKMVTVKVLVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNMTFLFYCLVLILLVALHHYIYRRGEIILAISGQDLRPYWQMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSSDYQLHSWFTYSILLLFLSTAGFWMTRLNEGLALFDAILIVPMFQIVWTFFSICTGFVYFQEYQVLPLSLSVELM >Potri.014G139700.3.v4.1 pep chromosome:Pop_tri_v4:14:9499930:9503674:1 gene:Potri.014G139700.v4.1 transcript:Potri.014G139700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139700.v4.1 MVTVKVLVATAFIVLGNIFLVAFGNHQSPVYTPEQLAEKYSNMTFLFYCLVLILLVALHHYIYRRGEIILAISGQDLRPYWQMLLPFSYAVVSGAVGSCSVLFAKSLSNLLRLAMSSDYQLHSWFTYSILLLFLSTAGFWMTRLNEGLALFDAILIVPMFQIVWTFFSICTGFVYFQEYQVFDALRTTMFILGMTSVFVGISLLAPDESRGGEVKDNASLVPVVSSSISIETDRLVISSEDAQNKDPRSFAQAMVIKIKEVLAKAKTACSLSLGFGEDSINASAVLVMPMVSSKITGFRGTVFDRPKFFSLRNSGWSKISMDDDGVNVLETNPVLPQTL >Potri.015G003500.1.v4.1 pep chromosome:Pop_tri_v4:15:221321:222988:-1 gene:Potri.015G003500.v4.1 transcript:Potri.015G003500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX78 MMMSSKKLTQLSVICWVAVLFCQSVQSQLQVGFYRNSCRRAELIVRNAVRDGINKDRGVAAGLVRMHFHDCFVRGCEGSVLLDSTSSNTAEKDSPANNPSLRGYEVVDDARAKLEAECRGVVSCADILAFAARDSFDLTGGISYDVPAGRRDGTVSLVAETSDLPPPTLNVDQLTQNFAKKGLSQEEMVTLSGGHTIGRSHCTSFRDRLYNFSGTNSQDPSLDATYAASLKQKCPQASTDTNLVVPMDTITPTISDVSYYRDILANRGLFTSDQTLLSNTATASQVNSNSRSPLGWKRKFAAAMVKMGQIEVLTGNTGEIRANCRVINS >Potri.009G053566.1.v4.1 pep chromosome:Pop_tri_v4:9:5782994:5784369:-1 gene:Potri.009G053566.v4.1 transcript:Potri.009G053566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053566.v4.1 MYDEIRSSVLARKLFDITTVRDAVLWNSMICAYIEYGFYEAIDVFKRMQEEISLDERTIVALLSLCRELDDGLKRGRSLHAHSFKSEMRMDVSVENAFLSMYTDLNCVEAAQKVFGEMSTDVDVIL >Potri.016G126000.4.v4.1 pep chromosome:Pop_tri_v4:16:12953843:12960852:1 gene:Potri.016G126000.v4.1 transcript:Potri.016G126000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126000.v4.1 MATVALSLKLLSIHNFHTNPSFISQKRNENLSAPLKTTVPRSSPPQNNSATTNTNTTTTKTTKTTSLDNNTPNEPKLKPTSVDSKPSSTSTSSLTDSVAILFTRLRDGVKIDEVGVEILSIALPAALALAADPIASLVDTAYVGHIGSVELAAVGVSISIFNLVSKLFNVPLLNITTSFVAEEQALISKSNDDSVKDQEGKRVLPSVSTSLALAAAVGVAETVALSVGSGFLMNIMGIPVDSPMRVPAEQFLTLRAFGAPPIVIALAAQGTFRGFMDTKTPLYAIGAGNLLNAILDAIFIVVFGFGVGGAAVATVISEYLIAFILLWELNDKVQLISPNIDAREVVRYLNSGGLLIGRTIAVLLTMTLATSMAAREGPIQMAGHQICMQVWLAVSLLNDALAIAGQALLASGYSQGNYEQARLVIYRVLQIGLVTGIALGVILSLGFGAFSSLFSTDPEVLGVVWSGIWFVAGSQPMNALAFVLDGLYYGVSDFGFAAYSMVLVSLISSVFVLVAAPVFGLTGVWAGLFLFMTLRVVAGVWRLGTKRGPWEMVWVNSQQESE >Potri.005G105500.2.v4.1 pep chromosome:Pop_tri_v4:5:7591664:7593433:-1 gene:Potri.005G105500.v4.1 transcript:Potri.005G105500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105500.v4.1 MVFLCWAKPSLQEQKDCLNKSDGFNYDSKYRGATSKHASSLNELSKDGFLINHARVLVGSGVETYEKGKLALENWRHFGFDWGFVDSKTPIRSGVKFCVCVKEFLPWVMMPLQIVYVNESRSSKKDMASFCFGGGTLQGHLLAGEERFSIEMDEKNQVWYEVLSFSKPAHFLSFIGYPYVQLRQKFFAHQSSNAVVKHVSGS >Potri.013G162700.1.v4.1 pep chromosome:Pop_tri_v4:13:15575253:15576701:-1 gene:Potri.013G162700.v4.1 transcript:Potri.013G162700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G162700.v4.1 MSCREGLMSPQTETKAGVGFKAGVKDYKLTYYTPDYETKDTDILAAFRVTPQPGVPPEEAGAAVAAESSTGTWTTVWTDGLTSLDRYKGRCYDIEPVAGEENQFIAYVAYPLDLFEEGSVTNMFTSIVGNVFGFKALRALRLEDLRIPPAYVKTFQGPPHGIQVERDKLNKYGRPLLGCTIKPKLGLSAKNYGRAVYECLRGGLDFTKDDENVNSQPFMRWRDRFLFCAEALYKAQAETGEIKGHYLNATAGTCEEMIKRAVFARELGVPIVMHDYLTGGFTANTSLAHYCRDNGLLLHIHRAMHAVIDRQKNHGIHFRVLAKALRMSGGDHIHSGTVVGKLEGERDITLGFVDLLRDDFVEKDRSRGIYFTQDWVSLPGVLPVASGGIHVWHMPALTEIFGDDSVLQFGGGTLGHPWGNAPGAVANRVALEACVQARNEGRDLAREGNEIIREASKWSPELAAACEVWKEIKFEFQAMDTL >Potri.006G083700.4.v4.1 pep chromosome:Pop_tri_v4:6:6240441:6245713:1 gene:Potri.006G083700.v4.1 transcript:Potri.006G083700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083700.v4.1 MASMDNNRDEEEPFVAAAASVDNSETLRNHTKDVHILSSAFLLIFLAYGAAQNLETTLNTKDDMGTISLGILYVSFTFFSLIASSVVRFMGSKNAVLLGTSGYWLFIAANLKPTWYTMVPASLYLGFAASIIWVGQGTYLTSTARSQARDYHLHEGTVIGNFNGEFWGMFASHQFVGNLISLAILRNGTEGSTSGTTLLFTVFLCSMTLGTILVCFLSKRVDGGEEGPKDSSVSLYSSLTSLSKSVITPLLDVRMLLIIPLIAYSGLQQAFVWAEFTEKIATPALGVSGVGGSMAVYGAFDTICSFTAGRLTSGIFSITWIVSAGLFLQAVVFLWILLKYSLTSGVLGIVYPLLMAAMLGIGDGAINTQLSALLGILFKHDTEGAFAQLKVWQSASIAVVFFINPYISLQVMVEIMLAALFVAAGGFLVLSLRIEKAFSSPS >Potri.006G083700.2.v4.1 pep chromosome:Pop_tri_v4:6:6240264:6244652:1 gene:Potri.006G083700.v4.1 transcript:Potri.006G083700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083700.v4.1 MASMDNNRDEEEPFVAAAASVDNSETLRNHTKDVHILSSAFLLIFLAYGAAQNLETTLNTKDDMGTISLGILYVSFTFFSLIASSVVRFMGSKNAVLLGTSGYWLFIAANLKPTWYTMVPASLYLGFAASIIWVGQGTYLTSTARSQARDYHLHEGTVIGNFNGEFWGMFASHQFVGNLISLAILRNGTEGSTSGTTLLFTVFLCSMTLGTILVCFLSKRVDGGEEGPKDSSVSLYSSLTSLSKSVITPLLDVRMLLIIPLIAYSGLQQAFVWAEFTEKIATPALGVSGVGGSMAVYGAFDTICSFTAGRLTSGIFSITWIVSAGLFLQAVVFLWILLKYSLTSGVLGIVYPLLMAAMLGIGDGAINTQLSALLGILFKHDTEGAFAQLKVWQSASIAVVFFINPYISLQVMVEIMLAALFVAAGGFLVLSLRIEKAFSSPS >Potri.013G054500.1.v4.1 pep chromosome:Pop_tri_v4:13:3966437:3967371:1 gene:Potri.013G054500.v4.1 transcript:Potri.013G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054500.v4.1 MASSQFIAFALVTIILPTLTMAAEHIVGDDKGWTVNFNYTTWASGKVFHVGDTIVFKYQPPHNLYKVDGNGFKNCVASGEALTSGNDIITLGSTGKKWYICGFGKHCSELGQKLVINVEAEAPAPTPIPNAAYGLAASGYQIIVAAVAVVAGMIVA >Potri.004G034200.1.v4.1 pep chromosome:Pop_tri_v4:4:2655670:2656904:-1 gene:Potri.004G034200.v4.1 transcript:Potri.004G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034200.v4.1 MENLSWELNSNSISFLIIEIMTSINLSHNPLFSCIITLYTLILLYFPQAHKHSISSILIITLTLLLLLLRLGAIQRLQLSVTEGDETIEIKQNKDTHFGRASNSCSLTLADKWGATQCAEKGRFDLDPNLELKGSSVEWDVRAPLEVIYEGYEGEEEENPNEKDAVQDPTRFGGLERYPSLAMYYPETDSDSDSDGVFSVAGEWDMPERCCFKWEEEDREGLLIEIALDHSDTKKDMGLGLDPGLDFHVEEDNLIEIDISPAKNDRLFPGEV >Potri.012G028801.1.v4.1 pep chromosome:Pop_tri_v4:12:2869659:2870298:-1 gene:Potri.012G028801.v4.1 transcript:Potri.012G028801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028801.v4.1 MNSGAGGILDKCKGFGLAENLQLSIQEFRTNPFLISRVIWKNETSNAFRERKMHLSCEFKHRAMSEFMRSSTRLASILASLWELG >Potri.001G092800.2.v4.1 pep chromosome:Pop_tri_v4:1:7354601:7356958:1 gene:Potri.001G092800.v4.1 transcript:Potri.001G092800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092800.v4.1 MDSSPPTKRRRKTKSEGTNDKSSSSPVVVFAHGAGAPSSSDWMLRWKEMLKNALDAVEVVTFDYPYIAGGKKRAPPKAEKLVEFHKDIVKKTTDKYPAHPLILAGKSMGSRVSCMVAAEVDIDASAVICLGYPLKAMGGAVRDETLLQLTVPVMFVQGSKDGLCPLEKLEAVCKKMKSHNELHVINGGDHSFKIGKKHLQIKGSTQDQAEDLAVQGVSSFVSRCLKGK >Potri.013G158400.1.v4.1 pep chromosome:Pop_tri_v4:13:15302298:15307363:-1 gene:Potri.013G158400.v4.1 transcript:Potri.013G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158400.v4.1 MADDNTDLKDEVAELAPFDPTKKKKKKKVVIQENADESVDSLAEKTESLSVSDGLESSFTGLKKKKKKPVETSLLDEETGDAGEEDLDVHAGEEENGEGADLQQQRFPWDGTDRDYDYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQADHVMAFLLAELGTSGSLDGQQRLVIKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >Potri.013G158400.5.v4.1 pep chromosome:Pop_tri_v4:13:15303221:15307143:-1 gene:Potri.013G158400.v4.1 transcript:Potri.013G158400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158400.v4.1 MADDNTDLKDEVAELAPFDPTKKKKKKKVVIQENADESVDSLAEKTESLSVSDGLESSFTGLKKKKKKPVETSLLDEETGDAGEEDLDVHAGEEENGEGADLQQQRFPWDGTDRDYDYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQADHVMAFLLAELGTSGSLDGQQRLVIKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >Potri.013G158400.4.v4.1 pep chromosome:Pop_tri_v4:13:15303221:15307319:-1 gene:Potri.013G158400.v4.1 transcript:Potri.013G158400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158400.v4.1 MADDNTDLKDEVAELAPFDPTKKKKKKKVVIQENADESVDSLAEKTESLSVSDGLESSFTGLKKKKKKPVETSLLDEETGDAGEEDLDVHAGEEENGEGADLQQQRFPWDGTDRDYDYEELLGRVFNILRENNPELAGDRRRTVMRPPQVLREGTKKTVFVNFMDLCKTMHRQADHVMAFLLAELGTSGSLDGQQRLVIKGRFAPKNFEGILRRYINEYVICLGCKSPDTILSKENRLFFLRCEKCGSGRSVAPIKAGFVARVGRRNAGT >Potri.010G169000.3.v4.1 pep chromosome:Pop_tri_v4:10:17117372:17119340:-1 gene:Potri.010G169000.v4.1 transcript:Potri.010G169000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169000.v4.1 MDSDPLIRSYPDIGERAFGYKGRTLVSIFMYLELYLVAVEFLILEGDNLDRLFPNTGVKFAGLYIGGKTAFVLLTALVILPTTWLKSLGMLAYVSAGGVMASVLLVGCVLWVGAVDGVGFHENGVLLNGGGLPTTLSLFTFCYCGHAVFPTLCNSMKDRSQFSKVLLICFVTSTITYGSMAVLGYLMYGEYLKSQVTLNLPIRKIGSKIAIYTTLINPLTKYAVITAPIATAIEDTLVFRNSRYLSILIRTVIVISTVVVALTIPFFGYVMAFIGAFLSVTVSMLLPCLCYLRIDKSARRFGLELVLIVGILIVGSFVGIIGTYTSIKQIVKHL >Potri.010G169000.1.v4.1 pep chromosome:Pop_tri_v4:10:17117476:17119342:-1 gene:Potri.010G169000.v4.1 transcript:Potri.010G169000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169000.v4.1 MECQKVNEVERQNQLPQPEVPNRGTPFLGTCFNGLNALSGVGILSIPYALSQGGWLSLILLFLVAVLCWYTGLLLRRCMDSDPLIRSYPDIGERAFGYKGRTLVSIFMYLELYLVAVEFLILEGDNLDRLFPNTGVKFAGLYIGGKTAFVLLTALVILPTTWLKSLGMLAYVSAGGVMASVLLVGCVLWVGAVDGVGFHENGVLLNGGGLPTTLSLFTFCYCGHAVFPTLCNSMKDRSQFSKVLLICFVTSTITYGSMAVLGYLMYGEYLKSQVTLNLPIRKIGSKIAIYTTLINPLTKYAVITAPIATAIEDTLVFRNSRYLSILIRTVIVISTVVVALTIPFFGYVMAFIGAFLSVTVSMLLPCLCYLRIDKSARRFGLELVLIVGILIVGSFVGIIGTYTSIKQIVKHL >Potri.004G104400.1.v4.1 pep chromosome:Pop_tri_v4:4:9161396:9168085:-1 gene:Potri.004G104400.v4.1 transcript:Potri.004G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104400.v4.1 MGTGNDELLKSDLGLSDSHSQLGSNETKESKDDEESVELNEGAVGNDERMKNGESVELNEGAVGNNEGTKNGEGFELNVGVIGNDEVTVDPGYSALKVGNTGTEEAATDQSNLVLEERGIGSEEVHFAVETEVDMDLVDSPVRQVNIEVVDAVIVSKKPNISSITGNVEDCFLDTQNKSLIQQEKVDGSHVSGVKRKRITYNEEQPSVHVMYNSLTRASKKKLEELLQQWSEWHAQQNSSSHDSDEMLQSGEDTYFPALRIGMVKSSAVTFWIENQTRKQQDNAIIPLQSNYVPLYDRGYALGLTSADGPINIERGLEIVGDAARCYNCASYNHSLKECPKPRDNAAVNNARKQHKFKRNQNSSSRNPTRYYQSSSGGKYDGLKPGSLDTETQKLLGLGELDPPPWLNRMQELGYPPGYLDPDDEDQPSGITIFADGDVNEEQEDGEITETDPPPEPQRKMSVEFPGINAAIPENADQRLWEVGPTSSDPWRHRSQHRLKYSSEATGRWHHHEQRQYRDDGPPGVDSVFSPSMSSYPPRYGHYDSSYSSDSPRDLTPAFGRSNSDRGRSSLVHEDFVSHGSTSNSSLRKRSSPQDIGSARYRTDNSPDDYDTDYSYRDYSFDRHRHRSRR >Potri.004G104400.3.v4.1 pep chromosome:Pop_tri_v4:4:9161368:9168085:-1 gene:Potri.004G104400.v4.1 transcript:Potri.004G104400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104400.v4.1 MGTGNDELLKSDLGLSDSHSQLGSNETKESKDDEESVELNEGAVGNDERMKNGESVELNEGAVGNDERMKNGESVELNEGAVGNNEGTKNGEGFELNVGVIGNDEVTVDPGYSALKVGNTGTEEAATDQSNLVLEERGIGSEEVHFAVETEVDMDLVDSPVRQVNIEVVDAVIVSKKPNISSITGNVEDCFLDTQNKSLIQQEKVDGSHVSGVKRKRITYNEEQPSVHVMYNSLTRASKKKLEELLQQWSEWHAQQNSSSHDSDEMLQSGEDTYFPALRIGMVKSSAVTFWIENQTRKQQDNAIIPLQSNYVPLYDRGYALGLTSADGPINIERGLEIVGDAARCYNCASYNHSLKECPKPRDNAAVNNARKQHKFKRNQNSSSRNPTRYYQSSSGGKYDGLKPGSLDTETQKLLGLGELDPPPWLNRMQELGYPPGYLDPDDEDQPSGITIFADGDVNEEQEDGEITETDPPPEPQRKMSVEFPGINAAIPENADQRLWEVGPTSSDPWRHRSQHRLKYSSEATGRWHHHEQRQYRDDGPPGVDSVFSPSMSSYPPRYGHYDSSYSSDSPRDLTPAFGRSNSDRGRSSLVHEDFVSHGSTSNSSLRKRSSPQDIGSARYRTDNSPDDYDTDYSYRDYSFDRHRHRSRR >Potri.006G126750.1.v4.1 pep chromosome:Pop_tri_v4:6:10175140:10175508:1 gene:Potri.006G126750.v4.1 transcript:Potri.006G126750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126750.v4.1 MMKAKVNTISCSTDLIEGSKKANIMLHNETRFIIDNVLFFTKSMRNLLNFKDIRLNKYHIETVNDNDIEYLYIVSNVSTGKQILEKLFVLSLGLYYTSFGTIEVNAIMNQKFNKPTNFIIWHD >Potri.008G019700.1.v4.1 pep chromosome:Pop_tri_v4:8:988785:991158:1 gene:Potri.008G019700.v4.1 transcript:Potri.008G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019700.v4.1 MGNCNCFTNSKQAMAEIAPYDLIKSTTAVHLYGDPATSSTLYIHFALLYKTRALQFTPTNDPQPVVQIGSETISGTREMMVRFIDVKLPQPPLVVQVEEEGGETAALVVKMAALQHRSVVWHLERMVRWSEDLVTRGGRRNGDPAMGSERMEVKKFQKSYTQLLEVMVEHAQMEERVVFPLLETAERGLCKAANEEHGRDLPIMNGIKEDIKSIVVLDTGSHDYREALRNLSSRLRSLLEHSKEHFQEEERDVLPLMEAMELSKEQQLRVLEQCFDVMQGTHSHLFSSFIHGLLPREAMQYLDLIISCKEEKLVAPMLLRIIQ >Potri.006G040400.2.v4.1 pep chromosome:Pop_tri_v4:6:2697503:2701148:-1 gene:Potri.006G040400.v4.1 transcript:Potri.006G040400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040400.v4.1 MATINKPLKPKPLSKLRSPILTLILCISAIALLYLFFSLNSTDGFSFSSPKSTRNSVDKPKRQHTIHEKYLYWGNRIDCPGKHCDSCEGLGHQESSLRCALEEAMFLNRTFVMPSRMCINPIHNKKGILHHSDNSNSEERWAESSCSMDSLYDMDLISETVPVILDNSKDWYQVLSTSMKLGARGVAHVEGISRVDLKENSHYSNLLLINRTASPLSWFMECKDRNNRSAIALPYSFLPTMAADRLRDAADKIMALLGDYDSIHVRRGDKIKTRNDRFGVSRTLHPHLDRDTRPEFIFHRIEKWVPPGRTLFIASNEKTPGFFSTLAVRYKLAYSSNYSMILDPVIENNYELFMIERLILMGAKTFIRTFKEDDTDLSLTDDPKKNTKSWQLPVYTMDEVEQGS >Potri.016G074500.3.v4.1 pep chromosome:Pop_tri_v4:16:5621081:5625130:1 gene:Potri.016G074500.v4.1 transcript:Potri.016G074500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074500.v4.1 MSINHFSTDLQQTLSWWAQQRQSIMEPNPNTSSSKPNKPPNSSWPPHLHHHDHHHSWLNHFSPQEPSSMFPPQNPNLSFNLNEEDDEEEYHEQQQEEAQREHEQEGLVQDKEPMFEKPLTPSDVGKLNRLVIPKQHAEKYFPLSGDSVDKGLLLSFEDESGKYWRFRYSYWNSSQSYVLTKGWSRYVKEKQLDAGDAVLFERHRTDGDRLFIGWRRRGEMSSSSSANNSGVMVQGSGGGAWRRGLYSSPSSSGPYHLSGSNMQHGHGANVSATTVPFQSDFLHAVSAAQNQTAQPGNSRRLRLFGVNLECQLDEAEPPTPDGSSLSSLQGPVHQQFYSQPAYSSNSTHSQMGSTFSRDVKQMRNRRG >Potri.003G122900.1.v4.1 pep chromosome:Pop_tri_v4:3:14363067:14366169:-1 gene:Potri.003G122900.v4.1 transcript:Potri.003G122900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122900.v4.1 MASGDLERGTKNRGNNANFPSYYVETAEKQWTSWIIPMFVVANIAVFIVVMYVNDCPKKSLGIEGSCVAKFLGRFSFQPLKENPLFGPSAATLEKMGALEWNKVVHGHQGWRLITCMWLHAGVVHVLANMLSLIFIGIRLEQQFGFVRVGIIYLVSGFGGSILSSLFIQQNISVGASGALFGLLGAMLSELLTNWTIYSNKIAALLTLVVIIAINLAVGILPHVDNFAHIGGFMSGFLLGFVFLLRPQFGWAENRHSPADARVKSKHKAYQYVLMLAAAVLLIVGFTLALVMLFKGENGNDHCSWCHYLSCVPTSKWNCRS >Potri.014G013400.1.v4.1 pep chromosome:Pop_tri_v4:14:779309:780595:-1 gene:Potri.014G013400.v4.1 transcript:Potri.014G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013400.v4.1 MFFAQEAVQFEHPVHGPGFTANEIQELLSLLQPPSPTEISGSRGSNQAVYSIDERKRRRMVSNRESARRSRLRKKKHLEDLTQQLNRLKIQNRELQNRLGSIINQSHVLWRENGRLMSESVALKARLSDLRLVLAAMNAMQQAQ >Potri.009G064200.2.v4.1 pep chromosome:Pop_tri_v4:9:6517749:6520898:1 gene:Potri.009G064200.v4.1 transcript:Potri.009G064200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064200.v4.1 MKGELLECCPKLEAGDVSGLSTILVATIQEAKDRISQIEYIFCNQLYPNFQTKSKSLLKIYSDAEEVWKEKEKHLYELIEKLRVEKQEVVEENKLLKVGKEKSAGEPNEKANVLLAIPRNRESKIDELEQEVMKKYKEVDEGMELQNTLLQLVQTKAAMIVDKGRELKTNEEKTNELLAKVKSLEKHVEELQEEDRKKTEKVAEKTELANSLSKKASSLLKLIEDNEKLRTEHEKEKEQLMYKVECLEENLGGLKKKFLEKTEEIEEGRVLQAELLQQIEMNAVEILKQKEQLEKSENDKKVLLDKVNGLEEKVNELQANLSSSVKEAERKVSYENLLHRIELKDCELLAEKRKMSDLHGLYIKLRSQYKYLCTKSGLTMKNMLNDKLEDESGSLKHQLTTSTDRGNKNVDASAAPCEMKEVKTENEFSNVLVDNEVVKSIPIANFKSPTSGYAAPKCPPTVKSARIIGTKRPASSWIDTRSRQGKDGPDPHDDFLDTPLENLRADLDKAMKEEAHDPHVVVQKEKNMDPGSSDDETQDANVDRSPGEQWMPVPVGGKRGFKYVEPVRKKAERQNLKGVQCKQCKKFYDAVLPNNGGKSADGNQQNVRCEHHDGVSRHRYKYAPPLTPEGFWNIGFESEM >Potri.009G064200.6.v4.1 pep chromosome:Pop_tri_v4:9:6517550:6521977:1 gene:Potri.009G064200.v4.1 transcript:Potri.009G064200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064200.v4.1 MKGELLECCPKLEAGDVSGLSTILVATIQEAKDRISQIEYIFCNQLYPNFQTKSKSLLKIYSDAEEVWKEKEKHLYELIEKLRVEKQEVVEENKLLKVGKEKSAGEPNEKANVLLAIPRNRESKIDELEQEVMKKYKEVDEGMELQNTLLQLVQTKAAMIVDKGRELKTNEEKTNELLAKVKSLEKHVEELQEEDRKKTEKVAEKTELANSLSKKASSLLKLIEDNEKLRTEHEKEKEQLMYKVECLEENLGGLKKKFLEKTEEIEEGRVLQAELLQQIEMNAVEILKQKEQLEKSENDKKVLLDKVNGLEEKVNELQANLSSSVKEAERKVSYENLLHRIELKDCELLAEKRKMSDLHGLYIKLRSQYKYLCTKSGLTMKNMLNDKLEDESGSLKHQLTTSTDRGNKNVDASAAPCEMKEVKTENEFSNVLVDNEVVKSIPIANFKSPTSGYAAPKCPPTVKSARIIGTKRPASSWIDTRSRQGKDGPDPHDDFLDTPLENLRADLDKAMKEEAHDPHVVVQKEKNMDPGSSDDETQDANVDRSPGEQWMPVPVGGKRGFKYVEPVRKKAERQNLKGVQCKQCKKFYDAVLPNNGGVLRSMPVDFYQQH >Potri.009G064200.7.v4.1 pep chromosome:Pop_tri_v4:9:6517551:6522150:1 gene:Potri.009G064200.v4.1 transcript:Potri.009G064200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064200.v4.1 MKGELLECCPKLEAGDVSGLSTILVATIQEAKDRISQIEYIFCNQLYPNFQTKSKSLLKIYSDAEEVWKEKEKHLYELIEKLRVEKQEVVEENKLLKVGKEKSAGEPNEKANVLLAIPRNRESKIDELEQEVMKKYKEVDEGMELQNTLLQLVQTKAAMIVDKGRELKTNEEKTNELLAKVKSLEKHVEELQEEDRKKTEKVAEKTELANSLSKKASSLLKLIEDNEKLRTEHEKEKEQLMYKVECLEENLGGLKKKFLEKTEEIEEGRVLQAELLQQIEMNAVEILKQKEQLEKSENDKKVLLDKVNGLEEKVNELQANLSSSVKEAERKVSYENLLHRIELKDCELLAEKRKMSDLHGLYIKLRSQYKYLCTKSGLTMKNMLNDKLEDESGSLKHQLTTSTDRGNKNVDASAAPCEMKEVKTENEFSNVLVDNEVVKSIPIANFKSPTSGYAAPKCPPTVKSARIIGTKRPASSWIDTRSRQGKDGPDPHDDFLDTPLENLRADLDKAMKEEAHDPHVVVQKEKNMDPGSSDDETQDANVDRSPGEQWMPVPVGGKRGFKYVEPVRKKAERQNLKGVQCKQCKKFYDAVLPNNGGVLRSMPVDFYQQH >Potri.009G064200.5.v4.1 pep chromosome:Pop_tri_v4:9:6517550:6521977:1 gene:Potri.009G064200.v4.1 transcript:Potri.009G064200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064200.v4.1 MKGELLECCPKLEAGDVSGLSTILVATIQEAKDRISQIEYIFCNQLYPNFQTKSKSLLKIYSDAEEVWKEKEKHLYELIEKLRVEKQEVVEENKLLKVGKEKSAGEPNEKANVLLAIPRNRESKIDELEQEVMKKYKEVDEGMELQNTLLQLVQTKAAMIVDKGRELKTNEEKTNELLAKVKSLEKHVEELQEEDRKKTEKVAEKTELANSLSKKASSLLKLIEDNEKLRTEHEKEKEQLMYKVECLEENLGGLKKKFLEKTEEIEEGRVLQAELLQQIEMNAVEILKQKEQLEKSENDKKVLLDKVNGLEEKVNELQANLSSSVKEAERKVSYENLLHRIELKDCELLAEKRKMSDLHGLYIKLRSQYKYLCTKSGLTMKNMLNDKLEDESGSLKHQLTTSTDRGNKNVDASAAPCEMKEVKTENEFSNVLVDNEVVKSIPIANFKSPTSGYAAPKCPPTVKSARIIGTKRPASSWIDTRSRQGKDGPDPHDDFLDTPLENLRADLDKAMKEEAHDPHVVVQKEKNMDPGSSDDETQDANVDRSPGEQWMPVPVGGKRGFKYVEPVRKKAERQNLKGVQCKQCKKFYDAVLPNNGGVLRSMPVDFYQQH >Potri.015G026600.1.v4.1 pep chromosome:Pop_tri_v4:15:2003158:2004577:1 gene:Potri.015G026600.v4.1 transcript:Potri.015G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026600.v4.1 METSQPLSIESFSYSWLVNLQPSLESLDSSFRASLDASDEASFIEMDPRMPPSKRFFRNSQEFKFDFPVSQSPLTLVHADELFSNGYVMPLFVDPLTIEPYEVSDSTAALPTSSHPPKTVVSACKPRRCSSLRRCRRVSKQIIQKYLDFLRPFYRRFRGHRSSSRAENIDSKVQVMKSWEYSAETSPRISVANSVDDCWRRSCDSESSIYEAVLHCKRSNGK >Potri.004G115400.1.v4.1 pep chromosome:Pop_tri_v4:4:10632354:10643679:-1 gene:Potri.004G115400.v4.1 transcript:Potri.004G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115400.v4.1 MGRGRVQLKRIENKINRQVTFSKRRTGLLKKAHEISVLCDADVALIVFSTRGKLFEYSTDSSMESILERYERCSYLEQQLVPNGSEHQESWSLEHPKLMARVEILQRNLRNYAGQELDPLSLKELQYLEQQIDTALKRIRSRKNQLIHESLNELRKKEKELQEQNNILAEQVKENEKSLTEQAQWEQRNLGQNSSSFMPPVVQPPLQPPMPPHAPLTIGDSFQIIGFLNGNENVEVQTPPSTMPSWMLRHVNDTI >Potri.006G215866.1.v4.1 pep chromosome:Pop_tri_v4:6:22173221:22174514:1 gene:Potri.006G215866.v4.1 transcript:Potri.006G215866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215866.v4.1 MKIGAYGPAFSCIASLTSCISAAARIRFNSILHQFAHSSRLIPEPHSSIQVRQLHLGSTAHPGSSNSLFSAACMLIQTLPRI >Potri.001G080500.1.v4.1 pep chromosome:Pop_tri_v4:1:6403935:6405210:-1 gene:Potri.001G080500.v4.1 transcript:Potri.001G080500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080500.v4.1 MHRSASWNRVADDDYFKHRMADQYYMHSSPNEGTQGMRMSSSIELNQLPAYDPMVELAMKEKSRAKFAENAVHIIPFVLLLCGLVLWFFSNPDVNVGVRNMDSIAARIEGLTIEGDIDTDSDGTQTGFLPLDQGDSGMPKQTKHHKSLRKMIKTDLHFL >Potri.009G129900.6.v4.1 pep chromosome:Pop_tri_v4:9:10614885:10617295:-1 gene:Potri.009G129900.v4.1 transcript:Potri.009G129900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129900.v4.1 MRILPVFRGALLCFYVSLVFAAAFCYADDSTAEVVGIGECADCAQSNIKTVHAFSGLKVTIDCKPENGEFKTRGVGELDEEGKFKVSLQNDVVKDGKLKEECYAQLHSASAAPCPAHNGLESSKIVFKSKTDEKHTFGLAGKLKFSPVTCTSAFLWPHPPITKPLPLPTWKLPPSKNFHHPYLFPPKVFPPLPQKIFPPLPPKVFPPIHKKPLLPQVPIYKPKPKPKPPIFKPPPVPIYKPKPKPPIFKPPPVPIYKPKPKPPIFKPLPPPIPIYKPLPPPVPIYKPLPPIPKIPPFHKKPCPLPKLPPYPKIPPKYFHHPKFGKWPPLPPHSPIH >Potri.013G126300.5.v4.1 pep chromosome:Pop_tri_v4:13:13380999:13388963:-1 gene:Potri.013G126300.v4.1 transcript:Potri.013G126300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126300.v4.1 MAAKDTAPSLDILVRGPEGCFVWNGPPFGNGEPSVKLERVPCSRAKFSEDGSRLLVMKSDFVISIYDCSSFKEIKSFQVPNVLAAVLSPCGTYLQTFQKSSTPQDKNLVLWKIESGDSVYQQFQKNMTKTTWPSIRLSSDEAIACRMATNEIQFFDPKDFSKGIVHRIRVPGVAAVELSMVPGSHVAAFIPESKGVPASVQIFACGKEMQSQPVARRSFFRCDTVQLKWNRGSTGLLAVAQSDVDKTNQSYYGESKLNYLTTNGSHEGLVPLRKEGPIHDVQWSCSGSEFAVVYGFMPASATLFDKKCHPLLELGTGPYNTVRWNPKGKFLCLAGFGNLPGDMAFWDYVDKKQIGTTKAEWSVTSEWSPDGCYFMTATTAPRLQVDNGIKIFHHNGSLYFKKMFDKLYEVEWKPESPDRFGEIAELIKSVDSLKVTETKSQGQGAASKKTAPAQKPAAYRPPQAKAAAAVQAEV >Potri.013G126300.1.v4.1 pep chromosome:Pop_tri_v4:13:13380995:13388963:-1 gene:Potri.013G126300.v4.1 transcript:Potri.013G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126300.v4.1 MAAKDTAPSLDILVRGPEGCFVWNGPPFGNGEPSVKLERVPCSRAKFSEDGSRLLVMKSDFVISIYDCSSFKEIKSFQVPNVLAAVLSPCGTYLQTFQKSSTPQDKNLVLWKIESGDSVYQQFQKNMTKTTWPSIRLSSDEAIACRMATNEIQFFDPKDFSKGIVHRIRVPGVAAVELSMVPGSHVAAFIPESKGVPASVQIFACGKEMQSQPVARRSFFRCDTVQLKWNRGSTGLLAVAQSDVDKTNQSYYGESKLNYLTTNGSHEGLVPLRKEGPIHDVQWSCSGSEFAVVYGFMPASATLFDKKCHPLLELGTGPYNTVRWNPKGKFLCLAGFGNLPGDMAFWDYVDKKQIGTTKAEWSVTSEWSPDGCYFMTATTAPRLQVDNGIKIFHHNGSLYFKKMFDKLYEVEWKPESPDRFGEIAELIKSVDSLKVTETKSQGQGAASKKTAPAQKPAAYRPPQAKAAAAVQAELFGGSSTEQMSKNALKNKKKREKQREKKAAEAGTTAEGSW >Potri.019G016502.1.v4.1 pep chromosome:Pop_tri_v4:19:2709316:2709863:-1 gene:Potri.019G016502.v4.1 transcript:Potri.019G016502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016502.v4.1 MAPVLSCFLRFMLICALIFSCMHVPGLASNDVPSSNFPSHKLKRLSIKATLPSPPPPPKPSKQRGPQTPM >Potri.010G082900.2.v4.1 pep chromosome:Pop_tri_v4:10:11039294:11043947:-1 gene:Potri.010G082900.v4.1 transcript:Potri.010G082900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082900.v4.1 MAEAEKGSATTGSKESNVKEEISLKDKGNEFFKAGNYLKAAALYTQAIKLDPSNPTLYSNRAAAFLQLVKLNKALADAETTIKLNPQWEKGYFRKGCVLEGMERYDDALATFQIALQHNPQSTEVSRKIKRISQLARDKKRAQEVQSMRSNVDMAKHLETLKSEMFEKLGSEECFKDMFSFLVETMETAVKSWHEASKVDPRVYFLLDKEKTQTDKYAPVVNIDKAFESPHSHSNCFSFLRQYADDSFSKAACLVVPKNIISYPQVWKGQGSRKWKYGQHDGFFVQYESHLMRKIWFIASTNEKGQTLCRDPEVLDIGAHEVLPRLFKEKLTNP >Potri.005G114500.2.v4.1 pep chromosome:Pop_tri_v4:5:8351928:8352548:1 gene:Potri.005G114500.v4.1 transcript:Potri.005G114500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114500.v4.1 MEKGINSPKRMKSHLCIPRLRVNTTCTIKNHRLSPMTLLERFRQAVFRLMMLSALSKATHNAAGSSPDHHVMKKRSSYYPADPHHSEAVADCIEFIKKSSLTDQEEINRDSTASSSFDDTGEMAMPVPVT >Potri.018G035400.3.v4.1 pep chromosome:Pop_tri_v4:18:2726685:2729176:-1 gene:Potri.018G035400.v4.1 transcript:Potri.018G035400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035400.v4.1 MLRKRTRSLKKDQQTGQLTMSDSGSESYFQPDNNMGHSHKANSFFTVPGLFVGLSHKGLSDCDSVRSPTSPLDSRMFSNIGNPHKSLRSSHGGQQKSWDCNKVGLSILDSLDDDDDDDDGKGYGKVLQSSESKNILFGPRVRSKTANFQSHTDPFQAPKSLPRNFAIFPRTLTKSPLQKDSSDVLFEIGEGPFESETFGRIRSCSLDSCRSFSSMSRLAGQNLKASSLNFSLHNITTQVDCPPQLLGGSSNTNNFSNTNLTYTPMSASSGNGFISSLSASEIELSEDYTCVISHGPNPKTTHIYGGCILECHSNDFSNFGKNKEKEIGLAQAATCSKIPSSFPSEDFLSFCYYCNKKLDEGKDIYIYRGEKAFCSLSCRSEEIMIDEELENTTSKSAVDVPTSSSWKGLFETGHH >Potri.008G133400.13.v4.1 pep chromosome:Pop_tri_v4:8:8847299:8850581:-1 gene:Potri.008G133400.v4.1 transcript:Potri.008G133400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133400.v4.1 MHHPHDVKMFPVSNHAIPISMGNHFFKNHYPATGQNMAGTTTKPQLLGGIPVTAPHSILPMVGSVAGVTDSSVRASGSPAQLTIFYAGSVNVYDDISPEKAQAIMFLAGNGPSISSNLAQPIVQVQASSSKPAAADLSPVNQPIMSTPPCSRLSSPSHTGAQSGSGSTSTEEIMATKTTGALTTHVTKPEHTKTANVVGSVTTTTMIPSVPQARKASLARFLEKRKERVMNAAPYNLNKKSPHFTNPEPY >Potri.008G133400.6.v4.1 pep chromosome:Pop_tri_v4:8:8847228:8850654:-1 gene:Potri.008G133400.v4.1 transcript:Potri.008G133400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133400.v4.1 MERDFLGLSSREPLAVVKEEVNADGCKESGSGMQWPFSNKVSTPRHSTAEIQMFPVSNHAIPISMGNHFFKNHYPATGQNMAGTTTKPQLLGGIPVTAPHSILPMVGSVAGVTDSSVRASGSPAQLTIFYAGSVNVYDDISPEKAQAIMFLAGNGPSISSNLAQPIVQVQASSSKPAAADLSPVNQPIMSTPPCSRLSSPSHTGAQSGSGSTSTEEIMATKTTGALTTHVTKPEHTKTANVVGSVTTTTMIPSVPQARKASLARFLEKRKERVMNAAPYNLNKKSPHFTNPEPY >Potri.008G133400.8.v4.1 pep chromosome:Pop_tri_v4:8:8847194:8850648:-1 gene:Potri.008G133400.v4.1 transcript:Potri.008G133400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133400.v4.1 MERDFLGLSSREPLAVVKEEVNADGCKESGSGMQWPFSNKVSTPRHSTAEIQKLFNLNRQGGTHFSLTAYPVQHDVHSMHHPHDVKMFPVSNHAIPISMGNHFFKNHYPATGQNMAGTTTKPQLLGGIPVTAPHSILPMVGSVAGVTDSSVRASGSPAQLTIFYAGSVNVYDDISPEKAQAIMFLAGNGPSISSNLAQPIVQVQASSSKPAAADLSPVNQPIMSTPPCSRLSSPSHTGAQSGSGSTSTEEIMATKTTGALTTHVTKPEHTKTANVVGSVTTTTMIPSVPQARKASLARFLEKRKERVMNAAPYNLNKKSPHFTNPEPY >Potri.012G017800.2.v4.1 pep chromosome:Pop_tri_v4:12:1953824:1955990:-1 gene:Potri.012G017800.v4.1 transcript:Potri.012G017800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017800.v4.1 MGKPLASFSSSIVSTILLLASAFNILGAEGSIGVNYGTVADNLPPPAQVAHFLLESTIINRVRLFDTNTEIIQAFAHTGIAITITVPNDQIPHLTNLGFAQEWVKSNVQPYVPATNIVRILVGNEVISIENKLSVASLVPAMKALHTALVRASLDHRIKISTPHSLGILSSSSPPSTGKFRQGYATRILKPLLRFLRATNSPFMINPYPFFGFSADTLDYALFRPNSGVFDENTKLSYTNMLDGQLDAVFSAMKLLGFSDIEIVIAETGWPSQGESSQLGVDAESAAQYNRNLMQHVTSGAGTPLMPNRTFETYIFALFNEDLKPGPPSERNFGLFQPDMTPVYDIGILRPKAKSSIPTSPAPVSPGPGGPKEKIWCLPKPGADVEALQRNIDYVCGLEAEYCKPIQEGGECFMPNTVKAHAAFAMNAYYQGTEKNGYDCDFEQTAAISNVNPSYGNCKY >Potri.010G236700.1.v4.1 pep chromosome:Pop_tri_v4:10:21667571:21669431:-1 gene:Potri.010G236700.v4.1 transcript:Potri.010G236700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236700.v4.1 MESKDSLQATFWYSFFFLTIPFFLTFVLLYLLRSKFRCCNCEICTNYLLSGWSREFKNICDWYTHLLQNSSRQTIHIHVLGNTITANPDNVEYILKTKFENYPKGRPFSMILGDFLGKGIFNVDGDLWLFQRKMASLELASVSIRNYAFEIVDTEIKCRLLPLLTSAAKSTDGGLIDLQDVFRRFSFDNNCKFSFGLDPGCLESSLPISVFAESFDLASKLSAERAMATLPLVWKIKRYFNIGTEKNLKEAIEVVNILADEVIMQKRKLGFSTNQDLLSRFMACITDDRYLRDIVISFILAGRDTMASALTTLFWLLASHPNVVSMIREESNRVMASNQESASYEQIREMHYLHAVVNESLRLYPPVQFDSKFAMEDDVLPDGTLVRRGTRVTYHPYAMGRMERIWGIDCMEFRPERWLENGTFCPISPFKCPVFQAGIRVCLGKEMSLVEMKIVALSVVRRFDVKIVPPEVSPRFNSGLTAMLSGGLQAEIRERVIAA >Potri.018G105200.2.v4.1 pep chromosome:Pop_tri_v4:18:12443512:12445376:1 gene:Potri.018G105200.v4.1 transcript:Potri.018G105200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105200.v4.1 MAPPKVYLFDEIAKHKKTEDCCPNISGKETCSPSSKCAGKGKQKQLAED >Potri.008G003200.1.v4.1 pep chromosome:Pop_tri_v4:8:116320:121227:1 gene:Potri.008G003200.v4.1 transcript:Potri.008G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003200.v4.1 MDLDQWIAKVKEGQHLLEDELQLLCEYVKEILIEESNVQPVNSPVTVCGDIHGQFHDLMKLFQTGGHVPETNYIFMGDFVDRGYNSLEVFTILLLLKARYPANITLLRGNHESRQLTQVYGFYDECQRKYGNANAWRYCTDVFDYLTLSAIIDGTVLCVHGGLSPDIRTIDQIRVIERNCEIPHEGPFCDLMWSDPEDIETWAVSPRGAGWLFGSRVTSEFNHINNLDLVCRAHQLVQEGLKYMFQDKGLVTVWSAPNYCYRCGNVASILSFNENMEREVKFFTETEENNQMRGPRTGVPYFL >Potri.006G239900.3.v4.1 pep chromosome:Pop_tri_v4:6:24182217:24187466:-1 gene:Potri.006G239900.v4.1 transcript:Potri.006G239900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239900.v4.1 MERIIGEKYKLGRKIGSGSFGEIFLATHIHSGEIVAVKIENRSTKHPQLLYEAKLYKILGGGSGIANIKWCGVDGEDNVLVIDLLGPSLEDLFVYCGRKFSLKTVLMLADQMIARIEYMHVKGFLHRDIKPDNFLMGLGRKASQVYVIDFGLAKRYRDPTTHQHITYRENKNLTGTARYASCNTHLGIEQSRRDDLESIGYVLLYFLRGSLPWQGLKAATKKQKYDKICEKKLSTPIEVLCKSHPVEFASYFHYCHSLTFDQRPDYGFLKRLFRDLFTREGFEFDFVFDWTILKYKQTQRPKPQPQSSDLQPNSRVTSSRAMAMDLNKDKGVNGASYSAEVADHRGSNKVARPDTHMQLGSSFSRNVTADNPLDKHNMNNASMPSTSFVPPSASRRDFMKLDGSTDAVNIGRGLGNRAGASSRLMRISSAK >Potri.010G115100.1.v4.1 pep chromosome:Pop_tri_v4:10:13458040:13458704:1 gene:Potri.010G115100.v4.1 transcript:Potri.010G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115100.v4.1 MITAMLKSPSSPLLASQPFCDRSLHQAAPSKTCLGTNKRRSAKISALRRDDYSDKLIDEDMIVLRMRVHEMKIAERIYEVSSDWMEWEKRYYRGSYNSDICEAVGYLQSVLIRTRPSLALGMAGLVALSIPTSMGLATFHLVEWLVAGNIIHL >Potri.009G025300.1.v4.1 pep chromosome:Pop_tri_v4:9:3694981:3706700:1 gene:Potri.009G025300.v4.1 transcript:Potri.009G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025300.v4.1 MGTPVNIIVGSHVWIEDPEEAWLDGEVTEIKGRDATITTSNGKTIVADISSIYPRDTEAPPAGVDDMTKLAYLHEPGVLHNLACRFALNEIYTYTGNILIAVNPFRRLPHLYDVHMMEQYKGAAFGELSPHLFAVADTCYRAMINEQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSAGSEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKHGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCSAPPEDVKKYKLGDPRTFHYLNQTNCYEVANVDDAREYLETRNAMDIVGISQDEQDAIFSIVAAILHIGNIDFVKGKEADSSKLKDDKSLYHLRTAADLLMCDEKALEDSLCKRVIVTPDGNITKPLDPDLAVLSRDALAKTVYSKLFDWIVDKINSSIGQDPNAASLIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTAFTINHYAGDVTYQADHFLDKNKDYVVAEHQALLDASKCPFVANLFPPLPEETSKQSKFPSIGTRFKQQLQALMETLNTTEPHYIRCVKPNTVLKPGIFENFNVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPDVLDGSDEKSACVSILDRMGLKGYQIGKTKVFLRAGQMAELDARRAEILANAVRRIQRQIRTYLARKEFITLRRATIHLQKLWRAQLARKIYEHMRKEAASIRIQKNVRAHRARTFYTNLQASAKAIQTGMRAMAARNEYRYRRRTKAATVIQTRWRRYHSLSAYKQHKIATLALQCLWRARTARKELRKLKMAARETGALKEAKDKLEKRVEELTWRLEFEKHLRLDLEEAKGQEIAKLQGSLNEMQGQLDEAHAAIIHEKEAAKLAIEQAPPVIKEVPVVDNTKLELLKNQNDELENELSELKKKMEEFENKCSELEKESKARAIEAEESHLKTMKLQDTIERLELNLSNLESENQVLRQQALDASTNEDLSEELKILKSKIAELESENELLRKQPAIVEQVANPERILPQVKSFENGHQTEEEPQMTKESGPPISLLTTQRPLTDRQQENHDVLIKCLTEDKRFDETRPVAACVVYKSLLQWRSFEAEKTTIFDRIIHTIRSSIESQDNITDLAYWLSTTSTLLYLLQNTLKASNTTKISSQRNRTSPATLFGRMALGFRSSSVGMGMSSGYSGMVGKGNDQSKVEAKYPALLFKQHLTAYVEKIYGLIRDSVKKEIGPFLNLCIQAPRSIRARSIRGSSKNIHLSIVAKQQSSNIHWQSIVNKLDQTLGIMTENHVPPVFTRKIFSQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLQELEQWCLKASDQFAGSSWDELRHIRQAVGFLVSHQKAQKSSDEITNELCPMLSIPQIYRIGTMFLDDKYGTQGLSSDVIGRMRALMAEDSISMPNNTFLLDVDSSIPFSMEEIFGSLSAIHLSNMDPPPLLRQRSDFHFLLQETQTETA >Potri.005G137100.2.v4.1 pep chromosome:Pop_tri_v4:5:10677289:10684700:-1 gene:Potri.005G137100.v4.1 transcript:Potri.005G137100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137100.v4.1 MDSTPVNWEALDRLILDFAKSENLIDDSASTSIISSPSSSPPSFSSSYQSRFIIRQIRRFLESGDIDSSLHLLRSHAPFILDDHRLLFRLQKQKFMELLRRGTDEARDSAIECTRTALAPCALDAYPEAYEEFKHVLLAFIYDKDDQNSPVANEWSERRRFEIAGLMSSVLRAHLQAYDPVFSMTLRYLISIHKGFCIRQGISSPISDLTERLLLEERDPPAVPQESFYEAPPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNELCRMKVDVSMLDELVHEYCVYRGIVDSGLALPSVPGLKTLAEPLKVGQSEPGYCSSRNYSFEGDNTNSKHSDGETSVSNAHMNGSPEDSVDVNSIQGTDVELRYACESTNCYEDCSTSGSHQAGISKVLQRNRNYTTGERSKRKRWRGRQDDEDYKQDVNATSTNLSREQQGLEKHPSADIKSRDDKYEIVLGMKELAGRGMAAEVVEEVTALDPNFFLQNHILLFQLKQVEFLKLVSSGDHSSALRVASSHLGPIASRDPSLLKPLKETLLALLWPNEDALGKGLPLHALSTSLQFAIGRKLGVEEPQLMKLMRATLQTHNEWFKLQMCKDRFESLLRIDSLKDVNTPLISACSMSKSNADSCTHGSSQVTISSSTRVSEDGSSATQESSRDVCDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >Potri.005G137100.3.v4.1 pep chromosome:Pop_tri_v4:5:10677356:10684700:-1 gene:Potri.005G137100.v4.1 transcript:Potri.005G137100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137100.v4.1 MDSTPVNWEALDRLILDFAKSENLIDDSASTSIISSPSSSPPSFSSSYQSRFIIRQIRRFLESGDIDSSLHLLRSHAPFILDDHRLLFRLQKQKFMELLRRGTDEARDSAIECTRTALAPCALDAYPEAYEEFKHVLLAFIYDKDDQNSPVANEWSERRRFEIAGLMSSVLRAHLQAYDPVFSMTLRYLISIHKGFCIRQGISSPISDLTERLLLEERDPPAVPQESFYEAPPFDEVDIQALAHAVELTRQGAIDSLRFAKGDLFQAFQNELCRMKVDVSMLDELVHEYCVYRGIVDSGLALPSVPGLKTLAEPLKVGQSEPGYCSSRNYSFEGDNTNSKHSDGETSVSNAHMNGSPEDSVDVNSIQGTDVELRYACESTNCYEDCSTSGSHQAGISKVLQRNRNYTTGERSKRKRWRGRQDDEDYKQDVNATSTNLSREQQNIYLQGLEKHPSADIKSRDDKYEIVLGMKELAGRGMAAEVVEEVTALDPNFFLQNHILLFQLKQVEFLKLVSSGDHSSALRVASSHLGPIASRDPSLLKPLKETLLALLWPNEDALGKGLPLHALSTSLQFAIGRKLGVEEPQLMKLMRATLQTHNEWFKLQMCKDRFESLLRIDSLKDVNTPLISACSMSKSNADSCTHGSSQVTISSSTRVSEDGSSATQESSRDVCDENAILKVMEFLALPRADAIHLLAQYNGNAETVIQQIFA >Potri.015G099200.1.v4.1 pep chromosome:Pop_tri_v4:15:11931922:11932911:-1 gene:Potri.015G099200.v4.1 transcript:Potri.015G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099200.v4.1 MPMEKYQIFLPVSGPSSPSVSPSSLNMANPLIYFHGDSENGVKPESRFQHLDAKSSVSQTSRICNGSEFKVKPGKRGGDSDDFRKHRYAFQTRSQVDILDDGYRWRKYGQKTVKSSKFPRSYYRCTSTGCNVKKQVQRNSKDEGIVVTTYEGMHNHPTERSSENFEDILRQIQTYTPF >Potri.010G058050.1.v4.1 pep chromosome:Pop_tri_v4:10:8871912:8873503:1 gene:Potri.010G058050.v4.1 transcript:Potri.010G058050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058050.v4.1 MLDFPVKPTTIDHPHHSHFTHKNLEIDMVLTRGAHKAVIVIHEGVDDMGCVVTNTTLNLCCGGLQEIEHLEAALTGR >Potri.001G255950.1.v4.1 pep chromosome:Pop_tri_v4:1:27203030:27203308:-1 gene:Potri.001G255950.v4.1 transcript:Potri.001G255950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255950.v4.1 MISRSEYLNRLVFQRRSNGGKGTFPKIQLHNFPVGSEIFEIAVKFCYGWKVDLTASNIAPVHCAARFLEMSNYLEQGNLISKTEAFISFVLL >Potri.001G102501.9.v4.1 pep chromosome:Pop_tri_v4:1:8279073:8279492:1 gene:Potri.001G102501.v4.1 transcript:Potri.001G102501.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102501.v4.1 MNHTRQLKDVVFKLEARGMNAAELHGDLGKLGRSTILKKFKGGQERVLITNELAARGPDVPECDLVVNLDLPTDSIHYAHQAGRTGRLGRKGTVVTICEEPGMFVVKKLQKQLESIFLHASLQRASLLSLKKGRNMRRP >Potri.004G036375.1.v4.1 pep chromosome:Pop_tri_v4:4:2853682:2854291:-1 gene:Potri.004G036375.v4.1 transcript:Potri.004G036375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036375.v4.1 MAASVMINGVSYSQRFYGRMIPKRGQVKVAIVLGLAHTFASMFSPRKKCGAAQLAHKY >Potri.003G022001.1.v4.1 pep chromosome:Pop_tri_v4:3:2330124:2332769:1 gene:Potri.003G022001.v4.1 transcript:Potri.003G022001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022001.v4.1 MFQPLLSAYSTVGIQDTALFLGMNEDDAASYVMQQGWVVDPASRMLIVKRQPVSTEQKLDHSKLQRLTEYVLHLEH >Potri.017G000500.1.v4.1 pep chromosome:Pop_tri_v4:17:27771:33635:1 gene:Potri.017G000500.v4.1 transcript:Potri.017G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000500.v4.1 MKGFRERGFVASSKSSSTAESPHRSFTSDSSELGSADTKFSKPSLWSTFFASAFSVFDTHCDSSSTSEKKAPHIRHGNGWTSAVKKIVAGGSMRRIQECVLGTSKTGISNTTGDIWLLGACYKISQDNSSGDAAATNALAAFNHDFSSRILITYRKGFDAIEDSKLTSDVSWGCMLRSSQMLVAQALLFHRLGRSWRKPLDKPLDREYVEILHLFGDSESSAFSIHNLLRAGKAYGLAAGSWVGPYAVCHSWESLVRSRREETNLEYQSLSMAVYVVSGSEDGERGGAPVLCIEEAARHCSEFSKGQEDWTPILLLVPLVLGLDKINPRYIPSLQATFTFPQSLGILGGKPGASTYIVGVQDENAFYLDPHEVQPVVNVSRDDVEANTSSYHCNVVRHMPLDLIDPSLAIGFYCRDKDDFDDFCTLASKLTDESNGAPLFTVAHSRKLLKHDSGEVRSDDSLGVMTMNDVEGCVHEDDWQLL >Potri.003G197200.4.v4.1 pep chromosome:Pop_tri_v4:3:19925677:19930616:1 gene:Potri.003G197200.v4.1 transcript:Potri.003G197200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197200.v4.1 MKDGRIAQAGKYDEILNSGSDFKVLVGAHKAALSVLDSRQAGAVSENESVRDNNGGENSTDRIVHDEGNKDSQIGKADDVAEPQAQLIQEEEREKGSVGFQIYWKYITTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPATKDVKPGVSGSRLLIVYVSLVIGSSFCILARAMLLVTAGYKTATLLFNKLHQCIFRAPMSFFDATPSGRIINRASKDQSALEMQIPDLVGGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPAARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFQEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSVTFAFCLFLLVSFPERTNPAIAGLAVTYALELHMAQFGLIWNFCICENKLISVERILQYMSIPAEPPLVIESKRPDHSWPSHGKIDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQNFSDCTVITIAHRITSVLDSDMVLLLSQGLIEEYNSPTRLLENKSSSFSQLVAEYTVRSNTRFEKSTGLNL >Potri.003G197200.3.v4.1 pep chromosome:Pop_tri_v4:3:19923899:19930582:1 gene:Potri.003G197200.v4.1 transcript:Potri.003G197200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197200.v4.1 MLMMKRRFLWYKQTLVCCLGVTVFNFILCLLSYFYLYGNVLSDGEIMTLLDLGIRTLSWGALVVYLHTQFFNSGENMFPLLLRVWWGFYLAISCYCFLVDVFIHHKHGSLEIEWYLVSDAVSVLTGLFLCYVGFLRSDIQDVLGEPLLNGDSSSINNLETSNSRGGDTVTPFGNAGLFSILTFSWMNSLIAAGNRKILDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRATRFKLAKALFLLVWKEILKTALLALTHTLCSYAGPYLIDAFVQCLEGRGEFKNQGYILASTFVAAKLAECLAHRHLSFRLQQIGTRLRAATATMIYNKSLTISCQSKQGHSSGEMINIMTIDADRLGIFSWYIHDPWLVILQVCLALLILYRNLGLGSVAGFVATVIVMSLNYPFGRLEEKFQDKLMESKDKRMKATVEILRNMRILKLQGWEMKFLSKILELREVETRWLKKYFYNSVVITVVSWATPTVVAVATFGTCMLMGIPLESGKVLSALATFGILQSPIYNLPDTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPGGSSDTAIEIVDGNFSWDLSSPSATLKDINFKVLNGMKVAVCGTVGSGKSSLLSSILGELPKISGTLKLCGTKAYVAQSPWIQSGTIEENILFGKEMDKERYDKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLSAADLILVMKDGRIAQAGKYDEILNSGSDFKVLVGAHKAALSVLDSRQAGAVSENESVRDNNGGENSTDRIVHDEGNKDSQIGKADDVAEPQAQLIQEEEREKGSVGFQIYWKYITTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPATKDVKPGVSGSRLLIVYVSLVIGSSFCILARAMLLVTAGYKTATLLFNKLHQCIFRAPMSFFDATPSGRIINRASKDQSALEMQIPDLVGGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPAARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFQEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSVTFAFCLFLLVSFPERTNPAIAGLAVTYALELHMAQFGLIWNFCICENKLISVERILQYMSIPAEPPLVIESKRPDHSWPSHGKIDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQNFSDCTVITIAHRITSVLDSDMVLLLSQGLIEEYNSPTRLLENKSSSFSQLVAEYTVRSNTRFEKSTGLNL >Potri.003G197200.2.v4.1 pep chromosome:Pop_tri_v4:3:19913521:19930616:1 gene:Potri.003G197200.v4.1 transcript:Potri.003G197200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197200.v4.1 MLMMKRRFLWYKQTLVCCLGVTVFNFILCLLSYFYLYGNVLSDGEIMTLLDLGIRTLSWGALVVYLHTQFFNSGENMFPLLLRVWWGFYLAISCYCFLVDVFIHHKHGSLEIEWYLVSDAVSVLTGLFLCYVGFLRSDIQDVLGEPLLNGDSSSINNLETSNSRGGDTVTPFGNAGLFSILTFSWMNSLIAAGNRKILDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRATRFKLAKALFLLVWKEILKTALLALTHTLCSYAGPYLIDAFVQCLEGRGEFKNQGYILASTFVAAKLAECLAHRHLSFRLQQIGTRLRAATATMIYNKSLTISCQSKQGHSSGEMINIMTIDADRLGIFSWYIHDPWLVILQVCLALLILYRNLGLGSVAGFVATVIVMSLNYPFGRLEEKFQDKLMESKDKRMKATVEILRNMRILKLQGWEMKFLSKILELREVETRWLKKYFYNSVVITVVSWATPTVVAVATFGTCMLMGIPLESGKVLSALATFGILQSPIYNLPDTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPGGSSDTAIEIVDGNFSWDLSSPSATLKDINFKVLNGMKVAVCGTVGSGKSSLLSSILGELPKISGTLKLCGTKAYVAQSPWIQSGTIEENILFGKEMDKERYDKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLSAADLILVMKDGRIAQAGKYDEILNSGSDFKVLVGAHKAALSVLDSRQAGAVSENESVRDNNGGENSTDRIVHDEGNKDSQIGKADDVAEPQAQLIQEEEREKGSVGFQIYWKYITTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPATKDVKPGVSGSRLLIVYVSLVIGSSFCILARAMLLVTAGYKTATLLFNKLHQCIFRAPMSFFDATPSGRIINRASKDQSALEMQIPDLVGGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPAARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFQEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSVTFAFCLFLLVSFPERTNPAIAGLAVTYALELHMAQFGLIWNFCICENKLISVERILQYMSIPAEPPLVIESKRPDHSWPSHGKIDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQNFSDCTVITIAHRITSVLDSDMVLLLSQGLIEEYNSPTRLLENKSSSFSQLVAEYTVRSNTRFEKSTGLNL >Potri.013G039100.2.v4.1 pep chromosome:Pop_tri_v4:13:2647545:2650727:-1 gene:Potri.013G039100.v4.1 transcript:Potri.013G039100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039100.v4.1 MFEGVPEQFHQFIASRTTSLPPPGLSFPLLQGSSNTTNFPSFYPYTTSSQQVPLQPNLLHPILHQGAPTNKDGEKQENNLVAMNMDQFERERSMPELVNPWSNDEVLALLRIRSSMETWFPEFTWEHVSRKLAEAGFKRSAEKCKEKFEEESRYFNISNINYNKNYRASFSELEEIYHGDQNPHQEVTAGEKNKKIDKPGTEDEEQDKMRQNLEEETRIDQTVGNQTDQDNNGKLALVEKSKSNKRKREKKFEMFKRLCEDIVNTMMAQQEEMHNKLLEDIVKRDEEKVAKEEARKKLEMDRINKELELRAHEQALANDRQATLIRFLKKFTSSDSSVEILGEKTAPDPVNVPNSSNASSSSSLVLEQNPNPASQTSNESQLKTATSSATALDHQKSTSILAKTNTSWTENQEPQNPTSTLARNIPQVPTTSSTLAVPAAPQNPNFLNSHSSTSGPPNTLPSHNKVQAKSTYKDDIGKRWPRDEVLALINLRCSLYNNNEDKEGSARAPLWERISQGMLESGYKRSAKRCKEKWENINKYFRKTKDVNKKRSIDSRTCPYFHQLSTLYNQGTLVAPDNRSASPENRSRLPETRHSSSSQNGTSNSTLHVGDGEKNMVQVPPLDFEF >Potri.014G176600.1.v4.1 pep chromosome:Pop_tri_v4:14:13333933:13336665:1 gene:Potri.014G176600.v4.1 transcript:Potri.014G176600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176600.v4.1 MDLDKGVVDTSTCCVEEEETVGDGIAEPDVGMEFESEDAARRFYTEYARRVGFAVRVMQRRRSGIDGRTLARRLGCNKQGFSPNHRTDVGPDKKPRPGARDGCKATILVKMEKSGKWVVTRFEKDHNHPLVVTTSGFSSSGGKDKKIEELTQELEHQEQLCATYREKLLSFMNNVVEEAEELASKIQVIVDSVRKVESEVQKFSRHG >Potri.001G158600.1.v4.1 pep chromosome:Pop_tri_v4:1:13406539:13409606:1 gene:Potri.001G158600.v4.1 transcript:Potri.001G158600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158600.v4.1 MATSYSLLLCFSLCFSHFFISTSQTLFLPLTHSLSKTQFTSTHHLIKSTSTSSITRFRRHHHQKNTHNHRQVSLPLSPGSDYTLSFTLDSQPIFLYLDTGSDLVWFPCQPFECILCEGKAENTSLASTPPPKLSKTATPVSCKSSACSAAHSNLPSSDLCAISNCPLESIETSDCQKHSCPQFYYAYGDGSLIARLYRDSISLPLSNPTNLIVNNFTFGCAHTALAEPIGVAGFGRGVLSLPAQLATLSPQLGNQFSYCLVSHSFDSDRLRRPSPLILGRYDHDEKERRVNGVNKPRFVYTSMLDNLEHPYFYCVGLEGISIGRKKIPAPGFLRKVDGEGSGGLVVDSGTTFTMLPASLYGSVVAEFENRVGRVNERARVIEEDTGLSPCYYFDNNVVNVPSVVLHFVGNGSSVVLPRRNYFYEFLDGGDGKGKKRKVGCLMLMNGGDEAELSGGPGATLGNYQQQGFEVVYDLENKRVGFARRQCASLWETLNRD >Potri.005G194700.4.v4.1 pep chromosome:Pop_tri_v4:5:20181063:20187881:1 gene:Potri.005G194700.v4.1 transcript:Potri.005G194700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194700.v4.1 MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAINALQYYTDVQPTIRGRNVYIQFSSHQELTTMDQNTQGRGEEPNRILLITIHHMQYPITVDVLHQVFSPHGFVEKMVTFQKSAGFQALIQYQSRQSAVQARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDSSRDFTNPNLPSEQKARSSQQPSYGDVVGYPQMPNAAAIAAAFGGGLPPGISGTNDRCTILVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRMEVNFSKHPNITQGADTHEYMHSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQVITEDEIVSLVEEHGTVVNTKLFEMNGKKQALVLFETEEEATEALVCKHATSLAGSIVRISFSQLQSIRENQ >Potri.005G194700.5.v4.1 pep chromosome:Pop_tri_v4:5:20181047:20187648:1 gene:Potri.005G194700.v4.1 transcript:Potri.005G194700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194700.v4.1 MTEPSKVIHVRNVGHEISENDLLQLFQPFGVITKLVMLRAKNQALLQMQDVPSAINALQYYTDVQPTIRGRNVYIQFSSHQELTTMDQNTQGRGEEPNRILLITIHHMQYPITVDVLHQVFSPHGFVEKMVTFQKSAGFQALIQYQSRQSAVQARTSLQGRNIYDGCCQLDIQFSNLDELQVNYNNDSSRDFTNPNLPSEQKARSSQPSYGDVVGYPQMPNAAAIAAAFGGGLPPGISGTNDRCTILVSNLNPDRIDEDKLFNLFSLYGNIVRIKLLRNKPDHALVQMGDGFQAELAVHFLKGAMLFGKRMEVNFSKHPNITQGADTHEYMHSNLNRFNRNAAKNYRYCCSPTKMIHLSTLPQVITEDEIVSLVEEHGTVVNTKLFEMNGKKQALVLFETEEEATEALVCKHATSLAGSIVRISFSQLQSIRENQ >Potri.001G271900.1.v4.1 pep chromosome:Pop_tri_v4:1:28649508:28651277:-1 gene:Potri.001G271900.v4.1 transcript:Potri.001G271900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271900.v4.1 MPESCNSRHFSWLMKSCFPNPQDPTSKPLSPNPIITISIPTTTTLSSLSDDLLLECLSRVPSSSLPSISLVCRRWSLLLHSPSFIYLRRLHHLIHPTIFTLSAPFAASLRLPDDNDADTNDPLWKVASCLPFPVASLDSLSHARLSAIGSRIYIIGRNETFCYDVWSGIITSRSSMIYPRKKFATAVLSGKIYVAGGGSRAGAALEEYDPDTDTWRVVAQATRRRYGCIGAAVDGVFYVIGGLKIGAALENEVTRAASAGAEAYVYASSMDLFDVESRAWLRSRAVPGGGCVVAACAVAGYVYILTSHAVELSFCRFDARRRGGGSNGKGFGEWCRIKSPPLPAQVRLDSTVRFSCVGVENKVVLIQVSGCIDDLLRRSGRNVRGLKEGLVLVYDCVSGVWSRGPHLPEVIRRATCVTVEC >Potri.011G004800.2.v4.1 pep chromosome:Pop_tri_v4:11:390376:392745:-1 gene:Potri.011G004800.v4.1 transcript:Potri.011G004800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004800.v4.1 MAGDDEKPSRSRSRSRSRERTLVSCCSNKRTRRDIPSSSEQSRLSLNDLQPLLIEILHRLPSTQSAFLCKCVCKKWYSLISSPYFAGRFITHHLNLHYKQNPSALLISYWSKPSWNVLFTFSDEPMFKFRGFELSYLPERNGCVRVSAIVNDLMLCCVAKIEIGMLQYYICNPFTMQWIALPLLEADYMNDYKVGFVCEPYYFEDSQGESFINSKYRFRVVHFTRVESTQIVMKTYCSETKKWYRSVLEGSEFWWITDVVAHNGKLVWCNREHIFAYDPFNPELFTSINCSYMQTRLLPAMGKCFGVRQGFLCLMELVGVGIDRTFHIWKLKDYNNGEWSLEDHVSLNAMIPESSLVQEIVDGSENKLKPLGFHPSDGNIVYLHFQTYVISFNTRTKELKMAGKIPGVRDSFCHGKVFHVELPLWPTPIAKPIEILPCY >Potri.007G007500.1.v4.1 pep chromosome:Pop_tri_v4:7:524169:524975:1 gene:Potri.007G007500.v4.1 transcript:Potri.007G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007500.v4.1 MGFWSVVLFTLLTCASVSISDPSDEACLTHFTQSLKDPTKSLQNWTKSNFANPCYGFTSYLPGATCNNGRIYKLSLTNLSLQGSISPYLANCTNLQSLDLSSNQITGPIPADLQSLVNLAVLNLSSNRLDGEIPPQITMCAYLNVIDLHDNFLSGQIPQQLGLLVRLSAFDVSNNKLSGPIPVSLGNRSGNLPRFNATSFLGNKDLYGYPLPPMKTKGLSVLAIVGIGLGSGFASLVLSFTGVCIWLKATEQKMALEDGKISQLMPDY >Potri.013G076800.1.v4.1 pep chromosome:Pop_tri_v4:13:6497917:6502799:1 gene:Potri.013G076800.v4.1 transcript:Potri.013G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076800.v4.1 MEQQQKQQLLLQQQEVQQQQQQQQQQQQHQQQFLLLQQLTKQAQQQQEAAISRFPSNIDAHLRPPSNHRPLTLHQQNPNPNSNPIPNLQQQQGSNLGQNAQHLQQQQQKGIRPQVNQVELQMAYQDAWRVCHPDFKRPFSSLEDACERLLPYHVVADYEAEEDDRILDSDTTGQMPSRLQQWDHNIAAKVAEFTGTFEKQALALNIITRKRALGEFRTEERLMIEQALLQEEKRLLLDLKAEMDAREKAGREAQLRMAAMVQAEQARAESHARAEMMSRAPIRPSALGHDMREQEHSVNPEEMMNGWGGNAQRDEKEPSEDFLNDEETENGDTAGHGEWREVGEFDLNTR >Potri.014G081150.1.v4.1 pep chromosome:Pop_tri_v4:14:5236530:5236834:1 gene:Potri.014G081150.v4.1 transcript:Potri.014G081150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081150.v4.1 MFSHSSTTSRIIWPEFTCPVALNNCRQETPEVFYYGYLWGMRVAVILVQSKDVKNSRAWIKIKKFQTEYCCV >Potri.012G129000.1.v4.1 pep chromosome:Pop_tri_v4:12:14446956:14449798:-1 gene:Potri.012G129000.v4.1 transcript:Potri.012G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129000.v4.1 MGSSFCFLCGCVDQASVGVIERWGRFERLAQPGFHFFNCFVGQCLAGVLSTRIHSLDVRCETKTKDNVFVHLVCSIQYRVVKENADDAFYELANPREQIQAYVFDVVRALVPRMTLDDLFEQKSEVAKAVLEELEKVMGTYGYSIEHILMVDIIPDDTVRKAMNEINAAQRLQLASVYKGEAEKVFLVKKAEAEAEAKYLGGVGVARQRQAITDGLRENILEFSHKVEGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTIFIPHGPGHVRDISDQIRNGMMEASSAQIDQQ >Potri.010G250000.4.v4.1 pep chromosome:Pop_tri_v4:10:22329800:22331367:-1 gene:Potri.010G250000.v4.1 transcript:Potri.010G250000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250000.v4.1 MASNAAAPFWRAAGMTYITYSNICANLVRNCLKEPYKTEALSREKVHFAVTKFVDGNPQKPVVRSDSGTE >Potri.010G250000.3.v4.1 pep chromosome:Pop_tri_v4:10:22329459:22331453:-1 gene:Potri.010G250000.v4.1 transcript:Potri.010G250000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250000.v4.1 MASNAAAPFWRAAGMTYITYSNICANLVRNCLKEPYKTEALSREKVHFAVTKFVDGNPQKPVVRSDSGTE >Potri.015G034000.6.v4.1 pep chromosome:Pop_tri_v4:15:2725515:2740192:1 gene:Potri.015G034000.v4.1 transcript:Potri.015G034000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034000.v4.1 MANHDKDDIPMLSDTHPKSVDENVDSRFRPFLSRTQSASTSIPLDSMESYGSETNLVGFTGPLRSARKAPLVQMSGPLYINRNTENLFLANHGVTARKKVEPKPEKYPSLNGMDKNDWDDKYTATNAHLMRSGQLGMCNDPYCTTCPSYYHSRASQQRHAKTSSIFDSKFHSVLYGDAKGWARRFNNAINSYIPGVMNPHAKVVQKWNKFFVISCLVAIFVDPLFFILLSVKQDEKCIVIDWGMTKAVVSFRCLTDAIYLLNIFLQFRLAYVAPESRVVGAGELVDHPKKIAMHYLRGCFFIDLFVVLPLPQIIVLALLPKGLDSSGANYAKNLLRAVILVQYIPRLFRFIPLLIGQSPNGFIFETASANFFINLFTFVLSGHIIGSCWYLFGLQRVNQCLRDACHDTSYQHECKKFIDCGRHETFEHQASSSNWTNWKNNSNASACFTPNVFSYGIYAQAVNLTGKNTITRYTYSLFWGFQQISTLAGNQTPSYFVWEILFTMAIIGIGLLLFAFLIGNMQNFLQALGRRRSEMSLRRRDVDQWMRHRRLPVELRRRVIEAERYHWAATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVWIFHLMDEHVLDAVCEKLKQKIYIKGSAIFYVGGLVEKMVFIVRGKVESIGHDGTVVALSEGNVCGEELLTWFLEHSSVSKDGRKIKISGQRLISSRTVRCLTNVEAFSLSAADLEQVTSLFARNLRNPLVQGAIRYQSPYWRALAATRIQVAWRYRQKRLKHSKTTHSNHFAPHSNHSSFSRI >Potri.015G034000.5.v4.1 pep chromosome:Pop_tri_v4:15:2725514:2740193:1 gene:Potri.015G034000.v4.1 transcript:Potri.015G034000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034000.v4.1 MANHDKDDIPMLSDTHPKSVDENVDSRFRPFLSRTQSASTSIPLDSMESYGSETNLVGFTGPLRSARKAPLVQMSGPLYINRNTENLFLANHGVTARKKVEPKPEKYPSLNGMDKNDWDDKYTATNAHLMRSGQLGMCNDPYCTTCPSYYHSRASQQRHAKTSSIFDSKFHSVLYGDAKGWARRFNNAINSYIPGVMNPHAKVVQKWNKFFVISCLVAIFVDPLFFILLSVKQDEKCIVIDWGMTKAVVSFRCLTDAIYLLNIFLQFRLAYVAPESRVVGAGELVDHPKKIAMHYLRGCFFIDLFVVLPLPQIIVLALLPKGLDSSGANYAKNLLRAVILVQYIPRLFRFIPLLIGQSPNGFIFETASANFFINLFTFVLSGHIIGSCWYLFGLQRVNQCLRDACHDTSYQHECKKFIDCGRHETFEHQASSSNWTNWKNNSNASACFTPNVFSYGIYAQAVNLTGKNTITRYTYSLFWGFQQISTLAGNQTPSYFVWEILFTMAIIGIGLLLFAFLIGNMQNFLQALGRRRSEMSLRRRDVDQWMRHRRLPVELRRRVIEAERYHWAATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVWIFHLMDEHVLDAVCEKLKQKIYIKGSAIFYVGGLVEKMVFIVRGKVESIGHDGTVVALSEGNVCGEELLTWFLEHSSVSKDGRKIKISGQRLISSRTVRCLTNVEAFSLSAADLEQVTSLFARNLRNPLVQGAIRYQSPYWRALAATRIQVAWRYRQKRLKHSKTTHSNHFAPHSNHSSFSRI >Potri.015G034000.4.v4.1 pep chromosome:Pop_tri_v4:15:2725682:2740347:1 gene:Potri.015G034000.v4.1 transcript:Potri.015G034000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034000.v4.1 MANHDKDDIPMLSDTHPKSVDENVDSRFRPFLSRTQSASTSIPLDSMESYGSETNLVGFTGPLRSARKAPLVQMSGPLYINRNTENLFLANHGVTARKKVEPKPEKYPSLNGMDKNDWDDKYTATNAHLMRSGQLGMCNDPYCTTCPSYYHSRASQQRHAKTSSIFDSKFHSVLYGDAKGWARRFNNAINSYIPGVMNPHAKVVQKWNKFFVISCLVAIFVDPLFFILLSVKQDEKCIVIDWGMTKAVVSFRCLTDAIYLLNIFLQFRLAYVAPESRVVGAGELVDHPKKIAMHYLRGCFFIDLFVVLPLPQIIVLALLPKGLDSSGANYAKNLLRAVILVQYIPRLFRFIPLLIGQSPNGFIFETASANFFINLFTFVLSGHIIGSCWYLFGLQRVNQCLRDACHDTSYQHECKKFIDCGRHETFEHQASSSNWTNWKNNSNASACFTPNVFSYGIYAQAVNLTGKNTITRYTYSLFWGFQQISTLAGNQTPSYFVWEILFTMAIIGIGLLLFAFLIGNMQNFLQALGRRRSEMSLRRRDVDQWMRHRRLPVELRRRVIEAERYHWAATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVWIFHLMDEHVLDAVCEKLKQKIYIKGSAIFYVGGLVEKMVFIVRGKVESIGHDGTVVALSEGNVCGEELLTWFLEHSSVSKDGRKIKISGQRLISSRTVRCLTNVEAFSLSAADLEQVTSLFARNLRNPLVQGAIRYQSPYWRALAATRIQVAWRYRQKRLKHSKTTHSNHFAPHSNHSSFSRI >Potri.016G056800.1.v4.1 pep chromosome:Pop_tri_v4:16:3835070:3836308:-1 gene:Potri.016G056800.v4.1 transcript:Potri.016G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056800.v4.1 MKHQQISLERNSSCSRSTGKIIPSNYMRSIPEQEDHHSQISVRRLNNTKINSRFTCFFSSIFKILSFPNILFPAACKWLSIPTQNLSITPSLGRKVTGTIFGNRHGHVNFAVQDDPVSEPVLLLELPMSTAMLVKEMSSGLVRIALECDKVRAPQVQTGRQGKLFNEPTWTMYCNGRKCGYAVSRRCTYSDQYVFETVKSVSAGAGVIPVIEDGHKSDGVDGELMYMRAKFERVVGSRDSEAYYMMNPEGNGVPELSIFLLRI >Potri.014G192400.1.v4.1 pep chromosome:Pop_tri_v4:14:16907149:16908061:-1 gene:Potri.014G192400.v4.1 transcript:Potri.014G192400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192400.v4.1 MEQLLPSCFQLEINGKDVKNGLLLLSSGCKEQQGIGGIKILKAACCASRFYRPSLNVRMRHPCDSGAFTSYVSHTQG >Potri.015G009450.1.v4.1 pep chromosome:Pop_tri_v4:15:612742:614218:1 gene:Potri.015G009450.v4.1 transcript:Potri.015G009450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009450.v4.1 MLHIFMARQMVNGFVCHIEDRYTSKFTDRPCMITHLGGESCLRRQDFYSRGSLLVLFAWTENVTGFLAHWKGKDKTITEKA >Potri.015G130100.2.v4.1 pep chromosome:Pop_tri_v4:15:14082472:14086741:1 gene:Potri.015G130100.v4.1 transcript:Potri.015G130100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130100.v4.1 MGFAVFLFGFFLLLSKPNWVLANTEIGALMDMKAALDPENRYLTSWTINGSPCDGSFEGVACNEKGQVANISLQGKGLYGKVSPAIAGLKHLTGLYLHFNSLYGDVPREIANLTELSDLYLNVNNLSGDIPPEIGIMANLQVLQLCYNQFTGSIPTEMGSLEKLSVLTLQSNHLTGAIPASLGGLGMLMRLDLSYNHLFGSIPTKVADAPLLEFLDIRNNTLSGNVPLALKRLNDGFLYENNLGLCGAGFVSLNACNASELNPSRPEPFGTGVNGLPREIPETASLPLLCNQTHCSNPSKSHHASAAVATVVITILLAAIGILTFTQYRRRKQKLASSYEVSDSRLSTDQAKGAYRKNGSPLISLEYPNGWDPLADGRNLSGNAQDVFQSFRFNLEEVETATQYFSEVNLLGKSNFSATYRGILRDGSVVAIKSISKNSCKSEETEFLKGLNTLTSLRHENLVRLRGFCCSRGRGECFLIYDFVPNGNLLRYLDVKDGDGHVLEWSTRVSIVRGIAKGIAYLHGYKANKPSLIHQNITAEKVLIDQRCSPLLADSGLQNLLTNDIVFSALKTSAAMGYLAPEYTTTGRFTDKSDVYAFGVIVFQVLSGKRKVTDLVRLGADACRFQDYIDPNLHGRFFEYEAAKLARIAWLCTHESPIERPSTEAVVHELGNCSSCL >Potri.014G057550.1.v4.1 pep chromosome:Pop_tri_v4:14:3651012:3652569:1 gene:Potri.014G057550.v4.1 transcript:Potri.014G057550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057550.v4.1 MDEGMQQFQQSLVELEKCCPTCLISSQSERNGNREALTALRKRAKTTKTSIKSPFESIMKTFSLLNFSSSKRQWQFLESRVYCDADQEQLEYEAKNLQSFVREKSLLISEKGVLADSISQRLLRSSVTLTDKPK >Potri.018G145506.1.v4.1 pep chromosome:Pop_tri_v4:18:15124346:15125206:-1 gene:Potri.018G145506.v4.1 transcript:Potri.018G145506.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145506.v4.1 MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMSVRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGDVEISE >Potri.008G196700.1.v4.1 pep chromosome:Pop_tri_v4:8:13892325:13897501:-1 gene:Potri.008G196700.v4.1 transcript:Potri.008G196700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196700.v4.1 MPRNRSRSRSYSPRRRSRTPPRGRKRHDDEDRLRESRSYRDRRSPAPSGLLIRNLPLDARPEDLRRSFEKFGPLKDIYLPKNYYTGEPRGFGFVKYRHSEDAAEAKQRMDHKTIGGREIRIVFAEENRKTPQEMRRTTHVSDRHGGSSRGRTSSRSPRHRHRSYSRSPSPARHDSRDRGVKDDYRSRRRSRSISHSRSPRDGRDYRADQQSLSPRENGQSPRERDHASGRSRTPRAYNNSPLRSRS >Potri.019G073800.1.v4.1 pep chromosome:Pop_tri_v4:19:11492417:11493565:-1 gene:Potri.019G073800.v4.1 transcript:Potri.019G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073800.v4.1 MAPKLSHLLSAILVIIFSIQSFRGQARTLKPEHRQSFSSFLQGLEGIQKGQTVEGLIELKQYLKKFGYYPSDITLTSSDFDDHLELALKTYQKYFHLNVTGNLDSSTIQQMMIPRCGMPDIINTKPNSTKSKHKKVHMVAHYDFGAQKWPPSKYALTYRFGSGVQVVGSDTLRSVCSNAFKTWAKVSPFTFQEATDGASADIVIAFYSGDHGDQAPFDGPGNILAHAFYPQDGRLHYDADENWSTDPAMDQIDLETVTVHEIGHLLGLYHSKDHPEAIMYPTTERGSKKRDLSQDDIDGIHALYSN >Potri.015G041500.6.v4.1 pep chromosome:Pop_tri_v4:15:3821616:3830840:1 gene:Potri.015G041500.v4.1 transcript:Potri.015G041500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041500.v4.1 MKAFSLESPPQFFSLNPKKFLHTPSCDHTMSRFRRPNDDDEEEEEDDDDDFQNDMEALRQACLLTGTNLTNISPSAAVSDGSGEADGNSCGGASVSDSGSEDDFELFRSVQNRFATSANSLEPLSLKPLCALPPVSDDEEDDFETLCAVKRRFAAYDNNNNQEKLKTEMEKSEVGVSDNSLAERNTACEVLPVTEQDDNAANLLGDNVEIGNSASAEENELDSGRLSTLELDYSSFPKSAQVFIDAIKRNRSCQKFIQNKLIQIEARIEENNKLKDKVKILKDFQFSCRKITGMALSLRKDPRIQLVSARKTSNSKHPKVNGKKVSPLQDGPVENSHVANYRTALTNFPLSLNRKKWTETEKENLGKGIRQQFQEIMLQFSMDQFSSEGSPEDGKNLDSILVSIRDLEITPEKIREFLPRVNWDQLASSYVAGRSGAECEARWLNFEDLLINQSPWTIKEDKNLLLNVQEKGVTNWFDIAVSLGTNRTPSQCLSRFQRSLNARILKREWTKEEDAQLRIAVETYGERDWQSVACTLEGRAGTQCSNRWKKTLHPAIRRVGRWTLDEDKRLKVAVKLFGPKKWDKIAQFVPGRTQVQCRERWVNCLDPSMNRDEWTEEEDLRLKAAIEECGYCWSKVAERLPQRTDNQCLRRWKVLVPHEVPLLQAARRMQKAALISNFVDRESERPALGPNDFVPLAITGPVSDPEKMDQSRKRKRKLSNASKKIRSNRSRKKVQISSKEVPEIPDGTQVGNLGGSRSVVTETTMEYCSENNRHAERGQDHPSSNSNLTPLMTTSFQGVDSGQNQQLPDLHPKGRKPVDRDGNSKSLLLSPPENLDAGIINGDVSQTFHPNSTTSSKKRRAHKQHSRKNICAKSSEGSSVLSETTIDASALVNDYLDSNLVTTNEEDNILGQRDAPGKKRVPKLHSESSEWTGSLDCLLPPHENSELRVTSTEIMNKSSLFGTPTNEKRKAFKLPCRRKIRNEEPSRKDQCFAAVPCQQDTSKKSKARGKSCSKKVLETKNEDDVVLASFLRDKSKKKRLKVAQNADQACSSSSMITRPEVLSRVDQPSNGNKLSSAVRNSEGQTCSHGRSSENGLSCDMYAHQSNHMQTERCELDVNNRGIFAPMEGVREPGSIDQEAVLEPGDISPAGSGSRVNTHSHSRKGPQLHSKGAEQPRNDTGPDKLSCSVKNSIGSSSKPTIIGNDIEPGGRSSHSPSASGEALKYYKRRSKR >Potri.015G041500.1.v4.1 pep chromosome:Pop_tri_v4:15:3821602:3830840:1 gene:Potri.015G041500.v4.1 transcript:Potri.015G041500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041500.v4.1 MKAFSLESPPQFFSLNPKKFLHTPSCDHTMSRFRRPNDDDEEEEEDDDDDFQNDMEALRQACLLTGTNLTNISPSAAVSDGSGEADGNSCGGASVSDSGSEDDFELFRSVQNRFATSANSLEPLSLKPLCALPPVSDDEEDDFETLCAVKRRFAAYDNNNNQEKLKTEMEKSEVGVSDNSLAERNTACEVLPVTEQDDNAANLLGDNVEIGNSASAEENELDSGRLSTLELDYSSFPKSAQVFIDAIKRNRSCQKFIQNKLIQIEARIEENNKLKDKVKILKDFQFSCRKITGMALSLRKDPRIQLVSARKTSNSKHPKVNGKKVSPLQDGPVENSHVANYRTALTNFPLSLNRKKWTETEKENLGKGIRQQFQEIMLQFSMDQFSSEGSPEDGKNLDSILVSIRDLEITPEKIREFLPRVNWDQLASSYVAGRSGAECEARWLNFEDLLINQSPWTIKEDKNLLLNVQEKGVTNWFDIAVSLGTNRTPSQCLSRFQRSLNARILKREWTKEEDAQLRIAVETYGERDWQSVACTLEGRAGTQCSNRWKKTLHPAIRRVGRWTLDEDKRLKVAVKLFGPKKWDKIAQFVPGRTQVQCRERWVNCLDPSMNRDEWTEEEDLRLKAAIEECGYCWSKVAERLPQRTDNQCLRRWKVLVPHEVPLLQAARRMQKAALISNFVDRESERPALGPNDFVPLAITGPVSDPEKMDQSRKRKRKLRREESQMEKVAAPGNASKKIRSNRSRKKVQISSKEVPEIPDGTQVGNLGGSRSVVTETTMEYCSENNRHAERGQDHPSSNSNLTPLMTTSFQGVDSGQNQQLPDLHPKGRKPVDRDGNSKSLLLSPPENLDAGIINGDVSQTFHPNSTTSSKKRRAHKQHSRKNICAKSSEGSSVLSETTIDASALVNDYLDSNLVTTNEEDNILGQRDAPGKKRVPKLHSESSEWTGSLDCLLPPHENSELRVTSTEIMNKSSLFGTPTNEKRKAFKLPCRRKIRNEEPSRKDQCFAAVPCQQDTSKKSKARGKSCSKKVLETKNEDDVVLASFLRDKSKKKRLKVAQNADQACSSSSMITRPEVLSRVDQPSNGNKLSSAVRNSEGQTCSHGRSSENGLSCDMYAHQSNHMQTERCELDVNNRGIFAPMEGVREPGSIDQEAVLEPGDISPAGSGSRVNTHSHSRKGPQLHSKGAEQPRNDTGPDKLSCSVKNSIGSSSKPTIIGNDIEPGGRSSHSPSASGEALKYYKRRSKR >Potri.015G041500.5.v4.1 pep chromosome:Pop_tri_v4:15:3821616:3830840:1 gene:Potri.015G041500.v4.1 transcript:Potri.015G041500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041500.v4.1 MKAFSLESPPQFFSLNPKKFLHTPSCDHTMSRFRRPNDDDEEEEEDDDDDFQNDMEALRQACLLTGTNLTNISPSAAVSDGSGEADGNSCGGASVSDSGSEDDFELFRSVQNRFATSANSLEPLSLKPLCALPPVSDDEEDDFETLCAVKRRFAAYDNNNNQEKLKTEMEKSEVGVSDNSLAERNTACEVLPVTEQDDNAANLLGDNVEIGNSASAEENELDSGRLSTLELDYSSFPKSAQVFIDAIKRNRSCQKFIQNKLIQIEARIEENNKLKDKVKILKDFQFSCRKITGMALSLRKDPRIQLVSARKTSNSKHPKVNGKKVSPLQDGPVENSHVANYRTALTNFPLSLNRKKWTETEKENLGKGIRQQFQEIMLQFSMDQFSSSEGSPEDGKNLDSILVSIRDLEITPEKIREFLPRVNWDQLASSYVAGRSGAECEARWLNFEDLLINQSPWTIKEDKNLLLNVQEKGVTNWFDIAVSLGTNRTPSQCLSRFQRSLNARILKREWTKEEDAQLRIAVETYGERDWQSVACTLEGRAGTQCSNRWKKTLHPAIRRVGRWTLDEDKRLKVAVKLFGPKKWDKIAQFVPGRTQVQCRERWVNCLDPSMNRDEWTEEEDLRLKAAIEECGYCWSKVAERLPQRTDNQCLRRWKVLVPHEVPLLQAARRMQKAALISNFVDRESERPALGPNDFVPLAITGPVSDPEKMDQSRKRKRKLSNASKKIRSNRSRKKVQISSKEVPEIPDGTQVGNLGGSRSVVTETTMEYCSENNRHAERGQDHPSSNSNLTPLMTTSFQGVDSGQNQQLPDLHPKGRKPVDRDGNSKSLLLSPPENLDAGIINGDVSQTFHPNSTTSSKKRRAHKQHSRKNICAKSSEGSSVLSETTIDASALVNDYLDSNLVTTNEEDNILGQRDAPGKKRVPKLHSESSEWTGSLDCLLPPHENSELRVTSTEIMNKSSLFGTPTNEKRKAFKLPCRRKIRNEEPSRKDQCFAAVPCQQDTSKKSKARGKSCSKKVLETKNEDDVVLASFLRDKSKKKRLKVAQNADQACSSSSMITRPEVLSRVDQPSNGNKLSSAVRNSEGQTCSHGRSSENGLSCDMYAHQSNHMQTERCELDVNNRGIFAPMEGVREPGSIDQEAVLEPGDISPAGSGSRVNTHSHSRKGPQLHSKGAEQPRNDTGPDKLSCSVKNSIGSSSKPTIIGNDIEPGGRSSHSPSASGEALKYYKRRSKR >Potri.015G041500.4.v4.1 pep chromosome:Pop_tri_v4:15:3821621:3830840:1 gene:Potri.015G041500.v4.1 transcript:Potri.015G041500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041500.v4.1 MKAFSLESPPQFFSLNPKKFLHTPSCDHTMSRFRRPNDDDEEEEEDDDDDFQNDMEALRQACLLTGTNLTNISPSAAVSDGSGEADGNSCGGASVSDSGSEDDFELFRSVQNRFATSANSLEPLSLKPLCALPPVSDDEEDDFETLCAVKRRFAAYDNNNNQEKLKTEMEKSEVGVSDNSLAERNTACEVLPVTEQDDNAANLLGDNVEIGNSASAEENELDSGRLSTLELDYSSFPKSAQVFIDAIKRNRSCQKFIQNKLIQIEARIEENNKLKDKVKILKDFQFSCRKITGMALSLRKDPRIQLVSARKTSNSKHPKVNGKKVSPLQDGPVENSHVANYRTALTNFPLSLNRKKWTETEKENLGKGIRQQFQEIMLQFSMDQFSSSEGSPEDGKNLDSILVSIRDLEITPEKIREFLPRVNWDQLASSYVAGRSGAECEARWLNFEDLLINQSPWTIKEDKNLLLNVQEKGVTNWFDIAVSLGTNRTPSQCLSRFQRSLNARILKREWTKEEDAQLRIAVETYGERDWQSVACTLEGRAGTQCSNRWKKTLHPAIRRVGRWTLDEDKRLKVAVKLFGPKKWDKIAQFVPGRTQVQCRERWVNCLDPSMNRDEWTEEEDLRLKAAIEECGYCWSKVAERLPQRTDNQCLRRWKVLVPHEVPLLQAARRMQKAALISNFVDRESERPALGPNDFVPLAITGPVSDPEKMDQSRKRKRKLRREESQMEKVAAPGNASKKIRSNRSRKKVQISSKEVPEIPDGTQVGNLGGSRSVVTETTMEYCSENNRHAERGQDHPSSNSNLTPLMTTSFQGVDSGQNQQLPDLHPKGRKPVDRDGNSKSLLLSPPENLDAGIINGDVSQTFHPNSTTSSKKRRAHKQHSRKNICAKSSEGSSVLSETTIDASALVNDYLDSNLVTTNEEDNILGQRDAPGKKRVPKLHSESSEWTGSLDCLLPPHENSELRVTSTEIMNKSSLFGTPTNEKRKAFKLPCRRKIRNEEPSRKDQCFAAVPCQQDTSKKSKARGKSCSKKVLETKNEDDVVLASFLRDKSKKKRLKVAQNADQACSSSSMITRPEVLSRVDQPSNGNKLSSAVRNSEGQTCSHGRSSENGLSCDMYAHQSNHMQTERCELDVNNRGIFAPMEGVREPGSIDQEAVLEPGDISPAGSGSRVNTHSHSRKGPQLHSKGAEQPRNDTGPDKLSCSVKNSIGSSSKPTIIGNDIEPGGRSSHSPSASGEALKYYKRRSKR >Potri.009G161001.1.v4.1 pep chromosome:Pop_tri_v4:9:12446844:12451614:-1 gene:Potri.009G161001.v4.1 transcript:Potri.009G161001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161001.v4.1 MALVPTVPLSESPLFAEVDMDSDSSAPTVRASVVQATTVFYDTPATLEDQVLVLLLVGVQLKAERISGSIMLQPLLFLIRKTAPKTFSFCESYANGGGAYSVGIWRWINDSGF >Potri.001G446016.1.v4.1 pep chromosome:Pop_tri_v4:1:47138647:47142517:1 gene:Potri.001G446016.v4.1 transcript:Potri.001G446016.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G446016.v4.1 MAIEIVGSIVSKIAELLVEPAIRQFRYMFCFNNFVQEFDEQMMNLALAFYRLQDAVHVAERNAEEIEIDVNTWLEDAKNEIEGVKRLQNEKGKIGKCFTWCPNSMRQFKLSKALAKKTETSRKHEEKSRKFPKVSHKAPLQEIKFLPSKEFTLSGSSEEASKQIMKALKDDKVNMIGLYGMGGVGKTTLVKEVGRKAKELHLFDEVLIATVSQNPNVTGIQDRMADSLDLKFDKKSKEGRANELWQRLQGKKMLIVLDDVWKDIDFQEIGIPFGDAHRGCKILLTTRLEKICSSMDCQEKVFLGVLSENEAWALFKINAGLRDEDSDLNRVAKVVARECQGLPLALVTVGRALRDESAVKWKKVSKQLKNSQFPDMEQIDDRKNAYACLKLSYDYLKSKETKSCFLLCCLFPEDYDIPIEDLTRYAVGYGLHQDAESIEDAREQVYEAIKDLKSCCMLLGTETEEHVKMHDLVRDVAIWIASSEEYGFMVKAGNGLKEGPMSNKSFEGCTTMSLMGNKLAELPEELVCPQLKVLLLELDDDLNVPERFFEGMKAIEVLSLKGGCLSLQSLQFSTNLQSLLLIECECKDLNWLRKLQRLKILGFMWCDSIEELPDEIGDLKELRLLDVTGCVLLRRIPVNLIARLKKLEELLIGNFSFVEWDVVGCDSTEGMNASLTELNSLSHLAVLSLKIPKVECIPRDFVFPRLLKYDIVLGNEFTETEYPTSTRLYLGGISATSLNAKTCEQLFPTVSQIGFSNVEGLENIVLSSDQMTTHGNGSQKDFFQRLEHVEVRECGDIRTLFPAKWRQALKNLRSVEIDYCESLKEVFELGEADEGINEEKELPLLSSLTELQLSRLPELKCIWKGPTRHVSLQSLIHLKLKSLDKLTFIFTPSLAQSLIHLETLRIGECRELKRLIREKDNDDENNQILSGSDLQSSCFPNLCRLEISRCNKLKSLFPVAMASGLKKLQVLEVKESSQLLEVFGQDDHHQPSIPFSLC >Potri.006G076200.3.v4.1 pep chromosome:Pop_tri_v4:6:5624401:5632140:1 gene:Potri.006G076200.v4.1 transcript:Potri.006G076200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076200.v4.1 MRVVEFWRTVLVLFALLINGKAEVYIVTMEGEPVISYTGGIPGFEATAVESDEKLDATSQLVTSYAQHLEQKHDMLLDSLFDRGTYKKLYSYKHLINGFAVHTSPEQAETLRRAPDVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDKAGEDIIIGFVDSGIFPRHPSFGSPSSDPYGPLPKYRGKCEVDPDTKREFCNGKIIGAQHFAEAAIAAGAFNPSIDFASPMDGDGHGSHTAAIAAGNNGIPVRIHGHEFGKASGMAPRARIAVYKALYRLFGGFIADVVAAIDQAVHDGVDILSLSVGPNSPPATTKTTYLNPFDVTLLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWITSVAAAIDDRRYKNHLYLGNGKVLPGIGLSPSTHPNQTYTLVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNVLICGYSFNFVVGTASIKKVSETAKSLGAIGFVLAVENVSPGTKFDPVPVGIPGILITDVTKSMDLIDYYNTSTPRDWTGRVKSFKGTGSIGNGLMPILYKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALLTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRSALDPGLIFDAGYEDYLGFLCTTPGIDAHEIRNYTNTPCNYTMGHPSNLNTPSITISHLVKTQTVTRTVTNVAEEETYVITARMQPAVAIEANPPAMTLRPGASRKFTVSLTVRSVTGAYSFGEILMKGSRGHQVRIPVVAMGYWR >Potri.006G076200.2.v4.1 pep chromosome:Pop_tri_v4:6:5624944:5632414:1 gene:Potri.006G076200.v4.1 transcript:Potri.006G076200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076200.v4.1 MRVVEFWRTVLVLFALLINGKAEVYIVTMEGEPVISYTGGIPGFEATAVESDEKLDATSQLVTSYAQHLEQKHDMLLDSLFDRGTYKKLYSYKHLINGFAVHTSPEQAETLRRAPDVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDKAGEDIIIGFVDSGIFPRHPSFGSPSSDPYGPLPKYRGKCEVDPDTKREFCNGKIIGAQHFAEAAIAAGAFNPSIDFASPMDGDGHGSHTAAIAAGNNGIPVRIHGHEFGKASGMAPRARIAVYKALYRLFGGFIADVVAAIDQAVHDGVDILSLSVGPNSPPATTKTTYLNPFDVTLLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWITSVAAAIDDRRYKNHLYLGNGKVLPGIGLSPSTHPNQTYTLVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNVLICGYSFNFVVGTASIKKVSETAKSLGAIGFVLAVENVSPGTKFDPVPVGIPGILITDVTKSMDLIDYYNTSTPRDWTGRVKSFKGTGSIGNGLMPILYKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALLTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRSALDPGLIFDAGYEDYLGFLCTTPGIDAHEIRNYTNTPCNYTMGHPSNLNTPSITISHLVKTQTVTRTVTNVAEEETYVITARMQPAVAIEANPPAMTLRPGASRKFTVSLTVRSVTGAYSFGEILMKGSRGHQVRIPVVAMGYWR >Potri.006G076200.1.v4.1 pep chromosome:Pop_tri_v4:6:5624401:5632451:1 gene:Potri.006G076200.v4.1 transcript:Potri.006G076200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076200.v4.1 MRVVEFWRTVLVLFALLINGKAEVYIVTMEGEPVISYTGGIPGFEATAVESDEKLDATSQLVTSYAQHLEQKHDMLLDSLFDRGTYKKLYSYKHLINGFAVHTSPEQAETLRRAPDVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDKAGEDIIIGFVDSGIFPRHPSFGSPSSDPYGPLPKYRGKCEVDPDTKREFCNGKIIGAQHFAEAAIAAGAFNPSIDFASPMDGDGHGSHTAAIAAGNNGIPVRIHGHEFGKASGMAPRARIAVYKALYRLFGGFIADVVAAIDQAVHDGVDILSLSVGPNSPPATTKTTYLNPFDVTLLGAVKAGVFVAQAAGNGGPFPKTLVSYSPWITSVAAAIDDRRYKNHLYLGNGKVLPGIGLSPSTHPNQTYTLVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNVLICGYSFNFVVGTASIKKVSETAKSLGAIGFVLAVENVSPGTKFDPVPVGIPGILITDVTKSMDLIDYYNTSTPRDWTGRVKSFKGTGSIGNGLMPILYKSAPQVALFSARGPNIKDFSFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMISGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALLTTSTKLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRSALDPGLIFDAGYEDYLGFLCTTPGIDAHEIRNYTNTPCNYTMGHPSNLNTPSITISHLVKTQTVTRTVTNVAEEETYVITARMQPAVAIEANPPAMTLRPGASRKFTVSLTVRSVTGAYSFGEILMKGSRGHQVRIPVVAMGYWR >Potri.006G190800.1.v4.1 pep chromosome:Pop_tri_v4:6:19793399:19795120:-1 gene:Potri.006G190800.v4.1 transcript:Potri.006G190800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190800.v4.1 MMATADVLFLFCFLISSWFLSTILCKSIKNYVHFGTKLRPPPSPPALPIIGNLHLIGSVLSKSLHNLAHKHGPIIQLHLGASTCYVVSDAMIAKEILKTNELNFISRPEFDCSDNNIYRGSGFVTAPYNTYWRFMKKLCMTRLLATSQLNQLVHVREEEIMKLVDSLINISREGKSCNLKQEFITMTNNVICRMAMSTRCVEDDANEAKEVKELVNQIVVLGGKLSAGNILGPLAKLDLFGHGRMLRNALEKFDRLVEKIIKEHEDQREMKDMEGSGWRDLMDILLAISGDSNAEMNLTRKDIKAFFLDIIMAGTDTSALTIQWIMAELINHQKIFNRLREEIDLAVGTKRLVKESDILNLPYLQAVVKETLRLHPPSPIILRQCAEDCKINGFDLKGKTRMLINLYSIQRDPNSWTDPEEFNPDRFMVDSNINHLQNQMEVKGQMFNYLPFGSGRRGCPASSLALVVVQAAIGALVQCFDWEVIGEGKINLQEDSGFSMGMASPLVCYPITRFNPLSFNKR >Potri.002G010800.1.v4.1 pep chromosome:Pop_tri_v4:2:670937:674199:1 gene:Potri.002G010800.v4.1 transcript:Potri.002G010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010800.v4.1 MASLLPLLFFFFFASPSLVNAKTNSTITSINRDLYHSSADLLEQIKALVHRHPDKLTAETIKTGNKGYKAEITVVTYCRSRKETDDRSKFRILLSFGQHGRELITTELAFRILSVLSEEQFLPDVDAASLNNTLDKLIVKVVPMENLNGRKLVEEGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTGPFSEPETQIMRKLALTFDPHIWVNVHSGMEALFMPYDHRNTTPDGVLSERMRSLLGKLNNVHCHKHCMIGSGGGSVGYLAHGTATDFMYDIVKVPMAFTFEIYGDTAASSKDCFKMFNPVDLTTFNRVLNDWSSAFFTIFKLGPLQLDGNHSKAMASGVDRFVSIDEYLDGYLMERRNRYGKKMEVLDVGMQEIRTYFRLFLLSSVLLLFMFCSRIAKGKSSRPIVSALSI >Potri.006G238800.2.v4.1 pep chromosome:Pop_tri_v4:6:24092704:24095399:-1 gene:Potri.006G238800.v4.1 transcript:Potri.006G238800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238800.v4.1 MATESKQSAVKMKPRSSIFDGSKGKIESSMKVKRIEISSKQKQPVVDSKNKSVSAVTIKTEVKSKSPSSSSRTTTTTKTTRVRQKKVFSLPGQRCDPPEEREPLRIFYESLSKQIPTSEMAEFWMMEHGLLSPERAKKAHERKQRKQKMQRLGTPIKSTNPSTSRPKSSQMQQQISKNGDLKAKRKISIDSDDDDFILSHKRSKG >Potri.013G031151.1.v4.1 pep chromosome:Pop_tri_v4:13:2021430:2021723:-1 gene:Potri.013G031151.v4.1 transcript:Potri.013G031151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031151.v4.1 MEHRMKPVYPRQRSREGMPRIPLMSPLRRHHARSGSAGAANNMKKAQTKAAAQALAQGMSHQTADDDDNEDDYLSYDYQLSGIGSIGPAGGRRMQPS >Potri.T124608.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:39384:41649:1 gene:Potri.T124608.v4.1 transcript:Potri.T124608.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124608.v4.1 MEIEGQATVKESQPPKFALPVDSEHKATEFRLFSVAAPHMRAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTASDIGNAGIASVSGAVFARVAMGTACDLFGPRLASASLILITAPAVYFTSIASSSTSFLLVRFFTGFSLATFVSTQFWMSSMFSAPVVGTANGVAGGWGNLGGGATQLIMPLVFGLIRDIGAIKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFGRLQKAGDKTKDKFSNVFYHGIKNYRGWILALSYGYCFGVELTIDNIVAEYFYDRFDLKLHTAGMIAASFGLANIVSRPGGGMISDAVGKRFGMRGRLWALWIAQTLGGVFCIILGRVGSLGASIVVMIVFSLFCQAACGLTFGVVPFVSRRSLGLISGMTGGGGNVGAVLTQLIFFRGSKYSKDRGIMLMGVMIICCTLPICLIYFPQWGGMFCGPSSTKIATEEDYYLSEWTSEEKEKGLHLSSLKFADNSRRERGRKEGSETRPVDESPSTKV >Potri.006G181300.2.v4.1 pep chromosome:Pop_tri_v4:6:18787487:18790219:-1 gene:Potri.006G181300.v4.1 transcript:Potri.006G181300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181300.v4.1 MDGNSSSLAPETNIAALKEALYTQQLLLQDLYTELDEEREASASAASEALSMILRLQGEKASLKLEASQYKRMEEEKMCHAEEALAVVEDLIYQRELEIASLEYQVQAYRYRLLSMGCNDLGVYENSFPENLLMQRNEPLSGNSGANGNLRRFNSSPQVSSTDANYNKNSNERKRSLVPVPDPMEIDVEEIAIREVNDSEKKPGSSGADANSFWEQIKRLDERVKEISDNEDSGRNKSTIWKGGTWSPSLFSQMGIGTSGDATRKTNATHSDEVKQHQDSQEGVQDIFEVSQTSGSCKVPESSVKEHHKLTLEGENRLQKPDMVSKDILESPNQDERGLIKTIMLSTIHEKKLPKAREGTNVHRNLPPMSRPTFSFSNSQGEYQQLSQRVERLERARNNTRQEIIAEGEEEVNLLKEIREQLYSIQSEIRSLTTKKPHVPDEPPLKPLHEAMLYFWF >Potri.001G142400.1.v4.1 pep chromosome:Pop_tri_v4:1:11673236:11674210:1 gene:Potri.001G142400.v4.1 transcript:Potri.001G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142400.v4.1 MDNSKNRQSDHLGVNKIGKNIKKSPLHQPNFANNPNRQQPQPQVYNISKNDFRNIVQQLTGSPSQEPLPRPPNNPPKPQSMRLQKIRPPPLTPINRPHVPPPFPAPAVAPPPVPYHNAFVRPGMLPPPSQFGLPSPTMMPPLTPGDSGWANTAESPISAYMRYLQNSIIDPGSWGNQTQPPRQPYPPLPQGPGQIQPQHQPPSGGLLPNPHLPPVPTPGANGPVPYMPNLPSPRMNGPPLLPSPTSQFLLPSPTGYMSLLSPRSPYPLLPPGIQFRPLTPNFAFSPMAQSGVLGPGPQPPPSPGFFPLSPSGFFPFSSPRWRDQ >Potri.014G036500.1.v4.1 pep chromosome:Pop_tri_v4:14:2300730:2305261:1 gene:Potri.014G036500.v4.1 transcript:Potri.014G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036500.v4.1 MEERPETELISIPATPRASTPEILTPSGQRSPRPASKEAKSSTAWTPTSFISPRFLSPIGTPMKRVLINMKGYLEEVGHLTKLNPQDAWLPITESRNGNAHYAAFHNLNAGVGFQALVLPVAFAFLGWSWGILSLTIAYCWQLYTLWILVQLHEAVPGKRYNRYVELAQAAFGERLGLWLALFPTVYLSAGTATALILIGGETMKLFFQIVCGPLCSSNPLTTVEWYLVFTSLCIVLSQLPNLNSIAGLSLIGAITAITYSTMVWVLSVSQQRPPTISYEPLSLPSFSASVFSVMNALGIVAFAFRGHNLAMEIQATMPSTFKHPAHVPMWKGAKVAYFFIALCLFPVAIGGFWAYGNLMPSGGILNALYGFHSHDIPRGLLAMTFLLVVFNCLSSFQIYSMPVFDSFEASYTTRTNRPCSIWVRSGFRVFYGFISFFIGVALPFLSSLAGLLGGLTLPVTFAYPCFMWVLIKKPSKYSFNWYFNWILGWLGIAFSLAFSIGGVWSMVNSGLKLKFFKPPN >Potri.018G024600.1.v4.1 pep chromosome:Pop_tri_v4:18:1811968:1815323:-1 gene:Potri.018G024600.v4.1 transcript:Potri.018G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024600.v4.1 MASFVRSKPVILLLVVQLCLSLLSPSLAISSGSSGDGYAINGRVKIPGIDAKGLGGHGKISNVKVILNGGQHVTFLRPDGYFSFHNVPAGTHLIEVVATGYFFSPVRVDVSARFPGKVQATLTEKRRSLSEMVLEPLKEEQYYEIREPFSIMSLVKSPMGLMMGFMVLVMFVMPKLMENMDPEEMKRAQEEMRNQGVPSLASMLPGAARSNN >Potri.003G056200.1.v4.1 pep chromosome:Pop_tri_v4:3:8216020:8221092:-1 gene:Potri.003G056200.v4.1 transcript:Potri.003G056200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056200.v4.1 MGVNSNRVEDFSSQETTLRITTESIVPGMEIHNVCLPPKKTTLQKLKQRLGEIFFPDDPLYRFKNQTWCKKLLLGLQFLFPIFQWGPEYSLRLLRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYSILGSSRHLGVGPVSIASLVMGSMLSETVSPHDEPILYLKLAFTATFFAGLFQASLGFLRLGFVIDFLSKATLVGFMAGAAVIVSLQQLKGLLGIVHFTTKMQFIPVISSVFNHRDEWSWQTIVVGVSFLVFLLTSRHISMKRPKLFWVSAAAPLTSVILSTILVLCFKLKTHKISIIGYLPKGLNPPSANMLSFSGPDLALAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNMAGSCSLCYVTTGSFSRSAVNYNAGAQTAVSNIIMATAVLVTLLFLMPLFYYTPNVILGAIIVTAVIGLIDYQAAYRLWKVDKLDFLACMCSFFGVLFISVPSGLGIAVGVSVFKILLHVTRPNTLIMGNIRGTNVYQCLGRYKETSRVPSFLILAIESPIYFANSTYLQERILRWIREEEDWIKANNEGTLKCVILDMTAVTAIDTSGIDLVCELRKMLEKRSFKLVLANPVGSVMEKLHQSKTLDSFGLNGIYLTVGEAVADISALWKSQP >Potri.003G056200.3.v4.1 pep chromosome:Pop_tri_v4:3:8216077:8221092:-1 gene:Potri.003G056200.v4.1 transcript:Potri.003G056200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056200.v4.1 MGSMLSETVSPHDEPILYLKLAFTATFFAGLFQASLGFLRLGFVIDFLSKATLVGFMAGAAVIVSLQQLKGLLGIVHFTTKMQFIPVISSVFNHRDEWSWQTIVVGVSFLVFLLTSRHISMKRPKLFWVSAAAPLTSVILSTILVLCFKLKTHKISIIGYLPKGLNPPSANMLSFSGPDLALAIKTGIVTGILSLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNMAGSCSLCYVTTGSFSRSAVNYNAGAQTAVSNIIMATAVLVTLLFLMPLFYYTPNVILGAIIVTAVIGLIDYQAAYRLWKVDKLDFLACMCSFFGVLFISVPSGLGIAVGVSVFKILLHVTRPNTLIMGNIRGTNVYQCLGRYKETSRVPSFLILAIESPIYFANSTYLQERILRWIREEEDWIKANNEGTLKCVILDMTAVTAIDTSGIDLVCELRKMLEKRSFKLVLANPVGSVMEKLHQSKTLDSFGLNGIYLTVGEAVADISALWKSQP >Potri.007G084800.1.v4.1 pep chromosome:Pop_tri_v4:7:10958814:10961047:-1 gene:Potri.007G084800.v4.1 transcript:Potri.007G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084800.v4.1 MAWILTTLALIALAFFLRAWLSKRKIKDSKLPPGPIGFPIFGSLHLLGKFPHQDLHQLANKYGPIMYMRLGLVPTVVVSSPRAAELILKTHDLVFANRPPNEAAKHISYEQKSLSFAPYGSYWRNVRKMCTLELLSNHKINSFMSSRKEELDLLIDYIKDASRERVAVDLSAKVSSLSADISCRMVFGKKYMEKEFDDKGFKPVIHEGMRLAASFNFGDYIPPIAPLDLQGLTKRMKAVGKVFDDFLEKIIDEHIQFKDENRTKDFVDVMLDFLGSEETEYSIGRDNIKAIILDMLVGSMDTSATAIEWTLSELIKHPRVMKKVQKELEEKIGMDRTVEESDLEGLEYLHMVIKEAFRLHPVAPLLIPHESMEDCTIDGFLIPQKTRVIVNVWAIGRDQSAWTDANKFIPERFAGSNIDVRGRDFQLLPFGAGRRGCPGMHLGLTMVLQIVAQLVHCFDWELPNNMLPEELDMTEAFGLVTPRANHLCATPTYRHHL >Potri.011G087500.3.v4.1 pep chromosome:Pop_tri_v4:11:11146456:11148171:-1 gene:Potri.011G087500.v4.1 transcript:Potri.011G087500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087500.v4.1 MILIVPRGAHAVRLGNDADISQELTVEKGSVYSVTFSAARTCAQLESLNVSVLPASQTIDLQTLYNVQGWDPYALAFEAQEDKVRLVFSNPGMEDDPTCGPIIDDIAIKKLFTPERPKDNAVINGDYEEGPWMFNNVSLGVLLPTKLDEETSSLPGWIIESYRAVRYIDSYHYSVPQGKRAIELLSGKEGIISQMVETTPSKPYTMSFALGHAGDKCKQPLAVMAFAGDQAQNIHYTPDSNSTFQVANLNFTAKADRTRIAFYSVYYNTRSDDMSSLCGPVVDDVRVWFSGARRIGFGGLGLGLGLWVSVLALLY >Potri.011G087500.1.v4.1 pep chromosome:Pop_tri_v4:11:11146455:11150694:-1 gene:Potri.011G087500.v4.1 transcript:Potri.011G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087500.v4.1 MFQSSTRSKWFTLFMLVFALFASAILGEDGLVTNGDFETPPITGFPKDEALVEGPTEIPGWKTNGTVELVSSGQKQGAMILIVPRGAHAVRLGNDADISQELTVEKGSVYSVTFSAARTCAQLESLNVSVLPASQTIDLQTLYNVQGWDPYALAFEAQEDKVRLVFSNPGMEDDPTCGPIIDDIAIKKLFTPERPKDNAVINGDYEEGPWMFNNVSLGVLLPTKLDEETSSLPGWIIESYRAVRYIDSYHYSVPQGKRAIELLSGKEGIISQMVETTPSKPYTMSFALGHAGDKCKQPLAVMAFAGDQAQNIHYTPDSNSTFQVANLNFTAKADRTRIAFYSVYYNTRSDDMSSLCGPVVDDVRVWFSGARRIGFGGLGLGLGLWVSVLALLY >Potri.018G111900.1.v4.1 pep chromosome:Pop_tri_v4:18:12945768:12954500:1 gene:Potri.018G111900.v4.1 transcript:Potri.018G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111900.v4.1 MAIRNTIILLFSFAFLSSQNRCSVAQKWIKAGYWYGGSDFPIPDINSALFTHLLCAFANVNSSTYELSIPSDFQQNFSIFTGIVKRKNPSIVTLLSIWNGQAETYKSIIGEAVNSSVLSSMLTQSSYRKSFIESSVKTARTLGFQGIDLFWLWPNSTDLSNIGTLLDEWRATVDSEPRNSSVSKLILTMGVRYSPSLQSVSYPINSMKRNLDWAHVVAYDYHMPSRENFTGNHAALYNPSSNISTDFGIREWLSRGYPANKLLLGMPYHGYAWKLVDPTDNSLGAPASGPGVTIDGSIGYRFVRSFIQNYGYGARSVYNSTYVVNYFVAGSTWINFDDVETVRAKISYAKEKGLLGYNVFQVINDDNWALSLAAQDEVHQGKRSWLWLKIVLPIALISILSASLMFYYRRRLFEREATGTRVLGDRSFSGRGTSLSAAAENFDGDAPILQVFSFESIKAATNNFSRENQLGEGGFGPVYKGKLPRGLEIAVKRLSASSTQGLEEFKNEVSLTARLQHVNLVRLLGFCSERGEKMLIYEYMPNKSLDLYLFDPIRRYSLDWSKRVRIIEGVTQGLLYLQEYSNFTIIHRDLKSSNILLDDEMNPKISDFGMAKLFRKDVYEANTSRIVGTYGYIPPEYARKGIYSIKYDVYSFGVVLLQMISGKTNTRFYSENEDLNLLEYAYDLWKNGRGMEFIDPFLDDSSSPCKLLTCMQVALLCVQENPDARPTMLEAFSMLKNDDSLAIATPERPGFSEKKKGDMETASSSQQVMCSFNDSQVSQLEPR >Potri.018G111900.3.v4.1 pep chromosome:Pop_tri_v4:18:12945732:12949637:1 gene:Potri.018G111900.v4.1 transcript:Potri.018G111900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111900.v4.1 MAIRNTIILLFSFAFLSSQNRCSVAQKWIKAGYWYGGSDFPIPDINSALFTHLLCAFANVNSSTYELSIPSDFQQNFSIFTGIVKRKNPSIVTLLSIWNGQAETYKSIIGEAVNSSVLSSMLTQSSYRKSFIESSVKTARTLGFQGIDLFWLWPNSTDLSNIGTLLDEWRATVDSEPRNSSVSKLILTMGVRYSPSLQSVSYPINSMKRNLDWAHVVAYDYHMPSRENFTGNHAALYNPSSNISTDFGIREWLSRGYPANKLLLGMPYHGYAWKLVDPTDNSLGAPASGPGVTIDGSIGYRFVRSFIQNYGYGARSVYNSTYVVNYFVAGSTWINFDDVETVRAKISYAKEKGLLGYNVFQVINDDNWALSLAAQDEVHQGKRSWLWLKIVLPIALISILSASLMFYYRRRLFEREATGTRVLGDRSFSGRGTSLSAAAENFDGDAPILQVFSFESIKAATNNFSRENQLGEGGFGPVYKGKLPRGLEIAVKRLSASSTQGLEEFKNEVSLTARLQHVNLVRLLGFCSERGEKMLIYEYMPNKSLDLYLFDPIRRYSLDWSKRVRIIEGVTQGLLYLQEYSNFTIIHRDLKSSNILLDDEMNPKISDFGMAKLFRKDVYEANTSRIVGTYGYIPPEYARKGIYSIKYDVYSFGVVLLQMISGKTNTRFYSENEDLNLLEYAYDLWKNGRGMEFIDPFLDDSSSPCKLLTCMQVALLCVQENPDARPTMLEAFSMLKNDDSLAIATPERPGFSEKKKGDMETASSSQQVMCSFNDSQVSQLEPR >Potri.007G062061.1.v4.1 pep chromosome:Pop_tri_v4:7:7031737:7033537:1 gene:Potri.007G062061.v4.1 transcript:Potri.007G062061.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062061.v4.1 MGDHWSDALGETNSQGVTGGVYRARHVCGPAHKGHADLTSSPPSSSISLAVPHLPPPSYRGCWHGVSRGFFLESCHDRALDERALQAALPFFTHAILLDRAFAHCPRFPTAAPRGSPGRVSVPVWLIIRKDQLSIIGLLSSGFGLNCSADSHALRTRSPLCSQLFPPPGRDKLPLARSLFFLLPENNVRLACVKHIASLPSEPGSNSSFEHDHALQW >Potri.009G128800.1.v4.1 pep chromosome:Pop_tri_v4:9:10568667:10570940:1 gene:Potri.009G128800.v4.1 transcript:Potri.009G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G128800.v4.1 MGYEQIVQGGLTTKANPGKATILALGKAFPHQLVMQEFLVDGYFKNTNCDDLELKQKLTRLCKTTTVKTRYVVMSDEILKKYPELAIEGLPTVKQRLDICNDAVTRMAIDASRACIKKWGRPVSDITHLVYVSSSEARLPGGDLYLAGGLGLSPETQRVMLYFAGCSGGVAGLRVAKDIAENNPGSRVLLATSETTIIGFKPPSADRPYDLVGVALFGDGAGAMIVGTDPIPVTESPLFELHTAIQNFLPNTEKTIDGRLTEEGISFKLSRELPQIIEDNIEGFCHKLIGNAGLTGKDYNKMFWAVHPGGPAILNRMEKRFDLLPDKLNASRRALMDYGNASSNTIVYVLEYMIEECRKMNGGSENCDWGLILAFGPGITFEGILARKLAV >Potri.001G315950.1.v4.1 pep chromosome:Pop_tri_v4:1:32651884:32662636:-1 gene:Potri.001G315950.v4.1 transcript:Potri.001G315950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315950.v4.1 MASNPATIEDPSQNSNTDEETIALRKKRSRRVSFADREITSVHIFNRDDEYETPPDPPSTKSPISDTDDEVRAFFGDLADSDDSKEISSPTGADDDDNDYSINSRKSFFRPVESPSPGGSSIVGSASCNDEDNFFGPVSASFIRAGRLSDSGASDCNLDVTMDSTAFSMHYRSLVRSVSGEEFKTPTEVRVAAEEKTPSNITTPSDPGSSMVLTKDKKVTSQNVSGFVQGSGGRDSNDMSLVGENLKSYDYGKLSPGLEALLSEAMKEPQAASGFLSDSSNVKLLERSEVSMFDENESSRMDRKDCEDKEVGKFDMLDISTKGVSVASMELDEANVISVSTNAGQCSTPDRTQGVAVDAFTHHQVQSPIQLSKVQTADQLGGVQMPNQLSKIQTPNQMSKLQTPNQMSKLQTPNQMMPVNKDHSKDAIAMDVELPAASIGITSNMDTQVLKLDSLKKHESGQHSADILKDQYFEDRRNQYNTDHNSDQQHSSISSLSAKRRQEIFLDASNSCRQLSYATPSPKQPDSFLSKANLKSSGIQPSPLVSSLKDRIEISKLRLSKFISSATSFNSVVEENNKADKTSKQVDSPVMNLEKRFSSIDPKDRDHERLRLRNIVGYGTVAPSDFDNLTNSGGTVSLSEDGESLMHMSACILSKEREVRPHILAKKSVDRTSVIPESASSSVEIKVDFANLLKTTNASDNFVSPPLKVLDQGLSSPIQHLSGDMKQQLTFDELVSVGSNQDKNSVGNVSISAHATAVTDKLLSWFAERKPQSGSLLDINYSEDSSQVKWVDDRQSYLQNKHGASKSPMNFQTPLRERDASNIHPVRPDRNIIIVAPDLRHSEEELPGERNKSSLYTSASVYSPKNVNGPSLKDIQSSSGRKRRIRESVLDDAEHAEEIGRIKRSPENRNPHSDMESFESINDREMVIDDSTVKHWTDISLKFSGDTKQLLSPSIDKLNIKVIGVLQDILVHLQKVKKFEMLCSQIQPQPQKTSSEVKSNRIDETRSVLSKLVFERARLQLMSAKREKLLKRAQQLSSAIQESKILKSNSIWHPSVPGEVDDNLQNSCVDKNGRKIEDSNEKVITMRHEAEALDRNIKSLTKSFHSYCKMKGEQSCDETIALVNDHLKRRTSCRFICKDLQLWEVEDLRSRNGQLNFVLNYHGFISQRFTINAVPTRSISIANQLNHTTIMKIFPNMDACVAFSYALNSETTKKYAGSKILAQETQVTSSLLRNLLDVTEEVQQAQIEIRNLVKTSFSSPSVEKLDLLLCFVDFNTGWKVVVTLDMTCLNRGVYPLAAVPYELQASTNGTHKLLPESLSAQVKAAVDNLRIGFSRIVRLCKCISQVMQSLST >Potri.001G391400.1.v4.1 pep chromosome:Pop_tri_v4:1:41473238:41476975:-1 gene:Potri.001G391400.v4.1 transcript:Potri.001G391400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391400.v4.1 MAAFHCIQALRVPSRTHVFFPIGDQNRYNIINKKQRGFLVFASADKKSDKEIYLKSKVETLLDSVKWDDKGLAVGIAQNIDTGAVLMQGFVNSDALATTISSQKATFYSRSRSALWTKGETSKNFINVHDIYLDCDRDSIIYLGKPDGPTCHTGSETCYYTSVPDLLENKQVDGNKLALTTLYSLETIISERKAELALPQIGKPSWTKRLLLDHDLLCLKIREEADELCRTLEDNEDQARTADEMGDVLYHAMVLMAVKGVKIEEVLEVLRKRFFQSGIEEKRSRG >Potri.009G053200.1.v4.1 pep chromosome:Pop_tri_v4:9:5761259:5763995:-1 gene:Potri.009G053200.v4.1 transcript:Potri.009G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053200.v4.1 MFYRGYPDGREMGAKRQRIADKGPSFYANSPGSSFMYNAPPNGYASQPPHFPVVRLRGLPFDCTETDVAEFFHGLDIVDVLFVHKGGKFSGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRQDYYKTIANEVSESRGSPRRNIPRAKSYDEGKDSAEHTGVLRLRGLPFSASKDDIMEFFKDFVLSEDSIHITMNSEGRPTGEAFVEFANAEDSKAAMAKDRMTLGSRYIELFPSSVEESDEAVSRGR >Potri.002G189450.1.v4.1 pep chromosome:Pop_tri_v4:2:15145077:15147274:1 gene:Potri.002G189450.v4.1 transcript:Potri.002G189450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189450.v4.1 MGKSFKDEFTFEQRLEESQDIIAKYPLRVPVVVERYCKTDLPEMEKKKYLVPRDMSVGQFIHILSSRLRLTPGKALFVFVKDTLPQTAALMDSVYESLKDEDGFLYMCYSSEKTFGHTVPIS >Potri.008G116500.1.v4.1 pep chromosome:Pop_tri_v4:8:7433455:7435635:-1 gene:Potri.008G116500.v4.1 transcript:Potri.008G116500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G116500.v4.1 MTVSASIPTAKSRVLIAGATGFIGQFVAKASLDAGRPTYVLVRPGLAGCPSKSRVLKSLHDKGAIILHGLITDRENTEKILKDHEIDIVISAVGGANVLDQVALVEAIKAVGTVKRFLPSEFGHDVVRADPVEPGMQMYNDKRVIRRLIEEYRIPYNYICCNSIASWPYYDNKHPADVLPPLDHFKIYGDGTVRAYFVAGTDIGKFTMKIVDDVRAINKSVHFRPSSNFYNMNELASLWEKKIGRTLPRVTVTEEDLLAIAAENNIPESIVASFTHDIFIKGCQSNFSINGPDDVEVGTLYPDEAFRTLDECFDDFALELKDNNKDLGSNGNATPNHVVESLALSAPCGLLV >Potri.001G121650.1.v4.1 pep chromosome:Pop_tri_v4:1:9966975:9967796:-1 gene:Potri.001G121650.v4.1 transcript:Potri.001G121650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121650.v4.1 MRNSRASRTAMKWQTLARLFKGEGGGSRRIAKKPTTCNHIHVSQALNNMDQIATSSWETTHWSTIRTSSPAGPSHLLHVICARSKQHLYSPLSFQNLYRIKIVPHQHYMCLPLRIITLARQGLDVGFFVFLIFLPFVIDHVIS >Potri.019G021700.1.v4.1 pep chromosome:Pop_tri_v4:19:3325551:3329206:-1 gene:Potri.019G021700.v4.1 transcript:Potri.019G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021700.v4.1 MQTLLLFFFCCFGLSLVFVEGVQSVQQHEELSTLLLIRSSLVDPSNQLEGWRMPRNSSENQSPHCNWTGIWCNSKGFVERLDLSNMNLTGNVSDHIQDLHSLSFLNFSCNGFDSSLPRELGTLTSLKTIDVSQNNFVGSFPTGLGMASGLTSVNASSNNFSGYLPEDLGNATSLESLDFRGSFFEGSIPGSFKNLQKLKFLGLSGNNLTGRIPREIGQLASLETIILGYNEFEGEIPEEIGNLTNLRYLDLAVGSLSGQIPAELGRLKQLTTVYLYKNNFTGQIPPELGDATSLVFLDLSDNQISGEIPVELAELKNLQLLNLMRNQLKGTIPTKLGELTKLEVLELWKNFLTGPLPENLGQNSPLQWLDVSSNSLSGEIPPGLCHSGNLTKLILFNNSFSGPIPMSLSTCESLVRVRMQNNLISGTIPVGLGSLPMLQRLELANNNLTGQIPDDIGLSTSLSFFDVSGNHLQSSLPYSILSIPSLQIFMASNNNLEGQIPDQFQDCPSLTLLDLSSNHLSGKIPESIASCEKLVNLNLQNNQFTGEIPKAISTMPTLAILDLSNNSLVGRIPENFGNSPALETLNLSFNKLEGPVPSNGMLTTINPNDLVGNAGLCGGILPPCSPASSASKQQQNLRVKHAIIGFIVGISIVLSLGIAFFTGRLIYKRWYLYNSFFYDWFNNSNKAWPWTLVAFQRISFTSSDIIACIMESNIIGMGGTGIVYKAEAYRPHATVAVKKLWRTERDIENGDDLFREVNLLGRLRHRNIVRLLGYIHNETDVLMVYEYMPNGNLGTALHGKEAGNLLVDWVSRYNVAVGVAQGLNYLHHDCHPPVIHRDIKSNNILLDSNLEARIADFGLARMMSYKNETVSMVAGSYGYIAPEYGYTLKVGEKSDIYSFGVVLLELLTGKMPLDPAFGESVDIVEWVRRKIRNNRALEEALDHSIAGHCKDVQEEMLLVLRIAILCTAKLPKDRPSMRDVITMLGEAKPRRKSICHNGVQNPSKERPIFSNSPVIGLL >Potri.003G181000.2.v4.1 pep chromosome:Pop_tri_v4:3:18666018:18671430:1 gene:Potri.003G181000.v4.1 transcript:Potri.003G181000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181000.v4.1 MDHSSEEESDISESEIEDYKDKPLEDLRSGNLRVKVNGFLRCPFCAGKKKQDYKYKDLLQHATGVSKGSASRSGKQKANHLALARYLEIELANEAEQTPRQVVPQPIKPPPEQQELFVWPWMGILVNLTEQRDNEYWMKKFAEYKPLEFCTFLNEEDHSTQAIVRFNRDWDGFMNATRFEQLFDNANHGKKDWKTRKTQPGSSIYGWRARADDHDSDGPIGEYLRREGRLNTIPAIVQESNESRNTVVAHLADKIDEANKNLDKLHYNFNEKNMSLRRMLEEKDKLHYAFVEETRKMQRHARENVHRVLAEQEKLNDELESKKKKLDFWSKELNKRETVTERERQKLDDEKKKNDTRNSSLQLASMEQRKADENVLRLVEEQKREKEEALKKILQLEKQLDAKQKLEMEIQEIKGKLLVMKHLGDQDDAAVQKKVEEMKDELSQKEDDFTDMESLNQTLIIKERQSNDELQQARKNLIVGLGDMLGARTLIGLKRMGEIDEKPFHNACKERFPEDPLLHASTQCSLWQEKLKNPAWHPFKVIDVDGNAKQILNEEDEELRNLKKEWGDEIYTAVVTALNELEEYNPSGRYVVSELWNFKEGRKATLKEVIAYIVKNINTLKRKKAT >Potri.003G181000.1.v4.1 pep chromosome:Pop_tri_v4:3:18666026:18671482:1 gene:Potri.003G181000.v4.1 transcript:Potri.003G181000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181000.v4.1 MDHSSEEESDISESEIEDYKDKPLEDLRSGNLRVKVNGFLRCPFCAGKKKQDYKYKDLLQHATGVSKGSASRSGKQKANHLALARYLEIELANEAEQTPRQVVPQPIKPPPEQQELFVWPWMGILVNLTEQRDNEYWMKKFAEYKPLEFCTFLNEEDHSTQAIVRFNRDWDGFMNATRFEQLFDNANHGKKDWKTRKTQPGSSIYGWRARADDHDSDGPIGEYLRREGRLNTIPAIVQESNESRNTVVAHLADKIDEANKNLDKLHYNFNEKNMSLRRMLEEKDKLHYAFVEETRKMQRHARENVHRVLAEQEKLNDELESKKKKLDFWSKELNKRETVTERERQKLDDEKKKNDTRNSSLQLASMEQRKADENVLRLVEEQKREKEEALKKILQLEKQLDAKQKLEMEIQEIKGKLLVMKHLGDQDDAAVQKKVEEMKDELSQKEDDFTDMESLNQTLIIKERQSNDELQQARKNLIVGLGDMLGARTLIGLKRMGEIDEKPFHNACKERFPEDPLLHASTQCSLWQEKLKNPAWHPFKVIDVDGNAKQILNEEDEELRNLKKEWGDEIYTAVVTALNELEEYNPSGRYVVSELWNFKEGRKATLKEVIAYIVKNINTLKRKKAT >Potri.003G181000.5.v4.1 pep chromosome:Pop_tri_v4:3:18665963:18671431:1 gene:Potri.003G181000.v4.1 transcript:Potri.003G181000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181000.v4.1 MDHSSEEESDISESEIEDYKDKPLEDLRSGNLRVKVNGFLRCPFCAGKKKQDYKYKDLLQHATGVSKGSASRSGKQKANHLALARYLEIELANEAEQTPRQVVPQPIKPPPEQQELFVWPWMGILVNLTEQRDNEYWMKKFAEYKPLEFCTFLNEEDHSTQAIVRFNRDWDGFMNATRFEQLFDNANHGKKDWKTRKTQPGSSIYGWRARADDHDSDGPIGEYLRREGRLNTIPAIVQESNESRNTVVAHLADKIDEANKNLDKLHYNFNEKNMSLRRMLEEKDKLHYAFVEETRKMQRHARENVHRVLAEQEKLNDELESKKKKLDFWSKELNKRETVTERERQKLDDEKKKVT >Potri.007G035100.1.v4.1 pep chromosome:Pop_tri_v4:7:2754731:2756645:1 gene:Potri.007G035100.v4.1 transcript:Potri.007G035100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035100.v4.1 MLKKGSKRGSSSRGGRIFYEENSLLKFSRIKKTNLPADNDDDFFNTRNSQDQVVTPSNSSSPYNIVSPVEKSPRSSQRITNIPFEDNDDNFSPNVLMGSLVREEGHIYSLAASGDLLYTGSDSKNIRVWKNQKEFPGFKSNSGLVKAIVISGATIFTGHQDGKIRVWKVSSKDPSIHKRVGTLPTMRDYFNNSMKTSNYIEVKRHKNAVWYKHSDAISCLSLSEDKTFLYSSSWDKTFKVWRISNSRCLESVISHDDAVNSIVAGNDGLVFTGSADGTVKVWRRELQGTGTKHFFSQTLLKQECAVTAVAVNPNATVVYCGSSDGLVNFWEREKHMSHGGVLRGHKLAILCLVTAGSLLFSGSADMGICVWRRMGNDHICLSLLAGHKGPVKCLAAEKDHESAPNERRWILYSGSLDKSVKMWRVSENAPPMAWRGCPSSAPTSMPSSLPAATSFPAQTRNN >Potri.003G165500.1.v4.1 pep chromosome:Pop_tri_v4:3:17458593:17459930:1 gene:Potri.003G165500.v4.1 transcript:Potri.003G165500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165500.v4.1 MCRFLCFPPLPSIQFHLVKMTGTPQETETQQVSSATVTEESHPNDHPPASDEKPNKWGTHIMGPAAAPNVHPDNQQAALWNASEHQQIPEHPYLVYTPIDKSEKSTQKSFEPVIHKFQEWGKKAETVARNMWHNLSTGPSVPQTAWGKVNLTAKAITEGGFESLFKHIFETDPNEKLKKTFACYLSTSTGPVAGTLYLSTARVAFCSDRPLCHTAPSGEEAWSYYKVMIPLDKISTVSSEIMLENPSRKYIQIVSTDGHDFWFMGFVNFEKALQNLSESVSSFKEAGIAIQPVVA >Potri.015G102700.3.v4.1 pep chromosome:Pop_tri_v4:15:12168592:12175339:-1 gene:Potri.015G102700.v4.1 transcript:Potri.015G102700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102700.v4.1 MFNNEKGFSAKNIESICNVGNSTKKGNRKRGYIGEKGIGFKSVFLIAAQPYIFSNGYQIRFNEKPCPHCNLGYIVPEWVDNNPSLSDIKQIYGSASTLPTTTLILPLKPDKVNPVKQQLSSIHPEILLFLSKIKRLSVREENADPRLNTVSAVAITKETNFMERKNMDAESYTLHLSADENSDEFEKECSYYLWKQKFPVRPENRVDMRMGVDDWVITLAFPNGERLHRGMKYSPGIYAFLPTEMVTDFPFIIQADFILASSRETIRWDNIWNQGILDCVPFAFIEALVSLVKTVDGAPVSSLPRMFKFLPVHKSPFEKLNSVRESIKAKLAEKDIIPSESYTAQQFFHKPREVGRLMPAFWNILKKTGEQGVSLHKLSSHGCYVLNSSFDKPEYDDILDFLGVRPVSSDWYVKCIQGSNIVMGVSEETYLELLHFLAVNWQSEFHSTGMGNIPLIKYVGTDGSVSLCSVNESAQPHGKTVCLSPKSSRVSWLIDWNREFRCMANHFFVPRTTQEAICSSSNKELVLKWLVDMIKIKALSVYHYADLYGDQVSCNQKLVIAYAHFLYHSFLNDYLSEREVVSLCGKMPLVDSYGHVIKARNAVLVPATESKWVQLIGSNPWRGESYVELGEDYLHPACFAGTSTVGNQLMNFLKDYVKASDIPHISPPNAGIPTASTPLTKQNAFLLLDWIRELKRSGIGIPARFMACIQEGSWLKTTMNGSPGYKPPSQSFLLASSNRSSNWGSILQSASVLVDIPLIDQGFYGLKITEYREELRTVGVMFEYGEACEFIGNHLMSLAASSALTKSNVISILNFIRFLRMNLLSLDKFIGTIKQKRWLRTCWGDRSPVGSVLYDQEWTTARQISAIPFIDQDYYGEDILVFKPELKLLGVVVGFNGSYQLVVDCFKSPSCLSTLTKEAFLLVLDCMHHSSSAHKLVNAVKSTKCLKTNLGYKCPGECFLFHPEWGCLLKVFDGFPLVDSNFYGSSIMFHDTELKELGVKVDFEDAVRVFVHTFMKRASLSSITKENVFSFISCYRKLKGTPNKFPSDLKKCIREVKWLRTRLGDYRSPRDCILFGPEWELIYPITRLPFIDDRDKYYGNGIHEYRKELKSMGVVVEFKAGVKFVAAGLCFPQNPRDIAPGNVLSLLECIRALLQEKDYSFPDAFLKNISRGWLKTHAGFRSPGNCCLFNSRWSSHVRPTDGPFIDEDFYGSDIKLYSKELSAIGVDEEKVCSLLARHLDSHSEFDTIVRVYDCLRENKWKPDSDATRKIWIPDGLENGMWVDPEECALHDKNGLFGLQLNVLENHYKPKLLHFFSSSFNVKSNPSFDDYCKLWKVWESLGRPLTHAECCAFWECVMMQRSSRTERTLADDLVKLPVVLGSGEILLSSKSDVFIADDLLLKDLFEKFSWLHPIFVWCPQPNLPSLPRTRLLEVYRKIGVRTISESVLKEEVSLADGVELSQMDSRDGGIGKELIRLILGFLADPSLDMEATKRHGAVQCLLNLKVLETMEPITVSYSLLLSDGEPLKVKASRMIRWDKECSKFFTQKMDKAGGQKNLIEYATSFSEVIARGVLWDKEDQIKALSELIKLAFLLNFDEQAVQFLMKSNNLQTFLEDEEFLNAAFPSV >Potri.015G102700.2.v4.1 pep chromosome:Pop_tri_v4:15:12168577:12175411:-1 gene:Potri.015G102700.v4.1 transcript:Potri.015G102700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102700.v4.1 MATPKQHIEHIRKTTFSIGGEKNPLAPMLDQAVKYLSAELYAKDVHFLMELIQNAEDNEYLERVDPSLEFVITSRDITNTGAPATLLMFNNEKGFSAKNIESICNVGNSTKKGNRKRGYIGEKGIGFKSVFLIAAQPYIFSNGYQIRFNEKPCPHCNLGYIVPEWVDNNPSLSDIKQIYGSASTLPTTTLILPLKPDKVNPVKQQLSSIHPEILLFLSKIKRLSVREENADPRLNTVSAVAITKETNFMERKNMDAESYTLHLSADENSDEFEKECSYYLWKQKFPVRPENRVDMRMGVDDWVITLAFPNGERLHRGMKYSPGIYAFLPTEMVTDFPFIIQADFILASSRETIRWDNIWNQGILDCVPFAFIEALVSLVKTVDGAPVSSLPRMFKFLPVHKSPFEKLNSVRESIKAKLAEKDIIPSESYTAQQFFHKPREVGRLMPAFWNILKKTGEQGVSLHKLSSHGCYVLNSSFDKPEYDDILDFLGVRPVSSDWYVKCIQGSNIVMGVSEETYLELLHFLAVNWQSEFHSTGMGNIPLIKYVGTDGSVSLCSVNESAQPHGKTVCLSPKSSRVSWLIDWNREFRCMANHFFVPRTTQEAICSSSNKELVLKWLVDMIKIKALSVYHYADLYGDQVSCNQKLVIAYAHFLYHSFLNDYLSEREVVSLCGKMPLVDSYGHVIKARNAVLVPATESKWVQLIGSNPWRGESYVELGEDYLHPACFAGTSTVGNQLMNFLKDYVKASDIPHISPPNAGIPTASTPLTKQNAFLLLDWIRELKRSGIGIPARFMACIQEGSWLKTTMNGSPGYKPPSQSFLLASSNRSSNWGSILQSASVLVDIPLIDQGFYGLKITEYREELRTVGVMFEYGEACEFIGNHLMSLAASSALTKSNVISILNFIRFLRMNLLSLDKFIGTIKQKRWLRTCWGDRSPVGSVLYDQEWTTARQISAIPFIDQDYYGEDILVFKPELKLLGVVVGFNGSYQLVVDCFKSPSCLSTLTKEAFLLVLDCMHHSSSAHKLVNAVKSTKCLKTNLGYKCPGECFLFHPEWGCLLKVFDGFPLVDSNFYGSSIMFHDTELKELGVKVDFEDAVRVFVHTFMKRASLSSITKENVFSFISCYRKLKGTPNKFPSDLKKCIREVKWLRTRLGDYRSPRDCILFGPEWELIYPITRLPFIDDRDKYYGNGIHEYRKELKSMGVVVEFKAGVKFVAAGLCFPQNPRDIAPGNVLSLLECIRALLQEKDYSFPDAFLKNISRGWLKTHAGFRSPGNCCLFNSRWSSHVRPTDGPFIDEDFYGSDIKLYSKELSAIGVDEEKVCSLLARHLDSHSEFDTIVRVYDCLRENKWKPDSDATRKIWIPDGLENGMWVDPEECALHDKNGLFGLQLNVLENHYKPKLLHFFSSSFNVKSNPSFDDYCKLWKVWESLGRPLTHAECCAFWECVMMQRSSRTERTLADDLVKLPVVLGSGEILLSSKSDVFIADDLLLKDLFEKFSWLHPIFVWCPQPNLPSLPRTRLLEVYRKIGVRTISESVLKEEVSLADGVELSQMDSRDGGIGKELIRLILGFLADPSLDMEATKRHGAVQCLLNLKVLETMEPITVSYSLLLSDGEPLKVKASRMIRWDKECSKFFTQKMDKAGGQKNLIEYATSFSEVIARGVLWDKEDQIKALSELIKLAFLLNFDEQAVQFLMKSNNLQTFLEDEEFLNAAFPSV >Potri.001G132850.1.v4.1 pep chromosome:Pop_tri_v4:1:10801708:10802065:-1 gene:Potri.001G132850.v4.1 transcript:Potri.001G132850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132850.v4.1 MLGSELNPPGLVIFDNHAIQCCAGVTCTYRLASSQKQHHGGTNLIRGHRSPSATRATTTTTTSLSRSKLTIVSAWRRELKQALTFFGS >Potri.002G022400.1.v4.1 pep chromosome:Pop_tri_v4:2:1402368:1403278:1 gene:Potri.002G022400.v4.1 transcript:Potri.002G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022400.v4.1 MAISKLLIASLVVSLLVLQEVNASRAASTIPGKNMDCGGASGTCSKGCKCDHKGTSGNLKDAVKGANVTMKDTCPCCGATMTPPGGRRMRP >Potri.004G194250.2.v4.1 pep chromosome:Pop_tri_v4:4:20764082:20764949:1 gene:Potri.004G194250.v4.1 transcript:Potri.004G194250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194250.v4.1 MSTMLWCTHCAIKCQTLREGDFLSCSMCGKVLSMLTWHKKLNIRNPRSKRTKRRRNNVQVADDESSVNVDDGLLDTAKRPSVKVNKDSVGVN >Potri.009G061900.1.v4.1 pep chromosome:Pop_tri_v4:9:6377081:6380098:-1 gene:Potri.009G061900.v4.1 transcript:Potri.009G061900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G061900.v4.1 MEPAKIDWKRIDSVFVEDRLYENLNAPKWFDFFAPEDSTDDEAWFCRPDCNHPKTADDFFKTTPTSKLSSSGDRARSRTPLDDKNLRDAKLKRRGQSQSSFTSCDYKAKFNEDSENRNPNLSTPSNYQKSMKQMIKSSSEKNRPIDDVPQTREEPRLKSTLSARNLFAGKDILGHITEFCNELKKLATRAREKESLNEKGSQVGEKKDGVVVNEGSREVLGELNVKEKERKPLLNNDREKPEGNEKGSAKQGRKKRVDDTENIPVPLNLANVKNKGEERLLQIRTNPPSPQCFSANRAPAKTTPSKASRSRLTERGILKELKKDKETTEDRSPFISDGKESRALDVFWFLKPCTTLSS >Potri.006G182500.1.v4.1 pep chromosome:Pop_tri_v4:6:18903239:18903852:-1 gene:Potri.006G182500.v4.1 transcript:Potri.006G182500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182500.v4.1 MPSRCEICCEILIAILLPPLGVCFRHGCCSVEFCICLLLTILGYVPGIIYALYAIVFIDRNEYFDEYRRPLYAPA >Potri.006G172801.1.v4.1 pep chromosome:Pop_tri_v4:6:17770826:17776616:1 gene:Potri.006G172801.v4.1 transcript:Potri.006G172801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G172801.v4.1 MRMAKQKSFFCFLCFLLVILWSEQVTMGKVIIRAGVVLDMNSAVGKMAESCISAAETDFYARNADYRTRISLFTRNSKGDVVTAASAALDLMKNEEVEAIIWPQRSSEAKFVTELGAKTQVPILSFSATSPALTPVQSKYFIRTAQSNYFIRTAQSDSPQVKVIASIVETCGWREIVLIYEGTEYGIALVPYLLNAFHESGTRVPYESCIPSSFDDTEIMSELQKIKKMQESVFLVHMTASMGSRLFLLAESAGMMSEGYAWFVTTGLSTLLDPVDAKVMDSMEGVLGVKPYVPKSIELEGFKSRWKNNFNSENLFGLWAYDTVWAIAMAVERAGVVHSSFLKQNASNRSVDLAALGISEMGPRLLKSILNTTFDGLSGKFQLVKGEMAPFAFEIFNVVGRSERVIGYWTEKGGLSQSLDSSSKISHSNSKTKLKQPIWPGGTIQQPKKLRIGVPVRSDFSEFIKVEWDQQSNEPIVSGFSAEVFLAVHDILPFPLPYEFIPFMNESSRKSAGTYDDILRQIEHQKFDAVVGDTTIVAYRSSYVDFTLPYSESGITMVVLMKRDERDNMWIFLKPLSPKLWLVTGVAFFVTGLVVWVLEHRTNTEFRGTPEQQLGTVIWFSFSTLVFAHRERPENNLTKFVLIIWIFVVLIISQSYTASLASMLTVQRMHPAFVYVTEIKRNNYFVGHPKDSFVKDFLKKEIHFNDTMLREYNTPEEFHDALSRGSDNGGVAAIFDEIPYVRRFLNDKYRCSKFQMVGPTYQTDGFGFAFPLNSPLVSHISRAILNVTEDHDKTEAIKSKSFGREITCEDRGAETSSGGLRLSSFAGLFLISGVASISSLLIYIIRFLFSNYPASNTMHEEQSMWLRILEVAKRFDQKDPSVHHLRRAESRVHPVTGPESIGASPETGNVHEMTSNEGAEDVGENQNHDNLTSGNSGTNFIASNADTVAPNTPEINRASPDTAYVHEMTSDEGAEVVVGDQNRGNRTSVNSGTNTNTMLPTKISCT >Potri.001G329100.2.v4.1 pep chromosome:Pop_tri_v4:1:33816540:33820314:1 gene:Potri.001G329100.v4.1 transcript:Potri.001G329100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329100.v4.1 MCILIFIGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYATIHSPDHASLIFMVAVGPAMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYGVCLLLAAYLMGVMLLEDLVDLSHTVVIIFTVVLFVLLLIPIVIPVSLSFFLDPRDPVEEPLLPETPKQEPGKSGQETPEVIFSEVEDEKPKEVDLLPASERQKRIAQLQAKLFQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPVAMAIAQLVMAVGHVFFAYGWPGALHIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGLIASSIYDREAEKQAHGNNYLVQNSGSIFSGMLGPNEPLKCEGSICYFLTSLIMSAFCVVAFVLSTILVYRTKIVYANLYGKSRS >Potri.001G329100.3.v4.1 pep chromosome:Pop_tri_v4:1:33816789:33820256:1 gene:Potri.001G329100.v4.1 transcript:Potri.001G329100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329100.v4.1 MCILIFIGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYATIHSPDHASLIFMVAVGPAMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYGVCLLLAAYLMGVMLLEDLVDLSHTVVIIFTVVLFVLLLIPIVIPVSLSFFLDPRDPVEEPLLPETPKQEPGKSGQETPEVIFSEVEDEKPKEVDLLPASERQKRIAQLQAKLFQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVR >Potri.001G329100.1.v4.1 pep chromosome:Pop_tri_v4:1:33816544:33820634:1 gene:Potri.001G329100.v4.1 transcript:Potri.001G329100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329100.v4.1 MGKLHERFAGFINNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQRQLASLGVAKDLGDSVGFLAGSLSEILPLWGALLVGAVQNLVGYGWVWLVVTGRAPVLPLWAMCILIFIGNNGETYFNTAALVSCVQNFPKSRGPVVGILKGFAGLSGAILTQIYATIHSPDHASLIFMVAVGPAMVVIALMFIVRPVGGHRQVRPSDGTSFTFVYGVCLLLAAYLMGVMLLEDLVDLSHTVVIIFTVVLFVLLLIPIVIPVSLSFFLDPRDPVEEPLLPETPKQEPGKSGQETPEVIFSEVEDEKPKEVDLLPASERQKRIAQLQAKLFQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMISIWNFLGRVGGGYFSEIIVRDYAYPRPVAMAIAQLVMAVGHVFFAYGWPGALHIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGLIASSIYDREAEKQAHGNNYLVQNSGSIFSGMLGPNEPLKCEGSICYFLTSLIMSAFCVVAFVLSTILVYRTKIVYANLYGKSRS >Potri.012G058900.2.v4.1 pep chromosome:Pop_tri_v4:12:5809980:5812414:-1 gene:Potri.012G058900.v4.1 transcript:Potri.012G058900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058900.v4.1 MGLTSTEPDTESSLLLPTTAATTTTTTTTTNSTISQQKIPKDTFHLAYIIYFTLGLGFLLPWNAFITAVDYFSYIYPDVSVDRIFSVAYMVMGLACLVVIILFYAHKSDAYLRINLGLGLFIVALLVVPVMDAVYIKGRVGLYDGFYVTVGALALSGMADALVQGGLIGAAGELPERYMQAVVAGTAASGVLVSLLRILTKAVYTQDSHGLRKSANLYFAVGIVVMAICLVFYNMAHRLPIMKYYADLKIQAVNEDKEEKGSLTGARWRSTLWEIVCSVQWYGIGIVIIYVVTLSIFPGYITEDVHSEILKDWYSIILITGYNVFDLVGKSLTAVYLLKNAKIAIGGCFVRLLFYPLFFGCLHGPKFFRTEIPVTLLTCLLGLTNGYLTSVLMIHAPKVVPLRQAETAGIVIVLYLVAGLAAGSIVAWFWVI >Potri.002G225100.1.v4.1 pep chromosome:Pop_tri_v4:2:21328743:21333254:-1 gene:Potri.002G225100.v4.1 transcript:Potri.002G225100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G225100.v4.1 MSMGCDTTWVGKKPIRRIGGMADALSIAADLGFSVAPPPSQEELQNLSTASGEKGDDLIRVLRELTAVQRKIADLQVELQGRKDDKNVAHLTHVSEMEKKIDTLSRITTILKDVIQNKDRIIARLQQPYSLDCIPVEAEYQKQFSELLMKAASDYGALTASAADFQWSQNFKESPSVWGEMLRPIPVALASCTRFFEAMSAMRESFATLQDLRVGHLGKDSSQRAFGDSDFATPPWRNESSFDDLAIKTARRQEIERQEADDGSTEVGDVHQVDDMSHRRLSWPPSVKHNGI >Potri.019G066500.5.v4.1 pep chromosome:Pop_tri_v4:19:10598504:10610235:-1 gene:Potri.019G066500.v4.1 transcript:Potri.019G066500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066500.v4.1 MESPELPECPVCLSTYDGEYTIPRVLACGHTTCESCLKNIPQKYPLTIRCPACTQLVKYPSQQGPSSLPKNIDLLRLVQQLQDHNPQKPNNKSQIDKPVLAQDFDFFVPPSWSDEFYTSWKNWVLDRDDVFVEDKERGYGLLKEGNKKVKVRLFKVGNDGGLLSGKVKGCVFKLSYVAKVMNLLNGMKEEKRDELGFILRICAKQGRICKGCGLWCDLEDGVLYFVCERLNGNVLDMLGDFENGLSKDGLSSFAMIGMEMYEAVIGLHLEGLIVGSLGVSCFELDGFGHVSLSLSEVLVMGRAVHDGVMELGSGGRSLSVKKLGRLVGEILKKEVFVSPEVLFGILKREGMEVECGSNRYPIGLGSDVWTLACTVLRMLIGKEFFEELGDHVDSIISKRSEDNNLDCSGLYTGLMEKVSSLLESKTGEELKPLHQMLCRSLSFDPGNRPHAIDMWKCIRDLFIRHQHDTSVPRLGEAIHEENKEHVRVLGELCWVPLKKSTLKKSELAEKNSGENQDQSEDVRNDKDIAEALVEGKVKFKEMQGHLDCVTGFAIGGGFLFSSSFDKTVQVWSLQDFSHMHTFKGHEHKVMAVIYVDEELPLCISGDGGGGIFLWSISVPMGKEPLKTWYEQKDWRYSGIHALTTAGNGYLYTGSGDRSVKAWSLQDGTLSCIMDGHKSVVSTLAACDGILYSGSWDGTIRLWSLTDHSPLTVLGNDLPGTATSVLSVTANQNILVAAHENGQIKAWRDDVFKKSTQCHSGAILACVMEGKWLFTGGWDKIVNVQELSGDEFQVDTRPIGSIPGGSVVTALLCWQGKLFVGHGDRTIKVYYHGK >Potri.019G066500.1.v4.1 pep chromosome:Pop_tri_v4:19:10603721:10610213:-1 gene:Potri.019G066500.v4.1 transcript:Potri.019G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066500.v4.1 MESPELPECPVCLSTYDGEYTIPRVLACGHTTCESCLKNIPQKYPLTIRCPACTQLVKYPSQQGPSSLPKNIDLLRLVQQLQDHNPQKPNNKSQIDKPVLAQDFDFFVPPSWSDEFYTSWKNWVLDRDDVFVEDKERGYGLLKEGNKKVKVRLFKVGNDGGLLSGKVKGCVFKLSYVAKVMNLLNGMKEEKRDELGFILRICAKQGRICKGCGLWCDLEDGVLYFVCERLNGNVLDMLGDFENGLSKDGLSSFAMIGMEMYEAVIGLHLEGLIVGSLGVSCFELDGFGHVSLSLSEVLVMGRAVHDGVMELGSGGRSLSVKKLGRLVGEILKKEVFVSPEVLFGILKREGMEVECGSNRYPIGLGSDVWTLACTVLRMLIGKEFFEELGDHVDSIISKRSEDNNLDCSGLYTGLMEKVSSLLESKTGEELKPLHQMLCRSLSFDPGNRPHAIDMWKCIRDLFIRHQHDTSVPRLGEAIHEENKEHVRVLGELCWVPLKKSTLKKSELAEKNSGENQDQSEDVRNDKDIAEALVEGKVKFKEMQGHLDCVTGFAIGGGFLFSSSFDKTVQVWSLQDFSHMHTFKGHEHKVMAVIYVDEELPLCISGDGGGGIFLWSISVPMGKEPLKTWYEQKDWRYSGIHALTTAGNGYLYTGSGDRSVKAWSLQDGTLSCIMDGHKSVVSTLAACDGILYSGSWDGTIRLWSLTDHSPLTVLGNDLPGTATSVLSVTANQNILVAAHENGQIKAWRDDVFKKSTQCHSGAILACVMEGKWLFTGGWDKIVNVQELSGDEFQVDTRPIGSIPGGSVVTALLCWQGKLFVGHGDRTIKVYYHGK >Potri.019G066500.4.v4.1 pep chromosome:Pop_tri_v4:19:10599156:10610193:-1 gene:Potri.019G066500.v4.1 transcript:Potri.019G066500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066500.v4.1 MESPELPECPVCLSTYDGEYTIPRVLACGHTTCESCLKNIPQKYPLTIRCPACTQLVKYPSQQGPSSLPKNIDLLRLVQQLQDHNPQKPNNKSQIDKPVLAQDFDFFVPPSWSDEFYTSWKNWVLDRDDVFVEDKERGYGLLKEGNKKVKVRLFKVGNDGGLLSGKVKGCVFKLSYVAKVMNLLNGMKEEKRDELGFILRICAKQGRICKGCGLWCDLEDGVLYFVCERLNGNVLDMLGDFENGLSKDGLSSFAMIGMEMYEAVIGLHLEGLIVGSLGVSCFELDGFGHVSLSLSEVLVMGRAVHDGVMELGSGGRSLSVKKLGRLVGEILKKEVFVSPEVLFGILKREGMEVECGSNRYPIGLGSDVWTLACTVLRMLIGKEFFEELGDHVDSIISKRSEDNNLDCSGLYTGLMEKVSSLLESKTGEELKPLHQMLCRSLSFDPGNRPHAIDMWKCIRDLFIRHQHDTSVPRLGEAIHEENKEHVRVLGELCWVPLKKSTLKKSELAEKNSGENQDQSEDVRNDKDIAEALVEGKVKFKEMQGHLDCVTGFAIGGGFLFSSSFDKTVQVWSLQDFSHMHTFKGHEHKVMAVIYVDEELPLCISGDGGGGIFLWSISVPMGKEPLKTWYEQKDWRYSGIHALTTAGNGYLYTGSGDRSVKAWSLQDGTLSCIMDGHKSVVSTLAACDGILYSGSWDGTIRLWSLTDHSPLTVLGNDLPGTATSVLSVTANQNILVAAHENGQIKAWRDDVFKKSTQCHSGAILACVMEGKWLFTGGWDKIVNVQELSGDEFQVDTRPIGSIPGGSVVTALLCWQGKLFVGHGDRTIKVYYHGK >Potri.019G066500.6.v4.1 pep chromosome:Pop_tri_v4:19:10598625:10610197:-1 gene:Potri.019G066500.v4.1 transcript:Potri.019G066500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066500.v4.1 MESPELPECPVCLSTYDGEYTIPRVLACGHTTCESCLKNIPQKYPLTIRCPACTQLVKYPSQQGPSSLPKNIDLLRLVQQLQDHNPQKPNNKSQIDKPVLAQDFDFFVPPSWSDEFYTSWKNWVLDRDDVFVEDKERGYGLLKEGNKKVKVRLFKVGNDGGLLSGKVKGCVFKLSYVAKVMNLLNGMKEEKRDELGFILRICAKQGRICKGCGLWCDLEDGVLYFVCERLNGNVLDMLGDFENGLSKDGLSSFAMIGMEMYEAVIGLHLEGLIVGSLGVSCFELDGFGHVSLSLSEVLVMGRAVHDGVMELGSGGRSLSVKKLGRLVGEILKKEVFVSPEVLFGILKREGMEVECGSNRYPIGLGSDVWTLACTVLRMLIGKEFFEELGDHVDSIISKRSEDNNLDCSGLYTGLMEKVSSLLESKTGEELKPLHQMLCRSLSFDPGNRPHAIDMWKCIRDLFIRHQHDTSVPRLGEAIHEENKEHVRVLGELCWVPLKKSTLKKSELAEKNSGENQDQSEDVRNDKDIAEALVEGKVKFKEMQGHLDCVTGFAIGGGFLFSSSFDKTVQVWSLQDFSHMHTFKGHEHKVMAVIYVDEELPLCISGDGGGGIFLWSISVPMGKEPLKTWYEQKDWRYSGIHALTTAGNGYLYTGSGDRSVKAWSLQDGTLSCIMDGHKSVVSTLAACDGILYSGSWDGTIRLWSLTDHSPLTVLGNDLPGTATSVLSVTANQNILVAAHENGQIKAWRDDVFKKSTQCHSGAILACVMEGKWLFTGGWDKIVNVQELSGDEFQVDTRPIGSIPGGSVVTALLCWQGKLFVGHGDRTIKVYYHGK >Potri.004G099000.1.v4.1 pep chromosome:Pop_tri_v4:4:8547957:8552008:-1 gene:Potri.004G099000.v4.1 transcript:Potri.004G099000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099000.v4.1 MAESMCRTLRDGSLEGEQAPALTIKDTAASPFGFHVFSHVLSQLSSFILASKSQSRGIVIVAFSRSPSFYVDLLKSRGIDVNSSHKWVQILDCYTDPLCWKDQLMGSGNFMDASHETSSSLSRVCKDVKDLDKLYSMILELGKGLVGQGKDRFSVAIDSINEMLRHTSTPTVAGLLSNLRSHEQISSIFWLLHSDLHEIKVTSALEYLSSVVASVEPLHQTAGGKRGDLENLSLLEQNFGKGKLQVRFKRRNGRVRVVNEGFHIDQSSNNFTSVSSEDGLVNQINQGLVPKVQFNLELTEKERVDRAKVVLPFEHQGDGGPIHIYDGRRSLTESKTEATLSSSGNSQKTDSGEGEIIYFRDSDDEMPDSDEDPDDDLDI >Potri.007G026900.2.v4.1 pep chromosome:Pop_tri_v4:7:2081761:2084141:-1 gene:Potri.007G026900.v4.1 transcript:Potri.007G026900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026900.v4.1 MGDYNDAFMRNPNAAVRGSAKGQNRANVLQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCPPYLGMAQFVSHFAEPGDPEYAPPIKEAETPAERKARIHAARLEKGAEKAAEELQKYDPTNDPNVSGDPYKTLFVARLSYETTESRIKREFESYGPIKRVGIFEYTQAGLVRGYATTFSLTCHAKNLYILSKNICFKSLWIMFLISIILNEQKKMSVNEKSSPMCSFV >Potri.007G026900.1.v4.1 pep chromosome:Pop_tri_v4:7:2079096:2084142:-1 gene:Potri.007G026900.v4.1 transcript:Potri.007G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026900.v4.1 MGDYNDAFMRNPNAAVRGSAKGQNRANVLQLKLIGQSHPTGLTANLLKLFEPRPPLEFKPPPEKRKCPPYLGMAQFVSHFAEPGDPEYAPPIKEAETPAERKARIHAARLEKGAEKAAEELQKYDPTNDPNVSGDPYKTLFVARLSYETTESRIKREFESYGPIKRVRMVADKVTNKPRGYAFIEYMHTRDMKGAYKQADGRKLDGRRVLVDVERGRTVPNWRPRRLGGGLGTTRVGGEEVNQKHSGREVQQSGGSSRSEEPRTREDRQTERDREVSRERGRDKERDREKSRERSHDKPRDRDHREDRHHRDRERERDRDKERERGRDRDRDRTRDRGRDRGRDYERDRERDRGRDRDRTRDRERDYEVSDPDRGRSHDRDESKHERDRLGERDYDHAEPEDDRGWYEQPEQGQRLPDAENDPRYDHYEHHRSRGPYDPMDVQGDQDRYDQYPDRDHDRYDQMEDDDYHYDRGTSESREKEREYRRSERSVSRDYDN >Potri.016G134600.1.v4.1 pep chromosome:Pop_tri_v4:16:13875640:13880779:-1 gene:Potri.016G134600.v4.1 transcript:Potri.016G134600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G134600.v4.1 MYKTRVRACVDGAKAQLGYVETDPSGRYGRFREVLGKGAMKTVYKAFDEVLGMEVAWNQVKLHDVFRSPEELQRLYSEVHLLKNLNHDSIIKFYTSWIDIDRRAFNFITEMFTSGTLRAYRKKYQRVDIRAIKNWSRQILRGLAFLHGHDPPVIHRDLKCDNIFINGHLGHVKIGDLGLAAVLQGSQHAHSVIGTPEFMAPELYEEDYDELVDIYSFGMCVLEMLTFEYPYSECSNPAQIYKKVTSGKLPEAFYRIEDVEAQEFIGKCLATASKRLPARELLLDPFLASDEAELGTIPKVPSPWSSPKVTEEKIMPSLLADPTKATEMTVTGTMNPQDDTIFLKVKISEKDGQTRNIYFPFDIMNDTAIDVAMEMVKELEITDWEPFEIADMIEEQISSLIPGWKQCSSSQIHHPQHCFSYEDDDDDDHNDGPRHPFFASSSHSSSQASLLAFNCSFQTKPCQGRNMTSAHDWFEGELFIDDDASSQSSLNSFKYSTLNYSSGNEDDYDASLREVPLGFGKIHKSTRFCPADSLSAKQYKHRNARLDSGGCCSSNPQRKLSRIRSQVDVRSQLLHRSLLEEINKRRLFKTVGAVENIGYHEPGLQDDDEKKRFKW >Potri.015G042300.1.v4.1 pep chromosome:Pop_tri_v4:15:3933418:3936389:1 gene:Potri.015G042300.v4.1 transcript:Potri.015G042300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042300.v4.1 MIYDSVLGRSFSKQEQKKLGYWAFVACLFIALSFFTNFKPFLGPLSVLNLRLSMGEDEKLHLFSDTDSSLLIANETVGSSSSTANDTSSSHEEAEIMSTLIVNDTDSSHGESEIRDAEIIMNSTSIDNATSRSQEVFKESHTQNMKTNDTSNSPPLVKENDIYMVNNTNSSLPKATDADVVTKNNTIEPLCTLMGRSDFCEIKGDIRIDGKSSTVFIVSSETDILTAENTSWSIRPYARKGDQAAMGAVREWTVKLVTGASDIPQCTQNHSVPAILFSAGGYAGNHFHAFTDVILPLFLTSRPYNGEVQFLITNGRPAWISKFETIMKALSRYQLISIDKSQDIHCYDSMTVGLKRRTNKELSIDPDPSSSPYSMKDFRKFLRSSYSLKKTRATKIRNGSKKRPRLLIISRKRSRAFTNVGEIVTMAKRLGYRVVVAEPDADVSGFAQIINSCDVVMGVHGAGLTNIVFLPENAVLVQVIPFGGTEWLSRTYFEEPAKGMNIRYLDYKIRLEESTLIQQYPADHVVLRDPSAIWKQGWSAVESIYLRQQNVTLNVNRFRPTLVKALDLLHQ >Potri.019G081400.7.v4.1 pep chromosome:Pop_tri_v4:19:12163311:12164070:1 gene:Potri.019G081400.v4.1 transcript:Potri.019G081400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081400.v4.1 MSSSDPETQEEPKPTFDDPESKEAIESFTSTKTLEETDQTNAPMSENQKEEEEEEEEEEEGECGFCLFMKGGGCKDAFIAWEDCIKQAEEKNEDIVEKCFEVTSALKLCMEAHADYYEPILKAEKAAEQEAVKQLEKEKEEEEAAAAAEKSESHEKEK >Potri.008G008000.4.v4.1 pep chromosome:Pop_tri_v4:8:371679:375042:1 gene:Potri.008G008000.v4.1 transcript:Potri.008G008000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008000.v4.1 MGEEVMVAVPESVLKKRKREEEWALAKKQELAATKKKNAENRKIIFKRAKQYSKEYEEQGKQLVQLKREARLKGGFYVDPEAKLLFIIRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLRRVEPYVTYGYPNLKSVRELIYKRGFGKLNQQRIPLTDNSIIEQGLGKRGIICVEDLIHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Potri.008G008000.1.v4.1 pep chromosome:Pop_tri_v4:8:371740:375119:1 gene:Potri.008G008000.v4.1 transcript:Potri.008G008000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008000.v4.1 MGEEVMVAVPESVLKKRKREEEWALAKKQELAATKKKNAENRKIIFKRAKQYSKEYEEQGKQLVQLKREARLKGGFYVDPEAKLLFIIRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLRRVEPYVTYGYPNLKSVRELIYKRGFGKLNQQRIPLTDNSIIEQGLGKRGIICVEDLIHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Potri.008G008000.3.v4.1 pep chromosome:Pop_tri_v4:8:371740:375041:1 gene:Potri.008G008000.v4.1 transcript:Potri.008G008000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008000.v4.1 MGEEVMVAVPESVLKKRKREEEWALAKKQELAATKKKNAENRKIIFKRAKQYSKEYEEQGKQLVQLKREARLKGGFYVDPEAKLLFIIRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLRRVEPYVTYGYPNLKSVRELIYKRGFGKLNQQRIPLTDNSIIEQGLGKRGIICVEDLIHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Potri.008G207700.2.v4.1 pep chromosome:Pop_tri_v4:8:15580542:15584763:-1 gene:Potri.008G207700.v4.1 transcript:Potri.008G207700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G207700.v4.1 MLGENTVNPEGEFKISFGYECNAHNGCSCMVPSGFESLPGKIPKNSSFSRLSGAALSANATLANTNICNGVIGAEILPSWDSPNSFRRIPSSPTLSRLDVLSSSLQSSMSNLSCSPPTPSPPEYDSCSFRSMSAPSRNEGFLNAMEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYENIIHQTRLLDCDLKQDVTGASNVFYPQGPFQHAFKGANNSHVEKPPTGTFDKNNSSIKKSINNALVHDSSDSFRQGMLDSLQRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVGLLHGMDLYTLNLGDSRAVLATYDEGSNMKGFGRIKPIQLTDSHTVDNELERSRVLSDHPDDPAVIAGGKVKGKLKVTRALGVGYLKKKNLNDALMGILRVHNLISPPYISTEPSLNVHRISKSDHFVIVGSDGLFDFFSNDEAVKLVHSYIMSNPNGDPAKFLLEQLVGRAADCAGFSMEELMNIPAGRRRKYHDDVTVIVIILGINQRTSKASTWV >Potri.008G207700.4.v4.1 pep chromosome:Pop_tri_v4:8:15581662:15584919:-1 gene:Potri.008G207700.v4.1 transcript:Potri.008G207700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G207700.v4.1 MLGENTVNPEGEFKISFGYECNAHNGCSCMVPSGFESLPGKIPKNSSFSRLSGAALSANATLANTNICNGVIGAEILPSWDSPNSFRRIPSSPTLSRLDVLSSSLQSSMSNLSCSPPTPSPPEYDSCSFRSMSAPSRNEGFLNAMEVQVAGGAAGEDRVQAVCSEENGWLFCAIYDGFNGRDAADFLAGTLYENIIHQTRLLDCDLKQDVTGASNVFYPQGPFQHAFKGANNSHVEKPPTGTFDKNNSSIKKSINNALVHDSSDSFRQGMLDSLQRALSQAENDFLYMVEQEMEDRPDLVSVGSCVLVGLLHGMDLYTLNLGDSRAVLATYDEGSNMKGFGRIKPIQLTDSHTVDNELERSRVLSDHPDDPAVIAGGKVKGKLKVTRALGVGYLKKKNLNDALMGILRVHNLISPPYISTEPSLNVHRISKSDHFVIVGSDGLFDFFSNDEAVKLVHSYIMSNPNGDPAKFLLEQLVGRAADCAGFSMEELMNIPAGRRRKYHDDVTVIVIILGINQRTSKASTWV >Potri.001G113800.1.v4.1 pep chromosome:Pop_tri_v4:1:9222275:9225035:1 gene:Potri.001G113800.v4.1 transcript:Potri.001G113800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113800.v4.1 MAFLRSVTNTTKVAIPASALPDASALSSISTSSPYPKPRNLSFLSPSSSLLSSTASGLVKNLTQPPSALNMETPASNHMSISQDNVTLPELFTEFMVDMKCDGCVNSVKNKLQSVNGVKNVEVDLANQVVRILGSSPVNTMTEALEQTGRNARLIGQGIPEDFLVSAAVAEFKGPEIFGVVRFAQVNMDLARIEANFTGLSLGKHGWSINEFGDLTEGAASTGKVFNPTNQGTELEPLGDLGTLYVDEKGDAFFSGTIQKLRIADLIGRSLVVYGTEDKSDKGLTAAVIARSAGVGENYKKICTCDGTTIWESSNNDFVAGKV >Potri.002G082700.1.v4.1 pep chromosome:Pop_tri_v4:2:5889184:5890797:-1 gene:Potri.002G082700.v4.1 transcript:Potri.002G082700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082700.v4.1 MSSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLSCGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Potri.002G082700.3.v4.1 pep chromosome:Pop_tri_v4:2:5888930:5890564:-1 gene:Potri.002G082700.v4.1 transcript:Potri.002G082700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082700.v4.1 MGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLSCGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Potri.006G078300.1.v4.1 pep chromosome:Pop_tri_v4:6:5827971:5832154:-1 gene:Potri.006G078300.v4.1 transcript:Potri.006G078300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078300.v4.1 MSQFGLKMEKKRPRISLSLFSTLTETFSVANKSPRSLENGGAVGLGIVAAMDESDKVSDSALSPRSSLLPIVSLKKPASYFKEGGIGVSNLDKDSSGGGVFVVDENDESYTCVISHVGNNVIKQSVCYGDEVCIDPGNEFDVGSGLVYAASPPVRMPMNAAVAAARREFWSKDFLSSCYLCKKLLEGLDIFMYRGEKAFCSPECRDNHIRNEDFKEKCGSEARKKQECCSVSPSSSPLLFFAGVAAA >Potri.008G091000.2.v4.1 pep chromosome:Pop_tri_v4:8:5683470:5685757:-1 gene:Potri.008G091000.v4.1 transcript:Potri.008G091000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091000.v4.1 MSEVFEGYERQYCELSANLSRKCNSTSLLPDGVEKNAKVNEIKSGLDDCDVLIRKMDLEARSLQPSVKAMFLAKLREYKSDLNKLKREFKRITSGDVSQASREELLEAGMADAHSVSTDQRERLTMSVERLNQSSDRIKESRRAMLETEELGVSVLEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLSSMSRRMTRNKWIVGSVIAALVVAIIFIILFKTSYH >Potri.008G091000.7.v4.1 pep chromosome:Pop_tri_v4:8:5683471:5685713:-1 gene:Potri.008G091000.v4.1 transcript:Potri.008G091000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091000.v4.1 MSEVFEGYERQYCELSANLSRKCNSTSLLPDGVEKNAKVNEIKSGLDDCDVLIRKMDLEARSLQPSVKAMFLAKLREYKSDLNKLKREFKRITSGDVSQASREELLEAGMADAHSVSTDQRERLTMSVERLNQSSDRIKESRRAMLETEELGVSVLEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLSSMSRRMTRNKWIVGSVIAALVVAIIFIILFKTSYH >Potri.008G091000.1.v4.1 pep chromosome:Pop_tri_v4:8:5683540:5685757:-1 gene:Potri.008G091000.v4.1 transcript:Potri.008G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091000.v4.1 MSEVFEGYERQYCELSANLSRKCNSTSLLPDGVEKNAKVNEIKSGLDDCDVLIRKMDLEARSLQPSVKAMFLAKLREYKSDLNKLKREFKRITSGDVSQASREELLEAGMADAHSVSTDQRERLTMSVERLNQSSDRIKESRRAMLETEELGVSVLEDLHQQRQTLLHAHNKLHGVDDAIDKSKKVLSSMSRRMTRNKWIVGSVIAALVVAIIFIILFKTSYH >Potri.016G140300.3.v4.1 pep chromosome:Pop_tri_v4:16:14350526:14359852:1 gene:Potri.016G140300.v4.1 transcript:Potri.016G140300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140300.v4.1 MKMLQLRTWGCVFLLSYCYLLLLTVAQVTNPSEVNALLAVKNNLIDPMKQLSNWNKGDPCTSNWTGVFCYDATGTDGYLHVRELQLLNLNLSGNLAPELGQLSQLAILDFMWNELTGSIPREIGNLSSLKLLLLNGNKLSGSLPDELGYLSKLIRLQVDQNNISGRIPKSFANMSSIRHFHLNNNSISGQIPPELSKLSTLVHLLLDNNNLSGYLPPELSKFPEMRIIQLDNNNFNGSGIPATYGSLSRLVKLSLRNCSLQGSIPDLSSIPNLYYLDLSKNNLRGSLPPKLSDTMRTIDLSENHLSGSIPGSFSDLSFLQRLSLENNQLNGSVPANIWQNMTSTKSACFTIDLRNNSLSSISGALNPPDNVTLRLRGNPICENANIANIIQFCGFEAGGDRTTERSMNSTMTCPVQACPVDNFFEYVPASPLPCFCASPLRIGYRLKSPSFSYFDPYAFPFELHVTSALKLNPYQLSIDSYFWEEGPRLRMHLKIFPPANNVHSNTFNVSEVGRIRGAFTSWHFPGDDLFGPYELLNFTLVGPYAAIHFDTKGKNISIGIWVAVILGAIACTVAVSAVVTLLIARRYARKHRNLSRRHSSSKASIKIDGVKGFTFKEMALATDNFNCSTQVGRGGYGKVYRGVLSGNSIVAIKRTEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCEEKEEQMLVYEFMPNGTLRDWLSDKAKGTLNFGTRLSIALGSAKGILYLHTEAQPPVFHRDIKATNILLDSKLTAKVADFGLSRLAPVLDDEGNLPNHVSTVVRGTPGYLDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVNMAHQSGIMFSIIDNRMGAYPSECVERFVALALSCCHDKQEKRPSMQDVVRELETILKMMPEADAIYAESTSTYSGKSTPTYSGKSASSSSFYSSQYLYESSCLLGSDLSSGVVPTINPR >Potri.016G140300.5.v4.1 pep chromosome:Pop_tri_v4:16:14350670:14359845:1 gene:Potri.016G140300.v4.1 transcript:Potri.016G140300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140300.v4.1 MKMLQLRTWGCVFLLSYCYLLLLTVAQVTNPSEVNALLAVKNNLIDPMKQLSNWNKGDPCTSNWTGVFCYDATGTDGYLHVRELQLLNLNLSGNLAPELGQLSQLAILDFMWNELTGSIPREIGNLSSLKLLLLNGNKLSGSLPDELGYLSKLIRLQVDQNNISGRIPKSFANMSSIRHFHLNNNSISGQIPPELSKLSTLVHLLLDNNNLSGYLPPELSKFPEMRIIQLDNNNFNGSGIPATYGSLSRLVKLSLRNCSLQGSIPDLSSIPNLYYLDLSKNNLRGSLPPKLSDTMRTIDLSENHLSGSIPGSFSDLSFLQRLSLENNQLNGSVPANIWQNMTSTKSACFTIDLRNNSLSSISGALNPPDNVTLRLRGNPICENANIANIIQFCGFEAGGDRTTERSMNSTMTCPVQACPVDNFFEYVPASPLPCFCASPLRIGYRLKSPSFSYFDPYAFPFELHVTSALKLNPYQLSIDSYFWEEGPRLRMHLKIFPPANNVHSNTFNVSEVGRIRGAFTSWHFPGDDLFGPYELLNFTLVGPYAAIHFDTKGKNISIGIWVAVILGAIACTVAVSAVVTLLIARRYARKHRNLSRRHSSSKASIKIDGVKGFTFKEMALATDNFNCSTQVGRGGYGKVYRGVLSGNSIVAIKRTEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCEEKEEQMLVYEFMPNGTLRDWLSDKAKGTLNFGTRLSIALGSAKGILYLHTEAQPPVFHRDIKATNILLDSKLTAKVADFGLSRLAPVLDDEGNLPNHVSTVVRGTPGYLDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVNMAHQSGIMFSIIDNRMGAYPSECVERFVALALSCCHDKQEKRPSMQDVVRELETILKMMPEADAIYAESTSTYSGKSTPTYSGKSASSSSFYSSQYLYESSCLLGSDLSSGVVPTINPR >Potri.016G140300.1.v4.1 pep chromosome:Pop_tri_v4:16:14350610:14359818:1 gene:Potri.016G140300.v4.1 transcript:Potri.016G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140300.v4.1 MKMLQLRTWGCVFLLSYCYLLLLTVAQVTNPSEVNALLAVKNNLIDPMKQLSNWNKGDPCTSNWTGVFCYDATGTDGYLHVRELQLLNLNLSGNLAPELGQLSQLAILDFMWNELTGSIPREIGNLSSLKLLLLNGNKLSGSLPDELGYLSKLIRLQVDQNNISGRIPKSFANMSSIRHFHLNNNSISGQIPPELSKLSTLVHLLLDNNNLSGYLPPELSKFPEMRIIQLDNNNFNGSGIPATYGSLSRLVKLSLRNCSLQGSIPDLSSIPNLYYLDLSKNNLRGSLPPKLSDTMRTIDLSENHLSGSIPGSFSDLSFLQRLSLENNQLNGSVPANIWQNMTSTKSACFTIDLRNNSLSSISGALNPPDNVTLRLRGNPICENANIANIIQFCGFEAGGDRTTERSMNSTMTCPVQACPVDNFFEYVPASPLPCFCASPLRIGYRLKSPSFSYFDPYAFPFELHVTSALKLNPYQLSIDSYFWEEGPRLRMHLKIFPPANNVHSNTFNVSEVGRIRGAFTSWHFPGDDLFGPYELLNFTLVGPYAAIHFDTKGKNISIGIWVAVILGAIACTVAVSAVVTLLIARRYARKHRNLSRRHSSSKASIKIDGVKGFTFKEMALATDNFNCSTQVGRGGYGKVYRGVLSGNSIVAIKRTEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCEEKEEQMLVYEFMPNGTLRDWLSDKAKGTLNFGTRLSIALGSAKGILYLHTEAQPPVFHRDIKATNILLDSKLTAKVADFGLSRLAPVLDDEGNLPNHVSTVVRGTPGYLDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVNMAHQSGIMFSIIDNRMGAYPSECVERFVALALSCCHDKQEKRPSMQDVVRELETILKMMPEADAIYAESTSTYSGKSTPTYSGKSASSSSFYSSQYLYESSCLLGSDLSSGVVPTINPR >Potri.016G140300.4.v4.1 pep chromosome:Pop_tri_v4:16:14351047:14359804:1 gene:Potri.016G140300.v4.1 transcript:Potri.016G140300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140300.v4.1 MGFDYLPCLGMKMLQLRTWGCVFLLSYCYLLLLTVAQVTNPSEVNALLAVKNNLIDPMKQLSNWNKGDPCTSNWTGVFCYDATGTDGYLHVRELQLLNLNLSGNLAPELGQLSQLAILDFMWNELTGSIPREIGNLSSLKLLLLNGNKLSGSLPDELGYLSKLIRLQVDQNNISGRIPKSFANMSSIRHFHLNNNSISGQIPPELSKLSTLVHLLLDNNNLSGYLPPELSKFPEMRIIQLDNNNFNGSGIPATYGSLSRLVKLSLRNCSLQGSIPDLSSIPNLYYLDLSKNNLRGSLPPKLSDTMRTIDLSENHLSGSIPGSFSDLSFLQRLSLENNQLNGSVPANIWQNMTSTKSACFTIDLRNNSLSSISGALNPPDNVTLRLRGNPICENANIANIIQFCGFEAGGDRTTERSMNSTMTCPVQACPVDNFFEYVPASPLPCFCASPLRIGYRLKSPSFSYFDPYAFPFELHVTSALKLNPYQLSIDSYFWEEGPRLRMHLKIFPPANNVHSNTFNVSEVGRIRGAFTSWHFPGDDLFGPYELLNFTLVGPYAAIHFDTKGKNISIGIWVAVILGAIACTVAVSAVVTLLIARRYARKHRNLSRRHSSSKASIKIDGVKGFTFKEMALATDNFNCSTQVGRGGYGKVYRGVLSGNSIVAIKRTEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCEEKEEQMLVYEFMPNGTLRDWLSDKAKGTLNFGTRLSIALGSAKGILYLHTEAQPPVFHRDIKATNILLDSKLTAKVADFGLSRLAPVLDDEGNLPNHVSTVVRGTPGYLDPEYFLTHKLTDKSDVYSLGIVFLELLTGMHPISHGKNIVREVNMAHQSGIMFSIIDNRMGAYPSECVERFVALALSCCHDKQEKRPSMQDVVRELETILKMMPEADAIYAESTSTYSGKSTPTYSGKSASSSSFYSSQYLYESSCLLGSDLSSGVVPTINPR >Potri.005G158600.1.v4.1 pep chromosome:Pop_tri_v4:5:15282557:15285156:1 gene:Potri.005G158600.v4.1 transcript:Potri.005G158600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158600.v4.1 MGYFSCNAESAIATCDPSNWDSYRKRRRNKNQTKPNKPIKIREFSYTELVKATNTFSAETFLGKGSHGTVYKAFLDQGKLIAAVKKTTHQTNFCNSYNSNCATVPPADNEIETLSRVQHPRLVNLLGFCVDPTGRKLLVVEYMPNGSLYDLLHSSSRPPGWTRRVRFALQIAKAVQALHASNPPVIHRDIKSSNVLIDEYWKARLGDFGLALRGHVEDVHVKCTPPAGTLGYLDPAYLAPGDLSAKSDVFSFGILLLEIISGRNAIDVNYSPPSVVDWAVPLIKKGDLGAICDNRVASMPDPVVIRNLSVLAARCVRSTAEKRPGMAEVVEGLKLVNRRIRAPPIWNHLRRRVGRVEEGGVVVDRSEEEVSVNVHKTVKVGSRRNSKVSSVSRVEYGSETIGSVGDRVIRSKSIGSFGEIKMGSDYSWRKPGGVAVKMPVVKLSKSRSMGVLHGPRLVHYKKRGFFLEFGTESDSREYDVGPMRNMFIGLDEKLEQKIQEKPLVSKN >Potri.012G100500.2.v4.1 pep chromosome:Pop_tri_v4:12:12358133:12363213:-1 gene:Potri.012G100500.v4.1 transcript:Potri.012G100500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100500.v4.1 MEEKPKEPKMPMTSFASINEDLVQNIVKRLPASSFASAACVSKSWNQICNQILSKPKFASAFSLNPNEKVALEEVVNKVLSEPIRPHFAIANVIGSGVDLREKLDFLATKLGSQTPIIVSCASGIMGRDAVTGEHREVMLEEYWADGESISCFGIILTVGFLPGLKVDVIPLLQPRKVHRPALVDYFVMNIRDYAASVSGWASPAGIILFGDEGADQKPVMEKLDHAMSRDTVIVGDERAQFLYRSGVESRNDYGSSEYFPAAVALVFARDRDKPCGTGEIQFHAALSSGVSAIGPRYKAVSVRKIGSETGCTTLLTARREGEQEIQDGQRILDDINNELVNQIGRPDLYIGVTEQRKCFIGSEKSRVMTFLVFHGVMGGDQEYLFADGVGIRTGDYFQFYHSDPTTALSSCNEVSKNFRKLKLDWSSRNCLQAGVSDNVCSKELVGGFVFSCCGRGESFFERCNVDSSPFLDNFPGVPMAGVFCRGEIGRGFSVLNADEGPEERTLHCCLHVYSTAYLLVSYTPAPAEH >Potri.012G100500.3.v4.1 pep chromosome:Pop_tri_v4:12:12358001:12363214:-1 gene:Potri.012G100500.v4.1 transcript:Potri.012G100500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100500.v4.1 MEEKPKEPKMPMTSFASINEDLVQNIVKRLPASSFASAACVSKSWNQICNQILSKPKFASAFSLNPNEKVALEEVVNKVLSEPIRPHFAIANVIGSGVDLREKLDFLATKLGSQTPIIVSCASGIMGRDAVTGEHREVMLEEYWADGESISCFGIILTVGFLPGLKVDVIPLLQPRKVHRPALVDYFVMNIRDYAASVSGWASPAGIILFGDEGADQKPVMEKLDHAMSRDTVIVGDERAQFLYRSGVESRNDYGSSEYFPAAVALVFARDRDKPCAGTGEIQFHAALSSGVSAIGPRYKAVSVRKIGSETGCTTLLTARREGEQEIQDGQRILDDINNELVNQIGRPDLYIGVTEQRKCFIGSEKSRVMTFLVFHGVMGGDQEYLFADGVGIRTGDYFQFYHSDPTTALSSCNEVSKNFRKLKLDWSSRNCLQAGVSDNVCSKELVGGFVFSCCGRGESFFERCNVDSSPFLDNFPGVPMAGVFCRGEIGRGFSVLNADEGPEERTLHCCLHVYSTAYLLVSYTPAPAEH >Potri.002G052200.1.v4.1 pep chromosome:Pop_tri_v4:2:3520655:3523197:1 gene:Potri.002G052200.v4.1 transcript:Potri.002G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052200.v4.1 MVSLRFPFLFSQPKKHPNGISRTITSRSFPATTTTVACALAAGAAAFAGIAATRNSKNPKQDNPLIQNALNLLFSNHLLAPWASLSLADPSPSVVETKTGAAFPSVIFESRRLLGIGLRKKTILGLKNIDVYAFGVYADADEVRKVLSEKYGKLSVSELKESKEFKEDFMGGDIGMTVRLQIVYSKLSIRSVRSAFEESVGSRLQNFGEPNSKELLQRFTSQFKDEYKIPRGSVIELSREQGHVLRTTIDGKEVGSIQSKLLCRSILDLYIGEDPFDKEAKEDIESKFASLLQVDH >Potri.011G090150.1.v4.1 pep chromosome:Pop_tri_v4:11:11573596:11588601:-1 gene:Potri.011G090150.v4.1 transcript:Potri.011G090150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090150.v4.1 MKGSPRTILSDVIVPADPVGLELVIPNCYEDKEAIPQKTYFGGQEGAGEYIWFRTRDKLNKSELLDISNAGDDDLICGKTLAYTPSIEDVGAYLALYWLPTRADGKCGKPLVTISNSPVNPALPVVSNVHVKELSLGVYAGEGKYFGGHEGLSLFSWYRETNEGTIILINGANSRTYEVTDLDYNCCLLFGYTPVRSDSVVGELKLSEPTNIILPELPQVEMVALTGKAIEGDVLTAVEVIPKSETQRNVWSKYKKEVKYQWFCSTVTGDGSFELLPAQHSCSYKLQLEDIGRRFRCECIVTDVFGRLSELAYAETAAVLPGIPRINKLEIEGRGFHTNLYAVRGIYSGGKEGKSRIQWLRSMIGSPDLISIPGEVGRMYEANVDDVGYRLVAIYTPVRDDGVEGQPVSASTESIAVEPDVFKEVKQKIELGSVKFEALCDKDRSPKKVLGEGSLSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGSYAPPFHVELFRNDQHRLRIVVDSENEVDLMVHSRHLRDVIALVIRGFAQRFNSTSLNSLLKIDNNYGVK >Potri.012G069500.1.v4.1 pep chromosome:Pop_tri_v4:12:9105191:9106196:-1 gene:Potri.012G069500.v4.1 transcript:Potri.012G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069500.v4.1 MSSRRSRSRQSSSSRISDDQILDLVTKLQQLLPEIRNRRSDKVSAAKILQETCNYIKSLHREVGDLSERLSELLETTDTAQAAIIRNLLMQ >Potri.003G058300.1.v4.1 pep chromosome:Pop_tri_v4:3:8520876:8523765:-1 gene:Potri.003G058300.v4.1 transcript:Potri.003G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058300.v4.1 MASSMAIRLSQPPQKLHPLFSKVRSLRHFSFSNKTLILAKPLTTSALPNDHQRFYPNDASQWNSRTLTHPPSPPPPHHQSSPQPAHYNHNQPIQNQQYPPPNQQNYPNQGYAYPDQGGYPQQQHQYPNPNQRDQNYPQYQNPGQFSPDVRGDYGQPGSPNRWDNRNQGYPQQKKTDQWASRVVPESRRQDLNVNFSASANANAPATAPQYPNPNQRYQNYPQYPNPNQRDQNHPQYQNPGQFSPDVRGDYGQPGSPNRLDNRNQGYPQQRNTDQWASRVGSESRRQDLNVNFSANANDPAPATAPPPSVYDLERLCQEGKVKQAIELMDNGVKGDANCFYSLFEMCSKHEDAKKVHDYFLQSTFRGDVKLNNNVIKMYGKCGSMADARRVFDHMPERNMDSWHLMINEYANNDLGDEGLELFEQMKKLGLEPTGETFHAVLSACASAEAVEEGFLYFEEMSREFGISPTLEHYLSIIDVLGKSAYLNEAVEYIEKLPFEPTVEIWEALRKYARSHGDIDLEDHAEELIVSLDSSKAVANKIPTPPPKKYNLISMLEGKNRVAEFRNPTFYKDDEKLKELREMKTGGYVPDTRYVLHDIDQEAKEQALLYHSERLAIAYGLISTPARMPLRIIKNLRVCGDCHNAIKIMSKIVGRELIVRDNKRFHHFKDGKCSCGDYW >Potri.013G063051.1.v4.1 pep chromosome:Pop_tri_v4:13:4687791:4688610:1 gene:Potri.013G063051.v4.1 transcript:Potri.013G063051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G063051.v4.1 FSSSWLWLLHLPLPSDPGPLQDFCVAIKETDGVFVNGKFCKDPEQVTAKDFFFPGLNVPRDTSSAVGSNVTAVNVAQIPGLNTLGISFARIDFAPHGGLNPPHTHPRATEILVVVEGTLYVGFVTSNLANGDNRLITKVLNPGDVFVFPVGLIHFQLNVGKTNAVAFASLSSQNPGVITIAKAVFGADPPINPNVLTKAFQVDKKVVDYLQKQLWTDNNN >Potri.005G227100.2.v4.1 pep chromosome:Pop_tri_v4:5:22761997:22769761:1 gene:Potri.005G227100.v4.1 transcript:Potri.005G227100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227100.v4.1 MDIDRVAGEEVCCLDTEQLQLPEVSSFVLKENPQLPELLFSQWLSLSDTTRLVKTLIDDAKAGNPINIPGISANVSVGGVNSLPSMFPAGSAPPISPRSSSGSPRTSKQKTSPSSLRSPLKLVRESEWEVIPQFYFRNGPPAASALKEQCLSRINHLFNNDLDGLLLDEFKPVTKIVCKLPSFFSDVLFRKIDVDCTGMVTRDAFVKYWVNSNMLTLDTATQVFNILKQPDCRYLSQSDFKPILRELLATHSGLEFLQNTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIAAMQHADEEEDINKVIRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIRYGNHALTYRILDRIFSQVPRKFKSNVEGKMCYEDFVYFMLSEEDKSSQPSLEYWFKCLDLDGNGVLTPNELQYFYEEQLHRMECMAQEPVLFEDILCQIVDMIAPEREGCITLSDLKGCKLSGNVFNILFNLNKFVAFESRDPFLIRQEREEPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESFEAPF >Potri.005G227100.1.v4.1 pep chromosome:Pop_tri_v4:5:22761885:22770116:1 gene:Potri.005G227100.v4.1 transcript:Potri.005G227100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227100.v4.1 MDIDRVAGEEVCCLDTEQLQLPEVSSFVLKENPQLPELLFSQWLSLSDTTRLVKTLIDDAKAGNPINIPGISANVSVGGVNSLPSMFPAGSAPPISPRSSSGSPRTSKQKTSPSSLRSPLKLVRESEWEVIPQFYFRNGPPAASALKEQCLSRINHLFNNDLDGLLLDEFKPVTKIVCKLPSFFSDVLFRKIDVDCTGMVTRDAFVKYWVNSNMLTLDTATQVFNILKQPDCRYLSQSDFKPILRELLATHSGLEFLQNTPEFQERYAETVIYRIFYYINRSGNGHLTLRELKRGNLIAAMQHADEEEDINKVIRYFSYEHFYVIYCKFWELDTDHDFFIDKENLIRYGNHALTYRILDRIFSQVPRKFKSNVEGKMCYEDFVYFMLSEEDKSSQPSLEYWFKCLDLDGNGVLTPNELQYFYEEQLHRMECMAQEPVLFEDILCQIVDMIAPEREGCITLSDLKGCKLSGNVFNILFNLNKFVAFESRDPFLIRQEREEPTLTEWDRFAHREYIRLSMEEDVEDVSNGSAEVWDESFEAPF >Potri.004G055600.2.v4.1 pep chromosome:Pop_tri_v4:4:4558499:4564292:-1 gene:Potri.004G055600.v4.1 transcript:Potri.004G055600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055600.v4.1 MAQEEKSRSGCGSSSSTILQFVPFNSLADEGFWHRLSSLKLNKYGIDDSPIPITGFYAPCSHSQVSNHLRLLAESLPTDENDQSSMPAISRGNRNRCPVPGTLYNTNTLEAFHALDKKSLLKEEANKIWEDIHNGRAVEDSAVLSRFLLISFADLKKWSFHYWFAFPALVLDPPATLVESKRASEWFTSEEVKSVSVACNDWRNSSLTADVPFFFISIASNSHATIRHLKDWEACQADNQKVLFGFYDPCHEKDPGWPLRNFLALISSRWNLKSVHFLCFRESRGFMDMESSLVIEALITAPQGLNDRQLVPNAVGWEKNKNKYVYRCINLATSMDPTRLAVSAADLNLKLMRWRALPSLNLDELSSVKCLLIGAGTLGCQVARMLMAWGVRKITLLDNGRVAMSNPLRQSLYTLDDCLDGGDFKALAAAKSLKRIFPAVEAKGEVMAIPMPGHPVTNQEEKSVVDDCSRLYDLVDSHDAVFLLTDTRESRWLPTLLCASANKITITAALGFDSFLVMRHGPGPFSSVHANTSSVDMENLAQTDKGGKRLGCYFCNDVVAPTDSTANRTLDQQCTVTRPGLAPIASSLAVELFVSILHHPDGMFAEGDITNSTSSSGGSEPPLGILPHQIRGSLFHFSQMTLVGHSSNSCTACCSTVVSEYRKKGIEFLLQAINHPTYLEDLTGLTELKESANSFKLDWDDETDDFDDDDDCVEI >Potri.004G055600.3.v4.1 pep chromosome:Pop_tri_v4:4:4559325:4564299:-1 gene:Potri.004G055600.v4.1 transcript:Potri.004G055600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055600.v4.1 MAQEEKSRSGCGSSSSTILQFVPFNSLADEGFWHRLSSLKLNKYGIDDSPIPITGFYAPCSHSQVSNHLRLLAESLPTDENDQSSMPAISRGNRNRCPVPGTLYNTNTLEAFHALDKKSLLKEEANKIWEDIHNGRAVEDSAVLSRFLLISFADLKKWSFHYWFAFPALVLDPPATLVESKRASEWFTSEEVKSVSVACNDWRNSSLTADVPFFFISIASNSHATIRHLKDWEACQADNQKVLFGFYDPCHEKDPGWPLRNFLALISSRWNLKSVHFLCFRESRGFMDMESSLVIEALITAPQGLNDRQLVPNAVGWEKNKNKYVYRCINLATSMDPTRLAVSAADLNLKLMRWRALPSLNLDELSSVKCLLIGAGTLGCQVARMLMAWGVRKITLLDNGRVAMSNPLRQSLYTLDDCLDGGDFKALAAAKSLKRIFPAVEAKGEVMAIPMPGHPVTNQEEKSVVDDCSRLYDLVDSHDAVFLLTDTRESRWLPTLLCASANKITITAALGFDSFLVMRHGPGPFSSVHANTSSVDMENLAQTDKGGKRLGCYFCNDVVAPTDSTANRTLDQQCTVTRPGLAPIASSLAVELFVSILHHPDGMFAEGDITNSTSSSGGSEPPLGILPHQIRGSLFHFSQMTLVGHSSNSCTACCSTVVSEYRKKGIEFLLQAINHPTYLEDLTGLTELKESANSFKLDWDDETDDFDDDDDCVEI >Potri.004G055600.4.v4.1 pep chromosome:Pop_tri_v4:4:4558907:4564294:-1 gene:Potri.004G055600.v4.1 transcript:Potri.004G055600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055600.v4.1 MPAISRGNRNRCPVPGTLYNTNTLEAFHALDKKSLLKEEANKIWEDIHNGRAVEDSAVLSRFLLISFADLKKWSFHYWFAFPALVLDPPATLVESKRASEWFTSEEVKSVSVACNDWRNSSLTADVPFFFISIASNSHATIRHLKDWEACQADNQKVLFGFYDPCHEKDPGWPLRNFLALISSRWNLKSVHFLCFRESRGFMDMESSLVIEALITAPQGLNDRQLVPNAVGWEKNKNKYVYRCINLATSMDPTRLAVSAADLNLKLMRWRALPSLNLDELSSVKCLLIGAGTLGCQVARMLMAWGVRKITLLDNGRVAMSNPLRQSLYTLDDCLDGGDFKALAAAKSLKRIFPAVEAKGEVMAIPMPGHPVTNQEEKSVVDDCSRLYDLVDSHDAVFLLTDTRESRWLPTLLCASANKITITAALGFDSFLVMRHGPGPFSSVHANTSSVDMENLAQTDKGGKRLGCYFCNDVVAPTDSTANRTLDQQCTVTRPGLAPIASSLAVELFVSILHHPDGMFAEGDITNSTSSSGGSEPPLGILPHQIRGSLFHFSQMTLVGHSSNSCTACCSTVVSEYRKKGIEFLLQAINHPTYLEDLTGLTELKESANSFKLDWDDETDDFDDDDDCVEI >Potri.014G153600.2.v4.1 pep chromosome:Pop_tri_v4:14:10806150:10808504:1 gene:Potri.014G153600.v4.1 transcript:Potri.014G153600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153600.v4.1 MELLALELSTKTRLKEAAVVSRRIVDSMMKRGKQKGREEIYKMKGLENISQMEGIDDEDDLGMEPIALSSPPPAQQFGHASNRDNDTEKRTQGLHNKVAMKLARFMDTIRQNLVAVQRFVEDLWLRQYGCAGDPDITRAYQVWPGNNVFFFHGRLICGPDPRGLLLTTVSIILSSWVFAMYSEDDLPHDSGLITAFSLMLTVTVLVNLFLVSTIDPGIIPRNDGSSIEETAGTSDGTRRKRVTINGVELKLKYCRICKFFRPPRSCHCAICDNCVEKFDHHCPWIGQCIALRNYRFYLTFIISALIFFVYVFAFSCWRIHQRMLRTGTGLLGMLKNCPETLALVSFSSATILFLGGLTIFHVFLLARNQTGYENFRQRYMGSQNPFDKGILSNIMEVLFEPLPPSRVDFRAEVMMPRG >Potri.014G153600.3.v4.1 pep chromosome:Pop_tri_v4:14:10806623:10808669:1 gene:Potri.014G153600.v4.1 transcript:Potri.014G153600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153600.v4.1 MKGLENISQMEGIDDEDDLGMEPIALSSPPPAQQFGHASNRDNDTEKRTQGLHNKVAMKLARFMDTIRQNLVAVQRFVEDLWLRQYGCAGDPDITRAYQVWPGNNVFFFHGRLICGPDPRGLLLTTVSIILSSWVFAMYSEDDLPHDSGLITAFSLMLTVTVLVNLFLVSTIDPGIIPRNDGSSIEETAGTSDGTRRKRVTINGVELKLKYCRICKFFRPPRSCHCAICDNCVEKFDHHCPWIGQCIALRNYRFYLTFIISALIFFVYVFAFSCWRIHQRMLRTGTGLLGMLKNCPETLALVSFSSATILFLGGLTIFHVFLLARNQTGYENFRQRYMGSQNPFDKGILSNIMEVLFEPLPPSRVDFRAEVMMPRG >Potri.014G153600.6.v4.1 pep chromosome:Pop_tri_v4:14:10805870:10808668:1 gene:Potri.014G153600.v4.1 transcript:Potri.014G153600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153600.v4.1 MKGLENISQMEGIDDEDDLGMEPIALSSPPPAQQFGHASNRDNDTEKRTQGLHNKVAMKLARFMDTIRQNLVAVQRFVEDLWLRQYGCAGDPDITRAYQVWPGNNVFFFHGRLICGPDPRGLLLTTVSIILSSWVFAMYSEDDLPHDSGLITAFSLMLTVTVLVNLFLVSTIDPGIIPRNDGSSIEETAGTSDGTRRKRVTINGVELKLKYCRICKFFRPPRSCHCAICDNCVEKFDHHCPWIGQCIALRNYRFYLTFIISALIFFVYVFAFSCWRIHQRMLRTGTGLLGMLKNCPETLALVSFSSATILFLGGLTIFHVFLLARNQADRL >Potri.014G153600.5.v4.1 pep chromosome:Pop_tri_v4:14:10806623:10808669:1 gene:Potri.014G153600.v4.1 transcript:Potri.014G153600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153600.v4.1 MKGLENISQMEGIDDEDDLGMEPIALSSPPPAQQFGHASNRDNDTEKRTQGLHNKVAMKLARFMDTIRQNLVAVQRFVEDLWLRQYGCAGDPDITRAYQVWPGNNVFFFHGRLICGPDPRGLLLTTVSIILSSWVFAMYSEDDLPHDSGLITAFSLMLTVTVLVNLFLVSTIDPGIIPRNDGSSIEETAGTSDGTRRKRVTINGVELKLKYCRICKFFRPPRSCHCAICDNCVEKFDHHCPWIGQCIALRNYRFYLTFIISALIFFVYVFAFSCWRIHQRMLRTGTGLLGMLKNCPETLALVSFSSATILFLGGLTIFHVFLLARNQADRL >Potri.014G153600.4.v4.1 pep chromosome:Pop_tri_v4:14:10805870:10808668:1 gene:Potri.014G153600.v4.1 transcript:Potri.014G153600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153600.v4.1 MKGLENISQMEGIDDEDDLGMEPIALSSPPPAQQFGHASNRDNDTEKRTQGLHNKVAMKLARFMDTIRQNLVAVQRFVEDLWLRQYGCAGDPDITRAYQVWPGNNVFFFHGRLICGPDPRGLLLTTVSIILSSWVFAMYSEDDLPHDSGLITAFSLMLTVTVLVNLFLVSTIDPGIIPRNDGSSIEETAGTSDGTRRKRVTINGVELKLKYCRICKFFRPPRSCHCAICDNCVEKFDHHCPWIGQCIALRNYRFYLTFIISALIFFVYVFAFSCWRIHQRMLRTGTGLLGMLKNCPETLALVSFSSATILFLGGLTIFHVFLLARNQTGYENFRQRYMGSQNPFDKGILSNIMEVLFEPLPPSRVDFRAEVMMPRG >Potri.018G006800.2.v4.1 pep chromosome:Pop_tri_v4:18:531257:531921:-1 gene:Potri.018G006800.v4.1 transcript:Potri.018G006800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006800.v4.1 MGKQKWSVLGPLKRTVKKINFLLNFRKWRLASSILWNASSSSSSGTIRRLSFNDRIGLHGVIEDVESDHQSKPVRALERVRSHDGSSSDDDVDKRAEIFITNFRRQLLMERQVSLQLRYYRGNSFGRDY >Potri.010G216900.4.v4.1 pep chromosome:Pop_tri_v4:10:20388460:20394174:1 gene:Potri.010G216900.v4.1 transcript:Potri.010G216900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216900.v4.1 MEVGGDGGKTSSKVEDADTDADADAQCVRIVSGIGGEAQAIVEEATIVTDEESLKRELVEEGVEGVGIDVSQKVSSRLVGLSENESQDQRAESGAGGPSMAVGSSVGETQVIEKCELVEEAAGRAEEKDGNVNDALQDSETQEVLVLHNEVWNSVTETAVVTSPAVEDMNVETKVVEEVVVMANNEGLDPKVEATRSDALKGELAGDLEGIISTSESSPVLTEKDSIANPDSELLDEQTQVAIEGRVSSTDDKNITCPNNEDSQSSYQPAQVVVGAVVVAKENNVLLNPEKNKKAITACIVNNAEEADLQKEQVITVCQQQKVETINGSTEIRTKTTCGGMEMDVETALTHNDEVLTSRTEVPDPSVKDQQLKPEEGLDKSAPSDPAHVDSIKEQLMEVQEQATRAKEFGGEKKNLEEQNSHAETASVCTETDSQLMDVGENVIASNEEALISKTELKELAESDQQLKVEEGLDEGASHGPFEIVSNAGQEMTNEEHVLDAEQVDLQGQEMEVEEQDTDTEQLNTMEEKSSKLSVLKPGSSEKEDQACYLLPPDNEGEFSVSDLVWGKVRSHPWWPGQIFDPSDASEKAMRYHKKDCYLVAYFGDRTFAWNEASLLKPFRSHFSQVEKQSNSEVFQNAVDCSLEEVSRRVELGLACSCLPKDAYDEIKCQVVENTGIRPEASTRDGVDKDMSADLFQPDKLVDYMKALAQSPSGGANRLEFVIAKSQLLAFYRLKGYSELPEYQFCGGLLEKSDALQFEDGSIDHTSAVYEDHGQISSGEEILQTQRGSSHKRKHNLKDSIYPRKKERNLSDLISDSWDSVGDEIGSDGKANSMLVSPSGKKRKGSDTFADDAYMTGRRKTISFAKVSSTALKPSFKIGECIQRVASQMTGSPSILKCNSPKVDGSSDGLVGDGSDASFLHSEDAEIKRIIVPTEYSSLDDLLSQLHLTAQDPLKGYGFLNIIISFFSDFRNSVVMDQHDKVSGKRKTSHSSGGFPETFEFEDMNDTYWTDRVIQNGSEEQPPRKSRKRDNLFVPVVLDKPSGRSNSRKQYSDSNYDVSAQKPAGYVDEKAPAELVMHFPVVDSVPSEISLNKMFRRFGPLKESETEVDRDTNRARVIFKRCSDAEAAYGSAPKFNIFGPILVNYQLNYSISVPFKTPPLFQDEEDATLFLQY >Potri.010G216900.2.v4.1 pep chromosome:Pop_tri_v4:10:20388313:20394234:1 gene:Potri.010G216900.v4.1 transcript:Potri.010G216900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216900.v4.1 MVRGSSSEDVDGGCTGNLGDGGGGGGRKETAACGHADGDAQHSDFSGVVGDSGTHENRGSGVEASNSEVESSKVAESEEGKPAEGGEKERQVSGHGDETSQEVQEFAESKGKGKPVEGGEEEMEVGGDGGKTSSKVEDADTDADADAQCVRIVSGIGGEAQAIVEEATIVTDEESLKRELVEEGVEGVGIDVSQKVSSRLVGLSENESQDQRAESGAGGPSMAVGSSVGETQVIEKCELVEEAAGRAEEKDGNVNDALQDSETQEVLVLHNEVWNSVTETAVVTSPAVEDMNVETKVVEEVVVMANNEGLDPKVEATRSDALKGELAGDLEGIISTSESSPVLTEKDSIANPDSELLDEQTQVAIEGRVSSTDDKNITCPNNEGMDTDAFSESFCFSVEELQGTSETANGSTENGYNACADSQSSYQPAQVVVGAVVVAKENNVLLNPEKNKKAITACIVNNAEEADLQKEQVITVCQQQKVETINGSTEIRTKTTCGGMEMDVETALTHNDEVLTSRTEVPDPSVKDQQLKPEEGLDKSAPSDPAHVDSIKEQLMEVQEQATRAKEFGGEKKNLEEQNSHAETASVCTETDSQLMDVGENVIASNEEALISKTELKELAESDQQLKVEEGLDEGASHGPFEIVSNAGQEMTNEEHVLDAEQVDLQGQEMEVEEQDTDTEQLNTMEEKSSKLSVLKPGSSEKEDQACYLLPPDNEGEFSVSDLVWGKVRSHPWWPGQIFDPSDASEKAMRYHKKDCYLVAYFGDRTFAWNEASLLKPFRSHFSQVEKQSNSEVFQNAVDCSLEEVSRRVELGLACSCLPKDAYDEIKCQVVENTGIRPEASTRDGVDKDMSADLFQPDKLVDYMKALAQSPSGGANRLEFVIAKSQLLAFYRLKGYSELPEYQFCGGLLEKSDALQFEDGSIDHTSAVYEDHGQISSGEEILQTQRGSSHKRKHNLKDSIYPRKKERNLSDLISDSWDSVGDEIGSDGKANSMLVSPSGKKRKGSDTFADDAYMTGRRKTISFAKVSSTALKPSFKIGECIQRVASQMTGSPSILKCNSPKVDGSSDGLVGDGSDASFLHSEDAEIKRIIVPTEYSSLDDLLSQLHLTAQDPLKGYGFLNIIISFFSDFRNSVVMDQHDKVSGKRKTSHSSGGFPETFEFEDMNDTYWTDRVIQNGSEEQPPRKSRKRDNLFVPVVLDKPSGRSNSRKQYSDSNYDVSAQKPAGYVDEKAPAELVMHFPVVDSVPSEISLNKMFRRFGPLKESETEVDRDTNRARVIFKRCSDAEAAYGSAPKFNIFGPILVNYQLNYSISVPFKTPPLFQDEEDATLFLQY >Potri.011G099200.2.v4.1 pep chromosome:Pop_tri_v4:11:12806632:12811056:1 gene:Potri.011G099200.v4.1 transcript:Potri.011G099200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G099200.v4.1 MIPAFSESCSGMINKWEKLVSVDEGSCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTDLTVHFIIKAIVIPGYRFLPIPSNRRLKAIDKEIKASLNALINKREQAMSAGEDAKNDLLGLLLESNFREIQEHGNTKSVGMSIEDVIDECKIFYVAGQETTSVLLTWTMVLLAQYPNWQARAREEVVQVFGNKKPDFDGLNHLKVVTMILYEVLRLYPPVIMLNRDVHEEIKLGNLLLPAGVQISVPTILLHQDHELWGDDASEFKPERFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKIAVAMVLQLYSFELSPSYIHAPRTVVTLQPQHGAPMILRKL >Potri.001G137100.1.v4.1 pep chromosome:Pop_tri_v4:1:11176397:11177118:1 gene:Potri.001G137100.v4.1 transcript:Potri.001G137100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137100.v4.1 MQPVGVAKQKTRRQVNLWAIRAQPRRFGISFVVPDRVVMEISSKRRVRGKHPLRLIRMPPGGPVEFHKIVEEANQHSPPLKNWDKDTCPLPDKAYHRSCFNDWYTLLFYHP >Potri.004G200001.2.v4.1 pep chromosome:Pop_tri_v4:4:21052762:21053663:1 gene:Potri.004G200001.v4.1 transcript:Potri.004G200001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200001.v4.1 MFSTLLGLVQTKTAISEFPCRMACSSVLLKTYLLFSLNYILAMFDFIHEFKWIREKPTRLEGKVALITGGSSCIGESTARLFAKHGAKVVIADVQDVLGHSVCEELKIESASFVHCDVTREKDVENTVNTAVVENKLDFLFFKIKMNS >Potri.013G064800.2.v4.1 pep chromosome:Pop_tri_v4:13:4808962:4816901:1 gene:Potri.013G064800.v4.1 transcript:Potri.013G064800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064800.v4.1 MGSSSRSPSLLLLFSLLFASSLFLSRATHETTLKGIDSENPAVDVTPSHFHERVFSHDGSKDAFFCERVKVSGHLRWKLSRYASSFRVTLAPSALIPERLHINIQVCFHRNASLGLCQCEKDDWRIVQKGLWTSVMSPYEERYVDVKFIGDTSGSVSIAVDEDLQQWRLMCLAVGFVLLLLAPIVSSWVPFYYSTSMAIGVFLVIIILLFQGMKLLPTGRKNFFYLSIYGSVLGAGTFILHQISTLVNSILVNFGLSEDMHNPVYIFILVGIVLTGAGLGFWMVRKFVISKDGSVDDGVAQFVKWAMRIIASTFILQSTLDTPLAMGALLSSCAICSVTLKWWYKRDQSDSGGGSAWLQPAGQTTARFRRAEFHSRSGKMSPQGKMWNSPKSSSAWTSSPVKGVVSPSSHLATVDKQDHYSTFHKTPRRKKFTKKQWEDFTRESTHEAVMEWAASPEVTNWIINNADRIQLLPSNYGSEEMVGSESDSTDASVAGSGKPFSLFNW >Potri.006G219700.2.v4.1 pep chromosome:Pop_tri_v4:6:22494453:22497519:1 gene:Potri.006G219700.v4.1 transcript:Potri.006G219700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219700.v4.1 MGTSMRSWMAVLIMVWLTLVQGIFVAVDATFNYKDALTKSIIFLEAQRSGKLPPNHRPQWRGDSGLDDGKLANVDLVGGYYDAGDNVKYGLPMAFTVTTLAWGALAYHKELHATGELPHVRSAIKWGTDYFLKASSRKNRLYVQVGDPVLDHQCWVRPENMRTPRTVLRIDENNPGTEIAAETSAAMAAASIVFRHTNRTYSRRLLNKAKLLFEFAKTHKKTFDGECPFYCSFSGYNDELLWSATWLYKATTKPMYLKYIKEEATSAAVAEFSWDLKYAGAQVLLSKLYFEGVKDLESYKKDADSFICSVLPGSPFHQVYISPGGMINLRDGANTQYVTSTAFLFSVYSDILAEHNQKVQCGNQAFDSTRVMAFAKQQIDYLLGSNPEKRSYMVGFGHNPPVQAHHRGASVPVMSTNTIVNCGTSFANWFNKDAPNPHELTGAFVGGPDRFDNFVDKRWDSSKTEPCTYVNSISVGVLAKLATDGRV >Potri.010G186400.1.v4.1 pep chromosome:Pop_tri_v4:10:18335060:18336298:1 gene:Potri.010G186400.v4.1 transcript:Potri.010G186400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G186400.v4.1 MRTSAACLLQYEAATFSLSEYKNINTCSHCRSPPSSAIYTHDIQTSAQAKATLYFDRSITKERGPLSLNKALKAIHFIMDNSSLSHPPQEPTTTTTKLSSNEKSTDNNTTATTPTTATTSDTNSNNNSSGNSRKCKGKGGPDNGKFRYRGVRQRSWGKWVAEIREPRKRTRKWLGTFATAEDAARAYDRAAFILYGSRAHLNLQPSGSSSSAQSGSTSRNSTSSSSQTLRPLLPRPPGFGCGFGFTFSLSNPMASPSVTAASSGFTPYGVNCYSNNVVGSALQCSSTNEMPGQNHQQVMLQGYLIQHGANTTNPNNIFVSSSVDPSTTTSYQNHCHRLPQHHAYDDVNALGGSVGSSFSLSGSNTPPVVAPAGHLLQDPVMHIGPGSPSAWNDEEYPPPSIWDDEDPFLFDF >Potri.011G001401.1.v4.1 pep chromosome:Pop_tri_v4:11:88091:89184:-1 gene:Potri.011G001401.v4.1 transcript:Potri.011G001401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001401.v4.1 MNLEMFFVLLFLLLPLYLLLRQRISGRFPPGSLGLPIIGQSITFRPHAMRKNTDEEWLRIRIRKYVPVWKTSLLGKPTVFLSGAANKFIYNCDGSILAGQKPLSVRRICGQRNIFELSGHEHKRVRGVLVSLLKPEVLRQHVGEMDERIRKHFEMHWHGKQKVSVCPFYLTTKYQHIS >Potri.016G123300.1.v4.1 pep chromosome:Pop_tri_v4:16:12771444:12774104:1 gene:Potri.016G123300.v4.1 transcript:Potri.016G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123300.v4.1 MASTICLFLLYMFISMIVEAQSVEFIFNGFNESEKNLTIDGASIIKPSGLLRLTNKTQYAVGHAFYSKPIQMLDTSSNSSPNASSFSTTFVFQIVSPKGKGGHGLAFALAPSNQFPGAAAEHYLGLFNPSNNGDSSNHIFAVEFDTVNGFNENSDTEGNHVGVNINSMRSKSSRAASYREDDNPDRYEELTLESGEPIQAWIEYDGAAKLVSVTIGPMEKSRPIRPLISFPVDLSAVVKDNMYAGFSSSTGKKTSSHYILGWSFSTEKAGARPLNLSLLPRAPISEESSSSFQPSVIAIIASLCGVTTILFAILLFHAVYRNWPQSEALEDWEVDCPHRFRYQDLHTATKGFKKSEIIGAGGFGAVYKGRLPTNGNEVAVKRITTNSIQGLRGFTAEIESLGRLRHKNLVNLQGWCKRNNDLLLVYDYIPNGSLAGLLFSRGNNFVLSWEQRFNIVKGIAAGLLYLHEEWEQVVIHRDVKSSNVLIDAGMNGRLGDFGLARLYDHGTMSHTTNIVGTIGYIAPELARTGQASTSSDVYAYGILLLEVACGRKPVETSNFILTDFVIECHQKGRVLDAADPELNSAFLVKEMEVVLGLGLLCSHHKPKARPTMREVIRYLNWEEKLPVIDDLGSSDSPCRSTRYMGEVSIEMITSSIDVGR >Potri.016G000700.1.v4.1 pep chromosome:Pop_tri_v4:16:35450:38142:1 gene:Potri.016G000700.v4.1 transcript:Potri.016G000700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000700.v4.1 MGCISSKLVSRSLSFQEELTKNMQRSANDIPALEELAISGNINDQFFALVCTANTVACTYKSGSFSGKSNNPVVEPDISLTKTKSEFNSSLEQEGEEKHTRLEAKLVLPQVPSIDPTKRSKSCHFFPTNEASYPSVEIFHGVEEKRRNHEGMERATSFHTIEEFDALIEKLKLSKEQKIGYDGGDDGSVTGVKLNQFRSSTHASEHSADSNNIQETHSHINDSTPPEANSIIEENPTLSNSELASEKLIAIPSRSNTATESEVVEDSSQGHILKKGFKRKSIAKGLESIEIPHTIEFPAVTGLKEWLRVGGRVYSPGAYVTPKFGSYSFPISGTSNECNEEDIFNPELVAASEESMEQLEAEAESILKQIVEKLEEESGLEKQAKDDFLSHNSID >Potri.006G025300.1.v4.1 pep chromosome:Pop_tri_v4:6:1675606:1679205:1 gene:Potri.006G025300.v4.1 transcript:Potri.006G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025300.v4.1 MQIPSSLSLFRHRTPTFFRHHPLLITASRHHQNQPNVSRKQPPSKNLLKAKKNLTSKKDFSNLSPILSPDHTPTNLSDSQAIGTVAASQANFMRVIVTAEPEKIEDSSSSSSKVGTELLCVVRAVLKKIKRRVLVGDKVVVGSIDWVDRRGMIENVFQRSSDILDPPVANVDRLLVLFSVEQPQLESFVLTRFLIEAESTGIPITLALNKAELVDQQVLSDWDTRLRSWGYEPLFCSVDSKMGLDSLASVLRDQTTVIVGPSGVGKSSLINALRNKPNSQVEVDNWFDPIMGSKWFEDQRVGKVSTRSGRGKHTTRNVSLLPLGGGGYLADTPGFSQPSLLKVTKQSLAQYFPEIRKMLGDNEQAKCAFNDCLHVGEPGCIVKGDWERYPLYFQLLDEIRIREEFQLRTFGTKREDDVRYKVGDMGVKQAEPRLEPKKHRRQSRKRINQSILDELNELDDDEDLLDDENDPILRALKNENL >Potri.012G072800.3.v4.1 pep chromosome:Pop_tri_v4:12:9653299:9656859:-1 gene:Potri.012G072800.v4.1 transcript:Potri.012G072800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072800.v4.1 MWRSGLRQRVKELLMILPPCSFLPPSPSPSQRFAFTKKPLLGLANASLCSPGTMSTATNLDGIFKQKQVLRSKVRKSLKSMDPSLRSQEDDAIQSLVLEAPWFKSSQRLCAYITCSALREVDTSKLLSQILQNPTKDGSVENAKKLYVPRVEDKNSHMRMLNISSMDDLIANSMDILEPAPVDQHGNAREDVMQANDPVDLFLLPGLAFDKSGRRLGRGGGYYDTFLRKYQELAEERDWRQPLLVALSYSLQIIDDGAIPVTLNDLPVDVLVSPAGVIPISQAALNRMQI >Potri.014G144700.3.v4.1 pep chromosome:Pop_tri_v4:14:9884483:9885784:1 gene:Potri.014G144700.v4.1 transcript:Potri.014G144700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144700.v4.1 MAITLNNGFKMLIIGLGVWRMEGKEIRNLIINPIKLGYRHFDCAADHKSEAIIGEVLAEVFKTGLA >Potri.014G144700.2.v4.1 pep chromosome:Pop_tri_v4:14:9884527:9885695:1 gene:Potri.014G144700.v4.1 transcript:Potri.014G144700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144700.v4.1 MAITLNNGFKMLIIGLGVWRMEGKEIRNLIINPIKLGYRHFDCAADHKSEAIIGEVLAEVFKTGLA >Potri.014G144700.4.v4.1 pep chromosome:Pop_tri_v4:14:9884527:9885679:1 gene:Potri.014G144700.v4.1 transcript:Potri.014G144700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144700.v4.1 MAITLNNGFKMLIIGLGVWRMEGKEIRNLIINPIKLGYRHFDCAADHKSEAIIGEVLAEVFKTGLA >Potri.001G018400.1.v4.1 pep chromosome:Pop_tri_v4:1:1363818:1367287:1 gene:Potri.001G018400.v4.1 transcript:Potri.001G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018400.v4.1 MNSNNWLSFPLSPTHPTLPAHLHASHPHQFSLGLVNDNMENPFQTQEWSLLNTQGNNEVPKVADFLGVSKSENQSDLVAFNEIQASDSEYLFSSNSLLPVQNAVVAASTNYEFQENPSNLQSLTLSMGSASGKGSKCETSGDNITNSVEAAAPRRTLDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGTSTTTNFPISNYEKELEDMKNMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVKSILESNSLPIGGGAAKRLKEAQAIESSQKREEMIALGSSYPYGSTSSSSRQQAYSLMQKPFEQPQPLLTLQNQDISQYTQDSSFQQNYLQTQLHLHQLSAGSNFLHNNQSSQNPQYYNSYIQNNPTLLHGLWNMGSSSSLMENNGSSSGSYSTVGYLGNGLGMATNSTGSNAVAEELPLVKIDYDMPSGGYGSWSGESVQGSNPGVFTMWNE >Potri.001G114500.2.v4.1 pep chromosome:Pop_tri_v4:1:9273398:9277615:-1 gene:Potri.001G114500.v4.1 transcript:Potri.001G114500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114500.v4.1 MIVLLCFSMRVLQEYPDPVSIGSTINNAKGLDLFINIYSVSMRRLYRLCLSHALLLLISLYLGFAATTVSPATEKEILLQFKGNISNDPYNSLANWVPSSNPCNYNGVFCNPLGFVERIVLWNTSLSGVLSPALSGLRSLRILTFFGNQFTGNIPQEYAELSTLWKINLSSNALSGSIPEFIGDLQRIRFLDLSRNGYTGEIPFALFKFCYKTKFVSFSHNSLSGPVPASIANCTNLEGFDFSFNNLSGQLPSGICDVPVLEYMSLRSNVLTGSVLEEISNCQRLSFLDLGSNMFTGLAPFGILGLQNLSYFNLSHNGFQGGIPEVRTCSESLKFFDASSNELEGEIPLGITNCKSLEFIDLGFNRLNGSIPVGIANLERLLVFKLGNNSIKGTIPREFGSIELLLLLDLHNLNLAGEIPKDISNCRFLRELDVSGNALDGEIPNTLDNLTSLEVLDLHRNQLDGGIPETLGSLSNLKLLDLSQNNLSGNIPFSLGNLANLKFFNVSSNNLSGPIPSIPKIQAFGAAAFLNNSRLCGTPLDISCSGGGNGTGNKSKKNKVLSNSVIVAIVAAALILTGVCVVSIMNIRARSRKKDDVTTVVESTPLGSTDSNVIIGKLVLFSKTLPSKYEDWEAGTKALLDKECLIGGGSIGTVYRTTFEGGVCIAVKKLETLGRIRSQDEFEQEIGRLGNLRHPNLVAFQGYYWSSTMQLILSEFIPHGNLYDNLHGLNYPGTSTGVGNRELYWSRRFQIALLTARALSYLHHDCRPPILHLNIKSTNILLDENYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRLSDKCDVYSFGVILLELVTGRKPVESPTANEVVVLCEYVRGLLETGSASDCFDRSLRGFSENELIQVMKLGLICTSELPSRRPSMAEVVQVLESIRSGVESS >Potri.001G114500.3.v4.1 pep chromosome:Pop_tri_v4:1:9272684:9277275:-1 gene:Potri.001G114500.v4.1 transcript:Potri.001G114500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114500.v4.1 MIVLLCFSMRVLQEYPDPVSIGSTINNAKGLDLFINIYSVSMRRLYRLCLSHALLLLISLYLGFAATTVSPATEKEILLQFKGNISNDPYNSLANWVPSSNPCNYNGVFCNPLGFVERIVLWNTSLSGVLSPALSGLRSLRILTFFGNQFTGNIPQEYAELSTLWKINLSSNALSGSIPEFIGDLQRIRFLDLSRNGYTGEIPFALFKFCYKTKFVSFSHNSLSGPVPASIANCTNLEGFDFSFNNLSGQLPSGICDVPVLEYMSLRSNVLTGSVLEEISNCQRLSFLDLGSNMFTGLAPFGILGLQNLSYFNLSHNGFQGGIPEVRTCSESLKFFDASSNELEGEIPLGITNCKSLEFIDLGFNRLNGSIPVGIANLERLLVFKLGNNSIKGTIPREFGSIELLLLLDLHNLNLAGEIPKDISNCRFLRELDVSGNALDGEIPNTLDNLTSLEVLDLHRNQLDGGIPETLGSLSNLKLLDLSQNNLSGNIPFSLGNLANLKFFNVSSNNLSGPIPSIPKIQAFGAAAFLNNSRLCGTPLDISCSGGGNGTGNKSKKNKVLSNSVIVAIVAAALILTGVCVVSIMNIRARSRKKDDVTTVVESTPLGSTDSNVIIGKLVLFSKTLPSKYEDWEAGTKALLDKECLIGGGSIGTVYRTTFEGGVCIAVKKLETLGRIRSQDEFEQEIGRLGNLRHPNLVAFQGYYWSSTMQLILSEFIPHGNLYDNLHGLNYPGTSTGVGNRELYWSRRFQIALLTARALSYLHHDCRPPILHLNIKSTNILLDENYEAKLSDYGLGKLLPILDNYGLTKFHNAVGYVAPELAQSLRLSDKCDVYSFGVILLELVTGRKPVESPTANEVVVLCEYVRGLLETGSASDCFDRSLRGFSENELIQVMKLGLICTSELPSRRPSMAEVVQVLESIRSGVESS >Potri.010G161500.10.v4.1 pep chromosome:Pop_tri_v4:10:16687060:16693072:1 gene:Potri.010G161500.v4.1 transcript:Potri.010G161500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161500.v4.1 MYQRVPTAVTTRGGSPTDNGDSVVTLDQVPRWSDAESRSSFSYDNEDPSFTNSYFPDPLTAHPEGESSSYGMVSRFPVDHEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECMTLGGCRTGMAKVTNGYDLPVRRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTTTSTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKNIPRPPQPPADLPVSDVGLVRRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKSAQAQSGWNCAKMLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGHPVMVVVGAHFLLRCLDLERFVLHVIKEFEPLIQKPYTIVYFHSAASLQFQPNMGWMRRLQQILGRKHQRNLHAIYVLHPNFHLKTTIFALQVFVDNVTWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Potri.010G161500.11.v4.1 pep chromosome:Pop_tri_v4:10:16686816:16692908:1 gene:Potri.010G161500.v4.1 transcript:Potri.010G161500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161500.v4.1 MYQRVPTAVTTRGGSPTDNGDSVVTLDQVPRWSDAESRSSFSYDNEDPSFTNSYFPDPLTAHPEGESSSYGMVSRFPVDHEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECMTLGGCRTGMAKVTNGYDLPVRRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTTTSTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKNIPRPPQPPADLPVSDVGLVRRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKSAQAQSGWNCAKMLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGHPVMVVVGAHFLLRCLDLERFVLHVIKEFEPLIQKPYTIVYFHSAASLQFQPNMGWMRRLQQILGRKHQRNLHAIYVLHPNFHLKTTIFALQVFVDNVTWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Potri.010G161500.2.v4.1 pep chromosome:Pop_tri_v4:10:16686824:16692987:1 gene:Potri.010G161500.v4.1 transcript:Potri.010G161500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161500.v4.1 MYQRVPTAVTTRGGSPTDNGDSVVTLDQVPRWSDAESRSSFSYDNEDPSFTNSYFPDPLTAHPEGESSSYGMVSRFPVDHEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECMTLGGCRTGMAKVTNGYDLPVRRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTTTSTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKNIPRPPQPPADLPVSDVGLVRRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKSAQAQSGWNCAKMLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGHPVMVVVGAHFLLRCLDLERFVLHVIKEFEPLIQKPYTIVYFHSAASLQFQPNMGWMRRLQQILGRKHQRNLHAIYVLHPNFHLKTTIFALQVFVDNVTWKKVVYVDRLLQLFRYVPREQLTIPDFVFQHDLEVNGGKGLIVDPRTKYVYHRP >Potri.010G161500.12.v4.1 pep chromosome:Pop_tri_v4:10:16686898:16693363:1 gene:Potri.010G161500.v4.1 transcript:Potri.010G161500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161500.v4.1 MYQRVPTAVTTRGGSPTDNGDSVVTLDQVPRWSDAESRSSFSYDNEDPSFTNSYFPDPLTAHPEGESSSYGMVSRFPVDHEINSKIYLWRGHPWNLEVDAVVNSTNENLDEAHSSPGLHAAAGPGLAEECMTLGGCRTGMAKVTNGYDLPVRRIIHTVGPKYAVKYHTAAENALSHCYRSCLELLIENGLQSIAMGCIYTESKNYPREPAAHVAIRTVRRFLEKQKDKITAVVFCTTTSTDTEIYKRLLPLYFPRDKHEEEVAISKLPADVGDENGETIIDERKIRIKPLPKKNIPRPPQPPADLPVSDVGLVRRNSSYLDSYLDPAFMSLIKDPDQRRKEQWEKSAQAQSGWNCAKMLGFGDLGGPPLSAAEEYSLHSRYLAKANSLNLSEIAEMKIVYRGGVDSEGHPVMVVVGAHFLLRCLDLERFVLHVIKEFEPLIQKPYTIVYFHSAASLQFQPNMGWMRRLQQILGRKHQRNLHAIYVLHPNFHLKTTIFALQVFVDNVTWKKVVYVDRLLQLFRYVPREQLTIPDFVFQLSFLLLLQFYSSIFDRQSELW >Potri.010G185000.1.v4.1 pep chromosome:Pop_tri_v4:10:18236199:18240822:1 gene:Potri.010G185000.v4.1 transcript:Potri.010G185000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185000.v4.1 MAMISVQNLVKERKYPFIFALAILLVSATIFFLYSNNLQSLTFSLGDLQKSNPTASKPSQNATISPDTTQKVDDANDDTKSDDSNDSNSSNDYENNNTTITNIDNNDHNNLLIVDLKIKWVACKGPVAVDYIPCLDNSQAIKELKSRRHMEHRERHCPQPSPRCLVPLPNGYKVPVPWPKSRDMIWYDNVPHPKLVEYKKDQHWVIKKGDFLVFPGGGTQFKDGVTNYINFIEKTLPSIEWGRHTRVILDVGCGVASFGGYLLDRDVITMSFAPKDEHEAQIQFALERGIPATLSVIGTQKLTFPDNAFDLIHCARCRVHWDADGGKPLMELNRILRPGGFFVWSATPVYRDDDRDRNVWNSMVALTKSICWKVVAKTVDSSGIGLVIYQKPVSSSCYEKRQESNPPLCEQQDEKNAPWYVPLSGCLPRLPVDSMGNLVGWPTQWPDRISSKPPSLTTLSDAEEMFIEDTKHWASLVSDVYLDGPAINWSSVRNIMDMNAGYGGFAAALIDLPYWVMNVVPTHTEDTLPIIFDRGLIGIYHDWCESLNTYPRTYDLLHASFLFRNLTQRCDIIDVAVEMDRILRPGGYILVQDTMEMVNKLNSVLRSMQWSTSLYQGQFLVGNKGFWRPK >Potri.006G104150.1.v4.1 pep chromosome:Pop_tri_v4:6:8005448:8006715:-1 gene:Potri.006G104150.v4.1 transcript:Potri.006G104150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104150.v4.1 MERGGGGGEKNREKTERQKRKKTQRAEEDNLKTRDGKNRKQQQNRSKDLVLTRPIEPKKKQRRKTMRKQRTNQRNRNHRRGERPKRDKADRNRGEERRIA >Potri.006G129900.1.v4.1 pep chromosome:Pop_tri_v4:6:10582790:10584790:1 gene:Potri.006G129900.v4.1 transcript:Potri.006G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129900.v4.1 MASSNIRTHFCSSTLIFLLLPLLLQFYSGKSELQFNYYAQSCPRAEEIIKEQVIKLYNKHGNTAVSWVRNLFHDCIVKSCDASLLLETVNGIESEKASQRSLGMRNFKYVNTIKAALESECPVTVSCADIVALSARDGIVMLGGPRVEMKTGRSDSTESYGAVVEDFIPNHNDSISLVLSRFQSIGVDVEGTVALLGSHSVGRVHCVNLVHRIYPTVDPTMDPDYAEYLKGRCPTPDPDPQAVLYARNDRETPMILDNYYYKNLLGHKGLLMVDQQLTSDPLTSPYVEKMAADNGYFHDQFSRAVVLLSENNPLTGNQGEIRKDCRYVNSN >Potri.012G054725.1.v4.1 pep chromosome:Pop_tri_v4:12:5213785:5218939:-1 gene:Potri.012G054725.v4.1 transcript:Potri.012G054725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054725.v4.1 MVAHILFLLYQHHPIITIFLVLILASTSYGEDDNKDYRDCKKHFNCGVLSNLSYPFWGGDRPEVCGHKGFQLKCEEGQLPIIPSDKLEFRLSRLNQSSRLMTLQLVNSKDYICPTQNLANSSTESDIHVFGYDLNLQNLNLLYNCTVWSPTLVQNRISSSYSNCSEYSGRSFYGSDDILESSSGLDQTQCSIRFKIPIPAESFRRLTGDKPELEQVLREEFKVSYKYDQGPSICDGCMASKGICGTNLTDPNREFLCLCRDHPYPFVCKGNKDVMNVGRKVRMALGASLGTVIIMSIAFFFWYRHKKRQYVSFVSRSIKSVPSSKAYMEKRGSYNGVHLFSYDELEEATNNFDETRELGDGGFGTVYYGKLPDGREVAVKRLYENNYKRLEQFLNEVDILTRLRHKNLVLLYGCTSRHSRELLLVYEYIPNGTLADHLHGQRAKPGALTCPTRMNIAVETASALAYLHASDIVHRDVKTTNILLGNDFCVKVADFGLSRLFPLDVTHVSTAPQGTPGYVDPDYHQCYQLTDKSDVYSFGVVLIELISSMPAVDISRHRHEINLSNMAINKIQSNALNELVDPSLGFESDYAARKMISAVAELAFQCLQSARELRPSMEKVVEILKDIQNRDYTAEKAEEIDIPSDDVVLLKSGPIPLDYTLSL >Potri.008G008551.1.v4.1 pep chromosome:Pop_tri_v4:8:410803:414252:-1 gene:Potri.008G008551.v4.1 transcript:Potri.008G008551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008551.v4.1 MLILMFLFAPCRSSQSLLSCVLVGFAGSSSVSIVCCELLRLRRNMDEQESSKKREPLSTMEEERPSKVQKNEDLTAPEEIDNRVIEYLYGMEEELLLKKMNFEQRMGIDDTLSIYRAKIVDVLALLSCRLGLDQDTLHAAFSYYDRYNSLNPVVDTDKLELIGVSSLYIAGKYEERVGMKQSFYFVQFMDSPIADGQMFRRASILAALEFCFCPFDATFLLLV >Potri.010G126700.1.v4.1 pep chromosome:Pop_tri_v4:10:14394383:14399400:1 gene:Potri.010G126700.v4.1 transcript:Potri.010G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G126700.v4.1 MERSGTRSPPEKATSLGYVSWEEVYVSSDRGRREVQYYLKRNDGGKDLAITGKEKSSRHMSYYFEIRNRSNLFPMAPSLKPKSRREVIDWLDSIVSGSLLQAPIFQAGSLDNSDASQFDMEIFKDIQSQKLGHYSSEFLWLGSPWVCRKKRKHYESFYRNGVKISIHDFVYVLAEEDKRLIAYLEDLYEDLKGNKMVMVRWFHKIDEVGIVLPHNFNDREIFFSLCLQDLNIECIDGVATVLSPQHFKKFLNEAVCTRFNAFVCDKLFDNEDVNHFDITQVEGYWRQEILGYLSVLPSNCLANSQYPGNGLRGEGHDTNASRMRPKKRLRRLKDNGDFCIVRKESTTARCFNMQNLHNSWIDSKTGNEICSQRGEDHTAFLSTTEVMQNPQNLHVDSEVEVLSQDSGIRGCWFRASIIKKHKDKVKVRYQDISDAADEANKLEEWVLASRVAAPDQLAIRIGGRTVVRPTPQFNKERAASVADVGTAVDAWWNDAWWEGVVVQKESEDRIHVFFPGEKKESVFCCSDLRLSQEWVGNEWIHIEGRPDILSHLSSCLERKQLKFRSDESKLAQAAIPDSRQSGKANPGCIDYSLDSGCDMGKELKVVPDLSKDNLLAQLRWRSIKKRRRGSGSSGHKMHLYNNGGRRLSEVIGSNSGERYLIPTALKVDHENCKYMGDSLFTSAVVQPLSSLVMSR >Potri.005G080500.8.v4.1 pep chromosome:Pop_tri_v4:5:5479176:5484343:1 gene:Potri.005G080500.v4.1 transcript:Potri.005G080500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080500.v4.1 MSSQYSVLGNKLDLVQWKVTELKEELRRRKLKTSGLKDDLIKRLEEAIRIEREDEEIRHELVNAAEVAEDVDNGVDLENPHVVGVKNSEAVSAVTETVEVVVDDAANRNEKVDDVMVQVGIDESVKAMGPRELQEEVKMGGVNSSRVEEEELTVHATTVETSISVTESVVSEVALSVQDVQNSGTQEANENSSIQLENEDSKPQLENESSKPPDENVVLESSAPDNQVSEVNPNLGFQVKSDSISTDSVSINEKIELKDNIIADDVKLELDDVKLEMVEPSSSNVVPVGGESHPMDVEEPQEKSPSVEKKDDSNGTNADMSKKNYSVDVGCSEKLNLDRSSGDDSMEEDVLESKQIDSKYNTDEVGDKCKIKNELPSLKEENLVNVTGKDLSTDQKEIHVENMTCPVAPMEKRKLNDQEAVGNTEPLKRQRRWNSESIKVPEQQSSNLTPTTTPNDGFQPTPLRRNFSRSDSSVSEEAPKERIVPPSQKPPTNSLRIDRFLRPFTLKAVQELLGKTGSVTSFWMDHIKTHCYVMYSSVEEAVETRNTVYNLQWPPNGGRLLVAEFVDPQEVKIRVDAPPQSPAAPVTPSTPAPAPPMLQPQPSPRQQVSRQQLPPPPSLPLPPPLSNPPHARERVDLPPPPPLPEKHDPPIVTLDDLFRKTKTAPRIYYLPLSEEQVAAKLAGHGKNTKQ >Potri.005G080500.9.v4.1 pep chromosome:Pop_tri_v4:5:5479999:5484346:1 gene:Potri.005G080500.v4.1 transcript:Potri.005G080500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080500.v4.1 MSSQYSVLGNKLDLVQWKVTELKEELRRRKLKTSGLKDDLIKRLEEAIRIEREDEEIRHELVNAAEVAEDVDNGVDLENPHVVGVKNSEAVSAVTETVEVVVDDAANRNEKVDDVMVQVGIDESVKAMGPRELQEEVKMGGVNSSRVEEEELTVHATTVETSISVTESVVSEVALSVQDVQNSGTQEANENSSIQLENEDSKPQLENESSKPPDENVVLESSAPDNQVSEVNPNLGFQVKSDSISTDSVSINEKIELKDNIIADDVKLELDDVKLEMVEPSSSNVVPVGGESHPMDVEEPQEKSPSVEKKDDSNGTNADMSKKNYSVDVGCSEKLNLDRSSGDDSMEEDVLESKQIDSKYNTDEVGDKCKIKNELPSLKEENLVNVTGKDLSTDQKEIHVENMTCPVAPMEKRKLNDQEAVGNTEPLKRQRRWNSESIKVPEQQSSNLTPTTTPNDGFQPTPLRRNFSRSDSSVSEEAPKERIVPPSQKPPTNSLRIDRFLRPFTLKAVQELLGKTGSVTSFWMDHIKTHCYVMYSSVEEAVETRNTVYNLQWPPNGGRLLVAEFVDPQEVKIRVDAPPQSPAAPVTPSTPAPAPPMLQPQPSPRQQVSRQQLPPPPSLPLPPPLSNPPHARERVDLPPPPPLPEKHDPPIVTLDDLFRKTKTAPRIYYLPLSEEQVAAKLAGHGKNTKQ >Potri.006G064200.4.v4.1 pep chromosome:Pop_tri_v4:6:4584125:4588874:-1 gene:Potri.006G064200.v4.1 transcript:Potri.006G064200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064200.v4.1 MATAALIIFLLMQFRIILASGFVIQSKVCGSDHIAYSNCHGHELFYINGELKEKESFCKALHSFDVNDCTFENYLGSSCSGLDLDLSLADSPLKPERSLLQKEVREESPSHDLSQDTPKEKTLSPPYKAGIGAAGVIVMCCTFLCPCLYKKKKATTHTVLEKDPKSTDSISPFNVNSASEKVPPSPLRVPPSPSRFSQSPKLSRLGSVHLNLQQVARATRNFSPSLQIGEGGFGTVYKAELDDGQVVAIKRAKKEHFANLRTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDVQRGKILDFNQRLEISIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFARMGPVDSDQTHISTKVKGTVGYLDPEYMRTYQLTPKSDVYSFGILLLEILTGRRPVEPRKPADERVTLRWVFKKYNEGNVVDMVDRLMEEKVDTEVLYSMFTLAIQCAAPIRSERPDMKVVVEHLWGIRAEYLKGVKKG >Potri.006G064200.3.v4.1 pep chromosome:Pop_tri_v4:6:4584084:4588815:-1 gene:Potri.006G064200.v4.1 transcript:Potri.006G064200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064200.v4.1 MATAALIIFLLMQFRIILASGFVIQSKVCGSDHIAYSNCHGHELFYINGELKEKESFCKALHSFDVNDCTFENYLGSSCSGLDLDLSLADSPLKPERSLLQKEVREESPSHDLSQDTPKEKTLSPPYKAGIGAAGVIVMCCTFLCPCLYKKKKATTHTVLEKDPKSTDSISPFNVNSASEKVPPSPLRVPPSPSRFSQSPKLSRLGSVHLNLQQVARATRNFSPSLQIGEGGFGTVYKAELDDGQVVAIKRAKKEHFANLRTEFSSEVELLAKIDHRNLVKLLGYVDKGNERLIITEYVPNGTLREHLDVQRGKILDFNQRLEISIDVAHGLTYLHLYAEKQIIHRDVKSSNILLTESMRAKVADFGFARMGPVDSDQTHISTKVKGTVGYLDPEYMRTYQLTPKSDVYSFGILLLEILTGRRPVEPRKPADERVTLRWVFKKYNEGNVVDMVDRLMEEKVDTEVLYSMFTLAIQCAAPIRSERPDMKVVVEHLWGIRAEYLKGVKKG >Potri.004G049200.1.v4.1 pep chromosome:Pop_tri_v4:4:3982710:3984319:1 gene:Potri.004G049200.v4.1 transcript:Potri.004G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G049200.v4.1 MKPSQEIAYYFMLSLLAAFVVILCLVLIFFCRKKPVESEESLPAARISAQWYPSTDIDAATDGFNHRRIIGTGRLGTVYAAVLPSDQKPVAVKRIHPSLVLSNACFGFSSMVKTLSMAQHPNVVPILGFSQAPGERIIVMEFVSAVSLNYYLHENSDGASSVLDWSWRLRIAAGAARGLEYLHGGMAPNIVHGCFKASNVLLDDKLCARVSDYGLSSLVANEKRGLVGYVDDEFWSNGRGEACKESDVYGFGVVLLELLTGRRAEEGLLVRWALPLIKEMRLSELLDLRLAKPSDTRAIIRLAKVASACVNNSRKSRPTMFQVATILSNLEIEGCV >Potri.011G026600.1.v4.1 pep chromosome:Pop_tri_v4:11:1943798:1944876:-1 gene:Potri.011G026600.v4.1 transcript:Potri.011G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026600.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGI >Potri.013G123000.1.v4.1 pep chromosome:Pop_tri_v4:13:13185498:13189719:1 gene:Potri.013G123000.v4.1 transcript:Potri.013G123000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123000.v4.1 MILPVVKLGTLALKTFCKPIANRLKKEAGLHPKFRHFIINMAQANHRFTTKMQRRIYGHSVDAVIRPLDEEKAVQAAADLTGELFVFSVAGAAVIFEVQRSSRSEARKEEKRRQEIEAMMQRDEELAKEIQVLKQKLGEVEQLAKRRGPVGFSHFKDGHATEDGNGKPT >Potri.013G123000.2.v4.1 pep chromosome:Pop_tri_v4:13:13185652:13189662:1 gene:Potri.013G123000.v4.1 transcript:Potri.013G123000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123000.v4.1 MILPVVKLGTLALKTFCKPIANRLKKEAGLHPKFRHFIINMAQANHRFTTKMQRRIYGHSVDAVIRPLDEEKAVQAAADLTGELFVFSVAGAAVIFEVQRSSRSEARKEEKRRQEIEAMMQRDEELAKEIQVLKQKLGEVEQLAKRRGPVGFSHFKDGHATEDGNGKPT >Potri.005G092800.6.v4.1 pep chromosome:Pop_tri_v4:5:6479178:6483498:-1 gene:Potri.005G092800.v4.1 transcript:Potri.005G092800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092800.v4.1 MNSLVTDLQMFALKSLQIPLPGRHQPSSFLSNGSDVPGQNSYEWTPPRGLQSDLFDSFQSLKPQSSRCKVSPAMSSLQGYYGLKPKDQKKIPEGFEMAVYRNGHSHHPEGGPYLKPSPASHPPLSLHRKTKSLANGFLDEKNGLVDKLYLDEVKEGESEKLVRRRQKSEADFTSIYTDLLIREESTGPAFSTITGKGLALRPKAGNRTTTDVDAGGLTSAQTGPGDLADGFLVVRKSSSATSLNDHDSISSSMWPTSKWNLKPDLQALSAAAITRPIFDGLPKPVTGRKNKTALD >Potri.005G092800.7.v4.1 pep chromosome:Pop_tri_v4:5:6479353:6482375:-1 gene:Potri.005G092800.v4.1 transcript:Potri.005G092800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092800.v4.1 MTLELFCRQNSYEWTPPRGLQSDLFDSFQSLKPQSSRCKVSPAMSSLQGYYGLKPKDQKKIPEGFEMAVYRNGHSHHPEGGPYLKPSPASHPPLSLHRKTKSLANGFLDEKNGLVDKLYLDEVKEGESEKLVRRRQKSEADFTSIYTDLLIREESTGPAFSTITGKGLALRPKAGNRTTTDVDAGGLTSAQTGPGDLADGFLVVRKSSSATSLNDHDSISSSMWPTSKWNLKPDLQALSAAAITRPIFDGLPKPVTGRKNKTALD >Potri.005G092800.1.v4.1 pep chromosome:Pop_tri_v4:5:6479103:6483470:-1 gene:Potri.005G092800.v4.1 transcript:Potri.005G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092800.v4.1 MMKMRMESNGGSHRLHHHINQKQNLKYGQFSNHEDEIDHQVFDLFGNCNSRSNGKGKNRFTMPSPSSTTTATTMLSASTSSNSGVGFIEHPVSKLDTLAGVAIKYGVEVADIKKMNSLVTDLQMFALKSLQIPLPGRHQPSSFLSNGSDVPGQNSYEWTPPRGLQSDLFDSFQSLKPQSSRCKVSPAMSSLQGYYGLKPKDQKKIPEGFEMAVYRNGHSHHPEGGPYLKPSPASHPPLSLHRKTKSLANGFLDEKNGLVDKLYLDEVKEGESEKLVRRRQKSEADFTSIYTDLLIREESTGPAFSTITGKGLALRPKAGNRTTTDVDAGGLTSAQTGPGDLADGFLVVRKSSSATSLNDHDSISSSMWPTSKWNLKPDLQALSAAAITRPIFDGLPKPVTGRKNKTALD >Potri.008G132775.1.v4.1 pep chromosome:Pop_tri_v4:8:8789060:8791036:1 gene:Potri.008G132775.v4.1 transcript:Potri.008G132775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132775.v4.1 MYPSKQDTAHEMNQKPSPPLPPPVTGYPVGPFINQHHNEIHSHPIQHQQPQPGRWSSGLCDCFSDIPNCCITCWCPCITFGRIAEIVDKGTTPCAVSGAIYGVLLWFTGCPCIYSCIYRTKMRKQLMLEDRPCNDCLVHFCCDACALCQEYRELKHRGFDMTMGWQENVERQNGRVTIIASAPPVEQGMKR >Potri.010G030800.4.v4.1 pep chromosome:Pop_tri_v4:10:4592279:4597217:-1 gene:Potri.010G030800.v4.1 transcript:Potri.010G030800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030800.v4.1 MAAFTWGSLLRITFFLLLIAAVVFGFFTLPVEKILKDFLLWVEQDLGPWGPLVLAVAYIPLTVLAVPAAVLTLGGGYLFGLPLGFVADSIGATIGAGAAFLLGRTIGRSFVVSKLKDYPKFRSVAIAIQKSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPIGEYMLASWIGMMPITLAFVYIGTTLKDLSDVTHGWSEFSTTRWVFIILGLLVSVVLIFCVTKVAKSALDKALAENEDLDFILASSQLTIVADIPVNLNQPLIIKIDPSEDKHEK >Potri.010G162300.1.v4.1 pep chromosome:Pop_tri_v4:10:16743125:16746848:1 gene:Potri.010G162300.v4.1 transcript:Potri.010G162300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162300.v4.1 MECKLLNVAFASISSLKTPSSLPPHSYLAHHQPNTLKFLQSKSGANGHHPLYASSSFRTRYAKERVDSSQTLTLDAIRKSLILQEDSIIFNLLLRAQYAYNANTYNDGALCIGSFHGSLVKFIVKETERLHAQAGRYRSPDEHPFFPENLPHSMLLPSQYPKVLHPCADSININKKVWNMYFTDLLPKLAKAGDDDNCGSAAVCDTVCLQALSRRIHYGKFVAEAKFQESTAEYEAAIKVQDRARLMELLTYETVEAAVKKRVEMKTRKYGQEGRITQQEDAADPIYKVEPHLVAQLYEDWIMPLTKEVEVEYLLRRLD >Potri.003G123750.1.v4.1 pep chromosome:Pop_tri_v4:3:14402934:14403561:1 gene:Potri.003G123750.v4.1 transcript:Potri.003G123750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123750.v4.1 MTGSIIGVYNGKTFNEVEIKPEMITHYLAELSISHMEDLVLVRHSLPISHMEAI >Potri.011G003500.5.v4.1 pep chromosome:Pop_tri_v4:11:256921:264688:1 gene:Potri.011G003500.v4.1 transcript:Potri.011G003500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003500.v4.1 MAEIYVEGSDLKANENEEEDEEEDMDFNPFLKGTPSPEASSSLSSEVEGLEEGVKEVRSGEVRNYDVGDVTHEEEVVMASGVEVGSGKEGESGEDRRGKRRKLGFGSNVEDGNEREKESGVSKVVLDVDDDEDAICKRTRARYSLASFTLDELEMFLQESDDEDDLPNVDDEVEYRKFLAAVLLGGDGDGQANEENENVDDDDEDNDADFEIELEELLDSDVDNGARDEGQRVEYERGGRRPETRQKKRQKASAQYKKKLLEQSKRPLRPLLPVLPNGFAPPFSAVNEKALAPKPAPSYASSAEDSGKINGFTPQQINQLHCLIHEHIQLLIQVFSLCILDSSRQHLSSQVQGLIFEMLHKRDNVIACKRVPYPGNCFCPPYMCSSVADELPNIRPGQCTYESPPVLNLQMSVSQNTPVPQRRDEHACNEQTSSSQIAGSSWSPYINGPIVSILDVAPLNLVGRYMDDVYNAVREYRQRFLNSSSETWNEKEPLFYLPHSPLLGEANEVMRGNVPLAANRVTSSTGQQPPKKTLAASIVESTKKQSVALVPKDISKLAQRFFPLFNPVLFPHKPPPAAVANRVLFTDSEDELLALGIMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTTEETERIQEGLRVYKLDWLSVWKFVVPHRDPSLLPRQLRIALGTQKSYKQDAAKKEKRRISEARKRSRTTELSNWKPASDKEDNQADRTGKGNSSGDDCVDNVNEAYVHQAFLSDWRPGSSGLISSDTISREDQNTREHPNNCRPGEPQLWIDNMNGLPYGSSSHHYPLAHAKPSPNTMLPNYQISNMSVSISKPQIHLRPYRSRKTDGVHLVRLAPDLPPVNLPRSVRVISQSAFERNQCGSSIKVSTSGIRTGDAGKNNIAAQLPHIGNLRTPSSVDSRRDKTNQAADHVTDSHPEQSAIVHNVCTAEERGTDSDLQMHPLLFQAPEGGCLPYLPLSCSSGTSSSFSFFSGNQPQLNLSLFHNPLQANHVVDGFNKSSKSKDSTSASCSIDFHPLLQRTDEENNNLVMACSNPNQFVCLSGESAQFQNHFGAVQNKSFVNNIPIAVDPKHSSSNEKANDLDLDIHLSSNSAKEVSERSRDVGANNQPRSTTSEPKSGRRMETCKINSPRDQHNEHPTVHSNLVSGADASPVQSNNVSTCNMDVVGDQSHPEIVMEQEELSDSDEEIEENVDFECEEMADSDGEEGAGCEPVAEVQDKDAQSFAMEEVTNAEDYGDQQWKLRSPVHSRGKPSILRKGSPLLNLSLTSLGKETTSSSWLSLDSRAAVDSPRMKTLHEKGAINDSPAAKNLSPCRPNRLCKKTTPITKVETQKNVSDMAQQLSLGPLAVSTLRKPRKRMCRTNTNLGTRTVAENGGTNTKLGTGTATENGGLDQDKFG >Potri.011G003500.3.v4.1 pep chromosome:Pop_tri_v4:11:256868:264693:1 gene:Potri.011G003500.v4.1 transcript:Potri.011G003500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003500.v4.1 MAEIYVEGSDLKANENEEEDEEEDMDFNPFLKGTPSPEASSSLSSEVEGLEEGVKEVRSGEVRNYDVGDVTHEEEVVMASGVEVGSGKEGESGEDRRGKRRKLGFGSNVEDGNEREKESGVSKVVLDVDDDEDAICKRTRARYSLASFTLDELEMFLQESDDEDDLPNVDDEVEYRKFLAAVLLGGDGDGQANEENENVDDDDEDNDADFEIELEELLDSDVDNGARDEGQRVEYERGGRRPETRQKKRQKASAQYKKKLLEQSKRPLRPLLPVLPNGFAPPFSAVNEKALAPKPAPSYASSAEDSGKINGFTPQQINQLHCLIHEHIQLLIQVFSLCILDSSRQHLSSQVQGLIFEMLHKRDNVIACKRVPYPGNCFCPPYMCSSVADELPNIRPGQCTYESPPVLNLQMSVSQNTPVPQRRDEHACNEQTSSSQIAGSSWSPYINGPIVSILDVAPLNLVGRYMDDVYNAVREYRQRFLNSSSETWNEKEPLFYLPHSPLLGEANEVMRGNVPLAANRVTSSTGQQPPKKTLAASIVESTKKQSVALVPKDISKLAQRFFPLFNPVLFPHKPPPAAVANRVLFTDSEDELLALGIMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTTEETERIQEGLRVYKLDWLSVWKFVVPHRDPSLLPRQLRIALGTQKSYKQDAAKKEKRRISEARKRSRTTELSNWKPASDKEDNQADRTGKGNSSGDDCVDNVNEAYVHQAFLSDWRPGSSGLISSDTISREDQNTREHPNNCRPGEPQLWIDNMNGLPYGSSSHHYPLAHAKPSPNTMLPNYQISNMSVSISKPQIHLRPYRSRKTDGVHLVRLAPDLPPVNLPRSVRVISQSAFERNQCGSSIKVSTSGIRTGDAGKNNIAAQLPHIGNLRTPSSVDSRRDKTNQAADHVTDSHPEQSAIVHNVCTAEERGTDSDLQMHPLLFQAPEGGCLPYLPLSCSSGTSSSFSFFSGNQPQLNLSLFHNPLQANHVVDGFNKSSKSKDSTSASCSIDFHPLLQRTDEENNNLVMACSNPNQFVCLSGESAQFQNHFGAVQNKSFVNNIPIAVDPKHSSSNEKANDLDLDIHLSSNSAKEVSERSRDVGANNQPRSTTSEPKSGRRMETCKINSPRDQHNEHPTVHSNLVSGADASPVQSNNVSTCNMDVVGDQSHPEIVMEQEELSDSDEEIEENVDFECEEMADSDGEEGAGCEPVAEVQDKDAQSFAMEEVTNAEDYGDQQWKLRSPVHSRGKPSILRKGSPLLNLSLTSLGKETTSSSWLSLDSRAAVDSPRMKTLHEKGAINDSPAAKNLSPCRPNRLCKKTTPITKVETQKNVSDMAQQLSLGPLAVSTLRKPRKRMCRTNTNLGTRTVAENGGTNTKLGTGTATENGGLDQDKFG >Potri.011G003500.2.v4.1 pep chromosome:Pop_tri_v4:11:257572:264682:1 gene:Potri.011G003500.v4.1 transcript:Potri.011G003500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003500.v4.1 MSVSQNTPVPQRRDEHACNEQTSSSQIAGSSWSPYINGPIVSILDVAPLNLVGRYMDDVYNAVREYRQRFLNSSSETWNEKEPLFYLPHSPLLGEANEVMRGNVPLAANRVTSSTGQQPPKKTLAASIVESTKKQSVALVPKDISKLAQRFFPLFNPVLFPHKPPPAAVANRVLFTDSEDELLALGIMEYNTDWKAIQQRFLPCKSKHQIFVRQKNRCSSKAPENPIKAVRRMKTSPLTTEETERIQEGLRVYKLDWLSVWKFVVPHRDPSLLPRQLRIALGTQKSYKQDAAKKEKRRISEARKRSRTTELSNWKPASDKEDNQADRTGKGNSSGDDCVDNVNEAYVHQAFLSDWRPGSSGLISSDTISREDQNTREHPNNCRPGEPQLWIDNMNGLPYGSSSHHYPLAHAKPSPNTMLPNYQISNMSVSISKPQIHLRPYRSRKTDGVHLVRLAPDLPPVNLPRSVRVISQSAFERNQCGSSIKVSTSGIRTGDAGKNNIAAQLPHIGNLRTPSSVDSRRDKTNQAADHVTDSHPEQSAIVHNVCTAEERGTDSDLQMHPLLFQAPEGGCLPYLPLSCSSGTSSSFSFFSGNQPQLNLSLFHNPLQANHVVDGFNKSSKSKDSTSASCSIDFHPLLQRTDEENNNLVMACSNPNQFVCLSGESAQFQNHFGAVQNKSFVNNIPIAVDPKHSSSNEKANDLDLDIHLSSNSAKEVSERSRDVGANNQPRSTTSEPKSGRRMETCKINSPRDQHNEHPTVHSNLVSGADASPVQSNNVSTCNMDVVGDQSHPEIVMEQEELSDSDEEIEENVDFECEEMADSDGEEGAGCEPVAEVQDKDAQSFAMEEVTNAEDYGDQQWKLRSPVHSRGKPSILRKGSPLLNLSLTSLGKETTSSSWLSLDSRAAVDSPRMKTLHEKGAINDSPAAKNLSPCRPNRLCKKTTPITKVETQKNVSDMAQQLSLGPLAVSTLRKPRKRMCRTNTNLGTRTVAENGGTNTKLGTGTATENGGLDQDKFG >Potri.006G244900.2.v4.1 pep chromosome:Pop_tri_v4:6:24548599:24577731:1 gene:Potri.006G244900.v4.1 transcript:Potri.006G244900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244900.v4.1 MDKETDLLSRLAANHLHLAQFEPLRAILTSLRTKNPDLALAILQTIVSNSGRFDNILWSQSCPNPSLLTFLSTLELLQLNNPTSHTWRFDSVTLRLRVEFLLLIQLLIDRVTESLRKNVDLDRFEKEEGEIGVGESSGGRGAEEEEEEEEGFLDNFEGLKDGSGELEVLDKVLEFGVKRLKGDVDLDGNEVGGSGRGEGSSSGGVVAIEEGEMMCLRKVILDNADVFDALCWNVESQMKGMKVENENSGMEITVRGEESEKVEEEGVELFDLIRKCVQLAHVDAMKECSKEGDEGVFSHIRFLHLDRGLEESEYRIVLQDLLVRVLSTRKGYGTSWHDMQEKLLRIYEEALSSNCRHLVEMIQVIQDDLLRQEIEMSRAHADKQIPLPLEHFQGYLMEMKLDEDSNDPSFSLSRAVSICMREMYHYARVSEVHILECFMDTALSAVKREQLQEASYFLKLFPRLRPLVAAMGWDLLAGKTTARRKLMQLLWTSRKSQILRLEESATYGNQLDEMSCVEHLCDSLCYQLDLASFVSCVNSGQSWNSKSSLLLSGNQQIISASEDNHSERFVENFVLERLSVQSPLRVLFDVVPTMKFQDAIELISMQPICSDIAAWKRMQDIELMHMRYALESTVLALGVMERCTTDERQSHHQVALCHLKDLRNHLEAITNIPRKILMVNVIISLLHMDDISLNLTHCASPGSNSESSSTCAWDHTDVTFCEGGKEMVISFTGLLLDILHRNLPPGLIEEHTPNDGMSIDGRQALEWRISIARDFIEDWQWRLSVLQRLLPLSECQWGWKEALTVLRAAPSKLLNLCMQRAKYDIGEEAVHRFSLSAEDRATLELAEWVDGAVRRASESRLVEDAVSRAVDGTSAVQDLDFSSLRSQLGSLAAILLCIDVAATSARSARMSQQLLDQAQVMLSEIYPGASPKIGSTYWDQILEVGIISVSRRVLKRLHEFLEQGDGPGLQAFLAGEIIISSSKELLRQGQRERTLAILHQMIEDAHRGKRQFLSGKLHNLARAIADEETEVNIVKGDNPYAERKLLSHFDKEGVLGLGLKVAKQTPKSSAGGETSMQPVGYDIKDTGKRLFGPLSAKPTTYLSQFILHIAAIGDIVDGTDTTHDFNFFSLVYEWPKDLLTRLVFDRGSTDAAGKVADIMCADFVHEVISACVPPVYPPRSGHAWACIPVAATFHKSYAENKVLSPACKEAKPNCYRSFSATPGIPLYPLQLDIVKHLVKISPVRAVLACVFGRSILYSGSDSSMSGSMDDGSLQEPDNDRLFYEFALDQSERFPTLNRWIQMQTNLHRVSEFAVTSGRKADAGEVKADTRVAIKRFRERDSDTESEVDDTFGSSTISTTLPDLGSQGGSAPEPQEDSSKSDAFELDTTAFLSLDWENEEPYEKAVERLIGEGKLMDALALSDRFLRDGASNQLLQLLIERREEDHPFSGPQGYGGHRIWSNSWQYCLRLKDKQLAARLALKYMHRWELDAALDVLTMCSCHLPESDPVRNEVLQRRKALQRYNHILTADDHYSSWQEVEEECKEDPEGLALRLAGKGAVSAALEVAESAGLSTDLRRELKGRQLVKLLTADPLNGGGPAEASRFLSSLRDSDDALPVAMGAMQLLPNLRSKQLLVHFFLKRRDGNLSDVEVARLNSWALGLRVLAALPLPWQQRCSSLHEHPHLILEVLLMRKQLQSASLILKEFPSLRDNIVVVSYAAKAIAVIINSPAREPRISVSGTRPKPKTRAGVPTRSSFTSSLNNLQKEARRAFSWAPRNNGDKNATKDSYRKRKSSGLPPTERVAWEAMTGIQEDHASSYSADGQERLPPVSIAEEWMLTGDVIKDEAVRTSHRYESAPDIILFKALLSLCSDELMAAKSALDLCMNQMKNVLSARQLSENASTETIGRAYHATETFVQGLLYTKSLLRKLVGGSDLSSNSERSRDADDASSDAGNSSVGSQSTDEPSEILSQADIWLGRAELLQSLLGSGIAASLEDIADKESSARLRDRLIVDEQYSMAVYTCRKCKIDVFPVWNAWGHALIRMEHYAQARVKFKQALQLHKGDPTAIIQEIINTIEGGPPVDVSAVRSMYEHLARSAPTILDDSLSADSYLNVLNMPSTFPRSERSRRYQESANNNSAYSSEFEDGPRSNLDSVRYVECVNYLQEYARQHLLGFMFRHGHYTDACMLFFPQNAVPPPPQPSAMGVATSSSSPQRLDPLATDYGNIDDLCDLCIGYSAMNVLEEVISTRIASAKQQDVNQHTAAVLARICTYCETHRHFNYLYQFQVIKKDHVAAGLCCIQLFMNSFSQEEAVKHLENAKMHFDEGLSARYKGGDSTKLVTKGVRGKSASEKLTEEGLVKFSARVSIQVEVVKSSNDSDGPQWKHSLFGNPNDPETFRRRCEIAETLVEKNFDLAFQIIYEFNLPAVDIYAGVAASLAERKRGSQLTEFFRNIKGTIDDDDWDQVLGAAINIYANKHKERPDRLIGMLTSSHRKVLACVVCGRLKSAFQIASRSGSVADVQYVAHQALHANALPVLDMCKQWLAQYM >Potri.019G126000.3.v4.1 pep chromosome:Pop_tri_v4:19:14953691:14959479:-1 gene:Potri.019G126000.v4.1 transcript:Potri.019G126000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126000.v4.1 MVLIFTIVFKKLVAFGLESSFSVVRDSCALLVAGSTNFAVENESSINKTETAQFALKVLGGSFFCLKTVSNEIELVSGILTLVFIIGWENSLDTLEEDVLNDDSKEKIKGRLRFGESLNGFCSKMNDEFWKSLGIDNRKRLGSNLVRFIRSVIFKEDKLGADKITTLCFSWVLEVLECLCHDHDEEQNLLDQLLSKNDTWPVWIIPDFSAPKGLVNLNAGAVSVDIYATGNLKFVSLVDKLILKIGINRVITGYVENTLSTPLKEAAKEEITSRAWLAAEILCTWKWPGGSAVASFLPLLSAGCRSGNYPFQESLLDSIFNILLDGALVHGESGTQSSFNLWPAFGDELEKVEEPFLRALLSLLVNLFKENIWEGDKAIRLFDLLIHKLFIGEAVNQNCLKILPVIVSVLVHPLCQRSIESEESNGDSQVASLGEKRMQDTVKDWLRRLLSYPPLVTWQAGQDMEEWFQLVIACYPLSAMDDTKSLKLVREISPEERMLILDLFRKQRHGVSALVASNQLPLFRMLLSKLMVLSVGYCWTEFTEEDWEFFFSNLRSWIQSAVVIMEEVTENVNDLITNSSTSENLDVFKNLEKIVLIPDSYPITVAINALASFSLFCAILELQQPAEDNPLRAERWDSTRDRILEGILRLFFCTGIAESIASSYSVEAASIVAATRFNNPYFWELVASNVVKSSQHARDRAVKSVEFWGLIKGPISSLYAILFSSTPFPPLQFATYVILSTAPISQLAILEEDTACSLDGETSGDRNSGALEMSSERNIRLKEELSLMIEKLPDEVFEVDLISQERVNVFLAWSLLLSHLWSLSSSSSAKEQLVQYVQDSANSLILDCLFQHIPLELCLAHNLKKKDMELPVDISEAASAVKTAITTGSLLFSIETLWPIEPKKMTSLAGALFGLMLCILPAYVRGWFTDLRDRTASSLIESFTRTWCSPPLIVNELSQIKKANFADENFSVSVSKSANEVVATYMKDETGMDLVIRLPPSYPLRPVDVECMRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIQTWKSNFDKEFEGVEECPICYSVIHTTNHSLPRLACRTCKHKFHSACLYKWFSTSHKSSCPLCQSPF >Potri.019G126000.2.v4.1 pep chromosome:Pop_tri_v4:19:14953673:14965343:-1 gene:Potri.019G126000.v4.1 transcript:Potri.019G126000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126000.v4.1 MGRQKGEAAANRSKSRASSSSLAASLVPSGPAAVTVGFGGYIGSSRFDTDDTTAFLDIDGEVAQHVKRLGRKDPTTKLKALQTLSALFKEKSGKEIVLIIPQWGYEYKKLLLDYNREVRRATNETMTNLVTAVGRDLAPYLKSLMGPWWFSQFDTVPEVSLAAKRSLEAAFPAQEKRLDALILCTSEIFMYLEENLNHTPQSMSSDKVTALDELEEMYQQVISSSLLALATLLDVLVCMQSERPGFENISSEPKHASKARETAISFGEKLFSTQNYFLDFLKSKTPAIRSATYSALKSFIKNIPDAFNEGNMKTLAAAILGAFQEKDPTCHSSMWDAILLFSKRFPDSWTSFNVQKTAINRLWHFLRNGCFGSQQVSYPALVILLDILPPKAISGEKFFIDFFQNLWDGRNPSNATNPDRLAFFRALKECFLWGLCNASRICDDSDSTHHFQVSLVDNILVKLLWQEYLFSVRLKNQDGVTSGAPGNSLEHGNLPFHHKSVEPLKIKYSRSYFQELGKCIVEILSGVYLLEHDLLSTFSVVFKENCLRMFQPMGNTESTTENVEQVIKFLSLLEKHSVRKGESWPLVYVVGPMLAKSFPLIRSHDTPDGVRLLSVAVSLFGPQKIVQELCISNEANSSYYVPAHKDKELGPELFMQVFEGTFVPWCLLEYNSSPNARLDLLLALLNDEYFSEQWQMILSYAINQEKSESEPGPQEVHYLDLLAMLLEKARTEIARRKMNNDFIHQFWFTPDKWQHELLESAAVAVACSPSPHMTSSARFLCAVLGGSSKDNCISFASKNAMVLIFTIVFKKLVAFGLESSFSVVRDSCALLVAGSTNFAVENESSINKTETAQFALKVLGGSFFCLKTVSNEIELVSGILTLVFIIGWENSLDTLEEDVLNDDSKEKIKGRLRFGESLNGFCSKMNDEFWKSLGIDNRKRLGSNLVRFIRSVIFKEDKLGADKITTLCFSWVLEVLECLCHDHDEEQNLLDQLLSKNDTWPVWIIPDFSAPKGLVNLNAGAVSVDIYATGNLKFVSLVDKLILKIGINRVITGYVENTLSTPLKEAAKEEITSRAWLAAEILCTWKWPGGSAVASFLPLLSAGCRSGNYPFQESLLDSIFNILLDGALVHGESGTQSSFNLWPAFGDELEKVEEPFLRALLSLLVNLFKENIWEGDKAIRLFDLLIHKLFIGEAVNQNCLKILPVIVSVLVHPLCQRSIESEESNGDSQVASLGEKRMQDTVKDWLRRLLSYPPLVTWQAGQDMEEWFQLVIACYPLSAMDDTKSLKLVREISPEERMLILDLFRKQRHGVSALVASNQLPLFRMLLSKLMVLSVGYCWTEFTEEDWEFFFSNLRSWIQSAVVIMEEVTENVNDLITNSSTSENLDVFKNLEKIVLIPDSYPITVAINALASFSLFCAILELQQPAEDNPLRAERWDSTRDRILEGILRLFFCTGIAESIASSYSVEAASIVAATRFNNPYFWELVASNVVKSSQHARDRAVKSVEFWGLIKGPISSLYAILFSSTPFPPLQFATYVILSTAPISQLAILEEDTACSLDGETSGDRNSGALEMSSERNIRLKEELSLMIEKLPDEVFEVDLISQERVNVFLAWSLLLSHLWSLSSSSSAKEQLVQYVQDSANSLILDCLFQHIPLELCLAHNLKKKDMELPVDISEAASAVKTAITTGSLLFSIETLWPIEPKKMTSLAGALFGLMLCILPAYVRGWFTDLRDRTASSLIESFTRTWCSPPLIVNELSQIKKANFADENFSVSVSKSANEVVATYMKDETGMDLVIRLPPSYPLRPVDVECMRSLGISEVKQRKWLMSMMLFVRNQNGALAEAIQTWKSNFDKEFEGVEECPICYSVIHTTNHSLPRLACRTCKHKFHSACLYKWFSTSHKSSCPLCQSPF >Potri.001G426850.1.v4.1 pep chromosome:Pop_tri_v4:1:45089313:45089943:1 gene:Potri.001G426850.v4.1 transcript:Potri.001G426850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426850.v4.1 MSQSMISPAILIEERIELVRLIKIKLSLFSLCLAVDLFGGFHSVVSYNL >Potri.003G086700.1.v4.1 pep chromosome:Pop_tri_v4:3:11337693:11341741:-1 gene:Potri.003G086700.v4.1 transcript:Potri.003G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086700.v4.1 MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTDLVEKRQVSIEEGEAKARELNVMFIETSAKAGFNIKPLFRKIAAALPGMEALSSTKQEDMVDVNLKSTGGSASQNQAQSGGCAC >Potri.018G089150.1.v4.1 pep chromosome:Pop_tri_v4:18:10822748:10824503:1 gene:Potri.018G089150.v4.1 transcript:Potri.018G089150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089150.v4.1 MSLAASQDFTRETVAPHCASCERRRKEEPHHHLRAGIVSLRHRVEMRECGGWSSVLAYITDCFARRKEEEEKRGRQGEFKYREKRGEAEKDRKQRASIRLSLGNQLERERIISVYPTLPSRR >Potri.003G078000.1.v4.1 pep chromosome:Pop_tri_v4:3:10546077:10555063:-1 gene:Potri.003G078000.v4.1 transcript:Potri.003G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078000.v4.1 MNLTQRRVSSRIPQVFGFGRSFKSSLDPSSITHGIHVFQCPDAVGIVAKLSECIASRGGNILGADIFVPQNKNVFYSRSEFIFDPVKWPRVQMDEDFLRISKMFDATRSVVRVPKLDPKFKIAVIASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRGPDTHVIRFLERNGIPYHYLHTTKENKREEEILDLVQNTDFLVLARYMQILSGKFLQSYGKDIINIHHGLLPSFKGGNPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVRKSENLEKQCIAKAIKSYCELRVLPYEENKTVVF >Potri.001G087701.1.v4.1 pep chromosome:Pop_tri_v4:1:6983222:6984234:1 gene:Potri.001G087701.v4.1 transcript:Potri.001G087701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087701.v4.1 MPSHTKIILLLLLFFFIDLSVARVLGVQEGSILPSPLSEVIAEMEMRKLTEVEAMVDYQKDPEPNPKHKPGGNGP >Potri.007G083500.1.v4.1 pep chromosome:Pop_tri_v4:7:10835209:10837019:-1 gene:Potri.007G083500.v4.1 transcript:Potri.007G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G083500.v4.1 MAWILTTLALIALAFFLRAWLSKRKIKDSKLPPGPIGFPIFGSLHLLGKLPHHDLHQLAKKYGPIMYMRLGLVPTVVVSSPRAAELILKTNDLVFASRPRNEAAKHISYEQKNLSFAPYGSYWRNVRKMCTLELLSNHKINSFMSTRKEELDLLIDYIKDASRERVAVDLGAKVSSLSADISCRMVFGKKYLEKEFDEKGFKPVTHELMRLAASFNLGDYIPPIAPLDLQGLTKRMKAVGKVFDDFFEKIIDEHIQFKDENRTKDFVDVMLDFLGSEETEYRIGRDNIKAIILDMLVGSMDTSATAIEWTLSELIRHPRVMKKVQKELEEKIGMDRMVEESDLEGLEYLHMVIKEAFRLHPVAPLLGPHESMEDCTIDGFLIPQKTRVIVNVWAIGRDQSAWTDANRFIPERFAGSNIDVRGRDFQLLPFGAGRRGCPGMHLGQTMVRQIVAQLVHCFDWELPNNMLPEELDMTEAFGLVTPRANHLCATPTYRLHL >Potri.001G462200.1.v4.1 pep chromosome:Pop_tri_v4:1:48807539:48809227:1 gene:Potri.001G462200.v4.1 transcript:Potri.001G462200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462200.v4.1 MLRSKMFALLSVFLLSISWEATSDLDHENFLHCLLNHSQPSYPISTAIYTPNNESYSSVLQSYIRNLRFNMSTTPKPLLILTALHESHVQAAISCAREHNLQMKIRSGGHDYEGVSYVSDVPFFVLDMFNLRSIDVDVASETAWIQVGATLGEVYYRVSEKSKAHGFPAGVCPTVGVGGHFGGGGYGNMMRKYGLSVDNIIDAKMVDVNGRLLDRKSMGEDLFWAITGGGGSSFGVVLAYKINIVRVPEVVTVFRVRRTVDQNATDIVEQWQQVAYNIDDDLFIRLTMDVVNSTTRIGEKTVRTTFRALFLGDSERLLSITNASFPKLGLLRSDCIEMSWLESVLFWTDFPLGTPTDALLSRTPQSLVHLKRKSDYVQKPIPRDGLEGIWKKMIELQVPQLTFNPYGGKMWEIPATERPFPHRAGNLWKIQYATNWNEGGQEEANYYIDLTRQLYSYMTPFVSKNPRQAFLNYRDLDLGINHNGKESYLEGRVYGIKYFQVNFNRLVKIKTKVDPGNFFRNEQSIPTFPYRKK >Potri.019G125400.3.v4.1 pep chromosome:Pop_tri_v4:19:14933724:14937326:-1 gene:Potri.019G125400.v4.1 transcript:Potri.019G125400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125400.v4.1 MALVDAMIETLTEELFTALMNQAQYALDFKSQFERTKTQLELVKAFLNDTETLKTKKEVLKLTMIQIRELIYEADDLLTDCRIRDEYQKDGRCSNFSASKLLFLYRTGKKLKDINLRIEKMDVIIV >Potri.019G125400.2.v4.1 pep chromosome:Pop_tri_v4:19:14931263:14937326:-1 gene:Potri.019G125400.v4.1 transcript:Potri.019G125400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125400.v4.1 MALVDAMIETLTEELFTALMNQAQYALDFKSQFERTKTQLELVKAFLNDTETLKTKKEVLKLTMIQIRELIYEADDLLTDCRIRDEYQKDGRCSNFSASKLLFLYRTGKKLKDINLRIEKMDVIIV >Potri.019G125400.4.v4.1 pep chromosome:Pop_tri_v4:19:14931262:14935573:-1 gene:Potri.019G125400.v4.1 transcript:Potri.019G125400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125400.v4.1 MALVDAMIETLTEELFTALMNQAQYALDFKSQFERTKTQLELVKAFLNDTETLKTKKEVLKLTMIQIRELIYEADDLLTDCRIRDEYQKDGRCSNFSASKLLFLYRTGKKLKDINLRIEKMDVIIV >Potri.013G018600.1.v4.1 pep chromosome:Pop_tri_v4:13:1167257:1168442:-1 gene:Potri.013G018600.v4.1 transcript:Potri.013G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018600.v4.1 MECRPLEITVASGKDLKDVNVFGKMDLYCVVSIKGDPHKSKQKQKTHVHKDCGPNPLWNFPMKFNIDEAAAQQNRLQIKFKLLAERMMGDKEVGVVSVPVKELLDSKDGKGGLMSYAVKTPSGKMKGTLSFSFNFGEKVSAPAPEKAKKTGEHVAAAASPAKGYHEPVTAYPATGYQGAPGSSSAYPAPPPQAAPYPYPYPYQYPPPPQHGYGGYPPAPGHGYPGYPPQPGYGGYPPVMQQQKPKKSGKGNMALGLGAGLLGGLLVGDMISDVGEMAAYDDGFSDGLDF >Potri.008G135300.2.v4.1 pep chromosome:Pop_tri_v4:8:9003675:9004220:-1 gene:Potri.008G135300.v4.1 transcript:Potri.008G135300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135300.v4.1 RHADEAWENTSRLRPVDPYQGAKVRFWVSFIQQQLFESMGKVILSDEEAQEKAVEEVQEKMKVLEEGIKEFSAEDIRTSDGKKLGFMDVLVSTTFSTYKAYEGVLGVKVLEPEKNPLIYTRVTNLHVLPVVQELLPPHDKLVAILQGIRQDALKS >Potri.010G112101.1.v4.1 pep chromosome:Pop_tri_v4:10:13204530:13205812:-1 gene:Potri.010G112101.v4.1 transcript:Potri.010G112101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112101.v4.1 MVSAFDICLGPLPQIVQKKLWSGCELAGFCCLCQDHEQQQGAFDTQKLNLLLPRTCVSIWGIDHRFFFRLLLCSQATANEKFPLPH >Potri.018G033300.8.v4.1 pep chromosome:Pop_tri_v4:18:2514598:2523570:1 gene:Potri.018G033300.v4.1 transcript:Potri.018G033300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033300.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQGQQPQPQPQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQMQHLILQRHAQQQQQQQQHQQQHQQQQQQQQHQQQQQQQQQQQHQQQQQHQQQQQQQQQHQQHQQQQRRDGAHLLNGTTNGLVGNDPLMRQNAATANAMATKMYEEKLKLPMERDSLADAAMKQRFGENVGHLLDRNHASILKSAAAATGQTSEQVLHGASGAMSPQVQARNQQLPGSTPDIKSEINPVLNPRAAGPEGSLIGIHGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQSLTSPAASDESRRLRMFINNPTMSLGKDGLTNSVGDVVPNVGSPLQTVGPLFSRGETDMRMKQHQHQHQQPQQQQQQSSNPQQQQQQQQQLQQHVLSNQQSQSSSHNLHPQDKMGGAASVNVDGSMSNSFRGNDQVSKNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHGGGSSKPFMFGADGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSPDDNDPRDVVPRMDVSKGFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKRAVLWYTDNLKLKATLEEHTSLITDVRFSPSMPRLATSSSDKTVRVWDADNPNFSLRTFTGHSSNVMSLDFHPTKDDLICSCDGVGEIRYWSITNGSCTRVFKGDMARMRFQPRAGKYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWTLGSGSEGECVHELSCNGNEFHSCVFHPTFPSLLVIGCYQSLELWNMSENKTMTLAAHDGQIAALAVSTVTGMLASASHDKFVKLWK >Potri.018G033300.6.v4.1 pep chromosome:Pop_tri_v4:18:2514591:2523417:1 gene:Potri.018G033300.v4.1 transcript:Potri.018G033300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033300.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQGQQPQPQPQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQMQHLILQRHAQQQQQQQQHQQQHQQQQQQQQHQQQQQQQQQQQHQQQQQHQQQQQQQQQHQQHQQQQRRDGAHLLNGTTNGLVGNDPLMRQNAATANAMATKMYEEKLKLPMERDSLADAAMKQRFGENVGHLLDRNHASILKSAAAATGQTSEQVLHGASGAMSPQVQARNQQLPGSTPDIKSEINPVLNPRAAGPEGSLIGIHGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQSLTSPAASDESRRLRMFINNPTMSLGKDGLTNSVGDVVPNVGSPLQTVGPLFSRGETDMRMKIKMALLQQHQHQHQQPQQQQQQSSNPQQQQQQQQQLQQHVLSNQQSQSSSHNLHPQDKMGGAASVNVDGSMSNSFRGNDQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHGGGSSKPFMFGADGTGTLTSPSNQLADMDRFVEDGSLEDNVDSFLSPDDNDPRDVVPRMDVSKGFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKRAVLWYTDNLKLKATLEEHTSLITDVRFSPSMPRLATSSSDKTVRVWDADNPNFSLRTFTGHSSNVMSLDFHPTKDDLICSCDGVGEIRYWSITNGSCTRVFKGDMARMRFQPRAGKYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWTLGSGSEGECVHELSCNGNEFHSCVFHPTFPSLLVIGCYQSLELWNMSENKTMTLAAHDGQIAALAVSTVTGMLASASHDKFVKLWK >Potri.018G033300.12.v4.1 pep chromosome:Pop_tri_v4:18:2514716:2523422:1 gene:Potri.018G033300.v4.1 transcript:Potri.018G033300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033300.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQGQQPQPQPQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQMQHLILQRHAQQQQQQQQHQQQHQQQQQQQQHQQQQQQQQQQQHQQQQQHQQQQQQQQQHQQHQQQQRRDGAHLLNGTTNGLVGNDPLMRQNAATANAMATKMYEEKLKLPMERDSLADAAMKQRFGENVGHLLDRNHASILKSAAAATGQTSEQVLHGASGAMSPQVQARNQQLPGSTPDIKSEINPVLNPRAAGPEGSLIGIHGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQSLTSPAASDESRRLRMFINNPTMSLGKDGLTNSVGDVVPNVGSPLQTVGPLFSRGETDMRMKIKMALLQQHQHQHQQPQQQQQQSSNPQQQQQQQQQLQQHVLSNQQSQSSSHNLHPQDKMGGAASVNVDGSMSNSFRGNDQVSKNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHGGGSSKPFMFGADGTGTLTSPSNQLADMDRFVEDGSLEDNVDSFLSPDDNDPRDVVPRMDVSKGFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKRAVLWYTDNLKLKATLEEHTSLITDVRFSPSMPRLATSSSDKTVRVWDADNPNFSLRTFTGHSSNVMSLDFHPTKDDLICSCDGVGEIRYWSITNGSCTRVFKGDMARMRFQPRAGKYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWTLGSGSEGECVHELSCNGNEFHSCVFHPTFPSLLVIGCYQSLELWNMSENKTMTLAAHDGQIAALAVSTVTGMLASASHDKFVKLWK >Potri.018G033300.13.v4.1 pep chromosome:Pop_tri_v4:18:2514536:2523519:1 gene:Potri.018G033300.v4.1 transcript:Potri.018G033300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033300.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQGQQPQPQPQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQMQHLILQRHAQQQQQQQQHQQQHQQQQQQQQHQQQQQQQQQQQHQQQQQHQQQQQQQQQHQQHQQQQRRDGAHLLNGTTNGLVGNDPLMRQNAATANAMATKMYEEKLKLPMERDSLADAAMKQRFGENVGHLLDRNHASILKSAAAATGQTSEQVLHGASGAMSPQVQARNQQLPGSTPDIKSEINPVLNPRAAGPEGSLIGIHGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQSLTSPAASDESRRLRMFINNPTMSLGKDGLTNSVGDVVPNVGSPLQTVGPLFSRGETDMRMKQHQHQHQQPQQQQQQSSNPQQQQQQQQQLQQHVLSNQQSQSSSHNLHPQDKMGGAASVNVDGSMSNSFRGNDQVSKNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHGGGSSKPFMFGADGTGTLTSPSNQLADMDRFVEDGSLEDNVDSFLSPDDNDPRDVVPRMDVSKGFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKRAVLWYTDNLKLKATLEEHTSLITDVRFSPSMPRLATSSSDKTVRVWDADNPNFSLRTFTGHSSNVMSLDFHPTKDDLICSCDGVGEIRYWSITNGSCTRVFKGDMARMRFQPRAGKYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWTLGSGSEGECVHELSCNGNEFHSCVFHPTFPSLLVIGCYQSLELWNMSENKTMTLAAHDGQIAALAVSTVTGMLASASHDKFVKLWK >Potri.018G033300.10.v4.1 pep chromosome:Pop_tri_v4:18:2514592:2523576:1 gene:Potri.018G033300.v4.1 transcript:Potri.018G033300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033300.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQGQQPQPQPQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQMQHLILQRHAQQQQQQQQHQQQHQQQQQQQQHQQQQQQQQQQQHQQQQQHQQQQQQQQQHQQHQQQQRRDGAHLLNGTTNGLVGNDPLMRQNAATANAMATKMYEEKLKLPMERDSLADAAMKQRFGENVGHLLDRNHASILKSAAAATGQTSEQVLHGASGAMSPQVQARNQQLPGSTPDIKSEINPVLNPRAAGPEGSLIGIHGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQSLTSPAASDESRRLRMFINNPTMSLGKDGLTNSVGDVVPNVGSPLQTVGPLFSRGETDMRMKIKMALLQQHQHQHQQPQQQQQQSSNPQQQQQQQQQLQQHVLSNQQSQSSSHNLHPQDKMGGAASVNVDGSMSNSFRGNDQVSKNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHGGGSSKPFMFGADGTGTLTSPSNQLADMDRFVEDGSLEDNVDSFLSPDDNDPRDVVPRMDVSKGFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKRAVLWYTDNLKLKATLEEHTSLITDVRFSPSMPRLATSSSDKTVRVWDADNPNFSLRTFTGHSSNVMSLDFHPTKDDLICSCDGVGEIRYWSITNGSCTRVFKGDMARMRFQPRAGKYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWTLGSGSEGECVHELSCNGNEFHSCVFHPTFPSLLVIGCYQSLELWNMSENKTMTLAAHDGQIAALAVSTVTGMLASASHDKFVKLWK >Potri.018G033300.9.v4.1 pep chromosome:Pop_tri_v4:18:2514598:2523547:1 gene:Potri.018G033300.v4.1 transcript:Potri.018G033300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033300.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQGQQPQPQPQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQMQHLILQRHAQQQQQQQQHQQQHQQQQQQQQHQQQQQQQQQQQHQQQQQHQQQQQQQQQHQQHQQQQRRDGAHLLNGTTNGLVGNDPLMRQNAATANAMATKMYEEKLKLPMERDSLADAAMKQRFGENVGHLLDRNHASILKSAAAATGQTSEQVLHGASGAMSPQVQARNQQLPGSTPDIKSEINPVLNPRAAGPEGSLIGIHGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQSLTSPAASDESRRLRMFINNPTMSLGKDGLTNSVGDVVPNVGSPLQTVGPLFSRGETDMRMKHQHQHQQPQQQQQQSSNPQQQQQQQQQLQQHVLSNQQSQSSSHNLHPQDKMGGAASVNVDGSMSNSFRGNDQVSKNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHGGGSSKPFMFGADGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSPDDNDPRDVVPRMDVSKGFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKRAVLWYTDNLKLKATLEEHTSLITDVRFSPSMPRLATSSSDKTVRVWDADNPNFSLRTFTGHSSNVMSLDFHPTKDDLICSCDGVGEIRYWSITNGSCTRVFKGDMARMRFQPRAGKYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWTLGSGSEGECVHELSCNGNEFHSCVFHPTFPSLLVIGCYQSLELWNMSENKTMTLAAHDGQIAALAVSTVTGMLASASHDKFVKLWK >Potri.018G033300.5.v4.1 pep chromosome:Pop_tri_v4:18:2514559:2523586:1 gene:Potri.018G033300.v4.1 transcript:Potri.018G033300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033300.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQGQQPQPQPQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQMQHLILQRHAQQQQQQQQHQQQHQQQQQQQQHQQQQQQQQQQQHQQQQQHQQQQQQQQQHQQHQQQQRRDGAHLLNGTTNGLVGNDPLMRQNAATANAMATKMYEEKLKLPMERDSLADAAMKQRFGENVGHLLDRNHASILKSAAAATGQTSEQVLHGASGAMSPQVQARNQQLPGSTPDIKSEINPVLNPRAAGPEGSLIGIHGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQSLTSPAASDESRRLRMFINNPTMSLGKDGLTNSVGDVVPNVGSPLQTVGPLFSRGETDMRMKIKMALLQQHQHQHQQPQQQQQQSSNPQQQQQQQQQLQQHVLSNQQSQSSSHNLHPQDKMGGAASVNVDGSMSNSFRGNDQVSKNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHGGGSSKPFMFGADGTGTLTSPSNQLWDDKDLELQADMDRFVEDGSLEDNVDSFLSPDDNDPRDVVPRMDVSKGFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKRAVLWYTDNLKLKATLEEHTSLITDVRFSPSMPRLATSSSDKTVRVWDADNPNFSLRTFTGHSSNVMSLDFHPTKDDLICSCDGVGEIRYWSITNGSCTRVFKGDMARMRFQPRAGKYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWTLGSGSEGECVHELSCNGNEFHSCVFHPTFPSLLVIGCYQSLELWNMSENKTMTLAAHDGQIAALAVSTVTGMLASASHDKFVKLWK >Potri.018G033300.11.v4.1 pep chromosome:Pop_tri_v4:18:2514716:2523517:1 gene:Potri.018G033300.v4.1 transcript:Potri.018G033300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033300.v4.1 MSQTNWEADKMLDVYIHDYLVKRDLKASAQAFQAEGKVSSDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEVAASYIETQLIKAREQQQQQQQQQQQGQQPQPQPQHQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQMQMQHLILQRHAQQQQQQQQHQQQHQQQQQQQQHQQQQQQQQQQQHQQQQQHQQQQQQQQQHQQHQQQQRRDGAHLLNGTTNGLVGNDPLMRQNAATANAMATKMYEEKLKLPMERDSLADAAMKQRFGENVGHLLDRNHASILKSAAAATGQTSEQVLHGASGAMSPQVQARNQQLPGSTPDIKSEINPVLNPRAAGPEGSLIGIHGSNQGGNNLTLKGWPLTGLEQLRSGLLQQQKPFIQAPQPFHQLQMLTPQHQQQLMLAQQSLTSPAASDESRRLRMFINNPTMSLGKDGLTNSVGDVVPNVGSPLQTVGPLFSRGETDMRMKIKMALLQQHQHQHQQPQQQQQQSSNPQQQQQQQQQLQQHVLSNQQSQSSSHNLHPQDKMGGAASVNVDGSMSNSFRGNDQVSKNQSGRKRKQPVSSSGPANSSGTANTAGPSPSSAPSTPSTHTPGDVISMPALPHGGGSSKPFMFGADGTGTLTSPSNQLADMDRFVEDGSLEDNVDSFLSPDDNDPRDVVPRMDVSKGFTFTEVNSVRASASKVVCCHFSSDGKLLASGGHDKRAVLWYTDNLKLKATLEEHTSLITDVRFSPSMPRLATSSSDKTVRVWDADNPNFSLRTFTGHSSNVMSLDFHPTKDDLICSCDGVGEIRYWSITNGSCTRVFKGDMARMRFQPRAGKYLAAAAENVVSILDVETQACRHSLQGHTKAIHSVCWDPSGEYLASVSEDSVRVWTLGSGSEGECVHELSCNGNEFHSCVFHPTFPSLLVIGCYQSLELWNMSENKTMTLAAHDGQIAALAVSTVTGMLASASHDKFVKLWK >Potri.006G257700.1.v4.1 pep chromosome:Pop_tri_v4:6:25443292:25445595:-1 gene:Potri.006G257700.v4.1 transcript:Potri.006G257700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257700.v4.1 MEGLLCSNTVVLESFLSSLMEAVVLETAIAASKALALSLFMIGSLPTGSSVFPEESGTSQGFPLTELHTKKKPDPDNQDGSDTEDDEEDGDEDGQDDQDDDEEGDDEDESGKDGKDGDDPEGEPEANGDGGSDDDDEEDGDDEEDDDEEDDEDDEEDEDADDDDEEDEEDTPQPPAKRRK >Potri.014G119900.1.v4.1 pep chromosome:Pop_tri_v4:14:8058857:8059924:1 gene:Potri.014G119900.v4.1 transcript:Potri.014G119900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119900.v4.1 MGWQKKAKKLLGCAIFIEPFHILIITLLSLLLPLSFLLLTRLSSYNYLLTITSDPVQQPPSSFITSLFLSINTAVLYFLVSVVSVATLIHGLTGKITLLSESTGATYRPGLYTAWIMLCTLQICVGLGVQRSVSAGMFDGYGFDIQRSFLSRVIFFLGLHETMIHWSRTVVKPVVDDTIFGAARDEKWEQRVAVALSCGTLWWWRLRNEVESLVFVAEAKIELLMDLAVADMVGWWLYYLTVTIGMVRLVKGLIWVGVILLCKRVRRRNSAETCELDELDKV >Potri.015G118300.1.v4.1 pep chromosome:Pop_tri_v4:15:13252507:13255207:-1 gene:Potri.015G118300.v4.1 transcript:Potri.015G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118300.v4.1 MSQKSLIYAFVSRGTVILADYTEFSGNFNSIAFQCLQKLPATNNKFTYNCDGHTFNYLVDNGFTYCVVAAESAGRQVPIAFLERVKDDFVTKYGGGKAATAQANGLNKEFGPKLKEHMQYCADHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGEKIELLVDKTENLHQQAQDFRSQGTQIRRKMWLQNMKVKLIVLGILIVLILIIVLSICKGFNC >Potri.013G044100.2.v4.1 pep chromosome:Pop_tri_v4:13:3073638:3076275:1 gene:Potri.013G044100.v4.1 transcript:Potri.013G044100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044100.v4.1 MLRALAPRFLLISYLVILVSASDNDFVNCNCDDEGFWSIHNILECQRVSDFLIAVAYFSIPIELLYFVSCSNFPFKWVLLQFIAFIVLCGLTHLLNAWTYYGPHSFQLILSLTIAKFLTALVSCATAITLLTLIPLLLKWKVRELFLKQNVLELDQEVGMMKKQKEASWHVRMLTQEIRKSLDKHMILYTTLVELSKTLDLQNCAVWMPNENRKEFHLTHELKTNSKNYPLSISVNDPDVLEIQGSKGVKVLRPDSALAASSGGGSEESGAVAAIRMPMLQVSNFKGGTPELVDTCYAILVLVLPSMSSRGWSYEEMEIVEVVADQVAVALSHAAVLEESRVMRDKLSEQNHALQQARKNALMASLARNSFQKVMSHGLRRPMHSILGLLSMYQNENMGFEQRIVIDTLVKTSNVLSTLINDVMEISAEDTGRFPLEMRPFRLHSMIKEASCLAKCLCVYKGFGFELDVQSSLPDLVIGDERRAFQVILHMIGYLLNIYDGGGNVIFQVSSENGNEGKTDRMLGMWKPNAPDEFVCIKFDMEISEGSSLSDVASSTTNSSGKRQNSAGVKEGLSFSMCKRLVQVRHQILFYLCGYTYAVLC >Potri.013G044100.1.v4.1 pep chromosome:Pop_tri_v4:13:3073566:3079986:1 gene:Potri.013G044100.v4.1 transcript:Potri.013G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044100.v4.1 MLRALAPRFLLISYLVILVSASDNDFVNCNCDDEGFWSIHNILECQRVSDFLIAVAYFSIPIELLYFVSCSNFPFKWVLLQFIAFIVLCGLTHLLNAWTYYGPHSFQLILSLTIAKFLTALVSCATAITLLTLIPLLLKWKVRELFLKQNVLELDQEVGMMKKQKEASWHVRMLTQEIRKSLDKHMILYTTLVELSKTLDLQNCAVWMPNENRKEFHLTHELKTNSKNYPLSISVNDPDVLEIQGSKGVKVLRPDSALAASSGGGSEESGAVAAIRMPMLQVSNFKGGTPELVDTCYAILVLVLPSMSSRGWSYEEMEIVEVVADQVAVALSHAAVLEESRVMRDKLSEQNHALQQARKNALMASLARNSFQKVMSHGLRRPMHSILGLLSMYQNENMGFEQRIVIDTLVKTSNVLSTLINDVMEISAEDTGRFPLEMRPFRLHSMIKEASCLAKCLCVYKGFGFELDVQSSLPDLVIGDERRAFQVILHMIGYLLNIYDGGGNVIFQVSSENGNEGKTDRMLGMWKPNAPDEFVCIKFDMEISEGSSLSDVASSTTNSSGKRQNSAGVKEGLSFSMCKRLVQMMQGNIWISLNPLGFAQGMTLVLWFQIRPSYGRAIFAPGTSSEQPNSNSQFRGLRVVLADDDHVNRTVTKKLLEKLGCEVTAVSSGFECLSALSSAENSFILVVLDLQMPEMDGFEVATRIRKFRSRNWPLIIAVTASAEDNVWERCLQMGMNGVIRKPVLLQGMADELRRVLQRAGEGL >Potri.014G015300.1.v4.1 pep chromosome:Pop_tri_v4:14:903449:905979:1 gene:Potri.014G015300.v4.1 transcript:Potri.014G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015300.v4.1 MIKTLSPCSNTAKTAEIMSRYRPIAPKPEGSTDESPSMPPFLRTLWPQMHARPTRTRKRGRAAVSPLTIKRPRTHLLGLSSPSHATYSAKHLSLQGFAHGITQLPVPNLVGINCGMENSVTVSSNLVTLPLLQSPTVPVVENQAAAPELSCQEPNRDKVIDLNTVAETSEERDPLQQLQEPSTSNVIAPQPVRPVCSSISVASINEDPSLIPPVKVPKKPEEIEEEVESEVLPIVITDSNNKVRLANSAYKEMVGQPECSWLGSMMTSDGRFAGSSCKRICGEVVFHLSDLRVPESSNGFSCWVRIEWCNKVKSNVINTFCDVIRLSCESKDYLFRWRFHIRTSKDSLSKTDA >Potri.019G094650.1.v4.1 pep chromosome:Pop_tri_v4:19:13222345:13229357:-1 gene:Potri.019G094650.v4.1 transcript:Potri.019G094650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G094650.v4.1 MGKESCGEGDDEENGFVLASSWGKNGKGELR >Potri.006G203200.1.v4.1 pep chromosome:Pop_tri_v4:6:21112112:21118305:-1 gene:Potri.006G203200.v4.1 transcript:Potri.006G203200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203200.v4.1 METTSTSTRTNSNNTPFSLLSRGWKEVRDSADADLQLMRARANSFKNLANSFDREIENFFNSASIASFSVPSPLKSSTSPTEVDFVKKLRPKFSEIRRVYSAPEISKKVLERWGPRAKLGIDLSAIKNAIVAGEKEGEERRGVVGLDRRRRLGFREFWGEGKEGGGGQFGEWKPIRVLKRRLREFEKKSEFGEIFGGFKNSEFVEKLKSSLKAIHKEPQESKEVPPLDVPELLAYLVRQSEPFLDQLGVRKDVCDKIVESLCSSRKNQLLLPSLSSGESTLLDENANDELDLRIASVLQSTGHCYDGGFWTDLSKHHPSDRKRHVAIVTTASLPWMTGTAVNPLYRAAYLAKSEKQNVTLLVPWLCKSDQELVYPNNLTFTSPEEQENYIRNWLEERIGFKADFKISFYPGKFAKERRSIIPAGDTSQFIPSKDADIAILEEPEHLNWYHHGKRWTTKFNHVVGVVHTNYLEYIKREKNGALQAFFVKHINNLVTRAYCHKVLRLSAATQDLPKSVICNVHGVNPKFLKIGEKVAAESELGQQAFSKGAYFLGKMVWAKGYKELIDLLAKHKNDLDGFNLDVFGNGEDANEVQTTAKRLDLNLNFLKGRDHADDSLHGYKVFINPSLSDVLCTATAEALAMGKFVVCADHPSNEYFRSFPNCLTYKTSEDFVARVKEALANEPHPLTPEQIYNLSWEAATQRFMQYSELDRVLDPEKDDVKLSKTNGRSITKAVSTPNMSEMVDGGLAFAHYCLTGNELLRLCTGAIPGTRDYDKQHCKDLHLLPPQVENPIYGW >Potri.016G032100.1.v4.1 pep chromosome:Pop_tri_v4:16:1810807:1814195:-1 gene:Potri.016G032100.v4.1 transcript:Potri.016G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032100.v4.1 MDAKLKRRTFVENGDGGEDLVLATLIGNGEDLGPIVRHAFEMGRPESLFHQLKSVVRKKEVEIEELCKSHYEEFILAVDELRGVLVDAEELKSELASENFRLQEVGSGLLIKLEELLESYSIKKNVAEAIKMSKVCIQVLELCVKCNNHMLESQFYPALKTVDLIERTYLHNIPMKALKMAIEKTIPVIKLHIEKKVTSQFNEWLVQIRSSAKDIGQTAIGHTSSARQRDEEMLERQRKAEEQNIPGLGDFVYTLDVAETDEDSVVKFDLTPVFRVYHIHACLGIQEQFREYYYKNRLLQLNSDLQISTTQPFVEYYQTYLAQIAGYFIVEDRVLRTARDLLSANQVETMWETTVAKMTSVLDEQFSHMDSATHLLLVKDYVTLLGATLRQYGYEVGQILEVLDSSRDKYHELLLGECREQIVNALGNDTYEQMVMKKDTDYENNVLSFHLQTSDIMPAFPYIAPFSSMVPDTCRIVRSFIKGSVDYLSYGVHTNIYDVVRKYLDKLLIDVLNEVILSTIHGGAVGVSQAMQIAANISVLERACDFFLRHAAQLCGIPIRSVERPQASLTAKVVLKTSRDEAYIALLDLVNNKLDGLMALTENINWTSEETPQNGNDYINEVVIYLDTILSTAQQILPLDALHKVGSGALEHISNSIVGAFLSDSVKRFNANAVLSLNIDLKLLEDFADDRFHSTGLSEIHKEGSFRGCLIEARQLINLLSSSQPENFMNPVIRQKNYDALDYKKVASICEKFKDSPDGIFGSLSTRNTKQSARKKSMDMLKKRLKDFN >Potri.016G032100.2.v4.1 pep chromosome:Pop_tri_v4:16:1810812:1813979:-1 gene:Potri.016G032100.v4.1 transcript:Potri.016G032100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032100.v4.1 MDAKLKRRTFVENGDGGEDLVLATLIGNGEDLGPIVRHAFEMGRPESLFHQLKSVVRKKEVEIEELCKSHYEEFILAVDELRGVLVDAEELKSELASENFRLQEVGSGLLIKLEELLESYSIKKNVAEAIKMSKVCIQVLELCVKCNNHMLESQFYPALKTVDLIERTYLHNIPMKALKMAIEKTIPVIKLHIEKKVTSQFNEWLVQIRSSAKDIGQTAIGHTSSARQRDEEMLERQRKAEEQNIPGLGDFVYTLDVAETDEDSVVKFDLTPVFRVYHIHACLGIQEQFREYYYKNRLLQLNSDLQISTTQPFVEYYQTYLAQIAGYFIVEDRVLRTARDLLSANQVETMWETTVAKMTSVLDEQFSHMDSATHLLLVKDYVTLLGATLRQYGYEVGQILEVLDSSRDKYHELLLGECREQIVNALGNDTYEQMVMKKDTDYENNVLSFHLQTSDIMPAFPYIAPFSSMVPDTCRIVRSFIKGSVDYLSYGVHTNIYDVVRKYLDKLLIDVLNEVILSTIHGGAVGVSQAMQIAANISVLERACDFFLRHAAQLCGIPIRSVERPQASLTAKVVLKTSRDEAYIALLDLVNNKLDGLMALTENINWTSEETPQNGNDYINEVVIYLDTILSTAQQILPLDALHKVGSGALEHISNSIVGAFLSDSVKRFNANAVLSLNIDLKLLEDFADDRFHSTGLSEIHKEGSFRGCLIEARQLINLLSSSQPENFMNPVIRQKNYDALDYKKVASICEKFKDSPDGIFGSLSTRNTKQSARKKSMDMLKKRLKDFN >Potri.008G038800.4.v4.1 pep chromosome:Pop_tri_v4:8:2145546:2152704:1 gene:Potri.008G038800.v4.1 transcript:Potri.008G038800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038800.v4.1 MGVPPNDGKMEGWLFIIRSHRFGLQFSRRRYFILQENYLRCYKTKPISQEEEPLRSAKIDSYIRITDNGRESINRKVFFIFALYNILNENDHLKLGASGSEDAGRWIRSLQNAVLKECPNPEKEFMSFSKKNWLPSRFGSAKRAQRQRSIDYYSFLHNEAVTSDVIAPSPWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMAVGVLNGTPEAIFHTLMSLGASRSEWDFCFYRGSVVEHLDGHTDILHAKLYSNWLPWGMMRRDFLLRRYWRREEDGTYVILYHSVIHKKCPPQNGYVRACLKSGGYVITPVNKGRESLVKHMLAVNWKFWKVYLRQPSGRSITIRMLERLAALREMFQAKAGNYPSDFSSADSEVKIMLPQDEVEDIKSEDKSQQKFELNADLEEDEAEKTTSGRRSLMSLNDASDEFFDVPDSGEVIAFDHLENGWFPEVSQEWPASNMSQPRLSSAAVFVKKLHDLAVQKKGYMDFQELAKEENVATSFGNTLQKDSACSLPCSWATADPTTFLIRGENYFKDNLKIKATGTLMQMVGADWLRSDRREDDLGSRAESIVQKFAAQGRPEFFFIVNIQVPGVTQHTLALYYMLKTPLEETPLLHSFVNGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEMNYFRGKNYLELDIDVGSSTVARGVVSLVLGYLNHLVIEMAFVIQGNTEEELPEVLLGTCRLNNLDASKSVLV >Potri.015G049000.1.v4.1 pep chromosome:Pop_tri_v4:15:5138878:5142351:1 gene:Potri.015G049000.v4.1 transcript:Potri.015G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049000.v4.1 MFGSKKSPLKISKHTRVDPECPAPSRPNPFDSDDEFDTKKTLKPSRKTSSEPNLTAPCFSTNPFDGDEERGSSASSTYFLASAARQKYNNDFRDSGGLENQSVQELESYAVYKAEETTKSVNGCLKIAEDMREGATRTLITLHQQGEQITRTHDAAVEIDHDLSRGEKLLGSLGGMFSKTWKPKKNRPITGPVITRDASPQRRGNQLEQREKLGLNPAPKGQSSMRTPLPEPTNAFQKVELEKSKQDDSLSDLSNILGELKNMAVDMGTEIDRQTNSLDHLQNDVDELNYRVRGANQRGRRLLGK >Potri.015G015800.1.v4.1 pep chromosome:Pop_tri_v4:15:1059928:1060611:1 gene:Potri.015G015800.v4.1 transcript:Potri.015G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G015800.v4.1 MAKFSKKLSKTDVRKRLAVPTRSLSSLPSFDGAHAVYFQAADERGEVWTFKCSIRKRRHPKPVLSKDWLAFVDSKGLKAGDMIEFYKETNEAATAHAYKVRAERQIKIFGVVFGYAPITAISHDSSDVSPI >Potri.001G266200.3.v4.1 pep chromosome:Pop_tri_v4:1:28113277:28118535:-1 gene:Potri.001G266200.v4.1 transcript:Potri.001G266200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266200.v4.1 MASFGSLKSAVFDREERKQQYQSHIRGLNAYDRHKKFLNDYVSYYGKGKSTNEKLPIRTDRDTLREGYRFIRSEEDDMDASWEQRLVKRYYDKLFKEYCIADMSHYKVGKIGLRWRTEKEVISGKGQFICGNKHCDVKDGLASYEVNFSYFEAGENKQALVKLILCDRCAEKLHYKRRKEKEQIEKREKGDRIRKRELLEVVDDLDNEGSEEKRRGKKPTISGGNKKTDDDDNFDEFLEGMFP >Potri.006G250050.1.v4.1 pep chromosome:Pop_tri_v4:6:24946621:24947616:-1 gene:Potri.006G250050.v4.1 transcript:Potri.006G250050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250050.v4.1 MWASMASRKIIHLNLIYIIVVMWTTVLRPGIEGPLSSTILEFLYLLSRIQIYICPSHLAVQVLVWISGIKEERTVPITPSSSFFFFQNLLYTPMILLSYL >Potri.014G118800.2.v4.1 pep chromosome:Pop_tri_v4:14:8009836:8011519:1 gene:Potri.014G118800.v4.1 transcript:Potri.014G118800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118800.v4.1 MGRIKPQALLKQSKKKKAPSRISIATILLCCFIFILTVFFLYSTYKTGR >Potri.011G042300.1.v4.1 pep chromosome:Pop_tri_v4:11:3281301:3284011:-1 gene:Potri.011G042300.v4.1 transcript:Potri.011G042300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042300.v4.1 MCSLRQAIEVISSLISYSHPIKVFVVKWQLIRNKLEELCSSLTALENCDSIQNPILSGMISAILASASDCYDLARRCVDLSYSGKLLMQSDLDVMVAKFERHVKNLFGICTAGILTQGFAIVVSRPGVNARKDDMRFYFRDLLTRMKIGDLEMKRQALLNLYDVVVEDDKYVKILVEVGDIVNILVSLLDSVELEIQQEAAKVVSVISGFDSYKSVLIGAGIIGPLIRVLESGSEISKEGAARSLQKLTENSDNAWSVSAYGGVTALLKICTSADSRTALVCPACGVLRNLVGVDEIKRFMIEEGAVPTLIKLARSKDEAVQISSIEFLQNIASVDESVRQLVVREGGIRALVRVFDPKSACTSKSREMALWAIENLCFSSAGYIIMLMNYGFMDQLLFFLRNGDVSVQELALKAAFRLCGKSEETKKAMGDAGFMSELVKFLDAKSFEVREMAAVALSSLVSVPKNRKRFVQDDRNVGFLLQLLDQEEANSGSKKLLISILLSLTSCNSGRKKIASSGYLKNIEKLAEAEVSDAKRLVRKLSTNRFRSILNVIWHS >Potri.002G126900.1.v4.1 pep chromosome:Pop_tri_v4:2:9625424:9625994:1 gene:Potri.002G126900.v4.1 transcript:Potri.002G126900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126900.v4.1 MGFAVNDQKTAAIPKAKGGNRTLTKEQLKSFFLRHDKNHDNQLSRKELRQAFDELGAFSSYYRAARGFSHADADKDGLIDMVELDDLVNYAYKLGYTVHH >Potri.004G179844.1.v4.1 pep chromosome:Pop_tri_v4:4:19443570:19444348:-1 gene:Potri.004G179844.v4.1 transcript:Potri.004G179844.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179844.v4.1 MMKVANLIAVFILLALASSFVTAYDPSPLQDFCVAIDDANSAVLVNGKLCKNPSLATPDDFSYSGLDVPGNTSNQLGARVNIITADLMPGLNTLGVSLARIDLAPNGGLNPPHYHPRGSEVLLVLEGTLYAGFVTSNPDHRLFTKILKPGDLFVFPFGLIHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASWPLYPEVLTTAFHLDEKLVEDLQSQEWVNPT >Potri.016G066500.2.v4.1 pep chromosome:Pop_tri_v4:16:4676124:4680088:1 gene:Potri.016G066500.v4.1 transcript:Potri.016G066500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066500.v4.1 MDSHIYGVSEKTLFLFTLLCFSVASISALPHQNRTGNSTVTGQMINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPKNEALERQLDPEFKRFLLEPGNLKSLQTLLLFHIIPQRVGSNDWPGHKSNPTRHTTLCNDHLHLITKNSGKKLVGAAVLTRPDDVTRPDGVIHGIERLLVPQSVQEDFNRRRNLRSISAVLPEGAPEVDPRTHRLKKPEPPVRAGSPPVLPVYDAMSPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLVHYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKIGYDTLRLPHKVVAQEADGSVKFGSGDGSAYLFDPDIYTDGRISVQGIDGVLFPEVEKESTSVKKSVSSVKVATTTPRRGKLMEVACRMLGSLGQESHFTTCQ >Potri.008G224120.1.v4.1 pep chromosome:Pop_tri_v4:8:18970444:18970746:1 gene:Potri.008G224120.v4.1 transcript:Potri.008G224120.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224120.v4.1 MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Potri.004G205600.1.v4.1 pep chromosome:Pop_tri_v4:4:21361713:21365438:-1 gene:Potri.004G205600.v4.1 transcript:Potri.004G205600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205600.v4.1 MDLKTIKLTRVLLKNTNDPKISWYLFKRILSLPVTQQCPQSIPIITRILIRAKMLNELDDLPQLLIASQPQETLHTSLVSFITVLAKSGLFGKAISQFKSLRFRFPENPPSIYLYNVLLRSCTKEGRVDCVSWLCKDMVASGVSPETYTFNVLIGLLCDSGCLDDARELFDKMPEKGCEPNEYSFGILVRGYCRAGFTSKGLELLGEMRRLGFSPNKVVYNTLISSFCKEGKTDDAEKLVDEMRKDGLSPDVVTFNARISALCSSGKVLEASRIFRDMQIDEVLGLPQPNIITYNLMLGGFCKEGMLEEARALFEKMKVSENLMNRESYNIWLLGLVRIGKLLEAQLVLKEMVDMGMEPNVYSYNIVMDGLCKNGVLFDARMLMRLMTSSGVLPDTVTYTTLLHGYCHTGKVSEANNVLREMMRDGCSPNNYTCNILLYSLWKEGRISEAEELLQKMNEKGYVIDTVTCNIVIDGLCNNGKLDKAIEIVNGMWTHGSAALGNLGNSYIGLVDDSDSRKKCMPDLISYSTIISGLCKAGRVGEAKKKFIEMMGKNLQPDSAIYDVFIHSFCKEGKISSAFRVLKDMEKKGCNKTLQTYNSLIMGLGSKNQIFEIYGLIDEMRERGVSPDVSIYNNVLSSLCEGGRVKDAPSVLDEMLQKGISPNISSFSILIKAFCKACDFSAVDEIFEIALNVCGHKEALYSLTFNELLVGGEVVKAKELFETALDRSFDVGNFLYKDLIDHLCKDEKLDDASGILHKLIDKGYWFDPASFMPVIDGLGKRGNKHEADELAEKMMEMASEGKVKNKVHQNASCSIQGKKNKDGESEWQTILHRDDGSGIALKALKRVQRGLDQGSISSLEPQKDDFLDYWEGSR >Potri.002G202200.1.v4.1 pep chromosome:Pop_tri_v4:2:16587519:16590046:-1 gene:Potri.002G202200.v4.1 transcript:Potri.002G202200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202200.v4.1 MTIAGSILVLGLALLSCVADGALRHHIGLSDRRSLVDGSGAAVTVFDVTKHGAKADDKTDNAEAFIQTWRAACDSGAPAKMVIPGGTFLTSPVVFQGPCKSTEPIVFEVQGNVKATTDLSEYSSEQWILFEIIDGLTLNGGGTFDGQGSAVWKYNDCHQNKECQPLPSSIKLSKVKNAFVHEISSVDSKYFHMHVTSCNSISIHNINLTAPANSPNTDGIHISHSDGVHVTSSKIGTGDDCVSIGQGSTNILISQVFCGPGHGLSVGSLGKYKNEEDVRGIVVTNCTLFNTTNGVRIKSYAASDPSQALNITFKDITMDSVKNPIIIDQKYGSRNGAPSRVKISNVHYQNIKGTSTSDVAVSFSCSSLVPCQGVEIVDIDLAYIGQKANIPLSASCLNANIVKSGGKQNPGCN >Potri.012G033100.1.v4.1 pep chromosome:Pop_tri_v4:12:783598:786551:-1 gene:Potri.012G033100.v4.1 transcript:Potri.012G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033100.v4.1 MNRYNRRVQVPDLQLPKYDNVEERVDGEEGDDSNNNGVARLITTENNVSDDQEPFMGVKVRRKASRHRDIKGDYLDVPSHSYLMKILQKQGDKQVLFADKVLKFTGSGKMKQRILLITDFAIYIIDPEINALKRRIALAAVEKICLSELSDNFFAIIIPTEYDLLMASTRKTEIVTVLVEATRSASDYELEVAFSNSFEYNADAELVKVIEFEEVEGGVKTRITRK >Potri.011G102700.1.v4.1 pep chromosome:Pop_tri_v4:11:13062034:13065764:-1 gene:Potri.011G102700.v4.1 transcript:Potri.011G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102700.v4.1 MFCSAFCFRSFVFLLSLISVTCSDYTNETDLLALIQFKNKIVDDPLGIMSSWNSTIHFCQWHGVSCGRRHQRVRVLALQSLKLSGTISPHIGNLSFLRELHLQNNSFFHEIPPQVGRLRSLQIFSLHNNSISGQIPPSISDCSNLISIKIEFNNLTGEIPMELGSLLKLKNLTLEVNGLTGTIPPSLGNLSSLEILRLEKNKILFGNVPSTLGKLKNLRILNLMDNRLSGVIPPSIFNLSSLTALDIGFNLFHGNLPSDIGISLPNLEFFSIASNQFTGSIPVSISNASNIELLQVSLNNLTGEVPTLEKLHRLNFFTLFSNHLGSGQANDLSFLSSLTNATTLEYLSIKRNNFGGELPKQISNLSTMLGVISLPENNILGSIPAGIEKLVNLKVFDVGNNKISGIIPSSIGELQNLEGLVLDYNNLSGRIPSSVGNLTKLMALYLGDNSLEGSIPSSLGNCKKLLVLTLCGNNLSGDIPPGLFGIFSLLYICFSKNHFSGSLPIEIGKLINLEFLDVSGNMLSGEIPSSLGGCISLEDLYMNSNFFHGSIPSALSSLRGVLQFNFSHNNLSGKIPEFFQGFNSLEMLDLSYNNFEGMIPDEGIFKNSTAVSVIGNSQLCGGNTELGLPRCKVHQPKRLKLKLKIAIFAITVLLALALVVTCLFLCSSRRKRREIKLSSMRNELLEVSYQILLKATNGFSSANLVGIGSFGSVYKGMLDQNGMVIAVKVLNLMRQGASRSFIAECEALRNIRHRNLVKVLTACSSIDYHGNDFKAIVYEFMANGSLEDWLHPTGTGGGTALTLNLLQRLNIAIDVACALEYLHHHCEMPIAHCDLKPSNVLLDDELTGHVGDFGLAKFLSGASLDNPTNESTSIGVRGTIGYAPPEYGVGGEVSAYGDTYSYGILLLEMFTGKRPTDEMFREGSNLHNFVKRAVPEQVKQITDPTLLQEEPTGDDDKREISSMRNSRPLECLNSILRIGISCSVEFPRERMKISDAVAQLHSVRNELQSTGGKQG >Potri.011G116200.4.v4.1 pep chromosome:Pop_tri_v4:11:14688021:14690526:1 gene:Potri.011G116200.v4.1 transcript:Potri.011G116200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116200.v4.1 MVFSSNPLSLSVPDPTFDTWLRDSGYLEILDQHSSAAAAPSTTTTSTTTTTTTATGLFISFFSHALTLLSLFTLNPFSKLTTDDFSGPTPSWTRSFFADHRSYSFPSGFGQARLRVNENVKRYARNYAFLFVLFFVCTLYQMPLALIGMISSLALWDIFKFCSDRWGWDRYPVIRQVMVRAAQCVAAVILICLNVQMALFCALGVSYTVMIMHAAFRKLTPARQPTRSR >Potri.010G029500.1.v4.1 pep chromosome:Pop_tri_v4:10:4294225:4299841:1 gene:Potri.010G029500.v4.1 transcript:Potri.010G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029500.v4.1 MGTIRFSSRDLPAAPPTSNPSSSIPTKTTSSSITETVNGSHEFKIGGYSLSKGMGVGKYIASDTFYIGGYAWAIYFYPDGKSPEDNATYVSLFIALASEGTDVRALFELSLMDQSGKERHKVHSHFGRALESGPYTLKYRGSMWGYKRFYRRNQLETSDYLKDDTLLVRCCVGVVKSHTEGPKTYTISVPPSNIGQHFGKLLDSGTGTDVNFEVDGETFASHKIVLAARSPVFRAQLFGPMKDQNTQCIKVEDMEAPVFKALIHFMYWDALPDIEELVGLNSKWVSTLMAQHLLAAADRYALERLRLLCEARLCEDVAINTVATTLALAEQHQCIQLKSVCLKFIALPENLKAVMQTDGFEYLKESCPSVITELLQYVAKNGERSVITCTLGNDTLDSDMNGRRVKQRIH >Potri.002G135100.1.v4.1 pep chromosome:Pop_tri_v4:2:10159946:10171215:-1 gene:Potri.002G135100.v4.1 transcript:Potri.002G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135100.v4.1 MTTMESLIGLVNRIQRACTVLGDYGGDDNAFSSLWEALPSVAVVGGQSSGKSSVLESIVGRDFLPRGSGIVTRRPLVLQLHKTEDGSQEYAEFLHLPKQRFTDFSVVRKEIQDETDRVTGKTKQISPVPIHLSIYSPYVVNLTLIDLPGLTKVAVDGQPESIVRDIEAMVHSYVAKPNCLILAISPANQDIATSDAIKLCREVDPTGERTFGVLTKLDLMDKGTNALDVLEGRSYRLQHPWVGIVNRSQADINKNVDMIVARRKEREYFATSPDYGHLASKMGSEYLAKLLSKNLESVIRARIPSITSTINNSIDELESELDHLGRPIAVDAGAQLYTILELCRAFDRIFKEHLDGGRPGGDRIYGVFDNQLPAALRKLPFDRHLSLQNVKRVVSEADGYQPHLIAPEQGYRRLIDSALNYFRGPAEASVDAVHFVLKELVRKSIAETQELRRFPSLQAELAGAANQALERFREGSKKTAIRLVDMESSYLTVDFFRRLPQEVDNGGNPASSTVDRYTEMHFRRIGSNVSSYVGMVSETLKSSIPKAVVHCQVREAKHSLLNHFYTQIGKKEGKQLSQLLDEDPALMERRQQCAKRLELYKAARDEVDSVSWAR >Potri.003G208300.3.v4.1 pep chromosome:Pop_tri_v4:3:20733481:20735554:1 gene:Potri.003G208300.v4.1 transcript:Potri.003G208300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208300.v4.1 MREELEILHPSLDDCCIYRVPKRLRVLNEKAYTPQVVSIGPLHHGREEFKAMEEYKRRYLKDFLAWSELSLEDLIGVTEMEETRLRNCYAEAIELSSDVFVKMMLLDAAFIIMIMLKNYFLDFQSSNDRIFSRPWMIHDIRFDMILLENQLPFFFLNDLLKLSNPYKGYSLIAFTHGFLKGALGSWVTDNILNGINSSEVQHFVDFLRICQQPTKLPQPRKLKTLNMPSAAELRQAGVKLKLGSSKNLSDLKFNKSRGRLEIPRLLVIDQTEILFRNLQAFEQCNCSDKYVTNYITMINLLVCSTRDVEILVRKGIIENWLRDNDAVLSVLHNLDKENLIFLDNFYFSEIVEDLNKYCRKRRHKWNYFRNPWAIISVIAAAILLMLTVVQAACSIIQVV >Potri.003G208300.2.v4.1 pep chromosome:Pop_tri_v4:3:20733452:20735543:1 gene:Potri.003G208300.v4.1 transcript:Potri.003G208300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208300.v4.1 MREELEILHPSLDDCCIYRVPKRLRVLNEKAYTPQVVSIGPLHHGREEFKAMEEYKRRYLKDFLAWSELSLEDLIGVTEMEETRLRNCYAEAIELSSDVFVKMMLLDAAFIIMIMLKNYFLDFQSSNDRIFSRPWMIHDIRFDMILLENQLPFFFLNDLLKLSNPYKGYSLIAFTHGFLKGALGSWVTDNILNGINSSEVQHFVDFLRICQQPTKLPQPRKLKTLNMPSAAELRQAGVKLKLGSSKNLSDLKFNKSRGRLEIPRLLVIDQTEILFRNLQAFEQCNCSDKYVTNYITMINLLVCSTRDVEILVRKGIIENWLRDNDAVLSVLHNLDKENLIFLDNFYFSEIVEDLNKYCRKRRHKWNYFRNPWAIISVIAAAILLMLTVVQAACSIIQVV >Potri.008G087200.1.v4.1 pep chromosome:Pop_tri_v4:8:5442886:5443563:1 gene:Potri.008G087200.v4.1 transcript:Potri.008G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087200.v4.1 MASETELPAELSSMFERLLRHRDLSLFLPFIFGFTSTNSTEERDPDQEPQTTDPNERIILINPLTQGMVVIEGAASLESLLRDIGNKNGQPPASKASIEAMPSVEIGEDNKDGECAICLEEWEPGAVVKEMPCKHRFHGNCVEKWLKIHGNCPVCRYKMPVDEEELGKKRDGGDGGREWRRAEREIWVSFAFNGNRRNRDSNENPSSDSTDFSTSSPSADHETES >Potri.008G087200.3.v4.1 pep chromosome:Pop_tri_v4:8:5442908:5443685:1 gene:Potri.008G087200.v4.1 transcript:Potri.008G087200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087200.v4.1 MFERLLRHRDLSLFLPFIFGFTSTNSTEERDPDQEPQTTDPNERIILINPLTQGMVVIEGAASLESLLRDIGNKNGQPPASKASIEAMPSVEIGEDNKDGECAICLEEWEPGAVVKEMPCKHRFHGNCVEKWLKIHGNCPVCRYKMPVDEEELGKKRDGGDGGREWRRAEREIWVSFAFNGNRRNRDSNENPSSDSTDFSTSSPSADHETES >Potri.010G002600.1.v4.1 pep chromosome:Pop_tri_v4:10:256755:258220:-1 gene:Potri.010G002600.v4.1 transcript:Potri.010G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002600.v4.1 MIMPSKNGTCRLSVLFVAGILVMMFAISCSCSGADRSSTPTESQDFEVMTDGMKEARGKTEEKAEEAQEKAEEDGKEATESTESWAEWAKEKISETIGRKQDDAKEAAMRASDTASDTAKKAKDIASEATQYGAERAGDMKDTATEKIKEMANAAKEKVSEMASASKETIASTTGATKEKASEASGAAKEKAYEAEEKAAEKAEQMKEKTARNAEEAKEKAKEATGMEKEKAEEAKEAAVKKAEEAKEAAKKTQKSATEEDKKREAETGENLSWAKEKAKENYETAKQKAAETLEATKQESQYIKEKVGGSGKERDGEL >Potri.017G064201.1.v4.1 pep chromosome:Pop_tri_v4:17:5492972:5505801:-1 gene:Potri.017G064201.v4.1 transcript:Potri.017G064201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G064201.v4.1 MIGKLADRFSGFINNRWLVFVAAMWIQSCAGIGYLFGSISPVIKSSLNYNQRQLASLGVAKDLGGSVGFLAGSLSEILPLWGALLVGALQNLVGYGWVWLVVTGRAPVLPLWAMCILIFIGNNGETYINTAALVSCVQNFPKSRGPVVGILKGFAGLNGAILTQIYTMIHSPDHASLIFMVAVGPAMVVIALMFIVRPVGGNRQVRPSDGTSFTFVYTVCLLLAVYLMGVMLLEDLVDLSRIVVVIFTIVLFVLLLIPVVIPVSLSFFLDPRGPAEEPLLPEPPKQEPGKSGQETPELIFSEVEDEKPKEVDLLPASERQKRIAQLQAKLFQAAAEGAVRVKRRRGPHRGEDFTLMQALIKADFWLIFFSLLLGSGSGLTVIDNLGQMSQSLGYDNTHIFVSMMSIWNFLGRVGGGYFSEIIVRDYAYPRPVAMAIAQLVMAVGHVFFAFGWPGAMYIGTLLIGLGYGAHWAIVPAAASELFGLKKFGALYNFLTLANPAGSLVFSGLIASSIYDREAERQAHGNNYHIHNGGSFFSGMLVLNEPSKCEGSICYFLTSMIMSGFCVVAVVLSMILVHRTKIVYANLYGKSRS >Potri.016G021700.1.v4.1 pep chromosome:Pop_tri_v4:16:1178899:1180831:-1 gene:Potri.016G021700.v4.1 transcript:Potri.016G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021700.v4.1 MASNILVDKPHAVCIPHPAQSHINSMLKLAKLLHHKGFHITFVNTEFNHKRLLRSRGPDSLTGLPDFRFESIPDGFPAPDENAAHDFYAICEASRKNLLGPFNDLLDKVNDTASSDVPPVTYIVSDGAMPVAIDAAAMHEIPIALFYTISACSFMGTKQFRALKEKGLTPLEDESFLTNGYLDKVVDWIPGMRDIKLRDLPSFVRTTDPNDYMFNFCVECAERASEGSAVIFHTFDALEQEVLNALYSMFPRVYAIGPLQLLLNQMQEDDLNSIGSNLWKEEVQCVQWLDSQKSNSVVYVNFGSVAVATKQQLIEFGMGLAKSGHPFLWIIRPDMIAGDCAILPPEFTEETKDRGFICSWCPQEEVLNHPSVGGFLTHCGWTSIIESISSGVPMLCWPFAGDQQTNCRYTCTEWGIGMEIDSNVTRDKVEKIVREFMEGEKAKEMKKKAMEWKKLAEEATGPGGSSSMNLDKLVTEVLLS >Potri.003G111100.4.v4.1 pep chromosome:Pop_tri_v4:3:13337088:13342258:-1 gene:Potri.003G111100.v4.1 transcript:Potri.003G111100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111100.v4.1 MINSSNFQLKIQEAPLMEPQLAELERLQTRILNRISKLELSLSTQNNNNNNNLSACDGGDTTEARLSTILRSNGVNDFAFKKVSSDYYDWPLESRRDVLGAASIDHLCKSIVLVNTQAPSNITDCSDCNNSKYYIVVVQYTARFNAETVKNYLYALNDGKIAKKRFNLRLAPEETSMKLTGYGHNAVTCIGMKTNIPVILDEAILRLNPDFFWLGGGEIDLKLGIRTSEFIDFAKPFIVSCSGT >Potri.003G009650.1.v4.1 pep chromosome:Pop_tri_v4:3:886098:890857:-1 gene:Potri.003G009650.v4.1 transcript:Potri.003G009650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009650.v4.1 MIVAYWSFSWRWRRWWWWFRRILEMTLMIKFCTYFVNGAVERRFSGQFYIIIKLNVCWFKKSNVGRRIQFFY >Potri.014G096900.1.v4.1 pep chromosome:Pop_tri_v4:14:6345717:6346510:1 gene:Potri.014G096900.v4.1 transcript:Potri.014G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096900.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.006G241200.1.v4.1 pep chromosome:Pop_tri_v4:6:24301183:24305179:1 gene:Potri.006G241200.v4.1 transcript:Potri.006G241200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241200.v4.1 MALISDALRQAFMPKHEYESLREEDRAWVKLQRPLWISTGGTICLVIFVSTTVSLKIVFPGDNGKRSFCNGNRLQPLPIKNGGDSDLFPGAFYLTDQETVDYYWMVVFVPSMIVFLASLAYLVAGMNVAYSTPARHGCLKVVENNYCSSKRGGVRCLSILNSVFAIIFGLLALFLGSSLLTLGSSCSLPLFWCYEIATWGLVILYAVTAIFLRRKAALILDESDFGGRNLGLEMLEANTMVVTPDLERRVNEGFKTWMGSSLLSSDEEDEPGNYSESPQITRSSSNRQRV >Potri.002G220366.1.v4.1 pep chromosome:Pop_tri_v4:2:20080305:20081477:-1 gene:Potri.002G220366.v4.1 transcript:Potri.002G220366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220366.v4.1 MEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARTPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGVGASRVRDLFKKAKENVPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVTVDVPDVRGRTGILKVHASNKKFDADVSLDVVAMRTPGFSGADLANLLNEAAILAGRRGKAAISSKEIDDSIDRLCGRRNKNYFETQ >Potri.006G053200.1.v4.1 pep chromosome:Pop_tri_v4:6:3708285:3710532:-1 gene:Potri.006G053200.v4.1 transcript:Potri.006G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053200.v4.1 MLSAQRPSSSTTTSGDQTSQDHGPDNENEQIRDIHALTPPHPPPRNRWETGSSHHGSYSMSMSGEGASSENFTTMSREFNALVVAGSAIGTSNTTNNSIFDHSDIVSSALLSRIGEDDHDVPEETNPLAIVPDNNPSDPEPSSRRLGSGRVGSGSHGGEVSVMRVKKEEVETKITAWQNAKIAKINNRLKREDAVINGWESEQVQKSTSWMKKVERKLEEKRARALEKMQNEVAKAHRKAEERRASAEAKRGTKVARVLEVANLMRAVGRAPAKRSFF >Potri.009G002650.1.v4.1 pep chromosome:Pop_tri_v4:9:843965:848827:1 gene:Potri.009G002650.v4.1 transcript:Potri.009G002650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G002650.v4.1 MTSKRQRFQPFHDVGPVVSSPYNSTIHIYSEGNLGDKNFSLTQATPYNSDHLISDHSLLHTTDDGETYEYVDFGDKTFTCRHCNALFWLQERLVCSSKKNPSFSLCCLNGRVSLPAMQPTPSILDFLLDPNNCSASKKFRDNIRAYNSMFAFTSMGAKIDTSVNDQPAPYVFKISGHCHHLMGFLLPVNGESPKFAQLYVFDTTHEVANRLLPFTRDSKSSSLDENIVVDLLRMLDETNELAKLFLVGDIGDFFSKRDIIIECFSGSLKRISKLHPKFMALQYPLLFPYGEDGYSCNIMKRSRVPMRAYYAYLINERPGCDNTIIKGGRLYHQFLVDAFVNVEEDRLDYIRANQKDLRTKVYRGIHEAVLNGDVEGFSTGKIIVPSSLTGSPRYMINNYQDAMAICRAYGNPDLFITFTCNVSWPEIRMELTKGRIYKHEDKPDIITRVFRLKPFGQTIADVCAIEFQKRGLPHTHILIWLHSNFKCRSPEDVDSIVSVEIPDKFTDPKCYEIVSKFMMHGPCDLANPKSQCMNEGVCSKRFPKKFKMQTVFDDNGFVYYRHRDLKDNFVIKNGIQLNNRYVVPYNRELLLRYNAHINIEIYCQSMLIKYLFKYVSKGSDRCRVVVEKDRVDEIHAYMNYRFICPYEAVWHLLQFPIHSRSPSVERLQIHLPLHQNVVYSGNESLPSILKKPGIEKTMLTEWFTRNRIDHEARQLYYSEFPHKYIWDPGKKEWIPRSKGFILGRLTYVHPASRELYFLRLLLNHVRGALTFDYLKNVSGVVHPTFQLACKTLGLLGDDKEWEDVFCEAMATATSPQIRNLFVSVILFYDVADPEVLFNKFWRSMYDDIITRFKSSFAMPNLKLFDDELKNYVLYKLELLFNVAGTSLEKHKLPMPDGHLLSEIKNKLLREELNYDVADLICQYPSAFPQLNQCQLNVYDCVVKSVLEKRQELIFVHGHGGTGKTFLWHTIINRLRSDGLIVLVVASSDIASLLLPGGRTTHSRFKIPLTVSDTSSSLDRSLRNVLTNGNDLPNDKPFGGKSILLGGHFRQILHVIPGGTKEDIVHASLCNSILWSKFKVLTLTKNMRLSSNGLSNDKKKELAIFANWILAIGDATQQDALFPDDYDASMVKIPQDLLVEAGSNPILAIVSAVYPSICEINIDPCYFRERAIITPRNATVSEINDFILNMLPGMKRIYLSTDTVCKTSSDGDNANILYPVEFINQLEFNGVPSHTISLRIGTPIMLLRNLNLSADLCNGTRLIVTQLAERVMEAQIITGSFIGNRVFIPGLQFPIRSCYAMTINKSQGQSLKVVGVFLKDQIITCDTEENHSIYAKNIVYKDVLSSLSVS >Potri.003G073200.2.v4.1 pep chromosome:Pop_tri_v4:3:10097035:10099230:1 gene:Potri.003G073200.v4.1 transcript:Potri.003G073200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073200.v4.1 MNNSPMYQNYEASEHGAYEFDPQVDFTQFLEEARQHAREMNLQSILPHPESGKERVEVEKKNRRSWKHSLLKWWNAEKKTKPIVEATNSSNISNPRKGHVSGPIYGSGRGVEIRYRRQTSGPLTNLFNRSKSVENENRYVCLDQLNNPQGVKAYGPVYLVT >Potri.015G087500.1.v4.1 pep chromosome:Pop_tri_v4:15:11117902:11120046:1 gene:Potri.015G087500.v4.1 transcript:Potri.015G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087500.v4.1 MKKLLFVALYLALVLGFTESFDFHEKDLESEESLWDLYEKWRSHHTVSTSLDEKRKRFNVFRANVLHVHNTNKMDKPYKLKLNKFADMTNHEFRTAYASSKVKHHTMFRGAPLGNGSFMYGNIDKVPASIDWRKKGAVTPVKDQGKCGSCWAFSTIVAVEGINFIKTNKLISLSEQELVDCNTGENHGCNGGLMDYAFEFITKQKGITTEANYPYRAQDGHCDANKANQPAVSIDGHEDVLHNNENALLKAVANQPVSVAIDAGGSDFQFYSEGVFTGECGKELDHGVAIVGYGTTVDGTKYWIVRNSWGPEWGERGYIRMQRGISDRRGLCGIAMEASYPIKKSSTNPIGPADSPKDEL >Potri.011G012801.1.v4.1 pep chromosome:Pop_tri_v4:11:1189626:1192971:1 gene:Potri.011G012801.v4.1 transcript:Potri.011G012801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G012801.v4.1 MERKRNTDCIILPVFYHVDPSEVRNQTGSFAAAFVDHEKRFKKEMGQVNGWRIALKEVADLGGMVLGDGYEAELVQSIVEKVSKNLDRKIFHVPLHFIGRDHLVKYINSWLQDGTHGAAIAILYGIGGVGKTAIAKTVYNQNFHKFEGRSFLSNVRERSKESNGAVCLQRQLLSDILNKTADEIHDVDEGIIKIKGALCCRRTLIVLDDVDKWYQFNAIGGMQNWLFQGSKIIVTTRNKASIIAECVKCKVEPLYNKKSPAFQLACLWMPS >Potri.004G091500.1.v4.1 pep chromosome:Pop_tri_v4:4:7781639:7783413:-1 gene:Potri.004G091500.v4.1 transcript:Potri.004G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091500.v4.1 MMTGEIWTQLSSAITGLVLAWVMFEQYFPHQLRGYLEKYSQKLMSYVYPYIQITFHEFTSERLKRSEAFSAIQSYLGSNSTKTAKRLKADVVRNNEPLVLTMDDYEEVTDVFDGVKVWWSSSKTVPKTQSISFYPAADERRHYRLTFHKRNRDVITEKYIEHVRKEGKAIAVKNRQRKLFTNNSSKNSYAWKSTKWSHVVFEHPATFDTLAMETKKKEEIKKDLTKFSKGKDYYAKIGKAWKRGYLLYGPPGTGKSTMISAMANLLGYDIYDLELTTVKDNSELRKLLIETTGKSIIVIEDIDCSLDLTGQRKKTKEKDDDDQSDKEKDPVSKKKKEAEEERKSGSKVTLSGLLNFIDGLWSACGGERIIVFTTNYVDKLDPALIRRGRMDKHIELSYCCFEAFKVLAKNYLELESHEMFGKIDELLGETKMTPADVAENLMPMSDEEDEEDCLKRLIEGLETAKEEARKKTKEEAVSKAEKADKEGGETSSQVAKENGEISAEEAKENGVIAGG >Potri.006G128800.1.v4.1 pep chromosome:Pop_tri_v4:6:10435485:10437660:-1 gene:Potri.006G128800.v4.1 transcript:Potri.006G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128800.v4.1 MNLKALRCQILSGSIARRVLLRAFMLATAISIIPSLQILSGSDPGLLLDSVRYNECDFPLMFMGSNLLKNRFLKPFWGSIDCKDDMNVTTNVARELMGMQMIDSSAKALCVGEGSAPAVYALRDLGFVNAFGVHKLPFFSLKHKRVVYELEYAENSFDFVFSGDLDKVSVPAIVVLEIERVLKPGGIGAILVGLNSLNANSLIRSAMPVSSLLKNSNIVHVGYVNEYTLVVFKKRIYSVGYFQQYQLPADCPSIMNNRPHLENLEPLTEIKQGEHEKSIAYLPKFIDLPARKQLVYVEIGGGEHMNSSVSSWFLPSYPADHSTFNVFFVDHNTSVLLSCVKKPGVTFIYYPGLAGDEATLDPDLEEFDPSMGDEGFDFLAWFRETVQYADFVVLKMKAGEVELKFLSGLFKSGAICFIDELFLSCSDQDGEKGRVKGDCMDLFKSLRNTGVYVHQWWGE >Potri.005G014950.1.v4.1 pep chromosome:Pop_tri_v4:5:1203287:1203514:1 gene:Potri.005G014950.v4.1 transcript:Potri.005G014950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014950.v4.1 MQLCVVIPLAWKLWKYSNKALDWMDPSLGDPPSTSMLLRYINIGLLCVQEIPADRPTMSDVISMIVKDRVSLPEP >Potri.009G076200.1.v4.1 pep chromosome:Pop_tri_v4:9:7415804:7418655:-1 gene:Potri.009G076200.v4.1 transcript:Potri.009G076200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076200.v4.1 MASRLTTTALSFLTYLSILFSFSSSTTILPSNSDKVSLALYYESLCPYSANFIVNYLDKLVEDDDLLSIVDLYLSPWGNAKIRGNDTFVCQHGPYECLLNTVEACAIHAWPKLEDHFPFVYCVEKLVYERKYPEWESCFEELGLDPKRVSECYTGGYGNELELEYAAETNALQPPHKYVPWVVVDGQPIYEDYEDFINYICKAYKGTATPKACSNQSRHSIPRAKAKSIPPVCYRDTIVSTLLESVRSATSLWMQ >Potri.003G189500.2.v4.1 pep chromosome:Pop_tri_v4:3:19344503:19365708:-1 gene:Potri.003G189500.v4.1 transcript:Potri.003G189500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189500.v4.1 MESLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILDNASTPYSLMLASSSLLKQVTDHSLSLQLRLDIRNYLINYLATRGPLPHFVNASLILLLCRVTKFGWFDDDKFREVVKEATDFLSQASSNHYEIGLKILNQLVSEMNQPNTGLSSTHHRRVACSFRDQSLFQIFQISLTSLGQLKNDVTGRLQELALSLSLKCLSFDFVGTSIDESSEEFGTIQIPTSWRSVLEDPSTLQIFFDYYAITTSPCSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGEAPSLLDEFVPKITEGFITSRFNSVQAGFADDDDPLDNVELLQDQLDCFPYLCRFQYQTSSLYIITTMEPILQAYTEIALRQSADNSELAVIEAKLSWIVHIIAAILKIKQSTGCSVESQEVLDAELSARVLQLINVTDSGIHSQRYGELSKQRLDRAILTFFQHFRKSYVGDQAVHSSKLYARLSELLGLGDHLLLLNVIVSKIATNLKCYTESEEVINHTLSLFLELASGYMTGKLLLKLDAVKFIVANHTRDRFPFLEEYRSSRSRTTFYYTIGWLIFMEDSPVRFKSSMEPLLQVFIRLESTPDSMFRTDVVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFTAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNVADIYGYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALSDVLDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFSSHIVFIFNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEPPTSPAVINLARHIADCPNLFPEILKTLFEILLFEDCGNQWSLSRPMLSLAIISEQIFSDLKAQILASQPVDQHQRLALCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >Potri.003G189500.3.v4.1 pep chromosome:Pop_tri_v4:3:19344503:19365708:-1 gene:Potri.003G189500.v4.1 transcript:Potri.003G189500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189500.v4.1 MESLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILDNASTPYSLMLASSSLLKQVTDHSLSLQLRLDIRNYLINYLATRGPLPHFVNASLILLLCRVTKFGWFDDDKFREVVKEATDFLSQASSNHYEIGLKILNQLVSEMNQPNTGLSSTHHRRVACSFRDQSLFQIFQISLTSLGQLKNDVTGRLQELALSLSLKCLSFDFVGTSIDESSEEFGTIQVLITYSSNIPTSWRSVLEDPSTLQIFFDYYAITTSPCSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGEAPSLLDEFVPKITEGFITSRFNSVQAGFADDDDPLDNVELLQDQLDCFPYLCRFQYQTSSLYIITTMEPILQAYTEIALRQSADNSELAVIEAKLSWIVHIIAAILKIKQSTGCSVESQEVLDAELSARVLQLINVTDSGIHSQRYGELSKQRLDRAILTFFQHFRKSYVGDQAVHSSKQLYARLSELLGLGDHLLLLNVIVSKIATNLKCYTESEEVINHTLSLFLELASGYMTGKLLLKLDAVKFIVANHTRDRFPFLEEYRSSRSRTTFYYTIGWLIFMEDSPVRFKSSMEPLLQVFIRLESTPDSMFRTDVVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFTAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNVADIYGYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALSDVLDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFSSHIVFIFNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEPPTSPAVINLARHIADCPNLFPEILKTLFEILLFEDCGNQWSLSRPMLSLAIISEQIFSDLKAQILASQPVDQHQRLALCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >Potri.003G189500.4.v4.1 pep chromosome:Pop_tri_v4:3:19344501:19365706:-1 gene:Potri.003G189500.v4.1 transcript:Potri.003G189500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189500.v4.1 MESLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILDNASTPYSLMLASSSLLKQVTDHSLSLQLRLDIRNYLINYLATRGPLPHFVNASLILLLCRVTKFGWFDDDKFREVVKEATDFLSQPNTGLSSTHHRRVACSFRDQSLFQIFQISLTSLGQLKNDVTGRLQELALSLSLKCLSFDFVGTSIDESSEEFGTIQIPTSWRSVLEDPSTLQIFFDYYAITTSPCSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGEAPSLLDEFVPKITEGFITSRFNSVQAGFADDDDPLDNVELLQDQLDCFPYLCRFQYQTSSLYIITTMEPILQAYTEIALRQSADNSELAVIEAKLSWIVHIIAAILKIKQSTGCSVESQEVLDAELSARVLQLINVTDSGIHSQRYGELSKQRLDRAILTFFQHFRKSYVGDQAVHSSKQLYARLSELLGLGDHLLLLNVIVSKIATNLKCYTESEEVINHTLSLFLELASGYMTGKLLLKLDAVKFIVANHTRDRFPFLEEYRSSRSRTTFYYTIGWLIFMEDSPVRFKSSMEPLLQVFIRLESTPDSMFRTDVVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFTAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNVADIYGYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALSDVLDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFSSHIVFIFNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEPPTSPAVINLARHIADCPNLFPEILKTLFEILLFEDCGNQWSLSRPMLSLAIISEQIFSDLKAQILASQPVDQHQRLALCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >Potri.003G189500.1.v4.1 pep chromosome:Pop_tri_v4:3:19344502:19365705:-1 gene:Potri.003G189500.v4.1 transcript:Potri.003G189500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189500.v4.1 MESLAQLEALCERLYNSQDSAERAHAENTLKCFSVNTDYISQCQYILDNASTPYSLMLASSSLLKQVTDHSLSLQLRLDIRNYLINYLATRGPLPHFVNASLILLLCRVTKFGWFDDDKFREVVKEATDFLSQASSNHYEIGLKILNQLVSEMNQPNTGLSSTHHRRVACSFRDQSLFQIFQISLTSLGQLKNDVTGRLQELALSLSLKCLSFDFVGTSIDESSEEFGTIQIPTSWRSVLEDPSTLQIFFDYYAITTSPCSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGQGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGEAPSLLDEFVPKITEGFITSRFNSVQAGFADDDDPLDNVELLQDQLDCFPYLCRFQYQTSSLYIITTMEPILQAYTEIALRQSADNSELAVIEAKLSWIVHIIAAILKIKQSTGCSVESQEVLDAELSARVLQLINVTDSGIHSQRYGELSKQRLDRAILTFFQHFRKSYVGDQAVHSSKQLYARLSELLGLGDHLLLLNVIVSKIATNLKCYTESEEVINHTLSLFLELASGYMTGKLLLKLDAVKFIVANHTRDRFPFLEEYRSSRSRTTFYYTIGWLIFMEDSPVRFKSSMEPLLQVFIRLESTPDSMFRTDVVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFTAEFVLNKAQRLTFDSSSPNGILLFREVSKLIVAYGTRILSLPNVADIYGYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALSDVLDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFSSHIVFIFNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAFYFNNITMGEPPTSPAVINLARHIADCPNLFPEILKTLFEILLFEDCGNQWSLSRPMLSLAIISEQIFSDLKAQILASQPVDQHQRLALCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >Potri.007G110800.1.v4.1 pep chromosome:Pop_tri_v4:7:13268678:13281708:-1 gene:Potri.007G110800.v4.1 transcript:Potri.007G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110800.v4.1 MPISKEEQEMQTAKRAFVNAREVGNHQEEARWANLIGDMYKNRGEYVKALKWLRIDYEISNKYLPEKQLLPTCQSIGDVYLRLHDFKHALVYQKKHLDLAKDANDLVEQQRASTQLGRTYHEMFLKSDNDHSSIRNAKKYFKSAMKLAQSLKENPHTNKSSFLKEYIDAHNNIGMIEMDLDNLEEAKKILARGLEICDEEEVDADDDGRSRLHHNLGNVYMELKVWDKAQEHIKKDIRICNRIGHCQGEAKGYINLGELHYRAQKYEEANLCYHKALDLAKSMEDEDTLVKEIGHNIGTVKEAMKVMDELKKEEQNLKKLTRSIVTARGTHHERKCLLQQNASLDCLIEKSSIILAWSKHHEFAKRKKRIASELCDKEKLGDSFLLLGESYQKLRKFKKAIKWFMKSWETYKSISNLEGQALAKINIGDVLDCDGDWMGALNAFEEGYRIAVNANLPSVQLSALENMHYSHMIRFDNEEEARRLQHEIERLKSKNTELERQNLATDCCSETDTDGDDHLSDCRSNASCSQEINKSGSARSKSLAGAEGLDDDLPLISLLRSHKNSPGTKSTQEEMHNTSTWPTEASPKCFSKTASDQQTVLSRKRIRIVLSDDEDEMHDNVDGSRERLNRCPPEDVATSNGFMGASNPAISACAFQGVSTVASKCATSSWNPNNNEESTSSYKSQSPKIVTPKGKVFRSSSNNEVVFACDLAASGSKCDVSENLTHKNNAAHLRLHNSENDDNQCIVFRIDNDLIQVDAASYLAFDKLSIESMTVELACLYYLQLPTEKRSKGLLPIVQHMKCHGRVLDSIEAFETLKGDQGNILIEVSINGWVQKRLMKLYIDCCEELSEAPNMKLLKKLYISEVEDEVIGSECELQDISVTPLLNALDTHKTVAVIDLSHNFLGNGTMEKLQQFLTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITTRSIQKVADALNASLVLAQLSIGYNNPVSGNAIINLLAKLATLKSFAALNLSGLKLTKPVVDSLCQLAKTSCLSRLMLGSTGIGTDGALQLTASLFEGSQESVKLDLSYCGLMPAYTHMLSTDTLICGILELNLAGNPIMQEGTNAMVSLLTNPQCCLKVLVLNKCQLGLTGILQMIQALAENDCLEELHLADNANLEKTYMIQYDSTKGSCSDILQPNLNKSESSKMSVPKESDSDKQGVCVMNTECNQLEVADSEDGPIRAEAAPSDFDDSCTSSCQKNSLLECQFIQELTTAISMAKQLQFMELGNNGFTTQVAEALYTAWSSRLENGLAWRHIEDQTIHFSMETNKCCRAKPCCRRD >Potri.007G110800.3.v4.1 pep chromosome:Pop_tri_v4:7:13268547:13280508:-1 gene:Potri.007G110800.v4.1 transcript:Potri.007G110800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110800.v4.1 MKLAQSLKENPHTNKSSFLKEYIDAHNNIGMIEMDLDNLEEAKKILARGLEICDEEEVDADDDGRSRLHHNLGNVYMELKVWDKAQEHIKKDIRICNRIGHCQGEAKGYINLGELHYRAQKYEEANLCYHKALDLAKSMEDEDTLVKEIGHNIGTVKEAMKVMDELKKEEQNLKKLTRSIVTARGTHHERKCLLQQNASLDCLIEKSSIILAWSKHHEFAKRKKRIASELCDKEKLGDSFLLLGESYQKLRKFKKAIKWFMKSWETYKSISNLEGQALAKINIGDVLDCDGDWMGALNAFEEGYRIAVNANLPSVQLSALENMHYSHMIRFDNEEEARRLQHEIERLKSKNTELERQNLATDCCSETDTDGDDHLSDCRSNASCSQEINKSGSARSKSLAGAEGLDDDLPLISLLRSHKNSPGTKSTQEEMHNTSTWPTEASPKCFSKTASDQQTVLSRKRIRIVLSDDEDEMHDNVDGSRERLNRCPPEDVATSNGFMGASNPAISACAFQGVSTVASKCATSSWNPNNNEESTSSYKSQSPKIVTPKGKVFRSSSNNEVVFACDLAASGSKCDVSENLTHKNNAAHLRLHNSENDDNQCIVFRIDNDLIQVDAASYLAFDKLSIESMTVELACLYYLQLPTEKRSKGLLPIVQHMKCHGRVLDSIEAFETLKGDQGNILIEVSINGWVQKRLMKLYIDCCEELSEAPNMKLLKKLYISEVEDEVIGSECELQDISVTPLLNALDTHKTVAVIDLSHNFLGNGTMEKLQQFLTSGQKYGDLTLDLHCNRFGPTALFQICECPVLFARLEVLNISGNRLTDACGSYLSTILENCRALYSLNIERCSITTRSIQKVADALNASLVLAQLSIGYNNPVSGNAIINLLAKLATLKSFAALNLSGLKLTKPVVDSLCQLAKTSCLSRLMLGSTGIGTDGALQLTASLFEGSQESVKLDLSYCGLMPAYTHMLSTDTLICGILELNLAGNPIMQEGTNAMVSLLTNPQCCLKVLVLNKCQLGLTGILQMIQALAENDCLEELHLADNANLEKTYMIQYDSTKGSCSDILQPNLNKSESSKMSVPKESDSDKQGVCVMNTECNQLEVADSEDGPIRAEAAPSDFDDSCTSSCQKNSLLECQFIQELTTAISMAKQLQFMELGNNGFTTQVAEALYTAWSSRLENGLAWRHIEDQTIHFSMETNKCCRAKPCCRRD >Potri.001G410500.4.v4.1 pep chromosome:Pop_tri_v4:1:44237263:44241157:1 gene:Potri.001G410500.v4.1 transcript:Potri.001G410500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410500.v4.1 MDQTVCVWNVWSRDQKIARLFRYHNAAVKDVKWSPQGLSVLSCGYDCSSRLIDVEKGIETQIFKEDQVVGVIKFCPDNSNIFLSGGSKGCLRLWDIRNGNVVREYIRGLGPILDVEFTINGKQFISSSDVSGSNLSENSIIVWDVSRQVPLSNQVYVEAYTCPSVRCHPFEPCFVAQSNANYIAIFSSSPPFRLDKYKRYESHGVSGFPVKCNFSLDGEKLVSGSSDGCIYIYNYRSSELIRKIKVYEQACIDVVFHPVLPNVIGSCSWNGDVSIFE >Potri.001G410500.1.v4.1 pep chromosome:Pop_tri_v4:1:44237263:44241181:1 gene:Potri.001G410500.v4.1 transcript:Potri.001G410500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410500.v4.1 MDLLCHAYSNSSDEEPEPEPESESKPEYGPEFRPFIPPPPKRFKPETPSQNFRTEAPVPGRYISKRERALSAQSPRVPNPATNPNLNPNPPVVASPVLGSISDSEIPRDVLSSLRQAKGRASLSQIPKRLAVDLRSHTKAVNALDWSPSHAHLLASAGMDQTVCVWNVWSRDQKIARLFRYHNAAVKDVKWSPQGLSVLSCGYDCSSRLIDVEKGIETQIFKEDQVVGVIKFCPDNSNIFLSGGSKGCLRLWDIRNGNVVREYIRGLGPILDVEFTINGKQFISSSDVSGSNLSENSIIVWDVSRQVPLSNQVYVEAYTCPSVRCHPFEPCFVAQSNANYIAIFSSSPPFRLDKYKRYESHGVSGFPVKCNFSLDGEKLVSGSSDGCIYIYNYRSSELIRKIKVYEQACIDVVFHPVLPNVIGSCSWNGDVSIFE >Potri.001G046900.4.v4.1 pep chromosome:Pop_tri_v4:1:3463641:3467168:-1 gene:Potri.001G046900.v4.1 transcript:Potri.001G046900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046900.v4.1 MRRGSNISPFLSHSFKANPRTYKMGDNNEDPWLAPDKLYHVLFCLSLTLLFSKLASLTRYPFLKRHSIRVGAILSLFAGAAKEAADQIGLFPSAGASAKDAVADIIGVLIAAAALSMLKSKNYDGSDSGSGQTRRVLPI >Potri.001G046900.1.v4.1 pep chromosome:Pop_tri_v4:1:3463616:3467168:-1 gene:Potri.001G046900.v4.1 transcript:Potri.001G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046900.v4.1 MRRGSNISPFLSHSFKANPRTYKMGDNNEDPWLAPDKLYHVLFCLSLTLLFSKLASLTRYPFLKRHSIRVGAILSLFAGAAKEAADQIGLFPSAGASAKDAVADIIGVLIAAAALSMLKSKNYDGSDSGSGQTRRVLPI >Potri.001G046900.3.v4.1 pep chromosome:Pop_tri_v4:1:3464350:3467170:-1 gene:Potri.001G046900.v4.1 transcript:Potri.001G046900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046900.v4.1 MRRGSNISPFLSHSFKANPRTYKMGDNNEDPWLAPDKLYHVLFCLSLTLLFSKLASLTRYPFLKRHSIRVGAILSLFAGAAKEAADQIGLFPSAGASAKDAVADIIGVLIAAAALSMLKSKNYDGSDSGSGQTRRVLPI >Potri.001G046900.2.v4.1 pep chromosome:Pop_tri_v4:1:3463576:3467168:-1 gene:Potri.001G046900.v4.1 transcript:Potri.001G046900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046900.v4.1 MRRGSNISPFLSHSFKANPRTYKMGDNNEDPWLAPDKLYHVLFCLSLTLLFSKLASLTRYPFLKRHSIRVGAILSLFAGAAKEAADQIGLFPSAGASAKDAVADIIGVLIAAAALSMLKSKNYDGSDSGSGQTRRVLPI >Potri.003G155800.1.v4.1 pep chromosome:Pop_tri_v4:3:16692328:16693491:1 gene:Potri.003G155800.v4.1 transcript:Potri.003G155800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155800.v4.1 MGDMEHQEPTIDINGLRFTYPGIDGHPPPGSKPLIDEFFLTLNSGDRCLLVGSNGAGKTTILKILGGKHMVEPQMVRVLGRSAFHDTAALTSSGHLSYLGGEWRREVAFAGFEVPIQMDISAEKMIFGVAGIDPQRRAELIKVLDIDLSWRMHKVSDGQRRRVQICMGLLRPFKVLLLDEIMVDLDVLARADLLKFLRKECEERSATIIYATHIFDGLEEWPTHILYVAHGKLQFAMPMAKIKETSNLSLMRTVESWLRKERDEERKRRQERKAGGLPEYEKQVEGSRVTGDPARVGARVMNNGWAAGRLHSTIAGEENFFLSSNRVLR >Potri.006G185501.1.v4.1 pep chromosome:Pop_tri_v4:6:19184699:19192141:1 gene:Potri.006G185501.v4.1 transcript:Potri.006G185501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185501.v4.1 MSLFRKLFYRKPPDGLLEVSERVFVFDCCFNTDAWQEEDYKGYIGGIVSQLKEHFPDASFLVFNFREGEKQTQIADALSKNDMTLMEYPWQYEGSPLLTMEMIHHFLRSGESWLSLGQQNILLMHCERGGWPVLAFMLAGLLIYRKQYSGEQKTLDMIHRQAPRELLQLLSPFNHVPSQLRYLQYVTRRNAASEWPPLDRALTLDCVILRSLPNFDGEGGCCPLFRVYGQDPFLVSDQTSKLLYSTPKKGNILRAYKQIECELVKIDINCHIQGDVVLECISLDDDMELEEMMFRAVFNTAFIRSNILMLNRDEIDMLWDAKDRFPKNFRAEILFSEMDAAASIVAENLSGFEEKEGLPVEAFANVKEIFSSVEWSDPNSDFALNVLQQISASNIAQENSSADLQHRVEISTQKQETIPRKELAGQSTVTNATVSTASSEQALTVSAGIELMEPKGGSISPSTPAQPPPLGLAVTSSVIKVHPHPPPTLHLSASEPSDPSTVKETETI >Potri.001G063309.1.v4.1 pep chromosome:Pop_tri_v4:1:5953165:5954549:1 gene:Potri.001G063309.v4.1 transcript:Potri.001G063309.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063309.v4.1 MKVPPHKEEPLFLVISSYTPEGGDSPRFFFFLTAVGRGPRLPNLTSFSHSHHQPCPSHRHFPCHFSLPPLPLHPHSRPATSLTSAPDISPLDFLLYSLNCTAGPFPLIFFLSSSSPQQPAQAAAQPSSDQPPEHSISETHRAPPFWIFFFLSRWTQASLPPSALRSS >Potri.013G128200.1.v4.1 pep chromosome:Pop_tri_v4:13:13543774:13545469:1 gene:Potri.013G128200.v4.1 transcript:Potri.013G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128200.v4.1 MAVKIRLARLGCKNRAFYRIVAADSHTPRDGKHLQVLGFYDPLAAKGDARRLGLNVDLVKYWLSVGAQPTDTVRGILMRAGLISPPPMVVMGQKKGPSGDTSNPEKMITQ >Potri.014G164600.2.v4.1 pep chromosome:Pop_tri_v4:14:11881297:11882322:-1 gene:Potri.014G164600.v4.1 transcript:Potri.014G164600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164600.v4.1 MPILWKRTMLALLILSIAPRKSDGELEQWCIADEQTPDDELQIALDWACGKGGADCSKIQVNQPCYLPNTVRDHASYAFNNYFQKFKHKGGSCYFKGAAIITELDPSHSSCQYEFHP >Potri.016G073800.1.v4.1 pep chromosome:Pop_tri_v4:16:5538323:5539135:-1 gene:Potri.016G073800.v4.1 transcript:Potri.016G073800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073800.v4.1 MNHRLEGKVALITGGASGIGECSARLFVQHGAKVLIADIQDDLGEALCKNLGSQESISYIHCDVTCDSDVKNAVDMAVSKYGKLDIMFNNAGIVGTCKAPRILAVEIEDFKRVLDVNLFGAFLGAKHAARVMIPAKKGCILFTGSLVTVSCCSSPHAYAASKHAVVGLTKNLAVELGQHGIRVNCISLFTIATPMVIESMGIEKRKFEEFLSSSSAILKETLLEPEDFANAAIYLASDESKYTSGINLVIDGGYSLTNPTLPLALRSLFS >Potri.004G150900.1.v4.1 pep chromosome:Pop_tri_v4:4:17259183:17261179:-1 gene:Potri.004G150900.v4.1 transcript:Potri.004G150900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150900.v4.1 MEMIPLQPKLASSMRTMPMAASLPLRMEREIRFQFQCYWTRHRNNLQQITSSLYSIDDKKKQKALFVMGTTATGKSKLSIDLATHFQGEIINSDKIQVYKGLDMLTNKISEIERRGVPHHLLGFVEPGEEFTTQDFCNHVHKAMKHITGDGSIPIIAGGSNRYIEALVEDPLFNFKDSYDTCFLWVDVALPILFDRAAKRVDEMLDAGLVEEVRGMFIPGIDHNSGIWRAIGIAEMEPYFQAEMEMADEVTMKILLETGIKEMKENTKKLINKQLTKIKYLANKKGWKFHRIDATCVYERSAKVDEDVWDKKVLRPSLEIVTNFLREDEKAEEVADSFLVTS >Potri.011G041500.3.v4.1 pep chromosome:Pop_tri_v4:11:3218847:3225086:-1 gene:Potri.011G041500.v4.1 transcript:Potri.011G041500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041500.v4.1 MRAQDGHAYVTSLVSAIVEAFVLLVRAIYLAILFSPSLLMAPFADSCGPEFRKMWLHVVHRTLEKAGPAFIKWGQWAATRPDLFPRDLCTKLSELHSKAPEHSFAYTKKTIERAFGRKLSEIFEGFEEVPVASGSIAQVHRASLKCHYPGKKQTKPTVVAVKVRHPGVGESIRRDFMIINLVAKISTFLPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVESYEQGESVSHYVDDLEGHNWIKTALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSPNSSRKRLFKSKPHVVFLDVGMTAELSQGDRVNLIDFFKAIARRDGRTAAECTLRLSKRQNCPNPKAFIEEVEEAFTFWGTAQGDLVHPAECMQQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPEYNVMQTLQTLLLQADWAKSLSYTIDGLMAP >Potri.011G041500.2.v4.1 pep chromosome:Pop_tri_v4:11:3217312:3225116:-1 gene:Potri.011G041500.v4.1 transcript:Potri.011G041500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041500.v4.1 MFRFLTTGNIKKVTVLIFGKQKSSDQLLEVKEHGTVVSVCLHLPQFRNYSQYSFPSREYSSFALCNIKEQFGRRCFTRNYSFTSDAVTHNAQLAWKRLFRKGSASGWSFPRISRIAQAVSLALTRSQLVVPSALVLTCGQVAWAQRTLVEPDYYPGSLYMRAQDGHAYVTSLVSAIVEAFVLLVRAIYLAILFSPSLLMAPFADSCGPEFRKMWLHVVHRTLEKAGPAFIKWGQWAATRPDLFPRDLCTKLSELHSKAPEHSFAYTKKTIERAFGRKLSEIFEGFEEVPVASGSIAQVHRASLKCHYPGKKQTKPTVVAVKVRHPGVGESIRRDFMIINLVAKISTFLPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVESYEQGESVSHYVDDLEGHNWIKTALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSPNSSRKRLFKSKPHVVFLDVGMTAELSQGDRVNLIDFFKAIARRDGRTAAECTLRLSKRQNCPNPKAFIEEVEEAFTFWGTAQGDLVHPAECMQQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPEYNVMQTLQTLLLQADWAKSLSYTIDGLMAP >Potri.011G041500.1.v4.1 pep chromosome:Pop_tri_v4:11:3218714:3225089:-1 gene:Potri.011G041500.v4.1 transcript:Potri.011G041500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041500.v4.1 MFRFLTTGNIKKVTVLIFGKQKSSDQLLEVKEHGTVVSVCLHLPQFRNYSQYSFPSREYSSFALCNIKEQFGRRCFTRNYSFTSDAVTHNAQLAWKRLFRKGSASGWSFPRISRIAQAVSLALTRSQLVVPSALVLTCGQVAWAQRTLVEPDYYPGSLYMRAQDGHAYVTSLVSAIVEAFVLLVRAIYLAILFSPSLLMAPFADSCGPEFRKMWLHVVHRTLEKAGPAFIKWGQWAATRPDLFPRDLCTKLSELHSKAPEHSFAYTKKTIERAFGRKLSEIFEGFEEVPVASGSIAQVHRASLKCHYPGKKQTKPTVVAVKVRHPGVGESIRRDFMIINLVAKISTFLPALNWLRLDESVQQFAVFMMSQVDLAREAAHLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVESYEQGESVSHYVDDLEGHNWIKTALAHIGTHALLKMLLVDNFIHADMHPGNILVRVSPNSSRKRLFKSKPHVVFLDVGMTAELSQGDRVNLIDFFKAIARRDGRTAAECTLRLSKRQNCPNPKAFIEEVEEAFTFWGTAQGDLVHPAECMQQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPEYNVMQTLQTLLLQADWAKSLSYTIDGLMAP >Potri.011G130100.7.v4.1 pep chromosome:Pop_tri_v4:11:16395738:16400542:-1 gene:Potri.011G130100.v4.1 transcript:Potri.011G130100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130100.v4.1 MKRGYPTSPSNSSASGPPKSRLKYTPEGEADFSNDFVQRVADHYSARTNQTLEEREASPIIHLKKLNNWIKSVLVQQYTGKGDAVLDLACGKGGDLIKWDKAKAGYYVGIDIAEGSMEDCRTRYNGDADHHQRRKKFTFPARLICGDCFELQLDEVLVDDAPFDIVSCQFALHYSWSTEARARRALANISALLRPGGTFIGTMPDANVIIKKLREAEGLAFGNSVYWVRFDEEFSQKKFRSSSPFGIKYYFHLEDAVDCPEWIVPFHVFKALAEEYDFELIFAKNNHEFVHENMKKPENVELMRRLGALGDGNQDLSTLSPDEWEVAYLYLAFVLKKRGQPDRTPVKSKRDKGKMHLEKEDILYISSEV >Potri.019G056800.1.v4.1 pep chromosome:Pop_tri_v4:19:9564106:9574909:-1 gene:Potri.019G056800.v4.1 transcript:Potri.019G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056800.v4.1 MGNLQRSLSPSSLSTSSSSSHGPHPLQIDPELWLMAEKRTQEILYTIQPTFASEHKRMEVIDYIQSLIKYYFTVEVFAFGSVPLKTYLPDGDIDLMVLSHQNMEEELARGVCTLLQREELDPEFQVNDVQYIHAQVKLVKCSVKNISVDISFNQMAGPSALCFLEQVDQLIGQDHLFKRSIILIKAWCFYESRILGAHHGLISTYALQILVLNIINVFHSSLPDPLAVLYKFLDYYSAFDWDNYCVSINGPIPISSFPQTDSTHNNGNESLISQEFLRNFRDKFAFLMKELENGAHEFPIKHLNIVDPLKSSNNLGRSVNKGNFHRIRGALSYGAQRLGEIIALPGEAMGGRLEKFFMNTLDRNGRGQRPDADVPVPAFGTGRSEASDLNGDYDKYYSGLLHGQWYHSYALPVPPQPSSPSSPSQIKQKSARDVLPQLLQSKQDILSQRGTEVFFPRQKCHPYASQVHVAISGIDTMRKSRGTGTYIPDMCHNRYKDLLLWMTMSNPDSSHRPLLKSPRKSDYAEDSPMTVKSRNGNCLNISPDQISPGMEKSENGSCLDFSLDQFPLLPCSENSMSSEIHHSYQTTTKACQAKNCSATLGNIQFGSLPLLLGMPSSVAKKQADSGVLTSMYRMPAVQRKKMQKQQGFLESPEKMVHRQHFQVKNDTAFPLSDHQGTHMWRFK >Potri.019G056800.3.v4.1 pep chromosome:Pop_tri_v4:19:9564158:9574717:-1 gene:Potri.019G056800.v4.1 transcript:Potri.019G056800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056800.v4.1 MGNLQRSLSPSSLSTSSSSSHGPHPLQIDPELWLMAEKRTQEILYTIQPTFASEHKRMEVIDYIQSLIKYYFTVEVFAFGSVPLKTYLPDGDIDLMVLSHQNMEEELARGVCTLLQREELDPEFQVNDVQYIHAQVKLVKCSVKNISVDISFNQMAGPSALCFLEQVDQLIGQDHLFKRSIILIKAWCFYESRILGAHHGLISTYALQILVLNIINVFHSSLPDPLAVLYKFLDYYSAFDWDNYCVSINGPIPISSFPQTDSTHNNGNESLISQEFLRNFRDKFAFLMKELENGAHEFPIKHLNIVDPLKSSNNLGRSVNKGNFHRIRGALSYGAQRLGEIIALPGEAMGGRLEKFFMNTLDRNGRGQRPDADVPVPAFGTGRSEASDLNGDYDKYYSGLLHGQWYHSYALPVPPQPSSPSSPSQIKQKSARDVLPQLLQSKQDILSQRGTEVFFPRQKCHPYASQVHVAISGIDTMRKSRGTGTYIPDMCHNRYKDLLLWMTMSNPDSSHRPLLKSPRKSDYAEDSPMTVKSRNGNCLNISPDQISPGMEKSENGSCLDFSLDQFPLLPCSENSMSSEIHHSYQTTTKACQAKNCSATLGNIQFGSLPLLLGMPSSVAKKQADSGVLTSMYRMPAVQRKKMQKQQGFLESPEKMVHRQHFQVKNDTAFPLSDHQGTHMWRFK >Potri.003G013026.1.v4.1 pep chromosome:Pop_tri_v4:3:1480573:1481239:-1 gene:Potri.003G013026.v4.1 transcript:Potri.003G013026.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013026.v4.1 MEPVHLFTKKLKPTHISHALSFPTHALEAFPIPEGAHMTNYEAVDATDNAWRFCLSTRMTGAYPKPVLLRSSWHRFVEQKGLTPEDRVVFFMERDEVNDMLRRYTVRAQRKVMTLMGQDVWVDVEHLPLYGL >Potri.018G131700.1.v4.1 pep chromosome:Pop_tri_v4:18:14178463:14181095:1 gene:Potri.018G131700.v4.1 transcript:Potri.018G131700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131700.v4.1 MASLTPISQTQFLFNKPLLKSSSPRLETCFQSSFVSKRLCFQLKVPVFDRMPLKNTAVVAATTAEKPKKRYPGEAKGFVEEMRFVAMKLHTREQAKEGEKEVKEKEEEAVRKWEPSIDGYLKFLVDSKLVYDTLEEIVEKASFPFYAEFRNTGLERSEKLAKDLEWFKEQGYNIPKPSSPGVTYSQILQELSEKDPQAFICHFYNIYFAHSAGGQMIGRKVAEQLLNKKELEFYKWDGDLSQLLQNVRDKLNKVAEGWTREEKDHCLEETEKSFKHSGEILRLILS >Potri.006G147300.1.v4.1 pep chromosome:Pop_tri_v4:6:12606610:12617362:-1 gene:Potri.006G147300.v4.1 transcript:Potri.006G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147300.v4.1 MECVGARNFGAMAAVLLSCPCPVWRSKTGVATQPQSSSSSSSAKQSVFNSNKRYRLCKVRSGGGSNSSRGMNDSCSSVAVKEGMFADEEDYIKAGGSELGFVQMQREKAMSQQSKLADKLRPIATSGEGGGELDLVVVGCGPAGLALAAESAKLGLSVGLIGPDLPFTNNYGVWEDEFKDLGLDGCIEHVWRDTIVYLNDNDPILIGRSYGRVSRYLLHEELLRRCVESGVSYLSSKVERITEATDGQSLVACEHGIVVPCRLATVASGAASGKLLQYEVGGPRVSVQTAYGVEVEVENNPYDPSLMVFMDYRDYIKQKVQCLEAEYPTFLYAMPMSSTRVFFEETCLASKDAMPFDLLKKKLLSRLEAMGIRVLKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPNYASVIANILNQDHSKGKLTLERRYANISMQAWNTLWPLERKRQRAFFLFGLALILQLDIEGIRIFFHTFFRLPSWMWQGFLSSSLSSADLMLFALYMFAIARNDLRMCLVRHLLSDPTGATMIRTYLTV >Potri.011G013225.1.v4.1 pep chromosome:Pop_tri_v4:11:1232389:1232700:1 gene:Potri.011G013225.v4.1 transcript:Potri.011G013225.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G013225.v4.1 MNTSAYWCAVNLENCFILFRSLKSLIFARLEMAAGKYQESYSSRFSYCKYQVFLSFRGEDTRKNFTDHLYKALVDAGFHTFRDDDEIRRGKNIQLELQKAIQQ >Potri.015G004800.1.v4.1 pep chromosome:Pop_tri_v4:15:293059:293659:1 gene:Potri.015G004800.v4.1 transcript:Potri.015G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004800.v4.1 MMMSMFSSFDALCAESFGQKPNFSFSAPKEGNRPEVESMKKSLMSEGNKDKSSASSKSPPASIDKSLQQQKRRLRFAPELDGVHCFETILPY >Potri.017G029000.4.v4.1 pep chromosome:Pop_tri_v4:17:1965056:1968345:-1 gene:Potri.017G029000.v4.1 transcript:Potri.017G029000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029000.v4.1 MNLSSLPNSLSLPLPLPAIPSFAFSIQKTHNSLSRPSRSLAMASNGVNDKSLIVSFGEMLIDFVPTVSGVSLAEAPGFVKAPGGAPANVAIAVARLGGKAAFVGKLGDDEFGNMLAGILKENGVIATGINFDTGARTALAFVTLRADGEREFMFYRNPSADMLLRPEELNLELIRSAKVFHYGSISLIVEPCRSAHLQAMRVAKDAGALLSYDPNLRLPLWPSAEEAREQILSIWDEADVVKVSDNELEFLTGSDKIDDETAMSLWRPNFKLLLVTLGEKGCNYYTKNFHGSVEAFHVDTVDTTGAGDSFVGALLCKIVDDQSVLEDEPRLREILRFANACGAITTTKKGAIPALPTPADALKLVKEGK >Potri.019G118400.1.v4.1 pep chromosome:Pop_tri_v4:19:14442845:14447360:-1 gene:Potri.019G118400.v4.1 transcript:Potri.019G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118400.v4.1 MAALDGSEAPAKLKGKYAAMIVCWLLGIGCLFSWNSMLTIEDYYVYIFPHYHPSRVLTLVYQPFALGTIAVLTYNEAKINTRLRNLFGYALFFLSTLLVLVLDLATSGKGGIGTFIGVCAISGAFGVADAHVQGGMVGDLSFMQPEFIQSFLAGLAASGALTSALRLITKAAFDNSQDGLRKGAILFFAICTFFELLCVLLYAYIFPKLDIVKHYRSKAASEGSKTVSADLAAGGIQTLLKPEAQEDPKQLERLSNKELLLQNIDYAIDMFLIYVLTLSIFPGFLSEDTGTHSLGGWYALVLIAMYNVCDLIGRYIPLLKFLKLESRKSLMIAILSRFLLVPAFYFTAKYGDQGWMIMLTSFLGLTNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFLLGGIFAGVTLDWLWLIGKGW >Potri.019G118400.5.v4.1 pep chromosome:Pop_tri_v4:19:14442841:14446771:-1 gene:Potri.019G118400.v4.1 transcript:Potri.019G118400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118400.v4.1 MAALDGSEAPAKLKGKYAAMIVCWLLGIGCLFSWNSMLTIEDYYVYIFPHYHPSRVLTLVYQPFALGTIAVLTYNEAKINTRLRNLFGYALFFLSTLLVLVLDLATSGKGGIGTFIGVCAISGAFGVADAHVQGGMVGDLSFMQPEFIQSFLAGLAASGALTSALRLITKAAFDNSQDGLRKGAILFFAICTFFELLCVLLYAYIFPKLDIVKHYRSKAASEGSKTVSADLAAGGIQTLLKPEAQEDPKQLERLSNKELLLQNIDYAIDMFLIYVLTLSIFPGFLSEDTGTHSLGGWYALVLIAMYNVCDLIGRYIPLLKFLKLESRKSLMIAILSRFLLVPAFYFTAKYGDQGWMIMLTSFLGLTNGYLTVCVLTSAPKGYKGPEQNALGNLLVLFLLGGIFAGVTLDWLWLIGKGW >Potri.017G094500.1.v4.1 pep chromosome:Pop_tri_v4:17:10701062:10704783:-1 gene:Potri.017G094500.v4.1 transcript:Potri.017G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094500.v4.1 MAQTEKLQNIETGQHQHNISMSNNLPKELLQRFISGNHYNHRLNGEEGEDDDVELSLGLSLNGRFGVDPKAKKITRSSSIASDFINPTGENGSAFVVSNLESNYLPRTCSLPTETKEELRKRKEMQTLRRMEAKRKRTEKQKILKTVTDKTMGEEDKRENGTNGDHHQKEFLKSFNGFFGVGVEGLSAQAEAAPPLTHESQGTGSSGTTEFESQPLQGMNKYTESRSPVSVQSLSDYEQRSIIAPGLVLGEKSSFPAGVAMEKNGSNKLTVTENGTKEVVRDVLEDMPYVSAKGDGPNGKRIEGFLYQYRKGEEVTIVCVCHGSFLSPAEFVKHAGGGDDVEQPLKHIVVHPSPFF >Potri.001G426030.2.v4.1 pep chromosome:Pop_tri_v4:1:45721879:45727254:-1 gene:Potri.001G426030.v4.1 transcript:Potri.001G426030.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426030.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFAQEFKEQKENLVSAKERLQDDVEAAERNAEKTYRDVKKWLEDANNQIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKILENSTKFKTVAQKAPPQPIEFLTSKEFTPSESSKEALEQIMKALKDDTVNMIGLYGMGGVGKTTLVKEVGRRAKESQLFPDVLMATVSQNPNFIGIQDRMADSLHLKFEKTSKEGRASELWQRLQGKKMLIILDDVWKHIDLEEIGIPFGDDHRGCKILLTTRVQGICFSMECQQKVLLKTIFIKECGKLEYVFPVSMSPSLPNLEQMTIYYADNLKQIFYSGEGDALTTDGIIKFPQLRELSLGLRSNYGFIGPRNFDFQLPSLQNLNIKGHEEVGNWLAQLQQNGFLQRLEAVHMRDCGDVRAPFPAKLLRAVKNLKKVGVWCCKSLEEVFELGEADEGSSEEKELLSSLTELLLSGLPELKCIWKGPTRHVSLQSLAYLYLNSLDKLTFILTPSLARSLPKLETLEISECGELKYIIREEDGEREIIPESPCFPRLKTIFIKDCGKLEYVFPVSVSPSLPNLELMTIDRTDNLKQIFYSGEGDALTTDGIIKFPRLSDLVLSSISNYSFFGPTNLAAQLPSLRFLKINGHKELGNLFAQLQGFTNLKELSLESVPDLRGLLLSKLTTLEMAAHGEQNGSLHRLERVRVDDCGDVRAPFPAKLLRALKNLSSVNINGCKSLEEVFELGEPDEGSREEKELPLLSSLTGLRLSGLPELKCMWKGPTRHVSLQSLAYLDLWSLDKLTFIFTPSLARSLPKLERLYIGKCGQLKHIIREEDGEKEIIPEPPGQDGQASPINVEKEIVLPNLKELSIQQLSSIVCFSFGWCDYLLFPRLEKLEVHLCPKLTTKFASTPDGSMSAQSEVSEVAEDSSIYREWTRDKGWKER >Potri.001G426030.1.v4.1 pep chromosome:Pop_tri_v4:1:45721878:45735659:-1 gene:Potri.001G426030.v4.1 transcript:Potri.001G426030.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426030.v4.1 MALESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFAQEFKEQKENLVSAKERLQDDVEAAERNAEKTYRDVKKWLEDANNQIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKILENSTKFKTVAQKAPPQPIEFLTSKEFTPSESSKEALEQIMKALKDDTVNMIGLYGMGGVGKTTLVKEVGRRAKESQLFPDVLMATVSQNPNFIGIQDRMADSLHLKFEKTSKEGRASELWQRLQGKKMLIILDDVWKHIDLEEIGIPFGDDHRGCKILLTTRVQGICFSMECQQKVLLKTIFIKECGKLEYVFPVSMSPSLPNLEQMTIYYADNLKQIFYSGEGDALTTDGIIKFPQLRELSLGLRSNYGFIGPRNFDFQLPSLQNLNIKGHEEVGNWLAQLQQNGFLQRLEAVHMRDCGDVRAPFPAKLLRAVKNLKKVGVWCCKSLEEVFELGEADEGSSEEKELLSSLTELLLSGLPELKCIWKGPTRHVSLQSLAYLYLNSLDKLTFILTPSLARSLPKLETLEISECGELKYIIREEDGEREIIPESPCFPRLKTIFIKDCGKLEYVFPVSVSPSLPNLELMTIDRTDNLKQIFYSGEGDALTTDGIIKFPRLSDLVLSSISNYSFFGPTNLAAQLPSLRFLKINGHKELGNLFAQLQGFTNLKELSLESVPDLRGLLLSKLTTLEMAAHGEQNGSLHRLERVRVDDCGDVRAPFPAKLLRALKNLSSVNINGCKSLEEVFELGEPDEGSREEKELPLLSSLTGLRLSGLPELKCMWKGPTRHVSLQSLAYLDLWSLDKLTFIFTPSLARSLPKLERLYIGKCGQLKHIIREEDGEKEIIPEPPGQDGQASPINVEKEIVLPNLKELSIQQLSSIVCFSFGWCDYLLFPRLEKLEVHLCPKLTTKFASTPDGSMSAQSEVSEVAEDSSIYREWTRDKGWKER >Potri.013G025600.2.v4.1 pep chromosome:Pop_tri_v4:13:1633169:1638354:1 gene:Potri.013G025600.v4.1 transcript:Potri.013G025600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025600.v4.1 MGDSGDSVKQEKKVVFVTVGTTLFDALVRTVDTKEVKQELLRKGYTDLVIQMGRGSYTPTKCDGGHGSLAVDYFTFSPSIADHLRSASLVISHAGSGSVFETLQLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCAHPQTLHQTISDMNVESLLPYPSGDATPLAKLMNTFLGFPDD >Potri.003G123600.1.v4.1 pep chromosome:Pop_tri_v4:3:14393176:14396565:1 gene:Potri.003G123600.v4.1 transcript:Potri.003G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123600.v4.1 MPSLVSPRLLFLVGETIHLKKLSRGSSSALCQRSVRFFSRVDRDKNAPLTLANLGFNTEFETTTKNKRNKLENVKVNYPIEAPKSREKVATSNKSRVVRVKKTLEIESAPFAAKSFSELGLPPPLIERLEREGFNVPTDVQSAAIPTILKNHDAVIQSYTGSGKTLAYVLPILSEVGPLKNNYSSADKETGKKTEIEAVVVAPSRELAMQIVREVEKLLGPENKRVVQQLVGGANRSRQEEALKKNKPLIVVGTPGRIAEISAAGKLHTHGCRFLVLDEVDELLSFNFRQDIHRILEHVGRRSGADPQGQKNSLVRWADRRTIMVSATVPFSVVRAARSWACDPLLVQAKSVIPLESLAPGTVNLSSPTSSSNSDSNLQHQATVQSLPPALKHYYCVTRLQHKVDTLRRCVHALNAQSVIAFMNHTRQLKDAVFKLEARGMKAAELHGDLGKLGRSTILKKFKSGEVRVLVTNELAARGLDVPECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGTVVTICEEREVFVVKKLQKQLGVPIPACDFTEGKLIVTDKEEKHAEALR >Potri.003G123600.2.v4.1 pep chromosome:Pop_tri_v4:3:14393208:14395723:1 gene:Potri.003G123600.v4.1 transcript:Potri.003G123600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123600.v4.1 MPSLVSPRLLFLVGETIHLKKLSRGSSSALCQRSVRFFSRVDRDKNAPLTLANLGFNTEFETTTKNKRNKLENVKVNYPIEAPKSREKVATSNKSRVVRVKKTLEIESAPFAAKSFSELGLPPPLIERLEREGFNVPTDVQSAAIPTILKNHDAVIQSYTGSGKTLAYVLPILSEVGPLKNNYSSADKETGKKTEIEAVVVAPSRELAMQIVREVEKLLGPENKRVVQQLVGGANRSRQEEALKKNKPLIVVGTPGRIAEISAAGKLHTHGCRFLVLDEVDELLSFNFRQDIHRILEHVGRRSGADPQGQKNSLVRWADRRTIMVSATVPFSVVRAARSWACDPLLVQAKSVIPLESLAPGTVNLSSPTSSSNSDSNLQHQATVQSLPPALKHYYCVTRLQHKVDTLRRCVHALNAQSVIAFMNHTRQLKDAVFKLEARGMKAAELHGDLGKLGRSTILKKFKSGEVRVLVTNELAARGLDVPECDLVVNLDLPTDSIHYAHRAGRTGRLGRKGTVVTICEEREVFVVKKLQKQLGVPIPACDFTEGKLIVTDKEEKHAEALR >Potri.006G236600.6.v4.1 pep chromosome:Pop_tri_v4:6:23869302:23874347:-1 gene:Potri.006G236600.v4.1 transcript:Potri.006G236600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236600.v4.1 MDEYDSGGGRSADKGTGAEDEGSTAPLPEKVQVGGSPTYKIDRKLGKGGFGQVYVGRRIGPTNFSNERTGPGALEVALKFEHRSSKGCNYGPPYEWQVYNALGGSHGVPRAHYKGRQGDYYVMVMDMLGPSLWDVWNNNSHTMSIDMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPRGTPEEKKLFLVDLGLATRWRDSSTGLHVEYDQRPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGDNKGFLVCKKKMATSPEALCSFCPQPFRQFVEHVVNLKFDEEPNYAKYISLFDGIVGPNPDIRPINTDGAQKLIYQVGNKRGRLTMEEEEDEQPKKKLRMGMPATQWISVYNARRPMKQRYHYNVADVRLSQHIEKGNEDGLFISSVASCSNLWALIMDAGTNFSAQVYELSPYFLHKEWIMEQWEKNYYISAIAGANNGSSLVVMSKGTQYLQQSYKVSDSFPFKWINKKWREGFYVTAMATAGSRWAIVMSRGTGFSDQVVELDFLYPSEGIHRRWDGGYRITSTAATCDQAAFVLSVPRRKPVDETQETLRTSAFPSTHVKEKWATNLYIASVCYGRTVS >Potri.018G049733.1.v4.1 pep chromosome:Pop_tri_v4:18:4764741:4765616:1 gene:Potri.018G049733.v4.1 transcript:Potri.018G049733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049733.v4.1 MEKVLVEAYRLLCFRLLRMACAIRGSDYIDCHELKEMQPFYSKTNVYNIFCPRKMHITMTFVRYNCKKIALNTHIIKVSMSRKLLEYLSWCYCGEDLHQCLSKGDLNPLPASLLRG >Potri.002G146000.1.v4.1 pep chromosome:Pop_tri_v4:2:11008638:11011980:1 gene:Potri.002G146000.v4.1 transcript:Potri.002G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146000.v4.1 MATTMTLPNNPIKSKLSTTQCLKTVGFHRTACASGRKLYSQCSFVHVAEPYLAKNFRRRAWQIKSSVDGSGLDPSSSSSGGGGGTRLVRAIQAFQTRLGVRIREIRKNLPMKLLFFLVGFYCATAFATVIGQTGDWDILSAALAVVVVEGIGALMYRASFPFVKSIRSIVSVFNYWKAGLTLGLFLDSFKYEIDKIMSFSNLFDFEMDIFSLFL >Potri.015G125300.1.v4.1 pep chromosome:Pop_tri_v4:15:13789388:13790870:1 gene:Potri.015G125300.v4.1 transcript:Potri.015G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125300.v4.1 MKIIQWIKKMFRKLLNKKVVERQTRPDDFLREDQEALLERNPHGDLTCQRCMKEMNGLEEQVAHKDKEIQYYKRALSDKNQEIRRLKNMNMPQNPQSRLWYPEEDILGARPSQGENRTPSGLN >Potri.005G100700.1.v4.1 pep chromosome:Pop_tri_v4:5:7284281:7285059:-1 gene:Potri.005G100700.v4.1 transcript:Potri.005G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100700.v4.1 MAQILHLYLLATLLLALSFKATSSSRHSGNNGLKSLHFALYQHETINKTGYIIVNGVAGAGVGQTTTPFGTLFAFQDPMTVTANISSKVVAIAEGTSITSSFDGLRSISIAKITLRLKNHMGSISIVGGTHNIKPADHPVVGGTGDFMFVQGYVTSSPVDLQGLTVTYKIVFHLYWPSYANKFSLHDKRVRNDIIT >Potri.003G127900.3.v4.1 pep chromosome:Pop_tri_v4:3:14692999:14693527:1 gene:Potri.003G127900.v4.1 transcript:Potri.003G127900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127900.v4.1 MEEEAAAATQRDQSTDTETVIIQPDLDPPSSCSDEPNSTEPKFNLNQSEIFKALEIVERDALAIAYSFTSLFSSLLLALSEAASTSVDHMQCFGDAAGRLQESVLDAATNVRFD >Potri.003G127900.2.v4.1 pep chromosome:Pop_tri_v4:3:14692922:14696802:1 gene:Potri.003G127900.v4.1 transcript:Potri.003G127900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127900.v4.1 MEEEAAAATQRDQSTDTETVIIQPDLDPPSSCSDEPNSTEPKFNLNQSEIFKALEIVERDALAIAYSFTSLFSSLLLALSEAASTSVDHMQCFGDAAGRLQESGNKMLGGSSFFGTHMGSTQGFAFRIATLSTDQKFLNSNRAL >Potri.003G127900.10.v4.1 pep chromosome:Pop_tri_v4:3:14692928:14696802:1 gene:Potri.003G127900.v4.1 transcript:Potri.003G127900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127900.v4.1 MEEEAAAATQRDQSTDTETVIIQPDLDPPSSCSDEPNSTEPKFNLNQSEIFKALEIVERDALAIAYSFTSLFSSLLLALSEAASTSVDHMQCFGDAAGRLQESEMNQLE >Potri.003G127900.11.v4.1 pep chromosome:Pop_tri_v4:3:14692999:14694285:1 gene:Potri.003G127900.v4.1 transcript:Potri.003G127900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127900.v4.1 MEEEAAAATQRDQSTDTETVIIQPDLDPPSSCSDEPNSTEPKFNLNQSEIFKALEIVERDALAIAYSFTSLFSSLLLALSEAASTSVDHMQCFGDAAGRLQESD >Potri.005G069700.4.v4.1 pep chromosome:Pop_tri_v4:5:4520930:4526269:-1 gene:Potri.005G069700.v4.1 transcript:Potri.005G069700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069700.v4.1 MKIQGLKFDVDDMIVKMASQDQTKMLHIKQQLATIDHTPDHPVYPVMVHEAIMDLNEEGGSTKDAISQFIMRKYDVFQVVHVAKLIEQLEKLVEKEEIVFTSENRYMLPAEDSGSPAKLKQGKKQSVQYELDRRSNKLQKGVQVQEDQNAIIEIEDQPESDKAKESQAAQSEGVEYRNHLQEDQLRVYEEERFEMTTENFSQVVEGQSKVIVEDRYQQPAGVDEGRHEKEVHIQMRETEATEERSQLQQQELQVTEDQVETERQNKVTVDLHHVERPENEEIIGEIRPQVPQDMYVDEHYQSEKTHIRTIPMQIETRGKEVGVIGEEKGLQEQDNKVGNNSTDISHTSEVSGQPVRDKDMIAMPSSSQTSQQLDHVGSSLLFHTLKEKCINVWKKLTEAEHELMDVLSCLNSMEAIANIHESEPKALPHSDYVPKDPMQLQQNQQFELPKLKRTAEVQITALQESFHNQKRQALPGNHDFPSKYWPENISVSPTQQIMHSQQLEVEKHQDFPSFKGYLQLHDPEKGSKSCSFAGSLEPQELNLEQRSEHHGTTVEDLQTPVNLEHVELRVELEQRVDVPELKKALGKNLSADGELTPAEDITIDRDEVEPERPPGFEVTVERFSQERLQCAVPCGDAKKLEPVSMQLVKGQNLELSVKERHIEVETITSEQQCQIKQQIQEKELRPPEQRASENKSESGAAGATSDQQLHNIEMLQDPKQILVVHESSRLTNQQKKGRGKRKKVEMELPRRELWYRGTKACESNPAHPTDANDVDSDRQRKLDHPIPERPQKRKPKKVEKSSQSVEQELKDQGRKGLLRSQLAPVTSDEVKMLENPKQHKLTSAGDSIQTQQKQQLQFRVQCSSQAEEIMDKIMEESQPLPDQNKQGSQLAYQLRGRRPKLKPVMDTAKGKFLPVDGEDHHKELPLHIQGQRPKAMTSTKLIVREISSSHQSHNEQQPKKRGPGRPPKSLSAASQTVNVPLSPQIQLKQKRQQHDLQKKQKWPIGAGQGRFTRSKSPSVAETISS >Potri.005G069700.1.v4.1 pep chromosome:Pop_tri_v4:5:4520930:4526269:-1 gene:Potri.005G069700.v4.1 transcript:Potri.005G069700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069700.v4.1 MKIQGLKFDVDDMIVKMASQDQTKMLHIKQQLATIDHTPDHPVYPVMVHEAIMDLNEEGGSTKDAISQFIMRKYDVFQVVHVAKLIEQLEKLVEKEEIVFTSENRYMLPAEDSGSPAKLKQGKKQSVQYELDRRSNKLQKGVQVQEDQNAIIEIEDQPESDKAKESQAAQSEGVEYRNHLQEDQLRVYEEERFEMTTENFSQVVEGQSKVIVEDRYQQPAGVDEGRHEKEVHIQMRETEATEERSQLQQQELQVTEDQVETERQNKVTVDLHHVERPENEEIIGEIRPQVPQDMYVDEHYQSEKTHIRTIPMQIETRGKEVGVIGEEKGLQEQDNKVGNNSTDISHTSEVSGQPVRDKDMIAMPSSSQTSQQLDHVGSSLLFHTLKEKCINVWKKLTEAEHELMDVLSCLNSMEAIANIHESEPKALPHSDYVPKDPMQLQQNQQFELPKLKRTAEVQITALQESFHNQKRQALPGNHDFPSKYWPENISVSPTQQIMHSQQLEVEKHQDFPSFKGYLQLHDPEKGSKSCSFAGSLEPQELNLEQRSEHHGTTVEDLQTPVNLEHVELRVELEQRVDVPELKKALGKNLSADGELTPAEDITIDRDEVEPERPPGFEVTVERFSQERLQCAVPCGDAKKLEPVLVKGQNLELSVKERHIEVETITSEQQCQIKQQIQEKELRPPEQRASENKSESGAAGATSDQQLHNIEMLQDPKQILVVHESSRLTNQQKKGRGKRKKVEMELPRRELWYRGTKACESNPAHPTDANDVDSDRQRKLDHPIPERPQKRKPKKVEKSSQSVEQELKDQGRKGLLRSQLAPVTSDEVKMLENPKQHKLTSAGDSIQTQQKQQLQFRVQCSSQAEEIMDKIMEESQPLPDQNKQGSQLAYQLRGRRPKLKPVMDTAKGKFLPVDGEDHHKELPLHIQGQRPKAMTSTKLIVREISSSHQSHNEQQPKKRGPGRPPKSLSAASQTVNVPLSPQIQLKQKRQQHDLQKKQKWPIGAGQGRFTRSKSPSVAETISS >Potri.005G069700.3.v4.1 pep chromosome:Pop_tri_v4:5:4520930:4526269:-1 gene:Potri.005G069700.v4.1 transcript:Potri.005G069700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069700.v4.1 MGVTENASAHGLKFDVDDMIVKMASQDQTKMLHIKQQLATIDHTPDHPVYPVMVHEAIMDLNEEGGSTKDAISQFIMRKYDVFQVVHVAKLIEQLEKLVEKEEIVFTSENRYMLPAEDSGSPAKLKQGKKQSVQYELDRRSNKLQKGVQVQEDQNAIIEIEDQPESDKAKESQAAQSEGVEYRNHLQEDQLRVYEEERFEMTTENFSQVVEGQSKVIVEDRYQQPAGVDEGRHEKEVHIQMRETEATEERSQLQQQELQVTEDQVETERQNKVTVDLHHVERPENEEIIGEIRPQVPQDMYVDEHYQSEKTHIRTIPMQIETRGKEVGVIGEEKGLQEQDNKVGNNSTDISHTSEVSGQPVRDKDMIAMPSSSQTSQQLDHVGSSLLFHTLKEKCINVWKKLTEAEHELMDVLSCLNSMEAIANIHESEPKALPHSDYVPKDPMQLQQNQQFELPKLKRTAEVQITALQESFHNQKRQALPGNHDFPSKYWPENISVSPTQQIMHSQQLEVEKHQDFPSFKGYLQLHDPEKGSKSCSFAGSLEPQELNLEQRSEHHGTTVEDLQTPVNLEHVELRVELEQRVDVPELKKALGKNLSADGELTPAEDITIDRDEVEPERPPGFEVTVERFSQERLQCAVPCGDAKKLEPVLVKGQNLELSVKERHIEVETITSEQQCQIKQQIQEKELRPPEQRASENKSESGAAGATSDQQLHNIEMLQDPKQILVVHESSRLTNQQKKGRGKRKKVEMELPRRELWYRGTKACESNPAHPTDANDVDSDRQRKLDHPIPERPQKRKPKKVEKSSQSVEQELKDQGRKGLLRSQLAPVTSDEVKMLENPKQHKLTSAGDSIQTQQKQQLQFRVQCSSQAEEIMDKIMEESQPLPDQNKQGSQLAYQLRGRRPKLKPVMDTAKGKFLPVDGEDHHKELPLHIQGQRPKAMTSTKLIVREISSSHQSHNEQQPKKRGPGRPPKSLSAASQTVNVPLSPQIQLKQKRQQHDLQKKQKWPIGAGQGRFTRSKSPSVAETISS >Potri.005G069700.2.v4.1 pep chromosome:Pop_tri_v4:5:4520930:4526269:-1 gene:Potri.005G069700.v4.1 transcript:Potri.005G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069700.v4.1 MGVTENASAHGLKFDVDDMIVKMASQDQTKMLHIKQQLATIDHTPDHPVYPVMVHEAIMDLNEEGGSTKDAISQFIMRKYDVFQVVHVAKLIEQLEKLVEKEEIVFTSENRYMLPAEDSGSPAKLKQGKKQSVQYELDRRSNKLQKGVQVQEDQNAIIEIEDQPESDKAKESQAAQSEGVEYRNHLQEDQLRVYEEERFEMTTENFSQVVEGQSKVIVEDRYQQPAGVDEGRHEKEVHIQMRETEATEERSQLQQQELQVTEDQVETERQNKVTVDLHHVERPENEEIIGEIRPQVPQDMYVDEHYQSEKTHIRTIPMQIETRGKEVGVIGEEKGLQEQDNKVGNNSTDISHTSEVSGQPVRDKDMIAMPSSSQTSQQLDHVGSSLLFHTLKEKCINVWKKLTEAEHELMDVLSCLNSMEAIANIHESEPKALPHSDYVPKDPMQLQQNQQFELPKLKRTAEVQITALQESFHNQKRQALPGNHDFPSKYWPENISVSPTQQIMHSQQLEVEKHQDFPSFKGYLQLHDPEKGSKSCSFAGSLEPQELNLEQRSEHHGTTVEDLQTPVNLEHVELRVELEQRVDVPELKKALGKNLSADGELTPAEDITIDRDEVEPERPPGFEVTVERFSQERLQCAVPCGDAKKLEPVSMQLVKGQNLELSVKERHIEVETITSEQQCQIKQQIQEKELRPPEQRASENKSESGAAGATSDQQLHNIEMLQDPKQILVVHESSRLTNQQKKGRGKRKKVEMELPRRELWYRGTKACESNPAHPTDANDVDSDRQRKLDHPIPERPQKRKPKKVEKSSQSVEQELKDQGRKGLLRSQLAPVTSDEVKMLENPKQHKLTSAGDSIQTQQKQQLQFRVQCSSQAEEIMDKIMEESQPLPDQNKQGSQLAYQLRGRRPKLKPVMDTAKGKFLPVDGEDHHKELPLHIQGQRPKAMTSTKLIVREISSSHQSHNEQQPKKRGPGRPPKSLSAASQTVNVPLSPQIQLKQKRQQHDLQKKQKWPIGAGQGRFTRSKSPSVAETISS >Potri.012G020400.2.v4.1 pep chromosome:Pop_tri_v4:12:2152669:2154034:-1 gene:Potri.012G020400.v4.1 transcript:Potri.012G020400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020400.v4.1 MRAKQEQPMISNEAKKTRDQPNLTECQSCGLRISSHKRLEILYSEWRIILLCTKCFNLVESSRICSYCFRQSSDKTKCFRCCQCKRVVHKSCFAKRKAVTPWSYSSCDGGGDFSVCIDCWVPNSVASKRGGVCRDSKRNSGRVLGRSLEDANCVVQEKVEAAVRARDLAVRKALEERNAADVARKALDMVANNGVVKENNDVDDFELAFRLHRAINSSPRISSNLCMVNSSCLGVARRGEGNGQTRIRNSDFRNPIACGKLDDFLSKSVDVECRKSNGIGDGKIRPNAKKDGNAGKCSKMGEQSFFSKLIDSRGNDHSVNSGSQSFRERNESMTPDDKSCKGKSDRYLLKYSRRKLDERIIPDGGPKFLYEGLHSGLAPQQWNSC >Potri.012G134900.4.v4.1 pep chromosome:Pop_tri_v4:12:14969340:14972500:-1 gene:Potri.012G134900.v4.1 transcript:Potri.012G134900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134900.v4.1 MGKGGMSHGETKDGEEENMAAWLLGVNTLKIQPFKLPCLGPHDVRVRMKAVGICGSDVHYLKTMKCAHFVVKEPMVIGHECAGIIEEVGSEIKSLVPGDRVALEPGISCWRCYLCKEGRYNLCPDMKFFATPPVHGSLANQVVHPADLCFKLPDNVSLEEGAMCEPLSVGVHACRRANIGPETNVLVMGAGPIGLVTLLAARAFGAPRIVIVDVDDYRLSVAKDLGADEIVKVSTNLQDVDQEVVLIHQAMGTGVDVTFDCAGFNKTMSTALSATRPGGKVCLIGMGHNEMTVPLTPAAAREVDVIGVFRYKNTWPLCIEFLSSGKIDVKPLITHRFGFSQKEVEEAFETSASGSTAIKVMFNL >Potri.011G130850.1.v4.1 pep chromosome:Pop_tri_v4:11:16462824:16464869:1 gene:Potri.011G130850.v4.1 transcript:Potri.011G130850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130850.v4.1 MLISLPVFLTILLVISILWTWTKFIKSNKSSSNPPPGPWKLPFIGNLHQLVHPLPHHRLRDLAKKFGPVMQLQVGEVSTVIISSSEAAKEVMKTHEINFVERPHLLAASVLFYNRKDIAFAPYGEYWRQLRKISILELLSAKRVRSFKSIREEEVSNFIASIYSKEGSPINLSRMIFSLENSITARTSIGNKCKNHEGFLPIVEELAEALGGLNMIDMFPSSKFLYMVSRFRSRLERMHREADEILESIISERRANSALASKMGKNEEDDLLGVLLNLQDHGNLEFQLTTSTIKAVILEMFSGGGDTSSTALEWAMSELIKNPRVMEKAQKEVRQVFNDLGTIPDETSLHDLKFLKLIIKETLRLHPPVPLIPRECRKRCDVNGYDIHVKSKVLINAWAIGRDPNCWNEPERFYPERFMNVSTDFKGSDFEFIPFGAGKRMCPGMLFATANTEFPLAQMLYHFDWKPAGGLKPENLDMTESFGGAVKRKQDLKLIPISYRSLVG >Potri.009G126600.1.v4.1 pep chromosome:Pop_tri_v4:9:10480749:10482549:-1 gene:Potri.009G126600.v4.1 transcript:Potri.009G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126600.v4.1 MAVPIFTVKVHISCCSRCTQRAKEKLQKIKGVNSITIDTAKDLVIVSGSVEPAVILEKFAEWGKKAELFSFQKEPMESGGGHDKDKTNLNKNYYRKSDSVPGAVPANRFLHDNPNMYVARDSKKGKWCWLGKIIFGNKPRARSLVAKAHADNKWQAPRIPMNEFGSSKPIYGNQLAMHEFRSSQPFYGSQLPMDQFGSSRPFNGSHFNRPSMYGRPSRQPVPPQPMYMPRPPFLPPYGGMQPGAAAAPSHSVNPMIHYTSYDDNYRHW >Potri.013G098550.2.v4.1 pep chromosome:Pop_tri_v4:13:10306954:10322080:1 gene:Potri.013G098550.v4.1 transcript:Potri.013G098550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098550.v4.1 MYGTDIGMQALIEKSLIRVSRDEIRMHNLLQKMGEEIVRCESPEEPGRRSRLCTYKDVCDALKDSTGKIESIFVDLPKAKEAPWNMTAFSKMTKLRLLKIHNVDLSEGPEYLSNELRFLEWHAYPSKSLPACFRLDDLVELYMSCSSIEQLWCGCKISVNLKIINLSNSLYLTNTPDFTGIPNLESLILEGCASLSEVHPSFGRHKKLQFVNLVNCNSFRILPSHLEMESLKVCTLNGCSKLDKFPDIVGNMNCLRELRLDGTAIAELSSSFHCLAGLVLLSMNSCGNLESIPSSISGLKSLKRLDVSDCSELTNIPENLGEVESLEEFDASGTSIRQPPASIFLLKNLKVLSFNGCKRIAVNLTDQVLPSLSGLCSLEELDLRACNLGEGAVPEDIGCLSSLRSLNLSRNNFVSLPKSINQLSRLEKLALNDCVMLESLPEVPLKVQKVKLDGCLRLKEIPDPIKLSSLKRSEFKCLNCWELYKHNGQNNMGLNMLEKYLQGSSPRPGFSIVVPGNEIPGWFTHQSKESSIRVQVPSNYIDGWMGFAACVAFSAYGKSPLFCHFKVDGKENYPSPMYIGCNSMQALSDHLWLFYLSFDYLKELKERENEAYTELELSFHSYERGVKVKNCGVRLVNSPYTPSWQSPTGRLIVASKEAASSYIDSLANSSYCQWMHDVFFSFRGEHTSNNFTHLYTALVQRGIIRGDTELEYLRVIESSLLRDIKESGLSIIKFARDYACSAWWFDELVKIVGFMKKMKSDTVFPVSAVSYNVEQSRVDAQAESYTIVFDKDEEDFSEDMEKVRRWMDILTEVAISSGSESSKRVNGMDWPVGNRFHGTDMEFLLNFRKKVLEENELDIDFEFDVTLEEN >Potri.013G098550.1.v4.1 pep chromosome:Pop_tri_v4:13:10306663:10322062:1 gene:Potri.013G098550.v4.1 transcript:Potri.013G098550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098550.v4.1 MASSSMQKAASSSYSPPQWKYDVFLSFRGKDTRNNFTSHLYSNLEQRGIDVYLDDRGLERGKTIEPALWQAIEDSRFSIVVFSRDYASSPWCLDELVKIVQCMKEMGHTVLPVFYDVDPSEVADQKGNYKKAFIEHKEKHSGNLDKVKCWSDCLSTVANLSGWDVRNRDESQSIKKIVEYIQCKLSFTLPTISKNLVGIDSRLKVLNEYIDEQANDTLFIGICGMGGMGKTTVARVLYDRIRWQFGGSCFLANVREVFAEKDGLCRLQEQLLSEISMELPTARDSSRRIDLIKRRLRLKKVLLILDDVDDEEQLQMLAAEHGTFGPGSRIIITSRNKHVLDSHGVTRIYEAEKLNDKDALILFSWKAFKRDQPAEDLSELSKQVVGYANGLPLALEVIGSFLHKRGLREWKSAIDRMNDIPDRKIIDVLRISFDGLHELEKKIFLDIACFLKGMKKDRITRLLDSCGFHADIGMQALIEKSLIRVSRDEIRMHNLLQKMGEEIVRCESPEEPGRRSRLCTYKDVCDALKDSTGKIESIFVDLPKAKEAPWNMTAFSKMTKLRLLKIHNVDLSEGPEYLSNELRFLEWHAYPSKSLPACFRLDDLVELYMSCSSIEQLWCGCKISVNLKIINLSNSLYLTNTPDFTGIPNLESLILEGCASLSEVHPSFGRHKKLQFVNLVNCNSFRILPSHLEMESLKVCTLNGCSKLDKFPDIVGNMNCLRELRLDGTAIAELSSSFHCLAGLVLLSMNSCGNLESIPSSISGLKSLKRLDVSDCSELTNIPENLGEVESLEEFDASGTSIRQPPASIFLLKNLKVLSFNGCKRIAVNLTDQVLPSLSGLCSLEELDLRACNLGEGAVPEDIGCLSSLRSLNLSRNNFVSLPKSINQLSRLEKLALNDCVMLESLPEVPLKVQKVKLDGCLRLKEIPDPIKLSSLKRSEFKCLNCWELYKHNGQNNMGLNMLEKYLQGSSPRPGFSIVVPGNEIPGWFTHQSKESSIRVQVPSNYIDGWMGFAACVAFSAYGKSPLFCHFKVDGKENYPSPMYIGCNSMQALSDHLWLFYLSFDYLKELKERENEAYTELELSFHSYERGVKVKNCGVRLVNSPYTPSWQSPTGRLIVASKEAASSYIDSLANSSYCQWMHDVFFSFRGEHTSNNFTHLYTALVQRGIIRGDTELEYLRVIESSLLRDIKESGLSIIKFARDYACSAWWFDELVKIVGFMKKMKSDTVFPVSAVSYNVEQSRVDAQAESYTIVFDKDEEDFSEDMEKVRRWMDILTEVAISSGSESSKRVNGMDWPVGNRFHGTDMEFLLNFRKKVLEENELDIDFEFDVTLEEN >Potri.014G028300.1.v4.1 pep chromosome:Pop_tri_v4:14:1782428:1784198:-1 gene:Potri.014G028300.v4.1 transcript:Potri.014G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028300.v4.1 MAMASLSKPQPSLSLPALSNPISLFSSPAVVLSFPFNRTAFASPRLFAIKTGSDGSDLLRKPIVPSEKDLVGISEEVEDSEEEKEEGFVDWEDRILEDTVPLVGFVRMILHSGKYESGDRLSPDHERTIVDRLLAYHPDCDSKIGCGIDYITVGYHPDFVESRCLFIVRKDGQLVDFSYWKCIKGLIKKNYPLYADSFILRHFRRRRRS >Potri.003G217700.1.v4.1 pep chromosome:Pop_tri_v4:3:21270937:21274910:-1 gene:Potri.003G217700.v4.1 transcript:Potri.003G217700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217700.v4.1 MQKMEHHASSNGPRGFRVQAPLVDSVSCYCKVDSGLKTVAEARKFVPGSKLCIQPDINPNAHKSKTSRRERTRVQPPLLPGLPDDLAIACLIRVPRAEHRKLRLVCKRWYRLLAGNFFYSLRKSLGMAEEWVYVIKRDRDGKISWNAFDPVHQIWQPLPPVPREYSGALGFGCAVLSSCHLYLFGGKDPLRGSMRRVIFYSARTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYDGKWFLKGLGSHREVMSEAYDPETSTWTPINDGMVAGWRNPSISLDGCLYALDCRDGCKLRVYDEASDTWNKFIDSKLHLGSSHALEAAALVPLNGKLCIVRNNMSVSLVDVSSPDKHVESNPHLWENIAGRGHLRTLVTNLWSSIAGRGGLKSHIVHCQVLQA >Potri.005G236901.1.v4.1 pep chromosome:Pop_tri_v4:5:23472887:23473894:-1 gene:Potri.005G236901.v4.1 transcript:Potri.005G236901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236901.v4.1 MPTLVTGRRDCGKEGKRQDGRGFLLGVLKMVWFSLAFCLVYVLRVLWVSDRKTVISGGDGVVCILRKKYRLCYYSTIRKIFK >Potri.013G078600.1.v4.1 pep chromosome:Pop_tri_v4:13:6730131:6736395:-1 gene:Potri.013G078600.v4.1 transcript:Potri.013G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G078600.v4.1 MSSFSLVSPPTIPHPHIITRHPPTPSSPIFSLYRNPLSLHAHNTTPTNLSSPYGPIQTSKLDTVAKTSRGSFRISSLSNIERELGTGSQDDEEEEIEGVSPTSVLPDRWDVLGLGQAMVDFSGTVDDEFLKRLGLEKGTRKVVNHEERGRVLRAMDGCSYKAAAGGSLSNSLVALARLGCKSVGGPALNVAMAGSVGSDPLGGFYRSKLQRANVNFLSEPVKDGTTGTVIVLTTPDAQRTMLAHQGTSSTVNYDPCLASIISKTKILVVEGYLFELHDTIKTISKACEEARRSGALVAITASDVSCIERHYDDFWEIAGNCADVVFANSDEARALCNITAKDSSISATRYLSHFVPLVSVTDGHRGSYIGVKGEAVYIPPSPCAPVDTCGAGDAYASGILYGILRGVSDLRAMGTLAARVAATVVGQQGTRLKVQDAVELVESFALHFEHSAIGSDIGSDHISSL >Potri.003G140600.1.v4.1 pep chromosome:Pop_tri_v4:3:15680800:15684276:1 gene:Potri.003G140600.v4.1 transcript:Potri.003G140600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140600.v4.1 MSPKIWRSASRAAQSLLSSASKSSRFYSEGRAVAAAVSFSGKLPFLASVHGRTGSSNVARQWISGALAFPAAVYMLQEQEAHAAQMEQTFIAIKPDGVQRGLISEIISRFERKGFKLVAIKVVVPSKEHAQKHYHDLKERPFFNGLCDFLSSGPVVAMVWEGEGVIKYGRKLIGATDPQKSEPGTIRGDLAVAIGGNVIHGSDGPETAKDEINLWFKPEELVSYTSNAEKWIYGVN >Potri.017G014700.1.v4.1 pep chromosome:Pop_tri_v4:17:1008378:1014228:-1 gene:Potri.017G014700.v4.1 transcript:Potri.017G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014700.v4.1 MKVKVISRSTDEFTRERSQDLQRVFHNFDPNLRTQEKAVEYQRALNAAKLDKIFARPFIGAMDGHIDAVSCMAKNPNYLKGIFSGSMDGDIRLWDIANRRTVCQFPGHQGAVRGLTASTDGSTLVSCGTDCTVRLWNVPVATIMDSDNLSDCSSEPRAVYMGENAFWAVDHQWNGDLFATAGAQVDIWNHNRSQPVNSFKWGTDSVISVRFNPGEPNLLVTSASDRSIILYDLRVSSPARKLIMRTKTNSISWNPMEPMNFTAANEDCNCYSYDARKLEEAKCVHKDHVSAVMDIDFSPTGREFVTGSYDRTVRIFQYNGGHSREIYHTKRMQRVFCVKFSCDASYVISGSDDTNLRLWKAKASEQLGVLLPREQKRHEYNEALKNRYKHLPEVKRIVRHRHLPKPIYKAGVLRRVMIEAERRKDQRRKAHSAPGSIVTEPMRKRRIIKEVD >Potri.017G115801.1.v4.1 pep chromosome:Pop_tri_v4:17:12300480:12301610:1 gene:Potri.017G115801.v4.1 transcript:Potri.017G115801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115801.v4.1 MANELCLDDSCASPRISFSNDFSERDIVPIEQRPPQSSSLNSDFCFHNDTINLESPLADELFLNGKVVVPIEIKKIIPPMTHAQEQPGCPPPQVCLDATLTEKDSRHESLNVIKINTSKGNSIDREFSSLREPFLNREIIIPLKFKKKKNIPPPFSPLGAFLDADDDNDEDTNKGSNRWMIKPARNKPDGYEKQNLKQSSRFKRRSSLSRASICGGIACPFPFFCRNNSDVYVPNVKGIPLSSKRFSQS >Potri.016G058600.2.v4.1 pep chromosome:Pop_tri_v4:16:4032910:4034568:1 gene:Potri.016G058600.v4.1 transcript:Potri.016G058600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058600.v4.1 MIYRTKLKQTEAIDAERASSRASDDDENGLTRKKLRLSKGQSAFLEESFKEHNILNPKQMLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATTTTSSSGTTTTTTTNPSTTTTTTTSTTNSKPLSLPAKPRLFPLSHGQVQPHHAAS >Potri.019G094700.2.v4.1 pep chromosome:Pop_tri_v4:19:13264319:13273725:-1 gene:Potri.019G094700.v4.1 transcript:Potri.019G094700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G094700.v4.1 MDSGQILLSYIRVWVILLLLSATFQNSKLAAKNTAQDKKRKLAAENSGFISIDCGAEEDYLDWKTGITYKTDKDFISTGKNMFVAPEYQLTTFYYGNMVNSLRTFPEGKRNCYTLKPRQGKNQNYYVRAFFYYGNYDSKNQTQIKFDLYLGVNYWATVVDMQWIYYNIIHYSVTDTIYVCLVNTGSGVPFINGLDLRFMNDSPYRTMNGSLLPMVHADLGGHPTQGYKTIRYKDDVYDRIWRFDVNLTDSVSNGTETNIDIQGSDDPCRLPVEVLRTAVQPRNGLNSLSYSYPSPHTENFTPEFLVFFHFAEIFEQTAIGKLREFTITLNGLNYGPFTLEYLKPLTIRSNITQVQEGQVRFSIDATLRSDLPPILNAFEIFKLLPLPDSPTNQTDVDAIMAIKEAYKIDRVDWQGDPCLPLITWTGLQCNDDNPPRIISMNLSSSQLSGNIAVSLLNLTAIKSLNLSNNELTGTVPEAFAQLPDLTILDLSGNKLTGAVPHSLKEKSNNGQLKLSLDGNLDLCKLDTCEKKQRSFPVPVIASVISVLVLLLLSIITIFWRLKRVRWNVSLSSSVGLSRKELSLKSKNQPFTYVEIVSITNNFQTIIGEGGFGKVYLGNLKDGRQVAVKLLSQSSRQGYKEFLAEVQLLMIVHHKNLVSLVGYCNEHENMALVYEYMANGNLKEQLLENSTNMLNWRERLQIAVDAAQGLEYLHNGCRPPIVHRDLKSSNILLTENLHAKIADFGLSKAFATEEDSHVITVPAGTPGYIDPEFRASGHLNKKSDVYSFGILLCELITGQPPLIRGHKGHTHILQWVSPLVERGDIQSIIDPRLQGEFNTNYAWKALEIALSCVPSTSTQRPDMSDILGELKECLAMEMSSEISMRGSVEMSLVPGTDMAPNLR >Potri.005G040300.1.v4.1 pep chromosome:Pop_tri_v4:5:2611477:2617796:1 gene:Potri.005G040300.v4.1 transcript:Potri.005G040300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040300.v4.1 MKNNSNKGESNSSSSHNNSKNNRFIPNSLKFISSCIKTASSGVRSASASVAASVSGDHHDHKDQVLWASFDKLELGPGSLRNVLLLGYSSGFQVIDVEDASNITELVSRRDDPVTFLQMQPLPAKSEGCKGEGYRASHPLLLVVACDESKSSGPILSGRDGFNEPHMGNVAISPTIVRFYSLRSHNYVHVLRFRSTVYMVRSSQRIVAVGLATQIYCFDALTFENKFSVLTYPVPQLGGQGMVGVNIGYGPMAVGPRWLAYASDNPLVLNTGRLSPQSLTPLGVSPSSSPGSGSLVARYAMESSKQLATGLINLGDMGYKTLSRYCHDLMPDGSSSPVSSNSSWKVGRGATNSADTDTAGMVVVKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSCSQSGQGAKNYDWSSSHVHLYKLHRGITPAIIQDICFSHYSQWIAIVSSRGTCHIFVLSPFGGENVLQIHNSHVDGPALSPVVSLPWWSTPSFLVNQHSFSSSPPSPVTLSVVSRIKNNNSGWLNTVSNATSSAAGKASIPSGAIAAVFHSCVHQDSQSAHLRKVNSLEHLMVYTPCGHVVQYKLLSSVGGEPSEIASRNGPASSVHMQDEELRVNVESIQWWDVCRRADWPEREECISGITHRGQETKETVMDTSDGEDDGIAHSQLVMSHEPSHWYLSNAEVQMSFWRIPLWQKSKMYFYAMSHLGPKEENISEDQTGQEIEIEKVPVHEVEIRRKDLLPVFDHFHRSPEWSERGLGDVRYSSSSSESRGVKESEDAVISHSELVSPDSAPSSDGGSSTKFYPSMLQAANSNAGEGGISMLASPILYESSINKDICSVSFKQAQIDASPAENSNFVNSNVTSLTNDPHTAGRMIAKEVQSSESGFTSEASNLSSIRSDLSMNIIDEGPANYSPDFELFFQEGYCKVSELNECQESTEVLTFVDNSSSPCDVDKSEEDGDNDDMLGGVFSFSEEG >Potri.005G040300.5.v4.1 pep chromosome:Pop_tri_v4:5:2611556:2617796:1 gene:Potri.005G040300.v4.1 transcript:Potri.005G040300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040300.v4.1 MQPLPAKSEGCKGEGYRASHPLLLVVACDESKSSGPILSGRDGFNEPHMGNVAISPTIVRFYSLRSHNYVHVLRFRSTVYMVRSSQRIVAVGLATQIYCFDALTFENKFSVLTYPVPQLGGQGMVGVNIGYGPMAVGPRWLAYASDNPLVLNTGRLSPQSLTPLGVSPSSSPGSGSLVARYAMESSKQLATGLINLGDMGYKTLSRYCHDLMPDGSSSPVSSNSSWKVGRGATNSADTDTAGMVVVKDFVSRAVISQFRAHTSPISALCFDPSGTLLVTASIHGNNINIFRIMPSCSQSGQGAKNYDWSSSHVHLYKLHRGITPAIIQDICFSHYSQWIAIVSSRGTCHIFVLSPFGGENVLQIHNSHVDGPALSPVVSLPWWSTPSFLVNQHSFSSSPPSPVTLSVVSRIKNNNSGWLNTVSNATSSAAGKASIPSGAIAAVFHSCVHQDSQSAHLRKVNSLEHLMVYTPCGHVVQYKLLSSVGGEPSEIASRNGPASSVHMQDEELRVNVESIQWWDVCRRADWPEREECISGITHRGQETKETVMDTSDGEDDGIAHSQLVMSHEPSHWYLSNAEVQMSFWRIPLWQKSKMYFYAMSHLGPKEENISEDQTGQEIEIEKVPVHEVEIRRKDLLPVFDHFHRSPEWSERGLGDVRYSSSSSESRGVKESEDAVISHSELVSPDSAPSSDGGSSTKFYPSMLQAANSNAGEGGISMLASPILYESSINKDICSVSFKQAQIDASPAENSNFVNSNVTSLTNDPHTAGRMIAKEVQSSESGFTSEASNLSSIRSDLSMNIIDEGPANYSPDFELFFQEGYCKVSELNECQESTEVLTFVDNSSSPCDVDKSEEDGDNDDMLGGVFSFSEEG >Potri.005G214200.1.v4.1 pep chromosome:Pop_tri_v4:5:21754241:21755781:1 gene:Potri.005G214200.v4.1 transcript:Potri.005G214200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214200.v4.1 MHRKKMETPLVFLLAALLATVLLEYKPVLPTLASEADHSVWAEVGVFETGNFHPIQSDVGTASSCSPPRSLLIFRPEEKGTYPVILFHHGTGCQNSWYTDVFKFISSHGYIVVAPQLYGLKPPSGQDELDSAAEVANWLPSGLRCVLPEDIEGDIHNLALAGHSRGGYIAFALALGLADVSLDVDFSALIGVDPVAGTSKTNQMEPKILNYESCSFNFSIPVAIIGTGLGNKPAFPILPQTCAPDGVSHTEIFNECKPPCSHFVTTDYGHMDVLDDDIGLIGEGARAMCKGSRWGVSRDPMRRTVGGVSVAFLEAFFKGNYTDYNKILQKPNYFAPATLDPVQNKSEGTSCSSLSAMSVDEL >Potri.007G119200.1.v4.1 pep chromosome:Pop_tri_v4:7:13741470:13746661:-1 gene:Potri.007G119200.v4.1 transcript:Potri.007G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119200.v4.1 MSKKKTASTMSLKDFHGGSIPSDLPLPSAPGVMMKPGSDRNASANWGNNLMRPKSSGAARGFDEKASFLSHQAPIGRNFDEDERKPLDGSSAPRRTISDENVRASALVPQELKPEYVSGSSVRVPDRPVTSPVPQSMSLGASLSPLRPGGGSVVVSSQNSGGWGGNSAITSGGNGQGVNNNPPNAWGMRRDVMGVNASRVSSVSPGSNPVSKFAQASALEKVSSGMWQSKSPGELLPHLIYSQESNASHSVDVSREKGDYDNSRGGQAESGRVAGDRNQGGGRTLPNHRRDQPQMHSEEFHSGGIVSSQTRPAMPPEVSERPKLNVIARTKALERPENDFRQGYQQPVVSGKIEIAHELYGNGNPSKPGLADTKSGSQPAERPLERPKLNLKPRSQPVEQSDGSLERERSSLFGGARPRELVLKERGVDDIAINNLDMNLSPNRVNSPKNEITSEHVAATAHQSQKNDNRGATSRRNGRDSEKKDQQMDHEKTDMERKNWRNDKWKSSKDAKDQRPEPETWRKPNEEPKTTSSDAAGNRPGKVSSALELAQAFSKSASDQKSQNIRSSQRGAPAGNDQPFSRLTDTPEHQPAPTTEPTTRHWINGY >Potri.001G126901.1.v4.1 pep chromosome:Pop_tri_v4:1:10419831:10424165:-1 gene:Potri.001G126901.v4.1 transcript:Potri.001G126901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126901.v4.1 MKTQEMMGCINRRQKQNPEDAAKGTFLGGQFLHHPVNTSTTTPPPFDPAEHIKVSSLYELDHSKLPHKSPDQLHKIRVVMVNEKTRMRVSLRFPSIYSLRSYFNETEHTKKKDLRKKLPAFDDKYMIGSEVAAEALYRRISSQEIADKSSSWSFWVLKNPSVSPQKVSDSPRRSANVNVGARKLSLISELKGTGMAKWGHERRQVRFLAKHVEDKREIVAVSKDVVKGEEEKHSDGGDDTEEEEEEEGDVKVVVNKSREAKRKLCKRKGQGGSGGKSSPKKKRTKSEKKNQVAVYKQKKNKVIKKFIDRWSAERYKMAEVSMLKVMKEQNAVFGNPILRPELRAEARKLIGDTGLLDHLLKHMAGKVSPGGEERFKRRHNADGAMEYWLEKANLVDIRKEAGVQDPYWTPPPGWKPGDNPTQDPVCAREIKELRKEIDEVKREMVSRKCGKELAIMAVPNSSPTSQDMEHGSLVIPVEEMYIDLVNKKVKMEEQLMGISHSLCGMEEEMKKLKTRVKKSNRTESTERPALLMGSTESTTPAGSGRKGKEVMHQEKEAMVLGELAQEQCQSSGGNIPPTTKSAAPTEDRAAKIERLKSGFRICKPQGSFLWPDMTTSTPHPQGEVQLQDLIAVHTPPSVSSTSPKQSYLLFTPHGPRHTSPVKASAERRPVTISQSTPATTPITFPPLDRMIHNQYEKSSISTSTTITNTIKTPLINLNEPLNTNQTDDYGLLCWSHSHAHAHAHAQSSPYPVTYQRRHHQDLTTTIAMPRLGPTKKEMMSQWEEGNPRKGMIRNCEQPQQQMGCSGASSIASSSMSTEVGTWLALASSKPSVEHKSKRG >Potri.001G126901.2.v4.1 pep chromosome:Pop_tri_v4:1:10419830:10423534:-1 gene:Potri.001G126901.v4.1 transcript:Potri.001G126901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126901.v4.1 MVNEKTRMRVSLRFPSIYSLRSYFNETEHTKKKDLRKKLPAFDDKYMIGSEVAAEALYRRISSQEIADKSSSWSFWVLKNPSVSPQKVSDSPRRSANVNVGARKLSLISELKGTGMAKWGHERRQVRFLAKHVEDKREIVAVSKDVVKGEEEKHSDGGDDTEEEEEEEGDVKVVVNKSREAKRKLCKRKGQGGSGGKSSPKKKRTKSEKKNQVAVYKQKKNKVIKKFIDRWSAERYKMAEVSMLKVMKEQNAVFGNPILRPELRAEARKLIGDTGLLDHLLKHMAGKVSPGGEERFKRRHNADGAMEYWLEKANLVDIRKEAGVQDPYWTPPPGWKPGDNPTQDPVCAREIKELRKEIDEVKREMVSRKCGKELAIMAVPNSSPTSQDMEHGSLVIPVEEMYIDLVNKKVKMEEQLMGISHSLCGMEEEMKKLKTRVKKSNRTESTERPALLMGSTESTTPAGSGRKGKEVMHQEKEAMVLGELAQEQCQSSGGNIPPTTKSAAPTEDRAAKIERLKSGFRICKPQGSFLWPDMTTSTPHPQGEVQLQDLIAVHTPPSVSSTSPKQSYLLFTPHGPRHTSPVKASAERRPVTISQSTPATTPITFPPLDRMIHNQYEKSSISTSTTITNTIKTPLINLNEPLNTNQTDDYGLLCWSHSHAHAHAHAQSSPYPVTYQRRHHQDLTTTIAMPRLGPTKKEMMSQWEEGNPRKGMIRNCEQPQQQMGCSGASSIASSSMSTEVGTWLALASSKPSVEHKSKRG >Potri.001G126901.3.v4.1 pep chromosome:Pop_tri_v4:1:10419831:10423355:-1 gene:Potri.001G126901.v4.1 transcript:Potri.001G126901.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126901.v4.1 MRVSLRFPSIYSLRSYFNETEHTKKKDLRKKLPAFDDKYMIGSEVAAEALYRRISSQEIADKSSSWSFWVLKNPSVSPQKVSDSPRRSANVNVGARKLSLISELKGTGMAKWGHERRQVRFLAKHVEDKREIVAVSKDVVKGEEEKHSDGGDDTEEEEEEEGDVKVVVNKSREAKRKLCKRKGQGGSGGKSSPKKKRTKSEKKNQVAVYKQKKNKVIKKFIDRWSAERYKMAEVSMLKVMKEQNAVFGNPILRPELRAEARKLIGDTGLLDHLLKHMAGKVSPGGEERFKRRHNADGAMEYWLEKANLVDIRKEAGVQDPYWTPPPGWKPGDNPTQDPVCAREIKELRKEIDEVKREMVSRKCGKELAIMAVPNSSPTSQDMEHGSLVIPVEEMYIDLVNKKVKMEEQLMGISHSLCGMEEEMKKLKTRVKKSNRTESTERPALLMGSTESTTPAGSGRKGKEVMHQEKEAMVLGELAQEQCQSSGGNIPPTTKSAAPTEDRAAKIERLKSGFRICKPQGSFLWPDMTTSTPHPQGEVQLQDLIAVHTPPSVSSTSPKQSYLLFTPHGPRHTSPVKASAERRPVTISQSTPATTPITFPPLDRMIHNQYEKSSISTSTTITNTIKTPLINLNEPLNTNQTDDYGLLCWSHSHAHAHAHAQSSPYPVTYQRRHHQDLTTTIAMPRLGPTKKEMMSQWEEGNPRKGMIRNCEQPQQQMGCSGASSIASSSMSTEVGTWLALASSKPSVEHKSKRG >Potri.002G145400.1.v4.1 pep chromosome:Pop_tri_v4:2:10978644:10983250:1 gene:Potri.002G145400.v4.1 transcript:Potri.002G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145400.v4.1 MLRRLHLYSTHKTIPAPRLTSLFFLFLSLSSYDNFVKAHIFIYADCSQETYVPNSPFEENHNSLLASIVSSSSQASYNSFAIGNGSSSPPEGICYGLYQCRGDLKTTDCSRCIESAVNQISLVCPYSYGAALQLEACYVRYEHIDFLGRLDTNLKYKRCSKSVDNDVEFFRRRDDVLADLPTAMGFKVSCSGPVEGYAQCLGDLSSSDCSSCLADAVGQLKNLCGSAAADVYLGQCYARYWASGYYDLTSDSSNEDDEGKTVAIVVGILAGVAILIVLLSFCRRVMD >Potri.012G043400.3.v4.1 pep chromosome:Pop_tri_v4:12:3881719:3886820:-1 gene:Potri.012G043400.v4.1 transcript:Potri.012G043400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043400.v4.1 MESSSMNNTVGKDDDLLVDTAEAEALKKRISSHPLYGLLVQTHIDCLKVGTVGDVDRIPRVRPNLSCQFPNPSSLSQPELDSFMEAYCFALSKLKEAMEEPQQETVAFINSMHLQLKELTRTHSKSTAEHSTSTTSSGERID >Potri.012G080700.1.v4.1 pep chromosome:Pop_tri_v4:12:10524224:10524962:1 gene:Potri.012G080700.v4.1 transcript:Potri.012G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080700.v4.1 MATALATVVNGLGLFVSTLWGLREQRFLAVFEAVTSAVTCMTMSLTLCFLAIHRHARVEAGPLFI >Potri.014G075300.1.v4.1 pep chromosome:Pop_tri_v4:14:4867738:4874167:-1 gene:Potri.014G075300.v4.1 transcript:Potri.014G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075300.v4.1 MGHLNLPASKRNPRQWKLLDLVTATFFGLVFLFFLLVFTPLGDSLAASGRQTLLRSTSDPRQRHRLVALIEAGQNAQPIEACPADEVDHMPCEDPRRNSQLSREMNFYRERHCPPVEDTHLCLIPPPDGYKISVRWPQSLHKIWHANMPHDKIADRKGHQGWMKKEGEHFIFPGGGTMFPEGAVQYIEKLGQYIPIKGGVLRTALDMGCGVASWGGYLLKEGILTLSFAPRDSHKAQIQFALERGVPAFVAMLGTRRLPYPAFSFDLVHCSRCLIPFTAYNASYFIEVNRLLRPGGYLVISGPPVQWAKQDKEWADLQAVARALCYELIAVDGNTVIWKKPAGDLCLPNQNEYGLELCDESDDPNDAWYFKLKKCVSRTSAVKGDCTIGTIPKWPDRLTKAPSRAVHMKNGLDLFDADTRRWVRRVAYYKNSLNVKLGTPAIRNVMDMNAFFGSFAAALMPDPVWVMNVVPARKPSTLGVIYDRGLIGVYHDWCEPFSTYPRSYDLIHVAGIESLLKLPGSSKNRCNLVDLMVEMDRILRPEGTVIIRDSPEVIDKVARVALAVRWLVTIHEKEPESSGREKILVATKTFWKLH >Potri.004G173300.1.v4.1 pep chromosome:Pop_tri_v4:4:18845326:18849254:-1 gene:Potri.004G173300.v4.1 transcript:Potri.004G173300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173300.v4.1 MQSMAFLSKKSMHKAPILGFSVFLIPFLAFSYDGPLYDFTAYTECKSVPEKPLYNGGIFKDQAPLTQHRISTSSDGSYTPALILQNLAQNTMYCFSIWVKIQGADSTLVTASLMANNNSTYDCVGTVLAKSGCWSFLKGGFILDSPSSVSILYFQGTTDKSINVAIASASVQPFTEQQWRTNQQYIINTERKRAVTIHVSDSHGDRLQGASITIEQISKDFPFGSAIARTILGNLPYQNWFVERFNAAVFENELKWYATEPEQGKVNYTIPDQMLEFVLANQIVARGHNIFWEDPKYNPAWVRDLTGPDLKSAVNFRIQSLMSKYKEEFIHWDVSNEMLHFDFYEERLGPDATLHFYKTAHEADPLASLFLNEFNVVETCTDVSTTVDTYIDKIRELERGGSSMNGIGLESHFSKPNLPLMRAILDKLATLKLPIWLTEVDISNKFDKETQAIYLEQVLREGFSHPAVDGIMLWTAIHPNGGCYQMCLTDYNLQNLPAGDTVDKLLREWETGEGNGRTDDHGSYSFFGFLGEYKIMVQYGNRTTNSTLSLSQSDETKHFNIQL >Potri.003G192200.1.v4.1 pep chromosome:Pop_tri_v4:3:19505298:19508363:-1 gene:Potri.003G192200.v4.1 transcript:Potri.003G192200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192200.v4.1 MISPSFISPLLTLAVVLAVTITPQISASGGFCQEKMATLGGVHDSQSSQNSAEIDSLARFAVDEHNKKENAILEFARVVKAKEQVVAGTMHHLTIEAVEAGKKKLYEAKVWVKPWLNFKELHEFKDAGDVPVFTSSDLGVKRDGHAPGWRAVPVHDPSVQDAAVHALKSIQQRSNSLFPYELQEVVHANAEVVDDSAKFDMLLKVKRGSTEEKFKVLVHKNNEGNYHLNQMEPHA >Potri.003G192200.2.v4.1 pep chromosome:Pop_tri_v4:3:19507695:19508212:-1 gene:Potri.003G192200.v4.1 transcript:Potri.003G192200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192200.v4.1 MISPSFISPLLTLAVVLAVTITPQISASGGFCQEKMATLGGVHDSQSSQNSAEIDSLARFAVDEHNKKENAILEFARVVKAKEQVVAGTMHHLTIEAVEAGKKKLYEAKVWVKPWLNFKELHEFKDAGDVPVFTSSDLGVKRG >Potri.014G067100.1.v4.1 pep chromosome:Pop_tri_v4:14:4220629:4223586:-1 gene:Potri.014G067100.v4.1 transcript:Potri.014G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067100.v4.1 MAIRIMLTFLFVSFLLSPTILGYNHDEVKSWCSKTPNPQPCEYFLSHNPKNTPIQHESDFLKISIELALDRAMHGKVNTYSLGSKCRNGLEKAAWEDCLELYQEIVLWLNKTTGSKCTKYDAQTWLSTALTNLETCRTGFAEFGMTDYILPMMSNNVSKLISNTLAINKAPYSEPSFNGGFPSWVRPGDRKLLQSSSPASQANIVVAQDGSGNVKTIKEAIVAASKRSGSGRYVIYVKAGTYNENVEVGQKVKNVMVVGDGIGKTIVTGSKSVGGGTTTFKSATFAVVGDNFIARDMTFRNTAGAKNHQAVALRSGSDLSVFYKCSFEGYQDTLYVHSQRQFYRECNIYGTVDFIFGNAAVVFQNCNIYARNPPNKTNTITAQGRTDPNQNTGISIHNCKVTAASDLKSVQSSVKTYLGRPWQKYSRTVFMKTDLDSLINSAGWMPWSGNFALDTLYYGEYMNTGPGSSTANRVNWKGYHVITSASVASQFTVASFISGNNWLPATNVPFTAGL >Potri.018G091600.3.v4.1 pep chromosome:Pop_tri_v4:18:11220405:11223854:-1 gene:Potri.018G091600.v4.1 transcript:Potri.018G091600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091600.v4.1 MDSTSHQNWLGFSLSNHHHMNNINIPSSSDSSNLCLFEAFNTTPTTTTSGLSLSLSLSRFIHFLFAHIFAQTTRFLFYFYINSLCDVYTAQEDNAVAACRPTDISLFTTSGPKLEDFLGCCTTTPPSQQPLGGQFSAETPGTTATTVSDNSSSEIYDSELKTIAASFLRGYSSNDHQQTGSSQKHQQLLVQSEHAPKKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEGMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANSNLPIGGISGKSKNSSESVSDSKSIDVSRSDDRDLSSASSVTFASQPTTSTLSFAMPIKQDPSDYWTNILGYQNSTTTMNNTKNSSSIVAPSTLLQSSTSFHAFQGPTAFSMDFNTNSSVNESNNSGLLFNGGYIQQQSGGDGISTSSSSSSIPFATPIALHGNGSSYEGNSSYGSWISQSLHSFQSAKPNLSVYQTPIFGME >Potri.018G091600.1.v4.1 pep chromosome:Pop_tri_v4:18:11220267:11223993:-1 gene:Potri.018G091600.v4.1 transcript:Potri.018G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091600.v4.1 MDSTSHQNWLGFSLSNHHHMNNINIPSSSDSSNLCLFEAFNTTPTTTTSAQEDNAVAACRPTDISLFTTSGPKLEDFLGCCTTTPPSQQPLGGQFSAETPGTTATTVSDNSSSEIYDSELKTIAASFLRGYSSNDHQQTGSSQKHQQLLVQSEHAPKKTVDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPTTTTNFPVSNYEKELEGMKHMTRQEFVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVKSIANSNLPIGGISGKSKNSSESVSDSKSIDVSRSDDRDLSSASSVTFASQPTTSTLSFAMPIKQDPSDYWTNILGYQNSTTTMNNTKNSSSIVAPSTLLQSSTSFHAFQGPTAFSMDFNTNSSVNESNNSGLLFNGGYIQQQSGGDGISTSSSSSSIPFATPIALHGNGSSYEGNSSYGSWISQSLHSFQSAKPNLSVYQTPIFGME >Potri.001G222100.2.v4.1 pep chromosome:Pop_tri_v4:1:23627454:23629023:-1 gene:Potri.001G222100.v4.1 transcript:Potri.001G222100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222100.v4.1 MGSRMPFAIITFTLVIFLYGAQSVTFVVKNNCPYTVWPGTLTAAGRPPISSTGFTLATGASYSLSVPATWSGRLWARTQCSTDASGKFVCATADCASGVIECNGAGAIPPASLAEFTLNGSGGLDFYDISLVDGFNIPISVTPQGGSPGCSSTSCAANVNAVCDPSLAVRGPDGTVIACKSACLAFNQPQFCCTGEYSTPDKCPPNQYSMIFKKQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.001G222100.1.v4.1 pep chromosome:Pop_tri_v4:1:23627454:23629023:-1 gene:Potri.001G222100.v4.1 transcript:Potri.001G222100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222100.v4.1 MGSRMPFAIITFTLVIFLYACTHAGAQSVTFVVKNNCPYTVWPGTLTAAGRPPISSTGFTLATGASYSLSVPATWSGRLWARTQCSTDASGKFVCATADCASGVIECNGAGAIPPASLAEFTLNGSGGLDFYDISLVDGFNIPISVTPQGGSPGCSSTSCAANVNAVCDPSLAVRGPDGTVIACKSACLAFNQPQFCCTGEYSTPDKCPPNQYSMIFKKQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.002G230300.3.v4.1 pep chromosome:Pop_tri_v4:2:22173468:22175047:1 gene:Potri.002G230300.v4.1 transcript:Potri.002G230300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230300.v4.1 MENSNNYTSINKAVVGSKETTVSDPAEESGWTSYFEDLSNHKEEGQSLCSSFDSSSMVSDAASFPPWKSSQTNHVVACSSFGALPEKLTSKKTRAEEISLDDSLEDTASSPVNSPKVSDLRQIVMNPRKTNDRYFNSSLVNYWVI >Potri.002G230300.1.v4.1 pep chromosome:Pop_tri_v4:2:22173468:22175047:1 gene:Potri.002G230300.v4.1 transcript:Potri.002G230300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230300.v4.1 MENSNNYTSINKAVVGSKETTVSDPAEESGWTSYFEDLSNHKEEGQSLCSSFDSSSMVSDAASFPPWKSSQTNHVVACSSFGALPEKLTSKKTRAEEISLDDSLEDTASSPVNSPKVSDLRQIVMNPRKTNDRYFNSSLGNIESIGLEQHAEGLETSERCEMNFSTVKNDCIDLKKRGLCLVPSSMLVNYLG >Potri.002G198300.2.v4.1 pep chromosome:Pop_tri_v4:2:16119391:16123275:1 gene:Potri.002G198300.v4.1 transcript:Potri.002G198300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198300.v4.1 MERCNQIWYAFGTMALLAVSALADDVVVLTEDNFEKEVGQDKGALVEFYAPWCGHCKKLAPEYEKLGSSFKKAKAVLIGKVDCDEHKGVCSKYGVSGYPTLQWFPKGSLEPKKYEGPRTAEALAEFVNNEGGSNVKIAAVTSSVVVLTADNFNDIVLDENKDVLVEFYAPWCGHCKNLAPIYEKVATAFKSEEDVVVANLEADKYRDLAEKYGVSGFPTLKFFPKGNKAGEEYEGGRDLDDFVAFINEKAGTSRDGKGQLTSKAGIVESLDALVKEFVAAGDDEKKAVFSRIEEEVEKLKGSTARHGKIYLKAAKTCMVKGAGYAKNEIERLQRMLEKSISPAKADEFTLKKNILSTFA >Potri.004G190400.3.v4.1 pep chromosome:Pop_tri_v4:4:20266948:20270785:-1 gene:Potri.004G190400.v4.1 transcript:Potri.004G190400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190400.v4.1 MAEDRNGDRSEESDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDIQGSRYVALKVQKSAQHYTEAAMDEIKILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLSLIKYSGYRGVPLHMVKEICFHMLVGLDYLHRQLSIIHTDLKPENVLLFSMIDPSKDPRKSGAPLILPTNKNKIVAESSSSKEIKSLNGDLTRNQKKKIRKKAKKAAQSCTQKEASLENDADPKPSSPEDSNADVKSNEDSFEEQSNGSVIKDDSANSDGQKDACQAKRSRRGSRSTRQNLLAAADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTPADLWSFACICFELVTGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKVGVSRVLLMSIACLFARSSLFWIN >Potri.004G190400.1.v4.1 pep chromosome:Pop_tri_v4:4:20266949:20270900:-1 gene:Potri.004G190400.v4.1 transcript:Potri.004G190400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190400.v4.1 MAEDRNGDRSEESDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQSKLGWGHFSTVWLAWDIQGSRYVALKVQKSAQHYTEAAMDEIKILKQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLSLIKYSGYRGVPLHMVKEICFHMLVGLDYLHRQLSIIHTDLKPENVLLFSMIDPSKDPRKSGAPLILPTNKNKIVAESSSSKEIKSLNGDLTRNQKKKIRKKAKKAAQSCTQKEASLENDADPKPSSPEDSNADVKSNEDSFEEQSNGSVIKDDSANSDGQKDACQAKRSRRGSRSTRQNLLAAADLKCKLVDFGNACWTYKQFTSDIQTRQYRCPEVLLGSKYSTPADLWSFACICFELVTGDVLFDPHSGDNYDRDEDHLALMMELLGMMPRKIALGGRYSRDFFNRYGDLRHIRRLRFWPLTKVLMEKYDFSEQDANDMTDFLVPILDFVPEKRPTAAQCLNHPWITAGPRLLEPSMPSVKHEAKNRNTSEIKEKAEREAMEAGVGNIVIDGASKKSKESQPMENPSKVT >Potri.010G094000.5.v4.1 pep chromosome:Pop_tri_v4:10:11812545:11818505:-1 gene:Potri.010G094000.v4.1 transcript:Potri.010G094000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094000.v4.1 MGVHKLLPAVGPRRGKRLGRILRVALSFRLWLSLLALLCLLSFALFGLKFITLVGRGGGMESGISSSHQLPITGDVKKNNKEVEQQQLQHSGFGGVVIKAPGSKKRIQHFPCEIGFTETVDYLIEPKDSGNFTQFSLQYVAMEEKPLRVNSFESRFGGHQKLEEREKSFYAHDQTLHCGFVKGPPGFPSTGFDFDEKDMAYMSTCRVAVSSCIFGSSDFLRRPTSKRISDFSKKNVCFVMFVDEQTLSKLASDGHVLDNRGFVGLWRVVVVRNLPYKDMRRTGKVPKFLSHRIFPSSRYSIWLDSKMRLNADPLLIIEYFLWRTRSEYAISNHYARHCVWEEVLQNKRLNKYNETAIDEQFNFYKSDGLSKFDPSDPNTPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRLNPNKPFYLNMFKDCERRALAKLFRHRALPSLPPVP >Potri.010G094000.4.v4.1 pep chromosome:Pop_tri_v4:10:11812613:11818513:-1 gene:Potri.010G094000.v4.1 transcript:Potri.010G094000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094000.v4.1 MGVHKLLPAVGPRRGKRLGRILRVALSFRLWLSLLALLCLLSFALFGLKFITLVGRGGGMESGISSSHQLPITGDVKKNNKEVEQQQLQHSGFGGVVIKAPGSKKRIQHFPCEIGFTETVDYLIEPKDSGNFTQFSLQYVAMEEKPLRVNSFESRFGGHQKLEEREKSFYAHDQTLHCGFVKGPPGFPSTGFDFDEKDMAYMSTCRVAVSSCIFGSSDFLRRPTSKRISDFSKKNVCFVMFVDEQTLSKLASDGHVLDNRGFVGLWRVVVVRNLPYKDMRRTGKVPKFLSHRIFPSSRYSIWLDSKMRLNADPLLIIEYFLWRTRSEYAISNHYARHCVWEEVLQNKRLNKYNETAIDEQFNFYKSDGLSKFDPSDPNTPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRLNPNKPFYLNMFKDCERRALAKLFRHRALPSLPPVP >Potri.010G094000.3.v4.1 pep chromosome:Pop_tri_v4:10:11812332:11818505:-1 gene:Potri.010G094000.v4.1 transcript:Potri.010G094000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094000.v4.1 MGVHKLLPAVGPRRGKRLGRILRVALSFRLWLSLLALLCLLSFALFGLKFITLVGRGGGMESGISSSHQLPITGDVKKNNKEVEQQQLQHSGFGGVVIKAPGSKKRIQHFPCEIGFTETVDYLIEPKDSGNFTQFSLQYVAMEEKPLRVNSFESRFGGHQKLEEREKSFYAHDQTLHCGFVKGPPGFPSTGFDFDEKDMAYMSTCRVAVSSCIFGSSDFLRRPTSKRISDFSKKNVCFVMFVDEQTLSKLASDGHVLDNRGFVGLWRVVVVRNLPYKDMRRTGKVPKFLSHRIFPSSRYSIWLDSKMRLNADPLLIIEYFLWRTRSEYAISNHYARHCVWEEVLQNKRLNKYNETAIDEQFNFYKSDGLSKFDPSDPNTPLPSYVPEGSFIVRAHTPMSNLFSCLWFNEVDRFTSRDQLSFAYTYLKLRRLNPNKPFYLNMFKDCERRALAKLFRHRALPSLPPVP >Potri.010G094000.6.v4.1 pep chromosome:Pop_tri_v4:10:11812543:11818495:-1 gene:Potri.010G094000.v4.1 transcript:Potri.010G094000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094000.v4.1 MGVHKLLPAVGPRRGKRLGRILRVALSFRLWLSLLALLCLLSFALFGLKFITLVGRGGGMESGISSSHQLPITGDVKKNNKEVEQQQLQHSGFGGVVIKAPGSKKRIQHFPCEIGFTETVDYLIEPKDSGNFTQFSLQYVAMEEKPLRVNSFESRFGGHQKLEEREKSFYAHDQTLHCGFVKGPPGFPSTGFDFDEKDMAYMSTCRVAVSSCIFGSSDFLRRPTSKRISDFSKKNVCFVMFVDEQTLSKLASDGHVLDNRGFVGLWRVVVVRNLPYKDMRRTGKVPKFLSHRIFPSSRYSIWLDSKMRLNADPLLIIEYFLWRTRSEYAISNHYARHCVWEEVLQNKRLNKYNETAIDEQFNFYKSDGLSKFDPSDPNTPLPSCTYVGVCFGLRDWVSLYFTPSSIHSFLFFSAIQSSCLVCMLCRCA >Potri.001G025400.5.v4.1 pep chromosome:Pop_tri_v4:1:1909524:1911901:-1 gene:Potri.001G025400.v4.1 transcript:Potri.001G025400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025400.v4.1 MILKSDLWDLEVEENEILPALRLSYNHLPAHLKQCFIYCSIFPKDHNFDEEKLVLLWMAEGFVISKGRRCLEDVASGYFHDLLLRSFFQRSKTNPSKFVMHDLIHDLAQFVAGESCFTLDVKKLQDIGEKVRHSSVLVNKSESVPFEAFRTSKSLRTMLLLCREPRAKVPHDLILSLRCLRSLDLCYSAIKELPDLMGNLRHIRFLDLSHTSIRVLPESICSLYNLQTLVLINCKNLHALPGDTNHLVNLRHLNLTGCGQLISMPPDIGKLTSLQRLHRIVAGKGIGCGIGELKNMNELRATLCIDTVGDVPNITEAKEANLKKKQYINELVLRWGRCRPDGIDDELLECLEPHTNLRELRIDVYPGAKFPNWMGYSSLSHLEKIEFFHCNYCKTLPPLGQLPSLKSLSIYMMCEVENIGREFYGEGKIKGFPSLEKLKLEDMRNLKEWQEIDHGEFPKLQELAVLNCPNISSLPKFPALCELLLDDCNETIWSSVPLLTSLSSLKISNFRRTEVFPEGLFQALSSLKELRIKHFYRLRTLQEELGLHDLPSLQRLEILFCPKLRSFSGKGFPLALQYLSIRACNDLKDLPNGLQSLSSLQDLSILNCPRLVSFPEEKLPSSLKSLRISACANLESLPSGLHDLLNLESLGIQSCPKIASLPTLGLPASLSSLSIFDCELLDERCRQGGEDWPKIAHVAQKWIGNY >Potri.001G025400.1.v4.1 pep chromosome:Pop_tri_v4:1:1909612:1912887:-1 gene:Potri.001G025400.v4.1 transcript:Potri.001G025400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025400.v4.1 MAVKLWLSDLKEVAYDADDVLDEVATEAFRFNQEKKASSLISLSKDFLFKLGLAPKIKEINERLDEIAKERDELGLREGAGATWIETRDRERLQTSSLIDESCVFGRKEDKKEIVNLLVSDDYCGNDVGVLPIVGMGGLGKTTLAQLVFNDETVHFDLKMWVCVSDDFNAQRLTKSILKSVIRESCDLMHLNIDLMDFNILQTSLQEKLRGKRFLLVLDDVWHEKKSDWDVVRLPFRAGASGSKIIVTTRSEKVASITGTFPPFRLEGLSENDCWLLFKQRAFIDGNEDAHQNLVPIGKEILKKCGGLPLAAKTLGGLLHSITEVYEWEMILKSDLWDLEVEENEILPALRLSYNHLPAHLKQCFIYCSIFPKDHNFDEEKLVLLWMAEGFVISKGRRCLEDVASGYFHDLLLRSFFQRSKTNPSKFVMHDLIHDLAQFVAGESCFTLDVKKLQDIGEKVRHSSVLVNKSESVPFEAFRTSKSLRTMLLLCREPRAKVPHDLILSLRCLRSLDLCYSAIKELPDLMGNLRHIRFLDLSHTSIRVLPESICSLYNLQTLVLINCKNLHALPGDTNHLVNLRHLNLTGCGQLISMPPDIGKLTSLQRLHRIVAGKGIGCGIGELKNMNELRATLCIDTVGDVPNITEAKEANLKKKQYINELVLRWGRCRPDGIDDELLECLEPHTNLRELRIDVYPGAKFPNWMGYSSLSHLEKIEFFHCNYCKTLPPLGQLPSLKSLSIYMMCEVENIGREFYGEGKIKGFPSLEKLKLEDMRNLKEWQEIDHGEFPKLQELAVLNCPNISSLPKFPALCELLLDDCNETIWSSVPLLTSLSSLKISNFRRTEVFPEGLFQALSSLKELRIKHFYRLRTLQEELGLHDLPSLQRLEILFCPKLRSFSGKGFPLALQYLSIRACNDLKDLPNGLQSLSSLQDLSILNCPRLVSFPEEKLPSSLKSLRISACANLESLPSGLHDLLNLESLGIQSCPKIASLPTLGLPASLSSLSIFDCELLDERCRQGGEDWPKIAHVAQKWIGNY >Potri.001G025400.4.v4.1 pep chromosome:Pop_tri_v4:1:1909525:1912321:-1 gene:Potri.001G025400.v4.1 transcript:Potri.001G025400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025400.v4.1 MHLNIDLMDFNILQTSLQEKLRGKRFLLVLDDVWHEKKSDWDVVRLPFRAGASGSKIIVTTRSEKVASITGTFPPFRLEGLSENDCWLLFKQRAFIDGNEDAHQNLVPIGKEILKKCGGLPLAAKTLGGLLHSITEVYEWEMILKSDLWDLEVEENEILPALRLSYNHLPAHLKQCFIYCSIFPKDHNFDEEKLVLLWMAEGFVISKGRRCLEDVASGYFHDLLLRSFFQRSKTNPSKFVMHDLIHDLAQFVAGESCFTLDVKKLQDIGEKVRHSSVLVNKSESVPFEAFRTSKSLRTMLLLCREPRAKVPHDLILSLRCLRSLDLCYSAIKELPDLMGNLRHIRFLDLSHTSIRVLPESICSLYNLQTLVLINCKNLHALPGDTNHLVNLRHLNLTGCGQLISMPPDIGKLTSLQRLHRIVAGKGIGCGIGELKNMNELRATLCIDTVGDVPNITEAKEANLKKKQYINELVLRWGRCRPDGIDDELLECLEPHTNLRELRIDVYPGAKFPNWMGYSSLSHLEKIEFFHCNYCKTLPPLGQLPSLKSLSIYMMCEVENIGREFYGEGKIKGFPSLEKLKLEDMRNLKEWQEIDHGEFPKLQELAVLNCPNISSLPKFPALCELLLDDCNETIWSSVPLLTSLSSLKISNFRRTEVFPEGLFQALSSLKELRIKHFYRLRTLQEELGLHDLPSLQRLEILFCPKLRSFSGKGFPLALQYLSIRACNDLKDLPNGLQSLSSLQDLSILNCPRLVSFPEEKLPSSLKSLRISACANLESLPSGLHDLLNLESLGIQSCPKIASLPTLGLPASLSSLSIFDCELLDERCRQGGEDWPKIAHVAQKWIGNY >Potri.001G025400.2.v4.1 pep chromosome:Pop_tri_v4:1:1909594:1912483:-1 gene:Potri.001G025400.v4.1 transcript:Potri.001G025400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025400.v4.1 MGGLGKTTLAQLVFNDETVHFDLKMWVCVSDDFNAQRLTKSILKSVIRESCDLMHLNIDLMDFNILQTSLQEKLRGKRFLLVLDDVWHEKKSDWDVVRLPFRAGASGSKIIVTTRSEKVASITGTFPPFRLEGLSENDCWLLFKQRAFIDGNEDAHQNLVPIGKEILKKCGGLPLAAKTLGGLLHSITEVYEWEMILKSDLWDLEVEENEILPALRLSYNHLPAHLKQCFIYCSIFPKDHNFDEEKLVLLWMAEGFVISKGRRCLEDVASGYFHDLLLRSFFQRSKTNPSKFVMHDLIHDLAQFVAGESCFTLDVKKLQDIGEKVRHSSVLVNKSESVPFEAFRTSKSLRTMLLLCREPRAKVPHDLILSLRCLRSLDLCYSAIKELPDLMGNLRHIRFLDLSHTSIRVLPESICSLYNLQTLVLINCKNLHALPGDTNHLVNLRHLNLTGCGQLISMPPDIGKLTSLQRLHRIVAGKGIGCGIGELKNMNELRATLCIDTVGDVPNITEAKEANLKKKQYINELVLRWGRCRPDGIDDELLECLEPHTNLRELRIDVYPGAKFPNWMGYSSLSHLEKIEFFHCNYCKTLPPLGQLPSLKSLSIYMMCEVENIGREFYGEGKIKGFPSLEKLKLEDMRNLKEWQEIDHGEFPKLQELAVLNCPNISSLPKFPALCELLLDDCNETIWSSVPLLTSLSSLKISNFRRTEVFPEGLFQALSSLKELRIKHFYRLRTLQEELGLHDLPSLQRLEILFCPKLRSFSGKGFPLALQYLSIRACNDLKDLPNGLQSLSSLQDLSILNCPRLVSFPEEKLPSSLKSLRISACANLESLPSGLHDLLNLESLGIQSCPKIASLPTLGLPASLSSLSIFDCELLDERCRQGGEDWPKIAHVAQKWIGNY >Potri.001G025400.3.v4.1 pep chromosome:Pop_tri_v4:1:1909538:1912398:-1 gene:Potri.001G025400.v4.1 transcript:Potri.001G025400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025400.v4.1 MWVCVSDDFNAQRLTKSILKSVIRESCDLMHLNIDLMDFNILQTSLQEKLRGKRFLLVLDDVWHEKKSDWDVVRLPFRAGASGSKIIVTTRSEKVASITGTFPPFRLEGLSENDCWLLFKQRAFIDGNEDAHQNLVPIGKEILKKCGGLPLAAKTLGGLLHSITEVYEWEMILKSDLWDLEVEENEILPALRLSYNHLPAHLKQCFIYCSIFPKDHNFDEEKLVLLWMAEGFVISKGRRCLEDVASGYFHDLLLRSFFQRSKTNPSKFVMHDLIHDLAQFVAGESCFTLDVKKLQDIGEKVRHSSVLVNKSESVPFEAFRTSKSLRTMLLLCREPRAKVPHDLILSLRCLRSLDLCYSAIKELPDLMGNLRHIRFLDLSHTSIRVLPESICSLYNLQTLVLINCKNLHALPGDTNHLVNLRHLNLTGCGQLISMPPDIGKLTSLQRLHRIVAGKGIGCGIGELKNMNELRATLCIDTVGDVPNITEAKEANLKKKQYINELVLRWGRCRPDGIDDELLECLEPHTNLRELRIDVYPGAKFPNWMGYSSLSHLEKIEFFHCNYCKTLPPLGQLPSLKSLSIYMMCEVENIGREFYGEGKIKGFPSLEKLKLEDMRNLKEWQEIDHGEFPKLQELAVLNCPNISSLPKFPALCELLLDDCNETIWSSVPLLTSLSSLKISNFRRTEVFPEGLFQALSSLKELRIKHFYRLRTLQEELGLHDLPSLQRLEILFCPKLRSFSGKGFPLALQYLSIRACNDLKDLPNGLQSLSSLQDLSILNCPRLVSFPEEKLPSSLKSLRISACANLESLPSGLHDLLNLESLGIQSCPKIASLPTLGLPASLSSLSIFDCELLDERCRQGGEDWPKIAHVAQKWIGNY >Potri.010G238100.1.v4.1 pep chromosome:Pop_tri_v4:10:21767699:21768666:-1 gene:Potri.010G238100.v4.1 transcript:Potri.010G238100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238100.v4.1 MALMVRILFVILFIGFVASDPNPVQDYCIAVTDSETYIPCKNPSLVTVEDFIFSGLNSTGNFSETGQAVLSVNVNNFPGLRTQGVSLARADFEVGGFALPHAHPRATEAVCVLEGTFYSGFIDSQQKVFAKVIEQGDVIVIPRGLVHFHKNIGEIQGTLLGIYNSENPGKSMFPTAAFGCEVKEELFEKVYGLSAEDISKVRGKLHLCGKAEAGFN >Potri.001G324400.1.v4.1 pep chromosome:Pop_tri_v4:1:33331531:33342080:1 gene:Potri.001G324400.v4.1 transcript:Potri.001G324400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324400.v4.1 MAATGGCVAFSTVVRSCQVTPSPSSGNLRPNPSLRQFAVSSESQFTKTVRKSETKRTTSFGENGIFKEKHKEEAREGVTKEKQKNPYELGLERNESDEDRSRKSLKDYFEESKDLIRSEGGGGGGPPRWFSPLDCGSRLDDSPLLLYLPGIDGVGLGLIMHHQSLGEIFDIWCLHIPVKDRTSFIDLVKLVEQTVRSENCHSPNRPIYLVGESLGACLALAVAVRNPDIDLSLILANPGTSFEKSQLQPLIHLLGIIPVQYQLILSYMLSSMTGDPLRMAMDKVMKGLPLQQTAEGLLKDVAAMSSYVYVLANILPEETLLWKLKMLKSASAFANSRLHAVKAQTLLLTSGRDQLLPSEDEGKRLRRALPKCEIRRFNDNGHYLFLEDGVDLVTVIKGASFYRRGKCHDYVFDYIPPTPSEIKNICESNRLFMRATSPVMLSTLEDGKIVKGLAGIPSEGPVLFIGYHMLLGYELVPMVMNLLLERNILMRGMAHPMMFTRKKEGYLPELSSFDTYRTMGAVPVSGTNLYKLLSSKAHVLLYPGGLREACHRKGEQYKLIWPEQSEFVRMAARFGAKIVPFGVAGEDDFGEIVFDYDDQMKIPFLKDFIKSLSEEADTVRTGLNSEVNQDIHSPVVLPKFPGRFYYYFGKPIETEGRMSELRDKDNAHELYMQVKSEVEKCLAFLQEKRESDPYRNLLARLAYQSTHGFDSEVPTFEL >Potri.001G324400.4.v4.1 pep chromosome:Pop_tri_v4:1:33331520:33342018:1 gene:Potri.001G324400.v4.1 transcript:Potri.001G324400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324400.v4.1 MAATGGCVAFSTVVRSCQVTPSPSSGNLRPNPSLRQFAVSSESQFTKTVRKSETKRTTSFGENGIFKEKHKEEAREGVTKEKQKNPYELGLERNESDEDRSRKSLKDYFEESKDLIRSEGGGGGGPPRWFSPLDCGSRLDDSPLLLYLPGIDGVGLGLIMHHQSLGEIFDIWCLHIPVKDRTSFIDLVKLVEQTVRSENCHSPNRPIYLVGESLGACLALAVAVRNPDIDLSLILANPGTSFEKSQLQPLIHLLGIIPVQYQLILSYMLSSMTGDPLRMAMDKVMKGLPLQQTAEGLLKDVAAMSSYVYVLANILPEETLLWKLKMLKSASAFANSRLHAVKAQTLLLTSGRDQLLPSEDEGKRLRRALPKCEIRRFNDNGHYLFLEDGVDLVTVIKGASFYRRGKCHDYVFDYIPPTPSEIKNICESNRLFMRATSPVMLSTLEDGKIVKGLAGIPSEGPVLFIGYHMLLGYELVPMVMNLLLERNILMRGMAHPMMFTRKKEGYLPELSSFDTYRTMGAVPVSGTNLYKLLSSKAHVLLYPGGLREACHRKGEQYKLIWPEQSEFVRMAARFGAKIVPFGVAGEDDFGEIVFDYDDQMKIPFLKDFIKSLSEEADTVRTGLNSEVNQDIHSPVVLPKFPGRFYYYFGKPIETEGRMSELRDKDNAHELYMQVKSEVEKCLAFLQEKRESDPYRNLLARLAYQSTHGFDSEVPTFEL >Potri.010G190100.3.v4.1 pep chromosome:Pop_tri_v4:10:18597183:18603006:-1 gene:Potri.010G190100.v4.1 transcript:Potri.010G190100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190100.v4.1 MAGKTRKSEASTSSSSGDNNNNRGETVVSDCGRRRSSCGYCKSTSSTSVSHGLWAHSITVDDYQDLLDRGWRRSGSFLYKPEMEKTCCPSYTIRLRASDFVPSKEQQRVSKRIQRFVDGTLEVKKSVEATKDPSISASACSEASSSGTKESLSNKNKEKNVGDHITNYLSEQVDNVIRTCSESGQFPCSVQLPKASVKKVSQAKRKLLVEGAEDLMYSSNIAFQIAATIRQAQSIAKDASRSAEDNPLSPKIIAEKLAASLDQLANTNGLAIRACNGHINFYTATTQVSSNEDSHIALGAQESATERKDFSLRKSSVHPQGKRRRLEIRLKRSSFDPEEFALYRRYQINVHNDTLDLVSESSYRRFLVDTPLVPVQPTGDSRVPLCGFGSFHQQYVIDGRLVAVGVIDILPKCLSSKYLFWDPDFAFLSLGKYSALQEIGWVKENEVHCPSLQYYYLGYYIHSCSKMRYKAAYCPSELLCPLRYQWVPFDIAQPLLDRKPYVVLSDFVLLQNGESSQPLVPENVMEVQGDENHNEDSNDVLIDGDDEEEDGDDDEEDDDEFESDDDDSGPDSFGQTSVEIENGNVSDILIGLKGSRLRYKVCNLILCMI >Potri.010G190100.1.v4.1 pep chromosome:Pop_tri_v4:10:18597183:18603009:-1 gene:Potri.010G190100.v4.1 transcript:Potri.010G190100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190100.v4.1 MAGKTRKSEASTSSSSGDNNNNRGETVVSDCGRRRSSCGYCKSTSSTSVSHGLWAHSITVDDYQDLLDRGWRRSGSFLYKPEMEKTCCPSYTIRLRASDFVPSKEQQRVSKRIQRFVDGTLEVKKSVEATKDPSISASACSEASSSGTKESLSNKNKEKNVGDHITNYLSEQVDNVIRTCSESGQFPCSVQLPKASVKKVSQAKRKLLVEGAEDLMYSSNIAFQIAATIRQAQSIAKDASRSAEDNPLSPKIIAEKLAASLDQLANTNGLAIRACNGHINFYTATTQVSSNEDSHIALGAQESATERKDFSLRKSSVHPQGKRRRLEIRLKRSSFDPEEFALYRRYQINVHNDTLDLVSESSYRRFLVDTPLVPVQPTGDSRVPLCGFGSFHQQYVIDGRLVAVGVIDILPKCLSSKYLFWDPDFAFLSLGKYSALQEIGWVKENEVHCPSLQYYYLGYYIHSCSKMRYKAAYCPSELLCPLRYQWVPFDIAQPLLDRKPYVVLSDFVLLQNGESSQPLVPENVMEVQGDENHNEDSNDVLIDGDDEEEDGDDDEEDDDEFESDDDDSGPDSFGQTSVEIENGNVSDILIGLKGSRLRYKDLQRAIGPGERLESQLRRYKRVVGEKLAERMVYSLG >Potri.010G190100.4.v4.1 pep chromosome:Pop_tri_v4:10:18597406:18602910:-1 gene:Potri.010G190100.v4.1 transcript:Potri.010G190100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190100.v4.1 MEKTCCPSYTIRLRASDFVPSKEQQRVSKRIQRFVDGTLEVKKSVEATKDPSISASACSEASSSGTKESLSNKNKEKNVGDHITNYLSEQVDNVIRTCSESGQFPCSVQLPKASVKKVSQAKRKLLVEGAEDLMYSSNIAFQIAATIRQAQSIAKDASRSAEDNPLSPKIIAEKLAASLDQLANTNGLAIRACNGHINFYTATTQVSSNEDSHIALGAQESATERKDFSLRKSSVHPQGKRRRLEIRLKRSSFDPEEFALYRRYQINVHNDTLDLVSESSYRRFLVDTPLVPVQPTGDSRVPLCGFGSFHQQYVIDGRLVAVGVIDILPKCLSSKYLFWDPDFAFLSLGKYSALQEIGWVKENEVHCPSLQYYYLGYYIHSCSKMRYKAAYCPSELLCPLRYQWVPFDIAQPLLDRKPYVVLSDFVLLQNGESSQPLVPENVMEVQGDENHNEDSNDVLIDGDDEEEDGDDDEEDDDEFESDDDDSGPDSFGQTSVEIENGNVSDILIGLKGSRLRYKVCNLILCMI >Potri.007G020300.1.v4.1 pep chromosome:Pop_tri_v4:7:1544122:1550094:-1 gene:Potri.007G020300.v4.1 transcript:Potri.007G020300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020300.v4.1 MATAVCVPLSPNPVPEMEHSGDERKKRIESLKKKAISASNKFRHSLTRKGRRSSKVMSVEIEDVHDVEELKVVDAFRQVLILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTVLEEFEFQELSEVLEHYPQGHHGVDKEGRPVYIEQLGKADPAKLLQVTSMDRYVKYHVREFERTFDAKFPACSLAAKRHIDQSTTILDVQGVGLKSLTKAARDLISRLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVQDGDHKCAKKCGTHYPDEKTICEDDLSNSKLHEAPKVESAPQLPQPSFVPEKAYKLEDYQLMVDKSVDMSWKKVVNNDCYTQYDACKAPQAINSPLFTGVMALVTGIVTMIKVTRNVPRKLTDATIYSNPDYCDDTSVKGREQQSPATSSADYMTVLKRMAELEDKVSVLSSKPVSMPPEKEEMLNAAISRVEALEQELMATKKALEDSLAQQAELVAYLEKKKKKKKMLFW >Potri.007G020300.3.v4.1 pep chromosome:Pop_tri_v4:7:1544359:1549272:-1 gene:Potri.007G020300.v4.1 transcript:Potri.007G020300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020300.v4.1 MEHSGDERKKRIESLKKKAISASNKFRHSLTRKGRRSSKVMSVEIEDVHDVEELKVVDAFRQVLILEELLPSKHDDYHMMLRFLKARKFDIEKTKQMWSDMLQWRKEFGADTVLEEFEFQELSEVLEHYPQGHHGVDKEGRPVYIEQLGKADPAKLLQVTSMDRYVKYHVREFERTFDAKFPACSLAAKRHIDQSTTILDVQGVGLKSLTKAARDLISRLQKIDGDNYPETLNRMFIINAGSGFRMLWNTIKSFLDPKTTAKIHVLGNKYQSKLLEIIDASELPEFLGGTCTCADKGGCMRSDKGPWNDPEIMKMVQDGDHKCAKKCGTHYPDEKTICEDDLSNSKLHEAPKVESAPQLPQPSFVPEKAYKLEDYQLMVDKSVDMSWKKVVNNADCYTQYDACKAPQAINSPLFTGVMALVTGIVTMIKVTRNVPRKLTDATIYSNPDYCDDTSVKGREQQSPATSSADYMTVLKRMAELEDKVSVLSSKPVSMPPEKEEMLNAAISRVEALEQELMATKKALEDSLAQQAELVAYLEKKKKKKKMLFW >Potri.017G005700.1.v4.1 pep chromosome:Pop_tri_v4:17:392813:394830:1 gene:Potri.017G005700.v4.1 transcript:Potri.017G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005700.v4.1 MHVYIMFCLLQPCRSSAIFYHHFVRQQINLRTLAQSMASDHSVGGVEVISNKQVILKDYVSGFPRESNLYLTTSNIKLKVPEEESGKDAVLVKNLYLSCDPFMRGWMQRDLPPGKSELSSYSLGSPIVGYGVARVVDSRHSDFKKGDLVWGTTIGWEEYSLMTTPEYLFKINHTDDIPLSYYTGILGMPGMTAYFGFFDIGSPKEGDRVYVSSASGAIGQLVGQFAKLIGCYVVGSAGSKEKVELLKTKFGFDDAFNYKEEHDLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRHHGRIALCGMISQYNLEQPESVHNLIAVLFKQIRMEGFAVADYYDQYSKFLDFVLPYIKEGKIVYVEDITEGLESGPAALIGLFSGRNVGKQVVKVAQE >Potri.007G103700.1.v4.1 pep chromosome:Pop_tri_v4:7:12691626:12696417:-1 gene:Potri.007G103700.v4.1 transcript:Potri.007G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G103700.v4.1 MDHNYQRRQHPTYIADNFNSLDQVISALREAGLESSNLILGIDFTKSNEWTGRHSFHRKSLHAIGSTLNPYEQAISIIGRTLSPFDEDNLIPCFGFGDASTHDKYVFSFYPDNRPCNDLEEALARYREIVPHLKLSGPTSFAAIINAAIDIVEKSGQYHVLVIIADGQVTRTPDTPPGRLSPQEQATVNSIVAASKHPLSIIFVGVGDGPWNAMQQFDDNIPQREFDNFQFVNFTKIMLDNTEASKKETAFALAALMEIPLQYRATQRLQHTDHDLVGGPRTRPLPPPREVIDHDNAVKSIPHITNFETVQPSTSVEPVCPICLTNPKDMAFGCGHLTCRDCGASLSTCPICRQPITTRLKLFT >Potri.005G205200.3.v4.1 pep chromosome:Pop_tri_v4:5:21014422:21015970:-1 gene:Potri.005G205200.v4.1 transcript:Potri.005G205200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205200.v4.1 MGKENETSETPGDFKLVGFSNFVRTNSRSDLFKVNRFHHVEFWCSDATNTARPSMDVNLSHTATASIPTFNHETCRNFSAKHGLAVRAIAIEVDDAELAFTTSVAHGAKPSASPILLDNGAVITERYVSYSNSENDDSSPDNWFLPKFEAVSSFPLDYGIRRLDHAVGNEFTGFHEFADFTAEDVGTSESGLNAVVLANNEETVLLPMNEPVFGTKRKSQIQTYLEHNEGAGVQHLPLVSEDIFRTLREMRKCSAVEGFEFMPSPPPTYYENLKNDQGTLLQIFTKPVGDRPTIFIEIIQRVGCMLKDEKGREYQKSGCGGFGKGNFSELFKSIEEYEKTLEAKRISETTSA >Potri.006G279700.1.v4.1 pep chromosome:Pop_tri_v4:6:26938237:26949976:-1 gene:Potri.006G279700.v4.1 transcript:Potri.006G279700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279700.v4.1 MREMKSFLSLSTSSSATVFHFRPLMAKLQCRTFQNYPASHLPIGTTCRNYMQCSQIKLKKDRLSFIISINHSQSLTNGGSMSMSSAINSHLSPGSLLNYWSFNNGNTLTSLESGVFAKRLFSTASRGSNNKNQNVGGFSKHWKKPKASGAAHDKDETNTNSRISASKDVDAVTSTETAVGDAKLGSAVSRTRNKKSKVNETEKKESKSKKNKGEASFTAVSEKAAGAKSMTTTSQLKKSLPSKSGQVAARASQKKATEEMPNGSTKQQSNKKNGNSSIKRTTKKAVNGSAEKQKPQKIGKLQPFAQGKLKSLYPSAGKCVVVVESVTKAKVIQGYLGDMYEVLPSYGHVRDLAARSGSVRPDDDFSIVWEVPSAAWTHLNSIKVALSGAKILILASDPDREGEAIAWHIVEMLQQQDALRQDLTVARVVFHEITETSIKNALQAPRGIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLLCDREMEIDEFNSQEYWTIGAKLKKQEQDSLVNAHLTHFDSKKLNQFSISSDTEAKDMEQKINSADFQVVGSKKTKTHRNPPTPYITSTLQQDAANKLDFATSYTMKVAQKLYEGVQLSDGKATGLITYLRTDGLHISDEAVGNIRSLIIERYGQDFASKGPRKYFRKVKNAQEAHEAIRPTNIHLLPSMLVGVLDEDSLKLYTLIWSRTVACQMEPVTIEQVQVDIGNAGKSIVFRSSCSRVEFPGYQAVYEDVEAGAVRYKESDALNRQEAFGILDSLKTGDSLYLGEADMKQHFTEPPPRYSEGSLVKKLEELGIGRPSTYASTLKVLKDRNYVTVKNRVLHPEFRGRMVSAFLSHHFTEVTDYSFTADMETELDNVSAGLTDWKGLLKDYWTRFQLYCSRTESVHIHQVEKMLERTYDRFLFGSLPDKSRTCPSCMEGTLIFKVSRFGAGYFIGCDQHPNCKYIAKTLYGDDEKEETPQSSSVDEPKLLGVHPTSNEKVLLKNGPYGYYVQLGEDRKGYAPKRSSVSHIKDVGAITHEVALNLLRYPLTLGDHPKDGYPVMIKLGKGGYTVKHRRTMASVPKNLKPEKITMEKALELLSGKNVSHSGRPKSKPKVEEAVEAM >Potri.006G279700.2.v4.1 pep chromosome:Pop_tri_v4:6:26938238:26949417:-1 gene:Potri.006G279700.v4.1 transcript:Potri.006G279700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279700.v4.1 MQCSQIKLKKDRLSFIISINHSQSLTNGGSMSMSSAINSHLSPGSLLNYWSFNNGNTLTSLESGVFAKRLFSTASRGSNNKNQNVGGFSKHWKKPKASGAAHDKDETNTNSRISASKDVDAVTSTETAVGDAKLGSAVSRTRNKKSKVNETEKKESKSKKNKGEASFTAVSEKAAGAKSMTTTSQLKKSLPSKSGQVAARASQKKATEEMPNGSTKQQSNKKNGNSSIKRTTKKAVNGSAEKQKPQKIGKLQPFAQGKLKSLYPSAGKCVVVVESVTKAKVIQGYLGDMYEVLPSYGHVRDLAARSGSVRPDDDFSIVWEVPSAAWTHLNSIKVALSGAKILILASDPDREGEAIAWHIVEMLQQQDALRQDLTVARVVFHEITETSIKNALQAPRGIDVNLVHAYLARRALDYLIGFNISPLLWRKLPGCQSAGRVQSAALSLLCDREMEIDEFNSQEYWTIGAKLKKQEQDSLVNAHLTHFDSKKLNQFSISSDTEAKDMEQKINSADFQVVGSKKTKTHRNPPTPYITSTLQQDAANKLDFATSYTMKVAQKLYEGVQLSDGKATGLITYLRTDGLHISDEAVGNIRSLIIERYGQDFASKGPRKYFRKVKNAQEAHEAIRPTNIHLLPSMLVGVLDEDSLKLYTLIWSRTVACQMEPVTIEQVQVDIGNAGKSIVFRSSCSRVEFPGYQAVYEDVEAGAVRYKESDALNRQEAFGILDSLKTGDSLYLGEADMKQHFTEPPPRYSEGSLVKKLEELGIGRPSTYASTLKVLKDRNYVTVKNRVLHPEFRGRMVSAFLSHHFTEVTDYSFTADMETELDNVSAGLTDWKGLLKDYWTRFQLYCSRTESVHIHQVEKMLERTYDRFLFGSLPDKSRTCPSCMEGTLIFKVSRFGAGYFIGCDQHPNCKYIAKTLYGDDEKEETPQSSSVDEPKLLGVHPTSNEKVLLKNGPYGYYVQLGEDRKGYAPKRSSVSHIKDVGAITHEVALNLLRYPLTLGDHPKDGYPVMIKLGKGGYTVKHRRTMASVPKNLKPEKITMEKALELLSGKNVSHSGRPKSKPKVEEAVEAM >Potri.008G068400.1.v4.1 pep chromosome:Pop_tri_v4:8:4141410:4146784:1 gene:Potri.008G068400.v4.1 transcript:Potri.008G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068400.v4.1 MSKQTYRVCFCFRRRFKLAVAEVPDEIKALFDLYSENGIMTADHIHRFLIEVQKQEKATFEEAQSIVESLKHLSLFHRKGLHLEAFFKYLFGDTNPPLDLKLGVHHDMTAPLSHYFIYTGHNSYLTGNQLSSECSDVPIINALKKGVRVIELDIWPNSDNDDVEVLHGRTLTTPVQLIKCLRSINEHAFTASEFPVVITLEDHLTPDLQAKVAQMVTQTFGDILFTPGSERLKEFPSPDSLKRRIIISTKPPKEYLEAKEIKDKESHYQKGNAASDEEAWGKEIPILNGHILADDKNESDKDDDDAEEDLDEGDHKLQHDIAPEYKRLIAIHAGKPKGGLDECLKVDPDKVRRLSLSEQQLEKAAETHGKEIVRFTQRNILRVYPKGTRVNSSNYNPLIGWMHGAQMVAFNMQGYGRSLWLMQGMFRANGGCGFVKKPSFLLKSGPHGEVFDTKAKLPMQKTLKVKIYMGEGWFYDFQHTHFDAYSPPDFYARVGIAGVPADTVMKKTKTLEDSWIPFWNEEFEFPLTVPELALLRIEVHEYDMSEKDDFGGQTCLPVWELREGIRAVPLHDRKGERYKCVKLLVRLEFV >Potri.013G101900.5.v4.1 pep chromosome:Pop_tri_v4:13:11038753:11041873:-1 gene:Potri.013G101900.v4.1 transcript:Potri.013G101900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101900.v4.1 MRPSDPFVDAVADNLLDRLEDCKKTFPTALCLGGSSEAVRRLLRGRGSIEKLVMMDTSNDMVQLWKDAEAAQQDSNQNIETSFVVGDEEFLPIKESSVDLVISCLGLHWTNDLPGAMIQCKLELKPDGLFLAAILGGETLKELRIACTVAQMEREGGISPRISPLAQPLAQVCDAGNLLTRAGFTLPGVDVDEYVVSSGADIAPAQWVKLMLFCKGTMS >Potri.014G056400.1.v4.1 pep chromosome:Pop_tri_v4:14:3588990:3592228:-1 gene:Potri.014G056400.v4.1 transcript:Potri.014G056400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G056400.v4.1 MTPIANSRTRALFGRQFFLNVNSQDQAQLLLALRHYSSSNGEQLDADNVKEPRKSSNKSKTAKSMARLINSKPWSTELESSLFSLSPSISKTTFFQALRFIASPPKAFEFFNWASRNGFAHDSRSYFMMLDILGRNGNLNIARNFLFSIERRSNGSVKIEDRFCNTLLRSYGNAGLFNEAIKLFSLMKSSGVSPSVITFNSLLLILLKRGRTNMAHSVFDEMCGTYGVTPDTYTFNILIRGFCKNSMVDEGFRFFKEMSRFNCEPDVVTYNTLVDGLCRAGKVRIAHNVVKGMVKKMKDLSPDVVTYTTLVRGYCMKQEIDEALVVFEEMVSRGLKPNDITYNTLIKGLCEVQKFDKIKEILGGAVGGRGFVPDTCTYNTLMNAQCDAGNFDEALKMFKKMKELKVQPDSATYSVLIRNLCQRGDFERAEQLFDKLSDEDILLRDDGCTPLVAAYNPIFDFLCKNGKTHKAERVFRQLMKKGTQDPPSYKTLIIGHCKEGTFEAGYKLLLFMLRRDYVPDFETYVLLINGFLQKGEPILAYKTLERMLKSSYLPKTSVFHSILSELLKNDFARESASFVVLMIDRKIRQNINLSTHTMRLLFGSELRIKAFQIVELLYDNGYMVDMEELIGFICQNGKLLDAQKMLSFCLEKGHNVDINVCNVVIEGLCKMKRPLEAFGLYYMLVEKSNHQQLSCLEGLRTALEAGGRSEEAKFVSKRMPDERQLADL >Potri.012G012800.1.v4.1 pep chromosome:Pop_tri_v4:12:1507225:1513224:1 gene:Potri.012G012800.v4.1 transcript:Potri.012G012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012800.v4.1 MSSTLLEVTRASHEEVERLERLIVKDLQNEPANNKDRLFQSHRVRNMIDNIITTTEKLVEVYEDKDNARRDEIAALGGQTAMGTNVFSAFYDRLKEIREYHRRHPAARVVDANEDYEAMLKEEPVIEFTGEEAFGKYLDMHELYNQYNNLKFEKPIEYSAYLDIFSQPNIVPRKLKFSRQYREYMENLLEYLVYFFQRTEPLQDLDRIFSKVTTEFEEQWENDAVQGWEKTSQENGHLSADHTVIDLDFYSTVEELKEVGPEKLKEALAALGLKSGGTVQQRAERLFLTKDTPLEKLDKKHFVKGSRGSEPNGGAATSKEVDNSKEIALMEAKINKLCDLLDETIVRTKENVVKKQALTYDEMEAEREEEETQADTESDDDEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIKEAQELWKGIQERQGVNKWRPDLEEEYEDKEGNIYNRKTYTDLQRQGLI >Potri.012G012800.2.v4.1 pep chromosome:Pop_tri_v4:12:1507231:1513209:1 gene:Potri.012G012800.v4.1 transcript:Potri.012G012800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012800.v4.1 MGTNVFSAFYDRLKEIREYHRRHPAARVVDANEDYEAMLKEEPVIEFTGEEAFGKYLDMHELYNQYNNLKFEKPIEYSAYLDIFSQPNIVPRKLKFSRQYREYMENLLEYLVYFFQRTEPLQDLDRIFSKVTTEFEEQWENDAVQGWEKTSQENGHLSADHTVIDLDFYSTVEELKEVGPEKLKEALAALGLKSGGTVQQRAERLFLTKDTPLEKLDKKHFVKGSRGSEPNGGAATSKEVDNSKEIALMEAKINKLCDLLDETIVRTKENVVKKQALTYDEMEAEREEEETQADTESDDDEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQEFKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIKEAQELWKGIQERQGVNKWRPDLEEEYEDKEGNIYNRKTYTDLQRQGLI >Potri.010G087900.5.v4.1 pep chromosome:Pop_tri_v4:10:11326590:11330232:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHAAQIYKKVTSGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.1.v4.1 pep chromosome:Pop_tri_v4:10:11326537:11330282:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MNGPTSLEPDSYEFVEVDPTGRYGRYNEILGKGASKTVYKAFDEYEGIEVAWNQVKLYDSLQTSEDLERLYCEIHLLKTLNHENIMKFYTSWVDTSNRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEIKIGDLGLAAILKKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHAAQIYKKVTSGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.11.v4.1 pep chromosome:Pop_tri_v4:10:11326764:11330158:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MQGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.9.v4.1 pep chromosome:Pop_tri_v4:10:11326766:11330158:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHAAQIYKKVTSGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.3.v4.1 pep chromosome:Pop_tri_v4:10:11326779:11330104:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MRGSYFLLCCSYKAFDEYEGIEVAWNQVKLYDSLQTSEDLERLYCEIHLLKTLNHENIMKFYTSWVDTSNRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEIKIGDLGLAAILKKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHAAQIYKKVTSGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.7.v4.1 pep chromosome:Pop_tri_v4:10:11326780:11330191:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHAAQIYKKVTSGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.10.v4.1 pep chromosome:Pop_tri_v4:10:11326779:11330200:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MQGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.2.v4.1 pep chromosome:Pop_tri_v4:10:11326768:11330208:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MVLQALNQIPTSLLRLILLADMEGYKAFDEYEGIEVAWNQVKLYDSLQTSEDLERLYCEIHLLKTLNHENIMKFYTSWVDTSNRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEIKIGDLGLAAILKKSHAARCVGTPEFMAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHAAQIYKKVTSGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.8.v4.1 pep chromosome:Pop_tri_v4:10:11326779:11330174:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHAAQIYKKVTSGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.010G087900.6.v4.1 pep chromosome:Pop_tri_v4:10:11326779:11330194:-1 gene:Potri.010G087900.v4.1 transcript:Potri.010G087900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087900.v4.1 MAPEVYEEEYNELVDIYSFGMCVLEMVTFEYPYSECNHAAQIYKKVTSGKKPDALYKVKDPELQKFVEKCLATVSTRLSARELLNDPFLQIDDSGCDLKPIDYYQGDLNGVGPLVRQPLHGIHRSNSSLTDGYTNYMGYDLENEIEYHQLELETSPIDLFTCQEDENLENVAIAIKGRRIEDDSIFLRLRIADKEGRIRNIYFSFDIETDTAISVATEMIDELDITEQDVLKVAKMIDDEISTLVPEWKRGRGIEESPQSTYASFCQNCASHGHLLDYFSPDSPGARNLQVLQCSIHECAAVHGRFEEITYQVEGPEQCASTDCAPVSPSQSTNITYTDITAQRDAPSTRGSKEIHCDQGLSITNQSIFEEEERIINMDSLCESNARKSTCENPSAVYCAHWDDYENEIRQELRWLKAKYQMQLRELKDQQLGVKSKSSSLSPNSDNMEHQKDNVPSLPLILRKAKRGNNEAALKFLSSAKNFASCFPTDADKRWVDSGKRKVQNCEAINMACSPEPMVTAKSFYTGALLPHSLHRATSLPVDAVDV >Potri.001G174500.3.v4.1 pep chromosome:Pop_tri_v4:1:14987713:14993017:-1 gene:Potri.001G174500.v4.1 transcript:Potri.001G174500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174500.v4.1 MENMKTPFRGILNDVKGRIACYKQDWVAGILSGFGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLVSTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKGREHLGQKLFLAWAGWVCVWTAVLLFLLAIFNACAIINRFTRLAGELFGMLISVLFIQEAIKGMVSEFEIPKSEDPKLDKYQFQWLYTNGLLGIIFTFGLLYTALKSRRARAWWYGTGWFRSFIADYGVPLMVVAWTALSFSIPSKVPSGVPRRLFSPLPRDSASLHHWTVIKDMGNVPPAYIFAAFIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVASAKESIKQKASNSEIYGNMQAVFIEMDSIPINAVIKELEDLKEAVMKGEDPKDTFDPEKHIDAYLPVRVNEQRVSNFLQSLLVAASVCAMPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFIAPGRRYKVLEGIHASFVESIPFKYIAIFTIFQFVYFLVCFGVTWIPIAGILFPLPFFILISIRQHVLPKLFRPNHLRELDAAEYEEITGAPRLSLSFSFKEREAPVLGNEEGKVEMCDAEILDELTTSRGELKVRTVSFREENATQVCSNGAVQPE >Potri.001G174500.4.v4.1 pep chromosome:Pop_tri_v4:1:14987713:14993017:-1 gene:Potri.001G174500.v4.1 transcript:Potri.001G174500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174500.v4.1 MENMKTPFRGILNDVKGRIACYKQDWVAGILSGFGILAPTTYIFFASALPVIAFGEQLSRDTDGSLSTVETLVSTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKGREHLGQKLFLAWAGWVCVWTAVLLFLLAIFNACAIINRFTRLAGELFGMLISVLFIQEAIKGMVSEFEIPKSEDPKLDKYQFQWLYTNGLLGIIFTFGLLYTALKSRRARAWWYGTGWFRSFIADYGVPLMVVAWTALSFSIPSKVPSGVPRRLFSPLPRDSASLHHWTVIKDMGNVPPAYIFAAFIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVASAKESIKQKASNSEIYGNMQAVFIEMDSIPINAVIKELEDLKEAVMKGEDPKDTFDPEKHIDAYLPVRVNEQRVSNFLQSLLVAASVCAMPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFIAPGRRYKVLEGIHASFVESIPFKYIAIFTIFQFVYFLVCFGVTWIPIAGILFPLPFFILISIRQHVLPKLFRPNHLRELDAAEYEEITGAPRLSLSFSFKEREAPVLGNEEGKVEMCDAEILDELTTSRGELKVRTVSFREENATQVCSNGAVQPE >Potri.001G174500.5.v4.1 pep chromosome:Pop_tri_v4:1:14987713:14993017:-1 gene:Potri.001G174500.v4.1 transcript:Potri.001G174500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174500.v4.1 MYTYLYNFAKGREHLGQKLFLAWAGWVCVWTAVLLFLLAIFNACAIINRFTRLAGELFGMLISVLFIQEAIKGMVSEFEIPKSEDPKLDKYQFQWLYTNGLLGIIFTFGLLYTALKSRRARAWWYGTGWFRSFIADYGVPLMVVAWTALSFSIPSKVPSGVPRRLFSPLPRDSASLHHWTVIKDMGNVPPAYIFAAFIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLGFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVASAKESIKQKASNSEIYGNMQAVFIEMDSIPINAVIKELEDLKEAVMKGEDPKDTFDPEKHIDAYLPVRVNEQRVSNFLQSLLVAASVCAMPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFIAPGRRYKVLEGIHASFVESIPFKYIAIFTIFQFVYFLVCFGVTWIPIAGILFPLPFFILISIRQHVLPKLFRPNHLRELDAAEYEEITGAPRLSLSFSFKEREAPVLGNEEGKVEMCDAEILDELTTSRGELKVRTVSFREENATQVCSNGAVQPE >Potri.003G106500.7.v4.1 pep chromosome:Pop_tri_v4:3:12947547:12954345:-1 gene:Potri.003G106500.v4.1 transcript:Potri.003G106500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106500.v4.1 MNTNNSNQDLLINPQQTQIPQPDPYSHLPHPVQPGPSPHPHPQTRRPRGFAATAAAAASADNTSAVSSPNASGKGKREREKEKERTKLRERHRRAITSRMLTGLRQYGNFPLPARADMNDVLAALAREAGWTVETDGTTYRQSPPPSHTGSFGVRPVESPLLKNCAVKECQPSVLRIDESLSPGSLDSMVISERENSRNEKYTSTSPINSVIECLDADQLIQDVHSGMHQNDFTENSYVPVYVMLANGFINNCCQLIDPQGVRQELSHMKSLDVDGVVVECWWGVVEAWSPQKYAWSGYRELFNIIQEFKLKLQVVMAFHEYGGTDSGDVLISLPQWVLEIGKDNQDIFFTDREGRRNTECLSWGIDKERVLKGRTGIEVYFDFMRSFRTEFNDLFTEGLITAIEIGLGPSGELKYPSFSERIGWRYPGIGEFQCYDKYSQQNLRKAAKLRGHSFWARGPDNAGQYNSRPHETGFFCERGDYDSYFGRFFLHWYSQSLIDHADNVLSLASFAFEDTKIIIKVPAVYWWYRTASHAAELTAGYYNPTNQDGYSPVFEVLKKHSVIMKFVCSGLPLSGFENDEALVDPEGLSWQILNSAWDRGLTVAGVNMLACYDREGYRRVVEMAKPRNDPDHHHFSFFVYQQPSALAQGTICFPELDYFIKCMHGEITGDLVS >Potri.003G106500.6.v4.1 pep chromosome:Pop_tri_v4:3:12947567:12954345:-1 gene:Potri.003G106500.v4.1 transcript:Potri.003G106500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106500.v4.1 MNTNNSNQDLLINPQQTQIPQPDPYSHLPHPVQPGPSPHPHPQTRRPRGFAATAAAAASADNTSAVSSPNASGKGKREREKEKERTKLRERHRRAITSRMLTGLRQYGNFPLPARADMNDVLAALAREAGWTVETDGTTYRQSPPPSHTGSFGVRPVESPLLKNCAVKECQPSVLRIDESLSPGSLDSMVISERENSRNEKYTSTSPINSVIECLDADQLIQDVHSGMHQNDFTENSYVPVYVMLAQNGFINNCCQLIDPQGVRQELSHMKSLDVDGVVVECWWGVVEAWSPQKYAWSGYRELFNIIQEFKLKLQVVMAFHEYGGTDSGDVLISLPQWVLEIGKDNQDIFFTDREGRRNTECLSWGIDKERVLKGRTGIEVYFDFMRSFRTEFNDLFTEGLITAIEIGLGPSGELKYPSFSERIGWRYPGIGEFQCYDKYSQQNLRKAAKLRGHSFWARGPDNAGQYNSRPHETGFFCERGDYDSYFGRFFLHWYSQSLIDHADNVLSLASFAFEDTKIIIKVPAVYWWYRTASHAAELTAGYYNPTNQDGYSPVFEVLKKHSVIMKFVCSGLPLSGFENDEALVDPEGLSWQILNSAWDRGLTVAGVNMLACYDREGYRRVVEMAKPRNDPDHHHFSFFVYQQPSALAQGTICFPELDYFIKCMHGEITGDLVS >Potri.011G140400.1.v4.1 pep chromosome:Pop_tri_v4:11:17113200:17115500:-1 gene:Potri.011G140400.v4.1 transcript:Potri.011G140400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140400.v4.1 MADEVTLLDFWASPFGIRVRIALAEKGVKYEYSEQNLRDKSALLLQMNPVYKKIPTLIHNGRSVCESLIIVQYVDDAWKGKAPLLPSVPYQRAQSRLWADFIDKKVFEITKKIWTTKGEELEGAKKDFIECLKLLEGELGDKPYFGGENLGYVDVAFVPFYCWFYAYETCGNFSIEAECPKIIAWAKRCMQKESVSKSLEDPKKVYEFVLELKKRFGVD >Potri.010G083600.1.v4.1 pep chromosome:Pop_tri_v4:10:11107175:11111068:1 gene:Potri.010G083600.v4.1 transcript:Potri.010G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083600.v4.1 MELGNVENSSTTLMTDFLKQCGGYAVVDGGLATELERHGADLNDPLWSAKCLISSPHLVRRVHLDYLDAGANIILSASYQATIQGFVAKGLSVEEAESLLRRSVEIACEAREIYYDKSTKGSWDYIESGNISRRPVLVAASIGSYGAYLADGSEYSGKYGDAVSLETLKDFHRRRLQVLLKSGADLIACETIPNRLEAKAYAELLEEEGINIPAWFSFNSKDGINVVSGDSILECASIADSCKQVVAVGINCTPPRFIHGLVLSIRKATSKPIVIYPNSGETYNAELKQWTKSSGVVDEDFVSYINKWREAGASLFGGCCRTTPNTIRAIGNVLSKNP >Potri.001G078400.2.v4.1 pep chromosome:Pop_tri_v4:1:5897537:5898490:-1 gene:Potri.001G078400.v4.1 transcript:Potri.001G078400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078400.v4.1 MSSKHGHTELEATQRNSLVSIFYFVFLINWPISYWFFPSFTHTHTHTHTHTYCRIKLVNIVSIIHDLDQHCKQRIKVTRRGIRRPSCTIRGITSKMVEIREGQKRIKEGQKEVRKKFKEIRKESKKLKDETDLISRQSAANQLRLDLMFQIVKARADNDSAKDAHLTRTLRFVAPSSYTLFFNCSYSLYIYTSMSHLAV >Potri.001G078400.3.v4.1 pep chromosome:Pop_tri_v4:1:5897545:5898490:-1 gene:Potri.001G078400.v4.1 transcript:Potri.001G078400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078400.v4.1 MSSKHGHTELEATQRNSLRIKVTRRGIRRPSCTIRGITSKMVEIREGQKRIKEGQKEVRKKFKEIRKESKKLKDETDLISRQSAANQLRLDLMFQIVKARADNDSAKDAHLTRTLRELMAKGECEHKQAFHRGGDHQARTKYN >Potri.007G043300.6.v4.1 pep chromosome:Pop_tri_v4:7:3698296:3708175:1 gene:Potri.007G043300.v4.1 transcript:Potri.007G043300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043300.v4.1 MKDFGTSGFDGRDPFSGGLISLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQELERQRVVEEQERAMELARREEEERMRLAREQEEMQRRLEEEAKEAEWRAEQERLEAIRRAEEHRIAREEEKQRLFMEEERRKQGARQKLLELEKKIAKRQAEAEKSGNDISSGVADEKMTGMVTEKDVSRAIDVGDWEESERMVESITASVSSDSSVVNRPFEMGSRPHFSRDGSSAFLDRGKPVNSWKRDVFDNENSAAFVPQDQENGHPSPRRDASVGGRAFSRKEFYGGPGLMPSRPYHKGRITDPHVDDLSQQIRSQRWNISGDGDYFSRNSEIEPELQENFADSAWGHGLSQGNPYPQYHERMYQNHEADGLYSFGRSRYPMRQPRVLPPPSMTSLHRNPYRGENERPGPSTFPENEMRYNHGARNESTMQPRYDSSYQQNLGRAEIISQEENTETEVQKLNRNTRCDSQSSLSVSSPPDSPVHLSHDDLDESGDSPMLSAGEGKDVALLGPENESAALHTEAEKENMMSGSSILSNGDDEEWAVEDDEQLLEQEEYDEDDDGYGEEDEVHDGEDENINLTQDFEDMHLEDKGPPDMIDNLVLGFNEGVEVGMPNDGFERSSRNEETKFVIPQPSEEQGSIDTMCSDGQTLQVDGSTQVNVDNSSRIFQETEKAIQDMAIQSKNASQTSASPELKDHCDAPTSHGLSIQPQIQSSSGQTVMSSILSVSNLPEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGPSLTHMHPSQPPLFQFGQLRYTPPISQGVLPLNPQSMSLVRPSNPSNFPFNQNVGGAVPIQPGQDTVKADVSSISMDNQQGLLPRHLDLSHMAAKEGNSLPLRERSDSTIKIHQGKGDRSHSGDSNSSTESSFQGENSFVKNLKNVPTQELEGQSQTGELSSLSVSKEKYLGVSKGPGLISGGRGRRYAFTAKTSGSRSSFQASEVSRSDSSGFQRKPRHLRTEFRVRENSDRKQSSGPEVDDKSKISYGRAGARSGSRRMVVANRQPKQPFESEGSISRPASSREIDSRSRVEKGAGKESLRKIQNISHSREDVDAPLQNGIVRVFEQPGIEAPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMARKPRSYLQSVTVSSISNNNYAPVGGEASNSICSDFEAPQGNGLASIEVSAGFNAPIVSQPLPPIGTPAMKADAQAVKSFQTSSLTVVSGGGKNLAPGLIFDGKNNVLETAQTSLRSWVSSRSNQQVMALTQTQLDEAMKPVQFDSHSSVGDPTNSVSEPSLPSSSLLSKDKSFSSAVSPINSLLAGEKIQFGAVTSPSILPSNSLSVTHGIGPPGPCRSDIYISHNLSAAENDRSLFFEKEKHSNESFSHLEDCEAEAEAAASAVAVAAISSDEIGGNVLGASPVSGSDSKNFGSADLDSISAGASSDKQLASQSRAEESLTVTLPADLSVETPISLWPSLPSPQNSASQMLSHVPGAPPSHFPFYEMNPMLGGPIFAFGPHDESASTQSQSQKSKASVSGPPGAWQQHSGVDSFYGPPAGFTGPFISPPGSIPGVQGPPHMVVYNHFAPVGQFGQVGLSYMGTTYIPSGKQPDWKHHPASSAMGVEGDMNDMNMVSAQRNPTNMPTIQHLAPGSPLLSMAPPMAMFDVSPFQSSPDMSVQARWPHVPPSPLQSLPVSMPLQQAEGVLPSQFNHTLPVDKPLAANRFSESQTPAPSDNRRNFPTTTDATVSQLPDELGLVDSSATSMGASTQSIGAKSSLASTIPEAGKTDVMQNGSVSNGSGKNSSSALKTQPFHQKNKSAKHYNNSGNNNQRGGGGSQKNSSGGEWSHRRMAYHGRNHSLGTEKNYPSSKTKQIYVAKQPTNGTSTTS >Potri.007G043300.8.v4.1 pep chromosome:Pop_tri_v4:7:3698308:3708172:1 gene:Potri.007G043300.v4.1 transcript:Potri.007G043300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043300.v4.1 MKDFGTSGFDGRDPFSGGLISLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQELERQRVVEEQERAMELARREEEERMRLAREQEEMQRRLEEEAKEAEWRAEQERLEAIRRAEEHRIAREEEKQRLFMEEERRKQGARQKLLELEKKIAKRQAEAEKSGNDISSGVADEKMTGMVTEKDVSRAIDVGDWEESERMVESITASVSSDSSVVNRPFEMGSRPHFSRDGSSAFLDRGKPVNSWKRDVFDNENSAAFVPQDQENGHPSPRRDASVGGRAFSRKEFYGGPGLMPSRPYHKGRITDPHVDDLSQQIRSQRWNISGDGDYFSRNSEIEPELQENFADSAWGHGLSQGNPYPQYHERMYQNHEADGLYSFGRSRYPMRQPRVLPPPSMTSLHRNPYRGENERPGPSTFPENEMRYNHGARNESTMQPRYDSSYQQNLGRAEIISQEENTETEVQKLNRNTRCDSQSSLSVSSPPDSPVHLSHDDLDESGDSPMLSAGEGKDVALLGPENESAALHTEAEKENMMSGSSILSNGDDEEWAVEDDEQLLLQEQEEYDEDDDGYGEEDEVHDGEDENINLTQDFEDMHLEDKGPPDMIDNLVLGFNEGVEVGMPNDGFERSSRNEETKFVIPQPSEEQGSIDTMCSDGQTLQVDGSTQVNVDNSSRIFQETEKAIQDMAIQSKNASQTSASPELKDHCDAPTSHGLSIQPQIQSSSGQTVMSSILSVSNLPEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGPSLTHMHPSQPPLFQFGQLRYTPPISQGVLPLNPQSMSLVRPSNPSNFPFNQNVGGAVPIQPGQDTVKADVSSISMDNQQGLLPRHLDLSHMAAKEGNSLPLRERSDSTIKIHQGKGDRSHSGDSNSSTESSFQGENSFVKNLKNVPTQELEGQSQTGELSSLSVSKEKYLGVSKGPGLISGGRGRRYAFTAKTSGSRSSFQASEVSRSDSSGFQRKPRHLRTEFRVRENSDRKQSSGPEVDDKSKISYGRAGARSGSRRMVVANRQPKQPFESEGSISRPASSREIDSRSRVEKGAGKESLRKIQNISHSREDVDAPLQNGIVRVFEQPGIEAPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMARKPRSYLQSVTVSSISNNNYAPVGGEASNSICSDFEAPQGNGLASIEVSAGFNAPIVSQPLPPIGTPAMKADAQAVKSFQTSSLTVVSGGGKNLAPGLIFDGKNNVLETAQTSLRSWVSSRSNQQVMALTQTQLDEAMKPVQFDSHSSVGDPTNSVSEPSLPSSSLLSKDKSFSSAVSPINSLLAGEKIQFAENDRSLFFEKEKHSNESFSHLEDCEAEAEAAASAVAVAAISSDEIGGNVLGASPVSGSDSKNFGSADLDSISAGASSDKQLASQSRAEESLTVTLPADLSVETPISLWPSLPSPQNSASQMLSHVPGAPPSHFPFYEMNPMLGGPIFAFGPHDESASTQSQSQKSKASVSGPPGAWQQHSGVDSFYGPPAGFTGPFISPPGSIPGVQGPPHMVVYNHFAPVGQFGQVGLSYMGTTYIPSGKQPDWKHHPASSAMGVEGDMNDMNMVSAQRNPTNMPTIQHLAPGSPLLSMAPPMAMFDVSPFQSSPDMSVQARWPHVPPSPLQSLPVSMPLQQAEGVLPSQFNHTLPVDKPLAANRFSESQTPAPSDNRRNFPTTTDATVSQLPDELGLVDSSATSMGASTQSIGAKSSLASTIPEAGKTDVMQNGSVSNGSGKNSSSALKTQPFHQKNKSAKHYNNSGNNNQRGGGGSQKNSSGGEWSHRRMAYHGRNHSLGTEKNYPSSKTKQIYVAKQPTNGTSTTS >Potri.007G043300.5.v4.1 pep chromosome:Pop_tri_v4:7:3697311:3708276:1 gene:Potri.007G043300.v4.1 transcript:Potri.007G043300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043300.v4.1 MGWSKPAAIAVQEKEGLDVSGNNNGVDNVNNYGGGDLGGGNVGNGVNKASTGSVYMPPSVRPVGPAAASGGRWSYSVVEKAVVLRGEDFPSLKATLPAVSGPEKKQKDGLSQKQKQVLSEELGNEQRDGSSLSRVVDMRPQMQARNNLGNGLDEYGGDNRRLGRSVISEKERKQQEYLLGPLPLVRLNPRSDWADDERDTGHGLTDRGRDHGFSKNEAYWERDFDFPRPSVLPQKPAHNLFDRRGQRDNEAGKIFSSEVTKVDTYGRDVRTLSREGREGNSWRVSSPLTKDRLPTQEAGNERNSIGVRPPSLNRETVKENKYIPSAFRDSSQDNTESRDVGYGQGGRQPWSNTIDSFGNRGPERNTRDRYGSEQYNRFRGDSYQNNSVAKSSFSVGGKGLSVNDPILNFGKEKRPFSKSEKPYLDDPFMKDFGTSGFDGRDPFSGGLISLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQELERQRVVEEQERAMELARREEEERMRLAREQEEMQRRLEEEAKEAEWRAEQERLEAIRRAEEHRIAREEEKQRLFMEEERRKQGARQKLLELEKKIAKRQAEAEKSGNDISSGVADEKMTGMVTEKDVSRAIDVGDWEESERMVESITASVSSDSSVVNRPFEMGSRPHFSRDGSSAFLDRGKPVNSWKRDVFDNENSAAFVPQDQENGHPSPRRDASVGGRAFSRKEFYGGPGLMPSRPYHKGRITDPHVDDLSQQIRSQRWNISGDGDYFSRNSEIEPELQENFADSAWGHGLSQGNPYPQYHERMYQNHEADGLYSFGRSRYPMRQPRVLPPPSMTSLHRNPYRGENERPGPSTFPENEMRYNHGARNESTMQPRYDSSYQQNLGRAEIISQEENTETEVQKLNRNTRCDSQSSLSVSSPPDSPVHLSHDDLDESGDSPMLSAGEGKDVALLGPENESAALHTEAEKENMMSGSSILSNGDDEEWAVEDDEQLLLQEQEEYDEDDDGYGEEDEVHDGEDENINLTQDFEDMHLEDKGPPDMIDNLVLGFNEGVEVGMPNDGFERSSRNEETKFVIPQPSEEQGSIDTMCSDGQTLQVDGSTQVNVDNSSRIFQETEKAIQDMAIQSKNASQTSASPELKDHCDAPTSHGLSIQPQIQSSSGQTVMSSILSVSNLPEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGPSLTHMHPSQPPLFQFGQLRYTPPISQGVLPLNPQSMSLVRPSNPSNFPFNQNVGGAVPIQPGQDTVKADVSSISMDNQQGLLPRHLDLSHMAAKEGNSLPLRERSDSTIKIHQGKGDRSHSGDSNSSTESSFQGENSFVKNLKNVPTQELEGQSQTGELSSLSVSKEKYLGVSKGPGLISGGRGRRYAFTAKTSGSRSSFQASEVSRSDSSGFQRKPRHLRTEFRVRENSDRKQSSGPEVDDKSKISYGRAGARSGSRRMVVANRQPKQPFESEGSISRPASSREIDSRSRVEKGAGKESLRKIQNISHSREDVDAPLQNGIVRVFEQPGIEAPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMARKPRSYLQSVTVSSISNNNYAPVGGEASNSICSDFEAPQGNGLASIEVSAGFNAPIVSQPLPPIGTPAMKADAQAVKSFQTSSLTVVSGGGKNLAPGLIFDGKNNVLETAQTSLRSWVSSRSNQQVMALTQTQLDEAMKPVQFDSHSSVGDPTNSVSEPSLPSSSLLSKDKSFSSAVSPINSLLAGEKIQFGAVTSPSILPSNSLSVTHGIGPPGPCRSDIYISHNLSAAENDRSLFFEKEKHSNESFSHLEDCEAEAEAAASAVAVAAISSDEIGGNVLGASPVSGSDSKNFGSADLDSISAGASSDKQLASQSRAEESLTVTLPADLSVETPISLWPSLPSPQNSASQMLSHVPGAPPSHFPFYEMNPMLGGPIFAFGPHDESASTQSQSQKSKASVSGPPGAWQQHSGVDSFYGPPAGFTGPFISPPGSIPGVQGPPHMVVYNHFAPVGQFGQVGLSYMGTTYIPSGKQPDWKHHPASSAMGVEGDMNDMNMVSAQRNPTNMPTIQHLAPGSPLLSMAPPMAMFDVSPFQSSPDMSVQARWPHVPPSPLQSLPVSMPLQQAEGVLPSQFNHTLPVDKPLAANRFSESQTPAPSDNRRNFPTTTDATVSQLPDELGLVDSSATSMGASTQSIGAKSSLASTIPEAGKTDVMQNGSVSNGSGKNSSSALKTQPFHQKNKSAKHYNNSGNNNQRGGGGSQKNSSGGEWSHRRMAYHGRNHSLGTEKNYPSSKTKQIYVAKQPTNGTSTTS >Potri.007G043300.7.v4.1 pep chromosome:Pop_tri_v4:7:3698063:3708192:1 gene:Potri.007G043300.v4.1 transcript:Potri.007G043300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043300.v4.1 MKDFGTSGFDGRDPFSGGLISLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQELERQRVVEEQERAMELARREEEERMRLAREQEEMQRRLEEEAKEAEWRAEQERLEAIRRAEEHRIAREEEKQRLFMEEERRKQGARQKLLELEKKIAKRQAEAEKSGNDISSGVADEKMTGMVTEKDVSRAIDVGDWEESERMVESITASVSSDSSVVNRPFEMGSRPHFSRDGSSAFLDRGKPVNSWKRDVFDNENSAAFVPQDQENGHPSPRRDASVGGRAFSRKEFYGGPGLMPSRPYHKGRITDPHVDDLSQQIRSQRWNISGDGDYFSRNSEIEPELQENFADSAWGHGLSQGNPYPQYHERMYQNHEADGLYSFGRSRYPMRQPRVLPPPSMTSLHRNPYRGENERPGPSTFPENEMRYNHGARNESTMQPRYDSSYQQNLGRAEIISQEENTETEVQKLNRNTRCDSQSSLSVSSPPDSPVHLSHDDLDESGDSPMLSAGEGKDVALLGPENESAALHTEAEKENMMSGSSILSNGDDEEWAVEDDEQLLLQEQEEYDEDDDGYGEEDEVHDGEDENINLTQDFEDMHLEDKGPPDMIDNLVLGFNEGVEVGMPNDGFERSSRNEETKFVIPQPSEEQGSIDTMCSDGQTLQVDGSTQVNVDNSSRIFQETEKAIQDMAIQSKNASQTSASPELKDHCDAPTSHGLSIQPQIQSSSGQTVMSSILSVSNLPEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGPSLTHMHPSQPPLFQFGQLRYTPPISQGVLPLNPQSMSLVRPSNPSNFPFNQNVGGAVPIQPGQDTVKADVSSISMDNQQGLLPRHLDLSHMAAKEGNSLPLRERSDSTIKIHQGKGDRSHSGDSNSSTESSFQGENSFVKNLKNVPTQELEGQSQTGELSSLSVSKEKYLGVSKGPGLISGGRGRRYAFTAKTSGSRSSFQASEVSRSDSSGFQRKPRHLRTEFRVRENSDRKQSSGPEVDDKSKISYGRAGARSGSRRMVVANRQPKQPFESEGSISRPASSREIDSRSRVEKGAGKESLRKIQNISHSREDVDAPLQNGIVRVFEQPGIEAPSDDDDFIEVRSKRQMLNDRREQREKEIKMARKPRSYLQSVTVSSISNNNYAPVGGEASNSICSDFEAPQGNGLASIEVSAGFNAPIVSQPLPPIGTPAMKADAQAVKSFQTSSLTVVSGGGKNLAPGLIFDGKNNVLETAQTSLRSWVSSRSNQQVMALTQTQLDEAMKPVQFDSHSSVGDPTNSVSEPSLPSSSLLSKDKSFSSAVSPINSLLAGEKIQFGAVTSPSILPSNSLSVTHGIGPPGPCRSDIYISHNLSAAENDRSLFFEKEKHSNESFSHLEDCEAEAEAAASAVAVAAISSDEIGGNVLGASPVSGSDSKNFGSADLDSISAGASSDKQLASQSRAEESLTVTLPADLSVETPISLWPSLPSPQNSASQMLSHVPGAPPSHFPFYEMNPMLGGPIFAFGPHDESASTQSQSQKSKASVSGPPGAWQQHSGVDSFYGPPAGFTGPFISPPGSIPGVQGPPHMVVYNHFAPVGQFGQVGLSYMGTTYIPSGKQPDWKHHPASSAMGVEGDMNDMNMVSAQRNPTNMPTIQHLAPGSPLLSMAPPMAMFDVSPFQSSPDMSVQARWPHVPPSPLQSLPVSMPLQQAEGVLPSQFNHTLPVDKPLAANRFSESQTPAPSDNRRNFPTTTDATVSQLPDELGLVDSSATSMGASTQSIGAKSSLASTIPEAGKTDVMQNGSVSNGSGKNSSSALKTQPFHQKNKSAKHYNNSGNNNQRGGGGSQKNSSGGEWSHRRMAYHGRNHSLGTEKNYPSSKTKQIYVAKQPTNGTSTTS >Potri.007G043300.1.v4.1 pep chromosome:Pop_tri_v4:7:3696602:3708139:1 gene:Potri.007G043300.v4.1 transcript:Potri.007G043300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043300.v4.1 MANPGVGSKFVSVNLNKSYGQQQQQQYHHNNQYNYGQGRGRPGGAGGGGGGGMVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGHGSGGPGNGPRPSSAGMGWSKPAAIAVQEKEGLDVSGNNNGVDNVNNYGGGDLGGGNVGNGVNKASTGSVYMPPSVRPVGPAAASGGRWSYSVVEKAVVLRGEDFPSLKATLPAVSGPEKKQKDGLSQKQKQVLSEELGNEQRDGSSLSRVVDMRPQMQARNNLGNGLDEYGGDNRRLGRSVISEKERKQQEYLLGPLPLVRLNPRSDWADDERDTGHGLTDRGRDHGFSKNEAYWERDFDFPRPSVLPQKPAHNLFDRRGQRDNEAGKIFSSEVTKVDTYGRDVRTLSREGREGNSWRVSSPLTKDRLPTQEAGNERNSIGVRPPSLNRETVKENKYIPSAFRDSSQDNTESRDVGYGQGGRQPWSNTIDSFGNRGPERNTRDRYGSEQYNRFRGDSYQNNSVAKSSFSVGGKGLSVNDPILNFGKEKRPFSKSEKPYLDDPFMKDFGTSGFDGRDPFSGGLISLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQELERQRVVEEQERAMELARREEEERMRLAREQEEMQRRLEEEAKEAEWRAEQERLEAIRRAEEHRIAREEEKQRLFMEEERRKQGARQKLLELEKKIAKRQAEAEKSGNDISSGVADEKMTGMVTEKDVSRAIDVGDWEESERMVESITASVSSDSSVVNRPFEMGSRPHFSRDGSSAFLDRGKPVNSWKRDVFDNENSAAFVPQDQENGHPSPRRDASVGGRAFSRKEFYGGPGLMPSRPYHKGRITDPHVDDLSQQIRSQRWNISGDGDYFSRNSEIEPELQENFADSAWGHGLSQGNPYPQYHERMYQNHEADGLYSFGRSRYPMRQPRVLPPPSMTSLHRNPYRGENERPGPSTFPENEMRYNHGARNESTMQPRYDSSYQQNLGRAEIISQEENTETEVQKLNRNTRCDSQSSLSVSSPPDSPVHLSHDDLDESGDSPMLSAGEGKDVALLGPENESAALHTEAEKENMMSGSSILSNGDDEEWAVEDDEQLLLQEQEEYDEDDDGYGEEDEVHDGEDENINLTQDFEDMHLEDKGPPDMIDNLVLGFNEGVEVGMPNDGFERSSRNEETKFVIPQPSEEQGSIDTMCSDGQTLQVDGSTQVNVDNSSRIFQETEKAIQDMAIQSKNASQTSASPELKDHCDAPTSHGLSIQPQIQSSSGQTVMSSILSVSNLPEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGPSLTHMHPSQPPLFQFGQLRYTPPISQGVLPLNPQSMSLVRPSNPSNFPFNQNVGGAVPIQPGQDTVKADVSSISMDNQQGLLPRHLDLSHMAAKEGNSLPLRERSDSTIKIHQGKGDRSHSGDSNSSTESSFQGENSFVKNLKNVPTQELEGQSQTGELSSLSVSKEKYLGVSKGPGLISGGRGRRYAFTAKTSGSRSSFQASEVSRSDSSGFQRKPRHLRTEFRVRENSDRKQSSGPEVDDKSKISYGRAGARSGSRRMVVANRQPKQPFESEGSISRPASSREIDSRSRVEKGAGKESLRKIQNISHSREDVDAPLQNGIVRVFEQPGIEAPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMARKPRSYLQSVTVSSISNNNYAPVGGEASNSICSDFEAPQGNGLASIEVSAGFNAPIVSQPLPPIGTPAMKADAQAVKSFQTSSLTVVSGGGKNLAPGLIFDGKNNVLETAQTSLRSWVSSRSNQQVMALTQTQLDEAMKPVQFDSHSSVGDPTNSVSEPSLPSSSLLSKDKSFSSAVSPINSLLAGEKIQFGAVTSPSILPSNSLSVTHGIGPPGPCRSDIYISHNLSAAENDRSLFFEKEKHSNESFSHLEDCEAEAEAAASAVAVAAISSDEIGGNVLGASPVSGSDSKNFGSADLDSISAGASSDKQLASQSRAEESLTVTLPADLSVETPISLWPSLPSPQNSASQMLSHVPGAPPSHFPFYEMNPMLGGPIFAFGPHDESASTQSQSQKSKASVSGPPGAWQQHSGVDSFYGPPAGFTGPFISPPGSIPGVQGPPHMVVYNHFAPVGQFGQVGLSYMGTTYIPSGKQPDWKHHPASSAMGVEGDMNDMNMVSAQRNPTNMPTIQHLAPGSPLLSMAPPMAMFDVSPFQSSPDMSVQARWPHVPPSPLQSLPVSMPLQQAEGVLPSQFNHTLPVDKPLAANRFSESQTPAPSDNRRNFPTTTDATVSQLPDELGLVDSSATSMGASTQSIGAKSSLASTIPEAGKTDVMQNGSVSNGSGKNSSSALKTQPFHQKNKSAKHYNNSGNNNQRGGGGSQKNSSGGEWSHRRMAYHGRNHSLGTEKNYPSSKTKQIYVAKQPTNGTSTTS >Potri.011G073541.1.v4.1 pep chromosome:Pop_tri_v4:11:7665618:7667566:1 gene:Potri.011G073541.v4.1 transcript:Potri.011G073541.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073541.v4.1 MKNLSFISLTANRLSGNIPGHLGSFTALNYLSLESNQFSGVVPPELGKLVNLETMILSGNKLVGTLPEALAQIKDLKDFRVSDNNLNGTVPEFIGNCTQLQKLELYATGLQGPIPLAIFQLEKLSDLRIADMPGPEFQLPKKPIERKYLVLRNINLTGTIPENAWKVEKTLDLTFNKLVGEIPPTTIRRQFT >Potri.008G052700.1.v4.1 pep chromosome:Pop_tri_v4:8:3096408:3109833:-1 gene:Potri.008G052700.v4.1 transcript:Potri.008G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052700.v4.1 MSSTFSSSRNSPGSARLQLQFGAVRSSSLKKPPEPLRRAVADCLSSAAVATFHHGISPATSTDASRTLLDYLAAPATTDLAYGVILEHTIAERERSPAVVGRCVTHLKRYLLRCIPSEETLSQIDRFCLSIIAECDISLKRTSSTWSGSLNQQSVSSTAPANYSPSPPVYIYASEALVKSLNYVRSLVAHHIPKRPFQPAAFTVAPSVSRQSLPTQPSLFSRSFNYQLSPSSGAESSEKNDPTTLPASNLSNVENDEVAEDLDYIADDVLKWRWVGRPFLSTESDRPVDLHDVSVCKFLELGAAALLVGDMEDKTKGQPWKYFGTADLPYLEQLLQPSSVTTITNSASARRHLRTITSSKRSKAGPHQIWEDSPASTFRPRARQLFQYRHYSGQQPLRLNPAEVCEVIAAVSSETYSASANHLTVSRLNNNSGKPSMDVAVSVLIKLVIDMYVMDSGTAAPLALSMLEEMLNSSKAACRVRAFDLILNLGVHAHLLEPVLINDASTIEEEYSQESCSDCEEQLPTQGNQKADSVNKLGTSSAINNFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKILRNRLEGLDIRVIKALIETSRKNSWAELVHSKLVCMLTNMFYQVSDGSMMTVAMNPVFLIDELDLIGGIEFIFHEYSLANLREERRNLYLILFDYVLHQINEACVAAGESEFSDDEIQSIATLLTLANAPEAFYISVKLGLEGIGELLRRSISGALSRYPNNERLNMLLENVTEKFNAIISSFTHLDKEFSHLIETTQSYKFLESVESAVPRNGVGVKAKLSWATLHSLLHSERIAYRQNGYTWLGDLLIAEITEGRDVNVWSNVKELQRKIAYAGVHDSSVSSDVPLSIWLLCGLLKSKHNIIRWGFLFVLERLLMRCKFLLDKNEMQHPRSSNASHEHADSRLEKANAVIDIMSSALSLVAQINETDRINILKMCDILFSQLCLKVLPATAIPNGEGMQKSKVCGGADENKKNDTSDRTSQLNDFHWNEFLEEADSRSRSSYSINSSLICKTASMAAMLLQGQAIVPMQLVARVPAALFYWPLIQLAGAATDNIALGVAVVSKGRGNLPGAASDIRATLLLLLIGKCTADPSAFQEVGGEEFFRELLDDTDSRVAYYSSAFLLKRMMTEKPDEYKHMLQNLIFKAQQSNNEKLLENPYLQVRGLLQLSNDGL >Potri.008G052700.5.v4.1 pep chromosome:Pop_tri_v4:8:3099762:3109816:-1 gene:Potri.008G052700.v4.1 transcript:Potri.008G052700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052700.v4.1 MSSTFSSSRNSPGSARLQLQFGAVRSSSLKKPPEPLRRAVADCLSSAAVATFHHGISPATSTDASRTLLDYLAAPATTDLAYGVILEHTIAERERSPAVVGRCVTHLKRYLLRCIPSEETLSQIDRFCLSIIAECDISLKRTSSTWSGSLNQQSVSSTAPANYSPSPPVYIYASEALVKSLNYVRSLVAHHIPKRPFQPAAFTVAPSVSRQSLPTQPSLFSRSFNYQLSPSSGAESSEKNDPTTLPASNLSNVENDEVAEDLDYIADDVLKWRWVGRPFLSTESDRPVDLHDVSVCKFLELGAAALLVGDMEDKTKGQPWKYFGTADLPYLEQLLQPSSVTTITNSASARRHLRTITSSKRSKAGPHQIWEDSPASTFRPRARQLFQYRHYSGQQPLRLNPAEVCEVIAAVSSETYSASANHLTVSRLNNNSGKPSMDVAVSVLIKLVIDMYVMDSGTAAPLALSMLEEMLNSSKAACRVRAFDLILNLGVHAHLLEPVLINDASTIEEEYSQESCSDCEEQLPTQGNQKADSVNKLGTSSAINNFESWILNILYEILLLLVQTEEKEESVWASALSCLLYFVCDRGKILRNRLEGLDIRVIKALIETSRKNSWAELVHSKLVCMLTNMFYQVSDGSMMTVAMNPVFLIDELDLIGGIEFIFHEYSLANLREERRNLYLILFDYVLHQINEACVAAGESEFSDDEIQSIATLLTLANAPEAFYISVKLGLEGIGELLRRSISGALSRYPNNERLNMLLENVTEKFNAIISSFTHLDKEFSHLIETTQSYKFLESVESAVPRNGVGVKAKLSWATLHSLLHSERIAYRQNGYTWLGDLLIAEITEGRDVNVWSNVKELQRKIAYAGVHDSSVSSDVPLSIWLLCGLLKSKHNIIRWGFLFVLERLLMRCKFLLDKNEMQHPRSSNASHEHADSRLEKANAVIDIMSSALSLVAQINETDRINILKVICWSTNTTIDQPK >Potri.009G103500.1.v4.1 pep chromosome:Pop_tri_v4:9:9108367:9112667:-1 gene:Potri.009G103500.v4.1 transcript:Potri.009G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103500.v4.1 MFGGGSVYWGRKESESKGIVVIFAWISIHEKHLNSYLDLYSSLGWNSLVSHADFLSAFYPERALSLAYILLNELVEDLRVRPCPVIFVAFSGGSKACMYKVFQIIQGTCEGHLNMDECRLVKNCISGHIYDSSPIDFTSDVAAQFSLHPAIQRMPGPSKFMSWVAKGLASGLDGLYLTRFEFQRAEYWQTLYSSIDVGAPYLILCSENDDLAPYVVISKFVHRLKDLGGDVKLVKWNHSPHIGHYQHNPIQYRAAVTNLLDKAPSVYYRRIQQLREGIGLDSMHDEMSELICDLQKAAVNSNQSFRRVAVGPGDHFFVPSSAEYCNSRKPESLQDERKERSIYLPNHPSISAHSVLGQVLFDACVPKKIEGWDIRFSGSLNGQPIASAQRRHSPFHGIKFTRRSRL >Potri.001G026000.3.v4.1 pep chromosome:Pop_tri_v4:1:1948482:1949843:-1 gene:Potri.001G026000.v4.1 transcript:Potri.001G026000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026000.v4.1 MDDDDDIQSHSNTTESSSPSPSPPDGRITVTVPATATVPPTPPPQQTDNSLALVLPMKSKANGSGGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKDVAEIVSSREDYSKSAKTDIQCKNRIDTVKKKYKLEKAKMASGGGVSSWPFFDPLDRLIGSTARVPAVASGSKFPVAIPVRVRSSSRRGGTNQYHFRRNQNMKARIRKWGKDEDDDDDDEDEEEEEEEEEEEEEEDGSFPPQKKRRVVVEREVGGKGGGGGKEKKGGWGNSIRMLTQAMLKFGEAYEQAETAKLQQVVEMEKTRMKFAKELELQRMQFFMQTQMEISQLKNGRKGGSASGNHHHHHHHHHTGNNITASNNVNNSDSDN >Potri.011G082500.1.v4.1 pep chromosome:Pop_tri_v4:11:8980176:8991125:1 gene:Potri.011G082500.v4.1 transcript:Potri.011G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082500.v4.1 MVESGKRSRPQRDYDGDTNNQKRHKDDKGTNNDGLIVYRILCPDGVIGSVIGKSGKVINSIRHESRARVKVVDPFPGAKDRIITIYCHIKEKEDVDVDDDFNHTNPLCAAQDALLKVHAAISNAVASLGDSDKRLRDKEECQILVPASQSANIIGKAGATIKRLRSKTRTSIKIIAKDSTDPTHSCAMDFDNFLLITGESEAVKKALFAVSAIMYKFNPKEEIPLEATVPEPPPSIIIPSDVPIYQPGGFYPNAEPIVSSRSVPPILGATHIPELQGYGDMGSSWPVYTSTLPVVPSFGNVSRYEELIIRVLCPFDKIGRVIGKGGSTIKSIRQASGARIEVDDTKADRDECIITVTATESPDDLKSMAVEAILLLQGKINDEDDDTVGCRLLVLSKVIGCIIGKGGSTINEIRKRTNADVRISKGAKPNCADSNDELVEVVGEVSSVRDALVQIVLRLRDDVLKEKDGGLNSLVGTDSVYPVGAGLSIPSILPSVPPVAPMGYDQRAESGSGLGLLSSSSLYGYGSLPMVENSYGSLASYSSSKLYAGFPPPSTLEMLVPANAVGKVMGKGGANIANIRKISGAMIEISDANSARGDRIARISGTLEQKRAAENLIQAFIMAT >Potri.011G082500.2.v4.1 pep chromosome:Pop_tri_v4:11:8979630:8988797:1 gene:Potri.011G082500.v4.1 transcript:Potri.011G082500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082500.v4.1 MVESGKRSRPQRDYDGDTNNQKRHKDDKGTNNDGLIVYRILCPDGVIGSVIGKSGKVINSIRHESRARVKVVDPFPGAKDRIITIYCHIKEKEDVDVDDDFNHTNPLCAAQDALLKVHAAISNAVASLGDSDKRLRDKEECQILVPASQSANIIGKAGATIKRLRSKTRTSIKIIAKDSTDPTHSCAMDFDNFLLITGESEAVKKALFAVSAIMYKFNPKEEIPLEATVPEPPPSIIIPSDVPIYQPGGFYPNAEPIVSSRSVPPILGATHIPELQGYGDMGSSWPVYTSTLPVVPSFGNVSRYEELIIRVLCPFDKIGRVIGKGGSTIKSIRQASGARIEVDDTKADRDECIITVTATESPDDLKSMAVEAILLLQGKINDEDDDTVGCRLLVLSKVIGCIIGKGGSTINEIRKRTNADVRISKGAKPNCADSNDELVEVVGEVSSVRDALVQIVLRLRDDVLKEKDGGLNSLVGTDSVYPVGAGLSIPSILPSVPPVAPMGYDQRAESGSGLGLLSSSSLYGYGSLPMVENSYGSLASYSSSKLYAGWQSDG >Potri.006G198401.1.v4.1 pep chromosome:Pop_tri_v4:6:20614298:20615199:1 gene:Potri.006G198401.v4.1 transcript:Potri.006G198401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198401.v4.1 MPLPSFHLVSLSNKTCEKLSNELQIIFCHYMCYCTSNALSTGFNLAQAGFLTVSSLPSTMTPTSQVLAQSESHNKMLFKTQTVAANLGRKPPRTILTQSLTPAGPAVCPPYCPKN >Potri.012G131200.2.v4.1 pep chromosome:Pop_tri_v4:12:14603807:14606813:1 gene:Potri.012G131200.v4.1 transcript:Potri.012G131200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131200.v4.1 MLFLLVGFYVSPSIILGLIGILIVFVIVFALKYLVFHIKESILEDQKPPIAGPILNYLVHFNRLFDYQTSIAKKHSTFRLITPSHSEIYTVDPLNVEYILITKFSNYEKGAYNYGIMRDLFGDGIFAVDGHKSRHQRKLASYEFSTRVLRDLSSAVFRTNAAKLVSKITVAATALKSIDLQDMLMKSTLDSIFKVGFGFELNALSGLDEFGSRFTKAFDDSNSIIFWRYVDLIWGLKRFLNIGSEASLKQNIKVINDFIFELIRCKREQMKTGKLEGGEEDILSRFLLESEKDPENMTDQYLRDITLNFIIAGKDTSANTLAWFFYMLCKHPLVQEKVVQEVREAVRIKESMSADEFSKLMTEEALDKMQYLHASLTETLRLYPAVPLDGKSAAEDEILPNGFKVKKGDGITYMAYVMGRMKNIWGDDAEEFHPERWLHDGIFLLDDQAVTSSNLTIPIYLIKIKHKVMWACASFKLKGLSLEGAGPRICLGKEFADRQMKILAAVLLYFFRFKLVDARKEATYRTMFTLHLDKGLHASICISRL >Potri.001G435900.2.v4.1 pep chromosome:Pop_tri_v4:1:46185989:46187770:-1 gene:Potri.001G435900.v4.1 transcript:Potri.001G435900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435900.v4.1 MDIEETQLHRCPSDTDLDFSFTSTATDRTFASSSARSSLTLSFNDGLSTTTMTTTSSLHHRKCDQHWSAIKTATSLSTDGRLHLRHLKLLRHLGTGNLGRVFLCQLRDFNNANFALKVMDKDSLTKKKLSQVQMEGEILSMLDHPFLPTLYAHLEVSHYSCLLIDYCPNGDLHSLLRKQPANRLPVQAVKFFAAEVLVALEYLHSLGVVYRDLKPENILLREDGHIMLSDFDLCFKADVVPTFDRRVHRKRMAGSMRRGGDCFGTFSRRGVVEEEVVEEEFVAEPTEALSRSCVGTHEYLAPELLSGNGHGNGVDWWAFGVLVYELLYGTTPFKGGSKESTLRNIASSKHVNFHVMEGEGKGMEEAKDLIEKLLVKDPRQRLGCTKGATDIKRHPFFYGIKWPLIRNYKPPEVRGLVAKKGKSHSSGHVLGGVSSPRRKCWWRLWKKGTGGGGGGLGNLLRNKGSSPRYYLLNNNQHYNANYHHYKVRKCA >Potri.005G166500.1.v4.1 pep chromosome:Pop_tri_v4:5:16661683:16663337:-1 gene:Potri.005G166500.v4.1 transcript:Potri.005G166500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G166500.v4.1 MIEAMDGFDRLPDSLILLIFNSISDIKALIRCRSVSKRFNSLVPQTESLSLKVDCVISPESDSDSLFTLFKSLLKSIHDLFKPDPKPTARNQTQNSPARILSQFDRIRDLQIELPAGDLKLEKGAVIKWRAEFGKSLKSCVILGFRRVANPEGNSADEEIDFTGGLKTRVVWAISALIAASARHYLLNDVVKGHREMERLVLVDREGEGTVAMEKEGLRECREAARGGEWEEDGGRTVVPSVRMRMRHEQRVQLKDGVWMEGVTLVVVRPCSGGGDGEDAELALGAFGGGIYGEAVQVLLKNKSYLLEMNSF >Potri.012G001400.1.v4.1 pep chromosome:Pop_tri_v4:12:1118725:1120776:-1 gene:Potri.012G001400.v4.1 transcript:Potri.012G001400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001400.v4.1 MENISGLGKEDDDKMDLPPGFRFHPTDEELISHYLYKKVLDINFSARAIGDVDLNKSEPWELPWKAKMGEKEWYFFCVRDRKYPTGLRTNRATEAGYWKATGKDKEIYRGKSLVGMKKTLVFYKGRAPKGEKTNWVMHEYRLEGKFSAHNLPKTAKNEWVICRVFQKSSAGKKTYISGLVRLGSFGNEFSPYGLPPLTDSSPSNGKIKPVAESAYVPCFSNLSDDQRNQQDTTDSFNNHLFAVSSNPSEVFPRIPLLNSFYSPQAVAASGNLQYPGSVSIQDRSVLKALIENQGSNMKQQSSKLERDMASVSQDSAADMNNEISSVISNLEAGKRSFDEQEAPPCTLVQSMDLDCFWNY >Potri.018G003502.1.v4.1 pep chromosome:Pop_tri_v4:18:253115:257052:-1 gene:Potri.018G003502.v4.1 transcript:Potri.018G003502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003502.v4.1 MEQLSLMLAQEVQQEVRLVVGVKNEVKKLTSNFQAIQDVLADAEERQLKDGSIKRWIDQLKGVSYDMDDVLDEWGTSIAKSQMKVNEHPRKTARKAPH >Potri.013G115200.1.v4.1 pep chromosome:Pop_tri_v4:13:12357090:12360843:-1 gene:Potri.013G115200.v4.1 transcript:Potri.013G115200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115200.v4.1 MESGVRKENNPPSSSFSLQKQPPATNPSPLRKIIMVASIAAGVQFGWALQLSLLTPYVQLLGIPHTWAAFIWLCGPISGMLVQPTVGYYSDRCTSRFGRRSPFIAAGAGFVAISVFLIGYAADIGHLSGDSLTKTAKPRAIAVFVVGFWILDVANNMLQGPCRAFLADLSGTDHKKTRTANAFYSFFMAVGNVLGFASGSYTHLYRIFPFSRTKACDVYCANLKSCFFISIALLLTLTILALSYVREKPWSPEGSSGDGGNEEEKEVEGGEAKESTPAPFFGEIVAALKNLQRPMRILLLVTCLNWVAWFPFLLFDTDWMGREVYGGDSSRNADQLKMYDRGVRAGALGLLLNSVVLGFTSLGVEVLARGVGGVKRLWGIVNFILAICLAMTILITKVAQSNRRYTTVNGGTHLLPPPSGVKAGALALFAVMGIPQAITYSIPFALASIFSNTSGAGQGLSLGVLNLSIVIPQMVVSVAAGPWDALFGGGNLPAFVVGAVAAAASGILAFTMLPSPPPDIPSNKRAATSSSAAFH >Potri.003G013200.1.v4.1 pep chromosome:Pop_tri_v4:3:3161195:3165157:-1 gene:Potri.003G013200.v4.1 transcript:Potri.003G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013200.v4.1 MMMKRMGTWMLLALLTLVGEWHGRCYGCLEEERVGLLEIQYLIDPNHVSLRDWMDINSSCCEWDWIKCDNTTRRVIQLSLGGERDESLGDWVLNASLFQPFKELQSLDLGMTSLVGCLENEGFEVLSSKLRNLDLSANGFNNDKSILSCFNGNLSTLKSLDLSANGLTAGSGLRKLEFLQSLPSLKTLSLKYTDLSQGTFFNSSTLEELYLDNTSLRINFLQNIGALPALKVLSVAECDLHGTLPAQGWCELKNLKQLDLARNNFGGSLPDCLGNLSSLQLLDVSENQFTGNFTSGPLTNLISLEFLLLSNNLFEVPISMKPFLNHSSLKFFSSENNRLVTEPVAFDNLIPKFQLVFFRLSSSPTSEALNVIPDFLYYQLDLRALDLSHNNITGMFPSWLLKNNTRLEQLYLSANFFVGTLQLQDHPYSNMVELDISNNNMSGQISKDICLIFPNLWTLRMAKNGFTGCIPSCLGNISSLLFLDLSNNQLSTVQLEQLTIPVLKLSNNSLGGQIPTSVFNSSTSQFLYLNGNNFSGQISDFPLYGWKELNVLDLSNNQFSGMLPRIFVNFTDLRVLDLSKNHYKGPIPKDFCKLGRLQYLDLSENNLSGYIPSCFSPPPLTHVHLSKNRLSGPLTYGFFNSSYLVTMDLRDNSLTGSIPNWIGNHSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSQKARMDLGASIVLESMEKAYYKTMGPPLVDSVYLLGKDFRLNFTEEVIEFRTKNMYYGYKGNILSYMSGIDLSNNNFGGAIPQEFGNLSEIRSLNLSHNNPTESIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGWTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSVEPVSSQPVPDDEQGDVGFIDMEFFYISFGVCYTVVVMTIAAVLYINPYWRRRWLYFIEDCIDTCYYFMVASFRKFSNFRR >Potri.003G013200.3.v4.1 pep chromosome:Pop_tri_v4:3:3161195:3165157:-1 gene:Potri.003G013200.v4.1 transcript:Potri.003G013200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013200.v4.1 MMMKRMGTWMLLALLTLVGEWHGRCYGCLEEERVGLLEIQYLIDPNHVSLRDWMDINSSCCEWDWIKCDNTTRRVIQLSLGGERDESLGDWVLNASLFQPFKELQSLDLGMTSLVGCLENEGFEVLSSKLRNLDLSANGFNNDKSILSCFNGNLSTLKSLDLSANGLTAGSGLRKLEFLQSLPSLKTLSLKYTDLSQGTFFNSSTLEELYLDNTSLRINFLQNIGALPALKVLSVAECDLHGTLPAQGWCELKNLKQLDLARNNFGGSLPDCLGNLSSLQLLDVSENQFTGNFTSGPLTNLISLEFLLLSNNLFEVPISMKPFLNHSSLKFFSSENNRLVTEPVAFDNLIPKFQLVFFRLSSSPTSEALNVIPDFLYYQLDLRALDLSHNNITGMFPSWLLKNNTRLEQLYLSANFFVGTLQLQDHPYSNMVELDISNNNMSGQISKDICLIFPNLWTLRMAKNGFTGCIPSCLGNISSLLFLDLSNNQLSTVQLEQLTIPVLKLSNNSLGGQIPTSVFNSSTSQFLYLNGNNFSGQISDFPLYGWKELNVLDLSNNQFSGMLPRIFVNFTDLRVLDLSKNHYKGPIPKDFCKLGRLQYLDLSENNLSGYIPSCFSPPPLTHVHLSKNRLSGPLTYGFFNSSYLVTMDLRDNSLTGSIPNWIGNHSSLSVLLLRANHFDGELPVQLCLLEQLSILDVSQNQLSGPLPSCLGNLTFKESSQKARMDLGASIVLESMEKAYYKTMGPPLVDSVYLLGKDFRLNFTEEVIEFRTKNMYYGYKGNILSYMSGIDLSNNNFGGAIPQEFGNLSEIRSLNLSHNNPTESIPATFSNLKQIESLDLSYNNLNGVIPPQLTEITTLEVFSVAHNNLSGWTPERKYQFGTFDESCYEGNPFLCGPPLRNNCSVEPVSSQPVPDDEQGDVGFIDMEFFYISFGVCYTVVVMTIAAVLYINPYWRRRWLYFIEDCIDTCYYFMVASFRKFSNFRR >Potri.005G121700.1.v4.1 pep chromosome:Pop_tri_v4:5:9008830:9011904:-1 gene:Potri.005G121700.v4.1 transcript:Potri.005G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121700.v4.1 MATESSEGEEEGKITGGNKHLVIEDDLREMGKKAAWSVSSCKPGNGVSSLRDDNLDTYWQSDGAQPHLVNIQFQKKVKLQLVVLYVDFKLDESYTPSKISIRAGDGFHNLKEIKTMELVKPTGWVYLSLSGNDPRETFVNTFMLQIAVLSNHLNGRDTHVRQIKVYGPRPNPFPHQPFQFTSREFIMYSSVR >Potri.009G016200.1.v4.1 pep chromosome:Pop_tri_v4:9:2756800:2758655:-1 gene:Potri.009G016200.v4.1 transcript:Potri.009G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016200.v4.1 MDQIDVPYHFLCPISLQLMRDPVTISTGITYDRENIERWLFSCKNNTCPVTKQELFGKDLTPNHTLRRLIQAWCTLNASFGIERIPTPKPPIERAQIAKLLNDAKKFPHLQLKSLRRLRSITLESERNRICLEEAGAVDFLATILKNGDSTSVDIASDDNESEFSRASDEALNILYHLKISQRKLKNLITNDGDQFLESLLQILKHSSYQSRAYATMLLKSVFEVADPMHLISIRPEMFVELVRVLNDQISQQSSKAALKLLVEVCPWGRNRIKAVEGSAVSVLIELLLDKSDKRACELVLAVLDLLCGCAEGRAELLKHGAGLAVVSKKILRVSHVASDKAVRILYSICRFSATSRVLQEMLQVGVVAKLCLVLQVDSSFKSKERAREILKLHSRVWRNSACVPAYLMSSYPSS >Potri.001G147400.1.v4.1 pep chromosome:Pop_tri_v4:1:12159814:12161879:1 gene:Potri.001G147400.v4.1 transcript:Potri.001G147400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147400.v4.1 MTVSSGENSVERKSSLSGFAPLEAVLFDVDGTLCDSDPLHYFTFREMLQEINFNGGVPITEKFFSEKLSGKHNEDMALVLFPDDIEGGMKFLADKEALFRRLVPERLKAVNGLYKMKKWVEDHGLKRAAVTNAPRENAELIISILGLTDFFEALIIGSECEHPKPHPDPYLKALEALKVSKDHTFVFEDSVSGIKAGVAAGLPVVGLTTGNPEHALMEANPTFLLKDYNDPKLWAALEELESAGKAVV >Potri.008G198100.1.v4.1 pep chromosome:Pop_tri_v4:8:14013480:14015955:-1 gene:Potri.008G198100.v4.1 transcript:Potri.008G198100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198100.v4.1 MLGLIGRKLRQLCARIRLLIWRRPRPKVIIKRFGKISSKDRCKWRPGFRKSSIHLNGKHGNSSSDRPIRIATFNVAMFSLAPAVPKAEESIMISQEHDGYMAYKSPVEFDAHVNSVSYYPKSILKQSPLHDSLKNSEQFPAEKKVSRSKLKVSINLPDNEISLANSKLLGFVEDEKGGSSNIVASRNYRSNVVMRSPVCLPANMTQFISEEGWKSSRSIMEVLKEVDSDILALQDVKADEEKGMSPLSDLAASLGMKYVFAESWAPGYGNAILSKWPIKRWKVQKIANDEDFRNVLKATIYVPWAGEVDFYCTQLDHLDENWRMKQIGAMIKSNDMTPHILAGGINSLDGSDYSSERWMDIVKYYEDIGKPTPRIEVTKFLKGKGYVDAKEYAGECEPVVIVAKGQNVQGTCKYGTRVDYMLASPYSPYKFVPGSYSVISSKGTSDHHIVKADIIKVGEGYQGTAIGEPQQAKQKVVRISNPWCSRGVWKLNT >Potri.008G153500.1.v4.1 pep chromosome:Pop_tri_v4:8:10532758:10534404:-1 gene:Potri.008G153500.v4.1 transcript:Potri.008G153500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153500.v4.1 MPPIFWKNILKCLPTIIPSSHPLPSDQLQEYRDPLPSSTTLISPTTSIIIQNFNSPYDLSSAPTSKSLSTPSTNSFSSSYSDSDTESNLDFATILASQRLFFSSPGRSNSIIESLPEPQTPVSGGVAIKKYSPDPYTDFKHSMQEMIEARELRDVRAKWDYLHELLSCYLKLNPKHTHKFIISAFADIVVCLLSSPSQESDTQREPDGLRR >Potri.007G096200.1.v4.1 pep chromosome:Pop_tri_v4:7:12100370:12102583:1 gene:Potri.007G096200.v4.1 transcript:Potri.007G096200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX48 MSPLLAAFLLLVSMGLTSASTVPLRPGFYSETCPEADFIVKDVMRRNMIREPRSAASVMRFQFHDCFVNGCDASVLLDDTPNMLGEKLALSNIDSLRSYEVIDEVKEELEKVCPGTVSCADIIIMASRAAVVLSGGPDWDVKLGRVDSLTASQEDSNNIMPSPRANASLLMDLFERFNLSVKDMVALSGSHSIGQARCFSIVFRLYNQSGSGRPDPAIETKYREKLDKLCPLGGDENVTGDLDATPATFDNRYFKDLVAGRGFLNSDQTLYTFPETRKYVTLFSKNQQAFFRAFVEGMIKMGDLQSGRPGEIRSNCRMANSRPVRVLTES >Potri.002G038350.1.v4.1 pep chromosome:Pop_tri_v4:2:2526474:2526768:-1 gene:Potri.002G038350.v4.1 transcript:Potri.002G038350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038350.v4.1 MLSFILLASIVESRLVLGLSLRAAASTPDCVSVHGVVSGDTCSAVPQQFNLSTDDFNAINPILDCDKLFLSQWLCVEGTTN >Potri.018G089800.1.v4.1 pep chromosome:Pop_tri_v4:18:10963530:10967487:1 gene:Potri.018G089800.v4.1 transcript:Potri.018G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089800.v4.1 MAGSGSERVAVELCKGINGLDKVVLRGPRGSSAEVYLYGGHVTSWRNDHGEELLFVSSKAIFKPPKAIRGGIPICFPQFGSHGSLEQHGFARNKFWSIDTDPPPFPTNSKSFIDLILKPSEEDTQKWPYSYEFRLRVALGPGGDLMLTSRVRNTNADGKPFTFAFAYHTYFSVSDISEVRVEGLETLDYLDNLQNKQRFTEQGDALTFESEVDKIYLSTPTKIAILDHEKKRTFVLRKDGIPDAVVWNPWDKKAKTMTDFGDDEYMHMLCVEAAAVEKPITLKPGEEWKGRLELSAVPSSYCSGQLDPQKVLQSS >Potri.001G470500.1.v4.1 pep chromosome:Pop_tri_v4:1:49392395:49393638:1 gene:Potri.001G470500.v4.1 transcript:Potri.001G470500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470500.v4.1 MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSDAEEQTIVKLHSVVGNRWSLIAAQLTGRTDNDVKNHWNTKLKKKLSGMGIDPVTHKPFSHLMAEIATTLATPQVTHLAEAALGCFKDEMLQLLTKKRIDFQLQHCNTNVAQGNTSSPCISTKHDENDDTIEKIKLGLSRAMQEPGMLPPNKIWDSTGVTSPNLAGTCCDFPSSVNAFLCCPSSFGNEGALSLWNQSMCTGSTCTTGDQQGRLHEMLDNENGEEFEGGKEIRKVSSIFNTDCVLWDMPSDDLMNPII >Potri.004G164000.1.v4.1 pep chromosome:Pop_tri_v4:4:18359027:18361234:-1 gene:Potri.004G164000.v4.1 transcript:Potri.004G164000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164000.v4.1 MADTTSFSALSKPSYSLKPNPQIPQKAPLFILPKTLQKPSLFPQKPCLSHNTHITLSSKNPISDLVSTNEKSPDDFSSYFDDDDDKPREECGVVGVYGDPEASRLCYLALHALQHRGQEGAGIVAVNENKVLQSVTGVGLVSEVFNESKLDQLPGDLAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRKLRATLEDNGSIFNTSSDTEVVLHLIATSKARPFFMRIVDACEKLEGAYSMVFVTEDKLVAVRDPFGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKDGVQSLCLMPHPEPKQCIFEHIYFSLPNSVVFGRSVYESRHVFGEILATEAPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRGVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEVHMRIASPPIIASCYYGVDTPSSEELISNRMSVEEIREFIGCDSLAFLPLDSLKKLLGDESPSFCYACFSGNYPVQPKEVKVKRVGDFMDDGLNGSLESIDGSWVQAPLNQEVHKVSEVGKLSSLQN >Potri.006G282200.1.v4.1 pep chromosome:Pop_tri_v4:6:27133677:27138476:-1 gene:Potri.006G282200.v4.1 transcript:Potri.006G282200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282200.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYKALVHAGFHTFRDDDEIRRGKNIRLELQKAIKQSKIAIIVFSKNYAWSKWCLDELVKIMERKRNAECIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEAQFVQSIVENVLKNLDPKIFYVPLHFIGRDALVQDINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKHALCCRRTLIVLDDVDNRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSANDIQWIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEESWRIVHHCNGLPLALGVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFYGMDVDDAVRILDRLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTVLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSHDLIRTPDFSGLPALEKLILEDCIRLVQFHESIGDLQRLLILNLRNCTSLVELPEEMSRLNSLQELVLNGCSNLNNLNMELEHHQGRKLLQSDAIVASTSFISSLPLKLFFPSRFSMRKMLRFTSFSLPRFLESLDLSGTPICFLPESIKDLGLLRALYLRNCKMLQALPELPFHLDLLDVSFCYSLQGLANPNSWTEGDCCDHLVEFQDRIKQELIQKLDSQMFRIMETVSAQIQPSRFQITFMDGIFNVFVYAFEDEMFSNLFSPNICKWLIQNEFEDNFSFKISSPPPAHRICGFNLFTGLGVTSAYRGFSNVYIEIRNNTSGQSLLCQFFVFLMRYARGVREVQSLLHTKLRGNDPTFDNGDDVSISVRPRGPAIQIRTVGVQWLHEEEGKDEVINAHNSSDDDDDAAHVAKVEIASHIVRNYYCGFHGKRRARNFTFWNFAKKGLEHVLF >Potri.006G282200.2.v4.1 pep chromosome:Pop_tri_v4:6:27133677:27156497:-1 gene:Potri.006G282200.v4.1 transcript:Potri.006G282200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282200.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYKALVHAGFHTFRDDDEIRRGKNIRLELQKAIKQSKIAIIVFSKNYAWSKWCLDELVKIMERKRNAECIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEAQFVQSIVENVLKNLDPKIFYVPLHFIGRDALVQDINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKHALCCRRTLIVLDDVDNRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSANDIQWIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEESWRIVHHCNGLPLALGVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFYGMDVDDAVRILDRLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTVLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSHDLIRTPDFSGLPALEKLILEDCIRLVQFHESIGDLQRLLILNLRNCTSLVELPEEMSRLNSLQELVLNGCSNLNNLNMELEHHQGRKLLQSDAIVASTSFISSLPLKLFFPSRFSMRKMLRFTSFSLPRFLESLDLSGTPICFLPESIKDLGLLRALYLRNCKMLQALPELPFHLDLLDVSFCYSLQGLANPNSWTEGDCCDHLVEFQDRIKQELIQKLDSQMFRIMETVSAQIQPSRFQITFMDGIFNVFVYAFEDEMFSNLFSPNICKWLIQNEFEDNFSFKISSPPPAHRICGFNLFTGLGVTSAYRGFSNVYIEIRNNTSGQSLLCQFFVFLMRYARGVREVQSLLHTKLRGNDPTFDNGDDVSISVRPRGPAIQIRTVGVQWLHEEEGKDEVINAHNSSDDDDDAAHVAKVEIASHIVRNYYCGFHGKRRARNFTFWNFAKKGLEHVLF >Potri.010G109400.1.v4.1 pep chromosome:Pop_tri_v4:10:12997850:13000224:1 gene:Potri.010G109400.v4.1 transcript:Potri.010G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109400.v4.1 MDKQQLVLGFLNAYFLFYSLALVHGDSVTSCDQTPFPEACNYFIDTNISKTPPLFALRDQSLSITMNKAIEAHQMVSSMELSSFNQQAKLAWDDCLKLYEDTVDHVNRSMSSNNLADSQTWLSAAIANQRTCENGFIDFNIVSYLESLPNMLRNFTKLLSNTLSLNKAIISSTPILLDTKQDGGRRRLLVDGFPSWVPASDRKLLQSNGRAAPKADIVVAQDGSGDYKTISEAVAASAKLRSGTKSKRFVIYVKGGVYKENVEIKKSMKNLMFVGDGIDATVITSNKNTQDGTTTFRSATVGVSGKGFIARDITFENTAGPQKHQAVALRSGSDFSVFYSCSFKGYQDTLYVHSQRQFYRDCDIYGTVDFIFGDAVAVLQNCNIYVRRPMSKQTNTVTAQGRTDPDENTGIVIHNSRVMAAPDLRPVQGSFKSYLGRPWKKYSRTVFLKSNIDGLIDPAGWLPWKGDFALSTLYYGEYMSTGSGASTKGRVKWPGYHTITSPLEAGKFTVENFLAGNSWISAAGVPFESGL >Potri.016G098775.1.v4.1 pep chromosome:Pop_tri_v4:16:9990691:9990906:1 gene:Potri.016G098775.v4.1 transcript:Potri.016G098775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098775.v4.1 MRLRSFEEGDLVLAIRRPIIMSKHMGNKFFSKWDGPYVVQEVYTNRAYKIVNENGLRIRPINDKFFERYYA >Potri.002G239600.1.v4.1 pep chromosome:Pop_tri_v4:2:23197999:23200193:-1 gene:Potri.002G239600.v4.1 transcript:Potri.002G239600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239600.v4.1 MNHSSSMLSPVAGCSALPPNSGTKPKNTPNRRRHFISLLQNCKHNSEIPPIHAKIIRNHHHQDPFVVFELLRVCSNLNSIGYASKIFSHTQNPNVYLYTALIDGLVLSCYYTDGIHLYYQMINSSLVPDSYAVTSVLKACGCHLALKEGREVHSQVLKLGLSSNRSIRIKLIELYGKCGAFEDARRVFDEMPERDVVASTVMINYYFDHGLVHDATSVFSLIRIKDTVCWTAMIDGLVRNGESNRALEVFRNMQREDVMPNEVTIVCVLSACSELGALQLGRWVRSYMDKHRIELNHFVGGALINMYSRCGDIDEAQRVFEQMKEKNVITYNSMIMGFALHGKSVEAVELFRGLIKQGFTPSSVTFVGVLNACSHGGLAELGFEIFHSMAKDYGIEPQIEHYGCMVDLLGRLGRLEEAYSFIRMMKVAPDHVMLGALLSACKIHGNLELAERVAKSLVACKNADSGTYILLSNAYSSSGKWKEAAEVRTNMREEGIEKEPGCSSIEVNNEIHEFLLGDLRHPQKEKIYKKLEELNQILRLEGYTPATEVVLHDIEKSEKEWALAIHSERLAICYGLISTKPLTTLRVVKNLRVCNDCHLTIKLISNITRRKIVVRDRNRFHHFENGVCSCGDYW >Potri.001G335600.2.v4.1 pep chromosome:Pop_tri_v4:1:34394315:34395820:-1 gene:Potri.001G335600.v4.1 transcript:Potri.001G335600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335600.v4.1 MAMNCIKSLRGIVITKEAVGIVDRRTFSAGAKKKGGKGGAAADAPKASSLGKEVKSTTTVGANILKDGTDPKVLDDSEYPDWLWRLLDKRPALSELRRKNIETLPFEDLKRFVKLDNRARIKENNFSKAKN >Potri.010G060400.1.v4.1 pep chromosome:Pop_tri_v4:10:9057324:9059922:-1 gene:Potri.010G060400.v4.1 transcript:Potri.010G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060400.v4.1 MVKYSREPDNPTKSCKARGSDLRVHFKNTRETAFALRKLPLVKAKRYLEDVMAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPAKSAKFILDLLKNAESNAEVKGLDVDALYITHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELTLSEKEEPVKKEPETQLATSKSKKSQASS >Potri.006G115800.1.v4.1 pep chromosome:Pop_tri_v4:6:9041296:9056731:-1 gene:Potri.006G115800.v4.1 transcript:Potri.006G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115800.v4.1 MEMPGRRSNYTLLSQQAEEQQQAAAAYYESSLSGDSKNNKLTIKQERSFVDWESDHRAIANQQQGNSNRIGLYPSPAAIGLQRQSSGSSFGESSLSGEYYPPTTLSTGGGNEIDQAYGYEDGNFVRAAARPPVDVSANNGSSGKSWAQQTEESYQLQLALALRLSSEATCADDPHFLDPVPDESALRSSTSNSPEALSHRFWVNGCLSYFNKIPDGFYLIHGMDPYVWTVCTDLQDNGRIPSIESLKSVDPNADSSMEVVLIDRRSDPNLKELQNRVHGISCSSITTKEVVDQLAKLVCNRMGGPASRGEDDFISIWKECSDNLKDCLESIVVPIGSLSIGLCRHRALLFKVLADTIDLPCRIAKGCKYCKRDDGSSCLVRFGLDREYLVDLVGRPGFLCEPDSLLNGPSSISISSPLRFPRIKSTESTVDFRQLAKQYFLDCQSLNLVFDDASTGTVHDGEAPGFSMYPKKTDRTDSEISNHVQLPSNSNEISQLPLPQKVSRISNHVQLPSKDSMFSEGSQLLSGKTSKELSLDAEDSDIPWNDLVLKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHADRFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLRKSGAREVLDERRRLNMAYDVAKGMNYLHKRNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRFKANTFLSSKSAAGTPEWMAPEVLRDELSNEKSDVYSFGVILWELATLQQPWSNLNAAQVVAAVGFKGKRLEIPRDLNPHVAALIEACWANEPWKRPSFASIMDSLRSLIKPPTPQPGLAGMTLLA >Potri.002G095800.2.v4.1 pep chromosome:Pop_tri_v4:2:6993260:6997603:-1 gene:Potri.002G095800.v4.1 transcript:Potri.002G095800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G095800.v4.1 MSHFGRSGPPDIRDTFSLLVLNITFRTTADDLFPLFDKYGKVVDVFIPRDRRTGESRGFAFVRYKYADEAQKAVDRLDGRVVDGREIMVQFAKYGPNAERIRDGRIVESTSKIKSRSRSRSPRPKYRDGYRDRDRDYRRRSRSRSRDRSEQDGYRGRDKDYHRRSVSCSPDRRKDRGRGYDEERRSWSRSHGSPNSPRSPPRKARLRDESPNGHNDNKHSPTSKSISPHDEPVDS >Potri.001G034600.1.v4.1 pep chromosome:Pop_tri_v4:1:2550151:2553808:-1 gene:Potri.001G034600.v4.1 transcript:Potri.001G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034600.v4.1 MAATRNFISLLQRRCIQTSAASLCSNSSPLSTNSSSSLSSSSSFTVKFLVNSCGLPLKSALSVSKKFQIHEKELHKSLSVLEFLKAHDFNETQIGRLIEKWPRVLLCRVESTLKLKFDFLTQNGFSGQILPQLIVLVPAILNRKVDSCIKPCFEFLKSFLDNNEKLLAAIKRYPWYFTFNFNSALKPNTVFLIKEGVPHDRVAKLILMYPRTLQMKPDRMVRVVNSVKNLGLEPKAPVFVHALRVMIGMSESTWKRKIEYMKSLGWTEDEVLLTFKRNPDILACSEDKIGRAMDFFVNTVRLGSQTVVANPVLLQYSIDKRVRPRYNVLKVLESKNLIEVNQRVFWLLTTRSEMKFRENYVARYADKVPGLLEIYRGTVEAKKIDT >Potri.004G109100.1.v4.1 pep chromosome:Pop_tri_v4:4:9706241:9706630:-1 gene:Potri.004G109100.v4.1 transcript:Potri.004G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109100.v4.1 MGSFGKNISSTLFLFIGILMIITPGFAIRTNEENHELSQHLEECHTKVTKRCAIEISNSIYTNNTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAVIVALAPAASTLPILP >Potri.007G002500.5.v4.1 pep chromosome:Pop_tri_v4:7:166984:172733:-1 gene:Potri.007G002500.v4.1 transcript:Potri.007G002500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002500.v4.1 MWRLKIAEGVSSNELYSTNKFVGRQTWEYDPHGGSPEERAEVEEARLSFYNNRYHVKPSGDLLWRMQFLKEKNFKQKIPQVIIEDGEEITYEKATNALKRAVHFFSALQASDGHWPAENAGPLFFLPPLVMCLYITGHLDSVFSVEHRREILRYIYYHQNEDGGWGLHIEGHSTMFCTVLSYICMRILGEGPSGGQDNACARARKWIHDHGTATHIPSWGKTWLSILGVFDWSGSNPMPPEFWLLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPVTPLVLQLREELFAEPYGQVNWRKTRHLCAAEDLYYPHPLIQDLVWDSLYVFTEPFLTRWPFHKLVRKKALEVTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPDGDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNFTDEIIDVLKRGHDFIKKSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSMMPPEIVGEQLEPERLFDSVNVLLSLQSENGGLAAWEPAGAQKWLELLNPTEFFADIVVEHEYVECTASAIQALLLFKNLYPGHRKKEIDNFVTNAARYLESIQTPEGGWYGNWGVCFTYGTWFALGGLAAAGKTYSTCTAMRQGVNFLLNIQKDDGGWGESYLSCPKKKYVPLEGNRSNLVHTAWAMMGLIHAGQMDRDSAPLHRAAKLIINSQLEDGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYQRRVLLPSK >Potri.007G002500.3.v4.1 pep chromosome:Pop_tri_v4:7:166719:172864:-1 gene:Potri.007G002500.v4.1 transcript:Potri.007G002500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002500.v4.1 MWRLKIAEGVSSNELYSTNKFVGRQTWEYDPHGGSPEERAEVEEARLSFYNNRYHVKPSGDLLWRMQFLKEKNFKQKIPQVIIEDGEEITYEKATNALKRAVHFFSALQASDGHWPAENAGPLFFLPPLVMCLYITGHLDSVFSVEHRREILRYIYYHQNEDGGWGLHIEGHSTMFCTVLSYICMRILGEGPSGGQDNACARARKWIHDHGTATHIPSWGKTWLSILGVFDWSGSNPMPPEFWLLPSFLPMHPAKMWCYCRMVYMPMSYLYGKRFVGPVTPLVLQLREELFAEPYGQVNWRKTRHLCAAEDLYYPHPLIQDLVWDSLYVFTEPFLTRWPFHKLVRKKALEVTMKHIHYEDENSRYITIGCVEKVLCMLACWVEDPDGDYFKKHLARIPDYLWVAEDGMKMQSFGSQQWDTGFAIQALLASNFTDEIIDVLKRGHDFIKKSQVKDNPSGDFKSMYRHISKGSWTFSDQDHGWQVSDCTAEGLKCCLLFSMMPPEIVGEQLEPERLFDSVNVLLSLQSENGGLAAWEPAGAQKWLELLNPTEFFADIVVEHEYVECTASAIQALLLFKNLYPGHRKKEIDNFVTNAARYLESIQTPEGGWYGNWGVCFTYGTWFALGGLAAAGKTYSTCTAMRQGVNFLLNIQKDDGGWGESYLSCPKKKYVPLEGNRSNLVHTAWAMMGLIHAGQMDRDSAPLHRAAKLIINSQLEDGDFPQQEITGVFMKNCMLHYAAYRNIYPLWALAEYQRRVLLPSK >Potri.008G210639.1.v4.1 pep chromosome:Pop_tri_v4:8:18017774:18021194:-1 gene:Potri.008G210639.v4.1 transcript:Potri.008G210639.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210639.v4.1 SFFLPCFAICFLFLFSLFLLLMSSFSRVFFFFFFFFFFFAFVFFFFFAFFLPKIDFFFFFLFLFLKIFFVSKLSLLIFLNIGLNGVIIEYSKTCRFFTVAAGFFFFFFFFFFFFFCFCFFFTCFFFAFFLPKIDFFFFFLFLFLKIFF >Potri.004G060100.1.v4.1 pep chromosome:Pop_tri_v4:4:5016216:5020079:-1 gene:Potri.004G060100.v4.1 transcript:Potri.004G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G060100.v4.1 MVFFLFSALKNQEVMASKLLFRCVAVLVLILSSQNALQVLDAAVPGLFTDKEALLSFKSQVVVDPSNTLSSWNDNSSPCNWTRVDCSQVHQRVIGLDLSGLRLTGSISPHIGNLSFLRSLHLQENQFTGVIPDQIGALFRLKVLNMSFNTINGPIPSNITNCLNLQILDLMQNEISGAIPEELSNLKSLEILKLGGNELWGMIPPVIANISSLLTLDLVTNNLGGMIPADLGRLENLKHLDLSINNLTGDVPLSLYNISSLVFLAVASNQLRGQIPIDVGDRLPNLLSFNFCINKFNGSIPWSLHNLTNMQSIRMADNLFSGSVPPRLRNLPKLTLYNIGGNQIKSSGDEGLDFLSSFTNSSYLKFLAIDGNLLEGLIPESIGNLSRSLRNLYLGRNQIYGSIPASIRHLSSLALLNINYNHVSGEIPPEIGELTDLQELHLAANKISGRIPDSLGNLQKLIKINLSANELVGRLPTTFVNFQQLQSMDLSSNRFNGSIPKEIFNLSSLSATLNLSSNQLTGPLPQEIRRLENVAAVDFSHNYLSGSIPDTIGSCKSLEELFMGNNMFSGSIPATLGDVKGLEILDLSSNQISGTIPQTLENLQALLLLNLSFNNLEGLLPKEGAFRNLSRIHVEGNSKLCLDLSCWNNQHRQRISTAIYIVIAGIAAVAVCSVIAVFLCVRKRKGEIMPRSDSIKLQHPTISYGELREATGSFDAGNLIGKGSFGSVYKGELRDATVVAVKVLDSEKYGSWKSFLAECEALKNVRHRNLIKLITSCSSMDNRGLQFVALVYEYMHNGSLEEWIKGSRRRLDGGLLNILERLNVAIDVACAVDYLHHDCEVPVVHCDLKPSNVLVDKDMTAKVGDFGLAKLLAERGADKQSISCTGGLRGSVGYIPPEYGLGLKATTSGDVYSYGVVLLELFTGKSPTHEIFSRDLSLIKWVKSAFPANIEEVVDPELLLSIKDFHHGAQFESPEKQHECLIAILGVGLSCTVESPGQRITMRDSLHKLKKARDTLLKP >Potri.005G211900.1.v4.1 pep chromosome:Pop_tri_v4:5:21594425:21595659:-1 gene:Potri.005G211900.v4.1 transcript:Potri.005G211900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211900.v4.1 MASSGIRMGLVLVLVAITCGGAMAQSSCTNTLMSLAPCLNYITGNSSSPSSSCCSQLGNVVQTSPLCLCSLLNNSGASLGININRTLALNLPGACKVQTPSINQCKAATAPTASAIPPVSSPASSPADSSNQTPEPDITPSASDIPSASGTGSGSKTIPSSTGTSDGSIVKAPLHFVLSILFVTWCGSTVTKF >Potri.014G197400.1.v4.1 pep chromosome:Pop_tri_v4:14:12922453:12924705:1 gene:Potri.014G197400.v4.1 transcript:Potri.014G197400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197400.v4.1 MVRTRLAWFTVGFSVSAAAISQFAWRDLFNQRYALSYHMNQKFEDLEARVLNLESFSPQNSNQTTQVDS >Potri.005G181400.1.v4.1 pep chromosome:Pop_tri_v4:5:18784139:18787815:1 gene:Potri.005G181400.v4.1 transcript:Potri.005G181400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181400.v4.1 MSTQTRRSSFSSSTSSSLAKRHASSSSTENVGKATAVAPHLAKKRAPLGDITNQKNVTQKGSRTLIPSSTLAPFSNKFAKVKKGPPASNSSTLPAFLNAKSSAVGVCKVISISTRDESVPPVAAVSVPCSNDVSPSKSDDLSISLDESMSTCDSFKSPEVEYIDNNEIIAIDSINKKTLSNLYISDHLETAENVCIRDTRTDMETDDKIVNVDDNYQDPQLCATIACDIYKHLRASEMKKRPSTDFMERIQKDINASMRAILVDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNVMNRQRLQLLGIACMMVAAKYEEICAPQVEEFCYITDNTYFRDEVLEMESTVLNYLKFEMTAPTAKCFLRRFVRAAQGINEVPSMQLECLANYIAELSLLEYTMLCYAPSLVAASAIFLAKYILLPSKRPWNSTLQHYTLYEPVDLCHCVKDLYRLCCGSHNSTLPAIREKYSQHKYKFVAKKYCPPSIPEEFFQNLSC >Potri.018G110450.1.v4.1 pep chromosome:Pop_tri_v4:18:12833674:12834135:-1 gene:Potri.018G110450.v4.1 transcript:Potri.018G110450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110450.v4.1 MAATCYESRIYRLFRRLRFAFCSLKINSNLNPKSYHNAKHIKKKHKNHGCNEESFLCENGQNSQFHRETLTCHAK >Potri.009G142300.1.v4.1 pep chromosome:Pop_tri_v4:9:11335494:11337008:-1 gene:Potri.009G142300.v4.1 transcript:Potri.009G142300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142300.v4.1 MSVWAFTFFSLFLSLSVRGSAEQCGQQAGGALCPGGLCCSSYGWCGTTADYCGDGCQSQCDGGGGGGGGGGGGGGDGYLSDIIPESMFDDMLKYRNDPQCHAVGFYTYDAFISAAKEFPDFGNTGDDLMRKREIAAFLGQTSHETTGGWPTAPDGPYAWGYCYLREINCQDYCEPSSTYRCVAGKQYCGRGPIQLSWNYNYGLCGDDLNLQLLQEPELVETDPVISFKTALWFWMTPQSPKPSCHAVITESWTPSEADSEAGRVPGYGVITNIINGGIECGKGGPNDANEDRIGFYKTYCDSLGTTYGSNLDCYQQQPFGNGLLGLKDTM >Potri.007G029600.1.v4.1 pep chromosome:Pop_tri_v4:7:2270768:2273020:1 gene:Potri.007G029600.v4.1 transcript:Potri.007G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029600.v4.1 MGKGGGCVPSKKKQPPVSDDPVSTTREAEPIPVNDEETNDVASTSLEPTISSKLKVFIVFYSMYGHVEGLAKRMKKGVDGVEGVEAFLYRVAETLSDDVLIKMKVPEKDVGIPEITAAELVNADGVLFGFPTRYGCMAAQMKAFFDSTGQLWKEQKLAGKPAGFFVSTGTQGGGQETTAWTAITQLTHHGMLFVPIGYTFGAGMFKMDSIRGGSPYGAGVYAGDGSREANETELALAEHQGKYMASMVKRLAQT >Potri.005G173800.3.v4.1 pep chromosome:Pop_tri_v4:5:17931651:17931800:-1 gene:Potri.005G173800.v4.1 transcript:Potri.005G173800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173800.v4.1 MNIRSKPLLVSFAFFTVSYIKPFVLTSLSRWSGNQSSTKCRYAMLSLYF >Potri.005G173800.1.v4.1 pep chromosome:Pop_tri_v4:5:17930841:17936114:-1 gene:Potri.005G173800.v4.1 transcript:Potri.005G173800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173800.v4.1 MASGGAAAGGGGKVSFKVTLTSDPKLPFKVFSVPEAAPFTAVLKFAAEEFKVPPQTSAIITNDGVGINPQQSAGNVFLKHGTELRLIPRDRVGAFEC >Potri.008G216200.4.v4.1 pep chromosome:Pop_tri_v4:8:16473612:16474763:1 gene:Potri.008G216200.v4.1 transcript:Potri.008G216200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216200.v4.1 MLEFLSRIITCSVRTSMIDKVCIFETTSLLSSSLRCISSNTTNHHAFTVSYLINSCGLSPKSALAASKDVHFDDPHKPDVVLSFFKNHGFSKAQIFNIIKGYPGVLLTNPDKTLLPKLEFLQSKGVSSPDIAKIISSHPWTLQRRYCFVPIFYFFKHLVQSDDTTIKVFKRYPGLFGLDLAIVTSMLNILRDNGVPESNIPMLARCYPLTMMLTLEKFQKLVEELRAMGFDTSTSRFILAMNVLCLMSRVKWERKLDAYRDWGLSHEEILAAFRKYPYFMTASEYKIMEVMCLFVNKLGWEPSFIAKHPSLMLYSVEKTLIPRASVLEFLVSRGLIEKSFRSYEFFQSPENKFLQNVISSYAESTELLQLYREKQNLSRCKGV >Potri.008G202700.1.v4.1 pep chromosome:Pop_tri_v4:8:14593636:14597923:1 gene:Potri.008G202700.v4.1 transcript:Potri.008G202700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202700.v4.1 MGLSWSNTRRRTTTFYHPPPPPPPCCYHHHAEPISLLPPPPPPHQSHYTTMHQPQPSQSYPPPNNPYPTHIPPPVHHYRNSQHYHSCNYANHQPFYYTCHHQPTSGWSPVIRPHVGFASTINAATAQPILPEPAPFVDHQNAKRVRNDVNVNKDTLKVEIDVSNPDHHLVSFVFDALFDGSITIFYFAKEEQDCRFVPAFPEAHLPVKISFQKGLGQKFHQPSGTGIDLGFFELDDLSKSSPEEDVFPLVIAAETYLPVDLTNENDDSVPITLRHMQITQAVLEKKNDDNFHVRVIRQILWVAGVRYELREIYGIGSLAAEGFDDSDPGKECVICMIEPKDTAVLPCRHMCMCGKCAKELRLQSNKCPICRQPIEQLIGIKINSSDQ >Potri.009G141500.1.v4.1 pep chromosome:Pop_tri_v4:9:11303318:11305287:1 gene:Potri.009G141500.v4.1 transcript:Potri.009G141500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141500.v4.1 MEGKVLKPSRFKRVCVFCGSSTGKRKCYRDAATELGQELVAKRLDLVYGGGSIGLMGLVSQAVHSGGGNVLGIIPRTLMSKEITGETVGEVKPVADMHQRKAEMARNSDCFIALPGGYGTLEELLEVTTWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRNIIVSAPNARELVQKLEEYVPVLDGVIAKASWEIEQQQQQQQVGFNATTLHTEVAL >Potri.015G075800.3.v4.1 pep chromosome:Pop_tri_v4:15:10188496:10189621:1 gene:Potri.015G075800.v4.1 transcript:Potri.015G075800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075800.v4.1 MEGAGSSEYRKAFWTAEEDRILMDYVKAHGKGKWNRAAKVTGLKRCGKSCRLRWINYLSPSVKHGGFSEEEDDLIIRLHKLLGNRWSLIAGRVPGRTDNQVKNHWNTRISKKLGIKKGKCKISDSSSKFSKKLEANFHIKLSSNDEPISCNNNTTEIELQNVIESSHEKAKEITSTHEPTIRSDCFENFWLSIDEPYLCTPSLMELSDESLGFFMA >Potri.015G075800.5.v4.1 pep chromosome:Pop_tri_v4:15:10188496:10189621:1 gene:Potri.015G075800.v4.1 transcript:Potri.015G075800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075800.v4.1 MEGAGSSEYRKAFWTAEEDRILMDYVKAHGKGKWNRAAKVTGQNFFFLFLFFHVEGIDASFSARGMFFLSAKRFECCGLGLKRCGKSCRLRWINYLSPSVKHGGFSEEEDDLIIRLHKLLGNRWSLIAGRVPGRTDNQVKNHWNTRISKKLGIKKGKCKISDSSSKFSKKLEANFHIKLSSNDEPISCNNNTTEIELQNVIESSHEKAKEITSTHEPTIRSDCFENFWLSIDEPYLCTPSLMELSDESLGFFMA >Potri.009G030100.1.v4.1 pep chromosome:Pop_tri_v4:9:4114129:4116173:-1 gene:Potri.009G030100.v4.1 transcript:Potri.009G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030100.v4.1 MAQQEEGWPLGLHPLNVRVGIARNGDFSGSISFNTLLTGSSTDSSSDLDTESTGSFFHDKSITLGSLIGVSNILELSRKSTRARKVEVVEDKKSCKSKTWIFSLCSRDTTDAEVVNNTPSLGHFLAVERRASSECRRNHDPIIHGPHDELELAQPVTEPNSLFVNDHVAPPRPSQSCSAEAERRGNEELEHCGEYAVPVLFSCMCGQPSL >Potri.002G087700.1.v4.1 pep chromosome:Pop_tri_v4:2:6302039:6307887:1 gene:Potri.002G087700.v4.1 transcript:Potri.002G087700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087700.v4.1 MPEKLLSRFSRASFTLPHLRFLSPQSSLSLRSLHNFIAPTSQKSPFTLSRSVAAATAMDSSSSTPCKLVLCGKSSAENEIAKSLMNNNTLKLPDNVQVSTLLHSEIINKQQRQDEESFCIERFMNSLSTNQFGRLLIWSPILPSTHDVVSNNFGELPIGTVCVADVQYKGRGRSKNVWESPAGCLMFSFTIQMEDGRVVPLLQYVVSLAVTEAIKDVCDKNGLPRIDVRIKWPNDLYLNGLKVGGILSTSTYKSKKFNVSTGIGLNVDNEKPTTCLNAVLRELSAAACTLRREDIVAAFLNKFENFYDLFINGGFQTLEELYYKTWLHSGQRVIIQEKNENQVVENVVTIQGLTSSGYLLAIGEDNQMCELHPDGNSFDFFKGLVRRKLE >Potri.007G001300.1.v4.1 pep chromosome:Pop_tri_v4:7:80655:89020:-1 gene:Potri.007G001300.v4.1 transcript:Potri.007G001300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001300.v4.1 MWRHFPFSHFNTRSLLVTALPLSASLSSPNTVRSMSSSSSTATMHSPPVAKKVEHKMEMFGDVRVDNYYWLRDDSRTNPEVLSYLQQENAYTDSVMSGTKQFEDQLFKEIRGRIKEDDISAPYRKGPYYYYTRNLEGKEYVQHCRRLIPNYNTTPCSVHDTMPTGPDDPPEHVILDENVKAQEHRFYSIQAFKVSPNHKLVACAEDTKGDEIYSVHVIDAETGAPVGKPLTSVTSHVEWANDDTLVYITMDEILRPDKVWLHKLGTDQSSDICLYHEKDDTFSIGLQKSESQKFLFVGSESKITSFIFFIDASKPEDGLKVLTPCVVGIDNRASHRGNHFFIIRRSEEFFNSELVACPVDDVSVTTVLIPHRERIKIQDTHLFANHLAVYERENGLPKVTVYRLPAVDEPLTSLEDGRAIDFIDPVYTVDPAGSEFNSSVLRFSYSSLRTPNSVYDYDMNTGTSVVKKIETVLGGFDASEYFTERKWATASDGTQVPMSIVYRKNLVNLDGSDPLLLYGYGSYEVCIDPWFKASRLSILDRGFIFAIAHIRGGGEMGRQWYENGKCLKKKNTFTDFIACAEYLIEQRYCSKEKLCIEGRSAGGLLMGSVLNMRPDLFKVAFAGVPFVDALTTMLDPTIPLTTSEWEEWGDPRKEEFYHYMKSYSPVDNVKAQNYPHILVTAGLHDPRVMYSEPAKFVAKLRETKTDNNILLLKCEFGAGHSSKSGRFEKLQEQAFIYAFILKALDMIPELSSSL >Potri.006G224000.1.v4.1 pep chromosome:Pop_tri_v4:6:22880642:22885909:-1 gene:Potri.006G224000.v4.1 transcript:Potri.006G224000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224000.v4.1 MARNKAPSVKPKPKPKQTTDKSAKPRLNNAERSAYFARREAAKVLHTVLQGDAKRQAVASIKSLVYSPSIRNKKATFALVCQTLKHLRIIKDVLEIANILNSKWKRQEELIYIIAYDVLFGKGISLVGGDAEKFLACRKDAMQSALAKLVVRKKAKNIDDFIALYQPPDITKPCYVRVNTLKLDVDSALHELGKQFKVQKDDMIPHLLVLPPHTDLHNHSLVLNGSIFVQGKASSMVAAVLDPKPGWEVLDACSAPGNKTVHLAALMKGKGKIIACELNKDRAKRLEDTIRLSGAANIEVLHGDFLNIDPKGPFSKVSAILLDPSCSGSGTAAQRLDHLLPSRTTDVVDTERLNKLAAFQKKALAHALSFTAVKRIVYSTCSINQIENEDVVNSILPLATSNGFQLATPFPQWQRRGLPVFEGSEHLLRTDPVEDKEGFFIALFVKKGSEKNSEERSGSRKLAGSLSNGKGRLKRFCHVNKKNLALPVFYRGIFKPWLHTKSSLTRKTYNSSN >Potri.003G149300.1.v4.1 pep chromosome:Pop_tri_v4:3:16288053:16290778:-1 gene:Potri.003G149300.v4.1 transcript:Potri.003G149300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149300.v4.1 MSSSFLPSTSFATQRRTSQKLGNPKSAGPLDPCITPAPNPRPIFQFLAFGLIIFLALLQLLPATHFRDPFDPFRNWAPLHSNPSSPLREFRDARNGDGGGDDGMVHVVSWMDCLDLRALAVLANSTLSSSSHPDLVFFHFFIPGGNEDKVSFYKLKVLFPHSNLEIHGQEQVKEIMRTVFSGGQYAEPSYEEIVPFIIPTVHNFLRKFIYVSANVIMKARVEELIGVDLNNYAIATAEDCSRRLKNYVNLDVLDAIQRSASKAWVSETPYAKDFCLPDFSVLVINARKLEKDFVEIVLWWSKALNLRERTNKKNVAVALALYNSYLKLSSSWLVKDFSSSQVNNSMIIHYDGPKTSCKESINGTASEYSHGNVWTRYLPSTSDRILGS >Potri.015G061001.4.v4.1 pep chromosome:Pop_tri_v4:15:8508777:8523325:-1 gene:Potri.015G061001.v4.1 transcript:Potri.015G061001.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061001.v4.1 MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEFKVYLGGIVAQLQDHFPDASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPMLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYTGENKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRNFGSDWPPSDTPLLLDCLMLRALPLFEGAKGCRPVVRVYGQDPSKPANRTSKLLFSTSKTKKHVRLYQQEECTLVKIDIRCRIQGDVVLECIHLDEDLVREEMMFRVMFHTAFVRANILMLIRDEIDTLWDAKDQFPKDFRAEVLFADADAVVPNVTTVMANEDGNETESASPEEFFEVEEIFSSVVDGHEAKVDEASHIVHDNMPVNVDSKEVWKEDSDLHSFEDCASDDGNRKQEGKLDSSVDAVKDIAVDDVKYKVDEKVDTDFLAVKDIAVDDGDLKADSVVVATDTLSKETTEVIEDVDGELKKMEDQGDGENTSTKKLESIIPPVKLSADVGRQKLEKLVLPSPRRHPTSNAKPAADSIVTKQKTKQHEPQGTNGKQSKPNTVPRWVPPNKGPFTNSMHVAYPPSRYNSAPPALGFCASTTDSSAGGHVKTTSVAADPGDLISSGFPTTTESHPSLDWQQVVHPPPPPPPPPPPPPLPPPPPPPPPLYFNKSSLYDFQASPVGEAPPPAPAPAPAPAPPPPPPPPPPPPPSSSFSRQNIQIVPQHVSPPPPPPLPPLSSGQNIRKVLPPPPPPPPWNSNYTPAALAPTYSSPSPPPLSASTTSYHGRVGVPNPPPPPPPPPPLTHASHRAPPPPPPPPPLQPAHGAPPPPPPPPPPLLGTPPSPPFMSQAPPSRPHPPRHVAPPPPPPPPPPPPPGRVAPPPPPPPPGRGFLHHLLLLQGVELLLHHHLLRGDPRLPRLLL >Potri.015G061001.5.v4.1 pep chromosome:Pop_tri_v4:15:8509646:8520640:-1 gene:Potri.015G061001.v4.1 transcript:Potri.015G061001.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061001.v4.1 MHVAYPPSRYNSAPPALGFCASTTDSSAGGHVKTTSVAADPGDLISSGFPTTTESHPSLDWQQVVHPPPPPPPPPPPPPLPPPPPPPPPLYFNKSSLYDFQASPVGEAPPPAPAPAPAPAPPPPPPPPPPPPPSSSFSRQNIQIVPQHVSPPPPPPLPPLSSGQNIRKVLPPPPPPPPWNSNYTPAALAPTYSSPSPPPLSASTTSYHGRVGVPNPPPPPPPPPPLTHASHRAPPPPPPPPPLQPAHGAPPPPPPPPPPLLGTPPSPPFMSQAPPSRPHPPRHVAPPPPPPPPPPPPPGRVAPPPPPPPPGRGVAAPPGCAVPPPPPPPPGRGAPPPPPPPPGRPPPPPPPPIGRGAPPPPPPPGRGAPPPPPPPMGRGAPPPPPPPMGRGAPPPPPPMGRGAGPPPPAPPGARVPGPPAPPGPPGSAPPPPPGSARGLPLGRGRGLSRLSGMGTSATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGEPQIAPEFDVSELESLFSATVPKPADLGKAGGRRKSVGSKTDKVNLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDSILDVDQVENLIKFCPTKEEMELLKGYTGDKEKLGKCEQYFLEQMKVPRVESKLRVFSFKIQFGSQISEFKKSLNTVNSACDEVRNSLKLKDILKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNNKMTLMHYLCKVLAAKSPMLLDFHRDLVSLETASKIQLKSLAEEMQTIIKGLEKVKQELAASENDGLVSEVFRKTLKQFIGVAETEVASVTNFYAVVGRNADALALYFGEDPARCPFEQGKLCIGHSSYLIDLWQ >Potri.015G061001.3.v4.1 pep chromosome:Pop_tri_v4:15:8508775:8523311:-1 gene:Potri.015G061001.v4.1 transcript:Potri.015G061001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061001.v4.1 MALFRRFFYRKPPDRLLEISERVYVFDCCFSTDVLEEDEFKVYLGGIVAQLQDHFPDASFMVFNFREGERRSQISDILSQYDMTVMDYPRQYEGCPMLPLEMIHHFLRSSESWLSLEGQQNVLLMHCERGGWPVLAFMLAGLLLYRKQYTGENKTLEMVYKQAPRELLHLLSPLNPQPSQLRYLQYISRRNFGSDWPPSDTPLLLDCLMLRALPLFEGAKGCRPVVRVYGQDPSKPANRTSKLLFSTSKTKKHVRLYQQEECTLVKIDIRCRIQGDVVLECIHLDEDLVREEMMFRVMFHTAFVRANILMLIRDEIDTLWDAKDQFPKDFRAEVLFADADAVVPNVTTVMANEDGNETESASPEEFFEVEEIFSSVVDGHEAKVDEASHIVHDNMPVNVDSKEVWKEDSDLHSFEDCASDDGNRKQEGKLDSSVDAVKDIAVDDVKYKVDEKVDTDFLAVKDIAVDDGDLKADSVVVATDTLSKETTEVIEDVDGELKKMEDQGDGENTSTKKLESIIPPVKLSADVGRQKLEKLVLPSPRRHPTSNAKPAADSIVTKQKTKQHEPQGTNGKQSKPNTVPRWVPPNKGPFTNSMHVAYPPSRYNSAPPALGFCASTTDSSAGGHVKTTSVAADPGDLISSGFPTTTESHPSLDWQQVVHPPPPPPPPPPPPPLPPPPPPPPPLYFNKSSLYDFQASPVGEAPPPAPAPAPAPAPPPPPPPPPPPPPSSSFSRQNIQIVPQHVSPPPPPPLPPLSSGQNIRKVLPPPPPPPPWNSNYTPAALAPTYSSPSPPPLSASTTSYHGRVGVPNPPPPPPPPPPLTHASHRAPPPPPPPPPLQPAHGAPPPPPPPPPPLLGTPPSPPFMSQAPPSRPHPPRHVAPPPPPPPPPPPPPGRVAPPPPPPPPGRGVAAPPGCAVPPPPPPPPGRGAPPPPPPPPGRPPPPPPPPIGRGAPPPPPPPGRGAPPPPPPPMGRGAPPPPPPPMGRGAPPPPPPMGRGAGPPPPAPPGARVPGPPAPPGPPGSAPPPPPGSARGLPLGRGRGLSRLSGMGTSATAPRRSSLKPLHWSKVTRAIQGSLWEELQRHGEPQIAPEFDVSELESLFSATVPKPADLGKAGGRRKSVGSKTDKVNLIDLRRANNTEIMLTKVKMPLSDMMAAVLAMDDSILDVDQVENLIKFCPTKEEMELLKGYTGDKEKLGKCEQYFLEQMKVPRVESKLRVFSFKIQFGSQISEFKKSLNTVNSACDEVRNSLKLKDILKKILYLGNTLNQGTARGSAIGFKLDSLLKLTDTRASNNKMTLMHYLCKVLAAKSPMLLDFHRDLVSLETASKIQLKSLAEEMQTIIKGLEKVKQELAASENDGLVSEVFRKTLKQFIGVAETEVASVTNFYAVVGRNADALALYFGEDPARCPFEQVTTTLLNFVRLFRKAHEENLKQAELEKKKAEKEAEMERGKGINLTKKNMK >Potri.013G147600.1.v4.1 pep chromosome:Pop_tri_v4:13:14439715:14451012:1 gene:Potri.013G147600.v4.1 transcript:Potri.013G147600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147600.v4.1 MDQSRQVFTVDLLERYASKGRGVITCMAAGNDVILLGTSKGWLIRHDFGAGGSSDFDLSSGRPGDQSIHRVFVDPGGSHCIATVIGGGGAETFYMHAKWSKPRVLGRLKGLIVNAVAWNRQLITEASTKEVVIGTDNGQLFEMAVDEKDKREKYIKFLFELKELPEAFMALQMETASLSNVTRYYVMAVTPTRLYSFTGIGLLETVFASYLERAVHFMELPGEIPNSELHFFIKQRRAMHFAWLSGAGIYHGSLNFGAQHSYINGDENFVENKALLDYSKLSDGVDAVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQTSESVSSGVIGLCSDATAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDMKDYAAALANCRDPLQRDQVYLVQADAAFTSRDFLRAASFYAKINYILSFEEVTLKFISVGEQDALRTFLLRKLDNLAKDDKCQITMISTWATELYLDKINRLLLEEDNALDKHSFEYQSINQEFRAFLSDCKDVLDEATTMRLLESYGRVEELVYFASLKEQYEIVIHHYVQQGETKKALEVLQKPAVPIDLQYKFAPDLIVLDAYETVESWMTTKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHCLHNEDPGVHNLLLSLYAKQEDDDALLRFLQCKFGKGRENGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNNQIEQLKEEMNDATHGADNIRNDISALAQRYAVIDRDEECGVCKRKILIVGGDYRMSRGYTSVGQMAPFYVFPCGHAFHVHCLIAHVTCSVNETQAEYILDLQKQLTLLGDGARKDMNGGITEDSITSMTPADKLRSQLDDAIASECPFCGELMIRQISLPFILSEEALLVNSWEIKPQNNLANMRTLSLPV >Potri.013G147600.2.v4.1 pep chromosome:Pop_tri_v4:13:14439649:14451052:1 gene:Potri.013G147600.v4.1 transcript:Potri.013G147600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147600.v4.1 MDQSRQVFTVDLLERYASKGRGVITCMAAGNDVILLGTSKGWLIRHDFGAGGSSDFDLSSGRPGDQSIHRVFVDPGGSHCIATVIGGGGAETFYMHAKWSKPRVLGRLKGLIVNAVAWNRQLITEASTKEVVIGTDNGQLFEMAVDEKDKREKYIKFLFELKELPEAFMALQMETASLSNVTRYYVMAVTPTRLYSFTGIGLLETVFASYLERAVHFMELPGEIPNSELHFFIKQRRAMHFAWLSGAGIYHGSLNFGAQHSYINGDENFVENKALLDYSKLSDGVDAVKPSSMAVSEFHFLLLIGNKVKVVNRISEQIIEELQFDQTSESVSSGVIGLCSDATAGLFYAYDQNSIFQVSVNDEGRDMWKVYLDMKDYAAALANCRDPLQRDQVYLVQADAAFTSRDFLRAASFYAKINYILSFEEVTLKFISVGEQDALRTFLLRKLDNLAKDDKCQITMISTWATELYLDKINRLLLEEDNALDKHSFEYQSINQEFRAFLSDCKDVLDEATTMRLLESYGRVEELVYFASLKEQYEIVIHHYVQQGETKKALEVLQKPAVPIDLQYKFAPDLIVLDAYETVESWMTTKNLNPRKLIPAMMRYSSEPHAKNETHEVIKYLEFCVHCLHNEDPGVHNLLLSLYAKQEDDDALLRFLQCKFGKGRENGPDFFYDPKYALRLCLKEKRMRACVHIYSMMSMHEEAVALALQVDPELAMAEADKVEDDEDLRKKLWLMVAKHVIEQEKGTKRENIRKAIAFLKETDGLLKIEDILPFFPDFALIDDFKEAICSSLEDYNNQIEQLKEEMNDATHGADNIRNDISALAQRYAVIDRDEECGVCKRKILIVGGDYRMSRGYTSVGQMAPFYVFPCGHAFHVHCLIAHVTCSVNETQAEYILDLQKQLTLLGDGARKDMNGGITEDSITSMTPADKLRSQLDDAIASECPFCGELMIRQISLPFILSEEALLVNSWEIKPQNNLANMRTLSLPV >Potri.006G104800.1.v4.1 pep chromosome:Pop_tri_v4:6:8063713:8067132:-1 gene:Potri.006G104800.v4.1 transcript:Potri.006G104800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104800.v4.1 MPCLYISTNVNLDDVDKDPIFSEATKAVATIIGRPEHLVMVILKGLVAISFNGNKEPAAYAEIVSMGGITKQVKRNLIATLGTILEAKLSIPRARFFLKVYDTTAAGNSSKL >Potri.014G178800.1.v4.1 pep chromosome:Pop_tri_v4:14:13538726:13540129:-1 gene:Potri.014G178800.v4.1 transcript:Potri.014G178800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G178800.v4.1 MAEAAKVAEKDVITAVYKVNLHCQQCARDIKKPLLSTQGVHSVEADAEKSEIKVKGVIDVIKIHKLLEKLSKKKVELVSPLVKVTESVTEKKEVKVEAKPAPKLSTHSIKVHLHCDKCEKDLRDKLLKHRSIYSVKTDMKAQTITVDGTMEGDKLVAYMRKKVHKNAEIIPPKSEKMEEKKEKPKVEAKPKEEKAEMVEFKAEKKEEKAEMVEFKAEKTVEVKTTERVAPYVVNYVYAPQFFSGENPHDYVYAPQLFSDENPHACFIM >Potri.009G134000.1.v4.1 pep chromosome:Pop_tri_v4:9:10853848:10855235:-1 gene:Potri.009G134000.v4.1 transcript:Potri.009G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MYB156 MGRSPCCEKAHTNKGAWTKEEDDRLVAYIRAHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEAEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNEPAVQEATTTISFTTTTTSVLEEESLGSIIKEENKEKIISATAFVCKEEKTQVQERCPDLNLELGISLPSQNQPDHHQPFKTGGSRSLCFACSLGLQNSKDCSCNVIVSTVGSSGSTSTKTGYDFLGMKSGVLDYRSLEMK >Potri.013G143900.1.v4.1 pep chromosome:Pop_tri_v4:13:14165717:14167629:-1 gene:Potri.013G143900.v4.1 transcript:Potri.013G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G143900.v4.1 MSDHVAVFAFPFGTHAAPLLAIIHRLATASPNTHFSFFSTQQSNSSIFSIYKKKMNIMQPNIKAYEVWDGALEGYVFSGNPQEHIELFMKSARESLKKAMEVAVSETGRKVSCLVSDAFFWFACEMAEEIGVGWLPFWTAGPNSLSAHVYTDLIRETFGDGGMVGREDKTISLIQGMSKIRICDLPEGVLFGNTESFFSNMLHKMGKALPQAAAVFINSFEELDPGTIKDLKSRFKKFLNIGPSHLILSPPPMEDTYGCMTWLDKQKLASVAYVSFGSVTTPPPHELVALAEALETSETPFIWSLKDNSKVHLPHGFLDRTTSQGLVVPWSPQLEVLAHRAVGVFVTHCGWNSLLESIAGGVPMICRPFFGDQRLNGRMIEDVWEIGLKVEDGVFTKLEVLNSLNKILSHEGGQKMRENIRALKQLAKKAIGPNGSSINNFIALSNLVFNTKI >Potri.002G027400.1.v4.1 pep chromosome:Pop_tri_v4:2:1834805:1838561:-1 gene:Potri.002G027400.v4.1 transcript:Potri.002G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027400.v4.1 MSTATAIFLFFISATIITYTQSDVVSLSEEIQALTSFKLNLNDPLGALDGWDESTQSAPCDWHGIVCYNKRVHEVRLPRLQLSGQLTDQLSKLHQLRKLSLHSNNFNGSIPPSLSQCSLLRAVYLQSNSLYGNFPSAIVNLTNLQFLNVAHNFLSGKISGYISNSLRYLDISSNSLSGEIPGNFSSKSQLQLINLSYNKFSGEVPASIGQLQELEYLWLDSNQLYGTLPSAIANCSSLIHLSIEDNSLKGLVPASIGLIPKLEVLSLSRNEISGSIPANVVCGVSKKLRILKFGVNAFTGIEPPSNEGCFSTLEVLDIHENHINGVFPSWLTGLTTVRVVDFSGNLFSGSLPDGIGNLSRLEEFRVANNSLTGDIPNHIVKCGFLQVLDLEGNRFGGRIPMFLSEIRRLRLLSLGGNLFSGSIPPSFGGLFELETLKLEANNLSGNVPEEIMRLTNLSTLDLSFNKFYGEVPYNIGDLKGLMVLNLSACGFSGRIPASIGSLLKLTTLDLSKQNLSGELPIEIFGLPSLQVVSLEENKLSGAVPEGFSSLVSLQYLNLTSNSFTGEVPENYGFLTSLAVLSLSRNYISGMIPAELGNCSSLEVLEMRSNHLRGGIPGDISRLSRLKKLDLGENALTGEIPENIYRCSPLISLSLDGNHLSGHIPESLSKLPNLTVLNLSSNSLNGTIPANLSYIPSLIYLNLSRNNLEGEIPELLGSRFNDPSVFAVNGKLCGKPVDRECADVKKRKRKKLFLFIGVPIAATILLALCCCAYIYSLLRWRSRLRDGVTGEKKRSPARASSGADRSRGSGENGGPKLVMFNNKITYAETLEATRQFDEDNVLSRGRYGLVFKASYQDGMVLSVRRLPDGSISAGNFRKEAESLGKVKHRNLTVLRGYYAGPPDVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSLSMIHGDVKPQNVLFDADFEAHLSEFGLDKLTIATPAEASSSSTPMGSLGYTSPEVALTGQPTKEADVYSFGIVLLEILTGRKPVMFTQDEDIVKWVKKQLQRGQISELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPSMADIVFMLEGCRVGPDIPSSLPSPI >Potri.006G187500.1.v4.1 pep chromosome:Pop_tri_v4:6:19457080:19461463:1 gene:Potri.006G187500.v4.1 transcript:Potri.006G187500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187500.v4.1 MEKKRSWACTFVLQVSLFIAFYLALNLDQPQTSLYNSRKGTRTPLDVYFLSVRGGYRPLNQRALLLKQMEKVANIYKARFVMNISELGEDDPLTQNASRLFPPQKIPWYSTRVSKGREGGCFLEQINITCGKTLTVVGLDTGLLQDFMGSTSGFKNGQLNWLTETLEATTNSWIIVSGYHPVVICDKERVEAKQIYGALHNIFMKYGVNAYISRQGCNGHTVQDGVAYIGIADSIESEPLNSSNGRLAFRNFHRDTVNDWFLLHRVGSLEITTYFVSSAGKIVNKAVIEQRGKVAM >Potri.003G160700.1.v4.1 pep chromosome:Pop_tri_v4:3:17065777:17067502:-1 gene:Potri.003G160700.v4.1 transcript:Potri.003G160700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G160700.v4.1 MAFRATSQWRAVLLSRLGQSRPFASSTAPKFATMSHNAAHHVPNSRHAATGEYAPFYVMAGMMTVAVAMAFHTMKQQLVHSPGVSINKKRRESIAEVDDPDTVVADASKFLKKSFLRKVAHIQEHNPTLPDPTRANAITKPHDAETLKTVGVLPRHH >Potri.007G141700.1.v4.1 pep chromosome:Pop_tri_v4:7:15143333:15144067:1 gene:Potri.007G141700.v4.1 transcript:Potri.007G141700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G141700.v4.1 MAEIAWGLKRSDCCFLWVVRESERKKLPTNFVEESSEKGLIVTWSPQLEVLAHKSVGCFMTHCGWNSTLEALSLGVPMVAMPHWTDQPTNAKCIADVWHVGVRVKENEKGIVTKEEVEGCIREVMEGERGNEMRRNSEKWMKLAKTAVDEGGSSDKNITEFAAELARKFHETKDSKV >Potri.005G055200.1.v4.1 pep chromosome:Pop_tri_v4:5:3474017:3477249:1 gene:Potri.005G055200.v4.1 transcript:Potri.005G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055200.v4.1 MHALPVHFSSAMHANIYFLFSLACFTLLFNALYVSGIGPQPQSLSLNCGSENGGTDGDGRKWESDVKYITGNHPHARAQYQDSSIVSEVPYMDARIFTSEATYNLPITEKTRYWLRLSFYPSEYSGLNISDSYFSVVAGGITLLNNFSASITAQALTQAYLIKEYSLAPMNSHILNVTFKPADKPEAFAFINAIELVPIPDLFGSGTMVGFSDQTFDATDGNLQTMYRLNVAGQYISPTKDSGNLTRTWYNDAPYLFSAATGVNLQSNESFEVRYGELAESVAPPDVYRTARSMGYYKDLNMKFNLTWLFQADANFTYVVRLHFCEFQLSKVNQKVFNIYINNQTAQVDPNAADIIGWTGEKGLPTYKDYAVFVKDREGDEEIRVDLHPSTSSKPEFYDASLNGIEIFKMSDRNNNLAGHNPVLSEMLANHMAKASQKAFKTDSKAVMGTVGGVGALLFAVVCVAVYQRTKRIPGFDSHTSTWLPVYGNSHTVSKSSISGKSSQSSHLSTLAQGLCRHFTLPEMQRATKNFDESNVIGVGGFGKVYKGVIDQATKVAIKRSNPQSEQGVNEFMTEIEMLSKLRHKHLVSLIGFCDEDGEMCLVYDYMALGTMREHLYNTKKPRLSWKQRLEVCIGAARGLHYLHTGAKYTIIHRDVKSTNILLDENWVAKVSDFGLSKTGPDMDKGHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEALCGRPALNPSLPKEQVSLADWALHCQKKGIIEDIIDPHIKGKITPECLKKFAETADKCLAESGPERPNMGDVLWNLEFALQLQDNPEGSNDRSQGEGSETSEESIRNRNLEMHYNNLSLGSISEVSGGSEDSGDIFSQIVNPKGR >Potri.003G009700.2.v4.1 pep chromosome:Pop_tri_v4:3:898366:904228:1 gene:Potri.003G009700.v4.1 transcript:Potri.003G009700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009700.v4.1 MAMESGSWKESYKGMSADNIKGLVLALSSSVFIGASFIVKKKGLKKAGASGIRAGSGGYTYLYEPLWWVGMITMIVGEIANFAAYAFAPAILVTPLGALSIIISAALAHIILREKLHIFGILGCVLCVVGSTTIVLHAPQEREIESVKEVWDLATEPAFLFYAALVIAAVFILIFHFIPDYGQTHIMVYIGVCSLVGSLSVMSVKALGIALKLTLSGTNQLIYPQTWVFAFVVITCVLTQMNYLNKALDTFNTAVVSPIYYVMFTSLTILASVIMFKDWDRQSPTQIVTEMCGFMTILSGTFLLHKTKDMADGSPSLPVRLSKHTEEDGFGTEGIPLRRQDSSRAP >Potri.011G090800.5.v4.1 pep chromosome:Pop_tri_v4:11:11619990:11626291:1 gene:Potri.011G090800.v4.1 transcript:Potri.011G090800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090800.v4.1 MVKGGVQNPGLAADVSQVIDQLERHCLAPDGSLVSKSAFSDLQLAREDMSRERLRYLEALAIYCEAIAMVEEYQQQGVSMANLGGIRDVQGSNSSPQVYETLEHRLVVAEAAQKLRLPLISKDGEIHEEEIEKWTVMSRSSLDSTSTSVTISSTSNSINYTNSSANSTASAANNAAFLTNNDSTEPGVGGVPNRFLGITPAYLWQTHLQQMPFMMDIAEYQMSLSCEVEARLKAKCIKLADAFVDDIDSMPVNQNSTARLPERVKLIIEEIEKEEAALREDLYSADRKFAEYYNVLEQILGVLIKLVKDLKLQHQHKYDELQKTWLCKRCETMSAKLRVLEHVLLLETYTQESIPALHKIRKYLVEATEEASIAYNKAVTRLREYQGVDPHFDTIARQYHDIVKKLENMQWTIHQVEMDLKRLPDHPRA >Potri.013G104200.6.v4.1 pep chromosome:Pop_tri_v4:13:11318960:11326227:1 gene:Potri.013G104200.v4.1 transcript:Potri.013G104200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104200.v4.1 MFCNRLNKTTLISAVKRTCGLPCAFTLSNLSPLHFSTGTLSEVEQMVGNSDKHITRVLFCGPYFPASHQYTKEYLQKYPFIQVDDVPLAVVPDVISNYNICIVKNMRLTSNIISRATQMKLIMQFGVGIEGVDIDAATKYGIKVARIPGDATGNAASCAEMAIYLMLGLLRKQNEMQISIKQKRLGEPAGETLFGKTVFIMGFGNIGIDLAKRLRPFGVKIIATKRSWALHSEGSLQSNGFLIENGTNDNLVDEKGSHEDIYKFAGESDIVVCCLRMNKETAGIVNKSFISSMKKGSLLVNIARGGLLDYNAVVHHLESGHLGGLGIDVAWTEPFDPDDPILKFNNVIISPHVAGVTEHSYRSMSKVVGDVALQLHSGNPLTGIEIVN >Potri.013G104200.8.v4.1 pep chromosome:Pop_tri_v4:13:11318905:11326010:1 gene:Potri.013G104200.v4.1 transcript:Potri.013G104200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104200.v4.1 MQISIKQKRLGEPAGETLFGKTVFIMGFGNIGIDLAKRLRPFGVKIIATKRSWALHSEGSLQSNGFLIENGTNDNLVDEKGSHEDIYKFAGESDIVVCCLRMNKETAGIVNKSFISSMKKGSLLVNIARGGLLDYNAVVHHLESGHLGGLGIDVAWTEPFDPDDPILKFNNVIISPHVAGVTEHSYRSMSKVVGDVALQLHSGNPLTGIEIVN >Potri.013G104200.7.v4.1 pep chromosome:Pop_tri_v4:13:11318991:11326081:1 gene:Potri.013G104200.v4.1 transcript:Potri.013G104200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104200.v4.1 MVGNSDKHITRVLFCGPYFPASHQYTKEYLQKYPFIQVDDVPLAVVPDVISNYNICIVKNMRLTSNIISRATQMKLIMQFGVGIEGVDIDAATKYGIKVARIPGDATGNAASCAEMAIYLMLGLLRKQNEMQISIKQKRLGEPAGETLFGKTVFIMGFGNIGIDLAKRLRPFGVKIIATKRSWALHSEGSLQSNGFLIENGTNDNLVDEKGSHEDIYKFAGESDIVVCCLRMNKETAGIVNKSFISSMKKGSLLVNIARGGLLDYNAVVHHLESGHLGGLGIDVAWTEPFDPDDPILKFNNVIISPHVAGVTEHSYRSMSKVVGDVALQLHSGNPLTGIEIVN >Potri.014G125800.1.v4.1 pep chromosome:Pop_tri_v4:14:8453335:8453649:-1 gene:Potri.014G125800.v4.1 transcript:Potri.014G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125800.v4.1 MSSEETCVPMTAEMLLYHPLVLGLSSCKLVDFSDAGMDSTVTSFGTDNEFRASSCSEGFLLFLRMMQKRVSVLQVLLLSPEILVLEPISQVCPLINTIPAGSQE >Potri.006G151100.2.v4.1 pep chromosome:Pop_tri_v4:6:13206792:13212030:-1 gene:Potri.006G151100.v4.1 transcript:Potri.006G151100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G151100.v4.1 MPGAIEVSVLDFMGLQSSSPPSQMSIKVSMGKREYETRDKGDFIFPLTTLRENLIVTLQDAKGNEISHTGVETRLVIEKGIWDDTFPLEGGGHVRMKVQFVLSEADRHRIRLMRELALKKKHDELLSSEPRCPEYATAVDSRVASSSWPKHEVSDSRKRVFQSEVMATQVSLIVTPPTFSKSGKSCLDNREGTNCVLKQTSPNDPDKHEGSPSIAPVSQGFGANLNEESHKSLGKKRGTEPPPIDIPLKTIRSKEALYFGSSEPKVTASDKIPVKLKGHGDSVLGKQNPVNKTPSNVRNMITAFESSLNQDVKPKETPPPIKSASGRLEMEFSPKCFWSDEVRTEKNIPEQSLPGKDRSPYLIEDMQGASKNIREGEEHVGFVRAPTVATSSQGTGKSEEELSDASFRNKGSNIVLKNKLQLMDKADIGKKKTSDVLLRALVGDKASNSGRMLNEYLGKHPYCKLLAGKKNSGGTLLITNSGKETHSKDLERISIQEGSGDAHYSSECYGAWIFPYERRRLCITTAGTQILNLMGSFWDDTKAQPEKMSSSVAENMEELSVHGGTGIMSKEHEKTSQRQKKSKLRGSIDAETSGGPVGQVMRGVIMVGFATLVLLTRQKTR >Potri.003G171100.1.v4.1 pep chromosome:Pop_tri_v4:3:17954676:17955535:1 gene:Potri.003G171100.v4.1 transcript:Potri.003G171100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171100.v4.1 MTTSRRLADRKVNRFDKNISRRGAVPETTTKKGNDYPVGPLLLGFFIFVVIGSSLFQIIRTATDGGMA >Potri.013G120900.2.v4.1 pep chromosome:Pop_tri_v4:13:12960450:12961377:-1 gene:Potri.013G120900.v4.1 transcript:Potri.013G120900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120900.v4.1 MLQAQSHVWNHIFNFINSMTLKCAVQLGKPDVIQKHGKPMTLSELVSALPIHPSKAQYVHRLMRVLVHSGFFFQQNLNGIHNQEAYSLTQSTRLLLKDNPWSVRPLLLLLLDPVLTKPWDCLSTWFQNDDRNAFSVAHEKTVWEYAGQDTRLNNLFNEAISS >Potri.017G068100.2.v4.1 pep chromosome:Pop_tri_v4:17:6138009:6142018:-1 gene:Potri.017G068100.v4.1 transcript:Potri.017G068100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068100.v4.1 MPEEEEELVELKFRLYDGSDIGPFRYSLASTVAMLKERIVADWPKDKKIAPKAANDIKLINAGKILENNKTVGQCRVPFGNLPKEIITMHVVVQPSLAKAKAEKKVDEAPRKNFCSCSIL >Potri.004G158200.1.v4.1 pep chromosome:Pop_tri_v4:4:17902234:17902701:1 gene:Potri.004G158200.v4.1 transcript:Potri.004G158200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158200.v4.1 MASSSGTSSGSSLIQNSGSEEDLQALMDQRKRKRMISNRESARRSRMRKQKHLDDLMAQVSQLRKENHQIITGINITTQRYLSVEADNSILRVQISELSNRLESLNEIIGSLNSNNGVFGDSITFNEPAADSFLNPWNMAYLNQPIMASAEMFHY >Potri.016G042400.2.v4.1 pep chromosome:Pop_tri_v4:16:2651169:2657775:-1 gene:Potri.016G042400.v4.1 transcript:Potri.016G042400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042400.v4.1 MNNRRRQRGEIYQHQEVQGTTRSRCRKPPPHHGSWQPTVPSWEKRFCYSVGSIPWRKLLETQRFMYLYENVVQWNDSAGEEAFHNAKNRFWAEINGLPCNISLPDPDIYIDQIDWNSNVDPELLLDLEREPKDVDETSKGEEVVIIGNPLLLNQPFSCAGWGELEEEFQKVPDLALDPGLGDFNHKVTKDDFPWEKSVTLPNEAMNEDGWGNCWNDSCGWGNSEWGANNDRKNVSDGTGGDWGTWDGQGQKREGAVRHMSRYKTSRFHGDEYPVDRGLWRHGRGRRRVNFVY >Potri.016G042400.1.v4.1 pep chromosome:Pop_tri_v4:16:2655245:2657796:-1 gene:Potri.016G042400.v4.1 transcript:Potri.016G042400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042400.v4.1 MNNRRRQRGEIYQHQEVQGTTRSRCRKPPPHHGSWQPTVPSWEKRFCYSVGSIPWRKLLETQRFMYLYENVVQWNDSAGEEAFHNAKNRFWAEINGLPCNISLPDPDIYIDQIDWNSNVDPELLLDLEREPKDVDETSKGEEVVIIGNPLLLNQPFSCAGWGELEEEFQKVPDLALDPGLGDFNHKVTKDDFPWEKSVTLPNEAMNEDGWGNCWNDSCGWGNSEWGANNDRKNVSDGTGGDWGTWDGQGQKREGAVRHMSRYKTSRFHGDEYPVDRGLWRHGRGRRRVNFVY >Potri.013G034400.2.v4.1 pep chromosome:Pop_tri_v4:13:2220684:2223652:1 gene:Potri.013G034400.v4.1 transcript:Potri.013G034400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034400.v4.1 MLSRSLSLSLSNMMMRKSTFVASASCAFQLLQQQQMETGTFPKFRSFLFFIHQHFTTSTASTTNISPSSITNGGFCSNYNNLHSVADAVASFNQLLGIRPLPPVVVFNKLLGSLVKKKHYSTVISLCKQMDLSNIRPNVYTLTILINCLCHSNRDHVHFAFSALGKMFKLGLQPTHVTFGTLLNGLCSKAKIIDAVKLFDEMGKMGFAPSLITYTTIIKGLCKIGHTTNALQLLKKMEEKGCKPDVVAYNTVIDSLCKDRRANEAMYFFSEMVDQGIPPNVVTYSSILHGFCNLGQLNEATSLFKQMIGRNVMPNTVTFTILVDGLCKEGMILEARRVFEMMTENGVEPDAYTYSALMDGYCLQSQMDEAQKLFDIMVGKGFAPSVRVYNILINGHCKSRRLNEAKTLLSEMYDRDLTPDTVTYSTLMQGFCQAGRPQVAQKLFKEMCSYGLLPDSITYSILLDGLCKHGHLDEAFRLLKAMQESKIEPHICIYNILIQGMCNFGKLEAARELFSNLFVKGIQPTVVTYTVMISGLLKEGLSNEACEMFRKMVVNGCLPNSCTYNVAIQGFLRNGDPSNAVRLIEEMVGRGFSADSSTFQMLLDLESNDEIISRFMRRSS >Potri.019G133550.1.v4.1 pep chromosome:Pop_tri_v4:19:15522115:15523432:1 gene:Potri.019G133550.v4.1 transcript:Potri.019G133550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133550.v4.1 MDIIRHRYNNVLTYCFLGSCNGDGSQVVKKKKRRLTTGTRSASAISSIILSSIQTLSLCSTGKRENFGRSGVNQITNIDGRRKTILAFFSDCFPWVCVDRGTNWMGMGLA >Potri.017G112700.4.v4.1 pep chromosome:Pop_tri_v4:17:12043140:12047392:-1 gene:Potri.017G112700.v4.1 transcript:Potri.017G112700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112700.v4.1 MKAIILSASATARTTIFHYDVQFGRFCTNKHKGRCFLSVHSSSPSKLSPKQNHKVKLVFLTKAADSSRAASSTTGSKTIVTDDEFSLAKVSFGVIGLGLGISLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVSCLTYSDAEILREKCATPILKQVRNDVIRYRYGDEQHLDEALKRIFQYGLGGGIPRRNAPILQMIREEVCSFNTY >Potri.017G112700.3.v4.1 pep chromosome:Pop_tri_v4:17:12042292:12047426:-1 gene:Potri.017G112700.v4.1 transcript:Potri.017G112700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112700.v4.1 MKAIILSASATARTTIFHYDVQFGRFCTNKHKGRCFLSVHSSSPSKLSPKQNHKVKLVFLTKAADSSRAASSTTGSKTIVTDDEFSLAKVSFGVIGLGLGISLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVSCLTYSDAEILREKCATPILKQVRNDVIRYRYGDEQHLDEALKRIFQYGLGGGIPRRNAPILQMIREEVTEDGKYCLVLIFEAKSLQLSDFEKRQGKFTSFFGPDITAEIGIGENNLYEVRLISNLNADASPS >Potri.017G106200.2.v4.1 pep chromosome:Pop_tri_v4:17:11555342:11556259:-1 gene:Potri.017G106200.v4.1 transcript:Potri.017G106200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106200.v4.1 MACPNEKEAGMQQQDNLADSLNDLFTSVSNMVKSELQGTSNQLELLEKMNVRVSEEYKGFGDVASGLRVYVEQLKGKSGNFEEYVHQIEEIEKQVTEFEAVISVLDKYVSLLESKVKFVYQQQQHPPPTS >Potri.010G018200.1.v4.1 pep chromosome:Pop_tri_v4:10:2652449:2657070:-1 gene:Potri.010G018200.v4.1 transcript:Potri.010G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018200.v4.1 MRVPMDYISVLRFCFTLLLIVRVATPTDTMRTTQPIRDGDSIVSAGGTYELGFSSPGKSRNRYLGIWYCKISVLTPVWVANRETPLNDSSGVVMLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAQLLDSGNLFVKEDGDNNMENSLWQSFDYPGNTLIPGSKLGRNRITGMDWHLTSWKSSDDPSRGNISIILVPEGYPEYAAVEDSKVKYRGGPWNGLGFSGLPRLKPNPIYTFEFVFNDKEIFYRETLVNNSTHWRAVATQNGDLQLLLWMEQIQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLIGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKVRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMAASELGSTAWRLFKQGRPLELAAGSKVETLYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPQPKQPGFFTERDLVEVSYSSSQSKPPSANVCSISVLEAR >Potri.005G085800.1.v4.1 pep chromosome:Pop_tri_v4:5:5930632:5933211:1 gene:Potri.005G085800.v4.1 transcript:Potri.005G085800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085800.v4.1 MSLETTPLFHLKTGLQPSRRFIPSIPGLSSAHHHRIPLHFQVSQSFRAKKTIKPTETKIPAVLEHGTAVTEKQPDPPVRIVTIVGAGSISPLKRTPWEEVMLHTAKRLKWVDEGYDMLVFTDDLYQSKDQTEILLKALSCADILLIVAVTDQESVKWIETESKNVPNIVCFDSSPNLVNKLGGSYVQNETSGTLFAKTFGISPSKKASDSMDVVQTVSDAWKRHNSDDIRFCLLIIINAYIRPVPILKNLRSKGFSTLNCMVKNCGPQILNCLLDPDCRKALQCLNKCSPVDQVCNYRCIASYESPNLEAFSLCVLQKNNCLELDAKIPEKPFVPPMARFRGEDLSHETAEDLFVGWLGSLDWSWRVIAGQNPAYDQFPCQYQLFYRGKAKGSFWYEPVFQVKTLEGKVVWRRRKYRVKRGKIPGTFYFSVLDNGVVSNECWTIVDVSDDFSWGLFHYNGAARVAGQAYTGAVLVSPDGAYPDEKESKRLASALEKCGIKEWELFTVDNCSCQDPPLGLPEGSSLHSAIDVKDQKWTST >Potri.018G008100.1.v4.1 pep chromosome:Pop_tri_v4:18:587169:589112:1 gene:Potri.018G008100.v4.1 transcript:Potri.018G008100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008100.v4.1 MAPALLLKPSCLIFILLTVASSMQPYILVAASVPCYFIFGDSLVDSGNNNGLSTSAKVNYPPYGIDFPAGPTGRFTNGKTVADIITELLGLKDYIQPFATATASEIINGVNYASGSSGIRDEAGRNLGTHVGFNQQLNNHQITISSLTKTLKDSTAAHLNQCLYTVGMGSNDYINDYFLPGSATSTQYTPDQFAGVLIDQYSKQIRTLHDAGARKIALFGLGAISCTPNSIVLFGKNGTCAESITGAVQLFNVRLKSLVDQLNKELTDSKVIYINSIGTLRRNPTKLGFKVFKSSCCQVNNAGLCNPSSTACPNRNEFIFWDGFHPTEAMNKLTAARAFHAADPSDAYPFGISQLVL >Potri.001G049100.1.v4.1 pep chromosome:Pop_tri_v4:1:3676014:3681973:-1 gene:Potri.001G049100.v4.1 transcript:Potri.001G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049100.v4.1 MWRLKIAEGGNDPHIYSTNNFLGRQTWEFDPDAGTLEERAEVEEARQNFWRNRNEVKPSSDLLWKFQFLRGKKFKQRIPQVKIEDGEEISYEKATSALRRSVHLFSALQASDGHWCAENSGPMFYFPPLVFSLYITGHLNAIFSAEHKKEILRYIYCHQNEDGGWGLHIEGHSTMFCTVLNYICMRMLGEGRDGGKDKACERARKWILDHGSAIAISSWGKTWLAILGMYEWAGCNPMPPEFWFLPSTSPIHPGNLLGYCRLTYLPMAYLYGKRFIGPITPLILQIREEIYNEPYEKLNWRRVRHLCAKEDNYYPHTSIQILFWDAIYTFGEPLLTRWPFNKLREKALDITMDHIHYEDESSRYITIGCIEKPLDMLACWVEDPNGDYFRRHLARIKDYLWLGEDGIKMQSFGSQVWDTSLALQALIASNLSEETGPALKEGHSFIKNSQVTENPPGDFRRMFRHISKGSWTFSDKDHGWQVSDCTAESMKCCLLFSTMPPEIVGEKMEPQKLFDSVNILLSLQSKNGGVSAWEPAGSGLWLEWLNPVEFLEDLVVEHEYVECTSSTIQALVLFKKLYPGHRTKEIQNSIINGVKFIEELQKPDGSWYGNWGICFIYGTWFALGGLAAAGKTYSNCLAVRKGVDFLLGSQRDDGGWGESYLSCPKKEYVPLEGNHSNLVQTAMAMMGLIHGGQADRDPAPLHRAAKLLINSQTELGDFPQQEIGGVFMRNCMLHYSAYRSIFPIWALAEYRRHVASPTKNI >Potri.017G036400.1.v4.1 pep chromosome:Pop_tri_v4:17:2420916:2426491:1 gene:Potri.017G036400.v4.1 transcript:Potri.017G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036400.v4.1 MSGSMECRGVLALISPPPSKVCKSTPLSCSLHHSSPSTATTELNSNNNDSRSDAPQKFSYSRASPSVRWPQLKLNETYYPSSQTQSTEMSKDGNLNHSSHNQLAEMSKDGILGVGSPELNDDVDVDDDGNEEEKLGWRQSRTRVKKMNKLALRKAKDWRERVKYFTDRILGLKQDQFVADVLDDRKVQMTPTDFCFVVKSVGQESWHRAFEVYEWLNLRHWYSPNARMLSTILAVLGKANQEPLAVEVFTRAEPSVENTVQVYNAMMGVYARSGKFNKVQELFDLMRERGCEPDLVSFNTLINARLKAGEMTPNLAIELLTEVRRSGLRPDIITYNTLISACSRASNLEEAVNVFDDMVAHHCEPDLWTYNAMISVYGRCGLSGKAEQLFNDLESRGFFPDAVSYNSLLYAFAREGNVEKVKDICEEMVKIGFGKDEMTYNTMIHMYGKQGQNDLALQLYRDMKSSGRNPDVITYTVLIDSLGKTNKIEEAAGMMSEMLNTGVKPTLRTYSALICGYAKAGKPVEAEETFDCMLRSGTRPDQLAYSVMLDIHLRFNEPKRAMTFYKEMIHDGIMPEHSLYELMLRTLGNANKVEDIGRVVRDMEEVCGMNPQAISYILVKGDCYDEAAKMLRRAISDRYEIDRENLLSILSSYSSSGRHSVALDLLELLKEHTPRSSQMITEALVVMLCKAQQLDTALKEYSNSRELGFTGSFTMFEALIQCCLENELFTEASQVFSDMRFCGIKASECLYQSMMLLYCKMGFPETAHHLIDLTETDGTVLNNISVYVDVIEAYGRLKLWQKAESVAGNMRQSCITVNRKVWNALIEAYAASGCYERARAVFNTMMKDGPSPTVDSINGLLQALIVDGRLEELYVVVQELQDIGFKISKSSILLMLDAFARAGNIFEVKKIYHGMKAAGYFPSMHLYRVMAQLLCRGKQVRDVEAMLSEMEEAGFKPDLSIWNSVLKMYVAIDDFRKTTQIYQRIKEDGLEPDEDTYNILIVMYCRDHRPKEGLVLMDEMRTVGLEPKLDTYKSLVASFGKQQLVEQAEELFEELQSTGCKLDRSFYHIMMKIYRNSGSHSKAQRLFSMMKDEGVEPTIATMHLLMVSYGSSGQPQEAEKVLSNLKETDANLSTLPYSSVIDAYLRNGDYNAGIQKLKQVKEEGLEPDHRIWTCFIRAASLSRHTSEAILLLNALRDTGFDLPIRLLTEKPEPLVSALDQCLEMLETLGDNAAFNFVNALEDLLWAFELRATASWVFLLAIKRKIYRHDVFRVADKDWGADFRKLSGGAALVGLTLWLDHMQDASLQGCPESPKSVALITGTAEYNMVSLDSTLKACLWEMGSPFLPCKTRSGLLIAKAHSLKMWLKDSPFCLDLELKNAPSLPESNSMQLIEGCFIRRGLVPAFKEINEKLGFVRPKKFAKFALLSDDRREKAIQVFIEGGKEKKEKMKKRDAGKGKSRSCSER >Potri.012G077700.9.v4.1 pep chromosome:Pop_tri_v4:12:10171415:10176359:1 gene:Potri.012G077700.v4.1 transcript:Potri.012G077700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077700.v4.1 MLPERNERKVDLVGRSLLAGAIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGNSHKDSDKSVEEFLLVLLRALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNHGVSWTARSRLPEVSHGGNRPGVSSEVAMKSSVVQLSASKSGPVKLVQGETVTKSGSSPGPIKPAASPNAAGNNLKDGQPRNTGVSGAMDLPVSAARDEKSSSSSQSHNNSQSCSSEHAKTVGLSGKDDARSSTAVSMAANKIIGGSLRHRKPVNGFSGPALSGAQRDSGSSRSSPLHKNPGSEKLQQSSLACEKVLDAPMAEGNNHKIIVKIPNRGRSPAQSSSGGTFEDALVMSSRASSPVVSERHEQFDHNLKEKNDPYRANITSNVKTESWQSNDFKEVLTGSDERDGLPANVPDKEHGQTGDDARKLGEVSKTTPSLTVFELKSEKSYDASFSSMNALIESCAKYSEGNAAMTVGDDVGMNLLASVAAGEMSKSDVVSPTNSPCISMPIERSWAPSGLRGKSSPCDDPAQSQGKSADGVDDDDEKRVTVVGTPPSKNTEAKTVLFSQEKHAGELNGPSNSSNVDAAEPCMESNVKSDETLAAPVSSASMAVRTSNCGGKEPWEKEGDGISDDKNKLLHSSVLTEVNYTGVQVGTEAIEGSSSNHHVEVDGENNKNMNKELNVSIHADPKPPAMMQSDFSKGTNDEMPQPSSSGKDMISENMHDVKAGETDGRSHSTEKKKIKHESNTAPAATDHESECKVESLGGNQGNKQCSARPAAHKAEPTLVQASEQVVRSTGSKLAGSGADETEECTSAAADASSLSATGGLDLETKVEFDLNEGFIADDGKYEEPNNLREPACSAAIQLISPFPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRGELGWKGSAATSAFRPAEPRKALEISLGTANISLPDEMVSKPGRPLLDIDLNVPDERILEDLAFRISAQDTVSVSDLAKNSDCARDTLMGSLSGRSFGGFDLDLNRADEASDMGNHLTSIGRRLDAPLLPAKLSSGGLLNGEVSSRRDFDLNDGPLVDEVSAEPSPHSQHARNIVPSQPSISSLRINSSETGSLPSWFPQGNPYPAATIQSILHDRREQPFPIVATGGPRRMLAPSTGNNPFNSDIYRGAVLSSSPAVPFPSTPFQYPVFPFGNSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVLAAPVGAVSSHYPRPSYAVNFPDINNNGAAESSRKWVRQGLDLNAGPLGPDIEGRVETSALASRQLSVASSPALAEEQSRMYQVTGGGALKRKEPEGEWEGYKQSSWQ >Potri.012G077700.3.v4.1 pep chromosome:Pop_tri_v4:12:10169223:10176359:1 gene:Potri.012G077700.v4.1 transcript:Potri.012G077700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077700.v4.1 MLHGREVEEERKKDRIRHMLTAPIRVNNNSVVAAPDFVSSPSPSSLSSPADSFYKDGRKISVGDCALFKPPQDSPPFIGIIQRLTTGKENKLKLGVNWLYRPADIKLGKCILLEAAPNEVFFSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDTTNKCLWWLTDQDYINERQEVVDQLLSKTRLEMHATIQPGGCSPKTMNGPTSTPQLKPGSDSVQNNAPSFPSQSKGKKRDRGDQGFEPIKRERFMKMDDGDSVHRPESIWKSEIAKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSLLAGAIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGNSHKDSDKSVEEFLLVLLRALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNHGVSWTARSRLPEVSHGGNRPGVSSEVAMKSSVVQLSASKSGPVKLVQGETVTKSGSSPGPIKPAASPNAAGNNLKDGQPRNTGVSGAMDLPVSAARDEKSSSSSQSHNNSQSCSSEHAKTVGLSGKDDARSSTAVSMAANKIIGGSLRHRKPVNGFSGPALSGAQRDSGSSRSSPLHKNPGSEKLQQSSLACEKVLDAPMAEGNNHKIIVKIPNRGRSPAQSSSGGTFEDALVMSSRASSPVVSERHEQFDHNLKEKNDPYRANITSNVKTESWQSNDFKEVLTGSDERDGLPANVPDKEHGQTGDDARKLGEVSKTTPSLTVFELKSEKSYDASFSSMNALIESCAKYSEGNAAMTVGDDVGMNLLASVAAGEMSKSDVVSPTNSPCISMPIERSWAPSGLRGKSSPCDDPAQSQGKSADGVDDDDEKRVTVVGTPPSKNTEAKTVLFSQEKHAGELNGPSNSSNVDAAEPCMESNVKSDETLAAPVSSASMAVRTSNCGGKEPWEKEGDGISDDKNKLLHSSVLTEVNYTGVQVGTEAIEGSSSNHHVEVDGENNKNMNKELNVSIHADPKPPAMMQSDFSKGTNDEMPQPSSSGKDMISENMHDVKAGETDGRSHSTEKKKIKHESNTAPAATDHESECKVESLGGNQGNKQCSARPAAHKAEPTLVQASEQVVRSTGSKLAGSGADETEECTSAAADASSLSATGGLDLETKVEFDLNEGFIADDGKYEEPNNLREPACSAAIQLISPFPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRGELGWKGSAATSAFRPAEPRKALEISLGTANISLPDEMVSKPGRPLLDIDLNVPDERILEDLAFRISAQDTVSVSDLAKNSDCARDTLMGSLSGRSFGGFDLDLNRADEASDMGNHLTSIGRRLDAPLLPAKLSSGGLLNGEVSSRRDFDLNDGPLVDEVSAEPSPHSQHARNIVPSQPSISSLRINSSETGSLPSWFPQGNPYPAATIQSILHDRREQPFPIVATGGPRRMLAPSTGNNPFNSDIYRGAVLSSSPAVPFPSTPFQYPVFPFGNSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVLAAPRAVGNG >Potri.012G077700.8.v4.1 pep chromosome:Pop_tri_v4:12:10171277:10176143:1 gene:Potri.012G077700.v4.1 transcript:Potri.012G077700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077700.v4.1 MKMDDGDSVHRPESIWKSEIAKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSLLAGAIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGNSHKDSDKSVEEFLLVLLRALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNHGVSWTARSRLPEVSHGGNRPGVSSEVAMKSSVVQLSASKSGPVKLVQGETVTKSGSSPGPIKPAASPNAAGNNLKDGQPRNTGVSGAMDLPVSAARDEKSSSSSQSHNNSQSCSSEHAKTVGLSGKDDARSSTAVSMAANKIIGGSLRHRKPVNGFSGPALSGAQRDSGSSRSSPLHKNPGSEKLQQSSLACEKVLDAPMAEGNNHKIIVKIPNRGRSPAQSSSGGTFEDALVMSSRASSPVVSERHEQFDHNLKEKNDPYRANITSNVKTESWQSNDFKEVLTGSDERDGLPANVPDKEHGQTGDDARKLGEVSKTTPSLTVFELKSEKSYDASFSSMNALIESCAKYSEGNAAMTVGDDVGMNLLASVAAGEMSKSDVVSPTNSPCISMPIERSWAPSGLRGKSSPCDDPAQSQGKSADGVDDDDEKRVTVVGTPPSKNTEAKTVLFSQEKHAGELNGPSNSSNVDAAEPCMESNVKSDETLAAPVSSASMAVRTSNCGGKEPWEKEGDGISDDKNKLLHSSVLTEVNYTGVQVGTEAIEGSSSNHHVEVDGENNKNMNKELNVSIHADPKPPAMMQSDFSKGTNDEMPQPSSSGKDMISENMHDVKAGETDGRSHSTEKKKIKHESNTAPAATDHESECKVESLGGNQGNKQCSARPAAHKAEPTLVQASEQVVRSTGSKLAGSGADETEECTSAAADASSLSATGGLDLETKVEFDLNEGFIADDGKYEEPNNLREPACSAAIQLISPFPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRGELGWKGSAATSAFRPAEPRKALEISLGTANISLPDEMVSKPGRPLLDIDLNVPDERILEDLAFRISAQDTVSVSDLAKNSDCARDTLMGSLSGRSFGGFDLDLNRADEASDMGNHLTSIGRRLDAPLLPAKLSSGGLLNGEVSSRRDFDLNDGPLVDEVSAEPSPHSQHARNIVPSQPSISSLRINSSETGSLPSWFPQGNPYPAATIQSILHDRREQPFPIVATGGPRRMLAPSTGNNPFNSDIYRGAVLSSSPAVPFPSTPFQYPVFPFGNSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVLAAPVESSRKWVRQGLDLNAGPLGPDIEGRVETSALASRQLSVASSPALAEEQSRMYQVTGGGALKRKEPEGEWEGYKQSSWQ >Potri.012G077700.6.v4.1 pep chromosome:Pop_tri_v4:12:10169246:10176003:1 gene:Potri.012G077700.v4.1 transcript:Potri.012G077700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077700.v4.1 MLHGREVEEERKKDRIRHMLTAPIRVNNNSVVAAPDFVSSPSPSSLSSPADSFYKDGRKISVGDCALFKPPQDSPPFIGIIQRLTTGKENKLKLGVNWLYRPADIKLGKCILLEAAPNEVFFSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDTTNKCLWWLTDQDYINERQEVVDQLLSKTRLEMHATIQPGGCSPKTMNGPTSTPQLKPGSDSVQNNAPSFPSQSKGKKRDRGDQGFEPIKRERFMKMDDGDSVHRPESIWKSEIAKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSLLAGAIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGNSHKDSDKSVEEFLLVLLRALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNHGVSWTARSRLPEVSHGGNRPGVSSEVAMKSSVVQLSASKSGPVKLVQGETVTKSGSSPGPIKPAASPNAAGNNLKDGQPRNTGVSGAMDLPVSAARDEKSSSSSQSHNNSQSCSSEHAKTVGLSGKDDARSSTAVSMAANKIIGGSLRHRKPVNGFSGPALSGAQRDSGSSRSSPLHKNPGSEKLQQSSLACEKVLDAPMAEGNNHKIIVKIPNRGRSPAQSSSGGTFEDALVMSSRASSPVVSERHEQFDHNLKEKNDPYRANITSNVKTESWQSNDFKEVLTGSDERDGLPANVPDKEHGQTGDDARKLGEVSKTTPSLTVFELKSEKSYDASFSSMNALIESCAKYSEGNAAMTVGDDVGMNLLASVAAGEMSKSDVVSPTNSPCISMPIERSWAPSGLRGKSSPCDDPAQSQGKSADGVDDDDEKRVTVVGTPPSKNTEAKTVLFSQEKHAGELNGPSNSSNVDAAEPCMESNVKSDETLAAPVSSASMAVRTSNCGGKEPWEKEGDGISDDKNKLLHSSVLTEVNYTGVQVGTEAIEGSSSNHHVEVDGENNKNMNKELNVSIHADPKPPAMMQSDFSKGTNDEMPQPSSSGKDMISENMHDVKAGETDGRSHSTEKKKIKHESNTAPAATDHESECKVESLGGNQGNKQCSARPAAHKAEPTLVQASEQVVRSTGSKLAGSGADETEECTSAAADASSLSATGGLDLETKVEFDLNEGFIADDGKYEEPNNLREPACSAAIQLISPFPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRGELGWKGSAATSAFRPAEPRKALEISLGTANISLPDEMVSKPGRPLLDIDLNVPDERILEDLAFRISAQDTVSVSDLAKNSDCARDTLMGSLSGRSFGGFDLDLNRADEASDMGNHLTSIGRRLDAPLLPAKLSSGGLLNGEVSSRRDFDLNDGPLVDEVSAEPSPHSQHARNIVPSQPSISSLRINSSETGSLPSWFPQGNPYPAATIQSILHDRREQPFPIVATGGPRRMLAPSTGNNPFNSDIYRGAVLSSSPAVPFPSTPFQYPVFPFGNSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVLAAPVGAVSSHYPRPSYAVNFPDINNNGAAESSRKWVRQGLDLNAGPLGPDIEGRVETSALASRQLSVASSPALAEEQSRMYQVTGGGALKRKEPEGEWEGYKQSSWQ >Potri.012G077700.7.v4.1 pep chromosome:Pop_tri_v4:12:10169258:10176138:1 gene:Potri.012G077700.v4.1 transcript:Potri.012G077700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077700.v4.1 MHATIQPGGCSPKTMNGPTSTPQLKPGSDSVQNNAPSFPSQSKGKKRDRGDQGFEPIKRERFMKMDDGDSVHRPESIWKSEIAKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSLLAGAIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGNSHKDSDKSVEEFLLVLLRALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNHGVSWTARSRLPEVSHGGNRPGVSSEVAMKSSVVQLSASKSGPVKLVQGETVTKSGSSPGPIKPAASPNAAGNNLKDGQPRNTGVSGAMDLPVSAARDEKSSSSSQSHNNSQSCSSEHAKTVGLSGKDDARSSTAVSMAANKIIGGSLRHRKPVNGFSGPALSGAQRDSGSSRSSPLHKNPGSEKLQQSSLACEKVLDAPMAEGNNHKIIVKIPNRGRSPAQSSSGGTFEDALVMSSRASSPVVSERHEQFDHNLKEKNDPYRANITSNVKTESWQSNDFKEVLTGSDERDGLPANVPDKEHGQTGDDARKLGEVSKTTPSLTVFELKSEKSYDASFSSMNALIESCAKYSEGNAAMTVGDDVGMNLLASVAAGEMSKSDVVSPTNSPCISMPIERSWAPSGLRGKSSPCDDPAQSQGKSADGVDDDDEKRVTVVGTPPSKNTEAKTVLFSQEKHAGELNGPSNSSNVDAAEPCMESNVKSDETLAAPVSSASMAVRTSNCGGKEPWEKEGDGISDDKNKLLHSSVLTEVNYTGVQVGTEAIEGSSSNHHVEVDGENNKNMNKELNVSIHADPKPPAMMQSDFSKGTNDEMPQPSSSGKDMISENMHDVKAGETDGRSHSTEKKKIKHESNTAPAATDHESECKVESLGGNQGNKQCSARPAAHKAEPTLVQASEQVVRSTGSKLAGSGADETEECTSAAADASSLSATGGLDLETKVEFDLNEGFIADDGKYEEPNNLREPACSAAIQLISPFPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRGELGWKGSAATSAFRPAEPRKALEISLGTANISLPDEMVSKPGRPLLDIDLNVPDERILEDLAFRISAQDTVSVSDLAKNSDCARDTLMGSLSGRSFGGFDLDLNRADEASDMGNHLTSIGRRLDAPLLPAKLSSGGLLNGEVSSRRDFDLNDGPLVDEVSAEPSPHSQHARNIVPSQPSISSLRINSSETGSLPSWFPQGNPYPAATIQSILHDRREQPFPIVATGGPRRMLAPSTGNNPFNSDIYRGAVLSSSPAVPFPSTPFQYPVFPFGNSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVLAAPVGAVSSHYPRPSYAVNFPDINNNGAAESSRKWVRQGLDLNAGPLGPDIEGRVETSALASRQLSVASSPALAEEQSRMYQVTGGGALKRKEPEGEWEGYKQSSWQ >Potri.012G077700.1.v4.1 pep chromosome:Pop_tri_v4:12:10169211:10176360:1 gene:Potri.012G077700.v4.1 transcript:Potri.012G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077700.v4.1 MLHGREVEEERKKDRIRHMLTAPIRVNNNSVVAAPDFVSSPSPSSLSSPADSFYKDGRKISVGDCALFKPPQDSPPFIGIIQRLTTGKENKLKLGVNWLYRPADIKLGKCILLEAAPNEVFFSFHKDEIPAASLLHPCKVAFLPKGVELPSGICSFVCRRVYDTTNKCLWWLTDQDYINERQEVVDQLLSKTRLEMHATIQPGGCSPKTMNGPTSTPQLKPGSDSVQNNAPSFPSQSKGKKRDRGDQGFEPIKRERFMKMDDGDSVHRPESIWKSEIAKFTEKGGLVDSEGVEKLVHLMLPERNERKVDLVGRSLLAGAIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGNSHKDSDKSVEEFLLVLLRALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNHGVSWTARSRLPEVSHGGNRPGVSSEVAMKSSVVQLSASKSGPVKLVQGETVTKSGSSPGPIKPAASPNAAGNNLKDGQPRNTGVSGAMDLPVSAARDEKSSSSSQSHNNSQSCSSEHAKTVGLSGKDDARSSTAVSMAANKIIGGSLRHRKPVNGFSGPALSGAQRDSGSSRSSPLHKNPGSEKLQQSSLACEKVLDAPMAEGNNHKIIVKIPNRGRSPAQSSSGGTFEDALVMSSRASSPVVSERHEQFDHNLKEKNDPYRANITSNVKTESWQSNDFKEVLTGSDERDGLPANVPDKEHGQTGDDARKLGEVSKTTPSLTVFELKSEKSYDASFSSMNALIESCAKYSEGNAAMTVGDDVGMNLLASVAAGEMSKSDVVSPTNSPCISMPIERSWAPSGLRGKSSPCDDPAQSQGKSADGVDDDDEKRVTVVGTPPSKNTEAKTVLFSQEKHAGELNGPSNSSNVDAAEPCMESNVKSDETLAAPVSSASMAVRTSNCGGKEPWEKEGDGISDDKNKLLHSSVLTEVNYTGVQVGTEAIEGSSSNHHVEVDGENNKNMNKELNVSIHADPKPPAMMQSDFSKGTNDEMPQPSSSGKDMISENMHDVKAGETDGRSHSTEKKKIKHESNTAPAATDHESECKVESLGGNQGNKQCSARPAAHKAEPTLVQASEQVVRSTGSKLAGSGADETEECTSAAADASSLSATGGLDLETKVEFDLNEGFIADDGKYEEPNNLREPACSAAIQLISPFPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRGELGWKGSAATSAFRPAEPRKALEISLGTANISLPDEMVSKPGRPLLDIDLNVPDERILEDLAFRISAQDTVSVSDLAKNSDCARDTLMGSLSGRSFGGFDLDLNRADEASDMGNHLTSIGRRLDAPLLPAKLSSGGLLNGEVSSRRDFDLNDGPLVDEVSAEPSPHSQHARNIVPSQPSISSLRINSSETGSLPSWFPQGNPYPAATIQSILHDRREQPFPIVATGGPRRMLAPSTGNNPFNSDIYRGAVLSSSPAVPFPSTPFQYPVFPFGNSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVLAAPVGAVSSHYPRPSYAVNFPDINNNGAAESSRKWVRQGLDLNAGPLGPDIEGRVETSALASRQLSVASSPALAEEQSRMYQVTGGGALKRKEPEGEWEGYKQSSWQ >Potri.012G077700.10.v4.1 pep chromosome:Pop_tri_v4:12:10171361:10176795:1 gene:Potri.012G077700.v4.1 transcript:Potri.012G077700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G077700.v4.1 MLPERNERKVDLVGRSLLAGAIAATDKFDCLNRFVQLRGLPVFDEWLQEVHKGKIGDGNSHKDSDKSVEEFLLVLLRALDKLPINLHALQMCNIGKSVNHLRTHKNLEIQKKARSLVDTWKKRVEAEMDANTKSGSNHGVSWTARSRLPEVSHGGNRPGVSSEVAMKSSVVQLSASKSGPVKLVQGETVTKSGSSPGPIKPAASPNAAGNNLKDGQPRNTGVSGAMDLPVSAARDEKSSSSSQSHNNSQSCSSEHAKTVGLSGKDDARSSTAVSMAANKIIGGSLRHRKPVNGFSGPALSGAQRDSGSSRSSPLHKNPGSEKLQQSSLACEKVLDAPMAEGNNHKIIVKIPNRGRSPAQSSSGGTFEDALVMSSRASSPVVSERHEQFDHNLKEKNDPYRANITSNVKTESWQSNDFKEVLTGSDERDGLPANVPDKEHGQTGDDARKLGEVSKTTPSLTVFELKSEKSYDASFSSMNALIESCAKYSEGNAAMTVGDDVGMNLLASVAAGEMSKSDVVSPTNSPCISMPIERSWAPSGLRGKSSPCDDPAQSQGKSADGVDDDDEKRVTVVGTPPSKNTEAKTVLFSQEKHAGELNGPSNSSNVDAAEPCMESNVKSDETLAAPVSSASMAVRTSNCGGKEPWEKEGDGISDDKNKLLHSSVLTEVNYTGVQVGTEAIEGSSSNHHVEVDGENNKNMNKELNVSIHADPKPPAMMQSDFSKGTNDEMPQPSSSGKDMISENMHDVKAGETDGRSHSTEKKKIKHESNTAPAATDHESECKVESLGGNQGNKQCSARPAAHKAEPTLVQASEQVVRSTGSKLAGSGADETEECTSAAADASSLSATGGLDLETKVEFDLNEGFIADDGKYEEPNNLREPACSAAIQLISPFPLPVSSVSSGLPASITVAAAAKGPFVPPEDLLKSRGELGWKGSAATSAFRPAEPRKALEISLGTANISLPDEMVSKPGRPLLDIDLNVPDERILEDLAFRISAQDTVSVSDLAKNSDCARDTLMGSLSGRSFGGFDLDLNRADEASDMGNHLTSIGRRLDAPLLPAKLSSGGLLNGEVSSRRDFDLNDGPLVDEVSAEPSPHSQHARNIVPSQPSISSLRINSSETGSLPSWFPQGNPYPAATIQSILHDRREQPFPIVATGGPRRMLAPSTGNNPFNSDIYRGAVLSSSPAVPFPSTPFQYPVFPFGNSFPLPSATFSGGSASYVDSSSGGRLCFPTVPSQVLAAPRAVGNG >Potri.004G188800.1.v4.1 pep chromosome:Pop_tri_v4:4:20138282:20140973:1 gene:Potri.004G188800.v4.1 transcript:Potri.004G188800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188800.v4.1 MSSMEFWGVEVKAGEPLKVEPKDFYMIHLSQAALGESSKKGNESVPLFLKLDEKKLVLGTLSPDKIPQLSFDLVFEREFELSHNWKNGSVFFCGYQAAIPENDSDFSDDGDEIPFEKVENVKFATGTDKAAKPEKPKANPVVPSKDDESDDDDSDDDSDEDDSGDGSEGMSLEEDLDDESDSEDEETPKKAEQSKKRTSDSAIKTPVSSKKAKTATPQKTDGGKAGQVTPHPAKGKAASNGNNPKTPKSGGNFSCKSCERSFGSDGALKSHSQAKHGDK >Potri.002G191400.2.v4.1 pep chromosome:Pop_tri_v4:2:15345271:15347885:1 gene:Potri.002G191400.v4.1 transcript:Potri.002G191400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191400.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Potri.006G011400.1.v4.1 pep chromosome:Pop_tri_v4:6:761140:762282:1 gene:Potri.006G011400.v4.1 transcript:Potri.006G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011400.v4.1 MSSTSSASTSSSAFTEMTANIPSDIISDILTRLPVKSLTRFKSVSKSMLAFLGNPEFVKQHLKRANLKNPNLVLKHDSKLFYVEDEEWSKARRLPLPFSLCLEKVEISGSCNGILCISDQQCNQDIFLLNPSTGVFKHLPFSGFDIAAVENSFTTMGFGYHQAEDDYKVIRCVYIYDKPFIDIDSYECEARVYSLKAGEWKDIGTIPYHLGYKAAIWLGNDFLIWKATIGLGRTGRYLIVSYDMSKEEFKEIPQPIVNYNDELHMEVSVFDGLLSTFYLSKYDEAHIWSMKEYGVTDSWELRVVIKLPWRVENYNYIFLKPLTILKNGEILIEAGEKARILHDPKKDSYRIINPIRGVPRRFLVTPIVGSLVSPFDYHQG >Potri.015G089201.1.v4.1 pep chromosome:Pop_tri_v4:15:11256736:11258586:-1 gene:Potri.015G089201.v4.1 transcript:Potri.015G089201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089201.v4.1 MASQGRPLPKFGEWDVNNPASAEGFTVIFNKARDEKKTKNSPEKVVSPRRTEPGYNKNDKNENYKHPPKRRWLCCS >Potri.004G231300.4.v4.1 pep chromosome:Pop_tri_v4:4:23507021:23512542:-1 gene:Potri.004G231300.v4.1 transcript:Potri.004G231300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231300.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWENTPRNWVGADPCGGKWEGISCHNSRVTSITLAAVGLTGELSGDISSLSELEVLDLSDNTGLNGTLPPSIVNLKKLKNLKLAGCSFSGPIPELIGSLQLLEFLDLNSNRFTGSIPHSLGNLSKLIVLDLFNNLLDGAIPVSSGNTSGLDMLVNALHFHLGGNQLSGTIPKELFRSDMTLIHVILHDNNLTGSIPSTLGLVHTLEAVRFEGNSLTGPVPPNLNNLTTVKTLMMENTGLEGQIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQLEAIDMRNNLISFYSETPEQRNNVDVILVGNPVCGRTEATEHYCTVHQAISSFLLPCISDQISSPNSKFSYPYTGVLFFRPPFLESRNATYYPRLVEESLMLSFKNSRLPVDSVYVNCPTNDSLGNLESNVSVFPSGQNHFNTTTISEIGSVLNLQTIENPDIFGPSHFKGAAYPYFDGKPSVSNKLWSTGSIIGAAAGGASFLLLLLLAGVYAYRLKKRGERASKHKNHFGYLDLKNTDRVPQLKGARCFSFDEITKSTNNFSEANHIGSGGYGMVYRGMLPTGQLIAIKRCRQGSVQGGLEFNSEIEVLTRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLKVALGAARGLAYLHELVKPRIIHRDVKSANILLDESLNAKVADFGLSKPMDNSELILATTQVKGTRGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYNLHELLDPSIGLDTKPKGLDKILDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.004G231300.7.v4.1 pep chromosome:Pop_tri_v4:4:23507021:23512542:-1 gene:Potri.004G231300.v4.1 transcript:Potri.004G231300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231300.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWENTPRNWVGADPCGGKWEGISCHNSRVTSITLAAVGLTGELSGDISSLSELEVLDLSDNTGLNGTLPPSIVNLKKLKNLKLAGCSFSGPIPELIGSLQLLEFLDLNSNRFTGSIPHSLGNLSKLIVLDLFNNLLDGAIPVSSGNTSGLDMLVNALHFHLGGNQLSGTIPKELFRSDMTLIHVILHDNNLTGSIPSTLGLVHTLEAVRFEGNSLTGPVPPNLNNLTTVKTLILSNNKFTGPVPNLTGMAYLSYLMMENTGLEGQIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQLEAIDMRNNLISFYSETPEQRNNVDVILVGNPVCGRTEATEHYCTVHQAISSFLLPCISDQISSPNSKFSYPYTGVLFFRPPFLESRNATYYPRLVEESLMLSFKNSRLPVDSVYVNCPTNDSLGNLESNVSVFPSGQNHFNTTTISEIGSVLNLQTIENPDIFGPSHFKGAAYPYFDGKPSVSNKLWSTGSIIGAAAGGASFLLLLLLAGVYAYRLKKRGERASKHKNHFGYLDLKNTDRVPQLKGARCFSFDEITKSTNNFSEANHIGSGGYGMVYRGMLPTGQLIAIKRCRQGSVQGGLEFNSEIEVLTRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLKVALGAARGLAYLHELVKPRIIHRDVKSANILLDESLNAKVADFGLSKPMDNSELILATTQVKGTRGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYNLHELLDPSIGLDTKPKGLDKILDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.004G231300.8.v4.1 pep chromosome:Pop_tri_v4:4:23507021:23512513:-1 gene:Potri.004G231300.v4.1 transcript:Potri.004G231300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231300.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWENTPRNWVGADPCGGKWEGISCHNSRVTSITLAAVGLTGELSGDISSLSELEVLDLSDNTGLNGTLPPSIVNLKKLKNLKLAGCSFSGPIPELIGSLQLLEFLDLNSNRFTGSIPHSLGNLSKLIVLDLFNNLLDGAIPVSSGNTSGLDMLVNALHFHLGGNQLSGTIPKELFRSDMTLIHVILHDNNLTGSIPSTLGLVHTLEAVRFEGNSLTGPVPPNLNNLTTVKTLILSNNKFTGPVPNLTGMAYLSYLMMENTGLEGQIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQLEAIDMRNNLISFYSETPEQRNNVDVILVGNPVCGRTEATEHYCTVHQAISSFLLPCISDQISSPNSKFSYPYTGVLFFRPPFLESRNATYYPRLVEESLMLSFKNSRLPVDSVYVNCPTNDSLGNLESNVSVFPSGQNHFNTTTISEIGSVLNLQTIENPDIFGPSHFKGAAYPYFDGKPSVSNKLWSTGSIIGAAAGGASFLLLLLLAGVYAYRLKKRGERARYLDLKNTDRVPQLKGARCFSFDEITKSTNNFSEANHIGSGGYGMVYRGMLPTGQLIAIKRCRQGSVQGGLEFNSEIEVLTRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLKVALGAARGLAYLHELVKPRIIHRDVKSANILLDESLNAKVADFGLSKPMDNSELILATTQVKGTRIQNIRRPCS >Potri.004G007400.1.v4.1 pep chromosome:Pop_tri_v4:4:442206:444060:-1 gene:Potri.004G007400.v4.1 transcript:Potri.004G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007400.v4.1 MAYNPSSCSCFHHSFCLLFIIFSFPYFFLTKAQAAGHCRTSCGTIPISYPFGIDDGCGSPYYRHILVCSDSGFLELRTPSGRYQVRSISYSDPHMIVTDPFMWSCQDGHHFRATRPFSLDTSTHLTLSSQNDYLFFNCSEERVIVQPKPIFCERFPDRCDSTCDSASYLCRHLPGCATALGGSSCCSYLPKATESLRLMLKYCASYASIYWRTGVNAPDDQVPEYGIRVDFDIPVTTDCLQCQDMKKGGGSCGFDTQSQSFLCLCNQRSNVTTYCNDHSSTTSHSKAGVIAGTVTGVSAAGAIGIGAGLWYWKKVRATAPVTCGVQSNENRLF >Potri.019G097580.1.v4.1 pep chromosome:Pop_tri_v4:19:13488950:13494093:1 gene:Potri.019G097580.v4.1 transcript:Potri.019G097580.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097580.v4.1 MAMFFLFLSIFLFAHIWRFIIRSRHISPSPSTPSTLTTAQPQVIKYDVFLSFRGEDTRFDFTSHLHAALKRKQILTFIDDQQVRGDEIPESLLRTIEEAKLSVPVFSENYASSKWCLEELVKIFERRKNNGQIVIPVFYKVNPSHVRSFRDAFAGLIKNKTLTEYKEKSFRDALTDTANLSGWTLGKSEPESEFIDKIVGDVMNKLQAMSSSHTRGLFGIDVRVNKVESLLNMESPDVLIVGIWGMGGIGKTTIAEVVCNKVCSLFEGIFFVNFRQQLKAHPMADLRRRFLSRLLGQEIMNRGSLSFRDSFVRDRLRRIKVLIVLDDVDNLMCLEEWRDLLDGRNSSFDAGSKVLITSRDKQVLNNVVDDTYEVEGLNYEESLQLFSSKALKNCNPTIDHRHLIAQIVSLVQGNPLALIVLGSSLYGKSIKE >Potri.005G246300.1.v4.1 pep chromosome:Pop_tri_v4:5:24089342:24090825:-1 gene:Potri.005G246300.v4.1 transcript:Potri.005G246300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246300.v4.1 MGSIPKNPHAGVLLGAPGVKGKRVTTISKNGLTEFMLSIISKKQETKEPFYVLDLGEVTALMDKWLMTLPMVHPFYAVKCNPDPALLGSLAALGSNFDCASRAEIESVLSLGVSPNRIVYANPCKQESHIKYAASVGVNLTTFDSKEELDKIRKWHSKCALLIRIKAPDDSGARCPLGPKYGALPDEVTPLLQAAQTAQLNVVGVSFHIGSGATRSRAYEGAIASAKSVFEAAVRLGMPGMKILNIGGGFTAGSQFDEAATTIKSALQAYFPNEPGLTVISEPGRFFAESAFTLATNIIGKRVRGDLREYWINDGIYGSMNCILYDHATITCTPLACNSNHANLTCKGLRAYSSTVFGPTCDALDTVLTGHQLPELQINDWLVFPNMGAYTAAAGSSFNGFNTAAILTHLASSNMN >Potri.014G099100.2.v4.1 pep chromosome:Pop_tri_v4:14:6499711:6505197:-1 gene:Potri.014G099100.v4.1 transcript:Potri.014G099100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099100.v4.1 MELLNPPLPNKPLVFSSTSLFTPKFSIKICNTKTPSKFLSIPFCLPFSTTRRIFHVSAHFGRPAGNRRNSLRKKLIDGQQVREKTTTFQNHSYGFENSEFSFDNGNNSVENLDRVSVKESDFGNGFDVDKSDSLIGGQNKLEKMGDSVLLSKLDKWVDQYSKDTAYWGTGSAPIFTVFHDLEGNVKRVLVNEDEILKRSGNEKREVGDLTEENSKILYAKGLAREMERGGNVIPRNSSVAKFVVDRVESRFFNRIHGVVHQQEFIPVVSRVGTMVFCGFVAIWAVKKLFSFGNKEEQCTELEKEMMRRKMKSRKEREMLEKGRVGVVQESLEPPMVLTERPKLDKQELMKNIFKAKAASKNELLLVDSSNSQTTNAMDFDREIQTIREMAKQVRESETRELNKGMEEKQPVNEEPFSEMQIVEEHKEVASFPSETHNKDSVDRRDVDVIIVKKKLNETESDDTGYHPKLSAEENKVMQESGTSSINFSDDRETMVRGDVIHSFVPDGDSCKSNNRSIRPKPRVIRSVKEAREFLAKKGVKHIQEPQFIAVQESTSVLGIPDDEEFSGKTSRRGAVEEKVSEPIISGRISESGPAANACEDLTRKEKEFVPAKNDNSKNQQGVHDLQKPRTSLNHGINGSITERRQSVGTENWIEKNFDEVEPIVKKIGEGFRENYKVAKEIASQHPNSSIDITQLEYSQNDNELEWMKDDGLRDIVFRVRENELAGRDPFYQMDAEDKLKFFKGLEKKVEKENEKLVQVHEYLHSSIENLDYGADGISLYDSPEKIIPRWKGPPLEKNPQFLNNFLEQQNAIAATNAGTSYPVKKDEDNLLQKSNKSSVDESVGTSLPNYASKKLSCMDSKNSKVVIEGSDGSVRSGKKSGKEYWQHTKKWSRGFLESYNAESDPEVKSTMKDIGKDLDRWITEEEIQEAADLMTKLPERNKLIEKKITKLKREMELFGPQAVVSKYREYAEEKEEDYLWWLDLPHVLCIELYTIENGEQKIGFYSLEMAADLELEPKPCHVIAFEDAGDCKNLCCIIQAHMDMVGTGHAFVVPRPPKDAFREAKANGFGVTVIRKGELQLNVDQMLEEVEEQVAEIGSKIYHDKLMGERSVDINSLMKGVLGVGGQATRSRRRRSKRKLRKPGKK >Potri.005G030901.1.v4.1 pep chromosome:Pop_tri_v4:5:2011296:2015022:1 gene:Potri.005G030901.v4.1 transcript:Potri.005G030901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030901.v4.1 MLRWPYLLNPPLDHSDFWIRCSPYTPLLTDRSDCKEHNPFDINHHLEFPGKQKGNAPVGQFGPRPIFLSRPPLDHAQFWICGEPRCYLNSGRLRSETISPARFRAC >Potri.004G137200.3.v4.1 pep chromosome:Pop_tri_v4:4:15873890:15878250:1 gene:Potri.004G137200.v4.1 transcript:Potri.004G137200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137200.v4.1 MASSSRKIHNGYDYSCPLEGGEEDREWLQLGLGLGISRITTPPTTCRKQENNIIEKSKYTVPGSAVSSSSVHFQAHQQIGHSLGLELGLGIVGLNGGSGGVRRDKVVAPLSNYCHKSSLCQDNQGQEDHDYNVDLTARLSSPSCPLHMISSSSTGFLGWKMPVSNVSHNCSSLLPPPLPLFSPTTTITTRPHFAGLWFTLSSSINREGEALPQIPKAYIRVKLKYHAWGRN >Potri.004G137200.1.v4.1 pep chromosome:Pop_tri_v4:4:15873890:15878250:1 gene:Potri.004G137200.v4.1 transcript:Potri.004G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137200.v4.1 MASSSRKIHNGYDYSCPLEGGEEDREWLQLGLGLGISRITTPPTTCRKQENNIIEKSKYTVPGSAVSSSSVHFQAHQQIGHSLGLELGLGIVGLNGGSGGVRRDKVVAPLSNYCHKSSLCQDNQGQEDHDYNVDLTARLSSPSCPLHMISSSSTGFLGWKMPVSNVSHNCSSLLPPPLPLFSPTTTITTRPHFAGLWFTLSSSINREGEALPQIPKAYIRVKDESVTVFMVKKYLVRKLGLSNEDEIEISCMGQKLMHAETLKQVRDAIWLPGLVDSVNSTTISLENSLINGSILHQLMSLHYHVPCDV >Potri.013G157500.2.v4.1 pep chromosome:Pop_tri_v4:13:15245866:15253246:-1 gene:Potri.013G157500.v4.1 transcript:Potri.013G157500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157500.v4.1 MGTKICMNASCQTATTHEWKRGWPLRSGGHALLCYNCGSAYEDSLFCDTFHSEEPGWRECNICSKHLHCGCIASKFLLELLDYGGVGCASCARSSRLHLMQSDEIPNGFSFLARNNAGDSESIPAENTVAGNSNDEGGLAQLCRLLEANEPSLLHPSKRANTNGGLGQFRQEEIMHAIGDIGTGFSNVTQPSIGSSKFSKPNNTSSLLDLRDMHSSLSQPSLSMALGAPSGTTNFVTFPDGAVEGKEQRKTPSSFQQGQRSRPILPKPSKPGLSVSSENNIGAASELRIARPPAEGRGKNQLLPRYWPRITDQELQQLSGDLNSNIVPLFEKILSASDAGRIGRLVLPKACAEAYFPAISQSEGIPLRIQDIKGREWTFQFRFWPNNNSRMYVLEGVTPCIHSMQLKAGDTITFSRIDPGGKLVMGFRKSTNNDEDTQDVQASGLLDGTASGETSFSADGDIGWNNSENHRGRINGDLLQQTTAPTEKKRTQNIGPKSKRLFMHSEDAMELRLTWEEAQDLLRPPPSVKPTIVTIEDHEFEEYDEPPVFGKRTIFTSRSSGGQEQWAQCDDCSKWRNLPVDALLPPKWTCSENAWDSSRCTCSAPEEMTSKDLDNVLGVSKDFKKRRNLRSQKRFQERESSGLDALATVAVLGDNLDDSGDPSVGATTKHPRHRLGCTCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMLRKKKRQSEREAEISQKDNMDHKEESEMNGTMSHEVLHINNPDTEGNQSQRQIEKPETSAGQIDLNCHPNSEDTSLEIPGLSTMNLVDTANSPLDNYIKQNGLSSFVWDHQGGPAQKSGESLRRLSDEAFLASIGWTHESIRD >Potri.019G001602.1.v4.1 pep chromosome:Pop_tri_v4:19:791744:801653:1 gene:Potri.019G001602.v4.1 transcript:Potri.019G001602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001602.v4.1 MTSASSSSHSSSKPWIYDVFLSFRGEDTRKNFTDHLYFAFKDAGINTFRDDNELRRGEDISTELLQAIQKSRISVIVFSENYANSRWCLEELVKIMECRRSCRQLVFPIFYDVDPSDVRKQTGSFAKAFAGHEERFVLQTDKGKVATWRMALTEAANLSGWDLRNVADGHEAKFIKKIVREISRELSSTYLFVAFYPVGINPRVQQLNFLLNAGSSEVCIVGIYGMGGIGKTTIAKAMYNELFHSFDGKCFLANVREISQQPDGHVKLQEQLLFDILKTDKIKIGNVDKGMNMIKERLHSRKVLLILDDIDKLDQLQAIAGSRDWFGSGSRIIVTTRDKHVLTVLGADRVYMAREMNDIEALELFSWHAFRTSHPVEDYKELSEQIVDYCGRLPLALEVIGSFLFGRSIVEWKSALEKLRRIPDDQIQKKLQISFDGLNDDTQKDIFLDISCYFIGMYKEYVLPILNGCDFFADIGLSVLTQRCLVSVNEKNKLIMHDLLRDMGREIVRAQSPNNPGRRSRLWIPEEVGDILRRNMGTEAIQGMAINLLKVNDMKVDVNVFCNLQNLRLLQLNHVKLAGGCEYLLRKLTWLCWHGFPLSFIPDGLYGENLVAIDMRHSNLRQVKNSKFLWKLKFLNLSHSHYLSRTPDFSRLPHLEKLKLKDCRSLVEVHNSIGYLDRLVLVNLKDCKQLRRLPSSFWKLKSIEILYLSGCSKFDELPEDLGDLESLTILHADDTAIRQVPSTIVRLKKLQDLSLCGCKGSTSATLPSRLMSWFLPRKIPNPTNLLPPSFHGLDRLTSLSLRGCNLSDDALPKDLGTLPSLTNLELDRNSFQSLPAGLSSLLRLTSLRLDDNTRLQTIPALPRNLDTLRASNCTSLERLPDISVASRMRLLYIANCPKLTEAPGLDKSRSISHIDMEGCYDISNTLRNSMHMGCFSGLVLPGNEIPALFNYKNEGASILFKLPEFDGRNLNGMNVCIVCSSHLEKEGTKQIRIKLTNYTKGFTKKFRAVAVNLVKSCEDHLWQGHISNNFFKLGSEDEVELIVDCMNTMTVKKTGVYLVYEQDQARLKAKRGLDSDDEAGSSCDNLLLAKRLRMETSPQNIEENMDVA >Potri.001G186200.1.v4.1 pep chromosome:Pop_tri_v4:1:16617878:16621747:-1 gene:Potri.001G186200.v4.1 transcript:Potri.001G186200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186200.v4.1 MHTLVHSPSATTNMLDRVLSSRRVTSHLDDAETDASADDSKTKKQNLSLLASNYLSRVSLFCICPTACLLICLLLAVILITSLAFHSRSFVCVSDPGSRAGFFGLEGLESDFGSLGVPWCRSKHGKTVQWTSKDLIKGLEEFVPIYESRPIKNNMYGMGFDHSFGLWFTARWLKPVLMIESGAFKGHSTWVLRQAMPDTPIISLSPRHPEKYLKKGPAYVDGNCTYLAGKDFLDFGSVDWKSMMKKHGITDLSRVLIFFDDHQNELKRVKQALDAGFRHLVFEDNYDTGTGDHYSLRQICDQSYIRGGGHSCFRDSDEARIRLKRKLFWEKAVGIDELCGPNEAWWGVGGWMRDDFNHSNKPISYSEHFQNSRFIESILDVYWELPPVAGPSLTHQTRYDPARATSPIVEDGRYGLFQRLGLSRLDRSVFNGYTQMVYLEISEQES >Potri.002G003800.1.v4.1 pep chromosome:Pop_tri_v4:2:230465:232042:-1 gene:Potri.002G003800.v4.1 transcript:Potri.002G003800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003800.v4.1 MAGYESTSTGNNSRYLHHNHNLLRPELHLIQRPSTIPSSDSKENNTPSPDHAKPIATSDHHPDRTTSGTSSGGGGTNPSSRPRGRPAGSKNKPKPPIIVTRDSPNALRSHVLEVSSGADIVESVSNYARKRGIGVCVLSGSGSVANVTLRQPASPAGSVLTLHGRFEILSLSGTVLPPPAPPGAGGLSIFLSGGQGQVVGGNVVGLLMAAGPVVLMAASFANAVFERLPLDDQEEAGAVQVQPTASQNSGVTGSGGQMGDGGGGSSTGGGGFFPMGGAHHGTYPFSADLFGSWGGNASRPPF >Potri.008G061300.1.v4.1 pep chromosome:Pop_tri_v4:8:3680113:3682252:-1 gene:Potri.008G061300.v4.1 transcript:Potri.008G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G061300.v4.1 MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVQRWLRELRDHADSNIVIMLAGNKTDLNHLRSVSAEDAQMLAEKECLSCLETSALEASNVEKAFQTILLDIYQIISKKALAAQEAANSTGLPQGTTINIGNLSTNINKKACCSN >Potri.012G105800.2.v4.1 pep chromosome:Pop_tri_v4:12:12756450:12763486:1 gene:Potri.012G105800.v4.1 transcript:Potri.012G105800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105800.v4.1 MWKLQEEERELDLGSEELDPPLPPTVTSRVLYMLGDITAGPAYRFAQWLELVRKRSDKYRASGFPRRPYRLETMPSSVGESLVDSKSPPPEQAPEVSLWERLGKAAALDIESSSFSWDMLSSLHHTEHSSSTENSEDEMSKALEVTVNSGGVVFFALFNQQGNADAFHKESAAVIKFSSSRMATQSERLGYEFAKWLGVQTPQARVVQNCSPEWLQIKEAAEKARVTAASEGDEVGEVTCSELLEALELSRCLLLMSYVHGSPLLESSNAFEPRETGERIAAALGRVFLLDLVIRNEDRLPCRELRWRGNPANLLLAEKMTSSNVNALEVASDSAINRHRPKVIKALQKERRATSLNSKFNTHNRVPGLVSQGSDVSDITESPKSNKMPRVRKSGESSFSDLISHAVAIDSGVPRRPPAEKRTNDQANYPKLIELLLNSSDYTSNLLYEVTGGKLGAPPLVGTDFTDTQVTEMASVVQEFRGGFRAALRDLQGFHVFLLTLHQKLDGLLRVLLNIANKTSGDTDREDLVIPESPSHGVLHYPSPPSKERFLNDNHSDFSDSDSQRMAQTPRSSLGSKESSDSSSPMSRESWHGKLSKGSGEPLRSLCLTTKLREILKFAKVDTETNEELEHWNEMLRNDAIKLCQENNFNTGYFEGSDSNCVVDAYELKVRLEHILERISLISEAANTEKPSLITNSLFIGGTLAARSVYTLQHLGITHILCLCANEIGQSELQHPDLFQYKNFSISDHEDSNISSIFGEASDFIDHVESIGGRVLVHCFEGRSRSATLVLAYLMLRKKFTLLEAWNALRRAHRRAQPNDGFAKALLDLDRQLHGKVSMEWQRRKPEIKVCPVCGDNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTMEIQKALDALKMTRGGSVSPTLRQSSPSLDG >Potri.012G105800.1.v4.1 pep chromosome:Pop_tri_v4:12:12755976:12763623:1 gene:Potri.012G105800.v4.1 transcript:Potri.012G105800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105800.v4.1 MMSKDEKEDTLSIINTTVQVQEEERELDLGSEELDPPLPPTVTSRVLYMLGDITAGPAYRFAQWLELVRKRSDKYRASGFPRRPYRLETMPSSVGESLVDSKSPPPEQAPEVSLWERLGKAAALDIESSSFSWDMLSSLHHTEHSSSTENSEDEMSKALEVTVNSGGVVFFALFNQQGNADAFHKESAAVIKFSSSRMATQSERLGYEFAKWLGVQTPQARVVQNCSPEWLQIKEAAEKARVTAASEGDEVGEVTCSELLEALELSRCLLLMSYVHGSPLLESSNAFEPRETGERIAAALGRVFLLDLVIRNEDRLPCRELRWRGNPANLLLAEKMTSSNVNALEVASDSAINRHRPKVIKALQKERRATSLNSKFNTHNRVPGLVSQGSDVSDITESPKSNKMPRVRKSGESSFSDLISHAVAIDSGVPRRPPAEKRTNDQANYPKLIELLLNSSDYTSNLLYEVTGGKLGAPPLVGTDFTDTQVTEMASVVQEFRGGFRAALRDLQGFHVFLLTLHQKLDGLLRVLLNIANKTSGDTDREDLVIPESPSHGVLHYPSPPSKERFLNDNHSDFSDSDSQRMAQTPRSSLGSKESSDSSSPMSRESWHGKLSKGSGEPLRSLCLTTKLREILKFAKVDTETNEELEHWNEMLRNDAIKLCQENNFNTGYFEGSDSNCVVDAYELKVRLEHILERISLISEAANTEKPSLITNSLFIGGTLAARSVYTLQHLGITHILCLCANEIGQSELQHPDLFQYKNFSISDHEDSNISSIFGEASDFIDHVESIGGRVLVHCFEGRSRSATLVLAYLMLRKKFTLLEAWNALRRAHRRAQPNDGFAKALLDLDRQLHGKVSMEWQRRKPEIKVCPVCGDNAGLSSSSLKLHLQKSHKKLSSGSVDSAMTMEIQKALDALKMTRGGSVSPTLRQSSPSLDG >Potri.003G096375.1.v4.1 pep chromosome:Pop_tri_v4:3:12233215:12234669:1 gene:Potri.003G096375.v4.1 transcript:Potri.003G096375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096375.v4.1 MDIDPWQHRTRSIIAPSVQFILLLGFFFFLLSPSGKKERREVSIIALILVWCEFSVLCLKVKLISILTD >Potri.015G062200.7.v4.1 pep chromosome:Pop_tri_v4:15:8676960:8678110:1 gene:Potri.015G062200.v4.1 transcript:Potri.015G062200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062200.v4.1 MATVSTQASAAVFRPCAYKSRFLAGAPSKLNRELSIKPMASSSPSFKVEAKKGEWLPGLPSPSYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPNECGYPGGIFNPLNFAPTIEAKEKELANGRLAMLAFLGFVIQHNVTGKGPFDNLLQHISDPWHNTIVQTFSGN >Potri.015G062200.2.v4.1 pep chromosome:Pop_tri_v4:15:8676822:8682856:1 gene:Potri.015G062200.v4.1 transcript:Potri.015G062200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062200.v4.1 MATVSTQASAAVFRPCAYKSRFLAGAPSKLNRELSIKPMASSSPSFKVEAKKGEWLPGLPSPSYLNGSLPGDNGFDPLGLAEDPENLRWFVQAELVNGRWAMLGVAGMLLPEVFTKIGIINAPQWYDAGKAEYFASSSTLFVIEFILFHYVEIRRWQDIKNPGCVNQDPIFKQYSLPPNECGYPGGIFNPLNFAPTIEAKEKELANGRLAMLAFLGFVIQHNVTGKGPFDNLLQHISDPWHNTIVQTFSGQ >Potri.018G017200.2.v4.1 pep chromosome:Pop_tri_v4:18:1171830:1179201:-1 gene:Potri.018G017200.v4.1 transcript:Potri.018G017200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017200.v4.1 MSSFSITRKKTPFQKHREEEEARKKRAEDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNEKLKIDSKGEKSKDGVSVPKKGSRYVPSFIPPPMASKGKELEKKREEERPKEKEKGKTRNIDHFMEELKHEHEMRERRNQEREHWREGRHNESSAPSSRFDELPDDFDPSGKLPGSFDDVDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRVDGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGQMAIRSKEGATVILSGPSGPPVTSVPNQNSELVLTPNVPDIMVAPPEDDHLHHMIDTMALYVLDGGCAFEQAIMQRGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTAKSPEHEKESGSTYAAGRSRRVDSERTLTDPQRDEFEDMLRALTLERSQIKDAMGFSLDNADAAGEVVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEAALPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSSNSGVIPFHSICGDAPEIEKKSSSEDAVEGAKINQDAALAMGKGAAVKELMNLPLAELERRCRHNGLSLVGGREMMVARLLSLEEAERQRGYELDDDLKIAQSNSSSSRYSSVHREMNVEAEPVGSTGWNVYGEDEMPSQNKGSVSVASTLLIKQPELKAFAKKEKNDPVLPASKWARDDDESDDEQKRSARDLGLSYSSSGSENAGDGQGKADEMEFATDANIPTQPDSGMNEEQRQKLRRLEVALIEYRESLEERGMKSSVEIEGKVAIHRKWLESEYGLSSSNEDVTSKKSISSERRDRRSDNHDSSRKRHRNESRSESPQRKLSLRDRERGNDSTGDREGHRERLESERRDRDRREKSGSRELDDHDRSRDRDRRRRVK >Potri.018G017200.6.v4.1 pep chromosome:Pop_tri_v4:18:1171861:1179138:-1 gene:Potri.018G017200.v4.1 transcript:Potri.018G017200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017200.v4.1 MSSFSITRKKTPFQKHREEEEARKKRAEDETARLYAEFVESFQGDNAPGSKTFVRGGTINPNEKLKIDSKGEKSKDGVSVPKKGSRYVPSFIPPPMASKGKELEKKREEERPKEKEKGKTRNIDHFMEELKHEHEMRERRNQEREHWREGRHNESSAPSSRFDELPDDFDPSGKLPGSFDDVDPQTTNLYVGNLSPQVDENFLLRTFGRFGPIASVKIMWPRTEEERRRQRNCGFVAFMNRVDGQAAKDEMQGVVVYEYELKIGWGKSVALPSQALPAPPPGQMAIRSKEGATVILSGPSGPPVTSVPNQNSELVLTPNVPDIMVAPPEDDHLHHMIDTMALYVLDGGCAFEQAIMQRGRGNPLFNFLFELGSKEHTYYVWRLYSFAQGDTLQRWRTEPFIMITGSGRWVPPPLPTAKSPEHEKESGSTYAAGRSRRVDSERTLTDPQRDEFEDMLRALTLERSQIKDAMGFSLDNADAAGEVVEVLTESLTLKETPIPTKVARLMLVSDILHNSSAPVKNASAYRTKFEAALPDIMESFNDLYRSITGRITAEALKERVLKVLQVWSDWFLFSDAYVNGLRATFLRSSNSGVIPFHSICGDAPEIEKKSSSEDAVEGAKINQDAALAMGKGAAVKELMNLPLAELERRCRHNGLSLVGGREMMVARLLSLEEAERQRGYELDDDLKIAQSNSSSSRYSSVHREMNVEAEPVGSTGWNVYGEDEMPSQNKGSVSVASTLLIKQPELKAFAKKEKNDPVLPASKWARDDDESDDEQKRSARDLGLSYSSSGSENAGDGQGKADEMEFATDANIPTQPDSGMNEEQRQKLRRLEVALIEYRESLEERGMKSSVEIEGKVAIHRKWLESEYGLSSSNEDVTSKKSISSERRDRRSDNHDSSRKRHRNESRSESPQRKLSLRDRERGNDSTGDREGHRERLESERRDRDRREKSGSRELDDHDRSRDRDRRRRVK >Potri.001G071300.1.v4.1 pep chromosome:Pop_tri_v4:1:5389812:5392486:1 gene:Potri.001G071300.v4.1 transcript:Potri.001G071300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071300.v4.1 MEEMQLLIDVTEELQNKADASMQNILWNKRSIYKVPASVTALNRAAYRPQTVSIGPYHSYDDQLKPMEEHKHRALYYFLKRSGKSLELFLLSLNEVVQDLKDSYDQLDKSWNDDTGKFLQLMVLDGCFMLEIMRLAIQPSNDYAADDPVFSIHGRVYVAPFIRRDMLIVENQLPMLVLYKLVATESDGEKNEEFVNKLLLTFCHPNASVSKWGKCLHVLDLYRKSLLREDANRKRRHRRVWGGLHKDVDDIVRSATKINETGIQFKKSKTRSLKEISFHHGVLELPVIVVDDVTETLFLNLMAFERFHVGAGNEVTSYVFFMDNIIDNERDVALLHSRGIIQNAIGSDKAVAKLFNSLSKDIALDPDSSLELVNRQVNAYCKEPWNAWRANLIQTYFRNPWAILSLVAALILFALTIAQTVYSLIEFYEEGSPSPTPMVSSPPPIPPPTFPRTLYLNLHGR >Potri.012G032900.1.v4.1 pep chromosome:Pop_tri_v4:12:810414:812494:1 gene:Potri.012G032900.v4.1 transcript:Potri.012G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G032900.v4.1 MIDQSILCPIKYTEHKKVTKKFTKQPLKPNMVHSDDRCRSPEPSNPAPRLVRVTVTDHDATDSSSDEEGELFGRQRVKRYVSEINIQPAAFCKETNVIATTIAKNRKRSVGDIPQKPAKRLTAQSTTNGRKFRGVRQRPWGKWAAEIRDPARRVRLWLGTYDTAEEAARVYDNAAIKLRGPDALTNFTTPPSREEDQEEEKSSPVEESEEKKPEINVETVSGSGYESADESHSLSSPKSVLTFRLPSAESHKPPSQPFQEAKMEPKHQDNEEAFGESNLSDYLPLDSPFLDDFFNFGTSGPTLFDDQVIATTTTATSIFQESIMCDQDFGDIFLDPLQDFTSLPSVCQEDDDFFHFLNSEPLTAL >Potri.002G069151.1.v4.1 pep chromosome:Pop_tri_v4:2:4804481:4804642:1 gene:Potri.002G069151.v4.1 transcript:Potri.002G069151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069151.v4.1 MMSGSHGLNVVLLNKLKRSFGFPDDYLIRILPKYTDMFMLVNHSGRRRSMGID >Potri.009G151600.1.v4.1 pep chromosome:Pop_tri_v4:9:11944579:11945085:-1 gene:Potri.009G151600.v4.1 transcript:Potri.009G151600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151600.v4.1 MTRQGILLRSPSSNRRMQPLLTETTGSKMVPTGEGREKNLARTKTQSFGEVAGGTAAVCCCCPCTVINLLVLAVYKVPACLCKKAKTRHRLRKKKQKERSLLSPSSSGSREEELQSEKKATEVVEKGKCCDHHDHNHDEETEAVDLEKQMWDQFYNTGFWRSPSQRGT >Potri.005G059500.1.v4.1 pep chromosome:Pop_tri_v4:5:3754840:3759655:-1 gene:Potri.005G059500.v4.1 transcript:Potri.005G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059500.v4.1 MAIREMSLLLGFLVLLSSLAPTMPMTYVNCTIPHDPTFSRNSFPDGFVFGTGSAAYQYEGHANKSNRGPSIWDTFTHDYPARIKDHSTGDVAIDFYDLYKDDIRKMKDMHMDAFRFSISWTRMIPSGQVQWGINDEGIEFYNNLIDEIILNGLVPYATLFHWDTPQALFDKYGGFLSENIVNDFRDFADLCFQSFGDRVKHWFTLNEPDTYSVHGFDSGVGAPGRCSAWVDKACQAGDSATEPYIVTHNLLRSHAAAVKLYREKYQEQQNGKIGITLCSFWYEPYSETPADYEAVQRILDFNLGWHLSPITYGDYPRSMRSLVGDRLPNFTAQETSDLRGSYDILGLNYYGAYYAKNLTRVDPDPTHLRYATDSHVNVTGEKNGKLIGPQAASPWLYVYPKGIRYLLNYTKDQYRNPTIYITENGVSDFNNGSQISLKTALNDTCRAKYYHDHLKNVLRSIENHGTIVKGYFAWTFADDFEWPNGYTIRFGLYYTDYQHNLHRYPKRSVQWFTNFLKGYKWNKEPLSSSPLRFSSSAPSDRQYLDE >Potri.007G133000.1.v4.1 pep chromosome:Pop_tri_v4:7:14602816:14605410:1 gene:Potri.007G133000.v4.1 transcript:Potri.007G133000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133000.v4.1 MATLLLNKLLASAKAIEDGDLNLAESVFKEIKCLNDANTSTATRKLVRFYAEALIRRLYKLYPRNPTPLAPSTDSYYIRCWRFLPFVWFAEKSSHHSILDAVVGKKKVHVINFSNMEFNMLIRDLMRDLVKQVGSGLSFQVTNIRPKLSNNEEYLQEMDRVLAAEAKTLRLTDFKVDHVFANTAAGIVESTLNLKRTSEDELAIVVKWEFELHKLILVPGALEKVLSKLKELRPEIMVIVEQEANHNSPDILDRLAQSFPYYSSVFDSIEKDTTEHDIENKVSWEMDFRRQITNVVAREDIQHAERHETQAWWRDQLRRSGFHPVRQWFNHTRGFLFSDLTQYTIEGKNGCPLLRRYTVPLVITSAWKPNLLSLMEARTTRRTWAVQNPCR >Potri.006G252800.1.v4.1 pep chromosome:Pop_tri_v4:6:25121289:25122128:-1 gene:Potri.006G252800.v4.1 transcript:Potri.006G252800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252800.v4.1 MASITVDQLHAYHAIDREVFSRLVINLKRNPAESLLVIAVWLWLEDKRYPNVIAKMTSLADTVLNIVANEAALCLNFLESTNLPIIPNGGGLPFTSIVIGKDISLEMFLQNKFTAISRIKNFLNTVCARIFTDILQCVLAGTSQLIGNQPLVVPGFPHPVFGDVTILARSIDNDFPAGGLWGWDPALTVPENDRTMFLTFSRGFPVTNEEVTELFTSICGDCVVNVQMQENSQSNEQPLYAKMIMRTVTAVDQVLCGRRVAKFRINGKHIWARKYERRE >Potri.001G072500.1.v4.1 pep chromosome:Pop_tri_v4:1:5449059:5451252:1 gene:Potri.001G072500.v4.1 transcript:Potri.001G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072500.v4.1 MNCPYKSVLISQHLPLSPKKNRERRMKMKRPPKLQLKRLLGMLVLAVLLSSSCIALFGFYKHHASLAVTSLVAPQSTTFYPIQNHHHHDRQNLSLNAPQQAATLFPPPQTNTVDSVLETGPYHNWELFAADFQEMMRHLKIFVYPDTFNRSSPFANIFLPHENPLNNPKLGNYFSEHMFKVSLLHSPLLTATPEKAHFFFLPFSINDLRNDPRVHSEAKISQFVAQYTSSISSSFRFWNASGGADHFYVCCHSVGREAPSRHHGLRNNAIQLTCCSSYFQRFYLSHKDVGLPQVWPRTDQTALNPPHARHRLVYFAGRVQNSQVRQQLVNLWGNDTQFDIFNGNPTFPYEEGFKRSKFCLHVKGYEVNTARVSDAIHYGCIPVIISNYYDLPFANVLDWSKFSVVINQRDIAFLKTKLLSIKREMYLRMYHNLFKVRRHFVWHTTPRGYDSFYMTAYQLWLRRSTLRLSY >Potri.008G120600.1.v4.1 pep chromosome:Pop_tri_v4:8:7805054:7806419:-1 gene:Potri.008G120600.v4.1 transcript:Potri.008G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120600.v4.1 MRMSCNGCRVLRKGCSDNCTIRPCLQWIKTPDSQANATLFLAKFYGRAGLVNLIEAGPPKLRPAIFRSLLYEACGRIVNPVYGSVGMLWSGNWAQCQAAVDAVLKGSPIMSLPSSSEVPAPHLISSLNTYDIRHVPRDQDSPELTKAKNQTRFKRSIATRPNSQSEPTSRVNPGEWGFEPVRDSCLGHLGNRGSYIKDEDSIFSVETVEGSLWSQVEPDSFFKFNGQGDGSDVRLDLTLGLVSE >Potri.008G062500.1.v4.1 pep chromosome:Pop_tri_v4:8:3764598:3767768:-1 gene:Potri.008G062500.v4.1 transcript:Potri.008G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062500.v4.1 MRKLCPNFDREDGLETVLEVPIPEEMFASNKHSNRSWQNMKAWMRPHSERSMTTLFGGKNTEIQLLLGVVGAPLIPLQIRCDHQLINCNIKDQPIEASMAKYIVQQYIAAVGGERALNSVDSMYAMGKVKMEASEFCAGEGSLNSNKVLRVKNQNHGGGEMGGFVLWQKRPDLWCLELVVSGCKISAGSDGKVAWRQTPWHHSHASRGPPRPLRRFLQGLDPKSTANLFSNSVCTGEKAINNEDCFVLKLEAEPSSLRARSSSNVEIIRHTLWGYFSQRTGLLVQLEDSHLLRIKASGNDSIFWETTMESTIQDYRTIDGINIAYKGRTSVSLFRFGETSESHSRTRMEEVWAIEEVDFNIKGLSMDCFLPPSDIKKEEEGCDNVVISNNARLPFKIRSASSRINASKVVAVDDVHDSDENEDEDDQQ >Potri.014G021400.1.v4.1 pep chromosome:Pop_tri_v4:14:1294252:1296910:1 gene:Potri.014G021400.v4.1 transcript:Potri.014G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G021400.v4.1 MEFLYYHLALLFFLFIVVKNLFHRKRNLPPAPFALPVIGHLYLLKQPLYKSLHALLSRYGPALSLRFGSRFVIVVSSPSVVEECFTKNDKIFANRPKSMAGDRLTYNYSAFVWAPYGDLWRKLRRLAVAEIFSSKSLRKSSTVREEEVSCLIRRLLKVSTSGTQNVELRLLFSILASNVVMIVSAGKRCVEEEHAGTKMEKQLFQDFKDKFFPSLAMNICDFIPILRVIGFKGLEKNMKKLHGIRDEFLQNLIDEIRLKLKKTTSLKTDEVTDGEERRSVAEILLCLQESEPEFYTDEVIKSTVLMMFIAGTETSAITLEWAMTLLLNHPKVMQKVKAEIDEHVGHGRLLNESDIVKLPYLRCVINETLRLYPPAPLLLPHFSSEACTAGGFDIPQGTMLVVNAWTMHRDPKLWEEPNEFKPERFEAGLGEGDGFKYIPFGIGRRVCPGASMGLQIVSLALGVLVQCFEWDKVGTVEDTSHGLGMILSKAKPLEALCSPRRDLITLLSHL >Potri.016G094800.1.v4.1 pep chromosome:Pop_tri_v4:16:8744894:8745948:-1 gene:Potri.016G094800.v4.1 transcript:Potri.016G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G094800.v4.1 MASETVLYNFSSTSNSQHQQPSPNNNNSVVPSPTTGGSQSKLTHPRKSTTSQSKDRHTKVHGRGRRVRMPALTAARIFQLTRELGHRSDGETIEWLLRHAEASIIASTGTGTIPSIPISTTVGSTPISSSSPSPSASCKVHPVNCIGPEMFSLLTAPSSRLDLDYRHMPFTALLLQPMAATVAVDAEEGRQQELTGEHKM >Potri.002G036300.1.v4.1 pep chromosome:Pop_tri_v4:2:2395875:2398895:-1 gene:Potri.002G036300.v4.1 transcript:Potri.002G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036300.v4.1 MAEKASQSIEKEGGENEKANSISICGMQFAYAGQHPLFYDFNLNISPGSRCLLVGANGSGKTTLLKIMAGKHMVGGKDVVRVINGSAFHDTQLVCSGDLAYLGGSWSKTVGSAGEIPLQGDFSAEHMIFGVEGTDPVRREKLIDLLDIDLQWRMHKVSDGQRRRVQICMGLLHPFKVLLLDEVTVDLDVVARMDLLEFFKEECDQRGATIVYATHIFDGLETWATHLAYIQDGELRRAEKLTEVHELKSSANLLSVVESWLRHETKSEKKKPTNPPAQNQKTSPLGSSPFMSSRHMAYYR >Potri.018G059200.5.v4.1 pep chromosome:Pop_tri_v4:18:5995485:6002491:-1 gene:Potri.018G059200.v4.1 transcript:Potri.018G059200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G059200.v4.1 MEKDSLRSTNNGSTSSTTITTTTTTNNNSAQRTSLDPNRQTTSSDLVLQWGNRKRLRCMKAQVKDVSTTPVHKTTVRVDRRVVRADNKDKDSTWYHPSSTNNNNSNHSNGYSNLRQRLSPPPSQRILRNSENSSAMRGGQSNGGVLGRIASPDKGAHDKRSNNHTHHHHHNSSSNHENHNNNKSAASSDTKKGGGSSSGGSGEAPPPAVWPPKFVIALTNKEKEEDFFVFKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKITKKRPRGLKAMGNIDSDSE >Potri.010G070900.1.v4.1 pep chromosome:Pop_tri_v4:10:9911316:9913217:-1 gene:Potri.010G070900.v4.1 transcript:Potri.010G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070900.v4.1 MANTEQVKLLGFWASPFARRVEWALKLKGVDYEYIEEDIFNKSSLLMELNPVHKKVPVLVHGRKVIAESFVILEFIDETWKQCPLMPQDPYERAKTRFWAKFAEEKILDGAWIAMCSLGEEKEKAIKLAVEAIEKIEGELKGKHFFGGENIGYLDIAMGWMSYWLPVWEEVGSMKIVNPLQFPATTSWMNKFLDHPVIKENLPPRDKMIIYFQNRSTELASRPHDWFRT >Potri.008G154700.1.v4.1 pep chromosome:Pop_tri_v4:8:10602086:10602640:1 gene:Potri.008G154700.v4.1 transcript:Potri.008G154700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154700.v4.1 MPSPILAEEMENDSSSEVITLRPYKESDADDFLGYAGDDQVTRFTRWNTFSCKEEALVYIKDFCIPHPYCRSICVNDRSIGFVFIRQESGDDKCRAELGYAIAAKYWGQGVTTRALKMAISDGLRSFPDLVRLQARVDVENKASQRVLEKLGFLKEGVLRKYMYNKGKVIDLVVYSLLSTDPMP >Potri.001G051000.1.v4.1 pep chromosome:Pop_tri_v4:1:3854457:3857409:1 gene:Potri.001G051000.v4.1 transcript:Potri.001G051000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051000.v4.1 MTSRVSDEEDSTQIEQTIDSSMVVEPITPPTEPMITSQVSDEEDSTQTEQEIQALFSLLDTCITNLEGKLTDAKSSKAKIEALLEKVIQLLIDELKIKAEDSEDKWFIKRDGMVAPSYLTRNNTDLQQIQLLVRKLDRYMAKVDQELHVLENIPFLREEIDRDREEATTLKLTLEICDTRNDLEVGSLVTYANQWLNDQKTQSVESVDTKGNNFSVLVVHDSRDLRETRQRLLVLLGYQKKLQMDVSVAKNGKEAVYLHLAGASFDMIIMDDLMPFMDGIEAINLLRRMGVESRIVGVTGEFKRLAFMDSGADSCIIKPLTLEKLAAVFR >Potri.007G048501.1.v4.1 pep chromosome:Pop_tri_v4:7:4522065:4523989:-1 gene:Potri.007G048501.v4.1 transcript:Potri.007G048501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048501.v4.1 MTAATSPQIRNLFVSVILFCDRSMYDDIITRFKSSFAMSNLKLFHDELKNYVLYELELLFNVAGTSLEKHKLPMHDGRLLSKIKSKLLREELNYDIADLIYQYSSTFPQLNQCQLNVYDCVVKSVFEKRQELIFVHGHGGIGKTFLWHTIINRLRSDAHSRFKIPFTISDTSLCEIKKKTDLARLLEMTSLIVWDEAPMNNRCCFEALDRSLLDVLTNGNDLPNDKPFGGKSILLGGDFRQILPIIPGGIKEDIVHASLCSSVLWSKFKVLTLTKNMRLSSNGLSNDQKKELAIFANWILAIAVYPSIRDINIDPCYFRDRAIVTPRNATVSKINNFILHILIVTQLAERVIEAQIIIGSFIGNRVFIPRIVFPINDAKCPFTIKRRQFPIRPCYAMTINKSQGQSFKVVGVFLKDQVFTHGQLYVALSRVTSRQGLKIITCDAEGNHSIYAKNIVYKDVLSSLSVS >Potri.013G119500.4.v4.1 pep chromosome:Pop_tri_v4:13:12797205:12798745:-1 gene:Potri.013G119500.v4.1 transcript:Potri.013G119500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119500.v4.1 MSKLCSFSMFVLVLLVALSLPKCLMGQRGVAPRDLWCVAKNNAADQALQEAINWACGQGGANCGPIQQGGACYDSNDMQRTASWAFNDYYLKNGLTDDACYFSNTAALTSLNPSNWILYIFELNIILGSFLFLVSGSVRFEVWLVVVLINANFLPAYR >Potri.013G119500.2.v4.1 pep chromosome:Pop_tri_v4:13:12797205:12798745:-1 gene:Potri.013G119500.v4.1 transcript:Potri.013G119500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119500.v4.1 MSKLCSFSMFVLVLLVALSLPKCLMGQRGVAPRDLWCVAKNNAADQALQEAINWACGQGGANCGPIQQGGACYDSNDMQRTASWAFNDYYLKNGLTDDACYFSNTAALTSLNPSNWILYIFELNIILGSFLFLVSGSVRFEVWLVVVGVSCIFVIALLILD >Potri.010G192000.2.v4.1 pep chromosome:Pop_tri_v4:10:18727990:18730815:1 gene:Potri.010G192000.v4.1 transcript:Potri.010G192000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192000.v4.1 MAAIEQQTVLQFAPSSSSTLSAKVHPLVIFNICDCYVRRPDQAERVIGTLLGSVLPDGTVDIRNSYAVPHNESSEQVALDIDYHHNLLLSHQKVNPKEVIVGWYSTGLGVTGGSALIHDFYSREVPNPIHLTVDTGFSNGEGTIKAYVSVNLSLGDRPLAAQFQEVPLDLRMVEAERIGFDVLKTTMVDKIPSDLEGMEISMQRLLALIDDVYKYVDDVVEGHTAPDNNIGRFISDTVASLPKLSPPVFDKLVNDNVQDHLLLLYLSSITRTQLSLAEKLNTAAQIL >Potri.005G097700.1.v4.1 pep chromosome:Pop_tri_v4:5:6962562:6964379:1 gene:Potri.005G097700.v4.1 transcript:Potri.005G097700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097700.v4.1 MASIPHFYSDYQFSPDKFSEISSVMAQDHRYARTGSFSSTNMSSGAMFGDHQDYSFPTFYDHENGCALDIFQGESEIMSPIPATKSLPERFGISNMVVPTLMDYKMGSNCGIAKIQSFGGGFQLSDVCEYGEDCCGILPKFTPATCPAAEENWRLEYNRITAKENTNMVKVGRYTVEERKDRILRYLKKRNQRNFNKTIKYACRKTLADRRVRVRGRFAKNNEIFEEETEVKKNDDNIPHHHHEKDTYCTSDAVQIKNDDDDEEQWLQEALASLMFVPYIAAG >Potri.018G045600.1.v4.1 pep chromosome:Pop_tri_v4:18:3897797:3898806:-1 gene:Potri.018G045600.v4.1 transcript:Potri.018G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045600.v4.1 MAPNRETLVDSYSKSGNKAHKPRRLSMENLQRTISDISFELSKEGVDHHAKLAPISEIEDAKCECCGMCEECTPEYIKRVRDKFSGKLVCGLCAEAVSQEMEKNGGNKEEALNEHMNACVRFNRFGRAYPVLSQSEAMRAMLKKSASLRAKSISPRDRSGGHQKGTTGIARSSSCIPSITR >Potri.008G079800.3.v4.1 pep chromosome:Pop_tri_v4:8:4951211:4955857:-1 gene:Potri.008G079800.v4.1 transcript:Potri.008G079800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079800.v4.1 MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFSNVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGINGHAGSLIAAAAAAATATATTHHHHHHPQNLGINLIGAGGGGSRDHHYHHQFFPRDQQQMMRSFDSGNNYDASLLAMNVSASIGQLSQFQQPRAATGDDRRTIDPS >Potri.008G079800.2.v4.1 pep chromosome:Pop_tri_v4:8:4952374:4954478:-1 gene:Potri.008G079800.v4.1 transcript:Potri.008G079800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079800.v4.1 MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFSNVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGINGHAGSLIAAAAAAATATATTHHHHHHPQNLGINLIGAGGGGSRDHHYHHQFFPRDQQQMMRSFDSGNNYDASLLAMNVSASIGQLSQFQQPRAATGDDRRTIDPS >Potri.008G079800.1.v4.1 pep chromosome:Pop_tri_v4:8:4951209:4955857:-1 gene:Potri.008G079800.v4.1 transcript:Potri.008G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079800.v4.1 MASSSNSPCAACKFLRRKCQPECVFAPYFPPDQPQKFSNVHKVFGASNVTKLLNELHPSQREDAVNSLAYEADMRLRDPVYGCVGVISLLQHQLRQLQMDLSCAKSELSKYQNLGINGHAGSLIAAAAAAATATATTHHHHHHPQNLGINLIGAGGGGSRDHHYHHQFFPRDQQQMMRSFDSGNNYDASLLAMNVSASIGQLSQFQQPRAATGDDRRTIDPS >Potri.T008049.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:32493:33222:1 gene:Potri.T008049.v4.1 transcript:Potri.T008049.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T008049.v4.1 MAGLVEADRGGRGRIRRCLRASVWSRKEAFPGGAGSVGHWQCDSAGVSASWPLVQEERACGWC >Potri.014G081700.1.v4.1 pep chromosome:Pop_tri_v4:14:5278310:5283543:1 gene:Potri.014G081700.v4.1 transcript:Potri.014G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081700.v4.1 MNNLKLGVEVVGAHDLMPKDGQGSANTFVELRFDHQKFRTAIKDKDLSPVWNESFYFNISDPNKLSNLSLEAIVYHHNRENSSQSILGKVRLTGTSFVPYSDAVVLHYPLEKQGILSRVKGELGLKVFVTDGPSIRSSNPLPAMESSPFSDSRATQTQASEQQIPNVAQKMFSDDKSESRQTFHHLPNPSQSQKQQHVPPAATQPPMDYGIHEMKSEPQAPRVVRMFSGSSAQPVDYALKETSPFLGGGQIVGGRVIRGDRPSSSYDLVEQMKYLYVRVVKAHDLPTMDVTGSLDPYVEVKVGNYKGITKHFEKNKNPEWNEVFAFAGDRLQSSVLEVMVKDKDLVKDDFVGIVRFDRNEVPTRVPPDSPLAPEWYRLEDKKGEKVKGELMLAVWYGTQADEAFPDAWHSDAISPDSSSFISTLIRSKVYHSPRLWYVRVKVIEAQDLVVSDKNRFPEAYVKVQIGNQVLKTKMAQSRTMNPVWNDELMFVAAEPFDDHLILVVEDRTGPNKDESIGKVVIPLNTVEKRADDHIIRSRWFGLERSVSAAMDEHQVKKDKFSSRLHLQVVLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGVLNAEGLHPMKTREGKGTSDTYCVAKYGQKWIRTRTIINSLSPKYNEQYTWEVFDTATVLIVGVFDNNQHGGSNGNKDTKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSNTSFTNMVFQYSRPLLPKMHYVRPLTVMQQDMLRHQAVNVVAARLGRSEPPLRKEVIEYISDADSHLWSMRRSKANFFRLMSVFSGLLSVGKWFGEVCMWKNPITTVLVQILFVMLLYFPELILPTAFLYMFLIGVWNYRFRPRYPPHMNTRISHADAVNPDELDEEFDTFPSRQSPEIVRFRYDRLRSVAGRIQTVVGDVATQGERVQALLSWRDPRATTIFLIFCLVVAIVLYATPFQVLALLGGFYFMRHPRFRHKTPSAPINFFRRLPARTDSML >Potri.014G081700.2.v4.1 pep chromosome:Pop_tri_v4:14:5278446:5283525:1 gene:Potri.014G081700.v4.1 transcript:Potri.014G081700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081700.v4.1 MNNLKLGVEVVGAHDLMPKDGQGSANTFVELRFDHQKFRTAIKDKDLSPVWNESFYFNISDPNKLSNLSLEAIVYHHNRENSSQSILGKVRLTGTSFVPYSDAVVLHYPLEKQGILSRVKGELGLKVFVTDGPSIRSSNPLPAMESSPFSDSRATQTQASEQQIPNVAQKMFSDDKSESRQTFHHLPNPSQSQKQQHVPPAATQPPMDYGIHEMKSEPQAPRVVRMFSGSSAQPVDYALKETSPFLGGGQIVGGRVIRGDRPSSSYDLVEQMKYLYVRVVKAHDLPTMDVTGSLDPYVEVKVGNYKGITKHFEKNKNPEWNEVFAFAGDRLQSSVLEVMVKDKDLVKDDFVGIVRFDRNEVPTRVPPDSPLAPEWYRLEDKKGEKVKGELMLAVWYGTQADEAFPDAWHSDAISPDSSSFISTLIRSKVYHSPRLWYVRVKVIEAQDLVVSDKNRFPEAYVKVQIGNQVLKTKMAQSRTMNPVWNDELMFVAAEPFDDHLILVVEDRTGPNKDESIGKVVIPLNTVEKRADDHIIRSRWFGLERSVSAAMDEHQVKKDKFSSRLHLQVVLDGGYHVLDESTHYSSDLRPTAKQLWKPSIGVLELGVLNAEGLHPMKTREGKGTSDTYCVAKYGQKWIRTRTIINSLSPKYNEQYTWEVFDTATVLIVGVFDNNQHGGSNGNKDTKIGKVRIRLSTLETGRVYTHSYPLLVLHPSGVKKMGELHLAIRFSNTSFTNMVFQYSRPLLPKMHYVRPLTVMQQDMLRHQAVNVVAARLGRSEPPLRKEVIEYISDADSHLWSMRRSKANFFRLMSVFSGLLSVGKWFGEVCMWKNPITTVLVQILFVMLLYFPELILPTAFLYMFLIGVWNYRFRPRYPPHMNTRISHADAVNPDELDEEFDTFPSRQSPEIVRFRYDRLRSVAGRIQTVVGDVATQGERVQALLSWRDPRATTIFLIFCLVVAIVLYATPFQVLALLGGFYFMRHPRFRHKTPSAPINFFRRLPARTDSML >Potri.008G143600.1.v4.1 pep chromosome:Pop_tri_v4:8:9767506:9768519:-1 gene:Potri.008G143600.v4.1 transcript:Potri.008G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143600.v4.1 MNSTLAASFIHLKTPSSFNSPSPLHSTTLKFHNSTSTPSLKIPKAAASSSSNHTSKSPLTQKLKTLTKTAILIGVAASIAGKFPVLPAKAETPAALTEQNPALEEEEEEEVDIKKHQNQNQSDPSTPLQAFLESNAEAIESLKSLLQQKLENGQDEEALKIIKLLVSAQPEVTEWKFLMARLLNETGRAQDARNVFEEILVANPLSFEALFENALLMDRCGEGEAVIRRLQGALDIAEEENKVKEARDVRLIMAQIHFLQKNVEEALKSYQELSKEDPEDFRPYFCRGMIYSLLDRNEEAKEQFAKYRELSPKKFEVEGYLRTSLSRMKLFGSNEKN >Potri.004G232500.5.v4.1 pep chromosome:Pop_tri_v4:4:23776921:23783550:-1 gene:Potri.004G232500.v4.1 transcript:Potri.004G232500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232500.v4.1 MGSGLPVFLLITCLQVLVIAAVTDSNDLSALNSLKSNWKNTPPTWIGSDPCGGGWEGIWCTGSRITSIVLSGMGLSGTLTGDIGNFPELQTLDLSQNNGLTGILPSAIVNLKKLENLFLVDCNFNGPIPDGIGSLTQLVSLSLASNNFNGPIPPSIGKMSNLSLLDLTDNKLSGTIPVSDGTSPGLDLLLKAKHFHLGKNQLTGGIPSNLFSSNMSLIHVLFDSNQLSGNFPSTLELVQTLEAIRLDRNSLTGPILFNFTSLPSLSELYLSNNKFSGSMPDLSGMKVLTYVDMSNNSFDASLIPPWFSSLQSMTSLIMERTQLQGPINATLFSPAQLQSIVLSNNQLNGSLDLGTNYGSQLLLVDLQNNSISEFAQGTGYSKELLLLGNPFCQKMPSSENCIVPQQPNSSYATPTENCVALSCNAQQLLSPNCNCANPITGILHFRSFSFSDFQNGSYYTLLQAAMMESFKSDQLPVDSISLSVPLKDAYDYLEVRLDVFPSGVYVFNRTGFSVITSQLNNVTFVKLPDAFGPFFFTLNTDNYFTGSNKSSNTGIVIGAAVGGSVLMLLLLMAGVYAFHQRKKADQATELMNPFASWDQNKANGAAPQIKGVLSFSFEELKKCTNNFSEDNALGSGGYGTVYKGTLPTGVLVAIKRAKQGSLQGSHEFKTEIELLSRVHHKNLVSLLGFCYQLGEQMLVYEYIKNGTLTDCISGKSGFKLSWTKRLGIAFDSARGIAYLHELANPPIIHRDIKSTNILLDDQLIAKVADFGLSKPVDNNEVHVSTGVKGTLGYLDPEYFMSGQLTEKSDVYSFGVVMLELVTGRKPIEHGSYVVREVKTAMGNQRTKDSSNLDAILDPALDPGKPLKGLEKFIDLAIRCVEELAANRPTMNEVVKELENIQQLAGFNGNAEMVSTSKTYSETTEGSFYHDYNKNAFFEYSGTFPHSEIELQK >Potri.017G039301.4.v4.1 pep chromosome:Pop_tri_v4:17:2610574:2613160:1 gene:Potri.017G039301.v4.1 transcript:Potri.017G039301.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039301.v4.1 MESVCDFCGVARAVVYCKPDSAKLCLHCDGCVHSANFLSRRHPRSLLCDKCSSQPAMARCLDEKMSVCQGCDCRANGCSILGHQLRALNCYTGCYSLAEFPKIWSSVLQGPSSGALDSGRDSLNSAPINENCISWLEQGENEGSFGLITGKLNELESCSKLESWRGPPSVIMPNPTYMPCSTDQVPLLPEVSNLPKQGCSIFKDIGLSDGEDLCEGLNMDDIPLDFENSDGIFGCPESHNRYPFEDVGKDCMLMEKNLSVTESNGPIENAIEVSSSGQQDCVAFQSSCVSGPVSAIQNISSNANCSMFTNPSCSRNLHLGFPAGTGQVHPSMSLSLSNIIGESSAADYQDCGLSPIFLTGESPWESHLDASSPHARDKAKMRYNEKKKTRTFRKQIRYASRKARADTRKRVKGRFVKAGEA >Potri.017G039301.1.v4.1 pep chromosome:Pop_tri_v4:17:2610574:2613160:1 gene:Potri.017G039301.v4.1 transcript:Potri.017G039301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039301.v4.1 MESVCDFCGVARAVVYCKPDSAKLCLHCDGCVHSANFLSRRHPRSLLCDKCSSQPAMARCLDEKMSVCQGCDCRANGCSILGHQLRALNCYTGCYSLAEFPKIWSSVLQGPSSGALDSGRDSLNSAPINENCISWLEQGENEGSFGLITGKLNELESCSKLESWRGPPSVIMPNPTYMPCSTDQVPLLPEVSNLPKQGCSIFKDIGLSDGEDLCEGLNMDDIPLDFENSDGIFGCPESHNRYPFEDVGKDCMLMEKNLSVTESNGPIENAIEVSSSGQQDCVAFQSSCVSGPVSAIQNISSNANCSMFTNPSCSRNLHLGFPAGTGQVHPSMSLSLSNIIGESSAADYQDCGLSPIFLTGESPWESHLDASSPHARDKAKMRYNEKKKTRTFRKQIRYASRKARADTRKRVKGRFVKAGEA >Potri.017G039301.2.v4.1 pep chromosome:Pop_tri_v4:17:2610573:2613161:1 gene:Potri.017G039301.v4.1 transcript:Potri.017G039301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039301.v4.1 MESVCDFCGVARAVVYCKPDSAKLCLHCDGCVHSANFLSRRHPRSLLCDKCSSQPAMARCLDEKMSVCQGCDCRANGCSILGHQLRALNCYTGCYSLAEFPKIWSSVLQGPSSGALDSGRDSLNSAPINENCISWLEQGENEGSFGLITGKLNELESCSKLESWRGPPSVIMPNPTYMPCSTDQVPLLPEVSNLPKQGCSIFKDIGLSDGEDLCEGLNMDDIPLDFENSDGIFGCPESHNRYPFEDVGKDCMLMEKNLSVTESNGPIENAIEVSSSGQQDCVAFQSSCVSGPVSAIQNISSNANCSMFTNPSCSRNLHLGFPAGTGQVHPSMSLSLSNIIGESSAADYQDCGLSPIFLTGESPWESHLDASSPHARDKAKMRYNEKKKTRTFRKQIRYASRKARADTRKRVKGRFVKAGEA >Potri.017G039301.3.v4.1 pep chromosome:Pop_tri_v4:17:2610574:2613160:1 gene:Potri.017G039301.v4.1 transcript:Potri.017G039301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039301.v4.1 MESVCDFCGVARAVVYCKPDSAKLCLHCDGCVHSANFLSRRHPRSLLCDKCSSQPAMARCLDEKMSVCQGCDCRANGCSILGHQLRALNCYTGCYSLAEFPKIWSSVLQGPSSGALDSGRDSLNSAPINENCISWLEQGENEGSFGLITGKLNELESCSKLESWRGPPSVIMPNPTYMPCSTDQVPLLPEVSNLPKGCSIFKDIGLSDGEDLCEGLNMDDIPLDFENSDGIFGCPESHNRYPFEDVGKDCMLMEKNLSVTESNGPIENAIEVSSSGQQDCVAFQSSCVSGPVSAIQNISSNANCSMFTNPSCSRNLHLGFPAGTGQVHPSMSLSLSNIIGESSAADYQDCGLSPIFLTGESPWESHLDASSPHARDKAKMRYNEKKKTRTFRKQIRYASRKARADTRKRVKGRFVKAGEA >Potri.005G130000.2.v4.1 pep chromosome:Pop_tri_v4:5:9985266:9990835:1 gene:Potri.005G130000.v4.1 transcript:Potri.005G130000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130000.v4.1 MGLLKYSAKAEKKQSPKTEMQDSLKQEILQLEKRLQDQFQVRWALEKALGYRTSSHESMSELSMPKPATELIKETAALELEVVYLEQYLLSLYRKAFDQRASLVSPSNQDQSLKTPVTTPRRRLFDVSRPDISKKETSATETACQSLDNTWKETNRIGGEEKLLDSGVHRCQSLLSQNTTFSNRASPPSESFGRAVRACHTQPLSMMEYAQSASNIISLAEHLGTRISDHVPETPNKLSEDMIKCMSAIYCKLSDPPLTHNSLSSPSSSSPSMSEFSPREQCDMWGPGFRNNSSFDIRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSKKLGDVENLLQNFRSLICRLEEVDPRKLKHEEKLAFWINIHNALVMHAFLVYGIPQNNVKRLFLLLRAAYNVGGHTFSADTIQSSILGCRMSRPGQWIRTLLSSKSKFKTVEDRQAYATDHSEPLLHFALCSGSHSDPAVRVYTPKGIIHELEAAKEEYIRATYGVRKDQKILLPKIVESYTKDSGLCPAVVLEMIQKTLPATVRKCLKKCQLGKPRKTIEWIPHNFTFRYLISKELVK >Potri.005G130000.1.v4.1 pep chromosome:Pop_tri_v4:5:9985186:9990887:1 gene:Potri.005G130000.v4.1 transcript:Potri.005G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130000.v4.1 MFEGGEDSKMYGLKVTTRHKRSKSFPDKKRVEEDDLDSSFEASSRIKLNMGLLKYSAKAEKKQSPKTEMQDSLKQEILQLEKRLQDQFQVRWALEKALGYRTSSHESMSELSMPKPATELIKETAALELEVVYLEQYLLSLYRKAFDQRASLVSPSNQDQSLKTPVTTPRRRLFDVSRPDISKKETSATETACQSLDNTWKETNRIGGEEKLLDSGVHRCQSLLSQNTTFSNRASPPSESFGRAVRACHTQPLSMMEYAQSASNIISLAEHLGTRISDHVPETPNKLSEDMIKCMSAIYCKLSDPPLTHNSLSSPSSSSPSMSEFSPREQCDMWGPGFRNNSSFDIRLDNPFLVEGLKEFSGPYSTMVEVPWIYRDSKKLGDVENLLQNFRSLICRLEEVDPRKLKHEEKLAFWINIHNALVMHAFLVYGIPQNNVKRLFLLLRAAYNVGGHTFSADTIQSSILGCRMSRPGQWIRTLLSSKSKFKTVEDRQAYATDHSEPLLHFALCSGSHSDPAVRVYTPKGIIHELEAAKEEYIRATYGVRKDQKILLPKIVESYTKDSGLCPAVVLEMIQKTLPATVRKCLKKCQLGKPRKTIEWIPHNFTFRYLISKELVK >Potri.010G027201.1.v4.1 pep chromosome:Pop_tri_v4:10:3947282:3951920:-1 gene:Potri.010G027201.v4.1 transcript:Potri.010G027201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027201.v4.1 MATSFYPFQFLFLLTISATLHKSSSYLCTSSAIINPAESKISQVRTSSGMFISKAKDPIVAGTEDKIATWTSLPKGEHLQIGFAQIDYFFFGTEGVISCYSLSYCLKMLLSVIEEPIKKLLVNVDRGEHRLATVLVYLTDVEKGGETVSPSAEVRQIPLCFSFHPDLYPNHYPRCPVIEGEKWSATKWIHVDSFDKNVEAGGNCTDQNESCERWAALGERTKNTEYTVGSPDLPGYCRRSSKVC >Potri.001G100800.2.v4.1 pep chromosome:Pop_tri_v4:1:8061546:8065470:-1 gene:Potri.001G100800.v4.1 transcript:Potri.001G100800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100800.v4.1 MARELCEDKSRSMVSSGSFCYTDVSSSNPTIQTHLVNQIQGFESNPEMFNLTTGMEMIGFSKNLQQQKSDSNSAMWKGFFNKPPNNNNPGGPSSSKAINDSTSGVFYQHEFSKPDFSTGISDSSNENLMVGPDHSAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLCSSNPSTIGLQPFELRHTSTHQNQDNPQEMRFFGKSPANIQQQMMQAANLHHQGQFQLRNSKYMGPTQDLLNEFCSLGTKQGDALKQKLHKPKQWDDDQNGSSSRKQSLQSLEFIELQKRKTKLLSMLEEVDRRYRHYCDQMKDVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVAQIHATKKGMGDKDTIAPGTTRGETPRLKILDQNLRQQRAFQHMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNMASSDGVTDLDENSNGRPNQNPSSTDQKPTPDQLVRIDSECLSSIISNPDKNDTNKSIKTFQSHHLQHQQQNFGNFGAMELDFSSYNHHTAAGVSYANESVNNQNFNGGGVSLTLGLQQHGGSGVSLAFSPGSQSSLFYPRDHIEDCQQVQYSLLDGEGQNLPYRNLMGAQLLHDLAG >Potri.001G100800.3.v4.1 pep chromosome:Pop_tri_v4:1:8061178:8065632:-1 gene:Potri.001G100800.v4.1 transcript:Potri.001G100800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100800.v4.1 MARELCEDKSRSMVSSGSFCYTDVSSSNPTIQTHLVNQIQGFESNPEMFNLTTGMEMIGFSKNLQQQKSDSNSAMWKGFFNKPPNNNNPGGPSSSKAINDSTSGVFYQHEFSKPDFSTGISDSSNENLMVGPDHSAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLCSSNPSTIGLQPFELRHTSTHQNQDNPQEMRFFGKSPANIQQQMMQAANLHHQGQFQLRNSKYMGPTQDLLNEFCSLGTKQGDALKQKLHKPKQWDDDQNGSSSRKQSLQSLEFIELQKRKTKLLSMLEEVDRRYRHYCDQMKDVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVAQIHATKKGMGDKDTIAPGTTRGETPRLKILDQNLRQQRAFQHMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNMASSDGVTDLDENSNGRPNQNPSSTDQKPTPDQLVRIDSECLSSIISNPDKNDTNKSIKTFQSHHLQHQQQNFGNFGAMELDFSSYNHHTAAGVSYANESVNNQNFNGGGVSLTLGLQQHGGSGVSLAFSPGSQSSLFYPRDHIEDCQQVQYSLLDGEGQNLPYRNLMGAQLLHDLAG >Potri.001G100800.4.v4.1 pep chromosome:Pop_tri_v4:1:8061006:8065499:-1 gene:Potri.001G100800.v4.1 transcript:Potri.001G100800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100800.v4.1 MARELCEDKSRSMVSSGSFCYTDVSSSNPTIQTHLVNQIQGFESNPEMFNLTTGMEMIGFSKNLQQQKSDSNSAMWKGFFNKPPNNNNPGGPSSSKAINDSTSGVFYQHEFSKPDFSTGISDSSNENLMVGPDHSAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLCSSNPSTIGLQPFELRHTSTHQNQDNPQEMRFFGKSPANIQQQMMQAANLHHQGQFQLRNSKYMGPTQDLLNEFCSLGTKQGDALKQKLHKPKQWDDDQNGSSSRKQSLQSLEFIELQKRKTKLLSMLEEVDRRYRHYCDQMKDVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVAQIHATKKGMGDKDTIAPGTTRGETPRLKILDQNLRQQRAFQHMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNMASSDGVTDLDENSNGRPNQNPSSTDQKPTPDQLVRIDSECLSSIISNPDKNDTNKSIKTFQSHHLQHQQQNFGNFGAMELDFSSYNHHTAAGVSYANESVNNQNFNGGGVSLTLGLQQHGGSGVSLAFSPGSQSSLFYPRDHIEDCQQVQYSLLDGEGQNLPYRNLMGAQLLHDLAG >Potri.001G100800.5.v4.1 pep chromosome:Pop_tri_v4:1:8061534:8065470:-1 gene:Potri.001G100800.v4.1 transcript:Potri.001G100800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100800.v4.1 MARELCEDKSRSMVSSGSFCYTDVSSSNPTIQTHLVNQIQGFESNPEMFNLTTGMEMIGFSKNLQQQKSDSNSAMWKGFFNKPPNNNNPGGPSSSKAINDSTSGVFYQHEFSKPDFSTGISDSSNENLMVGPDHSAPWQENRLLVDDSSLRCVFPCEGNERPSQGLSLSLCSSNPSTIGLQPFELRHTSTHQNQDNPQEMRFFGKSPANIQQQMMQAANLHHQGQFQLRNSKYMGPTQDLLNEFCSLGTKQGDALKQKLHKPKQWDDDQNGSSSRKQSLQSLEFIELQKRKTKLLSMLEEVDRRYRHYCDQMKDVVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVAQIHATKKGMGDKDTIAPGTTRGETPRLKILDQNLRQQRAFQHMSMMESHPWRPQRGLPERSVSVLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDNNMASSDGVTDLDENSNGRPNQNPSSTDQKPTPDQLVRIDSECLSSIISNPDKNDTNKSIKTFQSHHLQHQQQNFGNFGAMELDFSSYNHHTAAGVSYANESVNNQNFNGGGVSLTLGLQQHGGSGVSLAFSPGSQSSLFYPRDHIEDCQQVQYSLLDGEGQNLPYRNLMGAQLLHDLAG >Potri.003G094200.1.v4.1 pep chromosome:Pop_tri_v4:3:12040561:12042604:-1 gene:Potri.003G094200.v4.1 transcript:Potri.003G094200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094200.v4.1 MVRSPCSPGASALKKGPWTPDEDEKLIDYIKRNGHENWKALPMLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEERVIVNLHSALGNKWSRIANHLPGRTDNEIKNFWNTHIRKKLLQMGIDPNTHKPRTDLSHFLNLSQLLGAAQFGNIASPWNNFLKLQAEATQLANIQLLRNLLQMMNTNTLTNIESNSLLGSQNPHQFRGLVNGTTISNTNEPTTISQDLVNPPVTPQVHNDFQAISKLWASCEGGFGPEGLNINGDTLSSSYGIQTEQNPLPALVSASTSPVTSIDYQTERKGDPSNYSTGTPTSTIFEAWEKLIDDDNYSSYWNDILE >Potri.003G094200.2.v4.1 pep chromosome:Pop_tri_v4:3:12040561:12042604:-1 gene:Potri.003G094200.v4.1 transcript:Potri.003G094200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094200.v4.1 MVRSPCSPGASALKKGPWTPDEDEKLIDYIKRNGHENWKALPMLAGLNRCGKSCRLRWTNYLRPDIKRGKFSEEEERVIVNLHSALGNKWSRIANHLPGRTDNEIKNFWNTHIRKKLLQMGIDPNTHKPRTDLSHFLNLSQLLGAAQFGNIASPWNNFLKLQAEATQLANIQLLRNLLQMMNTNTLTNIESNSLLGSQNPHQFRGLVNGTTISNTNEPTTISQDLVNPPVTPQVHNDFQAISKLWASCEGGFGPEGLNINGDTLSSSYGIQTEQNPLPALVSASTSPVTSIDYQTERKGDPSNYSTGTPTSTIFEAWEKLIDDDNYSSYWNDILDLAPS >Potri.002G129100.2.v4.1 pep chromosome:Pop_tri_v4:2:9775360:9780749:-1 gene:Potri.002G129100.v4.1 transcript:Potri.002G129100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129100.v4.1 MHFFSKTCSSSSSMPSYPSVSSPKDDSNNFHGTAGNSWNTRGQSFMQKKLERQRKLRHVSDQELGLNLNLTDRPSSPPADPDSAKKSWSPGGADHWSSVAVPHPLPVPESLLTRKRESLGSVLTQGHPGSSEEGPGHVLGRNSTDHIAIKSAKPSSNFRQRFSWHANVESTNLDIRLKIPARSAPTSGFSSPSASPPRLNARDLFASRVATESTKSSGDSNRVLSNDVNVEGAKYKFRGVTSPRSAPTSGLPSPATVSPQRSYTGDFLPTLVARRENQVQSTLEIPDFGRLASHSSQVPPVKTVFSPERSPFHSPTLQSSYLNPKNIFSFLSNHKLFQGSSNEWPESSHASAHPLPLPPKAAPLQFSIPSPPTVIHNTLEKLNVPFNKNQWVKGKLIGSGTYGRVYIGTNRVTGASCAMKEVNIIPDDPKSAECIKQLEQEIRILRDLKHPNIVQYYGSEIVDDHFYIYLEYINPGSINKYVHEHCGHMTESIVRNFTRHILSGLACLHSTKTVHRDIKGANLLVDASGVVKLTDFGMAKHLSGLSYELSLKGTPHWMAPEVIKAVMMQNCNPELALAVDIWSLGCAIIEMFTGKPPWGDLQGAQAMFKILHKDPPMPETLSPEGKDFLRRCFRRNPAERPSAMTLLEHPFVCRSGDLNVSAGTEAAPAVNLTDNSQNLRDRTAENDVLQTSPGMRMRNHQLPRSSETSQ >Potri.002G129100.1.v4.1 pep chromosome:Pop_tri_v4:2:9775360:9780637:-1 gene:Potri.002G129100.v4.1 transcript:Potri.002G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129100.v4.1 MHFFSKTCSSSSSMPSYPSVSSPKDDSNNFHGTAGNSWNTRGQSFMQKKLERQRKLRHVSDQELGLNLNLTDRPSSPPADPDSAKKSWSPGGADHWSSVAVPHPLPVPESLLTRKRESLGSVLTQGHPGSSEEGPGHVLGRNSTDHIAIKSAKPSSNFRQRFSWHANVESTNLDIRLKIPARSAPTSGFSSPSASPPRLNARDLFASRVATESTKSSGDSNRVLSNDVNVEGAKYKFRGVTSPRSAPTSGLPSPATVSPQRSYTGDFLPTLVARRENQVQSTLEIPDFGRLASHSSQVPPVKTVFSPERSPFHSPTLQSSYLNPKNIFSFLSNHKLFQGSSNEWPESSHASAHPLPLPPKAAPLQFSIPSPPTVIHNTLEKLNVPFNKNQWVKGKLIGSGTYGRVYIGTNRVTGASCAMKEVNIIPDDPKSAECIKQLEQEIRILRDLKHPNIVQYYGSEIVDDHFYIYLEYINPGSINKYVHEHCGHMTESIVRNFTRHILSGLACLHSTKTVHRDIKGANLLVDASGVVKLTDFGMAKHLSGLSYELSLKGTPHWMAPEVIKAVMMQNCNPELALAVDIWSLGCAIIEMFTGKPPWGDLQGAQAMFKILHKDPPMPETLSPEGKDFLRRCFRRNPAERPSAMTLLEHPFVCRSGDLNVSAGTEAAPAVNLTDNSQNLRDRTAENDVLQTSPGMRMRNHQLPRTRPVNKAKCTRSAGLQLLSTHLVQHSRPALTYLQHS >Potri.010G035500.2.v4.1 pep chromosome:Pop_tri_v4:10:6456381:6457569:-1 gene:Potri.010G035500.v4.1 transcript:Potri.010G035500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G035500.v4.1 MAKSDVKLIGAWPSPFVMRARIALNIKSLGYEFLEEKLGSKSQLLLESNPVHKKIPVLIHDGKPICESLVIVEYIDEVWSSGPTILPSDPYDRALARFWAAYLDEKWFPSMRSIATAKEEEARKALIEQAGEGVMMLEDAFSRCSKGKGFFGGDQIGYLDIAFGSFLGWLRTTEKMNGVKLIDETKTPSLLKWATSFSSHPAVKDVLPETEKLVEFAKVLAKFKAASSNS >Potri.005G034100.1.v4.1 pep chromosome:Pop_tri_v4:5:2251677:2258650:-1 gene:Potri.005G034100.v4.1 transcript:Potri.005G034100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G034100.v4.1 MKKKEELFSDFIFAPSVHAVNYNPTMINGSDFTNTNNTDDDDWGDFNFVSSNSSGLSHALSLPRISNTDFEPSTKNQKVIESLTDPASAPSLVNNLTQWDKPKGALPLSIFGEIEEEEEEGSGSGEPRKNESFDFLKNKEGSGVIVSDLIANLYKEKERNNGFRSNFNGPDLNLGNLNGNGLNVNGVNKGELDSKGLGLDLKENGLNSNKMESNLIKRDGNLSGNGVDFGLVHGNEGFDDDKWEFEGADSKTVVEIEISKAGEMRTENGLVSHVNGLNSSWNPLSLDLNGWTSHVNGDHSGRDWLNKGTVDGNRALGNSDGWEFKETGSKMQARDEKEKGEQIETEIKPTLSFDGSNSTWNGLDGLTNSNLNDVNSDIKQMNPISHDENEGFSGDDEWDFKAAEAEFGTGDGNTKGDGRRVENTEGATYAFGFGSGMLGAGDLSGASQQTSQKSTEWDFGFDSTPALAQDTTMSHPFSENEQNNTKKGLHSSPDDGVDADEESWEFKDAFSQTGSKNKEEPKVVEVSTAVEAFPSDGEIKGNMARSISQNGALPLSIFGDEEEDSNDPVSYQDISSELPDSKPIDGIKSPHSNFAINDLISSLYSQAEQNTAIINGQNPSGNGLSLINATMESNLAGDNDDFDDDSWEFKVASSGTRAEDQASFIGLGEANTDCSSKTELNDYVDFFCKLKEELHCLALCHLDNLKKAQSAASEDAEVKALEKEIQNLHDELCRDGLFSGEVDSGNHSPKKLCLNEFVEVLQEPKYQGFESEYQLSSKLSLVENDLRLTMEFLKHVASTIKILTLVSREEQSCYISTWSEILSVCARELKHGAIIWTQSLQKDVHDQILSKPQGKNYIVALGEIYRVIEVIGSSARLYKPWVLVSSTDPMGLFTLLSECSTLWSGSGLEEALQSISDPSGADCNRDLTTLIESIKNIHNLDTLTLYNHVFCGQGPICRLSVLAAGAVPGMKMVVWNGEHYFLPLANLWANLVSCNPPNFPHIHVG >Potri.011G028700.2.v4.1 pep chromosome:Pop_tri_v4:11:2152086:2157759:1 gene:Potri.011G028700.v4.1 transcript:Potri.011G028700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028700.v4.1 MYSLRLIVLFSFSCVLLSSLSIAQPDFLYQSCRNTENNYTANSTFQKNLDSLLSSLASNTQIDYGYYNFSAGQIGPDQVHAIALCRGDILLNECRGCVSNSGRKILQVCPNQKEAIGIYDFCILRYSNRSSFGVLDEEFIVYTWNTENASHVNLFSQALGNLTSRLRTAAASGTSLLKFATGNQTAGIITIFGLVQCMPDLSAQDCDDCLAGTFQQIRSCCDGQIEGKIGGRIVRGSYYLRFETYPFYRVTAATLAQLPLPPSPEAPPAAAAASGTSLLKFATGNQTAGIITIFGLVQCMPDLSAQDCDDCLAGTFQQIRSCCDGQIEGKIGGRIVRGSCYLRFETYPFYRVTAATLAQLPLPPSPEAPPATENGNTTRKVVIIIVSILIFMAVVVITSIFLYCRRPKQEVKNFNENGGQECVQFRFASIRVATHNFSDDNKLGQGGFGAVYKGLLQDGQAIAVKRLARNSAQGEVEFKNEVLLVARLQHRNLVRLHGFCFEGTEKLLVYEFVPNSSLDQFLFDPSKRSLIDWEIRYQIIVGIARGVLYLHQDSQLRVIHRDLKASNILLDDQMNAKISDFGMAKLFQMDQTQDATSRIVGTLGYMAPEYAMHGCFSAKSDVFSFGVLVLEIITGRQNGSFNSEDEQEYLLTNAWESWNQGRTLNLIDPILKRVVSRRDVLIRCFHIGLLCVQEKVADRPTMASVILMLSSDSFVLPLPSRPPYCMHSAMEEQETSRPAQDIPSSREQPISTSSSLTNHESYPSDQSGIGHLESSIKEISNP >Potri.011G028700.1.v4.1 pep chromosome:Pop_tri_v4:11:2153912:2157624:1 gene:Potri.011G028700.v4.1 transcript:Potri.011G028700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028700.v4.1 MDSLRLIVLFSFSCILLASLSIAQPDFLYQSCRNTENNYTANSTFQKNLDSLLSSLASNTQIDYGYYNFSAGQIGPDQVHAIVLCRGDILLNECRGCVSNSVRKILQICPNQKEAIGIYDFCILRYSNRSSFGVLDEEFIVYTWNRENASHVNLFSQALGNLIIRLRTAAASGTSLLKFATGNQTAGIITIFGLVQCMPDLSAQDCDDCLAGTFQQIRSCCDGQIEGKIGGRIVRGSCYLRFETYPFYRVTAATLAQLPLPPSPEAPPATENGNTTRKVVIIIVSILIFMAVVVITSIFLYCRRPKQEVKNFNENGGQECVQFRFASIRVATHNFSDDNKLGQGGFGAVYKGLLQDGQAIAVKRLARNSAQGEVEFKNEVLLVARLQHRNLVRLHGFCFEGTEKLLVYEFVPNSSLDQFLFDPSKRSLIDWEIRYQIIVGIARGVLYLHQDSQLRVIHRDLKASNILLDDQMNAKISDFGMAKLFQMDQTQDATSRIVGTLGYMAPEYAMHGCFSAKSDVFSFGVLVLEIITGRQNGSFNSEDEQEYLLTNAWESWNQGRTLNLIDPILKRVVSRRDVLIRCFHIGLLCVQEKVADRPTMASVILMLSSDSFVLPLPSRPPYCMHSAMEEQETSRPAQDIPSSREQPISTSSSLTNHESYPSDQSGIGHLESSIKEISNP >Potri.003G039000.3.v4.1 pep chromosome:Pop_tri_v4:3:4376916:4382196:1 gene:Potri.003G039000.v4.1 transcript:Potri.003G039000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039000.v4.1 MASVCALLNQLFLFLLLWLYTLSSSQAVHHQYNSEFRYPFIKKASSFSSSLSSSGRGDHTYDYIVVGGGTAGCPLAATLSQKFNVLLLERGGMPFGNANISLLQNFHITLTDTSSTSASQYFISTDGVLNSRARVLGGGTCINAGFYTRASTRFINKVGWDLKLVNKSYSWVEKQIVHRPKVAPWQVVVRDSLLDLGVAPFNGFTYDHIYGTKFGGTIFDRFGRRHTAAELLASADPHKLTVLVHATVQKVLFDTSGKRPKAAGVLFRDENGNQHQAFLSNSLSEVILSCGAIGTPQMLLLSGVGPKAELKEMKISVVLNNKFVGQGMADNPLNSVFVPSKKPVKQSLIQTVGITKMGVYIEASSGFGQSKDSIQCHHGIVSAEIGQLSTIPPKQRTPEAIQAYIRRKKDIPHEAFRGGFILEKISNPISTGKLKLINTNVEDNPSVTFNYFKHPHDLQRCVDGIRMATKMVQSEHFTNFTQCDKQTTDKILNMSVSANVNLIPKHTNDTKSLEQFCKDTVLSIWHYHGGCHVGKVVDREHKVLAVHRLRIVDGSVFDESPGTNPQATILMMGRYMGLKILRDRLGKAAGV >Potri.003G039000.1.v4.1 pep chromosome:Pop_tri_v4:3:4376916:4382209:1 gene:Potri.003G039000.v4.1 transcript:Potri.003G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039000.v4.1 MASVCALLNQLFLFLLLWLYTLSSSQAVHHQYNSEFRYPFIKKASSFSSSLSSSGRGDHTYDYIVVGGGTAGCPLAATLSQKFNVLLLERGGMPFGNANISLLQNFHITLTDTSSTSASQYFISTDGVLNSRARVLGGGTCINAGFYTRASTRFINKVGWDLKLVNKSYSWVEKQIVHRPKVAPWQVVVRDSLLDLGVAPFNGFTYDHIYGTKFGGTIFDRFGRRHTAAELLASADPHKLTVLVHATVQKVLFDTSGKRPKAAGVLFRDENGNQHQAFLSNSLSEVILSCGAIGTPQMLLLSGVGPKAELKEMKISVVLNNKFVGQGMADNPLNSVFVPSKKPVKQSLIQTVGITKMGVYIEASSGFGQSKDSIQCHHGIVSAEIGQLSTIPPKQRTPEAIQAYIRRKKDIPHEAFRGGFILEKISNPISTGKLKLINTNVEDNPSVTFNYFKHPHDLQRCVDGIRMATKMVQSEHFTNFTQCDKQTTDKILNMSVSANVNLIPKHTNDTKSLEQFCKDTVLSIWHYHGGCHVGKVVDREHKVLAVHRLRIVDGSVFDESPGTNPQATILMMGRYMGLKILRDRLGKAAGV >Potri.005G209500.3.v4.1 pep chromosome:Pop_tri_v4:5:21373212:21376005:1 gene:Potri.005G209500.v4.1 transcript:Potri.005G209500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209500.v4.1 MATLAPSSSSPIFSGPSSKLSQPSLSSSSRLSLSSFSQLSPLSSSLSISPSFSAYPTCSRRLFASSFPVKASAAEKKKILIVNTNSGGHAVIGFYFAKELLGSGHEVSILTVGEESSDKMKKPPFSRFSEIVGAGGKTVWGNPAEVGKAVEGATFDVVLDNNGKDLDTVRPVVDWAKSAGVKQFLFISSAGIYKPTDEPPHVEGDVVKADAGHVGVEKYIAEIFSSWAIFRPQYMIGSGNNKDCEEWFFDRIVRKRPVPIPGSGMQLTNIAHVRDLSSMLTLAVENPEAASGNIFNCVSDRAVTLDGMAKLCAQAAGLPVEIMHYDPKAVGIDAKKAFPFRNMHFYAEPRAAKDILGWQGTTNLPEDLKERFDEYVKIGRDKKPMQFEIDDKILESLKVPVAA >Potri.004G062000.4.v4.1 pep chromosome:Pop_tri_v4:4:5237555:5240487:-1 gene:Potri.004G062000.v4.1 transcript:Potri.004G062000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062000.v4.1 MDGKKNPVYFLPVLAVVILSGALHATAGNEYVSALGDPGMRRDGLRLAIESWNQCNEVGEEVPSMGSPRAADCFDIYKASPKAEEKNCALCNSLPYALVHRVTEEDNKLSVGNPFLGVQPNALYDVNLYAADKELYLGSKCQVEDTPNPWQFWMIMLKSGNMDTYSAKCPKNGHRVGPFGPDTGFPCFGKGCMNQPFIYHDYTTLQGPNRTTLKGRFYGSWDLDADLSKGLMDNISYHSVTWEKEVGKGSWIFHHLLRTSTKYPWLMLYLRSDATNDVSGGYHYPTRGMSKIIPESPNFKVRFTLNVINGGGPSSQFYLMDMGSCWKNDGKPCDGNVTSDVTRYSEMIINPNISSWCHPNNLNVCPPYHTFPDGTRIHRNDTARFPYAAYHLYCSPGNAEHLEFPYSLCDPYSNPQPQEILQILPHPVWGEYGYPTKQGEGWIGDPRTWELDVGRLSQSLYFYQDPGTPPARRQWMSIDLGTEIFKAPNQVAEWTVSDFDIIIPEQ >Potri.001G451100.1.v4.1 pep chromosome:Pop_tri_v4:1:47716742:47718471:-1 gene:Potri.001G451100.v4.1 transcript:Potri.001G451100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G451100.v4.1 MATSSNEEDYHLQYAMQLASASTLPMVFKAVIELGVLEIIEKAGPGALLSASQITSQLPTQTNPDAPTVLDRILCLLASHSILTCSLATENQDSDQVQRLYGLAPVAKYFIKKEDGGSLSPYFLVIQDKVTVDLWYHLKDVILQGGFLFQKAYGMSSMEYVKKDPRFGEVFSGFVRGFNPLFMKRILDIYDGFEGLTSLVDVGGGNGSVLNMIISKYPAIKGINFDLAPVIENSPSYPGIEHVAGDVFLTIPKGEAIFMKWVSHFWNDENFLKVLKNCYEALPDNGKLIVVEMVIPESPGTSVADRSLLQNYLFVTSMNPKRNERTEKEFERLAKAAGFSHFRVACSVCSFSVVEFIKKKATASTAAIAAK >Potri.010G128600.3.v4.1 pep chromosome:Pop_tri_v4:10:14513190:14523308:-1 gene:Potri.010G128600.v4.1 transcript:Potri.010G128600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128600.v4.1 MMFPIDPNGSQTQSNIDEQRNCILIAASNPHPLPTPVYRPQIQNLEQIENIDAQNESRLSDAETVAKSFPQCSVSLSIICPKQLPKLRSIYNAGKRNSRAADPPVDSVKNPHFLVLISENFMEARAALSLPGVTSLTSNQTPVKVDIASVTSVTGPAPTSIPSVNGSITNRPTISVGNVPTATVKVEPSTITSMANGPTFPHNPSVPRPASQGVPILQTSSPSTTTQDMATSGDDVQDLKPNVSVMTQSARPGPPAAANVSILNNISQARQVMNSAGLSGGTSLGLPSINQTSVAMHMSNMISSGMASSVPAAPTVFSSGQPGVSSITGSGTLTGTTQIGPNSGLGSFTSATSNVSGNSNLGRISQPMGNLQAGVSIGQSAPGMSQGNISGAQMVQSGMGANPNTMSGLGPSGVSSGLNTMIPTPGMSQQVQSGMQPLGANNNSADNLSMSQQTAGGLQPPQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISSQQQQMQMQRQQQQQQQMQQQQQQQQHPQLQQQQHQQQLPQLQQQQLSLLQQQQLSLLQQQQQLPQLQQQQMAGTGMGQTYVQGPGRPQLVSQGQVSSQGPANMPGGGFMS >Potri.010G128600.4.v4.1 pep chromosome:Pop_tri_v4:10:14513155:14523246:-1 gene:Potri.010G128600.v4.1 transcript:Potri.010G128600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128600.v4.1 MMFPIDPNGSQTQSNIDEQRNCILIAASNPHPLPTPVYRPQIQNLEQIENIDAQNESRLSDAETVAKSFPQCSVSLSIICPKQLPKLRSIYNAGKRNSRAADPPVDSVKNPHFLVLISENFMEARAALSLPGVTSLTSNQTPVKVDIASVTSVTGPAPTSIPSVNGSITNRPTISVGNVPTATVKVEPSTITSMANGPTFPHNPSVPRPASQGVPILQTSSPSTTTQDMATSGDDVQDLKPNVSVMTQSARPGPPAAANVSILNNISQARQVMNSAGLSGGTSLGLPSINQTSVAMHMSNMISSGMASSVPAAPTVFSSGQPGVSSITGSGTLTGTTQIGPNSGLGSFTSATSNVSGNSNLGRISQPMGNLQAGVSIGQSAPGMSQGNISGAQMVQSGMGANPNTMSGLGPSGVSSGLNTMIPTPGMSQQVQSGMQPLGANNNSADNLSMSQQTAGGLQPPQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISSQQQQMQMQRQQQQQQQMQQQQQQQQHPQLQQQQHQQQLPQLQQQQLSLLQQQQLSLLQQQQQLPQLQQQQMAGTGMGQTYVQGPGRPQLVSQGQVSSQGPANMPGGGFMS >Potri.010G128600.1.v4.1 pep chromosome:Pop_tri_v4:10:14513252:14523372:-1 gene:Potri.010G128600.v4.1 transcript:Potri.010G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128600.v4.1 MAEKQLIVAVEGTAAMGPFWSIIVSDYLEKIIRCYCGNELLGQKVPTSIVELSIVTFNSHGSYSACLVQRSGWTRDVDIFLQWLSAIPFAGGGFNDAAIAEGLSEALMMFPIDPNGSQTQSNIDEQRNCILIAASNPHPLPTPVYRPQIQNLEQIENIDAQNESRLSDAETVAKSFPQCSVSLSIICPKQLPKLRSIYNAGKRNSRAADPPVDSVKNPHFLVLISENFMEARAALSLPGVTSLTSNQTPVKVDIASVTSVTGPAPTSIPSVNGSITNRPTISVGNVPTATVKVEPSTITSMANGPTFPHNPSVPRPASQGVPILQTSSPSTTTQDMATSGDDVQDLKPNVSVMTQSARPGPPAAANVSILNNISQARQVMNSAGLSGGTSLGLPSINQTSVAMHMSNMISSGMASSVPAAPTVFSSGQPGVSSITGSGTLTGTTQIGPNSGLGSFTSATSNVSGNSNLGRISQPMGNLQAGVSIGQSAPGMSQGNISGAQMVQSGMGANPNTMSGLGPSGVSSGLNTMIPTPGMSQQVQSGMQPLGANNNSADNLSMSQQTAGGLQPPQSKYVKVWEGNLSGQRQGQPVFITRLEGYRSASASETLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMNQHGFLGQLQEKKLCAVIQLPSQTLLLSVSDKACRLIGMLFPGDMVVFKPQISSQQQQMQMQRQQQQQQQMQQQQQQQQHPQLQQQQHQQQLPQLQQQQLSLLQQQQLSLLQQQQQLPQLQQQQMAGTGMGQTYVQGPGRPQLVSQGQVSSQGPANMPGGGFMS >Potri.002G041800.2.v4.1 pep chromosome:Pop_tri_v4:2:2733481:2745643:-1 gene:Potri.002G041800.v4.1 transcript:Potri.002G041800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041800.v4.1 MGMPANVEIGSHVWVEDPEVAWIDGIVINIEQDEVEVQTSDGKTVAVSSSRIYPNDVEALSGGVDDMTRLQYLHEPAVLHNLATRYEINEIYTYSGNILIAVNPFQPLSHLYDVYVMERYKGAPIEGLSPHVFTIADVAYREMIKEGRSNSILVSGESGAGKTETTKMLMRYLAYLGGHSGAEGRTVEQQVLESNPVLEAFGNAKTAKNNNSSRFGKFVEIQFDKKGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPHEEIEKYKLGNPKSFHYLNQSNCYELVGVSDAQEYIATRRAMDVVGINEKEQDAIFRVVAAILHLGNINFEKDEDIDSAVVKDEQSLFHLQMTADLLMCDPLSLEDALCKRVMITPEEIIKRSLDPHAAAVSRDGLAKTIYSRLFEWLVDKINVSIGQDPNSKCLIGILDIYGFESFKANSFEQFCINFTNEKLQQHFNQHVFKMEQEEYAKEEINWNYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKDHKRFIKPKLTRSDFTIVHYAGEVQYQSGQFLDKNKYYVVAEHQDLLSASKCSFIAGLFPPLSEESAKPSKFSSVCSRFKIQLQQLMDILNSTEPHYIRCVKPNNLLKPATFDKINVIQQLRSGGVLEVIRIKCAGYPAHRTFSEFLIRFGILAPEIFKGNYEEKVACKWILEKMELKGYLMGKTKLFLRAEQMAELDAKKARLLRNSATVIQRHFRTYTTRKDYIVLRKSSIHIQSHWRGRLARELYKYKRKEVAAVKIQKNLRRQLARRAYTDIRISALVVQTGFRAMAARKDFRFREQTKAATIIQTCWRCHRAVSYYKKLKKASVISQSRWRGRTVKNLEAATIIQAYWRRYRAVSYYKKLKTASAISQCTWRRTAGKEHSNVKMAIRETGSDEEENYKLEKQVEELRCCFPSEKHPKMDLEETKTQEIDESWFSLQTSQNKVDETNALPPEEHYAVLRTIAVHPAVIETSTPISDSKKVENLNAEVKKLKALLLSEKQRADNFERTYAEVCKLSEKRRKKLEETERRVYRLQASLNNVSQDVIFHVRSICRAENDLICFIELKFNFPTRQN >Potri.002G041800.3.v4.1 pep chromosome:Pop_tri_v4:2:2733403:2746497:-1 gene:Potri.002G041800.v4.1 transcript:Potri.002G041800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041800.v4.1 MGMPANVEIGSHVWVEDPEVAWIDGIVINIEQDEVEVQTSDGKTVAVSSSRIYPNDVEALSGGVDDMTRLQYLHEPAVLHNLATRYEINEIYTYSGNILIAVNPFQPLSHLYDVYVMERYKGAPIEGLSPHVFTIADVAYREMIKEGRSNSILVSGESGAGKTETTKMLMRYLAYLGGHSGAEGRTVEQQVLESNPVLEAFGNAKTAKNNNSSRFGKFVEIQFDKKGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPHEEIEKYKLGNPKSFHYLNQSNCYELVGVSDAQEYIATRRAMDVVGINEKEQDAIFRVVAAILHLGNINFEKDEDIDSAVVKDEQSLFHLQMTADLLMCDPLSLEDALCKRVMITPEEIIKRSLDPHAAAVSRDGLAKTIYSRLFEWLVDKINVSIGQDPNSKCLIGILDIYGFESFKANSFEQFCINFTNEKLQQHFNQHVFKMEQEEYAKEEINWNYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKDHKRFIKPKLTRSDFTIVHYAGEVQYQSGQFLDKNKYYVVAEHQDLLSASKCSFIAGLFPPLSEESAKPSKFSSVCSRFKIQLQQLMDILNSTEPHYIRCVKPNNLLKPATFDKINVIQQLRSGGVLEVIRIKCAGYPAHRTFSEFLIRFGILAPEIFKGNYEEKVACKWILEKMELKGYLMGKTKLFLRAEQMAELDAKKARLLRNSATVIQRHFRTYTTRKDYIVLRKSSIHIQSHWRGRLARELYKYKRKEVAAVKIQKNLRRQLARRAYTDIRISALVVQTGFRAMAARKDFRFREQTKAATIIQTCWRCHRAVSYYKKLKKASVISQSRWRGRTVKNLEAATIIQAYWRRYRAVSYYKKLKTASAISQCTWRRTAGKEHSNVKMAIRETGSDEEENYKLEKQVEELRCCFPSEKHPKMDLEETKTQEIDESWFSLQTSQNKVDETNALPPEEHYAVLRTIAVHPAVIETSTPISDSKKVENLNAEVKKLKALLLSEKQRADNFERTYAEVCKLSEKRRKKLEETERRVYRLQASLNKMLYSMSDQFAELKMILYASSNSNSTSLPVKIDVQADVAPNNSDASSSDSDFTFPVPALASVDFSSPDPNAFQLIVQDLSTTEISGSDNGDKEGAFDDFF >Potri.002G253800.2.v4.1 pep chromosome:Pop_tri_v4:2:24271833:24272984:1 gene:Potri.002G253800.v4.1 transcript:Potri.002G253800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253800.v4.1 MASCNMASAASGFALAPTVATNTNSTSKPTMLFFSSKNNNKNYSRLVVRSAEEGASPPAATTEPAVAEAPKPKPPPIGPKRGTKVKILRKESYWFNGVGSVVAVDQDPRSRYPVVVRFNKVNYANVSTNNYALDEIEEVK >Potri.015G090700.1.v4.1 pep chromosome:Pop_tri_v4:15:11371597:11378295:1 gene:Potri.015G090700.v4.1 transcript:Potri.015G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090700.v4.1 METEGDAKRRREVESGILEKVGQIISEIKSAKHVDQLICSLHSLALLLFPLDSSLILPTIDESFREQIRSAKIPSANERSEWWQTFYRGRGAAFPTFARVLLLDVVSDWLACFPVSAKKHVYDVFFVNGFATEVVQTLVPYLQYKGNGSVVDVNAVQSNTERLLVLCLLENDGVLQIAREFGSSQLYEDFTIVQLQPLASRVAQIVASIPDKAQPRAPTSLSSHSFFRQITFQLLHGAEERDKNLFDEEATPHNFELDGILLFIGETFSRICRRGASDVLLGELVSHVLGHIRSFLSSSIDSVMADLLESDAGSQFWLKIMGAIKDPYAVERISEQLLRQLSIEHATDTEAYWILWILFNRIFNNQPAVRSLFLDKFLLWKIFPFCCLRWIIQFAVFECPPVSNSLTKGCETRGLVDMMQHLMAVWSRREFVQSTPMEQQAYVTAAIGLCMERISKEELDNSKDLMHSILQGVSFRLESPTYLIRKMASNIALVFSQVIDPKNPLYLDDNCFGETFDWEFGFTKPEKDTPSNHNHTEKHTDETKRLSTSQTEKDLDYSTNQGRGKSEKAESKKLSQFKLLDPDEIIDLATLNYGSASDEDEDEAASENSDSSSDSSLQPYDLTDDDTDLKRKLTQLVDVVGALRKSDDADGVERALDVVEKLVRASPDELTHIAGDLVRTLVQVRCSDLAVEGEEETAEEKRQRALVALLVSCPFQSLESLNKLLYSPNVDTSQRIMILDVMTEAAQELADSKTMKPTHQSRALISTISESQAWFLPSSMGPLGAGFWREVSETGTLLNYSNRYERELPLKPGQIRKGKIRRWSVRSANAPENQSGWTQNKFPVYSAAFMLPAMEGFDKKRHGVDLLGRDFIVLGKLIYMLGVCMRCVSMHPEASALAPSLLDMLRSREICHHKEAYVRRAVLFAASSVLVSLNPSFVASTLTEGNLEVSKGLEWVRTWALDVAESDTDRECYTMAVSCLRIHAEMALQASRVLESAESTLKPRSIGVSSNLSMTKIKIPFSNVEY >Potri.009G044300.7.v4.1 pep chromosome:Pop_tri_v4:9:5103427:5111185:1 gene:Potri.009G044300.v4.1 transcript:Potri.009G044300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044300.v4.1 MCEVSQFPFSLPNKFSLKTMDKTLAVTHRLLPLSFRLPAASSVNFNVRLSRSSSRSLDLVGSRSHGCTLKCSASTLDNQVARFQEASKNGNLIPLYRYIFSDHLTPVLAYRCLVREDDRDAPSFLFESVEPGLDASTIGRYSVVGAQPRMEIVAKENMVTIMDHYEGRRMEEIVEDPMEVPRKIMEGWEPQLIDELPEAFCGGWVGYFSYDTVRYVEKKKLPFSGAPPDDRNLPDVHLGLYDDVIVFDHVEKKACVIHWVQLDRFSSVKEAYEDGMNRLENILSRVHDIAPPRLPAGSIKLFTRLFGPKLENSSMTSEEYKDAVLQAKDHILAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMTYLQARGCILVASSPEILTRVKKEKITNRPLAGTVRRGKTPKEDLMLEKELLNDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDNLTRWDVLRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDIALALRTIVFPTSTRYDTMYSYKDVNTRREWVAHLQAGAGIVADSDPADEQRECENKAAALARAIDLAESAFLKK >Potri.009G044300.1.v4.1 pep chromosome:Pop_tri_v4:9:5103455:5110089:1 gene:Potri.009G044300.v4.1 transcript:Potri.009G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044300.v4.1 MCEVSQFPFSLPNKFSLKTMDKTLAVTHRLLPLSFRLPAASSVNFNVRLSRSSSRSLDLVGSRSHGCTLKCSASTLDNQVARFQEASKNGNLIPLYRYIFSDHLTPVLAYRCLVREDDRDAPSFLFESVEPGLDASTIGRYSVVGAQPRMEIVAKENMVTIMDHYEGRRMEEIVEDPMEVPRKIMEGWEPQLIDELPEAFCGGWVGYFSYDTVRYVEKKKLPFSGAPPDDRNLPDVHLGLYDDVIVFDHVEKKACVIHWVQLDRFSSVKEAYEDGMNRLENILSRVHDIAPPRLPAGSIKLFTRLFGPKLENSSMTSEEYKDAVLQAKDHILAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMTYLQARGCILVASSPEILTRVKKEKITNRPLAGTVRRGKTPKEDLMLEKELLNDEKQCAEHIMLVDLGRNDVGKVSKPGSVKVEKLMNIERYSHVMHISSTVTGELLDNLTRWDVLRAALPVGTVSGAPKVKAMELIDQLEVTRRGPYSGGFGGISFSGDMDIALALRTIVFPTSTRYDTMYSYKDVNTRREWVAHLQAGAGIVADSDPADEQRECENKAAALARAIDLAESAFLKK >Potri.001G068900.1.v4.1 pep chromosome:Pop_tri_v4:1:5171276:5176558:-1 gene:Potri.001G068900.v4.1 transcript:Potri.001G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068900.v4.1 MIPPELQPRSFRPYIASSISSPSFSSSFPTASPYSPNSDFPSPSTSSSRSRFSASFFAHNTRIALALAPCAAFLLDLGGAPVVAILTLGLMIAYIIDSLNFKSGAFFCVWASLIAAQIAFFFSSSLIFTFNSIPLGLLAAFLCAQTNFLIGAWASLQFKWIQLENPTIVLALERLLFACVPFAASSIFTWATISAVGMQNAAYYLMIFSCVFYWMFAIPRVSSFRSKQEVKYHGGEVPDDNFILSPLEGCFHTLNLLFFPLVFHVASHYSVIFSSAASVCDLLLLFFIPFLFQLYASTRGALWWVTKNANQLHSIRVVNGAVALIVVVICLEVRVVFHSFGRYIQVPPPLNYLLVTVTMLGGAAGAGASALGMISDAFSYWSFTALAVTVSSAGAIVVGFPLLFLPLPAIAGFEFARFVTKRSLSSYFSFVVLGSLIVTLFVVHNFWDLNIWMAGMSLKSFCKLIIANVVLAMAVPGLALLPPKLHFLAEICLISHALLLCHIENRFFNYPGYYYHGMEEDVMYPSYMVILTTFVGLALVRRLSVDHRIGPKAVWILTCLYSSKLSMLFISSKPVVWVSAVLLLAVTPPLLLYKEKSRTGSKMKPWKGYVHGGVVVLSVWLFRETIFEALQWWNGRAPSDGLLLGFCIALTGLACVPIVALHFSHVLPAKRCLVLVVATGLLFILMQPPIPLAWTYRSDIISAARQSSDDISIYGFMASKPTWPSWLLIVAILLTLAAVTSIIPIKYMVELRTFFSIAIGIALGVYISAEYFLQAAVLHALIVVTMVCASVFVVFTHFPSASSTKLLPWVFALLVALFPVTYLLEGQLRIKSILGDEVGDLAEEDRKLTTLLAVEGARTSLLGLYAAIFMLIALEIKFELASLMREKSLERVGIRHGQSSQSSSSNLAPRMRFMQQRRASTVPTFTIKRMVAEGAWMPAVGNVATIMCFAICLILNVNLTGGSTQAIFFLAPILLLLNQDSDFVAGFGDKQRYFPVTVAISAYLVLTALYSIWEDTWHGNVGWSLEIGGPDWFFAVKNLAVLILTFPSHILFNRFVWSNTKQTDSSPLITLPLNLPSIIISDVIKIRILGCLGIIYTIAQTMISRQQYISGMKYI >Potri.001G227750.1.v4.1 pep chromosome:Pop_tri_v4:1:24711339:24712804:-1 gene:Potri.001G227750.v4.1 transcript:Potri.001G227750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G227750.v4.1 MAMERWLDNAPFFPFVFVFICFFSWCFFSLAFGFFLPLPLWSFCSVCFLCFFPPPNSLYVFVLCLFLFVPMVSGFSLAFIGRENALVIRLISPRITILEMRISTVCSSMFEENKARLLETTHPYIGEAPCGREKLMPLAGLVEDKFDPHFGSDLVQFWLSRSPLG >Potri.015G137000.1.v4.1 pep chromosome:Pop_tri_v4:15:14566671:14571777:1 gene:Potri.015G137000.v4.1 transcript:Potri.015G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137000.v4.1 MRGTTIGRRIYNPCNFLSNPTSNHAPTFLSSSPFSTSSGRGRGAGNVGSPPGGQSEYGAAAPGKPDLDESKTESSESQPSGLGHGRGKPVGTGPILPAFSTFISSVKNSQPGAGRGRGTTEPGPSRSTESRPESEPPKKAEANLPPSILSGLGGAGRGKPVKQEVPIEPAKEENRHLRARSQPRSQPRTRQQKTPDGDDAVPATTKMGTQEAVKKAMELLSRGGGEGEVGGRGGGRGSFVPGRGGGRGGARGGGRGRGRGRRGYGDKEVEYGSGMSLEGHEEDEEKFAQSVGVETMNTLVEAFEEMSGRVLPCPIEDEYVDAFDTNCSFEFEPEYLMGEFDKNPDIDEKPPMPLRDALEKVKPFMMAYMGIKTHEEWEEIVEETMKDAPLMKKIVDSYSGPDRVSGKKQKEELERVAKTIPASAPDSVKSFADRAVLSLQSNPGWGFDKKCMFMDKLAKEVSQHYK >Potri.018G140900.1.v4.1 pep chromosome:Pop_tri_v4:18:14590607:14595066:-1 gene:Potri.018G140900.v4.1 transcript:Potri.018G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140900.v4.1 MRSGACTVQQTLTTEAASVLKHSLSLARRRGHAQVTPLHVAATLLSSRTSLLRRACLKSQPHQTSHPLQCRALELCFNVALNRLPTTPGPLLHGQPSLSNALIAALKRAQAHQRRGCIEQQQQQPLLTIKVELEQLIVSILDDPSVSRVMREAGFSSTAVKNNIEDSSASSVFQCYSTSGGVFSSPCSPSATETQREVINPTTFWQSHFLTYSSEQNPALFSAQKKVSSNYFTDSGSVKEDIKLVLEVLLRKNGKNVVIVGDCVSVTEGLIGELMGRLERGEVPMQLKQTQFIKFQFAPISLKFMKKQDVEMNLSELKRKVDSLGESGAIIYTGDLKWTVEETFVNGEVSVYSPVDHLVREIGRLLSEYSSSNRKVWLMATASYQTYMKCQMRQPSLETQWALQAVSVPSGGLGLSLHPSSIHDSRIKFSHNPSLVLETKPFINDGKEEEDRFTCCPECNSNYEKEVHSLKSGQQKHLPPWLQPQGTNSIQKDEFVELRRKWNRLCHSLHHQGRQSNLNSTLYSNQSLLGKNFSFASSYPWWPSQNSFFPDSNSISFGDSALKPNYSSSCVPKFRRQQSCHVEFNFVNGTQKNEPGEPNLDSLKNTEGKEVKITLALGNSLFSDIGKLEKGRSGHLCKLLKENVPWQSETIPSIVDALVESKSNEKDTWLLIQGNDTLGKRRLALAIAESVLGSADLLLHLNMRKRDNEVTSYSEMLARALRNQEKLVVFVEDVDLAETRFLKFLADGFESGKFGESSNRREGNASQVIFILTRGDSIIYEDRKMDDSVIQMTLKVNEIRNASFGTPNNDHKRKAEWEISGKSKSPRVDEKENASWFPDENGNKKKDFSRQSSFNTLDLNLKADEDDESEGKPGEFSPISSDLTRETSSDQLSPKGLLDMIKNRFVFDRNQAQDIEMTEVLSSKIKRNVNEVFGDQNGVYFSIEERVLGEVLEGSGSFVNSQFEKWLKGIFQTSLKTVKLGGKEEGIGVRLCFGFTSDRVFEDGFMGTCLPKKIQVSFMD >Potri.007G104500.1.v4.1 pep chromosome:Pop_tri_v4:7:12795850:12797285:-1 gene:Potri.007G104500.v4.1 transcript:Potri.007G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104500.v4.1 MLDHCNHLPSLNPEYKMHGNDNGDADFVFWGASETQYYGDRGCSDVGFQAQFEEDFVDYSSPLRETDVSINVRNESSPLSPRSHHNSSISPRSRLQVMAEGRKKLMEMVHSMPECSYELSLKDIVDVQQISEEAREEMAIQRTSSDLKIEAQIITKQKTKKTKSFSKTGDISRNGSMEKETFLIKMLIPTSLSFKIRSDNTRNDTEVPPRSSMELTDNRADKEWWVNRILFTKVCRKSGSSSRNSSTSTSSRNSSPSRYDDNMDALPSCWPIFCTKKSKSTKLKGRV >Potri.011G107800.5.v4.1 pep chromosome:Pop_tri_v4:11:13623924:13627954:-1 gene:Potri.011G107800.v4.1 transcript:Potri.011G107800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107800.v4.1 MGVVPYKIRLSEDGELYAVDEVNSNVVKISPPLSQYSRARLVAGSFQGYTGHIDGKPNEVRFNHPRGLTMDDKGNIYVADSLNHAIRKIGDAGVTTIAGGKSNVAGFRDGPSEDAKFSNDFDVVYVHSTCSLLVVDRGNAALRQISLNQEDCDYQSSSFTMTDVLMVVGAVLIGYATCMLQLGFGSSSSSRMQQSSESEYKEKSSKEKPIPIVDNMKEEPKWPSFGQLFIDLSKLALEALVGILLSFVPSWYKPGGARKGLTPLKDSLIMPDDEVEPPSVQRQSTPAPVSENRQVQTPTTSDKYSEMKLPKIKSASFKDPSPLSKHRSSKQQEYAEFYGSGEVPSHGRSKSHKEKSRHRQRDKSGEVVFGAVGAEPKPAEMNPVDYNSPKFNHYNNRSKYGSDSLYRF >Potri.011G107800.1.v4.1 pep chromosome:Pop_tri_v4:11:13623667:13628165:-1 gene:Potri.011G107800.v4.1 transcript:Potri.011G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107800.v4.1 MRRSHFSYTLVLAVLSLACTFQFQAHAAPPAPLVKHLSSLLKWTTTVASLKTPQSDENVLQFEDGYLVETVVKGNAMGVVPYKIRLSEDGELYAVDEVNSNVVKISPPLSQYSRARLVAGSFQGYTGHIDGKPNEVRFNHPRGLTMDDKGNIYVADSLNHAIRKIGDAGVTTIAGGKSNVAGFRDGPSEDAKFSNDFDVVYVHSTCSLLVVDRGNAALRQISLNQEDCDYQSSSFTMTDVLMVVGAVLIGYATCMLQLGFGSSSSSRMQQSSESEYKEKSSKEKPIPIVDNMKEEPKWPSFGQLFIDLSKLALEALVGILLSFVPSWYKPGGARKGLTPLKDSLIMPDDEVEPPSVQRQSTPAPVSENRQVQTPTTSDKYSEMKLPKIKSASFKDPSPLSKHRSSKQQEYAEFYGSGEVPSHGRSKSHKEKSRHRQRDKSGEVVFGAVGAEPKPAEMNPVDYNSPKFNHYNNRSKYGSDSLYRF >Potri.008G078900.4.v4.1 pep chromosome:Pop_tri_v4:8:4882920:4886057:-1 gene:Potri.008G078900.v4.1 transcript:Potri.008G078900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078900.v4.1 MALSEKNPMVAAHILVWMVLVLLSSRITLIDATATDIECLKSIKDSLVDPYNYLNTTWDFNNNTEGFLCRFMGVECWHPDENRVLNIRLSDLSLKGQFPLGIQKCTSLTGLDLSRNKLFGSIPANISKLLPYVTNLDLSFNNFSGGIPLNLANCSFLNDLKLDNNRLTGNIPLEFGLLDRIKIFTVTNNLLSGPIPNFIHSNIPVDSFANNLDLCGKPLKLCPGVQRKSHVGVIAAAAAGGITFTSIICGIFLYYLSRGVAKRKADDPEGNRWAKSIKGTKGIKVSMFEKSVSKMRLSDLMKATSDFSNNNIIGAGRTGPMYKAVFSEGCFLMVKRLQDSQRLEKEFVSEMNTLGNVKHRNLVPLLGFCVAKKERFLVYKFIENGTLYDKLHPLEPEIRNMDWPLRLKIAIGTARGLAWLHHNCNPRIIHRNISSKCILLDGDFEPKLSDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYLRTLVATPKGDVYSFGVVLLELITGEKPTHVANAPESFKGSLVEWIKQLSHGPLLHTAIDKPLPGNGYDHELNQFLKVACNCVVENAKERPTMFEVHQLLRAIGERYHFTTDDDIMLPSDTGDTAFPDELIVATEVTKEVE >Potri.008G078900.6.v4.1 pep chromosome:Pop_tri_v4:8:4882921:4886057:-1 gene:Potri.008G078900.v4.1 transcript:Potri.008G078900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078900.v4.1 MALSEKNPMVAAHILVWMVLVLLSSRITLIDATATDIECLKSIKDSLVDPYNYLNTTWDFNNNTEGFLCRFMGVECWHPDENRVLNIRLSDLSLKGQFPLGIQKCTSLTGLDLSRNKLFGSIPANISKLLPYVTNLDLSFNNFSGGIPLNLANCSFLNDLKLDNNRLTGNIPLEFGLLDRIKIFTVTNNLLSGPIPNFIHSNIPVDSFANNLDLCGKPLKLCPGVQRKSHVGVIAAAAAGGITFTSIICGIFLYYLSRGVAKRKADDPEGNRWAKSIKGTKGIKVSMFEKSVSKMRLSDLMKATSDFSNNNIIGAGRTGPMYKAVFSEGCFLMVKRLQDSQRLEKEFVSEMNTLGNVKHRNLVPLLGFCVAKKERFLVYKFIENGTLYDKLHPLEPEIRNMDWPLRLKIAIGTARGLAWLHHNCNPRIIHRNISSKCILLDGDFEPKLSDFGLARLMNPIDTHLSTFVNGEFGDLGYVAPEYLRTLVATPKGDVYSFGVVLLELITGEKPTHVANAPESFKGSLVEWIKQLSHGPLLHTAIDKPLPGNGYDHELNQFLKVACNCVVENAKERPTMFEVHQLLRAIGERYHFTTDDDIMLPSDTGDTAFPDELIVATEVTKEVE >Potri.001G132600.2.v4.1 pep chromosome:Pop_tri_v4:1:10779538:10783956:-1 gene:Potri.001G132600.v4.1 transcript:Potri.001G132600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132600.v4.1 MSNSSVTVAAVGPRSQPGFTVAQWHELEHQALIFKYLKAGLSVPPYLLLPIRKSFQLLSPGFLHPSNLSYCSYFGKKIDSEPGRCRRTDGKKWRCSKDAHPDSKYCERHMNRSRNRSRKPVESQTTSQSMSTVASEIVTGSSSSGSRAYTTMPLPTIGNSGPLGFGSNMSRWQMESMPYGVNSKDYRSLHGLKLEADEKTFLPEALGNTRSFGMNSTVDSTWHLTSQVPASPVPESRNDALLQNYPQLRTLQDFEPLTVDDAPSKQQEEQYLFGREFSSSGSMRQENQSLQPLFDEWPKCRDMDSYFTDQRSNKSSSGVQLSMAIPMAPNSAPRSYHSPNDA >Potri.001G132600.1.v4.1 pep chromosome:Pop_tri_v4:1:10779623:10783864:-1 gene:Potri.001G132600.v4.1 transcript:Potri.001G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132600.v4.1 MSNSSVTVAAVGPRSQPGFTVAQWHELEHQALIFKYLKAGLSVPPYLLLPIRKSFQLLSPGFLHPSNLSYCSYFGKKIDSEPGRCRRTDGKKWRCSKDAHPDSKYCERHMNRSRNRSRKPVESQTTSQSMSTVASEIVTGSSSSGSRAYTTMPLPTIGNSGPLGFGSNMSRWQMESMPYGVNSKDYRSLHGLKLEADEKTFLPEALGNTRSFGMNSTVDSTWHLTSQVPASPVPESRNDALLQNYPQLRTLQDFEPLTVDDAPSKQQEEQYLFGREFSSSGSMRQENQSLQPLFDEWPKCRDMDSYFTDQRSNKSSSGVQLSMAIPMAPNSAPRSYHSPNDA >Potri.001G173700.1.v4.1 pep chromosome:Pop_tri_v4:1:14920343:14924141:-1 gene:Potri.001G173700.v4.1 transcript:Potri.001G173700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173700.v4.1 MSYQQHFSMGSGSRPARRNFEFGRTYVVRPKGKHQATIVWLHGLGDNGSSWSQLLENLPLPNIKWICPTAPTRPVALLGGFPCTAWSDVGEISEDSPDDWEGLDASAAHIANLLSTEPADVKVAIGGFSMGAATAIYSATCAALGQYGNGNAYPINLRAVVGLSGWLPGSRSLRSKVEGSHEAARRAASLPIFLCHGTSDDVVPYNYGEKSAHSLNTAGFRNLIFKSYEGLGHYTVPKEMDEVRNWLTARLGLEGSRS >Potri.014G061133.2.v4.1 pep chromosome:Pop_tri_v4:14:3944058:3945115:1 gene:Potri.014G061133.v4.1 transcript:Potri.014G061133.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061133.v4.1 MKKQQGSGYPVGKLGKSSQRTHKRKGQGKQNFGCHCDANLCRCEESLQGFIFSTPCHAVFAFLNPLLIGVIQVKFQGTAQSPFQTSLPSMWAFLLATIIYCFAFAANMRFKCTWYSRLSGHVAFFAGSFSSISLVSVLLPALLGRLIFAVWIILPIVVARNFVQFIYLWIYQRIMTLIFKILGFWYRFLDDMSVARNFVQFICMWIYQRIMTLIFKILGFWYRFLDDMSVARNLVQFICLWIYQRIVTLIFKILGFWYWFLDDMSIEEQAEYPVENLEIIIAN >Potri.014G061133.1.v4.1 pep chromosome:Pop_tri_v4:14:3940590:3945115:1 gene:Potri.014G061133.v4.1 transcript:Potri.014G061133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061133.v4.1 MWSRNIIYNRGEEAYYIEMRKQRDSGYPVGRLGKSSQRTRKRKGQGRQNFGCHCDANLCRCEESLQGFIFSTPCHAVFAFLNPLLIGVIQVKFQGTAQSPFQTSLPSMWAFLLATIIYCFAFAANMRFKCTWYSRLSGHVAFFAGSFSSISLVSVLLPALLGRLIFAVWIILPIVVARNFVQFIYLWIYQRIMTLIFKILGFWYRFLDDMSVARNFVQFICMWIYQRIMTLIFKILGFWYRFLDDMSVARNLVQFICLWIYQRIVTLIFKILGFWYWFLDDMSIEEQAEYPVENLEIIIAN >Potri.001G404800.4.v4.1 pep chromosome:Pop_tri_v4:1:43075502:43086388:-1 gene:Potri.001G404800.v4.1 transcript:Potri.001G404800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404800.v4.1 MDQERPQKKRVKHTDPFWDHVEKTSDGGPFKCKFCKSSFAASTSISRFKYHLSGESGKGVGICGRVPVDVKAAAYQAMHKKQNAIPPIDHPVPNVEARRMEQEGRDLPDMAMEDWTESTRWEEFIEELMVINEAGGSQGQGVYEGMLDTENLTHSRTVEGIELIDQVRVYEEQGADVSDGGVENLTDNFTGSVSIVTDESRVSEGLHAHKAKGEALLTTKLVGQASDRNKEMIWSWLMKDDVLSVGIYGMGGVGKTSLVTHIHNQLLQRPSSFNYVFWVTVSQNFTISKLQYLIAKAINLDLSNEEDEKKRAAKLSKALVAKGKSVLILDDLWNHFLLEMVGIPVEVNACKLILTSRSLEVCRRMGCQKSIKVELLTKEEAWTLFVEKLGQRHYADLSPEVADIAKSVAAECACLPLGIIAMAGSMREVNDLYEWRNALTELKQSEVGVEDMEPEVFHILRFSYMHLNDSALQQCLLYCAFFPEDFTVDREDLIGYLIDEGIIQPMKSRQAEYDRGQAMLNKLENACLLESYISKEDYRCFKMHDLIRDMALQKLREKSPIMVEVEEQLKELPDEDEWKVDVMRVSLMKNHLKEIPSGCSPMCPKLSTLFLFSNFKLEMIADSFFKHLQGLKVLDLSATAIRELPSSFSDLVNLTALYLRRCHNLRYIPSLAKLRGLRKLDLRYTALEELPQGMEMLSNLRYLNLFGNSLKEMPAGILPKLSQLQFLNANRASGIFKTVRVEEVACLNRMETLRYQFCDLVDFKKYLKSPEVRQYLTTYFFTIGQLGVDREMDSLLYMTPEEVFYKEVLVHDCQIGEKGRFLELPEDVSSFSIGRCHDARSLCDVSPFKHATSLKSLGMWECDGIECLASMSESSTDIFESLESLYLKTLKNFCVFITREGAAPPSWQSNGTFSHLKKVTIGECPSMKNLFSLDLLPNLTNLEVIEVDDCDQMEEIIAIEDEEEGMMVEDSSSSSHYAVTSLPNLKVLKLSNLPELKSIFHGEVICDSLQEIIVVNCPNLKRISLSHRNHANGQTPLRKIQAYPKEWWESVEWGNSNSKNALEPLCVFWESLF >Potri.001G404800.5.v4.1 pep chromosome:Pop_tri_v4:1:43075502:43085636:-1 gene:Potri.001G404800.v4.1 transcript:Potri.001G404800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404800.v4.1 MDQERPQKKRVKHTDPFWDHVEKTSDGGPFKCKFCKSSFAASTSISRFKYHLSGESGKGVGICGRVPVDVKAAAYQAMHKKQNAIPPIDHPVPNVEARRMEQEGRDLPDMAMEDWTESTRWEEFIEELMVINEAGGSQGQGVYEGMLDTENLTHSRTVEGIELIDQVRVYEEQGADVSDGGVENLTDNFTGSVSIVTDESRVSEGLHAHKAKGEALLTTKLVGQASDRNKEMIWSWLMKDDVLSVGIYGMGGVGKTSLVTHIHNQLLQRPSSFNYVFWVTVSQNFTISKLQYLIAKAINLDLSNEEDEKKRAAKLSKALVAKGKSVLILDDLWNHFLLEMVGIPVEVNACKLILTSRSLEVCRRMGCQKSIKVELLTKEEAWTLFVEKLGQRHYADLSPEVADIAKSVAAECACLPLGIIAMAGSMREVNDLYEWRNALTELKQSEVGVEDMEPEVFHILRFSYMHLNDSALQQCLLYCAFFPEDFTVDREDLIGYLIDEGIIQPMKSRQAEYDRGQAMLNKLENACLLESYISKEDYRCFKMHDLIRDMALQKLREKSPIMVEVEEQLKELPDEDEWKVDVMRVSLMKNHLKEIPSGCSPMCPKLSTLFLFSNFKLEMIADSFFKHLQGLKVLDLSATAIRELPSSFSDLVNLTALYLRRCHNLRYIPSLAKLRGLRKLDLRYTALEELPQGMEMLSNLRYLNLFGNSLKEMPAGILPKLSQLQFLNANRASGIFKTVRVEEVACLNRMETLRYQFCDLVDFKKYLKSPEVRQYLTTYFFTIGQLGVDREMDSLLYMTPEEVFYKEVLVHDCQIGEKGRFLELPEDVSSFSIGRCHDARSLCDVSPFKHATSLKSLGMWECDGIECLASMSESSTDIFESLESLYLKTLKNFCVFITREGAAPPSWQSNGTFSHLKKVTIGECPSMKNLFSLDLLPNLTNLEVIEVDDCDQMEEIIAIEDEEEGMMVEDSSSSSHYAVTSLPNLKVLKLSNLPELKSIFHGEVICDSLQEIIVVNCPNLKRISLSHRNHANGQTPLRKIQAYPKEWWESVEWGNSNSKNALEPLCVFWESLF >Potri.011G088400.2.v4.1 pep chromosome:Pop_tri_v4:11:11315208:11318044:-1 gene:Potri.011G088400.v4.1 transcript:Potri.011G088400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088400.v4.1 MDSPQSVVSPFKTSVGFETEKQKYDYPVQSTGSFSKGIEIHRNDAVVGNLEDFVGVVEVYIHQARDIQNICIYHKQDVYAKFCLTSDPEHTVSTKIINGGGRNPVFNDSLRLNVKTVDSSLKCEVFMMSRVRNYLEDQLLGFALVPLSEVLINNGNLDKEFSLSSTDLFYSPAGFVQLSLSYSGASPEVMAIPAIPTALAANGTIQDSEIQESLPCEFDKIEFPDPKVVNENQMMVSEYFGIPCSSLDSEASESLATSDTENYLSSENGVHVVESFSAATSDSIQVPKLGSPPSSVSTNGVSSPSVDASSETSDSPAASKTPNLDHVSDRKERESTNVRDGETDSSGGASNEKIAKPVITVNIEPETNMVQQDIVDMYMKSMQQFTESLAKMKLPLDIESGPTSSGSSSSDKNVQASKNTGSRVFYGSRAFF >Potri.011G088400.8.v4.1 pep chromosome:Pop_tri_v4:11:11315298:11318267:-1 gene:Potri.011G088400.v4.1 transcript:Potri.011G088400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088400.v4.1 MDSPQSVVSPFKTSVGFETEKQKYDYPVQSTGSFSKGIEIHRNDAVVGNLEDFVGVVEVYIHQARDIQNICIYHKQDVYAKFCLTSDPEHTVSTKIINGGGRNPVFNDSLRLNVKTVDSSLKCEVFMMSRVRNYLEDQLLGFALVPLSEVLINNGNLDKEFSLSSTDLFYSPAGFVQLSLSYSGASPEVMAIPAIPTALAANGTIQDSEIQESLPCEFDKIEFPDPKVVNENQMMVSEYFGIPCSSLDSEASESLATSDTENYLSSENGVHVVESFSAATSDSIQVPKLGSPPSSVSTNGVSSPSVDASSETSDSPAASKTPNLDHVSDRKERESTNVRDGETDSSGGASNEKIAKPVITVNIEPETNMVQQDIVDMYMKSMQQFTESLAKMKLPLDIESGPTSSGSSSSDKNVQASKNTGSRVFYGSRAFF >Potri.011G088400.6.v4.1 pep chromosome:Pop_tri_v4:11:11315309:11318020:-1 gene:Potri.011G088400.v4.1 transcript:Potri.011G088400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088400.v4.1 MDSPQSVVSPFKTSVGFETEKQKYDYPVQSTGSFSKGIEIHRNDAVVGNLEDFVGVVEVYIHQARDIQNICIYHKQDVYAKFCLTSDPEHTVSTKIINGGGRNPVFNDSLRLNVKTVDSSLKCEVFMMSRVRNYLEDQLLGFALVPLSEVLINNGNLDKEFSLSSTDLFYSPAGFVQLSLSYSGASPEVMAIPAIPTALAANGTIQDSEIQESLPCEFDKIEFPDPKVVNENQMMVSEYFGIPCSSLDSEASESLATSDTENYLSSENGVHVVESFSAATSDSIQVPKLGSPPSSVSTNGVSSPSVDASSETSDSPAASKTPNLDHVSDRKERESTNVRDGETDSSGGASNEKIAKPVITVNIEPETNMVQQDIVDMYMKSMQQFTESLAKMKLPLDIESGPTSSGSSSSDKNVQASKNTGSRVFYGSRAFF >Potri.011G088400.7.v4.1 pep chromosome:Pop_tri_v4:11:11315309:11318436:-1 gene:Potri.011G088400.v4.1 transcript:Potri.011G088400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088400.v4.1 MDSPQSVVSPFKTSVGFETEKQKYDYPVQSTGSFSKGIEIHRNDAVVGNLEDFVGVVEVYIHQARDIQNICIYHKQDVYAKFCLTSDPEHTVSTKIINGGGRNPVFNDSLRLNVKTVDSSLKCEVFMMSRVRNYLEDQLLGFALVPLSEVLINNGNLDKEFSLSSTDLFYSPAGFVQLSLSYSGASPEVMAIPAIPTALAANGTIQDSEIQESLPCEFDKIEFPDPKVVNENQMMVSEYFGIPCSSLDSEASESLATSDTENYLSSENGVHVVESFSAATSDSIQVPKLGSPPSSVSTNGVSSPSVDASSETSDSPAASKTPNLDHVSDRKERESTNVRDGETDSSGGASNEKIAKPVITVNIEPETNMVQQDIVDMYMKSMQQFTESLAKMKLPLDIESGPTSSGSSSSDKNVQASKNTGSRVFYGSRAFF >Potri.010G175600.1.v4.1 pep chromosome:Pop_tri_v4:10:17603233:17605430:-1 gene:Potri.010G175600.v4.1 transcript:Potri.010G175600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175600.v4.1 MDSVTPPSAAAVHPVIVPLSYLLGTWRGQGEGGYPTINSFSYGEELHFSSNPGKPVIAYNQKTWKLNSGEPMHAESGYWRPKPDGTIEVVIAQSTGIVEVQKGTYNTQDKTIKLQSQLVGNASKVKEITRDFELVNGDLSYVVQMATNLTGIQPHLKALLKKL >Potri.008G129300.4.v4.1 pep chromosome:Pop_tri_v4:8:8432698:8437371:-1 gene:Potri.008G129300.v4.1 transcript:Potri.008G129300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129300.v4.1 MAADFQESFGSSISFQADSLHSSISFGRFESEDLPWERRSSFSHNRYLEEVEKCSKPGSVIEKKAYFEAHFKKKGILLPGSFDGLNGRGCQNGENENDGHENLGQGEEDYILDGSCNYFHSEDDELLENVGFNGFDNGNDGGEFEYVHDENHHAHFDESPVGSEYHGECEVIECQKEDPVVLPSESRLEAAVDDADVLVKGVDEDVKPEEVHQIETMRDELHLNNDKQEMGMKYNLEANAANVDESSTEIDLSPKCGTTKDLDSTTAGHRQNLSPKSRASDESKSTKPRMKSLINGSQVQKILDNVTKTAARNQNIRERETPQRAKSEKQSSRAATPTRRVLHRAKNEENSESGNSRIHPVNKSEKEPRVKKFESPSSRSKRVEPIAHLSTNRTKQNASSINPDTRPSASTFSFKSDERAERRKEFYMKLEEKWHAKEAEMNQIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHVAVPPASNGNKASLSKTKPAKARHKSTSPASGAAARPQLLSRAGKDQALSANEFVKTTNQPEPSERTDHPPTKVSEALDTSPTNNSRHNPEALTKTGVTGKNERGGKVKDPNFKRHRVSENTKVPKDPKFEGKAKMGNHRSSSEMLRKSIKRIGSGSNSGMGHLAVGVAS >Potri.008G129300.1.v4.1 pep chromosome:Pop_tri_v4:8:8432710:8437340:-1 gene:Potri.008G129300.v4.1 transcript:Potri.008G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129300.v4.1 MAADFQESFGSSISFQADSLHSSISFGRFESEDLPWERRSSFSHNRYLEEVEKCSKPGSVIEKKAYFEAHFKKKGILLPGSFDGLNGRGCQNGENENDGHENLGQGEEDYILDGSCNYFHSEDDELLENVGFNGFDNGNDGGEFEYVHDENHHAHFDESPVGSEYHGECEVIECQKEDPVVLPSESRLEAAVDDADVLVKGVDEDVKPEEVHQIETMRDELHLNNDKQEMGMKYNLEANAANVDESSTEIDLSPKCGTTKDLDSTTAGHRQNLSPKSRASDESKSTKPRMKSLINGSQVQKILDNVTKTAARNQNIRERETPQRAKSEKQSSRAATPTRRVLHRAKNEENSESGNSRIHPVNKSEKEPRVKKFESPSSRSKRVEPIAHLSTNRTKQNASSINPDTRPSASTFSFKSDERAERRKEFYMKLEEKWHAKEAEMNQIQAKTQQEKTEAEIKQFRKSLNFKATPMPSFYHVAVPPASNGNKASLSKTKPAKARHKSTSPASGAAARPQLLSRAGKDQALSANEFVKTTNQPEPSERTDHPPTKVSEALDTSPTNNSRHNPEALTKTGVTGKNERGGKVKDPNFKRHRVSENTKVPKDPKFEGKAKMGNHRSSSEMLRKSIKRIGSGSNSGMGHLAVGVAS >Potri.008G129300.2.v4.1 pep chromosome:Pop_tri_v4:8:8432726:8437345:-1 gene:Potri.008G129300.v4.1 transcript:Potri.008G129300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129300.v4.1 MAADFQESFGSSISFQADSLHSSISFGRFESEDLPWERRSSFSHNRYLEEVEKCSKPGSVIEKKAYFEAHFKKKGILLPGSFDGLNGRGCQNGENENDGHENLGQGEEDYILDGSCNYFHSEDDELLENVGFNGFDNGNDGGEFEYVHDENHHAHFDESPVGSEYHGECEVIECQKEDPVVLPSESRLEAAVDDADVLVKGVDEDVKPEEVHQIETMRDELHLNNDKQEMGMKYNLEANAANVDESSTEIDLSPKCGTTKDLDSTTAGHRQNLSPKSRASDESKSTKPRMKSLINGSQVQKILDNVTKTAARNQNIRERETPQRAKSEKQSSRAATPTRRVLHRAKNEENSESGNSRIHPVNKSEKEPRVKKFESPSSRSKRVEPIAHLSTNRTKQNASSINPDTRPSASTFSFKSDERAERRKELEEKWHAKEAEMNQIQAKTQEKTEAEIKQFRKSLNFKATPMPSFYHVAVPPASNGNKASLSKTKPAKARHKSTSPASGAAARPQLLSRAGKDQALSANEFVKTTNQPEPSERTDHPPTKVSEALDTSPTNNSRHNPEALTKTGVTGKNERGGKVKDPNFKRHRVSENTKVPKDPKFEGKAKMGNHRSSSEMLRKSIKRIGSGSNSGMGHLAVGVAS >Potri.018G065700.1.v4.1 pep chromosome:Pop_tri_v4:18:7830538:7831374:-1 gene:Potri.018G065700.v4.1 transcript:Potri.018G065700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065700.v4.1 MASSKLRSSCSFPSLLLSCLNFTLFILSSASIVPIVLLKMPPTSLGFALLVGSCISLLSSFVGFYSQLTHMCCITHVSLLLASLVGQVLSILALFTREKPSLAMLKSSRDPREAKLLVRLECGVLMAMLMMQLVVLVLSCAVHNCWVREYEGLEAEREATAKKRSRRIARVQEESMANVAKIVEIKEKEFDDKMKSKYGHSQWVKTDFEG >Potri.001G383500.1.v4.1 pep chromosome:Pop_tri_v4:1:40286679:40290320:1 gene:Potri.001G383500.v4.1 transcript:Potri.001G383500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383500.v4.1 MATYTPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDVGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKHLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICNLFSRDPDTSIKFVDNRPFNDQRYFLDDQKLNNLGWSEHTTWQEGLRKTMEWYTQNPDWWGDVSGALLPHPRMLMMPGGRHFDGSEEKDASYVSNNSNQTRMVIPVSKGSGSGSPRKPSLKFLIYGRTGWIGGLLGKLCEKQGISYEYGKGRLEDRSSLLSDIQNVRPTHVFNAAGVTGRPNVDWCESHKTETIRTNVTGTLTLADLCREHNLLMMNFATGCIFEYNAGHPEGSGIGFTEEDKPNFIGSYYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKNYINPDFTWVNFDLEEQAKVIVAPRSNNEMDASKLKNEFPELLPIKESLIKYVFEPNKRT >Potri.001G383500.3.v4.1 pep chromosome:Pop_tri_v4:1:40287320:40290149:1 gene:Potri.001G383500.v4.1 transcript:Potri.001G383500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383500.v4.1 MATYTPKNILITGAAGFIASHVCNRLIRNYPDYKIVVLDKLDYCSNLKNLLPSKSSPNFKFVKGDVGSADLVNFLLITESIDTIMHFAAQTHVDNSFGNSFEFTKNNIYGTHVLLEACKVTGQIRRFIHVSTDEVYGETDEDAVVGNHEASQLLPTNPYSATKAGAEMLVMAYGRSYGLPVITTRGNNVYGPNQFPEKLIPKFILLAMQGKHLPIHGDGSNVRSYLYCEDVAEAFEVILHKGEVGHVYNIGTKKERRVIDVAKDICNLFSRDPDTSIKFVDNRPFNDQRYFLDDQKLNNLGWSEHTTWQEGLRKTMEWYTQNPDWWGDVSGALLPHPRMLMMPGGRHFDGSEEKDASYVSNNSNQTRMVIPVSKGSGSGSPRKPSLKFLIYGRTGWIGGLLGKLCEKQGISYEYGKGRLEDRSSLLSDIQNVRPTHVFNAAGVTGRPNVDWCESHKTETIRTNVTGTLTLADLCREHNLLMMNFATGCIFEYNAGHPEGSGIGFTEEDKPNFIGSYYSKTKAMVEELLKEYDNVCTLRVRMPISSDLNNPRNFITKISRYNKVVNIPNSMTILDELLPISIEMAKRNLRGIWNFTNPGVVSHNEILEMYKNYINPDFTWVNFDLEEQAKVIVAPRSNNEMDASKLKNEFPELLPIKESLIKYVFEPNKRT >Potri.009G104700.2.v4.1 pep chromosome:Pop_tri_v4:9:9171386:9172583:-1 gene:Potri.009G104700.v4.1 transcript:Potri.009G104700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104700.v4.1 MASAPNNEIALKFRFFQVYKDGRVELFYPHNKKIPPSDDPVTGVQSKDVIISSEPQVSARIFLPKLKNPNQKLPLLLYIHGGGFSMKSASSPAYHKLCNQVAGEADFIVVSVEYGLFPTRPIPACYEDSWAVLQWVASHVNGNGHDPWLNDHADLGKVFIGGDSAGGNISHTLAFRVWSIGLPRGVKVVGVVMVHPFFGGTKDDEMWLYMCPTNSGLNDPRMNPDVEDLARLGCERMLIFVAEKDYLIVAAKNYYEKLRKSGWKGTVELVENEKEDHCFHLLNLDSDKAQEMRYKFVSFLKQDQFNLL >Potri.015G010500.1.v4.1 pep chromosome:Pop_tri_v4:15:687875:688357:1 gene:Potri.015G010500.v4.1 transcript:Potri.015G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010500.v4.1 MAPTLRIKTFLLLSLLLLAPLSSSGLVEGFKEGMHPHNSFVKDGIHMINARKLLLDMLDYGDAGANHKHDPRGKAVVVGGKSP >Potri.005G156700.1.v4.1 pep chromosome:Pop_tri_v4:5:14920557:14922426:1 gene:Potri.005G156700.v4.1 transcript:Potri.005G156700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156700.v4.1 MNMKGEYVERHQAKHENTPNMFSELNPHHQHLPFSQHFQLSRESEEEDTRSTGAATTPNPIPTSQKLNELNSSGGTDGATIEVVRRPRGRPPGSKNKPKPPVIITREPEPAMSPYILEVPGGNDVVEALSRFCRRKNMGICVLTGTGTVANVTLRQPSTTPGTTITFHGRFDILSISATFLPQTTSYPLPNSFTISLAGPQGQIVGGIVAGGLVAAGTVFVVAASFNNPSYHRLQVEEEGRNSGSGGGGGEGRSPVSGAGGGESGHAASGGGGGGESCGMAMYSCHLPANDVIWAPSARQPPPSPY >Potri.008G025900.6.v4.1 pep chromosome:Pop_tri_v4:8:1323746:1334874:-1 gene:Potri.008G025900.v4.1 transcript:Potri.008G025900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025900.v4.1 MERFPIPQDIDFLPTMTGKPIPRSNVMLTDGQGNQLGRTNWQLGGFSSGCEQDVSSYSRVTQHADQIDQLVRNGGDNIRNAGIGHEVTRMGNNTGNHIESQTAGLNSSLLASILAYPNIATFTSPVAPPSASTCMVWRPSFPNLHAQVNNCSEPNLLLGNQARCWNLLSSSYISPQTHYEPPSPWLPHHDLNSSPRIEADAASSVTTSFKFATVVPDQAKRLESKLPTTMSPSREKNSSGENEKNDLVVFNELKANQQNSEELSCNIADSSSAVISTPFEGEKDLARPDEQGIDLNKTPLQKPPKRRKHRPKVIVEGKPKRTPKAATTKNTDPKEKSTEKRKYVRKALKEPAIQQTDSAIETTPPSSAKRKYVRKKGLNESAVQHTDSIGETINTRPVKRKYVRKKGLNESAVQHTDSIGETINTCPVKRKYVRKKGINESANQHADSTVGIAHSSAEVGAKSCRRELRFDLETTTDERYSNAAAQEDMLNKKRGTFDLNTSLQVADSSTTTSQMNQHNSLQVENKQSGATSNQTPLMNQMGRSYTSISERHAVAAELTPRKIMHMENLNVNARDARGIGQVVFLEKRPERTRQITYQNTPQSVQMIPLYLIEGRGSKREHLHIEKTNTCTFQPVGSLFDEYYQSIPGVGCLEAQKRNKTENGTQTNTLSMSSCVATTKYPGEWYVHPTASQNLPKQCISFNPILHLERTGESNGLAQVHNSLSPTTIAVCHNLSQTPLKTNHASDSQLQPETCNTEMSRIQQMSGATVSITIPSGKGRMPQQPKDILKEHQQPSAKRRGRPAKQKFSSTIEEIIHHMECLSLNARSKKIKNKEQNALVPYKGGGTLVPYDGFEFVKKHKPRPKVDLDPESDRVWKLLMGKEGSEGLERTDKGKEQWWEEERKVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLASLFPLKSRSNAAHDSHRKGIMVEEPDVCMQNPNDIIKWNSKFRYPLYNQSPITHHGSAEPQGESETWCIERASMVGAQSHSLEEEFVSSQDSFDSSTVQANGGVRSYSGSNSETEDPPTGCKPSTSHGLSFVDRLEMESPTLLEEFDGCESGSSLFHRGSGHENEQAEGIQNMQQGAGLERLGNLNCFSPYNKQFDYCNPQMQGKVVSCSNYGLLHMTSQSNAQQAEGFKLQSEDSISSWTSNSSRFNKEKAASCSSKTVGQKAASVGKKAAREYELPRYQEAPLAVQHSLYRKQSMYEQSSFQPYHENQVNERNETLQWQSMSAGGPVNLAETLPEKQNSYTQHISNVPRLTENILDFQRITSVNKQTPQENIVVDPNTKKKVHPDNRENLKSNANASKARKGKVESEKADVFDWDSLRKQVQTNGRKERTEDTMDSLDYEAVRCAGVNEISEAIKERGMNKILAERIQEFLNRLVREHGSIDLEWLRDVPPGKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSRPNCNACPMRAECRHFASAFTSARLALPGPETKDITTSTVPFMPEKSPSIVINPMPLLPPEDNQHKSVGFDIGSCEPIIEEPVTPDQEQTELAETDIEDFGEDPDEIPTIKLNMEEFTENLQNYIHSNMELQEYDMSKALVALDPNASIPTPKLKNVSRLRTEHQVYELPDSHPLLQGMDKREPDDPSPYLLAIWTPGETANSIEPPEQQCQSREPNKLCNEKICFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSLNPIDVPRSLIWNLPRRIVYFGTSVPSIFKGTRQC >Potri.008G025900.8.v4.1 pep chromosome:Pop_tri_v4:8:1323670:1330096:-1 gene:Potri.008G025900.v4.1 transcript:Potri.008G025900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025900.v4.1 MSLASLFPLKSRSNAAHDSHRKGIMVEEPDVCMQNPNDIIKWNSKFRYPLYNQSPITHHGSAEPQGESETWCIERASMVGAQSHSLEEEFVSSQDSFDSSTVQANGGVRSYSGSNSETEDPPTGCKPSTSHGLSFVDRLEMESPTLLEEFDGCESGSSLFHRGSGHENEQAEGIQNMQQGAGLERLGNLNCFSPYNKQFDYCNPQMQGKVVSCSNYGLLHMTSQSNAQQAEGFKLQSEDSISSWTSNSSRFNKEKAASCSSKTVGQKAASVGKKAAREYELPRYQEAPLAVQHSLYRKQSMYEQSSFQPYHENQVNERNETLQWQSMSAGGPVNLAETLPEKQNSYTQHISNVPRLTENILDFQRITSVNKQTPQENIVVDPNTKKKVHPDNRENLKSNANASKARKGKVESEKADVFDWDSLRKQVQTNGRKERTEDTMDSLDYEAVRCAGVNEISEAIKERGMNKILAERIQEFLNRLVREHGSIDLEWLRDVPPGKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSRPNCNACPMRAECRHFASAFTSARLALPGPETKDITTSTVPFMPEKSPSIVINPMPLLPPEDNQHKSVGFDIGSCEPIIEEPVTPDQEQTELAETDIEDFGEDPDEIPTIKLNMEEFTENLQNYIHSNMELQEYDMSKALVALDPNASIPTPKLKNVSRLRTEHQVYELPDSHPLLQGMDKREPDDPSPYLLAIWTPGETANSIEPPEQQCQSREPNKLCNEKICFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSLNPIDVPRSLIWNLPRRIVYFGTSVPSIFKGLSTEGVQHCFWKGFVCVRGFDQKTRAPRPLKARLHFPVSRLVKTKNEKK >Potri.008G025900.2.v4.1 pep chromosome:Pop_tri_v4:8:1323671:1334871:-1 gene:Potri.008G025900.v4.1 transcript:Potri.008G025900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025900.v4.1 MERFPIPQDIDFLPTMTGKPIPRSNVMLTDGQGNQLGRTNWQLGGFSSGCEQDVSSYSRVTQHADQIDQLVRNGGDNIRNAGIGHEVTRMGNNTGNHIESQTAGLNSSLLASILAYPNIATFTSPVAPPSASTCMVWRPSFPNLHAQVNNCSEPNLLLGNQARCWNLLSSSYISPQTHYEPPSPWLPHHDLNSSPRIEADAASSVTTSFKFATVVPDQAKRLESKLPTTMSPSREKNSSGENEKNDLVVFNELKANQQNSEELSCNIADSSSAVISTPFEGEKDLARPDEQGIDLNKTPLQKPPKRRKHRPKVIVEGKPKRTPKAATTKNTDPKEKSTEKRKYVRKALKEPAIQQTDSAIETTPPSSAKRKYVRKKGLNESAVQHTDSIGETINTRPVKRKYVRKKGLNESAVQHTDSIGETINTCPVKRKYVRKKGINESANQHADSTVGIAHSSAEVGAKSCRRELRFDLETTTDERYSNAAAQEDMLNKKRGTFDLNTSLQVADSSTTTSQMNQHNSLQVENKQSGATSNQTPLMNQMGRSYTSISERHAVAAELTPRKIMHMENLNVNARDARGIGQVVFLEKRPERTRQITYQNTPQSVQMIPLYLIEGRGSKREHLHIEKTNTCTFQPVGSLFDEYYQSIPGVGCLEAQKRNKTENGTQTNTLSMSSCVATTKYPGEWYVHPTASQNLPKQCISFNPILHLERTGESNGLAQVHNSLSPTTIAVCHNLSQTPLKTNHASDSQLQPETCNTEMSRIQQMSGATVSITIPSGKGRMPQQPKDILKEHQQPSAKRRGRPAKQKFSSTIEEIIHHMECLSLNARSKKIKNKEQNALVPYKGGGTLVPYDGFEFVKKHKPRPKVDLDPESDRVWKLLMGKEGSEGLERTDKGKEQWWEEERKVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLASLFPLKSRSNAAHDSHRKGIMVEEPDVCMQNPNDIIKWNSKFRYPLYNQSPITHHGSAEPQGESETWCIERASMVGAQSHSLEEEFVSSQDSFDSSTVQANGGVRSYSGSNSETEDPPTGCKPSTSHGLSFVDRLEMESPTLLEEFDGCESGSSLFHRGSGHENEQAEGIQNMQQGAGLERLGNLNCFSPYNKQFDYCNPQMQGKVVSCSNYGLLHMTSQSNAQQAEGFKLQSEDSISSWTSNSSRFNKEKAASCSSKTVGQKAASVGKKAAREYELPRYQEAPLAVQHSLYRKQSMYEQSSFQPYHENQVNERNETLQWQSMSAGGPVNLAETLPEKQNSYTQHISNVPRLTENILDFQRITSVNKQTPQENIVVDPNTKKKVHPDNRENLKSNANASKARKGKVESEKADVFDWDSLRKQVQTNGRKERTEDTMDSLDYEAVRCAGVNEISEAIKERGMNKILAERIQEFLNRLVREHGSIDLEWLRDVPPGKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSRPNCNACPMRAECRHFASAFTSARLALPGPETKDITTSTVPFMPEKSPSIVINPMPLLPPEDNQHKSVGFDIGSCEPIIEEPVTPDQEQTELAETDIEDFGEDPDEIPTIKLNMEEFTENLQNYIHSNMELQEYDMSKALVALDPNASIPTPKLKNVSRLRTEHQVYELPDSHPLLQGNLQMDKREPDDPSPYLLAIWTPGETANSIEPPEQQCQSREPNKLCNEKICFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSLNPIDVPRSLIWNLPRRIVYFGTSVPSIFKGLSTEGVQHCFWKGFVCVRGFDQKTRAPRPLKARLHFPVSRLVKTKNEKK >Potri.008G025900.7.v4.1 pep chromosome:Pop_tri_v4:8:1323670:1334874:-1 gene:Potri.008G025900.v4.1 transcript:Potri.008G025900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025900.v4.1 MERFPIPQDIDFLPTMTGKPIPRSNVMLTDGQGNQLGRTNWQLGGFSSGCEQDVSSYSRVTQHADQIDQLVRNGGDNIRNAGIGHEVTRMGNNTGNHIESQTAGLNSSLLASILAYPNIATFTSPVAPPSASTCMVWRPSFPNLHAQVNNCSEPNLLLGNQARCWNLLSSSYISPQTHYEPPSPWLPHHDLNSSPRIEADAASSVTTSFKFATVVPDQAKRLESKLPTTMSPSREKNSSGENEKNDLVVFNELKANQQNSEELSCNIADSSSAVISTPFEGEKDLARPDEQGIDLNKTPLQKPPKRRKHRPKVIVEGKPKRTPKAATTKNTDPKEKSTEKRKYVRKALKEPAIQQTDSAIETTPPSSAKRKYVRKKGLNESAVQHTDSIGETINTRPVKRKYVRKKGLNESAVQHTDSIGETINTCPVKRKYVRKKGINESANQHADSTVGIAHSSAEVGAKSCRRELRFDLETTTDERYSNAAAQEDMLNKKRGTFDLNTSLQVADSSTTTSQMNQHNSLQVENKQSGATSNQTPLMNQMGRSYTSISERHAVAAELTPRKIMHMENLNVNARDARGIGQVVFLEKRPERTRQITYQNTPQSVQMIPLYLIEGRGSKREHLHIEKTNTCTFQPVGSLFDEYYQSIPGVGCLEAQKRNKTENGTQTNTLSMSSCVATTKYPGEWYVHPTASQNLPKQCISFNPILHLERTGESNGLAQVHNSLSPTTIAVCHNLSQTPLKTNHASDSQLQPETCNTEMSRIQQMSGATVSITIPSGKGRMPQQPKDILKEHQQPSAKRRGRPAKQKFSSTIEEIIHHMECLSLNARSKKIKNKEQNALVPYKGGGTLVPYDGFEFVKKHKPRPKVDLDPESDRVWKLLMGKEGSEGLERTDKGKEQWWEEERKVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLASLFPLKSRSNAAHDSHRKGIMVEEPDVCMQNPNDIIKWNSKFRYPLYNQSPITHHGSAEPQGESETWCIERASMVGAQSHSLEEEFVSSQDSFDSSTVQANGGVRSYSGSNSETEDPPTGCKPSTSHGLSFVDRLEMESPTLLEEFDGCESGSSLFHRGSGHENEQAEGIQNMQQGAGLERLGNLNCFSPYNKQFDYCNPQMQGKVVSCSNYGLLHMTSQSNAQQAEGFKLQSEDSISSWTSNSSRFNKEKAASCSSKTVGQKAASVGKKAAREYELPRYQEAPLAVQHSLYRKQSMYEQSSFQPYHENQVNERNETLQWQSMSAGGPVNLAETLPEKQNSYTQHISNVPRLTENILDFQRITSVNKQTPQENIVVDPNTKKKVHPDNRENLKSNANASKARKGKVESEKADVFDWDSLRKQVQTNGRKERTEDTMDSLDYEAVRCAGVNEISEAIKERGMNKILAERIQEFLNRLVREHGSIDLEWLRDVPPGKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSRPNCNACPMRAECRHFASAFTSARLALPGPETKDITTSTVPFMPEKSPSIVINPMPLLPPEDNQHKSVGFDIGSCEPIIEEPVTPDQEQTELAETDIEDFGEDPDEIPTIKLNMEEFTENLQNYIHSNMELQEYDMSKALVALDPNASIPTPKLKNVSRLRTEHQVYELPDSHPLLQGMDKREPDDPSPYLLAIWTPGETANSIEPPEQQCQSREPNKLCNEKICFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEIMNLA >Potri.008G025900.9.v4.1 pep chromosome:Pop_tri_v4:8:1323635:1329725:-1 gene:Potri.008G025900.v4.1 transcript:Potri.008G025900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025900.v4.1 MVEEPDVCMQNPNDIIKWNSKFRYPLYNQSPITHHGSAEPQGESETWCIERASMVGAQSHSLEEEFVSSQDSFDSSTVQANGGVRSYSGSNSETEDPPTGCKPSTSHGLSFVDRLEMESPTLLEEFDGCESGSSLFHRGSGHENEQAEGIQNMQQGAGLERLGNLNCFSPYNKQFDYCNPQMQGKVVSCSNYGLLHMTSQSNAQQAEGFKLQSEDSISSWTSNSSRFNKEKAASCSSKTVGQKAASVGKKAAREYELPRYQEAPLAVQHSLYRKQSMYEQSSFQPYHENQVNERNETLQWQSMSAGGPVNLAETLPEKQNSYTQHISNVPRLTENILDFQRITSVNKQTPQENIVVDPNTKKKVHPDNRENLKSNANASKARKGKVESEKADVFDWDSLRKQVQTNGRKERTEDTMDSLDYEAVRCAGVNEISEAIKERGMNKILAERIQEFLNRLVREHGSIDLEWLRDVPPGKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSRPNCNACPMRAECRHFASAFTSARLALPGPETKDITTSTVPFMPEKSPSIVINPMPLLPPEDNQHKSVGFDIGSCEPIIEEPVTPDQEQTELAETDIEDFGEDPDEIPTIKLNMEEFTENLQNYIHSNMELQEYDMSKALVALDPNASIPTPKLKNVSRLRTEHQVYELPDSHPLLQGMDKREPDDPSPYLLAIWTPGETANSIEPPEQQCQSREPNKLCNEKICFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSLNPIDVPRSLIWNLPRRIVYFGTSVPSIFKGLSTEGVQHCFWKGFVCVRGFDQKTRAPRPLKARLHFPVSRLVKTKNEKK >Potri.008G025900.5.v4.1 pep chromosome:Pop_tri_v4:8:1323669:1334785:-1 gene:Potri.008G025900.v4.1 transcript:Potri.008G025900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025900.v4.1 MERFPIPQDIDFLPTMTGKPIPRSNVMLTDGQGNQLGRTNWQLGGFSSGCEQDVSSYSRVTQHADQIDQLVRNGGDNIRNAGIGHEVTRMGNNTGNHIESQTAGLNSSLLASILAYPNIATFTSPVAPPSASTCMVWRPSFPNLHAQVNNCSEPNLLLGNQARCWNLLSSSYISPQTHYEPPSPWLPHHDLNSSPRIEADAASSVTTSFKFATVVPDQAKRLESKLPTTMSPSREKNSSGENEKNDLVVFNELKANQQNSEELSCNIADSSSAVISTPFEGEKDLARPDEQGIDLNKTPLQKPPKRRKHRPKVIVEGKPKRTPKAATTKNTDPKEKSTEKRKYVRKALKEPAIQQTDSAIETTPPSSAKRKYVRKKGLNESAVQHTDSIGETINTRPVKRKYVRKKGLNESAVQHTDSIGETINTCPVKRKYVRKKGINESANQHADSTVGIAHSSAEVGAKSCRRELRFDLETTTDERYSNAAAQEDMLNKKRGTFDLNTSLQVADSSTTTSQMNQHNSLQVENKQSGATSNQTPLMNQMGRSYTSISERHAVAAELTPRKIMHMENLNVNARDARGIGQVVFLEKRPERTRQITYQNTPQSVQMIPLYLIEGRGSKREHLHIEKTNTCTFQPVGSLFDEYYQSIPGVGCLEAQKRNKTENGTQTNTLSMSSCVATTKYPGEWYVHPTASQNLPKQCISFNPILHLERTGESNGLAQVHNSLSPTTIAVCHNLSQTPLKTNHASDSQLQPETCNTEMSRIQQMSGATVSITIPSGKGRMPQQPKDILKEHQQPSAKRRGRPAKQKFSSTIEEIIHHMECLSLNARSKKIKNKEQNALVPYKGGGTLVPYDGFEFVKKHKPRPKVDLDPESDRVWKLLMGKEGSEGLERTDKGKEQWWEEERKVFHGRVDSFIARMHLVQGDRRFSKWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLASLFPLKSRSNAAHDSHRKGIMVEEPDVCMQNPNDIIKWNSKFRYPLYNQSPITHHGSAEPQGESETWCIERASMVGAQSHSLEEEFVSSQDSFDSSTVQANGGVRSYSGSNSETEDPPTGCKPSTSHGLSFVDRLEMESPTLLEEFDGCESGSSLFHRGSGHENEQAEGIQNMQQGAGLERLGNLNCFSPYNKQFDYCNPQMQGKVVSCSNYGLLHMTSQSNAQQAEGFKLQSEDSISSWTSNSSRFNKEKAASCSSKTVGQKAASVGKKAAREYELPRYQEAPLAVQHSLYRKQSMYEQSSFQPYHENQVNERNETLQWQSMSAGGPVNLAETLPEKQNSYTQHISNVPRLTENILDFQRITSVNKQTPQENIVVDPNTKKKVHPDNRENLKSNANASKARKGKVESEKADVFDWDSLRKQVQTNGRKERTEDTMDSLDYEAVRCAGVNEISEAIKERGMNKILAERIQEFLNRLVREHGSIDLEWLRDVPPGKAKDYLLSIRGLGLKSVECVRLLTLHHLAFPVDTNVGRIAVRLGWVPLQPLPESLQLHLLELYPILESIQKYLWPRLCKLDQRTLYELHYQMITFGKVFCTKSRPNCNACPMRAECRHFASAFTSARLALPGPETKDITTSTVPFMPEKSPSIVINPMPLLPPEDNQHKSVGFDIGSCEPIIEEPVTPDQEQTELAETDIEDFGEDPDEIPTIKLNMEEFTENLQNYIHSNMELQEYDMSKALVALDPNASIPTPKLKNVSRLRTEHQVYELPDSHPLLQGMDKREPDDPSPYLLAIWTPGETANSIEPPEQQCQSREPNKLCNEKICFSCNSIREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNEMFADHESSLNPIDVPRSLIWNLPRRIVYFGTSVPSIFKGLSTEGVQHCFWKGFVCVRGFDQKTRAPRPLKARLHFPVSRLVKTKNEKK >Potri.018G123900.1.v4.1 pep chromosome:Pop_tri_v4:18:13586609:13587493:1 gene:Potri.018G123900.v4.1 transcript:Potri.018G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123900.v4.1 MDSIVPEWCPSKASSISAAASGGEPHKDCGDHDQNMKMKERVVRGSEPPPFPKSSACGLLDLKPHSDDSIRGSKLEFNLFSPINVGYSSHAKESMDEASKQSTEPRVFSCSFCRRKFSTSQALGGHQNAHKQERALAKKREGSDVGATLGQFPYNPYSSLPTNQYYGSFNRLVGVRMDSLIHKKQPYPWNSFGGYRHGHGGWSRQVMVSTQPSVDRLRAESSKAFSGVPFGNFSSPSSSSRFEDHNGLFRNPCASPSSNIAFNMPPSTDHLQRPNRPPKSDQTDGSGLDLSLKL >Potri.010G054002.1.v4.1 pep chromosome:Pop_tri_v4:10:8545108:8546675:1 gene:Potri.010G054002.v4.1 transcript:Potri.010G054002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054002.v4.1 MKIEIEVISNEIIKPSSPTPDHLCHYQLSFLDQISPPTYNPLLLFYPADGDVKINNIEKPNQLKQSLSEVLNLYYPLAGRIKDNLFVECNDEGIPFFQAEVKCRLPQVVENPEPSELNKLIPFALDDAEELPLGIQYNIFECGGIVIGLCISHKVGDASSLFTFIKYWAATARGEADHISRPDFISATLFPPINISGFKPATGITKEDVVTKRFVFRSSSIELLKEKCSPASGSLENQRPPSRVEALSVFIWQRFTAASKVESRPERIYSMVHAVNLRSRMEPPLPEYSFGNYCRIAFTIPSIDTGEENYNLASQIRDSIGKVDKEYVKKLQKGNEHLGFMKEQAARFLRGEVVPLNFTSLCRFPLYEADFGWAKPIWVGSPSLTFKNLVVFMDTASGDGIEALVHLKEEDMAKFQEDEELLQYIVPTKC >Potri.017G093700.4.v4.1 pep chromosome:Pop_tri_v4:17:10637888:10641001:-1 gene:Potri.017G093700.v4.1 transcript:Potri.017G093700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093700.v4.1 MVIIDAAKQIMMALRSRKNLTDDEKEILGDLHTQLTTAIAISEKEVDEINKIEERLNVIQGKVMCWERYWPMIWDSGLDEATEYLNAADEARQVTKKLEILCMTEDRKKEMLQRARNLLQISMGRLQEEFKHMLTKNRQPFEPKHVPVVSIAVNAVSKISLDNKLVRDLKLRKIINRNSKEFTINLVQYDVIPELRRIANVMSISGYANECSLAYISIQRSALDECLRILEREKLRTEDVLKLDQVSLKSKIKRRIQTMKMFMRVYLASDKRLSEQIFGELGTVNLVSFTEPLVLQLLTYFGEAISTGPGNPGKLFHLLDMYEVLAGLLPYLDSLYSDKAISQVIVDGDMVLRGLADSVRKTLDEFEDSIMTYTMTEPFGAEGIHPLTKNVMNYISALTGYHETLDFLLNDHCGEHPMPAPSCMSSGVEEENLSGGTCDFSPIARHSLSNDSILKWLSNGSSMSPSTKGDSISGGTCNLSPLALHFRAFSCILEYKLYNKAKLFKDASLKHIFLMNNIHYMAQKIKYSELQFILGAEWIQEHDWEFQQHVRNYTTVTWSPVLSLLKDEGNTNSYAVSKVHVEEKLRSFYLAFEEVCGAQTACFIPDDQLREDLRNSTSLKVIRAYQKFVERHTDHVSDKHIKYSDDYLQNRLLQLFEGSQLKIMA >Potri.018G144101.1.v4.1 pep chromosome:Pop_tri_v4:18:14912046:14913179:-1 gene:Potri.018G144101.v4.1 transcript:Potri.018G144101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144101.v4.1 MKGEKKHAPAWCDPIICFGEGLKQQEFSRGESRLSDHRPVRAIFVAESDVSSDSRSLGSSFTGSFNFLQDLFKSALIIRYHVMSERAPILKNSTSLFQKTNCYT >Potri.014G000400.1.v4.1 pep chromosome:Pop_tri_v4:14:63642:68449:-1 gene:Potri.014G000400.v4.1 transcript:Potri.014G000400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000400.v4.1 MRAAAASASVTPTTNASASLTNSNHFNFTSHRKIYTSLPISTVKNSHKKSTLVVVRAIKDRKETETETENMKEHNKESTTKQQPLNLEDVNPVGLGRKSRQIFDEVWRKFSGLGQISRTTRADDKEALDALLIREGPMCEFAIPGAQNTTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKADEEVVNMLPRSVEIMIGDVGDPSTLKAAVVGCNKIIYCATARSSITGDLFRVDHQGVSNLTKALQDYNNKLAQLRAGKSSKSKLLLAKFKSADSLNGWEVRQGTYFQDAVASKYDAGMDAKFEFTEAGDAVFSGYVFTRGGYVELSKKLSLPLGYTLDRYEGLVLSVGGNGRSYVLILEAGPSADTTQSKLYFARISTKVGFCRVRVPFSAFRPVKPDDLPLDPFLVHTMTIRFEPRRQRPVEAPAGAKQDLRSFKLILEYIKALPTGQETDFVLVSCTGLGVEPTRREQVLKAKRAGEDSLRRSGLGYTIIRPGPLQEEPGGQRALIFDQGNRISQGISCADVADICVKALHDSTARNKSFDVCYEYVAEQGRELYELVAHLPDKANNYLTPALSVLEKNT >Potri.010G007877.1.v4.1 pep chromosome:Pop_tri_v4:10:1333623:1335229:1 gene:Potri.010G007877.v4.1 transcript:Potri.010G007877.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007877.v4.1 MGRRKTEGKRRTVTSLSAHSLHRLEALRHLLDHREASSASSQHHQILPTIQQLLLRPASTEAHSSSNHFLLSSSFSSSGATKQQPTRKQRRSRNKNRGKNAIASSSFSSSQQPRQELLRGEYRREEQEGNGEGRRT >Potri.016G048500.1.v4.1 pep chromosome:Pop_tri_v4:16:3122071:3126086:1 gene:Potri.016G048500.v4.1 transcript:Potri.016G048500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048500.v4.1 MNSRHLLMEMDSGSLTESATSNATSPPAESVNGLKFGKKIYFEDHVGVGAPAKSGTGSSSSGSGSGSSRKAQGGQHQQPPRCQVEGCKVDLSDAKTYYSRHKVCSMHSKSPRVIVAGLVQRFCQQCSRFHLLPEFDQGKRSCRRRLAGHNERRRKPPSGSVLSARHGRFSPSLFDNSSRAGGLLVDFSAYPRHTGRDGWPAARSSELTPGNDTAATGRSISHMWQISSQNPPSNLCLQGSTGGTGLFSSGIPPGECFTGVAVSDSSCALSLLSNQPWGSTNRASSLAVNDLFSAEEAPVVQSTAHHGAAVNQYPIPWSFKSNEGSNSSHEMCPDLGLGQISMPLNSQLAGQLEQSQQNRRQYMDLEHSRAYDSSTQHIHWSL >Potri.005G135601.1.v4.1 pep chromosome:Pop_tri_v4:5:10486175:10486631:-1 gene:Potri.005G135601.v4.1 transcript:Potri.005G135601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G135601.v4.1 MEGAGDFKADSFGAMVVVNRDWQVMTLVGRERLVKRKWRWLILIEKRRGLVGAGALYAWKFGCGFMMERGMCMDRKINKGKDRDMGRLGWLEKGEGVTNRWVPSWL >Potri.014G169400.10.v4.1 pep chromosome:Pop_tri_v4:14:12381351:12387202:-1 gene:Potri.014G169400.v4.1 transcript:Potri.014G169400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169400.v4.1 MADSEGSFPSSPVLDKLDSNDYSSVGKGYGTYGIVGAAAVAILIPILLSTIFMGKKKVKQRGVPVEVGGEAGYAVRNARHAELVEVPWKGATTMAALFEQSCRKHSPERFLGTRKLISKEFVTASDGRKFEKLHLGDYEWQTYGQVFDRVCNFASGLIMLGHNEDTRAAIFADTRAEWLIAFQGCFRQNITVVTIYASLGVDALIHSLNETQVSTLICDPKQLKTLAAISSKITTIKNVIYFEDGETTNDLGLSASTSYWKVSSFSEVEELGKNSHVPPSLPTKNGIAVVMYTSGSTGQPKGVMITHGNIVATAAAVMTVIPKLSSNDVYLAYLPLAHVFELAAESVMLSVGCAIGYGSALTLTDTSNKIKKGTKGDASMLNPTLMPAVPAILDRVRDGVLKKVEEKGGLAKKLFNIGYKRKMAAIEGSWFGASGLERMLWDVIAFKQIRAILGVRMRFILCGGAPLSADSQRFTNICMGAIIGQGYGLTETCAGAAFTEWDDPSVGRVGPPLPCCYIKLVSWEEGGYTVADKPMPRGEVVVGGFSVTAGYFNYQEKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALTSSDYVDNIMVHANPFHNYCVALIVPSRRMLEKWAQEAGIQHQNFSELCNEADAVSEVEQSLSKVAKASRLDKFETPAKIKLLPDPWTPESGLVTAALKIKREQLKSKFKDELQKLYE >Potri.014G169400.8.v4.1 pep chromosome:Pop_tri_v4:14:12381344:12387063:-1 gene:Potri.014G169400.v4.1 transcript:Potri.014G169400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169400.v4.1 MADSEGSFPSSPVLDKLDSNDYSSVGKGYGTYGIVGAAAVAILIPILLSTIFMGKKKVKQRGVPVEVGGEAGYAVRNARHAELVEVPWKGATTMAALFEQSCRKHSPERFLGTRKLISKEFVTASDGRKFEKLHLGDYEWQTYGQVFDRVCNFASGLIMLGHNEDTRAAIFADTRAEWLIAFQGCFRQNITVVTIYASLGVDALIHSLNETQVSTLICDPKQLKTLAAISSKITTIKNVIYFEDGETTNDLGLSASTSYWKVSSFSEVEELGKNSHVPPSLPTKNGIAVVMYTSGSTGQPKGVMITHGNIVATAAAVMTVIPKLSSNDVYLAYLPLAHVFELAAESVMLSVGCAIGYGSALTLTDTSNKIKKGTKGDASMLNPTLMPAVPAILDRVRDGVLKKVEEKGGLAKKLFNIGYKRKMAAIEGSWFGASGLERMLWDVIAFKQIRAILGVRMRFILCGGAPLSADSQRFTNICMGAIIGQGYGLTETCAGAAFTEWDDPSVGRVGPPLPCCYIKLVSWEEGGYTVADKPMPRGEVVVGGFSVTAGYFNYQEKTNEVYKVDERGMRWFYTGDIGQFHPDGCLEIIDRKKDIVKLQHGEYISLGKVEAALTSSDYVDNIMVHANPFHNYCVALIVPSRRMLEKWAQEAGIQHQNFSELCNEADAVSEVEQSLSKVAKASRLDKFETPAKIKLLPDPWTPESGLVTAALKIKREQLKSKFKDELQKLYE >Potri.006G170500.1.v4.1 pep chromosome:Pop_tri_v4:6:17251498:17254490:-1 gene:Potri.006G170500.v4.1 transcript:Potri.006G170500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170500.v4.1 MRMIAQVSLRCIRDGFLSSGRFQAHHLIKSLSTNAPSDNWIGDNQSSNSFESADGFEQRIFGGIDGGNSHSESFFQKLDRLAKARNGPGSRLNERNSSEMVNDLDSSFNTLKDGMDEKLQEAAGYIDVDIDDIDEYRPDAHFEDGDTYDIKDLDLTKPFVRRERRDEFCVTTAEVLSKADFRNVRFLANFITDAGIIIKRRQTGISAKAQRKVAREIKTARAFGLMPFTTMGTKSFVFGTTMQSQDEDFQYRSYDHSVDEKDHDEA >Potri.001G449000.5.v4.1 pep chromosome:Pop_tri_v4:1:47534694:47543987:1 gene:Potri.001G449000.v4.1 transcript:Potri.001G449000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449000.v4.1 MTMIDSSCLMENGGGVGGGGGGSCLPCTPEEEKQIVEELNREAERDLKEGNLYFVVSSRWFSKWERYVGQGFVDNLDNGKSLESQDLDAERPGPIDNSDIIEGGSGNEGDELELVRALLEGKDYVLVPKKVWEKLVQWYKGGPTLPRKMISQGVFNRKQFNVEVYPLCLKLIDPRDDSESTIRISKKASLQELYERVCSVRRVEREKASIWDYFNKQKISQLSDSNQTLEELNLQMDQEILLELKEDSSPSQSGKDSTGNELAVVTLEPPRSPVSIAGGPVMSNGHSSSYSLNLQPGSALNSSFTDMDNGFGASSVRRVEKGGLAGLQNMGNTCFMNSALQCLVHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAVAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEIADECWRNHKARNDSVIVDVCQGQYKSTLVCPICSKISVTFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTISVLKHGNCRDLSQALGTACCLKGGESLLLAEVFDHKIYRLLENPFEPLVSIKDEDRIVAYRFSGKGTGRRKLEIIHRDKSTLELLKGNVGKSFGTPLITYMDDDSPTGADIYLAACKLLSPLKRACSPTMAHSGKENGLLSEANAETSSSCNGQCEPPRDQSMGDTELEDTSSQELSFQLFLTDDRYSSCKPIFKDSVINSGNQIKVVVEWTEKEQKLYDSSYLKDLPEVYHKTGYTTKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPIHNLDLSKYVKKNDGHSFTYELFAISNHYGGLGGGHYTAFAKLIDENRWYSFDDSRVSPVNEDDIKTSAAYVLFYRRVRTESKAESGETSQVEAGS >Potri.001G449000.6.v4.1 pep chromosome:Pop_tri_v4:1:47539485:47544022:1 gene:Potri.001G449000.v4.1 transcript:Potri.001G449000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449000.v4.1 MSNGHSSSYSLNLQPGSALNSSFTDMDNGFGASSVRRVEKGGLAGLQNMGNTCFMNSALQCLVHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAVAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEIADECWRNHKARNDSVIVDVCQGQYKSTLVCPICSKISVTFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTISVLKHGNCRDLSQALGTACCLKGGESLLLAEVFDHKIYRLLENPFEPLVSIKDEDRIVAYRFSGKGTGRRKLEIIHRDKSTLELLKGNVGKSFGTPLITYMDDDSPTGADIYLAACKLLSPLKRACSPTMAHSGKENGLLSEANAETSSSCNGQCEPPRDQSMGDTELEDTSSQELSFQLFLTDDRYSSCKPIFKDSVINSGNQIKVVVEWTEKEQKLYDSSYLKDLPEVYHKTGYTTKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPIHNLDLSKYVKKNDGHSFTYELFAISNHYGGLGGGHYTAFAKLIDENRWYSFDDSRVSPVNEDDIKTSAAYVLFYRRVRTESKAESGETSQVEAGS >Potri.001G449000.2.v4.1 pep chromosome:Pop_tri_v4:1:47534691:47544011:1 gene:Potri.001G449000.v4.1 transcript:Potri.001G449000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449000.v4.1 MTMIDSSCLMENGGGVGGGGGGSCLPCTPEEEKQIVEELNREAERDLKEGNLYFVVSSRWFSKWERYVGQGFVDNLDNGKSLESQDLDAERPGPIDNSDIIEGGSGNEGDELELVRALLEGKDYVLVPKKVWEKLVQWYKGGPTLPRKMISQGVFNRKQFNVEVYPLCLKLIDPRDDSESTIRISKKASLQELYERVCSVRRVEREKASIWDYFNKQKISQLSDSNQTLEELNLQMDQEILLELKEDSSPSQSGKDSTGNELAVVTLEPPRSPVSIAGGPVMSNGHSSSYSLNLQPGSALNSSFTDMDNGFGASSVRRVEKGGLAGLQNMGNTCFMNSALQCLVHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAVAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEIADECWRNHKARNDSVIVDVCQGQYKSTLVCPICSKISVTFDPFMYLSLPLPSTVTRSMTVTVFYGDGSGLPMPYTISVLKHGNCRDLSQALGTACCLKGGESLLLAEVFDHKIYRLLENPFEPLVSIKDEDRIVAYRFSGKGTGRRKLEIIHRDKSTLELLKGNVGKSFGTPLITYMDDDSPTGADIYLAACKLLSPLKRACSPTMAHSGKENGLLSEANAETSSSCNGQCEPPRDQSMGDTELEDTSSQELSFQLFLTDDRYSSCKPIFKDSVINSGNQIKVVVEWTEKEQKLYDSSYLKDLPEVYHKTGYTTKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPIHNLDLSKYVKKNDGHSFTYELFAISNHYGGLGGGHYTAFAKLIDENRWYSFDDSRVSPVNEDDIKTSAAYVLFYRRVRTESKAESGETSQVEAGS >Potri.013G002200.1.v4.1 pep chromosome:Pop_tri_v4:13:179057:183101:-1 gene:Potri.013G002200.v4.1 transcript:Potri.013G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002200.v4.1 MSALDFYTLFRLNSILHPRMGNKILLKAFCLWALTCFLLPASSNGLVRIGLKKRHLDLQTIKDARIARQEGKAGVGASSRVHDLGSSDGDIIPLKNYLDAQYLGEIGIGSPPQNFTVVFDTGSSNLWVPSSKCYFSIACYFHSKYKSSRSSTYTKNGNFCEIHYGSGSVSGFFSQDNVQVGDLVVKDQVFVEATKEGSLSFILGKFDGILGLGFQEISVGNVVPLWYNMIQQDLVDDEVFSFWLNRNPEAKEGGELVFGGVDPKHFKGKHTYVPVTQKGYWQINMGDFLIGKHSTGLCEGGCAAIVDSGTSLLAGPTPIITEINHAIGAEGLVSAECKEVVSHYGDLIWELIISGVQPSKVCTQLGLCIFNEAKSARTGIESVVEKENKEKSSAGNDLPCTACQMLVIWVQNQLREKATKETAINYLDKLCESLPSPMGQSSIDCNSISTMPNITFTIGDKPFSLTPEQYILKTGEGIAQVCISGFMALDVPPPRGPLWILGDVFMGAYHTIFDYGNLEVGFAEAA >Potri.013G002200.3.v4.1 pep chromosome:Pop_tri_v4:13:179105:183385:-1 gene:Potri.013G002200.v4.1 transcript:Potri.013G002200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002200.v4.1 MGNKILLKAFCLWALTCFLLPASSNGLVRIGLKKRHLDLQTIKDARIARQEGKAGVGASSRVHDLGSSDGDIIPLKNYLDAQYLGEIGIGSPPQNFTVVFDTGSSNLWVPSSKCYFSIACYFHSKYKSSRSSTYTKNGNFCEIHYGSGSVSGFFSQDNVQVGDLVVKDQVFVEATKEGSLSFILGKFDGILGLGFQEISVGNVVPLWYNMIQQDLVDDEVFSFWLNRNPEAKEGGELVFGGVDPKHFKGKHTYVPVTQKGYWQINMGDFLIGKHSTGLCEGGCAAIVDSGTSLLAGPTPIITEINHAIGAEGLVSAECKEVVSHYGDLIWELIISGVQPSKVCTQLGLCIFNEAKSARTGIESVVEKENKEKSSAGNDLPCTACQMLVIWVQNQLREKATKETAINYLDKLCESLPSPMGQSSIDCNSISTMPNITFTIGDKPFSLTPEQYILKTGEGIAQVCISGFMALDVPPPRGPLWILGDVFMGAYHTIFDYGNLEVGFAEAA >Potri.008G101600.1.v4.1 pep chromosome:Pop_tri_v4:8:6410326:6415059:-1 gene:Potri.008G101600.v4.1 transcript:Potri.008G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101600.v4.1 MVVASPTKLHSEEHLAIELPTVDLSGDRSMVSNLIVKACEEYGFFKVKNHGVPHDIIAQMEKESFNFFAKPFDEKQKVEPAKPFGYGCKNIGFNGDMGEVEYLLLNINPLSIAERSKTISNDPAKFSSAVSAYIEAVRELACELLDLMAEGLRVPDRSVFSRLIRDVDSDSLIRLNHYPPMPLLCKDEDSSPCNQNKVGFGEHSDPQILTILRSNDVGGLQISLNDGAWVPVTPDPATFWVNVGDLLQAMTNGRFVSVRHKALTNSSKSRMSMAYFAGPPPNARITVPPEMITPTKPALYKPFTWAEFKKAAYAMRLGDRRLGLFRMEGDEQVA >Potri.008G101600.2.v4.1 pep chromosome:Pop_tri_v4:8:6410326:6415059:-1 gene:Potri.008G101600.v4.1 transcript:Potri.008G101600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101600.v4.1 MVVASPTKLHSEEHLAIELPTVDLSGDRSMVSNLIVKACEEYGFFKVKNHGVPHDIIAQMEKESFNFFAKPFDEKQKVEPAKPFGYGCKNIGFNGDMGEVEYLLLNINPLSIAERSKTISNDPAKFRLNHYPPMPLLCKDEDSSPCNQNKVGFGEHSDPQILTILRSNDVGGLQISLNDGAWVPVTPDPATFWVNVGDLLQAMTNGRFVSVRHKALTNSSKSRMSMAYFAGPPPNARITVPPEMITPTKPALYKPFTWAEFKKAAYAMRLGDRRLGLFRMEGDEQVA >Potri.012G008300.3.v4.1 pep chromosome:Pop_tri_v4:12:430465:433450:1 gene:Potri.012G008300.v4.1 transcript:Potri.012G008300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G008300.v4.1 MGFSPLSLSQSLSFIMFLFHFHSTISSSHFCAPDQSLSLLQFKESFSINSSASGRCQHPKTESWKEGTDCCLWDGVSCDMKTGHVTALDLSCSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNNSHISPRFGQFSNLALLNLNSSVFAGQVPSEISHLSKLVSLDLSGNYDPSLEPISLAKLVRNLTELRELDLSRVNMSLVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPKLESLDMSYNNRLTGSFPSSNLSNVLSSLDLSNTRISVYLENDLISNLKSLEYMYLRNSNIIRSDLAPLGNLTQLILLDFSSNNFIGEIPSLLGNLVQLRYLKLDSNKFMGQIPDSLGSLLNLRTLSLYGNLFNGTIPSFLFALPSLQYLDLHNNNLIGNISELQHDSLVYLDLSNNHLHGPIPSSIFKQENLEVLILASNSKLTGEISSSICKLRFLRLLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKNNSLEYLNLNGNELEGKIPPSIISCTLLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTTYNSFSKLQIFDISDNNFSESLPTGYFNSLEAMMTLDQNMIYMGAINYSSYVYSIEMIWKGVKTKFMKIQSTIRVLDLSNNNFTGEIPKVIEKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLEGPIPSGEQFNTFNANLFEGNLGLCGFQVLKECYDDEALSLSPSSFNEEDDSTLFGEGFGWKAVTMGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLNSKKTKKNVGRYGARRN >Potri.012G008300.2.v4.1 pep chromosome:Pop_tri_v4:12:430465:433462:1 gene:Potri.012G008300.v4.1 transcript:Potri.012G008300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G008300.v4.1 MGFSPLSLSQSLSFIMFLFHFHSTISSSHFCAPDQSLSLLQFKESFSINSSASGRCQHPKTESWKEGTDCCLWDGVSCDMKTGHVTALDLSCSMLYGTLHSNSTLFSLHHLQKLDLSDNDFNNSHISPRFGQFSNLALLNLNSSVFAGQVPSEISHLSKLVSLDLSGNYDPSLEPISLAKLVRNLTELRELDLSRVNMSLVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPKLESLDMSYNNRLTGSFPSSNLSNVLSSLDLSNTRISVYLENDLISNLKSLEYMYLRNSNIIRSDLAPLGNLTQLILLDFSSNNFIGEIPSLLGNLVQLRYLKLDSNKFMGQIPDSLGSLLNLRTLSLYGNLFNGTIPSFLFALPSLQYLDLHNNNLIGNISELQHDSLVYLDLSNNHLHGPIPSSIFKQENLEVLILASNSKLTGEISSSICKLRFLRLLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKNNSLEYLNLNGNELEGKIPPSIISCTLLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTTYNSFSKLQIFDISDNNFSESLPTGYFNSLEAMMTLDQNMIYMGAINYSSYVYSIEMIWKGVKTKFMKIQSTIRVLDLSNNNFTGEIPKVIEKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLEGPIPSGEQFNTFNANLFEGNLGLCGFQVLKECYDDEALSLSPSSFNEEDDSTLFGEGFGWKAVTMGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLNSKKTKKNVGRYGARRN >Potri.006G126100.1.v4.1 pep chromosome:Pop_tri_v4:6:10114463:10122357:1 gene:Potri.006G126100.v4.1 transcript:Potri.006G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126100.v4.1 MSALKISSICRVSRFLLFLIVVFNLSPNAFCVDGDDYSQTGNPALLPIITDTIYKRLSNLSVVFGDDIMDSLSFCIKNVKADWKRAFDFEGDLDFITNCIKKIKGDITLRLCTAAEIKFYFGSLFGQGTTDQTHYLKPNKNCNLSSWPNGCEPGWGCGANPNQKIDLYNSKDMPLRTRDCQPCCEGFFCPQGLTCMIPCPLGSYCPSAKLNKTTGMCTPYGYQIPPGHPNHTCGGADAWAPVAASSEIFCAPGSYCPRTTLKVPCSSGHYCRMGSTSQISCFKLVTCHPNTANQNLHAYGIMLIAAVTTLLLIIVNCSDQALSTREKRAAKSREAAARQARETAQARERWKVAKNVAKKGGSALQAQLSQTFSRRTSGFKAEQPKVSDVGKSQTEAALLPPMPSGTASASSEKAKKKEPSTLTKMMHALEDDPDGQEGFKLEIGDKNIKKQMPKGKQLHSHTQIFKYAYGQIEKEKAMQQDQKNLTFSGIISMATDTDVKTRPVIEVAFKDLTLTLKGKKKHLMRGVTGKIMPGRVSAVMGPSGAGKTTFLSALAGKATGCTMTGSILINGKNESIHSYKKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADMPKADKVLVIERVIEALGLQTVRDSVVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSLLLIRALRREALEGVNICMVVHQPSYALFKMFDDFILLAKGGLTVYHGSAKKVEEYFAGLGITVPERVTPPDHYIDILEGIVKTNSNVTHEQLPIRWMLHNGYPVPPDMLHYADSIGAISSGLNSSAAESTEQSFAGDLWADVVSNVELHRDHIERNYLKSKDLSNRRTPGVSRQYRYFVGRICKQRLREARLQAVDYLILLLAGACLGTLAKVDDETFGSLGYTYTVIAVSLLCKIAALRSFTQDKLHYWRESESGISSLAYFLSKDTIDHFNTIVKPLVYLSMFYFFNSPRSTFADNYVVLLCLVYCVTGIAYIFAIYFAPGPAQLWSVLLPVVLTLVASQEQDSILVRHLGYLCYPKWAMEAFVIANAERYSGVWLITRCNSLRENGYDLGHWGLCLQLLILTGILSRFAAFFLLVTFQKK >Potri.006G126100.2.v4.1 pep chromosome:Pop_tri_v4:6:10116698:10122357:1 gene:Potri.006G126100.v4.1 transcript:Potri.006G126100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126100.v4.1 MLIAAVTTLLLIIVNCSDQALSTREKRAAKSREAAARQARETAQARERWKVAKNVAKKGGSALQAQLSQTFSRRTSGFKAEQPKVSDVGKSQTEAALLPPMPSGTASASSEKAKKKEPSTLTKMMHALEDDPDGQEGFKLEIGDKNIKKQMPKGKQLHSHTQIFKYAYGQIEKEKAMQQDQKNLTFSGIISMATDTDVKTRPVIEVAFKDLTLTLKGKKKHLMRGVTGKIMPGRVSAVMGPSGAGKTTFLSALAGKATGCTMTGSILINGKNESIHSYKKIIGFVPQDDIVHGNLTVEENLRFSARCRLSADMPKADKVLVIERVIEALGLQTVRDSVVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSLLLIRALRREALEGVNICMVVHQPSYALFKMFDDFILLAKGGLTVYHGSAKKVEEYFAGLGITVPERVTPPDHYIDILEGIVKTNSNVTHEQLPIRWMLHNGYPVPPDMLHYADSIGAISSGLNSSAAESTEQSFAGDLWADVVSNVELHRDHIERNYLKSKDLSNRRTPGVSRQYRYFVGRICKQRLREARLQAVDYLILLLAGACLGTLAKVDDETFGSLGYTYTVIAVSLLCKIAALRSFTQDKLHYWRESESGISSLAYFLSKDTIDHFNTIVKPLVYLSMFYFFNSPRSTFADNYVVLLCLVYCVTGIAYIFAIYFAPGPAQLWSVLLPVVLTLVASQEQDSILVRHLGYLCYPKWAMEAFVIANAERYSGVWLITRCNSLRENGYDLGHWGLCLQLLILTGILSRFAAFFLLVTFQKK >Potri.011G153350.1.v4.1 pep chromosome:Pop_tri_v4:11:18035738:18036233:1 gene:Potri.011G153350.v4.1 transcript:Potri.011G153350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153350.v4.1 MHESVEIYVKGFDAPTPPIQRDGILISSSSQGVHLL >Potri.001G415800.3.v4.1 pep chromosome:Pop_tri_v4:1:44432133:44435397:-1 gene:Potri.001G415800.v4.1 transcript:Potri.001G415800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415800.v4.1 MLLKLEEESRKVENQLKWKKEQFNHLEEAHEKLRYQFRESKKEWEMERSTLIDEICSLQTRLDSQTRMSEDLEKQFRMCNEALAHEESRRKYLEVEVSEFKARFENVFTECQDARSQLECLATQRDMEIAALRHSLVTKETFYKEIEYKAGKLEQDNQELLVSLKELQEAGIREVGNSSLAKMQNKLKSLEQMHRNCSANLKAKEAEWSSQLEKLTGELDNHRSALQSKETVVKELDMELENCHSVIMQLELQNEEASTMLLVLKSGITEAQLNIGNDETEVRLHDKERGEDVSLLMRQLETKNTALAKAMTDCEEERQKVASLLKRVEYLDLVEEQRLLMQKELETYKELLEESSRCQLCFKKQALQTESDLKDKLKAVCDALDVANSELAKEHQKVVSLSRRAKSLDFIEEKWLLMQKELEKCKEVLEESSRRQSCLEEQASQIENELKNKFREVCDKFDMASSELVEHREKVECLSRRAEHFDLVEEQQLLMQKELERYKEMVEESSRKQLLIEMKALDVETDLKEKLREVCDELETAKAELAKENKNAASLARRVQSLDLIEEQHLLMQKELKKYKEMLEKASRCQHSLEKQAFQKENDLKEKLREVSDELHRLKSDFAAKICEGHAVEFELWIWKSIAHRLKDDLEESQLLRKDIEASLLSQAEVEHTIKQEKDGLAQMLQVRDGKIDNLQQQIEFFGKELKTRESAATSAMETVMSFESEREGFLQTMKEKDKLIDDLQKEVGWLEQESLRRELEGAMLTQIEAERKFDHEKEQIIQLVEEKDQRIDDLLQLVKSMEQKFNGSLTSFSLELAEKQAEIHLLHEAWEKIASAEILAQLEIEEKKMMIIELEDDIFSIRKELELQQKSLSGSKKKALEIEAELEANQLEMKKLKSLMETQLRTSEASVDDLKNGNRSLAGNVMKLSSERDNLFGLLTELVERINQFSDEDMQLMGTLGTLESMMQSFDNSGSGPILKCDSELFKSVKENVNTCPSPTTKRFQSVIEDRSPFRELN >Potri.001G415800.2.v4.1 pep chromosome:Pop_tri_v4:1:44432134:44437010:-1 gene:Potri.001G415800.v4.1 transcript:Potri.001G415800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415800.v4.1 MDRICEELDEAKAEIEKLKADLRCKAEFSDNLKKAHGEQLIRTQEACSKVERQAQELNAKEEEISTVKRMCEDLQCSLNEKESIIRRLSTANDKLKVDCGEKYKKWEEEKRGLVLALDESNEKNIDQEQKIHVFMAEIERYKGLLSASQKKCLAAEKNAKASIEMRERDSMLLKLEEESRKVENQLKWKKEQFNHLEEAHEKLRYQFRESKKEWEMERSTLIDEICSLQTRLDSQTRMSEDLEKQFRMCNEALAHEESRRKYLEVEVSEFKARFENVFTECQDARSQLECLATQRDMEIAALRHSLVTKETFYKEIEYKAGKLEQDNQELLVSLKELQEAGIREVGNSSLAKMQNKLKSLEQMHRNCSANLKAKEAEWSSQLEKLTGELDNHRSALQSKETVVKELDMELENCHSVIMQLELQNEEASTMLLVLKSGITEAQLNIGNDETEVRLHDKERGEDVSLLMRQLETKNTALAKAMTDCEEERQKVASLLKRVEYLDLVEEQRLLMQKELETYKELLEESSRCQLCFKKQALQTESDLKDKLKAVCDALDVANSELAKEHQKVVSLSRRAKSLDFIEEKWLLMQKELEKCKEVLEESSRRQSCLEEQASQIENELKNKFREVCDKFDMASSELVEHREKVECLSRRAEHFDLVEEQQLLMQKELERYKEMVEESSRKQLLIEMKALDVETDLKEKLREVCDELETAKAELAKENKNAASLARRVQSLDLIEEQHLLMQKELKKYKEMLEKASRCQHSLEKQAFQKENDLKEKLREVSDELHRLKSDFAAKICEGHAVEFELWIWKSIAHRLKDDLEESQLLRKDIEASLLSQAEVEHTIKQEKDGLAQMLQVRDGKIDNLQQQIEFFGKELKTRESAATSAMETVMSFESEREGFLQTMKEKDKLIDDLQKEVGWLEQESLRRELEGAMLTQIEAERKFDHEKEQIIQLVEEKDQRIDDLLQLVKSMEQKFNGSLTSFSLELAEKQAEIHLLHEAWEKIASAEILAQLEIEEKKMMIIELEDDIFSIRKELELQQKSLSGSKKKALEIEAELEANQLEMKKLKSLMETQLRTSEASVDDLKNGNRSLAGNVMKLSSERDNLFGLLTELVERINQFSDEDMQLMGTLGTLESMMQSFDNSGSGPILKCDSELFKSVKENVNTCPSPTTKRFQSVIEDRSPFRELN >Potri.001G415800.4.v4.1 pep chromosome:Pop_tri_v4:1:44432056:44435173:-1 gene:Potri.001G415800.v4.1 transcript:Potri.001G415800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415800.v4.1 MCNEALAHEESRRKYLEVEVSEFKARFENVFTECQDARSQLECLATQRDMEIAALRHSLVTKETFYKEIEYKAGKLEQDNQELLVSLKELQEAGIREVGNSSLAKMQNKLKSLEQMHRNCSANLKAKEAEWSSQLEKLTGELDNHRSALQSKETVVKELDMELENCHSVIMQLELQNEEASTMLLVLKSGITEAQLNIGNDETEVRLHDKERGEDVSLLMRQLETKNTALAKAMTDCEEERQKVASLLKRVEYLDLVEEQRLLMQKELETYKELLEESSRCQLCFKKQALQTESDLKDKLKAVCDALDVANSELAKEHQKVVSLSRRAKSLDFIEEKWLLMQKELEKCKEVLEESSRRQSCLEEQASQIENELKNKFREVCDKFDMASSELVEHREKVECLSRRAEHFDLVEEQQLLMQKELERYKEMVEESSRKQLLIEMKALDVETDLKEKLREVCDELETAKAELAKENKNAASLARRVQSLDLIEEQHLLMQKELKKYKEMLEKASRCQHSLEKQAFQKENDLKEKLREVSDELHRLKSDFAAKICEGHAVEFELWIWKSIAHRLKDDLEESQLLRKDIEASLLSQAEVEHTIKQEKDGLAQMLQVRDGKIDNLQQQIEFFGKELKTRESAATSAMETVMSFESEREGFLQTMKEKDKLIDDLQKEVGWLEQESLRRELEGAMLTQIEAERKFDHEKEQIIQLVEEKDQRIDDLLQLVKSMEQKFNGSLTSFSLELAEKQAEIHLLHEAWEKIASAEILAQLEIEEKKMMIIELEDDIFSIRKELELQQKSLSGSKKKALEIEAELEANQLEMKKLKSLMETQLRTSEASVDDLKNGNRSLAGNVMKLSSERDNLFGLLTELVERINQFSDEDMQLMGTLGTLESMMQSFDNSGSGPILKCDSELFKSVKENVNTCPSPTTKRFQSVIEDRSPFRELN >Potri.005G137425.1.v4.1 pep chromosome:Pop_tri_v4:5:10711279:10711431:-1 gene:Potri.005G137425.v4.1 transcript:Potri.005G137425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137425.v4.1 MPMIFFHFLKIIFDISTSKRSKNYKRNGKQL >Potri.006G039300.1.v4.1 pep chromosome:Pop_tri_v4:6:2612408:2620052:1 gene:Potri.006G039300.v4.1 transcript:Potri.006G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039300.v4.1 MELNFSSTRTYKPSISRTSQHMQVNMELETEAWNMKNKQVGKRKGHLLLVPCPLQGHMNPMLHLATILHSHGFLITITETQPSSPVVFPPHRPDFLFESIDGLDNSPSEIFKGDVVTFLYTLNTKCKAPFHDCLSRIQTNSTQGPVTCIIHDAVMFFSVDVADDMKIPRIVLRTSSATNFYGLSLLKQKGDLLAIQEQQLLEEPLDEIPFLRVKDMPLFNKSNQEVVDRVFDPIDDGTRTASAIIWNSLSCLEQAICDKFKSKIGAPMFCIGPLHKHSNAALSSFLTEEQSCISWLDTQRSNSVIYVSIGSLVMITETELAEMAWGLANSGHPFLWVIRPGLVHGSNGFDLLPTEFENITKKRGRIVGWAPQKEVLAHQTIGAFWTHNGWNSTIESISEGVPMLCWPHVGDQKVNARLVSHLWRVGIQLERLERGNIEDYIRRLMAGEEGKQTKMRAMQLKEKIDVSIREGGSSHESVGNLITFINLLLSC >Potri.006G039300.2.v4.1 pep chromosome:Pop_tri_v4:6:2612408:2620052:1 gene:Potri.006G039300.v4.1 transcript:Potri.006G039300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039300.v4.1 MELNFSSTRTYKPSISRTSQHMQVNMELETEAWNMKNKQVGKRKGHLLLVPCPLQGHMNPMLHLATILHSHGFLITITETQPSSPVVFPPHRPDFLFESIDGLDNSPSEIFKGDVVTFLYTLNTKCKAPFHDCLSRIQTNSTQGPVTCIIHDAVMFFSVDVADDMKIPRIVLRTSSATNFYGLSLLKQKGDLLAIQAEQQLLEEPLDEIPFLRVKDMPLFNKSNQEVVDRVFDPIDDGTRTASAIIWNSLSCLEQAICDKFKSKIGAPMFCIGPLHKHSNAALSSFLTEEQSCISWLDTQRSNSVIYVSIGSLVMITETELAEMAWGLANSGHPFLWVIRPGLVHGSNGFDLLPTEFENITKKRGRIVGWAPQKEVLAHQTIGAFWTHNGWNSTIESISEGVPMLCWPHVGDQKVNARLVSHLWRVGIQLERLERGNIEDYIRRLMAGEEGKQTKMRAMQLKEKIDVSIREGGSSHESVGNLITFINLLLSC >Potri.007G115500.1.v4.1 pep chromosome:Pop_tri_v4:7:13560305:13562178:-1 gene:Potri.007G115500.v4.1 transcript:Potri.007G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115500.v4.1 MDARLVQKQIITTKEMEDTMMFLILTISFVVIALSFLLQTRKQYKNLPPGPFALPIIGHLHLMKQPIYQTIHNLSQRFGPIMSLRFGSRFVIIVNSPEAVEECFTKNDVILANRPPFCHGKYLNYNFTTMGAANYGDHWRSLRRIGNNEIFSPKRLNGFQELRKKEVTNLMKRVSRVSGENAGKVELRSMILDLTFNIVMTMLAGKRYYGEDVSELEEALQFRDMMNQYGEFAKETHLGDLFPILSNIDYNGFVKRMKTLSKNMDLFLQRLIDEHRADRDRNTMVSHLLTLQEAQPQSYTDSIIKGLIMIMAVAGTRTSAASLEWAICNLLNNRHVLKKAKEELDTQLGKDHLIEEPDISKLHYLQGIISENLRLYPVAAMLVPHVASEHCTIGGYDVPPGTMVFANAWSIQRDPKVWDDALSFKPERFLNGKTEAYKLMPFGLGRRSCPGEGLAYRLMTLTLGSLIQCFEWDTVDGKEINVDEKVATLMSRVQPLEVVMKARPDLDDILA >Potri.017G013500.1.v4.1 pep chromosome:Pop_tri_v4:17:935975:950458:-1 gene:Potri.017G013500.v4.1 transcript:Potri.017G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013500.v4.1 MKRFVYINDDESSPTHDLYCDNRISNRKYTLLNFLPKNLMEQFSRFMNQYFLLIACLQLWSLITPVNPASTWGPLIFIFAVSATKEAWDDYNRYLSDKKANEKQVWIVRKGIKKHIQAQDICVGNLVWLRENDEVPCDLVLIGTSDPQGLCYIETAALDGEIDLKTRVTPSACMGIDFELLHKIKGVIECPNPDKDIRRLDANLRLFPPFIDNDVCPLTIKNTILQSCYLRNTEWACGVAVYTGNETKLGMSRGIPEPKLTALDAMIDKLTGAIFVFQIVVVMVLGIAGNVWKDTEARKLWYVLYPDEGPWYELLVIPLRFELLCSIMIPISIKVSLDLVKSLYAKFIDWDREMIDLETETPSHATNTAISEDLGQVEYILTDKTGTLTENKMVFRICCISGNFYGNEAGDASKDKQLLNAISSGSPDVVRFLTVMAICNTVIPVQSKTGAILYKAQSQDEDALVHAAAKLNMVLVCKNGNILELRFNTSAIQYEVLETLEFTSDRKRMSVVVRDCQNGKILLLSKGADEAILPYASPGQQTRIFNEAVEQYSQLGLRTLCLAWRELKEDEYEEWSFMFREASSTLVDREWRIAEVCQRLERDLEVLGVTAIEDRLQDGVPETIYTLRKAGIHFWMLTGDKQNTAIQIALSCNFISPEPKGQLLLIDGKTEEEVGRSLERVLLTMRTTASEPKDVAFVVDGWALEIALKHYWKAFTELAILSRTAICCRVTPSQKAQLVQILKSCDYRTLAIGDGGNDVRMIQQADIGVGISGREGLQAARAADYSIGRFRFLKRLILVHGRYSYNRTAFLSQYSFYKSLLICFIQIFFSFISGVSGTSLFNSVSLMAYNVFYTSIPVLVSVLDKDLSEETVMQHPQILFYCQAGRLLNPSTFAGWFGRSLFHAIVVFVISIHAYAFEKSEMEEVGMVALSGCIWLQAFVVTLETNSFTILQHLAIWGNLIAFYVINWIVSAIPSSGMYTIMFRLCRQPSYWLTILLIVAAGMGPILAIKYFRYTYRPSKINTLQQAERLGGPILSLGNIEPPQQRLIEKEVAPLSITQSKNRNPVYEPLLSDSPSTRRSFGPGTPFDFFQSQSRLSSNYTRNCKDN >Potri.003G088600.1.v4.1 pep chromosome:Pop_tri_v4:3:11531506:11534665:-1 gene:Potri.003G088600.v4.1 transcript:Potri.003G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088600.v4.1 MSTCLPEGNALLLMRLLSRQCFSRPKQISTLAKSTKLNQQCCISLLRHCKTMNQLKQIQAQIFRGGLHQSTDTLKKLMVFCADPSNGNLVHAERIFNYIQNPGLFVYNIMIKAFAKKGIFRKCLMLFNKLREDGLWPDNFTYPFVLKAIGCLGEVLEAEKLHGFVMKTGLESDTYVCNPLIDMYAKLGQVDVMRKLFDEMPERDVVSWNVLISGYVKRRRFEDAIDVFCCMREESYLRPNEPTVVSTLSACAALKCLELGKEIHCYVRDRLELTSIIGSALLDMYCKCGCLSVARKIFDEMPHKNVICWTSMVSGYVNYGELDKARELFERSPVKDVVLWTAMINGYVQFNHFDEAVALFQEMQIQRVKPDKFVLVALLTGCAQMGALEQGTWIHGYIDEKGIPVDAVVGTSLIEMYSKCGCIEKALRIFCGLREKDTATWTSIICGLAMNGKTSKALELFSKMKQVEAIPDEVTFIGVLSACSHGGLVEEGREFFNSMTSIYNIEPKLEHYGCLIDLLGRAGQLDEAEELIKKIVNANNEIIVPLYGSLLSACRIYKNVQMGERVAEQLVKIESRDSSVHTLLANIYASAGRWVDVNRVRREMKDLGVKKVPGCSSIEVDGIVHEFLVGNPSCSEMREIYSMLDRMVKPLFNSEENEMEREETGAMNSC >Potri.001G201000.1.v4.1 pep chromosome:Pop_tri_v4:1:20079864:20090060:-1 gene:Potri.001G201000.v4.1 transcript:Potri.001G201000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201000.v4.1 MKKSDGCCSTHLIDGDGTFNDTGLEHFIKEVKLGECGLSYAVVSIMGPQSSGKSTLLNNLFGTNFREMDAFKGRSQTTKGIWMARCAGIEPCTLVMDLEGTDGRERGEDDTAFEKQSALFALAVSDIVLINMWCHDIGREQAANKPLLKTVFQVMMRLFSPRKTTLMFVIRDKTRTPLENLEPVLREDIEKIWDSVPKPEALKETPLSEFFNVEVVALSSYEEKEEQFKEQVATLRQRFFHSIAPGGLAGDRRGVVPASGFSFSAQEIWKVIKENKDLDLPAHKVMVATVRCEEIANEKCSIFAANEEWCQMEEAVQSGPVSGFGKKLSAILNFTLSEYDAEAIYFDGGVRSAKRKQLEENLLQLVQPAHQSMLGHIRSGTLENFKEAFEKALNAGEGFSLAAVACTQNYMAQFDEGHADAVIEQANWDTSKARDKLRRDIDAHITSVRAAKLSELTSSFEAKLNEALLGPVGALLDGATSETWPAIKKLMQRETESAVAGISNALSGFDMDKQSKDKILTSLENYAKGVVEAKAREEAGRVLILMKERFSILFSHDSDSMPRVWTGKEDIRAITKTARTASLKLLSVMAAIRLDDDVDNIETTLSSALMDTKNNAAVKDRSITTSDPLASSSWEEIPSSRTLITPVQCKSLWRQFKSETEHAVTQAISAQEAHKRNNNWLPPPWAIVALVVLGFNEFMTLLRNPLYVGGVFAVFLLIKALWVQLDISGEFRNGALPGLLSLSTKFLPTTMNLIRRLAEGQKPMTTDPRRNPALASKFFQNGSSSFSDSSSSASSGITSPKEGNEYSSTLKDD >Potri.002G061100.2.v4.1 pep chromosome:Pop_tri_v4:2:4229212:4232586:-1 gene:Potri.002G061100.v4.1 transcript:Potri.002G061100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061100.v4.1 MTFLLDPACFVAPRMESGDLYAFFDERLLKKKAKELAPCLNSCCIFLIGMMGCGKTTVGKVLSEALGYAFVDSDTCVEQAVGEISVAQIFQKHGESVFRDNESKALQELSLKSQQVVATGDGAVLHPINWEYMRKGITVFLDVPLDALARRIAAVGTDSRPLLDFDSGDPYTKALMRLSTLLEKRVEKCSSADVTVSLSKLADDLEEDVSHLTPTIIAIEVLFVL >Potri.002G061100.3.v4.1 pep chromosome:Pop_tri_v4:2:4229212:4232586:-1 gene:Potri.002G061100.v4.1 transcript:Potri.002G061100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061100.v4.1 MTFLLDPACFVAPRMESGDLYAFFDERLLKKKAKELAPCLNSCCIFLIGMMGCGKTTVGKVLSEALGYAFVDSDTCVEQAVGEISVAQIFQKHGESVFRDNESKALQELSLKSQQVVATGDGAVLHPINWEYMRKGITVFLDVPLDALARRIAAVGTDSRPLLDFDSGDPYTKALMRLSTLLEKRVEKCSSADVTVSLSSMCSIYMLNDSFLSLSLNLLFD >Potri.002G061100.1.v4.1 pep chromosome:Pop_tri_v4:2:4226474:4232945:-1 gene:Potri.002G061100.v4.1 transcript:Potri.002G061100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061100.v4.1 MQPKELQLCTSYIISYRFCHPPLILIQCRMARRCMINYDTPRMESGDLYAFFDERLLKKKAKELAPCLNSCCIFLIGMMGCGKTTVGKVLSEALGYAFVDSDTCVEQAVGEISVAQIFQKHGESVFRDNESKALQELSLKSQQVVATGDGAVLHPINWEYMRKGITVFLDVPLDALARRIAAVGTDSRPLLDFDSGDPYTKALMRLSTLLEKRVEKCSSADVTVSLSKLADDLEEDVSHLTPTIIAIEVLEQIEKYLRGKKKTFN >Potri.004G189900.1.v4.1 pep chromosome:Pop_tri_v4:4:20239783:20243196:-1 gene:Potri.004G189900.v4.1 transcript:Potri.004G189900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189900.v4.1 MASSVVRVDLDGKPINPLTICMIGAGGFIGSHLCEKILNETQHKILALDVYNDKIKHLLEPDSLPWAGRIQFHRINIKHDSRLEGLIKMSDLTINLAAICTPADYNTRPLDTIYSNFIDALPVAKYCSENGKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDASPCIFGSIEKQRWSYACAKQLIERLVYAEGAENGLEFTIVRPFNWIGPRMDFIPGVDGPSEGVPRVLACFSNALLRREPLKLVDGGESQRTFVYIKDAIEAVLLMIENPSRANGHIFNVGNPNNEVTVRQLAEMMTAVYANVSGEPALEEPTVDVSSKEFYGEGYDDSDKRIPDMTIINRQLGWNPKTSLWDLLDSTLTYQHKTYAEAIKKVMSQPTTS >Potri.005G188300.1.v4.1 pep chromosome:Pop_tri_v4:5:19592075:19593336:1 gene:Potri.005G188300.v4.1 transcript:Potri.005G188300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188300.v4.1 MATSGVREDKYRTFLYGEGEKNTKWRYGSPPNYDDVNKLFEEGRTKVWPSGSLEEKVQNLVKTWEMEMFHKTCFDDYKSVDPKNYTFSLNGRKPVTLEETRKLGGGYNTFLQTTLPEKFRAYNPDEETVDSAQVAFTTVFPRGFALEVLQVYSGPPVIVYKFRHWGYMEGPFKGHAATGELVELYGMSIFEVDEHMKVVKVEFFIDRGELLGGLMKGATLDGSTAEAASTCPFLRGTG >Potri.003G212150.1.v4.1 pep chromosome:Pop_tri_v4:3:20958326:20959332:-1 gene:Potri.003G212150.v4.1 transcript:Potri.003G212150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212150.v4.1 MGNIHRAFFILFSLIVIHVLMSSLLCLHHERKVQLLDRNQGRRLLVPFASLSANVNKSTGANKDPQKAAETSLRKAPPSFSNPTQNK >Potri.001G121800.1.v4.1 pep chromosome:Pop_tri_v4:1:9996512:9997254:-1 gene:Potri.001G121800.v4.1 transcript:Potri.001G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121800.v4.1 MGSSAVLFLFLNLLFFALASGCNTCVQPKPIPNPNPNPIISRNSCPRDALKLGVCAKLLNGAIGGVVGSPPDTPCCTVLQGLVDLEAAVCLCTAIKANILGINIDIPISLSLLINTCGKKLPSDFICA >Potri.009G032000.8.v4.1 pep chromosome:Pop_tri_v4:9:4280820:4286960:-1 gene:Potri.009G032000.v4.1 transcript:Potri.009G032000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032000.v4.1 MVLQKRLDYGFNGYQVPPIPRATRSARRRGSFKKKHEENQMCAFDLLAIVAGKLLLDKESAPSSSDTSADEDQRAVINSAVKNEWQDEEKSLKVEACDQGSADKNIYVSDLSHVHRQGCSSKESLVTENGLNLGLASALAKPDCEERSNAEKFGNGQSKSEIGTFASKVEGGSSEYIEFGDCKLEAETKRAVKDEPHKSGMVQSSTVANICNLEDPVVLDAKPPALVSSDSSAKVPLCGNPNSSYPTNQDDVNVVSRDDDENSSGCTHPITTKKFFRPAPRIGDRRIRKILASKYWKVAPRLKDATVSNSDRDLKPVFRKRQNCYRHRRSERIYPFKKRKHFAYSSPSNSDGGMSCEFVSDSSQKGSNEDASVSCSRMRGGQHTSFLPRDSHVKLRIKSFSVPELLIEIPESSTVGSLKRTVMEAVTAILGSGLRVGVLLQGKKVREDNKTLQQTGISRNNQLDALGFCLEPNPSQIPPSLCPGDSPFFLQCDTPQPILRYPHTTGVVHQGICAVPEPHANNLGNYIESDHDSAPSPTDTSMDKSTNSKALVAVPAMNVEALAVVPALRKSKRSEIAQRRIRRPFSVTEVEALVHAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQAKQQFKHQQAETCLLL >Potri.009G032000.9.v4.1 pep chromosome:Pop_tri_v4:9:4280847:4285433:-1 gene:Potri.009G032000.v4.1 transcript:Potri.009G032000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032000.v4.1 MVLQKRLDYGFNGYQVPPIPRATRSARRRGSFKKKHEENQMCAFDLLAIVAGKLLLDKESAPSSSDTSADEDQRAVINSAVKNEWQDEEKSLKVEACDQGSADKNIYVSDLSHVHRQGCSSKESLVTENGLNLGLASALAKPDCEERSNAEKFGNGQSKSEIGTFASKVEGGSSEYIEFGDCKLEAETKRAVKDEPHKSGMVQSSTVANICNLEDPVVLDAKPPALVSSDSSAKVPLCGNPNSSYPTNQDDVNVVSRDDDENSSGCTHPITTKKFFRPAPRIGDRRIRKILASKYWKVAPRLKDATVSNSDRDLKPVFRKRQNCYRHRRSERIYPFKKRKHFAYSSPSNSDGGMSCEFVSDSSQKGSNEDASVSCSRMRGGQHTSFLPRDSHVKLRIKSFSVPELLIEIPESSTVGSLKRTVMEAVTAILGSGLRVGVLLQGKKVREDNKTLQQTGISRNNQLDALGFCLEPNPSQIPPSLCPGDSPFFLQCDTPQPILRYPHTTGVVHQGICAVPEPHANNLGNYIESDHDSAPSPTDTSMDKSTNSKALVAVPAMNVEALAVVPALRKSKRSEIAQRRIRRPFSVTEVEALVHAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQAKQQFKHQQAETCLLL >Potri.009G032000.12.v4.1 pep chromosome:Pop_tri_v4:9:4280844:4285299:-1 gene:Potri.009G032000.v4.1 transcript:Potri.009G032000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032000.v4.1 MVLQKRLDYGFNGYQVPPIPRATRSARRRGSFKKKHEENQMCAFDLLAIVAGKLLLDKESAPSSSDTSADEDQRAVINSAVKNEWQDEEKSLKVEACDQGSADKNIYVSDLSHVHRQGCSSKESLVTENGLNLGLASALAKPDCEERSNAEKFGNGQSKSEIGTFASKVEGGSSEYIEFGDCKLEAETKRAVKDEPHKSGMVQSSTVANICNLEDPVVLDAKPPALVSSDSSAKVPLCGNPNSSYPTNQDDVNVVSRDDDENSSGCTHPITTKKFFRPAPRIGDRRIRKILASKYWKVAPRLKDATVSNSDRDLKPVFRKRQNCYRHRRSERIYPFKKRKHFAYSSPSNSDGGMSCEFVSDSSQKGSNEDASVSCSRMRGVKLRIKSFSVPELLIEIPESSTVGSLKRTVMEAVTAILGSGLRVGVLLQGKKVREDNKTLQQTGISRNNQLDALGFCLEPNPSQIPPSLCPGDSPFFLQCDTPQPILRYPHTTGVVHQGICAVPEPHANNLGNYIESDHDSAPSPTDTSMDKSTNSKALVAVPAMNVEALAVVPALRKSKRSEIAQRRIRRPFSVTEVEALVHAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQAKQQFKHQQAETCLLL >Potri.009G032000.1.v4.1 pep chromosome:Pop_tri_v4:9:4280773:4286959:-1 gene:Potri.009G032000.v4.1 transcript:Potri.009G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032000.v4.1 MVLQKRLDYGFNGYQVPPIPRATRSARRRGSFKKKHEENQMCAFDLLAIVAGKLLLDKESAPSSSDTSADEDQRAVINSAVKNEWQDEEKSLKVEACDQGSADKNIYVSDLSHVHRQGCSSKESLVTENGLNLGLASALAKPDCEERSNAEKFGNGQSKSEIGTFASKVEGGSSEYIEFGDCKLEAETKRAVKDEPHKSGMVQSSTVANICNLEDPVVLDAKPPALVSSDSSAKVPLCGNPNSSYPTNQDDVNVVSRDDDENSSGCTHPITTKKFFRPAPRIGDRRIRKILASKYWKVAPRLKDATVSNSDRDLKPVFRKRQNCYRHRRSERIYPFKKRKHFAYSSPSNSDGGMSCEFVSDSSQKGSNEDASVSCSRMRGATGTSSSFAGQHTSFLPRDSHVKLRIKSFSVPELLIEIPESSTVGSLKRTVMEAVTAILGSGLRVGVLLQGKKVREDNKTLQQTGISRNNQLDALGFCLEPNPSQIPPSLCPGDSPFFLQCDTPQPILRYPHTTGVVHQGICAVPEPHANNLGNYIESDHDSAPSPTDTSMDKSTNSKALVAVPAMNVEALAVVPALRKSKRSEIAQRRIRRPFSVTEVEALVHAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQAKQQFKHQQAETCLLL >Potri.009G032000.10.v4.1 pep chromosome:Pop_tri_v4:9:4280848:4287178:-1 gene:Potri.009G032000.v4.1 transcript:Potri.009G032000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032000.v4.1 MVLQKRLDYGFNGYQVPPIPRATRSARRRGSFKKKHEENQMCAFDLLAIVAGKLLLDKESAPSSSDTSADEDQRAVINSAVKNEWQDEEKSLKVEACDQGSADKNIYVSDLSHVHRQGCSSKESLVTENGLNLGLASALAKPDCEERSNAEKFGNGQSKSEIGTFASKVEGGSSEYIEFGDCKLEAETKRAVKDEPHKSGMVQSSTVANICNLEDPVVLDAKPPALVSSDSSAKVPLCGNPNSSYPTNQDDVNVVSRDDDENSSGCTHPITTKKFFRPAPRIGDRRIRKILASKYWKVAPRLKDATVSNSDRDLKPVFRKRQNCYRHRRSERIYPFKKRKHFAYSSPSNSDGGMSCEFVSDSSQKGSNEDASVSCSRMRGVKLRIKSFSVPELLIEIPESSTVGSLKRTVMEAVTAILGSGLRVGVLLQGKKVREDNKTLQQTGISRNNQLDALGFCLEPNPSQIPPSLCPGDSPFFLQCDTPQPILRYPHTTGVVHQGICAVPEPHANNLGNYIESDHDSAPSPTDTSMDKSTNSKALVAVPAMNVEALAVVPALRKSKRSEIAQRRIRRPFSVTEVEALVHAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQAKQQFKHQQAETCLLL >Potri.009G032000.11.v4.1 pep chromosome:Pop_tri_v4:9:4280848:4286957:-1 gene:Potri.009G032000.v4.1 transcript:Potri.009G032000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032000.v4.1 MVLQKRLDYGFNGYQVPPIPRATRSARRRGSFKKKHEENQMCAFDLLAIVAGKLLLDKESAPSSSDTSADEDQRAVINSAVKNEWQDEEKSLKVEACDQGSADKNIYVSDLSHVHRQGCSSKESLVTENGLNLGLASALAKPDCEERSNAEKFGNGQSKSEIGTFASKVEGGSSEYIEFGDCKLEAETKRAVKDEPHKSGMVQSSTVANICNLEDPVVLDAKPPALVSSDSSAKVPLCGNPNSSYPTNQDDVNVVSRDDDENSSGCTHPITTKKFFRPAPRIGDRRIRKILASKYWKVAPRLKDATVSNSDRDLKPVFRKRQNCYRHRRSERIYPFKKRKHFAYSSPSNSDGGMSCEFVSDSSQKGSNEDASVSCSRMRGVKLRIKSFSVPELLIEIPESSTVGSLKRTVMEAVTAILGSGLRVGVLLQGKKVREDNKTLQQTGISRNNQLDALGFCLEPNPSQIPPSLCPGDSPFFLQCDTPQPILRYPHTTGVVHQGICAVPEPHANNLGNYIESDHDSAPSPTDTSMDKSTNSKALVAVPAMNVEALAVVPALRKSKRSEIAQRRIRRPFSVTEVEALVHAVEKLGTGRWRDVKLRAFDNAKHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQELLDRVLAAHAYWSQQQAKQQFKHQQAETCLLL >Potri.006G002800.1.v4.1 pep chromosome:Pop_tri_v4:6:229597:233089:1 gene:Potri.006G002800.v4.1 transcript:Potri.006G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002800.v4.1 MAETETFAFQAEINQLLSLIINTFYSNKEIFLRELISNSSDALDKIRFDSLTDKSKLDAQPELFIHIIPDKANNSLTIIDSGIGMTKSDLVNNLGTIARSGTKEFMEAVTAGADVSMIGQFGVGFYSSYLVADKVVVTSKHNDDEQYVWESQAGGSFTVTRDTSGENLGRGSKITLFLKEDQLEYLEERRLKDLIKKHSEFISYPISLWIEKTTEKEISDDEDEDVEDKKDEEGNVEDVDDEKDKEEKKKKKIKEISHEWSLVNKQKPIWMRKPEEITKEEYGAFYKSLTNDWEEHLAVKHFSVEGQLEFKAVLFVPKRAPFDLFDTKKKQNNIKLYVRRVFIMDNCEELMPEYLSFVKGIVDSEDLPLNISREMLQQNKILKVIRKNLVKKCIELFFEIAENKEDYDKFYEAFSKNLKLGIHEDSQNKTKIAELLRYHSTKSGDELTSLKDYVTRMKEGQSDIYYITGESKKAVENSPFLEKLKKKGYEVLYMVDAIDEYAVGQLKEFEGKKLVSATKEGLKIDETEDEKKKSEESKEKFEGLCKVIKDVLGDRVEKVVVSDRVVDSPCCLVTGEYGWSANMERIMKAQALRDNSMAGYMSSKKTMEINPENPIMDELRKRADADKNDKSVKDLVLLLFETALLTSGFSLDDPNTFGSRIHRMLKLGLSIDEDSGDADTDMPPLEDAAEEGSKMEEVD >Potri.004G085300.1.v4.1 pep chromosome:Pop_tri_v4:4:7081677:7084061:-1 gene:Potri.004G085300.v4.1 transcript:Potri.004G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085300.v4.1 MVLKTELCRFSGAKIYPGRGIRFIRSDSQVFLFANSKCKRYFHNKLKPSKLTWTAMYRKQHKKDIAAETVKKRRRTTKKPYSRSIVGATLEVIQKKRAEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMSKAQKSSKGSLPKGAAPKGPKLGGGGGKR >Potri.001G248200.1.v4.1 pep chromosome:Pop_tri_v4:1:26435829:26441078:-1 gene:Potri.001G248200.v4.1 transcript:Potri.001G248200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248200.v4.1 MNSSLPLRQPIRTHSLIPLQNHKSARFKLQPSRFVNSSIQNCSRTVSFSPSSIPTQPRFNGSVEFRTVPSLRVRVSSNDAQFGSLNEDCEPKAPSFREFITSERIKVVSMLALALALCNADRVVMSVAIVPLSLAHGWSRSFSGVVQSSFLWGYLISPIAGGTLVDYYGGKVVMGWGVALWSLATFLTPWAADTSLWALLATRAMLGIAEGVALPCMNNMIARWFPQTERARAVGIAMAGFQFGNAIGLMLSPILMSKGGIFGPFVIFGLSGFLWVLVWLSAISSTPDRSSQISKYELEYILNKGWKSFPMENKPKTNRIIPPFRRLLSKMPTWSLIIANAMHSWGFFVILSWMPIYFNTIYHVDLKQAAWFSAVPWSVMGFMGYFGGTWSDMLIRSGISVTLTRKIMQSIGFFGPGIALIGLTTARNPFLASAWLTIAVGLKSFSHSGFLVNLQEIAPRYSGVLHGISNTAGTLAAIVGTVGAGFFVELVGSFRGFLLLTSLLYFLAALFYNIFSTGERVNFDETGP >Potri.001G248200.2.v4.1 pep chromosome:Pop_tri_v4:1:26435830:26441087:-1 gene:Potri.001G248200.v4.1 transcript:Potri.001G248200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248200.v4.1 MNSSLPLRQPIRTHSLIPLQNHKSARFKLQPSRFVNSSIQNCSRTVSFSPSSIPTQPRFNGSVEFRTVPSLRVRVSSNDAQFGSLNEDCEPKAPSFREFITSERIKVVSMLALALALCNADRVVMSVAIVPLSLAHGWSRSFSGVVQSSFLWGYLISPIAGGTLVDYYGGKVVMGWGVALWSLATFLTPWAADTSLWALLATRAMLGIAEGVALPCMNNMIARWFPQTERARAVGIAMAGFQFGNAIGLMLSPILMSKGGIFGPFVIFGLSGFLWVLVWLSAISSTPDRSSQISKYELEYILNKGWKSFPMENKPKTNRIIPPFRRLLSKMPTWSLIIANAMHSWGFFVILSWMPIYFNTIYHVDLKQAAWFSAVPWSVMGFMGYFGGTWSDMLIRSGISVTLTRKIMQSIGFFGPGIALIGLTTARNPFLASAWLTIAVGLKSFSHSGFLVNLQEIAPRYSGVLHGISNTAGTLAAIVGTVGAGFFVELVGSFRGFLLLTSLLYFLAALFYNIFSTGERVNFDETGP >Potri.002G070700.1.v4.1 pep chromosome:Pop_tri_v4:2:4962866:4964218:1 gene:Potri.002G070700.v4.1 transcript:Potri.002G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070700.v4.1 MYSASDQMMFQCPPRPFPMERKWKSNIEVAPNCPRCASPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSLRNVPAGGGCRKYRRARSSKISQNERAAVSLDYSRANETLACSSNKDSVAQQDGANGSDIDLAAVFSKFLNQDLSYGPEFIGEELRDEGSELVNISNSSTPISDSYQNDPMMESLKRSDLTQESNLLEGRSQVLVGEKQQFEEDQRFQELIESQDMNAFGLQNLLIDEIVQDALWSDDATLPHVPNWQPMVQLQDFDSFSVDDRLKISANFTSDDNWSSFDLSGFEVFPRP >Potri.016G037600.1.v4.1 pep chromosome:Pop_tri_v4:16:2299688:2303785:-1 gene:Potri.016G037600.v4.1 transcript:Potri.016G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037600.v4.1 MEAARTKLTRVLSRTFINNVKDKAQRVMGSGSSFKGLAKYSTSAAAVVKDYEDYRKSLYGDITHKALLVDAVGTLVVPSQPMAQIYRQMGEKYGVEYSEDEILRRYRWAYGQPWGRSRLRYVNDGRPFWQYIVSSSTGCSDTQYFEELYNYYTTEKAWHLCDPDAEKVFEAIKKAGVKLAVVSNFDTRLRPLLRALNCDHWFDAVAVSAEVAAEKPNPTIFLKACELLEVKPEDAVHVGDDRRNDIWGARDAGCDAWLWGSDVHSFKEVAQRIGVHV >Potri.001G012500.1.v4.1 pep chromosome:Pop_tri_v4:1:869131:870630:1 gene:Potri.001G012500.v4.1 transcript:Potri.001G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012500.v4.1 MGVNGVHEGKEISFKRMPNTEPPFTLGKIKKAIPPHCFQRSLLRSFSYVVYDLSFSFLFCYIAITYFHLLPSPLAYITWPIYWVLQACILTGVWVIAHECGHHAFSDYQWVDNTVGLILHSALFIPYFSWKYSHRRHHSNTGSLERDEVFVPKTKSRVAWYSKHLNNPPGRALSLAVSLLIGWPLYLTFNVSGRPYDRFACHYDPYSPIYSDREKLQHTHPALPHYDSSEWGWLRGALATMDRDYGILNKVFHNITDTHVAHHLFSNIPHYHAMEATKAIKPILGEFYQFDDTPIYKALWREAKECLYVEPDDGAPEKGVFWYRNEF >Potri.018G120000.1.v4.1 pep chromosome:Pop_tri_v4:18:13196541:13202628:1 gene:Potri.018G120000.v4.1 transcript:Potri.018G120000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120000.v4.1 MAMASNALHHPLHQSISLKYPHLSHISRFSPSCLRFTSLRFPSTIRATSAVALEPELSTQQNQAIFACPVCYEPLIRKGPPGFNLPAIYRSSFKCKKCTKTYSSKDNYLDLTITAGMKDYTEINPVRTELFRSPLVSFLYERGWRQSFNQSGFPGPDEEFEMAQEYFKPARGGLLVDVSCGSGLFSRKFAKSGAYSKVIALDFSENMLRQCYDYIKQDDTISTTNLGLIRADVSRLPFASGSVDAVHAGAAMHCWPSPSNAVAEICRVLRSGGVFVGTTFLRYSSTTPRIERPFRERISRNSNFFTEEEIEDLCSTCGLTNYSKKVQQTFIMFSAQKP >Potri.018G120000.3.v4.1 pep chromosome:Pop_tri_v4:18:13196541:13200222:1 gene:Potri.018G120000.v4.1 transcript:Potri.018G120000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120000.v4.1 MAMASNALHHPLHQSISLKYPHLSHISRFSPSCLRFTSLRFPSTIRATSAVALEPELSTQQNQAIFACPVCYEPLIRKGPPGFNLPAIYRSSFKCKKCTKTYSSKDNYLDLTITAGMKDYTEINPVRTELFRSPLVSFLYERGWRQSFNQSGFPGPDEEFEMAQEYFKPARGGLLVDVSCGSGLFSRKFAKSGAYSKVIALDFSENMLRQCYDYIKQDDTISTTNLGLIRADVSRLPFASGSVDAVHAGAAMHCWPSPSNAVPIMWFCTLVSWN >Potri.003G212800.1.v4.1 pep chromosome:Pop_tri_v4:3:20994688:20995797:-1 gene:Potri.003G212800.v4.1 transcript:Potri.003G212800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212800.v4.1 MEDQDASSSMISSAKSPTINVETLAFSTSNKLGRLHSNIGNVAKFKGIVPQKNGHWGAQIYSNHQRIWLGTFKTEKEAAMAYDSAAIKLRSTDSHRNFPWNDRNVQEPSFQNQYSTEEILNMIRDGSYQQIFVDFIMKQSQREEIGGSDDPNGRRVHADDEQFSCIQLFQKDLTPSDVGKLNRLVIPKKFAVKYFPNIFKDVEDDRVLNAAGVDDTELIFYDRFMKSWKFRYCYWRSSQSFVFTKGWNRFVKEKKLKEKDIIIFYTCACPNKVLEQHGQGQYFSMIDVVYCNGQGGKVDGFNQTEDVQRELAVVLRQNMRKKLQKDGKELKEEKETLKNKVKQKGFRLFGVQINEVELQLQPCFQTGTE >Potri.014G042700.3.v4.1 pep chromosome:Pop_tri_v4:14:2766302:2768659:-1 gene:Potri.014G042700.v4.1 transcript:Potri.014G042700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G042700.v4.1 MAVLRREKGQSLQGSRIAVAILIGILLGCVFAVFYPHGFFSSNPTGSHRRIANSNLQTGLSSCESPERIKMVKADIVLISEKNAEMKKQVRELNEKLQLAEQGQDHAQKQVLLLGKQQKAGPFGTVKGLRTNPTVVPDESVNPRLAKLLEEVAVRKELIVALANSNVKTMLEVWFANIKKAGIRNYLVVALDDHIVDFCKSNDVPVYKRDPDSGIDSVARTGGNHAVSGLKFRILREFLQLGYSVLLSDVDIIYLQNPFDHLYRDSDVESMSDGHDNMTAYGFDDVFNEPAMGWARYAHTMRIWVYNSGFFYIRPTLPSIELLDRVAGRLSREPNSWDQAVFNEELFSPSHPGYDGLHAAKRTMDMFLFMNSKVLFKTVRKDPALKTLKPVIVHVNYHPDKLRRMQAVVEFYVNGKQDALDPFPDGSDW >Potri.005G077500.11.v4.1 pep chromosome:Pop_tri_v4:5:5276351:5280517:1 gene:Potri.005G077500.v4.1 transcript:Potri.005G077500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077500.v4.1 MSSPPTSVLKGQTALPTDKVQQIGLLNAVNDKYGGVVVDMKEPMDFHIYVPLLRASISQWRQQGKKGVWIKLPIQQANLVEPTVKEGFRYHHAESNYLMLVYWIPDSPDTLPANASHIVGIGAFVMNNKREVLVVKEKHGYFKGKDAWKFPTGVVNQGEDICAAAIREVKEETGIDTEFMEILAFK >Potri.005G077500.10.v4.1 pep chromosome:Pop_tri_v4:5:5275310:5280517:1 gene:Potri.005G077500.v4.1 transcript:Potri.005G077500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077500.v4.1 MSSPPTSVLKGQTALPTDKVQQIGLLNAVNDKYGGVVVDMKEPMDFHIYVPLLRASISQWRQQGKKGVWIKLPIQQANLVEPTVKEGFRYHHAESNYLMLVYWIPDSPDTLPANASHIVGIGAFVMNNKREVLVVKEKHGYFKGKDAWKFPTGVVNQGEDICAAAIREVKEETGIDTEFMEILAFNQTHQQFLGKSDLFFVCMLQPLSFDITKQDSEIKAAQWIPIDEYVNQTYNREHKPFEYVAKICLTKSQSNYGGFSAVHTLTSSGKQPYLYFNGQDFKP >Potri.005G077500.5.v4.1 pep chromosome:Pop_tri_v4:5:5275310:5280517:1 gene:Potri.005G077500.v4.1 transcript:Potri.005G077500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077500.v4.1 MQQIYALPFFILPGTYFPLFCTESTFAALVSTCYRLPISRRNMSSPPTSVLKGQTALPTDKVQQIGLLNAVNDKYGGVVVDMKEPMDFHIYVPLLRASISQWRQQGKKGVWIKLPIQQANLVEPTVKEGFRYHHAESNYLMLVYWIPDSPDTLPANASHIVGIGAFVMNNKREVLVVKEKHGYFKGKDAWKFPTGVVNQGEDICAAAIREVKEETGIDTEFMEILAFNQTHQQFLGKSDLFFVCMLQPLSFDITKQDSEIKAAQWIPIDEYVNQTYNREHKPFEYVAKICLTKSQSNYGGFSAVHTLTSSGKQPYLYFNGQDFKP >Potri.005G077500.7.v4.1 pep chromosome:Pop_tri_v4:5:5275311:5280517:1 gene:Potri.005G077500.v4.1 transcript:Potri.005G077500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077500.v4.1 MQQIYALPFFILPGTYFPLFCTESTFAALVSTCYRLPISRRNMSSPPTSVLKGQTALPTDKVQQIGLLNAVNDKYGGVVVDMKEPMDFHIYVPLLRASISQWRQQGKKGVWIKLPIQQANLVEPTVKEGFRYHHAESNYLMLVYWIPDSPDTLPANASHIVGIGAFVMNNKREVLVVKEKHGYFKGKDAWKFPTGVVNQGEDICAAAIREVKEETGIDTEFMEILAFK >Potri.005G077500.9.v4.1 pep chromosome:Pop_tri_v4:5:5276330:5280520:1 gene:Potri.005G077500.v4.1 transcript:Potri.005G077500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077500.v4.1 MSSPPTSVLKGQTALPTDKVQQIGLLNAVNDKYGGVVVDMKEPMDFHIYVPLLRASISQWRQQGKKGVWIKLPIQQANLVEPTVKEGFRYHHAESNYLMLVYWIPDSPDTLPANASHIVGIGAFVMNNKREVLVVKEKHGYFKGKDAWKFPTGVVNQGEDICAAAIREVKEETGIDTEFMEILAFK >Potri.005G077500.8.v4.1 pep chromosome:Pop_tri_v4:5:5276616:5280517:1 gene:Potri.005G077500.v4.1 transcript:Potri.005G077500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077500.v4.1 MDLTQFYRLPISRRNMSSPPTSVLKGQTALPTDKVQQIGLLNAVNDKYGGVVVDMKEPMDFHIYVPLLRASISQWRQQGKKGVWIKLPIQQANLVEPTVKEGFRYHHAESNYLMLVYWIPDSPDTLPANASHIVGIGAFVMNNKREVLVVKEKHGYFKGKDAWKFPTGVVNQGEDICAAAIREVKEETGIDTEFMEILAFK >Potri.013G083200.1.v4.1 pep chromosome:Pop_tri_v4:13:7390637:7392289:1 gene:Potri.013G083200.v4.1 transcript:Potri.013G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083200.v4.1 MNYLVENKAAGLGFVGAPLTLASYVVEGGSSKHFTKVKRLAFSQPKIPHELLQTSMAKYIQYQADSGAQTVQIFASWATELSPVDFEEFSLPYLKQIVDTVNQSHPNLPLILHASGSGGLLERLALTDVDVVSMDWSVDMAEGRRRLGPDVAVQGNVDPGVLFRSKEFITNRINDVVRKAGKGKHILNLGHGIVVGTPEENVAHFLEVAKRIR >Potri.008G046400.1.v4.1 pep chromosome:Pop_tri_v4:8:2684302:2686811:-1 gene:Potri.008G046400.v4.1 transcript:Potri.008G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046400.v4.1 MENRSLNLLDEALGLDYQTDPWPLRGKVVLIEDCVETSGCFVLHQLLKRTLSSQSPNNTVIFLAFSHPLSHYDRILRKLGCNLVAQRENGRFFFFDMLKLLCPDEKEGKTGKGGFTALYWKIQNTICALPENNKNHATIMIDDMSLMEVAAHGSSDHVLNFMHYCHTLTSELGCSLITLNHEDIYTSMERPTFMLQTKYLVDVLIKAEPLATGLAADVHGQLTVLNRDFCNVKGSSKNKISNFHFKVKENSVEYFYPGTRT >Potri.010G010900.1.v4.1 pep chromosome:Pop_tri_v4:10:1997868:1999875:1 gene:Potri.010G010900.v4.1 transcript:Potri.010G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010900.v4.1 MASSIEHRTINVNGINIHVAIKGPENAPVILFIHGFPQLWYSWRHQIEALSSLGYRAVAPDLRGYGDTDAPAEVTSYTVLHVVGDLIGLLDVVAPNQESVFVVGHDWGALMAWHLALFRPDRVKALVNLSVLFNPRNPSRKVIATLKAVYGDDYYIIRFQEPGEIEAEFAEIGTEKVLKEFLTYRTPAPLFLPKGQGFNGKPLDTPVVLPSWLSEEDVKYYTSKYEQKGFTGGLNYYRNLDRNWELTAPWTGAQIKVPVKFIVGDQDLTYNSLGAKDHIAKGGFKRDVPFLHDLVVMEGVGHFINEEKPEEISKHIYDFFQKL >Potri.011G051600.2.v4.1 pep chromosome:Pop_tri_v4:11:4108102:4110613:-1 gene:Potri.011G051600.v4.1 transcript:Potri.011G051600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051600.v4.1 MAATFSQLDQDTTSKSSSSNTRSPRTRSPAPFLSKTYDLLEEGGAHDSVDDHPHGKRVVSWNAEGNGFVVWSPAEFSELTLPRYFKHSNFSSFIRQLNTYGFKKTSSKQWEFKHEKFQKGRRHMLVEIIRKKCEPSMFPAYLKASSNQENAIIDMEETNCLTLMAENKNLRREKLELQIQIAQFKALETKLLDCLNQYNMGNHQNKTRRLC >Potri.011G051600.1.v4.1 pep chromosome:Pop_tri_v4:11:4108052:4110672:-1 gene:Potri.011G051600.v4.1 transcript:Potri.011G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051600.v4.1 MAATFSQLDQDTTSKSSSSNTRSPRTRSPAPFLSKTYDLLEEGGAHDSVDDHPHGKRVVSWNAEGNGFVVWSPAEFSELTLPRYFKHSNFSSFIRQLNTYGFKKTSSKQWEFKHEKFQKGRRHMLVEIIRKKCEPSMFPAYLKASSNQENAIIDMEETNCLTLMAENKNLRREKLELQIQIAQFKALETKLLDCLNQYNMGNHQNKTRRLC >Potri.019G086200.1.v4.1 pep chromosome:Pop_tri_v4:19:12553318:12556160:-1 gene:Potri.019G086200.v4.1 transcript:Potri.019G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086200.v4.1 MKPQNLLFSLFLFFTVTTAQPTIQPGTTLSAANPGQTWSSPNNTFYVGFSQVDSSSYYTLTINYNGGVPIWTAGNATTTVDSKGSFQFLPSGNLRLLNGSGAVVWDSNTARLGVTTASLDDFGNLVLKNGTSTVWSSFDNPTDTIVPNQNFSVNQVLRSESYHFRFLSNGNLTLRWNDFILYWNQGLNSSLDVNLTSPTLGLQRTGVLTIFDVAFPSGSYTVASSNDYDEGGTRLRFLRLGKDGNFRMYSTAIGTGTITMVWSALTDQCEVFGYCGNMGICRYNESSSSPNCGCPSENFEPVDVNDSRQGCKRKVEIESCVGNATMLVLDNAKFLTYQPETLSQVFSNGISACRLNCLSQSSCIASTSLSDGTGMCYLKNSDFISGYQNPVLPSTSYVKVCGQAQPNPPPGLQIAEKSKSSNLRVWVVLVVVVITLLGLIAVEGGLWWWCCRNSPKFGSLSAQYALLEYASGAPVQFSYKELQRSTKQFKEKLGAGGFGAVYKGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDNFLFTTEEQPGRLLNWEQRFNIALGTARGITYLHEECRDCIVHCDIKPENILLDENYNAKVSDFGLAKLISPRDHRYRTLTSVRGTRGYLAPEWLANLPITSKSDIYGYGMVLLEIVSGRRNFEVSAETDRKKFSAWAYEEFEKSNVTAILDQRLTDQDVDMQQVTRAIQVSFWCIQDQPSQRPKMGKVVQMLEGISEIENPPAPKAITEGSIPGTSILSSSNVSALSTFAPSAPTPSSSSSYQTLGVSPLASGRNIERASSSLLP >Potri.010G151700.1.v4.1 pep chromosome:Pop_tri_v4:10:16152208:16152889:-1 gene:Potri.010G151700.v4.1 transcript:Potri.010G151700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151700.v4.1 MVLEFEIPSAFDPFADAKQSGTKEYVHIRIQQRNGKKSLTTVQGLPKELSYEKILKTLKKDFCCNGNVVQDKELGKVIQLQGDQRKNVQNFLVNQNIVKKDQIKIHGF >Potri.008G045000.1.v4.1 pep chromosome:Pop_tri_v4:8:2556465:2557733:1 gene:Potri.008G045000.v4.1 transcript:Potri.008G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045000.v4.1 MESSAVPSSPSVLNSMVTPEEFKIFHTIDRTLYTRLIVNLDRDPAESMQVMALWIWLEKEARDNLVDKMLSLPDALINSLADEAVLCLNCIETDRFHFSPESMNDKVPLTQQLTKTGLSLRFFHDNRLGILRALTKIIDEVCARAFEDISRQVIEKKAAGKGNNIVAENVIGQEGNPLNYYGPAINPVLCYNSAAAAAGVYGLGISTPQFMAPNIGILPAYDPYDLSVQRQITSTENIAGVLNRIKIINGDHQGEKDVYADNRTVFLTFSKGYPISEDEIRDFFTKKHGDCIEAIYMQEVSAEEQPLYARLVVTSAAVIHSVLQGQSKAKFTINGKHVWARKYVPKNPKSSSPPKSPTSPQPTSPAAEPSCVN >Potri.018G143000.1.v4.1 pep chromosome:Pop_tri_v4:18:14813709:14816751:1 gene:Potri.018G143000.v4.1 transcript:Potri.018G143000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143000.v4.1 MAEQPETVSSSVNQSSNTQNSPEDKLKQSWVPSAFSGFPAYPDGGFQMFPVMYPALVPGLNAMQNQEQANHGPGIYAVPVPQFMGPIAGLPSNTLIPLTLNIPTRPTPEAGATSDQAQGGQQQLQQPAAQRQIVVRRFQIAFELDLFLILKLAAVIFLFHQDGSRQRLLVLVFLASLVYLYQTGALTPLVQWLSQSMQRAAAPPRPPRPAVRAENAAIRQNEDVALAEGQPAVENENRPAEDGNQAAENENVAEPGGDNGGHHWWGIVKEIQMIVFGFITSLLPGFHHID >Potri.004G017666.1.v4.1 pep chromosome:Pop_tri_v4:4:1260565:1260886:-1 gene:Potri.004G017666.v4.1 transcript:Potri.004G017666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017666.v4.1 MIEAKYSKLAMEVQLISRKLIKPSVQTPPHLQNLNISFLDQLAPSLNVPNIFYYTNSDEIFFC >Potri.019G129466.1.v4.1 pep chromosome:Pop_tri_v4:19:15216169:15217338:-1 gene:Potri.019G129466.v4.1 transcript:Potri.019G129466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129466.v4.1 MELLTFLSTNINNLYSQKKKFLVSDAVSDYIRSPFALTKKFIGSDAVSDLTHKFSDQIKKFLASGAVPDYRRSPFDLIKKFTADAVSDFIRKLSDLTKKFLASETVVYVLRWFKKENVPIMVAVVVIVLLFGGCCGGAAKSVKTMKAPGRNYRMPRSNFEANPSAYFRDLRKG >Potri.002G154200.5.v4.1 pep chromosome:Pop_tri_v4:2:11777086:11778566:1 gene:Potri.002G154200.v4.1 transcript:Potri.002G154200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154200.v4.1 MTSSTTPFRSAPVGYGFHPTDEELVNHFLKLKMIGGYDHVVSTIAEVNVCNYEPWELPAIQSNDPECYFFSRPNYKYSKSQRANRTTGAGYWKGTGRDRTITAKSTGEQIATKKTLVFYNGRVPNGVRTNWIMHEYNPTFSFPNKRDFILYKLKKNPDDLPAFDEGESSASNVDEEDSQLRAQLESFSGIDEGDYNLNSALHFSN >Potri.002G154200.4.v4.1 pep chromosome:Pop_tri_v4:2:11777086:11778566:1 gene:Potri.002G154200.v4.1 transcript:Potri.002G154200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154200.v4.1 MTSSTTPFRSAPVGYGFHPTDEELVNHFLKLKMIGGYDHVVSTIAEVNVCNYEPWELPGLSAIQSNDPECYFFSRPNYKYSKSQRANRTTGAGYWKGTGRDRTITAKSTGEQIATKKTLVFYNGRVPNGVRTNWIMHEYNPTFSFPNKRDFILYKLKKNPDDLPAFDEGESSASNVDEEDSQLRAQLESFSGIDEGDYNLNSALHFSN >Potri.002G154200.3.v4.1 pep chromosome:Pop_tri_v4:2:11777086:11778620:1 gene:Potri.002G154200.v4.1 transcript:Potri.002G154200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154200.v4.1 MTSSTTPFRSAPVGYGFHPTDEELVNHFLKLKMIGGYDHVVSTIAEVNVCNYEPWELPGLSAIQSNDPECYFFSRPNYKYSKSQRANRTTGAGYWKGTGRDRTITAKSTGEQIATKKTLVFYNGRVPNGVRTNWIMHEYNPTFSFPNKRDFILYKLKKNPDDLPAFDEGESSASNVDEEDSQLRAQLESFSGIDEGDYNLNSALHFSN >Potri.002G154200.2.v4.1 pep chromosome:Pop_tri_v4:2:11777002:11778646:1 gene:Potri.002G154200.v4.1 transcript:Potri.002G154200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154200.v4.1 MTSSTTPFRSAPVGYGFHPTDEELVNHFLKLKMIGGYDHVVSTIAEVNVCNYEPWELPGLSAIQSNDPECYFFSRPNYKYSKSQRANRTTGAGYWKGTGRDRTITAKSTGEQIATKKTLVFYNGRVPNGVRTNWIMHEYNPTFSFPNKRDFILYKLKKNPDDLPAFDEGESSASNVDEEDSQLRAQLESFSGIDEGDYNLNSALHFSN >Potri.008G019800.1.v4.1 pep chromosome:Pop_tri_v4:8:992330:995765:1 gene:Potri.008G019800.v4.1 transcript:Potri.008G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019800.v4.1 MESESILPDWASKPCIMGIDEAGRGPVLGPMVYGCLYCARSYEKTLSTLKFADSKTLKEEKREELFEKLKANELIGWAVDVIDPRELSAKMLKKIKINLNEISHDSASGLVTRVLNMGVLLTDVYVDTVGDPEKYRIKLSERFPSIKFVVAKKADSLYPVVSGASIVAKVTRDRALRDWVLDETAENMTRNFGSGYPGDPETKSWLEKHQHSVFGFPSLVRFSWGTCTSYSKNMVEVVWESDKTEEDGSSSSSGKRQLKLSSFGVTTSKRKSEEIESSGKSRCKFFQARKLEQLANF >Potri.015G130600.1.v4.1 pep chromosome:Pop_tri_v4:15:14098230:14100989:-1 gene:Potri.015G130600.v4.1 transcript:Potri.015G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130600.v4.1 MGNTCCFLCGCVDQASVGVVERWGRFERLAPPGFHFFNCLAGQCLAGVLSTRIHSLDVRIETKTKDNVFVQLVCSIQYRIVKENADDAFYELANPREQIQAYVFDVVRAIVPRMALDELFEQKGEVAIAVLEELEKVMGAYGYCIEHILMVDIIPDDTVRRAMNEINAAQRLQLASVYKGEAEKVLLVKRAEADAEAKYLGGVGVARQRQAITDGLRENILEFSHKVTGTSAKEVMDLIMITQYFDTIKDLGNSSKNTTVFIPHGPGHVRDIGDQIRNGLMEASCAQIDQQ >Potri.001G092700.1.v4.1 pep chromosome:Pop_tri_v4:1:7351349:7351885:1 gene:Potri.001G092700.v4.1 transcript:Potri.001G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092700.v4.1 MGSTKSERYFVFMNYDPEYERLRADRTKRGAYELDMYLSRKHDELLANTLEHGSYEKKISLVIVDGFAVEITEDQASVLRSASGVRVVEKNQELPS >Potri.006G083800.1.v4.1 pep chromosome:Pop_tri_v4:6:6245120:6245473:1 gene:Potri.006G083800.v4.1 transcript:Potri.006G083800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083800.v4.1 MMSLPFTSLRHRLSWPLILYSATWTSLLTLTVAVASFSPEFAFVLTISSFSQGCEQEGTVRVPLDVPGEVMCLPAHLFKRSPVTDSIVSLLFAALVVAVSAWVVRAMGLWEDDEATL >Potri.014G102300.1.v4.1 pep chromosome:Pop_tri_v4:14:6772006:6774305:-1 gene:Potri.014G102300.v4.1 transcript:Potri.014G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102300.v4.1 MEILYTPFLLLFIIVSLLFLLRVLLFKTGLIYITKKWWRSIEDCFHVYQFFKVPEFNESMQENQLYHKVSIYLSSLASMEDSDYTNLFAGKKSNDIILHLDPNQVIDDYFLGARVSWINDDKSDTTCCRTLVLKVRRADKRRILRPYLQHIHITSDEVEQKKKGLKLYINIGSHEQNRRWRSVPFNHPSTFDTIVMDSDLKNKLKSDLESFLKTKQYYHRLGRAWKRSYLLYGPSGTGKSSFVAAMANFIGYDVYGIDLSRVLDDSDLKTLLLQTTSKSVILIEDLDRFLMDKSTGVSLSGVLNFMDGILNACCAEERIMVFTMNGKDHVDPAILRPGRIDVHIHFPLCDFAAFKTLANSYLGVKDHKLFPQVEEIFLTGASLSPAEIGELMLANRNSPSRALKSVITALQTDGDGRGSLNIRRQWTDNSSRKSTEDSGEHSGVFSKEGVHAMKDFRKLYGLLRFKSNKTSESFDMTPDRKDGQSYGS >Potri.013G162800.1.v4.1 pep chromosome:Pop_tri_v4:13:15577475:15578971:1 gene:Potri.013G162800.v4.1 transcript:Potri.013G162800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpB MRINPTTSGPGVSALEKKNLGHIAQIIGPVLDVVFPPGKMPNIYNALVVKGRDTVSQQINVTCEVQQLLGNNRVRAVAMSATDGLMRGMEVIDTGAPLSVPVGGATLGRIFNVLGEPVDDLGPVDTQITSPIHRSAPAFIQLDTKLSIFETGIKVVDLLAPYRRGGKIGLFGGAGVGKTVLIMELINNIAKAHGGVSVFGGVGERTREGNDLYMEMKESGVINEENIAESKVALVYGQMNEPPGARMRVGLTALTMAEYFRDVNEQDVLLFIDNIFRFVQAGSEVSALLGRMPSAVGYQPTLSTEMGTLQERITSTKEGSITSIQAVYVPADDLTDPAPATTFAHLDATTVLSRGLAAKGIYPAVDPLDSTSTMLQPQIVGEEHYETAQRVKQTLQRYKELQDIIAILGLDELSEEDRLTVARARKIERFLSQPFFVAEVFTGSPGKYVGLAETIRGFKLILSGELDSLPEQAFYLVGNIDEATAKATNLEMENNLKK >Potri.T125206.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:89256:97474:-1 gene:Potri.T125206.v4.1 transcript:Potri.T125206.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125206.v4.1 MNYGDPQQQQPQHQHQQPLQQHQHQQPQHQHQQPQHHHHQHMQQQPPPGGDFHRGQPPPMMRQPSASSTTLNPLDYHHHPQAAPGPPPPKYEANHGDGYGGKRMRKLTQRRAVDYTSTVVRYIQTRMWQWDLRDRTVLQHTPAAAIDVLPPVAYSDNPSTSFATKFVHTSLNKNRCSINRVLWTPNGKRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGSIKYWQSNMNNVKVNKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCHEERSLTGHGWDVKSVDWHPSKSLLVSGGKDNLVKLWDAKSGRELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDLRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQVEVSSAHDNSVWDLSWHPIGYLLCSGSNDHTTKFWCQNRPGDTARDKFNVGQNQGYGEQNPALGGRFPGNFHVPEPPITPGPFAPGLTRNEGTIPGVGAAMPLSITSLDASQGEQRQPLPVSMPFGGPPLPPGPHPSFRAANQQQGYQQSPQQQQQQQPLPQHMPPLPMPPPNMQQLLPPSHVPLLSHPHLPRPPQMPPHGMPSPIPSSMPGSLPSSMPGPMGMQGTMSQMVPLLPQGHFMGMNPMHSGSLPTSAAPPVGGGFPNGLPNMQGQSNATGGQMYPQGGPFNHPQGGQMPRMPGFNPYQSGNQSGMPPPLPPGLPPHSQTPQ >Potri.006G189201.2.v4.1 pep chromosome:Pop_tri_v4:6:19639008:19643229:-1 gene:Potri.006G189201.v4.1 transcript:Potri.006G189201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189201.v4.1 MISGAPASGKGTQCELIVKKFGSVHISTGDLLRAEVSAGTEIGNKAKEFMNAARLVPDEIVTAMLTSLLSYDDEKETWWLLDGYPHSSAQAESLEKLNVKARLEIYKKNAEYPHAQTLLSRLMETTKKK >Potri.012G059700.2.v4.1 pep chromosome:Pop_tri_v4:12:5998180:6000942:1 gene:Potri.012G059700.v4.1 transcript:Potri.012G059700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059700.v4.1 MSLLLRRPPGHEAYPGDVFYLHSRLLKRTAKLSSSLGEGSMTALPIGETQSGDVSAYIPTNVISIPSIFRKTFLNIF >Potri.010G203300.2.v4.1 pep chromosome:Pop_tri_v4:10:19527280:19530348:-1 gene:Potri.010G203300.v4.1 transcript:Potri.010G203300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203300.v4.1 MIATSMSLLQHVCSVPIARAMRPRSLTIRSSRSLSTTTASSSAPSRASHLDAFGKSESSLKLKCRHGSFVCAVRHTNKLAIRNDLQEAGWKVGRSPIFRTVTAADGTVKLLIILGDNRLIETAGIQLKIRKDVQIGQRMITISIVGVPNTIQKLASHKLQSTLAFSSRAPNQKLRESIVPSAKSYPLEAIMKDCKEAAGINDRVEHAKELADLLHQWERGHHVNLIPFNPIQGSDYKHPHKKAVCIPVINTLFSPAVTFAAALESRKITAGVRRVPNKGGLDASAACGQLRNEFQKSPLVMSSDSLQSEKVAVACWCLEGSGWLLL >Potri.010G078200.1.v4.1 pep chromosome:Pop_tri_v4:10:10464567:10468192:-1 gene:Potri.010G078200.v4.1 transcript:Potri.010G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078200.v4.1 MDFIELEAIEGLRWSWNAWPTTKNQVSTLIIPLSIMCTPLMQSTELPILPYDPLICTRCAAVLNPYARVDYQSRIWVCPFCYNRNHFPISYLGIGETNLPAELFPTYSTVEYKIDKVDPKFRSNSHLGYGWSNGFSSSNVSLSSMLYAGTPRSIGGCAGGEVRGLVGPVPAFVFVVDACMVEEELRAVKNELLLVVEQLPENALVGLLTFDAMVRVYDLGFSECSRVVVFHGGREVSSEQTQQFLGIYSTKWQRQQLGKTPVIQKQGFLLPVSECEFNITTAIEEICSLAVVMPGHRPQRCTGAAISVALGLLEGCSGNTGSRIMIFTSGPATLGPGIVVNSDLSNSIRTHRDLINGHAPHYMKSCSFYNQLSQRLSDASVVLDLFACSLDQVGAAELKCPVESSGGFMMFGELFESDQFRKCLRYIFSRDEEGHLKMYFDATIEVVTTKDVKICGALGPCVSLRKKNNVVSDREIGEGGTYMWKLGTLNNKTCVAFFFEVCDEHKAEPGSAFFVQFITRYRNGNMGVRKRVTTAARRWVESKSPEINAGFDQEAAASVMARLAIHRAERCLARDVISWLDDNLISFASKFGDYIQEDPSSFRLSSNFSLYPQFMYYLRRSQFIDVFNCTPDETAFFRLMLNREGVVGSLIMIQPTLFQYSFDGPPVPVLLDVRSISADVILLFDSYFHVVIHYGSKIAQWRKLGYHKDPNHENLRKLLEAPELDAEQLVVERVPAPKLIKCDQHGSQARFLLAKLNPSVTQNSAYADGSEIILTDDLSLQDFIDHLQALAVRG >Potri.019G000800.1.v4.1 pep chromosome:Pop_tri_v4:19:688354:695128:1 gene:Potri.019G000800.v4.1 transcript:Potri.019G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G000800.v4.1 MAAPPPNPVPVAYQGGLAASVPDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMAFYAFAAVVICWVVWAYKMSFGDRLFPFWGKAGPALAQKFLIKQAALPETTQSYDNGGVETAMATPFYPMASMVWFQCVFAAITLILLAGSVLGRMNFKAWMAFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGLTTAFWVGPRSSKDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYTANIDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIIMGILSGSVPWFTMMIVHKRWTLLQKIDDTLGVFHTHAVAGLLGGVLTGLFAEPELCALFLPVTNSRGGVYGGSGGIQILKQLVGGAFIIGWNVVVTSIICVVINFVIPLRMSEEQLLIGDDAVHGEEAYALWGDGEKYDVTKHGDITEHHMETRTSTGATQVM >Potri.010G236800.1.v4.1 pep chromosome:Pop_tri_v4:10:21677844:21680014:1 gene:Potri.010G236800.v4.1 transcript:Potri.010G236800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236800.v4.1 MEGKGIFLVVLLLFSLVAISTAQICPYGSPKLFVFGDSYVDTGNWPKNDRGPWKEPFGKTFPGIPTGRASDGRVLTDHIASFLGIESPTPYQLRDTSKNIQQGLNFAYGGSGVFPSTWAKDSLSVQIDQFEQLLDENEYSQRDLDNSVALVSTGGNDYSLYSAAKKGSNDGLPAFTEGLVRQLAADLQRIAHLGVKKIVVATLPLLGCLPVHIIPPNSYQNCDEESNKNAMIHNQLLQKAVEKLKTDDGNKCTFVILDLYNAMVSAIDQFRQNAANSEYKNPLQPCCSKIVDYMCSVEGVCTNPESSFFFDLGHPSDNGWNAIFSFLQGSLHKDLKV >Potri.012G017700.4.v4.1 pep chromosome:Pop_tri_v4:12:1946169:1952785:-1 gene:Potri.012G017700.v4.1 transcript:Potri.012G017700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017700.v4.1 MADWQQLLPSIFIGLLFSYLLAKLISIVVSFQNDNLHITRNPQSPKPQSTTTPTIHHHHQHSHGDIDSVVAEQGSVRNDSLSGNDSGGDDDDDDDWEGVESTELDEMFSAATAFVAATAADRNSLKVSSDLQLQLYGYYKIATEGPCTSPPPSALKMTARAKWQAWQKLGAMPPEDAMQKYIDIVTELYPTWASGSTVKSKGRDGDGQSMDGKGPMGPVFSTFVYEEESETELKMDAIHAFAREGEVNNLLKCIDSGVSVNLRDSEGRTPLHWAVDRGHLDIAEELVGKNADINAKDNEGQTPLHYATVCEREAIAEYLVKQNANTDAKDNDGQSARDLCESDWPFLQRVAS >Potri.016G134100.2.v4.1 pep chromosome:Pop_tri_v4:16:13830987:13831259:1 gene:Potri.016G134100.v4.1 transcript:Potri.016G134100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G134100.v4.1 MVGFNKINGAILILLLVMAMSQPAHARLLGGSLLHNVDAIQQGPDLAFSGGNDVSEGEEVNKYRPLLLNLLPKGPLPPSGPSKRTNNVVN >Potri.014G101500.1.v4.1 pep chromosome:Pop_tri_v4:14:6709556:6713225:1 gene:Potri.014G101500.v4.1 transcript:Potri.014G101500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101500.v4.1 MIPVTSDSAGLVLASNNGMYSAMEPSDAGADLVEKDPTGRYVRYDDVLGKGAFKTVYRAFDEVDGIEVAWNQVRIDDVLRSPEDFEKLYSEVYLLRSLRHENIIKFSNSWVDDKNKTINMITELFTSGNLRQYRRKHRNIDIKAIKNWARQILRGLVYLHGHSPPIIHRDLKCDNIFVNGNHGEVKIGDLGLAIVMQNPTAKSVIGTPEFMAPELYEEEYNELVDIYSFGMCILELVTCNYPYSECRNAAQIYKKVTSGIKPASLKTVGNTQIKEFIEKCLLPASERLSAKELLKHPFLQLEIPILEQICDPLPVPDQFPISLSLPKSGPLFMDMDIDEKQLSESTCTGSNNGSPKSPVLEYQRAHKNNEFGLKGMKNDDNSASLTLRISDLGGRVRNIHFIFYLDSDTAISVASEMVEQLELADHDVAFIAELIDSLIVKLLPGWKPSSYGSSNVAIGPCTESPVFENGEKSIAYPWNSMLSSVPVGTLAEQDDLSGLTRSHCLQADDSNISDSPNNEISQFVYNSSPSLANMGDQESQSSIVSEILVQGASSINSRTSEYYNNDGSFKGTNGYVTESKLGDAYLNCKLDRQDSYVGEFVSMNESTENSEWSFPKQSGASNVMSLTSSCSSQSLADKDGYDDLKLGLDAIEAQYHNWFVELTRMKEEALEATRKRWTEKKKLAAH >Potri.008G057800.1.v4.1 pep chromosome:Pop_tri_v4:8:3422881:3423135:1 gene:Potri.008G057800.v4.1 transcript:Potri.008G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057800.v4.1 MTNTLAHSASAPPQLYFDEKWKLTKKEGSSRSRSSTSSLMKNSSQRRCSFTRKCARLVKEQRARFYIMRRCVTMLICWRDYNDA >Potri.013G142100.1.v4.1 pep chromosome:Pop_tri_v4:13:15652937:15653041:-1 gene:Potri.013G142100.v4.1 transcript:Potri.013G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbM MEVNILAFIATALFILVPTAFLLIIYVKTVSQSD >Potri.006G267500.1.v4.1 pep chromosome:Pop_tri_v4:6:26210857:26215851:1 gene:Potri.006G267500.v4.1 transcript:Potri.006G267500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267500.v4.1 MGEISLTELEERAAAAGIDLSLIDIDSIKLPPGDDFGVISDDEDVYQEEQMDFDYGLGNTIVVDNLPVVPKEKFDKLEGVVNKIYSQIGVIKEDGLWMPVDPGTQKTLGYCFIEYNTPQEAELAKEKTNGYKLDRAHIFAVNMIEDFNRFMKVPDEWAPPEIRPYVPGENLQHWLTDEKARDQFVIRTGSDTEVFWNDARHLKPDPVYKRAYWTESFVQWSPLGTYLATVHRQGAAVWGGDSTFNRLMRYAHPQVKFIDFSPGEKYLVTYSSHEPSNPRDANRVVINIFDVRTGKVMRDFKGSADEFSIGGAGGVAGVSWPVFRWGGGKDDKYFAKIGKNMISVYETESFSLVDKKSLKAENVMDFIWSPTDPIFALFVPELGGGNQPARVSLIQIPGKEELRQKNLFSVSDCKMYWQSNGDYLAVKVDRYTKTKKSTYTGFELFRIKERDIPIEVLELDNKNDKIIAFAWEPKGHRFAVIHGDSPRPDVSFYSMRTAHNTGRVSKLTTLKGKQANALFWSPSGRYLILAGLKGFNGQLEFYNVDELETMATAEHFMATDIEWDPTGRYVATSVTSVHHDMENGFNIWSFNGKLLYRTLKDHFFQFLWRPRPLSLLSPEKEEEIAKNLKKYSKKYEAEDQDVSLQLSEQDREKRRMMKDEWYKWVNEWKLLHEEERLQRQVLRDGEASDEEEEYEAKEVEVEELLDVSEEILSFEYGQE >Potri.003G051400.1.v4.1 pep chromosome:Pop_tri_v4:3:7539691:7540667:1 gene:Potri.003G051400.v4.1 transcript:Potri.003G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051400.v4.1 MASSSSYFHLNLTTPLFLSPKLKTLSPTKTSTFTPIRCGPRSKRGPLVRGRILSTEAILAIQSLKRADNNKSESSKKLPNLARMIRSDLLSIIRELLRQDLCSLALQVLSTLRSEYPGQIDLNLYADVIFALSRNKCFDDIDRLIDDLEEGESWVKWGSDRGLLRVVRGLVEARRKESTVRICGMLRRSGCGDTWTTDEYVVKVLRRGLKEMGEIEMASEVDREFGNACRGNLEKFVI >Potri.004G106900.1.v4.1 pep chromosome:Pop_tri_v4:4:9391505:9395980:-1 gene:Potri.004G106900.v4.1 transcript:Potri.004G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106900.v4.1 MGNLKEKVDKKQRKKSKKANNSKFSENDAMIKREDERNKVNKPAEKKKRKREKGNTFDGGDAIQISREDDRTKAEGEKKRNKLRKKKQRSEEQNNALVGKYDQLGSESEDGFNEQKCKSKKSKKKKKEHDTSKEEENILEKRGETDHGEAYCISSVDEDCSKGMKKWLTDYHQSRPGLKVLQQRLDEFIISHEEKLEQERKEREDQAAEGGWTVVKHHKGRKKTTVSESGITVGSVAPAAVENQMTKKKPKEVGLEFYRFQKREAQRSEIMALRSKFEEDRKRIQQLRAARKFRPY >Potri.002G218750.1.v4.1 pep chromosome:Pop_tri_v4:2:20917174:20918393:1 gene:Potri.002G218750.v4.1 transcript:Potri.002G218750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218750.v4.1 MSVEILDGATIVNFLEDEEAFNAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGVDVETDPDELALVYGSLFVQFDHDLNGTVELEEFKSETKQMMLAMATGMGFLPVQMVLEEDSFLKKAVEWESAKLVA >Potri.004G014401.1.v4.1 pep chromosome:Pop_tri_v4:4:973034:973624:1 gene:Potri.004G014401.v4.1 transcript:Potri.004G014401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014401.v4.1 MERQELFEQAQDSLRKARKRMLKYANQKRRLLEFSVGDKVLLKLTPQIWKKIVGTKHRGLVPRYDGPFEVIEKVGAVAYRLKLPERLKLHPTFHVSYLRPFHEDNEDPKRSKSQRAPPTIHKQFDDGIVKIMDHRRLGQHRKNRRTEFLVKWKKNEEVSWEKDTDLWQFEDQIQDYLTSIPTRTSDSSSGGGLLEV >Potri.019G063201.1.v4.1 pep chromosome:Pop_tri_v4:19:10326386:10328055:-1 gene:Potri.019G063201.v4.1 transcript:Potri.019G063201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX93 MASSKLSPCLIFLQVVFLVLVFNSANAQLRVGFYKDTCPKAEAIVEEVMHQVMKVAPSLSGPLLRMHFHDCFVRGCDGSVLLNSSTGQAEKDSPPNLSLRGYQIIDRVKTALEKECPGVVSCADIMAIVARDVTVATMGPFWEVETGRRDGRVSNILEPLTNLPPFFANISQLISMFRSKGLSVKDLVVLSGGHTIGTSHCSSFSSRLYNSTGKDGTDPTLDSEYIEKLKSRCKVGDQTTLVEMDPGSVRTFDNSYYTLVAKRRGLFQSDAALLDNSETKAYVKLQSAATHRPTFFKDFGVSMINMGRVGVLTGKAGEIRKVCSKVN >Potri.010G025200.1.v4.1 pep chromosome:Pop_tri_v4:10:3660659:3662256:-1 gene:Potri.010G025200.v4.1 transcript:Potri.010G025200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025200.v4.1 MASRTLKNLAEKDQPVLAKPKTRKTSNTKGSLWSLFMVLVAPPSLSLTIIYLFGSGRRYRALAKPSWFPSLTVIHLGSVGSTFLMSLAAWLVWTNDGFHVDSDALPLYIAQISLSMVWDPLVLRIGAVWLGFLFSMLNLGTLLACYWAFGKVNPLSKKFVKPCLTWVAYLTLVTFDLMFL >Potri.012G097500.1.v4.1 pep chromosome:Pop_tri_v4:12:12137119:12139478:-1 gene:Potri.012G097500.v4.1 transcript:Potri.012G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097500.v4.1 MSKSMEQTLEGEDDDNNNNRGSGSNPNQSQEWETMARLWLSAFPGVKAVSGTEVESWIDSNYSSLPSDLQSMPRSDLVDRLLSIQNYMRLPDHSQQVPETNQVDVPHARFQRTDQWLPVYSWLESLDKDEVVKSKDISDWLAENPGIREQLFSRHSRYHLMHYIKKCHVKILKRRERKKGVQPTDNPGSPKVQKNVEVKELTPVPMFNPLNNIPKDSELYVAKRNEALQKYEILLELEKKLSPHFSKREAVNH >Potri.016G117500.5.v4.1 pep chromosome:Pop_tri_v4:16:12314043:12317167:-1 gene:Potri.016G117500.v4.1 transcript:Potri.016G117500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117500.v4.1 MASLVLSECGLKPLPQTLHRPRTGIISHNNPSKTRFLFTNRTLTDIKRLPFDKFSRGCFRERNWGLKVSAPFKVAYGEEDNKINGFNGLGEEEIEFDPGSPPPFNLSDVRAAIPKHCWVKDPWRSMSYVVRDVAVVFGLAAVAAYLNNWLVWPLYWAAQSTMFWAIFVLGHDCGHGSFSNNHNLNSVVGHILHSSILVPYHGWRISHRTHHQNHGHVEKDESWHPLSEKIYKSLDDMTKKLRFTVPFPMLAYPIYLWSRSPGKTGSHFHPNSDLFVPSEKIDVITSTVCWTAMVALLVGLSFVMGPIQLLNLYGIPYVGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHIIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSGPLPFHLIGDLIRSMARDHYVSDTGDVVYYQTDSQVSRSSSEE >Potri.001G302500.1.v4.1 pep chromosome:Pop_tri_v4:1:31288677:31290365:1 gene:Potri.001G302500.v4.1 transcript:Potri.001G302500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302500.v4.1 MYQMGSISLCSKSLLHISILFLPCFIGVTYCQKFQGLSPLISPPLIPEILNFLDQRLASVFPIIQVFKDTITSDPFNITQTWVGPDICNYKGFYCTSPPDNNSAVALASIDFNGFQLSAPTLDGFIDQLPDLALFHANSNKFSGTISPKITKLPFLYELDISNNNFLGNFPMEILGIPGLSFLDIRFNFFTGTVPPEVFTQGLDVLFLNNNNFIQKLPENLGSTTVLYLTLANNKFIGPIPRSISKASATLKEVLFLNNLLAGCLPYELGFLSELVLFDAGNNLLTGPLPCSLGCLAKLEQFNLTGNLLYGQVPEVVCALGKLVNLSLSSNYFTELGPTCRKLVNSGVLDIKKNCIHDLPEQRSVLECDAFSLHPRYCPNPASFNLIPCKVPSSPSHPSIGPKRNLVSYSALLRHAVDFQE >Potri.005G194800.1.v4.1 pep chromosome:Pop_tri_v4:5:20188439:20190602:1 gene:Potri.005G194800.v4.1 transcript:Potri.005G194800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194800.v4.1 MDSTLTRIAAPLPLTHTLLFNYPHSSPSLICFFSRRTHSKRLFNSNCKLGSSKRNAHDDNVVLDEKAYEAERLRLDAQARDSMAETSKREMETSSEDDPKAWKWVIRKRIWDLMEARNIAQNPRPVHHRIPNFVGASAAAKMLSGLEVFLEASCVKVNPDSPQKQVRFLTLSGGKKLLTPQPRLRTGFFSILESHMLSPSTINEACTSVGVAKNGRPIGLDEKIKVDLIVIGSVAVDPKTGARLGKGEGFAELEYGMLRYMGAIDDSTPVVTSVHDCQLVEDIPVEKLLIHDVPVDIICTPDQVIFTNTNIPKPQGIYWDKLSPEKLAQIRILRELKSRIERETGQKLPCGPSEKLPPTAQRRRK >Potri.002G225600.6.v4.1 pep chromosome:Pop_tri_v4:2:21399453:21401201:-1 gene:Potri.002G225600.v4.1 transcript:Potri.002G225600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G225600.v4.1 MNAFKAFKAQVPIAWSPHLYITLVRGIPGTRRLHRRTLEALRLRKCNRTVMRWNTPTVRGMLQQVKRLVVIETEEMYKARKQNDVNHRAVRPPLVINHLPASASSS >Potri.001G329600.1.v4.1 pep chromosome:Pop_tri_v4:1:33842784:33846297:-1 gene:Potri.001G329600.v4.1 transcript:Potri.001G329600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329600.v4.1 MATSPLQSSGMLSREQLLYLFNSFSQLTSQPDVKKRIADAVNDKQEAVAATTTIQESIFLEMGVDPSFGISCLGKVNVVYENDQDLMIRFYKFVANEEMACDEAELGPDEFAGKMHYQQKLQEQQLEMLKHMRKFHLDDQSAILEKLHQQMENANFEGEASVLSPEQVQETVRRRVSPLFQPR >Potri.004G222200.1.v4.1 pep chromosome:Pop_tri_v4:4:22696546:22697322:1 gene:Potri.004G222200.v4.1 transcript:Potri.004G222200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222200.v4.1 MAEIGTTRMPNHQRKKILNRDQASHAMSFSKRTKTLKKKAHELQTLCDVKICMVCFGPDSTVQTWPEGAVEVKDAIMSYKGLGDRKKYESSLLGYLHDKKMKLEVKKRKVMKKKVNKELIVKWSDHVDGLSDDALRDTVNTLESRLFDLKEKIKLCMQRDKVEAIQDHVDMEFEMDGSSVPSSAAGATAWPADVMDTNINVVETVGNNDKDAASTSFEMPPLPKDSSDWMESLRNWMENDEAYQHGFENDDWFNEWEA >Potri.003G220400.1.v4.1 pep chromosome:Pop_tri_v4:3:21466166:21468915:1 gene:Potri.003G220400.v4.1 transcript:Potri.003G220400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220400.v4.1 MGEEKKPQSLGVWPTVKPFVNGGASGMLATCVIQPIDMIKVRIQLGQGSAGEVTRNMIKNEGFGALYKGLSAGLLRQATYTTARLGTFKILTSKAIEANDGKPLPLYQKALCGLTAGAIGASVGSPADLALIRMQADATLPVAQRRNYSNAFNALYRIVADEGVLALWKGAGPTVVRAMALNMGMLASYDQSVEFCKDSLGFGEGATVLGASSVSGFFAAACSLPFDYVKTQIQKMQPDAQGKYPYTGSMDCALKTLKSGGPFKFYTGFPVYCVRIAPHVMMTWIFLNQIQKLEKSVGL >Potri.007G035600.2.v4.1 pep chromosome:Pop_tri_v4:7:2790092:2792219:1 gene:Potri.007G035600.v4.1 transcript:Potri.007G035600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035600.v4.1 MSKLAGDTIREAVTTIKNGVNEKPRKFVQTIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEATSIGLQWMDVEALKKLNKNKKLVKKLSKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNEIKATVKFQLKKVLCMGVAVGNCDMEDKQIFQNVQMSVNFLVSLLKKNWQNVKSLHLKSTMGTPVRLY >Potri.017G133600.2.v4.1 pep chromosome:Pop_tri_v4:17:13536638:13539810:1 gene:Potri.017G133600.v4.1 transcript:Potri.017G133600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133600.v4.1 MAHKLKNVREKLDAIANEKNKFNLTPRVGDIAADTYDGRLTSSLVNESEICGRDKEKEELVNILLSNADNLPIYAIWGMGGLGKTTLSQMVYNEERVKLQFSLRIWVCVSTDFDVRRLTRAIIESIDGAPCGLQELDPLQQRLQEKLNGKKFLLVLDDVWDDYGDRWNKLKEVLRYGAKGSAVLVTTRIEMVARRMATAFILHVRRLSEEDSWHLFQRLAFRMKRREEWAHLEDIGVSIVNKCGGVPLAIKALGNLMWPKEREDQWIAVKESEIWDLREEANEILPALRLSYTNLSPHLKQCFAYCAIFPKDHVMEREELIALWMANGFISCSGEMDLHFMGIEIFNELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAVQECYMSTEGDGRLEIPKTVRHVAFYNKVAASSSEVLKVLSLRSLLLRKGALWNGWGKFPGRKHRALRLRNVRVEKLPKSICDLKHLRYLDVSGSEFKTLPESITSLQNLQTLDLRYCRELIQLPKGMKHMKSLVYLDITGCRSLRFMPAGMGQLEGLRKLTLFIVGGENGRRISELEMLHNLAGELYITDLVNVKNLKDATSANLKLKTALLLLTLSWHGNGDYLFNRGSLLPPQQRKSVIQVNNEEVLEGLQPHSNLKKWRICGYGGSRFPNWMMNLDMTLPNLVEMELSAFPNCEQLPPLGKLQFLKSLVLRGMDGVKSIDSNVYGDGQNPFPSLETLTFNSMERLEQWTACTFPRLRKLDIVDCPVLNEIPIIPSVKSVNIRRGKDSLLRSVRNLTSITSLCIREIPNVRELPDGFLQNHTLLESLEIDLMQDLESLSNRVLDNLSSLKRLSIWECGKLESLPEEGLRNLNSLEFLMIFDCGRLNCLPMNGLCGLSSLRRLNIQYCDKFTSLSEGVRHLTALEDLWLSECPELNSLPESIQHLTSLRSLTIWDCPNLKKRCEKDLGEDWPKIAHIPDIRINYN >Potri.017G133600.3.v4.1 pep chromosome:Pop_tri_v4:17:13537454:13539829:1 gene:Potri.017G133600.v4.1 transcript:Potri.017G133600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133600.v4.1 MWPKEREDQWIAVKESEIWDLREEANEILPALRLSYTNLSPHLKQCFAYCAIFPKDHVMEREELIALWMANGFISCSGEMDLHFMGIEIFNELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAVQECYMSTEGDGRLEIPKTVRHVAFYNKVAASSSEVLKVLSLRSLLLRKGALWNGWGKFPGRKHRALRLRNVRVEKLPKSICDLKHLRYLDVSGSEFKTLPESITSLQNLQTLDLRYCRELIQLPKGMKHMKSLVYLDITGCRSLRFMPAGMGQLEGLRKLTLFIVGGENGRRISELEMLHNLAGELYITDLVNVKNLKDATSANLKLKTALLLLTLSWHGNGDYLFNRGSLLPPQQRKSVIQVNNEEVLEGLQPHSNLKKWRICGYGGSRFPNWMMNLDMTLPNLVEMELSAFPNCEQLPPLGKLQFLKSLVLRGMDGVKSIDSNVYGDGQNPFPSLETLTFNSMERLEQWTACTFPRLRKLDIVDCPVLNEIPIIPSVKSVNIRRGKDSLLRSVRNLTSITSLCIREIPNVRELPDGFLQNHTLLESLEIDLMQDLESLSNRVLDNLSSLKRLSIWECGKLESLPEEGLRNLNSLEFLMIFDCGRLNCLPMNGLCGLSSLRRLNIQYCDKFTSLSEGVRHLTALEDLWLSECPELNSLPESIQHLTSLRSLTIWDCPNLKKRCEKDLGEDWPKIAHIPDIRINYN >Potri.001G011300.1.v4.1 pep chromosome:Pop_tri_v4:1:731547:733144:-1 gene:Potri.001G011300.v4.1 transcript:Potri.001G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX4 MHISKAIVEAFFFVVLLRGTLACGQLTPTFYDQTCPNVSSIIRDVITETLVSDPRIGASLIRLHFHDCFVNGCDGSLLLDNTDTIVSEKEAGGNNNSARGFEVVDTMKALLESACPATVSCADILTIAAEESVVLAGGPNWTVPLGRRDSTTASRDAANAFLPAPFFTLDQLRESFTNVSLNNNSDLVALSGAHTFGRAKCSTFDFRLYDFNSTGAPDPSLDTTLLAALQELCPEGGNGSVITDLDLSTPDAFDSDYYSNLQGNRGLLQTDQELFSTPGADDVIALVNAFSANQTAFFESFVESMIRMGNLSPLTGTEGEIRLNCSVVNANLAGPDSMLVSSI >Potri.010G064300.3.v4.1 pep chromosome:Pop_tri_v4:10:9324843:9327291:1 gene:Potri.010G064300.v4.1 transcript:Potri.010G064300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064300.v4.1 MGSLLQFQDLNLAPSPSSPLTTTTTAAASTSSNTIATFLSAKETAKALLVPKVEPKLEPFDVETPIYQQQPPQDHSSSTQDLFFTSSTPDYFSNSQLIPPLSQSTSSEDDNANNLYSEYNRISELFRTAFAKRLQDQYGDISVVSDPDSRAIVPFNENDNNNSVLSTVVVSRRPKYPQRSSELVRVTDLGIEDQRYFRDLVRRTRMVYDSLRILSILEEEKRRGERLGRRARGDLRAASAMRDCGLWLNRDKRIVGSIPGVQIGDVFFFRMELCVMGLHGQAQAGIDYLPASQSSNREPIATSIIVSGGYEDDEDAGDVIIYTGHGGQDKLNRQCEHQKLEGGNLALERSMRHGIEVRVIRGIKHEGSVSSKVYVYDGLYKILDYWFDVGKSGFGVYKYRLLRIDGQPEMGSSILKFAESLRTKPLTVRPRGYLSLDISNKKENMPVFLFNDIDNDHDPLCYQYLERTVFPVFVFTNGSNGTGCDCVSGCSDGCFCAKKNGGELAYDENGFLLKGKPVVFECGVSCRCPPTCRNRVTQRGLRNRLEVFRSRETGWGVRSLDVIHAGAFICEYAGVVLTREQAQIFTMNGGGLVYPNRFSAKWAEWGDLSQIYPNYTRPSYPELPPLDFAMDVSKMRNVACYMSHSSAPNVLVQFVLYDHNNLMFPHIMLFAMENIPPLRELSLDYGVADDWTGKLAICN >Potri.010G064300.2.v4.1 pep chromosome:Pop_tri_v4:10:9324836:9330381:1 gene:Potri.010G064300.v4.1 transcript:Potri.010G064300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064300.v4.1 MGSLLQFQDLNLAPSPSSPLTTTTTAAASTSSNTIATFLSAKETAKALLVPKVEPKLEPFDVETPIYQQQPPQDHSSSTQDLFFTSSTPDYFSNSQLIPPLSQSTSSEDDNANNLYSEYNRISELFRTAFAKRLQDQYGDISVVSDPDSRAIVPFNENDNNNSVLSTVVVSRRPKYPQRSSELVRVTDLGIEDQRYFRDLVRRTRMVYDSLRILSILEEEKRRGERLGRRARGDLRAASAMRDCGLWLNRDKRIVGSIPGVQIGDVFFFRMELCVMGLHGQAQAGIDYLPASQSSNREPIATSIIVSGGYEDDEDAGDVIIYTGHGGQDKLNRQCEHQKLEGGNLALERSMRHGIEVRVIRGIKHEGSVSSKVYVYDGLYKILDYWFDVGKSGFGVYKYRLLRIDGQPEMGSSILKFAESLRTKPLTVRPRGYLSLDISNKKENMPVFLFNDIDNDHDPLCYQYLERTVFPVFVFTNGSNGTGCDCVSGCSDGCFCAKKNGGELAYDENGFLLKGKPVVFECGVSCRCPPTCRNRVTQRGLRNRLEVFRSRETGWGVRSLDVIHAGAFICEYAGVVLTREQAQIFTMNGGGLVYPNRFSAKWAEWGDLSQIYPNYTRPSYPELPPLDFAMDVSKMRNVACYMSHSSAPNVLVQFVLYDHNNLMFPHIMLFAMENIPPLRELSLDYGVADDWTGKLAICN >Potri.007G024400.3.v4.1 pep chromosome:Pop_tri_v4:7:1874060:1875792:-1 gene:Potri.007G024400.v4.1 transcript:Potri.007G024400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024400.v4.1 MVNLVAAQKPLLHGLMKMAGVQPHIVEIEPGTVMNFWVPNETVKKPQKGEKKNDTPTLTKPNKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYIPDLLFFGGSITDKTDRSPTFQAETLVKGLRKIGVEKCILVGFSYGGMVAFKMAELYPDLVQAMVISGSILAMTDSISEATLSELGFKSSSELLLPNSVNGLKALLSVATHKKLWFPNRLHKDYLEVC >Potri.007G024400.1.v4.1 pep chromosome:Pop_tri_v4:7:1874059:1875926:-1 gene:Potri.007G024400.v4.1 transcript:Potri.007G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024400.v4.1 MVNLVAAQKPLLHGLMKMAGVQPHIVEIEPGTVMNFWVPNETVKKPQKGEKKNDTPTLTKPNKPVVVLVHGFAAEGIVTWQFQVGALTKKYSVYIPDLLFFGGSITDKTDRSPTFQAETLVKGLRKIGVEKCILVGFSYGGMVAFKMAELYPDLVQAMVISGSILAMTDSISEATLSELGFKSSSELLLPNSVNGLKALLSVATHKKLWFPNRLHKDYLEVMFTNRKERAELLEGLVINNKDPTIPKFVQKIHLLWGENDQIFKLEHAQNMKEKLGETVTFQGIQKAGHLVQLERPCVYNKCLKQFLTSLLENDEQK >Potri.010G241300.1.v4.1 pep chromosome:Pop_tri_v4:10:21888970:21897336:1 gene:Potri.010G241300.v4.1 transcript:Potri.010G241300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241300.v4.1 MDISPLSTTPRFPHSPTPFSSIATTTISFSLKPTPPPPPEPTNSSSIRRPKSLTPTPSTSSTPTPTTPKFPKNPLKTLLNPSKPSVTSTTTTNPLSLSTKLRLSSKLSPPPPPPPPPPPLEILQTPEAETQEKTQKIENEAPRIEFYQNGKIFIGNLPNWIKKHELSEFFSQFGPIKNVILIQSHNETERNAGFGFIIYDGPKAGKSAMKAEEFDGMEFHGRVLTVKLDDGRRLKAKAEERKNWVYGEDGKDYRSKWHEEREGSTKAFRKVLDTQPENWQAVVSAFERIKKPSRREFGLMVGYYARRGDMHRARQTFESMRARGIDPSSHVYTSLIHAYAVGRDMEEALSCVRKMNEEGIEMSLVTYSIVVGGFAKFGNAEAADCWFKKAKERHTNLNAYIYGNIIYAYCQACNMDRAEALVREMEEEGIDAPLDIYHTMMDGYTMIRNEEKCLIVFKRLKECGFAPSVITYGCLINMYTKIGKVSKALEVSKMMKSVGIKHNMKTYSMLINGFLKLKDWTNAFAVFEDVIKDGLKPDVVLYNNIIKAFCGMGNMDRAIHMVKEMQKERCRPTSRTFMPIIHGFARAGEMRRALEIFDMMRRSGCIPTVHTFNALVLGLVEKRKMEKAVEILDEMALAGVSPDEHTYTTIMHGYAALGDTGKAFEYFTKMRNEGLQLDVFTYEALLKACCKSGRMQSALAVTREMNAQKIPRNTFVYNILIDGWARRGDIWEAADLMQQMNQEGVQPDIHTYTSFINACCKAGDMLRATKTMEEMEAAGVKPNVKTYTTLIHGWANASLPEKALSCFEELKLAGLKPDKAVYHCLMTSLLSRATVAEAYIYSGILSICREMIEFELTVDMGTAVYWSKCLRKIERIGGELTQTLQKTFPPDWNAHHSLEANHESDINDEPSIHGDNDMFLAGVNDGDGDDEDDDHHYRKGFNQRLWV >Potri.001G060200.1.v4.1 pep chromosome:Pop_tri_v4:1:4598390:4602467:-1 gene:Potri.001G060200.v4.1 transcript:Potri.001G060200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060200.v4.1 MAASFTTLPNVGSLFSPINTMSRVKSGSSTACNAVKFPSRNLLPSNVSSSSSSLFFSGSLRFASLTTSSPKKSSVLSSVRASAEVAELQSKVTNKVYFDIGIGNPVGKLVGRIVIGLFGDDVPQTAENFRALCTGEKGFGFKNSAFHRVIKDFMIQGGDFDKGNGTGGKSIYGRTFKDENFKLSHIGPGVLSMANAGPNTNGSQFFICTVKTPWLDQRHVVFGQVLEGMDIVKLIESQETDRGDRPTKRVVILESGELPMTEA >Potri.001G320300.3.v4.1 pep chromosome:Pop_tri_v4:1:32998843:33008040:1 gene:Potri.001G320300.v4.1 transcript:Potri.001G320300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320300.v4.1 MSTVDKMLIKGIRSFDPENKHVITFLRPLTLIVGPNGAGKTTIIECLKVACTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAAKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDAAYKLRESIGQDQEKTEILKVQSQELESNLQNLDAKIHHTEVTLKDMRKLQDQITIKTAERSTLFREQQRQYAALAEENEDTDEELQEWKTKFDEKIASLESNICKLEREMNDMETKGSFLKQNINEYIREISRLQTEAEAHASLKNERDSNIQKMYTRHNLGPLPNAPFSDDVALNLTNRLKSRLVDLDKDLQDKKTSNDTEVKRAENCYWDANERWKNTEAQKQAKVEIKNSILNRITEKEREHSSFEEQISHVNLSHIDEKEKNMRIEVERKTNQLAEREFESHIRQKQSELYGIEQQIKVLNREKDILAGDSEDRVKLSLKKVELENHKKKHRKIIDECKDKIRGVLKGRLPPDKDLKKEITQTLRALGLEFDDLNMKSREAEKEVNVLQMKIQEVNNNLSKQRKDMDSRKRFIESKLQSLDQLSFSVDLYLKALESSKEKRDVQKSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSAEEEDEFVKKQRVKAASSAEHMKVLSMESSNADTLFQQLDKLRMVYEEYTKIGKETIPLAEKNLSELTEELEQKSQALDDVLGVLAQTKAEKDSVEALVQPVETADRLFQEIQTWQKQVDDLEYKLDFRGQGVRTMEEVQSELSSLQGTKDNLHNEVEKLRDEQRYMENDLSHIQIRWHALREEKVTAANILRDVKKSEEELERLVEEKHQVELEEKVVFIFLIVNSP >Potri.001G320300.1.v4.1 pep chromosome:Pop_tri_v4:1:32998843:33012317:1 gene:Potri.001G320300.v4.1 transcript:Potri.001G320300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320300.v4.1 MSTVDKMLIKGIRSFDPENKHVITFLRPLTLIVGPNGAGKTTIIECLKVACTGELPPNARSGHSFIHDPKVAGETETKGQIKLRFKTAAAKDVVCIRSFQLTQKASKMEYKAIESVLQTINPHTGEKVCLSYRCADMDREIPALMGVSKAILENVIFVHQDEANWPLQDPSTLKKKFDDIFSATRYTKALEVIKKLHKDQAQEIKTYKLKLENLQTLKDAAYKLRESIGQDQEKTEILKVQSQELESNLQNLDAKIHHTEVTLKDMRKLQDQITIKTAERSTLFREQQRQYAALAEENEDTDEELQEWKTKFDEKIASLESNICKLEREMNDMETKGSFLKQNINEYIREISRLQTEAEAHASLKNERDSNIQKMYTRHNLGPLPNAPFSDDVALNLTNRLKSRLVDLDKDLQDKKTSNDTEVKRAENCYWDANERWKNTEAQKQAKVEIKNSILNRITEKEREHSSFEEQISHVNLSHIDEKEKNMRIEVERKTNQLAEREFESHIRQKQSELYGIEQQIKVLNREKDILAGDSEDRVKLSLKKVELENHKKKHRKIIDECKDKIRGVLKGRLPPDKDLKKEITQTLRALGLEFDDLNMKSREAEKEVNVLQMKIQEVNNNLSKQRKDMDSRKRFIESKLQSLDQLSFSVDLYLKALESSKEKRDVQKSKYNIADGMRQMFDPFERVARAHHVCPCCERPFSAEEEDEFVKKQRVKAASSAEHMKVLSMESSNADTLFQQLDKLRMVYEEYTKIGKETIPLAEKNLSELTEELEQKSQALDDVLGVLAQTKAEKDSVEALVQPVETADRLFQEIQTWQKQVDDLEYKLDFRGQGVRTMEEVQSELSSLQGTKDNLHNEVEKLRDEQRYMENDLSHIQIRWHALREEKVTAANILRDVKKSEEELERLVEEKHQVELEEKHLAEAVGPLSREKEKLQGEHNELKVQLEREYEEQKKQLDNFKQEVDTLVRIASKIREYYNLKKGERLKEMQEKLSLSESQLQGCDARKQEILAELNDSKNAVRSQDNLRRSIEDNLNYRKIKAEVEELTREIESLEERILKIGGFSSFEAELAKLLQERERLLSELNRFRGTMSVYQNNISKNKIDLKQVQYKDIDKRYFDQLIQLKTTEMANKDLDRYYNALDKALMRFHTMKMEEINKIIRELWQQTYRGQDIDYISIHSDSEGAGTRSYSYKVVMQTGDAELEMRGRCSAGQKVLASLIIRLALAETFCLHCGILALDEPTTNLDGPNAESLAAALLRIMEDRKGQENFQLIVITHDERFAQLIGQRQHAERYYRVAKDDHQHSIIEAQEIFD >Potri.001G447300.1.v4.1 pep chromosome:Pop_tri_v4:1:47209648:47211616:1 gene:Potri.001G447300.v4.1 transcript:Potri.001G447300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G447300.v4.1 MATPTSLSFAVRRCEPELVAPAKATPHEFRQLSDIDRQLYLQFQSPHYNLYAHNPSMQGKDPVKVIKEAIAQALVYYYPFAGRIRQGPDNKLIVDCTGEGVLFIEADADATVEQFGDPIPSPFPCFQELLYNVPGSEGILNTPLLIFQVTRLKCGGFVLGLRLNHPMTDAFGMLQVLNAIGEIARGAQAPSILPVWRRELLCARNPPRVTCRHNEYGNDAPVAVDPTAKVPEFHGQVHAVAHRSFVLNRKELSNIRRWIPSHLHPCSNFEVITACLWRCYAIASQANPNEEMRMQMLVNARSKFNPPLPKGYYGNVLALPAAVTNARKLCLNSLGYALEMIRNAKNRITEEYMRSLADLMEITKGQPIGLQSYVVSDLTGFGFDQVDYGWGNTIYTGPPKAMPDEISMAGTYFLPYRFKNGERGVMLLVSLRAPVMERFAILLEELARHDPERSQEQQEMIPSSL >Potri.012G137550.1.v4.1 pep chromosome:Pop_tri_v4:12:15098398:15099838:1 gene:Potri.012G137550.v4.1 transcript:Potri.012G137550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G137550.v4.1 MILLYGKGQRYTMDFQLYNHKIIASRIAKELGGADVAKKYLGQCIYAVETGYNDYLNNYYGEGYNTSNIYTPEQFSQLLVQTYEIQLERLYNEGARKVAVFGLIRIGCMPAYKQIFGANESSCVDKLNHAAQLFNNELQKALPKLNANLPGAKFTYINSYEIDSENYTDLGFKFTNKSCCDVPSDQIPCAALTYPCLNRDEHVYWDGAHYTEARARIFAKRAYKRQFPVDAYPYDISELAKVPNDEADGCSTCGSKIL >Potri.009G084500.2.v4.1 pep chromosome:Pop_tri_v4:9:7931568:7960716:1 gene:Potri.009G084500.v4.1 transcript:Potri.009G084500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084500.v4.1 MASTSQSLRFLAGPATTGPGGGSFDALNRILADLCIRGNPKEGATLALRKHLEEEARDLSGESFPRFMDHLYERISSLLETNEVAENLGALRAIDELIDIELGENASKVSKFAVYMRSVFEVKRDLDVLTLASRVLGHLARAGGAMTADEVKFQVKMALGWLRKDKAEFRLFAAVLILKEIAENASTVFNVHVTEFVEAIWVALRHPTLAIREKAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLEICMNHILAVLRIPAERGSGFIALGEMAGALDGELVHYLPTITAHLRDAIAPRRAKPSLEALVCVGNIAKAMGPAMEPFVRSLLDVMFSAGLSSTLVDALEQISVSIPSLLPTIQERLLDCISLVLSKSHYSQPRTAVPPVRGGAGIAPQQVSDLSGSALVQLTLQTLARFNFKGHELLEFARESVLVYLDDEDGATRKDAALCCCKLVADSFSGMTSTQFGSIRSNRNGGKRWRLVEELVEKLLIAAVADADITVRQSIFSSLHGNRGFDNFLAQADSLTAVFAALNDEDFDVREYAISLAGRLSEKNPAYVLPALRRHLIQLLTYLKQSADNKCREESAKLLGCLIRNCERLVLPYIAPIHKALVARLNEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYISELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKFLNGELVWSTRREVLKVLGIMGALDPLVHKRNQQSLPGSHGEVARAASDSGQHIPSMDELPMDFWPSFATSEDYYPTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYIPKVLPDLFHTVRTCDDYLKDFIMWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPATIRPSRGFPVLHLVEQLCLALNDEFRRHLPVILPSCIQVLSDAERCNDYTYALDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPCVQVIGHISALVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLQHKEFEEIEGRLRRREPLILGSTAAQRLSRRLPVEVISDPLNDMENDPYDDGVDMQRHLSGHQVNDSQLRTAGEASQRSTKEDWAEWMRHLSIELLKESPSPALRTCARLAQLQPFIGRELFAAGFVSCWAQLNEASQKHLVRSLEMAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALADKCHAFAKALHYKEMEFEGSLSKKMDANPVAVVETLIHINNQLHQHEAAVGILTYAQQNLDVQLKESWYEKLQRWDDALKAYTVKASQVSSPHLVLEATLGRMRCLAQLARWEELNNLCKEYWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAGEYVERARKCLATELAALVLESYERAYDNMIRVQQLSELEEVIDYYTLPVGNPVAEGRRALIRNMWTERIQGAKRNVEVWQALLAVRALVLPPIEDIDNWLKFASLCRKSNRISHARSTLVKLLQYDPETSPENMRYHGPPQVMLAYLKYQWSLGEDHKRKEAFSRLQDLAIELSSTPNMQSIIPTGLMGSTGQNVHLLARVYRILGIWQWALSPGLDDDSIQEILSSFSNATQYETKWGKAWHSWALFNTGVMSHYTLRGFPNVASQFVVAAVTGYFHSIAYAANAKGVDGSLQDILRLLTLWFNHGDTAEVQMALQKGFAHVNINTWLAVLPQIIARIHLNNHALRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAEEVVNKVRQHSGVLVDQAQLVSSELVRVAILWHEKWHEGLEEASRLYFGEHNIEGMLKALEPLHKMLEEGAMKENITIKERAFIEAYRHELLEAWECCMKYKRTLKEAELTQAWDLYYHVFRRIDKQLQVMTTLDLQSVSPELVECRDLELAVPGTYRADFPVVTIASFAPELVVITSKQRPRKLTIHGSDGEDHAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTEEKDLSIHRYAVIPLSSNSGLIEWVPNCDTLNQLIREYRDARKITLNQEHKYMLSFAPDYDNLPLIAKVEVFDYALQNTEGNDLARVLWLKSRTSEIWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTHRDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFANSHVPAVVNTEESAPSRELPQPQRGARERELLQAVNQLGDANEVLNVRAVVVMARMSNKLTGRDFSTPSLSASSIQHAVDHSSLISGDIREVDHGLSVKLQVQKLIIQAMSHENLCQNYVGWCPFW >Potri.009G084500.3.v4.1 pep chromosome:Pop_tri_v4:9:7937822:7960794:1 gene:Potri.009G084500.v4.1 transcript:Potri.009G084500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084500.v4.1 MNHILAVLRIPAERGSGFIALGEMAGALDGELVHYLPTITAHLRDAIAPRRAKPSLEALVCVGNIAKAMGPAMEPFVRSLLDVMFSAGLSSTLVDALEQISVSIPSLLPTIQERLLDCISLVLSKSHYSQPRTAVPPVRGGAGIAPQQVSDLSGSALVQLTLQTLARFNFKGHELLEFARESVLVYLDDEDGATRKDAALCCCKLVADSFSGMTSTQFGSIRSNRNGGKRWRLVEELVEKLLIAAVADADITVRQSIFSSLHGNRGFDNFLAQADSLTAVFAALNDEDFDVREYAISLAGRLSEKNPAYVLPALRRHLIQLLTYLKQSADNKCREESAKLLGCLIRNCERLVLPYIAPIHKALVARLNEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYISELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKFLNGELVWSTRREVLKVLGIMGALDPLVHKRNQQSLPGSHGEVARAASDSGQHIPSMDELPMDFWPSFATSEDYYPTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYIPKVLPDLFHTVRTCDDYLKDFIMWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPATIRPSRGFPVLHLVEQLCLALNDEFRRHLPVILPSCIQVLSDAERCNDYTYALDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPCVQVIGHISALVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLQHKEFEEIEGRLRRREPLILGSTAAQRLSRRLPVEVISDPLNDMENDPYDDGVDMQRHLSGHQVNDSQLRTAGEASQRSTKEDWAEWMRHLSIELLKESPSPALRTCARLAQLQPFIGRELFAAGFVSCWAQLNEASQKHLVRSLEMAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALADKCHAFAKALHYKEMEFEGSLSKKMDANPVAVVETLIHINNQLHQHEAAVGILTYAQQNLDVQLKESWYEKLQRWDDALKAYTVKASQVSSPHLVLEATLGRMRCLAQLARWEELNNLCKEYWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAGEYVERARKCLATELAALVLESYERAYDNMIRVQQLSELEEVIDYYTLPVGNPVAEGRRALIRNMWTERIQGAKRNVEVWQALLAVRALVLPPIEDIDNWLKFASLCRKSNRISHARSTLVKLLQYDPETSPENMRYHGPPQVMLAYLKYQWSLGEDHKRKEAFSRLQDLAIELSSTPNMQSIIPTGLMGSTGQNVHLLARVYRILGIWQWALSPGLDDDSIQEILSSFSNATQYETKWGKAWHSWALFNTGVMSHYTLRGFPNVASQFVVAAVTGYFHSIAYAANAKGVDGSLQDILRLLTLWFNHGDTAEVQMALQKGFAHVNINTWLAVLPQIIARIHLNNHALRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAEEVVNKVRQHSGVLVDQAQLVSSELVRVAILWHEKWHEGLEEASRLYFGEHNIEGMLKALEPLHKMLEEGAMKENITIKERAFIEAYRHELLEAWECCMKYKRTLKEAELTQAWDLYYHVFRRIDKQLQVMTTLDLQSVSPELVECRDLELAVPGTYRADFPVVTIASFAPELVVITSKQRPRKLTIHGSDGEDHAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTEEKDLSIHRYAVIPLSSNSGLIEWVPNCDTLNQLIREYRDARKITLNQEHKYMLSFAPDYDNLPLIAKVEVFDYALQNTEGNDLARVLWLKSRTSEIWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTHRDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFANSHVPAVVNTEESAPSRELPQPQRGARERELLQAVNQLGDANEVLNVRAVVVMARMSNKLTGRDFSTPSLSASSIQHAVDHSSLISGDIREVDHGLSVKLQVQKLIIQAMSHENLCQNYVGWCPFW >Potri.009G084500.4.v4.1 pep chromosome:Pop_tri_v4:9:7931578:7960701:1 gene:Potri.009G084500.v4.1 transcript:Potri.009G084500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084500.v4.1 MASTSQSLRFLAGPATTGPGGGSFDALNRILADLCIRGNPKEGATLALRKHLEEEARDLSGESFPRFMDHLYERISSLLETNEVAENLGALRAIDELIDIELGENASKVSKFAVYMRSVFEVKRDLDVLTLASRVLGHLARAGGAMTADEVKFQVKMALGWLRKDKAEFRLFAAVLILKEIAENASTVFNVHVTEFVEAIWVALRHPTLAIREKAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVAEIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLEICMNHILAVLRIPAERGSGFIALGEMAGALDGELVHYLPTITAHLRDAIAPRRAKPSLEALVCVGNIAKAMGPAMEPFVRSLLDVMFSAGLSSTLVDALEQISVSIPSLLPTIQERLLDCISLVLSKSHYSQPRTAVPPVRGGAGIAPQQVSDLSGSALVQLTLQTLARFNFKGHELLEFARESVLVYLDDEDGATRKDAALCCCKLVADSFSGMTSTQFGSIRSNRNGGKRWRLVEELVEKLLIAAVADADITVRQSIFSSLHGNRGFDNFLAQADSLTAVFAALNDEDFDVREYAISLAGRLSEKNPAYVLPALRRHLIQLLTYLKQSSADNKCREESAKLLGCLIRNCERLVLPYIAPIHKALVARLNEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYISELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYNEYPQLLGLLLKFLNGELVWSTRREVLKVLGIMGALDPLVHKRNQQSLPGSHGEVARAASDSGQHIPSMDELPMDFWPSFATSEDYYPTVAINSLMRILRDPSLASYHQKVVGSLMFIFKSMGLGCVPYIPKVLPDLFHTVRTCDDYLKDFIMWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPATIRPSRGFPVLHLVEQLCLALNDEFRRHLPVILPSCIQVLSDAERCNDYTYALDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPCVQVIGHISALVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLQHKEFEEIEGRLRRREPLILGSTAAQRLSRRLPVEVISDPLNDMENDPYDDGVDMQRHLSGHQVNDSQLRTAGEASQRSTKEDWAEWMRHLSIELLKESPSPALRTCARLAQLQPFIGRELFAAGFVSCWAQLNEASQKHLVRSLEMAFSSQNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALADKCHAFAKALHYKEMEFEGSLSKKMDANPVAVVETLIHINNQLHQHEAAVGILTYAQQNLDVQLKESWYEKLQRWDDALKAYTVKASQVSSPHLVLEATLGRMRCLAQLARWEELNNLCKEYWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKLRGLGNTAASGDGSSNGTFFRAVLLVRRGKYDEAGEYVERARKCLATELAALVLESYERAYDNMIRVQQLSELEEVIDYYTLPVGNPVAEGRRALIRNMWTERIQGAKRNVEVWQALLAVRALVLPPIEDIDNWLKFASLCRKSNRISHARSTLVKLLQYDPETSPENMRYHGPPQVMLAYLKYQWSLGEDHKRKEAFSRLQDLAIELSSTPNMQSIIPTGLMGSTGQNVHLLARVYRILGIWQWALSPGLDDDSIQEILSSFSNATQYETKWGKAWHSWALFNTGVMSHYTLRGFPNVASQFVVAAVTGYFHSIAYAANAKGVDGSLQDILRLLTLWFNHGDTAEVQMALQKGFAHVNINTWLAVLPQIIARIHLNNHALRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAEEVVNKVRQHSGVLVDQAQLVSSELVRVAILWHEKWHEGLEEASRLYFGEHNIEGMLKALEPLHKMLEEGAMKENITIKERAFIEAYRHELLEAWECCMKYKRTLKEAELTQAWDLYYHVFRRIDKQLQVMTTLDLQSVSPELVECRDLELAVPGTYRADFPVVTIASFAPELVVITSKQRPRKLTIHGSDGEDHAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTEEKDLSIHRYAVIPLSSNSGLIEWVPNCDTLNQLIREYRDARKITLNQEHKYMLSFAPDYDNLPLIAKVEVFDYALQNTEGNDLARVLWLKSRTSEIWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLVKAMEVSGIEGNFRSTCENVMQVLRTHRDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFANSHVPAVVNTEESAPSRELPQPQRGARERELLQAVNQLGDANEVLNVRAVVVMARMSNKLTGRDFSTPSLSASSIQHAVDHSSLISGDIREVDHGLSVKLQVQKLIIQAMSHENLCQNYVGWCPFW >Potri.001G109200.6.v4.1 pep chromosome:Pop_tri_v4:1:8802771:8808451:-1 gene:Potri.001G109200.v4.1 transcript:Potri.001G109200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109200.v4.1 MEKKKKRGFWRWLIASVMLRLILIYLPKTFNLASRPEVSTPLVSLRRLAEGYWLKQSSISPYAGSMYHGSPLLLSLLGPLTVKRIEGQPNHLLCSLVFVIADIISALLIRATGHTLQMAYRQSLNSLDIVDLLKSSDLLSSGDIAALVYLWNPFTIAACVGLSTSPVENLVVILALHGACKGLVPLAAFGWVMSTHLSLYPAILIIPVILLLGYGPDTPPRKLFLQKGYGKNGDNHSSDNCGQQETNQSKAEVTFSWRPVIHFLFWSSLWSAYVLVLCSISVKPHGSLWEMFQRTYGFILTMEDMSPNIGVLWYFFAEVFDFFRSFFMIVFHLNILFMILPLAIRLKHRPCFLAFIYIAISSMLKSYPSVGDSALYLGLLGLFLDELADMKFSFFLLFGYIGVSLLSPVMHNLWIWRGTGNANFYYATGMAYACLQIILVVESVSAVLNHDRKLRKLSVTKLRDGNS >Potri.007G130900.2.v4.1 pep chromosome:Pop_tri_v4:7:14470915:14475842:-1 gene:Potri.007G130900.v4.1 transcript:Potri.007G130900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130900.v4.1 MGLESVGDLALNIILTKLGPKETVQVLCVSKKFKDLASEESLWSLFCRQDLDLSAPLDHHGNHLPSFKATYKLWREAFHMYPWPLVKRVKSCWDRLTSWLTANFPEVKATLGKGASEGEIQKLERILKVKLPLPTRLLYRFHDGQHFSDKNLSGGMAGCPLGLIGGYCFYNHSVNVYLLSLHEVISKTQEIVRHLNLPDTSEYIVVAASSSYVGKFFFLNCSDGQLYVGTQNFPTDAEMMPCVPQALISPVHDFNSDQQQDAMLLWLEEHGRRLHNGMIKILGKGNIKSISQFPEESPLCSTAVTSGVKVRASAVFVPEAADLEDISTKYVFAYSIRMSLLPEGCIINGMHFSSCQLHLRHWVISANDTAVSNVNAEAVIGKFPLLFPGEKEFVYESCTPLPTSTGSVEGSFTFVPGRLADPKGIPFEVEVGRFPLQLPDYIF >Potri.007G130900.3.v4.1 pep chromosome:Pop_tri_v4:7:14470915:14474538:-1 gene:Potri.007G130900.v4.1 transcript:Potri.007G130900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130900.v4.1 MYPWPLVKRVKSCWDRLTSWLTANFPEVKATLGKGASEGEIQKLERILKVKLPLPTRLLYRFHDGQHFSDKNLSGGMAGCPLGLIGGYCFYNHSVNVYLLSLHEVISKTQEIVRHLNLPDTSEYIVVAASSSYVGKFFFLNCSDGQLYVGTQNFPTDAEMMPCVPQALISPVHDFNSDQQQDAMLLWLEEHGRRLHNGMIKILGKGNIKSISQFPEESPLCSTAVTSGVKVRASAVFVPEAADLEDISTKYVFAYSIRMSLLPEGCIINGMHFSSCQLHLRHWVISANDTAVSNVNAEAVIGKFPLLFPGEKEFVYESCTPLPTSTGSVEGSFTFVPGRLADPKGIPFEVEVGRFPLQLPDYIF >Potri.007G128500.5.v4.1 pep chromosome:Pop_tri_v4:7:14359412:14365231:-1 gene:Potri.007G128500.v4.1 transcript:Potri.007G128500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128500.v4.1 MLNKEGDGGKEYFRKLSRKELQSLCKQCSLPARKSSSEMVESLAFYFMRKGLNLVSSGTSIDGVQDALLHTSLMPPMQPKPALNSIKDSFELTSRPGEEIYKGNRNFKSNNLESFIGPGAYNKESFGGLISNFQEVSPSQFFSQYAGSHVNFKKPLSLGGRVEDSPQFHGRDINTVACSKEIGLPSIITTANVPASFEFHVSSEEGIKLCVDLNSSPLEWIKKYKNQVSLCDNVVNTKSRSLYEELGCIGESNKKLKSSVLQNIDSGKNRDDSVQAEPSPSSVGEKNSHVRNGHPDGGDNSLISSPVIPCSVAVDVSLYLKEDPGLASAKPSSDGQNHKNLNTESCSEKECIAAPDSDITDTPLEKTACNFAVNSISNGSVDHIALMHQSSKWDDEVCENSTQQNSCNLENASVVFPGCFMEMQLSETGNYHKDASCLPHKNGEFLDPYDSKHNRGSEQDGLANSSENDHCKNQVPTCSEEQEWSNAINGRESSVCSQVDDSVDKTSLKSGVIKSSEELLRKRPHIYRVSQNGRRKSDTIILRSTRRSAGKVLPRRSMRLVSK >Potri.007G128500.4.v4.1 pep chromosome:Pop_tri_v4:7:14359425:14365211:-1 gene:Potri.007G128500.v4.1 transcript:Potri.007G128500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128500.v4.1 MLNKEGDGGKEYFRKLSRKELQSLCKQCSLPARKSSSEMVESLAFYFMRKGLNLVSSGTSIDGVQDALLHTSLMPPMQPKPALNSIKDSFELTSRPGEEIYKGNRNFKSNNLESFIGPGAYNKESFGGLISNFQEVSPSQFFSQYAGSHVNFKKPLSLGGRVEDSPQFHGRDINTVACSKEIGLPSIITTANVPASFEFHVSSEEGIKLCVDLNSSPLEWIKKYKNQVSLCDNVVNTKSRSLYEELGCIGESNKKLKSSVLQNIDSGKNRDDSVQAEPSPSSVGEKNSHVRNGHPDGGDNSLISSPVIPCSVAVDVSLYLKEDPGLASAKPSSDGQNHKNLNTESCSEKECIAAPDSDITDTPLEKTACNFAVNSISNGSVDHIALMHQSSKWDDEVCENSTQQNSCNLENASVVFPGCFMEMQLSETGNYHKDASCLPHKNGEFLDPYDSKHNRGSEQDGLANSSENDHCKNQVPTCSEEQEWSNAINGRESSVCSQVDDSVDKTSLKSGVIKSSEELLRKRPHIYRVSQNGRRKSDTIILRSTRRSAGKVLPRRSMRLVSK >Potri.008G196800.1.v4.1 pep chromosome:Pop_tri_v4:8:13909543:13911286:1 gene:Potri.008G196800.v4.1 transcript:Potri.008G196800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196800.v4.1 MAAAIVVVFDFDKTIIDVDSDNWVIDELGFTESFNQLLPTMSWNSLMDRMMKELHANGKTMEDIAEVLKRIPIHPQVISAIKAAHALGCELRIVSDANMFFIETILKHLGLKDYFSEINTNPGFVDEEGRLRISPYHDFTQSSHGCSLCPPNMCKGLIIERIQASISKEGSKKIIYLGDGAGDYCPSLKLTEADYMMPRKNFPVWDLISKNPKLIKAEIHEWNDGAEMERVLLQIIERISREEINSNSAKLFSADCKLQTISIAGHDAMPQALSVTQ >Potri.017G046100.1.v4.1 pep chromosome:Pop_tri_v4:17:3238878:3239246:1 gene:Potri.017G046100.v4.1 transcript:Potri.017G046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G046100.v4.1 MRFSIEMASFSYFILALFIAQSISGGEAARQLLQLPPLPAVPNLPKPTLPPMPSIPKLPQPTLLTAQPSLPKPTLPPLPSLPTMPSLPKVTLPPLPSMPSMPTIPIPTTIPSIPFLSPPPGN >Potri.019G073700.1.v4.1 pep chromosome:Pop_tri_v4:19:11517218:11518399:-1 gene:Potri.019G073700.v4.1 transcript:Potri.019G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073700.v4.1 MAPKLSQLLSAILVIFSIQSFRGQARTLKPEHRQSFSSFLQGLEGVQKGQTVQGLVELKQYLKKFGYYPSDITLTSSDFDDHLELALKTYQEYFHLNVTGNLDSSTIQQMMIPRCGMPDIINTPSTKPNSTTSKHNKFHMVVHYDFGTRKWPPSKYALTYRFGSGVQVVGSDTLRSVCSNAFQTWAKVSPFTFQEATDGASADIVIEFFSGDHGDQDPFDGPGKQLAHGFFPQDGRLHYDADENWSTDPAMDQTDLESVTVHEIGHLLGLYHSKDHPEAIMYPTIAPGKKKRDLAQDDIDGIHALYSN >Potri.019G073700.2.v4.1 pep chromosome:Pop_tri_v4:19:11517216:11518395:-1 gene:Potri.019G073700.v4.1 transcript:Potri.019G073700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073700.v4.1 MAPKLSQLLSAILVIFSIQSFRGQARTLKPEHRQSFSSFLQGLEGVQKGQTVQGLVELKQYLKKFGYYPSDITLTSSDFDDHLELALKTYQEYFHLNVTGNLDSSTIQQMMIPRCGMPDIINTPSTKPNSTTSKHNKFHMVVHYDFGTRKWPPSKYALTYRFGSGVQVVGSDTLRSVCSNAFQTWAKVSPFTFQEATDGASADIVIEFFSGDHGDQDPFDGPGKQLAHGFFPQDGRLHYDADENWSTDPAMDQTDLESVTVHEIGHLLGLYHSKDHPEAIMYPTIAPGKKKRDLAQDDIDGIHALYSN >Potri.008G070200.1.v4.1 pep chromosome:Pop_tri_v4:8:4288636:4293352:1 gene:Potri.008G070200.v4.1 transcript:Potri.008G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070200.v4.1 MKQGKFGNLKLQHSKLVSSTVAIFLISLLPCFVFSEHTDSGSIPSHLKSDKSHHRTERKQEDKEVFVSTKQVEKLRSEPKDSCDIFTGKWVFDNKTHPLYREDECPYIQQWISCTKNGRPDSMYQSWRWQPKGCSLPKFNAKLFLEKLRGKRLMFVGDSIHQNQWMSLVCLVQSAISPGKKRTTFSTYSNRFIIEEYNATIESYWAPFLVKSNGDPPKMRNGASNISIISDSISEKGQKTWKSTDYLIFDTYAWWIKHPTVRLIRGPFDERAKEYDVIEAHVAYEISLRTWAKWVDEQVDPSRTEVFFNSMAPLHVRALDWNNADAVMCEKETTPILNMSIPLEGSNDHRYFAIAEKVIHSMKFPIKFLNITTLSEYRKDAHPSIYNKVPSPEQKANPAKYSDCVHWCVPGLPDTWNELLYAYITNQY >Potri.016G056700.6.v4.1 pep chromosome:Pop_tri_v4:16:3820594:3823922:-1 gene:Potri.016G056700.v4.1 transcript:Potri.016G056700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056700.v4.1 MSGKVLINAKRSSIDCRDISYVTQEDFFLGTLTVRETITYSAHLRLPRTMTKEEIDSVVDNTIMEMGLQDCADSKIGNWHLRGISTGEKKRLSISVEILTRPHIMLLDEPTTGLDSASAFFVIRALNSIAYDGKIVICSLHQPSSDVFNLLDDLLLLSGGETVYFGEAKMAVKFFAEAGFPCPTKRNPSDHFLRCINLDFDIIAEALLRYQNICAIPESSSYSVKMKTAEIRETLIEKYKCSEFSINTRRRIQEISEEPAIEPNKRINASSWNQLSTLTRRSFVNMHRDIGYYWLRMVLYVLISLSIGVLFFNSGTDRETILERAKCVCFVYGFMICLSCGGLPFFIEEMKVFRRERLGGDYGEAVLVLSNFFSSFPFLLAISLLSGTIIYYMVKFHPGFPHYAFFCINLFCCLSVIETCMMIVASLVPNVLMGIGIGTGVIVFMMMASEIFRPVPDLPKFFWRYPVSYISFATWAIQGEYKNEMIGLEFDPLMPGNSKVKGETVLQTVFGVPLSHSKWWDLTALLFLLLTHRLVLYMVLKYKDRIASKFPRPHANKTVQHLARRVSKVGERLSSSKRHHPQHPLSSQEGLRSPIP >Potri.016G056700.4.v4.1 pep chromosome:Pop_tri_v4:16:3820594:3824235:-1 gene:Potri.016G056700.v4.1 transcript:Potri.016G056700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056700.v4.1 MCLVWENLTVELPSMITSGSTRKLLSNLSGYAEPGHIMAIMGPSGSGKSTLLDSLAGRLSSNVKMSGKVLINAKRSSIDCRDISYVTQEDFFLGTLTVRETITYSAHLRLPRTMTKEEIDSVVDNTIMEMGLQDCADSKIGNWHLRGISTGEKKRLSISVEILTRPHIMLLDEPTTGLDSASAFFVIRALNSIAYDGKIVICSLHQPSSDVFNLLDDLLLLSGGETVYFGEAKMAVKFFAEAGFPCPTKRNPSDHFLRCINLDFDIIAEALLRYQNICAIPESSSYSVKMKTAEIRETLIEKYKCSEFSINTRRRIQEISEEPAIEPNKRINASSWNQLSTLTRRSFVNMHRDIGYYWLRMVLYVLISLSIGVLFFNSGTDRETILERAKCVCFVYGFMICLSCGGLPFFIEEMKVFRRERLGGDYGEAVLVLSNFFSSFPFLLAISLLSGTIIYYMVKFHPGFPHYAFFCINLFCCLSVIETCMMIVASLVPNVLMGIGIGTGVIVFMMMASEIFRPVPDLPKFFWRYPVSYISFATWAIQGEYKNEMIGLEFDPLMPGNSKVKGETVLQTVFGVPLSHSKWWDLTALLFLLLTHRLVLYMVLKYKDRIASKFPRPHANKTVQHLARRVSKVGERLSSSKRHHPQHPLSSQEGLRSPIP >Potri.002G090500.1.v4.1 pep chromosome:Pop_tri_v4:2:6548696:6552267:1 gene:Potri.002G090500.v4.1 transcript:Potri.002G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090500.v4.1 MDSRNGSVSEALLDAEIESFFDSAPPLKDRAGIIKKLKEFIEYNSTPPGKGSPRRVVCVTSGGTTVPLEQRCVRYIDNFSSGHRGATSTEYFIKAGYAVIFLYRRGTFQPYCQSLPEDPLLECFECSDDSTIQVRQPHTEAVKRAVSDHHAAIAGGHLLKLSFTTIFEYLQILQSIAMSMKDLGSLAVFYLAAAVSDFYVPWKSMAEHKIQSASGPLDMRLVQVPKMLSALKKEWAPMAFCISFKLETDSKILLEKAEMALKKYRMHMVVANELSTRKEEVTVVTGNEKILVCRDKTQADSDVEEPLIELIVGRHSAYVKDSDL >Potri.006G110500.1.v4.1 pep chromosome:Pop_tri_v4:6:8568014:8570184:1 gene:Potri.006G110500.v4.1 transcript:Potri.006G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110500.v4.1 MEAHGGGGGSGSLRRVLVLAFCVAGIWSAYIYQGLLQETLSTKRFGSDGKRFEQLAFLNLAQNVVCLIWSYMMIKIWSTRSGSSSSSMGAPWWTYWSPGITNTIGPALGIEALKYISYPAQVLAKSSKMIPVMLMGSLVYGIRYTLPEYLCTFLVAGGVSTFALMKTSSKTINKLAHPNAPLGYGLCFLNLAFDGFTNATQDSLKARYPKTSAWDIMLGMNLWGTIYNLIYMFGWPHGIGYEAIEFCKHHPEAAWDIFLYCLCGAVGQNFIFLTISRFGSLANTTITTTRKFVSIVVSSVLSGNPLSAKQWGCVVMVFSGLSYQIYLKWRKLQKLQKKRKPL >Potri.002G234400.2.v4.1 pep chromosome:Pop_tri_v4:2:22756146:22758938:-1 gene:Potri.002G234400.v4.1 transcript:Potri.002G234400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234400.v4.1 MQTTSQLHSIFYYSNRRSYLKPNGWIKTKSLNFSQLGFSRHCQMYKFTSPVQQTKLFVLPNMDDGNPSVPMSILEEDTNTNHAPSSGGEKFLSKWSPPRYLWRGLSVLVLAGQVIIRSLMGKIHWRNTLQQLERVGPRSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSIGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQIDTLRVLGADPVDYLVIPRVIASCLALPFLTLMCFTVGMASSGLLADSVYGISLNIILDSAQRALNSWDIISAMIKSGVFGAIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >Potri.002G234400.1.v4.1 pep chromosome:Pop_tri_v4:2:22756227:22758865:-1 gene:Potri.002G234400.v4.1 transcript:Potri.002G234400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234400.v4.1 MQTTSQLHSIFYYSNRRSYLKPNGWIKTKSLNFSQLGFSRHCQMYKFTSPVQQTKLFVLPNMDDGNPSVPMSILEEDTNTNHAPSSGGEKFLSKWSPPRYLWRGLSVLVLAGQVIIRSLMGKIHWRNTLQQLERVGPRSVGVCLLTSAFVGMAFTIQFVREFTRLGLNRSIGGVLALAFSRELSPVVTSIVVAGRIGSAFAAELGTMQVSEQIDTLRVLGADPVDYLVIPRVIASCLALPFLTLMCFTVGMASSGLLADSVYGISLNIILDSAQRALNSWDIISAMIKSGVFGAIISIVSCAWGVTTMGGAKGVGESTTSAVVISLVGIFMADFALSYCFFQGAGDSLKNCV >Potri.013G052950.1.v4.1 pep chromosome:Pop_tri_v4:13:3850395:3851143:-1 gene:Potri.013G052950.v4.1 transcript:Potri.013G052950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052950.v4.1 MIPNVGDIYRGLPEANTSFVRIGASKLLPSHE >Potri.010G104600.1.v4.1 pep chromosome:Pop_tri_v4:10:12656145:12661504:1 gene:Potri.010G104600.v4.1 transcript:Potri.010G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104600.v4.1 MTSNGIMERTLKSLGRGFDLTSDFRLKFCKGEKRLVFLSEAEKKELEVPGFGAIKDVSIDIKCDKGDRVRYQSDILEFQQMSEFFNQKASVPGKIPSGLFNSMFGFESDTWAADAADTKCLALDGCFITLFNFRIDRYPLVLCDEVRDAVPSSWDPCALARFIEKYGTHIIVGLSIGGHDVVLVRQDKSSNVGSSELKKHLDDLGDQLFSGTCNFTPKARDHKSKPPQAFNVFDPQPVAFDSFSSVSKTKDGITVLYAKKGGDTSVSSHFEWLPTVSSMPDAIHFSFIPITSLLKDVPGRGFLSHAINLYLRYKPPLSDLPYFLDFQSHKTWAPVHNDLPLGPSTNVASSSSALHLNFLGPKLYVNTSQVTVGKRPVTGMRFYLEGMKCNRLAIHLQHLTNTPTILANKIDDSMQLWRGSDDMDNEGYFEAINRKKFSHVCTAPVKYDPRWSTRKDVAYIVTGAKLQKKNHNSKSVLHLRLLFSKVSCFSIVQSSWAQGSSGFSQKSGLFSAISTSITGNPVKETPKTVVVDSSVFPSGPPVPVQTQKLLKFVDTSQLCRGPQDSPGHWLVTGARLDLDKGKISLQVKFSLLNIYS >Potri.008G040601.1.v4.1 pep chromosome:Pop_tri_v4:8:2265311:2265478:1 gene:Potri.008G040601.v4.1 transcript:Potri.008G040601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040601.v4.1 MLIILPFLFNLSAALFCPDVCKGAVKRVALDCEILNFVLLGLFLFVAVHLRFIYC >Potri.017G093650.1.v4.1 pep chromosome:Pop_tri_v4:17:10635657:10636314:-1 gene:Potri.017G093650.v4.1 transcript:Potri.017G093650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093650.v4.1 MAQKSLLAMSHSRIHCVDMALPEIQEEFIIDLVQPFTVSQLKQIANLMFISGYGSECSRTYISVRRDALDECLSILEMEKLSIEDMLKSEFQSLKSKIKRWTENICSCLASEKRLTVQISGELGTVSFVCFAENSMLQLLNFGKAKSVGLHEPEKLSPVLDMYMALVDLLPDIDSLYSTEDSSS >Potri.011G004700.1.v4.1 pep chromosome:Pop_tri_v4:11:383279:389878:1 gene:Potri.011G004700.v4.1 transcript:Potri.011G004700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004700.v4.1 MALRAASPIWISPLRPHSKVGVSDLGLRRCADLRCYWDLERLPKWECCCLSVLAQRAITPVEDEKPSAPQVDTSRATDQVQDTQSRGFHKDLNLLPKPLSAADLSSSPGNGAQVRVAYQGIPGAYSEAAALKAYPKCETVPCDQFEAAFKAVELWLVDKAVLPIENSVGGSIHRNYDLLLRHRLHIVGEVQMVVNHCLLGLPGVPKEELKRVLSHPQALAQCEMTLTKLGIIRVSADDSAGAAQMVVANGERDTGAIASARAADIYGLNILLEKIQDDDDNITRFLILAREPMIPGSNRPHKTSIVFTLEEGPGMLFKALAVFALRDINLTKIESRPQRKRPLRVVDDSNKGSARYFDYLFYIDFDASMAEPRAQHALAHLQEFARFLRVLGCYPTDATL >Potri.013G076700.1.v4.1 pep chromosome:Pop_tri_v4:13:6479646:6481480:-1 gene:Potri.013G076700.v4.1 transcript:Potri.013G076700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076700.v4.1 MEIWLLVLISLSLCAFLKALFNHVFLSQTHNLPPAPFTFPVIGNILWIRKSTFELERTIRSLNQKLGPMVTLHMGSRPAIFIADRSLAYIALIQKGAVFANRPPAPATSRVLGSNQHNISSSFYGPTWRLLRRTLTSEILHPSRVKRFGHARKWVLNILMNQFKLLSKSGDPVCVVDHLQYAMFCLLVFMCFGDKLEVKQIQEIEQVQRRMVVKLSRFNILNFWPSLSKIVLRKQWAEFLQLRKDQEDVILPLIRARKKLKEQRLRKLNMEENKDDYVLSYVDTLLDLQLPDEKRKLNDLEIVSLCNEFLNGGTDTTTTALQWILANLVKHPQIQEKLLLEIKEVVGEGEEVVKEDDLQKMPYLKAIILEGLRRHPPARMVLPHAVTEDTVLGGFLVPKNGTVNFMVADIGWDSKAWEDPMAFKPERFLNSEREAFDITGSREIKMMPFGAGRRICPGYGLAMLHLEYFVANLVLNFEWKAVDGDDIDLSEKQELTIVMKNPLRAHLSRRVAS >Potri.018G050800.2.v4.1 pep chromosome:Pop_tri_v4:18:4944981:4949016:1 gene:Potri.018G050800.v4.1 transcript:Potri.018G050800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050800.v4.1 MKKGSLNPNVKLKLSLPPPDEVSFAKFLTQSGTFKDGDLLVNRDGVRVVSQTESEPPPPITPSDNQLSLADMDMVKVIGKGSSGIVQLVQHKWTSQFFALKVIQMNIEEPARKAIAQELKINQSSQCPYVVMSYQSFYDNGAISIILEYMDGGSLADFLKSVKTIPEPYLAAICKQVLKGLLYLHHEKHIIHRDLKPSNLLVNHRGEVKITDFGVSAIMQSTSGQANSFVGTYNYMSPERISGGKYGYKSDIWSLGLVLLECATGQFPFSPPELDEGWTNVYELMEAIVDHPPPSASSDHFSPEFCSFISACVQKDPKDRQAAHELMAHPFMNLYEDLHVDLATYFTNAGSPLATLKTCDTISDS >Potri.018G050800.6.v4.1 pep chromosome:Pop_tri_v4:18:4944988:4949043:1 gene:Potri.018G050800.v4.1 transcript:Potri.018G050800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050800.v4.1 MKKGSLNPNVKLKLSLPPPDEVSFAKFLTQSGTFKDGDLLVNRDGVRVVSQTESEPPPPITPSDNQLSLADMDMVKVIGKGSSGIVQLVQHKWTSQFFALKVIQMNIEEPARKAIAQELKINQSSQCPYVVMSYQSFYDNGAISIILEYMDGGSLADFLKSVKTIPEPYLAAICKQVLKGLLYLHHEKHIIHRDLKPSNLLVNHRGEVKITDFGVSAIMQSTSGQANSFVGTYNYMSPERISGGKYGYKSDIWSLGLVLLECATGQFPFSPPELDEGWTNVYELMEAIVDHPPPSASSDHFSPEFCSFISAW >Potri.001G343300.1.v4.1 pep chromosome:Pop_tri_v4:1:35406672:35407419:-1 gene:Potri.001G343300.v4.1 transcript:Potri.001G343300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343300.v4.1 MPQLRMIHGNYTINFGFSWSLVRHLPTPQWHFPNVIQMSKKALCEEIPTRKKMHTLIHHTILQGRLCEIKLSSSFVVVICRLRIRRLCVIHACGLKAKVIRSS >Potri.007G084700.3.v4.1 pep chromosome:Pop_tri_v4:7:10940852:10942851:-1 gene:Potri.007G084700.v4.1 transcript:Potri.007G084700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084700.v4.1 MAWIWTSLAFVALIFLLQWLSTKNKRLPPGPRGFPIFGSLHLLGKFPHRALHQLAQKYGPIMHLRLGLVPTIVVSSPEAAELFLKTHDLVFAGRPPHESARYISYGQKGMAFAQYGSYWRNIRKMCTVELLSSLKITSFKPMRMEELDLLIKYIQEAAQERVAVDLSAKVSSLSADMSCRMVFGKKYLDEDLDERGFKSVMQEVMHLSAAPHLGDYIPQIAALDLQGLTKRMKAISKVLDVFLDKIIDEHVQYQEKGKNKDFVDVMLSFMKSEENEYLVDQGCMKATMLDMLVGSMDTSATVIDWAFSELIKNPRVMKKLQKELEEVVGKQRMVEESDLERLEYLDMVVKETLRLHPAGPLMIPHEATEDCVVNDFHIPKKSHVIINVWAIGRDPKAWTDAEKFYPERFVGSDIDVRGRDFQLIPFGTGRRSCPGMQLGLTMVRLVLAQMVHCFDWELPNGILPSEVDMSEEFGLVLCRSKHLVSIPTYRLNK >Potri.009G128700.2.v4.1 pep chromosome:Pop_tri_v4:9:10563699:10568578:1 gene:Potri.009G128700.v4.1 transcript:Potri.009G128700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G128700.v4.1 MAPHGEGSEEAMVVQDENRKPISSILIIIAMQTEAMPIVNKFQLKEDLDSVFPKGVPWVRYHGVYKDLQINLVWPGKDSTLGVDSVGTISASLVTYAAIQALQPDLIINAGTAGSFKVKGASISDVFLASDVAFHDRRIPIPVFDLYGVGSRQSFSTPNLLKELNLKAGKLSTGDSLDMSPQEEASIVANDATVKDMEGAAVAYVADLLKVPAIFIKAVTDIVDGEKPPAEEFLQNLAAVTAALDQAVTQVVDFINGKCLSEL >Potri.009G128700.5.v4.1 pep chromosome:Pop_tri_v4:9:10563639:10568577:1 gene:Potri.009G128700.v4.1 transcript:Potri.009G128700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G128700.v4.1 MAPHGEGSEEAMVVQDENRKPISSILIIIAMQTEAMPIVNKFQLKEDLDSVFPKGVPWVRYHGVYKDLQINLVWPGKDSTLGVDSVGTISASLVTYAAIQALQPDLIINAGTAGSFKVKGASISDVFLASDVAFHDRRIPIPVFDLYGVGSRQSFSTPNLLKELNLKAGKLSTGDSLDMSPQEEASIVANDATVKDMEVKLNSFLITCGLKHSHPDELSYGLRFF >Potri.010G177601.1.v4.1 pep chromosome:Pop_tri_v4:10:17733188:17735154:1 gene:Potri.010G177601.v4.1 transcript:Potri.010G177601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177601.v4.1 MAKLLCIPSLLLFIFLVSLNINISSAKTIYNVLTYGARPNGKTDSTQAFLHAWTAACGSTNSTIIYIPKGRYLLGSVAFTGGNCKSPDITIRIDGTLIAPEDYRILGLASNWLSFEGVSGVSIVGGALDAKGSPLWDCKSKGSNCPAGATTLSFVNSNNIKINGLLSLNSQMFHIVINGCHNVQVQGVRVIAAGDSPNTDGIHVQLSTDVVIMNSSIKTGDDCISIGPGTKNLWIERVRCGPGHGISIGSLAKTMDEAGVQNVTVKSTIFTGTTNGFRIKSWARHSTGFAQAIRFIGATMINVQNPIIIDQNYCPHNLNCPNEVSGIQISDVIYQGIRGTSATPVAIKFDCSFKYPCKGITLQNVNLTYLNKEAQSTCTNAIGKISGQVQPDNCL >Potri.009G007800.5.v4.1 pep chromosome:Pop_tri_v4:9:1555247:1560453:1 gene:Potri.009G007800.v4.1 transcript:Potri.009G007800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007800.v4.1 MVSDASKKKAAQKKAAAAAKRGGKAAATSSKAAAAADSQNGGVDKLSNGVGALQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLAAIGCRELPIPEHMDIYHLTREIEASDMSSLEAVISCDEERLELEKEAEALAAQDDGGGEALDRVYERLEAMDVATAEKRAAEILFGLGFNKQMQTKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEETLKNFERILVVVSHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRSELEENQMKQYKWEQDQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTERVARDQVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALLFMIREYPGNEEEKMRAAIGKFGLTGKAQVMPMSNLSDGQRSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMEFKAHLKKKAGLSD >Potri.009G007800.9.v4.1 pep chromosome:Pop_tri_v4:9:1555242:1560038:1 gene:Potri.009G007800.v4.1 transcript:Potri.009G007800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007800.v4.1 MDIYHLTREIEASDMSSLEAVISCDEERLELEKEAEALAAQDDGGGEALDRVYERLEAMDVATAEKRAAEILFGLGFNKQMQTKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEETLKNFERILVVVSHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRSELEENQMKQYKWEQDQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTERVARDQVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALLFMIREYPGNEEEKMRAAIGKFGLTGKAQVMPMSNLSDGQRSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMEFKAHLKKKAGLSD >Potri.009G007800.7.v4.1 pep chromosome:Pop_tri_v4:9:1555304:1560422:1 gene:Potri.009G007800.v4.1 transcript:Potri.009G007800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007800.v4.1 MDIYHLTREIEASDMSSLEAVISCDEERLELEKEAEALAAQDDGGGEALDRVYERLEAMDVATAEKRAAEILFGLGFNKQMQTKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEETLKNFERILVVVSHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRSELEENQMKQYKWEQDQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTERVARDQVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALLFMIREYPGNEEEKMRAAIGKFGLTGKAQVMPMSNLSDGQRSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMEFKAHLKKKAGLSD >Potri.009G007800.2.v4.1 pep chromosome:Pop_tri_v4:9:1555247:1560473:1 gene:Potri.009G007800.v4.1 transcript:Potri.009G007800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007800.v4.1 MVSDASKKKAAQKKAAAAAKRGGKAAATSSKAAAAADSQNGGVDKLSNGVGALQISDRTCTGVLCSHPLSRDIRIESLSVTFHGHDLIVDSELELNYGRRYGLLGLNGCGKSTLLAAIGCRELPIPEHMDIYHLTREIEASDMSSLEAVISCDEERLELEKEAEALAAQDDGGGEALDRVYERLEAMDVATAEKRAAEILFGLGFNKQMQTKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEETLKNFERILVVVSHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRSELEENQMKQYKWEQDQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTERVARDQVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALLFMIREYPGNEEEKMRAAIGKFGLTGKAQVMPMSNLSDGQRSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMEFKAHLKKKAGLSD >Potri.009G007800.8.v4.1 pep chromosome:Pop_tri_v4:9:1555247:1560039:1 gene:Potri.009G007800.v4.1 transcript:Potri.009G007800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007800.v4.1 MDIYHLTREIEASDMSSLEAVISCDEERLELEKEAEALAAQDDGGGEALDRVYERLEAMDVATAEKRAAEILFGLGFNKQMQTKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEETLKNFERILVVVSHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRSELEENQMKQYKWEQDQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTERVARDQVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALLFMIREYPGNEEEKMRAAIGKFGLTGKAQVMPMSNLSDGQRSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMEFKAHLKKKAGLSD >Potri.009G007800.6.v4.1 pep chromosome:Pop_tri_v4:9:1555247:1560426:1 gene:Potri.009G007800.v4.1 transcript:Potri.009G007800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007800.v4.1 MDIYHLTREIEASDMSSLEAVISCDEERLELEKEAEALAAQDDGGGEALDRVYERLEAMDVATAEKRAAEILFGLGFNKQMQTKKTRDFSGGWRMRIALARALFMNPTVLLLDEPTNHLDLEACVWLEETLKNFERILVVVSHSQDFLNGVCTNIIHMQSKKLKIYTGNYDQYVQTRSELEENQMKQYKWEQDQISSMKEYIARFGHGSAKLARQAQSKEKTLAKMERGGLTERVARDQVLVFRFVDVGKLPPPVLQFVEVTFGYTPDNLIYKNLDFGVDLDSRVALVGPNGAGKSTLLKLMTGDLVPLDGMVRRHNHLRIAQFHQHLTEKLDLELSALLFMIREYPGNEEEKMRAAIGKFGLTGKAQVMPMSNLSDGQRSRVIFAWLAFRQPQMLLLDEPTNHLDIETIDSLAEALKEWDGGLVLVSHDFRLINQVAQEIWVCENQAVTRWEGDIMEFKAHLKKKAGLSD >Potri.002G151900.2.v4.1 pep chromosome:Pop_tri_v4:2:11634133:11639698:1 gene:Potri.002G151900.v4.1 transcript:Potri.002G151900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151900.v4.1 MSRKKLIIPSILLSNVLIFILLGFSAATEDEQKEFFIVYLGDQPVINNVSAVQTHIDVLSSIKRSDLEAKESIIYSYTKIFNAFAAKLSKAEARKLSLLDEVLSVFPNRYHKLHTTKSWDFIGLPSTAKRNLKMERNIVVGLLDTGITPQSESFKDDGFGPPPRKWRGTCSHYANFSGCNNKLVGARYFKLDGNPDPSDILSPVDVDGHGTHTSSTLAGNLVPDASLFGLARGVARGAVPDARVAMYKVCWVSSGCSDMDLLAAFEAAIHDGVDVLSISIGGVSADYVSNAIAIGAFHAMKNGIITVASGGNDGPSSSSVANHAPWLLTVAASGIDREFRSKVELGNGKIVSGIGVNTFEPKQKLYPIVSGADAGYSRSDEGARFCADGSLDPKKVKGKLVLCELEVWGADSVVKGIGGKGTILESEQYLDAAQIFMAPATVVNATVSDKVNNYIHSTKSPSAVIYRTQEVKVPAPFIASFSSRGPNPGSERILKPDVAAPGIDILASYTPLRSLTGLKGDTQHSRFSLMSGTSMACPHVAGVAAYIKSFHPNWTAAAIKSAILTTAKPMSSRVNNDAEFAYGAGQVNPDKARNPGLVYDMDEMSYIQFLCHEGYNRSSLAVLVGSKSVNCSSLLPGIGYDALNYPTMQLSVKNKHEPTVGVFIRTVTNVGPSPSIYNATIQAPKGVDIVVKPMSLSFSRSSQKRSFKVVVKAKPMPSSQMLSGSLVWKSNQHIVKSPIVIFKPLD >Potri.010G147200.2.v4.1 pep chromosome:Pop_tri_v4:10:15812864:15813655:-1 gene:Potri.010G147200.v4.1 transcript:Potri.010G147200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147200.v4.1 MFVSLLSEKYFIMMKILPALFIAFLLMLAALQADAEVLTTTEPKVANRHLLSEPSSLGRKVSAGANDAETPTSNNGNSNTETKTGSGASGDDDDDETNQSYGRYGGGSSTDTHHHYTNDTRPR >Potri.019G032600.3.v4.1 pep chromosome:Pop_tri_v4:19:4518923:4522416:-1 gene:Potri.019G032600.v4.1 transcript:Potri.019G032600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032600.v4.1 MEILITTDYSLKGDENMICMSYMKLAEDVQPGSVILCSDGTISLTVLACDKDSGLVRCRCENSAVLGEKKNVNLPGVVVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKLLGNDGKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMINKANIKGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELTVQTMSRICMEAENFIDYGHLFKTIMATAPMPMTPLESMASSAVKTANTIKAAFILVLTKGGTTAKLVSKYRPSMPILSMIVPEIRTDSFEGSCSDEAPARHSLIYRGLMPVMTSISGKVYHSESAEETIEMAFHYAKMKGLCKPGDSVVALHKIGTASVIKILRVQ >Potri.019G032600.2.v4.1 pep chromosome:Pop_tri_v4:19:4519646:4522416:-1 gene:Potri.019G032600.v4.1 transcript:Potri.019G032600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032600.v4.1 MENVLGGQSLMVGEDKRTRKTKIVCTLGPQSRSVEMIERLLMAGMNVARFNFSHGTHAYHQETLDNLRTAMNNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGMEILITTDYSLKVLACDKDSGLVRCRCENSAVLGEKKNVNLPGVVVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKLLGNDGKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMINKANIKGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELTVQTMSRICMEAENFIDYGHLFKTIMATAPMPMTPLESMASSAVKTANTIKAAFILVLTKGGTTAKLVSKYRPSMPILSMIVPEIRTDSFEGSCSDEAPARHSLIYRGLMPVMTSISGKVYHSESAEETIEMAFHYAKMKGLCKPGDSVVALHKIGTASVIKILRVQ >Potri.019G032600.1.v4.1 pep chromosome:Pop_tri_v4:19:4518962:4522499:-1 gene:Potri.019G032600.v4.1 transcript:Potri.019G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032600.v4.1 MENVLGGQSLMVGEDKRTRKTKIVCTLGPQSRSVEMIERLLMAGMNVARFNFSHGTHAYHQETLDNLRTAMNNTGILCAVMLDTKGPEIRTGFLKDGKPVQLKQGMEILITTDYSLKGDENMICMSYMKLAEDVQPGSVILCSDGTISLTVLACDKDSGLVRCRCENSAVLGEKKNVNLPGVVVDLPTLTEKDKEDILKWGVPNKIDMIALSFVRKGSDLVEVRKLLGNDGKNILLMSKVENQEGVANFDDILANSDAFMVARGDLGMEIPIEKIFLAQKVMINKANIKGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGETAAGAYPELTVQTMSRICMEAENFIDYGHLFKTIMATAPMPMTPLESMASSAVKTANTIKAAFILVLTKGGTTAKLVSKYRPSMPILSMIVPEIRTDSFEGSCSDEAPARHSLIYRGLMPVMTSISGKVYHSESAEETIEMAFHYAKMKGLCKPGDSVVALHKIGTASVIKILRVQ >Potri.002G010700.1.v4.1 pep chromosome:Pop_tri_v4:2:667084:668987:1 gene:Potri.002G010700.v4.1 transcript:Potri.002G010700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010700.v4.1 MEVQIISKQNVRPSSPTPPHLRNFKLSLLDQLIPVPYAPLLLYYPMNDNSGASNLDVPKRLGVLKKSLSETLTHFYPLAGKIKDELSIDCNDEGAYYVEAQVNCHLSEFLRQPDLLLVNQFFPCELLPKAVTHVANFQVNVFECGGIAIGICISHLILDGAALSTFLKAWSATAKGSREAIIYPEFIPSSLFPANDLWLRDSAVVMFGSLFKKGNGVTKRFVFDASSISILRAQAASLGVKCPTRVEVVSSFLWKCIMAASEEWRGSQRRPSLLTHLVNLRRKMEPKLGENSMGNFLWLAAAKCMNKSRVELNDLVGEVRKAISKIDADFVEQIKGDKGNSLAEQTLKEIGEFGSKDGVDYLGFSSWCRFGFYDADFGWGKPVWISSFAVSGSLTMNLVILADTRCDGIEAFVTLDEKDMTILEGNPELLKFASLNPSPLDIDKSVQCT >Potri.006G190700.2.v4.1 pep chromosome:Pop_tri_v4:6:19780254:19786835:-1 gene:Potri.006G190700.v4.1 transcript:Potri.006G190700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190700.v4.1 MASQRLHWGFVVIVFLAILSFQASASTDASDVIALQDLYKALSNPPQLKEWRLDGGDPCGESWTGVSCAGPSVIYLKLQGLNLSGFLGTQLHYLHSLKHLDVSSNYIVGEIPYALPPNATHINLAYNYLSKSIPLSLPGVELLRHLNLSHNSLSGPIGNVFTGLQNLKEIDLSSNNFTGDLPSSFGSLTNLTKLFLQNNQFTGSVVYLANLSLTDLNIQTNHFSGVIPTQFQLIPDLWIDGNQFHIGANYPPWNYPSDNGSIGQTFNGPPTTESSAMENYIKVNGHKKKRLGPGGIVFAVGVVALVVTCAAIFIAMRIKRSRHSCSVRTTRVASEVNPQQLPPRSPSLLFPTHIPRICHNRNEKNSARKSFLIYKAPVSANIYTLTELQLATNNFGEENLLGEGSLGSVYRAEFQNGQIFVVKNINMVSLSFQEEEQFLDVIWTASRLRHPNIVTLIGYCVEHGQHLLVYDYIRDLSLHDVLHSDGYKPLSWNIRLNIALGVARALEFLHSTFSPPISHGNVKAANVLLDKELMPRLCDTGLAILRPLTSNSVKIKASEIAIGDTGYIAPEHGEPVTDNTKSDIYAFGVLLLELLTGRRPFDGSRPRAEQSLVKWASSRLHDNESLIQMVDPGIKRTLPSKTLSRFADVVLLCIQPDKFFRPPMSEIVSSLTSVLRKFTAAKSGAMEGAEVDPFERSFCSTYSRFITSPTPSYISV >Potri.006G190700.3.v4.1 pep chromosome:Pop_tri_v4:6:19780254:19786835:-1 gene:Potri.006G190700.v4.1 transcript:Potri.006G190700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190700.v4.1 MASQRLHWGFVVIVFLAILSFQASASTDASDVIALQDLYKALSNPPQLKEWRLDGGDPCGESWTGVSCAGPSVIYLKLQGLNLSGFLGTQLHYLHSLKHLDVSSNYIVGEIPYALPPNATHINLAYNYLSKSIPLSLPGVELLRHLNLSHNSLSGPIGNVFTGLQNLKEIDLSSNNFTGDLPSSFGSLTNLTKLFLQNNQFTGSVVYLANLSLTDLNIQTNHFSGVIPTQFQLIPDLWIDGNQFHIGANYPPWNYPSDNGSIGQTFNGPPTTESSAMENYIKVNGHKKKRLGPGGIVFAVGVVALVVTCAAIFIAMRIKRSRHSCSVRTTRVASEVNPQQLPPRSPSLLFPTHIPRICHNRNEKNSARKSFLIYKAPVSANIYTLTELQLATNNFGEENLLGEGSLGSVYRAEFQNGQIFVVKNINMVSLSFQEEEQFLDVIWTASRLRHPNIVTLIGYCVEHGQHLLVYDYIRDLSLHDVLHSDGYKPLSWNIRLNIALGVARALEFLHSTFSPPISHGNVKAANVLLDKELMPRLCDTGLAILRPLTSNSVKIKASEIAIGDTGYIAPEHGEPVTDNTKSDIYAFGVLLLELLTGRRPFDGSRPRAEQSLVKWASSRLHDNESLIQMVDPGIKRTLPSKTLSRFADVVLLCIQCAAKIHCCKKWCHGRC >Potri.006G190700.4.v4.1 pep chromosome:Pop_tri_v4:6:19780572:19786752:-1 gene:Potri.006G190700.v4.1 transcript:Potri.006G190700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190700.v4.1 MASQRLHWGFVVIVFLAILSFQASASTDASDVIALQDLYKALSNPPQLKEWRLDGGDPCGESWTGVSCAGPSVIYLKLQGLNLSGFLGTQLHYLHSLKHLDVSSNYIVGEIPYALPPNATHINLAYNYLSKSIPLSLPGVELLRHLNLSHNSLSGPIGNVFTGLQNLKEIDLSSNNFTGDLPSSFGSLTNLTKLFLQNNQFTGSVVYLANLSLTDLNIQTNHFSGVIPTQFQLIPDLWIDGNQFHIGANYPPWNYPSDNGSIGQTFNGPPTTESSAMENYIKVNGHKKKRLGPGGIVFAVGVVALVVTCAAIFIAMRIKRSRHSCSVRTTRELQLATNNFGEENLLGEGSLGSVYRAEFQNGQIFVVKNINMVSLSFQEEEQFLDVIWTASRLRHPNIVTLIGYCVEHGQHLLVYDYIRDLSLHDVLHSDGYKPLSWNIRLNIALGVARALEFLHSTFSPPISHGNVKAANVLLDKELMPRLCDTGLAILRPLTSNSVKIKASEIAIGDTGYIAPEHGEPVTDNTKSDIYAFGVLLLELLTGRRPFDGSRPRAEQSLVKWASSRLHDNESLIQMVDPGIKRTLPSKTLSRFADVVLLCIQPDKFFRPPMSEIVSSLTSVLRKFTAAKSGAMEGAEVDPFERSFCSTYSRFITSPTPSYISV >Potri.006G190700.1.v4.1 pep chromosome:Pop_tri_v4:6:19780253:19786836:-1 gene:Potri.006G190700.v4.1 transcript:Potri.006G190700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190700.v4.1 MASQRLHWGFVVIVFLAILSFQASASTDASDVIALQDLYKALSNPPQLKEWRLDGGDPCGESWTGVSCAGPSVIYLKLQGLNLSGFLGTQLHYLHSLKHLDVSSNYIVGEIPYALPPNATHINLAYNYLSKSIPLSLPGVELLRHLNLSHNSLSGPIGNVFTGLQNLKEIDLSSNNFTGDLPSSFGSLTNLTKLFLQNNQFTGSVVYLANLSLTDLNIQTNHFSGVIPTQFQLIPDLWIDGNQFHIGANYPPWNYPSDNGSIGQTFNGPPTTESSAMENYIKVNGHKKKRLGPGGIVFAVGVVALVVTCAAIFIAMRIKRSRHSCSVRTTRASEVNPQQLPPRSPSLLFPTHIPRICHNRNEKNSARKSFLIYKAPVSANIYTLTELQLATNNFGEENLLGEGSLGSVYRAEFQNGQIFVVKNINMVSLSFQEEEQFLDVIWTASRLRHPNIVTLIGYCVEHGQHLLVYDYIRDLSLHDVLHSDGYKPLSWNIRLNIALGVARALEFLHSTFSPPISHGNVKAANVLLDKELMPRLCDTGLAILRPLTSNSVKIKASEIAIGDTGYIAPEHGEPVTDNTKSDIYAFGVLLLELLTGRRPFDGSRPRAEQSLVKWASSRLHDNESLIQMVDPGIKRTLPSKTLSRFADVVLLCIQPDKFFRPPMSEIVSSLTSVLRKFTAAKSGAMEGAEVDPFERSFCSTYSRFITSPTPSYISV >Potri.011G144300.4.v4.1 pep chromosome:Pop_tri_v4:11:17337260:17340139:1 gene:Potri.011G144300.v4.1 transcript:Potri.011G144300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144300.v4.1 MATLVNSVSPLTSPSPETARTACGFFSNVPNFYSFSLNKGFTRVLASTQITISPKDSVLTLPNWKVGRNGTRNREIRLNDAFFHLEFIVGKGHKPDVAQATQLLYDLCKSNKMKKATRVMEMMIESGIIPDAASYTFLVNNLCKRGNIGHAMQLVEKMEENGYPTNTVTYNSLVRGLCMHGNLNQSLQLLDKLMWKGLVPNEFTYSFLLEAAYKERGVNEAMKLLDEIIAKGWQPNLVSYNVLLTGLCKEGRTEEAIRFFRDLPSKGFNPNVVSYNIILRSLCHEGRWEEANQLLAEMDSEERSPSLVTYNILIGSLAFHGRIEHAFEVLDEMVRASFKPSATTYNPIIAHLCKEGKLDLVIKCLDQMIHHRCNPNEGTFNAIAVLCKQGRVPEAFSIIQNLGNKQRSSTHDFYKGVITSLCRKGNTHPAFQLLYEMTKFGFVPDPYTYSSLIRGLCIEGMLDEALEIFRLLEENDYRPILDNFNALILGFCKSGRTDLSLDIFEMMVEKGYTPNETTYTIIVEGIAHEEEKELAAEVLKELLLRQVMRRNTAERLVLQYNLEALPI >Potri.006G037000.1.v4.1 pep chromosome:Pop_tri_v4:6:2392848:2396870:1 gene:Potri.006G037000.v4.1 transcript:Potri.006G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G037000.v4.1 MISLTDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYRFIAADTLQKIIVLVVLAIWTRVISRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGEASGSLMVQIVVLQCIIWYTLMLFLFEYRGARILIGEQFPDTAGSIISFRVDSDILSLDGREPLQTDAEVGEDGKLHVTVRKSTSSRSDVFSRMSHGLNSGLSMTPRPSNLTNAEIYSLQSSRNPTPRASSFNHTDFYSKNASNASPRHSNFSNLQFDEESGGLGVFGNVPRANGSAYPTPPNAGIFSPGGKKKANGTENGKDLHMFVWSSSASPVSEGGLHVFRGGDYGNDLGGVANQKDYEEFGRDEFSFGNRPVPNGVDRDGPVLSKLASSSTAELHPKSAANGEPKPTAMPPTSVVTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWDLEMPQIIAHSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMSVRFLTGPAVMAAASFAVGLRGVLLHIAIVQSALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >Potri.006G037000.2.v4.1 pep chromosome:Pop_tri_v4:6:2392945:2396866:1 gene:Potri.006G037000.v4.1 transcript:Potri.006G037000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G037000.v4.1 MISLTDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNYRFIAADTLQKIIVLVVLAIWTRVISRGSLEWSITLFSLSTLPNTLVMGIPLLKGMYGEASGSLMVQIVVLQCIIWYTLMLFLFEYRGARILIGEQFPDTAGSIISFRVDSDILSLDGREPLQTDAEVGEDGKLHVTVRKSTSSRSDVFSRMSHGLNSGLSMTPRPSNLTNAEIYSLQSSRNPTPRASSFNHTDFYSKNASNASPRHSNFSNLQFDEESGGLGVFGNVPRANGSAYPTPPNAGIFSPGGKKKANGTENGKDLHMFVWSSSASPVSEGGLHVFRGGDYGNDLGGVANQKDYEEFGRDEFSFGNRPVPNGVDRDGPVLSKLASSSTAELHPKSAANGEPKPTAMPPTSVVTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWDLEMPQIIAHSISILSDAGLGMAMFSLGLFMALQPRIIACGNSVAAFAMSVRFLTGPAVMAAASFAVGLRGVLLHIAIVQSALPQGIVPFVFAKEYNVHPDILSTGFETLNSATTLI >Potri.012G024300.1.v4.1 pep chromosome:Pop_tri_v4:12:2401562:2403641:-1 gene:Potri.012G024300.v4.1 transcript:Potri.012G024300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024300.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Potri.013G039600.2.v4.1 pep chromosome:Pop_tri_v4:13:2674219:2682337:-1 gene:Potri.013G039600.v4.1 transcript:Potri.013G039600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039600.v4.1 MVARQFIISHNDSIFDVDYDTDDGLEVLKIQLFSLTSIPPHLQQITGEDDDRVVSDDSDLTGISNKLKLIKINEEEKEVKLQESIAAVVGQQNEEESIRDILGGDDVSDDSDVVHVSNELKELTVADLMKSDEELAQMLQAEEEALMLQEFAVSEQSDEFGQKIRPYISQVQMYEDPVRQEAARKTVPREELEEKALVSLAKEGNFKPSKTEQDHAFLLQLLFWFKQSFRWVNEPPCDGCGNDTVNQGMDAALPSETQYGAARVELYRCNSCSTITRFPRYNDPLKLVETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSELLGRWMHLDPCDGVFDRPLLYEKGWNKKLNYVIAIAKDGVYDVTKRYTRKWVEVLSRRNITREPDLLATLRSMTRECRRSFTTQILSVLEDRDKIESEELERSLCSTNDSSVSLPGRQSGNKEWRIARSEIGFHDNCCWSHTSCPIRVCVDEHVTKTYNAFSPLLSRCVDHSLPKSRIVEILKIFKGILVELGNSSYKTRRTSINPFILHLLPYFDELINALSLKSEIDTDGKVDICLAADPVITSLGLPVVLDALDDLINVLNNFDNISKVSLSWPLIKLNRIHSGSVLASGEELPFGIATSAFDGLRTSKWVEPDGARGCWIVYKLSDNQMHKLVAYDIMSANDAPERDPMDWVVEGSDDGGSSWRILDKRTSQMFKNRFQRKSFKINSDSVPCNTFRFQFLAARDVQSNSRLQLGSIDLYASSD >Potri.013G039600.1.v4.1 pep chromosome:Pop_tri_v4:13:2674272:2682337:-1 gene:Potri.013G039600.v4.1 transcript:Potri.013G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039600.v4.1 MVARQFIISHNDSIFDVDYDTDDGLEVLKIQLFSLTSIPPHLQQITGEDDDRVVSDDSDLTGISNKLKLIKINEEEKEVKLQESIAAVVGQQNEEESIRDVPILGGDDVSDDSDVVHVSNELKELTVADLMKSDEELAQMLQAEEEALMLQEFAVSEQSDEFGQKIRPYISQVQMYEDPVRQEAARKTVPREELEEKALVSLAKEGNFKPSKTEQDHAFLLQLLFWFKQSFRWVNEPPCDGCGNDTVNQGMDAALPSETQYGAARVELYRCNSCSTITRFPRYNDPLKLVETRRGRCGEWANCFTLYCRAFGYESRLILDFTDHVWTECFSELLGRWMHLDPCDGVFDRPLLYEKGWNKKLNYVIAIAKDGVYDVTKRYTRKWVEVLSRRNITREPDLLATLRSMTRECRRSFTTQILSVLEDRDKIESEELERSLCSTNDSSVSLPGRQSGNKEWRIARSEIGFHDNCCWSHTSCPIRVCVDEHVTKTYNAFSPLLSRCVDHSLPKSRIVEILKIFKGILVELGNSSYKTRRTSINPFILHLLPYFDELINALSLKSEIDTDGKVDICLAADPVITSLGLPVVLDALDDLINVLNNFDNISKVSLSWPLIKLNRIHSGSVLASGEELPFGIATSAFDGLRTSKWVEPDGARGCWIVYKLSDNQMHKLVAYDIMSANDAPERDPMDWVVEGSDDGGSSWRILDKRTSQMFKNRFQRKSFKINSDSVPCNTFRFQFLAARDVQSNSRLQLGSIDLYASSD >Potri.016G088300.3.v4.1 pep chromosome:Pop_tri_v4:16:6988412:6989669:-1 gene:Potri.016G088300.v4.1 transcript:Potri.016G088300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088300.v4.1 MNGISLRQGIGKYPNGARPNRAAASGYWKATGTDKSIINSCGTTKIGVKKALVFYKGRPPKGVKTGWIMHEYRLLDAWNNSKRKGSMRLDDWVLCQVRQKNSIPRSTWEDQNVPSSAPTGFFPGVNDLLDTNINPNIEMIRNYFYNDCPMLPYIFSSQDFPSTERVSSINFPSTDKSSTSLGNLLNSLKRKHVERDQQRENCFPRSKKLRTKADMEEEGVLSIRNDGTDENLCGMPGQSESGCFSPVQWNPLVQYQEFNHLTLE >Potri.016G088300.2.v4.1 pep chromosome:Pop_tri_v4:16:6988176:6989722:-1 gene:Potri.016G088300.v4.1 transcript:Potri.016G088300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088300.v4.1 MNGISLRQGIGKYPNGARPNRAAASGYWKATGTDKSIINSCGTTKIGVKKALVFYKGRPPKGVKTGWIMHEYRLLDAWNNSKRKGSMRLDDWVLCQVRQKNSIPRSTWEDQNVPSSAPTGFFPGVNDLLDTNINPNIEMIRNYFYNDCPMLPYIFSSQDFPSTERVSSINFPSTDKSSTSLGNLLNSLKRKHVERDQQRENCFPRSKKLRTKADMEEEGVLSIRNDGTDENLCGMPGQSESGCFSPVQWNPLVQYQEFNHLTLE >Potri.015G139300.1.v4.1 pep chromosome:Pop_tri_v4:15:14676376:14680395:1 gene:Potri.015G139300.v4.1 transcript:Potri.015G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139300.v4.1 MEIGLPTSDRMDLNIDQDCCSSNSALVNASQLSASSKDDAYRGGLLKIGTEFESDEHAYRIYNKYAKVVGFSVRKDWLNRSKVHGLVVSRKFTCSKEGYRRKDKRDLNVKKRQKETRTGCLAHMIVTRQPDGKYRVTHFEAEHNHDSIEPNNADTQLLQSELFVDQAAKADLSRNSGTESSSNYGLMNRRIEVCQSLDYLAMDFENSLRSERIRDMKEGEAGRLLRYFHRQHIENPSFIHGIQVDIDDKVCNIFWADDKMVVDYDHFGDVVCLDTIYRTNKDLQPFVQFMGVNHHNQAIIFAAALLFDDTVESLKWLFNTFLEAMSGKKPKVILTDQDAAIAEAVNSILPETSHRICVWQMYQNVLKHLSHLVKDIESFSCDFRSCIYESNYEEAFVHAWEGLLDKYGLQQNEWLRWMFREREKWSIVYGSNTFFLDMKGTHVVEDLSNNLRSYLNSDQDALQIFKIFERVVNEQRVKEIHANDEMTRCMPRLLGNVVLLKHASASYTPKAFEIFQKEYEKCLNVEVSQCNENGFLLEYKVNTFGRTQEYTVTINSTDDTVVCNCMKFENVGFLCGHTLKVLDNRNIKMVPSRYILKRWTKDARLGRARNSNDFAAQENPKLVVANRYKDLCRNILKMSARAAESEDAFQFSLRKLDELIEGAEKVLMLKPDEGQGIYSSSTIVNGHESENAEVFLNEKAIEDQGEDNRVEDQKKGRVMFLIDIN >Potri.015G139300.5.v4.1 pep chromosome:Pop_tri_v4:15:14677145:14680847:1 gene:Potri.015G139300.v4.1 transcript:Potri.015G139300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139300.v4.1 MDLNIDQDCCSSNSALVNASQLSASSKDDAYRGGLLKIGTEFESDEHAYRIYNKYAKVVGFSVRKDWLNRSKVHGLVVSRKFTCSKEGYRRKDKRDLNVKKRQKETRTGCLAHMIVTRQPDGKYRVTHFEAEHNHDSIEPNNADTQLLQSELFVDQAAKADLSRNSGTESSSNYGLMNRRIEVCQSLDYLAMDFENSLRSERIRDMKEGEAGRLLRYFHRQHIENPSFIHGIQVDIDDKVCNIFWADDKMVVDYDHFGDVVCLDTIYRTNKDLQPFVQFMGVNHHNQAIIFAAALLFDDTVESLKWLFNTFLEAMSGKKPKVILTDQDAAIAEAVNSILPETSHRICVWQMYQNVLKHLSHLVKDIESFSCDFRSCIYESNYEEAFVHAWEGLLDKYGLQQNEWLRWMFREREKWSIVYGSNTFFLDMKGTHVVEDLSNNLRSYLNSDQDALQIFKIFERVVNEQRVKEIHANDEMTRCMPRLLGNVVLLKHASASYTPKAFEIFQKEYEKCLNVEVSQCNENGFLLEYKVNTFGRTQEYTVTINSTDDTVVCNCMKFENVGFLCGHTLKVLDNRNIKMVPSRYILKRWTKDARLGRARNSNDFAAQENPKLVVANRYKDLCRNILKMSARAAESEDAFQFSLRKLDELIEGAEKVLMLKPDEGQGIYSSSTIVNGHESENAEVFLNEKAIEDQGEDNRVEDQKKGRVMFLIDIN >Potri.015G139300.4.v4.1 pep chromosome:Pop_tri_v4:15:14676358:14680846:1 gene:Potri.015G139300.v4.1 transcript:Potri.015G139300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139300.v4.1 MEIGLPTSDRMDLNIDQDCCSSNSALVNASQLSASSKDDAYRGGLLKIGTEFESDEHAYRIYNKYAKVVGFSVRKDWLNRSKVHGLVVSRKFTCSKEGYRRKDKRDLNVKKRQKETRTGCLAHMIVTRQPDGKYRVTHFEAEHNHDSIEPNNADTQLLQSELFVDQAAKADLSRNSGTESSSNYGLMNRRIEVCQSLDYLAMDFENSLRSERIRDMKEGEAGRLLRYFHRQHIENPSFIHGIQVDIDDKVCNIFWADDKMVVDYDHFGDVVCLDTIYRTNKDLQPFVQFMGVNHHNQAIIFAAALLFDDTVESLKWLFNTFLEAMSGKKPKVILTDQDAAIAEAVNSILPETSHRICVWQMYQNVLKHLSHLVKDIESFSCDFRSCIYESNYEEAFVHAWEGLLDKYGLQQNEWLRWMFREREKWSIVYGSNTFFLDMKGTHVVEDLSNNLRSYLNSDQDALQIFKIFERVVNEQRVKEIHANDEMTRCMPRLLGNVVLLKHASASYTPKAFEIFQKEYEKCLNVEVSQCNENGFLLEYKVNTFGRTQEYTVTINSTDDTVVCNCMKFENVGFLCGHTLKVLDNRNIKMVPSRYILKRWTKDARLGRARNSNDFAAQENPKLVVANRYKDLCRNILKMSARAAESEDAFQFSLRKLDELIEGAEKVLMLKPDEGQGIYSSSTIVNGHESENAEVFLNEKAIEDQGEDNRVEDQKKGRVMFLIDIN >Potri.014G138900.2.v4.1 pep chromosome:Pop_tri_v4:14:9460519:9460656:1 gene:Potri.014G138900.v4.1 transcript:Potri.014G138900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138900.v4.1 MAADALSMRSMKLRSWQRCSKQIREQRTRLYIIWRCTVMLLCWHD >Potri.003G152701.1.v4.1 pep chromosome:Pop_tri_v4:3:16554517:16555182:-1 gene:Potri.003G152701.v4.1 transcript:Potri.003G152701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152701.v4.1 MIIFMLSLDIYICFYRHLCSSILKLQSLCTSYLWFNEEMFCEFHCALYIYPSPNKSGIKYAYFFMLHEEFDKGEILWQVL >Potri.014G120500.1.v4.1 pep chromosome:Pop_tri_v4:14:8088273:8089830:1 gene:Potri.014G120500.v4.1 transcript:Potri.014G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120500.v4.1 MAGGRVAHVTLKGPSVVRELCIGFALGLVAGSLWKMHHWNEQRKVRSFYDLLEKGEISVVVEE >Potri.001G223900.1.v4.1 pep chromosome:Pop_tri_v4:1:24153066:24156810:-1 gene:Potri.001G223900.v4.1 transcript:Potri.001G223900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223900.v4.1 MGSIAKLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDTFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRVATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVNYKNIGFMEDARVNWLGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLRSINDHGVDVKGFFAWSFLDDFEWGSGYSSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEGSARSRKKSRIE >Potri.001G193500.1.v4.1 pep chromosome:Pop_tri_v4:1:17932575:17933721:1 gene:Potri.001G193500.v4.1 transcript:Potri.001G193500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193500.v4.1 MIDGKALVTFWLYLAVVFTPPQAKSKITQPQPLTSFKINTTLQNTASSCSYTVTIRTSCSSSRYTRDRISLAFGDAYGYQVYVPRLDDPRSRTFESCSTDTFHIDGPCTYQICYVYLYRNGYDGWKPESITISGYYTSSVTFYYNTFIPNAVWYGFNHCNAASSSA >Potri.001G158100.1.v4.1 pep chromosome:Pop_tri_v4:1:13360816:13361166:-1 gene:Potri.001G158100.v4.1 transcript:Potri.001G158100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158100.v4.1 MGIPMVALAKLNLLLSKSHTGTSLITCLLCPYVLKLTFSVRLVRQAYTDLLYSSRLFFFQLSQIAFDADQPAALAGNSTRLGRALRLLNQRVTRARRSPTAQDDEDNFHALSMFSL >Potri.007G134101.1.v4.1 pep chromosome:Pop_tri_v4:7:14679398:14680011:-1 gene:Potri.007G134101.v4.1 transcript:Potri.007G134101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134101.v4.1 MEVLNSLQNVASQMRKRKLKKEQERRKLEAEKAKDEELSRKRKREERRERYRVSRDSSAKSVPIPTVFHLFFVLRIDAQPRTQSKQLSSLHHGPRSRSKQLSSMHHGRNDIHVITIPSLVIVQAPSTWIIFFSDLSTTSALVAKTPEALSWQKLQQEVSLIGFLHCCIDSI >Potri.005G137600.8.v4.1 pep chromosome:Pop_tri_v4:5:10750804:10754641:-1 gene:Potri.005G137600.v4.1 transcript:Potri.005G137600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137600.v4.1 MIRAEEVQSNLEPAFPSFVKSLVRSHVASCFWMGLPGTFCRAHLPSVDTTVTLQDECGKEFKMKYIAYKTGLSAGWRQFCVAHQLFEGDALVFQLTGSCTLKVYIIRANDLTEVDGALCLLNLDAQIKQNVADSAEMKTAACKSSKRKRPRSLPQAVVQKKNKKSGQLRLSVPQAGQPAEQSENDSEEVGSEVLEGFKLSLPAVHFKDIKSFEDFNILVDGLVLDSELSEDIRNKYYKLCCNQNAFLHDNLIKGVNFKLIAGIISETVNIADAMRACTLTTSRDKFATWDKALKASELFGMNVGFLRARLSRLLSLAFDSEGATKTRRYLEARFERVLTEDEIKSLEAKLVELKEAYERYGANVERLKSKAEGYDLKFQEQVLAPW >Potri.005G137600.2.v4.1 pep chromosome:Pop_tri_v4:5:10750701:10754750:-1 gene:Potri.005G137600.v4.1 transcript:Potri.005G137600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137600.v4.1 MSGRVKKEVEETDFIKPLLFNKEQLKSVKDGKQKSPMEATEKHLKIKRRYSDPKLKFVISGHAGKCIWQNKAPVQRSTTVGDGKSSAMIRAEEVQSNLEPAFPSFVKSLVRSHVASCFWMGLPGTFCRAHLPSVDTTVTLQDECGKEFKMKYIAYKTGLSAGWRQFCVAHQLFEGDALVFQLTGSCTLKVYIIRANDLTEVDGALCLLNLDAQIKQNVADSAEMKTAACKSSKRKRPRSLPQAVVQKKNKKSGQLRLSVPQAGQPAEQSENDSEEVGSEVLEGFKLSLPAVHFKDIKSFEDFNILVDGLVLDSELSEDIRNKYYKLCCNQNAFLHDNLIKGVNFKLIAGIISETVNIADAMRACTLTTSRDKFATWDKALKASELFGMNVGFLRARLSRLLSLAFDSEGATKTRRYLEARFERVLTEDEIKSLEAKLVELKEAYERYGANVERLKSKAEGYDLKFQEQVLAPW >Potri.005G137600.6.v4.1 pep chromosome:Pop_tri_v4:5:10750694:10754711:-1 gene:Potri.005G137600.v4.1 transcript:Potri.005G137600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137600.v4.1 MIRAEEVQSNLEPAFPSFVKSLVRSHVASCFWMGLPGTFCRAHLPSVDTTVTLQDECGKEFKMKYIAYKTGLSAGWRQFCVAHQLFEGDALVFQLTGSCTLKVYIIRANDLTEVDGALCLLNLDAQIKQNVADSAEMKTAACKSSKRKRPRSLPQAVVQKKNKKSGQLRLSVPQAGQPAEQSENDSEEVGSEVLEGFKLSLPAVHFKDIKSFEDFNILVDGLVLDSELSEDIRNKYYKLCCNQNAFLHDNLIKGVNFKLIAGIISETVNIADAMRACTLTTSRDKFATWDKALKASELFGMNVGFLRARLSRLLSLAFDSEGATKTRRYLEARFERVLTEDEIKSLEAKLVELKEAYERYGANVERLKSKAEGYDLKFQEQVLAPW >Potri.005G137600.1.v4.1 pep chromosome:Pop_tri_v4:5:10750703:10754765:-1 gene:Potri.005G137600.v4.1 transcript:Potri.005G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137600.v4.1 MSGRVKKEVEETDFIKPLLFNKEQLKSVKDGKQKSPMEATEKHLKIKRRYSDPKLKFVISGHAGKCICCRQNKAPVQRSTTVGDGKSSAMIRAEEVQSNLEPAFPSFVKSLVRSHVASCFWMGLPGTFCRAHLPSVDTTVTLQDECGKEFKMKYIAYKTGLSAGWRQFCVAHQLFEGDALVFQLTGSCTLKVYIIRANDLTEVDGALCLLNLDAQIKQNVADSAEMKTAACKSSKRKRPRSLPQAVVQKKNKKSGQLRLSVPQAGQPAEQSENDSEEVGSEVLEGFKLSLPAVHFKDIKSFEDFNILVDGLVLDSELSEDIRNKYYKLCCNQNAFLHDNLIKGVNFKLIAGIISETVNIADAMRACTLTTSRDKFATWDKALKASELFGMNVGFLRARLSRLLSLAFDSEGATKTRRYLEARFERVLTEDEIKSLEAKLVELKEAYERYGANVERLKSKAEGYDLKFQEQVLAPW >Potri.005G137600.7.v4.1 pep chromosome:Pop_tri_v4:5:10750702:10754669:-1 gene:Potri.005G137600.v4.1 transcript:Potri.005G137600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137600.v4.1 MIRAEEVQSNLEPAFPSFVKSLVRSHVASCFWMGLPGTFCRAHLPSVDTTVTLQDECGKEFKMKYIAYKTGLSAGWRQFCVAHQLFEGDALVFQLTGSCTLKVYIIRANDLTEVDGALCLLNLDAQIKQNVADSAEMKTAACKSSKRKRPRSLPQAVVQKKNKKSGQLRLSVPQAGQPAEQSENDSEEVGSEVLEGFKLSLPAVHFKDIKSFEDFNILVDGLVLDSELSEDIRNKYYKLCCNQNAFLHDNLIKGVNFKLIAGIISETVNIADAMRACTLTTSRDKFATWDKALKASELFGMNVGFLRARLSRLLSLAFDSEGATKTRRYLEARFERVLTEDEIKSLEAKLVELKEAYERYGANVERLKSKAEGYDLKFQEQVLAPW >Potri.005G137600.5.v4.1 pep chromosome:Pop_tri_v4:5:10750700:10754654:-1 gene:Potri.005G137600.v4.1 transcript:Potri.005G137600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137600.v4.1 MSGRVKKEVEETDFIKPLLFNKEQLKSVKDGKQKSPMEATEKHLKIKRRYSDPKLKFVRISLLSCCCFGILFHPKPKAVISGHAGKCICCRQNKAPVQRSTTVGDGKSSAMIRAEEVQSNLEPAFPSFVKSLVRSHVASCFWMGLPGTFCRAHLPSVDTTVTLQDECGKEFKMKYIAYKTGLSAGWRQFCVAHQLFEGDALVFQLTGSCTLKVYIIRANDLTEVDGALCLLNLDAQIKQNVADSAEMKTAACKSSKRKRPRSLPQAVVQKKNKKSGQLRLSVPQAGQPAEQSENDSEEVGSEVLEGFKLSLPAVHFKDIKSFEDFNILVDGLVLDSELSEDIRNKYYKLCCNQNAFLHDNLIKGVNFKLIAGIISETVNIADAMRACTLTTSRDKFATWDKALKASELFGMNVGFLRARLSRLLSLAFDSEGATKTRRYLEARFERVLTEDEIKSLEAKLVELKEAYERYGANVERLKSKAEGYDLKFQEQVLAPW >Potri.001G417801.1.v4.1 pep chromosome:Pop_tri_v4:1:44593046:44593433:1 gene:Potri.001G417801.v4.1 transcript:Potri.001G417801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417801.v4.1 MVEDARESEIRKAAVLATAQEFISGMKDGYDTYCGERGFQLSGGQKQGLALAGAILKDLSILLLDEATST >Potri.019G021800.1.v4.1 pep chromosome:Pop_tri_v4:19:3336475:3338784:1 gene:Potri.019G021800.v4.1 transcript:Potri.019G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021800.v4.1 MDAGSMNTSSSLKAQSRFPLQQQFLPRTNSKENLDRFIPNRSAMDMDYARFMLTEGRKGKENPTVNSPSREAYRKQLADSLNMNRTRILAFKNKPPAPVELMPQDHSHHHHQPKTAKPRRHIPQTSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGSTVYLWDASDGSTSELVTVDDEDGPVTSVNWAPDGRHIAIGLNNSHIQLWDSASNRQLRTLKGGHRSRVGSMAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHTQEVCGLKWSASGQQLASGGNDNLIHIWDRSTALSNSATQWLHRLEDHTSAVKALAWCPFQGNLLASGGGGGDKSIKFWNTHTGACLNSIDTGSQVCSLLWNKNERELLSSHGFTQNQLTVWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVATAAGDETLRFWNVFGVPEIAAKAAPKANPEPFSHLNRIR >Potri.019G021800.3.v4.1 pep chromosome:Pop_tri_v4:19:3336475:3339556:1 gene:Potri.019G021800.v4.1 transcript:Potri.019G021800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G021800.v4.1 MDAGSMNTSSSLKAQSRFPLQQQFLPRTNSKENLDRFIPNRSAMDMDYARFMLTEGRKGKENPTVNSPSREAYRKQLADSLNMNRTRILAFKNKPPAPVELMPQDHSHHHHQPKTAKPRRHIPQTSERTLDAPDLVDDFYLNLLDWGSSNVLAIALGSTVYLWDASDGSTSELVTVDDEDGPVTSVNWAPDGRHIAIGLNNSHIQLWDSASNRQLRTLKGGHRSRVGSMAWNNHILTTGGMDGQIINNDVRIRSHIVETYRGHTQEVCGLKWSASGQQLASGGNDNLIHIWDRSTALSNSATQWLHRLEDHTSAVKALAWCPFQGNLLASGGGGGDKSIKFWNTHTGACLNSIDTGSQVCSLLWNKNERELLSSHGFTQNQLTVWKYPSMVKMAELTGHTSRVLYMAQSPDGCTVATAAGDETLRFWNVFGVPEIAAKAAPKANPEPFSHLNRIR >Potri.008G018900.1.v4.1 pep chromosome:Pop_tri_v4:8:941513:942394:1 gene:Potri.008G018900.v4.1 transcript:Potri.008G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018900.v4.1 MNSSTDYNHTFHATSGYAMGMALGILILIMTILIVAYFCSSGVQEPAPSYPVTNQGSSIASQGSVVIDIGLNEATLASYYKLLYSQAKLQHKGNDSQPFCCPICLGDYKDSDMLRLLPDCGHVFHLKCVDCWLRQHSTCPLCRKSLAPTPLPESSR >Potri.005G133900.1.v4.1 pep chromosome:Pop_tri_v4:5:10257619:10259128:-1 gene:Potri.005G133900.v4.1 transcript:Potri.005G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G133900.v4.1 MGDINNQATRLVLIHGSSAGAWVWYKVKPMLEAAGHSITALDMSASGVNTKTLEEVRTFDQYNEPLIEFMANLPENEKVVLVGHSLGGLNLAFAMEKFPEKISLAIFVTAIMPDTQHQPSYMLEKFTESISGADEEQDTAVSSTPFQLTPIEDLTLQALLNRPGSTFVESLSKANKFTEDRYGSVPRVYIVCTEDILLSPSLQRFMIEQNEVKEVMEIPADHMAVFSKPKELSQCILELAQKHA >Potri.002G163200.2.v4.1 pep chromosome:Pop_tri_v4:2:12494377:12500678:-1 gene:Potri.002G163200.v4.1 transcript:Potri.002G163200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163200.v4.1 MSATNNRSSTTMSLHHLTTNPPPPQQNLPLVVTLNCIEDCAIEQDSLSGVASIEHVPLSRLSGGKIESAAAVLLHSLAYLPRAAQRRLRPYQLILCLGSADRAVDSALAADLGLRLVHVDNSRAEEIADTVMALFLGLLRRTHLLSRHTLSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASAKSLATRSLAFKISVLYFDVHEGPGILSRSSIAFPSAARRMDTLNDLLAASDLISLHCALTNETVQIISAECLQHIKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIRDKAISILQSFFLDGTVPKNAVSDEEEEESEIGEESDQFHRQDKESTLQDSVVEQLTDDVQVTLESYHKKVISQSIESTSKAQVSGMSQNMATRTEGRRNRLGKKAKKRHGHQKSQQKSDDPSQLEKEITSHQEDDTAMSGTDQVLSSGSRFASPEDSRSRKTPIELTQDPTSGQLSRSGKKLSGKSDKLLKDGHIIALYARDHSALHVSRQRVKGGGWFLDAMSNVTKRDPAAQFLVVFRSKDTIGLRSFAAGGKLLQINRRTEFVFASHSFDVWESWMLEGSLEECRLVNCRNPLAVLEVRIEILAAVGEDGVSRWLD >Potri.002G163200.3.v4.1 pep chromosome:Pop_tri_v4:2:12492736:12500657:-1 gene:Potri.002G163200.v4.1 transcript:Potri.002G163200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163200.v4.1 MSATNNRSSTTMSLHHLTTNPPPPQQNLPLVVTLNCIEDCAIEQDSLSGVASIEHVPLSRLSGGKIESAAAVLLHSLAYLPRAAQRRLRPYQLILCLGSADRAVDSALAADLGLRLVHVDNSRAEEIADTVMALFLGLLRRTHLLSRHTLSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASAKSLATRSLAFKISVLYFDVHEGPGILSRSSIAFPSAARRMDTLNDLLAASDLISLHCALTNETVQIISAECLQHIKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIRDKAISILQSFFLDGTVPKNAVSDEEEEESEIGEESDQFHRQDKESTLQDSVVEQLTDDVQVTLESYHKKVISQSIESTSKAQVSGMSQNMATRTEGRRNRLGKKAKKRHGHQKSQQKSDDPSQLEKEITSHQEDDTAMSGTDQVLSSGSRFASPEDSRSRKTPIELTQDPTSGQLSRSGKKLSGKSDKLLKDGHIIALYARDHSALHVSRQRVKGGGWFLDAMSNVTKRDPAAQFLVVFRSKDTIGLRSFAAGGKLLQINRRTEFVFASHSFDVWESWMLEGSLEECRLVNCRNPLAVLEVRIEILAAVGEDGVSRWLD >Potri.002G163200.1.v4.1 pep chromosome:Pop_tri_v4:2:12493641:12500695:-1 gene:Potri.002G163200.v4.1 transcript:Potri.002G163200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163200.v4.1 MSATNNRSSTTMSLHHLTTNPPPPQQNLPLVVTLNCIEDCAIEQDSLSGVASIEHVPLSRLSGGKIESAAAVLLHSLAYLPRAAQRRLRPYQLILCLGSADRAVDSALAADLGLRLVHVDNSRAEEIADTVMALFLGLLRRTHLLSRHTLSASGWLGSVQPLCRGMRRCRGLVLGIVGRSASAKSLATRSLAFKISVLYFDVHEGPGILSRSSIAFPSAARRMDTLNDLLAASDLISLHCALTNETVQIISAECLQHIKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIRDKAISILQSFFLDGTVPKNAVSDEEEEESEIGEESDQFHRQDKESTLQDSVVEQLTDDVQVTLESYHKKVISQSIESTSKAQVSGMSQNMATRTEGRRNRLGKKAKKRHGHQKSQQKSDDPSQLEKEITSHQEDDTAMSGTDQVLSSGSRFASPEDSRSRKTPIELTQDPTSGQLSRSGKKLSGKSDKLLKDGHIIALYARDHSALHVSRQRVKGGGWFLDAMSNVTKRDPAAQFLVVFRSKDTIGLRSFAAGGKLLQINRRTEFVFASHSFDVWESWMLEGSLEECRLVNCRNPLAVLEVRIEILAAVGEDGVSRWLD >Potri.012G065200.2.v4.1 pep chromosome:Pop_tri_v4:12:8292343:8297787:1 gene:Potri.012G065200.v4.1 transcript:Potri.012G065200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065200.v4.1 MVAAAGDDEGIKLINTIDGSIARVLKGHKGPVTGLAFDPINEYLATVDSVGTVLFWELQSGGILHTLKGVAPNIISDTSFMNVVSWSPDGETLAVPGLRNDVVMYDRDTAEKLFSLRGDHVQPVCYLSWSPNGKYMATSGLDRQILIWDVDKKQDIDRQKFEDRISGMAWKPIGNALAVIDVMGKYGLWESVVPSSMKSPTEDIPSLKNINGVLLFDEDPEPSISGGLSEFGEDSLGESEPCSRKRLRKQSEFEDPDEDIDDELSLLPKTEPRKKVHRASKDNLDKGNGLRSTVTSARLKMQEAFQPGATPPQRGKRRFLCYNMLGTITAVENDGYSHIEIDFHDTGRGPRLPSMTDYFGFTMASLNENGSVFANPCKGEKNMSTLMYRPFSSWANNSEWSMRFEGEEVKVVALGTAWVAAITSLNFLRIYTDGGLQRHILSLDGPVVTASGLKNQLAVVTHVSDCLPSNDQMLEFRVFDISNGTQPLTGRLPLTPGSHLTWFGFSEEGQLSSYDSKGVLRVFTSQYGGNWLPIFSASKEKKSDESYWVVGLNASKLFCIVYKDPDMFPQVIPKPVLSLLNLSFPVASSDLGADALENEFIMNSMHLSQIQKRMEDMAGACLDTTALDDESFNMEATQDRCILRLIASCCNGDKLVRATELMKLLSLEKSMKGAIKLVTALKLPNLAERFNSILEERLVNESKGTYKSPLLNSNDRASLTADAAISKTMATSIGKNETPEPSIAFSSPTLSAPLFIKKANKQEHEKSYQNQTVNAKTSMEPSNAEKVKSAENVIGVEVKMTGEVLKVQSQRPSNPFLKSSNNQETSKKDLDQLTSPHPSNPFKKTPH >Potri.012G065200.1.v4.1 pep chromosome:Pop_tri_v4:12:8291617:8297780:1 gene:Potri.012G065200.v4.1 transcript:Potri.012G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065200.v4.1 MKVRTLKLREAHKSINGNNDINPSFCSVLWDQQALHLVTASSSDPSISIHDPLLPSNPPKILRHHRDGVTALALSPNSTCLASGSIDHSVKLFKFPSGEFETNVTRFTLPIRALAFNKSGSMVAAAGDDEGIKLINTIDGSIARVLKGHKGPVTGLAFDPINEYLATVDSVGTVLFWELQSGGILHTLKGVAPNIISDTSFMNVVSWSPDGETLAVPGLRNDVVMYDRDTAEKLFSLRGDHVQPVCYLSWSPNGKYMATSGLDRQILIWDVDKKQDIDRQKFEDRISGMAWKPIGNALAVIDVMGKYGLWESVVPSSMKSPTEDIPSLKNINGVLLFDEDPEPSISGGLSEFGEDSLGESEPCSRKRLRKQSEFEDPDEDIDDELSLLPKTEPRKKVHRASKDNLDKGNGLRSTVTSARLKMQEAFQPGATPPQRGKRRFLCYNMLGTITAVENDGYSHIEIDFHDTGRGPRLPSMTDYFGFTMASLNENGSVFANPCKGEKNMSTLMYRPFSSWANNSEWSMRFEGEEVKVVALGTAWVAAITSLNFLRIYTDGGLQRHILSLDGPVVTASGLKNQLAVVTHVSDCLPSNDQMLEFRVFDISNGTQPLTGRLPLTPGSHLTWFGFSEEGQLSSYDSKGVLRVFTSQYGGNWLPIFSASKEKKSDESYWVVGLNASKLFCIVYKDPDMFPQVIPKPVLSLLNLSFPVASSDLGADALENEFIMNSMHLSQIQKRMEDMAGACLDTTALDDESFNMEATQDRCILRLIASCCNGDKLVRATELMKLLSLEKSMKGAIKLVTALKLPNLAERFNSILEERLVNESKGTYKSPLLNSNDRASLTADAAISKTMATSIGKNETPEPSIAFSSPTLSAPLFIKKANKQEHEKSYQNQTVNAKTSMEPSNAEKVKSAENVIGVEVKMTGEVLKVQSQRPSNPFLKSSNNQETSKKDLDQLTSPHPSNPFKKTPH >Potri.T084701.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:119551:122200:1 gene:Potri.T084701.v4.1 transcript:Potri.T084701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084701.v4.1 MQGLQIQYLHIMDFQLPYCFFFLVLLLLPFSGNGQAHSNISSGLFLTAASDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDLVQGGSRVQLTRDGELVLNDQSGRTIWSPVFGGSGAAYAAMLDTGNFVLASQADANLWQSFDEPTDTLLPSQNLNSGAQLIAPYLEKNYSEGRYKFILQADGNLILYTTHYPLTTSNFAYWSTQSSIGSGYQVIFNQSGFMYLVARNGTVLNPVFSNSVSMQDLYLRATLDYDGVLRQYVYPKTASSSRSRAMAWTTLSNSIPSNICLRITGQEGGGACGFNSYCRLADDQRPSCKCLPGYTFFDPNDERKGCKKDFISQDCDHPSQEIDSFEIKEMPNTNWPFNDYEMFGLVDEDWCRQACLSDCYCAVAIFNTAGQCWMKRVPLSNGVTDPSVGGKALIKVRKGNSTAGSSAKKCDRSNLITTGSVLLGSSIFLIVLSLLGIYVFFSRWNRQQQKMIPQHRLMPDMNMQNFTYNELERATGGFTEELGRGAFGTVYKGVLANEDKPLIAVKKLDQMAGEGDREFNTEVKVIGRTNHKNLVQLIGFCNEGQHRLLVYEYMSNGSLANFLFGDSRPNWYRRMQIAFDIARGLLYLHEECSSQIIHCDIKPQNILLDKSFNARISDFGIAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPVTTKVDTYSLGILLLELVCCRKNFDIDKKEGQIVLADWAWDCLKEGKLDLLVEDDEEAAEDMKTVERFVIVAIWCLQEDPSLRPEMKKVVLMLEGAVQVSIPPNPSSFISAI >Potri.002G082800.1.v4.1 pep chromosome:Pop_tri_v4:2:5896757:5899545:1 gene:Potri.002G082800.v4.1 transcript:Potri.002G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082800.v4.1 MSRFQIRISPSFHLSTDNGRTIKSEMADSKVDTISRLAQWRIENFGSFSYKKSDPFKVGIWNWHLSIEKNRYLYIRLFPEPSRASKEQPPIARFVLRVSNAGANNRRPYISPVHERSLRTSEDFVWPVDSSFHGRLIIDVEFLDLKICPLNGGEASSIWPGDGMMPSVSTQGTLRCLSRMLDEAIHADVTIHTADGTLSAHKAILSASSPVFESMFDHGLKEKESSTIDIEDMTLESCMTLLSYLYGTIKQEDFWKHRVSLLGAANKYDIAALKDACEESLLEDINTMNVLERMQEAWLYQLNKLKKGCLAYLFDFGKIYDVRDEIGKFFRHADKELMVEMFEEVLTVWKPV >Potri.008G130733.1.v4.1 pep chromosome:Pop_tri_v4:8:8600796:8601685:-1 gene:Potri.008G130733.v4.1 transcript:Potri.008G130733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130733.v4.1 MGLLLLVFGCRGEGRRRTAPRLLAMLLLVAGLWALLKAGWRRRICRGWGCCTGWWNNRVCWREAALLHEEAPSPLEEEETRGQRGSGFGCGFGRKMEWEQNGCSGLVSKRGGAAAEMKKEGGNSREGELGRLSSLVRESLSGGEGEERDLRLKRRRKWGRRLEWEEEGAGTRLREVTREKIVSVLGEKMEWGAAVERRKQPEIKKGGWGGGCKKKIKFFRVRFFSSFFCCQNYPPGLAENEGYL >Potri.003G201400.1.v4.1 pep chromosome:Pop_tri_v4:3:20151027:20155499:1 gene:Potri.003G201400.v4.1 transcript:Potri.003G201400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201400.v4.1 MVQRKVPNKLGIQADHVKSEKRLGNLKPSSCQHQDGKNRGPDMKKKMKKSRSIKISDIESLKSSSPLRKAISQPGEPPPLNGTTTAAAPQKQFMIKTTDGSPNYMKSTSSSEARKERSQVSPLNTQTGSNGKNLHYRNSGNSRFSPASGSKPARTLSKTSSLKLVRTPSFKPTRGTAKKCSRVALCADVSTQKATCSSTQKDSKFPAYLMLNPGGTEAEGTSVMKVCPYTHCSLNGHQHKPVTPLRCFLKARRRSLKVQNSMKLEDLSPRRARPSGDGTEEIHGGLLDFSEDKPVIQEVGKDFFIEIYANNTEYGAYETEKRTENEGKTADAFLGEPEGQMNESCFYAGHEAAVEQDNNSHVSESSSDESQESEIDFEENFSDTNAAEIKVAVGFVREEEKHGDTDCSLTFSEGEAIMGSCDNRSDIEGECQASMEEDDNISEETGMEWEEDQPSTSEIGAEDDDMNKHGKFWTKVGFTPEIEKLDWSEDSEIITSDDVVSNCTEEILADEVLREFFSEETASIDMQCSDSDSESDIIPHYWQILQSIQVAGNLAYDQPSAAEDAFEAPKTEEKDEEAGRDLRDAVTTSAPIRESIVEPIGARENIQENNETDKSLGDGENGCTADISAEALNGHQEDKSLQAENAAIRPHISEKRDVIGTNKEDEIDQLIEVAENNQEFATAGFPDGEAGDATEDREQVSNAELQFEIHVSDSPQDFSEADQDDAELHADGNHMITSEEDSSSQDLVDATTPTEPLDHQLDEQDETNHVLENENLFEEDKDEAKKIEILTAMDFESPSNSRTHEINSAGDDTGEVEKTEVEVCNESDTAETFLSANNGATSTGSKRPFVYTRGNPNQELQYTCNTRKWTIGEKKPIKDLDEEREFNPREPNFLPVVPDPEAEKVDLRHQMMDDRKNSEEWMLDYALRQAVTKLAPARKRKVALLVEAFEKVLPTPKYETHIRHTSATFSHTRPIQACS >Potri.003G201400.4.v4.1 pep chromosome:Pop_tri_v4:3:20151121:20154784:1 gene:Potri.003G201400.v4.1 transcript:Potri.003G201400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201400.v4.1 MVQRKVPNKLGIQADHVKSEKRLGNLKPSSCQHQDGKNRGPDMKKKMKKSRSIKISDIESLKSSSPLRKAISQPGEPPPLNGTTTAAAPQKQFMIKTTDGSPNYMKSTSSSEARKERSQVSPLNTQTGSNGKNLHYRNSGNSRFSPASGSKPARTLSKTSSLKLVRTPSFKPTRGTAKKCSRVALCADVSTQKATCSSTQKDSKFPAYLMLNPGGTEAEGTSVMKVCPYTHCSLNGHQHKPVTPLRCFLKARRRSLKVQNSMKLEDLSPRRARPSGDGTEEIHGGLLDFSEDKPVIQEVGKDFFIEIYANNTEYGAYETEKRTENEGKTADAFLGEPEGQMNESCFYAGHEAAVEQDNNSHVSESSSDESQESEIDFEENFSDTNAAEIKVAVGFVREEEKHGDTDCSLTFSEGEAIMGSCDNRSDIEGECQASMEEDDNISEETGMEWEEDQPSTSEIGAEDDDMNKHGKFWTKVGFTPEIEKLDWSEDSEIITSDDVVSNCTEEILADEVLREFFSEETASIDMQCSDSDSESDIIPHYWQILQSIQVAGNLAYDQPSAAEDAFEAPKTEEKDEEAGRDLRDAVTTSAPIRESIVEPIGARENIQENNETDKSLGDGENGCTADISAEALNGHQEDKSLQAENAAIRPHISEKRDVIGTNKEDEIDQLIEVAENNQEFATAGFPDGEAGDATEDREQVSNAELQFEIHVSDSPQDFSEADQDDAELHADGNHMITSEEDSSSQDLVDATTPTEPLDHQLDEQDETNHVLENENLFEEDKDEAKKIEILTAMDFESPSNSRTHEINSAGDDTGEVEKTEVEVCNESDTAETFLSANNGATSTGSKRPFVYTRGNPNQELQYTCNTRKWTIGEKKPIKDLDEEREFNPREPNFLPVVPDPEAEKVDLRHQMMDDRKNSEEWMLDYALRQAVTKLAPARKRKVALLVEAFEKVLPTPKYETHIRHTSATFSHTRPIQACS >Potri.003G201400.3.v4.1 pep chromosome:Pop_tri_v4:3:20151034:20154784:1 gene:Potri.003G201400.v4.1 transcript:Potri.003G201400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201400.v4.1 MVQRKVPNKLGIQADHVKSEKRLGNLKPSSCQHQDGKNRGPDMKKKMKKSRSIKISDIESLKSSSPLRKAISQPGEPPPLNGTTTAAAPQKQFMIKTTDGSPNYMKSTSSSEARKERSQVSPLNTQTGSNGKNLHYRNSGNSRFSPASGSKPARTLSKTSSLKLVRTPSFKPTRGTAKKCSRVALCADVSTQKATCSSTQKDSKFPAYLMLNPGGTEAEGTSVMKVCPYTHCSLNGHQHKPVTPLRCFLKARRRSLKVQNSMKLEDLSPRRARPSGDGTEEIHGGLLDFSEDKPVIQEVGKDFFIEIYANNTEYGAYETEKRTENEGKTADAFLGEPEGQMNESCFYAGHEAAVEQDNNSHVSESSSDESQESEIDFEENFSDTNAAEIKVAVGFVREEEKHGDTDCSLTFSEGEAIMGSCDNRSDIEGECQASMEEDDNISEETGMEWEEDQPSTSEIGAEDDDMNKHGKFWTKVGFTPEIEKLDWSEDSEIITSDDVVSNCTEEILADEVLREFFSEETASIDMQCSDSDSESDIIPHYWQILQSIQVAGNLAYDQPSAAEDAFEAPKTEEKDEEAGRDLRDAVTTSAPIRESIVEPIGARENIQENNETDKSLGDGENGCTADISAEALNGHQEDKSLQAENAAIRPHISEKRDVIGTNKEDEIDQLIEVAENNQEFATAGFPDGEAGDATEDREQVSNAELQFEIHVSDSPQDFSEADQDDAELHADGNHMITSEEDSSSQDLVDATTPTEPLDHQLDEQDETNHVLENENLFEEDKDEAKKIEILTAMDFESPSNSRTHEINSAGDDTGEVEKTEVEVCNESDTAETFLSANNGATSTGSKRPFVYTRGNPNQELQYTCNTRKWTIGEKKPIKDLDEEREFNPREPNFLPVVPDPEAEKVDLRHQMMDDRKNSEEWMLDYALRQAVTKLAPARKRKVALLVEAFEKVLPTPKYETHIRHTSATFSHTRPIQACS >Potri.003G201400.2.v4.1 pep chromosome:Pop_tri_v4:3:20151032:20155475:1 gene:Potri.003G201400.v4.1 transcript:Potri.003G201400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201400.v4.1 MVQRKVPNKLGIQADHVKSEKRLGNLKPSSCQHQDGKNRGPDMKKKMKKSRSIKISDIESLKSSSPLRKAISQPGEPPPLNGTTTAAAPQKQFMIKTTDGSPNYMKSTSSSEARKERSQVSPLNTQTGSNGKNLHYRNSGNSRFSPASGSKPARTLSKTSSLKLVRTPSFKPTRGTAKKCSRVALCADVSTQKATCSSTQKDSKFPAYLMLNPGGTEAEGTSVMKVCPYTHCSLNGHQHKPVTPLRCFLKARRRSLKVQNSMKLEDLSPRRARPSGDGTEEIHGGLLDFSEDKPVIQEVGKDFFIEIYANNTEYGAYETEKRTENEGKTADAFLGEPEGQMNESCFYAGHEAAVEQDNNSHVSESSSDESQESEIDFEENFSDTNAAEIKVAVGFVREEEKHGDTDCSLTFSEGEAIMGSCDNRSDIEGECQASMEEDDNISEETGMEWEEDQPSTSEIGAEDDDMNKHGKFWTKVGFTPEIEKLDWSEDSEIITSDDVVSNCTEEILADEVLREFFSEETASIDMQCSDSDSESDIIPHYWQILQSIQVAGNLAYDQPSAAEDAFEAPKTEEKDEEAGRDLRDAVTTSAPIRESIVEPIGARENIQENNETDKSLGDGENGCTADISAEALNGHQEDKSLQAENAAIRPHISEKRDVIGTNKEDEIDQLIEVAENNQEFATAGFPDGEAGDATEDREQVSNAELQFEIHVSDSPQDFSEADQDDAELHADGNHMITSEEDSSSQDLVDATTPTEPLDHQLDEQDETNHVLENENLFEEDKDEAKKIEILTAMDFESPSNSRTHEINSAGDDTGEVEKTEVEVCNESDTAETFLSANNGATSTGSKRPFVYTRGNPNQELQYTCNTRKWTIGEKKPIKDLDEEREFNPREPNFLPVVPDPEAEKVDLRHQMMDDRKNSEEWMLDYALRQAVTKLAPARKRKVALLVEAFEKVLPTPKYETHIRHTSATFSHTRPIQACS >Potri.001G113700.1.v4.1 pep chromosome:Pop_tri_v4:1:9211946:9214421:-1 gene:Potri.001G113700.v4.1 transcript:Potri.001G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113700.v4.1 MEDRRVKILPTMLVTILLFLTFMFSSTRARAVIDPLLSSSSTKSIIKQENNLVTYIIFLKKPEDMVSAEREELDSWYQSFLPAVTTSSSNQQRLVHSYHNVVTGFAAKLTEKEAKAMEMKEGFVSAHPQKVFHVKTTHTPNFLGLQQNLGFWNHSNYGKGVIIGVLDTGITPSHPSFSDEGMPPPPAKWKGKCEFNGTLCNNKLIGARNFDSAGKPPVDDNGHGTHTASTAAGSRVQGASFYDQLNGTAVGIASSAHLAIYQVCSGFGSCEESNILAGMDTAVEDGADVLSLSLGAGSLPFYEDSIAIGAFGAIQKGIFVSCAAGNEGPFKESLSNEAPWILTVGASTVDRSIRATVLLGNKASYDGQSFFQPTNFSSTLLPLIYAGANGSDTAAFCDPGSLKDVDVKGKVVLCESGGFSESVDKGQEVKDAGGAAMIIMNDELSGNITTADFHVLPASDVTYADGLSIKAYINSTSSPMATILFKGTVFGVPYAPQLADFSSRGPSLESPGILKPDIIGPGVDILAAWPYAVDNNRNTKSTFNMISGTSMATPHLSGIAALLKSSHPDWSPAAIKSAIMTTANLTNLGGTPITDDSFGPVDVFAIGSGHVNPTKADDPGLVYDIQPDDYIPYLCGLGYNNTEVGIIVQRPVTCSNSSSIPEAQLNYPSFSIKLGSSPQTYTRTVTNVGPFKSSYIAEIIAPQGVDVKVTPNAIPFGGGDPKAAYSVTFTRTANVNLPFSQGYLNWVSADHVVRNPIAVTFE >Potri.011G015700.3.v4.1 pep chromosome:Pop_tri_v4:11:1437961:1440422:-1 gene:Potri.011G015700.v4.1 transcript:Potri.011G015700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015700.v4.1 MRNHNGETALFRAARYGKTDMFNFLAAKVSGYDESGLQFYVQRSDKTTILHMAILSLHFDLAYRIALDYTHLIGQKDADGMTGLQLLSCNPSAFKLEPEEGFINLAKSYGSSVWREKVQKQKQLHRSAVELAKFLVRKDTSWELTYSSIDQSKPKIHKYGEKGGQERQEVHLFNKILDKEESLGETPLILATKSGCVEIVEEILKLYPQAVEHIDDEGRNVLHVAIKYRQKKIFELVKGMDVPMKRLTRKIDGDGNSILHTVGRKRKDFLSDEKMEGPAFLLQEELLWFERVKEVTPSHFLNHQNNMKLTAEGYFITANSELRNLAKEWLKTTAEGCSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVTDVLSLTFALTSVVTFLSILTSPFRFKDFKHTLPNKLMVGFTFLFLSVAMMMVAFGATIILMIYSKESWTKITLYAVSFIPVGIFALSYFPLYPSLSKTYNLLLKIPFIKHIPAIPWISFKCCRVETTDTHFP >Potri.010G073000.1.v4.1 pep chromosome:Pop_tri_v4:10:10109128:10111791:-1 gene:Potri.010G073000.v4.1 transcript:Potri.010G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073000.v4.1 MRDFAGAPGTLTGLALRLSQCVFAAGSIAAMTTTTNFFNSTSFCYLIASMGLQIIWSFGLTLLDAYALVRKRTLHNTVLLSLFVVGDWVTAILSLAAASASAGITVLYFHDLGSCGFVRECQKYQMSVALAFFSWITTAISSLIMLWLFAAG >Potri.010G073000.2.v4.1 pep chromosome:Pop_tri_v4:10:10109119:10111791:-1 gene:Potri.010G073000.v4.1 transcript:Potri.010G073000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073000.v4.1 MRDFAGAPGTLTGLALRLSQCVFAAGSIAAMTTTTNFFNSTSFCYLIASMGLQIIWSFGLTLLDAYALVRKRTLHNTVLLSLFVVGDWVTAILSLAAASASAGITVLYFHDLGSCGFVRECQKYQMLDSSVYS >Potri.001G240900.1.v4.1 pep chromosome:Pop_tri_v4:1:25842642:25845222:-1 gene:Potri.001G240900.v4.1 transcript:Potri.001G240900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240900.v4.1 MAMSSLICIATSLLIIMSSLWMAEARIPGVYSGGAWQNAHATFYGGADASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLTCGSCFEIKCASDPRWCHSGSPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVAYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGAGDIVQVSVKGSKTGWMSMSRNWGQNWQSNAVLVGQSLSFRVRASDRRSSTSWNIAPAHWQFGQTFTGKNFRV >Potri.014G143500.1.v4.1 pep chromosome:Pop_tri_v4:14:9798642:9803472:-1 gene:Potri.014G143500.v4.1 transcript:Potri.014G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143500.v4.1 MKKRYRNGEIWDFEHEIGELGNREVILGLDGGTTSTVCICMPIFPFSDPFPDPLPVLARAVAGCSNHNSVGETAARETLEQVMADALLKSGSNRSAVRAVCLSVSGVNHSTDELRVLNWLREIFPTHVKLYVQNDAVAALSSGTMGKLHGCVLIAGTGTIAFGFTEDGRQARAAGAGPVLGDWGSGYGIAAQALTAIVRAYDGRGPVTILSSNILQTLGLSSPDELIGWTYADPSWARIAALVPVVVSCAEAGDRVAHEILQDSVEELALSVKAVVQRLGLCGEDGKASFPLVMVGGVLEANKRWDIGKEVVNHISKSYPGVLPIHPKVEPAVGAALLGWNFLMTESQKEAYKR >Potri.010G115600.3.v4.1 pep chromosome:Pop_tri_v4:10:13493377:13496735:1 gene:Potri.010G115600.v4.1 transcript:Potri.010G115600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115600.v4.1 MGDHFVLLVNRLITESTLEAAIESRNLSMQATASDTKIDKSFQKLDFGDISTPRKLVECRICQDEDEDSNMETPCSCCGSLKYAHRRCIQRWCNEKGNTICEICLQEFKPGYTAPPPLFQIGFPMNFRGNWETSRRELNGPRFIAVVSSEHNFLNTDYYEYSASTTRNTIYCRLIAVIFMVLLILRHTLPLILNGTNNFSFPVFMLLFLRIAGIILPIYVMLKALTALQRRRLHQAPPNSSIHSYDEDAEHSTLQPRPHIINVH >Potri.001G462532.1.v4.1 pep chromosome:Pop_tri_v4:1:48831937:48832736:1 gene:Potri.001G462532.v4.1 transcript:Potri.001G462532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462532.v4.1 MNVVNSTTRISEKTVRTTFRALFLGDSERLLSFTNASFPKLGLLRSDCTEMSWLESVLFWTDPPLGTPTDLLRRTPPSLVHFKRKSDYVQKPIPRDGLEGIWKKMIELQVPQLTFNPYGGKMWEIPATERPFPHRAGNLWKVQYATDWNKGGQGKANYYIDLTRQLYSYMTPFVSKNPRQAFLNYRDLDLGINHNGKESYLEGRVYGIKYFQENFNRLVKIKTKVDPGNFFRNEQSIPTFPYRKK >Potri.006G076350.1.v4.1 pep chromosome:Pop_tri_v4:6:5637961:5638184:1 gene:Potri.006G076350.v4.1 transcript:Potri.006G076350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076350.v4.1 MSITIIARFQHLLKVLRVYAAAWKLRGMKTSRKFTIEMEQSREAIPCNAA >Potri.015G026100.1.v4.1 pep chromosome:Pop_tri_v4:15:1951974:1958373:-1 gene:Potri.015G026100.v4.1 transcript:Potri.015G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026100.v4.1 MENMQYAEELVREFLVFRGFTNTLQALDNELSTDIGKGFHVDKLLDLIFAIYIPRFQVDKLVGLLNFFKHCFSNLSENVLVDTLLKLEGYILRYYIVYAIQSGRKDMVLEFFGIYGNELVQKGHGWTPWFAIPYLKNPSLDPQFRIYFSKEWYEGLRLSVRNFFNEIFNGTRIPALLKISSDKNTVNHLKKDIKQLNLKLSQLQALLEEKEALICRLRSSAGNAPSTLGTSVGQANSITSSSGMDEENFILSVSDKEIDVPATPGLGERELNPEQAVGEPAKNVSRPRNESSSISDIDIESGKSDVSHMNCDNSYKDDGCQMQGEEEFPEVKVDFQETFLGHTSAISRCRFSASGNNIASASVDGTVRIWTYDSSTSASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEAFPSVLDLKCSPVEPIFVSAAASRRHGSNYIESLGFASLTVWNMKTWRAMSSLPLGEDPPAITSLSFNHNGKILAAAATDGMIHIFDMAAGLQITGWPAHDTAISSILFGPDETSIFSLGSDGKIFEWSLQNQGHILWSRNDARFCDPGTSKHCRHEMALDANGRKLLVTSGSVRAPIYQVRGYMNGLKTLPHSAAITTVDWHPTLPIFLTGSADNSVRVTSIL >Potri.015G026100.2.v4.1 pep chromosome:Pop_tri_v4:15:1951970:1958323:-1 gene:Potri.015G026100.v4.1 transcript:Potri.015G026100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026100.v4.1 MENMQYAEELVREFLVFRGFTNTLQALDNELSTDIGKGFHVDKLLDLIFAIYIPRFQVDKLVGLLNFFKHCFSNLSENVLVDTLLKLEGYILRYYIVYAIQSGRKDMVLEFFGIYGNELVQKGHGWTPWFAIPYLKNPSLDPQFRIYFSKEWYEGLRLSVRNFFNEIFNGTRIPALLKISSDKNTVNHLKKDIKQLNLKLSQLQALLEEKEALICRLRSAGNAPSTLGTSVGQANSITSSSGMDEENFILSVSDKEIDVPATPGLGERELNPEQAVGEPAKNVSRPRNESSSISDIDIESGKSDVSHMNCDNSYKDDGCQMQGEEEFPEVKVDFQETFLGHTSAISRCRFSASGNNIASASVDGTVRIWTYDSSTSASRNATIYCGAEIMSLDWECKSDRLLLIGTADGGIKAWNVDAKRVVCDLNTSEAFPSVLDLKCSPVEPIFVSAAASRRHGSNYIESLGFASLTVWNMKTWRAMSSLPLGEDPPAITSLSFNHNGKILAAAATDGMIHIFDMAAGLQITGWPAHDTAISSILFGPDETSIFSLGSDGKIFEWSLQNQGHILWSRNDARFCDPGTSKHCRHEMALDANGRKLLVTSGSVRAPIYQVRGYMNGLKTLPHSAAITTVDWHPTLPIFLTGSADNSVRVTSIL >Potri.019G068001.2.v4.1 pep chromosome:Pop_tri_v4:19:10848590:10850948:1 gene:Potri.019G068001.v4.1 transcript:Potri.019G068001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068001.v4.1 MEELKPMPPSSCPFTPIGFLERAATVYGDCPSVIYNSTTYTWSQTYRRCLKVASSLSSNVIKPGQVVSVVAPNVPAMYELQFAVPMSGAILNNINTRLDARTISILLRHSESKLVFVDYLSLRVILEALSLFPPETPCPALVLITDDEEEVPPPPSLSEDFCTYESMVEKGDPEFKWVQPQSEWDPVVLNYTSGTTSAPKGVVQSHRGTFTITIGSLIDWSLPKQAVYLWTLPIFHANGWSYPWGMAAVGGTNICLRRVEAPTIYSLIKRHGVTHMCGAPVVLNMLTNSPNAERLQNPVQILTAGAPPPSAVLFRAESLGFVVSHGYGLTETAGLVTSCAWKPKWNTFPASERAILKSRQGVPIVGFTEMGVVDPNTGKSVERDGVSLGEVVLRGGSVMLGYFKDPLGTSMCMKDGWFYTGDVGVVHSDGYLEVKDRSKDVIISGGENISSVEIESVLYTHPAVNEAAVVARPDEFWGETPCAFVSLKNGLPHKPGEKDIIDYCREKMAHYMVPKIVVFKDELPKTSTGKIQKYLLREYAKVVDSSKVKMATGQVGSGFYLPLRSPRWLQTPFIPTPISTSICVPIPRCRPVSLYNFSQSIFFAKKRGSEIFSIFQKNQGTVLHQPHRLGNTHARFL >Potri.008G127000.1.v4.1 pep chromosome:Pop_tri_v4:8:8271659:8271886:-1 gene:Potri.008G127000.v4.1 transcript:Potri.008G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127000.v4.1 MEQLGRPKPTRSNNKKSTLDIRAALEGSISERFGSEIIDELFDRYLEKFVESCLFSDPSYLPIEDSFVLRKGVNI >Potri.005G148500.1.v4.1 pep chromosome:Pop_tri_v4:5:12536761:12538991:-1 gene:Potri.005G148500.v4.1 transcript:Potri.005G148500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148500.v4.1 MSAPTESYKPYTLTQTLTGHKSPISSVKFSADGRLLGSSSADKTLRTYSISLSNPPTSPITHLHDFHGHEQGVSDLAFSSDSRFIVSASDDKTLRLWDVTTGHTIKTLHGHTNYVFCVNFNPQSNMIVSGSFDETVRIWDVKSGKCLKVLPAHSDPVTAVDFNREGSLIVSSSYDGLCRIWDAGTGHCIKTLIDDENPPVSFVKFSPNGKFILVGTLDNNLRLWNFSTGKFLKTYTGHVNTKFCISPTFSVTNGKYIVGGSEDSCVYLWELQSRKIVQKLEGHTDTVISVACHPTQNIIASGALGNDKTVKIWTQEI >Potri.001G313801.1.v4.1 pep chromosome:Pop_tri_v4:1:32451811:32452173:1 gene:Potri.001G313801.v4.1 transcript:Potri.001G313801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313801.v4.1 MGRGRYVSGLTLLFPEGTHKENCGCHKVQEENRKNKEPSTSTRSLLPKMIREEDTGSSFQMPLHYPKYTKEDYEDMPESKIDLLLASYGLSTHGNLNYKRKFVMQAFLWPDSSTKGKPSS >Potri.012G120492.1.v4.1 pep chromosome:Pop_tri_v4:12:13816871:13822104:-1 gene:Potri.012G120492.v4.1 transcript:Potri.012G120492.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120492.v4.1 MGRSLVFSTNIPLEFSQNPRSFSLLHHSKLCFPQRFIENSQSGYKKIQLLNLKLARNVCTKAALSEITNERKYPKVGAPSTGPISANQLIQVVETAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEAAILEVVRRNFGDHLILGEEGGIIGDTLSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFRGNPAAAAVVEFVGGPMAWNTRTFTAIAGGGAFCNGQKIHASQTDRVEQSLLVTGFGYEHDDPWATNIELFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLIVEEAGGTVSRMDGGKFCVFDRSVLVSNGVLHAKLLERIAPATEKLKSKGIDFSLWYKPENYRTDL >Potri.015G070500.12.v4.1 pep chromosome:Pop_tri_v4:15:9599060:9604636:-1 gene:Potri.015G070500.v4.1 transcript:Potri.015G070500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070500.v4.1 MGSSEERVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKKIPNLAQIYLVGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRTYGGMGTILVIKVSAESASQFGELVADPDTNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIQDVSSQRKDRANLRRISSFEALQSATRSLPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLAQFRFTSPHLLAGGDGSKTATIVDDVYIHPSAKVHPTAKIGPNVSISANARIGPGARLICCIILDDVEVMENAVVIHSIVGWKSSIGRWSRVQAEGDYNAKLGVTILGEAVTVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Potri.015G070500.10.v4.1 pep chromosome:Pop_tri_v4:15:9599090:9604712:-1 gene:Potri.015G070500.v4.1 transcript:Potri.015G070500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070500.v4.1 MGSSEERVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKKIPNLAQIYLVGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRTYGGMGTILVIKVSAESASQFGELVADPDTNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIQDVSSQRKDRANLRRISSFEALQSATRSLPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLAQFRFTSPHLLAGGDGSKTATIVDDVYIHPSAKVHPTAKIGPNVSISANARIGPGARLICCIILDDVEVMENAVVIHSIVGWKSSIGRWSRVQAEGDYNAKLGVTILGEAVTVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Potri.015G070500.11.v4.1 pep chromosome:Pop_tri_v4:15:9598989:9604646:-1 gene:Potri.015G070500.v4.1 transcript:Potri.015G070500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070500.v4.1 MGSSEERVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKKIPNLAQIYLVGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRTYGGMGTILVIKVSAESASQFGELVADPDTNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIQDVSSQRKDRANLRRISSFEALQSATRSLPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLAQFRFTSPHLLAGGDGSKTATIVDDVYIHPSAKVHPTAKIGPNVSISANARIGPGARLICCIILDDVEVMENAVVIHSIVGWKSSIGRWSRVQAEGDYNAKLGVTILGEAVTVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Potri.015G070500.13.v4.1 pep chromosome:Pop_tri_v4:15:9599059:9604615:-1 gene:Potri.015G070500.v4.1 transcript:Potri.015G070500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070500.v4.1 MGSSEERVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKKIPNLAQIYLVGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRTYGGMGTILVIKVSAESASQFGELVADPDTNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIQDVSSQRKDRANLRRISSFEALQSATRSLPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLAQFRFTSPHLLAGGDGSKTATIVDDVYIHPSAKVHPTAKIGPNVSISANARIGPGARLICCIILDDVEVMENAVVIHSIVGWKSSIGRWSRVQAEGDYNAKLGVTILGEAVTVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Potri.015G070500.1.v4.1 pep chromosome:Pop_tri_v4:15:9599058:9604684:-1 gene:Potri.015G070500.v4.1 transcript:Potri.015G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070500.v4.1 MGSSEERVVAVIMVGGPTKGTRFRPLSLNIPKPLFPLAGQPMVHHPISACKKIPNLAQIYLVGFYEEREFALYVSSISNELKVPVRYLREDKPHGSAGGLYNFRDLIMEDSPSHIFLLNCDVCCSFPLPEMLEAHRTYGGMGTILVIKVSAESASQFGELVADPDTNELLHYTEKPETFVSDRINCGVYVFTPEIFTAIQDVSSQRKDRANLRRISSFEALQSATRSLPTDFVRLDQDILSPLAGKKQLYTYETMDFWEQIKTPGMSLKCSGLYLAQFRFTSPHLLAGGDGSKTATIVDDVYIHPSAKVHPTAKIGPNVSISANARIGPGARLICCIILDDVEVMENAVVIHSIVGWKSSIGRWSRVQAEGDYNAKLGVTILGEAVTVEDEVVVVNSIVLPNKTLNVSVQEEIIL >Potri.005G044400.5.v4.1 pep chromosome:Pop_tri_v4:5:2816909:2819859:-1 gene:Potri.005G044400.v4.1 transcript:Potri.005G044400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044400.v4.1 MVKAVAVLNSSEGVSGTIFFTQEGDGPTTVTGNLSGLKPGLHGFHVHALGDTTNGCMSTGPHFNPVGKEHGAPEDENRHAGDLGNVTVGDDGTATFTIIDKQIPLTGPHSIIGRAVVVHGDPDDLGKGGHELSKTTGNAGGRVACGIIGLQG >Potri.013G064700.1.v4.1 pep chromosome:Pop_tri_v4:13:4795064:4800601:-1 gene:Potri.013G064700.v4.1 transcript:Potri.013G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064700.v4.1 MGTLTSSSFSTVNLKLCSDLIVKDFRDRTQLKKWKKQSALCFSCKGGILKREACNFSKIRCFSVNNNNNNHHHDSEKDVVDNGSDSENDDKYSNVKAALSEEKEERSSTEFGSDKAQASVSSRPPTISPVGPAYNNFQVDSFKLMELLGPEKVDPADVKLIKDKLFGYSTFWVTKEEPFGDLGEGILFLGNLRGNREDVFAKLLSRLAEATGDKYNLFMVEEPNSDAPDPRGGPRVSFGLLRKEVSEPGPTTLWQYVIALLLFLLTTGSSVELGIASQINRLPPEVVKYFTDPNAVEPPDMELLFPFVDSALPLAYGVLGILLFHEVGHFLVAFPKKVKLSIPFCIPNITLGSFGAITQFKSIIPDRSTKVDISLAGPFAGAALSFSMFAVGLLLSSNPAAAGDLVQVPSMLFQGSLLLGLISRAILGYAALHASTVSIHPLVIAGWCGLTTTAFNMLPVGCLDGGRAVQGAFGKGALIGFGLTTYTLLGLGVLGGPLSLPWGIYVLICQRAPEKPCLNDVTEVGTWRKAAVTAAIFLVALTLLPVWDELAEELGIGLVSTF >Potri.006G219800.2.v4.1 pep chromosome:Pop_tri_v4:6:22505928:22508434:1 gene:Potri.006G219800.v4.1 transcript:Potri.006G219800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219800.v4.1 MKIAVDHNSCNSERIGCLATSSVERRKEMAEECSPSSSTTSSSSSIGKNSDLTDGGEDGLEENEVQSAYKGTLDSMEALEEVLPIRRGISNFYNGKSKSFTSLSDASSSPSIKDIAKPENAYTRKRRNLLAFSHVWEKTRSFPYRSGIAKRPISNSKSTLALAVAMSSSESISSASEDSTSTSKSPPNLPPLHPRSRASHNNLTSLPSPRQNFSPWRSFSLADLQQCTMVNSFCDKTDH >Potri.006G219800.3.v4.1 pep chromosome:Pop_tri_v4:6:22505894:22508457:1 gene:Potri.006G219800.v4.1 transcript:Potri.006G219800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219800.v4.1 MKIAVDHNSCNSERIGCLATSSVERRKEMAEECSPSSSTTSSSSSIGKNSDLTDGGEDGLEENEVQSAYKGTLDSMEALEEVLPIRRGISNFYNGKSKSFTSLSDASSSPSIKDIAKPENAYTRKRRNLLAFSHVWEKTRSFPYRSGIAKRPISNSKSTLALAVAMSSSESISSASEDSTSTSKSPPNLPPLHPRSRASHNNLTSLPSPRQNFSPWRSFSLADLQQCTMGNVFSFFMVLAPCACFELMECLKEYESSLVLFLSFCDAVRSSTTTIRC >Potri.005G011300.1.v4.1 pep chromosome:Pop_tri_v4:5:938608:942522:-1 gene:Potri.005G011300.v4.1 transcript:Potri.005G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011300.v4.1 MADSNENQQGKGKEQEQEQEQYGVLLYYKYTEIPDLNSLLSFYNSNCTSLSLLGRVRLSLHGVNVTVGGKLSSLEKHIEAVKAISLFEGTDFKLASCHFPLNDKVAHECGFTSLSIRIVKELVTFSPYPLVKAPDVSNAGRHLSAVEFHSALQSAGKLVDKESLADDKGLVLLDARNLYETRIGKFDMPNVDTLDPGIRQYSDLPSWIDDNSEQLRGKNVLMYCTGGIRCEMASAYIRSKGAGFENVFQLFGGIQRYLEQFPDGGFFKGKNFVFDHRISVGSSDTNILGTCLLCGLSFDDYSSRCRCSYCRMLVLVCDSCRKEEAVYACELCQKHGKVIESNVAENGEQQDILPQVELKTISSDTILSPQLHGEQGARPPRKLRILCLHGFRQNASGFKGRTASLAKKLKNIAELVFVDAPHELPFIYQSCVSELECSDESSFSSQQILPPTETCRGKFAWLIAPDSKGSSATDWKKADSPFDPLQYLQQTEGFDVSLSYLKTVFSRDGPFDGILGFSQGAAMAALLCAQKGRLKGDIDFRFAILCSGFALPFVEIESGSINCPSLHVFGCVPGKDRQIANKTSRELASLFEDGCSVIIEHDFGHIIPTRTPYIDEIKGFLQRFL >Potri.010G205400.2.v4.1 pep chromosome:Pop_tri_v4:10:19632065:19634005:-1 gene:Potri.010G205400.v4.1 transcript:Potri.010G205400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G205400.v4.1 MVFSSIPVYLDPPNWQQQHNNQPPAGAGNENPQLPPPSGPPTGGGDGGGTTAGSIRPGSMTDRARLAKIPLPEMALKCPRCESTNTKFCYFNNYSLTQPRHFCKTCRRYWTRGGALRNVPVGGGCRRNKRSKGSGRSKSPVKAGSSSSGGLSSNGGTTDITGNMTTPPPLLPIFPPLHHLGDYNSPDIGLNFGGILPSVGATAGGGGGVEFQMENSTSSNSVGGSVLSSGLVEQWRLQQVQHQVQQYPFLSNLEPSSGLYSFEGGGIGPSNYAGQIRSRPLDSTGVSQLASVKMEGNQGLNLSRNFLGISGNDQYWGGNNAWTDLPGFTSSSTSHLL >Potri.001G394701.1.v4.1 pep chromosome:Pop_tri_v4:1:41844593:41846492:1 gene:Potri.001G394701.v4.1 transcript:Potri.001G394701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394701.v4.1 MYDGHGKSQLERHCRQGYASGLISLKSEVYTGRVQESNYRSFQPSLSTSSRSFPFLTNWSNFKTLLFGLVQAMPTSPRT >Potri.006G245000.1.v4.1 pep chromosome:Pop_tri_v4:6:24579522:24586619:1 gene:Potri.006G245000.v4.1 transcript:Potri.006G245000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245000.v4.1 MDEARSPLSLLFRRRSGGDVTKNLASVSSSLLPAFGIDVDDGYLHLKKYVIAPYDRRYRWWQTFLVVLVVYSAWASPFELAFKKAATGGFLPVDLVVDAFFAADIVLTFFVAYLDNTTYLLVDDHKKIALRYITRLLFPMDVASTLPFHIIHRILTGKMHGEVFGFLNLLRLWRLKRVGELFKRLEKDTRFSYFWTRLFKLISVTLFVVHSAGCIYYWIAVHHDTEGNTWIGKHVEDFEQRSIWLGYTYSIYWSIVTLTTVGYGDLHAENTGEKVFNMFYMLFNIGLTAYIIGNMTNLVVRSAAHTFAMRNAIDQILRYASKNRLPEGLRKQMLAHLQLKFKTAELQQEEVLENLPKAIRSSIAQHLFHSIVAKTYLFKGVSEDLITQLVSEMKAEYFPPKVEIILQNEIPTEFYVLVTGAVDVLVYRNGTEQVLSKLVSSDMAGEIGVVFNIPQPFTVRTRRLSQVIRLSHHHLKQMAQPHSEDGKKIISNFIQYLKDLKREMQEEIPFLRELLEDTAVEKATSNEGLRSSEALNSQRETSVEGIQENSTPLPSTFPKRVIIHGHHPNETTGDTMGKLIHLPDSTENLFDMAETKFGKHGKKILMEDGSEVEELDALRENDHLFIF >Potri.002G135600.2.v4.1 pep chromosome:Pop_tri_v4:2:10213415:10215326:1 gene:Potri.002G135600.v4.1 transcript:Potri.002G135600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135600.v4.1 MALRAAVLRHIRVPVQTLRLKTNPCAPSGSVRLMSSHDDHLTKEEVVDRVVSVVKSFPKVDPSRVSPEVHFQKDLGLDSLDNVEIVMALEEEFKLEIPDKEADKIDSCNLAIEYIHNHPLAS >Potri.012G033600.1.v4.1 pep chromosome:Pop_tri_v4:12:3032469:3039153:1 gene:Potri.012G033600.v4.1 transcript:Potri.012G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033600.v4.1 MEDLWMVFCGGSGNLNIGEKPSSSSLVFQPTSCINHALIICFNVLLLIMLLFTFIQKSSSSPKIDKIPPRLQGYSRLQIVSAIFNGCIGFVYLCSGIWILEEKLRKKQTAFPLKSWLVVLFQGFTWLLVCLNISLRGKHLHRMLLRLLSILAFLFAVIVCALSIYSVILGKGILVKIALDVLSFPGAILLLLCVCKVHHHEGSDERDLYAPLNGEANGAIKTDSAVQVTPFAEAGFFNKISFWWLNPLMRKGGEKTLEDKDIPKLREVDRAESCYMEFLEQLNKQNQAESSQPSLLWTIILCHWKEILISGFFALLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLALTLFFSKNLESLSQRQWYFRSRLIGLKVRSLLTAAIYKKQLRLSNLGRLMHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSLQIFVSLLILYRAVGLATFAALVVIIITVLCNTPIAKLQHKFQSKLMAAQDERLKACNEALVNMKVLKLYAWETHFKNAIENLRAVEYKWLSAVQMRKAYNSFLLWSSPVLISAATFGACYFLKIPLHANNVFTFIAALRLVQDPIRSISDVIGVVIQAKVAFARIATFLEAPELQSGNTRQKCNKGTVKRSVLIKSADFSWEENPSKPTLRNVSLEMRHGEKVAVCGEVGSGKSTLLAAILGEVPLTQGTIQVYGRVAYVSQTAWIQTGTIQENILFGSEMDGQLYQDTLEHCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYIMGALSGKTVLLVTHQVDFLPAFDSVMLMAVGEILQAAPYHQLLSSSQEFQGLVNAHKETAGSERLTEGNDPQREGLPAREIKNSHIEKQHRTSQGDQLIKQEEKEVGDTGFKPYIQYLNQNKGYLYFSLAAFSHLLFAIGQISQNSWMATNVDDPHVSTLRLIAVYLCIGIISMLFLLCRSISVVVLGIQSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVASDLSIVDLDVSFSFIFVVGSTTNAYSNLVVLAVITWQVLFISIPMVYLAIRLQRYYFASAKEMMRINGTTKSLVANHLAESVAGAMTIRAFEEEERFFEKNLNLIDINATPFFHNFAANEWLIQRLETFSACVLASAALCMVLLPPGTFSSGFIGMALSYGLSLNISMVSSIQNQCMLANYIISVERLNQYIHVPSEAPEVIEDNRPPSNWPAVGKVDICDLQIRYRTDTPLVLQGISCTFEGGHKIGIVGQTGSGKTTLIGALFRLVEPAGGKIVVDGIDISKVGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTNQELWEVLGKCQLQEAVQEKDQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRILVLDEATASIDNATDLILQKTIRTEFSDCTVIIVAHRIPTVMDCTMVLAISDGKLVEYDEPTKLMKKEGSVFRQLVKEYWSHLHAAESHSS >Potri.003G111600.4.v4.1 pep chromosome:Pop_tri_v4:3:13388489:13397032:-1 gene:Potri.003G111600.v4.1 transcript:Potri.003G111600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111600.v4.1 MVIKMRVKEMHPLCCISLESSEVRSQSPDATLTRARSLPETFFGGSNGNVGRTEAGSKATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLGDDVRLIGEISTNRLLRLDSRGGSGRQKLQKTVGLVHLKISSFRESKSDDKRFYIFTATKTLHLRTDSKRDRVAWIRALVSTSSLFPSRSLNDSISLVPNDLSISTDRLKKRLLEDGINENIVKDCEQIMLSEFSEIQVQVKVLCEERSNLLDTLRQLEAANIENETSGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTELAVSGLSMTEVSKYSEKDRRFNQLDNEEKMNAEKRVCSSGYPNIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKAGNSDLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGILTLEFDDGEIFQWSKVTTTIYNLILGKLYCGHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDASGNKVASLFGKWDDSMYYTTGDETGKPKDRIPSSNATLLWKRNKPPLNLTRYNLTTFAITLNELTPGLQERLPPTDSRLRPDQRHLENGEYEKANAEKQRLERRQRMSRKLQEHGWKPRWFQKEGDNGSFRYGGGYWEAREQGNWDGCPNIFGEFNEDLVESSEGP >Potri.003G111600.1.v4.1 pep chromosome:Pop_tri_v4:3:13388486:13397100:-1 gene:Potri.003G111600.v4.1 transcript:Potri.003G111600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111600.v4.1 MVIKMRVKEMHPLCCISLESSEVRSQSPDATLTRARSLPETFFGGSNGNVGRTEAGSKATVAGVLYKWTNYGKGWRSRWFLLKNGVLSYSKIRRPPESINLGDDVRLIGEISTNRLLRLDSRGGSGRQKLQKTVGLVHLKISSFRESKSDDKRFYIFTATKTLHLRTDSKRDRVAWIRALVSTSSLFPSRSLNDSISLVPNDLSISTDRLKKRLLEDGINENIVKDCEQIMLSEFSEIQVQVKVLCEERSNLLDTLRQLEAANIENETSGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTELAVSGLSMTEVSKYSEKDRRFNQLDNEEKMNAEKRVCSSGYPNIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKAGNSDLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGILTLEFDDGEIFQWSKVTTTIYNLILGKLYCGHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDASGNKVASLFGKWDDSMYYTTGDETGKPKDRIPSSNATLLWKRNKPPLNLTRYNLTTFAITLNELTPGLQERLPPTDSRLRPDQRHLENGEYEKANAEKQRLERRQRMSRKLQEHGWKPRWFQKEGDNGSFRYGGGYWEAREQGNWDGCPNIFGEFNEDLVESSEGP >Potri.003G111600.3.v4.1 pep chromosome:Pop_tri_v4:3:13388486:13395471:-1 gene:Potri.003G111600.v4.1 transcript:Potri.003G111600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111600.v4.1 MLSEFSEIQVQVKVLCEERSNLLDTLRQLEAANIENETSGIPDGEYQLTKHEFSSLGRGKYSEYSTTESSDDIEKQELEEVSDEDGTSFYDTKEYFTELAVSGLSMTEVSKYSEKDRRFNQLDNEEKMNAEKRVCSSGYPNIERRKKLPDPVEKEKGVSLWSMIKDNVGKDLTRVCLPVYFNEPISSLQKCFEDLEYSYLLDRAYEYGKAGNSDLRILNVAAFAVSGYASSEGRHCKPFNPLLGETYEADFPDKGVRFFSEKVSHHPTLIACHCEGRGWKFWGDSNLRTKFWGRSIQLDPVGILTLEFDDGEIFQWSKVTTTIYNLILGKLYCGHHGMMHIQGNRQYSCKLKFKEQSILDRNPHQVQGFVEDASGNKVASLFGKWDDSMYYTTGDETGKPKDRIPSSNATLLWKRNKPPLNLTRYNLTTFAITLNELTPGLQERLPPTDSRLRPDQRHLENGEYEKANAEKQRLERRQRMSRKLQEHGWKPRWFQKEGDNGSFRYGGGYWEAREQGNWDGCPNIFGEFNEDLVESSEGP >Potri.013G096923.1.v4.1 pep chromosome:Pop_tri_v4:13:10078006:10078914:1 gene:Potri.013G096923.v4.1 transcript:Potri.013G096923.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096923.v4.1 MNSCKNLERIPCSVSGLKSLKRLDVSDWSELKNITENLGEVESLEEFDASGASIRQHPLSIFLLKNLRVLSFNGCKRIVVNLTDQVLPSFAENTNFRRTGFTRL >Potri.007G068000.1.v4.1 pep chromosome:Pop_tri_v4:7:8843194:8843632:-1 gene:Potri.007G068000.v4.1 transcript:Potri.007G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068000.v4.1 MYYLTLVAYYIYFNSSKVFYYLSLLIMALFYMFVFKESVRDTQKLAAWVCKQEARDLFCLQFEESATTSAAHLHDSNASYYS >Potri.015G067200.1.v4.1 pep chromosome:Pop_tri_v4:15:9286625:9291731:1 gene:Potri.015G067200.v4.1 transcript:Potri.015G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067200.v4.1 MGAVTAISFLFLNLLLLLLPDPSFSHPLCTDSRAPFTLNTTLSFCPYNGSSCCNSTHDLQLQKQFQAMNISDAACASLLKSILCAGCDPYSAELFTVSSVPRPVAVLCNSTVSANSSQSSQAANDFCSNVWDTCQNVNVLNSPFAPSLKGQTGSPANSSFSKLTVVWQSKGDFCNAFGGASTDESVCYAGEPVTLNNTETPSDPHGLCLEKIGNGSYLSMVAHPDGSNRAFFSSQQGKIWLATIPEQGSGETLGFDESSPFIDLTDEVYFNTEFGMMGMAFHPNFAQNGRFFASFNCDKVKWPGCTGRCSCNSDVNCDPSKLAPDNGAQPCQYQTVIAEYTANDTATKASLGTTAKPSEVRRIFTMGLPFTSHHGGQILFGPSDGFLYFMMGDGGGVGGDPHNFSQNKKSLLGKIMRLDVDNIPSAAEIDKLDLWGNYSIPKDNPYSEDSDLKPEIWALGVRNPWRCSFDSERPSYFMCADVGQDTYEEVNVITKGGNYGWRVYEGPNPFSPQQSPGGNTSSESISPIFPVLGYYHSDINKNEGSASITGGYFYRSQIDPCMYGRYLYGDLYAGAMWAGTETPENSGNFTTRKIPFSCGRDSPIQCTSLPGSALPSLGYIFSFGEDNRKDNFILASSGVYRVVRPSRCNYTCSKENVTAVGSPSPTSPPSNAGQLRGSFSRILALFSSLLLLLLGVI >Potri.010G002100.1.v4.1 pep chromosome:Pop_tri_v4:10:229685:231737:-1 gene:Potri.010G002100.v4.1 transcript:Potri.010G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002100.v4.1 MIGLSWQPKLPTLSSSTTKNIKNSVEPESSSALWKTNSQLVDVLFVPPNDPIKLNKLLKSQRKDTLGKDWFDMPASTITPELKRDLQLLKLRSAIDPKRHYKRGDSKSKELPKYFQVGTVVESATDFYSGRLTKKERKVTIADELLYDQTFSAYRKRKVREIEEKNRPGGNDKWKIKGKQSWKRAKERRH >Potri.012G037900.1.v4.1 pep chromosome:Pop_tri_v4:12:3383663:3386576:-1 gene:Potri.012G037900.v4.1 transcript:Potri.012G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037900.v4.1 MDREKLMKMATAVRTGGKGSVRRKKKAVHKTTTTDDKRLQSTLKRIGVNAIPAIEEVNIFKDDIVIQFLNPKVQASIAANTWVVSGSPQTKKLQDILPQVLGHLGPDNLDNLKKLAEQIQKQAPGAGAAAADAAAQDDDDDVPDLVPGETFEAAAEEDKAAAS >Potri.003G024900.3.v4.1 pep chromosome:Pop_tri_v4:3:2642840:2645131:-1 gene:Potri.003G024900.v4.1 transcript:Potri.003G024900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024900.v4.1 MSLVLKHCGSVSEEMSNSSENEVESLPQSIEEKHQELVVSHVPIVSSFNERIRPLLDAVDKLRHLQVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHTAPEPELSLEFNGKTVPTSEAKIANAISLATDEIAGNAKGISNTPLTLVVKKNGVPDLTMVDLPGITRVPVHGQPENIYEQIADIIMEYIRPEESIILNVLSATVDFTTCESIRMSQKVDKNGERTLAVVTKADRAPEGLLEKVTADDVNIGLGYICVRNRIGDESYKEARKEEADLFENHPLLSKIDKSMVGIPVLAQKLVQIQATIIARCLPEIVRKINEKLNASISELNRMPKTLSSVGEALTTFMGIVGSAKESLNKIIVRGEYDEYLEDKNMHCTARLVEMLNQYSGELHNCSANDLTGNFLMDEIQVLEEAKGIELPNFLPRTTFLSILQKKVEKVSHIPVAFVEKVWTYIEGVVISVLMHHSENYHQLQLSTRRAGHSLIARMKEHSRNWVTEIVQMEKLTDYTSNPEYMNDWNKLMAQQHDFTRNVLENGYVATFKIEGLGVVPIAGLRGYEQHVLLQAFDLKMRMTAYWKIVLRRLVDFMALHLQFCARNLVNKEMEEEIVQELVGRHDGAIERMLEESPAVAAKREKLNVSIKLLRESNNVLGNIMDKIASNI >Potri.003G024900.4.v4.1 pep chromosome:Pop_tri_v4:3:2642839:2644562:-1 gene:Potri.003G024900.v4.1 transcript:Potri.003G024900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024900.v4.1 MVDLPGITRVPVHGQPENIYEQIADIIMEYIRPEESIILNVLSATVDFTTCESIRMSQKVDKNGERTLAVVTKADRAPEGLLEKVTADDVNIGLGYICVRNRIGDESYKEARKEEADLFENHPLLSKIDKSMVGIPVLAQKLVQIQATIIARCLPEIVRKINEKLNASISELNRMPKTLSSVGEALTTFMGIVGSAKESLNKIIVRGEYDEYLEDKNMHCTARLVEMLNQYSGELHNCSANDLTGNFLMDEIQVLEEAKGIELPNFLPRTTFLSILQKKVEKVSHIPVAFVEKVWTYIEGVVISVLMHHSENYHQLQLSTRRAGHSLIARMKEHSRNWVTEIVQMEKLTDYTSNPEYMNDWNKLMAQQHDFTRNVLENGYVATFKIEGLGVVPIAGLRGYEQHVLLQAFDLKMRMTAYWKIVLRRLVDFMALHLQFCARNLVNKEMEEEIVQELVGRHDGAIERMLEESPAVAAKREKLNVSIKLLRESNNVLGNIMDKIASNI >Potri.003G130400.1.v4.1 pep chromosome:Pop_tri_v4:3:14868202:14875021:-1 gene:Potri.003G130400.v4.1 transcript:Potri.003G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130400.v4.1 MSGHDSKYFSTTKKGEIPELKEELNSQYKDKRKDAVKKVIAAMTVGKDVSSLFTDVVNCMQTENLELKKLVYLYLINYAKSQPDLAILAVNTFVKDSQDPNPLIRALAVRTMGCIRVDKITEYLCDPLQRCLKDDDPYVRKTAAICVAKLYDINAELVEDRGFLESLKDLISDNNPMVVANAVAALAEIQDNSVRPIFEITSHTLSKLLTALNECTEWGQVFILDALSRYKAADAREAENIVERVTPRLQHANCAVVLSAVKMILQQMEIITSTDVVRNLCKKMAPPLVTLLSAEPEIQYVALRNINLIVQRRPTILAHEIKVFFCKYNDPIYVKMEKLEIMIKLASDRNIDQVLLEFKEYATEVDVDFVRKAVRAIGRCAIKLERAAERCISVLLELIKIKVNYVVQEAIIVIKDIFRRYPNTYESIIATLCESLDTLDEPEAKASMIWIIGEYAERIDNADELLESFLESFPEEPAQVQLQLLTATVKLFLKKPTEGPQQMIQVVLNNATMETDNPDLRDRAYIYWRLLSTDPEAAKDVVLAEKPVISDDSNQLDPSLLDELLANIATLSSVYHKPPEAFVTRVKTAAQKTEDDEYAEGSEAGYSESSAHTADGAASPPTSASNVPYAGARQAAPAPSTSPPAAPLPDLMGDLLDMDNSAMVPVDQPSTPASPPLPVLLPAATGQGLQISAQLISRDGQIFYSLLFENNSQIPLDGFMIQFNKNSFGLAAAGPLQVPQLQPGTSAATLLPVALFQNMSAGPPSSLLQVAVKNNQQPVWYFNDKISLHVFFTEDGRMERGSFLETWRSLPDSNEVSKDFPGITVNGVEATLDRLAASNMFFIAKRKHANQDVFYFSAKMPRGIPFLTELTTVVGIPGIKCAIKTPNPEMASLFFEAIETLLKG >Potri.004G002400.1.v4.1 pep chromosome:Pop_tri_v4:4:155583:156194:-1 gene:Potri.004G002400.v4.1 transcript:Potri.004G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002400.v4.1 MATKVKKQSLGRQKIEIKKIENKSSLEVTFTKRRKGLFNKASELCILTGAEAAVIAFSPGKKAFAFGFPSVDTVIDRYISENTEEGRSVNTSASHHRVVQESRKQYAEALAKKEEEKKRVETMKEGGTVGFGRDRFWWDLSIEDMGLEELERYVASMEELKKNLELGLMSWRRQATLHPDSWIWIPASTQRVLIDIYESICMN >Potri.003G169200.2.v4.1 pep chromosome:Pop_tri_v4:3:17783919:17790922:1 gene:Potri.003G169200.v4.1 transcript:Potri.003G169200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169200.v4.1 MALPLGKLTILVGAGILGSVLAKEGRLPDVSNFVSGAFKIAFRQLKQDDSTSSVSKSSKPPNDSLMAQVNSLRQELQMLASSRPVTIVTANGTGSNKYGVVIVVVVVGYGYVWWKGWKLPDMMFATRRSLSDACTSIAQQLENVYASIRSTRRHLSSKIDGVDSNLNAVAELTASTQEKVIELREDSSRIGNDVRYVRDAVETLELKISRIEGKQDLTTQGVKRLCDYASSLENNLLEENIQTSASSSRLTFSSKAGALPAPSSEPSTPASIGSQEVQRPPRNAASPSSQQRSNGISGVAELASGLGISKGILTEEETSNGTSWFKPAFLMRTRSATNSVVQQTSSSRQQS >Potri.004G132200.2.v4.1 pep chromosome:Pop_tri_v4:4:15199460:15203815:1 gene:Potri.004G132200.v4.1 transcript:Potri.004G132200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132200.v4.1 MAFSNAKNFFQRFCSVEVHVEGVSHGSFFSSDLLPSLGARINRATKLRRYIISPYNSCYRAWEMWLVVLVVYSAWISPFEFAFLTSKKDALFIFDNVVNGFFAVDIVLTFFVACLDSHSYLLIDDPKKIAIRYISTWFIFDVCSTAPFQSLSLLFRNHGNGLGFNILSMLRLWRLRRVSALFARLEKDIRFNYFWTRCTKLVSVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPNFKEERLWNRYVTAMYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSRTRNFRDTVRAASEFAARNQLPPRIQEQMLSHICLKFKTEGLKQQETLNGLPKAIRSSIADYLFHPIAQRAYLFQGVSQDFLFQLVSEMEAEYFPPKEDVILQNEAPTDLYILVSGTVDLILYVDGREKVIGKAIAGDTFGEVGVLCSRPQPFTVRTFELSQILRLNGTALMSTIKANPEDGRVIMNHLSMKLRRPESMDSESQNREEWCSKRGCKDHLHGDLSVNKARETDSQGSKATRKSELGKGYDCTRHEGLVTAVEDSETALHAAVCEGHIEMVKILLEGGTNINKPDARGWTPKALAEQQGNKSIHDLLLNYENRNILNEHRIDFIESETVGDTKNNQGKHEGNTGPSFLISHSNKALTSSSSCISRCPHDREAKKSPKRVTIHMQLQNRSTLQSRPGKLIILPDSMEELLRIAGIRT >Potri.004G132200.5.v4.1 pep chromosome:Pop_tri_v4:4:15199457:15203775:1 gene:Potri.004G132200.v4.1 transcript:Potri.004G132200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132200.v4.1 MWLVVLVVYSAWISPFEFAFLTSKKDALFIFDNVVNGFFAVDIVLTFFVACLDSHSYLLIDDPKKIAIRYISTWFIFDVCSTAPFQSLSLLFRNHGNGLGFNILSMLRLWRLRRVSALFARLEKDIRFNYFWTRCTKLVSVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPNFKEERLWNRYVTAMYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSRTRNFRDTVRAASEFAARNQLPPRIQEQMLSHICLKFKTEGLKQQETLNGLPKAIRSSIADYLFHPIAQRAYLFQGVSQDFLFQLVSEMEAEYFPPKEDVILQNEAPTDLYILVSGTVDLILYVDGREKVIGKAIAGDTFGEVGVLCSRPQPFTVRTFELSQILRLNGTALMSTIKANPEDGRVIMNHLSMKLRRPESMDSESQNREEWCSKRGCKDHLHGDLSVNKARETDSQGSKATRKSELGKGYDCTRHEGLVTAVEDSETALHAAVCEGHIEMVKILLEGGTNINKPDARGWTPKALAEQQGNKSIHDLLLNYENRNILNEHRIDFIESETVGDTKNNQGKHEGNTGPSFLISHSNKALTSSSSCISRCPHDREAKKSPKRVTIHMQLQNRSTLQSRPGKLIILPDSMEELLRIAGIRT >Potri.004G132200.1.v4.1 pep chromosome:Pop_tri_v4:4:15199896:15204544:1 gene:Potri.004G132200.v4.1 transcript:Potri.004G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132200.v4.1 MAFSNAKNFFQRFCSVEVHVEGVSHGSFFSSDLLPSLGARINRATKLRRYIISPYNSCYRAWEMWLVVLVVYSAWISPFEFAFLTSKKDALFIFDNVVNGFFAVDIVLTFFVACLDSHSYLLIDDPKKIAIRYISTWFIFDVCSTAPFQSLSLLFRNHGNGLGFNILSMLRLWRLRRVSALFARLEKDIRFNYFWTRCTKLVSVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPNFKEERLWNRYVTAMYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSRTRNFRDTVRAASEFAARNQLPPRIQEQMLSHICLKFKTEGLKQQETLNGLPKAIRSSIADYLFHPIAQRAYLFQGVSQDFLFQLVSEMEAEYFPPKEDVILQNEAPTDLYILVSGTVDLILYVDGREKVIGKAIAGDTFGEVGVLCSRPQPFTVRTFELSQILRLNGTALMSTIKANPEDGRVIMNHLSMKLRRPESMDSESQNREEWCSKRGCKDHLHGDLSVNKARETDSQGSKATRKSELGKGYDCTRHEGLVTAVEDSETALHAAVCEGHIEMVKILLEGGTNINKPDARGWTPKALAEQQGNKSIHDLLLNYENRNILNEHRIDFIESETVGDTKNNQGKHEGNTGPSFLISHSNKALTSSSSCISRCPHDREAKKSPKRVTIHMQLQNRSTLQSRPGKLIILPDSMEELLRIAGEKFGGYKFTRVINAENAEIDGISVIRDGDHLFLLQDD >Potri.004G132200.4.v4.1 pep chromosome:Pop_tri_v4:4:15199463:15203816:1 gene:Potri.004G132200.v4.1 transcript:Potri.004G132200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132200.v4.1 MWLVVLVVYSAWISPFEFAFLTSKKDALFIFDNVVNGFFAVDIVLTFFVACLDSHSYLLIDDPKKIAIRYISTWFIFDVCSTAPFQSLSLLFRNHGNGLGFNILSMLRLWRLRRVSALFARLEKDIRFNYFWTRCTKLVSVTLFAVHCAGCFNYLIADRYPDPKRTWIGAVNPNFKEERLWNRYVTAMYWSITTLTTTGYGDLHAENPREMLFDIFYMLFNLGLTSYLIGNMTNLVVHWTSRTRNFRDTVRAASEFAARNQLPPRIQEQMLSHICLKFKTEGLKQQETLNGLPKAIRSSIADYLFHPIAQRAYLFQGVSQDFLFQLVSEMEAEYFPPKEDVILQNEAPTDLYILVSGTVDLILYVDGREKVIGKAIAGDTFGEVGVLCSRPQPFTVRTFELSQILRLNGTALMSTIKANPEDGRVIMNHLSMKLRRPESMDSESQNREEWCSKRGCKDHLHGDLSVNKARETDSQGSKATRKSELGKGYDCTRHEGLVTAVEDSETALHAAVCEGHIEMVKILLEGGTNINKPDARGWTPKALAEQQGNKSIHDLLLNYENRNILNEHRIDFIESETVGDTKNNQGKHEGNTGPSFLISHSNKALTSSSSCISRCPHDREAKKSPKRVTIHMQLQNRSTLQSRPGKLIILPDSMEELLRIAGEKFGGYKFTRVINAENAEIDGISVIRDGDHLFLLQDD >Potri.019G117500.1.v4.1 pep chromosome:Pop_tri_v4:19:14389394:14389907:-1 gene:Potri.019G117500.v4.1 transcript:Potri.019G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117500.v4.1 MSSASKAWLVAAAIGGVEALKDQGFCRWNYTLRSLHHHAKNHVRSASQAKKLSSSSSAMISNIVKEEKAKQSEESLRKVMYLSCWGPN >Potri.004G205100.1.v4.1 pep chromosome:Pop_tri_v4:4:21329511:21333162:1 gene:Potri.004G205100.v4.1 transcript:Potri.004G205100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205100.v4.1 MGGAGDSSESKVSNEQGQGQGEEGGVVINVRCSNGTKFTVRTSLESTVGVFKSVLARNCDVPADQQRLIYKGRILKDDQTLLSYGLQADHTVHMVRGFAPASSTPAPAAAATATAAAGGNPDTTTGVTPGVGSNEGGAFGLGGAGLGASLFPGLGSLGGGGGGATGSFGAGFSELEQVQQRLTQNPNMMREIMNTPAMQSLMNNPELIRSLMMSNPQMREIMDRNPELAHVLNDPSILRQTLEAARNPELMREMMRHTDRAMSNIESTPEGFNMLRRMYENVQEPFLNATTMGGNAGSDLGSNPFAALLGNQGGTQVRDASNNPSTTGSEATGGLTAPNTNPLPNPWNNAAGGTQTNSTTRPNPAGNVRASGLGDLGLGGLGLPGMESMFNGMPDSNQMNQLLQNPAVSQMMQTVLSNPEYMNQMLNFNPQLRSMVDSNPQLREMMQNPELLRQLTSPETMQQMLALQQSLLPQLRQQSTQEAAQPGAPTGTPNNTGLEMLMNMFGGLGAGSLSAPNQPDVPPEELYATQLSQLQEMGFFDTQENIRALRATAGNVHAAVERLLGNLGQ >Potri.004G205100.3.v4.1 pep chromosome:Pop_tri_v4:4:21329489:21333134:1 gene:Potri.004G205100.v4.1 transcript:Potri.004G205100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205100.v4.1 MVRGFAPASSTPAPAAAATATAAAGGNPDTTTGVTPGVGSNEGGAFGLGGAGLGASLFPGLGSLGGGGGGATGSFGAGFSELEQVQQRLTQNPNMMREIMNTPAMQSLMNNPELIRSLMMSNPQMREIMDRNPELAHVLNDPSILRQTLEAARNPELMREMMRHTDRAMSNIESTPEGFNMLRRMYENVQEPFLNATTMGGNAGSDLGSNPFAALLGNQGGTQVRDASNNPSTTGSEATGGLTAPNTNPLPNPWNNAAGGTQTNSTTRPNPAGNVRASGLGDLGLGGLGLPGMESMFNGMPDSNQMNQLLQNPAVSQMMQTVLSNPEYMNQMLNFNPQLRSMVDSNPQLREMMQNPELLRQLTSPETMQQMLALQQSLLPQLRQQSTQEAAQPGAPTGTPNNTGLEMLMNMFGGLGAGSLSAPNQPDVPPEELYATQLSQLQEMGFFDTQENIRALRATAGNVHAAVERLLGNLGQ >Potri.007G117050.1.v4.1 pep chromosome:Pop_tri_v4:7:13630712:13636654:-1 gene:Potri.007G117050.v4.1 transcript:Potri.007G117050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117050.v4.1 MLSGISKHHFGAYPALLLLVILARHQSCSAIKNCAPSSCGNIHNISYPFRLSTDPNNSCGNKKYELTCENNRPALYLNNGVKYYVQAIHYSNLTIRLVDADVQKDDCFSIPRHSLFIYDYFFAQKDYYLPYNRNSWFINNSISYRFYTSIFVFMSCPNPILSPPNYIVDASSCKNGSTLFNSASNISSPSSHNMEGYSYVLIVPSSVLTDSYSLRDIPDLCHVNLMYLASSTFDQPKNWTNNISYIDVHDSIVYGFDLSWSGVCCDFVKESPCKLDEANISLYCNVGLSVAPIRAVIGVLTNNMLSYLVVGPLNKYFRVGDWITYRTSITVGQPRLEFHYYKNGPNNNLYSKKTISSDTLSIFIVLLITLLVLLVLIGIYHILLFPCGLPCLITLLIYKWRRRHLSMYDNIEEFLQSHDHNLTLIRYSYSEIKKITHGFNDKLGEGGYGSVYKGKLRSGRFAAVKILRKEKANGQDFINEVATIGRIHHCNVVLLIGFTVEGSKRALIYEFMPNGSLEKYIFSRQGSIPLSNQKIYEISLGVARGIEYLHEGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPTNNSIVSLTMARGTMGYMAPELFYKSIGGVSYKADVYSFGMLLMEMVGRRKNLNALADHSSQMYFPSWIYDQVNKGKDILEDQATEHEKNTIKKMTIVALWCIQLKPIDRPSMHRVVQMLEADLESLQMPPKPFLVPQQTSNDDRINMANPTSLRDSSNVCSIDSSYQFGR >Potri.007G117050.2.v4.1 pep chromosome:Pop_tri_v4:7:13630712:13636654:-1 gene:Potri.007G117050.v4.1 transcript:Potri.007G117050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117050.v4.1 MLSGISKHHFGAYPALLLLVILARHQSCSAIKNCAPSSCGNIHNISYPFRLSTDPNNSCGNKKYELTCENNRPALYLNNGVKYYVQAIHYSNLTIRLVDADVQKDDCFSIPRHSLFIYDYFFAQKDYYLPYNRNSWFINNSISYRFYTSIFVFMSCPNPILSPPNYIVDASSCKNGSTLFNSASNISSPSSHNMEGYSYVLIVPSSVLTDSYSLRDIPDLCHVNLMYLASSTFDQPKNWTNNISYIDVHDSIVYGFDLSWSGVCCDFVKESPCKLDEANISLYCNVGLSVAPIRAVIGVLTNNMLSYLGPNNNLYSKKTISSDTLSIFIVLLITLLVLLVLIGIYHILLFPCGLPCLITLLIYKWRRRHLSMYDNIEEFLQSHDHNLTLIRYSYSEIKKITHGFNDKLGEGGYGSVYKGKLRSGRFAAVKILRKEKANGQDFINEVATIGRIHHCNVVLLIGFTVEGSKRALIYEFMPNGSLEKYIFSRQGSIPLSNQKIYEISLGVARGIEYLHEGCDMQILHFDIKPHNILLDENFTPKVSDFGLAKLYPTNNSIVSLTMARGTMGYMAPELFYKSIGGVSYKADVYSFGMLLMEMVGRRKNLNALADHSSQMYFPSWIYDQVNKGKDILEDQATEHEKNTIKKMTIVALWCIQLKPIDRPSMHRVVQMLEADLESLQMPPKPFLVPQQTSNDDRINMANPTSLRDSSNVCSIDSSYQFGR >Potri.018G146300.1.v4.1 pep chromosome:Pop_tri_v4:18:15036344:15044865:-1 gene:Potri.018G146300.v4.1 transcript:Potri.018G146300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146300.v4.1 MEATLPVCKSVTSTPGLFMKRNSGIRNSQCSFMVGTKVNFPRQRTQATQANHCAKKNGGALGVTCRAEKILVANRGEIAVRVIRTAHELGIPCVAVYSTIDKDALHVKLADESVCIGEAPSNQSYLVIQNVLSAAISRGCTMLHPGYGFLAENAVFVEMCREHGINFIGPNPDSIRVMGDKSTARETMKKANVPTVPGSDGLLQSTEEAVKLASEIGYPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYVQNPRHIEFQVLADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVAAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLQYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIDYHKLILDIEDFKNGNVDTAFIPKHEQELAAPQQIILANSAS >Potri.009G071400.1.v4.1 pep chromosome:Pop_tri_v4:9:7030528:7035652:1 gene:Potri.009G071400.v4.1 transcript:Potri.009G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071400.v4.1 MGRRILNDALRAIVNAERRSKSTVELQPISTVTSSFLKIMKDRGYIKNFHVYDPHRVGRITVELQGRVTDCRALTYRQDIKAKDIEAYRLRNLPTRQWGYVVVTTPDGILDHEEAISRNVGGQVLGYFH >Potri.010G126800.1.v4.1 pep chromosome:Pop_tri_v4:10:14400578:14404346:1 gene:Potri.010G126800.v4.1 transcript:Potri.010G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G126800.v4.1 MALASRPKKEIQRIGIKKSKNMLYSGTSLASAESLTVPLVQVVVLSADIRCAECQRRVADIMSRMNETESVSINVLEKKVTLTCRYPVVRVSTRQVAAVYRNPLGKMAVIKRIFRSYCP >Potri.012G117067.1.v4.1 pep chromosome:Pop_tri_v4:12:13575702:13576076:-1 gene:Potri.012G117067.v4.1 transcript:Potri.012G117067.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117067.v4.1 MLTCCVIYVLVHLIFLRLGWKKKVKGASTDGFEASIDPSVQHDVRKFEKGCKDVSISKEWDASAVRESLLFDIEKTKSKTN >Potri.016G023340.1.v4.1 pep chromosome:Pop_tri_v4:16:1305504:1306536:1 gene:Potri.016G023340.v4.1 transcript:Potri.016G023340.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023340.v4.1 MAEEVKVFRTWSSRFALRVIWALKLKGVEFDTIYEDLSNKSPLLLQYNPIHKKVPVLVHNGKVICESLVILEYIDETWKQNPLLPEDPHQQANARFWAKFGDDKVLQSIVWGVLMKEGKELEEGVLASLENLKYLEEEIRGKKFFGGETIGLADIALGWLAYYLDIIEEILGLKLIDQEKFPSLAAWKQEFANAPIIHENWPDRDKLVNKFVAMREAKLGKETPK >Potri.014G144800.2.v4.1 pep chromosome:Pop_tri_v4:14:9889310:9893931:1 gene:Potri.014G144800.v4.1 transcript:Potri.014G144800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144800.v4.1 MSRTLAAILGGAAGVMALVGAVIFLLWCLSHKKSVSRTSETGSSETSVQGRHAGIELSLQEARRFEMEELSLATKGFSEKNLMGFGKFGEVYKGLLNNGMVVAIKKRPGAPSPEFVDEVRYLSPIQHRNLVTLLGYCQENDLQFLVYEYIPSGSVSNHLYGPGQTLDGKLEFKHRLSIALGAAKGDPLQNQSAFWLSWFGSSSLSISPFGAQKFQNSQRSRG >Potri.014G144800.1.v4.1 pep chromosome:Pop_tri_v4:14:9889304:9893930:1 gene:Potri.014G144800.v4.1 transcript:Potri.014G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144800.v4.1 MSRTLAAILGGAAGVMALVGAVIFLLWCLSHKKSVSRTSETGSSETSVQGRHAGIELSLQEARRFEMEELSLATKGFSEKNLMGFGKFGEVYKGLLNNGMVVAIKKRPGAPSPEFVDEVRYLSPIQHRNLVTLLGYCQENDLQFLVYEYIPSGSVSNHLYGPGQTLDGKLEFKHRLSIALGAAKGLAHLHSLSPRLVHKNFKTANVLVDENFIAKVADAGLRNFLGKVDIAGPSTQVTSDEIFLAPEVREFRRFSEKSDVFSFGVFLLELLSGKEAAEPSPGTNQNLVEWVQNTQDYANFASIVDQRLGSSFTAEGMEEFIQLMLRCVEPSSERRPAMNYVVMELDRIIEKEMNLTTVMGEGTPTVTLGSHLFRSAI >Potri.012G072700.1.v4.1 pep chromosome:Pop_tri_v4:12:9649587:9652802:1 gene:Potri.012G072700.v4.1 transcript:Potri.012G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072700.v4.1 MDPPLINEKSFSAANPSSYSLTEIWPFPPPSSTALGLRMANLADRDGSVDESTVTEQRGGNRNGNRKARDLSSEEDDSSIMVSTTTSAHDLNDLNGKRRKISGSRNENNDSRAEIEASSAANNKPAEPSSKPSEPPMQDYIHVRSRRGQATDSHSLAERARRERIGERMKILQDLVPGCNKVIGKALALDEIINYIQSLQCQVEFLSMKLEAVNSRMSTSPAIEGLHPKDLGAQPFDATGMIFGPQPTRDYVQGSQPEWLHMQVGGSFKRAT >Potri.016G000800.1.v4.1 pep chromosome:Pop_tri_v4:16:38185:38613:-1 gene:Potri.016G000800.v4.1 transcript:Potri.016G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000800.v4.1 MASLLLQPMVSLCGQVDFSFASAWHPEGRIFATGNQDKPCLIWDARNLSKSVAVLKGNLGAIRSTRFTSDGQFMAMEEPADFCMCMIRRFEKEQERDFFGEISVVSFSPDTESLFIGVWDRNYGSLFQYNRCRSYSYLDSLM >Potri.014G060000.1.v4.1 pep chromosome:Pop_tri_v4:14:3834633:3838030:-1 gene:Potri.014G060000.v4.1 transcript:Potri.014G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060000.v4.1 MDVKQAGSSLDSLISSFNTRIAELQELVIARNMYPASSVTDLKAVDAALKAMELQVQAIKDRLREETLAIPKAKKLIEASLRQQKKLQSMSVHVPERTTFSNSEINNRSILPEASNQLPGFGPLKPEEPVATHKEKKGRSSPPLWYITAAELDSLSSYMRGRLTLDKVNAAINDMAAYADSNSQLITAPKKKLAENLWEKALELRDIAMTESIKGKHFFLETDMKGPSLKLDNTGKAILTVLRHLGRISETRIGHHRVIILSKPQT >Potri.014G060000.2.v4.1 pep chromosome:Pop_tri_v4:14:3834550:3838030:-1 gene:Potri.014G060000.v4.1 transcript:Potri.014G060000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060000.v4.1 MDVKQAGSSLDSLISSFNTRIAELQELVIARNMYPASSVTDLKAVDAALKAMELQVQAIKDRLREETLAIPKAKKLIEASLRQQKKLQSMSVHVPERTTFSNSEINNRSILPEASNQLPGFGPLKPEEPVATHKEKKGRSSPPLWYITAAELDSLSSYMRGRLTLDKVNAAINDMAAYADSNSQLITAPKKKLAENLWEKALELRDIAMTESIKGKHFFLETDMKGPSLKLDNTGKAILTVLRHLGRISETRIGHHRVIILSKPQT >Potri.003G204801.3.v4.1 pep chromosome:Pop_tri_v4:3:20384486:20386409:-1 gene:Potri.003G204801.v4.1 transcript:Potri.003G204801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204801.v4.1 MRPEAQLAASFLRSLGISSIMTKGDNWAAATAIAKEVGIEDVYAEIDPVGKAAKIKELQMKGMNVAMVGDGINDSPALLVADVGMEIGAGTDVAIEAADIALIRSNLEDVVSAIDLSRKTISRIRINYFWALGYNRISLPIAAGILYPFTGIRLPPWLAGACMAASSLNLEIMGFFYKYWRLSL >Potri.014G109000.1.v4.1 pep chromosome:Pop_tri_v4:14:7306133:7306908:-1 gene:Potri.014G109000.v4.1 transcript:Potri.014G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109000.v4.1 MATSKIVAPLCLMVLVFGLCLPKAQSQDVCAGVERPDPETIPCTINCFVPDPVCGTDGVTYSCGCLDAFCHGVDVVKEGEC >Potri.001G276104.1.v4.1 pep chromosome:Pop_tri_v4:1:28975139:28977261:-1 gene:Potri.001G276104.v4.1 transcript:Potri.001G276104.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276104.v4.1 MQSGSFLKRNPIPIKFQVLRLQELLSCHTMVIGMCMTHLFISDKNDEEHFQQL >Potri.011G111400.2.v4.1 pep chromosome:Pop_tri_v4:11:14136086:14140960:1 gene:Potri.011G111400.v4.1 transcript:Potri.011G111400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G111400.v4.1 MASSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEAEAVETAKEWTRLYASGA >Potri.016G140250.1.v4.1 pep chromosome:Pop_tri_v4:16:14348307:14349679:-1 gene:Potri.016G140250.v4.1 transcript:Potri.016G140250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140250.v4.1 MAEKRINLSSVWTNATLSEKVLSTIYGLTSSRQLWTALANRFAPPSPSRISHLKRLFYRPRQPCQIYGKTNHQALDCYNRMHFSYQGRHPPSQLAALTAQSNVHITDDLDHLSL >Potri.001G426750.1.v4.1 pep chromosome:Pop_tri_v4:1:45538938:45539698:-1 gene:Potri.001G426750.v4.1 transcript:Potri.001G426750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426750.v4.1 MAIESVGESIISKIAELLLEPAIRKFRYTFCFNDFFVEFNKQKQILAFALDRIQNDVKAAERNAEEIEKDVSKWLEDANNEIEAVNCLENEIEKHGKFFTWCPNWIQKFKLSKALAKKTVTLRNLEENTKKVFNSVHKAPLQDIEFLPSMEFTPSKSSQEAFVTMG >Potri.001G286500.1.v4.1 pep chromosome:Pop_tri_v4:1:29909443:29912098:1 gene:Potri.001G286500.v4.1 transcript:Potri.001G286500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286500.v4.1 MGFNILIPSPLPSTKTILYTKPTFPKLVSALQSIPNSFTKTHFKFSSPKPTAHSILAAGFVVSIYQTKRQFRGGGVIAMAAPGSVQKSEDEWRAVLSPEQFRILRQKDTEYPGTGEYDKLFEEGVYKCAGCGTPLYRSTTKFNSGCGWPAFYEGLPGAINRTPDPDGRRIEITCTACGGHLGHVFKGEGFPTPTNERHCVNSISLKFAPADSSL >Potri.009G083800.1.v4.1 pep chromosome:Pop_tri_v4:9:7884955:7886618:-1 gene:Potri.009G083800.v4.1 transcript:Potri.009G083800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083800.v4.1 MSTTFKFVVFIGLLITNTFQVSFSSVISTGDFNKDFSVAWSPSHVYTTANGRTRSLKLDQESGSGFASNQMFLFGQIDMQIKLVPGHSAGTVVAFYLSSDQPKRDEIDFEFLGNVSGQPYILQTNVYADGNDDREERIYLWFDPTKNFHTYSVLWNLHQIVFMVDWIPIRLYRNHADKGVAYPRWQPMSIKASLWNGDSWATRGGKDKIDWSKGPFIASFRNYKIDACPWNGNPRFCRAESSTNWWNKERYSTLTSTQRRWFKWVRLHHMIYDYCQDNKRFQNNLPKECSLPKY >Potri.010G022201.1.v4.1 pep chromosome:Pop_tri_v4:10:3249965:3250997:-1 gene:Potri.010G022201.v4.1 transcript:Potri.010G022201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022201.v4.1 MLNIFCDICIKAIEKGMQSNTHFDKAGWKYEIRRARTFRHSVSIPYYVVNMISCERRKNATNEDPHLEEGSGDSEEDTLPNFVEDVNNMVADVNFANSSSNPSSSSSSEKRKGMGAQLFSRLDRLVDSVSTRSECTSSGWDKKGCSIEEVMKEFYSIEKVVFGSELYCFATEFFMVRSMREMWAAIGDKERKFQWLKLMFERRSNVKP >Potri.010G197000.1.v4.1 pep chromosome:Pop_tri_v4:10:19057249:19057812:1 gene:Potri.010G197000.v4.1 transcript:Potri.010G197000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197000.v4.1 MEENKILWLALTLYMIAAPVYCRYHSQSVPYVSLRKKVSKLHFFFHDRISGKNPTSVLIARPNITKEDKSPALPFGSLFAVYDPLTVGPEPTSEVIGHAEGLYVSSSQDVLTLVTYLDFGFTSGRFNGSSLSLFSRNAVTEKEREVAVVGGRGKFRMATGFARLKTRFTNETASGTVVECRATVVHH >Potri.005G092700.8.v4.1 pep chromosome:Pop_tri_v4:5:6464462:6477854:1 gene:Potri.005G092700.v4.1 transcript:Potri.005G092700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092700.v4.1 MVSDEKHENKVFQKKRRAQPDHGKSELEATENANPAKKSKRVDVADDITKGPFSENMSVSPSSNVVDDQAAKRSMAHGKREILLGLRARSDKAKSDAFAQTSKVKSNLSSQSGKVKSGTSAKMSKVDSDASAQTVKVKSDASAQWGNTNTDVSVQISKVKLDSTAEIGKAKPDVPDPTSKAKSDVSNDEAVLPVLKRRRRAMEAMCDAAALNSDDRMEKNALELKSDMVSINARVSITQQPKRRRAVCLYDNDDEDEEPKTPVHGGAAKNGREPVSVSDASKRTNARIESSVNQQQRNSINAQTSIKDSTGLENIHSKESSSLLQNNPRSPSYPKTVKRNDTHISPSPGKSEPEQLLSKEAKPITTTPKRSPHLLSATKPIVEQHKAINPAVKVSTPGTQKKAQAGPGKVSGPVLDSSNASQNLAPSQKSRAAFSGERPKSTPKATSQMSNLTVPMGALSELEVGMDDRPSFLVDSKTPDSVTSMKHLIAAAQEKRRQAHLQSFPLGNPAFIALNNAQGRSPSSSPSQLLLSGTSNAAQADMQGFYHRTDLVSPSTHGRQSASHDQVEGEEIEERRVSSGHRAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDIFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPAGASARENRRQCLKVLRLWLERKILPESVLRRYMDDIGGSNDDTSSGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLSSHVFEDDDEDFPSSPFKEGDGALGVTGSIHALGDLEISTATPSDRRHCILEDVDVELEMEDVSGHQKDERPSSTGGSFEMEPQQHCSDGPEPALNDSAELLPQPDDSPPPPLDSPPPPPPLPTSPPPPLPLSPPPSTSPSPPPPPPLPPPPPPLPSQPPPLPSQPPPPLPPVPTMVLQPPVPTQPLLPAKPIQPSHSSVQPSPQLAYQQAVPHEYCTTPNSNQIVQMAGGTPHGNHMFLNPQAPQQNPHFQPVNAPFPQRPLHPNLAQTASGHFSFTKPLIQQHPQHPYPRPYPMLSHPDGRPRFATDEQWRMPSSEYADGQHGAWMSGRNPSHAGPSFGQEGYFRPPPPNNMGFQVAPTNNLPAGAPIPGHGVSQMLPCRPDMPSLNCWRPAQ >Potri.005G092700.6.v4.1 pep chromosome:Pop_tri_v4:5:6462090:6472375:1 gene:Potri.005G092700.v4.1 transcript:Potri.005G092700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092700.v4.1 MAPGRKKGANNKKVQLRLGDLVLAKVKGYPSWPAKISRPEDWKRAPDAKKVFVYFFGTQEIAFVAPSDIQVFTNEVKNKLSARCQSKKDKFFSQAVKEICAAFEELQKGKSSGLGDTTDRSAPGSEAPSVDSMEEDEAEDDLNEDMGKVGQSGEVWNLRREYSSKLERCSSRRDEAGSEDMKPSVSGDADDSSSPGISSEKKVKMFDSAQPQEVLSASSLDNVCCVKVEASCNGNLDLNCNKNLGTGEGAWTNPHESKTVFSGAERKLECNSREQVIGGEKGKLASGSIKDPPPGPPKSELDANGGRKVKELSKVKKGTMVSDEKHENKVFQKKRRAQPDHGKSELEATENANPAKKSKRVDVADDITKGPFSENMSVSPSSNVVDDQAAKRSMAHGKREILLGLRARSDKAKSDAFAQTSKVKSNLSSQSGKVKSGTSAKMSKVDSDASAQTVKVKSDASAQWGNTNTDVSVQISKVKLDSTAEIGKAKPDVPDPTSKAKSDVSNDEAVLPVLKRRRRAMEAMCDAAALNSDDRMEKNALELKSDMVSINARVSITQQPKRRRAVCLYDNDDEDEEPKTPVHGGAAKNGREPVSVSDASKRTNARIESSVNQQQRNSINAQTSIKDSTGLENIHSKESSSLLQNNPRSPSYPKTVKRNDTHISPSPGKSEPEQLLSKEAKPITTTPKRSPHLLSATKPIVEQHKAINPAVKVSTPGTQKKAQAGPGKVSGPVLDSSNASQNLAPSQKSRAAFSGERPKSTPKATSQMSNLTVPMGALSELEVGMDDRPSFLVDSKTPDSVTSMKHLIAAAQEKRRQAHLQSFPLGNPAFIALNNAQGRSPSSSPSQLLLSGTSNAAQADMQGFYHRTDLVSPSTHGRQSASHDQVEGEEIEERRVSSGHRAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDIFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPAGASARENRRQCLKVLRLWLERKILPESVLRRYMDDIGGSNDDTSSGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLSSHVFEDDDEDFPSSPFKEGDGALGVTGSIHALGDLEISTATPSDRRHCILEDVDVELEMEDVSGHQKDERPSSTGGSFEMEPQQHCSDGPEPALNDSAELLPQPDDSPPPPLDSPPPPPPLPTSPPPPLPLSPPPSTSPSPPPPPPLPPPPPPLPSQPPPLPSQPPPPLPPVPTMVLQPPVPTQPLLPAKPIQPSHSSVQPSPQLAYQQAVPHEYCTTPNSNQIVQMAGGTPHGNHMFLNPQAPQQNPHFQPVNAPFPQRPLHPNLAQTASGHFSFTKPLIQQHPQHPYPRPYPMLSHPDGRPRFATDEQWRMPSSEYADGQHGAWMSGRNPSHAGPSFGQEGYFRPPPPNNMGFQVAPTNNLPAGAPIPGHGVSQMLPCRPDMPSLNCWRPAQ >Potri.005G092700.1.v4.1 pep chromosome:Pop_tri_v4:5:6462090:6477859:1 gene:Potri.005G092700.v4.1 transcript:Potri.005G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092700.v4.1 MAPGRKKGANNKKVQLRLGDLVLAKVKGYPSWPAKISRPEDWKRAPDAKKVFVYFFGTQEIAFVAPSDIQVFTNEVKNKLSARCQSKKDKFFSQAVKEICAAFEELQKGKSSGLGDTTDRSAPGSEAPSVDSMEEDEAEDDLNEDMGKVGQSGEVWNLRREYSSKLERCSSRRDEAGSEDMKPSVSGDADDSSSPGISSEKKVKMFDSAQPQEVLSASSLDNVCCVKVEASCNGNLDLNCNKNLGTGEGAWTNPHESKTVFSGAERKLECNSREQVIGGEKGKLASGSIKDPPPGPPKSELDANGGRKVKELSKVKKGTMVSDEKHENKVFQKKRRAQPDHGKSELEATENANPAKKSKRVDVADDITKGPFSENMSVSPSSNVVDDQAAKRSMAHGKREILLGLRARSDKAKSDAFAQTSKVKSNLSSQSGKVKSGTSAKMSKVDSDASAQTVKVKSDASAQWGNTNTDVSVQISKVKLDSTAEIGKAKPDVPDPTSKAKSDVSNDEAVLPVLKRRRRAMEAMCDAAALNSDDRMEKNALELKSDMVSINARVSITQQPKRRRAVCLYDNDDEDEEPKTPVHGGAAKNGREPVSVSDASKRTNARIESSVNQQQRNSINAQTSIKDSTGLENIHSKESSSLLQNNPRSPSYPKTVKRNDTHISPSPGKSEPEQLLSKEAKPITTTPKRSPHLLSATKPIVEQHKAINPAVKVSTPGTQKKAQAGPGKVSGPVLDSSNASQNLAPSQKSRAAFSGERPKSTPKATSQMSNLTVPMGALSELEVGMDDRPSFLVDSKTPDSVTSMKHLIAAAQEKRRQAHLQSFPLGNPAFIALNNAQGRSPSSSPSQLLLSGTSNAAQADMQGFYHRTDLVSPSTHGRQSASHDQVEGEEIEERRVSSGHRAAGGSLSGGTEAAVARDAFEGMIETLSRTKESIGRATRLAIDCAKYGIANEVVELLIRKLESEPSFHRKVDIFFLVDSITQCSHNQKGIAGASYVPTVQAALPRLLGAAAPAGASARENRRQCLKVLRLWLERKILPESVLRRYMDDIGGSNDDTSSGFSLRRPSRAERAIDDPIREMEGMLVDEYGSNATFQLPGFLSSHVFEDDDEDFPSSPFKEGDGALGVTGSIHALGDLEISTATPSDRRHCILEDVDVELEMEDVSGHQKDERPSSTGGSFEMEPQQHCSDGPEPALNDSAELLPQPDDSPPPPLDSPPPPPPLPTSPPPPLPLSPPPSTSPSPPPPPPLPPPPPPLPSQPPPLPSQPPPPLPPVPTMVLQPPVPTQPLLPAKPIQPSHSSVQPSPQLAYQQAVPHEYCTTPNSNQIVQMAGGTPHGNHMFLNPQAPQQNPHFQPVNAPFPQRPLHPNLAQTASGHFSFTKPLIQQHPQHPYPRPYPMLSHPDGRPRFATDEQWRMPSSEYADGQHGAWMSGRNPSHAGPSFGQEGYFRPPPPNNMGFQVAPTNNLPAGAPIPGHGVSQMLPCRPDMPSLNCWRPAQ >Potri.008G142900.1.v4.1 pep chromosome:Pop_tri_v4:8:9655052:9657090:-1 gene:Potri.008G142900.v4.1 transcript:Potri.008G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142900.v4.1 MVSTLNSPKTLKSETSFPMAIPSSSPDWSVPSINSCRKPHKVIFTQTCKNLHFLPLPCFNIPVFHVNSSSCPSPIIEEESSTNSPVIPLDLRLEDTQHYPIPDHSDKLNDFLCGVLRDPKSEELAYEYYKKAKEKQEFRPKRPMLKLLIRYLIQSEKWGLVLPVADDFKKYSVLPDSYTFSTLVSSCIRARKFKIVEGLLEISISDSKIAVLAFDSAMKGYNKLHMYGSTLSVHEKMKLAGIPLDSGCYFQTMKARHKLGDAERVVEMFNEFESRKIDSKPMILSQMYKILCESLGRSGQVFEALEYFRDMKKKGILEDSSMYSSLICSLVNIREVKLAEELYKEAQEKRMLKDPETFLKLVLIYMEEGQMEKTVEIVKEMKGTAKLKVSDCIFCAVVNGFSKRRGFDAAVKVYEELKYDGCEPGQVTYASVINAYCRVGLYSKAEVVFFEMEAKGFDKCVVAYSSIISMYGKTGRPRDAMRLVAKMKLKGCQPNTWIYNSLVDMHGRAKDLRRVEKLWKEMKRRKVAPDKVTYTSIISAYSKSKEYEMCVRFFHEYRINGGVIDGAIAGIMVGVFSKISRIDELLKLLRDMKSEGAPIDERLYVSATNAMVAKELRGKVT >Potri.007G054200.1.v4.1 pep chromosome:Pop_tri_v4:7:5619590:5622153:1 gene:Potri.007G054200.v4.1 transcript:Potri.007G054200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G054200.v4.1 MGEERVDMIVVREFDPRKDGVGVEEVERRCEVGPGGKLSLFTDLLGDPICRVRNSPAFLMLVAEIGEEIVGMIRGCIKTVTCGKKLSRTVKNNYSYNVINNNDLSKPVPVYTKVAYILGLRVSPSHRRMGIGLKLVHQMEDWFRQNGAEYSYIATENDNHASVKLFTDKCGYSKFRTPSILVNPVFAHRVPVSNRVTIIKLTPHDAELLYRRRFATTEFFPRDIDSVLKNKLNVGNFLAVPRGSLKSGSWAGPDSFLSDPPESWAVLSVWNCKDVFRLEVRGASRVKRTFAKTTRVVDKALPFLRLPSVPAVFRPFGLYFMYGLGGEGPRAAKMMKALCGHVHNLAKESGCGVVVTEVANREPLKLGIPHWKMLSCAEDLWCIKRLGEDYSDGSVGDWTKSPPGLSIFVDPREF >Potri.014G164100.1.v4.1 pep chromosome:Pop_tri_v4:14:11852038:11853807:-1 gene:Potri.014G164100.v4.1 transcript:Potri.014G164100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164100.v4.1 MPAARSNTSDRDDEPFVEVDPTGRFGRYNDLLGAGAVKKVYRAFDQHEGIEVAWNQVRLRNFIEDPVLINRLHSEVQLLRTLKNKYIIVCYSVWLDEEDTSLNFITEVCTSGNLRDYRKKHRHVSLKALKKWSKQVLEGLEFLHTHDPCVIHRDLNCSNIFVNGNSGQVKIGDLGFATIVGKSHTAHSILGTPEFMAPELYEEDYTEMVDIYSFGMCLLEMVTMEIPYSECDNVAKIYKKVTSGVKPQALNKVADPEVKAFILKCIAEPRARPSASDLLKDTFFSEVNDDETVPATA >Potri.013G151300.1.v4.1 pep chromosome:Pop_tri_v4:13:14764243:14765237:-1 gene:Potri.013G151300.v4.1 transcript:Potri.013G151300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151300.v4.1 MKQQYSIFSFSMLLLSLCYINTFAQSPTAAPAQAPAVVVAQPPVATPTQAAAPHGITNVTKILEKAGHFTIFIRLLRSTQEENHLFSALNDSSSGVTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVVPTFLSTSQFQTVSNPLGTWAGTGSRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFGSNAPAPAPVQAPAREKPTKAVPSANVESPVAPVDISSAVTFMHNNVVGSLVIVAAAMFACNVEGF >Potri.004G214100.3.v4.1 pep chromosome:Pop_tri_v4:4:22067495:22070187:-1 gene:Potri.004G214100.v4.1 transcript:Potri.004G214100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214100.v4.1 MSSSYPFLFKAMSSQQFTLPSSWHLKASPSPSSTPRPSITKTSNAQPSSEPDIFAKVRESGLDIRYTTVSDGLPIGFDRSLNFDQFTAALLHVFSAHVDEVVGQIVKSDDSIRCLIADTFFVWPSKIAKKFGLLYVSFWTEPALVFSLYYHMDLLMINGHFACQDCREDTIDYIPGVKAIEPKDMLSYLQETDTTSISHQLIFKAFNDARNADFLLCNTVQELEVETLSALQAKMPCYAIGPIFPNGFTKSFVATSLWSESDCTQWLDKKPHGSVLYVSFGSFAHVAKRDLVEIAKGLSLSKVSFVWVLRPDIVSSDDADPLPGGFEEEVADRAMIIPWCSQRAVLAHPAIGGFLTHCGWNSVLESIWCQVPLLSLPLQTDQFTNRKLVVDDWKIGFNLSDGKFVTKEEVSYNVKRLMSGKSEDGFRNTIKEMKKTLENALSCNGSSEINMARFVKDLRTKISEKMKPVDRSACTGSQNH >Potri.016G086200.1.v4.1 pep chromosome:Pop_tri_v4:16:6771504:6775638:1 gene:Potri.016G086200.v4.1 transcript:Potri.016G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086200.v4.1 MVDMRGVNTWQDELASLVENSGIQYTGEPIGIMAAPPPILASTRMMESETETESLKEQVTGFLKSWGEMLVDLGKGCKDIVTQSNLVSEDSFIVQKLGKPMAKASGRLKYLNEFLPEDRDPAIAWPVIFFVLLLALAAISGNSTNNSLVPSVKKMRVHPPSATRILLPDGRHMAYLEQGVPADRARFSVIAPHSFLSSRLAGIPGVKTSLLEEFGVRLVSYDLPGFGESDPHTRRNLNSSAMDMLYLADSVGILGKFWVLGYSSGSMHSWAALRYIPDRIAGAAMFAPMINPYEPSMTKEEMRRTWDQWSSRRKLLYFLARKFPKFLPYFFHQSFLSGNHGRIDKWMSQSLGKKDEILIEGPMFEEFWHRDVEESVRLGIAKPFIEEAVLQVSNWGFSLADLHVQRKCLRNGILLWLRSMYSQEECEWAGFLGPIHIWQGMDDQAVPSSMTDYITRVLPRAILHKLPNEGHFSYYFFCEECHRQIFSTLFGDALGPLNKMAEIDETSLEAVAEEASSITGSARK >Potri.009G162200.2.v4.1 pep chromosome:Pop_tri_v4:9:12499668:12500000:-1 gene:Potri.009G162200.v4.1 transcript:Potri.009G162200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G162200.v4.1 MSSYNLLQVRASLFSFCSWSSMGNKPTKQEREVVFPLAQDRQEILLKVVPPLDHAYLRWLARDLERVHGFTPGNCRAVTPPDHYTEYMRLQGWLDVNLDDPDLAHLFPGK >Potri.009G162200.1.v4.1 pep chromosome:Pop_tri_v4:9:12499499:12499976:-1 gene:Potri.009G162200.v4.1 transcript:Potri.009G162200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G162200.v4.1 MGNKPTKQEREVVFPLAQDRQEILLKVVPPLDHAYLRWLARDLERVHGFTPGNCRAVTPPDHYTEYMRLQGWLDVNLDDPDLAHLFPGK >Potri.019G014358.1.v4.1 pep chromosome:Pop_tri_v4:19:2255052:2264454:1 gene:Potri.019G014358.v4.1 transcript:Potri.019G014358.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014358.v4.1 MEGFQPSFMEEVDNMTWDQLGFQSEEDTFNMSELLSPPPEVPVNQSSISCNDQGGQNNLINDSIQQTTQFPTSFPETMMEGFPPSSMDDLESLFLSPAPEVPVNQGSILCNDQGGHKNVINDSIQQTTQFPTSFPETMTGHGYMTPNATTSQHGEFNQPGPSSLAPWYQPNPNLFDPQCANPLRDQQLTNYQLPMSNQVPAMLPGPHPPMNQWIQHFPNQGQVDQAFAIPNIDNMQQENFVPQNFDNSTRSQMDNLRVRGLQNQTARPNASNPGLGTSLQSQNRGLNTQQVEMVGSNDPFWNYVEDGADDGSMKCMFCPHTYAIKTSISRIKWHLSGERGNGVAICRGVTKEVQEAAFQAMCGGNKRHKNTASSINVNDCGISTMVGGIGRVQREVQVVEPGVGEERITSHAIAGNDVVSMTGMRAPEDGVSEGALESRLRTEPADRALEQSNAVLGNLAGVQGVLEQGAGEERINRVRQRTEPVEEDVENSQRSVQFGAGARSSESLKYNKTRGVPLPTSSTKPVGQAFEENTKVIWSLLMDGDVSIIGIYGMGGVGKSRILQHIHNELLQQPDICDHVWWVTVSQDFSINRLQNLIAEHFDLDLSRKNDELHRAAELSEKLKKKQK >Potri.019G014358.2.v4.1 pep chromosome:Pop_tri_v4:19:2255944:2256327:1 gene:Potri.019G014358.v4.1 transcript:Potri.019G014358.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014358.v4.1 MEGFQPSFMEEVDNMTWDQLGFQSEEDTFNMSELLSYVILKHILSEDDCLQFSYSSVYPIIPKKQYNVVTVHGSRPPPEVPVNQSSISCNDQGGQNNLINDSIQQTTQFPTSFPETMVSTPICYNVH >Potri.002G156701.2.v4.1 pep chromosome:Pop_tri_v4:2:11939021:11939463:1 gene:Potri.002G156701.v4.1 transcript:Potri.002G156701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156701.v4.1 MEESTKRYAVVTGANKGLGWGIVKLLASKGVMVVLTARDETRGLEAVEKLNECGLSDHVVFHLLDVMDPASIASLADFIRIQYGNLDILVRPRANLHKTCGAKVSLALIFSV >Potri.017G074800.1.v4.1 pep chromosome:Pop_tri_v4:17:8257046:8261377:1 gene:Potri.017G074800.v4.1 transcript:Potri.017G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074800.v4.1 MGGCASRPDSCVCLPSSNKKKSGKQRRRRRRRIISRRVSSLKADKLNVPGQPDRSYSNPAFQGSMDGAWSDAISVLESEFDDEFYSVYDDVVSVTEPEDASVSSPRDSNIMQSKANDSRSNDQVTPVYADEVSNVSVGGQEENNHSGILPNNCLPFLASAVASIDKKRPLSPGTPSSKRKPSLKLSFKRREGNVTNPTLVSPKAFVRRPIAGSSIPYCPTDKKMTDCWSPIEPSTFKVRGKNYFRDKKKDCAPNCAAFYPFGADIFLSPRKIHHIARFVELPHVNTSDEVPGVLVVNVQIPLYPATIFQSENDGEGMNMVLYFKLSESYSKELPPHFQENISRLINDEVERVRGFPLDTIAPFRERLKILGRLENLEDLQLSATEKKLMSAYNEKPVLSRPQHEFYLGENYLEIDLDVHRFSYISRKGLETLQDRLKLCLLDFGLTIQGHKPEDLPEHLLCCIRLNKVDHTKYRQLGC >Potri.017G074800.2.v4.1 pep chromosome:Pop_tri_v4:17:8257054:8261373:1 gene:Potri.017G074800.v4.1 transcript:Potri.017G074800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074800.v4.1 MGGCASRPDSCVCLPSSNKKKSGKQRRRRRRRIISRRVSSLKADKLNVPGQPDRSYSNPAFQGSMDGAWSDAISVLESEFDDEFYSVYDDVVSVTEPEDASVSSPRDSNIMQSKANDSRSNDQVTPVYADEVSNVSVGGQEENNHSGILPNNCLPFLASAVASIDKKRPLSPGTPSSKRKPSLKLSFKRREGNVTNPTLVSPKAFVRRPIAGSSIPYCPTDKKMTDCWSPIEPSTFKVRGKNYFRDKKKDCAPNCAAFYPFGADIFLSPRKIHHIARFVELPHVNTSDEVPGVLVVNVQIPLYPATIFQSENDGEGMNMVLYFKLSESYSKELPPHFQENISVRWEASSPLRFLEVD >Potri.006G257800.1.v4.1 pep chromosome:Pop_tri_v4:6:25448999:25451469:-1 gene:Potri.006G257800.v4.1 transcript:Potri.006G257800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257800.v4.1 MEGLLCSNTVVYEGFSPSLMEALVLEIAIAASKALALSLFMMGSLPNGSSVLPEESGTSQGCPLTELHTKKKPDPDNQDGSDTEDDEGDDDDDGQDDQDDDDDDDEGADEDEPGKDSEDGGDPEDEPEANGDGGSDDDDDEDDDDEDDDDDNDEEEDEEEEEDEEDIPQPPAKRRK >Potri.010G214400.1.v4.1 pep chromosome:Pop_tri_v4:10:20214469:20215116:-1 gene:Potri.010G214400.v4.1 transcript:Potri.010G214400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214400.v4.1 MRRTSSPILFIVAITISSLGILNQATNVTFQGSSSKNCSYSIEIETTCAPSAETKDHISVRFSDSAGNLIIVKHLKNPKLLYAPKGFKKQGGAYGGFERCAIDLFEASGTCMKQSVCSLYLKKVGTDDWRPGWVKVLHQESSGALVPVSYVFYFRTFVPENVWYGLDYCHSKEGFMPHFATFET >Potri.008G067500.1.v4.1 pep chromosome:Pop_tri_v4:8:4079597:4085582:1 gene:Potri.008G067500.v4.1 transcript:Potri.008G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067500.v4.1 MAVSVCLVLFIVLPAIVFIVHRSSRFRRLRLPPGSLGLPFVGETLQLISAYKTENPEPFIDERVNRFGSLFKTHIFGEPTVFSVDPETNRFILQNEGKLFECSYPGSISNLLGKHSLLLMTGNLHKKMHSLTMSFANSSIIRDHLLVDIDRLIRLNLDSWSDRVLLMEEAKKTTFELTMKQLMSFDPCEWTESLRREYVLVIEGFFSVPLPILSPTYRRAIKARTKVAEALSLVVKQRRIESESGEKKKDMLGALLASDDHGGFSDEEIVDFLVALLVAGYETTSTSMTLAVKFLTETPLALAQIKEEHEQIRGKKGEEGALEWSDYKSMTFTQCVVNETLRIANIIGGIFRRTMTDINVKGYTIPKGWKVFASFRGVHLDHEYFKDARTFNPWRWQDDSGATCPANVFTPFGGGQRLCPGYELARVELSVFLHHLVTRFSWTPAEQDKLVFFPTTRTQKRYPINVQRRNRV >Potri.010G184900.1.v4.1 pep chromosome:Pop_tri_v4:10:18231206:18234713:1 gene:Potri.010G184900.v4.1 transcript:Potri.010G184900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184900.v4.1 MATHSPNLECRMYESRYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQTCEERYNKSKLVHSIMRHVAETLGIDLEELYVNIGWPLYRKYGHAFEAFKIMVNDPDSVLDPLTREVKETGPDGQEVTKVVPAVSEEIKEALIRNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKDAMRKAEAAGNADCPVKIKLVAPPLYVLTTQTLDKDQGISILTQAIAACTEAIEAQKGKLVVKEPPRAVSERDDKLLTEHMLKLRSANEEISGDEDSEEEEDTGLGDADVENAVGIME >Potri.T014016.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:3877:8365:1 gene:Potri.T014016.v4.1 transcript:Potri.T014016.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T014016.v4.1 MAATAASGLQMATARPCISSSHRVVKAGAAILGASSKGASWAKLASGSHISSIQPFQRTFMSSSVKLNKVVTKAMSESSDSKPVSGLPIDLRGKRAFIAGVADDNGYGWAIAKSLAASGAEILVGTWVPALNIFETSLRRGKFDESRVLPDGSWMDITKVYPLDAVFDNPEDVPEDVKANKRYAGSSKWTVQEVAESVKQDFGSIDILVHSLANGPEVSKPLLETSRKGYLAAISASSYSYVSLLKHFLPIMNLGGSSISLTYIASERIIPGYGGGMSSAKAALESDTRVLAFEAGRKNRIRVNTISAGPLRSRAAKAIGFIDTMIEYSLANAPLQKELSADEVGNAAAFLASPLASAVTGTVMYVDNGLNTMGVGVDSPIFKDLDIPKDNHQG >Potri.011G070500.1.v4.1 pep chromosome:Pop_tri_v4:11:6220154:6221091:1 gene:Potri.011G070500.v4.1 transcript:Potri.011G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070500.v4.1 MARFGAVVFIFFFSFAAFMSNIEGRKLLMSTSAQESNSNSWDKRSVSSFASLVLSALPKGKVPSSSSPSKKGHATLDNEQIFARHLASIDRILRSVPSPGVGN >Potri.018G122600.1.v4.1 pep chromosome:Pop_tri_v4:18:13475735:13477386:1 gene:Potri.018G122600.v4.1 transcript:Potri.018G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G122600.v4.1 MRNSVKSREGNLMGAKMSNKVSFPSRSKNKVIKEAMNNLNPSLHDYLSNRSQVQPMRNKASVSEGKISHQSMEGRNSTVANMRHKVCSPSTSTGSSHTESCFTGAQTSCRNSVQSIKTYPMPTEGSSDDLVGAEMSCQNYVQSTKAYPMPTVGSLDAETDLIGAKISCHNFSTHADVQSIKAYPIPTEGSSDAETDLIGATMSCHHNCSIHADVQSTKTESDLIGTNMSCHNSSTYVDVQSIKAYPVPTVGSSHTKNGLKRAQKSWHTSFRNPSIFARVQSITAYLAPIVGSSHTKKGLTGAKMSCHTPLRHSSIFAGVQSIKAPS >Potri.001G148500.1.v4.1 pep chromosome:Pop_tri_v4:1:12295732:12301520:1 gene:Potri.001G148500.v4.1 transcript:Potri.001G148500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148500.v4.1 MAASSPVISNNSSDIGQTAISAAAAASFSVPPKTLRGLNKPKCIQCGNVARSRCPYQSCKSCCSRAQNPCHIHVLKANATFPDKTPASSAPLFEQQPNEAPPAVSSHRTASLRQLSSNFSQFNNLHSPLRSRKSLTRKEAAAINEWRFSKLKEFKDRNIEVENEAFDRYMHNISLLEEVFSLKSFLEGSTEDGSLSSNHDHASAEDDDTEEKMVSEQKLKLRSNPRRSENVRKRLQQLVDGGLKKLQKLELNNGSVNNQNELDKRPEKAKGLRAERASALSDLIDKLNKARNEEDLNSCMEIKAQLSSQHTRSRTETKDVEVSKEQIAKNDLAPPQKELDYFSQKLFRTVEIDHQALTSIDAHFSSLEKIEDL >Potri.011G026100.1.v4.1 pep chromosome:Pop_tri_v4:11:1926004:1926971:1 gene:Potri.011G026100.v4.1 transcript:Potri.011G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026100.v4.1 MGVITLENEFAVAVAPAKLFKAYCLETDTLLPKILPEHIKSCEIIEGNGGPGTIRKITFAEGKDLSYAKQKIEAIDEENLTYSFSLIEANVWKDAVEKVTYEHKFVPTPEGGSICKRTSTYYIKGDAEIKKDQIKDVYGKKTAGLFKAVEAYFLANPDA >Potri.018G109500.1.v4.1 pep chromosome:Pop_tri_v4:18:12743213:12748106:-1 gene:Potri.018G109500.v4.1 transcript:Potri.018G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109500.v4.1 MQPCSREMQGINSLLNPSSQIPLQDLQNQQNPSQIQNSHFDPNSSSNDDFLEQMLSNIPPCSWPDLKSPWDLTMPINNNDSSNSIAKPRDLSDETAPSNTDNSNLGFHNNFDESVILASKLRQHQISGGGGAAAAAKMMLQQQLLMAAARGVLPQNDVIDGSSFKGGDGSMQGLFNGFGAGSMNGTGQASNQSMQHFNHPQGGAMQAQNFGAQGAATTAVMNQPQASGSNGGAPAQPRQRVRARRGQATDPHSIAERLRRERIAERMKALQELVPNANKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAAAVAPLVADMSSEAGGDCIQASADGGSLSRTSNGNQTARTNDSSLTVTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHNRSPAINNNHHALLQSNGEGPASPSMSVLTVQSATMGNVGGDGGAVKDAASVSKP >Potri.018G060500.2.v4.1 pep chromosome:Pop_tri_v4:18:6494946:6502370:1 gene:Potri.018G060500.v4.1 transcript:Potri.018G060500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G060500.v4.1 MWESICLTLAATAGNNIGKVLQKKGTLILPPLSFKLKVIRAYAANKAWIIGFLIDICGALLMLRALSQAPVSVIQPVSGCGLAILSVFSHFYLKEVMNVIDWIGITLAGIGTIGVGAGGEEQEASSVSIFQLPWLAFLVALLFVVLNGWLRVYRHQRRAHEMMDYEVVEEIIYGLESGILFGMASVISKMGFVFLEQGFSRMLVPICVSISICCSATGFYYQTQGLKHGRAIVVSTCAAVASIVTGVLAGMLALGEQLPSAPTARFSLLLGWLFIVVGVILLVSSTWLLRHLPLRRFIRINVDRNFSLSRSGSLRLKDSNPTAVIHAATLHHLISSPSKEKA >Potri.010G056000.2.v4.1 pep chromosome:Pop_tri_v4:10:8673369:8673686:-1 gene:Potri.010G056000.v4.1 transcript:Potri.010G056000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056000.v4.1 MGTPLLQCPTLLILFLLFLLSTYFLAYFVILRNWEPKQRKEASSCFTSLAHHGSPAVIMAVRAVLLHSQTSLTFASPNSAYDNTMLELSMALVLGGPPSLHGILP >Potri.005G240900.1.v4.1 pep chromosome:Pop_tri_v4:5:23760020:23762527:1 gene:Potri.005G240900.v4.1 transcript:Potri.005G240900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240900.v4.1 MSQLTLLIPLSSFFISHFFIQAVVSTTFTLTNKCDYTVWPGSLSNADAPALSTTGFALQNGESKTITAPASWGGRFWGRTYCSQDSTGKFSCVTGDCGSGKLECSGTGAAPPATLAEFKLDGYGGMDYFDVSLVDGYNLPLLVVPQGGSGQNCTSTGCVVDLNDSCPSELKVTSTEGESVACKSACEAFGSPQYCCNGAYSTPDTCRPSTYSEIFKNACPRAYSYAYDDKTSTFTCAAADYQITFCPSPNTSQKASQGQNTENTSTNSYTPLVNSTMVYEGALNQNGASPSMNSKVLGSHVIAGIVSLTVAIWQLG >Potri.005G113700.1.v4.1 pep chromosome:Pop_tri_v4:5:8268196:8272934:-1 gene:Potri.005G113700.v4.1 transcript:Potri.005G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113700.v4.1 MGPSTLTALAEEKTLQASFVRDEDERPKVAYNQFSNEIPVISIAGIDDGGEKRAEICNKIVEACEEWGVFQIVDHGVDAKLVSEMTTLAKEFFALPPEEKLRFDMSGGKKGGFIVSSHLQGEAVQDWREIVTFFSYPIRTRDYSRWPDKPQAWKAVTEEYSKKLMELACKLIGVLSEAMGLETEALTKACVDMDQKVVVNFYPKCPQPELTLGLKRHTDPGTITLLLQDTVGGLQATRDNGKTWITVQPVEGAFVVNLGDHGHYLSNGRFRNADHQAVVNSNSSRLSIATFQNPAQDATVYPLKIREGEKSVLDEPITFAEMYRRKMSKVIEIAKKKKLAEEQQLTDLETAKLETKPIEEILA >Potri.002G249400.1.v4.1 pep chromosome:Pop_tri_v4:2:23978304:23988819:1 gene:Potri.002G249400.v4.1 transcript:Potri.002G249400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249400.v4.1 MDSIQNRVEAWIRDQRARILKVSWGPLQWRMRWPPWINGDEREHRKIIQQEYELRKKQLHDLCNAVKAESVADLQDILCCMVLSECVYKRPADEMVRVVNKFKADFGGQIVALERVQQSADHVPHRYLLAEAGDTLFASFIGTKQYKDVMTDANILQGAIFHEDTGEDTVRMDVVESGQCESQKDSGENCLNASQSKPKQLKDQIKPAAHRGFMARAKGIPALELYKLAQKKNRKLVLCGHSLGGAVAALATLAILRVIAASSPSKENERIQVKCITFSQPPVGNAALRDYVHKKGWQHHFKSYCIPEDLVPRILSPAYFHHYNAQPLSKNAEVESSSGITSKHEERTEKPRAQKPKENEGEQLVMGLGPVQTSFWRLAKLVPLEGFRRQYNKYNGKQVDPIEATSAANSARPSIENVAEPQSLEIQEGSDGISLKPLSDSNNGLPNEAMTGKVAEKTNAKSENKRNWNRVPYLPSYVPFGQLFLLGNSSVELLSGTEYSKLTSVRSVIAELRERLQSHSMKSYRFRFQRIYDMCMGDGTSSFLGIEQLPQFPNLQQWLGLAVAGAVELAHIVDLPVIRTATSIVPLGWSGIPDDKNGEPLKVDITGFRLHLCNLVHAQVNGNWCSTTVESFPSAPSYYSNNGSQPELQKIRVLVGAPLRRPPKHPIVTDSFMPVFPSIDSDAANLIKENSSGNDEKFLRPDGLSDFCIFCTSDFATVSKEVHVRTRRVRLLGLEGAGKTSLFKAIMGQGRLTTITNFENINLEADIQEGVAGGVCYSDSAGVNLQELHMEVSHFRDELWMGIRDLGRKTDLIILVHNLSHKIPRCSKLNASQQQPVLSLLLDEAKVLGIPWVIAVTNKFSVSAHQQKAAIDAVLQAYQASPNTAEVVNSCPYVMSSAASASLSLTASNGDSYGKTGAQKLSFDPINLVRWPFQKRDTIFAAEGVNSLCQLVHRVLQSHEEASLQEFARDRLLAELAREHALAIDASRNSKAKASSLTAAAVGASLGAGLGIVLAVVMGAASALRKP >Potri.001G345400.2.v4.1 pep chromosome:Pop_tri_v4:1:35662801:35667978:1 gene:Potri.001G345400.v4.1 transcript:Potri.001G345400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345400.v4.1 MERSSRSKSLFRDMRSRELGGFRKRRFITQMGSDFTEMGSVTVEHNGEETPPLSVSFCKTSKYSHILAVSDEDGYVSMFDTRTEFPSEKARISDWVAHQNAIFDVCWIKEDTNILTASGDQTIKVWDAQEKKCTGILMGHTGSVKSLSPHPTNSDLLISASRDGSFAIWDLRCKTTSKTRCSEAHVAPAAMVQGAHLSSRAKRVRRGKAASMSITSVLYLKDEVSIATAGAADSIVKFWDTRNLKVQVTQASPNIKSSTEKERRLHGISSLSQDLNGVFLTATCMDNRIYLYNVLQLDKGPMRSFSGCRIESFYVKSTISPDAAHILSGSSDGNAYIWQVNKPDVDPITLKTHDGEVTAVDWCPSEVGKIATSSDDFTVRIWNINSSYCSSTTRSPSAIRRRVMAIPREECRELLMNDERIDPTKVPDNLLPSDEVFNQNNPPIPITMPTISTPEAHKKKFSLDSDSKETFEKTPEAAMKSPSSVLNPPSSLKRTIRDYFLAA >Potri.001G345400.3.v4.1 pep chromosome:Pop_tri_v4:1:35662896:35666607:1 gene:Potri.001G345400.v4.1 transcript:Potri.001G345400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345400.v4.1 MGHTGSVKSLSPHPTNSDLLISASRDGSFAIWDLRCKTTSKTRCSEAHVAPAAMVQGAHLSSRAKRVRRGKAASMSITSVLYLKDEVSIATAGAADSIVKFWDTRNLKVQVTQASPNIKSSTEKERRLHGISSLSQDLNGVFLTATCMDNRIYLYNVLQLDKGPMRSFSGCRIESFYVKSTISPDAAHILSGSSDGNAYIWQVNKPDVDPITLKTHDGEVTAVDWCPSEVGKIATSSDDFTVRIWNINSSYCSSTTRSPSAIRRRVMAIPREECRELLMNDERIDPTKVPDNLLPSDEVFNQNNPPIPITMPTISTPEAHKKKFSLDSDSKETFEKTPEAAMKSPSSVLNPPSSLKRTIRDYFLAA >Potri.001G345400.1.v4.1 pep chromosome:Pop_tri_v4:1:35662801:35667978:1 gene:Potri.001G345400.v4.1 transcript:Potri.001G345400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345400.v4.1 MERSSRSKSLFRDMRSRELGGFRKRRFITQMGSDFTEMGSVTVEHNGEETPPLSVSFCKTSKYSHILAVSDEDGYVSMFDTRTEFPSEKARISDWVAHQNAIFDVCWIKEDTNILTASGDQTIKVWDAQEKKCTGILMGHTGSVKSLSPHPTNSDLLISASRDGSFAIWDLRCKTTSKTRCSEAHVAPAAMVQGAHLSSRAKRVRRGKAASMSITSVLYLKDEVSIATAGAADSIVKFWDTRNLKVQVTQASPNIKSSTEKERRLHGISSLSQDLNGVFLTATCMDNRIYLYNVLQLDKGPMRSFSGCRIESFYVKSTISPDAAHILSGSSDGNAYIWQVNKPDVDPITLKTHDGEVTAVDWCPSEVGKIATSSDDFTVRIWNINSSYCSSTTRSPSAIRRRVMAIPREECRELLMNDERIDPTKVPDNLLPSDEVFNQNNPPIPITMPTISTPEAHKKKFSLDSDSKETFEKTPEAAMKSPSSVLNPPSSLKRTIRDYFLAA >Potri.008G038700.1.v4.1 pep chromosome:Pop_tri_v4:8:2137652:2141713:1 gene:Potri.008G038700.v4.1 transcript:Potri.008G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038700.v4.1 MASSTSMEAAGLTLPFLILTFLTISAASSTNQIQILNAERRIDLSSHIVKVFLTLKVENVGTTPASEIVLAFAPAQADHLALVKAQAAIGKKKKKSYVHLDVNPTELPDAPNGTKYFSISLLNPLSSGETATLEVLYILTHSLEPFPAEISQSESQLVYFRDSALILSPYHVKQQTTFLKTPSTKVESFTRVEPTKLAGRELKYGPYEDHPPYSFSPVIVHLENNSPFAVVEELLREVEISHWGNLQITEHYKLVHAGARHKGVFSRVEYQSRPSFSGTSSFKHLLASLPPRVHSVYYRDDIGNISSSHLRSDNRKSELEIEPRYPLFGGWKATFVIGYGLPLEDFLFESPDGKRYLNFSFGCPLAETVVDKLTIKVVLPEGSKDPSAVVPFPVEQRLETKYSYLDVVGRTVLVLENKNVVPEHITPFQVYYTFKPIFMLAEPLMLASVFFLFFMACLAYLHIDLSIRK >Potri.001G133900.1.v4.1 pep chromosome:Pop_tri_v4:1:10895537:10897625:1 gene:Potri.001G133900.v4.1 transcript:Potri.001G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133900.v4.1 MKMGTNSRNHLIPEANFSWFYPGSFFSSLKTNSGFDRTQIDPQPGLPVAPIHSISSADLAKSNSGTKPTKARKHKSAAKDSNQIPPKVLGPKQPKKISSKKTKGQITLEAKHEKKNLDIDIGKINFDLSGVPSPFCSCTGMPRVCYKWGAGGWQSSCCTDSISEHPLPMSSTRPGVRMAGRKMSNGAYVKLLLKLSAESYNLSHPLDMKNHWARHGTNKFVTIK >Potri.003G172866.1.v4.1 pep chromosome:Pop_tri_v4:3:18091337:18093960:1 gene:Potri.003G172866.v4.1 transcript:Potri.003G172866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172866.v4.1 MDEVTTEEDSQEKKGLIVSMPISSDSNLTRSSSRVASGEALNFFLCLPSDPEAMAADHHMSCLLGCFEQLMNTVLGVSAEIERIKAGVSAGLEKARESSFVFKEALSELDEFSPNSLPSKTTDIDESNKEDDNRNASDHSGEQGKEHALPSKKQDGGDAEEDNRNASDHPGERGNEHALPSKKQDGGDAEEDNRNASDHSGEQGKEHALPSKKQDDGEAEEDNRKASDHPGEQGNEHALPSKKQEGGDAEEDNRNALDHSAKQGKEQALLSKKQDGGDAEEITKPLIPSSMADEDNTQIDQEIQNLVKKLDVCIAKFDQKRQVLQKAIESETEALKKDQRETRELRLNLLHESGATSSNIEAGSRKIANVKQWLNQKALISSDTSGEHDQGNNYSVLVVDDDRDARDTIQRYTMPVGTEKHRAMEFQEAKNGKEAVYLHLAGASFDLIIMDDQMPIMTGIQATQLLRKMGVKSQIVGVTSESDQQAFIDAGLNTCIRKPRSLA >Potri.008G224319.1.v4.1 pep chromosome:Pop_tri_v4:8:19074030:19074278:1 gene:Potri.008G224319.v4.1 transcript:Potri.008G224319.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224319.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.015G132000.1.v4.1 pep chromosome:Pop_tri_v4:15:14180896:14182286:1 gene:Potri.015G132000.v4.1 transcript:Potri.015G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132000.v4.1 MAGLEVIDSSRKKHIRQPPSVPFLWEVRPGVAKRDWKPEVSSVTPVQLPPVKLIASVPFNWEEKPGKPLSCFSQSPESAFITPQANLLALPWHVTCSQGDDNHKQEDGDSGEENFGDEQVMFNSDLESFSFETDESFSSAQSLLANCMVSSVAISTAVPVQTTSPTDDSNGQQETPSSPPSETDSSTSSYATGVSSLEGAAFLEWLFPLYTPKSGFLGKASHPRKESFTPELNSRDFDYERNSSVMIRKPLTLGELIMMSRRRSCQRKAVQMRKQNPSMKFMDKKAFGCCIFGTSINMIEGLQRKMRQPRLKII >Potri.014G094400.1.v4.1 pep chromosome:Pop_tri_v4:14:6136146:6138367:1 gene:Potri.014G094400.v4.1 transcript:Potri.014G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094400.v4.1 MADKPMKPVLPRPPGYRDPNHPVKSARRPLPTKTLVPHSFQPRKRRSRHWCRLCLCCLILLLIIVILLLIISGGLFYIWFEPKLPVFHLQSFKFPTFSVTKKSDGTYLKAKMVARIEVRNPNEKIIYHFGESKVETTTGDEEVNLGSTSLPKFTQEKGNATSLKTVTNVNNELIEDRIGSKILHQFTSKKLKVKMNVKTRVGIGVAGMKTGLLGAEVLCGGVTLKETESGEMPRCVMKILQWIIIR >Potri.007G063200.15.v4.1 pep chromosome:Pop_tri_v4:7:7705930:7714575:1 gene:Potri.007G063200.v4.1 transcript:Potri.007G063200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063200.v4.1 MHADQRKAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQVNLAATPAPKASAPAPQLAGTMSAASTNVDIRPPQVPGNAVTNQQYFPSQQGQFMRQPGPQPQAMPPISASHPQQILVSQGMPRGGTMAAPRPLNSNISTDWLGGSAVGLTSQAPSRGTSPTTTQDGFGLSAPGFTPSVQPRPQVSAGQMAAPTCKPLEAAITSNQPATKDFKSVVVSGNGFASDSHFGDVFSAIPAQAKQSSLSAAPSTSSIPVSSAIVPSSVGSQHSLNSSSLDSFQSTFSQLLVGGQSTARPNQQVPPQSVTSAPSTGFPSGSSNAALSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYRENRPLPSTLPTTIMSDETLLSATSHPATSYGSGTWGPASVVTVARPSPAAARPPRPPAAPHADEKHPTQQKPNVLVLEKHLTNQLNQEEQDALNSKFQEASQANKKVEELEKEILDSRQKIEFYHVKMQELILYKSRCDNRLNEVTARVSTDKHEVETLGKKYEEKYKQTGDVASKLTIEEATFHDIQEKKMDLYRSIVKMEEGGAADGVVKEHAENIQSSLEELVKTVNERCKLYGLRSKPISLVELPFGWQPGIQEAAADWDEGWDKFDNEGFTFVKELTLDVRNVVASPKQKTSVPKETTSTDKDSGAKSEKVSRPSKSNSEKDLLDHQHENGTLKCPPDSPVRRSTTESHQSSEFRDSPFKESGAENSPHAREIQTDVGGTESVHSGDIIVETGWGTFDDTHYDTESAWGFDSVSGKDMDFSIGEFGLNPIKTGSSHGDNMFPGKGQFMFDSIPSTLAHNQGNSSYAFADSVPSTPAYNPQNAFADSVPSTPAYNTGKSPFSFADSIPSTPAYNFGNSPRRFSEGSEDHPFDSFSRFDSFNMHDGGLFQSPRHSLSRFDSMQSTKDSDQSYGFPSRFDSFREFGDSNRSHGFSRFDSFRESDQNHGFSRFDSFKESDPGHGFSSSFSSFGESRDTDHSHGFSKMDSFNAHDSGFFQASDNSVARFDSVRGSKDFDNSHGFPSFDDTDPFGSSGPFRTSLESETPKGSSDNWRAF >Potri.007G063200.25.v4.1 pep chromosome:Pop_tri_v4:7:7705926:7714559:1 gene:Potri.007G063200.v4.1 transcript:Potri.007G063200.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063200.v4.1 MTNSNNTDLFDSFFRRADLDGDGQISGAEAVGFFQGSGLPKHVLAQVWMHADQRKAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQVNLAATPAPKASAPAPQLAGTMSAASTNVDIRPPQVPGNAVTNQQYFPSQQGQFMRQPGPQPQAMPPISASHPQQILVSQGMPRGGTMAAPRPLNSNISTDWLGGSAVGLTSQAPSRGTSPTTTQDGFGLSAPGFTPSVQPRPQVSAGQMAAPTCKPLEAAITSNQPATKDFKSVVVSGNGFASDSHFGDVFSAIPAQAKQSSLSAAPSTSSIPVSSAIVPSSVGSQHSLNSSSLDSFQSTFSQLLVGGQSTARPNQQVPPQSVTSAPSTGFPSGSSNAALSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYRENRPLPSTLPTTIMSDETLLSATSHPATSYGSGTWGPASVARPSPAAARPPRPPAAPHADEKHPTQQKPNVLVLEKHLTNQLNQEEQDALNSKFQEASQANKKVEELEKEILDSRQKIEFYHVKMQELILYKSRCDNRLNEVTARVSTDKHEVETLGKKYEEKYKQTGDVASKLTIEEATFHDIQEKKMDLYRSIVKMEEGGAADGVVKEHAENIQSSLEELVKTVNERCKLYGLRSKPISLVELPFGWQPGIQEAAADWDEGWDKFDNEGFTFVKELTLDVRNVVASPKQKTSVPKETTSTDKDSGAKSEKVSRPSKSNSEKDLLDHQHENGTLKCPPDSPVRRSTTESHQSSEFRDSPFKESGAENSPHAREIQTDVGGTESVHSGDIIVETGWGTFDDTHYDTESAWGFDSVSGKDMDFSIGEFGLNPIKTGSSHGDNMFPGKGQFMFDSIPSTLAHNQGNSSYAFADSVPSTPAYNPQNAFADSVPSTPAYNTGKSPFSFADSIPSTPAYNFGNSPRRFSEGSEDHPFDSFSRFDSFNMHDGGLFQSPRHSLSRFDSMQSTKDSDQSYGFPSRFDSFREFGDSNRSHGFSRFDSFRESDQNHGFSRFDSFKESDPGHGFSSSFSSFGESRDTDHSHGFSKMDSFNAHDSGFFQASDNSVARFDSVRGSKDFDNSHGFPSFDDTDPFGSSGPFRTSLESETPKGSSDNWRAF >Potri.007G063200.5.v4.1 pep chromosome:Pop_tri_v4:7:7705925:7714562:1 gene:Potri.007G063200.v4.1 transcript:Potri.007G063200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063200.v4.1 MTNSNNTDLFDSFFRRADLDGDGQISGAEAVGFFQGSGLPKHVLAQVWMHADQRKAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQVNLAATPAPKASAPAPQLAGTMSAASTNVDIRPPQVPGNAVTNQQYFPSQQGQFMRQPGPQPQAMPPISASHPQQILVSQGMPRGGTMAAPRPLNSNISTDWLGGSAVGLTSQAPSRGTSPTTTQDGFGLSAPGFTPSVQPRPQVSAGQMAAPTCKPLEAAITSNQPATKDFKSVVVSGNGFASDSHFGDVFSAIPAQAKQSSLSAAPSTSSIPVSSAIVPSSVGSQHSLNSSSLDSFQSTFSQLLVGGQSTARPNQQVPPQSVTSAPSTGFPSGSSNAALSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYRENRPLPSTLPTTIMSDETLLSATSHPATSYGSGTWGPASVVTVARPSPAAARPPRPPAAPHADEKHPTQQKPNVLVLEKHLTNQLNQEEQDALNSKFQEASQANKKVEELEKEILDSRQKIEFYHVKMQELILYKSRCDNRLNEVTARVSTDKHEVETLGKKYEEKYKQTGDVASKLTIEEATFHDIQEKKMDLYRSIVKMEEGGAADGVVKEHAENIQSSLEELVKTVNERCKLYGLRSKPISLVELPFGWQPGIQEAAADWDEGWDKFDNEGFTFVKELTLDVRNVVASPKQKTSVPKETTSTDKDSGAKSEKVSRPSKSNSEKDLLDHQHENGTLKCPPDSPVRRSTTESHQSSEFRDSPFKESGAENSPHAREIQTDVGGTESVHSGDIIVETGWGTFDDTHYDTESAWGFDSVSGKDMDFSIGEFGLNPIKTGSSHGDNMFPGKGQFMFDSIPSTLAHNQGNSSYAFADSVPSTPAYNPQNAFADSVPSTPAYNTGKSPFSFADSIPSTPAYNFGNSPRRFSEGSEDHPFDSFSRFDSFNMHDGGLFQSPRHSLSRFDSMQSTKDSDQSYGFPSRFDSFREFGDSNRSHGFSRFDSFRESDQNHGFSRFDSFKESDPGHGFSSSFSSFGESRDTDHSHGFSKMDSFNAHDSGFFQASDNSVARFDSVRGSKDFDNSHGFPSFDDTDPFGSSGPFRTSLESETPKGSSDNWRAF >Potri.007G063200.26.v4.1 pep chromosome:Pop_tri_v4:7:7706005:7714596:1 gene:Potri.007G063200.v4.1 transcript:Potri.007G063200.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063200.v4.1 MHADQRKAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQVNLAATPAPKASAPAPQLAGTMSAASTNVDIRPPQVPGNAVTNQQYFPSQQGQFMRQPGPQPQAMPPISASHPQQILVSQGMPRGGTMAAPRPLNSNISTDWLGGSAVGLTSQAPSRGTSPTTTQDGFGLSAPGFTPSVQPRPQVSAGQMAAPTCKPLEAAITSNQPATKDFKSVVVSGNGFASDSHFGDVFSAIPAQAKQSSLSAAPSTSSIPVSSAIVPSSVGSQHSLNSSSLDSFQSTFSQLLVGGQSTARPNQQVPPQSVTSAPSTGFPSGSSNAALSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYRENRPLPSTLPTTIMSDETLLSATSHPATSYGSGTWGPASGLQQQQVVTVARPSPAAARPPRPPAAPHADEKHPTQQKPNVLVLEKHLTNQLNQEEQDALNSKFQEASQANKKVEELEKEILDSRQKIEFYHVKMQELILYKSRCDNRLNEVTARVSTDKHEVETLGKKYEEKYKQTGDVASKLTIEEATFHDIQEKKMDLYRSIVKMEEGGAADGVVKEHAENIQSSLEELVKTVNERCKLYGLRSKPISLVELPFGWQPGIQEAAADWDEGWDKFDNEGFTFVKELTLDVRNVVASPKQKTSVPKETTSTDKDSGAKSEKVSRPSKSNSEKDLLDHQHENGTLKCPPDSPVRRSTTESHQSSEFRDSPFKESGAENSPHAREIQTDVGGTESVHSGDIIVETGWGTFDDTHYDTESAWGFDSVSGKDMDFSIGEFGLNPIKTGSSHGDNMFPGKGQFMFDSIPSTLAHNQGNSSYAFADSVPSTPAYNPQNAFADSVPSTPAYNTGKSPFSFADSIPSTPAYNFGNSPRRFSEGSEDHPFDSFSRFDSFNMHDGGLFQSPRHSLSRFDSMQSTKDSDQSYGFPSRFDSFREFGDSNRSHGFSRFDSFRESDQNHGFSRFDSFKESDPGHGFSSSFSSFGESRDTDHSHGFSKMDSFNAHDSGFFQASDNSVARFDSVRGSKDFDNSHGFPSFDDTDPFGSSGPFRTSLESETPKGSSDNWRAF >Potri.007G063200.4.v4.1 pep chromosome:Pop_tri_v4:7:7705930:7714558:1 gene:Potri.007G063200.v4.1 transcript:Potri.007G063200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063200.v4.1 MTNSNNTDLFDSFFRRADLDGDGQISGAEAVGFFQGSGLPKHVLAQVWMHADQRKAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQVNLAATPAPKASAPAPQLAGTMSAASTNVDIRPPQVPGNAVTNQQYFPSQQGQFMRQPGPQPQAMPPISASHPQQILVSQGMPRGGTMAAPRPLNSNISTDWLGGSAVGLTSQAPSRGTSPTTTQDGFGLSAPGFTPSVQPRPQVSAGQMAAPTCKPLEAAITSNQPATKDFKSVVVSGNGFASDSHFGDVFSAIPAQAKQSSLSAAPSTSSIPVSSAIVPSSVGSQHSLNSSSLDSFQSTFSQLLVGGQSTARPNQQVPPQSVTSAPSTGFPSGSSNAALSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYRENRPLPSTLPTTIMSDETLLSATSHPATSYGSGTWGPASGLQQQQVVTVARPSPAAARPPRPPAAPHADEKHPTQQKPNVLVLEKHLTNQLNQEEQDALNSKFQEASQANKKVEELEKEILDSRQKIEFYHVKMQELILYKSRCDNRLNEVTARVSTDKHEVETLGKKYEEKYKQTGDVASKLTIEEATFHDIQEKKMDLYRSIVKMEEGGAADGVVKEHAENIQSSLEELVKTVNERCKLYGLRSKPISLVELPFGWQPGIQEAAADWDEGWDKFDNEGFTFVKELTLDVRNVVASPKQKTSVPKETTSTDKDSGAKSEKVSRPSKSNSEKDLLDHQHENGTLKCPPDSPVRRSTTESHQSSEFRDSPFKESGAENSPHAREIQTDVGGTESVHSGDIIVETGWGTFDDTHYDTESAWGFDSVSGKDMDFSIGEFGLNPIKTGSSHGDNMFPGKGQFMFDSIPSTLAHNQGNSSYAFADSVPSTPAYNPQNAFADSVPSTPAYNTGKSPFSFADSIPSTPAYNFGNSPRRFSEGSEDHPFDSFSRFDSFNMHDGGLFQSPRHSLSRFDSMQSTKDSDQSYGFPSRFDSFREFGDSNRSHGFSRFDSFRESDQNHGFSRFDSFKESDPGHGFSSSFSSFGESRDTDHSHGFSKMDSFNAHDSGFFQASDNSVARFDSVRGSKDFDNSHGFPSFDDTDPFGSSGPFRTSLESETPKGSSDNWRAF >Potri.007G063200.27.v4.1 pep chromosome:Pop_tri_v4:7:7705949:7714541:1 gene:Potri.007G063200.v4.1 transcript:Potri.007G063200.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063200.v4.1 MHADQRKAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQVNLAATPAPKASAPAPQLAGTMSAASTNVDIRPPQVPGNAVTNQQYFPSQQGQFMRQPGPQPQAMPPISASHPQQILVSQGMPRGGTMAAPRPLNSNISTDWLGGSAVGLTSQAPSRGTSPTTTQDGFGLSAPGFTPSVQPRPQVSAGQMAAPTCKPLEAAITSNQPATKDFKSVVVSGNGFASDSHFGDVFSAIPAQAKQSSLSAAPSTSSIPVSSAIVPSSVGSQHSLNSSSLDSFQSTFSQLLVGGQSTARPNQQVPPQSVTSAPSTGFPSGSSNAALSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYRENRPLPSTLPTTIMSDETLLSATSHPATSYGSGTWGPASVVTVARPSPAAARPPRPPAAPHADEKHPTQQKPNVLVLEKHLTNQLNQEEQDALNSKFQEASQANKKVEELEKEILDSRQKIEFYHVKMQELILYKSRCDNRLNEVTARVSTDKHEVETLGKKYEEKYKQTGDVASKLTIEEATFHDIQEKKMDLYRSIVKMEEGGAADGVVKEHAENIQSSLEELVKTVNERCKLYGLRSKPISLVELPFGWQPGIQEAAADWDEGWDKFDNEGFTFVKELTLDVRNVVASPKQKTSVPKETTSTDKDSGAKSEKVSRPSKSNSEKDLLDHQHENGTLKCPPDSPVRRSTTESHQSSEFRDSPFKESGAENSPHAREIQTDVGGTESVHSGDIIVETGWGTFDDTHYDTESAWGFDSVSGKDMDFSIGEFGLNPIKTGSSHGDNMFPGKGQFMFDSIPSTLAHNQGNSSYAFADSVPSTPAYNPQNAFADSVPSTPAYNTGKSPFSFADSIPSTPAYNFGNSPRRFSEGSEDHPFDSFSRFDSFNMHDGGLFQSPRHSLSRFDSMQSTKDSDQSYGFPSRFDSFREFGDSNRSHGFSRFDSFRESDQNHGFSRFDSFKESDPGHGFSSSFSSFGESRDTDHSHGFSKMDSFNAHDSGFFQASDNSVARFDSVRGSKDFDNSHGFPSFDDTDPFGSSGPFRTSLESETPKGSSDNWRAF >Potri.016G021800.1.v4.1 pep chromosome:Pop_tri_v4:16:1182639:1184844:-1 gene:Potri.016G021800.v4.1 transcript:Potri.016G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021800.v4.1 MACNISADHKPHVVCIPTPAQSHIKSVLKLAKLLHYKGFHITFVNTEFNHRRLLKSRGPDSMNGLPDFRFESIPDGLPPSDENATQNTYAICEASRKNLLGPFNDLLDKLNDTASSDAPPVTCIVSDGFMPVAIDAAAMHEIPIALFFTISACSFMGIEQFQALKEKGLTPLKDESFLTNGYLDKVVDWIPGMRDIKLRDLPSFVRTTDPDDYMFNFGVECAERASEGSAVIFHTFDALEQEVLNALYSMFPRVYAMGPLQLLLNKIQEDDLNSIGCNLWKEEVECLQWLDSQKPNSVVYVNFGSVAVATKQQLIEFGTGLAKSGHPFLWIIRPDMTAGDSAILPPEFTEETKDRCFISSWCPQEEVLDHPSVGGFLTHCGWGSTIESISSGVPMLCWPSFGDQQTNCRYACNEWAIGMEIDSNVTRENVEKQVRELMEGEEGKKMKKKAMEWKKLAEEATGPGGSSSMNLDRLVTEVLLS >Potri.014G153100.1.v4.1 pep chromosome:Pop_tri_v4:14:10754456:10762249:-1 gene:Potri.014G153100.v4.1 transcript:Potri.014G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153100.v4.1 MALSDEEIVLQPLLKLPKTKSNHSSIELESVLSDTEMPYFKRLRRATWIESKLLCRLAAPAVVVYMINYLMSMSTQIFSGQLGNLELAAASLGNTGIQLFAYGLMLGMGSAVETLCGQAFGAHKYGMLGLYLQRSTLLLSLTGILLTIIYIFCKPILVLLGESQEIASAAAVFVYGLIPQIFAYAVNFPIQKFLQAQSIMAPSAYISAITLVIHILLSWLAVYKTGLGLLGASLVLSLSWWIIVLAQFVYIINSEKCKHTWDGFAVQAFSGLWGFFKLSAASAVMLCLETWYFQVLVLISGLLENPELALDSLSICMTISGWVFMISVGFNAAASVRVSNELGGGNPKSAAFSVVVVTTMSLIISVMAALAVMALRDVISYAFTGGETVAKAVSDLCPLLALTLVLNGVQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPLGSLFGFYFNLGAKGIWSGMIAGIVLQTVILLWVTIRTDWNKEVQEALKRLETWEGKEEPLVE >Potri.006G270200.1.v4.1 pep chromosome:Pop_tri_v4:6:27471334:27472244:-1 gene:Potri.006G270200.v4.1 transcript:Potri.006G270200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270200.v4.1 MADAADRARPNREEENRLKHACDAGMVTGEVPSDSEDSNNPLSSDSVSSESPPRLADLAASFRVFSESMARMDLAEMEMIKAREASRLEAEKRRMELEAELTRMMLQTQLQIASIVAGKGTSRKRKRVGEEEGELPILSREGALLLSLLQCNFFF >Potri.001G137600.1.v4.1 pep chromosome:Pop_tri_v4:1:11217030:11222556:-1 gene:Potri.001G137600.v4.1 transcript:Potri.001G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137600.v4.1 MELFPAQPDLSLQISPPNSKPTSTWRRTEEEMDLGFWKRALDSRNSMSPMAKPDSCFELSLSNPRVSEPNSNHFHNSLQNTNNCNGNSLFHSFQKNQYTHPHHKQNRLHNHHPVLFQPQQQEGLSQELGFLRPIRGIPVYQNPPPFPFSQQTLDNTCSQDASLATTNNTSATTLSPYRSQGLMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKLVLELMDVKDLTLAHVKSHLQMYRTVKTDRAGAAPSAQSDVFDNGSSGDTSEDFMLDIQNPRRLDISKQQGRPGAHQDKDYHALWSNSSSREAGLHGKLKDSGGNLPSLEKDVDPKCLSYERNILDVSSSTLSGTSLKKPNLEFTLGRPH >Potri.001G137600.2.v4.1 pep chromosome:Pop_tri_v4:1:11217022:11222607:-1 gene:Potri.001G137600.v4.1 transcript:Potri.001G137600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137600.v4.1 MELFPAQPDLSLQISPPNSKPTSTWRRTEEEMDLGFWKRALDSRNSMSPMAKPDSCFELSLSNPRVSEPNSNHFHNSLQNTNNCNGNSLFHSFQKNQYTHPHHKQNRLHNHHPVLFQPQQQEGLSQELGFLRPIRGIPVYQNPPPFPFSQQTLDNTCSQDASLATTNNTSATTLSPYRSQGLMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKLVLELMDVKDLTLAHVKSHLQMYRTVKTDRAGAAPSAQSDVFDNGSSGDTSEDFMLDIQNPRRLDISKQQGRPGAHQDKDYHALWSNSSREAGLHGKLKDSGGNLPSLEKDVDPKCLSYERNILDVSSSTLSGTSLKKPNLEFTLGRPH >Potri.002G216800.1.v4.1 pep chromosome:Pop_tri_v4:2:20365034:20368060:1 gene:Potri.002G216800.v4.1 transcript:Potri.002G216800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216800.v4.1 MADGAVSFLLDKLTTILLQKASLLGDARDKIEEIKLELESMKSFLRDAERRKEKSDSVETWVRQVREVAYEVEDIIDEFMHHKYKKPLENGFKGIVEGVVKFPKNITSRHRISSKLQKVIAKVHEVSERSKRYGFDQLDEEATRNVAGDRWQHYGESATFVDDDDIVGMEESTEQLLGWLMEDEPRRTVISIVGMGGLGKTTLVTRVYNNHIIKRGFDCWAWISVSQTCGTGELLRSIIKELFGATSVVIPNNVGSMNYRQLVGMLIDYLHQKRYVIVLDDVWSIDLWSIIRTAFPNNRYGSRIILTTRNKNVATSVGIGSRVHQLAPLQEKDAWALLCKKAFWNDTDHLCPKELKHLAMAILKKCEGLPLAIVAVGGLMCSRSKTVVEWKKVLESLNWQLSNNPMLEQVKGILLLSFNDLPFYLKYCFLFCCVFRDGYPIRRKKLIRLWIAEGFIRERKGMTLEEIAEEYLTELVLRSLIQVTETNDAGRVKICRVQDVMRELAMTISEKENFCTAYDGYPSKLEGKIRRLSVYSTGESIRLGSAMSHHLRSFFVFPTDTCSSFSLAVVSSKFKFLRVLDLEGVPIETMPGTLVELFNLRYLNLRDTDIRELPKSMERLNKLQTLDVWNTYIERLPSGISKLSNLRHLFMLHKNGQNSQTTDALISMQAPGGIWNIRSLQTLACIEAEKELIQQVGNLTGLKRLEIAKLRAADGPKLCDSIQKLTGLLRLGVMATNTEEELQLEALPLTPIFLQKLTLIGQLNRLPPWIGSLENLTHLYLGYSRLQEDILSSIHVLSSLVFLELKKAYDGRALHFKEGWFPRLNKLNLVELVQLDSMKLEENSLPSIRELYLIRCQAMKALPQGIEHLNGLQKLHLEDMHEQLLQRFRSGLIEDQQKVQHIPTIKLVYITEQTRVVETL >Potri.001G346000.1.v4.1 pep chromosome:Pop_tri_v4:1:35749559:35767687:1 gene:Potri.001G346000.v4.1 transcript:Potri.001G346000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346000.v4.1 MDSYTPLLQKTRVPQPSLQKFAVISIFSKLRSAPSYLDPDSEPGREAISQCLRSASPSVVDQSVRELCRLLLDSRLDLSRTLLELQSALEGSDPKFVGLFVKALGFVVRVGFQRNHGSWRFSSIENHPFVMILSSRTEVQSELVQQVLLFFGQNRRSGMVEICEFLRPFLNFSILRVPFSNSSSSLFARQLISSMASFCCSFPDEAIPVLKLLIGCLKHASHKNSDELKNSYYFLESIVDAYTVVLRHLVGTGLLVTEAQLFGVELSDAILSLLTCHHRHAGSSEPIVELVKRLFVAQKNLSLQYMPEISSTLLSLFVVLIQSDLEYEQLSLLKLLNFLLKWKSEKEYEVDRVKCATSEELLFTFPVINLLSSTSRSIKGEAAELLVTLEKVLVELSKAPKAGLAKEGGFPPISSLGSIAYRLLRCLWFQDQFLLPTSFLNFASSGKTDVKVMHQKPRHWASQLREYILSIVDRRKSSLSVSQSQERFTRELPPLLGAITGVLVMHRSFGDTAIDLLGAIGIVDPKQGVPLLLAILFYSNIFTSKDISYQNMLPKLLALLPSLASHSVMIPLIIQTILPMLQKDGKPVLYATGARLLCQTWAINDRAFGSLQAILLPKGLTEFKHERNILISLAASIRDICRKNPDRGVDLILSVSACIESQDHIIKALGFQSLAHLCEADVIDFYTAWDVIGKHAVDYTTDPALAQSICLLLRWGAMDAEAYSEASRNVLQILWGIGTAVHVSHALEWARARIFAFEALSQYEVTHIQIGIPDFKTVNTDLLLRETNLDVLTAMEGFQVKIITHEHVNRRRLVKEKKIAGSKIEKLLNVFPQVLVSGIKGSAGQLPGAALLCLSFTPKDVNSQCLSRVSVDFHAGYESALVEIAASLQLSRNIFTALLSLQSWKSFMRRWIRANISSLDAKAPSVSLDKTSKAATDILKRVMRLAEESIPSSAENIALAIGALCVVLAPSTHTVKSTASKFLLNWLFQNEHDHRQWSAAISLGLVSSCLHVTDHKQKFENITGLIKVLHGSKSILVKGACGLGLGFACQDLLTRFEAADNVDLDKEKYKAQEVDLLGKILRTLLLMTSQLSNASYDILESLPPFFSMGANDMEINLTSDQLLEKCDDLEEDPWGVAGLVLGLGISFSAIYRAGAHDAMLKIKDLIISWIPHVNSLVTNSSFSSEGREKALSVGSCLALPSVVAFCRRVEMINDNELDQLLKGYHELISELLSVKKSGTFHQSLMLASCIGAGSLIACILNEGVHPLEAEFVKGLLEMFRKCYCSSFPPIIHLGGMLGVVNAMGAGAGILVHAHHFSASIKTACEQKESSHILGPLLSSPFCEPHLTTLVQEIFLIAQNSDDLKMQQNAAWAVSFLRNGLWSKELLNAESNDQTDVVDSKTISHNFPEDNLVMKLTIWLMHLNNSGAGAIAHVGTVVTVLRCLSRAPRLPTVDWGLIIRRCMRYEAQVSEVLLPDSALKRGALREECVQFSIAHANQFDPLLTFLDELSDLTRFRTLELNLQSCLLFHLAGLIKVFSGSRLEKLLDDIAEYFGSDILYQGYSSDQKSSLRISCWVGLYQCLEEAVLSSVEYISNLEKCIEVLFHLLPASESAAFTGVDLPNAAEEWRVAVQCLAKAQGDWLLDFLQVPLGDLVQGGSQSNEVLKKILAKVKLVRMGSIPLTELGRLKAYMLNSKSKDIWNLHAEVVAALQYADGSVKRQWLVDAVEISCVSSYPSIALKFLGLLSGSCCKYGSLLTLDQLSVLSDLPVTLPSLVTEPSWEVVAESIVSTLWTSTERIYYLVTDKGPPDNTNSTQPIDGSEKDIASFLLHVMYHTCTCLKEYLPLEKQLRLANMLVT >Potri.011G102800.1.v4.1 pep chromosome:Pop_tri_v4:11:13073792:13077309:1 gene:Potri.011G102800.v4.1 transcript:Potri.011G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102800.v4.1 MGFLVPSPVFCPRAIVLLLLCLTSSALSIDRNETDRLALLDFKSKMTRDPLGIMRLWNSSIHFCQWFGVTCSQKHQRVTVLDLRSLKLSGSVSPYIGNLSFLREIYLQNNSFSHEIPAQIGHLHRLQILLLHNNFLTGEIPASISSSYNLVSLKLANNKLIGEIPKEFGSFLKLRNLSLAGNNLTGTIPPSLGNISSLQTLWLNDNKLFGNLPATLSKLVNLRILSLFNNRFSGTIPPSMFNLSSLTAFEVGLNHFHGNLPPDLGISLPNLEFFSIHSNQFTGSVPVSISNLSNLEMLQLNQNKLTGKMPSLEKLQRLLSITIASNNLGSGDANDLSFLSSLTNATNLEELIITQNNFQGQLPPQISNLSTTLEIMGLDSNLLFGSIPDGIENLISLNDFEVQNNHLSGIIPSTIGKLQNLEILDLGLNNFSGHIPSSLGNLTNLIGLYLNDINVQGSIPSSLANCNNLLELDLSGNYISGSIPPEIFVLSSLSINLDLSRNHLSGSLPKEVGNLENLGIFAISGNMISGTIPSSLGHCTSLQVLYLDANFFEGSIPSSLSTLRGIQEFNFSHNNLSGKFPEFFQDFKSLKILDLSYNNFEGMVPVRGVFKNATATSVIGNSKLCGGTPDFELPPCNFKHPKRLSLKMKITIFVISLLLAVAVLITGLFLFWSRKKRREFTPSSDGNVLLKVSYQSLLKATNGFSSINLIGTGSFGSVYKGILDHNGTAVAVKVLNLRRQGASKSFMAECEALRNVRHRNLVKVVTACSGVDYHGNDFKALVYEFMVNGSLETWLHPSPATAEVQGILDLTQRLNIAIDVAHALDYLHHQCEKQIVHCDLKPGNVLLDDDMVGHVGDFGLAKFLLEDTLHHSMNPSSSIGIRGTIGYTPPEYGAGNEVSAYGDVYSYGILLLEMFTGKRPTDDLFNGLNLHSYVKTFLPEKVLQIADPTLLQIKFEGNSIEQNRVLECLVSVFTTGISCSVESPQERMGIADVIAQLFSARNELLGT >Potri.004G186600.6.v4.1 pep chromosome:Pop_tri_v4:4:19999361:20000024:1 gene:Potri.004G186600.v4.1 transcript:Potri.004G186600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186600.v4.1 MPKVRRSRIKYPEGWELIEPTLRELDGKMREAELDPHDGKRKCEALWPIFKITHQKSRYIYDLYYRRSEISKELYEFCLDQGYGDRNLIAKWKKPGYERLCCLRCIQPRDHNFGTTCVCRVPKHLREEKVVECVHCGCGGCASGD >Potri.005G158100.1.v4.1 pep chromosome:Pop_tri_v4:5:15154544:15158595:1 gene:Potri.005G158100.v4.1 transcript:Potri.005G158100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158100.v4.1 MGEDWGSLFPQQRFNWQSPNSNYLDASLAFGQQNTLPLLMDPNTNMVFTNGIGYPFTELLPSQASQENEPRGWFHGLPHFRQALMPPSNSVHKERRPRLDTVLKEKLPTAPHDNKEDNMPKAVSGCDQKRLLVFDQSGDQTTLIFSSGVGTPVQCLKSWIPKPTVGFDLNREIPGAKGQNFHLGPIANDEFVEDDGIDMHEDTEELNALLYSDDGSVCSEDEVTSTGHSPSTMTTHDRRDWFDGSAEEVASSNGSNKKRKLFDGGYTDAPSLKDTATSVKPTRCFGHEEDVESRCDNGTNSLSHEMGSESGNKRMRKENIRETMSILQNLIPGGKGKDAIVVLEEAIQYLKSLKFKAKALGLDAP >Potri.004G067000.1.v4.1 pep chromosome:Pop_tri_v4:4:5732215:5737561:1 gene:Potri.004G067000.v4.1 transcript:Potri.004G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067000.v4.1 MSSSIKQPNVITCKAAVAWGAGEALVMQEVEVSPPHPQEIRIKVVTTSLCRSDISALDSHAIFPRIFGHEASGIVESIGEGVTEFQEGDHVLTVFTGECKTCRQCMSGKSNMCQVLGLERRGVMHSDQGTRFSINGKPVYHYCAVSSFSEYTVVHTGCAVKVSSAAPLEKICLLSCGLAAGLGAAWNVADISKGSTVVIFGLGTVGLSVAQGARIRGASQIIGVDTNPEKCDKAKDFGITEFINPNDCNEPIQQVIKRITDGGADYSFECIGDTGMITTALQSCCDGWGLTVTLGVPKVKPEVSAHYGLFLSGRTLKGSLFGGWKPKSDLPSLVDMYMNKEIQIDEFITHNLPFEEINKAFELMREGKCLRCVIHMPK >Potri.005G223900.1.v4.1 pep chromosome:Pop_tri_v4:5:22513023:22516260:1 gene:Potri.005G223900.v4.1 transcript:Potri.005G223900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G223900.v4.1 MDPEGHRGSSNPAAMLANLLNKRAKLHEELRVIERQVYDLETSYLQDPGQCGNVLKGFEGFLSSSKNTALLKRSRKFQSEDRLFSLSSVTSPAAEEQAAGRDDGRSEFGVGRSKGGGIYANGQGKPKKGRGASRDSKRIRPSADPDFDYDDDADLTL >Potri.005G193500.3.v4.1 pep chromosome:Pop_tri_v4:5:20094080:20097258:1 gene:Potri.005G193500.v4.1 transcript:Potri.005G193500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193500.v4.1 MEMRAGEEDIEIGEDITPSVIPLSYALHDSFIHSHCSSCFSRLPSANFTQHHHVPTLLYCSSICSSSHFSPAELHLLHSPPSSDLRAALRLLPLSLPSSSTNRICGLLTNREKLMADEEISAHVRYGAKAIAAARRIEMVENEKNDAVLLEAALCLVLTNAVEVHDNEGRSIGIAVYGPNFSWINHSCSPNACYRSIISPPDNVLPFSDESRLRILPAGTESDNGVHNNIEFSKGCSGSGPRVIVRSIKRIKRGEEVTVAYTDLLQPKEISASNLASSSLSSELSFYRDEATRKLTDYVDEVTAEYLAVGDPESCCKKLENMLITGLLDEQLEVREGKSQLNFRLHALHHLALNTYTVLASAYKIRASDLFSLHSEVGGLPWEALSMSRISAAYSLLLATATYHLFCFESSLLVSVANFWTSAGESLLALAKSSAWDSLGKCGFPVLNLSPLAKHKCSKCSLLESFEVNLSFGQDHIRKAGFDSVSSRFLDCIGSLLQEVWGFLIQGDRYLKMFKDPTDFSWLGKSLDIWDFDAELTHNDVDFNCWTNKSVSGIEALGYTDQWRINTFQLGVHCLLYGGFLAGICYGPHSHWSSHIRSALNYEGK >Potri.005G193500.1.v4.1 pep chromosome:Pop_tri_v4:5:20094011:20097549:1 gene:Potri.005G193500.v4.1 transcript:Potri.005G193500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193500.v4.1 MEMRAGEEDIEIGEDITPSVIPLSYALHDSFIHSHCSSCFSRLPSANFTQHHHVPTLLYCSSICSSSHFSPAELHLLHSPPSSDLRAALRLLPLSLPSSSTNRICGLLTNREKLMADEEISAHVRYGAKAIAAARRIEMVENEKNDAVLLEAALCLVLTNAVEVHDNEGRSIGIAVYGPNFSWINHSCSPNACYRSIISPPDNVLPFSDESRLRILPAGTESDNGVHNNIEFSKGCSGSGPRVIVRSIKRIKRGEEVTVAYTDLLQPKEIRRSELWAKYRFICCCTRCIASPPSYVDHVLQEISASNLASSSLSSELSFYRDEATRKLTDYVDEVTAEYLAVGDPESCCKKLENMLITGLLDEQLEVREGKSQLNFRLHALHHLALNTYTVLASAYKIRASDLFSLHSEVGGLPWEALSMSRISAAYSLLLATATYHLFCFESSLLVSVANFWTSAGESLLALAKSSAWDSLGKCGFPVLNLSPLAKHKCSKCSLLESFEVNLSFGQDHIRKAGFDSVSSRFLDCIGSLLQEVWGFLIQGDRYLKMFKDPTDFSWLGKSLDIWDFDAELTHNDVDFNCWTNKSVSGIEALGYTDQWRINTFQLGVHCLLYGGFLAGICYGPHSHWSSHIRSALNYEGK >Potri.005G193500.4.v4.1 pep chromosome:Pop_tri_v4:5:20094179:20097259:1 gene:Potri.005G193500.v4.1 transcript:Potri.005G193500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193500.v4.1 MLITGLLDEQLEVREGKSQLNFRLHALHHLALNTYTVLASAYKIRASDLFSLHSEVGGLPWEALSMSRISAAYSLLLATATYHLFCFESSLLVSVANFWTSAGESLLALAKSSAWDSLGKCGFPVLNLSPLAKHKCSKCSLLESFEVNLSFGQDHIRKAGFDSVSSRFLDCIGSLLQEVWGFLIQGDRYLKMFKDPTDFSWLGKSLDIWDFDAELTHNDVDFNCWTNKSVSGIEALGYTDQWRINTFQLGVHCLLYGGFLAGICYGPHSHWSSHIRSALNYEGK >Potri.015G095500.1.v4.1 pep chromosome:Pop_tri_v4:15:11720290:11729102:-1 gene:Potri.015G095500.v4.1 transcript:Potri.015G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G095500.v4.1 MAHLGGGAEAHARFKQYEYRANSSLVLTTDSRPRDTHEPTGEPETLWGRIDPRSFGDRAYRGRPAELDEKINKAKRKKKERDAVSEAGPTRQAKRRRLREESVLTSTEEGVYQPKTKETRAAYEAMLSVIQQQLGGQPLNIVSAAADEILAVLKNESVRTQDKRKEIEKLLNPIPNNMFEQVVSIGRLITDYQDAGDGAGASVANGDDALDDGVGVAVEFDEDNEDEEEDSDLDMVPEEEEEEDDVVEPGGSGAMQMGGGIDDDEMGEANEGLNLNVQDIDAYWLQRKISLAYEQQIDPQQCQKLAEEVLKILAEGDDREVETKLLVHLQFDKFSLIKFLLRNRLKIVWCTRLARAKDQEERKQIEEEMMGLGPDLAGILEQLHATRATAKERQKNLEKSIREEARRLKDETGGDGDRDRRGLVDRDAESGWVKGQPQMLDLDSIAFEQGGLLMANKKCDLPVGSFKHQKKGYEEVHVPALKQKPIPPDERFVKISEMPDWAQPAFKGMQQLNRVQSKVYETALFKADNVLLCAPTGAGKTNVAVLTILQQIALNRNPDGSFNNNNYKIVYVAPMKALVAEVVGNLSNRLQEYGVQVKELSGDQSLTRQQIEETQIIVTTPEKWDIITRKSGDRTYTQLVKLLIIDEIHLLHDNRGPVLESIVARTVRQIETTKEHIRLVGLSATLPNFEDVALFLRVDLDKGLFHFDNSYRPVPLSQQYIGINIKKPLQRFQLMNDICYEKVMDVAGKHQVLIFVHSRKETAKTARAIRDTALANDTLSRFLREDSASREILQTHTELVKSNDLKDLLPYGFAVHHAGMTRGDRQLVEDLFADGHVQVLVSTATLAWGVNLPAHTVIIKGTQIYNPEKGAWTELSPLDVMQMLGRAGRPQYDSYGEGIIITGHSELQYYLSLMNQQLPIESQFISKLADQLNAEIVLGTVQNAREACHWLGYTYLYIRMLRNPTLYGLAPDVLTRDITLEERRADLIHSAAAILDKNNLVKYDRKSGYFQGTDLGRIASYYYITHGTISTYNEHLKPTMGDIELCRLFSLSEEFKYVTVRQDEKMELAKLLDRVPIPIKESLEEPSAKINVLLQAYISQLKLEGLSLTSDMVFITQSAGRLMRALFEIVLKRGWAQLAEKALNLCKMVNKRMWSVQTPLRQFHGIPNEILMKLEKKDLSWDRYYDLKPQEIGELIRFPKMGRTLYKFIHQFPKLNLAAHVQPITRTVLRVELTITADFQWEDNVHGYVEPFWVIVEDNDGDYILHHEYFMLKKQYVDEHQVVDLTLNFTVPIYEPLPPQYFIRVVSDKWLGSQTVLPVSFRHLILPEKYPPPTELLDLQPLPVTALRNPSYEALYQDFKHFNPVQTQVFTVLYNTDDNVLVAAPTGSGKTICAEFAILRNHQKGPESVMRAVYIAPLEAIARERYRDWERKFGRGLGMRVVELTGETATDLKLLEKGQIIISTPEKWDALSRRWKQRKYVQQVSLFIIDELHLIGGQGGPVLEVIVSRMRYIASQIENKIRIVALSSSLANAKDLGEWIGATSHGLFNFPPGVRPVPLEIHIQGVDIANFEARMQAMTKPTYTSIVQHAKNGKPAIVFVPTRKHVRLAAVDLMTYSSMDGGEKPPFLLRSIEELEPFIGKIQEEMLRATLHHGIGYLHEGLSSLDQEVVSQLFEAGWIQVCVMSSSMCWGVPLSAHLVVVMGTQYYDGQENAHTDYPVTDLLQMMGHASRPLLDNSGKCVIFCHAPRKEYYKKFLYEAFPVESHLHHFLHDNFNAEVVAGVIENKQDAVDYLTWTFTYRRLTQNPNYYNLQGVSHRHLSDHLSELVENTLTDLERSKCVAIEEDMDLSPLNLGMIASYYYISYTTIERFSSSLTPKTKMKGLLEILSSASEYAQLPIRPGEEEVLRRLINHQRFSFENPRYADPHVKANVLLQAHFSRQSVGGNLALDQREVLLSGSRLLQAMVDVISSNGWLSLALLAMEVSQMVTQGMWERDSMLLQLPHFTKDMAKRCQENPGKSIETVFDLVEMEDDERRELLQMSDSQLLDIVRFCNRFPNIDMSYEVMDGDNVRAGEDITLLVTLERDLEGRTEVGPVDSPRYPKAKEEGWWLVVGDTKSNQLLAIKRVSLQRKSKVKLEFAAPADTGRKSYTLYFMCDSYLGCDQEYNFSVDVGEAAGPDEDSGRE >Potri.017G005150.1.v4.1 pep chromosome:Pop_tri_v4:17:333581:343767:1 gene:Potri.017G005150.v4.1 transcript:Potri.017G005150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005150.v4.1 MAVGGAKLALLLIHILSVAGKTCSFDYGSDDHEHRKKLDSSLKSGFLIGYVFSSVSIITIFMSCCVPWARLLKRKGKEVMMKTPMMTSLMERQEKKRKEANVQNSELEKMVTRTSFAALNIATRSFDQDNVIGVGKMGTMYRAAHRYDLFTAVKRLHDSQHLGKQFRSELIILAKFRHMNIIPLLGFCIESGERLLVYKYMPNGNLHDWLHPVKCNAEKLDWHVRVKIAIGVARGLAWLHDFNNFLIVHLDICSRSILLDKYFVPKISNFGGAMHRRSNDKGLIASSKIGELELIKQDVYQFGILLLELIAVHDPDHNSKSSHTLEENLFERIAHLSSSSSGLYHAVDKSLLGQGFDGEILHFLKIASSCIHPILDQRPTMLQAFQMLMVLRGEREREREREREREIHRKS >Potri.011G139300.1.v4.1 pep chromosome:Pop_tri_v4:11:17033535:17036559:1 gene:Potri.011G139300.v4.1 transcript:Potri.011G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139300.v4.1 MEKERERERKEEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFRYYLNGVDAFRLKLLFPQPELHPFLPMMADRDATQMHDDHSPTSEEFSELHRNL >Potri.010G252100.3.v4.1 pep chromosome:Pop_tri_v4:10:22432749:22436897:1 gene:Potri.010G252100.v4.1 transcript:Potri.010G252100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252100.v4.1 MVRSSGKQKSTKLVILCVVLLGFGLIADYLWASSPHFASSSYLSNWVPADNSQSHVIIPKQEPHHVDTKPPKIKADGAHDRSLSATFADLPAPELKWEKMANAPVPRLDGAAIQIKDLLYVFAGYGTIDLVHSHVDIYNFTDNTWGRRFNMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARNFVLDTKTKQWQDLPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPALEHWSLAVKDGKALEKEWRPEIPIPRGGPHRACVMVSDRLLIIGGQEGDFMAKPGSPIFKCSRRNEIVYDEVHMLDDEMKWKPLPPMPKPDSHIEFAWAIVNNSIVIAGGTTEKHPTTKKMVLVGEVFRFNLDTLV >Potri.010G252100.1.v4.1 pep chromosome:Pop_tri_v4:10:22432751:22436897:1 gene:Potri.010G252100.v4.1 transcript:Potri.010G252100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252100.v4.1 MVRSSGKQKSTKLVILCVVLLGFGLIADYLWASSPHFASSSYLSNWVPADNSQSHVIIPKQEPHHVDTKPPKIKADGAHDRSLSATFADLPAPELKWEKMANAPVPRLDGAAIQIKDLLYVFAGYGTIDLVHSHVDIYNFTDNTWGRRFNMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARNFVLDTKTKQWQDLPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPALEHWSLAVKDGKALEKEWRPEIPIPRGGPHRACVMVSDRLLIIGGQEGDFMAKPGSPIFKCSRRNEIVYDEVHMLDDEMKWKPLPPMPKPDSHIEFAWAIVNNSIVIAGGTTEKHPTTKKMVLVGEVFRFNLDTLTWSVIGKLPYRVKTTLVGFWNGWLYFTSGQRDRGPDDPAPKKVIADMWRTKLRLNS >Potri.017G124300.1.v4.1 pep chromosome:Pop_tri_v4:17:12857934:12863464:-1 gene:Potri.017G124300.v4.1 transcript:Potri.017G124300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124300.v4.1 MDENSALIAQLLMEDEIEMRNSSGKGNVNVNVVNVKDQSDGGWKTVTYSKRNKKQQLPKVSNLPENSSSDHRRSNGVGGGEADVFRSIEQHSEDRRRRIAEESRRREEEESGERDGSKRHSDEDDGESDDGDRGGAGEQVKKVKKPKAKKPKVTVAEAAAKIDAGDLGAFLVDITVSYETQQDILLMRFADYFGRAFSSVSSAQFPWLKIFKESSVGKLVDIPLGHISQDVYKTAVDWLGQRSLEALGSFVLWSVDSIFADLASHQGVTKGSKKVVQQSPSKSLVAIFVVLAMALQRKPDVLINLLPVISENPKYQGQDKLPVTVWMIAQASQGDLVVGLYMWIRVLFPMLSGKSSSNPQSRDLILQLIERILSSPKARTILLNGAVKKGERLVPPSALELLMRVTFPVPSARVKATERFEAVYPTLKEVALAGSSGSKAMKQVTQQILNISVKAIGEGNSELSKEASDIFIWCLTQNPECYKQWDMFYLDNLKASVMVLRQLSDEWKDHSVKHSCLDQVRETLKSFRQKNEEVLAKAENSGDHASLKEADKYCKAILGRFSRGLGCIRSTFIVSAALAVGAVIMSQKEFWDLQKLSAMLNLPTS >Potri.007G000801.1.v4.1 pep chromosome:Pop_tri_v4:7:62494:63009:1 gene:Potri.007G000801.v4.1 transcript:Potri.007G000801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000801.v4.1 MGVIGFCVDSNRVVLCGKRKLRSLFWRVRAEIRRQVKSSKSKQRLSFNYDPFSYALNFDDGNFGFFC >Potri.005G227600.1.v4.1 pep chromosome:Pop_tri_v4:5:22785590:22788237:-1 gene:Potri.005G227600.v4.1 transcript:Potri.005G227600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227600.v4.1 MAISSSFPIFGLLFLTTLMSSLVISHQQPLLDSAEQDSLFQVLYSINSAIPWRTLFPDDLCLSAPHGIVCEYFTEEQPPLTPNGSVSTQPPLETAHISELSFGFVSDYTSNPPCSPNSTINPLVFTSFKFLRKLFFYKCFTEMPVSVPDVSSSSFGANLEELVFIENPALVGSLSGIIGNFTNLRRLVLTGNGIYGNIPDGVGSLVNMEEVTVSRNQLSGGVPFSLAKLKKLRVLDLSQNYLDGYVPLSVGNLSRLLKLDLSHNRLSGKIPESLVSLQSLEFLDLSFNSFGNYGVPLFLGEMPRLKEVYLSGNLLGGHIPEIWEKLGGISGIGFSDMGLVGNIPASMGVHLRNLCYLGLDNNKLEGTVPEELGFLKCGYEINLENNNLSGKIPVTFTSKVAEKLKLKGNSGLCVDGGDFSGFGKFEGSLGKLKLCNKSDISSPVLVQEGSLDSSSSSQTQISSRMMLGFGFLFFLSC >Potri.010G055400.1.v4.1 pep chromosome:Pop_tri_v4:10:8648182:8651220:1 gene:Potri.010G055400.v4.1 transcript:Potri.010G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055400.v4.1 MACDKKIKIGINGFGRIGRLVARVALQRDDVELVAINDPFITTDYMTYMFKYDTVHGRWKHSELKVKDEKTLLFGEKAVAVFGIRNPEEIPWAQAGAEFVVESTGVFTDKDKAAAHLKGGAKKVVISAPSKDAPMFVVGVNEKQYTPDLDIVSNASCTTNCLAPLAKVIHDRFGIVEGLMTTVHAITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMSFRVPTVDVSVVDLTVRLEKKATYEAIKSAIKEESENNLKGILGYVEEDVVSTDFIGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLIAHMAKTQA >Potri.009G037000.1.v4.1 pep chromosome:Pop_tri_v4:9:4629655:4632563:1 gene:Potri.009G037000.v4.1 transcript:Potri.009G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037000.v4.1 MAPALTSNSFLLNTTPHSRLSLENPRLRVFAKKAGPFSTFQFGKPKDDESQTDGSGNSSPFNFNFGKVPDMKSLVPVVSKPSSGLSFGNIRRKDPGTVFVAGATGQAGIRIAQTLLREGFSVRAGVPQLGDAQELALLAAQYKIISNEESKRLNAVESTFQDTESIAKAIGNASKAVVTIGPTENGPTSEVSTLDALQVIEAAQLAGVGHVAIIYDGNPASSSTNNVLDGFKTFFNNLFSQSQLSVPEFLQKVIETDVSYTFIKTSLTEDFSPESSYNVVVSAEGSTGTGDFKVAKSQIASVVANVFSNTSVAENKVVEVFTNPSAPSKPVDELFSAIPEDGRRKVYAEALAKAKAEEETRVAAEKASEAAEAAKKLGDEVKKLSEQGAKAASLAEEAQGKAEAAGASVENFLSKATGVSSGFSWEKLSSQLSTAVQTTSENTKVQLATVRGQAKARSLPVQKAVVKQPSPKPRALKPKEEPKPKAKETESKAEVRKVFGGLFQQETIYIDDD >Potri.007G110700.1.v4.1 pep chromosome:Pop_tri_v4:7:13257207:13258376:1 gene:Potri.007G110700.v4.1 transcript:Potri.007G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110700.v4.1 MVACRRPLTLLLCLFFLFFIFFVGYSLGSRSTHVFKFKPKTQYKGHFFNLLPRHLPIPTSGPSRRHNDIGLQNWKSP >Potri.008G095200.6.v4.1 pep chromosome:Pop_tri_v4:8:5934393:5940194:1 gene:Potri.008G095200.v4.1 transcript:Potri.008G095200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095200.v4.1 MASTITTNSIQSPSNASRLSSLYLSRNRISPNNVLFKTPSQSLLATKAKRFAFSPFPENRKSLVAKTLSFPGWTQQIRLTSSVVVKAAADAEGHAEPAKSFGERFPALVTGFFFFTWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVVYCLVSWGVGLPKRAPIDKELLALLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFSAAASQFVLGHQIPLSLWLSLAPVVIGVSVASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALLVCIPPAVLIEGPQLMQHGFRDAIAKVGLVKFLSDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNRISTQTGIGTAIAIAGVAIYSLIKANMEEQNRKADITHAS >Potri.008G095200.3.v4.1 pep chromosome:Pop_tri_v4:8:5934374:5945004:1 gene:Potri.008G095200.v4.1 transcript:Potri.008G095200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095200.v4.1 MASTITTNSIQSPSNASRLSSLYLSRNRISPNNVLFKTPSQSLLATKAKRFAFSPFPENRKSLVAKTLSFPGWTQQIRLTSSVVVKAAADAEGHAEPAKSFGERFPALVTGFFFFTWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVVYCLVSWGVGLPKRAPIDKELLALLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFSAAASQFVLGHQIPLSLWLSLAPVVIGVSVASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALLVCIPPAVLIEGPQLMQHGFRDAIAKVGLVKFLSDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNRISTQTGIGTAIAIAGVAIYSLIKANMEEQNRKADITHAS >Potri.008G095200.2.v4.1 pep chromosome:Pop_tri_v4:8:5934374:5945004:1 gene:Potri.008G095200.v4.1 transcript:Potri.008G095200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095200.v4.1 MASTITTNSIQSPSNASRLSSLYLSRNRISPNNVLFKTPSQSLLATKAKRFAFSPFPENRKSLVAKTLSFPGWTQQIRLTSSVVVKAAADAEGHAEPAKSFGERFPALVTGFFFFTWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVVYCLVSWGVGLPKRAPIDKELLALLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFSAAASQFVLGHQIPLSLWLSLAPVVIGVSVASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALLVCIPPAVLIEGPQLMQHGFRDAIAKVGLVKFLSDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNRISTQTGIGTAIAIAGVAIYSLIKANMEEQNRKADITHAS >Potri.008G095200.4.v4.1 pep chromosome:Pop_tri_v4:8:5934414:5940194:1 gene:Potri.008G095200.v4.1 transcript:Potri.008G095200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095200.v4.1 MASTITTNSIQSPSNASRLSSLYLSRNRISPNNVLFKTPSQSLLATKAKRFAFSPFPENRKSLVAKTLSFPGWTQQIRLTSSVVVKAAADAEGHAEPAKSFGERFPALVTGFFFFTWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVVYCLVSWGVGLPKRAPIDKELLALLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFSAAASQFVLGHQIPLSLWLSLAPVVIGVSVASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALLVCIPPAVLIEGPQLMQHGFRDAIAKVGLVKFLSDLFWIGMFYHLYNQVATNTLERVAPLTHAVGNVLKRVFVIGFSIVVFGNRISTQTGIGTAIAIAGVAIYSLIKANMEEQNRKADITHAS >Potri.008G095200.5.v4.1 pep chromosome:Pop_tri_v4:8:5934414:5940236:1 gene:Potri.008G095200.v4.1 transcript:Potri.008G095200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095200.v4.1 MASTITTNSIQSPSNASRLSSLYLSRNRISPNNVLFKTPSQSLLATKAKRFAFSPFPENRKSLVAKTLSFPGWTQQIRLTSSVVVKAAADAEGHAEPAKSFGERFPALVTGFFFFTWYFLNVIFNILNKKVYNYFPYPYFVSVVHLLVGVVYCLVSWGVGLPKRAPIDKELLALLTPVAFCHALGHVMSNVSFAAVAVSFTHTIKALEPFFSAAASQFVLGHQIPLSLWLSLAPVVIGVSVASLTELSFNWTGFISAMISNIAFTYRSIYSKKAMTGMDSTNVYAYISIIALLVCIPPAVLIEGPQLMQHGFRDAIAKVGLVKFLSDLFWIGMFYHLYNQVSQIYDN >Potri.015G144300.1.v4.1 pep chromosome:Pop_tri_v4:15:14939427:14940544:-1 gene:Potri.015G144300.v4.1 transcript:Potri.015G144300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144300.v4.1 MSLMVEVRVPNLDCEGCASKLKKALLKLKGAEEVEVEMEVQKITVRGYALEEKKVIKAIKRAGKAAEPWPFPGYSHFASFYKYPTYIVNHYYDTYKNVASTNGVHTFFHTPAVYSLAVASDEAVASLFSDDNPHACTIM >Potri.007G051900.1.v4.1 pep chromosome:Pop_tri_v4:7:5065792:5069591:1 gene:Potri.007G051900.v4.1 transcript:Potri.007G051900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051900.v4.1 MCTRPLMRCLRGEGGGDGLLWHTDLKSYACGDYSIAVVQANSLLEDQGQVFTSPSATYVGVYDGHGGPEASRFLTHHLFPFLHKFATEQGGLSSEAIRKAFDATEMEFLHFVKQSWRARPQMASVGSCCLVGAISNDVLYVANLGDSRVVLGRKVSEGKENSAVVAERLTTDHNVSDEEVRKEVEALHPDDAHIVVYTQGVWRIKGIIQVSRSIGDIYLKKPELNRDPLFQPFGFPFPLKRPVMTAEPSILMRKLKPQDLFLIFASDGLWEQMSDKTAVDIVSRSPRFGIAKRLVRAAIQEAARKTEMTYDDIKRIGRGGRRQIHDDITVIVVYLDDPLGSSNGRLAHSLVDYTCSPVDIFSLNEDEAQD >Potri.016G032600.4.v4.1 pep chromosome:Pop_tri_v4:16:1838128:1839990:-1 gene:Potri.016G032600.v4.1 transcript:Potri.016G032600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032600.v4.1 MPLSQTLITPDLALAFDITNPTNTTNTNNHNSSLHQNQPLPPPPPPPAAVSPTPTNPLASPQPNSGDFATDSGELGSGAGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMNVDGLTRENVASHLQKYRLYLKRMQGLSSGGGGPGNGAVGGGGGLTGGSDAATDHLFASSPVPAHFLHPGRPNSDHFLPFVPVAALQQHHHQQQMAAAAAAVGHPQLQGQYHRPMGHFGSPTNGQFEHPFLARQTQQPVHRMGAPVHNAVPGYVEDLESANGNEGRKVLTLFPTGDD >Potri.016G032600.1.v4.1 pep chromosome:Pop_tri_v4:16:1838203:1840398:-1 gene:Potri.016G032600.v4.1 transcript:Potri.016G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032600.v4.1 MREDDSNWFSRWEEELPSLEELMPLSQTLITPDLALAFDITNPTNTTNTNNHNSSLHQNQPLPPPPPPPAAVSPTPTNPLASPQPNSGDFATDSGELGSGAGGDEPARTLKRPRLVWTPQLHKRFVDAVAHLGIKNAVPKTIMQLMNVDGLTRENVASHLQKYRLYLKRMQGLSSGGGGPGNGAVGGGGGLTGGSDAATDHLFASSPVPAHFLHPGRPNSDHFLPFVPVAALQQHHHQQQMAAAAAAVGHPQLQGQYHRPMGHFGSPTNGQFEHPFLARQTQQPVHRMGAPVHNAVPGYVEDLESANGNEGRKVLTLFPTGDD >Potri.006G007800.1.v4.1 pep chromosome:Pop_tri_v4:6:540542:543200:-1 gene:Potri.006G007800.v4.1 transcript:Potri.006G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007800.v4.1 MAMASTSSSSLPIPLSTPSHDPSNKTQKTSLFRISPPPSPSLKTPSIRSRLSKLCQEGQPHIALQLFDTFPRPTTVICNTIIIGFICNNLPLEAILFYSKLKSSSLGTKFDSYTYSSTLKACAETRSLKIGRAIHCHLIRCLSNPSRIVYNSLLNMYSSCLSNVGCLSYLDYSKYDLVHKVFDTMRKRDVVAWNTMVSWYVKTERYVEAIRLFRLVMKMGIKPSPVSFVNVFPAFSSVEDFKNANALYGMLVKMGSEYVNDLFVVSSAIFMFAELGHIDFARKVFDHCLEKNTEIWNTMIGGYVQNNLLIEGIDLFLKAVETEQTVLDDVTFLSVLTAVSQLQCLDLAQQQHAFVIKNLAVFPVMITNAIIVMYSRCNSVHTSFEVFEKMVERDVVSWNTMISAFVQNGMDDEGLMLVYEMQKQGFAIDSVTVTALLSAASNLRSQEIGKQTYAYLLRHGIQFEGMDGYLIDMYAKCGLIRLSQRIFERSNVNNRDQATWNAMIAGYTQHGLVEEAFVTFRQMLEKNVMPNAVTLATILPACNPVGNIDLGKQLHGVSIRLLLDKNIFVSTSLVDMYSKSGSINYAESVFTKLPDKNSVTYTTMILAYGQHGMGERALSLFHSMKKSGIEPDAITFIAVLSACSHSGLVDEGLQIFESMEKDFKIQPSTPHYCCVTDMLGRVGRVVEAYEFVKQLGEAGNVLEIWGSLLGACRLHEHVELGEVVAKKLLEMEKTGNITGYHVLLSNIYAEEGNWVNVDKVRREMREKGLQKEVGSSWIDIGGSVARFTSKDQDHPHSDKIYEMLAGLAMEMKKSDRSPQINP >Potri.006G007800.2.v4.1 pep chromosome:Pop_tri_v4:6:540052:543175:-1 gene:Potri.006G007800.v4.1 transcript:Potri.006G007800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007800.v4.1 MASTSSSSLPIPLSTPSHDPSNKTQKTSLFRISPPPSPSLKTPSIRSRLSKLCQEGQPHIALQLFDTFPRPTTVICNTIIIGFICNNLPLEAILFYSKLKSSSLGTKFDSYTYSSTLKACAETRSLKIGRAIHCHLIRCLSNPSRIVYNSLLNMYSSCLSNVGCLSYLDYSKYDLVHKVFDTMRKRDVVAWNTMVSWYVKTERYVEAIRLFRLVMKMGIKPSPVSFVNVFPAFSSVEDFKNANALYGMLVKMGSEYVNDLFVVSSAIFMFAELGHIDFARKVFDHCLEKNTEIWNTMIGGYVQNNLLIEGIDLFLKAVETEQTVLDDVTFLSVLTAVSQLQCLDLAQQQHAFVIKNLAVFPVMITNAIIVMYSRCNSVHTSFEVFEKMVERDVVSWNTMISAFVQNGMDDEGLMLVYEMQKQGFAIDSVTVTALLSAASNLRSQEIGKQTYAYLLRHGIQFEGMDGYLIDMYAKCGLIRLSQRIFERSNVNNRDQATWNAMIAGYTQHGLVEEAFVTFRQMLEKNVMPNAVTLATILPACNPVGNIDLGKQLHGVSIRLLLDKNIFVSTSLVDMYSKSGSINYAESVFTKLPDKNSVTYTTMILAYGQHGMGERALSLFHSMKKSGIEPDAITFIAVLSACSHSGLVDEGLQIFESMEKDFKIQPSTPHYCCVTDMLGRVGRVVEAYEFVKQLGEAGNVLEIWGSLLGACRLHEHVELGEVVAKKLLEMEKTGNITGYHVLLSNIYAEEGNWVNVDKVRREMREKGLQKEVGSSWIDIGGSVARFTSKDQDHPHSDKIYEMLAGLAMEMKKSDRSPQINP >Potri.013G044600.1.v4.1 pep chromosome:Pop_tri_v4:13:3105079:3109556:1 gene:Potri.013G044600.v4.1 transcript:Potri.013G044600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044600.v4.1 MGDSLFEGLPPPSHQQQNQEAEANDTSKTTKREPSTVPAPPKPALKSALKRPKPVEAKPDPEDGAAEKATASGKRLRFKTTTDASETQVIEAMKKIASHIKTPAKFSKASKLAIQLIQAGSVKPGTSDHFFAILEAAMSSTTSCTDPSVRADYHCLFSAARDAAECLNKKQKNQLATWTVRAVLANDFFTDDSFLFSKTAGQVKDAIADLPVATKDDDIEEAASLIDKAATTDEYSKREDTCSGAEAEENKDVSDPFGLDALIPSTVKKDEKVKGKKDTPVKVREEEEEESKRFLKYQREALITCLEIAARRYKIPWCQTVIDILVKHAFDNVARFTSCQRDAIQKLWASIREQQTRRKQGKSVNGKLDVNGFEWLQQKYAGEKISIRHSVGGSGDRRASQWLG >Potri.002G184700.1.v4.1 pep chromosome:Pop_tri_v4:2:14643308:14644650:1 gene:Potri.002G184700.v4.1 transcript:Potri.002G184700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184700.v4.1 MASLSVLSCAVFLFLGIYCTQFHSASAAWQQAHATFYGGSDASGTMGGACGYGNLYTDGYGIKTAALSTALFNDGKSCGGCYQIICDSTKVPQWCLKGKYITITATNFCPPNYNLPNDNGGWCNPPRPHFDMSQPAFQTIAKYRAGIVPILYRKVGCDRSGGIRFTINGKNYFELVLISNVGGAGDISRVWIKGSKSNQWEAMSRNWGSNWQSLSYLNGQSLSFKIQTSNGQTRTALNVAPSNWVFGQSFKSNVQF >Potri.013G018100.1.v4.1 pep chromosome:Pop_tri_v4:13:1142697:1143707:-1 gene:Potri.013G018100.v4.1 transcript:Potri.013G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018100.v4.1 MTRKKVKLAYITNDSARKATFKKRKKGLMKKVSELSTLCGIEACAIICSPYDTQPEVWPSPLGVQRVLSQFQRLPEMEQCKKMVNQESFLRQRITKANGHLKKQRKDNREKEVTHAMFQCLTGKSLQNLNLMDLNDLGWMVDRNLKEINKRSDSLKNVTNSQMAAGPSGEHPSQRAEVPVFEVNNDNMQRQQWFMDLLTPQEPLGFGGSDEMMLPFVDNNQNPPWSNTFFP >Potri.006G128700.1.v4.1 pep chromosome:Pop_tri_v4:6:10428136:10432284:1 gene:Potri.006G128700.v4.1 transcript:Potri.006G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128700.v4.1 MGNISSRNNVNSRRSLGSRRSHPPPPPPAPPQPEITANRYVFAAATPYPSQYPNPNPPQYYQHPGYYPAQPTAMPVPLPAPYDHHHRVDHPAAHWVSGRCPMMPQPAPYVEHQKAVTIRNDVNLKKESLRIEPDEENPGSYLVTFTFDATVAGSITIIFFAKEGEDCILTPTKAYLLPPVTVNFQQGLGQKFRQPSGTGIDFTLFEEKELVKEGEMDAYPLAVKAEASPANRKGTEGNQMSGATNSQITHAVFEKEKGEYQVRVMKQILWVNGIRYELQEIYGIGDSVDGDVDANDPGKECVICLSEPRDTTVLPCRHMCMCSGCAKVLRFQTNRCPICRQPVDRLLEIKVNNGPDE >Potri.002G075500.6.v4.1 pep chromosome:Pop_tri_v4:2:5273641:5279722:1 gene:Potri.002G075500.v4.1 transcript:Potri.002G075500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075500.v4.1 MANTAAACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDALKILKKRLGSKNPKIQLLALFALETLSKNCGDSVFQQIIERDILHDMVKIVKKKPDLNVREKILLLIDAWQEAFEGPRGRYPQYHAAYNELRSAGVEFPPRAENSVPFFTPPQTQPIADVPSAYEDAAIQASLQSDASGLSLHEIQNARGLADVLMEMLSAMDPKNPEGVKQEVVVDLVDQCRSYQKRVMLLVNNTTDEGLLFQGLALNDDLQRVLRQHDDFAKGIPGVGEREMETPVVPLANINHEDDESEDDFTQLAHRSSRDNSQGLGQKPVSVRTQPGPVSPFLPPPPLSKNPVNKETGMIDYLSGDVYKSEGSPQISEPTPFKVPMHSNVSSSPPYSPTVSASSPPSSAVNSSPVLTGHPVFDEPAPLSQSGERLPPAPWDAQPAGSLPPPPSRYNQRQQFFEHNIGVVGGASHSSSGSGSSYDSLAVQTQNLSLNSSTPPKQAKPEDALFKDLVDFAKSKSSSSSKPNNRSF >Potri.002G075500.1.v4.1 pep chromosome:Pop_tri_v4:2:5273470:5279742:1 gene:Potri.002G075500.v4.1 transcript:Potri.002G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075500.v4.1 MANTAAACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDALKILKKRLGSKNPKIQLLALFALETLSKNCGDSVFQQIIERDILHDMVKIVKKKPDLNVREKILLLIDAWQEAFEGPRGRYPQYHAAYNELRSAGVEFPPRAENSVPFFTPPQTQPIADVPSAYEDAAIQASLQSDASGLSLHEIQNARGLADVLMEMLSAMDPKNPEGVKQEVVVDLVDQCRSYQKRVMLLVNNTTDEGLLFQGLALNDDLQRVLRQHDDFAKGIPGVGEREMETPVVPLANINHEDDESEDDFTQLAHRSSRDNSQGLGQKPVSVRTQPGPVSPFLPPPPLSKNPVNKETGMIDYLSGDVYKSEGSPQISEPTPFKVPMHSNVSSSPPYSPTVSASSPPSSAVNSSPVLTGHPVFDEPAPLSQSGERLPPAPWDAQPAGSLPPPPSRYNQRQQFFEHNIGVVGGASHSSSGSGSSYDSLAVQTQNLSLNSSTPPKQAKPEDALFKDLVDFAKSKSSSSSKPNNRSF >Potri.002G075500.5.v4.1 pep chromosome:Pop_tri_v4:2:5273651:5279844:1 gene:Potri.002G075500.v4.1 transcript:Potri.002G075500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075500.v4.1 MANTAAACAERATNDMLIGPDWAVNIELCDIINMDPGQAKDALKILKKRLGSKNPKIQLLALFALETLSKNCGDSVFQQIIERDILHDMVKIVKKKPDLNVREKILLLIDAWQEAFEGPRGRYPQYHAAYNELRSAGVEFPPRAENSVPFFTPPQTQPIADVPSAYEDAAIQASLQSDASGLSLHEIQNARGLADVLMEMLSAMDPKNPEGVKQEVVVDLVDQCRSYQKRVMLLVNNTTDEGLLFQGLALNDDLQRVLRQHDDFAKGIPGVGEREMETPVVPLANINHEDDESEDDFTQLAHRSSRDNSQGLGQKPVSVRTQPGPVSPFLPPPPLSKNPVNKETGMIDYLSGDVYKSEGSPQISEPTPFKVPMHSNVSSSPPYSPTVSASSPPSSAVNSSPVLTGHPVFDEPAPLSQSGERLPPAPWDAQPAGSLPPPPSRYNQRQQFFEHNIGVVGGASHSSSGSGSSYDSLAVQTQNLSLNSSTPPKQAKPEDALFKDLVDFAKSKSSSSSKPNNRSF >Potri.015G004700.2.v4.1 pep chromosome:Pop_tri_v4:15:289311:291176:1 gene:Potri.015G004700.v4.1 transcript:Potri.015G004700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004700.v4.1 MSLSQFACTYATLILHDEDISITSDKIATLVKAANVTVESYWPSLFAKLAEKRNVGDLIMNIGAAGGAAPAAVAVASSGPAAGGAAAPAVEEKKEEAPESDDDMGFSLFD >Potri.015G004700.1.v4.1 pep chromosome:Pop_tri_v4:15:289311:290433:1 gene:Potri.015G004700.v4.1 transcript:Potri.015G004700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004700.v4.1 MSLSQFACTYATLILHDEDISITSDKIATLVKAANVTVESYWPSLFAKLAEKRNVGDLIMNIGAAGGAAPAAVAVASSGPAAGGAAAPAVEEKKEEAPESDDDMGFSLFD >Potri.010G181200.1.v4.1 pep chromosome:Pop_tri_v4:10:17998159:17999655:-1 gene:Potri.010G181200.v4.1 transcript:Potri.010G181200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181200.v4.1 MPKQIHEIKDFLLTARRKDARSVKIKRSRDVVKFKVRCSKYLYTLCVFDPEKADKLKQSLPPGLSVQDL >Potri.019G056700.1.v4.1 pep chromosome:Pop_tri_v4:19:9556673:9563487:1 gene:Potri.019G056700.v4.1 transcript:Potri.019G056700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G056700.v4.1 MRLMGKKITCYFELFLLGSSLSWVLKVAFAGSIAPLLQGYEEGSYGYSRSFNNVFSTSNYGIFQLNNGLARTPQMGWNSWNFFACNINETVIKETADALISTGLAELGYVYVNIDDCWSSTKRDSKGQLIPDPKTFPSGIKALADYVHEKGLKLGIYSDAGAFTCQVRPGSLLHEKDDAELFASWGVDYLKYDNCFNLGINPKERYPPMRDALNSTGRTVFYSLCEWGVDDPALWAGKVGNSWRTTDDINDSWASMTTTADLNDKWASYAGPGGWNDPDMLEVGNGGMTYHEYRAHFSIWALMKAPLLIGCDVRNMTAETIEILTNKEIIAVNQDPLGIQGRKVYSTGTDGCLQVWAGPLSGHRIVVALWNRCSKAATITAGWGALGLESSTSVSVRDLWQGKDIVGDAVASFGARVDAHDCLIFIFTPHSVYHSEN >Potri.001G398300.2.v4.1 pep chromosome:Pop_tri_v4:1:42365314:42366986:-1 gene:Potri.001G398300.v4.1 transcript:Potri.001G398300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398300.v4.1 MAALFLAKRAVINISRASSKDHPRCVVSANRAYFSTAFQRAQGTSVAAETRRETNSPDRTPRKSYSATNYRTRQGLDPDEYAGVGAKQFVADTAKEGVRKATQVADMIGDAAKETIDGAREAAKEANQKVRETVGGGNDDSNSHDHNSHDKNNNNVEDPVFVEVHKLMDQLLIQ >Potri.001G398300.3.v4.1 pep chromosome:Pop_tri_v4:1:42365240:42367399:-1 gene:Potri.001G398300.v4.1 transcript:Potri.001G398300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398300.v4.1 MGSLQLSTPTASHNGSACCFSSSFVKLNHGTSVAAETRRETNSPDRTPRKSYSATNYRTRQGLDPDEYAGVGAKQFVADTAKEGVRKATQVADMIGDAAKETIDGAREAAKEANQKVRETVGGGNDDSNSHDHNSHDKNNNNVEDPVFVEVHKLMDQLLIQ >Potri.009G110500.1.v4.1 pep chromosome:Pop_tri_v4:9:9482375:9483324:1 gene:Potri.009G110500.v4.1 transcript:Potri.009G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110500.v4.1 MKYSEIVHYSHPQHKLRFEYSEFPFKCDGCKEVGIGSRYKCTVCDFDLHMQCAIPSPAFSHSFYTKCSFQFFSRPPGDKPRNCNACEREVTGFLYHCKDCGFDLHPCCAKLPMVLDDGEIKLYLYRKVSASCQKCGRKGRSWSYRSSCKKYNLHVACVKDMLVENWQGYLGEKSVRKFDTRIPSLKNTLLTHNKSNSKAKKGLEMAGLALQFVISAVLGDPTSLIAGVIGTMISKA >Potri.018G131800.1.v4.1 pep chromosome:Pop_tri_v4:18:14182032:14183098:1 gene:Potri.018G131800.v4.1 transcript:Potri.018G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131800.v4.1 MVKHLSLFGLVFVFSSITFPHSSSISPTLQPRPLKSFYPKNIQAAKSCPYELVIKTSCSSTTYTRDKISLAFGDSYGNEVYIKRLDDPSSGTFERCSTDSFQINGPCVDDVCYLYMLRTGADGWKPESVKIYGSYTKTVTFNYNKFLPNGVWYGFNVCGRASESAIM >Potri.006G175700.7.v4.1 pep chromosome:Pop_tri_v4:6:18104042:18104749:-1 gene:Potri.006G175700.v4.1 transcript:Potri.006G175700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175700.v4.1 MPPPHRHHDHHRTHGGATPPKPNQKLLSLILKTIIMTAITTLFFLFLGIAAILLLIATAALHRHSTPSSNSSNGLSLKDLKKLPIFRFSTQTRPETGANQTSCVVCLEEIKQGQWCRNLVGCGHVFHRKCVDAWLVKVSACPICRTQVELVHGVKDSPLWDFDWTNELRVW >Potri.006G175700.6.v4.1 pep chromosome:Pop_tri_v4:6:18101925:18104818:-1 gene:Potri.006G175700.v4.1 transcript:Potri.006G175700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175700.v4.1 MNSKQALLLVKKKLLHLTISFAYIHSIPSVTMPPPHRHHDHHRTHGGATPPKPNQKLLSLILKTIIMTAITTLFFLFLGIAAILLLIATAALHRHSTPSSNSSNGLSLKDLKKLPIFRFSTQTRPETGANQTSCVVCLEEIKQGQWCRNLVGCGHVFHRKCVDAWLVKVSACPICRTQVELVHGVKDSPLWDFDWTNELRVW >Potri.006G175700.2.v4.1 pep chromosome:Pop_tri_v4:6:18101925:18104818:-1 gene:Potri.006G175700.v4.1 transcript:Potri.006G175700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175700.v4.1 MNSKQALLLVKKKLLHLTISFAYIHSIPSVTMPPPHRHHDHHRTHGGATPPKPNQKLLSLILKTIIMTAITTLFFLFLGIAAILLLIATAALHRHSTPSSNSSNGLSLKDLKKLPIFRFSTQTRPETGANQTSCVVCLEEIKQGQWCRNLVGCGHVFHRKCVDAWLVKVSACPICRTQVELVHGVKDSPLWDFDWTNELRVW >Potri.006G175700.4.v4.1 pep chromosome:Pop_tri_v4:6:18099320:18104818:-1 gene:Potri.006G175700.v4.1 transcript:Potri.006G175700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175700.v4.1 MNSKQALLLVKKKLLHLTISFAYIHSIPSVTMPPPHRHHDHHRTHGGATPPKPNQKLLSLILKTIIMTAITTLFFLFLGIAAILLLIATAALHRHSTPSSNSSNGLSLKDLKKLPIFRFSTQTRPETGANQTSCVVCLEEIKQGQWCRNLVGCGHVFHRKCVDAWLVKVSACPICRTQVELVHGVKDSPLWDFDWTNELRVW >Potri.006G175700.5.v4.1 pep chromosome:Pop_tri_v4:6:18099937:18104818:-1 gene:Potri.006G175700.v4.1 transcript:Potri.006G175700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175700.v4.1 MNSKQALLLVKKKLLHLTISFAYIHSIPSVTMPPPHRHHDHHRTHGGATPPKPNQKLLSLILKTIIMTAITTLFFLFLGIAAILLLIATAALHRHSTPSSNSSNGLSLKDLKKLPIFRFSTQTRPETGANQTSCVVCLEEIKQGQWCRNLVGCGHVFHRKCVDAWLVKVSACPICRTQVELVHGVKDSPLWDFDWTNELRVW >Potri.006G175700.3.v4.1 pep chromosome:Pop_tri_v4:6:18101925:18104820:-1 gene:Potri.006G175700.v4.1 transcript:Potri.006G175700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175700.v4.1 MNSKQALLLVKKKLLHLTISFAYIHSIPSVTMPPPHRHHDHHRTHGGATPPKPNQKLLSLILKTIIMTAITTLFFLFLGIAAILLLIATAALHRHSTPSSNSSNGLSLKDLKKLPIFRFSTQTRPETGANQTSCVVCLEEIKQGQWCRNLVGCGHVFHRKCVDAWLVKVSACPICRTQVELVHGVKDSPLWDFDWTNELRVW >Potri.016G073700.1.v4.1 pep chromosome:Pop_tri_v4:16:5527731:5529232:1 gene:Potri.016G073700.v4.1 transcript:Potri.016G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073700.v4.1 MDADSSLTLACSKRLEGKVALITGGASGIGECTAKLFVLHGAKVVIADIQDDLGEMVSKNLGSQETISYIHCDVTCDSDVKNAVDMAVSKYGKLDIMFNNAGVIGTCKAPRILDVENEEFKRVLDINLFGAFLGAKHAARVMIPAKKGCILFTGSVITATCTGATPHPYFASKHAVVGLAKNLAVELGGHGIRVNCISPFTTATPMVTESMGIEKRKMEEFISSSSAILKEVLLEPEDIANAAVYLASDESKYVSGINLVIDGGYSLINPTLPSAVKSLLS >Potri.013G053700.8.v4.1 pep chromosome:Pop_tri_v4:13:3898741:3907136:1 gene:Potri.013G053700.v4.1 transcript:Potri.013G053700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053700.v4.1 MGLIPLALLLMVFVLPPSAEYEHSTNRKILPILKHLDSSRYAVGFDAGSRVHVFCFDHGLALLPVGNGTAVEFFALVKPGLSAYADDPQAAAESLVPLLEEAERAVPQAWRPETPVRVGATAGLRSSEGNKSEKILQAVRDLLRDRSTLKSEADGVSILKGSQEGSFMWMAINYLVGNLGKKYSDTVGIVDLGGGSVQMAYTISQENAANAPQKPDGQDPYLLVLRLIGSSRRNFEGF >Potri.013G053700.2.v4.1 pep chromosome:Pop_tri_v4:13:3898932:3906101:1 gene:Potri.013G053700.v4.1 transcript:Potri.013G053700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053700.v4.1 MGLIPLALLLMVFVLPPSAEYEHSTNRKILPILKHLDSSRYAVGFDAGSRVHVFCFDHGLALLPVGNGTAVEFFALVKPGLSAYADDPQAAAESLVPLLEEAERAVPQAWRPETPVRVGATAGLRSSEGNKSEKILQAVRDLLRDRSTLKSEADGVSILKGSQEGSFMWMAINYLVGNLGKKYSDTVGIVDLGGGSVQMAYTISQENAANAPQKPDGQDPYVKELTLKGNEYYLYVHSYLYCGLLAARDEILKVSKDSGNPCILVGYEVLADRVGNFKRMNVELQTFKLCKVGSK >Potri.013G053700.6.v4.1 pep chromosome:Pop_tri_v4:13:3898741:3912636:1 gene:Potri.013G053700.v4.1 transcript:Potri.013G053700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053700.v4.1 MGLIPLALLLMVFVLPPSAEYEHSTNRKILPILKHLDSSRYAVGFDAGSRVHVFCFDHGLALLPVGNGTAVEFFALVKPGLSAYADDPQAAAESLVPLLEEAERAVPQAWRPETPVRVGATAGLRSSEGNKSEKILQAVRDLLRDRSTLKSEADGVSILKGSQEGSFMWMAINYLVGNLGKKYSDTVGIVDLGGGSVQMAYTISQENAANAPQKPDGQDPYVKELTLKGNEYYLYVHSYLYCGLLAARDEILKVSKDSGNPCILVGYEVLADRVGNFKRMNVELQTFKLCKVGSK >Potri.013G053700.7.v4.1 pep chromosome:Pop_tri_v4:13:3898741:3907272:1 gene:Potri.013G053700.v4.1 transcript:Potri.013G053700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053700.v4.1 MGLIPLALLLMVFVLPPSAEYEHSTNRKILPILKHLDSSRYAVGFDAGSRVHVFCFDHGLALLPVGNGTAVEFFALVKPGLSAYADDPQAAAESLVPLLEEAERAVPQAWRPETPVRVGATAGLRSSEGNKSEKILQAVRDLLRDRSTLKSEADGVSILKGSQEGSFMWMAINYLVGNLGKKYSDTVGIVDLGGGSVQMAYTISQENAANAPQKPDGQDPYVKELTLKGNEYYLYVHSYLYCGLLAARDEILKVSKDSGNPCILVGYEVLADRVGNFKRMNVELQTFKLCKVGSK >Potri.013G053700.3.v4.1 pep chromosome:Pop_tri_v4:13:3898932:3900525:1 gene:Potri.013G053700.v4.1 transcript:Potri.013G053700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053700.v4.1 MGLIPLALLLMVFVLPPSAEYEHSTNRKILPILKHLDSSRYAVGFDAGSRVHVFCFDHGLALLPVGNGTAVEFFALVKPGLSAYADDPQAAAESLVPLLEEAERAVPQAWRPETPVRVGATAGLRSSEGNKSEKILQAVRDLLRDRSTLKSEADGVSILKGSQEGSFMWMAINYLVGNLGKKYSDTVGIVDLGGGSVQMAYTISQENAANAPQKPDGQDPYLLVLRLIGSSRRNFEGF >Potri.013G053700.1.v4.1 pep chromosome:Pop_tri_v4:13:3898932:3907963:1 gene:Potri.013G053700.v4.1 transcript:Potri.013G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053700.v4.1 MGLIPLALLLMVFVLPPSAEYEHSTNRKILPILKHLDSSRYAVGFDAGSRVHVFCFDHGLALLPVGNGTAVEFFALVKPGLSAYADDPQAAAESLVPLLEEAERAVPQAWRPETPVRVGATAGLRSSEGNKSEKILQAVRDLLRDRSTLKSEADGVSILKGSQEGSFMWMAINYLVGNLGKKYSDTVGIVDLGGGSVQMAYTISQENAANAPQKPDGQDPYVKELTLKGNEYYLYVHSYLYCGLLAARDEILKVSKDSGNPCILVGYEVGKAQNDSKHLYRARQ >Potri.013G053700.5.v4.1 pep chromosome:Pop_tri_v4:13:3898741:3912636:1 gene:Potri.013G053700.v4.1 transcript:Potri.013G053700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053700.v4.1 MGLIPLALLLMVFVLPPSAEYEHSTNRKILPILKHLDSSRYAVGFDAGSRVHVFCFDHGLALLPVGNGTAVEFFALVKPGLSAYADDPQAAAESLVPLLEEAERAVPQAWRPETPVRVGATAGLRSSEGNKSEKILQAVRDLLRDRSTLKSEADGVSILKGSQEGSFMWMAINYLVGNLGKKYSDTVGIVDLGGGSVQMAYTISQENAANAPQKPDGQDPYVKELTLKGNEYYLYVHSYLYCGLLAARDEILKVSKDSGNPCILVGYEVLADRVGNFKRMNVELQTFKLCKVGSK >Potri.013G053700.4.v4.1 pep chromosome:Pop_tri_v4:13:3898741:3912647:1 gene:Potri.013G053700.v4.1 transcript:Potri.013G053700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053700.v4.1 MGLIPLALLLMVFVLPPSAEYEHSTNRKILPILKHLDSSRYAVGFDAGSRVHVFCFDHGLALLPVGNGTAVEFFALVKPGLSAYADDPQAAAESLVPLLEEAERAVPQAWRPETPVRVGATAGLRSSEGNKSEKILQAVRDLLRDRSTLKSEADGVSILKGSQEGSFMWMAINYLVGNLGKKYSDTVGIVDLGGGSVQMAYTISQENAANAPQKPDGQDPYVKELTLKGNEYYLYVHSYLYCGLLAARDEILKVSKDSGNPCILVGYEVLADRVGNFKRMNVELQTFKLCKVGSK >Potri.006G084500.3.v4.1 pep chromosome:Pop_tri_v4:6:6301207:6304583:-1 gene:Potri.006G084500.v4.1 transcript:Potri.006G084500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084500.v4.1 MSSASPVSYSFDDKDLDDDALWAVIDSAEASHSSSKSRKYPKFQSPPPPPPPPPPRRSHFNNNSPYSDPYRRAHKIARSCASSSEVSEGTSSHLAVVRTPILKTSSYYSPESYLSPHIASEVSPVALEMEEKDSMTRHCLDGRFPTVSLFKDYQNAAMAILEKSDITMISGNPFIKKSGWRKISFYFNLSYEIKDRTIEFDDNRNVQRAEFVVRAYMQGGRFSDGWGSCDRREKRFLKPNHDIPSTAETRAKNKACQVWLTPYCFFHEVLAPLAKFYL >Potri.003G155700.1.v4.1 pep chromosome:Pop_tri_v4:3:16689123:16690675:1 gene:Potri.003G155700.v4.1 transcript:Potri.003G155700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155700.v4.1 MVSCDDMQEGLGNKTGHQCCSKRKVKRGLWSPDEDEKLIKYITTHGHGSWSSVPKLAGLQRCGKSCRLRWINYLRPDLKRGSFTAQEESIIVEIHRMLGNRWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPKTHNLLSNNYKHNNNNNACELISYQYSHQQTTSTFTVDSQINDTSMDMKAPLIPFPTIPPVPDTNPYPPLLHKFSSISTCEYQNPNTLAFLESGSKSSIGSVPVSSSTSHPSEFGMLDETCMWAGGYELIQSIKSEKEQPEQQVELTIEKTNELIGAGQNMVASFETSTFDFDFVESTLLPCGMYYNPSPIVQPAWNSWALQEKK >Potri.002G124400.1.v4.1 pep chromosome:Pop_tri_v4:2:9466317:9469728:1 gene:Potri.002G124400.v4.1 transcript:Potri.002G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124400.v4.1 MADLYGPARSPATEPEEISTFLQQFLGNNSSSSSSKFIHHALSTPVETGSAAVEFLDCHLFGRSETECGAGAGNSGVNLSDPGGYYVKGGVDNAVSSGISKRRGASVEDDLGDFSCDSEKGAEVAEVQANAVRPRSSSKRSRAAEVHNLSEKRRRSRINEKMKALQNLIPNSNKTDKASMLDEAIEYLKQLQLQVQMLTMRNGLSLHPMCLPGALQPMQPPLSGMSFDEGNGLLTTDTLTGIFSANEESSVQTALNLPSQCTVSNQPIAIPSGTNITSSETSFGFEPLIHVNHAPFNLCTSSKEICREATPQAQIEMNQTVKTSPSGVS >Potri.005G109200.1.v4.1 pep chromosome:Pop_tri_v4:5:7911552:7915733:-1 gene:Potri.005G109200.v4.1 transcript:Potri.005G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109200.v4.1 MSSEERNIERQHGRDYHDPPPAPLLDMGELKQWSFYRALIAEFIATFLFLFFSVSTVVNYKEPNYTDQCSRVGHLGIAWANGGMIFVLVYCTSGISGGHLNPAVTFGMLVARKMSLIRAAAYMLAQCLGAILGHLFVFLFMYADEQQSSVGVVNVVSRNYSKGAGLGAEFIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFVVHLATIPITGTGINPARSLATNLLHRSTAEAMDDLWIFWVGPFLGALAAAVYHKYVLRAGAVKTLKSFRALGSFGSQPPV >Potri.002G030900.3.v4.1 pep chromosome:Pop_tri_v4:2:2039813:2043260:-1 gene:Potri.002G030900.v4.1 transcript:Potri.002G030900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030900.v4.1 MVPMGSQDSPPNPASGMLHQFIISDSIASQNQFQSQNFSVFGPDLRGSNTFSQSHGVLPSIKSLEERMSRSIDLVQVPSAVQESEISHTRHLMDLLGAANETNHQAQRLSLSLGSRMLVPQFQYRQRSFNSDLMSPSYLVPREEAREAYNLGGEQVNNDYSLTGSGFPQSSTSLSRPSTSYGTESFAVAIGNSRYLKPAQSLLEEIVHVSCQAVEISNEKYVGKLFPCGQRGSLRLSSELKVELWGIGLVQAEKHELQLKIAKLIALLKEVEGRYEKYYHQMEEVVSSFEEIAGLGAAKSYTALALQAMSKHFCNLRDAIVSQIDETKRKFSRDLPKISTELSQLSLFDKETKHNRISLQQLGMMQSQRQAWRPIRGLPETSVTILRSWLFEHFLHPYPNDYEKLMLASQAGLTKNQVSNWFINARVRLWKPMIEEMYKEEFADHSED >Potri.006G218900.12.v4.1 pep chromosome:Pop_tri_v4:6:22414815:22419302:-1 gene:Potri.006G218900.v4.1 transcript:Potri.006G218900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218900.v4.1 MSLSKKGSLTNDTKLNLPSKATTLNPNAAEFVPFSLRSSSSPSGSTSNSADAATKFTTSGTVGKAVLDRSESSVSNASDDEAHQFWRHQLPDDITPDFKVMSDDESQGLGGLSLAGLSLHDSEVPRFHASSRSGYVLTEQQEPSPRHMNGSSFGEKMRYPSASYGEDPSSASFLNLPTKPWDKQIANGDHLLGNGREVHPYNGNSRHGFRSEILGEHAIVDDTEINPLGFLASQFPGFATESLAEVYFASGCDLNLTVEMLTQLELQVDGSFNQNTNSKTLSAPNLSALDFPALTVLDNQNGSSKYTGDDLQQASVPYRSSNKDNMLLFKPGGSFSSRGAVDFASAVRKLASQDSSMWKHDRNGSADSTVGSSRSSHVLPSAYGGGHGRGIYADRLQSRGSGRQAPVWLETGEAVANMYSEMREEARDHARLRNAYFEQARQAYLIGNKALAKELSVKGQLHNMHMKEAHGKAQESIYHQRNPVSLEMQGTGRGHEQMIDLHGLHVTEAIHVLKHELSVLRSTAQAADQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEEEGLDYTEPHPGLLRVVIY >Potri.006G218900.8.v4.1 pep chromosome:Pop_tri_v4:6:22414494:22419824:-1 gene:Potri.006G218900.v4.1 transcript:Potri.006G218900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218900.v4.1 MSLSKKGSLTNDTKLNLPSKATTLNPNAAEFVPFSLRSSSSPSGSTSNSADAATKFTTSGTVGKAVLDRSESSVSNASDDEAHQFWRHQLPDDITPDFKVMSDDESQGLGGLSLAGLSLHDSEVPRFHASSRSGYVLTEQQEPSPRHMNGSSFGEKMRYPSASYGEDPSSASFLNLPTKPWDKQIANGDHLLGNGREVHPYNGNSRHGFRSEILGEHAIVDDTEINPLGFLASQFPGFATESLAEVYFASGCDLNLTVEMLTQLELQVDGSFNQNTNSKTLSAPNLSALDFPALTVLDNQNGSSKYTGDDLQQASVPYRSSNKDNMLLFKPGGSFSSRGAVDFASAVRKLASQDSSMWKHDRNGSADSTVGSSRSSHVLPSAYGGGHGRGIYADRLQSRGSGRQAPVWLETGEAVANMYSEMREEARDHARLRNAYFEQARQAYLIGNKALAKELSVKGQLHNMHMKEAHGKAQESIYHQRNPVSLEMQGTGRGHEQMIDLHGLHVTEAIHVLKHELSVLRSTAQAADQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEEEGLDYTEPHPGLLRVVIY >Potri.006G218900.14.v4.1 pep chromosome:Pop_tri_v4:6:22414358:22419854:-1 gene:Potri.006G218900.v4.1 transcript:Potri.006G218900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218900.v4.1 MSLSKKGSLTNDTKLNLPSKATTLNPNAAEFVPFSLRSSSSPSGSTSNSADAATKFTTSGTVGKAVLDRSESSVSNASDDEAHQFWRHQLPDDITPDFKVMSDDESQGLGGLSLAGLSLHDSEVPRFHASSRSGYVLTEQQEPSPRHMNGSSFGEKMRYPSASYGEDPSSASFLNLPTKPWDKQIANGDHLLGNGREVHPYNGNSRHGFRSEILGEHAIVDDTEINPLGFLASQFPGFATESLAEVYFASGCDLNLTVEMLTQLELQVDGSFNQNTNSKTLSAPNLSALDFPALTVLDNQNGSSKYTGDDLQQASVPYRSSNKDNMLLFKPGGSFSSRGAVDFASAVRKLASQDSSMWKHDRNGSADSTVGSSRSSHVLPSAYGGGHGRGIYADRLQSRGSGRQAPVWLETGEAVGNIPRSTKLIEFMHLLNAGKYLPCIYFL >Potri.006G218900.13.v4.1 pep chromosome:Pop_tri_v4:6:22414485:22419782:-1 gene:Potri.006G218900.v4.1 transcript:Potri.006G218900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218900.v4.1 MSLSKKGSLTNDTKLNLPSKATTLNPNAAEFVPFSLRSSSSPSGSTSNSADAATKFTTSGTVGKAVLDRSESSVSNASDDEAHQFWRHQLPDDITPDFKVMSDDESQGLGGLSLAGLSLHDSEVPRFHASSRSGYVLTEQQEPSPRHMNGSSFGEKMRYPSASYGEDPSSASFLNLPTKPWDKQIANGDHLLGNGREVHPYNGNSRHGFRSEILGEHAIVDDTEINPLGFLASQFPGFATESLAEVYFASGCDLNLTVEMLTQLELQVDGSFNQNTNSKTLSAPNLSALDFPALTVLDNQNGSSKYTGDDLQQASVPYRSSNKDNMLLFKPGGSFSSRGAVDFASAVRKLASQDSSMWKHDRNGSADSTVGSSRSSHVLPSAYGGGHGRGIYADRLQSRGSGRQAPVWLETGEAVANMYSEMREEARDHARLRNAYFEQVYHFFFCCPAV >Potri.006G218900.1.v4.1 pep chromosome:Pop_tri_v4:6:22414494:22419772:-1 gene:Potri.006G218900.v4.1 transcript:Potri.006G218900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218900.v4.1 MSLSKKGSLTNDTKLNLPSKATTLNPNAAEFVPFSLRSSSSPSGSTSNSADAATKFTTSGTVGKAVLDRSESSVSNASDDEAHQFWRHQLPDDITPDFKVMSDDESQGLGGLSLAGLSLHDSEVPRFHASSRSGYVLTEQQEPSPRHMNGSSFGEKMRYPSASYGEDPSSASFLNLPTKPWDKQIANGDHLLGNGREVHPYNGNSRHGFRSEILGEHAIVDDTEINPLGFLASQFPGFATESLAEVYFASGCDLNLTVEMLTQLELQVDGSFNQNTNSKTLSAPNLSALDFPALTVLDNQNGSSKYTGDDLQQASVPYRSSNKDNMLLFKPGGSFSSRGAVDFASAVRKLASQDSSMWKHDRNGSADSTVGSSRSSHVLPSAYGGGHGRGIYADRLQSRGSGRQAPVWLETGEAVANMYSEMREEARDHARLRNAYFEQARQAYLIGNKALAKELSVKGQLHNMHMKEAHGKAQESIYHQRNPVSLEMQGTGRGHEQMIDLHGLHVTEAIHVLKHELSVLRSTAQAADQRLQVYICVGTGHHTRGSRTPARLPVAVQRYLLEEEGLDYTEPHPGLLRVVIY >Potri.002G028500.3.v4.1 pep chromosome:Pop_tri_v4:2:1915523:1918010:1 gene:Potri.002G028500.v4.1 transcript:Potri.002G028500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028500.v4.1 MDFLSKLRSSNEEIYNNNKGFELWPQYYPQQQNMNSFSFGVGPSRRNFSDDHSPRSGFTVMRQGGGLGGGMNCQDCGNQAKKDCPHSRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQQQNQEQQQQQQQQQQFRGENPKRQRENQGGASSLACTRLATTTSGLEMTAFPPEVNSQAVFRCVKVSALDDAEDQLAYQTAVNIGGHVFRGILYDQGPDGRYTSTGGESSSSGAQQLGLITAATTSTTATTTNTGNPAAGNTLFDPSSLYPAPLNAFIAGTQFFPPPRS >Potri.002G028500.2.v4.1 pep chromosome:Pop_tri_v4:2:1915526:1919306:1 gene:Potri.002G028500.v4.1 transcript:Potri.002G028500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028500.v4.1 MAGWFYLGEREGPSSKQDQEKEVNNSSLFLYRSSNEEIYNNNKGFELWPQYYPQQQNMNSFSFGVGPSRRNFSDDHSPRSGFTVMRQGGGLGGGMNCQDCGNQAKKDCPHSRCRTCCKSRGFQCQTHVKSTWVPAAKRRERQQQLAALQQQNQEQQQQQQQQQQFRGENPKRQRENQGGASSLACTRLATTTSGLEMTAFPPEVNSQAVFRCVKVSALDDAEDQLAYQTAVNIGGHVFRGILYDQGPDGRYTSTGGESSSSGAQQLGLITAATTSTTATTTNTGNPAAGNTLFDPSSLYPAPLNAFIAGTQFFPPPRS >Potri.006G188400.1.v4.1 pep chromosome:Pop_tri_v4:6:19547914:19549625:1 gene:Potri.006G188400.v4.1 transcript:Potri.006G188400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188400.v4.1 MAARTLPFFLSLLFLLQLHSSAGQNAVRAGYWFPGSGFPVSSIDSTLFTHLFCAFADLDPQTNGVIISSANQAQFSTFTRTVQQKNPSVKTLLSIGGGAADETAFAGMASQAGSRKSFIDSSINLARSNNFHGLDLDWEYPSTTTQMTSLGLLLAEWRVAVANESRSTGNTPLLLSAAVFRSSDYYTINYPIRAISNSLDWINVMAYDFYGPGWSNVTGPPAALYNPGTTMSGDYGITTWIQAGVAANKIVLGFPFYGWAWTLANANNNGFFAPTVGPAISNDGDIGYAQINNFIAQNGASALYNSTFVSNFCYSGTTWIGYDDKESISTKVTYAKNRGLLGYFAWHVGADDNWALSQQAASTWGA >Potri.005G060200.2.v4.1 pep chromosome:Pop_tri_v4:5:3805912:3808699:1 gene:Potri.005G060200.v4.1 transcript:Potri.005G060200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060200.v4.1 MSSTAGQVIRCKAAVAWEAGKPLVMEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLNDGKSRFSIRGQPIYHFVGTSTFSEYTVVHVGCLAKINPAAPLDKVCILSCGVSTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNSNRFNEAKKFGVTEFVNPKDYKKPVQEVIAEMTNGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPMSFLNEKTLKGTFFGNYKPRSDLPSVVEMYMNKELELEKFITHEVPFSEINKAFDYMLSGASLRCIIRMEA >Potri.014G054900.1.v4.1 pep chromosome:Pop_tri_v4:14:3505782:3507517:-1 gene:Potri.014G054900.v4.1 transcript:Potri.014G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054900.v4.1 MASSSSSDESSKRSVLFVRMIHFGIEIGVAMKVMAFWIWLETQGFQEIMRKILLHDDKFLTLLAKEAEDILSFLKQLSKNPISPDLMRFTPKLAEHFLSLNVILADKEKALKGITEIYNGVCCVVLKDILERMGNQITEGNFSPLQKVKKLGSEKDGSRAKPIAPYVGSKLNPSAKEWNPVTERAAEENRCLFLTFSNGYPLTESQISRFFTMNYGSCVERVYVHWPEPKDQGAPPLFGKVVFTASYIPAMILNGKTEAKFWVDAKPLWGKRFNPKKRSGKK >Potri.010G207401.1.v4.1 pep chromosome:Pop_tri_v4:10:19754855:19756918:1 gene:Potri.010G207401.v4.1 transcript:Potri.010G207401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207401.v4.1 MAESVKKNRVPEDVLIDILTALPIKSLLRFRSLSKSWNSHISDKDFISAYLAQPKPSLLLRRWQNRQESYSLHLDNESLDRSLQFQNLPFRCEADCFDIIGYCNGVVCLSDIHQGRTTSLILWNPSIRKHLNLALP >Potri.001G034100.2.v4.1 pep chromosome:Pop_tri_v4:1:2518078:2520287:-1 gene:Potri.001G034100.v4.1 transcript:Potri.001G034100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034100.v4.1 MGVSQMERLGFGSNRFPKMVVSFLIAFFLLYMLSMAYRSSTFDLIGEFAKAHVTGEMAGNVSRASESEDKHSSHPERVVVDKLLGGLLAPGFDEESCISRYQSSLCRRVSPHKPSSYLASKLRKYEELHKRCGPHTESYNRTLKELSSSHINGTTDCNYVVWTPANGLGNRIISMASSFLYAVLTNRVLLVDHGTDMANIFCEPFPNTSWLLPMDFPLSNQFYGLQSGNVHSYGQLLKNNNMNISTVSQPPPFLYLYLSYNYDEYEKLFYQDQNQGFLQNVPWLILKSDQYFAPYLFLIPSFQQELDKLFPDKETVFHHLVRYLFHPSNQAWGLITRFYQSYLASAEQRIGLQVRVFNRKASPIKVVLEQILGCVQKEKLLPQVDEEKHIAPPSKNKTSRAITIASLYPEYYESIKNVYWMRPTVNGDVIGVYQPSHEEAQQFGNNIHNIKAWAEINILSLSDVLVTSSWSTFGYVAQGLGGLKPWILYVPTGGQTNDQPCPRAMSMEPCFHFPPNYYQNAGTRRRLDTVSPVPHIRQCEDASTGIKLVND >Potri.001G034100.3.v4.1 pep chromosome:Pop_tri_v4:1:2518077:2520287:-1 gene:Potri.001G034100.v4.1 transcript:Potri.001G034100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034100.v4.1 MGVSQMERLGFGSNRFPKMVVSFLIAFFLLYMLSMAYRSSTFDLIGEFAKAHVTGEMAGNVSRASESEDKHSSHPERVVVDKLLGGLLAPGFDEESCISRYQSSLCRRVSPHKPSSYLASKLRKYEELHKRCGPHTESYNRTLKELSSSHINGTTDCNYVVWTPANGLGNRIISMASSFLYAVLTNRVLLVDHGTDMANIFCEPFPNTSWLLPMDFPLSNQFYGLQSGNVHSYGQLLKNNNMNISTVSQPPPFLYLYLSYNYDEYEKLFYQDQNQGFLQNVPWLILKSDQYFAPYLFLIPSFQQELDKLFPDKETVFHHLVRYLFHPSNQAWGLITRFYQSYLASAEQRIGLQVRVFNRKASPIKVVLEQILGCVQKEKLLPQVDEEKHIAPPSKNKTSRAITIASLYPEYYESIKNVYWMRPTVNGDVIGVYQPSHEEAQQFGNNIHNIKAWAEINILSLSDVLVTSSWSTFGYVAQGLGGLKPWILYVPTGGQTNDQPCPRAMSMEPCFHFPPNYYQNAGTRRRLDTVSPVPHIRQCEDASTGIKLVND >Potri.004G109600.1.v4.1 pep chromosome:Pop_tri_v4:4:9756639:9757004:-1 gene:Potri.004G109600.v4.1 transcript:Potri.004G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109600.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEECHKKVTKRCAIEISNSIYTNKTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.019G014318.1.v4.1 pep chromosome:Pop_tri_v4:19:1933708:1936116:1 gene:Potri.019G014318.v4.1 transcript:Potri.019G014318.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014318.v4.1 MACHPKIKVKPLFEGEAWTLFMEKLGRDVALSPEMEGIAKAVARECAGLPLGIIIVAGSLRGVDDLHEWRNTLKKLRESEFRDMDEKVFKLLRFSYDRLGYLALQQCLLYCALFPEDDMIEREELIGYLIDEGIIKGKRSKGDAFDEGHTMLNRLENVCLLESAKMEYVARRFVKMHDLIRDMAIHVLLESPQYMVKVGAQLKELPDVEEWTENLTIVSLMQNEIEEIPSSYSPVCPNLSSLFLNYNDGLRSIADSFFKQLHGLKVLDLSWTGIEKLPDSVSDLVSLTALLLNNLENLRHVPSLKKLRALKRLDLSRTSLEKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEEFMVRGAAPITVKGKEVGSLRNLETLECHFEGFSDFVEYLRSRDGILSLSTYKILVREVDGDFGKCINNFPSKTVGLGNLSINGDRDFQVKFLNGIQGLACKRIDARSLCNVWSLENATELEHINILNCNSMERLVSSSWFCSAPPPLPSYKGVFSGLKEFYCSGCNSMKKLFPLELLPNLVNLEMIYVCDCEKWRR >Potri.010G199300.1.v4.1 pep chromosome:Pop_tri_v4:10:19205808:19212788:1 gene:Potri.010G199300.v4.1 transcript:Potri.010G199300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199300.v4.1 MKPLINTDFIKTPQILKITAFAFISITFFYLGKHWSNSGYQQLLFFSTPQNSISISPNNDKSFNITSLIPPNESDHPPTEQAINPTPPSIYPPPDESPLSDPNRTFGIIDSDGKMTDDFEVGEFDPDIAENWGNETEIESASTNFKVRVRKYELCPGSMREYIPCLDNVEAIKRLKLTEKGERFERHCPEKGKGLNCLVPPPKGYRQPIPWPRSRDEVWYSNVPHTRLADDKGGQNWISKEKEKFKFPGGGTQFIHGADKYLDQIAQMVPDITFGHHTRMILDVGCGVASFGAYLLSRNVMTMSIAPKDVHENQIQFALERGVPAMVAAFATHRLLYPSQAFELIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEHVLEEQWAEMLNLTTHLCWELVKKEGYIAIWKKPLNNNCYLSRDTGAIPPLCDPDDDPDNVWYVDLKACISRLPENGYGANVPTWPSRLHTPPDRLQSIQYESYIARKELLKAENKFWSETIAGYVRAWHWKKFKLRNVMDMKAGFGGFAAALIDQGFDCWVLNVVPVSGSNTLPVLYDRGLLGVMHDWCEPFDTYPRTYDLLHAAGLFSVERKRCNMSTIMLEMDRILRPGGRVYIRDSLDVMDELLQIAKAMGWQATLRDTSEGPHASYRILTCDKHLLRP >Potri.007G029100.2.v4.1 pep chromosome:Pop_tri_v4:7:2219243:2222334:-1 gene:Potri.007G029100.v4.1 transcript:Potri.007G029100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029100.v4.1 MLQKVPLLSLLFLGLLLCLSIHVEAFSEDVSAWERPYLVRRGHRRSLVVTEYGEISAAEISSGTKGPYHIQFITLEPNSLLLPVLLHADMVFYVHTGNGKLSWTDGREMKRMNLRRGDVYRLQAGSVFFVRSNLDSERQKMRIHAIFSNTDEDIYEPSIGAYSSVSDLVLGFDRKVLQEAFKVPEEVLEELTSATKPPAVVHAVTKDQKSVYWELEDRMLDFLIGNKHKKTKETKTFNILDAKPDFENCNGWSLTVDKHSLKSLSDSNIGIFMVNLTKGSMMGPHWNPMATEIAIVLHGRGMVRVICHSTANESECKNMRFKVKEGDVFAVPRFHPMAQISFNNDSFVFMGFSTSTKRNHPQFLTGKSSILQILDRGILAVSFNVTNTTMDQLLNAQEEALILDCTSCAEIEENKMKEEFEKEKQEEEARKREEEEARKKEEEEARKREEEEEREREEEEARKREEAERERQEEEEKQRREEEEEEARKREEEEREREEEEARKREEEERREQEEAERERQEEEEKQRREEEEEEARKREEAERERQEEEEKQRREEEEEEARKREEEQREREEEERREQEEEERERQEEEEKQRREEEEQQEEARRREEKKKRQEKQRQREETPRREHEEARRQEEERQKRRWKEEERAKERERREGGGEQPEEQEVEARKEDQERKGDEGKGRRALRNPWKL >Potri.007G029100.1.v4.1 pep chromosome:Pop_tri_v4:7:2219243:2222339:-1 gene:Potri.007G029100.v4.1 transcript:Potri.007G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029100.v4.1 MLQKVPLLSLLFLGLLLCLSIHVEAFSEDVSAWERPYLVRRGHRRSLVVTEYGEISAAEISSGTKGPYHIQFITLEPNSLLLPVLLHADMVFYVHTGNGKLSWTDGREMKRMNLRRGDVYRLQAGSVFFVRSNLDSERQKMRIHAIFSNTDEDIYEPSIGAYSSVSDLVLGFDRKVLQEAFKVPEEVLEELTSATKPPAVVHAVTKDQKSVYWELEDRMLDFLIGNKHKKTKETKTFNILDAKPDFENCNGWSLTVDKHSLKSLSDSNIGIFMVNLTKGSMMGPHWNPMATEIAIVLHGRGMVRVICHSTANESECKNMRFKVKEGDVFAVPRFHPMAQISFNNDSFVFMGFSTSTKRNHPQFLTGKSSILQILDRGILAVSFNVTNTTMDQLLNAQEEALILDCTSCAEIEENKMKEEFEKEKQEEEARKREEEEARKKEEEEARKREEEEEREREEEEARKREEAERERQEEEEKQRREEEEEEARKREEEEREREEEEARKREEEERREQEEAERERQEEEEKQRREEEEEEARKREEEQREREEEERREQEEEERERQEEEEKQRREEEEQQEEARRREEKKKRQEKQRQREETPRREHEEARRQEEERQKRRWKEEERAKERERREGGGEQPEEQEVEARKEDQERKGDEGKGRRALRNPWKL >Potri.005G220000.4.v4.1 pep chromosome:Pop_tri_v4:5:22233005:22238378:-1 gene:Potri.005G220000.v4.1 transcript:Potri.005G220000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220000.v4.1 MGFADRRECPRLCRLAAEYIRKCEGCEEDIYAFFAQEPDADSLYVTLVEEFERCILSYFAFHWSHADLLISQVLSSDPEPKRKLKQIVMAATREQTFERVTKDLKVARVFSTLLEEMKAMRLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILNEPFWAGAAGNAVVIEADAFKESDVIYRALSSRGHVDMIHTAELVHRSSTDAASSLLVTALNDGRDVIMDGTLSWVPFVVQTVTMARNVHRRRYRMGAGYKVGKDGAVTEDYWEQIDEEQSLQEGSKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVQSQLKSHKRFANAFLTFCHLVDNARLYCTNALEGPPKLIGWKDKDKTLLVDPDEIDCLKRVGSLNEEAESIYELYKHPNPACEDGSIWKDIVLSPSRLNIQKELKYSIQKVERQ >Potri.005G220000.3.v4.1 pep chromosome:Pop_tri_v4:5:22233006:22238377:-1 gene:Potri.005G220000.v4.1 transcript:Potri.005G220000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220000.v4.1 MPKDYTSKQTYLIMAASSIGLILAAVHYRLWKSRDRKIIPRLRPSEAGRAEKLESFPHYVARQMGFADRRECPRLCRLAAEYIRKCEGCEEDIYAFFAQEPDADSLYVTLVEEFERCILSYFAFHWSHADLLISQVLSSDPEPKRKLKQIVMAATREQTFERVTKDLKVARVFSTLLEEMKAMRLASNDDSQCTEVMAPVAHSDRSPVLLFMGGGMGAGKSTVLKDILNEPFWAGAAGNAVVIEADAFKESDVIYRALSSRGHVDMIHTAELVHRSSTDAASSLLVTALNDGRDVIMDGTLSWVPFVVQTVTMARNVHRRRYRMGAGYKVGKDGAVTEDYWEQIDEEQSLQEGSKKRKPYRIELVGVVCDAYLAVVRGIRRAIMCRRAVRVQSQLKSHKRFANAFLTFCHLVDNARLYCTNALEGPPKLIGWKDKDKTLLVDPDEIDCLKRVGSLNEEAESIYELYKHPNPACEDGSIWKDIVLSPSRLNIQKELKYSIQKVERQ >Potri.003G008900.11.v4.1 pep chromosome:Pop_tri_v4:3:830707:834887:-1 gene:Potri.003G008900.v4.1 transcript:Potri.003G008900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008900.v4.1 MATQKLLQTFKKTPITASPTSLSNSELAQKIAKTLIKAGLKPFETTNSSLLSNLDSHITNLVFSNPNVPLHSCLNFFNFLRKNQSFVSHKPDLQSHLSVVFRLFKARRFAEMKRVLTYVAMDSNLRCPVANLVSLVEESGFNNEPNFVEKLCDMLFRVYADCNLFEEGFRVFDYMVSYELKIDDRSCIVFLLALKRCDKMEACLGLFKRMVEFNVEVTVYSLTIVIDGLCKRRRVKRAIDLMIEMASKGIKPNVVTYNTLINAYIKRTDFDGVNEMLRLMEVDEVAFNVATYTLLIDWHGSSGKIDEVERMFEEMCEKGIKADIHVYTVVINWNCKIGNTKRAFALFDELTEKGLVANVHTYGALIDGVCKAGEMEAAEILVNEMQTRGLDVNQLIYNTLIDGYCKRGLIDEAFKVQVIMEKKGFENDIYTLNTIADGLRKLNQPEEAKRWLLTMIEKGVVPNVVSYTTLIDIYCKEGNFVEAKKLFQEMKKAGGEPNAVTYNVLIDGHSKKGRMKEAYELRDEMRAKGIFPDIYTYTSLLHGECIFGKLDDAVELFNEVRQKGLPLNVVTYTAIISGLSKEGRSEEAFKLYDEMTEAGLTPDDRVYTSLVSSLHKA >Potri.003G008900.10.v4.1 pep chromosome:Pop_tri_v4:3:830701:834887:-1 gene:Potri.003G008900.v4.1 transcript:Potri.003G008900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008900.v4.1 MATQKLLQTFKKTPITASPTSLSNSELAQKIAKTLIKAGLKPFETTNSSLLSNLDSHITNLVFSNPNVPLHSCLNFFNFLRKNQSFVSHKPDLQSHLSVVFRLFKARRFAEMKRVLTYVAMDSNLRCPVANLVSLVEESGFNNEPNFVEKLCDMLFRVYADCNLFEEGFRVFDYMVSYELKIDDRSCIVFLLALKRCDKMEACLGLFKRMVEFNVEVTVYSLTIVIDGLCKRRRVKRAIDLMIEMASKGIKPNVVTYNTLINAYIKRTDFDGVNEMLRLMEVDEVAFNVATYTLLIDWHGSSGKIDEVERMFEEMCEKGIKADIHVYTVVINWNCKIGNTKRAFALFDELTEKGLVANVHTYGALIDGVCKAGEMEAAEILVNEMQTRGLDVNQLIYNTLIDGYCKRGLIDEAFKVQVIMEKKGFENDIYTLNTIADGLRKLNQPEEAKRWLLTMIEKGVVPNVVSYTTLIDIYCKEGNFVEAKKLFQEMKKAGGEPNAVTYNVLIDGHSKKGRMKEAYELRDEMRAKGIFPDIYTYTSLLHGECIFGKLDDAVELFNEVRQKGLPLNVVTYTAIISGLSKEGRSEEAFKLYDEMTEAGLTPDDRVYTSLVSSLHKA >Potri.003G008900.9.v4.1 pep chromosome:Pop_tri_v4:3:830721:834887:-1 gene:Potri.003G008900.v4.1 transcript:Potri.003G008900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008900.v4.1 MATQKLLQTFKKTPITASPTSLSNSELAQKIAKTLIKAGLKPFETTNSSLLSNLDSHITNLVFSNPNVPLHSCLNFFNFLRKNQSFVSHKPDLQSHLSVVFRLFKARRFAEMKRVLTYVAMDSNLRCPVANLVSLVEESGFNNEPNFVEKLCDMLFRVYADCNLFEEGFRVFDYMVSYELKIDDRSCIVFLLALKRCDKMEACLGLFKRMVEFNVEVTVYSLTIVIDGLCKRRRVKRAIDLMIEMASKGIKPNVVTYNTLINAYIKRTDFDGVNEMLRLMEVDEVAFNVATYTLLIDWHGSSGKIDEVERMFEEMCEKGIKADIHVYTVVINWNCKIGNTKRAFALFDELTEKGLVANVHTYGALIDGVCKAGEMEAAEILVNEMQTRGLDVNQLIYNTLIDGYCKRGLIDEAFKVQVIMEKKGFENDIYTLNTIADGLRKLNQPEEAKRWLLTMIEKGVVPNVVSYTTLIDIYCKEGNFVEAKKLFQEMKKAGGEPNAVTYNVLIDGHSKKGRMKEAYELRDEMRAKGIFPDIYTYTSLLHGECIFGKLDDAVELFNEVRQKGLPLNVVTYTAIISGLSKEGRSEEAFKLYDEMTEAGLTPDDRVYTSLVSSLHKA >Potri.003G008900.12.v4.1 pep chromosome:Pop_tri_v4:3:832346:834887:-1 gene:Potri.003G008900.v4.1 transcript:Potri.003G008900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008900.v4.1 MATQKLLQTFKKTPITASPTSLSNSELAQKIAKTLIKAGLKPFETTNSSLLSNLDSHITNLVFSNPNVPLHSCLNFFNFLRKNQSFVSHKPDLQSHLSVVFRLFKARRFAEMKRVLTYVAMDSNLRCPVANLVSLVEESGFNNEPNFVEKLCDMLFRVYADCNLFEEGFRVFDYMVSYELKIDDRSCIVFLLALKRCDKMEACLGLFKRMVEFNVEVTVYSLTIVIDGLCKRRRVKRAIDLMIEMASKGIKPNVVTYNTLINAYIKRTDFDGVNEMLRLMEVDEVAFNVATYTLLIDWHGSSGKIDEVERMFEEMCEKGIKADIHVYTVVINWNCKIGNTKRAFALFDELTEKGLVANVHTYGALIDGVCKAGEMEAAEILVNEMQTRGLDVNQLIYNTLIDGYCKRGLIDEAFKVQVIMEKKGFENDIYTLNTIADGLRKLNQPEEAKRWLLTMIEKGVVPNVVSYTTLIDIYCKEGNFVEAKKLFQEMKKAGGEPNAVTYNVLIDGHSKKGRMKEAYELRDEMRAKGIFPDIYTYTSLLHGECIFGKLDDAVELFNEVRQKGLPLNVVTYTAIISGLSKEGRSEEAFKLYDEMTEAGLTPDDRVYTSLVSSLHKA >Potri.011G097050.1.v4.1 pep chromosome:Pop_tri_v4:11:12535993:12538101:1 gene:Potri.011G097050.v4.1 transcript:Potri.011G097050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097050.v4.1 MKSKSRNVALAMVVCGGGIRMGNISRSSRKKKTKEGATERAWCCTSRENKTRRATKEGKCLIRKFIIIFARFSDGAPFKPNLLRTTTIYTYDSPVWIREEKVIYFFLVIKEMHGEFRNAFSGFSFIYLFIYFSYQLIAYSTLQVFLLHICARSREGFSCSPSILEPTSKKRGLAMEVFSVL >Potri.011G097050.5.v4.1 pep chromosome:Pop_tri_v4:11:12535993:12538101:1 gene:Potri.011G097050.v4.1 transcript:Potri.011G097050.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097050.v4.1 MKSKSRNVALAMVVCGGGIRMGNISRSSRKKKTKEGATERAWCCTSRENKTRRATKEGKCLIRKFIIIFARFSDGAPFKPNLLRTTTIYTYDSPVWIREEKVIYFFLVIKEMHGEFRNAFSGFSCSPSILEPTSKKRGLAMEVFSVL >Potri.011G097050.4.v4.1 pep chromosome:Pop_tri_v4:11:12535993:12538101:1 gene:Potri.011G097050.v4.1 transcript:Potri.011G097050.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097050.v4.1 MKSKSRNVALAMVVCGGGIRMGNISRSSRKKKTKEGATERAWCCTSRENKTRRATKEGKCLIRKFIIIFARFSDGAPFKPNLLRTTTIYTYDSPVWIREEKVIYFFLVIKEMHGEFRNAFSGFSCSPSILEPTSKKRGLAMEVFSVL >Potri.011G097050.3.v4.1 pep chromosome:Pop_tri_v4:11:12535994:12538100:1 gene:Potri.011G097050.v4.1 transcript:Potri.011G097050.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097050.v4.1 MKSKSRNVALAMVVCGGGIRMGNISRSSRKKKTKEGATERAWCCTSRENKTRRATKEGKCLIRKFIIIFARFSDGAPFKPNLLRTTTIYTYDSPVWIREEKVIYFFLVIKEMHGEFRNAFSEKASRVLLPSWSLLQRRGGLQWRFSQYSSPSCYYFDVYKFHALAFNLSSLP >Potri.011G097050.2.v4.1 pep chromosome:Pop_tri_v4:11:12535993:12538101:1 gene:Potri.011G097050.v4.1 transcript:Potri.011G097050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097050.v4.1 MKSKSRNVALAMVVCGGGIRMGNISRSSRKKKTKEGATERAWCCTSRENKTRRATKEGKCLIRKFIIIFARFSDGAPFKPNLLRTTTIYTYDSPVWIREEKVIYFFLVIKEMHGEFRNAFSEKASRVLLPSWSLLQRRGGLQWRFSQYSSPSCYYFDVYKFHALAFNLSSLP >Potri.003G192350.1.v4.1 pep chromosome:Pop_tri_v4:3:19526921:19530299:1 gene:Potri.003G192350.v4.1 transcript:Potri.003G192350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192350.v4.1 MLNIECFIIVLVHGLFMCHNLIDIIFIHFKLLLNVLKDIMEGLESSDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAFAWAPSSGVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGEKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.006G261300.2.v4.1 pep chromosome:Pop_tri_v4:6:25763064:25764789:1 gene:Potri.006G261300.v4.1 transcript:Potri.006G261300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261300.v4.1 MPHHHSSSPFPACFRPSTADIHHLPPPTPPPPPNSGNSNLTTCLYQTDLGLFSLTWSSCFLGHSLHLHLHPIDCNNSYCSPGFHSNPLSLSTISFHLNIKPSLFWKKHGSKKFHVTNQDANTPTPRIQIFWDLSRAKFGSGHEPQSGFYIAVVVEREMVLLVGDLTKEAFAKTKALKKERAQVLVLRREHVFGNRVYTTRARFGGKNREISIDCSVNNDARLCFCVDNKRVLQIKRLKWKFRGNERIEVDGVPIQVSWDVYNWLFDDINTDHAVFMFRFESNLDQYPKEEEEVVQKQEQIEAYGCHHQQQEINEKNNNKDVVLWQQNSSSSTTSFGMSPIEWRKMRKSLMRTAARSSSSSSISMSSASSGGSSSVMEWASSTEESELCGGPIGFSLLVYAWRK >Potri.005G069800.5.v4.1 pep chromosome:Pop_tri_v4:5:4529160:4533698:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MTEGKKQPFDANEAPSLVKELKESFRTGRTRSYEWRVSQLKGIEKMVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.14.v4.1 pep chromosome:Pop_tri_v4:5:4529166:4533698:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MNYSHFDAKVGIYLYAGVLDDQTLIVSCVVDAGVRRSFSSSYPKKTNQKQEATLSFPRSGPIRKSLCVCLSPSAIPPVMTEGKKQPFDANEAPSLVKELKESFRTGRTRSYEWRVSQLKGIEKMVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.18.v4.1 pep chromosome:Pop_tri_v4:5:4529160:4533698:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MDEARKGQNFNDSLSFFSRNCVRTSGSCVVLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.17.v4.1 pep chromosome:Pop_tri_v4:5:4529165:4533699:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.4.v4.1 pep chromosome:Pop_tri_v4:5:4529166:4533698:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MTEGKKQPFDANEAPSLVKELKESFRTGRTRSYEWRVSQLKGIEKMVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.11.v4.1 pep chromosome:Pop_tri_v4:5:4529158:4533704:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MDEARKGQNFNDSLSFFSRNCVRTSGSCVVLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.19.v4.1 pep chromosome:Pop_tri_v4:5:4529159:4533596:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MDEARKGQNFNDSLSFFSRNCVRTSGSCVVLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.16.v4.1 pep chromosome:Pop_tri_v4:5:4529166:4533698:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MTEGKKQPFDANEAPSLVKELKESFRTGRTRSYEWRVSQLKGIEKMVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.3.v4.1 pep chromosome:Pop_tri_v4:5:4529166:4534184:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MSVVDAGVRRSFSSSYPKKTNQKQEATLSFPRSGPIRKSLCVCLSPSAIPPVMTEGKKQPFDANEAPSLVKELKESFRTGRTRSYEWRVSQLKGIEKMVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.9.v4.1 pep chromosome:Pop_tri_v4:5:4529166:4533704:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MDEARKGQNFNDSLSFFSRNCVRTSGSCVVLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.13.v4.1 pep chromosome:Pop_tri_v4:5:4529165:4534184:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MSVVDAGVRRSFSSSYPKKTNQKQEATLSFPRSGPIRKSLCVCLSPSAIPPVMTEGKKQPFDANEAPSLVKELKESFRTGRTRSYEWRVSQLKGIEKMVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIKDRSNHILGCS >Potri.005G069800.7.v4.1 pep chromosome:Pop_tri_v4:5:4529166:4533704:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.8.v4.1 pep chromosome:Pop_tri_v4:5:4529160:4533698:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MDEARKGQNFNDSLSFFSRNCVRTSGSCVVLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.15.v4.1 pep chromosome:Pop_tri_v4:5:4529165:4533698:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MNYSHFDAKVGIYLYAGVLDDQTLIVSCVVDAGVRRSFSSSYPKKTNQKQEATLSFPRSGPIQGKKQPFDANEAPSLVKELKESFRTGRTRSYEWRVSQLKGIEKMVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G069800.2.v4.1 pep chromosome:Pop_tri_v4:5:4529158:4533704:-1 gene:Potri.005G069800.v4.1 transcript:Potri.005G069800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069800.v4.1 MFLDSLPFVTVLRNSVVDAGVRRSFSSSYPKKTNQKQEATLSFPRSGPIRKSLCVCLSPSAIPPVMTEGKKQPFDANEAPSLVKELKESFRTGRTRSYEWRVSQLKGIEKMVEEREKDISEALYKDLSKPEFEAFVSEIAAVKSSCEEALKELKQWMKPEKAKTSMTAYPSSAEIVSEPLGAVLVISTWNYPFLLSIDPVIGAIAAGNAVVLKPSEIAPVTSSLLSELFEEYLDSSAVRVVEGAVPETAALLEQKWDKIFYTGSPRVGRIVMTAAAKHLTPVVLELGGKCPVVVDSDVDLQVTARRIIAGKWQLNNGQACISVDYIIATKDFAPKLIDALRNGIEEFFGADPMESKYISRIVSSNHFSRLERLLDEYKVFNKIVVGGQRNQKKLKIAPTIFLDVPEDSQLMQEEIFGPLLPIITVENVKDSIDLINSKPEPLVAYLFTNNQKLRNDFVQNVSCGGMVINDTVLHVTVSSLPFGGVGESGMGSYHGKFSFDAFSHKKAVLYRSFSGDSPVRYPPYTPENKKLMRAVMNGGIFDIILALMGWSRD >Potri.005G100800.10.v4.1 pep chromosome:Pop_tri_v4:5:7286958:7292204:-1 gene:Potri.005G100800.v4.1 transcript:Potri.005G100800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100800.v4.1 MDKHRGSRLSELFGSLGSFFRKCMFCILSMGPIPNHFAFIMDGNRRYAKKEKLEEGAGHRAGFSVLMSMLKYCYELGVTYVTIYAFSIENFKRKPDEVQNLMDLILEKIEGLLKEESLVNKYGIRVYFIGNLKLLSKPVRVAAEKVMKATANNTKCVLLICIAYTSCDEIVQAVHESCKNKWEEIQPCNSHKSFSGRVEEVDGKSIDDGIGHSVQELFGVQTNELRATRASTFCNDVANGVERTDKKSGVVGHAVHGSCDKWGEVQSLEASRTGDGVIPNVKSEKLLVDLSILKVVDIESHMYMSVAPNPDIVIRSSGETRLSNFLLWQTSNCLLYSPNALWPDMRLWHLVWAVLDFQRNHSYFEKKKKQF >Potri.005G100800.11.v4.1 pep chromosome:Pop_tri_v4:5:7286958:7292204:-1 gene:Potri.005G100800.v4.1 transcript:Potri.005G100800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100800.v4.1 MDKHRGSRLSELFGSLGSFFRKCMFCILSMGPIPNHFAFIMDGNRRYAKKEKLEEGAGHRAGFSVLMSMLKYCYELGVTYVTIYAFSIENFKRKPDEVQNLMDLILEKIEGLLKEESLVNKYGIRVYFIGNLKLLSKPVRVAAEKVMKATANNTKCVLLICIAYTSCDEIVQAVHESCKNKWEEIQPCNSHKSFSGRVEEVDGKSIDDGIGHSVQELFGVQTNELRATRASTFCNDVANGVERTDKKSGVVGHAVHGSCDKWGEVQSLEASRTGDGVIPNVKSEKLLVDLSILKVVDIESHMYMSVAPNPDIVIRSSGETRLSNFLLWQTSNCLLYSPNALWPDMRLWHLVWAVLDFQRNHSYFEKKKKQF >Potri.001G298000.1.v4.1 pep chromosome:Pop_tri_v4:1:30882843:30886311:-1 gene:Potri.001G298000.v4.1 transcript:Potri.001G298000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298000.v4.1 MKLDYSYPFEDPILESYFARMNLSTTGRFSHSSNEQQRSLNTMRSDDQYSLAAGHPSYQNSSQNGTTYMEQYPYFSNIRNNNGGCGYDQNVRDQDYHDRFALHSFPSTSQNSTGHTVHPSFSNIGNNNGGLGYTQNIQDQDCRDRFALHSFSNFGNNNGGLGYNQNIQDQDCRDRFALHSFSNFGNNNGGLVYNQNIQDQDCRDRFALHSFSNFGNKHGSTSRARIRSQSYDALDQYGLCLGRILDADSTILDTGSQFRRVRSLESSTSDTLRVSSLPNSPVFQSDHPSLYKLKGRVAVAARSQILYRVLQGVLDERKPDLIEMIFLEVKDYVHDLMEDQFGNHVIQKLFEVCSEAQMTQLILSLIHNQRRLLGLCFHLVGTRAMQKMIEHIKTPKQRLLLTQVLIRRTVILSQNQNGYHVIQKCLEHFPFDDIKPLIKEIAESFLDIAMDKSGCCVLNRALDCAQGELKHLLLLETIANAMLLSESPYGNYVVQHVLDERIQHATIGILEKLKGYFVSLSMNKFGSNVVEKCLIWSGEENASMIIEEFMHSPYFVNICRNNFGNYVVQKALEVSKGGIRNALVSRINDSYPDLYSDINAKRVVRKARDIRFRI >Potri.001G335100.1.v4.1 pep chromosome:Pop_tri_v4:1:34350044:34350995:1 gene:Potri.001G335100.v4.1 transcript:Potri.001G335100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335100.v4.1 METLIKSLPDLPILFSFFLTIYLAAHFLVFRNWSPKIRPEAASCLISIFHGTPAVFLATHALFTNPNRGFSSLNTKTEASVLDYSISYFLMDLIHYLIFSPSDILFIGHHLATLFVFVTCRYLVARGAYAVLMLLILAEVTSACQNAWTLANARRIDVEFAAKVYDFLSLPFYAFYSVVRGILGPYFVYQMGAFFISGVDGGIIPKWIWVSWLFVVVIAISVSILWVTNLWVQLYRERSAKLEKKST >Potri.014G107300.3.v4.1 pep chromosome:Pop_tri_v4:14:7234101:7237359:1 gene:Potri.014G107300.v4.1 transcript:Potri.014G107300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107300.v4.1 MEPRCVECGFPIKTLFVQYSPGNIRLMRCENCKAVADEYIECEFMIILIDLILHKTKAYRHLLFNVINQHTVNFEGSLWKSIFAFLLLDAYRSLLLKRNEGEWGSSMSFSSIVWTFEEIVVDVFVGNFVFFCIFLLSMRLMLNTSIQISRCKDILLAILVSSYFKIFLIATMVWEFPSSVIFIIDLFVLSSNTVALKVITDSAMNRCIAACFCAQALKLLVTQGPARRSLRF >Potri.001G097700.1.v4.1 pep chromosome:Pop_tri_v4:1:7735120:7738349:-1 gene:Potri.001G097700.v4.1 transcript:Potri.001G097700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097700.v4.1 MAEHDKDDSVVESVTEKISEKTHGHDSSSVSDSDHEKSKSNPDSLKSKIYRLFGREKPVHKVLGGGKPADVFLWRNKKISAGVLGGATAIWVLFELLEYNLVTLVCHCLILALALLFLWSNASTFINKSPPRIPEVCIPEEPVLQTAAALRIEINQGFSVLRDIASGRDLKNFLTVIAGLWVLSIVGSWCNFVTLFYIAFVLLHTVPVFYEKYEDQVDAFAEKAMIEIKKQYAVFDAKVLSKIPRGPLKDKKRD >Potri.010G095900.1.v4.1 pep chromosome:Pop_tri_v4:10:11970268:11973851:-1 gene:Potri.010G095900.v4.1 transcript:Potri.010G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G095900.v4.1 MPFGLVSAWNKRRRSKSQDHSDPWVYKPMEFWQLEDQTPQSTKRRHGSSVFTLKEMEEATCSFSEKNLVGKGGFGRVYRGVLRSGEVVAIKKMELPTFKEAEGEREFRVEVDILSRLEHPNLVSLIGYCADGKDRFLVYEYLQHGNLQDHLNGYGKAKMEWPLRLKVALGSARGLAYLHSSSDVGIPIVHRDFKSTNILLNANFEAKISDFGLAKLMPEGQEIFVTARVLGTFGYFDPEYTSTGKLTLQSDVYAFGVVLLELLTGRRAVDLNQGPSDQNLVLQVRHILNDRKKLRKVIDPELSRSSYTLESIAMFANLASRCIRIQSSERPSMAECVKELQTIIYTNSKPMGMGMGMGMMTFKLV >Potri.002G239100.1.v4.1 pep chromosome:Pop_tri_v4:2:23177035:23179487:1 gene:Potri.002G239100.v4.1 transcript:Potri.002G239100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239100.v4.1 MDPAELRRVFQMFDKNGDGQITKKELSDSLKNLGIYIPDKDLIQMIEKIDVNGDGYVDIEEFGALYQTIMDERDEEEDMREAFNVFDQNGDGFITVEELKSVLSSLGLKQGRTLEDCKRMIKKVDVDGDGMVNFREFKQMMKGGGFAALGSS >Potri.011G025966.1.v4.1 pep chromosome:Pop_tri_v4:11:1919206:1920105:1 gene:Potri.011G025966.v4.1 transcript:Potri.011G025966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025966.v4.1 MGVITLENEFAVAVAPAKLFKAYCLETDTLLPKILPEHIKSCEIIEGNGGPGTIRKITFAEGKDLSYAKQKIEAIDEENLTYSFSLIEANVWKDAVEKVTYEHKFVPTPEGGSICKRTSTYYIKGDAEINKDQIKDVYGKKTAGLFKAVEAYFLANPDA >Potri.006G167200.1.v4.1 pep chromosome:Pop_tri_v4:6:16642407:16648568:-1 gene:Potri.006G167200.v4.1 transcript:Potri.006G167200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167200.v4.1 MQSSSSSMKVSPLELMQAIIKGKVDPTNVSSESGGSAAEMATLIRENREFVIILTTSIAVLIGYVVVLIWRRSSGYQKPKVPVPPKPLIVKDLEPEVDDGKKKVTIFFGTQTGTAEGFAKALAEEAKARYEKAIFKTVDLDDYAEDDDEYEEKLKKESLAIFFLATYGDGEPTDNAARFYKWFTDGNERGEWLKELPYAVFGLGNRQYEHFNKIAIVVDKILGNQGGKQLVPVGLGDDDQCMEDDFAAWRELLWPELDQLLLDGDDPTGVSTPYTAAVAEYRVVLHDPEDAPLEDDNWSNANGHAIYDAQHPCRANVTVRRELHTPASDRSCTHLEFDISGTGLVYGTGDHVGVYCENLSEIVEEALQLLGLSPDIYFTIHTDNEDGTPLSGSALPPPFPSSTLRTALTRYADLLSSPKKSALMALAAHATNPTEADRLRHLASPAGKDEYAQWIVANHRSLLEVMAEFPSAKPPLGVFFASVAPRLLPRYYSISSSPSMAPSRIHVTCALVLEKTPAGRIHKGVCSTWMKNAVPLEKSHDCSWAPIFVRQSNFKLPADTKVPIIMIGPGTGLAPFRGFLQERLAQKEAGAELGSSVLFFGCRNRQMDFIYEDELNNFVESGALSELSVAFSREGPTKEYVQHKMMQKASDIWNMISQGGYLYVCGDAKGMAKDVHRTLHTIVQEQGSLDNSKTESFVKGLQMNGRYLRDVW >Potri.012G080800.6.v4.1 pep chromosome:Pop_tri_v4:12:10534165:10541586:1 gene:Potri.012G080800.v4.1 transcript:Potri.012G080800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080800.v4.1 MATQSSDPPPPSNPADQNPGNVQPTNGIQQDAEVEAIKQSPPSVFVNSEPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFLRVPDPTPSTQATSLNQAEGQVKSTPNAQPLASAQTLQPVAAGPTAVISSVGTLTRSRFHWYHAVFAVGLLAVSGAGTVVLVKNTVIPRLKSWIRKVVLEEEDDNVKKTNLKPSLAEEAAAAAKSAAAAAVDVARASQELLNSKNEEKRYFKEFMKMLDVQVQEMKSMSTAIHRLEGQTDNRVRNSLADQEDYRALVANPKQTYTNGKTEFDLHSGGSSSQPASAEPSAAPHPKSYMEIMEMVRRGERPGNIRDINDQPPNPSQQISNPRIAPRTKPWEVGQVQNNSSQVLQSQVSGEDLNSKVQDNGIYQFDGESTTPWWQQKNAGIAEIDNEDEVKAGRYGGQNNQQPVRRTWVPPRPPPVVMAEAAEAIRRPKQSIQKEQLEDDRSVSHPTDTADELQRITKISESGGAVEINGGGSVLNSSEIQEEPEQIHEGN >Potri.012G080800.8.v4.1 pep chromosome:Pop_tri_v4:12:10534636:10541631:1 gene:Potri.012G080800.v4.1 transcript:Potri.012G080800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080800.v4.1 MATQSSDPPPPSNPADQNPGNVQPTNGIQQDAEVEAIKQSPPSVFVNSEPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFLRVPDPTPSTQATSLNQEGQVKSTPNAQPLASAQTLQPVAAGPTAVISSVGTLTRSRFHWYHAVFAVGLLAVSGAGTVVLVKNTVIPRLKSWIRKVVLEEEDDNVKKTNLKPSLAEEAAAAAKSAAAAAVDVARASQELLNSKNEEKRYFKEFMKMLDVQVQEMKSMSTAIHRLEGQTDNRVRNSLADQEDYRALVANPKQTYTNGKTEFDLHSGGSSSQPASAEPSAAPHPKSYMEIMEMVRRGERPGNIRDINDQPPNPSQQISNPRIAPRTKPWEVGQVQNNSSQVLQSQVSGEDLNSKVQDNGIYQFDGESTTPWWQQKNAGIAEIDNEDEVKAGRYGGQNNQQPVRRTWVPPRPPPVVMAEAAEAIRRPKQSIQKEQLEDDRSVSHPTDTADELQRITKISESGGAVEINGGGSVLNSSEIQEEPEQIHEGN >Potri.012G080800.10.v4.1 pep chromosome:Pop_tri_v4:12:10534647:10541633:1 gene:Potri.012G080800.v4.1 transcript:Potri.012G080800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080800.v4.1 MATQSSDPPPPSNPADQNPGNVQPTNGIQQDAEVEAIKQSPPSVFVNSEPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFLRVPDPTPSTQATSLNQEGQVKSTPNAQPLASAQTLQPVAAGPTAVISSVGTLTRSRFHWYHAVFAVGLLAVSGAGTVVLVKNTVIPRLKSWIRKVVLEEEDDNVKKTNLKPSLAEEAAAAAKSAAAAAVDVARASQELLNSKNEEKRYFKEFMKMLDVQVQEMKSMSTAIHRLEGQTDNRVRNSLADQEDYRALVANPKQTYTNGKTEFDLHSGGSSSQPASAEPSAAPHPKSYMEIMEMVRRGERPGNIRDINDQPPNPSQQISNPRIAPRTKPWEVGQVQNNSSQVLQSQVSGEDLNSKVQDNGIYQFDGESTTPWWQQKNAGIAEIDNEDEVKAGRYGGQNNQQPVRRTWVPPRPPPVVMAEAAEAIRRPKQSIQKEQLEDDRSVSHPTDTADELQRITKISESGGAVEINGGGSVLNSSEIQEEPEQIHEGN >Potri.008G198600.7.v4.1 pep chromosome:Pop_tri_v4:8:14031241:14032270:-1 gene:Potri.008G198600.v4.1 transcript:Potri.008G198600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198600.v4.1 MAGGGSVQKTEEEWRAVLSPEQFHILRDKGTEPKFSGEYDKFFEQGVFNCAGCGTPLYKSTTKFNSGCGWPAFYEGLPAAINRSPDPDGRRTEITCAACGGHLGHVFKGEGHKTPTDERHCVNSISIKFVSSQ >Potri.005G243000.2.v4.1 pep chromosome:Pop_tri_v4:5:23922457:23926571:-1 gene:Potri.005G243000.v4.1 transcript:Potri.005G243000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243000.v4.1 MKYSALCYVLFLILFDVFLVKSGADEGEKDGVYIVYMGAATANGSSKNEHAQLLSSVLKRRKNALVHSYEHGISGFTARLSAAEAQSIAKNPGVVSVFPDPVYQLHTTRSWDFLKYGTDVKIDLSPNSDSNLSSRGYDVIIGILDTGIWPESKSFSDKDMDPIPSSWKGTCVEARDFNSSNCNRKLIGARSYNGPGDDDDGLVNTPRDMNGHGTHVASTAAGIMVPGASYHGLASGTAKGGSLGSRIAVYRICTPNGCAGSSILAAFSDAIKDGVDILSLSLGSPASRISDFKEDPIAIGAFHAVENGITVVCSAGNDGPSEKTVSNGAPWILTVAATTIDRRFESNVVLDKKKVIKGEAINFANIGKSPVHPLIYAKSAKKAGADARDARNCYPDSMDGKKIKGKIVICDNDEDINSYYKMNEVRNLEGIGAVLVSDKTNGDASDFDEFPMTVIRSKDAVEIFAYLNSTKNPVATILPTTVVSQYKPAPAIAYFSSRGPSSISRNILKPDIAAPGSNILAAWTAYDGEVTDEGREIPKFKIMSGTSMSCPHVSGMAAVLKSHYPSWSPSAIKSAIMTTASQINNMKAPITTELGAIATAYDYGAGEMSTNGALQPGLVYETTAIDYLYFLCYHGYNISTIKVISKDVPAGFACPKESKVNMISNINYPSIAVFNLTGKHSRNITRTLTNVAGDGTATYSLTIEAPIGLTVTVTPTSLQFTKNGQRLGYHIIFTPTVSSLQKDMFGSITWRTKKFNVRTPFVASSR >Potri.005G243000.6.v4.1 pep chromosome:Pop_tri_v4:5:23922486:23926512:-1 gene:Potri.005G243000.v4.1 transcript:Potri.005G243000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243000.v4.1 MKYSALCYVLFLILFDVFLVKSGADEGEKDGVYIVYMGAATANGSSKNEHAQLLSSVLKRRKNALVHSYEHGISGFTARLSAAEAQSIAKNPGVVSVFPDPVYQLHTTRSWDFLKYGTDVKIDLSPNSDSNLSSRGYDVIIGILDTGIWPESKSFSDKDMDPIPSSWKGTCVEARDFNSSNCNRKLIGARSYNGPGDDDDGLVNTPRDMNGHGTHVASTAAGIMVPGASYHGLASGTAKGGSLGSRIAVYRICTPNGCAGSSILAAFSDAIKDGVDILSLSLGSPASRISDFKEDPIAIGAFHAVENGITVVCSAGNDGPSEKTVSNGAPWILTVAATTIDRRFESNVVLDKKKVIKGEAINFANIGKSPVHPLIYAKSAKKAGADARDARYAISM >Potri.019G022002.1.v4.1 pep chromosome:Pop_tri_v4:19:3413109:3414980:1 gene:Potri.019G022002.v4.1 transcript:Potri.019G022002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH22 MMVESVLCMNPGDGETSYAKNSFLQKTVLSKARPILEDTIKDMFSTALPTSFKLADLGCSSGPNTLLFVSEIMDVIYELCQQLNCKLPEFQVFLNDLPGNDFNAVFKSLPFFYDKFGKEKGDLYGQHCFISGVPGSFYHRLFPSKSLHFFHSSYSLHWLSKVPEGISNNKGNIYMAKASPPNVFKAYLEQFQKDFSLFLRLRSEEIIQGGRVVLTFLGRSSDDPRSKDCCLSWELLAKSLLDLAAKGLVVEADIDTFNLPYYNPYEGEVREIIEMEGSFDINKLETFAINWDANDDISNKNFVFDKDQCGRNVANIVRAVAEPMLVSHFGDEIMDELFKRYAEHVGEHLCVEKTKHINIVLTMTKKE >Potri.004G108880.2.v4.1 pep chromosome:Pop_tri_v4:4:9666898:9678669:-1 gene:Potri.004G108880.v4.1 transcript:Potri.004G108880.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108880.v4.1 MAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPVSVYNRSTSKVDETVERAKKEGDLPLYGFHDPESFVKSIQKPRVIIMLVKAGSPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGISLTPGGSFEAFKSIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELRSVFAEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFWDILTGQVVDKKQLIDDVRQALYASKICSYAQGMNLIRAKSIQKGWDLKLRELARIWKGGCIIQSVFLGRIKKAYDRNPDLASLLVDPEFAKEIIERQSAWRRVVCLAISSGISTPGMSSSLAYFDTYRRGRLPANLVQAQRDYFGAHTYERVDVEGSFHTEWFKIARQCKN >Potri.004G108880.1.v4.1 pep chromosome:Pop_tri_v4:4:9666856:9678625:-1 gene:Potri.004G108880.v4.1 transcript:Potri.004G108880.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108880.v4.1 MKSSIIKSYVSEYHFYAIFNFSEPISKIILDRVTMAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPVSVYNRSTSKVDETVERAKKEGDLPLYGFHDPESFVKSIQKPRVIIMLVKAGSPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGISLTPGGSFEAFKSIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNEELRSVFAEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFWDILTGQVVDKKQLIDDVRQALYASKICSYAQGMNLIRAKSIQKGWDLKLRELARIWKGGCIIQSVFLGRIKKAYDRNPDLASLLVDPEFAKEIIERQSAWRRVVCLAISSGISTPGMSSSLAYFDTYRRGRLPANLVQAQRDYFGAHTYERVDVEGSFHTEWFKIARQCKN >Potri.008G181900.1.v4.1 pep chromosome:Pop_tri_v4:8:12537004:12538215:-1 gene:Potri.008G181900.v4.1 transcript:Potri.008G181900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181900.v4.1 MAATMAILNAKCLSINANKNTNPIKPPTKPIYLLAMQNLPKGLTISKPADNTALAGTAIAGAIFTTLSSCEPAFAAQQIAEIAEGDNRGLALLLPLIPAIAWVLFNILQPALNQVNRMRETRGVIIGLGLGGLAASGFMSTPDASASEIAMIAEATSDSRGTLLLLVVAPAILWVLYNILQPALNQINRMRS >Potri.008G211400.3.v4.1 pep chromosome:Pop_tri_v4:8:17632899:17638189:1 gene:Potri.008G211400.v4.1 transcript:Potri.008G211400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211400.v4.1 MAAKKQDSNSKPKKRNRNPDANTNPDSSSFKKPKLVSSKPENKPVEKVFKPFKKTFGKVKSQSGEEKKTPLSKRERRIHAKELTEARKKRRKQYYTLEQELARLWEKMRQRNIAKEERSKIITEAIQKMKGKIPEIASSHVSSRVLQTCVKYCSQTERDAVFDELKPHFLTFASNKYAIHLVTKMLDNASKKQLAEFISPLRGHVASLLRHAVGSVVIEHAYQLGNATQKQELLMELYSTELQLFKDLASMKESRLVDVISKLNLQKGSVSRHMASVIQPILEKGIVDHTIIHKVLIEYLSIADKTSAAEIIQQLSGPLLVRMIHTRDGSRIGILCVKHGSAKERKKIVKGLKGTVGKTAHFQYGSLVLACIVSTVDDTKLVAKTVIRELQTILKELVLDKNGRRPLLQLLNPNCTRYFSPDEMASLSLSISSLNAMGDLEVNRETKSLKDEESSDKDNSGRDVTMVEADGSASSETLQLVEGGKKDPSIRRQELLVGSGLAKNLIDMCTENAEELLRSNFGKEVLYEAATGGSGGILQQTLGDELNALHEAIASVAAESKSEGSEKEHVLENFHSSRTIRKLVLDNPAFAATLWKKALSGKCEQWAQGHSSKVICAFLESSDAMVSKLAKEELQPLINRGILKLPEKKQPANEG >Potri.008G211400.2.v4.1 pep chromosome:Pop_tri_v4:8:17633005:17638525:1 gene:Potri.008G211400.v4.1 transcript:Potri.008G211400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211400.v4.1 MAAKKQDSNSKPKKRNRNPDANTNPDSSSFKKPKLVSSKPENKPVEKVFKPFKKTFGKVKSQSGEEKKTPLSKRERRIHAKELTEARKKRRKQYYTLEQELARLWEKMRQRNIAKEERSKIITEAIQKMKGKIPEIASSHVSSRVLQTCVKYCSQTERDAVFDELKPHFLTFASNKYAIHLVTKMLDNASKKQLAEFISPLRGHVASLLRHAVGSVVIEHAYQLGNATQKQELLMELYSTELQLFKDLASMKESRLVDVISKLNLQKGSVSRHMASVIQPILEKGIVDHTIIHKVLIEYLSIADKTSAAEIIQQLSGPLLVRMIHTRDGSRIGILCVKHGSAKERKKIVKGLKGTVGKTAHFQYGSLVLACIVSTVDDTKLVAKTVIRELQTILKELVLDKNGRRPLLQLLNPNCTRYFSPDEMASLSLSISSLNAMQGDLEVNRETKSLKDEESSDKDNSGRDVTMVEADGSASSETLQLVEGGKKDPSIRRQELLVGSGLAKNLIDMCTENAEELLRSNFGKEVLYEAATGGSGGILQQTLGDELNALHEAIASVAAESKSEGSEKEHVLENFHSSRTIRKLVLDNPAFAATLWKKALSGKCEQWAQGHSSKVICAFLESSDAMVSKLAKEELQPLINRGILKLPEKKQPANEG >Potri.008G211400.1.v4.1 pep chromosome:Pop_tri_v4:8:17632922:17638085:1 gene:Potri.008G211400.v4.1 transcript:Potri.008G211400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211400.v4.1 MAAKKQDSNSKPKKRNRNPDANTNPDSSSFKKPKLVSSKPENKPVEKVFKPFKKTFGKVKSQSGEEKKTPLSKRERRIHAKELTEARKKRRKQYYTLEQELARLWEKMRQRNIAKEERSKIITEAIQKMKGKIPEIASSHVSSRVLQTCVKYCSQTERDAVFDELKPHFLTFASNKYAIHLVTKMLDNASKKQLAEFISPLRGHVASLLRHAVGSVVIEHAYQLGNATQKQELLMELYSTELQLFKDLASMKESRPWLFFRLVDVISKLNLQKGSVSRHMASVIQPILEKGIVDHTIIHKVLIEYLSIADKTSAAEIIQQLSGPLLVRMIHTRDGSRIGILCVKHGSAKERKKIVKGLKGTVGKTAHFQYGSLVLACIVSTVDDTKLVAKTVIRELQTILKELVLDKNGRRPLLQLLNPNCTRYFSPDEMASLSLSISSLNAMGDLEVNRETKSLKDEESSDKDNSGRDVTMVEADGSASSETLQLVEGGKKDPSIRRQELLVGSGLAKNLIDMCTENAEELLRSNFGKEVLYEAATGGSGGILQQTLGDELNALHEAIASVAAESKSEGSEKEHVLENFHSSRTIRKLVLDNPAFAATLWKKALSGKCEQWAQGHSSKVICAFLESSDAMVSKLAKEELQPLINRGILKLPEKKQPANEG >Potri.001G017500.1.v4.1 pep chromosome:Pop_tri_v4:1:1294710:1296161:1 gene:Potri.001G017500.v4.1 transcript:Potri.001G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G017500.v4.1 MTTFSYITFLATLTIFISTSTVRSCPASDRAALLAFKAALHEPYLGIFNSWTGTDCCHNWYGVMCDMETRRVADINLRGESEDPIFQKAGRSGYMTGSISPSICKLERLSSLTISDWKGISGPIPACITSLPFLRIIDLIGNRISGEIPADIGRLERMTVLNIADNLVTGRIPRSLTNLSSLMHLDLRNNRIWGPLPLDFGRLRMLSRALLSRNYISGTIPDSISKIYRLADLDLSLNKLSGEIPASLGKMAVLATLNLDSNKLSGKIPDSLFNSAIGNLNLSKNSFQGYLPDVFGPRSYFTVLDLSYNNFWGPIPKSLSQASFIGHMDLSHNRLCGRIPAGPPFDHLEASSFAYNACLCGKPLGACQ >Potri.017G014800.1.v4.1 pep chromosome:Pop_tri_v4:17:1015861:1024545:-1 gene:Potri.017G014800.v4.1 transcript:Potri.017G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014800.v4.1 MAPDLNKASGGSGASKNEAPVKAPPSKKKEEKKDEDLSEEDLALKQQLELYVERVQDPEPGIQKLALESLRQEIRSSTSSMTSVPKPLKFLRAHYGTLKAHYENMAESDLKKYLADILSVLALTMSAEGERESLKYRLLGSEGDIGSWGHEYVRNLAGEIAQEHTKRQTEEASVHDLIELVQQIVAFHMKHNAEPEAVDLLMEVEDLNILSKHVDKTNFKRTCLYLTSAAKYLPGPDDLAVLKIAYEIYLKFGEYASALQIALFLDNSQYIKQVYISCDDLLQKKQFSYILARHGTAFELDDDISADDVDREVLEEIINNTKLTEGYLTLARDIEVMEPKSPEDIYKAHLLDGRASAGASVDSARQNLAATFVNAFLNAGFGQDKLMTPPTDSSSGGSGNWLFKNKDHGKTSAAASLGMILMWDVDSGLAQLDKYFHSNDNHVIAGALLGVGIVNCGIRNDCDPALALLDDFVDKEDPSIRIGAIMGLGIAYAGTQNEQICSKLSGILSDAKAPLDVIAFSAISLGLVCVSSCNEEVAQAIILALMDRSESELQEPLIRFLPLGLGLLYLGKQERVDATAEVSKTFNEKIRKYCDMTLLSCAYAGTGNVLKVQNLLGHCAEHLEKSETHQGPAVLGIAMIAMAEELGLEMAIRSLEHLLQYGEQNIRRAVPLALGLLCISNPKVNVMDTLSRLSHDTDSEVAMAAVFSLGLIGAGTNNARIAGMLRNLSSYYYKDATLLFCVRIAQGLVHLGKGLLTINPYHSDRFLLSPTALAGLITMLHACLDMKAIILGKYHYVLYFLVLAMQPRMLLTVDENLKPLSVPVRVGQAVDVVGQAGRPKTITGFQTHSTPVLLAAGDRAELATEKYIPLSPILEGFVILKENPDYREDL >Potri.005G072500.1.v4.1 pep chromosome:Pop_tri_v4:5:4808262:4813653:1 gene:Potri.005G072500.v4.1 transcript:Potri.005G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072500.v4.1 MSYLVGVASQENHEENNNERLDLTHRIDPTSTDMLLPHETLLRAAISLKNQVVEVTWERDGKTGTGIDPTVYTGLLGTAFTCLRSYEVTGNEQDLLLCSEIVDTCSVSARTSSRHITFLCGRGGLYALGAVAASYKGDRRRRDFFVNLFLEVAQERALPVGPEEGGFGMSYELMYGRAGFLWAALFINKHLGEGTLPCDLLMPVVDAVLAGGRAGASDNAACPLMYRWHGTRYWGAPNGLAGILQVLLHFPLSIEDVEDVKATLRYMMSNRFPHSGNYPSSEGNPRDKLVQWSHGATGMAITLCKASEMFPNDREFRNAAIEAGEVVWKSGLVKKVGLADGAAGNAYAFLSLYRLTGESIYEERANAFASFLYHNASELVTIGDARGANHAYSLFQGLAGTACLWFDLLKPESSRFPGYEL >Potri.014G047700.2.v4.1 pep chromosome:Pop_tri_v4:14:3057480:3059187:-1 gene:Potri.014G047700.v4.1 transcript:Potri.014G047700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047700.v4.1 MRLAVVSTRPSSNTLASASKHLNLTHFNITHSYNSQVSLPSLKHKLSVHCRDFISRSTAKLGKKWMEYQGVNNWDGLLDPLDDRLRSEILRYGLFVEAAYRSFNFDPSSSTYATSKFSRNSLLARSGIGETGYRTTKHLRATCGLQLPRWINRAPSWVSAQSSWIGYVAVCQDKEEIARLGRRDVVIAYRGTATCLEWVENLRATLTCLPGKHCDYVDPDGGGPMVESGFLSLYTSQNATCPSLQDMVREEIARVMEMYGDEPLSFTITGHSLGAALATLTAYDINSTFKNAPIVTVMSFGGPRVGNRSFRCQLEKSGTRILRIVNSDDLITKVPGFVIDNNDMARNRAVHVAGLPCWLRRRVEDTQWVYAEVGRELRLSSKESPYLSKRDVATCHDLSTYLHLINRFVSSTCPFRATAKKVLNRHHIENFEGRRDEKLSS >Potri.003G217800.3.v4.1 pep chromosome:Pop_tri_v4:3:21278756:21286137:-1 gene:Potri.003G217800.v4.1 transcript:Potri.003G217800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217800.v4.1 MNTRSSWADLAANSAAESTKAGSSANNGSVGTTSGNAPTRPTYVPPHLRNRVPSSDPPAAAYSGPASSNDRSGYGGGSRWGVPRNDYRGGYGGGGGRGGWGNRGGWDRGREREVNPFGDDDETEQPLSEQENSGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNQNIRRCKYVKPTPVQRHAIPISLSGRDLMACAQTGSGKTAAFCFPIISGIMKMQDQSAQRPPRGARTVYPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPIHQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPRPGLRQTMLFSATFPKEIQRLASDFLSTYIFLAVGRVGSSTDLIVQRVEFVYEPDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCINGFPATSIHGDRSQQEREQALRSFKTGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGNSGLATAFFNEGNASMARPLSELMQEANQEVPDWLSRYASRASFGGGKNRRSGGGRFGGRDFRRDSSFNRGNSDYYGGGGSGGGYGSSAGYGSSAGYGGGYGPGVTSAWD >Potri.003G217800.2.v4.1 pep chromosome:Pop_tri_v4:3:21278517:21286059:-1 gene:Potri.003G217800.v4.1 transcript:Potri.003G217800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217800.v4.1 MNTRSSWADLAANSAAESTKAGSSANNGSVGTTSGNAPTRPTYVPPHLRNRVPSSDPPAAAYSGPASSNDRSGYGGGSRWGVPRNDYRGGYGGGGGRGGWGNRGGWDRGREREVNPFGDDDETEQPLSEQENSGINFDAYEDIPVETSGDNVPPPVNTFAEIDLGDALNQNIRRCKYVKPTPVQRHAIPISLSGRDLMACAQTGSGKTAAFCFPIISGIMKMQDQSAQRPPRGARTVYPLALILSPTRELSMQIHEEARKFSYQTGVKVVVAYGGAPIHQQLRELERGVDILVATPGRLVDLLERARVSLQMIKYLALDEADRMLDMGFEPQIRKIVEQMDMPRPGLRQTMLFSATFPKEIQRLASDFLSTYIFLAVGRVGSSTDLIVQRVEFVYEPDKRSHLMDLLHAQRANGVQGKQALTLVFVETKKGADSLEHWLCINGFPATSIHGDRSQQEREQALRSFKTGNTPILVATDVAARGLDIPHVAHVVNFDLPNDIDDYVHRIGRTGRAGNSGLATAFFNEGNASMARPLSELMQEANQEVPDWLSRYASRASFGGGKNRRSGGGRFGGRDFRRDSSFNRGNSDYYGGGGSGGGYGSSAGYGSSAGYGGGYGPGVTSAWD >Potri.010G032501.1.v4.1 pep chromosome:Pop_tri_v4:10:5358928:5359599:1 gene:Potri.010G032501.v4.1 transcript:Potri.010G032501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032501.v4.1 MSFAGTCGFRGTRRGAPFVAQTAARNAIQIVVDQGMQRAKVMIKGTGLGRDAALRAIRRSRILLSFIRNVTPMPHNGCRPPKKRRV >Potri.006G151600.1.v4.1 pep chromosome:Pop_tri_v4:6:13271173:13272586:-1 gene:Potri.006G151600.v4.1 transcript:Potri.006G151600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G151600.v4.1 MEIPVIDLSQLEGENRSKTMALLHQACEKWGFFQVENHGLEKKLMDKVKQLVNAHYEENLKESFYESEIAKGLMNKGDVDWESSFFIWHRPTSNINEIHNLSENLRQIMDEYIAQLIQLAEKLSQLMSENLGLDKDYIKESFSGAKGPSVGTKVAKYPECPRPELVRGLREHTDAGGIILLLQDEHVPGLEFSNDGGWIKIPPSKNNTIFVNTGDQVEVLSNGRYKSTLHRVMAGKDGSRLSIATFYNPAGDAIISPAPKLSYPHNYTFQDYLKLYATTKFSDKGPRFEAMKKIANGHSSHGV >Potri.001G059500.2.v4.1 pep chromosome:Pop_tri_v4:1:4540188:4541896:-1 gene:Potri.001G059500.v4.1 transcript:Potri.001G059500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G059500.v4.1 MSGVSLAVTPPRESDGTTTSATKHQQEVPLKQQLQQNSVAGGVMGSLRAIELQLVAFIMVFSVSGLVPLLDLVFPAFTSAYLLALSRFAFPSYGRTSSEIFQGSRFFRFYVIFGTTIALFLPLSYVLGGFARGDDHAVRSATPHLFLLSFQILTENIISGLSLFSPPVRALVPLLYTVRRIFVVIDWINDVWLNKTLPANAQVKDIAWYWFGRSLAVANLAYFSINLFIFLIPIFLPRAFEIYFRERNEIESKMAEDKRSAAASKPKSSAYKKAA >Potri.003G039551.1.v4.1 pep chromosome:Pop_tri_v4:3:4473430:4474387:-1 gene:Potri.003G039551.v4.1 transcript:Potri.003G039551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039551.v4.1 MATVYLNISNKVTYLESSEGTTKSWEKWRSHVTNLIRIRISLVMSGLSRYIYSERSLLRSVPCESMEMSQKCRLTRCLSFLPHVNWPSYIWKMQSPATTIYTHFFASSVDRVRCNFFHDHHSSSGHCKFTRRLWNYFPFTILFSDNMLQFTAITYVKGFQLWQTLSEGRCEDKYQFVERIQIQKNKTLEADMPGGPLPYTIDLR >Potri.012G135000.1.v4.1 pep chromosome:Pop_tri_v4:12:14973059:14974959:1 gene:Potri.012G135000.v4.1 transcript:Potri.012G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G135000.v4.1 MAHGGGAAADVMACKQEEEEEVENKAAWLLGIKTLKIQPYHLPPLGPLDVKVRIKALGICGSDVHHFKTMRCASFVVKKPMVIGHECAGIIEDVGSEVKSLAVGDRVALEPGISCRRCNLCKEGRYNLCPEMKFFGSPPTNGSLANKVVHPANLCFKLPDNVSLEEGAMCEPLSVGVHACRRAQIGPETNVLIMGAGPIGLITLLASRAFGAPRVVIVDVDDRRLSIAKNLGADEIIHVSTNIQDVDEEVIKIQNAMGSGIDVSFDCVGYNKTMTTALNATQSGGKVCLIGLALTEMTVPLTPSAAREVDVIGIFRYRNTWPLCIEFLKTGKIDVKPLITHRFRFSQEEVEQAFETSAGGGNAIKVMFNL >Potri.011G062200.1.v4.1 pep chromosome:Pop_tri_v4:11:5136823:5138846:-1 gene:Potri.011G062200.v4.1 transcript:Potri.011G062200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062200.v4.1 MHCLHHSLSTLPSFAKSADKTAKKSTFASCKTLQIRAMRAVVQRVTSASVEVDGHMVSEIGPGLLVLVGLHESDTDSNADYICRKVLNMRLFTNGSTGRGWDQNVMQRNYEVLLVSQFTLYGVLKGNKPDFHVAMPPQKAKPFYESLVDKFRKAYRPDAIKDGVFGAMMKVNIVNDGPVTMQLDSSQSSKSTNEETGKTIN >Potri.002G033000.3.v4.1 pep chromosome:Pop_tri_v4:2:2189039:2192569:1 gene:Potri.002G033000.v4.1 transcript:Potri.002G033000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033000.v4.1 MLPLSSYMLSCLCFYTVLLLGSLFLLYLGFFKMLGYRNRIAEADIPPGSCGLPLIGETIQFMAAMNNGRGFYDFVRARRLRYGNCFKTNILGLAQVFVSSTESAKIILNNESGKFTKRYIKSIAELVGDQSLLCASHGHHKLIRSCLTHLFSTSSISVFIKQFDELVVKSLGTWQVGDTIVVLDQATEITFKAMCRMLMSLEDEPKLQMLQEDITHVCEAMLAFPVRFPWTRFYKGLKARKRIMSTLDLIMTERRRCSQGNQKDFMQCLLVEDEKPGSDEAYTMTDTEIKDNILTMIIAGQDTTASAITWMVKYLGENQDVLDTLRAEQLHLAEKISPGPFLTLEDLAEMPYASKVVKESLRMASIVPWFPRLALQDCEIEGFKIKKGWNINVDVKSIHLDPNLYNGPNKFNPTRFNDDSKPYSFLAFGMGARTCLGMNMAKAMMLVFLHRLITTYKWKVIDSDSSIEKWALFSRLKSGCPVQVTRIDNRKDDTSAYGSH >Potri.005G071300.1.v4.1 pep chromosome:Pop_tri_v4:5:4667582:4669365:-1 gene:Potri.005G071300.v4.1 transcript:Potri.005G071300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071300.v4.1 MQMHIYPCFLSLLSCFCEKMEFDRRELLSSKKSLIANYKTRLEISVEHHDLPPKQPTIFSPPLPEDLNQNHVSKTSTTIMACVFGGSLLLGILCIVSRLYYNRRINSRRSRSLPVVFGTQEAFLDEDQGPENNRHIWYINFFGLQQSVIDSITVFKYKKDEGLIDGTECSVCLTEFQEDESLRLLPKCSHAFHTPCIDTWLRTHKNCPLCRSPIVSDNFVAQVAVPVPTTSDMSSREEPQMEISENSTPTGLRSSNQAGEEGSSEVRNGEETICGLPIEDNTSAGNSSFCSNHSISRNPRIRSDLVDNKLVVVESEMQTLRRSVSLDFSAASAVYNVVANVVPGKCHANSDSLLVQPKQPKTKNVAKRGSSGNLGFHKLMKNSSKGRSLQKGPISMKRSFSTSGKSLSSRCSRSQNTIRSF >Potri.006G279800.1.v4.1 pep chromosome:Pop_tri_v4:6:26951486:26956407:1 gene:Potri.006G279800.v4.1 transcript:Potri.006G279800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279800.v4.1 MDRQQPQPHDYAAMAYAQQQPQYGHHPPPHQHQQYPPPLNPFMPPHSSVQQFPYTQPPLHPHHLQLPPQQQQHPPPFAPHLPPHLIPPPFHAPNYDSPSPPAPPPSDPELQKRIDKLVEYSAKNGPEFEVMIREKQQDNPAYSFLYGGEGHAYYRYKLWLSTRGPFNPPFQASSMMHPQPNPMMNAAIGPPPQMHQPPFPPFYDHHQQHPPQPFGAHGRPDFESPKSFRGLSGPLPPDIAVELSNVLNTLNGTKESIKGAKTWFMQRSPFAPALVEALRDRIVALDDSERQLHIIYLANDILFDSLQRRINPRDLDNEALAFKPVLGSMLARIYHYPQNKDENQSRLQKIVQFWASKEVYDQDTIYKLESEMVAGPRANSFPGPPKELCTGSADSVPAAGFPQHATSYNAPQWLPDRQSVPDQEHPDKQVPPVMLPTLGNQQFIPNSVPAAAFPGSLPVNPSVPPASQQPAPHLLQAPPANIAEKLSPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTTIPPSNVSPSEILDSVSKFFKEIGEVNPSEGPMISDPKDEDDEYEREPPIRKGGACIPPPPNLQVDPETGAYADGSVERKHGSGSGRLGLGAAADPNEPSQYDDVYTSYRKQRSTTYHSSMVARAVTR >Potri.004G227200.1.v4.1 pep chromosome:Pop_tri_v4:4:23076202:23086218:1 gene:Potri.004G227200.v4.1 transcript:Potri.004G227200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227200.v4.1 MTERKISRNGSDEEQGEPSNSPADKIKVDPGKPAPLTWQRRLDTSETVLSQFTLTWQEILRMAPIGIRLWRYVRENAKKKKGIFIDPFAKRNVTSSHGIPVGGVGSGSIGRSYRGEFQRWQLFPRVEEKPVLANQFSIFVSRSNGKKYCSVLCSRSPDKLEEPAGSGIESWEWNLKGDNSRYHALYPRAWTVYEGEPDPELRVVCRQISPVIPHNYKESSFPVSVFTFTLYNSGETAADVTLLFTWANSVGGVSEFSGQHLNSTKMMDDGVDCVLLHHKTANELPPLTFAIAAQETPGVHVSKCPSFVISGNSQGLTAKEMWNEVKEHGSFDNLNSSGKPVPSEPGSSIGAAIAATSTVPPDSVCTVTFSLAWDCPEVIFASGRTYHRRYTKFYGTHGDAAANIAHDAILGHGHWDSQIEAWQRPILEDKRLPEWYPVTLFNELYYLNSGGTIWTDGSPPLHSLATVGGKKFSLDRTGSDLGHQGDTSVDILGRMTSVLEQIHTPLATNSALGTNLLQEGEENVGQFLYLEGIEYPMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMHLLLDGQCVTRKVLGAVPHDIGIDDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAAWPSVYVAMAYMDQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWFRFQKAKVVYDKLWNGSYFNYDDSNGRNSPSIQADQLAGQWYARACGLLPIVDEDKARSALEKIYNYNFLKVHDGKRGAVNGMLPDGTVDMSDMQSREIWSGVTYAVAATMMQEGLIDMAFHTASGVYEAAWAEQGLGYSFQTPEGWNTNGQYRSLGYMRPLAIWAMQWTLSSPKLHKQEMNFQVKLEDSLLGHQHHAGFAKVARFLKLPEEESSVSYLQALFDYACKKFG >Potri.004G227200.5.v4.1 pep chromosome:Pop_tri_v4:4:23076179:23086118:1 gene:Potri.004G227200.v4.1 transcript:Potri.004G227200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227200.v4.1 MTERKISRNGSDEEQGEPSNSPADKIKVDPGKPAPLTWQRRLDTSETVLSQFTLTWQEILRMAPIGIRLWRYVRENAKKKKGIFIDPFAKRNVTSSHGIPVGGVGSGSIGRSYRGEFQRWQLFPRVEEKPVLANQFSIFVSRSNGKKYCSVLCSRSPDKLEEPAGSGIESWEWNLKGDNSRYHALYPRAWTVYEGEPDPELRVVCRQISPVIPHNYKESSFPVSVFTFTLYNSGETAADVTLLFTWANSVGGVSEFSGQHLNSTKMMDDGVDCVLLHHKTANELPPLTFAIAAQETPGVHVSKCPSFVISGNSQGLTAKEMWNEVKEHGSFDNLNSSGKPVPSEPGSSIGAAIAATSTVPPDSVCTVTFSLAWDCPEVIFASGRTYHRRYTKFYGTHGDAAANIAHDAILGHGHWDSQIEAWQRPILEDKRLPEWYPVTLFNELYYLNSGGTIWTDGSPPLHSLATVGGKKFSLDRTGSDLGHQGDTSVDILGRMTSVLEQIHTPLATNSALGTNLLQEGEENVGQFLYLEGIEYPMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMHLLLDGQCVTRKVLGAVPHDIGIDDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAAWPSVYVAMAYMDQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWFRFQKAKVVYDKLWNGSYFNYDDSNGRNSPSIQADQLAGQWYARACGLLPIVDEDKARSALEKIYNYNFLKVHDGKRGAVNGMLPDGTVDMSDMQSREIWSGVTYAVAATMMQEGLIDMAFHTASGVYEAAWAEQGLGYSFQTPEGWNTNGQYRSLGYMRPLAIWAMQWTLSSPKLHKQEMNFQVKLEDSLLGHQHHAGFAKVARFLKLPEEESSVSYLQALFDYACKKFG >Potri.004G227200.2.v4.1 pep chromosome:Pop_tri_v4:4:23076406:23086189:1 gene:Potri.004G227200.v4.1 transcript:Potri.004G227200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227200.v4.1 MLFFYLDAVPDLPRKMTERKISRNGSDEEQGEPSNSPADKIKVDPGKPAPLTWQRRLDTSETVLSQFTLTWQEILRMAPIGIRLWRYVRENAKKKKGIFIDPFAKRNVTSSHGIPVGGVGSGSIGRSYRGEFQRWQLFPRVEEKPVLANQFSIFVSRSNGKKYCSVLCSRSPDKLEEPAGSGIESWEWNLKGDNSRYHALYPRAWTVYEGEPDPELRVVCRQISPVIPHNYKESSFPVSVFTFTLYNSGETAADVTLLFTWANSVGGVSEFSGQHLNSTKMMDDGVDCVLLHHKTANELPPLTFAIAAQETPGVHVSKCPSFVISGNSQGLTAKEMWNEVKEHGSFDNLNSSGKPVPSEPGSSIGAAIAATSTVPPDSVCTVTFSLAWDCPEVIFASGRTYHRRYTKFYGTHGDAAANIAHDAILGHGHWDSQIEAWQRPILEDKRLPEWYPVTLFNELYYLNSGGTIWTDGSPPLHSLATVGGKKFSLDRTGSDLGHQGDTSVDILGRMTSVLEQIHTPLATNSALGTNLLQEGEENVGQFLYLEGIEYPMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMHLLLDGQCVTRKVLGAVPHDIGIDDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAAWPSVYVAMAYMDQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWFRFQKAKVVYDKLWNGSYFNYDDSNGRNSPSIQADQLAGQWYARACGLLPIVDEDKARSALEKIYNYNFLKVHDGKRGAVNGMLPDGTVDMSDMQSREIWSGVTYAVAATMMQEGLIDMAFHTASGVYEAAWAEQGLGYSFQTPEGWNTNGQYRSLGYMRPLAIWAMQWTLSSPKLHKQEMNFQVKLEDSLLGHQHHAGFAKVARFLKLPEEESSVSYLQALFDYACKKFG >Potri.004G227200.6.v4.1 pep chromosome:Pop_tri_v4:4:23076287:23086102:1 gene:Potri.004G227200.v4.1 transcript:Potri.004G227200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227200.v4.1 MTERKISRNGSDEEQGEPSNSPADKIKVDPGKPAPLTWQRRLDTSETVLSQFTLTWQEILRMAPIGIRLWRYVRENAKKKKGIFIDPFAKRNVTSSHGIPVGGVGSGSIGRSYRGEFQRWQLFPRVEEKPVLANQFSIFVSRSNGKKYCSVLCSRSPDKLEEPAGSGIESWEWNLKGDNSRYHALYPRAWTVYEGEPDPELRVVCRQISPVIPHNYKESSFPVSVFTFTLYNSGETAADVTLLFTWANSVGGVSEFSGQHLNSTKMMDDGVDCVLLHHKTANELPPLTFAIAAQETPGVHVSKCPSFVISGNSQGLTAKEMWNEVKEHGSFDNLNSSGKPVPSEPGSSIGAAIAATSTVPPDSVCTVTFSLAWDCPEVIFASGRTYHRRYTKFYGTHGDAAANIAHDAILGHGHWDSQIEAWQRPILEDKRLPEWYPVTLFNELYYLNSGGTIWTDGSPPLHSLATVGGKKFSLDRTGSDLGHQGDTSVDILGRMTSVLEQIHTPLATNSALGTNLLQEGEENVGQFLYLEGIEYPMWNTYDVHFYASFALIMLFPKLQLSIQRDFAAAVMMHDPSKMHLLLDGQCVTRKVLGAVPHDIGIDDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVVATGDKKFAQAAWPSVYVAMAYMDQFDKDGDGMIENDGFPDQTYDTWSVSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWFRFQKAKVVYDKLWNGSYFNYDDSNGRNSPSIQADQLAGQWYARACGLLPIVDEDKARSALEKIYNYNFLKVHDGKRGAVNGMLPDGTVDMSDMQSREIWSGVTYAVAATMMQEGLIDMAFHTASGVYEAAWAEQGLGYSFQTPEGWNTNGQYRSLGYMRPLAIWAMQWTLSSPKLHKQEMNFQVKLEDSLLGHQHHAGFAKVARFLKLPEEESSVSYLQALFDYACKKFG >Potri.013G111000.1.v4.1 pep chromosome:Pop_tri_v4:13:11955619:11956327:-1 gene:Potri.013G111000.v4.1 transcript:Potri.013G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111000.v4.1 MPKKVELEGRSRAPKGHFVVYVGNEMKRFVVPTSYLKSPIFQQLLDKAAEEFGFDNQNGIVLPCDESTFNRLTAFLAKHHS >Potri.018G072200.2.v4.1 pep chromosome:Pop_tri_v4:18:9189830:9195715:-1 gene:Potri.018G072200.v4.1 transcript:Potri.018G072200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072200.v4.1 MLDESKFDVDLKLWALRIPCQLCKAATSILNGYLLDKPRIKPITEDPTCQKNRYVILSDRVQSHDLSEISPQKLDELKKLCEIQVIPYSLTLGYSYWSADHVLKQILPPGVEVPSSFETIGQIAHLNIHDELLPYKDVIAKVIYDKNYPRIKTVVNKVGTITNEFRVPKFEILAGESDMVTEVKQYGATFKLDYSLVYWNSRLEHEHIRLVSQFQRGEIICDMFAGIGPFAIPAAQKGCIVYANDLNPDSIRYLKINAEINKVDDCICAFNMDARKFISQLMEAPVCENNAECNVSMLKACEDCSVQENEESRVENTRLGVEAEEAPVTVPGNVEGAQDSNRNIHTSVAATKRPSDCCLEENGSINGDGAMDAFRRKGGKKKRMRALELPNTKPWEHVDHIVMNLPASALHFLDAFKGRIQRKDWKGTLPLIHCYCFMRSNQTQELIVSEAESALNAHIQEPIFHRVRDVAPNKAMFCLSFRLPEACFKDDATNI >Potri.005G186200.1.v4.1 pep chromosome:Pop_tri_v4:5:19376999:19379651:-1 gene:Potri.005G186200.v4.1 transcript:Potri.005G186200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186200.v4.1 MDREQEEMQFLGVFGIYREAYRIIFSWRKIFSQITLALILPLSFIFLAHIEVSNVLFTKIIYNEVELDETQVGTRKYEKISDMISSEWVYFWLFKAAYFTFLLIFSLLSTAAVVYTIACIYTAREVTFKKVMSVVPKVWKRLMVTFLSIFLAVFAYNFVSMFVAITCVFLVGPDKVVPLLFFLLMVYFLGLVYMSIIWQLASVVSVLEEASGFKAMMKSGELLKGKMWVAIIIFFKLNLSSLALHILFENKVVHGSSLGILNRASFGILSLLMLLVLYLFGLVIQTVIYFVCKSYHHENIDKSALSDHLEVYLGEYVPLKSKDVQLEQFDV >Potri.007G103800.1.v4.1 pep chromosome:Pop_tri_v4:7:12715575:12719180:1 gene:Potri.007G103800.v4.1 transcript:Potri.007G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G103800.v4.1 MSLLMDSTSSSLLLCPPPYLTKDETGALVFDSSFLQKQASLPTEFMWPHGDLVHNEDELKEPMIDLEGFLKGDEVATAQAAELVRTACLNHGFFQVTNHGVDISLIHSAHEEIGKIFKLPLDKKLSVRRKPGDVSGYSGAHAHRYSSKLPWKETFSFGYHGDDDSVPLVVDYFKSVLGKDFEHTGWVYQSYCEAMKKVSLVIFELLAISLGVDRLHYRKFFEDGSSIMRCNYYPPCNNSTLTLGTGPHCDPTSLTILHQDQVGGLQVFSNNKWLAIRPRPDALVVNIGDTFMALSNGRYKSCLHRAVVNRDSERRSLVFFVSPKEEKVVRPPQDLVSREGQRIYPDFTWSDLLEFTQKHYRADVATLQSFIQWLSSSKPSTF >Potri.017G068600.4.v4.1 pep chromosome:Pop_tri_v4:17:6039360:6041464:-1 gene:Potri.017G068600.v4.1 transcript:Potri.017G068600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068600.v4.1 MASSSSSCRKFISKSSLSSIKSSIRSSKAPKSPSTPTRSATASHFLLPSKPPTSSRFSFSRAPCELGCVQSLLPLHSAVAASRMTSCLSTTSRNFRALSQGTLCCTSPGL >Potri.017G068600.5.v4.1 pep chromosome:Pop_tri_v4:17:6040032:6041409:-1 gene:Potri.017G068600.v4.1 transcript:Potri.017G068600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068600.v4.1 MASSSSSCRKFISKSSLSSIKSSIRSSKAPKSPSTPTRSATASHFLLPSKPPTSSRFSFSRAPCELGCVQSLLPLHSAVAASRMTSCLSTTSRNFRALSQGT >Potri.017G005800.1.v4.1 pep chromosome:Pop_tri_v4:17:404383:406255:-1 gene:Potri.017G005800.v4.1 transcript:Potri.017G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005800.v4.1 MNSYHSMVSLPLPMSPLLLTLLSIIFLASPSAKAAQFSVLSYGAKPDGKTDSTKAFAAAWSQACASTGPATISVPKGSFSLRQVKFQGPCKNNAILVRIDGTLVAPSNYGVLGSAENFLIFEHVNGVTLSGGTLDGQGAGLWSCKNSGKGNCPRGATSLEFSNSKNIAITGLASLNSQMFHIVINGCQNVKLQGVKVSADGNSPNTDGIHVQLSTAVTILNSRIGTGDDCISIGPGTSNLWIENVACGPGHGISIGSLGKDSQEAGVRDVTVKTTTFTGTENGLRIKTWGRPSNGFATNILFQHVVMNNVKNPILIDQNYCPGNKNCPGQASGVKISDVTYQDIHGTSATELAVKFDCSRKYPCTGIKLEDVKLTYDNKPAEASCSNAGGVASGMVQPTSCL >Potri.010G252850.1.v4.1 pep chromosome:Pop_tri_v4:10:22468436:22469102:1 gene:Potri.010G252850.v4.1 transcript:Potri.010G252850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252850.v4.1 MKGSRALLVSSLLLTAACSIMLARSSRVDSPHAPKLVPFPLQGQAPFAGITLTRPLAIGNQARMQGIFSECTQLCF >Potri.001G307500.1.v4.1 pep chromosome:Pop_tri_v4:1:31725608:31727353:-1 gene:Potri.001G307500.v4.1 transcript:Potri.001G307500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307500.v4.1 MKGEMEMTEDVVIVGAGIAGLATAVALKRVGVRALVLERSQGLRSTGAAISLFPNAWLALDALGVSHKLTRIYDPLFKVHVTNVSTGDVQQVLFPAGHGPRAVHRKALLEALAEELLADSIRFSSKLAAIESEEQGGGASIAVVHLEDGTIIKSKVLIGCDGLHSVVARWLGLAEPVHSGRSAVRGLAIFPQGYGFKQEAQQFVDEGKRAGFVPLNDREFYWFLTCKEENMTRDPEQIQRQVLEKHTESFPSVYLDVVRHADLSTITWAPLMFRHPWGIIFGNFNKGNITVAGDAMHPMTPDLGQGGGLALEDAVVLGRHIGNSVIKNGGLVVPGDMAKAINDYVKERRWRAVGLVIGSYLSGWVQQGGSKWWMKFLRDRVFYKYVFGWVGRLVHYDCGELPAVSSAKED >Potri.017G072580.1.v4.1 pep chromosome:Pop_tri_v4:17:7938617:7944087:-1 gene:Potri.017G072580.v4.1 transcript:Potri.017G072580.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072580.v4.1 MKLTTDSSDITSRSPLGIDLNEIPSTSTSSPPENSLDVVLSFHENPDPAPGMAAGLRAEGSMCGACGKPEVRGQVVVCDGCERGFHLGCAGRQAINSAEWLCGECLSGGVKSKRWPLGVKRILDINASPPSDAEDELLSNLRKHTQSQGDNSFGAPPLTYSNLFYTGYGLLSDVMRPMQTADTSAEGAGMSFPQGSLRSRNNTTIRLLSRNPSEIFLQGLREFISERHGVLEEGWSVEFKHSISNYDLYAVYCSPDGKTFHSMSEVACHLGLMPNRNSIDTDSTSYVSPSPQETLHLPRKSKSKRCSLTNVFSEHKTTLVSGYCKELFSNGQSIEINNANLGEVRESEMQGDGISDFQPSNEGLPVQFEDFFVLSLGKIDVRPTYHNASLIWPVGYRSCWHDKITGSIFLCEVSDGGDSGPVFKVRRVSCSLLPVPQGLTVLCRTNFGQYSSHNNQDCHHMIFHNIDCESDDNIELILADPAPPSYDDVLTCLQGSSNRTSEIMQTSSFDSRSENFLFSDKVLGEEIGEISVEERSSTSAWTVISQKLVDAYSEIHRQRGTLKVSCNHADNEMGSPGLYTKNENSNASSASLAKFCSCPNFVGIPLECQGELEAFSSTLSEWLDQDRFGLDTEFVQEMIEQLPGAKACLKYEFLINRGHYSVSPTVGNGFLMAKRKSRSESDALFQRSKKARLAKEILGDYQYPAGRPLCSRLPPVLVGDFYQVLELLWRFHEILGLKEPLSLEELEEELINPWSNLSHLLKNLENKVHGSEAIDFYEADSMSGLNSFLPDKSGMTVCEGNSHACVNDEGCRMGVKDGGQATVASVTHISRSGVASTNAHCSLLGMLISELQCKIAPLVDPNFDSGETKSKRGRRKDADSSAPTRRNNLNMLPINELTWPELARRYILAVLTMDGNLESAEITGREMGRVFRCIQGDGGVLCGALTGVAGMEADALFLAEATKKFLVL >Potri.012G092500.2.v4.1 pep chromosome:Pop_tri_v4:12:11732171:11737383:1 gene:Potri.012G092500.v4.1 transcript:Potri.012G092500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092500.v4.1 MDQQIESPIPIIHKEIPQLGFQSFSSSPRFPSTPSDPDQTALDDDNHLHQELQNQLDLKEGSLNSNDSHEEEEEEEEEFKSDKKIEFFYESIEKVGDGGDDLENKNERSNDIENNNSSGYHQYPVRPEAEDCAFYMKTGTCKFGANCKFNHPLRRKNQTVKEKTKEREEATEKPSLIECKYYLKTGGCKYGTACRFNHSRAKYSVPPVKIPMSPALELNFLGLPIRLGEKECEYFMRNGSCKFGANCKYNHPDPTAVGGSDHPSTFLNGGSASLPVPSSSSVGSWSSPRALNDPTSFVPIMFSPNQGVPPQSPDWNGYQAPLYPPERSLHPPLSYALINIATESNVYAPQQQQIVVDEFPERPGQPQCSFYMKFGDCKFKSNCKYHHPKNRISKSPPLTLSDKGLPLRPDQNICSHYSRYGICKFGPSCKFDHSIQPASSIGSSDDQNTAFGNSVTQEAARMAESGNGSDTAVE >Potri.012G092500.6.v4.1 pep chromosome:Pop_tri_v4:12:11732141:11737282:1 gene:Potri.012G092500.v4.1 transcript:Potri.012G092500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G092500.v4.1 MFGRRMTFFFLYYNHFLSMLQYYLKTGGCKYGTACRFNHSRAKYSVPPVKIPMSPALELNFLGLPIRLGEKECEYFMRNGSCKFGANCKYNHPDPTAVGGSDHPSTFLNGGSASLPVPSSSSVGSWSSPRALNDPTSFVPIMFSPNQGVPPQSPDWNGYQAPLYPPERSLHPPLSYALINIATESNVYAPQQQQIVVDEFPERPGQPQCSFYMKFGDCKFKSNCKYHHPKNRISKSPPLTLSDKGLPLRPDQNICSHYSRYGICKFGPSCKFDHSIQPASSIGSSDDQNTAFGNSVTQEAARMAESGNGSDTAVE >Potri.019G031732.1.v4.1 pep chromosome:Pop_tri_v4:19:4452608:4453454:1 gene:Potri.019G031732.v4.1 transcript:Potri.019G031732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031732.v4.1 MPRQAKDQPPHILAAQNNSLTHIRQPPKTSNNCHINHCTLPQQQTPQHFVNTSYPITICLGNNNEPRPRALIANQQNPSPIVATHQPSSTTTNQAATANLIFPITPSIYHNHRSHLTTTNNHSTPISPPIINIATTTDSIQCINLHARSPTTARQSSASTRCSLAISFIKASHHAFSISRVPPILAKREMKKKGTSFGYSLLFVVFYSSTNFATAFYS >Potri.001G246300.6.v4.1 pep chromosome:Pop_tri_v4:1:26331660:26336502:1 gene:Potri.001G246300.v4.1 transcript:Potri.001G246300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246300.v4.1 MPFYIARQAPKLWRKICTETTIEISLVAENRKLLLAGIVFQYIHGLAAHGIHYLHRPGPTLQDAGFFLLPELGQDRAYVSETSFTFIFASFVLWTIHPFVFQNKKIYTVLIWCRVLAYLVACQILRIFTFYSTHLPGPNYHCHEGSKLARLPHPKSAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTFHKYGTNRCIKQLAWLLAVVQSFLIVASHKHYTVDVVVAW >Potri.001G246300.1.v4.1 pep chromosome:Pop_tri_v4:1:26331660:26336502:1 gene:Potri.001G246300.v4.1 transcript:Potri.001G246300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246300.v4.1 MPFYIARQAPKLWRKICTETTIEISLVAENRKLLLAGIVFQYIHGLAAHGIHYLHRPGPTLQDAGFFLLPELGQDRAYVSETSFTFIFASFVLWTIHPFVFQNKKIYTVLIWCRVLAYLVACQILRIFTFYSTHLPGPNYHCHEGSKLARLPHPKSAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTFHKYGTNRCIKQLAWLLAVVQSFLIVASHKHYTVDVVVAWYTVNLVTFFIDKKLPDLPERPTGLTSLPLPPQSRDWDSKNKEEHQIPLTGVTVETAG >Potri.001G246300.5.v4.1 pep chromosome:Pop_tri_v4:1:26331660:26336502:1 gene:Potri.001G246300.v4.1 transcript:Potri.001G246300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246300.v4.1 MPFYIARQAPKLWRKICTETTIEISLVAENRKLLLAGIVFQYIHGLAAHGIHYLHRPGPTLQDAGFFLLPELGQDRAYVSETSFTFIFASFVLELVLLQWTIHPFVFQNKKIYTVLIWCRVLAYLVACQILRIFTFYSTHLPGPNYHCHEGSKLARLPHPKSAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTFHKYGTNRCIKQLAWLLAVVQSFLIVASHKHYTVDVVVAWYTVNLVTFFIDKKLPDLPERPTGLTSLPLPPQSRDWDSKNKEEHQIPLTGVTVETAG >Potri.001G246300.4.v4.1 pep chromosome:Pop_tri_v4:1:26331660:26336502:1 gene:Potri.001G246300.v4.1 transcript:Potri.001G246300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246300.v4.1 MPFYIARQAPKLWRKICTETTIEISLVAENRKLLLAGIVFQYIHGLAAHGIHYLHRPGPTLQDAGFFLLPELGQDRAYVSETSFTFIFASFVLELVLLQWTIHPFVFQNKKIYTVLIWCRVLAYLVHNLLWILLRMLFHCSACPERRTQNATHFSPTEEDGFFADEISFVIYFIACQILRIFTFYSTHLPGPNYHCHEGSKLARLPHPKSAIELLVINFSRGVNYGCGDLIFSSHMIFTIVFVRTFHKYGTNRCIKQLAWLLAVVQSFLIVASHKHYTVDVVVAWYTVNLVTFFIDKKLPDLPERPTGLTSLPLPPQSRDWDSKNKEEHQIPLTGVTVETAG >Potri.002G254500.1.v4.1 pep chromosome:Pop_tri_v4:2:24346771:24353642:-1 gene:Potri.002G254500.v4.1 transcript:Potri.002G254500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254500.v4.1 MLSLSTYPPSLTSPPRFSYLRHPSSSSRILSPPPPTTATATRVKITSSEMDSLPEHRIVLGCGAAGVDFLATVASFPKPDDKIRSTSLKVQGGGNAGNALTCAARLGLNPRLISKVADDIQGRGVLEELESDGVDTSFFVVSKEGNSPSTYIIVDNETKTRTCIHTPGYPPMIPDELSRSSLLSALDGARLVYLDGRLHETALVTAQETVCKNIPILIDVERKREGLDDLLPLASYAVCSSKFPLAWTEAPSISSALVSMLLRLPKIKFVIVTLGEDGCVMLERSTEEAPASEEKDVDSLLESLKQRKDDNIAIPTCYASPLTKIRADGIGTVNGRLFVGTAEKIPPPELVDTTGAGDAFIGAVLYAICANMPPEKMLPFASQVAAAGCRALGARTGLPHRTDPRLAAFLH >Potri.006G262500.2.v4.1 pep chromosome:Pop_tri_v4:6:25858623:25860104:-1 gene:Potri.006G262500.v4.1 transcript:Potri.006G262500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262500.v4.1 MEMSIAPPKEESIQIREVWNDNLEEEFALIREIVDQFNFVAMDTEFPGVVLRPVGNFKNINDYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDKFCIWQFNFREFNVTKDIFASDSIELLRQCGIDFKMNNEKGIDVNQFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKLLTCRSLPDTPAGFFDLINMYFPVVYDIKHLMKFCNSLHGGLNKLAELLEVERIGVCHQAGSDSLLTSCTFRKLRDNFFNGSAEKYAGVLYGLGVENGQNTS >Potri.006G262500.1.v4.1 pep chromosome:Pop_tri_v4:6:25857264:25860152:-1 gene:Potri.006G262500.v4.1 transcript:Potri.006G262500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262500.v4.1 MEMSIAPPKEESIQIREVWNDNLEEEFALIREIVDQFNFVAMDTEFPGVVLRPVGNFKNINDYNYQTLKDNVDMLKLIQLGLTFSDENGNLPTCGTDKFCIWQFNFREFNVTKDIFASDSIELLRQCGIDFKMNNEKGIDVNQFGELLMSSGIVLNDGVHWVTFHSGYDFGYLLKLLTCRSLPDTPAGFFDLINMYFPVVYDIKHLMKFCNSLHGGLNKLAELLEVERIGVCHQAGSDSLLTSCTFRKLRDNFFNGSAEKYAGVLYGLGVENGQNTS >Potri.009G011400.4.v4.1 pep chromosome:Pop_tri_v4:9:2162106:2167772:1 gene:Potri.009G011400.v4.1 transcript:Potri.009G011400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011400.v4.1 MDPTLKLLAFLILVLANICPIKSDFSHCEKVVKNWAFSSLQQRVKEDKHTLRDLLFFLHVPRTGGRTYFHCFLKRLYANAQECPRSYDKLRFDPRKQECRLLATHDDYSMMSKLPKEKTSVVTILRNPVDRIFSTYEFSIEVAARFLVHPNLTSATKMVGRLRPGATGVSTLDIWPWKYLVPWMREDLFARRDARKMMGSIDIKRNDPYNMEEMVMPLQEYINDPRAHELVHNGETFQVAGLTNNSYFAESHEVRCCVQKHKILGEHVLEVAKKRLDDMLYVGLTEDHRESATMFANVVGAQVISQALTENSSMESAANSKSGQGSSHSESLPDNDDNQDSTSDHKADEIGSTEDLEEKKETMTVGKLMEAYEGCISSLRKTQSRRRKSSLKRISPANFSKEVHFSRFSFTGHSFC >Potri.009G011400.5.v4.1 pep chromosome:Pop_tri_v4:9:2162506:2167771:1 gene:Potri.009G011400.v4.1 transcript:Potri.009G011400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011400.v4.1 MDPTLKLLAFLILVLANICPIKSDFSHCEKVVKNWAFSSLQQRVKEDKHTLRDLLFFLHVPRTGGRTYFHCFLKRLYANAQECPRSYDKLRFDPRKQECRLLATHDDYSMMSKLPKEKTSVVTILRNPVDRIFSTYEFSIEVAARFLVHPNLTSATKMVGRLRPGATGVSTLDIWPWKYLVPWMREDLFARRDARKMMGSIDIKRNDPYNMEEMVMPLQEYINDPRAHELVHNGETFQVAGLTNNSYFAESHEVRCCVQKHKILGEHVLEVAKKRLDDMLYVGLTEDHRESATMFANVVGAQVISQALTENSSMESAANSKSGQGSSHSESLPDNDDNQDSTSDHKADEIGSTEDLEEKKETMTVGKLMEAYEGCISSLRKTQSRRRKSSLKRISPANFSKEVHFSRFSFTGHSFC >Potri.009G011400.1.v4.1 pep chromosome:Pop_tri_v4:9:2162043:2167883:1 gene:Potri.009G011400.v4.1 transcript:Potri.009G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011400.v4.1 MDPTLKLLAFLILVLANICPIKSDFSHCEKVVKNWAFSSLQQRVKEDKHTLRDLLFFLHVPRTGGRTYFHCFLKRLYANAQECPRSYDKLRFDPRKQECRLLATHDDYSMMSKLPKEKTSVVTILRNPVDRIFSTYEFSIEVAARFLVHPNLTSATKMVGRLRPGATGVSTLDIWPWKYLVPWMREDLFARRDARKMMGSIDIKRNDPYNMEEMVMPLQEYINDPRAHELVHNGETFQVAGLTNNSYFAESHEVRCCVQKHKILGEHVLEVAKKRLDDMLYVGLTEDHRESATMFANVVGAQVISQALTENSSMESAANSKSGQGSSHSESLPDNDDNQDSTSDHKADEIGSTEDLEEKKETMTVGKLMEAYEGCISSLRKTQSRRRKSSLKRISPANFSKEDRSRVSEVVIEQIRSLNHLDFELYKYAQEIFAKQHKHVVEKLSSMESESMFNNPGGITMWKFFSSAMCIVVLLALLFLFVNARRRMSKVKI >Potri.009G011400.3.v4.1 pep chromosome:Pop_tri_v4:9:2162173:2167860:1 gene:Potri.009G011400.v4.1 transcript:Potri.009G011400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011400.v4.1 MDPTLKLLAFLILVLANICPIKSDFSHCEKVVKNWAFSSLQQRVKEDKHTLRDLLFFLHVPRTGGRTYFHCFLKRLYANAQECPRSYDKLRFDPRKQECRLLATHDDYSMMSKLPKEKTSVVTILRNPVDRIFSTYEFSIEVAARFLVHPNLTSATKMVGRLRPGATGVSTLDIWPWKYLVPWMREDLFARRDARKMMGSIDIKRNDPYNMEEMVMPLQEYINDPRAHELVHNGETFQVAGLTNNSYFAESHEVRCCVQKHKILGEHVLEVAKKRLDDMLYVGLTEDHRESATMFANVVGAQVISQALTENSSMESAANSKSGQGSSHSESLPDNDDNQDSTSDHKADEIGSTEDLEEKKETMTVGKLMEAYEGCISSLRKTQSRRRKSSLKRISPANFSKEDRSRVSEVVIEQIRSLNHLDFELYKYAQEIFAKQHKHVVEKLSSMESESMFNNPGGITMWKFFSSAMCIVVLLALLFLFVNARRRMSKVKI >Potri.017G140132.1.v4.1 pep chromosome:Pop_tri_v4:17:14092729:14099413:1 gene:Potri.017G140132.v4.1 transcript:Potri.017G140132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140132.v4.1 MAESFAAEIAKSLLGKLGSLAVQEFCLAWGLEADLARLEERLSAINAVLSDAEKQQSRNDKIRLWLQTLREVLYDAEDVLDEFECETLRRQVVKTTGSTSSKVRRSLGLSSNMIAFRLRMGHKIKNIIERLAEISSLKSDFNLHEHPNDYSNVLHEEIVMNRSFQSFFGLIGRDGDTERIINLLVEPLRDGDAHPLVLPMVGMGGLGKTALAKSVYDNEIVKAHFELKMEACVSDSFSLKLVVPKIIKSATGEICANLDEGELRQKLLTVLDGRKYLLVLDDVWNEDPQKWLLLKPLLSKGAFGSKILVTTRSQRVVEIMGTVTPYNLTLLGQRDCLSLFYKCAFKERQMELYPNLAEIGKDIVGKCKQIPLAVINLGTQLYGKTDEKEWKSVRDSDKWEEKGDGILPALKISYQRLPTHLKRCFLYSSIFPKDHLFSDLELVQFWMAHGLIQSSNPNENLEDVGLRYVRELISKCFFQDYEDWIFGALFKMHDLMHDLASSLAQNECSIISSQNHQISKTTRHLSILDSDSFFHQTLPKFTNKLPHVRSILFATGLEAPRCKADFEKCLSEFKHLRSLELMDGSEFEALPEKIGALKHLRYLSFAGSKMKRLPKSIFKLQNLQALLVGAKGLEELPKDMRYMINLRFLFLVTNQKRFLEGGIGCLECLQTLFIVRCENLEFLCDDMQGLRSLRKLVIAGCKSLISLPQSMKSLTALEELYVCDCEKLNLMMTEEEKDKKIQPLSLRIVFFGWLTTTITLPKQLLEGSTDSLQTFIIGDCPSIIELPECVSNLKKLQKLQIRHCPRLSKRCQRGTGEDWPKVAHIPRIEVD >Potri.006G239402.1.v4.1 pep chromosome:Pop_tri_v4:6:24146494:24151044:1 gene:Potri.006G239402.v4.1 transcript:Potri.006G239402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239402.v4.1 MVRRLYTISTHSSYAMVSSSHLAFRVNCCLQRPSVSDNFHRLKTSLSSTFKPFLRELEQLSLRIDVSKALFKNTSLRLLDVFVDSMFEFVDQPLLPSQSNFAPVDELKETVLVTNIEGKVPNDFPEGVYVRNGPNPLFGGLKSAVSMFGRSSHIWVEGEGMLHVLYFDKARDGSWTVTYKNKYVESETFKLEKQRNKPSFLPAIEGSSPAILSAYLLNLLRFGKVNKYLSNTNVFEHSGKYYSVAENHMPQEINMFTLETLGNWDVNGAWDRPFTSHPKRAPDTGELVIIGVDAIKPFMQLGIVSADGKRLVHKVDLKFNRCSLTHDIGLTRRYNVIMDFPLTLDIQRLLKGGPLIKYDKAEYARIGIMPRYGDADSIKWFQVESSSTFHLLNCFEDGHQVVVRACRALDSIIPGPDMGVHKFEWFSRRLKQVESVDEYSSDSEDGSLFSRCCEWRLNMKTGDVKERYLTGAEFSMDFPMINGDFTGVKNKYGYTQVIDCSASSDSGMAKYGGLAKLHFEEPDTDQTNSKDGQYEELIKVDYHKFEQNTFCTGAAFVPKPGSHEEDDGWIITFVHNEDTNMSKAYIIDTRRFTSEPVAKITLPCRVPYGFHGAFMPILLGNLTASEY >Potri.009G030600.1.v4.1 pep chromosome:Pop_tri_v4:9:4157959:4161668:-1 gene:Potri.009G030600.v4.1 transcript:Potri.009G030600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030600.v4.1 MGNGFGKLTACFTGTGDARRRKDISVLNPLDEGLGHSFCYVRPDPARLSSSKVHSEETTTFRTISGASVSANTSTPLSTAFIDPYVYNTIDRAAAFESSTSFASIPLQPIPRSLFGSINSGPLTGNSALIPCSGPMERGFLSGPIERGFMSGPLDRGLFSGPLEKGSSDQFQRSFSHGGFAFRSRSGKRSLIRVLQRAISKKITRGQNSIVAPIKGGVGVGVVKEPEWILSSEKQNELTVSSLNLSSDGSLEDDDSLESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSAVHKELKGLLWDDKFDSDKISAPASSPVQTDTSNSVESANSRLENVLHNSETNGNCGNDQCYRYLDRDNHPSASWEVGFDMNLKRKKSRSSKGKYRGAAKKWEENQRRWKCEWDRERMELDKRLKEQLNRSGSDTSPINHADVLEALSQALKKTEESYLDIADKMLVENPELALMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKIRQDLERINEETLHDLEASDGERSNSMPSLTASQLSVDHSTSVEEEVQRIKNEHPDDACALLNDRVKGSLKVTRAFGAGFLKQPRWNDALLEMFRIDYIGNSPYITCLPSLYHHRLGPKDRFLILSSDGLYQYLTNEEAVYEVELFITLQPEGDPAQHLVEEVLFRAAKKAGMDFHELLQIPQGDRRRYHDDVSIIVISLEGRIWRSCV >Potri.001G403500.4.v4.1 pep chromosome:Pop_tri_v4:1:42945792:42947389:-1 gene:Potri.001G403500.v4.1 transcript:Potri.001G403500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403500.v4.1 MASTLVFMAIFAIDFIAFVLALAAEHMRSTAKAATDSDSSYSYCVYSSDIATKLGAIAFVLLLASQVFSMGASSYFRKGMNYGGSRTSAVIPSIISWITFFIAEACLLGGAIKNAQHTKYRTISGTDGLRCQTLSAGAFEAGAAFILITSIVSKMSYVCFFNSDGGFETGSSSSCELGTYTSLNQGDQHD >Potri.001G403500.5.v4.1 pep chromosome:Pop_tri_v4:1:42945802:42947389:-1 gene:Potri.001G403500.v4.1 transcript:Potri.001G403500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403500.v4.1 MASTLVFMAIFAIDFIAFVLALAAEHMRSTAKAATDSDSSYSYCVYSSDIATKLGAIAFVLLLASQVFSMGASSYFRKGMNYGGSRTSAVIPSIISWITFFIAEACLLGGAIKNAQHTKYRTISGTDGLRCQTLSAGAFEAGAAFILITSIVSKMSYVCFFNSDGGFETGSSSSCELGTYTSLNQGDQHD >Potri.001G403500.6.v4.1 pep chromosome:Pop_tri_v4:1:42945839:42947388:-1 gene:Potri.001G403500.v4.1 transcript:Potri.001G403500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403500.v4.1 MASTLVFMAIFAIDFIAFVLALAAEHMRSTAKAATDSDSSYSYCVYSSDIATKLGAIAFVLLLASQVFSMGASSYFRKGMNYGGSRTSAVIPSIISWITFFIAEACLLGGAIKNAQHTKYRTISGTDGLRCQTLSAGAFEAGAAFILITSIVSKMSYVCFFNSDGGFETGSSSSCELGTYTSLNQGDQHD >Potri.001G403500.3.v4.1 pep chromosome:Pop_tri_v4:1:42945832:42947547:-1 gene:Potri.001G403500.v4.1 transcript:Potri.001G403500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403500.v4.1 MASTLVFMAIFAIDFIAFVLALAAEHMRSTAKAATDSDSSYSYCVYSSDIATKLGAIAFVLLLASQVFSMGASSYFRKGMNYGGSRTSAVIPSIISWITFFIAEACLLGGAIKNAQHTKYRTISGTDGLRCQTLSAGAFEAGAAFILITSIVSKMSYVCFFNSDGGFETGSSSSCELGTYTSLNQGDQHD >Potri.012G136400.2.v4.1 pep chromosome:Pop_tri_v4:12:15046481:15049133:-1 gene:Potri.012G136400.v4.1 transcript:Potri.012G136400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136400.v4.1 MMGQPWHGSYGSYLEDDEAIARELHQSYNSYVADDAAIARELQEMEDSLGTMVLYDGAFGSIIDSAWEANLGGTSANRGGTSANHGGSANRGGTSIRTNVQTRDGGEVDLDNMSYEEMHRFEESMGSVSKGLSREAVSRLPVHKYSPSSTRSNSGDAECVICKMEYERGDRLVTLPCAHQYHEDCIKKWLEDNKNCCVCKEDVAVN >Potri.012G136400.1.v4.1 pep chromosome:Pop_tri_v4:12:15046481:15049133:-1 gene:Potri.012G136400.v4.1 transcript:Potri.012G136400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136400.v4.1 MMGQPWHGSYGSYLEDDEAIARELHQSYNSYVADDAAIARELQEMEDSLGTMVLYDGAFGSIIDSAWEANLGGTSANRGGTSANHGGSANRGGTSIRETNVQTRDGGEVDLDNMSYEEMHRFEESMGSVSKGLSREAVSRLPVHKYSPSSTRSNSGDAECVICKMEYERGDRLVTLPCAHQYHEDCIKKWLEDNKNCCVCKEDVAVN >Potri.005G049100.6.v4.1 pep chromosome:Pop_tri_v4:5:3115040:3129345:1 gene:Potri.005G049100.v4.1 transcript:Potri.005G049100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049100.v4.1 MTSNVPPGAPRQSPPPNYNPNYQQNPNILSDNFQNLNLNRPPSMANSAPRPSPFSQPSPFPSSVPSPQFSRPGAPPIGAVPRPSVPPSGSPSTFSSNVAPGRPTGPPFSQPPPFGSRPPPGSFQSYTSGGMVAGPVSGALPVGARPSPAASSSSPPQNVPPSSSFGGLVSNGPPAPAFQSAPRFPPSVSAPQQQPMGPPPTMGVARSPPQSMRPLMGSSGFSGQPVAPFSAPPQGTPFYAPPQGTPFSAPPQGAPFYAPPQGTPFSAPPQGTPFSAQQGMTPPPIGSPFAPQMQPQSVAQPPPIPGSAQPPRMFGMPPLLPNQMTAISPVIGHTGSPLSGASKIDPNQIPRPIPGSSVILHDTRAGNQANPPPPATSDYIVTDTGNCSPRYMRCTINQIPCTVDLLSTSGMQLALLVQPLALPHSSEEAVQVVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPRDYLCNLGPDGRRRDADERPELCRGTVEFVATKEFMVRDPMPAVYFFLIDVSMHAIQTGATAAACSSISQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIHDVYTPLQTDVIVPLSECRQHLELLLESIPTMFRNSRIAESSFSAAIKAAFLAMKNTGGKLLVFQSVLPSVGVGALSAREAEGRSNISTGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDVFITTQTYVDIASISVIPKTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQIQEYHGNFCKRIPTDIDLAVIDCDKTIMVTLKHDDKLQDGTECAFQCALLYTTVYGQRRIRVANLSLPCTNNLSNLFRLADLDSQFVCFLKQAANEIPSNPSLVVQEQVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLKVNGRIDDRSFWISYVSSVSTPLAIPLVYPRMIAIHNLDSQEADGSRIPPALALSSEYVSEDGIYLLENGQDGLIYIGNSVNSDTLQKLFGLSSVAEIPTQFVLEQYDNPLSKKLNNVVNEIRRQRCSFLRLKLCKKGDPSGMSFFSYLVEDKVPVGGLSYVEFLVHIHRQIQVKMSS >Potri.005G049100.1.v4.1 pep chromosome:Pop_tri_v4:5:3115040:3129345:1 gene:Potri.005G049100.v4.1 transcript:Potri.005G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049100.v4.1 MTSNVPPGAPRQSPPPNYNPNYQQNPNILSDNFQNLNLNRPPSMANSAPRPSPFSQPSPFPSSVPSPQFSRPGAPPIGAVPRPSVPPSGSPSTFSSNVAPGRPTGPPFSQPPPFGSRPPPGSFQSYTSGGMVAGPVSGALPVGARPSPAASSSSPPQNVPPSSSFGGLVSNGPPAPAFQSAPRFPPSVSAPQQQPMGPPPTMGVARSPPQSMRPLMGSSGFSGQPVAPFSAPPQGTPFYAPPQGTPFSAPPQGAPFYAPPQGTPFSAPPQGTPFSAQQGMTPPPIGSPFAPQMQPQSVAQPPPIPGSAQPPRMFGMPPLLPNQMTAISPVIGHTGSPLSGASKIDPNQIPRPIPGSSVILHDTRAGNQANPPPPATSDYIVTDTGNCSPRYMRCTINQIPCTVDLLSTSGMQLALLVQPLALPHSSEEAVQVVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPRDYLCNLGPDGRRRDADERPELCRGTVEFVATKEFMVRDPMPAVYFFLIDVSMHAIQTGATAAACSSISQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIHDVYTPLQTDVIVPLSECRQHLELLLESIPTMFRNSRIAESSFSAAIKAAFLAMKNTGGKLLVFQSVLPSVGVGALSAREAEGRSNISTGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDVFITTQTYVDIASISVIPKTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQIQEYHGNFCKRIPTDIDLAVIDCDKTIMVTLKHDDKLQDGTECAFQCALLYTTVYGQRRIRVANLSLPCTNNLSNLFRLADLDSQFVCFLKQAANEIPSNPSLVVQEQVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLKVNGRIDDRSFWISYVSSVSTPLAIPLVYPRMIAIHNLDSQEADGSRIPPALALSSEYVSEDGIYLLENGQDGLIYIGNSVNSDTLQKLFGLSSVAEIPTQYSQFVLEQYDNPLSKKLNNVVNEIRRQRCSFLRLKLCKKGDPSGMSFFSYLVEDKVPVGGLSYVEFLVHIHRQIQVKMSS >Potri.005G049100.5.v4.1 pep chromosome:Pop_tri_v4:5:3115018:3129355:1 gene:Potri.005G049100.v4.1 transcript:Potri.005G049100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049100.v4.1 MTSNVPPGAPRQSPPPNYNPNYQQNPNILSDNFQNLNLNRPPSMANSAPRPSPFSQPSPFPSSVPSPQFSRPGAPPIGAVPRPSVPPSGSPSTFSSNVAPGRPTGPPFSQPPPFGSRPPPGSFQSYTSGGMVAGPVSGALPVGARPSPAASSSSPPQNVPPSSSFGGLVSNGPPAPAFQSAPRFPPSVSAPQQQPMGPPPTMGVARSPPQSMRPLMGSSGFSGQPVAPFSAPPQGTPFYAPPQGTPFSAPPQGAPFYAPPQGTPFSAPPQGTPFSAQQGMTPPPIGSPFAPQMQPQSVAQPPPIPGSAQPPRMFGMPPLLPNQMTAISPVIGHTGSPLSGASKIDPNQIPRPIPGSSVILHDTRAGNQANPPPPATSDYIVTDTGNCSPRYMRCTINQIPCTVDLLSTSGMQLALLVQPLALPHSSEEAVQVVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPRDYLCNLGPDGRRRDADERPELCRGTVEFVATKEFMVRDPMPAVYFFLIDVSMHAIQTGATAAACSSISQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIHDVYTPLQTDVIVPLSECRQHLELLLESIPTMFRNSRIAESSFSAAIKAAFLAMKNTGGKLLVFQSVLPSVGVGALSAREAEGRSNISTGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDVFITTQTYVDIASISVIPKTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQIQEYHGNFCKRIPTDIDLAVIDCDKTIMVTLKHDDKLQDGTECAFQCALLYTTVYGQRRIRVANLSLPCTNNLSNLFRLADLDSQFVCFLKQAANEIPSNPSLVVQEQVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLKVNGRIDDRSFWISYVSSVSTPLAIPLVYPRMIAIHNLDSQEADGSRIPPALALSSEYVSEDGIYLLENGQDGLIYIGNSVNSDTLQKLFGLSSVAEIPTQFVLEQYDNPLSKKLNNVVNEIRRQRCSFLRLKLCKKGDPSGMSFFSYLVEDKVPVGGLSYVEFLVHIHRQIQVKMSS >Potri.005G049100.7.v4.1 pep chromosome:Pop_tri_v4:5:3115082:3129345:1 gene:Potri.005G049100.v4.1 transcript:Potri.005G049100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049100.v4.1 MTSNVPPGAPRQSPPPNYNPNYQQNPNILSDNFQNLNLNRPPSMANSAPRPSPFSQPSPFPSSVPSPQFSRPGAPPIGAVPRPSVPPSGSPSTFSSNVAPGRPTGPPFSQPPPFGSRPPPGSFQSYTSGGMVAGPVSGALPVGARPSPAASSSSPPQNVPPSSSFGGLVSNGPPAPAFQSAPRFPPSVSAPQQQPMGPPPTMGVARSPPQSMRPLMGSSGFSGQPVAPFSAPPQGTPFYAPPQGTPFSAPPQGAPFYAPPQGTPFSAPPQGTPFSAQQGMTPPPIGSPFAPQMQPQSVAQPPPIPGSAQPPRMFGMPPLLPNQMTAISPVIGHTGSPLSGASKIDPNQIPRPIPGSSVILHDTRAGNQANPPPPATSDYIVTDTGNCSPRYMRCTINQIPCTVDLLSTSGMQLALLVQPLALPHSSEEAVQVVDFGESGPVRCSRCKGYINPFMKFIDQGRRFICNLCGFTDETPRDYLCNLGPDGRRRDADERPELCRGTVEFVATKEFMVRDPMPAVYFFLIDVSMHAIQTGATAAACSSISQVIADLPEGPRTMVGIATFDSTIHFYNLKRALQQPLMLIVPDIHDVYTPLQTDVIVPLSECRQHLELLLESIPTMFRNSRIAESSFSAAIKAAFLAMKNTGGKLLVFQSVLPSVGVGALSAREAEGRSNISTGEKEAHKLLQPADKTLKEMAIEFAEYQVCVDVFITTQTYVDIASISVIPKTTGGQVYYYYPFSAVSDPAKLYNDLRWNVTRPQGFEAVMRVRCSQGIQIQEYHGNFCKRIPTDIDLAVIDCDKTIMVTLKHDDKLQDGTECAFQCALLYTTVYGQRRIRVANLSLPCTNNLSNLFRLADLDSQFVCFLKQAANEIPSNPSLVVQEQVTNFCINILLSYRKFCATVSSSGQLILPEALKLLPLYTLALIKSTGLKVNGRIDDRSFWISYVSSVSTPLAIPLVYPRMIAIHNLDSQEADGSRIPPALALSSEYVSEDGIYLLENGQDGLIYIGNSVNSDTLQKLFGLSSVAEIPTQFVLEQYDNPLSKKLNNVVNEIRRQRCSFLRLKLCKKGDPSGMSFFSYLVEDKVPVGGLSYVEFLVHIHRQIQVKMSS >Potri.001G085800.2.v4.1 pep chromosome:Pop_tri_v4:1:6816779:6820355:-1 gene:Potri.001G085800.v4.1 transcript:Potri.001G085800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085800.v4.1 MMVQGTNLVRFFLSLIPPVRKLVSREPSPFLAYHLGDIIYSYCFTQRLYNGDWQSDAIGSETAVLSVSSVLGQAGQPETVLEALSYCLERTCSPEYRHMGRLQFGLGLVDDVIHVMSPGGHALICLLSDLQKMVQAGEKELKAEETRKAESEIRSKLKLAERKVYFIMCWVHEQPGEAWSSLAAIVRAEKSSGMDYRGGKNLPAAKK >Potri.012G012700.1.v4.1 pep chromosome:Pop_tri_v4:12:1501691:1506616:-1 gene:Potri.012G012700.v4.1 transcript:Potri.012G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012700.v4.1 MWKLNHFLSGDDEEREESFLEEESDGLCSLSPTQRMYAFAASLVAGLALMFLSLIVFAKPIKYALLFTFGNVLAVGSTAFLIGPGRQLGMMFDPVRIYATAIYIGCVVLALICALLIHSKILTVFAIIFEICALIWYSLSSIPFARRMVSNLMIRLCDTEL >Potri.012G012700.9.v4.1 pep chromosome:Pop_tri_v4:12:1501690:1506616:-1 gene:Potri.012G012700.v4.1 transcript:Potri.012G012700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012700.v4.1 MRYCSPLAMCWQLEAFLIGPGRQLGMMFDPVRIYATAIYIGCVVLALICALLIHSKILTVFAIIFEICALIWYSLSSIPFARRMVSNLMIRLCDTEL >Potri.012G012700.2.v4.1 pep chromosome:Pop_tri_v4:12:1501691:1506616:-1 gene:Potri.012G012700.v4.1 transcript:Potri.012G012700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012700.v4.1 MWKLNHFLSGDDEEREESFLEEESDGLCSLSPTQSLIVFAKPIKYALLFTFGNVLAVGSTAFLIGPGRQLGMMFDPVRIYATAIYIGCVVLALICALLIHSKILTVFAIIFEICALIWYSLSSIPFARRMVSNLMIRLCDTEL >Potri.012G012700.8.v4.1 pep chromosome:Pop_tri_v4:12:1501690:1506616:-1 gene:Potri.012G012700.v4.1 transcript:Potri.012G012700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012700.v4.1 MRYCSPLAMCWQLEAFLIGPGRQLGMMFDPVRIYATAIYIGCVVLALICALLIHSKILTVFAIIFEICALIWYSLSSIPFARRMVSNLMIRLCDTEL >Potri.012G006200.2.v4.1 pep chromosome:Pop_tri_v4:12:215508:216802:1 gene:Potri.012G006200.v4.1 transcript:Potri.012G006200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006200.v4.1 MVEEIGLPIRKLPKNISLYPIASQSKIRKILLTRLKIKHDVNILSTIVLKPDPVIDPVDPPGHGSDGLTRVNSGQPKKTYKKQYGVTLSCFNIFFTFLLVSTFHLGFSFSALQENHSNGTYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDVVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPNRINPSHNSSLQHHRTLCRDRVKLSSQESGEKLIDSAKIIQVNAVERPDGVIHGIERLLIPRSVQQDFNNCRSLQSISAVKPEGAPEVDPRTHRMKKPAPPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIETLLLYGGYNEMADILVNLTSLATEMERLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYGLNIEASKKPKQN >Potri.010G142200.8.v4.1 pep chromosome:Pop_tri_v4:10:15505291:15517432:1 gene:Potri.010G142200.v4.1 transcript:Potri.010G142200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142200.v4.1 MGAQEKSQANSNPLQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEELGLYVIDEEDNETLLLHRITPDDIYRKQEDTIISWRDPEFSTELALSFQETSGCSYIWDHICNVQRSLHFSTLNSEAFQSMNSELRELPAVEHSTLPLILKTMSESGIADQMRLTELILNDQDFFRKLMDVFRICEDLENIDGLHMIFKIVRGIIMLNSPQIFEKIFGDELIMDVIGSLEYDPEISHIQHHRIFLKEHVVFKEAIPIRDPHVLSKIHQTYRVGYLKDVVLARVLDEGTVANLNSIIHGNNAVVVSLLKDDNTFIQELFARLRSPATSAESKKNLVYFLHEFCSLSKSLQMVQQLRLFRELMNEGIFDIIADTLQNQDKKIVLTGTDILILFLNQDPNLLRSYVVRQEGIQLLGLLVKGMITDFGDDMHCQFLEILRSLLDSYTLSGAQRDNIIEIFYEKHLGQLIDVITASCPNEVVPPSSGKSSGFSERVDTRNGTKPEILSNICELLCFCVLHHPYRIKCNFLLDNVIEKVLTLTRRKEKYLVVAAVRFVRTILSRHDEHLINHFVKNNLLKPIVDAFVSNGDRYNLLNSAILELFEYIRKENLKSLLKYIVDSFWNELVKFEHLTSIQSLKVKYEQCLEQCGAKSTGNILDPRKRNDERALEKEEEDYFNEDSSDEEDTASASHTQKPQAQPVSSNGVAAGYPSLSPRSSGLVDYDDDEDDEDYRPPPKKQLETPEEDEGTIESLGMKRKLPSKDKEPELVKKQQLGKHSKSRESVFAALCSTLSHAVLPSTKTATAVHATPVDGNKGSTEESHQENDPVILRTCSDNESTSGEENHKEKDPAGPKSCSDCLHSTSENGQMIGDDGPLIPPPKSSSEMTVNGS >Potri.010G142200.1.v4.1 pep chromosome:Pop_tri_v4:10:15505217:15517554:1 gene:Potri.010G142200.v4.1 transcript:Potri.010G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142200.v4.1 MGAQEKSQANSNPLQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEELGLYVIDEEDNETLLLHRITPDDIYRKQEDTIISWRDPEFSTELALSFQETSGCSYIWDHICNVQRSLHFSTLNSEAFQSMNSELRELPAVEHSTLPLILKTMSESGIADQMRLTELILNDQDFFRKLMDVFRICEDLENIDGLHMIFKIVRGIIMLNSPQIFEKIFGDELIMDVIGSLEYDPEISHIQHHRIFLKEHVVFKEAIPIRDPHVLSKIHQTYRVGYLKDVVLARVLDEGTVANLNSIIHGNNAVVVSLLKDDNTFIQELFARLRSPATSAESKKNLVYFLHEFCSLSKSLQMVQQLRLFRELMNEGIFDIIADTLQNQDKKIVLTGTDILILFLNQDPNLLRSYVVRQEGIQLLGLLVKGMITDFGDDMHCQFLEILRSLLDSYTLSGAQRDNIIEIFYEKHLGQLIDVITASCPNEVVPPSSGKSSGFSERVDTRNGTKPEILSNICELLCFCVLHHPYRIKCNFLLDNVIEKVLTLTRRKEKYLVVAAVRFVRTILSRHDEHLINHFVKNNLLKPIVDAFVSNGDRYNLLNSAILELFEYIRKENLKSLLKYIVDSFWNELVKFEHLTSIQSLKVKYEQCLEQCGAKSTGNILDPRKRNDERALEKEEEDYFNEDSDEEDTASASHTQKPQAQPVSSNGVAAGYPSLSPRSSGLVDYDDDEDDEDYRPPPKKQLETPEEDEGTIESLGMKRKLPSKDKEPELVKKQQLGKHSKSRESVFAALCSTLSHAVLPSTKTATAVHATPVDGNKGSTEESHQENDPVILRTCSDNESTSGEENHKEKDPAGPKSCSDCLHSTSENGQMIGDDGPLIPPPKSSSEMTVNGS >Potri.019G037600.2.v4.1 pep chromosome:Pop_tri_v4:19:5178839:5184306:-1 gene:Potri.019G037600.v4.1 transcript:Potri.019G037600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037600.v4.1 MLVTAMQTRTVEVRNVSDLASEREVHEFFSFSGEIEHIHIQRENGQSKTAFVTFKDPKALEIALLLSGATLVDRIVTITPAENYVLNRELQEVRNVENAVSVVPSENFPSNVEQGKTSPSGSGRVYVSRAQEVVTSVLAKGSAISQDAMNKAKAFDEKHRLSASASEKVTSFDRRVGLTEKLTIGISVVNEKVKSVDQRLHVSDKTMAAIFAAERKLNDTGSAVKSSRYVSAGTAWLNGAFSKVARAGQVAGTKTREKFNLAVSNLTAKESPIAV >Potri.019G037600.3.v4.1 pep chromosome:Pop_tri_v4:19:5178828:5184314:-1 gene:Potri.019G037600.v4.1 transcript:Potri.019G037600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037600.v4.1 MLVTAMQTRTVEVRNVSDLASEREVHEFFSFSGEIEHIHIQRENGQSKTAFVTFKDPKALEIALLLSGATLVDRIVTITPAENYVLNRELQEVRNVENAVSVVPSENFPSNVEGKTSPSGSGRVYVSRAQEVVTSVLAKGSAISQDAMNKAKAFDEKHRLSASASEKVTSFDRRVGLTEKLTIGISVVNEKVKSVDQRLHVSDKTMAAIFAAERKLNDTGSAVKSSRYVSAGTAWLNGAFSKVARAGQVAGTKTREKFNLAVSNLTAKESPIAV >Potri.017G048700.2.v4.1 pep chromosome:Pop_tri_v4:17:3470543:3472564:-1 gene:Potri.017G048700.v4.1 transcript:Potri.017G048700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048700.v4.1 MAAETASLLPSQAFPSQPTKITSIKTLAESPGLTSIPATYTFTPDLHDQVICVPEGSIPVIDYSLLISGTPDQRSKIVHELGRACQDWGFFMVINHGVPENLLSSILDGCKGFFDLPEEEKQEFKGNHVLDPIRSGTSCNVSVEKAFYWRDFLKVFVHPVFYSPTKPAGLSEISLEYSQRVREVARGLLKGISESLGLEGSYIDKAQNLEQGKQIFVGNLYPTCPQPELAMGLPPHSDHGLLTLLIYNGIGGLQIQHEGKWVNVCALPNSFLVNTGDHLEILSNGRYKSVLHRAMVNNKATRISIAMAHGPSLDSVVSPAPELLVSSKGNEPAAYAGMKYKDYLEIQQSNKLDGKSCLDRVRIPAV >Potri.006G032000.1.v4.1 pep chromosome:Pop_tri_v4:6:2002086:2004424:1 gene:Potri.006G032000.v4.1 transcript:Potri.006G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032000.v4.1 MTTAQSQEELLAAHLEQQELKHGEPTVEDEDDEDESDEDDDDYDDKDDEGKGDVGGRGKQSRSEKKSRKAMLKLGMKPLPGVSRVTVKKSKNIMFVISKPDVFKSPNSDTYIVFGEAKIEDLSSQLQTQAAEQFKAPNPSTSASQPEPSSTAQDDEDVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVTAIMELTN >Potri.019G033900.1.v4.1 pep chromosome:Pop_tri_v4:19:4656744:4660243:1 gene:Potri.019G033900.v4.1 transcript:Potri.019G033900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033900.v4.1 MESPSNWQQRRAFVRSTMGRNLSPILRQELANLDKDADSRKSAMKALKSYVRGLDSKAIPQFLAQVSETKETGSLSGEYTISLYEVLARVHGVNIVTQVDSIMATIIKTLASSAGSFPLQQACSKVVPAIARYGIEPTTPEHKKRHIIHSLCKPLSEALLGSQECLTSGAALCLKALVDSDNWRFASDEMVNRVCQNVAVSLEEKPTQTNSHMALVMALAKHNALIVEAYARLLVQSGLRILKAGVLEGNSQKRLSAIQMVNFLMKCLDPRSIFSEIDLIIEEMNESQSDPMAYVSGAAFEALQTAKKIAAEKGSKFEKSSGSITGSNFGRRDHRGRRNLSSAYGDQSPASISPESQTLDSFMEYESFIESPISTTNTSSSEYDRGSVNRKLWSFENGGVDVSLKDGLFSESQGSPIHDAFSDQSGLHELTENRGSCTGEFAGFLPRSPRNGLSRCTTPSPQRSRSHINVNNVSIFTTPRKLVHALQDPNDLDSDFSEKQNERFGSPCSCKFDYRPTLRLKQNGFQQDMGSEVNENGNSYAGGEQLQGPSESVASTEEIPVNTDTQVSTEVILGKKTDDPKKNCAEREMRKTSSLLVVGFCIALLAILASLMFIYLQDDSHHMVPT >Potri.009G135900.3.v4.1 pep chromosome:Pop_tri_v4:9:10965644:10967767:-1 gene:Potri.009G135900.v4.1 transcript:Potri.009G135900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135900.v4.1 MANEREVMKIEKIRLAEIILFWIERAAILLFVFFGGIPRFLRCSLGLFAFTNLYHASYAYERLFVNPQPNEPSNTLFVFDRYAIWLTAMYFFLGSPVYRYVAAAVFVISNTNSIVKALGVNANQILCCNPTKDDKEEEIEKALAVEEYSAEEKTKHGEIEEIPETETIEPEAHHEVDEEDYEMVSYKKDVDEEEEEDCSSGGDDEEEEEDCSSSVGDDDDDDDDDEEEEEEEEEEEEYCYSSNDDGDVQKGTIEKEVMKDQELKETGDGRCCETSRAFLSSMQREIGLLKELNERNCKLMKDLADTLLLLEQENEVLSRKLISAESTSSTEQLNQIVYEYERKCQRLEEEKADLNASLSTAEAESDFYRECMHNLGLSNELPTISTPY >Potri.018G029400.1.v4.1 pep chromosome:Pop_tri_v4:18:2173669:2180726:-1 gene:Potri.018G029400.v4.1 transcript:Potri.018G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029400.v4.1 MEANAGMVAGSYRRNELVRIRHDSDSGPKPLKNLNGQTCQICGDNVGVTENGDIFVACNECAFPVCRPCYEYERKDGTQSCPQCKTRYRRHKGSPRVDGDEDEDGVDDLENEFNYAQGIGNAKHQWQGDDIELSSSSRHESQPIPLLTNGQPVSGEIPCATPDNQSVRTTSGPLGPAERNVHSSPYIDPRQPVHVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQDKNMMQMTNRYSEGKGDMEGTGSNGDELQMADDARQPMSRVVPISSSYLTPYRVVIILRLIILGFFLQYRVTHPVKDAYGLWLTSVICEIWFALSWLLDQFPKWMPINRETYLDRLALRYDREGEPSQLAPIDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGHKKYIDKKRAMKRTESTVPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFQEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPLTSLPLLAYCILPAICLVTGKFIIPEISNYAGMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVILLNMMGIVAGVSFAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSGTTQTASNGQCGVNC >Potri.018G029400.5.v4.1 pep chromosome:Pop_tri_v4:18:2173768:2180657:-1 gene:Potri.018G029400.v4.1 transcript:Potri.018G029400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029400.v4.1 MEANAGMVAGSYRRNELVRIRHDSDSGPKPLKNLNGQTCQICGDNVGVTENGDIFVACNECAFPVCRPCYEYERKDGTQSCPQCKTRYRRHKGSPRVDGDEDEDGVDDLENEFNYAQGIGNAKHQWQGDDIELSSSSRHESQPIPLLTNGQPVSGEIPCATPDNQSVRTTSGPLGPAERNVHSSPYIDPRQPVHVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQDKNMMQMTNRYSEGKGDMEGTGSNGDELQMADDARQPMSRVVPISSSYLTPYRVVIILRLIILGFFLQYRVTHPVKDAYGLWLTSVICEIWFALSWLLDQFPKWMPINRETYLDRLALRYDREGEPSQLAPIDIFVSTVDPMKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHSIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYVQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGHKKYIDKKRAMKRTESTVPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFQEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYSGRLKLLERLAYINTIVYPLTSLPLLAYCILPAICLVTGKFIIPEISNYAGMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVILLNMMGIVAGVSFAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSGTTQTASNGQCGVNC >Potri.001G188300.1.v4.1 pep chromosome:Pop_tri_v4:1:16850383:16854872:-1 gene:Potri.001G188300.v4.1 transcript:Potri.001G188300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G188300.v4.1 MSLVNKSSGRQSLFFQDLASPVSAGKGKFSTPAQAAAVSALWRDNFGGSDLPPPPFYTLEDRSEISPESGIPDYPLSPDIKSHPTTSPFQSSGREFGTPPKTKSEATTSFALISGQNTQQGSPISTWWSPSKARSSEHEDKGKGSPVEGVVQPGALITLPPPREVARPEKHRNCVPAGNLDEEEWVTVYGFAPGDTNLVLREFEKCGVILKHVPGPREANWMHILYQSRADAQKALSKNGMQINGVLIIGVKLVDPMQRQALNERINNQGFMTLPPPSSTRTPDSNALRASPRPHYLQNGSTSARQSGGVIASPAKSMVSKIFDVMFGI >Potri.003G140100.1.v4.1 pep chromosome:Pop_tri_v4:3:15641406:15642269:1 gene:Potri.003G140100.v4.1 transcript:Potri.003G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140100.v4.1 MGNCIRSSKIRQHEEDDNMEELHQEKKETRIVKESGSFEKGASLKVKIVLTKEELEWLTFQLKVNGGRKLEDVLGEIERGRMKVKTWKPSLESIMETPEGLETERRAL >Potri.014G051200.1.v4.1 pep chromosome:Pop_tri_v4:14:3300597:3304082:1 gene:Potri.014G051200.v4.1 transcript:Potri.014G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051200.v4.1 MSTPPNTGSPSPPQDLTPLQITALQALPAPPTQPSTNPRRLPPPCWTPDETVALIDAYRDKWYTLRRGNLKANHWQEVADAVARRCPAASPPKTAVQCRHKMEKLRKRYRTEIQRARSMPVSRFASSWVHFKRMDGMEKGPQAKADYNSESEGDDNEDDNEDDGDIHGSYLENYRTAGNVMNTRSIQKLYRNGMGNPGTGSGNNGLSGGNSSSGNAGGFRIRIPTGVSIAQPGPKFYAKPEQKYGGGPSMGVNASPNPNLKPNYGGMGSSSRVMRGGEEMGKKREREPMEELVAAIKVLGDGFVRMEQMKMEMAREMETMRMEMEMKRTEMILESQQRIVEAFAKALSEKKKRPKRMPSPES >Potri.003G031500.2.v4.1 pep chromosome:Pop_tri_v4:3:3527869:3529121:1 gene:Potri.003G031500.v4.1 transcript:Potri.003G031500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031500.v4.1 MIYFNKNSSTLQTSVPVTDPNFFYVVDLNEKGYMRNLFWTDARSRVASAYFCDVIASDTVCLTYKFEAPLVAFIGENHHAIHLGFGLLAGETTESYAWLASHCFILSRIRQGIPDTMGELFYFEATQVSLNRVVHYFLEPEEFEAAWEEMTQRHGIRDHRWIQALHEDRKKGVPAYSKDTGRNENHRLESLADMDLRNSSFMLKPRCYLELQLSKLYTNKILRRFVREVEGMFSCFRTRQAYVDGQVMTYIVKEQGCSLCLWIVYLCRHALNVLNQNGLEEIPPRYILTRWRKDMKRSYVLDHNCRGIDTNSPIHRYDHLYRCYVQVVAEGRKSQD >Potri.013G078100.1.v4.1 pep chromosome:Pop_tri_v4:13:6676332:6679147:1 gene:Potri.013G078100.v4.1 transcript:Potri.013G078100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G078100.v4.1 MATSFAPVSVSSGGGSQLKPRELWSTKSISFSKAPRLAIGRKSNLVGSSPKFAVRAEYNDGSRGGGGDFVAGFVLGGAVFGTLAYIFAPQIRRSLLNEDEYGFRRAKRPIYYDEGLEKTRQTLNAKISQLNSAIDKVSSRLRGGKNSPPVPLEADPEVEATM >Potri.016G058100.1.v4.1 pep chromosome:Pop_tri_v4:16:3952300:3954668:-1 gene:Potri.016G058100.v4.1 transcript:Potri.016G058100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058100.v4.1 MRIRNRKVPLPLSSLSPIPLSDPQFSRSPVVQLQLHNSPHQSLLQEPQKDEYFDLHLPSDRLNQPIGGGSKGHDDCSHGDGPQEEKKVLLEEGDGIKGGELREKSNDTRRGSFLSEGVHVTVLQQSSSSHQGVGRWGEGEKAFPLKKRRGSFERRWNEETIVDRNKKMKTKMKTKMNKKCVQQNGDNEEDEKETKEGAGSNIKAKPRGGALLEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMNSVRSRSIATTVPKKDESEEVSSHSLPSQKKEETKGASLDDKVSVAYDEDDKEKPLVISKRKMKLGVVKARSMSSLLGQANNAVGVAENND >Potri.016G093500.1.v4.1 pep chromosome:Pop_tri_v4:16:7963625:7964762:1 gene:Potri.016G093500.v4.1 transcript:Potri.016G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093500.v4.1 MGGKCPSRKVKKRRYSHKTARRSKFLLKGDDAVYEELQQKPDGEMKEATLPLDEDLPGMGQYYCLHCDRYFANVSVRDEHFKTKRHKKRVKQMMGPAPHTQLDADLAAGMGAPDNGLKLMSM >Potri.002G015500.2.v4.1 pep chromosome:Pop_tri_v4:2:947492:950795:-1 gene:Potri.002G015500.v4.1 transcript:Potri.002G015500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015500.v4.1 MVSLALSLDKSTWNGQMTIKAGFSLLPILLFFLTSLLSCGAIYTIEKFYTSFLLMIMAYLSNLMQISLWELDQVHKDSEDLFSIASTADEHQMTFTLSPFDQPHAAVLFPVVLPSLAAFYTMEENVIVGLGFVVMACVSFLALYGLYFPSPVNSPAFDFWAEWTGLKLCETLIPPSPEDRSQQFEVLHHRILGPEDDERERSEERSLISEAEYLEEGEEGVGENNVQNQGAEIEGLKDKGLKFGIEINEDEWEYIKNSSLHAKDTQSES >Potri.002G015500.3.v4.1 pep chromosome:Pop_tri_v4:2:947492:950795:-1 gene:Potri.002G015500.v4.1 transcript:Potri.002G015500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015500.v4.1 MVSLALSLDKSTWNGQMTIKAGFSLLPILLFFLTSLLSCGAIYTIEKFYTSFLLMIMAYLSNLMQISLWELDQVHKDSEDLFSIASTADEHQPHAAVLFPVVLPSLAAFYTMEENVIVGLGFVVMACVSFLALYGLYFPSPVNSPAFDFWAEWTGLKLCETLIPPSPEDRSQQFEVLHHRILGPEDDERERSEERSLISEAEYLEEGEEGVGENNVQNQGAEIEGLKDKGLKFGIEINEDEWEYIKNSSLHAKDTQSES >Potri.006G225900.2.v4.1 pep chromosome:Pop_tri_v4:6:23042252:23043010:1 gene:Potri.006G225900.v4.1 transcript:Potri.006G225900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225900.v4.1 MEDYNRSKSYSYSHGNDMMQMESYNGPQRPPTSSYELRSYSTSYAQTQVANGNYNTNNFGNNRDFKAKKGKGYTGSSSSKSWSFDDPEFQRKKRVASYKMYSVEGKVKGTFRKSFRWIKDRCTQVMYGWW >Potri.012G113500.1.v4.1 pep chromosome:Pop_tri_v4:12:13298819:13302887:1 gene:Potri.012G113500.v4.1 transcript:Potri.012G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113500.v4.1 MNALAATSRNFRHASRLLGLDSKVEKSLLIPFREIKVECTIPKDDGTLVSYVGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKSAVADIPYGGAKGGIGCNPGDLSKSELERLTRVFTQKIHDLIGVHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVFATEALLAEHGKSIKDLTFAIQGFGNVGSWAAKLIHERGGKVIAVSDITGAVKNPNGIDIPELLRHKETTGSLKDFQGAESMDANELLIHKCDVLIPCALGGVLNRENAADVKAKFIIEAANHPTDPEADEILAKKGVVVLPDIYANSGGVTVSYFEWVQNIQGFMWDEQQVNNTLQNYMTRAFHNIKSMCQTHDCNLRMGAFTLGVSRVARATLLRGWEA >Potri.006G195500.1.v4.1 pep chromosome:Pop_tri_v4:6:20379613:20380212:1 gene:Potri.006G195500.v4.1 transcript:Potri.006G195500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195500.v4.1 MLKDEDILCTLLSSLLLVSGYSLPSLPTKKKLSLQDQAEARTMRISYAFFSLLLLLVSAEFSHARAIHGASAARIPEHTCHKLLSMNRCDLQKCNQECSQEPLGVGECRNANCSCTYYCKQPPM >Potri.001G231800.1.v4.1 pep chromosome:Pop_tri_v4:1:25093246:25099107:-1 gene:Potri.001G231800.v4.1 transcript:Potri.001G231800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G231800.v4.1 MGTSVQVTPLSGVYNENPLSYLVSIDGFNFLIDCGWNDHFDPSLLQPLSKVASKIDAVLLSYGDMLHLGALPFAMKQFGLNAPVFSTEPVYRLGLLTMYDQSFSRKAVSEFDLFSLDDIDSAFQNFTRLTYSQNHHLSGKGEGIVIAPHVAGHLLGGTVWKITKDGEDVVYAVDFNHRKERHLNGTVLESFYRPAVLITDAYNALNSQPSRQQRDKQFLETILKTLEGGGNVLLPVDSAGRVLELLLILEQFWGQRFLNYPIFFLSYVSSSTIDYIKSFLEWMSDSIAKSFETSRDNAFLMKHVTLLISKDELDNASTGPKVVLASVASLEAGFSHDIFAEWAADVKNLVLFTERGQFGTLARMLQADPPPKAVKMTMSRRVPLVGDELIVYEEEQKRLKREEELKASLIKEEESKVSHGPDNNLSDPMVIDSGNTHSPLDVVGSRGSGHRDILIDGFVPPSTSVAPMFPFYENSLEWDEFGEVINPDDYVVQDEDMDQAAMHVGADIDGKLDEGSASLILDTKPSKVVSNELTVQVKCSLIYMDYEGRSDGRSIKSILTHVAPLKLVMVHGSAEATEHLKQHFLNIKNVQVYAPQIEETIDVTSDLCAYKVQLSEKLMSNVLFKKLGDYEVAWVDAEVGKTENGMLSLLPISSPAPPHKSVLVGDLKMADFKQFLASKGVQVEFAGGALRCGEYVTLRKVGNPSQKGGASGTQQIIIEGPLCEDYYKIREYLYSQFYLL >Potri.009G039300.2.v4.1 pep chromosome:Pop_tri_v4:9:4762094:4767734:1 gene:Potri.009G039300.v4.1 transcript:Potri.009G039300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039300.v4.1 MEDYSRLKKNFRSKQSLGTAEKTVTSQARKSVDVMENLKPWKPNLSYADLRHEITKKVDNLSSKPLTNHQKQCRTAIEEEELVKYMSKLPSYLERGQTHQEKVLNVGVLDWGRLEKWQCRQKQMPARSSRHSLSSSDSSSPLSTEGSSVYSSRGQSSSPGHQRTCRPSLQFHPMSSPTKGNSPVKESIGKFQDVKGSQTSRVSERAKFIRADQPFPKNHPEFNLDQCKRKHKGPKINPESGTLANGLNHEGLKCMKTKMKTKTKATAKPPEGDFLKRSGELQEQKTYVDQTNERLILLIPRDSPQGTHSGVPHNPTMMLGQKEEEANQRSFADMPTEIFCPAVHSDVPHSCPLPYENGRHLERKWCSIDAENISFLPDSSQSVPHQVKIRMRPSRDTISKLEKPTVMLTDSSSKESSVAEKKMSNLAAEKVRSTSPFRRLSSGMSKISKNFSSKEGSSKPQLSSTSNSAQSGSEIAMASTCQENQSSDTQNATSRARSSPLRRLLDPMLKPKAANFHPSVEQLQRGSISTDKICKSSNVHLDCMPGTAQIGKVKSDTTTPCRISVSDSSKDKKHISSAFQALLRVAVKNGQPTFTFAVDNERDILAATMKKLSTSREDDYSCIYNFYAIHEVKKKNARWINQGGKGKCHDYIPNVVAQLKVSGSQFSNLTRQNYMAQSFAREFVLFAMDLQQAEQQTLDFQPNDELAAIVVKIPEVISRSTVRDGNRTNNCNNFSEVRCNSTSGNVQNQPILSSQNLINTTVILPSGIHSLPNKGGPSSLLQRWRSGGSCDCGGWDLGCKLRILVNQNQINKKSSPSKACLAIDKFELVSQCEEENQPVFIMTPFKDGIYSVEFNTSLSTLQAFSLCIAVLDGKKLCEMSESSNLFEEKTSLETILSQNDGMRAPNGIVGEVPARYVSYPPVSPVGRV >Potri.009G039300.3.v4.1 pep chromosome:Pop_tri_v4:9:4762194:4767722:1 gene:Potri.009G039300.v4.1 transcript:Potri.009G039300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039300.v4.1 MEDYSRLKKNFRSKQSLGTAEKTVTSQARKSVDVMENLKPWKPNLSYADLRHEITKKVDNLSSKPLTNHQKQCRTAIEEEELVKYMSKLPSYLERGQTHQEKVLNVGVLDWGRLEKWQCRQKQMPARSSRHSLSSSDSSSPLSTEGSSVYSSRGQSSSPGHQRTCRPSLQFHPMSSPTKGNSPVKESIGKFQDVKGSQTSRVSERAKFIRADQPFPKNHPEFNLDQCKRKHKGPKINPESGTLANGLNHEGLKCMKTKMKTKTKATAKPPEGDFLKRSGELQEQKTYVDQTNERLILLIPRDSPQGTHSGVPHNPTMMLGQKEEEANQRSFADMPTEIFCPAVHSDVPHSCPLPYENGRHLERKWCSIDAENISFLPDSSQSVPHQVKIRMRPSRDTISKLEKPTVMLTDSSSKESSVAEKKMSNLAAEKVRSTSPFRRLSSGMSKISKNFSSKEGSSKPQLSSTSNSAQSGSEIAMASTCQENQSSDTQNATSRARSSPLRRLLDPMLKPKAANFHPSVEQLQRGSISTDKICKSSNVHLDCMPGTAQIGKVKSDTTTPCRISVSDSSKDKKHISSAFQALLRVAVKNGQPTFTFAVDNERDILAATMKKLSTSREDDYSCIYNFYAIHEVKKKNARWINQGGKGKCHDYIPNVVAQLKVSGSQFSNLTRQNYMAQSFAREFVLFAMDLQQAEQQTLDFQPNDELAAIVVKIPEVISRSTVRDGNRTNNCNNFSEVRCNSTSGNVQNQPILSSQNLINTTVILPSGIHSLPNKGGPSSLLQRWRSGGSCDCGGWDLGCKLRILVNQNQINKKSSPSKACLAIDKFELVSQCEEENQPVFIMTPFKDGIYSVEFNTSLSTLQAFSLCIAVLDGKKLCEMSESSNLFEEKTSLETILSQNDGMRAPNGIVGEVPARYVSYPPVSPVGRV >Potri.009G126100.1.v4.1 pep chromosome:Pop_tri_v4:9:10467332:10468541:-1 gene:Potri.009G126100.v4.1 transcript:Potri.009G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126100.v4.1 MKMGTRSGFWMGSTYLFMEISIPSLISSWLSIPGELGGHSNMAFMSSKKGKVIINCKENTSVSWFSGAPHFSENGGPLQSPIFIVRSLNQSHSQNMGELLSKKAT >Potri.017G108300.1.v4.1 pep chromosome:Pop_tri_v4:17:11690743:11691477:-1 gene:Potri.017G108300.v4.1 transcript:Potri.017G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108300.v4.1 MADNTQKMSFQAGEAKGQAQEKASTLMDRAGNAAQSAKESVQEAGQQVMSTAQGAVEGVKNATGMNK >Potri.006G236100.2.v4.1 pep chromosome:Pop_tri_v4:6:23819765:23822342:-1 gene:Potri.006G236100.v4.1 transcript:Potri.006G236100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236100.v4.1 MGSSSQDFKFLNNYKQLKSKYRPRLPLAKVLSQPVFGALETWASLVWPDSKFATIEHSIRIGKYINFRGNLLRLIWRTVYVVVVTVLAMAFPFFNDVLALLGAVGYWPMTVYFPVEMYIAQKKIQRGSVKWFVLQLLNLVCLLVAIAAACGAIEGLNHALQNSKPFKF >Potri.001G293200.2.v4.1 pep chromosome:Pop_tri_v4:1:30506921:30507085:1 gene:Potri.001G293200.v4.1 transcript:Potri.001G293200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293200.v4.1 MAILVDVFEGVCLCVSTLWDLQEMRFANVFDGVSSIAASVFMAAVSCFIAIRRR >Potri.001G442300.1.v4.1 pep chromosome:Pop_tri_v4:1:46711147:46712203:-1 gene:Potri.001G442300.v4.1 transcript:Potri.001G442300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442300.v4.1 MALQSEEKLEVGYSSLQPKTRKWVLLMLRVLAFFATAAATVVMGLNKETKTLVVATVGSTPIKASLTAKFQHTPAFVFFVIANGLASIHNLVMIMGDLFGQKLDYKGLRLAMIAILDIMTVALVSGGVSAAAFMAELGKNGNSHARWNKICDKFETFCDHGGGALIASFAGLILMLIISVMSIIKLLIKPKPDSTIVVP >Potri.016G013700.2.v4.1 pep chromosome:Pop_tri_v4:16:730358:733319:1 gene:Potri.016G013700.v4.1 transcript:Potri.016G013700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013700.v4.1 MSLEAGVNSNTKSMGFDEKNKEEVSETAATTKTPPNEEENNVDEPKSGGISRKMSESSLYGTDQEEEDDEETNERKIELGPQCTLKEQLEKDKDDESLRRWKEQLLGAVDIEAAGETLEPEVKILSLEIKSSGRPDIVLSVPENGKPKGSWFTLKEGSRYSLQFTFEVKNNIVSGLKYTNTVWKTGIKVDSSKEMIGTFSPHTEPYTHEMPEETTPSGMFARGSYAARSKFVDDDNKCYLEINYTFDIRKDWLPTKN >Potri.006G115700.4.v4.1 pep chromosome:Pop_tri_v4:6:9037746:9040461:-1 gene:Potri.006G115700.v4.1 transcript:Potri.006G115700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115700.v4.1 MPIIVSISCFTWTSFLHSFILELLWVQGFRKIDTDRWEFANESFRRGEKHLLKNIHRRKSTQSQQVGSHTGSLTEAGRSGLDSEVERLRKERSVMMQEVIELQKQQSGTVHDVQSVNQRLQAAEQRQKQMVSFLAKLFQNPAFLARLKQKKQQGEIGSSRMKRKFVKHQQHQHALPESSMEGQIMRYMPDWRNITLSSVVPDTSPASIDQSPDYLSEGMVGLGLGSEAMPLPEIVAPDEFAISDELGLGQGFIKTPEQVGEGQSSVQFEDPKLKGKIDMSPQNEAGLEYFVSFPEDLGMEKSFPELSSPGMERIVKQEDVWSLAFNTSAGMSSSSNVPWDNFFGYDMPELGSTGGFSDIWDIGSGQAGGGLSMDKWLADESPVDESDSQAGQPKDDK >Potri.006G115700.3.v4.1 pep chromosome:Pop_tri_v4:6:9037723:9040340:-1 gene:Potri.006G115700.v4.1 transcript:Potri.006G115700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115700.v4.1 MSPEEDDTYPKSPHKYSSLMDCQQSSSAGSIFVEDLSFPAAAPSPLMDLEAFSYVSPTTSAHPVSSSATANEVDEEEEMPRPLVCLQENPVPPFLSKTYDLVDDRMLDPIISWGSIGESFVVWDPEEFARLVLPRNFKHNNFSSFVRQLNTYGFRKIDTDRWEFANESFRRGEKHLLKNIHRRKSTQSQQVGSHTGSLTEAGRSGLDSEVERLRKERSVMMQEVIELQKQQSGTVHDVQSVNQRLQAAEQRQKQMVSFLAKLFQNPAFLARLKQKKQQGEIGSSRMKRKFVKHQQHQHALPESSMEGQIMRYMPDWRNITLSSVVPDTSPASIDQSPDYLSEGMVGLGLGSEAMPLPEIVAPDEFAISDELGLGQGFIKTPEQVGEGQSSVQFEDPKLKGKIDMSPQNEAGLEYFVSFPEDLGMEKSFPELSSPGMERIVKQEDVWSLAFNTSAGMSSSSNVPWDNFFGYDMPELGSTGGFSDIWDIGSGQAGGGLSMDKWLADESPVDESDSQAGQPKDDK >Potri.006G115700.2.v4.1 pep chromosome:Pop_tri_v4:6:9036656:9040569:-1 gene:Potri.006G115700.v4.1 transcript:Potri.006G115700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115700.v4.1 MMQEVIELQKQQSGTVHDVQSVNQRLQAAEQRQKQMVSFLAKLFQNPAFLARLKQKKQQGEIGSSRMKRKFVKHQQHQHALPESSMEGQIMRYMPDWRNITLSSVVPDTSPASIDQSPDYLSEGMVGLGLGSEAMPLPEIVAPDEFAISDELGLGQGFIKTPEQVGEGQSSVQFEDPKLKGKIDMSPQNEAGLEYFVSFPEDLGMEKSFPELSSPGMERIVKQEDVWSLAFNTSAGMSSSSNVPWDNFFGYDMPELGSTGGFSDIWDIGSGQAGGGLSMDKWLADESPVDESDSQAGQPKDDK >Potri.013G025100.1.v4.1 pep chromosome:Pop_tri_v4:13:1594488:1598025:-1 gene:Potri.013G025100.v4.1 transcript:Potri.013G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025100.v4.1 MAFSSLPPLLNTIVAISITLISTLIFSKSQLYPTPSPQIPHRSLLSQNQDQNFTLSCTSLPASNGFIDYLSLHFCLFKEKFLLSIPCLSLFVLLHFYVLIETAQSHFSIVTTKLTHHLNLSPSMGGVTLLALGNGAPDVFASLAAVRSGQYRTGFGAILSAGTFVSALVVGFVAIYSAPFNVDPASFIRDVGFYLLGALILFYVYLSGEIFFWQAVGFVGFYLFFVGFVFWMDLGSGSIAAAGGAGGDDRRDAREMDCERGGEVVVGSLAGEKEHSGCFERAYGKISKLWEFPVSFLLKLTIPQSAPLEWNRFYMSANIALCPVALLYACNSFMPLDHPIVFLFPNTHFPLWFIVLLASSSLAVLHFILEKEPPKNEQISVVLVAFVMSVFWISAVAGELLNCLEALGILLEVPPSLLGLTVLAWGNSVGDLVADVAVAKAGQPAMAMAGCFAGPMFNMLVGLGSALVILTADVYPKAYKLHFHVGIVIAFVFLLFGLMGSLLVITWSRFRVPRFWGFCLVGLYVFFMAVSLVIAMFSG >Potri.006G278900.1.v4.1 pep chromosome:Pop_tri_v4:6:26901277:26904960:-1 gene:Potri.006G278900.v4.1 transcript:Potri.006G278900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278900.v4.1 MAMAAAAGGNQVHNVFVYGSLLADDVVRALLSRIPQSSPAILNGYHRFSIKGRVYPAILPVENKKVSGKVLHGITDPELYILDEYEDVEYERVTVDVSLMDNSNKTQALAYVWSDKNDPNLYGEWDFEEWKRTQMEDFAKMSAEFRQDLEQPESKTRIATYESFYQQDGGRPLMP >Potri.006G278900.5.v4.1 pep chromosome:Pop_tri_v4:6:26902364:26904627:-1 gene:Potri.006G278900.v4.1 transcript:Potri.006G278900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278900.v4.1 MAMAAAAGGNQVHNVFVYGSLLADDVVRALLSRIPQSSPAILNGYHRFSIKGRVYPAILPVENKKVSGKVLHGITDPELYILDEYEDVEYERVTVDVSLMDNSNKTQALAYVWSDKNDPNLYGEWDFEVNF >Potri.006G278900.4.v4.1 pep chromosome:Pop_tri_v4:6:26901519:26904627:-1 gene:Potri.006G278900.v4.1 transcript:Potri.006G278900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278900.v4.1 MAMAAAAGGNQVHNVFVYGSLLADDVVRALLSRIPQSSPAILNGYHRFSIKGRVYPAILPVENKKVSGKVLHGITDPELYILDEYEDVEYERVTVDVSLMEWKRTQMEDFAKMSAEFRQDLEQPESKTRIATYESFYQQDGGRPLMP >Potri.018G089700.9.v4.1 pep chromosome:Pop_tri_v4:18:10954541:10962622:1 gene:Potri.018G089700.v4.1 transcript:Potri.018G089700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089700.v4.1 MADAETGEFWGFFGGFAPLPRKTTSDEDKTDVSFPTKLFHVEKGQAEPVEADSLTRELLDTNKCYILDCGIEVFVWMGRNTSLDERKSASGAAEELVRAAERPNSRIARVIEGFETVMFRSKFESWPQTTNVTVSEDGRGKVAALLRRQGVNVNGLLKTAPVKEEPQPYIDVTGNLQVWSVNDQEKILIPAANQSKFYSGGCYIFQYSYPGEDREEYLIGTWFGKKSVEEERASAISLASKMVESLKFLPAQARIFEGNEPIQFFSIFQSFIVFKGGHSSGYKKYIAENELPDETCKEDGVALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHNDSSVFTWSGNLTTSEDQELIERQLDLIKPNMQSKPQKEGSESEQFWDLLGGKSEYPSQKLAREAESDPHLFSCIFLKGNLKVSEIYNFTQDDLMTEDIFILDTHSEIFVWVGQQVDSKSKLQALSIGEKFLEHDFLLKKSSGETPIYIVMEGSEPPFFTRFFTWDSAKSSMHGNSFQRKLAIVKNGGTPLLDKPKRRTAVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKVYPKSVSPDSAKLASKSAAIAALTASFEQPPPARQVIMPRSVKVSPETPKSTPESNSKEKPISIRIESLTIQEDVKEGEAEDEEGLPIYPYEGLKVNSPDPVTEIDVTKRETYLSAAEFREKFGMAKDAFYKLPKWKQNKLKMALQLF >Potri.018G089700.8.v4.1 pep chromosome:Pop_tri_v4:18:10954210:10962624:1 gene:Potri.018G089700.v4.1 transcript:Potri.018G089700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089700.v4.1 MEHQTHLFVCRGKHVVHVNEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAETGEFWGFFGGFAPLPRKTTSDEDKTDVSFPTKLFHVEKGQAEPVEADSLTRELLDTNKCYILDCGIEVFVWMGRNTSLDERKSASGAAEELVRAAERPNSRIARVIEGFETVMFRSKFESWPQTTNVTVSEDGRGKVAALLRRQGVNVNGLLKTAPVKEEPQPYIDVTGNLQVWSVNDQEKILIPAANQSKFYSGGCYIFQYSYPGEDREEYLIGTWFGKKSVEEERASAISLASKMVESLKFLPAQARIFEGNEPIQFFSIFQSFIVFKGGHSSGYKKYIAENELPDETCKEDGVALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHNDSSVFTWSGNLTTSEDQELIERQLDLIKPNMQSKPQKEGSESEQFWDLLGGKSEYPSQKLAREAESDPHLFSCIFLKGNLKVSEIYNFTQDDLMTEDIFILDTHSEIFVWVGQQVDSKSKLQALSIGEKFLEHDFLLKKSSGETPIYIVMEGSEPPFFTRFFTWDSAKSSMHGNSFQRKLAIVKNGGTPLLDKPKRRTAVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKVYPKSVSPDSAKLASKSAAIAALTASFEQPPPARQVIMPRSVKVSPETPKSTPESNSKEKPISIRIESLTIQEDVKEGEAEDEEGLPIYPYEGLKVNSPDPVTEIDVTKRETYLSAAEFREKFGMAKDAFYKLPKWKQNKLKMALQLF >Potri.018G089700.7.v4.1 pep chromosome:Pop_tri_v4:18:10952813:10962630:1 gene:Potri.018G089700.v4.1 transcript:Potri.018G089700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089700.v4.1 MAVSMRDLDSAFQGAGQKAGLEIWRIENFRPVPVPKSSHGKFFTGDSYVILQTTALKSGSLRHDIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKQAEAMEHQTHLFVCRGKHVVHVNEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAETGEFWGFFGGFAPLPRKTTSDEDKTDVSFPTKLFHVEKGQAEPVEADSLTRELLDTNKCYILDCGIEVFVWMGRNTSLDERKSASGAAEELVRAAERPNSRIARVIEGFETVMFRSKFESWPQTTNVTVSEDGRGKVAALLRRQGVNVNGLLKTAPVKEEPQPYIDVTGNLQVWSVNDQEKILIPAANQSKFYSGGCYIFQYSYPGEDREEYLIGTWFGKKSVEEERASAISLASKMVESLKFLPAQARIFEGNEPIQFFSIFQSFIVFKGGHSSGYKKYIAENELPDETCKEDGVALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHNDSSVFTWSGNLTTSEDQELIERQLDLIKPNMQSKPQKEGSESEQFWDLLGGKSEYPSQKLAREAESDPHLFSCIFLKGNLKVSEIYNFTQDDLMTEDIFILDTHSEIFVWVGQQVDSKSKLQALSIGEKFLEHDFLLKKSSGETPIYIVMEGSEPPFFTRFFTWDSAKSSMHGNSFQRKLAIVKNGGTPLLDKPKRRTAVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKVYPKSVSPDSAKLASKSAAIAALTASFEQPPPARQVIMPRSVKVSPETPKSTPESNSKEKPISIRIESLTIQEDVKEGEAEDEEGLPIYPYEGLKVNSPDPVTEIDVTKRETYLSAAEFREKFGMAKDAFYKLPKWKQNKLKMALQLF >Potri.018G089700.4.v4.1 pep chromosome:Pop_tri_v4:18:10950371:10962628:1 gene:Potri.018G089700.v4.1 transcript:Potri.018G089700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089700.v4.1 MAVSMRDLDSAFQGAGQKAGLEIWRIENFRPVPVPKSSHGKFFTGDSYVILQTTALKSGSLRHDIHYWLGKDTSQDEAGAAAIKTVELDAALGGRAVQYREVQGHETEKFLSYFKPCIIPQEGGVASGFKQAEAMEHQTHLFVCRGKHVVHVNEVPFARSSLNHDDIFILDTKSKIFQFNGSNSSIQERAKALEVVQYIKDTYHDGKCEVAAVEDGKLMADAETGEFWGFFGGFAPLPRKTTSDEDKTDVSFPTKLFHVEKGQAEPVEADSLTRELLDTNKCYILDCGIEVFVWMGRNTSLDERKSASGAAEELVRAAERPNSRIARVIEGFETVMFRSKFESWPQTTNVTVSEDGRGKVAALLRRQGVNVNGLLKTAPVKEEPQPYIDVTGNLQVWSVNDQEKILIPAANQSKFYSGGCYIFQYSYPGEDREEYLIGTWFGKKSVEEERASAISLASKMVESLKFLPAQARIFEGNEPIQFFSIFQSFIVFKGGHSSGYKKYIAENELPDETCKEDGVALFRVQGSGPDNMQAIQVEPVASSLNSSYCYILHNDSSVFTWSGNLTTSEDQELIERQLDLIKPNMQSKPQKEGSESEQFWDLLGGKSEYPSQKLAREAESDPHLFSCIFLKGNLKVSEIYNFTQDDLMTEDIFILDTHSEIFVWVGQQVDSKSKLQALSIGEKFLEHDFLLKKSSGETPIYIVMEGSEPPFFTRFFTWDSAKSSMHGNSFQRKLAIVKNGGTPLLDKPKRRTAVSYGGRSSVPDKSQRSRSMSFSPDRVRVRGRSPAFNALAANFENPNARNLSTPPPVVRKVYPKSVSPDSAKLASKSAAIAALTASFEQPPPARQVIMPRSVKVSPETPKSTPESNSKEKPISIRIESLTIQEDVKEGEAEDEEGLPIYPYEGLKVNSPDPVTEIDVTKRETYLSAAEFREKFGMAKDAFYKLPKWKQNKLKMALQLF >Potri.004G071800.1.v4.1 pep chromosome:Pop_tri_v4:4:6041362:6044246:1 gene:Potri.004G071800.v4.1 transcript:Potri.004G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G071800.v4.1 MTSKTATKILSRTELPCLQETCSHLISFCNSKSLRQGVCIHSPIIKLGFQDHLYLNNNLLSLYSKCFTLDYARQFFDEMPSRDVVSWTGILSAYVKHEKHEEALGMFQEMMGSGPCPNEFTLSSVLRACSALGEFSDGKCIHGCVIKHGFESNQILGSVLIDLYSKYGSIEEACRLFSCVENGDVVSWTTMISSLVQAGKWSQALRIYIDMIKAGVYPNEFTFVKVLAAAGFLGLKHGKVVHAHLIVFGVELNLVVKTALVHMYSKCQRMDDAVRISKLTPESDRFLWTAILSGLAQNLKLREAVVAFQEMEASGILSNNFTYLSILNACSLILSLDLGRQIHARVIMAGLEDDVPVGNALVDMYMKCSHEVKDGLRVFKGIESPDVISWTSLIAGLSEHGFHQGSFDSYMEMTASGLQPNSVTLSIILRSCRAAKSASQVLKLHGHVIKTNADHDIVVSNALVDAYAGNERVDDAWHLIRNMSQRDALTYTGLATRLNQMGHHEMALHIINHMFNDDIKMDGYSMAGFLSASAGLNSVETGMQLHSYSVKSGLGSSISVSNGLVSFYGKCGLTRDAERAFAEIREPDIVSWNGLISVLASYGHISSALSAFDDMRLTGVKPDSVTFLLVLFTCTHCGLVDMGLEYFNSMKEMHGIEPQLDHYVCLFDLLGRAGRLEEAMEILETMPIRPNASIYKTLLAACKVHRIVPLGEDIASRGLKLDPSDPAFNLMLANLYDSSGRPDLAATIRRSVRDKGSSLPQRSWSSHPELV >Potri.013G104350.1.v4.1 pep chromosome:Pop_tri_v4:13:11330667:11331006:-1 gene:Potri.013G104350.v4.1 transcript:Potri.013G104350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104350.v4.1 MAVTDIQAMFAGRDYFRGLEGILYKTTERNYFKSRTSRMEYVSDWTKHRKSSAFTSQVICGATFFMPWSLKIPIGDGFHEFL >Potri.011G010500.1.v4.1 pep chromosome:Pop_tri_v4:11:975148:981201:1 gene:Potri.011G010500.v4.1 transcript:Potri.011G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010500.v4.1 MALKHFELLLFVFVVKVLIFGFPVLVQCTTDANDVQALQSMYSSLNSPSQLTSWKSIGGDPCGESWKGITCEGSAVVSVQISGLGLDGTMGYMLSNLMSLRTFDLSDNNIHDPLPYQLPPNLTSLNLAINNFSGNLPYSISTMVSLSYLNVSRNSLSQSIGDVFHNLSLLSTMDVSFNNLSGDIPSSFSSLSNLSTLNVQNNQLTGSLNALTGLPLTTLNVANNNLSGWIPQELSSIPNFIYNGNSFDNGPAPPPPPYTSPPSGKSHRNRTHPGSGAPRTSSSDGQPSESDKGISVGAIVGVALGSVVLVLIALLALVFCIKKHQGKEIDPLASRGSRPADTYYTGAEMQEPRVKNMAAVTDLKPPPAEKLVAERLLGNSGSIKRMKSPITATSYSVASLQTATNSFSQEFIIGEGSLGRVYRGDFPHGKIMAVKKIDNAALSLQEEDNFLEAVSNMSHLRHPNIVSLVGYCVEHGQRLLVYEFIANGSLHDILHFADDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNFKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPALNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRPSDDSGFAYKTPDHEAIDSAF >Potri.001G156700.4.v4.1 pep chromosome:Pop_tri_v4:1:13173021:13176162:-1 gene:Potri.001G156700.v4.1 transcript:Potri.001G156700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156700.v4.1 MESGLYSSFKSSSLLDYLEADQEMKPASSLPTIPQPKTPREPMEFLSRSWSLSASEISKALAQKQKQFFTEKNSDTFAEIIVAPQASGKVVNSNSPRTGSLGKWFHHKEFSSRAVKKKDKARTENAHMHSAVSIAGLAAALAAVTAAGNSSGSSSKMNMALASATELLASHCIELAESAGADHDRMASVVRSAVDIQSPGDLMTLTAAAATALRGEATLKARLPKEARRNAAISPYDRGVANTPYWTSLNGPLEERGPPCVGELLQHTKKGALRWKHVTVYINKKSQVLIKIKSKHVGGALSKKHKGVVYGVCDETTAWRYIKERVSTEEVYFGIKTAQGLHEFECKSKVHKQRWVDDIKNLLQQVSYVEVTDRSLKCLSINDGA >Potri.001G156700.6.v4.1 pep chromosome:Pop_tri_v4:1:13173400:13176115:-1 gene:Potri.001G156700.v4.1 transcript:Potri.001G156700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156700.v4.1 MESGLYSSFKSSSLLDYLEADQEMKPASSLPTIPQPKTPREPMEFLSRSWSLSASEISKALAQKQKQFFTEKNSDTFAEIIVAPQASGKVVNSNSPRTGSLGKWFHHKEFSSRAVKKKDKARTENAHMHSAVSIAGLAAALAAVTAAGNSSGSSSKMNMALASATELLASHCIELAESAGADHDRMASVVRSAVDIQSPGDLMTLTAAAATALRGEATLKARLPKEARRNAAISPYDRGVANTPYWTSLNGPLEERGPPCVGELLQHTKKGALRWKHVTVYINKKSQVLIKIKSKHVGGALSKKHKGVVYGVCDETTAWRYIKERVSTEEVYFGIKTAQGLHEFECKSKVHKQRWVDDIKNLLQQVSYVEVTDRSLKCLSINDGA >Potri.010G247500.9.v4.1 pep chromosome:Pop_tri_v4:10:22179259:22183643:-1 gene:Potri.010G247500.v4.1 transcript:Potri.010G247500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247500.v4.1 MGIFEEMGFCNNLDFFSAPPGEMDAVPEREPGATIEEDYSDEEMDVDELERRMWRDRMLLRRLKEQGKNTEVVDHAKHRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAISKYQADHSIPGKSEDCGPAASTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTANEEWWPQLGLPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEETLSRKLYPDSCPPVSAGGSGSCVISDSSDYDVEGVDDEPNVEVEDCKRLDVSLFNMATAAGPSDRFMMPPAAPQIKGELVETSMDFIQKRKQPAGEPHMLVDQKVYRCEHPQCPYNDSGLGFLDITARNNHQMNCPYRTNTSQGLGLSNFQINNDKPAVFSLPFPQTKAAAPNQTPSFNVSGLRLSEDGQKTISDLMSFYDTNLQRDKNINPGSANVIGDQNQQQLQEQKFQFQLNPRNVNFMGDQNPQQQQKFQFQLDHRNANIIGGQNQQQQQKFQFQLDDSFYGQGAMVGNNITEATSMPVNNPVFSSTENQFDHCKAFDSAFDTNVNDNITDFRFGSPFPSPPVDYSMDLIQKQDVGMWYV >Potri.010G247500.2.v4.1 pep chromosome:Pop_tri_v4:10:22179211:22183695:-1 gene:Potri.010G247500.v4.1 transcript:Potri.010G247500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247500.v4.1 MGIFEEMGFCNNLDFFSAPPGEMDAVPEREPGATIEEDYSDEEMDVDELERRMWRDRMLLRRLKEQGKNTEVVDHAKHRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAISKYQADHSIPGKSEDCGPAASTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTANEEWWPQLGLPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHISPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEETLSRKLYPDSCPPVSAGGSGSCVISDSSDYDVEGVDDEPNVEVEDCKRLDVSLFNMATAAGPSDRFMMPPAAPQIKGELVETSMDFIQKRKQPAGEPHMLVDQKVYRCEHPQCPYNDSGLGFLDITARNNHQMNCPYRTNTSQGLGLSNFQINNDKPAVFSLPFPQTKAAAPNQTPSFNVSGLRLSEDGQKTISDLMSFYDTNLQRDKNINPGSANVIGDQNQQQLQEQKFQFQLNPRNVNFMGDQNPQQQQKFQFQLDHRNANIIGGQNQQQQQKFQFQLDDSFYGQGAMVGNNITEATSMPVNNPVFSSTENQFDHCKAFDSAFDTNVNDNITDFRFGSPFPSPPVDYSMDLIQKQDVGMWYV >Potri.015G015650.1.v4.1 pep chromosome:Pop_tri_v4:15:1043112:1043766:1 gene:Potri.015G015650.v4.1 transcript:Potri.015G015650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G015650.v4.1 MARFSKALRKTDIKKRLSVPTGFLSSLPSFNGGGHAVDFQAVDGSGRVWAFRCSIRKKGHPKPVISKGWLAFVASKNLKVGDKVTFLREKNEAGAKTHAYEIRAEKEIRIFGAVFGYATII >Potri.003G012451.1.v4.1 pep chromosome:Pop_tri_v4:3:1451196:1452417:-1 gene:Potri.003G012451.v4.1 transcript:Potri.003G012451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012451.v4.1 MREWPREELCGGRLVLWFCFWPREGRCGWRLRVRVALWSGLKKEDEIAKGRKWQLRGIWFSGFKGRGADFCVKEIGKAKGGGASAPEIKKIRFRFFYGLGFSFFSKFAST >Potri.006G104700.3.v4.1 pep chromosome:Pop_tri_v4:6:8059734:8063207:-1 gene:Potri.006G104700.v4.1 transcript:Potri.006G104700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104700.v4.1 MSTFTNRDFDDDYDYDYDDFDDEDLLSLLSEQRRELMAAESLETDLDFAFRLQLQEAINASIPLLPSTSASTSASTSASTSASASTSTASPPIGKVEITAPLSTLQFEEISKLEQKLKDRRQSELESRRIRKDLDRRIHDQKVAREILEIPEEEWLDWGDDFVKEEEDDDDSESVVFKLYVKGLVSEEKGNKVFGGIGIAICDPMNNLVFEISKPLIGNGRSKNAAEAKALIEGLNAALALDLKRIAVYCHCCPLYNFVTGRWSAKQRKVAVLINQVALLRRKFVYCNPVLVPRNDIEYAFRFAREAIISQVTMQPAESTHKRVAIIEACVICLEDTDVEHIFSVDECQHRYCFSCMKQHVEVKLLHGMMPKCPHEGCDSLLNVESCRKFLTPKLIEMMCLRIKEASIPVSEKIYCPYPKCSALMSKTDVLEYAKSAVAAGLQCVGARKCSKCHGLFCINCKVPWHNNMTCSSYKRMNPNNPAEDFKLKSLATRNLWRQCVKCNHMIELAEGCYHMTCRCGFEFCYNCGAEWKDKKATCSCPLWDEDNILYAENDSDVDEDDFDEDEDEDDYYDSESDGYFI >Potri.006G104700.4.v4.1 pep chromosome:Pop_tri_v4:6:8059494:8063137:-1 gene:Potri.006G104700.v4.1 transcript:Potri.006G104700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104700.v4.1 MSTFTNRDFDDDYDYDYDDFDDEDLLSLLSEQRRELMAAESLETDLDFAFRLQLQEAINASIPLLPSTSASTSASTSASTSASASTSTASPPIGKVEITAPLSTLQFEEISKLEQKLKDRRQSELESRRIRKDLDRRIHDQKVAREILEIPEEEWLDWGDDFVKEEEDDDDSESVVFKLYVKGLVSEEKGNKVFGGIGIAICDPMNNLVFEISKPLIGNGRSKNAAEAKALIEGLNAALALDLKRIAVYCHCCPLYNFVTGRWSAKQRKVAVLINQVALLRRKFVYCNPVLVPRNDIEYAFRFAREAIISQVTMQPAESTHKRVAIIEACVICLEDTDVEHIFSVDECQHRYCFSCMKQHVEVKLLHGMMPKCPHEGCDSLLNVESCRKFLTPKLIEMMCLRIKEASIPVSEKIYCPYPKCSALMSKTDVLEYAKSAVAAGLQCVGARKCSKCHGLFCINCKVPWHNNMTCSSYKRMNPNNPAEDFKLKSLATRNLWRQCVKCNHMIELAEGCYHMTCRYILILLSN >Potri.004G040700.7.v4.1 pep chromosome:Pop_tri_v4:4:3251749:3255657:-1 gene:Potri.004G040700.v4.1 transcript:Potri.004G040700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040700.v4.1 MAIQSYLLLSLLLVFGSCFNSLAATQGDTASFNRKSFPQDFVFGVASSAYQYEGAAFEDGKGPSIWDEYTHKFPSKISNGSNGDVALDSYHRYKEDVQIIKKMGFDFYRFSISWPRILPKGKKCGGVNQKGINYYNNLINELLANGIKPFVTLFHWDLPQALEDEYGGFLSDRIVNDYQDYAKICFENFGDRVKHWITLNEPTMFTMQGYIAGMFPPGRCSAWIGKNCTGGDSGAEPYMVSHNQILAHAAAVKLYRTRFQAKQKGKIGITLQTNWFVPLSNAKEDLEAVSRALDFNLGWFMSPLTSGEYPSSMRSLVGERLPKFSKKQAGSIKGSFDFIGLNYYSANYVAHKSQSNDTHRSYETDSRVASFFERDGIPIGPQAGSFWLLFYPSGLHDLLVYIKKAYNDPVIYITENGVDETDNPRLPLKEALIDNQRIDYFHQHLSFVQKAIKDGVKVKGYFAWSLMDGFEWVVGYTSRFGLNYIDHKDGLKRHPKLSAQWFTKFLKK >Potri.016G009200.1.v4.1 pep chromosome:Pop_tri_v4:16:424723:425408:1 gene:Potri.016G009200.v4.1 transcript:Potri.016G009200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009200.v4.1 MGNENNDPVVIQSSIALLQERFRQLQRVKAMREEKELSKVLVESPRQLSPSMQYEPAASRLFFHPELILQPRSVPPHICLSLRPNPQSKQAGFWCELDTPALMSSSPTKTLSSHGLLDKFDDSATDDDDIDTSLHL >Potri.001G426980.1.v4.1 pep chromosome:Pop_tri_v4:1:45539852:45548804:-1 gene:Potri.001G426980.v4.1 transcript:Potri.001G426980.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426980.v4.1 MDGLCSSCLLEARLVGCYTINSSIGDFTEIHVFELWCCRYVFDWWEVIPTVPLAMGYKLASHCNSLIYHRRFRLIYQLLLLPRRLQSKEHQLMTGTKLKPPSRNGSVGYDNLLPEFGSSNSATGV >Potri.015G088400.1.v4.1 pep chromosome:Pop_tri_v4:15:11192538:11193657:1 gene:Potri.015G088400.v4.1 transcript:Potri.015G088400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088400.v4.1 MNTTINPKLPFIIKPFLLSLLLSLTVLIFIALQTPHHRKTTPQQPNNISSKSGGGLRIRPGYSSYDEYIQRQLNKTLNPKLRQIWTTRDWERKVRVFAQFFESLKRRNLLLNSSKALSIGARVGQEVSALKSIGVNDSIGIDLVPYPPLVVKGDFHAQPFMNDTFDFEFSNVFDHALYPWKFVGEIERTLKPGGVCVVHVALSRRADKYSANDLYSVGPLVELFKDSQLVEVRKVDGFGLDTEVVFRKNKRRNI >Potri.001G434000.16.v4.1 pep chromosome:Pop_tri_v4:1:45940984:45953759:-1 gene:Potri.001G434000.v4.1 transcript:Potri.001G434000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434000.v4.1 MALESAGGSIISKIAELMVEPVGRQFRYMFCFNTFVEEFKERKENLALALDGLQDDVEAAERNAKEIYEDVKQWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRELGESSEKFKTVAHKAHPQPIEFLPSKEFTPLKSSEEAFEQIMEALKDDKVNMIGLCGMGGVGKTTLAKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLGLDIKEKSREGRADRLRHILKEVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQKVLLRVLTEDEALVLFRIKAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSEVEWEVAFRQLKNSQFLDMEHIDEQRTAYACLKLSYDYLKSKETKLCFLICCLFPEDYNIPIEDLTRYAVGYLIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDVAIRIASSKEYGFMVKAGIGLKEWPMSNKSFEGCTTISLMGNKLAELPEGLVCPKLEVLLLELDDGLNVPQRFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLMLITCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGKDSFQGWDVVGTSTGGMNASLKELNSLSHLAVLSLRIPKVECIPRDFVFPVRLRKYDIILGYGFVAGRYPTSTRLNLAGTSLNAKTFGQLFLHKLEFVKVRDCGDIFTLFPAKLLQVLKNLKEVIVHGCKSVEEVFELGEADEGSSEQMELPFLSSLTTLQLSCLSELKCIWKGPTRNVSLQNLNFLAVTFLNKLTFIFTAFLAQSLSKLESLCITDCRELKHIIREEDGERKIIPKSPYFPKLKTIIIEECGKLEYVFSVSVSLTLQSLPQLQTLEIRDCGELKHIIKEEDGEKEIIPESPCFPQLKTLRISYCGKLEYFFPVSMSLTLPNLEQMTIYDGDNLKQIFYSGEGDALPRDDIIKFPRLRELSLRLRSNYSFLSPRNFDAQLPLQELTIEGHEEVGNWLAQLQQNGFLQRLEFIQVDDCGDVRTPFPAKLLRALKNLRRVNIYNCKSLEEVFELGELPDEGSSEEKELLSSLTGLYLKRLPELKCIWKGPTRHVSLRSLAHLYLDSLNKLTFIFKASLAQNLSKLERLYISKCRELKHIIREEDGEKEIIQESPCFPKLKTIIIEECGKLEYVFPVSVSPSLLNLEEMRILNAHNLKQIFYSVEGDALTRDAIIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLEGLTNLETLRLGSLLVPDIRCIWMGLVLSKLTTLNVVECKRLTHVFTRSMIFSLVPLKVLKILSCEELEQIIAKDDDENDQILLGDHLQSLCFPNLCEIEIRECNKLKSLFPVAMASGLPNLQILRVKKASQLLGVFGQDDQASLVNVEKEMMLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVYQCPKLTTKFATTPDGSMSAQSKVSEVAEDSSINREWTRDNGWEEEEDGDDDDDW >Potri.001G434000.11.v4.1 pep chromosome:Pop_tri_v4:1:45940984:45954174:-1 gene:Potri.001G434000.v4.1 transcript:Potri.001G434000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434000.v4.1 MALESAGGSIISKIAELMVEPVGRQFRYMFCFNTFVEEFKERKENLALALDGLQDDVEAAERNAKEIYEDVKQWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRELGESSEKFKTVAHKAHPQPIEFLPSKEFTPLKSSEEAFEQIMEALKDDKVNMIGLCGMGGVGKTTLAKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLGLDIKEKSREGRADRLRHILKEVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQKVLLRVLTEDEALVLFRIKAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSEVEWEVAFRQLKNSQFLDMEHIDEQRTAYACLKLSYDYLKSKETKLCFLICCLFPEDYNIPIEDLTRYAVGYLIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDVAIRIASSKEYGFMVKAGIGLKEWPMSNKSFEGCTTISLMGNKLAELPEGLVCPKLEVLLLELDDGLNVPQRFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLMLITCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGKDSFQGWDVVGTSTGGMNASLKELNSLSHLAVLSLRIPKVECIPRDFVFPVRLRKYDIILGYGFVAGRYPTSTRLNLAGTSLNAKTFGQLFLHKLEFVKVRDCGDIFTLFPAKLLQVLKNLKEVIVHGCKSVEEVFELGEADEGSSEQMELPFLSSLTTLQLSCLSELKCIWKGPTRNVSLQNLNFLAVTFLNKLTFIFTAFLAQSLSKLESLCITDCRELKHIIREEDGERKIIPKSPYFPKLKTIIIEECGKLEYVFSVSVSLTLQSLPQLQTLEIRDCGELKHIIKEEDGEKEIIPESPCFPQLKTLRISYCGKLEYFFPVSMSLTLPNLEQMTIYDGDNLKQIFYSGEGDALPRDDIIKFPRLRELSLRLRSNYSFLSPRNFDAQLPLQELTIEGHEEVGNWLAQLQQNGFLQRLEFIQVDDCGDVRTPFPAKLLRALKNLRRVNIYNCKSLEEVFELGELPDEGSSEEKELLSSLTGLYLKRLPELKCIWKGPTRHVSLRSLAHLYLDSLNKLTFIFKASLAQNLSKLERLYISKCRELKHIIREEDGEKEIIQESPCFPKLKTIIIEECGKLEYVFPVSVSPSLLNLEEMRILNAHNLKQIFYSVEGDALTRDAIIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLEGLTNLETLRLGSLLVPDIRCIWMGLVLSKLTTLNVVECKRLTHVFTRSMIFSLVPLKVLKILSCEELEQIIAKDDDENDQILLGDHLQSLCFPNLCEIEIRECNKLKSLFPVAMASGLPNLQILRVKKASQLLGVFGQDDQASLVNVEKEMMLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVYQCPKLTTKFATTPDGSMSAQSKVSEVAEDSSINREWTRDNGWEEEEDGDDDDDW >Potri.001G434000.6.v4.1 pep chromosome:Pop_tri_v4:1:45940984:45954174:-1 gene:Potri.001G434000.v4.1 transcript:Potri.001G434000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434000.v4.1 MALESAGGSIISKIAELMVEPVGRQFRYMFCFNTFVEEFKERKENLALALDGLQDDVEAAERNAKEIYEDVKQWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRELGESSEKFKTVAHKAHPQPIEFLPSKEFTPLKSSEEAFEQIMEALKDDKVNMIGLCGMGGVGKTTLAKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLGLDIKEKSREGRADRLRHILKEVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQKVLLRVLTEDEALVLFRIKAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSEVEWEVAFRQLKNSQFLDMEHIDEQRTAYACLKLSYDYLKSKETKLCFLICCLFPEDYNIPIEDLTRYAVGYLIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDVAIRIASSKEYGFMVKAGIGLKEWPMSNKSFEGCTTISLMGNKLAELPEGLVCPKLEVLLLELDDGLNVPQRFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLMLITCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGKDSFQGWDVVGTSTGGMNASLKELNSLSHLAVLSLRIPKVECIPRDFVFPVRLRKYDIILGYGFVAGRYPTSTRLNLAGTSLNAKTFGQLFLHKLEFVKVRDCGDIFTLFPAKLLQVLKNLKEVIVHGCKSVEEVFELGEADEGSSEQMELPFLSSLTTLQLSCLSELKCIWKGPTRNVSLQNLNFLAVTFLNKLTFIFTAFLAQSLSKLESLCITDCRELKHIIREEDGERKIIPKSPYFPKLKTIIIEECGKLEYVFSVSVSLTLQSLPQLQTLEIRDCGELKHIIKEEDGEKEIIPESPCFPQLKTLRISYCGKLEYFFPVSMSLTLPNLEQMTIYDGDNLKQIFYSGEGDALPRDDIIKFPRLRELSLRLRSNYSFLSPRNFDAQLPLQELTIEGHEEVGNWLAQLQQNGFLQRLEFIQVDDCGDVRTPFPAKLLRALKNLRRVNIYNCKSLEEVFELGELPDEGSSEEKELLSSLTGLYLKRLPELKCIWKGPTRHVSLRSLAHLYLDSLNKLTFIFKASLAQNLSKLERLYISKCRELKHIIREEDGEKEIIQESPCFPKLKTIIIEECGKLEYVFPVSVSPSLLNLEEMRILNAHNLKQIFYSVEGDALTRDAIIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLEGLTNLETLRLGSLLVPDIRCIWMGLVLSKLTTLNVVECKRLTHVFTRSMIFSLVPLKVLKILSCEELEQIIAKDDDENDQILLGDHLQSLCFPNLCEIEIRECNKLKSLFPVAMASGLPNLQILRVKKASQLLGVFGQDDQASLVNVEKEMMLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVYQCPKLTTKFATTPDGSMSAQSKVSEVAEDSSINREWTRDNGWEEEEDGDDDDDW >Potri.001G434000.12.v4.1 pep chromosome:Pop_tri_v4:1:45929525:45954174:-1 gene:Potri.001G434000.v4.1 transcript:Potri.001G434000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434000.v4.1 MALESAGGSIISKIAELMVEPVGRQFRYMFCFNTFVEEFKERKENLALALDGLQDDVEAAERNAKEIYEDVKQWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRELGESSEKFKTVAHKAHPQPIEFLPSKEFTPLKSSEEAFEQIMEALKDDKVNMIGLCGMGGVGKTTLAKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLGLDIKEKSREGRADRLRHILKEVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQKVLLRVLTEDEALVLFRIKAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSEVEWEVAFRQLKNSQFLDMEHIDEQRTAYACLKLSYDYLKSKETKLCFLICCLFPEDYNIPIEDLTRYAVGYLIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDVAIRIASSKEYGFMVKAGIGLKEWPMSNKSFEGCTTISLMGNKLAELPEGLVCPKLEVLLLELDDGLNVPQRFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLMLITCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGKDSFQGWDVVGTSTGGMNASLKELNSLSHLAVLSLRIPKVECIPRDFVFPVRLRKYDIILGYGFVAGRYPTSTRLNLAGTSLNAKTFGQLFLHKLEFVKVRDCGDIFTLFPAKLLQVLKNLKEVIVHGCKSVEEVFELGEADEGSSEQMELPFLSSLTTLQLSCLSELKCIWKGPTRNVSLQNLNFLAVTFLNKLTFIFTAFLAQSLSKLESLCITDCRELKHIIREEDGERKIIPKSPYFPKLKTIIIEECGKLEYVFSVSVSLTLQSLPQLQTLEIRDCGELKHIIKEEDGEKEIIPESPCFPQLKTLRISYCGKLEYFFPVSMSLTLPNLEQMTIYDGDNLKQIFYSGEGDALPRDDIIKFPRLRELSLRLRSNYSFLSPRNFDAQLPLQELTIEGHEEVGNWLAQLQQNGFLQRLEFIQVDDCGDVRTPFPAKLLRALKNLRRVNIYNCKSLEEVFELGELPDEGSSEEKELLSSLTGLYLKRLPELKCIWKGPTRHVSLRSLAHLYLDSLNKLTFIFKASLAQNLSKLERLYISKCRELKHIIREEDGEKEIIQESPCFPKLKTIIIEECGKLEYVFPVSVSPSLLNLEEMRILNAHNLKQIFYSVEGDALTRDAIIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLEGLTNLETLRLGSLLVPDIRCIWMGLVLSKLTTLNVVECKRLTHVFTRSMIFSLVPLKVLKILSCEELEQIIAKDDDENDQILLGDHLQSLCFPNLCEIEIRECNKLKSLFPVAMASGLPNLQILRVKKASQLLGVFGQDDQASLVNVEKEMMLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVYQCPKLTTKFATTPDGSMSAQSKVSEVAEDSSINREWTRDNGWEEEEDGDDDDDW >Potri.001G434000.15.v4.1 pep chromosome:Pop_tri_v4:1:45929527:45954174:-1 gene:Potri.001G434000.v4.1 transcript:Potri.001G434000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434000.v4.1 MALESAGGSIISKIAELMVEPVGRQFRYMFCFNTFVEEFKERKENLALALDGLQDDVEAAERNAKEIYEDVKQWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRELGESSEKFKTVAHKAHPQPIEFLPSKEFTPLKSSEEAFEQIMEALKDDKVNMIGLCGMGGVGKTTLAKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLGLDIKEKSREGRADRLRHILKEVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQKVLLRVLTEDEALVLFRIKAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSEVEWEVAFRQLKNSQFLDMEHIDEQRTAYACLKLSYDYLKSKETKLCFLICCLFPEDYNIPIEDLTRYAVGYLIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDVAIRIASSKEYGFMVKAGIGLKEWPMSNKSFEGCTTISLMGNKLAELPEGLVCPKLEVLLLELDDGLNVPQRFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLMLITCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGKDSFQGWDVVGTSTGGMNASLKELNSLSHLAVLSLRIPKVECIPRDFVFPVRLRKYDIILGYGFVAGRYPTSTRLNLAGTSLNAKTFGQLFLHKLEFVKVRDCGDIFTLFPAKLLQVLKNLKEVIVHGCKSVEEVFELGEADEGSSEQMELPFLSSLTTLQLSCLSELKCIWKGPTRNVSLQNLNFLAVTFLNKLTFIFTAFLAQSLSKLESLCITDCRELKHIIREEDGERKIIPKSPYFPKLKTIIIEECGKLEYVFSVSVSLTLQSLPQLQTLEIRDCGELKHIIKEEDGEKEIIPESPCFPQLKTLRISYCGKLEYFFPVSMSLTLPNLEQMTIYDGDNLKQIFYSGEGDALPRDDIIKFPRLRELSLRLRSNYSFLSPRNFDAQLPLQELTIEGHEEVGNWLAQLQQNGFLQRLEFIQVDDCGDVRTPFPAKLLRALKNLRRVNIYNCKSLEEVFELGELPDEGSSEEKELLSSLTGLYLKRLPELKCIWKGPTRHVSLRSLAHLYLDSLNKLTFIFKASLAQNLSKLERLYISKCRELKHIIREEDGEKEIIQESPCFPKLKTIIIEECGKLEYVFPVSVSPSLLNLEEMRILNAHNLKQIFYSVEGDALTRDAIIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLEGLTNLETLRLGSLLVPDIRCIWMGLVLSKLTTLNVVECKRLTHVFTRSMIFSLVPLKVLKILSCEELEQIIAKDDDENDQILLGDHLQSLCFPNLCEIEIRECNKLKSLFPVAMASGLPNLQILRVKKASQLLGVFGQDDQASLVNVEKEMMLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVYQCPKLTTKFATTPDGSMSAQSKVSEVAEDSSINREWTRDNGWEEEEDGDDDDDW >Potri.001G434000.13.v4.1 pep chromosome:Pop_tri_v4:1:45929525:45954174:-1 gene:Potri.001G434000.v4.1 transcript:Potri.001G434000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434000.v4.1 MALESAGGSIISKIAELMVEPVGRQFRYMFCFNTFVEEFKERKENLALALDGLQDDVEAAERNAKEIYEDVKQWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRELGESSEKFKTVAHKAHPQPIEFLPSKEFTPLKSSEEAFEQIMEALKDDKVNMIGLCGMGGVGKTTLAKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLGLDIKEKSREGRADRLRHILKEVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQKVLLRVLTEDEALVLFRIKAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSEVEWEVAFRQLKNSQFLDMEHIDEQRTAYACLKLSYDYLKSKETKLCFLICCLFPEDYNIPIEDLTRYAVGYLIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDVAIRIASSKEYGFMVKAGIGLKEWPMSNKSFEGCTTISLMGNKLAELPEGLVCPKLEVLLLELDDGLNVPQRFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLMLITCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGKDSFQGWDVVGTSTGGMNASLKELNSLSHLAVLSLRIPKVECIPRDFVFPVRLRKYDIILGYGFVAGRYPTSTRLNLAGTSLNAKTFGQLFLHKLEFVKVRDCGDIFTLFPAKLLQVLKNLKEVIVHGCKSVEEVFELGEADEGSSEQMELPFLSSLTTLQLSCLSELKCIWKGPTRNVSLQNLNFLAVTFLNKLTFIFTAFLAQSLSKLESLCITDCRELKHIIREEDGERKIIPKSPYFPKLKTIIIEECGKLEYVFSVSVSLTLQSLPQLQTLEIRDCGELKHIIKEEDGEKEIIPESPCFPQLKTLRISYCGKLEYFFPVSMSLTLPNLEQMTIYDGDNLKQIFYSGEGDALPRDDIIKFPRLRELSLRLRSNYSFLSPRNFDAQLPLQELTIEGHEEVGNWLAQLQQNGFLQRLEFIQVDDCGDVRTPFPAKLLRALKNLRRVNIYNCKSLEEVFELGELPDEGSSEEKELLSSLTGLYLKRLPELKCIWKGPTRHVSLRSLAHLYLDSLNKLTFIFKASLAQNLSKLERLYISKCRELKHIIREEDGEKEIIQESPCFPKLKTIIIEECGKLEYVFPVSVSPSLLNLEEMRILNAHNLKQIFYSVEGDALTRDAIIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLEGLTNLETLRLGSLLVPDIRCIWMGLVLSKLTTLNVVECKRLTHVFTRSMIFSLVPLKVLKILSCEELEQIIAKDDDENDQILLGDHLQSLCFPNLCEIEIRECNKLKSLFPVAMASGLPNLQILRVKKASQLLGVFGQDDQASLVNVEKEMMLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVYQCPKLTTKFATTPDGSMSAQSKVSEVAEDSSINREWTRDNGWEEEEDGDDDDDW >Potri.001G434000.14.v4.1 pep chromosome:Pop_tri_v4:1:45929527:45954174:-1 gene:Potri.001G434000.v4.1 transcript:Potri.001G434000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434000.v4.1 MALESAGGSIISKIAELMVEPVGRQFRYMFCFNTFVEEFKERKENLALALDGLQDDVEAAERNAKEIYEDVKQWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRELGESSEKFKTVAHKAHPQPIEFLPSKEFTPLKSSEEAFEQIMEALKDDKVNMIGLCGMGGVGKTTLAKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLGLDIKEKSREGRADRLRHILKEVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQKVLLRVLTEDEALVLFRIKAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSEVEWEVAFRQLKNSQFLDMEHIDEQRTAYACLKLSYDYLKSKETKLCFLICCLFPEDYNIPIEDLTRYAVGYLIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDVAIRIASSKEYGFMVKAGIGLKEWPMSNKSFEGCTTISLMGNKLAELPEGLVCPKLEVLLLELDDGLNVPQRFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLMLITCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGKDSFQGWDVVGTSTGGMNASLKELNSLSHLAVLSLRIPKVECIPRDFVFPVRLRKYDIILGYGFVAGRYPTSTRLNLAGTSLNAKTFGQLFLHKLEFVKVRDCGDIFTLFPAKLLQVLKNLKEVIVHGCKSVEEVFELGEADEGSSEQMELPFLSSLTTLQLSCLSELKCIWKGPTRNVSLQNLNFLAVTFLNKLTFIFTAFLAQSLSKLESLCITDCRELKHIIREEDGERKIIPKSPYFPKLKTIIIEECGKLEYVFSVSVSLTLQSLPQLQTLEIRDCGELKHIIKEEDGEKEIIPESPCFPQLKTLRISYCGKLEYFFPVSMSLTLPNLEQMTIYDGDNLKQIFYSGEGDALPRDDIIKFPRLRELSLRLRSNYSFLSPRNFDAQLPLQELTIEGHEEVGNWLAQLQQNGFLQRLEFIQVDDCGDVRTPFPAKLLRALKNLRRVNIYNCKSLEEVFELGELPDEGSSEEKELLSSLTGLYLKRLPELKCIWKGPTRHVSLRSLAHLYLDSLNKLTFIFKASLAQNLSKLERLYISKCRELKHIIREEDGEKEIIQESPCFPKLKTIIIEECGKLEYVFPVSVSPSLLNLEEMRILNAHNLKQIFYSVEGDALTRDAIIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLEGLTNLETLRLGSLLVPDIRCIWMGLVLSKLTTLNVVECKRLTHVFTRSMIFSLVPLKVLKILSCEELEQIIAKDDDENDQILLGDHLQSLCFPNLCEIEIRECNKLKSLFPVAMASGLPNLQILRVKKASQLLGVFGQDDQASLVNVEKEMMLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVYQCPKLTTKFATTPDGSMSAQSKVSEVAEDSSINREWTRDNGWEEEEDGDDDDDW >Potri.001G434000.10.v4.1 pep chromosome:Pop_tri_v4:1:45940984:45950357:-1 gene:Potri.001G434000.v4.1 transcript:Potri.001G434000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434000.v4.1 MALESAGGSIISKIAELMVEPVGRQFRYMFCFNTFVEEFKERKENLALALDGLQDDVEAAERNAKEIYEDVKQWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRELGESSEKFKTVAHKAHPQPIEFLPSKEFTPLKSSEEAFEQIMEALKDDKVNMIGLCGMGGVGKTTLAKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRMADKLGLDIKEKSREGRADRLRHILKEVEKMLIILDDVWKYIDLKEIGIPFGDDHRGCKILLTTRLQAICSSMECQQKVLLRVLTEDEALVLFRIKAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALREDYNIPIEDLTRYAVGYLIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDVAIRIASSKEYGFMVKAGIGLKEWPMSNKSFEGCTTISLMGNKLAELPEGLVCPKLEVLLLELDDGLNVPQRFFEGMKEIEVLSLKGGCLSLQSLELSTKLQSLMLITCGCKDLIWLRKLQRLKILGLMWCLSIEELPDEIGELKELRLLDVTGCRRLRRIPVNLIGRLKKLEELLIGKDSFQGWDVVGTSTGGMNASLKELNSLSHLAVLSLRIPKVECIPRDFVFPVRLRKYDIILGYGFVAGRYPTSTRLNLAGTSLNAKTFGQLFLHKLEFVKVRDCGDIFTLFPAKLLQVLKNLKEVIVHGCKSVEEVFELGEADEGSSEQMELPFLSSLTTLQLSCLSELKCIWKGPTRNVSLQNLNFLAMTIYDGDNLKQIFYSGEGDALPRDDIIKFPRLRELSLRLRSNYSFLSPRNFDAQLPLQELTIEGHEEVGNWLAQLQQNGFLQRLEFIQVDDCGDVRTPFPAKLLRALKNLRRVNIYNCKSLEEVFELGELPDEGSSEEKELLSSLTGLYLKRLPELKCIWKGPTRHVSLRSLAHLYLDSLNKLTFIFKASLAQNLSKLERLYISKCRELKHIIREEDGEKEIIQESPCFPKLKTIIIEECGKLEYVFPVSVSPSLLNLEEMRILNAHNLKQIFYSVEGDALTRDAIIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLEGLTNLETLRLGSLLVPDIRCIWMGLVLSKLTTLNVVECKRLTHVFTRSMIFSLVPLKVLKILSCEELEQIIAKDDDENDQILLGDHLQSLCFPNLCEIEIRECNKLKSLFPVAMASGLPNLQILRVKKASQLLGVFGQDDQASLVNVEKEMMLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVYQCPKLTTKFATTPDGSMSAQSKVSEVAEDSSINREWTRDNGWEEEEDGDDDDDW >Potri.010G083100.1.v4.1 pep chromosome:Pop_tri_v4:10:11061927:11063438:1 gene:Potri.010G083100.v4.1 transcript:Potri.010G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083100.v4.1 MKSSYLINLTLWSIINIMLFLSKVNSQTFYPPDFPIVSPQLMEAYIALQAWKQAITSDPNHFTANWHGPHVCNYTGVYCAPAPYDPFILTVAGIDLNHANIAGFLPEELGLLKDLALFHINSNRFFGVIPDSFIHLKFLFELDISNNRFSGPFPSVVFFLHSLKYLDVRFNEFDGKIPPQLFELKLDALFINNNRFHSALPANLGNSPVSVFVAANNDIRGCIPPSLANMAETLDEIVLSNMALTGCLRQDIGLLRGLTVLDVSFNNLAGPLPESVGAMRNLEQLNVAHNKFSGQVPSSICSLPKLENFTYSFNYFSGESLVCLRLPGNDDRRNCIPNRPFQRTPEECSNFYAHPISCGSIQCLTS >Potri.008G202800.1.v4.1 pep chromosome:Pop_tri_v4:8:14605114:14608234:1 gene:Potri.008G202800.v4.1 transcript:Potri.008G202800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202800.v4.1 MSKEEFLKIQTCVLKVNIHCEGCRQKVKKILQKIDGVFTIKIESEQGKVTVSGNVDPAVLIKKLAKSGKHAELWGAPKGNNNNQNQTANQNKNMQIDNGKGGNNNKGQKGNNQNNNHQQPKGGQPTPQQIQYLQQQLQHMKASQDMKMPPNQQQQQQQQQQKSVKFALPEDDDITDDEFDDEFDDEYDEDELDDEMDDPRHAFSRMKQIMGNGHQGPNNMMMNGIPPQLPNAQKGAPNGGANGKKGGAGPAGGGGNGAVPVQINMGGGEGKNGNGGKKGGGAGGGGGNNGSQNQGGKNGGGKPQDGKNGNNGGGAGGNKNNGGNGNNMQMNGGKKGNNGVGGALNDGFQKVGGPQVNMGQMGGMPMGQMGGMPMGQMGGIPAVQGLPAAAAMGGAAGGGANGYFQGAGPDLLPGNPYQQQQQQQHIAAMTAMMNQQRAAMGGGNERFQPMMYARPPPAVNYMPQHPQPHPYPYPYPYPHPHPHPNGNDQYTNFFSDENTSSCNVM >Potri.002G004500.1.v4.1 pep chromosome:Pop_tri_v4:2:266282:267947:1 gene:Potri.002G004500.v4.1 transcript:Potri.002G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G004500.v4.1 MSEKIVCVTGAGGYLASWLVKFLLSEGYVVHGTVRDPSDEKNAHLLKLENARENLKLFKTDLLDYEGLSTAISGCAGVFHVACPIPTDPASILNPKDKMLEAAVTGTRNVLNACSITKVKKVIAVSSIAAVMLNPNWPKDQAMNEESWSDFEFCKANEQWYFLAKTKAEKEALEYGKTNELKIVTICPSIIIGPLLQPTMNSSSLYLLSYLKDGLETLDSGTRSFVDVRDTAKALLLIYEKDEAEGRYICSSHDITTQDLAEKLKAMYPHYNYPKSFSGGMPSMDMSSEKLLNLGWKYRSLEESLVDAVKNYEKRGDLAKN >Potri.001G053450.1.v4.1 pep chromosome:Pop_tri_v4:1:4059893:4060603:1 gene:Potri.001G053450.v4.1 transcript:Potri.001G053450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053450.v4.1 MDLFHLFSSHSSLLACPILPLSLSPFIFPCNLCFGSNCEDSSLVVIPQLCPKFKCCQIDNNVITCPDCQGFCPKWDLPLIMIYDAECQSLVKFLSNLANKF >Potri.010G190600.2.v4.1 pep chromosome:Pop_tri_v4:10:18626288:18632461:-1 gene:Potri.010G190600.v4.1 transcript:Potri.010G190600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190600.v4.1 MQTLIFSNCLSLASHRLCPVPVTGISTRRSSSVSCVRPLKCISLSSDSLVVDATKFKEAAKNGNLVPLHTCIFSDQLTPVTAYRCLVKEDDRDAPSFLFESVEPGSRVSSVGRYSVVGAQPAIEIVAKEDKVSLMDHEAGTLIEEIVEDAMVVPRRISEAWKPQLIDGLPDAFCGGWVGYFSYDTVRYTEKKKLPFSRAPKDDRNLPDIHLGLYDDVIVFDHVEKKAYIIHWVKIDRYSSIEDAYSDGMKRLEKLLARVLDIDPPRLSPGSVKLHTQHFGPLLKNSNMTSDEYKQAVLRAKEHIQAGDIFQIVLSQRFERRTFADPFEIYRALRVVNPSPYMTYLQARGCILVASSPEILTRVKNNRVVNRPLAGTVRRGKTPEEDEVLEEQLLKDPKQCAEHTMLVDLGRNDVGKVSKHGSVKVERLMNVERYSHVMHISSTVTGELHDHLTCWDALRAALPVGTVSGAPKVKAMELIDQMEVSRRGPYSGGLGGVSFTGDMDIALALRTMVFPTGTQYNTMYSYKDAQLRREWIAYLQAGAGIVADSVPDDEHRECQNKAAGLARAIDLAESTFVNKP >Potri.015G107800.2.v4.1 pep chromosome:Pop_tri_v4:15:12593649:12599730:1 gene:Potri.015G107800.v4.1 transcript:Potri.015G107800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107800.v4.1 MTMLKNLPEEVFNLWNNWEIRGMVLLSLFLQTILIIFGSRRKTTRRSWIRILVWSAYLSADMVATVALGNLARSQGDSSGDSSEKANSSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVGVAFYVFSKSWPSGILAFIAIPMFIVGIVKYAERTWVLWSSCSKSLKNSSIYDFSGSYNRSRISKTPPQDLQENCLLQAYVFSYISKLVMQDLVPDIPSLIRSRELISKNEADGAFKVVEVELGLIYDMLYTKAPLIYSRAGIILRCISSLLSVTAFITFQVMIDKHDYSTADIAVTYLLFAAAVFLEFYAFLCLVLSDWTMIWLIDKGGNGLTSATYSQLRKLTRSERWSRSISQYNLISSSFESEPPKCLELLGIDEMMRQMHVNRKDLNVGLQGLIFGHLLKKAEKIKEDLNVCDKNVRSKIIGQRGDGVLEREGVLRDFKWCTTEVEFSRSILVWHLATEICYRADKDESNVSKEYETSRSLSEYMMYLLVMRPNMLSKGFGDEGYLETLRELRGLKDRGPGDEGYQRILRELRNSESPGWNRYDDGLFQRYWKTEKPVTRGVYVLARQLLLLESKKRWETINEVWVEMVAYAAAQCPWKEHTQQLRRGGELLTHVSLLMLHLGLSEQYEYKRLGELISYLKEEEKEEYFNARDKYMEGAAMSGSNLGVGRT >Potri.015G107800.1.v4.1 pep chromosome:Pop_tri_v4:15:12593649:12599730:1 gene:Potri.015G107800.v4.1 transcript:Potri.015G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107800.v4.1 MTMLKNLPEEVFNLWNNWEIRGMVLLSLFLQTILIIFGSRRKTTRRSWIRILVWSAYLSADMVATVALGNLARSQGDSSGDSSEKANSSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVVQVGVAFYVFSKSWPSGILAFIAIPMFIVGIVKYAERTWVLWSSCSKSLKNSSIYDFSGSYNRSRISKTPPQDLQENCLLQAYVFSYISKLVMQDLVPDIPSLIRSRELISKNEADGAFKVVEVELGLIYDMLYTKAPLIYSRAGIILRCISSLLSVTAFITFQVMIDKHDYSTADIAVTYLLFAAAVFLEFYAFLCLVLSDWTMIWLIDKGGNGLTSATYSQLRKLTRSERWSRSISQYNLISSSFESEPPKCLELLGIDEMMRQMHVNRKDLNVGLQGLIFGHLLKKAEKIKEDLNVCDKNVRSKIIGQRGDGVLEREGVLRDFKWCTTEVEFSRSILVWHLATEICYRADKDESNVSKEYETSRSLSEYMMYLLVMRPNMLSKGFGDEGYLETLRELRGLKDRGPGDEGYQRILRELRNSESPGWNRYDDGLFQRYWKTEKPVTRGVYVLARQLLLLESKKRWETINEVWVEMVAYAAAQCPWKEHTQQLRRGGELLTHVSLLMLHLGLSEQYEYKRLGELISYLKEEEKEEYFNARDKYMEGAAMSGSNLGEELEELKKIVADTKRELEQLRSSLTASTPQQGIDSFPRSLPAQTDGQGIGQPPSNNDISLSME >Potri.002G108400.1.v4.1 pep chromosome:Pop_tri_v4:2:8080636:8082184:-1 gene:Potri.002G108400.v4.1 transcript:Potri.002G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108400.v4.1 MEINGHDFLEELIALSRESWQPTPNYPSEMNELFSGSFNHGCFEEIPATLPQTSFCPEGLISSPLKQDFNNYYFNEVFCPFGDEFSAPQFTDEFSSAPQFTDSSYNTLDTPPFPVQDDTPMSMMEDEELGLLANDQQNLQMQGTCKVEPIQSPEVSAFNAGICPERKIRGKKMEGQPSKNLMAERRRRKRLNDRLSMLRSIVPKISKMDRTSILGDTIDYMKELLERINSLQQEIEVGSEELKMISIFKDTKPNEIVVRNSPKFEVERRNEDTRIDICCATKPGLLLSSVTTLETLGLEIQQCVISCFNDFTMQASCSEELEQRTLISSEHIKQALFKNAGYGGRCL >Potri.014G024801.1.v4.1 pep chromosome:Pop_tri_v4:14:1508002:1510429:1 gene:Potri.014G024801.v4.1 transcript:Potri.014G024801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024801.v4.1 MSGGGIARGRLAEERKSWRKNHPHGFVAKPDNAQDGSLDLMVWKCIIPGKPGTDWEGGFFPLTLHFSEDYPSKPPKCKFPPGFFHPNVYPSGTVCLSILNEDYGWRPAITVKQILVGIQDLLDQPNPADPAQTDGYQLFVQDPTEYRRKVRQQAKQYPPAL >Potri.003G032300.7.v4.1 pep chromosome:Pop_tri_v4:3:3631178:3635696:1 gene:Potri.003G032300.v4.1 transcript:Potri.003G032300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032300.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLISLWTFSMHSRTVAVVMTISKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLVLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIQLPVINQVFSSNRAMKISPNSPVARIRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMDQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLHALFREVLNLIKPIASVKKLHVTLNLAPDLPECVVGDEKRLMQTILNVVGNALKFSKEGSISITAFVAKSESLRDSRAPDFFPVPSDDHFYLRVQVKDAGQGVNPQEIPKLFTKFAQTQTLATRNSSGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTVIFIVKLCIPGRSNESKSPFLPKANHGQAGFPGLKVLVLDYNGVSRMVTKGLLVHLGCDVTTVSSIDECLHVVSQEHKVLFMDVCMPDGFEAAVRLHEKFTKHHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSDLLEHRVLFEAM >Potri.003G032300.5.v4.1 pep chromosome:Pop_tri_v4:3:3631121:3635707:1 gene:Potri.003G032300.v4.1 transcript:Potri.003G032300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032300.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLISLWTFSMHSRTVAVVMTISKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLVLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIQLPVINQVFSSNRAMKISPNSPVARIRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMDQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLHALFREVLNLIKPIASVKKLHVTLNLAPDLPECVVGDEKRLMQTILNVVGNALKFSKEGSISITAFVAKSESLRDSRAPDFFPVPSDDHFYLRVQVKDAGQGVNPQEIPKLFTKFAQTQTLATRNSSGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTVIFIVKLCIPGRSNESKSPFLPKANHGQAGFPGLKVLVLDYNGVSRMVTKGLLVHLGCDVTTVSSIDECLHVVSQEHKVLFMDVCMPDGFEAAVRLHEKFTKHHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSDLLEHRVLFEAM >Potri.003G032300.9.v4.1 pep chromosome:Pop_tri_v4:3:3631206:3635616:1 gene:Potri.003G032300.v4.1 transcript:Potri.003G032300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032300.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLISLWTFSMHSRTVAVVMTISKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLVLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIQLPVINQVFSSNRAMKISPNSPVARIRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMDQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLHALFREVLNLIKPIASVKKLHVTLNLAPDLPECVVGDEKRLMQTILNVVGNALKFSKEGSISITAFVAKSESLRDSRAPDFFPVPSDDHFYLRVQVKDAGQGVNPQEIPKLFTKFAQTQTLATRNSSGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTVIFIVKLCIPGRSNESKSPFLPKANHGQAGFPGLKVLVLDYNGVSRMVTKGLLVHLGCDVTTVSSIDECLHVVSQEHKVLFMDVCMPDGFEAAVRLHEKFTKHHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSDLLEHRVLFEAM >Potri.003G032300.8.v4.1 pep chromosome:Pop_tri_v4:3:3631189:3635697:1 gene:Potri.003G032300.v4.1 transcript:Potri.003G032300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032300.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLISLWTFSMHSRTVAVVMTISKVLTAVVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLVLEECALWMPTRTGLELQLSYTLRQQNPVGYTVPIQLPVINQVFSSNRAMKISPNSPVARIRPFAGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMDQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAIIALSSLLQETELTPEQRLMVETILKSSNLLATLINDVLDLSRLEDGSLQLDLGTFNLHALFREVLNLIKPIASVKKLHVTLNLAPDLPECVVGDEKRLMQTILNVVGNALKFSKEGSISITAFVAKSESLRDSRAPDFFPVPSDDHFYLRVQVKDAGQGVNPQEIPKLFTKFAQTQTLATRNSSGSGLGLAICKRFVNLMEGHIWIESEGLGKGCTVIFIVKLCIPGRSNESKSPFLPKANHGQAGFPGLKVLVLDYNGVSRMVTKGLLVHLGCDVTTVSSIDECLHVVSQEHKVLFMDVCMPDGFEAAVRLHEKFTKHHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSDLLEHRVLFEAM >Potri.004G023700.3.v4.1 pep chromosome:Pop_tri_v4:4:1675889:1679108:1 gene:Potri.004G023700.v4.1 transcript:Potri.004G023700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023700.v4.1 MILMTTIDVNLVMFLLIIPFSALPAITVADHLVTSCSDNTSNYTLNSPFESNLKLLLENLPSITSLTGFNHTSVGDFPAKVYGQALCRGDIVNSSSCQACVEKASQEIFNDCRNYKDAIIWYELCQVHYSFQNMTSLYVYTGKYPDWDSQEKFLSDPKHFSSVLIYLMTNLSTEAAVNPSKHMFATGSIKFRSETVYGHAQCTRDIVADDCQTCLTTALAELKGCCSSRQGGIIVSRNCDVRFELYKYYNASSYSLTYPNPKGSNWKIGIVAFAACAPVVVIVIVIGCCIVCLLKKRGQQRGVERSQLALLQELACPRGVTMTDEGQLVSSEDLPFMDLTTIREATDNFSDSNKLGQGGFGTVYKGVLPDGKEIAVKRLSRKSWQGLEEFKNEVKVIAKLQHRNLVRLLGCGIEGDEKLLIYEFMHNKSLDIFIFDAERRALLDWETCYNIVGGIARGLLYLHEDSRLKIIHRDLKPSNVLLDHEMVAKISDFGMARIFCENQNKANTRRVVGTFGYMAPEYAMGGLFSVKSDVFSFGVILLEITSGKRSSGFYLSEHGQTLLAYVCTIHRCHQNFHSNNFQPIKKNLKLENYN >Potri.004G023700.2.v4.1 pep chromosome:Pop_tri_v4:4:1675889:1679108:1 gene:Potri.004G023700.v4.1 transcript:Potri.004G023700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023700.v4.1 MILMTTIDVNLVMFLLIIPFSALPAITVADHLVTSCSDNTSNYTLNSPFESNLKLLLENLPSITSLTGFNHTSVGDFPAKVYGQALCRGDIVNSSSCQACVEKASQEIFNDCRNYKDAIIWYELCQVHYSFQNMTSLYVYTGKYPDWDSQEKFLSDPKHFSSVLIYLMTNLSTEAAVNPSKHMFATGSIKFRSETVYGHAQCTRDIVADDCQTCLTTALAELKGCCSSRQGGIIVSRNCDVRFELYKYYNASSYSLTYPNPKGSNWKIGIVAFAACAPVVVIVIVIGCCIVCLLKKRGQQRGVERSQLALLQELACPRGVTMTDEGQLVSSEDLPFMDLTTIREATDNFSDSNKLGQGGFGTVYKGVLPDGKEIAVKRLSRKSWQGLEEFKNEVKVIAKLQHRNLVRLLGCGIEGDEKLLIYEFMHNKSLDIFIFDAERRALLDWETCYNIVGGIARGLLYLHEDSRLKIIHRDLKPSNVLLDHEMVAKISDFGMARIFCENQNKANTRRVVGTFGYMAPEYAMGGLFSVKSDVFSFGVILLEITSGKRSSGFYLSEHGQTLLAYAWRLWNEGREMELVEPSLMDRSQTEGIVRCIHVGLLCVQEDPADRPTMSFVVLALGSDPIALPQPKQPAFSLGKMVPIYKSSPTDPSVNQMTVSGITPR >Potri.004G023700.4.v4.1 pep chromosome:Pop_tri_v4:4:1675831:1679108:1 gene:Potri.004G023700.v4.1 transcript:Potri.004G023700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023700.v4.1 MTNLSTEAAVNPSKHMFATGSIKFRSETVYGHAQCTRDIVADDCQTCLTTALAELKGCCSSRQGGIIVSRNCDVRFELYKYYNASSYSLTYPNPKGSNWKIGIVAFAACAPVVVIVIVIGCCIVCLLKKRGQQRGVERSQLALLQELACPRGVTMTDEGQLVSSEDLPFMDLTTIREATDNFSDSNKLGQGGFGTVYKGVLPDGKEIAVKRLSRKSWQGLEEFKNEVKVIAKLQHRNLVRLLGCGIEGDEKLLIYEFMHNKSLDIFIFDAERRALLDWETCYNIVGGIARGLLYLHEDSRLKIIHRDLKPSNVLLDHEMVAKISDFGMARIFCENQNKANTRRVVGTFGYMAPEYAMGGLFSVKSDVFSFGVILLEITSGKRSSGFYLSEHGQTLLAYAWRLWNEGREMELVEPSLMDRSQTEGIVRCIHVGLLCVQEDPADRPTMSFVVLALGSDPIALPQPKQPAFSLGKMVPIYKSSPTDPSVNQMTVSGITPR >Potri.014G125700.1.v4.1 pep chromosome:Pop_tri_v4:14:8446864:8452861:1 gene:Potri.014G125700.v4.1 transcript:Potri.014G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125700.v4.1 MAGEGGTLSFSVASVVEDVLQQHGNRLGDLDLESRKAEEAASRRYEAAGWLRKMVGVVAAKDLPAEPSEEEFRLGLRSGIILCNALNKIQPGGVPKVVESPCDAAPIPDGAALSAFQYFENVRNFLVAVQEMGIPNFEASDLEQGGKSARVVNTVLALKSYSEWKQTGGNGIWKFGGNVKPPVSAKSFVRKNSEPFMNSLSRNLSINGKSFNSLSSDLEYSNKMSGSGSLSMLVRAVLLDKKPEEVPMLVESVLSKVVEEFESRIASQYDMAKEAPKEIAISQGNKFLLKSTGDNKRTEDKNVRLIKKEECFHKNQIAEEELKNKTQKQQMIFDKQQRDIQELKNTLSTTKAGMQFMQMKFHEEFNSLGMHIHGLAHAASGYHKVLEENRKLYNQVQDLKGSIRVYCRVRPFLSGQSNDLSTVHSIEDGNITISTASKHGKGCKSFSFNKVFEPCATQAEVFSDMQPLIRSVLDGYNVCIFAYGQTGSGKTYTMTGPKDLSEKNKGVNYRALGDLFLLAEQRKDIFCYNVAVQMIEIYNEQVRDLLVTDGSNKRLEIRNSSQTGLNVPDANIIPVSSTHDVIDLMNLGHRNRAVGATALNDRSSRSHSCLTVHVQGRDLASGTILRGCMHLVDLAGSERVNKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNQHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPEPDALGETISTLKFAERVATVELGAAQVNKGSTDVKELKEQIANQKAALAKKERETEHRRKGSESSPSNSNHRLRDANDSNLFRQPMGDVGNIEVHKSTLRQKRQSFDLDEILANSPPWPPVISPSLNYAEDEKEMASGEWVDKVMVNKQDAVNMVEKSLGCWEAENGNLPDAFYHKYLSDSSKIYPEQSFNMLVGNSQLNLANNDDMDDIDAATSDSSEPDFLWQFNQSKFTSMTNGIESKTSKAISKAAKNPELSKNLNPSLGPSPSRKLANGGGVPLQRNRRHAAPVDGKRKIANRK >Potri.011G137000.1.v4.1 pep chromosome:Pop_tri_v4:11:16904463:16907948:-1 gene:Potri.011G137000.v4.1 transcript:Potri.011G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137000.v4.1 MGWFKCCMSEERLGRKALKKSIKEYRDAETLASYANISFKTDSSRKRFITEEIKKIGKGNISADIFTCRELATATTNFNNENLIGEGGFGRVYKGLIAKTNQVVAVKQLDRNGFQGNREFLVEVLMLSLLHHPNLVNMVGYCADGDQRILVYEFMVNGSLEDHLLDLTPDKNPLDWNTRIKIAEGAARGLEYLHESADPPVIYRDFKASNVLLDENFNPKLSDFGLAKLGPTGDKTHVSTRVMGTYGYCAPEYALTGQLTAKSDVYSFGVVFLEMITGRRVIDSSRPAGEKNLVSWATPLFKDKKKLALIADPLLKGNYPLRGLYQALAVANMCLQEEALTRPLMADVVTALKFLAVNDAPEETAVDDDHIKTPSPDSD >Potri.T125407.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:103401:104476:-1 gene:Potri.T125407.v4.1 transcript:Potri.T125407.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125407.v4.1 MIDNIDKVLQRGDRLALLVEKTSTLQGNTIRFRRQTQRFRNTQWWRNFKLKATLILFLLIFIYTVLALFCHGPSLHSCLK >Potri.002G038200.1.v4.1 pep chromosome:Pop_tri_v4:2:2516092:2516898:1 gene:Potri.002G038200.v4.1 transcript:Potri.002G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038200.v4.1 MLSSAMGKSFLLSRLVLIIFVAHFAASKVVATRPGFLYTRTRGRCTPQFWSSRREAWPRMVPQRSTVSKVFGSGVFERYRSDVTLLESTGRNDDENAFAGLLKQASAALLNTYARKGFPYSAWEVKTLFIQALVSKEAAATQAKQFSIANEACN >Potri.011G090700.4.v4.1 pep chromosome:Pop_tri_v4:11:11615904:11619779:-1 gene:Potri.011G090700.v4.1 transcript:Potri.011G090700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090700.v4.1 MYVIGLMIGLLSCRRRRPGKSKPPSLLSLCLGVVGKNLQDIIAHLSDISIAFPPHIKMTIAAIARRRKMLCDEVIIPLADTSWEILDISGSEVTDSGLIEVTKTCKFLRAVDISRCNKITASSVSVLVEHCKSLQTLRCGGCPRSDYTARCCLTLLKPKLDDMVGDSWEELDTAEISHNAESLHWLVWPKIDKDSLEILATECPRISVNPKRSPFGFRGKDIPVEAFPDTALDDLFVQEINPSTWAANGITLKPVSPILSNSKELSLAEKFRLAFVERDTRLAPKRAKNARQHQRRSDREWMTMSAESKAIVLASQVSKSLHGRN >Potri.011G090700.1.v4.1 pep chromosome:Pop_tri_v4:11:11615848:11619842:-1 gene:Potri.011G090700.v4.1 transcript:Potri.011G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090700.v4.1 MEEGKEITTSLKKLDLNSNIMTSTPSKSSLFSPALQSPGLLSCRRRRPGKSKPPSLLSLCLGVVGKNLQDIIAHLSDISIAFPPHIKMTIAAIARRRKMLCDEVIIPLADTSWEILDISGSEVTDSGLIEVTKTCKFLRAVDISRCNKITASSVSVLVEHCKSLQTLRCGGCPRSDYTARCCLTLLKPKLDDMVGDSWEELDTAEISHNAESLHWLVWPKIDKDSLEILATECPRISVNPKRSPFGFRGKDIPVEAFPDTALDDLFVQEINPSTWAANGITLKPVSPILSNSKELSLAEKFRLAFVERDTRLAPKRAKNARQHQRRSDREWMTMSAESKAIVLASQVSKSLHGRN >Potri.004G069300.1.v4.1 pep chromosome:Pop_tri_v4:4:5878468:5881454:1 gene:Potri.004G069300.v4.1 transcript:Potri.004G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069300.v4.1 MDQNGREEKVENGLDMEIADDDNNKYKKPEGEEEGEESVERIFAKQEVPSWRNQLTWRAFGVSFILSVLFSFIVMKLNLTAGIIPSLNVSAGLLGFFFVKTWTRFLENCGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSGRIAQESADQPSAKDIKDPALGWIIGFLFVVSFLGLFSVVPLRKIMVIDFKLTYPSGTATAHLINSFHTPEGAKLAKKQVRALGKFFSFSFLWGFFQWFFKAEDNCGFANFPTFGLKAYDNMFFFDFSATYVGVGMICPYIINASVLLGGILSWGLMWPLIETRKNDWYSAELSPKSLHGLQGYKVFISIAMILGDGLYNFCKVLSRTLIGLFIQLQGKDPKMALPVDGSPPPKTLTVSYDDQRRTQLFLKDQIPTWFAVAGYVVIAAVSTATLPHIFPQLKWYYILVIYACAPTLAFCNAYGCGLTDWSLASTYGKLAIFTIGAWAGAAHGGVLAGLAACGVMMNIVSTASDLMQDFKTGYLTLASPKSMFVSQVIGTAMGCVISPCVFWLFYKAFDDLGLPGSQYPAPYAVVYRNMAILGVEGFSSLPKNCLKLCYIFFCGAILINIFKDVMERKCKKFAKFIPLPMAMAIPFYIGPYFAIDMCVGSLILYVWGRINKAKADAFAPAVASGLICGDGIWTLPSSILALAGVKAPICMRFFSRATSTRIDNFIGS >Potri.005G068900.1.v4.1 pep chromosome:Pop_tri_v4:5:4464654:4468529:-1 gene:Potri.005G068900.v4.1 transcript:Potri.005G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068900.v4.1 MQMGENAASKNYHNNHHLHNHHQVFDVSVDVLPQNGSKCFDDDGRLKRTGTLWTASSHIITAVIGSGVLSLAWAIAQLGWIAGPAVMFLFSLVTYYTSSLLTDCYRTGDPDTGKRNYTYMDAVQSILGGVKVNLCGLVQYIGLFGIAIGYTIASSISMMAIKRSNCFHQSGGQNPCHISSNPYMIIFGITEILLSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIGKVAANGTFKGSLTGISIGTVTETEKIWRSFQALGAIAFAYSYSVILIEIQDTIKSPPAESKTMKKAAKISIVVTTTFYMLCGCMGYAAFGDQAPGNLLTGFGFYNPYWLIDIANVAIVIHLIGAYQVFCQPLFAFIEKWANQKWPENYFITKEFKIPVPGFRPYKLNLFRMVWRTIFVLLTTVISMLLPFFNDVVGILGALGFWPLTVYFPVEMYIVQKKIPKWSTRWICLQMLSMACLVISLVAVAGSIAGVVLDLKVYKPFKTSY >Potri.005G068900.3.v4.1 pep chromosome:Pop_tri_v4:5:4464726:4467957:-1 gene:Potri.005G068900.v4.1 transcript:Potri.005G068900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068900.v4.1 MGENAASKNYHNNHHLHNHHQVFDVSVDVLPQNGSKCFDDDGRLKRTGTLWTASSHIITAVIGSGVLSLAWAIAQLGWIAGPAVMFLFSLVTYYTSSLLTDCYRTGDPDTGKRNYTYMDAVQSILGGVKVNLCGLVQYIGLFGIAIGYTIASSISMMAIKRSNCFHQSGGQNPCHISSNPYMIIFGITEILLSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIGKVAANGTFKGSLTGISIGTVTETEKIWRSFQALGAIAFAYSYSVILIEIQDTIKSPPAESKTMKKAAKISIVVTTTFYMLCGCMGYAAFGDQAPGNLLTGFGFYNPYWLIDIANVAIVIHLIGAYQVFCQPLFAFIEKWANQKWPENYFITKEFKIPVPGFRPYKLNLFRMVWRTIFVLLTTVISMLLPFFNDVVGILGALGFWPLTVYFPVEMYIVQKKIPKWSTRWICLQMLSMACLVISLVAVAGSIAGVVLDLKVYKPFKTSY >Potri.005G068900.2.v4.1 pep chromosome:Pop_tri_v4:5:4464725:4467303:-1 gene:Potri.005G068900.v4.1 transcript:Potri.005G068900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068900.v4.1 MGENAASKNYHNNHHLHNHHQVFDVSVDVLPQNGSKCFDDDGRLKRTGTLWTASSHIITAVIGSGVLSLAWAIAQLGWIAGPAVMFLFSLVTYYTSSLLTDCYRTGDPDTGKRNYTYMDAVQSILGGVKVNLCGLVQYIGLFGIAIGYTIASSISMMAIKRSNCFHQSGGQNPCHISSNPYMIIFGITEILLSQIPDFDQLWWLSIVAAVMSFTYSTIGLGLGIGKVAANGTFKGSLTGISIGTVTETEKIWRSFQALGAIAFAYSYSVILIEIQDTIKSPPAESKTMKKAAKISIVVTTTFYMLCGCMGYAAFGDQAPGNLLTGFGFYNPYWLIDIANVAIVIHLIGAYQVFCQPLFAFIEKWANQKWPENYFITKEFKIPVPGFRPYKLNLFRMVWRTIFVLLTTVISMLLPFFNDVVGILGALGFWPLTVYFPVEMYIVQKKIPKWSTRWICLQMLSMACLVISLVAVAGSIAGVVLDLKVYKPFKTSY >Potri.006G044300.1.v4.1 pep chromosome:Pop_tri_v4:6:3018064:3018306:1 gene:Potri.006G044300.v4.1 transcript:Potri.006G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044300.v4.1 MAGLQYNFFPTDFFYPRPQTVKVDTAATTTQKSAALPLQIQEREVMITDDLKPKHHPASLVLHNNKHGHKIGTPMNKRTA >Potri.014G067600.1.v4.1 pep chromosome:Pop_tri_v4:14:4252634:4253904:-1 gene:Potri.014G067600.v4.1 transcript:Potri.014G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067600.v4.1 MQPTGLKDNQPRENKCQKVHPQPMEDSANQNPEAGEALISKIFTNISSLKSAYIQLQAAHTPYDPDKIQAFDKAVISELKNLSELNYIYRENNPKPICVSPQDSRLAAEIQEQLSLLKTYENKDSEILQSEQMIEEANQKRAKLEKNLKLRGFWWDLDAAANSIESNVVYAKRAHKQYAFESHISQRMFIGFHHENFSIKADGGAVSKESFFHQFLATREMDPLDMLCQNPNSVFGKFCTSKYLVVVHPKGGHPRTPFYQAFLKLAKSIWLSHRLAYSFDPNVKVFQVMRGSEFSEPRVGLMVMPGFWIGGSVIQSPVYLSGVKVAE >Potri.003G009800.1.v4.1 pep chromosome:Pop_tri_v4:3:905093:906973:-1 gene:Potri.003G009800.v4.1 transcript:Potri.003G009800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009800.v4.1 MAQASKEPCKKEACDIQACLSKNNFLPQKCLKVIENLQSCCVKCDYKSTHCASVSGLLKQMPK >Potri.018G091100.1.v4.1 pep chromosome:Pop_tri_v4:18:11152095:11162856:1 gene:Potri.018G091100.v4.1 transcript:Potri.018G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091100.v4.1 MIRGGRNYVSSPPAFSNDAKRLLVCAANSVSIFSTATGLPVASLDGHRALVTAVIVVPASTPASKILCYCWTASLDGTIRYWDFSVPELIKIINVNSPIISMVIPSLLCQTTENNEKSLKSFAYLSVENTKEAEKESTKVLRGQIKKCNLTDFRMAGGVTLTETKQPEIITVSASGKYFGIRFKCKLQIWKVPTTESERAVVKKITLHHTKNMTVLAFHPNQRIIAAGDVTGRILIWRGFGDRTFVDDDRLVGVRSMNNGEERPGVRGDDDADSCTTWHWHSAEVNVLFFSLDGAYLYSGGKEGVLVVWQLDTGKKKFLPRIGSPLLWFTNSPDPSLSSVSCADNQIHLLKMPSMEILKSISGIKLPCSFPEMYNGLRSGIAFDRNAGLVALPTENYCIQLYSLLDDRGISEVQVCERNHQPGDEVTVVVTLAVLSLDGSMMSTAEVKLPEEGLGGLVCLKFWAFGSQKEFSLTTIVYEPHRDAGISAIAFHPTRPMAVSSSYGGDFKVWICNKGIRQVDEPLPNSGWTCHAVGSYKKKSMTAATFSSDGSVLAVAAETVITLWDADKNILVAVIGDTLTPIVNLSFAGTSEYLVSASWGLKPQLSVWSMSKLSIAWSYMLHIEAIASAEDISSFAVLALLPESSKCNETSFKGRDGVILLFNAADPVPVSTWSVNKAKGGALSFIQGNQLSIDENELDGKPPQSLLAYINGDREYLLFDPEGKETNEFSAIRREGLSDLEESGKFGYESIYGELPQFDPKRKQASWVPSAPLERPWETIFSGSSHNLPPLTKLCSAFLESLFEKRTAIVE >Potri.001G222600.22.v4.1 pep chromosome:Pop_tri_v4:1:23698727:23705251:-1 gene:Potri.001G222600.v4.1 transcript:Potri.001G222600.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK3 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIETMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINVVDYCHSRGVFHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGTTADLWSCGVILFVLLAGYLPFDDSNVMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILVDEWFKKGYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEEQPTAMNAFELISMSKGLNLGNLFDGEQEFKRETRFTSRCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKSLSTCLDDVVWKTEEDMQETK >Potri.001G222600.20.v4.1 pep chromosome:Pop_tri_v4:1:23698700:23705574:-1 gene:Potri.001G222600.v4.1 transcript:Potri.001G222600.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK3 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIETMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINVVDYCHSRGVFHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGTTADLWSCGVILFVLLAGYLPFDDSNVMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILVDEWFKKGYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEEQPTAMNAFELISMSKGLNLGNLFDGEQEFKRETRFTSRCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKSLSTCLDDVVWKTEEDMQETK >Potri.001G222600.23.v4.1 pep chromosome:Pop_tri_v4:1:23698659:23705571:-1 gene:Potri.001G222600.v4.1 transcript:Potri.001G222600.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK3 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIETMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINVVDYCHSRGVFHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGTTADLWSCGVILFVLLAGYLPFDDSNVMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILVDEWFKKGYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEEQPTAMNAFELISMSKGLNLGNLFDGEQVCLLKGLSFMS >Potri.001G222600.19.v4.1 pep chromosome:Pop_tri_v4:1:23698700:23706042:-1 gene:Potri.001G222600.v4.1 transcript:Potri.001G222600.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK3 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIETMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINVVDYCHSRGVFHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGTTADLWSCGVILFVLLAGYLPFDDSNVMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILVDEWFKKGYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEEQPTAMNAFELISMSKGLNLGNLFDGEQEFKRETRFTSRCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKSLSTCLDDVVWKTEEDMQETK >Potri.001G222600.18.v4.1 pep chromosome:Pop_tri_v4:1:23698692:23705571:-1 gene:Potri.001G222600.v4.1 transcript:Potri.001G222600.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK3 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIETMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINVVDYCHSRGVFHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGTTADLWSCGVILFVLLAGYLPFDDSNVMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILVDEWFKKGYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEEQPTAMNAFELISMSKGLNLGNLFDGEQQEFKRETRFTSRCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKSLSTCLDDVVWKTEEDMQETK >Potri.001G222600.21.v4.1 pep chromosome:Pop_tri_v4:1:23698723:23705251:-1 gene:Potri.001G222600.v4.1 transcript:Potri.001G222600.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK3 MSQPKIKRRVGKYEVGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREIETMKLIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARRYFQQLINVVDYCHSRGVFHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGTTADLWSCGVILFVLLAGYLPFDDSNVMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITIPEILVDEWFKKGYKPPVFEEKEDTNLDDVEAVFKDSEEHHVTEKKEEEQPTAMNAFELISMSKGLNLGNLFDGEQEFKRETRFTSRCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENVKAGRKGNLNVATEIFQVAPSLHMVEVRKAKGDTLEFHKFYKSLSTCLDDVVWKTEEDMQETK >Potri.004G165900.2.v4.1 pep chromosome:Pop_tri_v4:4:18502231:18502836:-1 gene:Potri.004G165900.v4.1 transcript:Potri.004G165900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G165900.v4.1 MGIRLFNAKRIVRRILLSPETSSIVPKGHFVVYVGETLKRFVVPISYLKNPSFQKLLSHVEEEYGFSHPMGGLTIPCSEEVFTSLTACD >Potri.001G209500.3.v4.1 pep chromosome:Pop_tri_v4:1:21458882:21464531:-1 gene:Potri.001G209500.v4.1 transcript:Potri.001G209500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209500.v4.1 MLFKKKKPKGPKFSPPSSSMAPPSLSTLLPHTRHSFLPLTNPNITHRYMLSLQPSHSLSFAARFSSDKQNDSITENRITYPPAQPPAPPQPEKKSFAVATGELFLGIASRVLRSTNKINYNGNVDHEERIGAVMKDEVEPEVIWEQRVKDIEAEKDRPVVTSPGFSFSAAGLLFPYHLGVAHLLIEKGYIKETTPLAGSSAGAIVCAVIASGASMHEALTATKVLAEDCRLKGTAFRLGAVLRDVLEKFLPDDVHIRSNGRVRVAVTQILWRPRGLLVDQFDSKEDLINAVVTSSFIPGYLAPRPATMFRNRLCIDGGLTLFMPPTSAAQTVRICAFPASQMGLQGIGISPDCNPENRASPRELFKWALEPAEDHVLDRLFELGYLDAAVWAEENPVQNVVQDDCPLVENGSSTSP >Potri.015G028700.1.v4.1 pep chromosome:Pop_tri_v4:15:2199890:2202658:1 gene:Potri.015G028700.v4.1 transcript:Potri.015G028700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028700.v4.1 MASFFEISSFNTSRFETAIWAVKLVLLSVGIISTFILFKVAIIPCTFNLILSTLPSVWISLRGWLSPPYIYIIVNFIIITIVASSTFQHPNPDTKLPYSSSKKLKSQNQSSTNHANDLWQEHDMQEVEKQLGTILSFEIPIDSSQDYYSPDTFLTNSGKELQEKTNTDPSKDPCPPDSCLTDSAKKQQKKMDMEPLTQEADQQDTLEDAWTSIMEKQGKTPTRQLRKIGTWDTPPKVLQKVNGIVTADGGGGCGDDDDPVSWARRELKKSDTFNDSVSLRREKSMSQDELNRRVEEFIRKFNHEMRLQRQESEQRVREMNVHGGVW >Potri.015G028700.2.v4.1 pep chromosome:Pop_tri_v4:15:2199944:2201691:1 gene:Potri.015G028700.v4.1 transcript:Potri.015G028700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028700.v4.1 MASFFEISSFNTSRFETAIWAVKLVLLSVGIISTFILFKVAIIPCTFNLILSTLPSVWISLRGWLSPPYIYIIVNFIIITIVASSTFQHPNPDTKLPYSSSKKLKSQNQSSTNHANDLWQEHDMQEVEKQLGTILSFEIPIDSSQDYYSPDTFLTNSGKELQEKTNTDPSKDPCPPDSCLTDSAKKQQKKMDMEPLTQEADQQDTLEDAWTSIMEKQGKTPTRQLRKIGTWDTPPKVLQKVNGIVTADGGGGCGDDDDPVSWARRELKKSDTFNDSVSLRREKSMSQDELNRRVEEFIRKFNHEMRLQRQESEQRVREMNVHGGVW >Potri.009G100332.1.v4.1 pep chromosome:Pop_tri_v4:9:8902738:8906786:-1 gene:Potri.009G100332.v4.1 transcript:Potri.009G100332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100332.v4.1 MRLQRTIFIAFLLTFFTALKEGQICVLDRNCNSGLHCETCVANGNLRPRCTGIQPIIPASKVNGLPFNEYAWLTTHNSSAMGDLSATGSIILAPTNQQDTVTSQLNNGIRGLMLDMYDFQNDVWLRHSFGGNCYNIAAFQPVINVLKEIQAFLEASPSEIITIFIEDYVTSPRGLTKVFDGAGLMKYWFPVSRMAKNGGKWPTVDDMVRKNQRLVVFTSKSAEEASKGIAYQWRYVVEIQSESYYFPEFGTIHWLVRTN >Potri.009G100332.2.v4.1 pep chromosome:Pop_tri_v4:9:8902738:8906786:-1 gene:Potri.009G100332.v4.1 transcript:Potri.009G100332.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100332.v4.1 MRLQEGQICVLDRNCNSGLHCETCVANGNLRPRCTGIQPIIPASKVNGLPFNEYAWLTTHNSSAMGDLSATGSIILAPTNQQDTVTSQLNNGIRGLMLDMYDFQNDVWLRHSFGGNCYNIAAFQPVINVLKEIQAFLEASPSEIITIFIEDYVTSPRGLTKVFDGAGLMKYWFPVSRMAKNGGKWPTVDDMVRKNQRLVVFTSKSAEEASKGIAYQWRYVVEIQSESYYFPEFGTIHWLVRTN >Potri.002G174900.1.v4.1 pep chromosome:Pop_tri_v4:2:13493389:13494080:1 gene:Potri.002G174900.v4.1 transcript:Potri.002G174900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174900.v4.1 MSTPHSCLYPLIEGSLIFSSKEMEKDHNGMKTAASSEYQDLLPVMAEKLDAEAFVSELCGGFRLLADPEKGLITSDSLRRNSALLGMEGMTKEDAEAMVREGDLDGDGVLNETEFCTLMVRLSPEMMQDAETWLEKALENKN >Potri.001G275300.4.v4.1 pep chromosome:Pop_tri_v4:1:28883859:28887881:1 gene:Potri.001G275300.v4.1 transcript:Potri.001G275300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275300.v4.1 MNFEEFKVNTPWFKMTSNKDSGTNPKPATAVLSMGCMMGQPGQQPRFDIKFWGWSLLAIVPWAINAKDKIRAPDTINKKLKRHAQSRGVVDSGRGNPLRFRPYVSKVPWHTGARAFLSQLFPRYGHYCGPNWSSGKDGGSLLWDKRPIDWLDYCCYCHDIGYDSHDQAELLKADMAFLQCLERPHMATKGDAHVAHVYKTMCITGLKNMLIPYRTHLVKLRSGQPLINFEWLSKVKWRRWNLQKT >Potri.019G041600.1.v4.1 pep chromosome:Pop_tri_v4:19:5780811:5783172:1 gene:Potri.019G041600.v4.1 transcript:Potri.019G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041600.v4.1 MSKSRSSQIITCKAAVIWGSGEPLKVEEIQIEPPKSTEVRVRMLYASVCHTDVLRARRKGDPVPLFPRVLGHEGVGMVESFGDEVNGLEEGDYVIPTMIAECEACENCTSANTNLCLTYPLSRSGLMLDGTSRMSINGQKLYHMFTCSTWSEYMVIDSNYVNKIDPSIPLPHASVLSCGFSTGFGAAWKEAQVKMGSTVAVLGLGAVGLGVTEGARMLGAAKIIGVDKNSMKKDKGLAFGMTDFINPDECSDKSISQMIKDLTGGMGVDYCFECVGAESLINQAIQATKEGKGKTIVIGGGISSVKIDYLPLLSGRTLKGSLFGGLKVKSDLPILFQKCKNKEFDLDELLTHEVTLQDIEKAFELLNQPDCVKVLIKI >Potri.001G405100.5.v4.1 pep chromosome:Pop_tri_v4:1:43178507:43195020:-1 gene:Potri.001G405100.v4.1 transcript:Potri.001G405100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405100.v4.1 MDQERQQKKRVKHTDPFWDHVEKTNDGGPFKCKFCKSTFAASTSISRFKYHLSGESGKGVGICGRVPVDVKAAAYQAMHKKQNPIPPIDHTVPNVQAQRIEQEGRDLPDMAMEDWTESMRSEELMVVNEAGGSRGSQGQGGYLLDMVDTENLTQSRTMEGIALIDHVRVHEEQGTDVSDGVVENLTDNFTRGVSIVTEESRVSEGLDAHKAKGEALLTTKLVGQASDRNKETIWSWLMKDDVLSVGIYGMGGVGKTSLATQIHNQLLQRPSSFNYVFWVTVSQNFTISKLQYLIAKAINLDLSNEEDEKKRAAKLSKALVAKGKSVLILDDIWNHFLLETVGIPVGVNACKLILTSRSLEVCRRMGCQKSIKVELLTKEEAWTLFVEKLGNYATFSPEVVQIAKSVAAECARLPLGIIAMAGSMRGVDDLHEWRNALTELKQSEVRAEDMETEVFHILRFSYMRLNDSALQQCLLYCAYFPEDFTVDREDLIGYLIDEGIIQPMKSRQAEYDRGQAMLNKLENACLLESFFSNENYRVFKMHDLIRDMALQKLREKSPIMVEGGEQLKELPDESEWKEEVVRVSLMENHVKEIPSGCAPMCPKLSTLFLSLNFKLEMIADSFFKHLQGLKVLDLSATAIRELPSSFSDLVNLTALYLRKCEKLRYIPSLAKLRELRKLDLRYTALEELPQGMEMLSNLRYLNLFGNSLKEMPAGILPKLSQLQFLNVNRLFGIFKTVRVEEVACLKRMETLRYQFCDLVDFKKYLKSPEVRQPLTTYFFTIGQLGVDRVMDSLLYMTPDEVFYKEVLVHDCQIGEKGRFLELPEDVSSFSIGRCHDARSLCDVSPFKHATSLKSLGMWECDGIEFLASMSESSTDIFESLESLYLKTLKNFCVFITREGAAPPSWQSNGTFSHLKKLRIGECLSMKNLLALDLLPNLTNLEVIEVDDCDQMEEIIAAEDEEEGMMVEDSSSSSHYAVTSLPNLKALKLSNLPELESIFHGEVICGSVQEILVVNCPNLKRISLSHRNHANGQTPLRKIQAYPKEWWESVEWGNSNSKNALEPLCVFRESLF >Potri.001G405100.1.v4.1 pep chromosome:Pop_tri_v4:1:43178507:43185898:-1 gene:Potri.001G405100.v4.1 transcript:Potri.001G405100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405100.v4.1 MHKKQNPIPPIDHTVPNVQAQRIEQEGRDLPDMAMEDWTESMRSEELMVVNEAGGSRGSQGQGGYLLDMVDTENLTQSRTMEGIALIDHVRVHEEQGTDVSDGVVENLTDNFTRGVSIVTEESRVSEGLDAHKAKGEALLTTKLVGQASDRNKETIWSWLMKDDVLSVGIYGMGGVGKTSLATQIHNQLLQRPSSFNYVFWVTVSQNFTISKLQYLIAKAINLDLSNEEDEKKRAAKLSKALVAKGKSVLILDDIWNHFLLETVGIPVGVNACKLILTSRSLEVCRRMGCQKSIKVELLTKEEAWTLFVEKLGNYATFSPEVVQIAKSVAAECARLPLGIIAMAGSMRGVDDLHEWRNALTELKQSEVRAEDMETEVFHILRFSYMRLNDSALQQCLLYCAYFPEDFTVDREDLIGYLIDEGIIQPMKSRQAEYDRGQAMLNKLENACLLESFFSNENYRVFKMHDLIRDMALQKLREKSPIMVEGGEQLKELPDESEWKEEVVRVSLMENHVKEIPSGCAPMCPKLSTLFLSLNFKLEMIADSFFKHLQGLKVLDLSATAIRELPSSFSDLVNLTALYLRKCEKLRYIPSLAKLRELRKLDLRYTALEELPQGMEMLSNLRYLNLFGNSLKEMPAGILPKLSQLQFLNVNRLFGIFKTVRVEEVACLKRMETLRYQFCDLVDFKKYLKSPEVRQPLTTYFFTIGQLGVDRVMDSLLYMTPDEVFYKEVLVHDCQIGEKGRFLELPEDVSSFSIGRCHDARSLCDVSPFKHATSLKSLGMWECDGIEFLASMSESSTDIFESLESLYLKTLKNFCVFITREGAAPPSWQSNGTFSHLKKLRIGECLSMKNLLALDLLPNLTNLEVIEVDDCDQMEEIIAAEDEEEGMMVEDSSSSSHYAVTSLPNLKALKLSNLPELESIFHGEVICGSVQEILVVNCPNLKRISLSHRNHANGQTPLRKIQAYPKEWWESVEWGNSNSKNALEPLCVFRESLF >Potri.001G405100.4.v4.1 pep chromosome:Pop_tri_v4:1:43178837:43195130:-1 gene:Potri.001G405100.v4.1 transcript:Potri.001G405100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405100.v4.1 MDQERQQKKRVKHTDPFWDHVEKTNDGGPFKCKFCKSTFAASTSISRFKYHLSGESGKGVGICGRVPVDVKAAAYQAMHKKQNPIPPIDHTVPNVQAQRIEQEGRDLPDMAMEDWTESMRSEELMVVNEAGGSRGSQGQGGYLLDMVDTENLTQSRTMEGIALIDHVRVHEEQGTDVSDGVVENLTDNFTRGVSIVTEESRVSEGLDAHKAKGEALLTTKLVGQASDRNKETIWSWLMKDDVLSVGIYGMGGVGKTSLATQIHNQLLQRPSSFNYVFWVTVSQNFTISKLQYLIAKAINLDLSNEEDEKKRAAKLSKALVAKGKSVLILDDIWNHFLLETVGIPVGVNACKLILTSRSLEVCRRMGCQKSIKVELLTKEEAWTLFVEKLGNYATFSPEVVQIAKSVAAECARLPLGIIAMAGSMRGVDDLHEWRNALTELKQSEVRAEDMETEVFHILRFSYMRLNDSALQQCLLYCAYFPEDFTVDREDLIGYLIDEGIIQPMKSRQAEYDRGQAMLNKLENACLLESFFSNENYRVFKMHDLIRDMALQKLREKSPIMVEGGEQLKELPDESEWKEEVVRVSLMENHVKEIPSGCAPMCPKLSTLFLSLNFKLEMIADSFFKHLQGLKVLDLSATAIRELPSSFSDLVNLTALYLRKCEKLRYIPSLAKLRELRKLDLRYTALEELPQGMEMLSNLRYLNLFGNSLKEMPAGILPKLSQLQFLNVNRLFGIFKTVRVEEVACLKRMETLRYQFCDLVDFKKYLKSPEVRQPLTTYFFTIGQLGVDRVMDSLLYMTPDEVFYKEVLVHDCQIGEKGRFLELPEDVSSFSIGRCHDARSLCDVSPFKHATSLKSLGMWECDGIEFLASMSESSTDIFESLESLYLKTLKNFCVFITREGAAPPSWQSNGTFSHLKKLRIGECLSMKNLLALDLLPNLTNLEVIEVDDCDQMEEIIAAEDEEEGMMVEDSSSSSHYAVTSLPNLKALKLSNLPELESIFHGEVICGSVQEILVVNCPNLKRISLSHRNHANGQTPLRKIQAYPKEWWESVEWGNSNSKNALEPLCVFRESLF >Potri.001G405100.3.v4.1 pep chromosome:Pop_tri_v4:1:43178507:43195120:-1 gene:Potri.001G405100.v4.1 transcript:Potri.001G405100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405100.v4.1 MDQERQQKKRVKHTDPFWDHVEKTNDGGPFKCKFCKSTFAASTSISRFKYHLSGESGKGVGICGRVPVDVKAAAYQAMHKKQNPIPPIDHTVPNVQAQRIEQEGRDLPDMAMEDWTESMRSEELMVVNEAGGSRGSQGQGGYLLDMVDTENLTQSRTMEGIALIDHVRVHEEQGTDVSDGVVENLTDNFTRGVSIVTEESRVSEGLDAHKAKGEALLTTKLVGQASDRNKETIWSWLMKDDVLSVGIYGMGGVGKTSLATQIHNQLLQRPSSFNYVFWVTVSQNFTISKLQYLIAKAINLDLSNEEDEKKRAAKLSKALVAKGKSVLILDDIWNHFLLETVGIPVGVNACKLILTSRSLEVCRRMGCQKSIKVELLTKEEAWTLFVEKLGNYATFSPEVVQIAKSVAAECARLPLGIIAMAGSMRGVDDLHEWRNALTELKQSEVRAEDMETEVFHILRFSYMRLNDSALQQCLLYCAYFPEDFTVDREDLIGYLIDEGIIQPMKSRQAEYDRGQAMLNKLENACLLESFFSNENYRVFKMHDLIRDMALQKLREKSPIMVEGGEQLKELPDESEWKEEVVRVSLMENHVKEIPSGCAPMCPKLSTLFLSLNFKLEMIADSFFKHLQGLKVLDLSATAIRELPSSFSDLVNLTALYLRKCEKLRYIPSLAKLRELRKLDLRYTALEELPQGMEMLSNLRYLNLFGNSLKEMPAGILPKLSQLQFLNVNRLFGIFKTVRVEEVACLKRMETLRYQFCDLVDFKKYLKSPEVRQPLTTYFFTIGQLGVDRVMDSLLYMTPDEVFYKEVLVHDCQIGEKGRFLELPEDVSSFSIGRCHDARSLCDVSPFKHATSLKSLGMWECDGIEFLASMSESSTDIFESLESLYLKTLKNFCVFITREGAAPPSWQSNGTFSHLKKLRIGECLSMKNLLALDLLPNLTNLEVIEVDDCDQMEEIIAAEDEEEGMMVEDSSSSSHYAVTSLPNLKALKLSNLPELESIFHGEVICGSVQEILVVNCPNLKRISLSHRNHANGQTPLRKIQAYPKEWWESVEWGNSNSKNALEPLCVFRESLF >Potri.001G405100.6.v4.1 pep chromosome:Pop_tri_v4:1:43178507:43195120:-1 gene:Potri.001G405100.v4.1 transcript:Potri.001G405100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405100.v4.1 MDQERQQKKRVKHTDPFWDHVEKTNDGGPFKCKFCKSTFAASTSISRFKYHLSGESGKGVGICGRVPVDVKAAAYQAMHKKQNPIPPIDHTVPNVQAQRIEQEGRDLPDMAMEDWTESMRSEELMVVNEAGGSRGSQGQGGYLLDMVDTENLTQSRTMEGIALIDHVRVHEEQGTDVSDGVVENLTDNFTRGVSIVTEESRVSEGLDAHKAKGEALLTTKLVGQASDRNKETIWSWLMKDDVLSVGIYGMGGVGKTSLATQIHNQLLQRPSSFNYVFWVTVSQNFTISKLQYLIAKAINLDLSNEEDEKKRAAKLSKALVAKGKSVLILDDIWNHFLLETVGIPVGVNACKLILTSRSLEVCRRMGCQKSIKVELLTKEEAWTLFVEKLGNYATFSPEVVQIAKSVAAECARLPLGIIAMAGSMRGVDDLHEWRNALTELKQSEVRAEDMETEDFTVDREDLIGYLIDEGIIQPMKSRQAEYDRGQAMLNKLENACLLESFFSNENYRVFKMHDLIRDMALQKLREKSPIMVEGGEQLKELPDESEWKEEVVRVSLMENHVKEIPSGCAPMCPKLSTLFLSLNFKLEMIADSFFKHLQGLKVLDLSATAIRELPSSFSDLVNLTALYLRKCEKLRYIPSLAKLRELRKLDLRYTALEELPQGMEMLSNLRYLNLFGNSLKEMPAGILPKLSQLQFLNVNRLFGIFKTVRVEEVACLKRMETLRYQFCDLVDFKKYLKSPEVRQPLTTYFFTIGQLGVDRVMDSLLYMTPDEVFYKEVLVHDCQIGEKGRFLELPEDVSSFSIGRCHDARSLCDVSPFKHATSLKSLGMWECDGIEFLASMSESSTDIFESLESLYLKTLKNFCVFITREGAAPPSWQSNGTFSHLKKLRIGECLSMKNLLALDLLPNLTNLEVIEVDDCDQMEEIIAAEDEEEGMMVEDSSSSSHYAVTSLPNLKALKLSNLPELESIFHGEVICGSVQEILVVNCPNLKRISLSHRNHANGQTPLRKIQAYPKEWWESVEWGNSNSKNALEPLCVFRESLF >Potri.014G180200.1.v4.1 pep chromosome:Pop_tri_v4:14:13806522:13818916:-1 gene:Potri.014G180200.v4.1 transcript:Potri.014G180200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180200.v4.1 MPPKKKSKSSSSSTSSAKKNHQNSQQQQQQQQQQQPSKFGIQHFFNLHTQNALSLSQNPQPPPTPPIPQTLSQNPIISPNSLNPKFAPPSNSHHLDADDNVMDVSPEITKSVSLQRFKFSPGMLIKQSQDDGGDEVTWKISPVSERLQAVSKQMPQLIQLLAETSKLNSFSIRPCSSLNDEISSGTAGKVDKQVPSPPWKGADRSLVPASRVGLKRINPRQDVNLTDVNCSLAGRQSPFRTPPSLSYCHEKLSNVAECNGASDQLDQRQHKKALLELLDQVEDAISVEDSEPSTVNSSKTQDGNGYDMPCNAGSLVKEAAIDPPESVAVPLSNYNFLVLEVSEKHRPADLSGAQCPYKVLRLLNEQSGEERTVYLWEEWFYSVISPGDTVNVIGEFDDQGKCDVDRDNNLLIVHPDILVSGTRVAASFSCPRRTVLDERLKCSEHSTAALIGTLLHQIFQAGLMQDNPTINFLEEYARIVLQKNIESLHACGVNENDIFNTLVEAIPKLINWINLFKDSQDSKAPIIDFGPDNGLKKLSISEVIDIEEMAWAPKYGLKGMIDASVRVKVESGRNKADEKIVPLEFKTGKVSNGQSSMEHVAQVILYTLLMSERYLKHIDSGLLYYLQSDQTRGITVQRSDVVGLIMRRNELANDILKASRTQQLPPMLQSLNMCRSCRHLDVCTIYHKVHGGSKESSGLGDLFDSHVHHLTTAHYVFLRRWDQLIDLEAKETQLVKNRIWRPHSLKSDRSTSCLSSVVLDTSDRVPYQKSLKDNRFIYRFVHKKMPLHDVHASGGESLSFPSSSAEDFDYTLKSGDYVIISTKFGHQTVASGFITDISRSHVSVSFPKHLRLPGSNSSSEAHNLFREVWQIDKDEFMTSFSVMRFNLVQLFLQSEQSSHLRKMIVDLEAPRFDSGCIFSQDPALSYIWSVKNLNGDQRRAILKTLTAKDYALILGMPGTGKTSTLVHAVKAMLMRGASILLTSYTNSAIDNLLIKLKAQGIDFLRIGRHEVVHEEVRANCVSAMDVHSVEDIKLRLEQVKVVAVTCLGISSPLLANKKFDVCIMDEAGQITLPIALGPLMFASKFVLVGDHYQLPPLVQSTEARENGMGISLFCRLSEAHPQAISALQSQYRMCQDIMELSNALIYGDRLRCGSSEIANARLKFSGLQSCSSWLKEVLNPGRPVIFINTDMLPAYEAKDSKTVNNPIEAYIVAEVTKELLNNGIVGDDIGIITPYNSQANLIRASVNVTSVEIHTIDKYQGRDKECILVSFARSSENPRNCTSSLLGDWHRINVALTRAKKKLILVGSCKTLSKVPLLKLLVEKVEEQSGIINVSKTDINYEEHKGELKRCSHIR >Potri.014G180200.9.v4.1 pep chromosome:Pop_tri_v4:14:13806523:13818828:-1 gene:Potri.014G180200.v4.1 transcript:Potri.014G180200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180200.v4.1 MPPKKKSKSSSSSTSSAKKNHQNSQQQQQQQQQQQPSKFGIQHFFNLHTQNALSLSQNPQPPPTPPIPQTLSQNPIISPNSLNPKFAPPSNSHHLDADDNVMDVSPEITKSVSLQRFKFSPGMLIKQSQDDGGDEVTWKISPVSERLQAVSKQMPQLIQLLAETSKLNSFSIRPCSSLNDEISSGTAGKVDKQVPSPPWKGADRSLVPASRVGLKRINPRQDVNLTDVNCSLAGRQSPFRTPPSLSYCHEKLSNVAECNGASDQLDQRQHKKALLELLDQVEDAISVEDSEPSTVNSSKTQDGNGYDMPCNAGSLVKEAAIDPPESVAVPLSNYNFLVLEVSEKHRPADLSGAQCPYKVLRLLNEQSGEERTVYLWEEWFYSVISPGDTVNVIGEFDDQGKCDVDRDNNLLIVHPDILVSGTRVAASFSCPRRTVLDERLKCSEHSTAALIGTLLHQIFQAGLMQDNPTINFLEEYARIVLQKNIESLHACGVNENDIFNTLVEAIPKLINWINLFKDSQDSKAPIIDFGPDNGLKKLSISEVIDIEEMAWAPKYGLKGMIDASVRVKVESGRNKADEKIVPLEFKTGKVSNGQSSMEHVAQVILYTLLMSERYLKHIDSGLLYYLQSDQTRGITVQRSDVVGLIMRRNELANDILKASRTQQLPPMLQSLNMCRSCRHLDVCTIYHKVHGGSKESSGLGDLFDSHVHHLTTAHYVFLRRWDQLIDLEAKETQLVKNRIWRPHSLKSDRSTSCLSSVVLDTSDRVPYQKSLKDNRFIYRFVHKKMPLHDVHASGGESLSFPSSSAEDFDYTLKSGDYVIISTKFGHQTVASGFITDISRSHVSVSFPKHLRLPGSNSSSEAHNLFREVWQIDKDEFMTSFSVMRFNLVQLFLQSEQSSHLRKMIVDLEAPRFDSGCIFSQDPALSYIWSVKNLNGDQRRAILKTLTAKDYALILGMPGTGKTSTLVHAVKAMLMRGASILLTSYTNSAIDNLLIKLKAQGIDFLRIGRHEVVHEEVRANCVSAMDVHSVEDIKLRLEQVKVVAVTCLGISSPLLANKKFDVCIMDEAGQITLPIALGPLMFASKFVLVGDHYQLPPLVQSTEARENGMGISLFCRLSEAHPQAISALQSQYRMCQDIMELSNALIYGDRLRCGSSEIANARLKFSGLQSCSSWLKEVLNPGRPVIFINTDMLPAYEAKDSKTVNNPIEAYIVAEANLIRASVNVTSVEIHTIDKYQGRDKECILVSFARSSENPRNCTSSLLGDWHRINVALTRAKKKLILVGSCKTLSKVPLLKLLVEKVEEQSGIINVSKTDINYEEHKGELKRCSHIR >Potri.006G216000.1.v4.1 pep chromosome:Pop_tri_v4:6:22176823:22178189:-1 gene:Potri.006G216000.v4.1 transcript:Potri.006G216000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216000.v4.1 MDNTSKELELESLPTGVFELPGEPAIVINGVPDISPSDAALVLSSTCGITSQNADFFRDSSFGEWLEGREVRKLFGESYFSGTVSLFDKETGWYRVVYEDGDSEELDWKELQEVLLPLDITVPLKSLAQKIIKKNQKTVIHKSVKSATRPRITKATISGTLEKENLTDT >Potri.008G200900.8.v4.1 pep chromosome:Pop_tri_v4:8:14287171:14296948:1 gene:Potri.008G200900.v4.1 transcript:Potri.008G200900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200900.v4.1 MEELEELLGGNGVGIRVSTFDYSVENHFKEMDMISKLCGEAQTDSVDEIEIQCYKSSITFLSEWKLYKYDPRIIRFASETDNSLEKCVLSGINLPLFSSATVPKERPDVGATSLESSKDFVMHVGGSVWALDWCPRVHERPDNHIKREFVAISAHPPESYYHRIGVPLTGRGMVQIWCVLNVGGDEEALPMKKSKLGARYNVSKMDKSVELNRPKGRPRKKPIEESSRNEATKALVKMPKGRPRKRPIEESPCNEATELISAKRPKGRPRKKPIEESPSNEAIEEILAPVNEATKENLAQVKRGGRPRKNPTNESLDSLDSSNQYVQALSVEYPQDSPGLLSIEGISQNSQDEAKQKHKVKEQKKFTKQLFDCNTNLKTTAQSRRLNSNARKGSDSGDVACPLLLIHNEDDNVSLDINSTSSTVNYQTHENSGLNTAMPAYGSDNVSLDINPTSSIPKDADLPRVVLCLAHNGKVAWDVKWQPCNAPPSKFQHRMGYLAVLLGNGSLEVWDVPLPHAMKSVYSSSNLEGTDPRFVKIKPVFRCSTLKCGGIQSIPLAVEWSTSYPHDYLLAGCHDGTVALWKFSASGASGDTRPLLCFSADTVPIRAIAWVPSESDQESPNLILTAGHLGLKFWDIRDPFRPLWDLHPAPKLIYSLDWLPDPRCIILSFDDGTMRLLSLARAAYDAAVNGKPSVGPKQLGMHVVNCSSFAIWSVQVSRLTGMVAYCSADGTVCRFQLTTKAVEKDPSRHRAPHFGCGSLSEDESAIIVGTPLPDTPLPLKKPVNDVGNNPKSKQRLSVSNKAAKIPTSDDPPLALCYGDDPGMDHGSDETLTATKSKRKPKSKSGSKQMEGEDQALVCIDDEQDVKQKGGGKEGAGNVVESIPPKMVAMHRVRWNMNKGSERWLCSGGAAGIVRCQEIKMFDADICLARKR >Potri.008G200900.4.v4.1 pep chromosome:Pop_tri_v4:8:14287171:14296950:1 gene:Potri.008G200900.v4.1 transcript:Potri.008G200900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200900.v4.1 MEELEELLGGNGVGIRVSTFDYSVENHFKEMDMISKLCGEAQTDSVDEIEIQCYKSSITFLSEWKLYKYDPRIIRFASETDNSLEKCVLSGINLPLFSSATVPKERPDVGATSLESSKDFVMHVGGSVWALDWCPRVHERPDNHIKREFVAISAHPPESYYHRIGVPLTGRGMVQIWCVLNVGGDEEALPMKKSKLGARYNVSKMDKSVELNRPKGRPRKKPIEESSRNEATKALVKMPKGRPRKRPIEESPCNEATELISAKRPKGRPRKKPIEESPSNEAIEEILAPVNEATKENLAQVKRGGRPRKNPTNESLDSLDSSNQYVQALSVEYPQDSPGLLSIEGISQNSQDEAKQKHKVKEQKKFTKQLFDCNTNLKTTAQSRRLNSNARKGSDSGDVACPLLLIHNEDDNVSLDINSTSSTVNYQTHENSGLNTAMPAYGSDNVSLDINPTSSIPKDADLPRVVLCLAHNGKVAWDVKWQPCNAPPSKFQHRMGYLAVLLGNGSLEVWDVPLPHAMKSVYSSSNLEGTDPRFVKIKPVFRCSTLKCGGIQSIPLAVEWSTSYPHDYLLAGCHDGTVALWKFSASGASGDTRPLLCFSADTVPIRAIAWVPSESDQESPNLILTAGHLGLKFWDIRDPFRPLWDLHPAPKLIYSLDWLPDPRCIILSFDDGTMRLLSLARAAYDAAVNGKPSVGPKQLGMHVVNCSSFAIWSVQVSRLTGMVAYCSADGTVCRFQLTTKAVEKDPSRHRAPHFGCGSLSEDESAIIVGTPLPDTPLPLKKPVNDVGNNPKSKQRLSVSNKAAKIPTSDDPPLALCYGDDPGMDHGSDETLTATKSKRKPKSKSGSKQMEGEDQALVCIDDEQDVKQKGGGKEGAGNVVESIPPKMVAMHRVRWNMNKGSERWLCSGGAAGIVRCQEIKMFDADICLARKR >Potri.001G247800.1.v4.1 pep chromosome:Pop_tri_v4:1:26424687:26428445:1 gene:Potri.001G247800.v4.1 transcript:Potri.001G247800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247800.v4.1 MADLKPSSDISFVEIFLCSAFAACFAEFCTIPLDTAKVRLQLQRKTFASEGVSLPKYRGLLGTVATIAREEGLAALWKGITAGLHRQFIYGGLRIGLYEPVKSFLVGSDFVGDIPLYQKILAALLTGAMAIVIANPTDLVKVRLQAEGKLPAGVPGRYAGALDAYFTIVRQEGLGALWTGLGPNIARNAIINAAELASYDEVKQTILQIPGFTDSAFTHVLAGLGAGFFAVCIGSPIDVVKSRMMGDSSYKNTVDCFIKTLKNEGILAFYKGFLPNFGRLGSWNVVMFLTLEQVKKIVTGQAYYD >Potri.012G021000.2.v4.1 pep chromosome:Pop_tri_v4:12:2200513:2201063:1 gene:Potri.012G021000.v4.1 transcript:Potri.012G021000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021000.v4.1 MTWTTQIPSSYEFKSKLDGWETTTKAQDGDIWEMSQREEDTLFQEFDHRIAYSKFQIASFIEDRIFSRRRPIDGWKYMIEVLGPDAKKGRGSVSRIPSISDPSTQPFKGDRKLISHKGGSSAPDSAELSFFVLGHCMFAW >Potri.006G063400.2.v4.1 pep chromosome:Pop_tri_v4:6:4527897:4530402:1 gene:Potri.006G063400.v4.1 transcript:Potri.006G063400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063400.v4.1 MVHHSSFVDEEGVKKACGCPLLPLKSHIKGPAPVSDQDRTDIVDEAITFFRANVFFRKFNIQSPADKLLIYLTFYINVALKRLEGCRTLAEGTKAIINLGLEKVSVPGESGFPFPGLFADPQSQKEAELFRKYLKQTREETSGRLLSVAYRPNGTPNKWWLAFAKRKFMNIIAL >Potri.006G215400.1.v4.1 pep chromosome:Pop_tri_v4:6:22156003:22162404:1 gene:Potri.006G215400.v4.1 transcript:Potri.006G215400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215400.v4.1 MTSSNYHMQEPQSAVAEDCPTLFFDSYDIPGVYEDCPPLFEAICYPCPPSLSDSPCQEDSFQDFFSSAYSEEEAYYANNPCEDEQPPYNNWRDFWSKYESCLTFGDSWDDDFSSYGNSTDTNGNGSGLRGREECFQGEEQRDIYSGLYENKETEFSYYDDSPWSGYESWFDERKGDTLCNGGYEHPDEIGIWEGLFGYWPCLTRKH >Potri.016G083900.8.v4.1 pep chromosome:Pop_tri_v4:16:6501683:6505254:-1 gene:Potri.016G083900.v4.1 transcript:Potri.016G083900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083900.v4.1 MIMNTNSSSSTSTAGAGTEANPGGPDQAMATQPPLAAAAATGTTSATSSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKASKIVLLPLPVSMAYPSSMNTFTPGYAPWDETSMLITSATRKIMPSQDELANFHGAEADIGTKDVSDIGTKGVSRFSYNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDVETKGHVKKLNEMDPINFETVLLLMRNLTVNLSSPDFEPIRKVMSSYDVNSKTVGVAALNQTNDIAC >Potri.016G083900.12.v4.1 pep chromosome:Pop_tri_v4:16:6501686:6505265:-1 gene:Potri.016G083900.v4.1 transcript:Potri.016G083900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083900.v4.1 MIMNTNSSSSTSTAGAGTEANPGGPDQAMATQPPLAAAAATGTTSATSSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKASKIVSMAYPSSMNTFTPGYAPWDETSMLITSATRKIMPSQDELANFHGAEDIGTKGVSRFSYNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDVETKGHVKKLNEMDPINFETVLLLMRNLTVNLSSPDFEPIRKVMSSYDVNSKTVGVAALNQTNDIAC >Potri.016G083900.7.v4.1 pep chromosome:Pop_tri_v4:16:6501684:6505246:-1 gene:Potri.016G083900.v4.1 transcript:Potri.016G083900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083900.v4.1 MIMNTNSSSSTSTAGAGTEANPGGPDQAMATQPPLAAAAATGTTSATSSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKASKIVLLPLPVSMAYPSSMNTFTPGYAPWDETSMLITSATRKIMPSQDELANFHGAEADIGTKDVSDIGTKGVSRFSYNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDVETKGHVKKLNEMDPINFETVLLLMRNLTVNLSSPDFEPIRKVMSSYDVNSKTVGVAALNQTNDIAC >Potri.016G083900.10.v4.1 pep chromosome:Pop_tri_v4:16:6501684:6505187:-1 gene:Potri.016G083900.v4.1 transcript:Potri.016G083900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083900.v4.1 MIMNTNSSSSTSTAGAGTEANPGGPDQAMATQPPLAAAAATGTTSATSSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKASKIVSMAYPSSMNTFTPGYAPWDETSMLITSATRKIMPSQDELANFHGAEADIGTKDVSDIGTKGVSRFSYNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDVETKGHVKKLNEMDPINFETVLLLMRNLTVNLSSPDFEPIRKVMSSYDVNSKTVGVAALNQTNDIAC >Potri.016G083900.9.v4.1 pep chromosome:Pop_tri_v4:16:6501688:6505254:-1 gene:Potri.016G083900.v4.1 transcript:Potri.016G083900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083900.v4.1 MIMNTNSSSSTSTAGAGTEANPGGPDQAMATQPPLAAAAATGTTSATSSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKASKIVSMAYPSSMNTFTPGYAPWDETSMLITSATRKIMPSQDELANFHGAEADIGTKDVSDIGTKGVSRFSYNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDVETKGHVKKLNEMDPINFETVLLLMRNLTVNLSSPDFEPIRKVMSSYDVNSKTVGVAALNQTNDIAC >Potri.016G083900.5.v4.1 pep chromosome:Pop_tri_v4:16:6501729:6505248:-1 gene:Potri.016G083900.v4.1 transcript:Potri.016G083900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083900.v4.1 MIMNTNSSSSTSTAGAGTEANPGGPDQAMATQPPLAAAAATGTTSATSSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKASKIVLLPLPVSMAYPSSMNTFTPGYAPWDETSMLITSATRKIMPSQDELANFHGAEDIGTKGVSRFSYNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDVETKGHVKKLNEMDPINFETVLLLMRNLTVNLSSPDFEPIRKVMSSYDVNSKTVGVAALNQTNDIAC >Potri.016G083900.11.v4.1 pep chromosome:Pop_tri_v4:16:6501685:6505246:-1 gene:Potri.016G083900.v4.1 transcript:Potri.016G083900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G083900.v4.1 MIMNTNSSSSTSTAGAGTEANPGGPDQAMATQPPLAAAAATGTTSATSSDGSGKKVRKPYTITKSRESWTEEEHDKFLEALQLFDRDWKKIEDFVGSKTVIQIRSHAQKYFLKVQKNGTIAHVPPPRPKRKASHPYPQKASKIVLLPLPVSMAYPSSMNTFTPGYAPWDETSMLITSATRKIMPSQDELANFHGAEDIGTKGVSRFSYNTVSGLGTSSRTLPSAEMPKQGKQAPVLHGIPDFAEVYSFIGSVFDVETKGHVKKLNEMDPINFETVLLLMRNLTVNLSSPDFEPIRKVMSSYDVNSKTVGVAALNQTNDIAC >Potri.009G077100.2.v4.1 pep chromosome:Pop_tri_v4:9:7459310:7460476:1 gene:Potri.009G077100.v4.1 transcript:Potri.009G077100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077100.v4.1 MKLEGILGIEDDERLSSDNMYGLVGWDFMDSEEYPTVEDEEGSEMFRFDDSRSSFFEFEDSHYSTGKVIKEESLGFWDGDEKRVSLNLNLNYQEVLDAWSDRGSLLADDYSLSMESTCYYKGEVPVMEEDRTRREASVLRYKEKRQTRLFSKKIRYPVSIRKLNADKSSRLKGRFVKRVF >Potri.010G209800.1.v4.1 pep chromosome:Pop_tri_v4:10:19911707:19912515:1 gene:Potri.010G209800.v4.1 transcript:Potri.010G209800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209800.v4.1 MNFLAWLPLFLLVNFLHQPTTLVGADLIQETCQKTRYPALCVKTLKSNPRSSTADAKGLVHIMLEANLANSKLTLATVSKLLKESSDKALKKCLDVCAEEYDTAANDDFPTAIQSLEINDLGTAKIHVSAAFDAPGNCRDTFSEVPGVQAPPDLSKLNDYFEQLSVTALIMLNNLG >Potri.001G228300.2.v4.1 pep chromosome:Pop_tri_v4:1:24758598:24761262:1 gene:Potri.001G228300.v4.1 transcript:Potri.001G228300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228300.v4.1 MSCKTFLFKHLINSKFLKFHLFISETAKQLHNTKSILSRETKECMASSNLRILQALFVSLLLFIPKVFSFDCSQPKGSSLSSLEANPSWLSPNEEFAIGFQKLPNDNENHFFLAIWFNKIPETTIVWFAHTEPAPQGSTLKLTDEGKLVLHDPQGNSLWERPSTGGAKSMCASMNDSGNFMLLDGDNNPIWETFNETTDTILPGQTLNMGSNLTARYSRESYVDGRFQLHLQPDGNLVLYTVTMPTGAVRGAYWATGTMTGNSKLVFNENGYMYVTDGTRWVYNLTKNDTGSSQDFYHMARIDYDGVFRQYHCPKSKNCGLKWSVVKRFPEDICSVILTEVGSGACGYNSICVETNGEPACLCPENYSYLNEFAKNQGCRPNFELPSCRPNGWESNLGLVEFVEYNNTDWPLDDYDLQIGSGVDLQTCKQLCLDDCFCTVAIHNGNSCWKKKYPLSNGRREPNVNRTALVKVPKVNVTELYLVSQRQNNKDQSTTVLIVSILLGSSVFINIVMTLAICIAIYFSYHNKLLNISSVSSVASTNIRSYAYKELEQATGGFKQILGKGAFGTVYKGVLASHPKRFVAIKKLEKFEQEGEKEFKTEVSVIGQTHHKNLVRLLGYCDEGEHRLLVYEYMTNGSLASLLFGITRPDWNQRVQIAFGIARGLMYLHEECSTQIIHCDIKPQNILLDEFYTPRISDFGLAKLLVAEQTRVARTNIRGTVGYFAPEWFSRASITVKVDVYSFGVLLLEMICCKSSVAFGMGDQEEALMDWVYACYCKKKLDKLVENDEDARNDMKKLERLVMVAIWCVQEDASLRPSMKKVTQMLEGVVDVSVPPRPSIYCST >Potri.018G019900.1.v4.1 pep chromosome:Pop_tri_v4:18:1386824:1388447:-1 gene:Potri.018G019900.v4.1 transcript:Potri.018G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019900.v4.1 MEERHVLFGKYEMGRLLGKGTFAKVYYGKHSVTGDSVAIKVINTDQVKKEGMMEQIQTEISVMHLVRHPNIVELKEVMATKTKIFFIMEYVRGGELFAKVAKGRLKEEVARKYFQQLISAIDYCHSRGVYHRDLKPENILLDEDENLKISDFGLSALPEQLLQDGLLHTLCGTPAYVAPEVLRKKGYDGSKADTWSCGVILYVLLAGFLPFQDENVMKMYKKIFKAEYEFQPWFSTDAKRLISRLLVADPERRITIPAIMRNHWFLKGFLRPMAFSIQESIMDKTEEDQDLDSCSVVKPKVSSPRFFNAFEFISSMSSGFDLSSLFETKKKPGSMFTSKVSASAIMEKIEGVAEGLNFKVAKVKDFKVRLQSPCEGRKGKLAVTTEVFEVAPEVAVVEFSKSSGDTLEYATFCKEDVRPALKDIVWTWQGDNVCTNDNNNSQVEDCEIQLL >Potri.004G035300.4.v4.1 pep chromosome:Pop_tri_v4:4:2769698:2779138:1 gene:Potri.004G035300.v4.1 transcript:Potri.004G035300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035300.v4.1 MSSSPPHLPTSTTATTANNNNTSCSSSSTALSRKCFNSDCTDFKSRKGWRLRSGDFAELCDRCASAYEEGSFCETFHLRASGWRCCESCGKGVHCGCIVSIQTFTLLDAGGIACMACERKSFVLTSNPAWSPLFYHAPFPERLKDLSVKSWSQLAGSGPVPWRQAPSLFNPSAAQSELQTRMPYEVDRLNTGERFSAPSLEKRKVEDFSEKFINGNLRIRLQDIVENGNAGIIGEEQPQPSSSLMEDASGQQFGITIPYKSISESNSQIEGSVNALQPAPPPPFTKHFHGSLHNGVDSSVDGHIRNGKPRTDARGRSQLLPRYWPRFTDGELQQISGNSNSVIKPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLRVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDIVTFSRLEPEGKLVMGFRKATSAPPSDQDNETSQTGNGVSTKGDAELDPSPWSKVDKSGYIAKEVLEGKSSIRKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQGLLRPPPDHVPSIVAIEGFEFEEYEDAPVLGKPTIFATDNVGQKIQWVQCEDCLKWRKLPANALLPSKWACSSNTWDPERSSCSVAQELTAEQLEDLLPSCNLVVTSKRSKDAKKDIDRVEALEGLDTLANLAILGEGEAFPASSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETKQSEKEAETTRKRQQEPSAEKLLDDEPSPSSNTGSESGSPNKKKTVSEGSDDDPSRMKSSTSPFKGQIDLNIQPEREDELSPGSDSGGMMRMLQDATETYLRMQRFLSSDGDNNPSGNHMLSSGGTREKVSDVIMLGSSHQDADKDHPSAFSMNASASTPATG >Potri.004G035300.3.v4.1 pep chromosome:Pop_tri_v4:4:2769698:2778937:1 gene:Potri.004G035300.v4.1 transcript:Potri.004G035300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035300.v4.1 MSSSPPHLPTSTTATTANNNNTSCSSSSTALSRKCFNSDCTDFKSRKGWRLRSGDFAELCDRCASAYEEGSFCETFHLRASGWRCCESCGKGVHCGCIVSIQTFTLLDAGGIACMACERKSFVLTSNPAWSPLFYHAPFPERLKDLSVKSWSQLAGSGPVPWRQAPSLFNPSAAQSELQTRMPYEVDRLNTGERFSAPSLEKRKVEDFSEKFINGNLRIRLQDIVENGNAGIIGEEQPQPSSSLMEDASGQQFGITIPYKSISESNSQIEGSVNALQPAPPPPFTKHFHGSLHNGVDSSVDGHIRNGKPRTDARGRSQLLPRYWPRFTDGELQQISGNSNSVIKPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLRVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDIVTFSRLEPEGKLVMGFRKATSAPPSDQDNETSQTGNGVSTKGDAELDPSPWSKVDKSGYIAKEVLEGKSSIRKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQGLLRPPPDHVPSIVAIEGFEFEEYEDAPVLGKPTIFATDNVGQKIQWVQCEDCLKWRKLPANALLPSKWACSSNTWDPERSSCSVAQELTAEQLEDLLPSCNLAVVTSKRSKDAKKDIDRVEALEGLDTLANLAILGEGEAFPASSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETKQSEKEAETTRKRQQEPSAEKLLDDEPSPSSNTGSESGSPNKKKTVSEGSDDDPSRMKSSTSPFKGQIDLNIQPEREDELSPGSDSGGMMRMLQDATETYLRMQRFLSSDGDNNPSGNHMLSSGGTREKVSDVIMLGSSHQDADKDHPSAFSMNASASTPATG >Potri.003G038600.1.v4.1 pep chromosome:Pop_tri_v4:3:4310365:4315122:1 gene:Potri.003G038600.v4.1 transcript:Potri.003G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038600.v4.1 MAAASLLTFPVTNSSILSNLISEFLILSNHNQLFFFFFFIFAFFLSFSLSVFLLCRRLRRRPKTHNDPLLIDPSLTKLINGARKTGENGKTRLPHSLLLDILPGALTSGDVDKTGSGHEEERDGSVEDLQRVKKKKKRKAKKKRLLDLKSEENGFGGDSEKERQKPELVCLYPFTSTSSATQRKIKQQYDQLVKCNESNALTLAQVGEFANCLIEARTELQHKSEVIKRKFTITKALLFKADRSSIDRLRQQIYKLELEQKRLEEDTFVYNWLQQQLKLSPAYKKMLEISACVELKAKSEEVIETNDHEFGDISFEELLAQEKKDAFWQKNGKLRTYSS >Potri.012G068801.1.v4.1 pep chromosome:Pop_tri_v4:12:8987177:8989829:1 gene:Potri.012G068801.v4.1 transcript:Potri.012G068801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068801.v4.1 MASSIVFKSFTGLRHSSQEHPKVLHSHTNPISSFSYRRFRITASKSSPKLQNRNLRVAVIGGGPAGGAAAETLAKGGIETYLIERKLDNCKPCGGAIPLCMVGEFDLPLDIIDRRVTKMKMISPSNVAVDIGRTLKPHEYIGMVRREVLDAYLRERASTNGAKVINGLFLKMDIPKKGSENINSPYVLHYTEYDGKKGGTGERKTLEVDVVIGADGANSRVAKSIDAGDYDYAIAFQERIKIPSDKMVYYENLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRARDKILGGKIIRVEAHPIPEHPRPRRLSGRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSGNGKRMVDESDLRKYLEKWDKTYWPTYKVLDVLQKVFYRSNPAREAFVEMCADEYVQKMTFDSYLYKRVVPGNPLEDLKLAVNTIGSLVRANALRREMDKLSA >Potri.010G129000.1.v4.1 pep chromosome:Pop_tri_v4:10:14553780:14555797:1 gene:Potri.010G129000.v4.1 transcript:Potri.010G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129000.v4.1 MQTPSFAYISSIESAYIEADDQGDEESLFLSLSPPGQQHITRHPNHRRSSIHPRNIQENPSNFESGVTIALHIGPPTAGARTSNHPDNHIGSLVEGQYWIPSPAQILVGPTQFTCSVCNKTFNRYNNMQMHMWGHGSQYRKGPDSLKGPKQASSTLRLPCYCCAEGCKNNIEHPRSRPLKDFKTLQTHYKRKHGAKPFGCRKCGKPFAVRGDWRTHEKNCGKLWFCICGSDFKHKRSLKDHVRAFGDGHAAHTVDMCHDIDEEEDQEDDINNMED >Potri.004G139900.1.v4.1 pep chromosome:Pop_tri_v4:4:16212045:16217280:1 gene:Potri.004G139900.v4.1 transcript:Potri.004G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G139900.v4.1 MQETLLATLSENPKTKANNKDVSLLVTSPCHIVSTRTRSQPNSRRVTPTRDSTSTTITASVDTSCALVEKVLPNGDIYTGGLVDGIPHGKGKYLWSDGCMYEGEWKKGKANGGGKFSWPTGASYEGHFKLGKMDGFGTFIGVDGEAYSGNWVSDKKHGFGEKRYANGDVYQGLWKDNLQDGDGKYSWSNGNEYIGEWKNGVIFGKGVLVWDNGNRYEGYWENGVPKGKGMLTFGSGNGRVCGGGEDLKRVALDPVGGGGRKRSSVDGNFPRICIWELDGEAGDITCDIVDNVEASMFYRDGSDDNGGGCEGNVQQQQPGRSPCSSVDCEVNKKQGQTISKGHKNYDLMLNLQLGVRHSVGKHASITRELRQGDFDPKEKFWTRFPPEGSKSTPPHQSVDFKWKDYCPMVFRHLRELFAIDPADYMLAICGSDTLREFSSPGKSGSSFYLTQDDRFMIKTVKKSEVKVLIKMLPSYYQHVCQYKNSLVTKFFGVHCVKPMGGQKTRFVVMGNLFCSEYRIHKRFDLKGSSHGRTTDKPEGEIDETTTLKDLDLNFVFRLERSWFNELIRQIYRDCEFLEAERIMDYSLLIGLHFRDDYSSDEMMSLNDKHFEKRNSHNEETSMRGYHLLPDMDWVMEGSGPFIRLGANMPARAERLSRNTELDQCTGSGSNNSTPSQNGTEIFDVVLYFGIIDILQDYDISKKLEHAYKSLQVDPTSISAVDPKLYSKRFRDFIHRIFIEDK >Potri.005G138300.1.v4.1 pep chromosome:Pop_tri_v4:5:10860340:10862090:1 gene:Potri.005G138300.v4.1 transcript:Potri.005G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138300.v4.1 MVDVDRRMTGLNPAHIAGLRRLSARAAAPSTTTTLPARNSLLSFSSLADKVITHLRNSGIQVQPGLTDSEFARSEAEFGFAFPPDLHAVLSAGLPVGAGFPDWRSAGARLHLRASLDLPIAAICFQIARNTLWSKSWGPRPSDPEKALRIARNSLKRAPLLIPIFNHCYIPCQPSLAGNPIFFVDENRIFCCGLDLSDFFDREYLFRSSKSDPIIIKKQKSVSEKSTGLSNNPSRKSLDTGLVNGSRTPRWVEFWSDAVVDRRRRNSASSSSGSSSPERFFEMPRSEIPRWVGDYIQQIGSVLREGGWRESDIDEMVQVSASGFFEGEMVILDNQAILDALLLKVDRFSDSLRKAGWSSEEVSDALGFDFRAEKERKPVKTLSPELVEKIGKLAESVSR >Potri.010G232300.1.v4.1 pep chromosome:Pop_tri_v4:10:21403324:21406156:1 gene:Potri.010G232300.v4.1 transcript:Potri.010G232300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232300.v4.1 MEIKQKKPVLLKKMATRGPRIQALWHLPWLVFLFSTTRVFCASPSKVPRLGVHGPYGARNHLGKVKVQSLAPSDQEFRTFYYNQTLDHFNYRPESYKTFQHRYVVSFKHWRGPDTMAPIFVYLGEESSLNDDLGYIGILSDNAARFGALQVYIEHRFYGESIPFVSREEALKDANLRGYFSSAQTLADYAEVILHIKKKHSADSSPVIVFGGSYGGMLAAWFRLKYPHVALGALASSAPVLYFDNITPSNGYYTVVTKDFKESSESCYKTIKQSWFEIDKVAAKADGLSILQKKFNTCKPLEAATELKNFLDSLFSVAAQYDRPPRYPVDLVCKGIDSAPEGSDVLDRIFSGIVAYFGKKPCYNLDAFFSSETLEGWTWQTCSELVIPIGRGSNDTMFPAEPFDLKEYIEECKSAFGVPPRPHWITTYYGGHHFKEVLRRFGSNIIFSNGLRDPYSSGGVLENISDSILAVYTTKGAHCMDILPATIGDPDWVVLQRNIEIEIINGWILKYYQDLLENSSQMIFLH >Potri.011G159100.1.v4.1 pep chromosome:Pop_tri_v4:11:18485126:18487026:1 gene:Potri.011G159100.v4.1 transcript:Potri.011G159100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G159100.v4.1 MVLPSASILSILVVLLLSPFVFSYPIQDTFLQCLSSTSESSFPFSTALYTPINNSSFTTVLLSTAQNLRYILPSVPKPEFIFTPFNESDIQAAVACCKQLGIHFRVRSGGHDYEAVSYVSAIESPFIIIDLAKLRSVDVDIEDNSAWVQAGATNGELYYRIAEKSKTHGFPAGLCTSLGMGGLITGGAYGAMMRKYGLGADNVIDARIVDAQGRILDRKAMGEELFWAIRGGGGGSFGIITAWKVKLVPVPETVTVFTVTKTLEQGATKLLYRWQQVADKLDEDLFIRVSIQTAGTTGNRTITTSYNAVFLGDAKRLLRVMESSFPELGLTQKDCIETTWLESVLYTGSYPSNTPPEALLQANNVLKSYFKAKSDFVQEPIPESALKGIWKRLFKEEGGFMIWNPFGGMMSKISEFETPFPHRKGDLFMIQYVTGWQDASGDVGKHVKWIRELYKYMAPYVSKNPREAYVNYRDLDLGINRNTNTSFIKASVWGAKYFKGNFYRLALVKSKVDPDNIFRHEQSIPPLPLHMR >Potri.008G013300.1.v4.1 pep chromosome:Pop_tri_v4:8:664851:668656:1 gene:Potri.008G013300.v4.1 transcript:Potri.008G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013300.v4.1 MDRLDGSAHLIIVSDLDFTMVDHLDPDNLGLLRFNAMWEAYYRQDSLLVFSTGRSPTIYKQLRKEKPLLTPDIAIMSVGTEIMYGESMIRDEDWEQYLNKNWNREIVTEETAQFPELTPQSETEQRPHKVSFFVEKIKALKVIRSLSERLEKRGLDVKLVYSNETALDVLPKGAGKGQALAYLLEKFKVDGKMPVNTLVCGDSGNDAELFSVPEVYGVMVSNAQEELLRWHAENARNNPNIIHATERCAAGIIQAIGNFSLGPNVSPRDIRDFQKCKVEIFSSGHEVVKFYLFYERWRRAEVAKNMQTPKLIFFPLGTFVHPSGVEQPVNHCIDVMARLHGDKQGTNYRIWVDRVSSAQVGSDTWLVKFYKWESFGEERLGCLTTVLLSSKANVPDGFTWMHMHQTWLEGSEPKDQTTWLF >Potri.001G348900.19.v4.1 pep chromosome:Pop_tri_v4:1:36079322:36082831:-1 gene:Potri.001G348900.v4.1 transcript:Potri.001G348900.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348900.v4.1 MGIKPQNPKVPCTMSTASINSWCLTSVSASKRSLPALRPSVFASLNSSVSPPTLIRNQPVFAAPAPILYPPRRGEEMGKDYNEAIESLKKLLSDKEELKTVAAAKVEQITAELQTASSSDPKAFDPVEKIKSGFIHFKKEKYDKNPGLYSELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVLRNVANMVPPYDKTKYAGVGAAVEYAVLHLKVEYIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKVCYPAKTKVLAEHANAPFPDLCTQCEKEAVNVSLGHLLTYPFVRDGLVNKTLGLKGGYYDFVKGSFELWGLEYSLSPSLSVKDVATILHWKL >Potri.001G348900.20.v4.1 pep chromosome:Pop_tri_v4:1:36079780:36082692:-1 gene:Potri.001G348900.v4.1 transcript:Potri.001G348900.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348900.v4.1 MSTASINSWCLTSVSASKRSLPALRPSVFASLNSSVSPPTLIRNQPVFAAPAPILYPPRRGEEMGKDYNEAIESLKKLLSDKEELKTVAAAKVEQITAELQTASSSDPKAFDPVEKIKSGFIHFKKEKYDKNPGLYSELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVLRNVANMVPPYDKTKYAGVGAAVEYAVLHLKVEYIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKVCYPAKTKVLAEHANAPFPDLCTQCEKASSERVPWTLANLPVCERWLGEQNSRTEGWLL >Potri.001G348900.11.v4.1 pep chromosome:Pop_tri_v4:1:36079320:36083189:-1 gene:Potri.001G348900.v4.1 transcript:Potri.001G348900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348900.v4.1 MGIKPQNPKVPCTMSTASINSWCLTSVSASKRSLPALRPSVFASLNSSVSPPTLIRNQPVFAAPAPILYPPRRGEEMGKDYNEAIESLKKLLSDKEELKTVAAAKVEQITAELQTASSSDPKAFDPVEKIKSGFIHFKKEKYDKNPGLYSELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVLRNVANMVPPYDKTKYAGVGAAVEYAVLHLKVEYIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKVCYPAKTKVLAEHANAPFPDLCTQCEKEAVNVSLGHLLTYPFVRDGLVNKTLGLKGGYYDFVKGSFELWGLEYSLSPSLSV >Potri.001G348900.21.v4.1 pep chromosome:Pop_tri_v4:1:36079529:36081531:-1 gene:Potri.001G348900.v4.1 transcript:Potri.001G348900.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348900.v4.1 MVFACSDSRVCPSHVLDFQPGEAFVLRNVANMVPPYDKTKYAGVGAAVEYAVLHLKVEYIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKVCYPAKTKVLAEHANAPFPDLCTQCEKEAVNVSLGHLLTYPFVRDGLVNKTLGLKGGYYDFVKGSFELWGLEYSLSPSLSV >Potri.001G348900.12.v4.1 pep chromosome:Pop_tri_v4:1:36079485:36082692:-1 gene:Potri.001G348900.v4.1 transcript:Potri.001G348900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348900.v4.1 MSTASINSWCLTSVSASKRSLPALRPSVFASLNSSVSPPTLIRNQPVFAAPAPILYPPRRGEEMGKDYNEAIESLKKLLSDKEELKTVAAAKVEQITAELQTASSSDPKAFDPVEKIKSGFIHFKKEKYDKNPGLYSELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVLRNVANMVPPYDKTKYAGVGAAVEYAVLHLKVEYIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKVCYPAKTKVLAEHANAPFPDLCTQCEKEAVNVSLGHLLTYPFVRDGLVNKTLGLKGGYYDFVKGSFELWGLEYSLSPSLSDVATILHWKL >Potri.001G348900.17.v4.1 pep chromosome:Pop_tri_v4:1:36079322:36082692:-1 gene:Potri.001G348900.v4.1 transcript:Potri.001G348900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348900.v4.1 MSTASINSWCLTSVSASKRSLPALRPSVFASLNSSVSPPTLIRNQPVFAAPAPILYPPRRGEEMGKDYNEAIESLKKLLSDKEELKTVAAAKVEQITAELQTASSSDPKAFDPVEKIKSGFIHFKKEKYDKNPGLYSELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVLRNVANMVPPYDKTKYAGVGAAVEYAVLHLKVEYIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKVCYPAKTKVLAEHANAPFPDLCTQCEKEAVNVSLGHLLTYPFVRDGLVNKTLGLKGGYYDFVKGSFELWGLEYSLSPSLSV >Potri.001G348900.18.v4.1 pep chromosome:Pop_tri_v4:1:36079484:36082692:-1 gene:Potri.001G348900.v4.1 transcript:Potri.001G348900.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348900.v4.1 MSTASINSWCLTSVSASKRSLPALRPSVFASLNSSVSPPTLIRNQPVFAAPAPILYPPRRGEEMGKDYNEAIESLKKLLSDKEELKTVAAAKVEQITAELQTASSSDPKAFDPVEKIKSGFIHFKKEKYDKNPGLYSELAKGQSPKFMVFACSDSRVCPSHVLDFQPGEAFVLRNVANMVPPYDKTKYAGVGAAVEYAVLHLKVEYIVVIGHSACGGIKGLMSFPYDGTTSTDFIEDWVKVCYPAKTKVLAEHANAPFPDLCTQCEKEAVNVSLGHLLTYPFVRDGLVNKTLGLKGGYYDFVKGSFELWGLE >Potri.015G066600.12.v4.1 pep chromosome:Pop_tri_v4:15:9237392:9245338:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MQQFSPSEQASLVWQFICSVPVIVLEDLLPWMYSFFCPEKQVETVQCIRQMVPKEESLQEVVISWLLRNDQSSPRACIRIRQGNQDVPNKMKSILQLQSSKRLLEQNQRRRKHCVQTDVGKSLVDYLHLWHVAIQKEWKEILEELYQIRTLISALTVDSILFRLKFLADVIIFYSIALKRFFFPVLKLANKHMFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGDDLYDTIEFSQRDFYSVNIEKQEEESFDDKVNIHNGDRNNDECSECKKLLCEGDKERFNEVSNLTNKTDKPGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQEYTCTHYTCPICSKSLGDMQVYFQMLDALLAEEKIPDEYSGQTQFILCNDCEKKGAARFHWLYRKCPYCGSYNTRLL >Potri.015G066600.8.v4.1 pep chromosome:Pop_tri_v4:15:9236214:9247816:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MDSSGVGDSPKLPPDKERDAESPWPPAVVEAECFSNVRLTDAPILLLVHFHKALRVEIADLRRLAVTASQAESEARRPELVVELRRRFDFLKLAYKYHTATEDEVIFLALDTCIKNVARTYSLEHESIHDLFGTIFHWLDRLEENKSGLEPFQELVVCIGTMQSSICQHMLKEEEQVFPLLMQQFSPSEQASLVWQFICSVPVIVLEDLLPWMYSFFCPEKQVETVQCIRQMVPKEESLQEVVISWLLRNDQSSPRACIRIRQGNQDVPNKMKSILQLQSSKRLLEQNQRRRKHCVQTDVGKSLVDYLHLWHVAIQKEWKEILEELYQIRTLISALTVDSILFRLKFLADVIIFYSIALKRFFFPVLKLANKHMFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGDDLYDTIEFSQRDFYSVNIEKQEEESFDDKVNIHNGDRNNDECSECKKLLCEGDKERFNEVSNLTNKTDKPGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQEYTCTHYTCPICSKSLGDMQVYFQMLDALLAEEKIPDEYSGQTQKGAARFHWLYRKCPYCGSYNTRLL >Potri.015G066600.14.v4.1 pep chromosome:Pop_tri_v4:15:9237322:9244751:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MKSILQLQSSKRLLEQNQRRRKHCVQTDVGKSLVDYLHLWHVAIQKEWKEILEELYQIRTLISALTVDSILFRLKFLADVIIFYSIALKRFFFPVLKLANKHMFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGDDLYDTIEFSQRDFYSVNIEKQEEESFDDKVNIHNGDRNNDECSECKKLLCEGDKERFNEVSNLTNKTDKPGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQVPG >Potri.015G066600.10.v4.1 pep chromosome:Pop_tri_v4:15:9237391:9245023:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MQQFSPSEQASLVWQFICSVPVIVLEDLLPWMYSFFCPEKQVETVQCIRQMVPKEESLQEVVISWLLRNDQSSPRACIRIRQGNQDVPNKMKSILQLQSSKRLLEQNQRRRKHCVQTDVGKSLVDYLHLWHVAIQKEWKEILEELYQIRTLISALTVDSILFRLKFLADVIIFYSIALKRFFFPVLKLANKHMFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGDDLYDTIEFSQRDFYSVNIEKQEEESFDDKVNIHNGDRNNDECSECKKLLCEGDKERFNEVSNLTNKTDKPGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQEYTCTHYTCPICSKSLGDMQVYFQMLDALLAEEKIPDEYSGQTQVYRSPSLIILAKCYKIYPLMDCERDCSLYSVMTVRRKELLVSIGYIANAHTVAHTTPGFYDSC >Potri.015G066600.13.v4.1 pep chromosome:Pop_tri_v4:15:9237389:9244241:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGDDLYDTIEFSQRDFYSVNIEKQEEESFDDKVNIHNGDRNNDECSECKKLLCEGDKERFNEVSNLTNKTDKPGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQEYTCTHYTCPICSKSLGDMQVYFQMLDALLAEEKIPDEYSGQTQVYRSPSLIILAKCYKIYPLMDCERDCSLYSVMTVRRKELLVSIGYIANAHTVAHTTPGFYDSC >Potri.015G066600.7.v4.1 pep chromosome:Pop_tri_v4:15:9236214:9247816:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MDSSGVGDSPKLPPDKERDAESPWPPAVVEAECFSNVRLTDAPILLLVHFHKALRVEIADLRRLAVTASQAESEARRPELVVELRRRFDFLKLAYKYHTATEDEVIFLALDTCIKNVARTYSLEHESIHDLFGTIFHWLDRLEENKSGLEPFQELVVCIGTMQSSICQHMLKEEEQVFPLLMQQFSPSEQASLVWQFICSVPVIVLEDLLPWMYSFFCPEKQVETVQCIRQMVPKEESLQEVVISWLLRNDQSSPRACIRIRQGNQDVPNKMKSILQLQSSKRLLEQNQRRRKHCVQTDVGKSLVDYLHLWHVAIQKEWKEILEELYQIRTLISALTVDSILFRLKFLADVIIFYSIALKRFFFPVLKLANKHMFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGDDLYDTIEFSQRDFYSVNIEKQEEESFDDKVNIHNGDRNNDECSECKKLLCEGDKERFNEVSNLTNKTDKPGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQEYTCTHYTCPICSKSLGDMQMLDALLAEEKIPDEYSGQTQFILCNDCEKKGAARFHWLYRKCPYCGSYNTRLL >Potri.015G066600.3.v4.1 pep chromosome:Pop_tri_v4:15:9237400:9247878:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MDSSGVGDSPKLPPDKERDAESPWPPAVVEAECFSNVRLTDAPILLLVHFHKALRVEIADLRRLAVTASQAESEARRPELVVELRRRFDFLKLAYKYHTATEDEVIFLALDTCIKNVARTYSLEHESIHDLFGTIFHWLDRLEENKSGLEPFQELVVCIGTMQSSICQHMLKEEEQVFPLLMQQFSPSEQASLVWQFICSVPVIVLEDLLPWMYSFFCPEKQVETVQCIRQMVPKEESLQEVVISWLLRNDQSSPRACIRIRQGNQDVPNKMKSILQLQSSKRLLEQNQRRRKHCVQTDVGKSLVDYLHLWHVAIQKEWKEILEELYQIRTLISALTVDSILFRLKFLADVIIFYSIALKRFFFPVLKLANKHMFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGDDLYDTIEFSQRDFYSVNIEKQEEESFDDKVNIHNGDRNNDECSECKKLLCEGDKERFNEVSNLTNKTDKPGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQVPG >Potri.015G066600.9.v4.1 pep chromosome:Pop_tri_v4:15:9237321:9247839:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MDSSGVGDSPKLPPDKERDAESPWPPAVVEAECFSNVRLTDAPILLLVHFHKALRVEIADLRRLAVTASQAESEARRPELVVELRRRFDFLKLAYKYHTATEDEVIFLALDTCIKNVARTYSLEHESIHDLFGTIFHWLDRLEENKSGLEPFQELVVCIGTMQSSICQHMLKEEEQVFPLLMQQFSPSEQASLVWQFICSVPVIVLEDLLPWMYSFFCPEKQVETVQCIRQMVPKEESLQEVVISWLLRNDQSSPRACIRIRQGNQDVPNKMKSILQLQSSKRLLEQNQRRRKHCVQTDVGKSLVDYLHLWHVAIQKEWKEILEELYQIRTLISALTVDSILFRLKFLADVIIFYSIALKRFFFPVLKLANKHMFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQEYTCTHYTCPICSKSLGDMQVYFQMLDALLAEEKIPDEYSGQTQFILCNDCEKKGAARFHWLYRKCPYCGSYNTRLL >Potri.015G066600.11.v4.1 pep chromosome:Pop_tri_v4:15:9237293:9246626:-1 gene:Potri.015G066600.v4.1 transcript:Potri.015G066600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066600.v4.1 MQSSICQHMLKEEEQVFPLLMQQFSPSEQASLVWQFICSVPVIVLEDLLPWMYSFFCPEKQVETVQCIRQMVPKEESLQEVVISWLLRNDQSSPRACIRIRQGNQDVPNKMKSILQLQSSKRLLEQNQRRRKHCVQTDVGKSLVDYLHLWHVAIQKEWKEILEELYQIRTLISALTVDSILFRLKFLADVIIFYSIALKRFFFPVLKLANKHMFPSSSEQSSIENHIESLHKLLYCQKGLPSCKFVEKLCQEMKSLAMDLTKQFIFYETKVFPFISNNCSLETQLQILYMSLHVMPLGLLKCAITWFAIHLSKNESRSILDSMNLGEVLANKSFTSLLLEWFHIGYSGKTSMENFCKDLEKVFRSRYSILPEQIKDVVSLSSQTQTCKESKSNNIELVSANKGKNFLSYALSPGSHRGKACDTSYTSEINLHIFFPGTLWASDAFLKLPGGESSSAPTINQPIPLDFIFFFHKALMKDLEDLVFGSVKLAENIGFLTEFHRHFHLLQFWYQFHSDAEDEIVFPALEAKEEVRNISHSYTIDHKLEVEYFNEVSHLLDKMSELHISASTDDLEKQDQILVKHNRLCMKLHYTCKSMHKLLSDHVHREEVELWPMFRECFSIQEQEKIIGRMLGNIKAKTLQDMIPWLLGSLTPEEQREMMSLWRNVTKNTMFDDWLREWWEGYDIAHVATELNTSCTPDPLDIIARYLPTEAFDKQGDDLYDTIEFSQRDFYSVNIEKQEEESFDDKVNIHNGDRNNDECSECKKLLCEGDKERFNEVSNLTNKTDKPGQPFQLTLKSKYHERLLKMSQDDMEAAIRRVSRESSLDHQKKSFIIQNLIMSRWIVHQKISHTEVTISSNGGEEIPGQHPSYRDSPEPILGCKHYKRNCKLVMPCCNKIYTCIRCHDELADHSTDRRAITKMMCMKCLIIQPIGETCSTVSCNNLSMGRYYCRICKLLDDEREIYHCPYCNLCRVGKGLGIDYFHCMNCNACMARSLSVHVCREKCLEDNCPICHEYIFTSSTPVKALYCGHLMHSTCFQEYTCTHYTCPICSKSLGDMQVYFQMLDALLAEEKIPDEYSGQTQFILCNDCEKKGAARFHWLYRKCPYCGSYNTRLL >Potri.004G191000.3.v4.1 pep chromosome:Pop_tri_v4:4:20313726:20314361:-1 gene:Potri.004G191000.v4.1 transcript:Potri.004G191000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191000.v4.1 MDSTRPLAKVNDQVYEDIDPKMEWVNDAGFDTLLVRLPGFTKQQLRIQAATGDRKLKITGKSRQRNNKLIRFNKELTVPSDYNLDQIRAKFEGGVLYIKHPKKNISPAMPVQENNASSTAEPQKPANEKPEDGTSGQD >Potri.008G086100.2.v4.1 pep chromosome:Pop_tri_v4:8:5390108:5390389:-1 gene:Potri.008G086100.v4.1 transcript:Potri.008G086100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086100.v4.1 MVFCAHRVLILLICIGFIAVQPDEVYGLTSVELVLRHNQKAQGTAPQSQRVLKDVDMQGMDTKKSAHASKTFDRSQSNKRRAPRGSDPIHNRS >Potri.009G024200.1.v4.1 pep chromosome:Pop_tri_v4:9:3618488:3619959:-1 gene:Potri.009G024200.v4.1 transcript:Potri.009G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024200.v4.1 MEGIVRPHSYDPKSSPPSKLGIHRDSHVISKLIKPKVRVIHIFAPEIIKTDVADFRELVQRLTGQPCESKGMIKKKAGSSSTADKGKKNTAGSSICESNKKSMRQLPELGLPSLIRAEKLKVEVEANKMWGDLDVQLIDFVI >Potri.010G231500.1.v4.1 pep chromosome:Pop_tri_v4:10:21342557:21344510:-1 gene:Potri.010G231500.v4.1 transcript:Potri.010G231500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231500.v4.1 MSPALVLEVQTICKEDELVESHKYHKGVKHLCERGLTKVPRKYILPALERPLLSKKDGATNLKLPIIDLAQLQGPDRIHALESLSKACEEYGFFQLINHGIASESVLEMIQAARKFFELPFEERSKYMSKDQSAPVRYGTSFNQNKDRVFCWRDFIKLNCHPLSDVLPFWPSSPAELRQAVVNYSKGTKSLYLMLAKAILESLGLVETEKNIDESDSCDLLKEFEDGSQLIVANCYPSCPEPDLTLGMPPHSDYGFLTLLLPDEEVKGLQIQHEGRWVTVEPIPNSFVVNVGDHLEIFSNGKYRSVLHRVLVNPSKSRISIASLHSLPFNSMIRPSPKLVNDANPRRYKDTDFATFIQYMASHEHKNKNFLESRRLSQLGDA >Potri.001G437500.1.v4.1 pep chromosome:Pop_tri_v4:1:46344472:46345479:-1 gene:Potri.001G437500.v4.1 transcript:Potri.001G437500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437500.v4.1 MAGDQVTLLDFWASPFGMRVRIALAEKGVKYEYSEQDLRNKTALLLQMNPVHKKIPVLVHNGKPVCESLIIVQNIDEAWKDKAPLLPSDPYQGVQSRFWADFVDKKTLGYVDVALLPFLCWFYAYETIGNFSIEADCPKLIAYCKRCLQKESVSKSLEDPQKVSDFVVMMRKKLGLE >Potri.009G169900.2.v4.1 pep chromosome:Pop_tri_v4:9:12907145:12909649:1 gene:Potri.009G169900.v4.1 transcript:Potri.009G169900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169900.v4.1 MEGTRRRSTTTTKPFRKLTDHDESSALTGPKASDALPLPLYITNALFFTVFFSVVYFLLTRWREKIRNSTPLHLVTLSDIVAIFAFVASFIYMLGFFGIDFVQSLILRPSPDVWAAEDDDEDEEDLLKEDARKVRCGQALDCTAPPQKLAVVPSPPLKPKVVDEIPFPTTLNEEDEEIINSVVAGKTPSYSLESKLGDCKRAAAIRREALQRITCKSLSGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLDDKEYSVPMATTEGCLVASTNRGCKAIHLSGGATSVLLRDAMTRAPVVRFGTAKRAAQLKFYLEDPANFEVVSTAFNKSSRFGRLQNIKCALAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDFLQKDFPDMDVLGISGNYCSDKKPSAVNWIEGRGKSVVCEAIIKGDVVRKVLKTNVGALVELNMLKNLTGSAMAGALGGFNAQASNIVSAIYIATGQDPAQNVESSHCITMMEPLNGGEDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKETPGANARLLASIVAGSVLAAELSLMSAIAAGQLVSSHMKFNRSKKDVSKVSS >Potri.001G182600.1.v4.1 pep chromosome:Pop_tri_v4:1:16115737:16121958:-1 gene:Potri.001G182600.v4.1 transcript:Potri.001G182600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182600.v4.1 MEFRGFPYKPYSIQIDFMKALYHSLNQGGVSILESPTGTGKTLSIICSALQWVYDRRQQDKSKVQVQSPHHSTDDAHIGSDDEPDWLRNFVPNKDNLTQEKKINKKFGFGGCDRRRNRKESCKDLFSRDLEEEDCNKRGENKCSRKKNDGVELSDDEFLLDEYESEEEGALGGGKSKRKAGGVSISSSSDEEGEKDGPDGEEEEEEKAFKIYFCSRTHSQLSQFIKELRKTLFSNEINVVCLGSRKNFCINEEVLKLGSSVRVNERCLELQKNKKNEVSKIKNFSAEGRIRRTKASSGCPMLRKHKLQKEFRNETSQHGALDIEDLVRIGRSIGTCPYYGSRSMVPAADLVVLPYQSLLSKSSRESLGLNLKNSIIVIDEAHNLADSLISMYDAKITSSQLESVHSHIEKYFTRFRNLLGPGNRRYIQTLMVLTRAFLQTLDNKKDLSNVNNYQAEEIAADMKAVCDTSIAINDFLFSLNIDNINLVKLLQYIKESNLVHKVSGYGEKVASLQEGLALNRNGEFGEEGSTLSSFRALVDMLMSLTNNDGDGRMIISKMRSTCSGLQGGFLKYVMLTGEKIFSEIVDEAHAVILAGGTLQPIEETRERLFPWLPPNQLHFFSCSHIVPPESILPIAVSRGPSGQSFDFSYSSRSSLVMIEELGLLLCNLVAFVPEGIVVFFSSFEYEGQVYDSWKKSGILERIMRKKRIFREPRSNSDVELILKEYKETIDGLSSGTKEDGVRHNGAVLLAVVGGKISEGINFSDGMGRCIVMVGLPYPSPSDMELMERVKYIESLGEPNCGKRPEISVGEHYYNGDVQTAFSILRSCRRRGKEYYENLCMKAVNQSIGRAIRHINDYAAILLVDMRYASDSSKTSFSHPTNKLPLWIKDRLVSATNNYGEVHRLLHQFFKYNKKRECQ >Potri.011G117100.1.v4.1 pep chromosome:Pop_tri_v4:11:14831580:14835007:1 gene:Potri.011G117100.v4.1 transcript:Potri.011G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117100.v4.1 MLSNTSFEAAPLLERSNSSVEEGENKRLRWKKVLDVEEAKNQILFSLPMILTNVFYYLITLVSVMFAGHLGELELAGATLANSWATVTGFAFMVGLSGALETLCGQGFGAKMYRMLGIYLQASCIISFIFCITISVIWFYTEPILLLLHQDAHVSMTAALYMKYLIPGLFAYGIMQNILRFLQTQSVVMPPVVFSLIPLCIHIGIAYALVHYTALGFKGAPLAASISLWISFLMLAIYVICAKKFEHTWAGFSFESFHYILHDLKLALPSAAMVCLEYWAFEILVFLAGLMPGSEISTSLIAICVNTETVAYMLTYGLSAAASTRVSNELGEGNPDRAKNAMAVTLKLSVLLALLVVLALAFGHNIWAGLFSSSPTIAKEFASMAPFLAISIALDSVQGVLSGVARGCGWQHLAVYANLATFYCIGMPVACVLGFKLKLYVKGLWIGLISGLCCQAGTLLIITIRTNWTTTDLSITKDKENPIAV >Potri.018G124754.1.v4.1 pep chromosome:Pop_tri_v4:18:13659814:13663045:1 gene:Potri.018G124754.v4.1 transcript:Potri.018G124754.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124754.v4.1 MSGLRVLKRKGRENMDQTQKKEAAVVLDSCKSSGAIKKMKKDDESQSKGKKTCDLVSFWELPEYMKDNEFILSYYRADWPLKKALFSVFRWHNETLNVWTHLLGFFLFVGLTVANLMQVPQVADLLGLFTWSIITSAQRNVSNDSKDFYLGTTELLDLGHNLPMKTDVSSLGMPATRWPFYVFLGGSMFCLLSSSVCHLFSCHSHSLNILLLRMDYVGIVIMIITSFFPPMYYIFQCEPHWQFIYLGGITVMGMFTIVTLLSPPLSTGKFRAFRAMLFASMGLFGLIPAVHSVIANWSNPKRDTIVAYESAMAIFYLTGTGLYVSRFPERLKPGLFDLTGHSHQIFHVFVVLGALAHYGATLLFLEYRDLVGCEVNL >Potri.018G096014.1.v4.1 pep chromosome:Pop_tri_v4:18:11608763:11609098:-1 gene:Potri.018G096014.v4.1 transcript:Potri.018G096014.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096014.v4.1 MKANRSESVGVALLWLLELRWRGEAVDRSASLVEGDEEVAGCCCSAAAWGSFVASVLMRETVACGRWKREDLSAVGWKAVFSVAERERTAADRESKEKREHWCWGRGFRCG >Potri.018G053600.1.v4.1 pep chromosome:Pop_tri_v4:18:5263950:5265689:1 gene:Potri.018G053600.v4.1 transcript:Potri.018G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053600.v4.1 MTPVYLNPASSSFPFVDLKEEQHLQLFLSPHQAATSLSGPTNFFNTTHDQRESKLAESRQHDDHEVDKYSISLGRSSDHKLFPSSSFQPVVNDDDDDSNFHKLFSSKTEDGTEGSGDSSVNWMPSRMTTMQEMTNSNRSETDHQPMKFMLKFHNQQCQNNDINSSSNSNIRVCSDCNTTSTPLWRSGPRGPKSLCNACGIRQRKARRAMAAAENGAVISVEASSSTKSKVNSKVKKLRTSHVVQGKKLSNKPPNPPLQSQKKLCFKNLALSLSKNPALRQVLPHDVEEAAILLMELSCGFIHS >Potri.001G256400.3.v4.1 pep chromosome:Pop_tri_v4:1:27237550:27243960:1 gene:Potri.001G256400.v4.1 transcript:Potri.001G256400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256400.v4.1 MSTGAGKIVCVTGASGYIASWIVKLLLSRGYTVKASVRDPNDPKKTQHLRALRGAQERLELVKANLLEEGSFDSIVEGCEGVFHTASPFYHDVKDPQAELLDPAVKGTLNVLGSCARHPSIKRVVLTSSMAAVAYNRKPRTPDVVVDETWFSDPELCRESKLWYVLSKTLAEDAAWKFAKEKGMDMVAINPSMVIGPLLQPTLNTSAAAILSLIKGAQTFSNASFGWINVKDVANAHIQAFELSSASGRYCLVERVAHHSEVVKILRELYPDLQLPEKCADDKPYVPIYQVSKEKAKSLGIEFIPLEASIKETVESLKEKGFVSF >Potri.008G155600.1.v4.1 pep chromosome:Pop_tri_v4:8:10628655:10629745:1 gene:Potri.008G155600.v4.1 transcript:Potri.008G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155600.v4.1 MTNKVAALLVLCLVLVAAVELPKAAADAYGSCFNTCEQECRIGGQGQTFCEMKCDTDCFNKDVGAKLHFTAP >Potri.014G106800.17.v4.1 pep chromosome:Pop_tri_v4:14:7179446:7186352:1 gene:Potri.014G106800.v4.1 transcript:Potri.014G106800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106800.v4.1 MEIFSSGEDLVIKTRKPYTITKQRERWTEEEHSRFLEALKLYGRAWQRIEEHIGTKTVVQIRSHAQKFFSKLEKEAVVKGVPIGQALDIDIPPPRPKRKPSNPYPRKIGVGPPASQVGAKDGKLLTSASFPCCKQVLGLEKEPLPEKLNGDERPTNAKENQDDNCSEVFSLLQEPHCSSVPSINKNSVPTLDILKKASPFREFVSSPKEGNHDASNQSSVTVELGANQKLDNSDVKQDNSTNGMQAMQNYPRHVPVHVLDGSLGTCMQTPPSDFSFQESMFHPIGEIPACPNLYSHPAASKTTDHPNISPRSSMHQSFPSFPPPFTPTHHNQDDYRSFLHISSTFSSLVVSSLLQNPAAHAAASFASTFWPYGNVESSADSPACAQGGFQSRQLNSAPSMAAIVAATVAAATAWWTAHGLLPMCAPLHTSFACPPASATAIQSVDTGQVSATKTERKETAENPSLQGQIQGPEHTEALQAQNSASKSPKITSSDSEESGGPKLNTGPEVIDHELTTKPHEVQDSSKTKSRKLIDRSSCGSNTPSSSEIETDALEKAEKGTEEPKEDDANHPASESSSRHSRSSSSMNDSWKEVSEEGRLAFQALFAREVLPQSFSPPHDLKSKMHQNEDAGEKKDADEKDGDASLINLNSKTWECCSGHQEGEKNALSRCENYGEEELLTIGLGHGKLKVRRTGFKPYKRCSLEAKESRTGTGSGQGEEKGPKRLRLEGEASV >Potri.014G106800.15.v4.1 pep chromosome:Pop_tri_v4:14:7178932:7186373:1 gene:Potri.014G106800.v4.1 transcript:Potri.014G106800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106800.v4.1 MEIFSSGEDLVIKTRKPYTITKQRERWTEEEHSRFLEALKLYGRAWQRIEEHIGTKTVVQIRSHAQKFFSKLEKEAVVKGVPIGQALDIDIPPPRPKRKPSNPYPRKIGVGPPASQVGAKDGKLLTSASFPCCKQVLGLEKEPLPEKLNGDERPTNAKENQDDNCSEVFSLLQEPHCSSVPSINKNSVPTLDILKKASPFREFVSSPKEGNHDASNQSSVTVELGANQKLDNSDVKQDNSTSEFSKSENFCSFSEKLFQQKKSDDFIGALRTDGMQAMQNYPRHVPVHVLDGSLGTCMQTPPSDFSFQESMFHPIGEIPACPNLYSHPAASKTTDHPNISPRSSMHQSFPSFPPPFTPTHHNQDDYRSFLHISSTFSSLVVSSLLQNPAAHAAASFASTFWPYGNVESSADSPACAQGGFQSRQLNSAPSMAAIVAATVAAATAWWTAHGLLPMCAPLHTSFACPPASATAIQSVDTGQVSATKTERKETAENPSLQGQIQGPEHTEALQAQNSASKSPKITSSDSEESGGPKLNTGPEVIDHELTTKPHEVQDSSKTKSRKLIDRSSCGSNTPSSSEIETDALEKAEKGTEEPKEDDANHPASESSSRHSRSSSSMNDSWKEVSEEGRLAFQALFAREVLPQSFSPPHDLKSKMHQNEDAGEKKDADEKDGDASLINLNSKTWECCSGHQEGEKNALSRCENYGEEELLTIGLGHGKLKVRRTGFKPYKRCSLEAKESRTGTGSGQGEEKGPKRLRLEGEASV >Potri.014G106800.18.v4.1 pep chromosome:Pop_tri_v4:14:7178959:7186259:1 gene:Potri.014G106800.v4.1 transcript:Potri.014G106800.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106800.v4.1 MGSGIEWHDWIIELEKEAVVKGVPIGQALDIDIPPPRPKRKPSNPYPRKIGVGPPASQVGAKDGKLLTSASFPCCKQVLGLEKEPLPEKLNGDERPTNAKENQDDNCSEVFSLLQEPHCSSVPSINKNSVPTLDILKKASPFREFVSSPKEGNHDASNQSSVTVELGANQKLDNSDVKQDNSTSEFSKSENFCSFSEKLFQQKKSDDFIGALRTDGMQAMQNYPRHVPVHVLDGSLGTCMQTPPSDFSFQESMFHPIGEIPACPNLYSHPAASKTTDHPNISPRSSMHQSFPSFPPPFTPTHHNQDDYRSFLHISSTFSSLVVSSLLQNPAAHAAASFASTFWPYGNVESSADSPACAQGGFQSRQLNSAPSMAAIVAATVAAATAWWTAHGLLPMCAPLHTSFACPPASATAIQSVDTGQVSATKTERKETAENPSLQGQIQGPEHTEALQAQNSASKSPKITSSDSEESGGPKLNTGPEVIDHELTTKPHEVQDSSKTKSRKLIDRSSCGSNTPSSSEIETDALEKAEKGTEEPKEDDANHPASESSSRHSRSSSSMNDSWKEVSEEGRLAFQALFAREVLPQSFSPPHDLKSKMHQNEDAGEKKDADEKDGDASLINLNSKTWECCSGHQEGEKNALSRCENYGEEELLTIGLGHGKLKVRRTGFKPYKRCSLEAKESRTGTGSGQGEEKGPKRLRLEGEASV >Potri.014G106800.19.v4.1 pep chromosome:Pop_tri_v4:14:7178934:7186352:1 gene:Potri.014G106800.v4.1 transcript:Potri.014G106800.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106800.v4.1 MDIELEKEAVVKGVPIGQALDIDIPPPRPKRKPSNPYPRKIGVGPPASQVGAKDGKLLTSASFPCCKQVLGLEKEPLPEKLNGDERPTNAKENQDDNCSEVFSLLQEPHCSSVPSINKNSVPTLDILKKASPFREFVSSPKEGNHDASNQSSVTVELGANQKLDNSDVKQDNSTSEFSKSENFCSFSEKLFQQKKSDDFIGALRTDGMQAMQNYPRHVPVHVLDGSLGTCMQTPPSDFSFQESMFHPIGEIPACPNLYSHPAASKTTDHPNISPRSSMHQSFPSFPPPFTPTHHNQDDYRSFLHISSTFSSLVVSSLLQNPAAHAAASFASTFWPYGNVESSADSPACAQGGFQSRQLNSAPSMAAIVAATVAAATAWWTAHGLLPMCAPLHTSFACPPASATAIQSVDTGQVSATKTERKETAENPSLQGQIQGPEHTEALQAQNSASKSPKITSSDSEESGGPKLNTGPEVIDHELTTKPHEVQDSSKTKSRKLIDRSSCGSNTPSSSEIETDALEKAEKGTEEPKEDDANHPASESSSRHSRSSSSMNDSWKEVSEEGRLAFQALFAREVLPQSFSPPHDLKSKMHQNEDAGEKKDADEKDGDASLINLNSKTWECCSGHQEGEKNALSRCENYGEEELLTIGLGHGKLKVRRTGFKPYKRCSLEAKESRTGTGSGQGEEKGPKRLRLEGEASV >Potri.014G106800.16.v4.1 pep chromosome:Pop_tri_v4:14:7178932:7186752:1 gene:Potri.014G106800.v4.1 transcript:Potri.014G106800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106800.v4.1 MEIFSSGEDLVIKTRKPYTITKQRERWTEEEHSRFLEALKLYGRAWQRIEEHIGTKTVVQIRSHAQKFFSKLEKEAVVKGVPIGQALDIDIPPPRPKRKPSNPYPRKIGVGPPASQVGAKDGKLLTSASFPCCKQVLGLEKEPLPEKLNGDERPTNAKENQDDNCSEVFSLLQEPHCSSVPSINKNSVPTLDILKKASPFREFVSSPKEGNHDASNQSSVTVELGANQKLDNSDVKQDNSTSEFSKSENFCSFSEKLFQQKKSDDFIGALRTDGMQAMQNYPRHVPVHVLDGSLGTCMQTPPSDFSFQESMFHPIGEIPACPNLYSHPAASKTTDHPNISPRSSMHQSFPSFPPPFTPTHHNQDDYRSFLHISSTFSSLVVSSLLQNPAAHAAASFASTFWPYGNVESSADSPACAQGGFQSRQLNSAPSMAAIVAATVAAATAWWTAHGLLPMCAPLHTSFACPPASATAIQSVDTGQVSATKTERKETAENPSLQGQIQGPEHTEALQAQNSASKSPKITSSDSEESGGPKLNTGPEVIDHELTTKPHEVQDSSKTKSRKLIDRSSCGSNTPSSSEIETDALEKAEKGTEEPKEDDANHPASESSSRHSRSSSSMNDSWKEVSEEGRLAFQALFAREVLPQSFSPPHDLKSKMHQNEDAGEKKDADEKDGDASLINLNSKTWECCSGHQEGEKNALSRCENYGEEELLTIGLGHGKLKVRRTGFKPYKRCSLEAKESRTGTGSGQGEEKGPKRLRLEGEASV >Potri.014G106800.20.v4.1 pep chromosome:Pop_tri_v4:14:7178959:7186373:1 gene:Potri.014G106800.v4.1 transcript:Potri.014G106800.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106800.v4.1 MDELGSELKNILVQRLSFRSEVMHRTSFPCCKQVLGLEKEPLPEKLNGDERPTNAKENQDDNCSEVFSLLQEPHCSSVPSINKNSVPTLDILKKASPFREFVSSPKEGNHDASNQSSVTVELGANQKLDNSDVKQDNSTSEFSKSENFCSFSEKLFQQKKSDDFIGALRTDGMQAMQNYPRHVPVHVLDGSLGTCMQTPPSDFSFQESMFHPIGEIPACPNLYSHPAASKTTDHPNISPRSSMHQSFPSFPPPFTPTHHNQDDYRSFLHISSTFSSLVVSSLLQNPAAHAAASFASTFWPYGNVESSADSPACAQGGFQSRQLNSAPSMAAIVAATVAAATAWWTAHGLLPMCAPLHTSFACPPASATAIQSVDTGQVSATKTERKETAENPSLQGQIQGPEHTEALQAQNSASKSPKITSSDSEESGGPKLNTGPEVIDHELTTKPHEVQDSSKTKSRKLIDRSSCGSNTPSSSEIETDALEKAEKGTEEPKEDDANHPASESSSRHSRSSSSMNDSWKEVSEEGRLAFQALFAREVLPQSFSPPHDLKSKMHQNEDAGEKKDADEKDGDASLINLNSKTWECCSGHQEGEKNALSRCENYGEEELLTIGLGHGKLKVRRTGFKPYKRCSLEAKESRTGTGSGQGEEKGPKRLRLEGEASV >Potri.003G089000.1.v4.1 pep chromosome:Pop_tri_v4:3:11577157:11577468:-1 gene:Potri.003G089000.v4.1 transcript:Potri.003G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089000.v4.1 MPKALAWCPYQFNGLASGGGTGDGCITIWNIKVGTCTRSIETKALASYALWDLLLTYLVCDCLINSICIMSIQLRIGCLFRCVLVGWEIESGHLIGLYRGNQF >Potri.010G244000.1.v4.1 pep chromosome:Pop_tri_v4:10:22007203:22007412:1 gene:Potri.010G244000.v4.1 transcript:Potri.010G244000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244000.v4.1 MSGNDKSSGITGGAGAAKAPGSGSTGSVSGFDSGATKDGTMKAPGQDVRISREGFEKNPAGYFRDLQKK >Potri.006G204400.1.v4.1 pep chromosome:Pop_tri_v4:6:21233925:21234827:-1 gene:Potri.006G204400.v4.1 transcript:Potri.006G204400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204400.v4.1 MNALNNLGTVLTVLFSVCLAALVFEILYVLWRWRRFHRRSVTSSGADGEFSSSSESLYTTPSKELLYFFCWKSQMTRIEPDSTTPPAASTAVTTVPADGEDALVEEMLKLQGMYGPSRGLFTIKEEDREMEITENDESSIENVLVESKERELSSSDVCFEEIAVANDVVVDVEVEDVTTPFWTPCASPQNYCSPSPSPPRVVLGDSVENTMSFASLEIHG >Potri.019G129700.2.v4.1 pep chromosome:Pop_tri_v4:19:15228224:15229309:-1 gene:Potri.019G129700.v4.1 transcript:Potri.019G129700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129700.v4.1 MVAVVVIVLLFLSCCGCLAKIVGSCCGCLAKIVGSCCGCTCLAWSVRSGCGCLSRCLSKSVKTMKAPGRNYRMPRSNFEANPSAYFRDLRKG >Potri.018G057900.7.v4.1 pep chromosome:Pop_tri_v4:18:5763738:5774439:1 gene:Potri.018G057900.v4.1 transcript:Potri.018G057900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057900.v4.1 MFSIAAINDTDSKEQWEPLAPTKEAQEFHLTQNYHDGLLKLQAKEYDKACELLESVLKDPLISNAQADRNASDGHLLQLRFLVLKNLATVFLQQGPSHYESALRCYLQAVEIDTKDSVVWNQLGTLSCSMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHSRALHVKNTIEESEPVPFSPRGIDKLEPKHVRLKFLDKRKATNENLDEGIACKRANHNIELLLPEVSWAALTDAILEILLKLNGFGSEMGGDTVCRSGDIRLTINMPSNMEIIMESVEKKGSKSIPSVQSMSFVDCNSERASSVKERDPNIIDEQPHERRSTRLRSRKPGKEELDFDTRKDLAKVVVQLIEPFIVKNEDSDLVGSCSVPCFDQANSLDTEHNDVADFVRETSKNYGAYHMGHLLLEHAASRGLKYQDAFVKFLELERLTRHWGRDRTPECCLFLAELYYDLGSLPSNVSKMSEYLSEASYHLCKIIESVALDYPFHLTHVSGNINFSSDKSFQDSDETLKEGTGGWDSLLNISLLDNKSSFWVRYFWLSGKLSIVDGNKAKAHGEFCISLSVLAKKEVTNSAPSVCLPHLKIDKELTLDRILHGINLLKLDLLLEKTVGEKIEKEMYSDCIDLLAPLLFSSKHVHLNVLPLPAADKKGEEFTCIELSALDTLIEACEKAKPMEIEVCLKSHQRKLEILLILAGMDGYVTFHQKSELKAYFASDIVSKENPEKHWNDLVMEEVKAISQCVSQFKNFLGPSVDSNGKIIHFGSIGDIQSLLLAVMCHIANYLSKKSSVPAISEELEQKQICCFVDAGIAYCKLQHLVHTIPVKTQVELIVAIHDLLAEYGLCCAGGDGEGEEGTFLKFAIKHLLALDMKLKSNSNSSNIEAIQHDDKLYSPNKTFKTETILNTLGVEGGGAEINEVSATMSDGFGGISSKDVSSPAGLEKDHADVECRKVGGNEGKNKGEKPIEHINELSEDEREELELLIDNALDQCFFCLYGLNIRSDSSYDDDLATHKNTSRGDYQSKEQCADVFQYILPCARASSKTGLIKLRRVLRAIRKHFPQPPEEVLAGNAIDKFLDDPDLCEDKLSDEAGSEGYLETITKVIFPDAGSVKQHRALMVRSSEPYFEVYCNLYYFLALSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLGNTYDEEVDLLLNDGSKHINVAGWRKNVTLPQRVDTSRRRSRRCLLMSLALAKTPAQQCEIHELLALVCYDSLQNVVPFYDQRSAIPSKDAVWMAFCENSLKHFKKAHTQKQDWSHAFYMGKLCEKLGYSYETSLSYYSVAIALNSSAVDPVYRMHASRLKLLCKSGRLNLEVLKVLAEYSFNESTKDSVMSILSTFAPEVSCSADNIEDISTEESFERKHEESVQLEEVWQMLYNDCISALEVCVEGDLKHFHKARYMLAQGLYKRGLNGDLERAKDELSFCFKSSRSSFTINMWEIDGMVKKGRRKTPGFSGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDICTLDRAFISLRADKRFSLCIEDLVPVALGRFIKTLILSISQVETADSGVPGNSGQQLEKMFSLFMEQGNLWPEILSLPEIRSPVISESSLYGYLHRYIASLEGNGKLETLEAINEKIRKRFKNPKLSNSNCAKVCRHASFAWCRSLIISLALITPVQSGLQSEIHALNSSDSNLESSLLLCIDLQTNELWSQSFEDSTSLGNLETKWNPMLSRIKNIVIKKVSDENIETATSLFRSSYNFYRESSCVMLPSGINLCLVPSRLAVQAQVQPNLDGVEILDLSIPRKLLLWAYALLHGRYANISVVVKHCEENVKSKMKKGPGTSFVPSNASLPAATVIHTGGGKDSATQGGSNEPEVPLVSVPVTAVTSVSLSEGDSIQCTNPPLTSDEGQKILFATPQQNQDNSTPDGRNFAMNE >Potri.018G057900.3.v4.1 pep chromosome:Pop_tri_v4:18:5763608:5774438:1 gene:Potri.018G057900.v4.1 transcript:Potri.018G057900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057900.v4.1 MFSIAAINDTDSKEQWEPLAPTKEAQEFHLTQNYHDGLLKLQAKEYDKACELLESVLKDPLISNAQADRNASDGHLLQLRFLVLKNLATVFLQQGPSHYESALRCYLQAVEIDTKDSVVWNQLGTLSCSMGLLSISRWAFEQGLLCSPNNWNCMEKLLEVLIAIGDEVACLSVAELILRHWPSHSRALHVKNTIEESEPVPFSPRGIDKLEPKHVRLKFLDKRKATNENLDEGIACKRANHNIELLLPEVSWAALTDAILEILLKLNGFGSEMGGDTVCRSGDIRLTINMPSNMEIIMESVEKKGSKSIPSVQSMSFVDCNSERASSVKERDPNIIDEQPHERRSTRLRSRKPGKEELDFDTRKDLAKVVVQLIEPFIVKNEDSDLVGSCSVPCFDQANSLDTEHNDVADFVRETSKNYGAYHMGHLLLEHAASRGLKYQDAFVKFLELERLTRHWGRDRTPECCLFLAELYYDLGSLPSNVSKMSEYLSEASYHLCKIIESVALDYPFHLTHVSGNINFSSDKSFQDSDETLKEGTGGWDSLLNISLLDNKSSFWVRYFWLSGKLSIVDGNKAKAHGEFCISLSVLAKKEVTNSAPSVCLPHLKIDKELTLDRILHGINLLKLDLLLEKTVGEKIEKEMYSDCIDLLAPLLFSSKHVHLNVLPLPAADKKGEEFTCIELSALDTLIEACEKAKPMEIEVCLKSHQRKLEILLILAGMDGYVTFHQKSELKAYFASDIVSKENPEKHWNDLVMEEVKAISQCVSQFKNFLGPSVDSNGKIIHFGSIGDIQSLLLAVMCHIANYLSKKSSVPAISEELEQKQICCFVDAGIAYCKLQHLVHTIPVKTQVELIVAIHDLLAEYGLCCAGGDGEGEEGTFLKFAIKHLLALDMKLKSNSNSSNIEAIQHDDKLYSPNKTFKTETILNTLGVEGGGAEINEVSATMSDGFGGISSKDVSSPAGLEKDHADVECRKVGGNEGKNKGEKPIEHINELSEDEREELELLIDNALDQCFFCLYGLNIRSDSSYDDDLATHKNTSRGDYQSKEQCADVFQYILPCARASSKTGLIKLRRVLRAIRKHFPQPPEEVLAGNAIDKFLDDPDLCEDKLSDEAGSEGYLETITKVIFPDAGSVKQHRALMVRSSEPYFEVYCNLYYFLALSEEMNATDKWPGFVLTKEGEEFVQQNANLFKYDLLYNPLRFESWQRLGNTYDEEVDLLLNDGSKHINVAGWRKNVTLPQRVDTSRRRSRRCLLMSLALAKTPAQQCEIHELLALVCYDSLQNVVPFYDQRSAIPSKDAVWMAFCENSLKHFKKAHTQKQDWSHAFYMGKLCEKLGYSYETSLSYYSVAIALNSSAVDPVYRMHASRLKLLCKSGRLNLEVLKVLAEYSFNESTKDSVMSILSTFAPEVSCSADNIEDISTEESFERKHEESVQLEEVWQMLYNDCISALEVCVEGDLKHFHKARYMLAQGLYKRGLNGDLERAKDELSFCFKSSRSSFTINMWEIDGMVKKGRRKTPGFSGNKKALEVNLPESSRKFITCIRKYLLFYLKLLEETGDICTLDRAFISLRADKRFSLCIEDLVPVALGRFIKTLILSISQVETADSGVPGNSGQQLEKMFSLFMEQGNLWPEILSLPEIRSPVISESSLYGYLHRYIASLEGNGKLETLEAINEKIRKRFKNPKLSNSNCAKVCRHASFAWCRSLIISLALITPVQSGLQSEIHALNSSDSNLESSLLLCIDLQTNELWSQSFEDSTSLGNLETKWNPMLSRIKNIVIKKVSDENIETATSLFRSSYNFYRESSCVMLPSGINLCLVPSRLAVQAQVQPNLDGVEILDLSIPRKLLLWAYALLHGRYANISVVVKHCEENVKSKMKKGPGTSFVPSNASLPAATVIHTATGGGKDSATQGGSNEPEVPLVSVPVTAVTSVSLSEGDSIQCTNPPLTSDEGQKILFATPQQNQDNSTPDGRNFAMNE >Potri.009G065300.6.v4.1 pep chromosome:Pop_tri_v4:9:6599175:6612096:1 gene:Potri.009G065300.v4.1 transcript:Potri.009G065300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065300.v4.1 MAGKSNKGRNRRGSNSTTNSSEPTASSDAPVKDDITASEAASEVGVAIVNGAPAGGEPANGTSEIKESETANSTSEAKQGDLHLYPVSVKTQSSEKLELQLNPGDSVMDVRQFLLDAPETCFFTCYDLLLHTKDGSTLQIEDYNEISEVADITSGGCSLEMVAAPYDDRSIRAHVHRTRELLSLSTLHASLSTSLALEYEKAQNKALGSDTGKTEVPELDGMGFMEDVAGSVGKLLSFPAKEIMCVDSIIFSSFNPPPSHRRLVGDLIYLDVITLEGNKYCITGTTKMFYVNSSTGNVLDPRPSKATSEATTLVGLLQRISPKFKKALREILEHKGSAHPFENVQSLLPPCSWLGLYPVPDHRRDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHSAPQERILRDRALYKVTSDFVDAAVKGAIGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDVDLEQLSKKCNSDTSSKTENTSSSINSSEKVTSDLHGDGGIANGVKSDGSTVEVVELHPESSSEPQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKEHTVLDGSGDAFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANYTGPGSRFCILRPELISAFCQAEAVARLKSRPKSEGGAHVAADSTEVTTGDEQVKPEEAAASINNQEIAKEGKADTVEESAPALAGSSESCEEILFNPNVFTEFKLSGDPEEIAADEENVKKVGSYLANTVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYMGKVAEGIKHLPHLWDLCSNEIVVRSAKHILKDLLRDTDDNDLGPAISHFFNCFFGTCQAVGIKVSANGPHSRAAKKEQSGNQSSGKSSRGQTRWKGASARKNQSSYMNVSSETLWSDIQELAELKYQFELPEDARSQVKKVSVIRNLCQKMGITIAARKYDLNAAMPFQLSDILNLQPVVKHSVPLCSEAKDIVETGKVQLAEGMLSEAYTSFSDAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQKQKGQALNAASAQKAIDILKANPDLLHAFQAAAVAGGSGSGSTSGSMNKSLNAAIVGETLPRGRGVDERAARAAAEVRKKAAARGLLTRPHGVPVQALPPLTQLLNIINSGATPDTVNNEEAAGGVEETNGQSSNDPVDTQKDQTSGGQDQAPVGLGKGLASLDAKKQKAKAKVAA >Potri.009G065300.7.v4.1 pep chromosome:Pop_tri_v4:9:6599178:6612102:1 gene:Potri.009G065300.v4.1 transcript:Potri.009G065300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065300.v4.1 MAGKSNKGRNRRGSNSTTNSSEPTASSDAPVKDDITASEAASEVGVAIVNGAPAGGEPANGTSEIKESETANSTSEAKQGDLHLYPVSVKTQSSEKLELQLNPGDSVMDVRQFLLDAPETCFFTCYDLLLHTKDGSTLQIEDYNEISEVADITSGGCSLEMVAAPYDDRSIRAHVHRTRELLSLSTLHASLSTSLALEYEKAQNKALGSDTGKTEVPELDGMGFMEDVAGSVGKLLSFPAKEIMCVDSIIFSSFNPPPSHRRLVGDLIYLDVITLEGNKYCITGTTKMFYVNSSTGNVLDPRPSKATSEATTLVGLLQRISPKFKKALREILEHKGSAHPFENVQSLLPPCSWLGLYPVPDHRRDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHSAPQERILRDRALYKVTSDFVDAAVKGAIGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDVDLEQLSKKCNSDTSSKTENTSSSINSSEKVTSDLHGDGGIANGVKSDGSTVEVVELHPESSSEPQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKEHTVLDGSGDAFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANYTGPGSRFCILRPELISAFCQAEAVARLKSRPKSEGGAHVAADSTEVTTGDEQVKPEEAAASINNQIAKEGKADTVEESAPALAGSSESCEEILFNPNVFTEFKLSGDPEEIAADEENVKKVGSYLANTVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYMGKVAEGIKHLPHLWDLCSNEIVVRSAKHILKDLLRDTDDNDLGPAISHFFNCFFGTCQAVGIKVSANGPHSRAAKKEQSGNQSSGKSSRGQTRWKGASARKNQSSYMNVSSETLWSDIQELAELKYQFELPEDARSQVKKVSVIRNLCQKMGITIAARKYDLNAAMPFQLSDILNLQPVVKHSVPLCSEAKDIVETGKVQLAEGMLSEAYTSFSDAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQKQKGQALNAASAQKAIDILKANPDLLHAFQAAAVAGGSGSGSTSGSMNKSLNAAIVGETLPRGRGVDERAARAAAEVRKKAAARGLLTRPHGVPVQALPPLTQLLNIINSGATPDTVNNEEAAGGVEETNGQSSNDPVDTQKDQTSGGQDQAPVGLGKGLASLDAKKQKAKAKVAA >Potri.009G065300.5.v4.1 pep chromosome:Pop_tri_v4:9:6599175:6612126:1 gene:Potri.009G065300.v4.1 transcript:Potri.009G065300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065300.v4.1 MAGKSNKGRNRRGSNSTTNSSEPTASSDAPVKDDITASEAASEVGVAIVNGAPAGGEPANGTSEIKESETANSTSEAKQGDLHLYPVSVKTQSSEKLELQLNPGDSVMDVRQFLLDAPETCFFTCYDLLLHTKDGSTLQIEDYNEISEVADITSGGCSLEMVAAPYDDRSIRAHVHRTRELLSLSTLHASLSTSLALEYEKAQNKALGSDTGKTEVPELDGMGFMEDVAGSVGKLLSFPAKEIMCVDSIIFSSFNPPPSHRRLVGDLIYLDVITLEGNKYCITGTTKMFYVNSSTGNVLDPRPSKATSEATTLVGLLQRISPKFKKALREILEHKGSAHPFENVQSLLPPCSWLGLYPVPDHRRDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHSAPQERILRDRALYKVTSDFVDAAVKGAIGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDVDLEQLSKKCNSDTSSKTENTSSSINSSEKVTSDLHGDGGIANGVKSDGSTVEVVELHPESSSEPQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKEHTVLDGSGDAFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANYTGPGSRFCILRPELISAFCQAEAVARLKSRPKSEGGAHVAADSTEVTTGDEQVKPEEAAASINNQEIAKEGKADTVEESAPALAGSSESCEEILFNPNVFTEFKLSGDPEEIAADEENVKKVGSYLANTVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYMGKVAEGIKHLPHLWDLCSNEIVVRSAKHILKDLLRDTDDNDLGPAISHFFNCFFGTCQAVGIKVSANGPHSRAAKKEQSGNQSSGKSSRGQTRWKGASARKNQSSYMNVSSETLWSDIQELAELKYQFELPEDARSQVKKVSVIRNLCQKMGITIAARKYDLNAAMPFQLSDILNLQPVVKHSVPLCSEAKDIVETGKVQLAEGMLSEAYTSFSDAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQKQKGQALNAASAQKAIDILKANPDLLHAFQAAAVAGGSGSGSTSGSMNKSLNAAIVGETLPRGRGVDERAARAAAEVRKKAAARGLLTRPHGVPVQALPPLTQLLNIINSGATPDTVNNEEAAGGVEETNGQSSNDPVDTQKDQTSGGQDQAPVGLGKGLASLDAKKQKAKAKVAA >Potri.009G065300.4.v4.1 pep chromosome:Pop_tri_v4:9:6599178:6612132:1 gene:Potri.009G065300.v4.1 transcript:Potri.009G065300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065300.v4.1 MAGKSNKGRNRRGSNSTTNSSEPTASSDAPVKDDITASEAASEVGVAIVNGAPAGGEPANGTSEIKESETANSTSEAKQGDLHLYPVSVKTQSSEKLELQLNPGDSVMDVRQFLLDAPETCFFTCYDLLLHTKDGSTLQIEDYNEISEVADITSGGCSLEMVAAPYDDRSIRAHVHRTRELLSLSTLHASLSTSLALEYEKAQNKALGSDTGKTEVPELDGMGFMEDVAGSVGKLLSFPAKEIMCVDSIIFSSFNPPPSHRRLVGDLIYLDVITLEGNKYCITGTTKMFYVNSSTGNVLDPRPSKATSEATTLVGLLQRISPKFKKALREILEHKGSAHPFENVQSLLPPCSWLGLYPVPDHRRDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHSAPQERILRDRALYKVTSDFVDAAVKGAIGVINRCIPPINPTDPECFHMYVHNNIFFSFAVDVDLEQLSKKCNSDTSSKTENTSSSINSSEKVTSDLHGDGGIANGVKSDGSTVEVVELHPESSSEPQLAESEQATYASANNDLKGTKAYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKEHTVLDGSGDAFKLAAPVECKGIVGSDDRHYLLDLMRATPRDANYTGPGSRFCILRPELISAFCQAEAVARLKSRPKSEGGAHVAADSTEVTTGDEQVKPEEAAASINNQEIAKEGKADTVEESAPALAGSSESCEEILFNPNVFTEFKLSGDPEEIAADEENVKKVGSYLANTVLPKFIQDLCTLEVSPMDGQTLTEALHAHGINVRYMGKVAEGIKHLPHLWDLCSNEIVVRSAKHILKDLLRDTDDNDLGPAISHFFNCFFGTCQAVGIKVSANGPHSRAAKKEQSGNQSSGKSSRGQTRWKGASARKNQSSYMNVSSETLWSDIQELAELKYQFELPEDARSQVKKVSVIRNLCQKMGITIAARKYDLNAAMPFQLSDILNLQPVVKHSVPLCSEAKDIVETGKVQLAEGMLSEAYTSFSDAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMKTFKMRELQKQKGQALNAASAQKAIDILKANPDLLHAFQAAAVAGGSGSGSTSGSMNKSLNAAIVGETLPRGRGVDERAARAAAEVRKKAAARGLLTRPHGVPVQALPPLTQLLNIINSGATPDTVNNEEAAGGVEETNGQSSNDPVDTQKDQTSGGQDQAPVGLGKGLASLDAKKQKAKAKVAA >Potri.003G184250.1.v4.1 pep chromosome:Pop_tri_v4:3:18902726:18903003:1 gene:Potri.003G184250.v4.1 transcript:Potri.003G184250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184250.v4.1 MKSRTAVFVLRVAFTAKYNQSRHGRNYRHLGYS >Potri.001G255000.3.v4.1 pep chromosome:Pop_tri_v4:1:27105714:27114636:-1 gene:Potri.001G255000.v4.1 transcript:Potri.001G255000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255000.v4.1 MNPSNFDNLNSGFSNSTRISNQNPNFSSRSSSSSSTKGGLSRPRLAKVRRQSNPQNFKSNEETWVGLGFNQFRPDRSRVEPGGSGSGGTEAFVFGASPSNMGFNSNSGKGIIEELKSLRTGSETNVDVSEKSGFVFASDGNKSHGVDEIMQKLSIDDKEKVVDGASKLSANGKFGSGDNVGSSIGRNVESLPPDELEKKLNIEEAGDATNGGGSFQADDIKKFGFKSSEKGSEMFAAAAKNALPDQIKNLNIKDYVVTNNVNNETNEKDSFAFGSRESIGGYVGGESESALSHEMGCKLKIGSAKVESSGQTNMGFSSCRISRKDMPTVNKGDKKFHDCGDPTEFIFEGGTPGKDLSGIHASMDQPKVDTQPIGVAGPSHVFSSSRLAGWNAFRVPPTGGLEKTDGFSFTSKQDGAGSPFVEFKTPNPKGNLFTGLDPKMEFSTKFKDSKVKKKRGKLKQPVKVPLSPGLDFVTRESGSQEIPEASESYSPMDISPYQETLSDARNSRETSVTSEESFALDSQHASTDSQPTVLNDAIDEDLVVAAHRMDINEEDMKCRETKEENSENCFDKGIGAENHMEDSVSGAETESLKSANEEIDSINDVIVTSAESEASSSTNLDSDLSTQFFSAVSSEDTVNSGFTFAASSTAQVSPKHHHKKNNLVRADNDSFNSSATSKGSYASSSLQFTPFSGSSSPLSPVRSKKAGLSAPSHVVGDNGELLKGLEINQGSVSASVAAQEACEKWRLRGNQAYKNGDLSKAEDCYTQGVNCVSKSETSVSFLRALMLCYSNRAATRMSLGRMRDALGDCKMAAAIDPNFIRVQVRAANCYLALGDVEGAVQYFKKCLQFGIDACVDRKISVEASDGLQKAQKVSECMQHSAELLKRGAPNDAESALHVIAEGLLISSCSEKLLEMKAESLFMQLRKYEDVIQLCEHTFDSAKKNSPPLHADYHVENIGPELTKDTSFMIWRCCLIFKSYFHLGRLEEAIGSLEKQVEPPSTATRIGIETQESLVLLAATVHELIRHKAAGNEAFQAGKHSEAIEHYSAALSRKIESRPFAAICFCNRAAAYKALGQITDATADCSLAIALDGNYLKAISRRATLYEMIRDYGQAARDLQKLVAVLTKQVEEKTKQFGHSDRTTNLANDLRQARLRLSTIEEAARKEVPLNMYLILGIEPSASASEVKKAYRKAALRHHPDKAGHSLARSDNGDDSLWKEIGEEVHKDTDRLFKMIGEAYAMLSDPAKRAQYDLEVMRNDLKKQSGSSTYRTHTDAPNYPFERSSSRRQWKEGWRPYGRY >Potri.001G255000.1.v4.1 pep chromosome:Pop_tri_v4:1:27105723:27114636:-1 gene:Potri.001G255000.v4.1 transcript:Potri.001G255000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255000.v4.1 MNPSNFDNLNSGFSNSTRISNQNPNFSSRSSSSSSTKGGLSRPRLAKVRRQSNPQNFKSNEETWVGLGFNQFRPDRSRVEPGGSGSGGTEAFVFGASPSNMGFNSNSGKGIIEELKSLRTGSETNVDVSEKSGFVFASDGNKSHGVDEIMQKLSIDDKEKVVDGASKLSANGKFGSGDNVGSSIGRNVESLPPDELEKKLNIEEAGDATNGGGSFQADDIKKFGFKSSEKGSEMFAAAAKNALPDQIKNLNIKDYVVTNNVNNETNEKDSFAFGSRESIGGYVGGESESALSHEMGCKLKIGSAKVESSGQTNMGFSSCRISRKDMPTVNKGDKKFHDCGDPTEFIFEGGTPGKDLSGIHASMDQPKVDTQPIGVAGPSHVFSSSRLAGWNAFRVPPTGGLEKTDGFSFTSKQDGAGSPFVEFKTPNPKGNLFTGLDPKMEFSTKFKDSKVKKKRGKLKQPVKVPLSPGLDFVTRESGSQEIPEASESYSPMDISPYQETLSDARNSRETSVTSEESFALDSQHASTDSQPTVLNDAIDEDLVVAAHRMDINEEDMKCRETKEENSENCFDKGIGAENHMEDSVSGAETESLKSANEEIDSINDVIVTSAESEASSSTNLDSDLSTQFFSAVSSEDTVNSGFTFAASSTAQVSPKHHHKKNNLVRADNDSFNSSATSKGSYASSSLQFTPFSGSSSPLSPVRSKKAGLSAPSHVVGDNGELLKGLEINQGSVSASVAAQEACEKWRLRGNQAYKNGDLSKAEDCYTQGVNCVSKSETSVSFLRALMLCYSNRAATRMSLGRMRDALGDCKMAAAIDPNFIRVQVRAANCYLALGDVEGAVQYFKKCLQFGIDACVDRKISVEASDGLQKAQKVSECMQHSAELLKRGAPNDAESALHVIAEGLLISSCSEKLLEMKAESLFMLRKYEDVIQLCEHTFDSAKKNSPPLHADYHVENIGPELTKDTSFMIWRCCLIFKSYFHLGRLEEAIGSLEKQVEPPSTATRIGIETQESLVLLAATVHELIRHKAAGNEAFQAGKHSEAIEHYSAALSRKIESRPFAAICFCNRAAAYKALGQITDATADCSLAIALDGNYLKAISRRATLYEMIRDYGQAARDLQKLVAVLTKQVEEKTKQFGHSDRTTNLANDLRQARLRLSTIEEAARKEVPLNMYLILGIEPSASASEVKKAYRKAALRHHPDKAGHSLARSDNGDDSLWKEIGEEVHKDTDRLFKMIGEAYAMLSDPAKRAQYDLEVMRNDLKKQSGSSTYRTHTDAPNYPFERSSSRRQWKEGWRPYGRY >Potri.001G255000.4.v4.1 pep chromosome:Pop_tri_v4:1:27111417:27114636:-1 gene:Potri.001G255000.v4.1 transcript:Potri.001G255000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255000.v4.1 MNPSNFDNLNSGFSNSTRISNQNPNFSSRSSSSSSTKGGLSRPRLAKVRRQSNPQNFKSNEETWVGLGFNQFRPDRSRVEPGGSGSGGTEAFVFGASPSNMGFNSNSGKGIIEELKSLRTGSETNVDVSEKSGFVFASDGNKSHGVDEIMQKLSIDDKEKVVDGASKLSANGKFGSGDNVGSSIGRNVESLPPDELEKKLNIEEAGDATNGGGSFQADDIKKFGFKSSEKGSEMFAAAAKNALPDQIKNLNIKDYVVTNNVNNETNEKDSFAFGSRESIGGYVGGESESALSHEMGCKLKIGSAKVESSGQTNMGFSSCRISRKDMPTVNKGDKKFHDCGDPTEFIFEGGTPGKDLSGIHASMDQPKVDTQPIGVAGPSHVFSSSRLAGWNAFRVPPTGGLEKTDGFSFTSKQDGAGSPFVEFKTPNPKGNLFTGLDPKMEFSTKFKDSKVKKKRGKLKQPVKVPLSPGLDFVTRESGSQEIPEASESYSPMDISPYQETLSDARNSRETSVTSEESFALDSQHASTDSQPTVLNDAIDEDLVVAAHRMDINEEDMKCRETKEENSENCFDKGIGAENHMEDSVSGAETESLKSANEEIDSINDVIVTSAESEASSSTNLDSDLSTQFFSAVSSEDTVNSGFTFAASSTAQVSPKHHHKKNNLVRADNDSFNSSATSKGSYASSSLQFTPFSGSSSPLSPVRSKKAGLSAPSHVVGDNGELLKGLEINQGSVSASVAAQEACEKWRLRGNQAYKNGDLSKAEDCYTQGVNCVSKSETSVSFLRALMLCYSNRAATRMSLGRMRDALGDCKMAAAIDPNFIRVQVRAAK >Potri.001G333500.1.v4.1 pep chromosome:Pop_tri_v4:1:34179350:34186238:-1 gene:Potri.001G333500.v4.1 transcript:Potri.001G333500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333500.v4.1 MTAAAKDDDFGSKQSKNVAVIGAGVSGLAAAYKLKSNGVKVTVFEAEGRAGGKLRSVSHHDLVWDEGANTMTESEVEVKSLLDDLGLREKQQFPIAQNKRYIVRNGMPVLIPTNPVALIKSNFLSAQSKLQIILEPFLWKKNESSKVSDADIQESVGEFFQRHFGKEVVDYLIDPFVAGTSAGDPESLSARHNFPDLWNLEKRFGSIIAGAVKAKLSAKKEKNGEKKGSSEKKKRQHGSFSFLGGMQTLTDTLCTELGKDGVKLESKVLSLSYSYDGKSTFENWSVSYASKGGKHAQASSYDAVIMTAPLCNVKEININKGRNRFSLDFLPQMSYMPLSVIITTFKKEDVKRPLEGFGVLVPSKEQQNGLKTLGTLFSSMMFPDRAPKDSYLYTTFVGGSRNKELAKASTDDLKAIVTSDLRQLLGVEGEPKFVNHYYWSKAFPLYGKNYDLVLEGIERMEKNLPGFFYAGNHRGGLSVGKAIASGCKAADLVISHLNSSADDKMLKKGSQS >Potri.001G448600.2.v4.1 pep chromosome:Pop_tri_v4:1:47502407:47504683:-1 gene:Potri.001G448600.v4.1 transcript:Potri.001G448600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448600.v4.1 MGNCFALHQLTKRKSCSQSTTTRHEDVLRVMKTNGEILDYTRSIFIKGYGNNDHHCFPIWNLGRPFRVAGDHSPTLVSMDNTSGSKRVKMILTKQQLQELLSKKKIAVEEICFLGMQSVALCGVNSSSRWQPGLETILEESEPQGLLCLELKERASRSEPSLFKKFQDFQYLLCFNHVQVSAVQSLSIR >Potri.001G448600.1.v4.1 pep chromosome:Pop_tri_v4:1:47502407:47504683:-1 gene:Potri.001G448600.v4.1 transcript:Potri.001G448600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448600.v4.1 MGNCFALHQLTKRKSCSQSTTTRHEDVLRVMKTNGEILDYTRSIFIKGYGNNDHHCFPIWNLGRPFRVAGDHSPTLVSMDNTSGSKRVKMILTKQQLQELLSKKKIAVEEICFLGMQSVALCGVNSSSRWQPGLETILEESHRDFFVLN >Potri.014G022100.1.v4.1 pep chromosome:Pop_tri_v4:14:1328643:1329499:1 gene:Potri.014G022100.v4.1 transcript:Potri.014G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022100.v4.1 MKRPLDTGPEIHNKTDMKMKKKRHQEEGEIKEEIESETNTGTGFMNLDENLLFEVLKHVDARTLGRASCVSKQWHRTVQDERLWELICTKHWANIGCGNQQLRSVVLALGGFRRLHSQYLWPLSKPHSTPAPSSSSSPSAWNPFPKMIGNKPPARWGKDEVHLSLSLLSIRYYEKMNFSNRGR >Potri.002G007000.4.v4.1 pep chromosome:Pop_tri_v4:2:384698:389045:-1 gene:Potri.002G007000.v4.1 transcript:Potri.002G007000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007000.v4.1 MAAGSYGDKTLQNTPTWAVAAVCAVFVVLSVLIEHGIQSLGKWFQKRQKKAMSEALEKIKAELMLLGFISLLITVGTSAILKICIPEKYENVMLPCKYDYVGDNYKDKNGGKGGDGNDGGDHKRKLFSFAGNVAIHRVLAAAGGGGGGYCSKGKVSLISQTGVHQLHIFLFVLAIFHVLYSVVTMALGQAKMKKWKAWELETSSIEYQFTNDPTRFRLARQTSFVRRHSGISTAPGIKWIVAFFRQFTGSVTKVDYMTMRHGFINAHFAPNSKFDFHRYIKRCMEDDFKVVVGISLPLWVFAILFLLLNVYRWYTLTWLTVVPLVILLLVGTKLELVIMEMAQDIQDRSHVVRGVPVVQPNNKFFWFNRPHWILLLIHYTLFQNAFQMAFFLWTWYEFGIRSCFHENLAVILTRVFLGLVLQFVCSYITFPLYSLVTQMGSHMKKAIFEEQTARALRKWQKAAKLRKKSRQSGGDQGGSSPGFMSQGGSSPGFMSVGSTPSRGTSPIHLLHKYRPSQPDVESVISSAMSYASDTELSELDASPDHDRHESRKQDQHQEQSEAHSADFSFVKL >Potri.008G044050.1.v4.1 pep chromosome:Pop_tri_v4:8:2496291:2496644:-1 gene:Potri.008G044050.v4.1 transcript:Potri.008G044050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044050.v4.1 MLQNNVMPDVWTCNVMISGFCKQGRIDEALRLNEEMEKLKLLPDVVIYNTLINGCFEHGSSEEGFKLINGCFEALRCLYNTVTCNALISGHCKVAKMDEAFRLMEEMGTKCIVDEGS >Potri.003G216300.1.v4.1 pep chromosome:Pop_tri_v4:3:21206118:21206911:-1 gene:Potri.003G216300.v4.1 transcript:Potri.003G216300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216300.v4.1 MATISMARIVPIIVSKLIILSTILSPLAIIHASKENQGFVRSLDRKLYGFKKEKLTHFRVYWHDIYSAPNPTAIPIVTSPSNTSATLFGSLSMIDDPLTEKPELSSKLIGRAQGFYGSAGQEETALLMAMNFVFLQGKYNGSTISILGRNHVFSKVREMPVIGGSGLFRFARGYAQANTYSFNAKTGDAVVEYNVYVLHY >Potri.001G267100.2.v4.1 pep chromosome:Pop_tri_v4:1:28200923:28201868:1 gene:Potri.001G267100.v4.1 transcript:Potri.001G267100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267100.v4.1 MRVKMKQGLAKQPGSSWVVLRGKKHEFLSADRSHPLSERIYEKLDWLRKKLKEFGYVPDQKFALHDVEDEQKEVMLSFHSERLAIAFGLVSTVHNNSHEESSCI >Potri.002G208700.1.v4.1 pep chromosome:Pop_tri_v4:2:17918055:17918878:-1 gene:Potri.002G208700.v4.1 transcript:Potri.002G208700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX21 MDIVRRLVADRPVVIFSRSTCCMSHSIKTLISSFGANPTVYELDQIPNGKQIERALVQQLGCQPSVPTVFIGQEFVGGDKQVMSLQVRNELGSLLRKAGAIWI >Potri.011G108200.1.v4.1 pep chromosome:Pop_tri_v4:11:13750351:13754289:1 gene:Potri.011G108200.v4.1 transcript:Potri.011G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108200.v4.1 MVAAAKGSVGPFFPKPLQVTKTKRLNHPYVSRVSCKATDDTQNLATRRDVLIGLGGLYSATNLIDRTAYAKPITPPDLTKCELVDLPNPENPTNCCSPLPKKIIDFRLPSPSSPLRTRRAAHLVDEDYVAKYAEAISLMKSLPEDDPRNFYQQANVHCALPGPPAPPPPPCACCNGAYEQVGFPKLKIDVHSGWLFFPWHSYHLYFYERILGKLINDPTFALPFWNWDSPSGMQMPYIFTDPKSPLYDQFRDQNHQPPTLLDLDYGAGDHNPTNANQLYSTKPTLFFGKPYRAGEDSSPGAGTIETTPHNNIHRWTGDPTQENTEDMGNFYSAARDPIFFCHHSNVDRMWTIWKTIPGGTRRDISDRDWLNSEFIFYNENAELVRCKVRDCLDNRRLRYTYQNVEIPWLKSKPIPRRLGKKAAETKTALTPITAFPLVLDKTIVTVVSRPKKSRSRKEKEEEDEVLVIEGIEYDNGKFEKFDVFINDDVEMPSKPENTEFAGSFVNVSHKHAKKSKTRLILGITELGGRDEATSENGVENVLTRHHAPARWLATRGTRHTHLLRKDGLTRPEY >Potri.017G129500.1.v4.1 pep chromosome:Pop_tri_v4:17:13272987:13275539:-1 gene:Potri.017G129500.v4.1 transcript:Potri.017G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129500.v4.1 MASQFFLLIFFLFKLASIHGEQIMLEDGYMVTTVMDGHKLNVNPHAVQLRSSDLVVLDSSKSVFYTLPFPISQDGVMVKRLSGSWDKGYIDGEPGLARFNKPKSFTVDLRGNVYVADQLNHAVRKISSSGMTTTIAGNYSQIGRQDGPGKTATFSTDFEVLFVPQICALLISDHGNQLLRQVDLKQEDCIIGSQSALGAVKFWVLGLVLSCLFGLATGFAIRPYVIPHEGVRPLHFSKTWKHCLINLASLIPRSCFDVRNAIASSRLYVLSEKLLCLSLSHLSLMFRINTVGSKVLNKDLLSLMDSDVSSHKVGKSQVYADQLKDLIDFNVQSQSSSSMSNILKLGEGGQERCDASLDGYGRINDMIQANVMGFGELAKETTPADVPLVGSLGLVKRR >Potri.017G129500.2.v4.1 pep chromosome:Pop_tri_v4:17:13272976:13275588:-1 gene:Potri.017G129500.v4.1 transcript:Potri.017G129500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129500.v4.1 MASQFFLLIFFLFKLASIHGEQIMLEDGYMVTTVMDGHKLNVNPHAVQLRSSDLVVLDSSKSVFYTLPFPISQDGVMVKRLSGSWDKGYIDGEPGLARFNKPKSFTVDLRGNVYVADQLNHAVRKISSSGMTTTIAGNYSQIGRQDGPGKTATFSTDFEVLFVPQICALLISDHGNQLLRQVDLKQEDCIIGSQSALGAVKFWVLGLVLSCLFGLATGFAIRPYVIPHTGRRQTPSFQQDMEALPNQSGESNTEELLRRQKRNC >Potri.011G134500.1.v4.1 pep chromosome:Pop_tri_v4:11:16738176:16738877:-1 gene:Potri.011G134500.v4.1 transcript:Potri.011G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134500.v4.1 MARFLTIAVLASLLLALIANASSYRTTITTVEFDDQSSRSRSGGCQEKIRRVDLSSCEQYVSQISRPRLALRGIHHRQGDQEQVQQCCQQIRNVDRQCQCDALRSVIEEQTQHQRRPEQEERQEVQRRAAEIQSQCSLPDCQSQSIWF >Potri.001G316400.1.v4.1 pep chromosome:Pop_tri_v4:1:32680063:32686178:1 gene:Potri.001G316400.v4.1 transcript:Potri.001G316400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G316400.v4.1 MAGVSLKCGDCGALLKSVQEAQDHAELTSHSNFSESTEAVLNLVCTTCGKPCRSKTESDLHTKRTGHTEFADKTAEVTKPISLEVPKAAAMDIDVPAAADASTTSQPEEMVAPEVDKKILDELEAMGFPTARATRALHYSGNASLEAAVNWVVEHENDPDIDEMPMVSINSKVEAPKPSLTPEEMKQKAQELRERARKKKEEEEKKTEREKEKERIRIGKELLEAKRIEEQNERKRLMALRKAEKEEEKRAREKIRQKVEEDKAERRRKLGLPPEDPATVKPSAPVVEEKKSMLPVRPATKQEQMRECLRSLKQSHKDDDAKVKRAFQTLLTYIGNVAKNPSEEKFRKIRLNNQTFQDRVGSLQGGIGFLELCGFEKIEGDEFLFLARDKVDMAVLNSAGSELTSAINNPFFGVL >Potri.017G130800.1.v4.1 pep chromosome:Pop_tri_v4:17:13377962:13380482:-1 gene:Potri.017G130800.v4.1 transcript:Potri.017G130800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130800.v4.1 MGRTTSSTLLLTLTFLFSLSSLPSPSLSDSTDAFVIGGCTQEKYVQDSPYESNLNSLLTSLVNSATYSSYNNYTIMGSSTQDVVYGLYQCRGDLSMPDCATCVARAVSQLGVLCAQTCGGALQLQGCYVKYDNTTFLGVEDKTVVLKKCGPSVGYDTDAMNLRDAVLGSLAGTSGLYRVGGSGNVQGVAQCVGDLSVEECQDCLSEAIGRLKSDCGTADYGDMFLAKCYARYTTGGPHAYSKAHSDKYTNDGEKTFAIIVGILAGVALVIIFLAFLGKVFGGNGK >Potri.017G130800.2.v4.1 pep chromosome:Pop_tri_v4:17:13377962:13380482:-1 gene:Potri.017G130800.v4.1 transcript:Potri.017G130800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130800.v4.1 MGRTTSSTLLLTLTFLFSLSSLPSPSLSDSTDAFVIGGCTQEKYVQDSPYESNLNSLLTSLVNSATYSSYNNYTIMGSSTQDVVYGLYQCRGDLSMPDCATCVARAVSQLGVLCAQTCGGALQLQGCYVKYDNTTFLGVEDKTVVLKKCGPSVGYDTDAMNLRDAVLGSLAGTSGLYRVGGSGNVQGVAQCVGDLSVEECQDCLSEAIGRLKSDCGTADYDKYTNDGEKTFAIIVGILAGVALVIIFLAFLGKVFGGNGK >Potri.010G028801.1.v4.1 pep chromosome:Pop_tri_v4:10:4154634:4156319:-1 gene:Potri.010G028801.v4.1 transcript:Potri.010G028801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028801.v4.1 MKEKSPIPRLSHYKIKTINPSQMNFFKSVFADDTTPPDSPKSRSPPNNSTSEDPNPTTQNQVWSLGSLIQTLATKSESVMEIYKKDLEEFGSGLKKETAIIRDVASRAVHDLPASFEASAAVAQETIDGIGSTMWKSTAQIISQGKDSILDADHDHDLFSSNADGSRSNLSKQQSLDVKYSRFDAQVHALQSDLDTYCSEPEDKVDYEKWKLGGLVMDEKKEEIERLITENGVIRDIYNEVVPNRVDDESFWSRYFYRMLKLKQTEEARALLVKRVISGDEEDLSWDFDDDKEEGDVFLSKGESSKDAKVEKENVDEVINENVAEKEKVGVDRSEDKLEEKVVVVEGKGSTAELCKDNDKSEEKAVVVKGEGHDGISCKDSDKLEEKVVEGKGGNGGSCKDSDVSVVSSQLLPEEDLEWDEIEDIGSNDESKGEAVGSGKSAGTSKVDLQKRLSAAEEEDDFSWDIEDEDDVHVK >Potri.015G046700.2.v4.1 pep chromosome:Pop_tri_v4:15:4611128:4621484:1 gene:Potri.015G046700.v4.1 transcript:Potri.015G046700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046700.v4.1 MVEPHRRCYCLPAVDLRKKAVGGIVYVSVISARKLSRSNLRGSPPRREQSHSLNGSLVEHFDDEDLRTFVEVELGQLTRRTEVRLGSSPRWDSTFNMVLHEDTGTLRLHLYNCPPNSVKYDYLASCEIKMKYAADDSTAFWAIGPDSGVIAKHAEFCGNEVEMVVPFEGVTSGELTVKLVVKEWQFSDGSLSLNKFNVSSLKSMYGSSNLLSRTGRKINVAIMEGKDLISKERSGKCDPYVKLQYGKVLQKTRTAHNSNPFWNQKFEFDEIVDDGCLKIKCYSEEIFGDENIGSARVNLEGLLEGSIRDIWVPLERVNSGELRLQIEAVRVNDSEGSRGSVSGSFNGWIELILVEAKDLIAADLRGTSDPYVRVQYGSLKKRTKVMYKTLNPQWNQTLEFPDDGSPLELHVKDYNALLPTYSIGDCVVEYQGLPPNQTSDKWIPLQGVTRGEIHVRITRKVPELQTRSSLEADASLTKSHQISNQMKQSMIKLQSLIEDGNLDGLSTALSEMQSLEDIQEEYTVQLETEQMLLLNKIKQLGQEIMSSSSSLSTRSSGF >Potri.015G046700.1.v4.1 pep chromosome:Pop_tri_v4:15:4611141:4621479:1 gene:Potri.015G046700.v4.1 transcript:Potri.015G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046700.v4.1 MGRRKGRAGFKVKELLEFFNHLLEEKPSIAFLIPLLLVCWGIEKWVFSFSNWVPLVVAIWATFQYCSHQQRLLVEDLNKKWKRVVLNTSPITPLEHCEWMNKLLMEIWTSYMNPKLATRFSSIVEKRLKQRRSKLIEKIELQEFSLGSCPPYLGPHGTCWSTSGDQRIMNLGFDWDTSDMSILLLAKLAKPLMGTARIVINSLHIKGELLLMPVLDGRAVLYSFVSTPEVRIGVAFGSGGSQSLPATELPGVSSWLVKVLTDTLVKTMVEPHRRCYCLPAVDLRKKAVGGIVYVSVISARKLSRSNLRGSPPRREQSHSLNGSLVEHFDDEDLRTFVEVELGQLTRRTEVRLGSSPRWDSTFNMVLHEDTGTLRLHLYNCPPNSVKYDYLASCEIKMKYAADDSTAFWAIGPDSGVIAKHAEFCGNEVEMVVPFEGVTSGELTVKLVVKEWQFSDGSLSLNKFNVSSLKSMYGSSNLLSRTGRKINVAIMEGKDLISKERSGKCDPYVKLQYGKVLQKTRTAHNSNPFWNQKFEFDEIVDDGCLKIKCYSEEIFGDENIGSARVNLEGLLEGSIRDIWVPLERVNSGELRLQIEAVRVNDSEGSRGSVSGSFNGWIELILVEAKDLIAADLRGTSDPYVRVQYGSLKKRTKVMYKTLNPQWNQTLEFPDDGSPLELHVKDYNALLPTYSIGDCVVEYQGLPPNQTSDKWIPLQGVTRGEIHVRITRKVPELQTRSSLEADASLTKSHQISNQMKQSMIKLQSLIEDGNLDGLSTALSEMQSLEDIQEEYTVQLETEQMLLLNKIKQLGQEIMSSSSSLSTRSSGF >Potri.008G191500.1.v4.1 pep chromosome:Pop_tri_v4:8:13459910:13461927:1 gene:Potri.008G191500.v4.1 transcript:Potri.008G191500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191500.v4.1 MGGGGSCSLSFKVLLAAVATVMLVLLLLVGALESGATKMTERTQTVLDSIAQDDLRRRHEELIGREKLVYNPELDLNFVMNKRKVPNGPDPIHNRRAGNSRRPPGRA >Potri.008G221900.1.v4.1 pep chromosome:Pop_tri_v4:8:18581238:18582835:1 gene:Potri.008G221900.v4.1 transcript:Potri.008G221900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221900.v4.1 MALKPIDNALLLPPETIKLGVNEENKTAESLPPSADPIIDYISSENLEPISDPESKIHGLVEELDSKDWTKVCESLNDVRRFALYHSLLLLPILEKVILVAVKAMKNPRSALCKTSIMASSDIFKVFGDQLLDSTINAFDNLLLQLLLKASQDKRFVCEEADRALNAMVKSMTPLPLLNKLRPYVSHSNPKVRAKAAITISKSVSKMGLEGMNEFGLVSLVQMAADLLNDRLPEAREAARNIVTSIYEAYTRNEEQKQESWQNFCQSSLPPIHAQSMVKITGSP >Potri.002G171200.1.v4.1 pep chromosome:Pop_tri_v4:2:13178508:13185304:-1 gene:Potri.002G171200.v4.1 transcript:Potri.002G171200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171200.v4.1 METLISLVNKIQRACTALGDHGEDNALPTLWEALPSIAVVGGQSSGKSSVLESIVGKDFLPRGAGIVTRRPLVLQLHKIDEGKEYAEFMHLPRKKFTDFAAVRKEIADETDRETGRSKQISSVPIHLSIFSPNVVNLTLVDLPGLTKVAIDGQPESIVHDIENMVRSYIEKPNCIILAISPANQDLATSDAIKISREVDPRGERTFGVLTKIDLMDKGTDAVDILEGKSYKLQFPWIGVVNRSQADINKSVDMIAARRREREYFQSSPEYGHLASRMGSEHLGKMLSKHLEQVIKSRIPGLQSLISKTINELETELSRLGRPVATDAGGKLYMIMEICRSFDQIFKEHLDGTRSGGDKIYNVFDNQLPAALKRLQFDKHLSMDNVRKLITEADGYQPHLIAPEQGYRRLIESTLVTIRGPAEAAVDAVHVILKDLVHKSISETMELKQYPTLRVEVSAAAVDSLDRMREESKKATLQLVDMESSYLTVEFFRKLPQDAEKGGNPTHSIFDRYNDSYLRRIGSNVLAYVNMVCASLRNSIPKSVVYCQVREAKRSLLDFFFAELGKKETRQLSSLLDEDPAVMQRRTLLGKRLELYRSAQADIDAVTWGK >Potri.T012000.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:176765:182428:-1 gene:Potri.T012000.v4.1 transcript:Potri.T012000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012000.v4.1 MLRQNDPVLHHVDRSFWEYVEKMDDGRMRCKFCGHCFAERTSISRIKLHLAGVTGRGVKTCGQVPPDVQDAALAAIDGPQEKKLKTLAGSSNNDVTNEISPSAQQQNNEMMMARQREDLWLEDLVTSITVEDMELLERGSFHERPSFYQADEPRGDPSQPTNDQLCSPSVNNDVIVNDAQNVFRVRTEPVVQVLEQSNAELENLSVDAGRTQVGVQGMEHGWEEERVCSHLDMENSMENTGEGSVQHVDRIVSPWRHAIDENYSRRGAIERLVQPGVGASSSGGNVIHHGISTSPQEQNNEVDNLTGDATRIQAPDMYEKSLQEMRTFLMKDDMESNSGRSLQPGAGARSSVGLKHNTSETRGAPLPTGSTKLVGRAFEENRKVIWSWLMDEEVSTIGIYGMGGAGKTTMLKHIYNELQRIANISPHVYWVTVSRDFSIHTLQKKIAKCINLSLSIEEEELHIAVKLSLELKKKQRWILILDDLWNSFELYKVGIPVSLKECKLIITTRSETVCRQMNSRNNLRVNPLSNKEAWTLFTEILGHDTRLSPEVEQIAKFITRECDGLPLGIKTIAGTMKGVDDIHEWSDALEDLRQSRVMQDKVEEEVFHILRFSYTHLSDRALQRCFLYCALFPEDSAINRLQLIRYLIDEGVVKGQKSREAGINKGHTMLNRLENVCLLERLHGGDFVKMHDLIRDMAIQKLQENSQAIVEAGEQLEELPDAEEWTEKLTTVSLMHNRIEEICSSHSVRCPNLSTLLLCSNHRLRFIAGSFFEQMHGLKVLDLSNTAIECLPDSVSDLVGLTSLLLNNCQRLSRVPSLKKLRALKRLDLSRTPLKKIPHGMKCLSNLRYLRMNGCGEKKFPCGIIPKLSHLQVLILEDWVDRVLNDGRMGKEIYAAVIVEGKEVGCLRKLESLECHFEDRSNYVEYLKSRDETQSLRTYKIVVGQFKEDEGWEFKYNQKSNIVVLGNLNINRDGDFQVISSNDIQQLICKCIDARSLGDVLSLKYATELEYIKILNCNSMESLVSSSWLCSAPLPQPSPSCNGIFSGLKRLYCSGCKGMKKLFPPVLLPYLVNLERIDVKECEKMEEIIGGAISDEEGDMGEESSVRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLRVIEVRNCSIIEVLVPSSWIHLVNLVEIVVEGCEKMEEIIGGARSDEEGDMGEESSVRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLRVIEVRNCSIIEVLVPSSWIHLVKLKRIDVKECEKMEEIIGGARSDEEGDMGEESSVRNTEFKFPKLRELHLGDLPELKSICSAKLICDSLQVIEVRNCSIIEVLVPSSWIHLVNLEEIIVNGCEKMEEIIGGTRSDEEGDMGEQSSIRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLQKIEVRNCSIREILVPSSWIGLVNLEEIVVEGCEKMEEIIGGARSDEEGVMGEESSIRNTEFKLPKLRHLYLKNLLELKSICSAKLICDSLEVIEVWNCSIREILVPSSWIRLVKLKVIVVGRCVKMEEIIGGTRSDEEGVMGEESSSSTELNFPQLKTLKLIWLPELRSICSAKLICDSMKLIHIRECQKLKRMPICLPLLENGQPSPPSFLRDIYATPKEWWESEVEWEHPNTKDVLRRFVRFG >Potri.T012000.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:176763:180865:-1 gene:Potri.T012000.v4.1 transcript:Potri.T012000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012000.v4.1 MDEEVSTIGIYGMGGAGKTTMLKHIYNELQRIANISPHVYWVTVSRDFSIHTLQKKIAKCINLSLSIEEEELHIAVKLSLELKKKQRWILILDDLWNSFELYKVGIPVSLKECKLIITTRSETVCRQMNSRNNLRVNPLSNKEAWTLFTEILGHDTRLSPEVEQIAKFITRECDGLPLGIKTIAGTMKGVDDIHEWSDALEDLRQSRVMQDKVEEEVFHILRFSYTHLSDRALQRCFLYCALFPEDSAINRLQLIRYLIDEGVVKGQKSREAGINKGHTMLNRLENVCLLERLHGGDFVKMHDLIRDMAIQKLQENSQAIVEAGEQLEELPDAEEWTEKLTTVSLMHNRIEEICSSHSVRCPNLSTLLLCSNHRLRFIAGSFFEQMHGLKVLDLSNTAIECLPDSVSDLVGLTSLLLNNCQRLSRVPSLKKLRALKRLDLSRTPLKKIPHGMKCLSNLRYLRMNGCGEKKFPCGIIPKLSHLQVLILEDWVDRVLNDGRMGKEIYAAVIVEGKEVGCLRKLESLECHFEDRSNYVEYLKSRDETQSLRTYKIVVGQFKEDEGWEFKYNQKSNIVVLGNLNINRDGDFQVISSNDIQQLICKCIDARSLGDVLSLKYATELEYIKILNCNSMESLVSSSWLCSAPLPQPSPSCNGIFSGLKRLYCSGCKGMKKLFPPVLLPYLVNLERIDVKECEKMEEIIGGAISDEEGDMGEESSVRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLRVIEVRNCSIIEVLVPSSWIHLVNLVEIVVEGCEKMEEIIGGARSDEEGDMGEESSVRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLRVIEVRNCSIIEVLVPSSWIHLVKLKRIDVKECEKMEEIIGGARSDEEGDMGEESSVRNTEFKFPKLRELHLGDLPELKSICSAKLICDSLQVIEVRNCSIIEVLVPSSWIHLVNLEEIIVNGCEKMEEIIGGTRSDEEGDMGEQSSIRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLQKIEVRNCSIREILVPSSWIGLVNLEEIVVEGCEKMEEIIGGARSDEEGVMGEESSIRNTEFKLPKLRHLYLKNLLELKSICSAKLICDSLEVIEVWNCSIREILVPSSWIRLVKLKVIVVGRCVKMEEIIGGTRSDEEGVMGEESSSSTELNFPQLKTLKLIWLPELRSICSAKLICDSMKLIHIRECQKLKRMPICLPLLENGQPSPPSFLRDIYATPKEWWESEVEWEHPNTKDVLRRFVRFG >Potri.T012000.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:176744:180751:-1 gene:Potri.T012000.v4.1 transcript:Potri.T012000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012000.v4.1 MGGAGKTTMLKHIYNELQRIANISPHVYWVTVSRDFSIHTLQKKIAKCINLSLSIEEEELHIAVKLSLELKKKQRWILILDDLWNSFELYKVGIPVSLKECKLIITTRSETVCRQMNSRNNLRVNPLSNKEAWTLFTEILGHDTRLSPEVEQIAKFITRECDGLPLGIKTIAGTMKGVDDIHEWSDALEDLRQSRVMQDKVEEEVFHILRFSYTHLSDRALQRCFLYCALFPEDSAINRLQLIRYLIDEGVVKGQKSREAGINKGHTMLNRLENVCLLERLHGGDFVKMHDLIRDMAIQKLQENSQAIVEAGEQLEELPDAEEWTEKLTTVSLMHNRIEEICSSHSVRCPNLSTLLLCSNHRLRFIAGSFFEQMHGLKVLDLSNTAIECLPDSVSDLVGLTSLLLNNCQRLSRVPSLKKLRALKRLDLSRTPLKKIPHGMKCLSNLRYLRMNGCGEKKFPCGIIPKLSHLQVLILEDWVDRVLNDGRMGKEIYAAVIVEGKEVGCLRKLESLECHFEDRSNYVEYLKSRDETQSLRTYKIVVGQFKEDEGWEFKYNQKSNIVVLGNLNINRDGDFQVISSNDIQQLICKCIDARSLGDVLSLKYATELEYIKILNCNSMESLVSSSWLCSAPLPQPSPSCNGIFSGLKRLYCSGCKGMKKLFPPVLLPYLVNLERIDVKECEKMEEIIGGAISDEEGDMGEESSVRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLRVIEVRNCSIIEVLVPSSWIHLVNLVEIVVEGCEKMEEIIGGARSDEEGDMGEESSVRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLRVIEVRNCSIIEVLVPSSWIHLVKLKRIDVKECEKMEEIIGGARSDEEGDMGEESSVRNTEFKFPKLRELHLGDLPELKSICSAKLICDSLQVIEVRNCSIIEVLVPSSWIHLVNLEEIIVNGCEKMEEIIGGTRSDEEGDMGEQSSIRNTEFKLPKLRELHLGDLPELKSICSAKLICDSLQKIEVRNCSIREILVPSSWIGLVNLEEIVVEGCEKMEEIIGGARSDEEGVMGEESSIRNTEFKLPKLRHLYLKNLLELKSICSAKLICDSLEVIEVWNCSIREILVPSSWIRLVKLKVIVVGRCVKMEEIIGGTRSDEEGVMGEESSSSTELNFPQLKTLKLIWLPELRSICSAKLICDSMKLIHIRECQKLKRMPICLPLLENGQPSPPSFLRDIYATPKEWWESEVEWEHPNTKDVLRRFVRFG >Potri.002G086300.1.v4.1 pep chromosome:Pop_tri_v4:2:6171830:6173159:1 gene:Potri.002G086300.v4.1 transcript:Potri.002G086300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086300.v4.1 MAAGQENVKHLEECSVANALGTWVFSVAGALLAIPVGIKRKSFGPLVFFGTTGTMLDIIMGITQCEREHAERQAKLLEAQNSAGDASFTVTGSES >Potri.012G104100.1.v4.1 pep chromosome:Pop_tri_v4:12:12623695:12626647:-1 gene:Potri.012G104100.v4.1 transcript:Potri.012G104100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104100.v4.1 MIDFARVQKELQECSRDMEASGIKVAPKSDNLARLTGTIPGPISSPYEGGTFQIDITLPDGYPFEPPKMQFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPEPDDPQDAVVAQQYLRDHQTFVGTARYWTETFAKTSSLGVEEKVQKLVEMGFPEALARSALESVGGDENSALEKLCSG >Potri.010G059800.2.v4.1 pep chromosome:Pop_tri_v4:10:8993236:8995547:-1 gene:Potri.010G059800.v4.1 transcript:Potri.010G059800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059800.v4.1 MATEDVSLDLSTLLSSEERDFLIRNNGDQVKVSDLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEHLSSKGDFEVVFISSDGDDESFNTYFSEMPWLAIPFSETETRQRLKEVFKVRGIPRLVIFDTNGKVSCDNGVSTVKEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPVSDLEGKLVGLYFSVHAHRMCGEFTPKLVELYKTLKEKGENFEVVLLSLDDEEEDFKESFETMPWLALPLKDKSCEKLVRYFELRTIPNLVIIGQDGKTLNPNVAELIEEHGIEAYPFTPEKLDELAAIEKAKLESQTLESVLVNGENDFVIGKSGSKVIFFVLC >Potri.005G046050.1.v4.1 pep chromosome:Pop_tri_v4:5:2921609:2921857:-1 gene:Potri.005G046050.v4.1 transcript:Potri.005G046050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046050.v4.1 MIKGLPREGQSDEAYKLFRKMKDEGFLPDSCSYNVIIQGFLQNQDSSTAIRLIDEMVGKRFSADSSTFQMLLDLESRDEIIS >Potri.011G074600.2.v4.1 pep chromosome:Pop_tri_v4:11:7856961:7857500:-1 gene:Potri.011G074600.v4.1 transcript:Potri.011G074600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074600.v4.1 MSGSFGGWIFKNSPIPITKKPDLNDPVLRAKLAKGMGHNYYGEPAWPNDLLYIFPVVILGTIACNVGLAVLEPSMIGEPADPFATPLEILLEWYFFPVFQILRTVPNKLLGVLLMVSVPAGLLTIPFLENVNKFQNPFRRPVATTVFLIGTVVALWLGIGATLPIDKSLTLGLFQIDSI >Potri.013G006600.1.v4.1 pep chromosome:Pop_tri_v4:13:434666:439926:1 gene:Potri.013G006600.v4.1 transcript:Potri.013G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006600.v4.1 MNTISIIGNSTMKPSCRFLISTKNPVFFKRHHSLTSNLSGNQFNFDKSKQFLTCSFRILGFKTILNESRKSFCVPNIRSGQSRLIARDSRAVSVVASVASQFREFSTSVETRVNDKNFERIFAQNGISVKPLVVERIDKDEHVLGDEESRLGVLVDDGESVNREDLDGGQGVEIVSTKREESDIEKEAWKLLNDAVVMYCGSPVGTVAANDPGDKMPLNYDQVFVRDFVPSALAFLLRGEGEIVKNFLLHALQLQSWEKTVDCYSPGQGLMPASFKVRTVPLDDNNLEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKLTGDYALQERVDVQTGIKLILNLCLADGFDMFPSLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRSSREMLVVNDGSKNLVRAINNRLSALSFHIREYYWVDMRKINEIYRYKTEEYSTEATNKFNIYPEQIPSWLMDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWSVVSSLGTPKQNEAVLNLIESKWDDLVGNMPLKICYPALESEDWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACMKMDRMELAQKAIALAEKRLQVDHWPEYYDTRSGKFIGKQSRLYQTWTVAGFLTSKVLLENPEKASLLFWDEDYDLLEFCVCGLNTSGRKRCSRVAARSQILV >Potri.010G085500.5.v4.1 pep chromosome:Pop_tri_v4:10:11195531:11199313:-1 gene:Potri.010G085500.v4.1 transcript:Potri.010G085500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085500.v4.1 MDPLSALRDFTIRGDLDKIIRINDEFRFGNEYTFPCSTKTAYRSKQGNLYTLETLVYCIQNTKIKFTNYLQDALALGIPPVTYIDWKPVKEYLSGKLSSTDSIVFPLPQESQNPNLNYRPDDPMLLDSRIDDSAAADKVNNGNEGVENHVSLIYANERPLKDRESLLECKNRDFYGVLVASTRREEERHKFESQQRKDGLVAKSRLMGTDERGIGYGGDELGYDSAAKPKMHSKGGKIGEGVPIILVPSAFQTLITIYNVKEFLEDGIFIPTDVKAKQMKGPKPECVTVQKKFSTDRNRVMTAYEVRDKPSALKGDDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSQSHT >Potri.010G085500.4.v4.1 pep chromosome:Pop_tri_v4:10:11193641:11199160:-1 gene:Potri.010G085500.v4.1 transcript:Potri.010G085500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085500.v4.1 MDPLSALRDFTIRGDLDKIIRINDEFRFGNEYTFPCSTKTAYRSKQGNLYTLETLVYCIQNTKIKFTNYLQDALALGIPPVTYIDWKPVKEYLSGKLSSTDSIVFPLPQESQNPNLNYRPDDPMLLDSRIDDSAAADKVNNGNEGVENHVSLIYANERPLKDRESLLECKNRDFYGVLVASTRREEERHKFESQQRKDGLVAKSRLMGTDERGIGYGGDELGYDSAAKPKMHSKGGKIGEGVPIILVPSAFQTLITIYNVKEFLEDGIFIPTDVKAKQMKGPKPECVTVQKKFSTDRNRVMTAYEVRDKPSALKGDDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSQSHT >Potri.010G085500.2.v4.1 pep chromosome:Pop_tri_v4:10:11195523:11199223:-1 gene:Potri.010G085500.v4.1 transcript:Potri.010G085500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085500.v4.1 MDPLSALRDFTIRGDLDKIIRINDEFRFGNEYTFPCSTKTAYRSKQGNLYTLETLVYCIQNTKIKFTNYLQDALALGIPPVTYIDWKPVKEYLSGKLSSTDSIVFPLPQESQNPNLNYRPDDPMLLDSRIDDSAAADKVNNGNEGVENHVSLIYANERPLKDRESLLECKNRDFYGVLVASTRREEERHKFESQQRKDGLVAKSRLMGTDERGIGYGGDELGYDSAAKPKMHSKGGKIGEGVPIILVPSAFQTLITIYNVKEFLEDGIFIPTDVKAKQMKGPKPECVTVQKKFSTDRNRVMTAYEVRDKPSALKGDDWDRVVAVFVLGKEWQFKDWPFKDHVEIFNKIIGFFMRFEDDSVESAKIVKQWNVKIISISKNKRHQDRAAALEVWDRLEEFVRSQSHT >Potri.008G111700.1.v4.1 pep chromosome:Pop_tri_v4:8:7089424:7095162:-1 gene:Potri.008G111700.v4.1 transcript:Potri.008G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111700.v4.1 MTVNAPTHCALPPIRTLPTRSSLSFFKRRQPIFLNEAPNPRIVLVRCDKLNSDGLGPMRSVVETESVSGNSSASSAIDFLTLCHRLKTTKRKGWINHGIKGPESIADHMYRMSLMALIVGDLPGVNRERCIKIAIVHDIAEAIVGDITPSDGVPKQEKSRMEQAALNEMCEVLGGGMRAEEIKELWAEYENNASLEANLVKDFDKVEMILQALEYEMEHGKVLDEFFLSTAGKFQTEIGKNWAAEIASRRKSTPAKKQN >Potri.010G061000.2.v4.1 pep chromosome:Pop_tri_v4:10:9091566:9094369:-1 gene:Potri.010G061000.v4.1 transcript:Potri.010G061000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061000.v4.1 MEEGLALVVERKGKKRKRKKMREDRGRPFCQDPLDGLGRDLMLRVLNNFDARSLALCLVVSRTWNRVASSDLLWTSKPKTCLKIEIVKMTRGGAIQLHDLLHKEWCLSSLKL >Potri.001G468700.2.v4.1 pep chromosome:Pop_tri_v4:1:49281681:49285278:1 gene:Potri.001G468700.v4.1 transcript:Potri.001G468700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G468700.v4.1 MTDSSAYRVETTSRLAQWKIDNLASCTYRKSDPFKIGKWNWHLSVEKNRVLFVKLYPEISNLTRENPPIASFIIRVVSSAGDRKALTHPEVTDKQLKNNEDFVWAIDVPLTTGKFIIDVEFLDLKAASPGGGEPCSIWDEETTEKRANATALVSLGRMLTESIHTDIKIIVSDGSIGAHRAVLAARSPVFHSMFAHDLKEKELSTINISDMSIEACQAFLNYIYGNIQSEEFLVHRLALLSAADKYDIADLKEACHDSLLEDIDTKNVLERLQSASLYQLPKLKTSCLRYLVKFGKIFDIRDDFNSFLQCADRDLIAEVFHEVLNSWKGF >Potri.001G014000.4.v4.1 pep chromosome:Pop_tri_v4:1:975842:977719:1 gene:Potri.001G014000.v4.1 transcript:Potri.001G014000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014000.v4.1 MIFPRSLEVWKLGKVNYLEALKLQEKLVCDRKAYKIPDTLLSLQHPPTYTLGKRRTDHNLLIPVPELEKIGAELHYTQRGGDITYHGPHQAILYPIISLRDIGLGARKYVEKLELTMIDMASQYGVKACAGKKCETGVWVGDRKIGAIGVRISSGITSHGLAFNVDPDLDYFKHIVPCGIADKEVTSLRRETGTVLPSEEVIHEQLISCFARIFGFNNLIWKENEPTLLDNGKPERHVD >Potri.015G106300.3.v4.1 pep chromosome:Pop_tri_v4:15:12489359:12495027:1 gene:Potri.015G106300.v4.1 transcript:Potri.015G106300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106300.v4.1 MSKPSPILKKPKVEQQNNDDDNEVSLNQECKENNGREEEEDSMEEQKVALIALIEHRSRVLQHLKQRVSYYQTQLVEAEKRLEESQVKLGRLSGKGNATAPNKPSVENGIKNVKVERKSPSPVRVNEASPGSQPQSRTELVIPKVPQPLKLVGSGARISSCSSAQPSSSTPSNGVANAKVEKLNSVADVKVEKSNSDVKVERSHKSSSPDVEVIEIQDRGTKRKIEQKEHKELIPLVSRSSSPCTVHCHTSNHIPSQHKRKLRSVAVCPANDQLFVSSALDGMVHLWQLQARGSGASIISTTDCVSPLQRRWPEDIAWHPLGNSLFSAYTADSGDSQISILNLNKMQGRARVTFLDDKPHIKGTINSIEFMPWENTCFVTGCSDHGVVLWNEKDDENLWKPKILHRNLHSSAVMGVAGMQQKQIVLSAGADKRIVGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGDLLLAKIKLA >Potri.015G106300.1.v4.1 pep chromosome:Pop_tri_v4:15:12489359:12494903:1 gene:Potri.015G106300.v4.1 transcript:Potri.015G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106300.v4.1 MSKPSPILKKPKVEQQNNDDDNEVSLNQECKENNGREEEEDSMEEQKVALIALIEHRSRVLQHLKQRVSYYQTQLVEAEKRLEESQVKLGRLSGKGNATAPNKPSVENGIKNVKVERKSPSPVRVNEASPGSQPQSRTELVIPKVPQPLKLVGSGARISSCSSAQPSSSTPSNGVANAKVEKLNSVADVKVEKSNSDVKVERSHKSSSPDVEVIEIQDRGTKRKIEQKEHKELIPLVSRSSSPCTVHCHTSNHIPSQHKRKLRSVAVCPANDQLFVSSALDGMVHLWQLQARGSGASIISTTDCVSPLQRRWPEDIAWHPLGNSLFSAYTADSGDSQISILNLNKMQGRARVTFLDDKPHIKGTINSIEFMPWENTCFVTGCSDHGVVLWNEKDDENLWKPKILHRNLHSSAVMGVAGMQQKQIVLSAGADKRIVGFDVQVGRADFKHQLDSKCMSVLPNPCDFNLFMVQTGTHGKQLRLFDNRLKQMEIHSFGFKQESSDSQSALTNQAWSPDGLYLTSGSVDPVIHIFDIRYNYDKPSQSIKAHQKRVFKAVWHYSLPLLISISSDLHIGLHKII >Potri.010G174700.1.v4.1 pep chromosome:Pop_tri_v4:10:17536741:17537858:1 gene:Potri.010G174700.v4.1 transcript:Potri.010G174700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174700.v4.1 MMGKVNRERLAEYLGELQRKEDTNDRYVAALRGETFTRNPYVRIQSIPKRNNTEADKEQNLDNDQRKQIS >Potri.005G084100.1.v4.1 pep chromosome:Pop_tri_v4:5:5795933:5797021:1 gene:Potri.005G084100.v4.1 transcript:Potri.005G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084100.v4.1 MVLDHPLTRLGTAESLQAQAIYKSSYGSSLTAETKKVRVMEKLAQDLKRGFLNLFEAIRGSRTSQGNENGDEATSESVEEVTVQDRGVKVTARGPKRPGVPKGPPPKNA >Potri.005G142732.1.v4.1 pep chromosome:Pop_tri_v4:5:11483991:11491792:-1 gene:Potri.005G142732.v4.1 transcript:Potri.005G142732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142732.v4.1 MTFHFSYEMAIFILFILIYQVMLVMEVLSSKRKLQRCLDEFGEHYSKLVVGLAVANALLEPFLFEWPFMITPVCKGSVYRAQDLMVAASHCALAVAPLAYATAINSCARKEGVWSWWEGINYAPALAAATVGIVLAQRATATAIAVACVLTAKGCHTWCSILYFIKSRQRTSLFEQNAALTLTSIFLAFLPSILNFLPLWSTALHLSSSHMPRFYMRSE >Potri.008G214723.1.v4.1 pep chromosome:Pop_tri_v4:8:16997191:16999720:-1 gene:Potri.008G214723.v4.1 transcript:Potri.008G214723.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G214723.v4.1 MDNKKKKVGSHRSHLKHQKLLANFQLNDSAVLALAAPPPTVYATVTSNSNSKQRPPVASATVYSRATLHALSSPHGPAPQYHGDPSINHIFMEDWSGDDDLEDEEVDFNSSVEDYVRAFTSPAVGASPAGGQLTPVAFSAVAQSTSPPLPPAVGSISPPLKQTMMPSSLPSGCCASPTSNVAGAQSTPPPLPPAEKIHSPPLEKSMPPSSFPPGYCESSSSTVAGKPLSAPGSNKWRDLFSNRSTVSYTRLQNFSLNHLSKTCDISSEDI >Potri.019G003600.1.v4.1 pep chromosome:Pop_tri_v4:19:935203:937251:-1 gene:Potri.019G003600.v4.1 transcript:Potri.019G003600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003600.v4.1 MCLQYEQDEALCRRKNSYTQREREMEMMEDVVIVGAGIAGLATAVALKRVGVRALVLIRSEGLRATGSALTLFPNAWLALDALGVSHKLTPIYAPSSMGYVTNVSAGDVQQVLVRVANNGGDGHGIRTIHRKALLEALAEELPVDSIQFSSKLAVIGNEEQGGASIVVIHLEDGTTIKSKVLIGCDGVNSVVARWLGLAEPVHSGRSAVRGLAVFPQGHGFKQEVHRFVDVGKRAGFVPLNDRELYWFLTYNGDNMTGDPEHIQKQVLEKHAEKFPSSYLDVVRHADLSTLTWALLKFRQPWGIIFGKLSKGNVTVAGDAMHPMTPDLGQGGGASLEDAVVLGRHIGNSVINNGGLIVPGDMAKAIDDYVKERRWRPAFLVTGSYLAGWVQLGGDKWWMKFLRDGIFYQYLFGRISGLVHKDCGKLPAMSFGDTDHSSKKD >Potri.009G168800.1.v4.1 pep chromosome:Pop_tri_v4:9:12858671:12859144:-1 gene:Potri.009G168800.v4.1 transcript:Potri.009G168800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168800.v4.1 MSSIVQSFQKRNNSAALPVSQTHSSKDQAVAGLRRRLSSLSLKIQPISSPATQWAFQRSKSVSAMGEYAGSSVKKWWDWGWSWILSRKPVFAQDLEMNEEETRVLGCHSKGSWRHVFYKFRSEVIKLARSGDKAVLPQTCRTSDHSFSYSKNLDAGH >Potri.014G031700.1.v4.1 pep chromosome:Pop_tri_v4:14:1972313:1975190:-1 gene:Potri.014G031700.v4.1 transcript:Potri.014G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031700.v4.1 MVAKISAYEESRRKRMEENKKRMEALNLHKLSRALKISTPTKSSPMKRSKPRVVEKQVVVVRRSSRVANKPAPFFKEVVLDRVVIPRRISKARDLSNRVYATDEARAKAMEKAEKLQSDLGSDYPIFIKSMLQSHVTGGFWLGLPVDFCRRNLPRRDDVITLIDEEADEYQVIYLARKNGLSGGWKGFAVAHGLLDGDAVVFQLIKPTACKVYIIRVNGSEQGNSL >Potri.014G031700.2.v4.1 pep chromosome:Pop_tri_v4:14:1972313:1975191:-1 gene:Potri.014G031700.v4.1 transcript:Potri.014G031700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031700.v4.1 MVAKISAYEESRRKRMEENKKRMEALNLHKLSRALKISTPTKSSPMKRSKPRVVEKQVVVVRRSSRVANKPAPFFKEVVLDRVVIPRRISKARDLSNRVYATDEARAKAMEKAEKLQSDLGSDYPIFIKSMLQSHVTGGFWLGLPVDFCRRNLPRRDDVITLIDEEADEYQVIYLARKNGLSGGWKGFAVAHGLLDGDAVVFQLIKPTACKVVYIIRVNGSEQGNSL >Potri.009G105600.4.v4.1 pep chromosome:Pop_tri_v4:9:9224985:9228163:-1 gene:Potri.009G105600.v4.1 transcript:Potri.009G105600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105600.v4.1 MSLPRLQIYRSLSTLSSPTDALLAEKALTLLKRYPYHLNSISSQITPELASYLLLQTQNDRTLTLKFINFAKPHQFFNPHCKCIALHILTKFKLYKTAQNLAQDLAENSVDEKGNYFFQCLKDTYFMCNSSSAVFDLVVKSCSYLNFIEKALNIVDLAKLNGFMPGVLSYNAILDSIVRCRKPVIFAEKVYREMIASGVSLNVFSYNILIRGFCAAGNLEMGLRFFEEMERNRCLPNVVTYNTVIGAYCKLKRIDEAFKLLRSMGLEGLEPNLLTYNMVINGLCRVGRIEETSGVLAEMDRNGFAPDGVTYNTLVNGYCKVGNFHQALVLHSEMLRNGLPPDVVTYTSLINTMCKAGNLNRAMEFFDQMHVRGLRPNGVTYTSLINGFSQKGFMDEAYRIWDEMIRSGFPPTIVTYNALLNGHCVSGRMEEAIGLLRGMEGKGLSPDVVSYSTIIAGFCRYQELDRAFQMNAEMVEKGVSPDAITYSSLIQGLCEQRRLNEACDLFQEMLNKSLLPDEFTYTSLINGYCKEGDLNEALNLHDEMIKKGFLPDTVTYNVLINGLNKQARTREAKRLLLKLFYDESIPNGITYDTLIESCSDIEFKSVVALIKGFCMKGLMNEADQVFESMIKRNQKPNEAVYNVIIHGHCRDGNVHKAHKLYKEMVDFGFIPHTVTIIALVKALYSEGMDEQLNLVIRDILRSCKLSDAELSKALVQINHKEGNIDAVFNLLTEMAKDGFLPSGAAPANAGQ >Potri.009G105600.1.v4.1 pep chromosome:Pop_tri_v4:9:9224489:9228228:-1 gene:Potri.009G105600.v4.1 transcript:Potri.009G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105600.v4.1 MSLPRLQIYRSLSTLSSPTDALLAEKALTLLKRYPYHLNSISSQITPELASYLLLQTQNDRTLTLKFINFAKPHQFFNPHCKCIALHILTKFKLYKTAQNLAQDLAENSVDEKGNYFFQCLKDTYFMCNSSSAVFDLVVKSCSYLNFIEKALNIVDLAKLNGFMPGVLSYNAILDSIVRCRKPVIFAEKVYREMIASGVSLNVFSYNILIRGFCAAGNLEMGLRFFEEMERNRCLPNVVTYNTVIGAYCKLKRIDEAFKLLRSMGLEGLEPNLLTYNMVINGLCRVGRIEETSGVLAEMDRNGFAPDGVTYNTLVNGYCKVGNFHQALVLHSEMLRNGLPPDVVTYTSLINTMCKAGNLNRAMEFFDQMHVRGLRPNGVTYTSLINGFSQKGFMDEAYRIWDEMIRSGFPPTIVTYNALLNGHCVSGRMEEAIGLLRGMEGKGLSPDVVSYSTIIAGFCRYQELDRAFQMNAEMVEKGVSPDAITYSSLIQGLCEQRRLNEACDLFQEMLNKSLLPDEFTYTSLINGYCKEGDLNEALNLHDEMIKKGFLPDTVTYNVLINGLNKQARTREAKRLLLKLFYDESIPNGITYDTLIESCSDIEFKSVVALIKGFCMKGLMNEADQVFESMIKRNQKPNEAVYNVIIHGHCRDGNVHKAHKLYKEMVDFGFIPHTVTIIALVKALYSEGMDEQLNLVIRDILRSCKLSDAELSKALVQINHKEGNIDAVFNLLTEMAKDGFLPSGAAPANAGQ >Potri.001G110100.1.v4.1 pep chromosome:Pop_tri_v4:1:8871731:8875817:1 gene:Potri.001G110100.v4.1 transcript:Potri.001G110100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110100.v4.1 MALEMESMALAIGVSVPVLRFLLCFVATIPVSFTHRFVPSLFGKHLYAALSGAFLSYLSFGFSSNLHFLVPMLLGYLSMVLFRPYCGILTFLSGFGYLIGCHMYYMSGDAWKEGGIDATGALMVLTLKVISCAMSYNDGLLKEEELREAQKKNRLIELPSFIEYVGYCLCCGSHFAGPVFEMKDYLEWTERKGIWAPTEKGPAPSPFGATIQALLQATVCMALYLYLIPHFNISGLNGPAYQEWGFWKRLSYQFMSGFTARWKYYFIWSISEAAVIISGLGFSGWTDTSPSKPKWDRAKNVDILGVEFAKSSVQLPLVWNIQVSTWLRHYVYDRLVQKGKKPGFFQLLATQTTSAVWHGLYPGYIIFFVQSALMIAGSRVLYRWQQAIPSNMDVVKNLLVFISFAYTVLVLNYSAVGFMVLTLQETLALYGSVYYIGTIAPIVLILLGNMIKPAKPSRSKARKEQ >Potri.002G245800.4.v4.1 pep chromosome:Pop_tri_v4:2:23696144:23700024:-1 gene:Potri.002G245800.v4.1 transcript:Potri.002G245800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245800.v4.1 MVHKIGSHAQIFISFFSLALFPSSPLPVTELASLQSPQEFLINIHTMKHIVKIMVLLVAISACWIGLLQTSIIPRSHTWLLPIYFVISLGCYGLLMVGVGLMNFPTCPQEALLLQQDIVEAKDFLKRRGVDVVSE >Potri.002G245800.5.v4.1 pep chromosome:Pop_tri_v4:2:23693862:23700024:-1 gene:Potri.002G245800.v4.1 transcript:Potri.002G245800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245800.v4.1 MVHKIGSHAQIFISFFSLALFPSSPLPVTELASLQSPQEFLINIHTMKHIVKIMVLLVAISACWIGLLQTSIIPRSHTWLLPIYFVISLGCYGLLMVGVGLMNFPTCPQEALLLQQDIVEAKDFLKRRGVDVVSE >Potri.001G315000.1.v4.1 pep chromosome:Pop_tri_v4:1:32576376:32579753:-1 gene:Potri.001G315000.v4.1 transcript:Potri.001G315000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315000.v4.1 MQLNRLCIILPADLEEIKPFEDPKIPQPMQDGVRKDRHRGCGSQILHFLGDSLRRLQDSKWIGCFLHDKPSKQQPQPGLFYDLEGIQLSEKVGGANPRIFSYAELYIGSKGFCEDEVLGSGGYGKVYRAVLPSDGTVVAVKCLAERGEQFEKTFAAELVAVAHLRHRNLVRLRGWCVHEEQLLLVYDYMPNRSLDRVLFRRPENLKAAPLSWERRRKIVGGLAAALHYLHENLETQIIHRDVKTSNVMLDSHYNARLGDFGLARWLEHELEYQIRTPSMKNHQFHLTESTKIGGTIGYLPPESFQKRSVATAKSDVFSFGIVVLEVVSGRRAVDLAYPDDQIVLLDWIRVLSGEGKLLQAGDNRLPDGSFGLSDMERLIHLGLLCTLHNPQLRPNMKWVVEALSGNILGKLPPLPSFQSHPRYIAISSASNTSISKTNTTTTTTVPSSDMTISFTSSAYVTAMEETIYETAEFENINKLSSSKSNNRSHRQNALFMVETPREISYKEIISATNNFSDSQRVAEVDFGTAYYGILEDGHQVLVKRLGMTQCPAIRVRFSTELLNLGRLRHRNLIQLRGWCTELGEMLVVYDYSASRHMSHLLFHHDNRIGHSILLWRHRYNIIKSLASAVLYLHEEWDEQVIHRNITNSSIILDPDMNPRLGNFALAEFLARNDHAHKADAKENNSVRGIFGYMSPEYIEHGEATPMADVYSYGVVVLEVVSGQMAVDFRRPEVLLIRRVHEFEAQKRPLEDLADIRLNGEYDLEELIRVVKLGIACTRSNPELRPTMRQIVRILDGNDQWFTERGQNTESREEWRQKNACSMSMIRRVQALGIQ >Potri.001G452400.1.v4.1 pep chromosome:Pop_tri_v4:1:47943352:47945565:-1 gene:Potri.001G452400.v4.1 transcript:Potri.001G452400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452400.v4.1 MATILERAFKSFLSNSIAYSYFYFREDHTRFENIKHNMPKGRPLSLQTVELKVRMCCTGCERVVKNAIYKLKGIDSVEVDLEMEKVTVVGYVDRNKVLKAVRRAGKRAEFWPYPNPPLYFTSANHYFKDTTSEFKESYNYYKHGYNLADRHGTIPVSHRGDDKVSNMFNDDNVNACCLM >Potri.019G007900.4.v4.1 pep chromosome:Pop_tri_v4:19:1064257:1073348:1 gene:Potri.019G007900.v4.1 transcript:Potri.019G007900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007900.v4.1 MAPALSDILRITSSLLVLMLLMFCMGAINLEAQDVSPAPDEVETLLEVATQLGKKGWNRNMKLCNNTKLPLKPDADNEVVCNCSFPGGTCHVVAIYLKRQDLNGSLPKAIGKLPHLKHLDLWANYLSGNIPPEWANTTLELLNIQDNMFSGTVPPELGGLVNLENLTLSANYLTGELPPALTNLTGLKELRLSSNNFTGRIPDFIQSWKQLDTLEIQAGGFTGPIPLNISVLTDLTDLKISNLLGDGSEFPHLESIKGIKYLLLSNCNLSGNFPKYLTGMEHLKILDLSFNRLNGLLPTNYEGLQSLEKMYLTWNMFTGPIPDWFNQRSNRYVLDLSYNNFTSEAKCKETLNLFKSTWGGHYSKPVECLSACSEERYSVHINCGGPEATIGNTFYEADDEAGGAAKYAFKREHWQTSTTGHFWDVDSSSYNYTAQNMSILRMDNSVLYTNARFTPLSLTYHVRCLVNGNYTIKLHFAEIVMRDNRSHYSLGRRIFDVYIQDIVVLKDFDIVKPAGAVDKVYIHNYTANVTNGGLEIRLHWAGKGTTMSPKKGIYGPLISAIDVKSDFKPPDKGRRKRFIVAGAVVLPLFLIIILLSTLWWKGYLRGRKSRGRELVGLDLLTGIFTFSQIKAATNDFDPVNKLGEGGFGCVYKGVLSDGTQIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIQATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRALYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLGDPSGYATALHNKFAQSSVNGSISETPSLVKSSEGPWTASSSSSAQDLYPISKS >Potri.019G007900.1.v4.1 pep chromosome:Pop_tri_v4:19:1064256:1073591:1 gene:Potri.019G007900.v4.1 transcript:Potri.019G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007900.v4.1 MAPALSDILRITSSLLVLMLLMFCMGAINLEAQDVSPAPDEVETLLEVATQLGKKGWNRNMKLCNNTKLPLKPDADNEVVCNCSFPGGTCHVVAIYLKRQDLNGSLPKAIGKLPHLKHLDLWANYLSGNIPPEWANTTLELLSIGVNNLTGKIPSYLGNITTLRSLNIQDNMFSGTVPPELGGLVNLENLTLSANYLTGELPPALTNLTGLKELRLSSNNFTGRIPDFIQSWKQLDTLEIQAGGFTGPIPLNISVLTDLTDLKISNLLGDGSEFPHLESIKGIKYLLLSNCNLSGNFPKYLTGMEHLKILDLSFNRLNGLLPTNYEGLQSLEKMYLTWNMFTGPIPDWFNQRSNRYVLDLSYNNFTSEAKCKETLNLFKSTWGGHYSKPVECLSACSEERYSVHINCGGPEATIGNTFYEADDEAGGAAKYAFKREHWQTSTTGHFWDVDSSSYNYTAQNMSILRMDNSVLYTNARFTPLSLTYHVRCLVNGNYTIKLHFAEIVMRDNRSHYSLGRRIFDVYIQDIVVLKDFDIVKPAGAVDKVYIHNYTANVTNGGLEIRLHWAGKGTTMSPKKGIYGPLISAIDVKSDFKPPDKGRRKRFIVAGAVVLPLFLIIILLSTLWWKGYLRGRKSRGRELVGLDLLTGIFTFSQIKAATNDFDPVNKLGEGGFGCVYKGVLSDGTQIAVKQLSAKSKQGNREFVNEIGMISALQHPNLVRLYGCCIEGKQLLLVYEYMENNSLAHVLFGTKEIQATKLDWRTRQRICVSIAKGLVFLHEESTLKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGMNNVKFRRDENFVCLLDRALYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLGDPSGYATALHNKFAQSSVNGSISETPSLVKSSEGPWTASSSSSAQDLYPISKS >Potri.002G219000.1.v4.1 pep chromosome:Pop_tri_v4:2:20959238:20960260:1 gene:Potri.002G219000.v4.1 transcript:Potri.002G219000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219000.v4.1 MSVEILDGATIVNFLEDEEAFNAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGVDVETDPDELALVYGSLFVQFDHDLNGTVELEEFKSETKQMMLAMANGMGFLPVQMVLEEDSFLKKAVEWESAKLVA >Potri.001G349800.1.v4.1 pep chromosome:Pop_tri_v4:1:36199119:36202415:1 gene:Potri.001G349800.v4.1 transcript:Potri.001G349800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349800.v4.1 MAISSSPIASPLGININTKLASSSSFPNLALFNLPSKPTNRISTIVLSSYKNSGNGLTSEDKKALFEKYGLDPNQFPSQPSSSSPSLKTKRRKEIQNPGRGKQVREEDGEDKPQRTTHRLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGSAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSNVLQPNLECTGFLDVSVIHTVRVESFLERAEQFVGQDSAFDFISVTPPYTQVDYGILMDQISKSPIVGEDTFIVVEYPLRTDMLDSCGCLVKINDRRFGRTHLAIYGPEWAQKKRKK >Potri.001G349800.7.v4.1 pep chromosome:Pop_tri_v4:1:36199148:36202117:1 gene:Potri.001G349800.v4.1 transcript:Potri.001G349800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349800.v4.1 MAISSSPIASPLGININTKLASSSSFPNLALFNLPSKPTNRISTIVLSSYKNSGNGLTSEDKKALFEKYGLDPNQFPSQPSSSSPSLKTKRRKEIQNPGRGKQVREEDGEDKPQRTTHRLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGSAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSNVLQPNLECTGFLDVSVIHTVRVESFLERAEQFVGQDSAFDFISVTPPYTQVDYGILMDQISKSPIVGEDTFIVVEYPLRTDMLDSCGCLVKINDRRFGRTHLAIYGPEWAQKKRKK >Potri.001G349800.8.v4.1 pep chromosome:Pop_tri_v4:1:36199144:36202104:1 gene:Potri.001G349800.v4.1 transcript:Potri.001G349800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349800.v4.1 MAISSSPIASPLGININTKLASSSSFPNLALFNLPSKPTNRISTIVLSSYRNGLTSEDKKALFEKYGLDPNQFPSQPSSSSPSLKTKRRKEIQNPGRGKQVREEDGEDKPQRTTHRLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGSAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSNVLQPNLECTGFLDVSVIHTVRVESFLERAEQFVGQDSAFDFISVTPPYTQVDYGILMDQISKSPIVGEDTFIVVEYPLRTDMLDSCGCLVKINDRRFGRTHLAIYGPEWAQKKRKK >Potri.001G349800.2.v4.1 pep chromosome:Pop_tri_v4:1:36199148:36202435:1 gene:Potri.001G349800.v4.1 transcript:Potri.001G349800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349800.v4.1 MAISSSPIASPLGININTKLASSSSFPNLALFNLPSKPTNRISTIVLSSYRNGLTSEDKKALFEKYGLDPNQFPSQPSSSSPSLKTKRRKEIQNPGRGKQVREEDGEDKPQRTTHRLLQVLGGKAKRKKLLSPKGMDVRPMMEVVKGSAFDILQAAGGCPASLRPGRWLDLYSGTGSVGIEAISRGCSEVHFVEMDPWVVSNVLQPNLECTGFLDVSVIHTVRVESFLERAEQFVGQDSAFDFISVTPPYTQVDYGILMDQISKSPIVGEDTFIVVEYPLRTDMLDSCGCLVKINDRRFGRTHLAIYGPEWAQKKRKK >Potri.001G304000.1.v4.1 pep chromosome:Pop_tri_v4:1:31384554:31389812:1 gene:Potri.001G304000.v4.1 transcript:Potri.001G304000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304000.v4.1 MAQVQVPVQPQSVNAGANNPNFVTTSLYVGDLEASVTDSQLYDLFNQVGQVVSVRVCRDLTSRRSLGYGYVNYSNPQDAARALEMLNFTPLNGSPIRVMYSHRDPTIRKSGAGNIFIKNLDKAIDHKALHDTFSAFGNILSCKVATDPSGQSKGYGFVQFDSEEAAQKAIEKLNGMLLNDKQVYVGPFLRKQERDTATDKMRFNNVFVKNLSETTTEEDLNKTFGEFGTITSIVVMRDGDGKSKCFGFVNFENAEDAAKAVEALNGKKIDDKEWFVGKAQKKYEREVELKQRFEQSMKEAADKFQGANLYIKNLDDSIGDEKLKELFSPFGTITSCKVMRDPNGISRGSGFVAFSTPEEASRALLEMNGKIVVSKPLYVALAQRKEDRRARLQAQFSQMRPVAMAPSVGPRMPMYPPAGPGLGQQIFYGQAPPAIIPPQPGFGYQQQLVPGMRPGGAPMPNFFVPMVQQGQQGQRPGGRRAGAGQQSQQPVPLMQQQMLPRGRVYRYPPGRGLPDVPMTGVAGGMLPVPYDMGGMPMRDAALSQSIPVGALATALANATPDQQRTMLGENLYPLVEQLEPEAAAKVTGMLLEMDQTEVLHLLESPEALKAKVNEAMEVLRTVQQQATGTADQLASLSLNDNLVP >Potri.019G061700.1.v4.1 pep chromosome:Pop_tri_v4:19:10195767:10198208:1 gene:Potri.019G061700.v4.1 transcript:Potri.019G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061700.v4.1 MGEEVTKMGENGPLSAKLQQPTHFVLVHGISGGSWCWYKIRCLMENSGYRVSCIDLKGAGIDPADADSVHSFDDYNKPIMDFMSSLPDNEKVILVGHSAGGLSVTQATHKFAKKIRLAVYLAATMLKLGFWTDEDIKDGVPDLSSFGDVYELGFGLGPDQPPTSAIVKKEFQRKIIYQLSPQEDSTLAAMLSRPGPILALRSARFKEENDDIDKVMRVYIKTTHDHVVKPHQQEAMIKRWPPSEVYALDSDHSPLFSTPFLLFGLLIKAAASVGCH >Potri.002G010466.1.v4.1 pep chromosome:Pop_tri_v4:2:653648:654788:1 gene:Potri.002G010466.v4.1 transcript:Potri.002G010466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010466.v4.1 MEMWSVYFSVLFLIPSKSLDIPNFLIPSKSLDIPNFIKKRIRKYDPLSRTSLVGRPVIVSSDPDFNYNLLQQEGKLVERCYMDSFAKLLHQDATSVISKHGSIHKYPRNLVLAYFGPGPLKDKLLPKLETAISQALQDWSKRPTIEVKSASSSMIFDFTAKVLFSYESEKSGENIAESFTNFLLGLMSIPLNIPGTAFHRCLKNQKRAIKPITARRGTAPSRDPQRRFS >Potri.003G125500.1.v4.1 pep chromosome:Pop_tri_v4:3:14518997:14520598:1 gene:Potri.003G125500.v4.1 transcript:Potri.003G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125500.v4.1 MANSASGMAVNDGCKLRFLELKAKRSHRFIVFKIEEKTQQVVVETLGEPQQSYDDFTASLPIDECRYAVYDFDFTTDENVQKSKIFFVAWSPDASKIRSKMLYASSKDRFRRELDGVQVELQATDPSEISLDIVKERAF >Potri.002G257000.1.v4.1 pep chromosome:Pop_tri_v4:2:24557190:24562007:-1 gene:Potri.002G257000.v4.1 transcript:Potri.002G257000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257000.v4.1 MASPYPPPVTVKAVQVGSYFVGQYYQVLQQHPDLVHRFYADGSTIIRIDAHSTDSANTMLQIHALVMSLNFSAIEIKTINSLESWNGGVLVMVSGSVKTKDFVNRRKFVQTFFLAPQEKGYFVLNDIFHFVDDGVVYQQNLAPRPSENMYMQHPVAVSSDETFDAQLDSSHSPPEPPVSDYVLEEEAREYVNSVRIDDDPVDKYSLPEQQEQQDFETEIVVDETPVVETPASFQSAVNVGQDFPTAAPEEPMEEPQKKTYASILLVSKGPSSSSVATQPPVNKSAPTTSDWNHMPTTTALQPESVSYATETGVEATEESFGVDEGEPKSVYVRNLPSDVTAAEIEEEFKHFGRIKPDGVFVRNRKDVVGVCYAFVEFEDLLSVQNAIKASPIQLAGRPVYIEERRPSTSIASRGGRGRGRGYQTDAPRGRFGGRSLGRGGNQDGGDYNRARGNGFYQRAF >Potri.013G023500.1.v4.1 pep chromosome:Pop_tri_v4:13:1507267:1507491:1 gene:Potri.013G023500.v4.1 transcript:Potri.013G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023500.v4.1 MFMSRSQVGCLLLVLLSISAFHHGVVGARNLKENVEAKEKTQNEKEGADSEDINGYVANVNRVVPSCPDPIHNR >Potri.002G058700.1.v4.1 pep chromosome:Pop_tri_v4:2:4018314:4035423:1 gene:Potri.002G058700.v4.1 transcript:Potri.002G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058700.v4.1 MASSSGTKNDTGPAPRSLSRRMTRAPTMMLDLPDEDNASVDSELVPSSLAGIAPILRVANEIEKDNPRVAYLCRFHAFEKAHKMDQTSSGRGVRQFKTYLLHRLEREELETKFQLARNDPREIQLYYQRFYEQNIKDAQHTKKPEEMAKILRIATVLYDVLQTVVPTGKVDNETRKYADDVERKRGQYEHYNILPLYAAGVKPAIMELPEIKAALHAIRDLDNLPMPRITLPHVSSDDLPKESVKSVNDILDWLSSIFGFQRGNVANQREHLILLLANMDVRNRSLDDYTALNSRTIQKLLDKIFKNYRSWCNYLRCKSNLKFPEKSDTQQLKLIYIALYLLIWGEASNIRFMPECICYIFHKMAHEVYGILFSNVHPVSGETYETAAPDDEAFLRTVITPIYQVLRKEARRNKGGKASHSKWRNYDDLNEYFWSDRCLKLNWPMDLKADFFVHSDEIQRANERPNQSTGKRKPKTNFVEVRTFWHLFRSFDRMWIFLILALQAMIIVAWSPSGSIIAFFDEDVFKSVLSIFITSAFLNLLQAFLDIILSLNAWRSLKATQILRYLLKFVVAAAWAVVLPIGYSSSVLNPTGLVKLFSTWSMDWQNQSFYTYAIAIYLIPNILAAIFFLLPPLRRTMERSNWRIVTLIMWWAQPKLFVGRGMHEDMFSLLKYTLFWILLIICKLAFSYYVEILPLVEPTKLIMEITVDNYQWHEFFPRLTHNIGVVISIWAPVLLVYFLDAQIWYAIFSTLVGGIQGAFNHLGEIRTLGMLRSRFESVPSAFSRHLVPSSDEDVSGDHVMEEQHERKNIANFSHVWNEFIYSLRAEDLISNHERDLLLVPYSSSDVSVVQWPPFLLASKIPIALDMAKDFKGKEDAELYKKMDDYMQSAVTECYETLRDIIYGLLEDSADKTIVRQICYEVDMSIQQRQFLNEFRMSGLPMLSEYLERFLKFLLSDHEEADDMYKSQIINALQSIIEVITQDIMTHGHEILEKAHTATTGDASSVREQRFGKINIGPTYKKYWADKVIRLHLLLTTKESAINVPSNLDARRRITFFANSLFMNMPKAPKVRDMFSFSVLTPYYKEDVLYSDDELHKENEDGITILFYLKTIYRDEWKNFEERTNTSSSKEKMELTRQWVSYRGQTLARTVRGMMYYRQALELQCLLEFAGDHAVLGAFRTLEHEQDQKAYFDHAQALADLKFTYVVSCQVYGAQKKSTEARDRSCYSNILNLMLTNPSLRIAYIDEREVTVNGKSQKLYYSVLVKGGDKFDEEIYRIKLPGPPTDIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEELKKSHRRKQNPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGYNTTLRGGYVTHHEYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYYTTVGFYFSSMVTVITVYVFLYGRIYMVLSGLDREILMDPSISESKVLEQAMAPQSIFQLGFFLVLPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKSHYFGRTILHGGSKYRATGRGFVVFHAKFAENYRLYSRSHFVKGLELFILLIVYEVYGASYRSSSLFMFITLSMWFMVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISPDKSWESWWAGEHEHLRHTNFRGWLLEIILAFRFFIYQYGIVYHLDISHHSKSLLVYGLSWIVMITALLVLKMVSMGRRKFRTDFQLMFRILKALLFLGFMSVMTVLFVVCGLTIQDLFAAILAFMPTGWALLLIGQACMSLFKWIGFWDSLKELARAYEYIMGLLLFMPIAILSWFSFVSEFQTRLLFNQAFSRGLQISMILAGKKDGSDTVKKDVPETVKKDGADAGRKAPSN >Potri.002G058700.2.v4.1 pep chromosome:Pop_tri_v4:2:4018417:4035421:1 gene:Potri.002G058700.v4.1 transcript:Potri.002G058700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058700.v4.1 MASSSGTKNDTGPAPRSLSRRMTRAPTMMLDLPDEDNASVDSELVPSSLAGIAPILRVANEIEKDNPRVAYLCRFHAFEKAHKMDQTSSGRGVRQFKTYLLHRLEREELETKFQLARNDPREIQLYYQRFYEQNIKDAQHTKKPEEMAKILRIATVLYDVLQTVVPTGKVDNETRKYADDVERKRGQYEHYNILPLYAAGVKPAIMELPEIKAALHAIRDLDNLPMPRITLPHVSSDDLPKESVKSVNDILDWLSSIFGFQRGNVANQREHLILLLANMDVRNRSLDDYTALNSRTIQKLLDKIFKNYRSWCNYLRCKSNLKFPEKSDTQQLKLIYIALYLLIWGEASNIRFMPECICYIFHKMAHEVYGILFSNVHPVSGETYETAAPDDEAFLRTVITPIYQVLRKEARRNKGGKASHSKWRNYDDLNEYFWSDRCLKLNWPMDLKADFFVHSDEIQRANERPNQSTGKRKPKTNFVEVRTFWHLFRSFDRMWIFLILALQAMIIVAWSPSGSIIAFFDEDVFKSVLSIFITSAFLNLLQAFLDIILSLNAWRSLKATQILRYLLKFVVAAAWAVVLPIGYSSSVLNPTGLVKLFSTWSMDWQNQSFYTYAIAIYLIPNILAAIFFLLPPLRRTMERSNWRIVTLIMWWAQPKLFVGRGMHEDMFSLLKYTLFWILLIICKLAFSYYVEILPLVEPTKLIMEITVDNYQWHEFFPRLTHNIGVVISIWAPVLLVYFLDAQIWYAIFSTLVGGIQGAFNHLGEIRTLGMLRSRFESVPSAFSRHLVPSSDEDVSGDHVMFSSCQEEQHERKNIANFSHVWNEFIYSLRAEDLISNHERDLLLVPYSSSDVSVVQWPPFLLASKIPIALDMAKDFKGKEDAELYKKMDDYMQSAVTECYETLRDIIYGLLEDSADKTIVRQICYEVDMSIQQRQFLNEFRMSGLPMLSEYLERFLKFLLSDHEEADDMYKSQIINALQSIIEVITQDIMTHGHEILEKAHTATTGDASSVREQRFGKINIGPTYKKYWADKVIRLHLLLTTKESAINVPSNLDARRRITFFANSLFMNMPKAPKVRDMFSFSVLTPYYKEDVLYSDDELHKENEDGITILFYLKTIYRDEWKNFEERTNTSSSKEKMELTRQWVSYRGQTLARTVRGMMYYRQALELQCLLEFAGDHAVLGAFRTLEHEQDQKAYFDHAQALADLKFTYVVSCQVYGAQKKSTEARDRSCYSNILNLMLTNPSLRIAYIDEREVTVNGKSQKLYYSVLVKGGDKFDEEIYRIKLPGPPTDIGEGKPENQNHAIIFTRGEALQTIDMNQDNYFEEAFKMRNVLEELKKSHRRKQNPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLRVRFHYGHPDIFDRIFHITRGGISKASKIINLSEDIFAGYNTTLRGGYVTHHEYIQVGKGRDVGMNQISSFEAKVANGNGEQTLSRDVYRLGRRFDFYRMLSFYYTTVGFYFSSMVTVITVYVFLYGRIYMVLSGLDREILMDPSISESKVLEQAMAPQSIFQLGFFLVLPMVMEIGLEKGFRTALGDFVIMQLQLASVFFTFQLGTKSHYFGRTILHGGSKYRATGRGFVVFHAKFAENYRLYSRSHFVKGLELFILLIVYEVYGASYRSSSLFMFITLSMWFMVGSWLFAPFVFNPSGFDWQKTVDDWTDWKRWMGNRGGIGISPDKSWESWWAGEHEHLRHTNFRGWLLEIILAFRFFIYQYGIVYHLDISHHSKSLLVYGLSWIVMITALLVLKMVSMGRRKFRTDFQLMFRILKALLFLGFMSVMTVLFVVCGLTIQDLFAAILAFMPTGWALLLIGQACMSLFKWIGFWDSLKELARAYEYIMGLLLFMPIAILSWFSFVSEFQTRLLFNQAFSRGLQISMILAGKKDGSDTVKKDVPETVKKDGADAGRKAPSN >Potri.009G114600.1.v4.1 pep chromosome:Pop_tri_v4:9:9705507:9706997:-1 gene:Potri.009G114600.v4.1 transcript:Potri.009G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114600.v4.1 MGAEFAFKFFLLYLVLVMCVDGKGGARDDSQSEGMHGEPVHVHDHPSSHMDHMDHSLLVFFTLNDLKVGRTMPIYFPKKDPSKSPRLLPREDANSIPFSYEKFPYLLQFFSFSNGSPQAIAMEDTLRACEIKPIKGEVKFCATSLESMLDFVQGILGLESRFKVVSTTYLTESSILLQNYTILEVPKEVPAPKMVACHTMTYPYAVFYCHSQGTKNKVFMVSLIGENGDRVEALAVCHLDTSQWSRNHVSFKVLGIEPGSSDVCHFFPEDNLVYVPMPTMSNNLINVM >Potri.005G035800.3.v4.1 pep chromosome:Pop_tri_v4:5:2305082:2308372:-1 gene:Potri.005G035800.v4.1 transcript:Potri.005G035800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035800.v4.1 MEQGHGQPPAIVSSTSQLQYGTSPYQPNQMLAASNPGSVTGQPVGAQLAQHQLAYQQIHQQQEQQLQQQLQSFWANQYKEIDKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFSRACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPVDALSYCYMPPPHAPQVGAPGMIMGKHVMDPAMYAQQSHPYMAQHMWPQGSEQQQSPSDH >Potri.005G035800.2.v4.1 pep chromosome:Pop_tri_v4:5:2305079:2308349:-1 gene:Potri.005G035800.v4.1 transcript:Potri.005G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035800.v4.1 MLNLVCHVSSCRAENRGIKLHIVAFSWIRMEQGHGQPPAIVSSTSQLQYGTSPYQPNQMLAASNPGSVTGQPVGAQLAQHQLAYQQIHQQQEQQLQQQLQSFWANQYKEIDKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFSRACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPVDALSYCYMPPPHAPQVGAPGMIMGKHVMDPAMYAQQSHPYMAQHMWPQGSEQQQSPSDH >Potri.005G035800.1.v4.1 pep chromosome:Pop_tri_v4:5:2304144:2308349:-1 gene:Potri.005G035800.v4.1 transcript:Potri.005G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035800.v4.1 MEQGHGQPPAIVSSTSQLQYGTSPYQPNQMLAASNPGSVTGQPVGAQLAQHQLAYQQIHQQQEQQLQQQLQSFWANQYKEIDKVTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFSRACEMFILELTLRSWNHTEENKRRTLQKNDIAAAITRTDIFDFLVDIVPREDLKDEVLASIPRGTMPVGGPVDALSYCYMPPPHAPQVGAPGMIMGKHVMDPAMYAQQSHPYMAQHMWPQGSEQQQSPSDH >Potri.015G133800.1.v4.1 pep chromosome:Pop_tri_v4:15:14291505:14294491:1 gene:Potri.015G133800.v4.1 transcript:Potri.015G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G133800.v4.1 MFGNPVKWLFFILTSYLALNVVVSMNTLLTRKTYIVQMDKSAKPEYFTSHLEWYSSKVQSVLSEPQGEGDADEEDRIIYSYETAFHGVAAKLNEEEAARLEEADGVVAIFPETKYQLHTTRSPMFLRLEPEDSTSVWSEKLADHDVIVGVLDTGIWPESESFNDTGMTAVPVHWKGICETGRAFQKHHCNRKIVGARVFYRGYEAATGKINEQNEYKSPRDQDGHGTHTAATVAGSPVRGANLLGYAYGTARGMAPGARIAAYKVCWAGGCFSSDILSAVDRAVADGVNVLSISLGGGVSSYYRDSLSIAAFGAMEMGVFVSCSAGNGGPSPASLTNVSPWITTVGASSMDRDFPATAMIGTGKTISGVSLYRGQRILSTRKQYPLVYMGSNSSSPDPSSLCLEGTLNPRVVSGKIVICDRGITPRVQKGQVAKEAGAVGMILSNTAANGEELVADCHLLPAVAVGEKEGKLIKTYALTSQNATATLAFLGTRLGIKPSPVVAAFSSRGPNFLTLEILKPDVLAPGVNILAAWTGDLGPSSLPTDHRRVKFNILSGTSMSCPHVSGIAALLKARHPEWSPAAIKSALMTTAYVHDNTHNPLKDASATTPSTPYDHGAGHINPMKALDPGLIYDIEPQDYFDFLCTQKLTPTQLKVFGKYANRSCRHSLANPGDLNYPAISVVFPDDTSIKVLTLHRTVTNVGLPTSKYHAVISPFKGATVKVEPEILNFTMKNQKLSYKIIFTTRTRQTIPEFGGLVWKDGAHKVRSPVVITWLTPLT >Potri.009G148100.1.v4.1 pep chromosome:Pop_tri_v4:9:11693380:11694202:1 gene:Potri.009G148100.v4.1 transcript:Potri.009G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148100.v4.1 MGAEAMGEIKHLVVVKFKEGVVVEDIVKGMEKLVSEVDLVKSFEWGQDSEGPEMLTQGFTHSFSMTFDKKEDHVAFQSHPNHVEYSATFSAAIEKIVVLCFPSVCVKPSASA >Potri.002G062400.1.v4.1 pep chromosome:Pop_tri_v4:2:4288681:4293220:1 gene:Potri.002G062400.v4.1 transcript:Potri.002G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062400.v4.1 MSTRRRTLLKVIVLGDSGVGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMRSFDTLDNWHEEFLKQATPSDPRTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKGNIPYFETSAKEDYNVDPAFLSIAKIALANEHEQDIYFQGIPEAASESEQRGGCAC >Potri.018G018800.1.v4.1 pep chromosome:Pop_tri_v4:18:1280930:1285960:1 gene:Potri.018G018800.v4.1 transcript:Potri.018G018800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018800.v4.1 MMQRFKGLIKLKQARNGLLSYQRNFSALPYDAQYDDPQDQVLVEGRAKSRAAILNRPASRNALTIPMVARLKRLYESWEENHDIGFVLMKGSGKAFCSGSDVVSLCELLNEGKMEECKTYFQTLYKFVYLQATYLKPNVAILDGFTMGSGAGIAVPGMFRVATNKTVFAHPEAQIGFHPDAGASFYLSRLPGYLGEYLALTGDKLNGVEMIACGLASHYALHERLDLIEERLGKLITDEATVIETSLAQYGDLVYPDKTSVLYKIETIDKCFSHDTVEEIVDALENEAANSYNEWCKNAIGKIKEASPLSLKVTLHSIREGRFQSLDQCLAREYRMSLTGMSKRVSNDFCEGVRARLVDKDFAPKWEPPSLEEVSKDMVDSYFSPLGELEPELELPTALREPYI >Potri.009G021900.4.v4.1 pep chromosome:Pop_tri_v4:9:3410449:3415048:-1 gene:Potri.009G021900.v4.1 transcript:Potri.009G021900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021900.v4.1 MTKLFVFFHNILNVSIIIVDITGTYLPFEMSPASKSKSKDKKAGKEPQKATSKPSGTANAGSGISASAYNPLSGTFHTIETVSTSSASPLHSNGRFRNIDETDDHPGGLLGAGVDYDSVSNNGSWSGESEDQKEKTSNHPVRQETIPGADNDKREKIRQKNEKKHQRQKERRAQELRERCTGYLMSRKLEALAQQLVSMGFLHERATMALILNEGKLEESVTWLFEVGEHADKHRDQNLGGGTLKIDISEELARIAEMEIRYKCTKQEVERAVVASEGDLEKATESLRQLKLDPPSAPPKPEETGDPPTSSSDKLSVAGSQNMVRPQPKLNPTSLIQQRRDKDFNYTKAGVPVGGSLESGSKNEQPLKRIQPKLDWPKPQPTPTPTDKRWLSAGSNPSVSYSLASPLQAPPPPAKTETRYVTVGSEYKSLQPGTVREPVIMMQRPQSINSKQVPITSISSSPPGTAAGWYPTNRVDIMKPNGLMPPIPSTRSPSPNNQMFHQFHYQQPQHFVPSNGPGDSPGTSKVNGLWSRAGASPSLAAASSLGLFSGLGSTGSSGASSPVDWSTSGSMAQLDYTSIDWSLDRGLSSPRPGGFWLGPTNLKSSAQTYDSSSAQTYDSSSASGFGARLAMRSAPSGNGIPVPGLQDGGVANSETSTPGSVEWTSPFEGNDLFGLSRQFVSSPSL >Potri.009G021900.1.v4.1 pep chromosome:Pop_tri_v4:9:3410211:3415054:-1 gene:Potri.009G021900.v4.1 transcript:Potri.009G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021900.v4.1 MSPASKSKSKDKKAGKEPQKATSKPSGTANAGSGISASAYNPLSGTFHTIETVSTSSASPLHSNGRFRNIDETDDHPGGLLGAGVDYDSVSNNGSWSGESEDQKEKTSNHPVRQETIPGADNDKREKIRQKNEKKHQRQKERRAQELRERCTGYLMSRKLEALAQQLVSMGFLHERATMALILNEGKLEESVTWLFEVGEHADKHRDQNLGGGTLKIDISEELARIAEMEIRYKCTKQEVERAVVASEGDLEKATESLRQLKLDPPSAPPKPEETGDPPTSSSDKLSVAGSQNMVRPQPKLNPTSLIQQRRDKDFNYTKAGVPVGGSLESGSKNEQPLKRIQPKLDWPKPQPTPTPTDKRWLSAGSNPSVSYSLASPLQAPPPPAKTETRYVTVGSEYKSLQPGTVREPVIMMQRPQSINSKQVPITSISSSPPGTAAGWYPTNRVDIMKPNGLMPPIPSTRSPSPNNQMFHQFHYQQPQHFVPSNGPGDSPGTSKVNGLWSRAGASPSLAAASSLGLFSGLGSTGSSGASSPVDWSTSGSMAQLDYTSIDWSLDRGLSSPRPGGFWLGPTNLKSSAQTYDSSSAQTYDSSSASGFGARLAMRSAPSGNGIPVPGLQDGGVANSETSTPGSVEWTSPFEGNDLFGLSRQFVSSPSL >Potri.011G077260.1.v4.1 pep chromosome:Pop_tri_v4:11:8293093:8295689:1 gene:Potri.011G077260.v4.1 transcript:Potri.011G077260.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077260.v4.1 MEVIPNFEVQKVLRITYDFLDNYLMNLFLDIAYFFYGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINIDQRLWMHQLVRDMGREIARQESPKCQRIWRHEDAFAVLKGTTDAEKLRGLTLDMRTLMEDDYAKVVCTSSIVRRKLARLNFFQQRLSDFSDGRSLQTSQKSLFSILSTDAFRKMPDVKFLQLNYTKFYGSFEHFPKNLIWLCWYGFSSRSIPNHVCLKKLVVLDLSRSCLVDAWKGKPFLSKLKILDLHYSLNLIRTPDFSGLPALEKLILEDCIRLVQIQESIGDLERLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLDSLNMELEHHQGRSLLQSDGIVASTSYITSLPLKLFFPSRFSARKMLRFTLFSLPRSLRRLDLSGTTIRSLPESIKDLGLLIDLYLRNCKMLQTLPELPSHLWLLDVSFCYSLLRIPSVDRWTKANGYDQLVEFQDWMKQESIQKFDSHMFRIMEMVSAQIQPSRFQIIFIDDIFKVVVHVFDEDEKLRGFYEEEEEDKWLIQKEFVDNFSFKISSPETHRICGFNLFTGFCTMSGYSRCDPFYIEIRNNTIGRSLICQAHIFPVRSKRGVREIQSLMHMKLGVDDPTFDNGDDVSISVLPLDPNIQIRTIGVQWLHEEEGNDDDIQSKDEFITAHNSSDDDDDDDAHVAKVEIASRILRNYDCSFRSEFYDGDFAWWFFCKERS >Potri.006G080600.1.v4.1 pep chromosome:Pop_tri_v4:6:5981391:5987025:-1 gene:Potri.006G080600.v4.1 transcript:Potri.006G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080600.v4.1 MRLYHVAIGLVSLLSTVSGAMTIGANWGTQATHPLDPSIVVRLLRENGIQKVKLFDADYDTLKALGKSGIEVMVGIPNDMLATLASSMKAAEKWVSKNVSAHVTSNNVNIRYVAVGNEPFLQTYNGSFLRTTFPALQNVQSALIKAGLGNSVKVTVPLNADVYESSSGLPSDGDFRADIHDLMLAIVKFLNDATAPFTVNIYPFISLYSDADFPVDYAFFDGNANPVNDGGTSYYNMFDANYDTLVHALQKNGFGNLPIIVGEIGWPTDGDRNANIEYAQRFNQGFMSHISSGKGTPLKPNADINAYLFSLIDEDAKSVDPGNFERHWGVFTFDGMPKYAFNLGTTNTGALIPARRVNYLERKWCVMKPSAKLDDPQVPLSVSYACGLADCTRLGYGTSCASLDSRGNISYAFNSYFQIQNQLDDACKFPNLSTITKTDPSTGTCKFEVMIEPYYGGAVQAPGHAKKALGLVVGLILFFLKIV >Potri.006G080600.3.v4.1 pep chromosome:Pop_tri_v4:6:5981993:5986848:-1 gene:Potri.006G080600.v4.1 transcript:Potri.006G080600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080600.v4.1 MRLYHVAIGLVSLLSTVSGAMTIGANWGTQATHPLDPSIVVRLLRENGIQKVKLFDADYDTLKALGKSGIEVMVGIPNDMLATLASSMKAAEKWVSKNVSAHVTSNNVNIRYVAVGNEPFLQTYNGSFLRTTFPALQNVQSALIKAGLGNSVKVTVPLNADVYESSSGLPSDGDFRADIHDLMLAIVKFLNDATAPFTVNIYPFISLYSDADFPVDYAFFDGNANPVNDGGTSYYNMFDANYDTLVHALQKNGFGNLPIIVGEIGWPTDGDRNANIEYAQRFNQGFMSHISSGKGTPLKPNADINAYLFSLIDEDAKSVDPGNFERHWGVFTFDGMPKYAFNLGTTNTGALIPARRVNYLERKWCVMKPSAKLDDPQVPLSVSYACGLADCTRLGYGTSCASLDSRGNISYAFNSYFQIQNQLDDACKFPNLSTITKTDPSTGTCKFEVMIEPYYGGAVQAPGHAKKALGLVVGLILFFLKIV >Potri.014G186452.1.v4.1 pep chromosome:Pop_tri_v4:14:15446819:15447193:-1 gene:Potri.014G186452.v4.1 transcript:Potri.014G186452.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186452.v4.1 MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCSRGESGSSRAGRGTGWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Potri.010G208900.4.v4.1 pep chromosome:Pop_tri_v4:10:19844864:19849721:1 gene:Potri.010G208900.v4.1 transcript:Potri.010G208900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208900.v4.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGRRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRISETDSRAEPQTIRINQPDQAANGGQAAQKSACCGS >Potri.010G208900.1.v4.1 pep chromosome:Pop_tri_v4:10:19844820:19849703:1 gene:Potri.010G208900.v4.1 transcript:Potri.010G208900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208900.v4.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGRRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRISETDSRAEPQTIRINQPDQAANGGQAAQKSACCGS >Potri.010G208900.5.v4.1 pep chromosome:Pop_tri_v4:10:19844820:19849681:1 gene:Potri.010G208900.v4.1 transcript:Potri.010G208900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208900.v4.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGRRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEEVFFSIARDIKQRISETDSRAEPQTIRINQPDQAANGGQAAQKSACCGS >Potri.001G369100.1.v4.1 pep chromosome:Pop_tri_v4:1:38665336:38669686:-1 gene:Potri.001G369100.v4.1 transcript:Potri.001G369100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369100.v4.1 MRSEKYLPLFETKRARGLVLFRSFAVTLFVGICLILFYRVSNIPRDGEEGRWVWIGLLGAELWFGFYWILTQALRWNQVYRLTFKDRLSLRYEKDLPRVDVFVCTADPVIEPPIMVMNTVLSVMAYDYPPEKLAIYLSDDAGSDLTFYALLEASRFAKQWLPYCKKFNVQPRSPAAYFVSESPTGDGGGQSQTMDFMAIKNLYQEMADRIETATMLGRIPEEARLEHEGFSQWDSYSSKRDHDTILKILIDGKDPCSTDTDGSALPTLVYLAREKRPQHFHNFKAGAMNALIRVSSKISNGQIVLSLDCDMYSNDPLTVRDALCFFMDEEKSHDIAFVQFPQWFANVTKNDLYSSSLRVITNVEFHGTDGYGGPLYVGTGCFHRRDTLCGREFSQDSKIEWKKHNDHRRQQSVHELVEETKTLASCTYEQNTKWGNETGLKYGCPVEDVITGLSIQCKGWKSAYFNPERKAFLGLAPTTLPQVLVQHKRWSEGDFQILLSKYSPAWYAHGRIRLGLQLGYCCYCFWASNCFATLYYSIVPSLFLLKGISLFPQVSSPWFLPFAYVIVAKYIYSLVEFLWADGTVLGWWNDQRIWLYKRTSSYLFATIDTILKTLGFGETAFVITDKVADEDVSQRYEKEMMEFGATSPMFEILSTLAMLNLFCLAGTVKKVIMNDSIDRLHETMPLQILLCGVLVIVNLPLYQGLLLRKDKGRMPCSVAVKSSLAALLVCTTFSFL >Potri.012G058050.1.v4.1 pep chromosome:Pop_tri_v4:12:5722640:5725379:1 gene:Potri.012G058050.v4.1 transcript:Potri.012G058050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058050.v4.1 MDFAIFYAKVPHGTGSDESLAQTFNVHKFTLFSSCNHSKVDVLEERIFLKAKNILPVHGIWNWLAPVDSLTLEIYMTRNTNHNSLVSTRPSQAKTRCQFQLPNYHWTRYFDRLLLVFQFWGSKVLVGYGPHLTPTLYKVMPTL >Potri.011G103000.2.v4.1 pep chromosome:Pop_tri_v4:11:13109156:13112687:1 gene:Potri.011G103000.v4.1 transcript:Potri.011G103000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103000.v4.1 MGFLVPSPVFCPHAFVLLLLCFTSSALSIGRNETDRLALLDFKSKITHDPLGIMRLWNSSIHFCQWFGVTCSQKHQRVAVLDLQSLKLSGSVSPYIGNLSFLRNLYLQHNSFSHEIPAQIGHLHRLQILALHNNSFTGEIPASMSSSYNLVSLILDNNKLTGEIPKEFGSFLKLTDLYIDDNNLVGTIPPSLGNISSLQELWLDDNNLFGNLPATLSKLVNLRVLSLFNNRFSGTIPPSMLNLSSLRTFQVGLNHFQGNLPPDLGISLPNLEFFSIYSNQFTGSVPVSISNLSNLEMLELNLNKLRGKMPSLEKLQRLLSITIASNNLGSGEANDLSFLSSLTNATNLQRLIITQNNFQGQLPPQISNLSTTLEIMGLDSNLLFGSIPDGIENLISLNDFEVQNNHLSGIIPSTIGKLQNLEILGLALNNFSGHIPSSLGNLTKLIGLYLNDINVQGSIPSSLANCNKLLELDLSGNYITGSMPPGIFGLSSLTINLDLSRNHLSGSLPKEVGNLENLEIFAISGNMISGKIPSSLAHCISLQFLYLDANFFEGSVPSSLSTLRGIQEFNFSHNNLSGKIPEFFQDFRSLEILDLSYNNFEGMVPFRGIFKNATATSVIGNSKLCGGTPDFELPPCNFKHPKRLSLKMKITIFVISLLLAVAVLITGLFLFWSRKKRREFTPSSDGNVLLKVSYQSLLKATNGFSSINLIGTGSFGSVYKGTLDHNGIAVAVKVLNLTRQGASKSFMAECEALRNVRHRNLVKVVTACSGVDYHGNDFKALVYEFMVNGSLETWLHPSPATDEVRGILDLSQRLNIAIDVAHALDYLHHQCEKQIVHCDLKPGNVLLDDEMVGHVGDFGLAKFLLEDTLHHSTNPSSSIGIRGTIGYAPPEYGAGNEVSAYGDVYSYGILLLEMFTGKRPTDDLFNGLNLHSYVKTFLPEKVLQIADPTLPQINFEGNSIEQNRVLECLVSVFTTGISCSVESPQERMGIADVIAQLFSARNELLGT >Potri.011G103000.3.v4.1 pep chromosome:Pop_tri_v4:11:13109156:13112687:1 gene:Potri.011G103000.v4.1 transcript:Potri.011G103000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103000.v4.1 MGFLVPSPVFCPHAFVLLLLCFTSSALSIGRNETDRLALLDFKSKITHDPLGIMRLWNSSIHFCQWFGVTCSQKHQRVAVLDLQSLKLSGSVSPYIGNLSFLRNLYLQHNSFSHEIPAQIGHLHRLQILALHNNSFTGEIPASMSSSYNLVSLILDNNKLTGEIPKEFGSFLKLTDLYIDDNNLVGTIPPSLGNISSLQELWLDDNNLFGNLPATLSKLVNLRVLSLFNNRFSGTIPPSMLNLSSLRTFQVGLNHFQGNLPPDLGISLPNLEFFSIYSNQFTGSVPVSISNLSNLEMLELNLNKLRGKMPSLEKLQRLLSITIASNNLGSGEANDLSFLSSLTNATNLQRLIITQNNFQGQLPPQISNLSTTLEIMGLDSNLLFGSIPDGIENLISLNDFEVQNNHLSGNLTKLIGLYLNDINVQGSIPSSLANCNKLLELDLSGNYITGSMPPGIFGLSSLTINLDLSRNHLSGSLPKEVGNLENLEIFAISGNMISGKIPSSLAHCISLQFLYLDANFFEGSVPSSLSTLRGIQEFNFSHNNLSGKIPEFFQDFRSLEILDLSYNNFEGMVPFRGIFKNATATSVIGNSKLCGGTPDFELPPCNFKHPKRLSLKMKITIFVISLLLAVAVLITGLFLFWSRKKRREFTPSSDGNVLLKVSYQSLLKATNGFSSINLIGTGSFGSVYKGTLDHNGIAVAVKVLNLTRQGASKSFMAECEALRNVRHRNLVKVVTACSGVDYHGNDFKALVYEFMVNGSLETWLHPSPATDEVRGILDLSQRLNIAIDVAHALDYLHHQCEKQIVHCDLKPGNVLLDDEMVGHVGDFGLAKFLLEDTLHHSTNPSSSIGIRGTIGYAPPEYGAGNEVSAYGDVYSYGILLLEMFTGKRPTDDLFNGLNLHSYVKTFLPEKVLQIADPTLPQINFEGNSIEQNRVLECLVSVFTTGISCSVESPQERMGIADVIAQLFSARNELLGT >Potri.019G026500.1.v4.1 pep chromosome:Pop_tri_v4:19:3826573:3827624:-1 gene:Potri.019G026500.v4.1 transcript:Potri.019G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026500.v4.1 MRSVHFLLAFVLLTLASSIASASDPSPLQDFCVAINDPKAAVFVNGKFCKDPKMATANDFSFSGLNIPRNTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPENRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPDVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.001G005000.1.v4.1 pep chromosome:Pop_tri_v4:1:338307:340799:1 gene:Potri.001G005000.v4.1 transcript:Potri.001G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005000.v4.1 MLNRVSRRCFCTAAAAPTTPSPWLFVGLGNPGEKFKGTRHNVGFEMIDAFAGSLGISMNIPLCKALFGEGFVGDAPVLLAKPQTYMNLSGESAGPLAAYYKLPLNRVIVFHDDMELPCGVLRLQEKGGHGCHRGLKSVINHFRGNREFARLRIGIGRPPGQMDPKAFLLQKFNATARERIDAALPEGVVALRCILFEGLTRTARWFNQEQKYKYIRSETMST >Potri.007G066900.4.v4.1 pep chromosome:Pop_tri_v4:7:8588912:8593293:-1 gene:Potri.007G066900.v4.1 transcript:Potri.007G066900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066900.v4.1 MSQLTTGGTDFSLPDEILAVIPMDPYDQLDLARKITSMAIASRVSYLESERGRMKQRMFDKDRIIFELREKLGHLQRVCQESESKLSLALDENVKLSKEKDSLAMTAKKLGRDLAKLETFKRQLMQSLSDDNSSQAETVDIGTCDQSVPRAYSEKDEGMNVYVAHHNFNGSTDMGNTDEAPRHAGQRYSITPYITPRLTPSGTPKIISTSASPKGYSAAGSPQKTSGATSPTKPQYDGRASLSSWYPSSQQSSAANSPPRGHPIPGRAPRVDGKEFFRQARSRLSYEQFSAFLANIKKLNGQEQTREETLRKAEEIFGTDNKDLYFSFRGLLNRNIH >Potri.007G066900.2.v4.1 pep chromosome:Pop_tri_v4:7:8589048:8593293:-1 gene:Potri.007G066900.v4.1 transcript:Potri.007G066900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066900.v4.1 MSQLTTGGTDFSLPDEILAVIPMDPYDQLDLARKITSMAIASRVSYLESERGRMKQRMFDKDRIIFELREKLGHLQRVCQESESKLSLALDENVKLSKEKDSLAMTAKKLGRDLAKLETFKRQLMQSLSDDNSSQAETVDIGTCDQSVPRAYSEKDEGMNVYVAHHNFNGSTDMGNTDEAPRHAGQRYSITPYITPRLTPSGTPKIISTSASPKGYSAAGSPQKTSGATSPTKPQYDGRASLSSWYPSSQQSSAANSPPRGHPIPGRAPRVDGKEFFRQARSRLSYEQFSAFLANIKKLNGQEQTREETLRKAEEIFGTDNKDLYFSFRGLLNRNIH >Potri.016G095100.1.v4.1 pep chromosome:Pop_tri_v4:16:9261012:9271759:1 gene:Potri.016G095100.v4.1 transcript:Potri.016G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G095100.v4.1 MAASRRLRELQSQPANKICVDCSQKNPQWASVSYGVFMCLECSGKHRGLGVHISFVRSVTMDSWSEIQIKRMESGGNDKLNAFLAQYGIPKETDIVAKYSTNAASIYRDRIQSLAEGRSWRDPPVVKETIGSKKKPPLAQSGGGGGAACGRDGNFGNNGGWDNWDNDDSFKYSLSEVRRNQSVSDFRGGDTGAGNDGMGRVPVKSRSTEDMYTKSQLEASAANKESFFARRMAENEVRPDGIPPSHGGKYVGFGSSPSPTQSDNKSQQDVLSVVSQGFGRLSMVAASAAQSAANVVQAGTKELTSKVKEGGYDYKMNETVNVVTAKTTEIGHRTWGIMKGVMAMASQKVEEYTKDGWNTDNWQQNNSQSNGYYQEFNKQENKGWNSSSSGQSSSGHYNSCSSSSWDDWDRKDNRKEDSVKSTALHSNDGWAGWDDAKDDGYDDNFYHSAPDKKSASHNGKSDASWTGGGFFR >Potri.002G013100.1.v4.1 pep chromosome:Pop_tri_v4:2:805484:809040:1 gene:Potri.002G013100.v4.1 transcript:Potri.002G013100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013100.v4.1 METTAEPPQDPSDNANDVVSEDSSPETDLNDHQNSPETILPPSSETQSPLPNTILDAPVSDSQDDSSDPIQPPQNPNSTFPAPPPKKRRRRKRFFTEINGNPPFRRHRIGGGLSKEVDVEALIAISVGFPVDSLTEEEIEANVVSTIGGTEQANYIVVRNHILSRWRSSVSVWLTRDHALESIRAEHKALVDSAYNFLLQHGYINFGVALSIKEAQLKLNEGVERANVVVVGAGLAGLVAARQLMAMGFKVVVLEGRARPGGRVKTMILKGEGVVAAADLGGSVLTGINGNPLGVLARQMGLPLHKVRDICPLYLPDGKAVDSEIDSRIEASFNKLLDRVCKLRQAMIEEVKSVDVNLGTALEAFRHVYKVAEDPQELMLLNWHLANLEYANASLMSNLSMAYWDQDDPYEMGGDHCFIPGGNDTFVRELAKDLPIFYEKTVESIRYGVDGVIVYAGGQGFRGDMVLCTVPLGVLKKGSIEFVPELPQRKKDAIQRLGYGLLNKVALLFPYNFWGGEIDTFGHLTEDPSMRGEFFLFYSYSSVSGGALLIALVAGDAAVKFETMSPVESVKRVLGILRGIFHPKGIVVPDPVQSVCTRWGKDCFTYGSYSYVAVGSSGDDYDILAESVGDGRVFFAGEATNKQYPATMHGAFLSGMREAANILRVANRRSLSVIDKVNNSLEEIDDLNELYDTPNLKFGSFSILFDPRSNDGESLSLLRVKFQGGESDSCFLCLYGLISRKQAVELSELQDDGKRMEMLYNNFQIRLVGRKGLPNAGESLLTYIKEARSKLNVGN >Potri.001G136200.3.v4.1 pep chromosome:Pop_tri_v4:1:11099863:11105020:-1 gene:Potri.001G136200.v4.1 transcript:Potri.001G136200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136200.v4.1 MAADPYQLRRAPTIHHYSNSREDLDSEIGSVEFATYTVQIPPTPDNQPMETPVENEKKLERSCTSNSMFTGGHNCATRAHLKEKMTEFQTSHPQIASAKGSYCAMSGCDAQVITDDLAPCECEYKICRDCYKDALATGDGICPGCKEPYRSHDVPELNRRSSFAESKSQSDEFDYTQFLFESKTNYGYGNAVWPTDGVNDNDEGSSGVPKTFVEKQWKMLTREVKISTAVIAPYRILILVRMIVLGFFLYWRVSNPNEEAMWLWGMSLVCEIWFAFSWLLDQLPKLCPVNRVADLDVLKEKFETPSPGNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGSLLTFEAMAEGASFANLWVPFCRKHEIEPRNPESYFNLKRDPYKTKVLPDFVRDRRRVKREYDEFKVRINGLSDSIRRRSDAYNSQEELKAMKRWKEKGDDEPVDRLKIPKATWMADGTHWPGTWTVPAPENTRGDHASIIQVMLQPPIEEPLKGTAGDSNSMNLSEVDIRLPVLVYISREKRPGYDHNKKAGAMNALVRASAVTSNGPFILNLDCDHYIYNSQALREGMCFMMDQGGEGICYVQFPQRFEGIDPSDRYANHNSVFFDVNMRALDGIQGPVYVGTGCLFRRTALYNFDPPRYEDHGSCCSCFFGRHKKAAIASAPENGHSHEAEDTDNQETNLALIPRKFGNSSLFLDSVQVAAFQGLPLADNSYIKYGRPPGALTLPREPLHLATIAEAVNVISCWYEDKTEWGQSVGWIYGSVTEDVVTGYRMHERGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLGGHRLKLLQRIAYLNVGIYPFTSLFLIVYCFLPALALLSNQFIVASLTVTFLVYLLIISLTLCILAVLEIKWAGITLEEWWRNEQFWLIGGTSAHLVAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFSDLYVFKWTSLMIPPCTIIMVNLIAIGVGVSRTIYSDAPQWSNLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIIYVWSGLLSICISLLWVAIDPPSGNTQIGGLFQLP >Potri.001G136200.2.v4.1 pep chromosome:Pop_tri_v4:1:11099060:11105020:-1 gene:Potri.001G136200.v4.1 transcript:Potri.001G136200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136200.v4.1 MAADPYQLRRAPTIHHYSNSREDLDSEIGSVEFATYTVQIPPTPDNQPMETPVENEKKLERSCTSNSMFTGGHNCATRAHLKEKMTEFQTSHPQIASAKGSYCAMSGCDAQVITDDLAPCECEYKICRDCYKDALATGDGICPGCKEPYRSHDVPELNRRSSFAESKSQSDEFDYTQFLFESKTNYGYGNAVWPTDGVNDNDEGSSGVPKTFVEKQWKMLTREVKISTAVIAPYRILILVRMIVLGFFLYWRVSNPNEEAMWLWGMSLVCEIWFAFSWLLDQLPKLCPVNRVADLDVLKEKFETPSPGNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGSLLTFEAMAEGASFANLWVPFCRKHEIEPRNPESYFNLKRDPYKTKVLPDFVRDRRRVKREYDEFKVRINGLSDSIRRRSDAYNSQEELKAMKRWKEKGDDEPVDRLKIPKATWMADGTHWPGTWTVPAPENTRGDHASIIQVMLQPPIEEPLKGTAGDSNSMNLSEVDIRLPVLVYISREKRPGYDHNKKAGAMNALVRASAVTSNGPFILNLDCDHYIYNSQALREGMCFMMDQGGEGICYVQFPQRFEGIDPSDRYANHNSVFFDVNMRALDGIQGPVYVGTGCLFRRTALYNFDPPRYEDHGSCCSCFFGRHKKAAIASAPENGHSHEAEDTDNQETNLALIPRKFGNSSLFLDSVQVAAFQGLPLADNSYIKYGRPPGALTLPREPLHLATIAEAVNVISCWYEDKTEWGQSVGWIYGSVTEDVVTGYRMHERGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLGGHRLKLLQRIAYLNVGIYPFTSLFLIVYCFLPALALLSNQFIVASLTVTFLVYLLIISLTLCILAVLEIKWAGITLEEWWRNEQFWLIGGTSAHLVAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFSDLYVFKWTSLMIPPCTIIMVNLIAIGVGVSRTIYSDAPQWSNLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIIYVWSGLLSICISLLWVAIDPPSGNTQIGGLFQLP >Potri.001G136200.1.v4.1 pep chromosome:Pop_tri_v4:1:11099883:11104684:-1 gene:Potri.001G136200.v4.1 transcript:Potri.001G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136200.v4.1 MAADPYQLRRAPTIHHYSNSREDLDSEIGSVEFATYTVQIPPTPDNQPMETPVENEKKLERSCTSNSMFTGGHNCATRAHLKEKMTEFQTSHPQIASAKGSYCAMSGCDAQVITDDLAPCECEYKICRDCYKDALATGDGICPGCKEPYRSHDVPELNRRSSFAESKSQSDEFDYTQFLFESKTNYGYGNAVWPTDGVNDNDEGSSGVPKTFVEKQWKMLTREVKISTAVIAPYRILILVRMIVLGFFLYWRVSNPNEEAMWLWGMSLVCEIWFAFSWLLDQLPKLCPVNRVADLDVLKEKFETPSPGNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGSLLTFEAMAEGASFANLWVPFCRKHEIEPRNPESYFNLKRDPYKTKVLPDFVRDRRRVKREYDEFKVRINGLSDSIRRRSDAYNSQEELKAMKRWKEKGDDEPVDRLKIPKATWMADGTHWPGTWTVPAPENTRGDHASIIQVMLQPPIEEPLKGTAGDSNSMNLSEVDIRLPVLVYISREKRPGYDHNKKAGAMNALVRASAVTSNGPFILNLDCDHYIYNSQALREGMCFMMDQGGEGICYVQFPQRFEGIDPSDRYANHNSVFFDVNMRALDGIQGPVYVGTGCLFRRTALYNFDPPRYEDHGSCCSCFFGRHKKAAIASAPENGHSHEAEDTDNQETNLALIPRKFGNSSLFLDSVQVAAFQGLPLADNSYIKYGRPPGALTLPREPLHLATIAEAVNVISCWYEDKTEWGQSVGWIYGSVTEDVVTGYRMHERGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLGGHRLKLLQRIAYLNVGIYPFTSLFLIVYCFLPALALLSNQFIVASLTVTFLVYLLIISLTLCILAVLEIKWAGITLEEWWRNEQFWLIGGTSAHLVAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFSDLYVFKWTSLMIPPCTIIMVNLIAIGVGVSRTIYSDAPQWSNLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIIYVWSGLLSICISLLWVAIDPPSGNTQIGGLFQLP >Potri.001G136200.4.v4.1 pep chromosome:Pop_tri_v4:1:11099879:11104807:-1 gene:Potri.001G136200.v4.1 transcript:Potri.001G136200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136200.v4.1 MAADPYQLRRAPTIHHYSNSREDLDSEIGSVEFATYTVQIPPTPDNQPMETPVENEKKLERSCTSNSMFTGGHNCATRAHLKEKMTEFQTSHPQIASAKGSYCAMSGCDAQVITDDLAPCECEYKICRDCYKDALATGDGICPGCKEPYRSHDVPELNRRSSFAESKSQSDEFDYTQFLFESKTNYGYGNAVWPTDGVNDNDEGSSGVPKTFVEKQWKMLTREVKISTAVIAPYRILILVRMIVLGFFLYWRVSNPNEEAMWLWGMSLVCEIWFAFSWLLDQLPKLCPVNRVADLDVLKEKFETPSPGNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGSLLTFEAMAEGASFANLWVPFCRKHEIEPRNPESYFNLKRDPYKTKVLPDFVRDRRRVKREYDEFKVRINGLSDSIRRRSDAYNSQEELKAMKRWKEKGDDEPVDRLKIPKATWMADGTHWPGTWTVPAPENTRGDHASIIQVMLQPPIEEPLKGTAGDSNSMNLSEVDIRLPVLVYISREKRPGYDHNKKAGAMNALVRASAVTSNGPFILNLDCDHYIYNSQALREGMCFMMDQGGEGICYVQFPQRFEGIDPSDRYANHNSVFFDVNMRALDGIQGPVYVGTGCLFRRTALYNFDPPRYEDHGSCCSCFFGRHKKAAIASAPENGHSHEAEDTDNQETNLALIPRKFGNSSLFLDSVQVAAFQGLPLADNSYIKYGRPPGALTLPREPLHLATIAEAVNVISCWYEDKTEWGQSVGWIYGSVTEDVVTGYRMHERGWRSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLGGHRLKLLQRIAYLNVGIYPFTSLFLIVYCFLPALALLSNQFIVASLTVTFLVYLLIISLTLCILAVLEIKWAGITLEEWWRNEQFWLIGGTSAHLVAVLQGLLKVIAGIEISFTLTSKSGGDDVDDEFSDLYVFKWTSLMIPPCTIIMVNLIAIGVGVSRTIYSDAPQWSNLLGGVFFSFWVLAHLYPFAKGLMGRRGKTPTIIYVWSGLLSICISLLWVAIDPPSGNTQIGGLFQLP >Potri.012G115100.1.v4.1 pep chromosome:Pop_tri_v4:12:13286740:13290755:1 gene:Potri.012G115100.v4.1 transcript:Potri.012G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115100.v4.1 MDHLHDHEEDEHEHEVYGGEIPDEGEMDADVDMSSRAEEDEYQDPNSKDLEDMKKRLKEIEEEAGALREMQAKVEKEMGAVQDSPGASATQAEKEEVDSRSIYVGNVDYSCTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFVEVDAIQNALLLNESELHGRQLKVSAKRTNVPGMKQFRGRRPSPYGLRSRRPFMPAPFYPAYGYGRVPRFRRPMRYRPY >Potri.010G017800.1.v4.1 pep chromosome:Pop_tri_v4:10:2786394:2788361:-1 gene:Potri.010G017800.v4.1 transcript:Potri.010G017800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G017800.v4.1 MDCIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFSPGKSKSRYLGIWYGKISVQTAVWVANRETPLNDSSGVVRLTNEGLLVLLNRSGSIIWSSNTSTPARNPVAQLLDTGNLVVKEEGDNNVENSLWQSFDYPGNTLIPGMKVGRNIKTGMDWYVTSWKSPDDPSRGNITGILVPEGYPELLLLEDSKPKHRAGPWNGLQFSGMPQVKPNPVYIFEFVYNEKEIYYTEQLHNSSRHWRVVLPQSGDIQHILWIEQTQSWLLYETANTDNCETYALCGANGICSINNSPVCNCLKGFVPKVPRDWDKTDWSSGCVRKTALNCSRDGFRKLSGVKMPETRKSWFNRSMDLEECKNTCLKNCSCTAYTNLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMDASELGL >Potri.003G178500.1.v4.1 pep chromosome:Pop_tri_v4:3:18486157:18487104:1 gene:Potri.003G178500.v4.1 transcript:Potri.003G178500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178500.v4.1 MDIDPFWITGGWFIITDFNFMAPARQLSVCENTTKLQAMVLFLGLLLIVPLLARPIDLSKKFTASSPSTRAKHFTTEIHPQESKYTPPSRTTDDAAAVTSSTTTTVSATPVSASRQQFKAAFHEVPSGPNPESN >Potri.017G085000.1.v4.1 pep chromosome:Pop_tri_v4:17:9795311:9800711:1 gene:Potri.017G085000.v4.1 transcript:Potri.017G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085000.v4.1 MAGGIKKSKIGSIRSIFMHADSLDCFLMVLGLIGSIGEGFSSPLIFFVSSKLLNNLAGADSASDVFSDSINKNALALCYLACGQWLVCFIEGYCWTRTGERQAMRMRTRYLKAVLRQDVGYFDLHVTSTAEIITGVSNDSFVIQDVLSEKVPNFLMNVSTFIGCYIMAFILLWRLTIVMFPFILLLVIPGVMYGKILMGISRKIKREYTKAETIAEQAISSTRTIYAFVGETKAIAAYSEALQLPLKLGLRQGMAKGLAVGSNAVIFAVWSFMSYYGSRMVMYHGCRGGTVFNAGACVMVGGLAFGAGLSNMKYFADACSAGERIMEVIRRVPKIDLDNMEGEILDNFRGEVEFRQVKFAYPSRPESIIFEDFCLQIPAGKSVALVGGSGSGKSTAIALLKRFYDPLGGEILLDGIAIDKLQLKWLRSQIGLVSQEPALFATTIKENILFGKETATMDEVVEAAKASNAHNFISQFPHGYSTQVGERGVQLSGGQKQRIAIARAVIKSPRILLLDEATSALDTESERIVQEALDRAAVGRTTIIIAHRLSTIRNVDIIAVVQDGRVTEIGSHNELIENEYGMYTSLVRLQQTRTEKPCENVTKTSVSSSAIPVMKTNRTSSDTSSRRLSHSANSVAPSKVSISAEENVAMEEQKFSAPSFLRLLALNLPEWKQASFGCLGAILFGGVQPVYAFVLGSMISVFFLKDHNEIKEKIKIYSLFFLGLTFFSLIINVIQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDENSSGAICSRLTKDADAVRSVVGDRIALVVQTMSAVTIAWTMGLVIAWRLAIVMIAVQPIIIACYYTRSVLLKSMSRKAIKAQDESSKLAADAVSNLRTITAFSSQERILKMLEKVQEGPRRENIRQSLFAGIGLSTSRSIMSCTLALDYWYGGKLIAQGYMTYKAMFETFLILVSTGRVIADAGSMTMDLAKGSDSIRSVFAVLDRCTKIEPEDPDGYRPEKITGHVELQDVDFAYPARPNVMVFKDFSINIEAGKSTALVGQSGSGKSTIIGLIERYYDPLKGTVRIDGRDIKSYNLRSLRKCIALVSQEPTLFAGTIKENIIYGASSDKINESEIIEAAKAANAHDFISGLKDGYETWCGDRGVQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSQSEKVVQEAIEHVMVGRTSVVVAHRLSAIQSCDLIAVLDKGKVEMGTHSSLLANGTTGAYYSLVSLQSRPHNTSTAHRNLD >Potri.017G085000.3.v4.1 pep chromosome:Pop_tri_v4:17:9797540:9800712:1 gene:Potri.017G085000.v4.1 transcript:Potri.017G085000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085000.v4.1 MYTSLVRLQQTRTEKPCENVTKTSVSSSAIPVMKTNRTSSDTSSRRLSHSANSVAPSKVSISAEENVAMEEQKFSAPSFLRLLALNLPEWKQASFGCLGAILFGGVQPVYAFVLGSMISVFFLKDHNEIKEKIKIYSLFFLGLTFFSLIINVIQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDENSSGAICSRLTKDADAVRSVVGDRIALVVQTMSAVTIAWTMGLVIAWRLAIVMIAVQPIIIACYYTRSVLLKSMSRKAIKAQDESSKLAADAVSNLRTITAFSSQERILKMLEKVQEGPRRENIRQSLFAGIGLSTSRSIMSCTLALDYWYGGKLIAQGYMTYKAMFETFLILVSTGRVIADAGSMTMDLAKGSDSIRSVFAVLDRCTKIEPEDPDGYRPEKITGHVELQDVDFAYPARPNVMVFKDFSINIEAGKSTALVGQSGSGKSTIIGLIERYYDPLKGTVRIDGRDIKSYNLRSLRKCIALVSQEPTLFAGTIKENIIYGASSDKINESEIIEAAKAANAHDFISGLKDGYETWCGDRGVQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSQSEKVVQEAIEHVMVGRTSVVVAHRLSAIQSCDLIAVLDKGKVEMGTHSSLLANGTTGAYYSLVSLQSRPHNTSTAHRNLD >Potri.017G085000.2.v4.1 pep chromosome:Pop_tri_v4:17:9797489:9800797:1 gene:Potri.017G085000.v4.1 transcript:Potri.017G085000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085000.v4.1 MDEVVEAAKASNAHNFISQFPHGYSTQVGERGVQLSGGQKQRIAIARAVIKSPRILLLDEATSALDTESERIVQEALDRAAVGRTTIIIAHRLSTIRNVDIIAVVQDGRVTEIGSHNELIENEYGMYTSLVRLQQTRTEKPCENVTKTSVSSSAIPVMKTNRTSSDTSSRRLSHSANSVAPSKVSISAEENVAMEEQKFSAPSFLRLLALNLPEWKQASFGCLGAILFGGVQPVYAFVLGSMISVFFLKDHNEIKEKIKIYSLFFLGLTFFSLIINVIQHYNFAYMGEHLTKRIRERMLSKILTFEVGWFDQDENSSGAICSRLTKDADAVRSVVGDRIALVVQTMSAVTIAWTMGLVIAWRLAIVMIAVQPIIIACYYTRSVLLKSMSRKAIKAQDESSKLAADAVSNLRTITAFSSQERILKMLEKVQEGPRRENIRQSLFAGIGLSTSRSIMSCTLALDYWYGGKLIAQGYMTYKAMFETFLILVSTGRVIADAGSMTMDLAKGSDSIRSVFAVLDRCTKIEPEDPDGYRPEKITGHVELQDVDFAYPARPNVMVFKDFSINIEAGKSTALVGQSGSGKSTIIGLIERYYDPLKGTVRIDGRDIKSYNLRSLRKCIALVSQEPTLFAGTIKENIIYGASSDKINESEIIEAAKAANAHDFISGLKDGYETWCGDRGVQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSQSEKVVQEAIEHVMVGRTSVVVAHRLSAIQSCDLIAVLDKGKVEMGTHSSLLANGTTGAYYSLVSLQSRPHNTSTAHRNLD >Potri.013G131100.7.v4.1 pep chromosome:Pop_tri_v4:13:13732361:13751130:-1 gene:Potri.013G131100.v4.1 transcript:Potri.013G131100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131100.v4.1 MEKPLLGESSGGRDIRFRRRDQSTPKFPRRSDAITHGSPFQKAAALVDLAEDGIGIPEEILDQANFESVAKFYFIFIQFDIIWTLNYFAMIVLNFLEKPLWCQNNSGHSCNDREYFYLGELPYLTAAESFVFEGITLIILAAHIFFPISYEGFRIYWKNPLNCSKVICLCILVVDLVAYAIYASPVAFNFLPFRIAPYIRVVLFILYIRDLQRSIIILGGMLKTYVNVLALGFLFLLFSSWLAFVMFEDTQQGKIVFTSYGITLYQMFVLFTTSNNPDVWIPAYKVSRWYSLFFILYVLIGVYFVTNLVLAVVYDSFKDQLVKQVSEMDRSRKRILIKAFNLIDKNDAGFLNKEQCIHLFEALNKYRTLPSISREEFELIFDELDDSHDFKINLDEFEDLCNAIALRFQKEDVPSYFEYLPSIYHSLFSEKLKEFVRSPKFGYIISSILVMNLLAVITETTLDIANNSAQKVWQEVEFVFGWIYVVEMALKIYAYGFENYWRDGQNRFDFVITLVIVIGETVTFASPNELTFLSNGEWIRYLLIARLLRLIRILMYVRSYRAFVATFLTLIPSLMPYLGTIFCVMCIYCSLGIQIFGGLVNAGNLDLEGTGLAEDDYLLFNFNDYPNGMVTLFNLLVMGNWHEWMQSYKDLTGTYWSLAYFISFYLVTVLLLLNLVMAFVLEGFFAEMELETAEKCEAEDKEGSNSKSRRRSVGTKTRSQRVDNLLHHMLSAELEKPECSNA >Potri.013G131100.2.v4.1 pep chromosome:Pop_tri_v4:13:13732359:13751466:-1 gene:Potri.013G131100.v4.1 transcript:Potri.013G131100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131100.v4.1 MEKPLLGESSGGRDIRFRRRDQSTPKFPRRSDAITHGSPFQKAAALVDLAEDGIGIPEEILDQANFESVAKFYFIFIQFDIIWTLNYFAMIVLNFLEKPLWCQNNSGHSCNDREYFYLGELPYLTAAESFVFEGITLIILAAHIFFPISYEGFRIYWKNPLNCSKVICLCILVVDLVAYAIYASPVAFNFLPFRIAPYIRVVLFILYIRDLQRSIIILGGMLKTYVNVLALGFLFLLFSSWLAFVMFEDTQQGKIVFTSYGITLYQMFVLFTTSNNPDVWIPAYKVSRWYSLFFILYVLIGVYFVTNLVLAVVYDSFKDQLVKQVSEMDRSRKRILIKAFNLIDKNDAGFLNKEQCIHLFEALNKYRTLPSISREEFELIFDELDDSHDFKINLDEFEDLCNAIALRFQKEDVPSYFEYLPSIYHSLFSEKLKEFVRSPKFGYIISSILVMNLLAVITETTLDIANNSAQKVWQEVEFVFGWIYVVEMALKIYAYGFENYWRDGQNRFDFVITLVIVIGETVTFASPNELTFLSNGEWIRYLLIARLLRLIRILMYVRSYRAFVATFLTLIPSLMPYLGTIFCVMCIYCSLGIQIFGGLVNAGNLDLEGTGLAEDDYLLFNFNDYPNGMVTLFNLLVMGNWHEWMQSYKDLTGTYWSLAYFISFYLVTVLLLLNLVMAFVLEGFFAEMELETAEKCEAEDKEGSNSKSRRRSVGTKTRSQRVDNLLHHMLSAELEKPECSNA >Potri.010G161901.1.v4.1 pep chromosome:Pop_tri_v4:10:16704924:16706166:-1 gene:Potri.010G161901.v4.1 transcript:Potri.010G161901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161901.v4.1 MPLVLLFFYSLLPLSSSYLFMEFHAMKFSSLVSFFIEIKILFPALYHRPPFLSLVQAQEHLDNLNMIHVDLGLLSIYYQFHFLTIYFPIFISKFTIISLFLYIYKNVGVFLGA >Potri.003G074400.1.v4.1 pep chromosome:Pop_tri_v4:3:10199516:10201742:1 gene:Potri.003G074400.v4.1 transcript:Potri.003G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074400.v4.1 MSMGSELNETVEDVTENRCFPAGENKGKVPKRIHKSEREKLKREQLNELFLELASALELSQPNNGKASMLCETTRLLKDLHTQIESLKKENVALLSESHYVTVEKNELREESSALEHQIGKLHSELEMRAASQSKPDLNVPPPEFLQPPHFPVDSFRLPAVDAVALQQTSTVFVVPISPDHVQGFPMATSNVSKPHPRYPTAADSWPSQLLREQQIGRKEV >Potri.012G082900.2.v4.1 pep chromosome:Pop_tri_v4:12:10825148:10826335:-1 gene:Potri.012G082900.v4.1 transcript:Potri.012G082900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082900.v4.1 HFIKLQIQVKQIHSLLTTKGLLYNPNTSPKDNSKWKTTFLFNTLIRAYLNFGQHQKTLHLFTLMLAHQTPPNSHTFPFIIKAATHSCPSIGTSLHTQVINRGVLYDPFIQTSLLGMYSYFGDLLNACKVFDEISHPSIVEYNAMLDAYAKNGNMSSAYSLFKSMPKRDVVSWTSVINGFAKNGLFGEAIRLFREMMLHDYVKCCFVTPNKATYVSVLSSCANLDERGALYIGKQIHGYIVRNEVFVTIFIGTALIDFYGKAGCLSNAIRVYNQMVVKKVCTWNAIISSLANNGREEQALDMFKKMKGEGLCPNEVTFIAVLTACARAKLVEIGLELFQSMAGEFGLVPIMEHYGCVVDLLARAGLLGEASEFIRRMPFEPDASVFGALLGACKIH >Potri.013G040500.1.v4.1 pep chromosome:Pop_tri_v4:13:2757742:2761763:1 gene:Potri.013G040500.v4.1 transcript:Potri.013G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040500.v4.1 MCDVGITVLDGDTLRSLHVSLREDTVSLTGAQVLDLAESEASRSLLGLSLPQHLKSSALRRMNIDGVDDEGVDDDVDFRRKELSPEEASRKLNEYLSAIADELKDNPLVASILDGSALRMFLEDEDDFAMIAENLFTDLDTEDKGMISKREIRNAVVNMGVEMGVPPLEEFPLINDILKKHGAEEEGELGQSQFAELLQPILQEVADALAKKHFAVIHNIKIVNGSELKKVLANEKKLNDVIAKIKQERDNGKSGHKSTEIIKDFLEKNGKELGLPPAEANEAVILLYDAVFADIDSGKGASEEEDEFRKLVTEILEKFAEQLEANPIYCDLDG >Potri.001G006400.7.v4.1 pep chromosome:Pop_tri_v4:1:445080:448455:1 gene:Potri.001G006400.v4.1 transcript:Potri.001G006400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006400.v4.1 MRGRSYSPSPPRGYGRRGRSPSPRGRYGGRSRDLPTSLLVRNLRHDCRPEDLRRPFEQFGALKDIYLPRDYYTGEPRGFGFVQFADPHDAAEAKHHMDGRVLLGRELTVVFAEENRKKPMDMRTRERTRGRFRDRRRSPPRYSRSPRHSRSPPPRHARSPSRSREYYSPPPKRRHHSRSVSPQEGRYSQERPYSRSRSHSQTPVRSRSRSPVRSRSRSPRRSRSHSPNHDEYPREANGERSPSP >Potri.001G006400.2.v4.1 pep chromosome:Pop_tri_v4:1:444209:448524:1 gene:Potri.001G006400.v4.1 transcript:Potri.001G006400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006400.v4.1 MRGRSYSPSPPRGYGRRGRSPSPRGRYGGRSRDLPTSLLVRNLRHDCRPEDLRRPFEQFGALKDIYLPRDYYTGEPRGFGFVQFADPHDAAEAKHHMDGRVLLGRELTVVFAEENRKKPMDMRTRERTRGRFRDRRRSPPRYSRSPRHSRSPPPRHARSPSRSREYYSPPPKRRHHSRSVSPQEGRYSQERPYSRSRSHSQTPVRSRSRSPVRSRSRSPRRSRSHSPNHDEYPREANGERSPSP >Potri.008G192300.1.v4.1 pep chromosome:Pop_tri_v4:8:13537726:13540739:1 gene:Potri.008G192300.v4.1 transcript:Potri.008G192300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192300.v4.1 MATLAPGILLKLLNGMDTGTKPTSEHRSSLLQVTDIVPAELDEKNLWPKHGFFIKVSDSSHSIYVSLPSDQDDFVLSDKMQLGQFIYVDRLEPGSPVPVVKGAKPLPGRHPLLGTPEPLMGLRGKGERRSEQKPPNGQRRGSWETGQNGADGVSSPMVLKPVPLDFDQCTPLKQRLAVSCVKSVSPMVRGRIAKDGGTNGVIRCSFAGGGSLAKMVDTKGESPALLRKSCIASSANSKFRRSKSVCEREVRIPISPGKSFEKKSSTPPPSLRNARAVASLRMGGDAQNSSNLKAISEMKSQPGNSASDSSTGLSMSLPGKLNVLSKEAVQQRDAAQKIALQALRDASATETLVRSLKMFSNLSKSARPEAPAACFEQFLEFHLHIVRAVTDMVSIQAATSAAEIAQDTNIKQCEDSSILHEIGNNSMDQCGNSELNSSKRRTALYKSIASFPERSSDQRMNFEKLLRSNSNQKASSERKGPLTPQGKLLPKATIENDENKRPASCNLSNTIRLGKQIENEAGNWFMDFLEKALGTGMKKSKGTADEDAKKVPQSLLLKVINWVDVEQTDCNKRPVHPKAAQIARKLRIKMKNP >Potri.001G077040.1.v4.1 pep chromosome:Pop_tri_v4:1:5806432:5812207:1 gene:Potri.001G077040.v4.1 transcript:Potri.001G077040.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077040.v4.1 MFYEFEGCRAISLDFARHDQTNFSSVSLNKPTPSRQIFEKLASCLLLQRKPAMDYESPWQNLFEASLALPAHEFEVYEEFETDQKARVNQQACNYFLAAGPASPHQSSNITDTDKKGQGLEATKDQVAKKRKIDKAYRERCKRNKIETERNLDLLRKENDRLKGENASFKTEAVRTRQTLQYQEQEMKRLRKTIVLLKEKHDKQNTVVEVLSKRLAGANDTDLQRENTQLKNKIALLRSQVNDQNNLDKLQLQEKNAQLEHDKSSLEVIVQALCEKINNEKGHEGDHAS >Potri.001G077040.2.v4.1 pep chromosome:Pop_tri_v4:1:5806447:5812258:1 gene:Potri.001G077040.v4.1 transcript:Potri.001G077040.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077040.v4.1 MFYEFEGCRAISLDFARHDQTNFSSVSLNKPTPSRQIFEKLASCLLLQRKPAMDYESPWQNLFEASLALPAHEFEVYEAGPASPHQSSNITDTDKKGQGLEATKDQVAKKRKIDKAYRERCKRNKIETERNLDLLRKENDRLKGENASFKTEAVRTRQTLQYQEQEMKRLRKTIVLLKEKHDKQNTVVEVLSKRLAGANDTDLQRENTQLKNKIALLRSQVNDQNNLDKLQLQEKNAQLEHDKSSLEVIVQALCEKINNEKGHEGDHAS >Potri.001G078409.1.v4.1 pep chromosome:Pop_tri_v4:1:5904431:5922662:1 gene:Potri.001G078409.v4.1 transcript:Potri.001G078409.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078409.v4.1 MSWSSLARATRRATNRNLLLSQFKSLHQNHHPFQQPIYNKRRCEIKKHFSTSYKVGGGYISYAPALVIRQLGGIQHIPRTVGLAEFSGFFKDQSAREVLETIKQDWSHLTLIQKESESLRDPSSSEGYEKWRNLTPITAPKKPCSEDGPSRIEEGSLKRKKISNEEDLMEQIERLQKELGKSKGDKAALERMMMEGDKSRGFLNEQLESKDAKIGMLELQLSKGKAILEESEKERGRLILDLMQSSSELEALKADFDGYQENVEYNQDKFLHVRAELLDRIEKYDELNKKYMMTESRLAELQEFERKGNEEEVFKADLAAKKVEIRMLKVKLDKEREKVKQLTKRLEVSEKHTEQIDTNNNTLNRNNMLLIEKMAKVDEQMDEAAIHARIIRANALRVGRDIFRYRQSLAETDAFLEKIENRGLAFLPVARDMDEEED >Potri.005G187600.1.v4.1 pep chromosome:Pop_tri_v4:5:19522183:19523887:-1 gene:Potri.005G187600.v4.1 transcript:Potri.005G187600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187600.v4.1 MQVSHFLSGHQLSCTTHGQKMILHRFSPPSSTVRFRPPSDRSITVAVAAPPQLLKHQKTHSMPSEKIEIFKSLDNWATQNVLPLLKPVDQCWQPQNFLPDPSLTFSDFTDQVRALRDRTDGLPDEYFVVLVGDMITEDALPTYQTMINTLDGVRDETGASLSPWATWTRAWTAEENRHGDLLRSYLYLSGRVDMLMIEKTVQYLIGSGMDPGTENNPYLGFVYTSFQERATFVSHGNTARLAKEGGDPVLARICGTIASDERRHENAYSKIVEKLLEVDPTGAMLAIGDMMRKKITMPAHLMHDGQDPHLFEHFSSVAQRLGVYTADDYADILEFLIGRWRLEKLEGLTGEGRRAQDFVCGLAPRIRRLQERADEKAKKMKPLSARFSWIFNKEVAL >Potri.005G026950.1.v4.1 pep chromosome:Pop_tri_v4:5:1709101:1710357:1 gene:Potri.005G026950.v4.1 transcript:Potri.005G026950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026950.v4.1 MLDFSLLFFAIMDHPRSSLANGEENGGGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSALRASLKKAKRRSLGERDIYLESKGDDFDIPLVNMKVEEEQPFFVPSKRHKEKVPKSQSRYSPETLIRSLSGRNSQKLNDDSQRDFKFEENRRSYKTPPLLTMDSSKSISQRSFDASAMTGIL >Potri.008G208200.1.v4.1 pep chromosome:Pop_tri_v4:8:15814751:15815425:1 gene:Potri.008G208200.v4.1 transcript:Potri.008G208200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G208200.v4.1 MTTSKFYIFLLLSAVLAVRVSLSSSTAVDFLPLESSSECRGSIAECLMDEEFGMDTESNRRILATSRYVSYGALRRNTVPCSRRGASYYNCRPGAQANPYSRGCSRITRCRN >Potri.002G149700.2.v4.1 pep chromosome:Pop_tri_v4:2:11293198:11295046:-1 gene:Potri.002G149700.v4.1 transcript:Potri.002G149700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149700.v4.1 MVITLHTNLGDIKCEIACDEVPKASENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFITYAKQPHLNGLYTVFGKVIHGFEVLDIMEKTQTGQGDRPLAEIRINRVTIHANPLAG >Potri.002G149700.3.v4.1 pep chromosome:Pop_tri_v4:2:11292197:11295036:-1 gene:Potri.002G149700.v4.1 transcript:Potri.002G149700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149700.v4.1 MSVTLHTNLGDIKCEIACDEVPKASENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFITYAKQPHLNGLYTVFGKVIHGFEVLDIMEKTQTGQGDRPLAEIRINRVTIHANPLAGNESKIRIDYSGHAHSSHFG >Potri.002G149700.1.v4.1 pep chromosome:Pop_tri_v4:2:11293196:11295049:-1 gene:Potri.002G149700.v4.1 transcript:Potri.002G149700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149700.v4.1 MSVTLHTNLGDIKCEIACDEVPKASENFLALCASGYYDGTIFHRNIKGFMIQGGDPTGTGKGGTSIWGKKFNDEIRESLKHNARGTLSMANSGPNTNGSQFFITYAKQPHLNGLYTVFGKVIHGFEVLDIMEKTQTGQGDRPLAEIRINRVTIHANPLAG >Potri.002G240232.3.v4.1 pep chromosome:Pop_tri_v4:2:23236503:23238906:-1 gene:Potri.002G240232.v4.1 transcript:Potri.002G240232.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240232.v4.1 MSTSTSTSTSITILLFFLLSYAPALHFSSTSSPHNRRILHQPFFPEGSIPPTEPPSSSPPSPPSSTTPQIPFSTSTPNPPPFFPSYPSPPPPPSPTTFASFPANISSLILPQSSKPKPTSQKPLLVAISAVISALIVLSITIIVYYARRRRNRSNFSDDKTYTGSNISNRNADTRVIGTSNNSYKLSITSTSSNFLYMDTLVNSTRLDESSDGSDRRKLESPELRPLPPLNKENSTLKYGNGEVGYISSTTTNSRDGREEEEEEEFYSPRGSLGGRDSPSGTGSGSRRVFAAGVGFDEKSSDSSSSYSSSTSASPSRSQSLSISPPLSLSSTPKSHTLLAAQSQPPPPPMMDVDNERKSPSSASSPDVSPRNVLSSASTSPRVSHRNNVLMRSPSLSPARILNNNLSQNTPSSSPSSVSSSPGRALNDSAPFNAQSPSLSSVSTSPGNGVLEKTPPLIISFGLDQTAQSPSLSIASTSPERGLEKSPPPSPIVSNVLGRIQMMFPSLSASKSSFSSTRISYVSDHDKMSPTLLSSASSSPDRKSPDASPRMTSSVLGLNARISNVLEQPTSGPPPPPPPPPLPPLSILQPQRQWEAPSVDASSTPTDQPISKPPALIPPSRPFVLQSTTNVSPIELPPSSKTVQDAEETPKPKLKPLHWDKVRASSDREMVWDHLKSSSFK >Potri.002G240232.2.v4.1 pep chromosome:Pop_tri_v4:2:23231774:23238993:-1 gene:Potri.002G240232.v4.1 transcript:Potri.002G240232.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240232.v4.1 MSTSTSTSTSITILLFFLLSYAPALHFSSTSSPHNRRILHQPFFPEGSIPPTEPPSSSPPSPPSSTTPQIPFSTSTPNPPPFFPSYPSPPPPPSPTTFASFPANISSLILPQSSKPKPTSQKPLLVAISAVISALIVLSITIIVYYARRRRNRSNFSDDKTYTGSNISNRNADTRVIGTSNNSYKLSITSTSSNFLYMDTLVNSTRLDESSDGSDRRKLESPELRPLPPLNKENSTLKYGNGEVGYISSTTTNSRDGREEEEEEEFYSPRGSLGGRDSPSGTGSGSRRVFAAGVGFDEKSSDSSSSYSSSTSASPSRSQSLSISPPLSLSSTPKSHTLLAAQSQPPPPPMMDVDNERKSPSSASSPDVSPRNVLSSASTSPRVSHRNNVLMRSPSLSPARILNNNLSQNTPSSSPSSVSSSPGRALNDSAPFNAQSPSLSSVSTSPGNGVLEKTPPLIISFGLDQTAQSPSLSIASTSPERGLEKSPPPSPIVSNVLGRIQMMFPSLSASKSSFSSTRISYVSDHDKMSPTLLSSASSSPDRKSPDASPRMTSSVLGLNARISNVLEQPTSGPPPPPPPPPLPPLSILQPQRQWEAPSVDASSTPTDQPISKPPALIPPSRPFVLQSTTNVSPIELPPSSKTVQDAEETPKPKLKPLHWDKVRASSDREMVWDHLKSSSFKLNEEMIETLFVVKTPKPKATTPNSVSPTTSRENRVLDPKKAQNIAILLRALNVTIEEVCEGLLEGNVDTLGTELLESLLKMAPTKEEERKLKEYKEDSPTKLGHAEKFLKAVLDVPFAFKRVDAMLYVANFESEVEYLKKSFETLEAACEELRNSRMFFKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSSTNQTPNSISSEDAKWRRLGLQVVSGLSLELTHVKKAAAMDSDVLSSDVSKLSRGTENISEVVRLIEKLGMVESNQKFSESMTMFMKMAEEEIIRIQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSVLDRVCKEVGMINERTIVSSALKFPVPVNPMLPVPVNPTLPQVFSGSNASKQYNSFDDESESP >Potri.003G087300.1.v4.1 pep chromosome:Pop_tri_v4:3:11376785:11379549:-1 gene:Potri.003G087300.v4.1 transcript:Potri.003G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087300.v4.1 MPAFCFKTLKISLSKISLKLRFFSPHVRFLKSQSEVSKTHYSKLTLDDSQLLCMLSQQKLQQARNLLDKFPERSRHSRIAHWTSLLTKYSRIGFIDESRVLFDIMPERNIVSYNVMLSGYLQCGRLSEARGLFEEMPERNVVSWTSMLCGLADAGRICEARELFKEMPERNVVSWNAMVAGLIKNEDLEEARRVFDEIPEKNVVSWNAMIKGFAENGKMEEARVLFEEMEDRNVITWTTMIAGYCRIGDVREAYCFFCRIPERNVVSWTAMISGFTWNGYYGEALLLFLEMKRRSNIAPNGETFISLAYACAGMRFHHLGKQLHAQLIINGLEYEDYDGRIAKSLIHMYSLFGAMDYAHYVFNKNLNSHAVQSCNHMINGYTRIGQVEKARELFDTIPARDNITWTSMIVGYFDIGNVSEACYLFENMPDKDSVAWTSMISGLVQNELFLKATSFLLRMLAHGAPPLSSTYAILFGAAGAIAHLDFGRQLHNMLMKTLSDCDLILSNSLISMYAKCGEIHDAYSIFTNMIYRDLISWNTMIMGLAHHALANETLKVFETMLQSGTRPNSVTFLGILSACSHAGLVSQGCKLFKAMRDVYAIQPGLEHYISMINLLGRAGKVREAEELILGLPFETNHAIWGALLGVCGVAEKNADIAQHAARRLLELDPLNAPAHVALCNIYAACGKHIEEQKLRKEMGLKGVRKVPGCSWIVLNGNVCVFLSGDKLDPEADEMLLFLFESGDG >Potri.005G213400.4.v4.1 pep chromosome:Pop_tri_v4:5:21687100:21695379:1 gene:Potri.005G213400.v4.1 transcript:Potri.005G213400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213400.v4.1 MAARSQADSKRKYSWWWNSHISPKNSKWLQENLTGMDFKVKQMIKLLEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQVPLMLGDDSPAGSATDGDPRTPDMPPIRAPFDPDELQKDALGVSPSHRNGAFTEESDSVPGRKGLKQLNDLFGSGDGVNHAKFSEGRARKGLSFHDPEEKEQGVWNDSSHDLKARIPSQSERVSQAELEILTLKNALAKLEAEKEADLLRYENSLERLSNLESEVSRATEDSRGLNERASKSEAEVLTLKEALAELEAEKKSSFLQYQHCLEKISNLENSISHVQKDAGEQNERAGKAEIEAQSLKQDLARLEAEKNVVLVQYKQCLEKISDLEDQLLNAQEDARRFSERAGDAEREIDTLKQALTKLTEEKEAAVTQYQQCLATIVSLEHKITCFEEEARRLNSEIDDGAVKLKDAEERCILLVKSNQTMQSELESLVQKVAAQSEEVTEKKEELGRLWTCVQEERLRFIEAETAFQTLQHLHSQSQEELRSMAAQLQNRSQILDELEARNQSLKDEVEHVKVENKSVSEVNLSSALTIQNLQDEISSLRETITKLEAEVELRVDQRNALQQEIYCLKEELNDLNRKHQAIMGQVESVGFSPESFGLSVKDLQDANIKLKEVCEQDRSENVALLEKLEIMDKLIEKNALLENSLSDLNVELEGVREKVKELEESCQSLLGEKSILVSEKALLASELQFVTDNLEKLTEKNSVLENFLIAANAELEGLRVKSKSLEDLCLLHENEKSDLASMKGSLTSQLDITEKSLKDLEKNYKELEERYSLLEKERESTLHEVEELQVSLDAKKQEHANLAKLSESQLAGMASQICFLQEEGQCRKKEYEEELDKAVNAEIEIFILQKSAQELEEKNFSLLLEHQKLLEASKLSEEQISDLKHENCEQQVELKCISDQINNLRVGLYQVLKALELDANQCENKTEQDQKLVNHVLNKLQETQEFLFKMQDENQQLVIENSVLVTLLGQLQLEVENLVMTKNILDQELTTRSEQFLVLKNESQKLSGINEVMKLKLIEGDHKEEALKVELSNLHGQLSDLQGAHQNLQELNCKVLDEQRSLMKSFSDVLMEKCKLEEENCCILYETVSQSTLSLIFRDIICEKSVETKGLGENLDKLYHDNNGLNEKVKILEKELDKLCSLEDEKRELCEMVEDLKCKYDEVGMIQSDQEMQIIKLSGDYDQKSKEAEKFCEVNQKLESEMRKLHEEFQEVKGREENLSNELVKGRNEIELLESQAVALFGELQISAVREALFEGKIHELLELCERLEDGNCSKDVEINQLKERVGTLEGGNADLKALMAAYFPAFMSLRDCVTSLEKHTLSDVTFNEVDNKEPKDAAMVVHAKSCQQMSEGQSSVVPGGTLDFQELQMRVIAIEKAVIEKERLVMVENLSSHSKLDAAMRQIEELKSGSSLHLAGIETRKYAKPNPEQEELRAVLRDDLRQQKQTREISEDGSEVMTKDIMLDQISECSSYRISRRETMEADYQMLEIWETADRNDSNDLTVGKTQKVIASQAEKKHTRQHPSTESMIEKEVGVDKLEISKTLSGSRQEGNKRKILERLDSDAQKLTNLQITVQDLKSKVEITEKSKKGKGIEYDNVKEQLEESEEAIMELLEVNRKLMKTVEDEPLYFDEKSTLIPDESGTVRRVKILEQARRGSENIGRLQLEVQKLQFLLLKLDGENSSRGKTKITERKTRVLLRDYLYGGTRTSQKQKKGRFCSCVQPPTKGD >Potri.005G213400.5.v4.1 pep chromosome:Pop_tri_v4:5:21689301:21695480:1 gene:Potri.005G213400.v4.1 transcript:Potri.005G213400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213400.v4.1 MDFKVKQMIKLLEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQVPLMLGDDSPAGSATDGDPRTPDMPPIRAPFDPDELQKDALGVSPSHRNGAFTEESDSVPGRKGLKQLNDLFGSGDGVNHAKFSEGRARKGLSFHDPEEKEQGVWNDSSHDLKARIPSQSERVSQAELEILTLKNALAKLEAEKEADLLRYENSLERLSNLESEVSRATEDSRGLNERASKSEAEVLTLKEALAELEAEKKSSFLQYQHCLEKISNLENSISHVQKDAGEQNERAGKAEIEAQSLKQDLARLEAEKNVVLVQYKQCLEKISDLEDQLLNAQEDARRFSERAGDAEREIDTLKQALTKLTEEKEAAVTQYQQCLATIVSLEHKITCFEEEARRLNSEIDDGAVKLKDAEERCILLVKSNQTMQSELESLVQKVAAQSEEVTEKKEELGRLWTCVQEERLRFIEAETAFQTLQHLHSQSQEELRSMAAQLQNRSQILDELEARNQSLKDEVEHVKVENKSVSEVNLSSALTIQNLQDEISSLRETITKLEAEVELRVDQRNALQQEIYCLKEELNDLNRKHQAIMGQVESVGFSPESFGLSVKDLQDANIKLKEVCEQDRSENVALLEKLEIMDKLIEKNALLENSLSDLNVELEGVREKVKELEESCQSLLGEKSILVSEKALLASELQFVTDNLEKLTEKNSVLENFLIAANAELEGLRVKSKSLEDLCLLHENEKSDLASMKGSLTSQLDITEKSLKDLEKNYKELEERYSLLEKERESTLHEVEELQVSLDAKKQEHANLAKLSESQLAGMASQICFLQEEGQCRKKEYEEELDKAVNAEIEIFILQKSAQELEEKNFSLLLEHQKLLEASKLSEEQISDLKHENCEQQVELKCISDQINNLRVGLYQVLKALELDANQCENKTEQDQKLVNHVLNKLQETQEFLFKMQDENQQLVIENSVLVTLLGQLQLEVENLVMTKNILDQELTTRSEQFLVLKNESQKLSGINEVMKLKLIEGDHKEEALKVELSNLHGQLSDLQGAHQNLQELNCKVLDEQRSLMKSFSDVLMEKCKLEEENCCILYETVSQSTLSLIFRDIICEKSVETKGLGENLDKLYHDNNGLNEKVKILEKELDKLCSLEDEKRELCEMVEDLKCKYDEVGMIQSDQEMQIIKLSGDYDQKSKEAEKFCEVNQKLESEMRKLHEEFQEVKGREENLSNELVKGRNEIELLESQAVALFGELQISAVREALFEGKIHELLELCERLEDGNCSKDVEINQLKERVGTLEGGNADLKALMAAYFPAFMSLRDCVTSLEKHTLSDVTFNEVDNKEPKDAAMVVHAKSCQQMSEGQSSVVPGGTLDFQELQMRVIAIEKAVIEKERLVMVENLSSHSKLDAAMRQIEELKSGSSLHLAGIETRKYAKPNPEQEELRAVLRDDLRQQKQTREISEDGSEVMTKDIMLDQISECSSYRISRRETMEADYQMLEIWETADRNDSNDLTVGKTQKVIASQAEKKHTRQHPSTESMIEKEVGVDKLEISKTLSGSRQEGNKRKILERLDSDAQKLTNLQITVQDLKSKVEITEKSKKGKGIEYDNVKEQLEESEEAIMELLEVNRKLMKTVEDEPLYFDEKSTLIPDESGTVRRVKILEQARRGSENIGRLQLEVQKLQFLLLKLDGENSSRGKTKITERKTRVLLRDYLYGGTRTSQKQKKGRFCSCVQPPTKGD >Potri.005G213400.6.v4.1 pep chromosome:Pop_tri_v4:5:21687051:21694713:1 gene:Potri.005G213400.v4.1 transcript:Potri.005G213400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213400.v4.1 MAARSQADSKRKYSWWWNSHISPKNSKWLQENLTGMDFKVKQMIKLLEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQVPLMLGDDSPAGSATDGDPRTPDMPPIRAPFDPDELQKDALGVSPSHRNGAFTEESDSVPGRKGLKQLNDLFGSGDGVNHAKFSEGRARKGLSFHDPEEKEQGVWNDSSHDLKARIPSQSERVSQAELEILTLKNALAKLEAEKEADLLRYENSLERLSNLESEVSRATEDSRGLNERASKSEAEVLTLKEALAELEAEKKSSFLQYQHCLEKISNLENSISHVQKDAGEQNERAGKAEIEAQSLKQDLARLEAEKNVVLVQYKQCLEKISDLEDQLLNAQEDARRFSERAGDAEREIDTLKQALTKLTEEKEAAVTQYQQCLATIVSLEHKITCFEEEARRLNSEIDDGAVKLKDAEERCILLVKSNQTMQSELESLVQKVAAQSEEVTEKKEELGRLWTCVQEERLRFIEAETAFQTLQHLHSQSQEELRSMAAQLQNRSQILDELEARNQSLKDEVEHVKVENKSVSEVNLSSALTIQNLQDEISSLRETITKLEAEVELRVDQRNALQQEIYCLKEELNDLNRKHQAIMGQVESVGFSPESFGLSVKDLQDANIKLKEVCEQDRSENVALLEKLEIMDKLIEKNALLENSLSDLNVELEGVREKVKELEESCQSLLGEKSILVSEKALLASELQFVTDNLEKLTEKNSVLENFLIAANAELEGLRVKSKSLEDLCLLHENEKSDLASMKGSLTSQLDITEKSLKDLEKNYKELEERYSLLEKERESTLHEVEELQVSLDAKKQEHANLAKLSESQLAGMASQICFLQEEGQCRKKEYEEELDKAVNAEIEIFILQKSAQELEEKNFSLLLEHQKLLEASKLSEEQISDLKHENCEQQVELKCISDQINNLRVGLYQVLKALELDANQCENKTEQDQKLVNHVLNKLQETQEFLFKMQDENQQLVIENSVLVTLLGQLQLEVENLVMTKNILDQELTTRSEQFLVLKNESQKLSGINEVMKLKLIEGDHKEEALKVELSNLHGQLSDLQGAHQNLQELNCKVLDEQRSLMKSFSDVLMEKCKLEEENCCILYETVSQSTLSLIFRDIICEKSVETKGLGENLDKLYHDNNGLNEKVKILEKELDKLCSLEDEKRELCEMVEDLKCKYDEVGMIQSDQEMQIIKLSGDYDQKSKEAEKFCEVNQKLESEMRKLHEEFQEVKGREENLSNELVKGRNEIELLESQAVALFGELQISAVREALFEGKIHELLELCERLEDGNCSKDVEINQLKERVGTLEGGNADLKALMAAYFPAFMSLRDCVTSLEKHTLSDVTFNEVDNKEPKVISILSDFESFRYCNFTWPGSTSDMIKIPSINCLIILWGL >Potri.005G213400.3.v4.1 pep chromosome:Pop_tri_v4:5:21686877:21695455:1 gene:Potri.005G213400.v4.1 transcript:Potri.005G213400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213400.v4.1 MAARSQADSKRKYSWWWNSHISPKNSKWLQENLTGMDFKVKQMIKLLEEDADSFARRAEMYYKKRPELMKLVEEFYRAYRALAERYDHATGALRQAHRTMAEAFPNQVPLMLGDDSPAGSATDGDPRTPDMPPIRAPFDPDELQKDALGVSPSHRNGAFTEESDSVPGRKGLKQLNDLFGSGDGVNHAKFSEGRARKGLSFHDPEEKEQGVWNDSSHDLKARIPSQSERVSQAELEILTLKNALAKLEAEKEADLLRYENSLERLSNLESEVSRATEDSRGLNERASKSEAEVLTLKEALAELEAEKKSSFLQYQHCLEKISNLENSISHVQKDAGEQNERAGKAEIEAQSLKQDLARLEAEKNVVLVQYKQCLEKISDLEDQLLNAQEDARRFSERAGDAEREIDTLKQALTKLTEEKEAAVTQYQQCLATIVSLEHKITCFEEEARRLNSEIDDGAVKLKDAEERCILLVKSNQTMQSELESLVQKVAAQSEEVTEKKEELGRLWTCVQEERLRFIEAETAFQTLQHLHSQSQEELRSMAAQLQNRSQILDELEARNQSLKDEVEHVKVENKSVSEVNLSSALTIQNLQDEISSLRETITKLEAEVELRVDQRNALQQEIYCLKEELNDLNRKHQAIMGQVESVGFSPESFGLSVKDLQDANIKLKEVCEQDRSENVALLEKLEIMDKLIEKNALLENSLSDLNVELEGVREKVKELEESCQSLLGEKSILVSEKALLASELQFVTDNLEKLTEKNSVLENFLIAANAELEGLRVKSKSLEDLCLLHENEKSDLASMKGSLTSQLDITEKSLKDLEKNYKELEERYSLLEKERESTLHEVEELQVSLDAKKQEHANLAKLSESQLAGMASQICFLQEEGQCRKKEYEEELDKAVNAEIEIFILQKSAQELEEKNFSLLLEHQKLLEASKLSEEQISDLKHENCEQQVELKCISDQINNLRVGLYQVLKALELDANQCENKTEQDQKLVNHVLNKLQETQEFLFKMQDENQQLVIENSVLVTLLGQLQLEVENLVMTKNILDQELTTRSEQFLVLKNESQKLSGINEVMKLKLIEGDHKEEALKVELSNLHGQLSDLQGAHQNLQELNCKVLDEQRSLMKSFSDVLMEKCKLEEENCCILYETVSQSTLSLIFRDIICEKSVETKGLGENLDKLYHDNNGLNEKVKILEKELDKLCSLEDEKRELCEMVEDLKCKYDEVGMIQSDQEMQIIKLSGDYDQKSKEAEKFCEVNQKLESEMRKLHEEFQEVKGREENLSNELVKGRNEIELLESQAVALFGELQISAVREALFEGKIHELLELCERLEDGNCSKDVEINQLKERVGTLEGGNADLKALMAAYFPAFMSLRDCVTSLEKHTLSDVTFNEVDNKEPKDAAMVVHAKSCQQMSEGQSSVVPGGTLDFQELQMRVIAIEKAVIEKERLVMVENLSSHSKLDAAMRQIEELKSGSSLHLAGIETRKYAKPNPEQEELRAVLRDDLRQQKQTREISEDGSEVMTKDIMLDQISECSSYRISRRETMEADYQMLEIWETADRNDSNDLTVGKTQKVIASQAEKKHTRQHPSTESMIEKEVGVDKLEISKTLSGSRQEGNKRKILERLDSDAQKLTNLQITVQDLKSKVEITEKSKKGKGIEYDNVKEQLEESEEAIMELLEVNRKLMKTVEDEPLYFDEKSTLIPDESGTVRRVKILEQARRGSENIGRLQLEVQKLQFLLLKLDGENSSRGKTKITERKTRVLLRDYLYGGTRTSQKQKKGRFCSCVQPPTKGD >Potri.004G226600.3.v4.1 pep chromosome:Pop_tri_v4:4:23038259:23041664:-1 gene:Potri.004G226600.v4.1 transcript:Potri.004G226600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226600.v4.1 MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVEQDGKTIKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMAGEIKKKMGNQPTASKSTGTVQMKGQPIEQKNNCCG >Potri.001G277301.1.v4.1 pep chromosome:Pop_tri_v4:1:29108796:29110560:1 gene:Potri.001G277301.v4.1 transcript:Potri.001G277301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277301.v4.1 MLELSFLILFLTSISVLIFICFFRSTSHPPSKTPCPESYPIIGILPGLLRNRHRFHDWVADMLSKTPSSTLQVNNFLNLSHIICTANPVNVEHLLVTNFSNYIKGCRYLDYLQELLGHGIFNVDGHLWTVQRKIASHEFNTKSLKHFVSDIVKSELSKSLMPDLFKACDHNLIIDMQEVLQKFTFTNLCKVAFGVDPESMSNLTFVEAFDDAVEIGFSRFMAPLPALWKLQRSLNIGSEKRLKEAVKVINEFAWEVIKSRQEQDGGKNQDCCQDLCC >Potri.008G090400.1.v4.1 pep chromosome:Pop_tri_v4:8:5646920:5651099:-1 gene:Potri.008G090400.v4.1 transcript:Potri.008G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090400.v4.1 MKFGKEFRVQMVPEWQEAYMDYDFLKTLLKEVQSFQLRTRPPAANPVGLKRKLSLYRAFSGLTQRTSDYTSKSPSSPDIEKQPILVNSVNLDGSQIYQTSFLMPTVEGGEYELLFFRRLDDEFNKVDKFYRSKVEEVLKEAAMLNKQMDALIAFRIKVENPTGWSDRTADMTRLASDVAASTAALAASTPSGARSSRRGLQVMDVIDEGQSMHERSNESNHDKVEKESDNTGQKEVQKPKNMIRTFRPAPLEILNNVKINNTLATPRSTIKNFLKVPQQTELRFTRENLRKVEEQLKGAFVEFYHKLRLLKSYNFLNTLAFSKIMKKYDKITTRNATKYYMKMVDSSYFGSSDEVTKLMERVEATFIKHFSNSNRSKGMRVLRPKAKKERHRTTFYMGFFSGCTIALLIALVLIVHVRKIMNETGRILYMETMFPLYSLFGLIVLHLLMYAANIYFWRRYRVNYSFIFGFKQGTELGYRQVLLFSFGIAVLALCSVLLNLDMEMDPKTKDYRAFTELLPLNVLIFLLVILLLPFNMFYRSARFFLLTCVFHCIAAPLYKVTLPDFFLADQLTSQVQSLRSLEFYICYYGWGDYKHRQNTCRGNTVFKTFSFIVAVIPYWSRLLQCLRRLFEEKDPMQGYNGLKYFLTIVAVCLRTAYSLNKGVSWRAIAWIFSAIATIFSTYWDLVFDWGLLQRHSKNRWLRDKLLVPHRSVYFGAMVLNVLLRFAWLQTVLDFGITSLHKETTIALVASLEIFRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFNYVEDDDSDD >Potri.016G038300.5.v4.1 pep chromosome:Pop_tri_v4:16:2367874:2368020:1 gene:Potri.016G038300.v4.1 transcript:Potri.016G038300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038300.v4.1 MAFPWSMALWMANMVWVALIGWVSSCLTVADELASSLRTGDIGPFHVG >Potri.009G059100.1.v4.1 pep chromosome:Pop_tri_v4:9:6165286:6165953:1 gene:Potri.009G059100.v4.1 transcript:Potri.009G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059100.v4.1 MAALSIVHSLHGSYSSIQELRTRNCPRILILLSCQRGEPIDTSSSKPKKEIKQDKQLVRQLFGSVENFGKGLKDSLSPKRKGDWKDVMLMSLSFAVYVYMSQKIVCAYCAWMSMLKQW >Potri.013G089600.1.v4.1 pep chromosome:Pop_tri_v4:13:9072070:9074516:-1 gene:Potri.013G089600.v4.1 transcript:Potri.013G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089600.v4.1 MACASGSSTSLLILLNQSRLGPQSQKGQQRIDSSISFGASLPFSSARRRTKVGLRRMAKPIVKAETLTIEKSGIKVVRNPPESKLTELGVRKWPKWGCPPSKFPWTYSAKETCYLLEGKVKVYPDGAEEPVEITAGDLVEFPKGMSCTWDVAVGVDKHYNFE >Potri.008G053000.2.v4.1 pep chromosome:Pop_tri_v4:8:3125211:3126897:1 gene:Potri.008G053000.v4.1 transcript:Potri.008G053000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053000.v4.1 MRKFDPWPVFFKREWNRNWPFLVGFAITGALITKFSLSLTEEDAKNSPFVQRHKKH >Potri.018G120827.1.v4.1 pep chromosome:Pop_tri_v4:18:13287659:13294389:1 gene:Potri.018G120827.v4.1 transcript:Potri.018G120827.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120827.v4.1 MGLNRFSLPAVAVMVMINAMLLSQGCLEEERIALLQIKTSFGDHPNDIASPLFSWGKDALCCSWKRVTCSNSTTRRVIEINLYFTRDRSMEDLYLNASIFLPFQELNVLDLSGNGIAGCVANEGFERLSRLAKLEVLLLSDNYFNNSILSSMKGLSSLKYLNLDFNQLQGSIDTKEFDSLSNLEELSLAKNEIQDFVTLTGSEGPSRLNKLEVLDLSSNYLNNSILSSLKGLSSLKHLNLGGNQVQGSINMKEFDSLSNLEVLWLAGNKIQNVVALTGSEGPSRLNKLQSLDLSFNNFNNSILSSLEGLNKLESLDLRYNHFNNSILSSLKGLSSLKHLNLSDNQLQGSINMKEFDSLSNLEELSLSGNNIQNFVALTGYEGPSRLNKLKSLDLGYNRINDSTLSFFKGFSSLRHLYLYSQMNVSIDTKGYMPTYIPYIYITSFFFQVIR >Potri.005G232450.3.v4.1 pep chromosome:Pop_tri_v4:5:23134281:23135375:-1 gene:Potri.005G232450.v4.1 transcript:Potri.005G232450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232450.v4.1 MKCFLESKRMPLCPYFLTASIGHPLEWTEITGNQVENSWRIDGQRAQKLYFFPHQKNAHAVAGSCTP >Potri.005G232450.2.v4.1 pep chromosome:Pop_tri_v4:5:23134281:23135242:-1 gene:Potri.005G232450.v4.1 transcript:Potri.005G232450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232450.v4.1 MKCFLESKRMPLCPYFLTASIGHPLEWTEITGNQVENSWRIDGQRAQKLYFFPHQKNAHAVAGSCTP >Potri.005G232450.1.v4.1 pep chromosome:Pop_tri_v4:5:23134241:23135208:-1 gene:Potri.005G232450.v4.1 transcript:Potri.005G232450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232450.v4.1 MKCFLESKRMPLCPYFLTASIGHPLEWTEITGNQVENSWRIDGQRAQKLYFFPHQKNAHAVAGSCTP >Potri.004G064500.1.v4.1 pep chromosome:Pop_tri_v4:4:5482356:5484999:-1 gene:Potri.004G064500.v4.1 transcript:Potri.004G064500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064500.v4.1 MEGVLKKCWVVGVIFAVVLLSEPYGARAQQVPGYFIFGDSLVDNGNNNQLSSLARADYLPYGIDFRPPRPTGRFCNGRTTVDVIAEQLGFRNYIPPYATARGRAILGGVNYASAAAGIRDETGQQLGDRISFSGQVRNYQNTVSQIVNILGDEDTAANYLSRCIFSIGLGSNDYLNNYFMPQIYSSSRQYTPEQYANVLIQQYTDQLKILYNYGARKFVLIGVGQIGCSPSQLAQNSPDGRTCVQKINSANQIFNNKLRSLVAQFNGNTPDARFIYINAYGIFQDIINRPATFGFTVTNAGCCGVGRNNGQITCLPLQNPCRNRDQYVFWDAFHPTEAANVIIGRRSYSAQSASDAYPFDIRRLAQS >Potri.001G141600.1.v4.1 pep chromosome:Pop_tri_v4:1:11585704:11586375:1 gene:Potri.001G141600.v4.1 transcript:Potri.001G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141600.v4.1 MALYVDEEEIWKCLKHPSKRRRTGICHVCLRERLSSLCPDCASARPCTCYATTASSSSGTTSSSSSHCFSSASGIGSVGRVSNLIESEPAFRRSRSLAVPFLRSKPSADHSYNNHKASSSFWSLFKGGHGNRSMREEVERRHVVILKEEELEESSRKVNEDEERRRMMRKSRSVAVTSESRGSDVRRSSKGGKGWYFPSPIKVFKQSISRGVLAHERSPLYRG >Potri.004G168400.1.v4.1 pep chromosome:Pop_tri_v4:4:18618749:18622230:1 gene:Potri.004G168400.v4.1 transcript:Potri.004G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168400.v4.1 MSGMGDGYVGTAQDAVRIRRLQKQREAERLKIQELKSKSASAKDQPGLLQFGSSTSEILETAFKKETVGLVTREQYVEKRVNIQTKFEEEEKEKLEKLRKEEEELQLQKRKKRKIKGNSKLSFADDFENGSDEEDGENKSLEPKRLVNGKFGKDPTVETSFLPDSEREAEEQAERERLRKQWLREQEQIRNEPLEITYSYWDGAGHRRVIQVRKGDTIGEFLRAVQQQLAPEFREIRTTSVENLLYVKEDLIIPHQHSFYDLIINKARGKSGPLFHFDVHEDVRTIADATIEKDESHAGKVVERHWYEKNKHIFPASRWEIYDPTQKWERYTIHGD >Potri.010G138450.1.v4.1 pep chromosome:Pop_tri_v4:10:15256772:15258135:1 gene:Potri.010G138450.v4.1 transcript:Potri.010G138450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138450.v4.1 MTSPEQSLSLPIFLQENETSQEFKDLIASMPTEKGWITKHLHQYQGFWYSTRQLPGILACQKHFQAQDGDIFLVSTPKSGSTWLKAVMFSLVNRVSFPDTKQHPLLTNNPHALVPFLEMEYIGKENLDFTNYTFPRLFSTHLPLSSLPRSVEDSDCKLVYLCRNPKDTFVSFWHFSNKLTPIDWVATSLEETFDKFCRGASLYGPFWDHVLGYWKESLERPHRVFFMKYEEMKNEPRIQLRRLSEFLGCPFSLEEENSGVLDEILDLCSFENLSNLEVNKIGRLHSGHEHQAFFRRGEVGDSMNYLTAEMVEKIDMITEQKLHCHGLKF >Potri.015G030801.1.v4.1 pep chromosome:Pop_tri_v4:15:2366488:2368628:1 gene:Potri.015G030801.v4.1 transcript:Potri.015G030801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030801.v4.1 MALQWMILTYVVAAEAAIAALLTLPSPKLLKDRLVSLISLLLQPALFIVPFAGFQLLDIYWKNEHRLMCAGENCTASERDRYEKSIYKAQRNVILCVSACLLYWCVYRICKYYKEIQSLEEVEKRYKNQ >Potri.002G160300.1.v4.1 pep chromosome:Pop_tri_v4:2:12245322:12250629:1 gene:Potri.002G160300.v4.1 transcript:Potri.002G160300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160300.v4.1 MATIDAEISEESMSLAIDDIVYVAVGKDVGESKSTLLWALQNFSIKKVCLVHVHQPAKMIPLIGGNFPASRLEQHELREFRELERKIMHKVLDDYLLLCHRAAVHAEKLYIEKDDIGKGIVELMYQHAIKKLVMGAAAERHYSEGMMDLQSRKAKYVQQCAPSSCQIWFICKGHLIHTGTSTDAFADLDPFTLNISGEITGLELELSEIPDMEEESDLHTPDALEQNSVEQLYKQLDRAMEEAEKFKREAFEESLIRGEAEKTSIKALRKAKVLGSLYAEELRHRKETEEALVKEKQEHGRTKDQRDETHLVAIDQRLLWETDRSKFDNKIKELGDEVLAYAEQCKEYEEERDELVKELSKKQAEDASSMHIHQLLSIFSLSEIEEASRNFDPSVKIGEGGYGNIYKGFLRHTPVAIKMLNPESMQGHAVFKQEVDVLSKLRHPHLVTLIGACSEACALIYEYLPNGNLEDRLNCEGNTPPLSWQVRIRIATELCSALIFLHSSTPTSIVHGDLKPGNVLLDANLSCKLSEFGICLADHNTRNITQYHKTDPEGTFLYLDPHFLTTGELSPKSDTYAFGIILLQLLTGRPEFGLVKEIRDVIDEENLTSLIDPLAGDWPFVQAMQLARLALKCCQMERSSRPDLASEVWSVLEPMRDSCGPLSRFQFGSLQCQTPPPYFICPILQEVMQDPHIAADGFTYEAEALRGWLESGHDTSPMTNLELERFELIPNHALRSVIQEWLQQH >Potri.002G009300.1.v4.1 pep chromosome:Pop_tri_v4:2:555751:558720:1 gene:Potri.002G009300.v4.1 transcript:Potri.002G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009300.v4.1 MSCFSCINPHRKDIKIDIEHAPRSSSRYSSGYTGDADNGKGQGAEEGARSFTFRELAAATRNFREINLIGEGGFGRVYKGRLETGEIVAVKQLNQDGLQGHQEFIVEVLMLSLLHHSNLVTLIGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPDKEPLSWSTRMKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTVKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLVAWSRAFLKEQKKYCQLADPLLEGCYPRRCLNYAIAITAMCLNEEANFRPLISDILVALEYLASQSRVPESSTGRVRGTNPSLPYSDRKAVSREPDSRSRASI >Potri.002G009300.3.v4.1 pep chromosome:Pop_tri_v4:2:555631:558831:1 gene:Potri.002G009300.v4.1 transcript:Potri.002G009300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009300.v4.1 MSCFSCINPHRKDIKIDIEHAPRSSSRYSSGYTDNGKGQGAEEGARSFTFRELAAATRNFREINLIGEGGFGRVYKGRLETGEIVAVKQLNQDGLQGHQEFIVEVLMLSLLHHSNLVTLIGYCTSGDQRLLVYEYMPMGSLEDHLFDLEPDKEPLSWSTRMKIAVGAARGLEYLHCKADPPVIYRDLKSANILLDNDFNPKLSDFGLAKLGPVGENTHVSTRVMGTYGYCAPEYAMSGKLTVKSDIYSFGVVLLELITGRKAIDRSKKPGEQNLVAWSRAFLKEQKKYCQLADPLLEGCYPRRCLNYAIAITAMCLNEEANFRPLISDILVALEYLASQSRVPESSTGRVRGTNPSLPYSDRKAVSREPDSRSRASI >Potri.012G007600.1.v4.1 pep chromosome:Pop_tri_v4:12:318999:323319:-1 gene:Potri.012G007600.v4.1 transcript:Potri.012G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007600.v4.1 MTRERRSRRISRIGSYAISSSMSMRDHRQQPCITCTTFNILAPIYKRLNINNDKDQNSRESDYRAYWLVRNQRILDSLLRERSSIICLQEFWLGNEELVNMYEKRLGDAGYLNFKLARTNNRGDGLLIAVRKDYFRVINHRELLFNDCGDRVAQLLHVELAAPCSPCRNNDTRQEILIVNTHLLFPHDSSLSLVRLNQVYKILQYVESYQKENKLSPTPIMLCGDWNGSKRGHVYKFLRSQGFVSSYDTAHQYTDADAHKWVSHLNHRGNICGVDFIWLLNPNRYRKLLKTSWSEAVFGMFKYLLRRASLTEEDAFAFLKADSDSDCITYSGFCEALQQLNLTGHCYGLSDEETKDLWVQADIDGNGVLDYKEFQQRIWNPTWSEEKDDEIQDDNLKGREEQTIGFSVENAVLFPPEVEKGMWPENYSLSDHARLTVVFSPIRMPCSQLIS >Potri.005G065400.1.v4.1 pep chromosome:Pop_tri_v4:5:4240484:4243020:-1 gene:Potri.005G065400.v4.1 transcript:Potri.005G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065400.v4.1 MSLLMDSTSSSLLLSPPPFHSKDETDAPVLYSSFLQKQANMPTEFIWPIGDLVHNQDELKEPLIDLDGFLKGDERATADAAELVRTACLNHGFFQVINHGVDIGLIHAAHEEIDKIFKLPLDKKLSTRRKPGDVSGYSGAHAHRYSSKLPWKETFSFGYHGDDDSEPLVVDYFKSVLGENFEHTGWVYQRYCEAMKKVSLVIFELLGISLGVDRLHYRKFFEDGSSIMRCNNYPPCNNSSLTLGTGPHCDPTSLTILHQDQVGGLEVFANNKWQAIRPRPDALVVNIGDTFTALSNGRYQSCLHRAVVNRERERKSLVFFVSPKEEKVVRPPQDLVCREGPRKYPDFTWSDLLEFTQKHYRADVATLQSFIQWLLSAKP >Potri.004G176950.1.v4.1 pep chromosome:Pop_tri_v4:4:19170769:19173086:-1 gene:Potri.004G176950.v4.1 transcript:Potri.004G176950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176950.v4.1 MELVEEVVIVGAGIAGLTTSLGLHRLGIRSLVLESSAGLRVTGFAFTTWTNAWRALDAVGIGHSLRQQHGFLDGLVASSSVVSKPGTQISFKVKGTIGDHEVRCVRRKLLLEALEKELPDGTIRYSSKVVSIEESGYLKLVHLADDTIIKTKVLIGCDGVNSVVARFIGFKKPAFAGRSAIRGYADFKVNHGFGSKFLQLFGKGVRSGFLPCDDTTIYWFFTYIPTGQDKELEDNPTEMKQFVLSKLGNVPDHARTSVEITELDSITSSPLRFRHPWEVLWGNISKGNVSVAGDALHPMTPDIGQGGCAALEDGVVLARCLAEALKKELNVEGKEKEREEYKRVEMGLKKYAAERRWRSFELISTAYIVGAIQQGDGKIMNILRDAFLAKFLAGLLLKKADFDCGKLNIS >Potri.004G176950.2.v4.1 pep chromosome:Pop_tri_v4:4:19170769:19173086:-1 gene:Potri.004G176950.v4.1 transcript:Potri.004G176950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176950.v4.1 MELVEEVVIVGAGIAGLTTSLGLHRLGIRSLVLESSAGLRVTGFAFTTWTNAWRALDAVGIGHSLRQQHGFLDGGDHEVRCVRRKLLLEALEKELPDGTIRYSSKVVSIEESGYLKLVHLADDTIIKTKVLIGCDGVNSVVARFIGFKKPAFAGRSAIRGYADFKVNHGFGSKFLQLFGKGVRSGFLPCDDTTIYWFFTYIPTGQDKELEDNPTEMKQFVLSKLGNVPDHARTSVEITELDSITSSPLRFRHPWEVLWGNISKGNVSVAGDALHPMTPDIGQGGCAALEDGVVLARCLAEALKKELNVEGKEKEREEYKRVEMGLKKYAAERRWRSFELISTAYIVGAIQQGDGKIMNILRDAFLAKFLAGLLLKKADFDCGKLNIS >Potri.002G032800.4.v4.1 pep chromosome:Pop_tri_v4:2:2175964:2177976:-1 gene:Potri.002G032800.v4.1 transcript:Potri.002G032800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032800.v4.1 MSKKTVVSVELLCSKCRKKVMKLIATIEGITSIVLDPSKNTVTVIGEADPVKIICKVRKFRKSAAITSIGPPKEEKKDDPYKKDVMKDTKGMVIPYTPKTCQRCDVWYVVNDDFYSYCTIM >Potri.001G204300.2.v4.1 pep chromosome:Pop_tri_v4:1:20691176:20695768:-1 gene:Potri.001G204300.v4.1 transcript:Potri.001G204300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204300.v4.1 MAATGASIFQISTQPRVFTRPITTANINNANPSVSNTLASSFIGSSLQRSTTKKKRAVKIGRKVIAAAAVTVATPSREEVKEYARPTWAMFELGSAPVFWKTMNGLPPSSGENLKLFYNPAANKLVPNEEFGIAFNGGFNQPIMCGGEPRAMLRKVRGKADPPFYTIQICVPKHAVNLIFSFTNGVDWDGPYRLQFQVHNGWRNKPIEFFNEGLAEELSKEGACEKAIFPDTDIIVTRCAMIGNLSIEGGDRCDLDLVSGCMDPSSHLYNPLANVDDGTCPIEMED >Potri.006G256300.1.v4.1 pep chromosome:Pop_tri_v4:6:25359306:25362274:-1 gene:Potri.006G256300.v4.1 transcript:Potri.006G256300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256300.v4.1 MEEVCLNSEPVFDEGDDYEVEGDSSAVGCDDETGENCSKKERPEPTVGLEFDSFDEAYDFYNVYAKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMVVIRLVDSKRWRIVDVELEHNHPVNPQIKRFYKSHKRMILAAKKAQPPPEPVTEVHTIRLHRRALMNTGCNVYLNVDERGHVDHVDHFKHLELKEGDALAVYNYFCRMKLTNPNFFYLMDLDDEGRLRNVFWADARSRVAYGFFCDTVTIDTTCLANRYEIPLISFVGVNHHGQSVLLGCGFLGHESMEYFVWIFRAWIKCMQGHLPQVIITDQNKPLQSAVSEVFPNARHCYNVCCITQRVPERLGGLQGYEAIKRQLNKAVYNSLKIAEFETSWADMIKCHGLGDNKWLQTLYKERQAWVPVYLKDIFFVGMIPIQEDESLNAFFDGYVHKHTSFKEFVDKYDLALHRKHMKEAMADLESTNSSYELKTRCNFEVQLSKVYTKEIFRKFQSEVEGMYSCFNTKQLRVNGQIATYIVKERVEVAGSEKEVRHFEVLYDTSQADIRCICCLFNYKGYLCRHALNVLNYNGVEEVPSRYILPRWGKDYKRRGLLDHNSGDVDVDNPIYWHNLLYRYAIPVVEVGAESSDHYKIALQELEELLNKFNLAEDNLV >Potri.006G256300.3.v4.1 pep chromosome:Pop_tri_v4:6:25359363:25362274:-1 gene:Potri.006G256300.v4.1 transcript:Potri.006G256300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256300.v4.1 MEEVCLNSEPVFDEGDDYEVEGDSSAVGCDDETGENCSKKERPEPTVGLEFDSFDEAYDFYNVYAKEQGFGIRVSNSWFRSKRKERYRAKLSCSSAGFKKKSEANNPRPETRTGCPAMVVIRLVDSKRWRIVDVELEHNHPVNPQIKRFYKSHKRMILAAKKAQPPPEPVTEVHTIRLHRRALMNTGCNVYLNVDERGHVDHVDHFKHLELKEGDALAVYNYFCRMKLTNPNFFYLMDLDDEGRLRNVFWADARSRVAYGFFCDTVTIDTTCLANRYEIPLISFVGVNHHGQSVLLGCGFLGHESMEYFVWIFRAWIKCMQGHLPQVIITDQNKPLQSAVSEVFPNARHCYNVCCITQRVPERLGGLQGYEAIKRQLNKAVYNSLKIAEFETSWADMIKCHGLGDNKWLQTLYKERQAWVPVYLKDIFFVGMIPIQEDESLNAFFDGYVHKHTSFKEFVDKYDLALHRKHMKEAMADLESTNSSYELKTRCNFEVQLSKVYTKEIFRKFQSEVEGMYSCFNTKQLRVNGQIATYIVKERVEVAGSEKEVRHFEVLYDTSQADIRCICCLFNYKGYLCRHALNVLNYNGVEEVPSRYILPRWGKDYKRRGLLDHNSGDVDVDNPIYWHNLLYRYAIPVVEVGAESSDHYKIALQELEELLNKFNLAEDNLV >Potri.002G105900.5.v4.1 pep chromosome:Pop_tri_v4:2:7818368:7824952:-1 gene:Potri.002G105900.v4.1 transcript:Potri.002G105900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105900.v4.1 MTSASSMKLSHELRVHNCHGCNNQYTSASRVQLVNANLSSQFLRLDPWGLHFLNSTRGPPSPVPSRCNVFLCRSVLTPGGGNEIPVLKSAALAFTRSYDALRGSNLVLKLIPAIGIITFAAWGLGPLIWLGRTIFLHKSDNSWKKSSTHYVMTSYLQPLLLWTGATLICRALDPVVLQSEVSQAVKQRLLNFVRSLSTVVAFAYCLSSLIQQAQKFITETNESSDARNMGFSFAGKAVYTAVWIAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSIMIHATRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFSVSIVRNLSQKTHWRIKTHLAISHLDVKKINNIVADMRKVLAKNPQIEQQRLHRRVFLDNINPENQALMILVSCFVKTSHFEEYLCVKEAVLLDLLRVISHHCARLATPIRTVQKIYSEADLENVPFSDSIFTRSGATANHPLLLIEPSYKINSEDKVKASNRSLRANEEKDAKVEAALVSELKADAKAGSMPVVDSKRDKVVAKSTSNSSTNSKVSDVSASDPQLISSTPDNSVKNSPGAQQSNGNMGDRWQETLGPNSECITSNGATPEGSSVSNTESVGERTESPDISQSKQDIERSVASPLMTRPLLEENIVLGVALEGSKRTLPIEEIEEEMDSSPFPLESKELAASQNAGQSPSGKDKKDSRDSS >Potri.002G105900.6.v4.1 pep chromosome:Pop_tri_v4:2:7818323:7824795:-1 gene:Potri.002G105900.v4.1 transcript:Potri.002G105900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105900.v4.1 MTSASSMKLSHELRVHNCHGCNNQYTSASRVQLVNANLSSQFLRLDPWGLHFLNSTRGPPSPVPSRCNVFLCRSVLTPGGGNEIPVLKSAALAFTRSYDALRGSNLVLKLIPAIGIITFAAWGLGPLIWLGRTIFLHKSDNSWKKSSTHYVMTSYLQPLLLWTGATLICRALDPVVLQSEVSQAVKQRLLNFVRSLSTVVAFAYCLSSLIQQAQKFITETNESSDARNMGFSFAGKAVYTAVWIAAVSLFMELLGFSTQKWLTAGGLGTVLLTLAGREIFTNFLSSIMIHATRPFVLNEWIQTKIEGYEVSGTVEHVGWWSPTIIRGDDREAVHIPNHKFSVSIVRNLSQKTHWRIKTHLAISHLDVKKINNIVADMRKVLAKNPQIEQQRLHRRVFLDNINPENQALMILVSCFVKTSHFEEYLCVKEAVLLDLLRVISHHCARLATPIRTVQKIYSEADLENVPFSDSIFTRSGATANHPLLLIEPSYKINSEDKVKASNRSLRANEEKDAKVEAALVSELKADAKAGSMPVVDSKRDKVVAKSTSNSSTNSKVSDVSASDPQLISSTPDNSVKNSPGAQQSNGNMGDRWQETLGPNSECITSNGATPEGSSVSNTESVGERTESPDISQSKQDIERSVASPLMTRPLLEENIVLGVALEGSKRTLPIEEIEEEMDSSPFPLESKELAASQNAGQSPSGKDKKDSRDSS >Potri.008G224129.1.v4.1 pep chromosome:Pop_tri_v4:8:18972652:18976408:-1 gene:Potri.008G224129.v4.1 transcript:Potri.008G224129.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224129.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGRKGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPQGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRLGQSCGGLSAPARKTEARPRQQGRHATRSGIARRANAWARARQFNATPTPALRVSTCCRFCRFLANAAEADTAAESADTVPRAATAPVSKPAVFLVEPWTVQTHRQHLLPILPIPSQRCRSRHCCRICRHYSSPAPAADSADFVGAADSTTAPAVSKPALFRQRVPWTNFPAWPGQSIVQPMFVEKSALPIFPHVGMAAAAPLSGPTVFSVKPWTVNRPDSELIASTCCRFCRFLANAAEADTAAESADTVPRAATAPVSKPAVFLVEPWTIQPVQTHRQHLLPILPISSALPIPPLPPPSHVRREICAADFPPCWHGCRCPLVWTNSLFRQALDCKSSRLRVSTRCRFCRFLANAAEADTAAESADTVPRAATAPVSKPAVFLVEPLIASTCCRFCRFLANAAEADTAAESADTVPRAATAPVSKPAVFLVEPWTIQPVQTHRQHLLPILPISSALPIPPLPPPSHVRREICAADFPPCWHGCRCPLVWTNSLFRQALDCKSFRLRVSTRCRFCRLCRFRWLCRFLANAAEADTAAESADTVPRAATAPVSKPAVFLVEPWTVQTHRQHLLPILPIPSQRCRSRHCCRICRHSRPDSSPAPAADSADFVGAADSTTAPTVSKPALFRQRVPCTNFPVWPGQSIVQPMFVEKSALPIFPHVGMAAAAPMSGPTVFSVKPWTVNRPDSESAPAADSADFADFAGSADSALPIPQLHKSAPPIFPVGGMAATAPMSRPAVFSVKPWTVQFRDPGNAAGSLPSLRDAVPLEGLGGGRIGATWG >Potri.004G152700.3.v4.1 pep chromosome:Pop_tri_v4:4:17383047:17384642:1 gene:Potri.004G152700.v4.1 transcript:Potri.004G152700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152700.v4.1 MDSIVDSLNNAYQDFVGAAANVLEAKELSGGQKTAATDVALENFKQKWELFRVSCDQAEEFVESVKQRVGSECLVDEATGSVSGRSGQGGVTGLPPISAVRLEQMSKAVRWLVIELQHGSGAAGGAAGHAHPSAPFDARFSEDAAQ >Potri.007G102000.3.v4.1 pep chromosome:Pop_tri_v4:7:12577513:12584609:-1 gene:Potri.007G102000.v4.1 transcript:Potri.007G102000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102000.v4.1 MPEFCGSNLLLGLPDDVFAIISRSLSPRDMCTLSLCCRSLYDLVASEKVWRTQCDMVGIISHQDVMEWRKGVSSYRALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRILPQELGPLGIEEGPILWAPVFEIIGDLDGSTSFFLHGGEKGNDHVYPGSVKSVGRKCNVLLLEVEPRQQKGKLFPNKSFAYNSDKELARKLSRSHNGLSWSQRLIIQCEAKVPFSRLSFSDRRKLIEVVTSQVGQKVPDLENRLLFPRLRNDEENFQKDIALLFERRSFLLKICKLGQGFNWKEAPEVPSDPTQLQWSEIRKSLDRSSGSLNEDDNQMQSNTKKTLGGYLRAYIRHILGKSPSVNGSHAHSKHSSSSRESKHAQLHEFLRSGDTIGLTLHASKMRLSSYRAWPNIHDNQFALYKLPMRVPRADQEYAGLWGGTFGWPPGKPTEDKPGKALFFLLITYEESEGQRNLIATKILEGTHYVLHPNGSAMFVVNIDEPSQDPFPWDVDANSFPLTIKHAFAGEGIANGYGFRYPGSKPGSLFVIQNDLLAFVWKESRAVLNMQRLNLQELLKKGERVPALPPSDNFSYLTKSYSNVFAGFSTASTCLPSPRQKHL >Potri.007G102000.4.v4.1 pep chromosome:Pop_tri_v4:7:12579983:12584657:-1 gene:Potri.007G102000.v4.1 transcript:Potri.007G102000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102000.v4.1 MPEFCGSNLLLGLPDDVFAIISRSLSPRDMCTLSLCCRSLYDLVASEKVWRTQCDMVGIISHQDVMEWRKGVSSYRALCRFLVSVKPLIGIWVHQNPELGNVVYVMPGFVSVVGCRILPQELGPLGIEEGPILWAPVFEIIGDLDGSTSFFLHGGEKGNDHVYPGSVKSVGRKCNVLLLEVEPRQQKGKLFPNKSFAYNSDKELARKLSRSHNGLSWSQRLIIQCEAKVPFSRLSFSDRRKLIEVVTSQVGQKVPDLENRLLFPRLRNDEENFQKDIALLFERRSFLLKICKLGQGFNWKEAPEVPSDPTQLQWSEIRKSLDRSSGSLNEDDNQMQSNTKKTLGGYLRAYIRHILGKSPSVNGSHAHSKHSSSSRESKHAQLHEFLRSGDTIGLTLHASKMRLSSYRAWPNIHDNQFALYKLPMRVPRADQEYAGLWGGTFGWPPGKPTEDKPGKALFFLLITYEESEGQRNLIATKILEGTHYVLHPNGSAMFVVNIDEPSQDPFPWDVDANSFPLTIKHAFAGEGIANGYGFRYPGSKPGSLFVIQNDLLAFVWKESRAVLNMQRLNLQELLKKGERVPALPPSDNFSYLTKSYSNVFAGFSTASTCLPSPRQKHL >Potri.010G168100.1.v4.1 pep chromosome:Pop_tri_v4:10:17082147:17084154:1 gene:Potri.010G168100.v4.1 transcript:Potri.010G168100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168100.v4.1 MELTLIIYRYVLFTAFLFLVLVKLSHSFPSTQGKNLPYLTSDVEEVSGKSFDYIVVGGGTAGCPLAATLSERFSVLVIERGGSPYGNPLVSDKMYYGFPLIQPDEFSSVAQSFVSKDGVESHRGRVLGGSSAINGGFYSRASDDFVKTVGWDEELVKEAYEWVESNIVFKPELTIWQSVVELGLLEAGILPYNGFSMEHIEGTKIGGTLFDEYGIRHTSADLLEIGNPENIIVLLNATVKNIIFHVNGKGNESMVRGVRFIKSDGSTSQTYEAYLNQPENSSSWGDVILSAGALGSPQILLLSGIGPEKHLRNFGIPLVLDLKGVGKEMKDNPGIALLADTKPTHRFPDAPQVAGITKDMKFIVEGGIVPISFNATRMPIAIKLAFPESKGTLELNSTDPRQNPAVEFHYLEKEKDLEECTKMVQLLNKIAGSRSVVLFLGKEPQNNLMSSQDELRNFCKKNVRTYYHYHGGSTVGSVVDDDYKVHGIKGLRVIDGSTFLESPGTNPMATVLMLGRYQGIKIVRERQNTSVSCSPPQL >Potri.002G114900.4.v4.1 pep chromosome:Pop_tri_v4:2:8766682:8771812:-1 gene:Potri.002G114900.v4.1 transcript:Potri.002G114900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114900.v4.1 MLLLSQAPRGALSAKRRTPLKFVPQNPPLFLNSTKPKFISRKQSTFPLTLAKPEGGLDSTSATKQSLSTNNPPPSPPTPNDIVFVGQEDVPLEGVIQFEKPTSSGRLEKWGRVALLAGGDVLALLLFSAIGRFGHGFSVFDFETLRTADPFIAGWFLGAYFLGGYGEDGRGMNGLSKAAIAAAKSWATGIPLGLIIRAASSGHVPPSTFTLVTMGSTGVLLIGWRMLLSRVLSNDESKKNDVYRRGSPFELFELLTSLVRRW >Potri.019G100601.1.v4.1 pep chromosome:Pop_tri_v4:19:13695641:13696203:1 gene:Potri.019G100601.v4.1 transcript:Potri.019G100601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100601.v4.1 MPMKKPSLFAASVAAAAASAFPVSSSSSSCNSHVKEAGSNKDQQRSASMDKFAPRYDGLRFIETLVTAHR >Potri.006G094900.3.v4.1 pep chromosome:Pop_tri_v4:6:7205280:7206249:1 gene:Potri.006G094900.v4.1 transcript:Potri.006G094900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094900.v4.1 MSPDNGDGLAFVVVPSGFNASVFDNTPFGLYLGPEKSSPKFVAVEFDTMRDAKFGDLNDNHVGIDVGGFVSVKVRDVSSNNMVLNSGKRLHSWIDYEAGSKTLEVRLSHSGDIKPIDPLLSHPIDLSKTWNDEKVLIGLTSSNGNSSQTCFLHSWNFKLRRVPLWMHSQPLDPQDFAKHEKPMVVQKKSGCILKMLTAMIFGTACGAMGAFMVLYLWTIFGNRRPVMPEECSVPPVDFEYKKVKVIVDKAIEDGKH >Potri.006G094900.4.v4.1 pep chromosome:Pop_tri_v4:6:7204879:7206213:1 gene:Potri.006G094900.v4.1 transcript:Potri.006G094900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094900.v4.1 MAKLSISTCLTVLTFMIFHLKMLHAVSSYSFSFGSFDKDPNFESSIALYGDAKVVGNSSSLQLTRPVSLSAGRVMYKQPIKLVEGNPGNLVSFSTYFSFLMSPDNGDGLAFVVVPSGFNASVFDNTPFGLYLGPEKSSPKFVAVEFDTMRDAKFGDLNDNHVGIDVGGFVSVKVRDVSSNNMVLNSGKRLHSWIDYEAGSKTLEVRLSHSGDIKPIDPLLSHPIDLSKTWNDEKVLIGLTSSNGNSSQTCFLHSWNFKLRRVPLWMHSQPLDPQDFAKHEKPMVVQKKSGCILKMLTAMIFGTACGAMGAFMVLYLWTIFGNRRPVMPEECSVPPVDFEYKKVKVIVDKAIEDGKH >Potri.006G228400.1.v4.1 pep chromosome:Pop_tri_v4:6:23223669:23226759:-1 gene:Potri.006G228400.v4.1 transcript:Potri.006G228400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228400.v4.1 MLSKQNFLSIFFFFSCIFSSSLSNPDFITLLSFKSSLLDSSNALSTWVNSTNPCIDSWLGVTCHPTTHRVTKLVLENLNLTGSIDALSQLTQLRLLSLKQNHLSSAFDLNFSSLKSLKLLYLSHNRLSGNFPSGIHSLRRLRRLDLSYNYFYGEIPFPELAQMPRLLTLRLDFNSFTGKIGPFSLFPSGSILEFNVSNNFLSGEIPAIFSRFPVSSFSGNKNLCGKPLALDCFHRTVESEPAKPGDVGMKNKKKKGVSDWAVFLIITVDAVTILAALVTITCCCYFKKRRNSGAQERIKRKVRPAGSLNSMGGFYGAGAGGGRDEVMVVFDGCKGFGDVDDLLKSSAELLGKGFAGTTYKVVVDGGDMMVVKRVRERRKRKEVDSWLRIIGGLRHSNIVSLRAYYDSNEELLLVYDFLPNGSLHSLLHGNRGPGRTPLDWTTRLKLASGSALGLAFLHGYNKAKHFHGNLTSSNIVVDHLGNACVSDIGLHQLLHAASISNNGYKAPELMPNNQNNVSQRRFTQKCDVYSFGVILLEILTGKMPNGEGETSLVKWVQRVAREEWTWEVFDFELLRYKEMEEEMVGLMQVALLCLAPFPRDRPKMSMVHMMIEDIRTKGGRQLGDRSSIMNDLSSDSSPSLSESTLNFTSGS >Potri.009G041000.7.v4.1 pep chromosome:Pop_tri_v4:9:4849068:4851821:-1 gene:Potri.009G041000.v4.1 transcript:Potri.009G041000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041000.v4.1 MANKISSNFSDLIQRVAASCLLHPLAAGRQESGNNVAGNGEEVCEYETDECEELSEEEQEMREKGGRVNGNWDFNVTSGMVVPMERVMEMEMLMNEVFDSVSAMKRAYGSLQEAHCPWDPERLRVADVAVVGELRRLAFLRERFRRCVSVGSDGGRRRGVGVGGDGVGMLREVVAPYEAAVEDLKKEVKAREVEVENLKEKLRSVTSLSSDGSGKKGRSQSRRKVSCSLGVQVAAAPAPELFELTMSQVKRTSKSFTSLLLTFMRAAHWDIAAAVRSIEAATTTTDNLTTSTTAITSTIASHHAKYALESYISRKVFQGFDHETFYMDGSLSSLLNPDQFRRDCFAQYRDMKAMDPIELLGILPTCHFGKFCSKRYLEIVHPKMEESLFGNLEQRQQVLTGSHPRSEFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAEFHPQYMESVVKFSSGRIPAGHIVGFPVSPGFKLGNRSVIKASVYLFPRA >Potri.009G041000.1.v4.1 pep chromosome:Pop_tri_v4:9:4849074:4854013:-1 gene:Potri.009G041000.v4.1 transcript:Potri.009G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041000.v4.1 MPLETFYINSYVRLSSLQYSLSQITLLANLILSDPIPPVLETMFSGIFLCSMRPDSARKKKSSQLEAEDNISSSSNNNLNFLYSFPLDRDPVVSVKPARLTASRSGHNHNPRNCEVKPKKKGEMANKISSNFSDLIQRVAASCLLHPLAAGRQESGNNVAGNGEEVCEYETDECEELSEEEQEMREKGGRVNGNWDFNVTSGMVVPMERVMEMEMLMNEVFDSVSAMKRAYGSLQEAHCPWDPERLRVADVAVVGELRRLAFLRERFRRCVSVGSDGGRRRGVGVGGDGVGMLREVVAPYEAAVEDLKKEVKAREVEVENLKEKLRSVTSLSSDGSGKKGRSQSRRKVSCSLGVQVAAAPAPELFELTMSQVKRTSKSFTSLLLTFMRAAHWDIAAAVRSIEAATTTTDNLTTSTTAITSTIASHHAKYALESYISRKVFQGFDHETFYMDGSLSSLLNPDQFRRDCFAQYRDMKAMDPIELLGILPTCHFGKFCSKRYLEIVHPKMEESLFGNLEQRQQVLTGSHPRSEFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAEFHPQYMESVVKFSSGRIPAGHIVGFPVSPGFKLGNRSVIKASVYLFPRA >Potri.009G041000.2.v4.1 pep chromosome:Pop_tri_v4:9:4848963:4851891:-1 gene:Potri.009G041000.v4.1 transcript:Potri.009G041000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041000.v4.1 MANKISSNFSDLIQRVAASCLLHPLAAGRQESGNNVAGNGEEVCEYETDECEELSEEEQEMREKGGRVNGNWDFNVTSGMVVPMERVMEMEMLMNEVFDSVSAMKRAYGSLQEAHCPWDPERLRVADVAVVGELRRLAFLRERFRRCVSVGSDGGRRRGVGVGGDGVGMLREVVAPYEAAVEDLKKEVKAREVEVENLKEKLRSVTSLSSDGSGKKGRSQSRRKVSCSLGVQVAAAPAPELFELTMSQVKRTSKSFTSLLLTFMRAAHWDIAAAVRSIEAATTTTDNLTTSTTAITSTIASHHAKYALESYISRKVFQGFDHETFYMDGSLSSLLNPDQFRRDCFAQYRDMKAMDPIELLGILPTCHFGKFCSKRYLEIVHPKMEESLFGNLEQRQQVLTGSHPRSEFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAEFHPQYMESVVKFSSGRIPAGHIVGFPVSPGFKLGNRSVIKASVYLFPRA >Potri.009G041000.6.v4.1 pep chromosome:Pop_tri_v4:9:4849023:4851821:-1 gene:Potri.009G041000.v4.1 transcript:Potri.009G041000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041000.v4.1 MANKISSNFSDLIQRVAASCLLHPLAAGRQESGNNVAGNGEEVCEYETDECEELSEEEQEMREKGGRVNGNWDFNVTSGMVVPMERVMEMEMLMNEVFDSVSAMKRAYGSLQEAHCPWDPERLRVADVAVVGELRRLAFLRERFRRCVSVGSDGGRRRGVGVGGDGVGMLREVVAPYEAAVEDLKKEVKAREVEVENLKEKLRSVTSLSSDGSGKKGRSQSRRKVSCSLGVQVAAAPAPELFELTMSQVKRTSKSFTSLLLTFMRAAHWDIAAAVRSIEAATTTTDNLTTSTTAITSTIASHHAKYALESYISRKVFQGFDHETFYMDGSLSSLLNPDQFRRDCFAQYRDMKAMDPIELLGILPTCHFGKFCSKRYLEIVHPKMEESLFGNLEQRQQVLTGSHPRSEFYGEFLGLAKAIWLLHLLAFSLDPAPSQFEASRGAEFHPQYMESVVKFSSGRIPAGHIVGFPVSPGFKLGNRSVIKASVYLFPRA >Potri.001G441040.1.v4.1 pep chromosome:Pop_tri_v4:1:46647522:46652173:1 gene:Potri.001G441040.v4.1 transcript:Potri.001G441040.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G441040.v4.1 MLLCFCFLVHECYLPWLAGSTDSICSLYQGGDSLNSSTTLVSKNGLFTLGFTRLGSAESNVSYLGIWYTNDMSHPFWLANRDKSIADNSGVLGIDGSGNMKLTYSGGDLVDFYSSRSSTTNLTAVLEDSGNVVLKDANSNQILWQSFDHPTDKTKMRYGMRLGKEICNLQGRMNKRQSNGVMLEMMTSKMTIDLNVFSSLMKNRVVSNLNRTLVVTIHRNKYYLTVFLLGMKLGINRRTGQSWYLTSWLSNMVPASGAFTFEWEPNGQQLVIELRGELFWTSGSLRRLVYSFLNVSKADEDYFTFTADKLDIFSTQEDEMIFSRWNLSSDGGIIGNIMEAYLEAQPFLHDLMTMDTTNDIYELENDGNKGHNLKVYSAAKIMASTSNFASENKLGQGGFGLVYKGKLPEGREIAVKRLSRSSGQGLVEFKNELILIAKLQHMNLVRLLGCCIQGEEKMLVYVYMPNKSLNLFIFVLRSRIIHRDLKAGNILLDENLNPKISDFGMARIFKINDLQANTNQIVGTRGYMSPEYVMEGIFSVYNVFSFGVLLEIVSGRRIQGLLEIDGHPLILAWELWKAGTSFEMMDPILRESCFKDQVLRCINVGLLCVEDTAIDRPTMSDVVSMLTSEAQLPLPKQRAFSNPRTAIDDAAMSLRNPESSSRNYLSTSIMYGR >Potri.001G013101.1.v4.1 pep chromosome:Pop_tri_v4:1:911971:913301:1 gene:Potri.001G013101.v4.1 transcript:Potri.001G013101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013101.v4.1 MLLCYLFFLMELSILDLLTATTHIQGTCSTFQLLNTFQVFFLPVIISYELLNKGFNFLYIYFILGGFGGSC >Potri.001G340200.1.v4.1 pep chromosome:Pop_tri_v4:1:34918701:34921714:1 gene:Potri.001G340200.v4.1 transcript:Potri.001G340200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340200.v4.1 MAGLPLRHPAQGFNASQQLIKSDRGSMLTMSDDNVMMKQIVGTHAPDGREVDVKPLLLLVEDILKRATLQIDSSLTTSQAHAEMEDKTSHVNFVSMLDALSYTIDRISSEIAYKALGGTDAHATTVSLFNMLTSYSWDAKLVLTLSAFALNYGEFWLLAQIYSSNDLAKSMAILRQLPSIMEHSGPLKPRFDAINNLIKVMMDVARCMVEFKDLPPAYISNEVPALSTAMAHIPTAVYWTMRSVVACAAQITSLTTMGHEFSISTTDAWELSTLAHKLSNILDHLRKQLDTCYQYIDEKRNVESFQMLKNLFEMIHIDNMKVLKALIYAKDDIQPLIDGSSKKRVHLDVLRRKNVLLLISGLDMPTDELSILEQIYNESRHHGPRLDNQYEVVWVPIVDRSVQWSDPMKGKFESMQSSMPWFTVYHPSLIEKAVIRFIKEVWHFRNKPILVVLDPQGKVVCPNALHMMWIWGSNAFPFTSLREESLWKDETWRLELLVDGIDPVILNWINEGKYIFLYGGDDDEWVRKFTNTARAVAQAARIPLEMVYVGKSSKREKIRRVIATITVEKLSYVWQDLTMMWFFWTRLESMLYSKIQLGKLDDHDPMMQEIKKLLSYGREGGWAVLSNGSNVVVNGHKTTVLQTLLEYDLWKEQVPVKGFDMAFQDHHFQLRGIARPCCRFDFPMTTGRIPETMKCPECNSTMEKFSTFLCCHDEVIPDELSK >Potri.009G034500.1.v4.1 pep chromosome:Pop_tri_v4:9:4476113:4478968:-1 gene:Potri.009G034500.v4.1 transcript:Potri.009G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034500.v4.1 MGAPVPASPGILLTILLFAMSCLWAFPEVAGAKHAGITRHYKFNIKLTNVTRLCHTKSMVTVNGKFPGPRVVAREGDRLVVKVVNHVPNNISIHWHGIRQLQSGWADGPAYITQCPIQTNQTYVYNFTVTGQRGTLFWHAHLSWLRASVYGPLIIFPKRNVSYPFAKPHKEVTIMLGEWFNADPEAVIRQALQTGGGPNVSEAYTFNGLTGPLYNCSANNTYKLKVKPGKTYLLRLINAALNDELFFSIANHTFTVVEVDATYVKPFETNLLVITPGQTTNVLLKTKPIAPNASFYMLARPYFTGQGTFDNTTVAGILEYETSSNSTTFKPTLPPINATNAVANFTRKLRSLANFQFPVNVPQTVDKKFFFTVGLGNNPCPKNQTCQGPNGTKFSASVNNISMALPSTALLQSYFFKKSNGVYTSDFPSSPLHPFNYTGTPPNNTFVTNGTKLIVLPFNTNVEVVMQGTSILGAESHPLHLHGFNFYVVGEGFGNFDPNNDPKNFNLVDPVERNTVGVPSGGWVAIRFHADNPGVWFMHCHFDVHLSWGLRMAWIVLDGTLPSQKLPPPPSDLPKC >Potri.010G221200.1.v4.1 pep chromosome:Pop_tri_v4:10:20631375:20634029:1 gene:Potri.010G221200.v4.1 transcript:Potri.010G221200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221200.v4.1 MVIQRCLSGSVITITVFLLLLVLPVNVLGYGSLGPIAAAFGENGFFCAIDAGGKQEIICWDKGDNFSVSTSTAYFSSLPAMASLSGGEEFICGITSNNSQAFCFNLSNPGNNLVPKSFQYNSYSQIASGKYHACAIKGSYFSSVEYGNVDCWEFNQTSFDSFHNSYIDSLVFRRIASGDGFSCGVIKEGGLVCWGPRSANLGVSAVSGEFEILASGRGSVCGVSNVSSEVECWGNSDELGSLPVGTRFVGLSAGARHFCGVREDNHEVECWGNFDLASIPKGSGFMAIASSDYATCGVREVDLVLDCWGVHGKSLPDYSPPLQLCSPGVCWPSSCGHGKFAFNASLLNEPELTNLCVRKDLKICLPCGTNCSEGYFPSSTCSENADRICTACSLCQNSSCWDVCGLHSSPGVKLQEQDIKKLVIIIGSSVSGSMLILIVWCCIPRIFKTKDDQNIKYHRSFCIGKKVVEAEPDPNPEPPLSISMCIGETQVFRLSDLKDATHGFKEFSELGRGSFGFVYKAILTDGRQVAVKRANAATIIHTNSREFEAELEILCTVRHSNIVNLLGYCAEMGERLLVYEYMPHGTLHDHLHGELSPLDWNLRLKLSLQAARGLEYLHNEVAPPIVHRDVKTSNILLDSEWVARIADFGMVSANDRDVNGDVESDVYNFGIVLLEMLTGRKAYDRDYEPPGIVEWALPLMRRGRAAAIIDRNVPLPRNVEPLLKLADVAELTLRENTSERPSMSNVATLLDQIVKIGLIF >Potri.009G138400.5.v4.1 pep chromosome:Pop_tri_v4:9:11115836:11120030:-1 gene:Potri.009G138400.v4.1 transcript:Potri.009G138400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138400.v4.1 MGVLRNSPKASPIKKSATLQHLFDLEANNSNGTKIYSPKSSKQSITESEYGEILSIISHCCSIFTFTDPLESPSEQDVKRLKLFQLLSFMKKPKKPLPEEIIRPLVSMLSANLFRPLPPSAKRTVVCELPEDEDLVSTLSPAWPHLQVVYDILLRLVLSIDPKVLRGYVDERFLVNLLSVFQTEDRRERDNLKNVYHRIYSKFTFYRAIMRKSMKDVFLHYVFESEKHSGIGELLEIWGSIINGFTVPLKEEHKLFLMRVLIPLHKAKGMPVYHRQLAYCVNQFVQKEPMLGGTVVRGILKYWPATNCQKEVLLIDELEELVENIDPDHYRKLALLICTQISRCLNSLNSQVAERAIYVWNNEQFVKMASSMMEEVFPVVVESVEKNLKWHWSKSVKQLTENVKTMIEEMDPNLYDKCLEEIAHKEYLAGQEDIKRKENWEREKIEKETNTGETMAIVSGLPKQFSGLVFPHDYKLPFGIQRCSNEVKFSDHGAVQLEFRRVNLPFASSF >Potri.009G138400.1.v4.1 pep chromosome:Pop_tri_v4:9:11117789:11120030:-1 gene:Potri.009G138400.v4.1 transcript:Potri.009G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138400.v4.1 MGVLRNSPKASPIKKSATLQHLFDLEANNSNGTKIYSPKSSKQSITESEYGEILSIISHCCSIFTFTDPLESPSEQDVKRLKLFQLLSFMKKPKKPLPEEIIRPLVSMLSANLFRPLPPSAKRTVVCELPEDEDLVSTLSPAWPHLQVVYDILLRLVLSIDPKVLRGYVDERFLVNLLSVFQTEDRRERDNLKNVYHRIYSKFTFYRAIMRKSMKDVFLHYVFESEKHSGIGELLEIWGSIINGFTVPLKEEHKLFLMRVLIPLHKAKGMPVYHRQLAYCVNQFVQKEPMLGGTVVRGILKYWPATNCQKEVLLIDELEELVENIDPDHYRKLALLICTQISRCLNSLNSQVAERAIYVWNNEQFVKMASSMMEEVFPVVVESVEKNLKWHWSKSVKQLTENVKTMIEEMDPNLYDKCLEEIAHKEYLAGQEDIKRKENWERLELAAAKNHQFFQPQKCIYVSH >Potri.009G138400.4.v4.1 pep chromosome:Pop_tri_v4:9:11117701:11120138:-1 gene:Potri.009G138400.v4.1 transcript:Potri.009G138400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138400.v4.1 MGVLRNSPKASPIKKSATLQHLFDLEANNSNGTKIYSPKSKQDVKRLKLFQLLSFMKKPKKPLPEEIIRPLVSMLSANLFRPLPPSAKRTVVCELPEDEDLVSTLSPAWPHLQVVYDILLRLVLSIDPKVLRGYVDERFLVNLLSVFQTEDRRERDNLKNVYHRIYSKFTFYRAIMRKSMKDVFLHYVFESEKHSGIGELLEIWGSIINGFTVPLKEEHKLFLMRVLIPLHKAKGMPVYHRQLAYCVNQFVQKEPMLGGTVVRGILKYWPATNCQKEVLLIDELEELVENIDPDHYRKLALLICTQISRCLNSLNSQ >Potri.009G138400.2.v4.1 pep chromosome:Pop_tri_v4:9:11117789:11120138:-1 gene:Potri.009G138400.v4.1 transcript:Potri.009G138400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138400.v4.1 MGVLRNSPKASPIKKSATLQHLFDLEANNSNGTKIYSPKSKQDVKRLKLFQLLSFMKKPKKPLPEEIIRPLVSMLSANLFRPLPPSAKRTVVCELPEDEDLVSTLSPAWPHLQVVYDILLRLVLSIDPKVLRGYVDERFLVNLLSVFQTEDRRERDNLKNVYHRIYSKFTFYRAIMRKSMKDVFLHYVFESEKHSGIGELLEIWGSIINGFTVPLKEEHKLFLMRVLIPLHKAKGMPVYHRQLAYCVNQFVQKEPMLGGTVVRGILKYWPATNCQKEVLLIDELEELVENIDPDHYRKLALLICTQISRCLNSLNSQVAERAIYVWNNEQFVKMASSMMEEVFPVVVESVEKNLKWHWSKSVKQLTENVKTMIEEMDPNLYDKCLEEIAHKEYLAGQEDIKRKENWERLELAAAKNHQFFQPQKCIYVSH >Potri.009G138400.3.v4.1 pep chromosome:Pop_tri_v4:9:11117753:11120030:-1 gene:Potri.009G138400.v4.1 transcript:Potri.009G138400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138400.v4.1 MGVLRNSPKASPIKKSATLQHLFDLEANNSNGTKIYSPKSSKQSITESEYGEILSIISHCCSIFTFTDPLESPSEQDVKRLKLFQLLSFMKKPKKPLPEEIIRPLVSMLSANLFRPLPPSAKRTVVCELPEDEDLVSTLSPAWPHLQVVYDILLRLVLSIDPKVLRGYVDERFLVNLLSVFQTEDRRERDNLKNVYHRIYSKFTFYRAIMRKSMKDVFLHYVFESEKHSGIGELLEIWGSIINGFTVPLKEEHKLFLMRVLIPLHKAKGMPVYHRQLAYCVNQFVQKEPMLGGTVVRGILKYWPATNCQKEVLLIDELEELVENIDPDHYRKLALLICTQISRCLNSLNSQ >Potri.001G089400.1.v4.1 pep chromosome:Pop_tri_v4:1:7091430:7093653:-1 gene:Potri.001G089400.v4.1 transcript:Potri.001G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089400.v4.1 MEPKLRMQIKETVREILEESDMETTTEHQIRRLASNKLDLDLDKSEYKTYVRHVVNSFLEEQKAKQEDDEEETGKQEQEYDDEGNLVICRLSAKRKVTIQNFRGANLVSIREYYYDGGAERPTTKGISLNEEQWSTLRKNIPAIEKAVKDMQDRDM >Potri.016G120532.2.v4.1 pep chromosome:Pop_tri_v4:16:12525785:12528285:1 gene:Potri.016G120532.v4.1 transcript:Potri.016G120532.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120532.v4.1 MFNCYLSGPLDASLAKLQSLSIIRLSSNNLSSPVPEFLANYSKLTALQLSSCQLNGIFPQAIFQVPTLEILDLSDNKFLQGSFPEFHQNLSLQTLLLSNTNFSGTLPQSIGELQKLSRIELVGEIPSSHWEGLRSLTHVDLGYNAFNGSIPSSLFAIPSLQKIQLSNNRFGGQIPEFPNVSSSLLDTLDLSSNNLLEGSIPSSVFGLAKLNVLELSSNKLNGTLQLHWIQKLLNLTTLGLSYNNLRVNASGGNSNISSLPQIKKLRLASCDLGMFPDLRNQSKLFHLDLSDNQITGPVPGWISELSLLQYLNLSRNLLVDLERPLSLLGLSILDLHHNQLQGSIPVPPSFITYVDYSSNNFSSSIPPNIGNYFNFTFFFSLSNNHLIGAIPQSICSSEWLQVLDLSNNSLSGAIPSCLIEKIKTLRVLNLRRNNFDGIIPDKFPRSCELKTLDLSGNNLQGQVPKSLANCTMLEVLDLGNNQINDSFPCLLKNISSFRVLVLRNNMFSGPIGCPQIEGTWPRLQIVDLAFNHFRGNLPDICLKTWEGMMEGGNRSLEHIRYDPLKLTNGLYYQDSITVTLKGLELELVKIPTVFTSADFSSNNFEGPIPDAIGQFNVLYVLNLSHNVLTGQIPSSLGNLSQLESLDLSSNQLSGQIPAQLTSLTFLSVLNLSYNRLVGRIPTGNQFLTFSSDSFEGNQGLCGPPLILSCNYTFVSNSGIDIDWVFLSAGLGYIFGSGIIVLPLMFCKRWRTWYYTHVNRVIFRIFPQLEKRRKNLGRRAQRNRRRQ >Potri.002G021600.4.v4.1 pep chromosome:Pop_tri_v4:2:1350892:1357773:1 gene:Potri.002G021600.v4.1 transcript:Potri.002G021600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021600.v4.1 MDSPRISPKIISPNSVVQSKREPKTILRILSCRAMDSAIATSLPDSPCMSKEHGSSSPDSDGEVIGLLRNVRKNRDRTRRMSCDNVSGQIFENSSLIQSDEGRESCISLPTSEKLTPRSRPRKDFVCPITGKLLNDPVTLETGETYEREAIQEWIKRGNTTCPITRQPLSADSLPKTNYVLKRLITYWKEQHPEFAQEFSYSETPRNSSTRETSLVSNPRRTFYSYGRNSTECHTQQRSKRFVRTAVSTSPTSEISQATIETIVNGLKPYISCLCTSENLEEREAAVSAVAKLWKDSKGDPAVLTFLSKPTFVNGIVEILSASVNRDALKTSIYILSELTFLDESVGEILTSVDYDFDCLAALLKNGLAEAVVLIYQLRPAFAQLSAHNFIPSLVQLIQSKSEDLDDLHFAIEPKDAAIAVLEQILTGGDENSQSVSAFAVIRANGIPALVKCLDRVEGRWSIVSILLCCMRADRSYRNFIASTVEPSPVLELFLSGNDNVRGLCIDFLSELVHLSRRTTCNQILQIIKEGGAFSTMHTFLVYLQMAPMKHKPSLATLLLQLDLLAEPRKMSIYREEAVEALIEALHRKEFSNSQMMALDALGSLSARRTSSGDLYMETWLLKIAGFDQPYNALMKPEKLTKNENDLAETNLAESMEDEERAESSWEKRVAFVLCNHEKGSIFKALQECFKSNSLETAKSCLVISTWLIYMLSVLPDTGVKSAARESLLEELINVLQSSRNMEDKILSTLALRTFVSDPAALEALGKYAKCIYRTLRKLKRSSPVVTDVLKSLMKMSSVNATELWNCTEVVEVDSCVNGKLLSLLHLEGRVISSHSDGTIKVWDAGKRVLRLIQEVREHTKAVTCLYIPSSGDKLYSGSLDKTIRVWAIKPEEIRCIQVHDVKEAVYELAANDKVACFVSQGPGVKVYSWSDVPKHINFNRTVKCLAMTGDILYCGASGYSIQEVDLSKFTSTTFYSGTRKMLGKQSIYSLHVQDGLLFAGGSAVDGTAGKVFCHTSKAVTGSFPTGFDILRISVNGDFIFTATRSGTIEVWLRERVTRVASIEVGGGGHTRVTCLASDMDGGMLYAGTSDGKIQAWALD >Potri.002G021600.3.v4.1 pep chromosome:Pop_tri_v4:2:1350879:1357793:1 gene:Potri.002G021600.v4.1 transcript:Potri.002G021600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021600.v4.1 MAGSYGFAMDQKDIVSLLITTVGSFIQDRLVSKEQRTQHKEQCAERLAAEDGSCDKDTEVLYSDQAVLANLDWGIEALEEAIDTSNTETKLARLDHAEKMLQVCAMLNSYQRTAGVPNFYLSAWAHLYLSYLWNLRNNTENSVLHVLEMFIVDPFFSRIDFAPELWKDLFLPHTSSIVGWYSEARQRLMMEVIPDSSDLSFTANLDQFFNESLIYSMRPDQVEKLQKLEELYRESLDEKTRLFAKYYKDCMNSDSTARKKMVPMFPIAEPPVTPLHEVSRSIPDYVKFGPILPHSAGFSPVLRFKHAAKAESRLGIISSLSPNLEESSATCDPPPEGKPEDRENDSDCEPSDTYFDSEDKNHKLTTLPSIKSTENKDIGVSKRPSKAKSQKHSPKICSPMDSPRISPKIISPNSVVQSKREPKTILRILSCRAMDSAIATSLPDSPCMSKEHGSSSPDSDGEVIGLLRNVRKNRDRTRRMSCDNVSGQIFENSSLIQSDEGRESCISLPTSEKLTPRSRPRKDFVCPITGKLLNDPVTLETGETYEREAIQEWIKRGNTTCPITRQPLSADSLPKTNYVLKRLITYWKEQHPEFAQEFSYSETPRNSSTRETSLVSNPRRTFYSYGRNSTECHTQQRSKRFVRTAVSTSPTSEISQATIETIVNGLKPYISCLCTSENLEEREAAVSAVAKLWKDSKGDPAVLTFLSKPTFVNGIVEILSASVNRDALKTSIYILSELTFLDESVGEILTSVDYDFDCLAALLKNGLAEAVVLIYQLRPAFAQLSAHNFIPSLVQLIQSKSEDLDDLHFAIEPKDAAIAVLEQILTGGDENSQSVSAFAVIRANGIPALVKCLDRVEGRWSIVSILLCCMRADRSYRNFIASTVEPSPVLELFLSGNDNVRGLCIDFLSELVHLSRRTTCNQILQIIKEGGAFSTMHTFLVYLQMAPMKHKPSLATLLLQLDLLAEPRKMSIYREEAVEALIEALHRKEFSNSQMMALDALGSLSARRTSSGDLYMETWLLKIAGFDQPYNALMKPEKLTKNENDLAETNLAESMEDEERAESSWEKRVAFVLCNHEKGSIFKALQECFKSNSLETAKSCLVISTWLIYMLSVLPDTGVKSAARESLLEELINVLQSSRNMEDKILSTLALRTFVSDPAALEALGKYAKCIYRTLRKLKRSSPVVTDVLKSLMKMSSVNATELWNCTEVVEVDSCVNGKLLSLLHLEGRVISSHSDGTIKVWDAGKRVLRLIQEVREHTKAVTCLYIPSSGDKLYSGSLDKTIRVWAIKPEEIRCIQVHDVKEAVYELAANDKVACFVSQGPGVKVYSWSDVPKHINFNRTVKCLAMTGDILYCGASGYSIQEVDLSKFTSTTFYSGTRKMLGKQSIYSLHVQDGLLFAGGSAVDGTAGKVFCHTSKAVTGSFPTGFDILRISVNGDFIFTATRSGTIEVWLRERVTRVASIEVGGGGHTRVTCLASDMDGGMLYAGTSDGKIQAWALD >Potri.002G021600.2.v4.1 pep chromosome:Pop_tri_v4:2:1350872:1357793:1 gene:Potri.002G021600.v4.1 transcript:Potri.002G021600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021600.v4.1 MAGSYGFAMDQKDIVSLLITTVGSFIQDRLVSKEQRTQHKEQCAERLAAEDGSCDKDTEVLYSDQAVLANLDWGIEALEEAIDTSNTETKLARLDHAEKMLQVCAMLNSYQRTAGVPNFYLSAWAHLYLSYLWNLRNNTENSVLHVLEMFIVDPFFSRIDFAPELWKDLFLPHTSSIVGWYSEARQRLMMEVIPDSSDLSFTANLDQFFNESLIYSMRPDQVEKLQKLEELYRESLDEKTRLFAKYYKDCMNSDSTARKKMVPMFPIAEPPVTPLHEVSRSIPDYVKFGPILPHSAGFSPVLRFKHAAKAESRLGIISSLSPNLEESSATCDPPPEGKPEDRENDSDCEPSDTYFDSEDKNHKLTTLPSIKSTENKDIGVSKRPSKAKSQKHSPKICSPMDSPRISPKIISPNSVVQSKREPKTILRILSCRAMDSAIATSLPDSPCMSKEHGSSSPDSDGEVIGLLRNVRKNRDRTRRMSCDNVSGQIFENSSLIQSDEGRESCISLPTSEKLTPRSRPRKDFVCPITGKLLNDPVTLETGETYEREAIQEWIKRGNTTCPITRQPLSADSLPKTNYVLKRLITYWKEQHPEFAQEFSYSETPRNSSTRETSLVSNPRRTFYSYGRNSTECHTQQRSKRFVRTAVSTSPTSEISQATIETIVNGLKPYISCLCTSENLEEREAAVSAVAKLWKDSKGDPAVLTFLSKPTFVNGIVEILSASVNRDALKTSIYILSELTFLDESVGEILTSVDYDFDCLAALLKNGLAEAVVLIYQLRPAFAQLSAHNFIPSLVQLIQSKSEDLDDLHFAIEPKDAAIAVLEQILTGGDENSQSVSAFAVIRANGIPALVKCLDRVEGRWSIVSILLCCMRADRSYRNFIASTVEPSPVLELFLSGNDNVRGLCIDFLSELVHLSRRTTCNQILQIIKEGGAFSTMHTFLVYLQMAPMKHKPSLATLLLQLDLLAEPRKMSIYREEAVEALIEALHRKEFSNSQMMALDALGSLSARRTSSGDLYMETWLLKIAGFDQPYNALMKPEKLTKNENDLAETNLAESMEDEERAESSWEKRVAFVLCNHEKGSIFKALQECFKSNSLETAKSCLVISTWLIYMLSVLPDTGVKSAARESLLEELINVLQSSRNMEDKILSTLALRTFVSDPAALEALGKYAKCIYRTLRKLKRSSPVVTDVLKSLMKMSSVNATELWNCTEVVEVDSCVNGKLLSLLHLEGRVISSHSDGTIKVWDAGKRVLRLIQEVREHTKAVTCLYIPSSGDKLYSGSLDKTIRVWAIKPEEIRCIQVHDVKEAVYELAANDKVACFVSQGPGVKVYSWSDVPKHINFNRTVKCLAMTGDILYCGASGYSIQEVDLSKFTSTTFYSGTRKMLGKQSIYSLHVQDGLLFAGGSAVDGTAGKVFCHTSKAVTGSFPTGFDILRISVNGDFIFTATRSGTIEVWLRERVTRVASIEVGGGGHTRVTCLASDMDGGMLYAGTSDGKIQAWALD >Potri.018G128300.1.v4.1 pep chromosome:Pop_tri_v4:18:13930357:13933792:1 gene:Potri.018G128300.v4.1 transcript:Potri.018G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128300.v4.1 MSSVVVLDNGGGLIKAGYGGERDPSTIIPNCLYRPLSSKKFLHPTPTTEEDLTSAAVRRPIDRGYLINPDLQRDIWNHLFSNLLHINPSNSSLLLTEPLFSLPSIERATDELVFEDFGFNSLFISDPPKLVHLYEASRRPYGLVSKAQCSLVVDCGFSFTHAAPVFQNFTLNYGVKRIDLGGKALTNFLKELVSYRSVSVMDESFIMDDVKEKLCFVSLDVARDLKIARRRGNDNFFRCTYVLPDGVTHTKGFVKDPDEAKKYLTVDDGAYLETRKDMDRTEIMDRKKVDLTKNEFDLTNERFLVPEMIFHPADLGMNQAGLAECIVRAVNSCHPLLHPLLYQSIILTGGSTLFPRFAERLEMELRPLVPDDYQVKITTQEDPILGVWRGGSLLASSPDFEAMCVTKAEYEELGSARCRRRFFH >Potri.018G128300.3.v4.1 pep chromosome:Pop_tri_v4:18:13930347:13933670:1 gene:Potri.018G128300.v4.1 transcript:Potri.018G128300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128300.v4.1 MSSVVVLDNGGGLIKAGYGGERDPSTIIPNCLYRPLSSKKFLHPTPTTEEDLTSAAVRRPIDRGYLINPDLQRDIWNHLFSNLLHINPSNSSLLLTEPLFSLPSIERATDELVFEDFGFNSLFISDPPKLVHLYEASRRPYGLVSKAQCSLVVDCGFSFTHAAPVFQNFTLNYGVKRIDLGGKALTNFLKELVSYRSVSVMDESFIMDDVKEKLCFVSLDVARDLKIARRRGNDNFFRCTYVLPDGVTHTKGFVKDPDEAKKYLTVDDGAYLETRKDMDRTEIMDRKKVDLTKNEFDLTNERFLVPEMIFHPADLGMNQAGLAECIVRAVNSCHPLLHPLLYQSIILTGGSTLFPRFAERLEMELRPLVPDDYQVKITTQEDPILGVWRGGSLLASSPDFEAMCVTKAEYEELGSARCRRRFFH >Potri.009G008200.3.v4.1 pep chromosome:Pop_tri_v4:9:1593939:1606022:-1 gene:Potri.009G008200.v4.1 transcript:Potri.009G008200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G008200.v4.1 MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGLHRQPISFEDSPEWDTDIDVRLEEGGDSINVATAPASPSLSKLNSGSLPSPPLPEGAVVARKNLGASVVWKDLTVTIKGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSARMYGEVFVNGAKSRMCYGSYGFVERETALIGSLTVQEYLYYSALLQLPGFFCQKKSVVEDAIRAMSLSDYANKLIGGHCYFKGLPSGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASMGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLSCLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGDFSSVNMDTAVAIRTLEATYKSSANAAAVETMILRLTEREGPLLKSKGKASNATRIAVLTWRSLLTMSREWKYYWLRLILYMLLALCIGTVFSGLGHSLSSVVARVAAIFVFVSFTSLLSIAGVPALLNEIKIYACEESNRHSGALVFLLGQLLSSIPFLFLISISSSLVLYFLIGLQDEFSLLMYFVLNFFACLLVNEGLMLVITSLWQHVFWSVSTLVSIHVVMMLSAGYFRIRSVLPGPMWTYPVSYIAFHTYSIQGLLENEYLETSFDVGEVRSISGLQALRSAYDISPDSNSKWENLLVLFLMAIGYRILVFIVLHFRVGKNESILKFCRCNRDTDNPR >Potri.009G008200.1.v4.1 pep chromosome:Pop_tri_v4:9:1593872:1606052:-1 gene:Potri.009G008200.v4.1 transcript:Potri.009G008200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G008200.v4.1 MEEIQSQSDNYRSSSSSASSPASRVPSSNFFYLRKPGLHRQPISFEDSPEWDTDIDVRLEEGGDSINVATAPASPSLSKLNSGSLPSPPLPEGAVVARKNLGASVVWKDLTVTIKGKRKYSDKVVKSSSGYALPGTMTVIMGPAKSGKSTLLRAIAGRLHHSARMYGEVFVNGAKSRMCYGSYGFVERETALIGSLTVQEYLYYSALLQLPGFFCQKKSVVEDAIRAMSLSDYANKLIGGHCYFKGLPSGERRRVSIARELVMRPHILFIDEPLYHLDSVSALLMMVTLKKLASMGCTLIFTIYQSSTEVFGLFDRICLLSNGNTLFFGETLSCLQHFSNAGFPCPIMQSPSDHFLRAINTDFDRIIAMCKNWQDDHGDFSSVNMDTAVAIRTLEATYKSSANAAAVETMILRLTEREGPLLKSKGKASNATRIAVLTWRSLLTMSREWKYYWLRLILYMLLALCIGTVFSGLGHSLSSVVARVAAIFVFVSFTSLLSIAGVPALLNEIKIYACEESNRHSGALVFLLGQLLSSIPFLFLISISSSLVLYFLIGLQDEFSLLMYFVLNFFACLLVNEGLMLVITSLWQHVFWSVSTLVSIHVVMMLSAGYFRIRSVLPGPMWTYPVSYIAFHTYSIQGLLENEYLETSFDVGEVRSISGLQALRSAYDISPDSNSKWENLLVLFLMAIGYRILVFIVLHFRVGKNESILKFCRCNRDTDNPR >Potri.005G242800.1.v4.1 pep chromosome:Pop_tri_v4:5:23913876:23916741:1 gene:Potri.005G242800.v4.1 transcript:Potri.005G242800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242800.v4.1 MEFLSSRLTIMSYCFYSTSVLFLTVVCTVSSLVKLPPNVTIPALLVFGDSIVDAGNNNDLETLVKSNFPPYGKDFEGGIPTGRFCNGKIPSDIIAKELGIKDTLPAYLDPAVLPQDLITGVTFASSGSGFDPLTPKLVSVLSLSDQLEHFKEYIGKLKAIIGEENTIFTIRNSLFLVVAGSDDIANTYFTLRARKLQYDVPAYTDLMANSASSFAQELYELGARRIVVFSAPPVGCVPSQRTLAGGAERECAENFNEAAKLFNSKLSKKLDSLGSSLPNSSLVYIDVYNLLLDIIQKPQKYGFQVADKGCCGTGNLEVAVLCNQHTSETCADVSDYVFWDSYHPTEKAYKALVYPLLGKYLTKFF >Potri.009G017100.2.v4.1 pep chromosome:Pop_tri_v4:9:2914111:2919998:1 gene:Potri.009G017100.v4.1 transcript:Potri.009G017100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017100.v4.1 MGAQKSIHAGKAKIDVNVDFTHKLCASLMLPSLRNTGSPLSLIIGSLCIKHPNLFGGSEKLDVSWDKGLSDSNVSITYRRPRPEWLCQKCFVIQHSFSPEIGVHGTPIDNFSRSGSGDVNLSRLSVGLDRNEPASSDWSSSTSIKFEHVQLVNDDGRSITRDIDGFPVTCSGSPHDSMLVLKQESQCAKANDHSFSRFSMQIEQGIPILSKWLIFNKFKFTATKGVKLGPAFLLASLTGGSIVGDMAPYQAFAIGGLGSVRGYGEGAVGSGRSCLVGNSELTFPLSKMLEGAFFVDCGTDLGSGRLVPGNPAMRQGKPGSGVGLGYGLRFKSPAGHFQVDYAINSFQQKTVYFGISNLI >Potri.003G052100.2.v4.1 pep chromosome:Pop_tri_v4:3:7646438:7656302:-1 gene:Potri.003G052100.v4.1 transcript:Potri.003G052100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052100.v4.1 MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDTALGFEDKSDSSSTTEASGLWPVMSFMGNKSEDSTDESSGKTVSPQKLSTVEEKESQNSDTQQTTSAEENQVLERKKDAEHPEIAEKKDDVISDTGKAELESEIQSETKAVEPPEPVVHDVKVPESVDDVQGKEISEEGCAENLDTLEVRSEASRVDEVEAPSILHDESHNVSHTPDSTDEQEAQAEETVERSSTIQAEVSNEPQPEALNDVQAQASTDILAEASSDTRAGAVLDSSSSQPVSAEVSEMVHEFSLSDASPLDEASEIVSGSVSQADDVHNQTVGGDKRVNDGEIDIKDQHLSLRSNISDSIDSTLELEKVKTEMKMMETALQGAARQAQAKADEIAKLMNENEHLKIVIEELKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREHNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQESTIRKLRAQIRELEEEKKGLMTKVQVEENKVESIKKDKTATENLLQETIEKHQAELSAQKIYYTNALSAAKEAEALAEARVNNEARTELESRLREAEERETMLVQALEELRQTLTRKEQQAVFREEMLRRDIEDLQKRYQASERRCEELITQVPDSTRPLLRQIEAMQETTARRAEAWAAVERSLNSRLQEAEAKAADAEERERSVNERLSQTLSRINVLEAQISCLRSEQTQLSRSLEKERQRAAENKQEYLAAKEEADTQEGRANQLEGQIKELRQKHKEELQDALINRELLQQEIEREKAARLELERTAHIHSASASDKTPIARSNSAFENGNLTRKLSSASSLGSIEESYFLQASLDTSDSLSERRNPGEATMSPYYMKSMTPSAFESALRQKEGELASYMSRLASMESIRDSLAEELVKMTAQCEKLQAESALLPGVRAELDALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQILSTSSGNA >Potri.003G052100.1.v4.1 pep chromosome:Pop_tri_v4:3:7646377:7656357:-1 gene:Potri.003G052100.v4.1 transcript:Potri.003G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052100.v4.1 MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDTALGFEDKSDSSSTTEASGLWPVMSFMGNKSEDSTDESSGKTVSPQKLSTVEEKESQNSDTQQTTSAEENQVLERKKDAEHPEIAEKKDDVISDTGKAELESEIQSETKAVEPPEPVVHDVKVPESVDDVQGKEISEEGCAENLDTLEVRSEASRVDEVEAPSILHDESHNVSHTPDSTDEQEAQAEETVERSSTIQAEVSNEPQPEALNDVQAQASTDILAEASSDTRAGAVLDSSSSQPVSAEVSEMVHEFSLSDASPLDEASEIVSGSVSQADDVHNQTVGGDKRVNDGEIDIKDQHLSLRSNISDSIDSTLELEKVKTEMKMMETALQGAARQAQAKADEIAKLMNENEHLKIVIEELKRKSNDAEIESLREEYHQRVATLERKVYALTKERDTLRREHNKKSDAAALLKEKDEIINQVMAEGEELSKKQAAQESTIRKLRAQIRELEEEKKGLMTKVQVEENKVESIKKDKTATENLLQETIEKHQAELSAQKIYYTNALSAAKEAEALAEARVNNEARTELESRLREAEERETMLVQALEELRQTLTRKEQQAVFREEMLRRDIEDLQKRYQASERRCEELITQVPDSTRPLLRQIEAMQETTARRAEAWAAVERSLNSRLQEAEAKAADAEERERSVNERLSQTLSRINVLEAQISCLRSEQTQLSRSLEKERQRAAENKQEYLAAKEEADTQEGRANQLEGQIKELRQKHKEELQDALINRELLQQEIEREKAARLELERTAHIHSASASDKTPIARSNSAFENGNLTRKLSSASSLGSIEESYFLQASLDTSDSLSERRNPGEATMSPYYMKSMTPSAFESALRQKEGELASYMSRLASMESIRDSLAEELVKMTAQCEKLQAESALLPGVRAELDALRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQILSTSSGNA >Potri.004G203500.6.v4.1 pep chromosome:Pop_tri_v4:4:21250014:21259259:-1 gene:Potri.004G203500.v4.1 transcript:Potri.004G203500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203500.v4.1 MLNSGNNLNQGSSAMSPDMPPVTQCVPLEPITLGNQRYTRSGEVRRVLGVPLGSVSEDHSFGVAHPKPMPPVATEELKQFKESVQDTSRKAKDRAKLLRESLSKLERYRVALSSKKRQRSEPSLNERSNLANVAKVAGQIHRNPHDIMTQRLEDRTKSTGLNKRARTSVADVRADGRSSVHSRQHMVMDKSGDMVQDLGGGAVRYEEKIRRLPAGGEGWDTKNKKKRSVGVMGNRVINGDREQKRTMPSKMSADSKLRSCDAQGFRSKSSAGVSGFNKLEGSFEPTSSDTSTVVKNEMESVLPRNRIALLEHKVVTKGTNKPNIHEDNSASTPNTVIKAKVSRAPRTGSIMLLDSSLKVQPSPTSLQGSEQPTSSNKIQLPGVVNNHKGQMPAGSSSHAMAQWVGQRPHKNLRTRRANIMAPSSNHIESQMSSQGFPTSEFSARTSSIGTKGSLIASNLDTNTPKFKRELESVPSPFGLSESEESGAGENKPKDKGTDGSEVSLSASQKVGTFVLPARKNKSSTNEIGDGVRRQGRSGRGSSLTRPGTYPVREKLENLPAVKPLQSSKAASDKNKSKTGRPPSKKLKDRKAAVRVGPMPNSSSLDFTGESDDDHEELFSAANSARKASELACSGPFWKKMDSYFAPVSLEDMSYLKQELTSAQGIDESFSQMLGATYNVLGVLVHKEVCPGRRQGEDFNQESAKTTSLCGRVEMGSLDKVAPLYQRVLSALIEEDESEEFYTQSEGKNMSLHYASDDSHCGSCNLIDIEPKDRDRMESEVESKVNFQTQKSCFLDRLSCDKSVASNAIGNPSMSSSLHSNEQWPVDDDFSHSDAGHASEICSNDPGSLQIREINMPGFSSSDGQYQLMCLDDRLLLELQSIGLCPETLPDLAEGEVINQDIMELKEGLHQQTGIMKNKLGKLGKVVPKVRDMERRNVEQVAMDQLIQMAYRKLLACRGNNTSKSTIRKVSRQVALAFSKRALARCRKFEDSGSSCFSEPVLQEIIFSAPAPSCNNDAKSVDCVGSGTASNTCNEVSNIHAEARGSGAVSSTIERYDSHSDNFDRIKKREVLIDDVIGSASSRVTSTLDSAALGGVKGKRSDRDREQSKDNSRSNSVSGASRSSLDCIKGECKTKPKPKQKSTHLLNSGNGPHGSAHSVANASNKIERVGSMSLGNIPQDAPKEANEPIDFANLQLNEIDTIELGVSTDLDGPHDLGSWLNIDEDGLQDHDSIGLEIPMDDLTELSMLL >Potri.004G203500.3.v4.1 pep chromosome:Pop_tri_v4:4:21249993:21259212:-1 gene:Potri.004G203500.v4.1 transcript:Potri.004G203500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203500.v4.1 MLNSGNNLNQGSSAMSPDMPPVTQCVPLEPITLGNQRYTRSGEVRRVLGVPLGSVSEDHSFGVAHPKPMPPVATEELKQFKESVQDTSRKAKDRAKLLRESLSKLERYRVALSSKKRQRSEPSLNERSNLANVAKVAGQIHRNPHDIMTQRLEDRTKSTGLNKRARTSVADADGRSSVHSRQHMVMDKSGDMVQDLGGGAVRYEEKIRRLPAGGEGWDTKNKKKRSVGVMGNRVINGDREQKRTMPSKMSADSKLRSCDAQGFRSKSSAGVSGFNKLEGSFEPTSSDTSTVVKNEMESVLPRNRIALLEHKVVTKGTNKPNIHEDNSASTPNTVIKAKVSRAPRTGSIMLLDSSLKVQPSPTSLQGSEQPTSSNKIQLPGVVNNHKGQMPAGSSSHAMAQWVGQRPHKNLRTRRANIMAPSSNHIESQMSSQGFPTSEFSARTSSIGTKGSLIASNLDTNTPKFKRELESVPSPFGLSESEESGAGENKPKDKGTDGSEVSLSASQKVGTFVLPARKNKSSTNEIGDGVRRQGRSGRGSSLTRPGTYPVREKLENLPAVKPLQSSKAASDKNKSKTGRPPSKKLKDRKAAVRVGPMPNSSSLDFTGESDDDHEELFSAANSARKASELACSGPFWKKMDSYFAPVSLEDMSYLKQELTSAQGIDESFSQMLGATYNVLGVLVHKEVCPGRRQGEDFNQESAKTTSLCGRVEMGSLDKVAPLYQRVLSALIEEDESEEFYTQSEGKNMSLHYASDDSHCGSCNLIDIEPKDRDRMESEVESKVNFQTQKSCFLDRLSCDKSVASNAIGNPSMSSSLHSNEQWPVDDDFSHSDAGHASEICSNDPGSLQIREINMPGFSSSDGQYQLMCLDDRLLLELQSIGLCPETLPDLAEGEVINQDIMELKEGLHQQTGIMKNKLGKLGKVVPKVRDMERRNVEQVAMDQLIQMAYRKLLACRGNNTSKSTIRKVSRQVALAFSKRALARCRKFEDSGSSCFSEPVLQEIIFSAPAPSCNNDAKSVDCVGSGTASNTCNEVSNIHAEARGSGAVSSTIERYDSHSDNFDRIKKREVLIDDVIGSASSRVTSTLDSAALGGVKGKRSDRDREQSKDNSRSNSVSGASRSSLDCIKGECKTKPKPKQKSTHLLNSGNGPHGSAHSVANASNKIERVGSMSLGNIPQDAPKEANEPIDFANLQLNEIDTIELGVSTDLDGPHDLGSWLNIDEDGLQDHDSIGLEIPMDDLTELSMLL >Potri.004G203500.1.v4.1 pep chromosome:Pop_tri_v4:4:21250008:21259206:-1 gene:Potri.004G203500.v4.1 transcript:Potri.004G203500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203500.v4.1 MLNSGNNLNQGSSAMSPDMPPVTQCVPLEPITLGNQRYTRSGEVRRVLGVPLGSVSEDHSFGVAHPKPMPPVATEELKQFKESVQDTSRKAKDRAKLLRESLSKLERYRVALSSKKRQRSEPSLNERSNLANVAKVAGQIHRNPHDIMTQRLEDRTKSTGLNKRARTSVADVRADGRSSVHSRQHMVMDKSGDMVQDLGGGAVRYEEKIRRLPAGGEGWDTKNKKKRSVGVMGNRVINGDREQKRTMPSKMSADSKLRSCDAQGFRSKSSAGVSGFNKLEGSFEPTSSDTSTVVKNEMESVLPRNRIALLEHKVVTKGTNKPNIHEDNSASTPNTVIKAKVSRAPRTGSIMLLDSSLKVQPSPTSLQGSEQPTSSNKIQLPGVVNNHKGQMPAGSSSHAMAQWVGQRPHKNLRTRRANIMAPSSNHIESQMSSQGFPTSEFSARTSSIGTKGSLIASNLDTNTPKFKRELESVPSPFGLSESEESGAGENKPKDKGTDGSEVSLSASQKVGTFVLPARKNKSSTNEIGDGVRRQGRSGRGSSLTRPGTYPVREKLENLPAVKPLQSSKAASDKNKSKTGRPPSKKLKDRKAAVRVGPMPNSSSLDFTGESDDDHEELFSAANSARKASELACSGPFWKKMDSYFAPVSLEDMSYLKQELTSAQGIDESFSQMLGATYNVLGVLVHKEVCPGRRQGEDFNQESAKTTSLCGRVEMGSLDKVAPLYQRVLSALIEEDESEEFYTQSEGKNMSLHYASDDSHCGSCNLIDIEPKDRDRMESEVESKVNFQTQKSCFLDRLSCDKSVASNAIGNPSMSSSLHSNEQWPVDDDFSHSDAGHASEICSNDPGSLQIREINMPGFSSSDGQYQLMCLDDRLLLELQSIGLCPETLPDLAEGEVINQDIMELKEGLHQQTGIMKNKLGKLGKVVPKVRDMERRNVEQVAMDQLIQMAYRKLLACRGNNTSKSTIRKVSRQVALAFSKRALARCRKFEDSGSSCFSEPVLQEIIFSAPAPSCNNDAKSVDCVGSGTASNTCNEVSNIHAEARGSGAVSSTIERYDSHSDNFDRIKKREVLIDDVIGSASSRVTSTLDSAALGGVKGKRSDRDREQSKDNSRSNSVSGASRSSLDCIKGECKTKPKPKQKSTHLLNSGNGPHGSAHSVANASNKIERVGSMSLGNIPQDAPKEANEPIDFANLQLNEIDTIELGVSTDLDGPHDLGSWLNIDEDGLQDHDSIGLEIPMDDLTELSMLL >Potri.019G082600.1.v4.1 pep chromosome:Pop_tri_v4:19:12269993:12270610:-1 gene:Potri.019G082600.v4.1 transcript:Potri.019G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082600.v4.1 MAYFKCFIFALSIALAASGINVALAARHLLQLPPLPSVPNMPKPTLPPLPTIPTLPTTQPSLPKPTLPPLPSLPTMPSVPKVNLPPLPSMPSLPTIPTTIPSFPFLSPPPGN >Potri.011G069900.1.v4.1 pep chromosome:Pop_tri_v4:11:6115596:6116684:-1 gene:Potri.011G069900.v4.1 transcript:Potri.011G069900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069900.v4.1 MEATEAIELFDSYWFEMEIFKKQPILPKSSSLEANPDHENQEKALKPEISRLPATITRSMSEDLCSNTTFSFGFSFCSDSVLHAPKLHTIFSGKDFTEEEYSTPTEKVYVLESHKKKVTRRRGKKVASKSLSELEYEELKGFTDLGFVFSEEDKDSNLASIIPGLQRLGKQHEDETVLDEPTVSRPYLSEAWEVQEQRMKEEPLMNWAIPALSNEIDMKDNLRWWAHTVASAAI >Potri.006G275400.5.v4.1 pep chromosome:Pop_tri_v4:6:26691803:26695033:-1 gene:Potri.006G275400.v4.1 transcript:Potri.006G275400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275400.v4.1 MAFEPYFLRGHPANTINIEDIQLNTNWEDVICPICLDFPHNCVLLQCSSYDKGCQPFVCDTDHLHSNCLGRFKSASGLSSPSTSDPTPATNTQPAVADSNSKLVCPLCRGEVIGWVVVDKARLHLDEKKRCCAEEQCTFMGTYFELHEHAQIEHPHARPSKIDPARQLDWENFQQSSEIIDVLSTIHSEVPRGIVLGDYVIEYGDDDTGDEFEDFPGDEGNWWTSCILYQVFDNFRNSRNRRRARIADTRRGSRHSSYDTSNSDEGSVTSVDFAEYRLDETDDEFPSSSVSSRGSSGHRSSRRRRSRFYDT >Potri.010G127300.1.v4.1 pep chromosome:Pop_tri_v4:10:14431292:14432684:-1 gene:Potri.010G127300.v4.1 transcript:Potri.010G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127300.v4.1 MLSVAFQCGFSNVFTNSSLPCQTPSCKTFKATFSTNPKPNLIHSSTFLDRKRKRVSRNQRYTTFAAPEALTVETPIDTPPSTETEESIPAQGTEKAEVLVKQVEKPRLVLKFIWMEKNIGLALDQVIPGHGTVPLSPYFFWPRKDAWEELKATLESKPWISQKKMIILLNQATDIINLWQQSGGNLTTQ >Potri.007G097100.1.v4.1 pep chromosome:Pop_tri_v4:7:12208798:12212178:-1 gene:Potri.007G097100.v4.1 transcript:Potri.007G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097100.v4.1 MKRSLGSSDSLGALMSICPSAEEHSPRNHTHVYSREFQSMLDGLDEEGCVEEAGGHVTEKKRRLSGDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKHNFDALQHDNEALLKEIRELKAKLNEENAESNVSVKEEIILAESEDKMPEEDTPALLDSVAASETKELNYETFNNHSSINIGLGASLFPDFKDGSSDSDSSAILNEDNSPNPAISSSGILQSQLMMSPPPSSSLRFNCSASSSSPSSMNCFQFSKSYQTQFVKLEEHNFFSSEEACNFFSDEQPPSLPWYSSDQWN >Potri.007G097100.2.v4.1 pep chromosome:Pop_tri_v4:7:12209340:12212156:-1 gene:Potri.007G097100.v4.1 transcript:Potri.007G097100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097100.v4.1 MLDGLDEEGCVEEAGGHVTEKKRRLSGDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKHNFDALQHDNEALLKEIRELKAKLNEENAESNVSVKEEIILAESEDKMPEEDTPALLDSVAASETKELNYETFNNHSSINIGLGASLFPDFKDGSSDSDSSAILNEDNSPNPAISSSGILQSQLMMSPPPSSSLRFNCSASSSSPSSMNCFQFSKSYQTQFVKLEEHNFFSSEEACNFFSDEQPPSLPWYSSDQWN >Potri.002G224700.1.v4.1 pep chromosome:Pop_tri_v4:2:21299700:21300919:1 gene:Potri.002G224700.v4.1 transcript:Potri.002G224700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224700.v4.1 MSEATGQPQEEDKKPNDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEINSIAFLFDGRRLRGEQTPDELDMEDGDEIDAMLHQTGGAVKASDYA >Potri.006G087000.1.v4.1 pep chromosome:Pop_tri_v4:6:6546098:6548682:-1 gene:Potri.006G087000.v4.1 transcript:Potri.006G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087000.v4.1 MEEVIKSSTWFDHESEDELVRELLDDESPFFFLPKEKNQSKPSPTNEQTINQLTSKVYSGPTIQDIENALSTSSREDQSQAVSQARISLLQKGLSKMENNKYTLKLKRCGDGMADDGYKWRKYGQKSIKNSPHPRSYYRCTNARCSAKKQVERCSEDPDTLVITYEGLHLHFTYPYFLSNQPQHDDPPSKKPKGTISEAEFQAHATQRTPEQAQEYSAHVTSPGSLPSTSTADDYVHESGLEAIGPQGLLEDVVPYMVRNPSNYNVSSNSSSSSYRSPPASPPSSLSWSPSHSFFDIALDTSIA >Potri.006G166600.1.v4.1 pep chromosome:Pop_tri_v4:6:16423862:16426911:-1 gene:Potri.006G166600.v4.1 transcript:Potri.006G166600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166600.v4.1 MKYIRANSLKRLFSLKRSSLQDIVFTNEEEKNNENSKVVQEQEHSPRPSWKCFSFEEIFDATNGFRPENLVGKGGYAEVYKGVLRDGEEIAIKRLTKACRDERKEKEFLSEIGTIGHVCHANVMSLLGCCTDNGLYLIFHFSSRGSVSSLLHDENFPVLDWKIRYKIAIGTARGLHYLHKGCQRRIIHRDIKSSNILLTADFEPLISDFGLAKWLPSEWTHHSIAPIEGTFGHLAPEYYMHGIVDEKTDVFAFGVFLLEIISGRKPVDGSHQSIHNWAKPILSRGEIEKLVDPRLGGIYDVTQLKRLGFAASLCIRASSTWRPTMSEVLEVMLGEEEMDEERWKTPKEEEHEEFWGFEDLEYECHSSFSISPQDSISTRSTTTIIH >Potri.006G166600.4.v4.1 pep chromosome:Pop_tri_v4:6:16425152:16426993:-1 gene:Potri.006G166600.v4.1 transcript:Potri.006G166600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166600.v4.1 MKYIRANSLKRLFSLKRSSLQDIVFTNEEEKNNENSKVVQEQEHSPRPSWKCFSFEEIFDATNGFRPENLVGKGGYAEVYKGVLRDGEEIAIKRLTKACRDERKEKEFLSEIGTIGHVCHANVMSLLGCCTDNGLYLIFHFSSRGSVSSLLHDENFPVLDWKIRYKIAIGTARGLHYLHKGCQRRIIHRDIKSSNILLTADFEPLISDFGLAKWLPSEWTHHSIAPIEGTFGHLAPEYYMHGIVDEKTDVFAFGVFLLEIISGRKPVDGSHQSIHNWAKPILSRGEIEKLVDPRLGGIYDVTQLKRLGFAASLCIRASSTWRPTMSEVAICYALFLLLHLTAY >Potri.017G009400.2.v4.1 pep chromosome:Pop_tri_v4:17:691303:696227:1 gene:Potri.017G009400.v4.1 transcript:Potri.017G009400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009400.v4.1 MFSRVLRHLFGAYAALPFLVILSSHHSCSARKNTSNYCAPSSCGNIPNISYPFRLNTDPKGCGNKNYELACENNVRPTLYLNMEKYYVQAINYSDFTIRLVEAAVQKDDCFSIPHHSITEYQLLRNYYYEIYGATSSVLTFLCCKNQILNPPDYIMDASSCKNGSGTAYNSSLSSSISSPSCVDMEGHSYVMVDGDIINDVPDLCRINLIYSVPKNMTNMSYTDVHDILVYGFELSWFSFCCYNGKENRCNLDEATVKNHYCFNTESYFVRTLVDPFFNGLDWIFRRFDKDSWYYRVTTSSILLSFLILFLPTLLALIVIYHVLVFPCGLPCLLTLLIYKWRRRHLSIYEDIEKFLQSHDNDLMPIRYTYLEIKKITNGFKDKLGEGGFGSVYKGKLRSGRFAAVKLLGKSKANGQDFINEVATIGRIHHVNVVQLIGYTVEGSKRALIYEFMPNGSLEKYIFSREGSVPLSNEKMYEISLRVARGIEYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTSNNTVPLTAARGTIGYMAPELFYKNIGGVSYKADVYSYGMLLMEMIGKRKNLNAFANHSSQIYFPSWVYDQVSEGKDIEVQEDAMEHEKKTTKKMIIVALWCIQLKPVDRPSMHKVIEMLESDVESLQMPPKPFFTPHQMPEEDDRANHAKLSDPPNNCTDSSYQFGR >Potri.006G072100.2.v4.1 pep chromosome:Pop_tri_v4:6:5242897:5246440:1 gene:Potri.006G072100.v4.1 transcript:Potri.006G072100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072100.v4.1 MGICCSCISCNPAAGPDPATAPATAPATAPATDPATVPAATPVPELALDPFPDPDIVPTSPGNLTEGDVSSMANNVSGNSWFSIATTNVTTWMSQAKGTIRGKFVPEEVEFSAFQDKIVSELRVFTFKQLKEATFNFRSDMLLGKGGFGSVYKGLLNQKLPIEGIRNLRIAVKKLDSNSKQGLRQWQTEVGFLARVSHPNIVELLGYCQAEGDKELLIVYEFMEKGSLNYHLFGKRSDRVLPWETRVEIATGMAQALSYLHTMERPVIFRDFKTSNILLNESYIPKLSDFGLAKWGPNDGSQYVTGNVLGTRGYVGPEYKTGDCM >Potri.006G072100.1.v4.1 pep chromosome:Pop_tri_v4:6:5242897:5246440:1 gene:Potri.006G072100.v4.1 transcript:Potri.006G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072100.v4.1 MGICCSCISCNPAAGPDPATAPATAPATAPATDPATVPAATPVPELALDPFPDPDIVPTSPGNLTEGDVSSMANNVSGNSWFSIATTNVTTWMSQAKGTIRGKFVPEEVEFSAFQDKIVSELRVFTFKQLKEATFNFRSDMLLGKGGFGSVYKGLLNQKLPIEGIRNLRIAVKKLDSNSKQGLRQWQTEVGFLARVSHPNIVELLGYCQAEGDKELLIVYEFMEKGSLNYHLFGKRSDRVLPWETRVEIATGMAQALSYLHTMERPVIFRDFKTSNILLNESYIPKLSDFGLAKWGPNDGSQYVTGNVLGTRGYVGPEYKTGGRLYVKSDVYSFGVVLVEMLTGLRAIDKNRPPEKQNLREWTLPILSDRTKLRHIMDPRLQGKYATKQAPEVAKLALRCLNPVPMFRPSMKEVADTLEGLNLHTSHQNKDI >Potri.001G029700.1.v4.1 pep chromosome:Pop_tri_v4:1:2225052:2225456:1 gene:Potri.001G029700.v4.1 transcript:Potri.001G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029700.v4.1 MDVLGVNMKSGKRSHTKRSKKAIKVVYISSPMKVKTSASEFRALVQELTGKDSDAARFMDINGADEISHQRAEYGHRVSAVPSTNSSQSPSTTSSESFLESFDGELFPSMEESTFMGMLQSSLFHESFQLDVLN >Potri.013G038000.6.v4.1 pep chromosome:Pop_tri_v4:13:2557746:2562227:1 gene:Potri.013G038000.v4.1 transcript:Potri.013G038000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038000.v4.1 MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGIDDSANHCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPSEGFYVAVVVDRQMALLLGDMRKEAFKKTGATPIPSNTVFVAKREHVFGKKAFRTKAQFCDNGQIHDLVIECDTIGVSDPCLLLRVDCKTVMQVKQLRWKFRGNHTILVDGLAVELFWDVHNWLFGTSVGNAVFMFKTCSSAEKLWASQPLSDPNGLPRSFSQRFLDSRSQNLGFSLILYAWKHE >Potri.013G038000.9.v4.1 pep chromosome:Pop_tri_v4:13:2557746:2562227:1 gene:Potri.013G038000.v4.1 transcript:Potri.013G038000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038000.v4.1 MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGIDDSANHCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPSEGFYVAVVVDRQMALLLGDMRKEAFKKTGATPIPSNTVFVAKREHVFGKKAFRTKAQFCDNGQIHDLVIECDTIGVSDPCLLLRVDCKTVMQVKQLRWKFRGNHTILVDGLAVELFWDVHNWLFGTSVGNAVFMFKTCSSAEKLWASQPLSDPNGLPRSFSQRFLDSRSQNLGFSLILYAWKHE >Potri.013G038000.8.v4.1 pep chromosome:Pop_tri_v4:13:2557746:2562227:1 gene:Potri.013G038000.v4.1 transcript:Potri.013G038000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038000.v4.1 MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGIDDSANHCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPSEGFYVAVVVDRQMALLLGDMRKEAFKKTGATPIPSNTVFVAKREHVFGKKAFRTKAQFCDNGQIHDLVIECDTIGVSDPCLLLRVDCKTVMQVKQLRWKFRGNHTILVDGLAVELFWDVHNWLFGTSVGNAVFMFKTCSSAEKLWASQPLSDPNGLPRSFSQRFLDSRSQNLGFSLILYAWKHE >Potri.013G038000.11.v4.1 pep chromosome:Pop_tri_v4:13:2557746:2562227:1 gene:Potri.013G038000.v4.1 transcript:Potri.013G038000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038000.v4.1 MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGIDDSANHCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPSEGFYVAVVVDRQMALLLGDMRKEAFKKTGATPIPSNTVFVAKREHVFGKKAFRTKAQFCDNGQIHDLVIECDTIGVSDPCLLLRVDCKTVMQVKQLRWKFRGNHTILVDGLAVELFWDVHNWLFGTSVGNAVFMFKTCSSAEKLWASQPLSDPNGLPRSFSQRFLDSRSQNLGFSLILYAWKHE >Potri.013G038000.10.v4.1 pep chromosome:Pop_tri_v4:13:2557746:2562227:1 gene:Potri.013G038000.v4.1 transcript:Potri.013G038000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038000.v4.1 MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGIDDSANHCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPSEGFYVAVVVDRQMALLLGDMRKEAFKKTGATPIPSNTVFVAKREHVFGKKAFRTKAQFCDNGQIHDLVIECDTIGVSDPCLLLRVDCKTVMQVKQLRWKFRGNHTILVDGLAVELFWDVHNWLFGTSVGNAVFMFKTCSSAEKLWASQPLSDPNGLPRSFSQRFLDSRSQNLGFSLILYAWKHE >Potri.013G038000.7.v4.1 pep chromosome:Pop_tri_v4:13:2557744:2562227:1 gene:Potri.013G038000.v4.1 transcript:Potri.013G038000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038000.v4.1 MRDFPSCFGENGVQVADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGIDDSANHCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPSEGFYVAVVVDRQMALLLGDMRKEAFKKTGATPIPSNTVFVAKREHVFGKKAFRTKAQFCDNGQIHDLVIECDTIGVSDPCLLLRVDCKTVMQVKQLRWKFRGNHTILVDGLAVELFWDVHNWLFGTSVGNAVFMFKTCSSAEKLWASQPLSDPNGLPRSFSQRFLDSRSQNLGFSLILYAWKHE >Potri.010G050200.1.v4.1 pep chromosome:Pop_tri_v4:10:8194312:8197827:1 gene:Potri.010G050200.v4.1 transcript:Potri.010G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G050200.v4.1 MNLFGNDCSSQHSSMPSEDDILIKKLLLTHDPDGRRLDSELLLRAMENVLCYAAASQVCGFHIDAIAKDDVSDIEVVGSQETLAQIIDRIKIEMLRKHSGKENLHTRTMILFDVLGNYRWDVKAVLTLAAFATTYGEFCIIMQEYPYNPLAVSVAMLKHLPLNLWPLKPQFKALSFLVRTMIDVTKCIIKFEGLPFRYAQLDDETMVIAKSCIYVAAYWVTRSTVACTSQIRDLKAMKPEQVWSHSTLIAAWELSSLAYKLSSICSHLRRQVDLCHQQMEEKMHQKLLKVFQEVHPDNQDVLGILLAAKDELPLKNSSTQDKLGVSEMKGKVVLLLVSKAELLPQEGLLLLLDRTYDHPYHKKLEGSYEIVWISISDTWTDAERDIFNFLSNSLPWYSVRRPWVLYAAVVNYIKQEWDYKNVPLIVVLDSKGMVSKSNAMDMVFIWGATAYPFSTSKEKELWDEENWTLKLLLDEIDPLLTTWVEEGRNICIYGSDNLDWIREFNATCKVIKNAGVQLEMVYVGCKDLGEQVRRLLAIIDEELHKSLFSFTKLHFFWLRLESIRRSKLQLGQSIHSDDHILKEVSALLDTANEGWAIIGRGNTTDIVKLSASEAIKWLDRFPEWEENVAKLGFVSALRAAIDPPPPPLGPCNHSEVVPYAEGLTEETVLCEKCKHPMKKNVVYE >Potri.001G323200.1.v4.1 pep chromosome:Pop_tri_v4:1:33231953:33235573:-1 gene:Potri.001G323200.v4.1 transcript:Potri.001G323200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323200.v4.1 MSYQKAPHQPYPPSGYSPPYPPPGYPPTTPPYGGYPPTTPPYGGYPPPGAPPPGYSGYPPPGPPRGYQGYFAEGYPPPPPPPGPQQYQECYHYEHHHYQDDGCSSFLRGCLAALCCCCVLEECCF >Potri.011G165300.1.v4.1 pep chromosome:Pop_tri_v4:11:18994710:18995904:1 gene:Potri.011G165300.v4.1 transcript:Potri.011G165300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165300.v4.1 MYVLMRCCETHTLPPRAHIFGALASEGTCVCVSMEATAVALWVERIAFLLLSCFTSIESCGFGWGAVLGLLALSNFLFRCAMEEKASAVKLKKLHKNLKNSDSRINIERYSDVKSNTNEEKKAVDEDGVMNNRSATSGEGGEDLTMKSKLFEILKKATNNCDAEKLSYKWQEMVVNKVEDEFKKYRALGDEELRKAKGGCEEEFKKKLKIKEDELEAKHDEERRKWEKERKILKEKYEMMRWT >Potri.001G063300.8.v4.1 pep chromosome:Pop_tri_v4:1:5941920:5947095:-1 gene:Potri.001G063300.v4.1 transcript:Potri.001G063300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063300.v4.1 MGLFLQVLTVLVITVSLQGWLPRGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHANCCDWERIRCNSSTGRVTLLDLGGTRNEELGDWYLNASLFLPFQQLNRLILWNNRIAGWVEKKGGYELQKLSNLEILDLEYNSFNNSILSFVERLPSLKSLYLDYNRLEGLIDLKESLSSLEELRLGGNNITKLVASRGPNNLRTLSLYNITTYGSSFQLLQSLRAFPNLTTLDLSSNDFRGRTLGDGLQNLSSLEMLYLDDCSLDEHSLQSLGALPSLKNLSLRELNGTVPSGGPSSLSTLSLNNITTYGSSFQLLQSLEAFSNLTTLFLQYNDFRGRILGDELQNLSSLKELYLDGCSLDEHSLQSLGALPSLKSLSLYALSSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIGTMTSLITLKLEGCSLNGQIPRTQGNEIFAEEDDHNLSPNFQLESLYLSGIGQGGAFPKFLYHQFSLQSLDLTNIQIKGEFPNWLIENNTYLQELYLENCSLLGPFLSPKTSRVNLSILSISMNHFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQGLDLSNNILQGQIPERIWNMSSLEFLDLSGNNFSGRLPPRFGISSNLRYVYLSRNKFQGPITMSFDNPTEILALDLSHNNLTGTIPEWIDRLSNLRFLLLSYNNLEGEIPFQLSRLDQLTLIDLSHNHLSGNILSWMISTHPFPQQYNSHSSMSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSFNNFTGEIPPEIGNLSMIKALNLSHNSLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLIELFSLEVFNVAHNNLSGKIPARVAQFATFDESCYKDNPFLCGEPLPKICGAAMPPSLTPTSTNNKDNGGFMDMEVFYVTFWVTYIMVLLVIGAVLYINSYWQRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.001G063300.9.v4.1 pep chromosome:Pop_tri_v4:1:5941920:5947095:-1 gene:Potri.001G063300.v4.1 transcript:Potri.001G063300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063300.v4.1 MGLFLQVLTVLVITVSLQGWLPRGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHANCCDWERIRCNSSTGRVTLLDLGGTRNEELGDWYLNASLFLPFQQLNRLILWNNRIAGWVEKKGGYELQKLSNLEILDLEYNSFNNSILSFVERLPSLKSLYLDYNRLEGLIDLKESLSSLEELRLGGNNITKLVASRGPNNLRTLSLYNITTYGSSFQLLQSLRAFPNLTTLDLSSNDFRGRTLGDGLQNLSSLEMLYLDDCSLDEHSLQSLGALPSLKNLSLRELNGTVPSGGPSSLSTLSLNNITTYGSSFQLLQSLEAFSNLTTLFLQYNDFRGRILGDDEHSLQSLGALPSLKSLSLYALSSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIGTMTSLITLKLEGCSLNGQIPRTQGNEIFAEEDDHNLSPNFQLESLYLSGIGQGGAFPKFLYHQFSLQSLDLTNIQIKGEFPNWLIENNTYLQELYLENCSLLGPFLSPKTSRVNLSILSISMNHFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQGLDLSNNILQGQIPERIWNMSSLEFLDLSGNNFSGRLPPRFGISSNLRYVYLSRNKFQGPITMSFDNPTEILALDLSHNNLTGTIPEWIDRLSNLRFLLLSYNNLEGEIPFQLSRLDQLTLIDLSHNHLSGNILSWMISTHPFPQQYNSHSSMSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSFNNFTGEIPPEIGNLSMIKALNLSHNSLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLIELFSLEVFNVAHNNLSGKIPARVAQFATFDESCYKDNPFLCGEPLPKICGAAMPPSLTPTSTNNKDNGGFMDMEVFYVTFWVTYIMVLLVIGAVLYINSYWQRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.001G063300.7.v4.1 pep chromosome:Pop_tri_v4:1:5941920:5947095:-1 gene:Potri.001G063300.v4.1 transcript:Potri.001G063300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063300.v4.1 MGLFLQVLTVLVITVSLQGWLPRGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHANCCDWERIRCNSSTGRVTLLDLGGTRNEELGDWYLNASLFLPFQQLNRLILWNNRIAGWVEKKGGYELQKLSNLEILDLEYNSFNNSILSFVERLPSLKSLYLDYNRLEGLIDLKESLSSLEELRLGGNNITKLVASRGPNNLRTLSLYNITTYGSSFQLLQSLRAFPNLTTLDLSSNDFRGRTLGDGLQNLSSLEMLYLDDCSLDEHSLQSLGALPSLKNLSLRELNGTVPSGGPSSLSTLSLNNITTYGSSFQLLQSLEAFSNLTTLFLQYNDFRGRILGDELQNLSSLKELYLDGCSLDEHSLQSLGALPSLKSLSLYALSSTVPSGGFLDLKNLEYLDLSSNTLNNSIFQAIGTMTSLITLKLEGCSLNGQIPRTQGLCDLNHLQELDVSDNDLSGVLPSCLANLTSLQQLSLFYNHLKIPMSLSSLYNLSKLKYFDGSGNEIFAEEDDHNLSPNFQLESLYLSGIGQGGAFPKFLYHQFSLQSLDLTNIQIKGEFPNWLIENNTYLQELYLENCSLLGPFLSPKTSRVNLSILSISMNHFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQGLDLSNNILQGQIPERIWNMSSLEFLDLSGNNFSGRLPPRFGISSNLRYVYLSRNKFQGPITMSFDNPTEILALDLSHNNLTGTIPEWIDRLSNLRFLLLSYNNLEGEIPFQLSRLDQLTLIDLSHNHLSGNILSWMISTHPFPQQYNSHSSMSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSFNNFTGEIPPEIGNLSMIKALNLSHNSLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLIELFSLEVFNVAHNNLSGKIPARVAQFATFDESCYKDNPFLCGEPLPKICGAAMPPSLTPTSTNNKDNGGFMDMEVFYVTFWVTYIMVLLVIGAVLYINSYWQRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.T125706.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:110028:116167:-1 gene:Potri.T125706.v4.1 transcript:Potri.T125706.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125706.v4.1 MEMPISHKNSEGLVPCLHDHLHSDEQSCSSSKEEQKFSGSPPCPDDLLHSNKQSDSSGRDRHKSSGSASWLDNLLNSDEQSCSSKKDQRKHSGSAPCLDDLLNSGRRSCRSSRDPQKSSGPQKRLEQLLSQSGNKICADCGSPDPKWVSISFGVFICIKCSGVHRSLGVHLTKVLSIKLDEWTDEQVNTLIDLGGNTAANKKYEAFIPDDYQKPKSDASIEERSDFIRRKYEQKQFSNCDGQMSCPFPGPRSTLSSSCSSHCSPQDKKQYEKQATRHRIGNAFRNSWGRKDTEYKNNKKSNSLAAMVEFVGLIKVNVVKGTNLAVRDVLTSDPYVVLTLGQQSVRTRVIKNNLNPIWNESLMLSIPEQIPPLKVLVYDKDKFTTDDFMGEAEIDIQPLVSAAKAYENSTITESMQLGKWIAGQDNTLVKDGIISLADGKVKQEISLKLKNVERGVLEIELECVPLTQ >Potri.T125706.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:110016:116241:-1 gene:Potri.T125706.v4.1 transcript:Potri.T125706.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125706.v4.1 MEMPISHKNSEGLVPCLHDHLHSDEQSCSSSKEEQKFSGSPPCPDDLLHSNKQSDSSGRDRHKSSGSASWLDNLLNSDEQSCSSKKDQRKHSGSAPCLDDLLNSGRRSCRSSRDPQKSSGPQKRLEQLLSQSGNKICADCGSPDPKWVSISFGVFICIKCSGVHRSLGVHLTKVLSIKLDEWTDEQVNTLIDLGGNTAANKKYEAFIPDDYQKPKSDASIEERSDFIRRKYEQKQFSNCDGQMSCPFPGPRSTLSSSCSSHCSPQDKKQYEKQATRHRIGNAFRNSWGRKDTEYKNNKKSNSLAAMVEFVGLIKVNVVKGTNLAVRDVLTSDPYVVLTLGQQSVRTRVIKNNLNPIWNESLMLSIPEQIPPLKVLVYDKDKFTTDDFMGEAEIDIQPLVSAAKAYENSTITESMQLGKWIAGQDNTLVKDGIISLADGKVKQEISLKLKNVERGVLEIELECVPLTQ >Potri.008G073300.4.v4.1 pep chromosome:Pop_tri_v4:8:4537058:4539537:1 gene:Potri.008G073300.v4.1 transcript:Potri.008G073300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073300.v4.1 MKQPFTASSSSSSLIRKARLSPYLFTLLAFIVFVAILYGEDFVCLLGQLDPNVDRLATITEKRWEKLPFSIGKAPDGCDLFSGRWVWDDSTRPLYEESECPYIQPQLTCQEHGRPDKDYQYWRWQPYGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEGQKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAIVHRISDRIVRKGSINKHGKNWKGVDIIVFNTYLWWMTGLKMKVL >Potri.008G073300.2.v4.1 pep chromosome:Pop_tri_v4:8:4537058:4539885:1 gene:Potri.008G073300.v4.1 transcript:Potri.008G073300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073300.v4.1 MKQPFTASSSSSSLIRKARLSPYLFTLLAFIVFVAILYGEDFVCLLGQLDPNVDRLATITEKRWEKLPFSIGKAPDGCDLFSGRWVWDDSTRPLYEESECPYIQPQLTCQEHGRPDKDYQYWRWQPYGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEGQKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAIVHRISDRIVRKGSINKHGKNWKGVDIIVFNTYLWWMTGLKMKVLHGSFEDETKDIIELSTEDAYRMAMKSMLRWVRKNMDRKKTRVFFTSMSPSHGKSIDWGGEAGLNCFNETTLINNATYWGSDCRKSIMEVIGDVFRKSKFPITFLNITQLSNYRKDAHTSIHKKQWNPLTPEQIANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >Potri.008G073300.3.v4.1 pep chromosome:Pop_tri_v4:8:4537058:4539546:1 gene:Potri.008G073300.v4.1 transcript:Potri.008G073300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073300.v4.1 MKQPFTASSSSSSLIRKARLSPYLFTLLAFIVFVAILYGEDFVCLLGQLDPNVDRLATITEKRWEKLPFSIGKAPDGCDLFSGRWVWDDSTRPLYEESECPYIQPQLTCQEHGRPDKDYQYWRWQPYGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEGQKSMETFDSLTVFTAKEYNATIEFYWAPFLLESNSDNAIVHRISDRIVRKGSINKHGKNWKGVDIIVFNTYLWWMTGLKMKVL >Potri.007G034700.1.v4.1 pep chromosome:Pop_tri_v4:7:2715183:2715773:-1 gene:Potri.007G034700.v4.1 transcript:Potri.007G034700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034700.v4.1 MAFMISKARQSISETEKSNQNHPEVEDKQALTCPVSSQLHLKPSVHSMDKDVILKRLRHHKRSNKVKNAIQALATFTGSLDQENMVLADQRKWLDPDDAFSSS >Potri.012G128100.1.v4.1 pep chromosome:Pop_tri_v4:12:14392725:14394380:-1 gene:Potri.012G128100.v4.1 transcript:Potri.012G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128100.v4.1 MAPSTSHASVLLIATILALLLAATPITCWRPWPHLKPNSSDLLYDSSKKFEGSSEFVHLRYHMGPVLTGNITVHTIWYGRWEKSQKKIIREFINSISTVNARPPSVSGWWRTVQLYTDQTGANISHTVQLGQEKNDRFYSHGKSLTRLSIQSVIKSAVTAKSKPLPTNPKNGLYLLLTSDDVYVQDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSEKLCPGVCAYPFSVPKYIPGLKALKSPNGDVGVDGMISVIAHEIAELATNPLVNAWYAGQDPSFPVEIADLCEGIYGTGGGGSYTGQMLLDHDGATYNMNGIRRKFLVQWVWNHFVSYCTGPNALDQ >Potri.006G006300.1.v4.1 pep chromosome:Pop_tri_v4:6:452429:453716:1 gene:Potri.006G006300.v4.1 transcript:Potri.006G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006300.v4.1 MSGIMSDNPTDWSQFYQQNLSNQVLPPIRPMFRDRVADSTTVTTTTINTSGVPNPMGSSNSSSSAGRQLSPEGRVAKPIRKRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPSAPFASGSQINATNFGFALGAYRQAHHVNQPSPVMMPPAGYNLQYQQQQQQQFQQQSQHPSSYMFSLGNSNIGTSTTTTTTTPGDMFFQRLGNPRVPTTSMEVSDQGFGSTEGAVISSLVAPPSRPPSSSSNENRSNTFLF >Potri.012G041900.2.v4.1 pep chromosome:Pop_tri_v4:12:3739784:3742942:1 gene:Potri.012G041900.v4.1 transcript:Potri.012G041900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041900.v4.1 MSTLIVPPLLSSPRDDAMHLYRAFKGFGTDTSAVISILAHRDAAQRALIQHEYRALYAEDLLKRLTSELTGKLETAVLLWMHDLPGRDAIIVRQALIADILNLETATEVICSRTSSQIQVFKQHYYAKFGVHLEHDIELRASGDHKKLLLAYVSTPRYEGREVDRNMVEKDAKALYKAGEKRLGTDEMTFIRVFSERSAAHLAAVDSAYHNMYGNSLKKAIKKETSGHFEHALKTILQCSENPAKYFVKLLRKAMKGLGTNDTALIRVIVTRTEIDMQYIKAEYLKKYRKTLNDAVHSETSGHYRAFLLALLGPNQ >Potri.002G046500.3.v4.1 pep chromosome:Pop_tri_v4:2:3034686:3041085:1 gene:Potri.002G046500.v4.1 transcript:Potri.002G046500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046500.v4.1 MDARFLCQTRSPVPSLPRQKRAWKLKHRSPVLAVLTRTPDNNMTGEEKKASHPTDMLGGLTKNTTVYNDSWFAKLAINYLSQRFQDATGMRNSKRDYESLTQTARDTWRKFSPTQQHEVKIMLPQCTFTREYFAAFTTLFFVWLVGPCEVRESDFNGRKEKNVVHIKKCRFLEETDCIGMCTNLCKVPSQTFIKHSFGMPVNMVPNFDDMSCEMIYGQEPPAITEDPAFKQPCYKLCKENRKHSMQCSS >Potri.002G046500.2.v4.1 pep chromosome:Pop_tri_v4:2:3034546:3041363:1 gene:Potri.002G046500.v4.1 transcript:Potri.002G046500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G046500.v4.1 MDARFLCQTRSPVPSLPRQKRAWKLKHRSPVLAVLTRTPDNNMTGEEKKASHPTDMLGGLTKNTTVYNDSWFAKLAINYLSQRFQDATGMRNSKRDYESLTQTARDTWRKFSPTQQHEVVLQSLNRAIPATISTLVKIMLPQCTFTREYFAAFTTLFFVWLVGPCEVRESDFNGRKEKNVVHIKKCRFLEETDCIGMCTNLCKVPSQTFIKHSFGMPVNMVPNFDDMSCEMIYGQEPPAITEDPAFKQPCYKLCKENRKHSMQCSS >Potri.001G355300.2.v4.1 pep chromosome:Pop_tri_v4:1:36913989:36918435:-1 gene:Potri.001G355300.v4.1 transcript:Potri.001G355300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355300.v4.1 MIASGNVTMKKLTIKIASQRIQAIPGKKLLGAEQSCSASMGENHGSQMTKQKSVAPVSRKRGPPEMIECQQQKRQKMDRSVTQQCSSLLKSLMAHPAGWVFNKPVDPVALKIPDYFTVISNPMDLGTVKSKLGKNFYASINEFAADIRLTFSNAMLYNPPSNNVHRMAEELNGIFETGWKALEENWNHEGPKFGSGKISSGCTTQIVNAKQNCLSMPSMHCTTLPKRSKTSKENVIRNLSNASVITEAKPTKPAEMRKSLVPNSYKGADGGGRHACGSTNVKPLLIPVASNCGKCGSNACRCSLQIDSYHTNSDISSERSSGRDQHACSTDTSKLAKIMPATQSSKSDPDSDEAVSALDDENICPGSQLTTPATDAASGEELSSLFAVPLSPSKALRYATIKHRFADTILKAQNKAVLDNGDKADPMKMRQVKERLERRQQEEKARIEAQIRAAEAATRRREETEMKRQREREREAARIELQKMEKTTGIEQNLDILKELEMLCGCSISLNYHFGSGRMEVVKGEIGACIGSPLERLGLFIKDDIEDVDEEFLDEDGEEGEILC >Potri.001G355300.1.v4.1 pep chromosome:Pop_tri_v4:1:36913974:36918435:-1 gene:Potri.001G355300.v4.1 transcript:Potri.001G355300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355300.v4.1 MIASGNVTMKKLTIKIASQRIQAIPGKKLLGAEQSCSASMGENHGSQMTKQKSVAPVSRKRGPPEMIECQQQKRQKMDRSVTQQCSSLLKSLMAHPAGWVFNKPVDPVALKIPDYFTVISNPMDLGTVKSKLGKNFYASINEFAADIRLTFSNAMLYNPPSNNVHRMAEELNGIFETGWKALEENWNHEGPKFGSGKISSGCTTQIVNAKQNCLSMPSMHCTTLPKRSKTSKENVIRNLSNASVITEAKPTKPAEMRKSLVPNSYKGADGGGRHACGSTNVKPLLIPVASNCGKCGSNACRCSLQIDSYHTNSDISSERSSGRDQHACSTDTSKLAKIMPATQSSKSDPDSDEAVSALDDENICPGSQLTTPATDAASGEELSSLFAVPLSPSKALRYATIKHRFADTILKAQNKAVLDNGDKADPMKMRQVKERLERRQQEEKARIEAQIRAAEAATRRREETEMKRQREREREAARIELQKMEKTTGIEQNLDILKELEMLCGCSISLNYHFGSGRMEVVKGEIGACIGSPLERLGLFIKDDIEDVDEEFLDEDGEEGEILC >Potri.017G090100.1.v4.1 pep chromosome:Pop_tri_v4:17:10330160:10334553:1 gene:Potri.017G090100.v4.1 transcript:Potri.017G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090100.v4.1 MVAAITISCPKSRVLQNPTTLKDSSSSFFCGSLKGVPFQLKPRNKRPDSFSLVVAAASNTPTTTSTSSSGGGGGRFYLNFTGFPFPLGPFLNRRTIRTEAVKSCIWLFEQEQALGFSSVSTNIRMTVIKLKSGGLWVHAPIAPTEECIQLLKELGAPVEYIVLPTFAYEHKIFVGPFSRKFPRAQIWVAPRQWSWPLNLPLEFFGIFRAKTLTDEDMSTPWADEIEQKVLSSPEVGIGPYVEVAFYHKRSRSLLVTDAVIFVPRMPPECIGKESLLASAKNGLAVKILSKGKEVPQEPVVDNQMSRQKGWERMVLQILFLGPSNLLEPNASFAQMSQKLIVSPIVKTLVFSKVPEKVRDWIDSIVRDWKFKRIIPAHFAAPINAGRSEFLAAFAFLDDLLGERYVTRPSLSLLFTSIMGKAASYFPPDDMKTLSSLDQFLVSVGAVKKTVSGRKKTA >Potri.002G259300.13.v4.1 pep chromosome:Pop_tri_v4:2:24737606:24743101:-1 gene:Potri.002G259300.v4.1 transcript:Potri.002G259300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259300.v4.1 MKSKFRVNRRKREITRQEPGRLMERLSSGDQSRAVDGVRLSSESLATKENPTGAYSSNNGVLDLEPDTSNLEEAELSLRGRGSLNYEEARALLGRMEYQKGNIEAALHVFEGINIAAVTPRMKVTLAKRQEHHKRHSQSFATPSMSIHAASLLMEAIFLKAKSLQHLGRFREAAQSCKVIVDIVESSFSEGMPENFAADFKLQETLNKAVELLPELWKLADSPREAIMSYRRALLHHWNLDVETTARIQKDFAIFLLYSGGEASPPNLRSLMDSSFAPKSNIEEAILLLMILLRKMILTRIEWDPSILDHLSFALSVSGSLKALAGQVEELLPGIIDRRERYHILSLCYYGAGEELVALDLLRKLLHSNEDPKRVPALLMASKICGKSSKHAEEGINYARRALQSLENDCNQLESVANYLLGVSLSAHSQVAVADSERFTKQYEALEALESAGRMTKMQDSNILYHLSLENAEQRKLDVALYYAKHLLKLESGSTIRGWLLLARILSAQRLYKDAETVINAALDQTGKWDQGELLRTKAKLQIAQGQLENGIESYIQLLAVLQIQSKSLGPGTKLYKDNGNPPSHLELEVWHDMASVYIRLSRWHDAEACLSRSKAISSYSASRCHTTGVLYEQRGLYNEALKAFVSALDIDPTHVPSLVSTAVVLRRLRMQSNATRSFLMAALRLDRMSSSAWYNLGLLYKAEGAPSPSLEAAECFEAATFLEETAPVEPFR >Potri.002G259300.11.v4.1 pep chromosome:Pop_tri_v4:2:24737513:24743101:-1 gene:Potri.002G259300.v4.1 transcript:Potri.002G259300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259300.v4.1 MKSKFRVNRRKREITRQEPGRLMERLSSGDQSRAVDGVRLSSESLATKENPTGAYSSNNGVLDLEPDTSNLEEAELSLRGRGSLNYEEARALLGRMEYQKGNIEAALHVFEGINIAAVTPRMKVTLAKRQEHHKRHSQSFATPSMSIHAASLLMEAIFLKAKSLQHLGRFREAAQSCKVIVDIVESSFSEGMPENFAADFKLQETLNKAVELLPELWKLADSPREAIMSYRRALLHHWNLDVETTARIQKDFAIFLLYSGGEASPPNLRSLMDSSFAPKSNIEEAILLLMILLRKMILTRIEWDPSILDHLSFALSVSGSLKALAGQVEELLPGIIDRRERYHILSLCYYGAGEELVALDLLRKLLHSNEDPKRVPALLMASKICGKSSKHAEEGINYARRALQSLENDCNQLESVANYLLGVSLSAHSQVAVADSERFTKQYEALEALESAGRMTKMQDSNILYHLSLENAEQRKLDVALYYAKHLLKLESGSTIRGWLLLARILSAQRLYKDAETVINAALDQTGKWDQGELLRTKAKLQIAQGQLENGIESYIQLLAVLQIQSKSLGPGTKLYKILQDNGNPPSHLELEVWHDMASVYIRLSRWHDAEACLSRSKAISSYSASRCHTTGVLYEQRGLYNEALKAFVSALDIDPTHVPSLVSTAVVLRRLRMQSNATRSFLMAALRLDRMSSSAWYNLGLLYKAEGAPSPSLEAAECFEAATFLEETAPVEPFR >Potri.002G259300.10.v4.1 pep chromosome:Pop_tri_v4:2:24737588:24743114:-1 gene:Potri.002G259300.v4.1 transcript:Potri.002G259300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259300.v4.1 MKSKFRVNRRKREITRQEPGRLMERLSSGDQSRAVDGVRLSSESLATKENPTGAYSSNNGVLDLEPDTSNLEEAELSLRGRGSLNYEEARALLGRMEYQKGNIEAALHVFEGINIAAVTPRMKVTLAKRQEHHKRHSQSFATPSMSIHAASLLMEAIFLKAKSLQHLGRFREAAQSCKVIVDIVESSFSEGMPENFAADFKLQETLNKAVELLPELWKLADSPREAIMSYRRALLHHWNLDVETTARIQKDFAIFLLYSGGEASPPNLRSLMDSSFAPKSNIEEAILLLMILLRKMILTRIEWDPSILDHLSFALSVSGSLKALAGQVEELLPGIIDRRERYHILSLCYYGAGEELVALDLLRKLLHSNEDPKRVPALLMASKICGKSSKHAEEGINYARRALQSLENDCNQLESVANYLLGVSLSAHSQVAVADSERFTKQYEALEALESAGRMTKMQDSNILYHLSLENAEQRKLDVALYYAKHLLKLESGSTIRGWLLLARILSAQRLYKDAETVINAALDQTGKWDQGELLRTKAKLQIAQGQLENGIESYIQLLAVLQIQSKSLGPGTKLYKILQDNGNPPSHLELEVWHDMASVYIRLSRWHDAEACLSRSKAISSYSASRCHTTGVLYEQRGLYNEALKAFVSALDIDPTHVPSLVSTAVVLRRLRMQSNATRSFLMAALRLDRMSSSAWYNLGLLYKAEGAPSPSLEAAECFEAATFLEETAPVEPFR >Potri.002G259300.5.v4.1 pep chromosome:Pop_tri_v4:2:24737512:24742800:-1 gene:Potri.002G259300.v4.1 transcript:Potri.002G259300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259300.v4.1 MKSKFRVNRRKREITRQEPGRLMERLSSGDQSRAVDGVRLSSESLATKENPTGAYSSNNGVLDLEPDTSNLEEAELSLRGRGSLNYEEARALLGRMEYQKGNIEAALHVFEGINIAAVTPRMKVTLAKRQEHHKRHSQSFATPSMSIHAASLLMEAIFLKAKSLQHLGRFREAAQSCKVIVDIVESSFSEGMPENFAADFKLQETLNKAVELLPELWKLADSPREAIMSYRRALLHHWNLDVETTARIQKDFAIFLLYSGGEASPPNLRSLMDSSFAPKSNIEEAILLLMILLRKMILTRIEWDPSILDHLSFALSVSGSLKALAGQVEELLPGIIDRRERYHILSLCYYGAGEELVALDLLRKLLHSNEDPKRVPALLMASKICGKSSKHAEEGINYARRALQSLENDCNQLESVANYLLGVSLSAHSQVAVADSERFTKQYEALEALESAGRMTKMQDSNILYHLSLENAEQRKLDVALYYAKHLLKLESGSTIRGWLLLARILSAQRLYKDAETVINAALDQTGKWDQGELLRTKAKLQIAQGQLENGIESYIQLLAVLQIQSKSLGPGTKLYKILQDNGNPPSHLELEVWHDMASVYIRLSRWHDAEACLSRSKAISSYSASRCHTTGVLYEQRGLYNEALKAFVSALDIDPTHVPSLVSTAVVLRRLRMQSNATRSFLMAALRLDRMSSSAWYNLGLLYKAEGAPSPSLEAAECFEAATFLEETAPVEPFR >Potri.002G259300.12.v4.1 pep chromosome:Pop_tri_v4:2:24737497:24743101:-1 gene:Potri.002G259300.v4.1 transcript:Potri.002G259300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259300.v4.1 MKSKFRVNRRKREITRQEPGRLMERLSSGDQSRAVDGVRLSSESLATKENPTGAYSSNNGVLDLEPDTSNLEEAELSLRGRGSLNYEEARALLGRMEYQKGNIEAALHVFEGINIAAVTPRMKVTLAKRQEHHKRHSQSFATPSMSIHAASLLMEAIFLKAKSLQHLGRFREAAQSCKVIVDIVESSFSEGMPENFAADFKLQETLNKAVELLPELWKLADSPREAIMSYRRALLHHWNLDVETTARIQKDFAIFLLYSGGEASPPNLRSLMDSSFAPKSNIEEAILLLMILLRKMILTRIEWDPSILDHLSFALSVSGSLKALAGQVEELLPGIIDRRERYHILSLCYYGAGEELVALDLLRKLLHSNEDPKRVPALLMASKICGKSSKHAEEGINYARRALQSLENDCNQLESVANYLLGVSLSAHSQVAVADSERFTKQYEALEALESAGRMTKMQDSNILYHLSLENAEQRKLDVALYYAKHLLKLESGSTIRGWLLLARILSAQRLYKDAETVINAALDQTGKWDQGELLRTKAKLQIAQGQLENGIESYIQLLAVLQIQSKSLGPGTKLYKDNGNPPSHLELEVWHDMASVYIRLSRWHDAEACLSRSKAISSYSASRCHTTGVLYEQRGLYNEALKAFVSALDIDPTHVPSLVSTAVVLRRLRMQSNATRSFLMAALRLDRMSSSAWYNLGLLYKAEGAPSPSLEAAECFEAATFLEETAPVEPFR >Potri.002G259300.9.v4.1 pep chromosome:Pop_tri_v4:2:24737604:24743104:-1 gene:Potri.002G259300.v4.1 transcript:Potri.002G259300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259300.v4.1 MKSKFRVNRRKREITRQEPGRLMERLSSGDQSRAVDGVRLSSESLATKENPTGAYSSNNGVLDLEPDTSNLEEAELSLRGRGSLNYEEARALLGRMEYQKGNIEAALHVFEGINIAAVTPRMKVTLAKRQEHHKRHSQSFATPSMSIHAASLLMEAIFLKAKSLQHLGRFREAAQSCKVIVDIVESSFSEGMPENFAADFKLQETLNKAVELLPELWKLADSPREAIMSYRRALLHHWNLDVETTARIQKDFAIFLLYSGGEASPPNLRSLMDSSFAPKSNIEEAILLLMILLRKMILTRIEWDPSILDHLSFALSVSGSLKALAGQVEELLPGIIDRRERYHILSLCYYGAGEELVALDLLRKLLHSNEDPKRVPALLMASKICGKSSKHAEEGINYARRALQSLENDCNQLESVANYLLGVSLSAHSQVAVADSERFTKQYEALEALESAGRMTKMQDSNILYHLSLENAEQRKLDVALYYAKHLLKLESGSTIRGWLLLARILSAQRLYKDAETVINAALDQTGKWDQGELLRTKAKLQIAQGQLENGIESYIQLLAVLQIQSKSLGPGTKLYKDNGNPPSHLELEVWHDMASVYIRLSRWHDAEACLSRSKAISSYSASRCHTTGVLYEQRGLYNEALKAFVSALDIDPTHVPSLVSTAVVLRRLRMQSNATRSFLMAALRLDRMSSSAWYNLGLLYKAEGAPSPSLEAAECFEAATFLEETAPVEPFR >Potri.010G105700.13.v4.1 pep chromosome:Pop_tri_v4:10:12720705:12723662:1 gene:Potri.010G105700.v4.1 transcript:Potri.010G105700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105700.v4.1 MSKPQEEEKEEEEEEFQSSGKRLKCTKSLKEDEMESEVSLMEDTRGGLFFHPTTPVSFVVSDALELDFPIIYVNKVFEIFTGYHAHEVLGRNCRFLQYRDPRAQRRHPLVDPAVVSEIRRCLEEGMEFQGELLNFRKDGTPLVNRLRLVPIHDDDGIITQVIGIQVFSEAKIDLNHVSYPVYKETCNQRSDHSANYSPRHGQSPFTQHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEQVRKMVCQNAWGREVTGALELMTKKLGWGRLARELTTLEAVCWRKVTVGGAVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLDAANPVWQRISVKSSPPGRWGHTLSCLNGSWLVLFGGCGRQGLLNDVFVMDLDAKQPTWKEVSGGTPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYLLDLTIDKPIWREIPATWAPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYTIDLEDEEPHWRQLECSALTGIGSQSSVVPPPRLDHVAVSMPCGRNIIFGGSIAGLHSPSQLFLLDPAEEKPSWRILNVPGQPPKLAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASKQDSEP >Potri.010G105700.11.v4.1 pep chromosome:Pop_tri_v4:10:12720765:12724718:1 gene:Potri.010G105700.v4.1 transcript:Potri.010G105700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105700.v4.1 MSKPQEEEKEEEEEEFQSSGKRLKCTKSLKEDEMESEVSLMEDTRGGLFFHPTTPVSFVVSDALELDFPIIYVNKVFEIFTGYHAHEVLGRNCRFLQYRDPRAQRRHPLVDPAVVSEIRRCLEEGMEFQGELLNFRKDGTPLVNRLRLVPIHDDDGIITQVIGIQVFSEAKIDLNHVSYPVYKETCNQRSDHSANYSPRHGQSPFTQHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEQVRKMVCQNAWGREVTGALELMTKKLGWGRLARELTTLEAVCWRKVTVGGAVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLDAANPVWQRISVKSSPPGRWGHTLSCLNGSWLVLFGGCGRQGLLNDVFVMDLDAKQPTWKEVSGGTPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYLLDLTIDKPIWREIPATWAPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYTIDLEDEEPHWRQLECSALTGIGSQSSVVPPPRLDHVAVSMPCGRNIIFGGSIAGLHSPSQLFLLDPAEEKPSWRILNVPGQPPKLAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASKQDSEP >Potri.010G105700.12.v4.1 pep chromosome:Pop_tri_v4:10:12720765:12723690:1 gene:Potri.010G105700.v4.1 transcript:Potri.010G105700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105700.v4.1 MSKPQEEEKEEEEEEFQSSGKRLKCTKSLKEDEMESEVSLMEDTRGGLFFHPTTPVSFVVSDALELDFPIIYVNKVFEIFTGYHAHEVLGRNCRFLQYRDPRAQRRHPLVDPAVVSEIRRCLEEGMEFQGELLNFRKDGTPLVNRLRLVPIHDDDGIITQVIGIQVFSEAKIDLNHVSYPVYKETCNQRSDHSANYSPRHGQSPFTQHQEICGILQLSDEVLAHNILSRLTPRDVASIGSVCRRIRQLTKNEQVRKMVCQNAWGREVTGALELMTKKLGWGRLARELTTLEAVCWRKVTVGGAVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLDAANPVWQRISVKSSPPGRWGHTLSCLNGSWLVLFGGCGRQGLLNDVFVMDLDAKQPTWKEVSGGTPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYLLDLTIDKPIWREIPATWAPPSRLGHSLSVYGRTKILMFGGLAKSGHLRLRSGEAYTIDLEDEEPHWRQLECSALTGIGSQSSVVPPPRLDHVAVSMPCGRNIIFGGSIAGLHSPSQLFLLDPAEEKPSWRILNVPGQPPKLAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASKQDSEP >Potri.008G140800.1.v4.1 pep chromosome:Pop_tri_v4:8:9499204:9504880:-1 gene:Potri.008G140800.v4.1 transcript:Potri.008G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140800.v4.1 MIGGGGGGGEQAGPGQELRAADPAATKREFVVNVESSCSVSHNSNSHLLPPRNSAFFIPPPPPPPPPPTTTTTQPKERGRWETVVLAYKTLGVVFGGLVTSPLYVYPSMPLKSPTEQDYLGIYSIMFWTLTLIGVVKYTSIALKADDQGEGGTFALYSLLCRNMNIGILSSKQVQTNSSFSHSVLHEGTENKSRLGNFFERSIAARRALLFIAMLGTCMLIGDGILTPAISVLSAMEGIRAPFPSVSKSSVEALSAVVLVVLFLLQKFGTSRVSFMFSPIMGAWTLCTPLVGIYSIIQHYPSIFKALSPHYIFHFFWRNGKEGWLLLGGTVLCITGSEALFADLGHFNRSSIQIAFLLTIYPSLVLTYAGQTAYLIKNPNDHDDGFYKFIPTAIYWPIFIIATLAAIVASQSLISATFSVIKQSVVLDYFPRVKVVHTSSNKEGEVYSPEVNYILMILCVAVILIFGDGKDIGNAFGVVVSLVMLITTILLTLVMIMIWRTPPWLVAVYFFTFFTMEGVYSSAVLSKIPEGGWIPFAISFILAFIMFGWFYGRQKKIEYELTHKIDLGRLGLLLSEPGIQRVPGLCFFYSNIQDGLTPILGHYIKNMKSVHKVTIFTTLRYLLVPKVAAHERIVVNKLGLEGTYGCVIQYGYADSLNLEGDDIVSQVTDSLQVYLQNCSSCLTSEHHEVQEEISAFEEAKLAGVVHIRGKTRFYIGKNCSWFERSMLAFYEFMHSNCRSALPALGVPPPHRIEVGMLYEA >Potri.001G041140.1.v4.1 pep chromosome:Pop_tri_v4:1:2987306:2990020:-1 gene:Potri.001G041140.v4.1 transcript:Potri.001G041140.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041140.v4.1 MAPRTLITSTTLYNSSLIKPNSKFNFFSTEIISRTQKTKTLAMATAPNAAKVTPAVIVGVGRVGRALQEMGSGQDLLVKRGEPVPLDFEGPILVCTGNDDLDAVLEATPKPRWSDLVFFQNGMLEPWFQSKGLGDADHVLAYFAVSKLGEPPTDGKTDTNPEGLTAAYGKWASAVAAGGLSCKVLDKKAFQKQMLEKLIWISAFMLVGAHHPGATVGVVEKEFRYEVSSLITELASAAAAEKGIVFEEAIEERLCAYARAVAHFPTAVKEFKWRNGWFYSLSGNAVSEGKPDPCPLHTPWLKELKVV >Potri.016G080000.1.v4.1 pep chromosome:Pop_tri_v4:16:6139604:6142424:1 gene:Potri.016G080000.v4.1 transcript:Potri.016G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080000.v4.1 MESVAKLHCRHQPFNLSLNPHRPSFPKPIISLSFKTPPPSSSSPFKLSSTSIRASSSSSSRITPLNKNLGTIIKITSITLTAAAALFFTRLNIKPAIASPLTASSTVDPTEESSKENVSYEEQERALQDYLSQNPNDIEALRSLMEVRIKSKKLVEAIEVVDRLIELEPNEDEWPLLKSQIYTYSGDFESAKDGFEAILKKDPLRVEAYHGLVMANSESGGSLEVVLKRIESAMDKCKKEKKTSDLRDFKLLVAQVRVMEEKYLDALKVYEELVKEEPRDFRPYLCQGIIYTLLRKKDEAEKKFEQFKKLVPKNHPYREYLVDNMFATKFFSDKVERERS >Potri.011G068800.1.v4.1 pep chromosome:Pop_tri_v4:11:6039444:6042319:1 gene:Potri.011G068800.v4.1 transcript:Potri.011G068800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068800.v4.1 MDQETHGNKGFIRNLGQVYQGRIDNVGDLSGNMSNSDNGVGSEAITVVNLGEKVSAVVETEVLNSNIEGLGLIERVVNQGASDGKRIGESLDEGTSSNSSNRVNETVLETVNVMNSGVTSSVNGENKDLGSQNEELGLRGGVINQEIRHVLRDGEVLNLVGQGSSRHSSISVSEVLLETVIVIDSEENVGISGGNQRLEAKENELRSTKETVDESEAEVHLGGKSSRVIDMKCGDGDGTGGGGFKDNCDGEKVCRICHLTSEGLLEATDTTITATATSMDLIQLGCGCKDDLGFAHVNCAEAWFKLKGNRICEICGVTAVNITGIGDDRFLERRFISSGGHSSERNGGCLRGQTFCNFLMACLVIAFVLPWFLRVDML >Potri.001G103600.2.v4.1 pep chromosome:Pop_tri_v4:1:8336779:8341652:-1 gene:Potri.001G103600.v4.1 transcript:Potri.001G103600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103600.v4.1 MATKLHNQERLPGNLKKQLAIAVRSIQWSYAIFWSMSARQPGVLEWGDGYYNGDIKTRKTIQSIELDEDELGLQRSEQLRELYESLSVGEASPQARRPSAALSPEDLTDTEWYYLVCMSFIFDIGQGLPGTTLANGHPTWLCNAHSADSKVFSRSLLAKSASIQTVVCFPFMRGVIELGVTEQVLEDPSLINHIKTSFLEIPYAVAAKNSSARSEKELACATFNRETLDTKPIPVIGCGELDITSPNRNSNDQPAADLIMVEGLNGGASQMQSLQFMDDDHSVHHSLNSSDCISQTIVDPVKVVPILKNVKVNNQNLLDVQDCNHTKLTSLDLQKEDFHYQSVLSCLLKTSNPLILGPDVQNCHQESSFVSWKKAGSVHTHKLKSGTRQKVLKKILLEVPRMHVDGLLDSPEYNSNKVVVGRPEADENGASHALSERKQREKLNKRFMILKSIVPSISKVDKVSILDETIEYLQELERKVEELGSNRELLEVLTKRKPQDTAERTSDNYGSNKIGNGKHSLTNKRKAPDIDEMEPDINHNVSKDGSAESITVSVNKEDVLIEIKCRWREGILLEIMDVASHLHLDSHSVQSSTMDGILSLTIKSKHKGLNATSIGTIKQALRRVAGKC >Potri.001G103600.3.v4.1 pep chromosome:Pop_tri_v4:1:8336898:8341569:-1 gene:Potri.001G103600.v4.1 transcript:Potri.001G103600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103600.v4.1 MATKLHNQERLPGNLKKQLAIAVRSIQWSYAIFWSMSARQPGVLEWGDGYYNGDIKTRKTIQSIELDEDELGLQRSEQLRELYESLSVGEASPQARRPSAALSPEDLTDTEWYYLVCMSFIFDIGQGLPGTTLANGHPTWLCNAHSADSKVFSRSLLAKSASIQTVVCFPFMRGVIELGVTEQVLEDPSLINHIKTSFLEIPYAVAAKNSSARSEKELACATFNRETLDTKPIPVIGCGELDITSPNRNSNDQPAADLIMVEGLNGGASQMQSLQFMDDDHSVHHSLNSSDCISQTIVDPVKVVPILKNVKVNNQNLLDVQDCNHTKLTSLDLQKEDFHYQSVLSCLLKTSNPLILGPDVQNCHQESSFVSWKKAGSVHTHKLKSGTRQKVLKKILLEVPRMHVDGLLDSPEYNSNKVVVGRPEADENGASHALSERKQREKLNKRFMILKSIVPSISKVDKVSILDETIEYLQELERKVEELGSNRELLEVLTKRKPQDTAERTSDNYGSNKIGNGKHSLTNKRKAPDIDEMEPDINHNVSKDGSAESITVSVNKEDVLIEIKCRWREGILLEIMDVASHLHLDSHSVQSSTMDGILSLTIKSKHKGLNATSIGTIKQALRRVAGKC >Potri.010G149900.1.v4.1 pep chromosome:Pop_tri_v4:10:16013285:16015215:-1 gene:Potri.010G149900.v4.1 transcript:Potri.010G149900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149900.v4.1 MMDVEGNSNSSSSTTQSDEEMMVDLRRGPWTVEEDFKLIDYIATHGEGRWNSLARCAGLKRTGKSCRLRWLNYLRPDVRRGNITLEEQLMILELHSRWGNRWSKIAQHLPGRTDNEIKNYWRTRVQKHAKQLKCDVNSKQFKDTMRYLWMPRLIERIQAAAAATSSSTATSASPAASTNHHLINNNDVGTGNLVMPHGVIGNDFGVSHVTPSYTPENSSTAASSDSFAAQVSPVSDLTTDYYYIPVNHNPNPDYFQADQGGYSESMISPAGYFNQGLDFQAMEHNSNQWLMESGDTSDNLWNPEDIWFLQQQMNYNM >Potri.006G146700.2.v4.1 pep chromosome:Pop_tri_v4:6:12538025:12540219:-1 gene:Potri.006G146700.v4.1 transcript:Potri.006G146700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146700.v4.1 MENPILSSVPNMARSSAVVAQGDVVNFFQCMRFDPKVVAADHKSSRQGDFKRHMNAALGISADDSSGSSKGKVVLSPSPEEIKRVRDGLRGSSVKGRERVKIFTEALSAFNKLFPTIPSKKRSRLEGYSNDRPNASVSSDRSVLVPSLGKMGIQNHSATSGFELEQQKSEERTKNIVPNKRTRTSLVDVRNLTGFEPLLLLLL >Potri.006G146700.3.v4.1 pep chromosome:Pop_tri_v4:6:12538392:12540222:-1 gene:Potri.006G146700.v4.1 transcript:Potri.006G146700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146700.v4.1 MENPILSSVPNMARSSAVVAQGDVVNFFQCMRFDPKVVAADHKSSRQGDFKRHMNAALGISADDSSGSSKGKVVLSPSPEEIKRVRDGLRGSSVKGRERVKIFTEALSAFNKLFPTIPSKKRSRLEGYSNDRPNASVSSDRSVLVPSLGKMGIQNHSATSGFELEQQKSEERTKNIVPNKRTRTSLVDVRVCNFLLLPVHDCFI >Potri.006G146700.1.v4.1 pep chromosome:Pop_tri_v4:6:12538348:12540219:-1 gene:Potri.006G146700.v4.1 transcript:Potri.006G146700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146700.v4.1 MENPILSSVPNMARSSAVVAQGDVVNFFQCMRFDPKVVAADHKSSRQGDFKRHMNAALGISADDSSGSSKGKVVLSPSPEEIKRVRDGLRGSSVKGRERVKIFTEALSAFNKLFPTIPSKKRSRLEGYSNDRPNASVSSDRSVLVPSLGKMGIQNHSATSGFELEQQKSEERTKNIVPNKRTRTSLVDVRVCNFLLLPVHDCFI >Potri.007G085600.2.v4.1 pep chromosome:Pop_tri_v4:7:11017835:11021874:1 gene:Potri.007G085600.v4.1 transcript:Potri.007G085600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085600.v4.1 MALQTQMLRRNVKEAKAKFWWNSLCSSFSTVPSPIDSPPQLPPFDYQPKPYKGPSADEILQKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDENGRRYLDAFAGIVTVSCGHCHPQILNAIMEQSKLLQHATTIYLNHTIGDFAETLAAKMPGNLKVVYFVNSGSEANELAMLMARLYSGNLEMISLRNSYHGGSSGTIGLTALNTWKYSIPQGEIHHVINPDPYRGIFGSDPTGYAKDVQDHIDYGTSGKVAGFISETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIAQVMAQKIQFNTFGGNPVCSAGGLEVLRVIDQEKRQEHCAAVGSHLIGRLRELQRSHDSNLS >Potri.007G085600.1.v4.1 pep chromosome:Pop_tri_v4:7:11017789:11021875:1 gene:Potri.007G085600.v4.1 transcript:Potri.007G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085600.v4.1 MALQTQMLRRNVKEAKAKFWWNSLCSSFSTVPSPIDSPPQLPPFDYQPKPYKGPSADEILQKRKKFLGPSLFYYYQKPLNIVEGKMQYLFDENGRRYLDAFAGIVTVSCGHCHPQILNAIMEQSKLLQHATTIYLNHTIGDFAETLAAKMPGNLKVVYFVNSGSEANELAMLMARLYSGNLEMISLRNSYHGGSSGTIGLTALNTWKYSIPQGEIHHVINPDPYRGIFGSDPTGYAKDVQDHIDYGTSGKVAGFISETIQGVGGAVELAPGYLKLVYDIVRKAGGVCIADEVQTGFGRTGSHYWGFETQGVIPDIVTMAKGIGNGLPLGAVVTTPEIAQVMAQKIQFNTFGGNPVCSAGGLEVLRVIDQEKRQEHCAAVGSHLIGRLRELQRSHDIIGDVRGRGLMVGIELVTDRKEKTPAKAETAILFEKLRELGILVGKGGIHGNVFRIKPPMCFTKDDADCLVDALDYSMSKL >Potri.010G077800.1.v4.1 pep chromosome:Pop_tri_v4:10:10447199:10452632:-1 gene:Potri.010G077800.v4.1 transcript:Potri.010G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077800.v4.1 MMLGQVVKVQREEIAACMTCPLCNKLFRDATTISECLHTFCRKCIYKKITDEELDSCPVCDTELGCSPLEKLRADHSWQDLRAKIFLSNRKKAKEPETVSLVPEDVPSVPETAPAVPEAMPVQEADHSVPLIGRRKERSLSSLVVSTPKISVKSFLTGKRSKPIARKRESPVLIKELVKKVDDYYESLSSPETLSKIAQTKRQNSSTAESPKQHKPNKVSEDGVKPCKGKADFWKPLNCSVEGSSKTKSNKSELQEILVQIKKLDAQEKAQSLKTSVKEHGDKSKVNGEESNSTSWPSVSVKSRRLQGMQQKRAAPSEGLNIPAQTIVDANSKCDTRLSPIWFSLVASDHEQGGSAPLPQISSCYLRVKDGSLPVSYIKKYLAQKLGLVREAEVEISMRGQPVVSTLQLHNLVDWWLQTASASERIRTTVGSSAKDFVMVLSYGRKAHPP >Potri.010G077800.2.v4.1 pep chromosome:Pop_tri_v4:10:10447199:10452024:-1 gene:Potri.010G077800.v4.1 transcript:Potri.010G077800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077800.v4.1 MMNKVCRKCIYKKITDEELDSCPVCDTELGCSPLEKLRADHSWQDLRAKIFLSNRKKAKEPETVSLVPEDVPSVPETAPAVPEAMPVQEADHSVPLIGRRKERSLSSLVVSTPKISVKSFLTGKRSKPIARKRESPVLIKELVKKVDDYYESLSSPETLSKIAQTKRQNSSTAESPKQHKPNKVSEDGVKPCKGKADFWKPLNCSVEGSSKTKSNKSELQEILVQIKKLDAQEKAQSLKTSVKEHGDKSKVNGEESNSTSWPSVSVKSRRLQGMQQKRAAPSEGLNIPAQTIVDANSKCDTRLSPIWFSLVASDHEQGGSAPLPQISSCYLRVKDGSLPVSYIKKYLAQKLGLVREAEVEISMRGQPVVSTLQLHNLVDWWLQTASASERIRTTVGSSAKDFVMVLSYGRKAHPP >Potri.003G118500.1.v4.1 pep chromosome:Pop_tri_v4:3:14028535:14031016:1 gene:Potri.003G118500.v4.1 transcript:Potri.003G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118500.v4.1 MENRRCEIFPTMLVTILLSLSILFSSTQAITDQVVSSSTSNSIVNQESKLETYIVFLKKSEGMVSAKPEDLDNWYQSFLPAVTTSSSNQQRLIHSYHHVVTGFAAKLTKQEAKAMETKEGFVSAWPQKVLNVKTTHTPNFLGLEQNLGFWNHSNYGKGVIVGVLDTGVTPNHPSFSDEGMPPPPPKWKGKCEFNGTLCNNKLIGARNFYSAGTPPIDGHGHGTHTASTAAGNPVPGASFFEQYNGTAVGIASSAHLAIYQVCSEFGSCSESDILAGMDTAVEDGVDVLSLSLGGPSVPFYEDSIAIGAFGAIQKGIFVSCAAGNSGPFNESLSNEAPWILTVGASTVDRSIRATVMLENNAQYDGESFYQPTNFSSFLLPLFYAGSNGNESAAFCDPGSLKDVDVRGKVVLCERGGYSGLVYKGQEVKDAGGAAMIVMNDEFYGNVTTASLHVLPASHVTYADGLSIKAYINSTSSPMATILFKGTVFGVPYAPQVAIFSSRGPSLASPGILKPDILGPGVRILAAWLHPVDNRLNTTPGFNVISGTSMATPHLSGIAALLKSSHPDWSPAAIKSAIMTTANLTNLGGMPITDQFFVPVDVFGIGSGHVNPTKADDPGLVYDIQPDDYIPYLCGLGYNDTAIGIIVQRPVTCSNSSSIPEAQLNYPSFSIKLGSGPQAYTRTVTNVGPLKSSYIAEIISPQGVDVKVTPSAIEFGGGSSKATYSVTFTRTANVKVPFAQGYLNWVSADHVVRSPIAVIFE >Potri.008G123800.1.v4.1 pep chromosome:Pop_tri_v4:8:8051092:8051834:-1 gene:Potri.008G123800.v4.1 transcript:Potri.008G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123800.v4.1 MATLQKFKLLATQCGEAQSPTRSPRTSTAVHLRQRRKTTLRILLGIRSPRRHEPPIHHRRSVAPLPERKRDTLNSNWFVSATAFDEELERRRRGAEKEM >Potri.010G045300.5.v4.1 pep chromosome:Pop_tri_v4:10:7720250:7723878:1 gene:Potri.010G045300.v4.1 transcript:Potri.010G045300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045300.v4.1 MKQSTWPGRSDGSRFKSLPIKHQFHQSEFKSTSKFWSASLASRQIRSSDGDFSKLPFDILTKIAASFTLPNLQAASLVCKSWSEGLRPLREAMLFLKWGKRFKHGRGGVRPNLSKALESFLKGAARGSTLAMVDAGLLYWEIGDKDKAIALYEKAAKLGDRSGQCNLGLAYLQAEPSKRKEAVKWLFQASKSGHVRAQYQFALCLHQGSGVNCNLQEAVRISLLVLLP >Potri.010G045300.1.v4.1 pep chromosome:Pop_tri_v4:10:7720252:7723877:1 gene:Potri.010G045300.v4.1 transcript:Potri.010G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045300.v4.1 MKQSTWPGRSDGSRFKSLPIKHQFHQSEFKSTSKFWSASLASRQIRSSDGDFSKLPFDILTKIAASFTLPNLQAASLVCKSWSEGLRPLREAMLFLKWGKRFKHGRGGVRPNLSKALESFLKGAARGSTLAMVDAGLLYWEIGDKDKAIALYEKAAKLGDRSGQCNLGLAYLQAEPSKRKEAVKWLFQASKSGHVRAQYQFALCLHQGSGVNCNLQEAARWYLKAAEGGYVRAMYNVALCYSVGEGLAQSHRLARKWMKRAADRGHSKAQFEHGLGLFSEGEQLKAVVYLELATRAGETAAAHVKNVILQQLSATSRDRVMNLADNWRALPSSR >Potri.010G045300.4.v4.1 pep chromosome:Pop_tri_v4:10:7720308:7723876:1 gene:Potri.010G045300.v4.1 transcript:Potri.010G045300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045300.v4.1 MKQSTWPGRSDGSRFKSLPIKHQFHQSEFKSTSKFWSASLASRQIRSSDGDFSKLPFDILTKIAASFTLPNLQAASLVCKSWSEGLRPLREAMLFLKWGKRFKHGRGGVRPNLSKALESFLKGAARGSTLAMVDAGLLYWEIGDKDKAIALYEKAAKLGDRSGQCNLGLAYLQAEPSKRKEAVKWLFQASKSGHVRAQYQFALCLHQGSGVNCNLQEAARWYLKAAEGGYVRAMYNVALCYSVGEGLAQSHRLARKWMKRAADRGHSKAQFEHGLGLFSEGEQLKAVVYLELATRAGETAAAHVKNVILQQLSATSRDRVMNLADNWRALPSSR >Potri.010G045300.3.v4.1 pep chromosome:Pop_tri_v4:10:7720250:7723882:1 gene:Potri.010G045300.v4.1 transcript:Potri.010G045300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045300.v4.1 MKQSTWPGRSDGSRFKSLPIKHQFHQSEFKSTSKFWSASLASRQIRSSDGDFSKLPFDILTKIAASFTLPNLQAASLVCKSWSEGLRPLREAMLFLKWGKRFKHGRGGVRPNLSKALESFLKGAARGSTLAMVDAGLLYWEIGDKDKAIALYEKAAKLGDRSGQCNLGLAYLQAEPSKRKEAVKWLFQASKSGHVRAQYQFALCLHQGSGVNCNLQEAARWYLKAAEGGYVRAMYNVALCYSVGEGLAQSHRLARKWMKRAADRGHSKAQFEHGLGLFSEGEQLKAVVYLELATRAGETAAAHVKNVILQQLSATSRDRVMNLADNWRALPSSR >Potri.010G045300.6.v4.1 pep chromosome:Pop_tri_v4:10:7720451:7720978:1 gene:Potri.010G045300.v4.1 transcript:Potri.010G045300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045300.v4.1 MKQSTWPGRSDGSRFKSLPIKHQFHQSEFKSTSKFWSASLASRQIRSSDGDFSKLPFDILTKIAASFTLPNLQAASLVCKSWSEGLRPLREAMLFLKWGKRFKHGRGGVRPNLSKALESFLKGAARGSTLAMVDAGLLYWEIGDKDKAIALYEKAAKLGDRSGQCNLGLAYLQGI >Potri.018G025800.1.v4.1 pep chromosome:Pop_tri_v4:18:1892753:1895089:-1 gene:Potri.018G025800.v4.1 transcript:Potri.018G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025800.v4.1 MAILYALVARGSVVLAEFTSTATNASAIARQILDKIPGNDDSNVSYSQDRYIFHVKRTDGLTVLCMADETAGRRIPFAFLEDIHQRFVRTYGRAVITAQAYAMNDEFSRVLSQQMEYYTNDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQARRFRSTVWWRNVKLTVALILLLLVIIYVVLAFVCHGLTLPTCLK >Potri.011G021332.1.v4.1 pep chromosome:Pop_tri_v4:11:1614526:1616259:-1 gene:Potri.011G021332.v4.1 transcript:Potri.011G021332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021332.v4.1 MRDFHHRLLLFLYLFLLSLYQASIASSLSTATKAAHHRCRDDQRSAFAQLQENLKFPVSSSKAELWDLKTDCCSWEGVACNDVGHATRLDLSSAYDEYGDSISLKKPNLGMLFQNLSFLVELNLDYVNISAQGSNWCEVISHVLPNLRVLSLSGSGLSGPLCSSLSKLHFLSKLDLHSNSELSSIPPSFLANSFNLETLDLSYCGLNGSFPNNIFLLPKLQYIDLSENLLLPGQFPDFSLNSSIQYLSLKSTSFSGNIPLSISNLKSLNYLDLSRCKFYGVIPV >Potri.014G066200.1.v4.1 pep chromosome:Pop_tri_v4:14:4119489:4121651:-1 gene:Potri.014G066200.v4.1 transcript:Potri.014G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066200.v4.1 MALLVDQQPNFKHFCKICKKGFMCGRALGGHMRAHGIGDENVNMEDEDPASDWEDKLGATVPPGTRRMYALRTNPNRLKSCRVCENCGKEFLSWKSFLEHGRCTSEDADQLLVSSPGSDEEGGTPRRGCYWSKRKRSLRAKVGNFNSSCPSSEEEDLANCLMMLSNATVDPLEAEPEESCASASKEEERRNPLNFMAHVEYKPPLDKAKGIAKGMFECKACKKVFNSHQALGGHRASHKKVKGCYAARLDQGMEDSLADHDEDFITNDEFFSTKSTSTLQFDHGSTPPLASTSKRKSKVHECSICHRVFSSGQALGGHKRCHWLTSNSPDTSSFPKFHQFQDHLDQIQRRPKFINNSEPLDLTLDLNLPAHPPVANPSNIAVSTEIYLQPWTRVDAKVKDDNNHQHQNEKDHRDTKDNNNDDNNNYYSSVQNVNDEADSKVKLAKLSELKDMSTSGSSSPWLQVGIGSTTDVRADQL >Potri.008G058200.1.v4.1 pep chromosome:Pop_tri_v4:8:3454183:3456390:-1 gene:Potri.008G058200.v4.1 transcript:Potri.008G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058200.v4.1 MDSVVSELEGTLLKGGDPFSYFMLVAFEASGLLRFALLLILWPVIGLLDMLGMGEYGLKLMVFVAIAGVSESEIESVARAVLPKFYLDDIDMEAWKVFSSYDKRVVVTKMPRIMAERFVKEHLRADEVIGSELVISRFGFATGFVKGNIIDSYISSRVAKLFIDEKPCLGQGRVTSSFLSLCKEQIHPPCMTNDQKQHDHQLVRPLPVIFHDGRLVKRPTPSMALLIILWMPIGIVLAAVRILVGIMLPMWAKPYLSKMLGGKVIVKGKPPPPASSGNSGVLFVCTHRTLMDPVVLSTVLRRKIPAVTYSISRLSEILSPIPTVRLTRIRDVDADQIKRELARGDLVVCPEGTTCREPFLLRFSALFAELTDQIVPVAMNYRVGFFHATTARGCKALDPIFFFMNPRPVYEVTFLSQLPVEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGSVSHTSFANQLKKVVSTFKPFFH >Potri.001G317001.4.v4.1 pep chromosome:Pop_tri_v4:1:32720090:32723965:1 gene:Potri.001G317001.v4.1 transcript:Potri.001G317001.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317001.v4.1 MEDLHKLYPEALPNIPISVIKGTPVDKRVAHFCKALTYIRDSWMVRFRYDKTGRIKNTDGAQLAFATFDYMIKVARELFDILEDEELENDYGSLASTLKQSSSYPSKLVSPAAATDVCAKTRESANISEPPSRPQSLGDQGIKKYSHLMQKNESIEAQKPNSKVPTSKNTKGVASIMDENSIKTPDDKPGRTGIPMAPPPPANISKERAQSTPPPVMPSKGSVPDAMPLKKGPPPPPPPPPAMSLKGSAPNGMPPKNVPAPSPLPTMPSKGSAPNSLPLEKGPPPPPPPLVPSKGSIPNAMPLRKGPPPPPPPPPPPVMPSKGSTPNGMPLDTVPAPPPPPMMSSKGSASNTMLLKKGPPPPLPPQFGAGKSLFQTRPTTKLKRSTQMPNLFRDLRSKMEGSSLTVKTTNVRKVQLGGSKGGKEGLAASLPEMTKRSTYFQQVEEDIKIYSKSIIELKADINSFQTKDMIKLLKFQSNVESILQFLTDESQVLAKFEGFPSKKLETLRTAAALYSKFDTIVTTLKNWEVVPPLGQLLDKIEKYLNQIRAGCI >Potri.001G317001.1.v4.1 pep chromosome:Pop_tri_v4:1:32720090:32723965:1 gene:Potri.001G317001.v4.1 transcript:Potri.001G317001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317001.v4.1 MEDLHKLYPEALPNIPISVIKGTPVDKRVAHFCKALTYIRDSWMVRFRYDKTGRIKNTDGAQLVDAAFATFDYMIKVARELFDILEDEELENDYGSLASTLKQSSSYPSKLVSPAAATDVCAKTRESANISEPPSRPQSLGDQGIKKYSHLMQKNESIEAQKPNSKVPTSKNTKGVASIMDENSIKTPDDKPGRTGIPMAPPPPANISKERAQSTPPPVMPSKGSVPDAMPLKKGPPPPPPPPPAMSLKGSAPNGMPPKNVPAPSPLPTMPSKGSAPNSLPLEKGPPPPPPPLVPSKGSIPNAMPLRKGPPPPPPPPPPPVMPSKGSTPNGMPLDTVPAPPPPPMMSSKGSASNTMLLKKGPPPPLPPQFGAGKSLFQTRPTTKLKRSTQMPNLFRDLRSKMEGSSLTVKTTNVRKVQLGGSKGGKEGLAASLPEMTKRSTYFQQVEEDIKIYSKSIIELKADINSFQTKDMIKLLKFQSNVESILQFLTDESQVLAKFEGFPSKKLETLRTAAALYSKFDTIVTTLKNWEVVPPLGQLLDKIEKYLSKIKLELDAFERSKDEESKKFKSHNIDFEFHIVTKIRESMVNLSSNCMELALKERRESKTAVENQQTMAKPDQKTKESVKMLWRVFQLAFRVYSFAGGQDDRAETLAKELADEILIDSPNQ >Potri.001G317001.3.v4.1 pep chromosome:Pop_tri_v4:1:32720090:32723965:1 gene:Potri.001G317001.v4.1 transcript:Potri.001G317001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317001.v4.1 MEDLHKLYPEALPNIPISVIKGTPVDKRVAHFCKALTYIRDSWMVRFRYDKTGRIKNTDGAQLVDAAFATFDYMIKVARELFDILEDEELENDYGSLASTLKQSSSYPSKLVSPAAATDVCAKTRESANISEPPSRPQSLGDQGIKKYSHLMQKNESIEAQKPNSKVPTSKNTKGVASIMDENSIKTPDDKPGRTGIPMAPPPPANISKERAQSTPPPVMPSKGSVPDAMPLKKGPPPPPPPPPAMSLKGSAPNGMPPKNVPAPSPLPTMPSKGSAPNSLPLEKGPPPPPPPLVPSKGSIPNAMPLRKGPPPPPPPPPPPVMPSKGSTPNGMPLDTVPAPPPPPMMSSKGSASNTMLLKKGPPPPLPPQFGAGKSLFQTRPTTKLKRSTQMPNLFRDLRSKMEGSSLTVKTTNVRKVQLGGSKGGKEGLAASLPEMTKRSTYFQQVEEDIKIYSKSIIELKADINSFQTKDMIKLLKFQSNVESILQFLTDESQVLAKFEGFPSKKLETLRTAAALYSKFDTIVTTLKNWEVVPPLGQLLDKIEKYLNQIRAGCI >Potri.001G317001.2.v4.1 pep chromosome:Pop_tri_v4:1:32720090:32723965:1 gene:Potri.001G317001.v4.1 transcript:Potri.001G317001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317001.v4.1 MEDLHKLYPEALPNIPISVIKGTPVDKRVAHFCKALTYIRDSWMVRFRYDKTGRIKNTDGAQLAFATFDYMIKVARELFDILEDEELENDYGSLASTLKQSSSYPSKLVSPAAATDVCAKTRESANISEPPSRPQSLGDQGIKKYSHLMQKNESIEAQKPNSKVPTSKNTKGVASIMDENSIKTPDDKPGRTGIPMAPPPPANISKERAQSTPPPVMPSKGSVPDAMPLKKGPPPPPPPPPAMSLKGSAPNGMPPKNVPAPSPLPTMPSKGSAPNSLPLEKGPPPPPPPLVPSKGSIPNAMPLRKGPPPPPPPPPPPVMPSKGSTPNGMPLDTVPAPPPPPMMSSKGSASNTMLLKKGPPPPLPPQFGAGKSLFQTRPTTKLKRSTQMPNLFRDLRSKMEGSSLTVKTTNVRKVQLGGSKGGKEGLAASLPEMTKRSTYFQQVEEDIKIYSKSIIELKADINSFQTKDMIKLLKFQSNVESILQFLTDESQVLAKFEGFPSKKLETLRTAAALYSKFDTIVTTLKNWEVVPPLGQLLDKIEKYLSKIKLELDAFERSKDEESKKFKSHNIDFEFHIVTKIRESMVNLSSNCMELALKERRESKTAVENQQTMAKPDQKTKESVKMLWRVFQLAFRVYSFAGGQDDRAETLAKELADEILIDSPNQ >Potri.002G000600.2.v4.1 pep chromosome:Pop_tri_v4:2:57238:58509:-1 gene:Potri.002G000600.v4.1 transcript:Potri.002G000600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000600.v4.1 MSGIVRKLWCCGAKGFPSADDSAEDQLALPPPEGHVRVCVGKDNVQCRFEMEAHFLNHPLFEDLLRLSEQEHGYAYDGALRIACEIHLFQYLLHLLKTGNPTAHYMQLPDLISTFHSSAAHHKYPPFLLLLPRF >Potri.010G098400.1.v4.1 pep chromosome:Pop_tri_v4:10:12155542:12160530:-1 gene:Potri.010G098400.v4.1 transcript:Potri.010G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098400.v4.1 MRHIEAAIIFLFKMLISLRNLGSSLPRRVSPPCNHHLSSIFSSPSTTTTRACLISCCFTGVLCILRFPFVTKSNSNPSNFFDVLDKVSMTKIIQQDQWNDPRVVGSIQSSLAPIWVSRVLVEFKQDPKLALKFFKWAKTRVGFRHTTESYCILAHILFYARMYFHANNILKELVLSSWVLPGSDVFEILWTTRNVCVPGFGVFDALFSVLVELGMLEAAGQCFLRMTKFRVLPKARSCNAFLHRLSKAGEGDLSRDFFRDMVGAGIAPTVFTYNIMIGHVCKEGDMLTARSLFEQMKKMGLTPDIVTYNTLIDGYGKIGLLDESVCLFEEMKFMGCEPDVITYNALINSFCKFKGMLRAFEFFREMKDKDLKPNVISYSTLIDALCKEGMMQMAIKFFVDMTRVGLLPNEFTYSSLIDANCKAGNLGEAFMLADEMLQEHVDLNIVTYTTLLDGLCEEGMMNEAEELFRAMGKAGVTPNLQAYTALIHGHIKVRSMDKAMELFNEMREKDIKPDILLWGTIVWGLCSESKLEECKIIMTEMKESGIGANPVIYTTLMDAYFKAGNRTEAINLLEEMRDLGTEVTVVTFCALIDGLCKRGLVQEAIYYFGRMPDHDLQPNVAVYTALIDGLCKNNCIGDAKKLFDEMQDKNMIPDKIAYTAMIDGNLKHGNFQEALNMRNKMMEMGIELDLYAYTSLVWGLSQCGQVQQARKFLAEMIGKGIIPDETLCTRLLRKHYELGNIDEAIELQNELVEKGLIHGNSNPAVPNIQTRDDLDLSNFTK >Potri.010G098400.2.v4.1 pep chromosome:Pop_tri_v4:10:12157113:12160541:-1 gene:Potri.010G098400.v4.1 transcript:Potri.010G098400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098400.v4.1 MRHIEAAIIFLFKMLISLRNLGSSLPRRVSPPCNHHLSSIFSSPSTTTTRACLISCCFTGVLCILRFPFVTKSNSNPSNFFDVLDKVSMTKIIQQDQWNDPRVVGSIQSSLAPIWVSRVLVEFKQDPKLALKFFKWAKTRVGFRHTTESYCILAHILFYARMYFHANNILKELVLSSWVLPGSDVFEILWTTRNVCVPGFGVFDALFSVLVELGMLEAAGQCFLRMTKFRVLPKARSCNAFLHRLSKAGEGDLSRDFFRDMVGAGIAPTVFTYNIMIGHVCKEGDMLTARSLFEQMKKMGLTPDIVTYNTLIDGYGKIGLLDESVCLFEEMKFMGCEPDVITYNALINSFCKFKGMLRAFEFFREMKDKDLKPNVISYSTLIDALCKEGMMQMAIKFFVDMTRVGLLPNEFTYSSLIDANCKAGNLGEAFMLADEMLQEHVDLNIVTYTTLLDGLCEEGMMNEAEELFRAMGKAGVTPNLQAYTALIHGHIKVRSMDKAMELFNEMREKDIKPDILLWGTIVWGLCSESKLEECKIIMTEMKESGIGANPVIYTTLMDAYFKAGNRTEAINLLEEMRDLGTEVTVVTFCALIDGLCKRGLVQEAIYYFGRMPDHDLQPNVAVYTALIDGLCKNNCIGDAKKLFDEMQDKNMIPDKIAYTAMIDGNLKHGNFQEALNMRNKMMEMGIELDLYAYTSLVWGLSQCGQVQQARKFLAEMIGKGIIPDETLCTRLLRKHYELGNIDEAIELQNELVEKGLIHGNSNPAVPNIQTRDDLDLSNFTK >Potri.002G169600.2.v4.1 pep chromosome:Pop_tri_v4:2:13034088:13037560:1 gene:Potri.002G169600.v4.1 transcript:Potri.002G169600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169600.v4.1 MACNSMAIPSSPATTAQKDVFKEHDGLFRSNSGKDFCNRATMQRSYSDNHLCYSINRVRAESTQPKLKSSRSVGIFPFQIASSIIPTSLRSFLFDPETSKDMNVAKDGIEISSDKGGNIGDNSDDGSANDELGEVKRANWVERIYEIGIHRRKRQQKEDIYGKESSDANKNGDSNCEGGCTVDYNSEEEGGETKYDRETFSRFLAPVAWSDIKLFSKLAFLCNMAYVIPEIKAMDLRRYHGLHFVTSSLEKKAEAAAMKEKLDQDSTHVPAAASVVAKSNPQNTEEPEQKHPIRSSVAYGIAASAASYVQSHARGLLCHGAQPQQEGECADSSSTGNQPVEDRDRPVEDCERSQRVYKKSEVAAYVAASTMTAVVAAGEKEKQEAARDLQSLHSAPCEWFVCDDIRTYTRCFVIQGSDSLASWQANLLFEPAKFEGTDVLVHRGIYEAAKGIYDQFVPEIMEHLNKYGKRAKLQFTGHSLGGSLSLLVHLMLLTRKFVKPSTLRPVVTFGSPFVFCGGQKILNHLGLDDNHVHCVVMHRDIVPRAFSCNYPNHVALVLMRLSGSFQSHPCLIKNKFLYSPLGKLFILQPDEKSSPPHPLLPPGSALYAFNKTQYGFSASAIKAFLNCPHPLETLSDPKAYGSEGTILRDHDSSNYLNAVNKVIRQNLKMVRKVQEQRNQLWPLLASPSPHSWNHENTLEKSVLGTKEVMTRV >Potri.010G224900.1.v4.1 pep chromosome:Pop_tri_v4:10:20889223:20891606:1 gene:Potri.010G224900.v4.1 transcript:Potri.010G224900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224900.v4.1 MVLYFYQPVIVILCPSHSFLMCKSKKSTSIIDSTTPKSMASKSLKSSTSSFVNTSSSHNYPSTNYTPNYSTTSKSWSFSNKTSLSSLRDSLPENPHIYDFSEICKATNNFLQKPFSSSSSSTSWRCSIRGKEVILFQRKSRHQIGLPELQERLLTICRSHHSSLVKLLGASTSGNYIYLVYEYVHGANLATCLRNPQNPSYTVLSSWLSRMKVATDLAHGLDYIHHCLGLNSEYVHNHVKSYSILVTEDSLNAKICHFGTAELCGEIVGNERSSAKSFGRLDSRVMKIEGTRGYMAPESQSIGGKTQKGDVYAFGVVILELVSGEEALRYVFDEGGGGYKRISVIERAREVVAVGGGELRKWVDKRVKDSYPVEVAEKIVLLGLECVDDDPENRPDMGQVEVRVSKLYLESKNWAEKIGLPTDFSVSMAPR >Potri.010G200200.6.v4.1 pep chromosome:Pop_tri_v4:10:19277900:19283783:1 gene:Potri.010G200200.v4.1 transcript:Potri.010G200200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200200.v4.1 MVHDRMTECVYTQKLMSFDASVVPEEVRHVPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLQVNPNNSVIGFKDNSSAIKGFPVKQLRPVQPGLTCPLNVIDRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDNSFAYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGEGNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIRAIVIGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSAIEKCRANGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVPAREPLDIAPGITVMDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGDEGVLLHIDLAKGKRRLGGSALAQAFGQVGDDCPDLDDVSYLKKTFESVQDLISDEIISSGHDISDGGLLVCALEMAFAGNCGILLDLTSKRESHFETFFAEELGLVLEVSRKNLDIVMQKLYSAGVSGEIIGQVTASPLIELKVDGVTCLKEETSFLRDTWEETSFHLEKFQRLASCVDLEKEGLKSRHEPTWRMSFTPSFTDEKYMIATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDITMSDLLNGVISLCDFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYRRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPAQPRFVHNESGRFECRFTSVTIEDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDGVIHSNLAPVRYCDDDGNPTEVYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPTQWNVDKKGPSPWLKMFQNAREWCS >Potri.010G200200.2.v4.1 pep chromosome:Pop_tri_v4:10:19276824:19282172:1 gene:Potri.010G200200.v4.1 transcript:Potri.010G200200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200200.v4.1 MAGAREITAATEFLRGTHRQSLFVHGDLPINRRNQLLWGTLRNHKIAFGVSNKRGVSLRCRAQSKPRAFVSGAGTSSVDEQSSFIEKPVQELIHFYRIPLIQESATLEILKSAQTKVSNKIVGLQTEQCFNIGIQSGVSSQKLGCLRWLLQETYEPENLGTESFLEKKTKEGVNAVIVEVGPRLSFTTAWSANAVSICRACGLTEVTRLERSRRYLLYSKGVLPDYQINEFAAMVHDRMTECVYTQKLMSFDASVVPEEVRHVPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLQVNPNNSVIGFKDNSSAIKGFPVKQLRPVQPGLTCPLNVIDRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDNSFAYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGEGNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIRAIVIGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSAIEKCRANGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVPAREPLDIAPGITVMDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGDEGVLLHIDLAKGKRRLGGSALAQAFGQVGDDCPDLDDVSYLKKTFESVQDLISDEIISSGHDISDGGLLVCALEMAFAGNCGILLDLTSKRESHFETFFAEELGLVLEVSRKNLDIVMQKLYSAGVSGEIIGQVTASPLIELKVDGVTCLKEETSFLRDTWEETSFHLEKFQRLASCVDLEKEGLKSRHEPTWRMSFTPSFTDEKYMIATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDITMSDLLNGVISLCDFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYRRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPAQPRFVHNESGRFECRFTSVTIEDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDGVIHSNLAPVRYCDDDGNPTEVYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPTQWNVDKKGPSPWLKMFQNAREWCS >Potri.010G200200.7.v4.1 pep chromosome:Pop_tri_v4:10:19278555:19282522:1 gene:Potri.010G200200.v4.1 transcript:Potri.010G200200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200200.v4.1 MRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGEGNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIRAIVIGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSAIEKCRANGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVPAREPLDIAPGITVMDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGDEGVLLHIDLAKGKRRLGGSALAQAFGQVGDDCPDLDDVSYLKKTFESVQDLISDEIISSGHDISDGGLLVCALEMAFAGNCGILLDLTSKRESHFETFFAEELGLVLEVSRKNLDIVMQKLYSAGVSGEIIGQVTASPLIELKVDGVTCLKEETSFLRDTWEETSFHLEKFQRLASCVDLEKEGLKSRHEPTWRMSFTPSFTDEKYMIATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDITMSDLLNGVISLCDFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYRRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPAQPRFVHNESGRFECRFTSVTIEDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDGVIHSNLAPVRYCDDDGNPTEVYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPTQWNVDKKGPSPWLKMFQNAREWCS >Potri.010G200200.3.v4.1 pep chromosome:Pop_tri_v4:10:19276824:19283760:1 gene:Potri.010G200200.v4.1 transcript:Potri.010G200200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200200.v4.1 MAGAREITAATEFLRGTHRQSLFVHGDLPINRRNQLLWGTLRNHKIAFGVSNKRGVSLRCRAQSKPRAFVSGAGTSSVDEQSSFIEKPVQELIHFYRIPLIQESATLEILKSAQTKVSNKIVGLQTEQCFNIGIQSGVSSQKLGCLRWLLQETYEPENLGTESFLEKKTKEGVNAVIVEVGPRLSFTTAWSANAVSICRACGLTEVTRLERSRRYLLYSKGVLPDYQINEFAAMVHDRMTECVYTQKLMSFDASVVPEEVRHVPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLQVNPNNSVIGFKDNSSAIKGFPVKQLRPVQPGLTCPLNVIDRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDNSFAYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGEGNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIRAIVIGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSAIEKCRANGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVPAREPLDIAPGITVMDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGDEGVLLHIDLAKGKRRLGGSALAQAFGQVGDDCPDLDDVSYLKKTFESVQDLISDEIISSGHDISDGGLLVCALEMAFAGNCGILLDLTSKRESHFETFFAEELGLVLEVSRKNLDIVMQKLYSAGVSGEIIGQVTASPLIELKVDGVTCLKEETSFLRDTWEETSFHLEKFQRLASCVDLEKEGLKSRHEPTWRMSFTPSFTDEKYMIATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDITMSDLLNGVISLCDFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYRRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPAQPRFVHNESGRFECRFTSVTIEDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDGVIHSNLAPVRYCDDDGNPTEVYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPTQWNVDKKGPSPWLKMFQNAREWCS >Potri.010G200200.4.v4.1 pep chromosome:Pop_tri_v4:10:19276766:19281961:1 gene:Potri.010G200200.v4.1 transcript:Potri.010G200200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200200.v4.1 MAGAREITAATEFLRGTHRQSLFVHGDLPINRRNQLLWGTLRNHKIAFGVSNKRGVSLRCRAQSKPRAFVSGAGTSSVDEQSSFIEKPVQELIHFYRIPLIQESATLEILKSAQTKVSNKIVGLQTEQCFNIGIQSGVSSQKLGCLRWLLQETYEPENLGTESFLEKKTKEGVNAVIVEVGPRLSFTTAWSANAVSICRACGLTEVTRLERSRRYLLYSKGVLPDYQINEFAAMVHDRMTECVYTQKLMSFDASVVPEEVRHVPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLQVNPNNSVIGFKDNSSAIKGFPVKQLRPVQPGLTCPLNVIDRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDNSFAYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGEGNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIRAIVIGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSAIEKCRANGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVPAREPLDIAPGITVMDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGDEGVLLHIDLAKGKRRLGGSALAQAFGQVGDDCPDLDDVSYLKKTFESVQDLISDEIISSGHDISDGGLLVCALEMAFAGNCGILLDLTSKRESHFETFFAEELGLVLEVSRKNLDIVMQKLYSAGVSGEIIGQVTASPLIELKVDGVTCLKEETSFLRDTWEETSFHLEKFQRLASCVDLEKEGLKSRHEPTWRMSFTPSFTDEKYMIATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDITMSDLLNGVISLCDFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYRRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPAQPRFVHNESGRFECRFTSVTIEDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDGVIHSNLAPVRYCDDDGNPTEVYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPTQWNVDKKGPSPWLKMFQNAREWCS >Potri.010G200200.5.v4.1 pep chromosome:Pop_tri_v4:10:19276796:19281937:1 gene:Potri.010G200200.v4.1 transcript:Potri.010G200200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200200.v4.1 MAGAREITAATEFLRGTHRQSLFVHGDLPINRRNQLLWGTLRNHKIAFGVSNKRGVSLRCRAQSKPRAFVSGAGTSSVDEQSSFIEKPVQELIHFYRIPLIQESATLEILKSAQTKVSNKIVGLQTEQCFNIGIQSGVSSQKLGCLRWLLQETYEPENLGTESFLEKKTKEGVNAVIVEVGPRLSFTTAWSANAVSICRACGLTEVTRLERSRRYLLYSKGVLPDYQINEFAAMVHDRMTECVYTQKLMSFDASVVPEEVRHVPVMERGRKALEEINQEMGLAFDEQDLQYYTRLFREDIKRNPTTVELFDIAQSNSEHSRHWFFTGKIVIDGQPMDRTLMQIVKSTLQVNPNNSVIGFKDNSSAIKGFPVKQLRPVQPGLTCPLNVIDRDLDILFTAETHNFPCAVAPYPGAETGAGGRIRDTHATGRGSFVVASTAGYCVGNLNIEGSYAPWEDNSFAYPSNLASPLQILIDASNGASDYGNKFGEPLIQGYTRTFGMRLPSGERREWLKPIMFSGGIGQIDHTHITKGEPDIGMLVVKIGGPAYRIGMGGGAASSMVSGQNDADLDFNAVQRGDAEMAQKLYRVVRSCIEMGEGNPIISIHDQGAGGNCNVVKEIIYPKGAQIDIRAIVIGDHTMSVLEIWGAEYQEQDAILVKAESRDLLQSICKRERVSMAVIGTISGEGRVVLVDSSAIEKCRANGLPPPPPAVDLELEKVLGDMPQKSFEFHRVVPAREPLDIAPGITVMDALKRVLRLPSVCSKRFLTTKVDRCVTGLVAQQQTVGPLQITLADVAVIAQTYTDLTGGACAIGEQPIKGLVNPKAMARLAVGEALTNLVWAKVTSLSDVKASGNWMYAAKLDGEGADMYDAATALSEAMIELGIAIDGGKDSLSMAAHAGGEVVKAPGNLVISAYVTCPDITKTVTPDLKLGDEGVLLHIDLAKGKRRLGGSALAQAFGQVGDDCPDLDDVSYLKKTFESVQDLISDEIISSGHDISDGGLLVCALEMAFAGNCGILLDLTSKRESHFETFFAEELGLVLEVSRKNLDIVMQKLYSAGVSGEIIGQVTASPLIELKVDGVTCLKEETSFLRDTWEETSFHLEKFQRLASCVDLEKEGLKSRHEPTWRMSFTPSFTDEKYMIATSKPKVAVIREEGSNGDREMSAAFYAAGFEPWDITMSDLLNGVISLCDFRGIVFVGGFSYADVLDSAKGWSASIRFNQPLLNQFQEFYRRPDTFSLGVCNGCQLMALLGWVPGPQVGGVFGAGGDPAQPRFVHNESGRFECRFTSVTIEDSPAIMFKGMEGSTLGVWAAHGEGRAYFPDDGVLDGVIHSNLAPVRYCDDDGNPTEVYPFNVNGSPLGVAAICSPDGRHLAMMPHPERCFLMWQFPWYPTQWNVDKKGPSPWLKMFQNAREWCS >Potri.017G003550.1.v4.1 pep chromosome:Pop_tri_v4:17:213100:213597:1 gene:Potri.017G003550.v4.1 transcript:Potri.017G003550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003550.v4.1 MDFNNKTEGFICRFMGVDCWHPDENRVLNIRLSDLGLKGQFPLGLDKCTSVSGLDLSHNELQGPIPADISKRLPFITNLDLSFNNFSGEIPSSIANLSFLNDLKLDNNKLTGQIPPQIGQLDRIKVFTVTSNRLSGPVPNFIHANIPPDSFANNEGLCGKPLNGCS >Potri.001G376400.12.v4.1 pep chromosome:Pop_tri_v4:1:39614596:39619000:1 gene:Potri.001G376400.v4.1 transcript:Potri.001G376400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376400.v4.1 MNSNKKLKQSGGGGKDGGAGKGVVVEFSKDLVIGCGELLGKLMKHQYGWVFNEPVDAKKLKLHDYYKIIKHPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFNNAMKYNEKGQDVHAMADKLLKIFEENWANFKAKTNFDKRGEMGFDASLPTPALKRAPGPRASSPAHGPASKRVPGPRASSPTSGPASASARAPSPASFPQTMPLETKTLDRTDSLTELVHSKMKAAKTVANQGRTSVLNKPKKNETVRGVMTYEEKQKLSIHLQSLPSEKLESVVQIIRKRNPGLFQQEDEIEVDIDSFDNETLWELHGYVTNYQKSMGKNEREAEVALQGREEAGHKMLGTNMTSATAEAPKELGSDHRQGHSMSRSSSSSSSGSDSRSSSSGSDSDSGSGSDAAQ >Potri.001G376400.10.v4.1 pep chromosome:Pop_tri_v4:1:39614632:39618843:1 gene:Potri.001G376400.v4.1 transcript:Potri.001G376400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376400.v4.1 MNSNKKLKQSGGGGKDGGAGKGVVVEFSKDLVIGCGELLGKLMKHQYGWVFNEPVDAKKLKLHDYYKIIKHPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFNNAMKYNEKGQDVHAMADKLLKIFEENWANFKAKTNFDKRGEMGFDASLPTPALKRAPGPRASSPAHGPASKRVPGPRASSPTSGPASASARAPSPASFPQTMPLETKTLDRTDSLTELVHSKMKAAKTVANQGRTSVLNKPKKNETVRGVMTYEEKQKLSIHLQSLPSEKLESVVQIIRKRNPGLFQQEDEIEVDIDSFDNETLWELHGYVTNYQKSMGKNEREAEVALQGREEAGHKMLGTNMTSATAEAPKELGSVQMTVSASSPVKDHRQGHSMSRSSSSSSSGSDSRSSSSGSDSDSGSGSDAAQ >Potri.001G376400.11.v4.1 pep chromosome:Pop_tri_v4:1:39614585:39618925:1 gene:Potri.001G376400.v4.1 transcript:Potri.001G376400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376400.v4.1 MNSNKKLKQSGGGGKDGGAGKGVVVEFSKDLVIGCGELLGKLMKHQYGWVFNEPVDAKKLKLHDYYKIIKHPMDLGTVKSRLSKNWYKSPKEFAEDVRLTFNNAMKYNEKGQDVHAMADKLLKIFEENWANFKAKTNFDKRGEMGFDASLPTPALKRAPGPRASSPAHGPASKRVPGPRASSPTSGPASASARAPSPASFPQTMPLETKTLDRTDSLTELVHSKMKAAKTVANQGRTSVLNKPKKNETVRGVMTYEEKQKLSIHLQSLPSEKLESVVQIIRKRNPGLFQQEDEIEVDIDSFDNETLWELHGYVTNYQKSMGKNEREAEVALQGREEAGHKMLGTNMTSATAEAPKELGSVQMTVSASSPVKDHRQGHSMSRSSSSSSSGSDSRSSSSGSDSDSGSGSDAAQ >Potri.015G093100.19.v4.1 pep chromosome:Pop_tri_v4:15:11564238:11569059:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G093100.16.v4.1 pep chromosome:Pop_tri_v4:15:11563833:11569078:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G093100.20.v4.1 pep chromosome:Pop_tri_v4:15:11564299:11569024:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G093100.11.v4.1 pep chromosome:Pop_tri_v4:15:11564247:11569235:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G093100.17.v4.1 pep chromosome:Pop_tri_v4:15:11564342:11569078:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G093100.15.v4.1 pep chromosome:Pop_tri_v4:15:11564248:11569112:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G093100.14.v4.1 pep chromosome:Pop_tri_v4:15:11564247:11569118:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G093100.13.v4.1 pep chromosome:Pop_tri_v4:15:11564559:11569949:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G093100.18.v4.1 pep chromosome:Pop_tri_v4:15:11564247:11569060:1 gene:Potri.015G093100.v4.1 transcript:Potri.015G093100.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093100.v4.1 MGHCSLFLLSCLLWGFLITGTHQLQSSQTQVLLQIRKHLEYPSQLEIWNNHGMDLCYLSPSTQVNMTCQNNVVTELRIVGDKPAKVNNFVGFAIPNQTLSGSFSMDSFVTTLSRLTSLRVLSLVSLGIWGPLPDKIHRLSSLEYLDLSSNNLFGSIPPKISTMVKLQTLNLDDNFFNGTVPNWFDSLSNLTILSIRNNQLKGAFPSSIQRVTTLVDLILSGNDLSGKLPNLDRLSKLNVLDLSGNSLDSDLPSMPKGLVMAFLSNNSLSGEVPGKYSQLSQLQHFDMSFNKLSGKLPASLLSLPNISYLNLASNMLSGSLPDHLNCGSKLQLVDISNNRLTGGLPYCLSTESGNRVVKLGGNCLSVDLRHQHAESSCIDVPVKRKPSGEKKIVVLVGVIAGIFVIIVLLAFGLLMVCKRYCPLGISEQHLLHKAAQEKSVTGFSSEILSNASFISEAANLGIQGRPACRSFTIEELKEATNNFNNSAILGDGSHGKLYRGTLENGTQVAIRRIPSSKKYSMRNLKLRLDLLAKLRHPHLVCLLGHCIDGGEQDYTVNKVFLVYEYVSNGNFGAYLSEDNPGKVLNWSERLAVLISVAKAIHFLHTGVIPGFFNNRLKANNILLDEYGIAKLSDYGLSIVSEAAGTCGENGEGPKSWQLERLEDDVCSFGFILLESLVGPSVSARRDKFLLDELASCSSQEGRQKLLSPIVLATCSHESLSIVVTITNKCICSESWSRPSFEDILWNLQYAVQVQGTADGEHHR >Potri.015G058500.1.v4.1 pep chromosome:Pop_tri_v4:15:8110971:8113000:-1 gene:Potri.015G058500.v4.1 transcript:Potri.015G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058500.v4.1 MADSSVSIDQLKAIWHSQVHDEEKWALNMKLLRAVGLFAGSIFLMRNYGDLMAI >Potri.003G005400.1.v4.1 pep chromosome:Pop_tri_v4:3:611394:612684:1 gene:Potri.003G005400.v4.1 transcript:Potri.003G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005400.v4.1 MSGFLRRRNRSSLLFSLFLLSVPLPFFSGIADDSSGAKNGTMKSDKHAASTNNTGIPKIAIIFVSMVAIGFLAFFLFKFWQKKKREEQYARLLKLFEEDDELEVELGLRD >Potri.003G005400.2.v4.1 pep chromosome:Pop_tri_v4:3:611397:613116:1 gene:Potri.003G005400.v4.1 transcript:Potri.003G005400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005400.v4.1 MSGFLRRRNRSSLLFSLFLLSVPLPFFSGIADDSSGAKNGTMKSDKHAASTNNTGIPKIAIIFVSMVAIGFLAFFLFKFWQKKKREEQYARLLKLFEEDDELEVELGLRD >Potri.013G103400.2.v4.1 pep chromosome:Pop_tri_v4:13:11232870:11236839:-1 gene:Potri.013G103400.v4.1 transcript:Potri.013G103400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103400.v4.1 MAATSSCSLNLGVKGKGKPEQPFSSSKSSQLLFSSNLSFAYNNTKYCLSLHSHQTLLSACLTKTKNSAFVVASSKPEHLKIMISGAPASGKGTQCELITKKYGLVHIAAGDLLRAEIASGSENGKRAKEYMEKGQLVPNEIVVMMVKDRLLQPDSQENGWLLDGYPRSLSQATALKEFGFQPDLFIVLEVNEEILVERVVGRRLDPVTGKIYHLKYSPPETEEIAARLTQRFDDTEEKVKLRLQTHHQNVEAVLSMYEDITLKVNGNVPKEDVFAQIDDALTKLFEDQKLTSGSLAA >Potri.002G111200.1.v4.1 pep chromosome:Pop_tri_v4:2:8377374:8386305:1 gene:Potri.002G111200.v4.1 transcript:Potri.002G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111200.v4.1 MPHRTTYFFPRQFPDRSGFNASSSSKQLLDHGNKKVTKDAFNIENDLRKPSSKDLYSTVGKNTSKATATPTTITTPISDLFTSSDDEKYHLKTKQFGEDDKYKQKKKQLAAFFDWLSEKKIEKSTSHVKLQRLSTEEDCQLLVTPDLEPEPVLPAPGIIKERDVDRNFDRQVSLPRLSSGSSYAGSLFSGITTLDGNFTTDIKVDTSTLVHVPTMKQEVVQEVTEEKEDQQNKNENLVLKTKESYYLQLSLAKRLSAQAGIASELLLLQEGVPEASDARTVSYRLWVSGCLSYSDKISDGFYNILGMNPYLWVMCNEDEEGSRLPPLMSLKEIEPSETSMEVVLVDRRGDSRLKELEDKAQELYCASESTLVLVEQLGKLVAVYMGGSFLWEQGDLHKRWKLASRRLRDFHKCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVADHRSSCLVKIQDDRQLSREYVVDLVGQPGNLHGPDSTINGGFLSSVSSPFQIPHIKESQQPYIDDAPYEIQDSKQLCAFPENPLCSGNKEVEEHTVVDGWLEHLKPSTYVPVDQVCLGKESSLMLLDLIRNAEAPDAAGRSVHECSGLEEEQVIIQQTCNIEKVVSGGPVINSVVKQTKVNLSSQSDKKEIESIIGNQARLPSLTIPRYLNLEPSLAIDWLEISWEELHIKERVGAGSFGTVHRAEWHGSDVAVKVLIVQDFHDDQLREFLREVAIMKRVRHPNVVLFMGAVTKRPHLSIVTEYLPRGSLYRLIHRPAAGEVLDQRRRLRMALDVAKGINYLHCLDPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANSFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWSGLCPAQVVGAVAFQNRRLSIPQNTPPALASLMESCWADDPAQRPSFGKIVESLKKLLKSPLQPRQISGQKSSPFKDT >Potri.005G037801.3.v4.1 pep chromosome:Pop_tri_v4:5:2440544:2441940:1 gene:Potri.005G037801.v4.1 transcript:Potri.005G037801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037801.v4.1 MDNDQVTLLDFWASPVGMRVRIALAEKGVKYEYKEQSLRNKSVLLLEMNPVHRKIPVLIHNGKPICESLNIVQYIDETWKQSPLLPSDPYERTQSMFWADFVDQKIYDLKGKMMWTAEGEEQEAAKKDFIDCLKLLEGELGANSQALLRG >Potri.014G155500.1.v4.1 pep chromosome:Pop_tri_v4:14:10959788:10967284:1 gene:Potri.014G155500.v4.1 transcript:Potri.014G155500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155500.v4.1 MYRWPELQFGSWNPSEAAYRARETASVVQLIESLNNDATRERAIHLLSKNRAIREEMAPLLWYSVGTISILLQEIISVYRSLHSPIPMLTDRVSNRVCDALVLFQCVAANPSTKMPFIEAKIPLYLYPFLNNTNKERPHQFIRLASLSVIGALAKVDDPNVINFLLESEVFPCCIRSMEVGDVLSKTVATYIVYKILINEEGLRYCCTVAERFFALVRVLGSMVLKLAEEGQLAENPSIRLLKHIIWCYHRLSESPRSCDGLRCRLPVILSDAAFIDIFRQGDPSAVPHLQQLFHNVSNRTSRIQTIEKMIRPLVKG >Potri.004G098100.5.v4.1 pep chromosome:Pop_tri_v4:4:8463968:8467741:1 gene:Potri.004G098100.v4.1 transcript:Potri.004G098100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098100.v4.1 MLYAVSTPRTCISCPRSSRFHRRNLVSVRLCVRASLPDNGNGVKVEYTPWLIVGLGNPGTKYHGTRHNVGFEMIDRIAQAEGVLMNTIQSKALIGIGCIGEVPILLAKPQAYMNFSGESVGPLAAHYKIPLRHILLIYDEMNLPNGILRVQPKGGHGHHNGVKSVMDHLDGCREFPRLCIGIGNPPGTMDMKAFLLQKFSPTEREQIDASLEQGVEAMRTLILGGFTNKITRFNLGQKYKYHKV >Potri.004G098100.3.v4.1 pep chromosome:Pop_tri_v4:4:8464020:8467674:1 gene:Potri.004G098100.v4.1 transcript:Potri.004G098100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098100.v4.1 MLYAVSTPRTCISCPRSSRFHRRNLVSVRLCVRASLPDNGNGVKVEYTPWLIVGLGNPGTKYHGTRHNVGFEMIDRIAQAEGVLMNTIQSKALIGIGCIGEVPILLAKPQAYMNFSGESVGPLAAHYKIPLRHILLIYDEMNLPNGILRVQPKGGHGHHNGVKSVMDHLDGCREFPRLCIGIGNPPGTMDMKAFLLQKFSPTEREQIDASLEQGVEAMRTLILGGFTNKITRFNLGQKYKYHKV >Potri.003G202100.6.v4.1 pep chromosome:Pop_tri_v4:3:20191973:20195407:-1 gene:Potri.003G202100.v4.1 transcript:Potri.003G202100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202100.v4.1 MVGMVQEEGSSSVTSPHLFFPWMQLSPGIGSPYPWLRELKSEERGLCLIHLLLACANHVAVGSVENANISLEHISHLASPDGDTMQRIAAYFTAALADRILKGWPGLHKALNPKQVSLISEEILVQRLFFELCPFLKLSYVITNEAIIESMEGEKMVHIIDLNSSEPAQWINLLQTLSARPEGPPHLRITGIHEKKEVLGQMALRLTEEAEKLDIPFQFNPIVSKLENLDLGNLRVKTGEALAVSSVLQLHALLAMDDEMHKRNSPSGSKNPSSNHFQRVLRMNQNRHTLGEWLEKDLVNVYSSSPDSALSPLSLSASPKMSSFLNALRSLSPKLLVITEQESNHNEFTLMERVTKALNFYAALFDCLESTVSRASLERHKVEKMLFGEEIKNIIACEGTERKERHEKLEKWILRLELAGFGSIPLSYHGRLQANRLLQSYGYDGYKIKEENGCLLICWQDRPLFSVSAWRFKRYD >Potri.003G202100.5.v4.1 pep chromosome:Pop_tri_v4:3:20192600:20195311:-1 gene:Potri.003G202100.v4.1 transcript:Potri.003G202100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202100.v4.1 MVGMVQEEGSSSVTSPHLFFPWMQLSPGIGSPYPWLRELKSEERGLCLIHLLLACANHVAVGSVENANISLEHISHLASPDGDTMQRIAAYFTAALADRILKGWPGLHKALNPKQVSLISEEILVQRLFFELCPFLKLSYVITNEAIIESMEGEKMVHIIDLNSSEPAQWINLLQTLSARPEGPPHLRITGIHEKKEVLGQMALRLTEEAEKLDIPFQFNPIVSKLENLDLGNLRVKTGEALAVSSVLQLHALLAMDDEMHKRNSPSGSKNPSSNHFQRVLRMNQNRHTLGEWLEKDLVNVYSSSPDSALSPLSLSASPKMSSFLNALRSLSPKLLVITEQESNHNEFTLMERVTKALNFYAALFDCLESTVSRASLERHKVEKMLFGEEIKNIIACEGTERKERHEKLEKWILRLELAGFGSIPLSYHGRLQANRLLQSYGYDGYKIKEENGCLLICWQDRPLFSVSAWRFKRYD >Potri.004G053500.1.v4.1 pep chromosome:Pop_tri_v4:4:4342329:4344435:-1 gene:Potri.004G053500.v4.1 transcript:Potri.004G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053500.v4.1 MEEIHIKETIPIRPSTPPFSQDHTLPLSHLDTDRNLNVTFRYLRVYVNTTTSNGGHPFNVIAAALSSALVHYYPLAATLRRGQVDDRLELFCTRDHLGVPLINATVNCTLEKLNYLDDSDPNFLDGLVPDPDQDYGLANPCVLQVTVFECGGWTLGAAIHHGLCDGLGATQFFNVMAELARGVGRISANPVWDRARLLGPRDPPRAEGVVREFLGLEKGSEPYGQVVGEVVRECFPVKDEWLEKFKKVLFEKSGSSFTTFEALGAFIWRAKVKASGVPGDENVKFAYSINIRKLVKPPLPAGYWGNGCVPMYAQLCARELIEQPVWKTAELIKKSKINATDEYVRSFIDFQELHYGDGITAGNRVSGFTDWRHLGHSTVDFGWGGPVTVLPLSRKLLGSVVPCFFLPYSSANAGKKDGFKVLVTLQETHMPAFKKEMEKFSRQDFDLS >Potri.003G135200.3.v4.1 pep chromosome:Pop_tri_v4:3:15298813:15301429:-1 gene:Potri.003G135200.v4.1 transcript:Potri.003G135200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G135200.v4.1 MLEMLEIGPCDNPYQMGFLTGQRFSNKIRSRLSTDLVLQNQLLPFAKTPESQALIKALTINNQKKFPKYWDELLGTAEGSGVPVLYMILINFRKEILPFLPKSTAANSNADTSLYNTPDDCSDVLVVSDSMAIAAHNEDANVALVGHTYIIKATLPNGLSFVGYTYAGELPSCAFGFNSNGLAFTLNSVPPSEAEIVAGGIGRNFISRDLLEATSIDDALSKIQSSEVSVGHSYNLINIGTRRILNVETASRNRVSVHEVGATPFFHANMYLHLQVEQVDDDNSKSRQERAAVLPKRSKDDFLSLLGDTDHNRYPIYMTGPTLYTLCTAMIDLDDKTLSIIEGNPKNGKVAYIFSMSSKELSHRKINQYH >Potri.013G033200.2.v4.1 pep chromosome:Pop_tri_v4:13:2149058:2150073:-1 gene:Potri.013G033200.v4.1 transcript:Potri.013G033200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033200.v4.1 MATKLSHLYASILLLVFIHPFTAQSRTLKSNTFEFLQNLEGCQKGQNVKGLKELKHHLKNIGYYPKDHQHDIKLSDDFDEIFESALKTYQKNYRLKVTGSLDSDTIKELMIPRCGVPDPINHVDTSKQAGRTEHDSKSSKFHTVSHYSFFGGTPRWPSSKFHLTYTFSSSVQVIDMQELRSACSRAFQKWADVTQFTFQEASEGSQADIVIGFQSGDHGDRYPFDGPGRILAHAFAPTDGRFHYDADEKWSTNPSADEVDLESVAVHEIGHLLGLDHSMDQNSIMFAEIPQGTIKRDLGQDDIAGIRALYSN >Potri.001G328000.2.v4.1 pep chromosome:Pop_tri_v4:1:33711765:33714090:1 gene:Potri.001G328000.v4.1 transcript:Potri.001G328000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G328000.v4.1 MEKFQMLFPFSSTGSPNYPVSSSMTNSSHVFHNFQADSSGGFLGLKTETQHPVPRTSPEINKDLNSQSASCAIGSETEPQAAKKKGEKKIRKPKYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTYQGCNVKKQVQRLTKDEGVVVTTYEGMHTHPIEKPNDNFEHILSQMQIYSTPF >Potri.001G328000.3.v4.1 pep chromosome:Pop_tri_v4:1:33711765:33714090:1 gene:Potri.001G328000.v4.1 transcript:Potri.001G328000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G328000.v4.1 MEKFQMLFPFSSTGSPNYPVSSSMTNSSHVFHNFQADSSGGFLGLKTETQHPVPRTSPEINKDLNSQSASCAIGSETEPQAAKKKGEKKIRKPKYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRQTPLAILSCGLAESEILLDLPLDMRKCLGKIFLSDRVMDERL >Potri.003G006000.1.v4.1 pep chromosome:Pop_tri_v4:3:655746:657891:1 gene:Potri.003G006000.v4.1 transcript:Potri.003G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006000.v4.1 MENTLLYSVILFALFLVLSINLLLRTRKQRKTLPPSPLSLPVIGHFHLLRQPIHRTLEALSQKYGPVFSLKFGSRLAIIVSSPSGVEECLIKKDIVFANRPHVLIGRILNYNNTTMGTADYGDHWRNLRRISAIEIFSSTRLNAFLGMRKDEVKLLLSRLYRVSMHGFAKVELRPLLFDLTSNIMMRMVAGKRYYGEGVHEVDKAREFREMMEEFIHYSGAATAGDFLPFLQWLDLNGYVNKLDRLSKRMDAFFQGLIDEHRVDRNRNTMISHFLTLQESQPEYYTDEIIKGHVLTLLVAGIETSATSLEWAMANLLNQPEVLKKAKEELDTSQVGQDELIDESDLPKLHYLHDIISENLRLYPVAPLLVPHMSSADSTVGGYHVPARTMLFINAWAIHRDPTLWDEPTSFKPERFENGRVDQACKLMPFGLGRRACPGDGLANRVMALTLGSLIQCFEWKRVSEKEIDMAEFTTITICKVEPLVAMCKARPILDNVLSRA >Potri.008G112166.1.v4.1 pep chromosome:Pop_tri_v4:8:7131193:7131501:-1 gene:Potri.008G112166.v4.1 transcript:Potri.008G112166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112166.v4.1 MGKDTAKSWYSSIKTLFASCTGTASSASKNTEKARAASPAGPETTMVAAAKHFSSAHKVRLI >Potri.008G171700.6.v4.1 pep chromosome:Pop_tri_v4:8:11863936:11867185:1 gene:Potri.008G171700.v4.1 transcript:Potri.008G171700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171700.v4.1 MGFPLKPFSCFFFLCLLSVNLSQSALDYSTLVYKGCAKQAFQDPNGVYSQAISALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSNTDCYNCVSKLPVLTDKLCGKTIAARIQLYGCYILYEVAGFTQISGMEMLYKTCGATNVAGSGFEERRDTAFSVMQNGVVSSHGFYATNYQSMYVLGQCEGDVGDSDCGECVKTAVQRAQVECGNSISGQIYLHKCFISYSYYPNGVPRRSSSSSSSSNSFSSGTGQNTGKTVAVIVGGAAGVGFLVICLLFARGLLKKHDDF >Potri.008G171700.3.v4.1 pep chromosome:Pop_tri_v4:8:11864320:11866929:1 gene:Potri.008G171700.v4.1 transcript:Potri.008G171700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171700.v4.1 MGFPLKPFSCFFFLCLLSVNLSQSALDYSTLVYKGCAKQAFQDPNGVYSQAISALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSNTDCYNCVSKLPVLTDKLCGKTIAARIQLYGCYILYEVAGFTQISGMEMLYKTCGATNVAGSGFEERRDTAFSVMQNGVVSSHGFYATNYQSMYVLGQCEGDVGDSDCGECVKTAVQRAQVECGNSISGQIYLHKCFISYSYYPNGVPRRSSSSSSSSNSFSSGTGQNTGKTVAVIVGGAAGVGFLVICLLFARGLLKKHDDF >Potri.008G171700.4.v4.1 pep chromosome:Pop_tri_v4:8:11864337:11866577:1 gene:Potri.008G171700.v4.1 transcript:Potri.008G171700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171700.v4.1 MGFPLKPFSCFFFLCLLSVNLSQSALDYSTLVYKGCAKQAFQDPNGVYSQAISALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSNTDCYNCVSKLPVLTDKLCGKTIAARIQLYGCYILYEVAGFTQISGMEMLYKTCGATNVAGSGFEERRDTAFSVMQNGVVSSHGFYATNYQSMYVLGQCEGDVGDSDCGECVKTAVQRAQVECGNSISGQIYLHKCFISYSYYPNGVPRRSSSSSSSSNSFSSGQNTGKTVAVIVGGAAGVGFLVICLLFARGLLKKHDDF >Potri.008G171700.5.v4.1 pep chromosome:Pop_tri_v4:8:11864394:11865534:1 gene:Potri.008G171700.v4.1 transcript:Potri.008G171700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171700.v4.1 MGFPLKPFSCFFFLCLLSVNLSQSALDYSTLVYKGCAKQAFQDPNGVYSQAISALFGSLVSQSTKTKFFKTTTGTGQTTITGLFQCRGDLSNTDCYNCVSKLPVLTDKLCGKTIAARIQLYGCYILYEVAGFTQISGMEMLYKTCGATNVAGSGFEERRDTAFSVMQNGVVSSHGFYATNYQSMYVLGQCEGDVGDSDCGECVKTAVQRAQVECGNSISGQIYLHKCFISYSYYPNGVPRRSSSSSSSSNSFSSG >Potri.002G217300.1.v4.1 pep chromosome:Pop_tri_v4:2:20454864:20457850:-1 gene:Potri.002G217300.v4.1 transcript:Potri.002G217300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217300.v4.1 MRAPMICWAFLLLALFGNACNGFYLPGSYMHTYSPGDAIFAKVNSLTSIETELPFSYYSLPYCQPKEGVKKSAENLGELLMGDQIDNSPYRFRMNVNESVYLCTTKPLSEHEVKLLKQRTHDLYQVNMILDNLPVMRYAKQNEIDIQWTGFPVGYTPQNSNDDYIINHLKFTVLVHEYEGSGVEIIGTGEEGLGVISEADEKKASGFEIVGFVVVPCSVKYDPDAMIKHQIYDKVSSVSCPLDLDKSQIIREQERISFTYEVEFVKSEIRWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFIIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCSKLLCIMVGDGVQITGMAVVTIIFAAFGFMSPASRGMLLTGMILLYLFLGIVAGYVAVRLWRTIKGTSEGWRSVSWSVACFFPGIVFLILTILNFILWGSQSTGAIPISLYFVLLALWFCISVPLTLLGGFMGTRAEAIQYPVRTNQIPREIPARKLPPWVLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFYASGSVSIFVFLYSINYLVFDLQSLSGPVSAILYLGYSLIIAVAIMLSTGTIGFLTSFYFVHYLFSSVKID >Potri.001G074000.3.v4.1 pep chromosome:Pop_tri_v4:1:5574728:5580236:-1 gene:Potri.001G074000.v4.1 transcript:Potri.001G074000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074000.v4.1 MDAFGRYFVDEKAVRVENIFLDFLKSFRLDGQNRNIGEPYYDAEIEAMKANESTTMFIDFSHVMLFNDVLQKAIADEYFRFEPYLKNACKRFVMELSSTFISDDNPNKDINVAFFNIPFSMRLRELTTAEIGKLVSVTGVVTRTSEVRPELLQGTFRCLECGGVVKNVEQQFKYTEPTICANATCSNKMRWALLRQESKFADWQRVRMQETSKEIPAGSLPRSLDVIVRHDIVEKARAGDTVIFTGTVVVVPDILALASPGERAECRRESSQLKNSAVGGEGVRGLRALGVRDLSYRLAFIANSVQVCDGRRDTDIRNRKKAVDEDDNQEFTTEELDEIQRMRNTPDFFNKIVDSIAPTVFGHQDIKRAILLMLLGGVHKFTHEGINLRGDINVCIVGDPSCAKSQFLKYASGIVPRSVYTSGKSSSAAGLTASVAKEPETGEFCIEAGALMLADNGICCIDEFDKMDIRDQVAIHEAMEQQTISITKAGIQATLNARTSILAAANPAGGRYDKSKPLKYNVALPPAILSRFDLVYVMIDDPDDQTDYHIAHHIVRVHQKREEALSPAFTTAQIKRYITYAKTLKPKLNSEARKLLVDSYVALRKGDTTPGSRVAYRMTVRQLEALIRLSEAIARSHLETQVQPRHVRVAVKLLKTSIISVESSEIDLSEFQEAYGDGGDGGNDGPSQGDAQPSNADANPVSENTENGAASASRQGKKLVISEEYFQRVTQALVMRLRQHEEAVMRDGTGLAGMRQGELIRWYVDQQNQKNSYSSLEEAKNEASKIKAIIESLIRREGFLIVVDDGSRPEAEGDGARQSSSRDDRILVVAPNYLVE >Potri.005G236500.2.v4.1 pep chromosome:Pop_tri_v4:5:23439681:23440311:1 gene:Potri.005G236500.v4.1 transcript:Potri.005G236500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236500.v4.1 MTLPPGPYSGASALALVARASAFSFGLVYGSVKLKILKMKANSHKKAEAKAHH >Potri.008G064000.1.v4.1 pep chromosome:Pop_tri_v4:8:3862244:3865873:1 gene:Potri.008G064000.v4.1 transcript:Potri.008G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064000.v4.1 MENYRARAILLLVIFIFPALVECEVRLYDFRVVLTNTTKLCSTKSIVTINGKFPGPTIYAREGDNVNIKLTNHVQYNVTIHWHGVRQLRTGWSDGPAYITQCPIRPGQSYLYNFTLTGQRGTLLWHAHISWLRATIHGAIVILPQKGVPYPFPKPDKEKIIILGEWWKADVEAVVNQATQTGLPPNISDAHIVNGQTGAVPGCPSPGFTLHVESGKTYLLRIINAALNDELFFKIAGHNITVVEVDAAYTKPFSTDTIFIGPGQTTNALLTADKSVGKYLMAVSPFMDTVVAVDNVTAIAFLRYKGTIAFSPPVLTTTPAINATPVTSTFMDNLRSLNSKKFPANVPLTVDHSLYFTIGVGIDPCATCVNGSKAVGAINNISFIMPTTALLQAHYYSISGVFTDDFPAMPPNSFNYTGNNTALNLQTINGTRTYRLAFNSTVQLVLQGTTIIAPESHPFHLHGFNFFVVGKGFGNFDADNDPKKFNLADPVERNTISVPTAGWAAIRFRADNPGVWFLHCHLEVHTTWGLKMVFVVDNGEGPDESLLPPPSDLPNC >Potri.001G161700.1.v4.1 pep chromosome:Pop_tri_v4:1:13705943:13710394:-1 gene:Potri.001G161700.v4.1 transcript:Potri.001G161700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161700.v4.1 MGSGGDVEDMLKDEKNPPPLDEDDIALLKTYGLGPYSASIKKAEKEIKEMAKKVNDLCGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEDAKYMINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTGKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEIDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRAQIFKIHTRTMNCERDVRFELLARLCPNSTGADIRSVCTEAGMFAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Potri.010G114700.3.v4.1 pep chromosome:Pop_tri_v4:10:13428904:13431649:-1 gene:Potri.010G114700.v4.1 transcript:Potri.010G114700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114700.v4.1 MALSRFSSFLSRTPNNPSLLYSSSPFVLRSLVNIKNQTLSLNSTPNFENPFGSMLNPHFIVHKSTHTDLQQTVVLTDASNQTPQVLADKIVEDAENICKLLSKNPNSSVEALLNKASMEVSPSLVFEALKKLSNAGALALSFFRWAEKQKGFQYSTESYHALIESLGKIKQFNVIWNLVTDMKQKGLLNKETFALISRRYARARKVKEAVDAFMKMEKFGLKIESSDVNRLLDTLCKSRQVERAQLVFDKMNKRGFVADIKSYTILLEGWGQEKNLSRLMEVYNEMKDEGFEPDVVTYGILINAHCKSRRYDDAIELFHEMEAKNCKPSPHIYCTLINGLGAEKRLSEALEFFELSKASGFVPEAPTYNAVVGAYCWSERMDDVQRTIDEMRKGGVGPSARTYDIILHHLIRAGKTKIAYSVFQKMSCEGCQPSVSTYEIIVRMFCNEDRVDMAIKVWDQMKAKGILPVMHMFSTLINSLCHESKLDEACMYFQEMLDVGIRPPAQLFSNLKQNLLDEGKKDTVVVFERKLDKLRKAPLVS >Potri.011G074750.3.v4.1 pep chromosome:Pop_tri_v4:11:7857665:7858922:-1 gene:Potri.011G074750.v4.1 transcript:Potri.011G074750.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074750.v4.1 MTEANFGWLIRSVHRWSASMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIITGMSEAIPVIGSPLVGLLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLIHFPMIRKQGILGPL >Potri.011G074750.2.v4.1 pep chromosome:Pop_tri_v4:11:7857672:7859062:-1 gene:Potri.011G074750.v4.1 transcript:Potri.011G074750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074750.v4.1 MTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIITGMSEAIPVIGSPLVGLLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLIHFPMIRKQGILGPL >Potri.011G074750.1.v4.1 pep chromosome:Pop_tri_v4:11:7858480:7859124:-1 gene:Potri.011G074750.v4.1 transcript:Potri.011G074750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074750.v4.1 LTYLNKVYDWFEERLEIQTIADDITSKYIPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIITGMSEAIPVIGSPLVGLLRGSASVGQSTLTRFYSLHTFVLPLLTAVFMLIHFPMIRKQGILGPL >Potri.001G296900.1.v4.1 pep chromosome:Pop_tri_v4:1:30762934:30763753:1 gene:Potri.001G296900.v4.1 transcript:Potri.001G296900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296900.v4.1 MARAVLSNAKMLVLRETSRPLQCHFSSPPAVQQPEKSGNSVKCSKMGDWVPHPRTGIYFPKGHEWVMDDVPENAASFSQTYWLRNVDGVEKPGPDTPHDHYLATNLYPV >Potri.001G126500.1.v4.1 pep chromosome:Pop_tri_v4:1:10398852:10403753:-1 gene:Potri.001G126500.v4.1 transcript:Potri.001G126500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126500.v4.1 MVLSQKIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKSYLPAEKQFLITRNVPCLRRAASVEEEYEAAGGEVLLDNEDNDGWLATHGKPKETKGDEDENVPSMEALEISAKKSLIQTNHSYFGGEEEEDIPDMADFEDPDNLIESDPATLQTTYLVAHEPDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLQPELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSS >Potri.008G111650.1.v4.1 pep chromosome:Pop_tri_v4:8:7087953:7088757:1 gene:Potri.008G111650.v4.1 transcript:Potri.008G111650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111650.v4.1 MAGMAIITLVFGVPWFLMNKAAETVRSHVLEAWHGVWQRKLVFLFKFKF >Potri.008G009100.4.v4.1 pep chromosome:Pop_tri_v4:8:440856:447273:1 gene:Potri.008G009100.v4.1 transcript:Potri.008G009100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009100.v4.1 MASAKTSSRSRGTPVKENGTKLEEGLNVFKSDRFNADSYVQSKCSLNEKEIKQLCSYLLDLKRASADEMRKSVYANYAAFIRTSKEISDLEGELLSIRNLLSTQATLIHGLVEGVNIDSLSLKASEGSLVNGLENVEDREPTDLERWLAEFPDMLDVLLAERRVDEALAVIDEGERIAAEMKKTELSSPGILRSLEIAITERGQKLADQLAEAACQPSTRSSELRAAISALKKLGDGPRAHSLLLNAHLQRYQYNMQSLCPSSTSYGGAYTAALSQIVFSAIVQASSDSLAIFGKEREYRSELVMWATKQTEAFAGLVKRHAIASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPTSTRQSGRSSVTSLGNAAAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQMGGQTLEGLFQVFNSYVNMLIKALPGSMEEEANFEGCGNKIVQMAETEAQQIALLANASLLADELLPRAAMKLAPPNQANYKDDSRRRPLDRQNRHPEQREWRKRLAGSVDRLKDAFCRQHALDLIFTEDGDSYLTAEMYTNMVGSADEVDRFPSPIFQELFVKLNRMASIAAEMFVGRERFATLLLMRLTETVILWLSEDQNFWDDIEEGPRPLGPLGIQQFYLDMKFVMCFASQGRYLSRNLHRVVNEIIAKALAVFSATGMDPDRELPEDDWFNDICQEAMERLSGKPKAIDGDNELGSPTASVSAQSISSVRSHGSS >Potri.008G009100.1.v4.1 pep chromosome:Pop_tri_v4:8:440858:447354:1 gene:Potri.008G009100.v4.1 transcript:Potri.008G009100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009100.v4.1 MASAKTSSRSRGTPVKENGTKLEEGLNVFKSDRFNADSYVQSKCSLNEKEIKQLCSYLLDLKRASADEMRKSVYANYAAFIRTSKEISDLEGELLSIRNLLSTQATLIHGLVEGVNIDSLSLKASEGSLVNGLENVEDREPTDLERWLAEFPDMLDVLLAERRVDEALAVIDEGERIAAEMKKTELSSPGILRSLEIAITERGQKLADQLAEAACQPSTRSSELRAAISALKKLGDGPRAHSLLLNAHLQRYQYNMQSLCPSSTSYGGAYTAALSQIVFSAIVQASSDSLAIFGKEREYRSELVMWATKQTEAFAGLVKRHAIASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPTSTRQSGRSSVTSLGNAAAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQMGGQTLEGLFQVFNSYVNMLIKALPGSMEEEANFEGCGNKIVQMAETEAQQIALLANASLLADELLPRAAMKLAPPNQANYKDDSRRRPLDRQNRHPEQREWRKRLAGSVDRLKDAFCRQHALDLIFTEDGDSYLTAEMYTNMVGSADEVDRFPSPIFQELFVKLNRMASIAAEMFVGRERFATLLLMRLTETVILWLSEDQNFWDDIEEGPRPLGPLGIQQFYLDMKFVMCFASQGRYLSRNLHRVVNEIIAKALAVFSATGMDPDRELPEDDWFNDICQEAMERLSGKPKAIDGDNELGSPTASVSAQSISSVRSHGSS >Potri.008G009100.5.v4.1 pep chromosome:Pop_tri_v4:8:440854:447270:1 gene:Potri.008G009100.v4.1 transcript:Potri.008G009100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009100.v4.1 MASAKTSSRSRGTPVKENGTKLEEGLNVFKSDRFNADSYVQSKCSLNEKEIKQLCSYLLDLKRASADEMRKSVYANYAAFIRTSKEISDLEGELLSIRNLLSTQATLIHGLVEGVNIDSLSLKASEGSLVNGLENVEDREPTDLERWLAEFPDMLDVLLAERRVDEALAVIDEGERIAAEMKKTELSSPGILRSLEIAITERGQKLADQLAEAACQPSTRSSELRAAISALKKLGDGPRAHSLLLNAHLQRYQYNMQSLCPSSTSYGGAYTAALSQIVFSAIVQASSDSLAIFGKEREYRSELVMWATKQTEAFAGLVKRHAIASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPTSTRQSGRSSVTSLGNAAAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQMGGQTLEGLFQVFNSYVNMLIKALPGSMEEEANFEGCGNKIVQMAETEAQQIALLANASLLADELLPRAAMKLAPPNQANYKDDSRRRPLDRQNRHPEQREWRKRLAGSVDRLKDAFCRQHALDLIFTEDGDSYLTAEMYTNMVGSADEVDRFPSPIFQELFVKLNRMASIAAEMFVGRERFATLLLMRLTETVILWLSEDQNFWDDIEEGPRPLGPLGIQQFYLDMKFVMCFASQGRYLSRNLHRVVNEIIAKALAVFSATGMDPDRELPEDDWFNDICQEAMERLSGKPKAIDGDNELGSPTASVSAQSISSVRSHGSS >Potri.008G009100.3.v4.1 pep chromosome:Pop_tri_v4:8:440856:447334:1 gene:Potri.008G009100.v4.1 transcript:Potri.008G009100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009100.v4.1 MASAKTSSRSRGTPVKENGTKLEEGLNVFKSDRFNADSYVQSKCSLNEKEIKQLCSYLLDLKRASADEMRKSVYANYAAFIRTSKEISDLEGELLSIRNLLSTQATLIHGLVEGVNIDSLSLKASEGSLVNGLENVEDREPTDLERWLAEFPDMLDVLLAERRVDEALAVIDEGERIAAEMKKTELSSPGILRSLEIAITERGQKLADQLAEAACQPSTRSSELRAAISALKKLGDGPRAHSLLLNAHLQRYQYNMQSLCPSSTSYGGAYTAALSQIVFSAIVQASSDSLAIFGKEREYRSELVMWATKQTEAFAGLVKRHAIASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPTSTRQSGRSSVTSLGNAAAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQMGGQTLEGLFQVFNSYVNMLIKALPGSMEEEANFEGCGNKIVQMAETEAQQIALLANASLLADELLPRAAMKLAPPNQANYKDDSRRRPLDRQNRHPEQREWRKRLAGSVDRLKDAFCRQHALDLIFTEDGDSYLTAEMYTNMVGSADEVDRFPSPIFQELFVKLNRMASIAAEMFVGRERFATLLLMRLTETVILWLSEDQNFWDDIEEGPRPLGPLGIQQFYLDMKFVMCFASQGRYLSRNLHRVVNEIIAKALAVFSATGMDPDRELPEDDWFNDICQEAMERLSGKPKAIDGDNELGSPTASVSAQSISSVRSHGSS >Potri.008G009100.2.v4.1 pep chromosome:Pop_tri_v4:8:440859:446695:1 gene:Potri.008G009100.v4.1 transcript:Potri.008G009100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009100.v4.1 MASAKTSSRSRGTPVKENGTKLEEGLNVFKSDRFNADSYVQSKCSLNEKEIKQLCSYLLDLKRASADEMRKSVYANYAAFIRTSKEISDLEGELLSIRNLLSTQATLIHGLVEGVNIDSLSLKASEGSLVNGLENVEDREPTDLERWLAEFPDMLDVLLAERRVDEALAVIDEGERIAAEMKKTELSSPGILRSLEIAITERGQKLADQLAEAACQPSTRSSELRAAISALKKLGDGPRAHSLLLNAHLQRYQYNMQSLCPSSTSYGGAYTAALSQIVFSAIVQASSDSLAIFGKEREYRSELVMWATKQTEAFAGLVKRHAIASSAAAGGLRAAAECVQIALGHCSLLEARGLALCPVLLKLFRPSVEQALNANLKRIEESTAALAAADDWVLTYPPTSTRQSGRSSVTSLGNAAAFQHKLTSSAHRFNLMVQDFFEDVGPLLSMQMGGQTLEGLFQVFNSYVNMLIKALPGSMEEEANFEGCGNKIVQMAETEAQQIALLANASLLADELLPRAAMKLAPPNQANYKDDSRRRPLDRQNRHPEQREWRKRLAGSVDRLKDAFCRQHALDLIFTEDGDSYLTAEMYTNMVGSADEVDRFPSPIFQELFVKLNRMASIAAEMFVGRERFATLLLMRLTETVILWLSEDQNFWDDIEEGPRPLGPLGIQQFYLDMKFVMCFASQGRYLSRNLHRVVNEIIAKALAVFSATGMDPDRELPEDDWFNDICQEAMERLSGKPKAIDGDNELGSPTASVSAQSISSVRSHGSS >Potri.013G091800.1.v4.1 pep chromosome:Pop_tri_v4:13:9423569:9427618:1 gene:Potri.013G091800.v4.1 transcript:Potri.013G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091800.v4.1 MTDAQERFRNIRLQEEYDTHDPKGHCTMVLPFLRKRSKIIEIVAARDMVFALAQSGVCAAFSRETNQRICFLNVTPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIQRGQPDAGFALFESESLKWPGFVEFDDVNSKVLTYSAQDSIYKVFDLKNYTMLYSIANKNVQEIKISQGIMLLILTKSGGYVPLEILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRDFQLIEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIKAGNSFPNENECSQSSKKHMCASTVRSTAAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >Potri.016G002900.1.v4.1 pep chromosome:Pop_tri_v4:16:148460:148768:-1 gene:Potri.016G002900.v4.1 transcript:Potri.016G002900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002900.v4.1 MVRKETVSVAFMASLLLVASFTICAHATEGPRMLASEKVYYPQGCRCCFFVGRIPNMRCGKVCCTSIRGENCCIGT >Potri.013G080300.3.v4.1 pep chromosome:Pop_tri_v4:13:6929100:6930856:-1 gene:Potri.013G080300.v4.1 transcript:Potri.013G080300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G080300.v4.1 MSSVNLVVMVVGLLVLAQQSFQMSLRNPVAETNNCKIDFTRLGLVLTSGNNEKALQDSGLFTPDAETPYVDIAGRRFHIGTLNARYIVYVKIGGNSVNAAIAVQILLNRFRIHGIIHFGSAGSLDKESIVPGDVSVPLAVAFTGAWNWKKFGSDEGTLNFGEFNYPVNGENLLASVDYDK >Potri.016G135800.1.v4.1 pep chromosome:Pop_tri_v4:16:14002632:14003697:1 gene:Potri.016G135800.v4.1 transcript:Potri.016G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G135800.v4.1 MAGPRALHLVCLVVCIMVMTASTTKAAISCNQVINTLTPCISYVVGNGALTGNCCNAIRGLNSAARTTPDRQSVCTCLKNTASQFSYNSRNVALAAGLPGKCGVKLPYKIDPSTDCKSVK >Potri.011G048100.1.v4.1 pep chromosome:Pop_tri_v4:11:3837915:3839114:1 gene:Potri.011G048100.v4.1 transcript:Potri.011G048100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G048100.v4.1 MESSLPSTQIWDSGDSAERKNEAKNYNEVMDALPKVKGLRGNDYYLYQGFWYSSFFLEGLMSVHEHFNPQSTDIFVASSPKTGTTWLKALTFAILTRSRLSGSTTSSLLTKMPHDCVPFLEYHLARNPSNRDLAIPLLSTHVPYSCLPKSIISSSCKIIYICRDAKDAFVSLWCFVSAHQMSKNVEPLPLEEAFEMFCNGISILGPYWDHVLGYWRASLEFPEKILFLTYEEIKKDTAAHVKKLAEFMGCSFTLEEEEEGEVQEIISMCSFEKLSNLEVNKNGKHRLDTSIAIQNSLYFRKGEIGDWANHLTPEMGARLDDIMERKLKGSGLKLPR >Potri.006G033800.3.v4.1 pep chromosome:Pop_tri_v4:6:2111158:2120568:-1 gene:Potri.006G033800.v4.1 transcript:Potri.006G033800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033800.v4.1 MKELTVECNNSCGGPQRTPEEERVLIRDIAITSENNSKEGDSFYLITQRWWQHWIDYVNQEQTNVTNDGSSMLENCDAVSSSRRPASIDNSDLIHDANSEESNVGFEIHDTLLEGRDYILLPQEVWNQLYSWYGGGPALARKVISSGLSQTEYAVEVYPLRLRLFVMPKGDQSTIRISKKETIGELHKRACELFDLNLEQVCIWDYYGQRKHALMNDMDRTLDDANLQMDQDILVEVHNNANGGLSASRGASRGGSTELSQSLNLTSQGRELDNTYGISTVTTRGSSGGLIGLQNLGNTCFMNSAIQCLVHTSEFAKYFREDYHQEINWQNPLGMVGELALAFGELLRRLWAPGRTAIAPRQFKMKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYKKSKDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPECHKISVTFDPFMYLSLPLQSTTTRSMTVTIFTCDGSALPFSCTVTVPKQGRCRDLINALSSACSLKNNEDLKLAEVRNHLFQRFLEDPLISLSMIKDDDHLVAYKIPKSLKKTLLIRLIHRRQEQEMGATQAAQHWKPFGTPLVSLISRDEVITRGDIQTVVNTMLSPLLRSESLRQADTSEPFLSLAASEKRRDSSSGEACSNSMSDSVNKDGNAVTLFKLPLQLVEESNACVDLSVGEDKAIKLSSTSTSVLVYVDWSRELLEKYDTHYLENLPEVFKYGPVNKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSFSRSMKHKLETFVNFPIHDFDLTKYIANKNNTQRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDTHISPINEEDVKSAAAYVLFYRRVKTSDAISNGGKSGSGHNNGSSQK >Potri.006G033800.2.v4.1 pep chromosome:Pop_tri_v4:6:2111142:2120563:-1 gene:Potri.006G033800.v4.1 transcript:Potri.006G033800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033800.v4.1 MKELTVECNNSCGGPQRTPEEERVLIRDIAITSENNSKEGDSFYLITQRWWQHWIDYVNQEQTNVTNDGSSMLENCDAVSSSRRPASIDNSDLIHDANSEESNVGFEIHDTLLEGRDYILLPQEVWNQLYSWYGGGPALARKVISSGLSQTEYAVEVYPLRLRLFVMPKGDQSTIRISKKETIGELHKRACELFDLNLEQVCIWDYYGQRKHALMNDMDRTLDDANLQMDQDILVEVHNNANGTALSRFIRSAQGNGSTVKEASSFLLEPSKSSLSIAGGLSASRGASRGGSTELSQSLNLTSQGRELDNTYGISTVTTRGSSGGLIGLQNLGNTCFMNSAIQCLVHTSEFAKYFREDYHQEINWQNPLGMVGELALAFGELLRRLWAPGRTAIAPRQFKMKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYKKSKDADGRPDEEVADEYWANHIARNDSIIVDVCQGQYKSTLVCPECHKISVTFDPFMYLSLPLQSTTTRSMTVTIFTCDGSALPFSCTVTVPKQGRCRDLINALSSACSLKNNEDLKLAEVRNHLFQRFLEDPLISLSMIKDDDHLVAYKIPKSLKKTLLIRLIHRRQEQEMGATQAAQHWKPFGTPLVSLISRDEVITRGDIQTVVNTMLSPLLRSESLRQADTSEPFLSLAASEKRRDSSSGEACSNSMSDSVNKDGNAVTLFKLPLQLVEESNACVDLSVGEDKAIKLSSTSTSVLVYVDWSRELLEKYDTHYLENLPEVFKYGPVNKKARTEPLSLYTCLEAFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSFSRSMKHKLETFVNFPIHDFDLTKYIANKNNTQRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDTHISPINEEDVKSAAAYVLFYRRVKTSDAISNGGKSGSGHNNGSSQK >Potri.001G375000.3.v4.1 pep chromosome:Pop_tri_v4:1:39328093:39332341:-1 gene:Potri.001G375000.v4.1 transcript:Potri.001G375000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375000.v4.1 MGTLPHAFSLFALILLLTSGTAADQITKTQAIFNGSTGIGAIVDTSSRIGKEEIVAMEVAKEDFYGFGNLTFLLINDSQKDTIHAALEAKDLIDTRQVQAIIGPQTWEEVSLVAEIARETQVPILSFADTAPEWAPERWPSLLQASPDKRAQMKAIAAIVQSWNWHQVIVIYEDTDSSARGVIPHLHDALREVNSEVSQFVAFSPFNSSDSMSKELENIKSKQYCRVFVVHLSFKLAVRLFEMANKMEMMKRDYVWITTDPFTSLVHSINASVISSMKGILGVRSYFPKMGPHFVNFNQRFRTRFRRKYPREERNEPGIYAVQAYDAMRTIALGLNKTGSKRGGKELLENILDADFLGLSGKVKFKNQNVAAAEIFEIVNVIGTGYNELGYWSNGLGFSENIHENSSYNSASMIDLEQVHWPGGPRYTPRGWTALTSAKLFRIGVASLSGYEEYVKVESDDRLGTNFSGFANEVFKATTASMPFFPQYEFQYFNGSYNELLEQLHLKNFDAVVGDVEIVASRHQYAEFTYPYTETGLVLIVPVRSSSKAWSFIKPFTATMWVLISVITVYNGFVVWWIERKHCDELQGSIPNQIGIMIWLSFNTLFSLNGPKLHSNLSRMSGVVWLFVALIIIQTYTANLSSMLTVQRLEPTIPSVEELLNSNAMVGTGTYMERYLAEVLKFKNQNMQHFQSAESYVKGFEDKKISAAFLGTPSAKIFLAKYCNSFIQIGPTYKIGGFGFAFPRGSPLLASMNEALLNLSENGALQELEKTWITPQKCPKMPSDSSSLGPSGFRELFFITACTTTIAFVIYVCRTNLLRHKNIWGIISAVLKRWVSPRRHFTSRRVANVEISLPEIHLKPTKLSTE >Potri.011G121900.3.v4.1 pep chromosome:Pop_tri_v4:11:15233776:15239351:1 gene:Potri.011G121900.v4.1 transcript:Potri.011G121900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121900.v4.1 MADKNRSVSIEDLPSHLIFEILTTGRLSAVDLACLELTSRTFGGSHGLYPQKFRSLVDFAAYQLCVSHRVYSGMGWNAQKGLFGRCEGNWKRVLRFLQAVEESSGMVKTSASNMQVTTGRYHTLLIRDSSVYSCGSSLCGVLGHGAETTQCVAFTRIRFPSLAYVIQVSASHNHAAFVLQSGEVFTCGDNSSFCCGHNDTNRPIFRPRLVEALKGVPCKQVAVGLNFTVFLTRQGQLYTCGTNTHGQLGHGDTQDRPTPKIIELLEGVGSVVQIAAGPSYVLAVTDNGVVYSFGSGSNLCLGHGEQHDEFRPRAIQTFRRKDIHVVHVSAGDEHAVALDSSGFVYSWGKGYCGALGHGDEIDKTLPALVNCLKSHLAVQVCARKRKTFVLVDGGSVYGFGWMGFGSLGFPDRGVSDKVMRPRVLDSLRARHVSQISTGLYHTVVVTNQGQMFGFGDNERAQLGHDTLRGCLQPTEIFVQETADDTGLASEGK >Potri.011G121900.2.v4.1 pep chromosome:Pop_tri_v4:11:15233740:15239374:1 gene:Potri.011G121900.v4.1 transcript:Potri.011G121900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121900.v4.1 MADKNRSVSIEDLPSHLIFEILTTGRLSAVDLACLELTSRTFGGSHGLYPQKFRSLVDFAAYQLCVSHRVYSGMGWNAQKGLFGRCEGNWKRVLRFLQAVEESSGMVKTSASNMQVTTGRYHTLLIRDSSVYSCGSSLCGVLGHGAETTQCVAFTRIRFPSLAYVIQVSASHNHAAFVLQSGEVFTCGDNSSFCCGHNDTNRPIFRPRLVEALKGVPCKQVAVGLNFTVFLTRQGQLYTCGTNTHGQLGHGDTQDRPTPKIIELLEGVGSVVQIAAGPSYVLAVTDNGVVYSFGSGSNLCLGHGEQHDEFRPRAIQTFRRKDIHVVHVSAGDEHAVALDSSGFVYSWGKGYCGALGHGDEIDKTLPALVNCLKSHLAVQVCARKRKTFVLVDGGSVYGFGWMGFGSLGFPDRGVSDKVMRPRVLDSLRARHVSQISTGLYHTVVVTNQGQMFGFGDNERAQLGHDTLRGCLQPTEIFVQETADDTGLASEGK >Potri.001G170100.4.v4.1 pep chromosome:Pop_tri_v4:1:14575272:14575616:1 gene:Potri.001G170100.v4.1 transcript:Potri.001G170100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170100.v4.1 MEGDLFSGLSNGNQVDGKVLQTFQKSFVQVQDILDQNRLLINEINQNHESKIPDNLTRNVGLIKELNNNIRRVVDLYADLSNNFTRSMEPSSEGESSGILKSNGKANSKRIRSG >Potri.003G163600.5.v4.1 pep chromosome:Pop_tri_v4:3:17302693:17308359:1 gene:Potri.003G163600.v4.1 transcript:Potri.003G163600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163600.v4.1 MINGSNSVDINRNGIASRSWSFPVACEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLSPKILCRVVNVQLKAELDTDEVFAQVILLPETQQDVELVEEEDLPPLPARPRVHSFCKMLTASDTSTHGGFSVLKRHADECLPPLDMSLQPPVQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALRQPSKIPSSLISSHSMHIGILATAWHAVSTGSMFTVYYKPRTSPAEFIIPVDKYMESVKINYAIGMRFKMRFEADDAPEQRFSGTVIGVEEADPKKWPRSNWRCLKVHWDETSPVHRPDRVSPWKVEPALAPSMDPVSGCRLKRHRPNTVTSSADSSALTKKDNGPSRHMQHQEILTLRNTPTGKNYSDNNHNPPWVLSQGTGTLLKSYESSRPFFGLFSDDVDQPSKLTSDEKSMFNWHSAPMMYTGHPFNMLASSMEVQVAKDKDTQQQHGSRFLPFPYADSSPHPSRSKPQHLPFQQCNERTAKDDNYKLFGVSLFRNSKALEPATIHRHSADKPQHQINVASDHLQLLGSDRYLEQLKHPKHARCEEQENIFQASSLYSKDVQGKPEGGSARRCVKVHKQGTAVGRSLDLAKFNGYNELTAELDQIFEFNGELVAPNKDWLIVFTDDEDDMMLVGDDPWQEFCSMARRIFIYTKEEINRMEPRSLNREAEGNSRSTDQMVDLENRISKHLPAVSPSECQGLCYMTGSKVMRPER >Potri.013G008800.1.v4.1 pep chromosome:Pop_tri_v4:13:580979:582124:1 gene:Potri.013G008800.v4.1 transcript:Potri.013G008800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008800.v4.1 MVNRKKNMTKGRRKTSRHEFFKYFPKDLLTEVLARVASASISDLFTAKLSCKEFLEAASENYIFEHITIEKLPVIPWRISHGASSFLARCKENGNPEALFRQGMVEFFSSNKPESGFQHLKNAARKGHVEAIYTCGVILVCHGGQFKQEGIELLSSLKNYKSRHRTIKECRDKIKEILQSMWIDRREAGIGPEEPKSHGRTCNCSKFNKRGWIDEEEYTTCDYCVWDHEATLFCKILRARGFGIS >Potri.013G065600.1.v4.1 pep chromosome:Pop_tri_v4:13:4850033:4853628:-1 gene:Potri.013G065600.v4.1 transcript:Potri.013G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065600.v4.1 MSVTAGVSDTALAVRDKLRGKIGQTKVKRYWPGKAPEWADDADEDGDIRMARAAALDKAFPIRDDSYDVAASKDDPRLRRLAESRIDNRDEVRADHRRIRQAEIISTEEEEMRRQEWADMEEEDEDALEERRRRIKEKLRHREQEEAVLLPIEEEEEVEEEEEEESEYETDSEEEMTGMAMVKPVFVPKSERDTIAERERLEAEEQALEEKVRRKLEQRKVETKQILVEEIQKEELIQKNLETEANIADVDTDDEINEAEEYEAWKVREIARIKRDREAREAMLKEREEIERVRNMTEEERREWERKNPKPAPPSKQKWRFMQKYYHKGAFFQDQPDDRAATAGTDDIFRRDFSSPTGEDRMDKSILPKVMQVKHFGRSGRTKWTHLVNEDTTDWNNPWTFNDPLRAKYNAKMAGMSAAIDKPKGSKKLKDWESR >Potri.005G063300.4.v4.1 pep chromosome:Pop_tri_v4:5:4072645:4073553:1 gene:Potri.005G063300.v4.1 transcript:Potri.005G063300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063300.v4.1 MAAMNSSVLACNYAISGTGSSELNAKIVSMPAVASPVVSGPKLPIIRAQQARADSKEMKASEGRRAALVYLAATLFTSAAAAASANAGVIEDYLEKSKANKELNDKKRLATSGANFARAYTVQFGTCKFPENFTGCQDLAKQKKVPFISEDLALECEGKDKYKCGSNVFWKW >Potri.010G237300.7.v4.1 pep chromosome:Pop_tri_v4:10:21719976:21724546:-1 gene:Potri.010G237300.v4.1 transcript:Potri.010G237300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237300.v4.1 MVVIPLLGQLADEYGRKPLLLITVSTSMFPFAVLACNQSRDAVYVYYVLRTISFILSQGSIFCIAVAYAVSIALLIFGSVYMYFFLVETVERVDKRERDSTFLTKIINVTRKRYESMRYAAVVVFRSPTLKIISFVSFFYELGMSGISSVLLFYLKAVFGFNKNQYSEILSAVGIGAIFSQILVLPLLSPLVGEGVILCLALLASIAYGLLYGLAWASWVPYLSAAFGAIYVLVKPATYSVISKGSSSVNQGKVQGFIAGVQSIASLLSPLAMSPLTSWFLSSDAPFNCKGFSIIVASVSMMIALCFACLLKPAEMSGHDMEEEIEAPLLGES >Potri.010G237300.6.v4.1 pep chromosome:Pop_tri_v4:10:21719976:21724535:-1 gene:Potri.010G237300.v4.1 transcript:Potri.010G237300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237300.v4.1 MVVIPLLGQLADEYGRKPLLLITVSTSMFPFAVLACNQSRDAVYVYYVLRTISFILSQGSIFCIAVAYAADIIKEENRATAFSWITGFFSASHVVGNLLVSIALLIFGSVYMYFFLVETVERVDKRERDSTFLTKIINVTRKRYESMRYAAVVVFRSPTLKIISFVSFFYELGMSGISSVLLFYLKAVFGFNKNQYSEILSAVGIGAIFSQILVLPLLSPLVGEGVILCLALLASIAYGLLYGLAWASWVPYLSAAFGAIYVLVKPATYSVISKGSSSVNQGKVQGFIAGVQSIASLLSPLAMSPLTSWFLSSDAPFNCKGFSIIVASVSMMIALCFACLLKPAEMSGHDMEEEIEAPLLGES >Potri.010G237300.8.v4.1 pep chromosome:Pop_tri_v4:10:21719976:21724546:-1 gene:Potri.010G237300.v4.1 transcript:Potri.010G237300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237300.v4.1 MYFFLVETVERVDKRERDSTFLTKIINVTRKRYESMRYAAVVVFRSPTLKIISFVSFFYELGMSGISSVLLFYLKAVFGFNKNQYSEILSAVGIGAIFSQILVLPLLSPLVGEGVILCLALLASIAYGLLYGLAWASWVPYLSAAFGAIYVLVKPATYSVISKGSSSVNQGKVQGFIAGVQSIASLLSPLAMSPLTSWFLSSDAPFNCKGFSIIVASVSMMIALCFACLLKPAEMSGHDMEEEIEAPLLGES >Potri.010G237300.4.v4.1 pep chromosome:Pop_tri_v4:10:21719976:21724553:-1 gene:Potri.010G237300.v4.1 transcript:Potri.010G237300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237300.v4.1 MVFKDCLVGFKELRPLVHLLLPLFFHWIAEEMTFSVLVDVLTSALCPGQTTCSEVIYISGLQQTVVGIFKMVVIPLLGQLADEYGRKPLLLITVSTSMFPFAVLACNQSRDAVYVYYVLRTISFILSQGSIFCIAVAYAVSIALLIFGSVYMYFFLVETVERVDKRERDSTFLTKIINVTRKRYESMRYAAVVVFRSPTLKIISFVSFFYELGMSGISSVLLFYLKAVFGFNKNQYSEILSAVGIGAIFSQILVLPLLSPLVGEGVILCLALLASIAYGLLYGLAWASWVPYLSAAFGAIYVLVKPATYSVISKGSSSVNQGKVQGFIAGVQSIASLLSPLAMSPLTSWFLSSDAPFNCKGFSIIVASVSMMIALCFACLLKPAEMSGHDMEEEIEAPLLGES >Potri.010G237300.1.v4.1 pep chromosome:Pop_tri_v4:10:21719976:21724535:-1 gene:Potri.010G237300.v4.1 transcript:Potri.010G237300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237300.v4.1 MVFKDCLVGFKELRPLVHLLLPLFFHWIAEEMTFSVLVDVLTSALCPGQTTCSEVIYISGLQQTVVGIFKMVVIPLLGQLADEYGRKPLLLITVSTSMFPFAVLACNQSRDAVYVYYVLRTISFILSQGSIFCIAVAYAADIIKEENRATAFSWITGFFSASHVVGNLLARFLPEKYIFVVSIALLIFGSVYMYFFLVETVERVDKRERDSTFLTKIINVTRKRYESMRYAAVVVFRSPTLKIISFVSFFYELGMSGISSVLLFYLKAVFGFNKNQYSEILSAVGIGAIFSQILVLPLLSPLVGEGVILCLALLASIAYGLLYGLAWASWVPYLSAAFGAIYVLVKPATYSVISKGSSSVNQGKVQGFIAGVQSIASLLSPLAMSPLTSWFLSSDAPFNCKGFSIIVASVSMMIALCFACLLKPAEMSGHDMEEEIEAPLLGES >Potri.010G237300.5.v4.1 pep chromosome:Pop_tri_v4:10:21719976:21724535:-1 gene:Potri.010G237300.v4.1 transcript:Potri.010G237300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237300.v4.1 MVVIPLLGQLADEYGRKPLLLITVSTSMFPFAVLACNQSRDAVYVYYVLRTISFILSQGSIFCIAVAYAADIIKEENRATAFSWITGFFSASHVVGNLLARFLPEKYIFVVSIALLIFGSVYMYFFLVETVERVDKRERDSTFLTKIINVTRKRYESMRYAAVVVFRSPTLKIISFVSFFYELGMSGISSVLLFYLKAVFGFNKNQYSEILSAVGIGAIFSQILVLPLLSPLVGEGVILCLALLASIAYGLLYGLAWASWVPYLSAAFGAIYVLVKPATYSVISKGSSSVNQGKVQGFIAGVQSIASLLSPLAMSPLTSWFLSSDAPFNCKGFSIIVASVSMMIALCFACLLKPAEMSGHDMEEEIEAPLLGES >Potri.010G237300.3.v4.1 pep chromosome:Pop_tri_v4:10:21719976:21724535:-1 gene:Potri.010G237300.v4.1 transcript:Potri.010G237300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237300.v4.1 MVFKDCLVGFKELRPLVHLLLPLFFHWIAEEMTFSVLVDVLTSALCPGQTTCSEVIYISGLQQTVVGIFKMVVIPLLGQLADEYGRKPLLLITVSTSMFPFAVLACNQSRDAVYVYYVLRTISFILSQGSIFCIAVAYAADIIKEENRATAFSWITGFFSASHVVGNLLVSIALLIFGSVYMYFFLVETVERVDKRERDSTFLTKIINVTRKRYESMRYAAVVVFRSPTLKIISFVSFFYELGMSGISSVLLFYLKAVFGFNKNQYSEILSAVGIGAIFSQILVLPLLSPLVGEGVILCLALLASIAYGLLYGLAWASWVPYLSAAFGAIYVLVKPATYSVISKGSSSVNQGKVQGFIAGVQSIASLLSPLAMSPLTSWFLSSDAPFNCKGFSIIVASVSMMIALCFACLLKPAEMSGHDMEEEIEAPLLGES >Potri.003G167900.2.v4.1 pep chromosome:Pop_tri_v4:3:17698216:17699282:-1 gene:Potri.003G167900.v4.1 transcript:Potri.003G167900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167900.v4.1 MPSFLNPPQEASMSENNINSNNNKPADIEDFQNMMADKDDSKKKLAPKRSSNKDRHKKVEGRGRRIRIPALCAARIFQLTRELEHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGASVSEQGGSVSTGLHAKMEGLGPGVTGSRDRNDWTLSNTKLGISNVATGVWPSVGGIGLGFVPNSGQSTSNFGNENSSTLPKYGFQGVEFPNINMGLMSFYSMFSGTNQQLPGLELGLSQDGHSAMLNPQALSPFYHQMVQGRGVLDSLNQEKQQEQPPDKDDSQGSRQ >Potri.003G167900.1.v4.1 pep chromosome:Pop_tri_v4:3:17697867:17699362:-1 gene:Potri.003G167900.v4.1 transcript:Potri.003G167900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167900.v4.1 MEPKSPNQYQPQMPSFLNPPQEASMSENNINSNNNKPADIEDFQNMMADKDDSKKKLAPKRSSNKDRHKKVEGRGRRIRIPALCAARIFQLTRELEHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGASVSEQGGSVSTGLHAKMEGLGPGVTGSRDRNDWTLSNTKLGISNVATGVWPSVGGIGLGFVPNSGQSTSNFGNENSSTLPKYGFQGVEFPNINMGLMSFYSMFSGTNQQLPGLELGLSQDGHSAMLNPQALSPFYHQMVQGRGVLDSLNQEKQQEQPPDKDDSQGSRQ >Potri.005G029700.1.v4.1 pep chromosome:Pop_tri_v4:5:1916293:1919085:1 gene:Potri.005G029700.v4.1 transcript:Potri.005G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029700.v4.1 MDYEIAGLVLAVLLWVAWAVVTERRYRRSEEQGQLPPGPRPLPVVGNIFLLGWAPHESFANLARVHGPIMTIWLGSMCNVVISSSEVAREMFKNHDAVLAGRKIYEAIRGDFGNEGSIITAQYGPHWRMLRRLCTTEFFVTSRLDAMQGARTRCIDGMLQYIEDDSANGTSAIDLGRYIFLMAFNLIGNLMFSKDLLDPKSEKGAKFFQHAGKVVELAGKPNMADCFPILRWLDPQGIRRKTQFHVARAFEIAGGFIKERTESTQKENSRDDKRKDYLDVLLEFRGDGVEEPSRFSSTTINAIVLEMFTAGTDTTTSTLEWAMAELLHNPKVMKTVQSELRSTIGPNKKLEDKDIENLPYLKAVIRETLRLHPPLPFLVSHMAMNPCKMLGYYVPKETTILVNVWAIGRDSKTWDDPLVFKPERFLEPNMVDYKGRHFEFIPFGSGRRMCPAMPLASRVLYLALGSLLLSFDWILPDGLKPEDMDMTEKIGITLRKSVPLKVIPTPYKGSSNHDGF >Potri.004G054800.1.v4.1 pep chromosome:Pop_tri_v4:4:4489036:4492834:1 gene:Potri.004G054800.v4.1 transcript:Potri.004G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054800.v4.1 MVGSIAKGWKHLSGENNWKDLLDPLDNDLRHYIIHYGEMAQATYDSFNTQKVSKYAGSSLYAKDEFFNRVHLEKGNPFKYRVTKFLYATSQMHISEAFIIKSLSREAWSKESNWIGFVAVSTDEGKVALGRRDIVIAWRGTIQILEWVNDFEFNLVSASKILGESGNPKVHQGWYSIYTSDDSRSPYNKNSARDQVLNEVGRLVDQFKNEEISITITGHSLGAALATLNAVDIVANGFNKSHENKGCPVTAMLFASPRVGDSNFKKVFSRYMDLKALRVHNVLDVVPKYPFIGYADVGEELIIDTTKSKYLKSPGNVSSWHNLEAYLHGVAGTQGSKGGFELVVNRDIALINKTTDGLKDEYLVPASWRIQENKGMVQQADGSWKLVDHEEDVDT >Potri.012G141550.1.v4.1 pep chromosome:Pop_tri_v4:12:15329014:15329688:1 gene:Potri.012G141550.v4.1 transcript:Potri.012G141550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141550.v4.1 MFIFFTSLHTISCLCAAQPLLKTCRRWRHIYFELSVFGLHEVQIRGCST >Potri.010G193800.5.v4.1 pep chromosome:Pop_tri_v4:10:18861438:18864003:1 gene:Potri.010G193800.v4.1 transcript:Potri.010G193800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193800.v4.1 MSFTGTQQKCKACEKTVYPMELLSADGVAYHKTCFKCFHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLNPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCAITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSATMKRAAASVPEA >Potri.010G193800.4.v4.1 pep chromosome:Pop_tri_v4:10:18860928:18864022:1 gene:Potri.010G193800.v4.1 transcript:Potri.010G193800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193800.v4.1 MSFTGTQQKCKACEKTVYPMELLSADGVAYHKTCFKCFHCKGTLKLSNYSSMEGVLYCKPHFEQLFKETGNFNKNFQSPAKSAEKLNPELTRSPSKAASMFSGTQEKCATCGKTAYPLEKVTVESQAYHKSCFKCSHGGCAITPSNYAALEGVLYCKHHFSQLFKEKGSYNHLIKSATMKRAAASVPEA >Potri.012G060400.10.v4.1 pep chromosome:Pop_tri_v4:12:6139361:6150289:-1 gene:Potri.012G060400.v4.1 transcript:Potri.012G060400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060400.v4.1 MGSETIKTGRLANGEAQKSVHKERRGLSSNGMNGKHENLGSNRAGTSSSGEDYDLMMNTGDVDGNLDGDVEPVFRKARQGDLTFQDIHDNRDFFDEDDEDDSDWEPVQKHVELIKWFCVNCTMVNLGDGVICDLCGEHKDSGILRLGCFASPSSQDAGCSEVESEMKGKNKEMHSQLSASNSLTAIGFDERMLLHSEVQMKSHPHPERSDRLRAIAASLATAGLFPGRCYPISAREITREELQMVHSLEHIQDVELTSHIFSSYFTPDTYANEHSACAARLAAGLCADLASTIFSGWVKNGFAMVRPPGHHAGVREAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSANEVGRNGAEGSCVNIPWSRGGVGDNDYIFAFQNLVLPIATEFAPDFTIISAGFDAARGDPLGCCDVTPAGYSRMTDMLYTLTGGKLLVILEGGYNLRSISSSATSVIKVLLGEGPGSELGNIAPSRAGLQTVLEVMKIQMNFWPSLGSSYAKLQSQWGAYCNTRKQIKKRQRTEPPIWWKWGRKRLLYHILVRRLHVKSRGKPSLHSS >Potri.012G060400.22.v4.1 pep chromosome:Pop_tri_v4:12:6139364:6150283:-1 gene:Potri.012G060400.v4.1 transcript:Potri.012G060400.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060400.v4.1 MGSETIKTGRLANGEAQKSVHKERRGLSSNGMNGKHENLGSNRAGTSSSGEDYDLMMNTGDVDGNLDGDVEPVFRKARQGDLTFQDIHDNRDFFDEDDEDDSDWEPVQKHVELIKWFCVNCTMVNLGDGVICDLCGEHKDSGILRLGCFASPSSQDAGCSEVESEMKGKNKEMHSQLSASNSLTAIGFDERMLLHSEVQMKSHPHPERSDRLRAIAASLATAGLFPGRCYPISAREITREELQMVHSLEHIQDVELTSHIFSSYFTPDTYANEHSACAARLAAGLCADLASTIFSGWVKNGFAMVRPPGHHAGVREAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSANEVGRNGAEGSCVNIPWSRGGVGDNDYIFAFQNLVLPIATEFAPDFTIISAGFDAARGDPLGCCDVTPAGYSRMTDMLYTLTGGKLLVILEGGYNLRSISSSATSVIKVLLGEGPGSELGNIAPSRAGLQTVLEVMKIQMNFWPSLGSSYAKLQSQWGAYCNTRKQIKKRQRTEPPIWWKWGRKRLLYHILVRRLHVKSRGKPSLHSS >Potri.012G060400.20.v4.1 pep chromosome:Pop_tri_v4:12:6139262:6150297:-1 gene:Potri.012G060400.v4.1 transcript:Potri.012G060400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060400.v4.1 MGSETIKTGRLANGEAQKSVHKERRGLSSNGMNGKHENLGSNRAGTSSSGEDYDLMMNTGDVDGNLDGDVEPVFRKARQGDLTFQDIHDNRDFFDEDDEDDSDWEPVQKHVELIKWFCVNCTMVNLGDGVICDLCGEHKDSGILRLGCFASPSSQDAGCSEVESEMKGKNKEMHSQLSASNSLTAIGFDERMLLHSEVQMKSHPHPERSDRLRAIAASLATAGLFPGRCYPISAREITREELQMVHSLEHIQDVELTSHIFSSYFTPDTYANEHSACAARLAAGLCADLASTIFSGWVKNGFAMVRPPGHHAGVREAMGFCLHNNAAVAALAAQAAGAKKVLIVDWDVHHGNGTQEIFDQNKSVLYISLHRHEGGKFYPGTGSANEVGRNGAEGSCVNIPWSRGGVGDNDYIFAFQNLVLPIG >Potri.009G087000.4.v4.1 pep chromosome:Pop_tri_v4:9:8114300:8118621:1 gene:Potri.009G087000.v4.1 transcript:Potri.009G087000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087000.v4.1 MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMVNGYGSREKPKLAPKRVHHVHRQDENLMSVGTVQSDEDIPDAKALPVSSAALPVVNPKRTTVRLDNLIMEAITSLKEPGGSHKTAIASYIEEQYWPPNDFKRILSAKLKYLAANGKLIKVKRKYRIAPTSAFAERRVSPLFFEGRQRISPKIERDDFNILTMSQIDLDLGKMRTMTAEQAAEVAARAVAEAEAAIAVAEEAAREAETAEADAEAAQAFAEAAMKTLKGRTAPKTVRA >Potri.009G087000.2.v4.1 pep chromosome:Pop_tri_v4:9:8114300:8118497:1 gene:Potri.009G087000.v4.1 transcript:Potri.009G087000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087000.v4.1 MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMVNGYGSREKPKLAPKRVHHVHRQDENLMSVGTVQSDEDIPDAKALPVSSAALPVVNPKRTTVRLDNLIMEAITSLKEPGGSHKTAIASYIEEQYWPPNDFKRILSAKLKYLAANGKLIKVKRKYRIAPTSAFAERRVSPLFFEGRQRISPKIERDDFNILTMSQIDLDLGKMRTMTAEQAAEVAARAVAEAEAAIAVAEEAAREAETAEADAEAAQAFAEAAMKTLKGRTAPKTVRA >Potri.009G087000.3.v4.1 pep chromosome:Pop_tri_v4:9:8114226:8118598:1 gene:Potri.009G087000.v4.1 transcript:Potri.009G087000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087000.v4.1 MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMVNGYGSREKPKLAPKRVHHVHRQDENLMSVGTVQSDEDIPDAKALPVSSAALPVVNPKRTTVRLDNLIMEAITSLKEPGGSHKTAIASYIEEQYWPPNDFKRILSAKLKYLAANGKLIKVKRKYRIAPTSAFAERRVSPLFFEGRQRISPKIERDDFNILTMSQIDLDLGKMRTMTAEQAAEVAARAVAEAEAAIAVAEEAAREAETAEADAEAAQAFAEAAMKTLKGRTAPKTVRA >Potri.012G109400.2.v4.1 pep chromosome:Pop_tri_v4:12:13010410:13012245:1 gene:Potri.012G109400.v4.1 transcript:Potri.012G109400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109400.v4.1 MAQRDSDMRKKPNILITGTPGTGKTTTASALAEATQFRHINIGDLVKEKNLHDGWDDQFDCYIINEDLVCDELEDIMEEGGNIVDYHGCDFFPERWFDQVVVLQTDNSVLYDRLSKRGYSETKISNNMECEIFQVLLEEAKESYPEGIVVALRSDSIDDITNNIATLTHWLTTWQTVP >Potri.012G109400.4.v4.1 pep chromosome:Pop_tri_v4:12:13010307:13012222:1 gene:Potri.012G109400.v4.1 transcript:Potri.012G109400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109400.v4.1 MAQRDSDMRKKPNILITGTPGTGKTTTASALAEATQFRHINIGDLVKEKNLHDGWDDQFDCYIINEDLVCDELEDIMEEGGNIVDYHGCDFFPERWFDQVVVLQTDNSVLYDRLSKRGYSETKISNNMECEIFQVLLEEAKESYPEGIVVALRSDSIDDITNNIATLTHWLTTWQTVP >Potri.012G109400.5.v4.1 pep chromosome:Pop_tri_v4:12:13010410:13012222:1 gene:Potri.012G109400.v4.1 transcript:Potri.012G109400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109400.v4.1 MAQRDSDMRKKPNILITGTPGTGKTTTASALAEATQFRHINIGDLVKEKNLHDGWDDQFDCYIINEDLVCDELEDIMEEGGNIVDYHGCDFFPERWFDQVVVLQTDNSVLYDRLSKRGYSETKISNNMECEIFQVLLEEAKESYPEGIVVALRSDSIDDITNNIATLTHWLTTWQTVP >Potri.012G109400.1.v4.1 pep chromosome:Pop_tri_v4:12:13010278:13012326:1 gene:Potri.012G109400.v4.1 transcript:Potri.012G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109400.v4.1 MRKAASMAQRDSDMRKKPNILITGTPGTGKTTTASALAEATQFRHINIGDLVKEKNLHDGWDDQFDCYIINEDLVCDELEDIMEEGGNIVDYHGCDFFPERWFDQVVVLQTDNSVLYDRLSKRGYSETKISNNMECEIFQVLLEEAKESYPEGIVVALRSDSIDDITNNIATLTHWLTTWQTVP >Potri.015G124200.1.v4.1 pep chromosome:Pop_tri_v4:15:13707636:13709162:-1 gene:Potri.015G124200.v4.1 transcript:Potri.015G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124200.v4.1 MNVFGALDSPNGMTIHSIYSQYYSNSPSMPLALLSVSPRHSPAVSLSHTSPVVKQPRGDSTQQHGVNDSGYFKGSSSHSQNHLYDIDRGSLRSTDNKHRNVHRSGPLDYSSSRKVKLVVEGSTFGSTGCSPLPRFAVSRSGPLMYK >Potri.013G127700.1.v4.1 pep chromosome:Pop_tri_v4:13:13509444:13512082:-1 gene:Potri.013G127700.v4.1 transcript:Potri.013G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127700.v4.1 MEVNKEITQPYSSSSSPIPTFTTRWWSKDTVAMVTGANKGIGFSLVKQLAQLGLTVILTARDVEKGNSAVELLKSHGLHVHFYRLDVSDPASVKTLASWFQKKFGVLDILINNAAVSFNDIYENSVDHAEIVIKTNFYGVKLLTEALLPMFRLSDSISRILNISSRLGSINKMRNPKMKEMLLNERLSAQEIEGMVNLFLENVRDGTWKNQGWPEIWTDYAVSKLALNAYSRVLAKQYEDFGLSVNCFCPGFTQTSMTSGKGTHTADDAAEVGARLALLPPGELPTGKFYIGFNPGVISKL >Potri.015G100900.2.v4.1 pep chromosome:Pop_tri_v4:15:12038286:12047035:1 gene:Potri.015G100900.v4.1 transcript:Potri.015G100900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100900.v4.1 MDGIGNVKQEILEPSPNRQNATVSGVPDAVIELSSSSESSSGSDSESETELDGNSVVSKRQWDSNGGDGGSEMKKKKKRRRNLDDLGVVLPLGFLAPLPQPPSSEPPTEAEMAVVESTESTMVNLIGQSSKQFWKAGDYEGAPHANWDLSSGGMDRVRVHPKFLHSNATSHKWALGAFAELMDNALDEFGNGATFVNIDMVESKKDRSRMLLIEDNGGGMDPDKMRQCMSLGYSAKSKVANTIGQYGNGFKTSTMRLGADVIVFSRCPGKDGKSPTQSIGLLSYTFLRSTGKEDIVVPMLDFQRKGREWSRMIRYSASDWNRNVETIVCWSPFSSEADLLRQFNLMSDHGTRIIIYNLWEDDQGLLELDFDSDPHDIQLRGVNRDEKHIKMAKEFPNSRHFLTYRHSLRNYASILYLRLPSSFRIILRGKDVEHHNIVNDMMLSQEVTYRPQPGADGVPKDTNMTAVVTIGFVKDAKHHIDVQGFNVYHKNRLIKPFWRLWNAAGSDGRGVIGVLEANFVEPAHDKQGFERTTVLARLEARLVQMQKQYWSTYCHKIGYAPRRNKKLINEFSDIETSPGYQPPTSSHSRKKYTSLGSKISPSHSDHGYGNGHASNKVNVRTNTPTKFGKSTISPGPSPPAQDVSSEDDDCVAIPVRKANGSTQRTTPTNKSFEKDGLHATQSSSCMEDSGSQHDCMSGGGTVHTVTRSQTKVGDVDKMDCSFSESDVLALVHLKQENRELKERLEKLEGETRGEYRNGSQCEKCKSLEIQLQEAQRKLEELNKEQESLIDIFSEERVRRDQEEENLRKKLKDASNTIQELLDKVRLLEKMKSPYLKGR >Potri.006G017100.4.v4.1 pep chromosome:Pop_tri_v4:6:1061123:1063051:1 gene:Potri.006G017100.v4.1 transcript:Potri.006G017100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017100.v4.1 MTTSKRLSERKVAKFHKNITKRGSVPETSTKKGYDYPVGPILLGFFVFVVIGSSLFQIIRTASSGGMA >Potri.012G039200.8.v4.1 pep chromosome:Pop_tri_v4:12:3488347:3497939:1 gene:Potri.012G039200.v4.1 transcript:Potri.012G039200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039200.v4.1 MGRKKPAARDEENAPAGGGGKSKKKGLMIDDDEYSVGTELSEETQVQEEKVVITGKKKGKKGNQKDFKEEKGDGEEEEVPEVVFAGKKKSKGKKSGAGGNAGFSSSNFALLGGDEDDDGNDDDDERSELTGEKDSEEEDEPVASFKGKTKGKGDKGSDGGSLFSASAFDAIDDGEIDGEVVDKEEDDDDNDVPVIEFTGKKKKSAKGGKKDAGSVFLAASFDGLDENEDDEKKDEDEDFGAITFSGKKKKSSKSSKKSGSNKFSAALLDDENDEETSVSESVKTNDDVIGVEDEDESVVAFTGKKKKSSKKKGASNHVFSALGGEDEREVAEMVEPEEPNIVEANDSKVTKSEAVAETSKNKKKKKGKSGRTAQEEDDLDKILAELGGGASTLKPSESPPQEEKLNVQPEPVAVPDALVEKEGEEEKEESAAAKKKKKKKEKEKEKKVAAAAAAAAKEEKLEEAKAETSEPKKTDAKGKAAEKKLPKHVREMQEALARRKEMEERKAKEEEEKRRKEEEERLRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEQRRLEAMRNQILANAGITVPTADRDNAPTKRPRYQTKKSKPAHHQANGIKIEEHVEAKGKEQEEQEEVHEVETVELEKAEPVEEEKTEVASVPEENGMEEDDDDEEWDAKSWDDVNLNVKGAFDDEEDSEPEPVLKKETKSSVPASRGAAPPSDAKPAIAVRKPVTSQPMDSRDVENKKIQTEVEVSDKNRKKDAAVKNKGAVSDAIPKQGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLNVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLRMRNTEFIVALNKVDRLYAWKAQRNAPIRKALKQQSKDVQNEFDRRLMEVITQFKEQGLNTELYYKNKDMGETFNIVPTSAISGEGIPDLLLLLIQWSQKTMIEKLTFRNEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKELRVKGTYLHHKEIKAAQGIKITGQGLEHAIAGTGLYVVGRDDDVEDVKESAMEDMKSVMSRIDKTGEGVYVQASTLGSLEALLEFLKSPAVSIPVSGIGIGPVHKKDVMKSSVMLEKKKEYATILAFDVKVTPEARELADELGVKIFIADIIYHLFDQFKAYIQNLKEEKKREAADEAVFPCVLEIIPECIFNKKDPIILGVDVLEGILKVGTPLCVPQKEYIDIGRIASIEFNKKSVDYAKKGQKVAIKIVGTNAEEQQKMHGRHFDNEDQLVSHITRRSIDILKVNYRDDLSIEDWRLVVKLKTLFKIQ >Potri.012G039200.1.v4.1 pep chromosome:Pop_tri_v4:12:3488357:3497939:1 gene:Potri.012G039200.v4.1 transcript:Potri.012G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039200.v4.1 MGRKKPAARDEENAPAGGGGKSKKKGLMIDDDEYSVGTELSEETQVQEEKVVITGKKKGKKGNQKDFKEEKGDGEEEEVPEVVFAGKKKSKGKKSGAGGNAGFSSSNFALLGGDEDDDGNDDDDERSELTGEKDSEEEDEPVASFKGKTKGKGDKGSDGGSLFSASAFDAIDDGEIDGEVVDKEEDDDDNDVPVIEFTGKKKKSAKGGKKDAGSVFLAASFDGLDENEDDEKKDEDEDFGAITFSGKKKKSSKSSKKSGSNKFSAALLDDENDEETSVSESVKTNDDVIGVEDEDESVVAFTGKKKKSSKKKGASNHVFSALGGEDEREVAEMVEPEEPNIVEANDSKVTKSEAVAETSKNKKKKKGKSGRTAQEEDDLDKILAELGGGASTLKPSESPPQEEKLNVQPEPVAVPDALVEKEGEEEKEESAAAKKKKKKKEKEKEKKVAAAAAAAAKEEKLEEAKAETSEPKKTDAKGKAAEKKLPKHVREMQEALARRKEMEERKAKEEEEKRRKEEEERLRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEQRRLEAMRNQILANAGITVPTADRDNAPTKRPRYQTKKSKPAHHQANGIKIEEHVEAKGKEQEEQEEVHEVETVELEKAEPVEEEKTEVASVPEENGMEEDDDDEEWDAKSWDDVNLNVKGAFDDEEDSEPEPVLKKETKSSVPASRGAAPPSDAKPAIAVRKPVTSQPMDSRDVENKKIQTEVEVSDKNRKKDAAVKNKGAVSDAIPKQGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLNVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLRMRNTEFIVALNKVDRLYAWKAQRNAPIRKALKQQSKDVQNEFDRRLMEVITQFKEQGLNTELYYKNKDMGETFNIVPTSAISGEGIPDLLLLLIQWSQKTMIEKLTFRNEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKELRVKGTYLHHKEIKAAQGIKITGQGLEHAIAGTGLYVVGRDDDVEDVKESAMEDMKSVMSRIDKTGEGVYVQASTLGSLEALLEFLKSPAVSIPVSGIGIGPVHKKDVMKSSVMLEKKKEYATILAFDVKVTPEARELADELGVKIFIADIIYHLFDQFKAYIQNLKEEKKREAADEAVFPCVLEIIPECIFNKKDPIILGVDVLEGILKVGTPLCVPQKEYIDIGRIASIEFNKKSVDYAKKGQKVAIKIVGTNAEEQQKMHGRHFDNEDQLVSHITRRSIDILKVNYRDDLSIEDWRLVVKLKTLFKIQ >Potri.012G039200.7.v4.1 pep chromosome:Pop_tri_v4:12:3488316:3497939:1 gene:Potri.012G039200.v4.1 transcript:Potri.012G039200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039200.v4.1 MGRKKPAARDEENAPAGGGGKSKKKGLMIDDDEYSVGTELSEETQVQEEKVVITGKKKGKKGNQKDFKEEKGDGEEEEVPEVVFAGKKKSKGKKSGAGGNAGFSSSNFALLGGDEDDDGNDDDDERSELTGEKDSEEEDEPVASFKGKTKGKGDKGSDGGSLFSASAFDAIDDGEIDGEVVDKEEDDDDNDVPVIEFTGKKKKSAKGGKKDAGSVFLAASFDGLDENEDDEKKDEDEDFGAITFSGKKKKSSKSSKKSGSNKFSAALLDDENDEETSVSESVKTNDDVIGVEDEDESVVAFTGKKKKSSKKKGASNHVFSALGGEDEREVAEMVEPEEPNIVEANDSKVTKSEAVAETSKNKKKKKGKSGRTAQEEDDLDKILAELGGGASTLKPSESPPQEEKLNVQPEPVAVPDALVEKEGEEEKEESAAAKKKKKKKEKEKEKKVAAAAAAAAKEEKLEEAKAETSEPKKTDAKGKAAEKKLPKHVREMQEALARRKEMEERKAKEEEEKRRKEEEERLRQEELERQAEEARRRKKEREKEKLLKKKQEGKLLTGKQKEEQRRLEAMRNQILANAGITVPTADRDNAPTKRPRYQTKKSKPAHHQANGIKIEEHVEAKGKEQEEQEEVHEVETVELEKAEPVEEEKTEVASVPEENGMEEDDDDEEWDAKSWDDVNLNVKGAFDDEEDSEPEPVLKKETKSSVPASRGADAKPAIAVRKPVTSQPMDSRDVENKKIQTEVEVSDKNRKKDAAVKNKGAVSDAIPKQGEENLRSPICCIMGHVDTGKTKLLDCIRGTNVQEGEAGGITQQIGATYFPAENIRERTKELKADAKLNVPGLLVIDTPGHESFTNLRSRGSGLCDIAILVVDIMHGLEPQTIESLNLLRMRNTEFIVALNKVDRLYAWKAQRNAPIRKALKQQSKDVQNEFDRRLMEVITQFKEQGLNTELYYKNKDMGETFNIVPTSAISGEGIPDLLLLLIQWSQKTMIEKLTFRNEVQCTVLEVKVIEGHGTTIDVVLVNGVLHEGDQIVVCGLQGPIVTTIRALLTPHPMKELRVKGTYLHHKEIKAAQGIKITGQGLEHAIAGTGLYVVGRDDDVEDVKESAMEDMKSVMSRIDKTGEGVYVQASTLGSLEALLEFLKSPAVSIPVSGIGIGPVHKKDVMKSSVMLEKKKEYATILAFDVKVTPEARELADELGVKIFIADIIYHLFDQFKAYIQNLKEEKKREAADEAVFPCVLEIIPECIFNKKDPIILGVDVLEGILKVGTPLCVPQKEYIDIGRIASIEFNKKSVDYAKKGQKVAIKIVGTNAEEQQKMHGRHFDNEDQLVSHITRRSIDILKVNYRDDLSIEDWRLVVKLKTLFKIQ >Potri.008G056300.1.v4.1 pep chromosome:Pop_tri_v4:8:3317915:3321426:1 gene:Potri.008G056300.v4.1 transcript:Potri.008G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056300.v4.1 MARKFFVGGNWKCNGTSEEVKKIVSALNNSQVPSSDVVEVVVSPPFVFLPLVKSTLRPDFHVAAQNCWVKKGGAFTGEVSAEMLVNLDIPWVILGHSERRSLLNESNEFVGDKVAYALSQGLKVIACVGETLEQREAGSTVEVVAAQTKAIAARVSNWADVVLAYEPVWAIGTGKVATPAQAQEVHYELRKWLQENTSPEVAATTRIIYGGSVSGANCKELAAKPDVDGFLVGGASLKPEFNDIIKSAEVKKSA >Potri.014G068300.1.v4.1 pep chromosome:Pop_tri_v4:14:4314755:4320062:1 gene:Potri.014G068300.v4.1 transcript:Potri.014G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068300.v4.1 MLGALRTLGLCLEENTELEQVIVEGCGGQFPVGKEAKIDVGLFLGNAGTAMRPFTAAVTAAGGNLSYILDGVSRMRERPIGDLVIGLQQLGADVSCSPTNCPPVRVNANGGLPGGKVKLAGSISSQYLTALLMAAPLALGDVEIEIIDKLISVPYVEMTLKLMERYGVFIQHSDSWDRFFIRGGQKYKSPGNSFVEGDASSAGYFLAGAAITGGTITVEGCGTDSLQGDVKFAEVLEKMGAKVTWTKNSVTVTGLPRDSSGWKHLRAVDVNMNKMPDVAMTLAVVALFTNGPTAIRDVASWRVKEMERMIAIWKELRKLGATVEEGPDYCVITPPEKLNVTEIDTYDDHRMAMAFSLAACGEVPVTIKDPGCTRKTFPDYFEVLERYTKH >Potri.014G074200.11.v4.1 pep chromosome:Pop_tri_v4:14:4742727:4751779:-1 gene:Potri.014G074200.v4.1 transcript:Potri.014G074200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074200.v4.1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASTSMQETIERYRRHVKENNTNKQPVEQNMLQLKEEAASMIKKIEHLEVSKRKLLGECLGSCTVEELQQIEQQLERSVSTIRARKNQVFKEQIELLRQKEKLLAAENARLSDECGAQSWPVSREQRDLPREDLRESSSISDVETELFIGPPETRTKRIPPRN >Potri.014G074200.10.v4.1 pep chromosome:Pop_tri_v4:14:4742773:4752430:-1 gene:Potri.014G074200.v4.1 transcript:Potri.014G074200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074200.v4.1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASTSMQETIERYRRHVKENNTNKQPVEQNMLQLKEEAASMIKKIEHLEVSKRKLLGECLGSCTVEELQQIEQQLERSVSTIRARKNQVFKEQIELLRQKEKLLAAENARLSDECGAQSWPVSREQRDLPREDLRESSSISDVETELFIGPPETRTKRIPPRN >Potri.014G074200.4.v4.1 pep chromosome:Pop_tri_v4:14:4742723:4752430:-1 gene:Potri.014G074200.v4.1 transcript:Potri.014G074200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074200.v4.1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASTSMQETIERYRRHVKENNTNKQPVEQNMLQLKEEAASMIKKIEHLEVSKRKLLGECLGSCTVEELQQIEQQLERSVSTIRARKNQVFKEQIELLRQKEKLLAAENARLSDECGAQSWPVSREQRDLPREDLRESSSISDVETELFIGPPETRTKRIPPRN >Potri.014G074200.2.v4.1 pep chromosome:Pop_tri_v4:14:4742722:4752621:-1 gene:Potri.014G074200.v4.1 transcript:Potri.014G074200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074200.v4.1 MVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASTSMQETIERYRRHVKENNTNKQPVEQNMLQLKEEAASMIKKIEHLEVSKRKLLGECLGSCTVEELQQIEQQLERSVSTIRARKNQVFKEQIELLRQKEKLLAAENARLSDECGAQSWPVSREQRDLPREDLRESSSISDVETELFIGPPETRTKRIPPRN >Potri.014G074200.9.v4.1 pep chromosome:Pop_tri_v4:14:4742732:4752504:-1 gene:Potri.014G074200.v4.1 transcript:Potri.014G074200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074200.v4.1 MIKALVGLLPIWYREMVRGKTQMRRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSPRGKLYEFASTSMQETIERYRRHVKENNTNKQPVEQNMLQLKEEAASMIKKIEHLEVSKRKLLGECLGSCTVEELQQIEQQLERSVSTIRARKNQVFKEQIELLRQKEKLLAAENARLSDECGAQSWPVSREQRDLPREDLRESSSISDVETELFIGPPETRTKRIPPRN >Potri.006G008200.2.v4.1 pep chromosome:Pop_tri_v4:6:563555:564950:1 gene:Potri.006G008200.v4.1 transcript:Potri.006G008200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008200.v4.1 MEIIVFYVLVIAPIFFTLSDARLPMQLLEKPEVSNQVNMKADLEYGASSPLSLPPLQSLSPLSLPDSAPPYCINPPFGPPSPSTTLPSPIGHIPAASPPPFAPILPIQNPPPSPSYNFACPPTHTPTPKSPHYEPSPPKRVPSPSGYQPPMVYPPPAVPSPPHKNPQYAVWCVAKPTVPDSIIQEALDYACGSGAECKQIQPNGHCFQPNTLVAHASYAFNSCWQKTKVRGGTCDFGGSAMLVTIDPSYNDCNFINN >Potri.007G121600.10.v4.1 pep chromosome:Pop_tri_v4:7:13915037:13919493:-1 gene:Potri.007G121600.v4.1 transcript:Potri.007G121600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121600.v4.1 MATETSKDELLQLIKRFSAYLTVKISNLFSFNTLDSRSVGAVAGLAVAIVFTWRLLRSNSGPRRRQPKRQASTTSSSVVTTQPNAVSIPSGGVCSSSEDLRVQNVVDEFFQPVKPTLAQIVRQKLSEGRKVTCRLFEVILEESSPEELQIVSQATVRSSVLEVLLEITKFCDLYLMERVLDDESEQKILAALENAGVFTSGGLVKDKVLFCSTETGRSSFVRQLEPDWHIDTNPEILFQLARFIKYQLHVSPTRIERTAANVFSSPSLEQFFGCI >Potri.007G121600.11.v4.1 pep chromosome:Pop_tri_v4:7:13915193:13919472:-1 gene:Potri.007G121600.v4.1 transcript:Potri.007G121600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121600.v4.1 MATETSKDELLQLIKRFSAYLTVKISNLFSFNTLDSRSVGAVAGLAVAIVFTWRLLRSNSGPRRRQPKRQASTTSSSVVTTQPNAVSIPSGGVCSSSEDLRVQNVVDEFFQPVKPTLAQIVRQKLSEGRKVTCRLFEVILEESSPEELQIVSQATVRSSVLEVLLEITKFCDLYLMERVLDDESEQKILAALENAGVFTSGGLVKDKVLRNAFRIIWFLW >Potri.007G121600.9.v4.1 pep chromosome:Pop_tri_v4:7:13915001:13919493:-1 gene:Potri.007G121600.v4.1 transcript:Potri.007G121600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121600.v4.1 MATETSKDELLQLIKRFSAYLTVKISNLFSFNTLDSRSVGAVAGLAVAIVFTWRLLRSNSGPRRRQPKRQASTTSSSVVTTQPNAVSIPSGGVCSSSEDLRVQNVVDEFFQPVKPTLAQIVRQKLSEGRKVTCRLFEVILEESSPEELQIVSQATVRSSVLEVLLEITKFCDLYLMERVLDDESEQKILAALENAGVFTSGGLVKDKVLFCSTETGRSSFVRQLEPDWHIDTNPEILFQLARFIKYQLHVSPTRIERTAANVFSSPSLEQFFGCI >Potri.001G242800.1.v4.1 pep chromosome:Pop_tri_v4:1:26049166:26050354:1 gene:Potri.001G242800.v4.1 transcript:Potri.001G242800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242800.v4.1 MDEKWKLSKKEGSSSFTRSFSTKSSSSKAPLLRTSSLKSSSPKCPLPRSFSQKNSSISHKCSSLAKEQKARFYIMRRCVAMLVCWHKHGDS >Potri.005G089400.1.v4.1 pep chromosome:Pop_tri_v4:5:6228936:6230102:1 gene:Potri.005G089400.v4.1 transcript:Potri.005G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089400.v4.1 MAKALFLVLQFLSQDGHIFDVVAYKFSEAPESAAGALRIFSQDCFVEGCDASVIKQHCKIRKGCRNKPVFIWKCFLLAIFLAKKAVESHCPRVVTCADVLAIVTRDSYNEPLRFEVQMGRRDGPDGLVSEASRVAGNILHANDSLSSNLTLPIQRVIYPRHGSPLGRCLSLLGVMSRIYSCSLSFDVDPNMNQNHAKEFRETFPEENFDPTVLALQKGFGLLGTDRLASDPRTQVYVNLMTNYQELFYSHFITATIRLGITGVKTGNEGEIRQDCGSFNNNSVYSIANKQTMYGFVL >Potri.002G080900.1.v4.1 pep chromosome:Pop_tri_v4:2:5706824:5707936:1 gene:Potri.002G080900.v4.1 transcript:Potri.002G080900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080900.v4.1 MDNDGRKEPVEDEERKQASSRVCYTQLEQVHSDFAIAMVLQEQERAFTILTSIESDSNEEESDEASSSESGADDNDYEFFQSHEFESEMEFLQEGEDSNSDEDMEEDEVDPDELSYEDLIALGEFVGQEKRGLSRNEISTCLRPCKYESLASKTGTDRCVICQMEYEEDESLVALSCDHPYHPECIANWLQINKICPICTTEVSSPYKSV >Potri.006G138400.1.v4.1 pep chromosome:Pop_tri_v4:6:11498842:11502448:-1 gene:Potri.006G138400.v4.1 transcript:Potri.006G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138400.v4.1 MATPVKRRLFSDASSMGAGGDEVVEIESLEKGLLSPNKETTEVEDDPVLYTASFQEMEDKYVKYQTAQWVMYSLLLVLAWGIGFFMLLYLPVRRYISRKDIKSRKLYLTPSSIVYKVTKPVPFPCFGVLKKEKHVLLPSVADVIVEQGYLQSLFGVYSLRIENVGVRRPPSDDVKIQGIANPSAFRKAVLARLSYMRSEIVSRQVSTIEDIPSLRIDHSSALAWTSPSKSLKHDSVSNSGFLMLLQKLDEVGSSVKRVEMLIEEKHSKTSETIS >Potri.006G034500.1.v4.1 pep chromosome:Pop_tri_v4:6:2180664:2183988:-1 gene:Potri.006G034500.v4.1 transcript:Potri.006G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034500.v4.1 MADPILDHHPPPPTPPPPSLPQNPNTSTENMAEDFNSQLPTPPLDPLFFDQNPDNFDVLDLSSNFDDISDFDITFDDLPDLYLPYENEQFLIPNNNTVNPDPGQPDNNLDLQPGPFEGCFGDFASNTVNLESTDSGGPGTCGDHGGLEVDKYVDKYLNPSPSEAESCDSGGSDYRSSVLSPVSSHGSGNSGSGVLSAGSPESGTNVNPCNFVVDKKFVKTETESAKKRKSAKIAVAKRKKEMGDEENGEIMRNLKSRKAESENVSVNVSGSASLSGEEDRRKARLMRNRESAQLSRQRKKHYVEELEDKVRMMHSTIAQLNGKVSYFMAENATLRRQLSGNGACPPPMYAPMAPYPWVPCAPYVVKPQGSQVPLVPIPRLKPQKTVPVAKPKKVESKKGEGKTKKVASVSLFGFLFFILLFRCLVPIVDVKFGGVREGGMGGLGFVSEKFFDQHKGRVLIVDGHTNGSHEKVGVGYSNYRRHCERGHNGCLEHDSANKGASERLPGSDEFGQFGNASEHLVASLYVPRNDKLVKIDGNLIIHSVLASERPMASHESPEVNITKETALAIPGVGNNRGRHSHVYRTHTERQKALDSGSADTSKDNLKSSAAKGKLQQWFREGLAGPLLSHGMCTEVFQFDVSPAPGAIVPASSVANMTAERQQNNCTHLKKGNNRRILRGLPIPLPGSDLNITGEHVGRNTQKENFHGNKSVSPMVVSVLVDPRESSDREVDGVITPKSLSRIFVVVLLDSIKYVTYSCVLPSAGPLLHLVTT >Potri.007G142450.1.v4.1 pep chromosome:Pop_tri_v4:7:15180861:15181791:-1 gene:Potri.007G142450.v4.1 transcript:Potri.007G142450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142450.v4.1 MPPRQATHSTSHIAMPTTSITSFSVRSRVLRRHNKPLRRRGNHHQQSSDCRTVGVPIRPKHKIPGLITIMEDRQQDRMPIKGRGIDDEKIKEGGERKKGKKGEEKTGERITGERKREQINLTKKNTNQKSRKEKKRRRQRKSNGNSRIPAKSQQHLLPAPNAGKSPVFPTFGNLITQTL >Potri.010G001400.6.v4.1 pep chromosome:Pop_tri_v4:10:161998:169714:-1 gene:Potri.010G001400.v4.1 transcript:Potri.010G001400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNKREESLLKKRREGLQAQQFPPAILSSSNVEKKLENLPSMVAGVWSEDGNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLSHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSTDEEVLTDSCWALSYLSDGTDDKIQAVIEAGVCPRLVELLLHPSPSVLVPALRTVGNIVTGDDMQTQCIITTGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIENGLIGPLVNLLQNAEFDIKKEAAWAVSNATSGGTHEQIKFLVSQGCIKPLCDLLVSPDPRIVTVSLEGLENILKVGEAEKNLGNSGDANFYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDETLPSGDGAQQGFQFGGNGVQVPSGGFNF >Potri.010G001400.2.v4.1 pep chromosome:Pop_tri_v4:10:162370:169714:-1 gene:Potri.010G001400.v4.1 transcript:Potri.010G001400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNKREESLLKKRREGLQAQQFPPAILSSSNVEKKLENLPSMVAGVWSEDGNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLSHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSTDEEVLTDSCWALSYLSDGTDDKIQAVIEAGVCPRLVELLLHPSPSVLVPALRTVGNIVTGDDMQTQCIITTGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIENGLIGPLVNLLQNAEFDIKKEAAWAVSNATSGGTHEQIKFLVSQGCIKPLCDLLVSPDPRIVTVSLEGLENILKVGEAEKNLGNSGDANFYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDETLPSGDGAQQGFQFGGNGVQVPSGGFNF >Potri.010G001400.8.v4.1 pep chromosome:Pop_tri_v4:10:162369:169674:-1 gene:Potri.010G001400.v4.1 transcript:Potri.010G001400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNKREESLLKKRREGLQAQQFPPAILSSSNVEKKLENLPSMVAGVWSEDGNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLSHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSTDEEVLTDSCWALSYLSDGTDDKIQAVIEAGVCPRLVELLLHPSPSVLVPALRTVGNIVTGDDMQTQCIITTGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIENGLIGPLVNLLQNAEFDIKKEAAWAVSNATSGGTHEQIKFLVSQGCIKPLCDLLVSPDPRIVTVSLEGLENILKVGEAEKNLGNSGDANFYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDETLPSGDGAQQGFQFGGNGVQVPSGGFNF >Potri.010G001400.4.v4.1 pep chromosome:Pop_tri_v4:10:161809:169714:-1 gene:Potri.010G001400.v4.1 transcript:Potri.010G001400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNKREESLLKKRREGLQAQQFPPAILSSSNVEKKLENLPSMVAGVWSEDGNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLSHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSTDEEVLTDSCWALSYLSDGTDDKIQAVIEAGVCPRLVELLLHPSPSVLVPALRTVGNIVTGDDMQTQCIITTGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIENGLIGPLVNLLQNAEFDIKKEAAWAVSNATSGGTHEQIKFLVSQGCIKPLCDLLVSPDPRIVTVSLEGLENILKVGEAEKNLGNSGDANFYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDETLPSGDGAQQGFQFGGNGVQVPSGGFNF >Potri.010G001400.7.v4.1 pep chromosome:Pop_tri_v4:10:162217:169714:-1 gene:Potri.010G001400.v4.1 transcript:Potri.010G001400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNKREESLLKKRREGLQAQQFPPAILSSSNVEKKLENLPSMVAGVWSEDGNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLSHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSTDEEVLTDSCWALSYLSDGTDDKIQAVIEAGVCPRLVELLLHPSPSVLVPALRTVGNIVTGDDMQTQCIITTGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIENGLIGPLVNLLQNAEFDIKKEAAWAVSNATSGGTHEQIKFLVSQGCIKPLCDLLVSPDPRIVTVSLEGLENILKVGEAEKNLGNSGDANFYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDETLPSGDGAQQGFQFGGNGVQVPSGGFNF >Potri.010G001400.5.v4.1 pep chromosome:Pop_tri_v4:10:162210:169731:-1 gene:Potri.010G001400.v4.1 transcript:Potri.010G001400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001400.v4.1 MSLRPSARTEVRRNRYKVAVDADEGRRRREDNMVEIRKNKREESLLKKRREGLQAQQFPPAILSSSNVEKKLENLPSMVAGVWSEDGNLQLESTTQFRKLLSIERSPPIEEVIQAGVVPRFVEFLVREDFPQLQFEAAWALTNIASGTSENTKVVIDHGAVPIFVKLLGSPSDDVREQAVWALGNVAGDSPKCRDLVLSHGALIPLLAQLNEHAKLSMLRNATWTLSNFCRGKPQPPFEQVRPALPALERLVHSTDEEVLTDSCWALSYLSDGTDDKIQAVIEAGVCPRLVELLLHPSPSVLVPALRTVGNIVTGDDMQTQCIITTGALPCLLSLLTHNHKKSIKKEACWTISNITAGNKEQIQAVIENGLIGPLVNLLQNAEFDIKKEAAWAVSNATSGGTHEQIKFLVSQGCIKPLCDLLVSPDPRIVTVSLEGLENILKVGEAEKNLGNSGDANFYAQMIDDAEGLEKIENLQSHDNNEIYEKAVKILETYWLEEDDETLPSGDGAQQGFQFGGNGVQVPSGGFNF >Potri.010G131200.1.v4.1 pep chromosome:Pop_tri_v4:10:14740867:14742876:1 gene:Potri.010G131200.v4.1 transcript:Potri.010G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131200.v4.1 MEISNKDFKVGKCEGQKVVDGETMPLVLQPPEPNKSDTESLISVLKQNKHWFEQMLIKNSAVLLRGFDVKNAEDFNDIIEAFGWDDMRYIGPAPRTHVYKRIWTANEGPLSESIFYHHEMVHIKESPKKVMFFCEIPPPEGGQTPFVPSFRVTERMLEEFPEAVEEVEAKGLKYTFTAPSKDDTSSMRGRGWEHAFGTSDKAEAERRAKAIGMDMEWLPNGGVKTIMGPPSLTKVFDGRKGRRMWFNTVVGMHGKESSSAMLADGTEIPENFVKRCGQIIEEESIQFKWEKGDVLFLDNMALLHGRRPSLPPRKVLVAICK >Potri.009G094900.5.v4.1 pep chromosome:Pop_tri_v4:9:8576547:8580635:1 gene:Potri.009G094900.v4.1 transcript:Potri.009G094900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094900.v4.1 MIHHVHSVTSSKVFIVGHSQGTIMSLAALIQPNVVEMVEAAALLCPISYLDHVTAPLVLRMVALHLDQMVLAMGIHQLNFRSKILIDLLDSICDGHIECADLLTSITGKNCCFNSSSVDFFFEFEPHPSSAKNLRHLFQMIRKGTFSHYDYGMFKNLELYGQLNPPAFDLSLIPKTLPLWMGYGGHDSLADVTDVERTLKELQAKPELLYLENYGHLDFLLSTQGKEDVYNNMIAFFRSLGKSSSS >Potri.009G094900.1.v4.1 pep chromosome:Pop_tri_v4:9:8576461:8580648:1 gene:Potri.009G094900.v4.1 transcript:Potri.009G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094900.v4.1 MERMLLIVFAIIISLFISTSAAGEFNFEANLHRRSPDETLCNQLIKPAGYSCTEHTVQTKDGYLVALQRLSSRNKDLGGQRGPPVLLQHGLFMAGDAWFLGSPEQSLGFILADEGFDVWVGNVRGTFWSHGHISLSEKDKEFWDWSWEELALFDLAEMIHHVHSVTSSKVFIVGHSQGTIMSLAALIQPNVVEMVEAAALLCPISYLDHVTAPLVLRMVALHLDQMVLAMGIHQLNFRSKILIDLLDSICDGHIECADLLTSITGKNCCFNSSSVDFFFEFEPHPSSAKNLRHLFQMIRKGTFSHYDYGMFKNLELYGQLNPPAFDLSLIPKTLPLWMGYGGHDSLADVTDVERTLKELQAKPELLYLENYGHLDFLLSTQGKEDVYNNMIAFFRSLGKSSSS >Potri.007G005900.2.v4.1 pep chromosome:Pop_tri_v4:7:413512:416866:1 gene:Potri.007G005900.v4.1 transcript:Potri.007G005900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005900.v4.1 MVTPELSQSKSQFSPMAKSSVRTKPSSCFSDGSLYLGAALLAFLLVWSLWSYALSRNFDPKTSAKSVANTHAHECVQENPEVSLHYDPPDQTFYDDQELSYSIEKPIKNWDEKRKEWLKHHPSFAPGARDRVVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVLLHPKMHSYWAKLPVVKAAMLAHPEAEWIWWVDSDAMFTDMEYKLPLQRYDYKKHNLIVHGWEKLIYEKKSWTALNAGVFLIRNCQWSMDFMEKWSGMGPMSSEYEKWGEIQRSVFKDKLFPESDDQSGLTYLLYKDKSLTGKIYLEGEYYFEGYWADILPTYDNITEKYTELEKEDGKLRRRHAEKVSEQYGVFREPHLREAGNGKGSWRRPFITHFTGCQPCSGDHNQMYEGETCWNGMVKALNFADNQVLRKYGFVHPDLLDSNTVTETSFDYPDDGPW >Potri.007G005900.3.v4.1 pep chromosome:Pop_tri_v4:7:413715:417053:1 gene:Potri.007G005900.v4.1 transcript:Potri.007G005900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005900.v4.1 MVTPELSQSKSQFSPMAKSSVRTKPSSCFSDGSLYLGAALLAFLLVWSLWSYALSRNFDPKTSAKSVANTHAHECVQENPEVSLHYDPPDQTFYDDQELSYSIEKPIKNWDEKRKEWLKHHPSFAPGARDRVVLVTGSQPKPCKNPIGDHLLLRFFKNKVDYCRIHGYDIFYNNVLLHPKMHSYWAKLPVVKAAMLAHPEAEWIWWVDSDAMFTDMEYKLPLQRYDYKKHNLIVHGWEKLIYEKKSWTALNAGVFLIRNCQWSMDFMEKWSGMGPMSSEYEKWGEIQRSVFKDKLFPESDDQSGLTYLLYKDKSLTGKIYLEGEYYFEGYWADILPTYDNITEKYTELEKEDGKLRRRHAEKVSEQYGVFREPHLREAGNGKGSWRRPFITHFTGCQPCSGDHNQMYEGETCWNGMVKALNFADNQVLRKYGFVHPDLLDSNTVTETSFDYPDDGPW >Potri.008G075400.1.v4.1 pep chromosome:Pop_tri_v4:8:4672468:4676356:-1 gene:Potri.008G075400.v4.1 transcript:Potri.008G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075400.v4.1 MDLAAKGDDFEKKAEKKLNGWGIFGSKYEDAADLFDKAANNFKLAKSWEKAGSTYVKLGQCHLKLDSKHEAASAYVDAAHCYKKTSTTEAISCLAQAVDMLCDIGRISMAARYFKEIAELYESDANIEKSMEYYDKAADFFQNEDVTTSANQCKQKVAEFAAQLEQYQTSIDIYEEIARQSLKNNLLKYGVKGHLLNAGICHLCKGDVVAITNALERYQEMDPTFSGTREYKLLADIAAAIDEEDIAKFTDVVKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >Potri.003G067332.1.v4.1 pep chromosome:Pop_tri_v4:3:9450391:9450594:1 gene:Potri.003G067332.v4.1 transcript:Potri.003G067332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067332.v4.1 MHWSTDVYHASEFTYSNVHLLPKTSHLWILSGGSCRSSIVPFSLHKDQDQINVHSLSVERGYILTLQ >Potri.014G027100.1.v4.1 pep chromosome:Pop_tri_v4:14:1684970:1686719:1 gene:Potri.014G027100.v4.1 transcript:Potri.014G027100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027100.v4.1 MALMLDNCEGILLSLDSHKSVPAPFLTKTYQLVDDPATDHIVSWGEDDTTFVVWRPPEFARDLLPNYFKHNNFSSFVRQLNTYGFRKIVPDRWEFANEFFKKGEKHLLCEIHRRKTAQPQVAINQHHHHQHHPHSPFGVNGPSFFPFSSRTSISPSDSDEQANNNWCDSPPLTSPPRGVASATVIGGGGGYNSSVFALSEDNERLRRSNNMLMSELAHMKKLYNDIIYFVQNHVKPVAPSNSYSSSLLLCGPAPYATANPVTSNGSLAQKPLNQLLGYYPTTAPNNPKQAPQVHVLNSPTTTSQSSLTFLEEANNNGCKTKLFGVPLHSKKRLHPEYGSNPGNMETNKARMVLDKDDLGLNLMPPSRC >Potri.002G002000.1.v4.1 pep chromosome:Pop_tri_v4:2:134392:136046:-1 gene:Potri.002G002000.v4.1 transcript:Potri.002G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002000.v4.1 MRMMIDLGTQRGMVPIMDTPTSVDCGREVRFRKSFRSLVECMVPACCGFQASADSLASDTDDYYTSSSASTTTTTTVTGTFFGYRKGRVSFCLQDDTRSSPLILLEFAVPTAYLAKEMQHGLLRIALECCHPRQINSQKERCSLFNVPVWTMYCNGRKVGFATRRQMSVSDVAVLKLMQSVSVGAGVLPVAGTGETGGLLMYLRASFERVVGSVDSESFHMINPVGSSGQELSIFLVRS >Potri.005G171201.4.v4.1 pep chromosome:Pop_tri_v4:5:17548988:17550640:-1 gene:Potri.005G171201.v4.1 transcript:Potri.005G171201.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171201.v4.1 MAISLSNHTLLAPPRLSASSFSSKPSKTKTSSLPLQPLLHRPLRLCKPINASLLPNSSPIPINCKNRRSLKAFLAPQDSTNEKEEGQKRGPGLKNLLKIYRQSISCGDEKTMLDIEAKIGIIEKENNESVKKVSPPSAEITSGKEKCIRLQADFDNVRKRTEKEKLNIRSDAQGEVIESLLPVVDSFERAKQQVQPETDKEKKIDTGYQGRYKHFADMMRSLQVAAVPTVGKPFDPSLHEAIAREESLEYKEGIIIQEFRRVFLLGNRLIKPATVKVSSGLGSKKASVGAEQPATTAGMD >Potri.001G262100.1.v4.1 pep chromosome:Pop_tri_v4:1:27816408:27819635:-1 gene:Potri.001G262100.v4.1 transcript:Potri.001G262100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G262100.v4.1 MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTAPAAKKEGEDGEAAVEEEKKSKHVQRKLEKRQQTRKLDPHIEEQFGSGRLLASISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAGAA >Potri.017G107600.5.v4.1 pep chromosome:Pop_tri_v4:17:11658707:11662480:1 gene:Potri.017G107600.v4.1 transcript:Potri.017G107600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107600.v4.1 MLNLAEELTKKIMKKHENLAETEKQLEIDRISNLPWDVLDTILVCLPLRDAARTSILSSKWRYKWTNLSQFILDDKCIHYSISDKTSRWIEIRKIIDHVQSNHNGPIEKFKLAAYCCPNYSDLDQWIRFLTEKGIKELIIQEFSVIKHFKLPDSVFCGPKLSHLELYGCILRLPSSFKGFDCLKILQLNHVFIKSDTLEHLIRNCPVLEKLTLLNLHHLACVRIYNPNLKYVKIDSAFEDICLGHSLLLASVDIRMLPMNGGITHQPPEQGKVCTLIRVFGYLHGINRLSLSNQFLEFLANKDVPERLPTPFNSLLALELKEIRFASLKGIAASISILRSSPNLEDLLVTVYPCDDISNPVMDLVTAQLQSDFYFNQLKVVKIRGINGTRIEWEFLRLILAHSPVLESMTIVKSKGERISESFLQEVERASKHVKFISLAP >Potri.017G107600.1.v4.1 pep chromosome:Pop_tri_v4:17:11658618:11662481:1 gene:Potri.017G107600.v4.1 transcript:Potri.017G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107600.v4.1 MLNLAEELTKKIMKKHENLAETEKQLEIDRISNLPWDVLDTILVCLPLRDAARTSILSSKWRYKWTNLSQFILDDKCIHYSISDKTSRWIEIRKIIDHVQSNHNGPIEKFKLAAYCCPNYSDLDQWIRFLTEKGIKELIIQEFSVIKHFKLPDSVFCGPKLSHLELYGCILRLPSSFKGFDCLKILQLNHVFIKSDTLEHLIRNCPVLEKLTLLNLHHLACVRIYNPNLKYVKIDSAFEDICLGHSLLLASVDIRMLPMNGGITHQPPEQGKVCTLIRVFGYLHGINRLSLSNQFLEFLANKDVPERLPTPFNSLLALELKEIRFASLKGIAASISILRSSPNLEDLLVTVYPCDDISNPVMDLVTAQLQSDFYFNQLKVVKIRGINGTRIEWEFLRLILAHSPVLESMTIVKSKGERISESFLQEVERASKHVKFISLAP >Potri.008G088700.5.v4.1 pep chromosome:Pop_tri_v4:8:5550541:5554119:1 gene:Potri.008G088700.v4.1 transcript:Potri.008G088700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088700.v4.1 MKAEAVESGEASTIIAAPKRGINRGISIADLILRGVAAIGTFASALTMGTTSETLTIFTQPIMIRAKYNDLPSLTFFVIANSIVCGYLVLSIPLSISHFIRREARITRIILVIFDTAMVELLTAGAAAATVVVYLAHKRNANWLAICQQFNNFCERISGSLIGSFASIIMIMLIIITSAVALSRH >Potri.008G088700.4.v4.1 pep chromosome:Pop_tri_v4:8:5550541:5554135:1 gene:Potri.008G088700.v4.1 transcript:Potri.008G088700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088700.v4.1 MKAEAVESGEASTIIAAPKRGINRGISIADLILRGVAAIGTFASALTMGTTSETLTIFTQPIMIRAKYNDLPSLTFFVIANSIVCGYLVLSIPLSISHFIRREARITRIILVIFDTAMVELLTAGAAAATVVVYLAHKRNANWLAICQQFNNFCERISGSLIGSFASIIMIMLIIITSAVALSRH >Potri.008G088700.2.v4.1 pep chromosome:Pop_tri_v4:8:5550541:5554119:1 gene:Potri.008G088700.v4.1 transcript:Potri.008G088700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088700.v4.1 MKAEAVESGEASTIIAAPKRGINRGISIADLILRGVAAIGTFASALTMGTTSETLTIFTQPIMIRAKYNDLPSLTFFVIANSIVCGYLVLSIPLSISHFIRREARITRIILVIFDTAMVELLTAGAAAATVVVYLAHKRNANWLAICQQFNNFCERISGSLIGSFASIIMIMLIIITSAVALSRH >Potri.008G088700.3.v4.1 pep chromosome:Pop_tri_v4:8:5552410:5554136:1 gene:Potri.008G088700.v4.1 transcript:Potri.008G088700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088700.v4.1 MKAEAVESGEASTIIAAPKRGINRGISIADLILRGVAAIGTFASALTMGTTSETLTIFTQPIMIRAKYNDLPSLTFFVIANSIVCGYLVLSIPLSISHFIRREARITRIILVIFDTAMVELLTAGAAAATVVVYLAHKRNANWLAICQQFNNFCERISGSLIGSFASIIMIMLIIITSAVALSRH >Potri.005G204300.1.v4.1 pep chromosome:Pop_tri_v4:5:20938885:20941485:-1 gene:Potri.005G204300.v4.1 transcript:Potri.005G204300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204300.v4.1 MQLLQKLVSFTTCAYFVILLLLPFVNSAQEQLKTTGTRTNNENIMDKLSPKLLFEITLHGFLLWASMGFLMPVGILAIRMSHREACGRRLKILFYVHSISQMLSVLLSTAGAVMSIKNFNNSFDNHHQRIGVGLYGIVWLQALTGLLRPRRGSKGRSLWFFVHWITGTAVSLLGIISIYTGLQAYHQKTSRSIHLWTIVFTTEVSFIIFFYLFQDKWVYIQKQGVILGDEPVRPTHQVICPGEKKRESATESC >Potri.011G163400.3.v4.1 pep chromosome:Pop_tri_v4:11:18848095:18852715:-1 gene:Potri.011G163400.v4.1 transcript:Potri.011G163400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163400.v4.1 MDSQLLLLNKTNALIKPPLFSFFASSKPRYNPSSNFSLGCKLKRVMCAMKSYRLSELSNAEVESLKARPRIDFSSIFGIVNPIVDDVRQRGDDAVKDYTAKFDKVKLDKIVENVSELPDPELEAAVREAFDVAYDNIYAFHLAQKSTEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTDSCLKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADSYASPVHIAADLLSQAEHGPDSQVVLVVAGDGVDMKAIEEEISKQCQSLPRGEYASKALSHSFTVFARDMVEVSLLFDCFCLDICNVNPEVACFALLGFIGWGLEFLCVLRNLTCAFESQK >Potri.011G163400.1.v4.1 pep chromosome:Pop_tri_v4:11:18848067:18852745:-1 gene:Potri.011G163400.v4.1 transcript:Potri.011G163400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163400.v4.1 MDSQLLLLNKTNALIKPPLFSFFASSKPRYNPSSNFSLGCKLKRVMCAMKSYRLSELSNAEVESLKARPRIDFSSIFGIVNPIVDDVRQRGDDAVKDYTAKFDKVKLDKIVENVSELPDPELEAAVREAFDVAYDNIYAFHLAQKSTEKSVENMKGVRCKRVARSIGSVGLYVPGGTAVLPSTALMLAVPAQIAGCKTVVLATPPSQDGSICKEVLYCAKKAGVTHILKAGGAQAISAMAWGTDSCLKVEKIFGPGNQYVTAAKMILQNSEAMISIDMPAGPSEVLVIADSYASPVHIAADLLSQAEHGPDSQVVLVVAGDGVDMKAIEEEISKQCQSLPRGEYASKALSHSFTVFARDMVEAVSFSNLYAPEHLIINVKDAEKWESFIENAGSVFLGPWTPESVGDYASGTNHVLPTYGYARMYGGVSLDSFQKYMTVQSLTEEGLMKLGPYVATMAEVEGLDAHKRAVTLRLQGIEARQVSNTR >Potri.007G132800.1.v4.1 pep chromosome:Pop_tri_v4:7:14588528:14590304:1 gene:Potri.007G132800.v4.1 transcript:Potri.007G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX43 MRRGQKCVFFPLLAIALCLCIANVDAGITLQPPVKLKWHYYRQHTTCTYAEEFVRHQVELFWKADRSITAKLLRLLYSDCFVTGCDASILLDGPDSEKTAPQNWGLGGFVAIDKIKEVLEIRCPGVVSCADILNLATRDAVHLAGGPAYPVFTGRRDGVSSKASTVDLPSPSISGGEALAYFKSRGLDVLDLGTLLGAHSMGRTHCRYILDRLYNFNNTGRPDPSMNKAFADQMRKQCPQRTKKGQSDPLVFLNPESSSKYTFTESFYKRVLSYQSVLGVDQQLLFSNDTLQITQEFAGGFEYLRRSLALSMSRMGNINVLTGNAGEIRRNCRYINDGKPQ >Potri.007G060300.3.v4.1 pep chromosome:Pop_tri_v4:7:6442311:6449473:1 gene:Potri.007G060300.v4.1 transcript:Potri.007G060300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060300.v4.1 MAENSSHKQHIYLHGDLDLFIVQARSLPNMDVISKNIRQCFGVCTPSSTTVTTTTTTTKSIGHPHHHPSDAAKKIRNHRHIITSDAYVTVTVPQVTLARTRVLKNATSPIWEQRFNIPLAHPVKDIEFHVKDNDLFGAELIGTANIPASTVALGEDIKGWFPIIAPSGKPPKPDTALYLEMKFTPFEKNPLYRNGFGGDPEVKGVRHTYFPVRKGCHVTLYQDAHGKDHDLPEIEIDGGKVYKQENCWEDICYAISEAHHMIYIVGWSVFCKIKLVREPTRPLPRGGDLTLGELLRYKSEEGVRVLLLVWDDRTSHDKFGIETAGVMATHDEETRRFFKHSSVTCVLAPRYASSKLSFLKQQTVGTIFTHHQKCVLVDTQAYGNNRKITAFIGGIDLCDGRYDTPEHRLFHDLNTVFKDDFHNPTFSAGTKAPRQPWHDLHCKIDGPAAYDVLINFEQRWRKATKWTELGLHFKRTSHWSDDSLIKIERISWILSPPLSKTKAGTTIVPGDDPTAFVSSEEDPEHWHVQIFRSIDSGSLKGFPKTIDECQAKNLVVAKDLVVDKSIQTAYIQAIRSAQHFIYIENQYFLGSSYAWPSYNDAGADNLIPMELALKIASKIRAKERFAVYVVIPLWPEGDPKTNTVQEILFWQSQTMQAMYEKIAQELKSMDLVDSHPQDYLNFYCIGKREEIPQELSSDNGGLISEAFKFQRFMIYVHAKGMIVDDEYVIVGSANINQRSMAGSKDTEIAMGSYQPHHTWVTKKKHPRGQVYGYRMSLWREHLGEVDELFMEPDNLLCVKRVNHTAEENWKKFTDPNFKLLKGHLLKYPLKVDADGKVGPLPGSENFPDVGGKVLGAHSTTIPDALTT >Potri.002G068333.1.v4.1 pep chromosome:Pop_tri_v4:2:4728276:4730188:1 gene:Potri.002G068333.v4.1 transcript:Potri.002G068333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068333.v4.1 MHHPRANTALFPPAALLQLQLTSTTRKGAAEGFLRKIKLQTHDEKKDFIKRVCRAFIHTYSRMPQDWIATLAELKAYDPSLLYA >Potri.007G109300.2.v4.1 pep chromosome:Pop_tri_v4:7:13157627:13160286:1 gene:Potri.007G109300.v4.1 transcript:Potri.007G109300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109300.v4.1 MFTKGVLSCLKYLEAIPWTEEEEDRLRNLFAVFKFDETTTQDILARLHLLSSEDSKQNLARQLVWSITACADANARNELKSLVKGLLCKSSVYEKDQHDPNKEDLYDVCQSCLGSLVNLMEEASGIISPGKVVKKETSKPLIGRISRQVDNINWLLEILFDQQIGEEFVDMWAHQGELLSMHGSVSPMVRYELSRVSAILFIAMGTRKLHCRSEARAALLQAWFGPMLLDFGWLQRCKKGLDMKALEEAMGQTLLTLPLKQQDVLFTEWFRYFSKHGTECPNLCKAFQIWWRRSFPRGSETHAIESR >Potri.007G109300.1.v4.1 pep chromosome:Pop_tri_v4:7:13156506:13160286:1 gene:Potri.007G109300.v4.1 transcript:Potri.007G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109300.v4.1 MAESTHKRHSSTYQCRRGRSSWCCSFAVPPSFQKNKKPDYSVSKLGPRSFPNSPKPGLNFVGRIDPRRILSPGRVSPIDSDPTGDTTRDIIPDPSPPVDLNSKSRSESFRGRNERRSFSDSGSGSGLDSGRGVFDVRLKLRGKNGGGLVLELNSEVLIANSEVFAGLICEYRKNLGSKCDGDGGGNLSRKMCRIEVPDVENLGIFRETIELMFEEDIAKRLLKVGAYRAIDILEVSAGIMFTKGVLSCLKYLEAIPWTEEEEDRLRNLFAVFKFDETTTQDILARLHLLSSEDSKQNLARQLVWSITACADANARNELKSLVKGLLCKSSVYEKDQHDPNKEDLYDVCQSCLGSLVNLMEEASGIISPGKVVKKETSKPLIGRISRQVDNINWLLEILFDQQIGEEFVDMWAHQGELLSMHGSVSPMVRYELSRVSAILFIAMGTRKLHCRSEARAALLQAWFGPMLLDFGWLQRCKKGLDMKALEEAMGQTLLTLPLKQQDVLFTEWFRYFSKHGTECPNLCKAFQIWWRRSFPRGSETHAIESR >Potri.018G088050.1.v4.1 pep chromosome:Pop_tri_v4:18:10585687:10587297:1 gene:Potri.018G088050.v4.1 transcript:Potri.018G088050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088050.v4.1 MVKMLKITILLKLLDKKSQPSCNTLECPLSLQSSNSKLQITLLLQCTRFKGTATLGSRGDYRYPDELARWTKSFRESASESQVSLLRLLGWHKIPPHFTLCKNNDKCPVEMRREDGS >Potri.018G088050.2.v4.1 pep chromosome:Pop_tri_v4:18:10585687:10587297:1 gene:Potri.018G088050.v4.1 transcript:Potri.018G088050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088050.v4.1 MQIQYFLNAETTLMVKMLKITILLKLLDKKSQPSCNTLECPLSLQSSNSKLQITLLLQCTRFKGTATLGSRGDYRYPDELARWTKSFRESASESQVSLLRLLGWHKIPPHFTLCKNNDKCPVEMRREDGS >Potri.009G049500.2.v4.1 pep chromosome:Pop_tri_v4:9:5491446:5495919:1 gene:Potri.009G049500.v4.1 transcript:Potri.009G049500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049500.v4.1 MEDLYKKLYAKYDKLKKKQLSEFDELNKDQEVKFLNYASVAEEMIQYLKDENDRLRKQASDLRSEAASIRSTMDEQCAEYQKLLMEENQKNKILNEEVEKLQNQLQYGLPCNSKDGNNDNVQLNMLETAQVTPEERSIASTRRMVRKRNREAREKMEEEITHGGNDIVGYNDMEEKSAKRSSKGTVSRGDLPNDQQLESCERILYRSAESGPANFQFQALLEYLVGMKLSAVNQNDEVCISALHQSSGFAFTLTWMKNEAVEEPELLYRVLTLGTFERVAPEWMRSVLMFSMRMWPIFFERLACVIKLHR >Potri.005G141600.2.v4.1 pep chromosome:Pop_tri_v4:5:11339841:11342191:1 gene:Potri.005G141600.v4.1 transcript:Potri.005G141600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141600.v4.1 MALAISALLFLLFTMSSALDMSILTSNDNQLNHDRSSWRSDDEVMSMYKWWLAKHGKAYNGLGEEAERFEIFKNNLRFIDEHNSQNHTYKVGLTKFADLTNEEYRAMFLGTRSDAKRRLMKSKSPSERYAFKAGDKLPESVDWRAKGAVNPIKDQGSCGSCWAFSTVAAVEGINQIVTGELISLSEQELVDCDRTYNAGCNGGLMDYAFQFIINNGGLDTEKDYPYVGDDDKCDKDKMKTKAVSIDGFEDVLPYDEKALQKAVAHQPVSVAIEASGMALQFYQSGVFTGECGTALDHGVVVVGYASENGLDYWLVRNSWGTEWGEHGYIKMQRNVGDTYTGRCGIAMESSYPVKNGENTAKPNLAEAKQEGKVIEGVKLDDW >Potri.006G273300.3.v4.1 pep chromosome:Pop_tri_v4:6:26583906:26589728:-1 gene:Potri.006G273300.v4.1 transcript:Potri.006G273300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273300.v4.1 MEKSCTFLVHFDKGTPAIATEIKEALEGSDVSAKIDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKKDAKGTVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAISAVMAIYKLPHGEQLLVDAPEMIEKVLSTELDQSAKRNAFLMLFNCDQDRATNYLLTNVDKVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSNAVIYECASTLVSLSSAPTAIRAAASTYCQLLISQSDNNVKLIVLDRLNELKSSHREIMVDRIMDVLRALSSPNLDIQKKTLDIALDLITPRNITEVVLMLKKEVMKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVAIFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAPTDASKNSQQPSSVTVSSRRPAILSDGTYATQSAASETAFSPPTIVQGSLAAGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNKASAQALLIMVSMIQLGQSPVLSHPIDGDSYDRILLCIRLLCSTGDEVRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSYAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKDTLQNLCLELATMGDLKLVERPQNYILAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTIIQSEKDFLDHVIKSTNMKCLTAPSALDGDCGFLAANLYAKSIFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Potri.006G273300.2.v4.1 pep chromosome:Pop_tri_v4:6:26583886:26589746:-1 gene:Potri.006G273300.v4.1 transcript:Potri.006G273300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273300.v4.1 MEKSCTFLVHFDKGTPAIATEIKEALEGSDVSAKIDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKKDAKGTVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAISAVMAIYKLPHGEQLLVDAPEMIEKVLSTELDQSAKRNAFLMLFNCDQDRATNYLLTNVDKVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSNAVIYECASTLVSLSSAPTAIRAAASTYCQLLISQSDNNVKLIVLDRLNELKSSHREIMVDRIMDVLRALSSPNLDIQKKTLDIALDLITPRNITEVVLMLKKEVMKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVAIFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAPTDASKNSQQPSSVTVSSRRPAILSDGTYATQSAASETAFSPPTIVQGSLAAGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNKASAQALLIMVSMIQLGQSPVLSHPIDGDSYDRILLCIRLLCSTGDEVRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSYAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKDTLQNLCLELATMGDLKLVERPQNYILAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTIIQSEKDFLDHVIKSTNMKCLTAPSALDGDCGFLAANLYAKSIFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Potri.006G273300.1.v4.1 pep chromosome:Pop_tri_v4:6:26583801:26589764:-1 gene:Potri.006G273300.v4.1 transcript:Potri.006G273300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273300.v4.1 MEKSCTFLVHFDKGTPAIATEIKEALEGSDVSAKIDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKKDAKGTVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAISAVMAIYKLPHGEQLLVDAPEMIEKVLSTELDQSAKRNAFLMLFNCDQDRATNYLLTNVDKVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSNAVIYECASTLVSLSSAPTAIRAAASTYCQLLISQSDNNVKLIVLDRLNELKSSHREIMVDRIMDVLRALSSPNLDIQKKTLDIALDLITPRNITEVVLMLKKEVMKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVAIFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAPTDASKNSQQPSSVTVSSRRPAILSDGTYATQSAASETAFSPPTIVQGSLAAGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNKASAQALLIMVSMIQLGQSPVLSHPIDGDSYDRILLCIRLLCSTGDEVRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSYAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKDTLQNLCLELATMGDLKLVERPQNYILAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTIIQSEKDFLDHVIKSTNMKCLTAPSALDGDCGFLAANLYAKSIFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Potri.006G273300.4.v4.1 pep chromosome:Pop_tri_v4:6:26583801:26588520:-1 gene:Potri.006G273300.v4.1 transcript:Potri.006G273300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273300.v4.1 MEKSCTFLVHFDKGTPAIATEIKEALEGSDVSAKIDAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKKDAKGTVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAISAVMAIYKLPHGEQLLVDAPEMIEKVLSTELDQSAKRNAFLMLFNCDQDRATNYLLTNVDKVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSNAVIYECASTLVSLSSAPTAIRAAASTYCQLLISQSDNNVKLIVLDRLNELKSSHREIMVDRIMDVLRALSSPNLDIQKKTLDIALDLITPRNITEVVLMLKKEVMKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVAIFVREIIETNPKLRVSIITRLLDTFYQIRAARVCSCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAPTDASKNSQQPSSVTVSSRRPAILSDGTYATQSAASETAFSPPTIVQGSLAAGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSKVEVNKASAQALLIMVSMIQLGQSPVLSHPIDGDSYDRILLCIRLLCSTGDEVRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSYAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDGDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTKDTLQNLCLELATMGDLKLVERPQNYILAPESSKQIKANIKVSSTETGVIFGNIVYETSNVLERTVVVLNDIHIDIMDYISPAVCTDAAFRTMWAEFEWENKVAVNTIIQSEKDFLDHVIKSTNMKCLTAPSALDGDCGFLAANLYAKSIFGEDALVNISIEKQADGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Potri.008G028400.1.v4.1 pep chromosome:Pop_tri_v4:8:1494289:1496837:-1 gene:Potri.008G028400.v4.1 transcript:Potri.008G028400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028400.v4.1 MASPRVLVTAFLVLLIVDLTFAARTLQAISGGGGGGQGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGHGGGGGRGGGGGGGSGGGKGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGGGKGGKGSGGGGGGGGGGGGGSGSGSGSGYGSGSGYGEGYGGGKGKSLP >Potri.013G101050.1.v4.1 pep chromosome:Pop_tri_v4:13:10932791:10933463:-1 gene:Potri.013G101050.v4.1 transcript:Potri.013G101050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101050.v4.1 MSYCGVDRACVTSAADIQKQWLFRLIGSDFCEDRSRQIFEEAAAITIHNAEQNDCVVAQFCVYIVAKTWRMAALWCCYYEGLQRR >Potri.015G078351.1.v4.1 pep chromosome:Pop_tri_v4:15:10469645:10470157:-1 gene:Potri.015G078351.v4.1 transcript:Potri.015G078351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078351.v4.1 MITCRDDLALKIWETDVERMCNRVMSLRLGEKHVLYVYWIFCSSFYYSRLKCSMDENSTTLEDNVSNSHLS >Potri.005G182750.1.v4.1 pep chromosome:Pop_tri_v4:5:18934164:18935029:1 gene:Potri.005G182750.v4.1 transcript:Potri.005G182750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182750.v4.1 MSNLNNMIMAFCFCFWLRIDDDNFLNLLDEVLGFILILWPKTIFLLVEINKTLPVIFKASWWPKFIIRRLD >Potri.003G079100.1.v4.1 pep chromosome:Pop_tri_v4:3:10616195:10617302:-1 gene:Potri.003G079100.v4.1 transcript:Potri.003G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079100.v4.1 MAPKNTVTDASTKKVMSKGAWTAEEDNKLAHCVEVHGAKRWKTVALKSGLNRCGKSCRLRWMNYLRPNIKRGNISCDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIIDQTQKEKMPDQPSTPLATMHQKTSDAIQVEEEGSAREAWNLETGNFDVDEFFDFSAEGF >Potri.006G059100.1.v4.1 pep chromosome:Pop_tri_v4:6:4177495:4183928:1 gene:Potri.006G059100.v4.1 transcript:Potri.006G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059100.v4.1 MTTEITSTPDPNTNPAPTPTPTPTPTPTPNPNPSSLIHPRREPFEHGLLPIPKLIFPDPTPTLIQLKQQLSTHNRVNSSLLAESLQISTDHARLILDTLASVLHSDSDPLVKARPDEVDSAGADLRDLILFLYIQSYKKLLPRTHKDAASVADVWPSTSAFDGYLSALSPLQLVRSNNRRFMPSQADEEAHQLSYLQKHMANILSLLAEPVEGEGEGEGEGEESLVLSMEGFEHLGFLLQFGDKGSEVVTLSQAAPFFANSDPDMPAVPAPATQVHDWISQNISSALEHITERISAKENGPANSSDSDVAMTDACTSSIKTPPSARGSCFIEGISKSSFVKQPSDLKGSSSVKVLNCHDSILYILAPLRYATIYGCSDATIVLGAVGKAVRIEHCERVHVITAAKRVCIANCRECVFFLGVNQRPLIVGDNHKLQVAPYNTFYSELEEHMADVGIDATINKWDETLALGVVDPHDSLSHPAGVSDFQAEPAARVDPDQFTNFLIPNWFGAESPGSTKDNPFQLPEAYMASQQRNQKNLGETKKLLREAPLEENQKRELSSALHLLFKDWLYASGNVRQLYCLQGD >Potri.018G052900.1.v4.1 pep chromosome:Pop_tri_v4:18:5184873:5188115:1 gene:Potri.018G052900.v4.1 transcript:Potri.018G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G052900.v4.1 MAEKPDPVKVLYCPTCSLPAEYCEFGSDFEKCKPWLIKNAPELYPDLLKEADEKEAGRVSEQLHSVGISSIGADGSASSIHSGETSSSKQEEVKRLPGGKIKKKVRQEVVIEKVVRNKRKSITIIKGLDLFGIKLSDASKKLGKKFATGASVVKGPTEKEQIDVQGDIAYDIVEFITETWPDVPETAIYFIEDGKKVPAA >Potri.004G188432.1.v4.1 pep chromosome:Pop_tri_v4:4:20122562:20123398:1 gene:Potri.004G188432.v4.1 transcript:Potri.004G188432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188432.v4.1 MEDLDEELKMREKEEENLEMDLQEDKNSSVSAQQEEKSFAKDEEEEEDVTPSSFGSVTQDEDPTKNDGKGNRSAGAPFSTSSLSFAPCSLLSTVPSRLQQSFLAWKNRLPQKAAPSLCVVSSNDPSGMFNLVSLPPVLGQKGRLRIESR >Potri.003G101700.11.v4.1 pep chromosome:Pop_tri_v4:3:12647763:12650672:-1 gene:Potri.003G101700.v4.1 transcript:Potri.003G101700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101700.v4.1 MDPDHFGNRETGYCDWKEWLQGDNEDILLDGANAMPSPFLFQSQVNDMGGTSNRVLQTDNPRDRKKRNDKEYRARCREDQKRQQEELEKLAVENARMKDENESLVKERVTVLSPKLESAAIEINQLRSESQSLKRNSDNQRILVHALTEKLDSQNKLRSLHDEVARLRQNVPQDPRMQEKKKLMEELLRLENENRLQELQNQAYCMMIQNDGDPRGDEADQALPAGLL >Potri.007G049700.1.v4.1 pep chromosome:Pop_tri_v4:7:4702895:4703640:1 gene:Potri.007G049700.v4.1 transcript:Potri.007G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049700.v4.1 MRHFCLVLFILAMILLVTNPCLVNCRALRSGTQNEINDHHEATDTDDHGPGSVSTNMKASVHSEPVKDDGGGRVSATDRQVYKPTSSGPSGEGPGH >Potri.007G114001.1.v4.1 pep chromosome:Pop_tri_v4:7:13447077:13448111:-1 gene:Potri.007G114001.v4.1 transcript:Potri.007G114001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114001.v4.1 MQLSQKRGQELDRKGKASQSQNWWENPIEELDMAQLEQLKASLQGLKHDVARQAEQILIQNLNPPQPIYGHTKHQY >Potri.018G016600.1.v4.1 pep chromosome:Pop_tri_v4:18:1142746:1146958:1 gene:Potri.018G016600.v4.1 transcript:Potri.018G016600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016600.v4.1 MHNPSAVTTTQESGSVFELVAQMGGFAFNRAVQSINSNGLSFSRSDFLFFDSPVGLKTRKVNASLSLSSRSGFRSVWSEFNRTIRLHSERIPIGFASVQIGSGDNNGNNNIGSNDGNNTNGLRDDGCGALLDDGVRLNGVEGGSPKRVLILMSDTGGGHRASAEAIKAAFNEEFGDEYQVFITDLWSEHTPWPFNQLPRSYNFLVKHEALWKMTYYGTAPRVIHQSNFAATSTFIAREVAKGLMKYQPDIIISVHPLMQHVPLHILRAKGLLQKIVFTTVVTDLSTCHPTWFHKLVTRCYCPSTDVSKRAMKAGLKPSQIKVYGLPVRPSFVKPVRPKGELRRELGMDEDLPAVLLMGGGEGMGPIETTARALADSLYDENLGEPKGQVLVICGRNKKLTNRLLSIDWKVPVKVEGFVTKMEECMGACDCIITKAGPGTIAEAMIRGLPIILNDYIAGQEVGNVPHVVENGCGKFSKSPKEIAKIVAEWFGSKADELKAMSQNALKLARPDSVFKIVHDLHELVRQRNFVPQYSRAT >Potri.001G213400.9.v4.1 pep chromosome:Pop_tri_v4:1:22020180:22026905:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGSHCLDILFAKETDPEWLVTQRKIEIKIIKEWINKYYVDLTMF >Potri.001G213400.5.v4.1 pep chromosome:Pop_tri_v4:1:22020180:22024988:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGKHALPLNRILT >Potri.001G213400.11.v4.1 pep chromosome:Pop_tri_v4:1:22020180:22026903:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGSHCLDILFAKETDPEWLVTQRKIEIKIIKEWINKYYVDLTMF >Potri.001G213400.15.v4.1 pep chromosome:Pop_tri_v4:1:22020210:22027014:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGNFLTKQHLVLLQNKLHTQPPW >Potri.001G213400.12.v4.1 pep chromosome:Pop_tri_v4:1:22020180:22030803:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGNFLTKQHLVLLQNKLHTQPPW >Potri.001G213400.7.v4.1 pep chromosome:Pop_tri_v4:1:22020180:22024988:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGSHCLDILFAKETDPEWLVTQRKIEIKIIKEWINKYYVDLTMF >Potri.001G213400.13.v4.1 pep chromosome:Pop_tri_v4:1:22020184:22030803:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGNFLTKQHLVLLQNKLHTQPPW >Potri.001G213400.14.v4.1 pep chromosome:Pop_tri_v4:1:22020180:22027016:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGNFLTKQHLVLLQNKLHTQPPW >Potri.001G213400.10.v4.1 pep chromosome:Pop_tri_v4:1:22020180:22026905:1 gene:Potri.001G213400.v4.1 transcript:Potri.001G213400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213400.v4.1 MMFQRQFLLQSFLLLSLTTATAKRLNTIPRLSPIGPRVWRDQPDKTTLGEFDGEDFETFFHNQTLDHFNYRPESYDKFPQRYLINSKYWGGANVSAPILVYLGAEEPIDEDLAAVGFLVDNAVQFNSLLVFIEHRYYGKSIPFGSREEALKDASKLGYFNSAQAIADYAAIIIHIKETLRAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDGYYSIVTKDFREASETCYQTIKTSWSEIDELASKPDGLSMLSKKFKTCTPLADASELKDHLDTMYASAAQYNRPPTYPVNEVCKGIDGGGFGDDILSRIFGGLVAYKGNLSCYVNAHTDPSETTVGWRWQTCSEMAIPIGVGNNSMFPPDPFDLEDYIENCKSLYGVPTRPHWITTYYGGHSIKLILQRFASNIIFSNGLRDPYSSGGVLENISDTVVAVKTVNGSHCLDILFAKETDPEWLVTQRKIEIKIIKEWINKYYVDLTMF >Potri.002G206100.1.v4.1 pep chromosome:Pop_tri_v4:2:17129139:17130248:-1 gene:Potri.002G206100.v4.1 transcript:Potri.002G206100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G206100.v4.1 MDSQNVKQRTRDTVDEPNTIVGKNNSKNVRFRREKRVAMAQRGIRSLAIAVALPLSLALCNVYFFGSTKGYGTSSSRSISKPFWFPPLWALHMTCVTSSFLMGLSAWLVWAEGGFHRNPTALYLYLTQLGLSLAWDPIVFRMSAPWVGLLVCLATFGALVGCSRQFKEVNPIAGNLVMPCLAWASFLAFVNLKLLFL >Potri.001G187600.3.v4.1 pep chromosome:Pop_tri_v4:1:16797974:16800614:-1 gene:Potri.001G187600.v4.1 transcript:Potri.001G187600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187600.v4.1 MDPALYRAVLLNDIHAFISLVRKNEAILDQRTSTASNTVLHLASRLGFVDLVMEIIKLRPNMVQAENKMLETPLHEACREGKSKIVLLLLQTGSWVASNFNMENQSPLLIACSYGHLEVVKVLLNQPLFLRLEYDNPHGLTSLHVAASKGHTEIVKMILRACPNMAQKIDSDGCNPLHYACKNGHLEITKLLLRHDLDLTLIYNNKGFKPLHLAAIHGNGTILEEFLAMAPTSFDCLTTDGDNVFHLLVRFNAHSAFMCLEHVFGDTKLFQQPDQFGNTILHIAISGGLYHLAGSIINERKVDINHQNNRGHTALDILNHAGSSLEIQDLRDMLKKAGGKLGTGLSWSQKSESPRDALEREFDLQLQLGCGSERHQLSQAHSAENTPITKSMPELIMRTDARGEPLQLQVNNNNDLSESEYLSDETKGSSPYRHESIIRRKKLMKVHKRHHRKQHKAYTEALQNARNTLTVVAIMIATVTFTAGINPPGGVYQEGPLKGKSTAGRTSAFKVFSITNNIALFTSLCIVIALVSIIPFQRKPLMKLLVVAHKGMWVAVSFMAAAYIAAIWVIMPESHGNVWTFEALISIFAGTLGSAFIYLGVKLVGHHLRKSKWRKEQGGAGNNKYENHSLSRNSDVESAITDGYHTF >Potri.011G112100.1.v4.1 pep chromosome:Pop_tri_v4:11:14212850:14215388:-1 gene:Potri.011G112100.v4.1 transcript:Potri.011G112100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112100.v4.1 MELPVKAPVSGGQKTSYTIETKKLSYKLCSKFDEFKWICCGETPRGVPKFILRDVSCAARPGEITAIAGPSGAGKTTLLEILAGKISSCKVSGQVLVNSQPMKEKHFRRISGYVTQDDSLFPSLTVKETLLYSALLRLPGGKKEAANRVRRLLKELGLEHIADSRIGEGSNWGISGGERRRVSIGVDLVHDPAVVFIDEPTSGLDSASALHVSTLLKSMVVNQGKTIVLTIHQPGFRILELFDRFVLLSNGYAVHDGSLHSLEERLKFSGHQIPLHVNVLEFSIDAIESLEMQNAVLITNECSHETRNEGGHTMRTPNFNNHQEKPLCYPNSILGEVLILGQRFCSNIFRTKQLFATRVIQALVAGLILGTIYLNVGKKTGQVALQTRIGFFVFSLTFLLSSTTEGLPIFLQERRILTRETSRGAYRVSSYVLSNTLIFLPFLLMVALLYSTPVYWLVGLRRATDGFLYFSLVVWMVILMSNSFVACFSALVPNFIMGTSVISGLMGSFFLFSGYFISKNKIPSYWIFMHYLSLFKYPFECFLINEYGGEQGNMRCIEYDKGECKLYGSGFLRQRGLKDSRKWSNLAVMLGFIIGYRVLCFVILWFRCYRRR >Potri.001G066000.3.v4.1 pep chromosome:Pop_tri_v4:1:4944829:4949761:-1 gene:Potri.001G066000.v4.1 transcript:Potri.001G066000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066000.v4.1 MAAAQTKCSLGYGKPPWIFKGRALYQLHLVKSKIARASIPKEFRLVEAFGYTLGGFFLASYEDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSGDACDHGRKDVGLPSQVAKFSKKITAIPRRRKSKFNGFLDMIGLGTASSSTKDCMDVLVTETNGPSATDICNIKLTTSVPGVKFDKWKGPAIKMSLPSFSGRTEYNPNLLKYTCSIECRVRAVQAAKVSGPSSPPKHDTEESQSQLKLKTVEPSSRELLDEGQNLSISVMLSKPILALELSCLKMQVEAPVVVSQHSKSRFN >Potri.001G066000.2.v4.1 pep chromosome:Pop_tri_v4:1:4944814:4949869:-1 gene:Potri.001G066000.v4.1 transcript:Potri.001G066000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066000.v4.1 MAAAQTKCSLGYGKPPWIFKGRALYQLHLVKSKIARASIPKEFRLVEAFGYTLGGFFLASYEDSPAGVFDELVVIAGIVWNPPTSCAWAARVLVNSGDACDHGRKDVGLPSQVAKFSKKITAIPRRRKSKFNGFLDMIGLGTASSSTKDCMDVLVTETNGPSATDICNIKLTTSVPGVKFDKWKGPAIKMSLPSFSGRTEYNPNLLKYTCSIECRVRAVQAAKVSGPSSPPKHDTEESQSQLKLKTVEPSSRELLDEGQNLSISVMLSKPILALELSCLKMQVEAPVVVSQHSKSRFN >Potri.006G028101.1.v4.1 pep chromosome:Pop_tri_v4:6:1839260:1841617:-1 gene:Potri.006G028101.v4.1 transcript:Potri.006G028101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G028101.v4.1 MGKGLMTVKALSDSKGTSPDSWQPVSESDDEEEDAVLDKTPLDSKLQLKLEHKMKMKMKSGKKIGLRRKKLDRKRGMNKRGQWPPSKANKLKNV >Potri.010G080700.5.v4.1 pep chromosome:Pop_tri_v4:10:10816637:10823590:-1 gene:Potri.010G080700.v4.1 transcript:Potri.010G080700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080700.v4.1 MSRSLHIFTPSNLTFPKQPYPKPSSNRRFPATTFSPKLISIKASTSSDPNSSTSPQVLISNNGTGASGILSSTPQDYDTPPSQSIISDSSSIEVDAVTEVELKENGFRSTRRTKLVCTIGPATCGFEELEALAVGGMNVARINMCHGTREWHRRVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRAFDSHRPERTVNVNYDGFAEDVKVGDELLVDGGMVRFEVMEKIGPDVKCRCTDPGLMLPRANVTFWRDGSLVRERNAMLPTISSKDWLDIDFGISEGVDFIAISFVKSAEVITHLKSYIAARSRDSDIAVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQNIVQICRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSVRIEKWWREEKCHEAMELPVVGSSFSDSISEEICISAAKMANNLGVDALFVYTKTGHMASLLSRCRPDCPIFAFTSTTSVRRRLNLQWGLIPFRLSFSDDMEGNLNKTFSLLKARGMIKSGDLVIAVSDILQSIQVLTVP >Potri.010G080700.6.v4.1 pep chromosome:Pop_tri_v4:10:10817616:10823590:-1 gene:Potri.010G080700.v4.1 transcript:Potri.010G080700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080700.v4.1 MSRSLHIFTPSNLTFPKQPYPKPSSNRRFPATTFSPKLISIKASTSSDPNSSTSPQVLISNNGTGASGILSSTPQDYDTPPSQSIISDSSSIEVDAVTEVELKENGFRSTRRTKLVCTIGPATCGFEELEALAVGGMNVARINMCHGTREWHRRVIERVRRLNEEKGFAVAIMMDTEGSEIHMGDLGGASSAKAEDGEIWTFSVRAFDSHRPERTVNVNYDGFAEDVKVGDELLVDGGMVRFEVMEKIGPDVKCRCTDPGLMLPRANVTFWRDGSLVRERNAMLPTISSKDWLDIDFGISEGVDFIAISFVKSAEVITHLKSYIAARSRDSDIAVIAKIESIDSLKNLEEIIQASDGAMVARGDLGAQIPLEQVPSAQQNIVQICRQLNKPVIVASQLLESMIEYPTPTRAEVADVSEAVRQRADALMLSGESAMGQYPEKALAVLRSVSVRIEKWWREEKCHEAMELPVVGSSFSDSISEEICISAAKMANNLGVDALFVYTKTGHMASLLSRCRPDCPIFAFTSTTSVRRRLNLQWGLIPFRLSFSDDMEGNLNKTFSLLKARGMIKSGDLVIAVSDILQSIQVLTVP >Potri.015G048100.10.v4.1 pep chromosome:Pop_tri_v4:15:4951219:4955000:-1 gene:Potri.015G048100.v4.1 transcript:Potri.015G048100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048100.v4.1 MGVVKGVEKIRISISRPSSKMKVWMIRATTSVLLWTCVVQLTTIGEMWGPRVLKGWPSQSASAALQENVPSLPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVEHFITSLRDEVRVLKELPSRLKQRVELGMTYTMPPVSWSDISYYYNQILPLIQKYKVVHLNKTDARLANNHQPLELQKLRCRVNFFALRFTTQIEELGKRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNDEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDPNMQIYVAAGEIYGGDRRMASLAASYPKLVRKETLLEPSDLRFFQNHSSQMAALDYLVALESDIFVPTYDGNMAKVVEGHRRYFSSKQLLLFDSYIISKVL >Potri.015G048100.6.v4.1 pep chromosome:Pop_tri_v4:15:4950411:4954917:-1 gene:Potri.015G048100.v4.1 transcript:Potri.015G048100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048100.v4.1 MGVVKGVEKIRISISRPSSKMKVWMIRATTSVLLWTCVVQLTTIGEMWGPRVLKGWPSQSASAALQENVPSLPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVEHFITSLRDEVRVLKELPSRLKQRVELGMTYTMPPVSWSDISYYYNQILPLIQKYKVVHLNKTDARLANNHQPLELQKLRCRVNFFALRFTTQIEELGKRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNDEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDPNMQIYVAAGEIYGGDRRMASLAASYPKLVRKETLLEPSDLRFFQNHSSQMAALDYLVALESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRLLVDLIDRYTNGSLTWDEFSYYVKESHADRMGGPTKRLVILDRPKEEDYFYSNPEECLQSSEDPLSST >Potri.015G048100.9.v4.1 pep chromosome:Pop_tri_v4:15:4950737:4955033:-1 gene:Potri.015G048100.v4.1 transcript:Potri.015G048100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048100.v4.1 MGVVKGVEKIRISISRPSSKMKVWMIRATTSVLLWTCVVQLTTIGEMWGPRVLKGWPSQSASAALQENVPSLPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVEHFITSLRDEVRVLKELPSRLKQRVELGMTYTMPPVSWSDISYYYNQILPLIQKYKVVHLNKTDARLANNHQPLELQKLRCRVNFFALRFTTQIEELGKRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNDEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDPNMQIYVAAGEIYGGDRRMASLAASYPKLVRKETLLEPSDLRFFQNHSSQMAALDYLVALESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRLLVDLIDRYTNGSLTWDEFSYYVKESHADRMGGPTKRLVILDRPKEEDYFYSNPEECLQSSEDPLSST >Potri.015G048100.8.v4.1 pep chromosome:Pop_tri_v4:15:4950828:4955039:-1 gene:Potri.015G048100.v4.1 transcript:Potri.015G048100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048100.v4.1 MGVVKGVEKIRISISRPSSKMKVWMIRATTSVLLWTCVVQLTTIGEMWGPRVLKGWPSQSASAALQENVPSLPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDVEHFITSLRDEVRVLKELPSRLKQRVELGMTYTMPPVSWSDISYYYNQILPLIQKYKVVHLNKTDARLANNHQPLELQKLRCRVNFFALRFTTQIEELGKRVIRLLRQNGPFLVLHLRYEMDMLAFSGCTQGCNDEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDPNMQIYVAAGEIYGGDRRMASLAASYPKLVRKETLLEPSDLRFFQNHSSQMAALDYLVALESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRLLVDLIDRYTNGSLTWDEFSYYVKESHADRMGGPTKRLVILDRPKEEDYFYSNPEECLQSSEDPLSST >Potri.006G003000.9.v4.1 pep chromosome:Pop_tri_v4:6:245601:251912:-1 gene:Potri.006G003000.v4.1 transcript:Potri.006G003000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003000.v4.1 MKFMKLGTRLDTFYTEEATRSVVSDIPNDLVIQISNINYLLHQFSLLPKCGLLQRLCADSDDSSTVTIQLHDIPGGEDAFELCAKYCYGITINLSAHNFVSAFCAAKFLRMTEAVEKGNFVLKLEAFFNSCVLVGWKDSIITLQTTVKLTEWSENLGIIRRCIDSIVGKILTPPAKVTWSYTYTRIGFNKQQQLVPKDWWTEDISDLDIDLFRCIIIAIKSTHMLPPQLIGEALHVYACRWLPDTTKITRPESSVSQTDEVTDKHRKILEIIVNKIPSDKGSVSVGFLLRLLSIANYLGASTVTKTELIRRSSLQLEEATVSDLLFPSHSSSNQYYYDIDLVAAVLESFLLLRRRTSPAPTENTQFMRSIRKIGKLVDSYLQAVATDINLPVSKVLSVAEALPDIARKDHDDLYRAINIYLKKHPDLSKADKKRLCRNLDCQKLSPEVRTHAVKNERLPLRTVVQVLFFEQEKGSRENDHRMPTQELLLSRGKQIPIVRDELSKLQLGSYEQTIRSDGIPRTPAPSESSTRDDQKLKRPDKKTPMEAGKKGGERTNRGEGI >Potri.006G003000.8.v4.1 pep chromosome:Pop_tri_v4:6:245432:251989:-1 gene:Potri.006G003000.v4.1 transcript:Potri.006G003000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003000.v4.1 MKFMKLGTRLDTFYTEEATRSVVSDIPNDLVIQISNINYLLHQFSLLPKCGLLQRLCADSDDSSTVTIQLHDIPGGEDAFELCAKYCYGITINLSAHNFVSAFCAAKFLRMTEAVEKGNFVLKLEAFFNSCVLVGWKDSIITLQTTVKLTEWSENLGIIRRCIDSIVGKILTPPAKVTWSYTYTRIGFNKQQQLVPKDWWTEDISDLDIDLFRCIIIAIKSTHMLPPQLIGEALHVYACRWLPDTTKITRPESSVSQTDEVTDKHRKILEIIVNKIPSDKGSVSVGFLLRLLSIANYLGASTVTKTELIRRSSLQLEEATVSDLLFPSHSSSNQYYYDIDLVAAVLESFLLLRRRTSPAPTENTQFMRSIRKIGKLVDSYLQAVATDINLPVSKVLSVAEALPDIARKDHDDLYRAINIYLKKHPDLSKADKKRLCRNLDCQKLSPEVRTHAVKNERLPLRTVVQVLFFEQEKGSRENDHRMPTQELLLSRGKQIPIVRDELSKLQLGSYEQTIRSDGIPRTPAPSESSTRDDQKLKRPDKKTPMEAGKKGGERTNRGEGI >Potri.006G003000.6.v4.1 pep chromosome:Pop_tri_v4:6:245530:253068:-1 gene:Potri.006G003000.v4.1 transcript:Potri.006G003000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003000.v4.1 MKFMKLGTRLDTFYTEEATRSVVSDIPNDLVIQISNINYLLHQFSLLPKCGLLQRLCADSDDSSTVTIQLHDIPGGEDAFELCAKYCYGITINLSAHNFVSAFCAAKFLRMTEAVEKGNFVLKLEAFFNSCVLVGWKDSIITLQTTVKLTEWSENLGIIRRCIDSIVGKILTPPAKVTWSYTYTRIGFNKQQQLVPKDWWTEDISDLDIDLFRCIIIAIKSTHMLPPQLIGEALHVYACRWLPDTTKITRPESSVSQTDEVTDKHRKILEIIVNKIPSDKGSVSVGFLLRLLSIANYLGASTVTKTELIRRSSLQLEEATVSDLLFPSHSSSNQYYYDIDLVAAVLESFLLLRRRTSPAPTENTQFMRSIRKIGKLVDSYLQAVATDINLPVSKVLSVAEALPDIARKDHDDLYRAINIYLKKHPDLSKADKKRLCRNLDCQKLSPEVRTHAVKNERLPLRTVVQVLFFEQEKGSRENDHRMPTQELLLSRGKQIPIVRDELSKLQLGSYEQTIRSDGIPRTPAPSESSTRDDQKLKRPDKKTPMEAGKKGGERTNRGEGI >Potri.006G003000.7.v4.1 pep chromosome:Pop_tri_v4:6:245460:252961:-1 gene:Potri.006G003000.v4.1 transcript:Potri.006G003000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003000.v4.1 MKFMKLGTRLDTFYTEEATRSVVSDIPNDLVIQISNINYLLHQFSLLPKCGLLQRLCADSDDSSTVTIQLHDIPGGEDAFELCAKYCYGITINLSAHNFVSAFCAAKFLRMTEAVEKGNFVLKLEAFFNSCVLVGWKDSIITLQTTVKLTEWSENLGIIRRCIDSIVGKILTPPAKVTWSYTYTRIGFNKQQQLVPKDWWTEDISDLDIDLFRCIIIAIKSTHMLPPQLIGEALHVYACRWLPDTTKITRPESSVSQTDEVTDKHRKILEIIVNKIPSDKGSVSVGFLLRLLSIANYLGASTVTKTELIRRSSLQLEEATVSDLLFPSHSSSNQYYYDIDLVAAVLESFLLLRRRTSPAPTENTQFMRSIRKIGKLVDSYLQAVATDINLPVSKVLSVAEALPDIARKDHDDLYRAINIYLKKHPDLSKADKKRLCRNLDCQKLSPEVRTHAVKNERLPLRTVVQVLFFEQEKGSRENDHRMPTQELLLSRGKQIPIVRDELSKLQLGSYEQTIRSDGIPRTPAPSESSTRDDQKLKRPDKKTPMEAGKKGGERTNRGEGI >Potri.006G003000.1.v4.1 pep chromosome:Pop_tri_v4:6:245600:251857:-1 gene:Potri.006G003000.v4.1 transcript:Potri.006G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003000.v4.1 MKFMKLGTRLDTFYTEEATRSVVSDIPNDLVIQISNINYLLHQLQFSLLPKCGLLQRLCADSDDSSTVTIQLHDIPGGEDAFELCAKYCYGITINLSAHNFVSAFCAAKFLRMTEAVEKGNFVLKLEAFFNSCVLVGWKDSIITLQTTVKLTEWSENLGIIRRCIDSIVGKILTPPAKVTWSYTYTRIGFNKQQQLVPKDWWTEDISDLDIDLFRCIIIAIKSTHMLPPQLIGEALHVYACRWLPDTTKITRPESSVSQTDEVTDKHRKILEIIVNKIPSDKGSVSVGFLLRLLSIANYLGASTVTKTELIRRSSLQLEEATVSDLLFPSHSSSNQYYYDIDLVAAVLESFLLLRRRTSPAPTENTQFMRSIRKIGKLVDSYLQAVATDINLPVSKVLSVAEALPDIARKDHDDLYRAINIYLKKHPDLSKADKKRLCRNLDCQKLSPEVRTHAVKNERLPLRTVVQVLFFEQEKGSRENDHRMPTQELLLSRGKQIPIVRDELSKLQLGSYEQTIRSDGIPRTPAPSESSTRDDQKLKRPDKKTPMEAGKKGGERTNRGEGI >Potri.006G003000.10.v4.1 pep chromosome:Pop_tri_v4:6:245465:251719:-1 gene:Potri.006G003000.v4.1 transcript:Potri.006G003000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003000.v4.1 MKFMKLGTRLDTFYTEEATRSVVSDIPNDLVIQISNINYLLHQFSLLPKCGLLQRLCADSDDSSTVTIQLHDIPGGEDAFELCAKYCYGITINLSAHNFVSAFCAAKFLRMTEAVEKGNFVLKLEAFFNSCVLVGWKDSIITLQTTVKLTEWSENLGIIRRCIDSIVGKILTPPAKVTWSYTYTRIGFNKQQQLVPKDWWTEDISDLDIDLFRCIIIAIKSTHMLPPQLIGEALHVYACRWLPDTTKITRPESSVSQTDEVTDKHRKILEIIVNKIPSDKGSVSVGFLLRLLSIANYLGASTVTKTELIRRSSLQLEEATVSDLLFPSHSSSNQYYYDIDLVAAVLESFLLLRRRTSPAPTENTQFMRSIRKIGKLVDSYLQAVATDINLPVSKVLSVAEALPDIARKDHDDLYRAINIYLKKHPDLSKADKKRLCRNLDCQKLSPEVRTHAVKNERLPLRTVVQVLFFEQEKGSRENDHRMPTQELLLSRGKQIPIVRDELSKLQLGSYEQTIRSDGIPRTPAPSESSTRDDQKLKRPDKKTPMEAGKKGGERTNRGEGI >Potri.016G105000.2.v4.1 pep chromosome:Pop_tri_v4:16:10823997:10826782:1 gene:Potri.016G105000.v4.1 transcript:Potri.016G105000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105000.v4.1 MAESLDNGEFWLPPQFLLDDDTVLMEKNTANNLKNWSTKDVFGYSETEYGKSSVPYEFPYGFGSFGFSSDLSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDDFKRNDLPCGTEKTKGWVLSGSPQSTLCAVGSRCGCRQGSSRGSSNGSSPPATWDLLYEAAGEVERMKMNNEEGCCFNSQSRGLSGPPRKPPPISIPSKNPISDVSLYQQQQSLAYQKLQASQQLRRQVMMQQQQQGVWGGQNNILGTGVLFPQKPHQTQPVVQNRGRNITSVGRGPLGLSASAWPPLQNITQQQQHLQQQNNNHGGSGMRAVFLGNPGGKKECAGTGVFLPRQIGTRTESRKKQGCPTVLLPAKVVLALNLNLEGMGAQAQFQPRFNGSFTTDSDTAAARPRSNNILSHQKHRNGRPQPVMSNEVSLPSEWTY >Potri.005G126500.1.v4.1 pep chromosome:Pop_tri_v4:5:9514024:9516472:-1 gene:Potri.005G126500.v4.1 transcript:Potri.005G126500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126500.v4.1 MIRLFKVKEKQRELAENANGGVPIKKQTAGELRLHKDISELNLPTSCNMMFPNGKDDLMNFEVSIRPDEGYYLGGMFLFSFQVSPIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLSVNTIIYGLYHLFTEPNCEDPLNHDAAAVLRDNPKMFESHVRRALAGGYVGQTFFPRCI >Potri.019G002900.1.v4.1 pep chromosome:Pop_tri_v4:19:884087:886932:-1 gene:Potri.019G002900.v4.1 transcript:Potri.019G002900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002900.v4.1 MATPPTPLVFKVSRREPVLITPSEPTPHELKPLSDIDDQDGLRVQIPLIYFYPYDPSMQRRDPVEVIKEALAKTLVFYYPFAGRLREGPKRKLLVECTGEGILFIEADADVTLEQFGNALYPPIPCLEELLFDVPGSSGMINCPLLLIQVTRLKCGGIVFAIRLNHTMSDGTGLNQFLSAMCEMVHGAQTPSIQPVWERDVLNARNPPQVTCLHHEYDQLVDDTTDNVPLTKKTHRSFFFGAANISAIRGFAPLHLRHCSTFDVLTAFLWRCRTIALQPNPNDEMRILCIVNARNRFNPPLPRGYYGNCIAYSVAMATAGEISRNSLGFTLELVRKAKANVTEEYMRSVADLLVIKGRPWYTMVRSYLVSDVTRAMFAEMNLGWGKPKYAGPAKGNVASFQIPYRTKKGEDGVLMTLCLPTPAMERFVKELDSTFKEQSNGGGNAKSPLSSL >Potri.003G036800.1.v4.1 pep chromosome:Pop_tri_v4:3:4533869:4539293:-1 gene:Potri.003G036800.v4.1 transcript:Potri.003G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G036800.v4.1 MVQYLGTVRVTPSLPSFRRQINLINLNSCPPFSLSRNGFFSSSLSRNGFFLSSLPGNGVPSSSLSGNGLSSSSLSGNGFSSFSLPRNGFSPFRRILQACLQSNASRNADAQLQAGQSEIIFMGTGTSEGIPRLSCLTNPSNKCPVCSKAVEPGNKNRRLNTGLLICYHGPSGRRNILIDVGKFFYHSALRWFPAFGLRTIDAVIITHSHADAIGGLDDLRDWTNNVQPYIPIYVAERDFEVMKKTHYYLVDTSVVTPGAAVSELQFNLIHEEPFVVNDLKFTPLPVWHGHGYRSLGFRFGNICYISDVSEIPEETYPLLKDCELLIMDALRPDRSSSTHFGLPRAIEEVRKIQPRRTLFTGMMHLMDHDNVNEYLAKLMETEGLNVELSYDGLCVPVTL >Potri.005G161700.1.v4.1 pep chromosome:Pop_tri_v4:5:15877135:15878125:1 gene:Potri.005G161700.v4.1 transcript:Potri.005G161700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161700.v4.1 MASLWRSKEVKGRSKRDRKCKKHPKHEQPPGVCSVCLSEKLSQLPTSATTSRIASLNTMDCSSSCSLSSYSSFSSYSSPMHHFQYPTHGKGSFPLFFNGKNMFLTKSRSLAFVPRRGNKDCHEKKKGGFWSKLLRLPKGKKVEEGLVHSRTMRERVIISTS >Potri.014G145100.2.v4.1 pep chromosome:Pop_tri_v4:14:9915306:9917554:-1 gene:Potri.014G145100.v4.1 transcript:Potri.014G145100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CHS MAPSIEEIRKAQRASGPATILAIGKATPANCVSQADYPDYYFRITNSEHMTELKEKFKRMCDKSMIKKRYMHLTEEILKENSSMCEYMAPSLDARQDMVVVEVPKLGKEAAAKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRSSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGSRVLVVCSEITAVTFRGPSDTHLDSMVGQALFGDGAAAVIVGADPDTSIERPLFQIVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNIEKSLVEAFAPIGINDWNSIFWIAHPGGPAILDQVEIKLDLKEEKLRATRNVLSDYGNMSSACVLFILDEMRNKSLEEGKSTTGEGLEWGVLFGFGPGLTVETVVLHSVPVEQTIYS >Potri.013G114300.1.v4.1 pep chromosome:Pop_tri_v4:13:12261089:12265833:-1 gene:Potri.013G114300.v4.1 transcript:Potri.013G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114300.v4.1 MALFLQTTFLTSSSSLRQKPCLSSWASHISSSRTRTRTRVHAKIREIFMPALSSTMTEGKIVSWVKSEGDKLSKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAAVGSAIALLAESPEEIEEAKSKAASSSPATSPAPAVAAAPVSESTTGSGAVEKAVVVTPPSPSVVASAVHPASEGGKRVVASPYAKKLAKDLKVDLGRVIGSGPNGRIVAKDVEAAAAVAAELGSPAAKVSAAPAVQAPPGIELGSVVPFTTMQGAVCRNMVESLSVPTFRVGYTITTDALDALYKKVKSKGVTMTALLAKATSLALVKHPVINSSCRDGNSFTYNSSINIAVAVAIDGGLITPVLQDADKVDIYSLSRKWKELVDKARAKQLQPQEYNTGTFTLSNLGMFGVDRFDAILPPGTGAIMAVGASQPTVVGTKDGRIGMKNQMQVNVTADHRVIYGADLAAFLRTLAKIIEDPKDLTF >Potri.004G043100.2.v4.1 pep chromosome:Pop_tri_v4:4:3406223:3408461:-1 gene:Potri.004G043100.v4.1 transcript:Potri.004G043100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043100.v4.1 MASKEEDMAEAEEEEEEGPPPGWQSFPPEPSLPPPPTPPPPPSELGQMVCGSCRRLLSYPKGVRHVQCQCCQMINFVLEAHEVGQVKCGSCDVLLMYPYGASSVRCSSCRFVTEIGEQNRRPPWSVIQGYPPRPMPSNPIS >Potri.016G003750.1.v4.1 pep chromosome:Pop_tri_v4:16:190763:191083:1 gene:Potri.016G003750.v4.1 transcript:Potri.016G003750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003750.v4.1 MGLVCFALLVVLKAEHQTSLSLQCDDIICCFKFHACRFLNLTCVSLCQSLLSSSFCCFDFKKIVFFWVHLVTPFTTPCPYKDRKVEDDVMGLLLINLLFMILHLLN >Potri.006G258200.1.v4.1 pep chromosome:Pop_tri_v4:6:25493153:25496491:-1 gene:Potri.006G258200.v4.1 transcript:Potri.006G258200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258200.v4.1 MEKIRRASHAGSWYTDDPKKLEEELEGWLSDTGLTKSPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTPKCALSTAAVYKTPIGDLPIDLEVIEELKATGKFELMDLQVDEHEHSMEMHLPYLAKIFEGHPVKVVPILVGAVSADNEAMYGCLLAKYVDDPTNFFSVSSDFCHWGSRFHYTRYDKKCGPIHKSIEALDKMGMDIIETGNADALKQYLSEYGNTICGRHPISVFLHMLRNCSTKIKIKFLRYEPSSQCKTMRDSSVSYASAAAKVDA >Potri.005G074000.1.v4.1 pep chromosome:Pop_tri_v4:5:4937786:4941062:-1 gene:Potri.005G074000.v4.1 transcript:Potri.005G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074000.v4.1 MVILKPAHHLFRRLFPSPFQISYHSSSNYLNCHIDSFLSNQTQTLQSLHKSHALIITTGNANNVFISSKLISLYASFRKPHSSTYVFDSTNQKDTFLWNSIIKSHFSNGNYFKAFDFYIQMRYDNTPPNQFTIPMIVATCAELLWLEEGKYIHGLVSKSGLFAENSAVGSSFVYMYAKCGVMEDASLMFDEIVVRDVVSWTALVIGYVHNDDSEKGLECLCEMRRIGGDGEKVNSRTLEGGFQACGNLGAMIAGRCLHGLAVKTGLGCSQVVQSSLLSMYSKCGNVEEAHNSFCQVVDKDVFSWTSVIGVCARFGFMNECLNLFWDMQVDDVYPDGIVVSCILLGFGNSMMVREGKAFHGLIVRRNYVLDDTVNNALLSMYCKFGTLNPAEKLFDGVHEWSKESWNTMVFGYGKMGIEGKCIELFREMRDLGIEADSNSLVSVISSCSKLGLINLCRSVHCYIIKNSVDEDVSIANSLIDMYGKGGNLSIAWKMFCRTQRDVVTWNTLISSYTHSGHYAEAITLFDEMISEKLNPNSATLVIVLSACCHLPSLEKGKMVHQYIKEGGFELNVSLGTALVDMYAKCGQLEQSRELFNSMKEKDVISWNVMISGYGLHGDANSAMEVFQQMEQSNVKPNAITFLSLLSACTHAGYVDEGKQLFDRMQYYSIKPNLKHFACMADLLGRSGNLQEAEDLVQSMPICPDGGVWGTLLSACKIHNEIEIGIRVAKCAIESDPENDGYYIMLSNMYGSMGKWDEAERARELMKERGIGKRAGWSAV >Potri.013G017210.1.v4.1 pep chromosome:Pop_tri_v4:13:1072996:1075548:1 gene:Potri.013G017210.v4.1 transcript:Potri.013G017210.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017210.v4.1 MATSTYEEDYHLQYAMQLSSASVLPLVLKAAIELGVFEIIEKAGPDALLSASDIVAQFPTQNNPEAHILLDRNLCLLASHSILTCSVSTKNIQDGHSQRLYGLAPMAKYFTKNQDGGSLSPFLAMIHDKVMMDMWYHLKDAVLEGGIPFEKAHGINSAEYLKKDARFCELFSSSMKSFNVTFMETILDIYDGFEGVKCLVDVGGGNGSILNMIITKYPAIKGINYDLASVVESSPSYPGIDLLF >Potri.005G007001.1.v4.1 pep chromosome:Pop_tri_v4:5:503422:508756:-1 gene:Potri.005G007001.v4.1 transcript:Potri.005G007001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007001.v4.1 MASATAFAIGGSFLSAFLQVLFDRMASREVLGFFRERKLNDRLLKKLKVLMISVNGVLDDAEEKQIAKPAVEMWVNELKDAVYEADDLLDEIAYEALRSEVEVGSQSSADQVRGFLSARFSFQKVKEEMETKLGEIVDMLEYLVQQKDALGLREGTVEKASSQRIPTTSLVDESGVYGRDGDKEAIMKLVLSATENGKRLDVIPIVGMAGVGKTTLAQLVYNDSRVGEQFDMKVWICVSEEFDVLKVIKDILKKAGSMNCDTMTGDQLHCELEKESTGKKIMLVLDDVWSNDWGKWDFLLTPFKSLLHGSKILVTTRIESVASVKATVAAHRLQELTADDCWLVFAKHAFDDGSCSARPDLEEIGKEVVRKCKGLPLAAKALGGLLRFKRDAKEWEKILKSNMWDLPNDGILPVLRLSYHYLPPQLKQCFAYCAIFPENHEFNKDELIRLWMSEGFLVPPKRNKEMEEVGNEFFHDLVSRSFFQQSSGKSRSVFQGSSGDPLFVMHDLINDLARYVAREFCFRLEGEDSNKITERTRHLSYAVTRHDSCKKFEGIYDAKLLRTFLPLSEAWLRNQINILPVSRPRNQIDNKVTHDLLPRLTRLRVLSLVNYSNVVELPDPMGKLKHLRYLNLSATSIKRLPEVVSTAYHLQTLILENCKELVELPDSVGRLKHLLYASLKGAKIKRLPESMCTLYNLQTLVLEDCRNLVRLPHSIGNLKQLRYVTLKGTTIKMLPASMGGLCNLQTLILRSCKDLIELPDDLGRLINLSHLDIEGTKLSKMPPHMGKLTKLQNLSDFFLGKDTGSSLQELGKLQHLQGGLNIWNLQNVGSAPDALHDNVKGMKHLKTLNLMWDGDPNDSGHVRHVLDKLEPDVNMEYLYIYGFGGTRFSDWVGDSSFSRIVSMELSRCKYCTSLPPLGQLGSLKELLVRGFEGLAVVGREFYGSCMSVRKPFGSLESLTLSMMPEWREWISDQGMQAFPCLQKLCISGCPNLRKVLSNHLLPSLTTLEIEGCKQLVTSIPRCPIIDELKLDDDSRHLLLGRLPSGMHSLKVYRFYSMDSIPKEMEQIGSFLTTLEEIEMENCDSLKCFQLELFPRLKTLRISTCSNLESHCEHEGPLEDLTSLHSLKIWECPKLVSFPKGGLPASCLTELQLFDCANLKSMPEHMNSLLPSLEDLRLFLLPKLEFFPEGGLPSKLKSLYIENCSKLIAARMQWSLQSLPSLSKFTVGVDESVESFPEEMLLPSTLASLEILSLKTLKSLNCSGLQHLTSLGQLTITDCPNLQSMPGEGLPSSLSSLEIWRCPLLDQRCQQGIGVDWLKITHIPNVHINGYKIHQP >Potri.004G124000.2.v4.1 pep chromosome:Pop_tri_v4:4:11994888:12000256:1 gene:Potri.004G124000.v4.1 transcript:Potri.004G124000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124000.v4.1 MTDGHLFNNIFLGGRGGTNPGHLKISPGGILWKKQGGGKAVEVDRADILGVTWMKVPRTNQLSVLIKGGPWYKFTGFRDQDLSTLTNFFQSHGITPEEKQLSVSGRNWGEVDLNGNMLTFLVGSKQAFEVSLADVSQTQMQGKNDVILEFHVDDTTGANEKDSLMELSFHIPNNNTQYIGDENHPPAQVFRDLIVQKADVGAGGEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKFNQPHTFVVVTLDPPIRKGQTLYPHIVLQFDTDFVVQSNLSMSEDLLYTKYKDKLEPSYKGLIHEVFTTILRGLSSAKVTRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISGKGMKIMNLGDMQTAKGVAAVLQNDDDDAVDPHLARIRNEAGDDESDEEDEDFVLGKDDGGSPTDDSGEEESDASESGDEKENPGKKDFKREVSSSKAVTKRKSRDGEESQKKRKPKKKKDPNAPKRSKSAYVFFSQMERENVKKSNPGIVFGEITKALADKWNAMSAEEKEPYEEMARDDKQRYKSQVNDYKNKNPQPMMVDSGYESDS >Potri.009G091200.1.v4.1 pep chromosome:Pop_tri_v4:9:8363534:8366375:1 gene:Potri.009G091200.v4.1 transcript:Potri.009G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091200.v4.1 MTSASELFYQRRSRVSRANTDLGLEPSVSDRIFYQNYNRRHHNNHNHRHDLDGCDPLRRSPHVRHPCQRFLSERASSRLDQGTSQFVPSNNNSTETLSSTSRPRVTVNDRLPGAVVLARARLLERLRGVSLSGNRQSGRAFLGIYNREYTLGDELRVVDAGDWGTDISTGLFAGGSSSDDSTLQTERLHTVQESHKKKPPGLTQDALHCLQSEVFSSVEKGIEGGVSQVSWDCSICLESFTEGDELIRLPCEHRFHSACLDPWVRTCGDCPYCRRDVVVTND >Potri.001G353400.1.v4.1 pep chromosome:Pop_tri_v4:1:36731604:36734045:1 gene:Potri.001G353400.v4.1 transcript:Potri.001G353400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353400.v4.1 MASGGAVQCFTIFLLYLFLYPGHPSVAEMPILEPIQKYEVVGDENRMLISYSETAIQLDAVTGGVPIINPTNPGSGTTPVVNPVDSPPTPIGTNPIPTTPPAGMVPPAGMVPPATMNPPPATMNPPATTNPPATTNPTSSGGAWCIASPTASETALQVAIDYACGYGGTDCSALQPGGSCYNPNTIRDHASYAFNSYYQKNPVPTSCVFGGTAQLTTTDPSSGNCHYASSPTTPSISPPVNPAPTPPTPTTPPTPTMMTPTITSPGGPPTVYGVPEPVGQPSSATSVSCTLLFLFSTTGIVGSLLATKHL >Potri.007G011200.6.v4.1 pep chromosome:Pop_tri_v4:7:879924:886776:1 gene:Potri.007G011200.v4.1 transcript:Potri.007G011200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011200.v4.1 MTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRRILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDPNRYLIGDDEHCWTETGVSNIEGGCYAKCIDLSREKEPEIWNAIKFGTVLENVVFEEHTREVDYADKSVTENTRASYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMMHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLKAEYKKTKVFGLEIPTEVEGVPSEILDPVNTWSNKLGYEDTLMKLAGLFKNNFETFTDHKIGKGNELTEEILAAGPNF >Potri.007G011200.3.v4.1 pep chromosome:Pop_tri_v4:7:879688:886775:1 gene:Potri.007G011200.v4.1 transcript:Potri.007G011200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011200.v4.1 MAANGNGEMAMNGKGAATARKPKGLLPSITTSDKHHDVCHDMSAPTVKAQTIDELHSLQKKKSAPTTPIKGFQSTFAALSEEERQKQQLQSISASLASLTRETGPKVVRGDPASKSQTSPRVHQQHVAEPAISASDSSLKFTHVLYNLSPAELYEQAIHYEKGSFITSTGALATLSGAKTGRAPRDKRVVKDDVTGEELWWGKGSPNIEMDEHTFMVNRERAVDYLNSLDKVFVNDQFLNWDPENRIKVRIVSARAYHSLFMHNMCIRPTPEELEDFGTPDFTIYNAGQFPCNRYTHYMTSSTSIDLNLARREMVILGTQYAGEMKKGLFSVMHYLMPKRRILSLHSGCNMGKDGDVALFFGLSGTGKTTLSTDPNRYLIGDDEHCWTETGVSNIEGGCYAKCIDLSREKEPEIWNAIKFGTVLENVVFEEHTREVDYADKSVTENTRASYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMMHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLKAEYKKTKVFGLEIPTEVEGVPSEILDPVNTWSNKLGYEDTLMKLAGLFKNNFETFTDHKIGKGNELTEEILAAGPNF >Potri.007G011200.7.v4.1 pep chromosome:Pop_tri_v4:7:879924:886759:1 gene:Potri.007G011200.v4.1 transcript:Potri.007G011200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011200.v4.1 MILVLGTGKTTLSTDPNRYLIGDDEHCWTETGVSNIEGGCYAKCIDLSREKEPEIWNAIKFGTVLENVVFEEHTREVDYADKSVTENTRASYPIEYIPNAKIPCVGPHPKNVILLACDAFGVLPPVSKLNLAQTMYHFISGYTALVAGTEEGVKEPRATFSACFGAAFIMMHPTKYAAMLAEKMQKHGATGWLVNTGWSGGSYGSGSRIKLAYTRKIIDAIHSGSLLKAEYKKTKVFGLEIPTEVEGVPSEILDPVNTWSNKLGYEDTLMKLAGLFKNNFETFTDHKIGKGNELTEEILAAGPNF >Potri.017G138401.1.v4.1 pep chromosome:Pop_tri_v4:17:13961127:13965059:-1 gene:Potri.017G138401.v4.1 transcript:Potri.017G138401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138401.v4.1 MMLLDGHPREHSPDDNQSAGGQEQAGGEITVQKDGSAPQSGFVWDEASGYYYDAASGFYFDGNTGLYYDGNQGVWYSYDQQTQQYIPFTDNNDNKASSNQSENSKSSDGSSNRKVVISAPAATITSTEKAASLPDAVQAAASAALAAEKKEKEKAKEIKLASKSSILATKKKMNNVLTMWKQRSHEGQTTRVALDDSHPSTPADDRSFSVGQSTKKKFKSDTTTTKKSSMSSSGVVTAPSAQTNGLESSVKPRPVSNSSGGTLMGVIRGSGRGVLKSDTSYSGPSAGVSTSNAAVHLTMAGSSTNADTSSFATPFRTYIYICIGLLHTTCGCWEWQEEIF >Potri.017G138401.3.v4.1 pep chromosome:Pop_tri_v4:17:13961044:13965059:-1 gene:Potri.017G138401.v4.1 transcript:Potri.017G138401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138401.v4.1 MMLLDGHPREHSPDDNQSAGGQEQAGGEITVQKDGSAPQSGFVWDEASGYYYDAASGFYFDGNTGLYYDGNQGVWYSYDQQTQQYIPFTDNNDNKASSNQSENSKSSDGSSNRKVVISAPAATITSTEKAASLPDAVQAAASAALAAEKKEKEKAKEIKLASKSSILATKKKMNNVLTMWKQRSHEGQTTRVALDDSHPSTPADDRSFSVGQSTKKKFKSDTTTTKKSSMSSSGVVTAPSAQTNGLESSVKPRPVSNSSGGTLMGVIRGSGRGVLKSDTSYSGPSAGVSTSNAAVHLTMAGSSTNADTSSFATPFRTYIYICIGLLHTTCGCWEWQEEIF >Potri.017G138401.2.v4.1 pep chromosome:Pop_tri_v4:17:13961044:13966418:-1 gene:Potri.017G138401.v4.1 transcript:Potri.017G138401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138401.v4.1 MMLLDGHPREHSPDDNQSAGGQEQAGGEITVQKDGSAPQSGFVWDEASGYYYDAASGFYFDGNTGLYYDGNQGVWYSYDQQTQQYIPFTDNNDNKASSNQSENSKSSDGSSNRKVVISAPAATITSTEKAASLPDAVQAAASAALAAEKKEKEKAKEIKLASKSSILATKKKMNNVLTMWKQRSHEGQTTRVALDDSHPSTPADDRSFSVGQSTKKKFKSDTTTTKKSSMSSSGVVTAPSAQTNGLESSVKPRPVSNSSGGTLMGVIRGSGRGVLKSDTSYSGPSAGVSTSNAAVHLTMAGSSTNADTSSFATPFRTYIYICIGLLHTTCGCWEWQEEIF >Potri.013G060332.1.v4.1 pep chromosome:Pop_tri_v4:13:4441993:4445466:1 gene:Potri.013G060332.v4.1 transcript:Potri.013G060332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060332.v4.1 MEATMRFQRALMTRTMTIVMIQDAAQNLFQAINLFPAPLDKLDANRVRKDYEDSTTRLSDIQERIASLTEKLKHDFGMEKEFYFYYDQCFETKQDKYVYKVCPFKDASQEEGYHITQLGQWEKFENSYGSMLFSNGDGCWNGPDRSLKVKLRCGLNTELTDVKEPSRCEYVALMSTPIRCLEGKLEELERKLESMYNEQLQGGHDEL >Potri.011G058500.2.v4.1 pep chromosome:Pop_tri_v4:11:4828073:4836933:-1 gene:Potri.011G058500.v4.1 transcript:Potri.011G058500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G058500.v4.1 MFGFFRGSADSSPQSSYSQSSSSSMSPSPSAPPVTGPARPIRLVYCDEKGKFRMDPEAVATLQLVKEPIGVVSVCGRARQGKSFILNQLIGRSSGFQVASTHRPCTKGLWLWSAPLKRTALDGTQYNLLLLDSEGIDAYDQTGTYSTQIFSLAVLLSSMFIYNQMGGIDEAALDRLSLVTQMTKHIRVRASGGRSSASELGQFSPIFVWLLRDFYLDLVEDNRRITPRDYLELALRSVQGNGKDIAAKNEIRDSIRALFPDRECFPLVRPLNNENDLQHMDQISLDKLRPEFRAGLDALTKFVFERTRPKQIGATVMTGPVLVGITESYLEALNNGAVPTISSSWQSVEEAECRRAYDAATEIYMSSFDRSKPTEEVVLRESHEEAVKKSLAAFNAAAVGIGSARKKYEELLQKFSRRAFEDYKRNAFMEADLRCSNTIQNMEKRLRTVCHASDANVDNVVKVLDGLLSEYETSCHGPGKWQKLAMFLQQSLEGPILDLAKRLNDKIGSEKSSLVLRCRSIEDKMALLHKQLEASEKDKSEYMKRYDEAINEKKKLADDYMRRINDLQSNRSSLDERCSNLVKTLDTAKQETSNWKRKHDQVLSKQKADEEQAASEIAILKSRSSAAEARLAASHEQTRSAEEEAAEWKRKYDIAVRETKAALEKASNVQGRINKETQLREDALREEFSGRLVVKEDEIKEKNRKIEHAEQCLTTLNMELKAAESKMKSYDTEISSLKLEIKELAERLETANAKAQTYEREARILEQEKIHLEQRYRSEFERFAEVQERCNHAEKECKRATELADKARTDAVSAQKEKNEFQKLAMERLAQIERAQRHTESLDRQKNDLAGELESVRVSEMDAVLKVALLEARVEEREKEIESLLKSNNVERASTVKALQDLLDDERKAHSVANKRAEDFSLQLEVARAKLDSLQQEFTSVRLNESALDGKLKAASHGKRIRTEDVEMGVGSVQDTGTDDRRVKKRSRSTTSPVMLTQPEDGGSVFKGNEDNQSQRSDQEDYRKFTIQELKQELTKHNYGAEILKLRSHNKKDILALYEKYVLQKS >Potri.016G036200.3.v4.1 pep chromosome:Pop_tri_v4:16:2142999:2151856:-1 gene:Potri.016G036200.v4.1 transcript:Potri.016G036200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036200.v4.1 MARLLLKPHNSSRHYLSLIRASMASSSFSCSHSFSFLSRPSVSFNSRVSFPARRVPSRFLVQNGVSPRAFMSTSVATESQQESAGSKAYGSDQIQVLKGLEPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGYASTINVVLHSDNSVSITDNGRGIPTDLHPETKKSALETVLTVLHAGGKFGGSNSGYRVSGGLHGVGLSVVNALSEELEVTVWRDGKQYQQKYSRGNPVTTLTCHELPVESKERRGTHIKFWPDKEVFTTEIQFDYHTIAGRVRELAFLNPKLTISLQKEDNDPEKNQFDEHFYAGGLVEYVNWLNTDKKPLHDVLGFRKEVDGIAIDMALQWCSDAYSDTILGYANSIRTVDGGTHIDGAKASLTRTLNNLGKKSKVIKDKDMSLSGEHVREGLTCIISVKVPSPEFEGQTKTRLGNPEVRKVVEQSIQEYLTEYLELHPDALDSILSKSLNALKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTDPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEAAMYKNEEIQNLILGLGLGVKGEDFKKDALRYHKIIILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQAYYCFDDAELKKIQRSFPQNASYNIQRFKGLGEMMPAQLWETTMDPERRVLKQLVVEDAAEANIVFSSLMGTRVDFRKELIQNAASMINVDHLDI >Potri.011G154400.2.v4.1 pep chromosome:Pop_tri_v4:11:18139915:18145255:-1 gene:Potri.011G154400.v4.1 transcript:Potri.011G154400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154400.v4.1 MRVLMDYISFLRFYFSLLLFVRVTTPIDTMNTTQSIRDGDTIVSASGTYELGFFSPGKSKNRYLGVWYGKISVLTAVWVANREAPLNDSSGVARITNQGLLVLLNRSGSIIWSSNTLTLAKNPVVQLLDSGNLVVKEKGDDNLENSLWQSFEHPGNTLIPGMKIGRNRITGMEWYVTSWKSADDPSRGNITGILVPDGYPELILLEDSKVKHRAGPWNGLQFSGVPQVKPNPVYTFEFVFNDKEIFYREQLKNSSTHWRIVLTQDGDVLHLLWIEQTQSWFLYETANTDNCESYALCGANGICSINNSPVCSCLHGFVPEVPSEWDKTDWSSGCVRKIAPNCFRDEFRKISGVKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYTNLDIRDGGSGCLLWFNDLIDIRTFFQNEQDIFIRMDASEPDKDDSPKVDTKSKVKKRIVVSTVLSTGILFIGLCLVLYVWKKKQQKNSNFQRRSNNKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDETMLVYEFLPNKSLDFFIFDETQSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANIY >Potri.014G071900.4.v4.1 pep chromosome:Pop_tri_v4:14:4568807:4575853:-1 gene:Potri.014G071900.v4.1 transcript:Potri.014G071900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071900.v4.1 MEPTTRYLILQSFLRHCSPCFCCCFDSQQAYHFLFEVSAKFGCFGFPSDVDYVIIHMFFYFPKFLQNTLTHAPTAAVSSSNSRQDLSQKSAHSTRNIPVIKNQTDKAITSKQRQDSGNGYDAKLIEMINTAIVDKSPSVKWEDVAGLEKAKQSLMEMVILPTRRRDLFTGLRKPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFIVAISRQPSVIFMDEIDSIMSTRLANENDASRRLKSEFLIQFDGVTSNPNDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDGNVRRVLLKHKLKGRAFSLPGGDLEKLVRETEGYSGSDLQALCEEAAMMPIRELGANILTVKANQVRPLRYEDFQKALAVIRPSLSKSKWGDLERWNEEFGSN >Potri.014G071900.1.v4.1 pep chromosome:Pop_tri_v4:14:4568809:4575863:-1 gene:Potri.014G071900.v4.1 transcript:Potri.014G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071900.v4.1 MTSFSVFHARLLLREMSFLKGIIDSLSTIFSVPEEDSHSQHQNPNSTSTSTPMDGMDPGTRVSNERIAYKLKGYFDLATEEIDKAVRAEEWGLIDDAIVYYKNAQRILIEAASTPSPSYISPRELEKVKSYRQKISKWQGQVSERLQVLNRRGAGTSTSKNTLTHAPTAAVSSSNSRQDLSQKSAHSTRNIPVIKNQTDKAITSKQRQDSGNGYDAKLIEMINTAIVDKSPSVKWEDVAGLEKAKQSLMEMVILPTRRRDLFTGLRKPARGLLLFGPPGNGKTMLAKAVASESEATFFNVSASSLTSKWVGEAEKLVRTLFIVAISRQPSVIFMDEIDSIMSTRLANENDASRRLKSEFLIQFDGVTSNPNDLVIVIGATNKPQELDDAVLRRLVKRIYVPLPDGNVRRVLLKHKLKGRAFSLPGGDLEKLVRETEGYSGSDLQALCEEAAMMPIRELGANILTVKANQVRPLRYEDFQKALAVIRPSLSKSKWGDLERWNEEFGSN >Potri.004G058251.1.v4.1 pep chromosome:Pop_tri_v4:4:4868360:4868823:-1 gene:Potri.004G058251.v4.1 transcript:Potri.004G058251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058251.v4.1 MCHNPTVTFMWVRKKSTVHPPLQSIRPVFRGPMVVTRFRQVNSKPPTTMRKTPSIGIIRTYIHVSLEVPLLGG >Potri.004G020100.1.v4.1 pep chromosome:Pop_tri_v4:4:1449415:1450492:-1 gene:Potri.004G020100.v4.1 transcript:Potri.004G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020100.v4.1 MDCLQENFFETPVHLFSGFAPRSRKVVETPIQCSTTAFYKFFKKQANFLPNVCGSVVQTIGLVDSNKSWVNTVGSRKVIEILSAESCRDTAEKFKYIVEAIDDRSRKITYKLLEGSLLQLYDNFTVTLQVTSASTAKWTIEYQKKKPTSEDPDFYLKLFPTINATVDIYLRSNDD >Potri.005G211050.1.v4.1 pep chromosome:Pop_tri_v4:5:21525616:21527085:1 gene:Potri.005G211050.v4.1 transcript:Potri.005G211050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211050.v4.1 MFKAASWGFLFPSLCEQTCVKPSLAPPIWQLIEKCCSTRYIYIASTFHFARIVS >Potri.016G106400.2.v4.1 pep chromosome:Pop_tri_v4:16:11125243:11125928:-1 gene:Potri.016G106400.v4.1 transcript:Potri.016G106400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106400.v4.1 MKMEVDKSEPTAMAEKARGSSSRSNRGGQPSWDHPQGKCPHCGLLGHSKSRCFELIGYPKNWDRTHDPWSNMSRASVAETKNDLDQIADKASAMIAATGSDGHPDAENDWLWY >Potri.007G100600.2.v4.1 pep chromosome:Pop_tri_v4:7:12503159:12509432:1 gene:Potri.007G100600.v4.1 transcript:Potri.007G100600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100600.v4.1 MLADFLASTPLLSESWRLCNLATANSPQSFVVDQVGSIGYVAFSGTLFVSGSDPSFKNLVRLPVHDVAGNDLFVPLHDQNEGEEPVMVQGALLRIFENIYSDPSFQNQMSTLMQTSQSIIFTGHSIGGTAASLAALWLLSYLQSNSPNLSVLCITFGSPLLGNETLSRAILRERWGGKFCHVVSKYDLMPRILFAPLDPIAPLIKPLLQFWHLYMTSPHLGLLAVQRNDEYEAEIFQFVLVHLGRLVEAGEEAVTGVFRPFGNYFFCSEDGAICVDNVESVIKMMYLLLATGSPSYSIEDHLKYGDYVERISSQFLERKSSMEGELPESSYEAGVVLALQSSGIASQEPVAGRAKDCLKAARRMGRTPNLNCANLAIKLSRINPYRAEIEWYKALCDRSDDQMGYYDSFKRRGASKRDFKVNLNRHKLAQFWDNVIDLMESNQLPHDFHRHGKWVYSSQSYKLLVEPLDIAEYYRTGMHHSKGHYINHGRERRYQIFDRWWKNVRVEENKRSKFASLTQDTCFWAKVEEARGLLDDVGNTRDPSHSAFLWKNMDGFANYAKALVEAKEVSIDVVAKNSSYSLWLKDYNELKSQREQFRPQFSGFMNREIVP >Potri.007G100600.6.v4.1 pep chromosome:Pop_tri_v4:7:12504582:12509431:1 gene:Potri.007G100600.v4.1 transcript:Potri.007G100600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100600.v4.1 MCMQMSTLMQTSQSIIFTGHSIGGTAASLAALWLLSYLQSNSPNLSVLCITFGSPLLGNETLSRAILRERWGGKFCHVVSKYDLMPRILFAPLDPIAPLIKPLLQFWHLYMTSPHLGLLAVQRNDEYEAEIFQFVLVHLGRLVEAGEEAVTGVFRPFGNYFFCSEDGAICVDNVESVIKMMYLLLATGSPSYSIEDHLKYGDYVERISSQFLERKSSMEGELPESSYEAGVVLALQSSGIASQEPVAGRAKDCLKAARRMGRTPNLNCANLAIKLSRINPYRAEIEWYKALCDRSDDQMGYYDSFKRRGASKRDFKVNLNRHKLAQFWDNVIDLMESNQLPHDFHRHGKWVYSSQSYKLLVEPLDIAEYYRTGMHHSKGHYINHGRERRYQIFDRWWKNVRVEENKRSKFASLTQDTCFWAKVEEARGLLDDVGNTRDPSHSAFLWKNMDGFANYAKALVEAKEVSIDVVAKNSSYSLWLKDYNELKSQREQFRPQFSGFMNREIVP >Potri.007G100600.1.v4.1 pep chromosome:Pop_tri_v4:7:12503159:12509471:1 gene:Potri.007G100600.v4.1 transcript:Potri.007G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100600.v4.1 MDTETSPFETSEMLADFLASTPLLSESWRLCNLATANSPQSFVVDQVGSIGYVAFSGTLFVSGSDPSFKNLVRLPVHDVAGNDLFVPLHDQNEGEEPVMVQGALLRIFENIYSDPSFQNQMSTLMQTSQSIIFTGHSIGGTAASLAALWLLSYLQSNSPNLSVLCITFGSPLLGNETLSRAILRERWGGKFCHVVSKYDLMPRILFAPLDPIAPLIKPLLQFWHLYMTSPHLGLLAVQRNDEYEAEIFQFVLVHLGRLVEAGEEAVTGVFRPFGNYFFCSEDGAICVDNVESVIKMMYLLLATGSPSYSIEDHLKYGDYVERISSQFLERKSSMEGELPESSYEAGVVLALQSSGIASQEPVAGRAKDCLKAARRMGRTPNLNCANLAIKLSRINPYRAEIEWYKALCDRSDDQMGYYDSFKRRGASKRDFKVNLNRHKLAQFWDNVIDLMESNQLPHDFHRHGKWVYSSQSYKLLVEPLDIAEYYRTGMHHSKGHYINHGRERRYQIFDRWWKNVRVEENKRSKFASLTQDTCFWAKVEEARGLLDDVGNTRDPSHSAFLWKNMDGFANYAKALVEAKEVSIDVVAKNSSYSLWLKDYNELKSQREQFRPQFSGFMNREIVP >Potri.007G069600.1.v4.1 pep chromosome:Pop_tri_v4:7:9048606:9053997:1 gene:Potri.007G069600.v4.1 transcript:Potri.007G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069600.v4.1 MSLLNDLININLSDTTDKIIAEYIWIGGSGMDLRSKARTLPGPVTDPAKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRKGNNILVMCDAYTPAGEPIPTNKRFNAAKIFSNPAIAAEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCGVGADKSFGRDIVDSHYKACLYAGINISGINGEVMPGQWEFQVGPAIGISAGDEVWVARYILERIAEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGFAVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRDTEKDGKGYFEDRRPASNMDPYVVTSMVAETTIIWKP >Potri.004G076500.2.v4.1 pep chromosome:Pop_tri_v4:4:6357421:6360699:1 gene:Potri.004G076500.v4.1 transcript:Potri.004G076500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076500.v4.1 MNHNFFLTSFSPITSLFVVTLFLLEKKASCTDPQFLACNPESCGDGQNINFPFYIQNKQEPSCGYPGFSLSCNNKGKPVLKLSNNEYIIHEIYYHNQSLRVSNAAVFGKSTSCIPQIQNMSLADDRFRLPSNRASLFLLYNCNSTLLANDSKLLNYKVDCFGENGTVSTLAMLDDDPLLGPASDKCETGAVAPVDVYRGENVGSERMLLLERGFVLNWIASNCSICEESGGKCGFDNATYHFKCFCPDRPHSRACTSGNGNMGRKLIIAASAAGVGVLIITICCVIIRKFSPVNFLSSLRKTRGSRSIEVFLRNYGTLAPKRYSYSELKKMTKTFKEKLGQGGYGSVFKGNLPDGRLVAVKVLKKAKSNGEEFVNEVSSISQTSHVNIVTLLGFCFAGSKRALIYEFMSNGSLDKHIYEENLSKADHQLGWETLYQIAVGIARGLEYLHRGCNTRILHFDIKPNNILLDENFCPKISDFGLAKICPRKESIVSMMGARGTIGYIAPEVFCRNFGGVSHKSDVYSYGMLVLEMIGGRKNFCVGAGNTSEIYFPYLIYKRLELGEELGLQGTGNKVEEQIARKMILASLWCIQTDPSNRPPMSRVVEMLQGSLESLPIPPRPILSSPPRSPRGSISDSSSTAIIIHDL >Potri.004G076500.3.v4.1 pep chromosome:Pop_tri_v4:4:6357809:6360771:1 gene:Potri.004G076500.v4.1 transcript:Potri.004G076500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076500.v4.1 MLDDDPLLGPASDKCETGAVAPVDVYRGENVGSERMLLLERGFVLNWIASNCSICEESGGKCGFDNATYHFKCFCPDRPHSRACTSGNGNMGRKLIIAASAAGVGVLIITICCVIIRKFSPVNFLSSLRKTRGSRSIEVFLRNYGTLAPKRYSYSELKKMTKTFKEKLGQGGYGSVFKGNLPDGRLVAVKVLKKAKSNGEEFVNEVSSISQTSHVNIVTLLGFCFAGSKRALIYEFMSNGSLDKHIYEENLSKADHQLGWETLYQIAVGIARGLEYLHRGCNTRILHFDIKPNNILLDENFCPKISDFGLAKICPRKESIVSMMGARGTIGYIAPEVFCRNFGGVSHKSDVYSYGMLVLEMIGGRKNFCVGAGNTSEIYFPYLIYKRLELGEELGLQGTGNKVEEQIARKMILASLWCIQTDPSNRPPMSRVVEMLQGSLESLPIPPRPILSSPPRSPRGSISDSSSTAIIIHDL >Potri.015G009632.2.v4.1 pep chromosome:Pop_tri_v4:15:624618:627987:1 gene:Potri.015G009632.v4.1 transcript:Potri.015G009632.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009632.v4.1 MALRSKRSQAPKPIFRSKHADDYSGVYCEECGSGESPGELLLCDKCDKGFHLFCLRPILVSVPKGSWFCPSCSKQKMPKSFPLVQTKIIDFFRIKRSTESTQKLSQDIQKKRKRSGSLVMSKKRRKLLPFNPSEDPERRLEQMRSLATALTASGTEFSNELTYRPSMALRSANQPALEKGGMQVLTKEDVETLNLCKRMINRGEWPPLMVVFDPEEGFTVEADRFIRDLTIITEYVGDVDYLKNRENDDGDSMMTLLHAANPSQSLVICPDKRGNVARFINGINNHTQEGKKKQNLKCVRYDVNGECRVLLIANRDISKGERLYYDYNGYEHEYPTEHFV >Potri.003G210900.1.v4.1 pep chromosome:Pop_tri_v4:3:20877937:20883449:-1 gene:Potri.003G210900.v4.1 transcript:Potri.003G210900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210900.v4.1 MANQLPQISVLGAGIFVKTQYIPRLAEISHLFVLKSIWSRSEESAREAVEVAKEHFPGVECKWGDKGLDEIIQDESILGVAVVLAAQYQVDMSLKLLKAGKHVLQEKPAASSISEIETSLSSYKSICANSPGYPIWAVAENYRFEPALVESKKLLADIGKMMSVQLIIEASMNSANPYFSSSWRRNYTGGFILDMGVHFIAGLRMLVGCEVTSVSAMTSHVDTTLPPPDNISSVFHLENGCSGVFAMVVSSKTPKILWRIVGINGTVEIGRGNQGGQHGYMISFYGAGGHCKSNFYPFSGVTAELKAFLHDISQANLKKGSSYEVEPRLSFIEGARDVAVLDAMLESGNKNGALVQVKKF >Potri.003G210900.4.v4.1 pep chromosome:Pop_tri_v4:3:20877937:20883449:-1 gene:Potri.003G210900.v4.1 transcript:Potri.003G210900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210900.v4.1 MANQLPQISVLGAGIFVKTQYIPRLAEISHLFVLKSIWSRSEESAREAVEVAKEHFPGVECKWGDKGLDEIIQDESILGVAVVLAAQYQVDMSLKLLKAGKHVLQEKPAASSISEIETSLSSYKSICANSPGYPIWAVAENYRFEPALVESKKLLADIGKMMSVQLIIEASMNSANPYFSSSWRRNYTGGFILDMGVHFIAGLRMLVGCEVTSVSAMTSHVDTTLPPPDNISSVFHLENGCSGVFAMVVSSKTPKILWRIVGINGTVEIGRGNQGGQHGYMISFYGAGGHCKSNFYPFSGVTAELKAFLHDISQANLKGSSYEVEPRLSFIEGARDVAVLDAMLESGNKNGALVQVKKF >Potri.003G180400.1.v4.1 pep chromosome:Pop_tri_v4:3:18617200:18621452:-1 gene:Potri.003G180400.v4.1 transcript:Potri.003G180400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180400.v4.1 MLCRKNLSCKDTGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGIEYAFGAHEYPTTGIFEAEPKQCDGFTFRKTILIGKTDLGPEEVRAMMEELAEVYGGNAYNLITKNCNHFCNDACLRLTGNPIPSWVNRLARIGFLCNCVLPANLNSTRVQHHKIEDKACEGEKKKLTSESNRFTPSNSSSSSSSSPVVRGRSRSRRALPPSSPLILRSSSP >Potri.003G180400.3.v4.1 pep chromosome:Pop_tri_v4:3:18619117:18620956:-1 gene:Potri.003G180400.v4.1 transcript:Potri.003G180400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180400.v4.1 MKSTILVRLKRGTYYAAVHGIEYAFGAHEYPTTGIFEAEPKQCDGFTFRKTILIGKTDLGPEEVRAMMEELAEVYGGNAYNLITKNCNHFCNDACLRLTGNPIPSWVNRLARIGFLCNCVLPANLNSTRVQHHKIEDKACEGEKKKLTSESNRFTPSNSSSSSSSSPVVRGRSRSRRALPPSSPLILRSSSP >Potri.008G022232.1.v4.1 pep chromosome:Pop_tri_v4:8:1110154:1111682:-1 gene:Potri.008G022232.v4.1 transcript:Potri.008G022232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022232.v4.1 MAAKAGAAASFMFMLFFLNTACQAQLSPAFYDSSCPNALSAIRTAIRSAIASDRRMAASLIRLHFHDCFVQGCDASILLDETTSIQSEKTALGNLNSARGYNVIDKAKTEVEKICPGVVSCADIIAVAARDASAYVGGPSYAVKLGRRDSTTASRTLANAELPAFFESLESLISRFQKKGLTARDMVALSGSHTLGQAQCFTFRERIYNHSNIDAGFASTRRRRCPRVGSNSTLAPLDLVTPNSFDNNYFKNLMQNKGLLQSDQVLFNGGSTDSIVSEYSRNPARFRSDFGSAMIKMGDIGLLTGSAGQIRRICSAVNN >Potri.002G056100.3.v4.1 pep chromosome:Pop_tri_v4:2:3823666:3829559:1 gene:Potri.002G056100.v4.1 transcript:Potri.002G056100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056100.v4.1 MASSNSNSTSSKWEYDVFLSFRGADTRSGFTDHLYSALSREGIHTFRDANEIHIGEEIGPECLQGIEKSRFSIVILSKGYASSPWCLDELVHILRCRKEGHGVWPVFYDIDPSDVEEQKGSFEEAFAEHEKSFKDDMDKVEKWRDALREVSYLKGLDLRKHLDGHEAENIDYIVKEISVILDRTILRVAVHPVGLDSRAKEVISLLDDESIDVRIVGIIGMGGIGKTTLAKEVYNLVFKRFEGSCFLENVRQQIISSGIAYLQRQLLSDILKRKHEKIYNVDRGSKVIKERLRCKRVFIVLDDIEDKQEELDKILGNLDWLYPGSRVIITTRIKNLLQPSKLYRQYEVKELNGSDSLQLLSLHAFNKRCPNESYMDSASRIVSYAGGNPLALTVLGSDLCGQNIDVWNSRLEKLKVISHKGTHSILKISYDSLDVAEKSIFLDIACFFIGYKKDYVMSILDGCGFFPIDGINTLTRRCLVKVGANNKFLMHDLLRDMGREIVLQESFMDPGKRSRLWHKEDVIELLTDRTGTKAVEGLVLSLQGSKRFNTKAFKKMKRLRLLQLNFVCLEGNYEYISNKLRWLCWSEFPLKAIPDDLTLEHLIVLDMRYSSLQQFSEELKSLKKLKFLYLSHSHKLIETPNFEGFPSLEKLKLKDCISLVKVHDSIGLLSHLQFLNLQDCVDLKNLPGSICALSSLKKLNVSGCSKLEELPEHLGSLQSLVLLLADETAISTLPETIGDLKNLEKLSLHGCRLIFSPRKCPPTRRGLPASLLELDLGHCNLTDDMIPSDLQGLPLLQNLKLCRNNFTSLPASIGSLPKLTRLWLNECKSLQCIPELQSSLQLLHAKDCLSLETINLKNFWGEGTLELDGCPKLKAIEGYFNLESLGIEIVEKYLGTCGLFTEDSLPSINVHVINNLTRAATISPLQALSEKSIYSIFLPMSDIPTWFSHQNEGDSVSLQVPPLDHGCKFSGFSISAVYAWESSSAPCFFCPIIAVTNRTKNFHWNYSPKITFFMREVEQDLMWLSCWSFENQVEGIDDEDMSWRFRDEMEEGDRLDVWIDIGFRIAVKRCGIHLLYHHSDLQGSRLNDIITISHSGSSRHHRRLLSSSFQWLTFNRPRITSSKNYWDDSFRRWSVKREEKTDGIPVVPLRRRHCDCCALLRCTKEKKDAFKMEIDPL >Potri.008G197300.1.v4.1 pep chromosome:Pop_tri_v4:8:13958366:13958708:1 gene:Potri.008G197300.v4.1 transcript:Potri.008G197300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197300.v4.1 MASATTRVIFRVVVGILAILVLFYVGRPLYWKISATVQEIRENKRTVKQGISQIVFEAQKSVGWFHDESGSGARQNRRVRSLF >Potri.006G264000.1.v4.1 pep chromosome:Pop_tri_v4:6:26027863:26030550:1 gene:Potri.006G264000.v4.1 transcript:Potri.006G264000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264000.v4.1 MQEQRKYHRGDSDIGFKNYDCSSFSQLLKKVMTSSNSSGFQGENEMFQRDAQVGTKPEADWVRLEHQVECANTSSEALSVIPAAISVPHSASVTQKPMSKCELGLVIDQQNSHHETGLPRVVMDAPFADGYNWRKYGQKPVKGSKNSRSYYRCVHCSCYAKKKVQHCCQSGRVVDVVYIGDHNHDPPHRKCIRVISSAKPTVGSQIVDPSVQKLDGSDISVCSADGRRSSLHVPESEQQSSSSSNGNVGAKIEEKNGDEPESKRCFGPRAVEPQQNGPCGIAGTEVQEKHGAEPRLKIRIKERSAAHSVPVLKKEPEIAVHTVPDEGSSNDGYRWRKYGQKMLKGNSFIRSYYRCTSSACPARKHVERAADEATSTTITYEGKHDHGMPAPKKRHEHDIPVPKKRHGSESCLISPAASADNACCKKNRSLSSRRPSSKCSMDSEVDIMGEKILELGGEEALESAQTLLSIGVELKPC >Potri.001G446800.1.v4.1 pep chromosome:Pop_tri_v4:1:47166432:47167649:-1 gene:Potri.001G446800.v4.1 transcript:Potri.001G446800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G446800.v4.1 MAADVSSMVNSSSNSEILITKDLLGGFSKVSNKDLDLELQVTKTWDVPLNLKSAGKVYSQRCNSVSSPLPSLNNTKHHKQRTTQESEVQDSNSPPLKFLEESCLELKLVPSSHFESVCTLDKVKSALQRAEKETMTKKRSPPPTPPASDIKEDEISTPFSSTGIFAAACPGCLLYVITLKKNPKCPSCNFTVPSPLVTKKPRINLNASL >Potri.008G209401.1.v4.1 pep chromosome:Pop_tri_v4:8:16050332:16051371:-1 gene:Potri.008G209401.v4.1 transcript:Potri.008G209401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G209401.v4.1 SWTKAMLHTFCDIWIKAIERGMRPNTHFDKAGWKFFIQSFKEQTRLSLTKDQLKNKWDGIKKDWRVCKKLITKTGVDWSTELGTISATDEWWKQKIQEMRGAKKFKHVSIKPSLCAKYDIMFSNRTVMGEYVWIPSQGLLSDEDNRAAGMRNTTNKETNREEGSGDPEEDAIPYFIHDSNMVGGSNIANSNSNPSSTKRKGSHNTTPQCRKNNRGTGMGAQLFICCSIEEVMEELQSIDGVNFGSALHTFAIEFFCARSKREMWVAMGSIDRKISWLKIMFEQQRKT >Potri.013G045700.1.v4.1 pep chromosome:Pop_tri_v4:13:3210511:3211497:-1 gene:Potri.013G045700.v4.1 transcript:Potri.013G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045700.v4.1 MGGIAYLLSFILIHELLLSSHISNTGKASAWEAPPSWSSPDVISSNEISYYKLKGVSRRTKPSPPPPRVNVPTHYKSPPPRPPPPPPPPPPPPPPPPPPPRRRRPPPPPPC >Potri.012G031251.1.v4.1 pep chromosome:Pop_tri_v4:12:2984991:2988608:-1 gene:Potri.012G031251.v4.1 transcript:Potri.012G031251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031251.v4.1 MGFTPPCLSQSLFFILFLFHFHSTISSPLSSNYQSLSLLQFKQSFSIDSSASSEYCQYPLPKTESWKEGTDCCLWDGVTCDLKTGHVTGLDLSCSMLYGTLLPNNSLFSLHHLQQLDLSFNDFNTSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNYDLSLEPLCFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLEVLDCGLQGKLPSSMGKFKHLQYLDLGGNNLTSPIPYDFEQLTELVSLDLSGNGYLSLEPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLIDCRLQGKLPSLMGKFKHLQYLRLRGNNLTGSIPCDFEQLIELVSLDLSENFYLSPEPICFDKLVRNLTKLRELNLASVNMSLVAPNSLTNLSSSLSSLSLGKCGLQGKFPGNIFLLPNLEFLYLSNNEGLTGSFPSSNLSNVLFLLGLSNTRISVYLENDLISNLKSLEYMSLRNCNIIRSDLALLGNLTQLTYLDLSSNNFIGEISSSIGNNTFSNLKYLLLFDNLFNGTIPSFLFALPSLQFLNLHNNNLIGNISEFQHHSLVNLDLSSNHLHGTIPSSIFKQENLEALILVSNSKLTGEISSSICKLRSLEVLDLSDNSLSGSIPLCLGNFSSKLSVLHLGMNNLQGTIPSTFSKGNSLEYLDLNGNELEGEISPSIINCTMLEVLDLGNNKIEDTFPYFLETLPELQILILKSNNLQGFVKGPTADNSFFKLWIFDISDNNFSGPLPTGYFNTLEAMMISDQNMIYLNTTNDIVCVHSIEMTWKGVEIEFPKIRSTIRVLDLSNNSFTGEIPKVIGKLKALQQLNLSHNFLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQMAHLTFLATLNLSHNQLEGPIPSGEQFNTFDARSFEGNSGLCGFQVLKECYGDEAPSLPPSSFNEGDDSTLFGEGFGWKAVTIGYGCGFLFGVATGYVVFRTNKPSWLLRMVEDIWNLKSKNTKKNFRRYGARRN >Potri.012G031251.2.v4.1 pep chromosome:Pop_tri_v4:12:2985057:2988483:-1 gene:Potri.012G031251.v4.1 transcript:Potri.012G031251.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031251.v4.1 MGFTPPCLSQSLFFILFLFHFHSTISSPLSSNYQSLSLLQFKQSFSIDSSASSEYCQYPLPKTESWKEGTDCCLWDGVTCDLKTGHVTGLDLSCSMLYGTLLPNNSLFSLHHLQQLDLSFNDFNTSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNYDLSLEPLCFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLEVLDCGLQGKLPSSMGKFKHLQYLDLGGNNLTSPIPYDFEQLTELVSLDLSGNGYLSLEPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLIDCRLQGKLPSLMGKFKHLQYLRLRGNNLTGSIPCDFEQLIELVSLDLSENFYLSPEPICFDKLVRNLTKLRELNLASVNMSLVAPNSLTNLSSSLSSLSLGKCGLQGKFPGNIFLLPNLEFLYLSNNEGLTGSFPSSNLSNVLFLLGLSNTRISVYLENDLISNLKSLEYMSLRNCNIIRSDLALLGNLTQLTYLDLSSNNFIGEISSSIGNNTFSNLKYLLLFDNLFNGTIPSFLFALPSLQFLNLHNNNLIGNISEFQHHSLVNLDLSSNHLHGTIPSSIFKQENLEALILVSNSKLTGEISSSICKLRSLEVLDLSDNSLSGSIPLCLGNFSSKLSVLHLGMNNLQGTIPSTFSKGNSLEYLDLNGNELEGEISPSIINCTMLEVLDLGNNKIEDTFPYFLETLPELQILILKSNNLQGFVKGPTADNSFFKLWIFDISDNNFSGPLPTGYFNTLEAMMISDQNMIYLNTTNDIVCVHSIEMTWKGVEIEFPKIRSTIRVLDLSNNSFTGEIPKVIGKLKALQQLNLSHNFLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQMAHLTFLATLNLSHNQLEGPIPSGEQFNTFDARSFEGNSGLCGFQVLKECYGDEAPSLPPSSFNEGDDSTLFGEGFGWKAVTIGYGCGFLFGVATGYVVFRTNKPSWLLRMVEDIWNLKSKNTKKNFRRYGARRN >Potri.003G143700.3.v4.1 pep chromosome:Pop_tri_v4:3:15891748:15897831:-1 gene:Potri.003G143700.v4.1 transcript:Potri.003G143700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143700.v4.1 MRLLKVATCNLNQWAMDFDCNLNNIKESITQAKQAGAVIRLGPELEITGYGCEDHFLELDTINHGWECLKEILVGDWTDGILCSIGMPVIKGSERYNCQVLCFNRKIIMIRPKMWLANDGNYRELRWFTAWKHKDQLVDFQLPSEIAEAVLQQSVPFGYGYVRFLDTAVAAEVCEELFTPIPPHAELALNGVEVFMNASGSHHQLRKLDVRLRAFIGATHTRGGVYMYSNQQGCDGGRLYYDGCSCVVVNGEVVVQGSQFSLRDIEVVTAQVDLDAVASLRGSISSFQEQASCKNTVSSVLVPYKLCQPFNMQMSLSSPLQINYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIEEGDEQVKADAIRIGNYTDGQFPTDSKEFAKRIFYTVFMGSENSSEYTKKRAKDLADEIGSWHLDVSIDGVVSALLSLFQTLTGKRPCYKVDGGSNIENLGLQNIQARIRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRAFLRWAAVHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCYRWGSRLSPSEVADKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFSKIDELVKELDGDKVAFGETSDQDKSRANGLGMGVVAAGSGDPKSGL >Potri.006G209100.2.v4.1 pep chromosome:Pop_tri_v4:6:21704088:21708869:1 gene:Potri.006G209100.v4.1 transcript:Potri.006G209100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209100.v4.1 MFSASILLQILACAIYNNWWPMLSALMYVLVPMPCLFFGGGSTQFLTSRDGGGWIDAAKFLTGASAVGSIAIPIILRHAHMIETGAMWIEFTSFVIFVCTVMCFHRASLEDEW >Potri.017G075100.2.v4.1 pep chromosome:Pop_tri_v4:17:8268878:8274104:-1 gene:Potri.017G075100.v4.1 transcript:Potri.017G075100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075100.v4.1 MMSFHSSLKDEIVDDIEARIAAWTFLPQENGESIQILHYEHGQKYEPHFDYFHDKANQELGGHRVVTVLMYLSNVGKGGETVFPNSEGKTIQPKDDSWSDCAKNGYAVKPQKGDALLFFSLHPDATTDTNSLHGSCPVIEGEKWSATKWIHVRSFEKSLKHAASGGCIDENENCPLWAKAGECQKNPVYMVGSEGSYGSCRKSCKVCSS >Potri.017G075100.1.v4.1 pep chromosome:Pop_tri_v4:17:8268880:8274107:-1 gene:Potri.017G075100.v4.1 transcript:Potri.017G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075100.v4.1 MECRFFVALCLCSMLVNFPLFSCSSIRLHPHKKILQKKSVFDPTRVTQLSWNPRAFLYKGFLSDEECDHLMNLARDKLEKSMVADNESGKSIESEVRTSSGMFIGKSQDEIVDDIEARIAAWTFLPQENGESIQILHYEHGQKYEPHFDYFHDKANQELGGHRVVTVLMYLSNVGKGGETVFPNSEGKTIQPKDDSWSDCAKNGYAVKPQKGDALLFFSLHPDATTDTNSLHGSCPVIEGEKWSATKWIHVRSFEKSLKHAASGGCIDENENCPLWAKAGECQKNPVYMVGSEGSYGSCRKSCKVCSS >Potri.009G146700.3.v4.1 pep chromosome:Pop_tri_v4:9:11623063:11628761:1 gene:Potri.009G146700.v4.1 transcript:Potri.009G146700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146700.v4.1 MEMDAVPSISEIVESNEELDFELKMDEKARKHSVLKLGNRYSIEDDINRLFESIEIRTSTKHNDEVVKDALRKKAMKRPMRVGSPQMSGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPTSSSRSSEVGTIKRLYRAVVVEADGSGLPLNEGNGNLVEISLVPEKITSNSSEKMFESSEMVKAELSHQTLHSSRDQVVPLPTGFDSEISKEEVGELKSTDCSFTSHVGEKPKAADEIALASIKVSVKTPVPGEEKNHLHASSLLSVSGSSPGRTVNNSVCNAPCFIKPIFRSKNFIKKKVKQDSASASSSSNPSNKKVNNDLNPSTSNSDSTMHDCMLESGRRGGAKASPASSSTNHSTEYNSIIADTSSGRLGSSLNGVNRTRSISTKVDERSRSREKGEFSQSSKSSIGDYSSSTSISDDSNLSGSSRSGSRPHMSKDVRWEAIRHIQKQHGSFCLKHFKLIKKLGCGDIGSVYLAELSGTNCLFALKVMDNDYLASRKKMSRALTERKILQMLDHPFLPTLYAHFVSDKLSCLVMEYCPGGDLHVLRQKQPGRSFAEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLSDFDLSLRCAVNPVLLQSSTPAEEPAKKMSSPCSEASCIDPFCLHPSWHVSCFTPRLLSVAAAKSQKLKSDLAAQVSPLPQVVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEETLSNVVSRSLKFPSSPIVSFHARDLIRGLLIKEPENRLGSAKGAAEIKQHPFFDGLNWALIRCTIPPELPKHCDAGITSITFSQNKDCTKFKDVKDTEEQRVFEMF >Potri.009G146700.4.v4.1 pep chromosome:Pop_tri_v4:9:11623097:11628761:1 gene:Potri.009G146700.v4.1 transcript:Potri.009G146700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146700.v4.1 MEMDAVPSISEIVESNEELDFELKMDEKARKHSVLKLGNRYSIEDDINRLFESIEIRTSTKHNDEVVKDALRKKAMKRPMRVGSPQMSGIGISEPVSLKQALRGLCISQASEMAAMKRLSRPTSSSRSSEVGTIKRLYRAVVVEADGSGLPLNEGNGNLVEISLVPEKITSNSSEKMFESSEMVKAELSHQTLHSSRDQVVPLPTGFDSEISKEEVGELKSTDCSFTSHVGEKPKAADEIALASIKVSVKTPVPGEEKNHLHASSLLSVSGSSPGRTVNNSVCNAPCFIKPIFRSKNFIKKKVKQDSASASSSSNPSNKKVNNDLNPSTSNSDSTMHDCMLESGRRGGAKASPASSSTNHSTEYNSIIADTSSGRLGSSLNGVNRTRSISTKVDERSRSREKGEFSQSSKSSIGDYSSSTSISDDSNLSGSSRSGSRPHMSKDVRWEAIRHIQKQHGSFCLKHFKLIKKLGCGDIGSVYLAELSGTNCLFALKVMDNDYLASRKKMSRALTERKILQMLDHPFLPTLYAHFVSDKLSCLVMEYCPGGDLHVLRQKQPGRSFAEQAARFYVAEVLLALEYLHMLGVVYRDLKPENILVREDGHIMLSDFDLSLRCAVNPVLLQSSTPAEEPAKKMSSPCSEASCIDPFCLHPSWHVSCFTPRLLSVAAAKSQKLKSDLAAQVSPLPQVVVEPTSARSNSFVGTHEYLAPEIIKGEGHGSAVDWWTFGIFLFELLYGRTPFKGSGNEETLSNVVSRSLKFPSSPIVSFHARDLIRGLLIKEPENRLGSAKGAAEIKQHPFFDGLNWALIRCTIPPELPKHCDAGITSITFSQNKDCTKFKDVKDTEEQRVFEMF >Potri.010G012800.1.v4.1 pep chromosome:Pop_tri_v4:10:2261294:2267292:-1 gene:Potri.010G012800.v4.1 transcript:Potri.010G012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G012800.v4.1 MPGPTVEMEPIEPQSVKKLSFKSLKRTSDLFSPTHAQLAPPDPESKKIRMNHKINLEYKGIKSTCEPQQVNSATTEASGPSNVLALPGSGDSSVSQKSGAQNALVVGPSLQSKARSDGGVSGKSTAVITASGSSERNFSTSAIMERIPSKWPRPVWRPPWKNYRVISGHLGWVRSVAFDPSNTWFCTGSADRTIKIWDVGSGRLKLTLTGHIEQVRGLAVSQRHTYMFSAGDDKQVKCWDLEQNKAIRSYHGHLSGVYCLALHPTIDLLLTGGRDSVCRVWDIRTKVQVFALSGHDNTVCSVFTRPTDPQVVTGSHDSTIKFWDLRYGKTMLTLTHHKKSVRAMALHPTEHCFASASADNIKKFNLPKGEFLHNMLSQQKTIINAMAVNEDGVMATGGDNGSLWFWDWKSGHNFQQAQTIVQPGSLDSEAGIYAVCYDVSGSRLVTCEADKTIKMWKEDEDATPETHPLNYKPPKDIRRF >Potri.014G185372.1.v4.1 pep chromosome:Pop_tri_v4:14:15342358:15342606:-1 gene:Potri.014G185372.v4.1 transcript:Potri.014G185372.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185372.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.015G068800.1.v4.1 pep chromosome:Pop_tri_v4:15:9442435:9443834:1 gene:Potri.015G068800.v4.1 transcript:Potri.015G068800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068800.v4.1 MGSQHGNNNSLCEKSMKMVVNIIKLSSFSIAKMSLGTPSPPVATKSLAHVTGSVVVAKEPLLSQIPGSRRSQEPQGSSEPKSFVMQPDEGNGSSHVIHEDNSVINGRASDYIRKVHEKNRNDALETSKLTPYIPPPPPRAVKSLS >Potri.005G030318.1.v4.1 pep chromosome:Pop_tri_v4:5:1965626:1976399:-1 gene:Potri.005G030318.v4.1 transcript:Potri.005G030318.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030318.v4.1 MASTSSPTTPYLKLDVFLSFRGTDTRNSFTSHLYDALQRNQIDAYIDNKLDGGEKIEPALLERIEESFISLVIFSENYADSTFCLRELSKILECMETKQQMVLPVFYRLDPSHVQNLTGSYGDALCKHERDCSSEEVESWRRASKEIANLKGWDSNVIKDETKLIQEIVTDIQKKLNHELSPSFDSKRLVGMKSRVEDIESLLSFGSTGVLIVGIWGMGGIGKSTTAETVYHRNCSKFEGHCCFQDVREESRKHGVDHVRQEILGKVLEKNDLKIHGKVLPSAIKRMLQRKKVLIVLDDVNDPQHLKYLLGEDGLFGQGSRIIVTSRDRRVLENACDEDRIYEVKILDEDDALRLFSLHAFKQDRPIEGYTGLSKTVVSCVKGIPLVLEVLGGNLCNKRSVEYWESKVAQLRTNGGEDIKKHLEMCYHELDQTEKKIFLDIACFFGRCKKDFLQQTLDLEERSGIDRLADMCLIKIVQDKIKMHDVLLKLGKKIVLQENVDPRERSRLWEADDIYRALTTQGTGSKVESISLILDSTKELTLSPTAFEGMYNLRLLKIYYPPFLKDPSKEQIMNRKRVGIHLPRGLHFLSSELRFLYWYNYPLKSFPSIFFPEKLVQLEMPCCQLEQLWNEGQPLEKLKSLKSLNLHGCSGLASLPHSIGMLKSLDQLDLSGCSSLTSLPNNIDALKSLKSLNLNGCSRLASLPNSIGVLKSLDQLDLSGCSRLASLPDSIGALKSLKSLNLSGFSRLASLPNSISMLKSLDQFDLSDCSRLVSLLESIGAFKSLKSLNLSGCSRLASLPDKIGELKSLKLLKLHGCSGLASLPDNIGELKSLTSLNLSRCSGLASLPDSIGVLKCLAKLHLTGCSGLASLPDSIDKLKCLDTLHLSGCSRLASLPNNIGALKSLYQLDLSGCSRLESLPDSIGGLKCLTKLHLTGCSGLTSLPDSIDRLKSLDTLHLSGCSGLASLPNNINRLNYLIVLNLCGFSRLASLPNSIGELKSLKLLHLSGCSRLASLPDRIDELKSLKSLNLNGCSGLASLPDRIGELKSLEWLNLHDCSGLTSLPDRIVELKSLKSLNLNGCLGLESLPDSIGELRCLTMLNLSGCLKLTSLPDSIGMLKCLYALHLTGCSGLESLPDSIDELRCLTTLDLSGCLKLASLPNNIIDLEFKGLDKQRCYMLSGFQKVEEIASSTYKLGCHEFLNLGNSRVLKTPERLGSLVWLTELRLSEIDFERIPASIKHLTKLSKLYLDDCKRLQCLPELPSTLQVLIASGCISLKSVASIFMQGDREYEAQEFNFSGCLQLDQNSRTRIMGATRLRIQRMATSLFYQEYHGKPIRVRLCIPGSEVPEWFSYKNREGSSVKIRQPAHWHRRFTLCAVVSFGQSGERRPVNIKCECHLISKDGTQIDLNSYFYEIYEEKVRSLWEREHVFIWSVHSKCFFKEASFQFKSPWGATDVVVGCGVHPLLVNEPEQPNPKTDGKCLTNS >Potri.005G103600.1.v4.1 pep chromosome:Pop_tri_v4:5:7511840:7512890:1 gene:Potri.005G103600.v4.1 transcript:Potri.005G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G103600.v4.1 MSGKYRVRSVSLPSRSHPTTLTVEEELNKLKAWQALSTSGSICNGLLGVEDLYKYVDEFLNLASTQEVLSRHENGKCHNELLDGSVRLLDVCSIARDTMLRFKEQIQALQSALRRRKGDSSIESSVATFTCFRKKMKKDAKKLIASLKQMDNKLGASSLLDQDQHLSAVIKVIREVNVINCSIFQSLLLFMSTSSKPKQSRWSLVSKLMHKGEIACEEKQENVNELVAVYAALSEVSDSEKVKITYKKLEALVISIEDLESCLERVFRVLIKTRASLLNVISL >Potri.015G005600.5.v4.1 pep chromosome:Pop_tri_v4:15:333828:338025:1 gene:Potri.015G005600.v4.1 transcript:Potri.015G005600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005600.v4.1 MLESWTLLCGRRRELRKKTSIFSLSLVIAFTEVLAKTKMEEKEKGSKGGSEERWKGAIANLTGMTLNLDSLQKLLLKKAVFVNEETFSKASLTSEQARSIKVLEQRVETLERELDAAISAAAHARAEKRQAEAAQKDAELRAQEITRELESTTKVFELHMEELRAKQEEISKRESDIKLLEAIIQTLGGKESRSTNYSRKIFVIMISPFIFHDETRTFFLGGDSNNAWQEHFKQVKTIKLHDH >Potri.015G005600.4.v4.1 pep chromosome:Pop_tri_v4:15:333828:337260:1 gene:Potri.015G005600.v4.1 transcript:Potri.015G005600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005600.v4.1 MLESWTLLCGRRRELRKKTSIFSLSLVIAFTEVLAKTKMEEKEKGSKGGSEERWKGAIANLTGMTLNLDSLQKLLLKKAVFVNEETFSKASLTSEQARSIKVLEQRVETLERELDAAISAAAHARAEKRQAEAAQKDAELRAQEITRELESTTKVFELHMEELRAKQEEISKRESDIKLLEAIIQTLGGKESRSTSG >Potri.015G005600.6.v4.1 pep chromosome:Pop_tri_v4:15:333908:337170:1 gene:Potri.015G005600.v4.1 transcript:Potri.015G005600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005600.v4.1 MLESWTLLCGRRRELRKKTSIFSLSLVIAFTEVLAKTKMEEKEKGSKGGSEERWKGAIANLTGMTLNLDSLQKLLLKKAVFVNEETFSKASLTSEQARSIKVLEQRVETLERELDAAISAAAHARAEKRQAEAAQKDAELRAQEITRELESTTSMPTISSFQLYICERKIRGI >Potri.002G067400.2.v4.1 pep chromosome:Pop_tri_v4:2:4652801:4658248:1 gene:Potri.002G067400.v4.1 transcript:Potri.002G067400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067400.v4.1 MASSKVMASSKPRNSDPSSTTSSRSRRATSSSSATNTTAKPAYQSTDRLFQKESTMTVDGILRSVYASPSTESTLLDAQITLMESPDHLPHPQIETDDTDDQDMSDIIPQENKTADDIWREIVVGRKEMKDEPDEMMTLEDFLAKAGAVDVVGEDGDEVKMPPPERLSGGLYAFDSLPPSSFQVLDKEEGSIVGFGNGVEVELVAGSGGGGSGGGRGKRGRGVAMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKEERTKERFKQVLILFLDYFSSDTLKTLNPGITLYDVCK >Potri.002G067400.1.v4.1 pep chromosome:Pop_tri_v4:2:4652734:4657465:1 gene:Potri.002G067400.v4.1 transcript:Potri.002G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067400.v4.1 MASSKVMASSKPRNSDPSSTTSSRSRRATSSSSATNTTAKPAYQSTDRLFQKESTMTVDGILRSVYASPSTESTLLDAQITLMESPDHLPHPQIETDDTDDQDMSDIIPQENKTADDIWREIVVGRKEMKDEPDEMMTLEDFLAKAGAVDVVGEDGDEVKMPPPERLSGGLYAFDSLPPSSFQVLDKEEGSIVGFGNGVEVELVAGSGGGGSGGGRGKRGRGVAMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKEERTKERFKQLMEKVVPVVEKRRPRRALRRVNSLQW >Potri.011G073366.1.v4.1 pep chromosome:Pop_tri_v4:11:7470136:7478923:1 gene:Potri.011G073366.v4.1 transcript:Potri.011G073366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073366.v4.1 MDYIHYFFWSLEANQFSGTIPFQLGDLVNLTDLILSSNQLEGNLPNTLTKLNLTDFRASDNNLSGRIPDFIGNWSNLDRLDLTFNKLEGGIPREAKTYDFMFFSGNKLTGMMFPTITFHGCQAVEMPCYRSFHVNCGGPDVTSGSDAATIYSNKKSNLGFSNTGDFMDDGGNSPGYILTSKYSYFPTDTVYSTARRAAISLTYYGYCLENGMYTVKLDFAEIQFTDDELYKSVGKRFFDIYIQGKLEKQDFNIQKAAKGSNNASIVFNANVTENTFEIRLYWNGKGTTCIPKRGNYGPLISAITFKSHHPLDLDLVISPTGYIINKQILPYEPGFDFHHNSSKIPIVVGVVTSALLLVFLVMGVICWKFYFRDKFMRDRDLKGLDLKTGSFTLRQLRAATNNFDSADKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVVQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIREMISDPSIYGDDLHSKFLKGHCQQVMDQSLNSKQDLFPPSDKSWIGNSSTSAHDLYPINPESINLNISETSSLI >Potri.019G080000.1.v4.1 pep chromosome:Pop_tri_v4:19:12080355:12084288:1 gene:Potri.019G080000.v4.1 transcript:Potri.019G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080000.v4.1 MAKLVVEVHDACDLMPKDGHGSASPFVEVHFDEQRQRTQTKPRELNPIWNEKFSFNVNNPRDLPSKTIEVVVYNDRKGGHHKNFLGHVRISGNSVPLLSDSEAIDLQRYPLEKRGLFSHIKGDIALKIYAVHDGNHYPPPPTNAGNFETEATPAFQEINTNKLQAEDAIGDHEKKNKKKRKDKEVRTFHSIGTATGGPAAAAPPLVSSGFGFETHVMKEKAPTVETRTDFARAGPPTAMHMHMPKQNPEFLLVETSPPVAARMRYRGGDKMACAYDLVEQMRYLYVSVVKAKDLPAMDVSGSLDPYVEVKLGNYKGKTKYLEKNQSPVWKQNFAFSKDRLQSNLLEVTVKDKDFVTKDDFVGRVFFDLSEVPLRVPPDSPLAPQWYRLEDKRRIKTRGEIMLAVWMGTQADESFPEAWHSDAHDISHTNLANTRSKVYFSPKLYYLRVQIIEAQDLIPSDKGRMLEVSVKVQLGNQGRVTRSLQTRTINPIWNDELMFVASEPFEDFIIVSVEDRIGPGKDEILGRVILSVRDIPERLETHKFPDPRWFNLFKPSLAQEEGEKKKEKFSSKILLRLCLDAGYHVLDEATHFSSDLQPSSKHLRKPSIGILELGILSARNLLPMKGKDGRTTDAYCAAKYGNKWVRTRTILNTLNPRWNEQYTWEVYDPCTVITLGVFDNCHINGSKDDSRDQRIGKVRIRLSTLETHRIYTHYYPLLVLTPSGLRKHGELHLALRFTCTAWVNMVTQYGKPLLPKMHYVQPISVKHIDWLRHQAMQIVAARLSRAEPPLRREVVEYMVDVDYHMWSLRRSKANFLRIMSLLSGITAACKWYNDICNWRNPITTCLVHVLLFILVCYPELILPTIFLYLFVIGLWNYRFRPRHPPHMDTRLSQADNAHPDELDEEFDSFPASRPSDIVRMRYDRLRSVAGRVQTVVGDLASQGERAQALLSWRDPRATAIFILFSLIWAVFIYVTPFQVVAVLVGLYLLRHPRFRSKMPAVPVNFFKRLPSKTDILL >Potri.019G080000.2.v4.1 pep chromosome:Pop_tri_v4:19:12081586:12084289:1 gene:Potri.019G080000.v4.1 transcript:Potri.019G080000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080000.v4.1 MKEKAPTVETRTDFARAGPPTAMHMHMPKQNPEFLLVETSPPVAARMRYRGGDKMACAYDLVEQMRYLYVSVVKAKDLPAMDVSGSLDPYVEVKLGNYKGKTKYLEKNQSPVWKQNFAFSKDRLQSNLLEVTVKDKDFVTKDDFVGRVFFDLSEVPLRVPPDSPLAPQWYRLEDKRRIKTRGEIMLAVWMGTQADESFPEAWHSDAHDISHTNLANTRSKVYFSPKLYYLRVQIIEAQDLIPSDKGRMLEVSVKVQLGNQGRVTRSLQTRTINPIWNDELMFVASEPFEDFIIVSVEDRIGPGKDEILGRVILSVRDIPERLETHKFPDPRWFNLFKPSLAQEEGEKKKEKFSSKILLRLCLDAGYHVLDEATHFSSDLQPSSKHLRKPSIGILELGILSARNLLPMKGKDGRTTDAYCAAKYGNKWVRTRTILNTLNPRWNEQYTWEVYDPCTVITLGVFDNCHINGSKDDSRDQRIGKVRIRLSTLETHRIYTHYYPLLVLTPSGLRKHGELHLALRFTCTAWVNMVTQYGKPLLPKMHYVQPISVKHIDWLRHQAMQIVAARLSRAEPPLRREVVEYMVDVDYHMWSLRRSKANFLRIMSLLSGITAACKWYNDICNWRNPITTCLVHVLLFILVCYPELILPTIFLYLFVIGLWNYRFRPRHPPHMDTRLSQADNAHPDELDEEFDSFPASRPSDIVRMRYDRLRSVAGRVQTVVGDLASQGERAQALLSWRDPRATAIFILFSLIWAVFIYVTPFQVVAVLVGLYLLRHPRFRSKMPAVPVNFFKRLPSKTDILL >Potri.005G107900.2.v4.1 pep chromosome:Pop_tri_v4:5:7752969:7755638:1 gene:Potri.005G107900.v4.1 transcript:Potri.005G107900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107900.v4.1 MSTKERCSHLQRFWARKSAVGESVTKQEIAEFWRQKLDEEQDHLLYAIKAAARLRAHNLSEDDYKLFEESLKDDDDIKENVKSTEYGKNSEMRLGINDWWTKSKYAHLNQPAIESLDPPKKAVF >Potri.013G105300.1.v4.1 pep chromosome:Pop_tri_v4:13:11434700:11442795:1 gene:Potri.013G105300.v4.1 transcript:Potri.013G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105300.v4.1 MEEMTEPERVAGGGVALSFTVNDDKAMLNSPKVLPPRLGRRLLGEPKTPPSVEEIEAKLREANLRRQRYHQLLSSKARSSTSKSGLRDCLQAEEDLGQKIKARLNAAQQKRLSILTEAQMRLARLDEHRQEAKSGLEMRFEKERGELGMKVESRVQQAQANRMLLLKAYGQRRAARRERAAQSLTQKMTQEIKYKESVRAAIYQKRAAAERKRLGLLEAERTKAHSRILQVQRVATSIYSQREIERKRIKDQLEYKLQKAKKQRAEHLRQRRNLNSQAHFNSKTMHEQGEYLSRKLTRCWRRFVKLRKTTLSLAKAYMSLQINQESVKSMPFVQLALCIESATTIQIVKAFVDRLESRITLSQEVTGNLSSLSKIDHLLKYAALPSRKGPSSNATRRGAKMIKSSKLSRYPVRVLLCAYMIMGHPAEVFSGVGECEIVLADSAANFIQEFELLVKIIIDGPIKTSQEIASTNPSQKTFRSQLEAFDKAWCIYLHRFVAWKSKDAKLLEKDLVRAACHLELSLLQTCKLTSRNTRDMYGIKKQVLEEQKLLRETIQHLSGNGGLEHMEHALSDVRSRFVEAEKSGTSMASFTSDILSSFSRNSLEGSSISGFGEKRDLAECIGKSSHQILSLSQADDSSPVKELDPSPSKRTINSIVHSDSMLANENELLVNEILHEHHRGFDDSLNVTDEDQNSLKAKVRETMEKAFWDGITESMQQDEPDLSWVLKLMKEVRDELCEMSPQSWREEIVETIDVDILSQVLKSGTLDMDYLGRILEFALVTLQKLSAPANDEEIKTSHDNLLKELREISQAVDISNASFSLLMIKGLRFILKEIQILKTEISRARIRLVEPLIKGPAGLEYLKKAFADRYGSPTDATSLLPLTRKWMASVHAGAEQEWEEYVDSVSATTSDTQVSIPTALRTGGSVLTTSKIGPPTSTTGLEQPGCTGEKADLLIRLGLMKLVIGVGGLTLEALPETLKLNLSRLRRVQSQLQKIITISTSALVLRQTLLTENLVTSSVDMENVVSECAMKLSELLDSVEDVGILEIVDTISAVSKSSGHDSNDEKLRARKEVMSSMLVKSLQAGDAIFELVSRTIYLAMKGAVLGGSGSKGRELVETTLRRVGATLLSNRVMEAAEVLVVVAMVSLSVHGEWYEELIKNL >Potri.003G003300.5.v4.1 pep chromosome:Pop_tri_v4:3:494669:495677:1 gene:Potri.003G003300.v4.1 transcript:Potri.003G003300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003300.v4.1 MAAAAAASSYKKYETRSRNPDPKTSLLLVIDVQNYFSSMVNPILPQLLTTIDLCRRSSIPVLFTRHNHKSPPDYGMLGEWWDNDLVLDGTVEAELMPEIRRLAGQNEVVEKNTYSAFENTGLQERLVEMGVKEVIVTGVMTNLCCETTAREAFVKGFRVFFSTDATATSDLELHEATLKNLAYGFAYLVDCDRLQDGLLGK >Potri.003G046450.3.v4.1 pep chromosome:Pop_tri_v4:3:5438806:5456063:1 gene:Potri.003G046450.v4.1 transcript:Potri.003G046450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046450.v4.1 MGPRILVFLVVALSQGCTIPAMTDEADFTALKALKDIWKNVPPTWVGADPCGSRWEGILCANSRVTSITLASMGLKGSLSGDIQSLSELQILDLSYNKGLSGPLPSAIGDLKKLTNLILVGCSFWGPIPDSIGSLPLLASLSLNSNGFTGSIPSSIGNLTSLYWLDLADNRLTGTIPVSTATTPGLDLLVHTKHFHLGLNQLSGTIPPKLFSSEMKLIHVLFESNKLTGSIPSTLGLVKTLEVVRLDNNSFRGPVPSNINSLTSVSTMFLSNNDLTGPLPNLTGMNALTYLDMRNNSFDDTEFPPWLSTLQSLKTLLADNPICQETAVTKSYCTVSQPNSSYATPPNNCVPASCFPKQHSSPNCKCAFPYTGLLGFRAPSFSDLGNITYFSVLEKSLMNSFKSHQFPVDSVHLSQPRKDLSQYLDLNLQVFPFGQDRFNRTAILSIGFMLSNQTFKPPAQFGPFFFIGDTYLHFTGEVRGSKKSSSTGAIIGAAAGGSVLLLLLLGAGLYAFGQKMKAEKAIQQNNPFAHWESNNGVGGVPQLKGARCFSFEEIKKYTNNFSETNDIGSGEYGKVYRGVLPTGELITIKRALREWMQPGLEFKTEIELLSRVHHRNVVSLVGFCLERGEQMLIYKFVSNGSLMESLSGKTGIRLDWMRRLKVALGAARGLAYLHELANPPIIHRHIKSTNILLDESLNAKVADFGLSKLMGDSEKGRVTTQGYLDPEYYMTLQLTEKSDVYSFGVVMLELLTGRRPVERGKYVVREVKMALDRAKDLYNLRELLDPSIGLDTTLKGLDKFVDVALKCVQENGSDRPTMGEVVNEIENILQLAGLNPNADSASTSASYDDVSKGSAKHPYKNSKDSFEYSEGFPDPKVEPL >Potri.003G046450.2.v4.1 pep chromosome:Pop_tri_v4:3:5438824:5456910:1 gene:Potri.003G046450.v4.1 transcript:Potri.003G046450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046450.v4.1 MGPRILVFLVVALSQGCTIPAMTDEADFTALKALKDIWKNVPPTWVGADPCGSRWEGILCANSRVTSITLASMGLKGSLSGDIQSLSELQILDLSYNKGLSGPLPSAIGDLKKLTNLILVGCSFWGPIPDSIGSLPLLASLSLNSNGFTGSIPSSIGNLTSLYWLDLADNRLTGTIPVSTATTPGLDLLVHTKHFHLGLNQLSGTIPPKLFSSEMKLIHVLFESNKLTGSIPSTLGLVKTLEVVRLDNNSFRGPVPSNINSLTSVSTMFLSNNDLTGPLPNLTGMNALTYLDMRNNSFDDTEFPPWLSTLQSLKTLLADNPICQETAVTKSYCTVSQPNSSYATPPNNCVPASCFPKQHSSPNCKCAFPYTGLLGFRAPSFSDLGNITYFSVLEKSLMNSFKSHQFPVDSVHLSQPRKDLSQYLDLNLQVFPFGQDRFNRTAILSIGFMLSNQTFKPPAQFGPFFFIGDTYLHFTGEVRGSKKSSSTGAIIGAAAGGSVLLLLLLGAGLYAFGQKMKAEKAIQQNNPFAHWESNNGVGGVPQLKGARCFSFEEIKKYTNNFSETNDIGSGEYGKVYRGVLPTGELITIKRALREWMQPGLEFKTEIELLSRVHHRNVVSLVGFCLERGEQMLIYKFVSNGSLMESLSGKTGIRLDWMRRLKVALGAARGLAYLHELANPPIIHRHIKSTNILLDESLNAKVADFGLSKLMGDSEKGRVTTQGYLDPEYYMTLQLTEKSDVYSFGVVMLELLTGRRPVERGKYVVREVKMALDRAKDLYNLRELLDPSIGLDTTLKGLDKFVDVALKCVQENGSDRPTMGEVVNEIENILQLAGLNPNADSASTSASYDDVSKGSAKHPYKNSKDSFEYSEGFPDPKVEPL >Potri.016G010100.2.v4.1 pep chromosome:Pop_tri_v4:16:475139:479489:-1 gene:Potri.016G010100.v4.1 transcript:Potri.016G010100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010100.v4.1 MNEAVGLLWRSGLEVDHGTYALLLQECIFKKLYNKGKRIHAQMVVVGYVPNEYLKTKLMILYAKSGDLKTMHLLFDMLMEKSLISWNALIAGYVQKGLEEMGLSFYYEMRQNGLTPDQYTFASVFRACATLATLEHGKRAHCVMMKCFLKENVVVSSALMDMYFKCSSLSDGHLVFDKSSNRNVVTWTSLISGYGHHGRVSEVIESFHRMKDEGFQPNYVTFLAVLSACSHGGLVDEGWAYFSSMRRDYGIQPRGKHYAAMVDLLGRAGRLKEAYEFVVNAPCKEHSVLWGALLGACKIHGDMDLIELAARKYFELDPENAGKYVVLSNAYAAFGLWDSVAEVRGVMRDTEINKEPAYSSIEVQGKAHFFLQGDKSHRESEEIYKTIIEMIWILNDAGYVPDLSDC >Potri.012G094000.1.v4.1 pep chromosome:Pop_tri_v4:12:11835816:11836025:-1 gene:Potri.012G094000.v4.1 transcript:Potri.012G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094000.v4.1 MKKRQQEQHKGRENCNYQQHSHCQQHTQKQIQCNKGKTCKFKRSSSNLEEDGASSAILLLACIACTPSY >Potri.018G145530.3.v4.1 pep chromosome:Pop_tri_v4:18:15260930:15263314:-1 gene:Potri.018G145530.v4.1 transcript:Potri.018G145530.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145530.v4.1 MDDEFSVIGIYGMAGVGKTELLKHVHNELLQRSDIPHCLYWVTVNHDSSINRLQKLIAAHIGLDLSSEDDDVCTAAKLSKKLIQKKTWILILDNLCDIFEPETVGIPVSLQGCKLIVSSQSKEVCEGMTSRNIRVNPLSNGEAWDLLKQQRRQGIPFSPPDAEQIARDTTNECDGLPLGVISLARSTRGFRYKRQWRNTLQNLRHSRDGLDHMEKALQTLRESYTHLLRFDRQQCFLYCALFPGGFKIPKEDLIAYLIDEGVIEKRESREDEFDEGHSLLDRLEDFCLLESVDGGCAVKMPSLLRIMAIRILQKDYQAMVRAGVQLEEVMDAKDWKENLARVSLIENQIKEIPSGHSPRCPRLSTLLLHYNIELRLIGDAFFEQLHELKILDLSYTDILIMPDAVSNLVRLTALLLIGCNKLRHVPSLEKLREMRRLDLYRTALENIPQGLECLSELRYLRMNNCGEKEFPSGILPNLSRLQVFILGWGQYAPMTVKGEEVGCLKKLEALECHLKGHSDFVKFFKSQDKTQSLKTYKIFVGQFEENDGYNVKTCCRKSAGGFGNLSVNKDGDFQITFPNDNQELIVRECSSMESLVSSSWFCSSPLPQPSPSYNGIFSGLKEFYCFGCTSMKKLFPLVFLENLEVIEVSNCEKMEEIIETRSNDEGLKGEESSGSRILKLELLKLKILKLIELPKLKSICNAKLICHSLKVIHIRNCQELKRMPICLPLYESDQPSTRLSLHEIIAYPKEWWDSVLEWEHPYAKNVLGLFVKFQ >Potri.018G145530.2.v4.1 pep chromosome:Pop_tri_v4:18:15260270:15274823:-1 gene:Potri.018G145530.v4.1 transcript:Potri.018G145530.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145530.v4.1 MMPPNDEVRDYFWRYVERVAEGKRKCTFCGKLFAEGTSITRIKWHLSRVEGHGVTTCKLVTPEVQDAAREAVDGLSNNEVANAISDSSQEQNNVISNQADEPRGDSSQPIDAIMNYAQNIVGVRTEPAVQLLVQSNAEADNLARDDGRVQVRVQDMEQGVEEEIISSHLEAANGIENTGEGSIQHVDRNAQENTGEATQDLVHHIDGRSWSEIQAISSYLFQNTSETRGDLLPTSSTMPVGQEFKVIKESICSSLMDDEFSVIGIYGMAGVGKTELLKHVHNELLQRSDIPHCLYWVTVNHDSSINRLQKLIAAHIGLDLSSEDDDVCTAAKLSKKLIQKKTWILILDNLCDIFEPETVGIPVSLQGCKLIVSSQSKEVCEGMTSRNIRVNPLSNGEAWDLLKQQRRQGIPFSPPDAEQIARDTTNECDGLPLGVISLARSTRGFRYKRQWRNTLQNLRHSRDGLDHMEKALQTLRESYTHLLRFDRQQCFLYCALFPGGFKIPKEDLIAYLIDEGVIEKRESREDEFDEGHSLLDRLEDFCLLESVDGGCAVKMPSLLRIMAIRILQKDYQAMVRAGVQLEEVMDAKDWKENLARVSLIENQIKEIPSGHSPRCPRLSTLLLHYNIELRLIGDAFFEQLHELKILDLSYTDILIMPDAVSNLVRLTALLLIGCNKLRHVPSLEKLREMRRLDLYRTALENIPQGLECLSELRYLRMNNCGEKEFPSGILPNLSRLQVFILGWGQYAPMTVKGEEVGCLKKLEALECHLKGHSDFVKFFKSQDKTQSLKTYKIFVGQFEENDGYNVKTCCRKSAGGFGNLSVNKDGDFQITFPNDNQELIVRECSSMESLVSSSWFCSSPLPQPSPSYNGIFSGLKEFYCFGCTSMKKLFPLVFLENLEVIEVSNCEKMEEIIETRSNDEGLKGEESSGSRILKLELLKLKILKLIELPKLKSICNAKLICHSLKVIHIRNCQELKRMPICLPLYESDQPSTRLSLHEIIAYPKEWWDSVLEWEHPYAKNVLGLFVKFQ >Potri.018G145530.1.v4.1 pep chromosome:Pop_tri_v4:18:15260270:15274845:-1 gene:Potri.018G145530.v4.1 transcript:Potri.018G145530.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145530.v4.1 MQKEKRNQSKDEENDSSSRKRRKAGLSKPVSFVSTEPLIKMMPPNDEVRDYFWRYVERVAEGKRKCTFCGKLFAEGTSITRIKWHLSRVEGHGVTTCKLVTPEVQDAAREAVDGLSNNEVANAISDSSQEQNNVISNQADEPRGDSSQPIDAIMNYAQNIVGVRTEPAVQLLVQSNAEADNLARDDGRVQVRVQDMEQGVEEEIISSHLEAANGIENTGEGSIQHVDRNAQENTGEATQDLVHHIDGRSWSEIQAISSYLFQNTSETRGDLLPTSSTMPVGQEFKVIKESICSSLMDDEFSVIGIYGMAGVGKTELLKHVHNELLQRSDIPHCLYWVTVNHDSSINRLQKLIAAHIGLDLSSEDDDVCTAAKLSKKLIQKKTWILILDNLCDIFEPETVGIPVSLQGCKLIVSSQSKEVCEGMTSRNIRVNPLSNGEAWDLLKQQRRQGIPFSPPDAEQIARDTTNECDGLPLGVISLARSTRGFRYKRQWRNTLQNLRHSRDGLDHMEKALQTLRESYTHLLRFDRQQCFLYCALFPGGFKIPKEDLIAYLIDEGVIEKRESREDEFDEGHSLLDRLEDFCLLESVDGGCAVKMPSLLRIMAIRILQKDYQAMVRAGVQLEEVMDAKDWKENLARVSLIENQIKEIPSGHSPRCPRLSTLLLHYNIELRLIGDAFFEQLHELKILDLSYTDILIMPDAVSNLVRLTALLLIGCNKLRHVPSLEKLREMRRLDLYRTALENIPQGLECLSELRYLRMNNCGEKEFPSGILPNLSRLQVFILGWGQYAPMTVKGEEVGCLKKLEALECHLKGHSDFVKFFKSQDKTQSLKTYKIFVGQFEENDGYNVKTCCRKSAGGFGNLSVNKDGDFQITFPNDNQELIVRECSSMESLVSSSWFCSSPLPQPSPSYNGIFSGLKEFYCFGCTSMKKLFPLVFLENLEVIEVSNCEKMEEIIETRSNDEGLKGEESSGSRILKLELLKLKILKLIELPKLKSICNAKLICHSLKVIHIRNCQELKRMPICLPLYESDQPSTRLSLHEIIAYPKEWWDSVLEWEHPYAKNVLGLFVKFQ >Potri.007G146500.4.v4.1 pep chromosome:Pop_tri_v4:7:15469871:15474978:-1 gene:Potri.007G146500.v4.1 transcript:Potri.007G146500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146500.v4.1 MEKETALVEGTDMHLEDVFNPEESFTVEMETEENEIGSPKSEIQCFSSEMGEGSNVVFSREAPHISTESRVSGVCSCSATKIKPHVVAMESEIGDKENFGQEKKLSRQDRIELGRMFQSAVSCHDWEPAESLILLADPQTLNDALCITLDSIWFLSTQQELDGITSLIKKIIANGAYDFTRAALRTSFLASCVSACQSQTMHLEDTVNVMAQRLKERLKECNGDEVLKAEASAKVQKFTEWALKCIGFHSRCQANRDRVIQSSIAEIQLQLSAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSTSFDPGWASGISAAAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAHWNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDVAGYLLPHVPHHVLAALSIEILKAAGERSGGSLDGVAFLLCSDFLGDPTATYAVADSIARSDDESVAPELRAFLREHWSEAAYLDGLKQGQEHYMNLVRILNWGGSPISLRDLPGPLRVAVAYLPLFRECVATGGCLLSQKQRGQLVEAVRKLGGGSLEDVSQGKELLAVLEHYLPPFLVHTRSVA >Potri.007G146500.1.v4.1 pep chromosome:Pop_tri_v4:7:15469324:15474924:-1 gene:Potri.007G146500.v4.1 transcript:Potri.007G146500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146500.v4.1 MEKETALVEGTDMHLEDVFNPEESFTVEMETEENEIGSPKSEIQCFSSEMGEGSNVVFSREAPHISTESRVSGVCSCSATKIKPHVVAMESEIGDKENFGQEKKLSRQDRIELGRMFQSAVSCHDWEPAESLILLADPQTLNDALCITLDSIWFLSTQQELDGITSLIKKIIANGAYDFTRAALRTSFLASCVSACQSQTMHLEDTVNVMAQRLKERLKECNGDEVLKAEASAKVQKFTEWALKCIGFHSRCQANRDRVIQSSIAEIQLQLSAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSTSFDPGWASGISAAAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAHWNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDVAGYLLPHVPHHVLAALSIEILKAAGERSGGSLDGVAFLLCSDFLGDPTATYAVADSIARSDDESVAPELRAFLREHWSEAAYLDGLKQGQEHYMNLVRILNWGGSPISLRDLPGPLRVAVAYLPLFRECVATGGCLLSQKQRGQLVEAVRKLGGGSLEDVSQGKELLAVLEHYLPPFLVHTRSVA >Potri.007G146500.3.v4.1 pep chromosome:Pop_tri_v4:7:15469860:15474777:-1 gene:Potri.007G146500.v4.1 transcript:Potri.007G146500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146500.v4.1 MIALLRIFECLPDWDFFLICFLVCWRGGCIVESMEKETALVEGTDMHLEDVFNPEESFTVEMETEENEIGSPKSEIQCFSSEMGEGSNVVFSREAPHISTESRVSGVCSCSATKIKPHVVAMESEIGDKENFGQEKKLSRQDRIELGRMFQSAVSCHDWEPAESLILLADPQTLNDALCITLDSIWFLSTQQELDGITSLIKKIIANGAYDFTRAALRTSFLASCVSACQSQTMHLEDTVNVMAQRLKERLKECNGDEVLKAEASAKVQKFTEWALKCIGFHSRCQANRDRVIQSSIAEIQLQLSAFKTFLDLAGNQLTGKDFTEAFDAACFPLTLFSTSFDPGWASGISAAAIQGLLGMLVEGGADNVNQCFLEASRFGSTELVRILLQIAHWNSLDVDVDLALGFASHYCKIGTMECLVEEGNAIAFLGPLMRAAERGCMQVVQWFVKRGCRDMELCLALTAATSSSQVDVAGYLLPHVPHHVLAALSIEILKAAGERSGGSLDGVAFLLCSDFLGDPTATYAVADSIARSDDESVAPELRAFLREHWSEAAYLDGLKQGQEHYMNLVRILNWGGSPISLRDLPGPLRVAVAYLPLFRECVATGGCLLSQKQRGQLVEAVRKLGGGSLEDVSQGKELLAVLEHYLPPFLVHTRSVA >Potri.014G108600.1.v4.1 pep chromosome:Pop_tri_v4:14:7292166:7292919:-1 gene:Potri.014G108600.v4.1 transcript:Potri.014G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108600.v4.1 MSKLSLISTLCIAIFLSSLCFQIARSEPDAAILIQEVTNKDGKGEACAGLKAPASCPINCFRADPVCGFDGVTYWCGCADAMCSGTRVAKLGACEVGSGGSASLPGQALLLIHIVWLILIGFSLLFGFF >Potri.006G174600.3.v4.1 pep chromosome:Pop_tri_v4:6:17860352:17866178:1 gene:Potri.006G174600.v4.1 transcript:Potri.006G174600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G174600.v4.1 MRMAKQKSFCCFLCFLSVILWSEQVTMGKVIIRVGVVLDMNSAVGKTAENCISAAANDFYAWNADYRTRISLVTRDSKGDVVTAASAALDLMKNEEVEAIIGPQRSSEAKFVIELGNKTQVPILSFSATSPALTPVQSNYFIRTAQSDSSQVKAIASIVETYGWREIVLIYEGTEYGIALVPYLLNAFHAIGTRVPYESCIPSPSDDTEIMNELHKIKKMQESVFLVHMTASMGSRLFLLAESAGMMSEGYAWLVTTGLSTLLDPVDAKVMDSMEGVLGVKPYVPKSIELEGFKSRWKKNFNSENLFGLWAYDTVWAIAMAVERAGIVHSSFLKQNASNRSADLAALGISEMGPRLLKSILNTTFDGLSGKFQLVKGEMAPFAFEIFNVVGRSERVIGYWTEKGGLSQSLDSSSKISHSNSKTKLKQPIWPGGTIQQPKKLRIGVPVRSDFSEFIKVEWDQQSNEPIVSGFSAEVFLAVHDILPFPLPYEFIPFMNESSRKSAGTYDDLLRQIEHQKFDAVVGDTTIVAYRSSYVDFTLPYSESGITMVVLMKRDERDNMWIFLKPLSPKLWLVTGLAFFVTGLVVWVLEHRTNTEFRGTPEQQLGTVIWFSFSTLVFAHKERPENNLTRFVLIIWIFVVLIISQSYTASLASMLTVQRMHPAFVDVTEIKRNNYFVGHPKDSFVKDFLKKELHFNDTMLREYSTPEEYHDALSRGSHNGGVAAIFDEIPYVRRFLNDKYRCSKFQMVGPTYQTDGFGFAFPLNSPLVSHISRAILNVTEDHDKMEAIKSKSFGREITCEDRGAETSSGGLRLSSFAGLFLISGVASISSLLIYIIRFLFSNYPASNTRHEEQSMWLRILEVAKRFDQKDPSVHHLRRAESRVHPVTGPESIGASPETNNVHEMTSNEGAEDVGENQNHDNLTSGNSGANFIASNADTVAPNTPERNRASPATAYVHEMTSDEGAEVVVGDQNRGNPTSVNSGTNTNTM >Potri.003G154600.1.v4.1 pep chromosome:Pop_tri_v4:3:16623263:16626091:1 gene:Potri.003G154600.v4.1 transcript:Potri.003G154600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154600.v4.1 MSSIGDMSDEIQHKSYWRFSKQDFLPEESFQSWGNYRSALSETCFRFKDRLISRSDDVNEIGELRKQSENEMKRCLTWWDLTWFGFGSVVGAGIFVLTGQEAHEHAGPAIVLSYVASGVSAMLSVFCYTEFAVEVPVAGGSFAYLRIELGDFVAFITAGNILLESIAGSAAVARAWTSYFTNLLNRPSNSLRIHTNLAEGFNLLDPIAVGVLVIAATIAIISTRKTSLINWIATALNTAVILFVIIAGFAHANTSNLKPFLPFGAKGIFQAAAIVYFAYGGFDNIATMAEETKNPSRDIPIGLLGSMSIITVIYCLMALTLSMMQKYTEIDKGAAYSVAFQSVGMNWARYLVALGALKGMTTVLLVGALGQARYTTHIARAHMIPPWFALVHPKTGTPINATLLITISSALIAFFSSLDVLASLLSVSTLFIFMMMAVALLVRRYHVRDITPQTNLLKLALFLLIIISSSMGTSAYWGLNPNGWVGYAITIPFWFLGTIGLSMLPQQREPKVWGVPLVPWLPSLSIAINIFLMGSLGAEAFERFGICTVVMLIYYVFFGLHATYDMAHLHRKAQSTEVNNEDMIGRKGP >Potri.013G160900.2.v4.1 pep chromosome:Pop_tri_v4:13:15487008:15489108:1 gene:Potri.013G160900.v4.1 transcript:Potri.013G160900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160900.v4.1 MDGFDSQLLKEIEDHDNNEKFDSSSETEIDEYIMLHSTHKNHLFGRQKPLHLVLGGEKHANIILWRNTQISTRIFAGVTVTWFLFECIGYHLLTLVCHFLILSSTTVFLWSNLASYINVSPPKLPEVTLPDELFVNFLLLLRGIINRAFTSFQDVASGKDLKKFLKVIAVLWVLSVIGSWFSFLTLLYLLFVMLMTAPMLYEKNEDAVDIYAEKLWFEVKKQSAVLNENVLQKLPIFRSEKHHKQH >Potri.017G028100.1.v4.1 pep chromosome:Pop_tri_v4:17:1838484:1840603:1 gene:Potri.017G028100.v4.1 transcript:Potri.017G028100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028100.v4.1 MLTKNLYFTMNYMYYCLAFFLSSFLVFKLVFQRSRNLPPSPFGFPIIGHLHLVSKPPMHKVLAILSNKCGPVFTLKLGSRNIVAVCSLSAAEECYIKNDIVFANRPQSIFVHYWSYNYAAFLFAPYGHLWRTLRRFSVTELFSRSCLDRSAAISEEVRTLVRLILSKVSDDGAKKVDLNYFFTITSLNVIMKMNAGKKWVEEEKAACIDSGKQCIEDVQKIFPSNPGTTVLDFFPFLKWFGYRGEEESVIKVYKERDEFLQGLIEEVKRKETSSVTSNPAEGVKDQTTVIGSLLALQKSDPELYTDEVVKGTMATLYLAGVDTVDFTTEWAMTFLLNHPERLERVKAEIDREVGHERLVQESDLPKLRYVRCVVNETLRLYPPAPLLLPHAPSEDCIVGGYKIPRGTIVMVNAWAIHRDPKLWEDPESFKPERFEGLNNEGEKQGFIPFGIGRRACPGNHMAMRRVMLALAALIQCFEWERVGKELVDMSIVDALISVQKAKPLEAICTPRPFTTTLISPP >Potri.001G419400.1.v4.1 pep chromosome:Pop_tri_v4:1:44745766:44747013:-1 gene:Potri.001G419400.v4.1 transcript:Potri.001G419400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419400.v4.1 MENSMSCKPIISSSSNEKTTDHDSFEESGWTMYFEDFFAQNNEEDHNHDRYEHGSFSYDHDRSSSLVSDAASLVVKKSAGDHLGEQVVGLPINNNRSFKYSLSFKKKRTKGALVDDALEDTASSPVNSPKVYDDMMINQYKRNTKQKDNKEISQDEGSASRQVDKRSDFGFIGGGSDNTALKKRGLCLVPLSMVVNYLG >Potri.013G009900.3.v4.1 pep chromosome:Pop_tri_v4:13:639498:641577:1 gene:Potri.013G009900.v4.1 transcript:Potri.013G009900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009900.v4.1 MGFVFRFSVWQRLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERLAESKKELDALLSDEALANVPFLVLGNKIDIPYAASEEELRYHLGLVNFTTGKGKVNLGDSNVRPLEVFMCSIVRKMGYGEGFRWLSQYI >Potri.013G009900.2.v4.1 pep chromosome:Pop_tri_v4:13:639471:641583:1 gene:Potri.013G009900.v4.1 transcript:Potri.013G009900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009900.v4.1 MFLVDWFYGVLASLGLWQKEAKILFLGLDNSGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERLAESKKELDALLSDEALANVPFLVLGNKIDIPYAASEEELRYHLGLVNFTTGKGKVNLGDSNVRPLEVFMCSIVRKMGYGEGFRWLSQYI >Potri.002G252000.2.v4.1 pep chromosome:Pop_tri_v4:2:24122007:24125383:-1 gene:Potri.002G252000.v4.1 transcript:Potri.002G252000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252000.v4.1 MKGLEVHGEDRHEGVENEGNPTIGFDAMEEEQDILVEDKEIWLERGQEDLLHASDVSIFYEDFPPLPDFPCMSSSSSSSSTLAPVNAITSSSSSSCSSSASSSSSAAAWAVLKSEAEEDVEKNHQHRNHCYHHNNNDDFNSQAMDDPVDVSTAALSSTCSMEVPQPPDQAMELGIECMDVMEDFGYIDLLESNDFFDPSSIFHPDEGIFEEFQMEQNEPQDQLQLQYDEQAGNEEITKGKNDQEADHQGGRSDDLAMVFLDWLKSNKETVSADDLRRVKLKKTTIECAARRLGGGKEGMKQLLKLILQWVQTNHLQRRRMRESSSNVNLLYPYNQDPLQNQNPNPNSNLNCNPIPADHSNPCFTQSPWNVAPPPYLAADPATVMPGFSPMVGFMGDPFSNGSSNINGHPYGTPQDCNHMLQSYQTWPPSQFHSASHFNSFADNNLQSAQPQNPAFTGYGNQYPYQYVPANGDNRLTRLGSSATKEARKKRMARQRRFLSYHRNQNHHNIQHQNQGAGDPHERLSDDPNGAPTGQSNPGSWVYWPTAAGGGSASTTVDAPVDRPAMQAQTNNHRQAAAERRQGWKPEKNLRFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYSFRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCGKYLIRGVKVRQPAGPKPENKRAGKSQRNSHANCPAAANNGSGSQKQTVK >Potri.002G252000.1.v4.1 pep chromosome:Pop_tri_v4:2:24122007:24125383:-1 gene:Potri.002G252000.v4.1 transcript:Potri.002G252000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252000.v4.1 MKGLEVHGEDRHEGVENEGNPTIGFDAMEEEQDILVEDKEIWLERGQEDLLHASDVSIFYEDFPPLPDFPCMSSSSSSSSTLAPVNAITSSSSSSCSSSASSSSSAAAWAVLKSEAEEDVEKNHQHRNHCYHHNNNDDFNSQAMDDPVDVSTAALSSTCSMEVPQPPDQAMELGIECMDVMEDFGYIDLLESNDFFDPSSIFHPDEGIFEEFQMEQNEPQDQLQLQYDEQAGNEEITKGKNDQEADHQGGRSDDLAMVFLDWLKSNKETVSADDLRRVKLKKTTIECAARRLGGGKEGMKQLLKLILQWVQTNHLQRRRMRESSSNVNLLYPYNQDPLQNQNPNPNSNLNCNPIPADHSNPCFTQSPWNVAPPPYLAADPATVMPGFSPMVGFMGDPFSNGSSNINGHPYGTPQDCNHMLQSYQTWPPSQFHSASHFNSFADNNLQSAQPQNPAFTGYGNQYPYQYVPANGDNRLTRLGSSATKEARKKRMARQRRFLSYHRNQNHHNIQHQNQGAGDPHERLSDDPNGAPTGQSNPGSWVYWPTAAGGGSASTTVDAPVDRPAMQAQTNNHRQAAAERRQGWKPEKNLRFLLQKVLKQSDVGSLGRIVLPKKEAETHLPELEARDGISIAMEDIGTSRVWNMRYRFWPNNKSRMYLLENTGDFVRTNGLQEGDFIVIYSDVKCGKYLIRGVKVRQPAGPKPENKRAGKSQRNSHANCPAAANNGSGSQKQTVK >Potri.015G038400.1.v4.1 pep chromosome:Pop_tri_v4:15:3322052:3324145:1 gene:Potri.015G038400.v4.1 transcript:Potri.015G038400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038400.v4.1 MFYFLSKSVLQYGRHTAAVSPTHKHFSFQLSPSIITLRFFISTLENPNKHSFAASYLISKFGFSPESALSASKHLNFTTTEKPDSVIHIFKHYGFSQVQTLKLVKKYPRVLSCNPEKTLLPKLEFFHSKGMSNNDIARILCTYPHILVRSLENCITLNFNFLGNLLQSNDKTIAAAKRYSPILYHKPDRFLKPCIDILEEYGVPKKHIASLVHRWPRSVMMSPNYLRRIVEKVREMGCDPLKPQFTTAVMVMSLLSESGWERRLGVYKSWGWSEEDVHAAFIKEPWCMMTSDDKIMAVMDFLVNNMDCEPSFIVKNPYLLKPGLKTTFIPRASVVHFLLSKQLIETKPNLVTLFLCSEKMFLEKFVYRFEEAPQLLKLYGDQSNLSK >Potri.002G037800.1.v4.1 pep chromosome:Pop_tri_v4:2:2496505:2499800:-1 gene:Potri.002G037800.v4.1 transcript:Potri.002G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037800.v4.1 MSQAVHLVTKNLPGYGSSEGRCLPCSGISGRAVAVSPCSSRGVHYVHSQAQFGKMKCSSRASSIVCKSSGGHRRNPDFSRQNKQGFSRNRNRQNEEGNGFENLDESDLLTSKNGPLLSLSGTPKFQATAAPGPREKEIVELFRKVQAQLRERAAVKEEKKVEASQGKGRENETVDSLLKLLRKHSVEQGKKKTSNISSGDLSLDQPENGTYKKAKGTSFFDSSKKERNDVLEPITSFTRPPSNFRRKSPVPQVKFQPIYSSEDPVNSTSHLNLNGEKKQQFEILPDTTQELELDPELDAEEEHELDSEPEPEPEPSFAGGDVFDELSEGESSDMDNVDGDGEKQQLIEHEDLSSLKLPELRALAKSRGVKGFSKMKKGELVELLSGSSM >Potri.001G397600.4.v4.1 pep chromosome:Pop_tri_v4:1:42298352:42310352:-1 gene:Potri.001G397600.v4.1 transcript:Potri.001G397600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397600.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELDKEVAIKVIDLEESEDEIEDIQKEISVLRQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTGTVSRRKTFVGTPFWMAPEVIQNSEGYNVKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSFCLKKVPAERPTAKELLRHRFIRNARKSPRLLERIRERPMYQIKDAETPRNGPIGIGEGFDTVKVVRDLRADGTVRASGQGKPFKNAGWDFSIGGSQTTGTIRSAARPPQESLELSYGKDARDPYHDDHQDNSYDDDDLSVSGSGTVVIRTPKGYQSSALFRDQNNASSSTSTSFEDASTSGTVVFRGQHDESDSPRTHKSRLGMQERTSSSSLEDSALNLAEARAALQGGLRKVNARERFVPSNNNRYGLENRRREQLTNSSDSSRSSREYFDAPKAFPRSQQASNVEESARIASASLSVLLIPSLKEAVADDSERALFHAVTNSLVNMERVKPGSCDIFVRSLLQQLASSKESSLRDLQELAAHLLSKGKTTPEETQNGNTDVDSRKKQPTKEFNSNANLSPLARFLLSRWQGQVSRDVNPT >Potri.001G397600.8.v4.1 pep chromosome:Pop_tri_v4:1:42298363:42310352:-1 gene:Potri.001G397600.v4.1 transcript:Potri.001G397600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397600.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELDKEVAIKVIDLEESEDEIEDIQKEISVLRQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTGTVSRRKTFVGTPFWMAPEVIQNSEGYNVKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSFCLKKVPAERPTAKELLRHRFIRNARKSPRLLERIRERPMYQIKDAETPRNGPIGIGEGFDTVKVVRDLRADGTVRASGQGKPFKNAGWDFSIGGSQTTGTIRSAARPPQVREKKTDISYNKDTQRRASESGNHLLSASGNALQESLELSYGKDARDPYHDDHQDNSYDDDDLSVSGSGTVVIRTPKGYQSSALFRDQNNASSSTSTSFEDASTSGTVVFRGQHDESDSPRTHKSRLGMQERTSSSSLEDSALNLAEARAALQGGLRKVNARERFVPSNNNRYGLENRRREQLTNSSDSSRSSREYFDAPKAFPRSQQASNVEESARIASASLSVLLIPSLKEAVADDSERALFHAVTNSLVNMERVKPGSCDIFVRSLLQQLASSKESSLRDLQELAAHLLSKGKTTPEETQNGNTDVDSRKKQPTKEFNSNANLSPLARFLLSRWQGQVSRDVNPT >Potri.001G397600.9.v4.1 pep chromosome:Pop_tri_v4:1:42298323:42310357:-1 gene:Potri.001G397600.v4.1 transcript:Potri.001G397600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397600.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELDKEVAIKVIDLEESEDEIEDIQKEISVLRQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTGTVSRRKTFVGTPFWMAPEVIQNSEGYNVKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSFCLKKVPAERPTAKELLRHRFIRNARKSPRLLERIRERPMYQIKDAETPRNGPIGIGEGFDTVKVVRDLRADGTVRASGQGKPFKNAGWDFSIGGSQTTGTIRSAARPPQVREKKTDISYNKDTQRRASESGNHLLSASGNALQESLELSYGKDARDPYHDDHQDNSYDDDDLSVSGSGTVVIRTPKGYQSSALFRDQNNASSSTSTSFEDASTSGTVVFRGQHDESDSPRTHKSRLGMQERTSSSSLEDSALNLAEARAALQGGLRKVNARERFVPSNNNRYGLENRRREQLTNSSDSSSSREYFDAPKAFPRSQQASNVEESARIASASLSVLLIPSLKEAVADDSERALFHAVTNSLVNMERVKPGSCDIFVRSLLQQLASSKESSLRDLQELAAHLLSKGKTTPEETQNGNTDVDSRKKQPTKEFNSNANLSPLARFLLSRWQGQVSRDVNPT >Potri.001G397600.6.v4.1 pep chromosome:Pop_tri_v4:1:42298323:42310391:-1 gene:Potri.001G397600.v4.1 transcript:Potri.001G397600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397600.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELDKEVAIKVIDLEESEDEIEDIQKEISVLRQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEGKIHRDIKAANILLSENGDVKVADFGVSAQLTGTVSRRKTFVGTPFWMAPEVIQNSEGYNVKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRENPPQLDEHFSRPMKEFVSFCLKKVPAERPTAKELLRHRFIRNARKSPRLLERIRERPMYQIKDAETPRNGPIGIGEGFDTVKVVRDLRADGTVRASGQGKPFKNAGWDFSIGGSQTTGTIRSAARPPQESLELSYGKDARDPYHDDHQDNSYDDDDLSVSGSGTVVIRTPKGYQSSALFRDQNNASSSTSTSFEDASTSGTVVFRGQHDESDSPRTHKSRLGMQERTSSSSLEDSALNLAEARAALQGGLRKVNARERFVPSNNNRYGLENRRREQLTNSSDSSSSREYFDAPKAFPRSQQASNVEESARIASASLSVLLIPSLKEAVADDSERALFHAVTNSLVNMERVKPGSCDIFVRSLLQQLASSKESSLRDLQELAAHLLSKGKTTPEETQNGNTDVDSRKKQPTKEFNSNANLSPLARFLLSRWQGQVSRDVNPT >Potri.018G113400.2.v4.1 pep chromosome:Pop_tri_v4:18:13047241:13054570:-1 gene:Potri.018G113400.v4.1 transcript:Potri.018G113400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113400.v4.1 MLPSSVSSFHTTNAHSTPLSPLSTFSSLSASSSSSFSSSLSFQQSSLQHRHLVSFGPRSKTLFGINSKPKGILRVSCSTSGPLKVMISGAPASGKGTQCELIVKKFGLVHISTGDLLRAEVSAETEIGNKAKEFMNAGRLVPDEIVTAMVTARLSLEDAKEKGWLLDGYPRSSAQAESLEKLNVRPDIYVVLDVPDEILIDRCVGRRLDPETRKIYHVKNFPPETEEIKARLITRPDDTEEKVKARLDIYKKNAEAILSTYSNIMVKLDGNRSKEVVFKEIDSLLSQVQRDEVKLVKSENSVPESGSRSSQASVSQDKWRGIPTRLNNIPHSREIRNYFYDDVLQATQRAVNDGRTRLRVDINIPELNPEMDVYRIGTLMELVRVIALSFSDDGKHVKVCVQGSMGKGALAGMPLQLAGTRNILEFMDWGDYGAKGTFIKIGSIGEQEVSEEDDMFILVAPQNAVGNCIIEDLKAMTVAAGSRPVILINPKLKDLPGSSGIMQTMGRDKRLEYAASFESCYFFRLLYYAGTQYPIMGALRMSYPYRYELYKRVDQPSGTEKYMILSTFSEKPSTDDVNDAFMGRPRNGAKKASGFWGFLSSVF >Potri.012G002600.10.v4.1 pep chromosome:Pop_tri_v4:12:1194212:1200058:-1 gene:Potri.012G002600.v4.1 transcript:Potri.012G002600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002600.v4.1 MEGGTGRGLECQKTMDGKANNGNGSEKAIPSCCLKARASAPELDAKCHSTVVSGWFSESHPCAGKTSKKVYFNNPMWPGEAHTLEVKEVLYKGKSEYQEVLVFESTSYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDQMVIDVSKKFFPQLAVGFEDPRVRLHVGDAVDFLRSTPEGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGLIGFVLCSTEGPPVEFLNPVNPIEKLEGATKYKRELKYYNSEVHSAAFALPTFLKKEVSLLQDQGR >Potri.012G002600.9.v4.1 pep chromosome:Pop_tri_v4:12:1194225:1199880:-1 gene:Potri.012G002600.v4.1 transcript:Potri.012G002600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002600.v4.1 MEGGTGRGLECQKTMDGKANNGNGSEKAIPSCCLKARASAPELDAKCHSTVVSGWFSESHPCAGKTSKKVYFNNPMWPGEAHTLEVKEVLYKGKSEYQEVLVFESTSYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDQMVIDVSKKFFPQLAVGFEDPRVRLHVGDAVDFLRSTPEGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGLIGFVLCSTEGPPVEFLNPVNPIEKLEGATKYKRELKYYNSEVHSAAFALPTFLKKEVSLLQDQGR >Potri.012G002600.8.v4.1 pep chromosome:Pop_tri_v4:12:1194199:1200056:-1 gene:Potri.012G002600.v4.1 transcript:Potri.012G002600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002600.v4.1 MEGGTGRGLECQKTMDGKANNGNGSEKAIPSCCLKARASAPELDAKCHSTVVSGWFSESHPCAGKTSKKVYFNNPMWPGEAHTLEVKEVLYKGKSEYQEVLVFESTSYGKVLVLDGIVQLTEKDECAYQEMIAHLPLCSIPSPKTVLVVGGGDGGVLREISRHSSVEHIDICEIDQMVIDVSKKFFPQLAVGFEDPRVRLHVGDAVDFLRSTPEGKYDAIIVDSSDPVGPAQELVEKPFFETIARALRPGGVLCNMAESMWLHTHLIEDMISICRETFKGSVHYAWASVPTYPSGLIGFVLCSTEGPPVEFLNPVNPIEKLEGATKYKRELKYYNSEVHSAAFALPTFLKKEVSLLQDQGR >Potri.015G134500.1.v4.1 pep chromosome:Pop_tri_v4:15:14344651:14346388:1 gene:Potri.015G134500.v4.1 transcript:Potri.015G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134500.v4.1 MSSLAKKMILKCFCCVARKEEPNISAQNNSREYPWEIYTLKEILHATNNFHDDNKIGEGGFGSVYWGRTSTGIEIAVKRLKAMSAKAEMEFAVEVEILGRVRHKNLLGLRGFYAGGDERLIVYDYMPNHSLIAHLHGQLAVDCLLDWHRRMNIVIGSAEGIAYLHHEANPHIIHRDIKASNVLLDTEFQAKVADFGFAKLMPEGVTHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIISAKKPLEKLPGGVRRDIVQWVTPYVQKGAFDHIADPRLKGRYDRAQLETAIMIAMRCTDSNPENRPSMMKVVEWLNGGLGRTKGVSVVEDMVDEDEEQDYNGMKYDDDTDYDGYDGTEKVKERRTWQHRK >Potri.015G108200.1.v4.1 pep chromosome:Pop_tri_v4:15:12626581:12627551:-1 gene:Potri.015G108200.v4.1 transcript:Potri.015G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108200.v4.1 MGSKVKSLSLLILPLAFSLCFSSLSSKPADPTPAPWPHQFHSILFMDNNGSLQVVDLWYDWTNGRNFNIIQNQLGKLLYDLEWDNGTSYIYTLDSNKECRVLHFPVGVLRPNWLEGATHLGQQEVDGFLCNVWQKVDFIWYYEDVITKRPVYWVFYTGMTAHVMTFEVGAVLEDPKWQAPVYCFKESEKKENTVIKSVVSHGLSREGLMTGSFNVSMLY >Potri.004G157700.9.v4.1 pep chromosome:Pop_tri_v4:4:17864892:17870635:-1 gene:Potri.004G157700.v4.1 transcript:Potri.004G157700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157700.v4.1 MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSNRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALVMDELLPEKHDDYHMMLRFLKARKFDIERAKHMWADMLQWRKEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPIYIERLGKVEPNKLMHVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDASELPEFLGGTCTCACQGGCLQSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMFKGSDTSTAESGSEAEDIASPKTLKSYSHLRLTPVREEARVVGKAGYGGSFPGYDEYVPMVDKAVDAGWKNPAAIQRPVSKATITLPNTEKTPEGVRVRIFIAVMAFFMTLLTLFRSLAFQVTKRLPGTLSDCDQSSPELALDATQKEECRPPSPTAAFTETDLSSVLKRLGELEEKVDILQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFHRKKKFCW >Potri.004G157700.11.v4.1 pep chromosome:Pop_tri_v4:4:17864949:17870868:-1 gene:Potri.004G157700.v4.1 transcript:Potri.004G157700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157700.v4.1 MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSNRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALVMDELLPEKHDDYHMMLRFLKARKFDIERAKHMWADMLQWRKEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPIYIERLGKVEPNKLMHVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDASELPEFLGGTCTCACQGGCLQSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMFKGSDTSTAESGSEAEDIASPKTLKSYSHLRLTPVREEARVVGKAGYGGSFPGYDEYVPMVDKAVDAGWKNPAAIQRPVSKATITLPNTEKTPEGVRVRIFIAVMAFFMTLLTLFRSLAFQVTKRLPGTLSDCDQSSPELALDATQKEECRPPSPTAAFTETDLSSVLKRLGELEEKVDILQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFHRKKKFCW >Potri.004G157700.12.v4.1 pep chromosome:Pop_tri_v4:4:17864949:17870862:-1 gene:Potri.004G157700.v4.1 transcript:Potri.004G157700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157700.v4.1 MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSNRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALVMDELLPEKHDDYHMMLRFLKARKFDIERAKHMWADMLQWRKEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPIYIERLGKVEPNKLMHVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDASELPEFLGGTCTCACQGGCLQSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMFKGSDTSTAESGSEAEDIASPKTLKSYSHLRLTPVREEARVVGKAGYGGSFPGYDEYVPMVDKAVDAGWKNPAAIQRPVSKATITLPNTEKTPEGVRVRIFIAVMAFFMTLLTLFRSLAFQVTKRLPGTLSDCDQSSPELALDATQKEECRPPSPTAAFTETDLSSVLKRLGELEEKVDILQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFHRKKKFCW >Potri.004G157700.15.v4.1 pep chromosome:Pop_tri_v4:4:17864950:17870823:-1 gene:Potri.004G157700.v4.1 transcript:Potri.004G157700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157700.v4.1 MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSNRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALVMDELLPEKHDDYHMMLRFLKARKFDIERAKHMWADMLQWRKEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPIYIERLGKVEPNKLMHVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDASELPEFLGGTCTCACQGGCLQSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMFKGSDTSTAESGSEAEDIASPKTLKSYSHLRLTPVREEARVVGKAGYGGSFPGYDEYVPMVDKAVDAGWKNPAAIQRPVSKATITLPNTEKTPEGVRVRIFIAVMAFFMTLLTLFRSLAFQVTKRLPGTLSDCDQSSPELALDATQKEECRPPSPTAAFTETDLSSVLKRLGELEEKVDILQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFHRKKKFCW >Potri.004G157700.13.v4.1 pep chromosome:Pop_tri_v4:4:17865052:17870838:-1 gene:Potri.004G157700.v4.1 transcript:Potri.004G157700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157700.v4.1 MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSNRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALVMDELLPEKHDDYHMMLRFLKARKFDIERAKHMWADMLQWRKEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPIYIERLGKVEPNKLMHVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDASELPEFLGGTCTCACQGGCLQSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMFKGSDTSTAESGSEAEDIASPKTLKSYSHLRLTPVREEARVVGKAGYGGSFPGYDEYVPMVDKAVDAGWKNPAAIQRPVSKATITLPNTEKTPEGVRVRIFIAVMAFFMTLLTLFRSLAFQVTKRLPGTLSDCDQSSPELALDATQKEECRPPSPTAAFTETDLSSVLKRLGELEEKVDILQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFHRKKKFCW >Potri.004G157700.10.v4.1 pep chromosome:Pop_tri_v4:4:17862571:17870590:-1 gene:Potri.004G157700.v4.1 transcript:Potri.004G157700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157700.v4.1 MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSNRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALVMDELLPEKHDDYHMMLRFLKARKFDIERAKHMWADMLQWRKEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPIYIERLGKVEPNKLMHVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDASELPEFLGGTCTCACQGGCLQSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMQFKGSDTSTAESGSEAEDIASPKTLKSYSHLRLTPVREEARVVGKAGYGGSFPGYDEYVPMVDKAVDAGWKNPAAIQRPVSKATITLPNTEKTPEGVRVRIFIAVMAFFMTLLTLFRSLAFQVTKRLPGTLSDCDQSSPELALDATQKEECRPPSPTAAFTETDLSSVLKRLGELEEKVDILQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFHRKKKFCW >Potri.004G157700.14.v4.1 pep chromosome:Pop_tri_v4:4:17864873:17870827:-1 gene:Potri.004G157700.v4.1 transcript:Potri.004G157700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157700.v4.1 MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSNRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALVMDELLPEKHDDYHMMLRFLKARKFDIERAKHMWADMLQWRKEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPIYIERLGKVEPNKLMHVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDASELPEFLGGTCTCACQGGCLQSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMFKGSDTSTAESGSEAEDIASPKTLKSYSHLRLTPVREEARVVGKAGYGGSFPGYDEYVPMVDKAVDAGWKNPAAIQRPVSKATITLPNTEKTPEGVRVRIFIAVMAFFMTLLTLFRSLAFQVTKRLPGTLSDCDQSSPELALDATQKEECRPPSPTAAFTETDLSSVLKRLGELEEKVDILQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFHRKKKFCW >Potri.004G157700.7.v4.1 pep chromosome:Pop_tri_v4:4:17864892:17870862:-1 gene:Potri.004G157700.v4.1 transcript:Potri.004G157700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157700.v4.1 MSGPLDRFARPCFEGFSGNDERRERKSDFENSEDERRTRIGSLKKKALNASSKFKHSLKKKSNRRKSDGRVSSVSIEDVRDVEELQAVDQFRQALVMDELLPEKHDDYHMMLRFLKARKFDIERAKHMWADMLQWRKEFGTDTIMEDFEFKELDEVLKYYPHGNHGVDKEGRPIYIERLGKVEPNKLMHVTTMDRYVRYHVREFEKSFAIKFPACTIAAKRHIDSSTTILDVQGVGLKNFNKSARDLIMRLQKIDGDNYPETLHQMFIINAGPGFRLLWNTIKTFLDPKTTSKIHVLGNKYQTKLLEIIDASELPEFLGGTCTCACQGGCLQSDKGPWKNPEILKMVLNGEPRRARQVVKVLNSEGKVIAYAKPRYPMQFKGSDTSTAESGSEAEDIASPKTLKSYSHLRLTPVREEARVVGKAGYGGSFPGYDEYVPMVDKAVDAGWKNPAAIQRPVSKATITLPNTEKTPEGVRVRIFIAVMAFFMTLLTLFRSLAFQVTKRLPGTLSDCDQSSPELALDATQKEECRPPSPTAAFTETDLSSVLKRLGELEEKVDILQAKPSVMPYEKEELLNAAVCRVDALEAELIATKKALYEALMRQEELLAYIDSQEEAKFHRKKKFCW >Potri.007G107000.1.v4.1 pep chromosome:Pop_tri_v4:7:12979970:12980665:1 gene:Potri.007G107000.v4.1 transcript:Potri.007G107000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107000.v4.1 METPILYDYIVRLKPKTNRNSQHVNSDQPLQKVPATFPVNFLLYKREIYSLHGESFFAIDRRPEAISRKTIHFPVGDSPIHHINDFKEILTDMGIPGIKISQILFEIATKAHGIDTCNGVFMIVSIRMTVYQEARLRNEEDDIARAERESMEVRAKPIPATKSSIDALERVVLDASASARDCTVCMEEIDAGSEAIRMPCSHVYHSDCIVRWLQTSHMCPLCRYHMPCEYL >Potri.018G112301.1.v4.1 pep chromosome:Pop_tri_v4:18:12974544:12974865:1 gene:Potri.018G112301.v4.1 transcript:Potri.018G112301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112301.v4.1 MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Potri.008G212100.1.v4.1 pep chromosome:Pop_tri_v4:8:17510751:17511758:1 gene:Potri.008G212100.v4.1 transcript:Potri.008G212100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212100.v4.1 MGVASYTQEFTCPISPARMFKALILDSNNLIPKLLPQIVKSVDLIHGDGGAGSIEQVNFTEGTDIKYVKHRIDELDRVNLVCKYTMIEGDSLGEKLESIAYEVRFEVGSDGGCDCKMTSSYLMLGDFTLKEEEIKAGQDKARGIYKVVEAYLLENPHVYA >Potri.004G033400.1.v4.1 pep chromosome:Pop_tri_v4:4:2579318:2580025:1 gene:Potri.004G033400.v4.1 transcript:Potri.004G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033400.v4.1 MSSLKLYRSYHQSPFLPNVHKKMVKQTTFAPNKVVRRRKLAVRGCSGQESEKKTGSRSFLSLEEAGLVEISGLSTHERFLCRLTISSLNLLRVVSEQEGCPIEELNAGRVCDWFLKDKLKREQNMESAVLQWDDSELQF >Potri.014G135400.1.v4.1 pep chromosome:Pop_tri_v4:14:9086434:9087459:1 gene:Potri.014G135400.v4.1 transcript:Potri.014G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135400.v4.1 MRLSLMPSLSTPPKCQNVTINNNYNNIPFSVINNGNPLLPIRTLVASSHYPILSNSTPNSRPPTDVIITRCQSSFSTPQNSQEDGDDDEEEESLVQDLRVPTHWLLPSKAMEESQWLKVTLHKWLDDEYCPEETNVEISKVAAQSYYESLLEKQTDLGVILLNMARNLESISYKESFHGAFSSANAAVNLIVQRIELQGKTF >Potri.014G135400.2.v4.1 pep chromosome:Pop_tri_v4:14:9086397:9087462:1 gene:Potri.014G135400.v4.1 transcript:Potri.014G135400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135400.v4.1 MRLSLMPSLSTPPKCQNVTINNNYNNIPFSVINNGNPLLPIRTLVASSHYPILSNSTPNSRPPTDVIITRCQSSFSTPQNSQEDGDDDEEEESLVQDLRVPTHWLLPSKESQWLKVTLHKWLDDEYCPEETNVEISKVAAQSYYESLLEKQTDLGVILLNMARNLESISYKESFHGAFSSANAAVNLIVQRIELQGKTF >Potri.005G255000.1.v4.1 pep chromosome:Pop_tri_v4:5:24646123:24650133:-1 gene:Potri.005G255000.v4.1 transcript:Potri.005G255000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255000.v4.1 MGFRFDLLLLFTTLVLLSTTTPTVLSDVILSKVDRRIDLTSQIVRVASTLKVENAGPGPVSEVLLTFPEIQAKNLAYLKATLNEGKGKVKNSGSNLPINVANPEELPPSLIFHSVSLPKALGEGDSLTIDVFAVFTHVLRPFPEEITQADIQLVLFQDTAHYLSPYAVKVQSLTVKLPKARIEMYTKLENTNIHGSDIKYGPYENLPPFSYAPVVVHFETNQPFAVAQELVREIEISHWGNVQVTEHYNIVHGGAKSKGEFSRLDFQARPHLRGASAFRNLVAKLPPRAHSIYYRDEIGNISTSNVWGDPKKTELLIEPRYPLFGGWRTTFTIGYGLPLQDFLFGSEGKRFLNFSFGSPINDLVTDNIIVKVVLPEGSDDISISTPFPVKQGQETKISHLDVAGRPVVVLEKTNVVPEHNQYFQVYYRFNKLSMLREPFMLISGFFILFVSCIVYMHVDLSISKSSASYLAKLQWDEVRATIQQVISIINQCLSKHERLEASLHDLSRTGDVQACKTARKTADSLFKEYSKELKPLLSFLQSSPQATQILPKVEELVAKEKELQERLIAKHSTIVDCYEKKIGGRELENRVASHQLKVAALKQEVEDLLDYVEEI >Potri.006G213300.1.v4.1 pep chromosome:Pop_tri_v4:6:21961822:21963101:-1 gene:Potri.006G213300.v4.1 transcript:Potri.006G213300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213300.v4.1 MAMGITRLFVHAKKADAKTQAQKAAKALKSGAPAFKKSKKIRTKVTFHRPRTLKKERNPKYPRISATPRNKLDHYQILKYPLTTELAMKKIEDNNTLVFIVDIRADKKKIRDAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Potri.004G103200.1.v4.1 pep chromosome:Pop_tri_v4:4:9080550:9082181:1 gene:Potri.004G103200.v4.1 transcript:Potri.004G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G103200.v4.1 MEVENQVNTIDVCQVTPYFDSSESATEFSLPLTFYDIMWLKFPPVERIFFYKLTESTPTFFNSVILPKLKHSLSHTLLHFLPLAGNIIWPPQAIKPIILYTPDDGVQLTVAESNADFHLLSGNEVHEAADSRPYIPELPVTDSKASAIALKITLFPNHGFCIGISAHHSVLDGKSSTMFIKAWAHFCKLGDEDKRQYPALLTELTPFFDRIAIQDPEGLDMVYLNNWIELKWPGVDLNPRSLQLLPVIAIRSSSVRATFELSREDIKKLRERVLANLVKEGSKETHPVHLSTFVLVLAHGYVCIVKARGVESNRKIIMGFAADCRARLDPPIHENYFGNCVTSCVAFTEAESLLEENGFMHVAEMLSELVKTLEKGVLDGAKEKMARNMKEAAGGAALLGVAGSNRFEVYGTDFGWGKPEKVEITSIDRTGAISLAESKDGNGGVEIGLVLEKHEMEKFTSLFVDGLKNHY >Potri.014G005200.1.v4.1 pep chromosome:Pop_tri_v4:14:405191:407180:-1 gene:Potri.014G005200.v4.1 transcript:Potri.014G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005200.v4.1 MSYYDQHQPPVSVPPQQGYPKDAYPPPGYPVQGYPQGYPPQGYAPQYAAPPPRQETGFLEGCLAALCCCCLLDACF >Potri.003G101650.1.v4.1 pep chromosome:Pop_tri_v4:3:12642831:12644047:-1 gene:Potri.003G101650.v4.1 transcript:Potri.003G101650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101650.v4.1 MPLGFQVLLGSDLDSLVSFLKTWRKRASGQIASSIGFFHSKKCSIGGFSSLEIFCWFPLSIALSGCFLFNEQYRGVMQNFSERGVGSLVHLVNALLIQHPVGVLGTPSGG >Potri.003G019100.2.v4.1 pep chromosome:Pop_tri_v4:3:1985528:1994979:1 gene:Potri.003G019100.v4.1 transcript:Potri.003G019100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019100.v4.1 MVLLRHLLDIEDSESIDKKALSNGEVYIGIFKATLPHGKGKYIWCDGTVYKGDWEEGKMTGKGQILWSSGAKYEGDFSGGYLHGIGTLIGHDGSEYRGAWRMNVRHGLGRKQYSNLDVYEGSWKEGMREGCGRYSWNGGNTYIGNWKGGKICGRGVMKWENGDLFDGFWINGLRHGSGVYRFADGGYYFGMWSMGLKDGKGTFYPAGTKHPSLRRWCSSLGCYESGRNLLSHSSSLNSEKTRVLIPNDMRSLSERMSINGIFKDSGRFSQGTVSLDENSSRCSLGSEFICREPSCMLSQTSDEGQSGVQDNCTVVYEREYMQGVLKNEKVKNTEPSRKTKQRNKFHVKETKRKSYVDIFQGHWSYYLMLSLQLGIRYTVGKITPVPMRGVRDSDFGDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAAEYMMSICGDDGLTELPSSGKSGSIFFLSHDDRFVIKTLKKFELKTLLKMLPKYYIHVGKHENTLITKIFGVHRITLRGGKKVRFVVMGNMFCTELRIHGRYDLKGSTQGRYTDKDKVGENTTLKDLDLAYEFHMDKLLRESLFKQLSLDCSFLESQQIIDYSLLLGIHFRAPEQLRAILEPPATMQNHATLATSDGINSQGPLVIPPKGLLLVTHEPSSVSTTPGSHIRGDTLKANSVGEKEVDLLLPGTGRLRVQLGVNMPAQASHKLMQDEVDSAEIELFEVYDVVLYMGVIDILQEYKVKKKVEHACKSLKFDPQSISIVEPKLYAKRFINFLHKVFPQQP >Potri.006G065300.1.v4.1 pep chromosome:Pop_tri_v4:6:4675467:4683114:-1 gene:Potri.006G065300.v4.1 transcript:Potri.006G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065300.v4.1 MKNHRVKTLKTKTPKPISSPLSETPPAADYTVNVDCSEDFHSVCKWVIPDFRKIKSRSLYSRYFQVSGYDFRFLMYPKGDSLSVPGHISLYLQVNDPCSSNCDCYACYKIVIVNVVDETKSLSKESVYRFSKNRKSIGWCEFAVSNTVLDANSGFLKDGVLTISGEIRVLDEKMEFSSDCSEGMSYALNGKVTWSIRNYGLLKQMVKTQKIISSAFRVWEAYLGVNLSKSMVNGAENLSLFLDIKDIEKNPVIDRSCWCLFRISVLSQKPGVSHVSREYYGRFGGGGDTSLGWTEFMKISDFFDEGYVVDDNVLVSVSFNAIQESSFSFRIEGVSSGKCKGMINCGYLGGKSKYGLVKRCDDYTGKIIWKIENFSRLKDILKKKKMKGLCVKSRRFRIGNMEVRILVYPRGQSQKPIHLSTFLEVLDPGNSSGDWSSFIVYQLAVMNGKMIEKSVVKQSAERCSNATKNHGWSEFMTLTSLFDQDSGFIGHETAVFTAEVHILKETFMTTESSDNACSVTWKMENFLSFKEIMLSRRILSRFFEIGGCKLQIGIYQSSANICAYLGSDPLIDNFWVNYRITIVNQNDPAKSLCKESSLCTKAYFNADLQLMKVSDMLDTDAGFVVHETITLVCEILDCCPWFEFFEPETGNMEAEPSDCQFLSAVCQMDINNEECKKTFSEVSGVLGSTPYEALQSVIHLLFKSASQCQCIPRAVNVVRARLELLGAEISPDLLDLLGSTMNSQHEIAEAMLREIDSVFALDEKCKLLDVTPCPLSKEVPYLDSGTRLAANSSHREDESGSHVHHHFSDIFILIDMLSIPSLTIEASGVFERGVAHGYIDNQVVALVLEKHAQRFSANSTAREKNAESLFSEEFFTPVLALAETLSLSINSQVHNFVKMFYVTLFEVFSGESYHTRMLRGLVDLATSPVENSLEMNLDMLIFLVHREHGFARPVLKMVGDAAKHDNADHSAISHRLRCLEEKIIHIGKEKRAELSNMSSEKATLLERLTETEAALLHYKSEMELERDHFTCEKTELCAHIQDIETQLEQLCSKHKDHVAKHCMEKRDYQDHLYHVETQLSHLKSLKHQELKKLLKEKEVLAERLRHAEANLEVSDRKLKKYASKVVIQEEEQQTQLDEIWQLKQKVEQIEIVKQDKEEEVAHYRACTYDLEAKLNDCQKHIQFLENAHREEMEQHAPLYGVGLESLSMESLENLLRIHEDGIKNIHALQHQLRNRSGDLVSSANPLQLRQFATYSTISYC >Potri.016G129700.1.v4.1 pep chromosome:Pop_tri_v4:16:13275117:13278371:-1 gene:Potri.016G129700.v4.1 transcript:Potri.016G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129700.v4.1 MVLNSSGGGLAEFEEELPANQTENDPKASNDKYAALDVSTSRKALLSDDKLSVGKQNALKAIPGRLNMLKFSSNGGLSSPSTKFKQMAEERDEISRSVPSSTSQGYREHFNRFTTQKIDWESLWSICRNWIKNPFNMVLFLWISCVAVSGAILFLVMTGMLDAALPKKSQRDAWFEVNNQILNALFTLMCLYQHPKRFYHLVLLCRWRSKDITKLRKIYCKNGTYKPHEWAHMMVVVMLLHLNCFAQYALCSLNLEYNRSERPAIGVGICLSVAIAAPAMAGLYSIISPLGKEYDCEFDEEAQNQTLTNSASHLNHRMSLEKRFSFVSRDEQRIVEYAPEWRGGLFDFRDNIRTAYLSLFCSFCVFGWNMERLGFGNMYVHIATFLLFCTAPFWIFNLAAINIDNETVRVALGLTGIALCLFGLLYGGFWRIQMRKRFNLPESNIFIGKPAIADCAQWLFCCWCSLAQEVRTADFYDIVEDKFCRKQTNEINHPTLPSLPREDGVVQFMSSPTSFHYGHRLLGLGAKRSPSPSRLSRDHYSPGRQLSKVEEDFSMKDTDDFMNPPALALIQKEVTVQNILRENNHL >Potri.014G136000.3.v4.1 pep chromosome:Pop_tri_v4:14:9222858:9230394:1 gene:Potri.014G136000.v4.1 transcript:Potri.014G136000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136000.v4.1 MGYAMSRLETESELCDGGKTIPEAGSSKRASNWLNNLDHEIAQVTKLKSSPHKQLAELVPGMHKSSVSTVKMLVGREANYSARGRFSAADRCHMLSRYLPVNGPWLVDQMSTRAYVSQFSADGSLFVAGFQGSYIRIYNVEKGWKVQKNILAKSLRWTVTDTSLSPDQRHLVYASMSPIVHIVDAGSAETESLANVTEFHDGLDFSSGDGGYSFGIFSVKFSTDGRELVAGSNDDSIYVYDLEQNKLSLRILAHTSDVNTVCFADESGHLIFSGSDDNLCKVWDRRCFIAKGKPAGVLTGHLEGITFIDSHGDGRYFISNGKDQTIKLWDIRKMAPNATSYSGLRNYEWDYRWMDYPYEARDLKHPCDQSVATYKGHSVLRTLIRCYFSPVYSTGQKYIYTGSHDSCVYIYDLVTGELVSLLQHHKSPVRDCSWHPYYPMLVSSSWDGDVVKWEFPGNGEAPVPSTKKRIRRRQFD >Potri.014G136000.1.v4.1 pep chromosome:Pop_tri_v4:14:9223026:9230468:1 gene:Potri.014G136000.v4.1 transcript:Potri.014G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136000.v4.1 MYFFARRTSVDEMGYAMSRLETESELCDGGKTIPEAGSSKRASNWLNNLDHEIAQVTKLKSSPHKQLAELVPGMHKSSVSTVKMLVGREANYSARGRFSAADRCHMLSRYLPVNGPWLVDQMSTRAYVSQFSADGSLFVAGFQGSYIRIYNVEKGWKVQKNILAKSLRWTVTDTSLSPDQRHLVYASMSPIVHIVDAGSAETESLANVTEFHDGLDFSSGDGGYSFGIFSVKFSTDGRELVAGSNDDSIYVYDLEQNKLSLRILAHTSDVNTVCFADESGHLIFSGSDDNLCKVWDRRCFIAKGKPAGVLTGHLEGITFIDSHGDGRYFISNGKDQTIKLWDIRKMAPNATSYSGLRNYEWDYRWMDYPYEARDLKHPCDQSVATYKGHSVLRTLIRCYFSPVYSTGQKYIYTGSHDSCVYIYDLVTGELVSLLQHHKSPVRDCSWHPYYPMLVSSSWDGDVVKWEFPGNGEAPVPSTKKRIRRRQFD >Potri.014G136000.5.v4.1 pep chromosome:Pop_tri_v4:14:9222932:9230302:1 gene:Potri.014G136000.v4.1 transcript:Potri.014G136000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136000.v4.1 MGYAMSRLETESELCDGGKTIPEAGSSKRASNWLNNLDHEIAQVTKLKSSPHKQLAELVPGMHKSSVSTVKMLVGREANYSARGRFSAADRCHMLSRYLPVNGPWLVDQMSTRAYVSQFSADGSLFVAGFQGSYIRIYNVEKGWKVQKNILAKSLRWTVTDTSLSPDQRHLVYASMSPIVHIVDAGSAETESLANVTEFHDGLDFSSGDGGYSFGIFSVKFSTDGRELVAGSNDDSIYVYDLEQNKLSLRILAHTSDVNTVCFADESGHLIFSGSDDNLCKVSYINFLY >Potri.014G136000.4.v4.1 pep chromosome:Pop_tri_v4:14:9222946:9230456:1 gene:Potri.014G136000.v4.1 transcript:Potri.014G136000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136000.v4.1 MGYAMSRLETESELCDGGKTIPEAGSSKRASNWLNNLDHEIAQVTKLKSSPHKQLAELVPGMHKSSVSTVKMLVGREANYSARGRFSAADRCHMLSRYLPVNGPWLVDQMSTRAYVSQFSADGSLFVAGFQGSYIRIYNVEKGWKVQKNILAKSLRWTVTDTSLSPDQRHLVYASMSPIVHIVDAGSAETESLANVTEFHDGLDFSSGDGGYSFGIFSVKFSTDGRELVAGSNDDSIYVYDLEQNKLSLRILAHTSDVNTVCFADESGHLIFSGSDDNLCKVWDRRCFIAKGKPAGVLTGHLEGITFIDSHGDGRYFISNGKDQTIKLWDIRKMAPNATSYSGLRNYEWDYRWMDYPYEARDLKHPCDQSVATYKGHSVLRTLIRCYFSPVYSTGQKYIYTGSHDSCVYIYDLVTGELVSLLQHHKSPVRDCSWHPYYPMLVSSSWDGDVVKWEFPGNGEAPVPSTKKRIRRRQFD >Potri.004G134066.1.v4.1 pep chromosome:Pop_tri_v4:4:15563821:15567814:-1 gene:Potri.004G134066.v4.1 transcript:Potri.004G134066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134066.v4.1 MDLESVKRYLETGGYEEDKNASTIEKMPLRFFERFIMQGLHIDLIEPGRVVCSMKVPPRLLNGGNFLHGGATATLVDLVGSAAIFTVGAPATGVSVEINVSYLDAAFADEEIEIEARVLRVGKAVGVVSVELKKKKTGKIIAQGRHTKYLAVPSKL >Potri.010G121500.2.v4.1 pep chromosome:Pop_tri_v4:10:13936237:13940586:-1 gene:Potri.010G121500.v4.1 transcript:Potri.010G121500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121500.v4.1 MTGGGEASHKRSPRELACHAGAGASAGVIAATFMCPLDVIKTRLQVHGLPPNSGQGGSIIISSLKHIVRTEGFKGLYRGLSPTIMALLPNWAVYFTVYEQLKGILSNEDGDSHLSVGANMVAAAGAGAATSIATNPLWVVKTRLQTQGMRPGVVPYKSVLSALRRIKQEEGMLGLYSGILPSLAGISHVAIQFPAYEKIKCYMAKKGNTTVNNLSPGDVAIASSVSKVLASVLTYPHEVVRSRLQEQGQLRNSEAHYAGVVDCIKKVFQKEGFRGFYRGCATNLMRTTPSAVITFTSYEMIHKFFEGILLPDKKHSHAQTKSDDHAKPQQEIKGNVNSNNTVSGQSQTQPNKTPSIPLGNKEQLPAAH >Potri.010G121500.4.v4.1 pep chromosome:Pop_tri_v4:10:13936215:13940631:-1 gene:Potri.010G121500.v4.1 transcript:Potri.010G121500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121500.v4.1 MTGGGEASHKRSPRELACHAGAGASAGVIAATFMCPLDVIKTRLQVHGLPPNSGQGGSIIISSLKHIVRTEGFKGLYRGLSPTIMALLPNWAVYFTVYEQLKGILSNEDGDSHLSVGANMVAAAGAGAATSIATNPLWVVKTRLQTQGMRPGVVPYKSVLSALRRIKQEEGMLGLYSGILPSLAGISHVAIQFPAYEKIKCYMAKKGNTTVNNLSPGDVAIASSVSKVLASVLTYPHEVVRSRLQEQGQLRNSEAHYAGVVDCIKKVFQKEGFRGFYRGCATNLMRTTPSAVITFTSYEMIHKFFEGILLPDKKHSHAQTKSDDHAKPQQEIKGNVNSNNTVSGQSQTQPNKTPSIPLGNKEQLPAAH >Potri.006G000900.1.v4.1 pep chromosome:Pop_tri_v4:6:80351:85060:-1 gene:Potri.006G000900.v4.1 transcript:Potri.006G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000900.v4.1 MGVGAASSKLKRAAKKMVVAACTSFSSRKPPALADPSASLHTNSINISDSSLATFPTRTNNSFSGEGEGEDDDDDEEEEESNTIISNKNNNNVASKNLCAICLDPLSYSTSNSPGQAIFTAQCRHAFHFACISSNVRHGSVTCPICRARWTQLPRNLNMPCSLSCNQTDPILQILDDSIANFRVHRHSFLRSARYDDDDPIEPDQTPNYPRLDFSIVPIPLTIFHHPRTQHYQHHHNLTAGSSFFSHPPASYACTSSSNRISTAAYLSVKLANQRPTDLILVASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRRMTFYGKRTALQVIDRLYFMGQADPIEGLKKGIKILEDRAHKNPQSTILHLSDSPTRSYHTINMQVPIPIHRFHVGFGFGTSNGFVMHEFEEFLARMLGGVIRDVQLRIGDEARITRLGELRGGEERRIVLELGESNYVSVGYSYIDGGVGECNRTGETVVTLGEKWEANEDGREAVAGRDSSSIFGGRSSSVESWDYHDPYMARRWAKHLHGYRI >Potri.006G000900.2.v4.1 pep chromosome:Pop_tri_v4:6:80760:82712:-1 gene:Potri.006G000900.v4.1 transcript:Potri.006G000900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000900.v4.1 MWQNLCAICLDPLSYSTSNSPGQAIFTAQCRHAFHFACISSNVRHGSVTCPICRARWTQLPRNLNMPCSLSCNQTDPILQILDDSIANFRVHRHSFLRSARYDDDDPIEPDQTPNYPRLDFSIVPIPLTIFHHPRTQHYQHHHNLTAGSSFFSHPPASYACTSSSNRISTAAYLSVKLANQRPTDLILVASPNGPHLRLLKQSMALVVFSLRPIDRLAIVTYSSAAARVFPLRRMTFYGKRTALQVIDRLYFMGQADPIEGLKKGIKILEDRAHKNPQSTILHLSDSPTRSYHTINMQVPIPIHRFHVGFGFGTSNGFVMHEFEEFLARMLGGVIRDVQLRIGDEARITRLGELRGGEERRIVLELGESNYVSVGYSYIDGGVGECNRTGETVVTLGEKWEANEDGREAVAGRDSSSIFGGRSSSVESWDYHDPYMARRWAKHLHGYRI >Potri.001G273400.11.v4.1 pep chromosome:Pop_tri_v4:1:28731869:28739955:-1 gene:Potri.001G273400.v4.1 transcript:Potri.001G273400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273400.v4.1 MAYHFSLSLLLSLLLFTYFPSNSQSNQFCEAGIGYDEVGCGISSSSTKLLIKGGTVVNAHHQEIADVYVKDGIIVAVKPNLRVGDDVKVIDATGKFVMPGGIDPHTHLAVEALNTESVDDFFSGQAAALAGGTTMHIDFVIPVNGSLIAGLKAYEKKAKKSCMDYGFHMVITKFDDIVSRDMEIMVKEKGINSFKFFLAYKGVLMVNDELLLEGLKRCKSLGALAMVHAENGDAVFEGQKRMIQLGITGPEGHALSRPPLLEGEATARAIRLAGFVNTPLYVVHVMSIDAMEEIAKARKSGQRVVGEPVVSGLALDDSGLWDSDFTTASKYVMSPPIRASGHGKALQDALSNGVLQLVGTDHCVFNSTQKASGIDDFRKIPNGVNGIEERMHLVWDLMVESGQISVTDYVRVTSTECARIFNIYPRKGAIIAGSDADIIILNPNSTFEINARSHHSRTDTNVYEGRRGKGKVEVTIAGGRVVWENNELKVVPGSGKYIEMAPFSYLFSGIDRADASYLSSLNAPVKRFKSTT >Potri.018G076600.11.v4.1 pep chromosome:Pop_tri_v4:18:9223099:9229831:-1 gene:Potri.018G076600.v4.1 transcript:Potri.018G076600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076600.v4.1 MQLLYAPTCHNLSLRSLHTRPKEVWIAVSVAGLFSSLEKWLHLSVNNQPCKLPPRKCFYLKKTRALNSSVQAGGSAVSTRNAESDVLKALSQIIDPDFGTDIVSCGFVKDLNIDEAQGEVSFRLELTTPACPVKDMFEQKANEVVALLPWVKNVKVTMSAQPARPVYAGQLPQGLQTISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVIDQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDGGMPEVAADPQGEVAKIFQNLGICIVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSEEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYADVPEDIEPEEIRPMGNYAVQITWPDGFSQIAPYDQLQTMERLVDVPEPAPIGA >Potri.018G076600.3.v4.1 pep chromosome:Pop_tri_v4:18:9223081:9229834:-1 gene:Potri.018G076600.v4.1 transcript:Potri.018G076600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076600.v4.1 MQLLYAPTCHNLSLRSLHTRPKEGLFSSLEKWLHLSVNNQPCKLPPRKCFYLKKTRALNSSVQAGGSAVSTRNAESDVLKALSQIIDPDFGTDIVSCGFVKDLNIDEAQGEVSFRLELTTPACPVKDMFEQKANEVVALLPWVKNVKVTMSAQPARPVYAGQLPQGLQTISNIIAVSSCKGGVGKSTVAVNLAYTLAGMGARVGIFDADVYGPSLPTMVSPENRLLEMNPEKRTIIPTEYLGVKLVSFGFAGQGRAIMRGPMVSGVIDQLLTTTEWGELDYLVIDMPPGTGDIQLTLCQVVPLTAAVIVTTPQKLAFIDVAKGVRMFSKLKVPCVAVVENMCHFDADGKRYYPFGRGSGSQVVQQFGIPHLFDLPIRPTLSASGDGGMPEVAADPQGEVAKIFQNLGICIVQQCAKIRQQVSTAVTYDKSIKAIKVKVPDSEEEFLLHPATVRRNDRSAQSVDEWTGEQKLQYADVPEDIEPEEIRPMGNYAVQITWPDGFSQIAPYDQLQTMERLVDVPEPAPIGA >Potri.008G016000.7.v4.1 pep chromosome:Pop_tri_v4:8:805227:810132:1 gene:Potri.008G016000.v4.1 transcript:Potri.008G016000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016000.v4.1 MARQTNTLFLEEWLRISSGSSSNTSADQSSSSSARAIIQAWAELRDCHQHQSFEPHHFQSLKILLDARTSLHVAEPQAKLLVSILSSTNLVIPLEAYPLLLRLLYIWVRKSFRPSSALIDSAVETLSHLLATELGSKKSPEFFSEGVLLLGAFSSVPSVSESSKTVCLELLCRLLEDEYRLVSPFGGLIPDVLAGIGYALCSSVIVYYARTLNALLGIWGREDGPPGSVSHGLMILHLVEWVMSSFIKSRSQDKLQIFSKETLDTSRKDHVPFAVVMAAAGVLRALNRSAPSQQGLQILSSLRISAENRIESVAQYFISKSRDYDNSGDDYATSILLQCISLALARSGSVSSRPPLLLSLASALLTEIFPLRRLHARILESTHGSSGGLEPGKIKEHLSSVTFKEAGAISSVFCSQYISADDENKMIVENMIWRFCQELYSGHRKVAFLLHGKADELLEDVEKIAESAFLMVVVFALAVTKQKLNSKFSTESQMETSVLILVSFSCLEYFRRMRLSEYMDTIRGVVVSAQENETACVSFVESMPTYVDLPNPQEFQQKVDYIWFKDEVQTARILFYLRVIPTCIERLPGSVFSRVVAPTMFLYPTFNN >Potri.008G016000.5.v4.1 pep chromosome:Pop_tri_v4:8:805222:810132:1 gene:Potri.008G016000.v4.1 transcript:Potri.008G016000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016000.v4.1 MARQTNTLFLEEWLRISSGSSSNTSADQSSSSSARAIIQAWAELRDCHQHQSFEPHHFQSLKILLDARTSLHVAEPQAKLLVSILSSTNLVIPLEAYPLLLRLLYIWVRKSFRPSSALIDSAVETLSHLLATELGSKKSPEFFSEGVLLLGAFSSVPSVSESSKTVCLELLCRLLEDEYRLVSPFGGLIPDVLAGIGYALCSSVIVYYARTLNALLGIWGREDGPPGSVSHGLMILHLVEWVMSSFIKSRSQDKLQIFSKETLDTSRKDHVPFAVVMAAAGVLRALNRSAPSQQGLQILSSLRISAENRIESVAQYFISKSRDYDNSGDDYATSILLQCISLALARSGSVSSRPPLLLSLASALLTEIFPLRRLHARILESTHGSSGGLEPGKIKEHLSSVTFKEAGAISSVFCSQYISADDENKMIVENMIWRFCQELYSGHRKVAFLLHGKADELLEDVEKIAESAFLMVVVFALAVTKQKLNSKFSTESQMETSVLILVSFSCLEYFRRMRLSEYMDTIRGVVVSAQENETACVSFVESMPTYVDLPNPQEFQQKVDYIWFKDEVQTARILFYLRVIPTCIERLPGSVFSRVVAPTMFLYMGHPNGKVARASHSMFAAFISSGKDSNENERSLLKEQLVFYYMQRSLAGFPGITPFEGMASGVAALVRNLPAGSPATFYCINSLVEKASKLCTDIATQKPDMWKNWEGESEPCKKILELLLRLISLVDIQVLPDLMKLLAQLLVELPKEGQNVVLNELYAQVAESDDVTRKPTLVSWLQSVSYLCSQSTSGSAPSKGIGGEGSSASSLRDPSNWNGINARL >Potri.008G016000.3.v4.1 pep chromosome:Pop_tri_v4:8:805228:810258:1 gene:Potri.008G016000.v4.1 transcript:Potri.008G016000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016000.v4.1 MARQTNTLFLEEWLRISSGSSSNTSADQSSSSSARAIIQAWAELRDCHQHQSFEPHHFQSLKILLDARTSLHVAEPQAKLLVSILSSTNLVIPLEAYPLLLRLLYIWVRKSFRPSSALIDSAVETLSHLLATELGSKKSPEFFSEGVLLLGAFSSVPSVSESSKTVCLELLCRLLEDEYRLVSPFGGLIPDVLAGIGYALCSSVIVYYARTLNALLGIWGREDGPPGSVSHGLMILHLVEWVMSSFIKSRSQDKLQIFSKETLDTSRKDHVPFAVVMAAAGVLRALNRSAPSQQGLQILSSLRISAENRIESVAQYFISKSRDYDNSGDDYATSILLQCISLALARSGSVSSRPPLLLSLASALLTEIFPLRRLHARILESTHGSSGGLEPGKIKEHLSSVTFKEAGAISSVFCSQYISADDENKMIVENMIWRFCQELYSGHRKVAFLLHGKADELLEDVEKIAESAFLMVVVFALAVTKQKLNSKFSTESQMETSVLILVSFSCLEYFRRMRLSEYMDTIRGVVVSAQENETACVSFVESMPTYVDLPNPQEFQQKVDYIWFKDEVQTARILFYLRVIPTCIERLPGSVFSRVVAPTMFLYMGHPNGKVARASHSMFAAFISSGKDSNENERSLLKEQLVFYYMQRSLAGFPGITPFEGMASGVAALVRNLPAGSPATFYCINSLVEKASKLCTDIATQKPDMWKNWEGESEPCKKILELLLRLISLVDIQVLPDLMKLLAQLLVELPKEGQNVVLNELYAQVAESDDVTRKPTLVSWLQSVSYLCSQSTSGSAPSKGIGGEGSSASSLRDPSNWNGINARL >Potri.008G016000.6.v4.1 pep chromosome:Pop_tri_v4:8:805222:810168:1 gene:Potri.008G016000.v4.1 transcript:Potri.008G016000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016000.v4.1 MARQTNTLFLEEWLRISSGSSSNTSADQSSSSSARAIIQAWAELRDCHQHQSFEPHHFQSLKILLDARTSLHVAEPQAKLLVSILSSTNLVIPLEAYPLLLRLLYIWVRKSFRPSSALIDSAVETLSHLLATELGSKKSPEFFSEGVLLLGAFSSVPSVSESSKTVCLELLCRLLEDEYRLVSPFGGLIPDVLAGIGYALCSSVIVYYARTLNALLGIWGREDGPPGSVSHGLMILHLVEWVMSSFIKSRSQDKLQIFSKETLDTSRKDHVPFAVVMAAAGVLRALNRSAPSQQGLQILSSLRISAENRIESVAQYFISKSRDYDNSGDDYATSILLQCISLALARSGSVSSRPPLLLSLASALLTEIFPLRRLHARILESTHGSSGGLEPGKIKEHLSSVTFKEAGAISSVFCSQYISADDENKMIVENMIWRFCQELYSGHRKVAFLLHGKADELLEDVEKIAESAFLMVVVFALAVTKQKLNSKFSTESQMETSVLILVSFSCLEYFRRMRLSEYMDTIRGVVVSAQENETACVSFVESMPTYVDLPNPQEFQQKVDYIWFKDEVQTARILFYLRVIPTCIERLPGSVFSRVVAPTMFLYMGHPNGKVARASHSMFAAFISSGKDSNENERSLLKEQLVFYYMQRSLAGFPGITPFEGMASGVAALVRNLPAGSPATFYCINSLVEKASKLCTDIATQKPDMWKNWEGESEPCKKILELLLRLISLVDIQVLPDLMKLLAQLLVELPKEGQNVVLNELYAQVAESDDVTRKPTLVSWLQSVSYLCSQSTSGSAPSKGIGGEGSSASSLRDPSNWNGINARL >Potri.006G169900.1.v4.1 pep chromosome:Pop_tri_v4:6:17166616:17168020:-1 gene:Potri.006G169900.v4.1 transcript:Potri.006G169900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G169900.v4.1 MKAGLLVSLIVNFLVVASAGSFYNDFYFNWGHDHGKVYDNGNGLSLILDKNSGSGFQSKKEYLFGKIDIQLKLVHGNSAGTVTTFYLSSLGPYHDEIDFEFLGNTSGQPYTLHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGIAIREFKNLESIGVPFPKNQPMRIYSSLWEADDWATCGGRVKTDWTKAPFVASFRNFNVNACAWSYGASSCKSKSGFADSISNSWIWEELDVGREGQMKWVRDNYMTYDYCKDSKRFPHGLPRECYVTNFP >Potri.005G182600.1.v4.1 pep chromosome:Pop_tri_v4:5:18916457:18923145:1 gene:Potri.005G182600.v4.1 transcript:Potri.005G182600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182600.v4.1 MVLCLFHVSIIMNRLSPVTGILTSCPCSFKLHNRDSKLVGCGFGLQRKERLKRKLKFVVSAELSKSFSVNLGLDSKIGQSHDPSQLPWIGPVPGDIAEIEAYCRIFRAAEQLHAALMDTLCNPLTGECKISYDFTPEEKPLLEDKIVSVLGCILSLLNKGREDVLSGRSSIMSSFRGAEVSAMEDKLPPLAIFRSEMKRCCESLHVALENYLTPDYDRSLDVWRKLQRLKNVCYDSGFPRLDDCPCHMLFANWNAVYLSTSKEDLMSKNSEAAFWRGGQVTEEGLKWLLERGFKTIVDLRAEIIKDNLYEAEVADAIAAGKVELIKIPVEVRTAPSMEQVEKFASLVSDFSKKPIYLHSKEGVWRTSAMVSRWRQYMTRSASQITTQRDVGSRRGPSIIIRGGSLSGQENGSLPEALDKDHGSNGASSEVVSPKDENGQSINRAYNDHASVQGSIPLEMVDNGVGFSANISMEADPLKAQVPPYDFFSKAEMSRFFRTKKITPPTYSKYQLKGFEKLLVSRTTGVATVPKVDGIDPELGFVEAKRSYGLVRGKNASPKPQSSPADSAKHLNGSSNTSAGSGNGVVSSASSDDDMCTIEGNMCASATGVVRVQSRRKAEMFLVRTDGFSCAREQVTESSLAFTHPSTQQQMLMWKTTPKTVLLLKKLGKELMEEAKEVASFLYHQEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHYFEDYRQDLRQVIHGNKTLDGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >Potri.005G182600.2.v4.1 pep chromosome:Pop_tri_v4:5:18916462:18923209:1 gene:Potri.005G182600.v4.1 transcript:Potri.005G182600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182600.v4.1 MVLCLFHVSIIMNRLSPVTGILTSCPCSFKLHNRDSKLVGCGFGLQRKERLKRKLKFVVSAELSKSFSVNLGLDSKKIGQSHDPSQLPWIGPVPGDIAEIEAYCRIFRAAEQLHAALMDTLCNPLTGECKISYDFTPEEKPLLEDKIVSVLGCILSLLNKGREDVLSGRSSIMSSFRGAEVSAMEDKLPPLAIFRSEMKRCCESLHVALENYLTPDYDRSLDVWRKLQRLKNVCYDSGFPRLDDCPCHMLFANWNAVYLSTSKEDLMSKNSEAAFWRGGQVTEEGLKWLLERGFKTIVDLRAEIIKDNLYEAEVADAIAAGKVELIKIPVEVRTAPSMEQVEKFASLVSDFSKKPIYLHSKEGVWRTSAMVSRWRQYMTRSASQITTQRDVGSRRGPSIIIRGGSLSGQENGSLPEALDKDHGSNGASSEVVSPKDENGQSINRAYNDHASVQGSIPLEMVDNGVGFSANISMEADPLKAQVPPYDFFSKAEMSRFFRTKKITPPTYSKYQLKGFEKLLVSRTTGVATVPKVDGIDPELGFVEAKRSYGLVRGKNASPKPQSSPADSAKHLNGSSNTSAGSGNGVVSSASSDDDMCTIEGNMCASATGVVRVQSRRKAEMFLVRTDGFSCAREQVTESSLAFTHPSTQQQMLMWKTTPKTVLLLKKLGKELMEEAKEVASFLYHQEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHYFEDYRQDLRQVIHGNKTLDGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >Potri.005G182600.3.v4.1 pep chromosome:Pop_tri_v4:5:18916565:18923211:1 gene:Potri.005G182600.v4.1 transcript:Potri.005G182600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182600.v4.1 MDTLCNPLTGECKISYDFTPEEKPLLEDKIVSVLGCILSLLNKGREDVLSGRSSIMSSFRGAEVSAMEDKLPPLAIFRSEMKRCCESLHVALENYLTPDYDRSLDVWRKLQRLKNVCYDSGFPRLDDCPCHMLFANWNAVYLSTSKEDLMSKNSEAAFWRGGQVTEEGLKWLLERGFKTIVDLRAEIIKDNLYEAEVADAIAAGKVELIKIPVEVRTAPSMEQVEKFASLVSDFSKKPIYLHSKEGVWRTSAMVSRWRQYMTRSASQITTQRDVGSRRGPSIIIRGGSLSGQENGSLPEALDKDHGSNGASSEVVSPKDENGQSINRAYNDHASVQGSIPLEMVDNGVGFSANISMEADPLKAQVPPYDFFSKAEMSRFFRTKKITPPTYSKYQLKGFEKLLVSRTTGVATVPKVDGIDPELGFVEAKRSYGLVRGKNASPKPQSSPADSAKHLNGSSNTSAGSGNGVVSSASSDDDMCTIEGNMCASATGVVRVQSRRKAEMFLVRTDGFSCAREQVTESSLAFTHPSTQQQMLMWKTTPKTVLLLKKLGKELMEEAKEVASFLYHQEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHERVDFVACLGGDGVILHASNLFRGAVPPVVSFNLGSLGFLTSHYFEDYRQDLRQVIHGNKTLDGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTAAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKSDQTGDWFHSLIRCLNWNERLDQKAL >Potri.019G001971.1.v4.1 pep chromosome:Pop_tri_v4:19:23778:29924:-1 gene:Potri.019G001971.v4.1 transcript:Potri.019G001971.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001971.v4.1 MASKLFSAGSFSSSSLSTECTYHVFLSFRGADTRKTFLGHLYNALVQAGIHTFKDDEELPPGEEISQQLKKAIQESKISIVVFSRDYASSRWCLNELVEILECRNTKGRTVFPIFCGVDPSHVRKQEGSFKKAFKAYENKEEKEKINKWKNALKDAANLSGKDIYSTANGDESVLIKKIVKDVLNKLDIKNLNIPKYLVGIDSCVDDIIKSLNASDDVSMVGIRGMLGMGKTTIAKVVYQKLFQKFDGSCFLFDVNEKSKGPDSKVELQKQLIRETLGVNILKRKKISDVDSGISLIKDLLGNKKILLVLDGMDQPQQLETFGDRSVFAKGSKIIITTTNEKLLAQLKVDKKHSVEEWDEEMCLDLFNFHAFEGKTPEEELAELSKVVVEQSGKLPSVLVVLGNRFSQISERDEWEKEIYELRKLPDPIHSKLKGSYDSLEDDLKSIFLDIACFFVGEDADFVASILGGRYGYCNNLRSRIQSLEERSLITIHFDDTIMMNDLVQKMGREIVRQTSHKYPGKHSRIWDHEDALDVLINHMGTESVEGLTLDEFDEDIMQTGTEDEEGLGTEDEEGLGTEDEEGRTLDVQASKFLTLRTEYFKEMRFLQLLRIDAVHLTGSFKNFPKGLIWLSWKGFTLNSLPLDFHLDNLIVLELQYSYNIKELKVLNKLKTLNLSYSKFTKTPNLLGLPCLEELILEDCERLVEVHESICLLKRLVSLNLNQCSRLKSLPSGISGLSKLESLLVEDCTDLRSISELPSSLETLDADGCEKLTEIQGIEDVSDCNFSLLGSNSLSKKFKKSLAEALCKRYEYSICLDGGSLPEWFISNPEEGYSLSFQAPPSADSDGEVRLVIWVVCACEIESAECELGIKISTDDGFRLLKDSISPKSDNCSWIKYIVLEGIEAGDQLELSMEIIEGDNFVQVKELGFYLIEEKPNVEEGNGESRETAPKGKPNVDEPNDRRTIAYSAIDDKEEDSDVQETFLKKLFVEESDDSEATSSIDDEEENGSDGQETAKEKPNVKRRDYRQRMASSIDDVEEDGNDGRETAHGKPDVEEEDEDRKGSVNQGTTLHIYNERWNNYLRKELPKWDILEFAVCSEYTASLNDDEDWDDDDSDSESEGRSSVINF >Potri.019G001971.3.v4.1 pep chromosome:Pop_tri_v4:19:23749:28522:-1 gene:Potri.019G001971.v4.1 transcript:Potri.019G001971.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001971.v4.1 MVGIRGMLGMGKTTIAKVVYQKLFQKFDGSCFLFDVNEKSKGPDSKVELQKQLIRETLGVNILKRKKISDVDSGISLIKDLLGNKKILLVLDGMDQPQQLETFGDRSVFAKGSKIIITTTNEKLLAQLKVDKKHSVEEWDEEMCLDLFNFHAFEGKTPEEELAELSKVVVEQSGKLPSVLVVLGNRFSQISERDEWEKEIYELRKLPDPIHSKLKGSYDSLEDDLKSIFLDIACFFVGEDADFVASILGGRYGYCNNLRSRIQSLEERSLITIHFDDTIMMNDLVQKMGREIVRQTSHKYPGKHSRIWDHEDALDVLINHMGTESVEGLTLDEFDEDIMQTGTEDEEGLGTEDEEGLGTEDEEGRTLDVQASKFLTLRTEYFKEMRFLQLLRIDAVHLTGSFKNFPKGLIWLSWKGFTLNSLPLDFHLDNLIVLELQYSYNIKELKVLNKLKTLNLSYSKFTKTPNLLGLPCLEELILEDCERLVEVHESICLLKRLVSLNLNQCSRLKSLPSGISGLSKLESLLVEDCTDLRSISELPSSLETLDADGCEKLTEIQGIEDVSDCNFSLLGSNSLSKKFKKSLAEALCKRYEYSICLDGGSLPEWFISNPEEGYSLSFQAPPSADSDGEVRLVIWVVCACEIESAECELGIKISTDDGFRLLKDSISPKSDNCSWIKYIVLEGIEAGDQLELSMEIIEGDNFVQVKELGFYLIEEKPNVEEGNGESRETAPKGKPNVDEPNDRRTIAYSAIDDKEEDSDVQETFLKKLFVEESDDSEATSSIDDEEENGSDGQETAKEKPNVKRRDYRQRMASSIDDVEEDGNDGRETAHGKPDVEEEDEDRKGSVNQGTTLHIYNERWNNYLRKELPKWDILEFAVCSEYTASLNDDEDWDDDDSDSESEGRSSVINF >Potri.019G001971.2.v4.1 pep chromosome:Pop_tri_v4:19:23750:29920:-1 gene:Potri.019G001971.v4.1 transcript:Potri.019G001971.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001971.v4.1 MASKLFSAGSFSSSSLSTECTYHVFLSFRGADTRKTFLGHLYNALVQAGIHTFKDDEELPPGEEISQQLKKAIQESKISIVVFSRDYASSRWCLNELVEILECRNTKGRTVFPIFCGVDPSHVRKQEGSFKKAFKAYENKEEKEKINKWKNALKDAANLSGKDIYSTANGDESVLIKKIVKDVLNKLDIKNLNIPKYLVGIDSCVDDIIKSLNASDDVSMVGIRGMLGMGKTTIAKVVYQKLFQKFDGSCFLFDVNEKSKGPDSKVELQKQLIRETLGVNILKRKKISDVDSGISLIKDLLGNKKILLVLDGMDQPQQLETFGDRSVFAKGSKIIITTTNEKLLAQLKVDKKHSVEEWDEEMCLDLFNFHAFEGKTPEEELAELSKVVVEQSGKLPSVLVVLGNRFSQISERDEWEKEIYELRKLPDPIHSKLKGSYDSLEDDLKSIFLDIACFFVGEDADFVASILGGRYGYCNNLRSRIQSLEERSLITIHFDDTIMMNDLVQKMGREIVRQTSHKYPGKHSRIWDHEDALDVLINHMGTESVEGLTLDEFDEDIMQTGTEDEEGLGTEDEEGLGTEDEEGRTLDVQASKFLTLRTEYFKEMRFLQLLRIDAVHLTGSFKNFPKGLIWLSWKGFTLNSLPLDFHLDNLIVLELQYSYNIKELKVLNKLKTLNLSYSKFTKTPNLLGLPCLEELILEDCERLVEVHESICLLKRLVSLNLNQCSRLKSLPSGISGLSKLESLLVEDCTDLRSISELPSSLETLDADGCEKLTEIQGIEDVSDCNFSLLGSNSLSKKFKKSLAEAPPSADSDGEVRLVIWVVCACEIESAECELGIKISTDDGFRLLKDSISPKSDNCSWIKYIVLEGIEAGDQLELSMEIIEGDNFVQVKELGFYLIEEKPNVEEGNGESRETAPKGKPNVDEPNDRRTIAYSAIDDKEEDSDVQETFLKKLFVEESDDSEATSSIDDEEENGSDGQETAKEKPNVKRRDYRQRMASSIDDVEEDGNDGRETAHGKPDVEEEDEDRKGSVNQGTTLHIYNERWNNYLRKELPKWDILEFAVCSEYTASLNDDEDWDDDDSDSESEGRSSVINF >Potri.002G088200.2.v4.1 pep chromosome:Pop_tri_v4:2:6376614:6378871:1 gene:Potri.002G088200.v4.1 transcript:Potri.002G088200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088200.v4.1 MAVNAPNPKPLNPSASPYTRAEASVSSPFLPPNSGMIVLPSKLPQLSQEIYGSHPQQLQSQLAWVTRSVPNMVPGFLANPYLSVQHQLTQAWVYQEATAYYNVYRQPFTVPYPHLYYSDSGNQGTCCTPGENLKESEAVKFEERVKCEDCYSGVLGNVEKRVFGGGARRFVAPPRLRAKRRDGGVFLGEKLWVPKTESKLYGESSGDDQGLSVKEEDGVLSCSTDEVFLAGKTTLMIKNIPNQLGRHDLLRILDVHCLGENQKAMQRFDRVKSEFDFFYLPMDFVRRANLGYAFVNFTNAAGALRFRKAFNKYKWDVGANRKTCEVSLATIQGKDALCNRYKNSVFPCHTNAYLPVVLLPARDGWRQTAPSIVGRRVDPAFPLERGCFSRRKSFNK >Potri.002G106632.1.v4.1 pep chromosome:Pop_tri_v4:2:7880774:7884135:-1 gene:Potri.002G106632.v4.1 transcript:Potri.002G106632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106632.v4.1 MSSGGSDLVRFVESVLGVSFGDSVSDSVVVIITTSFAVVFGLVAFISKRSSDRSKVVKPLVVPKSLSVKDEEDESEALAGKTKVTIFYGTQTGTAEGFAKALAEEVKARYEKAAVKVVDLDDYAVEDDQYEEKLKKETLALLMVATYGDGEPTDNSARFYKWFTEGNERGIWLQQLSYGIFGLGNRQYEHFNKIANELDDLLCEQGGKRLVPVGLGDDYQCIEDDFSAWKELLWPELDQLLRDEDDVNSPSTPYTAAIPEYRSVIHDPSIASVKDKF >Potri.011G066600.2.v4.1 pep chromosome:Pop_tri_v4:11:5792774:5795892:1 gene:Potri.011G066600.v4.1 transcript:Potri.011G066600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066600.v4.1 MALSSSVDYNPTRRDSFITSSWDDTIKLWTLDRPASIRTFKEHAYCVYSAAWNPRHTDVFASASGDCTVRIWDVREPGSTMIIPGHDFEILCCDWNKYDDCIIATASVDKSIRVWDVRSFRAPISVLSGHGNAVKKVKFSPHHRNFMVSCSYDMTVCMWDFMVEDALVGRYDHHTEFAVGVDMSVLVDGLLASTGWDELVYVWQHGTDPRAP >Potri.006G024200.1.v4.1 pep chromosome:Pop_tri_v4:6:1634228:1635488:1 gene:Potri.006G024200.v4.1 transcript:Potri.006G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024200.v4.1 MAEEVKVFRSWSSPFPLRVIWALRLKGVKFDVICEDLFNKSPLLLQYNPVGKKVPVLVHNGKAICESLVILEYIEETWKQTPLLPEDPYQKANARFWAKFSDDKVFQSIKWDVLLKEGKEQEEGILASLQNLRYLEEELRGKKFFGGEAIGLADLALGWLAYYLNILEEVAGLKLVDQESFPSLVAWMQEFANAPVVHGSWPDRDKLTEKFVAMREASLGKETHK >Potri.007G061701.1.v4.1 pep chromosome:Pop_tri_v4:7:6902423:6902659:1 gene:Potri.007G061701.v4.1 transcript:Potri.007G061701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061701.v4.1 MDIAGRLATIQQEIGQVENEKLQREQMLGLFWEHMPAIDPSLIRGSYAGYTESNPSPRKPKEGPPSRTAGASCSGCHT >Potri.003G082300.2.v4.1 pep chromosome:Pop_tri_v4:3:10906523:10907190:-1 gene:Potri.003G082300.v4.1 transcript:Potri.003G082300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082300.v4.1 MAFSRAMLMLTLMLALIATSIAQDSISLPPIMALTSVSTPPSSSAAPPSNTPTPPPAMTPPPVSSPPPMMSPPPSGTPPMSPGSPSSPPSPKAPEAPAPAVSQPGNGAFVHGNRMALSALLGGVAFLFV >Potri.003G082300.3.v4.1 pep chromosome:Pop_tri_v4:3:10906508:10907036:-1 gene:Potri.003G082300.v4.1 transcript:Potri.003G082300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082300.v4.1 MALTSVSTPPSSSAAPPSNTPTPPPAMTPPPVSSPPPMMSPPPSGTPPMSPGSPSSPPSPKAPEAPAPAVSQPGNGAFVHGNRMALSALLGGVAFLFV >Potri.013G163000.1.v4.1 pep chromosome:Pop_tri_v4:13:15581863:15582225:1 gene:Potri.013G163000.v4.1 transcript:Potri.013G163000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhC MFLLYEYDIFWAFLIISSVIPILAFLISGLLSPIRKGPEKLSSYESGIEPMGDAWLQFRIRYYMFALVFVVFDVETVFLYPWAMSFDVLGVSVFIEALIFVLILIVGLVYAWRKGALEWS >Potri.003G065000.1.v4.1 pep chromosome:Pop_tri_v4:3:9255415:9257852:-1 gene:Potri.003G065000.v4.1 transcript:Potri.003G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065000.v4.1 MLNTTSARNSLLFTATQWHELEHQALIYKYMVSGVPVPPELLYSVKRSLESSLASRLFPHQPTGWGCFQAGAGRKADPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVELASTTTTAAAAAIPLTSINRNLYNNTVSPSSSSYSFSNLSSSMESEIYAHQDSSRSTFLYPHSSSSGPPADSGFSPQNSTTHNLFLDCGSSPHADKEYRYSHGIRECMDGRAFFPDALGSARGVQDSYNQLTMSSYKGYSQSQFQSFANTSKEEQQQPGHHCFVLGTDIIKSSATRSIKLEKEPETQKPLHHFFGEWEPKDADSGLDLASSSKPDHDTDD >Potri.003G065000.2.v4.1 pep chromosome:Pop_tri_v4:3:9255415:9257852:-1 gene:Potri.003G065000.v4.1 transcript:Potri.003G065000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065000.v4.1 MLNTTSARNSLLFTATQWHELEHQALIYKYMVSGVPVPPELLYSVKRSLESSLASRLFPHQPTGWGCFQAGAGRKADPEPGRCRRTDGKKWRCSKEAYPDSKYCERHMHRGKNRSRKPVELASTTTTAAAAAIPLTSINRNLYNNTVSPSSSSYSFSNLSSSMESEIYAHQDSSRSTFLYPHSSSSGPPADSGFSPQNSTTHNLFLDCGSSPHADKEYRECMDGRAFFPDALGSARGVQDSYNQLTMSSYKGYSQSQFQSFANTSKEEQQQPGHHCFVLGTDIIKSSATRSIKLEKEPETQKPLHHFFGEWEPKDADSGLDLASSSKPDHDTDD >Potri.003G081500.1.v4.1 pep chromosome:Pop_tri_v4:3:10858247:10860046:1 gene:Potri.003G081500.v4.1 transcript:Potri.003G081500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081500.v4.1 MALQISSTLPAQKLHLFQAKRASFKRQHSTLKPTSTITPSLNYSSTSSSTTGQAKKHLANLEKLLQKQVPEPINQTVSQPVHNISNNGSLENNWGKNLLERLNSFRVWPAMKAAEEMSPRHLNRLQRLLSKTEEYSPRNHLGPRWREYHGSNDWKGLLDPLDENLRREVVRYGEFVQASYHAFHSNPAMSAAKPPLPQQVTLPDRSYRVTKSLYATSSVGLPKWVDDLAPDLGWMTQRSSWIGYVAVCEDRREIQRLGRRDIVIALRGTSTCLEWAENMRAQLVETPGEHDPTEIQPKVECGFLSLYKTAGANVPSLSQSVVQEVRRLMELYRGETLSITVTGHSLGAALALLVGDELSTCAPQVPPVAVFSFGGPRVGNKGFANQINAKNVKVLRIVNSQDVITRVPGIPMVEELNDNMPLAYAHVGTELRVDTKMSPYLKPNADVACCHDLEAYLHLVDGFIASNCPFRANAKRSLVRLLNEQGSNVKRLYTSKAHALSLSFERKGLAASGCLPSPS >Potri.T124906.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:67272:76459:1 gene:Potri.T124906.v4.1 transcript:Potri.T124906.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124906.v4.1 MAALEPTLRVGPLERDIELAITALKRGTQLLKYGRRGKPKFCPFRLSNDESVLIWISGKEEKHLKLSHVSRIIPGQRTPIFQRYPRPEKEYQSFSLIYSDRSLDLICKDKEEAEVWFTGLKALISNRQIWKKREETTNDGLLSEANSPRAYTIRSSPLSFAFGSDDSSLKDGMDPLRLRTPYDSPPNTGLEKALSDVVYTAPPKVLFPLESACAPAQSQLLGGSDETTGRAKVTNTDNFRVSLSSAVSSSSQGSGRDENDALGDVYIWGEGTGDGILGGGVHRIGGSGVQMDSFVPKALESAVLLDVQAIACGRQHAALVTKQGEVFSWGEELGGRLGHGVDSDVSHPKFVDGLKNFNVELVACGEYHSCAVTLSGDLYIWGGNAYNFGLLGCGSEATQWVPRKLDGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRISVSIPREVESLKGLRTMRAACGVWHTAAVVEIMVGSSNSSNCSSGKLFTWGDGDKCRLGHGDKEARLVPTCVATLVEPNFCQVACGHSLTAALTTTGQVYTMGSPVYGQLGNPQADGMLPTRVEGKLMKNFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGDADDRNSPSVVEALKDKQVKGIVCGTSFTAAICLHKWVSGIDQSMCSGCHIPFNFKRKRHNCYNCGLVFCHSCSNKKSLKASMAPNPNKPYRVCDNCLSKLRRSSETDSSVHSALSRRGSVNQGLNEVAEKTENSNSKSHAKLGRNFSMESSKEVESISSRRNRKSNSNSIQVSPSGNDVSRRNTFNNSKSFGSSKKFFSASLPGSRIVSRATSPTSRRSSPPRAATPTPTLSANELPKLAVDGAGRLNDSLREEIVKLRAQVEELTNKAQLQDVELERTTKQLKEAIAVAEEETTKCKAAKEVIKSLTAQLKEVAERVPVGASRNSNSPSFYCSSNTTPWDVSPGILEQLSSPTACHEQDSKGSNSLVISNVSGTTTTTNQTPHHSEVTQIETTVRNKNRIAKVEPTNGDEWVEQDEPGVYITLVSLHGGAKDLKRVRFSRKRFSEKQAEQWWAANRARVYQQYNVPMGDRSIVSVGREGLTQ >Potri.001G138300.4.v4.1 pep chromosome:Pop_tri_v4:1:11297188:11299081:1 gene:Potri.001G138300.v4.1 transcript:Potri.001G138300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138300.v4.1 MQRQSLGPPVTKLHPHGGADTLLSNDTKPVFKDLPPSTSLAPDADDLDHKSTKPRRFFSSSSVLSPAPPKPEKLIHLIPFLTLFCFLVLFLVSHTPSQSDLAQFNGFSNHIEAASGSIGGLSEVRRGDVLATRSFGNLQEIAADELTSLKYRFHRKLAHF >Potri.001G290300.1.v4.1 pep chromosome:Pop_tri_v4:1:30261855:30262870:-1 gene:Potri.001G290300.v4.1 transcript:Potri.001G290300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290300.v4.1 MQSISTNMGGTMKSKEKKRSKLVIILLAPFKVLRKAGEFYMKFMADSSDMVGNDYHGLVGEPGFPKSFSNNSKREGSIGYSTSGRRSGPLQSASGRRSGPLQSASGGRSGTVLSESASARRSHVMQPNMHCREEEVRRNIGSMSNGMEMRSYSVGIGKIGKIDEEKPCSFKEDDGDNINVDVCPRSRSQAVTRKVVYY >Potri.001G281800.1.v4.1 pep chromosome:Pop_tri_v4:1:29542102:29545971:1 gene:Potri.001G281800.v4.1 transcript:Potri.001G281800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281800.v4.1 MDHLGNLEPTTICHVMALPFPGRGHINPMMNLCRSLASKRPDILITFVVTEEWLGLIGSEPKPDNISFGTIPNVLTSERARAGKFPAFLQEVLTKMEAPVERLLGQLKPPVSTIVADTYLMWAFEMANRNNIPVASLWTMSVPVYSVFQHFDLLVQNGHFPIELKERGEELVEYIPGISSTRVVDLPTCVYGHGRDILHRGFEAIASVSKAQFLLFCSVYELESQAIDALKASISLPIYHIGPAIPYFKLEQEEIVTGPGETTNYFRWLDMQPRGSVLYVSQGSTHSAPSAQLDEIAAGLRDSGVRYLWVARAEASLFKEGCGGMGLVVPWCDQLRVLCHPSLGGFWSHCGWGSTSEAAFSGIPLLTFPLYLDQAPNSKIIVDDWKIGWRVKSGLDVDKLIKRDEIAGLVKRFMDSESDEVKEMRRRAREISEICRRAIRKGGSSDTSIDAFIDDILQHHKN >Potri.009G089300.1.v4.1 pep chromosome:Pop_tri_v4:9:8250924:8255297:-1 gene:Potri.009G089300.v4.1 transcript:Potri.009G089300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089300.v4.1 MTMATSLLSPLAAPNPELVYPATGLQQKSSFLPSASLFLLSNPRNQRVLQQQRCCYYKSPSASGNPLNHIPTQFREENLKDGLMENYKNVPQSLYGLTPSQMDMFMTEDNPIRRQSGSVTEQSISSRKNYLDHGGMYSVSGMMDQGPSKYSMSVSMYRGGGRGYGRPESAPPDLPSLLLDARICYLGMPIVPAVTELLVAQFMYLDYEDPSKPIYLYINSSGTQNEKMETVGSETEAYAIADIMSYCKSTIYTVNCGMAYGQAAMLLSLGAKGYRALQPNSSTKLYLPKVNRSSGAAVDMWIKAKELDANTEYYIELLAKGTGKPKEELVKDIQRPKYLKAQEAIDYGIADKLLSSNDDAFEKRDYDALLAQTKAMKAQAAGPRAAPSGSR >Potri.008G191051.1.v4.1 pep chromosome:Pop_tri_v4:8:13425148:13436026:1 gene:Potri.008G191051.v4.1 transcript:Potri.008G191051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191051.v4.1 MSCCVHPKRASTGIGLPSIKKFLGELSQVESEKESALKSILQRLIVRFCKYHDKWRQLVSATAELDVLISLAIASGYYEGPACRPTIVGSSLSSEVQVPCLSAKLLGHPVLRSDSLGKGAFVPNDISIGGSGCAGFILLTGPNMGGKSTLLRQVCLAVILAQIGADVPAESFELSPVDRIFVRMGAKDHIMAGQSTFLTELSETALMLGRPASIGVQGSVTLVMTFDSPEAEQRNKDIYGQAGAITFPQNLF >Potri.010G122300.6.v4.1 pep chromosome:Pop_tri_v4:10:14015115:14022679:1 gene:Potri.010G122300.v4.1 transcript:Potri.010G122300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122300.v4.1 MGSKKTDITSAPPVLRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSDGFEALDVESMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPVGTSVSGKVEKQCAHFFGVTINEQQAEAGIVVRVTSTTQSKFKLLYFEQDANGGYGLALQEDSEKPGKEDSEKSGKVTSAGMYFLHFQVYRMDSTLNALAIAKDPDAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKTYEDTTEKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYSQEKQSVDELLKQRDSIHASFTVTKMVSYLSNGSTSKVIGDDSKAESPVEDGGSDGKDKSSKKKWFNLNLKGSEKK >Potri.010G122300.8.v4.1 pep chromosome:Pop_tri_v4:10:14015114:14022680:1 gene:Potri.010G122300.v4.1 transcript:Potri.010G122300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122300.v4.1 MGSKKTDITSAPPVLRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSDGFEALDVESMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPVGTSVSGKVEKQCAHFFGVTINEQQAEAGIVVRVTSTTQSKFKLLYFEQDANGGYGLALQEDSEKSGKVTSAGMYFLHFQVYRMDSTLNALAIAKDPDAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKTYEDTTEKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYSQEKQSVDELLKQRDSIHASFTVTKMVSYLSNGSTSKVIGDDSKAESPVEDGGSDGKDKSSKKKWFNLNLKGSEKK >Potri.010G122300.2.v4.1 pep chromosome:Pop_tri_v4:10:14015114:14022679:1 gene:Potri.010G122300.v4.1 transcript:Potri.010G122300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122300.v4.1 MGSKKTDITSAPPVLRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSDGFEALDVESMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPVGTSVSGKVEKQCAHFFGVTINEQQAEAGIVVRVTSTTQSKFKLLYFEQDANGGYGLALQEDSEKSGKVTSAGMYFLHFQVYRMDSTLNALAIAKDPDAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKTYEDTTEKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYSQEKQSVDELLKQRDSIHASFTVTKMVSYLSNGSTSKVIGDDSKAESPVEDGGSDGKDKSSKKKWFNLNLKGSEKK >Potri.010G122300.7.v4.1 pep chromosome:Pop_tri_v4:10:14015173:14022678:1 gene:Potri.010G122300.v4.1 transcript:Potri.010G122300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122300.v4.1 MGSKKTDITSAPPVLRRDPYEVLSVSRDSTDQEIKTAYRKLALKYHPDKNASNPEASELFKEVAYSYSILSDPEKRRQYDSDGFEALDVESMDMEIDLSNLGTVNTVFAALFSKLGVPIKTTISANVLEEALNGTVTVRPLPVGTSVSGKVEKQCAHFFGVTINEQQAEAGIVVRVTSTTQSKFKLLYFEQDANGGYGLALQEDSEKPGKEDSEKSGKVTSAGMYFLHFQVYRMDSTLNALAIAKDPDAAFFKRLEGLQPCEVSELKAGTHIFAVYGDNFFKTATYTIEALCAKTYEDTTEKLKDIEAQILRKRNELRQFETEYRKALARFQEVTNRYSQEKQSVDELLKQRDSIHASFTVTKMVSYLSNGSTSKVIGDDSKAESPVEDGGSDGKDKSSKKKWFNLNLKGSEKK >Potri.018G142800.1.v4.1 pep chromosome:Pop_tri_v4:18:14804108:14809419:1 gene:Potri.018G142800.v4.1 transcript:Potri.018G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142800.v4.1 MGTFTSLRKAYGALKDTTKVGLAKVNSEYKELDIAIVKATNHVECPPKERHVRKIFSATSAMRPRADVAYCIHALARRLAKTRNWIVAIKTLIVIHRTLREGDPTFREELLNYLYRGNILQISNFKDDSSPLAWDCSAWVRTYAFFLEERLECFKTLKFDIEAERLTKTSPGATKVHSKTRLLNREDLLEQLPALQQLLYRLVGCQPEGGAYTNYLVQYALALVLKESFKIYCAINDGIINLVDMFFEMSKHNAVKALNTYKRAGQQAECLAEFYDYCKGLELARNFQFPTLRQPPPTFLATMEEYVKEAPQSGSVPRKLEYTQREPEKPEEPSEPAEQVEKADVEETLIDMEEEAKPEEEEVEPPLVSTDATGDLLGLNEINPKAAELEESNALALAIVPPGADPLSSSNALSELGKPNATGWELALVTTPSNPTSQPAQNKMGGGFDRLLLDSLYEDDAARRQIQLQNAGYGYGATAMNNPFEQPDPFAMSNSIAPPTNVQMEMMAQQQQQYHQQQMMMQQHYQQNQSMTMVPYQYQPQYPLQQMPQMGQMGPANPFADEFSSFPQGSAPHQGNHMLI >Potri.007G092100.3.v4.1 pep chromosome:Pop_tri_v4:7:11765645:11770286:1 gene:Potri.007G092100.v4.1 transcript:Potri.007G092100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092100.v4.1 MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNVYIPYYAAHIIGSYTMNMEEFAESAVHAGVIAPLVELLRGRLTWVEQRVAVRALGHLATYTSTFPAVASHGEILELSIQLAISSLEIVYSHFYQYVDRRISYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLIQDPSTCHKVIDKAVPALVDLAEITNLGDHKKLGDTIVNVLQECLQSQGTGRNTISNRERELIEELLDSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAASKYSEALSLCPMRSKKERVVLYSNRAQCYLLLQQPLAAISDATHAVCLHNPPNCHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQTNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHGEGDVGDIYGQESDGSEWETASESDMGNDGRVEMGDDDDDDSEWKNEDGRKDKYDKTTLKEDES >Potri.007G092100.2.v4.1 pep chromosome:Pop_tri_v4:7:11765568:11770287:1 gene:Potri.007G092100.v4.1 transcript:Potri.007G092100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092100.v4.1 MDKVSPDCPYPGCFFCVMKEGNPSKRRASILKFFRELPSQDDDGQVLPISGLWNTAMAHPNDPEFIELGIFECMAALIWKGLKNRRWLSHDQNVYIPYYAAHIIGSYTMNMEEFAESAVHAGVIAPLVELLRGRLTWVEQRVAVRALGHLATYTSTFPAVASHGEILELSIQLAISSLEIVYSHFYQYVDRRISYHCDLLTRGMGGVEMESRKAEEWASQLQCWSLQLINCFAFKPEFLPTICKPEFLVKLPGMWGGLVNENSPAGIGLLRTICHHKLGRGPVASCPGIIEALCNIARSSDDWQYMAIDCLLWLIQDPSTCHKVIDKAVPALVDLAEITNLGDHKKLGDTIVNVLQECLQSQGTGRNTISNRERELIEELLDSKQRLKWEKNMPKEDLHIKQAAALVVKLEGNSLFSSGNIAGAASKYSEALSLCPMRSKKERVVLYSNRAQCYLLLQQPLAAISDATHAVCLHNPPNCHAKSLWRRAQAYDMLGLAKESLLDAILFINECSQTNDPDLSLRQNKVPDYAERLVKKQMRAAWLFREAAIKHGGVHGEGDVGDIYGQESDGSEWETASESDMGNDGRVEMGDDDDDDSEWKNEDGRKDKYDKTTLKDIKHGYNLHLAEDES >Potri.004G199500.2.v4.1 pep chromosome:Pop_tri_v4:4:21028338:21031965:1 gene:Potri.004G199500.v4.1 transcript:Potri.004G199500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199500.v4.1 MEAKSREQQQCKIRKRGNSSSSSSSLVKKYRFKRAILVGKRGGSSTPVPIWMTSSKSPTLAEPNAESTKCTPHQNGSKAKEVSVSARKLAATLWEINGIPSPRVKKDLEDKNEVRSREKVARLPHLSDPSYTPFSERMERSRGHSHRRRTSVVTKTLQLTDYHLGGLDSVGNSSLMEIESHPKGRSRTIEIKTCLKDVSNGLTTSKELLKVLNHVCGLEEKHSSGLSLVSALRIELDRACISVNQLIREQRSNRSEIEYLVKHFEEEKAAWKSKERDRIRSAIACIAEELEIERKLRRQTERLNKKLGKELADTKESLSKAMKELETEKRAKEILEQVCDELARGIGDDRAEVEEMKKESAKVRDEVEKEREMLQLADVLREERVQMKLSEAKYHFEEKNAAVERLRNELETYLREKVGEKDGDGSPNYDRIKELEAYLEEIQFGSCQQAEREENKGGATGNGEVHDGDDSADSDLHSIELNMDNNSKSYKWCYASEDNSKWFSGGKDFKGRKSISDNIQWGNICLQRRNSNGIDGLGRDLISENQARPDMPDQERVAELGFNSQAQGYEDEIRKYRSVRTLKDHILSGPKRSPIRNFASPTRLWGQSLPFQESGSVVSDGSPVIQGNNLKPRIAGTGGNCRTSTSSRH >Potri.005G228300.1.v4.1 pep chromosome:Pop_tri_v4:5:22832211:22833443:-1 gene:Potri.005G228300.v4.1 transcript:Potri.005G228300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228300.v4.1 MEGLVRGIRSCQETLRVAILKHPRDRREIFSRFGRVTSSLPVREKGLENLTVADVLMTKGEDKIGSWLWCRTTDSVYDAVENMAKNNIGSLVVLKPGEQELIAGIITERDYMRKIIAQGRSSKYTRVGEIMTDEDKLVTVTSDTSILQAMQLMTDHHIRHVPVIDGKIVGMVSIVDVVRAVVEQQGGELKRLNEFIKGEYY >Potri.004G229900.1.v4.1 pep chromosome:Pop_tri_v4:4:23359267:23362180:-1 gene:Potri.004G229900.v4.1 transcript:Potri.004G229900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229900.v4.1 MGKPLGSTGEFFRRRDEWRKHPMLTNQFRHATPGLGIALVAFGVYLVGEQVYNKIYAPSSDHSSSHSH >Potri.009G012100.1.v4.1 pep chromosome:Pop_tri_v4:9:2229296:2230276:-1 gene:Potri.009G012100.v4.1 transcript:Potri.009G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012100.v4.1 MYFFYSVQYRPRLCPRMQPFILLFWLLFLHACSQTFCQSPAQSPAATQTKAPVPPPPPAGPTDTIQILLKAGRFLSFVRLMKATHVDTQLFSQLNSSTDGITMFAPNDNAFSSLVAGAVGSLNDREKLEFVQFHILPRFLSISDFQTLSNPVKTLAGSDRKFPLTITTSDNSVTVSSGLTKTSISNTIYTDKQVAIYEVDKVLVPKDLFPPAPPAPAPARPLAEPDPVAPRDASSALVIAWQHRVNVVLFGAGLYIAALVMDP >Potri.003G057100.1.v4.1 pep chromosome:Pop_tri_v4:3:8396180:8397843:1 gene:Potri.003G057100.v4.1 transcript:Potri.003G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G057100.v4.1 MAKIRLLSTSMVQATTDKVTDERIELTQCDLKLLLVDAIQKGLLFLKPKSLEDQNSLIQHLKTSLSRTLDCFNPLAGRLATVEHDDNTVSFFIDCNNAGAQFVHAAADGVTMADILQPVYVPPILHSFFPLNGLLNYEAVSKPLLAVQVTELVDGIFVGCTMNHAAVDGTSFWNFFNSWSEIHRGLDHVSKTPVLERWSLLNGSISPPIRLPLSIIKNNPGSFIPSPLQERVFHFTKGKIAMLKAKANAEAATTSISSLQSLLAHIWRATTRARLFEHDKEIDLRIFIGLRARLQPPLPESYFGNAIVSGIVTLRTREILEQGLGFVALEVNKVVSSYTKNKVTDALASVLKNPSPLTKADLGRIHSLAISSSPRHNVYGTDFGWGRPVAVRSGPGNKFDGKLTLFPGLEEGSMDVEFSVLPETLKALGNDLEFMDAVTI >Potri.010G239000.2.v4.1 pep chromosome:Pop_tri_v4:10:21810965:21815170:-1 gene:Potri.010G239000.v4.1 transcript:Potri.010G239000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239000.v4.1 MAQLKAVSSSSSLNLSVHVPKRDLFLCSNNVSFAKKSALFQELGVRKSELQGDAVVSNRGNTLRVHCNKGIQVVASSDKVTEVYEATKTKGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGVGELEGLEQKAKASGACQLVVKDLQEEFVRDFIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSTSPEAAPEKPEYVEIGIVAGLPVSVNGEKLSPASLLSCLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFTAVRELESLTLDRETMQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITETTTGSVRLKLYKGSVSVVSRTSPKSLYREDISSFESGQIYDQADAAGFIRLYGLPMRVRAMLEKGI >Potri.006G077100.1.v4.1 pep chromosome:Pop_tri_v4:6:5733924:5737788:-1 gene:Potri.006G077100.v4.1 transcript:Potri.006G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077100.v4.1 MQESQERAEYTLVARKPSFGLPTGCPICLPVYIHLKFASFPFRLDFNNTFPDSDQIPYIESGTYVAFNDENGGLIERLREDGIVDLDAAFCSLPEWISMKAMVCTWLAEAVMYELWVGSDGTSARAIYYSDLPWLIGKALFMKQVYVVKQRFGITKENAERKEAEIYKRAKIAYGALSTTLGDQTFLFERPSSLDAYLLGHVLFTLQALPESSVLRLALSEHGNLIRYAEKLKSEYLEGGSSSSVPQFHSEASSTSTRRPSNSSSKTKKQPKREKTEEEKTFRRRAKYFLVTQLVAVLVFLSVMGGYDFSEVEVDDDEGFSYD >Potri.006G082000.3.v4.1 pep chromosome:Pop_tri_v4:6:6087173:6092356:1 gene:Potri.006G082000.v4.1 transcript:Potri.006G082000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082000.v4.1 MSAATGKDSVKALWLERLSSSLRTALACTIVGCTTLYGPARLRHFLAYPAFSYVTAILIVSDATLGDTLRGCWHALYATVQVMIPCILTFRVIGPARFSNIGLAAVAVAITTFLVALPESTPLMAKRIAFGQAVIVFVGAAIHGAEEGVVTHPIHVASSTALGALASVLAMLIPYPWLAYCKARKTCRLYVENASERLNIYVEGLTAQNKQAAADLLSQAKFLSVTGAKHLQTIKDTRGGMACEKPQIRKLNAGENLQDIEILMKGVEIALDSCPSFPVSMIDEGIKQALLDMKGKIGLKLQNGKCLTPFDATTAPEAKAGESYVLAPKIGGATQADLPAFFFLYCLELLSRELPVGQNPECNSENTNKTDTRDVTSKRDQEKANLGKTWDYSTIKLPNMERWTLATKCSLSMGFAVLFGLIFNKENGYWSGLIIATSFVTERQATFTVANARGQGTAIGSVYGILCCFIFQRFVDLWFLPLLPWIIFTSFLRHSRMYGQAGGISAVIGALLILGRKNYGPPNEFATARLVEACIGLICFIMAEILLQPARAATLAKTEFAWSLRALRDCIDDTSQLCAGQKSALSSSIPALRRKHQEVKSRINNLEKFIAAAESEPNFWFLPFYGACYRKLLVSLRKMECLLLFVAIEIGTLSQVSDRLQVLINNYLLPLGEEVGFSLKCIEELVSMNSLALLERGVQKISISHDDMELGKSSPSADVLYRTLSLDEEEVENSIPQHSKVEADGIEKREGAQELKSRLILRIYSLEFCISSLIKETREIEKQVKELITRENPESQFSTKEGSHGGG >Potri.019G083900.1.v4.1 pep chromosome:Pop_tri_v4:19:12375474:12376914:1 gene:Potri.019G083900.v4.1 transcript:Potri.019G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083900.v4.1 MKLLLIFISILLIQAFVGNSFLSNAANSPANMDEESDVVAIDKKHYPKRINCGYLCARRCRASSRKNVCHRACKTCCNRCRCVPPGTYGNKSACPCYASLRTHGNKPKCP >Potri.017G121000.3.v4.1 pep chromosome:Pop_tri_v4:17:12675433:12678113:1 gene:Potri.017G121000.v4.1 transcript:Potri.017G121000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121000.v4.1 MIKRRFFKAEHGEKDEASSDSSSSSDSEAEASGKSEDDVVTEPEENSESEDDDTLAEPKEDNESEASSSSSGYESEDSSANAIDGDSSDDETGDDRKTLTGIKICKKQSNIMANKESVPDDIQDCILKCKSVYKCRICPRIICLTEETMRAHLNSKRHSRSKKLMKENRLKVMLNSDGEIENMDQETHAERHARTLALAQGKPTKKNKGRQRQRKRLKKRKEGNAASMEKATSKTKSPPKKRRKNEN >Potri.014G014200.1.v4.1 pep chromosome:Pop_tri_v4:14:816753:820718:-1 gene:Potri.014G014200.v4.1 transcript:Potri.014G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014200.v4.1 MSWLARSIATSLRLDDEDDHSENDVASKTPNNSSPVERNEEERRRENGIINDVQAQESEVEEEEEERRGVKEDLTELKQTLTRQLWGVASFLAPPPDQSVSNLDQSQPSDRSASSCDEREPSDSRIGHDFSEIGGRFRNMSKMASNYFPFGSEENGRENLGGENLQELETEDFGGEDGDDDDWELEGAVGITDEVLAFARNIAMHPETWLDFPLDEEEDLDDFDLSDTQRKHALAIERFAPRLAALRIELCPCHMTESYFWKVYFVLLHSRLHKHDAEILSTPQVMEARAMWMQELHKQTKPDSVWFGSARVKDGNNVLHEGFGRARTFDFAQTTITTTDYETVKHPIVSTEMHFVDKSAIEEKTVIKTEDKDVQLVGSSLRTVVPNYEEDEDDWLNEEDSDLGGYKTIIPVGNEEDISFSDLEDDASNSIPVKSKRVSEGTDTKTT >Potri.009G063400.1.v4.1 pep chromosome:Pop_tri_v4:9:6461697:6464106:-1 gene:Potri.009G063400.v4.1 transcript:Potri.009G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063400.v4.1 MGGTMHALSAVPNKAKKRGEMAEKSYEEEHPTKAFGWAARDQSGVLSPFKFSRRSTGEKDVRLKVLFCGICHTDLHMAKNEWGNSTYPLVPGHEIVGQVTGVGSKVEKFRVGDKVGVAGMIGSCHSCDSCSNNLENYCSEVIITYGAKYLDGTTTYGGYSDIMVVDEHFVVHIPDNLSLDAAAPLLCAGITVYSPLRFYGLDKPGMHVGVVGLGGLGHVAVKFAKAMGVKVTVISTSPNKKQEALEHLGADSFLVSRDQDQMQAAMGTMDGVIDTVSAMHPILPLISLLKTQGKLVLVGAPAKPLELPVFPLIVGRKIVGGSAGGGMQETQEMIDFAAKNNITADIELISMDYVNTAMERLLKTDVRYRFVIDIGNTMKN >Potri.003G066400.1.v4.1 pep chromosome:Pop_tri_v4:3:9348557:9350901:-1 gene:Potri.003G066400.v4.1 transcript:Potri.003G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066400.v4.1 MLFLSPQIFLYLQHNPPTSKMETPTWMSYAFAWLATVSLILLASRLRRRKLNPPPGPKSWPIIGNLNLIGELPHRSLHALSQKYGPLMQVKFGSFPVVVGSSVEMAKTILKTHDVIFSGRPKTAAGKYTTYNYSDITWSPYGPYWRQARKMCLMELFSAKRLESYEYIRVEELRALLKTLNKSSGRPINLKDHLADVSLNVISRMVLGKKYTVKSSENEKEIVTPEEFKEMLDELFLLNGVLDIGDSIPWIAFLDLQGYIKRMKTLSKKFDKFMEHVLDEHEARRKEDKNWEPKDMVDVLLQLASDPNLEIKLERHGVKAFSQDLIAGGTESSAVTVEWGISEILRKPEVFEKATEELDRVIGRERWVEEKDMVNLPYIYAIAKEVMRLHPVAPMLVPRAAREDININGYDIKKGSRVLVNVWTIGRDPKVWDKPDEFFPERFIGNSIDVRGHDYELLPFGAGRRMCPGYPLGLKVIQATLSNLLHGFKWRLPDGQKKDDLNMDEIFGLSTPKKYPLVAVAEPRLPAHVYPK >Potri.011G149500.1.v4.1 pep chromosome:Pop_tri_v4:11:17658871:17662217:1 gene:Potri.011G149500.v4.1 transcript:Potri.011G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149500.v4.1 MATFLERAFKTIISSITHSYFYFQEDHVRIKNIRHNMPKGRPLSLQTVELKVRMCCAGCERVVKNAIYKLRGIDSVEVDLEMEKVTVVGYVDRNKVLKAARRAGKRAEFWPYPDLPLYFTSANNYFKDTASEFKESYNYYKHGYNLADRHGTIPVSHRGDDKVSNMFNDDNVNACCLM >Potri.016G041600.1.v4.1 pep chromosome:Pop_tri_v4:16:2609704:2611271:1 gene:Potri.016G041600.v4.1 transcript:Potri.016G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041600.v4.1 MVRTRNRYFLVLRSKDCRGCGLKRMALVSGGRSTLNPDAPLFVPAAYRQVEDFSPEWWQLVTTTTWFRDYWLSQHQDENGFYDNAEDEFGLDGNNVADLLPDTFDLDAGDYFSSLDSQFAGFAEEGSSPLLSNGMLANGFVLEAEAPKKETGLKSSA >Potri.008G134200.1.v4.1 pep chromosome:Pop_tri_v4:8:8919379:8923115:-1 gene:Potri.008G134200.v4.1 transcript:Potri.008G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134200.v4.1 MAVSKFVMASLTNCRPLTCAATFTASSYQERLVPNPPDLIKWVRREGGFVHQAIKISQDGTNGPGLIASHDIPKGSQLIVLPDNIPLKFGDEDGVDEAHSVLVKLAHKVPDELWAMKLGLKLLQERARVNSFWWPYISNLPRTYSVPIFFQGEDIKNLQYAPLLYQVNRRCRFLLDFEQEVKRAVENLKQNDHPFDRQDVDASSLGWSMSAVSSRAFRLYGKKLPDGTCIDAPMMLPLIDMCNHAFNPNAQIIQEQDAGSAKMLIKAVAETPIKQNDAILLNYGCLNNDLFLLDYGFVIPSNPYDCIELKYDGAFLDAASVAAGVSSPKFSSPAPWQQQFLSQLNLDGEAANLKVTLGGQELVDGRLLAALRVLLASDMEMVRKHDMDTLKSLSADAPLGIANEVAAYRTIIALCVIALEHFPTKIMEDESSLRQGVSASTELAIQFRIQKKSVIIDVMRDLTRRAKSLLSKDTTACQG >Potri.008G108500.1.v4.1 pep chromosome:Pop_tri_v4:8:6873073:6875764:1 gene:Potri.008G108500.v4.1 transcript:Potri.008G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108500.v4.1 MAPFKNLFTTSINISRTLSFPLPRSRTHRLAIALLLLSLFFFSSTSLTNQLPSFLTASSLASSILFAANYISPFSSITSSRTCLVSDSTDNCTLSSVTAIERTRMNPRKEEEIDDVIAGLSSCDIFNGNWVLDDSDPIYQPGSCPFLDDAFNCFNNGRPDLDYLRYRWKPHGCQIPRFDGRQMLRMLRGKRMVFVGDSLNRNMWQSLVCALRESVENKSRIFEIEGRREFRARGFYSFNFVDHNCSIDFVKSPFLVQEWRSSDRRGNRRERLRLDMIQTPSFNYHDADIIIFNTGHWWTHQKTYRGKNYFQEGRKVYNRLEVNEAYKKALWTWAKWVDSNINRSHTRVFFGGYSASHFRKGKWDSGGHCHEERQPVTNDTLLKPYPLMMKILESVISEMKTPVFYLNITRMTGYRKDGHPSVYRKPNVHQRIPGIIQDCSHWCLPGVPDSWNELFYATYLLSHHALSSNH >Potri.008G004400.2.v4.1 pep chromosome:Pop_tri_v4:8:181576:186655:-1 gene:Potri.008G004400.v4.1 transcript:Potri.008G004400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004400.v4.1 MDFFKAKKFRKAHKPGPEKDLEDKPVPHPEEPRNENGGGGESVTSKSANTDPAAEAEDDDDDFITNEVKRRLKELRRNSFMVLIPEEESCAEEEEDEEGEGEGETSPNEWRDVEAEGRQWWGGFDALYDQYCERMLFFDRMSVQQLAESGCYTPTTPSPRSASKKLASPFRCLSLKKIEEPEDETEHLQQVQNDPYQDIETAYVAQLCLTWEVLHCQYTQMSQKITCQPENPACFNYSAQLFQQFQVLLQRFIENEPFEQGLRAEIYARARNALPKLLQVPNAKGLDKKDTEETESDYVVLAPDLLKIIESSILNFHLFLKMDKKKQSNVRNLFGNQNQIATPLQLIQSSLEKKRIKLKDLCKKSKGWKKKCWPQSYENVQLLFSLIDIKILSRVIGMVRISKEQLLWCEEKMKKINLPNGKLQRDPRPILFPC >Potri.008G004400.1.v4.1 pep chromosome:Pop_tri_v4:8:182127:186656:-1 gene:Potri.008G004400.v4.1 transcript:Potri.008G004400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004400.v4.1 MDFFKAKKFRKAHKPGPEKDLEDKPVPHPEEPRNENGGGGESVTSKSANTDPAAEAEDDDDDFITNEVKRRLKELRRNSFMVLIPEEESCAEEEEDEEGEGEGETSPNEWRDVEAEGRQWWGGFDALYDQYCERMLFFDRMSVQQLAESGCYTPTTPSPRSASKKLASPFRCLSLKKIEEPEDETEHLQQVQNDPYQDIETAYVAQLCLTWEVLHCQYTQMSQKITCQPENPACFNYSAQLFQQFQVLLQRFIENEPFEQGLRAEIYARARNALPKLLQVPNAKGLDKKDTEETESDYVVLAPDLLKIIESSILNFHLFLKMDKKKQSNVRNLFGNQNQIATPLQLIQSSLEKKRIKLKDLCKKSKGWKKKCWPQSYENVQLLFSLIDIKILSRVIGMVRISKEQLLWCEEKMKKINLPNGKLQRDPRPILFPC >Potri.006G100001.1.v4.1 pep chromosome:Pop_tri_v4:6:7651745:7652142:1 gene:Potri.006G100001.v4.1 transcript:Potri.006G100001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100001.v4.1 MELRRPEISIPTSALSRENHREAFRRYIEGFRWVYKAGRLKIFSRSSYTSH >Potri.007G074900.1.v4.1 pep chromosome:Pop_tri_v4:7:9880262:9882631:1 gene:Potri.007G074900.v4.1 transcript:Potri.007G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074900.v4.1 MDQVFQFIYILIVPFLLLIFPVLRLWKKSQGNNSSTPPPPPGPWKLPLIGNLHQLLGSLPHQVLRDMANKYGPVMQLQIGEVPTVIISSPEAAKEAIKTHEINFVDRPCLLVAKVMFYNSKDIAFAPYGDYWRQMKKVCVLELLSAKRVKSFRSIREEEVSNFMRTIYSKAGSPINLSKMMFDLLNGITARTSVGKKYKHQEAFLPIIEHVMEAMGGMNIADVFPSSKLLYMISRFRSRLERSHQDADEILENIIYEHRVCREVAKTDEESEAENLLDVLLNLQNHGDLGFPLTTDSIKATILELFTAGSDSSSTLMEWTMSEMLRNPRVMRKAQEEVRQVFSNTEDVDETSLHNLEFLKLIIKETLRLHPPAPFIPRECNKTCEINGYVIQAKSKVMINAWAIGRDSDHWTEAEKFYPERFLDSSIDYMGTNFEFIPFGAGKRMCPGILFGIATVELPLAQLLYHFDWKLPNGVLSEDLDMNEVFVGTVRRKHQLNVIPIPFYPSPLQ >Potri.004G119700.1.v4.1 pep chromosome:Pop_tri_v4:4:11339963:11341888:-1 gene:Potri.004G119700.v4.1 transcript:Potri.004G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G119700.v4.1 MGNPHILVIPYPEQGHIIPLLELSHCLASYGFKITFVNTQHNEERIRNASGLKVKGDTEDLIHLVSFSDGLESGEDRFKPGKRSETFLTLMPGKIEELIESINASDSDKISCILADQTIGWALELAEKKGIKRAAFCSAAAAMLVQGFSIPKLIEDGIIDKEGTPVKMQTIMLSPTMPAINTAQLVWACLGNMNSQKLFFALMVKNIQSMKLTEWLLCNSAYELEPGAFNLSPHIIPIGPLVASNRLGDSVGSFWQEDSTCLEWLDQQPPQSVIYLAFGSSTVLSPTQFQELALGLDLTNRPFLWVSRPDITNGTPNAFLQEFKDRVSPQGKIVTWAPQQNVLAHPSVACFVSHCGWNSVIEGVCNGVPFLCWPYFADQFFNQSYICDIWKVGLGFNKDEHGIITRGEIKNRVEQLLSNEEFKATSLELKETVMNSIKEGGSSYQNFKRFIEWIKA >Potri.014G185444.1.v4.1 pep chromosome:Pop_tri_v4:14:15351939:15352187:-1 gene:Potri.014G185444.v4.1 transcript:Potri.014G185444.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185444.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.004G170350.5.v4.1 pep chromosome:Pop_tri_v4:4:20478818:20481599:-1 gene:Potri.004G170350.v4.1 transcript:Potri.004G170350.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170350.v4.1 MITPFLSSVSFLVFFQGSDADSLRCWPKLLYRCCWKQNLKELPIQVSLCILGTWSRRKKLTLQVQTVLAWKIRLSYGLIWLLNTKHHVGRLKCNFGVIVFPFISHN >Potri.012G126700.1.v4.1 pep chromosome:Pop_tri_v4:12:14302573:14305328:-1 gene:Potri.012G126700.v4.1 transcript:Potri.012G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G126700.v4.1 MSLINRPRVTVNGIRRMRTFHFFWCQNCQSISRFTSINRLEIFCPNCYSGMNHELDVSRPRFFADITGLESSPGARFLVSLAQMLDPPTRGQDADSGRRIRWVLGSANGPWITLQFVEPPSLQRPTIAAPAPAVPPSNNAINRSNVDNIGNAENDLLTEDMIDHSDLPGPPPAPVSAIEALPIVKVTEQHLMNDMRCPVCKEIFEVGGDAMELPCKHLYHSDCVVPWLNLHNTCPVCRYELRDESDNDLPGENAQFFGFEEVTNSINWLRNRLHSLRPIRAFSDWTQRYLDFLDSRLATSNGARSWWRSWLIL >Potri.006G250900.3.v4.1 pep chromosome:Pop_tri_v4:6:24991911:24999426:1 gene:Potri.006G250900.v4.1 transcript:Potri.006G250900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250900.v4.1 MEKKMAKKNPLVFMDVCIDGDPKERMVFELFLDIAPKTVENFRALCTGEKGIGPKSQRPLHYKGSFFHRIIKGSMAQGGDILKRDGTFGESIYGGKFPDESPKLKHDEPGLLSMSIADRDALGSQFIITFRANHHLDRKYVAFGKLVQGDKVLKNIEDVGDEEGRPTVTVKIINCGEFIEDKKKVNKLKVEKHKKSSRDRKRRRRNYLSDSESSSDSDMESTESDSDSDSLLSSSSDISSSSEDRRKKRKRTSKRDKHRRGKKRDKRREKRRKRRDKRSKRRTRRSSDSLTDGESESETSSDDDALNAQAKERKCRDPSQKTAEGQSPVVLEEEVASLPCKKREEPDILEKEDGEFPKENGSRRSNGMGADAKSYGSEDREPDIRDDHPVKSRSQSRSQSMSPKRTTSKSMSISPRRSLSKSPDVSPKRSVSRSRSASRSHPRVSQRSISRSPVRSGSSQSPARSFSRSPVRTKKARSISTSPVRSRSPRSFSRSPVRALPRRSQSRSPVRSLSRSLKKSISRSPLRDSRRSISRSLVRSSRRSISRSPVRSRRSISRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSSRRSISRSSGRAPSRRSVSRSPIRAPSRNNRRSYSRSPSTRRARFPDRRSLSRSVSPDGSPKRIRRGRGFSQRYAYARRYRTPSPKRSPVRSFRYSGRDRYSSYRRYSPRRFRSPPRGRTPPSRYRGRRSRTRSPSVSRSPRYRNRHYSRSGSPVRSRSPVDVSKSRLSPRVARQRSPSSSRSPSKSRSSLDSQSPKRLSKDRSRSSSRSPGGKKGLVSYDDGSPDSSQR >Potri.006G250900.4.v4.1 pep chromosome:Pop_tri_v4:6:24991940:24999523:1 gene:Potri.006G250900.v4.1 transcript:Potri.006G250900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250900.v4.1 MEKKMAKKNPLVFMDVCIDGDPKERMVFELFLDIAPKTVENFRALCTGEKGIGPKSQRPLHYKGSFFHRIIKGSMAQGGDILKRDGTFGESIYGGKFPDESPKLKHDEPGLLSMSIADRDALGSQFIITFRANHHLDRKYVAFGKLVQGDKVLKNIEDVGDEEGRPTVTVKIINCGEFIEDKKKVNKLKVEKHKKSSRDRKRRRRNYLSDSESSSDSDMESTESDSDSDSLLSSSSDISSSSEDRRKKRKRTSKRDKHRRGKKRDKRREKRRKRRDKRSKRRTRRSSDSLTDGESESETSSDDDALNAQAKERKCRDPSQKTAEGQSPVVLEEEVASLPCKKREEPDILEKEDGEFPKENGSRRSNGMGADAKSYGSEDREPDIRDDHPVKSRSQSRSQSMSPKRTTSKSMSISPRRSLSKSPDVSPKRSVSRSRSASRSHPRVSQRSISRSPVRSGSSQSPARSFSRSPVRTKKARSISTSPVRSRSPRSFSRSPVRALPRRSQSRSPVRSLSRSLKKSISRSPLRDSRRSISRSLVRSSRRSISRSPVRSRRSISRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSSRRSISRSSGRAPSRRSVSRSPIRAPSRNNRRSYSRSPSTRRARFPDRRSLSRSVSPDGSPKRIRRGRGFSQRYAYARRYRTPSPKRSPVRSFRYSGRDRYSSYRRYSPRRFRSPPRGRTPPRYRGRRSRTRSPSVSRSPRYRNRHYSRSGSPVRSRSPVDVSKSRLSPRVARQRSPSSSRSPSKSRSSLDSQSPKRLSKDRSRSSSRSPGGKKGLVSYDDGSPDSSQR >Potri.001G226200.2.v4.1 pep chromosome:Pop_tri_v4:1:24492387:24497185:1 gene:Potri.001G226200.v4.1 transcript:Potri.001G226200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226200.v4.1 MYGGFLSDNIVNDFRDFAELCFQEFGDRVKYWITINEPHKYSSDGYDSGQFAPGRCSKWVDEKYCKHGNSATEPYLVAHNLLLSHVAAADTYKKRYQASQNGMIGITLNARWYEPYSNSTEDYEAAKRTLDFMLGWFMNPLTYGDYPSNMRELVQDRLPKFSPLDSIFLKGSLDFVGLNYYTAYYAANANSSDPDHRRYQTDCNSNITGERNGILIGPKAGAPWQYIYPEGIRYLLNHIKDKYQNPIIYITENGYSDFLGADVSEAKVLDDHPRIEFHFNHLRNVLQSIKDHGVQVKGYFAWSFADDFEFIDGFTIGFGLVQVNRSSGFSRKGKRSASWFSEFLADKWADPKV >Potri.003G196100.1.v4.1 pep chromosome:Pop_tri_v4:3:19826143:19829990:-1 gene:Potri.003G196100.v4.1 transcript:Potri.003G196100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196100.v4.1 MLGLHNILFIAPPPSSCHHQQPPHVPSTHQITNTNDQYGIADNQESWNTLKKYPQESSFLERGTLNALQDCDTAINIGPARACRDCGNRAKKECQYRRCRTCCKSRGYECTTHLKSTWVPAARRRERLGYSSGGGGSSASSSGGGCVGGKRPRENVPATSNSFSTSNNNAAASFVLDTGSSFQDASFKQSLPVQVHAPAVFRCVRVSAINSDEAEVAYEAKVNISGHVFKGFLYDQGMDEKNLFPCISKMHSSERNRDSSSPIVDPPDAYAASGNHRLLEGTNCTT >Potri.003G196100.2.v4.1 pep chromosome:Pop_tri_v4:3:19826168:19829992:-1 gene:Potri.003G196100.v4.1 transcript:Potri.003G196100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196100.v4.1 MLGLHNILFIAPPPSSCHHQQPPHVPSTHQITNTNDQYGIADNQESWNTLKKYPQESSFLERGTLNALQDCDTAINIGPARACRDCGNRAKKECQYRRCRTCCKSRGYECTTHLKSTWVPAARRRERLGYSSGGGGSSASSSGGGCVGGKRPRENVPATSNSFSTSNNNAAASFVLDTGSSFQDASFKQSLPVQVHAPAVFRCVRVSAINSDEAEVAYEAKVNISGHVFKGFLYDQGMDEKNLFPCISKMHSSERNRDSSSPIVDPPDAYAASGNHRLLEGNG >Potri.005G006400.2.v4.1 pep chromosome:Pop_tri_v4:5:462733:465430:-1 gene:Potri.005G006400.v4.1 transcript:Potri.005G006400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006400.v4.1 MLSRLIPYRTYSSTFAAVSQISHFSRLGQIRIARKIFDDLQDKTVTSWNAIMAGYFQNKRPREARKLFDKMPETNTISWNGLVSGYVQNGMISEARKVFDKMPERNVVSWTAMIRGYVQEGLIEEAELLFWRMPERNVVSWTVMLGGLIEDGRVDEARQLFDMMPVKDVVASTNMIDGLCSEGRLIEAREIFDEMPQRNVVAWTSMISGYAMNSKVDVARKLFEVMPDKNEVTWTAMLTGYTRSGRINEAAELFKEMPVKPVAACNEMIMGFGLDGEVGKARLVFDQMREKDDGTWSTMIKIYERKGFELEALALFSLMQREGVRPSFPSVISVLSVCGSLASLDHGRQVHSQLVRSQFDIDIYVSSVLITMYIKCGDLVTAKRVFDRFSSKDIVMWNSIIAGYAQHGFGEKALEVFHDMFSSSIAPDEITFIGVLSACSYTGKVKEGLEIFESMKSKYQVDPKTEHYACMVDLLGRAGKLNEAMNLIENMPVEADAIVWGALLGACRTHKNLDLAEIAAKKLLQLEPNNAGPYILLSNLYSSQSRWKDVVELRKTMRAKNLRKSPGCSWIEVDKKVHIFSGGGSTSHPEHEMILKKLGKLGALLREAGYCPDGSFVMHDVDEEEKVHSLRDHSEKLAVAYGLLKVPEGMPIRVMKNLRVCGDSHSTIKLIAQVTGREIILRDTNRFHHFKDGLCSCSD >Potri.011G003325.1.v4.1 pep chromosome:Pop_tri_v4:11:235887:236909:1 gene:Potri.011G003325.v4.1 transcript:Potri.011G003325.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003325.v4.1 MANLFRDKDSTLFIGFTALVSLEPLAFPFPKNPGIFFFLLKMLINTPLLPYKSTVGYLQGPQDFHDDHQDQCSRILTYILSSFVIHASDMTSKREWKKWRTVPVEFFKRKRKIPIT >Potri.005G136200.8.v4.1 pep chromosome:Pop_tri_v4:5:10521471:10524314:-1 gene:Potri.005G136200.v4.1 transcript:Potri.005G136200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136200.v4.1 MRSMASSSSNKGIAAIVGVGPKLGRTIARKFAHEGYTVAILARDLGRLSRFADEIAREEKSQVFAIRIDCSDSRSVREAFEGVLSLGFVEVLVYNAYQPAVYRQPTNFTHIPADSFEKSLAISSVGAFLCAQQVLPGMVERGKGTILFTGCSASLNGIAGFSELCCGKFALRALSQCLASEFQSQGVHVAHVIIDGVIGPPREIGNCRGPSSSQRTSVGEQEQQEQQGMGGIGEMMMDPDSLAQTYWHLHVQDRTAWTQEIDLRPSYSINPGFH >Potri.005G136200.6.v4.1 pep chromosome:Pop_tri_v4:5:10521770:10524709:-1 gene:Potri.005G136200.v4.1 transcript:Potri.005G136200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136200.v4.1 MRSMASSSSNKGIAAIVGVGPKLGRTIARKFAHEGYTVAILARDLGRLSRFADEIAREEKSQVFAIRIDCSDSRSVREAFEGVLSLGFVEVLVYNAYQPAVYRQPTNFTHIPADSFEKSLAISSVGAFLCAQQVLPGMVERGKGTILFTGCSASLNGIAGFSELCCGKFALRALSQCLASEFQSQGVHVAHVIIDGVIGPPRGPSSSQRTSVGEQEQQEQQGMGGIGEMMMDPDSLAQTYWHLHVQDRTAWTQEIDLRPSYSINPGFH >Potri.008G199000.1.v4.1 pep chromosome:Pop_tri_v4:8:14114134:14115381:-1 gene:Potri.008G199000.v4.1 transcript:Potri.008G199000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199000.v4.1 MNFFKSVFDEDTAPPNNPSSDNPDPEPEPEQEPEPEPEPSTLNLAWSFDSLIKTISTKSESIIQTYKKDLQELRSGFNKENAVIRHVASRAVHDLPASFESGAAVAQHSLESVGQVIDDIGSTVWNSTAQIISHGKDSVFSAVDHHRDLNDDSDASSSTKRHHLGVKYSRFDAQVRALQCDMDTYCSEPGDKEDYEKWESEGFVFEEKNEEIKRLISENEVVNQIYYKVVPSKVDDQSFWSRYFYRLFKLKQAEEARALLVKRAISGDEEEDLSWDFDDDDDNEEEEGNGFLSKGESNKESVIEKEIEEREDGGLPSLRSPNKSMDTLEEKGGNGGSCKDSDVSIVSSQSLQEEDLGWDEIEFIGSNDESKVEAAENTASAGTSRVELHNRFGSAEEEDLSWDVEDEDEVDLPVK >Potri.008G199000.2.v4.1 pep chromosome:Pop_tri_v4:8:14114019:14115061:-1 gene:Potri.008G199000.v4.1 transcript:Potri.008G199000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199000.v4.1 MDTYCSEPGDKEDYEKWESEGFVFEEKNEEIKRLISENEVVNQIYYKVVPSKVDDQSFWSRYFYRLFKLKQAEEARALLVKRAISGDEEEDLSWDFDDDDDNEEEEGNGFLSKGESNKESVIEKEIEEREDGGLPSLRSPNKSMDTLEEKGGNGGSCKDSDVSIVSSQSLQEEDLGWDEIEFIGSNDESKVEAAENTASAGTSRVELHNRFGSAEEEDLSWDVEDEDEVDLPVK >Potri.002G166900.2.v4.1 pep chromosome:Pop_tri_v4:2:12782458:12784056:1 gene:Potri.002G166900.v4.1 transcript:Potri.002G166900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166900.v4.1 MGRNRSGHIITTYVIFPLLLLLFSPVHGLTSYDPESLDALIHKHAMKAQAKKRTGTSLQVSLPANFSGIEVSVVRLRSGHFWERGVNFSSFYIPPRVLPFPFVKRLSIVYQNLGNWSTRYYKVPDYSLVAPVVGFMAYDASNLSALGNEALKFSILGGPILISFPNLEIKGKLETLKCVKLGPDGFVQFRNITKGNTCITQGDGHFSLAVQNPEVEKNIRVWKWYVVGFGAGFFALVLSGVIGYTTFKLVRSKKLRGMEAESENGVALDATSVGRSKMPSASMVRTQPTLEQDYVP >Potri.007G113100.1.v4.1 pep chromosome:Pop_tri_v4:7:13383657:13386418:-1 gene:Potri.007G113100.v4.1 transcript:Potri.007G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113100.v4.1 MGVEKQVVRPGTGPKPTAGQNVTVHCTGFGKNRDLSQKFWSTKDPGQKPFAFKIGQGSVIKGWDEGVMGMQVGEVARLRCSPDYAYGAGGFPAWGIQPNSVLDFEIEVISLE >Potri.009G054400.1.v4.1 pep chromosome:Pop_tri_v4:9:5835189:5839720:-1 gene:Potri.009G054400.v4.1 transcript:Potri.009G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054400.v4.1 MAAPTAPGGYHQPATLEEVRTLWIGDLQYWADESYLTSCFAHTGEVVSIKIIRNKLTGQPEGYGFVEFVSHAAAERILQTYNGTQMPGTEQTFRLNWASFGIGERRPDAGPEHSIFVGDLAPDVTDYLLQETFRVHYPSVRGAKVVTDPNTGRSKGYGFVKFADENERNRAMTEMNGVFCSTRPMRISMATPKKTTSFQQQYAVPKAFYPAPAYTAPVQVVSADGDITNTTIFVGNLDPNATEEDLRQTFLQLGEIASVKIPAGRGCGFVQFATRTSAEEAIQRMQGHVIGQQPVRISWGKKQDLTATWGQQVDQWNAYYGYGQGYDAYAYGGTHDPSLYAYNAYAGYPQYPQQVEGAPDMAGVVPVAEHREESYDPLAAPDVDKLNAAYLSTHGSAIFGRTLWMKTSSLTQQAQA >Potri.001G102900.20.v4.1 pep chromosome:Pop_tri_v4:1:8288439:8296365:1 gene:Potri.001G102900.v4.1 transcript:Potri.001G102900.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102900.v4.1 MRSWWGKSSSKEENKKANKESFIDTINRKLKITSEEKSNGKSGGSWRSCKDTLSERGSLSRVPSRSPSLSSHVSRCQSFAERPQAQPLPLPLPPPPPLPGVCHTSIGHSDSGIGASVKTGLEGGAKPFHLLPPPRPGHVPNRLDQADTVGDIATASVSSDSSIDSDDLSESRALSPLTSDYENGNRTAVNSPPSIMQQDQSPIVNKKNSIETLKPANLPVNNQILPTPPKRAIFSSQVQNLQIPHRGAFFSAPDSSLSSPRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHHRAVGVTIESPTSCPDDGKQQSHRLPLPPITTSNTCPFSPTYSTTTSPSVPRSPNRMENPTSPGSRWKKGRLLGRGSFGDVYLGLNSESGELCTMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVEDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPFSFRGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDHLSDDGKDFVRQCLQRNPSHRPTAAQLLDHPFVKNVASMERPFVSIEPSEELPPFMNSGRSMGTGPARHVSGFDSDGITIHQSRGSKFGSGFSNVYTMKNSSCPLSPVGSPLLHSRSPLNLSGRMSPSPISSPHTASGSSTPLSGGCGAIPFHHAKQPITCLQGSIGMIPRSQSSFYPNSSSPYQEPKPDLFRGVSQASCVFREIISSEYSALGNQLGQPELYDRHPVLADRVSQQLLREHMKLKPSLDLNPNSSIIGHSNGI >Potri.001G102900.24.v4.1 pep chromosome:Pop_tri_v4:1:8288442:8296344:1 gene:Potri.001G102900.v4.1 transcript:Potri.001G102900.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102900.v4.1 MQQDQSPIVNKKNSIETLKPANLPVNNQILPTPPKRAIFSSQVQNLQIPHRGAFFSAPDSSLSSPRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHHRAVGVTIESPTSCPDDGKQQSHRLPLPPITTSNTCPFSPTYSTTTSPSVPRSPNRMENPTSPGSRWKKGRLLGRGSFGDVYLGLNSESGELCTMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVEDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPFSFRGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDHLSDDGKDFVRQCLQRNPSHRPTAAQLLDHPFVKNVASMERPFVSIEPSEELPPFMNSGRSMGTGPARHVSGFDSDGITIHQSRGSKFGSGFSNVYTMKNSSCPLSPVGSPLLHSRSPLNLSGRMSPSPISSPHTASGSSTPLSGGCGAIPFHHAKQPITCLQGSIGMIPRSQSSFYPNSSSPYQEPKPDLFRGVSQASCVFREIISSEYSALGNQLGQPELYDRHPVLADRVSQQLLREHMKLKPSLDLNPNSSIIGHSNGI >Potri.001G102900.23.v4.1 pep chromosome:Pop_tri_v4:1:8288501:8296348:1 gene:Potri.001G102900.v4.1 transcript:Potri.001G102900.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102900.v4.1 MQQDQSPIVNKKNSIETLKPANLPVNNQILPTPPKRAIFSSQVQNLQIPHRGAFFSAPDSSLSSPRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHHRAVGVTIESPTSCPDDGKQQSHRLPLPPITTSNTCPFSPTYSTTTSPSVPRSPNRMENPTSPGSRWKKGRLLGRGSFGDVYLGLNSESGELCTMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVEDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPFSFRGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDHLSDDGKDFVRQCLQRNPSHRPTAAQLLDHPFVKNVASMERPFVSIEPSEELPPFMNSGRSMGTGPARHVSGFDSDGITIHQSRGSKFGSGFSNVYTMKNSSCPLSPVGSPLLHSRSPLNLSGRMSPSPISSPHTASGSSTPLSGGCGAIPFHHAKQPITCLQGSIGMIPRSQSSFYPNSSSPYQEPKPDLFRGVSQASCVFREIISSEYSALGNQLGQPELYDRHPVLADRVSQQLLREHMKLKPSLDLNPNSSIIGHSNGI >Potri.001G102900.19.v4.1 pep chromosome:Pop_tri_v4:1:8288233:8296367:1 gene:Potri.001G102900.v4.1 transcript:Potri.001G102900.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102900.v4.1 MRSWWGKSSSKEENKKANKESFIDTINRKLKITSEEKSNGKSGGSWRSCKDTLSERGSLSRVPSRSPSLSSHVSRCQSFAERPQAQPLPLPLPPPPPLPGVCHTSIGHSDSGIGASVKTGLEGGAKPFHLLPPPRPGHVPNRLDQADTVGDIATASVSSDSSIDSDDLSESRALSPLTSDYENGNRTAVNSPPSIMQQDQSPIVNKKNSIETLKPANLPVNNQILPTPPKRAIFSSQVQNLQIPHRGAFFSAPDSSLSSPRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHHRAVGVTIESPTSCPDDGKQQSHRLPLPPITTSNTCPFSPTYSTTTSPSVPRSPNRMENPTSPGSRWKKGRLLGRGSFGDVYLGLNSESGELCTMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVEDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPFSFRGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDHLSDDGKDFVRQCLQRNPSHRPTAAQLLDHPFVKNVASMERPFVSIEPSEELPPFMNSGRSMGTGPARHVSGFDSDGITIHQSRGSKFGSGFSNVYTMKNSSCPLSPVGSPLLHSRSPLNLSGRMSPSPISSPHTASGSSTPLSGGCGAIPFHHAKQPITCLQGSIGMIPRSQSSFYPNSSSPYQEPKPDLFRGVSQASCVFREIISSEYSALGNQLGQPELYDRHPVLADRVSQQLLREHMKLKPSLDLNPNSSIIGHSNGI >Potri.001G102900.5.v4.1 pep chromosome:Pop_tri_v4:1:8288484:8296361:1 gene:Potri.001G102900.v4.1 transcript:Potri.001G102900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102900.v4.1 MQQDQSPIVNKKNSIETLKPANLPVNNQILPTPPKRAIFSSQVQNLQIPHRGAFFSAPDSSLSSPRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHHRAVGVTIESPTSCPDDGKQQSHRLPLPPITTSNTCPFSPTYSTTTSPSVPRSPNRMENPTSPGSRWKKGRLLGRGSFGDVYLGLNSESGELCTMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVEDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPFSFRGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDHLSDDGKDFVRQCLQRNPSHRPTAAQLLDHPFVKNVASMERPFVSIEPSEELPPFMNSGRSMGTGPARHVSGFDSDGITIHQSRGSKFGSGFSNVYTMKNSSCPLSPVGSPLLHSRSPLNLSGRMSPSPISSPHTASGSSTPLSGGCGAIPFHHAKQPITCLQGSIGMIPRSQSSFYPNSSSPYQEPKPDLFRGVSQASCVFREIISSEYSALGNQLGQPELYDRHPVLADRVSQQLLREHMKLKPSLDLNPNSSIIGHSNGI >Potri.001G102900.22.v4.1 pep chromosome:Pop_tri_v4:1:8288449:8296210:1 gene:Potri.001G102900.v4.1 transcript:Potri.001G102900.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102900.v4.1 MRSWWGKSSSKEENKKANKESFIDTINRKLKITSEEKSNGKSGGSWRSCKDTLSERGSLSRVPSRSPSLSSHVSRCQSFAERPQAQPLPLPLPPPPPLPGVCHTSIGHSDSGIGASVKTGLEGGAKPFHLLPPPRPGHVPNRLDQADTVGDIATASVSSDSSIDSDDLSESRALSPLTSDYENGNRTAVNSPPSIMQQDQSPIVNKKNSIETLKPANLPVNNQILPTPPKRAIFSSQVQNLQIPHRGAFFSAPDSSLSSPRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHHRAVGVTIESPTSCPDDGKQQSHRLPLPPITTSNTCPFSPTYSTTTSPSVPRSPNRMENPTSPGSRWKKGRLLGRGSFGDVYLGLNSESGELCTMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVEDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPFSFRGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDHLSDDGKDFVRQCLQRNPSHRPTAAQLLDHPFVKNVASMERPFVSIEPSEELPPFMNSGRSMGTGPARHVSGFDSDGITIHQSRGSKFGSGFSNVYTMKNSSCPLSPVGSPLLHSRSPLNLSGRMSPSPISSPHTASGSSTPLSGGCGAIPFHHAKQPITCLQGSIGMIPRSQSSFYPNSSSPYQEPKPDLFRGVSQASCVFREIISSEYSALGNQLGQPELYDRHPVLADRVSQQLLREHMKLKPSLDLNPNSSIIGHSNGI >Potri.001G102900.21.v4.1 pep chromosome:Pop_tri_v4:1:8288358:8296210:1 gene:Potri.001G102900.v4.1 transcript:Potri.001G102900.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102900.v4.1 MRSWWGKSSSKEENKKANKESFIDTINRKLKITSEEKSNGKSGGSWRSCKDTLSERGSLSRVPSRSPSLSSHVSRCQSFAERPQAQPLPLPLPPPPPLPGVCHTSIGHSDSGIGASVKTGLEGGAKPFHLLPPPRPGHVPNRLDQADTVGDIATASVSSDSSIDSDDLSESRALSPLTSDYENGNRTAVNSPPSIMQQDQSPIVNKKNSIETLKPANLPVNNQILPTPPKRAIFSSQVQNLQIPHRGAFFSAPDSSLSSPRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHHRAVGVTIESPTSCPDDGKQQSHRLPLPPITTSNTCPFSPTYSTTTSPSVPRSPNRMENPTSPGSRWKKGRLLGRGSFGDVYLGLNSESGELCTMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVEDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPFSFRGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDHLSDDGKDFVRQCLQRNPSHRPTAAQLLDHPFVKNVASMERPFVSIEPSEELPPFMNSGRSMGTGPARHVSGFDSDGITIHQSRGSKFGSGFSNVYTMKNSSCPLSPVGSPLLHSRSPLNLSGRMSPSPISSPHTASGSSTPLSGGCGAIPFHHAKQPITCLQGSIGMIPRSQSSFYPNSSSPYQEPKPDLFRGVSQASCVFREIISSEYSALGNQLGQPELYDRHPVLADRVSQQLLREHMKLKPSLDLNPNSSIIGHSNGI >Potri.001G102900.18.v4.1 pep chromosome:Pop_tri_v4:1:8288321:8296499:1 gene:Potri.001G102900.v4.1 transcript:Potri.001G102900.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102900.v4.1 MRSWWGKSSSKEENKKANKESFIDTINRKLKITSEEKSNGKSGGSWRSCKDTLSERGSLSRVPSRSPSLSSHVSRCQSFAERPQAQPLPLPLPPPPPLPGVCHTSIGHSDSGIGASVKTGLEGGAKPFHLLPPPRPGHVPNRLDQADTVGDIATASVSSDSSIDSDDLSESRALSPLTSDYENGNRTAVNSPPSIMQQDQSPIVNKKNSIETLKPANLPVNNQILPTPPKRAIFSSQVQNLQIPHRGAFFSAPDSSLSSPRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRMTSPGPSSRIHSGAVTPLHHRAVGVTIESPTSCPDDGKQQSHRLPLPPITTSNTCPFSPTYSTTTSPSVPRSPNRMENPTSPGSRWKKGRLLGRGSFGDVYLGLNSESGELCTMKEVTLFSDDAKSKESAQQLGQEIMLLSRLRHPNIVQYYGSETVEDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILSGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPFSFRGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDHLSDDGKDFVRQCLQRNPSHRPTAAQLLDHPFVKNVASMERPFVSIEPSEELPPFMNSGRSMGTGPARHVSGFDSDGITIHQSRGSKFGSGFSNVYTMKNSSCPLSPVGSPLLHSRSPLNLSGRMSPSPISSPHTASGSSTPLSGGCGAIPFHHAKQPITCLQGSIGMIPRSQSSFYPNSSSPYQEPKPDLFRGVSQASCVFREIISSEYSALGNQLGQPELYDRHPVLADRVSQQLLREHMKLKPSLDLNPNSSIIGHSNGI >Potri.015G103000.2.v4.1 pep chromosome:Pop_tri_v4:15:12181175:12185107:-1 gene:Potri.015G103000.v4.1 transcript:Potri.015G103000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103000.v4.1 MGVSEYVYLQILLFVAKNWRTKFFTTTMGDIPLIKYVDRDGSVSLCSINESAQKNSERLLCLSHETRYISWLIDWNKEFGFVGNRFFLPKSTQEAIYSFSKKEAILQWLRVEVKVSEINLRGYAEKVTNHLNDNRKNTIAYACFLYQSFLQGYLNAEGVDSLCGKMPVVDSYGHVTKERSGVLVPANGSKWVELIGSNPWREENYVELGEDYLHPACFAGTRTSEEMFMEFLITRVKASDIPNISPPNAGIPTVSGPLTKQNAFLLLDWIRELKRRGIRIPAKFLTCIKEGSWLMIIVNGSSDHRPPSQSFLLTSDGGNSNWGTTLQNGTVLVDIPLIDQGFYGDKIKEYKEELKTIGVMFEYGEACRFIGNHLMSLAASSTLPRSYVISILNFIRFLKQNFLSPDHFVSKMKEGRWLRTSHGCTCPNGSVLYSEEWKTARQISKIPFIDKDDYGEEINCFKAELQLLGVIVDFNGNYQMVVDNLFSSFSSSLTAEALLFILDCMHHSTSSDKLAKALKGVRCVKTNVGYKSPGECFFPDPEWGSLLEVFNSVPLIDHDFYESRITTRKNELKQLGVKVDFEEAVNVFVHSFKRQASFSSISKENVFSFLSCCRKLKENSLKFPSDQKKCIREVNWLRTRLGDYRCPGNCILYGPEWESILAITLLPFIDDSDKFYGKGIREYEKELKKMGVVVEFKAGVEFVAAGLYFPLNPCHITSENVLSLLECIRILLQEKDYSFPDTFLKNVRREWLKTHVGYRTPDNCCLFDSKWGLYLKSNDGPFIDEVFYGSNITSYREELSSIGVTVEVEEACPLLASNLYHHSDFSTIVRIFKFLSKNEWMPESDATRKIWIPDGHENGKWVNPEECVLHNRDGLFGQQFNVLEEYYEPDLLCFFSTVFNVTSNPSFDSLCKLWKVWETSGYQLSHAQCCAFWECVMSQWSSEVETTLADGLMKLPVHSGCGEILLFDKNDVFVADDLLLKELFEKLSPRPVLVWYPQPSLPSLPRSKLLEIYRKIGVRTISESARKEELSLADGVKLKPLNPSVLFIGKEMVRLILGFLADPSLKMQVKKRHEAVQCLLNITALVTAEPITVSYSLSLSSGEIVKVRGSRMIRWDRKSSKFYTQKPDKAGGPKVRIEYATYLAEAIAEGVLWDKEDHISALSELIKLAVLVSFNEEAVQFLMKSKNLQIFEEDEEFLSAAFP >Potri.015G103000.1.v4.1 pep chromosome:Pop_tri_v4:15:12181181:12187698:-1 gene:Potri.015G103000.v4.1 transcript:Potri.015G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103000.v4.1 MASPREHIEHIRKTTFSIGGERNPLAPMLDQAVKYLSAELYTKDVHFLMELIQNAEDNEYLEGVDPSLEFVITSRDITATGAPATLLIFNSEKGFSAKNIESICSVGNSTKKGNRKRGYIGEKGIGFKSVFLITPQPIIFSNGYQIRFNEKPCPHCNLGYVVPEWVEENPSLSDIKQIYGSNSTLPTTTIVLPLKPDKVKPVKQQLSSIHPEVLLFLSKIKSLSVREENEDPRLNTVSAIAITKETNFRTRESIDAESYTLHLSAEENGTDEQDRECSYSVWKQKFPVKKKNKVEKRMGVEDWVITLAFPNGERLRRGMSLPGIYAFLPTEMVTNLPFIIQADFILASSRETILLDDNWNQGILDCVPLAFINALVSLVKMREDALVSSLPRLFQFLPIKSSHYPTLNAVRESIKVKLAEEEIVPSESFTEQKFFHKPSEIGRIMPAFWSVLNKARKEGVRFHNLSSHGWYVLSSHFDKSEYDHILDFLGVGHVNNEWYARCIRSSNLLMGVSEYVYLQILLFVAKNWRTKFFTTTMGDIPLIKYVDRDGSVSLCSINESAQKNSERLLCLSHETRYISWLIDWNKEFGFVGNRFFLPKSTQEAIYSFSKKEAILQWLRVEVKVSEINLRGYAEKVTNHLNDNRKNTIAYACFLYQSFLQGYLNAEGVDSLCGKMPVVDSYGHVTKERSGVLVPANGSKWVELIGSNPWREENYVELGEDYLHPACFAGTRTSEEMFMEFLITRVKASDIPNISPPNAGIPTVSGPLTKQNAFLLLDWIRELKRRGIRIPAKFLTCIKEGSWLMIIVNGSSDHRPPSQSFLLTSDGGNSNWGTTLQNGTVLVDIPLIDQGFYGDKIKEYKEELKTIGVMFEYGEACRFIGNHLMSLAASSTLPRSYVISILNFIRFLKQNFLSPDHFVSKMKEGRWLRTSHGCTCPNGSVLYSEEWKTARQISKIPFIDKDDYGEEINCFKAELQLLGVIVDFNGNYQMVVDNLFSSFSSSLTAEALLFILDCMHHSTSSDKLAKALKGVRCVKTNVGYKSPGECFFPDPEWGSLLEVFNSVPLIDHDFYESRITTRKNELKQLGVKVDFEEAVNVFVHSFKRQASFSSISKENVFSFLSCCRKLKENSLKFPSDQKKCIREVNWLRTRLGDYRCPGNCILYGPEWESILAITLLPFIDDSDKFYGKGIREYEKELKKMGVVVEFKAGVEFVAAGLYFPLNPCHITSENVLSLLECIRILLQEKDYSFPDTFLKNVRREWLKTHVGYRTPDNCCLFDSKWGLYLKSNDGPFIDEVFYGSNITSYREELSSIGVTVEVEEACPLLASNLYHHSDFSTIVRIFKFLSKNEWMPESDATRKIWIPDGHENGKWVNPEECVLHNRDGLFGQQFNVLEEYYEPDLLCFFSTVFNVTSNPSFDSLCKLWKVWETSGYQLSHAQCCAFWECVMSQWSSEVETTLADGLMKLPVHSGCGEILLFDKNDVFVADDLLLKELFEKLSPRPVLVWYPQPSLPSLPRSKLLEIYRKIGVRTISESARKEELSLADGVKLKPLNPSVLFIGKEMVRLILGFLADPSLKMQVKKRHEAVQCLLNITALVTAEPITVSYSLSLSSGEIVKVRGSRMIRWDRKSSKFYTQKPDKAGGPKVRIEYATYLAEAIAEGVLWDKEDHISALSELIKLAVLVSFNEEAVQFLMKSKNLQIFEEDEEFLSAAFP >Potri.004G141200.1.v4.1 pep chromosome:Pop_tri_v4:4:16394322:16395436:1 gene:Potri.004G141200.v4.1 transcript:Potri.004G141200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141200.v4.1 MQRPSKRSKIGEAPAATLFSPPGISPMRLTQDQESAVMVAALRNVVSGTSSTDFSREFNSLNVPITTSYSQFGSRSSNGNGFCISIWPPSSDLDMCAVCKIKGCLGCNFFPPNQEDKKDDKKGKRKRVKKNYRGVRQRPWGKWAAEIRDPRRAARVWLGTFSTAEEAARAYDKAAIDFRGPRAKLNFPFPDSGISSFEESKEKQEEQAKQQEISEKKSGFEAEMGKESEFWDKIGDDEIHEWMMMMLDFSNGDSSDSTGTESAATIGI >Potri.005G005000.1.v4.1 pep chromosome:Pop_tri_v4:5:391738:396310:1 gene:Potri.005G005000.v4.1 transcript:Potri.005G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005000.v4.1 MADQRNGSGQPSNAARNVYAIDVEKFKTRLKAFYSNWNENKADLWGSSDVVAIATPPPSEDLRYLKSSALNIWLLGYEFPETVMVFMKKQIHFLCSQKKASLLEVVKKPAREVVGVDVVMHVKAKTDNGTGLMEAIFRAIRSQSGADGQVAPVVGHIVREAPEGNLLETWSEKLKGAGFELADVTNGLSDLFAVKDADELINVKKAAFLTFSVMNNIVVPKLENVIDEEKNITHSALMDEAEKAILDPTRAKAKLKADNVDICYPPIFQSGGEFDLRPSAASNDEPLYYDSASVIIIAVGSRYNSYCSNVARTLMIDATPLQSKAYAVLLKAQEAAIGALKPGNKLSAAYQAALSVVEKEAPELVPNLSKSAGTGMGLEFRESGLNLNAKNDRAVKAKMVLNVSLGFQNLQNQTDNPKIRNFSLLLADTVIVGDQNPDVVTSKSSKAVKDVAYSFNEGEEEEQKPKARAEVNGGENLMSKTTLRSDNGEISKEELRRQHQAELARQKNEETARRLAGGGSANGDSRAASKTSADLVAYKNVNDIPPARDLMIQIDQKNEAVLLPIYGSMVPFHVSTIRTVSSQQDTNRTCYIRIIFNVPGTAFNPHDSNSLKHQGAIYLKEVSFRSKDPRHISEVVQLIKTLRRHVMARESERAERATLVMQEKLQLAGNRFKPIRLTDLWIRPVFGGRGRKLPGSLEAHVNGFRYSTSRAEERVDIMFANIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERERKNKINMDFQSFVNRVNDLWAQPQFSGLDLEFDQPLRELGFHGVPHKVTSFIVPTSSCLVELVETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTALDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIDDGGWEFLNLEASDSDSDNSEDSDQGYIPSDAEPDSETEEEDSDSESLVESEDDEEDDSEEDSEEEKGKTWEELEREASNADREKGDDSDSEQERNRRKAKAFGKSRAPSRPAPRMPKRPKFR >Potri.005G005000.3.v4.1 pep chromosome:Pop_tri_v4:5:392513:396336:1 gene:Potri.005G005000.v4.1 transcript:Potri.005G005000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005000.v4.1 MADQRNGSGQPSNAARNVYAIDVEKFKTRLKAFYSNWNENKADLWGSSDVVAIATPPPSEDLRYLKSSALNIWLLGYEFPETVMVFMKKQIHFLCSQKKASLLEVVKKPAREVVGVDVVMHVKAKTDNGTGLMEAIFRAIRSQSGADGQVAPVVGHIVREAPEGNLLETWSEKLKGAGFELADVTNGLSDLFAVKDADELINVKKAAFLTFSVMNNIVVPKLENVIDEEKNITHSALMDEAEKAILDPTRAKAKLKADNVDICYPPIFQSGGEFDLRPSAASNDEPLYYDSASVIIIAVGSRYNSYCSNVARTLMIDATPLQSKAYAVLLKAQEAAIGALKPGNKLSAAYQAALSVVEKEAPELVPNLSKSAGTGMGLEFRESGLNLNAKNDRAVKAKMVLNVSLGFQNLQNQTDNPKIRNFSLLLADTVIVGDQNPDVVTSKSSKAVKDVAYSFNEGEEEEQKPKARAEVNGGENLMSKTTLRSDNGEISKEELRRQHQAELARQKNEETARRLAGGGSANGDSRAASKTSADLVAYKNVNDIPPARDLMIQIDQKNEAVLLPIYGSMVPFHVSTIRTVSSQQDTNRTCYIRIIFNVPGTAFNPHDSNSLKHQGAIYLKEVSFRSKDPRHISEVVQLIKTLRRHVMARESERAERATLVMQEKLQLAGNRFKPIRLTDLWIRPVFGGRGRKLPGSLEAHVNGFRYSTSRAEERVDIMFANIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERERKNKINMDFQSFVNRVNDLWAQPQFSGLDLEFDQPLRELGFHGVPHKVTSFIVPTSSCLVELVETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTALDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIDDGGWEFLNLEASDSDSDNSEDSDQGYIPSDAEPDSETEEEDSDSESLVESEDDEEDDSEEDSEEEKGKTWEELEREASNADREKGDDSDSEQERNRRKAKAFGKSRAPSRPAPRMPKRPKFR >Potri.005G005000.2.v4.1 pep chromosome:Pop_tri_v4:5:391753:396298:1 gene:Potri.005G005000.v4.1 transcript:Potri.005G005000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005000.v4.1 MADQRNGSGQPSNAARNVYAIDVEKFKTRLKAFYSNWNENKADLWGSSDVVAIATPPPSEDLRYLKSSALNIWLLGYEFPETVMVFMKKQIHFLCSQKKASLLEVVKKPAREVVGVDVVMHVKAKTDNGTGLMEAIFRAIRSQSGADGQVAPVVGHIVREAPEGNLLETWSEKLKGAGFELADVTNGLSDLFAVKDADELINVKKAAFLTFSVMNNIVVPKLENVIDEEKNITHSALMDEAEKAILDPTRAKAKLKADNVDICYPPIFQSGGEFDLRPSAASNDEPLYYDSASVIIIAVGSRYNSYCSNVARTLMIDATPLQSKAYAVLLKAQEAAIGALKPGNKLSAAYQAALSVVEKEAPELVPNLSKSAGTGMGLEFRESGLNLNAKNDRAVKAKMVLNVSLGFQNLQNQTDNPKIRNFSLLLADTVIVGDQNPDVVTSKSSKAVKDVAYSFNEGEEEEQKPKARAEVNGGENLMSKTTLRSDNGEISKEELRRQHQAELARQKNEETARRLAGGGSANGDSRAASKTSADLVAYKNVNDIPPARDLMIQIDQKNEAVLLPIYGSMVPFHVSTIRTVSSQQDTNRTCYIRIIFNVPGTAFNPHDSNSLKHQGAIYLKEVSFRSKDPRHISEVVQLIKTLRRHVMARESERAERATLVMQEKLQLAGNRFKPIRLTDLWIRPVFGGRGRKLPGSLEAHVNGFRYSTSRAEERVDIMFANIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERERKNKINMDFQSFVNRVNDLWAQPQFSGLDLEFDQPLRELGFHGVPHKVTSFIVPTSSCLVELVETPFLVVTLSEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTALDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIDDGGWEFLNLEASDSDSDNSEDSDQGYIPSDAEPDSETEEEDSDSESLVESEDDEEDDSEEDSEEEKGKTWEELEREASNADREKGDDSDSEQERNRRKAKAFGKSRAPSRPAPRMPKRPKFR >Potri.013G050100.3.v4.1 pep chromosome:Pop_tri_v4:13:3596558:3600912:-1 gene:Potri.013G050100.v4.1 transcript:Potri.013G050100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G050100.v4.1 MGFLVRKRGFLAPLLLALLLVQRLSAVVSALNYTSYRQVSSMRLERIQRHLDKINKPPVMTIESPDGDIIDCVHKRKQPALDHPLLRNHKIQRDPPERPRVKMLKEDDKTKKSNVEEGVIRGSWQMWHRNGTRCPKGTVPIRRSTAHDVLRSKSLFDFGKKQAPISLARRKDAPDVVSANGHEHAIAYTGSSQEVYGAKATINVWDPSIQVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQSGQSGALW >Potri.013G050100.4.v4.1 pep chromosome:Pop_tri_v4:13:3596560:3601343:-1 gene:Potri.013G050100.v4.1 transcript:Potri.013G050100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G050100.v4.1 MGFLVRKRGFLAPLLLALLLVQRLSAVVSALNYTSYRQVSSMRLERIQRHLDKINKPPVMTIESPDGDIIDCVHKRKQPALDHPLLRNHKIQRDPPERPRVKMLKEDDKTKKSNVEEGVIRGSWQMWHRNGTRCPKGTVPIRRSTAHDVLRSKSLFDFGKKQAPISLARRKDAPDVVSANGHEHAIAYTGSSQEVYGAKATINVWDPSIQVVNEFSLSQIWVLSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDSYQATGCYNLLCSGFVQTNSRVAIGAAISPVSSYTGNQYDISILIWKDPKLGNWWMGFGDNTLVGYWPAELFTHLANHATMVEWGGEVVNSRANGEHTSTQMGSGNFAEDGFGKASYFRNLEIVDSDNSLTAVQSISTLAENTNCYNIKSSYSNEWGTHFYYGGPGSNPLCP >Potri.009G080600.3.v4.1 pep chromosome:Pop_tri_v4:9:7699195:7702923:-1 gene:Potri.009G080600.v4.1 transcript:Potri.009G080600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080600.v4.1 MAAAFGFATSIATSTPSNPNLRPLAVSCPQLQTFYKPLNNRRASALNQSLRVRCESVSQNLNSIDKEELKRSSAMALAQLKASAIDRYTKEKSSIIVIGLNVHTAPVDVREKLAIQEAQWPQAIRELCALNHIEEAAVLSTCNRIEVYVVALSQHRGVKEVTEWMSKISGVSVSELCQHRFMLYNKDATRHLFEVASGLNSIVLGEGQILAQVKQVKKLGQGVDGFNKKIGGLFEHAIITGKRVRTETNISSGSVSVSSAAVELALMKLPESSYATTRVLVIGAGKMGKLVIKHLAAKGCKEMVVVNRTEEKVALIREELKDIQIIYKPLSEMMACAAEADVIFTSTASDTPLFLKEHAQTLPVDTEMGRRLFIDISVPRNVGPCVSDLETAEVYNVDDLKDIVAANKEDRLRKAMEAQIIISEELEHFEAWKDSLEAVPTIKKLRAYLERIRASELDKCLSKMGDITDKQKKAIYDLSMGMMKKFLHGPMQHLRCDGRSDCQKPEEMLEIMHAVNRMFDLETEIILDTVRTKMERIKK >Potri.012G023450.1.v4.1 pep chromosome:Pop_tri_v4:12:2357904:2358005:-1 gene:Potri.012G023450.v4.1 transcript:Potri.012G023450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023450.v4.1 MSNIPRSLADSSFTLFKLAISAADPWPFSLVFL >Potri.001G299600.1.v4.1 pep chromosome:Pop_tri_v4:1:31060868:31061554:1 gene:Potri.001G299600.v4.1 transcript:Potri.001G299600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299600.v4.1 MVLSPHLFLSLLVILATSTVAAEDFTAYTVTNNACATAGGARFTGDIGVDHSKQILASATAFIWSIFLQTDPADRKNVHRVDLFIDVMDGVAYADNNEIRVSSNYIGNYTGDLEREFSGVLYHEMTHVWQWDGNGTTPGGLIEGIADFVRLKANYTPSHWVQPGQGDRWDRGYDVTARFLDYCNDLRNGFVAELNNKMRTGYSAQYFVDLLGKTVDELWTHYKAKYGI >Potri.004G228800.9.v4.1 pep chromosome:Pop_tri_v4:4:23202173:23212620:-1 gene:Potri.004G228800.v4.1 transcript:Potri.004G228800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228800.v4.1 MAFAAMNHTSGGNPHAGGCNDALYKELWHACAGPLVTLPREGELVYYFPQGHMEQLEASMHQGMEPQMPLFNLPSKILCKVVNVQRRAEPETDEVYAQITLLPEPDQSEVTSPDPPLPEPERCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQTNMPSSVISSQSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSLNKYLEVRNHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNISSGWADSDWRSLKVQWDEPSSIMRPERVSHWELEPLVATTPSNSQPVQRNKRARPYVIPSPTADLSALGMWKSPVESSALSYGDSQRGRDLYSSPNFSTTAKVNSLGFRGNSQVASVSHNSMHWPNRVESVTDSFAPVVNKDSGERRQGTGIGYKLFGIQLVENSNTEGTSPVVVSGTVVNDLPVLSLEAESDQHSEPEKSCLRSSQELQSRQIRSCTKVHMQGVAVGRAVDLTQFKRYEDLLRKLEEMFDIEGELSGSTKKWQVVYTDNEDDMMKVGDDPWNEFCGMVKKIFIYTSEEVKRLSPKIKLLAEEEVKGIGDNADAAVNTEDRSSTIGPGC >Potri.004G228800.8.v4.1 pep chromosome:Pop_tri_v4:4:23202618:23212540:-1 gene:Potri.004G228800.v4.1 transcript:Potri.004G228800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228800.v4.1 MAFAAMNHTSGGNPHAGGCNDALYKELWHACAGPLVTLPREGELVYYFPQGHMEQLEASMHQGMEPQMPLFNLPSKILCKVVNVQRRAEPETDEVYAQITLLPEPDQSEVTSPDPPLPEPERCTVHSFCKTLTASDTSTHGGFSVLRRHADDCLPPLDMSQQPPWQELVATDLHGNEWHFRHIFRGQPRRHLLTTGWSVFVSSKKLVAGDAFIFLRGENGELRVGVRRLMRQQTNMPSSVISSQSMHLGVLATASHAIATGTLFSVFYKPRTSRSEFIVSLNKYLEVRNHKLSVGMRFKMRFEGEEVPERRFSGTIVGVGDNISSGWADSDWRSLKVQWDEPSSIMRPERVSHWELEPLVATTPSNSQPVQRNKRARPYVIPSPTADLSALGMWKSPVESSALSYGDSQRGRDLYSSPNFSTTAKVNSLGFRGNSQVASVSHNSMHWPNRVESVTDSFAPVVNKDSGERRQGTGIGYKLFGIQLVENSNTEGTSPVVVSGTVVNDLPVLSLEAESDQHSEPEKSCLRSSQELQSRQIRSCTKVHMQGVAVGRAVDLTQFKRYEDLLRKLEEMFDIEGELSGSTKKWQVVYTDNEDDMMKVGDDPWNEFCGMVKKIFIYTSEEVKRLSPKIKLLAEEEVKGIGDNADAAVNTEDRSSTIGPGC >Potri.016G070100.1.v4.1 pep chromosome:Pop_tri_v4:16:5109735:5113926:1 gene:Potri.016G070100.v4.1 transcript:Potri.016G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070100.v4.1 MEIDQPTIQQKAGHFTRAWSWFKAKAIKVAKSTKKLGEDDPRRVIHSLKVGLALTFVSFFYYSRPLYDGFGQSGMWAVLTVVVIFEFTVGGTLSKGLYRGLATFLACALGFGASNLASLFGRKAQPIVLGILVFLLAAASTFTRFFPRIKARYDYGVVIFILTFSLVSVSGYRVEKLLVLAHQRLSTILIGGAICILLSFIFPVWAGEDLHKLVASNVEKLAKYLEGFGGEFFQPLEDGRNVKVSNTDKSFLRGYKNVLNSKSTEESMANLARWEPRHGRFGFRHPWKQYLKIGSLSRQCAYQIEALDAYINSHNQAPLKFRCKIRGPCTRMSIECSMALESLASAIKTMTLPSSANVHVENSKNAIKDLKIAIETVSLDQDQDLLAIVPAATVASIIIEIVKCVENLSESVHELSNLAHFKSVEPTVSLEKPQFLHRGSIKPVLEGDADRVIIAIDGKSADSPESENTQGPMPGQRLEV >Potri.006G021900.1.v4.1 pep chromosome:Pop_tri_v4:6:1474274:1482746:-1 gene:Potri.006G021900.v4.1 transcript:Potri.006G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021900.v4.1 MERSDGKDFKEFTDSSSGALFDASRYEFFGQHAVEEVELGGLEDEGDNLVLGPADDEYRLFDRDEGVSLGSLSEIDDLASTFAKLNRVVTGPRNPGVIGDRGSGSFSRESSSATDWAQDGEFAGWLDQQMFCAENDQDSKRWSSQPQPSSARFSESKPLYRTSSYPLQPLQQPHFSSEPIPVPKSNFTSFPPPGASPHHLNVASLSGGLQSHLSAPNLSPLSNSNLHLAGLQHGLHYGGNLPQIMSPGLSFNNRPQKHWPNHAGLLHVDQSRLLESILQQQLSHQNGLMSAHLMSPQQQLQQQRLHSSLQPSLAHFAAMQSQLFNSHPSSLHIRDQKHKSSSQRNRRFSQGSDTGSQKSDSGWVQFRSKHMTADEIESILKMQHAATHSTDPYIDDYYHQASIAKKSTGSRIKHNFCPSHMKELPSRSRNSADQHSHLHFDALGKIPLPPIRKPRPLLEVDSPSSGDGNSEQISERPLEQEPMLAARITIEDSLSLLLDVDDIDRFLQCNQSQDGGAQLRRRRQNLLEGLAASLQLVDPLGQTGQSVGLASKDDIVFLRLVSLPKGQKLICKFLQLLFPGNELTRVVCMAIFRHLRFLFGGIPSDTDAADTTTNLTKTVSACVNGMDLHALSACLVAVVCSSEQPPFRPLGSPAGDGATVILKCLLERASKLLHGPQASANCAMPNFALWQASFDEFFDLLTKYCLIKYDTILHSVYAKTPPSTEGIDLEVRAATKQEMPVELLRACLPHTNERQMELLRHFGQQRNASTGLSAHPGNSGHINSESVRS >Potri.001G035800.4.v4.1 pep chromosome:Pop_tri_v4:1:2604601:2608494:1 gene:Potri.001G035800.v4.1 transcript:Potri.001G035800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035800.v4.1 MAIQTSLQLISYSQELVDGQPVHVSSNCLPIKALKYEPAGHAYHSAALKLLGWEEEGTKSEDQKVSKDKEQSYMPSSESYSTKGKKKTGSGDKQQDHYAMLGLGHLRYLATEEQIRKSYREVALKYHPDKQAAILLAEETEAAKQAKKNEIESHFKAIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPSLGDENTSLKEVDSFYNFWYSFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEDYARIRTLVDSAYKRDPRILRRKEEGKAEKQRRKEAKFLAKRLQEEEAARAAEEERRQKEEEGKRAAEAALQQKKLKEKEKKLLRKERSRLRTLSAPVLPQCLLNLGEDDVENLCMSLDIEQLRSLCDRMEGKEVVEQAKVLRDACGCDHDSSSSKLGEKKISQQNGSLNSNGRAPSSSSGKKEKPWSREEIELLRKGIQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDTAKAFDSFLEKRKPAQSIASPLTTRDEIEGASAMQAPESSVAKIAEEESSRDTDKQKTDDMVTANGVSSSADQDVWSAVQERALVQALKTFPKEISQRWERVAAAVPGKTANQCRKKLALLKENFRNKKSTA >Potri.001G035800.1.v4.1 pep chromosome:Pop_tri_v4:1:2604601:2609180:1 gene:Potri.001G035800.v4.1 transcript:Potri.001G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035800.v4.1 MAIQTSLQLISYSQELVDGQPVHVSSNCLPIKALKYEPAGHAYHSAALKLLGWEEEGTKSEDQKVSKDKEQSYMPSSESYSTKGKKKTGSGDKQQDHYAMLGLGHLRYLATEEQIRKSYREVALKYHPDKQAAILLAEETEAAKQAKKNEIESHFKAIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPSLGDENTSLKEVDSFYNFWYSFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEDYARIRTLVDSAYKRDPRILRRKEEGKAEKQRRKEAKFLAKRLQEEEAARAAEEERRQKEEEGKRAAEAALQQKKLKEKEKKLLRKERSRLRTLSAPVLPQCLLNLGEDDVENLCMSLDIEQLRSLCDRMEGKEVVEQAKVLRDACGCDHDSSSSKLGEKKISQQNGSLNSNGRAPSSSSGKKEKPWSREEIELLRKGIQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDTAKAFDSFLEKRKPAQSIASPLTTRDEIEGASAMQAPESSVAKIAEEESSRDTDKQKTDDMVTANGVSSSADQDVWSAVQERALVQALKTFPKEISQRWERVAAAVPGKTANQCRKKLALLKENFRNKKSTA >Potri.001G035800.2.v4.1 pep chromosome:Pop_tri_v4:1:2606032:2609180:1 gene:Potri.001G035800.v4.1 transcript:Potri.001G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035800.v4.1 MAIQTSLQLISYSQELVDGQPVHVSSNCLPIKALKYEPAGHAYHSAALKLLGWEEEGTKSEDQKVSKDKEQSYMPSSESYSTKGKKKTGSGDKQQDHYAMLGLGHLRYLATEEQIRKSYREVALKYHPDKQAAILLAEETEAAKQAKKNEIESHFKAIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPSLGDENTSLKEVDSFYNFWYSFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEDYARIRTLVDSAYKRDPRILRRKEEGKAEKQRRKEAKFLAKRLQEEEAARAAEEERRQKEEEGKRAAEAALQQKKLKEKEKKLLRKERSRLRTLSAPVLPQCLLNLGEDDVENLCMSLDIEQLRSLCDRMEGKEVVEQAKVLRDACGCDHDSSSSKLGEKKISQQNGSLNSNGRAPSSSSGKKEKPWSREEIELLRKGIQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDTAKAFDSFLEKRKPAQSIASPLTTRDEIEGASAMQAPESSVAKIAEEESSRDTDKQKTDDMVTANGVSSSADQDVWSAVQERALVQALKTFPKEISQRWERVAAAVPGKTANQCRKKLALLKENFRNKKSTA >Potri.001G035800.3.v4.1 pep chromosome:Pop_tri_v4:1:2604601:2608600:1 gene:Potri.001G035800.v4.1 transcript:Potri.001G035800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035800.v4.1 MAIQTSLQLISYSQELVDGQPVHVSSNCLPIKALKYEPAGHAYHSAALKLLGWEEEGTKSEDQKVSKDKEQSYMPSSESYSTKGKKKTGSGDKQQDHYAMLGLGHLRYLATEEQIRKSYREVALKYHPDKQAAILLAEETEAAKQAKKNEIESHFKAIQEAYEALIDPVKRRIYDSTDEFDDEIPTDCAPQDFFKVFGPAFMRNGRWSVNQPIPSLGDENTSLKEVDSFYNFWYSFKSWREFPHADEFDLEQAESRDHKRWMERQNAKLSEKARKEDYARIRTLVDSAYKRDPRILRRKEEGKAEKQRRKEAKFLAKRLQEEEAARAAEEERRQKEEEGKRAAEAALQQKKLKEKEKKLLRKERSRLRTLSAPVLPQCLLNLGEDDVENLCMSLDIEQLRSLCDRMEGKEVVEQAKVLRDACGCDHDSSSSKLGEKKISQQNGSLNSNGRAPSSSSGKKEKPWSREEIELLRKGIQKYPKGTSRRWEVISEYIGTGRSVEEILKATKTVLLQKPDTAKAFDSFLEKRKPAQSIASPLTTRDEIEGASAMQAPESSVAKIAEEESSRDTDKQKTDDMVTANGVSSSADQDVWSAVQERALVQALKTFPKEISQRWERVAAAVPGKTANQCRKKLALLKENFRNKKSTA >Potri.011G133800.1.v4.1 pep chromosome:Pop_tri_v4:11:16691106:16696481:1 gene:Potri.011G133800.v4.1 transcript:Potri.011G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133800.v4.1 MGGKDSMFRYADGMDKLLMFFGVLGSVGEGLRHPLTMYVLSHVINDYGSSGISLSTDTVNKYSLKLLYIAIAVGLAAFVEGLCWTRTAERQTSRMRTEYLKSVLRQEVGFFDTQDAGSSTTYQVVSTISNDASAIQVAICEKIPDCLAQMSCFFFCLVFSFILSWKFTLAALPFALMFIVPGLVFGKLMMDVTMKMIEAYGVAGGIAEQAISSIRTVYSYVAENQTLDRFSRALQETIELGIKQGFAKGLMMGSMGMVYVSWAFQAWAGTYLVTEKGEKGGSIFVAGINIMMGGLSVLGALPNLTSITEATVAATRIFQMIERTPSIDLEDKKGKALSYARGEIDFQDIHFSYPSRPDTPILRGLNLRIPAGKTVGLVGGSGSGKSTVISLLQRFYEPNEGQILLDGHKINRLQLKWWRSQMGLVNQEPVLFATSIKENILFGKEGALMDDVINAAKDANAHDFITKLTDGYETQVGQFGFQLSGGQKQRIAIARALIRDPKILLLDEATSALDAQSERIVQDAIDQASKGRTTITIAHRLSTIRTANLIVVLQSGRVIESGSHDQLMQINNGRGGEYFRMVQLQQMAAQKENFNDFIYRNDGKNSFRMSPAPSPVSLRKSTPRTPVLSPFSPALSIGTPYSYSIYDPDDESFEDDLNQLNYPAPSQWRLLKMNAPEWGRGLTGCLAAIGAGAVQPINAYCAGSLMSNYFRSDKSAIKHKSNVLALIFLFIGALNFITSLLQHYNFAIMGERLTKRVREKLLAKLMTFEIGWFDDDENTSAAICARLATEASMVRSLVGDRMSLLVQTFFGSVFAYSIGLVLTWRLTLVMIAVQPLVIGSFYLRSVLMKSMAGKAQKAQMEGSQLASEAVINHRTIAAFSSEKRMLELFKATLRGPKEESVKHSWLSGLGLFCSQFFNTAFITLTYWYGGRLLTEGLITSERLFQAFLILLFTAYVIAEAGSMTNDISKGGNAIRTIFAILDRKSEIDPNNSFGASNIRRKLNGQVEFNNVYFAYPTRPDQMIFKGLNLKIDAGKTVALVGPSGSGKSTIIGLIERFYDPLKGAVFIDRQDIKRYNLRMLRSHIALVSQEPTLFAGTIRENIAYGKENARESEIRKAAVVANAHEFISGMKDGYDTYCGERGVQLSGGQKQRIALARAILKDPSILLLDEATSALDSVSESLVQEALENMMVGRTCVVIAHRLSTIQKSNSIAVIKNGKVVEQGSHKELIALGSSGEYYSLTKLQSGSSSYQ >Potri.001G134701.1.v4.1 pep chromosome:Pop_tri_v4:1:10984114:10986960:-1 gene:Potri.001G134701.v4.1 transcript:Potri.001G134701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134701.v4.1 MSEGVVTFLLTKLGDFLAERGKQLAGVQGEAEYISDELEFMTAFLRLADAIEDGDPVLKCLIKKVRDAAYDTEDALDNFSLSLASDTGHGFFSCFRKISRSIKDARARSRIASKIQSIKSRVVSISESHRRYCNKNNIMIQGSSSINIPRLGCQKDALLLEEADLVGIEKPKKQMIEWLLGSKSGREVISVVGMGGLGKSTLVKKVYDDSDVKKHFKFRAWITVSQSFKREDLLKDMIQQLFRVHRKPDPKGKKYLIVLDDVWHISAWRAFQHALPNNICGSRILVTTRNTEVASTSCMDSPDKVYPLNPLSQEESWTLFCKKIFQDNPCPPHLKNVSETILGRCEGLPLAIVAISGVLATKNKSKTDEWEMVHLSLGAGLEENDMLMSARKILSLSYNDLPYYLKSCLLYFSIFPVGNRIKRMRLIRLWIAEGFVKGKEGMTVEEVAQDYLNELMKRSLVQVVRATSDGRVKTCRVHDLLREIMITKAKDQDFVAIAKEEGKIWPEKVRRVSMHNVMPSKQQRHVASRFRSLLTFWGADCSYESPVHNLFSGRLRLLHVLDLEGAPLKEFPNEVVSLFLLKYLSLRNTRVSFIPSSISKLKNLETLDLKHAQVSVLPAEIRKLRKLCYLLVYRYEIDSDDGIPTKYGFKAPAHIGGLQSIQKLCFVEAHQGRNLMLELGRLKQLRRLGIVKLKKKHGKALCSSIERLTNLRALSLTSITESEIIDLDYLASPPQFLQRLYLAGRMEKFPDWISSLDSLVKLVLKWSKLSEDPLLSLQYLPNLVHLEFVQVYNGEILCFQAKGFQRLKFLGLNKLERLRMIIVEQGAMPSLEKMIVQSCKSLRRVPSGIEHLSTLKVLEFFNMPKELVMTLHPNGEDGDYLKVAHVPDVYSTYWNNGNWDIFSLLSAKLEDKHSAQLSPTLYKRNYTWK >Potri.001G134701.2.v4.1 pep chromosome:Pop_tri_v4:1:10983992:10987137:-1 gene:Potri.001G134701.v4.1 transcript:Potri.001G134701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134701.v4.1 MDSPDKVYPLNPLSQEESWTLFCKKIFQDNPCPPHLKNVSETILGRCEGLPLAIVAISGVLATKNKSKTDEWEMVHLSLGAGLEENDMLMSARKILSLSYNDLPYYLKSCLLYFSIFPVGNRIKRMRLIRLWIAEGFVKGKEGMTVEEVAQDYLNELMKRSLVQVVRATSDGRVKTCRVHDLLREIMITKAKDQDFVAIAKEEGKIWPEKVRRVSMHNVMPSKQQRHVASRFRSLLTFWGADCSYESPVHNLFSGRLRLLHVLDLEGAPLKEFPNEVVSLFLLKYLSLRNTRVSFIPSSISKLKNLETLDLKHAQVSVLPAEIRKLRKLCYLLVYRYEIDSDDGIPTKYGFKAPAHIGGLQSIQKLCFVEAHQGRNLMLELGRLKQLRRLGIVKLKKKHGKALCSSIERLTNLRALSLTSITESEIIDLDYLASPPQFLQRLYLAGRMEKFPDWISSLDSLVKLVLKWSKLSEDPLLSLQYLPNLVHLEFVQVYNGEILCFQAKGFQRLKFLGLNKLERLRMIIVEQGAMPSLEKMIVQSCKSLRRVPSGIEHLSTLKVLEFFNMPKELVMTLHPNGEDGDYLKVAHVPDVYSTYWNNGNWDIFSLLSAKLEDKHSAQLSPTLYKRNYTWK >Potri.006G148100.2.v4.1 pep chromosome:Pop_tri_v4:6:12711211:12716017:1 gene:Potri.006G148100.v4.1 transcript:Potri.006G148100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148100.v4.1 MDNRIGFSDSNEISNGSSTCCIETPSTSKPFTNPEIVALQQLSGNLEAIFDSQDFDYFADAKITSSNYNREVPVHRCILSARSPFFKSVFSSPVAKDRSGVAKFELKELAKDYDVGFDSLMTVLGYLYCGKVRPWPKDVCACVDDDCSHIACRPAVDLLTEVLYASFTFQVNELVALYQRHLLDILDKVSTDDILVILAVANICGEACERLLTRCVEIIVKSNVDIVTLDKALPQYIVKKIMDSRLELGLNVPENSNLLDKHVKRIHRALDSDDVELVRMLLKEAHTNLDDAHALHYAVSYCDAKTTTEILDLGLADVNCRNSRGYTVLHVAAMRKDPKIIVSLLTKGARLSDLTLDGRKALQISKRLTRAMDYHKSTEEGKASPKERLCIEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRVGLAKLLFPMEAKVAMDIAQVDGTSEFPLAGIRPSILSGAQRGAVDLNEAPFRMHEEHLNRMRALSRTVELGKRFFPRCSDVLNKIMDADDLSQIAYLGNETSEERLVKRQRHLELQDALSKAFNEDKQEFDRSVISSSSSTKSIGTARSNGKLIDMGGGH >Potri.006G148100.1.v4.1 pep chromosome:Pop_tri_v4:6:12711348:12716014:1 gene:Potri.006G148100.v4.1 transcript:Potri.006G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148100.v4.1 MDNRIGFSDSNEISNGSSTCCIETPSTSKPFTNPEIVALQQLSGNLEAIFDSQDFDYFADAKITSSNYNREVPVHRCILSARSPFFKSVFSSPVAKDRSGVAKFELKELAKDYDVGFDSLMTVLGYLYCGKVRPWPKDVCACVDDDCSHIACRPAVDLLTEVLYASFTFQVNELVALYQRHLLDILDKVSTDDILVILAVANICGEACERLLTRCVEIIVKSNVDIVTLDKALPQYIVKKIMDSRLELGLNVPENSNLLDKHVKRIHRALDSDDVELVRMLLKEAHTNLDDAHALHYAVSYCDAKTTTEILDLGLADVNCRNSRGYTVLHVAAMRKDPKIIVSLLTKGARLSDLTLDGRKALQISKRLTRAMDYHKSTEEGKASPKERLCIEILEQAERRDPLLGEASLSLAMAGDDLRMKLLYLENRVGLAKLLFPMEAKVAMDIAQVDGTSEFPLAGIRPSILSGAQRGAVDLNEAPFRMHEEHLNRMRALSRTVELGKRFFPRCSDVLNKIMDADDLSQIAYLGNETSEERLVKRQRHLELQDALSKAFNEDKQEFDRSVISSSSSTKSIGTARSNGKLIDMGGGH >Potri.005G020350.1.v4.1 pep chromosome:Pop_tri_v4:5:1298613:1299572:1 gene:Potri.005G020350.v4.1 transcript:Potri.005G020350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020350.v4.1 MQLAILDLDSTDPLSFSLPGEGGSRCSVKPASRCTLHSRSHDTHVFTRMPWFNSWLQTDKPESSRSRPNHMS >Potri.001G334800.1.v4.1 pep chromosome:Pop_tri_v4:1:34317078:34320745:1 gene:Potri.001G334800.v4.1 transcript:Potri.001G334800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334800.v4.1 MSKLGFGQNNKQYGLMKLVFALSLATLVGIALFARFLGTSSSSISFTNQSIADNNVASDNHTNQDHQKEGSEDKNGNVEGRVLSATFADLPAPELEWEQMPSAPVPRLDGYSVQIKNLLYVFVGYRNLDHVHSHVDVYNFSDNTWCDKFDTPKDMANSHLGVATDGRYVYIVSGQYGAQCRTAITNCFSLDTETRKWHRLPPLPAPRYAPATQLWRGRLHVMGGSKENRHTPGVDHWSIAVKNGKALEEWRTEIPIPRHIGPVSWSMIGFLSLGVKRVISWLNLGRLFSSVLEGKRLCNVSGSLWRRLYAR >Potri.001G334800.3.v4.1 pep chromosome:Pop_tri_v4:1:34317123:34320745:1 gene:Potri.001G334800.v4.1 transcript:Potri.001G334800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334800.v4.1 MSKLGFGQNNKQYGLMKLVFALSLATLVGIALFARFLGTSSSSISFTNQSIADNNVASDNHTNQDHQKEGSEDKNGNVEGRVLSATFADLPAPELEWEQMPSAPVPRLDGYSVQIKNLLYVFVGYRNLDHVHSHVDVYNFSDNTWCDKFDTPKDMANSHLGVATDGRYVYIVSGQYGAQCRTAITNCFSLDTETRKWHRLPPLPAPRYAPATQLWRGRLHVMGGSKENRHTPGVDHWSIAVKNGKALEEWRTEIPIPRHIGLLAAFIYV >Potri.001G334800.2.v4.1 pep chromosome:Pop_tri_v4:1:34317076:34320745:1 gene:Potri.001G334800.v4.1 transcript:Potri.001G334800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334800.v4.1 MSKLGFGQNNKQYGLMKLVFALSLATLVGIALFARFLGTSSSSISFTNQSIADNNVASDNHTNQDHQKEGSEDKNGNVEGRVLSATFADLPAPELEWEQMPSAPVPRLDGYSVQIKNLLYVFVGYRNLDHVHSHVDVYNFSDNTWCDKFDTPKDMANSHLGVATDGRYVYIVSGQYGAQCRTAITNCFSLDTETRKWHRLPPLPAPRYAPATQLWRGRLHVMGGSKENRHTPGVDHWSIAVKNGKALEEWRTEIPIPRHIGPVSWSMIGFLSLGVKRVISWLNLGRLFSSVLEGKR >Potri.010G069500.1.v4.1 pep chromosome:Pop_tri_v4:10:9787678:9790264:-1 gene:Potri.010G069500.v4.1 transcript:Potri.010G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069500.v4.1 MFDVRSLALPQLPWDRLDFLFFVFFSPKKQYFNKRSNLAGAAKLPGLAKMTTKVKGLLRGLRYISQIFDEKEQEMQIGLPTDVKHVAHIGWDGPSANAPSWMNEFTSPPEILSGTSNSTEVKSLSTDSTLEGQTEKPKHSSRLSSGSASSLLNSPDRRSTDSSKHSRRQASSSTGSPLNSPSGTDAPKSSRRHRSSNKSMDSPREESSGSSRTSRRHKTSSLGAESPIQDQPTIPKHSRGRKSKGSSRSKEKNSSNEALPFSDPGPGGSESIHGRKNTASQLSSVLEAYEEER >Potri.010G069500.2.v4.1 pep chromosome:Pop_tri_v4:10:9787678:9790264:-1 gene:Potri.010G069500.v4.1 transcript:Potri.010G069500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069500.v4.1 MTTKVKGLLRGLRYISQIFDEKEQEMQIGLPTDVKHVAHIGWDGPSANAPSWMNEFTSPPEILSGTSNSTEVKSLSTDSTLEGQTEKPKHSSRLSSGSASSLLNSPDRRSTDSSKHSRRQASSSTGSPLNSPSGTDAPKSSRRHRSSNKSMDSPREESSGSSRTSRRHKTSSLGAESPIQDQPTIPKHSRGRKSKGSSRSKEKNSSNEALPFSDPGPGGSESIHGRKNTASQLSSVLEAYEEER >Potri.002G094600.1.v4.1 pep chromosome:Pop_tri_v4:2:6915873:6917037:1 gene:Potri.002G094600.v4.1 transcript:Potri.002G094600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094600.v4.1 MIIIKNQMVEAMNGFDRLPDSLILLIFNSISDIKTLICCRSVSKRFNSLVPQTESLSLKVDCVISPESDSGSFFTLLKYLLKSIHDLLKPDTKPTTRNQTQNSPARILNQFDRIRDLQIELPAGDLKLEKGAVIKWRAEFGKSLKSCVVLGFRRVANSEGNNAELDIDFAGGLKTRVVWTISALIAASARHYLLNDVVKEHREMERLVLVDREGEGTVAMEKEGLRECREESGVAARGVDRQEEGRRTVVPSVRMRMRHEQRVQLKDGVWVEGVTLVVVRPCNGGGDVEDAELALGAFGGGIYGEAVQVLLKNESYLLEMNSF >Potri.006G114600.1.v4.1 pep chromosome:Pop_tri_v4:6:8895069:8898507:-1 gene:Potri.006G114600.v4.1 transcript:Potri.006G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114600.v4.1 MDLDLERCSVSSSGSSSAADRMELEAAEALADLAHLAMRESSGSEWGSKGKRARKRVRAESDSVSTYSDLPRQDRAVVDQQPIHSNVVKPARQELDADVPKSSPSCATSYPSYGTGRSRLNLTEAEKEERRLRRILANRESARQTIRRRQALCEELTRKAADLSWENENLKKEKELALKNYQSLETTNKHLKAQMAKQIKAEMEVSPGDLKSALVDIPTTAPTNCPLLVYNQHAFSPHCWPSIIQSSNPIQSHYTTENAIVIPSNMPMPTNGTHDSSQLQQENTVIVSGPRTPLYVVSCPWFFPGPDHGNGLHAQPSFSFKHRQDGISLNNLCCGSSSPKAAAPMENRHSSLSIIVKSETTSSEEVRVINDLNETPVGFTLYGGGQCEGTHPKEMILTPVPPTSVTPAVAVKNEAGQKSEHAFGANGICTKASQLRCVLPEKNQDPFKFPSKKLVDAASAAEARRRRKELTKLKNLHGRQCRLNC >Potri.001G197101.1.v4.1 pep chromosome:Pop_tri_v4:1:19293738:19294823:-1 gene:Potri.001G197101.v4.1 transcript:Potri.001G197101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197101.v4.1 MHASSCPGSYSSKGSDFTNEERGAIKRERAIAYSLSRQKSRSCASPYCRRTSKSALSLKNQSQNNSSPGWSGLDHWMTTKPWEKRLVEEFHTNSSEIQLSRKSEDNIASFYFSKHDSVKLRKNIVASKILAKSPAVNHVTCSSSAPSSECQYNESSVSTSSTSPSPIPFSMDMLVADRVQGRYSQKTAYMNLTKSNKLQAKKQQVFFSKYSQADNRG >Potri.019G067166.1.v4.1 pep chromosome:Pop_tri_v4:19:10700964:10702741:-1 gene:Potri.019G067166.v4.1 transcript:Potri.019G067166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067166.v4.1 MGLKVVSSAIISFSLFLLLASTAKAQSKGVFDVTKYGSDKDITEALTNAWKDACASTNPSKVLIPSGTYSLRKLTLAGPCKAAIELQVDGMLKAPVDPNQFSGGHWVNFGHVDKFTLSGSGTFDGQGKAAWSKSTCQKDKDCDSLPMNLRFDFITNALVRDITTRDSKNFHVNVLGCKNLTFQHFTVSAPGESVNTDGIHVGQSTGIYIIDSKIGTGDDCISVGDGTEELHITGVTCGPGHGISVGSLGKYPNEKPVSGIFVKNCTLSDTTNGVRIKSWPALYGGVASNMHFEDIVMNNVQNPVIIDQVYCPWNQCSLKAPSKVKISGVSFKNIRGTSATPVVVQIACSSGFPCEKVELADIKLAYSGPDGPSKSQCSNVKPIISGIMSAPGC >Potri.004G108000.1.v4.1 pep chromosome:Pop_tri_v4:4:9534457:9538408:1 gene:Potri.004G108000.v4.1 transcript:Potri.004G108000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108000.v4.1 MRHSWRVLLYRKYPLSSLKISNHFQVLQQHSPSLLRSLSPSLHTLALQNSHFSETLQKPNFYNKTALFARNFSSEASLVEPTKDPDHVLLVCDAFTKFDEFDDISKELELNSVVISHDLVLKVLKSLESKPEVAKRFFDWVLEKDSGRLSSKSYNWMLGILGVNGLVVEFWDLVDKMKIKGHGVSGVTRDRVQEKFEKEGLNDDLEKLKGVFAKGSVDNSVEKIGLRVSRIVRNKVWGEDVEGEIKHLCAEFSSDLVKIVLEHLVMEPVKALIFFRWVEDSELCKHDGRSYNAMARVLGREDCIDRFWKVIDEMRSNGFEMETGTFDTVLARFMSRKMIKEAIDLYEFAMTGANKPSAKCCTYLLRKIVVGKQLDMGLFSRVVEIFTGHGNVLTDAMLDAVLKALTNVGKFGECNKVLREMKVAGFVASGNLQRKIAFGLTSAGKNDEANEFVNHMESSGRDLSYKAWASLIEGHCVSGDLEKASDCFKIMVEKKGVSGAGYAVELLVNAYCLKNRAMDACNLLCDYVCQNHLCPWQTTYKVLISKLLVQGAFKDALNLLGLMQSHGIPPFIDPFFEFVSKSGTGDDAIAFMNAMTTKKFPSISVALRMFKAFFKAKRHGEAQDFLSKCPIYIRNRADVLNLFCSKKFSNDTTATDVSV >Potri.010G100500.1.v4.1 pep chromosome:Pop_tri_v4:10:12338126:12341502:1 gene:Potri.010G100500.v4.1 transcript:Potri.010G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100500.v4.1 MVLSKIASQSDVSVHSTFASRYVRESLPRYKMPENSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNIIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEDYYVMDPVKAAEMVDENTICVAAILGYTLNGEFEDVKLLNDLLVEKNRITGWDTPIHVDAASGGFIAPFLWPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRSKEDLPEELIFRINYLGADQPTFTLNFSKGSSQIIAQYYQLIRLGYEGYRNVMENCHENAMMLKEGLEKTGRFKIVSKDIGVPLVAFSLVDKSWGHDEFEIAETLRRFGWIVPAYTMPADAKHITVLRVVIREDFSRTLAERLVRDITKVLHELDSLPAKLSAKISGKINDTEAGKNGTTVVKKTAAETQREITTYWKNFVTAKKSNRNKIC >Potri.016G061700.7.v4.1 pep chromosome:Pop_tri_v4:16:4284628:4291744:-1 gene:Potri.016G061700.v4.1 transcript:Potri.016G061700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061700.v4.1 MLSVIRVHLPSDIPIVGCELTPYVLLRRPDTNATTDDVPESAPLDGHFLRYKWYRIQSDRKVAICSVHPSEQATLQCLGCVKAKLPVAKSYHCSPKCFSDAWQHHRVLHDRAASAINENGNEEEELFGRFNSSGSGVMNTSLSGSASSASLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDAETKLPVGHSNTLLTSRVIPAPSPTPRRLISVSGLDAMAPLDSDGRISSSGTFTVLSYNILSDVYATNDTYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYNINTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHINVHQDLKDVKLWQVLTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDQLHPDLVVDPLGILRPHSKLTHQLPLVSAYSSFARVGVGLGSDQQRRRMDATTNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRPRR >Potri.016G061700.4.v4.1 pep chromosome:Pop_tri_v4:16:4284683:4291715:-1 gene:Potri.016G061700.v4.1 transcript:Potri.016G061700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061700.v4.1 MLSVIRVHLPSDIPIVGCELTPYVLLRRPDTNATTDDVPESAPLDGHFLRYKWYRIQSDRKVAICSVHPSEQATLQCLGCVKAKLPVAKSYHCSPKCFSDAWQHHRVLHDRAASAINENGNEEEELFGRFNSSGSGVMNTSLSGSASSASLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDAETKLPVGHSNTLLTSRVIPAPSPTPRRLISVSGLDAMAPLDSDGRISSSGTFTVLSYNILSDVYATNDTYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYNINTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHINVHQDLKDVKLWQVLTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDQLHPDLVVDPLGILRPHSKLTHQLPLVSAYSSFARVGVGLGSDQQRRRMDATTNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRPRR >Potri.016G061700.8.v4.1 pep chromosome:Pop_tri_v4:16:4284890:4291715:-1 gene:Potri.016G061700.v4.1 transcript:Potri.016G061700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061700.v4.1 MLSVIRVHLPSDIPIVGCELTPYVLLRRPDTNATTDDVPESAPLDGHFLRYKWYRIQSDRKVAICSVHPSEQATLQCLGCVKAKLPVAKSYHCSPKCFSDAWQHHRVLHDRAASAINENGNEEEELFGRFNSSGSGVMNTSLSGSASSASLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDAETKLPVGHSNTLLTSRVIPAPSPTPRRLISVSGLDAMAPLDSDGRISSSGTFTVLSYNILSDVYATNDTYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYNINTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHINVHQDLKDVKLWQVLTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDQLHPDLVVDPLGILRPHSKLTHQLPLVSAYSSFARVGVGLGSDQQRRRMDATTNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRPRR >Potri.016G061700.6.v4.1 pep chromosome:Pop_tri_v4:16:4284584:4291785:-1 gene:Potri.016G061700.v4.1 transcript:Potri.016G061700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061700.v4.1 MLSVIRVHLPSDIPIVGCELTPYVLLRRPDTNATTDDVPESAPLDGHFLRYKWYRIQSDRKVAICSVHPSEQATLQCLGCVKAKLPVAKSYHCSPKCFSDAWQHHRVLHDRAASAINENGNEEEELFGRFNSSGSGVMNTSLSGSASSASLTNGSTPLYPAAVTQRSGGETWFEVGRSKTYTPSADDIGHVLKFECVVVDAETKLPVGHSNTLLTSRVIPAPSPTPRRLISVSGLDAMAPLDSDGRISSSGTFTVLSYNILSDVYATNDTYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYNINTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGADNPGKRQLLCVANTHINVHQDLKDVKLWQVLTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDQLHPDLVVDPLGILRPHSKLTHQLPLVSAYSSFARVGVGLGSDQQRRRMDATTNEPLFTNCTRDFIGTLDYIFYTADSLTVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKPRPRR >Potri.013G154000.1.v4.1 pep chromosome:Pop_tri_v4:13:14942904:14945341:1 gene:Potri.013G154000.v4.1 transcript:Potri.013G154000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154000.v4.1 MASKTLQWLSLVGIIWLQSVNGTNSNFPAYSSQLKQLLSMSQVQLNNLAFASDAGKLFGFFSGIASLYLPLWVVLLIGSTLGLAGYGLQYLFITNQISSLSYAHIFLLTVLAGNSICWINTVCYVVTIQNFPSDRQVAVGLTTSYQGLSAKIYTVLVDSFTFSPVKRAKAYLLLSSLSPLLVSVVAAPFVRDVNVGTSTNMKVGFVVMFVITIATGVYAVVSSLGSVSSRLPPLCNAIGILVFLLAPLAIPMAEKMKEKFLKGEMKVYIEENVGDHVERIESGIKVEDDHTREGEVGVKEEIGVMLMLKRVNFWLYFFVYLSGATLGLVYLNNLGQIAESRGCSGTSSLVSLSSSFGFFGRLMPSLLDFFLSKSRYMISRPACIGVLMAPMAGAFFLLLNTANISLYISTAIIGVCTGAITSISVSTTTELFGTKNFSINHNVVVANIPIGSFLFGYSAALLYHREGNEDGKCMGMECYRSTFMIWGSLCLFGSFLALVLHARLRKFHSRT >Potri.017G051800.1.v4.1 pep chromosome:Pop_tri_v4:17:3745841:3749593:1 gene:Potri.017G051800.v4.1 transcript:Potri.017G051800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051800.v4.1 MGWRGILGFEYGIVQAPLGPDISGPELVAAVANAGGLGLLRAPDWESPDYLRELIRKTRSLTDKPFGVGLVLAFPHEENLKVILDEKVAVLQVYWGECSKELVLEAHLAGVKVVPQVGSFEDARKAIDAGVDGIIVQGREAGGHVIGQEGLISILPRVVDLVGDRDIPVIAAGGIVNARGYVAALALGAKGVCLGTRFVATEESNAHPTYKRKLVELDRTEYTNIFGRARWPNAPQRALVTPFFNDWKSLPPHENEINQPVIGRSTINGEEKEIRRFGGPVPNMTTTGDIESMAMYAGQGVGLIKEIWPASEVIKRLVEGAQQLIRGEFAGL >Potri.018G092400.1.v4.1 pep chromosome:Pop_tri_v4:18:11316370:11318694:-1 gene:Potri.018G092400.v4.1 transcript:Potri.018G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092400.v4.1 MVLGVIQSPPPQIVTSKPTNHYNLLLQHLNECKDMSQLKQIHALSLRSTLPNHSTTLFLYSRILHFSSLHDLNYAYRVFDQVDNPNSFMWNILIRACAQSQSVHLKREAILLYNTMLQRSSPFPDNHTFPFVLKACAYLFALFEGKQAHAHLLKLGFQSDVYINNSLIHFYASCGSLESAKNVFDKMPQRSLVSWNAMIDAFVLFGEFETALQLFVQFQQQFFEPDGYTIQSVINACAGLCALSLGMWAHAFLLRNCGVSVARDHVLVNNSLLDMYCKCGSLDIATQIFEGMQKHDVTSWNSMILGFAMHGKGELALECFERMIRISRFVPNSITFVGVLSACNHRYMVNEGRKYFDMMVNEYKIEPQLEHYGCLVDILARAGLIDEALELVASMPMKPDVVIWRSLLDSCCKKNASVELSENIARQILESEEADSSGVYVLLSRVYASASRWNDVGLVRKLMTNNGILKEPGCSLIEVDGVTHEFFAGDTSHPQTKEIYQVLNVVEERIDSTGYKPDYSQAPMVDELNTSKRDSLRLHSERLAIALGLLNLKPGMPIRIFKNLRVCDDCHKVTGLISEIFNVEIIVRDRVRFHHFKDGSCSCMDYW >Potri.006G168800.4.v4.1 pep chromosome:Pop_tri_v4:6:16876489:16881976:-1 gene:Potri.006G168800.v4.1 transcript:Potri.006G168800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G168800.v4.1 MMVANSFDLWQKDAFFSAAEEVQESADVMESAYRMWTREKREGSKPEDLDQLSRELQTALGTAKWQLEEFERAVQLSHGHCSDDFTASRHKQFVTAIESQISLVEAALREAFSGEGKQPFRWVNLDKEECDDLAMFLSGTIQIPENVKDDCTTAKSPMKGSPGENPHKRKKVDHNSGPTCSRGTSDENEFITDNKNGEFIIDIQEKENLGMRDDIISQVDKTTGSRRTWSSPNFGSMKFVVSRDDKQRDKMKSSVEATPKEKGYEPIFWKRRCGEHSRAKGSITLFNQTGGFQRQWQTPLHLQFSCSIQLTLALMLSIFLIVPFLVYSA >Potri.006G168800.1.v4.1 pep chromosome:Pop_tri_v4:6:16876409:16881997:-1 gene:Potri.006G168800.v4.1 transcript:Potri.006G168800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G168800.v4.1 MMVANSFDLWQKDAFFSAAEEVQESADVMESAYRMWTREKREGSKPEDLDQLSRELQTALGTAKWQLEEFERAVQLSHGHCSDDFTASRHKQFVTAIESQISLVEAALREAFSGEGKQPFRWVNLDKEECDDLAMFLSGTIQIPENVKDDCTTAKSPMKGSPGENPHKRKKVDHNSGPTCSRGTSDENEFITDNKNGEFIIDIQEKENLGMRDDIISQVDKTTGSRRTWSSPNFGSMKFVVSRDDKQRDKMKSSVEATPKEKGYEPIFWKRRCGEHSRAKGSITLFNQLSGQTGGFQRQWQTPLHLQFSCSIQLTLALMLSIFLIVPFLVYSA >Potri.006G168800.5.v4.1 pep chromosome:Pop_tri_v4:6:16876455:16880330:-1 gene:Potri.006G168800.v4.1 transcript:Potri.006G168800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G168800.v4.1 MFLSGTIQIPENVKDDCTTAKSPMKGSPGENPHKRKKVDHNSGPTCSRGTSDENEFITDNKNGEFIIDIQEKENLGMRDDIISQVDKTTGSRRTWSSPNFGSMKFVVSRDDKQRDKMKSSVEATPKEKGYEPIFWKRRCGEHSRAKGSITLFNQTGGFQRQWQTPLHLQFSCSIQLTLALMLSIFLIVPFLVYSA >Potri.006G105600.1.v4.1 pep chromosome:Pop_tri_v4:6:8119896:8121220:1 gene:Potri.006G105600.v4.1 transcript:Potri.006G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105600.v4.1 MGNYTSCCAVVTLSRKPKTAKLINSQGNLRQVSLPVKAAELMLEEPGHVIAPVDELKQRSRTIAMRADDELLPGKVYLSVPLSKANCKISASELAIIESTIAACAKRSSKKRSGAKVLPAMAVDLWEEKGSESGVKVLEGNDTSSTSYRLVNYRQWTLALEPIPEEF >Potri.001G180000.2.v4.1 pep chromosome:Pop_tri_v4:1:15771778:15777532:-1 gene:Potri.001G180000.v4.1 transcript:Potri.001G180000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180000.v4.1 MFKVPSSKDDEMQNLPTQNSKFSRRDPSVRTLDERFIRILKIFKWGPDAEKALEVLKLKVDHRLVREVLKIDVEINVKIQFFKWAGKRRNFEHDLTTYMPLIRCLDDCGLFGEMWKMIQEMVRSPTCVIGPADLSEVVKILGKAKMVNKALSVFYQIKSRKCKPTASTYNSMILMLMQEGHHEKIHELYHEMCNEGDCFPDTMTYSVLVSAFVKLGRDDYAIRLFDEMKANGLHPTAKIYTTLLAIYFKSGDEKALGLVQEMKDKGCAPTVFTYTELIKGLGKSGRVEDAYSVFLNMLKDGCKPDVVLINNLINIFGKAGRLEDALKLFDQMRSLKCAPNVVTYNTVIKALFESKAPASEAASWFEKMKANGVTPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINALGKAKRYEAANELFLELKENCGRSSARIYAVMIKNLGKCGRPSEAVDLFNEMKKIGCNPDVYAYNALMSGLVRAGMIEEAFSALRTMEENGCTPDLNSHNIILNGLARTGRPEQATEMFMKMKDSLIKPDAVSYNTILGSLSRSGMFEEAAKLMREMGSRGFEYDHITYSSILEAVGKVDEDDEPNFP >Potri.001G180000.1.v4.1 pep chromosome:Pop_tri_v4:1:15771665:15777891:-1 gene:Potri.001G180000.v4.1 transcript:Potri.001G180000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180000.v4.1 MSSLLVQKSVSQMAKMVVLRHIASKRSISTFPFLSQRIKQTEKEIVEMFKVPSSKDDEMQNLPTQNSKFSRRDPSVRTLDERFIRILKIFKWGPDAEKALEVLKLKVDHRLVREVLKIDVEINVKIQFFKWAGKRRNFEHDLTTYMPLIRCLDDCGLFGEMWKMIQEMVRSPTCVIGPADLSEVVKILGKAKMVNKALSVFYQIKSRKCKPTASTYNSMILMLMQEGHHEKIHELYHEMCNEGDCFPDTMTYSVLVSAFVKLGRDDYAIRLFDEMKANGLHPTAKIYTTLLAIYFKSGDEKALGLVQEMKDKGCAPTVFTYTELIKGLGKSGRVEDAYSVFLNMLKDGCKPDVVLINNLINIFGKAGRLEDALKLFDQMRSLKCAPNVVTYNTVIKALFESKAPASEAASWFEKMKANGVTPSSFTYSILIDGFCKTNRVEKALLLLEEMDEKGFPPCPAAYCSLINALGKAKRYEAANELFLELKENCGRSSARIYAVMIKNLGKCGRPSEAVDLFNEMKKIGCNPDVYAYNALMSGLVRAGMIEEAFSALRTMEENGCTPDLNSHNIILNGLARTGRPEQATEMFMKMKDSLIKPDAVSYNTILGSLSRSGMFEEAAKLMREMGSRGFEYDHITYSSILEAVGKVDEDDEPNFP >Potri.003G218200.1.v4.1 pep chromosome:Pop_tri_v4:3:21316476:21318634:1 gene:Potri.003G218200.v4.1 transcript:Potri.003G218200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218200.v4.1 MVVLRHLVVVVVSIAILSFIPSACIAANKMNAIDQCWKPSPNWRRSRQQLASCSVGFAGKMTNNVGRDVVMYKVTDPSDDPVNPKQGTLRHGATMITGKVWITFERNMDIKLEKPLLISSYTAIDGRGVDVGIEGFGCFLVYKATDVIIHGLRIHHCNAQGPSTVMGPDGKQMQLGQMDGDAIRLVSASKIWIDHNTLYSCQDGLLDVTRGSTFVTVSNNWFRDQDKVMLLGHDDGFLRDKNMKVTVAFNRFGPNCNQRMPRIRHGYAHVANNLYRGWEQYAIGGSMSPSIKSESNYFIAPTSGKKEVTWRNGIGGKSKPWNFYSIGDLFTNGASFFQTGRRGMAKPNYNKEQSFKVGDAKYVKALTSSAGALKCSRTSRC >Potri.011G106300.1.v4.1 pep chromosome:Pop_tri_v4:11:13476936:13482397:-1 gene:Potri.011G106300.v4.1 transcript:Potri.011G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106300.v4.1 MSMRFLSGSSLTAAVPLLLLVLVYKANASGCDFFTGTWVVDGSYPPYNASACPFIEREFSCQKNGRPDSLYTKYRWKPLYCNLQRFNGVDFLERFRGKSIMFVGDSLSRNQWQSLTCILHSSVPKAKYNLTRQGDVSTFAFPEYEVKVMLDRSVYLVDVAREKIGRVLKLDSIEGSKLWNGIDMLIFNTWHWWNRRGPTQPWDYIQVGNEIKKDMDRMLAFETALTTWAKWVDSNVDPAKTLVFFQGISPSHYNGTLWDEPSAKSCAGQKQPVAGSTYPGGLPPPVEVLKGVLSKITKPVTLLDVTLLSLLRKDGHPSIYGLGGSTGLDCSHWCLPGVPDTWNEILYNLIIR >Potri.017G151740.1.v4.1 pep chromosome:Pop_tri_v4:17:14972791:14974314:-1 gene:Potri.017G151740.v4.1 transcript:Potri.017G151740.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G151740.v4.1 MGCSATGWAAGCPTGPKRKWPLTSNCPVSKHYSSSSPDRKQRTPLPSPTVITTPAIIVKENAWHSLAIKVSQRRDRTQRGDRKKQWRAQEDEDEKKLHRGTGKYRRNRGRPGNANRGKETQNRKEGKTNERTGQSRQKTDANGEEKLVKNQWKGECWFQLRHSVNQAAGTPISNPSVILKERLRTLGETASLIARAVVNKGNQTSIHRHPDYLDFEVAILRSSTLLWLPI >Potri.001G104366.1.v4.1 pep chromosome:Pop_tri_v4:1:8413132:8415980:1 gene:Potri.001G104366.v4.1 transcript:Potri.001G104366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104366.v4.1 MADSIAAASLIGYRPLSGGLSVKDGSYRRKSLSSCWRPVNEFVGKRILYSSPMLPRLKIDMLVNTSIKALATELTKEAYSFRERERIPRTWNYRVDTGADRKPGLWPPENRADNPSLHNPLIRQERMGCGWLGAIFEWEGVIIEDNPDLERQAWLSLSQEEGKPAPPAFILRRVEGMKSEQAISEVLCWSRDPAEMKRMATRREEIYQSLQGGIYRLRTGSKEFVNILMRHKIPMALVSTRPRKTLENAIGTIGIEGYFTVIVAAEDVHRGKPDPEMFIYAAQLLNFIPQRCIVFGNSNQAVEAAHDAFMKCVAVASKHPVYELGAADLAVRKLDELSIVDLKNLADIESPEFGPPEPELELELEEDNDRPTTVGVDDIFW >Potri.003G047051.1.v4.1 pep chromosome:Pop_tri_v4:3:6504309:6504974:1 gene:Potri.003G047051.v4.1 transcript:Potri.003G047051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047051.v4.1 MSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNKMDKAQQALHTAHANPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNRNKLLSLTREDGEVVEGHEAVKSEVIAYFHRVLGVDQMPRVLNEEVMESAINLKLSSTQQHVNIINKP >Potri.005G014000.4.v4.1 pep chromosome:Pop_tri_v4:5:1108789:1113126:1 gene:Potri.005G014000.v4.1 transcript:Potri.005G014000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014000.v4.1 MEGFAFSDASSAVRKKRSNTSRRPRNESHAPSGYIDVSSLSSTPPSETNMMKNEDGGFGESDEASNNGSFRGSSERRRSGVDSRRLSQGVLAPANWKSTSSSLGHVGGFSDGVGNESKVKKVKLKVGGITRTITAKSASDGASAVAVGSSSSKSSRFPDPRQKTVEENLDNNHSLTSGKGSGLQGVPWKDFSRSGLNDGKSDGLRGENLSSKQTDQSEPVRKSKRLPKKRLLDGVLDDGAEDDDEIQFLEKVKTSKISTNYGAGFEDEEGGSRKQRKILRVLKRNVDGLNDVDAGVHGPTRFGKEGKKSKSGRVSEDTDYVEDEDLGSDGDPTAKRKKPRKELADLSADSKKERTVTTRQRALQTGKDVSSGFASLIEFPNGLPPAPPKKQKEKLSEVEQQLKRAEALQRRRMQVEKANRESEAEAIRKILGQDSTRKKREDKLKKRQEEMAQEKATNAMVLASDHVRWVMGPAGTTVTFPTEMGLPSIFDSKPCSYPPPREKCAAPSCTNPYKYRDSKSKLPLCSLHCYKTIHEKMQPLTAC >Potri.005G014000.5.v4.1 pep chromosome:Pop_tri_v4:5:1108771:1113126:1 gene:Potri.005G014000.v4.1 transcript:Potri.005G014000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014000.v4.1 MEGFAFSDASSAVRKKRSNTSRRPRNESHAPSGYIDVSSLSSTPPSETNMMKNEDGGFGESDEASNNGSFRGSSERRRSGVDSRRLSQGVLAPANWKSTSSSLGHVGGFSDGVGNESKVKKVKLKVGGITRTITAKSASDGASAVAVGSSSSKSSRFPDPRQKTVEENLDNNHSLTSGKGSGLQGVPWKDFSRSGLNDGKSDGLRGENLSSKQTDQSEPVRKSKRLPKKRLLDGVLDDGAEDDDEIQFLEKVKTSKISTNYGAGFEDEEGGSRKQRKILRVLKRNVDGLNDVDAGVHGPTRFGKEGKKSKSGRVSEDTDYVEDEDLGSDGDPTAKRKKPRKELADLSADSKKERTVTTRQRALQTGKDVSSGFASLIEFPNGLPPAPPKKQKEKLSEVEQQLKRAEALQRRRMQVEKANRESEAEAIRKILGQDSTRKKREDKLKKRQEEMAQEKATNAMVLASDHVRWVMGPAGTTVTFPTEMGLPSIFDSKPCSYPPPREKCAAPSCTNPYKYRDSKSKLPLCSLHCYKTIHEKMQPLTAC >Potri.015G074600.5.v4.1 pep chromosome:Pop_tri_v4:15:10071169:10074312:1 gene:Potri.015G074600.v4.1 transcript:Potri.015G074600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074600.v4.1 MKKFLGMVLVLLFHSFLSLASASQTPTVIIIGAGMSGISAAKTLQDAGIRDILILEATDRIGGRVMKTQFSGYAVEMGANWLFGGGPVHNPVLEMAKKVKLKTSLNDYDNLTSNTYKQDGGLYPKKLVEAVDKVAVARDDFCAEFSTLLTKKVKNDVDISILAGQRLFKQEPKTPLEMVIDYYHNDYEDGEPPKVTSLKHTYPRNEFVDHGEDPYFVADPRGFEIVVQYLAKQFLSSLKSDPRLKLNKVVREIIYSKNGVAVKTEDGSIYKAKYAIVSVSVGVLQTDLIDFRPKLPLWKRLAISDFSMTIYTKIFLKFPYKFWPSGPGTEFFLYTHVRRGYYPLWQHLENEYPGSNILFVTVTAEESRRVEQLSDQEVEAEVMVVLKTLFGNNIPKPEDILVPRWGLNRFYKGSYSNWPDKYNQNRHDQLGDPVGPVYFTGEHNSNKYIGYVTGAYFTGIDTANDLLGCIKNQTCRGYNSQHM >Potri.012G085901.1.v4.1 pep chromosome:Pop_tri_v4:12:11154540:11155063:1 gene:Potri.012G085901.v4.1 transcript:Potri.012G085901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085901.v4.1 MSQGSAYQTRQRQGSNTTSGDYHDYISTLSKMPSVIHGAPQYPSVHKAFNNKVSQEEEVNGEADGSIQQKQKGFELCKWKTFKFP >Potri.005G149701.1.v4.1 pep chromosome:Pop_tri_v4:5:12742028:12742354:1 gene:Potri.005G149701.v4.1 transcript:Potri.005G149701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G149701.v4.1 MIWVCVLSAKFSEADDNGEKHIIPCRAILGNVEKVVTGSQQYYPSGIEFYTGADDPKNHPKCYVVWSSVMNRHIIPECVVSFKSSINVPGKFWFLVFVSIFIDCYFSF >Potri.008G131900.1.v4.1 pep chromosome:Pop_tri_v4:8:8700391:8707388:1 gene:Potri.008G131900.v4.1 transcript:Potri.008G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131900.v4.1 MMALTIPTHSSLNLPSLQYTPCNTFLQVIRPTRRKPSASSHFHINNKNSNFNPKLCSKSAVLTRASADGGGSGAVDANPQQKNIEDSKCSSSSFSDNYVALFVRMLGLDNDPLDREQAIVALWQYSLGGKKCIDNIMQFQGCINLIVNLLQSELSSACEASAGLLRSISSVNVYRDVVAESGAIEEITRLLSQPSLTPQVMEQSICILWNLSVDEKLRVKIANPDVLPLLIKSLKDEDIRVKEAAGGVLANLTLTHSNHNIMVEAGVIPKLANFLKSAVDEESKVIRKEARNALVELCKNQYYRILVMEEGLVLVPLIGAAAYRSFIPALHSWPSLPDGSKIEHTFKGPSRFGASELLLGLNIDDKNANLEEAKMKAIIGRSKQQFLARTGAIEVEDTKLSQSGSSKTRQFTVLPWIDGVARLVLILELEDESAICRAAESIADASINEHLRNSFKEAGAVKNLIQLLDHNNDAIRLAAVGALEKLSISNAVCETIEAEGVMAPLINILKNSEMSESMMEKALNLLSRILDPNREMKLKFYDGPVNGFKKELDAARGDDASTGLSRKVDEMLKSKTNTRRDVLDLDVVARLVDMLKHPSPELQRKAASVLEFVAISDSSMDTVISANIESGLLAIFQQIELNELESDDDSQQTEIHAVQVEEVGLAISSASRLLTKLLDLELFRHNINPSLFTKLLRKILKSNIPLQYKDWTAACLVKLGSLYGPTPILEFENPINMEVTLYEKIPRLIDQMRSSFSLDAQETAVLELNRIISEGMVDATRAVASDGGIFPLVKLIEGGSERAVEAAICILYNLSMDNENHAAILAAGAVPALRRIILSERSQWKRALRLLRNLPT >Potri.018G136500.4.v4.1 pep chromosome:Pop_tri_v4:18:15654272:15657341:1 gene:Potri.018G136500.v4.1 transcript:Potri.018G136500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136500.v4.1 MHGANKRHKSIASSSNVNDNAISTTPQEQNNNEVDKLAGDAGKTQAADRMDHALEKRMEELSKLLMEDDIENGTGGVVQPGAGASSSGGLTGDTNEIPGDAVPTTKLVGQAFKDHKKTIWTWLMHDEVSTIGIYGMGGVGKTTLVKHIYDQLQKRRDSFCNVYWITVSQDTNINKLQNSIARRIGLDLSNEDEELYRAAELSQELTKKQKWVLILDDLWKAIELHKVGVPIQAVKGCKLIVTTRLENVCQQMGKQHIIKVEPISQEEAWALFIERLGHDTALSPEVEQIAKSVARECAGLPLGIITMAATMRGVVDVREWSNALEELKESKVRKDDMEPDVFYILRFSYNHLSDSELQQSFLYCALFPEDFKIRREDLIAYLIDEGVIKGLKSREAEFNKGHSILNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGAQLRELPGEEEWTEHLMRVSLMHNEIKEIPSSHSPRCPSLSTLLLRGNSELQFIADSFFEQLRGLKVLDLSYTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPITVKGKEVAWLRKLESLECHFEGYSDYVEYLKSRDETKSLTTYQILVGPLDKYRYGYGYDYDHDGCRRKTIVWGNLSIDRDGGFQVMFPKDIQQLTIHNNDDATSLCDCLSLIKNATELEVINIRCCNSMESFVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLEDITVRRCVRMEEIIGGTRPDEEGVMGSSSNIEFKLPKLRYLKLEGLPELKSICSAKLICDSIEVIVVSNCEKMEEIISGTRSDEEGVKGEESNSCSITDLKLTKLRSLTLSELPELKRICSAKLICNSLQVIAVADCENLKRMPICLPLLENGQPSPPPSLRKIVAYREWWESVVEWEHPNAKDVLRPFVEFFVC >Potri.018G136500.5.v4.1 pep chromosome:Pop_tri_v4:18:15653083:15657522:1 gene:Potri.018G136500.v4.1 transcript:Potri.018G136500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136500.v4.1 MVQSNDPFWHHVAEMKCMYCGHQFAKDTSISRIKWHLSGEKGHGVAICERVPKQVQEAAFLAMHGANKRHKSIASSSNVNDNAISTTPQEQNNNEVDKLAGDAGKTQAADRMDHALEKRMEELSKLLMEDDIENGTGGVVQPGAGASSSGGLTGDTNEIPGDAVPTTKLVGQAFKDHKKTIWTWLMHDEVSTIGIYGMGGVGKTTLVKHIYDQLQKRRDSFCNVYWITVSQDTNINKLQNSIARRIGLDLSNEDEELYRAAELSQELTKKQKWVLILDDLWKAIELHKVGVPIQAVKGCKLIVTTRLENVCQQMGKQHIIKVEPISQEEAWALFIERLGHDTALSPEVEQIAKSVARECAGLPLGIITMAATMRGVVDVREWSNALEELKESKVRKDDMEPDVFYILRFSYNHLSDSELQQSFLYCALFPEDFKIRREDLIAYLIDEGVIKGLKSREAEFNKGHSILNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGAQLRELPGEEEWTEHLMRVSLMHNEIKEIPSSHSPRCPSLSTLLLRGNSELQFIADSFFEQLRGLKVLDLSYTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPITVKGKEVAWLRKLESLECHFEGYSDYVEYLKSRDETKSLTTYQILVGPLDKYRYGYGYDYDHDGCRRKTIVWGNLSIDRDGGFQVMFPKDIQQLTIHNNDDATSLCDCLSLIKNATELEVINIRCCNSMESFVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLEDITVRRCVRMEEIIGGTRPDEEGVMGSSSNIEFKLPKLRYLKLEGLPELKSICSAKLICDSIEVIVVSNCEKMEEIISGTRSDEEGVKGEESNSCSITDLKLTKLR >Potri.018G136500.6.v4.1 pep chromosome:Pop_tri_v4:18:15654757:15657369:1 gene:Potri.018G136500.v4.1 transcript:Potri.018G136500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136500.v4.1 MGKQHIIKVEPISQEEAWALFIERLGHDTALSPEVEQIAKSVARECAGLPLGIITMAATMRGVVDVREWSNALEELKESKVRKDDMEPDVFYILRFSYNHLSDSELQQSFLYCALFPEDFKIRREDLIAYLIDEGVIKGLKSREAEFNKGHSILNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGAQLRELPGEEEWTEHLMRVSLMHNEIKEIPSSHSPRCPSLSTLLLRGNSELQFIADSFFEQLRGLKVLDLSYTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPITVKGKEVAWLRKLESLECHFEGYSDYVEYLKSRDETKSLTTYQILVGPLDKYRYGYGYDYDHDGCRRKTIVWGNLSIDRDGGFQVMFPKDIQQLTIHNNDDATSLCDCLSLIKNATELEVINIRCCNSMESFVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLEDITVRRCVRMEEIIGGTRPDEEGVMGSSSNIEFKLPKLRYLKLEGLPELKSICSAKLICDSIEVIVVSNCEKMEEIISGTRSDEEGVKGEESNSCSITDLKLTKLRSLTLSELPELKRICSAKLICNSLQVIAVADCENLKRMPICLPLLENGQPSPPPSLRKIVAYREWWESVVEWEHPNAKDVLRPFVEFFVC >Potri.018G136500.3.v4.1 pep chromosome:Pop_tri_v4:18:15653106:15657325:1 gene:Potri.018G136500.v4.1 transcript:Potri.018G136500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136500.v4.1 MVQSNDPFWHHVAEMKCMYCGHQFAKDTSISRIKWHLSGEKGHGVAICERVPKQVQEAAFLAMHGANKRHKSIASSSNVNDNAISTTPQEQNNNEVDKLAGDAGKTQAADRMDHALEKRMEELSKLLMEDDIENGTGGVVQPGAGASSSGGLTGDTNEIPGDAVPTTKLVGQAFKDHKKTIWTWLMHDEVSTIGIYGMGGVGKTTLVKHIYDQLQKRRDSFCNVYWITVSQDTNINKLQNSIARRIGLDLSNEDEELYRAAELSQELTKKQKWVLILDDLWKAIELHKVGVPIQAVKGCKLIVTTRLENVCQQMGKQHIIKVEPISQEEAWALFIERLGHDTALSPEVEQIAKSVARECAGLPLGIITMAATMRGVVDVREWSNALEELKESKVRKDDMEPDVFYILRFSYNHLSDSELQQSFLYCALFPEDFKIRREDLIAYLIDEGVIKGLKSREAEFNKGHSILNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGAQLRELPGEEEWTEHLMRVSLMHNEIKEIPSSHSPRCPSLSTLLLRGNSELQFIADSFFEQLRGLKVLDLSYTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPITVKGKEVAWLRKLESLECHFEGYSDYVEYLKSRDETKSLTTYQILVGPLDKYRYGYGYDYDHDGCRRKTIVWGNLSIDRDGGFQVMFPKDIQQLTIHNNDDATSLCDCLSLIKNATELEVINIRCCNSMESFVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLEDITVRRCVRMEEIIGGTRPDEEGVMGSSSNIEFKLPKLRYLKLEGLPELKSICSAKLICDSIEVIVVSNCEKMEEIISGTRSDEEGVKGEESNSCSITDLKLTKLRSLTLSELPELKRICSAKLICNSLQVIAVADCENLKRMPICLPLLENGQPSPPPSLRKIVAYREWWESVVEWEHPNAKDVLRPFVEFFVC >Potri.007G071200.1.v4.1 pep chromosome:Pop_tri_v4:7:9296362:9299936:-1 gene:Potri.007G071200.v4.1 transcript:Potri.007G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071200.v4.1 MKSLNFGGLCLLLGVLVLEALIAEGFNYGDALDKTLMFFEAQRSGKLPANQRVKWRGDSGLKDGYLQGVNLVGGYYDAGDHVKFGLPMAYTVTMLAWGAIDFRKEITELDQMGNALWSLRWGTDYFMKAHTQPNVLWAQVGDGDSDHYCWERAEDMTTPRTAYKLDQNHPGSDLAGETAAALAASAIAFKPYNSSYSNLLLLHAKQLFTFADKYRGLYDDSIQNAKQFYTSSGYSDELLWAAAWLYRATDDEYFLKYVVDNAVYMGGTGWAVKEFSWDNKYAGVQILLSQILLEGRGGAYTSTLKQYQAKANYFACACLQKNDGYNIHKTPGGLMYVREWNNLQYASSAAFLLAVYSDSLSAANAKLTCPEGQIPPQALLDFARSQADYFLGKNPKSMSYLVGYGQQYPIHVHHRGSSIASIFSLQSTVECVQGFEKWYRRPEGNPNVIHGALVGGPDQNDNFSDDRSNYEQTEPTLSGCAPLVGLFSKLQSVSETAGNYPKKAPVPRPTTPHSYQETQKTQEPYRSHEAPVEFLHSITKTWTVGPTTYYRHKVIIKNKSEKPIKDLKLVIEDLSGSIWGLNPTQQRNTYELPQWQKVLQPGSECSFVYVQGGPQAKVTVQSYN >Potri.003G207100.1.v4.1 pep chromosome:Pop_tri_v4:3:20625581:20629682:-1 gene:Potri.003G207100.v4.1 transcript:Potri.003G207100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207100.v4.1 MDSSVITGSAIPEPRDGIEFESHEAAYSFYKDYAKSVGFGTAKLSSRRSRSSKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQNGKWYIYSFVKEHNHELLPAQVHFFRSHRNDDPLKNDIRIRRRKNLSSVSKLFGAYQNVDCLEGYMRNQHDKGRSLVLESGDAQVLLDLFMHMQEENPKFFYAVDLNEEHRLRNLFWVDTKGMEDYSNFDDVVCFDTTYFTNKYKIPLVFFIGVNHHIQPTLLGCALIADETVYTFDWLMQTWFMAMGERAPQLMLTDQNNALKAAIGAVFPQTCHCFCLWHILEKIPRQLEYLSLWHDNFMVKFNKCIFKSWTEEQFEKRWSKLLDKFNLREVEWVRSLYEDRKYWVPAFMRDVSFAGLSTMSRSESLTSSYEKYVHAETSMREFIEQYKTILEDRYEEEAKADFDAWHETAELKSPSPFEKQMSLVYTHEIFRKFQVEVLGAAACHLKKESQDETTTMYTVKDFEDGQNYVVEWNETKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFSIPPKYVLQRWTNAALSRHPISERLDEVQTKVRRYNDLCRRAIILGEEGSLSQESYNIALCAIREALKQCASLNNSAETSACPNTSGSIEEENQYRNTSKERAPDLQVTGADKATRRAGAANTKESNHSGAVKKGKQVTRSGDVNVGGQEGFHLMGISDLGPTQSHNMMPAQLQNAVPTVFHNIMPTQFQNIASTHLHDTRLPR >Potri.003G207100.3.v4.1 pep chromosome:Pop_tri_v4:3:20625643:20629613:-1 gene:Potri.003G207100.v4.1 transcript:Potri.003G207100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207100.v4.1 MDSSVITGSAIPEPRDGIEFESHEAAYSFYKDYAKSVGFGTAKLSSRRSRSSKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQNGKWYIYSFVKEHNHELLPAQVHFFRSHRNDDPLKNDIRIRRRKNLSSVSKLFGAYQNVDCLEGYMRNQHDKGRSLVLESGDAQVLLDLFMHMQEENPKFFYAVDLNEEHRLRNLFWVDTKGMEDYSNFDDVVCFDTTYFTNKYKIPLVFFIGVNHHIQPTLLGCALIADETVYTFDWLMQTWFMAMGERAPQLMLTDQNNALKAAIGAVFPQTCHCFCLWHILEKIPRQLEYLSLWHDNFMVKFNKCIFKSWTEEQFEKRWSKLLDKFNLREVEWVRSLYEDRKYWVPAFMRDVSFAGLSTMSRSESLTSSYEKYVHAETSMREFIEQYKTILEDRYEEEAKADFDAWHETAELKSPSPFEKQMSLVYTHEIFRKFQVEVLGAAACHLKKESQDETTTMYTVKDFEDGQNYVVEWNETKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFSIPPKYVLQRWTNAALSRHPISERLDEVQTKVRRYNDLCRRAIILGEEGSLSQESYNIALCAIREALKQCASLNNSAETSACPNTSGSIEEENQYRNTSKERAPDLQVTGADKATRRAGAANTKESNHSGAVKKGKQVTRSGDVNVGGQEGFHLMGISDLGPTQSHNMMPAQLQNAVPTVFHNIMPTQFQNIASTHLHDTRLPR >Potri.003G207100.2.v4.1 pep chromosome:Pop_tri_v4:3:20625644:20629646:-1 gene:Potri.003G207100.v4.1 transcript:Potri.003G207100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207100.v4.1 MDSSVITGSAIPEPRDGIEFESHEAAYSFYKDYAKSVGFGTAKLSSRRSRSSKEFIDAKFSCIRYGNKQQSDDAINPRPSPKIGCKASMHVKRRQNGKWYIYSFVKEHNHELLPAQVHFFRSHRNDDPLKNDIRIRRRKNLSSVSKLFGAYQNVDCLEGYMRNQHDKGRSLVLESGDAQVLLDLFMHMQEENPKFFYAVDLNEEHRLRNLFWVDTKGMEDYSNFDDVVCFDTTYFTNKYKIPLVFFIGVNHHIQPTLLGCALIADETVYTFDWLMQTWFMAMGERAPQLMLTDQNNALKAAIGAVFPQTCHCFCLWHILEKIPRQLEYLSLWHDNFMVKFNKCIFKSWTEEQFEKRWSKLLDKFNLREVEWVRSLYEDRKYWVPAFMRDVSFAGLSTMSRSESLTSSYEKYVHAETSMREFIEQYKTILEDRYEEEAKADFDAWHETAELKSPSPFEKQMSLVYTHEIFRKFQVEVLGAAACHLKKESQDETTTMYTVKDFEDGQNYVVEWNETKSDIYCSCRSFEYKGYLCRHAIVVLQMSGVFSIPPKYVLQRWTNAALSRHPISERLDEVQTKVRRYNDLCRRAIILGEEGSLSQESYNIALCAIREALKQCASLNNSAETSACPNTSGSIEEENQYRNTSKERAPDLQVTGADKATRRAGAANTKESNHSGAVKKGKVTRSGDVNVGGQEGFHLMGISDLGPTQSHNMMPAQLQNAVPTVFHNIMPTQFQNIASTHLHDTRLPR >Potri.002G262101.1.v4.1 pep chromosome:Pop_tri_v4:2:24943653:24944884:1 gene:Potri.002G262101.v4.1 transcript:Potri.002G262101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262101.v4.1 MASSTIICETQPWKDLKSHVEKIKKTHLRDLLSDADRCKSTILAGFFIGDGAGVGKGRTIAGLIWESWHHTRRKALLEVVRCKKRPG >Potri.007G041601.1.v4.1 pep chromosome:Pop_tri_v4:7:3510129:3512299:-1 gene:Potri.007G041601.v4.1 transcript:Potri.007G041601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041601.v4.1 MAETCKCAFLILAFVTCFQILFIEGRSIKQTNKQEHVTNEIEPLKEMANQSTNTNLHHNTANNQKVSLPSPPVHIPTVHHSKAGRKEMTPPMVPSFSGSPGVRHPKTPGANSVTTVKDDFKPITSGQSPGVGHNNDNSVTAFKDDFQPTTPGNSPGVGHILVDEDDSEDDDPKAPGTSSSNERSGAAFKPTTPGHSPGVGHMSSVDQSDKTDLKASKTELSVTTPGHSPGVDHTLSDEDEDASEDVDPKAPGTGSSIKRSGAAFKPTTPGHSPGIGHMSSVDQSDKTDSKASEIEHSVKTPGHSSRVGHILSDEDADDSEDVDPKAPGTGSSIKRSGAAFKPTTPGHSPGIGHMSSVDQSDKIDSKASEIEHSVTTPGHSSRVGHILSDEDADDSEDVDPKAPGTGSSIKRSSAAFKPTTPGHSPGIGHMSSVDQSDKIDSKASEIEHFNTEHSVTTPGHSPAVGHILSDEDEDDNEDVDPKAPGTGSSIKRSGAAFKPTTPGHSPGIGHMSSVDQSDKTDRKATNIEHSVARVPDGFRPAVPIQGPGVGHVFQAQTKN >Potri.011G046700.1.v4.1 pep chromosome:Pop_tri_v4:11:3690149:3691711:-1 gene:Potri.011G046700.v4.1 transcript:Potri.011G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046700.v4.1 MESTDSSSGSQHPQLPPGFRFHPTDEELVVHYLKKKVASVPLPVTIIAEIDLYKFDPWELPSKATFGEQEWYFFSPRDRKYPNGARPNRAATSGYWKATGTDKPILTSNGAQKVGVKKALVFYGGKPPKGIKTNWIMHEYRLINNNPSSKPPGDSANKKGGSLRLDDWVLCRIYKKNNPQRQMDQMEEDSMEGMFTTLPSTSSNKNPKHPSVSKPTGYASLLENEDTFFEGILTGDGMQNSSISQLPSSSSKPNMSMTSVSTNTLSEKRSLPYHQYWNETTGLPMGLANSSAKRFHGDLNSGITGTQEDNNSFVSLLNQFPQSTPLVHPSTLLGSLGDGVLRQTFQLSSLNWNS >Potri.014G095450.1.v4.1 pep chromosome:Pop_tri_v4:14:6201541:6202492:1 gene:Potri.014G095450.v4.1 transcript:Potri.014G095450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095450.v4.1 MPDCWWRFPRPASDLVAGEGRRLKRGPTFGLHVIALLGLCGRISLLQVASAASRGRRSNHSFQTRPGTRPGFRVLTGSPSFDWITGSSWSNFFLNQNDVVLVKKKTKINWLQPGHIGFFLPLFFLEPDPVPTSSWPGPGSTRQAGFQNYGRNGAFEMASFQVGNSKFQCDP >Potri.003G109601.1.v4.1 pep chromosome:Pop_tri_v4:3:13189662:13190947:1 gene:Potri.003G109601.v4.1 transcript:Potri.003G109601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109601.v4.1 MEHEGSKIFKSPNSHVVSLKATNTEGYSTRRALPVVGFGSNSVKKAIDSDENKVQKVAAVIRDYEPPQRTPPIHKRKT >Potri.017G100000.1.v4.1 pep chromosome:Pop_tri_v4:17:11172104:11175494:1 gene:Potri.017G100000.v4.1 transcript:Potri.017G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100000.v4.1 MFLNCLVALEKVTAPSFFQFKDRRYLSIMAESVSNNTGKKLVRIDVSSDTVCPWCFVGKRNLDKAIAASKDRFDFEIKWHPFFLNPSAPKEGVNKLDFYREKFGSRAEGILARMTEIFKGLGLEYNLSGLTGNTLDSHRLINFAGQQGLDKQHKLAEELFLGYFTQAKYVGDREFLLECAQKVGVEGAAKFLEDPNNGVKEVNEELEKYSAHITGVPFYVINGKQKLSGGQPPEVFQKAFEVSAK >Potri.014G150700.1.v4.1 pep chromosome:Pop_tri_v4:14:10417883:10419235:-1 gene:Potri.014G150700.v4.1 transcript:Potri.014G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150700.v4.1 MASKILMPNPSANHVAYSASMVPRSHSIGQVCLPNKSTAETTASISQSLVASSITPTMSTKLDKTSSTRTSTLAHMWREVQGCNNWEGLVEPLHPFLRQEIIRYGEFVTACYQAFDLDPNSKRYLTCKYGKKNLFREVGMGNPGYEVTKYIYATPDVNIPIQNEPSCGRWVGYVAVSSDDAVRRLGRRDIVITFRGTVTNPEWIANFMSSLTPAKLDHNNPRPDVKVESGFLSLYTSNESGDKFGLKSCREQLLSEVSRLLNRYKGEELSISLSGHSMGSSLALLLAYDIAELGLNRLGPNLDIPVTVFSFGGPRVGNLGFKERCEELGVRVLRIVNVNDPITKLPGVFLNENFRVLGGRYEFPWSCSCYAHVGVEIVLDFFNMQNPSCVHDLGSYISLLKCPKKGDQVRKDEQNIFIRARESILSRAQNTNMEPLRNAASNLVNLFQNV >Potri.004G016500.1.v4.1 pep chromosome:Pop_tri_v4:4:1197126:1198106:1 gene:Potri.004G016500.v4.1 transcript:Potri.004G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G016500.v4.1 MKPVTSFFLFSATLSLTFFFHPSVAKGRTNLIQEVCTKTHNKVNCVASLESNPDSKQANLQQLGIIALNLASTKATNTSLYIKTTLLSNKTLGPVNEQALEDCSDQYLDAIQQLDDSLAALLANATNDVRAWVRAAVADVESCENGFKKQVPGQQMLLSSRNAVFRQLCNNVLVINKLLSQTSAGSN >Potri.005G221400.2.v4.1 pep chromosome:Pop_tri_v4:5:22342004:22343268:1 gene:Potri.005G221400.v4.1 transcript:Potri.005G221400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221400.v4.1 MVKKFPQGSSTVKDKWEKNNAIFQGEHSSVFSWPQRNYSCSFCKRQFISAQALGGHMNVHRRDRAKLRQLPSWFFKCPKYPTTNPNPDHLLSSSSKFLPYPDDHTHDHSPYLSSFSSPCCREKKSIVECHESKDLTKKKNNAGAVFGVGELKKNFAQECDQLEVLRRNEIINLDMEMGCEDPKEVLDLELRLGLLV >Potri.005G221400.1.v4.1 pep chromosome:Pop_tri_v4:5:22342004:22343268:1 gene:Potri.005G221400.v4.1 transcript:Potri.005G221400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221400.v4.1 MVKKFPQGSSTVKDKWEKNNAIFQGEHSSVFSWPQRNYSCSFCKRQFISAQALGGHMNVHRRDRAKLRQLPSWFFKCPKYPTTNPNPDHLLSSSSKFLPYPDDHTHDHSPYLSSFSSPCCREKKSIVECHESKDLTKKKNNAGAVFGVGELKKNFAQECDQLEVLRRNEIINLDMEMGCEDPKEVLDLELRLGLLV >Potri.018G009000.1.v4.1 pep chromosome:Pop_tri_v4:18:650927:652348:1 gene:Potri.018G009000.v4.1 transcript:Potri.018G009000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G009000.v4.1 MPSSSSSHVVIFPFMAQGHTLPLLYLSKALSHQQIKVTIITTPSNANSMAKYVTNHPDINLHEIPFPTIDGLPKGCENTSQLPSMEFLLPFLQATKELQKPFEQVLETMIKSNTPPLCVISDFFLGWSLASCQALGVPRLAFHGMGVLSMAISKSSWVHAPQIDSLSMFDPVDLPGMRLPFTLTKADLPAETVNSSNHDDPMSKFIGEVGEDDAKSWGIIVNSFKELEENHIPSFESFYMNGAKAWCLGPLFLYDEMEGLEKSINQSQISSMSTQWLDEQITPDSVIYVSFGTQAAVSDSQLDEVAFGLEESGFPFLWVVRSKSWSLPGGVEEKIKGRGLIVKEWVDQRQILSHRATGGFLSHCGWNSVLESVAAGVPILAWPMMAEQSLNAKLIVDGLGAGTSIKKVQNQGSEILVSRQAISEGVKELMGGQKGRSARERAEPLGRVARRAVQKDGSSHDTLSKLIDQLRRC >Potri.009G105100.1.v4.1 pep chromosome:Pop_tri_v4:9:9185992:9187317:1 gene:Potri.009G105100.v4.1 transcript:Potri.009G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105100.v4.1 MDSSNSTGILHDFPPFFRVYRNGKVERITADAETVRPSNDPHTGVQSKDTVVSQENSLSVRLFIPKIKDPSQKLPLLIYIHGGAFCIESPFSSMYHNYLTNLAHQANVIAVSVQYRRAPEHPLPIAYDDSWAAIQWVASHVNGIGVESWLNKHADFERTFLAGDSAGANIAHNMTVRAGVNGLFGVKTVGMVLAHPFFGGKEPDFFSPVIEYIFPDVKIYDDPRINPAGAGGVELASLGCSRVLIFVAGNDGLRERGYSYYDALKKSGWSGVVEIVETEGEDHVFHLFNPDCDKAVFMMKQVVSFINPVP >Potri.019G003100.1.v4.1 pep chromosome:Pop_tri_v4:19:902736:911224:1 gene:Potri.019G003100.v4.1 transcript:Potri.019G003100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003100.v4.1 MSEIPNADPEGIDSVRMTWNNWPRTKVEASKCVIPLAASISPIRSNPEIPTLPYLPLRCKTCTSIMNCFSRVDFTAKIWICPFCFQRNHFPPHYSMISETNLPAELYSQYTTIEYTIGDKNHNPVGEFDVESAFVFVLDTCMIEEEFEYVKSEVKRAIGLLPENAMVGFVTFGTQVQVHELGFSDMSKVYVFRGTKEISKDQIMEQLGIGGAGRRNVPGGAVGVGGYQQQRGMPMQNSGVSRFLLPASDCEFTLNSLLDELQTDQWPVAPGNRPSRCTGVALSVAAGLLGACLPGTGARIVALVGGPCTEGPGAIISKDLSDPVRSHKDLDKDAAPYFKKAVKFYDSLAKQLVSQGHVLDLFASALDQVGVAEMKVAVERTGGLVVLSESFGHSVFKDSFKRVFDSGEKSLGLCFNGTLEINCSKDIKIQGIIGPCTSLEKKGPSVADTVIGEGNTTAWKMCGLDKSSCFTVFFDISSSEKSNAPGSANPQLYLQFLTSYQNPEGLTLLRVTTVTRRWVDSAVNSEELVQGFDQETAAVVMARFTSLKMESEEGFDATRWLDRNLIRFCSKFGEYRKDDPSSFTLNSFFSFFPQFMFNLRRSQFVQVFNNSPDETAYFRMLLNRENITNAAVMIQPSLISYSFNSLPQPALLDVASIGADRILLLDSYFSVVVFHGMTIAQWRNLGYQNQPEHQVFAQLLQAPKDDAQAIIHERFPVPRLVVCDQHGSQARFLLAKLNPSATYNNANEMAAGSDIIFTDDVSLQVFFEHLQRLAVQS >Potri.010G156500.2.v4.1 pep chromosome:Pop_tri_v4:10:22782796:22786234:1 gene:Potri.010G156500.v4.1 transcript:Potri.010G156500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G156500.v4.1 QRAGEIIREGFYQTKHVEHKGLVDLVTETDKACEDLIFNYLKQQHPSHKFIGEETTAACGITELTDEPTWIVDPLDGTTNFVHGFPFVCISIGLTIGKVPTVGVVYNPIMEELFTGVHGKGAFLNGKPIKVSSQSELVKSLLATEAGTKRDKSTVDATTNIINSLLFKVRSLRMTGSCALNLCGIACGRIDLFYETGYGGPWDVAGGAVIVKEAGGIVYDPPGKDFDITSQRVAASNPLLKEAFVEVLQQSE >Potri.001G380550.1.v4.1 pep chromosome:Pop_tri_v4:1:40087672:40090215:-1 gene:Potri.001G380550.v4.1 transcript:Potri.001G380550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380550.v4.1 MEELFVMFVVILLLDLRSSFRRPPHSLHFSIPLRSMRKHRKAVSRGILKEIEHQTQKVAVQQIFQDTGICQGNVIADNLSFVYAPGYSAGRRTEDVKVIICDLDMLLFSPSCLL >Potri.011G170000.7.v4.1 pep chromosome:Pop_tri_v4:11:19275648:19284083:1 gene:Potri.011G170000.v4.1 transcript:Potri.011G170000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G170000.v4.1 MAMRELVTGGAACAVPGSSSSSNPFGALANALIGSSSKTRERVKEIPTSTTTGTEPPFYQDATAEDRLRALPGDDFDHSFSHHPTPQSSEFLRGFRSADQNGFADAWEDIHRSGPPPPPALLDHRLPPPQFQPPLEDAGPPQRVLSNFLHSFVGSSHGGIPFRPAPLPALGLSEGDKQCIRDRSSIMARHFFADKSEDFINAQVNALLSSLDIDGDVRAKGPLAGRFRELEDYWNESQGALRPGPPQSDGWVAEFSQHRIDHGDPNAWAHSFEQQHGANSWASEFEQEQRLVSAADQMGGANISNLAAMEQTRMLAHTLAQNNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPAALSSSGDWATEYQQQYTAGPSWVDEYAGNQLSHGPDQWAKEFAAEREQSGPVDEQWVNEFSKLHVNDWADEFGRQVGEGAFGESSADNWADAYDEYLNEQVVAKQKSDASRGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAHEAGPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHSKYGTLANPELSDSLYYADVARLFNEAAEMSPEDADVHIVLGVLYNLSREYDKAISSFQRALKLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMHEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMFEACDSRNIDVLQKEFPL >Potri.011G170000.2.v4.1 pep chromosome:Pop_tri_v4:11:19275494:19284088:1 gene:Potri.011G170000.v4.1 transcript:Potri.011G170000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G170000.v4.1 MAMRELVTGGAACAVPGSSSSSNPFGALANALIGSSSKTRERVKEIPTSTTTGTEPPFYQDATAEDRLRALPGDDFDHSFSHHPTPQSSEFLRGFRSADQNGFADAWEDIHRSGPPPPPALLDHRLPPPQFQPPLEGPPQRVLSNFLHSFVGSSHGGIPFRPAPLPALGLSEGDKQCIRDRSSIMARHFFADKSEDFINAQVNALLSSLDIDGDVRAKGPLAGRFRELEDYWNESQGALRPGPPQSDGWVAEFSQHRIDHGDPNAWAHSFEQQHGANSWASEFEQEQRLVSAADQMGGANISNLAAMEQTRMLAHTLAQNNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPAALSSSGDWATEYQQQYTAGPSWVDEYAGNQLSHGPDQWAKEFAAEREQSGPVDEQWVNEFSKLHVNDWADEFGRQVGEGAFGESSADNWADAYDEYLNEQVVAKQKSDASRGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAHEAGPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHSKYGTLANPELSDSLYYADVARLFNEAAEMSPEDADVHIVLGVLYNLSREYDKAISSFQRALKLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMHEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMFEACDSRNIDVLQKEFPL >Potri.011G170000.9.v4.1 pep chromosome:Pop_tri_v4:11:19275287:19284104:1 gene:Potri.011G170000.v4.1 transcript:Potri.011G170000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G170000.v4.1 MARHFFADKSEDFINAQVNALLSSLDIDGDVRAKGPLAGRFRELEDYWNESQGALRPGPPQSDGWVAEFSQHRIDHGDPNAWAHSFEQQHGANSWASEFEQEQRLVSAADQMGGANISNLAAMEQTRMLAHTLAQNNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPAALSSSGDWATEYQQQYTAGPSWVDEYAGNQLSHGPDQWAKEFAAEREQSGPVDEQWVNEFSKLHVNDWADEFGRQVGEGAFGESSADNWADAYDEYLNEQVVAKQKSDASRGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAHEAGPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHSKYGTLANPELSDSLYYADVARLFNEAAEMSPEDADVHIVLGVLYNLSREYDKAISSFQRALKLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMHEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMFEACDSRNIDVLQKEFPL >Potri.011G170000.8.v4.1 pep chromosome:Pop_tri_v4:11:19275247:19284112:1 gene:Potri.011G170000.v4.1 transcript:Potri.011G170000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G170000.v4.1 MAMRELVTGGAACAVPGSSSSSNPFGALANALIGSSSKTRERVKEIPTSTTTGTEPPFYQDATAEDRLRALPGDDFDHSFSHHPTPQSSEFLRGFRSADQNGFADAWEDIHRSGPPPPPALLDHRLPPPQFQPPLEGPPQRVLSNFLHSFVGSSHGGIPFRPAPLPALGLSEGDKQCIRDRSSIMARHFFADKSEDFINAQVNALLSSLDIDGDVRAKGPLAGRFRELEDYWNESQGALRPGPPQSDGWVAEFSQHRIDHGDPNAWAHSFEQQHGANSWASEFEQEQRLVSAADQMGGANISNLAAMEQTRMLAHTLAQNNDPKFQNSKFLQFVSKMSRGELIIDDNQVKPAALSSSGDWATEYQQQYTAGPSWVDEYAGNQLSHGPDQWAKEFAAEREQSGPVDEQWVNEFSKLHVNDWADEFGRQVGEGAFGESSADNWADAYDEYLNEQVVAKQKSDASRGVYVFSDMNPYVGHPNPLKEGQELFRKGLLSEAVLALEAEVLKNPDNAEGWRLLGIAHAENDDDQQAIAAMMRAHEAGPTNLEVLLALGVSHTNELEQAAALKYLYGWLRHHSKYGTLANPELSDSLYYADVARLFNEAAEMSPEDADVHIVLGVLYNLSREYDKAISSFQRALKLKPQDYSLWNKLGATQANSVQSADAILAYQQALDLKPNYVRAWANMGISYANQGMHEDSIRYYVRALAMNPKADNAWQYLRISLSCASRNDMFEACDSRNIDVLQKEFPL >Potri.001G247700.1.v4.1 pep chromosome:Pop_tri_v4:1:26418981:26421015:-1 gene:Potri.001G247700.v4.1 transcript:Potri.001G247700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247700.v4.1 MLELRLVQGSLLKKVLEAIKDLVNDANFDCSSSGFSLQAMDSSHVALVALLLRSEGFEHYRCDRNMSMGMNLGNMSKMLKCAGNDDIITIKGDDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYHAIVTMPSAEFSRICKDLSSIGDTVVISVTKEGVKFSTRGDIGTANIVLRQNTTVDKPEDATVIEMNEPVSLTFALRYMNSFTKATPLSNTVKISLSSELPVVVEYKIAEMGYVRYYLAPKIEEDEDENETKPQV >Potri.004G114450.1.v4.1 pep chromosome:Pop_tri_v4:4:10478543:10485422:1 gene:Potri.004G114450.v4.1 transcript:Potri.004G114450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G114450.v4.1 MRGGRRGRGGISEKGGGGNGRDGIGGNGRDGIGGNGRDGIGGNGRDGIGGNGRDGIGVNGRDCSPGRGGRGGSCGNGGNIGSGGRGGRTGVFGS >Potri.004G114450.2.v4.1 pep chromosome:Pop_tri_v4:4:10478595:10479104:1 gene:Potri.004G114450.v4.1 transcript:Potri.004G114450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G114450.v4.1 MRGGRGGRRGRGGISEKGGGGDGVRPGIGGNGRDGTGGNGRDGTGGNGRDGTGGNGRDGSPGRGGRGGSCGNGGNIGSGGRGGRTGVFGS >Potri.003G184300.2.v4.1 pep chromosome:Pop_tri_v4:3:18903959:18904243:-1 gene:Potri.003G184300.v4.1 transcript:Potri.003G184300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184300.v4.1 MIGSAHESPAIPSNISEEGKDFLRCCFARNPARRSTASELLNNKYVMAFKEEGERKGSEREEVLNLERFSLPLSSTYEARTSLYLWSILHPVPF >Potri.001G131400.6.v4.1 pep chromosome:Pop_tri_v4:1:10704343:10709832:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.001G131400.2.v4.1 pep chromosome:Pop_tri_v4:1:10704023:10710060:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.001G131400.8.v4.1 pep chromosome:Pop_tri_v4:1:10704245:10709830:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.001G131400.10.v4.1 pep chromosome:Pop_tri_v4:1:10704370:10709830:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.001G131400.7.v4.1 pep chromosome:Pop_tri_v4:1:10705393:10709831:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.001G131400.9.v4.1 pep chromosome:Pop_tri_v4:1:10704369:10709830:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.001G131400.11.v4.1 pep chromosome:Pop_tri_v4:1:10705398:10709830:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.001G131400.4.v4.1 pep chromosome:Pop_tri_v4:1:10704367:10709859:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.001G131400.5.v4.1 pep chromosome:Pop_tri_v4:1:10705396:10709844:1 gene:Potri.001G131400.v4.1 transcript:Potri.001G131400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131400.v4.1 MKHKDGKPVSHPDKNSRAVPMAIMFFVLCGFSFYLGGIFCSEKDKIEAKDVAKVVSSPKESSIAPLQIKSTAFPECSSDYQDYTPCTDPKRWKKYGNHRLTFMERHCPPVFERKECLIPPPDGYKPPIKWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGDKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENRWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLANTDAYPPKCDDSLEPDSAWYTPIRPCVVVPSPKIKKSVMESIPKWPERLHATPERISDIPGGSASAFKHDDSKWKIRAKHYKKLLPALGSDKMRNIMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCEMKYVLLEMDRILRPTGYAMIRESSYFVDAISTIAKGMRWSCRKEDTEYGVEKEKILICQKKLWYSSKSSR >Potri.006G122200.1.v4.1 pep chromosome:Pop_tri_v4:6:9712260:9715361:-1 gene:Potri.006G122200.v4.1 transcript:Potri.006G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122200.v4.1 MSKKGGTTPGLQKDVPWRVSTSKPIPKIHHSPILRVSQNPFCDYALSVMKHPNPIGTGLATEALVEAAGPDCIVPGQITPFRVLGLKVWPIEFDLKFMEPVGRELKLLGKFMDDAVNLMNKSFVDR >Potri.011G074100.1.v4.1 pep chromosome:Pop_tri_v4:11:7851511:7851690:-1 gene:Potri.011G074100.v4.1 transcript:Potri.011G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074100.v4.1 MPLEPRASWFSPKCVEAQQLTGHLGVKHCFGSGSESGTKSRQTLNTRYDLKITGVKVGQ >Potri.013G006100.1.v4.1 pep chromosome:Pop_tri_v4:13:418252:421285:-1 gene:Potri.013G006100.v4.1 transcript:Potri.013G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006100.v4.1 MARLVAVQQQTQPSFSLLPSSLSDFNGTRLHSQVRCKRRVWQTKGALQVSASSSKNILIMGGTRFIGVFLSRLLVKEGHQVTLFTRGKAPITQPLPGESDQDYADFSSKILHLKGDRKDFEFVKTSLAAKGFDVVYDINGREAVEVEPILDALPKLEQFIYCSSAGVYLKSDLLPHSEKDAVDPKSRHKGKLETESLLESRGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPIPNSGIQMTQLGHVKDLAKAFIQVLGNEKASQQVFNISGEKYVTFDGLAKACAKAAGFPEPEIVHYNPKDFDFGKKKAFPFRDQHFFASIDKAKHVLGWEPEFDLVEGLADSYNLDFGRGTYRKEADFFTDDLIIGKSLVLQA >Potri.003G220866.1.v4.1 pep chromosome:Pop_tri_v4:3:21494441:21494781:-1 gene:Potri.003G220866.v4.1 transcript:Potri.003G220866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220866.v4.1 MTCIILVSVFFFLGGGECGARVLASLELGFVLVDFVHPLFMYPTCP >Potri.018G064100.1.v4.1 pep chromosome:Pop_tri_v4:18:7652604:7657697:1 gene:Potri.018G064100.v4.1 transcript:Potri.018G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064100.v4.1 MTSSKKHYKEKIARRKEEKPEESETPKYRDRAKERREDQNPDYEPTELGSFHAVAPPGTVDIRSVADSNQISIEKSKYLGGDVEHTHLVKGLDYALLNKVRSEIDKKPDSAEDVDGKSRASKEDQKILFRTATAKSVYQWIVKPQTIIKTNEMFLPGRMSFIFNMEGGYSHDIPTTLHRSKADCPVPEEMVTVSVDGSVLDRIAKIMSYLRLGSSGKVLKKKKKDKDAKGKISVVGNEYDEHDKPSKPSGGMLNNKTEREILPPPPPPPKNNLADSFEKQQPAVAREDENDIFVGHGIDYEVPGKDMSQSPLSEDMEESPRNKERLSYFSEPVYGPVPPSELSHEWQDPNGYDAVHAQALSADYQGEWQNYQYAEQFAYPEQYTQQTMQAYDMQAASSIQPDPRFMTQEEKDRGLGSVFKRDDQRLQQLREKDAREKDPNFISDSYSECYPAYQHFTREVVDSDDEDDLSKMDMGGRAKGRLHRWDFDTEDEWAKYNEQKEAMPKAAFQFGVKMQDGRKTRKQNKDQKLNNELHQINKILAKKKIDKENGDGGHYDDDTPPGKKQRQ >Potri.002G075901.1.v4.1 pep chromosome:Pop_tri_v4:2:5302856:5306513:-1 gene:Potri.002G075901.v4.1 transcript:Potri.002G075901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075901.v4.1 MSNACQGQSKCHDPENGSGYICKCLDGYQGNPYLPNGCQNINECSDPKVAHNCSHTCIDTEGNYTCSCPKGYHGDGRIDGERCIRNRSSVIQVAVGTGVGLISLLMGITWLYWGYNKWKLMKLKEKFFRQNGGLMLEQQLSRREGPVTETAKIFSAEELEKATDKYHESRILGRGGFGTVYKGTLTDGRTVAIKKSKTIDHSQIEQFINEVVVLYQINHRNVVKLLGCCLETEVPLLVYEYVANGTLYDHIHDKCKVSALTWEIRLKIASETAGVLSYLHSAASVPIIHRDVKSTNILLDNSYTAKVSDFGTSRFIPLDQVELSTMVQGTLGYLDPEYLHTSQLTDKSDVYSFGVVLVELLTGMKAISFHKPEGERNLSSYFLCALKEDRLVHILQDCMVNQDNIRQLKEVANIAKKCLRVKGEERPNMKNVAMELEGLRTSAKHPWTNDKSDVKETEYLLGESVETVRSEEMAGTSAGYHSLYLMQSQGDGR >Potri.005G084600.2.v4.1 pep chromosome:Pop_tri_v4:5:5829033:5832420:-1 gene:Potri.005G084600.v4.1 transcript:Potri.005G084600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084600.v4.1 MEANFAQRMQISTTWIDTGNLARKPTGFLRFSGRLKEQKRLQVFVSAQFRPVRDENRHRLASFEVSRSYNNSRVSTLESESLQDLLDDEALILKNKSQEIEPYLNGRCIYLVGMMGSGKTTVGKILSQAIHYSFCDSDTLVEKDVGVTSVAEIFQIYGEDFFRDKETEALEKLSLEHRYVVSTGGGAVIQDENWTYMRKGISVWLDVPLEELAQRIAAVGTKTRPLLDREPGDAYTKAFRRLSALFEQRYKAYENANARVSLENIAAKLGYKDVSNITPPMIAIEAIEQIGNIL >Potri.005G050400.1.v4.1 pep chromosome:Pop_tri_v4:5:3191845:3194953:-1 gene:Potri.005G050400.v4.1 transcript:Potri.005G050400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050400.v4.1 MMMFMRKSAFRATASSAPAFQLQQQLMEMGIFPFRPDFPFLFFNHHHFATSSCTKKPSLPKKSGGVVSDISNNISIDDALASFCRMVRVNPRPSVVEFGKFLGSFAKKKQYSTVVSLCNQMDLFGVTHTVYSLTILINCLCRSNHVDFAVSVLGKMFKLGIQPDVITFTTLLNGLCNEGKIKEAVGLFNEMVRQGHEPNVISYTTVINGLCKTGNTSMAVHVFKKMEQHGCKPDVVTYSIIIDCLCKDRLVNDAMEFLSEMLDRGIPPNVITYSSIVHGFCNLGQLNEATRLLKEMVGRDVMPDTVTFTILVDGLCKEGMVSKAQRVFETMPEKGVEPNIYTYNALMDGYCLQRQMNEAKKVFEIMVRKGCAPDVQSYNILINGFCKSRRMAEAKSLLAEMYHKALTPDTVTYNTLMQGLCQFGRPKDALNLFKEMCSYGLLPNLVTYSILLDGFCKHGHLDEALKLLKSMQEKKLEPNIVLYTILIEGMFIAGKLEVAKELFSKLFADGIRPTIRTYTVMIKGLLKEGLSDEAYDLFRKMEDDGFLPDSCSYNVIIQGFLQNQDSSTAIRLIDEMVGRRFSADSSTFQMLLDLESHDKIISRFMRGSCR >Potri.009G051701.2.v4.1 pep chromosome:Pop_tri_v4:9:5665132:5667672:1 gene:Potri.009G051701.v4.1 transcript:Potri.009G051701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051701.v4.1 MRRRPPKNASTSVNITTTPASFSRIVFGVVSSVNTWKNRKSYAESWWRPNVTRGYIFLGRAPTLRYHPWPSSSPPFRINAPIKSRIKHKYIFRQGDKDVRWYVMADDDNVLFIDNLVEVLAKYDHTEYFYIGTNSECVSSNIIFSFEMAFGGAGYALSYPLVEALSTKVGGCVQQYPNYSSDFILQACLADFGVSLTHRRGFLQIDLHGDISGLLSAHPQSPILFLHLHEPLCVHKPCATEGKATGLFLLRGAMPLIYTEIYTLKAFC >Potri.009G051701.1.v4.1 pep chromosome:Pop_tri_v4:9:5665132:5667457:1 gene:Potri.009G051701.v4.1 transcript:Potri.009G051701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051701.v4.1 MRRRPPKNASTSVNITTTPASFSRIVFGVVSSVNTWKNRKSYAESWWRPNVTRGYIFLGRAPTLRYHPWPSSSPPFRINAPIKSRIKHKYIFRQGDKDVRWYVMADDDNVLFIDNLVEVLAKYDHTEYFYIGTNSECVSSNIIFSFEMAFGGAGYALSYPLVEALSTKVGGCVQQYPNYSSDFILQACLADFGVSLTHRRGFLQQIDLHGDISGLLSAHPQSPILFLHLHEPLCVHKPCATEGKATGLFLLRGAMPLIYTEIYTLKAFC >Potri.006G166850.1.v4.1 pep chromosome:Pop_tri_v4:6:16603076:16603408:-1 gene:Potri.006G166850.v4.1 transcript:Potri.006G166850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166850.v4.1 MKENGSKRRKTEGKRRTVTCLSALSLHRLEAHRHLPDHREALSASSQHHQILPHDPAASLKTSQNREPQAASGVLLHIPNRQLFSESRTSTQPSPASTTASSSAPAAIAD >Potri.012G104600.1.v4.1 pep chromosome:Pop_tri_v4:12:12661547:12663091:-1 gene:Potri.012G104600.v4.1 transcript:Potri.012G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104600.v4.1 MTRSQQYPHLFMITIILILLSINSVPSISAHFFHSNVSIPEDLLKNATSNPWSFFHQLSGCHAGEKYDGLAKLKSYFQYFGYIPNSLSNFTDDFDDSLESALRTYQQNFNLNITGQLDDQTVNHIVRPRCGNPDIVNGSSSMNSGKTHNTSSSHVHTVSHYSFFTGMPRWRKQALTYAFLPGNQLTDEVKTVFSRAFDRWSTVIPLTFTQADSINAADIGIGFYSGDHGDGEPFDGVLGTLAHSFSPPSGQFHLDGDENWVVTGDVRTSSLTTAVDLESVAVHEIGHLLGLGHSSVEESIMYPTISSRTKKVELADDDIQGIQVLYGSNPNYNGSSTTSVQEKETGSSGAHCLRSRWDLIGLIMAFGFVLLLL >Potri.015G032500.1.v4.1 pep chromosome:Pop_tri_v4:15:2503274:2511674:1 gene:Potri.015G032500.v4.1 transcript:Potri.015G032500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032500.v4.1 MASQGKKLINDPNAVVTEFIEGLVETYPGLQYLDGFPEVKVVLRADYASAMLDKVAVISGGGSGHEPAHAGFVGEGMLSAAICGEVFTSPQVDAILSGIRAVTGPMGCLLIVTNYTGDRLNFGLAAEQAKSEGYKVETVIVGDDCALPPLRGIVGRRGLAGTILVNKIAGAAAATGLSLDEVAAEAKRASEMVGTMGVALSVCTLPGQVTSDRLGPGKMELGLGIHGEPGAAVADLQPVEVVVSHVLQQILSPDRNYVPITPGNRVVLLVNGLGATPAMELMIVAGKAVPQLQLEHGLAVDRVYTGSFMTSLDMAGFSISIMKADEAILQRLDASTKAPHWPVGVDGNRPPAKIPVPLPLSHSGKSDESLSRPQQLSEQGHLLEVAIEAAVNAIIDLRDNLNEWDGRVGDGDCGSTMYRGATAILEDMKKHYPLNDAAETVGEIGSSIRRVMGGTSGIIYTIFCKAACAKLKANTQSVVTSKQWAEALEASIAAVSKYSGASAGFRTLLDALIPASAVLQERLNAGDDPCIAFLLSSEAAVAGAESTRHMQAQAGRSAYISPDILATVPDPGAMAAASWYRAAALAIKNKYQVHE >Potri.009G110901.5.v4.1 pep chromosome:Pop_tri_v4:9:9501577:9502288:-1 gene:Potri.009G110901.v4.1 transcript:Potri.009G110901.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110901.v4.1 MMSLTISTPTSRTTTILSDDTGIKKCDIRDVSKHYVIQKSGPRFLHQQSMELSWVRREAALHGLVCRTPKYSRSSFLFHFFLFPCYIFFVFGIHCMIWLYTKIWKGCNCVRLEVSAFSEDPEALMVKSWNSMKKNAGELGLKFFLRIFEIAPLQRSCSHF >Potri.002G059900.1.v4.1 pep chromosome:Pop_tri_v4:2:4147521:4155245:1 gene:Potri.002G059900.v4.1 transcript:Potri.002G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059900.v4.1 MQQDQRKKNSTEMDFFSEYGDANRYKIQEVIGKGSYGVVCSAIDTHTGEKVAIKKIHDIFEHISDAARILREIKLLRLLRHPDIVEIKHIMLPPSRRDFKDIYVVFELMESDLHQVIKANDDLTREHYQFFLYQLLRALKYIHTANVYHRDLKPKNILANANCKLKICDFGLARVAFNDTPSTIFWTDYVATRWYRAPELCGSFFSKYTPAIDIWSIGCIFAEVLTGKPLFPGKNVVHQLDLMTDLLGTPSLDTISLVRNDKARRYLTSMRKKQPVPLAQKFPNADPLALRLLERLLAFDPKDRPTAEEALADPYFKGLARVEREPSCQPITKMEFEFERRRVTKEDVRELIFREILEYHPQLLKDYLNGTERTNFLYPSAVDQFRKQFAHLEENGGKSGPVIPLERKHASLPRSTIVHSSSIPTKEQQNLASFKDRHTAEEAYNKNPRDTEGIPVNISRTLQAQQRVPLAKPGKVVGQVVSYENGSIVKDARDPRTYIRSAVLPPHAAPSAYCYRKSNSGKQERSTMEADSDLSSQKQVQQCGMAAKYAPDVAINIDSNPFFMTRIGVNKVEHVDDRVMIDTSLLQAKAQYGGISASAAAASTAAHRKVGTVQYGMTRMY >Potri.014G098000.1.v4.1 pep chromosome:Pop_tri_v4:14:6424310:6425307:-1 gene:Potri.014G098000.v4.1 transcript:Potri.014G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098000.v4.1 MLKAAAMSTHLFALLAVILLLLSRPTMPSPDDKVSCATIVSKLTSCINYISDKSKDPSKTCCAGVKEISGFVKSKSDRKDACECLKNTLSKIKYDPSRIPTLPKNCGVSLVLPPISSSTDCSTAFGY >Potri.004G167500.1.v4.1 pep chromosome:Pop_tri_v4:4:18565796:18569556:-1 gene:Potri.004G167500.v4.1 transcript:Potri.004G167500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167500.v4.1 MSSLDGDVSQNGSLKSVDAHPALAEIEDLDFSRILDKPPRPLNMERQRSCDERSLNELFGVPLLSPRPSSRAESNFRLIDHLDGLYSPGRRSGFNTPRSQYGFETHPAVAEAWDALRRSLVVFRGQPVGTIAALDNTGEQLNYDQVFVRDFVPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFHLGEGVMPASFKVLHDPVRNSETLMADFGESAIGRVAPVDSGFWWIFLLRAYTKSTGDTSLAEMPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALLLLKQDEEGKEFVERITKRLHALSFHMRSYYWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPVHGGYFIGNVSPAKMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVIYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAETRLVKDNWPEYYDGKLGRFVGKQARKFQTWSIAGYLVAKMLLEDPSHLGMVALEEDKQMKPPMRRSHSWTF >Potri.005G093000.4.v4.1 pep chromosome:Pop_tri_v4:5:6500618:6520538:-1 gene:Potri.005G093000.v4.1 transcript:Potri.005G093000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093000.v4.1 MSFRSPESSPSTILLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATVVRLCLDRRHHSTTSLLSPSLSAFQGPALLSYNDAVFTEEDFTSISRIGGSVKHNQATKTGRFGVGFNSVYHLTDLPSFVSGNYIVMFDPQGGYLPNVNSSNPGKRIDFVSTKAMSFYRDQFEPYAVFGCDMENRFSGTLFRFPLRDSNQARESKLSRQAYVEDDVILMFEQLYKEGVFSLLFLKNVLSVEMFVWDEGDVGQRKLYSCRVGNVSDEVVWHRKAIMRMSKEMDGGGQGDVMKDGYLVEFESEEVVGDGGSEVKKRSDRFYVVQSMGSANSRIGEFAATASKDYDIHLLPWASVAACLTDGLSDNDELKLGRAFCFLPLPVRTGLNVQVNGYFEVSSNRRGIWYGADMDRSGKIRSIWNRLLLEDVVAPAFRYLLLGVQQLLGSTDSYYSLWPTGPFEEPWSILVEHIYKRIGDAPVLRSDVEGGQWVTLVEAFLHDEEFPKSKELGEALLQLGMPVVHLPNVLFNMILKYASAFQQKVVTPDTVRDFLRQCKSVGSLNKSYRLVLLEYCLEDLIDADVGKNASNLLLLPLANGDFGLLSEASKGSLFFICNDLECMLLERISDKIIDRDIPPNLLHRLSAIAKSSKSNLAIFSIQYFLKFFPNFLPAYWRYKSKVLWNPDSSHDHPTSSWLELFWQYLRNHCEKLSLFGDWPILPSTTGHLYRPSRQSKLINADKLPIFIRDILVKIECKILNPAYGVEHPDLSLYVCDADCAGVVESIFNVVSSAGGIAQTSFDNLRPEDRDELRGFLLAPKWYMGDCIDGFVIRNCRRLPIYRVHGEGSVEGAIFSDLENPQKYLPPLEVPDNFLGHEFISSSSNIEEDILLRYYGVERMGKAHFYRQQVFNNVRILQPEVRDRTMLSVLQNLPQLCVEDASFRECLRNLEFVPTFSGTLKHPSVLYDPRNEELWALLEESDSFPCGAFQEPNILDMLHGLGLKTTASPETVIESARQVERLMHEDQQKAHSRGKVLLSYLEVNAMKWLPNQLNDDERTVNRIFSRAATAFRPRGLKSDLEKFWNDLRMICWCPVMVTAPFKTLPWPIVTSMVAPPKLVRLQADLWLVSASMRILDGECSSTALSYNLGWLSPPGGSAIAAQLLELGKNNEIVNDQVLRQELALEMPKIYSIMTSLIGSDEMDIVKAVLEGSRWIWVGDGFATADEVVLDGPLHLAPYIRVIPMDLAVFKELFLELDIREYFKPMDYANILGRMAVRKASSPLDAQEIRAAMLIVQHLAEVQFHEQVKIYLPDVSGRLFPATDLVYNDAPWLLGSDNSDSSFGGASTVALHAKRAVHKFVHGNISNEVAEKLGVCSLRRILLAESSDSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDAGASEVIFLLDKTQYGTSSVLSPEMADWQGPALYCFNNSVFSSQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPTFVSGENVVMFDPHACNLPGISPSHPGLRIKFTGRKILEQFPDQFSPFLHFGCDLQHPFPGTLFRFPLRSSTVARRSLIKKEGYAPEDVMSLFTSFSGVVSDALLFLRNVKNISLFVKEGNGSEMQLLHRVQRNCITEPEMESGAVNDMFSFVNGSQYSGLDKDQLLKILSKSVDKNLPHKCQKIVVTEKNSSGVMSHCWITGECLGSVRAKTFTAVANDSHESIPWASVAAYIHSVKVMDGELSDISNIEGACTSETFQVSTTSIENRKNFEGRAFCFLPLPISTGVPAHINSYFALSSNRRDIWFGNDMAGGGKKRSDWNIYILEDVAAPAYGYLLEKIASEIGPCDLFFSFWPMETGVEPWASMVRKLYVFIAESGLRVLFTKAREGQWISAKQALFPDFTFHKVHELVEALSDAGLPLVTVSQPLVEQFMEACSSLNFLNPQFLMTLLIRRRRGFKDRGGMIVTLEYCLLDLQVPVQVDSLYGLPLLPLSDGSFATFEKNGTGERIYIARGDEHGLLKDSVPHQLVDREIPEAVFGKLCDLAESEKSNISFLSCSLLEKLFLKLLPAEWQLSSKVVWTPGHQGHPSLEWIRLLWSYLNSCCDDLLIFAKWPILPVGDNILLQLVPNSNVVKDDGLSENMLSLLLKVGCLFLRHGLTIEHPKLENFVQPPTAAGILNAFLALAGKPENIEGLFNDASEGELHELRSFVLQSKWFSEESMTDIHIEIIKHLPMFEAYKSRKLVSLCKPNQWLKPDGVRDDLLDDDFVRADSERERIILRRYLEIKEPSRVEFYKVYVLNRMSEFISHQGALTAILHDVKLLIEDDISIKSALSMTPFVLAANGSWQQPSRLYDPRIPQLRKVLHREAFFPSNEFSDPETLETLVKLGLKKNLGFTGFLDCARSVSMLHESRDSETVSYGRKLVALLDALAYKLSAEEGECNRNELQKTVLCQNSSDWNSDLAYLDSSERDKDQFIDDLEIDYFLANLIDDKTEEEFWSEMKAISWCPVCVHPPLQGLPWLNSNSQVASPSNVRPKSQMWVVSCTMHVLDGDCDSLYLQHKLGWMDCPDINVLTMQLTELSKSYEQLKLGSSIGPDFNDAVQNGILALYSKLQEYVGTDDFTLMKSALSGVSWVWIGDDFVPPHVLAFDSPVKFTPYLYVVPSEISDFRELLLGLGVRLSFDIWDYFHVLQRLQNNLKGFPLSTDQLSFVHRVLEAVADCFSDKPMFEASNSALLIPDSSGVLMCAGDLVYNDAPWIENNTLIEKHFVHPSISNDLANRLGVKSLRCLSLVDDDMTKDLPCMDFAKLNELLALYGNNDFLLFDLLEVADCCKAKKLHLIFDKREHPRNSLLQHNLGEFQGPALVAILEGVSLNREEVGSLQLLPPWRLRGDTVNYGLGLLSCYFVSNLLSIISGGYFYMFDPCGLALGAPSSHAPAAKMFSLAGTNLTERFCDQFKPMLIGEGMPWSSLDSTIIRMPLSSECLGNGLELGLKRVKQICDRFMEHASRTLIFLKSVLEVSLYTWDEGCAKPCQDYSVSVDLSSATMRNPFSEKKWRKFQLSRLFSSSNAAVKLHVIDVSLYQGSARVVDRWLVVLSLGSGQTRNMALDRYARRYLAYNLTPVAGVAAHISRDGCPGDLYPKSSVMSPLPLSGSIALPVTVLGCFLVRHNSGRSLFKYQKEVASEAQADAGDQLIEAWNKELMSCVRDSYIEMVVEMQKLRKDPLTSAIESNAGHAVSLSLKAYGDLIYSFWPRSTGLAMVNQPGDALVSTEVPKADWGCLIEEVIRTEVPKADWGCLIEEVIRPFYARVADLPLWQLYSGNLVKSGEGMFLSQPGNGVGGSLLPATVCGFVKEHYPVFSVPWELVTEIQAVGVTVREIKPKMVRDLLKMSSTSIVLRSVDTYVDVLEYCLSDIEFPGSSGFDRDDATLNSLNSSTMHRATSEASSSFASSSLPNLRSFHGSSAQSADSSGDALEMVTSLGKALFDFGRGVVEDIGRAGGPLIQRNAILDGIGANVDPKILSIAAELKGLPCPTATNHLTRFGVTELWFGNKDQQVLMMSLAAKFIHPKVLDRSFLFDILSRNAIQTLLRLKSFSLHLLASHMKLLFHENWVNHVMGSNMVPWFSWESTSSSGGEGGPSHEWLRLFWKCFGASSGDLSLFSDWPLIPAFLGRPILCRVKECHLVFIPPIKQTSSGNGIVDAGSTGSDMTGLSTNHTPESESESESVQSYIAAFEVAKNRYPWLLSLLNQCNVPIFDTAFMDCAVSCNCLPASSQSLGEVVASKLVAAKHAGYFPELASFSASDSDELVTFFAQDFLYNGSTYRAEELEVLRGLPIYKTVVGSYTRLHAQDHCMISSSSFLKPSDEHCLSYSTDSIECSLLRALGVPELHDQQILMRFGLPDFEGKPQSEQEDILIYLYANWQELQADSSLLEVLKETKFVRNADEFSLDRSRPKDLFDPGDALLTSVFSGERKKFPGERFSTDGWLRILRKIGLQTAAEADVILECAKRVEFLGSECMKSSGDFDDFGTNVSHSCDKVTVEIWALAGSVVEAVLSNFAVLYGNSFCNQLGKIACVPAELGFPNAGGKKVLTSYSEAIVSKDWPLAWSFSPIISRQNFVPPEYSWGGLQLRSPPAFSTVLKHLQVIGRNGGEDTLAHWPTSSGMMAVDEASCEVLKYLDKVWSSLSSSDRENLQRVAFLPAANGTRLVTANSLFVRLTINLSPFAFELPTLYLPFVKILKEVGLQDMLSVAAAKNLLIDLQKTCGYQRLNPNELRAVMEILFFLCDSTVEGNMVDWKNWTLDAIVPDDGCRLVHAKSCVYIDSYGSQYVKYIDTSRLRFVHGDLPERICIVLGIRKLSDVVIEELDKEDDLHTLEYIGSVSVAFIREKLLSRSFQGAVWTLVNSIANYIPARNTVPLETLRTLLESVAEKLQFVKILQTHFMLLPKSLDVTLVAKDSIIPDWENGSKHRTLYFMNRSRTSIFVAEPPTYVSVLDVVAIVVSQVLGSPTPLPIGTLFLCPEGSESAILNILKLSSDKRDIEPTSNKLVGKELLPPDALQVQLHPLRPFYRGELVAWRSQNGEKLKYGRVPEDVRPSAGQALYRFKVETAPGVVEPLLSSQVFSFKGISMGNEATSSATLPDDSHTVVNKRNANDVPESSGRGRTRSSQGGKELHRVSPAELVQAVHEMLSEAGISVDVEKQSLLKRTLTLQEQLKESQAALLLEQEKADVAAKEADTAKAAWLCRVCLTNEVDMTIVPCGHVLCRRCSSAVSRCPFCRLQVAKTIRIFRP >Potri.005G093000.5.v4.1 pep chromosome:Pop_tri_v4:5:6500570:6520619:-1 gene:Potri.005G093000.v4.1 transcript:Potri.005G093000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093000.v4.1 MSFRSPESSPSTILLEDFGQKVDLTRRIREVLLNYPEGTTVLKELIQNADDAGATVVRLCLDRRHHSTTSLLSPSLSAFQGPALLSYNDAVFTEEDFTSISRIGGSVKHNQATKTGRFGVGFNSVYHLTDLPSFVSGNYIVMFDPQGGYLPNVNSSNPGKRIDFVSTKAMSFYRDQFEPYAVFGCDMENRFSGTLFRFPLRDSNQARESKLSRQAYVEDDVILMFEQLYKEGVFSLLFLKNVLSVEMFVWDEGDVGQRKLYSCRVGNVSDEVVWHRKAIMRMSKEMDGGGQGDVMKDGYLVEFESEEVVGDGGSEVKKRSDRFYVVQSMGSANSRIGEFAATASKDYDIHLLPWASVAACLTDGLSDNDELKLGRAFCFLPLPVRTGLNVQVNGYFEVSSNRRGIWYGADMDRSGKIRSIWNRLLLEDVVAPAFRYLLLGVQQLLGSTDSYYSLWPTGPFEEPWSILVEHIYKRIGDAPVLRSDVEGGQWVTLVEAFLHDEEFPKSKELGEALLQLGMPVVHLPNVLFNMILKYASAFQQKVVTPDTVRDFLRQCKSVGSLNKSYRLVLLEYCLEDLIDADVGKNASNLLLLPLANGDFGLLSEASKGSLFFICNDLECMLLERISDKIIDRDIPPNLLHRLSAIAKSSKSNLAIFSIQYFLKFFPNFLPAYWRYKSKVLWNPDSSHDHPTSSWLELFWQYLRNHCEKLSLFGDWPILPSTTGHLYRPSRQSKLINADKLPIFIRDILVKIECKILNPAYGVEHPDLSLYVCDADCAGVVESIFNVVSSAGGIAQTSFDNLRPEDRDELRGFLLAPKWYMGDCIDGFVIRNCRRLPIYRVHGEGSVEGAIFSDLENPQKYLPPLEVPDNFLGHEFISSSSNIEEDILLRYYGVERMGKAHFYRQQVFNNVRILQPEVRDRTMLSVLQNLPQLCVEDASFRECLRNLEFVPTFSGTLKHPSVLYDPRNEELWALLEESDSFPCGAFQEPNILDMLHGLGLKTTASPETVIESARQVERLMHEDQQKAHSRGKVLLSYLEVNAMKWLPNQLNDDERTVNRIFSRAATAFRPRGLKSDLEKFWNDLRMICWCPVMVTAPFKTLPWPIVTSMVAPPKLVRLQADLWLVSASMRILDGECSSTALSYNLGWLSPPGGSAIAAQLLELGKNNEIVNDQVLRQELALEMPKIYSIMTSLIGSDEMDIVKAVLEGSRWIWVGDGFATADEVVLDGPLHLAPYIRVIPMDLAVFKELFLELDIREYFKPMDYANILGRMAVRKASSPLDAQEIRAAMLIVQHLAEVQFHEQVKIYLPDVSGRLFPATDLVYNDAPWLLGSDNSDSSFGGASTVALHAKRAVHKFVHGNISNEVAEKLGVCSLRRILLAESSDSMNLSLSGAAEAFGQHEALTTRLKHILEMYADGPGILFELVQNAEDAGASEVIFLLDKTQYGTSSVLSPEMADWQGPALYCFNNSVFSSQDLYAISRIGQESKLEKPFAIGRFGLGFNCVYHFTDIPTFVSGENVVMFDPHACNLPGISPSHPGLRIKFTGRKILEQFPDQFSPFLHFGCDLQHPFPGTLFRFPLRSSTVARRSLIKKEGYAPEDVMSLFTSFSGVVSDALLFLRNVKNISLFVKEGNGSEMQLLHRVQRNCITEPEMESGAVNDMFSFVNGSQYSGLDKDQLLKILSKSVDKNLPHKCQKIVVTEKNSSGVMSHCWITGECLGSVRAKTFTAVANDSHESIPWASVAAYIHSVKVMDGELSDISNIEGACTSETFQVSTTSIENRKNFEGRAFCFLPLPISTGVPAHINSYFALSSNRRDIWFGNDMAGGGKKRSDWNIYILEDVAAPAYGYLLEKIASEIGPCDLFFSFWPMETGVEPWASMVRKLYVFIAESGLRVLFTKAREGQWISAKQALFPDFTFHKVHELVEALSDAGLPLVTVSQPLVEQFMEACSSLNFLNPQFLMTLLIRRRRGFKDRGGMIVTLEYCLLDLQVPVQVDSLYGLPLLPLSDGSFATFEKNGTGERIYIARGDEHGLLKDSVPHQLVDREIPEAVFGKLCDLAESEKSNISFLSCSLLEKLFLKLLPAEWQLSSKVVWTPGHQGHPSLEWIRLLWSYLNSCCDDLLIFAKWPILPVGDNILLQLVPNSNVVKDDGLSENMLSLLLKVGCLFLRHGLTIEHPKLENFVQPPTAAGILNAFLALAGKPENIEGLFNDASEGELHELRSFVLQSKWFSEESMTDIHIEIIKHLPMFEAYKSRKLVSLCKPNQWLKPDGVRDDLLDDDFVRADSERERIILRRYLEIKEPSRVEFYKVYVLNRMSEFISHQGALTAILHDVKLLIEDDISIKSALSMTPFVLAANGSWQQPSRLYDPRIPQLRKVLHREAFFPSNEFSDPETLETLVKLGLKKNLGFTGFLDCARSVSMLHESRDSETVSYGRKLVALLDALAYKLSAEEGECNRNELQKTVLCQNSSDWNSDLAYLDSSERDKDQFIDDLEIDYFLANLIDDKTEEEFWSEMKAISWCPVCVHPPLQGLPWLNSNSQVASPSNVRPKSQMWVVSCTMHVLDGDCDSLYLQHKLGWMDCPDINVLTMQLTELSKSYEQLKLGSSIGPDFNDAVQNGILALYSKLQEYVGTDDFTLMKSALSGVSWVWIGDDFVPPHVLAFDSPVKFTPYLYVVPSEISDFRELLLGLGVRLSFDIWDYFHVLQRLQNNLKGFPLSTDQLSFVHRVLEAVADCFSDKPMFEASNSALLIPDSSGVLMCAGDLVYNDAPWIENNTLIEKHFVHPSISNDLANRLGVKSLRCLSLVDDDMTKDLPCMDFAKLNELLALYGNNDFLLFDLLEVADCCKAKKLHLIFDKREHPRNSLLQHNLGEFQGPALVAILEGVSLNREEVGSLQLLPPWRLRGDTVNYGLGLLSCYFVSNLLSIISGGYFYMFDPCGLALGAPSSHAPAAKMFSLAGTNLTERFCDQFKPMLIGEGMPWSSLDSTIIRMPLSSECLGNGLELGLKRVKQICDRFMEHASRTLIFLKSVLEVSLYTWDEGCAKPCQDYSVSVDLSSATMRNPFSEKKWRKFQLSRLFSSSNAAVKLHVIDVSLYQGSARVVDRWLVVLSLGSGQTRNMALDRRYLAYNLTPVAGVAAHISRDGCPGDLYPKSSVMSPLPLSGSIALPVTVLGCFLVRHNSGRSLFKYQKEVASEAQADAGDQLIEAWNKELMSCVRDSYIEMVVEMQKLRKDPLTSAIESNAGHAVSLSLKAYGDLIYSFWPRSTGLAMVNQPGDALVSTEVPKADWGCLIEEVIRTEVPKADWGCLIEEVIRPFYARVADLPLWQLYSGNLVKSGEGMFLSQPGNGVGGSLLPATVCGFVKEHYPVFSVPWELVTEIQAVGVTVREIKPKMVRDLLKMSSTSIVLRSVDTYVDVLEYCLSDIEFPGSSGFDRDDATLNSLNSSTMHRATSEASSSFASSSLPNLRSFHGSSAQSADSSGDALEMVTSLGKALFDFGRGVVEDIGRAGGPLIQRNAILDGIGANVDPKILSIAAELKGLPCPTATNHLTRFGVTELWFGNKDQQVLMMSLAAKFIHPKVLDRSFLFDILSRNAIQTLLRLKSFSLHLLASHMKLLFHENWVNHVMGSNMVPWFSWESTSSSGGEGGPSHEWLRLFWKCFGASSGDLSLFSDWPLIPAFLGRPILCRVKECHLVFIPPIKQTSSGNGIVDAGSTGSDMTGLSTNHTPESESESESVQSYIAAFEVAKNRYPWLLSLLNQCNVPIFDTAFMDCAVSCNCLPASSQSLGEVVASKLVAAKHAGYFPELASFSASDSDELVTFFAQDFLYNGSTYRAEELEVLRGLPIYKTVVGSYTRLHAQDHCMISSSSFLKPSDEHCLSYSTDSIECSLLRALGVPELHDQQILMRFGLPDFEGKPQSEQEDILIYLYANWQELQADSSLLEVLKETKFVRNADEFSLDRSRPKDLFDPGDALLTSVFSGERKKFPGERFSTDGWLRILRKIGLQTAAEADVILECAKRVEFLGSECMKSSGDFDDFGTNVSHSCDKVTVEIWALAGSVVEAVLSNFAVLYGNSFCNQLGKIACVPAELGFPNAGGKKVLTSYSEAIVSKDWPLAWSFSPIISRQNFVPPEYSWGGLQLRSPPAFSTVLKHLQVIGRNGGEDTLAHWPTSSGMMAVDEASCEVLKYLDKVWSSLSSSDRENLQRVAFLPAANGTRLVTANSLFVRLTINLSPFAFELPTLYLPFVKILKEVGLQDMLSVAAAKNLLIDLQKTCGYQRLNPNELRAVMEILFFLCDSTVEGNMVDWKNWTLDAIVPDDGCRLVHAKSCVYIDSYGSQYVKYIDTSRLRFVHGDLPERICIVLGIRKLSDVVIEELDKEDDLHTLEYIGSVSVAFIREKLLSRSFQGAVWTLVNSIANYIPARNTVPLETLRTLLESVAEKLQFVKILQTHFMLLPKSLDVTLVAKDSIIPDWENGSKHRTLYFMNRSRTSIFVAEPPTYVSVLDVVAIVVSQVLGSPTPLPIGTLFLCPEGSESAILNILKLSSDKRDIEPTSNKLVGKELLPPDALQVQLHPLRPFYRGELVAWRSQNGEKLKYGRVPEDVRPSAGQALYRFKVETAPGVVEPLLSSQVFSFKGISMGNEATSSATLPDDSHTVVNKRNANDVPESSGRGRTRSSQGGKELHRVSPAELVQAVHEMLSEAGISVDVEKQSLLKRTLTLQEQLKESQAALLLEQEKADVAAKEADTAKAAWLCRVCLTNEVDMTIVPCGHVLCRRCSSAVSRCPFCRLQVAKTIRIFRP >Potri.006G206900.1.v4.1 pep chromosome:Pop_tri_v4:6:21508659:21510000:1 gene:Potri.006G206900.v4.1 transcript:Potri.006G206900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G206900.v4.1 MTGTSSVASQKRLAGKVSIITGGASGIGASAVQLFHENGAKVVLADIQDTLGQALAQKLGEDVCYIHCDVSNEDEVSNLVDATVKKYGKLDIMYNNAGILGRPLGSILDAPKSDLDRLISVNLVGCFLGAKHAARIMVTQGQGCILFTASACTAIGGLATPAYAVTKHGIVGLAKNLAAELGQYGIRVNCVSPYGVATPMYEGFSADTAPMIEESLGQMGNLKGKIPKVEDMAKAALYLASDEANYVSGMNLVVDGGFSVVNPTMMKALYPAKL >Potri.011G092900.2.v4.1 pep chromosome:Pop_tri_v4:11:11984681:11988217:-1 gene:Potri.011G092900.v4.1 transcript:Potri.011G092900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092900.v4.1 MNLSDLNKVWEIKALKKPGEEEARRMLDKIAKQVQPIMRKHNWRVKLLSEFCPNNRSLLGLNVGGGVHVKLRLRRPNRDLDFFPFHQVLDTMLHELCHNVHGPHNANFYKLWDELRKECEELIFKGITGTGEGFDLPGRRLGGFSCQPALSSLRKTALAAAEKRAKLGSMLPSQPKRLGGDSTIMAALSPIQAAAMAAERRLQDEIWCGSLLAETDETSGDGETSSDIAENPVSMGEFTESSRLHNCRKRGCESNDRTACLSSNGHSVSDSNFVDLSKDASTSGSMLDHGTNLRKKICNSYKDPFPDTTTHIEGSFIDLTSDSIFGSTTNLDTRHVLEAPPLTSDSSFGSICNCDTAHTPEASSLWECGTCTLLNPQLAPICELCGAQKPKDASTKNKIWSCKFCTLENCLKLDRCLACGQWRYSNGPPVSTRAPNLGT >Potri.002G155300.1.v4.1 pep chromosome:Pop_tri_v4:2:11869590:11870648:-1 gene:Potri.002G155300.v4.1 transcript:Potri.002G155300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155300.v4.1 MDKGHKASRTIEITILSAENLSLDRKSVKKNAYVIARIDPINYGSTKADFEGGCNPSWNEKLTLDMPFQTRFITLEVKCKTSSGDRVVGTASLPISDISGDYTPESHLHFLSYRLRDSRGEKNGIINVSARVKVQVESMSPAVTKNPMRNGCSSSWQQPTLGVPAGHQKRYYGGVVTGVPVWS >Potri.008G210000.6.v4.1 pep chromosome:Pop_tri_v4:8:16102804:16107132:1 gene:Potri.008G210000.v4.1 transcript:Potri.008G210000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210000.v4.1 MRMEGEGEEAATEAPGQLKRALIDATAGAIAGGISRTVTSPLDVIKIRFQVQLEPTYSWDLVRRNMTAPSKYTGMLQATKDIFREEGLPGFWRGNVPALLMVMPYTAIQFAVLHKLKTFAAGSSKTEDHIHLSPYLSYISGALAGCTATVGSYPFDLLRTILASQGEPKVYPTMRSAFVDITRTRGFRGLYAGLSPTLVEIVPYAGLQFGTYDTFKRWTMGWNHDRSSTTSFISTDDSLSSFQLFVCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHHAYKNMFDALSRILQMEGWAGLYKGIVPSTVKAAPAGAVTFLAYEFTSDWLESIST >Potri.008G210000.7.v4.1 pep chromosome:Pop_tri_v4:8:16102804:16107119:1 gene:Potri.008G210000.v4.1 transcript:Potri.008G210000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210000.v4.1 MRMEGEGEEAATEAPGQLKRALIDATAGAIAGGISRTVTSPLDVIKIRFQVQLEPTYSWDLVRRNMTAPSKYTGMLQATKDIFREEGLPGFWRGNVPALLMVMPYTAIQFAVLHKLKTFAAGSSKTEDHIHLSPYLSYISGALAGCTATVGSYPFDLLRTILASQGEPKVYPTMRSAFVDITRTRGFRGLYAGLSPTLVEIVPYAGLQFGTYDTFKRWTMGWNHDRSSTTSFISTDDSLSSFQLFVCGLAAGTCAKLVCHPLDVVKKRFQIEGLQRHPRYGARVEHHAYKNMFDALSRILQMEGWAGLYKGIVPSTVKAAPAGAVTFLAYEFTSDWLESIST >Potri.014G112200.3.v4.1 pep chromosome:Pop_tri_v4:14:7561531:7563332:1 gene:Potri.014G112200.v4.1 transcript:Potri.014G112200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112200.v4.1 MRNRNRAPKNSVTQEKDSSSYYEGERLARLLKSIHKEIESTKTLEGSSLPEKFWFKQQFAIGVNEVTRVLERMSPVSESGSSLQRSHAINNHKVPSVHLQAILIASDCNPKWLTRHLPSLASSRKVPLIFVKDKRGGSLRLGELVKLKTAIAIGVKARGNAINEIVGILCGNETNLDTDKIE >Potri.014G112200.1.v4.1 pep chromosome:Pop_tri_v4:14:7561531:7563342:1 gene:Potri.014G112200.v4.1 transcript:Potri.014G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112200.v4.1 MRNRNRAPKNSVTQEKDSSSSYYEGERLARLLKSIHKEIESTKTLEGSSLPEKFWFKQQFAIGVNEVTRVLERMSPVSESGSSLQRSHAINNHKVPSVHLQAILIASDCNPKWLTRHLPSLASSRKVPLIFVKDKRGGSLRLGELVKLKTAIAIGVKARGNAINEIVGILCGNETNLDTDKIE >Potri.009G137500.1.v4.1 pep chromosome:Pop_tri_v4:9:11067557:11071882:1 gene:Potri.009G137500.v4.1 transcript:Potri.009G137500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137500.v4.1 MGCVASKLEEEEVVSICRERKRQLNLAVERRHALAEAHCRYYQSLYAVAAGIKLFVARHSSPASPFLISFPPPCPSPPPSEEKNAIRNPMFLQQGPSESTTHEEAIACESCGSSTISSSDCSDHEEEGNKEDVMKREEHAQQSYGYYYMQMPPPPQSPQRDFGWDFFNPFNTSTRPEIISGYRRSSDDDLRAVREEEGIPDLEGDREEEEKNVIVVEEKGKGDLGDSGGNVVKVVDGGGDGSQGEQKGLTVIDTPERGRELLDALKDIEDHFIRAYDSGKDVSRMLEANKVFLQSGLEEIKENSTKLIQAITWHRSTSSKPSSCKSLVASSSKGSSTWTEYKNDLFDDYGGMDSGSHSLTLGRLYAWEKKLYEEVKAGDSTRKIYEKKCSRLRNQDVRGDDELTMDKTRAAVKDLYARILVAIRSAESISKRIEKLRDEELQPQIVELLKGLTHTWKIMLESHETQNKILFEVKSFASPTHEKFCNDLHRLATLQLKAELLNWRACFIEYVAAQKAYVGALHGWLSMFIIPENEFCSRVRSSAAPYRAVGPPLLGTCHDWLSSMDKLPDKAVSFAIKSFLKDMKALWAQQGEEQLQKRKVDSLARELDRRTTSFQKVETRFLESELIEYKPEPDAENRREHLTEKKDQLDMFRKKLDVEKEKHHNYVKETQRITLSGFQIGFSRVFESLTEFSKASMKMYNDLANHSENTAGKVEKQSFLEGSPVEENGSG >Potri.009G137500.3.v4.1 pep chromosome:Pop_tri_v4:9:11069958:11071992:1 gene:Potri.009G137500.v4.1 transcript:Potri.009G137500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137500.v4.1 MNAENSTKLIQAITWHRSTSSKPSSCKSLVASSSKGSSTWTEYKNDLFDDYGGMDSGSHSLTLGRLYAWEKKLYEEVKAGDSTRKIYEKKCSRLRNQDVRGDDELTMDKTRAAVKDLYARILVAIRSAESISKRIEKLRDEELQPQIVELLKGLTHTWKIMLESHETQNKILFEVKSFASPTHEKFCNDLHRLATLQLKAELLNWRACFIEYVAAQKAYVGALHGWLSMFIIPENEFCSRVRSSAAPYRAVGPPLLGTCHDWLSSMDKLPDKAVSFAIKSFLKDMKALWAQQGEEQLQKRKVDSLARELDRRTTSFQKVETRFLESELIEYKPEPDAENRREHLTEKKDQLDMFRKKLDVEKEKHHNYVKETQRITLSGFQIGFSRVFESLTEFSKASMKMYNDLANHSENTAGKVEKQSFLEGSPVEENGSG >Potri.014G106700.1.v4.1 pep chromosome:Pop_tri_v4:14:7173668:7176440:-1 gene:Potri.014G106700.v4.1 transcript:Potri.014G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106700.v4.1 MATGKPVLLSDLVSIASYVPSNYIRPVSARPKFSEVLSSDGSIPLIDLQGLEGPNRSSIVGEIGQACQTHGFFQVKNHGIPNKVIDGTLRVSKEFFHLTERERLKNYSDDPTKTTRLSTSFNVKTETVSSWRDFLRLHCYPLEDYIHEWPSNPPSFREDVAEYCRNARELSLRLLEAISESLCLETDYISKALSNHGQHMAVNYYPPCPQPELTYGLPAHADPNAITILLQDDVPGLQVLQNDKWVAINPIPYTFIVNIGDQIQVISNDRYRSVLHRAVVDRDKERISIPTFYCPSHDAVIGPAPSLIDDDHPALYRNFAYSEYYQKFWNHGLATETCLGMFKN >Potri.006G193600.1.v4.1 pep chromosome:Pop_tri_v4:6:20070805:20073915:1 gene:Potri.006G193600.v4.1 transcript:Potri.006G193600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193600.v4.1 MGEATSDTMNLDLNLGPGPEAGSELEASNEAMNLDDWVDDPIMRIREAVRIGAREAREHRRWRQFQVPQQTQSLSVELNQLMANSGHVGTLQAGEGSVAAEERTNEVPKMCENNNGFLEDEVSQKKDDVEKASGNDGSFYDCNICLDLATDPVVTCCGHLFCWPCLYQWLHVHSDAKECPVCKGEVTMKNVTPIYGRGCTTREPEEDTNLEIPVRPHARRVESLRQTASRHLSSFPVEEMIRRLGRRFDLPRDLSPPQDSNGSRGAADRTQSILNRIMTYRGMRAEQNPIAPPDEMVDLTQTRPTSPVGGYTRRLHDIVDLIHSGTAGTETGPARRANGLLLRRSQAQSLRSSTHTAFSSALNSTERIAETYFRNHPTGRNQEQPQPVDDRDSFSSIAAVINSGSQMDTAVDSMVSLSTSSSRRRNDASRISDVDSGDSRAPRRRRLN >Potri.008G102400.1.v4.1 pep chromosome:Pop_tri_v4:8:6473957:6474151:1 gene:Potri.008G102400.v4.1 transcript:Potri.008G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102400.v4.1 MPSSSIFRWPEFVFSYFTTRLGLESFRWLDFSIDDVWWTFVTVLESVALAAMLCYFFVFCGCTL >Potri.015G031300.1.v4.1 pep chromosome:Pop_tri_v4:15:2390460:2392606:-1 gene:Potri.015G031300.v4.1 transcript:Potri.015G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031300.v4.1 MASFSHHFSSMHVVWMACFHVYVLCALAELQRFEQPTKEDGSISFLVVGDWGRKGNYNQSNVAFQMGRIGEELSIDFVVSTGDNFYEDGLRSINDPVFEKSFSKIYTAKSLQKQWYSVLGNHDYRGNVKAQLSPNLRNVDSRWICLRSFILNAEIVELFFIDTTPFVDKYFLKPKHHHYDWRGVMPRQHYLSNLLKDLESALQDSTANWKIVVGHHTIRSIGHHGETNELKKQLLPLLEANNVEMYINGHDHCLEHITSSTSQIQFLTSGGGSKAWKGDIDQLNIGGVKFYYDGQGFMSLELRQTHVKIVFYDVFGKVLYNLNMFKQLNPVI >Potri.002G175000.7.v4.1 pep chromosome:Pop_tri_v4:2:13499053:13501869:-1 gene:Potri.002G175000.v4.1 transcript:Potri.002G175000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175000.v4.1 MARPVFVGNFEHETRQSDLERLFSKYGRVERVDMKSGFAFVYFEDDRDAADAVRGLDNIPFGYDRRRLSVEWARGERGRHRDGPRSTANQRPTKTLFVINFDPIHTRVEDIKRHFERYGEVLHVRIRRNFAFVQFETQEDATKALECTHMTKILDRVVSVEYALRDDSERGDRYDSPRRGSYNGRSPSPVYRRRPSPDYVRARSPVYDKYNGPVYDRRQSPDYGRNRSPEYGRNRSPSPIRRSRT >Potri.002G175000.2.v4.1 pep chromosome:Pop_tri_v4:2:13498931:13501869:-1 gene:Potri.002G175000.v4.1 transcript:Potri.002G175000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175000.v4.1 MARPVFVGNFEHETRQSDLERLFSKYGRVERVDMKSGFAFVYFEDDRDAADAVRGLDNIPFGYDRRRLSVEWARGERGRHRDGPRSTANQRPTKTLFVINFDPIHTRVEDIKRHFERYGEVLHVRIRRNFAFVQFETQEDATKALECTHMTKILDRVVSVEYALRDDSERGDRYDSPRRGSYNGRSPSPVYRRRPSPDYVRARSPVYDKYNGPVYDRRQSPDYGRNRSPEYGRNRSPSPIRRSRT >Potri.002G017900.1.v4.1 pep chromosome:Pop_tri_v4:2:1086789:1088294:1 gene:Potri.002G017900.v4.1 transcript:Potri.002G017900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017900.v4.1 MGKLLLAFAIWLQFCNYFAINVNAFAPSGWTKGHATFYGGSDASGTMGGACGYGNLYSTGYGTRTAALSTALFNDGASCGECYRIMCDFQTDSRWCIKGRSVTITATNFCPPNFALPNNNGGWCNPPLQHFDMAQPAWEKIGIYRGGIVPVLFQKVPCKKHGGVRFTINGRNYFELVLITNVAGAGSIQSVSIKGSKTGWLAMSRNWGVNWQSNAYLNGQSLSFKVTTTDGQTRFFTDIVPANWGFGQTFQSSVQF >Potri.008G032200.1.v4.1 pep chromosome:Pop_tri_v4:8:1726423:1730021:1 gene:Potri.008G032200.v4.1 transcript:Potri.008G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032200.v4.1 MAPLMHIKYVDLCNSTKVTSSSKRGRNIYMAIFGNQILQLFFVAIPILFVGIANSEEIFQEWHVTIDRTISPVSNEQPVILINGKFPGPLINATTNDMIHINVFNDMDEPVLFTWNGIQQRLNSWQDGVGGTNCPIVPGSNWTYAFQVKDQIGSFFYFPSINNQKAGGGFGPIRVNNRNVISVPFPRPEDEFDLLIGDWYPENYKNLVYMLIDNFTIPLWILMNGKGPYLNTSTKVYESFTVAQGKTYRFRISNVGNQWSFNFRIQNHHMLVVETEGSYTNQIMVDSLDVHVGQSYSVLVTADQKAADYYIVATPKMVNTTKYGNLVGVGVLHYLNSSTMATGPLPSGPDPYDLQFSINQAKSIKWNMTTGAARPNPQGTFNVTNVTLSQTFILTGSRARDTQGFPYYTVNDVWYTETDTPLKLADLMTNGSGVYELDKFSTNSSNGNLRHGVFVASGNHKGWLEIVLKNDLDVIDSWHLDGYGFYVVGFGDGNWTLESRNNYNLYDPVVRSTIQVYPKRWTAVYAYLDNPGMWNLRSQSLKNWYLGQQLYLRVYDADPNPAKERPPPDNLLLCGAFGPLAPPPPPLPPPPSPPAPANPSPSQASSTQITWYYYITVICMVSMLFLGQQ >Potri.008G032200.3.v4.1 pep chromosome:Pop_tri_v4:8:1726571:1730021:1 gene:Potri.008G032200.v4.1 transcript:Potri.008G032200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032200.v4.1 MAIFGNQILQLFFVAIPILFVGIANSEEIFQEWHVTIDRTISPVSNEQPVILINGKFPGPLINATTNDMIHINVFNDMDEPVLFTWNGIQQRLNSWQDGVGGTNCPIVPGSNWTYAFQVKDQIGSFFYFPSINNQKAGGGFGPIRVNNRNVISVPFPRPEDEFDLLIGDWYPENYKNLVYMLIDNFTIPLWILMNGKGPYLNTSTKVYESFTVAQGKTYRFRISNVGNQWSFNFRIQNHHMLVVETEGSYTNQIMVDSLDVHVGQSYSVLVTADQKAADYYIVATPKMVNTTKYGNLVGVGVLHYLNSSTMATGPLPSGPDPYDLQFSINQAKSIKWNMTTGAARPNPQGTFNVTNVTLSQTFILTGSRARDTQGFPYYTVNDVWYTETDTPLKLADLMTNGSGVYELDKFSTNSSNGNLRHGVFVASGNHKGWLEIVLKNDLDVIDSWHLDGYGFYVVGFGDGNWTLESRNNYNLYDPVVRSTIQVYPKRWTAVYAYLDNPGMWNLRSQSLKNWYLGQQLYLRVYDADPNPAKERPPPDNLLLCGAFGPLAPPPPPLPPPPSPPAPANPSPSQASSTQITWYYYITVICMVSMLFLGQQ >Potri.008G032200.2.v4.1 pep chromosome:Pop_tri_v4:8:1726503:1730021:1 gene:Potri.008G032200.v4.1 transcript:Potri.008G032200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032200.v4.1 MHIKYVDLCNSTNLIRVTSSSKRGRNIYMAIFGNQILQLFFVAIPILFVGIANSEEIFQEWHVTIDRTISPVSNEQPVILINGKFPGPLINATTNDMIHINVFNDMDEPVLFTWNGIQQRLNSWQDGVGGTNCPIVPGSNWTYAFQVKDQIGSFFYFPSINNQKAGGGFGPIRVNNRNVISVPFPRPEDEFDLLIGDWYPENYKNLVYMLIDNFTIPLWILMNGKGPYLNTSTKVYESFTVAQGKTYRFRISNVGNQWSFNFRIQNHHMLVVETEGSYTNQIMVDSLDVHVGQSYSVLVTADQKAADYYIVATPKMVNTTKYGNLVGVGVLHYLNSSTMATGPLPSGPDPYDLQFSINQAKSIKWNMTTGAARPNPQGTFNVTNVTLSQTFILTGSRARDTQGFPYYTVNDVWYTETDTPLKLADLMTNGSGVYELDKFSTNSSNGNLRHGVFVASGNHKGWLEIVLKNDLDVIDSWHLDGYGFYVVGFGDGNWTLESRNNYNLYDPVVRSTIQVYPKRWTAVYAYLDNPGMWNLRSQSLKNWYLGQQLYLRVYDADPNPAKERPPPDNLLLCGAFGPLAPPPPPLPPPPSPPAPANPSPSQASSTQITWYYYITVICMVSMLFLGQQ >Potri.010G213200.1.v4.1 pep chromosome:Pop_tri_v4:10:20142181:20145806:1 gene:Potri.010G213200.v4.1 transcript:Potri.010G213200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213200.v4.1 MVNIYSCELLILIGSILCLVCVSLEYVPEDNYLIDCGSSTNSSVGDRVFVADQSYSDVLSTPHSISANTSSDSTSSTYDSALYQTAKIFNESSHYTFPIKKPGRHWIRLHFFPFVYRNYNSSMAKFSVSAQNFTLIREYRLESPPIVKEYSVNVTSGSLVLTFTPSVNSFAFINALEVFSLPDELIPAGARTISSLQGNYKNLWKQALETVERVNMGNQTVFPQNDTLWRLWVSDNEYLIHNNLVTFVSNVTAVNFTGGGPTENIAPSLVYGTATRLNSDSDPNINANVTWLFDVDPGFEYLVRFHFCDILSNPHPKLYFNVYIGSWLVYQNLDLLKLTFSLGAPYFMDVITRASDTRLLNVSVGPSNVGVPYPNAILNGLEIMKISNSEDSLDVLDSISSRSSEVKVIIVVGLTVGLFLVVVLAFVLFLLCRRRKLDHADPLKSEGHFPTSGGGNNRYFNGANIFSTSKFGYRFPFMVIQEATDNFTESLVLGVGGFGKVYRGVLRDETMVAVKRGTSQSQGIAEFRTEIEMLSQFRHRHLVSLIGYCDERDEMIIIYEFMENGTLKDHLYGSNHPSLSWRQRLEICIGAAKGLHYLHTGSTRAIIHRDVKSANILLDENFMAKVADFGLSKTGPEIDQSHVSTAVKGSFGYLDPEYLIRQQLTEKSDVYSFGVVMFEVVCGRPVIDPSVSRERVNLVDWALKSIRGGKLEEIVDPRLEGQIKPDSLKKFVEIAEKCLAECGVDRPSMGDVLWNLECSLQLQGEERSSNNCQISTQFNRGNNFETRVSAREFSLGGGDDLDGVSMSKVFAQMVREEMR >Potri.006G227500.1.v4.1 pep chromosome:Pop_tri_v4:6:23165323:23168861:-1 gene:Potri.006G227500.v4.1 transcript:Potri.006G227500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227500.v4.1 MEILAVFLVGLCCVLQSSGIEVEALENNGCQNFQPHTVMMQEKQSYRTSFHFQPPRNWLNDPNGPMWYKGVYHLFYQYNPYGALFGDFMIWAHSVSYDLINWIHLNHALCPTEPYDINSCWSGSATILPGKGPVILYTGIDANHCQVQNMAMPKNLSDPFLEEWIKFAQNPIMTPPDGVEGNNFRDPTTAWLSHDGKWSVIIGSWNNNQGMAILYRSEDFFNWTKYQDPLYSTERTGMWECPDFYPVSVNSTDGVDTSVLNAGVKHVMKASFNSHDYYMIGTYVPEIEKYIPDNDFTGTGMDLRYDHGKFYASKTFFDSVKNRRILWGWVNESDSIEDDMDKGWSGLQSIPRHIWLDRSGKQLVQWPIEEINKLHGKKVSFLDKKIDSESIFEVEGITAAQADVEVVFELPELQETEFLNLTAVDPQLLCSDANASIKGRLGPFGLLTLATKDLTEQTAIFFRIFKGLKGYVVLMCSDQSRSALRDEVDKTTYGAFIDIDPQRENISLRSLIDHSIIESFGGEGRACITNRVYPKLAIQEEARLFIFNNGTLSVTISSLNAWSMNKAQINHKENFI >Potri.006G227500.5.v4.1 pep chromosome:Pop_tri_v4:6:23165294:23168940:-1 gene:Potri.006G227500.v4.1 transcript:Potri.006G227500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227500.v4.1 MEILAVFLVGLCCVLQSSGIEVEALENNGCQNFQPHTVMMQEKQSYRTSFHFQPPRNWLNDPNGPMWYKGVYHLFYQYNPYGALFGDFMIWAHSVSYDLINWIHLNHALCPTEPYDINSCWSGSATILPGKGPVILYTGIDANHCQVQNMAMPKNLSDPFLEEWIKFAQNPIMTPPDGVEGNNFRDPTTAWLSHDGKWSVIIGSWNNNQGMAILYRSEDFFNWTKYQDPLYSTERTGMWECPDFYPVSVNSTDGVDTSVLNAGVKHVMKASFNSHDYYMIGTYVPEIEKYIPDNDFTGTGMDLRYDHGKFYASKTFFDSVKNRRILWGWVNESDSIEDDMDKGWSGLQSIPRHIWLDRSGKQLVQWPIEEINKLHGKKVSFLDKKIDSESIFEVEGITAAQADVEVVFELPELQETEFLNLTAVDPQLLCSDANASIKGRLGPFGLLTLATKDLTEQTAIFFRIFKGLKGYVVLMCSDQSRSALRDEVDKTTYGAFIDIDPQRENISLRSLIDHSIIESFGGEGRACITNRVYPKLAIQEEARLFIFNNGTLSVTISSLNAWSMNKAQINHKENFI >Potri.006G197900.2.v4.1 pep chromosome:Pop_tri_v4:6:20566018:20570692:1 gene:Potri.006G197900.v4.1 transcript:Potri.006G197900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G197900.v4.1 MRPNIVTEAGLQTRVGQWWESIPFLTSAVVVVCGVIYLVCLLIGYDSFYEICFLPTAVVSHFQVYRIYTSIFFHGSLLHVLFNMLALVPLGSELERIMGSIRLAYLIILLATTNAIFHLFIALLVAHNPFHPYQYLLDECAIGFSGILFSMIVIETSLSGVQSRSVFGLFNVPAKWYAFILLVAFQLLMTNVSLLGHLCGILSGFAYTYGLFNFLMPGASSFSAIEASSWLSSCVRRPKFILCTGGSPTSYIPTHSGQNTTSSGLLSGNIWRNLSSWMPQRETSAQAGQDYRFPGSGRALGSGQSETVPAVNSDSNLQARLLDNSNPNRSSHLGVTATREPPLDGRRSVVDNAVGPTPVHPALHQDSAPSEEQIQKLVSMGFDKTQVEVAISAADGDLNVAVEILMSQQG >Potri.002G161801.1.v4.1 pep chromosome:Pop_tri_v4:2:12385240:12385741:1 gene:Potri.002G161801.v4.1 transcript:Potri.002G161801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161801.v4.1 MVSLGHSLLWLYLQCGRCHVLISQFLHMPAMHLNKHVGSLMAILQPHKLKVTLLLLDIPLLPIHSLMHILHLAIQDDLVELVLQ >Potri.013G131600.2.v4.1 pep chromosome:Pop_tri_v4:13:13773599:13777686:-1 gene:Potri.013G131600.v4.1 transcript:Potri.013G131600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131600.v4.1 MASGSSGRTNSGSKGFDFGSDDILCSYEDYGTNNQDSSNLSHSDTVIGSNSSKDFHKSRMTRSSMFPATSYSQPEDSFNQDVVSIVEKSMKKQTDNIMRFLEGISSRLSQLELCCYNLDKSIGEMRSDFVRDNEEADLKLKSLEKHIQEVHRSVQILRDKQELAETQKELYKLQLAQKEPSSSSHSQSSEEKVAPAASDPKTTDNTSEIRNQQLALALPHQVAPQQQAPPVPPLSQTPPQNVAQQQSYYLSPAPLPTPAAPTQHPQNQYLTSDPQYRTPQMQDVSRVAPAQPQVNQTPQGQQFPQYQQQWPQQLHLQLQPPQQPSMQPQIKPPSNTVYTPYPPAGQPTNPSPPETLPNSMPMQVSYSGVPQPMSSCADTVPYGYGAGRAAVPQQPPPQQIKGNFGAQPSDVYATAGSHPGLPPVSAYMIYDGETGRTHHTSQQPHFPQGVYPPQPAAGAGMLPRHSSPSHFVRNNFYNDLIEKLVNMGFRGDHVVSVIQRMEEGGEPVDFNSVLDRLKVHSSGGSQRGGWSG >Potri.013G131600.3.v4.1 pep chromosome:Pop_tri_v4:13:13773735:13777651:-1 gene:Potri.013G131600.v4.1 transcript:Potri.013G131600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131600.v4.1 MTRSSMFPATSYSQPEDSFNQDVVSIVEKSMKKQTDNIMRFLEGISSRLSQLELCCYNLDKSIGEMRSDFVRDNEEADLKLKSLEKHIQEVHRSVQILRDKQELAETQKELYKLQLAQKEPSSSSHSQSSEEKVAPAASDPKTTDNTSEIRNQQLALALPHQVAPQQQAPPVPPLSQTPPQNVAQQQSYYLSPAPLPTPAAPTQHPQNQYLTSDPQYRTPQMQDVSRVAPAQPQVNQTPQGQQFPQYQQQWPQQLHLQLQPPQQPSMQPQIKPPSNTVYTPYPPAGQPTNPSPPETLPNSMPMQVSYSGVPQPMSSCADTVPYGYGAGRAAVPQQPPPQQIKGNFGAQPSDVYATAGSHPGLPPVSAYMIYDGETGRTHHTSQQPHFPQGVYPPQPAAGAGMLPRHSSPSHFVRNNFYNDLIEKLVNMGFRGDHVVSVIQRMEEGGEPVDFNSVLDRLKVHSSGGSQRGGWSG >Potri.013G131600.4.v4.1 pep chromosome:Pop_tri_v4:13:13773620:13777650:-1 gene:Potri.013G131600.v4.1 transcript:Potri.013G131600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131600.v4.1 MASGSSGRTNSGSKGFDFGSDDILCSYEDYGTNNQDSSNLSHSDTVIGSNSSKQDFHKSRMTRSSMFPATSYSQPEDSFNQDVVSIVEKSMKKQTDNIMRFLEGISSRLSQLELCCYNLDKSIGEMRSDFVRDNEEADLKLKSLEKHIQEVHRSVQILRDKQELAETQKELYKLQLAQKEPSSSSHSQSSEEKVAPAASDPKTTDNTSEIRNQQLALALPHQVAPQQQAPPVPPLSQTPPQNVAQQQSYYLSPAPLPTPAAPTQHPQNQYLTSDPQYRTPQMQDVSRVAPAQPQVNQTPQGQQFPQYQQQWPQQLHLQLQPPQQPSMQPQIKPPSNTVYTPYPPAGQPTNPSPPETLPNSMPMQVSYSGVPQPMSSCADTVPYGYGAGRAAVPQQPPPQQIKGNFGAQPSDVYATAGSHPGLPPVSAYMIYDGETGRTHHTSQQPHFPQGVYPPQPAAGAGMLPRHSSPSHFVRNNFYNDLIEKLVNMGFRGDHVVSVIQRMEEGGEPVDFNSVLDRLKVHSSGGSQRGGWSG >Potri.010G065200.1.v4.1 pep chromosome:Pop_tri_v4:10:9387837:9390877:-1 gene:Potri.010G065200.v4.1 transcript:Potri.010G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065200.v4.1 MMEGCLGLLGGGGSSGASTNKSTLSKVEVIEAEASSYPVEAELELGLGLSLGSGGGGKGKANAWGECGRILTAKDFPSVVSQPQRPNNNNSMPSTCVVGAVSGTKRAADSVSHEGGSPTAGSQVVGWPPIRAYRMNSLVSQAKAARAEEEKGIGEKDKSKENLKKKICNGNKTNATGNEKGHLGFVKVNMDGVPIGRKVDLNAHACYETLAQALEEMFFRSTTTINSIGGQKPLSKFSKLLDGSSEFVLTYEDKEGDWMLVGDVPWGMFLTSVKRLRIMRTSEANGLAPRLQDRNEKQRSKPV >Potri.003G198150.1.v4.1 pep chromosome:Pop_tri_v4:3:20001934:20002870:1 gene:Potri.003G198150.v4.1 transcript:Potri.003G198150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G198150.v4.1 MPQHCPVLSTVLWLVCFLASTSSLNTRNKTELSELVSYRWTYQTILIMFANFCQTNKENSETEWINDLIAV >Potri.011G150300.1.v4.1 pep chromosome:Pop_tri_v4:11:17750980:17753647:1 gene:Potri.011G150300.v4.1 transcript:Potri.011G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150300.v4.1 MSATMLQVAEGLLALPGAKHLPHTYKDPISNLPTLPEAQVSDSSIPIIDLEALHGPRRSDIVKQLGQACQHRGFFAVKNHGIPRTAVSNIFDTTREFFHLPKEERMKFYTPDPNSDIRLMNAYKDEVANVFVARESLKFHCHPVENYVNKWPTNPPSFRKYAAEYLTNVRRVEITLLGAISESLGLERDYIEKKLGGHYASLNYYGACEQSDLELTYGVRAHTDPTIITILLQDDVPGLQVLSEDKWMDVNPIPGTVVVHVGDLLQAISNHKFKSLLHQAMVNCEKERMSIASYCYPSSDAMIGPPKKLIDNDHPAVYKDFTFKEFSEQMWKVITFTDTRLDSFKCSTA >Potri.007G137700.4.v4.1 pep chromosome:Pop_tri_v4:7:14895074:14897512:-1 gene:Potri.007G137700.v4.1 transcript:Potri.007G137700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137700.v4.1 MDTTPPSHRSNSNTQAKSASRLSRITYSIDPESRPPQLSLDLIPSSPKETPSTPSSLSLKSSTNFLPLRELLLLSPSPSRKSRTRLADRDEMPEEGGLEQNGSRRRCKTRGSQTGSLGCASPRSNRRLRRRLEVESREERDLIGLVDEVGKVRKRRHSGRSKKEKEKLSLVHSFPSSNSTTIDEGDGSNLDRIGMVVYDLIMWKDVAKSSLWFGLGCLCFLSSCFAKGMNFSILSAISQLGLLVLGASFCSNSICQRNNVEKTRKFKLTEEDVLRVGTLILPAANLAISKTRELFSGEPSMTLKVIPFLLLGAEYGHLVTLRRLCAIGFFISFTIPKLYACYSSQIKQKAEQMKNRMFEAWGACSHKKIVAASAVTAFWNLSSVKTRIFTAFISLVILRCFRQHLMPKQEDGETLPTQVEGEAEREQEKQQALVVAEGGEAEREQVQQQALVVAEGVTSQKQ >Potri.007G137700.1.v4.1 pep chromosome:Pop_tri_v4:7:14894929:14897616:-1 gene:Potri.007G137700.v4.1 transcript:Potri.007G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137700.v4.1 MDTTPPSHRSNSNTQAKSASRLSRITYSIDPESRPPQLSLDLIPSSPKETPSTPSSLSLKSSTNFLPLRELLLLSPSPSRKSRTRLADRDEMPEEGGLEQNGSRRRCKTRGSQTGSLGCASPRSNRRLRRRLEVESREERDLIGLVDEVGKVRKRRHSGRSKKEKEKLSLVHSFPSSNSTTKVDEGDGSNLDRIGMVVYDLIMWKDVAKSSLWFGLGCLCFLSSCFAKGMNFSILSAISQLGLLVLGASFCSNSICQRNNVEKTRKFKLTEEDVLRVGTLILPAANLAISKTRELFSGEPSMTLKVIPFLLLGAEYGHLVTLRRLCAIGFFISFTIPKLYACYSSQIKQKAEQMKNRMFEAWGACSHKKIVAASAVTAFWNLSSVKTRIFTAFISLVILRCFRQHLMPKQEDGETLPTQVEGEAEREQEKQQALVVAEGGEAEREQVQQQALVVAEGVTSQKQ >Potri.009G148600.1.v4.1 pep chromosome:Pop_tri_v4:9:11722119:11730623:1 gene:Potri.009G148600.v4.1 transcript:Potri.009G148600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148600.v4.1 MSNSDPKARPIPGKRPPAPESAAMPPSSWAKKTGFRPKFSGETNASDSGQISLPPRPKEQRDAQPDLEAGRVKATPPQPLPVPPPPAVNGADTVVALPSENKDQTVVKRRRDSDGGSGGAKKDGSGHGVNGAGTNGPPSGPRRAAARNEEVIDVEDDGFAGGRHTHMKYELRDTPGLVPIGLYGFQHYLSMLGSLILIPLVVVPAMGGTYEDTSTVVSTVLFVSGVTTLLHTSFGSRLPLIQGPSFVYLAPALAIINSPEFQGLNGNNFKHIMKELQGAIIIASAFQTILGYSGLMSVLLRLINPVVVAPTIAAVGLSFYSYGFPRVGTCLEIGVVQILLVIMFSLYLRKISVFGHRIFLIYAVPLGLAITWAAAFLLTEAGVYSYKGCDANVPASNIISDHCRKHVSRIKHCRVDTSHALKSSPWFRFPYPLQWGTPVFEWKMALVMCAVSIISSVDSVGSYHASSLLAASRPPTPGVVSRGIGLEGLCSVLAGLWGTGTGSTTITENVHTIAVTKMGSRRAVELGACALILLSLIGKVGGFIASIPEVMVAALLCFMWAMLAALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPSYFQQYGISPNTNLSVPSYLQPYIVATHGPFRSKYGGLNYFLNTVLSLNMVIAFLVAVILDNTVPGSKQERGVYVWSETEVARREPAITKDYELPFRVGRIFRWVKWVGL >Potri.002G176400.1.v4.1 pep chromosome:Pop_tri_v4:2:13705201:13706467:1 gene:Potri.002G176400.v4.1 transcript:Potri.002G176400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176400.v4.1 MIMGGGEKSLKNFHLHLPNLHHHHHKKQARDVPKGCLAIKVGQGEEQQRFVVPVIYFNHPLFIQLLKEAEEEYGFDQKGTITIPCHVEEFMYVQGMIDKEKPIHHHHVGCFRV >Potri.006G080100.1.v4.1 pep chromosome:Pop_tri_v4:6:5960423:5965561:-1 gene:Potri.006G080100.v4.1 transcript:Potri.006G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080100.v4.1 MTTSIVLCNNLSFNTNHNQTPLRNRNLFAKSSSFSLQLSNRRRFCGVFPKAKKNGKNHSKRKRNWWRRFFFDEDGNWFGLKDDDLLDAEADFSENSSDEELSEEEKFEAWKRRAEAIVELREAQEDMLNEDSRRWEDWIVDYGDNGHDDASNGSWWSQEFDGNGGIGNGGSVEDARSDPTDLVPEKGFVESVRDLVLGREEEDLLYEDRVFRYASLNSAKFLAVLIIIPWALDFAVHDYVLMPFLDRYVKTVPLAAQMLDVRKSQKLEMIKELKVEKARLLLEVEIGKSPPLSDEEEWWELRHKALELRDDWRLENRRSFANIWSDMVFGISIFILLYFNQSKVALLKFTGYKILNNVTDIGKAFLIILITDIFLGYHSESGWQTLLDVIVEHYGLEVDQSAITIFICLVPVVIDACVKLWLFKYLPRLSPRVANIFREMKRH >Potri.001G369600.4.v4.1 pep chromosome:Pop_tri_v4:1:38735789:38741824:-1 gene:Potri.001G369600.v4.1 transcript:Potri.001G369600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369600.v4.1 MAPAAKINKKKGSETQRPPPKKKQKNDLLTEKRRPKKDDVLGDSDTDNPDEELEHQLEFSEDDEQEESASGSDVLSDGDDDPLADDFLQGGSDDEEKGSGSDSDSDSDEDSDEEDIEKKSRAIDEQRAREQKEAEEEMKLNIAEESDEFRLPTQKELEEEALRPPDIQSLQRRINEIVRVLSNLKDLKQEGSSRKDYVHQLKIDLCKYYGYNEFLIGALVEMFPVNELMELIEAFEKPRPTCLRTNTLKTRRRDLANVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGHYMLQSASSFMPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGIIYANEIKAQRLRSLTANLHRMGVTNTIVCNYDGRELPKTLGLNTVDRVLLDAPCSGTGVISKDESVKISKTSEDIEQCAHLQKQLLLAAIDMVDANSKSGGYIVYSTCSIMVAENEAAVDYALKKRNVKLVPCGLDFGRPGFVRFRQHRFHPSLEKTRRFYPHVHNMDGFFVAKLKKLSNSIPSAGTEPSESVEQAYGLNESDREKSTSTEKGKSLTNGFPNDGTPENPSSAKRGMKRKIPSREEISKSREEKRAAYREANRNAAKNSRK >Potri.001G369600.5.v4.1 pep chromosome:Pop_tri_v4:1:38735756:38741843:-1 gene:Potri.001G369600.v4.1 transcript:Potri.001G369600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369600.v4.1 MAPAAKINKKKGSETQRPPPKKKQKNDLLTEKRRPKKDDVLGDSDTDNPDEELEHQLEFSEDDEQEESASGSDVLSDGDDDPLADDFLQGGSDDEEKGSGSDSDSDSDEDSDEEDIEKKSRAIDEQRAREQKEAEEEMKLNIAEESDEFRLPTQKELEEEALRPPDIQSLQRRINEIVRVLSNLKDLKQEGSSRKDYVHQLKIDLCKYYGYNEFLIGALVEMFPVNELMELIEAFEKPRPTCLRTNTLKTRRRDLANVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGHYMLQSASSFMPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGIIYANEIKAQRLRSLTANLHRMGVTNTIVCNYDGRELPKTLGLNTVDRVLLDAPCSGTGVISKDESVKISKTSEDIEQCAHLQKQLLLAAIDMVDANSKSGGYIVYSTCSIMVAENEAAVDYALKKRNVKLVPCGLDFGRPGFVRFRQHRFHPSLEKTRRFYPHVHNMDGFFVAKLKKLSNSIPSAGTEPSESVEQAYGLNESDREKSTSTEKGKSLTNGFPNDGTPENPSSAKRGMKRKIPSREEISKSREEKRAAYREANRNAAKNSRK >Potri.001G369600.3.v4.1 pep chromosome:Pop_tri_v4:1:38735789:38741844:-1 gene:Potri.001G369600.v4.1 transcript:Potri.001G369600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369600.v4.1 MAPAAKINKKKGSETQRPPPKKKQKNDLLTEKRRPKKDDVLGDSDTDNPDEELEHQLEFSEDDEQEESASGSDVLSDGDDDPLADDFLQGGSDDEEKGSGSDSDSDSDEDSDEEDIEKKSRAIDEQRAREQKEAEEEMKLNIAEESDEFRLPTQKELEEEALRPPDIQSLQRRINEIVRVLSNLKDLKQEGSSRKDYVHQLKIDLCKYYGYNEFLIGALVEMFPVNELMELIEAFEKPRPTCLRTNTLKTRRRDLANVLLNRGVNLDPLSKWSKVGLVVYDSQVPIGATPEYMAGHYMLQSASSFMPVMALAPQEKERIVDMAAAPGGKTTYIAALMKNSGIIYANEIKAQRLRSLTANLHRMGVTNTIVCNYDGRELPKTLGLNTVDRVLLDAPCSGTGVISKDESVKISKTSEDIEQCAHLQKQLLLAAIDMVDANSKSGGYIVYSTCSIMVAENEAAVDYALKKRNVKLVPCGLDFGRPGFVRFRQHRFHPSLEKTRRFYPHVHNMDGFFVAKLKKLSNSIPSAGTEPSESVEQAYGLNESDREKSTSTEKGKSLTNGFPNDGTPENPSSAKRGMKRKIPSREEISNAGRRKEQLIERLIGMLQRTVGSED >Potri.014G046300.7.v4.1 pep chromosome:Pop_tri_v4:14:2957619:2965247:-1 gene:Potri.014G046300.v4.1 transcript:Potri.014G046300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046300.v4.1 MVENSIALDAINKEAVDLENIPLEEVFDNLKCTREGLTANEVRERLDLFGYNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIGLAHGGNKSADYHDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEEASVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIAAGMVIEIIVIYGIQERGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDMVVLMAARASRLENQDAIDCAIVSMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDAAGKMHRVSKGAPEQILHLAHNKTEIERRVHSIIDKFAERGLRSLAVARQGVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKDDAVGGLPIDELIEKADGFAGVFPEHKYEIVRRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVLGSYLALMSVVFFWLAYETNFFPEHFNVRDFNQHHFNMTDEKIANQLKEQLASAVYLQVSTISQALIFVTRSRSWSFRERPGLLLVSAFIIAQLIATVISATATWKFAGIRSIGWGWTAVIWVYNILTYFLLDPIKFAVRYALSGRAWNNIIDQRTAFTNKKDFGKEARSAAWAAEQRTLHGLQSAETKMFSERNTFRDINLMAEEAKRRAEIARVRELHTLKGKVESFAKLRGLDIDSMNQHYTV >Potri.014G046300.6.v4.1 pep chromosome:Pop_tri_v4:14:2957634:2965269:-1 gene:Potri.014G046300.v4.1 transcript:Potri.014G046300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046300.v4.1 MVENSIALDAINKEAVDLENIPLEEVFDNLKCTREGLTANEVRERLDLFGYNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIGLAHGGNKSADYHDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEEASVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIAAGMVIEIIVIYGIQERGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDMVVLMAARASRLENQDAIDCAIVSMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDAAGKMHRVSKGAPEQILHLAHNKTEIERRVHSIIDKFAERGLRSLAVARQGVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKDDAVGGLPIDELIEKADGFAGVFPEHKYEIVRRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVLGSYLALMSVVFFWLAYETNFFPEHFNVRDFNQHHFNMTDEKIANQLKEQLASAVYLQVSTISQALIFVTRSRSWSFRERPGLLLVSAFIIAQLIATVISATATWKFAGIRSIGWGWTAVIWVYNILTYFLLDPIKFAVRYALSGRAWNNIIDQRTAFTNKKDFGKEARSAAWAAEQRTLHGLQSAETKMFSERNTFRDINLMAEEAKRRAEIARVRELHTLKGKVESFAKLRGLDIDSMNQHYTV >Potri.014G046300.8.v4.1 pep chromosome:Pop_tri_v4:14:2957611:2965158:-1 gene:Potri.014G046300.v4.1 transcript:Potri.014G046300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046300.v4.1 MVENSIALDAINKEAVDLENIPLEEVFDNLKCTREGLTANEVRERLDLFGYNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIGLAHGGNKSADYHDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEEASVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIAAGMVIEIIVIYGIQERGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDMVVLMAARASRLENQDAIDCAIVSMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDAAGKMHRVSKGAPEQILHLAHNKTEIERRVHSIIDKFAERGLRSLAVARQGVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKDDAVGGLPIDELIEKADGFAGVFPEHKYEIVRRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVLGSYLALMSVVFFWLAYETNFFPEHFNVRDFNQHHFNMTDEKIANQLKEQLASAVYLQVSTISQALIFVTRSRSWSFRERPGLLLVSAFIIAQLIATVISATATWKFAGIRSIGWGWTAVIWVYNILTYFLLDPIKFAVRYALSGRAWNNIIDQRTAFTNKKDFGKEARSAAWAAEQRTLHGLQSAETKMFSERNTFRDINLMAEEAKRRAEIARVRELHTLKGKVESFAKLRGLDIDSMNQHYTV >Potri.014G046300.4.v4.1 pep chromosome:Pop_tri_v4:14:2957634:2965358:-1 gene:Potri.014G046300.v4.1 transcript:Potri.014G046300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046300.v4.1 MVENSIALDAINKEAVDLENIPLEEVFDNLKCTREGLTANEVRERLDLFGYNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIGLAHGGNKSADYHDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEEASVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIAAGMVIEIIVIYGIQERGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDMVVLMAARASRLENQDAIDCAIVSMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDAAGKMHRVSKGAPEQILHLAHNKTEIERRVHSIIDKFAERGLRSLAVARQGVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKDDAVGGLPIDELIEKADGFAGVFPEHKYEIVRRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVLGSYLALMSVVFFWLAYETNFFPEHFNVRDFNQHHFNMTDEKIANQLKEQLASAVYLQVSTISQALIFVTRSRSWSFRERPGLLLVSAFIIAQLIATVISATATWKFAGIRSIGWGWTAVIWVYNILTYFLLDPIKFAVRYALSGRAWNNIIDQRTAFTNKKDFGKEARSAAWAAEQRTLHGLQSAETKMFSERNTFRDINLMAEEAKRRAEIARVRELHTLKGKVESFAKLRGLDIDSMNQHYTV >Potri.014G046300.5.v4.1 pep chromosome:Pop_tri_v4:14:2957611:2965334:-1 gene:Potri.014G046300.v4.1 transcript:Potri.014G046300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046300.v4.1 MVENSIALDAINKEAVDLENIPLEEVFDNLKCTREGLTANEVRERLDLFGYNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIGLAHGGNKSADYHDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEEASVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIAAGMVIEIIVIYGIQERGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDMVVLMAARASRLENQDAIDCAIVSMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDAAGKMHRVSKGAPEQILHLAHNKTEIERRVHSIIDKFAERGLRSLAVARQGVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKDDAVGGLPIDELIEKADGFAGVFPEHKYEIVRRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVLGSYLALMSVVFFWLAYETNFFPEHFNVRDFNQHHFNMTDEKIANQLKEQLASAVYLQVSTISQALIFVTRSRSWSFRERPGLLLVSAFIIAQLIATVISATATWKFAGIRSIGWGWTAVIWVYNILTYFLLDPIKFAVRYALSGRAWNNIIDQRTAFTNKKDFGKEARSAAWAAEQRTLHGLQSAETKMFSERNTFRDINLMAEEAKRRAEIARVRELHTLKGKVESFAKLRGLDIDSMNQHYTV >Potri.014G046300.3.v4.1 pep chromosome:Pop_tri_v4:14:2957602:2965269:-1 gene:Potri.014G046300.v4.1 transcript:Potri.014G046300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046300.v4.1 MVENSIALDAINKEAVDLENIPLEEVFDNLKCTREGLTANEVRERLDLFGYNKLEEKKESKLLKFLGFMWNPLSWVMEAAAIMAIGLAHGGNKSADYHDFVGIITLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGRWSEEEASVLVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKSPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIAAGMVIEIIVIYGIQERGYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKNMIEVFAKGVDKDMVVLMAARASRLENQDAIDCAIVSMLADPKEARAGIQEVHFLPFNPTDKRTALTYIDAAGKMHRVSKGAPEQILHLAHNKTEIERRVHSIIDKFAERGLRSLAVARQGVPAGTKDSPGGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIAKETGRRLGMGTNMYPSSSLLGEGKDDAVGGLPIDELIEKADGFAGVFPEHKYEIVRRLQARKHICGMTGDGVNDAPALKKADIGIAVADSTDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVLGFMLLTVFWKFDFPPFMVLVIAILNDGTIMTISKDRVKPSPLPDSWKLSEIFATGVVLGSYLALMSVVFFWLAYETNFFPEHFNVRDFNQHHFNMTDEKIANQLKEQLASAVYLQVSTISQALIFVTRSRSWSFRERPGLLLVSAFIIAQLIATVISATATWKFAGIRSIGWGWTAVIWVYNILTYFLLDPIKFAVRYALSGRAWNNIIDQRTAFTNKKDFGKEARSAAWAAEQRTLHGLQSAETKMFSERNTFRDINLMAEEAKRRAEIARVRELHTLKGKVESFAKLRGLDIDSMNQHYTV >Potri.008G080401.1.v4.1 pep chromosome:Pop_tri_v4:8:4999276:5000616:-1 gene:Potri.008G080401.v4.1 transcript:Potri.008G080401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080401.v4.1 MVSIRDDLIDSQQATEENPGPLLSKEGRPFSPNSTNSHLLLETANKTRPTGQILASPSIKTAEEGTAKVPCSSAPLKESIGHTEVEVIAGASLGFLVSIAVYTIL >Potri.005G193901.1.v4.1 pep chromosome:Pop_tri_v4:5:20127723:20129009:1 gene:Potri.005G193901.v4.1 transcript:Potri.005G193901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193901.v4.1 MTEEKQHQGVFHHHKSEEKPVDYRKEKKHHKNLGHVGKLGAAAAGAFAMNEKHKSKKDPEHTHGHKIKEEIAAAAAVGTCGLVFHEHHEKKATKKEEEEANRKKHHGTTTSNCFN >Potri.005G193901.2.v4.1 pep chromosome:Pop_tri_v4:5:20127687:20129009:1 gene:Potri.005G193901.v4.1 transcript:Potri.005G193901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193901.v4.1 MMIRAKNFIIKYTTTHHTLKTFPTRSCLILVLIPSVSMTEEKQHQGVFHHHKSEEKPVDYRKEKKHHKNLGHVGKLGAAAAGAFAMNEKHKSKKDPEHTHGHKIKEEIAAAAAVGTCGLVFHEHHEKKATKKEEEEANRKKHHGTTTSNCFN >Potri.002G128000.1.v4.1 pep chromosome:Pop_tri_v4:2:9689995:9693549:1 gene:Potri.002G128000.v4.1 transcript:Potri.002G128000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128000.v4.1 METPGSPHQSPTYGNLITVLSIDGGGIRGIIPGTILAFLESELQKLDGEDARLADYFDVISGTSTGGLVTAMLTAPNEQNRPLFAAKDINDFYLKHSPRIFPQDGSPFAAAGNLIKAFSGPKYDGKYLHSIVKEKLGEKRLHQTLTNVVIPTFDIKYLQPTIFSSYQVKNDPSTDALLSDICIGTSAAPTYLPAHYFETKDSEGKVRGFNLVDGGVAANNPTLVAIGEVSKEINRDSPDFFPVKPTDYGRFLVLSLGTGTAKTEEKYDANKAAKWGVLGWLTSDNSTPLVDVFTQASSDMVDLHLGTVFQALLSEKNYLRIQDDTLTGTLASVDIATKENLENLVKVGEGLLKKPVSRVNLDTGVFEPANKLTNEEALIKFAERLSHQKQLRLVRSPLGNDANPK >Potri.007G053400.1.v4.1 pep chromosome:Pop_tri_v4:7:5347949:5349875:1 gene:Potri.007G053400.v4.1 transcript:Potri.007G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX51 MAAARFHLLLVLSLTLSLCHFPDTTWAQLRQNYYASSCPRVESIVRGVVQNKIKQTFVTIPATLRLFFHDCFVQGCDASVIVASTATNKAEKDHSDNLSLAGDGFDTVIKAKAAVDATPGCKNKVSCADILAIATRDVIALSGGPSYPVELGRLDGLSSTAASVNGKLPQPTFSLNQLTAMFAANGLSQTDMIALSAAHTLGFSHCSKFANRIYSFSRQGPIDPTLNRTYAKTLQTLCPKNVDSRIAINMDPNTPNTFDNMYYKNLVQGMGLFTSDQVLFTDSRSKPTVTKWATDSQAFQQAFITAMTKLGRVGVKSGRNGKIRQDCAVLA >Potri.010G059700.1.v4.1 pep chromosome:Pop_tri_v4:10:8973443:8976668:-1 gene:Potri.010G059700.v4.1 transcript:Potri.010G059700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059700.v4.1 MANEDVSHDLSSLLSSEERDFLIRNNGDQVKVSNLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEQLSSKGGFEVVFISSDGDDESFNTYFSEMPWLAIPFSDTETRQRLKEVFKVRGIPRLVIFDTNGKVSSDNGVRHVKEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPVLDLEGKLVGLYFSAHAHRMCREFTPKLVELYKTLKEKGENFEVVLISLDDEEEDFKESFETMPWLALPFKDKSCEKLVRYFELRTIPNLVIIGQDGKTLNPYVAELIEEHGIEAYPFTPEKLDELAAIEKAKLESQTLESVLVNGENDFVIDKSGSKVPVSELVGKNILLYFSAQWCPPCRAFLPKLIEAYHTIKRKDNAFEVIFISSDRDQSTFDEFYSEMPWLALPFGDGRKQILSRKFKIQGIPAAVAIGPSGRTITKEARMHLTAYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRSAYGCDGCGETGYRWSFYCKQCDFDLHPKCALKEDEDTGTEKGKEGWVCDGDVCRRA >Potri.001G360300.1.v4.1 pep chromosome:Pop_tri_v4:1:37701093:37704555:1 gene:Potri.001G360300.v4.1 transcript:Potri.001G360300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360300.v4.1 MATANSPNTSNNSDSDVEDPNPNPSSNNNNASIIPSAESSTPSVCLIRFAGDSAAGAFMGSIFGYGSGLIKKKGFKGSFGEAGSCAKTFAVLSGVHSLVVCFLKRLRGKDDVINAGVAGCCTGLALSFPGAPQALLQSCLTFGAFSFIIEGLNKKQAALAHSISSRNKCDYHSKPCPLALPLSVPLPDELKGAFSFFCKSLRKPKSANFPAAAP >Potri.006G056900.2.v4.1 pep chromosome:Pop_tri_v4:6:4019083:4022800:-1 gene:Potri.006G056900.v4.1 transcript:Potri.006G056900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056900.v4.1 MPFMTASSMLRHRISSALRTRGGASSGRWTSPGHEEQPKGYLFNRTPLPPGQPRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHQKALERLETEKLGLSGSADSE >Potri.006G056900.3.v4.1 pep chromosome:Pop_tri_v4:6:4022112:4022793:-1 gene:Potri.006G056900.v4.1 transcript:Potri.006G056900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056900.v4.1 MPFMTASSMLRHRISSALRTRGGASSGRWTSPGHEEQPKGYLFNRTPLPPGQPRKWEDWELPCYITSFLTIVILGVGLNAKPDLTIETWAHQKALERLETEKLGLSGSADSE >Potri.001G020200.4.v4.1 pep chromosome:Pop_tri_v4:1:1548369:1551249:1 gene:Potri.001G020200.v4.1 transcript:Potri.001G020200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020200.v4.1 MKDYNMDDGEIELSDHVLLPNPDSSGSLQSSASVDSLLDEFLKNTRTCTHTHTCNPLGPDITHTHTCYHTHTQVITSEEDDDVNNREHSNSKVKRPAGNREAVRKYRQKKKAHTAYLEEEVKKLRISNQQLVRKIQQQGDATAAFKDGNCGAQSTGGPMNLQCQTDLPCFHTQVVGSSSRVNIDGNDKLMESWEGTCQPVILNSQANMDNMATTEGHAMDMVEALMSSASQVQ >Potri.001G020200.1.v4.1 pep chromosome:Pop_tri_v4:1:1548369:1550682:1 gene:Potri.001G020200.v4.1 transcript:Potri.001G020200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020200.v4.1 MKDYNMDDGEIELSDHVLLPNPDSSGSLQSSASVDSLLDEFLKNTRTCTHTHTCNPLGPDITHTHTCYHTHTQVITSEEDDDVNNREHSNSKVKRPAGNREAVRKYRQKKKAHTAYLEEEVKKLRISNQQLVRKIQQQVILEAEVLRLRSILMGLRGKIDTELGVLPFQNQGDATAAFKDGNCGAQSTGGPMNLQCQTDLPCFHTQVVGSSSRVNIDGNDKLMESWEGTCQPVILNSQANMDNMATTEGHAMDMVEALMSSASQVQ >Potri.001G020200.3.v4.1 pep chromosome:Pop_tri_v4:1:1548369:1551273:1 gene:Potri.001G020200.v4.1 transcript:Potri.001G020200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020200.v4.1 MKDYNMDDGEIELSDHVLLPNPDSSGSLQSSASVDSLLDEFLKNTRTCTHTHTCNPLGPDITHTHTCYHTHTQVITSEEDDDVNNREHSNSKVKRPAGNREAVRKYRQKKKAHTAYLEEEVKKLRISNQQLVRKIQQQVILEAEVLRLRSILMGLRGKIDTELGVLPFQNQGDATAAFKDGNCGAQSTGGPMNLQCQTDLPCFHTQVVGSSSRVNIDGNDKLMESWEGTCQPVILNSQANMDNMATTEGHAMDMVEALMSSASQVQ >Potri.014G093200.1.v4.1 pep chromosome:Pop_tri_v4:14:6037785:6040891:1 gene:Potri.014G093200.v4.1 transcript:Potri.014G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093200.v4.1 MEGETSWVNNCFENPTRDIGEFDSFSEHSDEGNKEVNAISVDLILPDDLLERILACLPIASIFRAGCVCKRWHEIVSSRRFLWNFSPVLPQKPWYFMFTSSDEPVGYAFDPILRKWFGIDLPYIQKSNWFIASSCGLVSFMDNDSRSELYVCNPITKRCMKLQEPPGLKVYDYSALAIYVNRISHGYTISIVKSKLCPGNFFQSDLSIHIYDSETRMWVTSCTEVMTGWRGGDESVICGGVLYFLIYSAGGGATETRHGLIRYNLSNRSSHGLLIRSFIKVPCPLTCGRLMNLNEKLVMVGGIGKQDRPDIIKGIGIWVLNGKDWQEIARMPHKFFQGFGEFDEVFASSGTNNHIYIQSYGAPALLVFDINQKQWKWSQKCPVSKRFPLQLFTGFCFEPRLDMAP >Potri.014G093200.7.v4.1 pep chromosome:Pop_tri_v4:14:6037750:6040849:1 gene:Potri.014G093200.v4.1 transcript:Potri.014G093200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093200.v4.1 MEGETSWVNNCFENPTRDIGEFDSFSEHSDEGNKEVNAISVDLILPDDLLERILACLPIASIFRAGCVCKRWHEIVSSRRFLWNFSPVLPQKPWYFMFTSSDEPVGYAFDPILRKWFGIDLPYIQKSNWFIASSCGLVSFMDNDSRSELYVCNPITKRCMKLQEPPGLKVYDYSALAIYVNRISHGYTISIVKSKLCPGNFFQSDLSIHIYDSETRMWVTSCTEVMTGWRGGDESVICGGVLYFLIYSAGGGATETRHGLIRYNLSNRSSHGLLIRSFIKVPCPLTCGRLMNLNEKLVMVGGIGKQDRPDIIKGIGIWVLNGKDWQEIARMPHKFFQGFGEFDEVFASSGTNNHIYIQSYGAPALLVFDINQKQWKWSQKCPVSKRFPLQLFTGFCFEPRLDMAP >Potri.014G093200.5.v4.1 pep chromosome:Pop_tri_v4:14:6037940:6040751:1 gene:Potri.014G093200.v4.1 transcript:Potri.014G093200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093200.v4.1 MEGETSWVNNCFENPTRDIGEFDSFSEHSDEGNKEVNAISVDLILPDDLLERILACLPIASIFRAGCVCKRWHEIVSSRRFLWNFSPVLPQKPWYFMFTSSDEPVGYAFDPILRKWFGIDLPYIQKSNWFIASSCGLVSFMDNDSRSELYVCNPITKRCMKLQEPPGLKVYDYSALAIYVNRISHGYTISIVKSKLCPGNFFQSDLSIHIYDSETRMWVTSCTEVMTGWRGGDESVICGGVLYFLIYSAGGGATETRHGLIRYNLSNRSSHGLLIRSFIKVPCPLTCGRLMNLNEKLVMVGGIGKQDRPDIIKGIGIWVLNGKDWQEIARMPHKFFQGFGEFDEVFASSGTNNHIYIQSYGAPALLVFDINQKQWKWSQKCPVSKRFPLQLFTGFCFEPRLDMAP >Potri.014G093200.6.v4.1 pep chromosome:Pop_tri_v4:14:6037774:6040890:1 gene:Potri.014G093200.v4.1 transcript:Potri.014G093200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093200.v4.1 MEGETSWVNNCFENPTRDIGEFDSFSEHSDEGNKEVNAISVDLILPDDLLERILACLPIASIFRAGCVCKRWHEIVSSRRFLWNFSPVLPQKPWYFMFTSSDEPVGYAFDPILRKWFGIDLPYIQKSNWFIASSCGLVSFMDNDSRSELYVCNPITKRCMKLQEPPGLKVYDYSALAIYVNRISHGYTISIVKSKLCPGNFFQSDLSIHIYDSETRMWVTSCTEVMTGWRGGDESVICGGVLYFLIYSAGGGATETRHGLIRYNLSNRSSHGLLIRSFIKVPCPLTCGRLMNLNEKLVMVGGIGKQDRPDIIKGIGIWVLNGKDWQEIARMPHKFFQGFGEFDEVFASSGTNNHIYIQSYGAPALLVFDINQKQWKWSQKCPVSKRFPLQLFTGFCFEPRLDMAP >Potri.014G093200.8.v4.1 pep chromosome:Pop_tri_v4:14:6037804:6040841:1 gene:Potri.014G093200.v4.1 transcript:Potri.014G093200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093200.v4.1 MEGETSWVNNCFENPTRDIGEFDSFSEHSDEGNKEVNAISVDLILPDDLLERILACLPIASIFRAGCVCKRWHEIVSSRRFLWNFSPVLPQKPWYFMFTSSDEPVGYAFDPILRKWFGIDLPYIQKSNWFIASSCGLVSFMDNDSRSELYVCNPITKRCMKLQEPPGLKVYDYSALAIYVNRISHGYTISIVKSKLCPGNFFQSDLSIHIYDSETRMWVTSCTEVMTGWRGGDESVICGGVLYFLIYSAGGGATETRHGLIRYNLSNRSSHGLLIRSFIKVPCPLTCGRLMNLNEKLVMVGGIGKQDRPDIIKGIGIWVLNGKDWQEIARMPHKFFQGFGEFDEVFASSGTNNHIYIQSYGAPALLVFDINQKQWKWSQKCPVSKRFPLQLFTGFCFEPRLDMAP >Potri.016G051500.5.v4.1 pep chromosome:Pop_tri_v4:16:3332459:3338113:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MKESDKRRTPGNNQSKRSGKTERRDRKPNQTTKGIESKSLHAIPDSSSAILVSDSNTGSEPSEVCDSFVIHYMDNVNRSEEAPQDSKADSMIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.1.v4.1 pep chromosome:Pop_tri_v4:16:3332459:3338113:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.11.v4.1 pep chromosome:Pop_tri_v4:16:3332669:3337712:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MKESDKRRTPGNNQSKRSGKTERRDRKPNQTTKGIESKSLHAIPDSSSAILVSDSNTGSEPSEVCDSFVIHYMDNVNRSEEAPQDSKADSMIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.4.v4.1 pep chromosome:Pop_tri_v4:16:3332463:3338114:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MKESDKRRTPGNNQSKRSGKTERRDRKPNQTTKGIESKSLHAIPDSSSAILVSDSNTGSEPSEVCDSFVIHYMDNVNRSEEAPQDSKADSMIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.9.v4.1 pep chromosome:Pop_tri_v4:16:3332463:3337714:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MKESDKRRTPGNNQSKRSGKTERRDRKPNQTTKGIESKSLHAIPDSSSAILVSDSNTGSEPSEVCDSFVIHYMDNVNRSEEAPQDSKADSMIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.7.v4.1 pep chromosome:Pop_tri_v4:16:3332426:3337725:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MKESDKRRTPGNNQSKRSGKTERRDRKPNQTTKGIESKSLHAIPDSSSAILVSDSNTGSEPSEVCDSFVIHYMDNVNRSEEAPQDSKADSMIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.10.v4.1 pep chromosome:Pop_tri_v4:16:3332463:3337714:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MKESDKRRTPGNNQSKRSGKTERRDRKPNQTTKGIESKSLHAIPDSSSAILVSDSNTGSEPSEVCDSFVIHYMDNVNRSEEAPQDSKADSMIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.8.v4.1 pep chromosome:Pop_tri_v4:16:3332459:3337714:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MKESDKRRTPGNNQSKRSGKTERRDRKPNQTTKGIESKSLHAIPDSSSAILVSDSNTGSEPSEVCDSFVIHYMDNVNRSEEAPQDSKADSMIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.6.v4.1 pep chromosome:Pop_tri_v4:16:3332463:3338092:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MKESDKRRTPGNNQSKRSGKTERRDRKPNQTTKGIESKSLHAIPDSSSAILVSDSNTGSEPSEVCDSFVIHYMDNVNRSEEAPQDSKADSMIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.016G051500.12.v4.1 pep chromosome:Pop_tri_v4:16:3332463:3337714:1 gene:Potri.016G051500.v4.1 transcript:Potri.016G051500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051500.v4.1 MIAKVNKDEASDDYSDDLDREAKEGKEDESDSETTQDSVSSQGDSVTAEGEKVENVSIVPKTVSNKNLSESGPPRGSRVKSDRNTSISRSKAVNNTPKKPAKTNKGPLKVTSKSSFGKNSKDMRVPPKPSLESSEGVDDKPAEDVKEIDFLDEATNGTQTQSVAIDNVTDGAEENGEHEDEAELNQRIEDMEMRIGKLEAELREVAALEISLYSVVPEHGSSAHKVHTPARRLSRLYIHACKHWTLVKRATVARNTVSGLVLISKSCGNDVPRLTFWLSNTIVLREIISQAFGSSRHSSPLARLAESNGGSKKSEGKPTALKWKGGSGSKQVNGFMQFADDWQETGTFTAALERVESWIFSRVVESVWWQALTPHMQSPTGDLSSNKTTGRLHGPALGDQQQGSFSINLWKNAFQDALQRLCPVRAGGHECGCLPIIARMVMEQCVARLDVAMFNAILRESAHEIPTDPVSDPILDSKVLPIPAGDLSFGSGAQLKNSVGNWSRRLTDMFGINADDCLKEDQHGSEDDDKQDGEAKPFLLLNDLSDLLMLPKDMLMDRSIRKEVCPSIGLPLVKRILCNFTPDEFCPDPVPGAVLEALNSEGTVEWRLLGDAARNFPYTAAPVVYTPPSSAHVAEKVSEAGTESQLSRNVSAVQRKGYTSDEELEELDSPLTSIIERLPSSPAIIMANGNGKHKEYATAGDSMANARYELLREVWSA >Potri.011G159600.1.v4.1 pep chromosome:Pop_tri_v4:11:18535852:18537657:-1 gene:Potri.011G159600.v4.1 transcript:Potri.011G159600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G159600.v4.1 MVPSSSSILSILLVLLLSPFIVSHALQDRFLKCLSRTSESSFPFSTVLYTPKNSSFTSVLQSSAQNLRFTFPSVPKPEFIFTPLQESHIQAVVICSKQLGIHLRVRSGGHDFEGLSYVSEIESPFILVDLAKFRSISVDIEHNSAWVQAGSTNGELYYRISEKSNTHGFPAGTCSSLGMGGHISGGAYGAMLRKYGLAVDNVVDAHIIDVHGRLLDRKAMGEDLFWAIRGGAGGSFGIVTAWKVKLVPVPSAVTVFTVTKTLEQGATELLYRWQQIADHLDEDLFIRVQIQTANVSSQGKRTITTSYNAMFLGDANRLLQVMKHSFPELGLTRQDCIETNWINSTVYMSGFANNTPPEVLLQRINMDRAYFKGKSDYARKPIPEKALEGLWEKLFEAESPLVVFTPYGGMMNQISESQTPFPHRKGTKFMILYWSSWQDATENVAKHINWTRKVYSYMTPYVSKNPREAYANYRDLDLGMNRNSNTSFVEASAFGTNYFKDNFYRLVRVKTKVDPDNFFRHEQSIPPLSLHMR >Potri.003G076900.2.v4.1 pep chromosome:Pop_tri_v4:3:10432056:10435014:1 gene:Potri.003G076900.v4.1 transcript:Potri.003G076900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076900.v4.1 MASLGYSFLFFYSYFILTLFLLHAPLLVTSSTYRYKVESSSINRTAPTNSTKHHHKWVGPVGYRVITVDVNGAGEFLSVQAAVDAVPENNGENVMILISAGYYIEKVTVPASKPYITFQGEGRDVTIIEWHDRASDRGANGQQLRTYRTASVSVFANYFSARNISFKNTAPAPMPGMKGWQAAAFRISGDKAYFAGCGFYGAQDTLCDDAGRHYFKECYIEGSIDFIFGNGRSMYKDCELHSIATRFGSIAAQDRNSPDEKTGFAFLNCRVTGTGPLYVGRAMGQYSRIVYSYTYFDNVVAHGGWDDWDHASNKNKTVFFGVYKCWGPGAAAVQGVSWARELDYESAHKFLAKSFVNGRHWIAPSDA >Potri.019G079300.1.v4.1 pep chromosome:Pop_tri_v4:19:11990823:11993617:1 gene:Potri.019G079300.v4.1 transcript:Potri.019G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079300.v4.1 MAQESTPPPVTPPPPPSLEQAPPSPAMVKDKGDLPPAPAPAPEPADEAESPGAKGKELQGPTLPPPPEGEQKDSRSSSLAAMMGKEESVSSPQPPPEEKTEVTEKSAATKEEVVAVAVADTGKEKQVQEHKVPQTLVSFKEESNLVSDLSGIERKALEELKQLVQEALNTHQFSTAPKKDERQSVIVTQEAQTTQETSKNEASASESDVSTEIKPPAETQESKVEETPEKESQEVAKEEQKAAPSPEEITIWGIPLLKDDRSDVVLLKFLRARDFKVSDAFVMIKNTIQWRRDFKIDELVDEDLGDDLEKVVFMHGYDREGHPVCYNVYGEFQNKELYQKTFSDEEKRLKFLRWRIQFLERSIRKLDFSPSGISTVFQVNDLKNSPGPGKRELRLATKQALLLLQDNYPEFVAKQVFINVPWWYLAFYTMISPFMTQRTKSKFVFAGPSKSAETLFKYVSPEQVPIQYGGLSVDFCDCNPEFTFADPATEITVKPATKQTVEIIIYEKCFIVWELRVVGWEVSYSAEFVPDSKDAYTIIMTKPTKMTPTNEPVVSNSFKVGELGKILLTVDNSTSKKKKLLYRFKINPFSD >Potri.001G004900.1.v4.1 pep chromosome:Pop_tri_v4:1:325236:326487:-1 gene:Potri.001G004900.v4.1 transcript:Potri.001G004900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004900.v4.1 MPSTIPLFAAPAPAPAGLEEEEEDENPLIISFLTVPTPSSATDLHLPPHVYYSNGTVTKEMQKQKKRETDRRYRKNKKKAVQETENKLAMTIIENENLKSTVEKFRQEIFHLTSQLKLIYQRFETIYTELEKEIECARTENELTGCLLNDPNTINI >Potri.015G046800.1.v4.1 pep chromosome:Pop_tri_v4:15:4634486:4637254:-1 gene:Potri.015G046800.v4.1 transcript:Potri.015G046800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046800.v4.1 MKMEESLPPGFRFHPTDEELITCYLTRKVSDISFTSKAVVDVDLNKCEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDREIFRAGVLVGMKKTLVFYKGRAPRGEKSNWVMHEYRLENRHPFKSTKEEWVVCRIFQKSTAVKKPQQEPSSQQSLGSLCDTNSIVNDQYGDIELPNLNRIANSSSGFSNISAHTYNNESNVNMNLNMNWAAAREAAHTTLPSLSWPPGLLSSNLTTNSLLLKALQLRSYQQREATTTDYSFLTNGNIVHEFGTDLGSNFQASSSSKVLDSVPQPQQEQPFNLDSIW >Potri.005G098200.1.v4.1 pep chromosome:Pop_tri_v4:5:7028364:7032583:-1 gene:Potri.005G098200.v4.1 transcript:Potri.005G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098200.v4.1 MSNISFVEAKLPPGFRFHPRDEELVCDYLMNKASQCCDSLLMIEVDLNKCEPWDIPEAARVGGKEWYFYSQRDRKYATGLRTNRATASGYWKATGKDRHVLRKGTLVGMRKTLVFYQGRAPKGKRTDWVMHEFRLEGPLGPPKISSDKEDWVLCRVFYKSNREVVAKPSMRSCNDDTGSSSLPALLDSYITYEQTQPNLDEHEQVPCFSIFSQNQTSQNLLAPYTTQMEAPNAPAKCTSPFGKVPMDITTPLDSFSCDTKVLKAVLNNLTKMESYGNLKGSPSLGEGSSESYISEVGMSSLWNHY >Potri.009G077600.7.v4.1 pep chromosome:Pop_tri_v4:9:7479601:7483466:1 gene:Potri.009G077600.v4.1 transcript:Potri.009G077600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077600.v4.1 MATDATTTKFQNPTDFRPDFHSEKISSATSYDGLHFWQYMISGSIAGLVEHMAMFPVDTVKTHMQAIGSCPIKSVSVTHVLNSLLESGGPSSLYRGIAAMALGAGPAHAVHFSVYEVCKKHLSRDNPNSSIAHAISGVCATVASDAVFTPMDMVKQRLQLGSDSVYKGVRDCVKRVVREEGFGAFYASYRTTVLMNAPFTAVYFATYEAAKKGLMEISPESANDENWVLHATAGAAAGALAAAITTPLDVVKTQLQCQGVCGCDRFKSGSIGDVIKTIVKKDGYRGLIRGWIPRMLFHAPAAAISWSTYEASKSFFQELNDNSNSDNVT >Potri.009G077600.2.v4.1 pep chromosome:Pop_tri_v4:9:7479587:7483232:1 gene:Potri.009G077600.v4.1 transcript:Potri.009G077600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077600.v4.1 MATDATTTKFQNPTDFRPDFHSEKISSATSYDGLHFWQYMISGSIAGLVEHMAMFPVDTVKTHMQAIGSCPIKSVSVTHVLNSLLESGGPSSLYRGIAAMALGAGPAHAVHFSVYEVCKKHLSRDNPNSSIAHAISGVCATVASDAVFTPMDMVKQRLQLGSDSVYKGVRDCVKRVVREEGFGAFYASYRTTVLMNAPFTAVYFATYEAAKKGLMEISPESANDENWVLHATAGAAAGALAAAITTPLDVVKTQLQCQELVMPLPLMM >Potri.001G305900.1.v4.1 pep chromosome:Pop_tri_v4:1:31583093:31590118:-1 gene:Potri.001G305900.v4.1 transcript:Potri.001G305900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305900.v4.1 MKKVTKDKKQEIVKERDCEDWCFVCKDGGELILCDFDDCLKVYHTECVKKGDSFPGTGQQWTCARHSCTKCHKATKLYCYCCPKAVCSRCISAAKFLAVRGNKGLCEECVEYVHCVEDKDDVDADGGEIDLTDRDTYECLFLEYWEIIKEAEGLTWDDVNLAELGLRKHSKRSFKSTKIGKSKNDGDLVIYDSDLEITEAYKTRGKRKGSKPIEFDGWGSKQLIEFLTFLGKDTTKELSQYEVNTIICAYIQEKELLDPVKKKKVICDEKLYSIFRRKSMYKNAILNLLEYHFTANLIDSQSEDDENIDGVEISFQEKSEKSTITFKKRNSMGSRTKSEEIEAVPRLHDSGFAAIVTENIKLVYLRKSLVEELLKEPESFEGKIVGSFVKVKNDSRDPSARNSYQLSQVTGIKQSSVIGENKSEILFRVSSMPIDVCFSMLSDLDLSEDEIEDLRQNVEEGLHPKPTIVELEQKAEQLHVDITKHWIEKELARLQKRIDLANEKGWRRELFEYLDELELLKKPSEQERLLQQSPKVIQQMVECKTSPQMFQKRYRPI >Potri.001G157300.11.v4.1 pep chromosome:Pop_tri_v4:1:13236004:13248387:1 gene:Potri.001G157300.v4.1 transcript:Potri.001G157300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157300.v4.1 MDYDENDFQNHNLHLAGEGSNKFPSVLQPYALPKFDFDDSLNGSLRFDSLVETEVFLGIESNEDNQWIEDFSRGTSGIQFSSSAAESCSLSRRNNVWSEATSSESVEMLLKSVGQEDNTPIQTNTKESDACDELGCILKHMEPILKQDNDTSPKVEDTANLQATFLPGEDVEDFSVLDNDVGQQQPLDDSSQDHKGEASADSGLGPLVDPSAVSVEVRQPVIEGSLSIDSKSNHVTQREIDNVVNGSSNDRPQKVPASGMQDGASVQNITTGNIELNEKDGPDDINNTSDDSKDFLETDTGENQKKGQVLSQEGQMEDENPCSDAVESMEEANVIETNSSNLGEPSCKILKGHSGFPEDVVTSDQSEVDTVGGSVMAVEGNTTFKRDEIEDSNGSQLDNKNLSNKCEGSLLSAEDCEPAKVKVGGTSSSDTGGVSSLATVCCSAEVVGEVAHVSSSFLVESSQICGKSMVSAEGKETTELPSGNVSTENNFIASRLQSDAASDNNSASDVSCEHANMVTCATMDGVPAPSGDVTNVDAVIGHKDVKMSLLSEMGFSPLDIEKETVDKISVEASLSGLKTSCQVIAGLDPGSESKKGASSGAAGQILCESAEQSPLMVDASKTEGPHSEVIDKVSLQSTKEMNVCPVLCDSTANKGDDAEVLVKENDEKESSKVSEPTVNKNEMLGPISSEKEECREDTNQKGQEENEAAIVSEDNSDGNIAVPSTNDCGSCADVGKAASGSPTVIRAARDFQSESDKDGAKCSVEQTAVADSNASKALSGSRDPKQNDASKDERSFTFEVSPLANMPQKEVGNKWQPFLNKPATKAYPILNASPSSGLVQIDPKLAQDLPHGSPKVSDVAIVRSGSKGTSERKTRRSSGKAMEKESARKGNPIKDTASVRLEKGAKTNNVSPSSSGILQHVQSNEMQRYGHADSSTMKPFVHASSSLPDLNSSASPSVMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKTIWENALRSSIERLHGQKPNLTSPETPLQSRPGVRAPDQAIKQSTVQSKVISSPIGRSSKGTPTIVNPMVPLSSPLWSVPTPAGDTFQSSSMPRGPIMDHQRALSPMHPHQTPQIRNFAGNPWLSQAPFCGPWATSPQTPALDTSGHFSAQLPITEPVQLTPVKDLSMPIISGAKHVSPGPVAQSGASTSVFTGTFPVPDAKKAAVSSSQPPADPKPRKRKKNSVSESPGQNILPPHLRTESVSAPVVTSHLSTSVAITTPVIFVSKAPTEKFVTSVSPTPTDIRNGNQNAEQRNILSEETLDKVKAARVQAEDAATLAAAAVSHSLEMWNQLDKQRNSGLSPDIETKLASAAVAIAAAAAVAKAAAAAAKVASSAALQAKLLADEAVNSGGYSNPSQDNTISVSEGMKNLGKATPASILKGDDGTNSSSSILIVAREAARRRVEVASAAAKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPLNELVAVGPEGYWKVAKINNELISKSNDIGRKTLNIDRVGERPRTPTEGSTEDHVRLEDGFLSSGAAAAKDVKGQKGYKVSESENGLRSLGTIENFNSIKEGSLVEVFKDGNGFKAAWFSANVVDLKDGSACVSYTDLSSVEGSEKLKEWVTLKGEGERAPKIRIARPITAVQLEGTRKRRRAATVDHIWSVGDRVDAWIQDSWWEGVVIERSKKDGTTLTVQFPVQGEKSVVRAWHLRPSLLWENGEWIEWSSSRVGSHSTNKGDTPQEKRPRVRSPAVDNKGNDKLSKGFDSVETNKPDEPTLLDLAAHEKLFNIGKSTKDGNKPDVLRMARTGLQKEGSKVIFGVPKPGKKRKFMEVSKHYVADQSSKNDDANDSVKFAKYLMPRGSGSRGWKNTLRTESIANRTAASKPKVFKSGKPQNVSGRTITQKDNSLTTTVSASNDGAVTDHVAKTKASISHVENTSEKRTLSSKKTSTSNAKPQRVSKGKLAPAGGKLGRIEEDKVFNGDSSKSNSDVTEPRRSNRKMQPTSRLLEGLQSSLMVSKVPAVSHDKSQKSRTASRGNNHG >Potri.001G157300.13.v4.1 pep chromosome:Pop_tri_v4:1:13236010:13248399:1 gene:Potri.001G157300.v4.1 transcript:Potri.001G157300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157300.v4.1 MDYDENDFQNHNLHLAGEGSNKFPSVLQPYALPKFDFDDSLNGSLRFDSLVETEVFLGIESNEDNQWIEDFSRGTSGIQFSSSAAESCSLSRRNNVWSEATSSESVEMLLKSVGQEDNTPIQTNTKESDACDELGCILKHMEPILKQDNDTSPKVEDTANLQATFLPGEDVEDFSVLDNDVGQQQPLDDSSQDHKGEASADSGLGPLVDPSAVSVEVRQPVIEGSLSIDSKSNHVTQREIDNVVNGSSNDRPQKVPASGMQDGASVQNITTGNIELNEKDGPDDINNTSDDSKDFLETDTGENQKKGQVLSQEGQMEDENPCSDAVESMEEANVIETNSSNLGEPSCKILKGHSGFPEDVVTSDQSEVDTVGGSVMAVEGNTTFKRDEIEDSNGSQLDNKNLSNKCEGSLLSAEDCEPAKVKVGGTSSSDTGGVSSLATVCCSAEVVGEVAHVSSSFLVESSQICGKSMVSAEGKETTELPSGNVSTENNFIASRLQSDAASDNNSASDVSCEHANMVTCATMDGVPAPSGDVTNVDAVIGHKDVKMSLLSEMGFSPLDIEKETVDKISVEASLSGLKTSCQVIAGLDPGSESKKGASSGAAGQILCESAEQSPLMVDASKTEGPHSEVIDKVSLQSTKEMNVCPVLCDSTANKGDDAEVLVKENDEKESSKVSEPTVNKNEMLGPISSEKEECREDTNQKGQEENEAAIVSEDNSDGNIAVPSTNDCGSCADVGKAASGSPTVIRAARDFQSESDKDGAKCSVEQTAVADSNASKALSGSRDPKQNDASKDERSFTFEVSPLANMPQKEVGNKWQPFLNKPATKAYPILNASPSSGLVQIDPKLAQDLPHGSPKVSDVAIVRSGSKGTSERKTRRSSGKAMEKESARKGNPIKDTASVRLEKGAKTNNVSPSSSGILQHVQSNEMQRYGHADSSTMKPFVHASSSLPDLNSSASPSVMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKTIWENALRSSIERLHGQKPNLTSPETPLQSRPGVRAPDQAIKQSTVQSKVISSPIGRSSKGTPTIVNPMVPLSSPLWSVPTPAGDTFQSSSMPRGPIMDHQRALSPMHPHQTPQIRNFAGNPWLSQAPFCGPWATSPQTPALDTSGHFSAQLPITEPVQLTPVKDLSMPIISGAKHVSPGPVAQSGASTSVFTGTFPVPDAKKAAVSSSQPPADPKPRKRKKNSVSESPGQNILPPHLRTESVSAPVVTSHLSTSVAITTPVIFVSKAPTEKFVTSVSPTPTDIRNGNQNAEQRNILSEETLDKVKAARVQAEDAATLAAAAVSHSLEMWNQLDKQRNSGLSPDIETKLASAAVAIAAAAAVAKAAAAAAKVASSAALQAKLLADEAVNSGGYSNPSQDNTISVSEGMKNLGKATPASILKGDDGTNSSSSILIVAREAARRRVEVASAAAKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPLNELVAVGPEGYWKVAKINNELISKSNDIGRKTLNIDRVGERPRTPTEGSTEDHVRLEDGFLSSGAAAAKDVKGQKGYKVSESENGLRSLGTIENFNSIKEGSLVEVFKDGNGFKAAWFSANVVDLKDGSACVSYTDLSSVEGSEKLKEWVTLKGEGERAPKIRIARPITAVQLEGTRKRRRAATVDHIWSVGDRVDAWIQDSWWEGVVIERSKKDGTTLTVQFPVQGEKSVVRAWHLRPSLLWENGEWIEWSSSRVGSHSTNKGDTPQEKRPRVRSPAVDNKGNDKLSKGFDSVETNKPDEPTLLDLAAHEKLFNIGKSTKDGNKPDVLRMARTGLQKEGSKVIFGVPKPGKKRKFMEVSKHYVADQSSKNDDANDSVKFAKYLMPRGSGSRGWKNTLRTESIANRTAASKPKVFKSGKPQNVSGRTITQKDNSLTTTVSASNDGAVTDHVAKTKASISHVENTSEKRNLTDFQPLSSSVGATEGPIFSSFPLPSGTLSSKKTSTSNAKPQRVSKGKLAPAGGKLGRIEEDKVFNGDSSKSNSDVTEPRRSNRKMQPTSRLLEGLQSSLMVSKVPAVSHDKSQKSRTASRGNNHG >Potri.001G157300.1.v4.1 pep chromosome:Pop_tri_v4:1:13236009:13248422:1 gene:Potri.001G157300.v4.1 transcript:Potri.001G157300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157300.v4.1 MDYDENDFQNHNLHLAGEGSNKFPSVLQPYALPKFDFDDSLNGSLRFDSLVETEVFLGIESNEDNQWIEDFSRGTSGIQFSSSAAESCSLSRRNNVWSEATSSESVEMLLKSVGQEDNTPIQTNTKESDACDELGCILKHMEPILKQDNDTSPKVEDTANLQATFLPGEDVEDFSVLDNDVGQQQPLDDSSQDHKGEASADSGLGPLVDPSAVSVEVRQPVIEGSLSIDSKSNHVTQREIDNVVNGSSNDRPQKVPASGMQDGASVQNITTGNIELNEKDGPDDINNTSDDSKDFLETDTGENQKKGQVLSQEGQMEDENPCSDAVESMEEANVIETNSSNLGEPSCKILKGHSGFPEDVVTSDQSEVDTVGGSVMAVEGNTTFKRDEIEDSNGSQLDNKNLSNKCEGSLLSAEDCEPAKVKVGGTSSSDTGGVSSLATVCCSAEVVGEVAHVSSSFLVESSQICGKSMVSAEGKETTELPSGNVSTENNFIASRLQSDAASDNNSASDVSCEHANMVTCATMDGVPAPSGDVTNVDAVIGHKDVKMSLLSEMGFSPLDIEKETVDKISVEASLSGLKTSCQVIAGLDPGSESKKGASSGAAGQILCESAEQSPLMVDASKTEGPHSEVIDKVSLQSTKEMNVCPVLCDSTANKGDDAEVLVKENDEKESSKVSEPTVNKNEMLGPISSEKEECREDTNQKGQEENEAAIVSEDNSDGNIAVPSTNDCGSCADVGKAASGSPTVIRAARDFQSESDKDGAKCSVEQTAVADSNASKALSGSRDPKQNDASKDERSFTFEVSPLANMPQKEVGNKWQPFLNKPATKAYPILNASPSSGLVQIDPKLAQDLPHGSPKVSDVAIVRSGSKGTSERKTRRSSGKAMEKESARKGNPIKDTASVRLEKGAKTNNVSPSSSGILQHVQSNEMQRYGHADSSTMKPFVHASSSLPDLNSSASPSVMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKTIWENALRSSIERLHGQKPNLTSPETPLQSRPGVRAPDQAIKQSTVQSKVISSPIGRSSKGTPTIVNPMVPLSSPLWSVPTPAGDTFQSSSMPRGPIMDHQRALSPMHPHQTPQIRNFAGNPWLSQAPFCGPWATSPQTPALDTSGHFSAQLPITEPVQLTPVKDLSMPIISGAKHVSPGPVAQSGASTSVFTGTFPVPDAKKAAVSSSQPPADPKPRKRKKNSVSESPGQNILPPHLRTESVSAPVVTSHLSTSVAITTPVIFVSKAPTEKFVTSVSPTPTDIRNGNQNAEQRNILSEETLDKVKAARVQAEDAATLAAAAVSHSLEMWNQLDKQRNSGLSPDIETKLASAAVAIAAAAAVAKAAAAAAKVASSAALQAKLLADEAVNSGGYSNPSQDNTISVSEGMKNLGKATPASILKGDDGTNSSSSILIVAREAARRRVEVASAAAKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPLNELVAVGPEGYWKVAKINNELISKSNDIGRKTLNIDRVGERPRTPTEGSTEDHVRLEDGFLSSGAAAAKDVKGQKGYKVSESENGLRSLGTIENFNSIKEGSLVEVFKDGNGFKAAWFSANVVDLKDGSACVSYTDLSSVEGSEKLKEWVTLKGEGERAPKIRIARPITAVQLEGTRKRRRAATVDHIWSVGDRVDAWIQDSWWEGVVIERSKKDGTTLTVQFPVQGEKSVVRAWHLRPSLLWENGEWIEWSSSRVGSHSTNKGDTPQEKRPRVRSPAVDNKGNDKLSKGFDSVETNKPDEPTLLDLAAHEKLFNIGKSTKDGNKPDVLRMARTGLQKEGSKVIFGVPKPGKKRKFMEVSKHYVADQSSKNDDANDSVKFAKYLMPRGSGSRGWKNTLRTESIANRTAASKPKVFKSGKPQNVSGRTITQKDNSLTTTVSASNDGAVTDHVAKTKASISHVENTSEKRNLTDFQPLSSSVGATEGPIFSSFPLPSGTLSSKKTSTSNAKPQRVSKGKLAPAGGKLGRIEEDKVFNGDSSKSNSDVTEPRRSNRKMQPTSRLLEGLQSSLMVSKVPAVSHDKSQKSRTASRGNNHG >Potri.001G157300.5.v4.1 pep chromosome:Pop_tri_v4:1:13236009:13248398:1 gene:Potri.001G157300.v4.1 transcript:Potri.001G157300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157300.v4.1 MDYDENDFQNHNLHLAGEGSNKFPSVLQPYALPKFDFDDSLNGSLRFDSLVETEVFLGIESNEDNQWIEDFSRGTSGIQFSSSAAESCSLSRRNNVWSEATSSESVEMLLKSVGQEDNTPIQTNTKESDACDELGCILKHMEPILKQDNDTSPKVEDTANLQATFLPGEDVEDFSVLDNDVGQQQPLDDSSQDHKGEASADSGLGPLVDPSAVSVEVRQPVIEGSLSIDSKSNHVTQREIDNVVNGSSNDRPQKVPASGMQDGASVQNITTGNIELNEKDGPDDINNTSDDSKDFLETDTGENQKKGQVLSQEGQMEDENPCSDAVESMEEANVIETNSSNLGEPSCKILKGHSGFPEDVVTSDQSEVDTVGGSVMAVEGNTTFKRDEIEDSNGSQLDNKNLSNKCEGSLLSAEDCEPAKVKVGGTSSSDTGGVSSLATVCCSAEVVGEVAHVSSSFLVESSQICGKSMVSAEGKETTELPSGNVSTENNFIASRLQSDAASDNNSASDVSCEHANMVTCATMDGVPAPSGDVTNVDAVIGHKDVKMSLLSEMGFSPLDIEKETVDKISVEASLSGLKTSCQVIAGLDPGSESKKGASSGAAGQILCESAEQSPLMVDASKTEGPHSEVIDKVSLQSTKEMNVCPVLCDSTANKGDDAEVLVKENDEKESSKVSEPTVNKNEMLGPISSEKEECREDTNQKGQEENEAAIVSEDNSDGNIAVPSTNDCGSCADVGKAASGSPTVIRAARDFQSESDKDGAKCSVEQTAVADSNASKALSGSRDPKQNDASKDERSFTFEVSPLANMPQKEVGNKWQPFLNKPATKAYPILNASPSSGLVQIDPKLAQDLPHGSPKVSDVAIVRSGSKGTSERKTRRSSGKAMEKESARKGNPIKDTASVRLEKGAKTNNVSPSSSGILQHVQSNEMQRYGHADSSTMKPFVHASSSLPDLNSSASPSVMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKTIWENALRSSIERLHGQKPNLTSPETPLQSRPGVRAPDQAIKQSTVQSKVISSPIGRSSKGTPTIVNPMVPLSSPLWSVPTPAGDTFQSSSMPRGPIMDHQRALSPMHPHQTPQIRNFAGNPWLSQAPFCGPWATSPQTPALDTSGHFSAQLPITEPVQLTPVKDLSMPIISGAKHVSPGPVAQSGASTSVFTGTFPVPDAKKAAVSSSQPPADPKPRKRKKNSVSESPGQNILPPHLRTESVSAPVVTSHLSTSVAITTPVIFVSKAPTEKFVTSVSPTPTDIRNGNQNAEQRNILSEETLDKVKAARVQAEDAATLAAAAVSHSLEMWNQLDKQRNSGLSPDIETKLASAAVAIAAAAAVAKAAAAAAKVASSAALQAKLLADEAVNSGGYSNPSQDNTISVSEGMKNLGKATPASILKGDDGTNSSSSILIVAREAARRRVEVASAAAKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPLNELVAVGPEGYWKVAKINNELISKSNDIGRKTLNIDRVGERPRTPTEGSTEDHVRLEDGFLSSGAAAAKDVKGQKGYKVSESENGLRSLGTIENFNSIKEGSLVEVFKDGNGFKAAWFSANVVDLKDGSACVSYTDLSSVEGSEKLKEWVTLKGEGERAPKIRIARPITAVQLEGTRKRRRAATVDHIWSVGDRVDAWIQDSWWEGVVIERSKKDGTTLTVQFPVQGEKSVVRAWHLRPSLLWENGEWIEWSSSRVGSHSTNKGDTPQEKRPRVRSPAVDNKGNDKLSKGFDSVETNKPDEPTLLDLAAHEKLFNIGKSTKDGNKPDVLRMARTGLQKEGSKVIFGVPKPGKKRKFMEVSKHYVADQSSKNDDANDSVKFAKYLMPRGSGSRGWKNTLRTESIANRTAASKPKVFKSGKPQNVSGRTITQKDNSLTTTVSASNDGAVTDHVAKTKASISHVENTSEKRTLSSKKTSTSNAKPQRVSKGKLAPAGGKLGRIEEDKVFNGDSSKSNSDVTEPRRSNRKMQPTSRLLEGLQSSLMVSKVPAVSHDKSQKSRTASRGNNHG >Potri.001G157300.12.v4.1 pep chromosome:Pop_tri_v4:1:13236009:13248422:1 gene:Potri.001G157300.v4.1 transcript:Potri.001G157300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157300.v4.1 MDYDENDFQNHNLHLAGEGSNKFPSVLQPYALPKFDFDDSLNGSLRFDSLVETEVFLGIESNEDNQWIEDFSRGTSGIQFSSSAAESCSLSRRNNVWSEATSSESVEMLLKSVGQEDNTPIQTNTKESDACDELGCILKHMEPILKQDNDTSPKVEDTANLQATFLPGEDVEDFSVLDNDVGQQQPLDDSSQDHKGEASADSGLGPLVDPSAVSVEVRQPVIEGSLSIDSKSNHVTQREIDNVVNGSSNDRPQKVPASGMQDGASVQNITTGNIELNEKDGPDDINNTSDDSKDFLETDTGENQKKGQVLSQEGQMEDENPCSDAVESMEEANVIETNSSNLGEPSCKILKGHSGFPEDVVTSDQSEVDTVGGSVMAVEGNTTFKRDEIEDSNGSQLDNKNLSNKCEGSLLSAEDCEPAKVKVGGTSSSDTGGVSSLATVCCSAEVVGEVAHVSSSFLVESSQICGKSMVSAEGKETTELPSGNVSTENNFIASRLQSDAASDNNSASDVSCEHANMVTCATMDGVPAPSGDVTNVDAVIGHKDVKMSLLSEMGFSPLDIEKETVDKISVEASLSGLKTSCQVIAGLDPGSESKKGASSGAAGQILCESAEQSPLMVDASKTEGPHSEVIDKVSLQSTKEMNVCPVLCDSTANKGDDAEVLVKENDEKESSKVSEPTVNKNEMLGPISSEKEECREDTNQKGQEENEAAIVSEDNSDGNIAVPSTNDCGSCADVGKAASGSPTVIRAARDFQSESDKDGAKCSVEQTAVADSNASKALSGSRDPKQNDASKDERSFTFEVSPLANMPQKEVGNKWQPFLNKPATKAYPILNASPSSGLVQIDPKLAQDLPHGSPKVSDVAIVRSGSKGTSERKTRRSSGKAMEKESARKGNPIKDTASVRLEKGAKTNNVSPSSSGILQHVQSNEMQRYGHADSSTMKPFVHASSSLPDLNSSASPSVMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKTIWENALRSSIERLHGQKPNLTSPETPLQSRPGVRAPDQAIKQSTVQSKVISSPIGRSSKGTPTIVNPMVPLSSPLWSVPTPAGDTFQSSSMPRGPIMDHQRALSPMHPHQTPQIRNFAGNPWLSQAPFCGPWATSPQTPALDTSGHFSAQLPITEPVQLTPVKDLSMPIISGAKHVSPGPVAQSGASTSVFTGTFPVPDAKKAAVSSSQPPADPKPRKRKKNSVSESPGQNILPPHLRTESVSAPVVTSHLSTSVAITTPVIFVSKAPTEKFVTSVSPTPTDIRNGNQNAEQRNILSEETLDKVKAARVQAEDAATLAAAAVSHSLEMWNQLDKQRNSGLSPDIETKLASAAVAIAAAAAVAKAAAAAAKVASSAALQAKLLADEAVNSGGYSNPSQDNTISVSEGMKNLGKATPASILKGDDGTNSSSSILIVAREAARRRVEVASAAAKRAENMDAIVKAAELAAEAVSQAGKIVAMGDPLPLNELVAVGPEGYWKVAKINNELISKSNDIGRKTLNIDRVGERPRTPTEGSTEDHVRLEDGFLSSGAAAAKDVKGQKGYKVSESENGLRSLGTIENFNSIKEGSLVEVFKDGNGFKAAWFSANVVDLKDGSACVSYTDLSSVEGSEKLKEWVTLKGEGERAPKIRIARPITAVQLEGTRKRRRAATVDHIWSVGDRVDAWIQDSWWEGVVIERSKKDGTTLTVQFPVQGEKSVVRAWHLRPSLLWENGEWIEWSSSRVGSHSTNKGDTPQEKRPRVRSPAVDNKGNDKLSKGFDSVETNKPDEPTLLDLAAHEKLFNIGKSTKDGNKPDVLRMARTGLQKEGSKVIFGVPKPGKKRKFMEVSKHYVADQSSKNDDANDSVKFAKYLMPRGSGSRGWKNTLRTESIANRTAASKPKVFKSGKPQNVSGRTITQKDNSLTTTVSASNDGAVTDHVAKTKASISHVENTSEKRNLTDFQPLSSSVGATEGPIFSSFPLPSGTLSSKKTSTSNAKPQRVSKGKLAPAGGKLGRIEEDKVFNGDSSKSNSDVTEPRRSNRKMQPTSRLLEGLQSSLMVSKVPAVSHDKSQKSRTASRGNNHG >Potri.017G130700.2.v4.1 pep chromosome:Pop_tri_v4:17:13371733:13372915:-1 gene:Potri.017G130700.v4.1 transcript:Potri.017G130700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130700.v4.1 MADGFFGYPFRRLFLSPPAYHEWSGSTALMDWLESPTAHIFKVNVPGFNKEDIKVQVGDGNILHIKGEGGKEETHEKDTVWHVAERGTRKRGFSREIELPEDVKLDQIKAQVENGVLTIVAPKDTNPKQSKVRNINITSKL >Potri.013G052000.1.v4.1 pep chromosome:Pop_tri_v4:13:3721385:3722410:-1 gene:Potri.013G052000.v4.1 transcript:Potri.013G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052000.v4.1 MKRVHFLATFVFLAFAASFAFASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLATENDFFFPGLNIARNTSNPVGSVVTPANVAQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILTVLEGTLYVGFVTSNPDNRLITKVLHPGDVFVFPVGLIHFQFNVGKTKASAIGALSSQNPGVITIANAVFGSTPPIRSDVLAKAFQVDKKIVDYLQKQFWYDNN >Potri.002G209900.6.v4.1 pep chromosome:Pop_tri_v4:2:17749292:17755396:-1 gene:Potri.002G209900.v4.1 transcript:Potri.002G209900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209900.v4.1 MKVESFEDEEVAELLNDSFVSVKVDREERPDVDKVYMTFVQALYGGGGWPLSVFISPDLKPLMGGTYFPPDDKYGRPGFKTILRKVKDAWFSKRDTLVKSGAFAIEQLSEALSASASSKKLPDELSQNALHLCAEQLSQSYDSRYGGFGSAPKFPRPVEIQLMLYHSKKLDDAGNYSESKKGLQMVFFTLQCMARGGIHDHIGGGFHRYSVDERWHVPHFEKMLYDQGQLVNVYLDAFSITNDVFYSSLSRDILDYLRRDMIGPEGEIFSAEDADSAEREDAKKKKEGAFYIWTSQEIDDLLGEHATLFKDHYYVKPLGNCDLSRMSDPQDEFKGKNVLIELTDTSAPAKKYGLPLEKYLDILGECRQKLFDARSRGPRPHLDDKVIVSWNGLAISSLARASKILMGEAEGTKYNFPVVGCDPKEYMTAAEKAASFIRRHLYNEQAHRLEHSFRNGPSKAPGFLDDYAFLISGLLDLYEVGGGIHWLVWATELQNKQDELFLDREGGGYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLIRLASMMTGSKSEYYRQNAEHLLAVFESRLKDMAMAVPLMCCAADMISVPSHKQVVLVGHKSSLEFDKMLAAAHASYDPNRTVIHIDPTDNEEMEIWEDNNSNIALMARNNFAADKVVALVCQNFTCSPPVTDPKSLKALLLKKPT >Potri.002G209900.7.v4.1 pep chromosome:Pop_tri_v4:2:17749293:17755356:-1 gene:Potri.002G209900.v4.1 transcript:Potri.002G209900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209900.v4.1 MKVESFEDEEVAELLNDSFVSVKVDREERPDVDKVYMTFVQALYGGGGWPLSVFISPDLKPLMGGTYFPPDDKYGRPGFKTILRKVKDAWFSKRDTLVKSGAFAIEQLSEALSASASSKKLPDELSQNALHLCAEQLSQSYDSRYGGFGSAPKFPRPVEIQLMLYHSKKLDDAGNYSESKKGLQMVFFTLQCMARGGIHDHIGGGFHRYSVDERWHVPHFEKMLYDQGQLVNVYLDAFSITNDVFYSSLSRDILDYLRRDMIGPEGEIFSAEDADSAEREDAKKKKEGAFYIWTSQEIDDLLGEHATLFKDHYYVKPLGNCDLSRMSDPQDEFKGKNVLIELTDTSAPAKKYGLPLEKYLDILGECRQKLFDARSRGPRPHLDDKVIVSWNGLAISSLARASKILMGEAEGTKYNFPVVGCDPKEYMTAAEKAASFIRRHLYNEQAHRLEHSFRNGPSKAPGFLDDYAFLISGLLDLYEVGGGIHWLVWATELQNKQDELFLDREGGGYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLIRLASMMTGSKSEYYRQNAEHLLAVFESRLKDMAMAVPLMCCAADMISVPSHKQVVLVGHKSSLEFDKMLAAAHASYDPNRTVIHIDPTDNEEMEIWEDNNSNIALMARNNFAADKVVALVCQNFTCSPPVTDPKSLKALLLKKPT >Potri.002G209900.4.v4.1 pep chromosome:Pop_tri_v4:2:17749292:17755530:-1 gene:Potri.002G209900.v4.1 transcript:Potri.002G209900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209900.v4.1 MNPKRQTVSPPGPNQHFFLVNANKKLSSFPPSSSMLKLLRLSSSLLARHSFSTTSKLSSSLRRPTKYRNCPCSSAFVGRFHTHKVLAMAETTSSSSSSHEHTNRLSAEHSPYLLQHAHNPVNWYPWGEEAFAEARRRDVPIFLSIGYSTCHWCHVMKVESFEDEEVAELLNDSFVSVKVDREERPDVDKVYMTFVQALYGGGGWPLSVFISPDLKPLMGGTYFPPDDKYGRPGFKTILRKVKDAWFSKRDTLVKSGAFAIEQLSEALSASASSKKLPDELSQNALHLCAEQLSQSYDSRYGGFGSAPKFPRPVEIQLMLYHSKKLDDAGNYSESKKGLQMVFFTLQCMARGGIHDHIGGGFHRYSVDERWHVPHFEKMLYDQGQLVNVYLDAFSITNDVFYSSLSRDILDYLRRDMIGPEGEIFSAEDADSAEREDAKKKKEGAFYIWTSQEIDDLLGEHATLFKDHYYVKPLGNCDLSRMSDPQDEFKGKNVLIELTDTSAPAKKYGLPLEKYLDILGECRQKLFDARSRGPRPHLDDKVIVSWNGLAISSLARASKILMGEAEGTKYNFPVVGCDPKEYMTAAEKAASFIRRHLYNEQAHRLEHSFRNGPSKAPGFLDDYAFLISGLLDLYEVGGGIHWLVWATELQNKQDELFLDREGGGYFNTPGEDPSVLLRVKEDHDGAEPSGNSVSAINLIRLASMMTGSKSEYYRQNAEHLLAVFESRLKDMAMAVPLMCCAADMISVPSHKQVVLVGHKSSLEFDKMLAAAHASYDPNRTVIHIDPTDNEEMEIWEDNNSNIALMARNNFAADKVVALVCQNFTCSPPVTDPKSLKALLLKKPT >Potri.009G073900.3.v4.1 pep chromosome:Pop_tri_v4:9:7232607:7233605:1 gene:Potri.009G073900.v4.1 transcript:Potri.009G073900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073900.v4.1 MSTTIESPKHNPMSHLINSISSYDSNIMLAAVISLLVVILFVLLLHIYAKWFLAQARNRRRSSSVTASHVLLPSRFHHLHNFTIDTTFSTSTSKGLDTSVISSIPLFVYKAEECKQGLECVICLSPFEENEAGRSLTRCGHGFHVECIDMWLNSHSNCPVCRAPAVSDDNDIASDGKSMEASTRESTDDRGLSDVGDSRLEIVISNSENGNLAVNCDCLSESPSTSSSLGSSLKRMLSRNRSESKAFPSINVIELDA >Potri.009G073900.2.v4.1 pep chromosome:Pop_tri_v4:9:7232290:7234376:1 gene:Potri.009G073900.v4.1 transcript:Potri.009G073900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073900.v4.1 MSTTIESPKHNPMSHLINSISSYDSNIMLAAVISLLVVILFVLLLHIYAKWFLAQARNRRRSSSVTASHVLLPSRFHHLHNFTIDTTFSTSTSKGLDTSVISSIPLFVYKAEECKQGLECVICLSPFEENEAGRSLTRCGHGFHVECIDMWLNSHSNCPVCRAPAVSDDNDIASDGKSMEASTRESTDDRGLSDVGDSRLEIVISNSENGNLAVNCDCLSESPSTSSSLGSSLKRMLSRNRSESKAFPSINVIELDA >Potri.016G072000.1.v4.1 pep chromosome:Pop_tri_v4:16:5343268:5348260:1 gene:Potri.016G072000.v4.1 transcript:Potri.016G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072000.v4.1 MEETKSKFKRICVFCGSSSGKKASYQEAAVEVAKELVERRIDLVYGGGSLGLMGLVSQAVHDGGRHVLGVIPRSLMPREVTGEPVGEVRAVSDMHQRKAEMARQADAFIALPGGYGTLEELLEVITWAQLNIHHKPVGLLNVDGFYNSLLSFIDKAVDEGFISPAARRIIVSAPTAKQLVRQLEDYVPEYDEITAKLVWEEVDRLTA >Potri.005G200400.1.v4.1 pep chromosome:Pop_tri_v4:5:20615498:20617713:-1 gene:Potri.005G200400.v4.1 transcript:Potri.005G200400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200400.v4.1 MDLFPTPVDSSWWMFALPAMLQIQKLSNPLILLFVLASFLVITVLNWAFSTGGLAWKNGRNQKGNVPIPGPRGLPLFGSLFSLSRGLAHRTLACMASSQAATQLMAFSLGSTPAIVTSDPQIAREILTSPHFADRPIKLSAKSIMFSRAIGFAPNGAYWRLLRRIASNHLFAPRRIAAYEPWRQLDCANMLSGIYNEQSLRGIVCLRKHLQNASINNIMGTVFGKRYDLMHNNEEAKELQELVREGFELLGAFNWSDYLPWLNYFYDPSRIKQRCCLLVPRVKKLVKKIIDEHRIMKPKNEFQNADFVHVLLSLEGEEKLDEDDMVAVLWEMIFRGTDTTALLTEWIMAELVLNPEIQAKLRNELNFIVGNRSVKDADVAKLPYLQAVIKETLRVHPPGPLLSWARLSTSDVHLSNGMVVPTNTTAMVNMWAITHDPRVWEDALVFKPERFLERQGGADVDVRGGDLRLAPFGAGRRVCPGKNIGLVTVSLWVAKLVHHFEWVQDTHNPVDLSEVLRLSCEMKKPLSAVAIPKE >Potri.018G096035.1.v4.1 pep chromosome:Pop_tri_v4:18:11629345:11636768:1 gene:Potri.018G096035.v4.1 transcript:Potri.018G096035.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096035.v4.1 MIAAVSPLSPPKHTAVFIHTTNPFSFPLHSGADPAKMDWIRKRMNFRCFNKRTDSKVLKVEVESGGEDFMDAAVAEARVSPQHLVIMVNGIIGSSADWRYAADQFVKKLPDKILVHRSECNHSKLTFDGVDLMGDRLAEEVLAVVRHRPEVRKISFVAHSLGGLVARYAIARLYENLPKSALSNLTENSLDEEHTNSMHCLEQPCEARIAGLEPVNFITFATPHLGSRGNKQLPILCGLPFLERRASQTAHLIVGRTGKHLFLTDNDNGIPLLLQMVNDSDGLRFISALRAFKRRVVYANANYDHIVGWGTSSIRRQNELPKSNLLVTDNKYPHIVYVERERVDHNSDKKTLVVGEQTTDLEEEMIKGLTQVPWERVDVSFHKSKQRYIAHNTIQVKSYWLNSDGADVVFHMIDNFLL >Potri.002G192600.1.v4.1 pep chromosome:Pop_tri_v4:2:15535414:15537559:-1 gene:Potri.002G192600.v4.1 transcript:Potri.002G192600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192600.v4.1 MHNTSSDIGTVNAEKMFPRAFFFQNLFFFFFRIISRQFRNPKGLQRSLSNVHAAHGKYQKYASLSFRSDLSAKTMVFNVEGTLLKSYSLFPYFMLVAFEAGSLLRALILFLLYPFICLVGQDMGLKIMVMVCFFGIKKESFRVGSAVLPKFFLEDVGLEALEELKRGGRKVAVSDHFPQVMIESFLRDYLDVNCVVGRELKTGCGYFLGLLEEKKKDMLNLEEILGKDSVISHDDIIGISQLNSSLDHPLFSHCKEIYLVRRVDKRSWQHLPRDRYPKPLIFHDGRLALRPTPLATLALFMWVPFGFVLALIRAAVALSLPYSMSIPTLTFTGVKVAISKPKLSSSVLPSSKENESKKGLLYVCNHRTLLDPLYLSFALKKNFTAVTYSLSRLSEILSPIRTVRLTRDREQDAKMMERLLNQGDLVVCPEGTTCREPYLLRFSPLFAEMSDDIVPVALDTHVSMFYGTTAGGLKCLDPLFFLMNPRPSYTIQLLDGVSGLSTCQDSDKSRFDVANYVQSEIGKALSFECTKLTRRDKYLILAGNEGITCNQR >Potri.001G251200.1.v4.1 pep chromosome:Pop_tri_v4:1:26747279:26750680:-1 gene:Potri.001G251200.v4.1 transcript:Potri.001G251200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251200.v4.1 MDQYYSQADRVSPDLENEDVNYKVGFSINNKEVCVNGKTPQNISSSILRNKSDPMLVSSSARFQMLWHFLTNLQEVILGTKLAVLFPAIPLAIAADYYKFGRPWIFALSLLGLTPLAERVSFLTEQIAYFTGPTVGGLLNATCGNATELIIALFALYQNKIHVLKYSLLGSILSNLLLVLGTSLLCGGLANLKREQRYDRKQADVNSLLLLLGLLCHMLPLMFRYAIGEGTATACSILQLSRVSSIVMLIAYVAYIFFQLKTHRQLFDAQEEEDDDEEEKAVIGFWSAFTWLAGMTIIIALLSEYVVGTIEAASDSWGISVSFISIILLPIVGNAAEHAGSIIFAFKNKLDISLGVALGSASQISMFVVPLCVIVAWTMHIRMDLDFSLLETGSLAFTIIITAFTLQDGTSHYMKGMLLFLCYIVIAACFFVHKIPQNQISEGKPFTGLFSA >Potri.001G349700.1.v4.1 pep chromosome:Pop_tri_v4:1:36188463:36192074:1 gene:Potri.001G349700.v4.1 transcript:Potri.001G349700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349700.v4.1 MEENPPRVSSQERTHEVSRGGPTRKSRHAVDEGGDLIECSSKHCQSCTAGLVADCVALCCCPCALVNLLTLAFVKVPWMIGRRCLGRVKRKKMKLEIKRKCRKNSEGEGNTVAQRERDCNLRKRMIEEEGVLGIATGFGEEEEMASVTARYEAERLWFELNQIGHLGFGRVSFTDNPCVDDSLGK >Potri.002G245700.2.v4.1 pep chromosome:Pop_tri_v4:2:23683416:23687548:-1 gene:Potri.002G245700.v4.1 transcript:Potri.002G245700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245700.v4.1 MGVLSESWCFCKGVGKSEKLKAALFTGKGPAIARISATANGISGTGFLIHRNLLLTAHVNIPSIAAAEGCEIRLQNGVAATLVPHRFFITTSVLDLTIVGLDSVDGESNAQGQQPHYLKTCSKPNLDLGSAVYFLGHTDNEELTVGEGKVVIATDNLIKLSTDGVSWSPGSAGFDAQGNLAFMICDPMKLATSPNTKSSSTSSSSSSSWKKDSPMQFGIPVPIICDWLNQHWEGNLDELTKPKLPIIRLMSTGQKSEHSCASFTLRQVFKSTEADNDGTPSSNTVSKGKDQPNPGCSGTENTVKEGIQTTDSHGAHVQGIPTPEIYESPKLTAGPLRKKESAQIQLLDINFPPRVTKTTVQPHPDIQLLPNSDENSVKEMPSQTPLSEDQIKYKGHAIPEGDADIASTGSVNGAQSEVHSSSSPVEVSEMYNGYSSEGETTMYSAETAESRNYTSPREGKFQQVGRSHSCVSYNRWGTAQRNQVARRAMLEQQRSFIHGRKMHSQGATSQRSNDYFSPTVSSIMKKRNNSEQPTRPKQSAVHSSPRWMF >Potri.001G043200.1.v4.1 pep chromosome:Pop_tri_v4:1:3133301:3137823:1 gene:Potri.001G043200.v4.1 transcript:Potri.001G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043200.v4.1 MADLKERLLPPKPASAINLRDSSYRSSSSGRQPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLMTPGVGEVWQSEGAELNRRRSRNFDNVFGNASPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMESSFYGDQSLMGFRSNDGGTSISAPVSPVSSPPESRKLEKSLSIARSRHESMRSSESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAVPLFDDAGAFKWVLIITGVTGVIIFCAFVWFFKYRRLMPL >Potri.001G043200.2.v4.1 pep chromosome:Pop_tri_v4:1:3133296:3137823:1 gene:Potri.001G043200.v4.1 transcript:Potri.001G043200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043200.v4.1 MADLKERLLPPKPASAINLRDSSYRSSSSGRQPFQGVDVLGLKKRGQGLRSWIRVDSSGNSQIIEVDKFTMMRRCDLPARDLRLLDPLFVYPSTILGREKAIVVNLEQIRCIITADEVLLLNSLDSYVLQYVVELQRRLMTPGVGEVWQSEGAELNRRRSRNFDNVFGNASPDYLPFEFRALEVALEAACTFLDSQAAELEIEAYPLLDELTSKISTLNLERVRRLKSRLVALTRRVQKVRDEIEQLMDDDGDMAEMYLTEKKSRMESSFYGDQSLMGFRSNDGGTSISAPVSPVSSPPESRKLEKSLSIARSRHESMRSSESATENIEELEMLLEAYFVVIDSTLNKLTSLKEYIDDTEDFINIQLDNVRNQLIQFELLLTTATFVVAIFGVVAGIFGMNFAVPLFDDAGAFKWVLIITGVTGVIIFCAFVWFFKYRRLMPL >Potri.011G145200.1.v4.1 pep chromosome:Pop_tri_v4:11:17392198:17393082:-1 gene:Potri.011G145200.v4.1 transcript:Potri.011G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145200.v4.1 MSHMRYTRVGKRSHHRHGASCTRGFRLKYPRRFSVQRLRARFFYLFRFFSRWRSSYGRAVQYLKRGVNRNSSIIERCGSNERGFMMDATSCHYMGKVDDQYRFRSFGRSNSFYSEAIADCLEFIKRSSISVEQKQVSPR >Potri.012G010900.1.v4.1 pep chromosome:Pop_tri_v4:12:81850:82621:-1 gene:Potri.012G010900.v4.1 transcript:Potri.012G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G010900.v4.1 MGGCAGKFKGSDDLPPEPLPSEAPVNPDQAEGETVAQEKEVGDQNKTEAPLVDVYEKKPEGEKPAEPEAAAAAAEPEKKDETPVAKSEDKVEATSVITEEPAKETEKKESDVAPAKEANKDAPLVTV >Potri.014G031800.3.v4.1 pep chromosome:Pop_tri_v4:14:1978363:1982161:-1 gene:Potri.014G031800.v4.1 transcript:Potri.014G031800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031800.v4.1 MTVTLVIVFYQWVDFCMLLLHRFFPEKAETLAFDMLNGLLEELKIRPCPIVLASFSGGPKACMYKVLQIIDGKCEVQLNPDDHQLVRDCISGHIYDSSPVDFTSDLGRRFVVHPSVLKMSHPPRMLSWMTNGISSSLDALFLNRFESQRAEYWQTLYSSVSMGGPYLILCSENDDLAPYQVICNFAQRLKELGGDVKLLKMNDSPHVGHYRSYPVDYNAAVTELLGKAAAIYSQRIQRLEGERMGFEGTHDEISEPISELRKAAANPHHSFRGVSIAPSDHFFMPCSVEYYEGRDVGSLQDEHKENLVHLRTPPTINPDGVLGQILFDVCIPKNVEGWDLRSIASLSRHPFNPTRRDAPFNPMKCIRRSRL >Potri.014G031800.4.v4.1 pep chromosome:Pop_tri_v4:14:1978364:1982199:-1 gene:Potri.014G031800.v4.1 transcript:Potri.014G031800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031800.v4.1 MWGFGGKCYWGRREMNREGIVVVFPWMSSQERHVKTYVDLYGSLGWNSLVCHSPFFNMFFPEKAETLAFDMLNGLLEELKIRPCPIVLASFSGGPKACMYKVLQIIDGKCEVQLNPDDHQLVRDCISGHIYDSSPVDFTSDLGRRFVVHPSVLKMSHPPRMLSWMTNGISSSLDALFLNRFESQRAEYWQTLYSSVSMGGPYLILCSENDDLAPYQVICNFAQRLKELGGDVKLLKMNDSPHVGLFLTTIIMFNQDHITCHVTAETIMAGCSD >Potri.014G031800.1.v4.1 pep chromosome:Pop_tri_v4:14:1978286:1982201:-1 gene:Potri.014G031800.v4.1 transcript:Potri.014G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031800.v4.1 MWGFGGKCYWGRREMNREGIVVVFPWMSSQERHVKTYVDLYGSLGWNSLVCHSPFFNMFFPEKAETLAFDMLNGLLEELKIRPCPIVLASFSGGPKACMYKVLQIIDGKCEVQLNPDDHQLVRDCISGHIYDSSPVDFTSDLGRRFVVHPSVLKMSHPPRMLSWMTNGISSSLDALFLNRFESQRAEYWQTLYSSVSMGGPYLILCSENDDLAPYQVICNFAQRLKELGGDVKLLKMNDSPHVGHYRSYPVDYNAAVTELLGKAAAIYSQRIQRLEGERMGFEGTHDEISEPISELRKAAANPHHSFRGVSIAPSDHFFMPCSVEYYEGRDVGSLQDEHKENLVHLRTPPTINPDGVLGQILFDVCIPKNVEGWDLRSIASLSRHPFNPTRRDAPFNPMKCIRRSRL >Potri.009G168700.1.v4.1 pep chromosome:Pop_tri_v4:9:12853818:12855924:-1 gene:Potri.009G168700.v4.1 transcript:Potri.009G168700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168700.v4.1 MMGSGILEVRYGFKRVVWIQVYGACTQARAHDGAGMYTHAGPTPYTAECSVLGSLVVLWVLIQELIDDKVWKTVRDEHDLISLPMQLWSSLEGNKGGHKYRLKGTCSIGRFSLLINFDNYFQVQGPISAFQSIMQDSIIGTDGVNPKSLACCDSVMFFNLGFSNAWSMKMKLKILVLQKSTNRVLK >Potri.003G156301.1.v4.1 pep chromosome:Pop_tri_v4:3:16723435:16728436:-1 gene:Potri.003G156301.v4.1 transcript:Potri.003G156301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156301.v4.1 MVLSATVIGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGVVFVNQLVKWDAQLEQDLDKMLLKAKEANERRYFDEDDD >Potri.003G156301.2.v4.1 pep chromosome:Pop_tri_v4:3:16727814:16728348:-1 gene:Potri.003G156301.v4.1 transcript:Potri.003G156301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156301.v4.1 MVLSATVIGALLGLGTQMYSNALRKLPYMRHPWEHVVGMGLGVVFVNQLVKWDAQLEQDLDKMLLKAKEANERRYFGTLSFSYLDHIFLLQQFFFSGFALFFLISSSFSLGPRFLLLKFGFFFLMLLIIGGINLRKQVFLL >Potri.003G117400.4.v4.1 pep chromosome:Pop_tri_v4:3:13959754:13963776:1 gene:Potri.003G117400.v4.1 transcript:Potri.003G117400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117400.v4.1 MTFYEDDSVVPELIMSMEGDKIDGGDNSEGDYVNLRDENGAGERCNLCGFYWGFGWSVFWYWVKLALLFTFIGLLAAACVMWVGPFLMDKEIIPIMNWETRTFSTPVLAILLFASVALFPTLLLPSSPSMWVAGMTFGYGIGFLLIITAAAVGVSLPYLIGSLFLHKIQGWLEKYPKRAAILRAAGEGNWFHQFRAVTLIRISPFPYILYNYCAVATNVKYGPYLLGSLVGMVPEIFVSIYT >Potri.003G117400.2.v4.1 pep chromosome:Pop_tri_v4:3:13959854:13963883:1 gene:Potri.003G117400.v4.1 transcript:Potri.003G117400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117400.v4.1 MTFYEDDSVVPELIMSMEGDKIDGGDNSEGDYVNLRDENGAGERCNLCGFYWGFGWSVFWYWVKLALLFTFIGLLAAACVMWVGPFLMDKEIIPIMNWETRTFSTPVLAILLFASVALFPTLLLPSSPSMWVAGMTFGYGIGFLLIITAAAVGVSLPYLIGSLFLHKIQGWLEKYPKRAAILRAAGEGNWFHQFRAVTLIRISPFPYILYNYCAVATNVKYGPYLLGSLVGMVPEIFVSIYTGILIRTLADASSDRRTLSAPQIVFTVFGFCATVVATIIITVYAKRQLKVMQDEPLLE >Potri.010G174800.9.v4.1 pep chromosome:Pop_tri_v4:10:17538377:17546261:-1 gene:Potri.010G174800.v4.1 transcript:Potri.010G174800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174800.v4.1 MGGVFSHAKSNQRGYSRGRSSGNSNIVTRGISSPSSSTSYPYPHHPRIMSKEPSSSLSNTARATGSDTSSQAKGTSQAKGGSKLEKKQSIKQKYSFIPDNFSSLEQVTTALREAGLESSNLVVGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTMAPFDEDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFEEVLACYKKIVPNLRLSGPTSYGPVIEAAIDIVEKSGGQYHVLLIIADGQVTRSVDTGDGEMSPQEEQTIKAIVDASSYPLSIILVGVGDGPWEDMKRFDDKLPAREFDNFQFVNFTAIMSKNATISEKETAFALAALMEIPLQYKAAVEFGIIGRSMGQAKKIVPRPPPVPYSRRPVLDREPTHVSSPVLDERTQACPICLTNRKDLAFGCGHMTCRDCGSRVSSCPICRRPITSRIRLFA >Potri.010G174800.11.v4.1 pep chromosome:Pop_tri_v4:10:17538377:17546513:-1 gene:Potri.010G174800.v4.1 transcript:Potri.010G174800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174800.v4.1 MGGVFSHAKSNQRGYSRGRSSGNSNIVTRGISSPSSSTSYPYPHHPRIMSKEPSSSLSNTARATGSDTSSQAKGTSQAKGGSKLEKKQSIKQKYSFIPDNFSSLEQVTTALREAGLESSNLVVGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTMAPFDEDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFEEVLACYKKIVPNLRLSGPTSYGPVIEAAIDIVEKSGGQYHVLLIIADGQVTRSVDTGDGEMSPQEEQTIKAIVDASSYPLSIILVGVGDGPWEDMKRFDDKLPAREFDNFQFVNFTAIMSKNATISEKETAFALAALMEIPLQYKAAVEFGIIGRSMGQAKKIVPRPPPVPYSRRPVLDREPTHVSSPVLDERTQACPICLTNRKDLAFGCGHMTCRDCGSRVSSCPICRRPITSRIRLFA >Potri.010G174800.10.v4.1 pep chromosome:Pop_tri_v4:10:17538411:17546256:-1 gene:Potri.010G174800.v4.1 transcript:Potri.010G174800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174800.v4.1 MGGVFSHAKSNQRGYSRGRSSGNSNIVTRGISSPSSSTSYPYPHHPRIMSKEPSSSLSNTARATGSDTSSQAKGTSQAKGGSKLEKKQSIKQKYSFIPDNFSSLEQVTTALREAGLESSNLVVGIDFTKSNEWTGKVSFNNRSLHAIGDTPNPYEKAISIIGKTMAPFDEDNLIPCFGFGDATTHDQEVFSFHSDHSPCHGFEEVLACYKKIVPNLRLSGPTSYGPVIEAAIDIVEKSGGQYHVLLIIADGQVTRSVDTGDGEMSPQEEQTIKAIVDASSYPLSIILVGVGDGPWEDMKRFDDKLPAREFDNFQFVNFTAIMSKNATISEKETAFALAALMEIPLQYKAAVEFGIIGRSMGQAKKIVPRPPPVPYSRRPVLDREPTHVSSPVLDERTQACPICLTNRKDLAFGCGHMTCRDCGSRVSSCPICRRPITSRIRLFA >Potri.007G062422.1.v4.1 pep chromosome:Pop_tri_v4:7:7126763:7127095:-1 gene:Potri.007G062422.v4.1 transcript:Potri.007G062422.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062422.v4.1 MLLVGKQPTNFFSIVLHYSLSKGVSFFLWGESFFLNQASILFSNAATRKMLFAAILSICTLSSKKILIYNEEIIVAPSSLGFIRKSLGKTCKERLDRRGYSGRTAAPFWA >Potri.013G037665.1.v4.1 pep chromosome:Pop_tri_v4:13:2531297:2531688:1 gene:Potri.013G037665.v4.1 transcript:Potri.013G037665.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037665.v4.1 MVMAPPTGSLATRNSSKFAVLSPSRHQKKISAAATNGRNIRLLHRRHNPTSSTPWSEEIECILDNSLREMPIIGAKDSNPIQQEESANTSTCALCCFVRI >Potri.019G128900.3.v4.1 pep chromosome:Pop_tri_v4:19:15157508:15161395:-1 gene:Potri.019G128900.v4.1 transcript:Potri.019G128900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128900.v4.1 MSEGQKFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHMALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKQFSRSIQLSLTILLMGVGIATVTDLQLNVLGSILSLLAVLTTCVAQIMTNTIQKKFRVSSTQLLYQSCPYQALTLFIVGPFLDGLLTNKNVLAFKYTPLVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVVGMVLYSYCCTLENQQKQNEAPAKLPEVKESETDPLISAENGTGILADGGVPKVVPLWNSNKDLDA >Potri.019G128900.2.v4.1 pep chromosome:Pop_tri_v4:19:15157438:15161410:-1 gene:Potri.019G128900.v4.1 transcript:Potri.019G128900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128900.v4.1 MSEGQKFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHMALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKQFSRSIQLSLTILLMGVGIATVTDLQLNVLGSILSLLAVLTTCVAQIMTNTIQKKFRVSSTQLLYQSCPYQALTLFIVGPFLDGLLTNKNVLAFKYTPLVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVVGMVLYSYCCTLENQQKQNEAPAKLPEVKESETDPLISAENGTGILADGGVPKVVPLWNSNKDLDA >Potri.019G128900.5.v4.1 pep chromosome:Pop_tri_v4:19:15157523:15161311:-1 gene:Potri.019G128900.v4.1 transcript:Potri.019G128900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128900.v4.1 MSEGQKFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHMALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKQFSRSIQLSLTILLMGVGIATVTDLQLNVLGSILSLLAVLTTCVAQIMTNTIQKKFRVSSTQLLYQSCPYQALTLFIVGPFLDGLLTNKNVLAFKYTPLVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVVGMVLYSYCCTLENQQKQNEAPAKLPEVKESETDPLISAENGTGILADGGVPKVVPLWNSNKDLDA >Potri.019G128900.4.v4.1 pep chromosome:Pop_tri_v4:19:15157574:15161380:-1 gene:Potri.019G128900.v4.1 transcript:Potri.019G128900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128900.v4.1 MSEGQKFQLGTVGALSLSVVSSVSIVICNKALISTLGFTFATTLTSWHLLVTFCSLHMALWMKLFEHKPFDARAVMGFGILNGISIGLLNLSLGFNSVGFYQMTKLAIIPCTVLLETLFFRKQFSRSIQLSLTILLMGVGIATVTDLQLNVLGSILSLLAVLTTCVAQIMTNTIQKKFRVSSTQLLYQSCPYQALTLFIVGPFLDGLLTNKNVLAFKYTPLVLFFIVLSCLISVSVNFSTFLVIGKTSPVTYQVLGHLKTCLVLAFGYVLLRDPFSWRNILGILIAVVGMVLYSYCCTLENQQKQNEAPAKLPEVKESETDPLISAENGTGILADGGVPKVVPLWNSNKDLDA >Potri.008G111800.8.v4.1 pep chromosome:Pop_tri_v4:8:7105247:7109381:1 gene:Potri.008G111800.v4.1 transcript:Potri.008G111800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111800.v4.1 MSHEPDSRPVEYNDNALDSIGLKSGNGSVKEIENGKFSDLNGMEGDADRLPNVAPVPSPHSSLKMEPFEESVFYMDKSVMVREVPELIVCYKENTYHVKDICVDEGVPLQDKFLFDTDAHKKNMCEFLPSERDMNNEMVKEKSDLDMLIPEMLKSSSEKQNVDLHLPVPDVLISSEEKGSKHDLSLDCDPKHLMPTEEVMDYGTKKVTDNASKEILSLRDLLSMSELGAKCTPANASYHNMDKVEQQSLLCPRENAILETDSASEESEHCGEETISDNGLESATLAIPTQDPAYQEGDHGHTEAVLVSPTLTSAAEESDSKETKLASHALDSFSEGSTSRIEDELPYNSKTETRSISFDNDSSAPAASARESPQNGESQRLGTRIVSRFEDPNAERLSGGQLQYADGESSFSSSGPLFGLTSHSGPIAYSGSVSLRSDSSTTSTRSFAFPILQSEWNSSPARMAKADRRHFQKPRKWMQGLLCCRF >Potri.008G111800.9.v4.1 pep chromosome:Pop_tri_v4:8:7104918:7109374:1 gene:Potri.008G111800.v4.1 transcript:Potri.008G111800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111800.v4.1 MSHEPDSRPVEYNDNALDSIGLKSGNGSVKEIENGKFSDLNGMEGDADRLPNVAPVPSPHSSLKMEPFEESVFYMDKSVMVREVPELIVCYKENTYHVKDICVDEGVPLQDKFLFDTDAHKKNMCEFLPSERDMNNEMVKEKSDLDMLIPEMLKSSSEKQNVDLHLPVPDVLISSEEKGSKHDLSLDCDPKHLMPTEEVMDYGTKKVTDNASKEILSLRDLLSMSELGAKCTPANASYHNMDKVEQQSLLCPRENAILETDSASEESEHCGEETISDNGLESATLAIPTQDPAYQEGDHGHTEAVLVSPTLTSAAEESDSKETKLASHALDSFSEGSTSRIEDELPYNSKTETRSISFDNDSSAPAASARESPQNGESQRLGTRIVSRFEDPNAERLSGGQLQYADGESSFSSSGPLFGLTSHSGPIAYSGSVSLRSDSSTTSTRSFAFPILQSEWNSSPARMAKADRRHFQKPRKWMQGLLCCRF >Potri.008G111800.7.v4.1 pep chromosome:Pop_tri_v4:8:7104373:7109383:1 gene:Potri.008G111800.v4.1 transcript:Potri.008G111800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111800.v4.1 MSHEPDSRPVEYNDNALDSIGLKSGNGSVKEIENGKFSDLNGMEGDADRLPNVAPVPSPHSSLKMEPFEESVFYMDKSVMVREVPELIVCYKENTYHVKDICVDEGVPLQDKFLFDTDAHKKNMCEFLPSERDMNNEMVKEKSDLDMLIPEMLKSSSEKQNVDLHLPVPDVLISSEEKGSKHDLSLDCDPKHLMPTEEVMDYGTKKVTDNASKEILSLRDLLSMSELGAKCTPANASYHNMDKVEQQSLLCPRENAILETDSASEESEHCGEETISDNGLESATLAIPTQDPAYQEGDHGHTEAVLVSPTLTSAAEESDSKETKLASHALDSFSEGSTSRIEDELPYNSKTETRSISFDNDSSAPAASARESPQNGESQRLGTRIVSRFEDPNAERLSGGQLQYADGESSFSSSGPLFGLTSHSGPIAYSGSVSLRSDSSTTSTRSFAFPILQSEWNSSPARMAKADRRHFQKPRKWMQGLLCCRF >Potri.008G111800.4.v4.1 pep chromosome:Pop_tri_v4:8:7104388:7109666:1 gene:Potri.008G111800.v4.1 transcript:Potri.008G111800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111800.v4.1 MSHEPDSRPVEYNDNALDSIGLKSGNGSVKEIENGKFSDLNGMEGDADRLPNVAPVPSPHSSLKMEPFEESVFYMDKSVMVREVPELIVCYKENTYHVKDICVDEGVPLQDKFLFDTDAHKKNMCEFLPSERDMNNEMVKEKSDLDMLIPEMLKSSSEKQNVDLHLPVPDVLISSEEKGSKHDLSLDCDPKHLMPTEEVMDYGTKKVTDNASKEILSLRDLLSMSELGAKCTPANASYHNMDKVEQQSLLCPRENAILETDSASEESEHCGEETISDNGLESATLAIPTQDPAYQEGDHGHTEAVLVSPTLTSAAEESDSKETKLASHALDSFSEGSTSRIEDELPYNSKTETRSISFDNDSSAPAASARESPQNGESQRLGTRIVSRFEDPNAERLSGGQLQYADGESSFSSSGPLFGLTSHSGPIAYSGSVSLRSDSSTTSTRSFAFPILQSEWNSSPARMAKADRRHFQKPRKWMQGLLCCRF >Potri.001G468800.1.v4.1 pep chromosome:Pop_tri_v4:1:49289354:49294712:1 gene:Potri.001G468800.v4.1 transcript:Potri.001G468800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G468800.v4.1 MALSIIFSPLLLSFFLFFSLLQPLTFATQKSYVVYLGSHSHGLEPTQSDIERVTDSHYELLGSFTEGKEKAKEKIFYSYTNNINGFAAVLEEEEASSLAKHPDVVSVFLNKGKKLHTTRSWNFLGLEADGMVPPYSLWKKARYGEDVIIGNLDTGVWPESKSFSDEGMGPVPSKWRGICQHDNKDGVVCNRKLIGTRYFNKGYAAYAGHLNSSFQTARDSEGHGTHTLSTAAGNFVPGADVLGYGNGTAKGGSPHARAAAYKVCWPPINGSNECFDADILAAFDVAISDGVDVLSVSLGGDPAEFSDDAIAIGSFHAVAKGITVVASAGNSGPSPGTVSNVAPWLITVGASTMDRAFTIYVALGNRKHLKGASLSEKRLPAEKFYPLISAADAKAADQSEEDALLCKPGALDPKKVKGKILVCLRGENGRVDKGHQALLAGAVGMILANDENSGNEIIADTHVLPAAHVNFTDGEAVFSYLNFTKEPMAFLTNVRTELATKPAPFMASFSSRGPNIIEESILKPDITAPGVSVIAAFTQAIGPSDAEYDKRRTPYNTQSGTSMSCPHVSGIVGLLKTLHPEWSPAAIRSAIMTTATTRDNNGEPIMDSTNTKATPFADGAGHVQPNHAADPGLIYDLTVNDFLNFLCNRGNTKKNIKLFSDKPYTCPKSFSLADFNYPSITVTNLNDSITVTRRVKNVGSPGTYNIHIRAPPGVTVSVAPSILRFQKIGEEKMFKVTFKLAPKAVLTDYVFGMLTWGDGKHFVRSPLVVRHY >Potri.017G084900.8.v4.1 pep chromosome:Pop_tri_v4:17:9767409:9770933:-1 gene:Potri.017G084900.v4.1 transcript:Potri.017G084900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084900.v4.1 MLKAQRKAYVPFRHAPFLLRPNAVSPSSPLDLIKLLKLSADTKNLKVGKTIHSHLIVTSRATENSIIEVNSLINFYAKVNQVSIAHNLFDRMPERNVVSWSALMTGYLLNGFSLKVIRLLKDMISEGNVSPNEYILAIAISSCCDRGRVEEGRQCHGLLLKTGFSFHNYVRNALVSMYSKCSIVQDAMGVWNEVPVNDIVAYNSILSSLVENGYLREGLEVLRSMVSESVKWDKVTFVNAFSLCASLKDLRLGLHVHGKMLTSDVECDAYVSSAIINMYGKCGKSLMARGVFDGLQSRNVVLWTAVMASCFQNGCFEEALNLFSKMEQENVKSNEFTYAVLLNACAGLSARRNGSLLHGHSEKSGFKHHVMVGNALINMYAKSGDIEAAKKVFSDMMHRDIITWNAMICGFSHHGLGKKALLVFQDMLAAEEHPNYVTFTGVLSACGHLGLVQEGFYYLHHLMKQFGVQPGLEHYTCIVSLLSKTGQLNEARNFMRTAPVKWDVVAWRTLLNACHVHQNYGLGRWVAEFVLEMDPNDVGTYTLLSNIYAKEKRWDGVVKVRKLMRDKKIKKEPGVSWIEIGNVTHIFTSEDNKHPDYGQTYQKVKELLAMIKPLGYTPDIGAVLHDVEDEQKEYYLSYHSEKLAIAYGLLKLPSEASILVIKNLRICDDCHSAVRLISKVTNRVIVVRDANRFHHFRDGRCSCLDYW >Potri.017G084900.7.v4.1 pep chromosome:Pop_tri_v4:17:9767215:9770973:-1 gene:Potri.017G084900.v4.1 transcript:Potri.017G084900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084900.v4.1 MLKAQRKAYVPFRHAPFLLRPNAVSPSSPLDLIKLLKLSADTKNLKVGKTIHSHLIVTSRATENSIIEVNSLINFYAKVNQVSIAHNLFDRMPERNVVSWSALMTGYLLNGFSLKVIRLLKDMISEGNVSPNEYILAIAISSCCDRGRVEEGRQCHGLLLKTGFSFHNYVRNALVSMYSKCSIVQDAMGVWNEVPVNDIVAYNSILSSLVENGYLREGLEVLRSMVSESVKWDKVTFVNAFSLCASLKDLRLGLHVHGKMLTSDVECDAYVSSAIINMYGKCGKSLMARGVFDGLQSRNVVLWTAVMASCFQNGCFEEALNLFSKMEQENVKSNEFTYAVLLNACAGLSARRNGSLLHGHSEKSGFKHHVMVGNALINMYAKSGDIEAAKKVFSDMMHRDIITWNAMICGFSHHGLGKKALLVFQDMLAAEEHPNYVTFTGVLSACGHLGLVQEGFYYLHHLMKQFGVQPGLEHYTCIVSLLSKTGQLNEARNFMRTAPVKWDVVAWRTLLNACHVHQNYGLGRWVAEFVLEMDPNDVGTYTLLSNIYAKEKRWDGVVKVRKLMRDKKIKKEPGVSWIEIGNVTHIFTSEDNKHPDYGQTYQKVKELLAMIKPLGYTPDIGAVLHDVEDEQKEYYLSYHSEKLAIAYGLLKLPSEASILVIKNLRICDDCHSAVRLISKVTNRVIVVRDANRFHHFRDGRCSCLDYW >Potri.017G084900.4.v4.1 pep chromosome:Pop_tri_v4:17:9767176:9770933:-1 gene:Potri.017G084900.v4.1 transcript:Potri.017G084900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084900.v4.1 MLKAQRKAYVPFRHAPFLLRPNAVSPSSPLDLIKLLKLSADTKNLKVGKTIHSHLIVTSRATENSIIEVNSLINFYAKVNQVSIAHNLFDRMPERNVVSWSALMTGYLLNGFSLKVIRLLKDMISEGNVSPNEYILAIAISSCCDRGRVEEGRQCHGLLLKTGFSFHNYVRNALVSMYSKCSIVQDAMGVWNEVPVNDIVAYNSILSSLVENGYLREGLEVLRSMVSESVKWDKVTFVNAFSLCASLKDLRLGLHVHGKMLTSDVECDAYVSSAIINMYGKCGKSLMARGVFDGLQSRNVVLWTAVMASCFQNGCFEEALNLFSKMEQENVKSNEFTYAVLLNACAGLSARRNGSLLHGHSEKSGFKHHVMVGNALINMYAKSGDIEAAKKVFSDMMHRDIITWNAMICGFSHHGLGKKALLVFQDMLAAEEHPNYVTFTGVLSACGHLGLVQEGFYYLHHLMKQFGVQPGLEHYTCIVSLLSKTGQLNEARNFMRTAPVKWDVVAWRTLLNACHVHQNYGLGRWVAEFVLEMDPNDVGTYTLLSNIYAKEKRWDGVVKVRKLMRDKKIKKEPGVSWIEIGNVTHIFTSEDNKHPDYGQTYQKVKELLAMIKPLGYTPDIGAVLHDVEDEQKEYYLSYHSEKLAIAYGLLKLPSEASILVIKNLRICDDCHSAVRLISKVTNRVIVVRDANRFHHFRDGRCSCLDYW >Potri.001G405600.1.v4.1 pep chromosome:Pop_tri_v4:1:43307817:43308876:1 gene:Potri.001G405600.v4.1 transcript:Potri.001G405600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405600.v4.1 MIKEVKTQVNVGVEVDVLWKAFGKDLKDILPKMMPNLVKDADMLEGDGGLDTVYLFNFGPGLKTMTYQKERVTEFDEFVHRIGLEVIEGGHLNHGFSHYKTTFQLTSTGEQETLIDVTISYESQVEEDTVPSNSASSTLVFIKHMENYLMNGAT >Potri.019G041100.1.v4.1 pep chromosome:Pop_tri_v4:19:5700964:5701908:1 gene:Potri.019G041100.v4.1 transcript:Potri.019G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041100.v4.1 MGIEAENNSNRASTTTLVFTYGTLKRGFSNHVLMQDLIKTGDAVFNGIYRTVDNYPLVCGPYRVPFLLNLPDATGSHRVTGELYAVSSQGLSRLDELEGTGRDHYERLPIRVEPIDGGDAVFGVEAYYGHRSYAMEMWKRSGKRGYGVYGEKEAKGYVKRKDRPQNLSFLEQINVFVSSCSDN >Potri.001G414600.1.v4.1 pep chromosome:Pop_tri_v4:1:43715515:43716147:-1 gene:Potri.001G414600.v4.1 transcript:Potri.001G414600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414600.v4.1 MASIGHAVEFQDYFPSMLEGLGTQGFMLELCNGFRLLMDSDKGLITFESLKRNIVLLGLQEMRDDELVCMLMEGDLDGDGAINQMEFCILMFRLSPGFMDGSKQWMEELSANEM >Potri.014G022600.1.v4.1 pep chromosome:Pop_tri_v4:14:1362469:1363889:1 gene:Potri.014G022600.v4.1 transcript:Potri.014G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022600.v4.1 MLSLLFLVLLTQSNLSISEQLPQNIFILAGQSNMAGRGGVVNNTKNGIPSWDGIVPVQCQPNPSILRLSASLTWVQAHEPLHADIDYNKTNGVGPGMSFANAILTKVPNFGSIGLVPCAIGGTSISEWAKGGFLYDQLVRRTQFALQRGGVIGAMLWYQGESDTQIREDADAYKGRLDRFFIDLRADLGYPTLPIIQVALASGEGPYVEIVRNAQLGINLPNVQCVDAKGLPLEPDRVHLTTPAQVQLGQTLTDAFLQSLSSPIHIANNSCRRFSNLMFHFLIGPLLRFVLLIVAFT >Potri.003G159400.1.v4.1 pep chromosome:Pop_tri_v4:3:16971065:16973565:-1 gene:Potri.003G159400.v4.1 transcript:Potri.003G159400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159400.v4.1 MAEVQLLIDVTKELQNKLDTSMQNILWNKRSIYKVPASVTVLNKAAYRPQTVSLGPYHYYDDQLKRMEEHKHRALHYFLERSGKSLELFLQSLNEVVQDLKDSYDQLDKSWKDDTSKFLQLMILDGCFMVEIMRVAIQPSNNYAADDPIFSSHGRVYTVPFIKRDMLIVENQLPMLVLYKLVAVESDGTKDEEFVNKLVLTFCNDPDASVLKLGKCLHVLDLYRKSLIQKDPNRKRHQPRVRGGLHKDANDIVRSAAEINEAGIRFKKSKTKSLKDISFHHGVLELPAVVVDDVTEALLLNLMAFERFHVGAGNEVTSYVFFMDNIIDNERDVSLLHSRGIIQNAIGSDKAVAKLFNSLSKDIALDPDSSLELVQRQVNAYCKKPWNAWRANLIQTYFRNPWAILSIVAALILFALTIVQTVYTILPTATPSS >Potri.001G267600.2.v4.1 pep chromosome:Pop_tri_v4:1:28261423:28264604:-1 gene:Potri.001G267600.v4.1 transcript:Potri.001G267600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267600.v4.1 MEPEKIDWKRIDSVFVEDRLYENVNAPKWFDFFAPEDSIDDEAWFCRPDCNHPKTAEDFFKTTPTSKFSSSGDKARSRTPLSEKNQRDAKSKRRGQSQSSFTSYNYKANFNQDSENRNPNLSTPTNHHESTKEMIKSSSCENNKPMDDVPQAREAPRLKSTLSARNLFAGKDKLGHITEFCSELKKMATRAWEKESLNERESQVGEKKDGLFVSEGSREVLRELNVKVKESKPLLEKDGEKSGGNEKGSVKEKQWKKKRDDDAENIPVPLNLANVKIKGEERLLQIRTNPPSPQCFSANRAPTKTTTPSKASRSRLTVRGMLEELKDREITKEETEGKGRSFLAADGRESRVLDVFWFLKPCTHSS >Potri.015G082283.2.v4.1 pep chromosome:Pop_tri_v4:15:10753406:10753972:-1 gene:Potri.015G082283.v4.1 transcript:Potri.015G082283.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082283.v4.1 MTFQSHSSLTTLNYPSTSALHNTPNMFDKTPQSSFCYGNSMIRACAQAHIFEDALLIKIKIVNGEIKPDSLLLRR >Potri.015G082283.1.v4.1 pep chromosome:Pop_tri_v4:15:10752447:10753778:-1 gene:Potri.015G082283.v4.1 transcript:Potri.015G082283.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082283.v4.1 MTFQSHSSLTTLNYPSTSALHNTPNMFDKTPQSSFCYGNSMIRACAQAHIFEDALLIKIKIVNGEIKPDSLLLHSVLISSVLVVATQLENVGSATEMHGYRGFVGLGLRVFKNIPNRNILSYKFNNLSFGLGFHATFSLSACCHIGLDEFCSHDRIEHYFYIAKLLRMEGDVEHMLLSLKQPLDCGMQVALMPWCKW >Potri.017G102100.2.v4.1 pep chromosome:Pop_tri_v4:17:11328902:11331395:1 gene:Potri.017G102100.v4.1 transcript:Potri.017G102100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102100.v4.1 MSTLIIFSVLHLLISLVCGAPLAPALYVFGDSLFDSGNNNLLPTVSKANFKPYGVDFAKGDTGRFTNGRLVPDFIAEFLGLPYPPPCISIRTSTPVTGLNYASASCGILPETGQSTGKCLSLDDQIDLFQRTVKSSLPNHFEGPNELMKYLSKSIFVVCIGSNDYMSNYLSDTSKHNTPQEFAHLLLDKLSLHFQRLYNLGARKVVMYEIGPIGCIPSMTRKITHNGKCAEELNELVSYFNDNLLGMLQNLTSTLPNSIFARGLAYSLGYDAIMNPSKYGLLDTSNPCCTTWANGTSACIPKLKPCPNPNQHYFFDAYHLTESVYSVLASHCINDRSVCSPTLKELVQM >Potri.006G179400.1.v4.1 pep chromosome:Pop_tri_v4:6:18601860:18606855:1 gene:Potri.006G179400.v4.1 transcript:Potri.006G179400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179400.v4.1 MALKLLHLSIFFLFLARLSLSYEPRNHEVDALISIREALHDPYGVLNNWDEDSVDPCSWAMITCSPDNLVICLGAPSQSLSGTLSGAIGNLTNLRQVLLQNNNISGQIPPELGTLSKLQTLDLSNNRFSSVVPDSLGQLNSLQYLRLNNNSLSGPFPVSVAKISQLVFLDLSYNNLSGPVPKSPARTFNVAGNPLICGSSSTEGCSGSANVGPLSFSLVTSPGKHKSKKLALALGLSLSLVSLFLLALGILWLRRKQKGHMMLNVSDKQEEGLIRLGNLRNFTFRELQIATDNFCSKNILGTGGFGNVYKGKLGDRTMVAVKRLKDLTGTSGESQFRTELEMISLAVHRNLLRLIGYCATSNERLLVYPYMSNGSVASRLRGKPALDWNTRKRIAIGAARGLLYLHEQCDPKIIHRDVKAANVLLDEFCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVNQKGAMLEWVKKIQQEKKVDELVDKELGSNYDWIEVEEMLQVALLCTQYLPAHRPKMSEVVRMLEGDGLAEKWAVSHNHSNPTMNLSHPKNANRSTFYPTTASKHDESGHNRSSSMFGTTMDEDDDERSLDSYAMELSGPR >Potri.014G160500.1.v4.1 pep chromosome:Pop_tri_v4:14:11487196:11490483:-1 gene:Potri.014G160500.v4.1 transcript:Potri.014G160500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160500.v4.1 MMMMRKRERENPCGVCGHYHKYEEGEVCGICGHRMPESTADKSPSVHLSAFPSQILPDFLFLGSYDNASRSELLKTQGITRVLNTVPACQNLYKNSFTYHCLQDDKILQFDDAIQFLEQCERDKARVLVHCMSGKNRSPAIVMAYLMKSRGWRLAQCYQWVKERRPSVDLTQAVHQQLHEYEQKLFGSNDNSNPALPVFLPVGAPSFSFGFPKANDPVPVQAFIGVGAPSIFTRPLEVPPQEFQFGAGHPQNISESSLGTNLPNPNGGDVSMDS >Potri.018G018700.1.v4.1 pep chromosome:Pop_tri_v4:18:1277346:1280391:1 gene:Potri.018G018700.v4.1 transcript:Potri.018G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018700.v4.1 MKHPLSDIIISLSLCLLLLLANLTLTESSPQCKAWLVQSIPTDMPHLSPVPGVLTTGDVLLWLAKNSTKSLDVIAQYWQLVASPADPRSGDYGYSKEEMKRFGADQGSAVYNAIEDAALRNVSIRLLQHSGVYPDFTKEPTDLASGRPNVKSVTLLLSKWWGSGIIHTKVWISDRQDVYIGSANNDWKSLTQVKEVGIYLVDCPKIAKSVETYFGNLWKLASLNSSAYTRTVSDQQWQVNRTVPCWSHFIDSEERCRSPLPRSMKAPHTTGYPTLSDPYMFHIPIETPGHSYSNLWPQPSYLSFAPPELSFGRFQADEQAWVDTIKSVGTGSTVRINTMDWLGQSQYVKPTVYWSSLSSVISEVVFSKHATVKLLVAFWAHFIDNTEQYLKSLLYSNVLCSSSKYNNCSGKVEIKYYLVPGYNLTGPAISNGTSTGNIYPGFTRVNHGKYAVSDTRAHIGTSNLIWDYFYTTAGVSFGTYNPAIVSQLQAIFDADWNSPYAIPVGELDEAHRSYSN >Potri.015G133700.1.v4.1 pep chromosome:Pop_tri_v4:15:14283852:14285696:1 gene:Potri.015G133700.v4.1 transcript:Potri.015G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G133700.v4.1 MTADSLPIPLSLSMEPLSKANHGPHSLLFKHLLSTLLEVLRLSTLALVISLEAIFILQKWKPIFHFLLLSIFLFIFIIEPYFLSSTPVYLVDFSCFKPPNFCKVPPSSFLENASKIGSFDKESVAFMAKILTSSGLGEETYLPPALHCIPPKSHQQESIKEAEMVLLPIMEDLLSKTKISPQDIDILIVNCSGFCPSPSLSSIIINKFSMRDDVRSFSLSGMGCGAGAIAIDMAQNLLKVHKNSYAVVLSTEILSTGWYPGHERSKLLLNCSFRMGSAAILLTNKNEARKSSKYKLFSSVRTQRSFEDKSYMSAFREEDSEGKIGVTIHSDVFQVFGEVLRFNIKILGAQILPPLEKFWHGVSTIRKRFFEKSREIYVPNFKSVIHHFCLPTSGRPLIREIAKGLKLGENEDEAALMTLHRFGNQSSSSLWYELAYMEAKGMVKKGDKVWVLGMGTGPKCCSLVWECFRPIVDESSKGPWADSIDRYPITP >Potri.001G263900.2.v4.1 pep chromosome:Pop_tri_v4:1:27946218:27948905:-1 gene:Potri.001G263900.v4.1 transcript:Potri.001G263900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G263900.v4.1 MAVGISLLLASFLLFTTSALAATYMEGFLKNGNFEEKPKPGAIKKTVLKGKYALPSWEINGFVEYISAGPQPGGMYFNVAHGVHAVRLGNEASISQTITIKAGSLYALTFGASRTCAQDEVLRVSVGTVFGDLPLQTLYSSNGGDTYAWGFKLNATVVKVSFRNTGVQEDPACGPLIDAVAIKELFPPMPTRDNLVKNHGFEEGPHRLVNTSSGVLLPPRQEDLTSPLPGWMIESLKAVKFIDKKHFNVPFGLAAVELVAGRESAIAQILRTIPDKVYGLTFTVGDARNGCHGSMMVEAFAAKDTFKVPFESKGKGEFKTVSFKFKAVTARTRITFYSSYYHTRIDDFGSLCGPILDEVRVFPIA >Potri.001G263900.3.v4.1 pep chromosome:Pop_tri_v4:1:27946040:27948079:-1 gene:Potri.001G263900.v4.1 transcript:Potri.001G263900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G263900.v4.1 MYFNVAHGVHAVRLGNEASISQTITIKAGSLYALTFGASRTCAQDEVLRVSVGTVFGDLPLQTLYSSNGGDTYAWGFKLNATVVKVSFRNTGVQEDPACGPLIDAVAIKELFPPMPTRDNLVKNHGFEEGPHRLVNTSSGVLLPPRQEDLTSPLPGWMIESLKAVKFIDKKHFNVPFGLAAVELVAGRESAIAQILRTIPDKVYGLTFTVGDARNGCHGSMMVEAFAAKDTFKVPFESKGKGEFKTVSFKFKAVTARTRITFYSSYYHTRIDDFGSLCGPILDEVRVFPIA >Potri.005G035700.1.v4.1 pep chromosome:Pop_tri_v4:5:2298632:2302210:1 gene:Potri.005G035700.v4.1 transcript:Potri.005G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035700.v4.1 MAESAVSLVVDRLLSLLTQEVKLLEGVRDELVDVKDELEVIRAFLKDADSKAEKEGIGEGVKALVNQIREEAHRIEDVIDDYVLHVATPPDYRRLGIASQIKDIKSSLLKIKNRSQTFNFISSNEGASCSSSSNAGRGLMHHPRLSSLFCEEAELVGIDSRRDELISYLVSGVSQRKVIAVVGVGGVGKTTLAKKVYDNHRVIEHFSYHAWITVSHSYDKTELLRSMLKGFYKAKNEPFPDKIVKMEKDEELIGEIREKLRQERYFVVFDDVWEIGFWGNMELALLDHDNGSRILATTRNEAAARFCKGSSSVHVHRIDPLPQKEAWELFCKKAFRFQSQGQCPKDLEELSHEIVRRCGGLPLAIVAVSGLLAVKEKSVQEWKKVIGGLGGSAMTSDPYINNVTSILSLSYGDLPYHLKSCFLYFGMFPEDFSIKRRRIIQLWVANGLVEEQPGMTLEEVGEEYFIELICRSLVQVDEVGVKGVPKTCRVHDMVLEVILSKSEELSLCHVSSSCLTFEGIARHLSISNRGSNPPKSSTRSQTRSIMVFDKAMLQKATVRVIFAKFKLLTSLDFEDCPIDHLPKELGNLLHLRYLNLRNTDVEELPRSIGKLHNLESLDLRFSLVKELPVEISDFPKLKHLLAHGGYATGLKIKGSFKHLEFLQTLFTIKVEDDVSFLNDGLQVLTKTRKFGICNLKREQGGYLCTVLEKMTSLQSLLVYSVNHQVEILDLESEFSPPIQLQSLWLGGQLDRLPNWISKLHNLSKLILSYSNLMEDSVEVLQALPNLKSLVLFWAYNGERMHFEGGGFQKLKYLYLAGLDYLNEMLIDEGALPLLERLQIGPCPMLEEVPSGLQNLRCLEVLSFAWMTNEFNQRWSQQESQISRHVRIHRSDGTYDPDDKASIRAWEERKFGASKHWFG >Potri.005G035700.2.v4.1 pep chromosome:Pop_tri_v4:5:2298632:2302241:1 gene:Potri.005G035700.v4.1 transcript:Potri.005G035700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035700.v4.1 MAESAVSLVVDRLLSLLTQEVKLLEGVRDELVDVKDELEVIRAFLKDADSKAEKEGIGEGVKALVNQIREEAHRIEDVIDDYVLHVATPPDYRRLGIASQIKDIKSSLLKIKNRSQTFNFISSNEGASCSSSSNAGRGLMHHPRLSSLFCEEAELVGIDSRRDELISYLVSGVSQRKVIAVVGVGGVGKTTLAKKVYDNHRVIEHFSYHAWITVSHSYDKTELLRSMLKGFYKAKNEPFPDKIVKMEKDEELIGEIREKLRQERYFVVFDDVWEIGFWGNMELALLDHDNGSRILATTRNEAAARFCKGSSSVHVHRIDPLPQKEAWELFCKKAFRFQSQGQCPKDLEELSHEIVRRCGGLPLAIVAVSGLLAVKEKSVQEWKKVIGGLGGSAMTSDPYINNVTSILSLSYGDLPYHLKSCFLYFGMFPEDFSIKRRRIIQLWVANGLVEEQPGMTLEEVGEEYFIELICRSLVQVDEVGVKGVPKTCRVHDMVLEVILSKSEELSLCHVSSSCLTFEGIARHLSISNRGSNPPKSSTRSQTRSIMVFDKAMLQKATVRVIFAKFKLLTSLDFEDCPIDHLPKELGNLLHLRYLNLRNTDVEELPRSIGKLHNLESLDLRFSLVKELPVEISDFPKLKHLLAHGGYATGLKIKGSFKHLEFLQTLFTIKVEDDVSFLNDGLQVLTKTRKFGICNLKREQGGYLCTVLEKMTSLQSLLVYSVNHQVEILDLESEFSPPIQLQSLWLGGQLDRLPNWISKLHNLSKLILSYSNLMEDSVEVLQALPNLKSLVLFWAYNGERMHFEGGGFQKLKYLYLAGLDYLNEMLIDEGALPLLERLQIGPCPMLEEVPSGLQNLRCLEVLSFAWMTNEFNQRWSQQESQISRHVRIHRSDGTYDPDDKASIRAWEERKFGASKHWFG >Potri.015G057400.1.v4.1 pep chromosome:Pop_tri_v4:15:7976105:7978309:1 gene:Potri.015G057400.v4.1 transcript:Potri.015G057400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057400.v4.1 MAFLTKLGNMLRQTANRQITSEISASRPSIYQALRCMSSSKIFIGGISFQTDDNGLKEAFDKYGNVVEARIIMDRDTGRSRGFGFVTYTSSEEASSAIQAMDGQDLHGRRVRVNYATERPQRTFNNNYGNYGGGGYGGGGGGYGGGGGGYGTGGGYGSNYAGQSTYDGGAGNYGAAAGGGRSDGYTNTSVDGGYDGNAGLGYGGGNQFGANESSGDGLNLDDALDKNSKEDDDAGDFAKRV >Potri.002G058800.1.v4.1 pep chromosome:Pop_tri_v4:2:4038274:4040452:1 gene:Potri.002G058800.v4.1 transcript:Potri.002G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058800.v4.1 MNIQQQQQPNQSKPPSWEAISKHFSLPLSDAANNLGVCVSVLKKICRDNGLDRWPYRKYLAGKSIEDIRRYAAREKIKAIADLAKAANKSGIQQQNNENSKPHKLQQQGTKDVLVGRQHITLTPGLAKGLMGLDEFKYGFPSDGLSTATNKWWGSSLSDTQRATDRAGIETDEDDGRQSEEKVDAGTSVVIVDEEKGENGKVESNEIDPQGTGLLTSVRKRAAEEGREALKLGVYRTYGVNKLGRKQRALLLRIFGSSLPKQWIQDFSSNRGGL >Potri.003G024501.1.v4.1 pep chromosome:Pop_tri_v4:3:2595903:2596505:1 gene:Potri.003G024501.v4.1 transcript:Potri.003G024501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024501.v4.1 MNGRILHVEGTFTRYNLNCMVSFIYAPIDGILKKELWDYLITFKDSVSSPWCLPGDFNETLSPLDRKGGSKVSAFMTRFKHCINGCELIDLPLNGKRFTWSRGNAGSRINRIFISGDWLQFLPTSTLFGLPRFSSDHRPLHLLLDSTNWGPKPFRFMNCWWIVADFRQMIQNFWNSILVSSTGRRNMVSAFKMLKERCKH >Potri.004G138700.1.v4.1 pep chromosome:Pop_tri_v4:4:16050545:16055140:1 gene:Potri.004G138700.v4.1 transcript:Potri.004G138700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138700.v4.1 MGISHLIQRSITKKLLFSKPLNILPSSWFLADTTAKSLHHSGGFNGAKINSLDGNDTTKISKSSYHVSSGGFMRGTVFWEPNKPLTMEEFHIPRPKAGEVLIKTKACGVCHSDLHVIKGEIPFASPCAIGHEITGEVVEHAELTDRKIVERFPVGSHVVGAFIMPCGNCFYCSKGHDDLCEDFFAYNRAKGTLYDGETRLFLRNSGKPVFMYSMGGLAEYCVVPAHALTILPDSLPYAESAILGCAVFTAYGAMAHAAEVRPGDSVAVIGVGGVGSSCLQIARAFGASDIIAVDVQDEKLQKAKTFGATATINSTKEDPIKRIKEITGGRGVDIAVEALGKPLTFSQCTQSVRDGGKAVMIGLSQAGAVGEIDINHMVRRKIQIIGSYGGRARQDLPKLVKLAESGIFNLTDAVTRKYGFEEASKAFQDLNQGKILSRAVVEIM >Potri.019G061800.2.v4.1 pep chromosome:Pop_tri_v4:19:10206778:10208037:1 gene:Potri.019G061800.v4.1 transcript:Potri.019G061800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061800.v4.1 MLTDFGLAKQFDENTRSNSTCGTLEYMSSETVLAKGHDKATDWWSVGILLYEMLTGKLLQKETSKRLGKGPNGSEEIEHHKWFQSINWKKLEAREIRPSVLPEVAGKHCVANFEERWTSMPVLDSPASSPKSCDKPFQGALLCETSYFSSGECMKFVRP >Potri.002G123200.1.v4.1 pep chromosome:Pop_tri_v4:2:9324119:9325985:-1 gene:Potri.002G123200.v4.1 transcript:Potri.002G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123200.v4.1 MAICGIQGFPLEVTVVACYNLEDKEWISRQDPYVSVEYGNTKYRTKTCTDGGRNPVFQEKFIFTLVEGLQELSVVVWNSHTLSADEHIGTGRIQLHKALSQGFDDASWPIQSKTGRHSGEVRLMLHYSNPNQHKGKMTPSDLGSKYASPPLNQVLPYPSMPPAPAALYPATTTYSSPSPYMGYSPNPASYPPPPHVPPPAGRYPPQACFPAAYPPQAYPPAPQPSTYYPTGASGVYPPPPPPGTYPPPPY >Potri.001G448100.2.v4.1 pep chromosome:Pop_tri_v4:1:47429657:47432298:-1 gene:Potri.001G448100.v4.1 transcript:Potri.001G448100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448100.v4.1 MGKKGGTSCLTIVKRAFRFPSKENEKRSGRRREEHDQEEEVKKREKRRWLFRKSNNHVPVQQCEEKIPITNTITSTITAPVSPTMDAEKRHATAVEAAAAEAASVTAQEAVKIARLARPASSCFVRAEIWAAIIIQTAFRGYLARGALRALKGLVKLQALVRGHNVRKQAKLTLQCMQALVRVQDRVRDQRERLSHEWSRRSMFSETNSLWESRYLQDIRERKSTSRDVSSLLDDWDYRRCTNEEIEAMVQSKKEAALKREKALAYAFSSQKWRSRRNPSAGDQEELEDRTRWLDRWMATKQWETSNRASTDRKDNNIKTVEMDTSRPFSYSSTTPTCQRSQSQNHQQKQPTRHSIASPLHRSYSSRSIHQSPITPSPCKPKHLQVRSASPRCLKEGNKCYSAAHTPSLSSRYCINNGLGRYGGGSATILPNYMAATEAAKARVRSQSAPRQRPSTPERERSGSVLLAKKRLSFPVPDCGPNGNGVGNIGYSSNSSFSQNLRSPSFKSVHGCHFGMREQSNYFSCYNEGIGEEISPCSTTDLRWFK >Potri.001G119300.1.v4.1 pep chromosome:Pop_tri_v4:1:9696289:9697495:1 gene:Potri.001G119300.v4.1 transcript:Potri.001G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119300.v4.1 METSFLGLALAAILILQFSTPINSSFSATTSLPSRNTYIEYIKTSCYNTTFYPKLCYHTLVIYASTIKTNPKLLAKTALNVSLINTNSTSRLMIRVSKIPGLEPRVVAATLDCVEEVGDSVYELQRSMEEIGHAGGSDFSKAMSDVETWVSAALTDDDACLDGFAEEVMNKKVTAIVKRHIRRIARLTSNALALVNRYASTQANLP >Potri.008G155100.2.v4.1 pep chromosome:Pop_tri_v4:8:10608692:10609998:-1 gene:Potri.008G155100.v4.1 transcript:Potri.008G155100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155100.v4.1 MAHTAMSMDLAMVLVTMLCARAMAQSDCTSVLISMSPCLNYITGNSSTPSSQCCTQLASVVRSSPQCLCQVLNGGGSSLGINVNQTQAIALPGACNVQTPPISSCNGASPAASPAGTSEAPSSPSGTGSKTVPSTQTDGTSGASSIEFSIPLLLLLLFAASYGSAFTKVF >Potri.018G098500.1.v4.1 pep chromosome:Pop_tri_v4:18:11921915:11924699:1 gene:Potri.018G098500.v4.1 transcript:Potri.018G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098500.v4.1 MNWVLLQIKESPFISPTQQPYFLSQPPPQPKLDTDGFNLSTRVSPSILLIIIILAIIFFVSGLLHLLVRFLSRPPNRETDDLESVTALQGQLQQLFHLHDAGVDQTFIDALPVFHYKAIIGLKNPFDCAVCLCEFEPEDKLRLLPKCSHAFHMECIDTWLLSHSTCPLCRACLLSDFSPNNSCSPIVLVLESGGESSREIVNDREADIGRTTSVLTTNSHLSCHGDNELGSSRLDISHKSSEILTKDDSVPDSAPTIVVDSWDKVVPVKLGKFRNVDNGEGSSTINVDSRRCYSMGSFEYVLDENSSLQVPVRTPMKKQSSKKPTLPLTPGHRPAMSECDCESRREFDGFESLKSVEVNGTASIVSSSGNGIGRSKRESFSISKIWLRGKKVKQNSPEDSSRRAFSFRFPVNKNVVAGDDLKMKKGDGDVRTDSEISFGRWENGDGQWGCDEQNQSCNSLELQVKTPSFARRTLLWLVGRQNKVVHSSFTPNV >Potri.014G003683.1.v4.1 pep chromosome:Pop_tri_v4:14:274526:275408:-1 gene:Potri.014G003683.v4.1 transcript:Potri.014G003683.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003683.v4.1 MGGHWDFFSSTRANVTEQRAEDNKEEGWPREETKERGLVRWTLADWLWQSANDGFLVLSRLVHHLIEASLCCV >Potri.019G059000.2.v4.1 pep chromosome:Pop_tri_v4:19:9811056:9812371:-1 gene:Potri.019G059000.v4.1 transcript:Potri.019G059000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059000.v4.1 MHDHQGDHDMKNKVKGGNIHGQQKKRRSYGKPKCMASRCCPCFAVSSIARRIGRCLFVSCYPIMQCFRLDDHRHQHDHHKHFHSF >Potri.018G088086.1.v4.1 pep chromosome:Pop_tri_v4:18:10627983:10628615:1 gene:Potri.018G088086.v4.1 transcript:Potri.018G088086.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088086.v4.1 MHHDCSPPIVHRDVKSSNILLDSEFNAKIADFGLARMLVKQGELATVSAVAGSLGYIAPEYARTVRVNEKIDVYSFGVVLLELTTGKAANYGDEDTCLAEWAWRHMQEGKPIVDVLDEEIKEPCYVDEMRDVFKLGVFCTSMLPSERPNMKDVVQILLGRNRRWVCGRKNMRHA >Potri.001G314900.1.v4.1 pep chromosome:Pop_tri_v4:1:32574498:32577263:1 gene:Potri.001G314900.v4.1 transcript:Potri.001G314900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314900.v4.1 MKDSLGTSQPCPSLKSLTSKLGGMFCHCGSPNKYKRLDAKLEKKLIELKRSSSGKTNFKSMNSIIMRFPQFKEELKHIRGVFEQYDEDANGNIDMEELKKCLQNLQLNLKEEEVEDLFHSCDIDQSEGIQFNEFIVLLCLIYLLVEHSSSPLRTSKMGSPELEATFDTIVKAFLFLDKNGAGKLNKKDMIKALNEDSPWEKSPAHITRSRFKEMDWDRNGKVSFREFLFSLINWIGIDADEDIPVSTRT >Potri.012G120280.1.v4.1 pep chromosome:Pop_tri_v4:12:13805870:13806976:1 gene:Potri.012G120280.v4.1 transcript:Potri.012G120280.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120280.v4.1 MSQAADPGEVKYEEDTGRWRFKPRGLNITWSSNEHYWTMPEKGTDDPAELLKVCWLEINGSTPKPLSKGERYALSFKISMTEDTFGWQEAPAFMMAKVGKKGIAKWARINLADVQVDHEMEVPFGKLRFEVSKNAQDTTLYFGFYELWCGGWKGGLRIHEAVVEEMPD >Potri.015G036450.1.v4.1 pep chromosome:Pop_tri_v4:15:3115174:3116149:-1 gene:Potri.015G036450.v4.1 transcript:Potri.015G036450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036450.v4.1 MIRQHQRQGDDTQILYYFMKLGMTTQQVRSELDAKAKEGEVRGTMEGGLQGYNKEEVGREFIHPAKEEPAEEDPGPDVGIDADECRG >Potri.017G026800.1.v4.1 pep chromosome:Pop_tri_v4:17:1774670:1774924:1 gene:Potri.017G026800.v4.1 transcript:Potri.017G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026800.v4.1 MVVMGVFQHLMRISFLCCVGEWSPVFLSKWHPSVQNLPLGLAILPVNIKEEVQDQSCLVFGLGWVDFVCSQFRNVNGFCPPLST >Potri.015G066100.1.v4.1 pep chromosome:Pop_tri_v4:15:9171388:9175313:-1 gene:Potri.015G066100.v4.1 transcript:Potri.015G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066100.v4.1 MGVDYYNILKVNRNASEDDLRKSYKRLAMIWHPDKNPTAKRTEAEAKFKQISEAYDVLSDPQKRQIYDLYGEEGLKSGQCPPPSPSTSRHYFQRQHPNPSFRFKPRNAEDIYEELFGSESGGGGGNERGNYSRGHFRNNTNNSSSSSYFGNGGDMKKPNAIENLLPCSLEELYKGATKKMKICRNIFEGTGRVRTLEEILTIEIKPGWKKGTKITFPEKGNQEPGIIPADIVFVVDEKPHATYVRDGNDLVIKQEITLLEALTGKTFDLTTLDGRNIVLPLTDIVKPGVEVVVPNEGMPISKEPGKKGNLRVKIDVRYPSRLTSEQKFELRRVLGGVS >Potri.005G112000.2.v4.1 pep chromosome:Pop_tri_v4:5:8117722:8119098:-1 gene:Potri.005G112000.v4.1 transcript:Potri.005G112000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112000.v4.1 MGRSPCCERAHTNKGAWTREEDKRLVAYIQAHGEGCWRSLPKSAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRKKLLSRGIDPSTHRPINELAIDSMTTSLGAVLKEGITSGFGCKNQKNPTGEKCQELNLELRICPPYQHPTQSMESIGRKIMCFYCSLGLKNSKDCSCSNDSDDFLVMKNGALDYRRQKAKRDSEVGLFIVNR >Potri.001G015900.2.v4.1 pep chromosome:Pop_tri_v4:1:1196570:1199868:1 gene:Potri.001G015900.v4.1 transcript:Potri.001G015900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015900.v4.1 MGELEEIGADICADLEADDIRCDTIAEKDVTDEEIEAEDLERRMWKDRIKLKRLKEKQKLAAQQAAEKQKPKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRAWWKEKVRFDKNGPAAIAKYEAECLAMGEAENSKNGNSQSALQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWVKLGLSQGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIPKIRRHVRQSKCLQDKMTAKESAIWLGVLSQEESLIRQPSSDNGTSGVTETPQGGHGQKKKRAISSDSDYDVDGADDGVGSVSSKDNRRNQSMDVEPLNSRDDATNPVQDKELGKKQPRRKRPRVRSSHADQHPQPQLNDDHLGVERTSTLPDINHTDLQPVDYQMHDTQHENFTSSTVRPLENGFVGESNLPQSDFNYYAGVPSANVNSTEKIYADKGPAFYPLGQNSVLHHETTYSNFYNPSLDYGTNHDRQPSQMTMSIRQEDDRFHIPAPQGNGNDLTGGEPHHLIKDTFPTEQDGAVDRQFEFDLPDFAINSPFLEMSSFSLDDLFVDPEDELIQCFGA >Potri.001G015900.1.v4.1 pep chromosome:Pop_tri_v4:1:1196571:1200453:1 gene:Potri.001G015900.v4.1 transcript:Potri.001G015900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015900.v4.1 MGELEEIGADICADLEADDIRCDTIAEKDVTDEEIEAEDLERRMWKDRIKLKRLKEKQKLAAQQAAEKQKPKQTSDQARRKKMSRAQDGILKYMLKLMEVCKARGFVYGIIPEKGKPVSGASDNIRAWWKEKVRFDKNGPAAIAKYEAECLAMGEAENSKNGNSQSALQDLQDATLGSLLSSLMQHCDPPQRKYPLEKGVPPPWWPTGNEDWWVKLGLSQGQSPPYKKPHDLKKMWKVGVLTAVIKHMSPDIPKIRRHVRQSKCLQDKMTAKESAIWLGVLSQEESLIRQPSSDNGTSGVTETPQGGHGQKKKRAISSDSDYDVDGADDGVGSVSSKDNRRNQSMDVEPLNSRDDATNPVQDKELGKKQPRRKRPRVRSSHADQHPQPQLNDDHLGVERTSTLPDINHTDLQPVDYQMHDTQHENFTSSTVRPLENGFVGESNLPQSDFNYYAGVPSANVNSTEKIYADKGPAFYPLGQNSVLHHETTYSNFYNPSLDYGTNHDRQPSQMTMSIRQEDDRFHIPAPQGNGNDLTGGEPHHLIKDTFPTEQDGAVDRQFEFDLPDFAINSPFLEMSSFSLDDLFVDPEDELIQCFGA >Potri.001G177000.2.v4.1 pep chromosome:Pop_tri_v4:1:15338381:15344710:-1 gene:Potri.001G177000.v4.1 transcript:Potri.001G177000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177000.v4.1 MGSYVKLAKRAVETEMPIMVQIQELVRGAKNAVSLAQGVVYWQPPKQALNKVKELVWEPSISRYGADEGIPELREALTQKLQKENKLVKSSVMVTAGANQAFVNLVLALCDAGDSVVMFAPYYFNAYMSFQMTGVTNILVGPGNPETLHPDADWLERTLSEAKPVPKVVTVVNPGNPSGTYIPDPLLKRISDLCREAGSWLIVDNTYEYFMYDGLKHSCIEGDHVVNIFSFSKAYGMMGWRVGYIAYPSGVEGFQTQLLKIQDNIPICASILSQHLALYSLEMGPEWVTEQVKDLVKNRDIILEALSPLGEGAVKGGEGAIYLWAKLPEQYVDDDKVVRWLATRHGVIVIPGGACGCPGHLRISFGGLTENDCKAAAERLRRGLEDLVSNGIVQ >Potri.013G072300.1.v4.1 pep chromosome:Pop_tri_v4:13:5926870:5933851:1 gene:Potri.013G072300.v4.1 transcript:Potri.013G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G072300.v4.1 MAAKQMEDIQRKLGMLNYSRANAPAQSLLFAGMERYALLEWLFFKLLGDKSPFSQQNLQGDAMDRDEETARIQYLAEIAKFLGITSIIDTEAIQGRGSYEDRTEMLRLIVDLVEASIYADNPEWSVDEQVAKDIQLIDSIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELETKLAEQSKILQSLQQKIDDLASKHAYNPDEEYSEVESHLRAHLESFLETARSFNVIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLWKFLGNLRNLRDSHAALAVGSSETKAGEPSSVTRIISECESALTFLNRDLGILSASISREKGNNASL >Potri.002G140200.3.v4.1 pep chromosome:Pop_tri_v4:2:10523173:10532012:-1 gene:Potri.002G140200.v4.1 transcript:Potri.002G140200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140200.v4.1 MSYNQSRGGSDKSDLQYRKPGRSVSSSQQRTSSVSHGKGGGPPVPSPSSSSLSSNRSFNKKPSNLTQGGGQSSRVNLPSGVNSSDSGNNAASTIRNVQNGVLTQHQSHGTSDASSVAKPTEASAAQRSTRDVPKAPTSQPAAISSESGAHMTPAKAPLDSSKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQAHHDTFRPAPSLPTPAPKQQLPRKEVSSSVQTSTGEVHLVPKASKETQLPPAPSVSQTQKPSVLPIPMNSLQMKYQQPPVSVQFRGPSPQIQSQGVPANSLHVPIQLPMGNAPQVQQSVFIQGLQHHPMQPQGMMHQSQTMSFTNPMGPQIPQLGSLAYGMTSQYSAQQGGKFGSPHKTPVKITDPKTHEELRLDKRTDAYPDAGSSGLRSHLNVPQTQPIPSFAPSRPINYYPSSYNASNLFFPAPSSLPLTGSQIAPNSQLPPRFNYPVSQPPQNAPYMNASALNSLPLSKSGTVSHGVAEPQNSEHARDARNAISLTPSGAVQVTVKPAVGSHGEKVVEPSFPKISSIVEKGGFFKSSRSSGEASPSHSQRDSEASSESSLQRIKSGGESLVKPLPVAAKQPAAVAVDGAASASLAQCEEAIPSVSNAEGRKKEALSGSNFIKEHQKKPGKKGNIQPQHQIGGQTTLSSHTLEHGVSSGTGVSETAENEKSPPSLANSEVLTKSIKEPVSTIAAWNPDVSETKVDNAGDAFDSVSSQVPVAGIAHTTHISPHAKLDDSSQLEKLKCEIPATEDEIEKSLSECPKQDYNISSASINSKSADQVKQDKEVSDSVVTSVGNEVPASETAQEGLVEPVTCHTANDHISDNAGASTSRKFNSADDIKPLDASLSHSDNIGNKEASVTKSGISGHQGSPPVPDLSEATAKHEGEGAENAGSGTVPLEVSGYKEKPSELTRSKSTANRMKKKKKEFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVIESTSPNLKQAPADALQVQTVASEKSMQNKAEPDDWEDATDMSTLKLESLIDGELSLGGLGQHDTDGNANKLKKYSRDFLLKFSEQCTDLPGGFQIPSDIAGSLMGVGVSHLADRDPCPSPARVMDRSNSGSRIDRRGSGIVDDGRWSKQPGPSGPGRDLHLDISYGANVGFRPVAGGNYGALRNPRAQSPVHYGGGILSGPMQSMGPQGGLQRGGLDADRWQRAAIFVHKGSFSSPQTPLQTMHKAEKKYEVGKVTDEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNVVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELTEDNEKVTFKRILLNKCQEEFERGEREQEEANKADEEGEIKQSEEEREEKRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDVYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGINPSPRRGPMDFGPRGSTMLPSLNAQMGGFRGFPTQVRGHGTQDVRFEEKQSYEARTMSVPLPQRPLGDDSITLGPQGGLARGMSIRGQPASMGTLVADISPSPGDPRRMAAGLNGSSAISGRSNYSPREDIIPRYTPDRFAVPPACDQMNGQERNMNYVNRDLRNLDHGFDRPLGSSPPTRAQGPPFSQTTPTGKLWPEERLRDMSTAAIKEFYSARDEKEVSLCIKELNSPSFHPSMISIWVTDSFERKDLERDLLAKLLVSLARSQNGILDSNQLIKGFESILTTLEDAVNDAPKAPEFLGRIIGRVVVENVVPLSEIGPLLHEGGEEPGSLLKLGLAGDVLGSILEMIKVEKGEAVLNEIRGASNLRLEDFRPPDPNRSRILEKFI >Potri.002G140200.2.v4.1 pep chromosome:Pop_tri_v4:2:10522914:10531708:-1 gene:Potri.002G140200.v4.1 transcript:Potri.002G140200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140200.v4.1 MSYNQSRGGSDKSDLQYRKPGRSVSSSQQRTSSVSHGKGGGPPVPSPSSSSLSSNRSFNKKPSNLTQGGGQSSRVNLPSGVNSSDSGNNAASTIRNVQNGVLTQHQSHGTSDASSVAKPTEASAAQRSTRDVPKAPTSQPAAISSESGAHMTPAKAPLDSSKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQAHHDTFRPAPSLPTPAPKQQLPRKEVSSSVQTSTGEVHLVPKASKETQLPPAPSVSQTQKPSVLPIPMNSLQMKYQQPPVSVQFRGPSPQIQSQGVPANSLHVPIQLPMGNAPQVQQSVFIQGLQHHPMQPQGMMHQSQTMSFTNPMGPQIPQLGSLAYGMTSQYSAQQGGKFGSPHKTPVKITDPKTHEELRLDKRTDAYPDAGSSGLRSHLNVPQTQPIPSFAPSRPINYYPSSYNASNLFFPAPSSLPLTGSQIAPNSQLPPRFNYPVSQPPQNAPYMNASALNSLPLSKSGTVSHGVAEPQNSEHARDARNAISLTPSGAVQVTVKPAVGSHGEKVVEPSFPKISSIVEKGGFFKSSRSSGEASPSHSQRDSEASSESSLQRIKSGGESLVKPLPVAAKQPAAVAVDGAASASLAQCEEAIPSVSNAEGRKKEALSGSNFIKEHQKKPGKKGNIQPQHQIGGQTTLSSHTLEHGVSSGTGVSETAENEKSPPSLANSEVLTKSIKEPVSTIAAWNPDVSETKVDNAGDAFDSVSSQVPVAGIAHTTHISPHAKLDDSSQLEKLKCEIPATEDEIEKSLSECPKQDYNISSASINSKSADQVKQDKEVSDSVVTSVGNEVPASETAQEGLVEPVTCHTANDHISDNAGASTSRKFNSADDIKPLDASLSHSDNIGNKEASVTKSGISGHQGSPPVPDLSEATAKHEGEGAENAGSGTVPLEVSGYKEKPSELTRSKSTANRMKKKKKEFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVIESTSPNLKQAPADALQVQTVASEKSMQNKAEPDDWEDATDMSTLKLESLIDGELSLGGLGQHDTDGNANKLKKYSRDFLLKFSEQCTDLPGGFQIPSDIAGSLMGVGVSHLADRDPCPSPARVMDRSNSGSRIDRRGSGIVDDGRWSKQPGPSGPGRDLHLDISYGANVGFRPVAGGNYGALRNPRAQSPVHYGGGILSGPMQSMGPQGGLQRGGLDADRWQRAAIFVHKGSFSSPQTPLQTMHKAEKKYEVGKVTDEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNVVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELTEDNEKVTFKRILLNKCQEEFERGEREQEEANKADEEGEIKQSEEEREEKRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDVYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGINPSPRRGPMDFGPRGSTMLPSLNAQMGGFRGFPTQVRGHGTQDVRFEEKQSYEARTMSVPLPQRPLGDDSITLGPQGGLARGMSIRGQPASMGTLVADISPSPGDPRRMAAGLNGSSAISGRSNYSPREDIIPRYTPDRFAVPPACDQMNGQERNMNYVNRDLRNLDHGFDRPLGSSPPTRAQGPPFSQTTPTGKLWPEERLRDMSTAAIKEFYSARDEKEVSLCIKELNSPSFHPSMISIWVTDSFERKDLERDLLAKLLVSLARSQNGILDSNQLIKGFESILTTLEDAVNDAPKAPEFLGRIIGRVVVENVVPLSEIGPLLHEGGEEPGSLLKLGLAGDVLGSILEMIKVEKGEAVLNEIRGASNLRLEDFRPPDPNRSRILEKFI >Potri.002G140200.6.v4.1 pep chromosome:Pop_tri_v4:2:10522913:10528397:-1 gene:Potri.002G140200.v4.1 transcript:Potri.002G140200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140200.v4.1 MKKKKKEFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVIESTSPNLKQAPADALQVQTVASEKSMQNKAEPDDWEDATDMSTLKLESLIDGELSLGGLGQHDTDGNANKLKKYSRDFLLKFSEQCTDLPGGFQIPSDIAGSLMGVGVSHLADRDPCPSPARVMDRSNSGSRIDRRGSGIVDDGRWSKQPGPSGPGRDLHLDISYGANVGFRPVAGGNYGALRNPRAQSPVHYGGGILSGPMQSMGPQGGLQRGGLDADRWQRAAIFVHKGSFSSPQTPLQTMHKAEKKYEVGKVTDEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNVVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELTEDNEKVTFKRILLNKCQEEFERGEREQEEANKADEEGEIKQSEEEREEKRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDVYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGINPSPRRGPMDFGPRGSTMLPSLNAQMGGFRGFPTQVRGHGTQDVRFEEKQSYEARTMSVPLPQRPLGDDSITLGPQGGLARGMSIRGQPASMGTLVADISPSPGDPRRMAAGLNGSSAISGRSNYSPREDIIPRYTPDRFAVPPACDQMNGQERNMNYVNRDLRNLDHGFDRPLGSSPPTRAQGPPFSQTTPTGKLWPEERLRDMSTAAIKEFYSARDEKEVSLCIKELNSPSFHPSMISIWVTDSFERKDLERDLLAKLLVSLARSQNGILDSNQLIKGFESILTTLEDAVNDAPKAPEFLGRIIGRVVVENVVPLSEIGPLLHEGGEEPGSLLKLGLAGDVLGSILEMIKVEKGEAVLNEIRGASNLRLEDFRPPDPNRSRILEKFI >Potri.002G140200.1.v4.1 pep chromosome:Pop_tri_v4:2:10523289:10531581:-1 gene:Potri.002G140200.v4.1 transcript:Potri.002G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140200.v4.1 MSYNQSRGGSDKSDLQYRKPGRSVSSSQQRTSSVSHGKGGGPPVPSPSSSSLSSNRSFNKKPSNLTQGGGQSSRVNLPSGVNSSDSGNNAASTIRNVQNGVLTQHQSHGTSDASSVAKPTEASAAQRSTRDVPKAPTSQPAAISSESGAHMTPAKAPLDSSKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQAHHDTFRPAPSLPTPAPKQQLPRKEVSSSVQTSTGEVHLVPKASKETQLPPAPSVSQTQKPSVLPIPMNSLQMKYQQPPVSVQFRGPSPQIQSQGVPANSLHVPIQLPMGNAPQVQQSVFIQGLQHHPMQPQGMMHQSQTMSFTNPMGPQIPQLGSLAYGMTSQYSAQQGGKFGSPHKTPVKITDPKTHEELRLDKRTDAYPDAGSSGLRSHLNVPQTQPIPSFAPSRPINYYPSSYNASNLFFPAPSSLPLTGSQIAPNSQLPPRFNYPVSQPPQNAPYMNASALNSLPLSKSGTVSHGVAEPQNSEHARDARNAISLTPSGAVQVTVKPAVGSHGEKVVEPSFPKISSIVEKGGFFKSSRSSGEASPSHSQRDSEASSESSLQRIKSGGESLVKPLPVAAKQPAAVAVDGAASASLAQCEEAIPSVSNAEGRKKEALSGSNFIKEHQKKPGKKGNIQPQHQIGGQTTLSSHTLEHGVSSGTGVSETAENEKSPPSLANSEVLTKSIKEPVSTIAAWNPDVSETKVDNAGDAFDSVSSQVPVAGIAHTTHISPHAKLDDSSQLEKLKCEIPATEDEIEKSLSECPKQDYNISSASINSKSADQVKQDKEVSDSVVTSVGNEVPASETAQEGLVEPVTCHTANDHISDNAGASTSRKFNSADDIKPLDASLSHSDNIGNKEASVTKSGISGHQGSPPVPDLSEATAKHEGEGAENAGSGTVPLEVSGYKEKPSELTRSKSTANRMKKKKKEFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVIESTSPNLKQAPADALQVQTVASEKSMQNKAEPDDWEDATDMSTLKLESLIDGELSLGGLGQHDTDGNANKLKKYSRDFLLKFSEQCTDLPGGFQIPSDIAGSLMGVGVSHLADRDPCPSPARVMDRSNSGSRIDRRGSGIVDDGRWSKQPGPSGPGRDLHLDISYGANVGFRPVAGGNYGALRNPRAQSPVHYGGGILSGPMQSMGPQGGLQRGGLDADRWQRAAIFVHKGSFSSPQTPLQTMHKAEKKYEVGKVTDEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNVVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELTEDNEKVTFKRILLNKCQEEFERGEREQEEANKADEEGEIKQSEEEREEKRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDVYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGINPSPRRGPMDFGPRGSTMLPSLNAQMGGFRGFPTQVRGHGTQDVRFEEKQSYEARTMSVPLPQRPLGDDSITLGPQGGLARGMSIRGQPASMGTLVADISPSPGDPRRMAAGLNGSSAISGRSNYSPREDIIPRYTPDRFAVPPACDQMNGQERNMNYVNRDLRNLDHGFDRPLGSSPPTRAQGPPFSQTTPTGKLWPEERLRDMSTAAIKEFYRRS >Potri.002G140200.5.v4.1 pep chromosome:Pop_tri_v4:2:10523161:10530745:-1 gene:Potri.002G140200.v4.1 transcript:Potri.002G140200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140200.v4.1 MTPAKAPLDSSKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQAHHDTFRPAPSLPTPAPKQQLPRKEVSSSVQTSTGEVHLVPKASKETQLPPAPSVSQTQKPSVLPIPMNSLQMKYQQPPVSVQFRGPSPQIQSQGVPANSLHVPIQLPMGNAPQVQQSVFIQGLQHHPMQPQGMMHQSQTMSFTNPMGPQIPQLGSLAYGMTSQYSAQQGGKFGSPHKTPVKITDPKTHEELRLDKRTDAYPDAGSSGLRSHLNVPQTQPIPSFAPSRPINYYPSSYNASNLFFPAPSSLPLTGSQIAPNSQLPPRFNYPVSQPPQNAPYMNASALNSLPLSKSGTVSHGVAEPQNSEHARDARNAISLTPSGAVQVTVKPAVGSHGEKVVEPSFPKISSIVEKGGFFKSSRSSGEASPSHSQRDSEASSESSLQRIKSGGESLVKPLPVAAKQPAAVAVDGAASASLAQCEEAIPSVSNAEGRKKEALSGSNFIKEHQKKPGKKGNIQPQHQIGGQTTLSSHTLEHGVSSGTGVSETAENEKSPPSLANSEVLTKSIKEPVSTIAAWNPDVSETKVDNAGDAFDSVSSQVPVAGIAHTTHISPHAKLDDSSQLEKLKCEIPATEDEIEKSLSECPKQDYNISSASINSKSADQVKQDKEVSDSVVTSVGNEVPASETAQEGLVEPVTCHTANDHISDNAGASTSRKFNSADDIKPLDASLSHSDNIGNKEASVTKSGISGHQGSPPVPDLSEATAKHEGEGAENAGSGTVPLEVSGYKEKPSELTRSKSTANRMKKKKKEFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVIESTSPNLKQAPADALQVQTVASEKSMQNKAEPDDWEDATDMSTLKLESLIDGELSLGGLGQHDTDGNANKLKKYSRDFLLKFSEQCTDLPGGFQIPSDIAGSLMGVGVSHLADRDPCPSPARVMDRSNSGSRIDRRGSGIVDDGRWSKQPGPSGPGRDLHLDISYGANVGFRPVAGGNYGALRNPRAQSPVHYGGGILSGPMQSMGPQGGLQRGGLDADRWQRAAIFVHKGSFSSPQTPLQTMHKAEKKYEVGKVTDEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNVVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELTEDNEKVTFKRILLNKCQEEFERGEREQEEANKADEEGEIKQSEEEREEKRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDVYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGINPSPRRGPMDFGPRGSTMLPSLNAQMGGFRGFPTQVRGHGTQDVRFEEKQSYEARTMSVPLPQRPLGDDSITLGPQGGLARGMSIRGQPASMGTLVADISPSPGDPRRMAAGLNGSSAISGRSNYSPREDIIPRYTPDRFAVPPACDQMNGQERNMNYVNRDLRNLDHGFDRPLGSSPPTRAQGPPFSQTTPTGKLWPEERLRDMSTAAIKEFYSARDEKEVSLCIKELNSPSFHPSMISIWVTDSFERKDLERDLLAKLLVSLARSQNGILDSNQLIKGFESILTTLEDAVNDAPKAPEFLGRIIGRVVVENVVPLSEIGPLLHEGGEEPGSLLKLGLAGDVLGSILEMIKVEKGEAVLNEIRGASNLRLEDFRPPDPNRSRILEKFI >Potri.002G140200.4.v4.1 pep chromosome:Pop_tri_v4:2:10523162:10531496:-1 gene:Potri.002G140200.v4.1 transcript:Potri.002G140200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140200.v4.1 MSYNQSRGGSDKSDLQYRKPGRSVSSSQQRTSSVSHGKGGGPPVPSPSSSSLSSNRSFNKKPSNLTQGGGQSSRVNLPSGVNSSDSGNNAASTIRNVQNGVLTQHQSHGTSDASSVAKPTEASAAQRSTRDVPKAPTSQPAAISSESGAHMTPAKAPLDSSKAFAFQFGSISPGFMNGMQVPARTSSAPPNLDEQKRDQAHHDTFRPAPSLPTPAPKQQLPRKEVSSSVQTSTGEVHLVPKASKETQLPPAPSVSQTQKPSVLPIPMNSLQMKYQQPPVSVQFRGPSPQIQSQGVPANSLHVPIQLPMGNAPQVQQSVFIQGLQHHPMQPQGMMHQSQTMSFTNPMGPQIPQLGSLAYGMTSQYSAQQGGKFGSPHKTPVKITDPKTHEELRLDKRTDAYPDAGSSGLRSHLNVPQTQPIPSFAPSRPINYYPSSYNASNLFFPAPSSLPLTGSQIAPNSQLPPRFNYPVSQPPQNAPYMNASALNSLPLSKSGTVSHGVAEPQNSEHARDARNAISLTPSGAVQVTVKPAVGSHGEKVVEPSFPKISSIVEKGGFFKSSRSSGEASPSHSQRDSEASSESSLQRIKSGGESLVKPLPVAAKQPAAVAVDGAASASLAQCEEAIPSVSNAEGRKKEALSGSNFIKEHQKKPGKKGNIQPQHQIGGQTTLSSHTLEHGVSSGTGVSETAENEKSPPSLANSEVLTKSIKEPVSTIAAWNPDVSETKVDNAGDAFDSVSSQVPVAGIAHTTHISPHAKLDDSSQLEKLKCEIPATEDEIEKSLSECPKQDYNISSASINSKSADQVKQDKEVSDSVVTSVGNEVPASETAQEGLVEPVTCHTANDHISDNAGASTSRKFNSADDIKPLDASLSHSDNIGNKEASVTKSGISGHQGSPPVPDLSEATAKHEGEGAENAGSGTVPLEVSGYKEKPSELTRSKSTANRMKKKKKEFLLKADLAGTTSDLYGAYKGPEEKKENVISSEVIESTSPNLKQAPADALQVQTVASEKSMQNKAEPDDWEDATDMSTLKLESLIDGELSLGGLGQHDTDGNANKLKKYSRDFLLKFSEQCTDLPGGFQIPSDIAGSLMGVGVSHLADRDPCPSPARVMDRSNSGSRIDRRGSGIVDDGRWSKQPGPSGPGRDLHLDISYGANVGFRPVAGGNYGALRNPRAQSPVHYGGGILSGPMQSMGPQGGLQRGGLDADRWQRAAIFVHKGSFSSPQTPLQTMHKAEKKYEVGKVTDEEAAKQRQLKGILNKLTPQNFEKLFEQVKAVNIDNVVTLNGVISQIFDKALMEPTFCEMYANFCFHLAAELPELTEDNEKVTFKRILLNKCQEEFERGEREQEEANKADEEGEIKQSEEEREEKRIKARRRMLGNIRLIGELYKKRMLTERIMHECIKKLLGQYQNPDEEDLEALCKLMSTIGEMIDHPKAKEHMDVYFDMMAKLSNNMKLSSRVRFMLKDSIDLRKNKWQQRRKVEGPKKIEEVHRDAAQERQLQTSRLARNPGINPSPRRGPMDFGPRGSTMLPSLNAQMGGFRGFPTQVRGHGTQDVRFEEKQSYEARTMSVPLPQRPLGDDSITLGPQGGLARGMSIRGQPASMGTLVADISPSPGDPRRMAAGLNGSSAISGRSNYSPREDIIPRYTPDRFAVPPACDQMNGQERNMNYVNRDLRNLDHGFDRPLGSSPPTRAQGPPFSQTTPTGKLWPEERLRDMSTAAIKEFYSARDEKEVSLCIKELNSPSFHPSMISIWVTDSFERKDLERDLLAKLLVSLARSQNGILDSNQLIKGFESILTTLEDAVNDAPKAPEFLGRIIGRVVVENVVPLSEIGPLLHEGGEEPGSLLKLGLAGDVLGSILEMIKVEKGEAVLNEIRGASNLRLEDFRPPDPNRSRILEKFI >Potri.001G182100.1.v4.1 pep chromosome:Pop_tri_v4:1:16079754:16080671:-1 gene:Potri.001G182100.v4.1 transcript:Potri.001G182100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182100.v4.1 MADDGAVTLYNNSALTDAKKNPFSIKAGLAQMLRGGAILEVSSVQQAKIAEEAGACSIMVSEPGLRQGIRRMPDPSLIKEIKRAVSIPLMARARVGHFVEAQILEAIGVDYIDESELLALADEDNFINKHNFRCPFICGCRNLGEALRRVREGAAMIRTQGEILGQGNVAETVKNVRNVMKEVRVLNNMDEDEVFAFAKKIAAPYDLVAQIKQMGRLPVVQFAAGGIVTPADAALMMQLGCDGIFVGSEVFDSADPYKRVRGIVEAVRHYNDPHVLVESSCGLEDSMAELNLSEDRIEQFGRGGE >Potri.012G078200.1.v4.1 pep chromosome:Pop_tri_v4:12:10216662:10218267:1 gene:Potri.012G078200.v4.1 transcript:Potri.012G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078200.v4.1 MCRVLFDVKQKDGFTINPTPLTPPPSISTPYNSLNYEKESTPPSSSFNKLSPVLLLVIVIFAVVFFVSGLLHLLVRFLLKRASFSPIYHSNRYPETSGSHSLQRQLQQLFRLHDSGLDQAFIDALPVFYYEDIMGLKEPFDCAVCLCEFADQERLRLLPLCSHAFHINCIDTWLLSNSTCPLCRGTLSGSSLPMENPLFNFDLSRELSNGFSCEEECGSVNCQKSATIVEDKSDGEKRVFSVRLGKFRTLNDGEGIGEKQHGETSRCNLDARRCYSMGTVQYVEGESNLQVALSQGNLNGRGGDNGCPSTDGDLEDKKIRGRTRGDSFSVSKIWLWSKKSRFPTSSSIHMDMSSSSSVAVGLPINDTSTEIVRDI >Potri.011G117600.1.v4.1 pep chromosome:Pop_tri_v4:11:14874995:14877759:-1 gene:Potri.011G117600.v4.1 transcript:Potri.011G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117600.v4.1 MEDFIFRGFLSSSLLLSLYVVFRVAHTFWLKPKSQEKRLRKQGIRGTSYKILNGDMKEFARSSKEARSRPLALNQEIAPRVFPFFYKMVQIYGKVSLCWMGTRPSLLLADPELVRLVLTDTSGHIIKPPRNALVGLLQLGVSTLEGDKWAKRRRLMTPAFHVERLRGMIPAFSACCCDLVQRWKKLAGPQGSCELDVASEFNILASDVIARAAFGSSYEEGKRIFDLQKDQVTLVLEAFYSIYFPGLRFIPSKKNKKRYSIDKEIKAALRNIIHKKEQAMQNGDLGDADLLGLLLKGRDDADNDMKIEDVIEECKLFFFAGQETTANLLTWTLVVLSMHPDWQEKAREEVLQICGKRTPDTDSIKQLRIVSMILNEVLRLYPPVNLLYRHTLKETSIRGMSIPAGVDLLLPFLFLHYDPEYWGDNAEEFKPERFSEGVSKASKDEIAFYPFGWGPRFCLGQNFALTEAKMALTMILQNFWFELSPSYTHAPGNVITLQPQHGAPIILHQL >Potri.011G117600.3.v4.1 pep chromosome:Pop_tri_v4:11:14874995:14877743:-1 gene:Potri.011G117600.v4.1 transcript:Potri.011G117600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117600.v4.1 MRTRTGKVSLCWMGTRPSLLLADPELVRLVLTDTSGHIIKPPRNALVGLLQLGVSTLEGDKWAKRRRLMTPAFHVERLRGMIPAFSACCCDLVQRWKKLAGPQGSCELDVASEFNILASDVIARAAFGSSYEEGKRIFDLQKDQVTLVLEAFYSIYFPGLRFIPSKKNKKRYSIDKEIKAALRNIIHKKEQAMQNGDLGDADLLGLLLKGRDDADNDMKIEDVIEECKLFFFAGQETTANLLTWTLVVLSMHPDWQEKAREEVLQICGKRTPDTDSIKQLRIVSMILNEVLRLYPPVNLLYRHTLKETSIRGMSIPAGVDLLLPFLFLHYDPEYWGDNAEEFKPERFSEGVSKASKDEIAFYPFGWGPRFCLGQNFALTEAKMALTMILQNFWFELSPSYTHAPGNVITLQPQHGAPIILHQL >Potri.016G095750.5.v4.1 pep chromosome:Pop_tri_v4:16:9385937:9401535:1 gene:Potri.016G095750.v4.1 transcript:Potri.016G095750.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G095750.v4.1 MEMPGRRSNNYILLSQQAEEQQQAPPPYYESSSLSGDSKNNKLIIKQQERIFVDWEPDHRIMGGNSNRTGLYSSSSAAIGLQRQSSWSSFGESSLSGEYYPPTTLSTGGVNEIDQAYGYEDGNFMTAARLPSNGSSGKSWAQQTQESYQLQLALALRLSSEATCADDPNFLDSVPAESALRSSTSNSPEALSHRFWVSGCLSYLDKIPDGFYLIHGMDPYVWTVCTDSQENGRIPSIESLKSVDPNADSSMEVVLIDQRSDPCLKELQNRVHGISCSCVTTKEVVDQLAKLVCNRMGGSASRREDDFVSIWKECSDDLKDCLGSVVVPIGSLSSGLCSHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVQFELDREYLVDLVGMPGCLCEPDSLLNGPSSISISSPLRFPRIKSVEPTVDFRSLAEQYFLDCQSLNFVFDDASAGTVDDGAGPGFVMNSKQIDRTGPERNNLVQFPSNTNEISKLPRQLKVNHISGLDKAYNPSQNAKQSMNEVRDPIPLKKIPPVVRRDIRPLISLSDQRVDASKDSISEGSQLVSGKTSKELSLDVEDLDIPWSDLVLKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHAERFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGAREVLDERRRLSMAYDVAKGMNYLHKHNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLCDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKGKRLEIPRDLNPQVVALIESCWANEPWKRPSFTSVMESLRSLIKPPTPQPGLAGMTLLA >Potri.016G095750.4.v4.1 pep chromosome:Pop_tri_v4:16:9385814:9401683:1 gene:Potri.016G095750.v4.1 transcript:Potri.016G095750.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G095750.v4.1 MEMPGRRSNNYILLSQQAEEQQQAPPPYYESSSLSGDSKNNKLIIKQQERIFVDWEPDHRIMGGNSNRTGLYSSSSAAIGLQRQSSWSSFGESSLSGEYYPPTTLSTGGVNEIDQAYGYEDGNFMTAARLPSNGSSGKSWAQQTQESYQLQLALALRLSSEATCADDPNFLDSVPAESALRSSTSNSPEALSHRFWVSGCLSYLDKIPDGFYLIHGMDPYVWTVCTDSQENGRIPSIESLKSVDPNADSSMEVVLIDQRSDPCLKELQNRVHGISCSCVTTKEVVDQLAKLVCNRMGGSASRREDDFVSIWKECSDDLKDCLGSVVVPIGSLSSGLCSHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVQFELDREYLVDLVGMPGCLCEPDSLLNGPSSISISSPLRFPRIKSVEPTVDFRSLAEQYFLDCQSLNFVFDDASAGTVDDGAGPGFVMNSKQIDRTGPERNNLVQFPSNTNEISKLPRQLKVNHISGLDKAYNPSQNAKQSMNEVRDPIPLKKIPPVVRRDIRPLISLSDQRVDASKDSISEGSQLVSGKTSKELSLDVEDLDIPWSDLVLKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHAERFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGAREVLDERRRLSMAYDVAKGMNYLHKHNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLCDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKGKRLEIPRDLNPQVVALIESCWANEPWKRPSFTSVMESLRSLIKPPTPQPGLAGMTLLA >Potri.016G095750.6.v4.1 pep chromosome:Pop_tri_v4:16:9385937:9401529:1 gene:Potri.016G095750.v4.1 transcript:Potri.016G095750.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G095750.v4.1 MEMPGRRSNNYILLSQQAEEQQQAPPPYYESSSLSGDSKNNKLIIKQQERIFVDWEPDHRIMGGNSNRTGLYSSSSAAIGLQRQSSWSSFGESSLSGEYYPPTTLSTGGVNEIDQAYGYEDGNFMTAARLPSNGSSGKSWAQQTQESYQLQLALALRLSSEATCADDPNFLDSVPAESALRSSTSNSPEALSHRFWVSGCLSYLDKIPDGFYLIHGMDPYVWTVCTDSQENGRIPSIESLKSVDPNADSSMEVVLIDQRSDPCLKELQNRVHGISCSCVTTKEVVDQLAKLVCNRMGGSASRREDDFVSIWKECSDDLKDCLGSVVVPIGSLSSGLCSHRALLFKVLADTIDLPCRIAKGCKYCKRDDASSCLVQFELDREYLVDLVGMPGCLCEPDSLLNGPSSISISSPLRFPRIKSVEPTVDFRSLAEQYFLDCQSLNFVFDDASAGTVDDGAGPGFVMNSKQIDRTGPERNNLVQFPSNTNEISKLPRQLKVNHISGLDKAYNPSQNAKQSMNEVRDPIPLKKIPPVVRRDIRPLISLSDQRVDASKDSISEGSQLVSGKTSKELSLDVEDLDIPWSDLVLKERIGAGSFGTVHRADWHGSDVAVKILMEQDFHAERFKEFLREVAIMKRLRHPNIVLFMGAVTQPPNLSIVTEYLSRGSLYRLLHKSGAREVLDERRRLSMAYDVAKGMNYLHKHNPPIVHRDLKSPNLLVDKKYTVKVCDFGLSRLKANTFLSSKSAAGTPEWMAPEVLCDEPSNEKSDVYSFGVILWELATLQQPWSNLNPAQVVAAVGFKGKRLEIPRDLNPQVVALIESCWANEPWKRPSFTSVMESLRSLIKPPTPQPGLAGMTLLA >Potri.011G010901.1.v4.1 pep chromosome:Pop_tri_v4:11:993070:997326:-1 gene:Potri.011G010901.v4.1 transcript:Potri.011G010901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010901.v4.1 MAKTRPGGIISKPKTGKRDLESYTIRGTTKVVRAGDCVLMRPSDTGRPSYVAKIEAIEADSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVDMTIEEAKKLDHFMCSECASDDDVKRSQNGFSASSLAEVKARLFSILFPFFWRFIFVALIYPIYCA >Potri.011G010901.2.v4.1 pep chromosome:Pop_tri_v4:11:993073:997386:-1 gene:Potri.011G010901.v4.1 transcript:Potri.011G010901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010901.v4.1 MAKTRPGGIISKPKTGKRDLESYTIRGTTKVVRAGDCVLMRPSDTGRPSYVAKIEAIEADSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVDMTIEEAKKLDHFMCSECASDDDVKRSQNGFSASSLAEVKVENKRRKR >Potri.003G144500.1.v4.1 pep chromosome:Pop_tri_v4:3:15973442:15975610:1 gene:Potri.003G144500.v4.1 transcript:Potri.003G144500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144500.v4.1 MDTAQWPQEIVVKPIEEIVTNTCPKPPALERKARPQKEQALNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNIPVGGGSRKNKRSSASSSSSSKKLPDLITPTSLSQTSTQNPKIHEGQDLNLAFPSTQSIRSFSELIQVPSINENNNKNPISSSTSASTTTSQLSALELLTGISSRGLNSFPMPVQDPSTLYTSSFPLHDFKPTLNFSLDGLGSCYGSLQGVQETNGRLLFPFEDLKQVSSTADIEHNKEHGDSTGYWTGMLGGGSW >Potri.014G172200.1.v4.1 pep chromosome:Pop_tri_v4:14:12790784:12794055:-1 gene:Potri.014G172200.v4.1 transcript:Potri.014G172200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172200.v4.1 MRTPMICWAFLLLVLFGKACNGFYLPGSYMHTYSTGDEIVAKVNSLTSIETELPFSYYSLPYCQPHGGIKKSAENLGELLMGDQIDNSPYRFRMNVNESVYLCTTKPLSEHEVKLLKQRTRDLYQVNMILDNLPVMRYAKQNGIDIQWTGFPVGYTPQNSNDDYIINHLKFTVLVHEYEGSGVEVIGTGEEGMGMISESDKKKASGFEIVGFVVVPCSVKYDPEVMTKHHIYDNISLVDCPSDLDKSQIIREQERISFTYVVEFVKSDIRWPSRWDAYLKMEGARVHWFSILNSLMVIFFLAGIVFVIFLRTVRRDLTRYEELDKEAQAQMNEELSGWKLVVGDVFREPDCPKLLCVMVGDGVQITGMAVVTIVFAAFGFMSPASRGMLLTGMILLYLFLGTAAGYAAVRMWRTIKGTSEGWRSISWSVASFFPGIVFVILTILNFILWGSHSTGAIPISLYFVLLSLWFCISVPLTLLGGFMGTRAEAIQYPVRTNQIPREIPARKLPSWVLVLGAGTLPFGTLFIELFFILSSIWLGRFYYVFGFLLIVLLLLVIVCAEVSVVLTYMHLCVEDWRWWWKAFFASGSVSIFVFLYSINYLVFDLQSLSGPVSAILYLGYSLIMAIAIMLSTGTIGLLTSFYFVHYLFSSVKID >Potri.002G115000.1.v4.1 pep chromosome:Pop_tri_v4:2:8776394:8779122:-1 gene:Potri.002G115000.v4.1 transcript:Potri.002G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G115000.v4.1 MNIDEAKLFVGGISRETSEETLRNYFSKYGVVSHSLTAKDKITKFPRGFGFVVFSDPSSAARALQDNHVILGRTVEVKKALPKIEKHQQQRQHHPHGNQEASNGLGMNSDNSTSAKNNRTKKIFVGGLASSLTEEQFKNYFEQFGRTVDVVVMQDSLTNRPRGFGFVTFDSEESVDKVLLIGSHELNGKRVEVKKAVPKDRINGHIVRSGSNGFIGNGPQLANHPFYGQGYDPCYTSFPVYNDVHGHFYGMSFYGSVYPMVGYGRPGLEVAPMAPMLGAGLFPYSNACLYPAYTHNMSSIRGMEIGGHNRIVEAGVNGEESGSWQQWTRSI >Potri.012G013000.1.v4.1 pep chromosome:Pop_tri_v4:12:1552758:1553462:-1 gene:Potri.012G013000.v4.1 transcript:Potri.012G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013000.v4.1 MAEFSKLLTKTDIQKRLSLPTKFFKPLPSLKGSHVRDFPAIDESGFVWTFQCSTRKKGHPKPVLSKGWLAFVRHKELKVGDRVKFFKEKDRSGPATPFYRVEAEKEIKIFGAIFGYSPIVAPFP >Potri.006G095000.2.v4.1 pep chromosome:Pop_tri_v4:6:7208481:7210494:-1 gene:Potri.006G095000.v4.1 transcript:Potri.006G095000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095000.v4.1 MREILHIQGGQCGNQIGAKFWEVVCAEHGIDATGKWNGDSELQIERINVYYNEAGNGRYVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYSALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEDYEDEEEELHDM >Potri.002G073600.3.v4.1 pep chromosome:Pop_tri_v4:2:5178158:5179717:1 gene:Potri.002G073600.v4.1 transcript:Potri.002G073600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G073600.v4.1 MQRKTTTTTTTRIHHLIEDEDDTGFSAGFISGYALSASLLGKPEFGLLRPPEMAATARTVCAAPLKPIIADADTSGGNALNVQRTVKDLIAAGAAGCFLEVIPAEEHAAKIASARDAAGDSDFFLVARTDARATSAKNGLSDAISRANL >Potri.002G149800.1.v4.1 pep chromosome:Pop_tri_v4:2:11298819:11303887:-1 gene:Potri.002G149800.v4.1 transcript:Potri.002G149800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149800.v4.1 MATAPLLPTTNSTSQEKRHRSIFDVPTDFFDACRLLSPSSSPSPASTSVAPEIPSPIETLDEVDTISKNGVEVTIPRWTCNTCKAEFDSLQDQRFHFKSDFHRINVKLSIAGKDIVKEEDFDESFKDCDISSVSGSEDEAEKVSILRTDAQRGFVESVKQKLFIRLKTGERVSIWKSLVLNDSESVCFENDNVLGGCLRESEVIERLKFLIHEERNGTRLRIVLLASGGHFVGCVFDGNSPVLHKTFHRYVVRAKAGKKQSSKDGTGRAAHSAGASLRRYNELALKKDIQELLDVWKPYFDASSCIYLYAPSSNRQLLFDGNKAYFSHQHHVRNIPLSIRRPTFKEARRIYNQLAQVTYEVDEKESLPDTIDDLVSTTSTITNSCPGPSKEDLNDSINSAESTEPSSIKKKSDDLHVSSGSESEVAGMTTPLHEATQSGDVHQVLKLLEQGLDPCIKDERGRTPYMLANDKEVRNTFRRFMALNIDRWDWHAANVPSALTKEMEESQAAKQTEKEEKRKARAKELKKLRKAREKKAQAEAASSQNAAAVLVNRATPISAIKGQSQPSGGSKISTEELKRAEAIEREKRAAAAERRMAAVAAINAQSSSTSTVPAMAQPKSGLTSDITCSCCNVSLAGKVPFHRYNYKYCSTSCMHVHREILEDE >Potri.T124704.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:25687:29042:-1 gene:Potri.T124704.v4.1 transcript:Potri.T124704.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124704.v4.1 MDILNPTKPLSSSLFNTHFRKPTLLLNSKILKLKPQIHTLYSNNNKRSRLSVTIVTCSKLKTSKEIKEKDKNSSGKILLSNSAPPVLSEESGGGGGNGEKVPVKTGTGALGFLKRLPRKVLAVLSNLPLAIGEMFSIAVLMALGTLIDQGEAPEFYFQKFPEENPLLGFFTWKWVLTLGFDHMYSSPVFLGMLALLGVSLMACTYTTQIPLAKVARRWNYLHSADAIRKQEFSDNLPRASVQDLGVILMGSGYEVFLKGPSLYAFKGLAGRFSPIGVHLAMLLIMAGATLSATGSFRGSVTVPQGLNFVVGDVLGPSGFLSTPTEAFNTEVHVNRFYMDYYDGGDVKQFHTDLSLFDLNGKEVMRKTISVNDPLRYGGITMYQTDWSISALQVRKDDEGPFNLAMAPLKISGDNKLYGTFLPVGDVNSPNVKGISMLARDLQSIVLYDQEGKFVGVRRPNSKLPIDIDGMKIIIEDAIGSSGLELKTDPGVPVVYAGFGALMLTTCLSYLSHSQIWALQDGTAVIVGGKTNRAKAEFQYEINFLLDKVPEIVESSLSKQSDVASG >Potri.007G112900.1.v4.1 pep chromosome:Pop_tri_v4:7:13373931:13374286:-1 gene:Potri.007G112900.v4.1 transcript:Potri.007G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112900.v4.1 MVCQAASQTRFRALKHENGSAGKLTIIVRVIACYQPLQDCQAEYFRHLLKPVT >Potri.019G014382.1.v4.1 pep chromosome:Pop_tri_v4:19:2347202:2349897:1 gene:Potri.019G014382.v4.1 transcript:Potri.019G014382.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014382.v4.1 MGNGSSSGSGRDDQGFATILSIDGGGVRGIVPSVVLTALEAKLQKLDVDNKDARIADYFDFVAGTSTGGLMTAMLTTPNAEKRPTFAAKDIVQFYLDKSQLIFPQTTEQYEDDELFDDEAAINSVLDEARNQIQQYKNEMRNHIIVDPLISVLRFLLNWGLLPNFIRKKLRSLVFPRYDGVKLHEIINEEVGQKLLSDALTNVIIPTFDIKLFQPIIFSSLKAQRDKSTDARIADVCIGTSAAPYYFPPYYFKTKVDFNLADGGLAANNPSLLAVCEVMKEQKMDGRKLLILSLGTGAADQSGRYVVGDPSKWGLLRWLWYSENNGSPLIDILTTAPDEMISTYISTIFKYCGWEDNYYRLQAKMELTGARMDDASQENLKKLEKIGKDLAAKHDAELEALAQKLIKNRKARLARISG >Potri.003G131000.1.v4.1 pep chromosome:Pop_tri_v4:3:14926848:14929825:1 gene:Potri.003G131000.v4.1 transcript:Potri.003G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131000.v4.1 MATTTTTTSTTKAQLIKVQAQPIKPKRRRFRETTISSSASTTTTNNSESACYLTQTRKHDPPTIASPDNFWFRPASKPLPTPPPPSPPPQKTRFPINHSPQPDLVSTSPSEFKILLSPGSQSPVMEFTTTTLLANGHHHIASPIEHSSFPSSFTKFNSALTAGLLNPMSPPPPDHKPRSSPTLFEMMASEPEMQPRTITQIPIIGNNGNINVRNTQSSQMSVQDRQALILQRITNILGNRSPGNQFNDSSSSDVNLTLSSKDGISVSMNVHRQILVGHSRFFAVKLSDKWANKQQRMSAPYVVEIADCDDVEVYIETLRLMYCKDLRRKLMKEDVSRVLGILKVSAAIGFDAGVLSCLEYLEAAPWAEDEEEKVASLLSELRLEGVGVSEVLKRVSVEVTNGTQDGGDNEEVLLKLLHVVLEGKDEKARREMKGLLSKMLRENSSQNDLRKESLYLACNGCLQLLQDHFLRAASGVLNDVGQIARQADNLHWILDILIDRQIAEDFLKTWASQSELSAVHSKIPAIHRYEVSRVTARLFVGIGKGQLLASKEARSLLLQTWLVPFYDDFGWMRRATKGLDRHLIEDGLSNTILTLPLAWQQDILLAWFDRFLNSGEDCPNIQRGFEVWWRRAFWRRRGEQERTRPIRITTATIENS >Potri.002G077900.2.v4.1 pep chromosome:Pop_tri_v4:2:5435295:5439380:-1 gene:Potri.002G077900.v4.1 transcript:Potri.002G077900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077900.v4.1 MKKMLHREGEEMTDSGDSTVIVGVKLDSMSRELLTWALVKVAQPGDTVIALHVLGSNEIVDREGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSTRKILVREVKSYAATKVIVGAAKNHPSIWSSTSVAKYCAKKLPKDCSVLAVNNGKVVFQRERSPNTSGTKDHSKSLLSVVHRTISSEKKSRELNESSANGGSKDDQDSDQILEKALMKARSNSLESIMKENCSVCGSATIFADDSSNESAEASSSDNGGDDKSLALVPVPRLEEPTSSVSTLIRQVPELKPGWPLLCRAVLPDKKESNISLVRQVCVVQWEQLSLSTVNSDHKQDGSDKGEDKFNLDGESGAIVAVGMETATAPHTPHHNSRSPPKELEGLHEKYSATCRLFQYQELLSATSNFLAENLIGKGGSSQVYKGCLSDGKELAVKILKPSEDVLKEFVLEIEIITTLHHKNIISLLGFCFEDKNLLLVYDFLPRGSLEDNLYGNKKDPLTFGWNERYKVALGVAEALDYLHSCSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWAPTSSSHIICTDVAGTFGYLAPEYFMYGKVNKKIDVYAFGVVLLELLSGKKPISNDLPKGQESLVMWAKPILNGGKVSQLLDSSLGDSYDLDQMERMVLAANLCVKRAPRARPQMSLVVKLLQGDAEATKWARLQVNAAEESDVLDDEACPRSNLLSHLNLALLDVEDDLLSLSSIEHSISLEDYLAGGAAHQA >Potri.002G077900.5.v4.1 pep chromosome:Pop_tri_v4:2:5435295:5439254:-1 gene:Potri.002G077900.v4.1 transcript:Potri.002G077900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077900.v4.1 MKKMLHREGEEMTDSGDSTVIVGVKLDSMSRELLTWALVKVAQPGDTVIALHVLGSNEIVDREGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSTRKILVREVKSYAATKVIVGAAKNHPSIWSSTSVAKYCAKKLPKDCSVLAVNNGKVVFQRERSPNTSGTKDHSKSLLSVVHRTISSEKKSRELNESSANGGSKDDQDSDQILEKALMKARSNSLESIMKENCSVCGSATIFADDSSNESAEASSSDNGGDDKSLALVPVPRLEEPTSSVSTLIRQVPELKPGWPLLCRAVLPDKKESNISLVRQVCVVQWEQLSLSTVNSDHKQDGSDKGEDKFNLDGESGAIVAVGMETATAPHTPHHNSRSPPKELEGLHEKYSATCRLFQYQELLSATSNFLAENLIGKGGSSQVYKGCLSDGKELAVKILKPSEDVLKEFVLEIEIITTLHHKNIISLLGFCFEDKNLLLVYDFLPRGSLEDNLYGNKKDPLTFGWNERYKVALGVAEALDYLHSCSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWAPTSSSHIICTDVAGTFGYLAPEYFMYGKVNKKIDVYAFGVVLLELLSGKKPISNDLPKGQESLVMWAKPILNGGKVSQLLDSSLGDSYDLDQMERMVLAANLCVKRAPRARPQMSLVVKLLQGDAEATKWARLQVNAAEESDVLDDEACPRSNLLSHLNLALLDVEDDLLSLSSIEHSISLEDYLAGGAAHQA >Potri.002G077900.4.v4.1 pep chromosome:Pop_tri_v4:2:5435292:5439374:-1 gene:Potri.002G077900.v4.1 transcript:Potri.002G077900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077900.v4.1 MKKMLHREGEEMTDSGDSTVIVGVKLDSMSRELLTWALVKVAQPGDTVIALHVLGSNEIVDREGKSSLLSLVKAFDSVLAVYEGFCNLKQVDLKLKICRGSSTRKILVREVKSYAATKVIVGAAKNHPSIWSSTSVAKYCAKKLPKDCSVLAVNNGKVVFQRERSPNTSVVNNYVIVTGTKDHSKSLLSVVHRTISSEKKSRELNESSANGGSKDDQDSDQILEKALMKARSNSLESIMKENCSVCGSATIFADDSSNESAEASSSDNGGDDKSLALVPVPRLEEPTSSVSTLIRQVPELKPGWPLLCRAVLPDKKESNISLVRQVCVVQWEQLSLSTVNSDHKQDGSDKGEDKFNLDGESGAIVAVGMETATAPHTPHHNSRSPPKELEGLHEKYSATCRLFQYQELLSATSNFLAENLIGKGGSSQVYKGCLSDGKELAVKILKPSEDVLKEFVLEIEIITTLHHKNIISLLGFCFEDKNLLLVYDFLPRGSLEDNLYGNKKDPLTFGWNERYKVALGVAEALDYLHSCSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWAPTSSSHIICTDVAGTFGYLAPEYFMYGKVNKKIDVYAFGVVLLELLSGKKPISNDLPKGQESLVMWAKPILNGGKVSQLLDSSLGDSYDLDQMERMVLAANLCVKRAPRARPQMSLVVKLLQGDAEATKWARLQVNAAEESDVLDDEACPRSNLLSHLNLALLDVEDDLLSLSSIEHSISLEDYLAGGAAHQA >Potri.007G085100.1.v4.1 pep chromosome:Pop_tri_v4:7:10981936:10984857:-1 gene:Potri.007G085100.v4.1 transcript:Potri.007G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085100.v4.1 MARGRVKKTVKESASSKQENQPQVEEPEQFPLIDQAVERQIAAIRAMRDVGIEHLLTELRLLRSYFTKEQLKTPVLQFFKENLPNLSIERNEENGEFLVKFNDNVDGFSDGGNINASLLRRLSMAYPSCSANLPVGHFGLSSDAVKTSIFGAADHLQMPDFVLEGQSDFQMLGMHDGDGLQTPGVSSQRLSIGMTPKTLRLPKPGEMLLSVRGSPLGVYKEDNMEAIHESDED >Potri.017G090600.3.v4.1 pep chromosome:Pop_tri_v4:17:10358726:10364537:-1 gene:Potri.017G090600.v4.1 transcript:Potri.017G090600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090600.v4.1 MAWQGIVSSPDVAKENKHQIVETEHLMKVLLEQKNGLARRIFSKVGVDNTRLLEATDKFIQRQPKVLSDSAGSMLGRDLEALIQRAREYKKEYGDSFVSVEHLVLAFTQDQRFGKQLFKDFQISLQTLKPAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVEGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLREVTDSDGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVFVDQPTVADTVSILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDGINRSVLKLEMERLSLKNDTDKASKDRLSRLDAELSLLKKKQAELTEQWEHEKSVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLGSAEKELDEYMKSGKSMLREEVTGDDIAEIVSKWTGIPVSKLKQSEKEKLLHLEEELHKRVVGQDPAVKAVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNIFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILDTDDDLPKEVAYETIKRRVMDAARSVFRPEFMNRVDEYIVFQPLDRDQINSIVRLQLERVQQRLADRKIRVLVTDAAGDLLGTLGYDPNYGARPVKRVIQQYVENELAKGILRGEFKDEDSVLIDTEVTAFANGQLPQQKLVFKRLQTSEEKAAAENRVFSRTV >Potri.017G090600.1.v4.1 pep chromosome:Pop_tri_v4:17:10358753:10365166:-1 gene:Potri.017G090600.v4.1 transcript:Potri.017G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090600.v4.1 MATTATAASFTGVSLCPSSSSPLSHSASIRNALLPQKSLISFAGRRTSVKSLELKRNGARFQRTSRPTSFVVRCDASNGRITQQEFTDMAWQGIVSSPDVAKENKHQIVETEHLMKVLLEQKNGLARRIFSKVGVDNTRLLEATDKFIQRQPKVLSDSAGSMLGRDLEALIQRAREYKKEYGDSFVSVEHLVLAFTQDQRFGKQLFKDFQISLQTLKPAIESIRGRQSVIDQDPEGKYEALEKYGKDLTAMAKAGKLDPVIGRDDEIRRCIQILSRRTKNNPVLIGEPGVGKTAISEGLAQRIVEGDVPQALMNRKLISLDMGALIAGAKYRGEFEDRLKAVLREVTDSDGQIILFIDEIHTVVGAGATNGAMDAGNLLKPMLGRGELRCIGATTLDEYRKYIEKDPALERRFQQVFVDQPTVADTVSILRGLRERYELHHGVRISDSALVEAAILSDRYISGRFLPDKAIDLVDEAAAKLKMEITSKPTALDGINRSVLKLEMERLSLKNDTDKASKDRLSRLDAELSLLKKKQAELTEQWEHEKSVMTRIQSIKEEIDRVNLEIQQAEREYDLNRAAELKYGSLNSLQRQLGSAEKELDEYMKSGKSMLREEVTGDDIAEIVSKWTGIPVSKLKQSEKEKLLHLEEELHKRVVGQDPAVKAVAEAIQRSRAGLSDPRRPIASFMFMGPTGVGKTELAKALASYMFNTEEALVRIDMSEYMEKHAVSRLIGAPPGYVGYEEGGQLTETVRRRPYAVILFDEIEKAHSDVFNIFLQILDDGRVTDSQGRTVSFTNTVIIMTSNVGSQYILDTDDDLPKEVAYETIKRRVMDAARSVFRPEFMNRVDEYIVFQPLDRDQINSIVRLQLERVQQRLADRKIRVLVTDAAGDLLGTLGYDPNYGARPVKRVIQQYVENELAKGILRGEFKDEDSVLIDTEVTAFANGQLPQQKLVFKRLQTSEEKAAAENRVFSRTV >Potri.009G070000.1.v4.1 pep chromosome:Pop_tri_v4:9:6912932:6918348:-1 gene:Potri.009G070000.v4.1 transcript:Potri.009G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070000.v4.1 MIGRKNMGRASPLLLVLLALGFFFATYNLLTLIIQYKDSSTGLGSGISDPVTGMPANSWKLGKSNLKFHVALTATDAPYSQWQCRVMYYWYKKMKSMPGSDMGKFTRVLHSGKGDHLMDEIPTFIVDPLPDGLDRGYIVLNRPWAFVQWLEKATIEEDYILMAEPDHIFANPLPNLAHGDNPAGFPFFYIKPTEHEKIVRKFYPEEKGPVTDVDPIGNSPVIIKKSLLEEISPTWVNVSLRMKDDPETDKAFGWVLEMYAYAVASALHGVRHILRKDFMLQPPWDLEVGKRFIIHYTYGCDYNMKGELTYGKIGEWRFDKRSYLSGPPPKNLTLPPPGVPESVVRLVKMVNEATANIPGWDSLNSG >Potri.002G116400.1.v4.1 pep chromosome:Pop_tri_v4:2:8825756:8827503:-1 gene:Potri.002G116400.v4.1 transcript:Potri.002G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G116400.v4.1 MDLELVIKGLDFSRRKKKLLIFLAVSGVSGYGVYKVYNLPAVVRKRRRFMRLMGALISIAEMVSDSAETISIVSKDLKEFLQSDADKIPNSLKQISKIAKSDEFSESLIGVTQALTLGVLRGYNLESGNDKKLGLGYGNSSFSDEVMERLFSSKGTGFVSVVVGSFARNLVLGLYSSGEDQSRSSLSDVPGWIGVVCDDRCRELIADCIQKFVSTAVAVYLDKTLQINTYDEFFTGLTNPKHQNNVRDVLVSICNGAVETLVKTSHQVLTTSSTAKSGSAYSIVEQGEELGVNKDDYLKREACMKDRKSFDEVQNGGWVGTVSSALSVPSNRKFVLDVTGRVTFETIRSIVEFMLWKMSDGVKRSLNVVQEEVVDRGLEVVRYVGAKSSVIVTVCLALYLHVLGGSRVLLTA >Potri.004G152800.1.v4.1 pep chromosome:Pop_tri_v4:4:17390987:17393497:1 gene:Potri.004G152800.v4.1 transcript:Potri.004G152800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152800.v4.1 MDFKDEVQRVKFGINQIGNFGLRLVWHSVHLLVRFWYLGVGVANVIESYLISSGLLKRYRSIDVGKLRYLAIVIESDDACRISKVIQLLQWLQAIGVKHLCLYDTEGVLKKSKESILAKLKNATLFEEADERDSLLDQKHMTLEFASISDGKEAVAKGGNVLFMKYSKVANSGAEQKEQIFTEANMTEALRAVGCGGPEPDLLLVYGPARCHLGFPAWRIRYTEIVHMGPLKSVRYGSLVKAIYKFTIVRQKYGT >Potri.004G152800.6.v4.1 pep chromosome:Pop_tri_v4:4:17391240:17393447:1 gene:Potri.004G152800.v4.1 transcript:Potri.004G152800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152800.v4.1 MDFKDEVQRVKFGINQIGNFGLRLVWHSVHLLVRFWYLGVGVANVIESYLISSGLLKRYRSIDVGKLRYLAIVIESDDACRISKVIQLLQWLQAIGVKHLCLYDTEGVLKKSKESILAKLKNATLFEEADERDSLLDQKHMTLEFASISDGKEAVAKGGNVLFMKYSKVANSGAEQKEQIFTEANMTEALRAVGCGGPEPDLLLVYGPARCHLGFPAWRIRYTEIVHMGPLKSVRYGSLVKAIYKFTIVRQKYGT >Potri.004G152800.2.v4.1 pep chromosome:Pop_tri_v4:4:17391099:17393444:1 gene:Potri.004G152800.v4.1 transcript:Potri.004G152800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152800.v4.1 MDFKDEVQRVKFGINQIGNFGLRLVWHSVHLLVRFWYLGVGVANVIESYLISSGLLKRYRSIDVGKLRYLAIVIESDDACRISKVIQLLQWLQAIGVKHLCLYDTEGVLKKSKESILAKLKNATLFEEADERDSLLDQKHMTLEFASISDGKEAVAKGGNVLFMKYSKVANSGAEQKEQIFTEANMTEALRAVGCGGPEPDLLLVYGPARCHLGFPAWRIRYTEIVHMGPLKSVRYGSLVKAIYKFTIVRQKYGT >Potri.006G096400.10.v4.1 pep chromosome:Pop_tri_v4:6:7333209:7342831:1 gene:Potri.006G096400.v4.1 transcript:Potri.006G096400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096400.v4.1 MKINNRKLKKSETSSSSKLVLEEIIGLTTKNANGLASNTSTARFAYIAGCVVVVYNVDSTTQSHLLVSHRPPKPLTCVAMSRDGRFIAAGESGNQPAVLVWDCSTMSFVSELKGHLYGVECIAFSPNGEHVVSVGGYIYLWDWRSGILVTKLKASSSCSAVTSVSFSSDAKFIVTAGKKHLKFWTVGSSPGTRLSNGTGSLAMHGKPVNLGPQKGSSFISVTSAIGMNNSPDTPEQAGDLFPIYVLTDEGTLCLVDSGLSVRKTVDLKVEQGYALSASDKLIACACCNGIVKLFTSETLNHAGTLLYSNAKSFQGDTNFHFQTTEVQKDSQLVPALPDAIACQFSTSEKLVVVYGDHTLYIWNIHDMKEATRCYALVSHSACIWDVKNLCCENMHDPSLACVARGCSGGVSFATCSADGTIRLWDLTLQSNLVEDDADQQSLKAKQMGGTRLVSSGILERDTAEAGVDTQGFRSMAASSDGKYLVAGDCEGNLHIYNLLTSDYACFQGIHDAEILSLSFSLSSKKHVISGDVVDSNCFLASGGRDRIIHLYDVERNFDLIGSIDDHSAAVTSVKLTFHGHKILSCSADRSLVFRDVCVVESCCKISRRHHQMASHGTVYDMALDPAMEFVVTVGQDKKINTFDIASGKLTRSFKQDKDFGDPIKVSMDPSCSYLACSYSNKSLCMYDAISGELVTRAVGHGEVITGVIFLPDCKHVVSVGGDSCIFVWKLPSRMSSRMLQRMKENAVPLSPKDLGPPSAFNQIVFSEAEDQQCTYNAEKILLPENSNQFGEKKFYQGERSPRISMFRFSVSRLPRWAQAKVEGSNSVSKNPDITSFQQPELKTCPPDVDGGECGALCPEVQSPLGTVVGSRDSYISSLSGSSASENNQSSPTPLDTRSCFAMDKRWLNVYTVCLDPLNSPEMPHLADPNMLVASPNSKIPSNGECSSAQANLAVKEERKASSKRHACSNNYGFLGKSENLSGIHSTSKCHKAVAGHVTEQLHSHKSGCQIQEAMEDEADHMKSEGSDFFKHYFGSLSTACKVDGSNPFVRRRYSAKYVVRQDYAGGGKSLFDTPVQNLHSKISTYKAESVPHITLEDPMTQNPEEQKERESSKQDLKNSTQGLLISTHALSQGELTNCDLAEDSLNMKLTEAMDQKERTPETEGNDLQQRLTACWEALINLDNAADNAVHLFSELGTMVSTEEISNSPGAKLYGDAGKLLPSIANKLNAIAELVQCRSHISCKSRVEVSGLEPLLGTFAESLSERVVEILKKNLTKSKD >Potri.019G010600.1.v4.1 pep chromosome:Pop_tri_v4:19:1507486:1508842:-1 gene:Potri.019G010600.v4.1 transcript:Potri.019G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010600.v4.1 MSSTFSTPPLPFQHFHGVFHLRKLLLHNPLSPLPSSNAHHPLNPNATGDKSFNINVVVVFIVLMCALFSSLGLNSFVRCALWCSNVNGNSSNRGIKKKALKTFPVVNYSAKDSKLPGLDTECVICISEFVFGDRVRILPKCSHVFHVRCIDMWLSSHSSCPTCRHCLKETCHKIAGVSQASSSEQPPPPIQERVVNIAPLEREGLVSNYRGVS >Potri.002G032700.1.v4.1 pep chromosome:Pop_tri_v4:2:2171833:2174031:-1 gene:Potri.002G032700.v4.1 transcript:Potri.002G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032700.v4.1 MWFSKESLPRPPPPHYETILSVAASLTASAILFRSIINELFPDSVKEYLSSSLQKISSRLSSQLTIVIEESDGLVANRMFKAANVYLGSKLLPSTRKIKVHQQEKEDELEVSVDKNQELFDVFKGVKFKWVAASRVDGLVSSNKKRQDSAFSRSEVRYFELACHKKHRDMVLSSYFPYILQKAKAIKEEKKTVKLHTIDYNGPDYWGSIKFDHPATFDTIAMDPEMKRELIEDLDRFVESREFYRRVGKAWKRGYLFHGPPGTGKSSLVAAMANYLRFDVYDLDLKEVQCNSDLRRLLIGTGNRSMLVIEDIDRSFESVEDDEVTLSGLLNFIDGLWSSSGDERILVFTTNHKDQLDPALLRPGRMDVHLHMSYCTFNGFKTLALNYLRLQEHPLFGEIKELIEKVQATPAEVAGELMKSEDPEVALQGLIKFLHDKETSETSRNN >Potri.011G005100.1.v4.1 pep chromosome:Pop_tri_v4:11:413961:416574:-1 gene:Potri.011G005100.v4.1 transcript:Potri.011G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005100.v4.1 MFRFLCKSLGLGCSIRPSSSVHQELHYFLENPSILSCLRNISSVNSDDVKEHSFTVSYLMNICGFSLKPALEVSKQVHFETPGNADSVLEIFKNHGFSKAHILNLVRRWPRVLLCKPHRTLLPKLGFFHSKGFSSPDVVKIISTYPWILRISFENKLVPAFDFFENLLQSDAMAIKAVKLDPRLLDAGLEKAARIVDILLENGVPMKNIALSVRIKPGIMLSNLENFKRLVQKASLMGFHPSKSQFVVAIVLLRSMTTSTWEKKLDVYRRWGLSQEEILAAFVKNPWFMSLSEEKITAVMDLFVNQLGWESSYLAKNPTIPSYSLDKRLVPRALLLQFLVSKGLVEKSFRSTAFFYTPENKFRQMFINHRSESTQILKFYNEKLNLSSVVNSSTF >Potri.001G103100.1.v4.1 pep chromosome:Pop_tri_v4:1:8301931:8308589:-1 gene:Potri.001G103100.v4.1 transcript:Potri.001G103100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103100.v4.1 MDFKKLCSIITITGVILLVCHPSSVTAGDIVHDDNLAPKKPGCENDFVLVKVQTWVGGEEDAEFVGVGARFGTTIVSKEKNANQIRLTLSDPRDCCSAPKHKLDRDVIMVHRGHCKFTTKANNAEAAGASAVLIINNQKELYKMVCEPDETDLDIHIPAIILPQDAGASLEKMLLTNTSVSVQLYSPKRPLVDVAEVFLWLMAVGTILCASYWSAWTAREAAAEQDKLLKDAVDEVPNDKAVGVSSVLDINTASAVLFVVIASCFLVILYELMSYWFIELLVVLFCIGGVEGLQTCLVALLSRWFKHAGESYIKVPFFGALSYLTLAVSPFCIAFAAGWAMHRNLSFAWIGQDTLGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKLFHESVMIVVARGDRSGEDGIPMLLKIPRLFDPWGGYSIIGFGDILLPGLLIAFSLRYDWSANKSLCAGYFPWAMLAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFLTLGKKRGDLRVLWTQGEPKRPCPHVLLQRSQEMD >Potri.016G015550.1.v4.1 pep chromosome:Pop_tri_v4:16:821646:823690:-1 gene:Potri.016G015550.v4.1 transcript:Potri.016G015550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015550.v4.1 MMKKSEILVVEDGTLAVFPVTFEEHKEELQSDIKAEGCMEYTRNLGLQPFSLLKERSSLMLAVQFVLNCSVEY >Potri.016G015550.4.v4.1 pep chromosome:Pop_tri_v4:16:822123:823689:-1 gene:Potri.016G015550.v4.1 transcript:Potri.016G015550.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015550.v4.1 MMKKSEILVVEDGTLAVFPVTFEEHKEELQSDIKAEGCMEYTRNLGLQPFSLLKERSSLMLAVQFVLNCSVEY >Potri.016G015550.3.v4.1 pep chromosome:Pop_tri_v4:16:821652:823689:-1 gene:Potri.016G015550.v4.1 transcript:Potri.016G015550.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015550.v4.1 MMKKSEILVVEDGTLAVFPVTFEEHKEELQSDIKAEGCMEYTRNLGLQPFSLLKERSSLMLAVQFVLNCSVEY >Potri.016G015550.2.v4.1 pep chromosome:Pop_tri_v4:16:821651:823690:-1 gene:Potri.016G015550.v4.1 transcript:Potri.016G015550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015550.v4.1 MMKKSEILVVEDGTLAVFPVTFEEHKEELQSDIKAEGCMEYTRNLGLQPFSLLKERSSLMLAVQFVLNCSVEY >Potri.008G224310.1.v4.1 pep chromosome:Pop_tri_v4:8:19064135:19065297:-1 gene:Potri.008G224310.v4.1 transcript:Potri.008G224310.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224310.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGREGSRRDKRQAGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRHVTPRGRGLRGEPTHGHAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRKRRISKGQGTGRGGKRRGQSCGGLSAPARKTETRPRQRAHHATSVELSSQSPSSAVRTSHVSQASDLRAGAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQGAPGPVRGHPPKEQ >Potri.012G128600.1.v4.1 pep chromosome:Pop_tri_v4:12:14416515:14418759:-1 gene:Potri.012G128600.v4.1 transcript:Potri.012G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128600.v4.1 MGRMHSRGKGISASALPYKRTSPSWLKISAQDVDDSICKFAKKGLTPSQIGVILRDSHGIAQVRSVTGNQILRILKAHGLAPEIPEDLYHLIKKAVAIRKHLERNRKDKDSKFRLILVESRIHRLARYYKKTKKLAPVWKYESSTASTLVA >Potri.019G062666.1.v4.1 pep chromosome:Pop_tri_v4:19:10284225:10286523:1 gene:Potri.019G062666.v4.1 transcript:Potri.019G062666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062666.v4.1 MLTSDLGFRFLWSTAVKLLFETQTHTHTQSLIIRSCIYLLSTFSAEQNLFPVIFPWIPWETTHVELVANQSIYYSISLKRKTPLLLTILGRQRGILSEFSMSNEFIRSFVTCLPFSP >Potri.019G031400.1.v4.1 pep chromosome:Pop_tri_v4:19:4385934:4388173:1 gene:Potri.019G031400.v4.1 transcript:Potri.019G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031400.v4.1 MKNQQSSLPPGFRFHPTDEELILHYLRKKVASTPFPVSIIADVDIYKFDPWDLPAKAALGEKEWYFFSPRDRKYPNGARPNRAAASGYWKATGTDKVIMASTITPGGVIIQGQENVGVKKALVFYKGKPPKGVKTDWIMHEYRLADTSSYSYNKPMKPRDSSMRLDDWVLCRIYKKAHALTSCARAEMSSEHEQEEEEEHFVPENPLPSLKGPISNKSALMSQKSCSFSNLLDAMDYSLLSSLLADTQFNPTGFESNPALNSTASQLDQPPFFSNSNIAGGGGGGCFLQKLPQLSASAPNNVDNKLKRQLSHIDEDMLHPSKKFMNSCSFTNTNNISTQTDNGQYNFLSQPFLNQQFLLSPHLQFQG >Potri.001G371200.5.v4.1 pep chromosome:Pop_tri_v4:1:38888638:38891408:1 gene:Potri.001G371200.v4.1 transcript:Potri.001G371200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371200.v4.1 MNTRYPFPMNSFCGSSPVASPAGEEHGTVSLRNRSARTPTSSLLVRTAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSPWMMLEFVALVIQISITMFTLAISKAEKPVWPVRIWIIGYNIGCVLSLLLLYGRYRQINTTQADGFGLPDLEQQRGSEESRCSILMHKCRTSLELFFAIWFVMGNVWVFDSRFGSYHRAPKLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISTVLGYNMNMGSAERGASDDQISSLPSWRYKAADTNSEFRNNADCNSTIASEDLECCICLAKYKDKEEVRKLPCSHMFHLKCVDQWLRIISCCPLCKQGLER >Potri.001G371200.4.v4.1 pep chromosome:Pop_tri_v4:1:38888739:38891454:1 gene:Potri.001G371200.v4.1 transcript:Potri.001G371200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371200.v4.1 MNTRYPFPMNSFCGSSPVASPAGEEHGTVSLRNRSARTPTSSLLVRTAMRISRARWFTFLRRVFHYQNGSRSNLGSNPFNSSPWMMLEFVALVIQISITMFTLAISKAEKPVWPVRIWIIGYNIGCVLSLLLLYGRYRQINTTQADGFGLPDLEQQRGSEESSVCRCSILMHKCRTSLELFFAIWFVMGNVWVFDSRFGSYHRAPKLHVLCISLLAWNALSYSFPFLLFLLLCCCVPLISTVLGYNMNMGSAERGASDDQISSLPSWRYKAADTNSEFRNNADCNSTIASEDLECCICLAKYKDKEEVRKLPCSHMFHLKCVDQWLRIISCCPLCKQGLER >Potri.005G242700.8.v4.1 pep chromosome:Pop_tri_v4:5:23904996:23910509:1 gene:Potri.005G242700.v4.1 transcript:Potri.005G242700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242700.v4.1 MQHGDYSSYYQYPHLQNPNPNPNPPIDHHQTPYASAPPFSSGYTPSDYSIYPQNYPPYSQNPDPVPPPTAPTYTPTTPTTPNPNNPQSSFNPPPPPPPQQPPYFPPYDSHGSYQPPTTQQSYLPSFDQHQTSPNYAPQPPPPNSAVAPNPTGATNSPYTSMYSAPYNPIGSSVPPVYDTPYENPVKFDQNFGYLEGYNRSRSDTGSDLYGKEPESRYDIGGGRDDGYGDGVYAYEGGKVEPYGARGTAPNSSTWAGFDDYGRSISFPSGKDNSVRSSSGSGSGKIVRAVPKVETQEDVKSGVQKFRVKILAESGGQGTMDVLCEIGLDGIRMLDPNTSRTLRIYPLENITRCDKMDSSTFAFWSKSSVDFEPRRIRLQSNSYTTNTLLDTVTAATVQLKEMGGGTRPSDTSKTTEQPTEKKKGFGDLMNLIKPGSEEKEHWVPDEAVSKCTSCRMDFGAFVRRHHCRNCGDIFCDKCTQGRIALTADENAQPVRVCDRCMAEVTQRLSNAKEAASKPAAFHSHEDLARKLQEMEKNRKSSSGSKSDVSGRRTREVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >Potri.005G242700.5.v4.1 pep chromosome:Pop_tri_v4:5:23904956:23910831:1 gene:Potri.005G242700.v4.1 transcript:Potri.005G242700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242700.v4.1 MQHGDYSSYYQYPHLQNPNPNPNPPIDHHQTPYASAPPFSSGYTPSDYSIYPQNYPPYSQNPDPVPPPTAPTYTPTTPTTPNPNNPQSSFNPPPPPPPQQPPYFPPYDSHGSYQPPTTQQSYLPSFDQHQTSPNYAPQPPPPNSAVAPNPTGATNSPYTSMYSAPYNPIGSSVPPVYDTPYENPVKFDQNFGYLEGYNRSRSDTGSDLYGKEPESRYDIGGGRDDGYGDGVYAYEGGKVEPYGARGTAPNSSTWAGFDDYGRSISFPSGKDNSVRSSSGSGSGKIVRAVPKVETQEDVKSGVQKFRVKILAESGGQGTMDVLCEIGLDGIRMLDPNTSRTLRIYPLENITRCDKMDSSTFAFWSKSSVDFEPRRIRLQSNSYTTNTLLDTVTAATVQLKEMGGGTRPSDTSKTTEQPTEKKKGFGDLMNLIKPGSEEKEHWVPDEAVSKCTSCRMDFGAFVRRHHCRNCGDIFCDKCTQGRIALTADENAQPVRVCDRCMAEVTQRLSNAKEAASKPAAFHSHEDLARKLQEEMEKNRKSSSGSKSDVSGRRTREVACPTCTVHLQVQVPSSGSETIECGVCQHPFLVSAH >Potri.008G009600.2.v4.1 pep chromosome:Pop_tri_v4:8:468845:472205:-1 gene:Potri.008G009600.v4.1 transcript:Potri.008G009600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009600.v4.1 MKKPFCFFLIHIVFLVLFCSLFSHARPIPQTDPNYLKFVLNATEFPSEDYYDYIVVGGGTTGCPLAATLSQSYRVLLLERGGVPFGKHNLMSQDGFLSTLNDVDTFDSPAQAFTSEDGVPNARGRVLGGSSAINAGFYSRADPAFFQQSGVEWDLNIVNQAYEWVEKAIVFRPELRNWQSAVRDGLLEAGVEPYTGFSLEHVVGTKIGGSTFDGSGRRHSAADLLNYANADNIQVAVHASVERILLASTSQYSRSRLSAIGVVYRDKKGRYHHAMVREKGEVMLSAGAIGSPQLLLISGIGPRSYLSSLGIPVAYHLPYVGQYLYDNPRNGISIVPPMPLENSLIQVVGITEVGAYLEAASTVIPLVSPSRAVFFRTPSISPLYLTVATLMEKIAGPLSTGSLRLASTDVRVNPIVRFNYFSNPVDVERCVNGTRKIGDVLRSRSMEDFMFRGWFGARNFRYVGPALPVDLSDFTQMAEFCRRTVSTIWHYHGGCVVGKVVDRDYHLIGVGALRVVDGSTLTVSPGTNPQATLMMLGRYLGLKIIRERMKFN >Potri.008G009600.3.v4.1 pep chromosome:Pop_tri_v4:8:468844:472206:-1 gene:Potri.008G009600.v4.1 transcript:Potri.008G009600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009600.v4.1 MKKPFCFFLIHIVFLVLFCSLFSHARPIPQTDPNYLKFVLNATEFPSEDYYDYIVVGGGTTGCPLAATLSQSYRVLLLERGGVPFGKHNLMSQDGFLSTLNDVDTFDSPAQAFTSEDGVPNARGRVLGGSSAINAGFYSRADPAFFQQSGVEWDLNIVNQAYEWVEKAIVFRPELRNWQSAVRDGLLEAGVEPYTGFSLEHVVGTKIGGSTFDGSGRRHSAADLLNYANADNIQVAVHASVERILLASTSQYSRSRLSAIGVVYRDKKGRYHHAMVREKGEVMLSAGAIGSPQLLLISGIGPRSYLSSLGIPVAYHLPYVGQYLYDNPRNGISIVPPMPLENSLIQVVGITEVGAYLEAASTVIPLVSPSRAVFFRTPSISPLYLTVATLMEKIAGPLSTGSLRLASTDVRVNPIVRFNYFSNPVDVERCVNGTRKIGDVLRSRSMEDFMFRGWFGARNFRYVGPALPVDLSDFTQMAEFCRRTVSTIWHYHGGCVVGKVVDRDYHLIGVGALRVVDGSTLTVSPGTNPQATLMMLGRYLGLKIIRERMKFN >Potri.017G042200.2.v4.1 pep chromosome:Pop_tri_v4:17:2961540:2967775:-1 gene:Potri.017G042200.v4.1 transcript:Potri.017G042200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042200.v4.1 MIKKMELVNHQRQKKGRKGRDHHNRGVMQIEGVDYDYGYGFDDGMMEMINGGVVEEVRQINTNSNYSGVVIGNRNDRVVETTRTSELTIAFEGEVYVFPAVTPSKVQAVLFLLGEPETSTIAPNSDFFLQQNARSAGDASQGLKFSRRIASLERFREKRKERCFEKKVRYTCRKEVAQRMHRKKGQFASSNDCYSTDTGNWEPSNSMPNPESLLLRCQHCGISAKDTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRHISFNQNEPVTPDFKPLNMELENPFANPEEEESQEESKPVPLESENSIRPNEQDMLETDKNVPDPLPMHVENSSVNLDDEDFENTLDELGDVSGSEFEIPEHFDDQVHIEDSSTGTEWHGT >Potri.017G042200.6.v4.1 pep chromosome:Pop_tri_v4:17:2961507:2967778:-1 gene:Potri.017G042200.v4.1 transcript:Potri.017G042200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042200.v4.1 MIKKMELVNHQRQKKGRKGRDHHNRGVMQIEGVDYDYGYGFDDGMMEMINGGVVEEVRQINTNSNYSGVVIGNRNDRVVETTRTSELTIAFEGEVYVFPAVTPSKVQAVLFLLGEPETSTIAPNSDFFLQQNARSAGDASQGLKFSRRIASLERFREKRKERCFEKKVRYTCRKEVAQRMHRKKGQFASSNDCYSTDTGNWEPSNSMPNPESLLLRCQHCGISAKDTPAMRRGPAGPRTLCNACGLMWANKGTLRDLSKGGRHISFNQNEPVTPDFKPLNMELENPFANPEEEESQEESKPVPLESENSIRPNEQDMLETDKNVPDPLPMHVENSSVNLDDEDFENTLDELGDVSGSEFEIPEHFDDQVVVF >Potri.010G148700.1.v4.1 pep chromosome:Pop_tri_v4:10:15934596:15937941:1 gene:Potri.010G148700.v4.1 transcript:Potri.010G148700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148700.v4.1 MYLKEGCSLLLKKQKPLVPFVLNTINPLQNEQKDLNLLKESEVLNKLKNEPNILLALHFFKSIANSNSFKHTPLTYTTMIKRLGYERDIDGIQYLLQLMKLEGISCNEDLFVIVINAYRRAGLAEQALKTFYRIGEFGCKPSVKIYNHVLDALLSENKFQMINGIYNNMKRDGIELNVYTYNMLLKALCKNNRVDAARKLLAEMSYKGCIPDAVSYTTVVSSMCRLGKVEEARELSMRIKSFVPVYNALINGFCREHKMEEVFELFNEMAVEGIDPDVITYSTVINTLSEMGNVEMALAVLAKMFLRGCSPNVHTFTSLMKGYFMGGRLCEALDLWNRMIQEGSEPNTVAYNTLIHGLCSYGKMVEAVSVSQKMERNGVFPNETTYSTLIDGFAKAGYLVGASEIWNKMITNGCLPNVVVYTCMVDVLCRNSMFNHAHHLIENMANGNCPPNTITFNTFIKGLCCSGKTEWAMTVLHQMRQYGCAPNVTTYNEVLDGLFNAKRTREALQIVGEIEEMEIKSNLVTYNTILSGFCHAGMFKGALQIAGKLLVGGTKPDSITYNTVIYAYCKQGEVKTAIQLVDRLSNKGEGYPDVFTYTSLLWGVCNWIGVDDAVVYLDKMINEGICPNRATWNALVRGLFSKLGHLGPIHTVDNILANGEVT >Potri.008G160600.3.v4.1 pep chromosome:Pop_tri_v4:8:11030599:11033646:1 gene:Potri.008G160600.v4.1 transcript:Potri.008G160600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160600.v4.1 MRPASRLVSSLLFFLSYSNILHHLQAQPSTQGFTCPANQSSFPCQTYAFYRASAPNFLDLASIGDLFSVSRLMISKPSNISSPTSPLIPNQPLFVPLSCSCNPINSTSISSANITYTIEAGNTFYIVSTEYFQNLTTYQSVELFNPTLIPELLDIGVEVIFPIFCKCPNQTQLQNKVNYLVSYVFQPSDNLSSVASTFGVETQSIVDVNGNNIQPYDTIFVPVNQLPQLAQPTVVVPSGAPPPEKTERKGVIIGLAVGLGIAGLLLVLVSGVWFYREGVLKKRRDVEKVEEKRRMQLNGGSKGLKDIEVSLMADVSDCLDKYRVFKIDELKEATNGFSENCLIEGSVFKGSINGETYAIKKMKWNACEELKILQKVSFFFFLSLITVYTPAFTVCFGFQ >Potri.008G160600.1.v4.1 pep chromosome:Pop_tri_v4:8:11030599:11033926:1 gene:Potri.008G160600.v4.1 transcript:Potri.008G160600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160600.v4.1 MRPASRLVSSLLFFLSYSNILHHLQAQPSTQGFTCPANQSSFPCQTYAFYRASAPNFLDLASIGDLFSVSRLMISKPSNISSPTSPLIPNQPLFVPLSCSCNPINSTSISSANITYTIEAGNTFYIVSTEYFQNLTTYQSVELFNPTLIPELLDIGVEVIFPIFCKCPNQTQLQNKVNYLVSYVFQPSDNLSSVASTFGVETQSIVDVNGNNIQPYDTIFVPVNQLPQLAQPTVVVPSGAPPPEKTERKGVIIGLAVGLGIAGLLLVLVSGVWFYREGVLKKRRDVEKVEEKRRMQLNGGSKGLKDIEVSLMADVSDCLDKYRVFKIDELKEATNGFSENCLIEGSVFKGSINGETYAIKKMKWNACEELKILQKVNHGNLVKLEGFCIDPEDANCYLVYEFVDSGSLHSWLHRNEKEKLSWKTRLRVAIDVANGLQYIHEHTRPRVVHKDIKSSNILLDSSMRAKIANFGLAKTGCNAITMHIVGTQGYIAPEYLADGVVSTRMDVFSFGVVLLELISGREAIDEEGKVLWAEAIGVLEGNVEERRKVKRLTAWMDKVLLEESCSMESVMNTMAVAIACLHRDPSKRPSMVDIVYALCKSDDLFFDISEDGLSNPQVMAR >Potri.006G237200.2.v4.1 pep chromosome:Pop_tri_v4:6:23907564:23911467:-1 gene:Potri.006G237200.v4.1 transcript:Potri.006G237200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237200.v4.1 MSSTAADMEPLTSGASNRIIPILKTVRTSLLFIHSLLFSLLLLLLPRRYRRRPMADSASPPSPSKSGKRRRLEEEDTLRRRALAEGIDMTTGNEDCRWNTFLFFVARRNALFVRSWLPITGQMKGILIIIHGLNEHSGRYGQFAKQLTSCNFGVYAMDWTGHGGSDGLHGYVPSLDHVVADTGALLEKIKSEYPGVPCFLFGHSTGGAVVLKAASYPYIKEMLEGIILTSPALRVKPAHPIVGAVAPIFSLVVPRLQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLMRNFKSVIVPFFVLHGTADKVTDPLASQDLYNEAASKFKDIKLYDGFLHDLLFEPEREEVGQDIISWMEKRLGAESEQIYS >Potri.014G061400.1.v4.1 pep chromosome:Pop_tri_v4:14:3951400:3954251:-1 gene:Potri.014G061400.v4.1 transcript:Potri.014G061400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061400.v4.1 MKFGKRLKQQVQETLPDWRDKFLSYKELKKLVRLISSAPPFSYGSVEYGKAEAEFVRLLNSEIDKFNTFFMEQEEDFIIRHEELKQRIQKVIDTWGPSGSQPSEAEYKEQMRKIRKNSVNFHGEMVLLENYSNINYTGLAKILKKYDKRTGGLLRLPFIQKVLEQPFFITDLVSKLVKQCEYMIDTVFPVEEEERVKEGREAITVAGEGIFRNTIAALMTMQEIRRGSSTYSHFSLPPLNLPDSDLIQSFQLNSPISIV >Potri.009G050300.1.v4.1 pep chromosome:Pop_tri_v4:9:5559563:5561144:1 gene:Potri.009G050300.v4.1 transcript:Potri.009G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050300.v4.1 MGVCCGKNGNNLPSDQEVVSLYQTNSIGRMRIYYPNRDTLEALRGSNTEVILGVPNDNLRALADASAATTWIQNNVVAYSSNVRFRYIAVGNEVHPGDANARYVLPAMQNIHDAIVSANLQGQIKVSTAIDTTLLGISYPPSKGSFSDSANPYISPIINILRTNDAPLLANVYLYFRYTDNPQSIDLNYALFTSPEVVVQDGQYGYQNLFDALLDALYAALEKAGAANLSISVSESGWSSEGGNAATAGNAGTFDRNLINHVKQGTPRRSGKAIETYLFAMFDENLKAAGIEQHFGLFLPDRQPKYQLTFG >Potri.011G110000.1.v4.1 pep chromosome:Pop_tri_v4:11:14007099:14008644:1 gene:Potri.011G110000.v4.1 transcript:Potri.011G110000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110000.v4.1 MSKLFSLCLLLSIFFIAHSTVPPSSTFQYVNEGEFGYYSSEYAPDYRPLPQGTSPFQLMFYNTTPNAYTLALLMGTRRSESTRRWVWEANRGNPVRENATLTFGKDGNLVLADADGRVAWQTNTANKGVAGFEVLPNGNMVLRDSTGKFIWQSFDSPTDTLLVGQSLRVGGATRLVSRASREENSDGAYSLVMESKRLVMYYKSPNSPKQYFYYTFGTRQDRLQNATLNCNPDSYDNSASEVTLDLSSGGWSVYARPKFNATLSLLRIGIDGNLRIYSFNNKVDYMAWDVSFNLFSRDGFPESECQLPERCGKFGLCEDSQCVACPLPSGLLGWSKNCEPVKPPACGSKDFYYYKLEGVDHSMSMYASGSVAMKEDDCGKKCSSECKCMGYFYNKETSKCTIAYDLQTLTKVPNSTHVGYIKAPKR >Potri.015G084000.4.v4.1 pep chromosome:Pop_tri_v4:15:10894777:10898929:1 gene:Potri.015G084000.v4.1 transcript:Potri.015G084000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084000.v4.1 MDSTMVIKVKYSDTLRRFNAHVKENEQLDLDMIALREKIFGLFNFPPDADLTLTYIDEDGDVVTLADDDDLRDVMRQNLKFLRIDVQLNNDKSGKSNARSSGSSTPMRSPRVQSPLPCLNNGVADVLKSVPEPLREVLSKISLDLTSKAVASNTVLTELVDCFSKMGQYHLNPTSQSHDGIGAQTGATAPTVLNASKDGGLKEDLLNLNSPLKTSQEERFENGTKTAMSPHTAVPSPVNLNPNPQISNSFVHYKPLASFVPACDDWKEAKKQNTGLPTGKPGWFGFPNIPVNHGFPLYTDCPFSGMSVANDSASRTLKSHVIKRNNSLNNPMVGMFHRGVQCDGCGVHPITGPRYKSKVKEDYDLCSICFAEMGNEADYIKMDRPMPYRNRWSFKGFNDPTQKSWAIPQPLSKGSYGVKGAQPKLDSRFVLDVNVSDGTMMPTCTPFTKIWRMRNNGSVAWPQGVRLVWIGGDRFFNTDSVEIEIPVNGVPIDGELDVAADFVSPALPGRYISYWRMAYPSGGKFGQRVWVLIEVDASLKDPFFKYLNLNESPNYIGSKFPGVLDMNVQPAVDGCFLEPQNNTLLSEPDVPMVDEQPKSQELKFPIDDALLIGHGVSASAPPQAMPSSVPVLYPMIDISETVPASTELLPAADASTSPEEVIVENAVEKTLLKELKEMGFKQVDLNKEILRRNEYDLEQSVDDLCGVSDWDPILEELQEMGFRDKEMNKLLLKKNNGSIKGVVMDILTGKKA >Potri.015G084000.5.v4.1 pep chromosome:Pop_tri_v4:15:10894910:10898837:1 gene:Potri.015G084000.v4.1 transcript:Potri.015G084000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084000.v4.1 MDSTMVIKVKYSDTLRRFNAHVKENEQLDLDMIALREKIFGLFNFPPDADLTLTYIDEDGDVVTLADDDDLRDVMRQNLKFLRIDVQLNNDKSGKSNARSSGSSTPMRSPRVQSPLPCLNNGVADVLKSVPEPLREVLSKISLDLTSKAVASNTVLTELVDCFSKMGQYHLNPTSQSHDGIGAQTGATAPTVLNASKDGGLKEDLLNLNSPLKTSQEERFENGTKTAMSPHTAVPSPVNLNPNPQISNSFVHYKPLASFVPACDDWKEAKKQNTGLPTGKPGWFGFPNIPVNHGFPLYTDCPFSGMSVANDSASRTLKSHVIKRNNSLNNPMVGMFHRGVQCDGCGVHPITGPRYKSKVKEDYDLCSICFAEMGNEADYIKMDRPMPYRNRWSFKGFNDPTQKSWAIPQPLSKGSYGVKGAQPKLDSRFVLDVNVSDGTMMPTCTPFTKIWRMRNNGSVAWPQGVRLVWIGGDRFFNTDSVEIEIPVNGVPIDGELDVAADFVSPALPGRYISYWRMAYPSGGKFGQRVWVLIEVDASLKDPFFKYLNLNESPNYIGSKFPGVLDMNVQPAVDGCFLEPQNNTLLSEPDVPMVDEQPKSQELKFPIDDALLIGHGVSASAPPQAMPSSVPVLYPMIDISETVPASTELLPAADASTSPEEVIVENAVEKTLLKELKEMGFKQVDLNKEILRRNEYDLEQSVDDLCGVSDWDPILEELQEMGFRDKEMNKLLLKKNNGSIKGVVMDILTGKKA >Potri.015G084000.1.v4.1 pep chromosome:Pop_tri_v4:15:10894850:10898926:1 gene:Potri.015G084000.v4.1 transcript:Potri.015G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084000.v4.1 MDSTMVIKVKYSDTLRRFNAHVKENEQLDLDMIALREKIFGLFNFPPDADLTLTYIDEDGDVVTLADDDDLRDVMRQNLKFLRIDVQLNNDKSGKSNARSSGSSTPMRSPRVQSPLPCLNNGVADVLKSVPEPLREVLSKISLDLTSKAVASNTVLTELVDCFSKMGQYHLNPTSQSHDGIGAQTGATAPTVLNASKDGGLKEDLLNLNSPLKTSQEERFENGTKTAMSPHTAVPSPVNLNPNPQISNSFVHYKPLASFVPACDDWKEAKKQNTGLPTGKPGWFGFPNIPVNHGFPLYTDCPFSGMSVANDSASRTLKSHVIKRNNSLNNPMVGMFHRGVQCDGCGVHPITGPRYKSKVSKEDYDLCSICFAEMGNEADYIKMDRPMPYRNRWSFKGFNDPTQKSWAIPQPLSKGSYGVKGAQPKLDSRFVLDVNVSDGTMMPTCTPFTKIWRMRNNGSVAWPQGVRLVWIGGDRFFNTDSVEIEIPVNGVPIDGELDVAADFVSPALPGRYISYWRMAYPSGGKFGQRVWVLIEVDASLKDPFFKYLNLNESPNYIGSKFPGVLDMNVQPAVDGCFLEPQNNTLLSEPDVPMVDEQPKSQELKFPIDDALLIGHGVSASAPPQAMPSSVPVLYPMIDISETVPASTELLPAADASTSPEEVIVENAVEKTLLKELKEMGFKQVDLNKEILRRNEYDLEQSVDDLCGVSDWDPILEELQEMGFRDKEMNKLLLKKNNGSIKGVVMDILTGKKA >Potri.015G084000.2.v4.1 pep chromosome:Pop_tri_v4:15:10894731:10898840:1 gene:Potri.015G084000.v4.1 transcript:Potri.015G084000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084000.v4.1 MDSTMVIKVKYSDTLRRFNAHVKENEQLDLDMIALREKIFGLFNFPPDADLTLTYIDEDGDVVTLADDDDLRDVMRQNLKFLRIDVQLNNDKSGKSNARSSGSSTPMRSPRVQSPLPCLNNGVADVLKSVPEPLREVLSKISLDLTSKAVASNTVLTELVDCFSKMGQYHLNPTSQSHDGIGAQTGATAPTVLNASKDGGLKEDLLNLNSPLKTSQEERFENGTKTAMSPHTAVPSPVNLNPNPQISNSFVHYKPLASFVPACDDWKEAKKQNTGLPTGKPGWFGFPNIPVNHGFPLYTDCPFSGMSVANDSASRTLKSHVIKRNNSLNNPMVGMFHRGVQCDGCGVHPITGPRYKSKVKEDYDLCSICFAEMGNEADYIKMDRPMPYRNRWSFKGFNDPTQKSWAIPQPLSKGSYGVKGAQPKLDSRFVLDVNVSDGTMMPTCTPFTKIWRMRNNGSVAWPQGVRLVWIGGDRFFNTDSVEIEIPVNGVPIDGELDVAADFVSPALPGRYISYWRMAYPSGGKFGQRVWVLIEVDASLKDPFFKYLNLNESPNYIGSKFPGVLDMNVQPAVDGCFLEPQNNTLLSEPDVPMVDEQPKSQELKFPIDDALLIGHGVSASAPPQAMPSSVPVLYPMIDISETVPASTELLPAADASTSPEEVIVENAVEKTLLKELKEMGFKQVDLNKEILRRNEYDLEQSVDDLCGVSDWDPILEELQEMGFRDKEMNKLLLKKNNGSIKGVVMDILTGKKA >Potri.001G438400.1.v4.1 pep chromosome:Pop_tri_v4:1:46465146:46468577:-1 gene:Potri.001G438400.v4.1 transcript:Potri.001G438400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438400.v4.1 MTCFSFLCGRRIDSSQESQHMGRDDEELGSIKNVRCYTYRELRNATEGFSAANKIGEGGFGSVYKGRLKHGKIAAIKVLSAESRQGVEEFLAEIKAMSEIEHENLVKLYGCCVEDNHRILVYNYLENNSLAQTLLDGGHSHSNIQFSWRTRTKICIGVARGLTFLHEEVKPYIVHRDIKASNILLDKDLTAKISDFGLAKLIPDNQTHVSTRVAGTLGYLAPEYAIRGKLTRKADLYSFGVLLLEIVSGRNNTNTRLPVEEQYLLERTWELYERRELVSLVDASLNGDFNAEEACRFLKIGLLCTQDDPNLRPSMSTVVKMLTGRKNFDERKITKPGLISDFMDLKVRAPSKTKASASTSFNVSSGSDNQDTSILTSENSSSVTMTAFTELYNRSI >Potri.018G007300.1.v4.1 pep chromosome:Pop_tri_v4:18:552114:552317:1 gene:Potri.018G007300.v4.1 transcript:Potri.018G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007300.v4.1 MCLVFVCDEDEKVVARQTAPGACPYCGGAIQAMDVESQWRFCFLPLYFKTKKRYYCTLCARKLAVQS >Potri.011G008548.1.v4.1 pep chromosome:Pop_tri_v4:11:717577:717795:-1 gene:Potri.011G008548.v4.1 transcript:Potri.011G008548.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008548.v4.1 MAAGKYQESYSSRFSNCKHQVFLSFRGADTRKNFTDHLYKALVDAGIHTFRDDDEIRIGENIELELQKAIQQ >Potri.007G034800.6.v4.1 pep chromosome:Pop_tri_v4:7:2720537:2722922:1 gene:Potri.007G034800.v4.1 transcript:Potri.007G034800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034800.v4.1 MSKLSGDTIREAVTTIKTGVNEKPRKFVQTIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEATSIGLQWMDVEALKKLNKNKKLVKKLSKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVNHQESLESKVNEIKATVKFQLKKVLCMGVAVGNCDMEDKQIFQNVQMSVNFLVSLLKKNWQNVKSLHLKSTMGTPVRLY >Potri.007G034800.7.v4.1 pep chromosome:Pop_tri_v4:7:2720674:2722806:1 gene:Potri.007G034800.v4.1 transcript:Potri.007G034800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034800.v4.1 MSKLSGDTIREAVTTIKTGVNEKPRKFVQTIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEATSIGLQWMDVEALKKLNKNKKLVKKLSKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVNHQESLESKVNEIKATVKFQLKKVLCMGVAVGNCDMEDKQIFQNVQMSVNFLVSLLKKNWQNVKSLHLKSTMGTPVRLY >Potri.017G116300.1.v4.1 pep chromosome:Pop_tri_v4:17:12340859:12342145:-1 gene:Potri.017G116300.v4.1 transcript:Potri.017G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116300.v4.1 MRSPPSSLIVIIRTLFCRQALSFSLMDSIGCLTKSSTITSNNTTLKLFGFNISENTPTVPLDSSKSPSGSQEPESSFQSSKGRKYECQYCYREFANSQALGGHQNAHKKERQLLKRAQMQATRRLALASYVTIPNSMFSTFIPSPPHLLDPAVVPVEAARQQHAHSPPWFYKSYLGRMSRDGRYLNGPPSIPGRCLDGKSNLVCSEAGLRARAFPVSGFAREDVEPHHRHKGLGLDLHL >Potri.018G090966.2.v4.1 pep chromosome:Pop_tri_v4:18:11146896:11147879:-1 gene:Potri.018G090966.v4.1 transcript:Potri.018G090966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090966.v4.1 MAEVGTMGRTYHVNLVRLYGFCFDPSMMALVYEYMENGSLNSVLFNETREIEWEKLQEIAIGTAKGLAYLHEECQQRIIHYDIKPENILLDENLNPKVADFGLAKLCNRERTEVTLSGGRGTLGYSAPEVWHRTYPITHKCDVYSFGILLFEIVARRRHFDESLRESFQWLPRWVWDMYRNSELPIMLSLCGIEEKDKEKAVRMSTVALLCIQHSPDARPQMSDVVKMLEGNMEIMMQPGNPFEYLESTRPNYALDTGSSGDMSTSVSRTSASQQSHCKHNLPGTLEIELASKY >Potri.018G090966.1.v4.1 pep chromosome:Pop_tri_v4:18:11146787:11147879:-1 gene:Potri.018G090966.v4.1 transcript:Potri.018G090966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090966.v4.1 MAEVGTMGRTYHVNLVRLYGFCFDPSMMALVYEYMENGSLNSVLFNETREIEWEKLQEIAIGTAKGLAYLHEECQQRIIHYDIKPENILLDENLNPKVADFGLAKLCNRERTEVTLSGGRGTLGYSAPEVWHRTYPITHKCDVYSFGILLFEIVARRRHFDESLRESFQWLPRWVWDMYRNSELPIMLSLCGIEEKDKEKAVRMSTVALLCIQHSPDARPQMSDVVKMLEGNMEIMMQPGNPFEYLESTRPNYALDTGSSGDMSTSVSRTSASQQSH >Potri.010G008300.1.v4.1 pep chromosome:Pop_tri_v4:10:478576:478983:-1 gene:Potri.010G008300.v4.1 transcript:Potri.010G008300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G008300.v4.1 MPAPYQVGYHVVFLLVFSFSRMVLSDDVHASIKNRLGNGENLSIHCQSKDDDLGQQDIADGSEFGWDFSVNAWGTTLFYCDMEWENARRSHFDAYSFSRDHTRCETQCSWLISKEGMYGMNGQTGFWEFMYDWPN >Potri.002G104000.1.v4.1 pep chromosome:Pop_tri_v4:2:7615773:7622289:1 gene:Potri.002G104000.v4.1 transcript:Potri.002G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104000.v4.1 MASLSGLCSSSPSLKPKHSLWKTTLNPPLLKTSLTYRTRTLLTPTRSIASDIPADLSKTNDKLPNKPKQLGLEKDPNSLWRRYVDWLYQHKELGLYLDVSRIGFTDEFVSEMEPRFHKAFKDMEELEKGAIANPDEGRMVGHYWLRNSTLAPKSFLKTQIDKALDAVCDFADQVVSGKIKTPDGGRFTQILSVGIGGSALGPQFVAEALAPDNPPLKIRFIDNTDPAGIDHQIAQLGPELASTLVIVISKSGGTPETRNGLLEVQQAFREAGLDFAKQGVAITQENSLLDNTARIEGWLARFPMFDWVGGRTSEMSAVGLLPAALQGIDIREMLAGAALMDEANRTTVLRNNPAALLALCWYWASEGVGSKDMVVLPYKDSLLLFSRYLQQLVMESLGKEFDLDGNRVNQGLTVYGNKGSTDQHAYIQQLREGVHNFFVTFIEVLRDRPPGHDWELEPGVTCGDYLFGMLQGTRSALYAKDRESITVTVQEVTPRSVGALIGLYERAVGIYASLVNINAYHQPGVEAGKKAAGEVLALQKRVLAVLNEASCKQPVEPLTIEEVADRCHATEDIEMIYKIIAHMAANDRALIAEGSCGSPRSLKVFLGECNVDELFA >Potri.001G029800.3.v4.1 pep chromosome:Pop_tri_v4:1:2233610:2242693:1 gene:Potri.001G029800.v4.1 transcript:Potri.001G029800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029800.v4.1 MPSFDPQLPISNAICVEGSTIHPHCATYFGVFLEDDAIDLSPGAVFNSAKERTQAIPSDPLTSGALDKKQSSSVQVESQRLPLEKVQRLNRVSIPSVGTENWGETQKLLLENIQQSNQVSIPSVNTENWGETNMADASPRTDISTDADTDDKNQRFDGSLSTALVASDSSDRSKDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFVSSSGDQSHSMSGNGAMAFDVEYARWLEDQNRQINELRSAVNSHTGDTELRIIIDGIMAHYDEVFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTDQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMQRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Potri.001G029800.11.v4.1 pep chromosome:Pop_tri_v4:1:2233770:2242673:1 gene:Potri.001G029800.v4.1 transcript:Potri.001G029800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029800.v4.1 MPSFDPQLPISNAICVEGSTIHPHCATYFGVFLEDDAIDLSPGAVFNSAKERTQAIPSDPLTSGALDKKQSSSVQVESQRLPLEKVQRLNRVSIPSVGTENWGETQKLLLENIQQSNQVSIPSVNTENWGETNMADASPRTDISTDADTDDKNQRFDGSLSTALVASDSSDRSKDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFVSSSGDQSHSMSGNGAMAFDVEYARWLEDQNRQINELRSAVNSHTGDTELRIIIDGIMAHYDEVFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTDQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMQRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Potri.001G029800.14.v4.1 pep chromosome:Pop_tri_v4:1:2233611:2243305:1 gene:Potri.001G029800.v4.1 transcript:Potri.001G029800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029800.v4.1 MPSFDPQLPISNAICVEGSTIHPHCATYFGVFLEDDAIDLSPGAVFNSAKERTQAIPSDPLTSGALDKKQSSSVQVESQRLPLEKVQRLNRVSIPSVGTENWGETQKLLLENIQQSNQVSIPSVNTENWGETNMADASPRTDISTDADTDDKNQRFDGSLSTALVASDSSDRSKDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQRARQQGIFVSSSGDQSHSMSGNGAMAFDVEYARWLEDQNRQINELRSAVNSHTGDTELRIIIDGIMAHYDEVFRLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLVNQLEPLTDQQLVGIGNLQQSSQQAEDALSQGMEALQQSLAETLSSGSLGSSGSSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMQRILTTRQSARALLAIHDYFSRLRALSSLWLARPRE >Potri.003G095300.8.v4.1 pep chromosome:Pop_tri_v4:3:12123682:12127908:-1 gene:Potri.003G095300.v4.1 transcript:Potri.003G095300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095300.v4.1 MSFQDLEAGRPLASSRRELINGKQDATQAVASGIFQINTAVSTFQRLVNTLGTPKDTPELREKLHRTRLHIGQLVKDTSARLKQASETDHYAGVSQSKKIADAKLAKDFQAVLKEFQKAQRLAAERETAYAPFVPQAVLPSSYTASEVNVSSEKSPEQRALLVESRRQEVLLLDNEIVFNEAIIEEREQGIHEIQQQIGEVNEIFKDLAVLVHEQGAMIDDIGSHIESAQAATSQGTSQLVKAAKTQRSNSSLACLLMVIFGIVILIVIVVLAA >Potri.002G169100.3.v4.1 pep chromosome:Pop_tri_v4:2:12986437:12990444:-1 gene:Potri.002G169100.v4.1 transcript:Potri.002G169100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169100.v4.1 MKALNAASLTPLSVLCERRAETRKSLSLPTVSPLKLSHSDSLSASRSAAQECLSRTLHGGVVLLSSVLSTGLARALTYEEALEKPASPFSSDFDVNGILDGFIKFGSENPTIIAGSVTVLAVPLILSLVLNKPKSWGVESAKNAYAALGDDAKAQLLDIRATVEFRQVGSPDISGLSKKPASIVYKSEDKPGFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTVNGFKAAYAIKDGAEGPHGWMKCILQNSGLPWIPPKKALSLDLSDLSDTISGAFGEGSGALSVTFALSAAAGLGVLAFSEMETILQVLGSAALIQFVSKKLLFAEDRKQTLEQVDEFLTTKIAPKELGDELKDIGRALLPVPVTIKALPAPTEASPEPAVADSTVQKAEAASQINSVPITEAKAVSVSGFSRPLSPYPYYSDLKPPTSPTPSKP >Potri.002G169100.4.v4.1 pep chromosome:Pop_tri_v4:2:12986429:12990448:-1 gene:Potri.002G169100.v4.1 transcript:Potri.002G169100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169100.v4.1 MKALNAASLTPLSVLCERRAETRKSLSLPTVSPLKLSHSDSLSASRSAAQECLSRTLHGGVVLLSSVLSTGLARALTYEEALEKPASPFSSDFDVNGILDGFIKFGSENPTIIAALGDDAKAQLLDIRATVEFRQVGSPDISGLSKKPASIVYKSEDKPGFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTVNGFKAAYAIKDGAEGPHGWMNSGLPWIPPKKALSLDLSDLSDTISGAFGEGSGALSVTFALSAAAGLGVLAFSEMETILQVLGSAALIQFVSKKLLFAEDRKQTLEQVDEFLTTKIAPKELGDELKDIGRALLPVPVTIKALPAPTEASPEPAVADSTVQKAEAASQINSVPITEAKAVSVSGFSRPLSPYPYYSDLKPPTSPTPSKP >Potri.002G169100.1.v4.1 pep chromosome:Pop_tri_v4:2:12986303:12990475:-1 gene:Potri.002G169100.v4.1 transcript:Potri.002G169100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169100.v4.1 MKALNAASLTPLSVLCERRAETRKSLSLPTVSPLKLSHSDSLSASRSAAQECLSRTLHGGVVLLSSVLSTGLARALTYEEALEKPASPFSSDFDVNGILDGFIKFGSENPTIIAGSVTVLAVPLILSLVLNKPKSWGVESAKNAYAALGDDAKAQLLDIRATVEFRQVGSPDISGLSKKPASIVYKSEDKPGFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTVNGFKAAYAIKDGAEGPHGWMNSGLPWIPPKKALSLDLSDLSDTISGAFGEGSGALSVTFALSAAAGLGVLAFSEMETILQVLGSAALIQFVSKKLLFAEDRKQTLEQVDEFLTTKIAPKELGDELKDIGRALLPVPVTIKALPAPTEASPEPAVADSTVQKAEAASQINSVPITEAKAVSVSGFSRPLSPYPYYSDLKPPTSPTPSKP >Potri.002G169100.5.v4.1 pep chromosome:Pop_tri_v4:2:12986439:12990448:-1 gene:Potri.002G169100.v4.1 transcript:Potri.002G169100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169100.v4.1 MKALNAASLTPLSVLCERRAETRKSLSLPTVSPLKLSHSDSLSASRSAAQECLSRTLHGGVVLLSSVLSTGLARALTYEEALEKPASPFSSDFDVNGILDGFIKFGSENPTIIAGSVTVLAVPLILSLVLNKPKSWGVESAKNAYAALGDDAKAQLLDIRATVEFRQVGSPDISGLSKKPASIVYKSEDKPGFLKKLSLKFKEPENTTLFILDKFDGNSELVAELVTVNGFKAAYAIKDGAEGPHGWMNSGLPWIPPKKALSLDLSDLSDTISGAFGEGSGALSVTFALSAAAGLGVLAFSEMETILQVLGSAALIQFVSKKLLFAEASLCYHSR >Potri.015G097900.1.v4.1 pep chromosome:Pop_tri_v4:15:11846324:11848454:-1 gene:Potri.015G097900.v4.1 transcript:Potri.015G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097900.v4.1 MGRFRTKPPSFPSIFLLFLTLSCVSTTQQPSPIKTIVVLVMENRSFDHMIGWMKKSINPAINGVSGTECNPVSTKNPGPQSICFSDDAEFVDPDPGHSFEDVEQQVFGNSSFPSMSGFVEQALSVSQNLSETVMKGFRPESVPVYATLVREFAVFDRWFSSIPGPTQPNRLFVYSATSHGSTSHVKKQLAIGYPQKTIFDSLHENGKDFGIYFQNIPTTLFFRNMRKLKYIFKFHLFDFKFKKDARDGKLPSLTVIEPRYFDLKGLPANDDHPSHDVANGQNLVKEVYETLRASPQWNETLLVITYDEHGGFYDHVETPYVNVPSPDGNTGPAPSFFKFDRLGVRVPTIMVSPWIKKGTVISSPNGPAPSSEFEHSSIPATIKKMFNLSSNFLTHRDAWAGTFEGVVGELTSPRTDCPVTMPDVAPLRTTEAKEDSSLSEFQSEVVQLAAVLNGDHFLSSFPDEISKKMNVKEALDYVEGSVTRFIRASKEAINLGADESAIVDMRSSLTTRSSVHN >Potri.002G224800.1.v4.1 pep chromosome:Pop_tri_v4:2:21310422:21311689:1 gene:Potri.002G224800.v4.1 transcript:Potri.002G224800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224800.v4.1 MSGATGQPQEEDKKPNDQSAHINLKVKGQDGNEVFFRIKRSTQLKKLMNAYCDRQSVEFNSIAFLFDGRRLRGEQTPDELDMEDGDEIDAMLHQTGGAVKTSN >Potri.002G000100.8.v4.1 pep chromosome:Pop_tri_v4:2:9219:13169:-1 gene:Potri.002G000100.v4.1 transcript:Potri.002G000100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000100.v4.1 MMSFVAAGSQCFCSSSLALGPRPTSASRPKTRRAREARAAVVEARPPPAPARVIRPAVRDANLIVGGDRTEDLQAEARAMARAVNASVYSPEVLAVQYGSRPIKVLRRTLEILTGLGSFGLKLLLDKRNGVFDQKKGIRAAELRRIFTRLGPTFVKLGQGLSTRPDICPPEYLEELAELQDALPTFPDAEAFSCIERELGLPLDSIFSSISPSPIAAASLGQVYKAQLTYSGQTVAVKVQRPGIEEAIGLDFYLIRGLGFFINKYVDIITSDVVALIDEFARRVYQELNYVQEGQNARRFKKLYADREDILVPDIFWDYTSGKVLTMDWVDGVKLNEQDAIERQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSRDVDVSPIVPALRNFFDDALNYTVSELNFKTLVNGLGAVFYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGSKDRDFSANDALQPVLKLLLGPDGEQLQILVIKEAVRVTEAVVIGTVVDTYNSIPNVVRALIFNANVAGPLTVSDSEIDSMMALRDQVFRIWGLLRSSQDFDPALLQPILQVLQQPEARSLGERVIGGITQRLAARLLQQVLRAPTTVSTSIS >Potri.002G000100.2.v4.1 pep chromosome:Pop_tri_v4:2:9056:12983:-1 gene:Potri.002G000100.v4.1 transcript:Potri.002G000100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000100.v4.1 MMSFVAAGSQCFCSSSLALGPRPTSASRPKTRRAREARAAVVEARPPPAPARVIRPAVRDANLIVGGDRTEDLQAEARAMARAVNASVYSPEVLAVQYGSRPIKVLRRTLEILTGLGSFGLKLLLDKRNGVFDQKKGIRAAELRRIFTRLGPTFVKLGQGLSTRPDICPPEYLEELAELQDALPTFPDAEAFSCIERELGLPLDSIFSSISPSPIAAASLGQVYKAQLTYSGQTVAVKVQRPGIEEAIGLDFYLIRGLGFFINKYVDIITSDVVALIDEFARRVYQELNYVQEGQNARRFKKLYADREDILVPDIFWDYTSGKVLTMDWVDGVKLNEQDAIERQGLKVLDLVNTGIQCSLRQLLEYGYFHADPHPGNLLATPEGKLAFLDFGMMSETPEEARFAIIGHVVHMVNRDYEAMARDYYALDFLSRDVDVSPIVPALRNFFDDALNYTVSELNFKTLVNGLGAVFYQYPFNVPAYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGRFRWNRLENLLVQGSKDRDFSANDALQPVLKLLLGPDGEQLQILVIKEAVRVTEAVVIGTVVDTYNSIPNVVRALIFNANVAGPLTVSDSEIDSMMALRDQVFRIWGLLRSSQDFDPALLQPILQVLQQPEARSLGERVIGGITQRLAARLLQQVLRAPTTVSTSIS >Potri.014G086500.1.v4.1 pep chromosome:Pop_tri_v4:14:5576573:5589373:1 gene:Potri.014G086500.v4.1 transcript:Potri.014G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086500.v4.1 MQSGGGGGGGPSWVGPAGRAGSTSSAAASPSSSSSSAAVWNLQLGFDSVQQQQQQQQSRQALQQQLLRKPEGNETLLAYQAGTLQGVTGGNNFASSPGSMQIPQQSRQLFDLARQHGSSQDGQNRNQGVEQQALNPIQQAYLQYAFQAAQQKSALAMQSQQQAKVGTLGSPAGKDHDMRVGNLKMQELMSMQSANQAQASSSKNPSEHFSRGEKQVEQGQQQASEQRNEQKSPIQPTAIGQLMPANVTRPMQAPQVQQNIQNMANNQLTMAAQMQAMQAWALERNIDLAQPANANLMAKLIPVMQARMAAQLKANENNTSGQSSHLPVSKPQVASPSIANESSPHANSSSDISGQSGSVKTRQTVPSGPFGSTSSGGIVNNPNNLTMQQQAFHSRENQAPPRQAVVLGNGMPANASQGADHTLPSKNALNSSETSQTQQFRQLNRSSPQSAGPSNDGGLGNHFSSQGRPAVQMAQQRTGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNLDRSGGKIAEDQARHLESNDKGSKAMLSMNGQNFSKEEVFTGDEKATVSTMHMQKAPAVMKEPTPLVASGKEEQQTATCSVNSDQETEHGLLKTPVRSDLAADRGRGVASQFPASDAMQAKKPAQASTVVQPKDTGSARKYHGPLFDFPFFTRKHDSVGSTGMINTNNNLTLTYDVKDLLFEEGMEMLNKKRSENLKKINGLLAVNLERKRIRPDLVLRLQIEERKLRLLDLQARLRDDVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLETHWAIRDARTARNRGVAKYHERMLREFSKRRDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITAAKNQQEVEEAANAAAGAARLQGLSEEEVRAAAACAGEEVLIRNRFVEMNAPWDSSSVNKYYNLAHAVNERVIRQPSMLRVGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPMHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVQDVEGSLPPKVSIVLRCRMSSIQSTIYDWIKSTGTIRVDPEDEKLRAQKNPAYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVQSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSHDSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRKNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETMHDVPSLQEVNRMIARSKDEVELFDQMDEEFDWTEEMTRYDQVPKWLRASSKEVDGTIAILSKKPSKAILFADVMGMVSGEMETERKRVRPKGKKSPNYKEIDDENGDYSEASSDERNGYSAHEEEGEIQEIEDDESSDAVGAPPINKDQSEDDGPPCDGGYECHGALESTRNNDVLDEAGSSGSSSDSQRVTRMISPVSPQKFGSLSALDARPGSLPKKLPDELEEGEIAVSGDSHVDHQQSGSWMHDRDEGEDEQVLQPKIKRKRSIRLRPRHTVERPEEKSSNDVQRGDSCLLPFQMDHKYQAQLRSDTEMKALVEPSGFKHDQIDSSTSRRNLPSRRIAKTPKLHASPKSGRLHLQSAPAEDATEHSRVSLDGKVPSTSGTSSLGTKMSDVIQRRCKNVIGKFQRRIDKEGQQIVPLLADLWKRIENSGHVSGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARNALSFSGPSSTSVSAPSAKQAALGLSKRNKSINNVEPDNSTTHKPMQRGSIPNSEDIRSVRVPQKETRVGSGSGSSREQYHQDDSPLHPGELVICKKKRKDRDKSAVRSRTGSSGPVSPPSMGRNITSPVLNSIPKDARLNTSPVLNSIPKDARLNTSPVLNSIPKDARLSQQNTHQQGWVNQPQPPNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.014G086500.7.v4.1 pep chromosome:Pop_tri_v4:14:5578148:5589434:1 gene:Potri.014G086500.v4.1 transcript:Potri.014G086500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086500.v4.1 MQSQQQAKVGTLGSPAGKDHDMRVGNLKMQELMSMQSANQAQASSSKNPSEHFSRGEKQVEQGQQQASEQRNEQKSPIQPTAIGQLMPANVTRPMQAPQVQQNIQNMANNQLTMAAQMQAMQAWALERNIDLAQPANANLMAKLIPVMQARMAAQLKANENNTSGQSSHLPVSKPQVASPSIANESSPHANSSSDISGQSGSVKTRQTVPSGPFGSTSSGGIVNNPNNLTMQQQAFHSRENQAPPRQAVVLGNGMPANASQGADHTLPSKNALNSSETSQTQQFRQLNRSSPQSAGPSNDGGLGNHFSSQGRPAVQMAQQRTGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNLDRSGGKIAEDQARHLESNDKGSKAMLSMNGQNFSKEEVFTGDEKATVSTMHMQKAPAVMKEPTPLVASGKEEQQTATCSVNSDQETEHGLLKTPVRSDLAADRGRGVASQFPASDAMQAKKPAQASTVVQPKDTGSARKYHGPLFDFPFFTRKHDSVGSTGMINTNNNLTLTYDVKDLLFEEGMEMLNKKRSENLKKINGLLAVNLERKRIRPDLVLRLQIEERKLRLLDLQARLRDDVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLETHWAIRDARTARNRGVAKYHERMLREFSKRRDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITAAKNQQEVEEAANAAAGAARLQGLSEEEVRAAAACAGEEVLIRNRFVEMNAPWDSSSVNKYYNLAHAVNERVIRQPSMLRVGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPMHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVQDVEGSLPPKVSIVLRCRMSSIQSTIYDWIKSTGTIRVDPEDEKLRAQKNPAYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVQSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSHDSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRKNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETMHDVPSLQEVNRMIARSKDEVELFDQMDEEFDWTEEMTRYDQVPKWLRASSKEVDGTIAILSKKPSKAILFADVMGMVSGEMETERKRVRPKGKKSPNYKEIDDENGDYSEASSDERNGYSAHEEEGEIQEIEDDESSDAVGAPPINKDQSEDDGPPCDGGYECHGALESTRNNDVLDEAGSSGSSSDSQRVTRMISPVSPQKFGSLSALDARPGSLPKKLPDELEEGEIAVSGDSHVDHQQSGSWMHDRDEGEDEQVLQPKIKRKRSIRLRPRHTVERPEEKSSNDVQRGDSCLLPFQMDHKYQAQLRSDTEMKALVEPSGFKHDQIDSSTSRRNLPSRRIAKTPKLHASPKSGRLHLQSAPAEDATEHSRVSLDGKVPSTSGTSSLGTKMSDVIQRRCKNVIGKFQRRIDKEGQQIVPLLADLWKRIENSGHVSGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARNALSFSGPSSTSVSAPSAKQAALGLSKRNKSINNVEPDNSTTHKPMQRGSIPNSEDIRSVRVPQKETRVGSGSGSSREQYHQDDSPLHPGELVICKKKRKDRDKSAVRSRTGSSGPVSPPSMGRNITSPVLNSIPKDARLNTSPVLNSIPKDARLNTSPVLNSIPKDARLSQQNTHQQGWVNQPQPPNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.014G086500.5.v4.1 pep chromosome:Pop_tri_v4:14:5576648:5589306:1 gene:Potri.014G086500.v4.1 transcript:Potri.014G086500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086500.v4.1 MQSGGGGGGGPSWVGPAGRAGSTSSAAASPSSSSSSAAVWNLQLGFDSVQQQQQQQQSRQALQQQLLRKPEGNETLLAYQAGTLQGVTGGNNFASSPGSMQIPQQSRQLFDLARQHGSSQDGQNRNQGVEQQALNPIQQAYLQYAFQAAQQKSALAMQSQQQAKVGTLGSPAGKDHDMRVEQGQQQASEQRNEQKSPIQPTAIGQLMPANVTRPMQAPQVQQNIQNMANNQLTMAAQMQAMQAWALERNIDLAQPANANLMAKLIPVMQARMAAQLKANENNTSGQSSHLPVSKPQVASPSIANESSPHANSSSDISGQSGSVKTRQTVPSGPFGSTSSGGIVNNPNNLTMQQQAFHSRENQAPPRQAVVLGNGMPANASQGADHTLPSKNALNSSETSQTQQFRQLNRSSPQSAGPSNDGGLGNHFSSQGRPAVQMAQQRTGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNLDRSGGKIAEDQARHLESNDKGSKAMLSMNGQNFSKEEVFTGDEKATVSTMHMQKAPAVMKEPTPLVASGKEEQQTATCSVNSDQETEHGLLKTPVRSDLAADRGRGVASQFPASDAMQAKKPAQASTVVQPKDTGSARKYHGPLFDFPFFTRKHDSVGSTGMINTNNNLTLTYDVKDLLFEEGMEMLNKKRSENLKKINGLLAVNLERKRIRPDLVLRLQIEERKLRLLDLQARLRDDVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLETHWAIRDARTARNRGVAKYHERMLREFSKRRDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITAAKNQQEVEEAANAAAGAARLQGLSEEEVRAAAACAGEEVLIRNRFVEMNAPWDSSSVNKYYNLAHAVNERVIRQPSMLRVGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPMHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVQDVEGSLPPKVSIVLRCRMSSIQSTIYDWIKSTGTIRVDPEDEKLRAQKNPAYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVQSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSHDSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRKNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETMHDVPSLQEVNRMIARSKDEVELFDQMDEEFDWTEEMTRYDQVPKWLRASSKEVDGTIAILSKKPSKAILFADVMGMVSGEMETERKRVRPKGKKSPNYKEIDDENGDYSEASSDERNGYSAHEEEGEIQEIEDDESSDAVGAPPINKDQSEDDGPPCDGGYECHGALESTRNNDVLDEAGSSGSSSDSQRVTRMISPVSPQKFGSLSALDARPGSLPKKLPDELEEGEIAVSGDSHVDHQQSGSWMHDRDEGEDEQVLQPKIKRKRSIRLRPRHTVERPEEKSSNDVQRGDSCLLPFQMDHKYQAQLRSDTEMKALVEPSGFKHDQIDSSTSRRNLPSRRIAKTPKLHASPKSGRLHLQSAPAEDATEHSRVSLDGKVPSTSGTSSLGTKMSDVIQRRCKNVIGKFQRRIDKEGQQIVPLLADLWKRIENSGHVSGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARNALSFSGPSSTSVSAPSAKQAALGLSKRNKSINNVEPDNSTTHKPMQRGSIPNSEDIRSVRVPQKETRVGSGSGSSREQYHQDDSPLHPGELVICKKKRKDRDKSAVRSRTGSSGPVSPPSMGRNITSPVLNSIPKDARLNTSPVLNSIPKDARLNTSPVLNSIPKDARLSQQNTHQQGWVNQPQPPNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.014G086500.4.v4.1 pep chromosome:Pop_tri_v4:14:5576641:5589306:1 gene:Potri.014G086500.v4.1 transcript:Potri.014G086500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086500.v4.1 MQSGGGGGGGPSWVGPAGRAGSTSSAAASPSSSSSSAAVWNLQLGFDSVQQQQQQQQSRQALQQQLLRKPEGNETLLAYQAGTLQGVTGGNNFASSPGSMQIPQQSRQLFDLARQHGSSQDGQNRNQGVEQQALNPIQQAYLQYAFQAAQQKSALAMQSQQQAKVGTLGSPAGKDHDMRVGNLKMQELMSMQSANQAQASSSKNPSEHFSRGEKQVEQGQQQASEQRNEQKSPIQPTAIGQLMPANVTRPMQAPQVQQNIQNMANNQLTMAAQMQAMQAWALERNIDLAQPANANLMAKLIPVMQARMAAQLKANENNTSGQSSHLPVSKPQVASPSIANESSPHANSSSDISGQSGSVKTRQTVPSGPFGSTSSGGIVNNPNNLTMQQQAFHSRENQAPPRQAVVLGNGMPANASQGADHTLPSKNALNSSETSQTQQFRQLNRSSPQSAGPSNDGGLGNHFSSQGRPAVQMAQQRTGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNLDRSGGKIAEDQARHLESNDKGSKAMLSMNGQNFSKEEVFTGDEKATVSTMHMQKAPAVMKEPTPLVASGKEEQQTATCSVNSDQETEHGLLKTPVRSDLAADRGRGVASQFPASDAMQAKKPAQASTVVQPKDTGSARKYHGPLFDFPFFTRKHDSVGSTGMINTNNNLTLTYDVKDLLFEEGMEMLNKKRSENLKKINGLLAVNLERKRIRPDLVLRLQIEERKLRLLDLQARLRDDVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLETHWAIRDARTARNRGVAKYHERMLREFSKRRDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITAAKNQQEVEEAANAAAGAARLQGLSEEEVRAAAACAGEEVLIRNRFVEMNAPWDSSSVNKYYNLAHAVNERVIRQPSMLRVGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPMHDGEDDWLETEKKVSIVLRCRMSSIQSTIYDWIKSTGTIRVDPEDEKLRAQKNPAYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVQSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSHDSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRKNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETMHDVPSLQEVNRMIARSKDEVELFDQMDEEFDWTEEMTRYDQVPKWLRASSKEVDGTIAILSKKPSKAILFADVMGMVSGEMETERKRVRPKGKKSPNYKEIDDENGDYSEASSDERNGYSAHEEEGEIQEIEDDESSDAVGAPPINKDQSEDDGPPCDGGYECHGALESTRNNDVLDEAGSSGSSSDSQRVTRMISPVSPQKFGSLSALDARPGSLPKKLPDELEEGEIAVSGDSHVDHQQSGSWMHDRDEGEDEQVLQPKIKRKRSIRLRPRHTVERPEEKSSNDVQRGDSCLLPFQMDHKYQAQLRSDTEMKALVEPSGFKHDQIDSSTSRRNLPSRRIAKTPKLHASPKSGRLHLQSAPAEDATEHSRVSLDGKVPSTSGTSSLGTKMSDVIQRRCKNVIGKFQRRIDKEGQQIVPLLADLWKRIENSGHVSGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARNALSFSGPSSTSVSAPSAKQAALGLSKRNKSINNVEPDNSTTHKPMQRGSIPNSEDIRSVRVPQKETRVGSGSGSSREQYHQDDSPLHPGELVICKKKRKDRDKSAVRSRTGSSGPVSPPSMGRNITSPVLNSIPKDARLNTSPVLNSIPKDARLNTSPVLNSIPKDARLSQQNTHQQGWVNQPQPPNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.014G086500.8.v4.1 pep chromosome:Pop_tri_v4:14:5579442:5589717:1 gene:Potri.014G086500.v4.1 transcript:Potri.014G086500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086500.v4.1 MLSMNGQNFSKEEVFTGDEKATVSTMHMQKAPAVMKEPTPLVASGKEEQQTATCSVNSDQETEHGLLKTPVRSDLAADRGRGVASQFPASDAMQAKKPAQASTVVQPKDTGSARKYHGPLFDFPFFTRKHDSVGSTGMINTNNNLTLTYDVKDLLFEEGMEMLNKKRSENLKKINGLLAVNLERKRIRPDLVLRLQIEERKLRLLDLQARLRDDVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLETHWAIRDARTARNRGVAKYHERMLREFSKRRDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITAAKNQQEVEEAANAAAGAARLQGLSEEEVRAAAACAGEEVLIRNRFVEMNAPWDSSSVNKYYNLAHAVNERVIRQPSMLRVGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPMHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVQDVEGSLPPKVSIVLRCRMSSIQSTIYDWIKSTGTIRVDPEDEKLRAQKNPAYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVQSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSHDSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRKNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETMHDVPSLQEVNRMIARSKDEVELFDQMDEEFDWTEEMTRYDQVPKWLRASSKEVDGTIAILSKKPSKAILFADVMGMVSGEMETERKRVRPKGKKSPNYKEIDDENGDYSEASSDERNGYSAHEEEGEIQEIEDDESSDAVGAPPINKDQSEDDGPPCDGGYECHGALESTRNNDVLDEAGSSGSSSDSQRVTRMISPVSPQKFGSLSALDARPGSLPKKLPDELEEGEIAVSGDSHVDHQQSGSWMHDRDEGEDEQVLQPKIKRKRSIRLRPRHTVERPEEKSSNDVQRGDSCLLPFQMDHKYQAQLRSDTEMKALVEPSGFKHDQIDSSTSRRNLPSRRIAKTPKLHASPKSGRLHLQSAPAEDATEHSRVSLDGKVPSTSGTSSLGTKMSDVIQRRCKNVIGKFQRRIDKEGQQIVPLLADLWKRIENSGHVSGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARNALSFSGPSSTSVSAPSAKQAALGLSKRNKSINNVEPDNSTTHKPMQRGSIPNSEDIRSVRVPQKETRVGSGSGSSREQYHQDDSPLHPGELVICKKKRKDRDKSAVRSRTGSSGPVSPPSMGRNITSPVLNSIPKDARLNTSPVLNSIPKDARLNTSPVLNSIPKDARLSQQNTHQQGWVNQPQPPNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.014G086500.6.v4.1 pep chromosome:Pop_tri_v4:14:5576564:5589318:1 gene:Potri.014G086500.v4.1 transcript:Potri.014G086500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086500.v4.1 MQIPQQSRQLFDLARQHGSSQDGQNRNQGVEQQALNPIQQAYLQYAFQAAQQKSALAMQSQQQAKVGTLGSPAGKDHDMRVGNLKMQELMSMQSANQAQASSSKNPSEHFSRGEKQVEQGQQQASEQRNEQKSPIQPTAIGQLMPANVTRPMQAPQVQQNIQNMANNQLTMAAQMQAMQAWALERNIDLAQPANANLMAKLIPVMQARMAAQLKANENNTSGQSSHLPVSKPQVASPSIANESSPHANSSSDISGQSGSVKTRQTVPSGPFGSTSSGGIVNNPNNLTMQQQAFHSRENQAPPRQAVVLGNGMPANASQGADHTLPSKNALNSSETSQTQQFRQLNRSSPQSAGPSNDGGLGNHFSSQGRPAVQMAQQRTGFTKQQLHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNLDRSGGKIAEDQARHLESNDKGSKAMLSMNGQNFSKEEVFTGDEKATVSTMHMQKAPAVMKEPTPLVASGKEEQQTATCSVNSDQETEHGLLKTPVRSDLAADRGRGVASQFPASDAMQAKKPAQASTVVQPKDTGSARKYHGPLFDFPFFTRKHDSVGSTGMINTNNNLTLTYDVKDLLFEEGMEMLNKKRSENLKKINGLLAVNLERKRIRPDLVLRLQIEERKLRLLDLQARLRDDVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLETHWAIRDARTARNRGVAKYHERMLREFSKRRDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITAAKNQQEVEEAANAAAGAARLQGLSEEEVRAAAACAGEEVLIRNRFVEMNAPWDSSSVNKYYNLAHAVNERVIRQPSMLRVGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHNWLPSVSCIYYVGGKDQRSKLFSQEVSAMKFNVLVTTYEFIMYDRSKLSKVDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQKEAPMHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVQDVEGSLPPKVSIVLRCRMSSIQSTIYDWIKSTGTIRVDPEDEKLRAQKNPAYQPKVYKTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVQSCGKLWILDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSHDSDCFIFLLSIRAAGRGLNLQSADTVIIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVDKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRKNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETMHDVPSLQEVNRMIARSKDEVELFDQMDEEFDWTEEMTRYDQVPKWLRASSKEVDGTIAILSKKPSKAILFADVMGMVSGEMETERKRVRPKGKKSPNYKEIDDENGDYSEASSDERNGYSAHEEEGEIQEIEDDESSDAVGAPPINKDQSEDDGPPCDGGYECHGALESTRNNDVLDEAGSSGSSSDSQRVTRMISPVSPQKFGSLSALDARPGSLPKKLPDELEEGEIAVSGDSHVDHQQSGSWMHDRDEGEDEQVLQPKIKRKRSIRLRPRHTVERPEEKSSNDVQRGDSCLLPFQMDHKYQAQLRSDTEMKALVEPSGFKHDQIDSSTSRRNLPSRRIAKTPKLHASPKSGRLHLQSAPAEDATEHSRVSLDGKVPSTSGTSSLGTKMSDVIQRRCKNVIGKFQRRIDKEGQQIVPLLADLWKRIENSGHVSGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARNALSFSGPSSTSVSAPSAKQAALGLSKRNKSINNVEPDNSTTHKPMQRGSIPNSEDIRSVRVPQKETRVGSGSGSSREQYHQDDSPLHPGELVICKKKRKDRDKSAVRSRTGSSGPVSPPSMGRNITSPVLNSIPKDARLNTSPVLNSIPKDARLNTSPVLNSIPKDARLSQQNTHQQGWVNQPQPPNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.015G093600.3.v4.1 pep chromosome:Pop_tri_v4:15:11604791:11612168:1 gene:Potri.015G093600.v4.1 transcript:Potri.015G093600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093600.v4.1 MAAAFSALSISPYTLVCRHNSTKHSISCSTASPTTTTIGSRGTKAPPRHKRSERMEGAGKSMEDSVKRKMEQFYEGSDGPPLRIVPIGGLGEIGMNCMLVGNFDRYILIDAGVMFPDYDELGVQKIIPDTTFIRRWRHKIEAVIITHGHEDHIGALPWVIPALDHHTPIYASSFTMELIKKRLKENGIFVPSRLKVFKTKRKFAAGPFEIEPIRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGKKFDRETLEELSKEGVTLMMSDSTNILSPGRTISESVVADALLRRISAAKGRIITTQFASNIHRLGSVKAAADLTGRKMVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHALKLNKEDVILYSAKVIPGNESRVMKMMNRISEIGSTIVIGKNELLHTSGHGYRGELEEVLKIVKPQHFLPIHGELLFLKEHELLGKSTGIQHTTVIKNGEMLGVSHLRNRRVLSNGFVFLGKENLQLMYNDGDKAFGTSTELCVDERMRIATDGIVVVSMEILRPQNADGLVENSLKGKIKITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLAHMERTVSEVLRKMVRKYSGKRPEVIAVAMENPAAVLSDELNAKLSGNSHVGLGISALRKMADGHKKKIRVDRKQPDGNGYANLEKTSTQNSEGPHISASLCFH >Potri.015G093600.1.v4.1 pep chromosome:Pop_tri_v4:15:11604686:11614306:1 gene:Potri.015G093600.v4.1 transcript:Potri.015G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093600.v4.1 MAAAFSALSISPYTLVCRHNSTKHSISCSTASPTTTTIGSRGTKAPPRHKRSERMEGAGKSMEDSVKRKMEQFYEGSDGPPLRIVPIGGLGEIGMNCMLVGNFDRYILIDAGVMFPDYDELGVQKIIPDTTFIRRWRHKIEAVIITHGHEDHIGALPWVIPALDHHTPIYASSFTMELIKKRLKENGIFVPSRLKVFKTKRKFAAGPFEIEPIRVTHSIPDCCGLVLRCADGTILHTGDWKIDESPLDGKKFDRETLEELSKEGVTLMMSDSTNILSPGRTISESVVADALLRRISAAKGRIITTQFASNIHRLGSVKAAADLTGRKMVFVGMSLRTYLDAAWKDGKAPIDPSTLVKVEDIDAYAPKDLLIVTTGSQAEPRAALNLASYGSSHALKLNKEDVILYSAKVIPGNESRVMKMMNRISEIGSTIVIGKNELLHTSGHGYRGELEEVLKIVKPQHFLPIHGELLFLKEHELLGKSTGIQHTTVIKNGEMLGVSHLRNRRVLSNGFVFLGKENLQLMYNDGDKAFGTSTELCVDERMRIATDGIVVVSMEILRPQNADGLVENSLKGKIKITTRCLWLDKGKLLDALHKAAHAALSSCPVNCPLAHMERTVSEVLRKMVRKYSGKRPEVIAVAMENPAAVLSDELNAKLSGNSHVGLGISALRKMADGHKKKIRVDRKQPDGNGYANLEKTSTQNSEVDGFEFERELSKEEETSSSPSLAEGHSSDSENQDDFRKSFIPPSPVNELVKSDEDLVPPWEHVNELKEDGTISSDDDSLENQNSRSKGSRPVKRNKWKPEEVKSLIKMRGELHSRFQVVRGRMALWEEISTNLMADGINHSPGQCKYLWTSLAKKYEESKSDKKSQKSWSYFEDMDNILSDSETMATK >Potri.005G195600.1.v4.1 pep chromosome:Pop_tri_v4:5:20265337:20268882:1 gene:Potri.005G195600.v4.1 transcript:Potri.005G195600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX36 MARAAMSFTSLLLISSLFLASWFCATEAQGTIPIENGLSWTFYKSKCPKVESIIRKQLGKVFKKDIEQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEQDAPPNLTLRAKAFEIIEDLRRRVEKACGLVVSCSDILALAARDSVYLSGGPDYNVPLGRRDGLKFATQNETLDNLPPPFANADTILSSLATKGFDATDVVALSGGHTIGISHCSSFTDRLYPTQDPTMDKTFANNLKEVCPTRDFNNTTVLDIRSPNKFDNKYYVDLMNRQGLFTSDQDLYTNKKTRGIVTSFAVNQSLFFDKFVVAMIKMSQLKVLTGNQGEIRASCEERNSGYSYLESVVEEGLDALSGLI >Potri.T124301.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1697:37611:38197:-1 gene:Potri.T124301.v4.1 transcript:Potri.T124301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124301.v4.1 MSCKEGLMSPQTETKAGVGFKAGVKDYKLTYYTPDYETKDTDILAAFRVTPQPRVPPEEVGALIVVESSTSTWTTVWTVGLTSLDHYKGRCYDIELVVGEENQYIAYVAYPLDLFEEGSVTNMFTFIVGNVFGFKALRTLVLSLEFLFPLLFLLSPKM >Potri.006G131300.1.v4.1 pep chromosome:Pop_tri_v4:6:10700930:10705302:-1 gene:Potri.006G131300.v4.1 transcript:Potri.006G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131300.v4.1 MAEMKGGGGVNTWADELASLMEDSGIRYTGEPIDMTAPPPPPPIFAATGIMGSETEMERERESLKEQVTGFLKSWGEMVVDLGKGCKDIVTQSNLVTEDSFIVRKFGKPMAKASARLKFLNEFLPEDRDPALAWPVILFVFVLALAALSINSTDDSLVPSVKKMRVHPPSANRIPLPDGRHMAYLEQGVPADRARFSVIVPHSFLSSRLAGIPGVKTSLLQEFGVRLITYDLPGFGESDPHAIRNLNSSAMDMLYLADAVGVNGKFWVLSYSSGSMHSWAALRYIPDRIAGAGMFAPLINPYEPSMTKEEMRRTWDQWSSRRKLLYFLARKFPKFLAYFYHRSFLSGNHGQIDKWMSQSLGKKDEILIKEPMFEEFWHRDVEESIRQGSTKSFIEEAVLQVSNWGFSIADLQVQRKCQRNGFLLWLWSMYSQAECELVGFLGPIHIWQGMDDQVVPPSMIDYISRVLPGANLHELPNEGHFSYYFFCDECHRQIFSTLFGDALGPLNKVEIDGTSFEAEVGEVSSTTNSVGK >Potri.006G131300.3.v4.1 pep chromosome:Pop_tri_v4:6:10700935:10705014:-1 gene:Potri.006G131300.v4.1 transcript:Potri.006G131300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131300.v4.1 MAEMKGGGGVNTWADELASLMEDSGIRYTGEPIDMTAPPPPPPIFAATGIMGSETEMERERESLKEQVTGFLKSWGEMVVDLGKGCKDIVTQSNLVTEDSFIVRKFGKPMAKASARLKFLNEFLPEDRDPALAWPVILFVFVLALAALSINSTDDSLVPSVKKMRVHPPSANRIPLPDGRHMAYLEQGVPADRARFSVIVPHSFLSSRLAGIPGVKTSLLQEFGVRLITYDLPGFGESDPHAIRNLNSSAMDMLYLADAVGVNGKFWVLSYSSGSMHSWAALRYIPDRIAGAGMFAPLINPYEPSMTKEEMRRTWDQWSSRRKLLYFLARKFPKFLAYFYHRSFLSGNHGQIDKWMSQSLGKKDEILIKEPMFEEFWHRDVEESIRQGSTKSFIEEAVLQVSNWGFSIADLQVQRKCQRNGFLLWLWSMYSQAECELVGFLGPIHIWQVCEM >Potri.011G068700.4.v4.1 pep chromosome:Pop_tri_v4:11:6027936:6034410:1 gene:Potri.011G068700.v4.1 transcript:Potri.011G068700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068700.v4.1 MAAILFRTFLVIFWVRLTQATDTLLSPKGVNYEVAALMAVKREMRDEIGAMNGWDLNSVDPCTWNMISCSTEGFVISLEMASVGLSGTLSPSIGNLIHLRTMLLQNNHLSGPIPEEIGKLSELQTLDLSGNQFGGGIPSSLGFLTHLSYLRLSKNNLSGQIPRLVASLTGLSFLDLSFNNLSGPTPKILAKGYSITGNSYLCTSSHAQNCMGISKPVNETVSSEQASSHHRWVLSVAIGISSTFVISVMLLVCWVHCYRSRLLFTSYVQQDYEFDIGHLKRFSFRELQIATSNFSPKNILGQGGYGVVYKGCLPNKTFIAVKRLKDPSFAGEVQFQTEVEMIGLALHRNLLSLHGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRIHVALGAARGLLYLHEQCNPKIIHRDVKAANILLDEGFEAVVGDFGLAKLLDLRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDAGNGQVQKRMILDWVRTLNEEKRLEVLVDRDLKGCFDALELEKAVELALKCTQSHPNLRPKMSEVLKVLEGLVGQSAMEESQGAPNIGEVRACSFSRHDRDVHEESSFIIEAMELSGPR >Potri.011G068700.5.v4.1 pep chromosome:Pop_tri_v4:11:6027936:6033018:1 gene:Potri.011G068700.v4.1 transcript:Potri.011G068700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068700.v4.1 MAAILFRTFLVIFWVRLTQATDTLLSPKGVNYEVAALMAVKREMRDEIGAMNGWDLNSVDPCTWNMISCSTEGFVISLEMASVGLSGTLSPSIGNLIHLRTMLLQNNHLSGPIPEEIGKLSELQTLDLSGNQFGGGIPSSLGFLTHLSYLRLSKNNLSGQIPRLVASLTGLSFLDLSFNNLSGPTPKILAKGYSITGNSYLCTSSHAQNCMGISKPVNETVSSEQASSHHRWVLSVAIGISSTFVISVMLLVCWVHCYRSRLLFTSYVQQDYEFDIGHLKRFSFRELQIATSNFSPKNILGQGGYGVVYKGCLPNKTFIAVKRLKDPSFAGEVQFQTEVEMIGLALHRNLLSLHGFCMTPDERLLVYPYMPNGSVADRLRETCREKPSLDWNRRIHVALGAARGLLYLHEQCNPKIIHRDVKAANILLDEGFEAVVGDFGLAKLLDLRDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQKALDAGNGQVQKRMILDWVRTLNEEKRLEVLVDRDLKGCFDALELEKAVELALKCTQSHPNLRPKMSEVLKVLEGLVGQSAMEESQGAPNIGEVRACSFSRHDRDVHEESSFIIEAMELSGPR >Potri.010G032900.6.v4.1 pep chromosome:Pop_tri_v4:10:5529615:5533206:-1 gene:Potri.010G032900.v4.1 transcript:Potri.010G032900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032900.v4.1 MKAVSGGNGEFWGWKWEHKRRRIKQSKASKSSDSATGGGGGGYWFPLKQAVTAGALALTGDTVAQVTDRWKKNKPSKRHSYDQDASQNSNDNQDFIGIFLSDHDWLRALRMTSYGFLLYGPGSYAWYQYLDCCLPKQTVKNLMLKVLLNQIVLGPSVIAVVFAWNNLWQGKLSQLPEKYQRDALPTLLYGFRFWIPVSVLNFWAVPIQARVAFMSTGSIFWNFCLSSTLNK >Potri.010G032900.1.v4.1 pep chromosome:Pop_tri_v4:10:5528223:5533206:-1 gene:Potri.010G032900.v4.1 transcript:Potri.010G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032900.v4.1 MKAVSGGNGEFWGWKWEHKRRRIKQSKASKSSDSATGGGGGGYWFPLKQAVTAGALALTGDTVAQVTDRWKKNKPSKRHSYDQDASQNSNDNQDFIGIFLSDHDWLRALRMTSYGFLLYGPGSYAWYQYLDCCLPKQTVKNLMLKVLLNQIVLGPSVIAVVFAWNNLWQGKLSQLPEKYQRDALPTLLYGFRFWIPVSVLNFWAVPIQARVAFMSTGSIFWNFCLSSTLNK >Potri.010G032900.7.v4.1 pep chromosome:Pop_tri_v4:10:5529611:5533206:-1 gene:Potri.010G032900.v4.1 transcript:Potri.010G032900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032900.v4.1 MKAVSGGNGEFWGWKWEHKRRRIKQSKASKSSDSATGGGGGGYWFPLKQAVTAGALALTGDTVAQVTDRWKKNKPSKRHSYDQDASQNSNDNQDFIGIFLSDHDWLRALRMTSYGFLLYGPGSYAWYQYLDCCLPKQTVKNLMLKVLLNQIVLGPSVIAVVFAWNNLWQGKLSQLPEKYQRDALPTLLYGFRFWIPVSVLNFWYIFCPFLVCPL >Potri.010G032900.5.v4.1 pep chromosome:Pop_tri_v4:10:5529610:5533206:-1 gene:Potri.010G032900.v4.1 transcript:Potri.010G032900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032900.v4.1 MKAVSGGNGEFWGWKWEHKRRRIKQSKASKSSDSATGGGGGGYWFPLKQAVTAGALALTGDTVAQVTDRWKKNKPSKRHSYDQDASQNSNDNQDFIGIFLSDHDWLRALRMTSYGFLLYGPGSYAWYQYLDCCLPKQTVKNLMLKVLLNQIVLGPSVIAVVFAWNNLWQGKLSQLPEKYQRDALPTLLYGFRFWIPVSVLNFWAVPIQARVAFMSTGSIFWNFCLSSTLNK >Potri.008G213900.1.v4.1 pep chromosome:Pop_tri_v4:8:17177060:17178284:1 gene:Potri.008G213900.v4.1 transcript:Potri.008G213900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213900.v4.1 MLDPANKGSESEEMSSNSQETESPLKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKKRDILGLNKGAANDKRAKKGSNNNGSSNNNNNKQLGDGSKQRLLALGREVLMQRRKLGEEEQAAVLLMALSYGSVYA >Potri.018G085400.10.v4.1 pep chromosome:Pop_tri_v4:18:10296820:10300946:1 gene:Potri.018G085400.v4.1 transcript:Potri.018G085400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085400.v4.1 METSALFQRLCLVEIRRLLMVIGVAIIVIILFQCFALPYGKGWSVSSADEDSVVMLISNPILSNSSKSSIRVFHIMTNGSDSSDLGEEARDEDEIENTDADYELSSNKIEQNDVLLKLGEMLGKSTDNTSSQEKSIETGSKHLKQVGETEILEATTSSTFGGIQSNVGTVPSVLLGISKKNGENRDRDSITSDSFFPTKVISLDHMETQTKNAELLQTISVTLNNNSTRDSISTLKRWEQSTSISQMNSLLLQSLVYSHSMPRRLSVRDRELLSAKLEIENAPRVDNPPGLYASAFRNISMFKRSYELMERMLKVYVYKEGEKPIFHQSKMRGIYASEGWFMKLIEGNKKFVVRDPRKAHLFYLPFSPHMLRTALFDHNSLNQKELAEFLKNYVDLVAKKYSFWNRTGGTDHFLVGCHDWASQMTRHHMRNCIRVLCNSNVAKGFKIGKDTTLPVTYIRSVENPLKELGGKSPSERPILAFFAGNMHGYLRPILLEYWENKEPDMKILGPMSRDIAGKRRYREYMKRSKYCICARGYEVHTPRVVESIFYECVPVIISDNYVPPLFEVLNWEAFSVFIQEKDIPNLRNILLSIPQEKYVAMQLGVKKVQQHFLWHKKPVKYDLFHMILHSVWHSRVFQMESK >Potri.018G085400.11.v4.1 pep chromosome:Pop_tri_v4:18:10296450:10300891:1 gene:Potri.018G085400.v4.1 transcript:Potri.018G085400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085400.v4.1 METSALFQRLCLVEIRRLLMVIGVAIIVIILFQCFALPYGKGWSVSSADEDSVVMLISNPILSNSSKSSIRVFHIMTNGSDSSDLGEEARDEDEIENTDADYELSSNKIEQNDVLLKLGEMLGKSTDNTSSQEKSIETGSKHLKQVGETEILEATTSSTFGGIQSNVGTVPSVLLGISKKNGENRDRDSITSDSFFPTKVISLDHMETQTKNAELLQTISVTLNNNSTRDSISTLKRWEQSTSISQMNSLLLQSLVYSHSMPRRLSVRDRELLSAKLEIENAPRVDNPPGLYASAFRNISMFKRSYELMERMLKVYVYKEGEKPIFHQSKMRGIYASEGWFMKLIEGNKKFVVRDPRKAHLFYLPFSPHMLRTALFDHNSLNQKELAEFLKNYVDLVAKKYSFWNRTGGTDHFLVGCHDWASQMTRHHMRNCIRVLCNSNVAKGFKIGKDTTLPVTYIRSVENPLKELGGKSPSERPILAFFAGNMHGYLRPILLEYWENKEPDMKILGPMSRDIAGKRRYREYMKRSKYCICARGYEVHTPRVVESIFYECVPVIISDNYVPPLFEVLNWEAFSVFIQEKDIPNLRNILLSIPQEKYVAMQLGVKKVQQHFLWHKKPVKYDLFHMILHSVWHSRVFQMESK >Potri.018G085400.7.v4.1 pep chromosome:Pop_tri_v4:18:10295905:10300950:1 gene:Potri.018G085400.v4.1 transcript:Potri.018G085400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085400.v4.1 METSALFQRLCLVEIRRLLMVIGVAIIVIILFQCFALPYGKGWSVSSADEDSVVMLISNPILSNSSKSSIRVFHIMTNGSDSSDLGEEARDEDEIENTDADYELSSNKIEQNDVLLKLGEMLGKSTDNTSSQEKSIETGSKHLKQVGETEILEATTSSTFGGIQSNVGTVPSVLLGISKKNGENRDRDSITSDSFFPTKVISLDHMETQTKNAELLQTISVTLNNNSTRDSISTLKRWEQSTSISQMNSLLLQSLVYSHSMKPRRLSVRDRELLSAKLEIENAPRVDNPPGLYASAFRNISMFKRSYELMERMLKVYVYKEGEKPIFHQSKMRGIYASEGWFMKLIEGNKKFVVRDPRKAHLFYLPFSPHMLRTALFDHNSLNQKELAEFLKNYVDLVAKKYSFWNRTGGTDHFLVGCHDWASQMTRHHMRNCIRVLCNSNVAKGFKIGKDTTLPVTYIRSVENPLKELGGKSPSERPILAFFAGNMHGYLRPILLEYWENKEPDMKILGPMSRDIAGKRRYREYMKRSKYCICARGYEVHTPRVVESIFYECVPVIISDNYVPPLFEVLNWEAFSVFIQEKDIPNLRNILLSIPQEKYVAMQLGVKKVQQHFLWHKKPVKYDLFHMILHSVWHSRVFQMESK >Potri.018G085400.8.v4.1 pep chromosome:Pop_tri_v4:18:10296803:10300946:1 gene:Potri.018G085400.v4.1 transcript:Potri.018G085400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085400.v4.1 METSALFQRLCLVEIRRLLMVIGVAIIVIILFQCFALPYGKGWSVSSADEDSVVMLISNPILSNSSKSSIRVFHIMTNGSDSSDLGEEARDEDEIENTDADYELSSNKIEQNDVLLKLGEMLGKSTDNTSSQEKSIETGSKHLKQVGETEILEATTSSTFGGIQSNVGTVPSVLLGISKKNGENRDRDSITSDSFFPTKVISLDHMETQTKNAELLQTISVTLNNNSTRDSISTLKRWEQSTSISQMNSLLLQSLVYSHSMKPRRLSVRDRELLSAKLEIENAPRVDNPPGLYASAFRNISMFKRSYELMERMLKVYVYKEGEKPIFHQSKMRGIYASEGWFMKLIEGNKKFVVRDPRKAHLFYLPFSPHMLRTALFDHNSLNQKELAEFLKNYVDLVAKKYSFWNRTGGTDHFLVGCHDWASQMTRHHMRNCIRVLCNSNVAKGFKIGKDTTLPVTYIRSVENPLKELGGKSPSERPILAFFAGNMHGYLRPILLEYWENKEPDMKILGPMSRDIAGKRRYREYMKRSKYCICARGYEVHTPRVVESIFYECVPVIISDNYVPPLFEVLNWEAFSVFIQEKDIPNLRNILLSIPQEKYVAMQLGVKKVQQHFLWHKKPVKYDLFHMILHSVWHSRVFQMESK >Potri.018G085400.9.v4.1 pep chromosome:Pop_tri_v4:18:10296428:10300599:1 gene:Potri.018G085400.v4.1 transcript:Potri.018G085400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085400.v4.1 METSALFQRLCLVEIRRLLMVIGVAIIVIILFQCFALPYGKGWSVSSADEDSVVMLISNPILSNSSKSSIRVFHIMTNGSDSSDLGEEARDEDEIENTDADYELSSNKIEQNDVLLKLGEMLGKSTDNTSSQEKSIETGSKHLKQVGETEILEATTSSTFGGIQSNVGTVPSVLLGISKKNGENRDRDSITSDSFFPTKVISLDHMETQTKNAELLQTISVTLNNNSTRDSISTLKRWEQSTSISQMNSLLLQSLVYSHSMKPRRLSVRDRELLSAKLEIENAPRVDNPPGLYASAFRNISMFKRSYELMERMLKVYVYKEGEKPIFHQSKMRGIYASEGWFMKLIEGNKKFVVRDPRKAHLFYLPFSPHMLRTALFDHNSLNQKELAEFLKNYVDLVAKKYSFWNRTGGTDHFLVGCHDWASQMTRHHMRNCIRVLCNSNVAKGFKIGKDTTLPVTYIRSVENPLKELGGKSPSERPILAFFAGNMHGYLRPILLEYWENKEPDMKILGPMSRDIAGKRRYREYMKRSKYCICARGYEVHTPRVVESIFYECVPVIISDNYVPPLFEVLNWEAFSVFIQEKDIPNLRNILLSIPQEKYVAMQLGVKKVQQHFLWHKKPVKYDLFHMILHSVWHSRVFQMESK >Potri.008G183400.3.v4.1 pep chromosome:Pop_tri_v4:8:12695569:12698575:-1 gene:Potri.008G183400.v4.1 transcript:Potri.008G183400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183400.v4.1 MVFQAVVSSLGDNNVMVILDNHISKPGWCCSNSDGNGFFGDQYFDPDLWITGLTRMASMFNGVPNVVGMSLRNELRGPKQNVNDWYRYMQKGAEAVHSANPDVIVILSGLNYDKDLSFLRNRPVNLTFSRKIVFEVHWYGFTDGQAWKNGNPNQVCGRVVDNMMRISGFLLDQGWPLFMSEFGVDQRGTNVNDNRYLGCFLGVAAELDFDWALWTLVGSYYFRQGVIGMNEYYGVLNSNWRETRNSTFLQQISALQSPFRGPGVSEVHLHKVIFHPSTGLCVLRKSMFEPLRLGPCTQSEAWNYTPQKILSVKGTYFCLQTDELAKPAKLGIICTDSNSKWEAISDSKMHLSSKAPNGTAVCLDIGYNNTIVTSTCKCLSKDNTCDPESQWFKLVNSTRRSSTMTKPSSLISSILNFPAKDFLWKFLGSV >Potri.008G183400.2.v4.1 pep chromosome:Pop_tri_v4:8:12695570:12698621:-1 gene:Potri.008G183400.v4.1 transcript:Potri.008G183400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183400.v4.1 MDRIVFFSFLSIFSFLVTFSDVMIPQKHVTALPLSTNSRWIVDENGQRVKLACVNWVSHLEVMVAEGLSEQPMDAIAKRIVSMGFNCVRLTWPVFLVTNDTLGSLTVRQSLRSLGLLESISGIQANNPSIIDLPLLNVYQAVVSSLGDNNVMVILDNHISKPGWCCSNSDGNGFFGDQYFDPDLWITGLTRMASMFNGVPNVVGMSLRNELRGPKQNVNDWYRYMQKGAEAVHSANPDVIVILSGLNYDKDLSFLRNRPVNLTFSRKIVFEVHWYGFTDGQAWKNGNPNQVCGRVVDNMMRISGFLLDQGWPLFMSEFGVDQRGTNVNDNRYLGCFLGVAAELDFDWALWTLVGSYYFRQGVIGMNEYYGVLNSNWRETRNSTFLQQISALQSPFRGPGVSEVHLHKVIFHPSTGLCVLRKSMFEPLRLGPCTQSEAWNYTPQKILSVKGTYFCLQTDELAKPAKLGIICTDSNSKWEAISDSKMHLSSKAPNGTAVCLDIGYNNTIVTSTCKCLSKDNTCDPESQWFKLVNSTRRSSTMTKPSSLISSILNFPAKDFLWKFLGSV >Potri.012G007100.1.v4.1 pep chromosome:Pop_tri_v4:12:294041:294674:1 gene:Potri.012G007100.v4.1 transcript:Potri.012G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007100.v4.1 MSGRRRRRQTKIPETLKNIHAVSWWQKPLCNSCQSHRIFFQQLRHACHCYHPQFLTTTPLGSSLFLSTMYVIVNSCQSR >Potri.010G168600.1.v4.1 pep chromosome:Pop_tri_v4:10:17105216:17106064:-1 gene:Potri.010G168600.v4.1 transcript:Potri.010G168600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168600.v4.1 MSSLQKDWPSLSCPSSDGFRFWSHEWIKHGTCAVSEEIGQHVYFEAALKLKKKANLLQALISAGIKPDGESYDLDSIRLAIKEATGFTPDIECNTDASKNRQVYQVFMCADISGSEFIECPVPLKKRCKSNKVHFPEF >Potri.008G140700.5.v4.1 pep chromosome:Pop_tri_v4:8:9474471:9495238:1 gene:Potri.008G140700.v4.1 transcript:Potri.008G140700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140700.v4.1 MGSAIEKGVGLPYRIWESSSSSSAASDEWNPTDTVLFFGLSLLLGIACRHLLRGTRVPYTVALLVVGIALGSLEYGTSHQLGRIGDGIRLWAHIDPDLLLAVFLPALLFESSFSMEVHQIKRCMVQMLLLAVPGVLISTCCLGCALKLIFPYNWSWTTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESFTSGAIIKFLTQVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYVTYFTAQEGAAVSGVLAVMTLGMFYAAVARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSGNTFHSHGHTWGYLFLLYIFVQLSRFIVVGALYPFLRYFGYGLDWKEATIVIWSGLRGAVALSLSLSVKRTSDSSIYLSSDTGTLFVFFTGGIVFLTLIVNGSTTQFILHLLDMDKLSATKKRILNFTKYEMLNKALEAFGDLGEDEELGPVDWPTVKRYITSLNNLEGSCEHPHGASEADNNLDPTNLKDIRIRLLNGVQAAYWGMLDEGRITQTTANILMQSVDEAIDLASHEPLCDWKGLQSNVHFPNYYKFLQASIFPQKMVTYFTVERLESACYICAAFLRAHRIARRQLHDFIGDSGIASIVINESDAEGEEARKFLEDVRVTFPQVLRVVKTRQATYSVLNHLIDYVQNLEKVGLLEEKEMLHLHDAVQTDLKRFLRNPPLVMLHKITDLISAHPLLGALPSMVREPLERSSKEIMKPRGVPLYKEGSKPNGVWLISSGVVKWTSKSVRSKHSLHPTFTHGSTLGLYELLVGKRCICDIITDSVVLCFFIESEKILSLLGSDPAVEDFLWQESAIVIAKLLLPQVFEKMPMQELRALVAERSVMTTYIRGETIEIPHHSIGFLLEGFIKAHGFQDELTASPAVLLPPQGNQSFQKIGISGAQAASFSHQGSRYQVEARARVIIFDIAAFEADGALRRRSSSLVSVDHPHRSFTREHGGLMSWPENLYKPREREQNCVGTCRSENSLSVRAMQLSIFGSMVDMRRHAHSFSGSQVKRSHSLSVLRTASYQQVRVPSEEATYARKSLEVRKLIGKTHAPPLQSTGTNETCIIDNYSDESDAEDELVVRIDSPSRLSFHHAS >Potri.008G140700.7.v4.1 pep chromosome:Pop_tri_v4:8:9474471:9494784:1 gene:Potri.008G140700.v4.1 transcript:Potri.008G140700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140700.v4.1 MGSAIEKGVGLPYRIWESSSSSSAASDEWNPTDTVLFFGLSLLLGIACRHLLRGTRVPYTVALLVVGIALGSLEYGTSHQLGRIGDGIRLWAHIDPDLLLAVFLPALLFESSFSMEVHQIKRCMVQMLLLAVPGVLISTCCLGCALKLIFPYNWSWTTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESFTSGAIIKFLTQVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYVTYFTAQEGAAVSGVLAVMTLGMFYAAVARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSGNTFHSHGHTWGYLFLLYIFVQLSRFIVVGALYPFLRYFGYGLDWKEATIVIWSGLRGAVALSLSLSVKRTSDSSIYLSSDTGTLFVFFTGGIVFLTLIVNGSTTQFILHLLDMDKLSATKKRILNFTKYEMLNKALEAFGDLGEDEELGPVDWPTVKRYITSLNNLEGSCEHPHGASEADNNLDPTNLKDIRIRLLNGVQAAYWGMLDEGRITQTTANILMQSVDEAIDLASHEPLCDWKGLQSNVHFPNYYKFLQASIFPQKMVTYFTVERLESACYICAAFLRAHRIARRQLHDFIGDSGIASIVINESDAEGEEARKFLEDVRVTFPQVLRVVKTRQATYSVLNHLIDYVQNLEKVGLLEEKEMLHLHDAVQTDLKRFLRNPPLVMLHKITDLISAHPLLGALPSMVREPLERSSKEIMKPRGVPLYKEGSKPNGVWLISSGVVKWTSKSVRSKHSLHPTFTHGSTLGLYELLVGKRCICDIITDSVVLCFFIESEKILSLLGSDPAVEDFLWQESAIVIAKLLLPQVFEKMPMQELRALVAERSVMTTYIRGETIEIPHHSIGFLLEGFIKAHGFQDELTASPAVLLPPQGNQSFQKIGISGAQAASFSHQGSRYQVEARARVIIFDIAAFEADGALRRRSSSLVSVDHPHRSFTREHGGLMSWPENLYKPREREQNCVGTCRSENSLSVRAMQLSIFGSMVDMRRHAHSFSGSQVKRSHSLSVLRTASYQQVRVPSEEATYARKSLEVRKLIGKTHAPPLQSTGTNETCIIDNYSDESDAEDELVVRIDSPSRLSFHHAS >Potri.008G140700.1.v4.1 pep chromosome:Pop_tri_v4:8:9474442:9495238:1 gene:Potri.008G140700.v4.1 transcript:Potri.008G140700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140700.v4.1 MGSAIEKGVGLPYRIWESSSSSSAASDEWNPTDTVLFFGLSLLLGIACRHLLRGTRVPYTVALLVVGIALGSLEYGTSHQLGRIGDGIRLWAHIDPDLLLAVFLPALLFESSFSMEVHQIKRCMVQMLLLAVPGVLISTCCLGCALKLIFPYNWSWTTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESFTSGAIIKFLTQVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYVTYFTAQEGAAVSGVLAVMTLGMFYAAVARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSGNTFHSHGHTWGYLFLLYIFVQLSRFIVVGALYPFLRYFGYGLDWKEATIVIWSGLRGAVALSLSLSVKRTSDSSIYLSSDTGTLFVFFTGGIVFLTLIVNGSTTQFILHLLDMDKLSATKKRILNFTKYEMLNKALEAFGDLGEDEELGPVDWPTVKRYITSLNNLEGSCEHPHGASEADNNLDPTNLKDIRIRLLNGVQAAYWGMLDEGRITQTTANILMQSVDEAIDLASHEPLCDWKGLQSNVHFPNYYKFLQASIFPQKMVTYFTVERLESACYICAAFLRAHRIARRQLHDFIGDSGIASIVINESDAEGEEARKFLEDVRVTFPQVLRVVKTRQATYSVLNHLIDYVQNLEKVGLLEEKEMLHLHDAVQTDLKRFLRNPPLVMLHKITDLISAHPLLGALPSMVREPLERSSKEIMKPRGVPLYKEGSKPNGVWLISSGVVKWTSKSVRSKHSLHPTFTHGSTLGLYELLVGKRCICDIITDSVVLCFFIESEKILSLLGSDPAVEDFLWQESAIVIAKLLLPQVFEKMPMQELRALVAERSVMTTYIRGETIEIPHHSIGFLLEGFIKAHGFQDELTASPAVLLPPQGNQSFQKIGISGAQAASFSHQGSRYQVEARARVIIFDIAAFEADGALRRRSSSLVSVDHPHRSFTREHGGLMSWPENLYKPREREQNCVGTCRSENSLSVRAMQLSIFGSMVDMRRHAHSFSGSQVKRSHSLSVLRTASYQQVRVPSEEATYARKSLEVRKLIGKTHAPPLQSTGTNETCIIDNYSDESDAEDELVVRIDSPSRLSFHHAS >Potri.008G140700.6.v4.1 pep chromosome:Pop_tri_v4:8:9474471:9495238:1 gene:Potri.008G140700.v4.1 transcript:Potri.008G140700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140700.v4.1 MGSAIEKGVGLPYRIWESSSSSSAASDEWNPTDTVLFFGLSLLLGIACRHLLRGTRVPYTVALLVVGIALGSLEYGTSHQLGRIGDGIRLWAHIDPDLLLAVFLPALLFESSFSMEVHQIKRCMVQMLLLAVPGVLISTCCLGCALKLIFPYNWSWTTSLLLGGLLSATDPVAVVALLKELGASKKLSTIIEGESLMNDGTAIVVYQLFYRMVLGESFTSGAIIKFLTQVSLGAVGIGIAFGIASVLWLGFIFNDTVIEIALTLAVSYVTYFTAQEGAAVSGVLAVMTLGMFYAAVARTAFKGDGQQSLHHFWEMVAYIANTLIFILSGVVIAEGVLSSGNTFHSHGHTWGYLFLLYIFVQLSRFIVVGALYPFLRYFGYGLDWKEATIVIWSGLRGAVALSLSLSVKRTSDSSIYLSSDTGTLFVFFTGGIVFLTLIVNGSTTQFILHLLDMDKLSATKKRILNFTKYEMLNKALEAFGDLGEDEELGPVDWPTVKRYITSLNNLEGSCEHPHGASEADNNLDPTNLKDIRIRLLNGVQAAYWGMLDEGRITQTTANILMQSVDEAIDLASHEPLCDWKGLQSNVHFPNYYKFLQASIFPQKMVTYFTVERLESACYICAAFLRAHRIARRQLHDFIGDSGIASIVINESDAEGEEARKFLEDVRVTFPQVLRVVKTRQATYSVLNHLIDYVQNLEKVGLLEEKEMLHLHDAVQTDLKRFLRNPPLVMLHKITDLISAHPLLGALPSMVREPLERSSKEIMKPRGVPLYKEGSKPNGVWLISSGVVKWTSKSVRSKHSLHPTFTHGSTLGLYELLVGKRCICDIITDSVVLCFFIESEKILSLLGSDPAVEDFLWQESAIVIAKLLLPQVFEKMPMQELRALVAERSVMTTYIRGETIEIPHHSIGFLLEGFIKAHGFQDELTASPAVLLPPQGNQSFQKIGISGAQAASFSHQGSRYQVEARARVIIFDIAAFEADGALRRRSSSLVSVDHPHRSFTREHGGLMSWPENLYKPREREQNCVGTCRSENSLSVRAMQLSIFGSMVDMRRHAHSFSGSQVKRSHSLSVLRTASYQQVRVPSEEATYARKSLEVRKLIGKTHAPPLQSTGTNETCIIDNYSDESDAEDELVVRIDSPSRLSFHHAS >Potri.006G119400.1.v4.1 pep chromosome:Pop_tri_v4:6:9397381:9399229:1 gene:Potri.006G119400.v4.1 transcript:Potri.006G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119400.v4.1 MDKMLVPPWLESLLSTQFFTVCRTHEDAARSECNMYCLDCEGDAFCFYCRSSRHKDHQVVQIRRSSYHDVVRVSEIQKVLDTSGVQTYVINSARVLFLNERPQPKSGKGVAHICEICGRSLLDPFRFCSLGCKLEGVKRNGDASFTLEAKNEALMGRREGISGEEEDLREGSQQDIYPPTPPPPPSSARRRKGIPHRAPFGS >Potri.001G259204.1.v4.1 pep chromosome:Pop_tri_v4:1:27473916:27476400:1 gene:Potri.001G259204.v4.1 transcript:Potri.001G259204.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259204.v4.1 MSTSPHHLHHHLHLHLMFTNLHLHLHHHPLPLTFISLLLHHLHHHLHHMSTSPHHPHHHLHHHHTSISHHPHHLLHHLHLMSTSPHHLHHHLHLHLMFTNLHLPHHHHPLPLTFISLLLHHRLHHLLHMSTSPHHPHTSISHHLHHHLPHLHHMFTNPHHLHHLHLLPLTSTSLHLPHLHHPLPLTFISLLLHHHLHHLLHMSTSPHHPHHHLHHPHTSISHHLHHHLPHLHHMFTNPHHLHHLHLLPLTSTSLHLPHLHHPLLLTFISLLLHHLLHHLHLICTSPHHLHHHLHLHLMFTNLHLPHLPHPLLRTFISLLLHLLLLHPLPMSISPHHHHHHLHHHHTSISPHLHLHLHHHHHLHLHLHHHTSTSPRHLHHHHLPHHTSTSPRHLHHHHLLPLICTSLHLPHLRLPLPHTFISLLLHHLHHHHLPISTSLHHPHHHLHLLHMSICPHHPHHHLHHHHTSISHHLHLHLHHHLPHPHLMSTSPHHLHHHLHPHLMFIILHHHHLHRHLLPMYITPHHHHHHHLHHLLPTSINPHHRLLPHHPLLHITRQIKLCFLYSYYVFMKTIIAARSTEMISWWLIFIHSVSMKHPSQELERAT >Potri.002G099400.2.v4.1 pep chromosome:Pop_tri_v4:2:7252371:7263094:1 gene:Potri.002G099400.v4.1 transcript:Potri.002G099400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099400.v4.1 MEISQVEVLEIKDRRVEGLGILQVLPDELICSILDNLTPRDVARFACVSSVMYILCNEEPLWMSLCLNRVNGPLQYKGSWKKTALDVENVPEEYQERCGKPLHFNGFSSLFLYKRLYRCHTTLSGFNFDDGNVERRGDLSLEEFSQEYDGRKPVLLAGLADTWPARNTWTIDQLSLKYGDIAFRISQRSCKKISMKIKDYVSYMYLQHDEDPLYIFDDKFGETAPSLLKDYSVPHLFQEDLFEVLDGEQRPPFRWLIMGPERSGASWHVDPSLTSAWNTLLCGRKRWALYPPGRVPLGVTVHVNEDDGDVNIDTPSSLQWWLDFYPLLPDEDKPIECTQLPGETIFVPSGWWHCVLNLEPTVAVTQNFVNSKNFEYVCLDMAPGYRHKGVCRVGLLALDDSSLEDVKMNMDYDKDDLSYADLTRKEKRIRVQEPIEDPEYKTTANGASKSYNLWKQGFSYDIKFLAMYLDKDRNHYSSPWSSGNSIGPREMREWLSKLWLGRPGLRELVWKGACLAIQADKWLDCLQEICAFHNLPSPTADEKLPVGTGSNPVYLLADCAIKILVEGGLEATMYALGTELEFYSLLSKVNSPLKNHVPDVLASGILYLDNGALKIVPWDGKGVPIVIGNCNLVPENWKEDDFLFGVWGKKQFECRKAGMPMNEPINSSGCTSIWPFIITRRCKGKIFAQLRDMLSCEEVLNLTSFLGEQLRNLHLLPCPSLKKSTFSDIKLKVKLPFADGYMDDIPTPEIPEEWNIFIRTLCRRKMNVTNCLENWGDPIPRTLIEKVDDYIPDDLTKLLNTFQAENGTNKICKPCSWIHSDIMDDNVHMEPYWISSCSRGNASDACLADNDCAAGNDHGVDKSWCPSHILDFSNLSIGDRIYDVIPIYLDIFRGDSSLFKQFLESYRLPFLTRNQEEVIDGGDKFERLSYHAMCYCILNEENILGAIFSIWKELRMAKSWEEVELSVWGELNNYKCVS >Potri.009G045200.1.v4.1 pep chromosome:Pop_tri_v4:9:5155855:5157551:1 gene:Potri.009G045200.v4.1 transcript:Potri.009G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045200.v4.1 MEVSWEQSVTDSINTIYLLFSAYLVFVMQLGFAMLCAGSVRAKNAMNIMLTNVVDAVVGSISYYLFGFAFAFGDCTNSNPFIGTTFFALKDIPNTSYDYSYFLYQWAFAIAVAGITSGSIAERTQFSAYLVFSFFLSGFVYPIVVHWVWSSNGWLSPSSDMLFGSGAIDFAGSGVVHLVGGIAGLWGSFIQGPRVGRFDAFGKPVPMRGHNATLVVLGTFLLWFGWFGFNPGSFGKILVAYPNTTYQGNWTGIGRTAVTTTLAGSTAGLTTLFGRRLLVGHWDALDACNGLLGGFVAITSGCSVVEPWAAIVCGFCAAWVLIGLNVLALKLQFDDPLEATQLHGGCGAWGLIFTGLFAKKEFVIQVYNSGEAGVVRPYGLLLGGGWGLIGCQVVELLAIVAWVSITMGPVFFALDKLKMLRISIDEEVAGLDISSHGGYAYTTHPEENHPRFYADYMPIQGRNHS >Potri.001G028900.1.v4.1 pep chromosome:Pop_tri_v4:1:2175324:2176135:-1 gene:Potri.001G028900.v4.1 transcript:Potri.001G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028900.v4.1 MVQCFSIVSVLILTVLAASMAVLPLMLPPLPPPPLILLFFPVGIMAALMFLALSPSDAAANVALYTV >Potri.010G225000.1.v4.1 pep chromosome:Pop_tri_v4:10:20895055:20896972:1 gene:Potri.010G225000.v4.1 transcript:Potri.010G225000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225000.v4.1 MDLGSCENSDDHQFLEKDPTGRFVRSDEILGGGVVKTAYRAFDEVDGVEVAWKQVNVEHVSPKQLERLTTEARLLKSLKDKNIIKIYDFWIDDEKKTLNMITEIFVSGSLSQYCKKHKGVNAKAVKNWARQILRGLHYLHTHEPPIIHGDLRCDNIFVNGNNGEVKIGDLGLAIVMQRPTGLCDLGTPAYMAPDQLCEEEYNELVDVYSFGMCMLEMVTREYPCCECKNPGQIYKKVISGVKPASLDKVNDPQVKQFIEKCLVPASLRLSAIELLKDPFLATENSKDTVSSSMKLPNNLMPKQVISLPLAESH >Potri.012G012390.1.v4.1 pep chromosome:Pop_tri_v4:12:113098:114337:1 gene:Potri.012G012390.v4.1 transcript:Potri.012G012390.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012390.v4.1 MLPAHISTFLRDAETIDAEYIKMGERIDQGIVGASLMFDSCIITRNESVSFARLATKLHIR >Potri.012G012390.2.v4.1 pep chromosome:Pop_tri_v4:12:113098:114337:1 gene:Potri.012G012390.v4.1 transcript:Potri.012G012390.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012390.v4.1 MLPAHISTFLRDAETIDAEYIKMGERIDQGIVGASLMFDSCIITRNESVSFARLATKLHIR >Potri.001G294400.1.v4.1 pep chromosome:Pop_tri_v4:1:30587650:30595107:-1 gene:Potri.001G294400.v4.1 transcript:Potri.001G294400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294400.v4.1 MALKRSSLNLFLGFLVISLIAPRSIARFVVEKNSLRVTSPDKIKGTYDSAIGNFGIPQYGGSMAGAVVYPKDNKKGCKEFDGFGISFQSKPGALPTFVLVDRGDCFFALKVWNAQKAGASAVLVADDMEEPLITMDTPAEDASSAQYIENITIPSALIEKSFSETLKKALSNGDMVNVNLDWREAVPHPDDRVEYELWTNSNDECGVKCDMLMEFVKDFRGAAQILEKGGYTQFTPHYITWYCPQAFTLSRQCKSQCINHGRYCAPDPEQDFSSGYDGKDVVLENLRQLCVFKVANETKKPWVWWDYVTDFQIRCPMKEKKYNKECADAVIKSLGLDGKKIDKCMGDPKANSDNPVLKEEQDAQVGKGTRGDVTILPTLVVNNRQYRGKLEKGAVLKALCSGFEETTEPAVCLSGDVETNECLDNNGGCWQDKIANITACRDTFRGRVCECPLVDGVQFKGDGYKNCEVSGSGRCKINNGGCWHESQDGHTFSACLDVDGGKCQCPPGFKGDGVKSCLDVDECKERKACQCPACSCKDTWGSYECSCSGDLLYMRDHDTCISKSGTEVKSAWTVVWVIFIGLAMAAGGGYLVYKHKLRSYMDSEIRAIMAQYMPLDSQAEVPNHVHEERA >Potri.001G141100.1.v4.1 pep chromosome:Pop_tri_v4:1:11517908:11520605:1 gene:Potri.001G141100.v4.1 transcript:Potri.001G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141100.v4.1 MALEALSSSEFLNFIIYDTIPATPYNCHDSLETAGFLLENLKPQDHGVSVNSSSLMTQQRCSMGREATNRRQNLLAVQGKKKRRRKPRVCKNREEAETQRMTHIAVERNRRKLMNGYLAVLRSLMPESYVQRGDQASIVGGAIEFVKELEHLLQSLEARKLKLHQGLTGPDYDIEDATETSEFPPPPFAQFFVYPQYTWSQIPNKFTSKTKASIADIEVNLIETHANLRILSRRSPRQLSKLVAGFQTLYLTVLHINVTTMDPLVLYSISAKLEEGCQLTSVDDIAGAVHHMLRIIEEATALC >Potri.004G235900.3.v4.1 pep chromosome:Pop_tri_v4:4:24030947:24035911:-1 gene:Potri.004G235900.v4.1 transcript:Potri.004G235900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235900.v4.1 MSDRLTRIAIVTSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPRAVQGNVGQVLDQKDERDKKAELCRDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFRDESLTFKVAETPQENAEEIQTYARYKYPTMSKTQGNFKLRVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGSEAEIPEFNVSYKPQKISPKFQFSVRQLLHSKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMASYLADRVIVYEGQPSVDCTANSPQSLLTGMNLFLSHLDITFRRDPSNYRPRINKLESTKDREQKAAGSYYYLDD >Potri.004G235900.1.v4.1 pep chromosome:Pop_tri_v4:4:24030553:24036034:-1 gene:Potri.004G235900.v4.1 transcript:Potri.004G235900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235900.v4.1 MSDRLTRIAIVTSDRCKPKKCRQECKKSCPVVKTGKLCIEVTPASKIAFISEELCIGCGICVKKCPFEAIQIINLPKDLDKDTTHRYGPNTFKLHRLPVPRPGQVLGLVGTNGIGKSTALKVLAGKLKPNLGRFNNPPDWQEILTYFRGSELQNYFTRILEDNLKAIIKPQYVDHIPRAVQGNVGQVLDQKDERDKKAELCRDLELNQVIDRNVGDLSGGELQRFAIAVVAIQNAEIYMFDEPSSYLDVKQRLKAAQVIRSLLRPNSYVIVVEHDLSVLDYLSDFICCLYGKPGAYGVVTLPFSVREGINIFLSGFVPTENLRFRDESLTFKVAETPQENAEEIQTYARYKYPTMSKTQGNFKLRVVEGEFTDSQIVVMLGENGTGKTTFIRMLAGLLKPDTVEGSEAEIPEFNVSYKPQKISPKFQFSVRQLLHSKIRDSYMHPQFVSDVMKPLLIEQLMDQEVVNLSGGELQRVALCLCLGKPADIYLIDEPSAYLDSEQRIVASKVIKRFILHAKKTAFVVEHDFIMASYLADRVIVYEGQPSVDCTANSPQSLLTGMNLFLSHLDITFRRDPSNYRPRINKLESTKDREQKAAGSYYYLDD >Potri.013G119325.1.v4.1 pep chromosome:Pop_tri_v4:13:12756781:12757782:-1 gene:Potri.013G119325.v4.1 transcript:Potri.013G119325.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119325.v4.1 MDWHVQNKSNLPYVDSFSGHSSFVEVIRRGRDKKQSVLGDDKSSPAYNDFNQNLTFDVVEGNMEWSSRSAVAYARSPETIPNLHEYFSMEGVSCCLPRPMGGNAGLLTFGSCSLLHGMIDERCEWLS >Potri.016G085101.1.v4.1 pep chromosome:Pop_tri_v4:16:6667536:6667652:-1 gene:Potri.016G085101.v4.1 transcript:Potri.016G085101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085101.v4.1 MSMMFFYFLKIIFDISTSKRSKKYKPHSILAKKKKFKI >Potri.013G146200.1.v4.1 pep chromosome:Pop_tri_v4:13:14365997:14370790:-1 gene:Potri.013G146200.v4.1 transcript:Potri.013G146200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146200.v4.1 MRRRPVDFRRPVRRRVSNVVVWSLCGTVVLLFIVIFSKESRIESRPTSSIKDFTKYVKNIEGLNITDEMLSPTSVTRQLSDQISLAKAFVVIAKESNNIQFAWELSAQIRNSQVLLSSVATRRAPLTTRESETAIRDMALLLLHAQQLHYDSATMIMRLKTKIQTLDEQMGAVSEKSSKYGQIAAEEIPKGLYCLGIRLTTEWFGNSNLHRRMNERMHIETKLRDNSLYHFCVFSDNILATSVVVNSTTLNSKNPDMVVFHLVTDEINYAAMKAWFSMNTFRGVTIEVQKFEDFKWLNASYVPVLKQLQDSETQSYYFSGHNNDGQTPIKFRNPKYLSMLNHLRFYIPEVFPALEKVVFLDDDVVVQKDLSGLFSIDLNSNVNGAVETCMETFHRYHKYLNYSHPLIREHFDPDACGWAFGMNVFDLVEWRKRNVTEIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGLGYTNVDPHLIEKGAVLHFNGNSKPWLKIGMEKYKSLWEKYVDYSHPLLQQCNFH >Potri.006G183101.1.v4.1 pep chromosome:Pop_tri_v4:6:18929739:18930038:-1 gene:Potri.006G183101.v4.1 transcript:Potri.006G183101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183101.v4.1 KCCPPTKPTSPHRPIIQYARNTLLQPPKKSHLHHCLMSQKNTYFSPNYVGFFGFSLSWSEFHRENRKARTFPFLSLSLISLHYPHPSRSHLSFYYFLPIP >Potri.011G052400.7.v4.1 pep chromosome:Pop_tri_v4:11:4177934:4185572:1 gene:Potri.011G052400.v4.1 transcript:Potri.011G052400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052400.v4.1 MDVGQRPYPTRVRSDLHARPQPPPPPEPSVYNIIPIHDLLTDHPSLRYPEVRAAASALRTVGDLRKPPYVTWDPHWDLMDWLGVFFGFQNDSVRNQREHLVLHLANSQMRLEKPPPVPDALDPAVVRRFRKKLLGNYTSWCSYLRRKSEVILPKATNDNSLRRELLYVGLFLLVWGESANLRFVPECICYIYHHMAMELNKVLDDWPDPNTGRAFLPSISGDCAFLKSIVMPFYKTIKTEVESSRNGSKPHSAWRNYDDINEFFWSRRCFRKLKWPIDFSCNFFADVEKIRRVGKTGFVEQRSFWNVFRSFDKLWVLLILYFQASLIVAWERTEYPWQALERRDVQVELLTCFITWSGLRFVQSVLDAGTQYSLVSRETLLLGVRMGLKGMAALTWTVVFGVFYGRIWSAKNSAGFWSSEADRRIVTFLEAAFVFVIPELLALLFFVLPWIRNALEELDWSILYVFTWWFHTRIFVGRGLREGLLNNISYTLFWIAVLASKFVFSYFLQIKPLVAPTQALLDLGRVSYNWHEFFSSSNRISVVLLWLPVVLIYLMDLQIWYAIFSSFVGAAIGLFSHLGEIRNVEQLRLRFQFFASAMQFNLMPEEQLLSPKMTLVKKLRDAIHRLKLRYGLGQPYRKIESSQVEATRFALIWNEIVTTFREEDLISDREFELLELPPNCWSIRVIRWPCILLSNELLLALNQAKELADAPDRWIWLKASQSEYRRCAIIEAYDSIKYLLLTVVKRGTEENSIVAKIFQEIDEKIHIEKFTESYKMNLLEDILSKLISLVELLMRPWKDLSKAVNILQALYEIYVREFPKSKRNTLQLKQDGLAPHGPASGEGLLFEDAIEFPDAEDEFFNRQVRRLHTVLTSRDSMHDVPKNIEARRRIAFFSNSVFMNMPHAPNVEKMMAFSVLTPYYEEDVCFGKQDIRTPNEDGISIIFYLQKIYEDEWNNFMERMRREGTENENEIWEKRSRDLRLWASHRGQTLSRTVRGMMYYYRALKTLSYLDSASEMDIRMGTQELASHHSLRNNRGLDGLNSIKPPSAPKLTKASSNVSLLFKGHEYGSALMKFTYVVACQLYGQQKAKPDHRAEEILYLMKNNEALRVAYVDEVNLGRDGVEYYSVLVKYDQQLQREVEIYRIRLPGSIKIGEGKPENQNHAIIFTRGDALQTIDMNQDNYFEEALKMRNLLEEFKAFYGIRRPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLPRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFYFSTVGFYFNTMMVVLTVYTFLWGRLYLALSGVEKYALKHSSNNKALGTILNQQFIIQLGLFTALPMIVENTLEHGFLPALWDFLTMQLQLASLFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAVELGVILTVYAANSPLARNTFVYIAMTISSWFLVISWIMAPFVFNPSGFDWLKTVYDFGGFNNWIWYSGGVFTKAEQSWETWWYEEQSHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLDISGGSTSIVVYLISWTYMVVAVGIYVIIAYASDKFAAKEHIKYRLAQLIVIVLIVLVVVLMLKFTNLTVLDLVSSLLAFIPTGWGFICIAQVLRPFLESTVVWDTVVSLARLYDLLFGVIVMAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRILTGKKSN >Potri.011G052400.5.v4.1 pep chromosome:Pop_tri_v4:11:4177949:4185325:1 gene:Potri.011G052400.v4.1 transcript:Potri.011G052400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052400.v4.1 MDVGQRPYPTRVRSDLHARPQPPPPPEPSVYNIIPIHDLLTDHPSLRYPEVRAAASALRTVGDLRKPPYVTWDPHWDLMDWLGVFFGFQNDSVRNQREHLVLHLANSQMRLEKPPPVPDALDPAVVRRFRKKLLGNYTSWCSYLRRKSEVILPKATNDNSLRRELLYVGLFLLVWGESANLRFVPECICYIYHHMAMELNKVLDDWPDPNTGRAFLPSISGDCAFLKSIVMPFYKTIKTEVESSRNGSKPHSAWRNYDDINEFFWSRRCFRKLKWPIDFSCNFFADVEKIRRVGKTGFVEQRSFWNVFRSFDKLWVLLILYFQASLIVAWERTEYPWQALERRDVQVELLTCFITWSGLRFVQSVLDAGTQYSLVSRETLLLGVRMGLKGMAALTWTVVFGVFYGRIWSAKNSAGFWSSEADRRIVTFLEAAFVFVIPELLALLFFVLPWIRNALEELDWSILYVFTWWFHTRIFVGRGLREGLLNNISYTLFWIAVLASKFVFSYFLQIKPLVAPTQALLDLGRVSYNWHEFFSSSNRISVVLLWLPVVLIYLMDLQIWYAIFSSFVGAAIGLFSHLGEIRNVEQLRLRFQFFASAMQFNLMPEEQLLSPKMTLVKKLRDAIHRLKLRYGLGQPYRKIESSQVEATRFALIWNEIVTTFREEDLISDREFELLELPPNCWSIRVIRWPCILLSNELLLALNQAKELADAPDRWIWLKASQSEYRRCAIIEAYDSIKYLLLTVVKRGTEENSIVAKIFQEIDEKIHIEKFTESYKMNLLEDILSKLISLVELLMRPWKDLSKAVNILQALYEIYVREFPKSKRNTLQLKQDGLAPHGPASGEGLLFEDAIEFPDAEDEFFNRQVRRLHTVLTSRDSMHDVPKNIEARRRIAFFSNSVFMNMPHAPNVEKMMAFSVLTPYYEEDVCFGKQDIRTPNEDGISIIFYLQKIYEDEWNNFMERMRREGTENENEIWEKRSRDLRLWASHRGQTLSRTVRGMMYYYRALKTLSYLDSASEMDIRMGTQELASHHSLRNNRGLDGLNSIKPPSAPKLTKASSNVSLLFKGHEYGSALMKFTYVVACQLYGQQKAKPDHRAEEILYLMKNNEALRVAYVDEVNLGRDGVEYYSVLVKYDQQLQREVEIYRIRLPGSIKIGEGKPENQNHAIIFTRGDALQTIDMNQDNYFEEALKMRNLLEEFKAFYGIRRPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLPRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFYFSTVGFYFNTMMVVLTVYTFLWGRLYLALSGVEKYALKHSSNNKALGTILNQQFIIQLGLFTALPMIVENTLEHGFLPALWDFLTMQLQLASLFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAVELGVILTVYAANSPLARNTFVYIAMTISSWFLVISWIMAPFVFNPSGFDWLKTVYDFGGFNNWIWYSGGVFTKAEQSWETWWYEEQSHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLDISGGSTSIVVYLISWTYMVVAVGIYVIIAYASDKFAAKEHIKYRLAQLIVIVLIVLVVVLMLKFTNLTVLDLVSSLLAFIPTGWGFICIAQVLRPFLESTVVWDTVVSLARLYDLLFGVIVMAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRILTGKKSN >Potri.011G052400.1.v4.1 pep chromosome:Pop_tri_v4:11:4177958:4185323:1 gene:Potri.011G052400.v4.1 transcript:Potri.011G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052400.v4.1 MDVGQRPYPTRVRSDLHARPQPPPPPEPSVYNIIPIHDLLTDHPSLRYPEVRAAASALRTVGDLRKPPYVTWDPHWDLMDWLGVFFGFQNDSVRNQREHLVLHLANSQMRLEKPPPVPDALDPAVVRRFRKKLLGNYTSWCSYLRRKSEVILPKATNDNSLRRELLYVGLFLLVWGESANLRFVPECICYIYHHMAMELNKVLDDWPDPNTGRAFLPSISGDCAFLKSIVMPFYKTIKTEVESSRNGSKPHSAWRNYDDINEFFWSRRCFRKLKWPIDFSCNFFADVEKIRRVGKTGFVEQRSFWNVFRSFDKLWVLLILYFQASLIVAWERTEYPWQALERRDVQVELLTCFITWSGLRFVQSVLDAGTQYSLVSRETLLLGVRMGLKGMAALTWTVVFGVFYGRIWSAKNSAGFWSSEADRRIVTFLEAAFVFVIPELLALLFFVLPWIRNALEELDWSILYVFTWWFHTRIFVGRGLREGLLNNISYTLFWIAVLASKFVFSYFLQIKPLVAPTQALLDLGRVSYNWHEFFSSSNRISVVLLWLPVVLIYLMDLQIWYAIFSSFVGAAIGLFSHLGEIRNVEQLRLRFQFFASAMQFNLMPEEQLLSPKMTLVKKLRDAIHRLKLRYGLGQPYRKIESSQVEATRFALIWNEIVTTFREEDLISDREFELLELPPNCWSIRVIRWPCILLSNELLLALNQAKELADAPDRWIWLKASQSEYRRCAIIEAYDSIKYLLLTVVKRGTEENSIVAKIFQEIDEKIHIEKFTESYKMNLLEDILSKLISLVELLMRPWKDLSKAVNILQALYEIYVREFPKSKRNTLQLKQDGLAPHGPASGEGLLFEDAIEFPDAEDEFFNRQVRRLHTVLTSRDSMHDVPKNIEARRRIAFFSNSVFMNMPHAPNVEKMMAFSVLTPYYEEDVCFGKQDIRTPNEDGISIIFYLQKIYEDEWNNFMERMRREGTENENEIWEKRSRDLRLWASHRGQTLSRTVRGMMYYYRALKTLSYLDSASEMDIRMGTQELASHHSLRNNRGLDGLNSIKPPSAPKLTKASSNVSLLFKGHEYGSALMKFTYVVACQLYGQQKAKPDHRAEEILYLMKNNEALRVAYVDEVNLGRDGVEYYSVLVKYDQQLQREVEIYRIRLPGSIKIGEGKPENQNHAIIFTRGDALQTIDMNQDNYFEEALKMRNLLEEFKAFYGIRRPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLPRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFYFSTVGFYFNTMMVVLTVYTFLWGRLYLALSGVEKYALKHSSNNKALGTILNQQFIIQLGLFTALPMIVENTLEHGFLPALWDFLTMQLQLASLFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAVELGVILTVYAANSPLARNTFVYIAMTISSWFLVISWIMAPFVFNPSGFDWLKTVYDFGGFNNWIWYSGGVFTKAEQSWETWWYEEQSHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLDISGGSTSIVVYLISWTYMVVAVGIYVIIAYASDKFAAKEHIKYRLAQLIVIVLIVLVVVLMLKFTNLTVLDLVSSLLAFIPTGWGFICIAQVLRPFLESTVVWDTVVSLARLYDLLFGVIVMAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRILTGKKSN >Potri.011G052400.10.v4.1 pep chromosome:Pop_tri_v4:11:4177975:4183937:1 gene:Potri.011G052400.v4.1 transcript:Potri.011G052400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052400.v4.1 MDVGQRPYPTRVRSDLHARPQPPPPPEPSVYNIIPIHDLLTDHPSLRYPEVRAAASALRTVGDLRKPPYVTWDPHWDLMDWLGVFFGFQNDSVRNQREHLVLHLANSQMRLEKPPPVPDALDPAVVRRFRKKLLGNYTSWCSYLRRKSEVILPKATNDNSLRRELLYVGLFLLVWGESANLRFVPECICYIYHHMAMELNKVLDDWPDPNTGRAFLPSISGDCAFLKSIVMPFYKTIKTEVESSRNGSKPHSAWRNYDDINEFFWSRRCFRKLKWPIDFSCNFFADVEKIRRVGKTGFVEQRSFWNVFRSFDKLWVLLILYFQASLIVAWERTEYPWQALERRDVQVELLTCFITWSGLRFVQSVLDAGTQYSLVSRETLLLGVRMGLKGMAALTWTVVFGVFYGRIWSAKNSAGFWSSEADRRIVTFLEAAFVFVIPELLALLFFVLPWIRNALEELDWSILYVFTWWFHTRIFVGRGLREGLLNNISYTLFWIAVLASKFVFSYFLQIKPLVAPTQALLDLGRVSYNWHEFFSSSNRISVVLLWLPVVLIYLMDLQIWYAIFSSFVGAAIGLFSHLGEIRNVEQLRLRFQFFASAMQFNLMPEEQLLSPKMTLVKKLRDAIHRLKLRYGLGQPYRKIESSQVEATRFALIWNEIVTTFREEDLISDREFELLELPPNCWSIRVIRWPCILLSNELLLALNQAKELADAPDRWIWLKASQSEYRRCAIIEAYDSIKYLLLTVVKRGTEENSIVAKIFQEIDEKIHIEKFTESYKMNLLEDILSKLISLVELLMRPWKDLSKAVNILQALYEIYVREFPKSKRNTLQLKQDGLAPHGPASGEGLLFEDAIEFPDAEDEFFNRQVRRLHTVLTSRDSMHDVPKNIEARRRIAFFSNSVFMNMPHAPNVEKMMAFSVLTPYYEEDVCFGKQDIRTPNEDGISIIFYLQKIYEDEWNNFMERMRREGTENENEIWEKRSRDLRLWASHRGQTLSRTVRGMMYYYRALKTLSYLDSASEMDIRMGTQELASHHSLRNNRGLDGLNSIKPPSAPKLTKASSNVSLLFKGHEYGSALMKFTYVVACQLYGQQKAKPDHRAEEILYLMKNNEALRVAYVDEVNLGRDGVEYYSVLVKYDQQLQREVEIYRIRLPGSIKIGEGKPENQNHAIIFTRGDALQTIDMNQDNYFEEALKMRNLLEEFKAFYGIRRPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLPRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFYFSTVGFYFNTMMVVLTVYTFLWGRLYLALSGVEKYALKHSSNNKALGTILNQQFIIQLGLFTALPMIVENTLEHGFLPALWDFLTMQLQLASLFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAVELGVILTVYAANSPLARNTFVYIAMTISSWFLVISWIMAPFVFNPSGFDWLKTVYDFGGFNNWIWYSGGVFTKAEQSWETWWYEEQSHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLDISGGSTSIVVYLISWTYMVVAVGIYVIIAYASDKFAAKEHIKYRLAQLIVIVLIVLVVVLMLKFTNLTVLDLVSSLLAFIPTGWGFICIAQVLRPFLESTVVWDTVVSLARLYDLLFGVIVMAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRILTGKKSN >Potri.011G052400.9.v4.1 pep chromosome:Pop_tri_v4:11:4177926:4185331:1 gene:Potri.011G052400.v4.1 transcript:Potri.011G052400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052400.v4.1 MDVGQRPYPTRVRSDLHARPQPPPPPEPSVYNIIPIHDLLTDHPSLRYPEVRAAASALRTVGDLRKPPYVTWDPHWDLMDWLGVFFGFQNDSVRNQREHLVLHLANSQMRLEKPPPVPDALDPAVVRRFRKKLLGNYTSWCSYLRRKSEVILPKATNDNSLRRELLYVGLFLLVWGESANLRFVPECICYIYHHMAMELNKVLDDWPDPNTGRAFLPSISGDCAFLKSIVMPFYKTIKTEVESSRNGSKPHSAWRNYDDINEFFWSRRCFRKLKWPIDFSCNFFADVEKIRRVGKTGFVEQRSFWNVFRSFDKLWVLLILYFQASLIVAWERTEYPWQALERRDVQVELLTCFITWSGLRFVQSVLDAGTQYSLVSRETLLLGVRMGLKGMAALTWTVVFGVFYGRIWSAKNSAGFWSSEADRRIVTFLEAAFVFVIPELLALLFFVLPWIRNALEELDWSILYVFTWWFHTRIFVGRGLREGLLNNISYTLFWIAVLASKFVFSYFLQIKPLVAPTQALLDLGRVSYNWHEFFSSSNRISVVLLWLPVVLIYLMDLQIWYAIFSSFVGAAIGLFSHLGEIRNVEQLRLRFQFFASAMQFNLMPEEQLLSPKMTLVKKLRDAIHRLKLRYGLGQPYRKIESSQVEATRFALIWNEIVTTFREEDLISDREFELLELPPNCWSIRVIRWPCILLSNELLLALNQAKELADAPDRWIWLKASQSEYRRCAIIEAYDSIKYLLLTVVKRGTEENSIVAKIFQEIDEKIHIEKFTESYKMNLLEDILSKLISLVELLMRPWKDLSKAVNILQALYEIYVREFPKSKRNTLQLKQDGLAPHGPASGEGLLFEDAIEFPDAEDEFFNRQVRRLHTVLTSRDSMHDVPKNIEARRRIAFFSNSVFMNMPHAPNVEKMMAFSVLTPYYEEDVCFGKQDIRTPNEDGISIIFYLQKIYEDEWNNFMERMRREGTENENEIWEKRSRDLRLWASHRGQTLSRTVRGMMYYYRALKTLSYLDSASEMDIRMGTQELASHHSLRNNRGLDGLNSIKPPSAPKLTKASSNVSLLFKGHEYGSALMKFTYVVACQLYGQQKAKPDHRAEEILYLMKNNEALRVAYVDEVNLGRDGVEYYSVLVKYDQQLQREVEIYRIRLPGSIKIGEGKPENQNHAIIFTRGDALQTIDMNQDNYFEEALKMRNLLEEFKAFYGIRRPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLPRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFYFSTVGFYFNTMMVVLTVYTFLWGRLYLALSGVEKYALKHSSNNKALGTILNQQFIIQLGLFTALPMIVENTLEHGFLPALWDFLTMQLQLASLFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAVELGVILTVYAANSPLARNTFVYIAMTISSWFLVISWIMAPFVFNPSGFDWLKTVYDFGGFNNWIWYSGGVFTKAEQSWETWWYEEQSHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLDISGGSTSIVVYLISWTYMVVAVGIYVIIAYASDKFAAKEHIKYRLAQLIVIVLIVLVVVLMLKFTNLTVLDLVSSLLAFIPTGWGFICIAQVLRPFLESTVVWDTVVSLARLYDLLFGVIVMAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRILTGKKSN >Potri.011G052400.8.v4.1 pep chromosome:Pop_tri_v4:11:4177950:4185338:1 gene:Potri.011G052400.v4.1 transcript:Potri.011G052400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052400.v4.1 MDVGQRPYPTRVRSDLHARPQPPPPPEPSVYNIIPIHDLLTDHPSLRYPEVRAAASALRTVGDLRKPPYVTWDPHWDLMDWLGVFFGFQNDSVRNQREHLVLHLANSQMRLEKPPPVPDALDPAVVRRFRKKLLGNYTSWCSYLRRKSEVILPKATNDNSLRRELLYVGLFLLVWGESANLRFVPECICYIYHHMAMELNKVLDDWPDPNTGRAFLPSISGDCAFLKSIVMPFYKTIKTEVESSRNGSKPHSAWRNYDDINEFFWSRRCFRKLKWPIDFSCNFFADVEKIRRVGKTGFVEQRSFWNVFRSFDKLWVLLILYFQASLIVAWERTEYPWQALERRDVQVELLTCFITWSGLRFVQSVLDAGTQYSLVSRETLLLGVRMGLKGMAALTWTVVFGVFYGRIWSAKNSAGFWSSEADRRIVTFLEAAFVFVIPELLALLFFVLPWIRNALEELDWSILYVFTWWFHTRIFVGRGLREGLLNNISYTLFWIAVLASKFVFSYFLQIKPLVAPTQALLDLGRVSYNWHEFFSSSNRISVVLLWLPVVLIYLMDLQIWYAIFSSFVGAAIGLFSHLGEIRNVEQLRLRFQFFASAMQFNLMPEEQLLSPKMTLVKKLRDAIHRLKLRYGLGQPYRKIESSQVEATRFALIWNEIVTTFREEDLISDREFELLELPPNCWSIRVIRWPCILLSNELLLALNQAKELADAPDRWIWLKASQSEYRRCAIIEAYDSIKYLLLTVVKRGTEENSIVAKIFQEIDEKIHIEKFTESYKMNLLEDILSKLISLVELLMRPWKDLSKAVNILQALYEIYVREFPKSKRNTLQLKQDGLAPHGPASGEGLLFEDAIEFPDAEDEFFNRQVRRLHTVLTSRDSMHDVPKNIEARRRIAFFSNSVFMNMPHAPNVEKMMAFSVLTPYYEEDVCFGKQDIRTPNEDGISIIFYLQKIYEDEWNNFMERMRREGTENENEIWEKRSRDLRLWASHRGQTLSRTVRGMMYYYRALKTLSYLDSASEMDIRMGTQELASHHSLRNNRGLDGLNSIKPPSAPKLTKASSNVSLLFKGHEYGSALMKFTYVVACQLYGQQKAKPDHRAEEILYLMKNNEALRVAYVDEVNLGRDGVEYYSVLVKYDQQLQREVEIYRIRLPGSIKIGEGKPENQNHAIIFTRGDALQTIDMNQDNYFEEALKMRNLLEEFKAFYGIRRPTILGVRENIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLPRGGISKASKVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFYFSTVGFYFNTMMVVLTVYTFLWGRLYLALSGVEKYALKHSSNNKALGTILNQQFIIQLGLFTALPMIVENTLEHGFLPALWDFLTMQLQLASLFYTFSMGTRSHFFGRTILHGGAKYRATGRGFVVQHKSFAENYRLYARSHFVKAVELGVILTVYAANSPLARNTFVYIAMTISSWFLVISWIMAPFVFNPSGFDWLKTVYDFGGFNNWIWYSGGVFTKAEQSWETWWYEEQSHLRTTGLWGKLLEIILDLRFFFFQYGVVYHLDISGGSTSIVVYLISWTYMVVAVGIYVIIAYASDKFAAKEHIKYRLAQLIVIVLIVLVVVLMLKFTNLTVLDLVSSLLAFIPTGWGFICIAQVLRPFLESTVVWDTVVSLARLYDLLFGVIVMAPVALLSWLPGFQSMQTRILFNEAFSRGLQISRILTGKKSN >Potri.005G151500.1.v4.1 pep chromosome:Pop_tri_v4:5:12905182:12912167:1 gene:Potri.005G151500.v4.1 transcript:Potri.005G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151500.v4.1 MWKRLHSFAGSLRDFGGCTRHNNGASSSCQNPGGGDLDRGQRASRVNKGGPTMPFQAVRLYIQDHHVVMDNGIVQVTLSKPAGIVTGIRYDGIDNLLEVLNRETNRGYWDLHWNPPGGNGIFDVISGTSFRVVVENDEQVELSFTRMWDSSLEGKYIPLNIDKRFILLRGSSGFYSYAIYEHLQEWPGFDIGETRITFKLRKDKFQYMAIADNRQRLMPLPDDRLPGRCQALAYPEAVILVNPKLPELTGEVDDKYQYSCENKDNQVHGWICFKPPVGFWQITPSDEFRTAGPLKQNLTSHVGPTTLAMFHSSHYAGKDLVLSISPGEPWKKVFGPVFIYLNSASNGEDPLFLWEDAKMQMMAEVQSWPYSFPASEDFQKSEQRGNVCGRLLVKDRNISDDYILASGAYVGLAPPGDVGSWQTECKDYQFWTRADENGYFSIKNIRTGDYNLYAWVPGFLGDYRWDAIVNIISGCDMDMGDLVYEPPRDGPTLWEIGIPDRSAAEFYIPGPDPKFMNNLYANHPDRFRQYGLWGRYADLYPDTDLVYTVGLSDYRKDWFFAQVVRRKDDDTQVGTTWQIKFKLDKVDRNSSYKLRVAIASATLAELQVRVNDAKAQRPLFTSGLIGRDNAIARHGIHGLYRLYNVNIPGARLVEGENTIFLTQPRCTSPFQGLMYDYIRLEGPPFSGSSNEASSSFK >Potri.005G151500.5.v4.1 pep chromosome:Pop_tri_v4:5:12905427:12912183:1 gene:Potri.005G151500.v4.1 transcript:Potri.005G151500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G151500.v4.1 MWKRLHSFAGSLRDFGGCTRHNNGASSSCQNPGGGDLDRGQRASRVNKGGPTMPFQAVRLYIQDHHVVMDNGIVQVTLSKPAGIVTGIRYDGIDNLLEVLNRETNRGYWDLHWNPPGGNGIFDVISGTSFRVVVENDEQVELSFTRMWDSSLEGKYIPLNIDKRFILLRGSSGFYSYAIYEHLQEWPGFDIGETRITFKLRKDKFQYMAIADNRQRLMPLPDDRLPGRCQALAYPEAVILVNPKLPELTGEVDDKYQYSCENKDNQVHGWICFKPPVGFWQITPSDEFRTAGPLKQNLTSHVGPTTLAMFHSSHYAGKDLVLSISPGEPWKKVFGPVFIYLNSASNGEDPLFLWEDAKMQMMAEVQSWPYSFPASEDFQKSEQRGNVCGRLLVKDRNISDDYILASGAYVGLAPPGDVGSWQTECKDYQFWTRADENGYFSIKNIRTGDYNLYAWVPGFLGDYRWDAIVNIISGCDMDMGDLVYEPPRDGPTLWEIGIPDRSAAEFYIPGPDPKFMNNLYANHPDRLALLGFPI >Potri.009G059600.1.v4.1 pep chromosome:Pop_tri_v4:9:6193126:6196138:-1 gene:Potri.009G059600.v4.1 transcript:Potri.009G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059600.v4.1 MATLSFNPTRIPHKPISKITSFSKPSEIPFPLSLKPSKNHVIPLHFQSNIITKLSVSTQEEEVETEKKDYEEDDPTTEMVYLDPETDPDSIVEWELDFCSRPILDVRGKKVWELVVCDDSLSLQFTKYFPNNVINSITLKDAIVSISEDLGVPLPERIRFFRSQMQTIITKACKEIGIKPIPSKRCISLLLWLEERYETVYTRHPGFQKGAKPLLALDNPFPMELPDNLFGEKWAFVQLPYSAVREEIASLETSFFFGASLDLDLLGIEIDDKTMIPGLAVASSRAEPLAAWMNGLEVVAIEADTSRACLILSVGIATRYVYATYKKTPVTTAEAEAWEAAKKACGGLHFLAIQNDLDSDDCVGFWLLLDLPPPPV >Potri.014G185732.1.v4.1 pep chromosome:Pop_tri_v4:14:15380324:15380704:1 gene:Potri.014G185732.v4.1 transcript:Potri.014G185732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185732.v4.1 MIGRADIEGSKSNVAMNAWLPQASYPCGNFSDTSSFKFRRSKGSIGHAFTVRIRTGNQNQTSFYPFVPHEISVLVELILGHLRYLLTDVPPQPNSPPDNVFRPDRPPKRPWVQKEGQRPASDSRNK >Potri.010G226400.1.v4.1 pep chromosome:Pop_tri_v4:10:21001044:21001874:1 gene:Potri.010G226400.v4.1 transcript:Potri.010G226400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226400.v4.1 MGILRATGKKNIGILKLRVVAEKLQKSLSLGWKEASKYRKIHEYHGKCSPLPKDVKVGHFAVIAIENGDPKRFVVPLSYLNHPRFLVLLEEAAEEFGFGHEGALSIPCQWREVEKLLASDN >Potri.001G179300.2.v4.1 pep chromosome:Pop_tri_v4:1:15690738:15691914:-1 gene:Potri.001G179300.v4.1 transcript:Potri.001G179300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179300.v4.1 MVMTWLTQSSLAEFRSVFGNSFNIDSLCLSVSLRSNRHKKTFVIFQGTDEIKTANIRTVDGQILNKESLHELILILQSKMKHFAKKELDKFPFKVKVFQVHSSVVEINFHTSDSLSGH >Potri.019G120200.1.v4.1 pep chromosome:Pop_tri_v4:19:14610765:14617472:1 gene:Potri.019G120200.v4.1 transcript:Potri.019G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120200.v4.1 MAGNLGKEDSSSVQDLQDPSITAHAYTFKHAGSGHKRRRGSVQPSSSTAGKKPKPEIDRDYRERKKKEVEKLKAETEELKKSKSHLDGQAFELRRDLKETREENTKLKIELERQSDSIHKLEKKLIASGEKIHALKEQHAQEILVLKAEHALLRCACILLDIDVGKLLENAREMNNPMETPATAQATSYLGNSDHFAS >Potri.019G120200.2.v4.1 pep chromosome:Pop_tri_v4:19:14610651:14617451:1 gene:Potri.019G120200.v4.1 transcript:Potri.019G120200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120200.v4.1 MAGNLGKEDSSSVQDLQDPSITGSGHKRRRGSVQPSSSTAGKKPKPEIDRDYRERKKKEVEKLKAETEELKKSKSHLDGQAFELRRDLKETREENTKLKIELERQSDSIHKLEKKLIASGEKIHALKEQHAQEILVLKAEHALLRCACILLDIDVGKLLENAREMNNPMETPATAQATSYLGNSDHFAS >Potri.008G169300.1.v4.1 pep chromosome:Pop_tri_v4:8:11706889:11711219:1 gene:Potri.008G169300.v4.1 transcript:Potri.008G169300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169300.v4.1 MRRQCHPLLSGGRTRESKYSHGLSSAEMDSLASLCETILPSLPPPVTTLDGKQHQPTKAVQALYRASGSQTPMPDEIAELLRKRGLPEAVFLVRLVLWLLSTRLGTFLLCGSLCFGEKWLCIKNFSSISLDKREKVLQKWFKRRFFTAIRIAFIYVKVLCVFVFFSRVGQNGDNPAWEAIEYCAGTDENPDQVPKERPLQKGLIDTNQETDSTLLRSLTEKGLEVTRDPRRNLCKIKCDVVIVGSGCGGGVAAAVLAASGQKVFVLEKGNYFTATDYSGLEGPSLAQLYESGGLLTSADAGVIIMAGSAVGGGSVVNWSASIKTPNSVLQEWTKNQKIPLFGSSEYLSAMDTVCARIGVTENCKVEGFQNQVLRKGCERLGVPVKTVPRNSSERHYCGSCGYGCRKGEKKGTDRTWLVDAVDNGAVILTGCKAERFILEKNKGLGKRKKKCLGVIAKIINNNIITRLQIEAKVTISACGALLTPPLMISSGLKNQNIGRNLHLHPVLMAWGYFPESNSEFKGKVYDGGIITAVHEVVAGDSNVRAIVETPGLGPSSFSVLCPWVSGHDMKNRMMKYSRTAHLITIIRDSGSGKVTTEGRISYYLNASDRDNLKVGLRQALRILVAAGAVEVGTHRSDGQRIKCRGIKIEDLEEFLDTVYATGGALSLEEDWMFYSSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPSAVGVNPMITIQSTAYCLSKKIAESLRKD >Potri.008G169300.2.v4.1 pep chromosome:Pop_tri_v4:8:11709115:11711168:1 gene:Potri.008G169300.v4.1 transcript:Potri.008G169300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169300.v4.1 MQSIIILIPANSILLLSIYNIQLYLLLQVGQNGDNPAWEAIEYCAGTDENPDQVPKERPLQKGLIDTNQETDSTLLRSLTEKGLEVTRDPRRNLCKIKCDVVIVGSGCGGGVAAAVLAASGQKVFVLEKGNYFTATDYSGLEGPSLAQLYESGGLLTSADAGVIIMAGSAVGGGSVVNWSASIKTPNSVLQEWTKNQKIPLFGSSEYLSAMDTVCARIGVTENCKVEGFQNQVLRKGCERLGVPVKTVPRNSSERHYCGSCGYGCRKGEKKGTDRTWLVDAVDNGAVILTGCKAERFILEKNKGLGKRKKKCLGVIAKIINNNIITRLQIEAKVTISACGALLTPPLMISSGLKNQNIGRNLHLHPVLMAWGYFPESNSEFKGKVYDGGIITAVHEVVAGDSNVRAIVETPGLGPSSFSVLCPWVSGHDMKNRMMKYSRTAHLITIIRDSGSGKVTTEGRISYYLNASDRDNLKVGLRQALRILVAAGAVEVGTHRSDGQRIKCRGIKIEDLEEFLDTVYATGGALSLEEDWMFYSSAHQMGSCRMGINEKEGAVDENGESWEAEGLFVCDASVLPSAVGVNPMITIQSTAYCLSKKIAESLRKD >Potri.008G123700.1.v4.1 pep chromosome:Pop_tri_v4:8:8045252:8050178:1 gene:Potri.008G123700.v4.1 transcript:Potri.008G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123700.v4.1 MTGGGEASHKRSPRELVCHAGAGASAGAIAATFMCPLDVIKTRLQVHGLPPNSVQGGSIIISSLQHIVKTEGFKGLYRGLSPTIMALLPNWAVYFTVYEQLKGILSDVDGDGQLSVSANMVAAAGAGAATATVTNPLWVVKTRLQTQGMRPDLVPYKNVLSALRRITQEEGIRGLYSGVLPSLAGISHVAIQFPAYEKIKFYMAKRGNTTVDNLSHGDVAIASSVAKILASVLTYPHEVVRSRLQEQGRLRNSEVHYAGVVDCIKKVSRKEGFRGFYRGCATNLMRTTPSAVITFTSYEMILKCFERALPSDKKPSRARTKSHDHAKPQQGSRGNVNSDKDTVSGQSQTQTNKTPSIPMGNQEQLPAGH >Potri.005G172000.1.v4.1 pep chromosome:Pop_tri_v4:5:17659016:17660523:1 gene:Potri.005G172000.v4.1 transcript:Potri.005G172000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172000.v4.1 MERHAVFSYIPRMLKLEVEAKNKQMGLMSSMCRLILVTTIILAAHQFSISSSLPIGVCYGLNGNNLPPPSEVVGLYKRSGIEFIRLYEPRSDVLEALRGSGLAVALCPTNEDLANIAQRPDAADAWVNTNIAPYMSDVLFRWIILGNEVIPGPLANYVPAAIANTRNSLAAIGLANVTVTTAIPGNALEASYPPSAGAFSSDVTDVMIAVAGILASSDAPLMINVYPYFAYASNPSQVPVDYALFAATTPVVTDGSFLYYDLFDAMVDAFHAALEKIGYPGLRVAIGESGWPSAGNDPYTSIDNAMIYNRNLVNHVLTNGTPRRPGEIMETFLFAMFNENLKQGAVEQNFGFFYPNMNPVYPFW >Potri.010G077700.1.v4.1 pep chromosome:Pop_tri_v4:10:10445364:10446175:1 gene:Potri.010G077700.v4.1 transcript:Potri.010G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077700.v4.1 MSQFVSSFFKETTKPLTSGRRPWSVFLDLTLLNLPSSIHDTTTRITQNVLHFLVNYSIILLIILSLSLLNHPLVLIALFITLIAWLSLYFSREEPLWFLGYQVSDWVVLVVLFVVDFLVVIWGGVFQNVVVGGGIAVVLMLLHAALRSTDDLVADDIETSPYANLLSDDDGDYNAPPTGGL >Potri.001G075900.1.v4.1 pep chromosome:Pop_tri_v4:1:5731853:5736659:1 gene:Potri.001G075900.v4.1 transcript:Potri.001G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075900.v4.1 MPKCQPFNTNSILKALNNLFSFPSKFLSLSMHSNFSAHAIPSTKTIETEPLNHTQHCNTTDQENGIEPDPPISDKIFKSGPKMGSYRLGDSTFYSLINNYANLGDFKSLEKVLDRMKCEKRVIFEKCFIVIFKAYGKAHLPEKAVDLFDRMACEFECKRTGKSFNSVLNVIIQEGLFHRALEFYNHVIGAKGVSISPNVLTFNLVIKAMCKVGLVDDAIQVFRDMTIRKCEPDVYTYCTLMDGLCKADRIDEAVSLLDEMQIDGCFPSPVTFNVLINGLCKKGDLSRAAKLVDNMFLKGCIPNEVTYNTLIHGLCLKGKLEKAISLLDRMVSSKCVPNVVTYGTIINGLVKQGRALDGACVLALMEERGYCVNEYVYSTLISGLFKEGKSQEAMHLFKEMTVKGYELNTIVYSAVIDGLCRDGKPDDAVEVLSEMTNKGCTPNAYTCSSLMKGFFEAGNSHRAVEVWKDMAKHNFTQNEVCYSVLIHGLCKDGKVKEAMMVWTQMLGKGCKPDVVAYSSMINGLSIAGLVEDAMQLYNEMLCQGPDSQPDVVTYNILLNTLCKQSSISRAIDLLNSMLDRGCDPDLVTCTIFLRMLREKLDPPQDGREFLDELVVRLLKRQRVLGASKIVEVMLQKLLPPKHSTWARVVENLCKPKKVQAVIQKCWSILY >Potri.018G086000.1.v4.1 pep chromosome:Pop_tri_v4:18:10371244:10381273:-1 gene:Potri.018G086000.v4.1 transcript:Potri.018G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086000.v4.1 MADGESSKEATARTLRNAFGNVLSFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNALAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVALVYHVKGNLSPRMFKVAVTLVVSVGLVVCCAMIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIVTSVYFSGVMVRLMLVLAPAACIMSGIALSEAFNVLTRSIKFQLPGSSGTSQVLLILSMIKSRDTSLNTDGEHNDIAKTEKMDETVKERPSKKNRKKEKEPVEKASIRSRIERRLLVLPLEASVIAIILLVLLGAFYVVHCVWAAAEAYSAPSIVLTTYSRDGGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKAFDRVRQTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPPKNRIRGKTKKSKLKASSTSSSKRSAAGKRNPWH >Potri.018G086000.2.v4.1 pep chromosome:Pop_tri_v4:18:10371281:10381488:-1 gene:Potri.018G086000.v4.1 transcript:Potri.018G086000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086000.v4.1 MADGESSKEATARTLRNAFGNVLSFFILLLIGVLAFSIRLFSVIKYESVIHEFDPYFNYRVTQFLTKNGIYDFWNWFDDRTWYPLGRVIGGTVYPGLTLTAGTLWWLLNSLNIPLSVETVCVFTAPIFSAFASWATYLLTKEVKGTGAGLTAAVLLAMVPSYISRSVAGSYDNEAVAIFALIFTFYLYIKTLNTGSLFYATLNALAYFYMVCSWGGYTFIINLIPMHVLLCIVTGRYSSRLYIAYAPLVVLGTLLAALVPVVGFNAVMTSEHFASFLVFIIIHVVALVYHVKGNLSPRMFKVAVTLVVSVGLVVCCAMIAVLIALVASSPTKGWSGRSLSLLDPTYASKYIPIIASVSEHQPPTWPSYFMDINVLAFLVPAGIIACFLPLSDASSFVVLYIVTSVYFSGVMVRLMLVLAPAACIMSGIALSEAFNVLTRSIKFQLPGSSGTSQSRDTSLNTDGEHNDIAKTEKMDETVKERPSKKNRKKEKEPVEKASIRSRIERRLLVLPLEASVIAIILLVLLGAFYVVHCVWAAAEAYSAPSIVLTTYSRDGGLHVFDDFREAYAWLSHNTEVDDKVASWWDYGYQTTAMANRTVIVDNNTWNNTHIATVGTAMSSPEKAAWEIFNSLDVKYVLVVFGGLVGYPSDDINKFLWMVRIGGGVFPHIKEPDYLRDGQYRIDSQATPTMLNCLMYKLSYYRFVETDGKAFDRVRQTEIGKKYFKLTHFEEVFTTHHWMVRIYKLKPPKNRIRGKTKKSKLKASSTSSSKRSAAGKRNPWH >Potri.014G191100.2.v4.1 pep chromosome:Pop_tri_v4:14:16617921:16630141:1 gene:Potri.014G191100.v4.1 transcript:Potri.014G191100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191100.v4.1 MSGTRLCALLGELGYEGAETLDPDSFEWPFQYDDARPILDWICSSLRSSNVLSLSDLSRYEQFLQEEKLLEGEDLEFAYDSISAFSSRRDNQEEVFGAEEPLKDIRDATSAYKAEALELQKQLRHLQAQFDLLSGQASALIQGRRARVAATSTVNGCLAAVDDTLSARNLRMNEVLGRIASTAQELSHYHSGDEGGIYLAYSDFHQYWLQDSSCTKEINQWFSKQLDTGPFRLVAEEGKSKCSWVSLDDISNILVRDLEQSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVTSDEAHIHLDLHSLRRKHVVLVEELSNLHHKEDKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQECYINRQKMFINHLINQLARHQFLKIACQLEKKNMLGAYSLLKVIESELQGYLSATKGRVGCCLALTQAASDIQEQGAVDDRDTLLHGIRDLLSIHSNAQAGLSIYVSAPGIVQQISALHADLMTLQSDLENSLPEDRNRCIIELCTLIQSLQQLLFASSTTAQPILTPRTLMKELDEMEKINAKLSVAVEEVTLEHCKKNEIVKHHSQEVGLQRRVFVDFFCNPERLRSQVRELTARVRALQVA >Potri.014G191100.7.v4.1 pep chromosome:Pop_tri_v4:14:16617959:16630079:1 gene:Potri.014G191100.v4.1 transcript:Potri.014G191100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191100.v4.1 MSGTRLCALLGELGYEGAETLDPDSFEWPFQYDDARPILDWICSSLRSSNVLSLSDLSRYEQFLQEEKLLEGEDLEFAYDSISAFSSRRDNQEEVFGAEEPLKDIRDATSAYKAEALELQKQLRHLQAQFDLLSGQASALIQGRRARVAATSTVNGCLAAVDDTLSARNLRMNEVLGRIASTAQELSHYHSGDEGGIYLAYSDFHQYWLQDSSCTKEINQWFSKQLDTGPFRLVAEEGKSKCSWVSLDDISNILVRADLEQSHHQRVSELQRLRSIFGTSERQWVEAQVENAKQQAILMVLKSQVTSDEAHIHLDLHSLRRKHVVLVEELSNLHHKEDKLLSETIPDLCWELAQLQDTYILQGDYDLKVMRQECYINRQKMFINHLINQLARHQFLKIACQLEKKNMLGAYSLLKVIESELQGYLSATKGRVGCCLALTQAASDIQEQGAVDDRDTLLHGIRDLLSIHSNAQAGLSIYVSAPGIVQQISALHADLMTLQSDLENSLPEDRNRCIIELCTLIQSLQQLLFASSTTAQPILTPRTLMKELDEMEKINAKLSVAVEEVTLEHCKKNEIVKHHSQEVGLQRRVFVDFFCNPERLRSQVRELTARVRALQVA >Potri.015G112600.1.v4.1 pep chromosome:Pop_tri_v4:15:12872481:12880511:-1 gene:Potri.015G112600.v4.1 transcript:Potri.015G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112600.v4.1 MEKGMQLIDGNGKFNVEGLQDMTTTEFAQCGLSYSVVAIIGPQSSGKSTLMNHVFGTDFKMLNANKGRGQTTKGIWIAKSSEIEPFTIAMDLEGTDSSARGEDNTAFEKQSTLFALAIADTVLVNMWCKDIGLEHAACRPLLKLVFQVMKRLFQPRKRTLLFVIRDHTRTPLEFLETALMKDIEKIWATVAEPETHSSAALSDYFNVEITSLSSYEFEEDKFKDQVAHLKQRFFNSNSPSDLADDRLEVEPASGFSVCAEKIWKTIKDNKDLHIPDPKVMAASVRCEEIAKEKLKQLTSDEKWLELKEDVQAGPVPWFGATLSSILATYLSQYDKEVIYFDQDVRNVKRKQLELNALEVVRDAYVTMLEHLSSNTLETFKTKLEQLLNEGEGFVASARSCARSCLHEFDQRCEDAAIRQSEWNASNVREKLTCDMLSGMMAIYEEQLTDVLADEIQSLFEAGETDTWLSVRNLLESKTENAVSEFSDAVVGFKVHRSAIDTKLEHLRENARNVVKRKAKEAAAAERVLTRMKDRFKQVFNRDEISKSRFWTREKNIDEIERNALSSSLKILSTVAAMRLDKLTDQIEHLLFSSLMDESGDIPSSQRTGATPDPLASNTWEEVSPNDTLLTPVECKSLWMEFKEDMKYKMNQARSDQEALRNAKRVIKIVVGVVGAAAITAVGVPTAMKIAARPEVAAVLKAVTTWLLAVLKYIGMEVLETLKYIGIDVLEMLKDAAAMAVRSLQPEIVAIIIALVTKQSDWRQQYY >Potri.006G146800.2.v4.1 pep chromosome:Pop_tri_v4:6:12556899:12557825:-1 gene:Potri.006G146800.v4.1 transcript:Potri.006G146800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146800.v4.1 MGSKAAAITSPVPVTWYPTLAIFMLAIGLMVSASFFIYEATSSRRSRSLAKELTTGALASFFLGFGSLFMLLASGVYV >Potri.012G018200.14.v4.1 pep chromosome:Pop_tri_v4:12:1972898:1978148:-1 gene:Potri.012G018200.v4.1 transcript:Potri.012G018200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018200.v4.1 MGSLSEEEHRFFDAYEDIASISDAKSDSFEIFDSHSSFDNSVSISLHHELWIKSLGSVQEQRSKFFDWMGIGLNENGNRNLEAFNPEGESDRITESSGAVLRKSCFEDEFCSTRSMMSCWSNGESSLSAELGLMGNFVCREGDSGGGMVCKVDELGQDVKANEGCEVDSEQSVAAEESENISESSPSFQKPMQNEVGEPNTLVDTPRRLKKGWLSRIRSISCIVYSLREADKLRHDDDDDDDALLRYRVQRVKVHRYGKRIKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESERSNELDIPEIDPSCIYFTVNQLSELKPLLVEKERTAKMRSMRKTSDSACVIFPPKVFRILEKPLHEFHGHREEILDLSWSKNNHLLSASVDKTVCLWQVGRDSCLRVFLHSNYVTCVQFNPVDDNHFMSGSIDGKVRIWAVNSCQVVDWTDIKDIVTAVCYRPDGQGGIVGSLTGNCRFYNMSVSPGSDSYLQLDAQICLPGKKKSPCKRITGFQFSPQDSTKVMVSCADSQVRILQGLDVIGKYKSNTANQISASFTLDGKHIISACEDSNVHLWNYIDQEQHATPQSKNSRSYEHFSANASVAIPWCGLKCGSLDNGWGFRVSDNNSQEVLPLSSPAHFSLSQEYFSESFPKGCATWPEEKLSSSPLSTSSAMHKSQYKFLKTSCQSTDSSHAWGLVIVTAGWDGRIKSFHNYGLPVSD >Potri.012G018200.17.v4.1 pep chromosome:Pop_tri_v4:12:1972897:1978007:-1 gene:Potri.012G018200.v4.1 transcript:Potri.012G018200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018200.v4.1 MGSLSEEEHRFFDAYEDIASISDAKSDSFEIFDSHSSFDNSVSISLHHELWIKSLGSVQEQRSKFFDWMGIGLNENGNRNLEAFNPEGESDRITESSGAVLRKSCFEDEFCSTRSMMSCWSNGESSLSAELGLMGNFVCREGDSGGGMVCKVDELGQDVKANEGCEVDSEQSVAAEESENISESSPSFQKPMQNEVGEPNTLVDTPRRLKKGWLSRIRSISCIVYSLREADKLRHDDDDDDDALLRYRVQRVKVHRYGKRIKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESERSNELDIPEIDPSCIYFTVNQLSELKPLLVEKERTAKMRSMRKTSDSACVIFPPKVFRILEKPLHEFHGHREEILDLSWSKNNHLLSASVDKTVCLWQVGRDSCLRVFLHSNYVTCVQFNPVDDNHFMSGSIDGKVRIWAVNSCQVVDWTDIKDIVTAVCYRPDGQGGIVGSLTGNCRFYNMSGSDSYLQLDAQICLPGKKKSPCKRITGFQFSPQDSTKVMVSCADSQVRILQGLDVIGKYKSNTANQISASFTLDGKHIISACEDSNVHLWNYIDQEQHATPQSKNSRSYEHFSANASVAIPWCGLKCGSLDNGWGFRVSDNNSQEVLPLSSPAHFSLSQEYFSESFPKGCATWPEEKLSSSPLSTSSAMHKSQYKFLKTSCQSTDSSHAWGLVIVTAGWDGRIKSFHNYGLPVSD >Potri.012G018200.16.v4.1 pep chromosome:Pop_tri_v4:12:1972832:1978163:-1 gene:Potri.012G018200.v4.1 transcript:Potri.012G018200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018200.v4.1 MGSLSEEEHRFFDAYEDIASISDAKSDSFEIFDSHSSFDNSVSISLHHELWIKSLGSVQEQRSKFFDWMGIGLNENGNRNLEAFNPEGESDRITESSGAVLRKSCFEDEFCSTRSMMSCWSNGESSLSAELGLMGNFVCREGDSGGGMVCKVDELGQDVKANEGCEVDSEQSVAAEESENISESSPSFQKPMQNEVGEPNTLVDTPRRLKKGWLSRIRSISCIVYSLREADKLRHDDDDDDDALLRYRVQRVKVHRYGKRIKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESERSNELDIPEIDPSCIYFTVNQLSELKPLLVEKERTAKMRSMRKTSDSACVIFPPKVFRILEKPLHEFHGHREEILDLSWSKNNHLLSASVDKTVCLWQVGRDSCLRVFLHSNYVTCVQFNPVDDNHFMSGSIDGKVRIWAVNSCQVVDWTDIKDIVTAVCYRPDGQGGIVGSLTGNCRFYNMSGSDSYLQLDAQICLPGKKKSPCKRITGFQFSPQDSTKVMVSCADSQVRILQGLDVIGKYKSNTANQISASFTLDGKHIISACEDSNVHLWNYIDQEQHATPQSKNSRSYEHFSANASVAIPWCGLKCGSLDNGWGFRVSDNNSQEVLPLSSPAHFSLSQEYFSESFPKGCATWPEEKLSSSPLSTSSAMHKSQYKFLKTSCQSTDSSHAWGLVIVTAGWDGRIKSFHNYGLPVSD >Potri.012G018200.15.v4.1 pep chromosome:Pop_tri_v4:12:1972897:1978007:-1 gene:Potri.012G018200.v4.1 transcript:Potri.012G018200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018200.v4.1 MGSLSEEEHRFFDAYEDIASISDAKSDSFEIFDSHSSFDNSVSISLHHELWIKSLGSVQEQRSKFFDWMGIGLNENGNRNLEAFNPEGESDRITESSGAVLRKSCFEDEFCSTRSMMSCWSNGESSLSAELGLMGNFVCREGDSGGGMVCKVDELGQDVKANEGCEVDSEQSVAAEESENISESSPSFQKPMQNEVGEPNTLVDTPRRLKKGWLSRIRSISCIVYSLREADKLRHDDDDDDDALLRYRVQRVKVHRYGKRIKELSALYKGQDIQAHEGSILTMKFSPDGQYLASAGEDGVVRVWQVLESERSNELDIPEIDPSCIYFTVNQLSELKPLLVEKERTAKMRSMRKTSDSACVIFPPKVFRILEKPLHEFHGHREEILDLSWSKNNHLLSASVDKTVCLWQVGRDSCLRVFLHSNYVTCVQFNPVDDNHFMSGSIDGKVRIWAVNSCQVVDWTDIKDIVTAVCYRPDGQGGIVGSLTGNCRFYNMSVSPGSDSYLQLDAQICLPGKKKSPCKRITGFQFSPQDSTKVMVSCADSQVRILQGLDVIGKYKSNTANQISASFTLDGKHIISACEDSNVHLWNYIDQEQHATPQSKNSRSYEHFSANASVAIPWCGLKCGSLDNGWGFRVSDNNSQEVLPLSSPAHFSLSQEYFSESFPKGCATWPEEKLSSSPLSTSSAMHKSQYKFLKTSCQSTDSSHAWGLVIVTAGWDGRIKSFHNYGLPVSD >Potri.013G012300.3.v4.1 pep chromosome:Pop_tri_v4:13:814718:819339:-1 gene:Potri.013G012300.v4.1 transcript:Potri.013G012300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012300.v4.1 MAKNLSKISKDDSDTTCFPCQWFGFCDNNWQKVWAVLKPGFLALLEDPFNAKIIDILVFDVLPNSNDKGGNQVYLASQIKERNPLYYAFKVSAGNRSINLRSKSGSKVKEWIAAIEDAGLRTSEGWCHSHRYGSYAPPRGLAEDGSQAQWFVDGHAAFEAIASAIENARSEIFITGWWLCPELYLRRPFQDHASSRLDSLLEAKAKEGVQIYILLYKEVSIALKINSMYSKKRLLNIHENLRVLRHPDHFSTGVYSWSHHEKLVIIDYQICFIGGLDLCFGRYDTIEHRVGDCSADIWPGKDYYNPRESEPNSWEDVMKDELDRRKYPRMPWHDVHCSLWGPPCRDIARHFVQRWNHAKRSKAPNEQTIPLLMPRHHMVLPHYMGRSIDIESKNGEGNQKDTSRIDYFSSVSPIRDIPLLLPQEADATVVNGVNHELTAKNMNNDRLDQSAWHCDSFSFTLQKSKDGNLAQDTPVKNPVDEHDFVDLESIMQISDRSSETSEKDVPDVSASECGQVGPRVSCRCQVIRSVSQWSTGASQHEESIHKAYCSLIEKAEHFIYIENQFFISGLCGDEIIQNRVLDAIYKRVIQAYKENKCFRVIIVIPLSPGFQGGVDDGGAATVRAIMHWQYRTISRKKTSILYNLNTLLGPKTHDYISFCGLRTYGRLFVGGPLVTSQVYVHSKVMIVDDRIAYIGSSNINDRSLLGSRDSEIGIVTEDKEFVESSMNGETWKAGKFAYSLRRSLWSEHLGLSSGEIDKISDPVAETTYRDLWLATAKENSKIYQDVFACLPNDHIHSRAALRQSMNHWKEKLGHTTIDLGIAPEKIERNENGEIKMMDPIERLKLVKGHLVSFPLDFMMCQEDLRPVFNEGEFYASPQVFH >Potri.013G012300.2.v4.1 pep chromosome:Pop_tri_v4:13:814754:821233:-1 gene:Potri.013G012300.v4.1 transcript:Potri.013G012300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012300.v4.1 MATTTTEPLLAAGTMHSDNVHANLHPSYSFRQFPEPGWIFDELPKATIVSVSRPDTAGDFSPMLLSYTIELQYKQFKWQLLKKASQVLYLHFALKKRALIEELHEKQEQVKEWLHSLGIVDHAPVMQDADEPDDGAVPVHHQEESVRNRDVPSIAALSFLRPALGGQQGISDRAKVAMQNYLNHFLGNLDIVNSPVVCKFLEVSKLSFSREYGPKLKEGYIMAKNLSKISKDDSDTTCFPCQWFGFCDNNWQKVWAVLKPGFLALLEDPFNAKIIDILVFDVLPNSNDKGGNQVYLASQIKERNPLYYAFKVSAGNRSINLRSKSGSKVKEWIAAIEDAGLRTSEGWCHSHRYGSYAPPRGLAEDGSQAQWFVDGHAAFEAIASAIENARSEIFITGWWLCPELYLRRPFQDHASSRLDSLLEAKAKEGVQIYILLYKEVSIALKINSMYSKKRLLNIHENLRVLRHPDHFSTGVYSWSHHEKLVIIDYQICFIGGLDLCFGRYDTIEHRVGDCSADIWPGKDYYNPRESEPNSWEDVMKDELDRRKYPRMPWHDVHCSLWGPPCRDIARHFVQRWNHAKRSKAPNEQTIPLLMPRHHMVLPHYMGRSIDIESKNGEGNQKDTSRIDYFSSVSPIRDIPLLLPQEADATVVNGVNHELTAKNMNNDRLDQSAWHCDSFSFTLQKSKDGNLAQDTPVKNPVDEHDFVDLESIMQISDRSSETSEKDVPDVSASECGQVGPRVSCRCQVIRSVSQWSTGASQHEESIHKAYCSLIEKAEHFIYIENQFFISGLCGDEIIQNRVLDAIYKRVIQAYKENKCFRVIIVIPLSPGFQGGVDDGGAATVRAIMHWQYRTISRKKTSILYNLNTLLGPKTHDYISFCGLRTYGRLFVGGPLVTSQVYVHSKVMIVDDRIAYIGSSNINDRSLLGSRDSEIGIVTEDKEFVESSMNGETWKAGKFAYSLRRSLWSEHLGLSSGEIDKISDPVAETTYRDLWLATAKENSKIYQDVFACLPNDHIHSRAALRQSMNHWKEKLGHTTIDLGIAPEKIERNENGEIKMMDPIERLKLVKGHLVSFPLDFMMCQEDLRPVFNEGEFYASPQVFH >Potri.001G117000.1.v4.1 pep chromosome:Pop_tri_v4:1:9513165:9514999:-1 gene:Potri.001G117000.v4.1 transcript:Potri.001G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117000.v4.1 MAPSYSSSPSSSPPPAPTVVPPLQNPHITPIQECEKEEHGDEYSEERSQSATTKATPASFIDKIPTPKLHPRSPLHDRNSKQSTKKRHGDSSNGDDGRGSISCNKCHPHAREKISVVPLDNNGLNKHSSIASPNGIFKSIFSSLTRKSPKSTGDASIAREEQWKTAVAELSHKLIQATRKRDGALLEVSRLKYSMAELENKLSMLEIYCHDLKSGLDECSSNNPLYRGEHGYNIHQYQQNGLMGVSDKVIEQFLVSVSEARSSVRLLSRSLAMQLRHMGVRVYERISALLQPYDIKISFYKNPKGVLFCLEALLNKAFFEDFESVGFQKNFVNQILNPIDRCEANYASFNVLKELTWEEVLSKGTRHFSEEFSKFCDRKMSEIVAMLGWNRAWPEPLLQAFFSASKNMWLVHLLANSVHPGLPIFRVDKGMNFDSVYMEDMGADRARKLVPAMVRIMVAPGFYVYGNVIKCDVLCRHYNHNVSNDKGLTPSP >Potri.019G129150.1.v4.1 pep chromosome:Pop_tri_v4:19:15178339:15178979:-1 gene:Potri.019G129150.v4.1 transcript:Potri.019G129150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129150.v4.1 MLWGLRRMVCWAGVSLLQPTCFNNESEILVFMINHIHFISSYIYIDYIYICCNNNN >Potri.019G057300.1.v4.1 pep chromosome:Pop_tri_v4:19:9611093:9614150:-1 gene:Potri.019G057300.v4.1 transcript:Potri.019G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057300.v4.1 MALRSLVCRKSLGLGSSSSFKLHFRGLQTFSLPDLPYDYGALEPAISGEIMQLHHQKHHQTYITNYNKAVEQLHHAMEKGDSSAVVKLQSAIKFNGGGHVNHSIFWKNLSPVSERGGESPHGSLGWAIDEHFGSLDALIQKMSTEGAALQGSGWVWFGLDKESKKLVVETTANQDPLVTKGPLVPLLGIDVWEHAYYLQYKNARPDYLKNIWKVMNWKYAGEVYDKEHS >Potri.004G226100.4.v4.1 pep chromosome:Pop_tri_v4:4:23014842:23015490:-1 gene:Potri.004G226100.v4.1 transcript:Potri.004G226100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226100.v4.1 MSEISGGSRSVLLLFFLSLSLLFISGISDDSSGAKNGTKADPHAASKNNDGPEIAIVFVFVVAIGFFALFLFKFWQKKKREEQYARLLKLFEEDDELEVELGLRD >Potri.013G011500.1.v4.1 pep chromosome:Pop_tri_v4:13:740193:746840:1 gene:Potri.013G011500.v4.1 transcript:Potri.013G011500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011500.v4.1 MATEQQQQVWPFSAASVVEDMLQENIGTRPRGVDLVASRKAEEASLRRYEAAGWLRKTVGVVGGKDLPAEPSEEEFRLGLRSGIILCNVLNKVQPGAVPKVVEGPGDSVEVPDGAALSAFQYFENVRNFLVAIEEMGIPTFEASDLEQGGKSARVVNCILALKSYSDWKQSGGIGTWKYGGNLKPSTSGGGKPFMRKNSEPFKNSFSRACSGDPSSFDEQFNDLSEAGASRSLNMLVRAALSNRKQEEIPNIVESMLNKVMEEFERRLVSQNEQMKITAKDMEVASPDMPLSRTSSDTRMEEETSTQINTRECCHHKGTPHEESEDQLLKQQAMVERQQQDIKELKLTLYATKEGMHLLQMKHVEEFNNLGKHLHGLAHAASGYQRVLEENRKLYNQVQDLKGNIRVYCRVRPFLTGQASRFSTVDHIDEGNITISTPSKYGKEGRKSFNFNKVFGPLATQEEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMTGPKELTEESLGVNYRALSDLFLLSDQRKEVICYDISVQMLEIYNEQVRDLLVTEGVNRRLEIRNSSQNGINVPDASLVTVSSPSDVLNLMNIGHRNRAVSATAMNDRSSRSHSCLTVHVQGRELASGTVIRGSMHLVDLAGSERIDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPETDALGETISTLKFAERVATVELGAARVNKDSSEAKELKEQIANLKAALASKEVESEHSHHSRSSTPERLKMKSGLPSPSHSWHSAGSITSAGSITSGHRQMEDGNSEVRNNYSSVARRRRSLDPQDLIMYSPPWLPASSPSMSGKEDDRESVSGDWVDKVMVNRLDSANRDENPAGQWEVDSRQSPEMFYQSYARDPSKIYPEQPYKSSPNTRDSQEYDAQRGRFEMASTDESDELEAATSDSSEPDLLWQSNIPRMSSLPNPNVLGSKTKKTTNPRGFKSTETRSLIPSLIPSPSRKLPNGASPGLNKPGRQLVSVDGKRKTGHAK >Potri.013G011500.4.v4.1 pep chromosome:Pop_tri_v4:13:741618:746758:1 gene:Potri.013G011500.v4.1 transcript:Potri.013G011500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011500.v4.1 MGIPTFEASDLEQGGKSARVVNCILALKSYSDWKQSGGIGTWKYGGNLKPSTSGGGKPFMRKNSEPFKNSFSRACSGDPSSFDEQFNDLSEAGASRSLNMLVRAALSNRKQEEIPNIVESMLNKVMEEFERRLVSQNEQMKITAKDMEVASPDMPLSRTSSDTRMEEETSTQINTRECCHHKGTPHEESEDQLLKQQAMVERQQQDIKELKLTLYATKEGMHLLQMKHVEEFNNLGKHLHGLAHAASGYQRVLEENRKLYNQVQDLKGNIRVYCRVRPFLTGQASRFSTVDHIDEGNITISTPSKYGKEGRKSFNFNKVFGPLATQEEVFADTQPLIRSVLDGYNVCIFAYGQTGSGKTFTMTGPKELTEESLGVNYRALSDLFLLSDQRKEVICYDISVQMLEIYNEQVRDLLVTEGVNRRLEIRNSSQNGINVPDASLVTVSSPSDVLNLMNIGHRNRAVSATAMNDRSSRSHSCLTVHVQGRELASGTVIRGSMHLVDLAGSERIDKSEVTGDRLKEAQHINKSLSALGDVIASLAQKNSHVPYRNSKLTQLLQDSLGGQAKTLMFVHISPETDALGETISTLKFAERVATVELGAARVNKDSSEAKELKEQIANLKAALASKEVESEHSHHSRSSTPERLKMKSGLPSPSHSWHSAGSITSAGSITSGHRQMEDGNSEVRNNYSSVARRRRSLDPQDLIMYSPPWLPASSPSMSGKEDDRESVSGDWVDKVMVNRLDSANRDENPAGQWEVDSRQSPEMFYQSYARDPSKIYPEQPYKSSPNTRDSQEYDAQRGRFEMASTDESDELEAATSDSSEPDLLWQSNIPRMSSLPNPNVLGSKTKKTTNPRGFKSTETRSLIPSLIPSPSRKLPNGASPGLNKPGRQLVSVDGKRKTGHAK >Potri.001G159000.1.v4.1 pep chromosome:Pop_tri_v4:1:13448400:13452557:1 gene:Potri.001G159000.v4.1 transcript:Potri.001G159000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159000.v4.1 MRGNNKALLVRALIFGSHRSSMNSFSRHLHENLISNPSKTSHPSKSLSNFTTKFHFSTPYLQPFQRTLCSSSSSGASNIVLVKSDEELNSGLKNVQEKSSPAVFYFTATWCGPCKFISPVIEELSKKYPHATIYKVDIDTEGLQNALASLNIAAVPTLDFYKNGKKETTIVGADVAKLKNTMESLYRED >Potri.019G019300.1.v4.1 pep chromosome:Pop_tri_v4:19:3136079:3139296:-1 gene:Potri.019G019300.v4.1 transcript:Potri.019G019300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019300.v4.1 MTMFSTEFISHSCSFPFTSKHFKLSLHSLQSNVVSCINPTHNDTNSNLGNPPKLRRVLPETKPTHVLSYDFKETHLMKLLNRSCKAGKCNESLYFLECMVAKGYQPDVIMCTKLIKGFFNSRNIEKATRVMEILEKHGEPDVFAYNAVISGFCKANRIESAKKVLDRMKRKGFSQDVVTYNIMIGTFCSKGKIDLALKVFEELLKDNNCKPTLITYTILIEAHILEGGIDEGLKLLDEMLSRGLEPDTFTYNVIVRGLGKEGKVNQAFELVRTLNSRGCKPDVITYNILLRALLDQGKWYEGEKLMDEMFSRGCEPNVVTYSILISSLCRDGKIEESVNLVKVMKEKGLTPDAYCYDPLIAAFCREGKLDMAIKFLDYMISDGFLPDIVNYNTIMAALCKNGNSDHAVEIFGKLEEVGCPPNVSSYNTMLSALWGSGDRYRALGMISQMLSTGIDPDGITYNSLISCLCRDGMVDEAIGLLADMLSGRFQPNIVSYNIVLLGLCKVHRIDDAIEVLTAMIENGCQPNETTYTLLIEGIGFSGSRAQAMELANSLYSMNAISEGSYKRLNKVFPLLDVYKDLTVFGH >Potri.002G250100.1.v4.1 pep chromosome:Pop_tri_v4:2:24038604:24039566:1 gene:Potri.002G250100.v4.1 transcript:Potri.002G250100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250100.v4.1 MLKRGAITVSMFSNSTVLVGWCRGRRRLRRRRVGTIRLGNKRRGFCLVSRPVIQWGVMVAPLRMLKNIIMDMAPNGRFIEAYYLSLPFLRPQIFPLC >Potri.012G035500.1.v4.1 pep chromosome:Pop_tri_v4:12:3195702:3197826:1 gene:Potri.012G035500.v4.1 transcript:Potri.012G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035500.v4.1 MSDQVERYQILGYKEALTRTHHYPVACKELSLILREAYSKLPKNLQSLVFQDTLSSFKLLPQMWTNNAVSAAHLLVQSAEATLPKQKKNVATKEFKQAMVASKRRGKACRMQECHTELTQDVLVDIFSLLDTRSLVSASLVSRSWNAAACNNHLWQSLYATIFASDHNSSNAKDLLNGRRGEDNKDNNSVDWREAFKRAYIDNSSKRLTTSRGYCLYCDMIVWLDGLKCGSGKCGPQPKMQPIVPKSSEQVVEYLLDGSSSMMSSDSDSDLDDCFDEWYIPKLWAHPLNQYRPG >Potri.002G133500.4.v4.1 pep chromosome:Pop_tri_v4:2:10065936:10069281:-1 gene:Potri.002G133500.v4.1 transcript:Potri.002G133500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133500.v4.1 MLETMESSVNGEGVVGGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENVQWNGLDMASDDTQKSHRSRNRTHKSTGSSHKTMSRSLSCDSQSKGSISTPRGTAKVDLSKLEVAALWRYWRHFNLVDSIPNPSKEQLIDVVQRHFMSQQMDELQVIVGFVKAAKRLKTVCK >Potri.002G133500.1.v4.1 pep chromosome:Pop_tri_v4:2:10065479:10069305:-1 gene:Potri.002G133500.v4.1 transcript:Potri.002G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133500.v4.1 MLETMESSVNGEGVVGGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEEDDDLEFENVQWNGLDMGELASDDTQKSHRSRNRTHKSTGSSHKTMSRSLSCDSQSKGSISTPRGTAKVDLSKLEVAALWRYWRHFNLVDSIPNPSKEQLIDVVQRHFMSQQMDELQVIVGFVKAAKRLKTVCK >Potri.008G178951.1.v4.1 pep chromosome:Pop_tri_v4:8:12362390:12362837:-1 gene:Potri.008G178951.v4.1 transcript:Potri.008G178951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178951.v4.1 MDGFLLRLQNELKEAVVKEEPNLHIYPSKDVDMILNYDEEDLKEEIPSTPTDKSLKLYLEPSDSTSKLCVNGYSFGKPSEHVTPFGRCTDKFVVKFNIDNLSDVENDDDEHGHGKLEDEIIKRA >Potri.019G077000.3.v4.1 pep chromosome:Pop_tri_v4:19:11782034:11789457:1 gene:Potri.019G077000.v4.1 transcript:Potri.019G077000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077000.v4.1 MALVLHAGSTNKNALKTLIAAEYSGVQVELVKNFEMGVSNKTPEFLKMNPIGKVPVLETPDGPIFESNAIARYVTRLKADNPLYGSSLIEYARIEQWIDFATLEIDANILRWFIPRIGFAVYLPPAEEAAIAALKRALTALNTHLSTSTYLVGHSLTLADIVLTCNLTLGFSRLLTKTFTSEFPHVERYFWTMVNQPNFRKILGEVKQTESVPPVQKPSQQKEPAKPKEPAIPKAKEEPKKETKKESAKPKAEEAGEAEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWEMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSGPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDITDEEQKERASQMIEDYEPFEGEPLLDAKCFK >Potri.019G077000.1.v4.1 pep chromosome:Pop_tri_v4:19:11785711:11789457:1 gene:Potri.019G077000.v4.1 transcript:Potri.019G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077000.v4.1 MALVLHAGSTNKNALKTLIAAEYSGVQVELVKNFEMGVSNKTPEFLKMNPIGKVPVLETPDGPIFESNAIARYVTRLKADNPLYGSSLIEYARIEQWIDFATLEIDANILRWFIPRIGFAVYLPPAEEAAIAALKRALTALNTHLSTSTYLVGHSLTLADIVLTCNLTLGFSRLLTKTFTSEFPHVERYFWTMVNQPNFRKILGEVKQTESVPPVQKPSQQKEPAKPKEPAIPKAKEEPKKETKKESAKPKAEEAGEAEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWEMYDPEGYSLWFCDYKYNDENTVSFVTLNKVGGFLQRMDLARKYAFGKMLVIGSGPPFKVKGLWLFRGQEIPQFVIDECYDMELYEWKKVDITDEEQKERASQMIEDYEPFEGEPLLDAKCFK >Potri.019G077000.4.v4.1 pep chromosome:Pop_tri_v4:19:11785669:11789456:1 gene:Potri.019G077000.v4.1 transcript:Potri.019G077000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077000.v4.1 MALVLHAGSTNKNALKTLIAAEYSGVQVELVKNFEMGVSNKTPEFLKMNPIGKVPVLETPDGPIFESNAIARYVTRLKADNPLYGSSLIEYARIEQWIDFATLEIDANILRWFIPRIGFAVYLPPAEEAAIAALKRALTALNTHLSTSTYLVGHSLTLADIVLTCNLTLGFSRLLTKTFTSEFPHVERYFWTMVNQPNFRKILGEVKQTESVPPVQKPSQQKEPAKPKEPAIPKAKEEPKKETKKESAKPKAEEAGEAEEAPKPKPKNPLDLLPPSKMILDEWKRLYSNTKTNFREVAIKGFWEMYDPEGYSLWFCDYKYNDENTVSFVTLNKRFPSL >Potri.007G097600.7.v4.1 pep chromosome:Pop_tri_v4:7:12244452:12247766:-1 gene:Potri.007G097600.v4.1 transcript:Potri.007G097600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097600.v4.1 MALETVVFQQDPYSTLGLGATWIHGFDLEGEKANYHETLDTTISIESDFHSNSNWNINNSSSQEINCACNGGLFTGGNAAGGRRKRRRRRSIKDEAEVAHQRMTHINVERNRRKQMNEYLAVIRSMLPPSYVQRADQASIVGGAINFVKELEKLLQSLEAHKQIKKVISATGSDFSSPFSDFFTFPQYSTASSRNKHSNNSSSSTESIFADQKRDQKRSIAIADVEVTMIESHANLKIQSRKHPKQLLKMVTGLHSLGLHILHLNVTTVDQMALYSFSVKVEDECKLTSVDEIAAAVHEMVGRIQEDATSNYID >Potri.007G097600.9.v4.1 pep chromosome:Pop_tri_v4:7:12244452:12247766:-1 gene:Potri.007G097600.v4.1 transcript:Potri.007G097600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097600.v4.1 MALETVVFQQDPYSTLGLGATWIHGFDLEGEKANYHETLDTTISIESDFHSNSNWNINNSSSQEINCACNGGLFTGGNAAGGRRKRRRRRSIKDEAEVAHQRMTHINVERNRRKQMNEYLAVIRSMLPPSYVQRADQASIVGGAINFVKELEKLLQSLEAHKQIKKVISATGSDFSSPFSDFFTFPQYSTASSRNKHSNNSSSSTESIFADQKRDQKRSIAIADVEVTMIESHANLKIQSRKHPKQLLKMVTGLHSLGLHILHLNVTTVDQMALYSFSVKVEDECKLTSVDEIAAAVHEMVDID >Potri.007G097600.8.v4.1 pep chromosome:Pop_tri_v4:7:12244452:12247766:-1 gene:Potri.007G097600.v4.1 transcript:Potri.007G097600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097600.v4.1 MALETVVFQQDPYSTLGLGATWIHGFDLEGEKANYHETLDTTISIESDFHSNSNWNINNSSSQEINCACNGGLFTGGNAAGGRRKRRRRRSIKDEAEVAHQRMTHINVERNRRKQMNEYLAVIRSMLPPSYVQRADQASIVGGAINFVKELEKLLQSLEAHKQIKKVISATGSDFSSPFSDFFTFPQYSTASSRNKHSNNSSSSTESIFADQKRDQKRSIAIADVEVTMIESHANLKIQSRKHPKQLLKMVTGLHSLGLHILHLNVTTVDQMALYSFSVKISTDLRLQFHLPYIGQMLEILRRTV >Potri.007G097600.6.v4.1 pep chromosome:Pop_tri_v4:7:12244452:12247766:-1 gene:Potri.007G097600.v4.1 transcript:Potri.007G097600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097600.v4.1 MALETVVFQQDPYSTLGLGATWIHGFDLEGEKANYHETLDTTISIESDFHSNSNWNINNSSSQEINCACNGGLFTGGNAAGGRRKRRRRRSIKDEAEVAHQRMTHINVERNRRKQMNEYLAVIRSMLPPSYVQRADQASIVGGAINFVKELEKLLQSLEAHKQIKKVISATGSDFSSPFSDFFTFPQYSTASSRNKHSNNSSSSTESIFADQKRDQKRSIAIADVEVTMIESHANLKIQSRKHPKQLLKMVTGLHSLGLHILHLNVTTVDQMALYSFSVKVEDECKLTSVDEIAAAVHEMISTDLRLQFHLPYIGQMLEILRRTV >Potri.014G129400.1.v4.1 pep chromosome:Pop_tri_v4:14:8677229:8678386:-1 gene:Potri.014G129400.v4.1 transcript:Potri.014G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129400.v4.1 MRTISILITFLFLSWLTCATSRGSDNGDTYVREACSVTRYHDLCMHSLASFSHTAGRSPSKWARAGVSVTIGEAKNASQYLNKLKKDRIMRGRNRIALSDCIECFQDAIDNLHKSLGILRKLDATNFDTQMGDLTTWLSAALTDEDTCLDGFEDRSSKQVKMLLNQVSRVTYITSNALALVNKLAAAGLGSLNGP >Potri.009G017600.1.v4.1 pep chromosome:Pop_tri_v4:9:2985006:2985113:1 gene:Potri.009G017600.v4.1 transcript:Potri.009G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017600.v4.1 MACKRMVISVNLDPERKRSGGLRTKQAGRGSCRGS >Potri.019G082100.1.v4.1 pep chromosome:Pop_tri_v4:19:12237851:12238370:-1 gene:Potri.019G082100.v4.1 transcript:Potri.019G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082100.v4.1 MPKKAENGGGGGGRARKGHFVVYVGSEMKRFVVPTSYLKNPVFLQLLDKSAEEYGFDNRNGIVLPCDESTFKSLTAFLAKH >Potri.003G052600.2.v4.1 pep chromosome:Pop_tri_v4:3:7729463:7732673:-1 gene:Potri.003G052600.v4.1 transcript:Potri.003G052600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052600.v4.1 MVRALEQEQENYRSRLYHFKGMNENAGGRHVKSLSADDSSSLAEYSSMDDRITSRGHQPPRPVNEKGPRSRSGKDEAGAAGKDNKNPEMEQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQRRLEPMSPERKARWIREIDWLLSVTDHVVELVPSQQKSKDGSNMEIMVTRQRNDLHMNIPALRKLDAMLLDSLDNFKDQNEFYYVSRDSPESEKGGTKRKDDKWWLPTVKVPPDGLSERAKKFLHYQKDSVNQVLKAAMAINAQILSEMEIPENYIESLPKNGRASLGDSAYRSITLEYFDPDQFLSTMDLSSEHKILDLKNRIEASIVIWRRKMNQKDGKSAWGSAVSLEKRELFEERAETILLLLKQRFPGLPQSSLDVSKIQYNEDVGHAILESYSRILETLASTVMSRIEDVLYADHVARNPSHAGHTSNTLKEAPQVLVSPKEGMEKNSEDTNASMTLSDFMGWSQEQNDTTAKKDPFGSDELLKDDDKCTQKLANISTNRKPSYLDNLGASRSPTARH >Potri.003G052600.1.v4.1 pep chromosome:Pop_tri_v4:3:7729463:7732674:-1 gene:Potri.003G052600.v4.1 transcript:Potri.003G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052600.v4.1 MKRVLDQGQARMKPVLQEKIIKIQMKERFAKLLLGEDMSGGGKGVSSALALSNAITNLAASVFGEQRRLEPMSPERKARWIREIDWLLSVTDHVVELVPSQQKSKDGSNMEIMVTRQRNDLHMNIPALRKLDAMLLDSLDNFKDQNEFYYVSRDSPESEKGGTKRKDDKWWLPTVKVPPDGLSERAKKFLHYQKDSVNQVLKAAMAINAQILSEMEIPENYIESLPKNGRASLGDSAYRSITLEYFDPDQFLSTMDLSSEHKILDLKNRIEASIVIWRRKMNQKDGKSAWGSAVSLEKRELFEERAETILLLLKQRFPGLPQSSLDVSKIQYNEDVGHAILESYSRILETLASTVMSRIEDVLYADHVARNPSHAGHTSNTLKEAPQVLVSPKEGMEKNSEDTNASMTLSDFMGWSQEQNDTTAKKDPFGSDELLKDDDKCTQKLANISTNRKPSYLDNLGASRSPTARH >Potri.004G231525.1.v4.1 pep chromosome:Pop_tri_v4:4:23562996:23564741:-1 gene:Potri.004G231525.v4.1 transcript:Potri.004G231525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231525.v4.1 MVYRGMLRTGQLIAIKRCRQGSVQGGLEFNAEIEVLSRVHHKNIVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLNVALGAARGLAYLHELVNPRIIHRDVKSANILLDESLNAKVADFGLSKPMDNSELILATTQVKGTRGYIDPEYQKTLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYSLHELLDPSIGLDTKPKGLDKIVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.006G072600.2.v4.1 pep chromosome:Pop_tri_v4:6:5284942:5285787:1 gene:Potri.006G072600.v4.1 transcript:Potri.006G072600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072600.v4.1 MAPKPDSHTLAAAAASDPSSTSSMDPLFLLLNFLPYSFLRPPRLRLKLPTFTLPSPMTVFSLVLLTYFMVVSGIVYDVIVEPPGIGSTQDPYTGSVKPLVFMPGRVNGQYIIEGLSSGFMFVVGGVGIILMDLALDKNRAKSVKVSYATAGISSVVIAYVMSMLFIRIKIPAYLH >Potri.007G093900.1.v4.1 pep chromosome:Pop_tri_v4:7:11939517:11940734:1 gene:Potri.007G093900.v4.1 transcript:Potri.007G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093900.v4.1 MGRSPCCDESGLKKGPWTSEEDQKLVKYIQKHGHGSWRALPKLSGLNRCGKSCRLRWTNYLRPDIKRGKFSQEEEQTILNLHSVLGNKWSAIASHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHRPRTDIFSSLPHLIALANLKELIDHHSLEEHALRLQTEAAQMAKLQYLQYLLQPQPPAASNTATSSNNLNNIGTLSDIEAFNLLNSLASLKDSPVSSLSQLDLPASSLQGINDISIPFSHLPDLQIPCNYQTPPTKGMAQAPELTVFSQGENSPISPFQFPSSSTPFPPSAVPPVTQISSMVNNLGDASSTSSYGEEVPSVWHDLLEDPMFHDIA >Potri.009G013900.1.v4.1 pep chromosome:Pop_tri_v4:9:2380465:2382421:-1 gene:Potri.009G013900.v4.1 transcript:Potri.009G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013900.v4.1 MAKDIEVAEHGETVKDYQDPPPAPLIDAEELGQWSFYRALIAEFIATLLFLYVTVLTVIGYKSQTDPDKGLDACGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVLYMVAQCLGAICGCGLVKAFQKSYYNHYGGGANELQEGYNKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNQSKAWDDHWLFWVGPFIGAAIAAFYHQFILRAAAIKALGSFRSNA >Potri.006G166100.2.v4.1 pep chromosome:Pop_tri_v4:6:16318593:16323100:-1 gene:Potri.006G166100.v4.1 transcript:Potri.006G166100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166100.v4.1 MGLFDFKAVQDSSCRAWKILERQNAVRFQQHHSGCTVIKSKIYQENNAKFLVHAASGQPPESGPESTSKSVRSTLDAFYRFSRPHTVIGTVKSKFVVQIQQRFNDHCISLPASKNFAVAAALMMNIYIVGLNQLTGIEIDKVNKPYLPVASGEYSVSTGVMIVTSFSIMFLFPLGILIHCIWRIPLKMLIISFFRELLAWINCCFVLGTAYSINLPLLRWKRFAFIAAMCIPAVRAVIVQLAFYLHMQPLIFATSFMSFFSVVIALFKEDIPDIEGDKIFGIQSCTVRLGQKWVFWTCISLLEIACAVAILVGAATSYNWSKCITVLILGHAILASMLWNHAKSVDLKSKASIISCYMFIWKLFYAEYLLIPLVR >Potri.015G079400.9.v4.1 pep chromosome:Pop_tri_v4:15:10553542:10568164:1 gene:Potri.015G079400.v4.1 transcript:Potri.015G079400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079400.v4.1 MFLHSNATSHKWVFGAIAELVDNAVDEIENGASFVIVDKISNPRDGSPALLIQDDGGGMDPEAIRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADAIVFSRHADDRVLTQSIGLLSFTFLTQTGHDRIVVPMVDYELNTAGNLEILDRYGKEYFMSNLSLLLQWSPYSTEAELLKQFEDIGLHGTKVIVYNLWFNDDGNVELDFDTDPEDIRINGDIKEVQTRPAWRTVNEEHISNRLRYSLRAYLSILYLRIPENFTIVLRGGFVKHHNLALDLKFQEFIVYRPQSSGCIKGELLTTIGFLKEAPQVTVHGFNIYHKNRLILPFWPIVNYGNNSRSRGVVGVLEANFVEPTHDKQDFERTSLFQKLEVRLKEMTWEYWDYHCGLIGYQVIKKLRPTEPPPDSPLGISTSDTLKHGLSSKRKEHSDLDKLESIKRQAGTGANAFLSGHGFETEVVSDIKNKLEDQDVVNLIQVNKNFRAKCLDYKKRTEDLDLKVTRLRSELGQVRTEYEQLMAELTS >Potri.015G079400.8.v4.1 pep chromosome:Pop_tri_v4:15:10553542:10568362:1 gene:Potri.015G079400.v4.1 transcript:Potri.015G079400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079400.v4.1 MVMKATELDKDVGDRVQGNNCLRGQLAQHQKSVNHSRRQESKENKSSNALSSGQSNSNVLEQGRSPVDESGTYSEFIKFPAPPCRQFWKAGDYDDGPSSKLTLQNGKNYLHVHPMFLHSNATSHKWVFGAIAELVDNAVDEIENGASFVIVDKISNPRDGSPALLIQDDGGGMDPEAIRRCMSFGFSDKKSKSAIGQYGNGFKTSTMRLGADAIVFSRHADDRVLTQSIGLLSFTFLTQTGHDRIVVPMVDYELNTAGNLEILDRYGKEYFMSNLSLLLQWSPYSTEAELLKQFEDIGLHGTKVIVYNLWFNDDGNVELDFDTDPEDIRINGDIKEVQTRPAWRTVNEEHISNRLRYSLRAYLSILYLRIPENFTIVLRGGFVKHHNLALDLKFQEFIVYRPQSSGCIKGELLTTIGFLKEAPQVTVHGFNIYHKNRLILPFWPIVNYGNNSRSRGVVGVLEANFVEPTHDKQDFERTSLFQKLEVRLKEMTWEYWDYHCGLIGYQVIKKLRPTEPPPDSPLGISTSDTLKHGLSSKRKEHSDLDKLESIKRQAGTGANAFLSGHGFETEVVSDIKNKLEDQDVVNLIQVNKNFRAKCLDYKKRTEDLDLKVTRLRSELGQVRTEYEQLMAELTS >Potri.016G135700.1.v4.1 pep chromosome:Pop_tri_v4:16:13995534:13996436:1 gene:Potri.016G135700.v4.1 transcript:Potri.016G135700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G135700.v4.1 MANAKLICALLLCILVTAPMLNIEASIRCHTVKGNLETCLGYFTKVETVPPPGCCRGVQNVNNAARTTKERRDTCSCLKTVAKQYHVNLTFAADLPRICKVKIPYPISASIDCSRIK >Potri.013G091700.1.v4.1 pep chromosome:Pop_tri_v4:13:9412031:9416555:1 gene:Potri.013G091700.v4.1 transcript:Potri.013G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091700.v4.1 MDGGGGRRITASPRPCNGKRVVARKRGRGFGGGDGFVNSVKKLQRREICSKRDRTFTMTDAQERFRNIRLQEEYDTHDPKGHCTMVLPFLRKRSKIIEIVAARDMVFALAQSGVCAAFSRETNQRICFLNVTPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIQRGQPDAGFALFESESLKWPGFVEFDDVNSKVLTYSAQDSIYKVFDLKNYTMLYSIANKNVQEIKISPGIMLLILTKSGGCVPLEILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRDFQLIEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIHITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIKAGNSFPNENECSQSSKKHMCASTVRSTAAEALEDITALFYDEERNEIYTGNRLGLVHVWSN >Potri.002G148900.1.v4.1 pep chromosome:Pop_tri_v4:2:11201533:11205825:-1 gene:Potri.002G148900.v4.1 transcript:Potri.002G148900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148900.v4.1 MMSASANNGSGPCGACKFLRRKCVKGCIFAPYFDPDQGTAHFAAVHKVFGASNASKLLLRIPTHKRLDAVVTLCYEALTRVRDPVHGCVGHIFTLQQQLMNLQAELAYIQAHLSTLQCLPFPQQPPLCAQSHSLMSLSSSSEVPSFSKLASNSNISMHFDQLQLQPQQASAESTGFYNPSEHGIDNEDLHELARAFASRCLPGVRFRPPNSP >Potri.012G070900.4.v4.1 pep chromosome:Pop_tri_v4:12:9363264:9365736:1 gene:Potri.012G070900.v4.1 transcript:Potri.012G070900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070900.v4.1 MAFDLWCINNIGSRSMMSFADVHQANGSTRPFFRPYEHEDNGDDDLDEYFHQPEKKRRLTVDQVQFLERSFEVENKLEPERKIQLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYEVLQSSYNGLKADYDNLFKEKEKLKAEVNLLTNELLLKEKEKGSSELSDKDALSQEPPKKAIADSASEGEVSKTSTVACQQEDISSAKSDMFDSDSPHFADGVHSSLLEAGDSSHVFEPDQSDLSQDEEDNLSKSLLPPYVFPKLEDGDYSDPPASFEDHAFWCWSY >Potri.012G070900.1.v4.1 pep chromosome:Pop_tri_v4:12:9363266:9365838:1 gene:Potri.012G070900.v4.1 transcript:Potri.012G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070900.v4.1 MAACGGGGGGSNPNLSVLVQSQRGPCAASQPLEAFFLSGSSPSFLGSRSMMSFADVHQANGSTRPFFRPYEHEDNGDDDLDEYFHQPEKKRRLTVDQVQFLERSFEVENKLEPERKIQLAKDLGLQPRQVAIWFQNRRARWKTKQLEKDYEVLQSSYNGLKADYDNLFKEKEKLKAEVNLLTNELLLKEKEKGSSELSDKDALSQEPPKKAIADSASEGEVSKTSTVACQQEDISSAKSDMFDSDSPHFADGVHSSLLEAGDSSHVFEPDQSDLSQDEEDNLSKSLLPPYVFPKLEDGDYSDPPASFEDHAFWCWSY >Potri.002G021100.2.v4.1 pep chromosome:Pop_tri_v4:2:1318647:1318808:-1 gene:Potri.002G021100.v4.1 transcript:Potri.002G021100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021100.v4.1 MTEKKENGLWQKVNAEAQFLEAFLLFPSRAPIVNSSQLFAEQVITHAQLVQKD >Potri.010G114800.3.v4.1 pep chromosome:Pop_tri_v4:10:13432314:13437690:-1 gene:Potri.010G114800.v4.1 transcript:Potri.010G114800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114800.v4.1 MGAEKRWLFTLFSSALLSLLFLLVYSISAFSSSKQFPSIIHHGIHYPPAFAYYISGGRGDKDRILRLLLAVYHPRNRYLLHLGAEASDEERMKLVGAVNAVPAIRSFGNVDVVGKPGRLTYMGSSNLAAILRAAAILLRMDAGWTWFVSLSAMDYPLVTQDDLSHVFSSVSRDLNFIDHTSDLGWKEDQRIQPIVVDPGIYLARRSQIFHATEKRPTPDGFKVFTGSPWVILSRSFLEFCILGWDNLPRTLLMYFNNVVLSEESYFHSVICNAPEFKNTTLNSDLRYMVWDNPPKMEPHFLNTSDYDLMVQSGVAFARQFQKDDPVLDKVDEKILKRGHDRAAPGAWCTGRRTWWIDPCSQWGDVNVVKPGPQAKKFKETIKNLLDEWNSQMNQCK >Potri.001G161800.3.v4.1 pep chromosome:Pop_tri_v4:1:13721101:13724657:-1 gene:Potri.001G161800.v4.1 transcript:Potri.001G161800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161800.v4.1 MRARLVVFPVKGRNWCFSRSIDPSLEEAAASHTPSTLKHLWKKISSSSNSSAKNVELVIDYASNKMDKAWMGLEKAPEGTFKNKLHGLGLKLLSRVKPSEIFLKSISKEVSSVEITYPSSLNARLVRRRLRHIAMRGAAIHKKYFYGSVMLLPLSSALAVLPLPNIPFFWFLFRSYSNWRALKGSEKLLQLVSDCSCAENSAAPNAKGSEPESEEPRHKSHSSQDSLWVLQPSKELQELLNKHADENGLNDSAISDICKTFSLNVKDVSKFRHSI >Potri.001G161800.2.v4.1 pep chromosome:Pop_tri_v4:1:13721075:13724588:-1 gene:Potri.001G161800.v4.1 transcript:Potri.001G161800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161800.v4.1 MRARLVVFPVKGRNWCFSRSIDPSLEEAAASHTPSTLKHLWKKISSSSNSSAKNVELVIDYASNKMDKAWMGLEKAPEGTFKNKLHGLGLKLLSRVKPSEIFLKSISKEVSSVEITYPSSLNARLVRRRLRHIAMRGAAIHKKYFYGSVMLLPLSSALAVLPLPNIPFFWFLFRSYSNWRALKGSEKLLQLVSDCSCAENSAAPNAKGSEPESEEPRHKSHSSQDSLWVLQPSKELQELLNKHADENGLNDSAISDICKTFSLNVKDVSKFRHSI >Potri.003G039475.1.v4.1 pep chromosome:Pop_tri_v4:3:4454641:4472772:1 gene:Potri.003G039475.v4.1 transcript:Potri.003G039475.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039475.v4.1 MYRIASRLASSIGVTYSRSYVAKDINFGVGARAAMLQGVNEVAEAVKVTMGPKGRHVIIEKSRGLPKVTKDGVTVAKSIKFKEKAKSVGADLVKQVANATNTATGDGTTCATVLTQAILVEGCKSVSAGVNVMDLRSGINIAVGGVLSDLKKRALMISTPEEITQVATISANGEREIGEMIARAMEKVGKHGVITVTDGNTLDNELEVVEGMKLARGYISPYFITDKKTQKCANISYEWPPFVFDLSLTIVLGSPELENPLILIYDKKISDMNSLLRVLELAVKKSRALFIVAEDVESDSLAMLILNMHRAGLKVCAIKAPGFGENRQANLDDLAVLTGVEVISENRGLTLDKVQIEMLGTAKKVTVSLDDTIVLHGCGEKKLIEERCEQLRTAIEKSTALFDQEKAQERLSKLSGGVAVFKVGGASEAEVGERKDRVTDALNASRAAVEEGIVPGGGVALLYSTKALEKLQAQNEDEKRGIQLIQNALKAPAHTIVSNAGFDSAMVLGKLLEQDDYNLGYDAAKGEYVEMVKAGIIDSFKVIRTAMVDAASVSLLLTTTEATVLENPQEKKPPSRMPNMGDMGC >Potri.003G177400.1.v4.1 pep chromosome:Pop_tri_v4:3:18410658:18412331:-1 gene:Potri.003G177400.v4.1 transcript:Potri.003G177400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177400.v4.1 MADEDNTQSDQEMQDLSSIADEDNTQTGQEIQSLVMKLDEHYANLEQKRQVFENSIGSEIEVLNKDQNEARKLRHSLLYGSDDISSNLEAASQNIANVKQWLNENTLDSEDEEDQGNNFSVLIVDDDRTIRETNRRFMALAGTQKQLRMEFQEAKNGKEAVYLHLAGASFDLILMDNQMPIMTGIQATQLLRKMGVKSRIVGVTSEPDRQAFIDAGLNNCIQKPLNPGKITEFLTVSKKRRRTDISQA >Potri.006G157400.1.v4.1 pep chromosome:Pop_tri_v4:6:14325244:14328072:-1 gene:Potri.006G157400.v4.1 transcript:Potri.006G157400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157400.v4.1 MAMPQLYGRTKSVTKRSKKYLEEALYVRLFKEGSSEVSVRQQLNQFLKSSKRVFKWEVGDTIKKLRSRNLYYPAVKLSETMSSRGMNKTVSDQAIHLDLVAKTRGIPAAENYFIDLPETSKNLRTYGALLNCYCKELMTEEAEALIEKMKELNLGLSSMSYNSLMTLYTKVGQPERIPAIIQEMKADNVMPDSYTYNVWMRALAAVNDISGVERVIEEMKRDGRVAANWTTYSNLASIYVDAGYFDKAEKALKELEKINANKDLFAFQFLITLYGRTGKLIEVYRIWRSLRLAFPKTANISYLNMIQVLVNLKDVPGAEKCFREWESGCSTYDIRVANVVISAYAKEGLVDKAEELKERARRRGAKPNSKTWEIFCDYYLKNGDVKLGVDCIANAVSAGRGNGQKWVPSPVIVGSLMAHFEQQKDVDGAEDLIEILKKAVDDVAVEVFESLIRTYAAAGRKSQLMRRRLKMENVEVSDDCQKLLEAICVE >Potri.009G131300.1.v4.1 pep chromosome:Pop_tri_v4:9:10690345:10694792:-1 gene:Potri.009G131300.v4.1 transcript:Potri.009G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G131300.v4.1 MENGIDRVVDATQLENNINVNTEVSRDKVEDKYEVLGGAVEGTNELLGDKVEEKVAVASSESKDLEDEVFVEALESTEKLHEEGVKFESDGGVESVGDSSLVVGNANLDVGNEMEKFEAHESEGGDSGSVVVIEKSDVGSMMDKFEEPVFVPAEPGNPEGLTEVVGEEKVVDSVGGESVRKIDEGGTVVEVEGSVLNGGEVVSVELQDRKGEGLHENPVKVDTEDQDDKSGELIGDSAGLDSEDGIHKGGHPKGISATVDTEHGDTSNGDLKDTIASVDPEHHEETPELTAASAVPHTALEESTVVAETGSLNSLDKFVNEKNEDIQADTANLRAEDNKDSEPEHAAEKGNDAGQNNIVSGHPERIAETYPEKQNTPVNKEGERHPEPKLASLSGKSLRPPSLSHPAGLGRAAPLLEPATRAMQQLRANGAMSHMRPQQLDDPTDGESEEHDETHEKLKMIRVKFLRLVHRLGLAPNETVVAQVLYRLGIVEQLRGRNRGRIGTLSFDPASAMAGQLEADGQEPLDFSCTIMVLGKTGVGKSATINSIFDEAKLPTNAFQSGTKMVQDVVGTVQGIKLRVIDTPGLLPSGSDQRQNEKILLSVKNFIKKTPPDIVLYFDRLDMQSKDFGDLPLLRTVTKIFGPSIWQNAIVVLSHAASAPPDSPQGTVSSYEMFVTQRSHVVQQTIRQAAGDMWLVNPVSLVENHSACRRNRAGHRVLPNGQVWKPQLLLLSFASKILAEANAILKVQDGPPRKQFATRSRAPPLPYLLSSLLRSRPQVKLPEEQFGDEDGLDDDLDESLDSEDESEYDDLPPFKSLTKAQVAKLTKAQKNAYFDELEYREKLFMKKQLKEEKRQQRMMKKTAVATNPLPGDYSENAEEESEGPASVPVPMPDLSLPASFDSDNPSHRYRYLDNSNQWLVRPVLDTHGWDHDVGYEGINVERLFVVKDKIPVSFSGQVTKDKKDANVQMELASSIKHGEGKSTSLGFDMQTLGKDLGYTLHSETRFINFRKNKATAGLSVTLLGDALSAGVKVEDKLIANKRFQMVMSGGAMTGRGDVAYGGSLEAQLRDEDYPLGRSLSTLGLSVVDWHGVLAIGCNIQSQVPIGRSTNLIARANLNNKGAGQLSIRINSSEHLQIALAGLIPLLRKLFDYHEEMQLGQ >Potri.013G127800.1.v4.1 pep chromosome:Pop_tri_v4:13:13518748:13525716:-1 gene:Potri.013G127800.v4.1 transcript:Potri.013G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127800.v4.1 MASSSSLMMNPLTSPFHKSLKPESLLLVGPTASPTATQLWLLTQNKKLKQKPKSVSFSASSSSSPVVCKAVSVKQPETEIEGLNIAGNVTQLIGKTPMVYLNNIVKGSVANIAAKLEIMEPCCSVKDRIGHSMIADAEQRGLITPGKSVLVEPTSGNTGIGLAFIAASKGYKLILTMPASMSLERRVLFKAFGAELVLTDAAKGMKGAVQKAEEIVKRTPNAYMLQQFDNPANPKIHYETTGPEIWEDTRGKVDIFVAGIGTGGTISGVGRFLKEKNPKIKVIGIEPSESNILSGGKPGPHKIQGIGAGFVPRNLDRDVVDEVIEISSDEAVETAKQVALQEGLLVGISSGAAAAAAIKVGKRPENAGKLIAVVFPSFGERYLSTVLFQSIREECEKMQPEP >Potri.018G141166.1.v4.1 pep chromosome:Pop_tri_v4:18:14628133:14629077:-1 gene:Potri.018G141166.v4.1 transcript:Potri.018G141166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141166.v4.1 MIQLLSSEMTVLFCVMIMHVRGFLLGKETNEATVTHLRQDLAAHRAHMQTLANRLDRVAFEVESKYHLELQDLKDCLMVEQEEKNELNKKLQGLEKELLISKTKRVEQQQDLASSRLVETLKQKIMKLRKENEILKRKLSHSEEG >Potri.003G207750.2.v4.1 pep chromosome:Pop_tri_v4:3:20675738:20690460:-1 gene:Potri.003G207750.v4.1 transcript:Potri.003G207750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207750.v4.1 MVVEITTFIKALGNLTTVPRRSPSQKLTIHLKIGRTSSSDQQIMDHSHEVSLDINELANSLREQLKIKKAFSLACCIYRVPERLRKLNEKAYTPRVVSIGPIHRGKENLKAMEDYKIMYLKQFLEHNLLVSVEDLINIIKENETELRDSYAETIDLSKKDFVTMILLDAVFIIMVLLNMKCLKGGFMKGDVVTTFSIVHLS >Potri.003G207750.3.v4.1 pep chromosome:Pop_tri_v4:3:20675738:20690458:-1 gene:Potri.003G207750.v4.1 transcript:Potri.003G207750.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207750.v4.1 MVVEITTFIKALGNLTTVPRRSPSQKLTIHSDQQIMDHSHEVSLDINELANSLREQLKIKKAFSLACCIYRVPERLRKLNEKAYTPRVVSIGPIHRGKENLKAMEDYKIMYLKQFLEHNLLVSVEDLINIIKENETELRDSYAETIDLSKKDFVTMILLDAVFIIMVLLNMKCLKGGFMKGDVVTTFSIVHLS >Potri.003G207750.1.v4.1 pep chromosome:Pop_tri_v4:3:20687957:20690461:-1 gene:Potri.003G207750.v4.1 transcript:Potri.003G207750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207750.v4.1 MVVEITTFIKALGNLTTVPRRSPSQKLTIHHEVSLDINELANSLREQLKIKKAFSQACCICRVPERLRKLNEKAYTPRVVSIGPIHHGKENLKAMEDYKIMYLQQFLEQNLLVSVEVLINIIKENETELRDSYAETIDLSRKDFVTMILLDAVFIIMVLLNMKYFRGFYERRRSDHIFYRPFKLVDVMFDMCLLENQLPFFILQKFFELSSVAANPDNCTLIELTCGLLKYHGLTG >Potri.005G260000.1.v4.1 pep chromosome:Pop_tri_v4:5:24928510:24931252:-1 gene:Potri.005G260000.v4.1 transcript:Potri.005G260000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G260000.v4.1 MGSEQNRFPQQQQQQEQQSKRWGKCWGALSCFSVQKGGKRIMPASRIPEGNASAAQPNGPQPVGLTNQATALAPSLLAPPSSPASFTNSALPSTAQSPSCFLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSSAPLTPPPELAHLTTPSSPDVPFAQFLTSSRDLKSAEKNNYIVASDLQATYSLYPGSPASSLRSPISRTSGDCLSASFPERDFPTHWDPSVSPQNGKYSRNGPGRHFGPETAGASMVSQDSNFFCPATFAQFYLDHNPPFPNTGGRLSVSKDSDAYPAGGNGHQNRHNKSTKQDAEELEAYRASFGFSADEIITTPQYVEISDVTEDSFSMTPFTSTKPTMEESVEALSPDEGQKAYANYEDPKSRRQPGNISGSRTPGNHVVTDDDIFSKMASSKISRKYHLGISTSDAEIDYRRGRSLREGKGDFAWHD >Potri.005G260000.4.v4.1 pep chromosome:Pop_tri_v4:5:24928317:24930201:-1 gene:Potri.005G260000.v4.1 transcript:Potri.005G260000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G260000.v4.1 MPASRIPEGNASAAQPNGPQPVGLTNQATALAPSLLAPPSSPASFTNSALPSTAQSPSCFLSANSPGGPSSTMFATGPYAHETQLVSPPVFSTFTTEPSSAPLTPPPELAHLTTPSSPDVPFAQFLTSSRDLKSAEKNNYIVASDLQATYSLYPGSPASSLRSPISRTSGDCLSASFPERDFPTHWDPSVSPQNGKYSRNGPGRHFGPETAGASMVSQDSNFFCPATFAQFYLDHNPPFPNTGGRLSVSKDSDAYPAGGNGHQNRHNKSTKQDAEELEAYRASFGFSADEIITTPQYVEISDVTEDSFSMTPFTSTKPTMEESVEALSPDEGQKAYANYEDPKSRRQPGNISGSRTPGNHVVTDDDIFSKMASSKISRKYHLGISTSDAEIDYRRGRSLREGKGDFAWHD >Potri.007G069100.2.v4.1 pep chromosome:Pop_tri_v4:7:8953214:8955165:1 gene:Potri.007G069100.v4.1 transcript:Potri.007G069100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069100.v4.1 MEAKPSSLVIKVGSLLVNSQRLIFSSKNPLCSSCILSGTFKSVTCSTISQTQSETVSGGGAEQPANSVEVLRRYGCSDDDIEKMFLRRPSLRNADLSQLQFKLNLLWGLGITSNDLVKIINCRPRLLSVRINHFFDERLEYFMTLFGSRETLLKAIIRNPSLLTYDFHNRIKPVITLYEGLGISREDLVPLLLSRPTMIPRTSFNDEKMEYIRKSGVSKDSNMFKHVVSIIGVSRSQTICEKAANIEKFGMSNEEVWHLIGRSPYLLTLSVDKVQRNMTFVVGTMKLPANVILEHPFLLYNNLEAVLKPRWLLAGKIEEMGLCPKIKGSLMLRALRMAEQRFLKAFVSCHPDVVAKELMEFYTTAKCVKRLAVSSKKAVFKGFPF >Potri.004G162500.1.v4.1 pep chromosome:Pop_tri_v4:4:18227041:18234868:1 gene:Potri.004G162500.v4.1 transcript:Potri.004G162500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162500.v4.1 MSRRRDEDDDLEDEEYEEQEEQVMDEEEEEYYEGEEEEDIGASIKKRRRSDFFDDIAEEEEEEEEDEDDEDYGGGGGGGGGGGGRKQKGKKRRGSEFFDDIAQVASDDEEDEDDGEDDFIVDDHGADLPDEGSGRRMHRRPLLPAEEDQEDVEALERSIQARYAKSMHSEYDEETTEVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSAIALDHLKNYIYIEADKEAHVREACKGLRNIFGQKIMLVPIKEMTDVLSVESQVIDLSRDTWVRMKIGNYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREAPKKKAFVPPPRFMNVEEARELHIRVERRRDPMTGDYFENIGGMLFKDGFLYKTVSMKSISAQNIKPSFDELEKFRTPGENGDGDIASLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVDEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVSGTHEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGATNIGGYELHDLVLLDNMSFGLIIRVESEAFQVLKGVPERPDVALVRLREIKCKIEKKTNVQDRYKNTVSVKDVVRIIDGPCKGKQGPVEHIYRGVLFIYDRHHLEHAGFICAKSHSCVVVGGSRSNGDRNGDSYSRLSSFKTPPRVPPSPKRFSRGGPPFESGGRNRGGRGGHDALVGTTIKVRQGPFKGYRGRVVDIKGQLVRVELESQMKVVTVDRSHISDNVVVSTPYRDTLRYGMGSETPMHPSRTPLRPYMTPKRDAGATPIHDGMRTPMRDRAWNPYAPMSPLRDNWEDGNPGSWGTSPQYQPGSPPSGTYEAPTPGSGWASTPGGNYSEAGTPRDSSSAYANAPSPYLPSTPGGQPMTPGSASYLPGTPGGQLMTPGTNGLDMMSPVIGGDGEGPWFIPDILVNVHRTTDEPTVGIIREVLQDGSCKIALGANGNGETLTALPSEIEIVVPRKSDKIKILGGAHRGVTGKLIGVDGTDGIVKLEDTLDVKILDMAILAKLAQM >Potri.004G162500.2.v4.1 pep chromosome:Pop_tri_v4:4:18227028:18234969:1 gene:Potri.004G162500.v4.1 transcript:Potri.004G162500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162500.v4.1 MSRRRDEDDDLEDEEYEEQEEQVMDEEEEEYYEGEEEEDIGASIKKRRRSDFFDDIAEEEEEEEEDEDDEDYGGGGGGGGGGGGRKQKGKKRRGSEFFDDIAQVASDDEEDEDDGEDDFIVDDHGADLPDEGSGRRMHRRPLLPAEEDQEDVEALERSIQARYAKSMHSEYDEETTEVEQQALLPSVRDPKLWMVKCAIGRERETAVCLMQKYIDKGSELQIRSAIALDHLKNYIYIEADKEAHVREACKGLRNIFGQKIMLVPIKEMTDVLSVESQVIDLSRDTWVRMKIGNYKGDLAKVVDVDNVRQRVTVKLIPRIDLQALANKLEGREAPKKKAFVPPPRFMNVEEARELHIRVERRRDPMTGDYFENIGGMLFKDGFLYKTVSMKSISAQNIKPSFDELEKFRTPGENGDGDIASLSTLFANRKKGHFMKGDAVIVVKGDLKNLKGWVEKVDEENVHIRPEMKGLPKTLAVNEKELCKYFEPGNHVKVVSGTHEGATGMVVKVEQHVLIILSDTTKEHIRVFADDVVESSEVTTGATNIGGYELHDLVLLDNMSFGLIIRVESEAFQVLKGVPERPDVALVRLREIKCKIEKKTNVQDRYKNTVSVKDVVRIIDGPCKGKQGPVEHIYRGVLFIYDRHHLEHAGFICAKSHSCVVVGGSRSNGDRNGDSYSRLSSFKTPPRVPPSPKRFSRGGPPFESGGRNRGGRGGHDALVGTTIKVRQGPFKGYRGRVVDIKGQLVRVELESQMKVVTVDRSHISDNVVVSTPYRDTLRYGMGSETPMHPSRTPLRPYMTPKRDAGATPIHDGMRTPMRDRAWNPYAPMSPLRDNWEDGNPGSWGTSPQYQPGSPPSGTYEAPTPGSGWASTPGGNYSEAGTPRDSSSAYANAPSPYLPSTPGGQPMTPGSASYLPGTPGGQLMTPGTNGLDMMSPVIGGDGEGPWFIPDILVNVHRTTDEPTVGIIREVLQDGSCKIALGANGNGETLTALPSEIEIVVPRKSDKIKILGGAHRGVTGKLIGVDGTDGIVKLEDTLDVKILDMAILAKLAQM >Potri.010G193700.2.v4.1 pep chromosome:Pop_tri_v4:10:18854705:18856905:-1 gene:Potri.010G193700.v4.1 transcript:Potri.010G193700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193700.v4.1 MNPLVSFLSFCFFSLTLFPSITTATSLPPSPSPSVSTTTTLGVTYTSPPPLSPSSTPSLPADRVLSALSSLNIHSLRLPNPDPNLIRSFAFTNTSLFLSIPNSLLPPLAANRSLAARWLYGHVLPFYPRSKISLISVGDDAVSQLSPFLLPAIRNVYLALRDLGIKKISVSTTFSFVNVITTPFPPSSGTFQEPLGELLIKPLLQFLEDTNSSFLVNLYPYNLYRINSEIPLGFALFQEHPFNFRDDLITGVRYWNLFDMMVDAVVSALAVAGHENLPVIVAETGWPSTGGDQTEVDAKLEYAEMYIRGLVGHLRSGVGTPLRKEGVSQAYIFELIDKDNVKQGTRSWGILYANMSKKYHVEFSGCGGSGVGRVLSMRVCLVWWLLAGFFLV >Potri.007G100100.2.v4.1 pep chromosome:Pop_tri_v4:7:12475557:12478119:1 gene:Potri.007G100100.v4.1 transcript:Potri.007G100100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100100.v4.1 MGENAASKNCHNNHHLHNHHQVFDISIDVLPQNGSKCFDDDGRLKRTGTLWTASAHIITAVIGSGVLSLAWAVAQLGWVAGPAVMFLFSLVTYYTSSLLTDCYRTGDPDTGKRNYTYMDAVESILGGVKVKLCGFIQYLGLFGIAIGYTIASSISMMAIKRSNCFHQSGGQNPCHLSSNPYMIMFGITEILLSQIPDFDQLWWLSIVAAVMSFTYSSIGLGLGIGKVAVNGTFKGSLTGISIGTVTETEKIWRSFQALGAIAFAYSYSVILIEIQDTVKSPPAESKTMKKAARISIVVTTTFYMLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLIDIANAAIVIHLVGAYQVFCQPLFAFIEKWANQKWPKSYFITKEFNIAVPGLGLYKLNLFRLVWRTIFVILTTVISMLLPFFNDVVGILGALGFWPLTVYFPVEMYIVQRKIPKWSTRWICLQMLSMACLVISLVAVAGSLAGVVLDLKAYKPFKTSY >Potri.007G100100.3.v4.1 pep chromosome:Pop_tri_v4:7:12473647:12478101:1 gene:Potri.007G100100.v4.1 transcript:Potri.007G100100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100100.v4.1 MGENAASKNCHNNHHLHNHHQVFDISIDVLPQNGSKCFDDDGRLKRTGTLWTASAHIITAVIGSGVLSLAWAVAQLGWVAGPAVMFLFSLVTYYTSSLLTDCYRTGDPDTGKRNYTYMDAVESILGGVKVKLCGFIQYLGLFGIAIGYTIASSISMMAIKRSNCFHQSGGQNPCHLSSNPYMIMFGITEILLSQIPDFDQLWWLSIVAAVMSFTYSSIGLGLGIGKVAVNGTFKGSLTGISIGTVTETEKIWRSFQALGAIAFAYSYSVILIEIQDTVKSPPAESKTMKKAARISIVVTTTFYMLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLIDIANAAIVIHLVGAYQVFCQPLFAFIEKWANQKWPKSYFITKEFNIAVPGLGLYKLNLFRLVWRTIFVILTTVISMLLPFFNDVVGILGALGFWPLTVYFPVEMYIVQRKIPKWSTRWICLQMLSMACLVISLVAVAGSLAGVVLDLKAYKPFKTSY >Potri.007G100100.1.v4.1 pep chromosome:Pop_tri_v4:7:12473647:12478161:1 gene:Potri.007G100100.v4.1 transcript:Potri.007G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100100.v4.1 MQMGENAASKNCHNNHHLHNHHQVFDISIDVLPQNGSKCFDDDGRLKRTGTLWTASAHIITAVIGSGVLSLAWAVAQLGWVAGPAVMFLFSLVTYYTSSLLTDCYRTGDPDTGKRNYTYMDAVESILGGVKVKLCGFIQYLGLFGIAIGYTIASSISMMAIKRSNCFHQSGGQNPCHLSSNPYMIMFGITEILLSQIPDFDQLWWLSIVAAVMSFTYSSIGLGLGIGKVAVNGTFKGSLTGISIGTVTETEKIWRSFQALGAIAFAYSYSVILIEIQDTVKSPPAESKTMKKAARISIVVTTTFYMLCGCMGYAAFGDLAPGNLLTGFGFYNPYWLIDIANAAIVIHLVGAYQVFCQPLFAFIEKWANQKWPKSYFITKEFNIAVPGLGLYKLNLFRLVWRTIFVILTTVISMLLPFFNDVVGILGALGFWPLTVYFPVEMYIVQRKIPKWSTRWICLQMLSMACLVISLVAVAGSLAGVVLDLKAYKPFKTSY >Potri.011G097900.1.v4.1 pep chromosome:Pop_tri_v4:11:12620941:12622553:1 gene:Potri.011G097900.v4.1 transcript:Potri.011G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097900.v4.1 MSDLISKRSSFRILMFPWFAVGHLTPFLHLSNKLAEKGCTISFLLPNKAIKLLQHFNLYPDHITFHPVKVPHVEGLPLGTETASDIPIHLTHFLCVAMDRTRDQVEKIIRDQKPDFVMYDMAYWIPEVARPLGIKTIKYSVVSAAAIAIVLVPARNVVEGKAITAAELSVPPTGYPSTSVVLRGHEVRSLLFVSQPYGEGTTFYERACTGMKGCDAIAIRSCYEMEEKLCDYIGRQYGKPVFLTGPVLPESARTPLEDRWAQWLNRFEAGSVVFCSFGSQLILEKEQLQELVLGFESTGLPFLVVLKPPVGSSTIEEALPEGFEERVKGRGVVWGGWVQQLEILDHPSIGCFVNTCGFGSMWESLMSDCQIVLVPHLGDQILNTRLMAEELKVAVEVERDEKGWFTKENLSNAIKCVMDKDSEVGSMIKKNHTEWRKLLRSEGFMSSYFDKFFQNMQELVDHK >Potri.006G273250.1.v4.1 pep chromosome:Pop_tri_v4:6:26581848:26583673:1 gene:Potri.006G273250.v4.1 transcript:Potri.006G273250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273250.v4.1 MPPVIEQHQHTCLMHLEKLDLQCLGSFKGLCHGALPAELSMSLQKLKSMRFFKCVKLSSVFASLELLQRFDELEELSVDSCEALEYVFNLKIEKPAFEEKKMLSHLRELALCDLPAMKCIWDGPTRLLRLHNLQIADIQNCKKLKVLFDASVAQSLCQLKKLLVKGCDELETVVAKEPQRQDGRVTVDIVVLPQLVELSLLYLPNLAAFCLDSLPFKWPSLEKVEVRQCPKMETFAAIVDSDENQSTPKLKQIKLDEVDLILHGRSLNKFIQKYSEARELRNLINDHQSRMQGLTES >Potri.005G029800.2.v4.1 pep chromosome:Pop_tri_v4:5:1927543:1930691:1 gene:Potri.005G029800.v4.1 transcript:Potri.005G029800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029800.v4.1 MDYEIAGLVLAVLLWVAWAVVTERRYRRSEEQGQLPPGPRPLPVVGNIFQLGWAPHESFTNLARVHGPIMTIWLGSMCNVVISSSEVAREMFKNHDAVLAGRKIYEAMKGDFGNEGSIITAQYGPHWRMLRRLCTTEFFVSSRLDAMQGARTRCIDGMLQYIEDGSANGTRAIDLGRYIFLMAFNLIGNLMFSKDLLDPKSEKGAKFFQHAGKVTELAGKPNMADFFPILRWLDPQGIRRKTQFHVARAFEIAGGFIKERTESVQKENSRDDKRKDYLDVILEFRGDGVEEPSRFSSTTINVIVFVSSISFPLVLLISSVLSLTHSTK >Potri.005G029800.1.v4.1 pep chromosome:Pop_tri_v4:5:1927394:1931605:1 gene:Potri.005G029800.v4.1 transcript:Potri.005G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029800.v4.1 MKFYIKWSLPRVQAKPALVIHTKKDMDYEIAGLVLAVLLWVAWAVVTERRYRRSEEQGQLPPGPRPLPVVGNIFQLGWAPHESFTNLARVHGPIMTIWLGSMCNVVISSSEVAREMFKNHDAVLAGRKIYEAMKGDFGNEGSIITAQYGPHWRMLRRLCTTEFFVSSRLDAMQGARTRCIDGMLQYIEDGSANGTRAIDLGRYIFLMAFNLIGNLMFSKDLLDPKSEKGAKFFQHAGKVTELAGKPNMADFFPILRWLDPQGIRRKTQFHVARAFEIAGGFIKERTESVQKENSRDDKRKDYLDVILEFRGDGVEEPSRFSSTTINVIVFEMFTAGTDTTTSTLEWAMAELLHNPKVLKTVQSELRSTIGPNKKLEDKDVENLPYLKAVIRETLRLHPPLPFLVPHMAMNPCKMLGYYVPKETTILVNVWAIGRDSKTWDDPLVFKPERFLEANMVDYKGRHFEFIPFGSGRRMCPAMPLASRVLPLALGSLLLSFDWILPDGLKPEDMDMTEKMGITLRKSVPLKVIPTPYKGSSDHYEF >Potri.002G134800.1.v4.1 pep chromosome:Pop_tri_v4:2:10149792:10151489:1 gene:Potri.002G134800.v4.1 transcript:Potri.002G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134800.v4.1 MQGTEDLHSVSSPRRVLSFSKRRKATVSFLDPDDKAASGFGVSGDHGPKSSEVYGFVGSITTVVATAIFFVWAYVPENWLQAIGIFYYPNKYWALAVPTYGMVTILLALLFYVGLNFMSTPPPTSLNTIFDEFSREPSTFIPSLEGDEQPIEPISDIGINKINDLMFNDVK >Potri.013G008700.1.v4.1 pep chromosome:Pop_tri_v4:13:574619:576707:-1 gene:Potri.013G008700.v4.1 transcript:Potri.013G008700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008700.v4.1 MDFQKKRVQLLAFIVGIIALSITAEKCRQLVGDDNSSQSGKFTIFDCFDMGSGTLACAVKEGVKLYVYNIRSAHVERARNLAIERSLLDAVGQGMSPQDAAKTAQKEGTKAAKLAKQQAKRIVGPVISSGWDFFEALYYGGTITEGFLRGSGTLVGAYAGGFLGDERLGRVGYLVGSHLGSWVGGRIGLMVYDVVDGVHYLLQFVQGEDSEVYESPPDESPESYEHSEFR >Potri.010G095050.1.v4.1 pep chromosome:Pop_tri_v4:10:11891364:11891615:-1 gene:Potri.010G095050.v4.1 transcript:Potri.010G095050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G095050.v4.1 METRIFKTENIQGMCCCYKGQIWSQTQMRNED >Potri.011G084000.1.v4.1 pep chromosome:Pop_tri_v4:11:9268337:9271639:-1 gene:Potri.011G084000.v4.1 transcript:Potri.011G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G084000.v4.1 MEALDLLFSITSTFFTSLALSLLLVFRTLFTRRPSSTIHSNAVSLYQGTVWHERRRPVHHSFLYSVRYALFDLDHAHAPPDHLSADEARQVAQTTGPVFLLTIPPSVGYEQNPLSLYYCYDMDGSARLLKKCIAEVTNTPWGERVVFIFDPNSDVVAKPLHVSPFMDMLGNWNIKANDPTDSLSVFISVQHPELGDYFVASLKAKRLSSVSDHAMFFWLMPHKVALWIYWHALKLWWKNVHFIQHPRYTNPTYREEAMIRDKKLQCNQALAWDRGNCLQADGHHPGNLADRKRWFKWRDAKRPWC >Potri.004G043600.2.v4.1 pep chromosome:Pop_tri_v4:4:3447708:3448564:-1 gene:Potri.004G043600.v4.1 transcript:Potri.004G043600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043600.v4.1 MGHSNIWNSHPKNYGPGSRTCRVCGNPHGIIRKYGLLCCRRCFSSNAKEIGFIKYR >Potri.004G179800.2.v4.1 pep chromosome:Pop_tri_v4:4:19416357:19417234:-1 gene:Potri.004G179800.v4.1 transcript:Potri.004G179800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179800.v4.1 MLCMQALPPYPTNNTQEGKKKRKEFKVASQSRTMMKVANLIAVFILLALASSFVTAYDPSPLQDFCVAIDDANSAVLVNGKLCKNPSLATPDDFSYSGLDVPGNTSNQLGARVNIITADLMPGLNTLGVSLARIDLAPNGGLNPPHYHPRGSEVLLVLEGTLYAGFVTSNPDHRLFTKILKPGDLFVFPFGLIHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASWPLYPEVLTTAFHLDEKLVEDLQSQEWVNPT >Potri.014G145600.1.v4.1 pep chromosome:Pop_tri_v4:14:9952355:9953576:-1 gene:Potri.014G145600.v4.1 transcript:Potri.014G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145600.v4.1 MACTIDFRRLDEGFGGKTYKRKREEEALQLTATTDASMEIDAPAAKRTAIPSSDNPDKPVAVGKPTYDGVIAGKVSGRKWKQPRKQRASAKQVSKRGTRYEEREREKEIKKAYRERKNELKEEIRKNKVEKRKMREEREKRKQENILKSGTKLQKITNPKTLKKIAKSKDRKLLKVVPDDLVNKKRKNSNED >Potri.010G113500.2.v4.1 pep chromosome:Pop_tri_v4:10:13323711:13326591:1 gene:Potri.010G113500.v4.1 transcript:Potri.010G113500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113500.v4.1 MSRIIFSSSLILHQLYPTSSLDCFRRIVKMSVTETSNPGISFESMIIKPPSHPTYDLKGVIKLALAEDAGDRGDVTCLATIPFYMEVEAHFLAKEDGIIAGISLAEMIFHEVDPSLKVEWSRKDGDCVRNGLQFGKSLTMVDAAHPACILDTRKTAPGLHLVDKWVVLIGGGRNHRMGLFDMVMKRDNHMSVAGGIINAIKSFDRYLEIV >Potri.006G127200.7.v4.1 pep chromosome:Pop_tri_v4:6:10240830:10243186:1 gene:Potri.006G127200.v4.1 transcript:Potri.006G127200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127200.v4.1 MSATSASSLVLPSLNHPNTIKSFYSKPTSLSFLSLSSTSSIKPASLFLSFQQKQQQPLSSRFLKSVAISSEFGQEEDVFGDGDEPSFSPDLQLFVGNLPFNVNSAQLADLFKSAGNVEMVEVKYDKVTGRSRGFGFVTMSTIEEVEAASQQFNGYELDGRPLRVNSGPPPQRETSFSRLPQRENSFSRGPGARGGETFDSSNRVYVGNLSWNVDDSALESLFREKGKVMDAKVVYDRDSGRSKGFGFVTYSSAEEVEDAVDSLNGAELDGRAIRVSVAEAKPRRRF >Potri.006G127200.2.v4.1 pep chromosome:Pop_tri_v4:6:10240785:10244056:1 gene:Potri.006G127200.v4.1 transcript:Potri.006G127200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127200.v4.1 MSATSASSLVLPSLNHPNTIKSFYSKPTSLSFLSLSSTSSIKPASLFLSFQQKQQQPLSSRFLKSVAISSEFGQEEDVFGDGDEPSFSPDLQLFVGNLPFNVNSAQLADLFKSAGNVEMVEVKYDKVTGRSRGFGFVTMSTIEEVEAASQQFNGYELDGRPLRVNSGPPPQRETSFSRLPQRENSFSRGPGARGGETFDSSNRVYVGNLSWNVDDSALESLFREKGKVMDAKVVYDRDSGRSKGFGFVTYSSAEEVEDAVDSLNGAELDGRAIRVSVAEAKPRRRF >Potri.006G127200.6.v4.1 pep chromosome:Pop_tri_v4:6:10240874:10243152:1 gene:Potri.006G127200.v4.1 transcript:Potri.006G127200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127200.v4.1 MSATSASSLVLPSLNHPNTIKSFYSKPTSLSFLSLSSTSSIKPASLFLSFQQKQQQPLSSRFLKSVAISSEFGQEEDVFGDGDEPSFSPDLQLFVGNLPFNVNSAQLADLFKSAGNVEMVEVKYDKVTGRSRGFGFVTMSTIEEVEAASQQFNGYELDGRPLRVNSGPPPQRETSFSRLPQRENSFSRGPGARGGETFDSSNRVYVGNLSWNVDDSALESLFREKGKVMDAKVVYDRDSGRSKGFGFVTYSSAEEVEDAVDSLNGAFVWCRNWMAEQFESQLQKLSQGVDFEH >Potri.001G385750.1.v4.1 pep chromosome:Pop_tri_v4:1:40495948:40499083:1 gene:Potri.001G385750.v4.1 transcript:Potri.001G385750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385750.v4.1 MIEVPELYVTVQTLQNISNKLNISNWATINRTSCGSAQWNQTIDSKTESIVTCNCTFENGSVCHVTSISVKGFNLNGVLPEELGDLPHLLEIDLTRNYINGTIPPRLAQLPNLQILSLIVNRLTGPIPPEIGNITTLEELVLEDNLLGGPLPPDLGNLTSLRRLLLSANNFTGTIPDTFGNLKNLNEFRIDGSELSGKIPTLLGTGPTLRHLVYFSCRDLQGTSMEGPIPSTISLLKKLTTLRISDLKGSSSTFPDLKDMTKMEKLNCSLTGSIQEYLGNMADLDTLDLSFNKLTGQIPGPLESLKKNIKFMFLNNNSLTGEVPAWILGSRKDL >Potri.015G048600.1.v4.1 pep chromosome:Pop_tri_v4:15:5090710:5093914:-1 gene:Potri.015G048600.v4.1 transcript:Potri.015G048600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048600.v4.1 MSTPLTMTRLVRWRVRDWASCFLACRFSLDDEQETFPNSSSKLPIRNMVFGVKKDSTRGGNKRNKKLSKKNKKFRQVNPGSTQATVDSSVSVQNCNSRDSCRPHLQDEEYIVFCFGEDGGFDVVKECKSPETFLHFTANNTSPRSVNRKLHCVEVEVSETVRKSSHQRKSIVANGHETEMTPVKNEEEAADSHSGLDPPCNARTRWCHIGEIDNCGTVSAKSSDSNQSDGSSTGSFSFPVMHWELIGSPVQMPKSESLHARKHKAPCARFQCCRF >Potri.006G050300.1.v4.1 pep chromosome:Pop_tri_v4:6:3507723:3509469:1 gene:Potri.006G050300.v4.1 transcript:Potri.006G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050300.v4.1 MALFLALCFAIILSFCQGSAHAAIPPRPLDGLVENGNFEQAPAKSNLKKRAIIGKYSLPKWEINGIVEYVSGGPQPGGFYLAIPRGVHAVRLGNEASISQTLTVKPGSIYALTFGATRTCAQDEVLRVSVPGQSSDLPLQTLYSSNGGDTYALAWKATSKAVKVTFHNPGIQEDPTCGPLLDAIAIKEMLPLKYSKGNLVKNGGFEVGPHVFKNFSTGILLPPKKQDQISPLPGWIIESLKPVKYIDSKHFFVPKGFAAIELVAGRESAIAQVIRTIPDKFYNLTFTIGDAKNACHGSMMVEAFAARETLKVPYVSQGKGGYKTATLRFRAISARTRITFYSAYYHTKLHDYGHMCGPVLDDVRVFPTH >Potri.001G366900.3.v4.1 pep chromosome:Pop_tri_v4:1:38318875:38319162:-1 gene:Potri.001G366900.v4.1 transcript:Potri.001G366900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366900.v4.1 MEIKEKLLNYKYHIFFTLVFSLILVSIIVVAPSFLTILAYFCPLLLSTALFLGAVIFFGKTSLPGTDSSSDKAGEGLLDYVAGQPEQAVESFKSD >Potri.009G099032.1.v4.1 pep chromosome:Pop_tri_v4:9:8827132:8829584:-1 gene:Potri.009G099032.v4.1 transcript:Potri.009G099032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099032.v4.1 MGSEVNQLHALFFPFMAHGHMIPLVDMAKLFASRGLKTTIVTTPLNVPFFSKTVQRIKNLGFEINIRTIEFSTVETGLPEGCENADLIISQAMGWDMLKKFFVATTILQEPLERLLEEIHPDCLIADMFFPWTTDAAAKFGIPRLVFHGTSFFSLCVGESMRLYEPHKKVSSDCEPFFMPNLPDDIKLTRNELPYPERHDDGSDFNKMYKKVKEGDSKSYGVVVNSFYELEPVYADHYRKAFGRKAWHVGPVSLCNRNIDDKAERGREASINENECLKWLDSKKPNSVVYICFGSMASFSASQLKEIATGLEASGQQFIWVVGRNKNSEEDKEDWLPEGFEERMGDKGLIIRGWAPQVLILDHEAIGGFVTHCGWNSTVEGIAAGVPMATCPLSAEQFYNEKLVTQILKIGIRIGVQEQALLGGDSIKSKALEEAVSRLMEGEEAEEMRGKAKAFGKMARKAVEEGGSSYSDLNALIEELSFCHQG >Potri.015G110000.3.v4.1 pep chromosome:Pop_tri_v4:15:12744220:12750460:1 gene:Potri.015G110000.v4.1 transcript:Potri.015G110000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110000.v4.1 MEVLLSSSSPLSVHSRLAFYALKKPKDPTIAFHSSNSNAISSSFSSCFGISISQRLQSKKTLFLKRFNSSKKRRILQVSAVFERFTERAIKAVIFSQREAIALGKDTVFTQHLLLGLIGEDCDPKGFLGSGIKIDEAREVVKSTWDSESDSVDASESVSKEESGVSPSNVPFSINTKRVFEVAVEYSRAMGHNFIAPEHIAIGLFTVEDGNADRVLKRFGVDGDHLAAIAVTKLQGELVKDGREPSVESKGKREKSFSKKAARDKSALAQFCVDLTAQASEGLIDPVIGRHSEIERIVQILCRRAKNNPILLGESGVGKTAIAEGLATSIAQADVPVFLLEKRVMSLDVGLLIAGAKERGELEARVTTLIREILKEGNIILFIDEVHTLVGSGTVGKGNKGSGLDIANLLKPSLGRGEFQCIASTTVDEYRTHFENDKALARRFQPVLINEPSQEDAVRILLGLQQKYEAHHNCRFTLEAINAAVNLSARYIADRYLPDKAIDLIDEAGSRARIEAYRRKKEQKSFILSKSPDDYWQEIRTVQAMHEVVLASRLTNDDSASSMDGTGEITLESRLPPALNDDEPPVVGRDDIAAVASLWSGIPVQQLTAEERMFLVDLEEELRKRVIGQDEAIAAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELTKALARSYFGSESAMLRLDMSEYMERHTVSKLIGAPPGYVGYGEGGILTEAIRKQPFTVVLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSTAIAKGGRVSIGFMIADDENSSYAAIKSLVMEELKGYFRPELLNRIDEVVVFHPLEKAQTLQILNIMLQDVKERLISLGIGLEVSESIKDIVCQQGYDQFYGARPLRRAVTQIIENPLSEAFLAGDFKPGDTAFFDLDASGNPVVSHWSAMRMHLSETTSTF >Potri.015G110000.2.v4.1 pep chromosome:Pop_tri_v4:15:12744103:12750460:1 gene:Potri.015G110000.v4.1 transcript:Potri.015G110000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110000.v4.1 MEVLLSSSSPLSVHSRLAFYALKKPKDPTIAFHSSNSNAISSSFSSCFGISISQRLQSKKTLFLKRFNSSKKRRILQVSAVFERFTERAIKAVIFSQREAIALGKDTVFTQHLLLGLIGEDCDPKGFLGSGIKIDEAREVVKSTWDSESDSVDASESVSKEESGVSPSNVPFSINTKRVFEVAVEYSRAMGHNFIAPEHIAIGLFTVEDGNADRVLKRFGVDGDHLAAIAVTKLQGELVKDGREPSVESKGKREKSFSKKAARDKSALAQFCVDLTAQASEGLIDPVIGRHSEIERIVQILCRRAKNNPILLGESGVGKTAIAEGLATSIAQADVPVFLLEKRVMSLDVGLLIAGAKERGELEARVTTLIREILKEGNIILFIDEVHTLVGSGTVGKGNKGSGLDIANLLKPSLGRGEFQCIASTTVDEYRTHFENDKALARRFQPVLINEPSQEDAVRILLGLQQKYEAHHNCRFTLEAINAAVNLSARYIADRYLPDKAIDLIDEAGSRARIEAYRRKKEQKSFILSKSPDDYWQEIRTVQAMHEVVLASRLTNDDSASSMDGTGEITLESRLPPALNDDEPPVVGRDDIAAVASLWSGIPVQQLTAEERMFLVDLEEELRKRVIGQDEAIAAISRAVKRSRVGLKDPDRPIAAMLFCGPTGVGKTELTKALARSYFGSESAMLRLDMSEYMERHTVSKLIGAPPGYVGYGEGGILTEAIRKQPFTVVLLDEIEKAHPDIFNILLQLFEDGHLTDSQGRRVSFKNALVVMTSNVGSTAIAKGGRVSIGFMIADDENSSYAAIKSLVMEELKGYFRPELLNRIDEVVVFHPLEKAQTLQILNIMLQDVKERLISLGIGLEVSESIKDIVCQQGYDQFYGARPLRRAVTQIIENPLSEAFLAGDFKPGDTAFFDLDASGNPVVSHWSAMRMHLSETTSTF >Potri.005G170600.2.v4.1 pep chromosome:Pop_tri_v4:5:17473422:17476956:-1 gene:Potri.005G170600.v4.1 transcript:Potri.005G170600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170600.v4.1 MDSRNGSVSETPLDAEIGSFFDSAPPLKDCDGIAKKLKDFIEFNSPPPGKGSPTGVVCVTSGGTTVPLEQCCVRYIDNFSSGHRGATSTEYFIKAGYAVIFLYRRGTFQPYCRSLPEDSLLECFECSDDSAIQVRQPYAEAVKRAISDHHAAVAGGHLLKIPFTTIFEYLQILRSIAMSMRDLGSHAVYYLAAAVSDFYVPWKSMAEHKIQSASGPLDMRLVQVPKMLSALKKAWAPMAFCISFKLETDSKILLEKAEMALKKYRMHMVVANELSTRKEEVTVVTGNEKILVCRDKTQSDSDVEEPLIELIVGRHSAYVKDSDL >Potri.013G148300.1.v4.1 pep chromosome:Pop_tri_v4:13:14485232:14485958:-1 gene:Potri.013G148300.v4.1 transcript:Potri.013G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148300.v4.1 MSLRSISKEEFHPAGSQRMQSVKDKAPKTDLKEAASSKQTISFSGNFSFNDLNKSGKNSEDNKLKQAEESLRTVMYLSCWGPNS >Potri.012G142200.1.v4.1 pep chromosome:Pop_tri_v4:12:15371427:15383897:-1 gene:Potri.012G142200.v4.1 transcript:Potri.012G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142200.v4.1 MQISPLYNTPINWANKTPFFLHSPSYLLINKPNRKLFQSRRRSQYFNTSKSNISRSGVFFKGMPCDSIAKTSGGYGGGDENGSLRNFKLNESTFLASLMPKKEIGADRFIEAHPQYDGRGTIIAIFDSGVDPAASGLEVTSDGKPKVLDVIDCTGSGDIDTSKVVKADANGCIQGALGASLVVNSSWKNPSGEWHVGYKFLFELLTGTLTSRLKKERKKKWDEKNQEEIAKAVKHLDEFNQKHSNPEDADLKRVREDLQNRIDLLRKQADVYDDKGPIIDAVVWHDGELWRAALDTQSLEDDSDCGKLANFVPLTNYRIERKYGVFSKLDACTFVLNVYSDGNILSIVTDCSPHGTHVAGIATAFHPKESLLNGVAPGAQLISCKIGDTRLGSMETGTGLTRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEVVNKHRLIFVSSAGNSGPALSTVGAPGGTSSSIIGVGAYVSPAMAAGAHCVVEPPAEGLEYTWSSRGPTSDGDLGVSISAPGGAVAPVPTWTLQKRMLMNGTSMASPSACGGIALLISAMKAEGIPVSPYSVRKALENTSVPVGESPADKLSTGQGLMQVDRAHEYIRQSRNIPCVWYEIKVNQSGKTTPTSRGIYLRDASACKQPTEWTVQVQPKFHEGASNLEELVCFEECIELHSTEKTVVRAPEYLLLTNNGRSFNIVVDPTKLSDGLHYYEVYGVDCRAPWRGPIFRIPVTITKPMEVKNQPPVVSFSGMSFLPGHIERRYIEVPLGATWVEATMRTSGFDTTRRFFVDTVQICPLQRPLKWESVVTFSSPTAKSFAFPVVGGQTMELAVAQFWSSGIGSHETTIVDFEIVFHGIAINKEEIILDGSEAPVRIDAEALLSSEKLAPAAILNKIRVPYRPVDAKLSTLIESRDKLPSGKQTLALTLTYKFKLEDGAAVKPQVPLLNNRIYDTKFESQFYMISDTNKRVYAMGDAYPNAAKLPKGEYNLRLYLRHDNVQYLEKMKQLVLFIERNLDGKEVIQLNFFSEPDGPVMGNGAFKSSVLVPGKKEAIYLGPPVKDKLPKNAPQGSILLGSISYGKLSFAGEEGGRSPQKNPASYRITYVVPPNKVDEDKGKSSSTNSKTVSERLEEEVRDAKIRVVSSLKQDTDEERSEWKKLSASLKSEYPNYTPLLAKILEGLLSQSNVEDKIRHHEEVIDAANEAIDSIDQDEVAKFFLHKSDPEDEEAEKMKKKMETTRDQLAEALYQKGLALMEIESLKGETAETEGTKDLFEDNFKELQKWVDTKSSKYGTLLVLRERRRGRLGAALKALNEMIQDNGDPPKKKLYELKLSLLDEIGWDHLTTHEKEWMHVRFPPSLPLF >Potri.003G116550.1.v4.1 pep chromosome:Pop_tri_v4:3:13861739:13862993:1 gene:Potri.003G116550.v4.1 transcript:Potri.003G116550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116550.v4.1 MNPLLIKLPSGVANAGLSLRDKKLTCAVDPPTLEFTKTQTNDRWKDCWVSLPRRKKTSQSLIKETSKYSKFQTSKRLPSFLFSELVLAIFSARKR >Potri.014G108100.1.v4.1 pep chromosome:Pop_tri_v4:14:7262854:7265148:-1 gene:Potri.014G108100.v4.1 transcript:Potri.014G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108100.v4.1 MNYNFPSAMNSSPNPNPSIPEVGYRFHPTNEELVCNYLKPKILGDEVEDLSIMPVVHVCKHEPSELPDKSNIKSKDAVWYFFCPRDFKYLNSGRYNRRTKLGFWKPTGKNLKIRFMGTKKVIGTRKTLVFYTKSSPKPIRTGWIIHEYEYISDLSLSNQGGYVLCKLKKKPDVKTTKGEPNHHMVSISDFEAAPNCSIEGECGPSMEMPSKFINHSTHGYGESSLLDLDFDNPNLDISAPDEGEWNSLTVLPSGLENGNPWEKTEEGCLRSSVVSPENSPTDALLPDLSQLIPHLRAELKALISELENNLRSPFQPAASVSTEEIPFHMGFDAPVST >Potri.016G031400.1.v4.1 pep chromosome:Pop_tri_v4:16:1748759:1750941:-1 gene:Potri.016G031400.v4.1 transcript:Potri.016G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031400.v4.1 MEGNGYSGSFFQRSKPYIAMISLQFGYAGMNIITKVSLNRGMSHYVLVVYRHAFATAVIAPFAIILERKVRPKITFPIFMQMFVLGLLGPVIDQNFYYAGLKFTSPTFSCAMSNMLPAMTFVMAVLCRMEIVDIKKVRCQAKVIGTIVTVAGAMLMTLYKGNVINLIWSEHVHTHSSSVPETSNKDWIKGSILLIIATFAWASFFILQTVTLTRYSAHLSLTTIVCFLGTLQSIAVTFVMEHKPSAWTIGWDMNLLAAAYAGIVSSSISYYVQGLVMQKTGPVFVTAFSPLMMIIVAIMGSFILAENIYVGGVLGAILIVAGLYAVLWGKHKEQKEKEAEIIPEPIKENGENGDTTGMIQDIEANNCTEKQRNQANNVTVPSVAITVPTSQAPMTAREAPRA >Potri.003G093400.12.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSNYPFKEEQLVLTGWEKEIADIATSIIEEQSSKRLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDQNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.17.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLSGSGPISNIPVKIEVSSQLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQVVSFQTKASNSVAAQFVSPICFFYISGRTKEAFG >Potri.003G093400.14.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLSGSGPISNIPVKIEVSSQLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSKLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.10.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLSGSGPISNIPVKIEVSSQLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSNYPFKEEQLVLTGWEKEIADIATSIIEEQSSKRLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDQNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.18.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLSGSGPISNIPVKIEVSSQLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQVVSFQTKASNSVAAQFVSPICFFYISGRTKEAFG >Potri.003G093400.5.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980050:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLSGSGPISNIPVKIEVSSQLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSNYPFKEEQLVLTGWEKEIADIATSIIEEQSSKRLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.8.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980075:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSNYPFKEEQLVLTGWEKEIADIATSIIEEQSSKRLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.15.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSKLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.13.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLSGSGPISNIPVKIEVSSQLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSKLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.19.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQVVSFQTKASNSVAAQFVSPICFFYISGRTKEAFG >Potri.003G093400.20.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQVVSFQTKASNSVAAQFVSPICFFYISGRTKEAFG >Potri.003G093400.16.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSKLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.9.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980050:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSNYPFKEEQLVLTGWEKEIADIATSIIEEQSSKRLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.4.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980075:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLSGSGPISNIPVKIEVSSQLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSNYPFKEEQLVLTGWEKEIADIATSIIEEQSSKRLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.003G093400.11.v4.1 pep chromosome:Pop_tri_v4:3:11976319:11980031:1 gene:Potri.003G093400.v4.1 transcript:Potri.003G093400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093400.v4.1 MASFFNLCFPWLKSKESRSADMSTQPDNSHDHPSDTKDIHCMRKSDENSKRILRTANYEPLMVTACAASRRSTHLPVAKGERPEVGHTFSWAEKYQPKALKDFICHREKAESIRSTVCRGHYNHCIFEGPPGVGKRTMALAMLRECAGMDITETKEEIREFDLLIQIDLSEIRWHATEVILDLLQETYINGQAIIVNEAERLSKDAQLRIKSFLQTYRGHCKVIFCCYDISRLHDLSPLCMVIPLLPPSDEQIVEVLHFIAKKQDIELPDQLANNIAEKSKRCLQQAIRSFEATWHSNYPFKEEQLVLTGWEKEIADIATSIIEEQSSKRLFLFRQKLQILLQHNLCPQFVFFTLVEELKRHLDDRIQMQIGVFTQTYNDDQNEDLCIERKKMRNQEELFCGQMRTRVAGFVRIEEFVAKFMSFYKYNGIKQG >Potri.017G028600.1.v4.1 pep chromosome:Pop_tri_v4:17:1949930:1951543:1 gene:Potri.017G028600.v4.1 transcript:Potri.017G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028600.v4.1 MAKQMRSLLSSCLLSVTVSIVLLHIPSRVHSVTLKSDVEALQALMQAVDPVSIVRYSYLHSWDFAFDPCEAAGLFQGIVCTFPTDNSANRIMAIDLDPAGYDGFLTASIGNLTELTSLRISKNNFRGPIPETIANLQKLTRLSLTQNLFTGRIPQGIINLKHLQILDLSQNHLSSKIPADITTLRSLVQLSLSNNALSGRIPDLSALWQLSTLDLSSNNLDGIVPNLPMNLRKLSLSHNVLSGHVSPVSVLQHLTVLDLSDNRFSGLIRQEILTLPLVERLNISNNQFTEMEPLPYPREGLQLRVLDAHANRLHGHLPISLVNIANLSSIDLSHNHFSGRIPLEYGAKLGSSWKSLLLEDNFLIGNLPPQFINGTVAVRANLAHNCLRCPPNIRFCRGGQRANSECDGQQDHST >Potri.016G101200.1.v4.1 pep chromosome:Pop_tri_v4:16:10226218:10229119:-1 gene:Potri.016G101200.v4.1 transcript:Potri.016G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101200.v4.1 MPSPIDQDPFKAWKFLVLPTLKVSDIFSLFLKATIAICTVVSISLVFYSFLNPSQRLPCPECNKILVSDHRKITNGEVSGYSYEKTNISHILFGIGGSAKTWNKRRHYTEVWWMPNITRGYVWLDQNPPGNDTWPLTSPPYKVSADTSRFKYTCSYGSRSALRIARIVKESFELGLENVRWLVLGDDDTVFFIENLVTVLTKYDHNQMYYIGGNSESVEQDAIHSYTMAYGGGGFAISYPLAKELVRVLDGCLDRYASFYGSDQKVQGCISEIGVPLTKELGFHQVDIRGDPYGLLAAHPLAPLVSLHHLDYVQSIFPGLSRFDSVNKLITAYKTDPGRTLQYSFCYDLTRNWSVSASWGYTIQLYPALLTAMQLQTVFRTFQTWRRWGEGPFTFNTRPMNQHPCLRPVVYFLDRVERVGDGTLTTYKRSEDELDKVCDRPDYAPVYAVQLVNVITSTSLKPDIWNMAPRRQCCEVINGENRMSSMVQVNIRGCNEMESVTPP >Potri.007G132700.1.v4.1 pep chromosome:Pop_tri_v4:7:14575938:14581930:-1 gene:Potri.007G132700.v4.1 transcript:Potri.007G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132700.v4.1 MANPSGTNNQDGNQAPSSFNGNNPSNGNSDPSSGSSLKHNPGISTDWTFEEQTILEEGLVDFAEETNVVRYAKIAINLPNKTVRDVALRCRWMNKKEQSKRRKEDNLARRSRDKKERHGDPSAKTSNFMAARPSVSPFATPMLPLESEEGISYDAIGGVTGDLLKQNAQILNQISANLASFQIQENLNLLRRTRDNIRKIMNQMNDVPELMKQMPPLPVKLNDDLADTILLPPNLPRP >Potri.015G005100.1.v4.1 pep chromosome:Pop_tri_v4:15:303554:307294:1 gene:Potri.015G005100.v4.1 transcript:Potri.015G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005100.v4.1 MLCSVKTSKSGSNWLDRLWSNKGFSNNDDDDPSVPNPSSSPITDASNSVINSNSESTHSESDQNKVTTTTTREISSSDNKDLFFLMNNVLSDLFNMGGCSDPIEGSSRHSRKKERIPRKQTKPKFCFVSGNNSSNDSLDCVRKDENVLVATGSLNSDKNSNNVDCGVDDDDEEEEEEDVEEEKGKAFGVSGDKELKGYSRSEVTVIDTSCLVWKFDKLVFRKKNVWKVRDKKGKSWVSGSKKRKVIDLESANGNGAKKKAKVSNLEVGSSKDANDVQKPEDERREEVEMAEDHSQVATKRIHLSRSPDKSKKSGSSVIFIKAIPTSNKSGRITKNRLKDTQK >Potri.008G088800.1.v4.1 pep chromosome:Pop_tri_v4:8:5555917:5558557:1 gene:Potri.008G088800.v4.1 transcript:Potri.008G088800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088800.v4.1 MGKYGLIDLEKHFAFYGAYHSNSINILIHMIFVWPIFFTACLILYFTPPLFSLPQIQLSLFGYGVVLLFNVGFFLVLIYALFYICLDPKAGSLAALLCAFCWVASSLVAGWLGFSLAWKVVLVAQIVCWTGQFIGHGVFEKRAPALLDNLIQAFIMAPFFVLLEALQTSFGYEPYPGFHASVQARIDAEIKEWREKKLKLLS >Potri.009G113550.1.v4.1 pep chromosome:Pop_tri_v4:9:9642894:9643923:-1 gene:Potri.009G113550.v4.1 transcript:Potri.009G113550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113550.v4.1 MCLWKTGTYRIALLLYYFKLGTIMGVLSMCLVVGLGKGRPGRKLAFSTIKGWSVLPDRDRIGRLSLCLFIDYKNFISLEMNYKIPQPHKAPPPLSFCNVILISRLLKSIAVVFSIQLPLPVFISHVG >Potri.007G016400.1.v4.1 pep chromosome:Pop_tri_v4:7:1198407:1200807:-1 gene:Potri.007G016400.v4.1 transcript:Potri.007G016400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016400.v4.1 MDSLVQSLQASPMSFFLIAITSLFFLGLLSRLRRRLPYPPGPKGLPLVGSMHMMDQITHRGLAKLAKQYGGLFHMRMGYLHMVTVSSPEIARQVLQVQDNIFSNRPANIAIRYLTYDRADMAFAHYGPFWRQMRKLCVMKLFSRKRAESWESVRDEVDSMLKTVEANIGKPVNLGELIFTLTMNITYRAAFGAKNEGQDEFIKILQEFSKLFGAFNMSDFIPWLGWIDPQGLSARLVKARKALDKFIDSIIDDHIQKRKQNNFSEDAETDMVDDMLAFYSEEARKVDESDDLQKAISLTKDNIKAIIMDVMFGGTETVASAIEWVMAELMKSPEDQKRVQQELADVVGLERRVEESDIEKLTFLKCALKETLRMHPPIPLLLHETSEDAEVAGYFIPKQTRVMINAYAIGRDKNSWEDPDAFKPSRFMKPGVPDFKGNHFEFIPFGSGRRSCPGMQLGLYTLDLAVAHLLHCFTWELPDGMKPSELDMTDMFGLTAPRATRLVAVPSKRVLCPL >Potri.016G010600.3.v4.1 pep chromosome:Pop_tri_v4:16:500099:503980:1 gene:Potri.016G010600.v4.1 transcript:Potri.016G010600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010600.v4.1 MVEGGVTTADKTEFTECWKTVWKTPYIMRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEDVDKNTWMQETIVSMAVAGAIIGAAFGGYMNDRWGRRVAILGADIIFFFGAIVMAVAPNPWVIIIGRILVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGIPALVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEDELNALKLSVDAEKADEAALGEGMIAKVKGALKNRVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALALSLITSGLNAVGSIVSMCFVDRYGRRRLMLVSMIGIIFFLVILSVVFMEASSHAPKISGIESAHFGSNSTCSAYLTAPDAPRWSCMTCLKADCAFCANAASEFHPGACLDSSKVVRGECRAENRVFFEKGCPSKFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVGGGIAAVANWCSNLIVSESYLSLTEALGAGGTFFVFAGISTISLVFIYFLVPETKGLQFEEVEKLLEDGYRPRLFGGKKEKSKDQVEST >Potri.016G010600.6.v4.1 pep chromosome:Pop_tri_v4:16:500773:503942:1 gene:Potri.016G010600.v4.1 transcript:Potri.016G010600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010600.v4.1 MIQETIVSMAVAGAIIGAAFGGYMNDRWGRRVAILGADIIFFFGAIVMAVAPNPWVIIIGRILVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGIPALVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEDELNALKLSVDAEKADEAALGEGMIAKVKGALKNRVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALALSLITSGLNAVGSIVSMCFVDRYGRRRLMLVSMIGIIFFLVILSVVFMEASSHAPKISGIESAHFGSNSTCSAYLTAPDAPRWSCMTCLKADCAFCANAASEFHPGACLDSSKVVRGECRAENRVFFEKGCPSKFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVGGGIAAVANWCSNLIVSESYLSLTEALGAGGTFFVFAGISTISLVFIYFLVPETKGLQFEEVEKLLEDGYRPRLFGGKKEKSKDQVEST >Potri.016G010600.7.v4.1 pep chromosome:Pop_tri_v4:16:500906:503942:1 gene:Potri.016G010600.v4.1 transcript:Potri.016G010600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010600.v4.1 MIQETIVSMAVAGAIIGAAFGGYMNDRWGRRVAILGADIIFFFGAIVMAVAPNPWVIIIGRILVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGIPALVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEDELNALKLSVDAEKADEAALGEGMIAKVKGALKNRVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALALSLITSGLNAVGSIVSMCFVDRYGRRRLMLVSMIGIIFFLVILSVVFMEASSHAPKISGIESAHFGSNSTCSAYLTAPDAPRWSCMTCLKADCAFCANAASEFHPGACLDSSKVVRGECRAENRVFFEKGCPSKFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVGGGIAAVANWCSNLIVSESYLSLTEALGAGGTFFVFAGISTISLVFIYFLVPETKGLQFEEVEKLLEDGYRPRLFGGKKEKSKDQVEST >Potri.016G010600.5.v4.1 pep chromosome:Pop_tri_v4:16:500906:503942:1 gene:Potri.016G010600.v4.1 transcript:Potri.016G010600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010600.v4.1 MVEGGVTTADKTEFTECWKTVWKTPYIMRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEDVDKNTWMQETIVSMAVAGAIIGAAFGGYMNDRWGRRVAILGADIIFFFGAIVMAVAPNPWVIIIGRILVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGIPALVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEDELNALKLSVDAEKADEAALGEGMIAKVKGALKNRVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALALSLITSGLNAVGSIVSMCFVDRYGRRRLMLVSMIGIIFFLVILSVVFMEASSHAPKISGIESAHFGSNSTCSAYLTAPDAPRWSCMTCLKADCAFCANAASEFHPGACLDSSKVVRGECRAENRVFFEKGCPSKFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVGGGIAAVANWCSNLIVSESYLSLTEALGAGGTFFVFAGISTISLVFIYFLVPETKGLQFEEVEKLLEDGYRPRLFGGKKEKSKDQVEST >Potri.016G010600.4.v4.1 pep chromosome:Pop_tri_v4:16:500773:503942:1 gene:Potri.016G010600.v4.1 transcript:Potri.016G010600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010600.v4.1 MVEGGVTTADKTEFTECWKTVWKTPYIMRLAFSAGIGGLLFGYDTGVISGALLYIRDDFEDVDKNTWMQETIVSMAVAGAIIGAAFGGYMNDRWGRRVAILGADIIFFFGAIVMAVAPNPWVIIIGRILVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLAFTKAPGTWRWMLGVAGIPALVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEDELNALKLSVDAEKADEAALGEGMIAKVKGALKNRVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALALSLITSGLNAVGSIVSMCFVDRYGRRRLMLVSMIGIIFFLVILSVVFMEASSHAPKISGIESAHFGSNSTCSAYLTAPDAPRWSCMTCLKADCAFCANAASEFHPGACLDSSKVVRGECRAENRVFFEKGCPSKFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVGGGIAAVANWCSNLIVSESYLSLTEALGAGGTFFVFAGISTISLVFIYFLVPETKGLQFEEVEKLLEDGYRPRLFGGKKEKSKDQVEST >Potri.006G070000.8.v4.1 pep chromosome:Pop_tri_v4:6:5122159:5124662:1 gene:Potri.006G070000.v4.1 transcript:Potri.006G070000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070000.v4.1 MEVNNRYITITKHIQGSAKESDFELKVAPLGLSVEPGSNDIIVKNLCVSIDPYQLNRMKSYSSSQKTVQAADGISPGQPIDALGVAKVLVSDNPEFVKDDLVVGFVHWGEYSVVKGGGMLRKVDPKTELPLSYHAGILGLSGLTAYAGLFEICKPKKGDKVFVSAACGSVGNLVGQYAKLSGCYVVGCAGSRDKVALLKEKLGFDDAFNYKEETDLNSALTRYFPDGIDIYFDNVGADMLEAAVANLNPFGRVAACGTIAEYSETAKRAAPNMIDVIYKRIKIQGFLAMDHKSLHSDFLSTTTEYIQNGKIKVQEDISIGVESIPLAFIGLFRGDNVGKKIVKIADE >Potri.001G425675.1.v4.1 pep chromosome:Pop_tri_v4:1:45075011:45076677:-1 gene:Potri.001G425675.v4.1 transcript:Potri.001G425675.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425675.v4.1 MNAFFTIFLFLVPIFLLLVKGRKSSNKLPPGSLGIPIIGHSLQFLKAMRTNTAEKWLHERIQKYGSISKLTLFGKPTFVFTSDSSTISYSQTTSLKMILGDRLRMHMETHWKGKQEITVLPLMKKLSFDVICSLLFGIEQGGTRRDKLVTWFQQMIGGIWSVPINFPFTRFNRGLRASARVRNFLKDLIAEKRMGLKKGADPHQDLISCLLSTPIEPSIYAAVLQEQEGIAKSKPRGELLTWEDLAKMKYTWKVALETLRMFPPIFGGFRKAVKDIEYNGYIIPEGWQIFWTMNMTHMDDSIFTEPSKFDPTRFDNQASIPPYSFIAFGGGPRMCPGYEFAKIEALVTIHYLVTQFTWKLSADTSFNRNPRLMPSEGLPIKIIPKK >Potri.009G096400.1.v4.1 pep chromosome:Pop_tri_v4:9:8695596:8697002:1 gene:Potri.009G096400.v4.1 transcript:Potri.009G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096400.v4.1 MEEVAQSTKTIFVLAGQSNMSGRGGVIKDSHNNQKLWDRAVPLECQPHPNILRLSAKLKWEPASEQIHADIDTKKACGVGPGMSFANAVRERITGVVGLVPCAVGGTAIKEWARGEELYENMVKRAKESVKDGGEIKGLLWFQGESDTSTQIEADAYQGNMKKLIENVREDLGLPSLPIIQVAIASGLDDNYMEKVREAQLNINLPNVVCVDAKGLDLKEDHLHLTTESQVKLGNMLADAYLKHFAASQ >Potri.012G083100.6.v4.1 pep chromosome:Pop_tri_v4:12:10845974:10849774:-1 gene:Potri.012G083100.v4.1 transcript:Potri.012G083100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083100.v4.1 MGMASRSIGLKEIGEALKKAKSGWYSLHMAAASHAIAQRIPLADFILEVRDARVTPPCTTIPHQLFDDMSDLILMNKTDLANRSQLKEWTKYFEQQNCISYGVNSHNKEDVKKFLNFLQAQVRELKRIDHSGHTTTMMIIGIPNVGKSALANSLHQLGRISAAEKGKLKHTIVSPHPGETKNISSLKIGSHPNIYVLDTPGILPPQIHDSEVCTKLALTGQEVEGSSDSKLNMKRKRQYPSDHTQDFMVNRVRRTLLESISCLDGNIKNEKDLEELIEVQFTALREAFLVSLEPCNDSQTKISAKLLNLYRTGRLGHYTLDPLPL >Potri.012G083100.2.v4.1 pep chromosome:Pop_tri_v4:12:10845914:10849846:-1 gene:Potri.012G083100.v4.1 transcript:Potri.012G083100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083100.v4.1 MGMASRSIGLKEIGEALKKAKSGWYSLHMAAASHAIAQRIPLADFILEVRDARIPLSSHCQLLSNFPPSSLRRIIVMNKTDLANRSQLKEWTKYFEQQNCISYGVNSHNKEDVKKFLNFLQAQVRELKRIDHSGHTTTMMIIGIPNVGKSALANSLHQLGRISAAEKGKLKHTIVSPHPGETKNISSLKIGSHPNIYVLDTPGILPPQIHDSEVCTKLALTGQEVEGSSDSKLNMKRKRQYPSDHTQDFMVNRVRRTLLESISCLDGNIKNEKDLEELIEVQFTALREAFLVSLEPCNDSQTKISAKLLNLYRTGRLGHYTLDPLPL >Potri.012G083100.4.v4.1 pep chromosome:Pop_tri_v4:12:10845914:10849846:-1 gene:Potri.012G083100.v4.1 transcript:Potri.012G083100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083100.v4.1 MGMASRSIGLKEIGEALKKAKSGWYSLHMAAASHAIAQRIPLADFILEVRDARIPLSSHCQLLSNFPPSSLRRIIVMNKTDLANRSQLKEWTKYFEQQNCISYGVNSHNKEDVKKFLNFLQAQVRELKRIDHSGHTTTMMIIGIPNVGKSALANSLHQLGRISAAEKGKLKHTIVSPHPGETKNISSLKIGSHPNIYVLDTPGILPPQIHDSEVCTKLALTGAIENLVGEKELARYFLAILNTSDGYKKWERLSTHGNDKSFTGQEVEGSSDSKLNMKRKRQYPSDHTQDFMVNRR >Potri.012G083100.7.v4.1 pep chromosome:Pop_tri_v4:12:10846324:10849777:-1 gene:Potri.012G083100.v4.1 transcript:Potri.012G083100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083100.v4.1 MNKTDLANRSQLKEWTKYFEQQNCISYGVNSHNKEDVKKFLNFLQAQVRELKRIDHSGHTTTMMIIGIPNVGKSALANSLHQLGRISAAEKGKLKHTIVSPHPGETKNISSLKIGSHPNIYVLDTPGILPPQIHDSEVCTKLALTGAIENLVGEKELARYFLAILNTSDGYKKWERLSTHGNDKSFTGQEVEGSSDSKLNMKRKRQYPSDHTQDFMVNRVRRTLLESISCLDGNIKNEKDLEELIEVQFTALREAFLVSLEPCNDSQTKISAKLLNLYRTGRLGHYTLDPLPL >Potri.012G083100.1.v4.1 pep chromosome:Pop_tri_v4:12:10845914:10849846:-1 gene:Potri.012G083100.v4.1 transcript:Potri.012G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083100.v4.1 MGMASRSIGLKEIGEALKKAKSGWYSLHMAAASHAIAQRIPLADFILEVRDARIPLSSHCQLLSNFPPSSLRRIIVMNKTDLANRSQLKEWTKYFEQQNCISYGVNSHNKEDVKKFLNFLQAQVRELKRIDHSGHTTTMMIIGIPNVGKSALANSLHQLGRISAAEKGKLKHTIVSPHPGETKNISSLKIGSHPNIYVLDTPGILPPQIHDSEVCTKLALTGAIENLVGEKELARYFLAILNTSDGYKKWERLSTHGNDKSFTGQEVEGSSDSKLNMKRKRQYPSDHTQDFMVNRVRRTLLESISCLDGNIKNEKDLEELIEVQFTALREAFLVSLEPCNDSQTKISAKLLNLYRTGRLGHYTLDPLPL >Potri.006G209600.2.v4.1 pep chromosome:Pop_tri_v4:6:21733200:21737397:1 gene:Potri.006G209600.v4.1 transcript:Potri.006G209600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209600.v4.1 MEQQQQLQRSPSGSPSPRSPSSQPYLSVSVTDPVKLGNGVQGYISYRVITKTNLPEYQGHEKIVIRRYSDFDWLRDRLFHNYKGVFIPPLPEKSAVEKFRFSAEFIEMRRQGLDIFVNRIASHQELQHSEDLRTFLQADEETMERLRSQETGMFKKKPADLMQIFKDAQSKVSDIVLGKEKPVEESNLEYEKLKHYIFELENHLAEAQKHAHRLVKRHRELGQSLSDFSKAVKLLGACEGDALGKAFSELGTKSEALSVKLQKEAHQLLMNFEEPLKDYVRAVQSIKATIAERANVFRHQCELAETMKLKEINLDKLMLTRSYKMGEAEHEYRELKVENEEATRRFETIVRLMNEEIVRFQEQKTLDMGIAFHEFAKGQVRLANSIADAWRSLLPKLEACSTQ >Potri.002G185100.1.v4.1 pep chromosome:Pop_tri_v4:2:14679314:14683298:-1 gene:Potri.002G185100.v4.1 transcript:Potri.002G185100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185100.v4.1 MRAFGIPIDSNFCSSYPCLLLLPPSVSVTSTNANTELSSFSPKFFANLSSQTKHRLLSHFRPISHCANSGSTFLDDDDDYHDNDTDDDGYYSYVGEEEEEVSEDSVSEDGVFIEIKKLQKNSRRIRSKISINASLDTVWKILTDYEKLADFIPSLAVSKLIDKKDNFARLYQIGQQNLAFGLKFNAKAILDCYERDLQTFTSGKKRDIEFKMTEGDFQCFEGKWSIEQFTKPKTEDSLGQEYETSLSYLVDVKPKIWLPVHLIEGRICKEIKSNLTCIREEAQKMIGDALQDQKSY >Potri.017G113000.1.v4.1 pep chromosome:Pop_tri_v4:17:12058704:12061065:1 gene:Potri.017G113000.v4.1 transcript:Potri.017G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113000.v4.1 MMAVGRDSKDLIGPLLAVNLVFYLIVLGLAGWSVDKYINGEQNHPHLGGNPATSFMLIYALLSGVIGASSMLVGFVHLRAWRNDSLASASAMAIISWAITALAFGFECKQILLGGHRGKRLKTLEALIIISLLSQFLYVVLLHAGFFRSRYGPGYHNYGSDSVGDIPVGNEPHMSST >Potri.002G012900.1.v4.1 pep chromosome:Pop_tri_v4:2:794325:796804:1 gene:Potri.002G012900.v4.1 transcript:Potri.002G012900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012900.v4.1 MEEKKEMKFVRPESLNVGAEIPAISAPPFQLHCPSMTRSPLLDIAPKTPKTPKSPLASLLMSTPIASPMKKAIASMQCYLEEVGHFTKLDPQDAWLPITESRNGNAYYSAFHTLSSGIGVQALLLPLAFTTLSWTWGILCLSLVFMWQLYTLWLLIQLHESESGMRYSRYLRLSMAAFGEKLGKLLALFPVMYLSGGTCVTLIMIGGGTMKIFFQIVCGDTCSMRPLTTIEWYFLFVCLAIILAQLPNLNSIAGVSLIGAITAISYCTLIWVVSIIQGRPEGVSYDPPETKSDMARICDILNALGIIAFAFRGHNLVLEIQGTMPSSAKQPSRKPMWRGVKLAYVIIAMCLFPLAIGGYWAYGNLMPNGGMLNALHKYHGHSTSKLLLGLTSLFVVLNCLSSFQIYAMPVFDNLELRFTSKMKKPCPWWLRIVFRIFFGCLAFFISVALPFLMSLAGLIGGVALPVTLAYPCFMWILIKKPTKYSAIWCLNWILGVLGMVLSMLVIAGAIWTIVTMGIEIHFFKPQ >Potri.008G037200.2.v4.1 pep chromosome:Pop_tri_v4:8:2049222:2051147:-1 gene:Potri.008G037200.v4.1 transcript:Potri.008G037200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037200.v4.1 MDLDNDGFVEKDPTGRYVRYDEILGGGTVKTVYRAFDEVDGVEVAWKQANVEDVSQKQLERWTSEARLLKSLKNKNIIKFYDFWIDDEKKTLNMITEIFVSGSLSQYCKKHKDVDTKAIKNWARQILRGLHYLHNHEPPIILGNLKCDSIFVNGNNGEVKIGDLGLAIVTQQPTGSSDLGTPAYMAPELCEDEYNELVDVYSFGMCMLEMVTCEYPYCEIKNPGQVYKKVISGVKPASLNKVNDPQVKQFIEKCLVPASLRLPAIELLKDPFLATENSKDTVSGSMKLPNNLMPKQVINLPHSESRSMDIDDKKLLVGSCKESIDEKLQFSTLEICKFTEKNEFRLRGEKIDSNTISLNLNITETSCSLERKVEFPFHLDSDTAVSVAEEMVEQLGLSPEDAAYNAELIDILVMKLVPSWKTSRGSIANAPERHPDCSQSVRDQEALQSINLEISAEHDVTISFRASTNKPLGSSHCSLQLNTHNLGSDFRTHDDGRLPEHKKSARRFLGFCIGSCFGMKNMRAGPPSATHTKQRKLTSER >Potri.006G222500.5.v4.1 pep chromosome:Pop_tri_v4:6:22766291:22774563:-1 gene:Potri.006G222500.v4.1 transcript:Potri.006G222500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222500.v4.1 MDVKEGVRGEILMSEKRAAHGGDVLIAISSEETSNASVDTKTPKGPASTDRETLTPRQSRPNSPFRESSNGAFAKSVPFSCPSPEISWSSQTQRKPPKIPTPNENLTRRRSLVRSVYSKPKSRFGEQPYGIDSTLLEENVSTLQEQIATASPHRNLLTRGSPNNKSASSVITPKTPLMASPGGPADEEDEEEVWKRVESSKQKQRRRVGAKAVIEWVAFLCILGCLIASLTVEKLEKTTIWSLEFWKWCVLVMVIFSGMLVTNWFMHVIVFLIERNFLLKKKVLYFVHGLKKSVQVFIWIALILLAWAFLFNRGVERSKTATKILGCITVTLMSLLIGSFLWLLKTLSLKILASNFHVSNFFDRTQESIFHQYVLQTLSGPPLIEEAERVGRSPSMGQLSFRSTKKGKATKEKKVIDMAKVHKMKQGKVSAWTMKVLVDAVTSSGLSTISNTLDESFADREVEQSDKEITNEMEATAAAYYIFRNVAQPGCKYIDEEDLLRFMIKEEVDLVFPLFEGYETGRVDRKALTNWVVRVYNGRKALAHSLNDTKTAVKQLHKLVTGILVILTIVIWLLMMEIATTKVLVFLSSQLVAAAFMFGSTCKTIFEAIIFVFVMHPFDVGDRCVVDGIPMLVEEMNILNTVFLKLDNEKIYYPNSVLATKPISNYFRSPDMGDSVEFAIDFATPVEKIGFLKDKIKKHLEKTPHHWHPNHNVVVKEIENVNKLKMALYCTHTMNFQEYGEKNKRKSELVIEIKKIFEELNIKYYLLPQQVHLNPIGSESTHVTNK >Potri.006G222500.1.v4.1 pep chromosome:Pop_tri_v4:6:22765687:22774630:-1 gene:Potri.006G222500.v4.1 transcript:Potri.006G222500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222500.v4.1 MDVKEGVRGEILMSEKRAAHGGDVLIAISSEETSNASVDTKTPKGPASTDRETLTPRQSRPNSPFRESSNGAFAKSVPFSCPSPEISWSSQTQRKPPKIPTPNENLTRRRSLVRSVYSKPKSRFGEQPYGIDSTLLEENVSTLQEQIATASPHRNLLTRGSPNNKSASSVITPKTPLMASPGGPADEEDEEEVWKRVESSKQKQRRRVGAKAVIEWVAFLCILGCLIASLTVEKLEKTTIWSLEFWKWCVLVMVIFSGMLVTNWFMHVIVFLIERNFLLKKKVLYFVHGLKKSVQVFIWIALILLAWAFLFNRGVERSKTATKILGCITVTLMSLLIGSFLWLLKTLSLKILASNFHVSNFFDRTQESIFHQYVLQTLSGPPLIEEAERVGRSPSMGQLSFRSTKKGKATKEKKVIDMAKVHKMKQGKVSAWTMKVLVDAVTSSGLSTISNTLDESFADREVEQSDKEITNEMEATAAAYYIFRNVAQPGCKYIDEEDLLRFMIKEEVDLVFPLFEGYETGRVDRKALTNWVVRVYNGRKALAHSLNDTKTAVKQLHKLVTGILVILTIVIWLLMMEIATTKVLVFLSSQLVAAAFMFGSTCKTIFEAIIFVFVMHPFDVGDRCVVDGIPMLVEEMNILNTVFLKLDNEKIYYPNSVLATKPISNYFRSPDMGDSVEFAIDFATPVEKIGFLKDKIKKHLEKTPHHWHPNHNVVVKEIENVNKLKMALYCTHTMNFQEYGEKNKRKSELVIEIKKIFEELNIKYYLLPQQVHLNPIGSESTHVTNK >Potri.003G101800.2.v4.1 pep chromosome:Pop_tri_v4:3:12652023:12653584:1 gene:Potri.003G101800.v4.1 transcript:Potri.003G101800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101800.v4.1 MDGTSEATWPEILGSRNWDNLLDPLDLSLRKLILRCGDFCQATYDAFNNDQNSRYCGTSRYGKRNFFHKVMLDNPENYQVSSFLYATARVSLPEAFLLHSLSRDSWDRETNWIGYIAVTSDEQTKTLGRREIYIAFRGTTRNYEWVDILGAKLKSAKPLLRGATSTTHDQESSSSSDDDDDKVPKVMLGWLTMYISDDPNSPFTKLSARAQLLAHIKELRERYKDDDLSIIFTGHSLGASLSILSAFDLVENGITDIPVSAFVFGSPQVGNKEFNERFNKYPNLKVLHIKNKIDVIPHYPGRLMGYVYTGIEFEIDTRKSPSLKDSKNPSDWHNLQAMLHIVAGWNGEEQEFELKVKRSLALVNKSSEFLKDECLVPGIWWVEKNKGMVRNEDGEWVLAPPDEEDLPVPEC >Potri.006G192900.2.v4.1 pep chromosome:Pop_tri_v4:6:19963828:19964387:-1 gene:Potri.006G192900.v4.1 transcript:Potri.006G192900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192900.v4.1 MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRAKLTEGCSFRKKSE >Potri.007G049800.2.v4.1 pep chromosome:Pop_tri_v4:7:4713617:4714816:1 gene:Potri.007G049800.v4.1 transcript:Potri.007G049800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049800.v4.1 MVGQWTMTKPSRSDEVLDANQQLQITNQIRAQFDSMVPKRPSKPSRSESDTTTTPTSSLSEIEQDNIPELDKLRSLQSQSPNMFCPAQVLFSAEGANMVQDEFVETQYYTELDSIDKQHHTTGSGFINVVRGEEHEKNGYGIQLSSAAAGGKLVSCFRSNPATNDWTPSPEDDQVFVSSKPNRSESC >Potri.007G049800.1.v4.1 pep chromosome:Pop_tri_v4:7:4713617:4714844:1 gene:Potri.007G049800.v4.1 transcript:Potri.007G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049800.v4.1 MVGQWTMTKPSRSDEVLDANQQLQITNQIRAQFDSMVPKRPSKPSRSESDTTTTPTSSLSEIEQDNIPELDKLRSLQSQSPVLFSAEGANMVQDEFVETQYYTELDSIDKQHHTTGSGFINVVRGEEHEKNGYGIQLSSAAAGGKLVSCFRSNPATNDWTPSPEDDQVFVSSKPNRSESC >Potri.017G075600.1.v4.1 pep chromosome:Pop_tri_v4:17:8383472:8387767:-1 gene:Potri.017G075600.v4.1 transcript:Potri.017G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075600.v4.1 MKRLKSYYMHLRHHQNMERKWIFPLAIGSIVSLFLLFLTAITTSDGMSLFPFYRSFSSFSSKFVETKIHPIPTSNLPPPPRFAYLISGSAGDGSMLKRTLQALYHPNNQYVVHLDRESSDEERLDLSNFVKDHPVFLRFGNVRMITKANLVTYRGPTMVANTLHAAAILLREGGDWDWFINLSASDYPLVTQDDLLHTFSYLPRDLNFIDHTSNIGWKEFQRAKPVIIDPGLYMTKKADVFWITQRRSVPTAFKLFTGSAWMALSRPFIDYTIWGWDNLPRTVLMYYANFISSPEGYFHTVICNAQEFLNTTVNSDLHFISWDNPPKQHPHHLNLADMQRMIDSNAPFARKFPQDDPVLDKIDSELLSRSPGMFTPGGWCVGSRENGTDPCSAIGNTTVLRPGPGAKRLETMISTLLSNENFRPRQCK >Potri.004G161300.2.v4.1 pep chromosome:Pop_tri_v4:4:18114076:18117992:-1 gene:Potri.004G161300.v4.1 transcript:Potri.004G161300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161300.v4.1 MEAIRKQATKLREQVAKQQQAVLKQFGAGGYGGSDTVITDEAELHQHQKLERLYISTRAGKHFQRDVVRGVEGYIVTGSKQVEIGTRFSEDSRKYGAENTCTSGNTLSKAAVNYANARAQMEKERGNLLKALGTQVAEPLRAMVMGAPLEDARHLAQRYDRMRQEAEAQAIEVAKRQAKVREMPGNSELAMKLESAETKLQDLKSNMAILGKEAAAAMAAVEAQQQRLTLQRLIAMVEAERAYHQTVLQILDQLEGEMASERQRIEAPPTPSAESSMPPPPSYEEVNGVYASQAHHNGTTDSMGYFLGEVMHSYQGQSDVELTLSIGDFVVVRKVTNNGWAEGECKGKAGWFPYGYIERRDRVLASKIAEVF >Potri.003G221000.1.v4.1 pep chromosome:Pop_tri_v4:3:21498537:21501241:1 gene:Potri.003G221000.v4.1 transcript:Potri.003G221000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221000.v4.1 MMEKEKHKEKKSQGAGDTDFVLQWGSTKRLRCVKVKKAQNLANKSKLNDSLPRKKLTSRAVTTEKEFPSRLIKDSDLLTNNRKSSALSPDKEDRYYTTRGSLGLDDNSKILVDTVKEEKVVWPRLFITLSNKEKEEDFMAMKGCKPSQRPKKRAKLIQRTLLMASPGAWLSDLCQERYEVREKKTSKKRPRGLKAMGSMESDSE >Potri.017G068276.1.v4.1 pep chromosome:Pop_tri_v4:17:5591719:5599028:-1 gene:Potri.017G068276.v4.1 transcript:Potri.017G068276.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068276.v4.1 MGVLGWMHHRSQKTSVKPFKDFFYRYSNYPCSFSSKKTPRRAPILVADSRYKERIKKLWFNLKIDDLTKKKLNKIWCWSCSKGAKAYLQYAV >Potri.002G056600.6.v4.1 pep chromosome:Pop_tri_v4:2:3853997:3858662:1 gene:Potri.002G056600.v4.1 transcript:Potri.002G056600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056600.v4.1 MIAMAMKSYQSHAELLVKEYLLADPLIPYTSIIGGIFACKMVYDLTNLFSAVYFKSYSSLTKSQRIEWNNRAISTFHAVFMATMSLYFVFCSDLFSDQCPGGLVTLQSSALSTFALGVSVGYFISDLGMIIWFYPSLGGMEYVIHHFLSMISVAYSMLTGEGQLYAYMVLISETTTPGVNLRWYLDIAGMKRSKAYLVNGVVIFFAWFVARILLFIYLFYHVSLHQYQVKQMHASGQLLALVVPVVLSVMNMMWFWKIFKGMKKTLAKRH >Potri.002G056600.1.v4.1 pep chromosome:Pop_tri_v4:2:3854104:3858250:1 gene:Potri.002G056600.v4.1 transcript:Potri.002G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056600.v4.1 MIAMAMKSYQSHAELLVKEYLLADPLIPYTSIIGGIFACKMVYDLTNLFSAVYFKSYSSLTKSQRIEWNNRAISTFHAVFMATMSLYFVFCSDLFSDQCPGGLVTLQSSALSTFALGVSVGYFISDLGMIIWFYPSLGGMEYVIHHFLSMISVAYSMLTGEGQLYAYMVLISETTTPGVNLRWYLDIAGMKRSKAYLVNGVVIFFAWFVARILLFIYLFYHVSLHQYQVKQMHASGQLLALVVPVVLSVMNMMWFWKIFKGMKKTLAKRH >Potri.005G182850.1.v4.1 pep chromosome:Pop_tri_v4:5:18956566:18957946:1 gene:Potri.005G182850.v4.1 transcript:Potri.005G182850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182850.v4.1 MFPCLLRYLSKKWSPSHSHRLITKPKTSPHQITLHFHRHHVRDTNTTSAGHVAKILLNFTLLQTKLSLENIILFHLPPLQKPVNWTTRQRTVREQKWQVPFSPLA >Potri.005G182850.2.v4.1 pep chromosome:Pop_tri_v4:5:18956566:18959144:1 gene:Potri.005G182850.v4.1 transcript:Potri.005G182850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182850.v4.1 MFPCLLRYLSKKWSPSHSHRLITKPKTSPHQITLHFHRHHVRDTNTTSAGHVAKILLNFTLLQTKLSLENIILFHLPPLQKPVNWTTRQRTVREQK >Potri.009G132500.2.v4.1 pep chromosome:Pop_tri_v4:9:10762256:10765306:1 gene:Potri.009G132500.v4.1 transcript:Potri.009G132500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132500.v4.1 MDHFSCCFSSLISTLVLLFLVSYKGGVLAATFTFVNKCEYTVWPGILANAGSPRLDSTGFELLKDSSRSFIAPTGWSGRFWARTGCNFDESGTGSCSTGDCGSGQVECNGLGASPPATLAEFTLGSGGQDFYDVSLVDGYNLPMVVRGSGGSGMCASTGCVSDLNIQCPQELRSGEGDACKSACEAFGSPEYCCSGAFNTPATCKPSVYSEMFKAACPKSYSYAYDDATSTFTCSGADYTVTFCPSSPSQKSSSYSTPTTEGTTTSQGSGTGSGLEYAGTGSGTESGAGSGTGSGGETMLADGSWLAGLAMGDSYKTASPSALQSTIMAFTTSLVLIVSFVFL >Potri.009G132500.1.v4.1 pep chromosome:Pop_tri_v4:9:10762322:10765307:1 gene:Potri.009G132500.v4.1 transcript:Potri.009G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132500.v4.1 MDHFSCCFSSLISTLVLLFLVSYKGGVLAATFTFVNKCEYTVWPGILANAGSPRLDSTGFELLKDSSRSFIAPTGWSGRFWARTGCNFDESGTGSCSTGDCGSGQVECNGLGASPPATLAEFTLGSGGQDFYDVSLVDGYNLPMVVRGSGGSGMCASTGCVSDLNIQCPQELRSGEGDACKSACEAFGSPEYCCSGAFNTPATCKPSVYSEMFKAACPKSYSYAYDDATSTFTCSGADYTVTFCPSSPSSQKSSSYSTPTTEGTTTSQGSGTGSGLEYAGTGSGTESGAGSGTGSGGETMLADGSWLAGLAMGDSYKTASPSALQSTIMAFTTSLVLIVSFVFL >Potri.009G157400.1.v4.1 pep chromosome:Pop_tri_v4:9:12250853:12256999:-1 gene:Potri.009G157400.v4.1 transcript:Potri.009G157400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157400.v4.1 MAAMMQPQIILLKEGTDTSQGKAQLLSNINACTAVADVVRTTLGPRGMDKLIHDDKGNVTISNDGATIMKLLDIIHPAAKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFVEDGVHPQNLIRSYRTACNLAIEKVKELASSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDSVIAIGNDDRLNMIGIKKVPGGNMRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKILLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCAQSGAKIVLSRLAIGDLATQYFADRDIFCAGRVSEEDLQRVAAATGGTVQTTINNVIDEVLGTCEIFEEKQVGNERFNIFNGCPSGTTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPYGVDINTGGIADSFANFVWEPAVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAAGAMGGRGGGGFRGRGRGMRRR >Potri.003G112400.3.v4.1 pep chromosome:Pop_tri_v4:3:13483657:13486234:1 gene:Potri.003G112400.v4.1 transcript:Potri.003G112400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112400.v4.1 MDVESNHSSPVLADPASINSSRLGIYSNLLPYSPPGGSLSSSKYSRKKPGKLDEVCSSGWLDAMKSSSPPRKKLFKDGSDTAYSSWMFKHPSALNSFEEIANFAKNKKIAMFLDYDGTLSPIVDDPDNAFMSDDMRSIVKNVAKYFPTAIISGRSRDKVYQLVGLTELYYAGSHGMDILGPVGKASMSNDHPNYSESTTDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKGIEGAKVENHKFCASVHFRNVDEENWQPIAQCVQDILDKYPRLRRTHGRKVLEVRPMIDWNKGKAVEFLLESLGLSNRDDVLSIYIGDDLSDEDAFKVLREGNRGYGILVSSRPKETSAVYSLKDPIEVMKFLNSLVTWKKVEEGGCILNNRRTI >Potri.003G112400.4.v4.1 pep chromosome:Pop_tri_v4:3:13483657:13486229:1 gene:Potri.003G112400.v4.1 transcript:Potri.003G112400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112400.v4.1 MDVESNHSSPVLADPASINSSRLGIYSNLLPYSPPGGSLSSSKYSRKKPGKLDEVCSSGWLDAMKSSSPPRKKLFKDGSDTAYSSWMFKHPSALNSFEEIANFAKNKKIAMFLDYDGTLSPIVDDPDNAFMSDDMRSIVKNVAKYFPTAIISGRSRDKVYQLVGLTELYYAGSHGMDILGPVGKASMSNDHPNYSESTTDQQGKEVNLFQPAREFIPMIDEVFRTLVENTKGIEGAKVENHKFCASVHFRNVDEEDILDKYPRLRRTHGRKVLEVRPMIDWNKGKAVEFLLESLGLSNRDDVLSIYIGDDLSDEDAFKVLREGNRGYGILVSSRPKETSAVYSLKDPIEVMKFLNSLVTWKKVEEGGCILNNRRTI >Potri.006G200300.3.v4.1 pep chromosome:Pop_tri_v4:6:20794094:20797042:1 gene:Potri.006G200300.v4.1 transcript:Potri.006G200300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200300.v4.1 MDSHIYGVSKKTLLLFTLLCLSVSSISALPHQNKTGNSTGTGQMINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPRNEALERQLDPEFKRFLLEPGNLKSLQTLVLFHIIPQRVGSNDWPGHKSNPSRHTTLCNDHLHLITKNSGKKVVGSADVTRPDDVTRPDGVIHGIERLLVPQSVQEDFNRRRNLRSISAVLPEGAPEVDPRTHRLKKPEPPVRAGSPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKIGYDTLRLPHKVAAQEADGSVKFGSGDGSAYLFDPDIYTDGRISVQGIDGVLFPEVEKESTSVKKSVSSVKVATTKPRRGMLLLFSVNLFF >Potri.006G200300.1.v4.1 pep chromosome:Pop_tri_v4:6:20794102:20797122:1 gene:Potri.006G200300.v4.1 transcript:Potri.006G200300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200300.v4.1 MDSHIYGVSKKTLLLFTLLCLSVSSISALPHQNKTGNSTGTGQMINSNSVLVALLDSHYTELAELVEKALLLQTLEEAVGKHNITIFAPRNEALERQLDPEFKRFLLEPGNLKSLQTLVLFHIIPQRVGSNDWPGHKSNPSRHTTLCNDHLHLITKNSGKKVVGSADVTRPDDVTRPDGVIHGIERLLVPQSVQEDFNRRRNLRSISAVLPEGAPEVDPRTHRLKKPEPPVRAGSPPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFDGESQVKDFIQTLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHIIPEYQTEESMYNAVRRFGKIGYDTLRLPHKVAAQEADGSVKFGSGDGSAYLFDPDIYTDGRISVQGIDGVLFPEVEKESTSVKKSVSSVKVATTKPRRGKLMEVACIMLGTLGQDSRFTTCQ >Potri.005G161800.3.v4.1 pep chromosome:Pop_tri_v4:5:15898719:15903254:1 gene:Potri.005G161800.v4.1 transcript:Potri.005G161800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161800.v4.1 MRAGICDMVAIAHIINATLVVPKLDKKSYWQDSSNFSDVFDEDHFINALANDVKVIKKLPKEIGSSMKAVKYFKSWSGMDYYQEEIASMWADYKVIRAAKTDSRLANNNLPPDIQKLRCRACYEALRFAPQIEAMGKLLVDRMRSYGPYISLHLRYEKDMLAFSGCTHDLSPAEANELKMIRDANDNWKVKDIDPREQRSKGFCPLTPKEAAIFLSALGYPSNTPIYIAAGEIYGGDSHMGDLQSRYPMLMRKETLASFEELEPFTNHLSQLAALDYIVSVESDVFMPTYSGNMARAVEGHRRFLGHRRTISPDRKALVRLFDKIEQGKLKEGKYLSDHVIESHRKRQGSPRKRKGPISGTKGTDRFRSEEAFYVNPLPDCLCQGQSQNLNTSIITS >Potri.005G161800.4.v4.1 pep chromosome:Pop_tri_v4:5:15898741:15903247:1 gene:Potri.005G161800.v4.1 transcript:Potri.005G161800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161800.v4.1 MRAGICDMVAIAHIINATLVVPKLDKKSYWQDSSNFSDVFDEDHFINALANDVKVIKKLPKEIGSSMKAVKYFKSWSGMDYYQEEIASMWADYKVIRAAKTDSRLANNNLPPDIQKLRCRACYEALRFAPQIEAMGKLLVDRMRSYGPYISLHLRYEKDMLAFSGCTHDLSPAEANELKMIRDANDNWKVKDIDPREQRSKGFCPLTPKEAAIFLSALGYPSNTPIYIAAGEIYGGDSHMGDLQSRYPMLMRKETLASFEELEPFTNHLSQLAALDYIVSVESDVFMPTYSGNMARAVEGHRRFLGHRRTISPDRKALVRLFDKIEQGKLKEGKYLSDHVIESHRKRQGSPRKRKGPISGTKGTDRFRSEEAFYVNPLPDCLCQGQSQNLNTSIITS >Potri.005G161800.1.v4.1 pep chromosome:Pop_tri_v4:5:15898671:15903255:1 gene:Potri.005G161800.v4.1 transcript:Potri.005G161800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161800.v4.1 MMQKRRWKTVGLMRKMLSCAICTIAMMALLSVHLHVFPPYSKLPDPYRLPHPQIEIRDKILGKEQEQSWTSEITPPNVYKGPLSSHQCSDRNPNCTKLWKPPPNRNYLPCTQPSPNYTSPSESRGYLLAHTNGGLNQMRAGICDMVAIAHIINATLVVPKLDKKSYWQDSSNFSDVFDEDHFINALANDVKVIKKLPKEIGSSMKAVKYFKSWSGMDYYQEEIASMWADYKVIRAAKTDSRLANNNLPPDIQKLRCRACYEALRFAPQIEAMGKLLVDRMRSYGPYISLHLRYEKDMLAFSGCTHDLSPAEANELKMIRDANDNWKVKDIDPREQRSKGFCPLTPKEAAIFLSALGYPSNTPIYIAAGEIYGGDSHMGDLQSRYPMLMRKETLASFEELEPFTNHLSQLAALDYIVSVESDVFMPTYSGNMARAVEGHRRFLGHRRTISPDRKALVRLFDKIEQGKLKEGKYLSDHVIESHRKRQGSPRKRKGPISGTKGTDRFRSEEAFYVNPLPDCLCQGQSQNLNTSIITS >Potri.007G048900.5.v4.1 pep chromosome:Pop_tri_v4:7:4629578:4631045:1 gene:Potri.007G048900.v4.1 transcript:Potri.007G048900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048900.v4.1 MAITRKEMDRIKGPWSPEEDEALQKLVQKHGARNWSLISKSIPGRSGKSCRLRWCNQLSPQVEHRPFTPDEDDTIIRAHARFGNKWATIARLLYGRTDNAIKNHWNSTLKRKCSSMAEDGNFCNREGYDGNLDGDNTQPLKRSVSAGSGVPVSTGLYMSPGSPSGSDVSDSSLPGLSSSYNYNIYRPVARTGAVMPPVETTSSSDNNNDPPTSLSLSLPGADSSEVSNQVGEPSQLPKSNTVTPLLTGRNAPAQEVSPAVGGLSGSVGFNTEFMSVMQEMIRKEVRNYMMEHSGVGGGMCYQGMSGEGFRNVAVNNRVGLVKLSSEKWTG >Potri.018G100400.1.v4.1 pep chromosome:Pop_tri_v4:18:12056852:12059530:1 gene:Potri.018G100400.v4.1 transcript:Potri.018G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100400.v4.1 MPSLEEELFPSTPGKFKIDRAHNMNRQFNRCFASTSTMFLWALFLIALTASYLSFQSFVDSGSRYFTASWGGIQWEKQIRNSAQIHRSKGMSVLVTGAAGFVGSHVSLALKKRGDGVVGIDNFNNYYDPSLKKARKSLLNNQGIFIVEGDINDARLIAKLFDIVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEACKLANPQPSVVWASSSSVYGLNEKVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSVTGLRFFTVYGPFGRPDMAYFSFTRNILQGKPITVYRGKNRVDLARDFTYIDDIVKGCVGSLDTSGKSTGSGGKKRGPAPYRIFNLGNTSPVTVPTLVNILERHLKVKAKRNIVDMPGNGDVPFTHANISLAQRELGYKPTTDLETGLKKFVKWYLTYYGYNRGKAVH >Potri.007G121100.1.v4.1 pep chromosome:Pop_tri_v4:7:13894368:13896243:1 gene:Potri.007G121100.v4.1 transcript:Potri.007G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121100.v4.1 MCRGIHQERNNQGGSCGEEVVSSKATSRLVCCELCGSRASLYCQADDAFLCQKCDKWVHGANFLAQRHVRCMLCNTCQNLTQRYLIGASTELLLPTIVSWRERRQCNSNLEKKSSGSLKMPFLFL >Potri.006G265900.4.v4.1 pep chromosome:Pop_tri_v4:6:26122880:26127377:-1 gene:Potri.006G265900.v4.1 transcript:Potri.006G265900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265900.v4.1 MGTQIPTSNDRSRTYWTPTMERYFIDLMLEQLHRGNRIGHTFNKQAWTDMLAVFNAKFESQYDKDVLKSRYTNLWKQFNDVKELLGQTGFAWDENRKMVVADDGLWHDYIKVHPDARSYKTKAVLNFNDLCVIYGYTSADGRYSRSSHDFDFDDEVQGVNMGDPTSSLSSNSERPRTEWTAAMDQYFVELMLDQMGRGNKTDNTFVKQAWTDMLSSFNAKFGPRHDKRILRHRYKKLAKYYSDLKVILQQNGFSWDETQQMIVADDDKVWDAYIKAHPHARTYRMKTLPNYNDLVLIYGNASENGVQSNFLEDKDHEADISRKKAEEGKGSQSLGSSDRTRTYWTPPMDRYLIDLLLDQVHRGNKFGQTFISQAWIDMAALFNVKFQSHHDKDVLKNRYKHLRRLYNEIKNLLENSGFSWDETREMITAKDHIWDAYIKAHPDARSYRVKTVPGYQKLCVIVGQENSGGRYSRLAQSIDANEEMPVLMTVDPLTVDWQPEMNRYFVDLMLEQVHGGNKIDHTFNEQAWAHMVKLFNDKFGLTCDKYSLEKQYVSLMKDCNEISSLLSHRGFAWDGTQQMVAADDATWEDHVKGHPEAIAYKNKVLDSYLDLCFIQRNEVSDTRLGDPGPPMQNEETAMKVEIVMDGLQGNEQFPVEDIEISDAQKKRPATVAELSCKAQKIGKEMRCVVSAFANKKESKNHMSIESAIETLQTIPDIDDELLLDACDLLEDERKAKTFLALDATLRKKWLLRKLRPKESQ >Potri.006G265900.5.v4.1 pep chromosome:Pop_tri_v4:6:26122878:26127366:-1 gene:Potri.006G265900.v4.1 transcript:Potri.006G265900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265900.v4.1 MGTQIPTSNDRSRTYWTPTMERYFIDLMLEQLHRGNRIGHTFNKQAWTDMLAVFNAKFESQYDKDVLKSRYTNLWKQFNDVKELLGQTGFAWDENRKMVVADDGLWHDYIKVHPDARSYKTKAVLNFNDLCVIYGYTSADGRYSRSSHDFDFDDEVQGVNMGDPTSSLSSNSERPRTEWTAAMDQYFVELMLDQMGRGNKTDNTFVKQAWTDMLSSFNAKFGPRHDKRILRHRYKKLAKYYSDLKVILQQNGFSWDETQQMIVADDDKVWDAYIKAHPHARTYRMKTLPNYNDLVLIYGNASENGVQSNFLEDKDHEADISRKKAEEGKGSQSLGSSDRTRTYWTPPMDRYLIDLLLDQVHRGNKFGQTFISQAWIDMAALFNVKFQSHHDKDVLKNRYKHLRRLYNEIKNLLENSGFSWDETREMITAKDHIWDAYIKAHPDARSYRVKTVPGYQKLCVIVGQENSGGRYSRLAQSIDANEEMPVLMTVDPLTVDWQPEMNRYFVDLMLEQVHGGNKIDHTFNEQAWAHMVKLFNDKFGLTCDKYSLEKQYVSLMKDCNEISSLLSHRGFAWDGTQQMVAADDATWEDHVKHGILQGHPEAIAYKNKVLDSYLDLCFIQRNEVSDTRLGDPGPPMQNEETAMKVEIVMDGLQGNEQFPVEDIEISDAQKKRPATVAELSCKAQKIGKEMRCVVSAFANKKESKNHMSIESAIETLQTIPDIDDELLLDACDLLEDERKAKTFLALDATLRKKWLLRKLRPKESQ >Potri.006G265900.7.v4.1 pep chromosome:Pop_tri_v4:6:26122880:26127367:-1 gene:Potri.006G265900.v4.1 transcript:Potri.006G265900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265900.v4.1 MGTQIPTSNDRSRTYWTPTMERYFIDLMLEQLHRGNRIGHTFNKQAWTDMLAVFNAKFESQYDKDVLKSRYTNLWKQFNDVKELLGQTGFAWDENRKMVVADDGLWHDYIKVHPDARSYKTKAVLNFNDLCVIYGYTSADGRYSRSSHDFDFDDEVQGVNMGDPTSSLSSNSERPRTEWTAAMDQYFVELMLDQMGRGNKTDNTFVKQAWTDMLSSFNAKFGPRHDKRILRHRYKKLAKYYSDLKVILQQNGFSWDETQQMIVADDDKVWDAYIKAHPHARTYRMKTLPNYNDLVLIYGNASENGVQSNFLEDKDHEADISRKKAEEGKGSQSLGSSDRTRTYWTPPMDRYLIDLLLDQVHRGNKFGQTFISQAWIDMAALFNVKFQSHHDKDVLKNRYKHLRRLYNEIKNLLENSGFSWDETREMITAKDHIWDAYIKAHPDARSYRVKTVPGYQKLCVIVGQENSGGRYSRLAQSIDANEEMPVLMTVDPLTVDWQPEMNRYFVDLMLEQVHGGNKIDHTFNEQAWAHMVKLFNDKFGLTCDKYSLEKQYVSLMKDCNEISSLLSHRGFAWDGTQQMVAADDATWEDHVKGHPEAIAYKNKVLDSYLDLCFIQRNEVSDTRLGDPGPPMQNEETAMKVEIVMDGLQGNEQFPVEDIEISDAQKKRPATVAELSCKAQKIGKEMRCVVSAFANKKESKNHMSIESAIETLQTIPDIDDELLLDACDLLEDERKAKTFLALDATLRKKWLLRKLRPKESQ >Potri.009G033700.1.v4.1 pep chromosome:Pop_tri_v4:9:4406090:4407516:1 gene:Potri.009G033700.v4.1 transcript:Potri.009G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033700.v4.1 METTSVLLHSKTIPFSFTISINNRKRKLSFRHHNKHLQSHLSNTSVLSGQNLKPIKNPLNPPFSLYLSTSSLKITGTHLLSPPKCSYSGAVSTEGLQTHQFLKPLKNLSLEKLKATLLQLTPVDIIKWSAILSAAIAATKWTVNLVINPFFWMYFSWTWLFWPWFVAISLAVYGLYCFYKHSIGEASIFEQLAIVTSVFTWLTLVPPAHFSGYLQGWPFVFFLVYHYFFFFNVSVRKRLYGDYYARPHDPKWDLNPPRWCRLLFCVGVMAGHWLAAFEGPELHLIPGGWINVGIWILILATLLMQYNSTFYLAKYSEKVVVPSAVVQFGPYRWVRHPIYSSTLLLFVTYFIALRAPLSLLFVVAVCLMYYAQKAKMEEGLMIETFGEKYLEYMSKVQYKFIPLVY >Potri.004G001600.1.v4.1 pep chromosome:Pop_tri_v4:4:120902:122081:1 gene:Potri.004G001600.v4.1 transcript:Potri.004G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED11 MDSQTQNTSLQRLQNVEKTVVRVLEVAGAVMDELSNPTGPRKEFINNHCRDFMQMIKDIQFTLRNEIKSACEYRPFEKSDYTCRISNEICLSKLEHILSQLDLITQTITPQYHHAHDSTASSASSPMDF >Potri.010G080800.3.v4.1 pep chromosome:Pop_tri_v4:10:10826443:10831778:-1 gene:Potri.010G080800.v4.1 transcript:Potri.010G080800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080800.v4.1 MNQLHTNKIQESLFSFLRNTPSPSSTSSFERRRMRNTQNPEVFLDISIGGNLAERIEIELLADQAPKTAQNFLALCAGDMGLGKHTGKPMYLKGSTFYNIVRGKWAEGGDFSEENGTGGENIYGIPFFDEGERLKHDAPYLLTTASDYHKYTIGSCFFITFNELHELDGKHVVFGRVVRGYETVQKIEQVQTFPDGRPEHLVIITACGVSPKKRSLNSILIREDSSLPSEGRHSRKRKSKRHGVVEHHSESRHDQNQKGHSNQSSPSHYQSKSREKTSPGWNYEGDGNSAKESAPRHSRSKLRDKTSQGSRWPSPIQRSDSKHSPRRRNRSNSRDRTGHQWRIRSEISDARNRYRSKSGRSRKNLQKAPGSHAHDRGHSKQQNHRPDPETEFPSLSNSMQMRLRLPGDDAQP >Potri.010G080800.2.v4.1 pep chromosome:Pop_tri_v4:10:10826443:10831778:-1 gene:Potri.010G080800.v4.1 transcript:Potri.010G080800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080800.v4.1 MNQLHTNKIQESLFSFLRNTPSPSSTSSFERRRMRNTQNPEVFLDISIGGNLAERIEIELLADQAPKTAQNFLALCAGDMGLGKHTGKPMYLKGSTFYNIVRGKWAEGGDFSEENGTGGENIYGIPFFDEGERLKHDAPYLLTTASDYHKYTIGSCFFITFNELHELDGKHVVFGRVVRGYETVQKIEQVQTFPDGRPEHLVIITACGVSPKKRSLNSILIREDSSLPSEGRHSRKRKSKRHGVVEHHSESRCGTVKAGVTCRCKYSELFRHDQNQKGHSNQSSPSHYQSKSREKTSPGWNYEGDGNSAKESAPRHSRSKLRDKTSQGSRWPSPIQRSDSKHSPRRRNRSNSRDRTGHQWRIRSEISDARNRYRSKSGRSRKNLQKAPGSHAHDRGHSKQQNHRPDPETEFPSLSNSMQMRLRLPGDDAQP >Potri.010G080800.4.v4.1 pep chromosome:Pop_tri_v4:10:10826443:10831413:-1 gene:Potri.010G080800.v4.1 transcript:Potri.010G080800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080800.v4.1 MGFSLSSVWLLRKWRRRSQSSFERRRMRNTQNPEVFLDISIGGNLAERIEIELLADQAPKTAQNFLALCAGDMGLGKHTGKPMYLKGSTFYNIVRGKWAEGGDFSEENGTGGENIYGIPFFDEGERLKHDAPYLLTTASDYHKYTIGSCFFITFNELHELDGKHVVFGRVVRGYETVQKIEQVQTFPDGRPEHLVIITACGVSPKKRSLNSILIREDSSLPSEGRHSRKRKSKRHGVVEHHSESRHDQNQKGHSNQSSPSHYQSKSREKTSPGWNYEGDGNSAKESAPRHSRSKLRDKTSQGSRWPSPIQRSDSKHSPRRRNRSNSRDRTGHQWRIRSEISDARNRYRSKSGRSRKNLQKAPGSHAHDRGHSKQQNHRPDPETEFPSLSNSMQMRLRLPGDDAQP >Potri.010G080800.1.v4.1 pep chromosome:Pop_tri_v4:10:10826443:10831778:-1 gene:Potri.010G080800.v4.1 transcript:Potri.010G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080800.v4.1 MRNTQNPEVFLDISIGGNLAERIEIELLADQAPKTAQNFLALCAGDMGLGKHTGKPMYLKGSTFYNIVRGKWAEGGDFSEENGTGGENIYGIPFFDEGERLKHDAPYLLTTASDYHKYTIGSCFFITFNELHELDGKHVVFGRVVRGYETVQKIEQVQTFPDGRPEHLVIITACGVSPKKRSLNSILIREDSSLPSEGRHSRKRKSKRHGVVEHHSESRCGTVKAGVTCRCKYSELFRHDQNQKGHSNQSSPSHYQSKSREKTSPGWNYEGDGNSAKESAPRHSRSKLRDKTSQGSRWPSPIQRSDSKHSPRRRNRSNSRDRTGHQWRIRSEISDARNRYRSKSGRSRKNLQKAPGSHAHDRGHSKQQNHRPDPETEFPSLSNSMQMRLRLPGDDAQP >Potri.018G030200.2.v4.1 pep chromosome:Pop_tri_v4:18:2234658:2239860:-1 gene:Potri.018G030200.v4.1 transcript:Potri.018G030200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G030200.v4.1 MSYNTSAVGSGSRNTTRTFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPCTAWFDVGDISEDAPDDLEGLEASATHVANLLSTEPADIKLGVGGFSMGAATALYSATCHVLGQYGNGNQYPINLTAVVGLSGWLPCSRTLRSRIERSDEAARRAASLPILLCHGSGDDVVAHKHGEKSAQALSSAGFRNLTFRSYNGLGHYTIPEEMDEVCHWLTTRLGLDGSWS >Potri.018G030200.1.v4.1 pep chromosome:Pop_tri_v4:18:2234666:2239087:-1 gene:Potri.018G030200.v4.1 transcript:Potri.018G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G030200.v4.1 MSYNTSAVGSGSRNTTRTFEFGRTHVVRPKGKHQATIVWLHGLGDKGSSWSQLLETLPLPNIKWICPTAPTRPVAIFGGFPCTAWFDVGDISEDAPDDLEGLEASATHVANLLSTEPADIKLGVGGFSMGAATALYSATCHVLGQYGNGNQYPINLTAVVGLSGWLPCSRTLRSRIERSDEAARRAASLPILLCHGSGDDVVAHKHGEKSAQALSSAGFRNLTFRSYNGLGHYTIPEEMDEVCHWLTTRLGLDGSWS >Potri.011G079400.2.v4.1 pep chromosome:Pop_tri_v4:11:8548582:8554811:1 gene:Potri.011G079400.v4.1 transcript:Potri.011G079400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079400.v4.1 MVVSDGPIISAGQVAFLLGIIPIFVAWIYSEFLEYKKTSSLSKLHSDNNLLDLEKETIKEDDRAVLLEGGLPRSASAKFHSSATKMNLIRFMTMDDSFLLENRTTLRVMSEFGAVLVYFYICDRTNILGESTKNYNRDLFVFLYLLLIIVSAMTSLRKHTDKSTFTGKSTLYLNRHQTEEWKGWMQVIFLMYHYFAATEIYNAIRVFIAAYVWMTGFGNFSYYYIRKDFSVARFAQMMWRLNLFVAFCCIVLNNDYMLYYICPMHTLFTVMVYGVLGIFNKYNENSSVIAVKILSCFLMVILIWETPGVFDILWSPLTFLLGYTDPAKPDLPRLHEWHFRSGLDRYIWIIGMIYAYFHPNVEKWMEKLEESEIKKKLSIKTGLVAVSLSVGYLWYECIYKLDKVSYNKYHPYTSWIPITVYICLRNCTQQLRSFSLTLFAWLGKITLETYISQFHIWLRSDMPNGQPKWLLSVIPEYPLLNFMLTTAIYVLVSHRLFELTNTLKTVFIPTKDNMRLFYNFVAGAAISLCLYCVAVILLHILHSAA >Potri.017G052166.1.v4.1 pep chromosome:Pop_tri_v4:17:3811588:3813440:1 gene:Potri.017G052166.v4.1 transcript:Potri.017G052166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052166.v4.1 MVSIELANKPHAVCIPFPAQGHINPMLKLAKLLHFKGFHITFVNTEYNHRRLLKSRGSSSLDGLPDFQFKTIPDGLPPSDIADATQDIPSLCDCTSTTCLAPFRDLIVKLNSSSIVPQVTCIISDACMSFTLDAAEEFGIPEALFWTPSACGVLGYAQYRSLIERGLTPLKDATDLTNGYLETSIDWIPGMKNIRLRDLPSFVRTTDINDFMLHFLIREIDRTSRASAVIINTFDSFEQDVLDALSPMFPPIYTLGPLQLLVDQIPNGNLKNIGSNLWKDHPECIEWLDSKGPNSVVYVNFGSITVITAQQMIEFAWGLANSNKPFLWIIRPDLIVGEAAMLPPEFLSVTKDRSLLVSWCPQEQVLKHPSIGGFLSHMGWNSTLESICGGVPMVCWPFFGEQQTNCWFACTKWGIGMEIENNVKRDEVEKLVRELMEGEKGKDMKRKAMEWKTKAEEAAWTGDASHRNLDRLVKVLASEQIS >Potri.011G008292.1.v4.1 pep chromosome:Pop_tri_v4:11:676449:680966:-1 gene:Potri.011G008292.v4.1 transcript:Potri.011G008292.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008292.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGPDTRKNFTDHLYKALIQAGIHTFRDVDEIRRGENIDFELQKAIQQSKISIIVFSKDYASSRWCLDELVMIMERKRNDDCIVLPVFYDVDPSQVGRQTGSFAAAFMEHEKHFNEEKERVSGWRIALKEVADLAGMVLGDGYEAQFVQSIVEKVSKNLDRKLFHVPLHFIGRDPLVNYINSWLQDGSHDAAIAILYGIGGVGKTTIAKSVFNQNIHKFEGKSFLSNFRSKDIVCLQRQLLSDILKKTVDEINDEDEGILKIKDALCCRRTLIVLDDVDNRDQFNKIIGMQNWLCKGSKIIVTTRNKGLFSANDIECVECKVEPLDNEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALRVIGSSLSRKGREIWESALQQMEVIPNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDVVRILDGLDKGARFGIDNLINRCLVEINIDRRLWMHQLVRDIGREIARQESTICQRIWRHEDAFTVLKGTTDAEKLRGLTIDMHALMEDNFTEVVCTDSMVRRKRRRLNIFQLWFSDFFDGGKLQTGQTSLFPILNTDAFRKMPDVRFLQLNYTKFYGSFEHIPKNLIWLCWHGFFLRSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSLNLIRTPDFLGLPALEKLILEDCIRLVQIHESIGDLQRLLFLNLRNCTSLVELPEEMGRLNSLEELVLDGCSNLDGLNMELELHQGRNLLQSDGIVASTSYITSLPLKLFFPSRFSARKMLRFTLFSLPRSLRRLDLSGTTIRSLPKSIKDLGLLIDLYLRNCKMLQTLPELPSHLWSLDVSFCYSLQKLTNLNPWIKADGYDQLVEFQDWMKQDSIQKFDSHMFRIMEMVSAQIQPSRFEIVYKDGKFKVVVFVYDEDEMLRGFCAEGEEDKWLIQNEFVDNFSFKISSPPAHRICGFNLFTRFSVMSGYSCSEKLGIEIRNNTSGQSLRRQAHVLDMRFEDEVREIQSLSHWKLGGDDPTFDNGDDVIISMVVTSAIQIRTVGVEWLHEEEGKDDDIQSKDEVINAHNSSDDDDAAHVAKVEIASRIFRNYYCAFRIEFYGGDFAWWFFCKERS >Potri.006G013900.3.v4.1 pep chromosome:Pop_tri_v4:6:863482:866802:1 gene:Potri.006G013900.v4.1 transcript:Potri.006G013900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013900.v4.1 MNGDHTPNLNSDDPKTPLLPVHDPIQRSPSSNSSLTSLIFTPNNFYILLGPLLCTLICLLVKLDAPVTSIYMLAILAWIFSWWFTEAVPMPITSMAPLFLFPLFGIGSADSVARSYMDDVIALVLGSFILALAVEHYNIHKRLALNITMLFCGDPLNPPLLLLGICATTAFVSMWMHNVAAAVIMMPVATGILQRLPRGPTQSNVVGKFCKAVVLGVIYSAAIGGMSTLTGTGVNLILVGMWKSYFPEANPISFNTWFFFGFPLALVIFFALWAILCLLYCSKGSGQVLSAYLDKAHLKSELELLGPMAFAEKMVLAVFGMLIVLWMTRSITDDIPGWGALFNGLAGDGTVSVMMATLLFIIPNKKQRGEKLMDWNKCKKLPWNIVLLLGAGFAIADGVKTSGLADVLSKALDFLEEVPYLAIAPMVCLISATITEFTSNNSTTTLVVPLLIQIAKTMHVHPLLLMVPGAIGAQFSFLLPTGTPSNIVGFSTGHIEIKDMIKTGVPLKIFGIAALSLLMPTLGAYVFGTNGEV >Potri.006G013900.6.v4.1 pep chromosome:Pop_tri_v4:6:863625:866793:1 gene:Potri.006G013900.v4.1 transcript:Potri.006G013900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013900.v4.1 MQITMLFCGDPLNPPLLLLGICATTAFVSMWMHNVAAAVIMMPVATGILQRLPRGPTQSNVVGKFCKAVVLGVIYSAAIGGMSTLTGTGVNLILVGMWKSYFPEANPISFNTWFFFGFPLALVIFFALWAILCLLYCSKGSGQVLSAYLDKAHLKSELELLGPMAFAEKMVLAVFGMLIVLWMTRSITDDIPGWGALFNGLAGDGTVSVMMATLLFIIPNKKQRGEKLMDWNKCKKLPWNIVLLLGAGFAIADGVKTSGLADVLSKALDFLEEVPYLAIAPMVCLISATITEFTSNNSTTTLVVPLLIQIAKTMHVHPLLLMVPGAIGAQFSFLLPTGTPSNIVGFSTGHIEIKDMIKTGVPLKIFGIAALSLLMPTLGAYVFGTNGEV >Potri.012G095900.1.v4.1 pep chromosome:Pop_tri_v4:12:12016966:12017313:1 gene:Potri.012G095900.v4.1 transcript:Potri.012G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095900.v4.1 MAHKLIVLALVFVAIFGLAAAAAPAPSTTYLPAAAAPAPSTTDLPAGEAPLSHDFIGTNDGDAAGAPSADGSTVVPGPMGSTTLAGGPASEKDGAATLKFSAIVGAAAVAGYFFF >Potri.001G152300.1.v4.1 pep chromosome:Pop_tri_v4:1:12758296:12762324:1 gene:Potri.001G152300.v4.1 transcript:Potri.001G152300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152300.v4.1 MANNLDAMRKIPPGIWLIRKIRGKDCSLKTHRYMVLLVTFIAYTSYHASRKPSSIVKSALDPEPNKTTNVYPWPIGSVFVKDEFLGENKDKFGYKGWEPFNGKDGTEKLGLIDVAFLACYSLGMFGAGHLGDTLDLRLFLTSGMIGSGIFVGLFGMGYFWNIHVFGFYLVMQMVAGLFQATGWPSVVAVIGNWFGKRKRGLIMGIWNAHTSVGNITGSLIAASVLEYGWGWSFIAPGTFIAISGILVFLFLAAYPEDIGFPCPNGPATRAEAVPCDVEAQTQNEGTVEGKSAPIRDRSGARRSVGLLEACLIPGVIPFSFCLFFSKLVAYTFLYWLPFYLSQTEIGGEYMSVKSAGNLSTLFDVGGIVGGILAGHISDKLRARAITAASFMYAAIPSMLLYRLYGNLSRTVNIVLMMIAGLFINGPYALITTAVSADLGTHSSLRGDSRALATVTAIIDGTGSIGAALGPLLTGFLSTKGWDTVFVMLMLGALIAGLLLSRQVVVEINEKTCKSMRSSSGQQSSEAPPSQPLLSDQR >Potri.013G057000.2.v4.1 pep chromosome:Pop_tri_v4:13:4174984:4178284:-1 gene:Potri.013G057000.v4.1 transcript:Potri.013G057000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057000.v4.1 MEISEKKEPENNNNISQTATLDSSSTTDIIDKESEERQARELKAGLHPLKNKFIFWYTRRTPGVRTQTSYEDNIKKIVEFSTVEGFWVCYCHLARPSLLPSPTDLHLFKEGIRPLWEDSANCNGGKWIIRFKKVVSGRFWEDLVLALVGDQLDYSDDICGAVLSIRYNEDILSVWNRNASDRQGVMALRDSIKRHLKLPHSYVMDYKPHDASLRDNSSYRNTWLRG >Potri.016G115900.1.v4.1 pep chromosome:Pop_tri_v4:16:12017368:12020447:1 gene:Potri.016G115900.v4.1 transcript:Potri.016G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115900.v4.1 MVGLQRPQFVLFGSSIVQLSYINEGWGAILAHIYARKKFWECNFHRAILCCDDSLQRMSQQGQPRANQCWRAPFSRSYPTRKRRHLLV >Potri.002G193900.4.v4.1 pep chromosome:Pop_tri_v4:2:15686233:15691801:-1 gene:Potri.002G193900.v4.1 transcript:Potri.002G193900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193900.v4.1 MASTPPHCSITATTKHYQNHPYPHNQLKNHRQTHNQNPHQRWTSNQRVSLAKPPLPPSRNAPKPAATTTTTTTQHPQIHPTFSSFQPPKSELVSDFPGRRSTRFVSKLHFGRPRTTMGTRHTSVAQEALQNVIEYGKDERALENVLLNFESRLSGSDDYVFLLRELGNRGDCKKAICCFEFAVKRERKKNEQGKLASAMISTLGRLGKVEMAKTVFKAALTEGYGNTVYAFSAIISAYGRSGYCNEAIKIFYSMKDYGLKPNLVTYNAVIDGCGKGGVEFKRVLEIFDEMLRNGMQPDRITFNSLLAVCSKGGLWEAARSLSCEMVNRGIDQDIFTYNTLLDAVCKGGQLDMAFEIMSEMPAKNILPNVVTYSTMIDGYAKAGRLDDARNLFNEMKFLGISLDRVSYNTLLSIYAKLGRFEEAMDVCREMENSGIRKDVVTYNALLGGYGKQYKYDVVRKVFEEMKARHVSPNLLTYSTLIDVYSKGGLYREAMDVFREFKKAGLKADVVLYSALIDALCKNGLVESAVSLLDEMTKEGIRPNVVTYNSIIDAFGRPATTESVVDDAGQTSELQIDSLSSSAVEKATKSLVADREDNRIIKIFGQLAAEKAGQAKNSGGQEMMCILGVFHKMHELEIKPNVVTFSAILNACSRCNSFEEASMLLEELRLFDNQVYGVAHGLLMGYRENVWEQAQSLFDEVKLMDSSTASAFYNALTDMLWHFGQKRGAQLVVLEGKRRQVWENVWSESCLDLHLMSSGAARAMVHAWLLNVRAIVFEGHEVPKLLSILTGWGKHSKVVGDSTLRRAVEALLMGMGAPFRSAKCNLGRLISTGSVVASWLRESGTLKVLVLHDDRTHQENLRFGQISNLQMLQL >Potri.019G012000.1.v4.1 pep chromosome:Pop_tri_v4:19:1679914:1682552:-1 gene:Potri.019G012000.v4.1 transcript:Potri.019G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012000.v4.1 MRNEVMVLPCLLLLHCYVVLTMETITQEKAEAAIPVTTLSPPEGNTTFLAGTSWCVALPGVSQIDLQNALDWACGLGMADCKPIQHGGACFDPDTLVSHASYAFNNYYQQNGNSDIACNFGGTATLTNIDPSHGKCNFASPGSVGSSAPSSLKCKTSFIWVKFAGILLLLYLRR >Potri.009G166100.1.v4.1 pep chromosome:Pop_tri_v4:9:12701458:12704370:1 gene:Potri.009G166100.v4.1 transcript:Potri.009G166100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166100.v4.1 MVQQTIDSKFSEYGLSNTDTNLSTHDKQFPLVLKKTALRDVQNENRIPKSVGNSPLSKDRGQTMNSFKVSGAKRPSSEGLMNPPVLRYESSSSGAPNSHLVYVRRKSEAETGKLGHHEETVQPKPSQIKEPTVSSLQALAPMPVASPISSSGKPSVPLPLGQSSIRFAPAESSCHPVGSTAPSSNPMAEKNMHWEERYCQLQILLKKLDESDQEEYAQKLRSVSSIELSRHAIELEKRSIQLSLEEAKELQRVTILNVLGKSLKNFKAPSTHQSQSEK >Potri.009G166100.2.v4.1 pep chromosome:Pop_tri_v4:9:12701880:12704288:1 gene:Potri.009G166100.v4.1 transcript:Potri.009G166100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166100.v4.1 MVQQTIDSKFSEYGLSNTDTNLSTHDKQFPLVLKKTALRDVQNENRIPKSVGNSPLSKDRGQTMNSFKVSGAKRPSSEGLMNPPVLRYESSSSGAPNSHLVYVRRKSEAETGKLGHHEETVQPKPSQIKEPTVSSLQALAPMPVASPISSSGKPSVPLPLGQSSIRFAPAESSCHPVGSTAPSSNPMAEKNMHWEERYCQLQILLKKLDESDQEEYAQSKSWSFKLR >Potri.009G072600.4.v4.1 pep chromosome:Pop_tri_v4:9:7104078:7113525:1 gene:Potri.009G072600.v4.1 transcript:Potri.009G072600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072600.v4.1 MALDLEKQQSVRMRKRKRNEIKLSVNEKVEVRSEEDGFQGSWHPGTVIACRRRGLDLKYEVKYDHILNDDESDYLVDQVCVSLPVDDTDCANEDRCNNRGLIRPAPPPFQFGKYGFPYGMCVDVHYQEAWWEGVIFDHDDGSEERRIFFPDLGDEIMASVNKLRVTQDWNEVDETWRQRGTWLFLVLIEEYEQKQYIPVSIKQLWYDLREKESFEKLGGWTSTVKALWRKLVLGAIDDNLKVVVNHLFQVIGIPDAAQQPIAKPVNDVNMNRKEDLVKTHAMIPVENSLNDCLLLYPSCPTVESTLDRVVPKFSCEDDAIVCMKPRALFALPSNLDGIPAVSSITSDEGFSNSNSNKINGSSSGSACIQSSWLCAGSDIVPGPEFCPDAIIKYAKMGNKKPTGTLIKDVRKHLLHQRWKIESMKDKGTSRLRYTSPDGKLYHSLRQVCLDFCGADRGILSPTSEGKQNSLHTSHGDSSSLIEQQEDRDPYCCSQVVSSSNSEAVVYKPEYCPEAIVEWSNLWSKHGSGTRFRGKIKKADMSLRARKHLAALGWVFGYKTFNGRREFYHRSPMGKTYWSLRQAIEHIFDKGTCTDTSRDMEMENDSKTVEGQFSCEKIPSAICKTEFQKQKNCSKESSCFSLSKKHHDLHEINVLTTRKARRKRKDSLHVETHSDAQNTSRPKSRSGITSRGLIGSRNDKKHTKWVRVLRSSKRVQHVVAPDPSHHNPRTVLSLLIDNDIVLPRTKVHYGSQKDRNPTVEGRIARDGIKCSCCGKVYTLSGFELHAGIKSCRLGASKYCKPAASIFLDDGRSLLECQIQMMRDKEMSNHKAETPDSLKGSWDRDGNDHVCSVCHYGGELILCDHCPSSFHKRCLGMKDVPDGDWFCPSCCCKICGQNKLKKDTKDFIDGVLNCTQCEHQYHIMCLSNSWTDKWKDHPKENSFCSKKCEVIFLGLQKLLGKPIPVGVDNLTWTLFKYMQSDQHKLDAFDDETLVETYSKLKIALDVVHECFEPIEEPRTGRDLMKDVIFSNGSELNRLNFQGFYTILLEKNDELVSVATVRIHGDKVAEIPLVGTRFQFRQLGMCHILMDVLEKKLMELGVQRLVLPAVPGVLNTWTGSFGFSKMTDSERLQFVDYTFLDFQDTVICQKLLMKLPSAQSSPLKEIQPTLLDDVYGSGECIDANDSSPVPEVLKTDQNEDGGTMEQGPMEKDKALANEFLETSFYLAVSR >Potri.009G072600.5.v4.1 pep chromosome:Pop_tri_v4:9:7104078:7113525:1 gene:Potri.009G072600.v4.1 transcript:Potri.009G072600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072600.v4.1 MALDLEKQQSVRMRKRKRNEIKLSVNEKVEVRSEEDGFQGSWHPGTVIACRRRGLDLKYEVKYDHILNDDESDYLVDQVCVSLPVDDTDCANEDRCNNRGLIRPAPPPFQFGKYGFPYGMCVDVHYQEAWWEGVIFDHDDGSEERRIFFPDLGDEIMASVNKLRVTQDWNEVDETWRQRGTWLFLVLIEEYEQKQYIPVSIKQLWYDLREKESFEKLGGWTSTVKALWRKLVLGAIDDNLKVVVNHLFQVIGIPDAAQQPIAKPVNDVNMNRKEDLVKTHAMIPVENSLNDCLLLYPSCPTVESTLDRVVPKFSCEDDAIVCMKPRALFALPSNLDGIPAVSSITSDEGFSNSNSNKINGSSSGSACIQSSWLCAGSDIVPGPEFCPDAIIKYAKMGNKKPTGTLIKDVRKHLLHQRWKIESMKDKGTSRLRYTSPDGKLYHSLRQVCLDFCGADRGILSPTSEGKQNSLHTSHGDSSSLIEQQEDRDPYCCSQVVSSSNSEAVVYKPEYCPEAIVEWSNLWSKHGSGTRFRGKIKKADMSLRARKHLAALGWVFGYKTFNGRREFYHRSPMGKTYWSLRQAIEHIFDKGTCTDTSRDMEMENDSKTVEGQFSCEKIPSAICKTEFQKQKNCSKESSCFSLSKKHHDLHEINVLTTRKARRKRKDSLHVETHSDAQNTSRPKSRSGITSRGLIGSRNDKKHTKWVRVLRSSKRVQHVVAPDPSHHNPRTVLSLLIDNDIVLPRTKVHYGSQKDRNPTVEGRIARDGIKCSCCGKVYTLSGFELHAGIKSCRLGASKYCKPAASIFLDDGRSLLECQIQMMRDKEMSNHKAETPDSLKGSWDRDGNDHVCSVCHYGGELILCDHCPSSFHKRCLGMKDVPDGDWFCPSCCCKICGQNKLKKDTKDFIDGVLNCTQCEHQYHIMCLSNSWTDKWKDHPKENSFCSKKCEVIFLGLQKLLGKPIPVGVDNLTWTLFKYMQSDQHKLDAFDDETLVETYSKLKIALDVVHECFEPIEEPRTGRDLMKDVIFSNGSELNRLNFQGFYTILLEKNDELVSVATVRIHGDKVAEIPLVGTRFQFRQLGMCHILMDVLEKKLMELGVQRLVLPAVPGVLNTWTGSFGFSKMTDSERLQFVDYTFLDFQDTVICQKLLMKLPSAQSSPLKEIQPTLLDDVYGSGECIDANDSSPVPEVLKTDQNEDGGTMEQGPMEKDKALANEFLETSFYLAVSR >Potri.009G072600.2.v4.1 pep chromosome:Pop_tri_v4:9:7104078:7113525:1 gene:Potri.009G072600.v4.1 transcript:Potri.009G072600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072600.v4.1 MALDLEKQQSVRMRKRKRNEIKLSVNEKVEVRSEEDGFQGSWHPGTVIACRRRGLDLKYEVKYDHILNDDESDYLVDQVCVSLPVDDTDCANEDRCNNRGLIRPAPPPFQFGKYGFPYGMCVDVHYQEAWWEGVIFDHDDGSEERRIFFPDLGDEIMASVNKLRVTQDWNEVDETWRQRGTWLFLVLIEEYEQKQYIPVSIKQLWYDLREKESFEKLGGWTSTVKALWRKLVLGAIDDNLKVVVNHLFQVIGIPDAAQQPIAKPVNDVNMNRKEDLVKTHAMIPVENSLNDCLLLYPSCPTVESTLDRVVPKFSCEDDAIVCMKPRALFALPSNLDGIPAVSSITSDEGFSNSNSNKINGSSSGSACIQSSWLCAGSDIVPGPEFCPDAIIKYAKMGNKKPTGTLIKDVRKHLLHQRWKIESMKDKGTSRLRYTSPDGKLYHSLRQVCLDFCGADRGILSPTSEGKQNSLHTSHGDSSSLIEQQEDRDPYCCSQVVSSSNSEAVVYKPEYCPEAIVEWSNLWSKHGSGTRFRGKIKKADMSLRARKHLAALGWVFGYKTFNGRREFYHRSPMGKTYWSLRQAIEHIFDKGTCTDTSRDMEMENDSKTVEGQFSCEKIPSAICKTEFQKQKNCSKESSCFSLSKKHHDLHEINVLTTRKARRKRKDSLHVETHSDAQNTSRPKSRSGITSRGLIGSRNDKKHTKWVRVLRSSKRVQHVVAPDPSHHNPRTVLSLLIDNDIVLPRTKVHYGSQKDRNPTVEGRIARDGIKCSCCGKVYTLSGFELHAGIKSCRLGASKYCKPAASIFLDDGRSLLECQIQMMRDKEMSNHKAETPDSLKGSWDRDGNDHVCSVCHYGGELILCDHCPSSFHKRCLGMKDVPDGDWFCPSCCCKICGQNKLKKDTKDFIDGVLNCTQCEHQYHIMCLSNSWTDKWKDHPKENSFCSKKCEVIFLGLQKLLGKPIPVGVDNLTWTLFKYMQSDQHKLDAFDDETLVETYSKLKIALDVVHECFEPIEEPRTGRDLMKDVIFSNGSELNRLNFQGFYTILLEKNDELVSVATVRIHGDKVAEIPLVGTRFQFRQLGMCHILMDVLEKKLMELGVQRLVLPAVPGVLNTWTGSFGFSKMTDSERLQFVDYTFLDFQDTVICQKLLMKLPSAQSSPLKEIQPTLLDDVYGSGECIDANDSSPVPEVLKTDQNEDGGTMEQGPMDVAASNISDVIKRPVHQVVAVNQPYHLECEPCNGKINESSVEDSAFKKESMVGDNGSSILNRGRWFGNEGDLKCYQRRNTEARQN >Potri.009G072600.3.v4.1 pep chromosome:Pop_tri_v4:9:7104078:7113525:1 gene:Potri.009G072600.v4.1 transcript:Potri.009G072600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072600.v4.1 MALDLEKQQSVRMRKRKRNEIKLSVNEKVEVRSEEDGFQGSWHPGTVIACRRRGLDLKYEVKYDHILNDDESDYLVDQVCVSLPVDDTDCANEDRCNNRGLIRPAPPPFQFGKYGFPYGMCVDVHYQEAWWEGVIFDHDDGSEERRIFFPDLGDEIMASVNKLRVTQDWNEVDETWRQRGTWLFLVLIEEYEQKQYIPVSIKQLWYDLREKESFEKLGGWTSTVKALWRKLVLGAIDDNLKVVVNHLFQVIGIPDAAQQPIAKPVNDVNMNRKEDLVKTHAMIPVENSLNDCLLLYPSCPTVESTLDRVVPKFSCEDDAIVCMKPRALFALPSNLDGIPAVSSITSDEGFSNSNSNKINGSSSGSACIQSSWLCAGSDIVPGPEFCPDAIIKYAKMGNKKPTGTLIKDVRKHLLHQRWKIESMKDKGTSRLRYTSPDGKLYHSLRQVCLDFCGADRGILSPTSEGKQNSLHTSHGDSSSLIEQQEDRDPYCCSQVVSSSNSEAVVYKPEYCPEAIVEWSNLWSKHGSGTRFRGKIKKADMSLRARKHLAALGWVFGYKTFNGRREFYHRSPMGKTYWSLRQAIEHIFDKGTCTDTSRDMEMENDSKTVEGQFSCEKIPSAICKTEFQKQKNCSKESSCFSLSKKHHDLHEINVLTTRKARRKRKDSLHVETHSDAQNTSRPKSRSGITSRGLIGSRNDKKHTKWVRVLRSSKRVQHVVAPDPSHHNPRTVLSLLIDNDIVLPRTKVHYGSQKDRNPTVEGRIARDGIKCSCCGKVYTLSGFELHAGIKSCRLGASKYCKPAASIFLDDGRSLLECQIQMMRDKEMSNHKAETPDSLKGSWDRDGNDHVCSVCHYGGELILCDHCPSSFHKRCLGMKDVPDGDWFCPSCCCKICGQNKLKKDTKDFIDGVLNCTQCEHQYHIMCLSNSWTDKWKDHPKENSFCSKKCEVIFLGLQKLLGKPIPVGVDNLTWTLFKYMQSDQHKLDAFDDETLVETYSKLKIALDVVHECFEPIEEPRTGRDLMKDVIFSNGSELNRLNFQGFYTILLEKNDELVSVATVRIHGDKVAEIPLVGTRFQFRQLGMCHILMDVLEKKLMELGVQRLVLPAVPGVLNTWTGSFGFSKMTDSERLQFVDYTFLDFQDTVICQKLLMKLPSAQSSPLKEIQPTLLDDVYGSGECIDANDSSPVPEVLKTDQNEDGGTMEQGPMDVAASNISDVIKRPVHQVVAVNQPYHLECEPCNGKINESSVEDSAFKKESMVGDNGSSILNRGRWFGNEGDLKCYQRRNTEARQN >Potri.017G092000.5.v4.1 pep chromosome:Pop_tri_v4:17:10458170:10460970:-1 gene:Potri.017G092000.v4.1 transcript:Potri.017G092000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092000.v4.1 MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISEPRIAAWNSDQLPIYEPGLDDVVKQCRGKNIFFSTDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARTIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFQPDRVLIGGRETPEGQKAIQALKSVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVSYAIGKDSRIGPKFLSSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVSQKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAILNIYDPQVTEDQIQRDLIMKKFDWDHPLHLQPKSPKSAVKQVTVTWDAYEATKGAHGICILTEWDEFRTLDYKKIFDNMQKPAFVFDGRNVVNADELRGIGFIVYSIGKPLDAWLKDMPAIA >Potri.017G092000.6.v4.1 pep chromosome:Pop_tri_v4:17:10458291:10460896:-1 gene:Potri.017G092000.v4.1 transcript:Potri.017G092000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092000.v4.1 MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISEPRIAAWNSDQLPIYEPGLDDVVKQCRGKNIFFSTDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARTIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFQPDRVLIGGRETPEGQKAIQALKSVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVSYAIGKDSRIGPKFLSSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVSQKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAILNIYDPQVTEDQIQRDLIMKKFDWDHPLHLQPKSPKSAVKQVTVTWDAYEATKGAHGICILTEWDEFRTLDYKKIFDNMQKPAFVFDGRNVVNADELRGIGFIVYSIGKPLDAWLKDMPAIA >Potri.017G092000.3.v4.1 pep chromosome:Pop_tri_v4:17:10458070:10461061:-1 gene:Potri.017G092000.v4.1 transcript:Potri.017G092000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092000.v4.1 MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDISEPRIAAWNSDQLPIYEPGLDDVVKQCRGKNIFFSTDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLTYWESAARTIADVSKSDKIVVEKSTVPVKTAEAIEKILTHNSKGIKFQILSNPEFLAEGTAIEDLFQPDRVLIGGRETPEGQKAIQALKSVYAHWVPEDRILTTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVAEVSYAIGKDSRIGPKFLSSSVGFGGSCFQKDILNLVYICECNGLPEVAEYWKQVIKINDYQKSRFVNRVVSSMFNTVSQKKIAILGFAFKKDTGDTRETPAIDVCKGLLGDKAILNIYDPQVTEDQIQRDLIMKKFDWDHPLHLQPKSPKSAVKQVTVTWDAYEATKGAHGICILTEWDEFRTLDYKKIFDNMQKPAFVFDGRNVVNADELRGIGFIVYSIGKPLDAWLKDMPAIA >Potri.002G220667.1.v4.1 pep chromosome:Pop_tri_v4:2:20047170:20048353:-1 gene:Potri.002G220667.v4.1 transcript:Potri.002G220667.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220667.v4.1 MTSFSNSNHTIDRLPRTIIPLEATVISTAKDTNTNLLQPPAGPLHTNKPITTPLFHYKPQNRPTLSFASSLFVRAAVSHQELLYNHQTHLPLPQHRSVVLKTSRER >Potri.002G197600.1.v4.1 pep chromosome:Pop_tri_v4:2:16044617:16051863:-1 gene:Potri.002G197600.v4.1 transcript:Potri.002G197600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G197600.v4.1 MASHNHLDVDDDDFGGDFPGSHNSRRSGNKRSFGDLEDDEDDIFSSKKGNSKVEETAMILSLRESLETCKSSLATCQTELEAAKSEIQKWRSAFENESSIPAGASLEPRLVINYLQTLKSSEELLREQLEKAKKKEAAFIVTFAKREQEIAELKSAVRDLKAQLKPPSMQARRLLLDPAIHEEFTRLKNLVEEKDKKVKELQDNIAAMNFTPQSKMGKMLMAKCRTLQEENEEIGNQAAEGKIHELAMKLALQKSQNAELRSQFEGLYEHMEGLTNDVEKSNETVLLLQEKLEEKDQELKKLKLQVPQKTFVEEKTDPCPNKTVSADEFKKEAEVN >Potri.006G226450.1.v4.1 pep chromosome:Pop_tri_v4:6:23093057:23093842:1 gene:Potri.006G226450.v4.1 transcript:Potri.006G226450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226450.v4.1 MHILLQASLRSYPFFGTSQTKIKESTERERERETYFSGTVGLEILIPRINFVSSLMGLSFSILLSAWSAILGHKFFGSKDTVENTVVRSLSFGRRDGEMGPRTNSFKRDGSETTGKFDGSDKMSMERSLSFDSWDSNETKAKPSNSTKTSNSLKFKANEIVHLTKPTISLPEPPVIFFSPRPISELDAAATKVQKGLQEL >Potri.006G017600.1.v4.1 pep chromosome:Pop_tri_v4:6:1101158:1103626:-1 gene:Potri.006G017600.v4.1 transcript:Potri.006G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017600.v4.1 MEGELKMRGGDLIRPGRFCILSVATIISSLLAVFFAVSEIGSKKPVFYKENNTNFARKLLQLPDAGSTNRIGAACSKDGIDIVQGSTAPLPNGIPSYTVQILNVCVSGCSISNIHVSCGWFSSAKLINPSVFRRIYYDDCLVNDGEPLGPGETLSFQYANSFLYPLSVSSVACC >Potri.006G017600.3.v4.1 pep chromosome:Pop_tri_v4:6:1101158:1103626:-1 gene:Potri.006G017600.v4.1 transcript:Potri.006G017600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017600.v4.1 MEGELKMRGGDLIRPGRFCILSVATIISSLLAVFFDAGSTNRIGAACSKDGIDIVQGSTAPLPNGIPSYTVQILNVCVSGCSISNIHVSCGWFSSAKLINPSVFRRIYYDDCLVNDGEPLGPGETLSFQYANSFLYPLSVSSVACC >Potri.006G017600.2.v4.1 pep chromosome:Pop_tri_v4:6:1101158:1103626:-1 gene:Potri.006G017600.v4.1 transcript:Potri.006G017600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017600.v4.1 MEGELKMRGGDLIRPGRFCILSVATIISSLLAVFFVTELFHLKAVSEIGSKKPVFYKENNTNFARKLLQLPDAGSTNRIGAACSKDGIDIVQGSTAPLPNGIPSYTVQILNVCVSGCSISNIHVSCGWFSSAKLINPSVFRRIYYDDCLVNDGEPLGPGETLSFQYANSFLYPLSVSSVACC >Potri.016G082100.1.v4.1 pep chromosome:Pop_tri_v4:16:6312969:6315311:-1 gene:Potri.016G082100.v4.1 transcript:Potri.016G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082100.v4.1 MDFDLDELLSSGVGSYNFEWLLTFLKGMIKPLAATAVVLVAVILSYMQNLGLGGEMVYSIVRAFLQLSVIGFVLQFIFSQDHAVWIILAYIFMVSVAGYTAGQRAKHVPRGKLVAGASILAGTAVTMFLLVILNVFPFTPRYIIPVAGMMVGNAMTVTGVTMKRLRDDIKVQMNLVETALALGATPRQATLQQVKRALVIALSPVLDNAKTVGLISLPGAMTGLIMGGASPLEAIQLQIVVMNMLIGASTVSSIMSTYLCWPAFFTKAYQLETKVFSTD >Potri.004G204700.4.v4.1 pep chromosome:Pop_tri_v4:4:21312208:21314737:1 gene:Potri.004G204700.v4.1 transcript:Potri.004G204700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G204700.v4.1 MENKTILREWFERVDSEKTGNITATQLKSALAVGNLEFPLSVVQQMIRMYDSDGNGTMSFDEFVGLNKFLLKVQQAFSDLQRGRGYLVPDDVYEGLVKIGFSLDSPSFYTVCESFDQKKNGRIHLDDFISLCIFVQSARNLFNSFDTTKQGRVTLDFNQFVYCTANCRI >Potri.008G089900.13.v4.1 pep chromosome:Pop_tri_v4:8:5624456:5627332:1 gene:Potri.008G089900.v4.1 transcript:Potri.008G089900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089900.v4.1 MGKDSKAKDAGAKGKGKGKQAGGGSDENASKGKGKSGKASDGLGTCTYVKARHILCEKQGKINEAYQKLQDGWLSNGDKVPPAEFAKLASEYSECPSGKKGGDLGWFPRGKMAGPFQDVAFATQIGATSAPFKSTHGYHVILCEGRKN >Potri.016G077000.1.v4.1 pep chromosome:Pop_tri_v4:16:5798492:5798994:1 gene:Potri.016G077000.v4.1 transcript:Potri.016G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077000.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIERSLMALARKYNQEKMICRKYAV >Potri.017G135500.1.v4.1 pep chromosome:Pop_tri_v4:17:13716704:13721354:-1 gene:Potri.017G135500.v4.1 transcript:Potri.017G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135500.v4.1 MDAAKKKALFRSKLNAQRKEKKIDSPLVRYNELDQPVCRVCDVVLKSEFQWDAHQASRKHIEAINNIKANAARLKNVNNAKSEPSAVSTGSKHADNAQLQVAKSESLTQLPRPQSALPPDFFDNKEAKRQKTGADAVKPSELDSSLKPAGSIQTKATRSLLEDETGGLPSGNHLQTKNTEDARGITNTPTPTPTEISDSQAKQGQGALPEGFFDNKEADLRARGIKPVKPDVNDEYKEFEKLIQGDLREVDDRLEEEEVDAAETIEEAETLEQKAYRERVELLKKKKMELMAARASERSKGSEVASKESSHEDSSSDDDSDVNFAVDWRAQHL >Potri.004G025001.1.v4.1 pep chromosome:Pop_tri_v4:4:1840746:1844932:1 gene:Potri.004G025001.v4.1 transcript:Potri.004G025001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025001.v4.1 MKSLNFFIVLLSSLSLATITKSQDPSFLYNSCSNDTTYTRNSTYQANLNLLLSSLLSNATRNNLDGFYNSSIGLDPDDVYGLFLCRGDVNKNACQNCVALAAKEAIQRCPVEKVVILWYDLCLLRCSNRAFFATMDQDPGEFLFKSDQNIADEPERFNRLVATTMNDTVTQATIATSGGKKFAVEEVYFTKSLNLYSLAQCTPDLSSSDCNRCLRIAISILPSCCSQKPGASILYPSCNVRYETFKFYNITTVAAKPPPPPPSPLTRPKEKGHVSIIVIVAIVIPIAVSMVLFCMGFSFLRRRAIKKRVSLPEKDDGDEISTVESLQFDLSSIEAATNNFSPDNKLGEGGFGEVYKGTLPHGQQIAVKRLSKYSGQGAAEFKNEVVLIAKLQHRNLVRLLGYCLQGAEKILIYEFVPNKSLDHFLFDPGKQGLLDWSIRYKIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDGEMNPRIADFGMAKIFGGDQSQGITNRIAGTL >Potri.004G025001.2.v4.1 pep chromosome:Pop_tri_v4:4:1840746:1844932:1 gene:Potri.004G025001.v4.1 transcript:Potri.004G025001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025001.v4.1 MKSLNFFIVLLSSLSLATITKSQDPSFLYNSCSNDTTYTRNSTYQANLNLLLSSLLSNATRNNLDGFYNSSIGLDPDDVYGLFLCRGDVNKNACQNCVALAAKEAIQRCPVEKVVILWYDLCLLRCSNRAFFATMDQDPGEFLFKSDQNIADEPERFNRLVATTMNDTVTQATIATSGGKKFAVEEVYFTKSLNLYSLAQCTPDLSSSDCNRCLRIAISILPSCCSQKPGASILYPSCNVRYETFKFYNITTVAAKPPPPPPSPLTRPKDGDEISTVESLQFDLSSIEAATNNFSPDNKLGEGGFGEVYKGTLPHGQQIAVKRLSKYSGQGAAEFKNEVVLIAKLQHRNLVRLLGYCLQGAEKILIYEFVPNKSLDHFLFDPGKQGLLDWSIRYKIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDGEMNPRIADFGMAKIFGGDQSQGITNRIAGTL >Potri.013G065100.1.v4.1 pep chromosome:Pop_tri_v4:13:4836854:4838477:-1 gene:Potri.013G065100.v4.1 transcript:Potri.013G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065100.v4.1 MENSRSGFYFLVLCCASLLFPTCCSSKRIPLFIFGDSFFEAGNNNYIRNAFGRANFWPYGETFFKYPTGRFSDGRVIPDFIAEYAKLPFIPPYLQPGNHQITDGVNFASGAAGALAQTRPAGSVIDLNTQAIYFKNVERQISQKLGDKETKKLLSKAIYMFNIGSNDYVAPFTTNSSLLQAYSRKEYVGMVIGNTTTVIKEIYRNGGRKFVFVSMGPLGCLPYLRASNKNGTGGCMDEVTVFSKLHNSALIEALKELQTQLQGFKYAYFDFYTSLSERIKRHSKYGFEKGKVACCGSGPYRGILSCGGRGAEEYQLCDNPSDYLFFDGGHLTEKANNQLAKLMWSGNSNVIWPYNLKTLFQE >Potri.003G163100.1.v4.1 pep chromosome:Pop_tri_v4:3:17260398:17263431:-1 gene:Potri.003G163100.v4.1 transcript:Potri.003G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163100.v4.1 MENSFRDRVDKIFGSLTPSSSSKPEPSSSKPQPSSSLQSGLWSLTDDAIERKEWKRDASSLSASFDRDEIPCAASFDKLKKGRKKKNFELDDDMDELDDDEDDGELNRSEDGLEDWEIRSSIGLDPTLDNEEEEDEYDKVAEGRENAGERMYMKDVTDQGSYLNYYNVISKSLHANRDSRANHLAAEIRLQEDQVEAQTLNSHNDCDKAIECQAKASNECGGGQLKSILKRKQYNSDFKSCKRVRFEPGCGTVHKEEASVEIQDISINTSSANDLVSEDRSFTVQNAPGVPDYLRNPSKYTRYSFDPSTEVDEKSNTRAYMDFSKLVKHSKSTESELEEASSDLPKSVTFIPKKKADEIKAVTSDSMVKQDKGHHNDHHAYAPNFPISIAAGESQESEAGAVAENEPERNIVDRNNSSHKPARRYRTKSSSDDFDP >Potri.008G185300.1.v4.1 pep chromosome:Pop_tri_v4:8:12864155:12870635:1 gene:Potri.008G185300.v4.1 transcript:Potri.008G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185300.v4.1 MAILPRTSLSLFFFFSFSLYRVSSQPERASFPPRGWNSYDSFCWIVSEEDFLQSAEIISHRLKPYGYEYAVVDYLWYRRDVPGASTDSRGFDVIDGWGRLIPDPVRWPSSKGGFTEIAKKVHGMGLKFGIHIMRGLSRQAYDANTPILDTTKGGAYEESGRRWRAKDIGIKERSCAWMPHGFMSVNTKLGAGRAFLRSLYEQYAEWGVDFVKHDCVFGDDLDVDEITFVSEVLQKLDRPMLYSLSPGTSASPTIAKDISGLVNMYRVTGDDWDTWGDVAAHFDVARDFAAANKIGAKGLLGRSWPDLDMLPLGWLTDPGSNRGPYRMCNLNLDEQKTQMTLWAMVRSPLMFGGDVRKLDETTYSLITNPFVLEINSFSMNNMEFPYVTGTKGSIHKTMALSQLSRKCLKEVVSRTRFLGLTSCNNPKVNGWLVEALDDLDQICWKENVRSHEPLCLYKRKPLLASDEQLIYNQGELHLLASDGMEFCLDASSRQKRTSKELKSSSFSPCRSDANQMWELNNNGSLISSYSGLCATVNSIDAKVGNSGVRSWIATGRKGEIYVALFNLNSVKTVISAKTSDMAKVLPGRNFNGTSCHGREVWSGKDFGKIEDLISMEVEVHGCALFVVNCR >Potri.018G083300.2.v4.1 pep chromosome:Pop_tri_v4:18:10086956:10089328:-1 gene:Potri.018G083300.v4.1 transcript:Potri.018G083300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083300.v4.1 MDRSPPPAKSWSIHTRPEIISKYEIQERIGSGAYSDVYKARRHSDNLTVALKEIHDYQSASREIEALQVLQNCPNVVVLHEYFWREDEDAVLVLEFLRTDLAAVIKQGEKRDDGVGVSVGEVKRWMVQILCGVDACHRNMIVHRDLKPSNLLVSDDGVLKLADFGQARILMDPGFVAADENIQPYEQNPLFQEHATPPAEVVPEIDSSSQEGHRNEKQGTISREESFREMGNYKIQGSLDDIDKEMSIRDGDTSCFATGTASDLGDDMLKSYCSYEAEDAENDGCGSLTSCVGTRWFRAPELLYGSTDYGLEVDLWSLGCIFAELLTLKPLFPGTSDIDQISRIFSVLGNLTEEVWPGCVKLPDYGTISFAKVENPTGIEACLPNHSPGEISLVKKLVCYDPASRATAMELLHDEYFSKEPLPVPFSDLWVPSTSSGQDEDSPGLWHDYNDRESDSDFDDFGPMSVKTTSDGFSIQFS >Potri.006G077750.1.v4.1 pep chromosome:Pop_tri_v4:6:5766546:5767147:-1 gene:Potri.006G077750.v4.1 transcript:Potri.006G077750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077750.v4.1 MKPCKQCLSVFLFLMWSSLIPFSSSLSFFFSFLEHLSFSKSMFFQEYTCCWVLVISEAGRMTRSRALLQRFYSRGTSYIDVS >Potri.010G012700.2.v4.1 pep chromosome:Pop_tri_v4:10:2259880:2260640:-1 gene:Potri.010G012700.v4.1 transcript:Potri.010G012700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G012700.v4.1 MSKGAAAVGGAKGKKKGATTFTIDCAKPVEDKIMDIASLEKFLQERIKVGGKPGALGDSVTVTRDKSKITVTCDSSFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNAYELRYFNIAENEGEEED >Potri.010G006200.3.v4.1 pep chromosome:Pop_tri_v4:10:563373:565729:-1 gene:Potri.010G006200.v4.1 transcript:Potri.010G006200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006200.v4.1 MVLFPSLLDGLAGTVSIKKGRNSRKDAGREAAEALAKDARKNELMLSSSGIVKSNKSSNFASVCSKRGQKGINQDSLVVWEEFGCQEDMIFCGIFDGHGPWGHFVSKRVRESVPSSLLCKWQETLSLTSLGMDFEMDLDRNLHQFDIWKQSYLKTYAAIDHELKQHPEIDSFCSGSTALTIIKQGEHLVITNVGDSRAVLATTDDDGCLVPLQLTIDFKPNLPEEAERITRSNGRVFCLRDEPGVFRVWMPNGKTPGLALSRAFGDHCVKDFGLISEPDVTQRNITSRDQFVILATDGVWDVISNQEAVQVVFSTPDREKSAKRLVECAVRAWKNKKRGIAMDDISAICLFFHPSPSQKAAPLTISKQADMIKTF >Potri.010G006200.2.v4.1 pep chromosome:Pop_tri_v4:10:563372:566103:-1 gene:Potri.010G006200.v4.1 transcript:Potri.010G006200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006200.v4.1 MVLFPSLLDGLAGTVSIKKGRNSRKDAGREAAEALAKDARKNELMLSSSGIVKSNKSSNFASVCSKRGQKGINQDSLVVWEEFGCQEDMIFCGIFDGHGPWGHFVSKRVRESVPSSLLCKWQETLSLTSLGMDFEMDLDRNLHQFDIWKQSYLKTYAAIDHELKQHPEIDSFCSGSTALTIIKQGEHLVITNVGDSRAVLATTDDDGCLVPLQLTIDFKPNLPEEAERITRSNGRVFCLRDEPGVFRVWMPNGKTPGLALSRAFGDHCVKDFGLISEPDVTQRNITSRDQFVILATDGVWDVISNQEAVQVVFSTPDREKSAKRLVECAVRAWKNKKRGIAMDDISAICLFFHPSPSQKAAPLTISKQADMIKTF >Potri.010G006200.4.v4.1 pep chromosome:Pop_tri_v4:10:563372:566103:-1 gene:Potri.010G006200.v4.1 transcript:Potri.010G006200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006200.v4.1 MVLFPSLLDGLAGTVSIKKGRNSRKDAGREAAEALAKDARKNELMLSSSGIVKSNKSSNFASVCSKRGQKGINQDSLVVWEEFGCQEDMIFCGIFDGHGPWGHFVSKRVRESVPSSLLCKWQETLSLTSLGMDFEMDLDRNLHQFDIWKQSYLKTYAAIDHELKQHPEIDSFCSGSTALTIIKQGEHLVITNVGDSRAVLATTDDDGCLVPLQLTIDFKPNLPEEAERITRSNGRVFCLRDEPGVFRVWMPNGKTPGLALSRAFGDHCVKDFGLISEPDVTQRNITSRDQFVILATDGVVFSTPDREKSAKRLVECAVRAWKNKKRGIAMDDISAICLFFHPSPSQKAAPLTISKQADMIKTF >Potri.010G006200.5.v4.1 pep chromosome:Pop_tri_v4:10:563373:566104:-1 gene:Potri.010G006200.v4.1 transcript:Potri.010G006200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006200.v4.1 MIFCGIFDGHGPWGHFVSKRVRESVPSSLLCKWQETLSLTSLGMDFEMDLDRNLHQFDIWKQSYLKTYAAIDHELKQHPEIDSFCSGSTALTIIKQGEHLVITNVGDSRAVLATTDDDGCLVPLQLTIDFKPNLPEEAERITRSNGRVFCLRDEPGVFRVWMPNGKTPGLALSRAFGDHCVKDFGLISEPDVTQRNITSRDQFVILATDGVWDVISNQEAVQVVFSTPDREKSAKRLVECAVRAWKNKKRGIAMDDISAICLFFHPSPSQKAAPLTISKQADMIKTF >Potri.005G117000.1.v4.1 pep chromosome:Pop_tri_v4:5:8546070:8547360:1 gene:Potri.005G117000.v4.1 transcript:Potri.005G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117000.v4.1 MKIEIEEVSSHEALPLLSLNHVSLLCRSVWASVRFYEDVLGFVLIKRPSSFNFNGAWLYNYGIGIHLIENPSIDHEFDTIVEPRPINPKDNHMSFQCTDVGLVKRRLQEMGMRYVTAVVEEDGIMVDQVFFHDPDGYMVEICNCDNIPILPLSSCPLKPRMGSFKKAAPSNCGFMEKVMMESLSMDMMNISF >Potri.016G057300.1.v4.1 pep chromosome:Pop_tri_v4:16:3884175:3885995:1 gene:Potri.016G057300.v4.1 transcript:Potri.016G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057300.v4.1 MANQQDPPSHGINDLTKQAQVVVVMVPLPAQGHLNQLLQLSRLILSHNIPVHYVGATTHNRQAKQRVHGWDPNAAANIHFHDIEIPPFHCPPPDPKAKIKFPSHLQPVFNASSQLTEPVSMLLRALSCKARKVIVIHDSLMGSVIEEARFLSNAESYTFHSVSAFAISLYEWEQEGKLIEENELFPRDTPSLEGCFTDEFADFVDCHYSKYQKFNTGCVYNTCKLVESAYLDFLEKETIKEGIKHWALGPFNPVTIPERSESSKKQHFCLEWLDKQAKNTVIYVSFGTTTTFDDEQIKELAIGLRESKKKFIWVLRDGDKGDVFNGEERRAELPKGYENSVDGIGLVVRDWAPQLEILAHPATGGFMSHCGWNSCMESISMGVPIAAWPMHSDQPRNTVLITEVLKIGIVVKDWAQRDEIVTSKIVGSAVNRLMASTEGDEMRKRAAEMGESVRGSVAEGGVSRMEMESFIAHITR >Potri.008G162000.1.v4.1 pep chromosome:Pop_tri_v4:8:11135674:11141240:-1 gene:Potri.008G162000.v4.1 transcript:Potri.008G162000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162000.v4.1 MQPDNNSGHAKVILTRVLAASLLGFISTAATTLYYRRKSKAKIDQHIIPGLVRTESGRLGNLERFSDYVARQMGFVDADECPRLCKLAYDYLKRSEGCENNIYDFFANLPEFESLYVKLMEEFEKCILTYFAFHWSRASLFISQVIDVESVKKPKLKGIVMAATRKQRFERVTKNLKVTRAFSTIVEELKAIGQGESHCTEVMVPVALSERSPVLLLMGGGMGAGKSTVTKDILKEPFWSGAKAKAVVVEADAFKESDVIYRAISYMGHHDDMLQTAELVHQSSTDAASSLLVTALNEGRDVIMDGTLSWEPFVEQTIAMARNVHTCRYRMGPGYQVAEDGTVDENYWEKVEQEEEDQRLNNEKGELTGRKPYRIELVGVVCDPYLAVVRGIRRAITTRRAVRVNSQLKSHKRFASAFERYCQLVDNARLYCTNSVGAPPSLIAWKDGDNKLLIDPEGYKCLTNASNLRADAESIYELYTDPSPIFKPGSVWKDIVLDPSRPTVQSELKTSILNIEKS >Potri.018G016100.1.v4.1 pep chromosome:Pop_tri_v4:18:1109023:1111768:-1 gene:Potri.018G016100.v4.1 transcript:Potri.018G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016100.v4.1 MEMRSGRVVRGVPLNIDLPEGCVANVLSLTTPQDVCRLSAVSPIFKSAAESDDVWQRFLPNDLESILSTALDGSLLLSSASSKKEVYFSLCDNPIIVDHGRKSFSLEKKSGKICYVLSARDLVITWRDTPMYWTWNSNSDSRFAEVAELINVCLFEIRGKINTCLLSPATLYTAYLVFKFASRIDGLDNQPVDVTMKLNEGECGAQTVSWNAHGRFRSTSVTVRGKVHYPKERGDGWLEMELGEFLSMKGEDGELEIRLCDASGHWKRGLIVEGIEIRPKDVSRAASPSS >Potri.009G146800.1.v4.1 pep chromosome:Pop_tri_v4:9:11629083:11631395:1 gene:Potri.009G146800.v4.1 transcript:Potri.009G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146800.v4.1 MARTSTTTLYISATNTRFPKPPLNLQFCHNATKILSLKNPLPLINKHPFLQYSHHHHHHHQKNPTSGAINFRSLVIKASSSSMASSTKIKPFSVLFVCLGNICRSPAAEGVFTDIVEKRGLDSEFKIDSAGTIDYHEGNPADPRMRAASKRRGVEITSISRPIRPSDFRDFDIILAMDKQNREDIMEAFNRWKFRETLPDDAHKKVKLMCSFCKKHEETEVPDPYYGGPQGFEKVLDLLEDACESLLDNILAEKI >Potri.001G187100.3.v4.1 pep chromosome:Pop_tri_v4:1:16711455:16716931:-1 gene:Potri.001G187100.v4.1 transcript:Potri.001G187100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187100.v4.1 MACHWSLQLNNSFYFSKSSGSKCKRRIKADAPNFSKFNGMEHGVSFFSKNSISLGFVFARYTSRYISLKVLSSMSSSASTGEINDVNEAVVSRPDDKNMELTRINCLVWVLHESATSFSQAVESLELAGSGPELAMAWNGKDVHIWHKRLAYQVAVYALLKTAIEVEILLSHDRHNPSPVKEMSSFTPKINLLGEYIENQLNMKHPELVQWFKVVELPHIAGFFAPSLKQWSVEYAGSGVAGIIVAISCCAAVGKLGSERICCPLFTLSLEDVLIELMDLSHSIVEVDKLHKLATEAGFELDFLSHFGAKVFPCNKTEELELWIGLAQQKLSLALSKEIDLRGTGKRARADSLATLGLFAYLGRKTRLFLSRMGIKDLDELVLDFLSYLECGCLFVYPELASVSTYQCFMEVVSDEIGWLDFYAACSFLSNQERERSKQHTIQAEKEIILSTVFTVCYDVFSGFAHFSRSAQQPLDAELLAFLLRSQSLLTICLEDYWAVYDRSCEPLKIVEAGASDHMLPVGTKGNENLYVTLEAQQRPAELILKGCLTTKSLQSINLRKASCSAQREAITHVEASSTTATRPNLPHESLLRKYSVKLVSTSSDLWMGTQLLVVDISCALKLLLKQFHGHEVTIRERKKLKRTLNDIITLIPVTILMLLPVSAVGHAAILAAIKKYMPFLIPSSYSAERLEVVKQLDRTKKMEVQSWINLEDPSSRIP >Potri.006G028601.1.v4.1 pep chromosome:Pop_tri_v4:6:1862599:1863418:1 gene:Potri.006G028601.v4.1 transcript:Potri.006G028601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G028601.v4.1 MKLWIVLATYLLSTVLVASTEAGNMDSLAIDQTGRDMIIRSVVPKNDDIVSGAKSTQHIVGINNLCVDVLLDLYFDGNVVQLKPCKSNGDVNQQWSLEKDGTIQSNCKCLATNDVAGLPVILSCNDRGFYHAS >Potri.007G101201.1.v4.1 pep chromosome:Pop_tri_v4:7:12533769:12552825:-1 gene:Potri.007G101201.v4.1 transcript:Potri.007G101201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101201.v4.1 MEVGNTKQGDTGSPPRQRFCIELNPGETTIVSWKKLLKDAAANKASSSQSQNQTTTAIDAVVEPASVDTDRQEAQFSLGQPTESVSKNAPSSNHLGSVIEKIEGLYVDEYFEIDGSTTEHDGFFVNKGKLEHMNKPISSTHCQPKKRRNNIQKAKEEKDDDHVRNKHAKLGHASMDIATRNKPLVEPFPTNSQSLAADGEHHHDGKLHSLTYPVGSSDKKPAALDIRSENSSYSGITNRDEYISCTRLNNTEKQMNGVLQPVNLGRSVKDTGELSVVAYEKNQENYAPSQLGLQSKRLASKTSNTSSSKFSQRNKKGRHELPDLNLPQYPVQAEKKTAIILPKDVSSLQLKGSTLERAIRDLEKVVAESRPRNIEVQDTDASSTAIKRRLPSEVKQKLAKVAKLAQSSQGKISEELINRLMSILGHLIQLRTLKKNLREMVEMEPTAKQEKADRFQLIRREVMEMIELQQASKREKAGGDFQKAIIDEEKGAMDEKDVMDNKMEDKICDLYELFVQGMDEDKGPHIRKLYVELAELWPDGSMDNHGIKNAIYRAKERRKTFYNNEKVQEKARLEEVSKCWKDINVGGKATSVAQAKRAQEGHSTPAAITQYLSGPAKPLPPFLKGRNDDSPKQEKLEKMTFPMLKEQMKQQRREFNRGLKKSSPKVDKESHMSHKQEVEQQDGLKHHLTTSSSSLGQQN >Potri.007G101201.5.v4.1 pep chromosome:Pop_tri_v4:7:12533762:12552800:-1 gene:Potri.007G101201.v4.1 transcript:Potri.007G101201.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101201.v4.1 MEVGNTKQGDTGSPPRQRFCIELNPGETTIVSWKKLLKDAAANKASSSQSQNQTTTAIDAVVEPASVDTDRQEAQFSLGQPTESVSKNAPSSNHLGSVIEKIEGLYVDEYFEIDGSTTEHDGFFVNKGKLEHMNKPISSTHCQPKKRRNNIQKAKEEKDDDHVRNKHAKLGHASMDIATRNKPLVEPFPTNSQSLAADGEHHHDGKLHSLTYPVGSSDKKPAALDIRSENSSYSGITNRDEYISCTRLNNTEKQMNGVLQPVNLGRSVKDTGELSVVAYEKNQENYAPSQLGLQSKRLASKTSNTSSSKFSQRNKKGRHELPDLNLPQYPVQAEKKTAIILPKDVSSLQLKGSTLERAIRDLEKVVAESRPRNIEVQDTDASSTAIKRRLPSEVKQKLAKVAKLASSQGKISEELINRLMSILGHLIQLRTLKKNLREMVEMEPTAKQEKADRFQLIRREVMEMIELQASKREKAGGDFQKAIIDEEKGAMDEKDVMDNKMEDKICDLYELFVQGMDEDKGPHIRKLYVELAELWPDGSMDNHGIKNAIYRAKERRKTFYNNEKVQEKARLEEVSKCWKDINVGGKATSVAQAKRAQEGHSTPAAITQYLSGPAKPLPPFLKGRNDDSPKQEKLEKMTFPMLKEQMKQQRREFNRGLKKSSPKVDKESHMSHKQEVEQQDGLKHHLTTSSSSLGQQN >Potri.007G101201.2.v4.1 pep chromosome:Pop_tri_v4:7:12533832:12552840:-1 gene:Potri.007G101201.v4.1 transcript:Potri.007G101201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101201.v4.1 MEVGNTKQGDTGSPPRQRFCIELNPGETTIVSWKKLLKDAAANKASSSQSQNQTTTAIDAVVEPASVDTDRQEAQFSLGQPTESVSKNAPSSNHLGSVIEKIEGLYVDEYFEIDGSTTEHDGFFVNKGKLEHMNKPISSTHCQPKKRRNNIQKAKEEKDDDHVRNKHAKLGHASMDIATRNKPLVEPFPTNSQSLAADGEHHHDGKLHSLTYPVGSSDKKPAALDIRSENSSYSGITNRDEYISCTRLNNTEKQMNGVLQPVNLGRSVKDTGELSVVAYEKNQENYAPSQLGLQSKRLASKTSNTSSSKFSQRNKKGRHELPDLNLPQYPVQAEKKTAIILPKDVSSLQLKGSTLERAIRDLEKVVAESRPRNIEVQDTDASSTAIKRRLPSEVKQKLAKVAKLAQSSQGKISEELINRLMSILGHLIQLRTLKKNLREMVEMEPTAKQEKADRFQLIRREVMEMIELQASKREKAGGDFQKAIIDEEKGAMDEKDVMDNKMEDKICDLYELFVQGMDEDKGPHIRKLYVELAELWPDGSMDNHGIKNAIYRAKERRKTFYNNEKVQEKARLEEVSKCWKDINVGGKATSVAQAKRAQEGHSTPAAITQYLSGPAKPLPPFLKGRNDDSPKQEKLEKMTFPMLKEQMKQQRREFNRGLKKSSPKVDKESHMSHKQEVEQQDGLKHHLTTSSSSLGQQN >Potri.001G172000.1.v4.1 pep chromosome:Pop_tri_v4:1:14780584:14780868:1 gene:Potri.001G172000.v4.1 transcript:Potri.001G172000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172000.v4.1 MVFLLKIKLQRKAKSKPHQGHYNPSACEYLGTKRQDCLYMIVCTYYLETLDAKWDALKAFEHHKLTQGAWPFLLALPDMADMQGVFYTQGVVML >Potri.005G075900.1.v4.1 pep chromosome:Pop_tri_v4:5:5104861:5107654:1 gene:Potri.005G075900.v4.1 transcript:Potri.005G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075900.v4.1 MASLKVPASVPPPYEDAEQLNKAFKGWGTNEGLIISILAHRNAAQRNLIRQVYAEAYGQDLLKDLDKELSSDFERVVLLWTLDLAERDAYLANEATKRFTSSNWVLMEIACTRSSHDLFKARQAYHARYKKSLEEDVAYHTTGDFRKLLVPLVSAFRYEGEEVNTMLAKSEAKILHEKISDKAYSDDEIIRILTTRSKAQLNATLNHYNNAFGNAINKNLKEDADNEFLKLLRATIKCLTYPEKYFEKLLRLAIKKIGTDEGALTRVVTTRAEVDMERIKEEYHRRNSVTLDHDIAGEASGDYERMLLALIGHGDA >Potri.003G079600.1.v4.1 pep chromosome:Pop_tri_v4:3:10666194:10670700:-1 gene:Potri.003G079600.v4.1 transcript:Potri.003G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079600.v4.1 MAETVKLPIIDLSSPDRISTAKSIRQACVDYGFFYLVNHGVEGQLLSKVLEESKKFFSLPLNEKMKLSRKHHRGYSPLYAENLDPLSRSEGDSKESFYVGPVEGDMAQTNLNQWPSQEIFPSWRLTMESYHKKLMSAGKKLIYLIAMALNLDEDYFEKVGALDTPRGFLRLLHYPGELGCFNEQIYGASEHSDYGMITLLVSDGVPGLQVCREKFKQPRLWEDVPHINGAFIVNIGDMMERWTNCLFRSTLHRVMPVGQERYSLAFFLDPNPDCVVECLESCCSPSCPARFPPIRSGAYLEERFKLTYGE >Potri.003G143800.2.v4.1 pep chromosome:Pop_tri_v4:3:15901829:15902964:1 gene:Potri.003G143800.v4.1 transcript:Potri.003G143800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143800.v4.1 MENSNDNINTACTSTTNTTNSIVSVENLNNVANWVSATVISAFFSSLERFSCVNVSTTDPDDDDDDRPLALPTNPHLQHDDVANLPV >Potri.013G045800.2.v4.1 pep chromosome:Pop_tri_v4:13:3213113:3217846:-1 gene:Potri.013G045800.v4.1 transcript:Potri.013G045800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045800.v4.1 MRRDGGGRRGSGNYSNPCLTMHQPWASLLVYGIKRIEGRSWTAPIRGCLWIHAASKVPEEDTIKAMEDFYREIYAANGITDIKFPEHYPVSRLLGCVDVVGCVRCDELASWEAVPEGVRLEGQTDFCWLCEQPKKLLVPFEMRGYQRVYNLEKKIYEAAVRGLVSVEGPMPVKFPLPDPQDPFSLKPGSISEEFSENEASGVEKSESLSAAIAGARAAATQFNKKD >Potri.011G156900.1.v4.1 pep chromosome:Pop_tri_v4:11:18301821:18307925:-1 gene:Potri.011G156900.v4.1 transcript:Potri.011G156900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156900.v4.1 MALEIEARDVIKIVLQFCKEHSLHQTFQTLQNECQVSLNTVDSIETFVADINGGRWDTILPQVAQLKLPRNKLEDLYEQIVLEMIELRELDTARAILRQTQAMGVMKQEQPERYLRLEHLLVRTYFDPNEAYQESTKEKRRAQIAQALAAEVSVVPPSRLMALIGQALKWQQHQGLLPPGTQFDLFRGTAAMKQDIDDMYPTTLTHTIKFGTKSHAECAKFSPDGQFLVSCSIDGFIEVWDYVSGKLKKDLQYQADETFMMHDDPVLCVDFSRDSEMLASGAKDGKIKVWRIRTGQCLRRLERAHSQGVTSLAFSRDGSQLLSASFDSTARIHGLKSGKLLKEFRGHASYVNDAIFTSDGTRVITASSDCTVKVWDMKSTDCIHTFRPPPPLRGGDASVNSVHLFPKNTDHIVVCNKTSSICIMTLQGQVVKSFSSGKREGGDFAAACISPKGEWIYCVGEDRNIYCFSYQSGKLEHLMKVHEKDVIGVTHHPHRNLLATYSEDSTMKLWKP >Potri.013G019000.9.v4.1 pep chromosome:Pop_tri_v4:13:1192668:1199648:1 gene:Potri.013G019000.v4.1 transcript:Potri.013G019000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019000.v4.1 MDHLRSSSANGEENGGGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSALRASLKKAKRKSIGESDFYLESKSDDFDMPLRNMKVEEDQPLSVSSKRYKEKVPKSQSRYSPETLIRSLRGQNSLKLNDDSQRDFEFEENWRSYKTTPRSTMESSRSRSQRSFDASAMTEYSDASTDASEDTGGQTCHQCRRNDRNSVTWCLKCDKRGFCDSCISEWYSDIPLEEIEKVCPACRGICNCRGCLRGDNMVKVRIREIPVLDKLQYLHCLLSSVLPIVKQIHQEQCFEVELEQRLRGTDIDLVRAKLNADEQMCCNICRIPIIDYHRHCANCSYDLCLHCCQDLRGASKHGVENEVDDNQIDGRSQDNETPLEPVREPQVRLKLSDKYQGWKANNDGSIPCPPKEHGGCNYSSLNLSRIFKMNWAAKLVKNVEEMVSGCKVYDAGTPQKSGLNDSTLCQYAHREDSDDNFLYCPLSEDVKADGINKFRKHWVRGEPVIVKQVFDSSSISSWDPMAIWRGIRETSDEKKKGENRMVKAIDCLHWSEVDIDLDQFIRGYSEGRIRENGSPEMLKLKDWPSPSASEEFLLYQRPESISKLPFLEFIHSRVGVLNVAAKLPHYSLQNDVGPKICISYGSHEDLGVGDSVIKLHFKTRDMVYLLVHTCEAKTKGSQESSSIDPEKSLDDGRLPDISLDGHDIQDEVKTAADKDEKMEDQEVANTTSIEEIDRIEDHGAERTTGVQEVERMETTRVEEVEGMEDQQFKKDSEDIPVEVCPGVSWDVFRRQDIPKLIDYLRTCYKDLWKPDNIVNDFVTDPLYDGTVFLNAFHKRQLKEEFGVEPWSFEQHLGQAVFVPAGCPFQARNLQSNVQLGLDFLSPESLGVSARLAEEIRCLPNDHEAKLQVLEVGKMSLYAASSAIKEVQKLVLDPKLGAEIGFEDRNLTAAVAENLEKGAKPRQISCS >Potri.013G019000.1.v4.1 pep chromosome:Pop_tri_v4:13:1193410:1199642:1 gene:Potri.013G019000.v4.1 transcript:Potri.013G019000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019000.v4.1 MDHLRSSSANGEENGGGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSALRASLKKAKRKSIGESDFYLESKSDDFDMPLRNMKVEEDQPLSVSSKRYKEKVPKSQSRYSPETLIRSLRGQNSLKLNDDSQRDFEFEENWRSYKTTPRSTMESSRSRSQRSFDASAMTVSETVTEYSDASTDASEDTGGQTCHQCRRNDRNSVTWCLKCDKRGFCDSCISEWYSDIPLEEIEKVCPACRGICNCRGCLRGDNMVKVRIREIPVLDKLQYLHCLLSSVLPIVKQIHQEQCFEVELEQRLRGTDIDLVRAKLNADEQMCCNICRIPIIDYHRHCANCSYDLCLHCCQDLRGASKHGVENEVDDNQIDGRSQDNETPLEPVREPQVRLKLSDKYQGWKANNDGSIPCPPKEHGGCNYSSLNLSRIFKMNWAAKLVKNVEEMVSGCKVYDAGTPQKSGLNDSTLCQYAHREDSDDNFLYCPLSEDVKADGINKFRKHWVRGEPVIVKQVFDSSSISSWDPMAIWRGIRETSDEKKKGENRMVKAIDCLHWSEVDIDLDQFIRGYSEGRIRENGSPEMLKLKDWPSPSASEEFLLYQRPESISKLPFLEFIHSRVGVLNVAAKLPHYSLQNDVGPKICISYGSHEDLGVGDSVIKLHFKTRDMVYLLVHTCEAKTKGSQESSSIDPEKSLDDGRLPDISLDGHDIQDEVKTAADKDEKMEDQEVANTTSIEEIDRIEDHGAERTTGVQEVERMETTRVEEVEGMEDQQFKKDSEDIPVEVCPGVSWDVFRRQDIPKLIDYLRTCYKDLWKPDNIVNDFVTDPLYDGTVFLNAFHKRQLKEEFGVEPWSFEQHLGQAVFVPAGCPFQARNLQSNVQLGLDFLSPESLGVSARLAEEIRCLPNDHEAKLQVLEVGKMSLYAASSAIKEVQKLVLDPKLGAEIGFEDRNLTAAVAENLEKGAKPRQISCS >Potri.013G019000.7.v4.1 pep chromosome:Pop_tri_v4:13:1192880:1199640:1 gene:Potri.013G019000.v4.1 transcript:Potri.013G019000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019000.v4.1 MDHLRSSSANGEENGGGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSALRASLKKAKRKSIGESDFYLESKSDDFDMPLRNMKVEEDQPLSVSSKRYKEKVPKSQSRYSPETLIRSLRGQNSLKLNDDSQRDFEFEENWRSYKTTPRSTMESSRSRSQRSFDASAMTEYSDASTDASEDTGGQTCHQCRRNDRNSVTWCLKCDKRGFCDSCISEWYSDIPLEEIEKVCPACRGICNCRGCLRGDNMVRIREIPVLDKLQYLHCLLSSVLPIVKQIHQEQCFEVELEQRLRGTDIDLVRAKLNADEQMCCNICRIPIIDYHRHCANCSYDLCLHCCQDLRGASKHGVENEVDDNQIDGRSQDNETPLEPVREPQVRLKLSDKYQGWKANNDGSIPCPPKEHGGCNYSSLNLSRIFKMNWAAKLVKNVEEMVSGCKVYDAGTPQKSGLNDSTLCQYAHREDSDDNFLYCPLSEDVKADGINKFRKHWVRGEPVIVKQVFDSSSISSWDPMAIWRGIRETSDEKKKGENRMVKAIDCLHWSEVDIDLDQFIRGYSEGRIRENGSPEMLKLKDWPSPSASEEFLLYQRPESISKLPFLEFIHSRVGVLNVAAKLPHYSLQNDVGPKICISYGSHEDLGVGDSVIKLHFKTRDMVYLLVHTCEAKTKGSQESSSIDPEKSLDDGRLPDISLDGHDIQDEVKTAADKDEKMEDQEVANTTSIEEIDRIEDHGAERTTGVQEVERMETTRVEEVEGMEDQQFKKDSEDIPVEVCPGVSWDVFRRQDIPKLIDYLRTCYKDLWKPDNIVNDFVTDPLYDGTVFLNAFHKRQLKEEFGVEPWSFEQHLGQAVFVPAGCPFQARNLQSNVQLGLDFLSPESLGVSARLAEEIRCLPNDHEAKLQVLEVGKMSLYAASSAIKEVQKLVLDPKLGAEIGFEDRNLTAAVAENLEKGAKPRQISCS >Potri.013G019000.10.v4.1 pep chromosome:Pop_tri_v4:13:1192994:1199642:1 gene:Potri.013G019000.v4.1 transcript:Potri.013G019000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019000.v4.1 MDHLRSSSANGEENGGGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSALRASLKKAKRKSIGESDFYLESKSDDFDMPLRNMKVEEDQPLSVSSKRYKEKVPKSQSRYSPETLIRSLRGQNSLKLNDDSQRDFEFEENWRSYKTTPRSTMESSRSRSQRSFDASAMTEYSDASTDASEDTGGQTCHQCRRNDRNSVTWCLKCDKRGFCDSCISEWYSDIPLEEIEKVCPACRGICNCRGCLRGDNMVKVRIREIPVLDKLQYLHCLLSSVLPIVKQIHQEQCFEVELEQRLRGTDIDLVRAKLNADEQMCCNICRIPIIDYHRHCANCSYDLCLHCCQDLRGASKHGVENEVDDNQIDGRSQDNETPLEPVREPQVRLKLSDKYQGWKANNDGSIPCPPKEHGGCNYSSLNLSRIFKMNWAAKLVKNVEEMVSGCKVYDAGTPQKSGLNDSTLCQYAHREDSDDNFLYCPLSEDVKADGINKFRKHWVRGEPVIVKQVFDSSSISSWDPMAIWRGIRETSDEKKKGENRMVKAIDCLHWSEVDIDLDQFIRGYSEGRIRENGSPEMLKLKDWPSPSASEEFLLYQRPESISKLPFLEFIHSRVGVLNVAAKLPHYSLQNDVGPKICISYGSHEDLGVGDSVIKLHFKTRDMVYLLVHTCEAKTKGSQESSSIDPEKSLDDGRLPDISLDGHDIQDEVKTAADKDEKMEDQEVANTTSIEEIDRIEDHGAERTTGVQEVERMETTRVEEVEGMEDQQFKKDSEDIPVEVCPGVSWDVFRRQDIPKLIDYLRTCYKDLWKPDNIVNDFVTDPLYDGTVFLNAFHKRQLKEEFGVEPWSFEQHLGQAVFVPAGCPFQARNLQSNVQLGLDFLSPESLGVSARLAEEIRCLPNDHEAKLQVLEVGKMSLYAASSAIKEVQKLVLDPKLGAEIGFEDRNLTAAVAENLEKGAKPRQISCS >Potri.013G019000.4.v4.1 pep chromosome:Pop_tri_v4:13:1192677:1199642:1 gene:Potri.013G019000.v4.1 transcript:Potri.013G019000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019000.v4.1 MDHLRSSSANGEENGGGIPDDLRCKRSDGKQWRCTAMSMPDKTVCEKHYIQAKKRAANSALRASLKKAKRKSIGESDFYLESKSDDFDMPLRNMKVEEDQPLSVSSKRYKEKVPKSQSRYSPETLIRSLRGQNSLKLNDDSQRDFEFEENWRSYKTTPRSTMESSRSRSQRSFDASAMTVSETVTEYSDASTDASEDTGGQTCHQCRRNDRNSVTWCLKCDKRGFCDSCISEWYSDIPLEEIEKVCPACRGICNCRGCLRGDNMVKVRIREIPVLDKLQYLHCLLSSVLPIVKQIHQEQCFEVELEQRLRGTDIDLVRAKLNADEQMCCNICRIPIIDYHRHCANCSYDLCLHCCQDLRGASKHGVENEVDDNQIDGRSQDNETPLEPVREPQVRLKLSDKYQGWKANNDGSIPCPPKEHGGCNYSSLNLSRIFKMNWAAKLVKNVEEMVSGCKVYDAGTPQKSGLNDSTLCQYAHREDSDDNFLYCPLSEDVKADGINKFRKHWVRGEPVIVKQVFDSSSISSWDPMAIWRGIRETSDEKKKGENRMVKAIDCLHWSEVDIDLDQFIRGYSEGRIRENGSPEMLKLKDWPSPSASEEFLLYQRPESISKLPFLEFIHSRVGVLNVAAKLPHYSLQNDVGPKICISYGSHEDLGVGDSVIKLHFKTRDMVYLLVHTCEAKTKGSQESSSIDPEKSLDDGRLPDISLDGHDIQDEVKTAADKDEKMEDQEVANTTSIEEIDRIEDHGAERTTGVQEVERMETTRVEEVEGMEDQQFKKDSEDIPVEVCPGVSWDVFRRQDIPKLIDYLRTCYKDLWKPDNIVNDFVTDPLYDGTVFLNAFHKRQLKEEFGVEPWSFEQHLGQAVFVPAGCPFQARNLQSNVQLGLDFLSPESLGVSARLAEEIRCLPNDHEAKLQVLEVGKMSLYAASSAIKEVQKLVLDPKLGAEIGFEDRNLTAAVAENLEKGAKPRQISCS >Potri.016G120200.2.v4.1 pep chromosome:Pop_tri_v4:16:12512486:12517114:-1 gene:Potri.016G120200.v4.1 transcript:Potri.016G120200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120200.v4.1 MAVDHQKMDMDIDNKGEEQQKGKPVMVILVGAPGSGKSTFCEHVMGSSLRPWTRICQDTINNGKAGTKPQCLKRAAAALKEGKSVFIDRCNLDKEQRSDFVKLDCGAQVDVHAVVLDLPAQLCISRSVKRTGHEGNLQGGKAAAVVNRMLQKKELPKLNEGFARIVFCHNENDVEATIKAYTALGPLDTLSNGCFGQKNPDAKIQLGIMKFLKKVEAPSSLGSCSDRVQDSACPQARNANNTCCKGTTKESLLLGAASKDVKESEDLAKDSVDADVSVGDITTLAFPSISTADFQFNNEKASDIIVEKVEEFVNKLENARFVLVDLSHGSKILSLVRAKAAKRNIDSKKFFTFVGDITRLYSQGGLRCNAIANAANWRLKPGGGGVNAAIFAAAGPSLETATKERAKSLLPGHAVVVPLPSDSPLYTREEVSHVIHVLGPNMNPQRPNSLNNDYTKGCSILREAYTSLFTGFLSIVRSRSKLPRRIIEKLESSPSDLKDPSHGPRNHLTNSDQKIKRDDDCVYERSKKCKGTHDETVADISAPSSTYGKVTGDKSKLEGPTSKSWGSWAQALYHIAMHPEKHKDKLLEVLDDVVVLNDLYPKACKHLLVLARHEGLDCLADVHQEHLQLLMTMHAVGLKWAEKFLHEDSSMVFRLGYHSVPSMRQLHLHVISQDFNSNHLKNKKHWNSFNTAFFRDSVDVIEEIKNHGKATIKDEDCRLSMELRCHRCRSAHPNIPRLKSHISICQAPFPHALLENGRLVLAPKHN >Potri.004G178900.1.v4.1 pep chromosome:Pop_tri_v4:4:19314987:19318758:1 gene:Potri.004G178900.v4.1 transcript:Potri.004G178900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178900.v4.1 MDFSLKKSFKGNSSFKHIRRFSGGGGGDNNYSISPEELPILHHHQSDIPYDNQQRTSIGSNYHNEVIVKVDNGNSSSEDSSTDVAKVDRAPSFDFTQNGQQDVMQDPPSRLIGQFLENQKTFGGGEITLDMDMEMDELKGDRGSSHGRHLPSFPESSPTKPSSREIRVSFEPSLSGGSLNGALESVRRRCKEDGSVNSHQRKQEQEREEVLKCSSNASFRRHANPLSRLKTKSRLIDDPSPQELERMSGRIPKSGPMRSGMLSRALYDEDDEDPLEDVDLPEEYKKDKLSTLTVLQWLSLIVILAALVCSLSIRDLKKVKILNLKLWKWEVLLLVLICGRLVSGWGIHLIVFFIERNFLLRKRVLYFVYGLRKGVQNCWWLGLVLLAWHFLFDKKVQRDTKSDFLEYVTKILVCFLVGNFIWLIKTLMVKVLASSFHVSTYFDRIQESLFNQFVIETLSGPPLIEIQKAEDDVERIAAEVRKLQNAGVTMPAELKASVFPPAKSGRLNPNRVMQKTFTAKSFKFSGKLSQKGEKEADDGITIDHLHKLNTKNISAWNMKRLMKIVRHGSLSTLDEQILGAATEDESTTHIRSENEAKVAARKIFNNVARHGSKYIYLHDLMRFLEEDQALKTMSFFEEASETSRIGKSSLKNWVVNAFRERRALALTLNDTKTAVNKLHQMINAIVGIVIVVISLVILGIAKSKFFVLLGSQVLVVSFVFGNTAKTLFESIIFLFVIHPFDVGDRCEIDGVQLIVEEMNILTTFFLRADNQKVLYPNSVLATKPIGNYYRSPDMGDSVEFHIHICTPAEKVALMKQRITGYIEGKKEHWYPDPSFVFKELVDLNKMMVAVWIRHRMNHQDMAEKTKRRALLLEEMVKIFSELDIQYRLFPIDINIRAMPPLDKKYLLP >Potri.016G104900.1.v4.1 pep chromosome:Pop_tri_v4:16:10783879:10791122:-1 gene:Potri.016G104900.v4.1 transcript:Potri.016G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G104900.v4.1 MAQATGRNGRVVGDYLVGRQIGSGSFSVVWHARHRVHGTEVAIKEIVTNRLNKKLQESLMSEIFILKRINHPNIIRLHDIIEAPGRIHIVLEYCKGGDLSMYIQRHGRVPEAIANHFMQQLAAGLQILRDNNLIHRDLKPQNLLLSTSDGNAVLKIADFGFARSLQPRGLAETLCGSPLYMAPEIMQLQKYDAKADLWSVGAILFQLVTGKTPFTGNNQIQLLQNIVKSTQLQFPLDNKDLSADCKDLCQKLLRRSPVERLTFEEFFNHPFLSQRKKDESLSRRRSSRSVDGFPFSESNLGRNADDNSQEDCLPFLLDDDSSGLECSPFVSKRMSPMKSTYGFSLDSRVGGRDATSNVLNDVDFTSRYDSARQNLENASFRPGINKALDESLNEPPKSINQRSANLRSRVVDSLELIEQDYVIVSGPPLDVSSSTASTSKPSNAQCKSESPSRAPAYINTTPSVPMPIISTANKNLCLFGSLEIPSSAPGTSEGSVDLGDALEQPSTHCMTRIKSLQQCASAITELVLEKIKASKLLEAFSIQLVILAIWKQALHICHTQAASAIEGSPSLESSRLRKSSSKKHGTPDTEDCPDVGPENMSAEIEGEFLQEVERAEELSKAIEPGSIVMPDAMETIFQSALYLGRIGGVDELMGDLEKAALLYSKAVRLLVFLLVEAPSLILNPPFSLTNSDRYRLRRYIDILNNRQNHSRPPRMAPPKREDQQCPP >Potri.005G179300.2.v4.1 pep chromosome:Pop_tri_v4:5:18554716:18560632:1 gene:Potri.005G179300.v4.1 transcript:Potri.005G179300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179300.v4.1 MATKEEENTVFDVEAANVLTKELRDVFASGKTRSYEWRISQLKSIVKMCDEHEEDIVDALRQDLSKPQLESIVYELTMVKNSCTLAIKELKHWMMPEKAKTSLTTFPSSAEIVSEPLGAVLIISAWNYPFLLSMDPLIGAIAAGNAMVLKPSEVAPATSSLLAKLLPEYLDCSSIKVVEGAVSETSALLEQKWDKIFYTGNGRVGRIVMAAAAKHLTPVVLELGGKSPVVVDSGIDIQIATRRIIVGKWGCNNGQACISPDYIITTKDCAEKLVDSLKKELEAFYGKNPLESKDLSRIVNSNHFSRLTKLLDEDKVSGKIVYGGERDEANLRIAPTILLGVPQNSLIMKEEIFGPLLPILTVSKIEDSFDIIKSGTKPLAAYLFTNNKKLKEQFLMSVSAGGVVINDTTLHLAVHSVPFGGVGESGMGSYHGKFSFDAFTHKKAVVYRSFVGDASVRYPPYTLGKLRLMKALITGNVWTILRTLLGMS >Potri.001G151500.3.v4.1 pep chromosome:Pop_tri_v4:1:12679216:12680678:1 gene:Potri.001G151500.v4.1 transcript:Potri.001G151500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151500.v4.1 MIIMGFALKYGYSILCVVAVALLPAISHSQDYTCSRATYFGSPDCLGTPSKYKSGACGFGGYGRTVNDANVAGVSRLFKNGTGCGGCYQVRCKASNLCSDDGVNVVVTDYGEGDKTDFILSTRAYARMARPNMALELFAYGVVDVEFRRIPCRYNGYNLMFKVHEHSRFPEYLAIVLLYQAGQNEILAVELRQEDCKEWRGMRRAYGAVWDIPNPPKGAISLKLQVCGSAGVTWVQADNVLPSDWKAGVAYDSAIQLP >Potri.001G151500.1.v4.1 pep chromosome:Pop_tri_v4:1:12679216:12680692:1 gene:Potri.001G151500.v4.1 transcript:Potri.001G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151500.v4.1 MIIMGFALKYGYSILCVVAVALLPAISHSQDYTCSRATYFGSPDCLGTPTGACGFGGYGRTVNDANVAGVSRLFKNGTGCGGCYQVRCKASNLCSDDGVNVVVTDYGEGDKTDFILSTRAYARMARPNMALELFAYGVVDVEFRRIPCRYNGYNLMFKVHEHSRFPEYLAIVLLYQAGQNEILAVELRQEDCKEWRGMRRAYGAVWDIPNPPKGAISLKLQVCGSAGVTWVQADNVLPSDWKAGVAYDSAIQLP >Potri.006G059600.3.v4.1 pep chromosome:Pop_tri_v4:6:4202535:4208294:-1 gene:Potri.006G059600.v4.1 transcript:Potri.006G059600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059600.v4.1 MCVKDAEQEIETPIDNNSNSNKMQSWPLHCDLLQTRMENFDQDSSLICSSGGISVANSYPLESICEDRVVTERKQNLLTDFFPTLRSGEWSDIGGRPYMEDTHICISDLAKKFGSNLLISEHAISFYGVFDGHGGKSAAHFVCEHLPRVIVEDADFPVKLEKVVARSFIETDAAFEKSCSLESARSSGTTALTAMIFGRSLLVANAGDCRAVLSRGGVAKEMSEDHRPCCIKERTRIESLGGFIDDDYLNGQLGVTRALGDWHLEGMKKTGDKSGPLSAEPELKLVTLTKEDEFLIIGSDGIWDVYSNQNAVDFVRKRLQEHNDVKQCCREMVGEAIKRGATDNLTVVIVSFHLEPPPPVVVQRTRFRRSISAEGLQNLKCLLEGNA >Potri.007G011350.1.v4.1 pep chromosome:Pop_tri_v4:7:893711:894810:-1 gene:Potri.007G011350.v4.1 transcript:Potri.007G011350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011350.v4.1 MLLHAHVFLDYAAQSAVLKDNVSAVTHPVLGDQQTEFDLYEPAVQRSVSLRIFTFNIRWLQAMMTFNFSTRQRIKNNGVCYKS >Potri.015G052400.5.v4.1 pep chromosome:Pop_tri_v4:15:6682754:6687959:1 gene:Potri.015G052400.v4.1 transcript:Potri.015G052400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G052400.v4.1 MEEDPEKRFHSIMDKLLNAPSSKSISNPSSPISCGAIQISSPSRGKKRDNRESALALVEHVSSADAPLCRPWDRGDLMRRLATFKSMTWFAKPKVVSAVDCARRGWINLDMDIIACEACGARLLFSTPSSWSQQQVEKAALVFSLKLDNGHKLLCPWIDNACDERLAEFPPTPPQVLVDKFRERSCALLRLLALPLISSSAIEYIRCPQLEEFLGQSPTLEFGKMSANLSQIQFLGNDCDAGFANLYYEAQKLISLCGWEPRALPYVVDCNDKPTQPVKDTGFTDSFHMVINGQHPSIRVRSVATEQSVDTNEESGSCSGPHADSNAVVLDCRFCGASVGLWTFSMVPRPLELFKLVGYAEVNNNKNSGQDSANENHVDNRGVIGNSASNGALSSMHRPSYLSFTIAGGPPPTKQNFKATISLPVIGRNLRARFSYDSDFRDRTCDNQEFSQSGSENKNLSSEERESAKHNFGEQVSLLEAVGLLKSKTHDQGQCSYASGDQSSCLNSGSSEGDALRKENNSKMSLEGIDITREPAFPETAGYDSAVECLTQNPPNTVHGYDATDQLPENSNNIESHDSVVGVSGVSQVSASSISGSAAISPIGSGKRSESNSLEMVTSSINHDEQIPGADISGSNVACQIGMRGGETCSDIENTLATQEKSQEGTTGVQFPVNSEVVANSTGKDPKKLALDKALGFDPIRQHRHFCPWIISTSSGAPGWQQTLSALGRQKEFSLPSTNSPSSSLNKVMKNFTPLLVNRFCANYVSWKVMDRSMVDLIWLVAS >Potri.015G052400.3.v4.1 pep chromosome:Pop_tri_v4:15:6682724:6688024:1 gene:Potri.015G052400.v4.1 transcript:Potri.015G052400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G052400.v4.1 MEEDPEKRFHSIMDKLLNAPSSKSISNPSSPISCGAIQISSPSRGKKRDNRESALALVEHVSSADAPLCRPWDRGDLMRRLATFKSMTWFAKPKVVSAVDCARRGWINLDMDIIACEACGARLLFSTPSSWSQQQVEKAALVFSLKLDNGHKLLCPWIDNACDERLAEFPPTPPQVLVDKFRERSCALLRLLALPLISSSAIEYIRCPQLEEFLGQSPTLEFGKMSANLSQIQFLGNDCDAGFANLYYEAQKLISLCGWEPRALPYVVDCNDKPTQPVKDTGFTDSFHMVINGQHPSIRVRSVATEQSVDTNEESGSCSGPHADSNAVVLDCRFCGASVGLWTFSMVPRPLELFKLVGYAEVNNNKNSGQDSANENHVDNRGVIGNSASNGALSSMHRPSYLSFTIAGGPPPTKQNFKATISLPVIGRNLRARFSYDSDFRDRTCDNQEFSQSGSENKNLSSEERESAKHNFGEQVSLLEAVGLLKSKTHDQGQCSYASGDQSSCLNSGSSEGDALRKENNSKMSLEGIDITREPAFPETAGYDSAVECLTQNPPNTVHGYDATDQLPENSNNIESHDSVVGVSGVSQVSASSISGSAAISPIGSGKRSESNSLEMVTSSINHDEQIPGADISGSNVACQIGMRGGETCSDIENTLATQEKSQEGTTGVQFPVNSEVVANSTGKDPKKLALDKALGFDPIRQHRHFCPWIISTSSGAPGWQQTLSALGRQKEFSLPSTNSPSSSLNKVDDPIALVRKLFTSPVKRMKPTSGSS >Potri.003G200000.3.v4.1 pep chromosome:Pop_tri_v4:3:20041988:20044887:1 gene:Potri.003G200000.v4.1 transcript:Potri.003G200000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200000.v4.1 MEDDDEIQSHSNTTEYSSPSPPPPNGRITVSAPAAVHPQPVPPPPQQNNKNRLALVLPTKPKVNGGGGGGGGREDCWSEGATAVLIDAWGERYLELSRGNLKQKHWKEVAEIVSSREDYSKSSKIDIQCKNRIDTVKKKYKLEKAKIASGGGPSGWPFFDPLDRLIGSTARIPVVGNGNVGGKIPTRVRSGSRRGGVNQYHFRNQNVKIRILKHEEDEDDEEEGEEEEGGAESDDSFPPMKKRRVVVEREVRGKVGKEKRGGGWGNSIRMLTQAMVKFGEAYEQAESAKLQQVVEMEKTRMEFVKELELQRMQFFMQTQMGISQLKNARRGGNATSNHYHHQYHRHHTGNNINASNNVNNSDSDN >Potri.014G165700.1.v4.1 pep chromosome:Pop_tri_v4:14:12024058:12025197:-1 gene:Potri.014G165700.v4.1 transcript:Potri.014G165700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165700.v4.1 MEDNVKEKRPKFCINDHVDILLEILKRLDGRSLCVSACVCRLWCTIARNDSLWEHLCFRHVSPPPSSGVRPVVVALGGYKRLYMVCVRPVLSRLGRLRLAGDSDRVRRVWTRDEVQLSLSLFCVDYYERLGRLGGGGDASGSSLMFLCKPVNV >Potri.005G141100.1.v4.1 pep chromosome:Pop_tri_v4:5:11256431:11259660:-1 gene:Potri.005G141100.v4.1 transcript:Potri.005G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141100.v4.1 MSGGIARGRLAEERKSWRKNHPHGFVAKPETQPDGTVNLMVWHCTIPGKLGTDWEGGYFPLTLNFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDSGWRPAITVKQILVGIQDLLDQPNPADPAQTEGYHLFIQDAAEYKKRVRQQAKQYPSLV >Potri.011G137950.1.v4.1 pep chromosome:Pop_tri_v4:11:16953642:16960645:-1 gene:Potri.011G137950.v4.1 transcript:Potri.011G137950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137950.v4.1 MNPLFTIFMVLFPIFLLIKGRKSSKKLPPGSLGIPIIGHSLQLLKAMRTNTAEKWLLERIQKYGSISMLTLFGKPTVFIYGKEANKFVFTSDSSTISYSQTKNYVGKMDEEVRMHMEMHWQGKQEITVLPLMKKLSFDVICSLLFGIERGRSRRDKLVTWFQQMIGGIWSVPINLPFTRFNRGLRASVRVRNFLMDLIAEKRMELRKGCWLTKALILTRTSSLRDQNNGEEMTEKEIVDNVILVLTAGHDTSAILITFLIRDLSMEPSIYAVVLQEHEEIAKSKSKGELLTWEDLGKMKYTWKVALEALRMFPPIFGGFRKAVKDIEYDGYIIPEGWQIFWTMNMTHMDDSIFTEPSKFDPTRFDNQASIPPYSFIGFGAGPRMCPGYEFAKTEALHPCACICDIWFLAT >Potri.010G092500.2.v4.1 pep chromosome:Pop_tri_v4:10:11702577:11704691:-1 gene:Potri.010G092500.v4.1 transcript:Potri.010G092500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092500.v4.1 MLQLNSVSWLSSTTQNPMLWLPQSNSQSSQDSSAFNSISNSKTSNTKKKKKLSVKYQYYDHQQKSFTSSSRPSSSSAPPVGESPPKVFVGHSIYKGKAALTIEPRAPEFSPLESGAYKLVKEGFVLSQFAPASSARQYDWTRKQVFSLSVTEIGHLVSLGARDSCEFFHDPNKGRSEEGKVRKVLKVEPLPDGSGHFFNLSNSLFPQLLVLNSKSMFYVKMMHQFSVNFLRTYHIHMSSCSFITLIKLHICLLPHLGEKNHQLLLVFKSCVMYG >Potri.005G028900.1.v4.1 pep chromosome:Pop_tri_v4:5:1834305:1839204:-1 gene:Potri.005G028900.v4.1 transcript:Potri.005G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G028900.v4.1 MGEPPPYPQIEPTTFDLIAVGTGLAECIVAAAASASGKTVLHLDTNPFYGSHYSSLSVPELTSFLISNSTASSPPSSTSTTTDIPDYSIVNLTTQPVYSDVEISCFYPELLEENLRKFNLDLCGPRVLFCADKSIDLMLKSGASNYVEFKSIDASFVGDENGKLWNVPDSRAAIFKDKSLTLMEKNQLMRFFKLVQGHLDATVAAGSSGNDGIGNGNDEEEGKTKISDEDLERPFVEYLSKMRLPPKIRSIILYAIAMADYDQDDMGVCQNLLKTKDGIDRLALYQSSVGRFTNASGALIYPIYGQGELPQAFSRRSAVKGCIHVLRMPVTALLMEKDSGSYKGVRLASGQDIFSQKLVLDPSFTLASPSASPSDLLHESFNFLSTRDVKGKVARGICITRNSLKPDTSNLLVVYPPRSLYPEQITSIRALQISGNLAVCPLGMFVLYLSALCDDAIQGKRLLNAAMNALLTFPDSVNSESSSTVQSENTEEKPSVIWSGLYIQEMSTGQFDSINFAPMPDGNLNYNDILDAALKLFQEMYPNEEKFPETTPPENSEDDIELTLET >Potri.005G028900.3.v4.1 pep chromosome:Pop_tri_v4:5:1834299:1839304:-1 gene:Potri.005G028900.v4.1 transcript:Potri.005G028900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G028900.v4.1 MGEPPPYPQIEPTTFDLIAVGTGLAECIVAAAASASGKTVLHLDTNPFYGSHYSSLSVPELTSFLISNSTASSPPSSTSTTTDIPDYSIVNLTTQPVYSDVEISCFYPELLEENLRKFNLDLCGPRVLFCADKSIDLMLKSGASNYVEFKSIDASFVGDENGKLWNVPDSRAAIFKDKSLTLMEKNQLMRFFKLVQGHLDATVAAGSSGNDGIGNGNDEEEGKTKISDEDLERPFVEYLSKMRLPPKIRSIILYAIAMADYDQDDMGVCQNLLKTKDGIDRLALYQSSVGRFTNASGALIYPIYGQGELPQAFSRRSAVKGCIHVLRMPVTALLMEKDSGSYKGVRLASGQDIFSQKLVLDPSFTLASPSASPSDLLHESFNFLSTRDVKGKVARGICITRNSLKPDTSNLLVVYPPRSLYPEQITSIRALQISGNLAVCPLGMFVLYLSALCDDAIQGKRLLNAAMNALLTFPDSVNSESSSTVQSENTEEKPSVIWSGLYIQEMSTVLHVLNFCLLLLASHVCTHAPARAHAHLWGLLELMFRTCGLVLSYVLVVSS >Potri.016G143200.1.v4.1 pep chromosome:Pop_tri_v4:16:14522902:14527424:1 gene:Potri.016G143200.v4.1 transcript:Potri.016G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143200.v4.1 MGNCGTREESAVVSTAQVQQQLHILSSSTSIKNGQSDKKHTRSISDLSDPTSTPRNFEDSRKNALLYTHVIAFTLYELETITKSFRSDYILGEGGFGTVYKGYIDENVRVGLKSIPVAVKVLNREGLQGHREWLTEVNFLGQLRHPNLVKLIGYCCEDDHRLLVYEFMFRGSLENHLFRKATVPLSWATRMMIALGAAKGLAFLHNAERPVIYRDFKTSNILLDSDYTAKLSDFGLAKAGPQGDETHVSTRVMGTYGYAAPEYVMTGHLTARSDVYSFGVVLLELLTGRKSVDKTRPSKEQSLVDWARPKLNDKRKLLQIIDPRLENQYSVRAAQKACSLAYYCLSQNPKARPLMSDVVETLEPLQCSNDGANGVSSSSLTPTLTGSASAFAMGGVPDYRMRRFTNNVVPGSSCRSPNPNCSPGGPAACRVR >Potri.009G119400.3.v4.1 pep chromosome:Pop_tri_v4:9:10019460:10024624:1 gene:Potri.009G119400.v4.1 transcript:Potri.009G119400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119400.v4.1 MADTLSGPLDPPIKGGHEKSDTEHSEDERKTKLGSLKKKAISASNKFRNSLTKKGRRHSRVMSISIEDDLDAEELQAVDAFRQALILDELLPSKHDDHHMMLRFLRARKFDVEKAKQMWSDMLKWRKEFGADTIMEEFEFKEIDEVLKYYPQGYHGVDKEGRPVYIERLGEVDANKLVQVTTLDRYMKYHVQEFEKTFNIKFPACSIAAKKHIDQSTTILDVQGVGLKQFTKTARELISHISKIDGDNYPETLNRMFIINGGPGFRLLWSTVKQFIDPKTAQKIHFLGNKYQSKLLEAIDASELPEIFGGTCTCANKGGCMRSDKGPWNDTDILKMVQNGEAKCHRRTFSGIHEKAISEDNQPCTKTNASFSKEAAADAADYGHPRNHGEHQILSPVPESPLSKGYKSDQEYDYSIPMVEKTIDAAWPTRQNNRYDLSKESHLEHDVYKAQRRSGQIVNGIMAVVMGIVTMVRISRNMPRKLAEAAVYGSQVYANEMAKSHALPAPSISTSEYKNMMSRMAEMEEKLNVLSSKPQVMLPEKEEMLNASIRRADSLEQELSIAKKALEDALAKQQELLAYIDKKKKKKKIFGFEIKSSCR >Potri.009G119400.2.v4.1 pep chromosome:Pop_tri_v4:9:10019385:10024624:1 gene:Potri.009G119400.v4.1 transcript:Potri.009G119400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119400.v4.1 MADTLSGPLDPPIKGGHEKSDTEHSEDERKTKLGSLKKKAISASNKFRNSLTKKGRRHSRVMSISIEDDLDAEELQAVDAFRQALILDELLPSKHDDHHMMLRFLRARKFDVEKAKQMWSDMLKWRKEFGADTIMEEFEFKEIDEVLKYYPQGYHGVDKEGRPVYIERLGEVDANKLVQVTTLDRYMKYHVQEFEKTFNIKFPACSIAAKKHIDQSTTILDVQGVGLKQFTKTARELISHISKIDGDNYPETLNRMFIINGGPGFRLLWSTVKQFIDPKTAQKIHFLGNKYQSKLLEAIDASELPEIFGGTCTCANKGGCMRSDKGPWNDTDILKMVQNGEAKCHRRTFSGIHEKAISEDNQPCTKTNASFSKEAAADAADYGHPRNHGEHQILSPVPESPLSKGYKSDQEYDYSIPMVEKTIDAAWPTRQNNRYDLSKESHLEHDVYKAQRRSGQIVNGIMAVVMGIVTMVRISRNMPRKLAEAAVYGSQVYANEMAKSHALPAPSISTSEYKNMMSRMAEMEEKLNVLSSKPQVMLPEKEEMLNASIRRADSLEQELSIAKKALEDALAKQQELLAYIDKKKKKKKIFGFEIKSSCR >Potri.009G119400.4.v4.1 pep chromosome:Pop_tri_v4:9:10020357:10024624:1 gene:Potri.009G119400.v4.1 transcript:Potri.009G119400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119400.v4.1 MADTLSGPLDPPIKGGHEKSDTEHSEDERKTKLGSLKKKAISASNKFRNSLTKKGRRHSRVMSISIEDDLDAEELQAVDAFRQALILDELLPSKHDDHHMMLRFLRARKFDVEKAKQMWSDMLKWRKEFGADTIMEEFEFKEIDEVLKYYPQGYHGVDKEGRPVYIERLGEVDANKLVQVTTLDRYMKYHVQEFEKTFNIKFPACSIAAKKHIDQSTTILDVQGVGLKQFTKTARELISHISKIDGDNYPETLNRMFIINGGPGFRLLWSTVKQFIDPKTAQKIHFLGNKYQSKLLEAIDASELPEIFGGTCTCANKGGCMRSDKGPWNDTDILKMVQNGEAKCHRRTFSGIHEKAISEDNQPCTKTNASFSKEAAADAADYGHPRNHGEHQILSPVPESPLSKGYKSDQEYDYSIPMVEKTIDAAWPTRQNNRYDLSKESHLEHDVYKAQRRSGQIVNGIMAVVMGIVTMVRISRNMPRKLAEAAVYGSQVYANEMAKSHALPAPSISTSEYKNMMSRMAEMEEKLNVLSSKPQVMLPEKEEMLNASIRRADSLEQELSIAKKALEDALAKQQELLAYIDKKKKKKKIFGFEIKSSCR >Potri.006G045200.1.v4.1 pep chromosome:Pop_tri_v4:6:3058314:3062455:1 gene:Potri.006G045200.v4.1 transcript:Potri.006G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045200.v4.1 MAAEPVFVSGATAIPSKFQSFTRNINDKSQAKLLLYSRVRASQKHSMDDKNNVYKQLGLFSLKKNIEDTVLRAEMLAPTALEHEEARRIKQEEMIHECNLWEDPAKSNEILIKLAGSAKAIDALKDLKYKAEEAKLISQLVEMEAINYQLFKQAYTASLDVRKLLDQYEMSRLLKGPYDKQGACVVIRAGSKGLNHEIWKEELLNMYVKWAEKLGYKGRLVEKHTSMHGGIESATIEFEFECAYGYLSGERGIHHKINSQNGSVHHEVTTACVDVVPLFLGTGFDFQIDDEELIVSCSPSLLRDRKSRTELTVCLQHIPTGISVQSSGERSHFANKVKAHNRLKAKLLVIAEEQKVCDVSSIRRADIVDEWQKETRRYVSQPYKLVQDVRTGIELPDLNSILDGNINTLIGAHINIRHTE >Potri.001G262600.1.v4.1 pep chromosome:Pop_tri_v4:1:27840929:27855889:-1 gene:Potri.001G262600.v4.1 transcript:Potri.001G262600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G262600.v4.1 MKRPSTREEPPSKRAKTSRGEDDYMPGNIIEIELRNFMTYDCLVCKPGSRLNLVIGPNGSGKSSIVCAIALGLGGEPQLLGRATSIGAYVKRGEESGHIKISLRGSTKDEKITIIRRIDAHNKSEWLFNGKVASKKEVTEIMQQFNIQVNNLTQFLPQDRVCEFAKLTPVQLLEETEKAVGDPQLPIQHRALVDKSRELKTIEMAVKRNGETLNQLKALNAELEKDVERVRQREVLLKKAESMKKKLPWLKYDAIKADYLKAKEAEKDVKQKLEEAAKTLNNLREPIEKQKLEKPQMDAKCKRLSNLIKENAKRRMELLEKESSLGVQIRGKYKEMGDLKKEEESRQQRIIKAKEDLAIAEAEHRNLPVYEPPKDVLDKLRSQISDLKVSANQKRIQKQDKEKVLNQKNIALRHCVDRLKDMENKNNKLLQALRNSGAEKIFEAYHWLREHCQELNKEVYGPVLLEVNVSNRDHADYLEGHVPYYIWKSFITQDPHDRDFLVRNLKSFDVPILNYVRDKYRHKEPFFISNEMRELGIYSRLDQIFEAPDAVKEVLISQFGLEHSYIGSKETDQKAAEVAKLRVLDFWTPENHYRWSVSRYGGHVSGSVDPVDRSRLLLCGSDVGEIERLRCRKEELEEAVSALEEDLKLLMTEQRSIDEEEAKLHKQREEIVGNVTLEMRKRREMENRVDQRKRKLESLLREDDQDAVMAKLIDEAANLNTRRLQCAIDMKNLLVDAVAYKWNFAEKHMTSIEFDAKIRELEHGLKQPAKFAQQVACQLEYCKKETEDHRQQLLAAKRHAESIAIITPELEKAFLEMPTTIEELEAAIQDTLSQANSTLSLNQNVVEEYEHRQGKIEAITKKLEADKEELKKCLAEIDALKESWLPTLRSLVTQINETFSHNFQEMAVAGEVSLDEHDNDFDQFGILIKVKFREAGQLQVLSAHHQSGGERSVSTILYLVSLQDLTNCPFRVVDEINQGMDPTNERKMFQQLVRAASQPNTPQCFLLTPKLLPNLEYSEACSILNIMNGPWIEQPSKAWSSGECWGAVTGLLGESRC >Potri.003G120800.9.v4.1 pep chromosome:Pop_tri_v4:3:14198420:14201910:1 gene:Potri.003G120800.v4.1 transcript:Potri.003G120800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120800.v4.1 MDIANSDNIIQPSTSCHCYRISSLTDTILDTTEVANLKDRYVLGEQLGWGQFGIIRACSDKMSGEVLACKSIAKDRLATLNDVRSVKLEIEIMSRLSGHPNVVNLKAVYEEEDHVHLLMELCAGGELFHRLEKHGRFSEQNARVIFRHLMQVVQYCHENGIVHRDLKPENILLATKSLSSPIKLADFGLATYIKPGHNLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKSKSRIFDAVRAAELRFPPKFWHQISTSAKDLITGMLCKDPFKRLNTAQVLAHSWVQDGAQLAQESNMQDNLNCEQLEICGGSFSTPFIAKDQAYSFTYELPVTGGDQQGHSPTPTSSCSSFLVNDNTPCSASGGFSFSNHDEPGATEFSSPILSMLSFTFFSPITEVDKGDFSFGTKATESKWDAIHGESVLRQLPALSATSAPVHRGIEEMMQKIEFPREGCNGFKLSSIHSRRNHTIGLGELDQLNIMVTESVIRWASCTHIPTAPSLRLSLVC >Potri.003G120800.6.v4.1 pep chromosome:Pop_tri_v4:3:14198250:14201762:1 gene:Potri.003G120800.v4.1 transcript:Potri.003G120800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120800.v4.1 MDIANSDNIIQPSTSCHCYRISSLTDTILDTTEVANLKDRYVLGEQLGWGQFGIIRACSDKMSGEVLACKSIAKDRLATLNDVRSVKLEIEIMSRLSGHPNVVNLKAVYEEEDHVHLLMELCAGGELFHRLEKHGRFSEQNARVIFRHLMQVVQYCHENGIVHRDLKPENILLATKSLSSPIKLADFGLATYIKPGHNLHGTVGSPFYIAPEVLAGGYNQAADVWSAGVILYILLSGMPPFWGKSKSRIFDAVRAAELRFPPKFWHQISTSAKDLITGMLCKDPFKRLNTAQVLAHSWVQDGAQLAQESNMQDNLNCEQLEICGGSFSTPFIAKDQAYSFTYELPVTGGDQQGHSPTPTSSCSSFLVNDNTPCSASGGFSFSNHDEPGATEFSSPILSMLSFTFFSPITEVDKGDFSFGTKATESKWDAIHGESVLRQLPALSATSAPVHRGIEEMMQKIEFPREGCNGFKLSSIHSRRNHTIGLGELDQLNIMVTESVIRWASCTHIPTAPSLRLSLVC >Potri.004G125900.1.v4.1 pep chromosome:Pop_tri_v4:4:12184881:12191712:1 gene:Potri.004G125900.v4.1 transcript:Potri.004G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125900.v4.1 MGTEFLYKMHIPGYHSTRDLDDSSGDVRWPSHHENKAFGQYHDMLAAKPEIDRYSGYDKEHLRQTILRHENTFKHQVHELHRLHKIQMDIMNEVRSKQSVICLDHVGTLQSNPFAFPHEGDRRRCHNPSLGAMNCHIPSASGADSVQSHFGSINVQNMQSGCGSTHDGSRLKDEYKHKKLQRRLFDLELPGDKYINDEDDARGAFVGSGVETHPPNWNCNVTYEKNCNMSTPSSVYSGCNGDAFSSITHLRRTPGFTDLNEPFKVEEAHGTISFDTLGKATYSKEEIQGRDLSANSSPGFQCLAKEVSQRHKEKDEGISQCNQHLDKEWGNKGRPPLNFNPGHISTRTFNRTFYGEYLPTQSESLHVGCTKAHEPDQNKPEQLRKKTIFGVEISDRNHDASVMFSDTLLQPPAPPSNVVNSESSSISSWKKPPASSRRNAIYVQGNPCFNTFPESNKSSATLIHCREVSTDWSIVNEKVDFVPGPGVELSYKNDLCFVSQLQSKEKRVYHASAGHSNGHSASYSASELAPQHRPPNNPRGSGWLDNIKSAEEVNLNAVLPKGCPNEAISDSNLISIGIQRKEEIPLGGLSWLRAISPCEGNSSVEMPDSQKVNLDSLQRKYAELFACDSGTMKGLNQNFIQDSSSATTAHDAKDRRIGGDCSSNRKILGVPIFEKHMSKDQPSASSGLKPSCCVSETNDASFIKGGLLRTDLNQDPMESESVETQNTKILNAERHSVDCRAGLRHPIDLNVSVTEEEAQVSICSPRTKDEIAIEIDLEAPVVLENEIDIISGGEFLESKFKEPFQSITDESKDFRGGFLMAAAEALVSISSSGACKFQDDAPCHDSLQWFTEIVSSYEGYIENDVGSISVHENITDCEDPKSDVVDFFEYMTLNLTETVVEEHNFEPMVLESIKDETSLPRRPRRGQARRGKHRKDFRRDVLPGLVCLSRNDVTEDLQMIEGLITATGGTWRSGLSQRNSPKRKAGRGRKRAAPAAASPIVTAVSLPQTQQPDCGELGLEVTGWGKRTRRPPRQRYPINNT >Potri.017G107100.1.v4.1 pep chromosome:Pop_tri_v4:17:11618103:11618626:-1 gene:Potri.017G107100.v4.1 transcript:Potri.017G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107100.v4.1 MRPSSDSTSTINVWRSPTPYLFGSLGLLLAIIAVALTSLACSYYRNSSGDEEEKPAAMLSSMPVLHAEPEIVVVMAGEDKPTYLATPL >Potri.001G090900.6.v4.1 pep chromosome:Pop_tri_v4:1:7196591:7197369:-1 gene:Potri.001G090900.v4.1 transcript:Potri.001G090900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090900.v4.1 MATKPLSREEIANTEKKLDMPLDDIIKMSKNTTKPKKQQRAPIKNQKTFNPAHEKALKVRRYMDMGPLVRQGALAQRRSNFHGNQFPLTSEAARKAAVFPFHNRSFGRNFIANSNNAR >Potri.001G090900.1.v4.1 pep chromosome:Pop_tri_v4:1:7194241:7198392:-1 gene:Potri.001G090900.v4.1 transcript:Potri.001G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090900.v4.1 MATKPLSREEIANTEKKLDMPLDDIIKMSKNTTKPKKQQRAPIKNQKTFNPAHEKALKVRRYMDMGPLVRQGALAQRRSNFHGNQFPLTSEAARKAAVFPFHNRSFGRNFIANSNNARAAGFTVKKRAANGGFARKSPPRQNQQQHQGDVGAKQRPQTLDLLFANMKEQRMKVLSRQNNAIKYNGGGRRPRVPWARGRF >Potri.008G112132.1.v4.1 pep chromosome:Pop_tri_v4:8:7124059:7124190:1 gene:Potri.008G112132.v4.1 transcript:Potri.008G112132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112132.v4.1 MVESTRKRSNRKPCQLSSALWPNTSEFFSSEFIGFLILCSSFG >Potri.002G153400.1.v4.1 pep chromosome:Pop_tri_v4:2:11721394:11723323:1 gene:Potri.002G153400.v4.1 transcript:Potri.002G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153400.v4.1 MFGATMNLITTAIGFGMSATFIVFVCARIICGRIRGTESRQMFEIESRIDPEQPEHRIGGLEPVLLAAIPTLRFTHEEFSSAEDAQCSICLGEYQEKEVLRIMPGCGHNFHLSCIDVWLRKQSTCPVCRFPIQDSFEAKHMRQTAISMVQSIDSPDTRSEQSRQWLLPSYQGSAGNHSNQRHLDPVPGNPEITPGESQTSRS >Potri.006G114100.1.v4.1 pep chromosome:Pop_tri_v4:6:8835966:8838352:-1 gene:Potri.006G114100.v4.1 transcript:Potri.006G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114100.v4.1 MMIVRRTSVITNIIGQRVNNNCFTSSSSSISSSPILKFPSSLLSLDLPDIWPPSSPAIKNCCTANDHPSSALVIDGKLIADEIRFRIAEQVRRMKDSVGKIPGLAVILVGDRRDSLTYVRNKVKACEDAGIKSLVTQFPQDCKQDDVIHALSAFNEDPSIHGILVQLPLPQHLDEGKILNVLKLEKDVDGFHPLNMGNLAMRGREPLFIPCTPKGCIELLIRSSVEIMGKNAVVIGRSNIVGLPISLLLQRHHATVTIVHAFTKNPEQIAREADIVVTATGVPNLVRGNWLKPGAVVIDVGTFPVEDPSCENGYRLIGDVCYEEASKVASAITPVPGGVGPMTIAMLLSNTLDSAKRAYDFT >Potri.002G094100.2.v4.1 pep chromosome:Pop_tri_v4:2:6850264:6854933:1 gene:Potri.002G094100.v4.1 transcript:Potri.002G094100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094100.v4.1 MQTSYNQLYTKLQSCWRPTFVFLKRIMDHFQNNDLEYVVDDPFGDDLFREDEPQRNDDLDDAVDSEFEDDFESSKPQTDTSALEARNGKDIQGIPWERLNFTRDKYRETRLKQYKNYENLSLPRFELDKECLEVEKGQTFYDFQYNTRLVKSTIVHFQLRNLLWATSKHDVYLMQNYSVMHWSSLLRHGKEVLNVAKPIAPTWQRPGLLSKSLSRVQISTMAVKENLMVAGGFQGELICMYLNQPGVAFCTKITTGENAITNAVDVYHNHNGSMRIMVANNDAQVRVFDAETFASLNTFSFNWSVNNTSVSPDGKLLAVLGDSAECLIADANSGKVTGSLKGHLDYSFASAWHSNGQVLATGNQDTTCRLWDIRNLTQSLAVLKGNMGAIRGLKFSSDGRFLAMAEPADFVHVFDTQSDYVKCQEIDIFGEIAGISFSPDTEALFVGIADRTYGSLLEFNRRHCNFYLDSIF >Potri.002G094100.3.v4.1 pep chromosome:Pop_tri_v4:2:6851551:6854883:1 gene:Potri.002G094100.v4.1 transcript:Potri.002G094100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094100.v4.1 MFWSSVCLCIVQLRNLLWATSKHDVYLMQNYSVMHWSSLLRHGKEVLNVAKPIAPTWQRPGLLSKSLSRVQISTMAVKENLMVAGGFQGELICMYLNQPGVAFCTKITTGENAITNAVDVYHNHNGSMRIMVANNDAQVRVFDAETFASLNTFSFNWSVNNTSVSPDGKLLAVLGDSAECLIADANSGKVTGSLKGHLDYSFASAWHSNGQVLATGNQDTTCRLWDIRNLTQSLAVLKGNMGAIRGLKFSSDGRFLAMAEPADFVHVFDTQSDYVKCQEIDIFGEIAGISFSPDTEALFVGIADRTYGSLLEFNRRHCNFYLDSIF >Potri.007G028000.1.v4.1 pep chromosome:Pop_tri_v4:7:2137126:2138420:1 gene:Potri.007G028000.v4.1 transcript:Potri.007G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028000.v4.1 MAKRFRLKFSRVISFQSCRSKDPSTLPSNPVPSFLRLSPVNHNSIIINNLHLPPSQPPPSKPLHHSSIRRHVSSAFTSMGCGFRSKPSTHYLSETDHTKSSPPTENFHWEEEEKYHVVAKLFDDDSTPRRKIYNSSASEDSKNHDVFLPPSKIEKKKRRVKKKKTASRIRISTSSADSGIFFSGDEHVINDEETETLVSSSRSFSTDSSSEFNPHLETIRESPFSRKKRAKKAKGRCVLKNGAKGTTRRGRKERNSRDGSLSPARLSRFQWLIPCTVEGKVRESFAVVKRSEDPYEDFKRSMMEMILEKEMFEEKDLEQLLHCFLSLNSREHHGVIVQAFSEIWETLFCRRRSISYRVSAV >Potri.009G080100.1.v4.1 pep chromosome:Pop_tri_v4:9:7670394:7671603:-1 gene:Potri.009G080100.v4.1 transcript:Potri.009G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080100.v4.1 MNDPKYGYPYPPQGVYQGPPPVMAPPQYYAPPPPPQRQVGFLEGCLAALCCCCLLDDCCCDPSVVLVT >Potri.003G064900.1.v4.1 pep chromosome:Pop_tri_v4:3:9246189:9246692:1 gene:Potri.003G064900.v4.1 transcript:Potri.003G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064900.v4.1 MDTKSSITSISLILGLIFTFCALLVESQSQNGPSPPSPPPSPPPPFPPPPSPPPPPPPLPPPPSPPPPPPPPPPPPSKSPPPPPRKKLQPPPPPPRDRSTGNVMRRRSHPPPPPPPPSKNHQMNSGKKIGLLFVGIAAILQIGVVGFLAYKRRQLLKINDRYEACSS >Potri.018G001500.2.v4.1 pep chromosome:Pop_tri_v4:18:103186:107861:1 gene:Potri.018G001500.v4.1 transcript:Potri.018G001500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001500.v4.1 MAIRMESRDRLQGVMEKEIRSPWQRKARRRTVGCVAFRSHSFNESFDERIEENFYLDLPTKLSALKASQSVVSLVSRARRGVKNKLVIPCSGTIIGSKLEENGSFTHTILTSANLLITRAASTSEDHPPLAPEPGIKVYVYLSSGDSFEGEIFGFNFHYNIAIIKFKSSSRFPTAILKHIDGSIPLTTKAELQYTSFGLRPHAEPSDLFNLCPGEKVIALGRHYLSHSLMVAPGAFRPGVRRPGLLRPRFSGPGFRDYDCVELLTASCRITVGGSGGPLINCNGEVIGINFYEHSYTSFLPINIASRCLECLEKNARVPQPWFGVKVTSCNAISANMFEKIIQKFGHIIEGVLVEEVIPESPACSSGVRPNDIIIRCGKQAVVSSSEFYGTLLDNTGESMEVIVMRPCLGRDLSLTIKVIDETNPEKYYRWPVPEEAF >Potri.004G157800.2.v4.1 pep chromosome:Pop_tri_v4:4:17874728:17875291:1 gene:Potri.004G157800.v4.1 transcript:Potri.004G157800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157800.v4.1 MMKKYVIGEVDVTTVPTKRLYVAPGLGGTNPKDEKPGFLIKILQLLVPLLIFGLALAVRTYTKKE >Potri.002G190000.3.v4.1 pep chromosome:Pop_tri_v4:2:15194774:15195437:-1 gene:Potri.002G190000.v4.1 transcript:Potri.002G190000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190000.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >Potri.006G010000.2.v4.1 pep chromosome:Pop_tri_v4:6:653066:654748:-1 gene:Potri.006G010000.v4.1 transcript:Potri.006G010000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010000.v4.1 MLVINNPYAESISKYIESLASAHTQIKFIALPETIAPPSAEALAVSAEHAFSCYINDHKTIVRDAIVNQVMANNPAPIASVVFDLFCTAFIDVARELGVPSHVFFTSGAAFLGLMFYLSDREEYGQPKFRPTDPDYIIPFYANPVPYRVLPLLHNDEGYETFAYHGRKFKDANGIIINTFSEVESHVVHALLARDDIPPIFNVGPLIDHRGKSLSGSDAVKRDEIIKWLDDQPEKSVVFLCFGSGGGFDEAQLKEIAIGLEKSGHRFLWSIRLKPSKGQLHASYFDNYGEILPEGFLERTENTGMLCGWAPQVEILAHRAVGAFVSHCGWNSTLETLWYGVPIITWPLYGEQHINAFQLVKDLGLAVELTLDFRRDCPTDFVKAEDITKAVKTMMEQGGELRNKAKATSEMAQKAVVEGGSSYVALGNLIDQWLENKP >Potri.006G010000.1.v4.1 pep chromosome:Pop_tri_v4:6:653071:655647:-1 gene:Potri.006G010000.v4.1 transcript:Potri.006G010000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010000.v4.1 MKKAQLVFIPSPGVGHLVSAAQFAKLVLDRNDNFLITMLVINNPYAESISKYIESLASAHTQIKFIALPETIAPPSAEALAVSAEHAFSCYINDHKTIVRDAIVNQVMANNPAPIASVVFDLFCTAFIDVARELGVPSHVFFTSGAAFLGLMFYLSDREEYGQPKFRPTDPDYIIPFYANPVPYRVLPLLHNDEGYETFAYHGRKFKDANGIIINTFSEVESHVVHALLARDDIPPIFNVGPLIDHRGKSLSGSDAVKRDEIIKWLDDQPEKSVVFLCFGSGGGFDEAQLKEIAIGLEKSGHRFLWSIRLKPSKGQLHASYFDNYGEILPEGFLERTENTGMLCGWAPQVEILAHRAVGAFVSHCGWNSTLETLWYGVPIITWPLYGEQHINAFQLVKDLGLAVELTLDFRRDCPTDFVKAEDITKAVKTMMEQGGELRNKAKATSEMAQKAVVEGGSSYVALGNLIDQWLENKP >Potri.009G100366.1.v4.1 pep chromosome:Pop_tri_v4:9:8906569:8908005:1 gene:Potri.009G100366.v4.1 transcript:Potri.009G100366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100366.v4.1 MGVVEADGWRNKYRGAESLTCSPHPYINSTPWSFFVLLVLIQSLSHDFFFLSQFPPPPPVCMIGLQREQKRSKFLMVLCFCIVLMSSSPGSLMQFLMHARIGHAHGLEASTLNLDRWEGGGGRALKSGGS >Potri.006G148600.2.v4.1 pep chromosome:Pop_tri_v4:6:12743340:12747682:1 gene:Potri.006G148600.v4.1 transcript:Potri.006G148600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148600.v4.1 MSNDKDNFSMADLTAAFNDEDRAGLVNALKNKLQSLTGQHSELLENLSPTVRKRVEDLREIQSEHDELEAKFFEERAALEAKYQKLYQPLYTKRYDIVNGVAEVEATNEVAMDQDGGKAGEEKGVPDFWLVAMKNNEVLAEEITERDEGALKYLKDIKWCRIEDPKGFKLEFFFDTNPYFKNSVLTKTYHMIDEDEPILEKAIGTEIEWYPGKCLTQKLLKKKPKKGSKNAKPITKTEDCESFFNFFSPPQVPDDDEDIDEDTAEELQNQMEQDYDIGSTIRDKIIPHAVSWFTGEAVQGDELGLDDGDNDDYEDIDEDDDEEEDEDDEEVEDEEDEDEDEDEGKTKKKSSAGNKKSGRTQVGDGQQGERPPECKQQ >Potri.008G006900.1.v4.1 pep chromosome:Pop_tri_v4:8:318669:320692:1 gene:Potri.008G006900.v4.1 transcript:Potri.008G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006900.v4.1 MGRKMAEEVTKDPIPNDVVMEGKMAEEEVTKDPIPNDVVMEGKMAEEEVTKDPIPEDVVMSILLKLPIKSILRFRCVSKSCNSLITSPYFIKKHFAKAKQLILRVGKPVASVSLHLDNDSLDRCLQLDFCQPNAFKVNGSCNGVVCLSGIHPKLDASGCVILWNPSIRKTLHLPPPRSYARIATTLLGIGYDPRTDDYKVARIVRLGSSAERPFVFQSYSLNSGSWNENVDFFSRSLENEEALRDITLYRHDNQAIVNGAIHWLLYRKGKINIERYINSPLPLPGHNKVFALSFNLSNESFGEIMLPECFDDRRKAVTDRSFSVFKDSLSVNVINCGLYSGRCLCEIWVMNQYDVRESWAIKYQIEMLHIARPVVHRSNGEILIAGYSWSRLVSFDPQTPRIRDTGLELSIDGYADYFVESLALLDKSN >Potri.002G037700.4.v4.1 pep chromosome:Pop_tri_v4:2:2487398:2492878:-1 gene:Potri.002G037700.v4.1 transcript:Potri.002G037700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037700.v4.1 METSSVRCLINSISRFMHLVSCQTKKYMPIQKDYEIMVIMLKHLKPVLDEVDDYNISSDEILCRECEELDVAVNEAREFMEKWCPQMSRICSVQQSEALLKKIQSSALEICQVLCRLLQGSPTTSSLTIVQHCMQELQGLKHETITEIIEEALRGLKDDVVPCTDHLMKLIETLSLTSNQELLKESVAVEKERTNVHINKAEGYLYQIDQIVDLITQIRSWLLKVEHRDPKSGAPIPPYFRCPLSLELMLDPVIVASGQTYDRVSIQKWLDHGLTFCPRTRQTLSHTNLIPNYTVKAMIANWCEENNVRVSSHSDCNNHVLASSHHDLLHLDSFRNRCSLHRSNSTSRSSVEVGNGFEKQVIGVSSRLSGEEFNRYNVTGTESFEHPSQGHSYIHSRSESTSSAISSIEYVPPVSDEMLKLSTKHDNVNDLSGEVTSECPAASPSNNIKGSSPCSSGMQFHSPKTQVDMASNGSHNYSRTNSLQFSDSGSHDLTKTSQVKKLVEGLKSLSNEVQTKAAEELRLLAKHDMENRIIIGHSGAIRPLLSLLSSEVKLTQEHAVTALLNLSINEDNKAIIAEAGAIEPIIHVLRSGNNGAKENSAAALFSLSVLEEYKAKIGRSGAVKALVDLLSSGTLRGKKDAATTLFNLSIFHENKARIVQAGAVKYLVELMDPVTGMVDKAVALLANLSTIGEGRLAIAKAGGIPLLVEVVESGSQRGKENAASILMQLCLSSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRSQREASAGKGRS >Potri.002G037700.5.v4.1 pep chromosome:Pop_tri_v4:2:2486388:2492644:-1 gene:Potri.002G037700.v4.1 transcript:Potri.002G037700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037700.v4.1 METSSVRCLINSISRFMHLVSCQTKKYMPIQKDYEIMVIMLKHLKPVLDEVDDYNISSDEILCRECEELDVAVNEAREFMEKWCPQMSRICSVQQSEALLKKIQSSALEICQVLCRLLQGSPTTSSLTIVQHCMQELQGLKHETITEIIEEALRGLKDDVVPCTDHLMKLIETLSLTSNQELLKESVAVEKERTNVHINKAEGYLYQIDQIVDLITQIRSWLLKVEHRDPKSGAPIPPYFRCPLSLELMLDPVIVASGQTYDRVSIQKWLDHGLTFCPRTRQTLSHTNLIPNYTVKAMIANWCEENNVRVSSHSDCNNHVLASSHHDLLHLDSFRNRCSLHRSNSTSRSSVEVGNGFEKQVIGVSSRLSGEEFNRYNVTGTESFEHPSQGHSYIHSRSESTSSAISSIEYVPPVSDEMLKLSTKHDNVNDLSGEVTSECPAASPSNNIKGSSPCSSGMQFHSPKTQVDMASNGSHNYSRTNSLQFSDSGSHDLTKTSQVKKLVEGLKSLSNEVQTKAAEELRLLAKHDMENRIIIGHSGAIRPLLSLLSSEVKLTQEHAVTALLNLSINEDNKAIIAEAGAIEPIIHVLRSGNNGAKENSAAALFSLSVLEEYKAKIGRSGAVKALVDLLSSGTLRGKKDAATTLFNLSIFHENKARIVQAGAVKYLVELMDPVTGMVDKAVALLANLSTIGEGRLAIAKAGGIPLLVEVVESGSQRGKENAASILMQLCLSSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKVCS >Potri.002G037700.2.v4.1 pep chromosome:Pop_tri_v4:2:2487368:2492644:-1 gene:Potri.002G037700.v4.1 transcript:Potri.002G037700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037700.v4.1 METSSVRCLINSISRFMHLVSCQTKKYMPIQKDYEIMVIMLKHLKPVLDEVDDYNISSDEILCRECEELDVAVNEAREFMEKWCPQMSRICSVQQSEALLKKIQSSALEICQVLCRLLQGSPTTSSLTIVQHCMQELQGLKHETITEIIEEALRGLKDDVVPCTDHLMKLIETLSLTSNQELLKESVAVEKERTNVHINKAEGYLYQIDQIVDLITQIRSWLLKVEHRDPKSGAPIPPYFRCPLSLELMLDPVIVASGQTYDRVSIQKWLDHGLTFCPRTRQTLSHTNLIPNYTVKAMIANWCEENNVRVSSHSDCNNHVLASSHHDLLHLDSFRNRCSLHRSNSTSRSSVEVGNGFEKQVIGVSSRLSGEEFNRYNVTGTESFEHPSQGHSYIHSRSESTSSAISSIEYVPPVSDEMLKLSTKHDNVNDLSGEVTSECPAASPSNNIKGSSPCSSGMQFHSPKTQVDMASNGSHNYSRTNSLQFSDSGSHDLTKTSQVKKLVEGLKSLSNEVQTKAAEELRLLAKHDMENRIIIGHSGAIRPLLSLLSSEVKLTQEHAVTALLNLSINEDNKAIIAEAGAIEPIIHVLRSGNNGAKENSAAALFSLSVLEEYKAKIGRSGAVKALVDLLSSGTLRGKKDAATTLFNLSIFHENKARIVQAGAVKYLVELMDPVTGMVDKAVALLANLSTIGEGRLAIAKAGGIPLLVEVVESGSQRGKENAASILMQLCLSSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRSQREASAGKGRS >Potri.001G299100.2.v4.1 pep chromosome:Pop_tri_v4:1:31004775:31005795:-1 gene:Potri.001G299100.v4.1 transcript:Potri.001G299100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299100.v4.1 MLHVFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAYTWAPSSGVPAGSDVDPGTSNADIAHDGLEEGSGDSEEDVIPDFQTDMARMVGGINMSNSSNTTSGGKRKERDHYDVRGRKKKTAGIGVQLLSRCNHLLESMSTKSDSTSLNMDRKGCSIREVMDELHSTPGVSIEDEFHDFATEYLSLRRKREMWASMGDKQQKLRWLQRMYARTKRA >Potri.007G061801.1.v4.1 pep chromosome:Pop_tri_v4:7:6964173:6965751:1 gene:Potri.007G061801.v4.1 transcript:Potri.007G061801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061801.v4.1 MSQESIRVVKLFQSNCPYSSQHLRTPEVTASLVPFLQLSLVLQTSAHQIGSLPALRSKPGMNSFWRSVKITRKSSLYYIGFCRALIAVIRKDFFFQINSMEPDK >Potri.002G056750.1.v4.1 pep chromosome:Pop_tri_v4:2:3866294:3867414:1 gene:Potri.002G056750.v4.1 transcript:Potri.002G056750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056750.v4.1 MKVYSSFLNILLRINVCPSNLSLKRCQPDQACNWTNLAMINLSTKHITIQSKAIPNFKSFDYIADEDCMPCFMSLIFSPRLQPTVHAYSTACLVCISKLKSGSFCFEIENVQY >Potri.007G117900.1.v4.1 pep chromosome:Pop_tri_v4:7:13665706:13666411:-1 gene:Potri.007G117900.v4.1 transcript:Potri.007G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117900.v4.1 MAQGNRISAFVLSSVLVLSFLLLISMAESRILGTAGFFAKSTPECDDVVGVASGDTCFTIAQSFNLTAASFDAINPNISCNALFVGQWLCVAGSV >Potri.T125904.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:115099:117276:1 gene:Potri.T125904.v4.1 transcript:Potri.T125904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125904.v4.1 MIKLFKVKEKQRELAENANGKSPIKKQSAGELRLHKDISELNLHKTCTMAFPNGKDDLMKFEVTIRPDEGYYRGGTFVFSFQISSIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLFHLFTEPNHEDPLNQDAAAVLRDNPKLFESNVRRAMTGGYMGQTFFPRCI >Potri.011G031300.1.v4.1 pep chromosome:Pop_tri_v4:11:2545793:2549002:1 gene:Potri.011G031300.v4.1 transcript:Potri.011G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031300.v4.1 MAQAMASMAGLRGTSQAVLEGSLQLSGSNRLLKVPSNTARVAVALPGLTVKAQQQVSAEPETSRRGMLGLVAAGLASGSFVQAVLAAALPIKVGPPPAPSGGLPGTLNSDEARDLVLPLKERFFLQPLPPAAAAARAKESAKEIIGVKGLIDKKAWPYVQNDLRLKSSYLRYDLNTVISAKSKDEKKSLKELTGKLFDTIGNLDHAAKIKSTPEAEKYYAQTVSALNDVLAKLG >Potri.002G072900.4.v4.1 pep chromosome:Pop_tri_v4:2:5133899:5141335:1 gene:Potri.002G072900.v4.1 transcript:Potri.002G072900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072900.v4.1 MLPSGPPTPIGGAQSVSPSLLRSNSGMLGAQGGPLGSQTAFPSLVSPRTQFNNMSMLGNVPNMSSLLNQSFGNGGPNPGLPGPGSSQRGNIDTGAESDPLSNGGNGMGFNAPSSSFVPSNMVNPGPSCQVQGHQFSNPSGNQLLPDQQQSQQLEAQNFQHGQQSMQQFSGAHNTQQVQQQHQFQSIRGGLAGVGPVKLEPHVTNDQHGARQLQQPQPLRNMGPVKLEHQQIQTMRSLPTVKLEPQHSDQSLFLHQQQQHQQQQQQHHHHQQQQQQQQQQQQQQQFLHMSRQSSQQAVAQLNLLHQQRLLQQQQLLKAMPQQRPQLPQQFQQQNIPLRSPVKPVYEPGMCARRLTNYMHQQQRRPEDNNIEFWRKFVAEFFAPHAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSVPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLSKFPRRTGASIGFHSQAQQPEEQQQQQQTITANSNSDQSSAQATMQIAASNGMASVNNSLNTASTTTYASAIVGLVHQNSMNSRQQNSINNASSPYGGNSVQIPSPGSSSTIPQAQPNPSPFQSPTPSSSNNPPQASHSALTAVNHISSTNSPANIPLQQPTLSGEADHGDSQSSVQKFLHEMMLTSQLNGTGGMVGVGSLGNEVKNVNGILPTGNNTVLNGGNGLVGNGAVNSSGIGGAGYGTMGGLAQSVMVNGIRAAMGNNSMMNGRMGMPSMVRDQSMNHQQDLGNQLLSGLGAVNGFSNLQFDWKPSP >Potri.002G072900.1.v4.1 pep chromosome:Pop_tri_v4:2:5133764:5141811:1 gene:Potri.002G072900.v4.1 transcript:Potri.002G072900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072900.v4.1 MLPSGPPTPIGGAQSVSPSLLRSNSGMLGAQGGPLGSQTAFPSLVSPRTQFNNMSMLGNVPNMSSLLNQSFGNGGPNPGLPGPGSSQRGNIDTGAESDPLSNGGNGMGFNAPSSSFVPSNMVNPGPSCQVQGHQFSNPSGNQLLPDQQQSQQLEAQNFQHGQQSMQQFSGAHNTQQVQQQHQFQSIRGGLAGVGPVKLEPHVTNDQHGARQLQQPQPLRNMGPVKLEHQQIQTMRSLPTVKLEPQHSDQSLFLHQQQQHQQQQQQHHHHQQQQQQQQQQQQQQQFLHMSRQSSQQAVAQLNLLHQQRLLQQQQLLKAMPQQRPQLPQQFQQQNIPLRSPVKPVYEPGMCARRLTNYMHQQQRRPEDNNIEFWRKFVAEFFAPHAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSVPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLSKFPRRTGASIGFHSQAQQPEEQQQQQQTITANSNSDQSSAQATMQIAASNGMASVNNSLNTASTTTYASAIVGLVHQNSMNSRQQNSINNASSPYGGNSVQIPSPGSSSTIPQAQPNPSPFQSPTPSSSNNPPQASHSALTAVNHISSTNSPANIPLQQPTLSGEADHGDSQSSVQKFLHEMMLTSQLNGTGGMVGVGSLGNEVKNVNGILPTGNNTVLNGGNGLVGNGAVNSSGIGGAGYGTMGGLAQSVMVNGIRAAMGNNSMMNGRMGMPSMVRDQSMNHQQDLGNQLLSGLGAVNGFSNLQFDWKPSP >Potri.002G072900.3.v4.1 pep chromosome:Pop_tri_v4:2:5133802:5141745:1 gene:Potri.002G072900.v4.1 transcript:Potri.002G072900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072900.v4.1 MLPSGPPTPIGGAQSVSPSLLRSNSGMLGAQGGPLGSQTAFPSLVSPRTQFNNMSMLGNVPNMSSLLNQSFGNGGPNPGLPGPGSSQRGNIDTGAESDPLSNGGNGMGFNAPSSSFVPSNMVNPGPSCQVQGHQFSNPSGNQLLPDQQQSQQLEAQNFQHGQQSMQQFSGAHNTQQVQQQHQFQSIRGGLAGVGPVKLEPHVTNDQHGARQLQQPQPLRNMGPVKLEHQQIQTMRSLPTVKLEPQHSDQSLFLHQQQQHQQQQQQHHHHQQQQQQQQQQQQQQQFLHMSRQSSQQAVAQLNLLHQQRLLQQQQLLKAMPQQRPQLPQQFQQQNIPLRSPVKPVYEPGMCARRLTNYMHQQQRRPEDNNIEFWRKFVAEFFAPHAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSVPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLSKFPRRTGASIGFHSQAQQPEEQQQQQQTITANSNSDQSSAQATMQIAASNGMASVNNSLNTASTTTYASAIVGLVHQNSMNSRQQNSINNASSPYGGNSVQIPSPGSSSTIPQAQPNPSPFQSPTPSSSNNPPQASHSALTAVNHISSTNSPANIPLQQPTLSGEADHGDSQSSVQKFLHEMMLTSQLNGTGGMVGVGSLGNEVKNVNGILPTGNNTVLNGGNGLVGNGAVNSSGIGGAGYGTMGGLAQSVMVNGIRAAMGNNSMMNGRMGMPSMVRDQSMNHQQDLGNQLLSGLGAVNGFSNLQFDWKPSP >Potri.002G072900.2.v4.1 pep chromosome:Pop_tri_v4:2:5134007:5141817:1 gene:Potri.002G072900.v4.1 transcript:Potri.002G072900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072900.v4.1 MLPSGPPTPIGGAQSVSPSLLRSNSGMLGAQGGPLGSQTAFPSLVSPRTQFNNMSMLGNVPNMSSLLNQSFGNGGPNPGLPGPGSSQRGNIDTGAESDPLSNGGNGMGFNAPSSSFVPSNMVNPGPSCQVQGHQFSNPSGNQLLPDQQQSQQLEAQNFQHGQQSMQQFSGAHNTQQVQQQHQFQSIRGGLAGVGPVKLEPHVTNDQHGARQLQQPQPLRNMGPVKLEHQQIQTMRSLPTVKLEPQHSDQSLFLHQQQQHQQQQQQHHHHQQQQQQQQQQQQQQQFLHMSRQSSQQAVAQLNLLHQQRLLQQQQLLKAMPQQRPQLPQQFQQQNIPLRSPVKPVYEPGMCARRLTNYMHQQQRRPEDNNIEFWRKFVAEFFAPHAKKKWCVSMYGSGRQTTGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQNASSNLSVPELQNNCNLFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLSKFPRRTGASIGFHSQAQQPEEQQQQQQTITANSNSDQSSAQATMQIAASNGMASVNNSLNTASTTTYASAIVGLVHQNSMNSRQQNSINNASSPYGGNSVQIPSPGSSSTIPQAQPNPSPFQSPTPSSSNNPPQASHSALTAVNHISSTNSPANIPLQQPTLSGEADHGDSQSSVQKFLHEMMLTSQLNGTGGMVGVGSLGNEVKNVNGILPTGNNTVLNGGNGLVGNGAVNSSGIGGAGYGTMGGLAQSVMVNGIRAAMGNNSMMNGRMGMPSMVRDQSMNHQQDLGNQLLSGLGAVNGFSNLQFDWKPSP >Potri.017G015600.5.v4.1 pep chromosome:Pop_tri_v4:17:1129699:1136593:1 gene:Potri.017G015600.v4.1 transcript:Potri.017G015600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015600.v4.1 MAVEYIGRSILFAVIEVLGEKLTTPEILGFFKSHKLNDGLLGKLKETLNTLNGLLDDAEEKQITKPAVQRWLNDARHAVYEAEDLMEEIEYEHLRSKDIKAASRRVRNRVRNLFPILNPANKRMKEMEAGLQKIYEKLERLVKHKGDLRHIEGNGGGRPLSEKTTPVVDESHVYGREADKEAIMKYLLTKNNTNGANVGVIPIVGMGGVGKTTLAQLIYKDRRVDKCFELKAWVWASQQFDVTRIVDDILKKINAGTCGTKEPDESLMEAVKGKKLLLVLDDAWNIVYNEWVKLLLPLQYAEPGSKIVVTTRNEDVAKVTQTVIPSHHLKGISDEDCWQLFARHAFSGANSGAVSHLETFGREIARKCKGLPLAAKTLGGLLHSVGDVKQWEKISKSRMWGLSNENIPPALTLSYYYLPSHLKRCFAYCAIFPKGYVFEKNQVITSWMAQGFLVQSRGVEEMEEIGDKYFNDLVSRSLFQQSLYAPSYFSMHDLTSDLAEYMSGEFCFKFVMDGESGSGLEGENSCTLPESTRHLSITSTLYDGVSKIFPRIHGVQHLRTLSPLTYVGGIDSEVLNDMLTNLKRLRTLSLYRWSYKSSRLPNSIGNLKHLRHLDLSQTLIKRLPESVSTLYYLQTLLLRECRHLMELPSNISNLVDLQHLDIEGTNLKEMPPKMGKLTKLRTLQYYIVGKESGSSMKELGKLSHIRKKLSIRNLRDVANAQDALDANLKGKKKIEKLRLIWVGNTDDTQHERDVLEKLEPSENVKQLVITGYGGTMFPGWFGNSSFSNMVALTLSGCKNCISLPPLGQLSSLEELQIKGFDEVVAVDSEFYGSDSSMEKPFKSLKILKFEGMKKWQEWNTDVAAAFPHLAKLLIAGCPELTNGLPNHLPSLLILEIRACPQLVVSIPEAPLLTEINVFDGSSGRINASVLYGGGRCLQFREYPQLKGMEQMSHVDPSSFTDVEIDRCSSFNSCRLDLLPQVSTLTVKQCLNLESLCIGERSLPALRHLTVRHCPNLVSFPEGGLAAPDLTSLVLEGCLYLKSLPENMHSLLPSLEDLQLRSLPEVDSFPEGGLPSKLHTLCIVDCIKLKVCGLQALPSLSCFRFTGNDVESFDEETLPSTLKTLKIKRLGNLKSLDYKGLHHLTSLRKLSIEGCPKLESISEQALPSSLECLHLMTLESLDYMGLQHITSLRKLKIWSCPKLASLQGLPSSLECLQLWDQRGRDSKELQHLTSLRTLILKSPKLESLPEEMLPSSLENLEILNLEDLEYKGLRHLTSLRKLRISSSPKLESVPGEGLPSSLVSLQISDLRNLKSLNYMGLQHFTSLRKLLISHSPKLEFMPEEGLPPSLEYLKIIDCPLLATRCERETGEDWPKISHIRSIKISN >Potri.010G166800.2.v4.1 pep chromosome:Pop_tri_v4:10:16989368:16990027:1 gene:Potri.010G166800.v4.1 transcript:Potri.010G166800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G166800.v4.1 MSSFTANTPTLLHAQKNITIKPRNHQPKRSAPIIKASISPLESVTNRRKIVAFLSTSLALGQLHGTTTTAPPAALAEKWGTRSLLWEHFFQPDLSPEDAVARITQTAEGLHSMRDMLESMAWRYVMFYIRQKQAYLSKDLKNAFSTLPPSRREDYVKKANELVDNMDEVSDLILSFSSSSSVI >Potri.010G166800.1.v4.1 pep chromosome:Pop_tri_v4:10:16989368:16990398:1 gene:Potri.010G166800.v4.1 transcript:Potri.010G166800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G166800.v4.1 MSSFTANTPTLLHAQKNITIKPRNHQPKRSAPIIKASISPLESVTNRRKIVAFLSTSLALGQLHGTTTTAPPAALAEKWGTRSLLWEHFFQPDLSPEDAVARITQTAEGLHSMRDMLESMAWRYVMFYIRQKQAYLSKDLKNAFSTLPPSRREDYVKKANELVDNMDEFDSYVRTPRVYESYLYYEKTLKSIDDIVAILGEN >Potri.013G084400.1.v4.1 pep chromosome:Pop_tri_v4:13:7620496:7622509:1 gene:Potri.013G084400.v4.1 transcript:Potri.013G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084400.v4.1 MFPTDGNSTSLNPEAPEFFPHSYLENDMVAPSHNVVNYTDQDLPNFSFLPAINQNPSSYYYYHCYSTSGPSSHPYPYYTDTDYQYPSNAQYCVTYAHNHNLLVSTVLTKPSPIMAVSEEMQAQDEPKKDMQQLVTARVSTGSKKRGECNRYVGSFNGREGRYRGHEKKHAKKEYLRAKSSGSSRNRERQYSSTGRNVRSCGGANSRQKHSVFPVLPDGQDTTVMIRNIPNRYTREMLMEFLDRHCMMENEKAKKHQNSDSAKEAIVSAFDFLYLPIDFEREANKGYAFVNFTDARAAWKFYLSTNHQAWDVFQSSKIREIACARLQGKEQLVRHFEKSTFECDSDEYLPVSFSPARDGSRAVVEQRAVGRRISNKI >Potri.007G075000.1.v4.1 pep chromosome:Pop_tri_v4:7:9923091:9925565:1 gene:Potri.007G075000.v4.1 transcript:Potri.007G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075000.v4.1 MEQVFQFIQILVPFLLLIFTVLRLWKKSQGNNSSTPPPPPPPPPGPWKLPLIGNLHQLLGSLPHQVLRDMANKYGPVMQLQIGEVPTVIISSPEAAKEAMKTQEINFVDRPCLLVAKVMYYNSKDIGFAPYGDYWRQMKKVCVLELLSAKRVKSFRSIREEEVSNFIRAIYSRAGSPINLSKMMFDLLNGITARASVGKKYKHQEAFLPIIEQVIEAVGGTNIADVFPSSKLLYMISRFRSRLERSHQDADVILENIIYEHRVRREVAKTDEESEAEDLLDVLLNLQNHGDLGFPLTTDSIKATILELFAGGSDTSSTLMEWTMSEMFRNPRVMRKAQEEVRQVFSNTENVDETCLHNLEFLKLIIKETLRLHPPVPFIPRECNKTCEINGYVIQAKSRVMINAWAIGRDSDHWTEAEKFYPERFLDSSIDYKGTNFDFIPFGAGKRMCPGILFGIATVELPLAQLLYHFDWKLPNGDLLEDLDMNEVFGGTVRRKHQLNLIPIPFYPSPLQ >Potri.019G095600.3.v4.1 pep chromosome:Pop_tri_v4:19:13336804:13338629:-1 gene:Potri.019G095600.v4.1 transcript:Potri.019G095600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095600.v4.1 MKTVTGKITDSTPVSISKAASILSKFVSSENGASQALNAYLRRATAAFDELSRLHSKSDRRKHKKDSSLIRSVEASQSELVNNSEQMVKKEVKEEGSVGDEEIESKKEKKRKRKGDLAEQTEKSNGIKKEKAEVEENGSVVDKIEIREEEEKKKRKRKRKSGEVEVKEEREEEEKDGLEEEGQRKKRRRKQVDDN >Potri.019G095600.4.v4.1 pep chromosome:Pop_tri_v4:19:13336017:13338623:-1 gene:Potri.019G095600.v4.1 transcript:Potri.019G095600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095600.v4.1 MKTVTGKITDSTPVSISKAASILSKFVSSENGASQALNAYLRRATAAFDELSRLHSKSDRRKHKKDSSLIRSVEASQSELVNNSEQMVKKEVKEEGSVGDEEIESKKEKKRKRKGDLAEQTEKSNGIKKEKAEVEENGSVVDKIEIREEEEKKKRKRKRKSGEVEVKEEREEEEKDGLEEEGQRKKRRRKQVDDN >Potri.009G102101.1.v4.1 pep chromosome:Pop_tri_v4:9:9019862:9023958:-1 gene:Potri.009G102101.v4.1 transcript:Potri.009G102101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102101.v4.1 MKAVEKLIKGKEIELEELEGEQTKLKCRSTTKFLAWKFDDVPRSALSKLSQQPNSLATPIVVAALSDSTPPPPHSPVTPLKQREDCISTATQHHKVNLNKIQVMPTIVNCWQHSALHLHLSKTATNSTQDAKKPNRLPLRKLFYEDRETFSCSSSEADDIDSLEPETYCVWAPKKEEGSPGSCKKSSSTGSNSKRWKFKDFIHRSNSDGKDTFVFLMPNNKKSGLHHQRLGNDDQDGNHNKQGTEKRKDAKGAGGGLFQFQEHYYMRSKDGDKRRSYLPYRPDLVGFLSNVNGVGRNLHPF >Potri.012G056000.1.v4.1 pep chromosome:Pop_tri_v4:12:5460814:5472890:1 gene:Potri.012G056000.v4.1 transcript:Potri.012G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056000.v4.1 MGRTRKGKAGFKVEDVLEVFNHLLEEKPSFAFLIPLILVCWGIEKWVFSFSNWVPLVVAIWATFQYCSHQQKVIVEDLNKKWKRVVLNTSPITPLEHCEWLNKLLMEIWMNYMNPKLAIRFSSIVEKRLKQQRLKLMEKLELQEFSLGSCPPSLGLHGTRWSTSGDQRIMHLGFDWDSKDMSILLLAKLAKPLMGTARIVINSLHIKGELLLMPVLDGRAVLYSFVSIPEVRIGVAFGSGGSQSLPATELPGVSSWLVKVFTDTLVKTMIEPRRRCFSLPAVDLRKKAVGGIVYVSVISASKLSRSNLRGSPPRRVNGSFIEHFDDKYLQTFVEVELGHLTRRTDVRPGSNPRWDSTFNMFLHEETGTLRLHLYNRPPNSVKYDYLASCEIKMKYVADDSTTFWAIGPDSGVIAKHAEICGKEVEMVVPFEGVTSGELTVKLVVKEWLFSDGSHSLNNVSSQKSIYGSSNILSRTGRKINVAVMEGKDLISKERSGKCDPYVKLQYGKVLQKTRTAHSSNPLWNQKFEFDEIVDDRCLKIKCYSEEIFGDESIGSARVNLEGLMEGFIRDMWVPLEKVNTGELRLQIEAVQVNDSEGSRGSMSGSFNGWIELVLVEAKDLIAADLRGTSDPYVRVQYGSLKKRTKVMYKTLNPHWNQTLEFPDDGSPLELHVKDYNALLPTYSIGDCVVEYQGLPPNQMSDKWIPLQGVTRGEIHVRITRKVPELQARNSLESDTSLIKSHQISNQMKQLMIKFQSLIEEGSLEGLSTALSEMQSLEDMQEEYMVQIETEQMLLLNKIKELGQEIMSSSSSLSRRSSGL >Potri.006G137500.1.v4.1 pep chromosome:Pop_tri_v4:6:11392185:11394304:1 gene:Potri.006G137500.v4.1 transcript:Potri.006G137500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137500.v4.1 MASISLPKHSLPSLLPTLKPITSSSQNLPILSKSSQSQFYGLKFSHSTSLSIPSSSSVKNTIFAKVNKGQAPPSFTLKDQDGKTLSLSKFKGKPVVVYFYPADETPGCTKQACAFRDSYEKFKKAGAEVVGISGDDPSSHKAFSKKYRLPFTLLSDEGNKIRKEWGVPADLFGTLPGRQTYVLDKKGVVQLIYNNQFQPEKHIDETLKLLQSL >Potri.003G196600.1.v4.1 pep chromosome:Pop_tri_v4:3:19863425:19865751:-1 gene:Potri.003G196600.v4.1 transcript:Potri.003G196600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196600.v4.1 MNRILETMFYKCHVGIFLLMIKVAASIENGFVFNGNFDLGGVAELSQKGLFRLTNSSTFGIGRAFFSQPLSFKNSSNGTSVSFSTTFVFAFVVDQGRLPGHGMAFMIAPSKNLTGASSAQHLGLFNLTNNGDPSNHVVAIELDTFQNQEFNDINGNHVGIDVNSLESVKSAPAGYFDNENREFKNLVLSSGEPMQAWVEYDAPETQLNVTLAPIHTGKPDLPLLSLNIDISPIILEQMYVGFSSSTGQLVQSHYVLGWSFRLDGKAPELDLSQLPSFSGEEQPQRKERELVVGLSATGVVLLIIVTISISIILWRRKKAQFTEILEDWEVQYRSHRFSYKDLFEATKGFCETELLGKGGFGKVYRGVLPGSNVQVGVKRISHNSKQGMKEFVAEIGTIGQLRHPNLVRVLGYCRGKEELILVYDYMPNGSLDKFLYNKTEFILNWNQRFKIIKDVALALTYLHEEWAEVIIHRDIKASNVLLDAELNAKLGDFGLARCIKHEQDIQTTHVAGTLGYIAPELARSGKPTPSTDVYAFGAFCLEVACGRRPVEPKTSAKEMILVDWVYSFWMEGKILSATDPKLNEECKAEEVELVLKLGLLCSHSVAEGRPKMSQVLMYLKGQALLPENLNAHVKAQEGRGESENHAILCYSTPSLTITHSLMYTGR >Potri.014G177200.1.v4.1 pep chromosome:Pop_tri_v4:14:13389217:13394607:1 gene:Potri.014G177200.v4.1 transcript:Potri.014G177200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177200.v4.1 MVFPRAYPSMQERVREVQSKFQNHHIKQNIIKGKIVIQNHGQSGPGKSASVQIYSSTTVDPSTGKGKLSAKAYLKRGKSEGHDGTKTRIYKIKIHVEPDFGIPGAFLMKNQHKHKFFLESVTLEIPDNQIIYFDCRSWVYPFQKTKSERLFFSNNSYLPNHTPSALVELRKLELVSLRGDGKQVRKEWDRIYDYDYYNDLCNPDKGQEHIRPVLGGSELHPYPRRVRTGHPPSNTEPSTESRTETINLDIYVPPDERFSPKKLSEFISNSIQATVHFIITEADSLFKQDSSSFESFDEIHDMFSSKRSKAVEGKAKDKLKGKVKERLKKLVPDVLFKEITYAGKEDLAKFPLPQIIRENELAWGNDEEFGRQMLAGTNPARIQSLQKFPPEGRYGMSTIEASHIEHSLDGLTLFEAMNEWRIFILDHHDYLMPYLSKINTNGVCAYASRTLFFLRTDDTLKPLAIELSLPGSSEDTEVSRVFLPANQGTEAALWQLAKAHVAANDSAYHQLISHWLHTHAVVEPFIIATRRQLSVMHPINWLLRPHFKDTIHINALARSILINSRGILEKTLFSGEISMELSSELYKEWRFDEQALPADLVKRGLALEDPDNPNNPTEVQLLFDDYPYGADGLDIWHAIKTWVTDFCSLFYENDSSVNSDVEIQAWWSEIQNVGHGDKCNETWWYKMTTLLDLTEALTTLIWITSGLHASVNFGQYAYAGCPLNRPMLCRNFIPEQGTQEFAEFLRDPDKYYLNMLPSRFEMSLGIALIEVLSRHTSDEVYLGQRPLLECTDDGVQEKFKKFNEHLQEIEKKIIQRNKDPKFKNRSGPAKIPYELLYPDTSNVGSTWGITGKGIPNNISI >Potri.007G055300.1.v4.1 pep chromosome:Pop_tri_v4:7:5778010:5780314:1 gene:Potri.007G055300.v4.1 transcript:Potri.007G055300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD5 MGDFDNSLSLSSLLCHENESCFFNDSISDHSNIKHDRSRFGLETEVDVEYVEKLVERETITFGYRCHASFDDCLITSHNWLKFARLDAIEWILNTRAIYGFRFHTAYLSVTYFDRFVSKRSIDEGKLWAIRLLSVACLSLAAKMEERKVPPLSEFPVEDYCFGNKVIQRMELLVLNTLEWRMNSITPFAYLHYFIHKTCGESTPKETVSRAVELIVAMIKEIDLLDHRPSIIAAAAVLAASNRQLTRKELELKMDMISSWGSLENENVFSCYIAMQEIEMGKAKTPRLVFYPNSSAIHSGSFDVLENSSLVSGAGIKRSLTFNECDQTCPAKKICRP >Potri.015G092900.1.v4.1 pep chromosome:Pop_tri_v4:15:11549451:11553112:-1 gene:Potri.015G092900.v4.1 transcript:Potri.015G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092900.v4.1 MALYLLYETSSGYSLFQANGIDEIGQNTEAVRNSVSDLNRFGKVVQLTAFHPFESALDALNQINSVSEGIMTDELRNFLELNLPKVKEGKKAKFSLGVAEPKIGSHIFEVTKLPCQSNDFVHELLRGVRLHFERFIKDLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFAMRVREWYSWHFPELAKIVNDNYLYAKLAKFIDDKSKLSEDKLPELTDLLGDEDKAKEVVEAAKASMGQDLSPIDLINVQQFAQRVMDLSEYRKKLHEYLITKMNDIAPNLASLIGEMVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFSENGTTVFGEKLREQVEERLDFYDKGVAPRKNIDVMKAAIESTENKDTDMETEEATTVPSAKKGKKKKSKSDAAENSELMAEDKPTVNGGASEDAKSEKKKKKEKRKLELEQAVENSNGTNGVEAEEDVSSTKKKKKKSKDQDGEDLQDASDMKKKRKKKSKGEE >Potri.005G254900.2.v4.1 pep chromosome:Pop_tri_v4:5:24643553:24645958:1 gene:Potri.005G254900.v4.1 transcript:Potri.005G254900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254900.v4.1 MASTRSLDKWSGHRHLQNLAESTPKKTQEQSNHVFLSKPTSRRCAILISTLPFTLTSLPQLSEARERRNKKTIPLEDYLTSPDGLKYYDVLEGKGAAAEKGMTVQVHFDCLYRGITAISSRESKLLAGNRIIAQPYEFKVGAPPGKERKREFVDNPNGLFSAQAAPKPPPAMYSVTEGMKVGGKRTVIVPPEAGYGQKGMNEIPPGSSFELNIELLQVMPAEGK >Potri.005G254900.1.v4.1 pep chromosome:Pop_tri_v4:5:24643571:24645974:1 gene:Potri.005G254900.v4.1 transcript:Potri.005G254900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254900.v4.1 MASTRSLDKWSGHRHLQNLAESTPKKTQEQSNHVFLSKPTSRRCAILISTLPFTLTSLPQLSEARERRNKKTIPLEDYLTSPADGLKYYDVLEGKGAAAEKGMTVQVHFDCLYRGITAISSRESKLLAGNRIIAQPYEFKVGAPPGKERKREFVDNPNGLFSAQAAPKPPPAMYSVTEGMKVGGKRTVIVPPEAGYGQKGMNEIPPGSSFELNIELLQVMPAEGK >Potri.018G142700.9.v4.1 pep chromosome:Pop_tri_v4:18:14793462:14795643:1 gene:Potri.018G142700.v4.1 transcript:Potri.018G142700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142700.v4.1 MSKSSSSQVITCKAAVCWGVGEPLKVEEIQVEPPKFSEIRVKMLCASLCHTDTLHAKGSLIPLFPRVLGHEGVGVVESIGEGVRDLKEGDLVIPAYIGECQECENCTSGKTNLCLKYPLILNGLMPDGTSRMSINGQKLYQLFSCSTWSEYMVIDTNYVVKIDPSIDLPHASFLSCGFSTGFGSAWREANVEKGSSVAVLGLGAVGLGAIEGARMQGAAKIIGIDKNEKKREKGQAFGMTDFINPDEYFNKPISELIKDITGGLGVDYCFECTGVGPLINEALLATKPGKGETFVVGAGADLTVSIDFLPLICGGNLKGSIFGGLQIKSHLPILLDKSKNKEFNLDELLTHQVMLEDINKAFQLLKQEDCVKILIKM >Potri.010G146100.1.v4.1 pep chromosome:Pop_tri_v4:10:15743217:15745017:-1 gene:Potri.010G146100.v4.1 transcript:Potri.010G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146100.v4.1 MEGGDEGGIDRVVDSKDLQQQSKALDKLTDRVEDRQLDSTRVQEAMASIASSAEADANAMRLREKELAAVKINAADVDIIANELELDKKVAERTLREHKGDAVAAIRHLLH >Potri.003G203900.1.v4.1 pep chromosome:Pop_tri_v4:3:20294923:20297436:1 gene:Potri.003G203900.v4.1 transcript:Potri.003G203900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203900.v4.1 MSLVDYASSSDEDVPDNIEEEDENHHHQQELHEEQEPKPQIEAQAAKPQNSQSSGASLPKQQVAGPSPLPSISNLPDASMLLNSPAVGLSGSDHASRVSAAMAENASRKRELNAGSSRSGKVARGNLVATRNVPDTGGGLLVPPQLKGRSNVVTEDVGKLFVRRHAEPSSH >Potri.017G004600.1.v4.1 pep chromosome:Pop_tri_v4:17:306336:307977:1 gene:Potri.017G004600.v4.1 transcript:Potri.017G004600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G004600.v4.1 MRGRMQGDLPPGKPELSSYSLGSPIVGYGVARVVDSRHSDFKKGDLVWGRTIGWEEYSLITAPEYLFKINHTDDIPLSHYTGILGMPGMTAYFGFFDIGSPKEGDRVYVSSASGAVGQLVGQFAKLIGCYVVGSAGSKEKVELLKTKFGFDDAFNYKEEHDLDAALKRLMYFTEGIDIYFENVGGKMLDAVLLNMRHHGRIALCGMISQYNLEQPESVHNLIAVLFKQIRMEGFAVAEYYDQYSKFLDFVLPYIKEGKIVYVEDITEGLESGPAALIGLFSGRNVGRQVVKVAQE >Potri.004G103350.4.v4.1 pep chromosome:Pop_tri_v4:4:9094957:9095728:-1 gene:Potri.004G103350.v4.1 transcript:Potri.004G103350.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G103350.v4.1 MESYCWFSLPVFFPGSFALRALSASLCCCFFFGFKTMLPFIGCKLDCCLKLGIKEHGFQSLLGSTSLDFDEETTSSQKQPVDSHETALHQQGGVCYSTMKFHCTAAQHSRTTSQFSSKKQMRRFAFQLP >Potri.001G281700.1.v4.1 pep chromosome:Pop_tri_v4:1:29539737:29541594:1 gene:Potri.001G281700.v4.1 transcript:Potri.001G281700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281700.v4.1 MKYNSFLKSPKKEEQEVPDTVVPQLSNIKEESYGNGEIDVVDELEGIFGIEHDEMLSSDNMYGQLGWDFMDLEEYPAAEDEEGSEMFKFGDSRSSFFEFEESHYSIGKVIKEESIGFGDGDGDEKGVSLNLNLNYQEVLEAWSDRGPLLADDHSLSTASNGHYMGEVPVMEEDRTRREASVLRYKEKRQTRLFSKKIRYQVRKLNADKRPRLKGRFVKRVT >Potri.011G032500.1.v4.1 pep chromosome:Pop_tri_v4:11:2628298:2629815:-1 gene:Potri.011G032500.v4.1 transcript:Potri.011G032500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032500.v4.1 MSSLSRLLRRAFSTVATAATTITSDAAPDTAKSLSYLIYKERNHKKLVKKFKNASVNEHFRTKVAIYKETIRRLSVSRKFDYVEEILEDQKQYKDMSKEGFNARLISLYGSVGMFDHARKVFDEMRERQCARTVMSFNALLGACLSSKNFDEVEGLFRGLSKEIKIEPDLISYNTVIKAFCEMGSLDSAVSLLDEMKRKGLEPDLITFNTLLHGFYANGRFVDGERIWDQMKERNVEPNIRSYSAKLFRMASEKRMKDVARVVEEMNSKGIKHDPFSYHALIRGFVNEDDFDEAKRWYGEMLKNGCKPHRLVFETLIPFSVEKGDLAFAFKLCMDLIHSKLVVQEALIQSVVDALAKESRIAEAKELVGHGESGRAHSYKLKLPTPTCNA >Potri.003G207600.1.v4.1 pep chromosome:Pop_tri_v4:3:20666075:20670263:1 gene:Potri.003G207600.v4.1 transcript:Potri.003G207600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207600.v4.1 MTMDRNSPPETPGRDSKSFLVLSIECLKGTSKADEWKVDMLQTGDIVEEILIGSGSSSSSSSGSGGLTRYSAPFKNGKSGVQKILHNSFKNKETSIVVRVRRGGDEFAELHACVVPESGYKNKYVLRSIADPNYSVGFTDRSEAECFELQASRSSRIVSALQRAKLQDGYVGYPWEKRMQELLDVPNSSSFLSLLLLPKASDPVASRYNDLEDTLARANAWLHASQASGVSIVFMNIQTESLLTKISGETASSTVNAGSLSDLSNLAHVSLYGFEDYHGVDIGVVRAVRLWYAPLCGEFAIEVKIQEDDTKLGFAISRTEEGFIFISSVMDGDENAPSTRSGLSNLYKEAKSASRLLVVSRVSNEKVLPWMVSSTGAVRCFDTVSLSQKLSLHRHAKVPILIHVFLWDRTLPLPSAGSARFKSVSPPVLAFPPEIQLAHHLSQNQILPLPADVPNDAVNEAEGRLDRDTAGEASFRFHDFSPPNNWV >Potri.007G088222.1.v4.1 pep chromosome:Pop_tri_v4:7:11320026:11322398:-1 gene:Potri.007G088222.v4.1 transcript:Potri.007G088222.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088222.v4.1 MSGYQLSESKSIAMMKFLALCFFVISLINIPIAEARIRHYKFELKYEYKSPDCYKKLVITINGRTPGPTIFAQQNDTVIVEVKNSLLTENTAIHWHGIRQIGTPWFDGTEGVTQCPILPGDTFVYKFVVDRPGTYLYHAHYGMQREAGIYGSIRVALPDGESEPFAYDYDRSIILTDWYHKSTYEQATGLSSIPFQWVGEPQSLLIQGKGRFDCSAANPPLKADVCNNTSPECSLYSTTVVPGKTYRLRISSLTALSALSFQIEGHSLTVVEADGHYVEPFVVKNLFIYSGETYSVLVKTDQDPSRNYWATTNVVSRNATTPPGLAIFNYYPNHPRRSPPTIPPSGPMWNDIEPRFNQSVAIKARKGHIYSPPATSDRVIVFLNTQNRVNGNVRWSVNNVSFNIPHTPYLIALKENLLHTFSQTPPPEGYDFKNYDIFARQNNTNATTSDAIYRLQLNSTVDIILQNANTMNPNNSETHPWHLHGHDFWVLGYGKGKFDPINDPKNYNLVDPIMKNTVPVHPLGWTALRFKADNPGAWAFHCHIESHFFMGMGVVFEEGIERVGKLPSSIMGCGETKHLLKP >Potri.003G030451.1.v4.1 pep chromosome:Pop_tri_v4:3:3392152:3394734:1 gene:Potri.003G030451.v4.1 transcript:Potri.003G030451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030451.v4.1 MAGVSALPAKILLSKRVQEMVLNDEELQKPYISRNDGTNEAIPRPPSDLIPIIDLSLLSSSEPCSAQELQRLRSALCSWGCFQATGHGIPKSFLDKIRQVARDFFEQPMEEKKRHAKGVEEFEGYGADPVPAEGQSLDWSDRLFLNVYPEDRRKHKFWPENPKSFREVLEEYTSRMQIFTELVSKAMAKSLNLEADCFLNQFGKQATLKARFNYYSPCQRPDLVLGLRAHADGSGYTIILQDDVEGLQVFKDERWFTVPAISDALLVLMGDQMEIMTNGVFKSPVHRVLTTSEKERISVAVFYAPEPNKEIGPEEGLINEERKQIYKKVKEYSVVHWEHYQQGKRAIHVAKV >Potri.002G262601.1.v4.1 pep chromosome:Pop_tri_v4:2:25004061:25005249:-1 gene:Potri.002G262601.v4.1 transcript:Potri.002G262601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262601.v4.1 MRSKEKETWNVQGCHHSKLQHLPERLLSNWKAISPASQKKLCKAMESGDLGDEDYYKYARKLCYRNLNENKIGRLGRAYQNRIFDLTISPERIIRKLKSEEYGFHYKDILKVPHPSRTIPCFATGSPLSKVVQRLPFHFTEANA >Potri.001G050400.3.v4.1 pep chromosome:Pop_tri_v4:1:3828703:3831611:1 gene:Potri.001G050400.v4.1 transcript:Potri.001G050400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050400.v4.1 MGQQTLIYSFVARGTVLLAEYTEFKGNFTGIAAQCLEKLPASNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQIPIAFLERVKEDFNKRYSGGKAATAVAKSLNGEFGSKLKGHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGDKIELLVEKTENLRSQAQDFRQQGTKMRRKMWIQNMKIKLIVLGIIIALILIIVLSICHGFNC >Potri.001G050400.2.v4.1 pep chromosome:Pop_tri_v4:1:3828863:3831574:1 gene:Potri.001G050400.v4.1 transcript:Potri.001G050400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050400.v4.1 MGQQTLIYSFVARGTVLLAEYTEFKGNFTGIAAQCLEKLPASNNKFTYNCDGHTFNYLVENGFTYCVVAVESAGRQIPIAFLERVKEDFNKRYSGGKAATAVAKSLNGEFGSKLKGHMQYCVDHPEEISKLAKVKAQVSEVKGVMMENIEKVLDRGDKIELLVEKTENLRSQAQDFRQQGTKMRRKMWIQNMKIKLIVLGIIIALILIIVLSICHGFNC >Potri.014G124100.2.v4.1 pep chromosome:Pop_tri_v4:14:8342194:8347024:-1 gene:Potri.014G124100.v4.1 transcript:Potri.014G124100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124100.v4.1 MHGLDFDPRTDMAICCGQTEAFAAAVFAIIDPGDEVVLFDPSYETYEGCIRMAGGIPVYVALEPPHWTLDPDRFINSFTARTKAVILNSPHNPTGKVFTKDELETIAGACCRRDCMAITDEVYEHITYDNIKHISLASLPGMQERTIITSSLSKTFSVTGWRVGWAIAPAFFASAIRNIHIKITDSAPAPFQEAALTALRSPPEYFEKLRREYESKRDYIVHLIAGIGFQIKFKPRGAFFLFAELPENYLLSDVEYVKELIKQAGVVAVPGCGFFHTTLSTEKLSQVGCSYQERYIRFAFCKSSATLAAAAQKLAELSDAMGCVTGGKNA >Potri.014G124100.3.v4.1 pep chromosome:Pop_tri_v4:14:8343498:8346991:-1 gene:Potri.014G124100.v4.1 transcript:Potri.014G124100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124100.v4.1 MLQFPPSILTSTSTDPGDEVVLFDPSYETYEGCIRMAGGIPVYVALEPPHWTLDPDRFINSFTARTKAVILNSPHNPTGKVFTKDELETIAGACCRRDCMAITDEVYEHITYDNIKHISLASLPGMQERTIITSSLSKTFSVTGWRVGWAIAPAFFASAIRNIHIKITDSAPAPFQEAALTALRSPPEYFEKLRREYESKRDYIVHLIAGIGFQIKFKPRGAFFLFAELPENYLLSDVEYVKELIKQAGVVAVPGCGFFHTTLSTEKLSQVGCSYQERYIRFAFCKSSATLAAAAQKLAELSDAMGCVTGGKNA >Potri.014G124100.5.v4.1 pep chromosome:Pop_tri_v4:14:8343497:8347029:-1 gene:Potri.014G124100.v4.1 transcript:Potri.014G124100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124100.v4.1 MHCFSYVRRVVCLLQVYEHITYDNIKHISLASLPGMQERTIITSSLSKTFSVTGWRVGWAIAPAFFASAIRNIHIKITDSAPAPFQEAALTALRSPPEYFEKLRREYESKRDYIVHLIAGIGFQIKFKPRGAFFLFAELPENYLLSDVEYVKELIKQAGVVAVPGCGFFHTTLSTEKLSQVGCSYQERYIRFAFCKSSATLAAAAQKLAELSDAMGCVTGGKNA >Potri.014G124100.1.v4.1 pep chromosome:Pop_tri_v4:14:8342194:8347024:-1 gene:Potri.014G124100.v4.1 transcript:Potri.014G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124100.v4.1 MEQKLSSVAKTFTPSPIQELSHLAQRSNAINLAEGFPDFPAPSHLKNAAISAINSDFNQYRHVQGICDHLANIMKEMHGLDFDPRTDMAICCGQTEAFAAAVFAIIDPGDEVVLFDPSYETYEGCIRMAGGIPVYVALEPPHWTLDPDRFINSFTARTKAVILNSPHNPTGKVFTKDELETIAGACCRRDCMAITDEVYEHITYDNIKHISLASLPGMQERTIITSSLSKTFSVTGWRVGWAIAPAFFASAIRNIHIKITDSAPAPFQEAALTALRSPPEYFEKLRREYESKRDYIVHLIAGIGFQIKFKPRGAFFLFAELPENYLLSDVEYVKELIKQAGVVAVPGCGFFHTTLSTEKLSQVGCSYQERYIRFAFCKSSATLAAAAQKLAELSDAMGCVTGGKNA >Potri.014G124100.4.v4.1 pep chromosome:Pop_tri_v4:14:8342194:8347024:-1 gene:Potri.014G124100.v4.1 transcript:Potri.014G124100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124100.v4.1 MEQKLSSVAKTFTPSPIQELSHLAQRSNAINLAEGFPDFPAPSHLKNAAISAINSDFNQYRHVQGICDHLANIMKEMHGLDFDPRTDMAICCGQTEAFAAAVFAIIDPGDEVVLFDPSYETYEGCIRMAGGIPVYVALEPPHWTLDPDRFINSFTARTKAVILNSPHNPTGKVFTKDELETIAGACCRRDCMAITDEVYEHITYDNIKHISLASLPGMQERTIITSSLSKTFSVTDSAPAPFQEAALTALRSPPEYFEKLRREYESKRDYIVHLIAGIGFQIKFKPRGAFFLFAELPENYLLSDVEYVKELIKQAGVVAVPGCGFFHTTLSTEKLSQVGCSYQERYIRFAFCKSSATLAAAAQKLAELSDAMGCVTGGKNA >Potri.008G105401.2.v4.1 pep chromosome:Pop_tri_v4:8:6665430:6667865:-1 gene:Potri.008G105401.v4.1 transcript:Potri.008G105401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105401.v4.1 MNDSAVDCSDCAVDASVVNAVNEGIEAAREIVYLRKPWVMISFNDDEDLHFHKAGLLQLACDTNSHPVEELKREGLFQTTLVSKNSKDRKLMSTLPASSHALEGGMAYSGNARK >Potri.008G105401.1.v4.1 pep chromosome:Pop_tri_v4:8:6665521:6667752:-1 gene:Potri.008G105401.v4.1 transcript:Potri.008G105401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105401.v4.1 MNDSAVDCSDCAVDASVVNAVNEGIEAAREIVYLRKPWVMISFNDDEDLHFHKAGLLQLACDTNSHPVEELKREGLFQTTLVSKNSKDRKLMSTLPASSHALEGGMAYSGNARKIVGMVLSNHSMVLLVYRIIQGISSCGCGSAILPGSRVKV >Potri.010G173500.1.v4.1 pep chromosome:Pop_tri_v4:10:17439232:17442114:1 gene:Potri.010G173500.v4.1 transcript:Potri.010G173500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173500.v4.1 MEMSKGCKKVCVLLLYLTIAATSFFAHCDARRSMHLSRGPVHARSSIIKHAQELKVTKRFDLASLLLQKETMDSSNAGPYVSSPFTLPPYDSLGPISLPDNAPPNCIYPPNTPQPPSTAIPTPTGSMPSSPPPPFAYLPPVFPISNPPPSPTGEVPGPPSFTPIPNPPEIVPGPPINIPGTPKGAVPSPPGIIPGPPGSVPSPTIYVPGPPEAVPGPPYYEPSPPSYTPSPPTFVPSPTGYVPSPRGFRPPVVYPPPTGPPSPRRSPYSALWCVAKPSVPDPIIQEAMNYACGSGADCDSIQPSGSCFEPNTLFAHASYAFNSYWQRTRVAGGSCSFGGTAILVTVDPSYDGCHFIYG >Potri.014G043000.1.v4.1 pep chromosome:Pop_tri_v4:14:2781181:2783585:-1 gene:Potri.014G043000.v4.1 transcript:Potri.014G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043000.v4.1 MHLLFIVLVLLVVCIFKFIHSVIWVPWRIQVYFRKQGISGPNYRPIFGNTPEYRRLFSEVRSKPMPFNHDIVHRVAPFYYEWSRKYGKTFLYWFGTKPTLAISDPDMIKEVLMNTGDGSFQKARNNPLAKLLFGQGLNGLDGEEWALHRRIANQAFMIERVKCWVPEIVESITKMLIKWEEIRGGRDEFEVDVHRELQNFTSDVIAKTAFGSNYEEGKRIFLLQDQHKYLAYQAFGNVYIPGFRFLPTKKNRERWRFDRETREAIRKLIKNNNSERENSRNLLSLLMSSYKNQEGEEEKLGIEEIINECKTFYFSGKESTADLLTWALLLLALHQEWQNKAREEVFSIFGENESIAAEKLNDLKIVNSILCETQRLYPPVVMLPRQTSKNVKLGTLDVPAGTHFYLALPSVHHDPDIWGKDANEFNPLRFNEPRNHLASFFPFGIGPRMCVGKNLAVMEVKIVLAMIIRSYSFVVSPTYVHAPSLLLSTQPQFGAQILFRRI >Potri.005G001200.1.v4.1 pep chromosome:Pop_tri_v4:5:158363:163097:1 gene:Potri.005G001200.v4.1 transcript:Potri.005G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001200.v4.1 MEIKDFCFGADSTPPLSVIAAAKVASLTLPPPSTVTNASASASLPTFLFSNGLKLQGTYVLLRYIGRVANLYGQDPFESSQIDQWLEYTPVLSVGSEFENACNYIDNYLQTRTFLVGYCLSIADIAIWSGLAGTGLRWESCRKSKKFPNIVRWFNSIFDEYSEALNEVMSTYVGKKGSVKPAAAKPKGQQVVGGDNPEKGKASSKPSSEVDLPEAEIGKVCLRFAPEPSGYLHIGHSKAALLNQYFAQRYQGRMIVRFDDTNPSKESNEFVDNLLKDIETLGIKYETITHTSDYFPQLMEMAENLIRQGKAYVDDTPREQMQKERMDGIESKCRSNSVVENLKLWKEMIAGSERGLQCCVRGKLDMQDPNKSLRDPVYYRCNPVPHHRIGSKYKIYPTYDFACPFVDSVEGITHALRSSEYHDRNAQYDRIQADMGLRKVHLYEFSRLNMVYTILSKRHLRWFVENGKVDGWDDARFPTVQGIVRRGLKVEALVQFILEQGASKNLNLMEWDKLWTINKKIIDPVCPRHTAVIEEHRVPLTLTDGPKQPFVRIIPRHKKHEGAGEKATTYTNRIWIDHADAELISVNEEITLMDWGNAIVKEIEKDQNGNVTRLSGVLHLEGSVKTTKLKLTWLPDTSELVNLTLVDFDYLITKKKLEEGESFQDVLNPFTKKETAAHGDSNMRNLKRGEILQLERKGYFRCDVPFVRPSKPIVLFAIPDGRQATSLK >Potri.001G084600.5.v4.1 pep chromosome:Pop_tri_v4:1:6762496:6763898:-1 gene:Potri.001G084600.v4.1 transcript:Potri.001G084600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084600.v4.1 MITLTTTSLCPSLKPPSLTSPLAKLKPSHFHQHCKTLVKGGKINRGKCRALLSDDTPFAAAIGLCMLSSLLLPNTVTKDEEAESYSGITTTDTRFAVMGVISFIPYFNWLSWVFAWLDTGKRRYAIYSLVYLAPYLRDRFWDVNIWDWMSTYAASC >Potri.001G084600.2.v4.1 pep chromosome:Pop_tri_v4:1:6761445:6763898:-1 gene:Potri.001G084600.v4.1 transcript:Potri.001G084600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084600.v4.1 MITLTTTSLCPSLKPPSLTSPLAKLKPSHFHQHCKTLVKGGKINRGKCRALLSDDTPFAAAIGLCMLSSLLLPNTVTKDEEAESYSGITTTDTRFAVMGVISFIPYFNWLSWVFAWLDTGKRRYAIYSLVYLAPYLRSNMSLSPEESWLPIASIIFGIVHVQLEASIKNGDIHGFQSFSEAAKFLPSLTKKKDVSLREHQEPSKEEKE >Potri.018G002300.1.v4.1 pep chromosome:Pop_tri_v4:18:147173:152001:1 gene:Potri.018G002300.v4.1 transcript:Potri.018G002300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002300.v4.1 MQQQALLQHHHMYHHPALVASAMSQMEPILGGNLPPAFDPSSSCRRVYVGNIHVNVTDKLLAEVFATAGPLAGCKLIRKDKSSYGFVDYHDQSSAALAIMTLHGRQLYGQALKVNWAYGNSQREDTSGHFHVFVGDLSPEVIDANLFACFSVFPSCSNARVMWDHKTGRSKGYGFVSFRNQQEAQSAINDLTGKWLGNRQIRCNWATKGVESNEDKQNSDNQNAVVLTNGSSEGGQESTNEEAPENNPAYTTVYVGNLSHEVTQAELHRHFHALGAGVIEDVRVQRDKGFGFVRYNTHEEAASAIQTGNGKIVCGKPVKCSWGSKPTPPGTASNPLPPPPPAQPYQIAPSTGINQGYSAADLLAYQRQLALSQAAASGLSGQALLQLAGQHGLAAASMDLSAGGSQAMYDGYHNGLAAQQLMYYR >Potri.015G074100.6.v4.1 pep chromosome:Pop_tri_v4:15:9990932:9994981:1 gene:Potri.015G074100.v4.1 transcript:Potri.015G074100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074100.v4.1 MSAYERSLIMLRFADLVDKHRGELAALESWNSGKPYEQSAKSELPSFARLFRYYAGWADKIHGLTVPADGNHHVQTLHEPIGVAGQIIPWNFPLIMFAWKVGPALACGNTIVLKSAEQTPLTALYAAKLFQEAGLPPGVLNVVSGYGPSAGAALACHMDVDKIAFTGSTETGKIILELAAKSNLKAVTLELGGKSPFIVCEDADVDKAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFVEKAKARALRRVVGDPFKKGVEQGPQIDSEQFEKILRYIKSGVESNATLECGGQRFGSKGYFIQPTVFSNVQDDMLIAKDEIFGPVQSILKFKNIDEVIQRANTTRYGLAAGIFTKNVDTANTLSRALRVGSVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVTPLKNPAWL >Potri.015G074100.1.v4.1 pep chromosome:Pop_tri_v4:15:9989905:9994978:1 gene:Potri.015G074100.v4.1 transcript:Potri.015G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074100.v4.1 MAARRISSLLSRSLSAPSAPSASTPLLLSRGKNPITGRGVYRFSNAAALEETITPPVQISYTQLLINGQFVDAASGKTFPTHDPRTGEVIAHVAEGDAEDVNRAVAAARKAFDEGPWPKMSAYERSLIMLRFADLVDKHRGELAALESWNSGKPYEQSAKSELPSFARLFRYYAGWADKIHGLTVPADGNHHVQTLHEPIGVAGQIIPWNFPLIMFAWKVGPALACGNTIVLKSAEQTPLTALYAAKLFQEAGLPPGVLNVVSGYGPSAGAALACHMDVDKIAFTGSTETGKIILELAAKSNLKAVTLELGGKSPFIVCEDADVDKAVELAHFALFFNQGQCCCAGSRTYVHERVYDEFVEKAKARALRRVVGDPFKKGVEQGPQIDSEQFEKILRYIKSGVESNATLECGGQRFGSKGYFIQPTVFSNVQDDMLIAKDEIFGPVQSILKFKNIDEVIQRANTTRYGLAAGIFTKNVDTANTLSRALRVGSVWVNCFDVFDAAIPFGGYKMSGIGREKGIYSLHNYLQVKAVVTPLKNPAWL >Potri.018G039800.1.v4.1 pep chromosome:Pop_tri_v4:18:3170976:3177474:-1 gene:Potri.018G039800.v4.1 transcript:Potri.018G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039800.v4.1 MAMAIRSLLIFLCILSITVPTFSLYEDQAGLMDWHQKYIGKVKHAVFQTQKTGRKRVLVSTEENVIASLDLRHGEIFWRHVLGTNDAIDGIDIAMGKYLITLSSEGSILRAWNLPDGQMWWESFLQGPSDSKSFLFVSTSTKVDKDNTILVFGKGSLHAISSMHGEIVWKVDFPAESFEVQEVIQHHDSNTIYVVGFVGFSLFDVYQINAKNGELLKHDSAAFDGGFSGEVSLVSKAKLVVLDAARSTLLTISFQNGEISFQKTYVSDLVKDFSGMAVILPSKLTGLFAVKTNTATTFISVSSEGQLEVVDKINHATVISDALSFSEDRQAFALVQHGDNDIHLNVKQGHDWNSDLLKERIKLNQQRGFVHKVFMNNYVRTDKSHGFRALIVMEDHSLLLLQQGAIVWSREDGLASIIGVTTSELPVEKKGVSVAKVEQNLFEWLKGHMLKVKGTLMLASAEDVAAIQGMRLRSSEKSKMTRDHNGFRKLLIVLTKSGKLFALHTGDGRIVWSVLLNSLRQSEACENPTGINVYQWQVPHHHAMNENPSVLVVGRCKPSSDAPGIFSFVDTYTGKELKSFGLDHSVAQVIPLPFTDSTEQRLHLLIDTSGQAHLYPRAPEAVAIFQLEFSNIYWYSVEADNGVIKGHGLKSNCDGEVANNYCFGTREVWSIVFPSESEKIITTITRNSNEAVHTQAKVVADQDVMYKYISKNLLFVATVSPKASGDIGSATPEESHLVVYVVDTVTGRILHRMNHHGSQGPVHAVFSENWIVYHYFNLRAHRYEMTVIEIYDQSRADNKDVWKLVLGKHNLTSPMSSYSRPEVTTKSQSYYFTHSVKAITVTSTAKGITSKHLLIGTIGDQVLAMDKRFFDPRRSVNPTQSEKEEGILPLTDSLPIIPQSYVTHALKVEGLRGIVTVPAKLESATLVFTYGVDLFFTRLAPSRTYDSLTEDFSYALLLITIFVLIAAIFVTWVLSEKKDLRDKWR >Potri.005G110600.2.v4.1 pep chromosome:Pop_tri_v4:5:8040126:8047640:1 gene:Potri.005G110600.v4.1 transcript:Potri.005G110600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110600.v4.1 MIADGVENEEKWLAAGIAGLQQNAFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYELYMRAFDELRKLEMFFKEEARRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPVRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVVDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDTVLPRVLEQVVNCKDEIAQFYLMDCIIQVFPDEYHLQTLEILLGACPQLQPSVDIKTVLSRLMERLSNYAASSAEVLPEFLQVEAFSKLNNAIGKVIEAQVDMPIFGAVTLYSSLLTFTLHVHPDRLDYADQVLGACVKKLSSKGKLDDSKATKQIVALLSAPLEKYNDIVTALKLSNYPRVMEYLDIETNKIMATVIIQSIMKNNTRISTADKVEALFELMTGLIKDLDGAEEEVDEDDFKEEQNSVARLIQMLYNDDQEEMFQIICTVKKHIMTGGPKRLPFTVPPLVFLSLKLVRRLQGSSQDQEENPFGDDSSTSPKKIFQLLNQTIEALSIVPAPELALRLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEVSDSKAQVTALHLIVGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYGCAHLFWVDDQDNMKDGERVLICLKRALRIANAAQQMSNAARGNTGSVLLFVEILNKYLYFYEKGNPQITVAAIQSLIELITTEMQSDNSPPDPAADAFLASTLRYLQFQKQKGGAISEKYEAIKV >Potri.005G110600.6.v4.1 pep chromosome:Pop_tri_v4:5:8040185:8047640:1 gene:Potri.005G110600.v4.1 transcript:Potri.005G110600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110600.v4.1 MIADGVENEEKWLAAGIAGLQQNAFYMHRALDSNNLRDALKYSAQMLSELRTSKLSPHKYYELYMRAFDELRKLEMFFKEEARRGCSIIDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDVLKDLVEMCRGIQHPVRGLFLRSYLSQVSRDKLPDIGSEYEGDADTVVDAVEFVLQNFTEMNKLWVRMQHQGPAREKEKREKERSELRDLVGKNLHVLSQIEGVDLDMYKDTVLPRVLEQVVNCKDEIAQFYLMDCIIQVFPDEYHLQTLEILLGACPQLQPSVDIKTVLSRLMERLSNYAASSAEVLPEFLQVEAFSKLNNAIGKVIEAQVDMPIFGAVTLYSSLLTFTLHVHPDRLDYADQVLGACVKKLSSKGKLDDSKATKQIVALLSAPLEKYNDIVTALKLSNYPRVMEYLDIETNKIMATVIIQSIMKNNTRISTADKVEALFELMTGLIKDLDGAEEEVDEDDFKEEQNSVARLIQMLYNDDQEEMFQIICTVKKHIMTGGPKRLPFTVPPLVFLSLKLVRRLQGSSQDQEENPFGDDSSTSPKKIFQLLNQTIEALSIVPAPELALRLYLQCAEAANDCDLEPVAYEFFTQAYILYEEEVSDSKAQVTALHLIVGTLQRMHVFGVENRDTLTHKATGYSAKLLKKPDQCRAVYGCAHLFWVDDQDNMKDGERVLICLKRALRIANAAQQMSNAARGNTGSVLLFVEILNKYLYFYEKGNPQITVAAIQSLIELITTEMQSDNSPPDPAADAFLASTLRYLQFQKQKGGAISEKYEAIKV >Potri.017G047200.1.v4.1 pep chromosome:Pop_tri_v4:17:3356646:3357430:1 gene:Potri.017G047200.v4.1 transcript:Potri.017G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047200.v4.1 MASFNCFILALFILLSLSGGQAARHLLQLPNLPKPTLPPLPSIPALPQPTLPSLPTSQPSMPKPTMPPLPILPKIPKATLPPLPSMPTLPAVPKVTLPPLSSMPSIPTIPIPTAIPSIPFLSPPPATTKP >Potri.005G048000.1.v4.1 pep chromosome:Pop_tri_v4:5:3043101:3044516:1 gene:Potri.005G048000.v4.1 transcript:Potri.005G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048000.v4.1 MSQRQQQRPQEPIKYGDVFSVEGELAEKPVAPRDAAMMQTAENALMGQIQRGGAASMMQSAAMRNERAGFVGHSDVNDVANYQGVSATETEMPGRRIITEAIGGQIVRDFDQRAPLVQSPPPLFQQVDAGITIGEALEATALSCGQKPVEWSDAAAIQAAEVRATGRTTITPGGVAAAAQSAATINARMTKDEDKTKLSDVLADATSKLPADKPATRKDAEGVTGAEMRNDPFLTTNPAGVAASVAAAARLNQQNNTKNKKES >Potri.010G089200.1.v4.1 pep chromosome:Pop_tri_v4:10:11420991:11424997:1 gene:Potri.010G089200.v4.1 transcript:Potri.010G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089200.v4.1 MGDISCSNGISSGNGVNGKSHSSLNGYRKSCWYEEEIEENLRWCFALNSILHTGASRYQDIALLDTKPFGKALVIDGKLQSAEVDEFIYHESLVHPAFLHHSSPKTVFIMGGGEGSTAREILRHKTVEKVVMCDIDEEVVNFCKAYLAVNREAFCDPRLEVIINDARAELEIGKECYDVIIGDLADPIEGGPCYKLYTKSFYEHTVKPRLNQGGIFITQAGPAGIFSHTEVFSCIYNTLRQVFKYVVPYSAHIPSYADTWGWVMASDSPFMLSADELDTRIKQRIEGENRYLDGKTFSSASTLSKAVRKSLDTETHVYTEGAARFIYGHGSVHKQNQAKLIRNGLMEEAPTKSTK >Potri.005G247700.1.v4.1 pep chromosome:Pop_tri_v4:5:24200322:24207045:-1 gene:Potri.005G247700.v4.1 transcript:Potri.005G247700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247700.v4.1 MPLNLACKPAVLCTFLCLTVSILSVVKAEDPYRFFDWNVTYGFIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVYNSLDEPFLLSWNGIQQRRNSYEDGVFGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFDDPAGDYTILIGDWYMSNHTKLKAILDSGRKLPFPNGILINGRGRNGYSLTVEQGKTYRLRISNVGLQNSLNFRIQNHKMKLVEVEGTHTLQTTYSSLDVHVGQSYSVLFTADQPGQDYYIVVTSRFTAPVVLNTTGILHYSNSAGPVSGPFPGGPTTQIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTTRTIIVANSAGQVNGKQRYGVNSVSFIAPDTPMKLADYFKIQGVFKENSISDRPYGGGLYLDTSVLTLPYRAFVEIVFQNNEDIVQSWHLDGYSFFVVGMDGGQWTTASRNQYNLRDGVSRCTIQVYPKAWTAIYIPLDNVGMWNLRTEFWARQYLGQQFYLRVYTDSTSLRDEYPIPKNALLCGRASGRHTRPL >Potri.001G385600.2.v4.1 pep chromosome:Pop_tri_v4:1:40463157:40473472:1 gene:Potri.001G385600.v4.1 transcript:Potri.001G385600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385600.v4.1 MALWPSLLSKTFSVLVFGFVVLNCIAVDKFGSHAQVVTPLLPLDEVQILQNISNKLNISNWATINRTSCDSAQWKINGNEIESNVTCNCTFENGSVCHVTRIRVKRFNLNGVLPEELGDLPHLLEIDLTRNYISGTIPPRLAQLPKLQILSLIVNRLTGPIPPEIGNITTLEELVLEDNLLGGPLPPDLGNLTSLRRLLLSANNFTGTIPDTFGNLKNLNDFRIDGSELSGKIPDLIGNWTNITTLDLHGTSMEGPIPSAISLLKKLTILRISDLKGSNSTFPDLKDMTKMEKLILRNCSLTGSIQEYLGNMADLDTLDLSFNKLTGQIPGPLESLKKNIKFMFLNNNLLTGEVPAWILGSTKDLDLSYNNFNGSAEQSCQQLPVNLVASHVSTGSNKISWCLNKDLVCTRKPQYHSLFINCGGSSETVDDNEYEDDTTPGGAADFASISERWGYSSTGTYIGTDNGAYKATNSFGLNVTGEGFYQTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVEANFNIMEEAGGVGIGITKVFDGIIVNGSTLEIHLYWSGKGTTAVPERGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCVLAALVLLVLRKKGYLGGKDLEDKELRALDLQTGYFSLRQIKHATNNFDPANKIGEGGFGPVYKGMLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLTYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKTEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNQDARFKAFELLSHDSQTHVSNGSQSSQIQNSISMDGPWMDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.001G385600.3.v4.1 pep chromosome:Pop_tri_v4:1:40467713:40473479:1 gene:Potri.001G385600.v4.1 transcript:Potri.001G385600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385600.v4.1 MEGPIPSAISLLKKLTILRISDLKGSNSTFPDLKDMTKMEKLILRNCSLTGSIQEYLGNMADLDTLDLSFNKLTGQIPGPLESLKKNIKFMFLNNNLLTGEVPAWILGSTKDLDLSYNNFNGSAEQSCQQLPVNLVASHVSTGSNKISWCLNKDLVCTRKPQYHSLFINCGGSSETVDDNEYEDDTTPGGAADFASISERWGYSSTGTYIGTDNGAYKATNSFGLNVTGEGFYQTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVEANFNIMEEAGGVGIGITKVFDGIIVNGSTLEIHLYWSGKGTTAVPERGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCVLAALVLLVLRKKGYLGGKDLEDKELRALDLQTGYFSLRQIKHATNNFDPANKIGEGGFGPVYKGMLSDGSVIAVKQLSAKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLTYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKTEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNQDARFKAFELLSHDSQTHVSNGSQSSQIQNSISMDGPWMDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.003G010300.1.v4.1 pep chromosome:Pop_tri_v4:3:966676:967761:1 gene:Potri.003G010300.v4.1 transcript:Potri.003G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010300.v4.1 MDVFDYQQLVKATGSFSPSRLLGKGSHGSIYKGILEENKLVAIKKSSSLGTDHVSADNSKKLENEISVLSSLRESPYVINFLGTSHYHHNSNCKEKNRVLVLEFMPNGSLHDLLHVDATPPPWPKRVEIAIQIARAVQFLHEGKPLVIHRDIKSANILFDINWKAKLADFGLSVLLADSSSHDHATQPAGTIGYLDPSYTTPSKLSTKIDVFSYGVVLLEIISCQKVIDVSRSPSSIVEWAVPLIEEQRLMEICDTRIALPTFMEGTIKHLLYVAARCVSCREENRPSITEIVKGMDKNCLVERVQMPSWTSLMRSVILTRRPRELAEQWQQAKCDDANCDISKGMKAYLWEILAYITTLE >Potri.017G028425.1.v4.1 pep chromosome:Pop_tri_v4:17:1881149:1884483:-1 gene:Potri.017G028425.v4.1 transcript:Potri.017G028425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028425.v4.1 MYNNVGPQPGVPRPPTNPQPNPFGNSFYGAGSGLMKGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWMRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLNGKFSPEALNWLFVKGLLGWFMQVALLKMILLSLGSGEAPLLDIVAYAGYTFTGMCFAVLGKILSGYSYYILMPCACLCMGIFLVKTMKRVLFAEVRSFDSSRHHFLLLLIALVQFPFFAWLGNVSVNWFL >Potri.008G027500.1.v4.1 pep chromosome:Pop_tri_v4:8:1438348:1441012:1 gene:Potri.008G027500.v4.1 transcript:Potri.008G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027500.v4.1 MSTMTARSFPIFVAILLLVAMQSRTIQSKPSGDPFGFIKHLEGCHKNGSVKGLHELKRYLEKFGYLKYGHQGKKGHNHANDDEFDDLLESAIKAYQQNHHLNVTGSLDNSTVHEMMQPRCGVPDVVNGTKHYHTHKSIHTLAHYNFIPGNRRWAKRQLTYTFRSSVQVPAAQNIRSICAKAFRRWAQVTEFTFQEVSGSSPADIVIGFHRGDHNDGKAFDGPQGVLAHATPPASDAIFHFDADENWSENPGPNQMDLESVAVHEIGHLLGLDHNDDPKADAIMSSGIPSGIAKRDLRADDIQGVRALYGFAN >Potri.001G221400.1.v4.1 pep chromosome:Pop_tri_v4:1:23191730:23193196:-1 gene:Potri.001G221400.v4.1 transcript:Potri.001G221400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221400.v4.1 MGSRMPFAIITFTLVIFLCACTHAGAQSVTFVVKNNCPYTVWPGTLTASGRPPISSTGFTLATGASSSLSAPATWSGRLWARTQCSADSSGKFVCATADCASGVIECNGAGAIPPASLAEFTLRGDGGKDFYDISLVDGFNIPISVAPQGGSTGCRSTSCASDVNAVCDPSLAVRRPDGTVIACKSACLAFNQPQYCCSGEYNTPDKCPANQYSTTFKKQCPQAYSYAYDDKTSTFTCPSGGNYLITFCP >Potri.006G232200.1.v4.1 pep chromosome:Pop_tri_v4:6:23508598:23509980:-1 gene:Potri.006G232200.v4.1 transcript:Potri.006G232200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232200.v4.1 MPKKSSSKKQLSADIEQGKPSPLNVPKKIYSKKPIQEQENADVEQAKPSSTPMKVGNEIDEIFSGKKRKKSEQKKVDKANVNGEEKPKLTTKKKKKKSKEDEEGRFTDPPCRSRKKTEDGMNIYTEEELGFSKSSGGDTPLCPFDCDCCF >Potri.006G105100.1.v4.1 pep chromosome:Pop_tri_v4:6:8078834:8081019:-1 gene:Potri.006G105100.v4.1 transcript:Potri.006G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105100.v4.1 MEPTVGKPNFLSNILVKVLLFGVLIIIVRFAYVVTITGESCNLGGFCFLPENLNFVIAGTGTGFSTANRAVESTSAGPSQPYLYASKDWIKAVHFYSDIFHDLVSEGYLSAISKTLCVETPNGEDVFALKEIGILDSIGIYQKASKPLVISTNENGWPFDENSLDFIFSGGDRLDKASQKRPLDLTVSEIQRTLKPEGFFVAHVSAKDNYSLNSFLDLFNSCKLIKSLDIEGYNSSLPFIREIVLQKKGGSEILSKDSDGNSENTCSVPGYKRDLVRNAESLIKEEPLKPWITLKRNIKNIKYLPAMADISFKRRYVYVDVGARSYGSSIGSWFKKQYPKQNRTFDVYAIEADKAFYEEYRVKKGVKLLPYAAWVRNETLRFEINHDPGKKVKDRTRGMGRIQPVKSDSSSGSFNGEVNEIEGFDFAEWLKSTVTEKDFVVMKMDVEGTEFDLIPRLFETGAICLIDEIFLECHYSRWQRCCPGQRSSKYEKTYGQCLDLFTSLRDRGVLVHQWW >Potri.005G182100.4.v4.1 pep chromosome:Pop_tri_v4:5:18860422:18877288:-1 gene:Potri.005G182100.v4.1 transcript:Potri.005G182100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182100.v4.1 MGIGLTGEGESVVGLTAGKLLEDESGVIGGCLDERQSGIDDCNGETDRSREEKAGLGVKNGGPLDCERSLELLERNCDQQDGRVDDKKTGVQGVTEEESDGLVTVEADTSDEVVPSTSCETSVESILGNDMTRNCDQQDDQNDHESGSVQGVMEEKNDGLAAIKIVNSDEIVLSLGSEMPTELMQAKDWSRNGDQQDDQRDDKNVSVQGVMEQHSSGLAPIEFDDIHHEIVLSSGQGMPAELLPEKGLPSDGNEQYKHDCGTSQEAVMEEKIDNLTGLENRDLQAVMEQKSDGLVATKTADTCENILPSLGYEMPAERLPRNGVEKDKQDRSTSMVLTMEERNNDLAGTESISMEGFMEEKSDGLAAIETATHNEIGPLSGCEIPAGLISVNGYGVELDKQYDGTSPTVVPKERSVLLTRLETDNQDQILPSLDHGMHLESTTVTCPPSKCLQPDDQKGDQIISCLIAGGVMEETSDALDATDTTTSNWLLSSQGLERTLKLMPMTGLPEESVYHDEQKLIPSELDSKVVNGLAIERVPEQESDASARIGADIYAQVSPHGAIDSNSAGDCSGETVNEAKNHVSIDSVSETKCHDIASPSSQRSNGVRKSSRKAQTKRAARKSRNTTKVPNLHLGIETVFKSVTRKRSCFSKPARSSAWGLLGNITHAFTMIDGPRLDEIENNGSQKARGGRGSRKRNNRAGGRSQRSSKKGCASASCIRLKVKVGKEACQTEANPKIMIPEVIDTKASAELVSNYGVESYQETSFEMSKLVHYAEDNVAEEGAGKQLQSFDIKLKAELHCDPYGMDVNLANKDMEGMVIFEKSPGDTVEDYIGVPLHTEVEALGATTEKRYTDPGTSPDSEVINLVAEGQVDARCPEDFHDAVLSSSKAFATDQGGNGKRRGKKKERLPQAANCSPAAASLNKVKLAKKRGGRQRKADGLSSTEILTSSSSVNGLINTPSSKECSAEQVPLSRETELEVSGEVLTEEISMETKICVGGLDAELRSSESQISKNPLPSTKSRGNGVNKGRSKVSDSAKSRRANGCKDRGNDRKSVKKNKAKGKSVCDHVFYKVDDDPEIDENGKIDAVEDTAAEEVADLDTPSSGVMEQNLSPDNAWVRCDDCLKWRRIPVRLVESISQTHCQWICKDNMNKAFADCSFPQEKSNAEINAELGISDVDEDGCDAPSNYMELECRQTSVSKEYEFTRITTNQFLHRSRKTQTIDEIMVCYCKAPVAGRLGCGDECLNRMLNIECVQGTCPCGDHCSNQQFQKRNYAKMTWERCGKKGFGLRLDEDISRGQFLIEYVGEVLDVHAYEARQKDYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKMGEEVTFDYNYVRVVGAAAKRCYCGSPQCRGYIGGDPTSTEVVDQVDSDEEFPEPVMLEDGRVGGGLKNKISKTNFFGLSKDREIEFKTAVGNLEVATEIKDLTSQLTPAMSLSPSASEMNGLPGDFSSSSQQVETSPKAEDVMSQPTPAVQQEISMEETMNKSLYSSEKLRTSPTSTPTKILPDDVMINRKSKSAAAENKRVFVKSRFIIKTPHQSSLIKKGKSAGNLININKVQTIASKPQFPLIKPKKLIESTSNGHFEAVQEKLNELLDSEGGISKRKDAPKGYLKLLLLTAASGAIRSGEAIQSNRELSMILDALLKTRSRVVLMDIINKNGLRMLHNIMKQYRRDFKKIPILRKLLKVLEHLAVREILTLEHISGGPPCPGMESFTESMLSLTEHDDKQVHQIARSFRDRWIPRHIRKHSYMDRDDGRMEIHRGSNCNRVSASHNHWHDQGVRHTEALNGVVESNLAMTSGGTAVHEDNSVNRVGSGARTRKRKTRWDQPAVGNIASSSLQHIKQNVNSGLVQQYESNPLLELSKEVPVHVDKAGREYSYCPHCVRNYRWQDESSSADDRKQNIHEDVPPGFSSPINAALASNASSTVADPPQQNVFHLKFPVGMVVGHPQKKFNSRFPVSYGIPLSVMQQLGSPLAETVESWVIAPGMPFHPFPPLPPLPSCKKGTQPSCAVSSMEVDGEADRGQQDSHDPTTCPNESSPSMSGANQPDVNSPCPNDHQTFKRARGFSYDLGRRYFKQQKWNKVSPPWVRNRNGWGCVGDNSRGGMCSTDMGSLTNEQRNS >Potri.005G182100.1.v4.1 pep chromosome:Pop_tri_v4:5:18860424:18878025:-1 gene:Potri.005G182100.v4.1 transcript:Potri.005G182100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182100.v4.1 MGACENSFEPHEPLTLAATEQHSCLEFIKDSEQLPVLETAHSLIDTNVEPSSATDGFVDLSQKDNVVCASHSDVKAVSADMGIGLTGEGESVVGLTAGKLLEDESGVIGGCLDERQSGIDDCNGETDRSREEKAGLGVKNGGPLDCERSLELLERNCDQQDGRVDDKKTGVQGVTEEESDGLVTVEADTSDEVVPSTSCETSVESILGNDMTRNCDQQDDQNDHESGSVQGVMEEKNDGLAAIKIVNSDEIVLSLGSEMPTELMQAKDWSRNGDQQDDQRDDKNVSVQGVMEQHSSGLAPIEFDDIHHEIVLSSGQGMPAELLPEKGLPSDGNEQYKHDCGTSQEAVMEEKIDNLTGLENRDLQAVMEQKSDGLVATKTADTCENILPSLGYEMPAERLPRNGVEKDKQDRSTSMVLTMEERNNDLAGTESISMEGFMEEKSDGLAAIETATHNEIGPLSGCEIPAGLISVNGYGVELDKQYDGTSPTVVPKERSVLLTRLETDNQDQILPSLDHGMHLESTTVTCPPSKCLQPDDQKGDQIISCLIAGGVMEETSDALDATDTTTSNWLLSSQGLERTLKLMPMTGLPEESVYHDEQKLIPSELDSKVVNGLAIERVPEQESDASARIGADIYAQVSPHGAIDSNSAGDCSGETVNEAKNHVSIDSVSETKCHDIASPSSQRSNGVRKSSRKAQTKRAARKSRNTTKVPNLHLGIETVFKSVTRKRSCFSKPARSSAWGLLGNITHAFTMIDGPRLDEIENNGSQKARGGRGSRKRNNRAGGRSQRSSKKGCASASCIRLKVKVGKEACQTEANPKIMIPEVIDTKASAELVSNYGVESYQETSFEMSKLVHYAEDNVAEEGAGKQLQSFDIKLKAELHCDPYGMDVNLANKDMEGMVIFEKSPGDTVEDYIGVPLHTEVEALGATTEKRYTDPGTSPDSEVINLVAEGQVDARCPEDFHDAVLSSSKAFATDQGGNGKRRGKKKERLPQAANCSPAAASLNKVKLAKKRGGRQRKADGLSSTEILTSSSSVNGLINTPSSKECSAEQVPLSRETELEVSGEVLTEEISMETKICVGGLDAELRSSESQISKNPLPSTKSRGNGVNKGRSKVSDSAKSRRANGCKDRGNDRKSVKKNKAKGKSVCDHVFYKVDDDPEIDENGKIDAVEDTAAEEVADLDTPSSGVMEQNLSPDNAWVRCDDCLKWRRIPVRLVESISQTHCQWICKDNMNKAFADCSFPQEKSNAEINAELGISDVDEDGCDAPSNYMELECRQTSVSKEYEFTRITTNQFLHRSRKTQTIDEIMVCYCKAPVAGRLGCGDECLNRMLNIECVQGTCPCGDHCSNQQFQKRNYAKMTWERCGKKGFGLRLDEDISRGQFLIEYVGEVLDVHAYEARQKDYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKMGEEVTFDYNYVRVVGAAAKRCYCGSPQCRGYIGGDPTSTEVVDQVDSDEEFPEPVMLEDGRVGGGLKNKISKTNFFGLSKDREIEFKTAVGNLEVATEIKDLTSQLTPAMSLSPSASEMNGLPGDFSSSSQQVETSPKAEDVMSQPTPAVQQEISMEETMNKSLYSSEKLRTSPTSTPTKILPDDVMINRKSKSAAAENKRVFVKSRFIIKTPHQSSLIKKGKSAGNLININKVQTIASKPQFPLIKPKKLIESTSNGHFEAVQEKLNELLDSEGGISKRKDAPKGYLKLLLLTAASGAIRSGEAIQSNRELSMILDALLKTRSRVVLMDIINKNGLRMLHNIMKQYRRDFKKIPILRKLLKVLEHLAVREILTLEHISGGPPCPGMESFTESMLSLTEHDDKQVHQIARSFRDRWIPRHIRKHSYMDRDDGRMEIHRGSNCNRVSASHNHWHDQGVRHTEALNGVVESNLAMTSGGTAVHEDNSVNRVGSGARTRKRKTRWDQPAVGNIASSSLQHIKQNVNSGLVQQYESNPLLELSKEVPVHVDKAGREYSYCPHCVRNYRWQDESSSADDRKQNIHEDVPPGFSSPINAALASNASSTVADPPQQNVFHLKFPVGMVVGHPQKKFNSRFPVSYGIPLSVMQQLGSPLAETVESWVIAPGMPFHPFPPLPPLPSCKKGTQPSCAVSSMEVDGEADRGQQDSHDPTTCPNESSPSMSGANQPDVNSPCPNDHQTFKRARGFSYDLGRRYFKQQKWNKVSPPWVRNRNGWGCVGDNSRGGMCSTDMGSLTNEQRNS >Potri.005G182100.5.v4.1 pep chromosome:Pop_tri_v4:5:18860675:18874450:-1 gene:Potri.005G182100.v4.1 transcript:Potri.005G182100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182100.v4.1 METKICVGGLDAELRSSESQISKNPLPSTKSRGNGVNKGRSKVSDSAKSRRANGCKDRGNDRKSVKKNKAKGKSVCDHVFYKVDDDPEIGTELFMLHDLIFLWFCSHALMALNIPFCYTKGQEAFCSDMKYRCNHTADENGKIDAVEDTAAEEVADLDTPSSGVMEQNLSPDNAWVRCDDCLKWRRIPVRLVESISQTHCQWICKDNMNKAFADCSFPQEKSNAEINAELGISDVDEDGCDAPSNYMELECRQTSVSKEYEFTRITTNQFLHRSRKTQTIDEIMVCYCKAPVAGRLGCGDECLNRMLNIECVQGTCPCGDHCSNQQFQKRNYAKMTWERCGKKGFGLRLDEDISRGQFLIEYVGEVLDVHAYEARQKDYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKMGEEVTFDYNYVRVVGAAAKRCYCGSPQCRGYIGGDPTSTEVVDQVDSDEEFPEPVMLEDGRVGGGLKNKISKTNFFGLSKDREIEFKTAVGNLEVATEIKDLTSQLTPAMSLSPSASEMNGLPGDFSSSSQQVETSPKAEDVMSQPTPAVQQEISMEETMNKSLYSSEKLRTSPTSTPTKILPDDVMINRKSKSAAAENKRVFVKSRFIIKTPHQSSLIKKGKSAGNLININKVQTIASKPQFPLIKPKKLIESTSNGHFEAVQEKLNELLDSEGGISKRKDAPKGYLKLLLLTAASGAIRSGEAIQSNRELSMILDALLKTRSRVVLMDIINKNGLRMLHNIMKQYRRDFKKIPILRKLLKVLEHLAVREILTLEHISGGPPCPGMESFTESMLSLTEHDDKQVHQIARSFRDRWIPRHIRKHSYMDRDDGRMEIHRGSNCNRVSASHNHWHDQGVRHTEALNGVVESNLAMTSGGTAVHEDNSVNRVGSGARTRKRKTRWDQPAVGNIASSSLQHIKQNVNSGLVQQYESNPLLELSKEVPVHVDKAGREYSYCPHCVRNYRWQDESSSADDRKQNIHEDVPPGFSSPINAALASNASSTVADPPQQNVFHLKFPVGMVVGHPQKKFNSRFPVSYGIPLSVMQQLGSPLAETVESWVIAPGMPFHPFPPLPPLPSCKKGTQPSCAVSSMEVDGEADRGQQDSHDPTTCPNESSPSMSGANQPDVNSPCPNDHQTFKRARGFSYDLGRRYFKQQKWNKVSPPWVRNRNGWGCVGDNSRGGMCSTDMGSLTNEQRNS >Potri.005G182100.6.v4.1 pep chromosome:Pop_tri_v4:5:18860261:18872272:-1 gene:Potri.005G182100.v4.1 transcript:Potri.005G182100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182100.v4.1 MNKAFADCSFPQEKSNAEINAELGISDVDEDGCDAPSNYMELECRQTSVSKEYEFTRITTNQFLHRSRKTQTIDEIMVCYCKAPVAGRLGCGDECLNRMLNIECVQGTCPCGDHCSNQQFQKRNYAKMTWERCGKKGFGLRLDEDISRGQFLIEYVGEVLDVHAYEARQKDYASKGHKHFYFMTLDGSEVIDACAKGNLGRFINHSCDPNCRTEKWVVNGEICIGLFALRDIKMGEEVTFDYNYVRVVGAAAKRCYCGSPQCRGYIGGDPTSTEVVDQVDSDEEFPEPVMLEDGRVGGGLKNKISKTNFFGLSKDREIEFKTAVGNLEVATEIKDLTSQLTPAMSLSPSASEMNGLPGDFSSSSQQVETSPKAEDVMSQPTPAVQQEISMEETMNKSLYSSEKLRTSPTSTPTKILPDDVMINRKSKSAAAENKRVFVKSRFIIKTPHQSSLIKKGKSAGNLININKVQTIASKPQFPLIKPKKLIESTSNGHFEAVQEKLNELLDSEGGISKRKDAPKGYLKLLLLTAASGAIRSGEAIQSNRELSMILDALLKTRSRVVLMDIINKNGLRMLHNIMKQYRRDFKKIPILRKLLKVLEHLAVREILTLEHISGGPPCPGMESFTESMLSLTEHDDKQVHQIARSFRDRWIPRHIRKHSYMDRDDGRMEIHRGSNCNRVSASHNHWHDQGVRHTEALNGVVESNLAMTSGGTAVHEDNSVNRVGSGARTRKRKTRWDQPAVGNIASSSLQHIKQNVNSGLVQQYESNPLLELSKEVPVHVDKAGREYSYCPHCVRNYRWQDESSSADDRKQNIHEDVPPGFSSPINAALASNASSTVADPPQQNVFHLKFPVGMVVGHPQKKFNSRFPVSYGIPLSVMQQLGSPLAETVESWVIAPGMPFHPFPPLPPLPSCKKGTQPSCAVSSMEVDGEADRGQQDSHDPTTCPNESSPSMSGANQPDVNSPCPNDHQTFKRARGFSYDLGRRYFKQQKWNKVSPPWVRNRNGWGCVGDNSRGGMCSTDMGSLTNEQRNS >Potri.007G040200.1.v4.1 pep chromosome:Pop_tri_v4:7:3302104:3309183:1 gene:Potri.007G040200.v4.1 transcript:Potri.007G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040200.v4.1 MEITSTWVSCAAPLLATITLILLGRLIRRRKLNLPPGPKPWPIIGNLNLMGELPHRSLEALSKKYGSLMQVKFGSHPVVVGSSVEMARAILKTHDLSLAGRPKTASGKYTTYNYQNITWAPYGPYWRQARKLCLIELFSPKRLDQFEYIRVEENLKFLNTLFQKRGKPITVRDHFSDLSFSVISRLVLGRKYMAESEDEKDMLSLKELKEVLDEMFLLNGVLVIGDFIPWLAFLDLQGYIKRMKAVAKKMDMFMEHALEEHHARRKGVKDYEPRDMLDILLQVADDPNLEVKLDRIGVKAFTQDLINGGTESSAVTTEWALAEIMKKPEIFDKATEELDRVIGRERWVQENDIDNLPFINAIVKETMRLHPVAPLLVPRLAREDIQIAGYDIPKGTRVLVNASAIGRDPSLWDKPKEFCPERFIGKSVDVKGHDFELLPFGAGRRICPGYPLGLKVIQTSVANLLHEFKWKLPNNMTAKDLNMEEILGLSAPRKVPLVAVLEPRLPSELYSL >Potri.015G117600.1.v4.1 pep chromosome:Pop_tri_v4:15:13195893:13201167:-1 gene:Potri.015G117600.v4.1 transcript:Potri.015G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117600.v4.1 MEGQVAFSDGSSASLVRGEMKLEEDEEDFRSCCEDEEVWKEIEDIVKEEPKEDLDEFSVKMFFKGMSVAEDGGSASGFSGIGVVMERTEYVPVIQVQKKLDFYVDESVADYLALMDGLAEAMQNNIRRVYAFTDSELLYDQITNEEKLEVPLLIALRQRILEHASNLEAFVLKLSPCCDLERPLHLAQVAVGVVSFPSNGSKSHDNCSICCEDKMSPMMITMKCSHKFCSHCMKTYVDGKVQSSQVPIICPQLGCKYCISINECRSFLPLTSYESLENALAEADIHHSDRIYCPYPNCSVLLDHRECLSARVSSSSESDNTCIECPVCRRFICVECGVPWHSSMRCEEYQNLPLEERDAADITLHLLAQNKRWRRCQQCRRMIELSQGCSHMTCWCGHEFCYSCGAEYRNGQQTCQCAFWDEENSEDLVTQSAQESEQWAWETYNSLPMLMDAYSEQERSQLALIQRFLAGGFSLSDHHPYQSPPSCTDSYVDAMKDLHQLPWLERFVSVISDNYYEDYIQ >Potri.015G117600.3.v4.1 pep chromosome:Pop_tri_v4:15:13197127:13197159:-1 gene:Potri.015G117600.v4.1 transcript:Potri.015G117600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117600.v4.1 MKANIGVLIN >Potri.015G117600.2.v4.1 pep chromosome:Pop_tri_v4:15:13196705:13201032:-1 gene:Potri.015G117600.v4.1 transcript:Potri.015G117600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117600.v4.1 MSPMMITMKCSHKFCSHCMKTYVDGKVQSSQVPIICPQLGCKYCISINECRSFLPLTSYESLENALAEADIHHSDRIYCPYPNCSVLLDHRECLSARVSSSSESDNTCIECPVCRRFICVECGVPWHSSMRCEEYQNLPLEERDAADITLHLLAQNKRWRRCQQCRRMIELSQGCSHMTCWCGHEFCYSCGAEYRNGQQTCQCAFWDEENSEDLVTQSAQESEQWAWETYNSLPMLMDAYSEQERSQLALIQRFLAGGFSLSDHHPYQSPPSCTDSYVDAMKDLHQLPWLERFVSVISDNYYEDYIQ >Potri.005G177000.1.v4.1 pep chromosome:Pop_tri_v4:5:18280670:18288895:1 gene:Potri.005G177000.v4.1 transcript:Potri.005G177000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177000.v4.1 MDRSADGDGPVAVAAEEIPQPERRSVRRRLVQSTLFPHKSPENSELKLDKKCNGEDDDYQAKEYRGSQNKKKRTRKGKTTPQTRTPKKSKLLPHKSPETESSCDQKRRKECNAVCGDGESEGEEYCGIQKKDTRKGKATPQSITPKKSREKSLMNGTPKKNGSSNGKGIGNLIENKDASPPIPNLRLEAKMTAEENSRLLAGKQIHPFFSSWKVSKRCNKTTESESNYCLAKIKDKNINIGPIHVFERDQDDARPLDWSDWKVCEEPFTNSSCNLEGPVSSKFEGFIGSLDINDFPCASHPPSTSLLQDNASLDQCLCRQEFVCEAPAIFSSTSSDVQDCKVVEVGFFSGCTRKSDAKQQSDLLQERTDSSYLSCTNQLEDRLWMDKYQPKKATEVCGNDESVKVLSEWLCSWKQRGHQASTDTFSGDVCDRQDADYTCSQSDSDSENNNEGASLKNVLLITGPTGSGKSAAIYACAKEEGFKVLEVNASECRNGAVVKQRFGEALESHSLEWSQEIHVEPQSNKIAKFPSALPDGKLTPDSDSKMIEVMPISNKDNSLGATEATTNKCASKESTIACGRGQLKHLILFEDVDITFTEDRGFVSAIQQIAEKAKGPVILTSNSENPVLPASLDRLEVSFMMPSEKELLQHAYMVCSAEKVNIQSHLLEQVVEYCQGDIRKTILHLQFWFQGKQIRKLLPGREAPRLFGPLKFDLEAGHRVLPKMMPWNFPSYLSELVEKEITNSLSMMEEENSVSMEVIEEDFEDKEMQNNSKIHNYGKYSIEAKKEAMLNQNCSDHDCDHFEIPFDAIYDVFDSLGTPVSFSQRKSRRKLNVVMSSDSEDEIVNDRVPLIGDRDTNSKFTLEADGAFPSHCPSTQNCLSPSTDLQLCSGLEKLDENCSQCPDIAVDLHVKETSISVDVSCVPESTFVPETQINGGTEVSFSRVYCTSVADTLEEVSVSNEFNQNLCPVETENLDKFVPILQHNSDMLGSTCDVIAESSHEEVEDSQNEHAESITREYQVLDECSRMDFNKKPKPAEKFQSCMMTDLVRESWRKLRDRHIDLRHFVTSEVKDATGIIELAYGMSNLISEAELLLSKHQTLDSSDVLDAFSWSDEHLQMSSTIARQGFCFYAKELANAGLKMGLESKVDFTWEMLSTASMMEFGNLVRRNLSSKSSHSGMSTEMSLPENGTSSNSEMKSSLRDIIESIVPSRAYMTMKGDAFYEYQSSLCHIARSEASRFSASIVRTKGRRARASRNYLSNGSLMLSPEEISLLGQSNIYSKIPSQSMDATHRTI >Potri.005G177000.2.v4.1 pep chromosome:Pop_tri_v4:5:18282531:18288985:1 gene:Potri.005G177000.v4.1 transcript:Potri.005G177000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177000.v4.1 MDKYQPKKATEVCGNDESVKVLSEWLCSWKQRGHQASTDTFSGDVCDRQDADYTCSQSDSDSENNNEGASLKNVLLITGPTGSGKSAAIYACAKEEGFKVLEVNASECRNGAVVKQRFGEALESHSLEWSQEIHVEPQSNKIAKFPSALPDGKLTPDSDSKMIEVMPISNKDNSLGATEATTNKCASKESTIACGRGQLKHLILFEDVDITFTEDRGFVSAIQQIAEKAKGPVILTSNSENPVLPASLDRLEVSFMMPSEKELLQHAYMVCSAEKVNIQSHLLEQVVEYCQGDIRKTILHLQFWFQGKQIRKLLPGREAPRLFGPLKFDLEAGHRVLPKMMPWNFPSYLSELVEKEITNSLSMMEEENSVSMEVIEEDFEDKEMQNNSKIHNYGKYSIEAKKEAMLNQNCSDHDCDHFEIPFDAIYDVFDSLGTPVSFSQRKSRRKLNVVMSSDSEDEIVNDRVPLIGDRDTNSKFTLEADGAFPSHCPSTQNCLSPSTDLQLCSGLEKLDENCSQCPDIAVDLHVKETSISVDVSCVPESTFVPETQINGGTEVSFSRVYCTSVADTLEEVSVSNEFNQNLCPVETENLDKFVPILQHNSDMLGSTCDVIAESSHEEVEDSQNEHAESITREYQVLDECSRMDFNKKPKPAEKFQSCMMTDLVRESWRKLRDRHIDLRHFVTSEVKDATGIIELAYGMSNLISEAELLLSKHQTLDSSDVLDAFSWSDEHLQMSSTIARQGFCFYAKELANAGLKMGLESKVDFTWEMLSTASMMEFGNLVRRNLSSKSSHSGMSTEMSLPENGTSSNSEMKSSLRDIIESIVPSRAYMTMKGDAFYEYQSSLCHIARSEASRFSASIVRTKGRRARASRNYLSNGSLMLSPEEISLLGQSNIYSKIPSQSMDATHRTI >Potri.010G072700.1.v4.1 pep chromosome:Pop_tri_v4:10:10093753:10095522:1 gene:Potri.010G072700.v4.1 transcript:Potri.010G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072700.v4.1 MDLVRKSYGSQSLPPMTSPVGGSSIFGPRTQSSDTSFPIIAIAIIGILATALLLVSYYIFVIKCCLNWHRIDLLRRFSLSRNRNHEDPLMAYSPSAIESRGLDESVIRSIPVFKFKKEGNNVRNVGERSFCECAVCLNEFQEAEKLRRIPNCSHVFHIDCIDVWLQSNANCPLCRTSISSTTRFPIDHIIAPSSTPHDANPYSESVMGGDEDYVVIELSNHNSTDQTLLAAQERLNSGELSARSISPSPRKIEQGVGHKKARNLNKVTSMGDECIDTRGKDDQFGLIQPIRRSFSMDSSADRQLYLSIQEIVQQSRQVTEVSSVEGCSGRARRAFFSFGHGRGSRSSVLPVYLEP >Potri.001G446650.2.v4.1 pep chromosome:Pop_tri_v4:1:47157786:47163606:1 gene:Potri.001G446650.v4.1 transcript:Potri.001G446650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G446650.v4.1 MAIESVGGSIVSKIAELLVEPTVRQFRYMFCFNNFVQEFDEQMMNLALAFYPLQDAVDVAKRNAEEIEINVNTWLEKAKNEIEGVNHLQNEKGKIGKCFTWCPNPTRQLKLSKALAKKTETLRKLEANSKFPKVSYKPPLQGIEFLPSEELTPSESSKAAFEQIMKALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAKESKLFDEVLMATLSQNPNFIDIQDRMADSLGLHFGEKTKEGRADRLWQRLKTEKKMLIILDDVWKVINLKEIGIPFGDAHRGCKILLTTRLENICSSMKCQPKVFLSLLSENEAWGLFKINAGLHDEDSTLNTVAKEVARECKGLPIALVTVGRALRDKSAVEWEVASKELKNSQFRHMDELDEQENAYACLKLSYDYLKHEKAKLCFLLCCLFPEDYDIPIEELTRYAVAYGLHQDVESIEDARKRVCVAIKNLKACCMLLGTESEEYVKMHDLVRDVAIQIASSEKYGFMVKAGFELKEWPVSNKSFEACTVISLMGNKLTELPEGLVCPQLKVLLLGLDDGLNVPERFFEGMKEIEVLSLKGGCLSLQSLQFSTNLQSLLLIECECKVLIWLRKLQRLKILGFIGCGSVEELPDEIGELKELRLLDLTGCRFLKRIPVNLIGRLKKLEELLIGDGSFEGWDVVGCDSTEGMNASLTELNSLSHLAVLSLKIPKVECIPKDFVFPRLLEYDIVLGDRYYLFYKKHTASTRLYLGDINAASLNAKTFEQLFPTVSHIDFWRVESLKNIVLSSDQMTTHGHWSQKDFFQRLEHVEVSACGDIRTLFQAKWRQALKNLRSVEIDHCESLEEVFELGEADEGMNEEEELPLLPSLTTLRLLHLPELNCIWKGLTRHVSLQNLIFLELHYLDKLTFIFTPFLAQCLIHLETLRIGDCDELKRLIREEDGEREIIPESLGFPKLKTLSISRCDELEYVFPVSVSPSLQNLEEMEIDFADNLKQVFYSGEGDDIIVKSKIKDGIIDFPQLRKLYLSKCSFFGPKDFAAQLPSLQCLSICGHEEGGNLLAQLRVGFMNLKKISIKNMEGVQDLMQVGCLVTNRRGGHELSLLSSETLHLNLLPDLRCIWKGLIPNNLTTLEVKNCDRLTHVFTTNMIASLVQLNVLEISNCEELEQIIAKDNEDENNQIFSGSDLQSSCFPNLCRLEITGCNKLKSLFPVAMTSGLKRLQILKVKESSQLLGVFGQDDHASPANVEKEMVLPDLEWLILEKLPSIVYFSHGCCDFIFPCLRRLEVRQCPKLTTKFATTSNGSMSAQSEVSQAVEDSSTGCSVPTRTYRIWTPYNGWEEKKEEDVVIMIESDD >Potri.003G061200.1.v4.1 pep chromosome:Pop_tri_v4:3:8762294:8762863:1 gene:Potri.003G061200.v4.1 transcript:Potri.003G061200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061200.v4.1 MTGNSLKSILVTLFIFAMVLSPILPSAEAGRLNHRGLQSGRPICPACVCCSPPPPGSCCPCCSTPIETESTTGSP >Potri.013G017300.2.v4.1 pep chromosome:Pop_tri_v4:13:1081743:1084011:-1 gene:Potri.013G017300.v4.1 transcript:Potri.013G017300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017300.v4.1 MAENDVNMQQQLAREEEERRLKYLQFVQVAAVHAVLTFTNLYIYAKDKAGPLKPGVETVEGTVKSVVGLVYDKFRDVPIEVLKFVDRKVDESVTSLDTHVPPLVKQVSFQALSAAQNAPVAARAVASEVQRSGVKGTASELAKTVYAKYEPTAKELYSKYEPKAEQAAVSAWRKLNKLPLFPQVAQVVVPTAAFCSEKYNQTVVSTAEKGYRVSSYLPLVPTEKIAKVFGEVPESTPLISS >Potri.011G066100.6.v4.1 pep chromosome:Pop_tri_v4:11:5656275:5661372:-1 gene:Potri.011G066100.v4.1 transcript:Potri.011G066100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066100.v4.1 MLTKSSYLAGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPTFFRRASSNATEPDAVKVPKDKRARETKKKHSSQRNRDSAHHASMSNHSGRMQFTTPTINGQTTPSHTASTTDMTLKYDAGDPSNSFDSRTGSGYVECVFQLNSSVRAEEDGLKEFSSSFMKRNDVRGSVFPDRQPDIADNNFHHISSPEQIAALSSSCVTWDEKAEIVEPRGQHGDEVSEMHAAESDLDTQDGLTANLKNPNPQNIVLDDANTPKSSSSNSQLDEVESEPDDFMDALNTIESESENDSDCQTKHEVEQFSSNVDNEVEETIHKVTAHISDHHPSEYESRTLSTSSNENIPCESPNSVSSKSFAHEQEYHISGKSSKLDSSPGIKFSTSADAIDNSKVEFVSDPSSSVSATSILNVQEPLSNKSIRSSNRSQESQADFSSVHSTTFWTNGGLLGLEPSKPPDFAVSNATSPDYVTRSEGEAGLPPNHTSMSSNDGGAPGRLIKDAGNMERVPSSKGSSSLQEDQGTKVEKPGDFHHGNKYSPSYEDGQKITTAVTPGNESQHDSDSKVTPIESSQDNDENSSQRHGFGHGLLVNGFSRKMSLVHDGECEPTRLLRTSTLEQQSWRNEVTHQATPEKAYNEQFGSKYSIDSLMSSPPLEHMKISFHPINGFEDSKLKLKFPDGNHCNASIRDMFPSFQLIPETAIPPRHVGSESDDDTFCRSSPYMSDDCLSHDSESHSDQWESDESPESKDHELYDASRRIFPGESFSSSPQPGEAGNNGICVDRGLPGMYTENGADDLSASLDLPCFDAMNPVVNGKTRDNLVQTNQIELEHLNDSTPLPPPLPPVQWRVSKPHSGISEGKQHSLSKVHEHAFDIKPLESTVPQQPKPAPAVEQKMKEDTIAFKPKSKQQDQQEFNCQKEANQSANGKDIDEKEDFLHQIRTKSFTLRRTATAKPTTLFSGPTASNKVSAILEKANAIRQAVASDDGEDDDTWSDT >Potri.011G066100.5.v4.1 pep chromosome:Pop_tri_v4:11:5656284:5663166:-1 gene:Potri.011G066100.v4.1 transcript:Potri.011G066100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066100.v4.1 MSTASRSHKLMVRVQNIEVALPPLEKVVLSQTSHIHFAYTAGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPTFFRRASSNATEPDAVKVPKDKRARETKKKHSSQRNRDSAHHASMSNHSGRMQFTTPTINGQTTPSHTASTTDMTLKYDAGDPSNSFDSRTGSGYVECVFQLNSSVRAEEDGLKEFSSSFMKRNDVRGSVFPDRQPDIADNNFHHISSPEQIAALSSSCVTWDEKAEIVEPRGQHGDEVSEMHAAESDLDTQDGLTANLKNPNPQNIVLDDANTPKSSSSNSQLDEVESEPDDFMDALNTIESESENDSDCQTKHEVEQFSSNVDNEVEETIHKVTAHISDHHPSEYESRTLSTSSNENIPCESPNSVSSKSFAHEQEYHISGKSSKLDSSPGIKFSTSADAIDNSKVEFVSDPSSSVSATSILNVQEPLSNKSIRSSNRSQESQADFSSVHSTTFWTNGGLLGLEPSKPPDFAVSNATSPDYVTRSEGEAGLPPNHTSMSSNDGGAPGRLIKDAGNMERVPSSKGSSSLQEDQGTKVEKPGDFHHGNKYSPSYEDGQKITTAVTPGNESQHDSDSKVTPIESSQDNDENSSQRHGFGHGLLVNGFSRKMSLVHDGECEPTRLLRTSTLEQQSWRNEVTHQATPEKAYNEQFGSKYSIDSLMSSPPLEHMKISFHPINGFEDSKLKLKFPDGNHCNASIRDMFPSFQLIPETAIPPRHVGSESDDDTFCRSSPYMSDDCLSHDSESHSDQWESDESPESKDHELYDASRRIFPGESFSSSPQPGEAGNNGICVDRGLPGMYTENGADDLSASLDLPCFDAMNPVVNGKTRDNLVQTNQIELEHLNDSTPLPPPLPPVQWRVSKPHSGISEGKQHSLSKVHEHAFDIKPLESTVPQQPKPAPAVEQKMKEDTIAFKPKSKQQDQQEFNCQKEANQSANGKDIDEKEDFLHQIRTKSFTLRRTATAKPTTLFSGPTASNKVSAILEKANAIRQAVASDDGEDDDTWSDT >Potri.011G066100.7.v4.1 pep chromosome:Pop_tri_v4:11:5656273:5660460:-1 gene:Potri.011G066100.v4.1 transcript:Potri.011G066100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066100.v4.1 MTLKYDAGDPSNSFDSRTGSGYVECVFQLNSSVRAEEDGLKEFSSSFMKRNDVRGSVFPDRQPDIADNNFHHISSPEQIAALSSSCVTWDEKAEIVEPRGQHGDEVSEMHAAESDLDTQDGLTANLKNPNPQNIVLDDANTPKSSSSNSQLDEVESEPDDFMDALNTIESESENDSDCQTKHEVEQFSSNVDNEVEETIHKVTAHISDHHPSEYESRTLSTSSNENIPCESPNSVSSKSFAHEQEYHISGKSSKLDSSPGIKFSTSADAIDNSKVEFVSDPSSSVSATSILNVQEPLSNKSIRSSNRSQESQADFSSVHSTTFWTNGGLLGLEPSKPPDFAVSNATSPDYVTRSEGEAGLPPNHTSMSSNDGGAPGRLIKDAGNMERVPSSKGSSSLQEDQGTKVEKPGDFHHGNKYSPSYEDGQKITTAVTPGNESQHDSDSKVTPIESSQDNDENSSQRHGFGHGLLVNGFSRKMSLVHDGECEPTRLLRTSTLEQQSWRNEVTHQATPEKAYNEQFGSKYSIDSLMSSPPLEHMKISFHPINGFEDSKLKLKFPDGNHCNASIRDMFPSFQLIPETAIPPRHVGSESDDDTFCRSSPYMSDDCLSHDSESHSDQWESDESPESKDHELYDASRRIFPGESFSSSPQPGEAGNNGICVDRGLPGMYTENGADDLSASLDLPCFDAMNPVVNGKTRDNLVQTNQIELEHLNDSTPLPPPLPPVQWRVSKPHSGISEGKQHSLSKVHEHAFDIKPLESTVPQQPKPAPAVEQKMKEDTIAFKPKSKQQDQQEFNCQKEANQSANGKDIDEKEDFLHQIRTKSFTLRRTATAKPTTLFSGPTASNKVSAILEKANAIRQAVASDDGEDDDTWSDT >Potri.011G066100.1.v4.1 pep chromosome:Pop_tri_v4:11:5656284:5663140:-1 gene:Potri.011G066100.v4.1 transcript:Potri.011G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066100.v4.1 MPLVRFEVRNELRLGQGELCREANREEDPKAVLDAVAAAALVGILRQLGDLAEFAAEVFHGLQEQVMSTASRSHKLMVRVQNIEVALPPLEKVVLSQTSHIHFAYTAGSEWHPCIQNEQNHFIYNDLPRFIMDSYEECRDPPRLHLLDKFDTGGPGSCLKRYSDPTFFRRASSNATEPDAVKVPKDKRARETKKKHSSQRNRDSAHHASMSNHSGRMQFTTPTINGQTTPSHTASTTDMTLKYDAGDPSNSFDSRTGSGYVECVFQLNSSVRAEEDGLKEFSSSFMKRNDVRGSVFPDRQPDIADNNFHHISSPEQIAALSSSCVTWDEKAEIVEPRGQHGDEVSEMHAAESDLDTQDGLTANLKNPNPQNIVLDDANTPKSSSSNSQLDEVESEPDDFMDALNTIESESENDSDCQTKHEVEQFSSNVDNEVEETIHKVTAHISDHHPSEYESRTLSTSSNENIPCESPNSVSSKSFAHEQEYHISGKSSKLDSSPGIKFSTSADAIDNSKVEFVSDPSSSVSATSILNVQEPLSNKSIRSSNRSQESQADFSSVHSTTFWTNGGLLGLEPSKPPDFAVSNATSPDYVTRSEGEAGLPPNHTSMSSNDGGAPGRLIKDAGNMERVPSSKGSSSLQEDQGTKVEKPGDFHHGNKYSPSYEDGQKITTAVTPGNESQHDSDSKVTPIESSQDNDENSSQRHGFGHGLLVNGFSRKMSLVHDGECEPTRLLRTSTLEQQSWRNEVTHQATPEKAYNEQFGSKYSIDSLMSSPPLEHMKISFHPINGFEDSKLKLKFPDGNHCNASIRDMFPSFQLIPETAIPPRHVGSESDDDTFCRSSPYMSDDCLSHDSESHSDQWESDESPESKDHELYDASRRIFPGESFSSSPQPGEAGNNGICVDRGLPGMYTENGADDLSASLDLPCFDAMNPVVNGKTRDNLVQTNQIELEHLNDSTPLPPPLPPVQWRVSKPHSGISEGKQHSLSKVHEHAFDIKPLESTVPQQPKPAPAVEQKMKEDTIAFKPKSKQQDQQEFNCQKEANQSANGKDIDEKEDFLHQIRTKSFTLRRTATAKPTTLFSGPTASNKVSAILEKANAIRQAVASDDGEDDDTWSDT >Potri.001G112000.1.v4.1 pep chromosome:Pop_tri_v4:1:9044860:9046704:-1 gene:Potri.001G112000.v4.1 transcript:Potri.001G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112000.v4.1 MGFESSNGTASPKLLKFLIYGRTGWIGGLLGKLCQSQGIDFTYGSGRLENRPSLEADLVAVNPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADLCREKGLVLINYATGCIFEYDSSHPLGSGIGFKEEDTPNFIGSFYSKTKAMVEDLLRNYENVCTLRVRMPISSDLANPRNFITKITRYEKVVDIPNSMTILDELLPISIEMAKRNLTGIYNFTNPGVVSHNEILEMYRDYIDPDFTWKNFTLEEQAKVIVAPRSNNELDTAKLKQEFPELLPIKESLIKYVFKPNQKTAAA >Potri.019G052300.1.v4.1 pep chromosome:Pop_tri_v4:19:8743036:8746825:1 gene:Potri.019G052300.v4.1 transcript:Potri.019G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052300.v4.1 MEEPDTEMEWDEMMKRSEENNRCGNVSWILNKGLSVGKMILVTGFVISSAPVFLLPFVVVSAIGFACSVPYGLFLASYALTEQLMSKLLPASSHISLEYYGTNKNIIVDDYREDDACADQFGGDIIDMGKEGEPKLSVEVNSARGEMIFDKENDYEVPQGFWKDEKHPLDDKNNATLVDENGYEEDVEDQDHKPPSKVQQAEEEKPVIEQGREAIVGASQVMIVINEGDEGSGSNLRKKEEAPYELARSLAVNLSQDHDKDEDKERVIEMVSDVLMEKQPIQDVRGLLEKKDFGDSTKGGAQGNVAYVKQNLQLIREKELVVTPPNEDAREIADESGLDLFDDKQAVGPQCSYADYRIPEGSEQLSYKAYEVTLPTTVDDSKCTGITSENDIHLAAGNVKVLYSEDKIWKQIRAVRTIVGYKASVRGTCIDELKALYVFTGVEPPASFRDPSDLAEVNDKLKFLMTIVGVK >Potri.018G038900.1.v4.1 pep chromosome:Pop_tri_v4:18:3084317:3086459:-1 gene:Potri.018G038900.v4.1 transcript:Potri.018G038900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038900.v4.1 MTSTTAATQIHALTATGFSTKPTNLSPSTVFFSQSLTKTTHSFGLIATTPTISRFPVSTNAKANPTGEQKWTHEGSVTESLPNGMFRVRLDNKDLIIGYISGKIRKNFVRILPGDRVKVEVSRYDSSRGRIVYRLRNRDPTSE >Potri.013G079000.2.v4.1 pep chromosome:Pop_tri_v4:13:6767750:6772147:1 gene:Potri.013G079000.v4.1 transcript:Potri.013G079000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079000.v4.1 MSSKTRSGLSETPSKPSPATPRVSKLSRGVAKSESDSPSPLQSSRLSVDRSPRSINSKPTIDRRAPKVTTATPPEKPKTRVVKGSELQAQLNAVQEDLKKAREQIEFIEKERAQAIDELKQAQKAAEDANEKLQEALVAQKRAEENSEIEKFRAVELEQAGIEDAQKKEEEWQKELEAVRSQHALDVTALLSTTQELQRLKQELAMITDAKNQALSHADDATKIAEIHAEKVEMLSSELTRLNVLLDSKLETEAIESNKIVLQLNEEIDSLKQQLEKSEDFEDKLIEREAFIEQLNVELEAAKMAESYACNLVEEWKNRVEELEMQAEEANKLERSASESLGSVMKQLEANNDLLHDAETEIAALKEKVGLLEMTIRRQKGDLEESEHSLGMVKEEASVMVKKVESLMSELETVKEEKAQALNNEKLAASSVQSLLEEKNKLITELENSRDEEEKSKKAMESLASALHEVSAEAREAKERLVSNQVEHENYETQIEDLRLVLKATNEKYETVLDDAKHEIDLLRNTVEESKNQFQNSKAEWDQKEKNLGNYLRKSEEENSSLEKEIDRLVNLLTHTEEEACGMRDEEAHLKDSLKEVEAEVISLQEALGEARVESMKLKESLLDKENEFQNIFQENEELRTKEASLHKKVEELSKLLEEAMAKKQVEENGELTDSEKDYDLLPKVVEFSEENGHVREEKPTMELPLQLSNELNTENAQEQINGATNKAAQMDAHKLENVNGNPKEDESKEKEDDSVEVEFKMWESCKIEKKEFSPERETEHESSFEDEADSKVDGGESFDQINGLSSTENVDDGGSSPSKQQQQKKKKPLLRKFSNLLKKKGTSNQK >Potri.012G126800.1.v4.1 pep chromosome:Pop_tri_v4:12:14306489:14308558:-1 gene:Potri.012G126800.v4.1 transcript:Potri.012G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G126800.v4.1 MATKLFISLLFICFCSLLSSSVASNHDHDQIDYWCNKTPNPEPCKYFMKQNPKHFVPQQKSDFRKLAIELSMQRAHTALSHNKGLGSKCRNEKERAAWADCLSLYEDTIVELNHTLDSHTKCTDFDAQTWLSTALTNLETCKAGFKDFGVSDFMLPLMSNNVSKLIRNSLALKDNASSNPPQTYNDGFPSWVKPGDRKLLLASSSTSNLVVAQDGSGNHRTIKAALDAAAKRSGSGRFVIRIKSGVYRENLDIGKNLKNIMLVGDGLKNTIITGSRSVGGGSTTFNSATVAVTGGGFIARGITFRNTAGPQNHQAVALRSGADLSVFYRCGFEGYQDTLYVHSQRQFYKECDIYGTVDFIFGNAAVVLQNCMIYARRPMDKQKNVVTAQGRTDANQNTGISIHNSRVMASSDLRPVLSSFKTFLGRPWKEYSRTVFLQTYLDSLVDAAGWLEWDGNFALNTLYYGEYRNSGPGASTSGRVKWRGYRVITSATEASRFTVANFIAGRSWLPATGVPFSSGL >Potri.003G019600.1.v4.1 pep chromosome:Pop_tri_v4:3:2022929:2027966:1 gene:Potri.003G019600.v4.1 transcript:Potri.003G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019600.v4.1 MPHFVLQTAQIPTPTTKTTLSLKPTFPIPQLCHLRRRVRSNRNLDLKCSSSSSSSSSSCPMIDGGGDSVAALERCFSAPPAPVVGDFGPVMKGKFGSLGAVTLEKGKLDMSQKQSQSTPEVALGGGGGDIGKHINHGGGDGGDDDGDDDDYFDDFDDGDEGDEGGLFRRRIFLEELYDRKFVDAVLNEWHKTMMDLPAGFRQAYEMGLVSSAQMVKFLAINARPTTTRFISRALPQAISRAFIGRMIADPAFLYRLLLEQAATVGCSVWWELKNRKDRIKQEWDLALINVLTVTACNALVVWSLAPCRSYGNTFQFDLQNTLQKLPNNIFEKSYPLREFDLQKRIHSFFYKAAELCMVGLTAGAMQGSLTNLLARKKDRLSVTIPPVSTYALGYGAFLGLYANLRYQLLCGIDRAVVNHFDVIGVALVFSTALRILNAQVGETSRLAWLGLEPDPLVQSDDLLKAYNRSSSGDATESSSKWFISKKALVSGLGLLGIKQGNADSIIGESPAPKVRRKRVVRKKVSASEA >Potri.004G118900.1.v4.1 pep chromosome:Pop_tri_v4:4:11252785:11259163:1 gene:Potri.004G118900.v4.1 transcript:Potri.004G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118900.v4.1 MAWKRLLTLSRRSHRPLSTTASRSFSDAAVSTASPLTSPTPPPPTAMIYDRLAESVKSKLKILENPDPRFLKYGSPHPTLKTHTHILSAPETRITTLPNGLRVATESNLASKTATVGVWIDAGSRFESDETNGTAHFLEHMIFKGTEKRGVRELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVNKALDILADILQNSTFDEERMSRERDVITMEMKEVEGQTEEVIFDHLHATAFQYSPLARTILGPAKNIETISRDDIRNYIQTHYTAPRMVIVASGAVKHEEFVGEVKKLFTKLSSDQTTAAQLVAKDPAFFTGSEVRIIDDDIPLAQFAVAFQGASWTDPDSIALMVMQAMLGSWNKSAGGGKHMGSELVQRVAIDEIAESMMAFNTNYKDTGLFGVYAVAKPDSLDDLAWAIMHETTKLCYRVSEAEVTRACNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPFAELFARIDAVGPSTIKRVASRFIHDQDIAIAAMGPIQGLPDYNWFRRRTYLNRY >Potri.005G119300.1.v4.1 pep chromosome:Pop_tri_v4:5:8767406:8768216:-1 gene:Potri.005G119300.v4.1 transcript:Potri.005G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119300.v4.1 MASSSGASSGSTAMLRNSSSEEGPQQIMDPRKRKRMLSNRESARRSRMRKQKHLDDLTGQLRQLARENNEILTRMNVISQLYMNIEAENSILRAQMAELTHRLDSLNEIIEYANFSDGLFEPEDAVASVSATSHQIGDGFFMNPWNNANSHVNQPIMDMVMY >Potri.016G059000.1.v4.1 pep chromosome:Pop_tri_v4:16:4021685:4024061:1 gene:Potri.016G059000.v4.1 transcript:Potri.016G059000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059000.v4.1 MELALSLGDTSKPFKFLDKTPKLSSKDLGFCMGLGSGFPATTRSQDKLGSHESNYQDDERRVSSDPPLQLDLLPFSPVPRGHQAPSRIRFPWLTDNLVSEPGSTEAPGRRFDVNRLSMDDADEGAAISSPNSAASSFQMDFGIRSGRDRKRDLDAIDAERASSRASDDDENGLTRKKLRLSKEQSAFLEESFKEHNTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATTTTSSSGTTTTTTTTNPSTTTSTTSSKPLSLPAKPRLFPLSHGQVQPHHAAS >Potri.003G153332.1.v4.1 pep chromosome:Pop_tri_v4:3:16589334:16590052:1 gene:Potri.003G153332.v4.1 transcript:Potri.003G153332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G153332.v4.1 MVLESFNSHHVNNPGHLTCAKLSARLAALVNNGRCISLAATYCVNGRCCHSSLAGACGLPFDMNIWQQPNKFQICYGIWGLDRCLHLEVDSFMFRLW >Potri.007G071350.1.v4.1 pep chromosome:Pop_tri_v4:7:9320097:9322510:-1 gene:Potri.007G071350.v4.1 transcript:Potri.007G071350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071350.v4.1 MAQEHAYSSAVEKLLNCEVPLRAQYIRVLFHEITRICPSISYCLSANFTLKNTTTCNEM >Potri.017G125200.1.v4.1 pep chromosome:Pop_tri_v4:17:12931427:12934199:1 gene:Potri.017G125200.v4.1 transcript:Potri.017G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125200.v4.1 MKRDHQETIGGAGNSIGNKAESSSSSMATGKGKLWVEDDQDAGGMDELLAVLGYKIKSSEMADVAQKLEQLEMVLGSEDGISHLASDTVHYNPSDLSGWVQSMLSELNNLPSSDLDSSTLLSNNQDSNPSTMTSLDFPNNSQSKAFVDDSEYDLRAIPGVAAYPQQEFDKSNDRKRMKLTLVGSNTAPTLAVNSLQSSNSSCTPSSPQAIMAVSGTLSEPTRPVVLIDSQETGVRLVHTLLACAEAIQQENLKLADALVKHIGVLAASQAGAMRKVATYFAEALARRIYKIFPQDHCLDSSYSDTLEMHFYETCPYLKFAHFTANQAILEAFANASRVHVIDFGLKQGMQWPALMQALALRPGGPPAFRLTGIGPPQPDNTDALQQVGWKLAQLAQTIGVEFEFRGFVASSLADLEAEMLDLRPPEVEAVAVNSVFELHRLLDRPGGIDKVLGSIKAMRPKIVTIVEQEANHNGPVFLDRFTEALHYYSSLFDSLEGSGVTPTSQDLVMSELYLGRQICNVVACEGADRVERHETLAQWRTRFDSAGFDPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWQLAAGDSRLRVNSAEFELPSQ >Potri.007G023200.2.v4.1 pep chromosome:Pop_tri_v4:7:1773591:1776545:-1 gene:Potri.007G023200.v4.1 transcript:Potri.007G023200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023200.v4.1 MAMVSMSFSLPNLNLRRVNRYSGMAFASVNKETSTTVGVRVTEGEGNLPKVVLTSPHGSEAEIYLFGGCLTSWKVPNGKDLLFVRPDAVFNKKKPISGGVPHCFPQFGPGAIQQHGFARNLDWSVVDSDNADGNPVVTLLLKDGPYSRSMWDFGFQALYKVILNSKSISTELTITNTDNKPFSFTSALHTYFSASVTGASVKGLKGCKTLDKELDPTNPVEGKEERDVVIFPGFVDRVYLDAPSELQLDNGLGDVITIKNTNWSDTVLWNPHLQMEACYKDFVCVENAQIGNVQLEPQQSWTAKQHLSID >Potri.012G097901.2.v4.1 pep chromosome:Pop_tri_v4:12:12181142:12182011:1 gene:Potri.012G097901.v4.1 transcript:Potri.012G097901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097901.v4.1 MEEGYQKELQLLPSQLSIAASSSSSSLMSSRPMDSSLRYRPVASDHHQHHQFGGPSLDLQLSISVGPIQGPSNCVLTGPICDFSDAKTDTSCVETLKWQAAEQIKLAAIEKAYAERVRELTRREMELAQSEFAKARHMWQRAREEVEKAESMKEKATRKIDSTCMEITCQSCRQRLKP >Potri.008G145500.5.v4.1 pep chromosome:Pop_tri_v4:8:9908177:9910463:1 gene:Potri.008G145500.v4.1 transcript:Potri.008G145500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145500.v4.1 MSTGLTVKASFTKGGPGVLERPTFDQSQFDPSSQVLEGGDIGRLRDKRGVGSGDSYRVLLIDDSRHSESLVAKVLPRAVPSVTPEDARKLFHESRENGVAVVIVTVKEHAEFYSQMMIRGGLRSVIEPDSSNV >Potri.018G043500.1.v4.1 pep chromosome:Pop_tri_v4:18:3450888:3455217:-1 gene:Potri.018G043500.v4.1 transcript:Potri.018G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043500.v4.1 MTYTSTMAFHVNCSVHSRPSPFENIDRFKNRLSSSYKPLLKELQQLPMQLDVSKSIRNASVKLLDAFVDSLFQFADQPVLPSQSNFAPVDELNEPLAITSIEGKVPYDFPEGVYIRNGPNPLFGGLKSTSSVFGKTGHMWIEGEGMLHAVCFDKESDGGETWTVLYNNRHVETETYKIEKQRDKPSFLPAIEGSPPAILLAYLLNMLRFGKVNKDLSNTNVFEHSGKFYSIAENHIPQEIDIFSLQTLGDWDINGTWHRPFNSHPKRAPGTGELVVFGVDAMKPFMELGVVSADGKRLVHKVDLKFDRCTLSHDMGVTERYNVIMDFPLTIDIQRLIKGGPLIKFEKEEYARIGIMPRYGNADSIRWFEVEPNCTFHILNCFEEGDEVVVRGCRSLESIISESCDVDLDKFEWVSGRLRSKDPVDQQDAKHFKNDELLFCRSYEWRLNMETGEVKERNLTGTLLSIEFPMINPNFNGVKNKFGYAQTVHGLASATSGMPKFGGLAKLYFEETANKEWEESEGHIKVEYHEFVGNTFCTGAAFVPKEGGLEEDDGWIITFVHDEDTDTSKVYIIDTKNFTSEPVAKITLPCRVPYGFHGAFMPTPSHK >Potri.001G334700.2.v4.1 pep chromosome:Pop_tri_v4:1:34306583:34309071:1 gene:Potri.001G334700.v4.1 transcript:Potri.001G334700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334700.v4.1 MDFSFHLLAVSTVLALVLWYTLRRVRESRRKTEKGLQPPEPSGALPLIGHLHLLGAQKTLARTLAAMADKYGPIFTIRLGKHPTVVVSNLEAIKECFTTHDRILSSRPRSSHGEHLSYNYAAFGFNNSGPFWREMRKIVTIQLLSSHRLKSLRHVQVSEVNTLINDLYLLSKSNKQGSTKIDISECFERMTINMITRMIAGKRYFSSTEAEKEDEGKRIGKLMKEFMYISGVFVPSDVIPFLGWMNNFLGSVKTMKRLSRELDSLMESWIQEHKLKRLESTENTNKMEDDDFIDVMLSLLDDSMFGYSRETIIKATAMTLIIAGADTTSITLTWILSNLLNNRRSLQLAQEELDLKVGRERWAEDSDIGNLVYIQAIIKETLRLYPPGPLSVPHEATKDFCVAGYHIPKGTRLFANLWKLHRDPNLWSNPDEYMPERFLTDHANVDVLGHHFELIPFGSGRRSCPGITFALQVLHLTFARLLQGFDMKTPTGESVDMTEGVAITLPKATPLEIQITPRLSPELYYEC >Potri.001G334700.1.v4.1 pep chromosome:Pop_tri_v4:1:34306592:34309073:1 gene:Potri.001G334700.v4.1 transcript:Potri.001G334700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334700.v4.1 MDFSFHLLAVSTVLALVLWYTLRRVRESRRKTEKGLQPPEPSGALPLIGHLHLLGAQKTLARTLAAMADKYGPIFTIRLGKHPTVVVSNLEAIKECFTTHDRILSSRPRSSHGEHLSYNYAAFGFNNSGPFWREMRKIVTIQLLSSHRLKSLRHVQVSEVNTLINDLYLLSKSNKQGSTKIDISECFERMTINMITRMIAGKRYFSSTEAEKEDEGKRIGKLMKEFMYISGVFVPSDVIPFLGWMNNFLGSVKTMKRLSRELDSLMESWIQEHKLKRLESTENTNKMEDDDFIDVMLSLLDDSMFGYSRETIIKATAMTLIIAGADTTSITLTWILSNLLNNRRSLQLAQEELDLKVGRERWAEDSDIGNLVYIQAIIKETLRLYPPGPLSVPHEATKDFCVAGYHIPKGTRLFANLWKLHRDPNLWSNPDEYMPERFLTDHANVDVLGHHFELIPFGSGRRSCPGITFALQVLHLTFARLLQGFDMKTPTGESVDMTEGVAITLPKATPLEIQITPRLSPELYYEC >Potri.011G057400.1.v4.1 pep chromosome:Pop_tri_v4:11:4677990:4681189:-1 gene:Potri.011G057400.v4.1 transcript:Potri.011G057400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057400.v4.1 MAAEDNETSNGWPLGLMNTRLRVMESIQAAPVEPYSLRIRSSSFSSFSSSNLDTESSASFFQDNSVPLGRLIGIRPGNGALYFPRRVHVDEREKIGIRAIRAASSEVSGARRADMSQGICIPLLFGTLEKMSRSKSKSRQ >Potri.006G015601.1.v4.1 pep chromosome:Pop_tri_v4:6:994916:996423:1 gene:Potri.006G015601.v4.1 transcript:Potri.006G015601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015601.v4.1 MEILKDGQRDWTQVPADILEYIASKVSVAGYHRFLLFCTAWRRTTSMRPPFSHLPWLMLSNDRNKKTRGFFCIHDSKFYQIPLPRVVRRSWCARSSYGWFIMAHKTRGDFLFNPFSGVRIPLPTHYNQPYSFVRPASNPYFMTKAILSSEPTPENIAAGHCLVAALFEVDSLGICRPGDHAWTCFTIRSLMARARARKRRRRRRKARVMIKKRERERKRGGGGGGGRRGARDGSIAWRRLDTLPLNLKKKERGRCDFRYLVESNNGELLMVVRVRDHGFTIQFIVFKLDDETSDPAKWVRLENIGNQIIFLGRNHTKCVPAIDFPGFEGNCVYYSCDLWNHFRVLPMDQRDYADMGVYGLGRRGKEEFFPENYGAFMPNSEHSFKYPPIWITPNPW >Potri.010G037900.1.v4.1 pep chromosome:Pop_tri_v4:10:6749457:6752859:1 gene:Potri.010G037900.v4.1 transcript:Potri.010G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G037900.v4.1 MAVIKQPWLVLHLMFFLSVIPFSSSQSISPQNIETFYPFPIPSPAPPLPSPAPPPKPSTSPNPTILSPSASGPLPSSSSNRNVVKAVAATAASTFVVATLLFFLIQRFVILPRRKSNGHGTGSRGGQAVVPLPLPPSQFSRIEGNVKGLVVDENGLDVLYWRKLEEEDKKNSFVREERRRNSKSEPIQEIPLLRGKSSTSEKKVVPETTIPTVSHQFVKAIEKQILTSQPSNSNLPLTLSPAPPPPLQSSMAIPNKQVPAPPPPPTIPAKKNQPPPPPPPPKAASSNLPPLQKGNPSKGESGESSTGQGSTTAAAGNGQVKLKPLHWDKVNTNAGQSMVWDKIDGGSFRVDDNLMEALFGFVATNRKSPKSESNSSNSNNLISSSSAQIVILDARKSQNMAIVLKSLAISRSELLDALTNGQGLSVDTLEKLMRIAPTKEEESQILEFGGDTTRLADAESFFYHLLKAVPTAFSRINAMLFRSTYDAEILHFKESLQILESGCKELRNRGLFIKLLEAILKAGNRMNAGTSRGNAQAFKLTSLGKLSDVKSMDGKTTLLHFVVEEVVRTEGKRCVLNRNRSLSRNSSQRSNSSVISEDSASKGEREKEYMMLGLPAVGGLSIEFSNVKKAAQIDYDTFAATCSALATGAREVRAFMSQCAAANGEGGFVREMKGFLEAAEEELKGLTQEQTRVMDLVKKTTEYYHAGASKDQEAHALQLFSIIKDFLCMVDQVCIEITRNLQRRKTSSRSVESSPKSPASRIPVRFPNLPQHFMKEKSMNCSSESDSDF >Potri.002G233200.2.v4.1 pep chromosome:Pop_tri_v4:2:22611330:22614199:-1 gene:Potri.002G233200.v4.1 transcript:Potri.002G233200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233200.v4.1 MIQIPEADSLHRQSIQVETETVHGEKLALQCMGCDACVENKGCMCDHSTQDLKSVVNEADVEDHTEANSSFAHSVINMIGMLIGLGQLSAPYALENGGWASAFLLVGIGVICAYTSLLLGKCLEKSPSSRSYADIGQHAFGSRGRLLASTFINVEIFMTLVSYTISLHDNLITVFAGTQLRLLIWTKLSTSQLLTMIGVLIALPSMWMTDLSSISFLSSGGILMSIIIFTSVACIAIFQVVKANHSIPALHLHKIPAISGLYIFCYAGHIVFPNLYKSMKDPSKFTKVSIVSFASVIALYTSLAFTGAKLFGPEVSSQITLSMPRHLIITKIALWATVITPMTKYALELAPFSVQIEHSLPGSFSSRTKTIIRGAVGSFLLLIILSIALSVPYFEHVLSLTGSLVSFSICIVFPCAFYIKISSAQLSKFSLILNATLLAFGLLLGVAGTISSSKSIFTSLKRDHSA >Potri.002G233200.1.v4.1 pep chromosome:Pop_tri_v4:2:22611373:22613720:-1 gene:Potri.002G233200.v4.1 transcript:Potri.002G233200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233200.v4.1 MWNQIQIPEADSLHRQSIQVETETVHGEKLALQCMGCDACVENKGCMCDHSTQDLKSVVNEADVEDHTEANSSFAHSVINMIGMLIGLGQLSAPYALENGGWASAFLLVGIGVICAYTSLLLGKCLEKSPSSRSYADIGQHAFGSRGRLLASTFINVEIFMTLVSYTISLHDNLITVFAGTQLRLLIWTKLSTSQLLTMIGVLIALPSMWMTDLSSISFLSSGGILMSIIIFTSVACIAIFQVVKANHSIPALHLHKIPAISGLYIFCYAGHIVFPNLYKSMKDPSKFTKVSIVSFASVIALYTSLAFTGAKLFGPEVSSQITLSMPRHLIITKIALWATVITPMTKYALELAPFSVQIEHSLPGSFSSRTKTIIRGAVGSFLLLIILSIALSVPYFEHVLSLTGSLVSFSICIVFPCAFYIKISSAQLSKFSLILNATLLAFGLLLGVAGTISSSKSIFTSLKRDHSA >Potri.011G133700.1.v4.1 pep chromosome:Pop_tri_v4:11:16686537:16687979:-1 gene:Potri.011G133700.v4.1 transcript:Potri.011G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133700.v4.1 MDSRLYRVAKSGNVYILLQLLNENPRLLTKLTPQGNTPLHIAVQFGHKGVVVEIYNRCRSLLTRPNSSGDSPLHVAARCGHFSIVDFLVKEILSAKRISTENGKTGKFDILRQGNKENNTVLHEAVRNGNMSVVKLLLRVDTKLACFENYAGESPLFLAAREGKKDVLNQILISNPASAHGGSEGHTALHAAVIERHSDIMEILLRAKPHLITEADHHGRTALYYAASLGDRRAVERLLEFDECTAYVLDKNGHSPLHVAARNGHADVIERIIHYCPDSGELLDLNGRSVLHFAVLSGKVNVVRCVVEIAELQWLINQADNGGNTPLHLAAIERQTRILRCLIWDERVDHRARNETGQSVFDIDGSIRESCFIYRCVSMIS >Potri.012G002100.15.v4.1 pep chromosome:Pop_tri_v4:12:1153774:1158773:-1 gene:Potri.012G002100.v4.1 transcript:Potri.012G002100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002100.v4.1 MLYSSCIRRVIVQAGIFCKTRLQLGNVGRSLNASRGVNCKWNRQIFTMSSVSMMVDSVSTAKRGPTADLLTGEDDDGGFVCRGWKSEEGELSCGYSSFRGKRVTMEDFFDVKNTTIDGQRVCMFGIFDGHGGSRAAEYLKEHLFENLLKHPQFITDTKLALSESYQQTDVDFLDSEKDTYRDDGSTASTAVLVGDHLYVANVGDSRTVISKGGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGRSVYWL >Potri.012G002100.12.v4.1 pep chromosome:Pop_tri_v4:12:1153718:1158734:-1 gene:Potri.012G002100.v4.1 transcript:Potri.012G002100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002100.v4.1 MLYSSCIRRVIVQAGIFCKTRLQLGNVGRSLNASRGVNCKWNRQIFTMSSVSMMVDSVSTAKRGPTADLLTGEDDDGGFVCRGWKSEEGELSCGYSSFRGKRVTMEDFFDVKNTTIDGQRVCMFGIFDGHGGSRAAEYLKEHLFENLLKHPQFITDTKLALSESYQQTDVDFLDSEKDTYRDDGSTASTAVLVGDHLYVANVGDSRTVISKGGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQEQKIDEEFELLVLASDGLWDVVPNEDAVSIARTEEPEAAARKLTEAAFTRGSADNITCIVVQFHHDKTDPSKTDPSNFQED >Potri.012G002100.14.v4.1 pep chromosome:Pop_tri_v4:12:1153768:1158731:-1 gene:Potri.012G002100.v4.1 transcript:Potri.012G002100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002100.v4.1 MLYSSCIRRVIVQAGIFCKTRLQLGNVGRSLNASRGVNCKWNRQIFTMSSVSMMVDSVSTAKRGPTADLLTGEDDDGGFVCRGWKSEEGELSCGYSSFRGKRVTMEDFFDVKNTTIDGQRVCMFGIFDGHGGSRAAEYLKEHLFENLLKHPQFITDTKLALSESYQQTDVDFLDSEKDTYRDDGSTASTAVLVGDHLYVANVGDSRTVISKGGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQEQKIDEEFELLVLASDGLWDVVPNEDAVSIARTEEPEAAARKLTEAAFTRGSADNITCIVVQFHHDKTDPSKTDPSNFQED >Potri.012G002100.13.v4.1 pep chromosome:Pop_tri_v4:12:1153766:1158752:-1 gene:Potri.012G002100.v4.1 transcript:Potri.012G002100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002100.v4.1 MLYSSCIRRVIVQAGIFCKTRLQLGNVGRSLNASRGVNCKWNRQIFTMSSVSMMVDSVSTAKRGPTADLLTGEDDDGGFVCRGWKSEEGELSCGYSSFRGKRVTMEDFFDVKNTTIDGQRVCMFGIFDGHGGSRAAEYLKEHLFENLLKHPQFITDTKLALSESYQQTDVDFLDSEKDTYRDDGSTASTAVLVGDHLYVANVGDSRTVISKGGKAIPLSEDHKPNRSDERKRIESAGGVVMWAGTWRVGGVLAMSRAFGNRMLKQFVVAEPEIQEQKIDEEFELLVLASDGLWDVVPNEDAVSIARTEEPEAAARKLTEAAFTRGSADNITCIVVQFHHDKTDPSKTDPSNFQED >Potri.004G027000.1.v4.1 pep chromosome:Pop_tri_v4:4:2067470:2067758:-1 gene:Potri.004G027000.v4.1 transcript:Potri.004G027000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027000.v4.1 MKRGELCDCLAKLYCESYEANHCWQCDTYVHSANLLAAKHSRTLLCHVCQSLTPWTGTGPKLVPTVSGCNSCVSNLSCKEERSSEGDQVGDNIDG >Potri.001G035700.1.v4.1 pep chromosome:Pop_tri_v4:1:2598568:2603708:1 gene:Potri.001G035700.v4.1 transcript:Potri.001G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035700.v4.1 MERSHQNRKGRGNHSSFSSSSQSTRIRNTKVSNFNESSSATNYATNNRSNAHDDPQLKPRRGNTSSSNNQKEKIKTEEDKEADSSDFPRLIGTCPFMCPESERSQRERLQDLAVFERLHGNPRKTSQALAVKKFCRTISAKHMQASDVRPLPVLEDTLAYLLNLLDSTDHPFEVVHDFIFDRTRSIRQDLSMQNIVDDKSIYMYEKMVKFHVISHLKLQRCRSSSDISSVHYLNMEQLTKALTSLYNLYDANRDSGTVYGNEAEFRSLYVLLHLDSNTQPMGESLSLWFRFVLHPIIRSKEMCFARSVLRFYQMGNYMRFFSTISAEASYLQYCILERYINKVRALSLSYINNAGYKLHPYPLVHLSKLLKMKESDLEVLCNACGLETCADDMGNKLLPTKQTTFCCPKEGFQSYNFTGLEKFES >Potri.004G228700.3.v4.1 pep chromosome:Pop_tri_v4:4:23189931:23200575:1 gene:Potri.004G228700.v4.1 transcript:Potri.004G228700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228700.v4.1 MASVKRKSIESQQEDRPLLPPQKQLREDHSNNNSSSSKIIGHGEAVACLHDVSYPENYVRPSSSSVTQIQKDSKPAKEFPFTLDPFQSEAISCLDSGQSVMVSAHTSAGKTVVALYAIAMSLKNQQRVVYTSPIKALSNQKFREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSETTREVAWIIFDEVHYMRDRERGVVWEESILMAPKNARFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPSGGEGLYLVVDEKAKFREDSFQKAVNALVPKAEGEKKRENGKWQKGLNVSRLGEESDIFKMVKMIIRRQYDPVILFSFSKRECEFLAMQMAKMDLNQDDEKANIETIFWSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDDRGVCILMVDEKLEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRCEDGDLENLLRNSFFQFQADRALPDLEKQAKVLEEERNSMVIEEEENLKNYYDLIQQYKSLKKDVRDIVFSPKHCLSYLQSGRLVCIQCTESDDKSPSFLIEDLVTWGVIVNFDRVKGVSDVDVDDAIRKPENANYTVDVLTRCVVTKDGVAKKKIKVVPLKEPGEPLIVSIPIDQINILSSARLYMSKDLLPLEVRENTLKQVSEFLSRKPSGLPLDPEGDMNIQSSSYKKAVRRIEALEHLFEKHEIAKSPLIKEKLKVLHTKQELTARIKLIRKSMRSSTSLAFKDELKARKRVLRRLGYITSDDVVELKGKVACEISSADELTLTELMFNGVLKDIKVEEMVSLLSCFVWQEKLQDAAKPREELDLLFTQLQDTARRVAKLQLECKVQIDVENFVSSFRPDIMEAVYAWAKGSKFYEIMEITKVFEGSLIRAIRRLEEVLQQLIEAAKSIGETELEAKFEEAVSKIKRDIVFAASLYL >Potri.004G228700.2.v4.1 pep chromosome:Pop_tri_v4:4:23189931:23200709:1 gene:Potri.004G228700.v4.1 transcript:Potri.004G228700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228700.v4.1 MASVKRKSIESQQEDRPLLPPQKQLREDHSNNNSSSSKIIGHGEAVACLHDVSYPENYVRPSSSSVTQIQKDSKPAKEFPFTLDPFQSEAISCLDSGQSVMVSAHTSAGKTVVALYAIAMSLKNQQRVVYTSPIKALSNQKFREFKEEFSDVGLMTGDVTIDPNASCLVMTTEIWRSMQYKGSETTREVAWIIFDEVHYMRDRERGVVWEESILMAPKNARFVFLSATVPNAKEFADWVAKVHQQPCHIVYTDYRPTPLQHYIFPSGGEGLYLVVDEKAKFREDSFQKAVNALVPKAEGEKKRENGKWQKGLNVSRLGEESDIFKMVKMIIRRQYDPVILFSFSKRECEFLAMQMAKMDLNQDDEKANIETIFWSAMDMLSDDDKKLPQVSNMLPLLKRGIGVHHSGLLPILKEVIEILFQEGLIKCLFATETFSIGLNMPAKTVVFTNVRKFDGDKFRWLSSGEYIQMSGRAGRRGIDDRGVCILMVDEKLEPSTAKMMLKGSADSLNSAFHLSYNMLLNQMRCEDGDLENLLRNSFFQFQADRALPDLEKQAKVLEEERNSMVIEEEENLKNYYDLIQQYKSLKKDVRDIVFSPKHCLSYLQSGRLVCIQCTESDDKSPSFLIEDLVTWGVIVNFDRVKGVSDDDAIRKPENANYTVDVLTRCVVTKDGVAKKKIKVVPLKEPGEPLIVSIPIDQINILSSARLYMSKDLLPLEVRENTLKQVSEFLSRKPSGLPLDPEGDMNIQSSSYKKAVRRIEALEHLFEKHEIAKSPLIKEKLKVLHTKQELTARIKLIRKSMRSSTSLAFKDELKARKRVLRRLGYITSDDVVELKGKVACEISSADELTLTELMFNGVLKDIKVEEMVSLLSCFVWQEKLQDAAKPREELDLLFTQLQDTARRVAKLQLECKVQIDVENFVSSFRPDIMEAVYAWAKGSKFYEIMEITKVFEGSLIRAIRRLEEVLQQLIEAAKSIGETELEAKFEEAVSKIKRDIVFAASLYL >Potri.001G397100.1.v4.1 pep chromosome:Pop_tri_v4:1:42235774:42237688:-1 gene:Potri.001G397100.v4.1 transcript:Potri.001G397100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397100.v4.1 MEKEDTKLMKLEKMDKDDYPEELREQGAAALLLSCLLHTRLSCKTALALDKVKSNILGGGKRNQNSHSSRRRPRVSPTQNPGAAVRRNHFDDQQGEGNSNAAARNLPGEPPIRSLEVALRLIENYDPHEVPSIPGLNGIIGRCSKPFEKQLTESDLRDDQSRLSINKADAQKYLYPLLNEDENLAEGINVTTYDPNGKEFEMVFKIWVSKIHVLIGGWKAFFHEHQLRKDQDFVTLWMFRRLDTEKLCFVINWRRLPGVTTPIKRKRVRMNKSMDQLTC >Potri.016G041100.3.v4.1 pep chromosome:Pop_tri_v4:16:2588896:2593443:1 gene:Potri.016G041100.v4.1 transcript:Potri.016G041100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041100.v4.1 MMPAKLGTEVDSDYSSSSESSSMSSGSSSSSSCYQNEDVGNEGGRELKRKVKKLRSIKLARLPSLKLITRQSKVKSGDVSVLSSDVASSHHSRMSPNYMRTTTSSNAKKENLQKSARTLARRSSFKPAKSLTRLSSTKFRRPLMRISPGGTDLKKKLKKSRSTKIANRSSMVLASDADIPPDFSKASFDGRNVQLQASLHNFESALSGNDDNRKIPRNSKPKLASPGNNSMRVMTRTSTLRPVRILTKVASIRTKRPSMKKRSQIPDSGIQKATCSSAIKDSKFPYHLELQPEGRESEGNSAMEVCPYSYCSLHGHHHSDVPPLKRFVSIRRRLLRNQKSMKSESRSSRRLKRSGNAKKGTRTSQLPSCGDSAVLETSHDKIAVSSSIGKTAGPRSESTKEGAHGGDDKDTSNVMSVTDIQILQQEADEGRIENLNLDVLKIHSNTAKADASTSVADEQLNKARRLNQNRVVESRDINNMVSLASIGKPLQEETAASEENNQDVVQNYQFLSSDSEHGYAIDVSHETQKEKQKHMGLWNLIYQHMETGIATENGAQPPLNKKTTEEEKDENTLPGINKSGSFQDFSSTDQSNDEEDHDEHSGKIHQYQCNAIKLVQEAFDRILAEIPDQSSDDQSITGDTSDKELAVKDQSEDGQLSILTSYDSDGDSIVQEPEELRLKADNAFEREKAQSRVESKSNQQMPKSWSNLKKILILKRFVKALEKVRNFNPQKARFLHAEAELGSEKVHLRHQNLEERKNSEQWMLDHALQQVISTLAPAQKRKVALLVRAFETVTPLTEVCTSPRFNIEASSHTTPVKTCNGASDCNGSIEGKETTFGITLRKTSSLDTIASSTSSLENTVADLKNQFVALNLGNDETNSIIKDNEPDFVNHCLVEDTESKLCDRPLPNTADALRAPTEELVVNGEVLPEDAKGASSVSASEVYDRDLGLSSQNSDTNNQNNRIYDESDEPDGQTPKDNEGSIANTNVVSSSTVSAPVEESSEVTGEENKLKNKFLQGSTLLHESEPGCTTDVAHEKQKHMKFWFLIYKHIVSGNATLIEGADKEEQGDDGNTLAEMKSSKNDDADNQKIKLQQMEAIRLVEEAIDQIPLPEIQDDAPVYQSVASDITQDQDQEYIEKKPKEGEKPFISSSFEHTSDSFGESDSTEAEESTTLYQQESQLNFDNISAQEKTKPIPTEGNKPKPAAQKNWSNLKKVVLLKRFVKALEKVKKINQQEPRFLPLDPLSEAEKVHLRHQDTDDRKNADEWMLDYALRQVVAKLTPARKRKVSLLVEAFEAVTPIGSGEWSDT >Potri.016G041100.1.v4.1 pep chromosome:Pop_tri_v4:16:2588701:2593525:1 gene:Potri.016G041100.v4.1 transcript:Potri.016G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041100.v4.1 MMPAKLGTEVDSDYSSSSESSSMSSGSSSSSSCYQNEDVGNEGGRELKRKVKKLRSIKLARLPSLKLITRQSKVKSGDVSVLSSDVASSHHSRMSPNYMRTTTSSNAKKENLQKSARTLARRSSFKPAKSLTRLSSTKFRRPLMRISPGGTDLKKKLKKSRSTKIANRSSMVLASDADIPPDFSKASFDGRNVQLQASLHNFESALSGNDDNRKIPRNSKPKLASPGNNSMRVMTRTSTLRPVRILTKVASIRTKRPSMKKRSQIPDSGIQKATCSSAIKDSKFPYHLELQPEGRESEGNSAMEVCPYSYCSLHGHHHSDVPPLKRFVSIRRRLLRNQKSMKSESRSSRRLKRSGNAKKGTRTSQLPSCGDSAVLETSHDKIAVSSSIGKTAGPRSESTKEGAHGGDDKDTSNVMSVTDIQILQQEADEGRIENLNLDVLKIHSNTAKADASTSVADEQLNKARRLNQNRVVESRDINNMVSLASIGKPLQEETAASEENNQDVVQNYQFLSSDSEHGYAIDVSHETQKEKQKHMGLWNLIYQHMETGIATENGAQPPLNKKTTEEEKDENTLPGINKSGSFQDFSSTDQSNDEEDHDEHSGKIHQYQCNAIKLVQEAFDRILAEIPDQSSDDQSITGDTSDKELAVKDQSEDGQLSILTSYDSDGDSIVQEPEELRLKADNAFEREKAQSRVESKSNQQMPKSWSNLKKILILKRFVKALEKVRNFNPQKARFLHAEAELGSEKVHLRHQNLEERKNSEQWMLDHALQQVISTLAPAQKRKVALLVRAFETVTPLTEVCTSPRFNIEASSHTTPVKTCNGASDCNGSIEGKETTFGITLRKTSSLDTIASSTSSLENTVADLKNQFVALNLGNDETNSIIKDNEPDFVNHCLVEDTESKLCDRPLPNTADALRAPTEELVVNGEVLPEDAKGASSVSASEVYDRDLGLSSQNSDTNNQNNRIYDESDEPDGQTPKDNEGSIANTNVVSSSTVSAPVEESSEVTGEENKLKNKFLQGSTLLHESEPGCTTDVAHEKQKHMKFWFLIYKHIVSGNATLIEGADKEEQGDDGNTLAEMKSSKNDDADNQKIKLQQMEAIRLVEEAIDQIPLPEIQDDAPVYQSVASDITQDQDQEYIEKKPKEGEKPFISSSFEHTSDSFGESDSTEAEESTTLYQQESQLNFDNISAQEKTKPIPTEGNKPKPAAQKNWSNLKKVVLLKRFVKALEKVKKINQQEPRFLPLDPLSEAEKVHLRHQDTDDRKNADEWMLDYALRQVVAKLTPARKRKVSLLVEAFEAVTPIGSGEWSDT >Potri.016G041100.4.v4.1 pep chromosome:Pop_tri_v4:16:2589318:2593460:1 gene:Potri.016G041100.v4.1 transcript:Potri.016G041100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041100.v4.1 MFQVMEILNIISQIITFPMQKSARTLARRSSFKPAKSLTRLSSTKFRRPLMRISPGGTDLKKKLKKSRSTKIANRSSMVLASDADIPPDFSKASFDGRNVQLQASLHNFESALSGNDDNRKIPRNSKPKLASPGNNSMRVMTRTSTLRPVRILTKVASIRTKRPSMKKRSQIPDSGIQKATCSSAIKDSKFPYHLELQPEGRESEGNSAMEVCPYSYCSLHGHHHSDVPPLKRFVSIRRRLLRNQKSMKSESRSSRRLKRSGNAKKGTRTSQLPSCGDSAVLETSHDKIAVSSSIGKTAGPRSESTKEGAHGGDDKDTSNVMSVTDIQILQQEADEGRIENLNLDVLKIHSNTAKADASTSVADEQLNKARRLNQNRVVESRDINNMVSLASIGKPLQEETAASEENNQDVVQNYQFLSSDSEHGYAIDVSHETQKEKQKHMGLWNLIYQHMETGIATENGAQPPLNKKTTEEEKDENTLPGINKSGSFQDFSSTDQSNDEEDHDEHSGKIHQYQCNAIKLVQEAFDRILAEIPDQSSDDQSITGDTSDKELAVKDQSEDGQLSILTSYDSDGDSIVQEPEELRLKADNAFEREKAQSRVESKSNQQMPKSWSNLKKILILKRFVKALEKVRNFNPQKARFLHAEAELGSEKVHLRHQNLEERKNSEQWMLDHALQQVISTLAPAQKRKVALLVRAFETVTPLTEVCTSPRFNIEASSHTTPVKTCNGASDCNGSIEGKETTFGITLRKTSSLDTIASSTSSLENTVADLKNQFVALNLGNDETNSIIKDNEPDFVNHCLVEDTESKLCDRPLPNTADALRAPTEELVVNGEVLPEDAKGASSVSASEVYDRDLGLSSQNSDTNNQNNRIYDESDEPDGQTPKDNEGSIANTNVVSSSTVSAPVEESSEVTGEENKLKNKFLQGSTLLHESEPGCTTDVAHEKQKHMKFWFLIYKHIVSGNATLIEGADKEEQGDDGNTLAEMKSSKNDDADNQKIKLQQMEAIRLVEEAIDQIPLPEIQDDAPVYQSVASDITQDQDQEYIEKKPKEGEKPFISSSFEHTSDSFGESDSTEAEESTTLYQQESQLNFDNISAQEKTKPIPTEGNKPKPAAQKNWSNLKKVVLLKRFVKALEKVKKINQQEPRFLPLDPLSEAEKVHLRHQDTDDRKNADEWMLDYALRQVVAKLTPARKRKVSLLVEAFEAVTPIGSGEWSDT >Potri.003G172400.2.v4.1 pep chromosome:Pop_tri_v4:3:18058640:18060841:1 gene:Potri.003G172400.v4.1 transcript:Potri.003G172400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172400.v4.1 MRSQSLFFLGVLLLFAPSASLFRAVNGDGVTEECSSDFQKLMGCLSYASGKANTPTKDCCLSVQNIKESDPKCLCFIMQQTSNGSAPIKNLGIQEAKLLQLPTACQLQNASLSFCPKLLGISPSSPDAAIFTNASTTATPAASTSTGTSQSEKAGDSSGFQHRPHLAGFFMIVAAIFVFASPAGSASMFQF >Potri.016G128900.3.v4.1 pep chromosome:Pop_tri_v4:16:13203785:13208278:-1 gene:Potri.016G128900.v4.1 transcript:Potri.016G128900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128900.v4.1 MSSLIVTKSAGIKNRLIQGFSCLKHLKHIHAALLRLGLDEDTYLLNKVLRFSFNFGNTNYSFRILDQTKEPNIFLFNTMIRGLVLNDCFQESIEIYHSMRKEGLSPDSFTFPFVLKACARVLDSELGVKMHSLVVKAGCEADAFVKISLINLYTKCGFIDNAFKVFDDIPDKNFASWTATISGYVGVGKCREAIDMFRRLLEMGLRPDSFSLVEVLSACKRTGDLRSGEWIDEYITENGMVRNVFVATALVDFYGKCGNMERARSVFDGMLEKNIVSWSSMIQGYASNGLPKEALDLFFKMLNEGLKPDCYAMVGVLCSCARLGALELGDWASNLINGNEFLDNSVLGTALIDMYAKCGRMDRAWEVFRGMRKKDRVVWNAAISGLAMSGHVKDALGLFGQMEKSGIKPDRNTFVGLLCACTHAGLVEEGRRYFNSMECVFTLTPEIEHYGCMVDLLGRAGCLDEAHQLIKSMPMEANAIVWGALLGGCRLHRDTQLVEVVLKKLIALEPWHSGNYVLLSNIYAASHKWEEAAKIRSIMSERGVKKIPGYSWIEVDGVVHQFLVGDTSHPLSEKIYAKLGELAKDLKAAGYVPTTDHVLFDIEEEEKEHFIGCHSEKLAVAFGLISTAPNDKILVVKNLRVCGDCHEAIKHISRIAGREIIVRDNNRFHCFTDGLCSCKDYW >Potri.016G130500.4.v4.1 pep chromosome:Pop_tri_v4:16:13353436:13357422:-1 gene:Potri.016G130500.v4.1 transcript:Potri.016G130500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130500.v4.1 MIWLAACYPFLQIMTMHRRWEAFRGESKEEKDLLFTAKKSKLFQFKTELDIFLGNNKGEVPDFKVKGGYSESSCSVLLGDSNTMLAQMHRKHSLASAILDTDSFGVTVYPNVDYAFITALVVILDEINADRSAED >Potri.016G130500.1.v4.1 pep chromosome:Pop_tri_v4:16:13353384:13357457:-1 gene:Potri.016G130500.v4.1 transcript:Potri.016G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130500.v4.1 MATGQAPSNPVPAMRTYPPVEQPVVLIGPQYLAQYPVELAISTKLWSLGENDFKVSDINGTLIFQVKSKLLSLHDRRFLKDAAGNILVNLRQKIMTMHRRWEAFRGESKEEKDLLFTAKKSKLFQFKTELDIFLGNNKGEVPDFKVKGGYSESSCSVLLGDSNTMLAQMHRKHSLASAILDTDSFGVTVYPNVDYAFITALVVILDEINADRSAED >Potri.011G126151.1.v4.1 pep chromosome:Pop_tri_v4:11:16122003:16126034:-1 gene:Potri.011G126151.v4.1 transcript:Potri.011G126151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126151.v4.1 MTKFTAAKIMSACVDSTSALLYISILLLIIKISTAIDTINTTQSIRDIDGDSMVSADGSFKMGFFSPGSSKNRYLGIWFNKVSVMTVVWVANREIPLTNSSGVLRVTGEGLLVLLNHNESIIWSSNASRSARFPVAQLLDSGNLVVKEEDDNDLENSLWQSFDYPCDTLLAGMKMGRNSITGFDRHLTSWKTTDDPSRGNFTFRFDPSGYPEQILTENSIRRYRSGPWNGLRFGGPQLRPNPVYKYEFVFNDKEIFFRYQLLNNSILSRLVLTQTGDVRRLTWSDETGIWAFYLTLIVDDCNRYALCGAYGSCDINNSPACGCLKGFLPKVPRTWDMMNWSDGCARRTPLNCTGDVFQRYSGVKLPETRKSWFNKSMNLEQCKSLCMKNCSCTAYANLDIREGGSGCLLWFSDLIDIRQFNDNGQDIYIRMAASEQDHNDEEGTKSNKTKHTRIIVISVVSAGMLLLGIVLVLLVRKKKQQKGRKVTGILEGRRDDTCKEDPELQLFDLGTITCVTNNFSLTNKLGEGGFGPVYKGILEDGQEIAVKRLSKSSRQGLDEFKNEVMHIAKLQHRNLVKLLGCCMEADERMLIYEFMPKKSLDIFIFDRTHSALLDWPQRYHIINGIARGLLYLHQDSRLRIIHRDLKASNILLDNSMNPKISDFGLARSFEENETEANTKRVVGTYGYISPEYAIDGIYSVKSDVFSFGVLVLEIVNGNRNRRFCHPDHNLNLLGHAWRLFTEGRSSELITEPIAESCNLSEALRSIHVGLLCVQCHPNDRPSMSSVVLMLSGEGKLPQPKQPGFFTERTLVEANSSSVKNTSCSVNDSTITLLEAR >Potri.001G012901.1.v4.1 pep chromosome:Pop_tri_v4:1:901399:903199:-1 gene:Potri.001G012901.v4.1 transcript:Potri.001G012901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012901.v4.1 MHLSKAIVAAFFFVVLLGGTLAHGQLTPTFYDRTCPNVSSIIRNVITETLVCDRRIGGSLIRLHFHDCFVNGCDGSLLLDNTDTIESEKEAAGNNNSARGFEVVDRMKALLESACPATVSCADILTIAAEESVVLAGGPNWTVPLGRRDSTTASRAAANASLPAPFLTLDQLRESFTNVGLNNNTDLVALSGAHTFGRAKCSTFNFRLYDFNGTGAPDPTLDPPFLAALQELCPQGGNDSVITDLDLTTPDAFDSNYYSNLQCNRGLLQTDQELFSTPGADDVIALVNAFSANQTAFFESFVESMIRMGNLSPLTGTEGEIRLNCSVVNANLAGPDSMLVSSI >Potri.004G096800.2.v4.1 pep chromosome:Pop_tri_v4:4:8335075:8335692:1 gene:Potri.004G096800.v4.1 transcript:Potri.004G096800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096800.v4.1 MNEVASISRTSHMNIVTLLGFCYEKTKRALIYEFMTKGSLDKFISYEGTPDTNFGLQWERFYEIAVGIARGLEYFHRGCNTRIVHFDIKPHNILLDEDFCLKISDFGLAKLCKSKVSKVSMIGARGTVDYIAPEVFCRTFGGVSYKSDVYSYGMMVLEMVGERKKIILDLRKLVKCISLIGSISILNRERLHYFMGVYQKRKKRL >Potri.002G205025.1.v4.1 pep chromosome:Pop_tri_v4:2:16990108:16995715:1 gene:Potri.002G205025.v4.1 transcript:Potri.002G205025.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205025.v4.1 MSSRASRTLYVGNLPGDIREKEVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGGASRRSEYRVVVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKYAIKKIDDSEFRNAFSQAYVRVREYDSKRDSSRSPGRDRSHSRGRNDSRSRSLSRSRSRSKSPKNKSSRRSSARSPPRSVSRSRSGSRPRSLSRSRSRSRSPLSSHQKRSSKSPKKPSVSRSPSRSRSRSRSKSLSR >Potri.002G205025.2.v4.1 pep chromosome:Pop_tri_v4:2:16990027:16995704:1 gene:Potri.002G205025.v4.1 transcript:Potri.002G205025.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205025.v4.1 MSSRASRTLYVGNLPGDIREKEVEDLFYKYGPVAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGGRGRGGASRRSEYRVVVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKYAIKKIDDSEFRNAFSQAYVRVREYDSKRDSSRSPGRDRSHSRGRNDSRSRSLSRSRSRSKSPKNKSSRRSSARSPPRSVSRSRSGSRPRSLSRY >Potri.002G216466.1.v4.1 pep chromosome:Pop_tri_v4:2:20336810:20340824:1 gene:Potri.002G216466.v4.1 transcript:Potri.002G216466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216466.v4.1 MEVVVEKTREPSESYEDFAAYLPDNDCRYAVYDFDFVTSENCPKSKIFFIAW >Potri.017G110900.1.v4.1 pep chromosome:Pop_tri_v4:17:11842463:11843316:1 gene:Potri.017G110900.v4.1 transcript:Potri.017G110900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110900.v4.1 MNSKAFLIACILLATIVFSPPSTCTARELAERDPIPTYKPAGCPNPYKRNCGRP >Potri.015G014850.1.v4.1 pep chromosome:Pop_tri_v4:15:1000504:1001107:1 gene:Potri.015G014850.v4.1 transcript:Potri.015G014850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014850.v4.1 MARFSKVLRKTDIKKRLSVPTGFLSSLPSFNAGGHAVDFQAVDGSGRVWTFRCSIRRNGHPKPVFSKGWLAYVHSKSLKVGDKVQFLKEKNDAGAKMHAYEIRAEKEIKIFGAVFGYAPII >Potri.005G136350.1.v4.1 pep chromosome:Pop_tri_v4:5:10545227:10546557:-1 gene:Potri.005G136350.v4.1 transcript:Potri.005G136350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136350.v4.1 MKARGIFGFEWSEPLVTFSPSYGSWSSPAVRVYTASQVEEELEAAKRDYLQATVGISRTNKLIIPKLLDWYLPDFVKDMKSLLDWVCLQLPNELRNEAVKCLERRGRDPLSQLVQVMPYDFSFRLLLHG >Potri.005G043200.4.v4.1 pep chromosome:Pop_tri_v4:5:2719674:2725355:-1 gene:Potri.005G043200.v4.1 transcript:Potri.005G043200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043200.v4.1 MECNKDEAIRAKDIAERKMQNGDFEGAKKIALKALQLYPDLENISQMLAVCEVHCSAQNKLYGSEMDWYGILQIERFSDEAVIKKQYRKFALSLHPDKNKFSGAEAAFKLIGEANRVLTDPAKRSLYDLKCKRSVRPPAPRPTSHQSNQNSIAKKQHEANKFSSAPGSQYMSAHPYQPQRPTFWTWCTSCNMRYQYYRELQNKTLRCQSCQNSFIAINLDIHGVLNGSPWSQFPNQNGVPNQGPSKVVPQRNSGKPSGASFSDRFRPVDIGGSSKPSEVKAGNNIKNGGASKDLGTSKGASRKRGKQSRVESSESFETGSNDDSDEDVVIQENRSSISGQNSGSCGGNQPRRSSRQKQNVSYKEKLIDDDDFSVSASKRQRVNGLSSVIEEEIKEAVRDGRLHKEQSSAGVDAAAVDRNKKEVKQRSSSVLEESLSNKKSKTGVFTKREEASTVEKADALSDNKDGKPKADDIRNPETLEIPDPDFSNFENDKAENCFAVNQMWAIYDDTDGMPRFYARIKKVLSPGFKLLITWLEASSDVAHEKDWSDKDLPVACGKFESGDTQRTADRAMFSHQMCFMNGNSRGSYLIYPQKGETWALFKDWEVKWSSEPEKHRPPYRFEFVEVLSDFDENFGIGVAYLQKVNGFVSIFRRAARDRVIQFCIPPTELYKFSHRIPSFRMSGKEGDGVPAGSFELDPASLPSNLDDLSDPSDTKLEKENVHNQSTNLCSQSPKSELKTTKVSRKICTPKKYESGPEIGSSIFGKSPTDTIVIVAGLCARNWDGRKVKDPGNIAQPGGINISSPAKDRIETPEKQNKSELVADALTPRRSPRDLSNRNGEVNASQGMTEGDPQKNTAANNDVSRGKPSSLLSQPDDMMHAKDGGSVGLIISGISSGRKVVELEVECYNFEREKSQDKFQLDQIWALYSNDGGLPRNYCQIKVIDSTPNFRLHVAMLEACSPPKDARRPVCCGIFKVNDDETKVLSTSKFSHLLKVQSIGNSKYEIHPRKGEIWALYKNWNSESCSDQSVGESDIVELLEDNECSVKVVVLIPARVSESPGRNKCFYWAPRIQRSKTGVLDIPRAEFCRFSHQCSAFKHAGEKGKCPRSYWEIDPSSIISNPVILVD >Potri.005G043200.1.v4.1 pep chromosome:Pop_tri_v4:5:2720648:2725559:-1 gene:Potri.005G043200.v4.1 transcript:Potri.005G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043200.v4.1 MECNKDEAIRAKDIAERKMQNGDFEGAKKIALKALQLYPDLENISQMLAVCEVHCSAQNKLYGSEMDWYGILQIERFSDEAVIKKQYRKFALSLHPDKNKFSGAEAAFKLIGEANRVLTDPAKRSLYDLKCKRSVRPPAPRPTSHQSNQNSIAKKQHEANKFSSAPGSQYMSAHPYQPQRPTFWTWCTSCNMRYQYYRELQNKTLRCQSCQNSFIAINLDIHGVLNGSPWSQFPNQNGVPNQGPSKVVPQRNSGKPSGASFSDRFRPVDIGGSSKPSEVKAGNNIKNGGASKDLGTSKGASRKRGKQSRVESSESFETGSNDDSDEDVVIQENRSSISGQNSGSCGGNQPRRSSRQKQNVSYKEKLIDDDDFSVSASKRQRVNGLSSVIEEEIKEAVRDGRLHKEQSSAGVDAAAVDRNKKEVKQRSSSVLEESLSNKKSKTGVFTKREEASTVEKADALSDNKDGKPKADDIRNPETLEIPDPDFSNFENDKAENCFAVNQMWAIYDDTDGMPRFYARIKKVLSPGFKLLITWLEASSDVAHEKDWSDKDLPVACGKFESGDTQRTADRAMFSHQMCFMNGNSRGSYLIYPQKGETWALFKDWEVKWSSEPEKHRPPYRFEFVEVLSDFDENFGIGVAYLQKVNGFVSIFRRAARDRVIQFCIPPTELYKFSHRIPSFRMSGKEGDGVPAGSFELDPASLPSNLDDLSDPSDTKLEKENVHNQSTNLCSQSPKSELKTTKVSRKICTPKKYESGPEIGSSIFGKSPTDTIVIVAGLCARNWDGRKVKDPGNIAQPGGINISSPAKDRIETPEKQNKSELVADALTPRRSPRDLSNRNGEVNASQGMTEGDPQKNTAANNDVSRGKPSSLLSQPDDMMHAKDGGSVGLIISGISSGRKVVELEVECYNFEREKSQDKFQLDQIWALYSNDGGLPRNYCQIKVIDSTPNFRLHVAMLEACSPPKDARRPVCCGIFKVNDDETKVLSTSKFSHLLKVQSIGNSKYEIHPRKGEIWALYKNWNSESCSDQSVGESDIVELLEDNECSVKVVVLIPARVSESPGRNKCFYWAPRIQRSKTGVLDIPRAEFCRFSHQCSAFKHAGEKGKCPRSYWEIDPSSIISNPVILVD >Potri.005G043200.2.v4.1 pep chromosome:Pop_tri_v4:5:2719672:2725331:-1 gene:Potri.005G043200.v4.1 transcript:Potri.005G043200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043200.v4.1 MECNKDEAIRAKDIAERKMQNGDFEGAKKIALKALQLYPDLENISQMLAVCEVHCSAQNKLYGSEMDWYGILQIERFSDEAVIKKQYRKFALSLHPDKNKFSGAEAAFKLIGEANRVLTDPAKRSLYDLKCKRSVRPPAPRPTSHQSNQNSIAKKQHEANKFSSAPGSQYMSAHPYQPQRPTFWTWCTSCNMRYQYYRELQNKTLRCQSCQNSFIAINLDIHGVLNGSPWSQFPNQNGVPNQGPSKVVPQRNSGKPSGASFSDRFRPVDIGGSSKPSEVKAGNNIKNGGASKDLGTSKGASRKRGKQSRVESSESFETGSNDDSDEDVVIQENRSSISGQNSGSCGGNQPRRSSRQKQNVSYKEKLIDDDDFSVSASKRQRVNGLSSVIEEEIKEAVRDGRLHKEQSSAGVDAAAVDRNKKEVKQRSSSVLEESLSNKKSKTGVFTKREEASTVEKADALSDNKDGKPKADDIRNPETLEIPDPDFSNFENDKAENCFAVNQMWAIYDDTDGMPRFYARIKKVLSPGFKLLITWLEASSDVAHEKDWSDKDLPVACGKFESGDTQRTADRAMFSHQMCFMNGNSRGSYLIYPQKGETWALFKDWEVKWSSEPEKHRPPYRFEFVEVLSDFDENFGIGVAYLQKVNGFVSIFRRAARDRVIQFCIPPTELYKFSHRIPSFRMSGKEGDGVPAGSFELDPASLPSNLDDLSDPSDTKLEKENVHNQSTNLCSQSPKSELKTTKVSRKICTPKKYESGPEIGSSIFGKSPTDTIVIVAGLCARNWDGRKVKDPGNIAQPGGINISSPAKDRIETPEKQNKSELVADALTPRRSPRDLSNRNGEVNASQGMTEGDPQKNTAANNDVSRGKPSSLLSQPDDMMHAKDGGSVGLIISGISSGRKVVELEVECYNFEREKSQDKFQLDQIWALYSNDGGLPRNYCQIKVIDSTPNFRLHVAMLEACSPPKDARRPVCCGIFKVNDDETKVLSTSKFSHLLKVQSIGNSKYEIHPRKGEIWALYKNWNSESCSDQSVGESDIVELLEDNECSVKVVVLIPARVSESPGRNKCFYWAPRIQRSKTGVLDIPRAEFCRFSHQCSAFKHAGEKGKCPRSYWEIDPSSIISNPVILVD >Potri.005G043200.3.v4.1 pep chromosome:Pop_tri_v4:5:2720670:2725581:-1 gene:Potri.005G043200.v4.1 transcript:Potri.005G043200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043200.v4.1 MECNKDEAIRAKDIAERKMQNGDFEGAKKIALKALQLYPDLENISQMLAVCEVHCSAQNKLYGSEMDWYGILQIERFSDEAVIKKQYRKFALSLHPDKNKFSGAEAAFKLIGEANRVLTDPAKRSLYDLKCKRSVRPPAPRPTSHQSNQNSIAKKQHEANKFSSAPGSQYMSAHPYQPQRPTFWTWCTSCNMRYQYYRELQNKTLRCQSCQNSFIAINLDIHGVLNGSPWSQFPNQNGVPNQGPSKVVPQRNSGKPSGASFSDRFRPVDIGGSSKPSEVKAGNNIKNGGASKDLGTSKGASRKRGKQSRVESSESFETGSNDDSDEDVVIQENRSSISGQNSGSCGGNQPRRSSRQKQNVSYKEKLIDDDDFSVSASKRQRVNGLSSVIEEEIKEAVRDGRLHKEQSSAGVDAAAVDRNKKEVKQRSSSVLEESLSNKKSKTGVFTKREEASTVEKADALSDNKDGKPKADDIRNPETLEIPDPDFSNFENDKAENCFAVNQMWAIYDDTDGMPRFYARIKKVLSPGFKLLITWLEASSDVAHEKDWSDKDLPVACGKFESGDTQRTADRAMFSHQMCFMNGNSRGSYLIYPQKGETWALFKDWEVKWSSEPEKHRPPYRFEFVEVLSDFDENFGIGVAYLQKVNGFVSIFRRAARDRVIQFCIPPTELYKFSHRIPSFRMSGKEGDGVPAGSFELDPASLPSNLDDLSDPSDTKLEKENVHNQSTNLCSQSPKSELKTTKVSRKICTPKKYESGPEIGSSIFGKSPTDTIVIVAGLCARNWDGRKVKDPGNIAQPGGINISSPAKDRIETPEKQNKSELVADALTPRRSPRDLSNRNGEVNASQGMTEGDPQKNTAANNDVSRGKPSSLLSQPDDMMHAKDGGSVGLIISGISSGRKVVELEVECYNFEREKSQDKFQLDQIWALYSNDGGLPRNYCQIKVIDSTPNFRLHVAMLEACSPPKDARRPVCCGIFKVNDDETKVLSTSKFSHLLKVQSIGNSKYEIHPRKGEIWALYKNWNSESCSDQSVGESDIVELLEDNECSVKVVVLIPARVSESPGRNKCFYWAPRIQRSKTGVLDIPRAEFCRFSHQCSAFKHAGEKGKCPRSYWEIDPSSIISNPVILVD >Potri.001G056601.1.v4.1 pep chromosome:Pop_tri_v4:1:4257092:4257717:1 gene:Potri.001G056601.v4.1 transcript:Potri.001G056601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056601.v4.1 MEPIVLTQLATGLSVLAGAVLVKSVMGQKPMAGPTCPSCNGTRRVACLCSRWSDRDVGCRTCSGSGRMACSSCGGTGTGRPIPVQISMRSPNRPS >Potri.001G432333.1.v4.1 pep chromosome:Pop_tri_v4:1:45753889:45772128:-1 gene:Potri.001G432333.v4.1 transcript:Potri.001G432333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432333.v4.1 MASGLPKLRILRVSEASQLLGVFGQDDHASPVNVEKEMVLPNLCEIEIRECNKLKSLFPVAMIVSLVQLKVLKILSCEELEQIIARDNDDEKDQILPGGHLQSLCFPNLREIEIRECNKLKSLFPVAMASGLPELRILTVKKSSQLWGVFGQEDHDLPVNVEKEMVLPNLNELSLEQLSSIVYFSFGCCDFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVSEVAEDSSINREWNRYNWWKEDGDNR >Potri.017G051700.1.v4.1 pep chromosome:Pop_tri_v4:17:3714896:3715786:-1 gene:Potri.017G051700.v4.1 transcript:Potri.017G051700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051700.v4.1 MAFNYYFTTLAFLLCFLAPSFAALVQEQPLVLKYHNGILLKGNITVNLIWYGQFTPIQRSIIVDFINSLNSKGAPLPSTSSWWKTTEKYRGGSSSLSVGHQILHEEYTLGKILKSQHLIALASKTHFAVSSINVVLTAKDVVVDGFCMSKCGTHGSTKSGSGRGTYIWVGNSDLQCPGQCAWPFHQPIYGPQTPPLIAPNGDVGVDGMVINLATLFANTVTNPFNSGYFQGPPTAPLEAVSACTGQFGSGSYPGYPGRVLVDKVTGASFNAYGVNGRKYMLPAMWDPQSSACKTLV >Potri.019G023018.1.v4.1 pep chromosome:Pop_tri_v4:19:662767:663629:1 gene:Potri.019G023018.v4.1 transcript:Potri.019G023018.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023018.v4.1 MALTHFASSFCTLPTLASPSRALAGPVSSKNYRSLPTKVRCMVATEAAGQIVRRSANYQTSIWEYDFVQSLTSKYKGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFVDEIKSTLKSLFYKNHVENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHEDLKGIATSVEELCMKLHTSW >Potri.014G001000.7.v4.1 pep chromosome:Pop_tri_v4:14:121039:126119:1 gene:Potri.014G001000.v4.1 transcript:Potri.014G001000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001000.v4.1 MAATQSLSQPDPDRPSEEKPAESDNMEERDTAPLGHPRDNTGQSEAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNATGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAHLYSPQQFPFSGPPYYQHLGPPNMSYITSPSPISQPEFNTLANIDQQGDNMLFGPRPSYPPVGSISGGSFPGKHGFHDLQHGFDGLRSGGLWSAWSKPSDRNRPLSPFSPAVSPQPIGMLGSFGQNVGMASHQQRSFYGLESGSNSYKRAYLQSGYNQGSGFGSASISSLGTNSRGWLSLENSRLRGRSSISLCGCNGSLDILSEQNRGPRASKSKAQNTADHGPSVDDKKHSKPSAKIHDESYNQSDFVTEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTAYHEAKDKQDPCPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGVEMLNIFKNYETDTSILDDFDFYEDRQKAMQDRKARQQASLLAVGVAGESEHRNAVTLPTDIIKQMSKSFAQVICLDESSKEGIVTDRASSGTDGSVGTRVKLEDGIITVSPSQTS >Potri.014G001000.5.v4.1 pep chromosome:Pop_tri_v4:14:121013:125954:1 gene:Potri.014G001000.v4.1 transcript:Potri.014G001000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001000.v4.1 MDAEEKPAESDNMEERTLSAKNETSVSPNSSQDTAPLGHPRDNTGQSEAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNATGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAHLYSPQQFPFSGPPYYQHLGPPNMSYITSPSPISQPEFNTLANIDQQGDNMLFGPRPSYPPVGSISGGSFPGKHGFHDLQHGFDGLRSGGLWSAWSKPSDRNRPLSPFSPAVSPQPIGMLGSFGQNVGMASHQQRSFYGLESGSNSYKRAYLQSGYNQGSGFGSASISSLGTNSRGWLSLENSRLRGRSSISLCGCNGSLDILSEQNRGPRASKSKAQNTADHGPSVDDKKHSKPSAKIHDESYNQSDFVTEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTAYHEAKDKQDPCPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGVEMLNIFKNYETDTSILDDFDFYEDRQKAMQDRKARQQASLLAVGVAGESEHRNAVTLPTDIIKQMSKSFAQVICLDESSKEGIVTDRASSGTDGSVGTRVKLEDGIITVSPSQTS >Potri.014G001000.1.v4.1 pep chromosome:Pop_tri_v4:14:120943:125959:1 gene:Potri.014G001000.v4.1 transcript:Potri.014G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001000.v4.1 MAATQSLSQPDPDRPSEEKPAESDNMEERTLSAKNETSVSPNSSQDTAPLGHPRDNTGQSEAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNATGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAHLYSPQQFPFSGPPYYQHLGPPNMSYITSPSPISQPEFNTLANIDQQGDNMLFGPRPSYPPVGSISGGSFPGKHGFHDLQHGFDGLRSGGLWSAWSKPSDRNRPLSPFSPAVSPQPIGMLGSFGQNVGMASHQQRSFYGLESGSNSYKRAYLQSGYNQGSGFGSASISSLGTNSRGWLSLENSRLRGRSSISLCGCNGSLDILSEQNRGPRASKSKAQNTADHGPSVDDKKHSKPSAKIHDESYNQSDFVTEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTAYHEAKDKQDPCPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGVEMLNIFKNYETDTSILDDFDFYEDRQKAMQDRKARQQASLLAVGVAGESEHRNAVTLPTDIIKQMSKSFAQVICLDESSKEGIVTDRASSGTDGSVGTRVKLEDGIITVSPSQTS >Potri.014G001000.4.v4.1 pep chromosome:Pop_tri_v4:14:120985:125946:1 gene:Potri.014G001000.v4.1 transcript:Potri.014G001000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001000.v4.1 MDAEEKPAESDNMEERDTAPLGHPRDNTGQSEAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNATGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAHLYSPQQFPFSGPPYYQHLGPPNMSYITSPSPISQPEFNTLANIDQQGDNMLFGPRPSYPPVGSISGGSFPGKHGFHDLQHGFDGLRSGGLWSAWSKPSDRNRPLSPFSPAVSPQPIGMLGSFGQNVGMASHQQRSFYGLESGSNSYKRAYLQSGYNQGSGFGSASISSLGTNSRGWLSLENSRLRGRSSISLCGCNGSLDILSEQNRGPRASKSKAQNTADHGPSVDDKKHSKPSAKIHDESYNQSDFVTEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTAYHEAKDKQDPCPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGVEMLNIFKNYETDTSILDDFDFYEDRQKAMQDRKARQQASLLAVGVAGESEHRNAVTLPTDIIKQMSKSFAQVICLDESSKEGIVTDRASSGTDGSVGTRVKLEDGIITVSPSQTS >Potri.014G001000.2.v4.1 pep chromosome:Pop_tri_v4:14:120652:126113:1 gene:Potri.014G001000.v4.1 transcript:Potri.014G001000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001000.v4.1 MDAEEKPAESDNMEERTLSAKNETSVSPNSSQDTAPLGHPRDNTGQSEAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNATGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAHLYSPQQFPFSGPPYYQHLGPPNMSYITSPSPISQPEFNTLANIDQQGDNMLFGPRPSYPPVGSISGGSFPGKHGFHDLQHGFDGLRSGGLWSAWSKPSDRNRPLSPFSPAVSPQPIGMLGSFGQNVGMASHQQRSFYGLESGSNSYKRAYLQSGYNQGSGFGSASISSLGTNSRGWLSLENSRLRGRSSISLCGCNGSLDILSEQNRGPRASKSKAQNTADHGPSVDDKKHSKPSAKIHDESYNQSDFVTEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTAYHEAKDKQDPCPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGVEMLNIFKNYETDTSILDDFDFYEDRQKAMQDRKARQQASLLAVGVAGESEHRNAVTLPTDIIKQMSKSFAQVICLDESSKEGIVTDRASSGTDGSVGTRVKLEDGIITVSPSQTS >Potri.014G001000.8.v4.1 pep chromosome:Pop_tri_v4:14:121228:126076:1 gene:Potri.014G001000.v4.1 transcript:Potri.014G001000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001000.v4.1 MEERTLSAKNETSVSPNSSQDTAPLGHPRDNTGQSEAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNATGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAHLYSPQQFPFSGPPYYQHLGPPNMSYITSPSPISQPEFNTLANIDQQGDNMLFGPRPSYPPVGSISGGSFPGKHGFHDLQHGFDGLRSGGLWSAWSKPSDRNRPLSPFSPAVSPQPIGMLGSFGQNVGMASHQQRSFYGLESGSNSYKRAYLQSGYNQGSGFGSASISSLGTNSRGWLSLENSRLRGRSSISLCGCNGSLDILSEQNRGPRASKSKAQNTADHGPSVDDKKHSKPSAKIHDESYNQSDFVTEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTAYHEAKDKQDPCPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGVEMLNIFKNYETDTSILDDFDFYEDRQKAMQDRKARQQASLLAVGVAGESEHRNAVTLPTDIIKQMSKSFAQVICLDESSKEGIVTDRASSGTDGSVGTRVKLEDGIITVSPSQTS >Potri.014G001000.6.v4.1 pep chromosome:Pop_tri_v4:14:121181:125945:1 gene:Potri.014G001000.v4.1 transcript:Potri.014G001000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001000.v4.1 MDAEEKPAESDNMEERTLSAKNETSVSPNSSQDTAPLGHPRDNTGQSEAFGSPGDRAVYPPNIYAPQAQAFYYRGYDNATGEWDEYPPYINAEGLEIGSPGVYNDNPSLVFHAGYGYSPQMPYGPYSPVTTPLPSVGGDAHLYSPQQFPFSGPPYYQHLGPPNMSYITSPSPISQPEFNTLANIDQQGDNMLFGPRPSYPPVGSISGGSFPGKHGFHDLQHGFDGLRSGGLWSAWSKPSDRNRPLSPFSPAVSPQPIGMLGSFGQNVGMASHQQRSFYGLESGSNSYKRAYLQSGYNQGSGFGSASISSLGTNSRGWLSLENSRLRGRSSISLCGCNGSLDILSEQNRGPRASKSKAQNTADHGPSVDDKKHSKPSAKIHDESYNQSDFVTEYKDAKFFIIKSYSEDNVHKSIKYGVWASTPNGNRKLDTAYHEAKDKQDPCPVFLLFSVNASAQFCGVAEMVGPVDFDKSVDYWQQDKWSGQFPVKWHIIKDVPNSQFRHIVLENNDNKPVTNSRDTQEVKLEQGVEMLNIFKNYETDTSILDDFDFYEDRQKAMQDRKARQQASLLAVGVAGESEHRNAVTLPTDIIKQMSKSFAQVICLDESSKEGIVTDRASSGTDGSVGTRVKLEDGIITVSPSQTS >Potri.008G094300.1.v4.1 pep chromosome:Pop_tri_v4:8:5889118:5891788:1 gene:Potri.008G094300.v4.1 transcript:Potri.008G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094300.v4.1 MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDIFEPRIIAWNSDHLPIYEPGLDDVVKQCRGKNLFFSKDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLAYWESAARMIADVSKTDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGKKAIQALKDVYAHWVPVERIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVFQVSHAIGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECYGLPEVASYWKQVVQVNEYQKTRFVNRMVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIGVCQGLLGDKAILSIYDPQVSQEQIQRDLSMHKSELDRPPHLQPASPTAIKQVTFVWDAYEAAKGAHGICILTEWDEFKTLDYQKIYDDMQKPAFVFDGRNVVDVDKLRQIGFIVYSIGKPLDAWLKDMPAVA >Potri.008G094300.3.v4.1 pep chromosome:Pop_tri_v4:8:5889010:5891573:1 gene:Potri.008G094300.v4.1 transcript:Potri.008G094300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094300.v4.1 MVKICCIGAGYVGGPTMAVIALKCPDIEVVVVDIFEPRIIAWNSDHLPIYEPGLDDVVKQCRGKNLFFSKDVEKHVAEADIVFVSVNTPTKTQGLGAGKAADLAYWESAARMIADVSKTDKIVVEKSTVPVKTAEAIEKILTHNSKGINFQILSNPEFLAEGTAIQDLFNPDRVLIGGRETPEGKKAIQALKDVYAHWVPVERIICTNLWSAELSKLAANAFLAQRISSVNAMSALCEATGADVFQVSHAIGKDTRIGPKFLNASVGFGGSCFQKDILNLVYICECYGLPEVASYWKQVVQVNEYQKTRFVNRMVSSMFNTVSGKKIAILGFAFKKDTGDTRETPAIGVCQGLLGDKAILSIYDPQVSQEQIQRDLSMHKSELDRPPHLQPASPTAIKQVTFVWDAYEAAKGAHGICILTEWDEFKTLDYQKIYDDMQKPAFVFDGRNVVDVDKLRQIGFIVYSIGKPLDAWLKDMPAVA >Potri.009G012600.1.v4.1 pep chromosome:Pop_tri_v4:9:2278470:2279957:-1 gene:Potri.009G012600.v4.1 transcript:Potri.009G012600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012600.v4.1 MAKMKSYILLAVFVVLIATQGMVVQGSSNGNGNENNGNNNGNGNNGNTNGNGNHGNSNGNNNDGNDNGNGNNGNGNGNDNGNEDHDDLTPLGSGQERAQCKAKGHCKNKIVVCPAQCPEKKPKKNKKHKACFVDCSSKCEVTCKYRKPNCNGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDNLQINAHFIGSRPQGRTRDFTWVQALSVMFDSHNLIIAANKVSHWDDNVDSLNVRWDGEAINIPTDGEAEWRSDSEERQVVVERTDDTNAMKVTVAGLLEMDIKVRPIGKHENKVHNYQLPDNDAFAHLETQFRFKNLTDLVEGVLGKTYRPDYVSPVKTGVPMPMMGGEDKYQTPSLLSPFCRLCRFQGASAGNRMATI >Potri.008G028125.1.v4.1 pep chromosome:Pop_tri_v4:8:1480109:1481183:-1 gene:Potri.008G028125.v4.1 transcript:Potri.008G028125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028125.v4.1 MGSPRVLGTAFLVLLIVDIAFAARTLQSISGGGGQGGGGGGGSGSGLGSGYGSGSGCGSGEGYGAGGHGGGGGGGSGGGGGGGSGGGNGSGSGYGSGSGSGYGSGSGIGGGKGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGGGKGGNGGGGGGGGGGGSGSGSGSGYGSGSGYGSGYGGGKGKSLP >Potri.019G087100.1.v4.1 pep chromosome:Pop_tri_v4:19:12627598:12629751:-1 gene:Potri.019G087100.v4.1 transcript:Potri.019G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087100.v4.1 MTVEAVNPKAYPLADAQLAITILDLVQQAANYKQLKKGANEATKTLNRGISEFVVMAADTEPLEILLHLPLLAEDKNVPYVFVSSKQALGRACGVTRPVIACSVTTNEGSQLKTQIQQLKDAIEKLLI >Potri.012G071450.1.v4.1 pep chromosome:Pop_tri_v4:12:9471467:9472292:1 gene:Potri.012G071450.v4.1 transcript:Potri.012G071450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071450.v4.1 MIVMRVTSVSFLLSASVLKERKKSSGPYSLSVLAFLLSPLLCVPLVALASVGSGLPFSSCPPSFSFPFFFQFFFLFSPAFFVFSGFIATEFHRYQVINQLLLQE >Potri.006G073900.1.v4.1 pep chromosome:Pop_tri_v4:6:5416720:5420426:1 gene:Potri.006G073900.v4.1 transcript:Potri.006G073900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073900.v4.1 MADPLLSFFLLLLSLLLSTTTTTTADDAATFLKLASALTPTPKGWSTTNSNDYCNWNGVKCDSSNNVISINLATQSLSGILPSELSTLSQLQSLSLQENKLSGALPSLANLASLREIYIGTNNFTSIPQDCFKGLTSLQTMSMNENINLESWVISTDLTESPSLTTFEASNAKIFGTIPDMFASFPSLQNLRLSYNNLTGGLPPSFANSEIQNLWLNNQEMGLSGNIEVLSSMEQLSQVWLQKNQFTGPVPDLSKSKNLFDLQLRDNQFTGILPVSLHSLPGLLNISLSNNKLQGPVPQFGKDVIVDNSGLNNFCVDTAGVACDPQVTTLLEIAGGFGYPVTLSDSWKGNDACSGWPFVFCDSSKKTVTTVSLGKQHFGGIISPAFANLTALTTLKLNDNNLSGPIPDSLAKLSQLSLLDVSNNNLTGKIPSFATSVKLTTTPGNPFLGSGGVPGSGGAPSPGSDSNTTAPGDGPNGKGIGGKKVSPGLIAGIVVGLVIVGVIGVFLLFKINIKKKRGKSGRVNDQENGDGISALVTNGSSGCTKGYGVLSEIQSQSSGNHSGRNIFEGGNNVISIEVLRQVTDNFSENNILGKGGFGVVYKGELHDGTKIAVKRMEAGAMGTKGMNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGNLAQHLFEWQELGYPPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGNYSMETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVILMEIMTGRKALDDTVPDERAHLVTWFRRVLVNKDSLPKAIDQTLNPDEETLVSIFKVAELAGHCTAREPYQRPDMGHAVNVLGPLVEQWKPTNHEEESTSGIDLHMSLPQFLQRWQADEGTSTMFNDRSHSQIQSSISGGFTDTFTSNDCR >Potri.014G150800.2.v4.1 pep chromosome:Pop_tri_v4:14:10436749:10451833:-1 gene:Potri.014G150800.v4.1 transcript:Potri.014G150800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150800.v4.1 MAMWVSSTVNSLRISTIMLGFSNLAVVIVGGVLLFLVFPGCELNRITIPVAMVSLAAAFKIFAMFKSGIAQKATAFSILDSPLDSSAIDSINRLRRRLRYKTWLWWSRFALVMTLLQILTAIYLVFNVVKYISHDGTSSECQPGTASNGNKWKTKLLISFVIAVCTIPLIHIFVGPAVLRWRSFYQTQDDVWKAHYQEVFDHGIREALCCLGRVKYMRVSKEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQRHSESPKSHDGLVEAPREMIQEAFAFHEFAEAAYTGPLLDFGRHTVFFPCAWLYRQRILTPWTRNRRPSLSGDNWWRGHAAAFLKYTNLPPEALRRGRVCQEKCEAAYFVVVLRHLRSVVISVRGTETPEDLITDGLGRECLLSREDLDGLINSSHICPDVKRSVESSFPHYGHSGIVEAARDLYMQLEGNLANNDTESESSSGFLSSLLGAGCECDGYSLRIVGHSLGGAIAALLGLRLYRQYPALHVYAYGPLPCVDLVIAEACSEFVTSIVHNNEFSARLSVGSVLRLRAAAIEALAQDSKTDTALIFRLARQFLCVSKNQRGKIEAADPSELHSAASTVDELDQKVYVGSNKVDRSYSLWKESDRTNSGDRTNSGGDTEDDNIENPFYDNTSVINSLDDPVSQFLETVPRSENGSAGDRAEMFLPGLVIHMVPQQRHISMPLWKGWRFQERVRNYKAYLANRDVFKDIVVSPNMFFDHLPWRCHNAMKRVLESQNDKGMLDVSQII >Potri.014G150800.1.v4.1 pep chromosome:Pop_tri_v4:14:10436704:10451668:-1 gene:Potri.014G150800.v4.1 transcript:Potri.014G150800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150800.v4.1 MAMWVSSTVNSLRISTIMLGFSNLAVVIVGGVLLFLVFPGCELNRITIPVAMVSLAAAFKIFAMFKSGIAQKATAFSILDSPLDSSAIDSINRLRRRLRYKTWLWWSRFALVMTLLQILTAIYLVFNVVKYISHDGTSSECQPGTASNGNKWKTKLLISFVIAVCTIPLIHIFVGPAVLRWRSFYQTQDDVWKAHYQEVFDHGIREALCCLGRVKYMRVSKEDEVYSVARLLGDLVAYRASGTGHLELLAGLALLQRHSESPKSHDGLVEAPREMIQEAFAFHEFAEAAYTGPLLDFGRHTVFFPCAWLYRQRILTPWTRNRRPSLSGDNWWRGHAAAFLKYTNLPPEALRRGRVCQEKCEAAYFVVVLRHLRSVVISVRGTETPEDLITDGLGRECLLSREDLDGLINSSHICPDVKRSVESSFPHYGHSGIVEAARDLYMQLEGNLANNESESSSGFLSSLLGAGCECDGYSLRIVGHSLGGAIAALLGLRLYRQYPALHVYAYGPLPCVDLVIAEACSEFVTSIVHNNEFSARLSVGSVLRLRAAAIEALAQDSKTDTALIFRLARQFLCVSKNQRGKIEAADPSELHSAASTVDELDQKVYVGSNKVDRSYSLWKESDRTNSGDRTNSGGDTEDDNIENPFYDNTSVINSLDDPVSQFLETVPRSENGSAGDRAEMFLPGLVIHMVPQQRHISMPLWKGWRFQERVRNYKAYLANRDVFKDIVVSPNMFFDHLPWRCHNAMKRVLESQNDKGMLDVSQII >Potri.001G200400.3.v4.1 pep chromosome:Pop_tri_v4:1:19952415:19959790:1 gene:Potri.001G200400.v4.1 transcript:Potri.001G200400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200400.v4.1 MALKKIPMSNDNASVVFLVFLASLVCSVTASVSYDSKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFVKLVKEAGLYVNLRIGPYICAEWNFGGFPVWLKYIPGINFRTDNGPFKAQMRKFTTKIVNMMKAERLFESQGGPIILSQIENEYGPMEYELGSPGQAYTKWAAQMAVGLRTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTQFGGPVPHRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDATVIPLGNYQEAHVFNYKAGGCAAFLANYHQRSFAKVSFRNMHYNLPPWSISILPDCKNTVYNTARVGAQSATIKMTPVPMHGGLSWQTYNEEPSSSGDNTFTMVGLLEQINTTRDVSDYLWYMTDVHIDPSEGFLKSGKYPVLTVLSAGHALHVFINGQLSGTAYGSLDFPKLTFSQGVSLRAGVNKISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRMDLSWQKWSYKIGLHGEALSLHSISGSSSVEWAEGSLVAQKQPLSWYKTTFNAPAGNSPLALDMGSMGKGQIWINGQHVGRHWPAYKASGTCGECTYIGTYNENKCSTNCGEASQRWYHVPQSWLKPTGNLLVVFEEWGGDPNGVSLVRREVDSVCADIYEWQPTLMNYQMQASGKVNKPLRPKAHLSCGPGQKIRSIKFASFGTPEGVCGSYNQGSCHAFHSYDAFNNLCVGQNSCSVTVAPEMFGGDPCPSVMKKLAAEAICS >Potri.005G157300.1.v4.1 pep chromosome:Pop_tri_v4:5:14988272:14989922:1 gene:Potri.005G157300.v4.1 transcript:Potri.005G157300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157300.v4.1 MGVKGFVEGGIASIVAGCSTHPLDLIKVRMQLQGENLPNPQQVHSLRPAYAFNSAAIPHNSVHIPPPPLPRVGPISAGVRIFQSEGVAALFSGVSATVLRQTLYSTTRMGLYDILKQKWTNPETGNMPLLSKITAGLIAGGIGAAVGNPADVAMVRMQADGRLPSSQRRNYNSVIDAITRMSKQEGVASLWRGSSLTVNRAMIVTASQLASYDQIKEMILENGMMKDGLGTHVTASFAAGFVAAVASNPIDVIKTRVMNMKVEPGKVAPYSGAIDCAMKTVKAEGIMALYKGFIPTISRQGPFTVVLFVTLEQVRELLKDF >Potri.003G053900.1.v4.1 pep chromosome:Pop_tri_v4:3:7905902:7908414:-1 gene:Potri.003G053900.v4.1 transcript:Potri.003G053900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053900.v4.1 MNGSEIIMDFWPEFIASSWGREFVAGGFGGIAGIISGYPLDTLRIRLQQSNSGSAFSILRRVMSSEGPAALYRGMGAPLASVTFQNAMVFQTYAILSRALDSSASANDPPSYKGVALGGVGTGAIQSIILSPVELIKIRLQLQNRNHANLQEAASPKGPLSVAKSILKTEGLKGMYRGFVITVLRDAPAYGVYFWTYEYMREQFHPGCRKNGQESVRTMLTAGGLAGVASWLCCYPLDVVKTRLQAQSPSSQLKYKGILDCFSRSVKEDGYCVLWRGLGTAVARAFVVNGAVFASYETALRCLFNNGNIQTENTI >Potri.013G155900.2.v4.1 pep chromosome:Pop_tri_v4:13:15090461:15093764:1 gene:Potri.013G155900.v4.1 transcript:Potri.013G155900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155900.v4.1 MTRKRKTPKNPQELGEAEKGKNGFFACYLLTSLCPRFKGHTYIGFTVNPRRRIRQHNGELRSGACRTKKRRPWEMVFCIYGFPTNVAALQFEWAWQHPTESVAVRQAAAAFKSFSGVANKIKLAYTMLNLPSWQSLNITINYFSTNYKVHSVGCPSLPKNMKVQICPMDELPCYCDSGDILFEERENEDACDGEEEYERASDGSGTFEANLVELEVSSLDELPCYNGRGDNIFEGGYGETASREACNRSEPVHEKYNESVNTRGTVKEAHADIIVRIAADFARSIDKTSNEHFRWFEEDDQQDQRESPSPELDHANPFPFMTLLARKASSIVTSFSKSETGDRGVLTLIDEDVSELDWQRAKKLVIDKDDEASNTCETVNVDTHSSVDAHYINKASREQFGQSEPYVMQDQREPPSPELDYAEPFGFMNQPSSKASSSIVTNFSARETRDGGVLTLIGEDASEFDWPRWKKLSCKVINDKDQVLIPRSFIHREIEVIDLLSPSPECRIRSANKKRRVSPVYPVIIDLT >Potri.001G098200.2.v4.1 pep chromosome:Pop_tri_v4:1:7797807:7798756:-1 gene:Potri.001G098200.v4.1 transcript:Potri.001G098200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098200.v4.1 MFFFNSLALIVILSAIILVFAIIVKPRTPRVKLSSVAVEHLSYGNNPIPSFNMTLAAEVSVKNSNFVRFKFENTSSSALYKGMVVGEAKLRSGRVGARKTRRMNIVVKIGSPGSLSEAKNLSSDINSGMLKMNSYATLKGDVRLFGIVKNRTAVMSCGMNLNLSSRSIQDLECS >Potri.011G046800.2.v4.1 pep chromosome:Pop_tri_v4:11:3712085:3713172:-1 gene:Potri.011G046800.v4.1 transcript:Potri.011G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046800.v4.1 MQQRSASTAKNLVRKIWNHHNQIQSLRRPPCDVFINHRCIDTKRTISGLLFDHLSRLRLHPFLDSKNMRPGDKLFDSIDRAIHECKVGIAVFSPRYCESYFCLHELALLMETKKRVIPIFCDVKPSQLHVKDNGRCPPKELQRFAYALEEAKYTVGLTFDTLEGDWSKFLTTAAEAVVHNLIEVDGEETHKERKYFMKNYF >Potri.006G077600.3.v4.1 pep chromosome:Pop_tri_v4:6:5756935:5761405:1 gene:Potri.006G077600.v4.1 transcript:Potri.006G077600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077600.v4.1 MQSRPEETIRMVKKEADCCTDSCHTGHLQSTLQEPIEVSSSNEDNSHDAGDIDDPSIEDSSKQLVLYDPVTNGAGQIEPVPQPIQFQRPFRRYSDPNVPSRVLPSVGTFTVQCAKCFKWRLIPTKKKYEELREHILEEPFFCETARGWRPDISCDDPTDITQDGSRLWAIDKPNIAQPPPGWQRLLRIRGEGSSKFADVYYQSPSGKRLRSMVEVQKYLIEHPEYMTYGVTLSQFSFQIPKPLQENYVRKRRPSISASCDYTRHLQPGEGDFTELQLGRPASPSFDRPVKKKAGTQSKQDYRTANPVCNQDGAKVEELDQSRNSGSDL >Potri.005G004900.3.v4.1 pep chromosome:Pop_tri_v4:5:380646:384526:-1 gene:Potri.005G004900.v4.1 transcript:Potri.005G004900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004900.v4.1 MARKKIREYDSKRLLKEHLKRLSGIDLQICSAQVTEGTDFTELTNKEPWLSSTKLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGAEVEMGGCKAPITTFIVEPFVPHDQEFYISIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTLEACAPLIATLPLEIRGKIGDFIIGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNVEFPLPFGRVLSSTESFIHSLDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEDEVLQYARVVIDCATSDPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRTLGEELGVPLEVYGPEATMTGICKQAIDCIMSTA >Potri.005G004900.5.v4.1 pep chromosome:Pop_tri_v4:5:380646:384702:-1 gene:Potri.005G004900.v4.1 transcript:Potri.005G004900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004900.v4.1 MARKKIREYDSKRLLKEHLKRLSGIDLQICSAQVTEGTDFTELTNKEPWLSSTKLVVKPDMLFGKRGKSGLVALNLDLAQVAEFVKARLGAEVEMGGCKAPITTFIVEPFVPHDQEFYISIVSERLGSTISFSECGGIEIEENWDKVKTIFLPTEKPMTLEACAPLIATLPLEIRGKIGDFIIGVFSVFQDLDFSFLEMNPFTLVNGEPYPLDMRGELDDTAAFKNFKKWGNVEFPLPFGRVLSSTESFIHSLDEKTSSSLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEDEVLQYARVVIDCATSDPDGRKRALLIGGGIANFTDVAATFNGIIRALREKESKLKAARMHIYVRRGGPNYQTGLAKMRTLGEELGVPLEVYGPEATMTGICKQAIDCIMSTA >Potri.016G079300.6.v4.1 pep chromosome:Pop_tri_v4:16:6028132:6030774:-1 gene:Potri.016G079300.v4.1 transcript:Potri.016G079300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079300.v4.1 MNKKAMLEMQTWSDLPIELLELIFCRLSLEDNIRSSIACKRWNTAAISVRVVNHSPWLMYFPKFGNMYEFYDPAQRKTYSLELPELYGSRVCYTKDGWLLLYRPRTNRVFFFNPFSREVVKLPRFELTYQIVAFSCAPTSNTCVVFTVRHISPTIVAISTCHPGATEWVTVNYQNRLPFVSSIWNKIVFCNGFFYCLSLTGWLGVFDPLEHTWSVLAVPPPKCPENFFAKNWWKGKFMSEHNGDILVIYTCCSENPIIFKLDQSKMFWREMKTLDGMTLFASFLSSHSRSDLPGMMRNSVYFSKVRFFGKRCISYSLDDCRYYPRKQCHDWGEQDPFENIWIEPPEDLSSFI >Potri.016G079300.2.v4.1 pep chromosome:Pop_tri_v4:16:6028320:6031578:-1 gene:Potri.016G079300.v4.1 transcript:Potri.016G079300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079300.v4.1 MGGRKRRKVKLLSARVVDDKRRTTMNKKAMLEMQTWSDLPIELLELIFCRLSLEDNIRSSIACKRWNTAAISVRVVNHSPWLMYFPKFGNMYEFYDPAQRKTYSLELPELYGSRVCYTKDGWLLLYRPRTNRVFFFNPFSREVVKLPRFELTYQIVAFSCAPTSNTCVVFTVRHISPTIVAISTCHPGATEWVTVNYQNRLPFVSSIWNKIVFCNGFFYCLSLTGWLGVFDPLEHTWSVLAVPPPKCPENFFAKNWWKGKFMSEHNGDILVIYTCCSENPIIFKLDQSKMFWREMKTLDGMTLFASFLSSHSRSDLPGMMRNSVYFSKVRFFGKRCISYSLDDCRYYPRKQCHDWGEQDPFENIWIEPPEDLSSFI >Potri.016G079300.1.v4.1 pep chromosome:Pop_tri_v4:16:6028132:6031556:-1 gene:Potri.016G079300.v4.1 transcript:Potri.016G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079300.v4.1 MGGRKRRKVKLLSARVVDDKRRTTMNKKAMLEMQTWSDLPIELLELIFCRLSLEDNIRSSIACKRWNTAAISVRVVNHSPWLMYFPKFGNMYEFYDPAQRKTYSLELPELYGSRVCYTKDGWLLLYRPRTNRVFFFNPFSREVVKLPRFELTYQIVAFSCAPTSNTCVVFTVRHISPTIVAISTCHPGATEWVTVNYQNRLPFVSSIWNKIVFCNGFFYCLSLTGWLGVFDPLEHTWSVLAVPPPKCPENFFAKNWWKGKFMSEHNGDILVIYTCCSENPIIFKLDQSKMFWREMKTLDGMTLFASFLSSHSRSDLPGMMRNSVYFSKVRFFGKRCISYSLDDCRYYPRKQCHDWGEQDPFENIWIEPPEDLSSFI >Potri.016G079300.5.v4.1 pep chromosome:Pop_tri_v4:16:6028410:6031552:-1 gene:Potri.016G079300.v4.1 transcript:Potri.016G079300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079300.v4.1 MNKKAMLEMQTWSDLPIELLELIFCRLSLEDNIRSSIACKRWNTAAISVRVVNHSPWLMYFPKFGNMYEFYDPAQRKTYSLELPELYGSRVCYTKDGWLLLYRPRTNRVFFFNPFSREVVKLPRFELTYQIVAFSCAPTSNTCVVFTVRHISPTIVAISTCHPGATEWVTVNYQNRLPFVSSIWNKIVFCNGFFYCLSLTGWLGVFDPLEHTWSVLAVPPPKCPENFFAKNWWKGKFMSEHNGDILVIYTCCSENPIIFKLDQSKMFWREMKTLDGMTLFASFLSSHSRSDLPGMMRNSVYFSKVRFFGKRCISYSLDDCRYYPRKQCHDWGEQDPFENIWIEPPEDLSSFI >Potri.019G051500.1.v4.1 pep chromosome:Pop_tri_v4:19:8560115:8567152:1 gene:Potri.019G051500.v4.1 transcript:Potri.019G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051500.v4.1 MMRLRTYASLSLVGALAVTYHAFNSRGQFYPAMVYLSTSKITLVLLLNMGLVIMCILWQFIKRLFLGSLREAEVERLNEQSWRELMEILFAITIFRQDFSVTFLAMVTTLLLIKSLHWLAQKRVEYIETTPSVNWLSHVRIVSFLGFLFLLDSMFLYSSVKYLLETRQASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKPIYTFYLEFVRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETLRNFKIRVADYIRYRKITSNMNDRFPDATAEEIDASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRALVVPPENSVSASGAQHGAQPDTHQQETGTATSATRISAGGVADDSLSRNQVRLQAAAAAASVYEKSFTYPSANTIMWSPGYALLPQVQRPLADSTNMEPSGDQGVVGQPRLQFSIQGGPPNLTLPQLPHCVFVPIQAPGACVYQGERACSTPNSELEAQKNFLQHQIEVLQSQLQLLQKPETEESMLLAPTTSSDNKGKTVASSPSVSESGYHAEIGQTDDADTANNVDLKL >Potri.019G051500.2.v4.1 pep chromosome:Pop_tri_v4:19:8560112:8567161:1 gene:Potri.019G051500.v4.1 transcript:Potri.019G051500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051500.v4.1 MMRLRTYASLSLVGALAVTYHAFNSRGQFYPAMVYLSTSKITLVLLLNMGLVIMCILWQFIKRLFLGSLREAEVERLNEQSWRELMEILFAITIFRQDFSVTFLAMVTTLLLIKSLHWLAQKRVEYIETTPSVNWLSHVRIVSFLGFLFLLDSMFLYSSVKYLLETRQASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWEKKPIYTFYLEFVRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETLRNFKIRVADYIRYRKITSNMNDRFPDATAEEIDASDATCIICREEMTTAKKLLCGHLFHVHCLRSWLERQHTCPTCRALVVPPENSVSASGAQHGAQPDTHQQGNYETGTATSATRISAGGVADDSLSRNQVRLQAAAAAASVYEKSFTYPSANTIMWSPGYALLPQVQRPLADSTNMEPSGDQGVVGQPRLQFSIQGGPPNLTLPQLPHCVFVPIQAPGACVYQGERACSTPNSELEAQKNFLQHQIEVLQSQLQLLQKPETEESMLLAPTTSSDNKGKTVASSPSVSESGYHAEIGQTDDADTANNVDLKL >Potri.006G017750.1.v4.1 pep chromosome:Pop_tri_v4:6:1112549:1113256:-1 gene:Potri.006G017750.v4.1 transcript:Potri.006G017750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017750.v4.1 MMRKETLLVALTWFLVVASITMCANATAGARLLASEQVDYPQGCRCCFFIGRIPYMRCGKMCCSSPAGENCCIAT >Potri.002G164400.4.v4.1 pep chromosome:Pop_tri_v4:2:12589499:12591237:1 gene:Potri.002G164400.v4.1 transcript:Potri.002G164400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164400.v4.1 MEDIDWDLHAVVRGCTTSTSTSTTATTTINSNSNRNGTTSGYRSDYYPQYSCFSGFGSEQVGHLFSHPDPFETRNVIGELDELYKPFFPKTQPLLYSPQACTPISSFSSFASFNKDLQTQPKQQQKKSQPKQSLAGSVTSSGNSHTPRSKRRKNQLKKVCQVPAEALSSDVWAWRKYGQKPIKGSPYPRGYYRCSSSKGCLARKQVERNRSDPGMFIVTYTAEHNHPAPTHRNSLAGSTRQKTSTPQAGTSNDSNKPSSPAQPTCSSPATSLEDELLVQSTTDTELSREEKDITEDDDEDELAGFSEIAVSDDFFAGLEEFVVPATGFFSDHFPASFGLPWHANNNAATAAGSI >Potri.013G059300.1.v4.1 pep chromosome:Pop_tri_v4:13:4326086:4331156:1 gene:Potri.013G059300.v4.1 transcript:Potri.013G059300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059300.v4.1 MAEQGGLEGSQPVDLSKHPSGIVPTLQNIVSTVNLDCKLELKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFAAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPELFPGLIYRMKQPKIVLLIFVSGKIVITGAKVREETYTAFENIYPVLTEFRKVQQ >Potri.009G056900.1.v4.1 pep chromosome:Pop_tri_v4:9:6006600:6008864:-1 gene:Potri.009G056900.v4.1 transcript:Potri.009G056900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056900.v4.1 MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKTTAAAKKEGEEGEAAATEEVKQSNHVQRKLEKRQQIRKLDPHIEEQFGSGRLLASISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAA >Potri.005G020200.1.v4.1 pep chromosome:Pop_tri_v4:5:1288283:1292006:1 gene:Potri.005G020200.v4.1 transcript:Potri.005G020200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020200.v4.1 MSSKRDFQFVDGGDGIAMGAPAAQESKRFKNAVRDVMGKLSVSDLVSEMEPFLRAVIRDEVERTVQRVLQSSSSSSLNQPQTSVKRGLMLQFVNKLPPTIFTGGKIEAEDDKPVTIVLMDANTNTIVTSGPLASLKIEIVPLDADFGSDDQEDWTESEFAARVIREREGRRPLVTGDLAITLRDGVGQLGDMVFTDNSSWQRSRKFRLGARPVQKVSGETRIREGRSEAFVVKDHRGESYQKHHPPHFRDEIWRLERIAKDGALHKRLAIDGVKSVQDFLRLYMIDPTKLRNVLGSGISNRTWDTIIRHATTCVVDDSKFYSYFDAGQSIGLLFDSIYQVVGAMFDGHKYEPLHNLTPPQKVLVENIKRQAYENVDNFIQMDATALFGPSRSFTTLQAEPFNDPNLAQQQLEFPVARQDQQQVRMDFYNSPSSTSYGYAESGSPLEVSVSQTSHQVEALPQVFRNSFKFTDFFPLPYNGENSWSPNGWPVLTSTQLSAGDMSDVQTPTWSPGNSTWGPGNAFIFTADNEGDVGIFSSHPNFGVHVSQIRAPKARWCKLRAALKMGSFMRDVAAKRMSQGLCM >Potri.012G111500.1.v4.1 pep chromosome:Pop_tri_v4:12:13119206:13119993:1 gene:Potri.012G111500.v4.1 transcript:Potri.012G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111500.v4.1 MKFTLFFCIFLCPCITICLADSDNLQDTCPTATIGMQTVFINGFPCKNPNSVVASDFKSSKLSHPGDTGTFFRSSLTLDMAADFPGLNTLGLSIARTDLEVEGLTMPHSHPRASEIFFVSTGVVFAGFFDTQSKLFSKILKPGEVFVVPQGLLHFFINTGDEAAVIFSVLNSQNPGVVKISGASFEPDDEEMVDKLVRKIKSAAALEGKASSIQNVTPTDF >Potri.014G128800.1.v4.1 pep chromosome:Pop_tri_v4:14:8642051:8643406:-1 gene:Potri.014G128800.v4.1 transcript:Potri.014G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128800.v4.1 MSRAAAAGAKGKKKGASFVIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDSVTVTREKNKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEED >Potri.016G091500.2.v4.1 pep chromosome:Pop_tri_v4:16:7484691:7485062:-1 gene:Potri.016G091500.v4.1 transcript:Potri.016G091500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091500.v4.1 MKNTRRNFLVASLSKWRKTGSKVMPCCEYQYWGLWPSTYEGKSIPRDVPKGHLVVYVGENNKRFVIKITLLKHPLFKALLDQAQDEYDFTAGSKLCIPCDENIFLDVVRCAGSPRDRKMCLCL >Potri.001G233800.12.v4.1 pep chromosome:Pop_tri_v4:1:25262049:25265808:-1 gene:Potri.001G233800.v4.1 transcript:Potri.001G233800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233800.v4.1 MVLSEVKAKSSREKIRGNVIQNGGPDKGALKGNRIYVKGEETETDSSEQFEEEEQEDEEEEEEEEEDSNPLMRSKSAYPIGISEGYRSSFLKSRLDAKKASSIKKDTLENELAFDGVTQFSKKVGGFTESGQMPGYSSKAKQKGKMQETRSSSARVLEDSSPIGLAKLKDDNDRNRVHRFGKIGQLRVESGERSRRTSSKAHPSDRKHKGEVSHEFIVDDEDELLETQLTSDENALGRFRKKGQSMETYVHGQSDRSEASLLACNSVTKKRKAKYKVMDMAGRDEDSNRQSSSAQQQIDDSISLKKKGKRKLEADDVTPDRETPEAHIPKTGVVDVELEAKPQKKPYIPITPTVHSGFSFSIIHLLSAVRVAMITPLSEDSLEVGKATAELNRAQEGDTNGVLSNENVDVNKSHPAVQVKMPSLTVQEIVNRVRSNPMDPCILETQEPLQDLVRGVLKIFSSKTAPLGIKGWKALVFYDKSTKSWSWIGPISHALTDEDTIVEVTSPEYWGLPHKSCVKLVDSFANWLKSGQETLQQIGSLPAPPVSLMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRREEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQSDQGTVTVAFHGTGDQSGFDLGSDLNAEPLAADDDKRTDLVCSDVRHNAEDNIDTSHGPKQGSTYDGDAMVWDALSLNPLQENKVICQENSTNEDFDDETFERERPAGLLSTSLL >Potri.001G233800.8.v4.1 pep chromosome:Pop_tri_v4:1:25262049:25267989:-1 gene:Potri.001G233800.v4.1 transcript:Potri.001G233800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233800.v4.1 MAIEKNNFKVSNRFDAELSPNSRDTSMSSDEDEDDLLHHQRIKSDDDEEEVEDAVDVGVEEDDDDEFDDADSGAGSDDFDLLELGETGAEFCQFGNLTCSVPFELYDLPGLEDILSVDVWNDVLTEDDKFSLTKYLPDVDQDTFMRTLKELLEGGNFHFGSPLNKLFQMLKGGLCEPRVALYRDGLNSFQQRQHYHILRKHQNSMVSHLCQIRDAWLDCKGYSIDEKLRVWNIMKSHKSLMYENVEGELESGSSDKGESGDGFWGKRVKDKKSASKFDRNSAYQVGSNLEFSSPVSLEVVKYGKQNPKSILKSAGSKDLSTRDVLGRIPSDHHGLGMTSRPRRSALMVSRQNKLAGYDSGDALRLRDQTRTDNDDAEYAMYGMGVQRDRNMTRGGDMVKSRVPKVGKKHEFLRSDGLAADSFMDLPFSSNNELLAYGRNKNANQLSEAKVFASNRSNTRTKSESSKKTKYAENFSQFTVPDQMKYLKGRTLQLPRKGNRVELSDHAEPVWHSKNQGEVFSMDSTFKINDWNMRGKKWRTERESPDLNFRAYRASSPQVNDRMVLSEVKAKSSREKIRGNVIQNGGPDKGALKGNRIYVKGEETETDSSEQFEEEEQEDEEEEEEEEEDSNPLMRSKSAYPIGISEGYRSSFLKSRLDAKKASSIKKDTLENELAFDGVTQFSKKVGGFTESGQMPGYSSKAKQKGKMQETRSSSARVLEDSSPIGLAKLKDDNDRNRVHRFGKIGQLRVESGERSRRTSSKAHPSDRKHKGEVSHEFIVDDEDELLETQLTSDENALGRFRKKGQSMETYVHGQSDRSEASLLACNSVTKKRKAKYKVMDMAGRDEDSNRQSSSAQQQIDDSISLKKKGKRKLEADDVTPDRETPEAHIPKTGVVDVELEAKPQKKPYIPITPTVHSGFSFSIIHLLSAVRVAMITPLSEDSLEVGKATAELNRAQEGDTNGVLSNENVDVNKSHPAVQVKMPSLTVQEIVNRVRSNPMDPCILETQEPLQDLVRGVLKIFSSKTAPLGIKGWKALVFYDKSTKSWSWIGPISHALTDEDTIVEVTSPEYWGLPHKSCVKLVDSFANWLKSGQETLQQIGSLPAPPVSLMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRREEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQSDQGTVTVAFHGTGDQSGFDLGSDLNAEPLAADDDKRTDLVCSDVRHNAEDNIDTSHGPKQGSTYDGDAMVWDALSLNPLQENKVICQENSTNEDFDDETFERERPAGLLSTSLL >Potri.001G233800.9.v4.1 pep chromosome:Pop_tri_v4:1:25262048:25267920:-1 gene:Potri.001G233800.v4.1 transcript:Potri.001G233800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233800.v4.1 MAIEKNNFKVSNRFDAELSPNSRDTSMSSDEDEDDLLHHQRIKSDDDEEEVEDAVDVGVEEDDDDEFDDADSGAGSDDFDLLELGETGAEFCQFGNLTCSVPFELYDLPGLEDILSVDVWNDVLTEDDKFSLTKYLPDVDQDTFMRTLKELLEGGNFHFGSPLNKLFQMLKGGLCEPRVALYRDGLNSFQQRQHYHILRKHQNSMVSHLCQIRDAWLDCKGYSIDEKLRVWNIMKSHKSLMYENVEGELESGSSDKGESGDGFWGKRVKDKKSASKFDRNSAYQVGSNLEFSSPVSLEVVKYGKQNPKSILKSAGSKDLSTRDVLGRIPSDHHGLGMTSRPRRSALMVSRQNKLAGYDSGDALRLRDQTRTDNDDAEYAMYGMGVQRDRNMTRGGDMVKSRVPKVGKKHEFLRSDGLAADSFMDLPFSSNNELLAYGRNKNANQLSEAKVFASNRSNTRTKSESSKKTKYAENFSQFTVPDQMKYLKGRTLQLPRKGNRVELSDHAEPVWHSKNQGEVFSMDSTFKINDWNMRGKKWRTERESPDLNFRAYRASSPQVNDRMVLSEVKAKSSREKIRGNVIQNGGPDKGALKGNRIYVKGEETETDSSEQFEEEEQEDEEEEEEEEEDSNPLMRSKSAYPIGISEGYRSSFLKSRLDAKKASSIKKDTLENELAFDGVTQFSKKVGGFTESGQMPGYSSKAKQKGKMQETRSSSARVLEDSSPIGLAKLKDDNDRNRVHRFGKIGQLRVESGERSRRTSSKAHPSDRKHKGEVSHEFIVDDEDELLETQLTSDENALGRFRKKGQSMETYVHGQSDRSEASLLACNSVTKKRKAKYKVMDMAGRDEDSNRQSSSAQQQIDDSISLKKKGKRKLEADDVTPDRETPEAHIPKTGVVDVELEAKPQKKPYIPITPTVHSGFSFSIIHLLSAVRVAMITPLSEDSLEVGKATAELNRAQEGDTNGVLSNENVDVNKSHPAVQVKMPSLTVQEIVNRVRSNPMDPCILETQEPLQDLVRGVLKIFSSKTAPLGIKGWKALVFYDKSTKSWSWIGPISHALTDEDTIVEVTSPEYWGLPHKSCVKLVDSFANWLKSGQETLQQIGSLPAPPVSLMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRREEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQSDQGTVTVAFHGTGDQSGFDLGSDLNAEPLAADDDKRTDLVCSDVRHNAEDNIDTSHGPKQGSTYDGDAMVWDALSLNPLQENKVICQENSTNEDFDDETFERERPAGLLSTSLL >Potri.001G233800.10.v4.1 pep chromosome:Pop_tri_v4:1:25263020:25267918:-1 gene:Potri.001G233800.v4.1 transcript:Potri.001G233800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233800.v4.1 MAIEKNNFKVSNRFDAELSPNSRDTSMSSDEDEDDLLHHQRIKSDDDEEEVEDAVDVGVEEDDDDEFDDADSGAGSDDFDLLELGETGAEFCQFGNLTCSVPFELYDLPGLEDILSVDVWNDVLTEDDKFSLTKYLPDVDQDTFMRTLKELLEGGNFHFGSPLNKLFQMLKGGLCEPRVALYRDGLNSFQQRQHYHILRKHQNSMVSHLCQIRDAWLDCKGYSIDEKLRVWNIMKSHKSLMYENVEGELESGSSDKGESGDGFWGKRVKDKKSASKFDRNSAYQVGSNLEFSSPVSLEVVKYGKQNPKSILKSAGSKDLSTRDVLGRIPSDHHGLGMTSRPRRSALMVSRQNKLAGYDSGDALRLRDQTRTDNDDAEYAMYGMGVQRDRNMTRGGDMVKSRVPKVGKKHEFLRSDGLAADSFMDLPFSSNNELLAYGRNKNANQLSEAKVFASNRSNTRTKSESSKKTKYAENFSQFTVPDQMKYLKGRTLQLPRKGNRVELSDHAEPVWHSKNQGEVFSMDSTFKINDWNMRGKKWRTERESPDLNFRAYRASSPQVNDRMVLSEVKAKSSREKIRGNVIQNGGPDKGALKGNRIYVKGEETETDSSEQFEEEEQEDEEEEEEEEEDSNPLMRSKSAYPIGISEGYRSSFLKSRLDAKKASSIKKDTLENELAFDGVTQFSKKVGGFTESGQMPGYSSKAKQKGKMQETRSSSARVLEDSSPIGLAKLKDDNDRNRVHRFGKIGQLRVESGERSRRTSSKAHPSDRKHKGEVSHEFIVDDEDELLETQLTSDENALGRFRKKGQSMETYVHGQSDRSEASLLACNSVTKKRKAKYKVMDMAGRDEDSNRQSSSAQQQIDDSISLKKKGKRKLEADDVTPDRETPEAHIPKTGVVDVELEAKPQKKPYIPITPTVHSGFSFSIIHLLSAVRVAMITPLSEDSLEVGKATAELNRAQEGDTNGVLSNENVDVNKSHPAVQVKMPSLTVQEIVNRVRSNPMDPCILETQEPLQDLVRGVLKIFSSKTAPLGIKGWKALVFYDKSTKSWSWIGPISHALTDEDTIVEVTSPEYWGLPHKSCVKLVDSFANWLKSGQETLQQIGSLPAPPVSLMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRREEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQSDQGTVTVAFHGTGDQSGFDLGSDLNAEPLAADDDKRTDLVCSDVRHNAEDNIDTSHGPKQGSTYDGDAMVWDALSLNPLQENKVICQENSTNEDFDDETFERERPAGLLSTSLL >Potri.001G233800.11.v4.1 pep chromosome:Pop_tri_v4:1:25262723:25267677:-1 gene:Potri.001G233800.v4.1 transcript:Potri.001G233800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233800.v4.1 MAIEKNNFKVSNRFDAELSPNSRDTSMSSDEDEDDLLHHQRIKSDDDEEEVEDAVDVGVEEDDDDEFDDADSGAGSDDFDLLELGETGAEFCQFGNLTCSVPFELYDLPGLEDILSVDVWNDVLTEDDKFSLTKYLPDVDQDTFMRTLKELLEGGNFHFGSPLNKLFQMLKGGLCEPRVALYRDGLNSFQQRQHYHILRKHQNSMVSHLCQIRDAWLDCKGYSIDEKLRVWNIMKSHKSLMYENVEGELESGSSDKGESGDGFWGKRVKDKKSASKFDRNSAYQVGSNLEFSSPVSLEVVKYGKQNPKSILKSAGSKDLSTRDVLGRIPSDHHGLGMTSRPRRSALMVSRQNKLAGYDSGDALRLRDQTRTDNDDAEYAMYGMGVQRDRNMTRGGDMVKSRVPKVGKKHEFLRSDGLAADSFMDLPFSSNNELLAYGRNKNANQLSEAKVFASNRSNTRTKSESSKKTKYAENFSQFTVPDQMKYLKGRTLQLPRKGNRVELSDHAEPVWHSKNQGEVFSMDSTFKINDWNMRGKKWRTERESPDLNFRAYRASSPQVNDRMVLSEVKAKSSREKIRGNVIQNGGPDKGALKGNRIYVKGEETETDSSEQFEEEEQEDEEEEEEEEEDSNPLMRSKSAYPIGISEGYRSSFLKSRLDAKKASSIKKDTLENELAFDGVTQFSKKVGGFTESGQMPGYSSKAKQKGKMQETRSSSARVLEDSSPIGLAKLKDDNDRNRVHRFGKIGQLRVESGERSRRTSSKAHPSDRKHKGEVSHEFIVDDEDELLETQLTSDENALGRFRKKGQSMETYVHGQSDRSEASLLACNSVTKKRKAKYKVMDMAGRDEDSNRQSSSAQQQIDDSISLKKKGKRKLEADDVTPDRETPEAHIPKTGVVDVELEAKPQKKPYIPITPTVHSGFSFSIIHLLSAVRVAMITPLSEDSLEVGKATAELNRAQEGDTNGVLSNENVDVNKSHPAVQVKMPSLTVQEIVNRVRSNPMDPCILETQEPLQDLVRGVLKIFSSKTAPLGIKGWKALVFYDKSTKSWSWIGPISHALTDEDTIVEVTSPEYWGLPHKSCVKLVDSFANWLKSGQETLQQIGSLPAPPVSLMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRREEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQSDQGTVTVAFHGTGDQSGFDLGSDLNAEPLAADDDKRTDLVCSDVRHNAEDNIDTSHGPKQGSTYDGDAMVWDALSLNPLQENKVICQENSTNEDFDDETFERERPAGLLSTSLL >Potri.001G233800.5.v4.1 pep chromosome:Pop_tri_v4:1:25261865:25267674:-1 gene:Potri.001G233800.v4.1 transcript:Potri.001G233800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233800.v4.1 MAIEKNNFKVSNRFDAELSPNSRDTSMSSDEDEDDLLHHQRIKSDDDEEEVEDAVDVGVEEDDDDEFDDADSGAGSDDFDLLELGETGAEFCQFGNLTCSVPFELYDLPGLEDILSVDVWNDVLTEDDKFSLTKYLPDVDQDTFMRTLKELLEGGNFHFGSPLNKLFQMLKGGLCEPRVALYRDGLNSFQQRQHYHILRKHQNSMVSHLCQIRDAWLDCKGYSIDEKLRVWNIMKSHKSLMYENVEGELESGSSDKGESGDGFWGKRVKDKKSASKFDRNSAYQVGSNLEFSSPVSLEVVKYGKQNPKSILKSAGSKDLSTRDVLGRIPSDHHGLGMTSRPRRSALMVSRQNKLAGYDSGDALRLRDQTRTDNDDAEYAMYGMGVQRDRNMTRGGDMVKSRVPKVGKKHEFLRSDGLAADSFMDLPFSSNNELLAYGRNKNANQLSEAKVFASNRSNTRTKSESSKKTKYAENFSQFTVPDQMKYLKGRTLQLPRKGNRVELSDHAEPVWHSKNQGEVFSMDSTFKINDWNMRGKKWRTERESPDLNFRAYRASSPQVNDRMVLSEVKAKSSREKIRGNVIQNGGPDKGALKGNRIYVKGEETETDSSEQFEEEEQEDEEEEEEEEEDSNPLMRSKSAYPIGISEGYRSSFLKSRLDAKKASSIKKDTLENELAFDGVTQFSKKVGGFTESGQMPGYSSKAKQKGKMQETRSSSARVLEDSSPIGLAKLKDDNDRNRVHRFGKIGQLRVESGERSRRTSSKAHPSDRKHKGEVSHEFIVDDEDELLETQLTSDENALGRFRKKGQSMETYVHGQSDRSEASLLACNSVTKKRKAKYKVMDMAGRDEDSNRQSSSAQQQIDDSISLKKKGKRKLEADDVTPDRETPEAHIPKTGVVDVELEAKPQKKPYIPITPTVHSGFSFSIIHLLSAVRVAMITPLSEDSLEVGKATAELNRAQEGDTNGVLSNENVDVNKSHPAVQVKMPSLTVQEIVNRVRSNPMDPCILETQEPLQDLVRGVLKIFSSKTAPLGIKGWKALVFYDKSTKSWSWIGPISHALTDEDTIVEVTSPEYWGLPHKSCVKLVDSFANWLKSGQETLQQIGSLPAPPVSLMQCNLDEKERFRDLRAQKSLNTISPSSEEVRAYFRREEVLRYSIPDRAFSYTAADGKKSIVAPLRRCGGKPTSKARDHFMLKRDRPPHVTILCLVRDAAARLPGSIGTRADVCTLIRDSQYIVEDVSDAQVNQVVSGALDRLHYERDPCVQFDGERKLWVYLHRDREEEDFEDDGTSSTKKWKRQKKDPADQSDQGTVTVAFHGTGDQSGFDLGSDLNAEPLAADDDKRTDLVCSDVRHNAEDNIDTSHGPKQGSTYDGDAMVWDALSLNPLQENKVICQENSTNEDFDDETFERERPAGLLSTSLL >Potri.003G185622.1.v4.1 pep chromosome:Pop_tri_v4:3:18987329:18991063:1 gene:Potri.003G185622.v4.1 transcript:Potri.003G185622.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185622.v4.1 MQVVKLVSLFSFLLFMLVFQPASARAPAGLAKPNCSDHCGNISIPYPFGIGKDCYMAESFDVECNETSNPPRAFLRSIKMELVNITLERGAVVEGPVISVDSSGRQEGVPVNLEGTPFLFSYSNYFIAVGCNTRASLWTKKGTDEHVGCDSICSNGSSISNIRLNGSCSGEDCCQDIYWPSLLQVFNSTVVSKEGKQGSDGRKLAFLADTNWFYSKIWSPQEINKLLNNNSTVPMSLAWILNNNSWTYNRDTMDCSATQINSTTTMTAGCSCSEGYEGNPFLQCRDVDECKTPEKNTCQGMLKCVNTRGDYRCTINKIYIIIIVVGSVIFILVLLFGLWRLYKLVKKRQNKKLKKKFFKRNGGLLLQQQLSTSDGSVQKTKIYSSKELEVATDGFNVNRILGEGGQGTVYKGMLTDGRIIAVKKSKVVDEENLEEFINEVVILSQINHRNVVKLLGCCLETEVPILVYEFISNGNLYKYIHVQNDDFLLSWEMRLRIAIEVAGALSYLHSAASIPIYHRDIKSTNILLDEKYRATISDFGSSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELLSGQKPIFSASPTESRSLATHFIMLMEDNKLFDILDARVKEHCHNEEVVAVGNLARKCLNLNGKNRPTMKEVTTELERIIQKGSNVQQDSQENENIMADLSMKYMGCISDINNDL >Potri.002G116900.2.v4.1 pep chromosome:Pop_tri_v4:2:8855604:8856013:-1 gene:Potri.002G116900.v4.1 transcript:Potri.002G116900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G116900.v4.1 MKGPVRIPTKVLQITTRKAPCGEGTSTWDGFELRIHKRVVDLFSSAEVVKQITSITIEPGVEVEVTIAS >Potri.005G203000.4.v4.1 pep chromosome:Pop_tri_v4:5:20804660:20807212:1 gene:Potri.005G203000.v4.1 transcript:Potri.005G203000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203000.v4.1 MDEHLLSRTIAEESCRRPGRELSSSYLDLGQSLRQSTSHLVTNDVIIPIISTPNTSSYVNLIASLNKKKTRLPYRSHSAPSLFTDARETFADSFDPRPGSKSTPLIVRQAFVGVFLYVLVVVLIFLVSGRFRGTATFKPVDALYFTVVTLCTIGYGDIVPDTTFTKLFTCGFILVGFGFIDILLNGLVTYICDKQEAVLLSTMDGSTPTTMVQAYMIDKAKGRMRIRTKVVLASAVVIVCIAVGTITVHYLEKLDWVDSFYLAVTSVTTVGYGDYAFTTITGRCFAIIWLLVSTLAVARAFLYLAELRIDKRNRRIAKWVLQKKMTLGDLVAADLDNDGSIR >Potri.005G203000.1.v4.1 pep chromosome:Pop_tri_v4:5:20804660:20807229:1 gene:Potri.005G203000.v4.1 transcript:Potri.005G203000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203000.v4.1 MDEHLLSRTIAEESCRRPGRELSSSYLDLGQSLRQSTSHLVTNDVIIPIISTPNTSSYVNLIASLNKKKTRLPYRSHSAPSLFTDARETFADSFDPRPGSKSTPLIVRQAFVGVFLYVLVVVLIFLVSGRFRGTATFKPVDALYFTVVTLCTIGYGDIVPDTTFTKLFTCGFILVGFGFIDILLNGLVTYICDKQEAVLLSTMDGSTPTTMVQAYMIDKAKGRMRIRTKVVLASAVVIVCIAVGTITVHYLEKLDWVDSFYLAVTSVTTVGYGDYAFTTITGRCFAIIWLLVSTLAVARAFLYLAELRIDKRNRRIAKWVLQKKMTLGDLVAADLDNDGSISKSEFVIYKLKEMGKIAEKDIQQICNQFDSLDSTNCGKITLADLM >Potri.005G203000.5.v4.1 pep chromosome:Pop_tri_v4:5:20804672:20807209:1 gene:Potri.005G203000.v4.1 transcript:Potri.005G203000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203000.v4.1 MDEHLLSRTIAEESCRRPGRELSSSYLDLGQSLRQSTSHLVTNDVIIPIISTPNTSSYVNLIASLNKKKTRLPYRSHSAPSLFTDARETFADSFDPRPGSKSTPLIVRQAFVGVFLYVLVVVLIFLVSGRFRGTATFKPVDALYFTVVTLCTIGYGDIVPDTTFTKLFTCGFILVGFGFIDILLNGLVTYICDKQEAVLLSTMDGSTPTTMVQAYMIDKAKGRMRIRTKVVLASAVVIVCIAVGTITVHYLEKLDWVDSFYLAVTSVTTVGYGDYAFTTITGRCFAIIWLLVSTLAVARAFLYLAELRIDKRNRRIAKWVLQKKMTLGDLVAADLDNDGSIR >Potri.006G096900.1.v4.1 pep chromosome:Pop_tri_v4:6:7386778:7389868:1 gene:Potri.006G096900.v4.1 transcript:Potri.006G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096900.v4.1 MEYACWLRFMLLAVCLFPALVQCRVRHYKFNVVMKNTTRLCSRKPIVTVNGRFPGPTLYAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQSYVYNFTITGQRGTLLWHAHILWLRATVHGAMVVLPKRGIPYPFPGPHKEVVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGAVSTCSSQGGFTLPVKSGETYMLRLINAALNEELFFKIAGHKLTVVEVDATYVKPFKTDTVLIAPGQTTNVLVTTNKNTGKYLVAASPFMDSPIAVDNMTATATLQYSGALANSPTTLTTPPPKNATAVANQFTNSLRSLNSRRFPAKVPLNVDHNLFFTVSLGVNPCPSCKAGNGSRVVASINNVTFVMPTTALLQAHFLNISGVFTTDFPAKPPHVFNYTGTPPTNLQTKSGTKVYRLSYNSTVQLVMQDTGIISPENHPIHLHGFNFFAVGRGVGNYNPKTDTKKFNLVDPVERNTIGVPSGGWVAIRFRADNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPKESLLPPPSDLPKC >Potri.013G135500.1.v4.1 pep chromosome:Pop_tri_v4:13:14057341:14060341:-1 gene:Potri.013G135500.v4.1 transcript:Potri.013G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135500.v4.1 MALQAASILPSTVSIYKEGKSNASLKETGFFGVSVPNNHLKAEFDCSLIKSKEFRKRELPVRTIRSQTATTTPAITEAAPEAKKTLRKCVVVITGTSSGLGLATAKALSETGQCHVIMACRNFLKAERAAKTAGIPKENYTVMHLDLASLESVRQFVDTFRRSGMPLDVLVCNAAVYLPTAKEPTYTAEGFELSVGTNHLGHFLLARLLLDDLKKSDYPTKRLIIVGSITGNTNTLAGNVPPKANLGDLRGLVGGLNDLNSSPMIDGGEFDGAKAYKDSKVCNMLTMQELHRRYHEETGITFASLYPGCIAETGLFRNHIPLFRTLFPPFQKYITKGYVSEEEAGKRLAQVVSDPSLTKSGVYWSWNKNSSSFENQLSKEASNAEKALKLWEISEKLVGLA >Potri.003G092750.1.v4.1 pep chromosome:Pop_tri_v4:3:11910158:11912366:-1 gene:Potri.003G092750.v4.1 transcript:Potri.003G092750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092750.v4.1 MIIRIIVVVVVLMTMIPLLLLIVIVMVIIIMMILIVVIIILMIIFYDDDDDDDNLLIVMVMVIILVMILIVMIIILMIVVVVVVTTTTTTTIMIMIIILIMIIIIIVIIVVMMIIIMVVVIIIMIMVIIIKMIVIIFVKMVSLVIIIILIMMMVRMIILMVVVVVVVLIIIIIIFLWPKLLITIHLFLIFFFPVLSLRI >Potri.014G053200.1.v4.1 pep chromosome:Pop_tri_v4:14:3416651:3420407:1 gene:Potri.014G053200.v4.1 transcript:Potri.014G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053200.v4.1 MEDQRKPEKNKTSTTSNLLWFFGVSTCVKLLLIPSYHSTDFEVHRNWLAITHSLPLDQWYIDKTSPWTLDYPPFFAYFEYIISFFAHLVDPKIVDLEKGLDYKAESVVLFQRLSVIVCDFVLLYGVYRLSKNFSMGFKERVLMWVLVVWSPGLVIVDHMHFQYNGFLLGLLMMSISYLMEGRDLMGGFIFAVLLCFKHLFAVAAPVYFVYLLRHYCWKGFVKGFWRISVLGAVVVAVFAAAYGPFVYLGQMQQVISRMFPFGRGLCHAYWAPNFWVFYIILDKGLAFLLKKLGLNIPVPAASFTGGLVGDSSPFAILPQVTPLTTFIMVLLALSPCLIKVWKNPQPRSVARWIAYAYMCGFLFGWHVHEKASLHFVIPLAIVAGNSLEDARHYFLLSIVSCYSLFPLLYEAQEYPIKVLLLLLHSVLMWQSFSALFTTDATAKAVVSAKKTDRQVGLKGSSSDVVEKGGFHIGWIGRCYLFGLLAVEIWGQFLHPYLLGDKLPFVPLLLISIYCALEIMYSWIWQLRQIMVST >Potri.019G031900.1.v4.1 pep chromosome:Pop_tri_v4:19:4462422:4464733:1 gene:Potri.019G031900.v4.1 transcript:Potri.019G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031900.v4.1 MTEVLSKTNLFSSGNYQTRQHNTISVFTKSCRLKGFPSRVKPQGLRSQISRSSSCSDFYGKRVVVHGNQSKPRRGYLPQASVVALTGLKLKYAKKWWEKGLQPNMREVTSAQDLVDSLMNAGDQLVVVDFFSPGCGGCKALHPKICQLAEMNPDVQFLQVNYEEHKSMCYSLNVHVLPFFRFYRGAHGRLCSFSCTNATIKKFKDALAKHTPERCSLGPTKGLEEKELVALAANKDLSFTYTPKPVQPAPVPAEEEVAPTAGPSHSDRGLPLPLPITSSKSAQDSEEKTLVSSGR >Potri.012G007445.2.v4.1 pep chromosome:Pop_tri_v4:12:258009:262028:1 gene:Potri.012G007445.v4.1 transcript:Potri.012G007445.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007445.v4.1 MVKCSTTLWLVPTLYLMPKCLLPRTDSLPFLLYIFPRLICQTFFFLTCFRGDYWNMMCYVKQKSEIKFVW >Potri.012G007445.1.v4.1 pep chromosome:Pop_tri_v4:12:258009:260587:1 gene:Potri.012G007445.v4.1 transcript:Potri.012G007445.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007445.v4.1 MPKCLLPRTDSLPFLLYIFPRLICQTFFFLTCFRGDYWNMMCYVKQLTRTTTIPHGPLDILQVQEQVRHRGGDRRAHRGSNPGRGRNKSHG >Potri.015G025800.3.v4.1 pep chromosome:Pop_tri_v4:15:1936996:1941304:1 gene:Potri.015G025800.v4.1 transcript:Potri.015G025800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025800.v4.1 MMAYFFAIVRKLFVVLPHAPFLWATGGKIGCIERERQALLKFKEDITDEYGVLSSWGGEEEKRDCCKWRGVGCDNITGHVTSLNLHSSPLYEHHFTPLTGKVSNSLLELQHLNYLDLSLNNLDESIMDFIGSLSSLRYLNLSYNLFTVTIPYHLKNLSRLQSLDLSYSFDASVENLGWLSHLSSLEHLDLSGSDLSKVNDWLQVVTNLPRLKDLRLNQCRLTDIIPSPLSFMNSSKFLAVLHLSNNNLSSAIYPWLYNLSNSLADLDLSGNQLQGLVPDGFRKMSALTNLVLSRNQLEGGIPRSLGEMCSLHTLDLCHNNLTGELSDLTRNLYGRTVSSLEILRLCQNQLHGSLTDIARFSSLRELDISNNQLNGSIPESIGFLSKLDYFDVSFNSLHGLVSGGHFSNLSKLKHLDLSYNSLVLRFKSDWDPAFQLNNIHLSSCHLGPCFPKWLRTQIKVRLLDISSASISDIVPNWFWNLLPKLAFLNISHNLMRGTLPDFSSVDAVDDTFPGFDLSFNRFEGLLPAFPFNTASLILSNNLFSGPISLICNIIGKDLSFLDLSNNLLTGQLPNCFMNWSTLVVLNLANNNLSGEIPSSVGSLFSLQTLSLNNNSLYGELPMSLKNCSMLKFLDLSRNQLSGEIPAWLGESLSSLMFLSLQSNEFIGSIPLHLCQLTNLRILDLSQNTISGAIPKCLNNLTAMVLKGEAETIIDNLYLTSMRCGAIFSGRYYINKAWVGWKGRDYEYERYLGLLRVIDFAGNNLSGEIPEEITGLLGLVALNLSRNNLTGVIPQTIGLLKSLESLDLSGNQFSGAIPVAMGDLNFLSYLNVSYNNLSGQIPSSTQLQSFDASAFNGNPALCGLPVTHKCLGGDLPRNPVMNGVIQDNQETVHEFSAWFYTGMGNGFCVFFCGLSGALLLIRSWRHAYFQFLDGSWGLLSRYWQLIRLDKKHCQTCS >Potri.015G025800.6.v4.1 pep chromosome:Pop_tri_v4:15:1937941:1941129:1 gene:Potri.015G025800.v4.1 transcript:Potri.015G025800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025800.v4.1 MPKNYVLIIVLVLLHIPFPGFIIGATGGKIGCIERERQALLKFKEDITDEYGVLSSWGGEEEKRDCCKWRGVGCDNITGHVTSLNLHSSPLYEHHFTPLTGKVSNSLLELQHLNYLDLSLNNLDESIMDFIGSLSSLRYLNLSYNLFTVTIPYHLKNLSRLQSLDLSYSFDASVENLGWLSHLSSLEHLDLSGSDLSKVNDWLQVVTNLPRLKDLRLNQCRLTDIIPSPLSFMNSSKFLAVLHLSNNNLSSAIYPWLYNLSNSLADLDLSGNQLQGLVPDGFRKMSALTNLVLSRNQLEGGIPRSLGEMCSLHTLDLCHNNLTGELSDLTRNLYGRTVSSLEILRLCQNQLHGSLTDIARFSSLRELDISNNQLNGSIPESIGFLSKLDYFDVSFNSLHGLVSGGHFSNLSKLKHLDLSYNSLVLRFKSDWDPAFQLNNIHLSSCHLGPCFPKWLRTQIKVRLLDISSASISDIVPNWFWNLLPKLAFLNISHNLMRGTLPDFSSVDAVDDTFPGFDLSFNRFEGLLPAFPFNTASLILSNNLFSGPISLICNIIGKDLSFLDLSNNLLTGQLPNCFMNWSTLVVLNLANNNLSGEIPSSVGSLFSLQTLSLNNNSLYGELPMSLKNCSMLKFLDLSRNQLSGEIPAWLGESLSSLMFLSLQSNEFIGSIPLHLCQLTNLRILDLSQNTISGAIPKCLNNLTAMVLKGEAETIIDNLYLTSMRCGAIFSGRYYINKAWVGWKGRDYEYERYLGLLRVIDFAGNNLSGEIPEEITGLLGLVALNLSRNNLTGVIPQTIGLLKSLESLDLSGNQFSGAIPVAMGDLNFLSYLNVSYNNLSGQIPSSTQLQSFDASAFNGNPALCGLPVTHKCLGGDLPRNPVMNGVIQDNQETVHEFSAWFYTGMGNGFCVFFCGLSGALLLIRSWRHAYFQFLDGSWGLLSRYWQLIRLDKKHCQTCS >Potri.015G025800.5.v4.1 pep chromosome:Pop_tri_v4:15:1936910:1941261:1 gene:Potri.015G025800.v4.1 transcript:Potri.015G025800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025800.v4.1 MPKNYVLIIVLVLLHIPFPGFIIGATGGKIGCIERERQALLKFKEDITDEYGVLSSWGGEEEKRDCCKWRGVGCDNITGHVTSLNLHSSPLYEHHFTPLTGKVSNSLLELQHLNYLDLSLNNLDESIMDFIGSLSSLRYLNLSYNLFTVTIPYHLKNLSRLQSLDLSYSFDASVENLGWLSHLSSLEHLDLSGSDLSKVNDWLQVVTNLPRLKDLRLNQCRLTDIIPSPLSFMNSSKFLAVLHLSNNNLSSAIYPWLYNLSNSLADLDLSGNQLQGLVPDGFRKMSALTNLVLSRNQLEGGIPRSLGEMCSLHTLDLCHNNLTGELSDLTRNLYGRTVSSLEILRLCQNQLHGSLTDIARFSSLRELDISNNQLNGSIPESIGFLSKLDYFDVSFNSLHGLVSGGHFSNLSKLKHLDLSYNSLVLRFKSDWDPAFQLNNIHLSSCHLGPCFPKWLRTQIKVRLLDISSASISDIVPNWFWNLLPKLAFLNISHNLMRGTLPDFSSVDAVDDTFPGFDLSFNRFEGLLPAFPFNTASLILSNNLFSGPISLICNIIGKDLSFLDLSNNLLTGQLPNCFMNWSTLVVLNLANNNLSGEIPSSVGSLFSLQTLSLNNNSLYGELPMSLKNCSMLKFLDLSRNQLSGEIPAWLGESLSSLMFLSLQSNEFIGSIPLHLCQLTNLRILDLSQNTISGAIPKCLNNLTAMVLKGEAETIIDNLYLTSMRCGAIFSGRYYINKAWVGWKGRDYEYERYLGLLRVIDFAGNNLSGEIPEEITGLLGLVALNLSRNNLTGVIPQTIGLLKSLESLDLSGNQFSGAIPVAMGDLNFLSYLNVSYNNLSGQIPSSTQLQSFDASAFNGNPALCGLPVTHKCLGGDLPRNPVMNGVIQDNQETVHEFSAWFYTGMGNGFCVFFCGLSGALLLIRSWRHAYFQFLDGSWGLLSRYWQLIRLDKKHCQTCS >Potri.015G025800.4.v4.1 pep chromosome:Pop_tri_v4:15:1937044:1941481:1 gene:Potri.015G025800.v4.1 transcript:Potri.015G025800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025800.v4.1 MPKNYVLIIVLVLLHIPFPGFIIGATGGKIGCIERERQALLKFKEDITDEYGVLSSWGGEEEKRDCCKWRGVGCDNITGHVTSLNLHSSPLYEHHFTPLTGKVSNSLLELQHLNYLDLSLNNLDESIMDFIGSLSSLRYLNLSYNLFTVTIPYHLKNLSRLQSLDLSYSFDASVENLGWLSHLSSLEHLDLSGSDLSKVNDWLQVVTNLPRLKDLRLNQCRLTDIIPSPLSFMNSSKFLAVLHLSNNNLSSAIYPWLYNLSNSLADLDLSGNQLQGLVPDGFRKMSALTNLVLSRNQLEGGIPRSLGEMCSLHTLDLCHNNLTGELSDLTRNLYGRTVSSLEILRLCQNQLHGSLTDIARFSSLRELDISNNQLNGSIPESIGFLSKLDYFDVSFNSLHGLVSGGHFSNLSKLKHLDLSYNSLVLRFKSDWDPAFQLNNIHLSSCHLGPCFPKWLRTQIKVRLLDISSASISDIVPNWFWNLLPKLAFLNISHNLMRGTLPDFSSVDAVDDTFPGFDLSFNRFEGLLPAFPFNTASLILSNNLFSGPISLICNIIGKDLSFLDLSNNLLTGQLPNCFMNWSTLVVLNLANNNLSGEIPSSVGSLFSLQTLSLNNNSLYGELPMSLKNCSMLKFLDLSRNQLSGEIPAWLGESLSSLMFLSLQSNEFIGSIPLHLCQLTNLRILDLSQNTISGAIPKCLNNLTAMVLKGEAETIIDNLYLTSMRCGAIFSGRYYINKAWVGWKGRDYEYERYLGLLRVIDFAGNNLSGEIPEEITGLLGLVALNLSRNNLTGVIPQTIGLLKSLESLDLSGNQFSGAIPVAMGDLNFLSYLNVSYNNLSGQIPSSTQLQSFDASAFNGNPALCGLPVTHKCLGGDLPRNPVMNGVIQDNQETVHEFSAWFYTGMGNGFCVFFCGLSGALLLIRSWRHAYFQFLDGSWGLLSRYWQLIRLDKKHCQTCS >Potri.005G123800.3.v4.1 pep chromosome:Pop_tri_v4:5:9183586:9186268:-1 gene:Potri.005G123800.v4.1 transcript:Potri.005G123800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123800.v4.1 MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQSHHPQQQFLGLNPFSLNPWPSTTMSANPNLGYGLSGPAAFSDPFQSGPDTGDPPGFSFSNMEHHHSSGFRFPDFTGAGGEFDSDEWMDSLMNGGDSTDSSNLPSGCDAWQNNADFGIYRSDPFNTSPSRLTVGCSPPSDLNRVISNSLWADPSPPQEIKPKTSPPQQPPPTVKNEVVVGSKEIVELSSSPVLKALVECAQLVESKADQAVKSLIRFKESVSENGDPGERVGFYFVKGLCRRVAVGELDDLKNFHQTTSEEFTLCYKALNDACPYSKFAHLTANQAILEATEKASKIHIVDFGIVQGIQWAALLQALATRSAGKPVRIRISGIPAPVLGKNPAASLLATGNRLLDFAKLLDLNFEFEPILTPIQELNESCFRVEPDEVLAVNFMLQLYNLLGETPGAVETALKMAKSLNPRIVTLGEYEVSLNRVGYLTRFKNALRYYTAVFESLDPNMSRDSQERLQVERLLLGRRISGVVGPDGIRRERMEDKEQWRVLMESSGFESVSLSHYAMSQAKILLWNYNSSTMYSLDDSQPGFLTLAWNEVPLLTVSSWR >Potri.002G152600.1.v4.1 pep chromosome:Pop_tri_v4:2:11686905:11687393:-1 gene:Potri.002G152600.v4.1 transcript:Potri.002G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152600.v4.1 MAFIVSNKPMFTLMSVADAATWYCALVLLALILLASLREASPTYDDDRHHDVVVEGNQILYRPCDEIYVVKEGETLHTISDKCDDPFIVEENPHIHDPDDVFPGLVIKITPSRSRKLLR >Potri.009G036500.1.v4.1 pep chromosome:Pop_tri_v4:9:4599051:4605543:1 gene:Potri.009G036500.v4.1 transcript:Potri.009G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036500.v4.1 MCNGRSKNNLLHVLMMIASFKTLHFLLGLFVSLKLLALAQEENHFIYHGFTGANLLLSEIAKIHPNGLLELTNTSKPQIGRAFLPFPFQFNTSLFHNSRSLSFSTQFAFAMVPELPTHGGQGMAFTISPSVDFTGAVAAQYFGILNSTTDGLPSNHLLAVELDTVQSQDLKDINESHVGIDLNSLISIESAPVTYFSDEENENKSLTLISGHVMHVWIDYDEVEKLLNVTVAPITRTKPTLPLLSKPLDLSSVMLDSMYVGFSASTGAVASSHYILGWSFNRGGQAQSLDVSKLPSLPPQRKSRKKPYLIILVPTIIAIILLVAISGAASIIRRKKYEELREDWEQEYGPQRFSYKDLYKATTGFTDRKLVGSGGFGKVYRGVLPSSNMQVAIKKVSHDSKQGTKQFVAEIASMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKLLFRNDTPSLNWVQRYQVLRGVASALLYLHEEWVQVVLHRDVKASNILLDDDFNGRLGDFGLAKFYDRGANPQTTCVVGTVGYIAPEVTRTGRATTSSDVFAFGTFMLEMACGRKPLEPEQSAEKMILVDWVLDSWKIGDILRTGDPRLEGNYVVEEMELVLKLGLLCCFSTPHARPSMRQIGQYLDGNASLPEIPLDGASIGLMPVSHEEPGDFNLSFHRSNDYSAHSFSSIDSILSCGR >Potri.005G058200.1.v4.1 pep chromosome:Pop_tri_v4:5:3662137:3663926:1 gene:Potri.005G058200.v4.1 transcript:Potri.005G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058200.v4.1 MVSLEEERLVQMVHDFIESESSTPPIFPASSNCLSINQARCFTLQEILGRVTEAETRVLETLLKNMRSKNDAEKTTSLKMWLLKRLKMDGFNASICRTSWATSLGCPAGAYEYIDITLKGENGDTMRLIVDIDFRSQFELARPTPFYKELTDTLPSFFVGSEDKLNKIISLLCSAAKQSLRERGLHVPPWRTSSYMQSKWLSRCCKVTNDSNIGYSSRENGEAKNGSRHGYSSLWTPPMVKPKI >Potri.013G092000.1.v4.1 pep chromosome:Pop_tri_v4:13:9455883:9459478:1 gene:Potri.013G092000.v4.1 transcript:Potri.013G092000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092000.v4.1 MKRARRNNRVTANLYDILSFPSADDSVSPAVPFRDNIKAFLSRHARVTFPPSLFPSLLTWQILFRIGDLVLDGPDISSVVLALDIVEEDVTRSSRSVYCNQCRVVGWGGHPVCKKRYHFIIRANNSSPADGYQRSCNRCGNRWSHISSESRCKGCDTVITTDDIEDWVYSQFEDNTHLLHGVVHSNGFGHLLLVNGREGGSSVLTGSDIMNFWDRLCETLAVRKISVMDVSRKYGMEYRLLNAITKGHSWYGGWGYEFGCGSFAITLDAYKKAVESISSVPLAPLLFRWRGPQTRLQALISFYRSLSDSELVTIKDLFSFLSSLIHASNESLASKATLKNLKPAANILCAWTRDDVERVQQAMIKVLAAASGKTNWVTRHALKGVMCKTASPELLDYCLKHLGGKLAASGTMVWARCNPNSFDIEFRLEPPSFKHNGNGLDSNQPSKEHIIGDLKFLFDSLVHPETMVNYRPLVTREDVIDSATKLLDCKQFVKDYCRPDKRMVDNPFAIQLWCYVEFSEHLKEDPTIPPELIVLPSNATVADLKTEATKAFQQVYAMLKRFEARELVDYGPLEDSITIKFLVGQSGTVRIKGTCPSKYALSHFRMEKGQENWIVDCMCGAKDDDGERMLACDTCGVWQHTRCAGIDNCDEIPEKFECMRCINSYLNKSERHLKEFFNPKHLQAGMGLEPMVSVSDLDHL >Potri.013G092000.2.v4.1 pep chromosome:Pop_tri_v4:13:9455908:9459498:1 gene:Potri.013G092000.v4.1 transcript:Potri.013G092000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092000.v4.1 MLNSYLFIFYFPKGWGGHPVCKKRYHFIIRANNSSPADGYQRSCNRCGNRWSHISSESRCKGCDTVITTDDIEDWVYSQFEDNTHLLHGVVHSNGFGHLLLVNGREGGSSVLTGSDIMNFWDRLCETLAVRKISVMDVSRKYGMEYRLLNAITKGHSWYGGWGYEFGCGSFAITLDAYKKAVESISSVPLAPLLFRWRGPQTRLQALISFYRSLSDSELVTIKDLFSFLSSLIHASNESLASKATLKNLKPAANILCAWTRDDVERVQQAMIKVLAAASGKTNWVTRHALKGVMCKTASPELLDYCLKHLGGKLAASGTMVWARCNPNSFDIEFRLEPPSFKHNGNGLDSNQPSKEHIIGDLKFLFDSLVHPETMVNYRPLVTREDVIDSATKLLDCKQFVKDYCRPDKRMVDNPFAIQLWCYVEFSEHLKEDPTIPPELIVLPSNATVADLKTEATKAFQQVYAMLKRFEARELVDYGPLEDSITIKFLVGQSGTVRIKGTCPSKYALSHFRMEKGQENWIVDCMCGAKDDDGERMLACDTCGVWQHTRCAGIDNCDEIPEKFECMRCINSYLNKSERHLKEFFNPKHLQAGMGLEPMVSVSDLDHL >Potri.001G197300.1.v4.1 pep chromosome:Pop_tri_v4:1:19356138:19367732:-1 gene:Potri.001G197300.v4.1 transcript:Potri.001G197300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197300.v4.1 MNGGDEVAEAPASPPQPLEWKFSQVFGERTAGEEVQEVDIISAIEFDRSGDHLATGDRGGRVVLFERTDTKDHGGSRRDLERMGYPISRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQTANGALFLLSTNDKTIKYWKVQEKKVKKISEMNVDPSKAVGNGGVASSSNSSIGKQYLANGGDKSHNLPSNDLSISPGGFPSLRLPVVTSNETSLMARCRRVYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNICDVKPANMEDLTEVITSAEFHPSHCNMLAYSSSKGSIRLIDMRQSALCDSHAKLFEEPEAPGSRSFFTEIIASISDIKFARDGRHILSRDYMTLKLWDINMDSGPVATFQVHEYLRPKLCDLYENDSIFDKFECCSSGDGLRVATGSYSNLFRVFGCAPGSTEASTLEASKNPMRRQVQTPSRPSRSLSSITRVVRRGAEGPGVDANGNSFDFTTKLLHLAWHPTENSIACAAANSLYMYYA >Potri.002G226700.2.v4.1 pep chromosome:Pop_tri_v4:2:21555173:21558795:1 gene:Potri.002G226700.v4.1 transcript:Potri.002G226700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226700.v4.1 MESQQEESAAAAAALDMIKVLEITSESHKGTKESSDDRSEVASGKQTTDWVTDLDEVEEILHYKFKSKKLLEEALTHASFSDQCFSYERLEYVGDSVLNLLFTKEQYFLYPDLPPGPLTRLRSANVDTEKLARVAIKHKLHRYLRHKMPLLEEQIREFSQAILDYPLHSNGLVETPKALADIVEAAIGAVFIDSNFSIDVVWKIFKDLLEPIISQETLKVHPVTELYEVCQKRNLQVKFVDLWKESMAFDVFIDGQFVGRGSHGLKKEIAHNRAARNALNNIGKILSEKDCSH >Potri.004G149600.1.v4.1 pep chromosome:Pop_tri_v4:4:17165841:17166938:1 gene:Potri.004G149600.v4.1 transcript:Potri.004G149600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149600.v4.1 MGNTCNSCESTSVVTAKLIFEDGKLEEFSYSIRVSQIVRRNPKCFVCKADDMEFDEYVPAINENEHLQLGRLYFALPSSWLNNPLSTEQMAALAVKANSALKMSTRGGFCWCWIKKVDPAIEWSSKSPSDETSPMVAAGTHSDGGGFVAERRGKSGGRERKSTTRLTPRLSAILEE >Potri.011G126200.2.v4.1 pep chromosome:Pop_tri_v4:11:15692270:15695409:-1 gene:Potri.011G126200.v4.1 transcript:Potri.011G126200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126200.v4.1 MGVSGRNKTNGDHNMKLFRLCPFWQTATNSSTSSSTQNLNHSHKGSGNSVRHVAVNSSGLKSTTVSSVARSLLPAPRRLRLDPANNLYFPYEPGKQVRSAIRLKNRSKSHVAFKFQTTAPKSCYMRPPGGILAPGESLIATVFKFVEQPENNAKQMDQKSNVKFKIVSLKVKGGIEYVPELFDEQKDQVTVERILRVVFLDAEHPSPAMEKLKLQLAEAEAALEARKKPPPDTGPRVVGEGLVIDEWKERREKYLARQHVEAAE >Potri.011G126200.4.v4.1 pep chromosome:Pop_tri_v4:11:15692157:15694675:-1 gene:Potri.011G126200.v4.1 transcript:Potri.011G126200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126200.v4.1 MRPPGGILAPGESLIATVFKFVEQPENNAKQMDQKSNVKFKIVSLKVKGGIEYVPELFDEQKDQVTVERILRVVFLDAEHPSPAMEKLKLQLAEAEAALEARKKPPPDTGPRVVGEGLVIDEWKERREKYLARQHVEAAE >Potri.014G175800.5.v4.1 pep chromosome:Pop_tri_v4:14:13124350:13128358:-1 gene:Potri.014G175800.v4.1 transcript:Potri.014G175800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175800.v4.1 MKMKMNMSTSIESKNHRLCLRRVLPLLCLLSAGFFIGSVLLFADYNQKISGWTSVNVVLWDTRPKTCETEKRPKGTETLPKGIVSPMSDMEMRSLWGDDKKKTKKPKHVLAMAVGIKQKLSVKKIIQKFPSTDFVLMLFHYDGIIDEWRDMEWHHRAIHVSAINQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVDDFHAGRYLSIIKEEGLDISQPALDPESSEVHHHLTTRKNGSRIHRKVFRIIGQTRCDENSTRPPCTGFVEMMAPVFSKASWRCTWHMIQNDLVHGWGLDFQLGYCAQGDRTKSIGIVDSEYIVHYGLPTLGGSASEKAQSSSDQSAGRLSVSSGS >Potri.014G175800.4.v4.1 pep chromosome:Pop_tri_v4:14:13124350:13128358:-1 gene:Potri.014G175800.v4.1 transcript:Potri.014G175800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175800.v4.1 MKMKMNMSTSIESKNHRLCLRRVLPLLCLLSAGFFIGSVLLFADYNQISGWTSVNVVLWDTRPKTCETEKRPKGTETLPKGIVSPMSDMEMRSLWGDDKKKTKKPKHVLAMAVGIKQKLSVKKIIQKFPSTDFVLMLFHYDGIIDEWRDMEWHHRAIHVSAINQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVDDFHAGRYLSIIKEEGLDISQPALDPESSEVHHHLTTRKNGSRIHRKVFRIIGQTRCDENSTRPPCTGFVEMMAPVFSKASWRCTWHMIQNDLVHGWGLDFQLGYCAQGDRTKSIGIVDSEYIVHYGLPTLGGSASEKAQSSSDQSAGRLSVSSGS >Potri.014G175800.3.v4.1 pep chromosome:Pop_tri_v4:14:13124350:13128358:-1 gene:Potri.014G175800.v4.1 transcript:Potri.014G175800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175800.v4.1 MKMKMNMSTSIESKNHRLCLRRVLPLLCLLSAGFFIGSVLLFADYNQISGWTSVNVVLWDTRPKTCETEKRPKGTETLPKGIVSPMSDMEMRSLWGDDKKKTKKPKHVLAMAVGIKQKLSVKKIIQKFPSTDFVLMLFHYDGIIDEWRDMEWHHRAIHVSAINQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVDDFHAGRYLSIIKEEGLDISQPALDPESSEVHHHLTTRKNGSRIHRKVFRIIGQTRCDENSTRPPCTGFVEMMAPVFSKASWRCTWHMIQNDLVHGWGLDFQLGYCAQGDRTKSIGIVDSEYIVHYGLPTLGGSASEKAQSSSDQSAGRLSVKKWSFVELERFKNRWKKAVKDDECWTDTYR >Potri.014G175800.7.v4.1 pep chromosome:Pop_tri_v4:14:13124350:13128045:-1 gene:Potri.014G175800.v4.1 transcript:Potri.014G175800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175800.v4.1 MSDMEMRSLWGDDKKKTKKPKHVLAMAVGIKQKLSVKKIIQKFPSTDFVLMLFHYDGIIDEWRDMEWHHRAIHVSAINQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVDDFHAGRYLSIIKEEGLDISQPALDPESSEVHHHLTTRKNGSRIHRKVFRIIGQTRCDENSTRPPCTGFVEMMAPVFSKASWRCTWHMIQNDLVHGWGLDFQLGYCAQGDRTKSIGIVDSEYIVHYGLPTLGGSASEKAQSSSDQSAGRLSVKKWSFVELERFKNRWKKAVKDDECWTDTYR >Potri.014G175800.8.v4.1 pep chromosome:Pop_tri_v4:14:13124350:13128039:-1 gene:Potri.014G175800.v4.1 transcript:Potri.014G175800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175800.v4.1 MSDMEMRSLWGDDKKKTKKPKHVLAMAVGIKQKLSVKKIIQKFPSTDFVLMLFHYDGIIDEWRDMEWHHRAIHVSAINQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVDDFHAGRYLSIIKEEGLDISQPALDPESSEVHHHLTTRKNGSRIHRKVFRIIGQTRCDENSTRPPCTGFVEMMAPVFSKASWRCTWHMIQNDLVHGWGLDFQLGYCAQGDRTKSIGIVDSEYIVHYGLPTLGGSASEKAQSSSDQSAGRLSVSSGS >Potri.014G175800.2.v4.1 pep chromosome:Pop_tri_v4:14:13124350:13128358:-1 gene:Potri.014G175800.v4.1 transcript:Potri.014G175800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175800.v4.1 MKMKMNMSTSIESKNHRLCLRRVLPLLCLLSAGFFIGSVLLFADYNQKISGWTSVNVVLWDTRPKTCETEKRPKGTETLPKGIVSPMSDMEMRSLWGDDKKKTKKPKHVLAMAVGIKQKLSVKKIIQKFPSTDFVLMLFHYDGIIDEWRDMEWHHRAIHVSAINQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVDDFHAGRYLSIIKEEGLDISQPALDPESSEVHHHLTTRKNGSRIHRKVFRIIGQTRCDENSTRPPCTGFVEMMAPVFSKASWRCTWHMIQNDLVHGWGLDFQLGYCAQGDRTKSIGIVDSEYIVHYGLPTLGGSASEKAQSSSDQSAGRLSVKKWSFVELERFKNRWKKAVKDDECWTDTYR >Potri.014G175800.6.v4.1 pep chromosome:Pop_tri_v4:14:13124350:13128045:-1 gene:Potri.014G175800.v4.1 transcript:Potri.014G175800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175800.v4.1 MSDMEMRSLWGDDKKKTKKPKHVLAMAVGIKQKLSVKKIIQKFPSTDFVLMLFHYDGIIDEWRDMEWHHRAIHVSAINQTKWWFAKRFLHPDIVSEYDYIFLWDEDLGVDDFHAGRYLSIIKEEGLDISQPALDPESSEVHHHLTTRKNGSRIHRKVFRIIGQTRCDENSTRPPCTGFVEMMAPVFSKASWRCTWHMIQNDLVHGWGLDFQLGYCAQGDRTKSIGIVDSEYIVHYGLPTLGGSASEKAQSSSDQSAGRLSVKKWSFVELERFKNRWKKAVKDDECWTDTYR >Potri.010G019500.1.v4.1 pep chromosome:Pop_tri_v4:10:3065470:3071736:-1 gene:Potri.010G019500.v4.1 transcript:Potri.010G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019500.v4.1 MVIAGPPEYMGKRRWFILAIFVCLLAFSCGRELMAEHKHADNHLTAYNHSLATILVEYASAVYMSDLTQLFTWTCPRCSGLTAGFDIIELLVDVEHCLQSFVGVAKDLNAIVIAFRGTQEHSIQNWIEDLYWKQLDINYPGMPDAMVHHGFYSAYHNTTIRPGILNAVERAKKYYGDLNIIVTGHSMGGAMAAFCGLDLVVNTEAKNVQVMTFGQPRVGNAAFASYYSQLVPNTIRVTNDHDIVPHLPPYYRYFPQKTYHHFPREVWLHNIGVGSLVYEVEKVCDGSGEDPDCSRSVAGNSIADHLVYFGVELMCETWRSCGIVMDSLAKEYGKMDVKGNIVFSRDSSILRMKTETNIGGDRM >Potri.010G019500.4.v4.1 pep chromosome:Pop_tri_v4:10:3065454:3071545:-1 gene:Potri.010G019500.v4.1 transcript:Potri.010G019500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019500.v4.1 MSDLTQLFTWTCPRCSGLTAGFDIIELLVDVEHCLQSFVGVAKDLNAIVIAFRGTQEHSIQNWIEDLYWKQLDINYPGMPDAMVHHGFYSAYHNTTIRPGILNAVERAKKYYGDLNIIVTGHSMGGAMAAFCGLDLVVNTEAKNVQVMTFGQPRVGNAAFASYYSQLVPNTIRVTNDHDIVPHLPPYYRYFPQKTYHHFPREVWLHNIGVGSLVYEVEKVCDGSGEDPDCSRSVAGNSIADHLVYFGVELMCETWRSCGIVMDSLAKEYGKMDVKGNIVFSRDSSILRMKTETNIGGDRM >Potri.003G048900.8.v4.1 pep chromosome:Pop_tri_v4:3:7133158:7140426:-1 gene:Potri.003G048900.v4.1 transcript:Potri.003G048900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048900.v4.1 MASYRPFTAPPQPPQPPQQQQQRPNQYTQSYNPQLAPPPQNYQNYSQHYPQPPRPAPPPHQQYPYQRPPPPESSYPPPPPPPAPLQQQPPPPPPPNMYYPTSHYALQSLQQPIQPPPPPPPPSSPPPSSSIPPPPPPSSPPPPPPKDSAFERDKGVSQEKREHDHPNHGKHQQQQSQLPLVVKKANGHPGRVETEEERRLRKKREFEKQRQEENRRQQLKESQNSALLKNHVISSQKGHGSIVGSRLGDRVATPLLGGERAENRLKKPTTFMCKLKFRNELPDPSAQPKLMPLKREKDRFTKYTITSLEKMYKPQLYVEPDLGIPLDLLDLSVYNPPSVRPLLAPEDEELLHDDESVTPVKRDGIKRKERPTDKGVSWLVKTQYISPLSMESAKLSLTEKQAKELREMKGGCKLLDNLNKRERQIKEIQASFASNKLPPVHATNKNLKPVEILPLLPDFDRYGDKFVTVAFDGAPTADAENYRKFDPSDRDAYESWAIMKACVASGSDPANPEKFLAYTVPSPDELSKDMYDENEDILYSWIREYHWDVRGDDVDDPSTFLVSFDEAEARYLPLPTKISLRKKRAREGRSGDEIEHFPIPSRVTVRKRAVAATIEQRDSGAISNSRGNNSRMERFEDEDGLGRLQRVALDEDLHHSSGAEDEMSE >Potri.003G121100.1.v4.1 pep chromosome:Pop_tri_v4:3:14216935:14220189:-1 gene:Potri.003G121100.v4.1 transcript:Potri.003G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121100.v4.1 MASSSMISSSSSCKQIPFLIERKPLMLKDYLLDDLSSCSSKGFKSFPRSHCCTTVRFLLEVDHKTKQQRQQRQLYKRSKSSKAASTTISALQKASVAVINAVKLLPFPSSNSTVKSPSPSRTRKGLLPRCLSRKLFKKSFWRKTPDHNGQCKESNEIRGWRLFHEFLEEQDRQSDQITSRISTSSRTSSNSNSNSNVWTTESESTVGSGNSTTSESCISGANDAVCNNKDLIKEVSDRVGVSVGQDSITNREEWPDDTEKEQFSPVSILDCPFQDDEEEISSPFHRSPIRMEGTKQKLMQKIRRLESLAQLDPVDLEKRIAMAELGDESLESPVQHCSMSIKSDNNANFSEAKEENGTEKHAQELLKQVKSTIASHSLSSKLDSLLVDFFTEKIVENNASGSVIGLYKEFEQELGVAQDWINGQPTEMFLGWEVVESRHAYLKDMEKNGKWKNVDQEKEEFALELEVEVFNSLVDEALLDYILAN >Potri.005G140800.1.v4.1 pep chromosome:Pop_tri_v4:5:11218535:11223831:1 gene:Potri.005G140800.v4.1 transcript:Potri.005G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140800.v4.1 MDASILHSDSLSRTRLCVTTTTASAVSLTHQMPCLLFSTPGRKLVSLSSISWPRRSPSLIAAASSGTLMANSPKSGVYTVGDFMTRKEDLHVVKPTTTVNEALETLVERRITGFPVIDDDWKLVGLVSDYDLLALDSISGGGRTETNMFPEVDSTWKTFNEVQMLLNKTNGKVVGDLMTPAPVVVRETTNLEDSVRLLLETKYRRLPVVDADGKLVGIITRGNVVRAALHIKHAMERKA >Potri.009G081150.1.v4.1 pep chromosome:Pop_tri_v4:9:7739430:7739956:1 gene:Potri.009G081150.v4.1 transcript:Potri.009G081150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081150.v4.1 MAPTASMLLLPYHNKSTYPSSLPTSTPSATSFSVAKFSAMKWVQQDSPIFKGRSLGKESFMAGPSSPANDSDKEKRFEEALERSCWC >Potri.007G036700.2.v4.1 pep chromosome:Pop_tri_v4:7:2881824:2884111:1 gene:Potri.007G036700.v4.1 transcript:Potri.007G036700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036700.v4.1 MERQKHFVLVHGACHGAWCWYKVATLLTSAGHKVTALDMAASGVHPKRVEELHAISDYFEPLMEFMTSLPPEERVILVGHSMGGLSNSVAMERFPEKISCAVFAACIMPGPDLSFTAAKEENARQAGSFMDSQYMFDNGPNNPPTSILLGPDCLSIQLYQLSPAKDLTLAKLLLRPHPLFSDEATQEEVWVTKEKYGSVPRVYIVCDQDKIIKEAIQRWMIEKNPPDEVKVVPGSDHMLMFSKPQEMCSCLLEVAGKYS >Potri.002G077400.1.v4.1 pep chromosome:Pop_tri_v4:2:5406971:5413725:-1 gene:Potri.002G077400.v4.1 transcript:Potri.002G077400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077400.v4.1 MEAAVATESLSKLNINGDFASSLPNLHKNLHLLSPQQVELAKMLVEKGQSHLFEHWPEPGVDDDEKKAFFDQVTRLNSSYPGGLGSYIKTARELLADSRAGKNPFDGFTPSVPTGENLSYGDENFIKFEEAGVKEAQNAAFVLVAGGLGERLGYNGIKVALPFETITGTCFLQCYIESVLALQEASYRMTQGGQKKEVPFVIMTSDDTHSRTVELLESNSYFGMKPTQVKLLKQEKVACLEDNDARLALDPQNKFRVQTKPHGHGDVHSLLYSSGLLYEWHDAGLRWVLFFQDTNGLLFKAIPASIGVSATKGYHVNSLAVPRKAKEAIGGITKLTHIDGRSMVINVEYNQLDPLLRATGFPGGDVNCETGYSPFPGNINQLILELDSYIEELKKTGGAIKEFVNPKYKDASKTSFKSSTRLECMMQDYPKTLPPSARVGFTVMDTWLAYAPVKNNPEDAAKVPKGNPYHSATSGEMAIYRANSLILRKVGVQVDNPVNEVFNGQEVEVWPRIVWKPTWGLTYADIRSKVSGSCSITQRSTMAIKGRNIFVKDLSLDGALVIDSIDEAEVKAGGSVQNKGWLMEKVDYKDTSVPEAIRIRGFRFKKIEQLEKQFSEPGKFELKA >Potri.002G261500.1.v4.1 pep chromosome:Pop_tri_v4:2:24919376:24919864:-1 gene:Potri.002G261500.v4.1 transcript:Potri.002G261500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G261500.v4.1 MARDYLFIYFARLSPFCVGQAPCFPIFLPTFLFLLDGYQKHSTSYTETNVPAGISTNNINSSLKGIFCYRQVNFLIYYYSLSALSYLPAFVEQLTISYIIS >Potri.019G038851.1.v4.1 pep chromosome:Pop_tri_v4:19:5380182:5384218:1 gene:Potri.019G038851.v4.1 transcript:Potri.019G038851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038851.v4.1 MTIEAMGEQRREPVAHVHRVGYANRAIRKKRCKRTKKCASTVPMALQDLFVSCRQMFKGPDTVPLPEDIKRLCNILDNMKPEDVGLSSELQFFKTKAAVKGTPRVTYTTIYKCNDFSLCIFFLPANAVIPLHNHPGMTVFSKLLLGKMHIKAYDLVDPPRADGPDTPIQLRLAKLEADSVLTAPCNTSVLYPTTGGNIHQFTAITPCAVLDVLGPPYSKEGDRDCSYYKDFPYTALSNGEMELKKEEGSCYAWLEETEVPENSKMDGIEYLGPQVDESRC >Potri.014G147300.1.v4.1 pep chromosome:Pop_tri_v4:14:10100554:10104797:-1 gene:Potri.014G147300.v4.1 transcript:Potri.014G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147300.v4.1 MGFSHLLLYFLVSSVASLRGCTGSDSVPIQINDDVLGLIVFKSDLSDPSSYLSSWNEDDDSPCSWKFIECNPVSGRVSQVSLDGLGLSGRLGKGLQKLQHVKTLSLSHNNFSGDFSLEFGLISSLESLNLSHNSLSGLIPSFLDNMSSLKFLDLSENSFTGPLPDDLFRNSFSLRYLSLAGNLLQGPIPSSLFSCSSLNTINLSNNQFSGDPDFVTGTWSLERLRKLDLSHNEFSGSVPQGVSAIHNLKELHLQGNRFSGPLPVDIGLCRHLNRLDLSSNLFSGALPESLQGLSSINYFSLSKNMLTGEFPRWIGSLSNLEYLDLSSNALTGSISSSIGDLKSLRYLSLSNNKLLGNIPASIVSCTMLSAIRLRGNSFNGSIPEGLFDLGLEEVDFSHNGLIGSIPSGSSTFFTSLHTLDLSRNNLTGHIPAEMGLSSDLRYLNLSWNNLESRMPPELGYFQNLTVLDLRSNALAGSIPADICESGSLNILQLDGNSLVGQVPEEIGNCSSLYLLSLSQNNLSGSIPKSISRLDKLKILKLEFNELTGEVPQELGKLENLLAVNISYNKLIGRLPVRGIFPSLDQSALQGNLGICSPLLKGPCKMNVPKPLVLDPNAYGNQGDGQKPRSASSRPARFHHHMFLSVSAIIAISAAIFIMFGVILISLLNVSVRKRLAFVDHALESMCSSSSKSGNLVTGKLVLFDSKSSPDWINSPESLLNKAAEIGQGVFGTVYKVSLGSEARMVAIKKLITSNIIQYPEDFDREVRVLGKARHPNLLSLKGYYWTPQLQLLVSEYAPNGSLQSKLHERLTSTPPLSWANRLKIVLGTAKGLAHLHHSFRPPIIHYNIKPSNILLDENFNPKISDFGLARLLTKLDRHVMSSRFQSALGYVAPELACQSLRINEKCDIYGFGVLILELVTGRRPVEYGEDNVVIQNDHVRVLLEQGNALDCVDPSMGDYPEDEVMPVLKLALVCTSQIPSSRPSMAEVVQILQVIRTPVPQRMEIF >Potri.007G112400.2.v4.1 pep chromosome:Pop_tri_v4:7:13341725:13345824:1 gene:Potri.007G112400.v4.1 transcript:Potri.007G112400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112400.v4.1 MESLRRKRKGLEILPSFGESLAPLTVILPRLSLGDYSRQRKKYKEDEDKEAVGSSTSVVKGVFTAPPCRSVSADPPCRGLKRKIGCIDVATQLGRKKKIEKEYDLGASIGQGKFGSVVLCRSKVTGEEFACKMLRKGEELVHREVEIMQHLSGHPGVVTLKAVYEDLESFYLVMELCTGGRLLDQMAKQRQYPEHRAANILKEVVSVIKYCHDMGVVHRDIKPENILLTASGLMKLADFGLAVRMSNGQSLRGAVGSPAYVAPEVLAGDYSEKVDIWSAGVLLHTLLVGVLPFQGDSLDAVFEAIKKVNLDFKSELWESVSQPARELVAHMLTRDVSARLTADEILGHPWILFYTEPTRKELTQKPKFQDHATLTSQQLTVTTRLESERYRITASGFLSDDSSLILSSDGSRSRLEEQDCGLVDALTVAISRVRISEPKRSRLCWPTSPIRQECSSNIKINNLCTAF >Potri.007G112400.6.v4.1 pep chromosome:Pop_tri_v4:7:13341706:13345920:1 gene:Potri.007G112400.v4.1 transcript:Potri.007G112400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112400.v4.1 MESLRRKRKGLEILPSFGESLAPLTVILPRLSLGDYSRQRKKYKEDEDKEAVGSSTSVVKGVFTAPPCRSVSADPPCRGLKRKIGCIDVATQLGRKKKIEKEYDLGASIGQGKFGSVVLCRSKVTGEEFACKMLRKGEELVHREVEIMQHLSGHPGVVTLKAVYEDLESFYLVMELCTGGRLLDQMAKQRQYPEHRAANILKEVVSVIKYCHDMGVVHRDIKPENILLTASGLMKLADFGLAVRMSNGQSLRGAVGSPAYVAPEVLAGDYSEKVDIWSAGVLLHTLLVGVLPFQGDSLDAVFEAIKKVNLDFKSELWESVSQPARELVAHMLTRDVSARLTADEILGKLLHHSNKLYIKNGSRSVSLTLRL >Potri.007G112400.5.v4.1 pep chromosome:Pop_tri_v4:7:13341707:13345833:1 gene:Potri.007G112400.v4.1 transcript:Potri.007G112400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112400.v4.1 MESLRRKRKGLEILPSFGESLAPLTVILPRLSLGDYSRQRKKYKEDEDKEAVGSSTSVVKGVFTAPPCRSVSADPPCRGLKRKIGCIDVATQLGRKKKIEKEYDLGASIGQGKFGSVVLCRSKVTGEEFACKMLRKGEELVHREVEIMQHLSGHPGVVTLKAVYEDLESFYLVMELCTGGRLLDQMAKQRQYPEHRAANILKEVVSVIKYCHDMGVVHRDIKPENILLTASGLMKLADFGLAVRMSNGQSLRGAVGSPAYVAPEVLAGDYSEKVDIWSAGVLLHTLLVGVLPFQGDSLDAVFEAIKKVNLDFKSELWESVSQPARELVAHMLTRDVSARLTADEILGHPWILFYTEPTRKELTQKPKFQDHATLTSQQLTVTTRLESERYRITASGFLSDDSSLILSSDGSRSRLEEQDCGLVDALTVAISRVRISEPKRSRLCWPTSPIRQECSSNIKINNLCTAF >Potri.007G112400.4.v4.1 pep chromosome:Pop_tri_v4:7:13341725:13345820:1 gene:Potri.007G112400.v4.1 transcript:Potri.007G112400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112400.v4.1 MESLRRKRKGLEILPSFGESLAPLTVILPRLSLGDYSRQRKKYKEDEDKEAVGSSTSVVKGVFTAPPCRSVSADPPCRGLKRKIGCIDVATQLGRKKKIEKEYDLGASIGQGKFGSVVLCRSKVTGEEFACKMLRKGEELVHREVEIMQHLSGHPGVVTLKAVYEDLESFYLVMELCTGGRLLDQMAKQRQYPEHRAANILKEVVSVIKYCHDMGVVHRDIKPENILLTASGLMKLADFGLAVRMSNGQSLRGAVGSPAYVAPEVLAGDYSEKVDIWSAGVLLHTLLVGVLPFQGDSLDAVFEAIKKVNLDFKSELWESVSQPARELVAHMLTRDVSARLTADEILGHPWILFYTEPTRKELTQKPKFQDHATLTSQQLTVTTRLESERYRITASGFLSDDSSLILSSDGSRSRLEEQDCGLVDALTVAISRVRISEPKRSRLCWPTSPIRQECSSNIKINNLCTAF >Potri.T125704.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:107830:108066:-1 gene:Potri.T125704.v4.1 transcript:Potri.T125704.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125704.v4.1 MEGKGIRASILLVLMLVLMVLCNARMAASDCFTPCVQKCKLQIEPGKCIKECEIKCGDGPDVNRQNQVDDGKEAAKLS >Potri.010G081100.4.v4.1 pep chromosome:Pop_tri_v4:10:10847573:10849899:1 gene:Potri.010G081100.v4.1 transcript:Potri.010G081100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081100.v4.1 MVGLTVAFLVLVVLLVRYFTGNTQDESGNKEFNGSKTKADDIVNAVVGIVAAAVTIIVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLSACETMGSATTICTDKTGTLTMNLMKVTKFWLGQESMEQSSPSISPYVLELIQQGVALNTTCSAYRESPESKFVFSGSPTEKAILSWAIHELNMDMEQMKHSFTILYVEAFNSQKKRSGVLSRKKVDNTIHVHWKGAAEMILAMCSSYYDASGLMKDMDVGERNTFKQIIQVMAANSLRCIAFAHKQLSEEQYEDGKEEKRLQEDSFTLLGLVGIKDPIRPGVKKAVDDCQHAGVNIKMITGDNVFTARAIAIECGILEYGAENINGAVVEGEEFRNYTHEQRMEKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYSNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEQPTQELMKKTPVGRTEPLITNIMWRNLLSQALYQIAILLTLQFKGEPIFGLTERVNDTLIFNIFVLCQVFNEFNARKLEEKNVFKGIHKNKLFLGIIGITILLQVLMVEFLKKFADTERLNWGQWGACIGIAALSWPIGWVVKCIPVPEKPIFSYLTWKK >Potri.010G081100.1.v4.1 pep chromosome:Pop_tri_v4:10:10846444:10849751:1 gene:Potri.010G081100.v4.1 transcript:Potri.010G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081100.v4.1 MPPHLHANMVCIERLLDVPATLSKPDKRWHLAFATIYCSRTIYSLAKIPVVLLKRPTNISSSPSYTSLNINLDSEHFKIHQSSLAELVNKKDLHQLQNFGGTFGIYGGAEDIARRQQAFGSNTYKKPPTKGLFHFVAEAFKDLTIAILLGCAALSLGFGVKEHGLKEGWYDGGSIFVAVFLVIAVSAISNYRQNRQFDKLSKISSNIKIDVVRSGRRQEVSIFEIVVGDVVCLKIGDQVPADGLFIDGHSLQIDESSMTGESDHVEINHKKNPFLVSGTKVADGYGQMLVTSVGMNTTWGEMMSHISRDTDEQTPLQARLNKLTSSIGMVGLTVAFLVLVVLLVRYFTGNTQDESGNKEFNGSKTKADDIVNAVVGIVAAAVTIIVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLSACETMGSATTICTDKTGTLTMNLMKVTKFWLGQESMEQSSPSISPYVLELIQQGVALNTTCSAYRESPESKFVFSGSPTEKAILSWAIHELNMDMEQMKHSFTILYVEAFNSQKKRSGVLSRKKVDNTIHVHWKGAAEMILAMCSSYYDASGLMKDMDVGERNTFKQIIQVMAANSLRCIAFAHKQLSEEQYEDGKEEKRLQEDSFTLLGLVGIKDPIRPGVKKAVDDCQHAGVNIKMITGDNVFTARAIAIECGILEYGAENINGAVVEGEEFRNYTHEQRMEKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYSNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEQPTQELMKKTPVGRTEPLITNIMWRNLLSQALYQIAILLTLQFKGEPIFGLTERVNDTLIFNIFVLCQVFNEFNARKLEEKNVFKGIHKNKLFLGIIGITILLQVLMVEFLKKFADTERLNWGQWGACIGIAALSWPIGWVVKCIPVPEKPIFSYLTWKK >Potri.010G081100.2.v4.1 pep chromosome:Pop_tri_v4:10:10846945:10849815:1 gene:Potri.010G081100.v4.1 transcript:Potri.010G081100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081100.v4.1 MTGESDHVEINHKKNPFLVSGTKVADGYGQMLVTSVGMNTTWGEMMSHISRDTDEQTPLQARLNKLTSSIGMVGLTVAFLVLVVLLVRYFTGNTQDESGNKEFNGSKTKADDIVNAVVGIVAAAVTIIVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLSACETMGSATTICTDKTGTLTMNLMKVTKFWLGQESMEQSSPSISPYVLELIQQGVALNTTCSAYRESPESKFVFSGSPTEKAILSWAIHELNMDMEQMKHSFTILYVEAFNSQKKRSGVLSRKKVDNTIHVHWKGAAEMILAMCSSYYDASGLMKDMDVGERNTFKQIIQVMAANSLRCIAFAHKQLSEEQYEDGKEEKRLQEDSFTLLGLVGIKDPIRPGVKKAVDDCQHAGVNIKMITGDNVFTARAIAIECGILEYGAENINGAVVEGEEFRNYTHEQRMEKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYSNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEQPTQELMKKTPVGRTEPLITNIMWRNLLSQALYQIAILLTLQFKGEPIFGLTERVNDTLIFNIFVLCQVFNEFNARKLEEKNVFKGIHKNKLFLGIIGITILLQVLMVEFLKKFADTERLNWGQWGACIGIAALSWPIGWVVKCIPVPEKPIFSYLTWKK >Potri.010G081100.3.v4.1 pep chromosome:Pop_tri_v4:10:10847469:10849892:1 gene:Potri.010G081100.v4.1 transcript:Potri.010G081100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081100.v4.1 MLVTSVGMNTTWGEMMSHISRDTDEQTPLQARLNKLTSSIGMVGLTVAFLVLVVLLVRYFTGNTQDESGNKEFNGSKTKADDIVNAVVGIVAAAVTIIVVAIPEGLPLAVTLTLAYSMKRMMKDQAMVRKLSACETMGSATTICTDKTGTLTMNLMKVTKFWLGQESMEQSSPSISPYVLELIQQGVALNTTCSAYRESPESKFVFSGSPTEKAILSWAIHELNMDMEQMKHSFTILYVEAFNSQKKRSGVLSRKKVDNTIHVHWKGAAEMILAMCSSYYDASGLMKDMDVGERNTFKQIIQVMAANSLRCIAFAHKQLSEEQYEDGKEEKRLQEDSFTLLGLVGIKDPIRPGVKKAVDDCQHAGVNIKMITGDNVFTARAIAIECGILEYGAENINGAVVEGEEFRNYTHEQRMEKVDKICVMARSSPFDKLLMVQCLKQKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFASVATVLRWGRCVYSNIQKFIQFQLTVNVAALVINFVAAVSAGEVPLTAVQLLWVNLIMDTLGALALATEQPTQELMKKTPVGRTEPLITNIMWRNLLSQALYQIAILLTLQFKGEPIFGLTERVNDTLIFNIFVLCQVFNEFNARKLEEKNVFKGIHKNKLFLGIIGITILLQVLMVEFLKKFADTERLNWGQWGACIGIAALSWPIGWVVKCIPVPEKPIFSYLTWKK >Potri.004G224600.2.v4.1 pep chromosome:Pop_tri_v4:4:22929253:22929762:1 gene:Potri.004G224600.v4.1 transcript:Potri.004G224600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224600.v4.1 MNGYSKIKIFGAANSRSIDFSDLSLAFPEPTKSNTSTSLEHQESETKIINQDINTMNVIRSGTTSATTQDSLSVLEDDQENDVEERFSMKLRRNPSVSSSASAVKKAFSMRRSTSVSEAYCRIHNQSMTSASPIHDEDDTLDTMKSTRSVKKKHSRGRILGACKKLFGL >Potri.005G171700.3.v4.1 pep chromosome:Pop_tri_v4:5:17613373:17619073:-1 gene:Potri.005G171700.v4.1 transcript:Potri.005G171700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171700.v4.1 MGSIDTPKNPENGSTTLPPTTDASATYDGDQNPTNGMPPRVTEMTLPVESEVVKKRKTSMLPLEVGTRVLCRWRDCKYHPVKVIERRKMQSGGTNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCLQLYFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEIDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKRHKGNISIKVSVFLRAQDSFLSAVLLMPSSTYLDCVEKFMAVTVHSVFPSIVGKFLPFLMDLRYSTIIL >Potri.005G171700.2.v4.1 pep chromosome:Pop_tri_v4:5:17613306:17619064:-1 gene:Potri.005G171700.v4.1 transcript:Potri.005G171700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171700.v4.1 MGSIDTPKNPENGSTTLPPTTDASATYDGDQNPTNGMPPRVTEMTLPVESEVVKKRKTSMLPLEVGTRVLCRWRDCKYHPVKVIERRKMQSGGTNDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCLQLYFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEIDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYVLCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKRHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >Potri.002G262300.4.v4.1 pep chromosome:Pop_tri_v4:2:24975788:24983010:1 gene:Potri.002G262300.v4.1 transcript:Potri.002G262300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262300.v4.1 MANNSVSDSRHGLSLTPPFQISKDAQGSDNPIPLSPQWLLPKPGESKPGVGTGESSPLPAYGNRSDSMKSSGNTEEMHDQKKKDVFRPSLLDMETGRRDRWRDEERDTNSTMRKDRWRDGDKELGDSRRMERWTENSSTKHYEARRAPSERWTDSSNRETNYDQRRESKWNTRWGPDNKDTEGSREKWSDSGRDGDTPFEKGLSHHSGHGKDEREVDHYRPWRSNSSQGRGRGEPPHHQSLTPNKQVPTFSYGRGRGESTPTYPLGRGRLSSGGISTNSASTNSQYSGGISDKGESGQLSYSRTKLVDVYRMTDMKSRQLLNGFVQVPLLTLEEPSEPLALCAPNPEELVVLKGIDKGDIVSSGAPQISKEGSLGRNSIDSTQPMRAKPGGKEDVPHSFDNGKDESLNILTGGHGTYSDGLSHERQTQYHGSSSKLEMMQEPKMYSDDKFKVEAFRETSPYKKDDEVPRSRELTVEGNTSAHSGTPWRAPSLVEQFNTVSHDWRDASSDVRSRAADMARNQPPKDSENPWESNAANPSFSRDEAKWQTNEDPIMKRQPSAALDREQEVKKFSQPSPENLVLYYKDPQGEIQGPFSGSDIIGWFETGYFGIDLQVRPANASQDSPFLLLGDVMPHLRAKARPPPGFAGTKQNEFTDTSSRPNISSFGNMHPSLKEFDVIRNDPRSKPGSATEAENRFLESLMSGNLGPSSQGSQGFTGNSSGGVPSLGVDGGNDLHLMAKKMALERQRSLPGPYPFWQGRDAPSIVSKSEVHPDSLMQHAKLLSSLSDNPHQPPHSQNADLMSILQGLSDRPVSGINNGVSGWSNFPAQESLDPLQDKIDLLHAQNFPPQVLFGQQQRLQRQNPPLTNLLGQGIDNPSGILTPEKLLPSALPQDPQLLNLLQQQYLLQSHSQAPIQTQQLSVLDKLLLLKQQQKQEEHQQLLWQQQLLSQAMPEHHSHQRFGEPSYGKLQTASIATGNAPVDPSRLQLSKELLTTGLQLPVSNVQDEHTTSLLNLPPQVTHDVTYNVNSEASSLHLPHQMFGNVNLQKSWGTSPGKLGDIHPKESLPASPFVDSSPLPGRMNKSSHEASVASEPVPSSDFRVPLSLDHTSEVPWRTEESAKVLVSEATADSVHQDSHEISDPVASAGTGENAISKPEHASVLKVELDSSLDEQQVDRDRLNTEPEVATVVKNIEIRETRKASEKKSRKQKSAKSNSSSDQAKVAIKALSLQQSKQSENEGPNAGLTRFESHDGTGENLSGTSPQKARDNKFGTSAEVVESQQVTSSLSAINSGEGESKLAGSVPVLSAQIQSSQRAWKPAPGFKPKSLLEIQQEEQRKAQVGLAVSETSTSVNHASSSTPWAGVVASSDPKISRDIQREMNNTDINVGKAEISLSSKSKKSQLHDLLAEEVLAKSNEREMGVSESLSGLTTQPVATNSLESIDDGNFIEAKDTKKNRKRSAKAKGAGAKVVVPIPSTEMAVSSSPIEKGKGSRSVQQEKEVLPAIPSGPSLGDFVFWKGEPANHSPSPAWSADSKKLPKPTSLRDIQKEQEKKVSSAQPQNQIPIPQKPQPAQSAHGSGSSWSHSASSPSKAASPIQINSRASSQSKYKGDDELFWGPIDQSKQEPKQYDPFFAYLTSF >Potri.002G262300.5.v4.1 pep chromosome:Pop_tri_v4:2:24977641:24983051:1 gene:Potri.002G262300.v4.1 transcript:Potri.002G262300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262300.v4.1 MERWTENSSTKHYEARRAPSERWTDSSNRETNYDQRRESKWNTRWGPDNKDTEGSREKWSDSGRDGDTPFEKGLSHHSGHGKDEREVDHYRPWRSNSSQGRGRGEPPHHQSLTPNKQVPTFSYGRGRGESTPTYPLGRGRLSSGGISTNSASTNSQYSGGISDKGESGQLSYSRTKLVDVYRMTDMKSRQLLNGFVQVPLLTLEEPSEPLALCAPNPEELVVLKGIDKGDIVSSGAPQISKEGSLGRNSIDSTQPMRAKPGGKEDVPHSFDNGKDESLNILTGGHGTYSDGLSHERQTQYHGSSSKLEMMQEPKMYSDDKFKVEAFRETSPYKKDDEVPRSRELTVEGNTSAHSGTPWRAPSLVEQFNTVSHDWRDASSDVRSRAADMARNQPPKDSENPWESNAANPSFSRDEAKWQTNEDPIMKRQPSAALDREQEVKKFSQPSPENLVLYYKDPQGEIQGPFSGSDIIGWFETGYFGIDLQVRPANASQDSPFLLLGDVMPHLRAKARPPPGFAGTKQNEFTDTSSRPNISSFGNMHPSLKEFDVIRNDPRSKPGSATEAENRFLESLMSGNLGPSSQGSQGFTGNSSGGVPSLGVDGGNDLHLMAKKMALERQRSLPGPYPFWQGRDAPSIVSKSEVHPDSLMQHAKLLSSLSDNPHQPPHSQNADLMSILQGLSDRPVSGINNGVSGWSNFPAQESLDPLQDKIDLLHAQNFPPQVLFGQQQRLQRQNPPLTNLLGQGIDNPSGILTPEKLLPSALPQDPQLLNLLQQQYLLQSHSQAPIQTQQLSVLDKLLLLKQQQKQEEHQQLLWQQQLLSQAMPEHHSHQRFGEPSYGKLQTASIATGNAPVDPSRLQLSKELLTTGLQLPVSNVQDEHTTSLLNLPPQVTHDVTYNVNSEASSLHLPHQMFGNVNLQKSWGTSPGKLGDIHPKESLPASPFVDSSPLPGRMNKSSHEASVASEPVPSSDFRVPLSLDHTSEVPWRTEESAKVLVSEATADSVHQDSHEISDPVASAGTGENAISKPEHASVLKVELDSSLDEQQVDRDRLNTEPEVATVVKNIEIRETRKASEKKSRKQKSAKSNSSSDQAKVAIKALSLQQSKQSENEGPNAGLTRFESHDGTGENLSGTSPQKARDNKFGTSAEVVESQQVTSSLSAINSGEGESKLAGSVPVLSAQIQSSQRAWKPAPGFKPKSLLEIQQEEQRKAQVGLAVSETSTSVNHASSSTPWAGVVASSDPKISRDIQREMNNTDINVGKAEISLSSKSKKSQLHDLLAEEVLAKSNEREMGVSESLSGLTTQPVATNSLESIDDGNFIEAKDTKKNRKRSAKAKGAGAKVVVPIPSTEMAVSSSPIEKGKGSRSVQQEKEVLPAIPSGPSLGDFVFWKGEPANHSPSPAWSADSKKLPKPTSLRDIQKEQEKKVSSAQPQNQIPIPQKPQPAQSAHGSGSSWSHSASSPSKAASPIQINSRASSQSKYKGDDELFWGPIDQSKQEPKQYDPFFAYLTSF >Potri.002G262300.3.v4.1 pep chromosome:Pop_tri_v4:2:24975799:24985998:1 gene:Potri.002G262300.v4.1 transcript:Potri.002G262300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262300.v4.1 MANNSVSDSRHGLSLTPPFQISKDAQGSDNPIPLSPQWLLPKPGESKPGVGTGESSPLPAYGNRSDSMKSSGNTEEMHDQKKKDVFRPSLLDMETGRRDRWRDEERDTNSTMRKDRWRDGDKELGDSRRMERWTENSSTKHYEARRAPSERWTDSSNRETNYDQRRESKWNTRWGPDNKDTEGSREKWSDSGRDGDTPFEKGLSHHSGHGKDEREVDHYRPWRSNSSQGRGRGEPPHHQSLTPNKQVPTFSYGRGRGESTPTYPLGRGRLSSGGISTNSASTNSQYSGGISDKGESGQLSYSRTKLVDVYRMTDMKSRQLLNGFVQVPLLTLEEPSEPLALCAPNPEELVVLKGIDKGDIVSSGAPQISKEGSLGRNSIDSTQPMRAKPGGKEDVPHSFDNGKDESLNILTGGHGTYSDGLSHERQTQYHGSSSKLEMMQEPKMYSDDKFKVEAFRETSPYKKDDEVPRSRELTVEGNTSAHSGTPWRAPSLVEQFNTVSHDWRDASSDVRSRAADMARNQPPKDSENPWESNAANPSFSRDEAKWQTNEDPIMKRQPSAALDREQEVKKFSQPSPENLVLYYKDPQGEIQGPFSGSDIIGWFETGYFGIDLQVRPANASQDSPFLLLGDVMPHLRAKARPPPGFAGTKQNEFTDTSSRPNISSFGNMHPSLKEFDVIRNDPRSKPGSATEAENRFLESLMSGNLGPSSQGSQGFTGNSSGGVPSLGVDGGNDLHLMAKKMALERQRSLPGPYPFWQGRDAPSIVSKSEVHPDSLMQHAKLLSSLSDNPHQPPHSQNADLMSILQGLSDRPVSGINNGVSGWSNFPAQESLDPLQDKIDLLHAQNFPPQVLFGQQQRLQRQNPPLTNLLGQGIDNPSGILTPEKLLPSALPQDPQLLNLLQQQYLLQSHSQAPIQTQQLSVLDKLLLLKQQQKQEEHQQLLWQQQLLSQAMPEHHSHQRFGEPSYGKLQTASIATGNAPVDPSRLQLSKELLTTGLQLPVSNVQDEHTTSLLNLPPQVTHDVTYNVNSEASSLHLPHQMFGNVNLQKSWGTSPGKLGDIHPKESLPASPFVDSSPLPGRMNKSSHEASVASEPVPSSDFRVPLSLDHTSEVPWRTEESAKVLVSEATADSVHQDSHEISDPVASAGTGENAISKPEHASVLKVELDSSLDEQQVDRDRLNTEPEVATVVKNIEIRETRKASEKKSRKQKSAKSNSSSDQAKVAIKALSLQQSKQSENEGPNAGLTRFESHDGTGENLSGTSPQKARDNKFGTSAEVVESQQVTSSLSAINSGEGESKLAGSVPVLSAQIQSSQRAWKPAPGFKPKSLLEIQQEEQRKAQVGLAVSETSTSVNHASSSTPWAGVVASSDPKISRDIQREMNNTDINVGKAEISLSSKSKKSQLHDLLAEEVLAKSNEREMGVSESLSGLTTQPVATNSLESIDDGNFIEAKDTKKNRKRSAKAKGAGAKVVVPIPSTEMAVSSSPIEKGKGSRSVQQEKEVLPAIPSGPSLGDFVFWKGEPANHSPSPAWSADSKKLPKPTSLRDIQKEQEKKVSSAQPQNQIPIPQKPQPAQSAHGSGSSWSHSASSPSKAASPIQINSRASSQSKYKGDDELFWGPIDQSKQEPKQSEFPHISSQGSWGTKNTPVKGAPVASLGRQKSVGGRPAEHSLSSSTATTQSSLKGKRDTMNKHSEAMEFRAWCENECVRLVGTKDTSFLEYCLKQSRSEAEMLLIENLASFDPDHEFIDKFLNCKEMLGADVLEIAFQRQNDWKTSGISAKDVTFDNAGVEDYDREDGSGKGGSKKKGKKGKKVNPSVLGFNVVSNRIMMGEIQTLED >Potri.002G262300.7.v4.1 pep chromosome:Pop_tri_v4:2:24980163:24983101:1 gene:Potri.002G262300.v4.1 transcript:Potri.002G262300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262300.v4.1 MAKKMALERQRSLPGPYPFWQGRDAPSIVSKSEVHPDSLMQHAKLLSSLSDNPHQPPHSQNADLMSILQGLSDRPVSGINNGVSGWSNFPAQESLDPLQDKIDLLHAQNFPPQVLFGQQQRLQRQNPPLTNLLGQGIDNPSGILTPEKLLPSALPQDPQLLNLLQQQYLLQSHSQAPIQTQQLSVLDKLLLLKQQQKQEEHQQLLWQQQLLSQAMPEHHSHQRFGEPSYGKLQTASIATGNAPVDPSRLQLSKELLTTGLQLPVSNVQDEHTTSLLNLPPQVTHDVTYNVNSEASSLHLPHQMFGNVNLQKSWGTSPGKLGDIHPKESLPASPFVDSSPLPGRMNKSSHEASVASEPVPSSDFRVPLSLDHTSEVPWRTEESAKVLVSEATADSVHQDSHEISDPVASAGTGENAISKPEHASVLKVELDSSLDEQQVDRDRLNTEPEVATVVKNIEIRETRKASEKKSRKQKSAKSNSSSDQAKVAIKALSLQQSKQSENEGPNAGLTRFESHDGTGENLSGTSPQKARDNKFGTSAEVVESQQVTSSLSAINSGEGESKLAGSVPVLSAQIQSSQRAWKPAPGFKPKSLLEIQQEEQRKAQVGLAVSETSTSVNHASSSTPWAGVVASSDPKISRDIQREMNNTDINVGKAEISLSSKSKKSQLHDLLAEEVLAKSNEREMGVSESLSGLTTQPVATNSLESIDDGNFIEAKDTKKNRKRSAKAKGAGAKVVVPIPSTEMAVSSSPIEKGKGSRSVQQEKEVLPAIPSGPSLGDFVFWKGEPANHSPSPAWSADSKKLPKPTSLRDIQKEQEKKVSSAQPQNQIPIPQKPQPAQSAHGSGSSWSHSASSPSKAASPIQINSRASSQSKYKGDDELFWGPIDQSKQEPKQYDPFFAYLTSF >Potri.002G262300.6.v4.1 pep chromosome:Pop_tri_v4:2:24980154:24986028:1 gene:Potri.002G262300.v4.1 transcript:Potri.002G262300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262300.v4.1 MAKKMALERQRSLPGPYPFWQGRDAPSIVSKSEVHPDSLMQHAKLLSSLSDNPHQPPHSQNADLMSILQGLSDRPVSGINNGVSGWSNFPAQESLDPLQDKIDLLHAQNFPPQVLFGQQQRLQRQNPPLTNLLGQGIDNPSGILTPEKLLPSALPQDPQLLNLLQQQYLLQSHSQAPIQTQQLSVLDKLLLLKQQQKQEEHQQLLWQQQLLSQAMPEHHSHQRFGEPSYGKLQTASIATGNAPVDPSRLQLSKELLTTGLQLPVSNVQDEHTTSLLNLPPQVTHDVTYNVNSEASSLHLPHQMFGNVNLQKSWGTSPGKLGDIHPKESLPASPFVDSSPLPGRMNKSSHEASVASEPVPSSDFRVPLSLDHTSEVPWRTEESAKVLVSEATADSVHQDSHEISDPVASAGTGENAISKPEHASVLKVELDSSLDEQQVDRDRLNTEPEVATVVKNIEIRETRKASEKKSRKQKSAKSNSSSDQAKVAIKALSLQQSKQSENEGPNAGLTRFESHDGTGENLSGTSPQKARDNKFGTSAEVVESQQVTSSLSAINSGEGESKLAGSVPVLSAQIQSSQRAWKPAPGFKPKSLLEIQQEEQRKAQVGLAVSETSTSVNHASSSTPWAGVVASSDPKISRDIQREMNNTDINVGKAEISLSSKSKKSQLHDLLAEEVLAKSNEREMGVSESLSGLTTQPVATNSLESIDDGNFIEAKDTKKNRKRSAKAKGAGAKVVVPIPSTEMAVSSSPIEKGKGSRSVQQEKEVLPAIPSGPSLGDFVFWKGEPANHSPSPAWSADSKKLPKPTSLRDIQKEQEKKVSSAQPQNQIPIPQKPQPAQSAHGSGSSWSHSASSPSKAASPIQINSRASSQSKYKGDDELFWGPIDQSKQEPKQSEFPHISSQGSWGTKNTPVKGAPVASLGRQKSVGGRPAEHSLSSSTATTQSSLKGKRDTMNKHSEAMEFRAWCENECVRLVGTKDTSFLEYCLKQSRSEAEMLLIENLASFDPDHEFIDKFLNCKEMLGADVLEIAFQRQNDWKTSGISAKDVTFDNAGVEDYDREDGSGKGGSKKKGKKGKKVNPSVLGFNVVSNRIMMGEIQTLED >Potri.002G262300.2.v4.1 pep chromosome:Pop_tri_v4:2:24975805:24985790:1 gene:Potri.002G262300.v4.1 transcript:Potri.002G262300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262300.v4.1 MANNSVSDSRHGLSLTPPFQISKDAQGSDNPIPLSPQWLLPKPGESKPGVGTGESSPLPAYGNRSDSMKSSGNTEEMHDQKKKDVFRPSLLDMETGRRDRWRDEERDTNSTMRKDRWRDGDKELGDSRRMERWTENSSTKHYEARRAPSERWTDSSNRETNYDQRRESKWNTRWGPDNKDTEGSREKWSDSGRDGDTPFEKGLSHHSGHGKDEREVDHYRPWRSNSSQGRGRGEPPHHQSLTPNKQVPTFSYGRGRGESTPTYPLGRGRLSSGGISTNSASTNSQYSGGISDKGESGQLSYSRTKLVDVYRMTDMKSRQLLNGFVQVPLLTLEEPSEPLALCAPNPEELVVLKGIDKGDIVSSGAPQISKEGSLGRNSIDSTQPMRAKPGGKEDVPHSFDNGKDESLNILTGGHGTYSDGLSHERQTQYHGSSSKLEMMQEPKMYSDDKFKVEAFRETSPYKKDDEVPRSRELTVEGNTSAHSGTPWRAPSLVEQFNTVSHDWRDASSDVRSRAADMARNQPPKDSENPWESNAANPSFSRDEAKWQTNEDPIMKRQPSAALDREQEVKKFSQPSPENLVLYYKDPQGEIQGPFSGSDIIGWFETGYFGIDLQVRPANASQDSPFLLLGDVMPHLRAKARPPPGFAGTKQNEFTDTSSRPNISSFGNMHPSLKEFDVIRNDPRSKPGSATEAENRFLESLMSGNLGPSSQGSQGFTGNSSGGVPSLGVDGGNDLHLMAKKMALERQRSLPGPYPFWQGRDAPSIVSKSEVHPDSLMQHAKLLSSLSDNPHQPPHSQNADLMSILQGLSDRPVSGINNGVSGWSNFPAQESLDPLQDKIDLLHAQNFPPQVLFGQQQRLQRQNPPLTNLLGQGIDNPSGILTPEKLLPSALPQDPQLLNLLQQQYLLQSHSQAPIQTQQLSVLDKLLLLKQQQKQEEHQQLLWQQQLLSQAMPEHHSHQRFGEPSYGKLQTASIATGNAPVDPSRLQLSKELLTTGLQLPVSNVQDEHTTSLLNLPPQVTHDVTYNVNSEASSLHLPHQMFGNVNLQKSWGTSPGKLGDIHPKESLPASPFVDSSPLPGRMNKSSHEASVASEPVPSSDFRVPLSLDHTSEVPWRTEESAKVLVSEATADSVHQDSHEISDPVASAGTGENAISKPEHASVLKVELDSSLDEQQVDRDRLNTEPEVATVVKNIEIRETRKASEKKSRKQKSAKSNSSSDQAKVAIKALSLQQSKQSENEGPNAGLTRFESHDGTGENLSGTSPQKARDNKFGTSAEVVESQQVTSSLSAINSGEGESKLAGSVPVLSAQIQSSQRAWKPAPGFKPKSLLEIQQEEQRKAQVGLAVSETSTSVNHASSSTPWAGVVASSDPKISRDIQREMNNTDINVGKAEISLSSKSKKSQLHDLLAEEVLAKSNEREMGVSESLSGLTTQPVATNSLESIDDGNFIEAKDTKKNRKRSAKAKGAGAKVVVPIPSTEMAVSSSPIEKGKGSRSVQQEKEVLPAIPSGPSLGDFVFWKGEPANHSPSPAWSADSKKLPKPTSLRDIQKEQEKKVSSAQPQNQIPIPQKPQPAQSAHGSGSSWSHSASSPSKAASPIQINSRASSQSKYKGDDELFWGPIDQSKQEPKQSEFPHISSQGSWGTKNTPVKGAPVASLGRQKSVGGRPAEHSLSSSTATTQSSLKGKRDTMNKHSEAMEFRAWCENECVRLVGTKDTSFLEYCLKQSRSEAEMLLIENLASFDPDHEFIDKFLNCKEMLGADVLEIAFQRQNDWKTSGISAKDVTFDNAGVEDYDREDGSGKGGSKKKGKKGKKVNPSVLGFNVVSNRIMMGEIQTLED >Potri.005G064000.2.v4.1 pep chromosome:Pop_tri_v4:5:4121750:4125829:-1 gene:Potri.005G064000.v4.1 transcript:Potri.005G064000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064000.v4.1 MAVCVRQSRNNVINHVKSKMGLLGLTNLSSSLNNDHSTLSTASLAKDLRNQSSVFENKPTLYRTRRFESTKPHQRSGVHSNGAYSQFLDFPGGQVAFTPEMRFLSESPKERIPCYRVLDDDGQLIQGSIDVGKEIAVKMYSDMATLQIMDTIFYEAQRQGRISFYLTTIGEEAINIASAAALTIDDFVVPQYREPGVLLWRGFTLQQFANQCFSNKDDDCRGRQMPIHYGSKKLNYFTVASTIASQLPHAVGVAYSLKMDGKDACAVTYFGDGGSSEGDFHAALNFAAVTEAPVLFICRNNGWAISTPTSDQFRSDGIVVRGEAYGVRSIRVDGNDTLALYSTVRAAREMAIREQRPILVEALTYRVGHHSTSDDSTKYRPVDEIELWRSARDPIARFRKWIESNGWWSGEAESELRSNVRKQLLDAIQVAERTEKPPLVDIFTDVYDVPPSNLSEQEKWLRETIKIHPQDYPSDFTL >Potri.018G118143.1.v4.1 pep chromosome:Pop_tri_v4:18:13371562:13376246:1 gene:Potri.018G118143.v4.1 transcript:Potri.018G118143.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118143.v4.1 MSSSSPASIAKLKVAGTWAGLLEVETENWTVPMLREEIAKRSNMGTESINLIFAGKVLKDCTSEDKSSLSQLGIKNNSKILACRVSVEEGKTLKSELLADDERNRRLARIRAAVTALSKRHADGALPIEDFDIELEDQSGKKVHFSETDRLAIMTGLMLHTSGKRFIRKQMFTDALEVLTMGEEAFSLCNPKSIELVDNIPILQIDMVWCYFMLRDIAWIAVAGLRLKKAREGLERAHGKDSSRFRLLQAGRTSELALYLRLELLEGVVAYHSGQFNKSRKFLASAQEKFFQLQVPDEALSLVMSMGFGEWDAKRALRMSNQDIQSAVNFLVVEREKREQKREDDIRRRNEIMEQKRYGVTPLKKAVDLQRLTEVVSIGFEKELAAEALRKNENDTQKALDDLTNPEANTALQRNIELRKRRRQQRATDATIERLVSMGFERSRVIGAVQAGGSLEQAMHQLLTHSRADTTVAAENSANAHVSAVNNNASAPDSTPTDLALDNLDPDALDIDCSNEGPSAAEIEQRDVEMEGEIADELARGDALSDYDIEVAKEGEAINEYLALLASADGTSSSQSSQ >Potri.017G004032.1.v4.1 pep chromosome:Pop_tri_v4:17:249571:250247:-1 gene:Potri.017G004032.v4.1 transcript:Potri.017G004032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G004032.v4.1 METDGGAGNVVRNKKLMLKDYIKGSPKESDLHLTTESIELKVPQGSNAVLVKVLYLSIDPYQYIRSTKIEKPGYFSSYSPGSVMASYGVGRVLESGHSDFQKGDLVWGTTGWEEYSLITEPETLFKIQHSDVPLSYYLGVLGMPGCCVVPHHVMGDHHIN >Potri.007G120800.2.v4.1 pep chromosome:Pop_tri_v4:7:13874156:13877025:-1 gene:Potri.007G120800.v4.1 transcript:Potri.007G120800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120800.v4.1 MLDAGFLLLVSCILHFYYLSKGQEVTYCDNSMNYTSGSAYQQNLNLTLTSLAANASLTGYYISTVGLGQNPNLVYGLKNCPGFTPKEVCHDCANSVVTKIIQRCPNQKVAFVFNESCLPQYSDLPFFSTADIVIKLVFLSPQNAEDPVLFRSQLGSLLGNISSNAAADTSRLADGRTSYTSSIDIYGMAQCTRNLTGDECLRCL >Potri.016G101801.1.v4.1 pep chromosome:Pop_tri_v4:16:10331614:10331967:1 gene:Potri.016G101801.v4.1 transcript:Potri.016G101801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101801.v4.1 MKCAHVSLDRLSITPFRMVRSKLRITWAISKKTPRSSHSICSTCYLANLVKQMFPENLNCMRICPGMQDLPQLLGISGAHLQIEMPSFSNLAILFGFRQSAVLAVRNLQTHFSSITM >Potri.005G160100.3.v4.1 pep chromosome:Pop_tri_v4:5:15402454:15405023:-1 gene:Potri.005G160100.v4.1 transcript:Potri.005G160100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160100.v4.1 MENQNNFRITPGHHALMMELIVKVNGLNKAGEYFERIPGSGSKKAASLPLLHGYVKERDISKAESFMIKLSSSGLLVTPHPYNEMMKLYMALSQYEKVPLVIAEMKRNKLCRNVLSYNLWMGAFGEVFEVAKAEMVYKEMVSDENVEVGWSTLASLANVYIKAGFVDKALLVLKDAEMKLSTNGRLGYFFLITLYSSLKNKEGVLRLWEASKAVGGRIPCADYMCVISCLVKVGDLVAAEQVFAEWETNCFKYDIRVSNVLLGAYVRNGLMGKAESFHLHTVERGGCPNYKTWEILMEGWVKSQKMDKAIDAMKKGFSVLKVERCDWRPSHSILMAIAEHFEKHGNFEDANHYIKAVHGLGVATLPLYKLFLRMYLNAQRPAWDILKMMEKDRIEQDDETSALVALNS >Potri.005G160100.2.v4.1 pep chromosome:Pop_tri_v4:5:15400496:15405023:-1 gene:Potri.005G160100.v4.1 transcript:Potri.005G160100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160100.v4.1 MAIRSLITNHLRKNSYCHKLQFEARNLFKSLLPISKASTKYKYIHSSSSSRLETEILRLKSPSRVLQDWINNGNKVKLSQLNLISKQLLKSKRYKQALEILQWMENQNNFRITPGHHALMMELIVKVNGLNKAGEYFERIPGSGSKKAASLPLLHGYVKERDISKAESFMIKLSSSGLLVTPHPYNEMMKLYMALSQYEKVPLVIAEMKRNKLCRNVLSYNLWMGAFGEVFEVAKAEMVYKEMVSDENVEVGWSTLASLANVYIKAGFVDKALLVLKDAEMKLSTNGRLGYFFLITLYSSLKNKEGVLRLWEASKAVGGRIPCADYMCVISCLVKVGDLVAAEQVFAEWETNCFKYDIRVSNVLLGAYVRNGLMGKAESFHLHTVERGGCPNYKTWEILMEGWVKSQKMDKAIDAMKKGFSVLKVERCDWRPSHSILMAIAEHFEKHGNFEDANHYIKAVHGLGVATLPLYKLFLRMYLNAQRPAWDILKMMEKDRIEQDDETSALVALNS >Potri.004G081400.2.v4.1 pep chromosome:Pop_tri_v4:4:6715208:6717954:-1 gene:Potri.004G081400.v4.1 transcript:Potri.004G081400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081400.v4.1 MEDAGQDEGFERFLKWAANLGISDCTTNLSLHPQSPTSCLGHSLTVSHFPDAGGRGLAAVRDLKKGELVLRVPKSVLITRDSLLKDEKLCSFVNNNTYSSLSPTQILAVCLLYEMGKGKSSWWYPYLMHLPRSYDVLASFSEFEMQALQVDDAIWTAEKAVSKAKSEWKEANSLMDALKLKPQLLTFRAWIWASATISSRALHIPWDEAGCLCPVGDLFNYAAPGEESNDLENVVHWMNASSLEDSSLSNGETTDDFIGDQPDIGLERLTDGGFDENMAAYCFYARKNYKKGTQVLLGYGTYTNLELLEHYGFLLNENPNDKVFIPLEPSMYSFISWPKVSMYIHQDGKPSFALLSALRLWATPPNQRRSISHLVYSGSRLSVYNEISVLKWISKNCALILSNLPTVIEEDSLLLSTINKIENFDKPTELGKLLCASGGEARAFLEASDLQKGKNGSELMFSGKTKRVIERWKLAVQWRISYKKTLIDCISYCTVTINSLSSQTILAMRTK >Potri.012G046200.2.v4.1 pep chromosome:Pop_tri_v4:12:4222860:4224109:-1 gene:Potri.012G046200.v4.1 transcript:Potri.012G046200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046200.v4.1 MAIPPSSAVAVVSVLSIFVFSFPATVLSETFRQIFLPPPAFGPESIAFESPGGAFYTGVSDGRIFKYQPLTGWTVFAFTSPNRSVAFCDGTTDPNKGPICGRPFGLAYNSFTRLLYIADAYYGLLVADSNGRLAKQIATSAEGQPFVFLNAIDIDLVTGNVYFTDASAVYDLRNSSKAAQVKHSTARSLKYDPRTSQVTVLLRNLSRAVGLAVSPDGSFLLVSEAIGNRIRRYWLTGPRANTAEIFLSNINVVRPQNIKRTPSGSFWIAAASVKQDSQTLVSIRIRVDGSGRITEMASLEGQYGSCHRDNFSSQS >Potri.002G098800.3.v4.1 pep chromosome:Pop_tri_v4:2:7207467:7208736:1 gene:Potri.002G098800.v4.1 transcript:Potri.002G098800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098800.v4.1 MVFFVSSRFVLPVLLLFISINRFSSAARRLSVSDQTQEPFLFQYHNGPLLTGQISVNLIWYGKFRPSQRAIVSDFIDSVSSRKLTTAQPSVATWWKATDKYFNLVKPKKTSPPLLSLGTQILDEKYSLGKSLSGKQIVQLASKGVQKDAINVVLTSSDVAVEGFCSSKCGIHGSSLSAKRINRKISKFAYIWVGNSETQCPGQCAWPLHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYFQGPKEAPLEAASACPGVYGKGAYPGYAGDLLVDSTTGASYNAHGVNGRKYLLPALFDPSTSTCSTLP >Potri.015G097400.2.v4.1 pep chromosome:Pop_tri_v4:15:11830071:11830730:-1 gene:Potri.015G097400.v4.1 transcript:Potri.015G097400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097400.v4.1 MFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKEEAAGLGGIVGATASGVPYYYPPMGQPAAATGGMMIGRPAMDPATGVYVQPPSQAWQSVWQTAATEDGSYGSGGASGGQGNLDGQEYVFIFFMFSVFAFFMFWTLVSHFCALFLCGF >Potri.015G097400.1.v4.1 pep chromosome:Pop_tri_v4:15:11826113:11831025:-1 gene:Potri.015G097400.v4.1 transcript:Potri.015G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097400.v4.1 MDNTTTNHQPQPTAYPTQSITTTPPLPPAGGAPSSTPFHHLLQQQQQQLQMFWSYQRQEIEQVNDFKNHQLPLARIKKIMKADEDVRMISAEAPILFAKACELFILELTIRSWLHAEENKRRTLQKNDIAAAITRTDIFDFLVDIVPRDEIKEEAAGLGGIVGATASGVPYYYPPMGQPAAATGGMMIGRPAMDPATGVYVQPPSQAWQSVWQTAATEDGSYGSGGASGGQGNLDGQD >Potri.006G118800.1.v4.1 pep chromosome:Pop_tri_v4:6:9340303:9345746:-1 gene:Potri.006G118800.v4.1 transcript:Potri.006G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118800.v4.1 MRGLWPPFVVIILVSFMFVSAVYCASLLHLERAFPLNNHGLELHQLRARDRLRHARLLQGFVGGVVDFSVQGSSDPYLVGLYFTKVKLGSPPREFNVQIDTGSDVLWVCCNSCNNCPRTSGLGIQLNFFDSSSSSTAGQVRCSDPICTSAVQTTATQCSSQTDQCSYTFQYGDGSGTSGYYVSDTLYFDAILGQSLIDNSSALIVFGCSAYQSGDLTKTDKAVDGIFGFGQGELSVISQLSTRGITPRVFSHCLKGDGSGGGILVLGEILEPGIVYSPLVPSQPHYNLNLLSIAVNGQLLPIDPAAFATSNSQGTIVDSGTTLAYLVAEAYDPFVSAVNAIVSPSVTPITSKGNQCYLVSTSVSQMFPLASFNFAGGASMVLKPEDYLIPFGSSEGGSAMWCIGFQKVQGVTILGDLVLKDKIFVYDLVRQRIGWANYDCSLSVNVSVTSSKDFINAGQLSVSSSSRDIMLFELLPLTVMVFLMHILLLEFQFL >Potri.006G118800.2.v4.1 pep chromosome:Pop_tri_v4:6:9340304:9345787:-1 gene:Potri.006G118800.v4.1 transcript:Potri.006G118800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118800.v4.1 MRGLWPPFVVIILVSFMFVSAVYCASLLHLERAFPLNNHGLELHQLRARDRLRHARLLQGFVGGVVDFSVQGSSDPYLVGVTGTSETLLYFTKVKLGSPPREFNVQIDTGSDVLWVCCNSCNNCPRTSGLGIQLNFFDSSSSSTAGQVRCSDPICTSAVQTTATQCSSQTDQCSYTFQYGDGSGTSGYYVSDTLYFDAILGQSLIDNSSALIVFGCSAYQSGDLTKTDKAVDGIFGFGQGELSVISQLSTRGITPRVFSHCLKGDGSGGGILVLGEILEPGIVYSPLVPSQPHYNLNLLSIAVNGQLLPIDPAAFATSNSQGTIVDSGTTLAYLVAEAYDPFVSAVNAIVSPSVTPITSKGNQCYLVSTSVSQMFPLASFNFAGGASMVLKPEDYLIPFGSSEGGSAMWCIGFQKVQGVTILGDLVLKDKIFVYDLVRQRIGWANYDCSLSVNVSVTSSKDFINAGQLSVSSSSRDIMLFELLPLTVMVFLMHILLLEFQFL >Potri.013G070001.1.v4.1 pep chromosome:Pop_tri_v4:13:5686368:5694479:1 gene:Potri.013G070001.v4.1 transcript:Potri.013G070001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070001.v4.1 MTLHSIIIQKLLTTNAHIGRRVAAHHLKIYTYGMRNQISIIDSDKTLICLRNAASFISHLARDKNARFMFVNTNLLFDEIVEQMTKKMGIYSPRDNIMWRMGGFLTNSHSPKKFRSRNKKVCFGPIQPPDCVVVLDTERKSSVILEADRLQVPIVALVDSNMPWEIYKKIAYPVPANDSVQFVYLFCNIITKTFLLEKKKLKALKGHIRKEERDLSTKESSKEIQESVQSKNMSNISSLIGEVLVVPYQNLAPASNDIAEIKNLLDKIVVVKFNDTLGTALGFNGPKSLIGIRDGLTSLDLIVNQIQSLNLTYGCHIPLVLMNTISTHDDSLKALEKYSTSNVDILPLSQGQHPQKKSSSGQSSADELYPSDHAAAFLSLMKSSGTLDVLLSQGKEYVHVVSSDNVAAAVDPSTLFRIMSHLSQNNIEYCMEVTPTTSYLSKSKMVNQRQGMFELAEIARTHPKDSTEKFKFIDTRSLWVNLKAIRRLVDTNALKIENLSVSKEMEGDQMVLQETAAGSTIQLFDKAIGINVPQFRVVQLNATSDLLLLQSDLYSTSEGVLVRNTAQANPANPSIELGPEFEKVSDFQRRFKSIPSIVGLDSLKVAGDVWFGAGVILKGRVSIVAKPGVKLEIPDGAVLENKDINDPSDI >Potri.013G070001.2.v4.1 pep chromosome:Pop_tri_v4:13:5686350:5694510:1 gene:Potri.013G070001.v4.1 transcript:Potri.013G070001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070001.v4.1 MTLHSIIIQKLLTTNAHIGRRVAAHHLKIYTYGMRNQISIIDSDKTLICLRNAASFISHLARDKNARFMFVNTNLLFDEIVEQMTKKMGIYSPRDNIMWRMGGFLTNSHSPKKFRSRNKKVCFGPIQPPDCVVVLDTERKSSVILEADRLQVPIVALVDSNMPWEIYKKIAYPVPANDSVQFVYLFCNIITKTFLLEKKKLKALKGHIRKEERDLSTKESSKEIQESVQSKNMSNISSLIGEVLVVPYQNLAPASNDIAEIKNLLDKIVVVKFNDTLGTALGFNGPKSLIGIRDGLTSLDLIVNQIQSLNLTYGCHIPLVLMNTISTHDDSLKALEKYSTSNVDILPLSQGQHPQKKSSSGQSSADELYPSDHAAAFLSLMKSSGTLDVLLSQGKEYVHVVSSDNVAAAVDPRIMSHLSQNNIEYCMEVTPTTSYLSKSKMVNQRQGMFELAEIARTHPKDSTEKFKFIDTRSLWVNLKAIRRLVDTNALKIENLSVSKEMEGDQMVLQETAAGSTIQLFDKAIGINVPQFRVVQLNATSDLLLLQSDLYSTSEGVLVRNTAQANPANPSIELGPEFEKVSDFQRRFKSIPSIVGLDSLKVAGDVWFGAGVILKGRVSIVAKPGVKLEIPDGAVLENKDINDPSDI >Potri.013G070001.3.v4.1 pep chromosome:Pop_tri_v4:13:5686379:5694475:1 gene:Potri.013G070001.v4.1 transcript:Potri.013G070001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070001.v4.1 MTLHSIIIQKLLTTNAHIGRRVAAHHLKIYTYGMRNQISIIDSDKTLICLRNAASFISHLARDKNARFMFVNTNLLFDEIVEQMTKKMGIYSPRDNIMWRMGGFLTNSHSPKKFRSRNKKVCFGPIQPPDCVVVLDTERKSSVILEADRLQVPIVALVDSNMPWEIYKKIAYPVPANDSVQFVYLFCNIITKTFLLEKKKLKALKGHIRKEERDLSTKESSKEIQESVQSKNMSNISSLIGEVLVVPYQNLAPASNDIAEIKNLLDKIVVVKFNDTLGTALGFNGPKSLIGIRDGLTSLDLIVNQIQSLNLTYGCHIPLVLMNTISTHDDSLKALEKYSTSNVDILPLSQGQHPQKKSSSGQSSADELYPSDHAAAFLSLMKSSGTLDVLLSQGKEYVHVVSSDNVAAAVDPSTLFSLFIYLF >Potri.001G426660.1.v4.1 pep chromosome:Pop_tri_v4:1:45476817:45482269:-1 gene:Potri.001G426660.v4.1 transcript:Potri.001G426660.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426660.v4.1 MALESAGGSIIAMLAELMVEPVGRLFRYMFCFNNFVEEFKERKENLALALDGLQKDVEAAERNAKEIKKGVKKWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKLLETKFTKVAHKAPPQHIEFLQSKDFTPSESSKEALEQIMKALKDDNANMIGLYGMGGVGKTTLVKEVGRRAKELQLVDQVLIATVSQTPNVTGIQDRMADSLDLNFDKKSKEGRANELWQRLQGKKMLIILDDVWKHIDLKDIGIPFGDDHRGCKILLTTRLQGICSSMECQQKVLLRVLPEDEAWDLFRINAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSRVQWEVASRQLKESQFVRMEQIDEQNNAYTCLKLSYDYLKYEETKSCFVVCCLFPEDYDIPIEDLTRYAVGYGLHQDTEPIEDARKRVSVAIENLKDCCMLLGTETEERVKMHDLVRDFAIQIASSEEYGFEVKAGIGLEKWPMGNKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLGLDDGMNVPETFFEGMKEIEVLSLKGGCLSMQSLKLSTKLQSLVLISCNCKDLIRLRKLQRLKILGLMSCLSIEELPDEIGELKELRLLDLDGIIKFPQLRKLSLALRSNYSFLGPRNFDAQLPLQRLTIQGHEEVGNWLAQLQVRNLYFKLSIAIIYSSKFLKMAVHGQQNGFLQRLEYVQVRDCGDVRPPFPAKLLPALKNLSVNIYGCKSLEEVFELGESDEGSSEEEELPLLSSLTLSRLPELKCIWKGPTRHVSLQSLARLYLNSLDKLTFIFTPSLAQSLPKLERLDIRNCGELKHIIREESPCFPQLKNINISYCDKLEYVFPVSVSPSLPNLEEMGIFEAHNLKQIFYSVEGEALTRYAIIKFPKLRRLSLSNGSFFGPKNFAAQLPSLQILQIDGHKESGNLFAQLQIFSCEELEQIIAKDNDDEKDQILPGDHLQSLCFPNLCQIDIRKCNKLKSLFPVVMASGLPKLNTLRVSEASQLLGVFGQENHASPVNVEKEMMLPNLWELSLEQLSSIVCFSFECCYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVLLI >Potri.018G093100.2.v4.1 pep chromosome:Pop_tri_v4:18:11349279:11351363:1 gene:Potri.018G093100.v4.1 transcript:Potri.018G093100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093100.v4.1 MSQIQSDPSTHNNLRPAFMTPQKLLPVEKCSLGCPILDCCMGGGIPCNSITEIVAESGSGKTQLCLQLSLRAQLPPFLGGLSASSLYLYTEFPFPTRRLHQLSSALQCQYPQIFVSNYDPCDSIFLQSVNTADQLLDIMPQVESFLENSKTRLPVRVIVIDSMAALFRAEFENTASDLIRRSSLFFKISGKLKEFAKRFNLVVLVTNQVMDVVDSGEGLNEVRIGNLSGMYSSGRRVCPALGLSWANCVNSRLFLSKDEYESGLVGGGESGFLSRETRRRLHVVFAPHLPDLSCEFVIRREGVVGVNR >Potri.018G093100.1.v4.1 pep chromosome:Pop_tri_v4:18:11349279:11351363:1 gene:Potri.018G093100.v4.1 transcript:Potri.018G093100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093100.v4.1 MSQIQSDPSTHNNLRPAFMTPQKLLPVEKCSLGCPILDCCMGGGIPCNSITEIVAESGSGKTQLCLQLSLRAQLPPFLGGLSASSLYLYTEFPFPTRRLHQLSSALQCQYPQIFVSNYDPCDSIFLQSVNTADQLLDIMPQVESFLENSKTRLPVRVIVIDSMAALFRAEFENTASDLIRRSSLFFKISGKLKEFAKRFNLVVLVTNQVMDVVDSGEGLNEVRIGNLSGMYSSGRRVCPALGLSWANCVNSRLFLSKDEYESGLVGGGESGFLSRETRRRLHVVFAPHLPDLSCEFVIRREGVVGVNR >Potri.008G195100.1.v4.1 pep chromosome:Pop_tri_v4:8:13767744:13773271:1 gene:Potri.008G195100.v4.1 transcript:Potri.008G195100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195100.v4.1 MTVSADVRFSLSAAAAGQLHGARGLGVRRYCSRLVKFGNGELMGNKLKLNQLHQGTSTLAVRIPKQQVCMSLTTDVAMESRLRDLEMEKRDPRTVVAVILGGGAGTRLFPLTKRRAKPAVPIGGSYRLIDVPMSNCINSGINKVYILTQYNSASLNRHLARAYNLGNGVSFGDGFVEALAATQTPGEAGKKWFQGTADAVRQFHWLFEGPRSKEIEDVLILSGDHLYRMDYMDFVQNHRQGGADITLSCLPMDDSRASDFGLMKIDNKGRVLSFSEKPKGVDLKAMEVDTTVLGLSKEEALKKPYIASMGVYVFKKEILLNLLRWRFPTANDFGSEIIPASAKEFYMKAYLFNDYWEDIGTIRSFFAANLALTEHPPRFSFYDAAKPMYTSRRNLPPSKIDSSKIVDSIISHGSFLNNCFIEHSVIGIRSRINSNAHLQDTVMLGADFYETEAEVASVVAEGSVPVGIGENTKIKECIIDKNARIGKNVVIANSEGIQEADRSMEGFYIRSGVTVILKNSVIQDGTVI >Potri.019G099000.1.v4.1 pep chromosome:Pop_tri_v4:19:13587778:13590790:1 gene:Potri.019G099000.v4.1 transcript:Potri.019G099000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099000.v4.1 MAFAKAQKSRAYFKRYQVKFKRRRAGKTDYRARIRLINQDKNKYNTPKYRFVVRFTNKDIIAQIASASIAGDIVLASAYAHELPRYGLEAGLTNYAAAYCTGLLLARRVLKMLEMDDEYEGNVEATGEDFSVEPADSRRPFRALLDVGLLRTTTGNRVFGALKGALDGGLDIPHSDKRFAGFAKDNKQLDAEVHRKYIYGGHVAAYMRTLMEDEPEKYQSHFSEYLKRGIDADGMEALYKKVHAAIRADPTAKKSEKQPPKEHKRYNLKKLTYEERKAKLVERLNALNSAADDEDDE >Potri.007G079600.2.v4.1 pep chromosome:Pop_tri_v4:7:10405487:10409625:-1 gene:Potri.007G079600.v4.1 transcript:Potri.007G079600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079600.v4.1 MALFSSTTAPPYSPPLLRLPDYTNKKTLSFSSPPNSLSFRFSTSNLSRRNPSLSLRSTSSLASPPLEGVAPAISVTENALKHLNRIKNERNEDLCLRIGVKQGGCSGMSYTMDFENRANARPDDSIIECNGFVIVCDPKSLLFIFGMQLDYSDALIGGGFSFKNPNATKTCGCGKSFAA >Potri.007G143800.1.v4.1 pep chromosome:Pop_tri_v4:7:15303597:15306523:-1 gene:Potri.007G143800.v4.1 transcript:Potri.007G143800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G143800.v4.1 MASSGEEVSNKQVILKGYVTGFPKESDMYVKTSPTKLKLPEDESSNNAVLVKNLYLSCDPYMRGRMANRPVDDPDFSPFTLDSPIDGHGVSEIVDSRHPGFKKGDLVWGRKMGWEEYSLIKEPEKLFRIHNTDVPLSYYTGILGMPGMTAYFGFYQVCSPKKGERVYISAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVELLKSKFGFDDAFNYKEEHDLVAALKRYFPEGIDIYFENVGGKMLDAVLLNMRFHGRIAACGMISQYNLQQPEGLQNLTTVVFKRIRLEGFIIFDYFDQYPKFLDFVLPYIREGKIVYVEDITEGLEHGPSALVGLFSGRNVGKQVVKVTNE >Potri.017G045800.1.v4.1 pep chromosome:Pop_tri_v4:17:3206651:3207001:1 gene:Potri.017G045800.v4.1 transcript:Potri.017G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045800.v4.1 MASFSCFILALFIALSISGGEAARQLLQLPPLPAVPNLPKPTLPPMPSIPTLPQPTLPTAQPSLPKPTLPPLPSLPTMPSLPKVTLPPLPSMPSMPTIPIPTTIPSIPFLSPPPGN >Potri.017G019000.2.v4.1 pep chromosome:Pop_tri_v4:17:1418036:1418414:1 gene:Potri.017G019000.v4.1 transcript:Potri.017G019000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G019000.v4.1 MEWQKTLISICFTSGLEIALHFHQITDSKLDSLRLLSILVAILFSCLFVSHFINPTKFPRTSKVLGKVAVFLAATVFFITISIPFPPGVKWATWIIYAISLLVIAIYNCCY >Potri.006G254300.1.v4.1 pep chromosome:Pop_tri_v4:6:25211334:25212724:1 gene:Potri.006G254300.v4.1 transcript:Potri.006G254300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254300.v4.1 MLLRSTSTPVLRTLVCQSSTSRPVSMCLQRTASEADIKPLYLTRERMFSKRSFMSPVLKEKEEMSVCIEAVEEEEMVCAGGGSGGICGSGGGGGGSWDSGHQPYESDHESMNLYYQNMIKAYPGDALLLANYAKFLKEVRGDVVKAEEFCEKAILANGRDDGNVLSMYGDLIWNNHKDSNRAQAYFDQAVKSSPDDCYVLASYAHFLWDAGEEDGDEEEETKQNEIQCDSLPTYKQIYNLPQGFPPLAAAS >Potri.001G438900.1.v4.1 pep chromosome:Pop_tri_v4:1:46482573:46487034:-1 gene:Potri.001G438900.v4.1 transcript:Potri.001G438900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438900.v4.1 MDSLAILKPITTIHHFPSKSTPFHPSLPLYFQFSRVCFKTSHFAAVKKKPKEIRCEFDSKVNGSPLSSDLDARFLDKQKALEAAMNDINNSFGKGSVTRLGSAGGALVETFPSGCLTLDFALGGGLPKGRIVEIFGPESSGKTTLALHAIAEVQKFGGHAMLVDAEHAFDPAYSKALGVDVENLIVCQPDNGEMALEIADRMCRSGAVDLICVDSVSALTPRAEIEGEIGMQQIGLQARLMSQALRKMSGNASKAGCTLIFLNQIRYKIGVYYGNPEVTSGGIALKFFASVRLEIRSTGKIKSVKGDEDVGVRVRVRVQKSKVSRPYKQAEFEIIFGEGVSQLGCILDCAEMMDVVVKKGSWYSYGDHRLGQGRDKALQYLRDNPHLQEEIEKKVRSTMTDGTVNMGTPQARNMPVPLQDENVYDDI >Potri.001G420500.2.v4.1 pep chromosome:Pop_tri_v4:1:44903251:44905198:1 gene:Potri.001G420500.v4.1 transcript:Potri.001G420500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420500.v4.1 MNHEAVSELPSHVYEHGQALESLKLFSCRFDASKFTNFSAIKSLSLGWININIGSILVILESCPLLETLHLKKCWNLEYFEVSKPGLRLQNLVLDKCDIRHEWLAIEGPRFQFFKYSGKVGQFLLENQRDMVEAELDFGMQTEFEEVGAFLYDLLQELFAARILTVCSVFLQIIPSGDEPLGLQAPLDVRKLILKTALHSNEYCGIKFMLRSSPRLETLTIDIGPARIFPDYEPPYPFDPEELWSRNFQVEFCVIETLRVVNVKGFKGTRNELYVLRYLLHFGRAMEELNLYASNEGGDNGENREFYMGRAQIVLGFYKASRNVSISVL >Potri.001G226754.1.v4.1 pep chromosome:Pop_tri_v4:1:24546915:24547103:1 gene:Potri.001G226754.v4.1 transcript:Potri.001G226754.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226754.v4.1 MAKFSAIFSCFVPSFCSRVSTDNVSVPEVKAPKSEKSKGKSKSSGAPIVVSYFPVNSYPSRL >Potri.017G077300.1.v4.1 pep chromosome:Pop_tri_v4:17:8598265:8603194:1 gene:Potri.017G077300.v4.1 transcript:Potri.017G077300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077300.v4.1 MKMKMGLSPDSVKVKNSNEKSKSKKNKKNGKDEEDMGCWFKLRLLGSCMPSRSKVDSSLSGISTHYAQSKSTNDKNKDQPVVPAMSSTTTTSNAESASSFPTFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVSFLGNLLHKNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRKGSLPLPWSIRMKIALGAAQGLAFLHEEADRPVIYRDFKTSNILLDADYNSKLSDFGLAKDAPDGGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHFGDKRRFYRILDPRLEGHFSIKGAQKAIQLAAQCLSRDPKSRPRMSEVVEALKPLPNLKDMASSSYYFQTMQADRNKSNMNAKNGIRTNAGFITRNGQPLRSLSDVRASPYNQPRQSPKPRGKNS >Potri.017G077300.2.v4.1 pep chromosome:Pop_tri_v4:17:8598333:8603278:1 gene:Potri.017G077300.v4.1 transcript:Potri.017G077300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077300.v4.1 MKMKMGLSPDSVKVKNSNEKSKSKKNKKNGKDEEDMGCWFKLRLLGSCMPSRSKVDSSLSGISTHYAQSKSTNDKNKDQPVVPAMSSTTTTSNAESASSFPTFSEELKLASQLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVSFLGNLLHKNLVKLVGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAQGLAFLHEEADRPVIYRDFKTSNILLDADYNSKLSDFGLAKDAPDGGKTHVSTRVMGTYGYAAPEYVMTGHLTSKSDVYSFGVVLLEMLTGRRSMDKNRPNGEHNLVEWARPHFGDKRRFYRILDPRLEGHFSIKGAQKAIQLAAQCLSRDPKSRPRMSEVVEALKPLPNLKDMASSSYYFQTMQADRNKSNMNAKNGIRTNAGFITRNGQPLRSLSDVRASPYNQPRQSPKPRGKNS >Potri.013G118200.1.v4.1 pep chromosome:Pop_tri_v4:13:12643276:12644533:1 gene:Potri.013G118200.v4.1 transcript:Potri.013G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118200.v4.1 MSARHEQWMETYGKVYADAAEKERRFEIFKDNVEYIESFNTAGNKPYKLSVNKFADLTNEELKVARNGYRRPLQTRPMKVTSFKYENVTAVPATMDWRKKGAVTPIKDQGQCGSCWAFSTVAATEGINQLTTGKLVSLSEQELVDCDTQGEDQGCEGGLMEDGFEFIIKNHGITTEANYPYQAADGTCNSRKEASRIAKITGYESVPANSEAALLKAVASQPISVSIDAGGSDFQFYSSGVFTGQCGTELDHGVTAVGYGETSDGTKYWLVKNSWGTSWGEEGYIRMQRDTEAEEGLCGIAMDSSYPTA >Potri.016G092300.2.v4.1 pep chromosome:Pop_tri_v4:16:7584198:7587207:1 gene:Potri.016G092300.v4.1 transcript:Potri.016G092300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092300.v4.1 MAFPHLPSSLALKTTCRSALTPVPITVSSRPIQNPAKFTNWVLDFRSKSLNFAFSGALALGLSLPGVGVADAKVGVNKPELLPKEFTTVIDVAGFLSDGQEKRLAEEISDIEKDTGFKLRVLAQNYPDTPGLAIRDFWQVDDRTVVFVADPNFGNILNFNVGASVDLDIPRSFWSRLAGKYGNMFYWKEKGEDASIEAAVMAISSCLREPVGPNNCSEVK >Potri.005G119601.1.v4.1 pep chromosome:Pop_tri_v4:5:8787624:8790759:1 gene:Potri.005G119601.v4.1 transcript:Potri.005G119601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119601.v4.1 MEPGNLHLHHQFQEHFTGYYSSFSSQPAEYSKVSSIGDLNPEAAGIILNISSNTHNNYLTDCIPNSSVSLPPVSMTQGLSFCHASSFNQQSAAGELLLGKTKEEFPDSFCKLSDMMHTSSNVEETDFMSSKYKHQYSHDLVENQWSPNSFSSLQHIFYSNAQNAEHLQNLSACRRYNSSHILPTIKISESDLCSSSVSSSLDLNLQTMDLLSTSTYDGDTSSSQSSENTLDHFMRELKHSPSNSYNKTSTFEDGYGRKKRPSSVSQLNNFLAEAKKNRPAPPSCPTLKVRKEKLGDRIAALQRLVAPYGKTDTASVLTEAIGYIQFLHDQVQTMSVPYMKPSNIKPARTAQACSSEEDGKGQKKRDLTSRGLCLVPLSCVSFFNTCDGDI >Potri.019G034200.1.v4.1 pep chromosome:Pop_tri_v4:19:4665695:4670262:-1 gene:Potri.019G034200.v4.1 transcript:Potri.019G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034200.v4.1 MGFSMQPYGIQSMLKEGHKHLSGLDEAVLKNIDACKQLSTITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGEILQNADELIRMGLHPSEIISGYNKSIDKAIEILGELVEPGSENMDVRNKEQVIFRMKAAVASKQFGQEDVLCNLISEACIQVCPKNPANFNVDNVRVAKLVGGGLNNSSTVRGMVLKGDTVGTLKRVEKAKVAVFVGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVANSGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAILKLSPPNPDDLGYVDSISVEEIGGTRVTVVRNEEGGNSVCTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIVPGGAATEIELAKRLKEFSFKETGLDQYAIAKFAESFEMIPKTLAENAGLNAMEIISSLYADHASGNIKVGIDLEEGVCKDVSTLKIWDLYVTKFFALKYATDAACTVLRVDQIIMAKPAGGPRRDAAPGGMDED >Potri.006G263300.7.v4.1 pep chromosome:Pop_tri_v4:6:25911908:25917582:1 gene:Potri.006G263300.v4.1 transcript:Potri.006G263300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263300.v4.1 MEEDDSLADSDSMKKQEDDNKTDQLKSIDLPSTAEPDFSNAERVVVNTESEAEQTSKKSEKSPTKLAEPSESSRVDSEKKAEELPGNKIHSEDVPGSPHKDQPVEEAISSENVKETGSQPPSPKALEGDSVPVASPSVSENLPDESFSKKGGRAKKKESLNKHSAPSSDDVPNKLSDGTSGSEAKLHKCSGKEAPAGTSSEDKTPMRTDASKKESDTTGEPEAKPLKQSSKKVDTLKESDTTNEPEAKARKQSSKKVDASRKESDISGEPEAKLPKQSSKKAGTLKESDTTNEPEAKARKQSSKKVDASKKESDTSGEPEAKLPKQSSKKMDASKKESNTTDESEAKLLKQSSKKVDGSSNNNNDGSTLKQFEDKKRQSHGKAVSEKHVTKSLMKDDDKEKTHSTKSAAKSAKEEHHLEETPVTSTKRKRAAGDEKAPDIKEFDENVVGSKVKVWWPKDRQFYEGKIVSFDSIKKKHKVLYTDGDEEILILKRQKFELIGDDSESDKEEAADHSSPETSSETPLKKRMKTNSDKSTKQGKGDDSSKRGSGASSSKSKSAAAKSGGKSKEVSKTGGKSVDDSKVKKSDDHGKNKDHTPKSGSKSDVASETASKSKNDDLVTSKASKSKEDETSTPKPSKSKQETPKTGKSRHDPPKVSSSNTKGKASKSGGKSNVNGAGKLKSSSSKVKEIDDEETSTDSDKVQQTAKVKMGSSSKGQGSEAAKSGKKRRRT >Potri.006G263300.8.v4.1 pep chromosome:Pop_tri_v4:6:25908381:25914386:1 gene:Potri.006G263300.v4.1 transcript:Potri.006G263300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263300.v4.1 MASNSSDKELEQQLLEAGNKLLNPPPSVDELLSLLDQVENCLSKVEQSPVKSMQNALSPSQNALVTDQLFRHSNIDVKVAVASCISEITRITAPDAPYDDDRMKEVFQLIVSSFENLDDKSSQSYVKRASILETVAKVRSCVVMLDLECDALIIEMFQHFFKAIRDHHPEDVFSSMETIMSLVLEESEDISVELLSLLLASVKKGDEEVLPVARRLGEEVLESCAAKVKPYLIQTVKSLGVSLDDYSDIVGSICQEISGSVEQNDVHAGDENKVEESKPVGPSSDAAASQVNEEETTEVATPEQAEPANDKCPKSAVSNGVAQMEEDDSLADSDSMKKQEDDNKTDQLKSIDLPSTAEPDFSNAERVVVNTESEAEQTSKKSEKSPTKLAEPSESSRVDSEKKAEELPGNKIHSEDVPGSPHKDQPVEEAISSENVKETGSQPPSPKALEGDSVPVASPSVSENLPDESFSKKGGRAKKKESLNKHSAPSSDDVPNKLSDGTSGSEAKLHKCSGKEAPAGTSSEDKTPMRTDASKKESDTTGEPEAKPLKQSSKKVDTLKESDTTNEPEAKARKQSSKKVDASRKESDISGEPEAKLPKQSSKKAGTLKESDTTNEPEAKARKQSSKKVDASKKESDTSGEPEAKLPKQSSKKMDASKKESNTTDESEAKLLKQSSKKVDGSSNNNNDGSTLKQFEDKKRQSHGKAVSEKHVTKSLMKDDDKVLTFLFIHL >Potri.006G263300.6.v4.1 pep chromosome:Pop_tri_v4:6:25911827:25917537:1 gene:Potri.006G263300.v4.1 transcript:Potri.006G263300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263300.v4.1 MAISILITVNELAAFTILQEVLPVARRLGEEVLESCAAKVKPYLIQTVKSLGVSLDDYSDIVGSICQEISGSVEQNDVHAGDENKVEESKPVGPSSDAAASQVNEEETTEVATPEQAEPANDKCPKSAVSNGVAQMEEDDSLADSDSMKKQEDDNKTDQLKSIDLPSTAEPDFSNAERVVVNTESEAEQTSKKSEKSPTKLAEPSESSRVDSEKKAEELPGNKIHSEDVPGSPHKDQPVEEAISSENVKETGSQPPSPKALEGDSVPVASPSVSENLPDESFSKKGGRAKKKESLNKHSAPSSDDVPNKLSDGTSGSEAKLHKCSGKEAPAGTSSEDKTPMRTDASKKESDTTGEPEAKPLKQSSKKVDTLKESDTTNEPEAKARKQSSKKVDASRKESDISGEPEAKLPKQSSKKAGTLKESDTTNEPEAKARKQSSKKVDASKKESDTSGEPEAKLPKQSSKKMDASKKESNTTDESEAKLLKQSSKKVDGSSNNNNDGSTLKQFEDKKRQSHGKAVSEKHVTKSLMKDDDKEKTHSTKSAAKSAKEEHHLEETPVTSTKRKRAAGDEKAPDIKEFDENVVGSKVKVWWPKDRQFYEGKIVSFDSIKKKHKVLYTDGDEEILILKRQKFELIGDDSESDKEEAADHSSPETSSETPLKKRMKTNSDKSTKQGKGDDSSKRGSGASSSKSKSAAAKSGGKSKEVSKTGGKSVDDSKVKKSDDHGKNKDHTPKSGSKSDVASETASKSKNDDLVTSKASKSKEDETSTPKPSKSKQETPKTGKSRHDPPKVSSSNTKGKASKSGGKSNVNGAGKLKSSSSKVKEIDDEETSTDSDKVQQTAKVKMGSSSKGQGSEAAKSGKKRRRT >Potri.006G263300.1.v4.1 pep chromosome:Pop_tri_v4:6:25908381:25917838:1 gene:Potri.006G263300.v4.1 transcript:Potri.006G263300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263300.v4.1 MASNSSDKELEQQLLEAGNKLLNPPPSVDELLSLLDQVENCLSKVEQSPVKSMQNALSPSQNALVTDQLFRHSNIDVKVAVASCISEITRITAPDAPYDDDRMKEVFQLIVSSFENLDDKSSQSYVKRASILETVAKVRSCVVMLDLECDALIIEMFQHFFKAIRDHHPEDVFSSMETIMSLVLEESEDISVELLSLLLASVKKGDEEVLPVARRLGEEVLESCAAKVKPYLIQTVKSLGVSLDDYSDIVGSICQEISGSVEQNDVHAGDENKVEESKPVGPSSDAAASQVNEEETTEVATPEQAEPANDKCPKSAVSNGVAQMEEDDSLADSDSMKKQEDDNKTDQLKSIDLPSTAEPDFSNAERVVVNTESEAEQTSKKSEKSPTKLAEPSESSRVDSEKKAEELPGNKIHSEDVPGSPHKDQPVEEAISSENVKETGSQPPSPKALEGDSVPVASPSVSENLPDESFSKKGGRAKKKESLNKHSAPSSDDVPNKLSDGTSGSEAKLHKCSGKEAPAGTSSEDKTPMRTDASKKESDTTGEPEAKPLKQSSKKVDTLKESDTTNEPEAKARKQSSKKVDASRKESDISGEPEAKLPKQSSKKAGTLKESDTTNEPEAKARKQSSKKVDASKKESDTSGEPEAKLPKQSSKKMDASKKESNTTDESEAKLLKQSSKKVDGSSNNNNDGSTLKQFEDKKRQSHGKAVSEKHVTKSLMKDDDKEKTHSTKSAAKSAKEEHHLEETPVTSTKRKRAAGDEKAPDIKEFDENVVGSKVKVWWPKDRQFYEGKIVSFDSIKKKHKVLYTDGDEEILILKRQKFELIGDDSESDKEEAADHSSPETSSETRPLKKRMKTNSDKSTKQGKGDDSSKRGSGASSSKSKSAAAKSGGKSKEVSKTGGKSVDDSKVKKSDDHGKNKDHTPKSGSKSDVASETASKSKNDDLVTSKASKSKEDETSTPKPSKSKQETPKTGKSRHDPPKVSSSNTKGKASKSGGKSNVNGAGKLKSSSSKVKEIDDEETSTDSDKVQQTAKVKMGSSSKGQGSEAAKSGKKRRRT >Potri.006G263300.5.v4.1 pep chromosome:Pop_tri_v4:6:25908381:25917567:1 gene:Potri.006G263300.v4.1 transcript:Potri.006G263300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263300.v4.1 MASNSSDKELEQQLLEAGNKLLNPPPSVDELLSLLDQVENCLSKVEQSPVKSMQNALSPSQNALVTDQLFRHSNIDVKVAVASCISEITRITAPDAPYDDDRMKEVFQLIVSSFENLDDKSSQSYVKRASILETVAKVRSCVVMLDLECDALIIEMFQHFFKAIRDHHPEDVFSSMETIMSLVLEESEDISVELLSLLLASVKKGDEEVLPVARRLGEEVLESCAAKVKPYLIQTVKSLGVSLDDYSDIVGSICQEISGSVEQNDVHAGDENKVEESKPVGPSSDAAASQVNEEETTEVATPEQAEPANDKCPKSAVSNGVAQMEEDDSLADSDSMKKQEDDNKTDQLKSIDLPSTAEPDFSNAERVVVNTESEAEQTSKKSEKSPTKLAEPSESSRVDSEKKAEELPGNKIHSEDVPGSPHKDQPVEEAISSENVKETGSQPPSPKALEGDSVPVASPSVSENLPDESFSKKGGRAKKKESLNKHSAPSSDDVPNKLSDGTSGSEAKLHKCSGKEAPAGTSSEDKTPMRTDASKKESDTTGEPEAKPLKQSSKKVDTLKESDTTNEPEAKARKQSSKKVDASRKESDISGEPEAKLPKQSSKKAGTLKESDTTNEPEAKARKQSSKKVDASKKESDTSGEPEAKLPKQSSKKMDASKKESNTTDESEAKLLKQSSKKVDGSSNNNNDGSTLKQFEDKKRQSHGKAVSEKHVTKSLMKDDDKEKTHSTKSAAKSAKEEHHLEETPVTSTKRKRAAGDEKAPDIKEFDENVVGSKVKVWWPKDRQFYEGKIVSFDSIKKKHKVLYTDGDEEILILKRQKFELIGDDSESDKEEAADHSSPETSSETPLKKRMKTNSDKSTKQGKGDDSSKRGSGASSSKSKSAAAKSGGKSKEVSKTGGKSVDDSKVKKSDDHGKNKDHTPKSGSKSDVASETASKSKNDDLVTSKASKSKEDETSTPKPSKSKQETPKTGKSRHDPPKVSSSNTKGKASKSGGKSNVNGAGKLKSSSSKVKEIDDEETSTDSDKVQQTAKVKMGSSSKGQGSEAAKSGKKRRRT >Potri.006G263300.4.v4.1 pep chromosome:Pop_tri_v4:6:25908394:25917578:1 gene:Potri.006G263300.v4.1 transcript:Potri.006G263300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263300.v4.1 MASNSSDKELEQQLLEAGNKLLNPPPSVDELLSLLDQVENCLSKVEQSPVKSMQNALSPSQNALVTDQLFRHSNIDVKVAVASCISEITRITAPDAPYDDDRMKEVFQLIVSSFENLDDKSSQSYVKRASILETVAKVRSCVVMLDLECDALIIEMFQHFFKAIRDHHPEDVFSSMETIMSLVLEESEDISVELLSLLLASVKKGDEEVLPVARRLGEEVLESCAAKVKPYLIQTVKSLGVSLDDYSDIVGSICQEISGSVEQNDVHAGDENKVEESKPVGPSSDAAASQVNEEETTEVATPEQAEPANDKCPKSAVSNGVAQMEEDDSLADSDSMKKQEDDNKTDQLKSIDLPSTAEPDFSNAERVVVNTESEAEQTSKKSEKSPTKLAEPSESSRVDSEKKAEELPGNKIHSEDVPGSPHKDQPVEEAISSENVKETGSQPPSPKALEGDSVPVASPSVSENLPDESFSKKGGRAKKKESLNKHSAPSSDDVPNKLSDGTSGSEAKLHKCSGKEAPAGTSSEDKTPMRTDASKKESDTTGEPEAKPLKQSSKKVDTLKESDTTNEPEAKARKQSSKKVDASRKESDISGEPEAKLPKQSSKKAGTLKESDTTNEPEAKARKQSSKKVDASKKESDTSGEPEAKLPKQSSKKMDASKKESNTTDESEAKLLKQSSKKVDGSSNNNNDGSTLKQFEDKKRQSHGKAVSEKHVTKSLMKDDDKEKTHSTKSAAKSAKEEHHLEETPVTSTKRKRAAGDEKAPDIKEFDENVVGSKVKVWWPKDRQFYEGKIVSFDSIKKKHKVLYTDGDEEILILKRQKFELIGDDSESDKEEAADHSSPETSSETPLKKRMKTNSDKSTKQGKGDDSSKRGSGASSSKSKSAAAKSGGKSKEVSKTGGKSVDDSKVKKSDDHGKNKDHTPKSGSKSDVASETASKSKNDDLVTSKASKSKEDETSTPKPSKSKQETPKTGKSRHDPPKVSSSNTKGKASKSGGKSNVNGAGKLKSSSSKVKEIDDEETSTDSDKVQQTAKVKMGSSSKGQGSEAAKSGKKRRRT >Potri.006G263300.9.v4.1 pep chromosome:Pop_tri_v4:6:25913239:25917583:1 gene:Potri.006G263300.v4.1 transcript:Potri.006G263300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263300.v4.1 MRTDASKKESDTTGEPEAKPLKQSSKKVDTLKESDTTNEPEAKARKQSSKKVDASRKESDISGEPEAKLPKQSSKKAGTLKESDTTNEPEAKARKQSSKKVDASKKESDTSGEPEAKLPKQSSKKMDASKKESNTTDESEAKLLKQSSKKVDGSSNNNNDGSTLKQFEDKKRQSHGKAVSEKHVTKSLMKDDDKEKTHSTKSAAKSAKEEHHLEETPVTSTKRKRAAGDEKAPDIKEFDENVVGSKVKVWWPKDRQFYEGKIVSFDSIKKKHKVLYTDGDEEILILKRQKFELIGDDSESDKEEAADHSSPETSSETPLKKRMKTNSDKSTKQGKGDDSSKRGSGASSSKSKSAAAKSGGKSKEVSKTGGKSVDDSKVKKSDDHGKNKDHTPKSGSKSDVASETASKSKNDDLVTSKASKSKEDETSTPKPSKSKQETPKTGKSRHDPPKVSSSNTKGKASKSGGKSNVNGAGKLKSSSSKVKEIDDEETSTDSDKVQQTAKVKMGSSSKGQGSEAAKSGKKRRRT >Potri.010G196500.1.v4.1 pep chromosome:Pop_tri_v4:10:19022632:19023251:-1 gene:Potri.010G196500.v4.1 transcript:Potri.010G196500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G196500.v4.1 MDAPRSHLVASVLLLLLIFTMSQYPRAVEARPLTLQQGNSKRFFATLGLECKCCDGAKGECRSSWESSCPKLKCHPWKSH >Potri.019G104400.1.v4.1 pep chromosome:Pop_tri_v4:19:13980346:13983617:1 gene:Potri.019G104400.v4.1 transcript:Potri.019G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104400.v4.1 MVGFVFEPSTTEEENNQISKARNFKDPIQPFDQKLTNFADYFLDFDSIEEFFGDPERVSLDFEKRMEVEDKQFVVKDLTVDGSDLVFEEKKGIVDGSDLEGLMKVKGERVELERGGSLECSIEEEMGRVSLVAVSSLVVADGGGKVVGEEAEIDNGGLINVSGSDIGNGSGVNGKVVNDEDERESESSESESESESSESSSSSDDDGEEDSEEEKQEEREVREVVNKELDDLGDMEEGEIRDVNGEEMVGRDDTDVEVFEEEDGDKMVEWSDFDEDEDAVNEGDPIRSKNELKFLPPVPPVVASLEPHHQMQAVGAVLSAIGSQVIVEGVEKHNPLNEGSILWITEKRSPLGLVDEIFGPVKNPYYVVRYNSESEVPGGIHNGTVISFVPEFANHVLNDKNLYKKGYDASGEFDEELTKEAEFSDDDEEAEYKRMLKMSKRGIDCEMVGKKKNNRRKVKNRGGGWKNNKPSGEQIPTGVDQLSPDQNLFNESSVGTAFAPVPQTTGVFTPNGGWVNEAPSHQPETLAIPGGFPANNMPWAARSQLQHPYQIPRAIGMPIQQQFNPCKGPLPNAFFPGGHPNFFAGPTYPPPWPAVGGQNYFNQAAFGTGFQVQPNPQAMNTIEQGMMSRGLPLEQNCSFQSPAIPPGNIKAPQQFNTGASSSHGRKPYRRGGGCFSGGRGRQPSN >Potri.001G439800.1.v4.1 pep chromosome:Pop_tri_v4:1:46523426:46525047:1 gene:Potri.001G439800.v4.1 transcript:Potri.001G439800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G439800.v4.1 MAQTDQQQAPEIYEPACQVNPPPAPPIGYPQGHYVAPPPIGYPTMDDSGYPSKHTPETKMRGSIEIQCCCFVWSKSS >Potri.004G236000.3.v4.1 pep chromosome:Pop_tri_v4:4:24036649:24044609:-1 gene:Potri.004G236000.v4.1 transcript:Potri.004G236000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236000.v4.1 MQEKLPRRQNWVRLGVNSKPQLYFLLIMKFTCLSKGSGFHFPPCHILDVSGFRILLDCPLDLSALTIFYPVSPSSKMEEGEQTYDFAVNNCLDMRKRQKILKSLICAQPWYKTPNNLHLWDPSSIDLVLISSTMGMLALPFLTQTKGFSAKIYATEATTRLGQLMMEDLVLMHNEFHHFFGSDSGSPQWMSWEELELLSPALRQVALGKDGTELGGWMPLYRSADVEDCVKKVQTLKYAEEAWYNGTLLIKAFSSGLEIGSCNWTINSPKRNIACISSSKFYSVNAMEFDYHALRGNDLILYSDFSSEGVLTNDEHDNNFSASTTYNSSTPSADNDDRILKECLLRNDESLEEREKLAFICSCVVDSVKAGGSVIIPLNQLGIVLQLLEQIPVYLESSAMKVPIYVISSVAAELLAFTNIIPEWLCKERQEKLFSGEPLFSHSELMKGEKLYVFPDVHSPELFIFNLHFSEPCIVFSPHWSLRLGPVVHLLRRWREDENSLLVLEDGLDTDMALLPFKPMAMKVLQCSFLSGIRLQKTQPLLEMLRPKEVLFPEDLREQIKFSGSHSFSVFYYAENETLGVPRSKGSVDLEIASNLATQFSWRKLDHDDVDITRLEGQLFIDHGKHQVLSGNKVSEMASRKKPLLHWGVPDVEKLLTVLSKMGVKGSVERCMSDAESGSDEIVHIHEPSKALIEVRATRTVISARDEQLASLIFEAIGTLTGGI >Potri.004G236000.1.v4.1 pep chromosome:Pop_tri_v4:4:24036520:24044644:-1 gene:Potri.004G236000.v4.1 transcript:Potri.004G236000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236000.v4.1 MQEKLPRRQNWVRLGVNSKPQLYFLLIMKFTCLSKGSGFHFPPCHILDVSGFRILLDCPLDLSALTIFYPVSPSSKMEEGEQTYDFAVNNCLDMRKRQKILKSLICAQPWYKTPNNLHLWDPSSIDLVLISSTMGMLALPFLTQTKGFSAKIYATEATTRLGQLMMEDLVLMHNEFHHFFGSDSGSPQWMSWEELELLSPALRQVALGKDGTELGGWMPLYRSADVEDCVKKVQTLKYAEEAWYNGTLLIKAFSSGLEIGSCNWTINSPKRNIACISSSKFYSVNAMEFDYHALRGNDLILYSDFSSEGVLTNDEHDNNFSASTTYNSSTPSADNDDRILKECLLRNDESLEEREKLAFICSCVVDSVKAGGSVIIPLNQLGIVLQLLEQIPVYLESSAMKVPIYVISSVAAELLAFTNIIPEWLCKERQEKLFSGEPLFSHSELMKGEKLYVFPDVHSPELLTNWQEPCIVFSPHWSLRLGPVVHLLRRWREDENSLLVLEDGLDTDMALLPFKPMAMKVLQCSFLSGIRLQKTQPLLEMLRPKEVLFPEDLREQIKFSGSHSFSVFYYAENETLGVPRSKGSVDLEIASNLATQFSWRKLDHDDVDITRLEGQLFIDHGKHQVLSGNKVSEMASRKKPLLHWGVPDVEKLLTVLSKMGVKGSVERCMSDAESGSDEIVHIHEPSKALIEVRATRTVISARDEQLASLIFEAIGTLTGGI >Potri.004G236000.4.v4.1 pep chromosome:Pop_tri_v4:4:24036726:24044668:-1 gene:Potri.004G236000.v4.1 transcript:Potri.004G236000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236000.v4.1 MQEKLPRRQNWVRLGVNSKPQLYFLLIMKFTCLSKGSGFHFPPCHILDVSGFRILLDCPLDLSALTIFYPVSPSSKMEEGEQTYDFAVNNCLDMRKRQKILKSLICAQPWYKTPNNLHLWDPSSIDLVLISSTMGMLALPFLTQTKGFSAKIYATEATTRLGQLMMEDLVLMHNEFHHFFGSDSGSPQWMSWEELELLSPALRQVALGKDGTELGGWMPLYRSADVEDCVKKVQTLKYAEEAWYNGTLLIKAFSSGLEIGSCNWTINSPKRNIACISSSKFYSVNAMEFDYHALRGNDLILYSDFSSEGVLTNDEHDNNFSASTTYNSSTPSADNDDRILKECLLRNDESLEEREKLAFICSCVVDSVKAGGSVIIPLNQLGIVLQLLEQIPVYLESSAMKVPIYVISSVAAELLAFTNIIPEWLCKERQEKLFSGEPLFSHSELMKGEKLYVFPDVHSPELL >Potri.001G088700.1.v4.1 pep chromosome:Pop_tri_v4:1:7060148:7063758:-1 gene:Potri.001G088700.v4.1 transcript:Potri.001G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088700.v4.1 MAGIAGAACSSNVLFGSREVGSDGASLAQYRGLRPVENMQLAPTGRRPSGSISTSASKSRTIRAMVSQTVSAPKREKDPKKRVVITGMGLVSVFGSGIDTFYDKLLEGESGISLIDRFDASSFSVRFAGQIRDFSSKGYIDGKNDRRLDDCWRYCLVAGKRALEDANLGSEVLENMDRTRIGVLVGTGMGGLTAFSNGVESLVQKGYKKMSPFFIPYSITNMGSALLAIDTGLMGPNYSISTACATANYCFYSAANHIRRGEADIMVAGGTEASVIPAGVGGFIACRALSQRNEDPKKASRPWDKDRDGFVMGEGSGVLIMESLEHAMKRGANIIAEYLGGAVTCDAHHMTDPRSDGLGVSTCIAKSLEDSGVSPEEVNYVNAHATSTLAGDLAEVNAIKKVFKNTSEIKMNGTKSMIGHGLGAAGALEAIATIKAINTGWLHPTINQDNIELDVTIDTVPNVKKQHEVNVGISNSFGFGGHNSVVVFAPFTA >Potri.001G272100.2.v4.1 pep chromosome:Pop_tri_v4:1:28658362:28660661:-1 gene:Potri.001G272100.v4.1 transcript:Potri.001G272100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272100.v4.1 MATEEKTLVEILEENNLIDLAKYVNYVSASQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIRQMKSICSSARSSWDIHSIAVVHRLGTVPVGEMSVFIAVSAVHRADALDACKFLIDELKASVPIWKKEVYSNGEVWKENSEFMDRKLELGKTNGSCCRRNVKVETHGTKSCCGAKVKVADGAAAEISTSDAGTEETI >Potri.001G272100.1.v4.1 pep chromosome:Pop_tri_v4:1:28658336:28660671:-1 gene:Potri.001G272100.v4.1 transcript:Potri.001G272100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272100.v4.1 MATEEKTLVEILEENNLIDLAKYVNYVSASQAGAIATFSGTTRDTFEGKTVVELRYEAYVPMAIRQMKSICSSARSSWDIHSIAVVHRLGTVPVGEMSVFIAVSAVHRADALDACKFLIDELKASVPIWKKEVYSNGEVWKENSEFMDRKLELGKTNGSCCRRNVKVETHGTKSCCGAKVKVADGAAAEISTSDAGTEETI >Potri.008G065400.1.v4.1 pep chromosome:Pop_tri_v4:8:3951991:3952827:-1 gene:Potri.008G065400.v4.1 transcript:Potri.008G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065400.v4.1 MEERMSGFCTTKAGRGGSSGNNYASGTKCGRWNPTIEQGKLLTDLFRSGVRTPSTDEIQNISTRLSFYGKIESKNVFYWFQNHKARERQKRRRVSVDEKDVMIRRDDKFSSARYFTEIGQVNEREQVIETLQLFPLKSFDEVESEKFRLQANECNEAAAAFSYKFGTEMDRPQLDLRLSFL >Potri.002G208058.3.v4.1 pep chromosome:Pop_tri_v4:2:17437988:17442802:-1 gene:Potri.002G208058.v4.1 transcript:Potri.002G208058.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208058.v4.1 MWRQNSFPGKPDSDHSISDEEDFDGDLRENCVSLTGNTLKEEGWELQSRLDILRGVNAQSCGNRISNLAREKQTSFKIDDELEMPDFPNEGTFFFSPRKGSAHNSKDEVDCDDEDKYASLEYSIMSSDTKSDKGNNLRGFGREKQAEACTRSLVNKEAETLINLNENALSSHSAYSKGNKSHKGIRGKAKPKFAFHFQSHKDGLYQPFISKDKNPITFKVDDGPERSETIENKNLENLSPVFHEEFFGENVNLSEIEPVEAEALGNGFVDHSMAEILDGLQDKNIQPRGNSKWYSRTKSRRGQIVMKRSMSLLGDRIIDDEDQPELMASGSSSDDETNHQNINLADLEMKKRTIADRFQEALAATSVSDEGVISAAAKPSGIGLFGKLQQVMQTEKERDSEFLKKLQMGASPNSEPCSIFAKILSRCFDAKLIVCHCTFGENIKDSQSPESSKTFVDRGRIRTVIFSPRVCSNVDLDLGNLICIYPPWRFK >Potri.002G208058.5.v4.1 pep chromosome:Pop_tri_v4:2:17437888:17442879:-1 gene:Potri.002G208058.v4.1 transcript:Potri.002G208058.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208058.v4.1 MWRQNSFPGKPDSDHSISDEEDFDGDLRENCVSLTGNTLKEEGWELQSRLDILRGVNAQSCGNRISNLAREKQTSFKIDDELEMPDFPNEGTFFFSPRKGSAHNSKDEVDCDDEDKYASLEYSIMSSDTKSDKGNNLRGFGREKQAEACTRSLVNKEAETLINLNENALSSHSAYSKGNKSHKGIRGKAKPKFAFHFQSHKDGLYQPFISKDKNPITFKVDDGPERSETIENKNLENLSPVFHEEFFGENVNLSEIEPVEAEALGNGFVDHSMAEILDGLQDKNIQPRGNSKWYSRTKSRRGQIVMKRSMSLLGDRIIDDEDQPELMASGSSSDDETNHQNINLADLEMKKRTIADRFQEALAATSVSDEGVISAAAKPSGIGLFGKLQQVMQTEKERDSEFLKKLQMGASPNSEPCSIFAKILSRCFDAKLIVCHCTFGFPVTRELQNIC >Potri.002G208058.1.v4.1 pep chromosome:Pop_tri_v4:2:17438390:17442802:-1 gene:Potri.002G208058.v4.1 transcript:Potri.002G208058.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208058.v4.1 MWRQNSFPGKPDSDHSISDEEDFDGDLRENCVSLTGNTLKEEGWELQSRLDILRGVNAQSCGNRISNLAREACKQTSFKIDDELEMPDFPNEGTFFFSPRKGSAHNSKDEVDCDDEDKYASLEYSIMSSDTKSDKGNNLRGFGREKQAEACTRSLVNKEAETLINLNENALSSHSAYSKGNKSHKGIRGKAKPKFAFHFQSHKDGLYQPFISKDKNPITFKVDDGPERSETIENKNLENLSPVFHEEFFGENVNLSEIEPVEAEALGNGFVDHSMAEILDGLQDKNIQPRGNSKWYSRTKSRRGQIVMKRSMSLLGDRIIDDEDQPELMASGSSSDDETNHQNINLADLEMKKRTIADRFQEALAATSVSDEGVISAAAKPSGIGLFGKLQQVMQTEKERDSEFLKKLQMGASPNSEPCSIFAKILSRCFDAKLIVCHCTFGENIKDSQSPESSKTFVDRGRIRTVIFSPRVCSNVDLDLGNLICIYPPWKEVQVIGSDEFVILTTYFSHVSA >Potri.002G208058.4.v4.1 pep chromosome:Pop_tri_v4:2:17437888:17442879:-1 gene:Potri.002G208058.v4.1 transcript:Potri.002G208058.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208058.v4.1 MWRQNSFPGKPDSDHSISDEEDFDGDLRENCVSLTGNTLKEEGWELQSRLDILRGVNAQSCGNRISNLAREKQTSFKIDDELEMPDFPNEGTFFFSPRKGSAHNSKDEVDCDDEDKYASLEYSIMSSDTKSDKGNNLRGFGREKQAEACTRSLVNKEAETLINLNENALSSHSAYSKGNKSHKGIRGKAKPKFAFHFQSHKDGLYQPFISKDKNPITFKVDDGPERSETIENKNLENLSPVFHEEFFGENVNLSEIEPVEAEALGNGFVDHSMAEILDGLQDKNIQPRGNSKWYSRTKSRRGQIVMKRSMSLLGDRIIDDEDQPELMASGSSSDDETNHQNINLADLEMKKRTIADRFQEALAATSVSDEGVISAAAKPSGIGLFGKLQQVMQTEKERDSEFLKKLQMGASPNSEPCSIFAKILSRCFDAKLIVCHCTFGENIKDSQSPESSKTFVDRGRIRTVIFSPRVCSNVDLDLGNLICIYPP >Potri.002G208058.2.v4.1 pep chromosome:Pop_tri_v4:2:17437888:17442879:-1 gene:Potri.002G208058.v4.1 transcript:Potri.002G208058.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208058.v4.1 MWRQNSFPGKPDSDHSISDEEDFDGDLRENCVSLTGNTLKEEGWELQSRLDILRGVNAQSCGNRISNLAREKQTSFKIDDELEMPDFPNEGTFFFSPRKGSAHNSKDEVDCDDEDKYASLEYSIMSSDTKSDKGNNLRGFGREKQAEACTRSLVNKEAETLINLNENALSSHSAYSKGNKSHKGIRGKAKPKFAFHFQSHKDGLYQPFISKDKNPITFKVDDGPERSETIENKNLENLSPVFHEEFFGENVNLSEIEPVEAEALGNGFVDHSMAEILDGLQDKNIQPRGNSKWYSRTKSRRGQIVMKRSMSLLGDRIIDDEDQPELMASGSSSDDETNHQNINLADLEMKKRTIADRFQEALAATSVSDEGVISAAAKPSGIGLFGKLQQVMQTEKERDSEFLKKLQMGASPNSEPCSIFAKILSRCFDAKLIVCHCTFGENIKDSQSPESSKTFVDRGRIRTVIFSPRVCSNVDLDLGNLICIYPPWKEVQVIGSDEFVILTTYFSHVSA >Potri.002G208058.8.v4.1 pep chromosome:Pop_tri_v4:2:17437888:17442879:-1 gene:Potri.002G208058.v4.1 transcript:Potri.002G208058.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208058.v4.1 MWRQNSFPGKPDSDHSISDEEDFDGDLRENCVSLTGNTLKEEGWELQSRLDILRGVNAQSCGNRISNLAREKQTSFKIDDELEMPDFPNEGTFFFSPRKGSAHNSKDEVDCDDEDKYASLEYSIMSSDTKSDKGNNLRGFGREKQAEACTRSLVNKEAETLINLNENALSSHSAYSKGNKSHKGIRGKAKPKFAFHFQSHKDGLYQPFISKDKNPITFKVDDGPERSETIENKNLENLSPVFHEEFFGENVNLSEIEPVEAEALGNGFVDHSMAEILDGLQDKNIQPRGNSKWYSRTKSRRGQIVMKRSMSLLGDRIIDDEDQPELMASGSSSDDETNHQNINLADLEMKKRTIADRFQEALAATSVSDEGVISAAAKPSGIGLFGKLQQVMQTEKERDSEFLKKLQMGASPNSEPCSIFAKILSRCFDAKLIVCHCTFGRRFK >Potri.002G208058.6.v4.1 pep chromosome:Pop_tri_v4:2:17437888:17442879:-1 gene:Potri.002G208058.v4.1 transcript:Potri.002G208058.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208058.v4.1 MWRQNSFPGKPDSDHSISDEEDFDGDLRENCVSLTGNTLKEEGWELQSRLDILRGVNAQSCGNRISNLAREKQTSFKIDDELEMPDFPNEGTFFFSPRKGSAHNSKDEVDCDDEDKYASLEYSIMSSDTKSDKGNNLRGFGREKQAEACTRSLVNKEAETLINLNENALSSHSAYSKGNKSHKGIRGKAKPKFAFHFQSHKDGLYQPFISKDKNPITFKVDDGPERSETIENKNLENLSPVFHEEFFGENVNLSEIEPVEAEALGNGFVDHSMAEILDGLQDKNIQPRGNSKWYSRTKSRRGQIVMKRSMSLLGDRIIDDEDQPELMASGSSSDDETNHQNINLADLEMKKRTIADRFQEALAATSVSDEGVISAAAKPSGIGLFGKLQQVMQTEKERDSEFLKKLQMGASPNSEPCSIFAKILSRCFDAKLIVCHCTFGFPVTRELQNIC >Potri.002G208058.7.v4.1 pep chromosome:Pop_tri_v4:2:17437888:17442879:-1 gene:Potri.002G208058.v4.1 transcript:Potri.002G208058.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208058.v4.1 MWRQNSFPGKPDSDHSISDEEDFDGDLRENCVSLTGNTLKEEGWELQSRLDILRGVNAQSCGNRISNLAREKQTSFKIDDELEMPDFPNEGTFFFSPRKGSAHNSKDEVDCDDEDKYASLEYSIMSSDTKSDKGNNLRGFGREKQAEACTRSLVNKEAETLINLNENALSSHSAYSKGNKSHKGIRGKAKPKFAFHFQSHKDGLYQPFISKDKNPITFKVDDGPERSETIENKNLENLSPVFHEEFFGENVNLSEIEPVEAEALGNGFVDHSMAEILDGLQDKNIQPRGNSKWYSRTKSRRGQIVMKRSMSLLGDRIIDDEDQPELMASGSSSDDETNHQNINLADLEMKKRTIADRFQEALAATSVSDEGVISAAAKPSGIGLFGKLQQVMQTEKERDSEFLKKLQMGASPNSEPCSIFAKILSRCFDAKLIVCHCTFGFPVTRELQNIC >Potri.001G287000.1.v4.1 pep chromosome:Pop_tri_v4:1:29952081:29958479:1 gene:Potri.001G287000.v4.1 transcript:Potri.001G287000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287000.v4.1 MASSEIEIVSSESKQIPNGVAANVIDVFSASAYGDLDKLRKFVEEDKASLSTPDGNGYYALQWASLNNFPDVAQYIIEHGGDVNQHDNVRQTALHWAAVRGSIAVADVLLQNGGRVEAADVNGYRAVHVAAQYGQTGFLNHIGAKYRADFDAVDNEGRSPLHWAAYKGYADTIRLLLFRDAYQGRQDREGCTPLHWAALRGNIEACTILVHAGTKQELAVKDKAGFTPAQIASDKGHRHIALFLSNAQRAQSNHWKDKIRSGKMGDVGFAPILLSIILILIFLFINSVIAAPNLPKVTAVVGLWGWTALSLAFVSIIMFYRCSSKDPGFIKRLGDLNKDTDSEDPLLNIDLNNSSVWTGNWSQLCPTCKIIRPVRCKHCPTCKRCIEQFDHHCPWISNCVGKRNKRDFFIFICLATSSSFLAGIIAVQRVWTAAQSLQIEESWIRYVVVHHPGVVAFLVLDVIVFIAATTLTTAQASQIARNITTNELANAIRYGYLRGPDGRFRNPYNHGCRKNCADFLVQGYTDDNEIAWPPLQQVAS >Potri.001G075400.1.v4.1 pep chromosome:Pop_tri_v4:1:5687972:5689712:-1 gene:Potri.001G075400.v4.1 transcript:Potri.001G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075400.v4.1 MVSCDIQEGLGNKMGHQCCRKQKVKRGLWSPEEDEKLIKYITIHGHGSWSSVPNLAGLERCGKSCRLRWINYLRPDLKRGSITAKEERIIVDTHRILGNKWAQIAKHLPGRTDNEVKNFWNSCIKKKLIAQGLDPNTHNLLSTNYKHNNNNDAWKLSYHSHQQPTSTFTVNSQISDISSMVMKAPPIPFPMIPLAPDTNPPLSHEFSSILTYEYQNPRTQAFLESTASQSSIGSVPIFSSTSHPSEFGMLDESCMWAGGYDPTQSIIPEKKQPEQQVGIEKITSHELTSAGQNMDASFETSNFDFDFDFVESTLLPCGMYYNQSPIDQLAWDSWALQEKK >Potri.009G139700.1.v4.1 pep chromosome:Pop_tri_v4:9:11192993:11194716:-1 gene:Potri.009G139700.v4.1 transcript:Potri.009G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139700.v4.1 MERTSRDSKMKEEEDVQAGVEIWKYVLGFSGIAVVKCAIELGIAEAIENHEGTPMALSELSSTLGCVPFSLDRIMRFLVHHHFFKEEPTIQGTAGYVHTPLSRRLLRQGEDSMADFILLESSPVMLAPWHHLSSRVRINGTAAFEAAYGGDIWKYAAANPAFNRLINDAMACDARLAVSAIIESCPKLFDGLKTLVDVGGGNGTALGKFVKAFPWIEGINFDLPHVVSVAAECEGVKQVGGDMFDSVPKADAVFIMKVLQDWNNDDCVRILKKCKEAIPKDKGKVIIVETVIGEEKQDSFEFVRFMKDMAMMAFTNSGKERTSEEWDCVLKEAGFSSYNIIPIRAVQSVIEAFP >Potri.009G042800.1.v4.1 pep chromosome:Pop_tri_v4:9:4976256:4983162:-1 gene:Potri.009G042800.v4.1 transcript:Potri.009G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042800.v4.1 MESSSCIYKDPNSPIEARVKDLLSRMTLKEKVAQMTQIERSVATPHYLQDLGIGSVMNAGGSAPFPNAKSSDWADMVDWFQKLALQSRLGIPIIYGIDAVHGNNGVYGTTIFPHNVGLGATRDADLVRRIGVATALEVRACGIQYTFAPCVAVCRDPRWGRCYESYSEDTNIVREMASIVTGLQGQPPEGHPNGYPFLAGRNNVIACAKHFVGDGGTHKGLNEGDTILSYEDLERIHMAPYLDCISQGVGTIMVSYSSWNGRQLHAHHFLLTEVLKDKLGFKGFVISDWEALDRLSKPLGSNYRRCVSTAVNAGTDMVMVGQKHREFMKDLIFLAESGEIPMTRIDDAVERILRVKFVAGLFEYPFADRSLLDIVGCKLHRELAREAVRKSLVLLKNGKDPKKPLLPLDRSAKKILVAGTHADNLGYQCGGWTIAWNGMSGRITIGTTILDAIKEAIGEETEVIYEKIPSPDTLASQDFSFAIVAVGEDPYAEFTGDNSELAIPFNGADIISSVADKIPTLVILISGRPLVIEPWLLEKIDGLIAAWLPGTEGEGITDVIFGDYDFSGRLPVTWFRKVEQLPMNLRDNSEEPLFPLGFGLTCEAGNSFD >Potri.005G208200.1.v4.1 pep chromosome:Pop_tri_v4:5:21254602:21258041:1 gene:Potri.005G208200.v4.1 transcript:Potri.005G208200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208200.v4.1 MAMSVGPVENEASLSSDLFYDILRRLDGPTLASAACACAAFCSISKEEKLWDNVCSSMWPSTNREDVRSLISSIGGFRKFYADCFPLIVNKEVTEYQWNDYLEYPEEWTEAEYYGDVDEFESVAPSDFVSIVDIRYKDKTICSKVLWGIPNANEFNGWFYNCPFRIDLLTYAARDDDNEGKVFLSVSDGLPPILSMEKERKDGKLWMELRDGLRLSWIVVNKKIKQAANLASWSPLGGQRHWPTDKDFVIRFGSVLSAKDILPCQVVECILIMKFRVIHTEGEGVQTTLNLTELSMQLEDMEGAHVNGRNSLLILKEALSCRRSKNHSEVLESCHLYSKAQSELREEKMKIESRLDRLCIVTGIAAFLTFWYCIL >Potri.005G208200.2.v4.1 pep chromosome:Pop_tri_v4:5:21255011:21256587:1 gene:Potri.005G208200.v4.1 transcript:Potri.005G208200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208200.v4.1 MLNYCLYMHAVILSFLFLLCSEFLSMAMSVGPVENEASLSSDLFYDILRRLDGPTLASAACACAAFCSISKEEKLWDNVCSSMWPSTNREDVRSLISSIGGFRKFYADCFPLIVNKEVTEYQWNDYLEYPEEWTEAEYYGDVDEFESVAPSDFVSIVDIRYKDKTICSKVLWGIPNANEFNGWFYNCPFRIDLLTYAARDDDNEGKVFLSVSDGLPPILSMEKERKDGKLWMELRDGLRLSWIVVNKKIKQAANLASWSPLGGQRHWPTDKDFVIRFGSVLSAKDILPCQVVECILIMKFRVIHTEGEGVQTTLNLTELSMQLEDMEGAHVNGRNSLLILKEALSCRRSKNHSEVLESCHLYSKAQSELREEKMKIESRLDRLCIVTGIAAFLTFWYCIL >Potri.008G066000.1.v4.1 pep chromosome:Pop_tri_v4:8:3986284:3988031:-1 gene:Potri.008G066000.v4.1 transcript:Potri.008G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066000.v4.1 MIDLDWKAKMVSSDPPNKPPRLSSKLHVSIPAIQFRGISNTYPIPASDSVCSAYEYYLRLPELRKLWNRKEFSNWKTESILKPALQALEITFRFVSTVLSDKRPYANRREWTRRIESLTTSQIELIASIIEDEAEDSTTRGTAPIADLSSTEGVLVRDGSYAEVWKVPGETTVVNKTSEASLLPRLATWQTSEDVAQKILYSIECEMRRCPYTLGLGEPNLNGKPTLEYDTVCRPNEIHALKKSPYDHIKNQENQSVYTTHQILESWIHVAKQIIQRVTERIGSKEFSKASNDCYLIERIWKLLAEIEDLHLLMDPDDFLRLKNQLQMRSLDENSPYCFRSRELVEITKSCKELKHKVPEVLGVEVDPKGGPRIQEAAMRLYSEKKEFQKVYLLQALQAIEGALKRFFYAYQQVLVVAIGSLEAKGNGVLVSSESCDSLTQLFLEPTYFPSLDAAKTFLGESWSHEQPNRVERRSRRMQ >Potri.018G112100.2.v4.1 pep chromosome:Pop_tri_v4:18:12963186:12966278:1 gene:Potri.018G112100.v4.1 transcript:Potri.018G112100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112100.v4.1 MASHKLVLLLPVIVLFTITTGYVMASPPAVKAAYWPSWTQTFPPSAIDTTLFTHVFYAFLLPSNVTFKLEVSESTASLLKNFTGTLHQKNPPVKTLVSIGGGSSDPKLFARMASNKGSRSIFIDSAMEVARRHGFDGLDLDWEFPKDPKEMVDLGQLFEEWRVAIRKEAKSTHRSPLLLTAAVYFAVDFQWDETYRKFPVASIAKSLDWVNAMCYEYRGSWDTSATGAHAALYDPHGNISTSYGLTSWVRAGVPGNMVVMGLPLYGKTWLLKDPKVNGIGAPATAAGPGDDGVLIFSQVEKFNKENGATVVYDAKTVSTYSYAGTTWIGYDDSRSTTVKLEFAQALGLRGYFLWALSYDSEWEISKQASRAWVIKRFGAF >Potri.018G112100.1.v4.1 pep chromosome:Pop_tri_v4:18:12963189:12964616:1 gene:Potri.018G112100.v4.1 transcript:Potri.018G112100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112100.v4.1 MASHKLVLLLPVIVLFTITTGYVMASPPAVKAAYWPSWTQTFPPSAIDTTLFTHVFYAFLLPSNVTFKLEVSESTASLLKNFTGTLHQKNPPVKTLVSIGGGSSDPKLFARMASNKGSRSIFIDSAMEVARRHGFDGLDLDWEFPKDPKEMVDLGQLFEEWRVAIRKEAKSTHRSPLLLTAAVYFAVDFQWDETYRKFPVASIAKSLDWVNAMCYEYRGSWDTSATGAHAALYDPHGNISTSYGLTSWVRAGVPGNMVVMGLPLYGKTWLLKDPKVNGIGAPATAAGPGDDGVLIFSQVEKFNKENGATVVYDAKTVSTYSYAGTTWIGYDDSRSTTVKLEFAQALGLRGYFLWALSYDSEWEISKQASRAWVIKRFGAF >Potri.002G099900.1.v4.1 pep chromosome:Pop_tri_v4:2:7298269:7299515:-1 gene:Potri.002G099900.v4.1 transcript:Potri.002G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099900.v4.1 MDKSCQSSGDSTITSSNSSTHNTAIDNNRDHYLKHLNKLSHKISKPPITTTTANIKKGPFDQPCQQQSQTQLSQPSSQQQQNQNLQGQQQQQQHQHQPPVYNINKNDFRDVVQKLTGSPAHERFSTPPPIHPPKPQSSRLQRIRPPPLAHVSNRPPPSLNSTIPPPQQPPLTTVPNPNTSASATNSFIQRSTAPLSPLPPFPAVHAAAESPVSAYMRYLQNTISAVDSNKQFSGFSPLAPLVSPRWNNMMAPQQQFAMPPPQQVMNPSQLAGMVAPQPQFQLPTSPLPFGCMNSPRSPYPLLSPSLLLSPSSFPLSPTVPVTSPRWRGL >Potri.008G187600.2.v4.1 pep chromosome:Pop_tri_v4:8:13108285:13112496:1 gene:Potri.008G187600.v4.1 transcript:Potri.008G187600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187600.v4.1 MAGAASALFLLDIKGRVLVWRDYRGDVSAVQAERFFTKFIEKEGDPQSQDPVVYDNGVSYMFIQHSNVYLMAASRQNCNAASLISFLHRVVDVFKHYFEELEEESLRDNFVVVYELLDEMMDFGYPQYTEAKILSEFIKTDAYRMETSQRPPMAVTNAVSWRSEGINYKKNEVFLDVVESVNILVNTNGQVIRSDVVGALKMRTYLSGMPECKLGLNDRILLEAQGRTTKGKAIDLEDIKFHQCVRLARFENDRTISFIPPDGAFDLMTYRLSTQVKPLIWVEAQVEKHSRSRVEIMVKARSQFKERSTATNVEIELPVPVDASNPNIRTSMGSASYAPENDALLWKIKSFSGGKEYMLRAEFSLSSITAEEATPERKAPIRVKFEIPYFTVSGIQVRYLKIIEKSGYQALPWVRYITMAGEYELRLI >Potri.010G102200.4.v4.1 pep chromosome:Pop_tri_v4:10:12463679:12470839:1 gene:Potri.010G102200.v4.1 transcript:Potri.010G102200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102200.v4.1 MAAASMVRQTACNQSKPSLALKTQHASPLNLFSIPSRLSFGANSEKGLVVKCSVAAAELNSRVAASNDRGIKNPIIVIDNYDSFTYNLCQYMGEVGCHFEVYRNDELTVEDLERKKPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKIVRSPYGVVHGKGSPVYYDEKGEDGLFAGLSNPFTAGRYHSLVIEKESFPGEELEVTAWTEDGLIMAARHRKYKHLQGVQFHPESIITSEGKTIVRNFIKMVERKEAESEN >Potri.010G102200.5.v4.1 pep chromosome:Pop_tri_v4:10:12463770:12470835:1 gene:Potri.010G102200.v4.1 transcript:Potri.010G102200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102200.v4.1 MAAASMVRQTACNQSKPSLALKTQHASPLNLFSIPSRLSFGANSEKGLVVKCSVAAAELNSRVAASNDRGIKNPIIVIDNYDSFTYNLCQYMGEVGCHFEVYRNDELTVEDLERKKPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKIVRSPYGVVHGKGSPVYYDEKGEDGLFAGLSNPFTAGRYHSLVIEKESFPGEELEVTAWTEDGLIMAARHRKYKHLQGVQFHPESIITSEGKTIVRNFIKMVERKEAESEN >Potri.010G102200.1.v4.1 pep chromosome:Pop_tri_v4:10:12463583:12470973:1 gene:Potri.010G102200.v4.1 transcript:Potri.010G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102200.v4.1 MAAASMVRQTACNQSKPSLALKTQHASPLNLFSIPSRLSFGANSEKGLVVKCSVAAAELNSRVAASNDRGIKNPIIVIDNYDSFTYNLCQYMGEVGCHFEVYRNDELTVEDLERKKPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKIVRSPYGVVHGKGSPVYYDEKGEDGLFAGLSNPFTAGRYHSLVIEKESFPGEELEVTAWTEDGLIMAARHRKYKHLQGVQFHPESIITSEGKTIVRNFIKMVERKEAESEN >Potri.010G102200.2.v4.1 pep chromosome:Pop_tri_v4:10:12465386:12470930:1 gene:Potri.010G102200.v4.1 transcript:Potri.010G102200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102200.v4.1 MAAASMVRQTACNQSKPSLALKTQHASPLNLFSIPSRLSFGANSEKGLVVKCSVAAAELNSRVAASNDRGIKNPIIVIDNYDSFTYNLCQYMGEVGCHFEVYRNDELTVEDLERKKPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKIVRSPYGVVHGKGSPVYYDEKGEDGLFAGLSNPFTAGRYHSLVIEKESFPGEELEVTAWTEDGLIMAARHRKYKHLQGVQFHPESIITSEGKTIVRNFIKMVERKEAESEN >Potri.010G102200.3.v4.1 pep chromosome:Pop_tri_v4:10:12463685:12470905:1 gene:Potri.010G102200.v4.1 transcript:Potri.010G102200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102200.v4.1 MAAASMVRQTACNQSKPSLALKTQHASPLNLFSIPSRLSFGANSEKGLVVKCSVAAAELNSRVAASNDRGIKNPIIVIDNYDSFTYNLCQYMGEVGCHFEVYRNDELTVEDLERKKPRGVLISPGPGTPQDSGISLQTVLELGPTVPLFGVCMGLQCIGEAFGGKIVRSPYGVVHGKGSPVYYDEKGEDGLFAGLSNPFTAGRYHSLVIEKESFPGEELEVTAWTEDGLIMAARHRKYKHLQGVQFHPESIITSEGKTIVRNFIKMVERKEAESEN >Potri.010G116700.1.v4.1 pep chromosome:Pop_tri_v4:10:13589675:13593468:-1 gene:Potri.010G116700.v4.1 transcript:Potri.010G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116700.v4.1 MPRKMETGAEKSSLESLLIRNQERSHGVDGEVSQQGCFCREDFIGEAKKQLWLAGPLIAVSLLQYCLQVISIMFVGHLGELALSSASMACSFASVTGFSVLLGMGSALETLCGQAYGAKQYHMLGIHTQRAMLTLLIVSIPLAIIWFYTGTLLLSLGQDAEISAGAGTFNRWLIPSLFAYGLLQCLNRLLQTQNNVFPMMLSSGATSLLHIIVCWGLVFKSGLGSKGAALAITISNWINVFMLAIYVKYSPTCAKTWTGFSKEALHDIFSFVKLAVPSAIMICLEYWSFEMVVLLSGLLPNPKLEASVLSISLNTCWMVYMISVGLGGTISTRVSNELGAGRPQGARLAICVMIIIALSEGAAVGITTILVRQVWGNLYSNEEEVITYVANMMPLLALSDFLDGFQCVLSGAARGCGWQNLCAFINLGAYYVVAIPSAVLLAFIFHIGGMGLWMGIICGLVVQVVALVSVNACTDWDREAAKAITRVEETGIDSHGT >Potri.019G014356.2.v4.1 pep chromosome:Pop_tri_v4:19:2237503:2244225:1 gene:Potri.019G014356.v4.1 transcript:Potri.019G014356.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014356.v4.1 MITSFIYRSQMDNLQVRGLQNQTAMPNASNPGLGTSLRSQNRGLNTQQVEMVRSKDPFWNYIEDRTDGSMKCKFCPRAFANKTSISRIKWHLSGEEGHGVAICRGVPKEVQEAAFLAMCGGNKRQKITASSVNVNDCGISTMEGGIGRVQREVQVVEPGVVEERISSHAIAGNDVVSMTGMRAPEHGVSEGALESRPRTDPVDRSLEQSNAVLGNLEGGAGRIQVGVQGVLEQGAGEERINRVIVRTEPVEEDVENSRRSVVQAGAGARSSESLKYNKTRGVPLPTSSKKPVGQVFEENTKVIWSLLMDDKVSIISIYGMGGIGKTTILQHIHNELLQRPDICDYVWWVTVSQDFSIKKLQNRIAKRLHLDLSSEDDELHRAGRLSKKLKKKQKWILILDDLWNYFDLHKVGIPEKLEGCKLIMTTRSETVCEGMACQHKIKVKPLSNREAWALFMEKLERDVALSPEVEGIAKAVAKECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLRESEFREKKVFKLLRFSYDQLGDLALQQCLLYCALFPEDDRIEREGLIGYLIDERIIKGMRSRGAAFDEGHSMLNILENVCLLESAQMDYDDRRYVKMHDLIRDMAIQLLLENSQGMVKAGAQLKELPDAEEWTENLMRVSLMQNEIEEIPSSHSPTCPYLSTLLLCKNNLLGFIADSFFKQLHGLKVLDLSWTGIENLPDSVSDLVSLSALLLNDCEKLRHVSSLKKLRALKRLNLSRTALEKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEELMGECYAPITVKGKEVRSLRYLETLECHFEGFSDFVEYLRSRDGILSLSTYKVLVGEVGRYLEQWIEDYPSKTVGLGNLSINGNRDFQVKFLNGIQGLICQCIDARSLCDVLSLENATELERISIRDCNNMESLVSSSWFCSAPPRNGTFSGLKEFFCYNCGSMKKLFPLVLLPNLVNLERIEVSFCEKMEEIIGTTDEESSTSNSITEVILPKLRSLALYVLPELKSICSAKLICNSLEDIKLMYCEKLKRMPICLPLLENGQPSPPPSLRTVYSWPKEWWETVVECEHPNAKDVLRPFVK >Potri.019G014356.3.v4.1 pep chromosome:Pop_tri_v4:19:2240290:2244224:1 gene:Potri.019G014356.v4.1 transcript:Potri.019G014356.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014356.v4.1 MVRSKDPFWNYIEDRTDGSMKCKFCPRAFANKTSISRIKWHLSGEEGHGVAICRGVPKEVQEAAFLAMCGGNKRQKITASSVNVNDCGISTMEGGIGRVQREVQVVEPGVVEERISSHAIAGNDVVSMTGMRAPEHGVSEGALESRPRTDPVDRSLEQSNAVLGNLEGGAGRIQVGVQGVLEQGAGEERINRVIVRTEPVEEDVENSRRSVVQAGAGARSSESLKYNKTRGVPLPTSSKKPVGQVFEENTKVIWSLLMDDKVSIISIYGMGGIGKTTILQHIHNELLQRPDICDYVWWVTVSQDFSIKKLQNRIAKRLHLDLSSEDDELHRAGRLSKKLKKKQKWILILDDLWNYFDLHKVGIPEKLEGCKLIMTTRSETVCEGMACQHKIKVKPLSNREAWALFMEKLERDVALSPEVEGIAKAVAKECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLRESEFREKKVFKLLRFSYDQLGDLALQQCLLYCALFPEDDRIEREGLIGYLIDERIIKGMRSRGAAFDEGHSMLNILENVCLLESAQMDYDDRRYVKMHDLIRDMAIQLLLENSQGMVKAGAQLKELPDAEEWTENLMRVSLMQNEIEEIPSSHSPTCPYLSTLLLCKNNLLGFIADSFFKQLHGLKVLDLSWTGIENLPDSVSDLVSLSALLLNDCEKLRHVSSLKKLRALKRLNLSRTALEKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEELMGECYAPITVKGKEVRSLRYLETLECHFEGFSDFVEYLRSRDGILSLSTYKVLVGEVGRYLEQWIEDYPSKTVGLGNLSINGNRDFQVKFLNGIQGLICQCIDARSLCDVLSLENATELERISIRDCNNMESLVSSSWFCSAPPRNGTFSGLKEFFCYNCGSMKKLFPLVLLPNLVNLERIEVSFCEKMEEIIGTTDEESSTSNSITEVILPKLRSLALYVLPELKSICSAKLICNSLEDIKLMYCEKLKRMPICLPLLENGQPSPPPSLRTVYSWPKEWWETVVECEHPNAKDVLRPFVK >Potri.019G014356.1.v4.1 pep chromosome:Pop_tri_v4:19:2237503:2244320:1 gene:Potri.019G014356.v4.1 transcript:Potri.019G014356.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014356.v4.1 MEGVPQSAEDDVNLTSNQFDLQSPEDTFMLDPELLLDQQWTDYQLPMSNQVPAMLPTRPADNQGSILCNDQGGQNNVINDSIQQTTDQSPPSFPQTMMEGFLPSSMEDNVNFTSNELDFQSLLPDLELLSPPPEVPVNQSSISCNDQGGQNNVINDSIQQTTQFPTSFPETMTTMGNVINDSIQQTTPFPTSFPETMTTMGGLDGTSSLWINQNEPNWPKTQSRHQNSFIHQPQPGHGYMTANTTTSQHAGFNQPGQSFPAPRSQMDNLQVRGLQNQTAMPNASNPGLGTSLRSQNRGLNTQQVEMVRSKDPFWNYIEDRTDGSMKCKFCPRAFANKTSISRIKWHLSGEEGHGVAICRGVPKEVQEAAFLAMCGGNKRQKITASSVNVNDCGISTMEGGIGRVQREVQVVEPGVVEERISSHAIAGNDVVSMTGMRAPEHGVSEGALESRPRTDPVDRSLEQSNAVLGNLEGGAGRIQVGVQGVLEQGAGEERINRVIVRTEPVEEDVENSRRSVVQAGAGARSSESLKYNKTRGVPLPTSSKKPVGQVFEENTKVIWSLLMDDKVSIISIYGMGGIGKTTILQHIHNELLQRPDICDYVWWVTVSQDFSIKKLQNRIAKRLHLDLSSEDDELHRAGRLSKKLKKKQKWILILDDLWNYFDLHKVGIPEKLEGCKLIMTTRSETVCEGMACQHKIKVKPLSNREAWALFMEKLERDVALSPEVEGIAKAVAKECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLRESEFREKKVFKLLRFSYDQLGDLALQQCLLYCALFPEDDRIEREGLIGYLIDERIIKGMRSRGAAFDEGHSMLNILENVCLLESAQMDYDDRRYVKMHDLIRDMAIQLLLENSQGMVKAGAQLKELPDAEEWTENLMRVSLMQNEIEEIPSSHSPTCPYLSTLLLCKNNLLGFIADSFFKQLHGLKVLDLSWTGIENLPDSVSDLVSLSALLLNDCEKLRHVSSLKKLRALKRLNLSRTALEKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSHLQVFVLEELMGECYAPITVKGKEVRSLRYLETLECHFEGFSDFVEYLRSRDGILSLSTYKVLVGEVGRYLEQWIEDYPSKTVGLGNLSINGNRDFQVKFLNGIQGLICQCIDARSLCDVLSLENATELERISIRDCNNMESLVSSSWFCSAPPRNGTFSGLKEFFCYNCGSMKKLFPLVLLPNLVNLERIEVSFCEKMEEIIGTTDEESSTSNSITEVILPKLRSLALYVLPELKSICSAKLICNSLEDIKLMYCEKLKRMPICLPLLENGQPSPPPSLRTVYSWPKEWWETVVECEHPNAKDVLRPFVK >Potri.003G004000.1.v4.1 pep chromosome:Pop_tri_v4:3:532077:535968:1 gene:Potri.003G004000.v4.1 transcript:Potri.003G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004000.v4.1 MSNEYDYLFKLLLIGDSSVGKSCLLLRFADDSYVDSYISTIGVDFKIRTVEQDGKTSKLQIWDTAGQERFRTITSSYYRGAHGIIIVYDVTEMESFNNVKQWLNEIDRYANDSVCKLLVGNKCDLVENKVVDTQTAKAFADELGIPFLETSAKDSINVEQAFLTMAGEIKKKMSNQPTANNSTGTVQMKGQPIEQKNNCCG >Potri.005G090400.1.v4.1 pep chromosome:Pop_tri_v4:5:6284991:6287476:-1 gene:Potri.005G090400.v4.1 transcript:Potri.005G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090400.v4.1 MEGDSKGDTNMGSPSNSQQTISDDDEIDYSVKPEFYDPELDDKDELWVQKKRKGSNSDAVLSCPACFTTLCLDCQRHERFVTQYRAIFVVNCKVDSNKVQHSRQKPKRNKRSREAGENEADLADGETFKAVCCSVCSTEVGVIDEDDVYHFFNALPSES >Potri.008G213400.1.v4.1 pep chromosome:Pop_tri_v4:8:17294246:17296749:1 gene:Potri.008G213400.v4.1 transcript:Potri.008G213400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213400.v4.1 MASDSWASRFSTSSRRYQTRSDLYDETETEEDLKAEYLCPFCGEDFDVVGLFCHIDEEHPAEAKNGVCPVCAKRVGMNIVTHITGQHGNFFNVQRKRRLRKGGANSAFSILRKELREGSLQSLLGGSSCFVSSSNTEPDPLLSPFIFNPPSFDEPLNAKPLSSVEGSSVKGSTTEFLERKVQHPHLSDEDQEKSRKSEFVQGLLLSTILDDEL >Potri.008G213400.3.v4.1 pep chromosome:Pop_tri_v4:8:17294307:17296731:1 gene:Potri.008G213400.v4.1 transcript:Potri.008G213400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213400.v4.1 MASDSWASRFSTSSRRYQTRSDLYDETETEEDLKAEYLCPFCGEDFDVVGLFCHIDEEHPAEAKNGVCPVCAKRVGMNIVTHITGQHGNFFNVQRKRRLRKGGANSAFSILRKELREGSLQSLLGGSSCFVSSSNTEPDPLLSPFIFNPPSFDEPLNAKPLSSVEGSSVKGSTTEFLESRKVQHPHLSDEDQEKSRKSEFVQGLLLSTILDDEL >Potri.008G213400.2.v4.1 pep chromosome:Pop_tri_v4:8:17294314:17296723:1 gene:Potri.008G213400.v4.1 transcript:Potri.008G213400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213400.v4.1 MASDSWASRFSTSSRRYQTRSDLYDETETEEDLKAEYLCPFCGEDFDVVGLFCHIDEEHPAEAKNGVCPVCAKRVGMNIVTHITGQHGNFFNVQRKRRLRKGGANSAFSILRKELREGSLQSLLGGSSCFVSSSNTEPDPLLSPFIFNPPSFDEPLNAKPLSSVEGSSVKGSTTEFLERFRISVSP >Potri.018G085900.3.v4.1 pep chromosome:Pop_tri_v4:18:10365622:10370749:1 gene:Potri.018G085900.v4.1 transcript:Potri.018G085900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085900.v4.1 MPLSYPIQDFDSPFGHFDSELSDSDLRHTAYEILIGACRTSGTRPLTYIPQSDRTISQYKVSAAAAAAPSPPPSLQRSLTSSAASKVKKSLGMRSGSKRRLGGGESVGNQGRATVGELIRVQMRVTEQTDSRTRRAILRIAAGQLGRRVESMVLPLELLQQLKPADFPNQKEYEAWKRRNLKLLEAGLLLHPHLPLNKADAAPQRLHQIIRGALDKPIDSRKNSESMQVLRSAVMSLACRSFDGSVSETCHWADGFPLNLRLYQLLLDACFDVNDESIVIEELDEVLELIKKTWGILGMNQMLHNLCFLWVLFYHYVATGQVEDDLLFAANNLLMEVEKDAKASKDPEYSKILSSTLSSILGWAEKRLLAYHDSFHSDNTESMQSIVSLAVIAAKILEEDISHENRRKRKEVNVAHDRIDTFIRSSLRSAFAQKMEKVKASKQLSSQRKNLPRLSILAQEISELAFNEKAIFSPILKRWHPLAAGVAVATLHSCYWNELRKFISSISELTPDAIEVLRAADKLEKDIVQIAVEDAVDSDDGGKSIIQEMPPYEAEAVIANLVKSWIKTRADRLNEWVDRNLQQEVWNPRANKEQFAPSAVEVLRSVDETLEAFFLLPIPMHAVLLPDLVTGLDRCLQNYILKAKSGCGTRDTFIPTMPALTRCTTGSKFRVFKKEKSQITQRRKCQVGTVNGDSSHGIPQLCVRMNTLQYIRTQLEVLEKRTVIQLRNSNATNANHFADGTGKKFELSRSAFVECIQLLCEATAYKVVFHELSHVLWDGLYVGEVSSSRIEPFLQELEQYLEIISSTVHDRVRTRVITDVMKASFDGFLMVLLAGGPARAFTLQDSEIIEEDFKFLTDMFWSNGDGLPTDLIDKYSTTVKDVLSLFRIDSVSLVEQFRSLSFESHGSSAKSRLPMPPTSGQWNSTEPNTVLRVLCYRSDETAAKFLKKAYNLPKKL >Potri.018G085900.2.v4.1 pep chromosome:Pop_tri_v4:18:10365733:10370875:1 gene:Potri.018G085900.v4.1 transcript:Potri.018G085900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085900.v4.1 MPLSYPIQDFDSPFGHFDSELSDSDLRHTAYEILIGACRTSGTRPLTYIPQSDRTISQYKVSAAAAAAPSPPPSLQRSLTSSAASKVKKSLGMRSGSKRRLGGGESVGNQGRATVGELIRVQMRVTEQTDSRTRRAILRIAAGQLGRRVESMVLPLELLQQLKPADFPNQKEYEAWKRRNLKLLEAGLLLHPHLPLNKADAAPQRLHQIIRGALDKPIDSRKNSESMQVLRSAVMSLACRSFDGSVSETCHWADGFPLNLRLYQLLLDACFDVNDESIVIEELDEVLELIKKTWGILGMNQMLHNLCFLWVLFYHYVATGQVEDDLLFAANNLLMEVEKDAKASKDPEYSKILSSTLSSILGWAEKRLLAYHDSFHSDNTESMQSIVSLAVIAAKILEEDISHENRRKRKEVNVAHDRIDTFIRSSLRSAFAQKMEKVKASKQLSSQRKNLPRLSILAQEISELAFNEKAIFSPILKRWHPLAAGVAVATLHSCYWNELRKFISSISELTPDAIEVLRAADKLEKDIVQIAVEDAVDSDDGGKSIIQEMPPYEAEAVIANLVKSWIKTRADRLNEWVDRNLQQEVWNPRANKEQFAPSAVEVLRSVDETLEAFFLLPIPMHAVLLPDLVTGLDRCLQNYILKAKSGCGTRDTFIPTMPALTRCTTGSKFRVFKKEKSQITQRRKCQVGTVNGDSSHGIPQLCVRMNTLQYIRTQLEVLEKRTVIQLRNSNATNANHFADGTGKKFELSRSAFVECIQLLCEATAYKVVFHELSHVLWDGLYVGEVSSSRIEPFLQELEQYLEIISSTVHDRVRTRVITDVMKASFDGFLMVLLAGGPARAFTLQDSEIIEEDFKFLTDMFWSNGDGLPTDLIDKYSTTVKDVLSLFRIDSVSLVEQFRSLSFESHGSSAKSRLPMPPTSGQWNSTEPNTVLRVLCYRSDETAAKFLKKAYNLPKKL >Potri.018G085900.4.v4.1 pep chromosome:Pop_tri_v4:18:10365622:10370514:1 gene:Potri.018G085900.v4.1 transcript:Potri.018G085900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G085900.v4.1 MPLSYPIQDFDSPFGHFDSELSDSDLRHTAYEILIGACRTSGTRPLTYIPQSDRTISQYKVSAAAAAAPSPPPSLQRSLTSSAASKVKKSLGMRSGSKRRLGGGESVGNQGRATVGELIRVQMRVTEQTDSRTRRAILRIAAGQLGRRVESMVLPLELLQQLKPADFPNQKEYEAWKRRNLKLLEAGLLLHPHLPLNKADAAPQRLHQIIRGALDKPIDSRKNSESMQVLRSAVMSLACRSFDGSVSETCHWADGFPLNLRLYQLLLDACFDVNDESIVIEELDEVLELIKKTWGILGMNQMLHNLCFLWVLFYHYVATGQVEDDLLFAANNLLMEVEKDAKASKDPEYSKILSSTLSSILGWAEKRLLAYHDSFHSDNTESMQSIVSLAVIAAKILEEDISHENRRKRKEVNVAHDRIDTFIRSSLRSAFAQKMEKVKASKQLSSQRKNLPRLSILAQEISELAFNEKAIFSPILKRWHPLAAGVAVATLHSCYWNELRKFISSISELTPDAIEVLRAADKLEKDIVQIAVEDAVDSDDGGKSIIQEMPPYEAEAVIANLVKSWIKTRADRLNEWVDRNLQQEVWNPRANKEQFAPSAVEVLRSVDETLEAFFLLPIPMHAVLLPDLVTGLDRCLQNYILKAKSGCGTRDTFIPTMPALTRCTTGSKFRVFKKEKSQITQRRKCQVGTVNGDSSHGIPQLCVRMNTLQYIRTQLEVLEKRTVIQLRNSNATNANHFADGTGKKFELSRSAFVECIQLLCEATAYKVVFHELSHVLWDGLYVGEVSSSRIEPFLQELEQYLEIISSTVHDRVRTRVITDVMKASFDGFLMVLLAGGPARAFTLQDSEIIEEDFKFLTDMFWSNGDGLPTDLIDKYSTTVKDVLSLFRIDSVSLVEQFRSLSFESHGSSAKSRLPMPPTSGQWNSTEPNTVLRVLCYRSDETAAKFLKKAYNLPKKL >Potri.005G234500.1.v4.1 pep chromosome:Pop_tri_v4:5:23302549:23305476:1 gene:Potri.005G234500.v4.1 transcript:Potri.005G234500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234500.v4.1 MKIQCDVCSKEEASVFCTADEAALCDTCDHRVHHANKLASKHQRFSLLHPSSKNFPICDICQDKRAFLFCQQDRAILCRDCDGPIHTANEHTQKHNRFLLTGVKLSATSAVYMSSSSSVTSSGDLVPDSKSQKQQQQQLIKKPVSVAPVNSNPPAVPSTLSANTVINKDGDNLVTSEGFGSTTSSTISEYLMETLPGWHVEEFLDSSSTTPFGFSKIDDGLLPYMDTHDLERNMSSFSSESLGLWVPQAPTPPLCTSQQYYYPQLVGQSGFKETKESTNMKANRRLTDDAFTVPQISPPSNIGSKRSRPLW >Potri.005G053000.1.v4.1 pep chromosome:Pop_tri_v4:5:3348613:3352021:-1 gene:Potri.005G053000.v4.1 transcript:Potri.005G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053000.v4.1 MGTADGSYGSYTYEALEREPYWPSEKLRISITGAGGFIASHIARRLKAEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKDVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEASRINGVKRLFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKAITSIDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKNLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMRLKDGLRITYFWIKEQIEKEKSKGIDLSIYGSSKVVGTQAPVQLGSLRAADGKE >Potri.016G113300.1.v4.1 pep chromosome:Pop_tri_v4:16:11697302:11699271:-1 gene:Potri.016G113300.v4.1 transcript:Potri.016G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113300.v4.1 MEGREEDVRVGANKYGERQPIGTAAQAQDVKDYTDPPPAPLFEPGELSSWSFYRAGIAEFVATFLFLYITVLTVMGVAKSPTKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLLLARKLSLTRAVFYMLMQCLGAICGAAVVKAFQKSQYEMLGGGANTVSTGYAKGSGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAALIYNKDQAWDDHWIFWVGPFIGAALASLYHQIVIRAIPFKSK >Potri.002G195300.2.v4.1 pep chromosome:Pop_tri_v4:2:15811063:15814699:-1 gene:Potri.002G195300.v4.1 transcript:Potri.002G195300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195300.v4.1 MDGSPSRKLDSNVSEHTQEIQTSKRRKVVEKTVVRVRIGKNAGKLKNEGPPSDFWSWRKYGQKPIKGSPHPRGYYRCSTSKGCSAKKQVERCRTDASVLIITYTSNHNHPGPDLHESSLNQQSKDPETPPTDHVDHPTTPKQEKPEEETEEGHGHPIVPSADENASEGNSFHYLQSPIRISQDIMISQEDPFAENPEKSHDTLGIVLDEEPISCSRLMTFSAPKSEENNDFFDELEELPHIFVFH >Potri.011G052900.1.v4.1 pep chromosome:Pop_tri_v4:11:4235932:4236718:-1 gene:Potri.011G052900.v4.1 transcript:Potri.011G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052900.v4.1 MTSSSSAFSTICILHSLIAITSGTLMMFQMKEIYTFTHGNETATKLMGSTPHDQLLIRTSDSFSGLLLFDIGFLIFMVSFVKDREFQSFFAKGCAVLHVFMVLWRVNFERRVEVLAWVCLRQTVGDILLALSWVLFLVCSWREKYD >Potri.006G015300.1.v4.1 pep chromosome:Pop_tri_v4:6:971969:975096:-1 gene:Potri.006G015300.v4.1 transcript:Potri.006G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015300.v4.1 MVEGGVVKAADKTEFTECWKVTWKTPYIMRLAFSAGIGGLLFGYDTGVISGALLYIKEDFEAVGRNTFLQELIVSTCVLGAIFGAAIGGFCSDSLGRRKTILVADFLFFVGAIVMAVAPHPWIIIVGRFLVGLGVGMASMTAPLYISEASPARIRGALVSMNGLMITGGQFLAYLINLAFTRAPGTWRWMLGVAGTPALIQFVLMLSLPESPRWLYRANKVDEARTILARIYPPDEVENEINALKESVQKEKEIEDSIGTTTLSKVKGAFKDPVVRRGLYAGITVQVAQQFVGINTVMYYSPTIVQFAGFASKSVALALSLVTSGLNALGSIISMLFVDRYGRRKLMIISMFGIITCLVVLAIVFQQASIHSPGISQVESAHFGANSTCPSYFSNVNKNKWDCMSCLKAQCSFCSNAENQYHPGACLVSKKDLKGSCLAQKRVWFEEGCPSKIGFFAVILLGLYIISYSPGMGTAPWIVNSEIYPLRYRGVGGGIAAVANWTSNLIVSLTFLTLTETLTVAGAFLLFAGISFLALIAIFFLVPETKGLQFEEVEKMLKSGFRPKLFGKNTKGADSV >Potri.012G093300.1.v4.1 pep chromosome:Pop_tri_v4:12:11791430:11794370:1 gene:Potri.012G093300.v4.1 transcript:Potri.012G093300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G093300.v4.1 MMEYLELAAMSSYKDSLKVLEADIQHANVLAASIPRAKSGSCLQMKLVYNHLAPIFLFLLQWMDCSCTCLLSTYFNLFHIVVYKVCSDRKPKISSCGRKATIRQFYAVILPSLQRLHGDTKEPDVTQEEGHFLEMIVKNRLEDRRKLSDVDLLREDECGICLEPCTKMVVPSCCHAMCINCYHEWNTRSESCPFCRGSLKRVNSEDLWVLTCSSDVVDTNTVLKEDILRFYLYINNLPKDIPDDLFLMYYEHLI >Potri.005G241000.1.v4.1 pep chromosome:Pop_tri_v4:5:23771767:23773753:1 gene:Potri.005G241000.v4.1 transcript:Potri.005G241000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241000.v4.1 MHILMLQLRFLQMDHVFSSSALHITLILLTICKGISGAKFTIINRCDYTVWPGILSNAGSTPLDSTGFELPQGESRSFQAPPNWSGRFWGRTGCTFDPNTGQGTCITGDCSSNQIECNGKNANPPATLAEFTVGSGVKDFYDVSLVDGYNLPMIVEPNGGSGSCLSTGCMTDLNQQCPAELRVESGQACKSACEAFGSPEYCCSGAYGAPDTCKPSAYSEMFKTACPRSYSYAYDDATSTFTCTGADYVITFCPSSTSQKSARDTTPPASTANGAETGSGSGDGPIGIDTSWLPNFLTGDSPSAFPCWAWQFTLIFSTLPCLFLFLVYL >Potri.004G032100.1.v4.1 pep chromosome:Pop_tri_v4:4:2462365:2464209:-1 gene:Potri.004G032100.v4.1 transcript:Potri.004G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032100.v4.1 MEFDLENSLTSLEEHQSDTVPNLFASESDHMPSRNFLHCLKTSGFYVSFRQEAISLILQAQYSCNYDAFIPYLAVNYMDRFISKQEIPQGKPWILRLVVISCLSLAAKMKNAHFSVSDFQGEEAGFIFDTQTINRMELLILDALNWRMRSITPFSFVHFFISVLELKDPSSSQPLKDRATEIIFKAQNEIKFLEFKPSIVAASALLVASNELLPLQFPLFKCSISSCAFVNKEKLLSCFNTVQEMVEMEWYDSMLDTMSCTRTPLSVLDTQCTKSESETTSTTSINNGSTVPEIKRRRLNGHSSK >Potri.003G100100.3.v4.1 pep chromosome:Pop_tri_v4:3:12532435:12535723:1 gene:Potri.003G100100.v4.1 transcript:Potri.003G100100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100100.v4.1 MEKTTFGGGGGSYPYENGVVMMTRDPRPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQARRQNNTEQSKESRGASYVNFSKGSSGTSTSSPRIDEEQGEISVAEALNCQIEVQKTLQEKLEVQKKLQMRIEAQGKYLQAILEKAQKSLSQNLNDDSNGKLKATRAHLTGFNSAVYSLMENLNAEDRKPSITDLKGINMKENGPAMHIQREGQTQETKDVKHHLQGDSIHFDLNTKGNYDFVSANGSELELKMLSYRR >Potri.003G100100.5.v4.1 pep chromosome:Pop_tri_v4:3:12532716:12535858:1 gene:Potri.003G100100.v4.1 transcript:Potri.003G100100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100100.v4.1 MEKTTFGGGGGSYPYENGVVMMTRDPRPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQARRQNNTEQSKESRGASYVNFSKGSSGTSTSSPRIDEEQGEISVAEALNCQIEVQKTLQEKLEVQKKLQMRIEAQGKYLQAILEKAQKSLSQNLNDDSNGKLKATRAHLTGFNSAVYSLMENLNAEDRKPSITDLKGINMKENGPAMHIQREGQTQETKDVKHHLQGDSIHFDLNTKGNYDFVSANGSELELKMLSYRR >Potri.003G100100.4.v4.1 pep chromosome:Pop_tri_v4:3:12532374:12535856:1 gene:Potri.003G100100.v4.1 transcript:Potri.003G100100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100100.v4.1 MEKTTFGGGGGSYPYENGVVMMTRDPRPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGQQARRQNNTEQSKESRGASYVNFSKGSSGTSTSSPRIDEEQGEISVAEALNCQIEVQKTLQEKLEVQKKLQMRIEAQGKYLQAILEKAQKSLSQNLNDDSNGKLKATRAHLTGFNSAVYSLMENLNAEDRKPSITDLKGINMKENGPAMHIQREGQTQETKDVKHHLQGDSIHFDLNTKGNYDFVSANGSELELKMLSYRR >Potri.001G028400.1.v4.1 pep chromosome:Pop_tri_v4:1:2157555:2159779:1 gene:Potri.001G028400.v4.1 transcript:Potri.001G028400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028400.v4.1 MDKETNQECPSLRPNNSTTIKEESPRKIPRGPGAAGVIVTGTGGGGGGGGDRLKRDEWSEGAVSTLLEAYESKWILRNRAKLKGHDWEDVARHVSSRANCTKSPKTQTQCKNKIESMKKRYRSESATADASSWPLYPRLDLLLRGNSATAAVISSPQHQQPAIPASSNPPLILLVDPTLGVPQPPPPSMTPPPPPPPSPPPQVIVIAQNSHGSNGVDRGQKEDGVDTKLSNHVSDKNAMEVTDSSTPALYSDKKKTRSKKLKMRKERRKWGKREEWEIADSIRWLAEVVVRSEQARMDTMREVEKMRIEAEAKRGEMDLKRTEIIAKTQLEIAKLFAGGGKGVDSSLRIGRN >Potri.017G127800.1.v4.1 pep chromosome:Pop_tri_v4:17:13133699:13140832:1 gene:Potri.017G127800.v4.1 transcript:Potri.017G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G127800.v4.1 MADAVLSALASTIMGNLNSSFLQELGLAGSLETELENLNRTIRTIRAVLQDAEEKQWKSEAIKLWLRHLKDAAYDADDLLSDLANEAQPHQQRRDLKNRLRSFFSCDHNPLVFRRRMVHKLKSVRKKLDDIAMLRNNYHLREEAVEINADILNQRETGSLVKESGIYGRRKEKEDLINMLLTSSDDFSVYAICGMGGLGKTTLAQLVYNDGRIKKHFDVRIWVCVSVDFSIQKLTSAIIESIEGTRPNIQKLDTLLRRLQEKLGGKKFLLILDDVWEDDHGNWSKLKDALSCGDKGSAVIVTTRLGTAADKMATTPVQHLATLSDEDSWLLFEQLAFGMRSAEERGRLKGIGVAIVNKCGGVPLALRALGSLMRSMKTANEWSRVKESEIWDLPNEGSWILPALSLSYMNLKPSVKQCFAFCSIFPKDYVMLKERLVALWMANGFISGNGKIDLHDRGEEIFHELVGRCFFQEVKDYGLGNITCKMHDLIHDLAQYIMNGECYLIEDDTKLSIPKTVRHVGASERSLLFAAEYKDFKHTSLRSIFLGETVRHESDNLDLCFTQQKHLRALVINIYHQKTLPESICNLKHLRFLDVSYTSIRKLPESITSLQNLHTLNLRCCAKLIQLPKGMKLMKSLVYVDITYCNSLQFMPCGMGELTCLRKLGIFIVGKEDGRGIEELGRLDNLAGELRITYLDNVKNSKDARSANLNLKTALLSLTLSWNLKGNSNSPPGQSIPNNVHSEVLDRLQPHSNLKTLRIDEYGGSRFPNWMMNLMLPNLVELKLRDCYNCEQLPPFGKLQFLKDLLLYRMDGVKCIDSHVYGDGQNPFPSLETLTIYSMKRLEQWDACSFPRLRELKIYFCPLLDEIPIIPSVKTLIILGGNTSLTSFRNFTSITSLSALKSLRIQSCYELESLPEEGLRNLTSLEVLEIWSCRRLNSLPMNGLCGLSSLRHLSIHYCNQFASLSEGVQHLTALEDLNLSHCPELNSLPESIQHLSFLRSLSIQYCTGLTSLPDQIGYLTSLSSLNIRGCSNLVSFPDGVQTLNNLSKLIINNCPNLEKRCEKKRGEDWPKIAHIHNIIINHEEIQ >Potri.001G124500.1.v4.1 pep chromosome:Pop_tri_v4:1:10253782:10258056:1 gene:Potri.001G124500.v4.1 transcript:Potri.001G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124500.v4.1 MAEGTASRYVKLTKEQADVEEIKPGELNQPIEVPQLTVRKCNECGQPLPENFEPPGDEPWTTGIFGCADDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAVCVEGGLALAAATAVFHGFHPGTSFLICEGLLFAWWMCGVYTGLVRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVIPMTVVNPPLVQEMSATNENQDSTLSSDKGTSLEMQPL >Potri.001G124500.5.v4.1 pep chromosome:Pop_tri_v4:1:10253657:10258056:1 gene:Potri.001G124500.v4.1 transcript:Potri.001G124500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124500.v4.1 MAEGTASRYVKLTKEQADVEEIKPGELNQPIEVPQLTVRKCNECGQPLPENFEPPGDEPWTTGIFGCADDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAVCVEGGLALAAATAVFHGFHPGTSFLICEGLLFAWWMCGVYTGLVRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVIPMTVVNPPLVQEMSATNENQDSTLSSDKGTSLEMQPL >Potri.001G124500.4.v4.1 pep chromosome:Pop_tri_v4:1:10253738:10258031:1 gene:Potri.001G124500.v4.1 transcript:Potri.001G124500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124500.v4.1 MAEGTASRYVKLTKEQADVEEIKPGELNQPIEVPQLTVRKCNECGQPLPENFEPPGDEPWTTGIFGCADDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAVCVEGGLALAAATAVFHGFHPGTSFLICEGLLFAWWMCGVYTGLVRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVIPMTVVNPPLVQEMSATNENQDSTLSSDKGTSLEMQPL >Potri.001G124500.2.v4.1 pep chromosome:Pop_tri_v4:1:10253732:10258000:1 gene:Potri.001G124500.v4.1 transcript:Potri.001G124500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124500.v4.1 MAEGTASRYVKLTKEQADVEEIKPGELNQPIEVPQLTVRKCNECGQPLPENFEPPGDEPWTTGIFGCADDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCICHAVCVEGGLALAAATAVFHGFHPGTSFLICEGLLFAWWMCGVYTGLVRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVIPMTVVNPPLVQEMSATNENQDSTLSSDKGTSLEMQPL >Potri.001G294900.1.v4.1 pep chromosome:Pop_tri_v4:1:30617872:30621806:1 gene:Potri.001G294900.v4.1 transcript:Potri.001G294900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294900.v4.1 MGVGSIFDGPDVRAEFEKAGINTHFIPFIWKYVIKNPNCEWDDIPDLPSAAYSLLRSKFKTSTSSVDSVINSNDGVTTKLLVKLQNGAFVEAVIMRYDTRLGKYCGKPRPGGPRSTLCISSQVGCKMGCKFCATGSMGFKNNLSSGEIVEQLVHASCLSQIRNVVFMGMGEPLNNYSALVEAVRAMSGVPFQLSPKRITVSTVGIIHAINKLHKDLPGLNLAVSLHAPVQDVRCQIMPAARAFPLEKLMDALQVYQKNSMQKIFIEYIMLDGVNDEEQHAHQLGKLLETFDVVVNLIPFNPIGSLSQFRTSSEEKVLRFQKILRGVNNIRTTVRKQMGQDISGACGQLVVNLPDEKKPPNLGVTDIEDLVSRR >Potri.004G235400.1.v4.1 pep chromosome:Pop_tri_v4:4:23977127:23979918:-1 gene:Potri.004G235400.v4.1 transcript:Potri.004G235400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235400.v4.1 MEFSPSVFFLSFASILLLVGGLLFKSLLKFFASDRPQSLPLPPGTMGWPYMGETFQLYSQDPNVFFASKRKRYGSIFKTHILGCPCVMISSPEAAKFVLVTKSHLFKPTFPASKERMLGKEAIFFHQGAYHMKLRKLVLRAFLPEAIKNIVPDIQNIAKDSLQYWEGRLINTFQEMKSYTFNVALLSIFGKDEVLYREDLKRCYYILEKGYNSMPINLPGTLFNKSMKARKELARILAKILSTRRQMKLDHNDLLGSFMGDKEGLTDDQIADNIIGVIFAARDTTASVLTWILKYLGENPSVLQAVTEEQEAIMRSEEKGDEEKLLTWADTKKMPITSRVIQETLRVASILSFTFREAVEDVEYEGYLIPKGWKVLPLFRNIHHSPELFPDPEKFDPSRFEVAPKPNTFMPFGNGTHSCPGNELAKVEILVLLHHLTTKYRWSIVGANNGIQYGPFALPQNGLPIILSHKS >Potri.015G147800.1.v4.1 pep chromosome:Pop_tri_v4:15:15167796:15170279:-1 gene:Potri.015G147800.v4.1 transcript:Potri.015G147800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147800.v4.1 METFSLCPKENPARTSGNPVEMIFPPYSTDYISTCAIESSEGFLRPVEGESHHQRASSDSFLVEQLSWLDDLLDEPDLPLYKSHRRSSSDSVAFLDTASKTFRKEETMLKTSAAAGGPTWEFHTINYHENSWKTSFHSGSTPDKEKNKSRESPLISVTSSSSGVVPSTDSITLQDFAPREPAGVGLPSKPIVKQNQDDSEVSSNVNHNPSKSKTDSKRAKQQFAQRSRLRKLQYIAQLERSVQILEAEGSQVSANLEYLYRQSLILGMENQALRQRLDSLSQEQLAKYLEQDMLEKEIARLTFLYHQKQQQEQWPRQQKQKQNEQQNYSTHNLSISRGVESQMTNLYI >Potri.002G148400.1.v4.1 pep chromosome:Pop_tri_v4:2:11155825:11158002:1 gene:Potri.002G148400.v4.1 transcript:Potri.002G148400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148400.v4.1 MASVAPPKAYPGCLCKARSIEHHNGFTRPRILFCQQQDNDNKPQHQVARREIVLRSSELAVAGAILNLGGKKPDYLGVQKNQPSLSLCPATKNCISTSENITDLTHYAPPWNYNGGRKKPVSKEQAMEELLDVVRSTKPEKFTPKIVERDDDYVHVEYQSPILGLVDDVEFWFRPGNNTIVEYRSASRLGNFDFDYNRKRIKILRLELEKKGWASAESF >Potri.002G044500.1.v4.1 pep chromosome:Pop_tri_v4:2:2896965:2900633:1 gene:Potri.002G044500.v4.1 transcript:Potri.002G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G044500.v4.1 MFYLSLIEHKMLLPPRLLNLPLQDAIKEELQNIFLDKVISKLGLCISIYDIRKIDGGFISPGEGASTYTVEFRMIVFRPFVGEIISAKLKESTADGLHLSLGFFDDINIPAGLIQKPSRHVPDPENRYKVLWVWEFNGEEFFVDGIDEIRFKVISVTYPPTPIEQQGEPFAPMVIIGSIDGDGLGPVSWWQ >Potri.009G062100.2.v4.1 pep chromosome:Pop_tri_v4:9:6380757:6385157:-1 gene:Potri.009G062100.v4.1 transcript:Potri.009G062100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062100.v4.1 MNGNRQMEVHYINTGFPYTTTESFMDFFEGLTHAPVNYAHTGPMHDQDSAYWPMNMNAYKFGFSGPGSTSYYSPYEVNDNLPIMDISRTAWEYPSVVNAEEPTTTDTQFEGDEDMGVHAMHEERSISNQPSANSPQAVWQDDVDPDNMTYEELLDLGDTVGTQSKGLSPELIRLLPTSKCKFGSFFSRKKSGERCVICQMKYKRGDKQTKLMCKHVYHSECIAKWLGINKVCPVCNNEVLGEHSRN >Potri.001G056300.1.v4.1 pep chromosome:Pop_tri_v4:1:4242441:4243641:1 gene:Potri.001G056300.v4.1 transcript:Potri.001G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056300.v4.1 MKRPQFTPERSCLFVVALSGLIIGALLFSNLIRSVGNISSFGLCSFASAKARAAAEYAATPTQLQSILHYATSKIVPQQSLAEISVTFDVLKTRSPCNFLVFGLGFDSLMWTSLNPHGTTLFLEEDPKWVQTIVKNTPTLNAHTVQYLTQLKEADSLLKTYRSEPLCSPSKAYLRGNYKCRLALTGLPDEVYDKEWDLIMIDAPRGYFPEAPGRMAAIFSAAVMARERKGSGVTHVFLHDVNRRVEKMFAEEFLCRKYLVKAVGRLWHFEIPPAANVSQSDGWFC >Potri.015G079900.1.v4.1 pep chromosome:Pop_tri_v4:15:10612068:10615918:1 gene:Potri.015G079900.v4.1 transcript:Potri.015G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079900.v4.1 MGFSHYKWILKICGVCLVILLLLLGVYFASNKAGKAVVKSGFYHYTVVVDCGSTGTRVNVYKWWKPASLSNWDLPILVHSYPDNSTQSLSRGSSCKYHCVQTEPGLDKFVGNSTGVRLSLEPLILWAEQWVPRERHGDTPIFVLATAGLRRLLIEDARQVLDDVEDVVNEHSFVSKKSWIRVLSGKEEAYYGWVALNYKMGSLGNSSIGPTLGLLDLGGSSLQVVMEVHDGGRNDANLIRSKIGLVEHYILAFSLSSFGLNEAFDRTVAMLGQVQPGGGGNNERYEVRHPCLGFGFQRNYTCYVCDGINVPYQKNLSIQTHKSEFTNTQLVGDPDWEICKGIARAAALNLSSLDWSQPTDLNNCKTGLSSYGSDTLNFIAGTHPSRRFHALSGFFAVYNMLDLAPIANLTKIWEKGQQMCSKSWPDSSNTSGNQNNLGKYCFRVPYMASLIEDALCLGDKEIVFGPGDLSWTLGASLVEAEKPWPSSTETTILSLKSKEVLYSSVLLFLLLLFISFIVYYKQIKLPMTGKKIPAVRLSLPSYVHPKLRPN >Potri.014G078300.1.v4.1 pep chromosome:Pop_tri_v4:14:5064947:5068201:1 gene:Potri.014G078300.v4.1 transcript:Potri.014G078300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078300.v4.1 MEAYKKWVRRNKDYVHSLESLANGLTWLLPERFSASEIGPEAVTAILGIVTAINEHIIDTTPTQMFADPVKPNSFPYSLCISAIKDLETLVEVAAQHYYGDDKKWNFIAVTEATKVLVRLALFRNSGYKMLLHGGETPNIEKHLGFSSSQHNGGGFQKHGAHHGSNGQNPWNLEGRALSALSRFGENARMGSDPVWLRGVQHKQAIMEPPPQMIERPSLSMILSEKGVQGALFLMGEVLFITRPLIYVLLIRKYGIRSWIPWFLSLAVDTIGAGFLTQVTKSRDYHLTASEQDELKRRKLLWALYLMRDPFFSKYTRQRLQSTEKLLEPVPIIGLLTAKIVELVVGAQTRYTYMSGS >Potri.014G078300.4.v4.1 pep chromosome:Pop_tri_v4:14:5065095:5068180:1 gene:Potri.014G078300.v4.1 transcript:Potri.014G078300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078300.v4.1 MFADPVKPNSFPYSLCISAIKDLETLVEVAAQHYYGDDKKWNFIAVTEATKVLVRLALFRNSGYKMLLHGGETPNIEKHLGFSSSQHNGGGFQKHGAHHGSNGQNPWNLEGRALSALSRFGENARMGSDPVWLRGVQHKQAIMEPPPQMIERPSLSMILSEKGVQGALFLMGEVLFITRPLIYVLLIRKYGIRSWIPWFLSLAVDTIGAGFLTQVTKSRDYHLTASEQDELKRRKLLWALYLMRDPFFSKYTRQRLQSTEKLLEPVPIIGLLTAKIVELVVGAQTRYTYMSGS >Potri.006G004300.1.v4.1 pep chromosome:Pop_tri_v4:6:329367:334416:1 gene:Potri.006G004300.v4.1 transcript:Potri.006G004300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004300.v4.1 MGKNEYLPLFETRASRGRLLFKLYVLTIFVAICMILVYRVSYLPVEGAVEIWSWIGMFFAELWFSFYWFITQLVRWNPIYRYTFKDRLSQRYEKDLPGVDIFVCTADPEIEPPTMVINTVLSMMAYDYPPEKLSVYLSDDGGSDLTFYAMLEASRFSKHWLPFCKNFKIQPRSPEAYFRTALEPLDDPNKAEEWLFVKKLYIDMKYQIEATTKLGKVPEEIRKEHKGFHEWNFISSRRDHQTILQILIDGTDPQAMDNEGQPLPTLVYLAREKRPQYPHNFKAGAMNALIRVSSRISNSPIILNVDCDMYSNNSYSVRDALCFFMDEEKGREIGYIQYPQAFENITKNDIYCNSLNIEMEVDFPGLDGNGGPLYIGTGCFHRREALCGRRYSNENKVDWKEVNYRKVKESAGVLEEVCRNLASCTYEANTEWGKEMGLKYGCPVEDVITGLSVQCKGWRSMYFIPERKGFLGLAPTTLLQTLVQHKRWSEGDFQILITRHSPFLFGHNRIPLKLQLSYCIYLLWATSWFAVLYYLVVPPLCLLRGISLFPKLSSPWIQSFAYAIFANRAYGLVEFVWSGGTIQGWWNGQRIWVFKRTTSHLFGFFDAIRKLLGFSTSTFVITAKVAEEDVSERYEKEKMEFGVSSPMFNILATLALLNMFSFVGGIKMLIMDVESKVLDLLALQIILCGLLVLINLPIYQGLFFRKDSGRMPYSVTYTSIIVSLLACSIALY >Potri.006G157900.1.v4.1 pep chromosome:Pop_tri_v4:6:14434122:14437034:1 gene:Potri.006G157900.v4.1 transcript:Potri.006G157900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157900.v4.1 MMISLQILSPLPSSHFINPNPLSLQCSKNPNFYPKSFSETPYLVKSTHTHFLSFPKNSNLKLKTSLRLKLSVQFQRLICYGVKDSDEETKAVVDSGGDGGGGGGGGGDDGDGDADGEMEKKDGILPEWLNFTTDDAKTLFAAVAVSLAFRSFVAEPRFIPSLSMYPTFDVGDRVFSEKVSYYFRKPCVNDIVIFKSPPVLQEVGYTDDDVFIKRIVAKEGDTVEVHEGKLIVNGVMRSEKFILEPPSYELTPIHVPENSVFVMGDNRNNSYDSHVWGPLPAKNIIGRSIFRYWPPYRIGRTVLETGCAVDKQDSTSSSK >Potri.003G015000.10.v4.1 pep chromosome:Pop_tri_v4:3:1665129:1675193:-1 gene:Potri.003G015000.v4.1 transcript:Potri.003G015000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015000.v4.1 MSTETNVPRTAQSTAIQSSKESVFLHGELDIWILEAKSLPNMDLASENMRKCFTMCGSYSPLCGHKPMTHSGKHSIITSDPYVSVCLAGATVAQTRVIANCENPLWDEHFCVPVAHPVVKVEFHVKDNDFLGAQLIGVVEIPAEKIISGNTINDWFPIICTSGTCLKPYPELHFSIQFKPVEDNPLYKDGVGDGPEYKGVPNTYFPLRKGGSVTLYQDAHVPDAVLPKITLDDGKVFQHSSCWEDICHAILEAHNLIYIVGWSVYHRVKLVREPTKPLPAGGELTLGELLKYKSEEGVRVVMLLWDDKTSHDTFCLKTDGVMQTHDEETKKFFKHSSVHCVLAPRYGSNKLSVFKQQVVGTLFSHHQKCVILDTQSSGNNRKITSFIGGLDLCDGRYDTPEHRLFRDLHTVFENDFHNPSFPSNTKSPRQPWHDLHCKIEGPAAYDILTNFEQRWKKATKWRRIKKVTRWHDDALIKLERISWILTPSSSPNGDKIVHVTDEGDPENWHVQVFRSIDSGSVKGFPKSTQEAVDQNLVCGKNLKVDKSIHTAYVKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLVPMELALKIASKIRANERFSVYIVIPMWPEGVPTSASVQEILYWQGQTMAMMYKIIAKELEKAAAQKFRRFMIYVHAKGMVVDDEYVIMGSANINQRSLDGSRDTEIAMGAYQPTYTWARKNSHPHGQVYGYRMSLWAEHLGILEKAFDEPQSLECMKLVNKTSRHNWKAYVSEESKEMRGHLMQYPIQVSKSGEVSALQGHETFPDVGGKVLGASTNLPDVLTT >Potri.003G015000.6.v4.1 pep chromosome:Pop_tri_v4:3:1665107:1675193:-1 gene:Potri.003G015000.v4.1 transcript:Potri.003G015000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015000.v4.1 MSTETNVPRTAQSTAIQSSKESVFLHGELDIWILEAKSLPNMDLASENMRKCFTMCGSYSPLCGHKPMTHSGKHSIITSDPYVSVCLAGATVAQTRVIANCENPLWDEHFCVPVAHPVVKVEFHVKDNDFLGAQLIGVVEIPAEKIISGNTINDWFPIICTSGTCLKPYPELHFSIQFKPVEDNPLYKDGVGDGPEYKGVPNTYFPLRKGGSVTLYQDAHVPDAVLPKITLDDGKVFQHSSCWEDICHAILEAHNLIYIVGWSVYHRVKLVREPTKPLPAGGELTLGELLKYKSEEGVRVVMLLWDDKTSHDTFCLKTVVGTLFSHHQKCVILDTQSSGNNRKITSFIGGLDLCDGRYDTPEHRLFRDLHTVFENDFHNPSFPSNTKSPRQPWHDLHCKIEGPAAYDILTNFEQRWKKATKWRRIKKVTRWHDDALIKLERISWILTPSSSPNGDKIVHVTDEGDPENWHVQVFRSIDSGSVKGFPKSTQEAVDQNLVCGKNLKVDKSIHTAYVKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLVPMELALKIASKIRANERFSVYIVIPMWPEGVPTSASVQEILYWQGQTMAMMYKIIAKELEKAGLSYQYHPQYYLNFYCLGKRENSPHDSSEINQQTENRSLAAAQKFRRFMIYVHAKGMVVDDEYVIMGSANINQRSLDGSRDTEIAMGAYQPTYTWARKNSHPHGQVYGYRMSLWAEHLGILEKAFDEPQSLECMKLVNKTSRHNWKAYVSEESKEMRGHLMQYPIQVSKSGEVSALQGHETFPDVGGKVLGASTNLPDVLTT >Potri.003G015000.2.v4.1 pep chromosome:Pop_tri_v4:3:1665097:1675193:-1 gene:Potri.003G015000.v4.1 transcript:Potri.003G015000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015000.v4.1 MSTETNVPRTAQSTAIQSSKESVFLHGELDIWILEAKSLPNMDLASENMRKCFTMCGSYSPLCGHKPMTHSGKHSIITSDPYVSVCLAGATVAQTRVIANCENPLWDEHFCVPVAHPVVKVEFHVKDNDFLGAQLIGVVEIPAEKIISGNTINDWFPIICTSGTCLKPYPELHFSIQFKPVEDNPLYKDGVGDGPEYKGVPNTYFPLRKGGSVTLYQDAHVPDAVLPKITLDDGKVFQHSSCWEDICHAILEAHNLIYIVGWSVYHRVKLVREPTKPLPAGGELTLGELLKYKSEEGVRVVMLLWDDKTSHDTFCLKTSNTKSPRQPWHDLHCKIEGPAAYDILTNFEQRWKKATKWRRIKKVTRWHDDALIKLERISWILTPSSSPNGDKIVHVTDEGDPENWHVQVFRSIDSGSVKGFPKSTQEAVDQNLVCGKNLKVDKSIHTAYVKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLVPMELALKIASKIRANERFSVYIVIPMWPEGVPTSASVQEILYWQGQTMAMMYKIIAKELEKAGLSYQYHPQYYLNFYCLGKRENSPHDSSEINQQTENRSLAAAQKFRRFMIYVHAKGMVVDDEYVIMGSANINQRSLDGSRDTEIAMGAYQPTYTWARKNSHPHGQVYGYRMSLWAEHLGILEKAFDEPQSLECMKLVNKTSRHNWKAYVSEESKEMRGHLMQYPIQVSKSGEVSALQGHETFPDVGGKVLGASTNLPDVLTT >Potri.003G015000.3.v4.1 pep chromosome:Pop_tri_v4:3:1665108:1675193:-1 gene:Potri.003G015000.v4.1 transcript:Potri.003G015000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015000.v4.1 MSTETNVPRTAQSTAIQSSKESVFLHGELDIWILEAKSLPNMDLASENMRKCFTMCGSYSPLCGHKPMTHSGKHSIITSDPYVSVCLAGATVAQTRVIANCENPLWDEHFCVPVAHPVVKVEFHVKDNDFLGAQLIGVVEIPAEKIISGNTINDWFPIICTSGTCLKPYPELHFSIQFKPVEDNPLYKDGVGDGPEYKGVPNTYFPLRKGGSVTLYQDAHVPDAVLPKITLDDGKVFQHSSCWEDICHAILEAHNLIYIVGWSVYHRVKLVREPTKPLPAGGELTLGELLKYKSEEGVRVVMLLWDDKTSHDTFCLKTDGVMQTHDEETKKFFKHSSVHCVLAPRYGSNKLSVFKQQVVGTLFSHHQKCVILDTQSSGNNRKITSFIGGLDLCDGRYDTPEHRLFRDLHTVFENDFHNPSFPSNTKSPRQPWHDLHCKIEGPAAYDILTNFEQRWKKATKWRRIKKVTRWHDDALIKLERISWILTPSSSPNGDKIVHVTDEGDPENWHVQVFRSIDSGSVKGFPKSTQEAVDQNLVCGKNLKVDKSIHTAYVKAIRSAQHFIYIENQYFLGSSYYWPSYKNAGADNLVPMELALKIASKIRANERFSVYIVIPMWPEGVPTSASVQEILYWQGQTMAMMYKIIAKELEKAGLSYQYHPQYYLNFYCLGKRENSPHDSSEINQQTENRSLAAAQKFRRFMIYVHAKGMVVDDEYVIMGSANINQRSLDGSRDTEIAMGAYQPTYTWARKNSHPHGQVYGYRMSLWAEHLGILEKAFDEPQSLECMKLVNKTSRHNWKAYVSEESKEMRGHLMQYPIQVSKSGEVSALQGHETFPDVGGKVLGASTNLPDVLTT >Potri.013G113000.1.v4.1 pep chromosome:Pop_tri_v4:13:12150647:12153685:-1 gene:Potri.013G113000.v4.1 transcript:Potri.013G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G113000.v4.1 MASARNLVVEIAAGNERGKVLTMRDEEKAKEDDSPSAKRSKFERFPLTRWELAAALGVFLVFSTGLFCIYLTMPAADYGKLKLPRSISDLRLLKDNLATYVSEYPAQFILGYCSTYIFMQTFMIPGTIFMSLLAGALFGIVRGLFLVVFNATAGASSCFFLSKLIGRPLVNWLWPEKMRFFQSEIAKRKEKLLNYMLFLRVTPTLPNLFINLASPIVDIPFHIFFLATLLGLIPASYITVKAGLALGDLKSVKDLYDFKTLSVLFLIGSISIFPTLLKRKRIYE >Potri.007G101800.1.v4.1 pep chromosome:Pop_tri_v4:7:12573269:12574625:-1 gene:Potri.007G101800.v4.1 transcript:Potri.007G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101800.v4.1 MAGLFDNQAAIYVDVRPRYPGEWFSMLAALTPDHSLAWDVGTGNGQAAVGVAEHYKQVIATDISEEQLKHAIPHPQVHYLHTPLMMSDDELVHLLGGENSVDLITVASAVHWFDLEKFYPIVKRVLRKPGGIFAVWCYSRIKFSPEIDVLLAVHFERTFPFQNPNFKHALECYKTLPFPFESVGVGCEGQPLELDMEKKMSFQGLLKFFRSLSAFNTAKGQGVDLLSDEVVKELESAWGGPEVVRTVIYTTYMLAGKVKL >Potri.003G173600.2.v4.1 pep chromosome:Pop_tri_v4:3:18151672:18153183:-1 gene:Potri.003G173600.v4.1 transcript:Potri.003G173600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173600.v4.1 MPRMILVLCLRNGLVEKTFTPSLLFDLSAGQKLTTSTTVFTESTSSSSRANGIKALRDDNFTLAYKIVMEYAEWCEVKHTHIGASWYFFHGEKYKSYPRSVLFLFNSGYSRDDLDSEALNLTNNTSSPISVTLHHCEQLARRFYCSEYRIRGPRVQWFSGIRI >Potri.003G049800.3.v4.1 pep chromosome:Pop_tri_v4:3:7284918:7286595:-1 gene:Potri.003G049800.v4.1 transcript:Potri.003G049800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G049800.v4.1 LPVIAKRAWGIVRVIFFILRKGLSNRKLLVDLNMMLKCGNKIASKAIGNLMFHHHHHNDHRKVSFTSAPCEYEFSCSNTPTYSLPFHISKRRHHHHHYNNFFPCAFNTPPTHDDHDMVTISAVKLVLEMLNNNEVVLPVEASPLLLGFGRSPMVRQLRITNSSFPLRDLDDDNGFVDKKAEEFIEKFYKELRQQKR >Potri.003G049800.2.v4.1 pep chromosome:Pop_tri_v4:3:7284906:7288341:-1 gene:Potri.003G049800.v4.1 transcript:Potri.003G049800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G049800.v4.1 MRKIEDRCGSLPVIAKRAWGIVRVIFFILRKGLSNRKLLVDLNMMLKCGNKIASKAIGNLMFHHHHHNDHRKVSFTSAPCEYEFSCSNTPTYSLPFHISKRRHHHHHYNNFFPCAFNTPPTHDDHDMLVLEMLNNNEVVLPVEASPLLLGFGRSPMVRQLRITNSSFPLRDLDDDNGFVDKKAEEFIEKFYKELRQQKRTSG >Potri.013G075600.1.v4.1 pep chromosome:Pop_tri_v4:13:6350586:6352698:-1 gene:Potri.013G075600.v4.1 transcript:Potri.013G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075600.v4.1 MELESSWLLHALYAFCFILVFIIIVSPRFALFLYLLLLKLWCNCEICDAYLNLSWAKSFDNLCDWYAHLLKHSPTKTIPIHVLGNIITANPENIKYMLKTRFDNYPKGKPFSLILGDFLGRGIFNVDGDMWRFQKNMASLELDRLSIRSNAFEIVRCEIEKRLLPLLVSFSGKEDGILDLQDVFRRFSFDSICQFSFGLDPKCLQLSLPMSEFAVAFDLASKFSAERAMAVSPLVWKIKRKLNIGSEKKMKEAIKIIDILAQEVMRQKRVKGFSTHKDLLSRFMRTVDDDTYLRDIVISFLLAGRDTVASALTSFFWLLAKHPEIGSAIQVEADRVLGPNQEPKSFEEIQQLHYLQAAVHESMRLYPPIQFDSKFCQQDDVLPDGTFVKRGTRVTYHPYAMGRMEEIWGPDCLEFKPERWLRDGVFFSENPYKYPIFQGGFRVCLGKDMALMEIKSVCLSLLQRFHFKLVFPCHSTPRFSPGLTSTFRDGLLVLVREKVTQLSHRNYMVHVD >Potri.016G055600.2.v4.1 pep chromosome:Pop_tri_v4:16:3699975:3704619:1 gene:Potri.016G055600.v4.1 transcript:Potri.016G055600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055600.v4.1 MGVPDASGDLSSEMEVDAFRHLFPLRYFERHLSESIRPDARPLGRARDTTLALGAVASAHGSALAKIGSTTMLAAIKMEVMTPSTESPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVISKQLSDTISSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLQIPIVSLNDDGKIVLVLEEDEGAKLEKEPVNKEKRKLTLSSIPFSLTCILHKNYILADPTAEEESIMETLVTVVLDSSARLVSFYKPGGSVFAYTSAVKDCVALTRQRVKELQEILDEAISGMETD >Potri.016G055600.6.v4.1 pep chromosome:Pop_tri_v4:16:3700071:3704465:1 gene:Potri.016G055600.v4.1 transcript:Potri.016G055600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055600.v4.1 MLAAIKMEVMTPSTESPDEGCIAIDFHMPPICSPIVRPGRPAEAAPVISKQLSDTISSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDAALLSAVAAFSHLQIPIVSLNDDGKIVLVLEEDEGAKLEKEPVNKEKRKLTLSSIPFSLTCILHKNYILADPTAEEESIMETLVTVVLDSSARLVSFYKPGGSVFAYTSAVKDCVALTRQRVKELQEILDEAISGMETD >Potri.006G153600.1.v4.1 pep chromosome:Pop_tri_v4:6:13676916:13680264:-1 gene:Potri.006G153600.v4.1 transcript:Potri.006G153600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153600.v4.1 MGMLLDEIIEAMPVFAKELVAGGVAGGFAKTVVAPLERVKILFQTRRDEFKSVGLFGSFKKISHTEGIMGLYRGNGASVARIVPYAALHYMTYEQYRRWIILSFPDIGRGPVLDLVAGSFAGGTAVLLTYPLDLVRTKLAYQIVSSSKANINGVIGMELVYKGIRDCFSKTLKESGLRGLYRGVAPSLYGIFPYAGLKFYFYEEMKRHVPEEHKKDIVVKMVCGSVAGLLGQTFTYPLDVVRRQMQVQRLSVSNSAELKGTMETLIMIMQKQGWKQLFSGLSINYLKVVPSVAIGFTVYDMMKASLRVPSRDVIEAVTDKRNSQPSLHS >Potri.001G249500.1.v4.1 pep chromosome:Pop_tri_v4:1:26548248:26548915:1 gene:Potri.001G249500.v4.1 transcript:Potri.001G249500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249500.v4.1 MKRPAVNMSARINQVKYKPTGINQNAISIRRNSGNRVSGNRWLGRSYGFRLLKRSIMSPAVFLKHLGGKVAKALRLERRPSPGVSSSGRSRPSVAPIDAHRAEAIEDCIEFINSASLSRSNSVTANPC >Potri.005G073300.1.v4.1 pep chromosome:Pop_tri_v4:5:4885457:4889121:-1 gene:Potri.005G073300.v4.1 transcript:Potri.005G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073300.v4.1 MALSTLSSKSLYSNANNLFQQNQQQQSPTFSLVPGSKTLKSKSNLSISAVHAAEPTKNSVSVKESAAPVAAPGTGKWSLESWKTKKALQLPEYPDANELETVLKTIETFPPIVFAGEARSLEENLAEAAMGNAFLLQGGDCAESFKEFSANNIRDTFRILLQMGVVLMFGGQVPVIKVGRMAGQFAKPRSDPFEEKDGVKLPSYKGDNINGDAFNEKSRIPDPQRLIRAYCQSAATLNLLRAFATGGYAAMQRVTQWNLDFAQHSEQGDRYQELANRVDEALGFMAAAGLTVDHPIMTTTEFWTSHECLHLPYEQSLTRLDSTSGLYYDCSAHMLWCGERTRQLDGAHVEFLRGISNPIGIKVSNKMDPNELVKLIEIFNSNNKPGRITIIVRMGAENMRVKFPHLIRAVRRAGQIVTWVCDPMHGNTIKAPCGLKTRPFDAILAEVRAFFDVHEQEGSHPGGIHLEMTGQNVTECIGGSRTVTFDDLSSRYHTHCDPRLNASQSLELAFIIAERLRKRRIGTQRLFSLGQ >Potri.002G031000.4.v4.1 pep chromosome:Pop_tri_v4:2:2044991:2049167:-1 gene:Potri.002G031000.v4.1 transcript:Potri.002G031000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031000.v4.1 MKSYTNHTPAAGSYSDILYGGSLSSQNGAEFSSSGARNEIVFIPPTSDTMNLQSVGGQLNTAAGNLVGDSVSGDSQAVPPRMHLGIPDCEQNFQSQGLPLRLGMQVQFAVSMPSLQYQYLNQNFPSSLSSHLLVPEKWTLPCEGDESNQSKELREFEGLPGFAGSSHNPIKTESSHNPQYIVGLRDMHAEMNMYGLSGYANTLLNSRYLKSVQHLLDEVVNVKKALKQPQSNKCSDDFKESDRRPSSCSMLPSSNVKPPDPAESTADSTPELSPVERQDLLDKKTKLLSMLEEVDRKYKQYYHQMQIVVLYFDTVAGHGAAKSYTALALQTISRHFRCLRDAISGQIEVIMKRLGEQGTSPNGQGGIPRLRYVDHQTRQQRALQQLGVMRHAWRPQRGLPESSVSVLRAWLFEHFLHPYPSDSEKIMLARQAGLTRSQVANWFINARVRLWKPMVEDMYKEEFGDSETNSKSSLDETTKAHGDKSGNHLTSENRLRELYESVTSTAADISQPGQAHDIKSSHILELEMKEPMAKTVLENGSQGPNVAESDIMKFPRDRRLNIDDDHNFCPHGNIPCGQNGDGNLMSAAATYDVSHLNGFAVGSQMSLALGLQSNDSDSFPTFDGAHMRGNTISASSVGHNEVDYHCMDTGKQQDRIANSHRLHDFVV >Potri.002G031000.2.v4.1 pep chromosome:Pop_tri_v4:2:2044991:2049326:-1 gene:Potri.002G031000.v4.1 transcript:Potri.002G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031000.v4.1 MKSYTNHTPAAGSYSDILYGGSLSSQNGAEFSSSGARNEIVFIPPTSDTMNLQSVGGQLNTAAGNLVGDSVSGDSQAVPPRMHLGIPDCEQNFQSQGLPLRLGMQVQFAVSMPSLQYQYLNQNFPSSLSSHLLVPEKWTLPCEGDESNQSKELREFEGLPGFAGSSHNPIKTESSHNPQYIVGLRDMHAEMNMYGLSGYANTLLNSRYLKSVQHLLDEVVNVKKALKQPQSNKCSDDFKESDRRPSSCSMLPSSNVKPPDPAESTADSTPELSPVERQDLLDKKTKLLSMLEEVDRKYKQYYHQMQIVVLYFDTVAGHGAAKSYTALALQTISRHFRCLRDAISGQIEVIMKRLGEQGTSPNGQGGIPRLRYVDHQTRQQRALQQLGVMRHAWRPQRGLPESSVSVLRAWLFEHFLHPYPSDSEKIMLARQAGLTRSQVANWFINARVRLWKPMVEDMYKEEFGDSETNSKSSLDETTKAHGDKSGNHLTSENRLRELYESVTSTAADISQPGQAHDIKSSHILELEMKEPMAKTVLENGSQGPNVAESDIMKFPRDRRLNIDDDHNFCPHGNIPCGQNGDGNLMSAAATYDVSHLNGFAVGSQMSLALGLQSNDSDSFPTFDGAHMRGNTISASSVGHNEVDYHCMDTGKQQDRIANSHRLHDFVV >Potri.002G031000.3.v4.1 pep chromosome:Pop_tri_v4:2:2044986:2049584:-1 gene:Potri.002G031000.v4.1 transcript:Potri.002G031000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031000.v4.1 MKSYTNHTPAAGSYSDILYGGSLSSQNGAEFSSSGARNEIVFIPPTSDTMNLQSVGGQLNTAAGNLVGDSVSGDSQAVPPRMHLGIPDCEQNFQSQGLPLRLGMQVQFAVSMPSLQYQYLNQNFPSSLSSHLLVPEKWTLPCEGDESNQSKELREFEGLPGFAGSSHNPIKTESSHNPQYIVGLRDMHAEMNMYGLSGYANTLLNSRYLKSVQHLLDEVVNVKKALKQPQSNKCSDDFKESDRRPSSCSMLPSSNVKPPDPAESTADSTPELSPVERQDLLDKKTKLLSMLEEVDRKYKQYYHQMQIVVLYFDTVAGHGAAKSYTALALQTISRHFRCLRDAISGQIEVIMKRLGEQGTSPNGQGGIPRLRYVDHQTRQQRALQQLGVMRHAWRPQRGLPESSVSVLRAWLFEHFLHPYPSDSEKIMLARQAGLTRSQVANWFINARVRLWKPMVEDMYKEEFGDSETNSKSSLDETTKAHGDKSGNHLTSENRLRELYESVTSTAADISQPGQAHDIKSSHILELEMKEPMAKTVLENGSQGPNVAESDIMKFPRDRRLNIDDDHNFCPHGNIPCGQNGDGNLMSAAATYDVSHLNGFAVGSQMSLALGLQSNDSDSFPTFDGAHMRGNTISASSVGHNEVDYHCMDTGKQQDRIANSHRLHDFVV >Potri.017G118801.1.v4.1 pep chromosome:Pop_tri_v4:17:12534606:12540642:-1 gene:Potri.017G118801.v4.1 transcript:Potri.017G118801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118801.v4.1 MAIFDQAIRRLSLSLSRKRSSLSFSTLSSNPLRVCVVGTGPAGFYTAEKMLKAHQGAEVDIIDRLPTPFGLVRSGVAPDHPDTKIVMNQFSKVAQHERCSFFGNVTLGSSVSLSELRELYHVVVLAYGAESDRALGIPGEYLSGIHSAREFVWWYNGHPDGKNLSPDLKSTDTAVILGQGNVALDVARILLQSTSVLATTDIASHALEALEQSSIRKVYLVGRRGPAQAACTAKELREVLGIKDLCIHISEVDLRKSPEDEEVLKNNRIHRRVYELLSKAAASAPSHPSSSQRELHFVFFRKPDRFLDSGEGSGRVAGVHFEKTMLKAVGPGRQIAVGTGSYEDLDCGLVLKSIGYKSVPVDGLPFDHEKGVVPNIKGRVLADTSGDPTLLEKGLYVCGWLKRGPTGIIATNLYCAEETVASISEDVHQGEIASASTLPKPGRQGLLQLLDNRGVRVVPFSAWEKIDSEERRLGNLRSKPREKLTTFEDLLKAATE >Potri.003G177900.2.v4.1 pep chromosome:Pop_tri_v4:3:18432968:18436178:1 gene:Potri.003G177900.v4.1 transcript:Potri.003G177900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177900.v4.1 MHSKQNTIRVLGQRSIPSSFIFRSSNPSAKDSNQDEQREDSKKSPRISFSDFLDKKLHKSSVLPKTVKGKSRPFWTPLGPPSNGGGFTGHQIEVQKEKEERSSVLDDVVFQQFKPTRVEKGDDVISVGDGEKGDGMGPFSEKQTSSSSFGLGSSGDCDFGTSTTSSVIGSSHVGKVGTSTVNDVPGSRKRNLFGGGGQNHTARKPSLVLGVHPSPNQKGRKESFIGNKKQRPLYNHYANGSGWWDCDMEGVDSEEVGYGEIWEGVGSTTFGGIEWH >Potri.003G120201.1.v4.1 pep chromosome:Pop_tri_v4:3:14134069:14135520:-1 gene:Potri.003G120201.v4.1 transcript:Potri.003G120201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120201.v4.1 MGVVPLAMQMPMPMSIPMPMPVTTIATIRRSSTKDRHTKVEGRGRRIRIPATCSARIFQLTRELGHSSDGETVRWLLEHAEQAIIEATGTGTVLAIAVEGLSKSVQQPLTTAIH >Potri.001G401500.3.v4.1 pep chromosome:Pop_tri_v4:1:42755731:42756679:1 gene:Potri.001G401500.v4.1 transcript:Potri.001G401500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401500.v4.1 MAALLESLTVPPLTFPKPKPTTTTPLCAFASPIHRRSLRLPHVKGLKASFNSSTINRSPGSFVTLTSSRLSRGGRIVCEAQETAVEVASVTDATWKSVVLESESPVLVEFWAPWCGPCRMIHPIIDELATQYTGKLKCYKVNTDDCPSIATQYGIRSIPTVIIFKNGEKKEAIIGAVPKTTLTTTIEKFL >Potri.004G123300.1.v4.1 pep chromosome:Pop_tri_v4:4:11831958:11837321:-1 gene:Potri.004G123300.v4.1 transcript:Potri.004G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123300.v4.1 MSTSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELCKPFGRIVNTKCNVGANRNQAFVEFVDQNQAIQMVSYYASSSDPAQVRGKTVYIQYSNRHEIVNNKSPGDNPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGYVHKIATFEKAAGFQALIQFTDSETASSARNALDGRSIPRYLLPEHVGSCHLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAIEGPVQPTVGVDGKKKEPESNVLLASIENMQYAVTIDVLHTVFSAFGTVQKIAIFEKNGGTQALIQYPDVATAAVAKETLEGHCIYDGGYCKLHLSYSRHTDLNVKAYSDKSRDYTIPDASLIAAQAPGLHTAPTMWQNPQAGSMYTGNNYATTAAVPVQVPPGQVPAWDPTMQAGGQGYASVPGTYPGQTYPTPPASAYATAAIPAGSSPRSHSSPISHSVASMAMSHPGMQSNLRPSGASPPGQPPYYG >Potri.003G132700.1.v4.1 pep chromosome:Pop_tri_v4:3:15074589:15076285:1 gene:Potri.003G132700.v4.1 transcript:Potri.003G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132700.v4.1 MMDEFVCMEDSWDLHAVVRSGSSTNYEDFANITNNPPSLFAPLSFYQDELLNFQETPTDFDGLDGLYKPLYPLLHQTFNSPQSNILSTSISTTSISVPKEVKERQKVQKKKPVSPESATFANTVDATSAAKSKRRKNQHKKVVQHVKEDGLSSDMWAWRKYGQKPIKGSPYPRSYYRCSSLKGCLARKQMERSRTDPSTFIITYTAEHSHAHPTRRSSLAGSTRIKPSMPKEATKNIEPNMPTIKDELSPNFDGVLSPTTPSVTSIEDELVQNVCIKNEELLDQGQVLDEIALPDILFSDELFPSIEDLEGLLLDEFADCRSSNIPTTSS >Potri.005G259100.1.v4.1 pep chromosome:Pop_tri_v4:5:24898106:24898741:1 gene:Potri.005G259100.v4.1 transcript:Potri.005G259100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259100.v4.1 MGGCFSSSFLGEDSEQVRPQTAKVISIHGDLREYYLPAFVSQVLQAEIASSSSSSSSSSWFLCNSDLLLYDEYIPALDSDVPLHADQIYFVLPKSKLQHRLTSSDMAALAVKASLALQNSSNKDPRRGKKARISPVLLVNPDHEHQGQNVVKVSFDRKPKPQVQQRQPANPIVFSRSGSVRKFQKYTSRRAKLAVRSFKLRLTTIYEGTVL >Potri.006G164980.1.v4.1 pep chromosome:Pop_tri_v4:6:16107241:16108109:1 gene:Potri.006G164980.v4.1 transcript:Potri.006G164980.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164980.v4.1 MGTPETSREPCPDRIIDDIGGAFGMGAVGGSAFHFIKGVYNSPTGTRLIGGTQAVRMNAPRVGGSFAVWGGLFSAFDCSMVYLRQKEDPWNSIIAGAATGGFLSMRQGLGASGRSALFGGVLLALIEGAGIMLNKVMSAQQEMPIMIEDPVPSMAGGHGSPMGQPHAHAQEGASASGTNSGSWFGGWFGGGKKESEANISGSKTEILESFDAPPVPNFEYK >Potri.014G025300.1.v4.1 pep chromosome:Pop_tri_v4:14:1556815:1558444:1 gene:Potri.014G025300.v4.1 transcript:Potri.014G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025300.v4.1 MGSMKVHQLARGFWEHEPSLTLGCKRLRPLAPKLANTDHSVTSFDLKSFIRPDSGPRKLASSDEKKDSPQGETHPGGTRWNPTQEQIGILEMLYRGGMRTPNGQQIEDITAQLSRYGKIEGKNVFYWFQNHKARERQKQKRNSLGLSHSPRTPSPITIISLDTRGEVEKDEDSPYKRKCRSWSFECFELEESRSCKEEGDRTLELFPLHPEGR >Potri.018G136502.1.v4.1 pep chromosome:Pop_tri_v4:18:15668080:15668804:1 gene:Potri.018G136502.v4.1 transcript:Potri.018G136502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136502.v4.1 MEKNLLVDVQKVKLQLKGKEKNLTIESSIARGCFSLGPARKWQIAKPVLGPILKTVRGAKCPEIYPS >Potri.007G050000.1.v4.1 pep chromosome:Pop_tri_v4:7:4754864:4763338:-1 gene:Potri.007G050000.v4.1 transcript:Potri.007G050000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050000.v4.1 MEVRVFYVSLSLLFFLLALKLHLSRKHKNSALPPSPPALPVIGHLHLLKRRPMHLTFYSLAKKYGPIISLRFGSRLVVLISSPSPFEEFFTKNDVVLANRPKLLLGKHLAYNHTTLLQAPYGDHWRNLRRIGAVEIFSTHGINKFVSIRKDEIKQLLIKLSHNSLQNFELTFNIMMRMVAGKRYYVDDVTDEEEARQFREIMTEAVTFAGASNPGDFLPILNWIDGGEFEKTVIRLGKRMDMFLQGLVDEHKRKEDLESMNTMIGHLISLQVTQPEYYTDGIIEGLVLVMLGAAMSNLLNNPNTLKKARDELDTQVGEEFLLDETHLSKLQYLQNIISETLRLNPAAPLLVPHESSESCSVGGYNVPRDTILLVNAWAIHRDSTVWDDPTSFKPDRFDNEGEDRKLIAFGCGRRSCPGAGLAQRVVGSTLGSLIQCFEWKRVSEKEVDMTEGRGITLQKVVPLEAICKSRPIMDRILC >Potri.010G207500.1.v4.1 pep chromosome:Pop_tri_v4:10:19758158:19759303:1 gene:Potri.010G207500.v4.1 transcript:Potri.010G207500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207500.v4.1 MKKDGGLPEDLLITILMALPAKCLVRFRSVSKYWNSLITGAEFISIHLAQAKPLLLFHHHNQSYSLRLDNESLDMWSNSEFELPSKREDDDFQIIGSCNGVICLLNSPQDHGHSIILWNPSIGKSLNLVLPRLSDPFHGIFGFGFNRQSNDYKFVRVATPHYPVGCQVYSVKERSWKAIDVSPALGYINPIPSVLWGRSSSYNYAFLNGVLHWLVDREEFGSRFVLSFDLRNDSFGKMMLSPYLASKLDEWMAILVYDNSVSLFLNDLDTKYIEIWALKKYDAMKLWARKLRIKAVGIGLAMVCRKNGEILMTRYPSDEVVSCDPQRNEIHDLQNLGLSDYADSYVESLALLDELKEETEKENVEITHSATFAIPSFKCIH >Potri.006G144700.2.v4.1 pep chromosome:Pop_tri_v4:6:12259549:12261701:-1 gene:Potri.006G144700.v4.1 transcript:Potri.006G144700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144700.v4.1 MYKKERSSRESTFHPRRRTPSFSSTLLDSIYRSIDESNGEEQHVLGIKKQSCNSVSTTRRDTSFLEEEKEVSTTLRRAVRTESWMDKKSTRGSMQYNSTSSSSDSSSAGGGGSGGGVFSSSENESSVRGNSSSCQQRTKPLSDKPHQKPKCEGGGFHKTKLRALKIYGELKKVKQPISPGGRIASFLNSIFNSASAAKKVKMCSIGAMDDVSFERKSKSACSSATSFSRSCLSKTPPPRGKPSNGTKRSVRFYPVGVIVDEDSRPCGHKSIYEDDPGLMPTPRKVVKSSSVKELEVAKGAAADYLRSYHQRKNVSEFDFRGFHNYVADDSDSDDESCTSSDLFELDHLIGIGRYREELPVYETTNFKTNQAIANGFFP >Potri.007G093400.6.v4.1 pep chromosome:Pop_tri_v4:7:11890063:11900338:1 gene:Potri.007G093400.v4.1 transcript:Potri.007G093400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093400.v4.1 MADTKRYPLGNQLDIQQILLEAQHRWLRPAEICEILTNYQRFRIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEDNENFQRRSYWLLEEELSHIVLVHYREVKGTRTNFNRIKEHEECIPYSQETEDTMPSSEMDTSVSSRFHPNGYQVPTRTTDTTSMNSAQASEYEDAESVYNNQASSTFHSFLEVQKPAMERIDTGSSVHYDHMTFSSDYQGKLSAVPGMDVISLAQVDKTKETNGTESACEPQKVIDLPSWEDVLENYARGTESVPFQTLLSQDDTVGIIPKQEDGILEKLLTNSFDKREDIGSHILDQEAWQSMEGVSSHLLKWSVDQKLLLNSGYDLTARFPDQQLDSGNLINTLEPLCTQENDLHIQNDIQIQPANADHGMTLEGKSMYSSSVKHHILDGSGTEGLKKLDSFTRWMSKELGDVEPQVQSSSGSYWITAESENGVDDSSNPSQGNLDAYLLSPSLSQDQLFSIIDFSPNWAYAGTEIKVLIMGRFLKGREAAENCQWSIMFGEVEVPAEVIADGVLRCNTPSHKAGRIPFYVTCSNRVACSEVREFEYLSHTQDITYYYSDSVTEDLNMRFGKLLSLSSVSPSKYDSSSVDEILSSKINSLLNEDNETWDQMFKLTSEEGFSSEKVKEQLVQKLLKEQLHVWLLQKASEGGKGPSVLDEGGQGVLHFAAALGYDWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLIHLGAAPGALTDPTPKYPTSRTPADLASANGHKGISGFLAESALSAHLSSLNLEKQDGKAAECSGTQASQTVSGCNATPVNDADLPSRLPLKDSLAAVCNATQAAARIHQVFRVQSFQKKQLKEYGDDKLGMSHERALSLIAVKSQKAGQYDEPVHAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIIWSVGILDKIILRWRRKGSGLRGFKSEALTDGSSMQVVQSKDDDDDFLKEGRRQTEERSQIALARVKSMHQHPEAREQYCRLRNVVAEIQEAKAMGEWANNSEVMAEFDDLVDLGTLMDDDSFMPSNS >Potri.007G093400.3.v4.1 pep chromosome:Pop_tri_v4:7:11890033:11900345:1 gene:Potri.007G093400.v4.1 transcript:Potri.007G093400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093400.v4.1 MADTKRYPLGNQLDIQQILLEAQHRWLRPAEICEILTNYQRFRIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEDNENFQRRSYWLLEEELSHIVLVHYREVKQGTRTNFNRIKEHEECIPYSQETEDTMPSSEMDTSVSSRFHPNGYQVPTRTTDTTSMNSAQASEYEDAESVYNNQASSTFHSFLEVQKPAMERIDTGSSVHYDHMTFSSDYQGKLSAVPGMDVISLAQVDKTKETNGTESACEPQKVIDLPSWEDVLENYARGTESVPFQTLLSQDDTVGIIPKQEDGILEKLLTNSFDKREDIGSHILDQEAWQSMEGVSSHLLKWSVDQKLLLNSGYDLTARFPDQQLDSGNLINTLEPLCTQENDLHIQNDIQIQPANADHGMTLEGKSMYSSSVKHHILDGSGTEGLKKLDSFTRWMSKELGDVEPQVQSSSGSYWITAESENGVDDSSNPSQGNLDAYLLSPSLSQDQLFSIIDFSPNWAYAGTEIKVLIMGRFLKGREAAENCQWSIMFGEVEVPAEVIADGVLRCNTPSHKAGRIPFYVTCSNRVACSEVREFEYLSHTQDITYYYSDSVTEDLNMRFGKLLSLSSVSPSKYDSSSVDEILSSKINSLLNEDNETWDQMFKLTSEEGFSSEKVKEQLVQKLLKEQLHVWLLQKASEGGKGPSVLDEGGQGVLHFAAALGYDWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLIHLGAAPGALTDPTPKYPTSRTPADLASANGHKGISGFLAESALSAHLSSLNLEKQDGKAAECSGTQASQTVSGCNATPVNDADLPSRLPLKDSLAAVCNATQAAARIHQVFRVQSFQKKQLKEYGDDKLGMSHERALSLIAVKSQKAGQYDEPVHAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIIWSVGILDKIILRWRRKGSGLRGFKSEALTDGSSMQVVQSKDDDDDFLKEGRRQTEERSQIALARVKSMHQHPEAREQYCRLRNVVAEIQEAKAMGEWANNSEVMAEFDDLVDLGTLMDDDSFMPSNS >Potri.007G093400.2.v4.1 pep chromosome:Pop_tri_v4:7:11890109:11900703:1 gene:Potri.007G093400.v4.1 transcript:Potri.007G093400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093400.v4.1 MADTKRYPLGNQLDIQQILLEAQHRWLRPAEICEILTNYQRFRIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEDNENFQRRSYWLLEEELSHIVLVHYREVKGTRTNFNRIKEHEECIPYSQETEDTMPSSEMDTSVSSRFHPNGYQVPTRTTDTTSMNSAQASEYEDAESVYNNQASSTFHSFLEVQKPAMERIDTGSSVHYDHMTFSSDYQGKLSAVPGMDVISLAQVDKTKETNGTESACEPQKVIDLPSWEDVLENYARGTESVPFQTLLSQDDTVGIIPKQEDGILEKLLTNSFDKREDIGSHILDQEAWQSMEGVSSHLLKWSVDQKLLLNSGYDLTARFPDQQLDSGNLINTLEPLCTQENDLHIQNDIQIQPANADHGMTLEGKSMYSSSVKHHILDGSGTEGLKKLDSFTRWMSKELGDVEPQVQSSSGSYWITAESENGVDDSSNPSQGNLDAYLLSPSLSQDQLFSIIDFSPNWAYAGTEIKVLIMGRFLKGREAAENCQWSIMFGEVEVPAEVIADGVLRCNTPSHKAGRIPFYVTCSNRVACSEVREFEYLSHTQDITYYYSDSVTEDLNMRFGKLLSLSSVSPSKYDSSSVDEILSSKINSLLNEDNETWDQMFKLTSEEGFSSEKVKEQLVQKLLKEQLHVWLLQKASEGGKGPSVLDEGGQGVLHFAAALGYDWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLIHLGAAPGALTDPTPKYPTSRTPADLASANGHKGISGFLAESALSAHLSSLNLEKQDGKAAECSGTQASQTVSGCNATPVNDADLPSRLPLKDSLAAVCNATQAAARIHQVFRVQSFQKKQLKEYGDDKLGMSHERALSLIAVKSQKAGQYDEPVHAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIIWSVGILDKIILRWRRKGSGLRGFKSEALTDGSSMQVVQSKDDDDDFLKEGRRQTEERSQIALARVKSMHQHPEAREQYCRLRNVVAEIQEAKAMGEWANNSEVMAEFDDLVDLGTLMDDDSFMPSNS >Potri.007G093400.4.v4.1 pep chromosome:Pop_tri_v4:7:11890063:11900325:1 gene:Potri.007G093400.v4.1 transcript:Potri.007G093400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093400.v4.1 MADTKRYPLGNQLDIQQILLEAQHRWLRPAEICEILTNYQRFRIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEDNENFQRRSYWLLEEELSHIVLVHYREVKQGTRTNFNRIKEHEECIPYSQETEDTMPSSEMDTSVSSRFHPNGYQVPTRTTDTTSMNSAQASEYEDAESVYNNQASSTFHSFLEVQKPAMERIDTGSSVHYDHMTFSSDYQGKLSAVPGMDVISLAQVDKTKETNGTESACEPQKVIDLPSWEDVLENYARGTESVPFQTLLSQDDTVGIIPKQEDGILEKLLTNSFDKREDIGSHILDQEAWQSMEGVSSHLLKWSVDQKLLLNSGYDLTARFPDQQLDSGNLINTLEPLCTQENDLHIQNDIQIQPANADHGMTLEGKSMYSSSVKHHILDGSGTEGLKKLDSFTRWMSKELGDVEPQVQSSSGSYWITAESENGVDDSSNPSQGNLDAYLLSPSLSQDQLFSIIDFSPNWAYAGTEIKVLIMGRFLKGREAAENCQWSIMFGEVEVPAEVIADGVLRCNTPSHKAGRIPFYVTCSNRVACSEVREFEYLSHTQDITYYYSDSVTEDLNMRFGKLLSLSSVSPSKYDSSSVDEILSSKINSLLNEDNETWDQMFKLTSEEGFSSEKVKEQLVQKLLKEQLHVWLLQKASEGGKGPSVLDEGGQGVLHFAAALGYDWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLIHLGAAPGALTDPTPKYPTSRTPADLASANGHKGISGFLAESALSAHLSSLNLEKQDGKAAECSGTQASQTVSGCNATPVNDADLPSRLPLKDSLAAVCNATQAAARIHQVFRVQSFQKKQLKEYGDDKLGMSHERALSLIAVKSQKAGQYDEPVHAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIIWSVGILDKIILRWRRKGSGLRGFKSEALTDGSSMQVVQSKDDDDDFLKEGRRQTEERSQIALARVKSMHQHPEAREQYCRLRNVVAEIQEAKAMGEWANNSEVMAEFDDLVDLGTLMDDDSFMPSNS >Potri.007G093400.5.v4.1 pep chromosome:Pop_tri_v4:7:11890063:11900318:1 gene:Potri.007G093400.v4.1 transcript:Potri.007G093400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093400.v4.1 MADTKRYPLGNQLDIQQILLEAQHRWLRPAEICEILTNYQRFRIAPEPAHMPPSGSLFLFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKSGSVDVLHCYYAHGEDNENFQRRSYWLLEEELSHIVLVHYREVKQGTRTNFNRIKEHEECIPYSQETEDTMPSSEMDTSVSSRFHPNGYQVPTRTTDTTSMNSAQASEYEDAESVYNNQASSTFHSFLEVQKPAMERIDTGSSVHYDHMTFSSDYQGKLSAVPGMDVISLAQVDKTKETNGTESACEPQKVIDLPSWEDVLENYARGTESVPFQTLLSQDDTVGIIPKQEDGILEKLLTNSFDKREDIGSHILDQEAWQSMEGVSSHLLKWSVDQKLLLNSGYDLTARFPDQQLDSGNLINTLEPLCTQENDLHIQNDIQIQPANADHGMTLEGKSMYSSSVKHHILDGSGTEGLKKLDSFTRWMSKELGDVEPQVQSSSGSYWITAESENGVDDSSNPSQGNLDAYLLSPSLSQDQLFSIIDFSPNWAYAGTEIKVLIMGRFLKGREAAENCQWSIMFGEVEVPAEVIADGVLRCNTPSHKAGRIPFYVTCSNRVACSEVREFEYLSHTQDITYYYSDSVTEDLNMRFGKLLSLSSVSPSKYDSSSVDEILSSKINSLLNEDNETWDQMFKLTSEEGFSSEKVKEQLVQKLLKEQLHVWLLQKASEGGKGPSVLDEGGQGVLHFAAALGYDWALEPTIVAGVSVNFRDVNGWTALHWAASYGRERTVASLIHLGAAPGALTDPTPKYPTSRTPADLASANGHKGISGFLAESALSAHLSSLNLEKQDGKAAECSGTQASQTVSGCNATPVNDADLPSRLPLKDSLAAVCNATQAAARIHQVFRVQSFQKKQLKEYGDDKLGMSHERALSLIAVKSQKAGQYDEPVHAAIRIQNKFRGWKGRKEFLIIRQRIVKIQAHVRGHQVRKNYRKIIWSVGILDKIILRWRRKGSGLRGFKSEALTDGSSMQVVQSKDDDDDFLKEGRRQTEERSQIALARVKSMHQHPEAREQYCRLRNVVAEIQEAKAMGEWANNSEVMAEFDDLVDLGTLMDDDSFMPSNS >Potri.005G157601.1.v4.1 pep chromosome:Pop_tri_v4:5:15065980:15066443:1 gene:Potri.005G157601.v4.1 transcript:Potri.005G157601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157601.v4.1 MIEDTYQSTDLLACCRGLSLREAIQSFGFSRTLLCFSSSTRNMMMWDDSQSQQQQQQQQQQQGSHFNFDILSLLNEPQGLL >Potri.016G049200.3.v4.1 pep chromosome:Pop_tri_v4:16:3194481:3200694:1 gene:Potri.016G049200.v4.1 transcript:Potri.016G049200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049200.v4.1 MATTATNNKVINHSAHQFEQQQQQNHHQQQQNQHHQQHQQQYHLHQMQEQHNHHQIPYGIMQSSSSSSSIPGNFIKDAGAYDMGELDQALFLYLDGQDPSTVNQDQRQSGAGMRPPTLNIFPSQPMHVEPSSTKANITGASTGLVSSATGGSKGPSEPSMELANTRNNSAPGPEPAKAIKRQGNRKGPARSSSELEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARTQGIFLGGGGLLGGEQGIPVGVTNISADAAFFDMEYARWLEENHRLMCELRAAVQEHIPENELRLFVGNCLAHYDEMMNLKSVVAKTDVFHLVSGMWKTPAERCFMWMGDFRPSELIKIIVGQIEPLTEQQILGIYGLQQSTQENEDALSQGLEALNQSLSNTIASESLICPPNMANYMGQMTVAMNKLSTLEGFVRQADNLRHQTIHRLHQLLTTRQAARCLLAVAEYFHRLRALSSLWVARPRQE >Potri.016G049200.2.v4.1 pep chromosome:Pop_tri_v4:16:3194481:3200694:1 gene:Potri.016G049200.v4.1 transcript:Potri.016G049200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049200.v4.1 MATTATNNKVINHSAHQFEQQQQQNHHQQQQNQHHQQHQQQYHLHQMQEQHNHHQIPYGIMQSSSSSSSIPGNFISKDAGAYDMGELDQALFLYLDGQDPSTVNQDQRQSGAGMRPPTLNIFPSQPMHVEPSSTKANITGASTGLVSSATGGSKGPSEPSMELANTRNNSAPGPEPAKAIKRQGNRKGPARSSSELEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARTQGIFLGGGGLLGGEQGIPVGVTNISADAAFFDMEYARWLEENHRLMCELRAAVQEHIPENELRLFVGNCLAHYDEMMNLKSVVAKTDVFHLVSGMWKTPAERCFMWMGDFRPSELIKIIVGQIEPLTEQQILGIYGLQQSTQENEDALSQGLEALNQSLSNTIASESLICPPNMANYMGQMTVAMNKLSTLEGFVRQADNLRHQTIHRLHQLLTTRQAARCLLAVAEYFHRLRALSSLWVARPRQE >Potri.016G049200.4.v4.1 pep chromosome:Pop_tri_v4:16:3194481:3200694:1 gene:Potri.016G049200.v4.1 transcript:Potri.016G049200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049200.v4.1 MATTATNNKVINHSAHQFEQQQQQNHHQQQQNQHHQQHQQQYHLHQMQEQHNHHQIPYGIMQSSSSSSSIPGNFISKDAGAYDMGELDQALFLYLDGQDPSTVNQDQRQSGAGMRPPTLNIFPSQPMHVEPSSTKANITGASTGLVSSATGGSKGPSEPSMELANTRNNSAPGPEPAKAIKRQGNRKGPARSSSELEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARTQGIFLGGGGLLGGEQGIPVGVTNISAAFFDMEYARWLEENHRLMCELRAAVQEHIPENELRLFVGNCLAHYDEMMNLKSVVAKTDVFHLVSGMWKTPAERCFMWMGDFRPSELIKIIVGQIEPLTEQQILGIYGLQQSTQENEDALSQGLEALNQSLSNTIASESLICPPNMANYMGQMTVAMNKLSTLEGFVRQADNLRHQTIHRLHQLLTTRQAARCLLAVAEYFHRLRALSSLWVARPRQE >Potri.016G049200.5.v4.1 pep chromosome:Pop_tri_v4:16:3194481:3200694:1 gene:Potri.016G049200.v4.1 transcript:Potri.016G049200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049200.v4.1 MATTATNNKVINHSAHQFEQQQQQNHHQQQQNQHHQQHQQQYHLHQMQEQHNHHQIPYGIMQSSSSSSSIPGNFIKDAGAYDMGELDQALFLYLDGQDPSTVNQDQRQSGAGMRPPTLNIFPSQPMHVEPSSTKANITGASTGLVSSATGGSKGPSEPSMELANTRNNSAPGPEPAKAIKRQGNRKGPARSSSELEGPKTPDPKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLTQLEQELQRARTQGIFLGGGGLLGGEQGIPVGVTNISAAFFDMEYARWLEENHRLMCELRAAVQEHIPENELRLFVGNCLAHYDEMMNLKSVVAKTDVFHLVSGMWKTPAERCFMWMGDFRPSELIKIIVGQIEPLTEQQILGIYGLQQSTQENEDALSQGLEALNQSLSNTIASESLICPPNMANYMGQMTVAMNKLSTLEGFVRQADNLRHQTIHRLHQLLTTRQAARCLLAVAEYFHRLRALSSLWVARPRQE >Potri.009G013400.22.v4.1 pep chromosome:Pop_tri_v4:9:2345024:2351422:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.1.v4.1 pep chromosome:Pop_tri_v4:9:2344858:2351402:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.19.v4.1 pep chromosome:Pop_tri_v4:9:2344909:2351451:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.20.v4.1 pep chromosome:Pop_tri_v4:9:2344858:2351423:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.16.v4.1 pep chromosome:Pop_tri_v4:9:2344909:2351527:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.14.v4.1 pep chromosome:Pop_tri_v4:9:2344754:2351539:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.18.v4.1 pep chromosome:Pop_tri_v4:9:2344646:2351451:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.13.v4.1 pep chromosome:Pop_tri_v4:9:2344651:2351539:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.21.v4.1 pep chromosome:Pop_tri_v4:9:2344858:2351423:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.17.v4.1 pep chromosome:Pop_tri_v4:9:2345026:2351514:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.009G013400.15.v4.1 pep chromosome:Pop_tri_v4:9:2344866:2351528:1 gene:Potri.009G013400.v4.1 transcript:Potri.009G013400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013400.v4.1 MATGAVPASFSGLQMKESGLGFGKSMDFVRICDIKRIKSGRKKISMIRNSNTGRDIVELQPASEGSSLLVPRQKYCESINKTVRRKTRTVMVGNVPLGSEHPIRIQTMTTTDTKDVAATVEQVMIIADKGADIVRLTVQGKREADACFEIKNSLVQKNYNIPLVADIHFAPSVALRVSECFDKIRVNPGNFADRRAQFEKLEYTDDDYQKELEHIEKVFTPLVEKCKKYGRAMRIGTNHGSLSDRIMSYYGDSPRGMVESAFEFARICRKLDFHNFVFSMKASNPVVMVQAYRLLVAEMYVQGWDYPLHLGVTEAGEGEDGRMKSAIGIGTLLQDGLGDTIRVSLTEPPEEEIDPCRKLANLGMRAAKIQQGVAPFKEKYRRYFDFQRRSGQLPMQKEGEEVDYRGVLHRDGSVLMSVSLDQLKAPELLYKSLAAKLVVGMPFKDLATVDSILLRELPPVDDNDARLALKRLIEVSMGVIAPLSEQLTKPLPNAMVLVNLKELSTGAYKLLPEGTRLVVSLRGDEPYEELEILKHIDATMLLHDLPFSEDKIGRVHTARRLFEYLAENALNVPVIHHLQFPKGIHRDELVIGAGTNAGALLVDGLGDGVLIEAPDQDFDFLRNTSFNLLQGCRMRNTKTEYVSCPSCGRTLFDLQEISAQIREKTSHLPGVSIAIMGCIVNGPGEMADADFGYVGGAPGKIDLYVGKTVVKRGIEMESATDALIQLIKDNGRWVDPPPAEE >Potri.013G028600.1.v4.1 pep chromosome:Pop_tri_v4:13:1862503:1864850:1 gene:Potri.013G028600.v4.1 transcript:Potri.013G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028600.v4.1 MAKKKATHQTQDPKQENPQDQNRNLNTQNQQPPPSMENPNEKFQSLKTLNDLLVKEAKKRREQVESLVKAKEALETELALSSNEKSKLETELGKISDGKVSLEIEKGLFCVFIETQMAEMGGFVDGLVREKKEKENEIGVLKSEVKELTMSVEAERDRLSRVCLERDLLKSDVDNWMKEADGLKDRVIELEKRERESEEEIEKLKKEYALLVKEKKDREKEIEELKRLRGSAENNLMERVEEIEYLKREIEGIVRERNEIGVEKSEHKLKIIELEREAGELNEIVSNLRKEEGILRKKVMKLEETLGEALEKKNAMAREIDGLMEEKKEKERTIMRLMEENDAGQKYKIMANAEIEDKKGLVQKLLREKNEIEEVKVIKEGEIEKLHKEVGHLRDDIFSMQESIKDQEVKYKQVASEISHYKGALEQVRLERDNAQKSLDGEKRIGMNLRSKVLEMEKRVEETVKDCAKMKSEHENLAKQKKEMETQVSLLEKEKDLVQKHLTEAEGKIIDLRNKMESAGTISDRALTMLKSTVALLCESNNGKEEMTVTEKMLDSEIEPYASELEVIKTAFRNKETMVEDMKQQVEYLRDSVARAKKKNGLLSVMSSATTVVAAAVSLAYVARVR >Potri.007G116550.1.v4.1 pep chromosome:Pop_tri_v4:7:13598377:13601856:1 gene:Potri.007G116550.v4.1 transcript:Potri.007G116550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116550.v4.1 MPNSNGQAAAAVPVQMNHIEAQLVDEEDGDNNNIAGGGEESIDNTNSIQFEDGGCSGVVGEAVAASDMYVGTNGGGGADYGLVTANNDQLTLSFQGEVYVFDAVAPDKVQAVLLLLGGYEIPSGIPAMGTAPINQRTPNHGIYDLSGTGRSIQPHRAASLSRFREKRKERCFDKKIRYTVRKEVALRMQRKKGQFTSSKANSDEGGSASSGCSGMQGSGQDESMLETLCTHCGISSKSTPMMRRGPSGPRTLCNACGLKWANKGVLRNISKLPIMSIQQSSMKTVAQVNGEANNSDTITAAADTVSPNGDNSTLATET >Potri.014G129900.3.v4.1 pep chromosome:Pop_tri_v4:14:8699013:8703543:1 gene:Potri.014G129900.v4.1 transcript:Potri.014G129900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129900.v4.1 MSSRASRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGSGGRGRGGVSRRSEYRVVVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKYAIKKLDDSEFRNAFSRAYVRVREYDSKRDSSRSPSRGRSHSRGRSDSRGRSLSQSRSRSKSPKNKSTRRSPARSRSRSVSRSGSRARSLSRSRSRSRSPLPSKRTSKSPKKHSVSRSPRDSRSRSRSKSLSR >Potri.014G129900.2.v4.1 pep chromosome:Pop_tri_v4:14:8698825:8703549:1 gene:Potri.014G129900.v4.1 transcript:Potri.014G129900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129900.v4.1 MSSRASRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGSGGRGRGGVSRRSEYRVVVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKYAIKKLDDSEFRNAFSRAYVRVREYDSKRDSSRSPSRGRSHSRGRSDSRGRSLSQSRSRSKSPKNKSTRRSPARSRSRSVSRSGSRARSLSRY >Potri.014G129900.1.v4.1 pep chromosome:Pop_tri_v4:14:8698825:8703565:1 gene:Potri.014G129900.v4.1 transcript:Potri.014G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129900.v4.1 MSSRASRTLYVGNLPGDIREREVEDLFYKYGPIAHIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYDFDGHRLRVELAHGGRGHSSSDRHSSYSGSGGRGRGGVSRRSEYRVVVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDGSGTTGIVDYTNYEDMKYAIKKLDDSEFRNAFSRAYVRVREYDSKRDSSRSPSRGRSHSRGRSDSRGRSLSQSRSRSKSPKNKSTRRSPARSRSRSVSRSGSRARSLSRSRSRSRSPLPSKRTSKSPKKHSVSRSPRDSRSRSRSKSLSR >Potri.015G128300.1.v4.1 pep chromosome:Pop_tri_v4:15:13951810:13952867:-1 gene:Potri.015G128300.v4.1 transcript:Potri.015G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128300.v4.1 MAGSASKSFTLILLAMSFYFNSSSAARVTPQSSIDFIRTSCSTTIYPKLCYSSLSIHSSTIQTSPELLANAALNVTLSSAKSTSAKMSTLSQSHGLKPREVSAMEDCVEELTDAVYELKKSIDEMSHAKKSNFRMMISDVQTWVSAALTDESTCSDGFEGNAMNGNLKTAVRGKIVHTAQLTSNALALINNYAFRHG >Potri.003G057301.1.v4.1 pep chromosome:Pop_tri_v4:3:8416514:8419836:1 gene:Potri.003G057301.v4.1 transcript:Potri.003G057301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G057301.v4.1 MKRNSFVYIFKLLQLPVFYKQRDLLFYPTWAYALPTWILKIPITIIEVAVWVFITYYTMGFDPNVERLLAAVGRNLTVSSTMASFVFLMLFTNCGFVLSRENMKKWFIWGYWISPMMYGEKAMAVNEFLGKSWSRVLPFSTEPLGVVVLKSRGFFTEAYWYWIGVGALIGFTVVCNFAYTAALTCLDPLEKLQGVRLEESPGNKENDKAKRALELLSQVNHQNEAENQEEIRKRFNSCRSSSVMSEATTIGASQNKKRGMILPFEQNFITFDEITYSINMPQEMKDQGIREDKIVLLRGVSGAFKPSVLTALMGVTGAGKTTLMDVLAGRKTGGYIEGNITISGYPKSCSLRKLWSLWN >Potri.002G076001.4.v4.1 pep chromosome:Pop_tri_v4:2:5318089:5320246:1 gene:Potri.002G076001.v4.1 transcript:Potri.002G076001.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076001.v4.1 MDDEYEKLFRRLNPPRVVIDNEACKNAIVIREYYIKHIDGSPVKLEAERQRIIQCLEAAIERRVSENAPFKGQLAIGFSWCTNIQLVGNN >Potri.002G076001.1.v4.1 pep chromosome:Pop_tri_v4:2:5318089:5320246:1 gene:Potri.002G076001.v4.1 transcript:Potri.002G076001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076001.v4.1 MQNLTVNLSSPDFEPYISVNVLVVIDNEACKNAIVIREYYIKHIDGSPVKLEAERQRIIQCLEAAIERRVSEKFTRMLVQNAPFKGQLAIGFSWCTNIQLVGNN >Potri.002G076001.2.v4.1 pep chromosome:Pop_tri_v4:2:5318089:5320246:1 gene:Potri.002G076001.v4.1 transcript:Potri.002G076001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076001.v4.1 MDDEYEKLFRRLNPPRVVIDNEACKNAIVIREYYIKHIDGSPVKLEAERQRIIQCLEAAIERRVSEKFTRMLVQNAPFKGQLAIGFSWCTNIQLVGNN >Potri.002G076001.3.v4.1 pep chromosome:Pop_tri_v4:2:5318089:5320246:1 gene:Potri.002G076001.v4.1 transcript:Potri.002G076001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076001.v4.1 MQNLTVNLSSPDFEPYISVNVLVVIDNEACKNAIVIREYYIKHIDGSPVKLEAERQRIIQCLEAAIERRVSENAPFKGQLAIGFSWCTNIQLVGNN >Potri.005G111700.1.v4.1 pep chromosome:Pop_tri_v4:5:8096082:8102816:1 gene:Potri.005G111700.v4.1 transcript:Potri.005G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111700.v4.1 MAEEGDRRNYSSTASSNDCSPTIGTDTPIMATPLRKVCARILGFASSCRKNTAPYGRRIFYRDVEQDEFQYGNTHCLSSYYSVFVVRLAIMAMLAILIGLLTILTWHFTRSYTKKSLDTLASGLRYEILQRPILRMWNILNSTAEITAAQVKLSEYVIRRYSKPTNQAEQVELYEVMRDITWALFASRKALNAITINYRNGFVQAFHRDHRSNNTFYIYSDLVNYSINAKGPYDTNMFSSHQAWDDQSIHSNFSAIWYREPLDPISGEKKGKASPIPPDDLINIAGLSQVPDGVASWHVAVSKYTDSPLLQAALPVWDASNKSIVAVVGVTTSLYSVGQLMRELVEVHSGYIYLTSQEGYLLATSTNAPLLTNSTTRPNLIMAVDTEEPIIRMGARWLEKVYGNKLTPGQIVQVENAKLGNQQYYIDSFFLNLKRLPIVGVIIIPRRYIMGKVDERAFKTLVILISASLCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASNNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLIDMFSVQCINHNVEAVLDLSDDMPKLVRGDSARVVQIFANLISNSIKFTTTGHIILRGWCENFNTYNDARFHLDQKKMRCAPKPKLRQQGNHTKKAWKKDNKTILWFEIDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNKMGGEIKVVKKNGSGTLMRLYLLLNAAADGADLQCQVDFSSQNIVVLLALYGSMGRVIMSQWLHKIGLATLGVSDWNELTQVLRKLFHVRRRENGFDVNCSLNEPLKSEVRNIEDMKDPIFIIVVDIGLLDLSTDIWKEQINFVDKFSGKAKFAWMLNHDTSNAIKMELRKKGHLLMVNKPLYKAKMIHILETVIKEKDLENQKKSSNATRATTKDIDMHECLEIDSTHFDTASSEESDTAEMGKSNSPSIFHLREKQKETEKIATESQCQSFKKCLVELADVDAEAREDPCQIRPNLPSTQYGKDMLVCNKQAPFSTATQNESSKHEERISESSSHKEQGNSYSSKAGNQQKALDGLRILLAEDTPVLQRVATIMLEKMGAKVIAVGDGLQAVEALNCVLSEKDLKRESPGDDGERGLQTDIQESPPYDLILMDCQMPKMDGYEATKAIRKSEAGTGFHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTKRTT >Potri.005G111700.2.v4.1 pep chromosome:Pop_tri_v4:5:8096218:8102794:1 gene:Potri.005G111700.v4.1 transcript:Potri.005G111700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111700.v4.1 MAEEGDRRNYSSTASSNDCSPTIGTDTPIMATPLRKVCARILGFASSCRKNTAPYGRRIFYRDVEQDEFQYGNTHCLSSYYSVFVVRLAIMAMLAILIGLLTILTWHFTRSYTKKSLDTLASGLRYEILQRPILRMWNILNSTAEITAAQVKLSEYVIRRYSKPTNQAEQVEVLYEVMRDITWALFASRKALNAITINYRNGFVQAFHRDHRSNNTFYIYSDLVNYSINAKGPYDTNMFSSHQAWDDQSIHSNFSAIWYREPLDPISGEKKGKASPIPPDDLINIAGLSQVPDGVASWHVAVSKYTDSPLLQAALPVWDASNKSIVAVVGVTTSLYSVGQLMRELVEVHSGYIYLTSQEGYLLATSTNAPLLTNSTTRPNLIMAVDTEEPIIRMGARWLEKVYGNKLTPGQIVQVENAKLGNQQYYIDSFFLNLKRLPIVGVIIIPRRYIMGKVDERAFKTLVILISASLCILVIGCVCILILTNGVSKEMKLRAELISHLDARRRAEASNNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYATVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLIDMFSVQCINHNVEAVLDLSDDMPKLVRGDSARVVQIFANLISNSIKFTTTGHIILRGWCENFNTYNDARFHLDQKKMRCAPKPKLRQQGNHTKKAWKKDNKTILWFEIDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNKMGGEIKVVKKNGSGTLMRLYLLLNAAADGADLQCQVDFSSQNIVVLLALYGSMGRVIMSQWLHKIGLATLGVSDWNELTQVLRKLFHVRRRENGFDVNCSLNEPLKSEVRNIEDMKDPIFIIVVDIGLLDLSTDIWKEQINFVDKFSGKAKFAWMLNHDTSNAIKMELRKKGHLLMVNKPLYKAKMIHILETVIKEKDLENQKKSSNATRATTKDIDMHECLEIDSTHFDTASSEESDTAEMGKSNSPSIFHLREKQKETEKIATESQCQSFKKCLVELADVDAEAREDPCQIRPNLPSTQYGKDMLVCNKQAPFSTATQNESSKHEERISESSSHKEQGNSYSSKAGNQQKALDGLRILLAEDTPVLQRVATIMLEKMGAKVIAVGDGLQAVEALNCVLSEKDLKRESPGDDGERGLQTDIQESPPYDLILMDCQMPKMDGYEATKAIRKSEAGTGFHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTKRTT >Potri.002G059400.1.v4.1 pep chromosome:Pop_tri_v4:2:4101703:4102760:1 gene:Potri.002G059400.v4.1 transcript:Potri.002G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059400.v4.1 MAGAADLTFPSLGSERIMDRSQGLEKVNNHRPSIEAILMTPKLPKAVPPVSSAPEGETMIRRPRGRPAGSKNKPKPPIIVTRDSANALRAHAMEVSSGCDVCESLANFARRKQRGISVLSGSGCVTNVTLRQPTSSGAIVTLHGRFEILSLLGSVLPPPAPQGITGLTIYLAGAQGQVVGGGVVGALIASGPVVIMAASFMKATFDRLPLDDDEITAAVQNQHYQNGRHHHLDISDLYGMPQNLLTNGAVTPEIYSWTPGRTMSKS >Potri.015G064600.6.v4.1 pep chromosome:Pop_tri_v4:15:9016501:9026176:1 gene:Potri.015G064600.v4.1 transcript:Potri.015G064600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064600.v4.1 MDHQWRPIPPPHPTSICPICSFSHFPFCPPPPPPPPPPPQYNQSPRFPPPPPPPQPEHPYHSGPPNPYMVVNPNQGWQWHREDGYGHPNPMYDYYRNSAASCINGEADRSYKRPRVDGSIGSGMFGYENNQSPANFFSDDERRLKLIREHGNTTSLYSPFPPTHDDMNNNNWKNQPMPNSAEMRRQQLPIESVYHENNRAFGGHPPPPPPPPPPTSPPPPLPMEPPLLPSPPKSSSALFPVPINSAATNPYLHNNSSGFVSEEWKQSSGKTFLHKKLSPERPIVVDASHLFKMPHRATRPNHIVIILRGLPGSGKSYLAKTMRDLEVENGGNVPRIHSMDDYFMTEVEKVEDGDASKSSSSTRGKKPIVKKVVMEYCYEPEMEEAYRESLLKAFKKTLEEGTFTLVIGMFFVLETI >Potri.015G064600.4.v4.1 pep chromosome:Pop_tri_v4:15:9016501:9026178:1 gene:Potri.015G064600.v4.1 transcript:Potri.015G064600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064600.v4.1 MDHQWRPIPPPHPTSICPICSFSHFPFCPPPPPPPPPPPQYNQSPRFPPPPPPPQPEHPYHSGPPNPYMVVNPNQGWQWHREDGYGHPNPMYDYYRNSAASCINGEADRSYKRPRVDGSIGSGMFGYENNQSPANFFSDDERRLKLIREHGNTTSLYSPFPPTHDDMNNNNWKNQPMPNSAEMRRQQLPIESVYHENNRAFGGHPPPPPPPPPPTSPPPPLPMEPPLLPSPPKSSSALFPVPINSAATNPYLHNNSSGFVSEEWKQSSGKTFLHKKLSPERPIVVDASHLFKMPHRATRPNHIVIILRGLPGSGKSYLAKTMRDLEVENGGNVPRIHSMDDYFMTEVEKVEDGDASKSSSSTRGKKPIVKKVVMEYCYEPEMEEAYRESLLKAFKKTLEEGTFTLVIVDDRNLRVADFAQFWAIAKRTGYEVYISEATYKDPVGCAARNVHGFTIDEIQTMARRWEEAPLIYTQLDIKSLFCGDDLKKNGIQEVDMDTEDGDFGNPSNLQEGKPEKTIVPPIEDAPHVPAKDSKRWDAEEDHPSRLKELGKSKWSDDLDEADTQGSESMRTNNALSGLVQAYQKQRKSVHWSDQVGDTGFSIVAAKKANMLSLVIGPGAGYNLKSNPLSEEERPTSTAGVGKSKQSIFQERLRVEHESFKAVFDRRKQRIGGLDSEE >Potri.015G064600.5.v4.1 pep chromosome:Pop_tri_v4:15:9016501:9026141:1 gene:Potri.015G064600.v4.1 transcript:Potri.015G064600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064600.v4.1 MDHQWRPIPPPHPTSICPICSFSHFPFCPPPPPPPPPPPQYNQSPRFPPPPPPPQPEHPYHSGPPNPYMVVNPNQGWQWHREDGYGHPNPMYDYYRNSAASCINGEADRSYKRPRVDGSIGSGMFGYENNQSPANFFSDDERRLKLIREHGNTTSLYSPFPPTHDDMNNNNWKNQPMPNSAEMRRQQLPIESVYHENNRAFGGHPPPPPPPPPPTSPPPPLPMEPPLLPSPPKSSSALFPVPINSAATNPYLHNNSSGFVSEEWKQSSGKTFLHKKLSPERPIVVDASHLFKMPHRATRPNHIVIILRGLPGSGKSYLAKTMRDLEVENGGNVPRIHSMDDYFMTEVEKVEDGDASKSSSSTRGKKPIVKKVVMEYCYEPEMEEAYRESLLKAFKKTLEEGTFTLVIVDDRNLRVADFAQFWAIAKRTGYEVYISEATYKDPVGCAARNVHGFTIDEIQTMARRWEEAPLIYTQLDIKSLFCGDDLKKNGIQEVDMDTEDGDFGNPSNLQEGKPEKTIVPPIEDAPHDSKRWDAEEDHPSRLKELGKSKWSDDLDEADTQGSESMRTNNALSGLVQAYQKQRKSVHWSDQVGDTGFSIVAAKKANMLSLVIGPGAGYNLKSNPLSEEERPTSTAGVGKSKQSIFQERLRVEHESFKAVFDRRKQRIGGLDSEE >Potri.005G050900.1.v4.1 pep chromosome:Pop_tri_v4:5:3220807:3221961:1 gene:Potri.005G050900.v4.1 transcript:Potri.005G050900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050900.v4.1 MRDFPSCFGENGVQIADSSSSNTSKNAQNLVTCVYQCRIRGRSCLITITWSKNLMGQGLSVGIDDSANQCLCKVDIKPWLFSKRKGSKSLEAYSCKIDIYWDLSSAKFGSGPEPFEGFYVAVVVDRQMVLILGDMRKEAFKKTGATPIPSNAVFVAKREHVFGKKVFCTKARFCDNGQIHDLVIECDTIGVSDPCLLVRVDCKTVMQVKRLRWKFRGNHTILVDGLAIEVFWDVHNWLFGASVGNAVFMFKTCTSAEKLWSSQPLSDPNVLPWSFSQRFLDSKSQNLGFSLILYAWKHE >Potri.008G084100.1.v4.1 pep chromosome:Pop_tri_v4:8:5257429:5266034:1 gene:Potri.008G084100.v4.1 transcript:Potri.008G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084100.v4.1 MAASFYYWNDCVDVQDMEAMWREPEVSTEWLDAGETKGNKVHLSRDPDGEPYLTQTEMKAVADIIVRRHFDSQVHPDMICAIAELASDRQPLSTRWYDKKTKETALGIMQILPKTAEWLVRDLGYRAYEVEGNPEMLCRPFVSVYFGAAYLKWLSNFEKKVRSEEFIVRAYDGGPKKATHKSTLPYWKRYLCVKESLPSRRFVSPSVNSACSSTAPAAPSSQDTGFVYIYWDSKASLEDMQEMWSHSEVAEEWTNSGEKRGKVRFSHDQNKRPYLSRVEMKAVADIILSKHFSTRGVKPSVLCALAEMVSMRFVNGVGPRTGLMGIDYSTAFWLYMELGYRAYRVDSVDDMTKPFVSVYFGAAYLAWLSEYEGRERTPQFVVPAYLSGPKNVNRQESGPLWLKFEQALSNYEDIKRDPGNCTIL >Potri.009G026200.8.v4.1 pep chromosome:Pop_tri_v4:9:3762882:3770576:1 gene:Potri.009G026200.v4.1 transcript:Potri.009G026200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026200.v4.1 MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRLPYDKKKIVGTAGDCERLVAEINMERKKSHKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQHREYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFILDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGAPSSPLWRSGSMLPPPADDYCNNSSASAAKPVVKNASDNIMSNDQGSPPTGSTGRSMALPAAASWGTRASNQPQATSLTQSNGPIRLKPDTASGMVAFSSAVASTSQGSMLQNDVGRKVACDEESQSMNGKGKLDLKSAKHHVSLDSQATAPEKPVINDATATVTLSNQSSCPLPSMYNGWDSDVLPNITKSFDGTQQSLESEKEGFAIPNGNFQNSLPDISSMKVDSNIRSELSGVTRTSSFSDGSMIKSPGNQGLQPTYAEQYCEPTPLAAERTGALEYVVSASSEQLDWRTDQPMHAIRSTVSEVEEDILAVERLRLKDPEEASHDTYLPNSAHLPHIPNHYRSSSLQHTESFGAASLNSDSQYADTRVSDLSLLHSSSNCVTSNGYPEKLVSSSACSDRNIERSFSLLNGVEGKNMGRSLGDADSNGALDVGESSIISNILSLDLDAWDNSLTSPQNLAKLLSETDKQPSSLKMSSNWKVQNNNNQSRFSFARQEELRSQTLGVDPSLNVFGKLSNNYSSNQDFGENRNSYSEKLGIGNSFSSSIFEEPENFTFSPSTFSSNRPPISRSQISAPPGFSVPSRAPPPGFSSHERMEQSFDIISGNHLLDSSSFLRNSFQSPPTGNAGSAGEIEFMDPAILAVGKGRLQGGLNNLGVDMRSNFPQQLHHFDNEARLQLLMQRSLPPHQNTRYADTGDSFSSLNDSFGISSRLVDQSQISGLSPYAQLSLQQSRNGLMPNGRWDGWNEVQGGNSLRMTELLRNERVGFNKFYTSGYEDSKFRMPSSDDLYNRTFEM >Potri.009G026200.2.v4.1 pep chromosome:Pop_tri_v4:9:3762868:3771363:1 gene:Potri.009G026200.v4.1 transcript:Potri.009G026200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026200.v4.1 MSDEGERTCPLCAEEMDLTDQQLKPCKCGYEICVWCWHHIMDMAEKDDTEGRCPACRLPYDKKKIVGTAGDCERLVAEINMERKKSHKAKTKPSEGRKQLSSVRVIQRNLVYIVGLPLNLADEDLLQHREYFGQYGKVLKVSMSRTAAGVIQQFPNNTCSVYITYSKEEEAIRCIQSVHGFILDGRSLKACFGTTKYCHAWLRNVPCTNPDCLYLHEIGSQEDSFTKDEIISAYTRSRVQQITGAPSSPLWRSGSMLPPPADDYCNNSSASAAKPVVKNASDNIMSNDQGSPPTGSTGRSMALPAAASWGTRASNQPQATSLTQSNGPIRLKPDTASGMVAFSSAVASTSQGSMLQNDVGRKVACDEESQSMNGKGKLDLKSAKHHVSLDSQATAPEKPVINDATATVTLSNQSSCPLPSMYNGWDSDVLPNITKSFDGTQQSLESEKEGFAIPNGNFQNSLPDISSMKVDSNIRSELSGVTRTSSFSDGSMIKSPGNQGLQPTYAEQYCEPTPLAAERTGALEYVVSASSEQLDWRTDQPMHAIRSTVSEVEEDILAVERLRLKDPEEASHDTYLPNSAHLPHIPNHYRSSSLQHTESFGAASLNSDSQYADTRVSDLSLLHSSSNCVTSNGYPEKLVSSSACSDRNIERSFSLLNGVEGKNMGRSLGDADSNGALDVGESSIISNILSLDLDAWDNSLTSPQNLAKLLSETDKQPSSLKMSSNWKVQNNNNQSRFSFARQEELRSQTLGVDPSLNVFGKLSNNYSSNQDFGENRNSYSEKLGIGNSFSSSIFEEPENFTFSPSTFSSNRPPISRSQISAPPGFSVPSRAPPPGFSSHERMEQSFDIISGNHLLDSSSFLRNSFQSPPTGNAGSAGEIEFMDPAILAVGKGRLQGGLNNLGVDMRSNFPQQLHHFDNEARLQLLMQRSLPPHQNTRYADTGDSFSSLNDSFGISSRLVDQSQISGLSPYAQLSLQQSRNGLMPNGRWDGWNEVQGGNSLRMTELLRNERVGFNKFYTSGYEDSKFRMPSSDDLYNRTFEM >Potri.001G352100.2.v4.1 pep chromosome:Pop_tri_v4:1:36550779:36553835:-1 gene:Potri.001G352100.v4.1 transcript:Potri.001G352100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352100.v4.1 MGEAQEVQLHIMDQEAKDQTNLPQYTSITDQCSTAPQTRNYRRWIRMSIYTVFLLVGQSVAMLLGRLYFVKGGNSKWMATLVQLAGFPVLIPFYLISTNSKPSTNDSQIKSPSVTTLALIYVSIGLLVAAGCYLYTIGLQYLPVSTFTLICASQLAFNSVFSFFLNAQKFTPFIINSLVLLTISSILLVFNNESSDGTSGVSKAKYAIGFTCTVAASAGFGLVLSLTQFCFNKVIRRQTFKVVLDMTIYQQIVATSVIVVGLFASGDWKGLTREMDGYKMGKVSYVMNLVGTAISWQVFAIGCVGLIFDVSSLFSNAVSVLGLPIVPVVAVFVFNDKMGGVKAISMVLAIWGFISYAYHHYLDDRNCKDEKRNADEVSKAPMLES >Potri.017G067804.1.v4.1 pep chromosome:Pop_tri_v4:17:5586931:5589796:1 gene:Potri.017G067804.v4.1 transcript:Potri.017G067804.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067804.v4.1 MATSSLSTVQSSINHKPLRLSPQRVSPKLDFIRIPQNHKIYCGYSCFEVKDVSYRPPGTELNLLNKVTFSLPERSFGLIFGQSGSGKTTLLQLLSGISKPTSGSICVQRYGNDGNPNQLPEQLSPEKVGIVFQFPERYFVADTIADEVTFGWPRRKSSVQLREHLALNLQRAVNWVGLNGISLDKDPHSLSGGYKRRLALAIQLVEVPDLLILDEPLAGLDWKARADVVKLLKHLKKELTILVVSHDLKELAALVDHSWRMEMGGFLREELLPV >Potri.018G106300.1.v4.1 pep chromosome:Pop_tri_v4:18:12524886:12525110:-1 gene:Potri.018G106300.v4.1 transcript:Potri.018G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106300.v4.1 MAGSDQAAQHSTEVLHHRRKLSLCPMKMAIGGVALVATLGYFVLYSKKQPEASALDVSKVTAGIADPANTHTRN >Potri.010G246000.1.v4.1 pep chromosome:Pop_tri_v4:10:22101204:22110472:1 gene:Potri.010G246000.v4.1 transcript:Potri.010G246000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246000.v4.1 MQNSKLMLRSFLLGSCCTSTKKKSVSNTIFSNKKNNHLFGLPLFLLNSNETSFLVAKRRGFCGYAVEQFSDDEYECDFESHKASSSVANVDEWKWKLSLLLRSETDQEIVSKDRKDRRDYEQISNLTRRMGLYSELYGKVVVASKVPLPNYRSDLDDKRPQREVVIPLSLQRRVEGLLQEHLDRAQLKAENVGGSADDAKSINQTGDISLDENKDSFLDRSVMERVLQRRSLRMRNMQRAWQESPEGRKMMDFRKSLPAFKEKERLLQAIAKNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMSVADRVSAERGEPLGEAVGYKVRLEGVKGKNTHLLFCTSGILLRRLLSDHNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPAIHIPGFTYPVRTQFLEDVLEMTGYKLTSFNQIDDYGQEKMWKTQRQLVPRKRKNQITTLVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISSLRDQLKAHPLLGDPNRVLLVTCHGSMATSEQKLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRKGRAGRVQPGECYHLYPRCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPESLAVQNAIGFLKMIGALDEKENLTNLGKYLTMLPVDPKLGKMLIMGAIFHCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKEAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILKDTGLVEEDASNNNKLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVFLYANSVNARYETIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALACGVQAGHLKMLDGYIDFFMDHNLAECFLKLKEELDKLLQKKLQDPNLDILKEGKYLMLAVQELVSGDQCEGRFVFGRESRKPKIINDNDRFTEDGANPKSLLQTLLMRSGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKQLAEGDAAIEALAWLTHTSNNNQNEHDDSQPDVTDNMLKVLGKRRRSKRHSG >Potri.010G246000.4.v4.1 pep chromosome:Pop_tri_v4:10:22103506:22110473:1 gene:Potri.010G246000.v4.1 transcript:Potri.010G246000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246000.v4.1 MNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPAIHIPGFTYPVRTQFLEDVLEMTGYKLTSFNQIDDYGQEKMWKTQRQLVPRKRKNQITTLVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISSLRDQLKAHPLLGDPNRVLLVTCHGSMATSEQKLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRKGRAGRVQPGECYHLYPRCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPESLAVQNAIGFLKMIGALDEKENLTNLGKYLTMLPVDPKLGKMLIMGAIFHCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKEAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILKDTGLVEEDASNNNKLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVFLYANSVNARYETIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALACGVQAGHLKMLDGYIDFFMDHNLAECFLKLKEELDKLLQKKLQDPNLDILKEGKYLMLAVQELVSGDQCEGRFVFGRESRKPKIINDNDRFTEDGANPKSLLQTLLMRSGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKQLAEGDAAIEALAWLTHTSNNNQNEHDDSQPDVTDNMLKVLGKRRRSKRHSG >Potri.010G246000.3.v4.1 pep chromosome:Pop_tri_v4:10:22102318:22110334:1 gene:Potri.010G246000.v4.1 transcript:Potri.010G246000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246000.v4.1 MERVLQRRSLRMRNMQRAWQESPEGRKMMDFRKSLPAFKEKERLLQAIAKNQVIVISGETGCGKTTQLPQYILESEIESGRGAFCSIICTQPRRISAMSVADRVSAERGEPLGEAVGYKVRLEGVKGKNTHLLFCTSGILLRRLLSDHNLNGITHVFVDEIHERGMNEDFLLIVLKDLLPRRQDLRLILMSATLNAELFSNYFGGAPAIHIPGFTYPVRTQFLEDVLEMTGYKLTSFNQIDDYGQEKMWKTQRQLVPRKRKNQITTLVEDALNKSSFENYSSRARDSLACWMPDCIGFNLIEAVLCHICRKERPGAVLVFMTGWEDISSLRDQLKAHPLLGDPNRVLLVTCHGSMATSEQKLIFEKPPPNVRKIVLATNMAEASITINDIVFVVDCGKAKETTYDALNNTPCLLPSWISKASARQRKGRAGRVQPGECYHLYPRCVYEAFAEYQLPELLRTPLNSLCLQIKSLQVGSIGEFLSAALQPPESLAVQNAIGFLKMIGALDEKENLTNLGKYLTMLPVDPKLGKMLIMGAIFHCFDPVLTIVSGLSVRDPFLLPQDKKDLAGTAKSRFSAKDYSDHMALVRAYEGWKEAEREGSAYEYCWRNFLSAQTLQAIHSLRKQFNFILKDTGLVEEDASNNNKLSHNQSLVRAIICSGLYPGIASVVHRETSMSFKTMDDGQVFLYANSVNARYETIPYPWLVFGEKVKVNTVFIRDSTGVSDSILILFGGALACGVQAGHLKMLDGYIDFFMDHNLAECFLKLKEELDKLLQKKLQDPNLDILKEGKYLMLAVQELVSGDQCEGRFVFGRESRKPKIINDNDRFTEDGANPKSLLQTLLMRSGHSPPKYKTKHLKTNEFRALVEFKGMQFVGKPKRNKQLAEGDAAIEALAWLTHTSNNNQNEHDDSQPDVTDNMLKVLGKRRRSKRHSG >Potri.003G158800.2.v4.1 pep chromosome:Pop_tri_v4:3:16935324:16940471:1 gene:Potri.003G158800.v4.1 transcript:Potri.003G158800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158800.v4.1 MVRLTADLIWKSPHFFNAIKERELDLRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENMPYLNRLGTLIINNNRITRINPNIGEYLPKLHTLVLTNNRLVNLAEIDPLSSLPKLQFLSLLDNTITKKPNYRLYVIHKLKSLRVLDFKKVKAKERAEAEHLFSSKEVEEEAKRESVKTFTPGEIPNIPEVAEEQQAPKVVAPTPEQIIAIKAAIVNSQTLEEVARLEKALNSGQLPADLKILDDTGSNSVKEQDDKMATDSQNEAEPNNLEEQKDNEATPMEQE >Potri.006G206400.1.v4.1 pep chromosome:Pop_tri_v4:6:21451453:21452708:-1 gene:Potri.006G206400.v4.1 transcript:Potri.006G206400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G206400.v4.1 MSSITSATPITKRLEGKVALITGGASGIGACTARLFVQHGAKVLIADIQDDLGHSFCQAFGPQETISYVHCNVTCDSDVQNAVDTAVSKYGKLDIMFNNAGIPGDRKSGILTCDNENFKRVLDVNVYGGFLGAKHAARVMIPAKKGCILFTSSVASVLYGELAHAYTASKNAIVGLAKNLSVELGQYGIRVNSISPYAVATPLLTDGLSMTKEAAEELVASAATLKDVVLEPEDVSQAALYLASEESKYVSGVNLVIDGGYNLTNPSFSMALKANFSKF >Potri.008G180000.1.v4.1 pep chromosome:Pop_tri_v4:8:12427705:12438023:1 gene:Potri.008G180000.v4.1 transcript:Potri.008G180000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180000.v4.1 MIKQILGRLPKKPSKSSENREFGGPSVAPSSTSSASKSTSDFQSNRPGTLNNSSPPGPDSAPHLGYSHGMKPTQVVNPKLNGSSVPAPYEALPGFRDVPNSEKQNLFIRKLNLCCVVFDFTDPTKNLKEKDIKRQTLLELVDYVTSANGKFTETVMQEVIKMVSVNLFRPLTPHPRENKLSEAFDLEEEEPMMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHAFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPRCLPMYHQQLSYSITQFVEKDCKLTDTVIRGLLKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQVAHCLSSSHFQVAERALYLWNNDHIENLIRQNSKAILPIIFPALEKNGRNHWNQVVQSLTVNVRKIFADTDPELFEECLRQFQEKEAKAEEFKTKQEATWKRLEEIAATKAASSEAVLVPHAIPTLTSSS >Potri.008G180000.4.v4.1 pep chromosome:Pop_tri_v4:8:12427866:12438425:1 gene:Potri.008G180000.v4.1 transcript:Potri.008G180000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180000.v4.1 MIKQILGRLPKKPSKSSENREFGGPSVAPSSTSSASKSTSDFQSNRPGTLNNSSPPGPDSAPHLGYSHGMKPTQVVNPKLNGSSVPAPYEALPGFRDVPNSEKQNLFIRKLNLCCVVFDFTDPTKNLKEKDIKRQTLLELVDYVTSANGKFTETVMQEVIKMVSVNLFRPLTPHPRENKLSEAFDLEEEEPMMDPAWPHLQIVYEFFLRFVASPETDAKLAKRYVDHAFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFFRFIFETEKHNGIAELLEVLGSIINGFALPLKEEHKLFLVRALIPLHKPRCLPMYHQQLSYSITQFVEKDCKLTDTVIRGLLKYWPITNSSKEVMFLSELEEVLEATQPAEFQRCMVPLFRQVAHCLSSSHFQVAERALYLWNNDHIENLIRQNSKAILPIIFPALEKNGRNHWNQVVQSLTVNVRKIFADTDPELFEECLRQFQEKEAKAEEFKTKQEATWKRLEEIAATKAASSEAVLVPHAIPTLTSSS >Potri.003G207852.1.v4.1 pep chromosome:Pop_tri_v4:3:20684264:20684674:1 gene:Potri.003G207852.v4.1 transcript:Potri.003G207852.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207852.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.013G125200.1.v4.1 pep chromosome:Pop_tri_v4:13:13311812:13314272:-1 gene:Potri.013G125200.v4.1 transcript:Potri.013G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125200.v4.1 MVLLQLDPFLNELTTLYQNSTDKGSIWVTLKRSSMKSKVQRNKMTTNGEPIEYRCLIRATDGKKTISTSVGARDHQRFQASYATILKAHMTALKKRERKDKKKAAEGDKKEGDVKKKPKRV >Potri.014G104800.1.v4.1 pep chromosome:Pop_tri_v4:14:7020431:7022901:-1 gene:Potri.014G104800.v4.1 transcript:Potri.014G104800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104800.v4.1 MTENMSISVNGQSQVPPGFRFHPTEEELLHYYLRKKVSYEKIDLDVIRDVDLNKLEPWDIQERCKIGTTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKVIYSTGKRIGMRKTLVFYKGRAPHGQKSDWIMHEYRLDDSTSDTNVSNVMEEEAAQEEGWVVCRIFKKKNLNKTLDKPFSSSPISADTRNQMLSSCDEGTIDQTFHYMGRTCKEENVADNSATARYLRPVDTAINYVHHDGFMKLPSLESPNSISSQNCYQPMITDNEGSITNQMSYPLDPGLDNWATLDRLFAYQLNGQTETSRQLPCIDPTITYCTPSTDLHHDLRLPTLRSSFPLPSNRSYHGTQDYNNEIDLWNFTTRSSPDTLCQLSNTGA >Potri.014G005701.1.v4.1 pep chromosome:Pop_tri_v4:14:500593:502949:-1 gene:Potri.014G005701.v4.1 transcript:Potri.014G005701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005701.v4.1 MLDLVEISLNQYCIQYRRLDGTLTLSSRDRAVKDLNTDPKVTVMLTSLKAGNLGLNMIAACHEILLDLWWNPTTKDQAIDRAHRIAQTRPVTVT >Potri.010G245400.1.v4.1 pep chromosome:Pop_tri_v4:10:22086373:22087539:-1 gene:Potri.010G245400.v4.1 transcript:Potri.010G245400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245400.v4.1 MDSQIKHAVVVKIMGRTGSRGQVTQVRVKFIDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >Potri.006G205000.1.v4.1 pep chromosome:Pop_tri_v4:6:21335678:21337119:1 gene:Potri.006G205000.v4.1 transcript:Potri.006G205000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205000.v4.1 MQDTLLHFLSNNTSNKSSPFPFPKPHNFPSSSFHDYFSPLSCPRNLHFPSLSFKTNTISLPLKPLKTPEIPSISSHHTPPPPSNSEFQEKMLYLDSIGLDIFSLINNHRPIILTASLPNIKSIIDLLTSKNFTPREFRRIISMCPEILNSTPSTITPIITFLLREARVSGSDLKHVINRRPRLLVSSVKHCLRPALYFLKNIGLEEVKRHTYLLSCSVETKLLPRIQYFEKIGFSHEDAVSIFRRFPQLFNFSIKNNIEPKLNYFVVEMGRDLKELKEFPQYFSFSLENRIKPRHQCCVEKGLYFPLHTLLKTREAQFVSRIDACCNSSVPLRSSPLYSVNCDIDSSTSTE >Potri.008G142960.1.v4.1 pep chromosome:Pop_tri_v4:8:9705608:9719345:1 gene:Potri.008G142960.v4.1 transcript:Potri.008G142960.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142960.v4.1 MMERSESSSKMMKKKEITNDHGFVDTIFSWSLEDIFNENLFKVENIPESYYSVEHYLGSYVIPLLEETRAQLSSSMDIISRAPFAEMVAFFEAKPQGTLLYDVNIDYWRNRFRGSGNEHYKTLPGDIVILTSAKPENVSDLQRVGWTWTFAVVTSITGDETEDAATYTSFTVKAQKDIEISDGLQKSLTVISLTNITTSKRIWNALHMFGNLNIIKEILCTDSVVEENCNQYSMWERAIYDENVVNLSSKLNESQSKAVLACLLKKQRNHKSAVELIWGPPGTGKTKTVSMLLFSLLKMKCRTLTCGPTNVSITEVASRVLKLVTESHEADSGTDSLFHSVGEILLFGNKDRLKVDSETQEVYLDYRVKRLIECFAPLTGWRNCFNSTIDFFEDCVSQYAIFVENELIKMQEHDDENEEKRESCSYQAVALKGELKTFLEFMRDRFRSTVLPLKRCLTLLCTHIPETCILKHNIQNIVYLFGSLNSFESWLFPEGVISDALLEVFSHPGLDEDSFQGFNDILLRLRLKRSECLTMLKRVRDSLNHLDLPSAMNKRSIEEFCFQKATLFLCTASSSYKLHLLPIEPLDFLVVDEAAQLKECESTIPLQLPGIRHAILIGDECQLPAMVKSNVCDKAGFGRSLFERLSSLGHSKHLLDMQYRMHPSISCFPNSKFYFNQILDAPNVKARSYEKHYLPGPMFGPYTFINVFGGREELDDVGHSRKNMVEVALVLKLLRSLCKAWSGQKVRVGVISPYTAQVGVIQEKLGKKYENIDGFSVKVSSIDGFQGAEEDIVIISTVRSNTGGAIGFMSDPRRINVALTRARHCLWILANERTLSNSESIWEKLVHDAKERNCFFNADEDKDLAKAILEVKKEFDQLDDLIKGDSALFRSARWKVLFSEYFKKSFGKLASVRKKTPVLNLLLKLSSGWRPKKRSVDFICGSSSQILKQFKVEGLYVICSIDIVKEICYKQVLRVWDLLPLEDIPILAKRLEGIFATYTDDFISHCNEKCLEGDLEVPKIWTTSFDIPRYKSCSKNEKRSNSNPDGAYYVENSKVSDSLLLMKFYPLSPGVASHLLSDRDGRELELPFEVTDDELEIIIFQRSTFILGRSGTGKTTVLTMKLFKKEELYYTATQGYLNTSKDSSRRNNVADDIKSVGDGVGDAKETVLRQLFVTVSPKLCYAIKHHVIQLKSVVSGEKYSAEWSSVDMEDIDDAAQFKDIPNSFLDIPPKSYPLVITFFKFLMMLDGTVGNSYFERFSDMRQLLHEKVGNSGSISAQTLKRTKEVNFEKFCAVYWPRFNEKLKKKLDSSRVFTEIISHIKGGLRAGESCDGRLSREDYVILSEGRISTLNKQQRDLIYDIFEGYEKMKAKNGDFDMADFVNDLHLRLKTYKYEGDVMDFVYIDEVQDLTMRQIAIFKYICRNVDEGFVFCGDTAQTIARGIDFRFEDIRSLFYKEFVLASRSAGNDRREKGQISKIFHLNQNFRTHAGVLNLAQSVIDLLYRFFPSFIDVLSHETSLVYGEAPILLESGNDENAIVTIFGNSGNVRSNFVGFGAEQVILVRDDAARKEIDNYVGKHALVLTVVECKGLEFQDVLLYNFFGSSPLKNKWRVVYEFMKEQDLLDATSPSCPSFIPAKHNVLCSELKQLYVAITRTRQRLWICENVEEFSRPMFDYWMKKGLVQVRKLDDSLAQAMQVSSSPEEWKSQGYKLLSEGNYEMAAMCFERARDERGEKLSKAAGLKAAADRMHSSNPEMASVARRQAAEIFESIGKAEYAAECFYMLKEYDRAGRIYLQCGESAMERAGECFFLAENYCSAAEVYAKGCNFSKCLSACTKGKLFDTGLHYIQYWKQQGTADQRSREMDTIEQEFLESCACHYYELNDNRAMMTYVRAFDSMSSARTFLTNLGCLDELFSLEVESGNFLEAAGIAKQKGELVLEADLLGKGGHFKEASLLILWFVFANSLWSTGSKGWPLKQFLQKEELLAKAKLLAKDVSDQFYEFVHTEAEILLNSQHNLFKIHQSLESSRRHISIRGEILLARKILDMHLHLNTSKYWWENDLVSDLEKLSERNFLNNQVSAETLVYFWNFWKDKIVNIFKFLGRLERQDVTEYGDFGEFCLNYLGVKRQFNNLNTIYFLMISDAQWVRDIPRKFIQRKGNLVSVDVHQFVTAAQGYWCLELLSVGMNVLTNLEALYNLSVRNSLSLFCQSRSLTHIYEVANFLLNCQFLSIEHGDIRALRKFTRLATGCFYDCIYPRDWRESLKENMISLRRTEICRNLLKEVIFEDVSSKNNLSYAQLENNLSYAQLGRIASMILGSGEMLCEPYEKMADGLQWNSSWKAFIEDLCRNVSEVSYMWKLHEALVDTYNANWRKVDYILPGCFLYMLERQLILLSYFQGYCFTTKSSFVEWLIYQEGHGSPTFESWTGLAPQSTESILKFVVDTVQLLLYNEKDMMEWIRVSEKNVKVLNDYHAVVVLRLVVIICLIYVNFGWCEGLLSDLLGRTYITKKLPSQFYDAIRKRQKHNSLNVNPTVVAEAFSKIGNPLVVVSFGKNCSGFLCPDAIFVDMKVNESKDNVLRVLFAKTDATAQHHTGAVEANTRSSFKGIVSQGIEDLGKINELPSNVGDTANWNSSCGKKDEGNPPLSHDRLWEIFEALKSPTHGVDERSKIACDPTFKVDIDRISCLVKAAIDENFQNPPGVDNKNLLEEASTMLHEMGQLNAALEMREPEHESDISTIGELLEKLLSRRPRMEFFLSQIFLQHDENLKKEMSERNIASDGQRDEECNNSKAEGSCVSAKVEINITQNNVETGNSNPDI >Potri.001G280600.2.v4.1 pep chromosome:Pop_tri_v4:1:29419562:29427149:-1 gene:Potri.001G280600.v4.1 transcript:Potri.001G280600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280600.v4.1 MQNHKILLSLPHLNFNFSKPKIKKISPSQTLYKLPKPNPNPNPPPPPPDSILPHWAKRLLYFMLPIKRPVEAVLVEGEEAPDNSISDSFSFKKNRIDCSLESNVSSNKNSCVIGNCSDTDRDSNNRCKEELIMSPGDSKPMEIDEDLHSRQLAVYGRETMRRLFGSNVLVSGMHGLGVEIAKNLILAGVKSVTLHDEGILELWDLSSNFVFSENDVGKNRALASVQKLQDLNNAVTISTLITELTTEQLDKFQAVVFTDLNLDKAIEFNDYCHNHKPPISFIKAEVRGLFGSVFCDFGPEFTVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVKGMTEMNDGKPRKIKNSRPYSFNLEEDTTNFATYEKGGIVTQVKQPKVLNFKPLREAIKDPGEFLLSDFSKFDRPPLLHLAFQALDKFVSEMGRFPAAGSEEDAQKLISLASHINENSGDARVEDINPKLLRHFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPRDFKPRNSRYDAQISVFGSKLQKKLEDANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTLTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVSPESENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMIIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPTEVNAYLSNPVDYTNAMTKAGDAQSRDTLERVLECLEKEKCETFQDCITWARLRFEDYFADRVKQLIFTFPEDASTSTGAPFWSAPKRFPHPLQFSTADPSHLHFVMAASILRAETFGISVPEWVKHPKTLAEAVEKVIVPEFQPREDVKIETDEKATTISTVSVDDAAVINELIRKLEQCRHKLPPAYRMTPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWILKDNPTLRELLQWLKHKGLDAYSISHGSCLLYNSMFPRHRDRMDRKMVDLVREVAKAELPAYRHHFDVVVACEDDEGNDVDIPPVSVYFS >Potri.001G280600.1.v4.1 pep chromosome:Pop_tri_v4:1:29419629:29426836:-1 gene:Potri.001G280600.v4.1 transcript:Potri.001G280600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280600.v4.1 MLPIKRPVEAVLVEGEEAPDNSISDSFSFKKNRIDCSLESNVSSNKNSCVIGNCSDTDRDSNNRCKEELIMSPGDSKPMEIDEDLHSRQLAVYGRETMRRLFGSNVLVSGMHGLGVEIAKNLILAGVKSVTLHDEGILELWDLSSNFVFSENDVGKNRALASVQKLQDLNNAVTISTLITELTTEQLDKFQAVVFTDLNLDKAIEFNDYCHNHKPPISFIKAEVRGLFGSVFCDFGPEFTVFDVDGEEPHTGIIASISNDNPALVSCVDDERLEFQDGDLVVFSEVKGMTEMNDGKPRKIKNSRPYSFNLEEDTTNFATYEKGGIVTQVKQPKVLNFKPLREAIKDPGEFLLSDFSKFDRPPLLHLAFQALDKFVSEMGRFPAAGSEEDAQKLISLASHINENSGDARVEDINPKLLRHFAFGARAVLNPMAAMFGGLVGQEVVKACSGKFHPLFQFFYFDSVESLPTANLDPRDFKPRNSRYDAQISVFGSKLQKKLEDANLFVVGSGALGCEFLKNLALMGVSCGEQGKLTLTDDDVIEKSNLSRQFLFRDWNIGQAKSTVAASAAALINPHLKIEALQNRVSPESENVFDDTFWENLTAVVNALDNVNARLYVDQRCLYFQKPLLESGTLGAKCNTQMIIPHLTENYGASRDPPEKQAPMCTVHSFPHNIDHCLTWARSEFEGLVEKTPTEVNAYLSNPVDYTNAMTKAGDAQSRDTLERVLECLEKEKCETFQDCITWARLRFEDYFADRVKQLIFTFPEDASTSTGAPFWSAPKRFPHPLQFSTADPSHLHFVMAASILRAETFGISVPEWVKHPKTLAEAVEKVIVPEFQPREDVKIETDEKATTISTVSVDDAAVINELIRKLEQCRHKLPPAYRMTPIQFEKDDDTNYHMDLIAGLANMRARNYSIPEVDKLKAKFIAGRIIPAIATSTAMATGLVCLELYKVLDGGHKVEDYRNTFANLALPLFSMAEPVPPKVIKHQDMSWTVWDRWILKDNPTLRELLQWLKHKGLDAYSISHGSCLLYNSMFPRHRDRMDRKMVDLVREVAKAELPAYRHHFDVVVACEDDEGNDVDIPPVSVYFS >Potri.017G079000.1.v4.1 pep chromosome:Pop_tri_v4:17:8739952:8741545:-1 gene:Potri.017G079000.v4.1 transcript:Potri.017G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079000.v4.1 MEGDNEWEQIQCPTNQLREWEMVALGDNKNHLQADPCIFPPSNHEGLQIPQPPPESQTIPGQPCSSAVCNVKAEKIAGSDSMAPQVERNRIGKLLRSGGFWIASRVHYYVIYRGGFRSIASLTVLVAAVSLFSRFRRWSKWIQEERKNHLILRLKEKDQTISQLSLQIAQMKEMLLSRRKVAVIRVG >Potri.009G099600.1.v4.1 pep chromosome:Pop_tri_v4:9:8863567:8866301:-1 gene:Potri.009G099600.v4.1 transcript:Potri.009G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099600.v4.1 MKIGVLGVAHRVPSTTTLPLRQVRARVRCFSSSGHISFIEEVAATQPPQHLHYLLKMLQTRGETIISPGSKQGLIPLVIPLSENLSGSVTALLRWPTAPPGMEMPVVDVRKHGVWLLAKNVDQYMHRILVEEDASNFNESDGELFHAASDVGEKLYRRGDFAESQIANLDGYLLKEVGLFPDVLERKVARHFEQGDHVSAMVTGEFYTKKDLFPGFGRPFVFYAEILKKVGRTSEAKDAARVALKSPWWTLGCAYQEVAGIAQWEDEQIEYIKERVSEEGRQEDLKKGKAAAQIALDEAAFLLDLASIKGTWDNVLERIAECYREAGFDEIARFILYKD >Potri.005G191500.1.v4.1 pep chromosome:Pop_tri_v4:5:19877562:19888791:1 gene:Potri.005G191500.v4.1 transcript:Potri.005G191500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191500.v4.1 MSSDSDDDDELLQMALKEQAQRDLNYQGPSSNQRKPVVNFLQQPRQQPPPQRPSSTTNMANQPQQPKNRRAVEEEDDSEVEMLSISSGDEEVSKDRGGEGGAAERGRAGRGSGGREEESGWDGEEPDCWKRVDEAELSRRVRDMRESRTVPVAQKFERKPSAVARKGLITLQSFPRGMECIDPLGLGIIDNKSLRLIADSSESSPSKSDKDHLDNNLREKLLYFSENFDSKLFLSRIHQDTSAADLEAGTLALKTDLKGRTQQRKQLVKDNFDCFVSCKTTIDDIESKLRRIEEDPEGSGTSHLFNCMQGVSLLANRAFEPLFERQAQTEKIRSVQGMLQRFRTLFNLPSTIRGSIGKGEYDLAVREYKKAKSIALPSHVNVLKRVLEEVEKVVNEFKGTLYKSMEDPQIDLTNLENTVRLLLELDPESDPVWHYFNVQNHRIRGLLEKCTLDQEARMETLHNEMRERAFSDAKWRQIQQNVNQSSDVNYLTLGNIPLSVDSQPVDLTGEEVDALRGKFIRRLTAVITHHIPAFWKVALSVFSGKFAKSSQVSAESNVNASATKSKEKIGDGRYSNHSLDEVAGMIRGTISAYETKVHNTFRDLEESNILRSYMSDAIKEISKACQAFEVKESAPSTAVMALRTLQAEMTKIYILRLCSWMRTTAEEISKEETWIPVYILERNKSPYTISFLPLAFRSVIASAMDQTSQMIQSLRSEAGKSEDMFALLQEIEESVRLTFLNCFLYFAGHLEQIGSELALNKSSKESLHLQNGYSHESEEKSSSDLEGSIVDSHQQLLLVLSNIGYCKDELSYELFNKYKTIWSQSRGKDEEDSDIQDLVMSFSGLEEKVLAQYTFAKANLIRTAAMDYLLNSGVQWGAAPAVKGVRDAAVELLHTLVAVHSEVFACAKPLLDKTLGILVEGLIDTFLSLYDENKSKDLRSLDANGFCQLMFELEYFETILNPYLTPDARESLKSLQGMLLEKATENVTETVENPGHQRRSTRGSEDALADDRQQGMTVSPDDLIALAQQCSSELLQSELERTRINTACFVESIPLDSVPESAKAAYSYRGSMDSSRNFMDSPGRNHRGTQAMGSPSFSRHRRR >Potri.005G221900.1.v4.1 pep chromosome:Pop_tri_v4:5:22369493:22371473:1 gene:Potri.005G221900.v4.1 transcript:Potri.005G221900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221900.v4.1 MASSGTGTGSPCGACKFLRRKCASDCIFAPYFCSEQGPARFAAIHKVFGASNVSKLLLHVPVAERCEAVVTIAYEAQARIRDPVYGCVAHIFALQQQVACLQAQLMQVKAQLAQNLIDSPRINAENIHHQWQGNNISGVPSFPTHPNYINPISPQSSLDSVDLISNNIDRGMMNMQDIQSREEFAFQSRAKVKRPCNSDLGELQALALRMMRN >Potri.010G042100.1.v4.1 pep chromosome:Pop_tri_v4:10:7344971:7349173:-1 gene:Potri.010G042100.v4.1 transcript:Potri.010G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042100.v4.1 MVNMSGLSFRCLTFMLFIAFLVWSSSFHTCIARRGKHWRESRGNSASLAKKKGKNQGNSHQKHHNGVSKPKPPPQKAPSLPPPPKDDAPAPSPPQKSSTTFNVLDFGAKGNGKSDDTKAFQAAWAEACKVAASTMIVPAEYVFLVGPISFSGPYCQANIVFQLDGTIIAPTNSNVWGRGLFQWLEFTKLVGITIQGEGTIDGSGSVWWQDYPFEDPIDNESEFIVPLNNTAQQHPPMPIRSELSGKMPSIKPTALRFYGSFNVTVTGITIQNSPQCHLKFDNCIGVVVHDMRTSSPGDSPNTDGIHLQNSKDVLIHSTDLACGDDCVSIQTGCSNVYIHNVNCGPGHGISIGGLGKDNTKACVSNITVRDVVLHGTMTGVRIKTWQGGSGSVKGVLFSNIQVSEVQLPIVIDQFYCDKSICKNQTSAVALSGITYEKIRGTYTVKPVHFACSDALPCMDVSLTTIELQPLKEQYHLYDPFCWQTFGELNTPTKPPISCLQIGKPSSNRPQSDHDTC >Potri.018G046700.2.v4.1 pep chromosome:Pop_tri_v4:18:4036676:4041766:-1 gene:Potri.018G046700.v4.1 transcript:Potri.018G046700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G046700.v4.1 MEGDDRILEAERHQIEQIRQLDFEELQVEEVDDDDSDSSLDDRDASGAGSSDDFTFNTCLASLHTYLGEVEDTHHRLAFLDGGAILNLPLFYLEGVVLFPEATLPLRVIQPNFISAVERALVQVDAPYTVGVVRAYRDSDNRRLRFATVGTTAEIRQYRRLEDGSLNVVTRGQQRFRLKRRWIDVEGVPCGEVQIIQEDMPLRTPKDAFGKLAPLSNLRSHKFSSVLPSTFSSVGYGHSDNDSEANSEESFETELSLAERRIHQSALNSCYGYDMMDESMSSDDDKFMSRSEEMRSRRSHLSETEGSLYLDTGKNLGNTTLEIGNSSGLVKKGEGSKRCWKNTDLNQFRRVPRTFWPYWVYSMYDSYCLAEKAADMWKQIVGAPSMDGLVRKPDLLSFYIASKIPVSEETRQELLEIDGISYRLRREIDLLETFDLVRCKTCKIVISRRSDMLVMSSEGPLGAYVNPLGYVHEIMTLQKANGLALIGRATAEYSWFPGYAWTVAECASCEIQMGWLFTATKKKLKPQSFWGIRSSQVAGDTC >Potri.018G034950.1.v4.1 pep chromosome:Pop_tri_v4:18:2698849:2699504:1 gene:Potri.018G034950.v4.1 transcript:Potri.018G034950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034950.v4.1 MCQRNTLSMKIEEKKILCVFVTSDGDMECLSEYMVVCWGDDAWQLGHLLLLSHFRSSVFTSLCFDCHLLF >Potri.016G064901.1.v4.1 pep chromosome:Pop_tri_v4:16:4537392:4538200:-1 gene:Potri.016G064901.v4.1 transcript:Potri.016G064901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064901.v4.1 MEIYQSIGSTGADFLSSFLFFVLQHKPRGSRRF >Potri.018G120450.1.v4.1 pep chromosome:Pop_tri_v4:18:13239616:13240139:-1 gene:Potri.018G120450.v4.1 transcript:Potri.018G120450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120450.v4.1 MGSTFCLSSKQTPVPESAATQISDSSLFFSFHLRILSLMGKCTRFQRIGFLFLQGLVNIISVRILHESRR >Potri.007G019600.4.v4.1 pep chromosome:Pop_tri_v4:7:1504503:1506686:1 gene:Potri.007G019600.v4.1 transcript:Potri.007G019600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019600.v4.1 MASLLKELPSMTTILSVYASLSGLSMLIRTILNEMIPRGMRDYIATKFSDFFAAYFSSEFTFIIEDRWQAVENETFRAVEVYLPTKIGPSTKSLLLGTSDTNNITAPPKPGIPIDAKVIDVFQGMHFEWKLCEKEAKKYSYRQKRYFQLNCKKNYREHVMQSYLPHISKTAASILNKRETLNIYTYDNEDSMWESTVFKHPATFETLAMDPDLKKFITEDLDLFVQRKEYFRSVGRAWKRGYLLHGPPGTGKSTLVAAIANYLRFNIYDLQLQAVRNDSQLRTILTSTTNRSILLIEDIDCSTKYSRSRNQTRNPKEDGEEDDGDDDDQLDKKISFDPGVTLSGLLNFIDGLWSSCGDERIIIFTTNYKEKLDPALLRPGRMDVHIYMGHCTPAAFKKLASTYLGIKEHVLFKCVEDLIQSRVITPAEVAQHLMKCDNPQVALQSLIEFINLKETTEMMDNGAKKEDEEEVIKEEVVKCRTGSMKQDVKQSKILTTSIYLT >Potri.017G017300.2.v4.1 pep chromosome:Pop_tri_v4:17:1272799:1273302:-1 gene:Potri.017G017300.v4.1 transcript:Potri.017G017300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX8 MVQENAIIVFARRGCCMSHVAKRLLLGLGVNPAVYEIDEADEISVLEELEMIGNDIGGKGNNKKKVQFPALVIGGKLFGGLDTLMATHISGELVPILKEAGALWL >Potri.017G017300.1.v4.1 pep chromosome:Pop_tri_v4:17:1272932:1273399:-1 gene:Potri.017G017300.v4.1 transcript:Potri.017G017300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX8 MQQAIPYKSWLPLYTNNKPLISPSQLISHHSNGGVVAAQEVLKGSRNISEMVQENAIIVFARRGCCMSHVAKRLLLGLGVNPAVYEIDEADEISVLEELEMIGNDIGGKGNNKKKVQFPALVIGGKLFGGLDTLMATHISGELVPILKEAGALWL >Potri.011G136500.2.v4.1 pep chromosome:Pop_tri_v4:11:16880491:16881694:1 gene:Potri.011G136500.v4.1 transcript:Potri.011G136500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136500.v4.1 MALSQSSLPTKTETINQLSSSASLLQLNRHNMRKSSAMAASAFEDMGAQNPVQIATRGTVGSLVMQELKYFSQLELSHGSSQKPQPRITAMASTGNQSKTTLGSALTTPKKKLKGGSRRLPRICSVVEVSDSSRPVRISGFSYRNLKSEVKKAASLDSALR >Potri.019G097300.1.v4.1 pep chromosome:Pop_tri_v4:19:13468072:13470445:1 gene:Potri.019G097300.v4.1 transcript:Potri.019G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097300.v4.1 MNKTGNFQEVNIFYPATRVLLLFLRQFLHKTTAVFFLFLSIFLFVYIWRFIIRNRHISPSPSTPSTLTTAQPQGMKYDVFLSFRGEDTRFDFTSHLYAALNRKQILTFIDYQLVRGDEISASLLRTIEEAKLSVIVFSENYASSKWCLEELAKIFERRKNNGQIVIPVFYQVDPSHVRNQTGSFGDAFARLIKKKALTMDKEQSFRDALKDTSTLSGWTVGNSELESEFIEKIVGDVSNKLQAMSSSHTTGLFGIDFHINKVESLLNMESTDVLIVGIWGMDGIGKTTIAQVVCNKVRSQFEGIFFANFRQELKTGSMDDLQRSFLSQLLGQEILTMGSLSFRDSFVRDRLRRKKVFILLDDVDDLMPLEEWKDLLDGRHSSFGSGSKVLITSRDKQVLNNIVDEAYEVEGLNDEEALQLFSSKALKNCIPTIDHKHLIAQIVRHVQGNPLALKVLGSSLYSKSIEEWRSALNKLAQNPRIKNT >Potri.003G214300.1.v4.1 pep chromosome:Pop_tri_v4:3:21086284:21087735:1 gene:Potri.003G214300.v4.1 transcript:Potri.003G214300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214300.v4.1 MNDQPPATIMNQQPPQLMSQAPPIPVMNPAQPPPPPISIQSQMLSHSQAMNQPQPLMMMNQRPYKKFQNQQQNPLKFNNNFVPSKPRSSSHNNNWKGKNVNNNKRMENSNNNPALSGGGGYKPPSLNDLQTQNRMKARKYYHPKKKFNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGNEANEDEEDEEGNGSSESDVEEHVEVERRLDHDLSRFEMIYPSGGGGEYSYNNVLENRVDDQDTHIAQLEEENLTLKERLFLMERELGDLRRRLQFLERQQHHHHGQSSSAMVVEDVNEEVVENVSENDENESDGVSDIGGNTGGDGNEEVMEYVGGNGERRNVRDGGKEESNDVCMEENVPDDHLIVKHKVKDENATSGYVDEVIIKENEVKGGERGDWFVPTDAETGKDDGAKDEERGIEVLLDRVVAKEEEEPVQG >Potri.003G214300.2.v4.1 pep chromosome:Pop_tri_v4:3:21086676:21088271:1 gene:Potri.003G214300.v4.1 transcript:Potri.003G214300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214300.v4.1 MKARKYYHPKKKFNNRFAPYAPRNTTSFIIRAKKSGGIASLVSPCPVTPAVLPTPIFSPSREVLGDMAKEEWGVDGYGSMKGLIRLRSPGNEANEDEEDEEGNGSSESDVEEHVEVERRLDHDLSRFEMIYPSGGGGEYSYNNVLENRVDDQDTHIAQLEEENLTLKERLFLMERELGDLRRRLQFLERQQHHHHGQSSSAMVVEDVNEEVVENVSENDENESDGVSDIGGNTGGDGNEEVMEYVGGNGERRNVRDGGKEESNDVCMEENVPDDHLIVKHKVKDENATSGYVDEVIIKENEVKGGERGDWFVPTDAETGKDDGAKDEERGIEVLLDRVVAKEEEEPVQG >Potri.006G125800.1.v4.1 pep chromosome:Pop_tri_v4:6:10081071:10082109:1 gene:Potri.006G125800.v4.1 transcript:Potri.006G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125800.v4.1 MVLYNLSSTSNNHHHQQNSNLNNNNHVVPTTIPAASSGSDSKLAHPRKSTASQSKDRHTKVNGRGRRVRMPALTAARVFQLTRELGHRSDGETIEWLLRNAEASIIAATGTGTIPSIPISTTVGSAPTSASPPSVSGEVHPAIDAGPDGFSLTEASCRLDLDYRHMPFTALLLKPLSENVDLEAEEGRQEEVIGEQKM >Potri.001G416100.4.v4.1 pep chromosome:Pop_tri_v4:1:44447922:44454672:-1 gene:Potri.001G416100.v4.1 transcript:Potri.001G416100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416100.v4.1 MLIRMNHQPMVHMKSNCSSFLVILLCASVVVSLEYRGRTEEVFLRQLDDPATGYVDKDAAELLCIICKVDLIQLKEADENLNFCFPEETFNGASELRSKGWSVSKENIQKLIKVPQPQLKETLLDCIRKDNHLFHVSGDEGGADNYHTRRAVARRNLLQIISEPPAPVPVVGSPFPSPSPSPDLAPSPASTPNSIPSPQEPFFPHLTPPPPSPSENSSSSQTSGPIEPDNGNNHKTVVIAVVVTAGVTFVVAALFFLLCTKVCWRGPGARRNDERPLLSLSLSDYSVGSTHKTFGLGNSIEQEKLGHHSFGNISSHEKMGSSLESLFNKSDALNVSLDESMSLGAVSAAAKSSGDSKMNTPVPHPPGGTGSNPFLKPPPGRAEPLPPEPPASLRPPPSKAGPTPPPPPPAPPAPAKSSSSTGPRPPGPPPPPPIAPRVKPGPRTLPPPIGGSAPRPPPPMPSGPKVPRPPLGSKHPSNTASSEGAGMEDDADAPKAKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIETLFGYAPDKNKNERKKESSSQDPTPHFIQILDPKKAQNLSILLRALNVTIEEVCDALREGNELPVELVQNLLRMAPTADEELKLRLYSGELSQLGPAERFLKALVDIPFAFKRLEALLFMCTLQEEITSSKESFETLEVACKELRNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGVDGKTTLLHFVVQEIVRSEGVRAARAGRESRSLSSVSIKTDDLLEEISTDTEEHYCNLGLQVVSHLSSELENVKRAAVVDTDNLTRSAAKLGQSLLVTQNFLNKDMKNLEEDSGFHQTLKGFVQNAEVDVMSLLEEEKRIMALVKSTGDYFHGNAGKDEGLRLFVIVRDFLIILDKVCKEVREAQKRLAKTQKKEASTVSSPSNQRQQLLADLRQRLFPAIAERRTEDSSSSSDDDG >Potri.016G023800.3.v4.1 pep chromosome:Pop_tri_v4:16:1333122:1337529:1 gene:Potri.016G023800.v4.1 transcript:Potri.016G023800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023800.v4.1 MSAFKPYGEIEDCKAVCDKVSGKSKGYGFILFKRRSGARKALKEPQKKIGNRMTACQLASIGPVPQSSGGQAGPVAAAAQAQQPVSEYTLRKIYVSNVAADLDPQKLYSYFSEFGEIEEGPLGLDKATGKPKGFCLFVYKSSEGAKKALEEPHKSFEGHMLHCQKAIDGPKPGKAQKQPQQHHNLQSSHYQRNDGGGYVGGGSRGGHLMAPAASGAGIGFNQGAAGPALNPALGQALTALLATQGAGLGGLTNLLGTLGSAAAVNQGGLSGAGHGMQGAYGNQASISPGVMGTYANQGAMQGGYPNQQTGQGGSGRGQHGQYAPYMGH >Potri.016G023800.1.v4.1 pep chromosome:Pop_tri_v4:16:1333059:1337996:1 gene:Potri.016G023800.v4.1 transcript:Potri.016G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023800.v4.1 MAKKRKHDAKSTEPAEEPPKKQQQQEPPKEELQEEQNEEEVEEVEEEEEEEYEEVEEEEENEDEADEENNQNAQISAGEIQNDDEDEDEEPVEKLLEPFGKDQLINLLREAADAHRDVAEKIRQVADQDPVHRKIFVHGLGWDTNAEALMSAFKPYGEIEDCKAVCDKVSGKSKGYGFILFKRRSGARKALKEPQKKIGNRMTACQLASIGPVPQSSGGQAGPVAAAAQAQQPVSEYTLRKIYVSNVAADLDPQKLYSYFSEFGEIEEGPLGLDKATGKPKGFCLFVYKSSEGAKKALEEPHKSFEGHMLHCQKAIDGPKPGKAQKQPQQHHNLQSSHYQRNDGGGYVGGGSRGGHLMAPAASGAGIGFNQGAAGPALNPALGQALTALLATQGAGLGGLTNLLGTLGSAAAVNQGGLSGAGHGMQGAYGNQASISPGVMGTYANQGAMQGGYPNQQTGQGGSGRGQHGQYAPYMGH >Potri.001G199000.2.v4.1 pep chromosome:Pop_tri_v4:1:19630842:19631314:-1 gene:Potri.001G199000.v4.1 transcript:Potri.001G199000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199000.v4.1 MCRIIKPNMFIVLNALEFNIHGVSFIASQNQQQYRPCDVVLDEDDERFVVVFEETLDVGDGMLRIGFSGILNEHLRVLYRCTYMDGEEKKNMAILS >Potri.017G059300.1.v4.1 pep chromosome:Pop_tri_v4:17:4800032:4802968:-1 gene:Potri.017G059300.v4.1 transcript:Potri.017G059300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059300.v4.1 MDPVTVWGNSSLQTVDPEIHDLIEKEKRRQCKGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEYIDEIENLCRARALQAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNPQTGFLDYDRLEEKALDFRPKLIICGGSAYPRDWDYKKFRSVADKCGALLLCDMAHISGLVAAQEAANPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPEDAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQAQSPGFKAYAKQVKANAVALGNYLMSKGYKLVTEGTENHLVLWDLRPLGLTGNKVEKLCDLANITVNKNAVFGDSSALAPGGVRIGAPAMTSRGLVEKDFEQIGEFLHRAVTITLSIQKEHGKLLKDFNKGLVNNKEIEALKADVEQFSGSFEMPGFLMSEMKYKD >Potri.002G138100.1.v4.1 pep chromosome:Pop_tri_v4:2:10376361:10376640:1 gene:Potri.002G138100.v4.1 transcript:Potri.002G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138100.v4.1 MNDTSEPQIYKFSINLQVLFHIVLLCLHIMHERLEDLRQEKKCSRSENGARVCCRK >Potri.010G062800.1.v4.1 pep chromosome:Pop_tri_v4:10:9219542:9220369:-1 gene:Potri.010G062800.v4.1 transcript:Potri.010G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062800.v4.1 MSNMQQSFNAGQTKGNTQAKVEQWTETIQDTANAACRDSTSAGAQSTGDSAQLEKDHSAGFLQQTGEQVKHVAQDAMDSVKNTLGIGQNKK >Potri.002G176900.1.v4.1 pep chromosome:Pop_tri_v4:2:13775140:13776876:1 gene:Potri.002G176900.v4.1 transcript:Potri.002G176900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176900.v4.1 MEELIISPSSSSSPVSLSQETPPTLQQRLQFIVQNQPDWWSYAIFWQTSNDDSGRIFLGWGDGHFQGSKDTSPKPNTFSNSRMTISNSERKRVMMKGIQSLIGECHDLDMSLMDGNDATDSEWFYVMSLTRSFSPGDGILGKAYTTGSLIWLTGGHELQFYNCERVKEAQMHGIETLVCIPTSCGVLELGSSSVIRENWGLVQQAKSLFGSDLSAYLVPKGPNNSSEEPTQFLDRSISFADMGIIAGLQEDCAVDREQKNARETEEANKRNANKPGLSYLNSEHSDSDFPLLAMHMEKRIPKKRGRKPGLGRDAPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYINELKAKVDELESQLERESKKVKLEVADNLDNQSTTTSVDQSACRPNSAGGAGLALEVEIKFVGNDAMIRVQSENVNYPASRLMCALRELEFQVHHASMSCVNELMLQDVVVRVPDGLRTEEALKSALLGRLE >Potri.010G130300.1.v4.1 pep chromosome:Pop_tri_v4:10:14692027:14694944:-1 gene:Potri.010G130300.v4.1 transcript:Potri.010G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130300.v4.1 MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIQTDPKNCEYVIISGAQRKNEEFDIEDAETFALPADEERGKLADPFYRLEHQEEDLQKKKEAEPILVRLQRVSDARHLDDYSLNKALRAQMRSQKKRVAEEEATSKKMGLGIRLLPTTEEDGASAAHVKFSSKFDKNRKDKRALISADSIFSGSSGSSMSNKKRLELESKRRKISASAASNLLTGGFKPSSWSQGTVSGSRHKQNSMSARLF >Potri.007G053900.5.v4.1 pep chromosome:Pop_tri_v4:7:5585441:5589321:-1 gene:Potri.007G053900.v4.1 transcript:Potri.007G053900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053900.v4.1 MDQVKATAAFVANRSSHVVVDSAGLEKVVENIQDKIPKIEWDFEGIHYFDNGPLTVQYLFVLDTLNFCFWPDKNLNYDDLASGLKEALENDKSVFDADHLQKITGLQLRNLLKWPRPLPLEDERVRLLHEVGFELERSFGGKASNLVESCGRSAAKLVATIASHFPGFRDHSVYKGHQIFLYKRAQIFAADLYGAFKGQGYGEFDDISSITIFADYIVPAMLRKLGVLKYSSTLASIIESNKEIVSGSEEEVELRACSIYAVEKMRDLISVKLGKQVLSVELDLWLWAYGVQNPSLPYHRTLSIYY >Potri.017G028301.1.v4.1 pep chromosome:Pop_tri_v4:17:1876536:1879238:1 gene:Potri.017G028301.v4.1 transcript:Potri.017G028301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028301.v4.1 MKIQCDVCEKAPATVICCADEAALCAKCDIEVHAANKLASKHQRLLLQCLSNKLPPCDICQEKAAFIFCVEDRALFCRDCDEPIHSAGSLSANHQRFLATGIRVALSSSCSKDTQTNSSGPPNQSAQQTPMKIPAQQTSSFATSWAVDDLLQFSEFESSTDKKEQLELGEFEWLADMGLFGEQLPQEALAAAEVPQLPISPPTNVNSCRPTKSSMPHKKPRIEISDDDDEYLTVPDLG >Potri.005G192300.2.v4.1 pep chromosome:Pop_tri_v4:5:19985535:19990467:1 gene:Potri.005G192300.v4.1 transcript:Potri.005G192300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192300.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHHRGKSHSSACELHDQPVVIQNSRWAGLPPELLRDVIKRLEASESTWPARKHVVACAAVCSAWREMCKEIVRNPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGSVPGQAELLPRSLEDSFRSISFSKSIDNSTEFSSSRFSDIVGPREDEEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.005G192300.3.v4.1 pep chromosome:Pop_tri_v4:5:19985752:19990399:1 gene:Potri.005G192300.v4.1 transcript:Potri.005G192300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192300.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHHRGKSHSSACELHDQPVVIQNSRWAGLPPELLRDVIKRLEASESTWPARKHVVACAAVCSAWREMCKEIVRNPEFSGKITFPVSLKQPGPRDGTIQCFIKRDKSKLTYHLFLCLSPALLVENGKFLLSAKRTRRTTCTEYVISMDADNISRSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLSPPGRSRRFYSKKVSPKVPTGSYNIAQVTYELNVLGTRGPRRMHCTMHSIPASSLEPGGSVPGQAELLPRSLEDSFRSISFSKSIDNSTEFSSSRFSDIVGPREDEEGKERPLVLRNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLIAATQPAAGAPTPSQPVQSDHDKIILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.006G214000.3.v4.1 pep chromosome:Pop_tri_v4:6:22030485:22034993:-1 gene:Potri.006G214000.v4.1 transcript:Potri.006G214000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214000.v4.1 MGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYNRGADVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLVALMEGEHVGPFNLGNPGEFTMLELAEVVKETIDSSATIEFRPNTADDPHKRKPDISKAKELLNWEPKISLREGLPLMVNDFRNRILEGDEGRGL >Potri.006G214000.1.v4.1 pep chromosome:Pop_tri_v4:6:22030484:22034999:-1 gene:Potri.006G214000.v4.1 transcript:Potri.006G214000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214000.v4.1 MMKQLHKQTSVNHRREDEIPTSTQSYTPKTLKHPRSISYIFKEQRFLFILVGILIGSAFFIFQPTLSRLNPSTHSSIPTSIYHRHQDSSSGSSGFASKGSFPGRVPAAIGRKRLRIVVTGGAGFVGSHLVDKLISRGDEVIVIDNFFTGRKDNLVHLFGNPRFELIRHDVVDPILLEVDQIYHLACPASPVHYKYNPVKTIKTNVMGTLNMLGLAKRVGARFLLTSTSEVYGDPLEHPQKETYWGNVNPIGERSCYDEGKRTAETLAMDYNRGADVEVRIARIFNTYGPRMCLDDGRVVSNFVAQAIRKQPMTVYGDGKQTRSFQYVSDLVDGLVALMEGEHVGPFNLGNPGEFTMLELAEVVKETIDSSATIEFRPNTADDPHKRKPDISKAKELLNWEPKISLREGLPLMVNDFRNRILEGDEGRGL >Potri.007G057600.1.v4.1 pep chromosome:Pop_tri_v4:7:6038554:6045117:-1 gene:Potri.007G057600.v4.1 transcript:Potri.007G057600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057600.v4.1 MGACFSTINISGSNSNNNTKANHNRKEPTKPQTRTTKAATRKKQEVVHHHHQINKNVNNEAEKKLKVKEKQSSKAIPCGKRTDFGYDKDFDIRYTIGKLLGHGQFGYTYVATDKGNGDRVAVKRIDKNKMVLPIAVEDVKREVRILQELTGHENVVQFHNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGRKFKDIVGSAYYVAPEVLKRNSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISTSAKDFVQKLLVKDPRARLTAAQALSHPWVREGGDASEIPIDISVLSNMRQFVKYSRLKQFALRALASTIDEEELADLKDQFDAIDVDKNGAISLEEMRQALAKDLPWKLKESLVLEIVQAIDSNTDGLVDFTEFVAAALHVHQLEEHNSEKWQLRSQAAFEKFDIDRDGYITPEELRMHSGLRGSVDPLLEEADIDKDGRISLSEFRRLLRTASMSSQNVPDPSGHRNSKKL >Potri.007G057600.2.v4.1 pep chromosome:Pop_tri_v4:7:6038625:6045095:-1 gene:Potri.007G057600.v4.1 transcript:Potri.007G057600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057600.v4.1 MVLPIAVEDVKREVRILQELTGHENVVQFHNAFEDDSYVYIVMELCEGGELLDRILAKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKEDSPLKATDFGLSDFIKPGRKFKDIVGSAYYVAPEVLKRNSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLRNKPDFRRKPWPTISTSAKDFVQKLLVKDPRARLTAAQALSHPWVREGGDASEIPIDISVLSNMRQFVKYSRLKQFALRALASTIDEEELADLKDQFDAIDVDKNGAISLEEMRQALAKDLPWKLKESLVLEIVQAIDSNTDGLVDFTEFVAAALHVHQLEEHNSEKWQLRSQAAFEKFDIDRDGYITPEELRMHSGLRGSVDPLLEEADIDKDGRISLSEFRRLLRTASMSSQNVPDPSGHRNSKKL >Potri.004G208600.1.v4.1 pep chromosome:Pop_tri_v4:4:21567573:21569196:1 gene:Potri.004G208600.v4.1 transcript:Potri.004G208600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208600.v4.1 MSGLEASPGAGAGSRYAAHQLLGPELQLQRDAKTPQPGNFKDDINDPESATTSSSGAGNSSSGRRPRGRPAGSKNKPKPPIIIARDTPNALRSHLLEISPGSDIVESISNYARRRAHGVCILSGSGAVTNVTLRQPGGGGSSAVMTLHGRFEILSLTGTSLPSPAPPEAGGLSISLAGGQGQVVGGRVVGPLMASSLVVLMAASFANAMYDRLPVEEDRESVPAVEVQQQQRPAASQSSGVAGSGGGQVGGGGNNGSSGGGGVPFYNLGVNGMGSYPFAGHGEGDHMFSSAAGLHFK >Potri.003G092600.1.v4.1 pep chromosome:Pop_tri_v4:3:11879475:11880273:-1 gene:Potri.003G092600.v4.1 transcript:Potri.003G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092600.v4.1 MADAKNVSNETKQTLVFVYGTLKKDFPNHYLFQQLISQKEASYVGTCITHQPHPLVIGPYGIPYMLYLPGSAGHQINGELYSVTTQGLVSLDELERTSVGHYERRPVQVIMREKSDAEEGEKGSVVLVDAEAYFAHRSFGERMWIRCGRAGLDEYSLERAARDYVKKVNRPEGRSFLDEIEMFLSGTA >Potri.014G149000.1.v4.1 pep chromosome:Pop_tri_v4:14:10242942:10252233:-1 gene:Potri.014G149000.v4.1 transcript:Potri.014G149000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149000.v4.1 MKHFMLPKNPVLREAATTHNEQSPNPSSHKTKPSQSPSRRAKSSKENAPPLDPNSTTSDLKPSPSTASAKLKSPLPPRPPSSNPLKRKLSIEAFPENSLSDSGVKVVVRMRPLKKDEEEGETIVQKLSNNSLSINGQTFTFDSVADTGATQLDLFQLVGAPLVENCLAGFNSSVFAYGQTGSGKTYTMWGPANVLSDETLSSDQQGLTPRVLQRLFDRISEEQIKHTDKQLKYQCRCSFLEIYNEQITDLLDPSQRNLQIREDMQTGVYVENLKEEFVFTMKDVTQLLIKGLSNRRTGATSINTESSRSHSVFTCVVESRCKSMAGGMNSLKTSRINLVDLAGSERQKLTGAAGDRLKEAGNINRSLSQLGNLINILAEVSQTGKQRHIPYRDSRLTFLLQESLGGNAKLAMVCAISPAQSCKSETFSTLRFAQRAKAVKNKAVVNEEMEDDVNHLREVIRQLRDELHRVKANSNNPTGWDPRKSLNILKSLIHPLPRLPQVDEDGDEMMEIDEGAVEKLCIQVGLGPAGATYQNYVDEGRSIIDQGTEDSDVDMEETIPEQAEKHEILISGCAEPARNNTSESCEEPAEEKGTLRSSVSKLITEESPNKMVEVRSSCTSGSQSGFSTSISTTDEPNGSQKETGNCVSPSSLSIVPSEVSPVLKSPTPSVSPRLNISRKSLRTSSMLTASQKDSKDESKSGPENRISSAKSEPSTALIPQTSKSFLASTEHLAASLHRGMEIIDSHCRSSVLRRSSFRFSYKPEESKPILLVDKVDVGVQTFPQDYEISETVLLCANCKTKTQLEVKDADDSNLQLVPVDGSESNEKPKKQVPKAVEKVLAGAIRREMALEEFCAKQASEITQLNRLVKQYKHERECNAIIGQTREDKILRLESLMDGVLPSKDFMEEELAALMHEHELLKEKYENHPEVSRTNIELKRVQDELEHYRNFYDLGEKEVLLEEIQDLRSQLQYYIDSSSPSALKRNSLLKLTYTCEPSLAPPLNTIQESTEESPDEKLEMERTRWMEAESKWISLAEELRTELDANRALNEKLKQELDTEKKCAEELNEAMQMAMEGHARMLEQYADLEEKHIQLLARHRQIQEGINDVKKAASKAGVRGAESKFINALAAEISALKAEREKERRYFRDESRGLQAQLRDTAEAVQAAGELLVRLKEAEEAVVVAERRAMEAEQEAVKANKQINKLKRKHENEISSLKELVAESRLPKEAIRPAHNDDCNMPKYDAGEPLGEGDQQWREEFEPFYKAKDGELSKLAEPSSWFSGYDRCNI >Potri.019G077166.1.v4.1 pep chromosome:Pop_tri_v4:19:11799214:11800063:-1 gene:Potri.019G077166.v4.1 transcript:Potri.019G077166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077166.v4.1 MLELHAISFLHHITSMMYLMMIIPTQPEVRLVTSRYNIENISELEIFIAMHKLLYSTDFLVSNNICIVITVLLFFISKRLQCELSINLLLHGLWWSSVNLL >Potri.013G051700.1.v4.1 pep chromosome:Pop_tri_v4:13:3697980:3699253:-1 gene:Potri.013G051700.v4.1 transcript:Potri.013G051700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051700.v4.1 MEGLKFVLVFVLLALASSFASAFDPSPLQDFCVAVDETDGVFVNGKFCKDPKDVTEKDFFSSGLNIPGDTSGRVGSNVTAVNIEKIPGLNTLGISFARIDFAPYGLNPPHTHPRATEIIVVVEGTLYVGFVTSNLANGDNRLITKALKPGDVFVFPIGLIHFQFNVGKTKALAFAGLSSQNPGVITIANAVFGSDPPINPDVLAKAFQLDKKVVDYLQKAF >Potri.001G387300.1.v4.1 pep chromosome:Pop_tri_v4:1:40632177:40636108:1 gene:Potri.001G387300.v4.1 transcript:Potri.001G387300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387300.v4.1 MSQMETLGILDEIEVLVSDKLQVVSYKWLSRNFMVSSNAAKRLLQEFVNTRGSGFEVVYTLSGWLKNNPSSYHIRLVSGPKLEEAKQEFNGNCSVQVYSVQACIPKDPAALWNAEFVQAEELFKQSFTVDNCLRDNRFCGILNSFVKYNCDGPAATKSMEIPVIQVCQTITAPPSKQTKVQQSPKVGPPSPNLVNSVKSERNGTGVRDLATKQTVDEEKVSLLPANKKKGQSDKTSSGNGGSLANLWGRASAKSKPSSAQADNDKHIPNPTVSAEAQISACEEIEIGSSDDEAQGVNFKRTSNGDSSRKRRVVLDYSDDEFEDAVNLASPELPKGQSSTALVLEKPHFKKQAEDKPVIKVEKSTEGAPNQLLRDDSSVGEGIDSKTSSLEKIQSDFTFCDAQKDTAAGAAPNSPKRRKVLKTRIDERGREVTEVVWEGEETETKKVESQDSKKKAENTAVTNTVNNRAPLTKKSPAAGNGAPSNPGSKAGNKKGGNKDPKQGNILSFFKRV >Potri.014G115800.1.v4.1 pep chromosome:Pop_tri_v4:14:7797924:7798652:1 gene:Potri.014G115800.v4.1 transcript:Potri.014G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115800.v4.1 MDGASKKIKLFHDDLDHEGNEEEKIEEFFALIKGIREARDRLMNVSDPALKLEIDTKNNKRKLEEETKQFTAWKPSFQREDFMEEIEMIRNPPAAVASALVDSSQRKEVTEKDEHKESLDLNLSL >Potri.010G079500.1.v4.1 pep chromosome:Pop_tri_v4:10:10600592:10602796:1 gene:Potri.010G079500.v4.1 transcript:Potri.010G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079500.v4.1 MADEKKQNLENKPVLSQPLPERKKNTSPNFLLSVELKYVKLGYHYLVSNAMYLMLMPVLCVIFAHLSTFTVDELWNQLKFNFVTVVLSSTSIVFTATLYFMSRPRKVYLVDFSCYKPGPAHKVSRELFMQLSAATEVFTEQSLAFQKKILEKSGYGEMTYAPKGLMRVPPDQSMAESWRESEMVMFGAIDDLLAKTMVKPRDIGILVVNSSLFNPTPSLSARVVNHYKLRGNILSYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVVSTENISRNWYFGNDRSMLVTNCLFRMGAAAVLLSNRTFDRRRSKYQLIRTVRTHKGADDKSFNCVLQREDLDTQRVGVSLSKDLMAIAGEALETNITTLGPLVLPVSEQLLFFVTLVAKKIFKMKVKPYIPDFKLAFEHFCIHAGGRGVLDELEKNLELTEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRIWQIGFGSGFKCNSAVWRAIRAIDPAKEKNPWMDEIDDFPVRVPRVAPLVY >Potri.004G013800.1.v4.1 pep chromosome:Pop_tri_v4:4:859320:861099:1 gene:Potri.004G013800.v4.1 transcript:Potri.004G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013800.v4.1 MCLCLLLYKLNFIYMYVYVVIRMFLQRKRDIGTVQSESNAPKSIEYYCLIEPCQYHVHSSSSRGTSSSKYRAHTYLEGMIPNPDLFFKLFPSRSPIHFLCQKQVLCDSRHKSIFLYVLVIKTFPLSFYLKNCCFFVSVTN >Potri.016G071700.1.v4.1 pep chromosome:Pop_tri_v4:16:5225622:5226498:-1 gene:Potri.016G071700.v4.1 transcript:Potri.016G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071700.v4.1 MNALNNLGIVLTVVFSVCLAALVFEILYVLWRRRRFLRRSVTSSGADGEFRSNSDSLYTKPSKELLYFFCWKNQTTRIEPDSTTPQEASAVAPPVPADGADALVEEMLKLQGMYGPSRVLFTIKEEEMEVTENDDSSIENELVKSKKRKKSSSDFCFEGAVANDVEVEVEVDDVTTPFWTPCASPQYYYTPSPSPPRDGNLSRKNSGTENEVSVVVLGDSGENTTMSFVSIEIHS >Potri.002G017400.1.v4.1 pep chromosome:Pop_tri_v4:2:1060635:1061800:-1 gene:Potri.002G017400.v4.1 transcript:Potri.002G017400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017400.v4.1 MVTLTPPPIHCPTPSPPPLSFNSDASFTTGQNMLVSVLFALFLPCVGMSVVFFIYICLLWYAANNQPENIPLPVKTVTEKGLSSSELEKLPKVTGKELVLGTECAVCLDDIESEQLARIVPGCNHGFHLECADTWLSKHPVCPVCRAKLDAQFSSTSASPENNPC >Potri.015G018800.1.v4.1 pep chromosome:Pop_tri_v4:15:1305138:1306930:1 gene:Potri.015G018800.v4.1 transcript:Potri.015G018800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018800.v4.1 MRYTCQNSKSHVTISGGDGGTERKGGNLWGEDKKEEKKIEEFFGAKANDGDESKEESLSLTSLRNDVVLEDDFVSSYGSASVIGMRKEMEDTMKVELGFLSFNGGEKKYDFFGVYDGHGGALVAEACKERLHGVIVEEIMERKLGKKGVSGVEWEELMEDCFRRMDEEVVKNKMIGSTAVVAVVGKDEVVVANCGDSRAVICTSGVAVPLSVDHKPDRPDELERVEAAGGRVINWNGHRVLGVLATSRSLGDEYLKPFVSSKPDVTVIERTEDDEFLILASDGLWDVIANEFACRVTKRCLSGRMRRRESREGVVSESCRAAEAAAVLTELAMARGSKDNITVIVVELKKLRSFPC >Potri.010G183200.1.v4.1 pep chromosome:Pop_tri_v4:10:18102910:18107752:-1 gene:Potri.010G183200.v4.1 transcript:Potri.010G183200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183200.v4.1 MESSLLLRSFQCTLHTQGLTVGRKLISYPVKRDRRLVSCVKTSEAPAIAKTDDSNKQGSLEKNSQRNATFPNGFEALILDVCDETEVAELKLKVGDFEMHLKRNIGVAKAPFTSSTPLSPPPIPTPPMELSAAVSPAPSPSKSSVEKTTPFTNVSFRKSSKLAVLEASGASGYVLVASPTVGSFRRNRTVKGKKQPLICKEGDVIKEGQVIGYLDQFGTELPVKSDVAGEVLKLLFNDGDAVGYGDPLVAVLPSFHAIDK >Potri.008G035800.2.v4.1 pep chromosome:Pop_tri_v4:8:1955768:1959951:1 gene:Potri.008G035800.v4.1 transcript:Potri.008G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035800.v4.1 MGCSSSLPDRSTGRLGGLNNSESGGVVDAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTIGASFLSQTIALQDSTTVKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPETFNKAQYWVKELQKHGSPDIVMALVGNKADLQEKREVPTQDGIDYAEKNGMFFMETSAKTADNINQLFEEIAKRLPRPSST >Potri.008G102900.1.v4.1 pep chromosome:Pop_tri_v4:8:6502062:6504289:1 gene:Potri.008G102900.v4.1 transcript:Potri.008G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102900.v4.1 MEVDLSPKLCKKVYGGDGGSYYAWSPAELAMLKEGNIGAAKLALEKNGFALPRYSDSAKVAYVLQGNGVAGIVLPEKEENVVALKKGDAIALPFGVVTWWYNKEDTELVVLFLGDTSTAHKTGEFTDFFLTGSNGIFTGFSSEFVSRAWDVDDKAVKTLVGNQTAKGIVKLDGSFKMPEPKKESREGFVYNCEEAPLDVDIKDGGKVVLLNTKNLPLVAEVGLGADLVRLDGKAMCSPGFSCDSALQVTYIVSGSGRVQVVGVDGRRVLETTVKAGHLFIVPRFFVVSKICDPDGMSWFSIITTPNPIFTHLAGRTSVWKALSPQVLEASLKVSPDDEQLFRSKRMNEEIFFPPPK >Potri.016G109100.1.v4.1 pep chromosome:Pop_tri_v4:16:11189356:11192604:-1 gene:Potri.016G109100.v4.1 transcript:Potri.016G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G109100.v4.1 MGKQNKSKKTDSLGKGGKVSPVQIAFIVDRYLSDNSLTQTRSIFRTEASSLISKSPLREAPKSLLSLGAILNEYICLKEQKVILDRERVRLEQEKFRVQDFLHGMQDVMNAYNASANLTAPPATTPMIQASITRPAVMVPQADPFRGSPAAVGCPMHKSPGFPVSTPSNTTMERSNLSSITKHPSTRKRMGSNAVAESVPDAKKSRSKLASRKIPSKATSKQCDNAATAQANVQPLSPVIESSAQNGTPTGPIVQASGVAKSLFNQPLPSPPTSSSNPNTPPQAFCSQNDKSASPRDISANAHCSNNNTPQQVTPTNCTVITSERVTVSPFKNMTYYTMERNQCISSSSPVKTTLKRMCKRDCVKGRLDFDGSDAAVNLDESVDNEFYTSESDKEGDIFDLDLPNLDMFGANFSFSELLVDLERDCEGNACPCLPALAASADTISGSSHESRDGNFGTDQVMSEFSSTMTEVISGKDTHSQGPDITAVKSITKCIRILSPAKNQRSSLDQENCLASN >Potri.016G060100.1.v4.1 pep chromosome:Pop_tri_v4:16:4108981:4111028:1 gene:Potri.016G060100.v4.1 transcript:Potri.016G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060100.v4.1 MAKYGEGDKRWIVEDRPDGANVHNWHWAETDCLEWSRNLLSKLLNNLKVLDGEGNLFIKINKVEKVEGEAYVNVRKGKIIPGYELNVVLSWQGEAKDSEGNSLLKVDGSVEIPYISDENADEDPEIRVTVKDEGPIGKTLKDAMFSKGKPVVEEKVRVYVQSMAKGGPAKEELESKKVEKSGQPVAGASVKNAGSVAPVVEKEAKKEVKKEVKKEGFKSISLTEKFSCRAKDLFEILMDENRWKGFSQSNARISKEVGGEFSIFDGSVTGRNVELQEGKLIVQQWRFGNWPDGIVSKVRITFDEPEPRVTIVKVMHTDIPEEDRYGNATVVENTERGWRDLILNKIRAVFGFGV >Potri.T070080.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:22342:27604:-1 gene:Potri.T070080.v4.1 transcript:Potri.T070080.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T070080.v4.1 MDYQLSDSSGTDDDLPPTHRNRFQSGVRTAGNGRSAVGGGASQPSLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDIIRRIREWRKANGIQPSMPSTTQPSHNPIASPSASGSRKKQKTSQSVASLSMGAPSPVLHPSMQQSTSALRHGPPPGSGNKKPKSSMQQCSTGLSGRAQVANHGSSGAFAANDLIGKKVWTLWPEDNHYYEAVITDYNAVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEDEETGLFRRGGRPGPGRGNKKSIARGGTVAAAGRGRGTIKGQSKKDFSLTKNGVAKKAMGDIEILHTDTLIKEVEKVFGASHPDPLEIEKAKKVLREQEQALVKAIARLEDALDGQSDEGEHPLPHIQSRDQNRGWRKRPYDEIVGEGRGIKGSGGNKMARNGRIVPSDHHDENYDM >Potri.T070080.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:22328:27646:-1 gene:Potri.T070080.v4.1 transcript:Potri.T070080.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T070080.v4.1 MDYQLSDSSGTDDDLPPTHRNRFQSGVRTAGNGRSAVGGGASQPSLHSDMETQIHNIEQEAYTSVLRAFKAQSDAITWEKESLITELRKELRVSDEEHRELLARVNADDIIRRIREWRKANGIQPSMPSTTQPSHNPIASPSASGSRKKQKTSQSVASLSMGAPSPVLHPSMQQSTSALRHGPPPGSGNKKPKSSMQQCSTGLSGRAQVANHGSSGAFAANDLIGKKVWTLWPEDNHYYEAVITDYNAVEGRHALVYDINTGDETWEWVNLKEISPEDIRWEDEETGLFRRGGRPGPGRGNKKSIARGGTVAAAGRGRGTIKGQSKKDFSLTKNGVAKKAMGDIEILHTDTLIKEVEKVFGASHPDPLEIEKAKKVLREQEQALVKAIARLEDALDGQSDEGEHPLPHIQSRDQNRGWRKRPYDEIVGEGRGIKGSGGNKMARNGRIVPSDHHDENYDM >Potri.009G116600.1.v4.1 pep chromosome:Pop_tri_v4:9:9841765:9842768:1 gene:Potri.009G116600.v4.1 transcript:Potri.009G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116600.v4.1 MASSSMSSRGSGSWTVQQNKAFERALAVYDRDTPDRWYNVARAVGGKTAEEVKRHYELLVEDVKHIESGHVPFPNYRTTGANGHARG >Potri.001G306000.1.v4.1 pep chromosome:Pop_tri_v4:1:31604335:31610128:1 gene:Potri.001G306000.v4.1 transcript:Potri.001G306000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306000.v4.1 MTEVNSEHPSGGKRSAAESFGYTKFGYMFGVFSIRNPLKLVINCLVLLNFLQIINSSKEPDTEGGALRDLLLALNDSNGQIDWDPNLVSPCYSWTNVYCKNGHVVFLSLNSLGLSGTLSPAITKLKFLVSLELRNNNLSGSLPDYLGNMVQLKNLNLASNKFSGSIPDTWDQLSNLKFLDVSSNNLTGRIPDKLFSVATFNFTATYIACGLSFEEPCLSRSPLPVSTRKLRLKVIAASASCGAFGLLILLVVLAYRYQQFHKEKNDIFVDVSGEDDRKISFGQLRRFSWRELQLATDNFSESNIIGQGGFGKVYKGIISDNMKVAVKRLEDYYSPGGKAAFLREVQLISVAAHKNLLRLIGFCTTSSERILVYPYMQNLSVAYHLRDLKPGEKGLDWPTRKRIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEPVLGDFGLAKLVDTKFTHITTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDLSRLEEEEDVLLLDYIKKLLRENRLDDVVDGNLETYDRKEVETIVQVALLCTQSSPEGRPTMAGVVKMLQGIGLAERWAKREQHGDARNQEFSLMSQQYIWSEDSSIDQEAIQLSKAR >Potri.011G142800.1.v4.1 pep chromosome:Pop_tri_v4:11:17255852:17259147:1 gene:Potri.011G142800.v4.1 transcript:Potri.011G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142800.v4.1 MSSHVSAAPNSIRKAIPDVTRRSANFRPSIWGDYFACHTFNSMFDDHFEQEFIRLKEEVKEMLYATTNKLSQQLNFIDVIQRLGVSYLFESEIDEMLRDLYYQGSGGAYVHDHDLQMVALRFRLLRQQGHYVSCDVFKKFKDTEGNYKVCLANDIQGMLSLYEAIHLRVHREDILEDALTFATTHLKSITTDMCPPPLLVKLRHALDQPIHKDLPWLGAKHYISIYEQEASHSEVLLKFAKLNFNFLQNMHQKELADMTMWWKKVDLSKKLPFARDRLVECYFWILGVCFEPQYSFARIIMTKVIAMTSVMDDVYDVYGTMEELVLFTDAIERWDISNIDHLPEYMKFFYKQLLDVYKEIETELAAQGRSYRVDYAKEAMKKQVQAYFVEARWLHENYMPTMDEYMRISLISSGYPLLTCISFVGMGDIVTKDAFEWLNKDPKIVKAASLIARLMDDIVSHKFEQERGHVASAVECYMNQHEVSEEQAYDELRRQVVEAWKDINEELLIGPEDHVPIPLLTRVLNLARVMDVMYKDGDGYTNAKGKVRNYITSLLIEPVQLATPSLLAS >Potri.006G067100.1.v4.1 pep chromosome:Pop_tri_v4:6:4911175:4912964:1 gene:Potri.006G067100.v4.1 transcript:Potri.006G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067100.v4.1 MPRPGPRPYECVRRAWHSDRHKPIRGSMIGQILRMAYDTHSAATKGNREWQDKLLLVVYKAEEIMYSKANSEAEYMNQDTFWDRVNDAINTIIRRDESTETGDLLPPCIEAALNLGCKVERASRSQRHSNPRSYLSPRTQESASVPPRAIDRTHDEQGLQLMPVHSINQLNIARDTATGNPDLSVSESNHHLAENSNVAYSYPFLYENIPPGSNQLTTREADMHQNFGSVYPLYCGNQYQIEASDVVSQFPMKTKSNTIFVGKPIGTSVAPHREMGVLQTVFSCSSAEVGSTRITQADFRNTHDKPTGTQCDLSLRLGLYSDPGMSIERNQAQENENAGSSRFQERDKFSVFSQQRNKEFCFFPGTSTRDPSGSCSVKWVSEGDDQNLETTIRKRKAPFRDNAEDGHFCWQSNMFIGRIEGPGL >Potri.006G067100.2.v4.1 pep chromosome:Pop_tri_v4:6:4911949:4913611:1 gene:Potri.006G067100.v4.1 transcript:Potri.006G067100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067100.v4.1 MSSLLKCYLAALNLGCKVERASRSQRHSNPRSYLSPRTQESASVPPRAIDRTHDEQGLQLMPVHSINQLNIARDTATGNPDLSVSESNHHLAENSNVAYSYPFLYENIPPGSNQLTTREADMHQNFGSVYPLYCGNQYQIEASDVVSQFPMKTKSNTIFVGKPIGTSVAPHREMGVLQTVFSCSSAEVGSTRITQADFRNTHDKPTGTQCDLSLRLGLYSDPGMSIERNQAQENENAGSSRFQERDKFSVFSQQRNKEFCFFPGTSTRDPSGSCSVKWVSEGDDQNLETTIRKRKAPFRDNAEDGHFCWQSNMFIGRIEGPGL >Potri.014G018300.2.v4.1 pep chromosome:Pop_tri_v4:14:1134841:1137945:-1 gene:Potri.014G018300.v4.1 transcript:Potri.014G018300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018300.v4.1 MASVTLHIQSPPLNNDVVSLPRNHFPIVTFKTTFFGSSLTANGKPLSLPKTFRTQPVITSSSSSSSSSTHTFDVVIIGAGIIGLTIARQFLIGSDLSVAVVDKDVPCSGATGAGQGYLWMVHKEPESDTWDLTMRSYKLWQMFAEKVRAQGLDPLQELGWKRTGSLLVGKTAKEAATLKKKVKRLSEAGLRAEYLTSDALRLKEPELEVGKDGGAAFLPDDCQLDAQRAVAFIQKANRHFSTKGRYAEFFHDPVTGLLRSDSSNEVEGVRTFKNTLYCKKAVIVAAGCWSGSLVHDLFRESDILLNVPVKPRKGHLVVLENFSSFRLDHGLMEMGYVDHLHDALDRKSSHSVKVEEGQTPSVSMTATMDTMGNLVLGSSRQFTGYSTKVDESIINHIWKRAGEFFPKLKELPLEDFTLDRKVRIGLRPYMPDGKPVIGPVPGLMNVIIATGHEGGGLSMALGTAEMVADMVLGNPGIVDLAAFALQGRCC >Potri.014G018300.11.v4.1 pep chromosome:Pop_tri_v4:14:1134890:1139134:-1 gene:Potri.014G018300.v4.1 transcript:Potri.014G018300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018300.v4.1 MVHKEPESDTWDLTMRSYKLWQMFAEKVRAQGLDPLQELGWKRTGSLLVGKTAKEAATLKKKVKRLSEAGLRAEYLTSDALRLKEPELEVGKDGGAAFLPDDCQLDAQRAVAFIQKANRHFSTKGRYAEFFHDPVTGLLRSDSSNEVEGVRTFKNTLYCKKAVIVAAGCWSGSLVHDLFRESDILLNVPVKPRKGHLVVLENFSSFRLDHGLMEMGYVDHLHDALDRKSSHSVKVEEGQTPSVSMTATMDTMGNLVLGSSRQFTGYSTKVDESIINHIWKRAGEFFPKLKELPLEDFTLDRKVRIGLRPYMPDGKPVIGPVPGLMNVIIATGHEGGGLSMALGTAEMVADMVLGNPGIVDLAAFALQGRCC >Potri.014G018300.10.v4.1 pep chromosome:Pop_tri_v4:14:1133718:1140572:-1 gene:Potri.014G018300.v4.1 transcript:Potri.014G018300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018300.v4.1 MASVTLHIQSPPLNNDVVSLPRNHFPIVTFKTTFFGSSLTANGKPLSLPKTFRTQPVITSSSSSSSSSTHTFDVVIIGAGIIGLTIARQFLIGSDLSVAVVDKDVPCSGATGAGQGYLWMVHKEPESDTWDLTMRSYKLWQMFAEKVRAQGLDPLQELGWKRTGSLLVGKTAKEAATLKKKVKRLSEAGLRAEYLTSDALRLKEPELEVGKDGGAAFLPDDCQLDAQRAVAFIQKANRHFSTKGRYAEFFHDPVTGLLRSDSSNEVEGVRTFKNTLYCKKAVIVAAGCWSGSLVHDLFRESDILLNVPVKPRKGHLVVLENFSSFRLDHGLMEMGYVDHLHDALDRKSSHSVKVEEGQTPSVSMTATMDTMGNLVLGSSRQFTGYSTKVDESIINHIWKRAGEFFPKLKELPLEDFTLDRKVRIGLRPYMPDGKPVIGPVPGLMNVIIATGHEGGGLSMALGTAEMVADMVLGNPGIVDLAAFALQGRCC >Potri.019G128400.1.v4.1 pep chromosome:Pop_tri_v4:19:15116561:15117548:-1 gene:Potri.019G128400.v4.1 transcript:Potri.019G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128400.v4.1 MKALAVFRSKLFSPCKKLLLLFRFKLKRPVFIRGLQLRRRSKKPRKAPQKNRVFNSLLSVFHPLRKSRKMDRVSELRSVSEPECERMLFPSPLTPAYIKASLEKKRQTFGDEDVEDACRSFENYLVEMMVEEGQVRDLMDVEELLYCWKNLKCPVFIDLVGRFYGELCKDLFSPDDDNTDINSPK >Potri.013G015600.1.v4.1 pep chromosome:Pop_tri_v4:13:991996:999320:-1 gene:Potri.013G015600.v4.1 transcript:Potri.013G015600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015600.v4.1 MGLGNSENDVIVDDGDGGGGGGGLNGKSFGGSVSCSICLEVVADNGDRSWAKLQCGHQFHLDCIGSAFNVKGAMQCPNCRKIEKGQWLYANGCRSLPEFSMDDWAHDEDLYDLSYSEMSFGVHWCPFGSLARLPSSFEEGEFSSNAYHDLLGQHPIFGEHTAAVSSATHPCPYIAYFGPIHPSSSNSSGSVSDVSSFNSHWNGPSVPSEIPSSYAFPAMDVHYHSWEHHSPPFSTTGNRIGNADQPSVPPVTQRSARTSSDLPPRSGSFIHPFLVGHGSSARPGSSVTSSMIPPYQGSNARARDRVQALQAYYQQLQPGNSPPIRTPVVSGSRRSSSHRGMSQVGAVASSSDQTGFYFIPSGASGRNFQEAENPPPPTRFRSWERDHLPSFSLNQVDRDSGWSTFHQAGGGPDPGIRFRQRHGSERMSSQNR >Potri.017G045150.1.v4.1 pep chromosome:Pop_tri_v4:17:3174912:3177162:-1 gene:Potri.017G045150.v4.1 transcript:Potri.017G045150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045150.v4.1 MGLKGFAEGGVASIIAGASTHPLDLIKVRMQLQGESQIPNLSSVQSYRPAFTLSSTANISLPATLELPPPPRVGPLSIGVRIIQSEGAAALFSGVSATILRQTLYSTTRMGLYDVLKHKWTDPDTNTMPLVRKIVAGLISGAVGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVVDALSQMSKQEGVASLWRGSGLTVNRAMIVTASQLASYDQAKEMILEKGLMSDGIGTHVAASFLAGFVASVASNPIDVIKTRVMNMKVEPGVEPPYKGALDCAMKTIKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Potri.003G113600.1.v4.1 pep chromosome:Pop_tri_v4:3:13602149:13603149:-1 gene:Potri.003G113600.v4.1 transcript:Potri.003G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G113600.v4.1 MESSFVGLALAAILVIQFSTPVNSSCSATRPSPRNIRYIKTSCYDTTLYPKLCYHTLAIYASTIKTNPKLLANTALHVSLKSTNSTSRLMKRASKTPGLDPRVLAAMLDCVEEVGDAVYELQRSIEEMDHAGGSNFSMVMNDVVTWVSASLTDDDTCMDGFAEGAVNKKVKTTVKRHLGRIARLTSNALALVNRYASSKANLP >Potri.003G075000.9.v4.1 pep chromosome:Pop_tri_v4:3:10255642:10257762:1 gene:Potri.003G075000.v4.1 transcript:Potri.003G075000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075000.v4.1 MTSFNRMHFYKMFVQVKSVGRFKETKRTDGISTSDVIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGFKKLREKVKKQQEIVGEKIQVVAKTACVHRNEWVENADRLVAGFLEMFEERCHKMGTTIRERIQEKLTKQKLIGLIYDRYYGDGDGDGDSDQYYYDDDTEEEYSD >Potri.003G075000.5.v4.1 pep chromosome:Pop_tri_v4:3:10253007:10258016:1 gene:Potri.003G075000.v4.1 transcript:Potri.003G075000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075000.v4.1 MEEDKAKKSSRLVEAAKEEEEERPVRVYADGIYDLFHFGHARSLEQAKKLFPNTYLVVGCCNDEVTHKYKGKTVMTDQERYESLRHCRWVDEVIPDAPWVITQEFLDKHRIDYVAHDSLPYADASGAGKDVYEFVKSVGRFKETKRTDGISTSDVIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGFKKLREKVKKQQEIVGEKIQVVAKTACVHRNEWVENADRLVAGFLEMFEERCHKMGTTIRERIQEKLTKQKLIGLIYDRYYGDGDGDGDSDQYYYDDDTEEEYSD >Potri.009G152900.1.v4.1 pep chromosome:Pop_tri_v4:9:12012769:12016370:1 gene:Potri.009G152900.v4.1 transcript:Potri.009G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152900.v4.1 MDKTKLQEVCHKRQWGLPKYSAMKDGPDHTPCFKASVYVNGISFHSSASCKSSKDAHNDAAKMAFLHFTSPPPPSSFMIPGTLADGPETNETSQELDSHSSVSDPKNYALISSAEPNAGGQHVKETNQGSDVQSHSLGVKADTPLKYKSHLQNYARWKNCDLPTYSNTREGPSHAPCFKATVTVDGHTIESPEFFNTLKAAEHAAAKAALMSLSTNGFLEDDSGFYKNALQELAQREDLSMPVYKIIKSGALHMPTFFSYVEIEGEKFYGKAGKSKKEAELKSARAAYTVLMERALNRNAESDPPNFSPDETLNSTPGLDMTTAVNLQQHLKQNGQLSSPVIVDEEHSAETKDIISLKSDAVQEVNFADPKSGNAKVGPEDSILSPSPEKPAIVEVIGNQESSSCSEYVPTSSPEGSSSSTWTHNSSSAPTTSDSNVRKATRPRSYLLCNRVRVYPCYPDIALPNGITVMPISDNQWVAVSLEFPMEQGY >Potri.006G055000.1.v4.1 pep chromosome:Pop_tri_v4:6:3885007:3889535:1 gene:Potri.006G055000.v4.1 transcript:Potri.006G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055000.v4.1 MAIGAALIILPLGVLFFCSGLIVNIIQAICFVFIRPLSKSTYRKINRQLAELLWLELVWIFDWWAGVQIKVFTDKETVRLMGKEHALVICNHRSDIDWLVGWVLAQRSGCLGSAVAVMKKSSKFLPVIGWSMWFSEYLFLERSWAKDENTLKSGLQRLKDFPRPFWLALFVEGTRFTLPKLLAAQEFAASQGLPIPRNVLIPRTKGFVSAVSNMRSFVPAIYDVTLAIPKSSPPPTMLNLFKGKSSVVHVHIKRHLMKELPETEDGVAQWCKDIFVAKDALLDKHMTEDTFSDQELQDLGRPKKSLVVVTSWACLLISGALKFLQRSSLLSSRKGIAFAVSSLAVVTLLMYILIRFSQSERSTTAKVAAAKPKCEGKPSETGDDK >Potri.003G117900.1.v4.1 pep chromosome:Pop_tri_v4:3:13991093:13992206:1 gene:Potri.003G117900.v4.1 transcript:Potri.003G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117900.v4.1 MASPNKMFMIIAIVAVSVPSILATEHLVGDATGWKPGFDYGAWANGKEFHVGDTLVFKYRAGAHNVLRVNGTGFQECKAADDTVPLSSGNDVISLSTPGKKWYICGFAEHCESGNQKLAITVLAQLGSPSTSPSPSPTGTSPSGATSGSTVSRYYGLIVAIVGMVMF >Potri.012G031600.1.v4.1 pep chromosome:Pop_tri_v4:12:926848:931252:1 gene:Potri.012G031600.v4.1 transcript:Potri.012G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031600.v4.1 MFCHARSHLRRPNIKLTTTLLRTLTTVGAAAYPEIPLLQESTSYTVTPPIKPWPQRLYPKRLISMITHQENLDLAFQIFDYAGKYHPGFSHTYDTYHSIIDKLSRARAFDGVESLLSQLSRNSSHIKCGDDVFISVIRNYGLAGRPRLALKTFTRIKEEFSMQPSVKLLNTLLNVFVQNKRYDLVGSTLKNCKDKYGVLPNVFSFNILIKALCKKNDVENALKVFDEMPTMGMIPNLVTYTTILGGFVSRGDLVNAEKVFSEISDKGWLPDATTYTVLMVGYCKQGRLSDAIKVMDNMEYNGVEPNEVTYGVMIDAYCKEKKSGEARNLIDDMLDKKFLPSSTLCCKVIDVLCEDGKVENACHLWKRMLEKNCLPDNAIMSTLIHWLCKEGKVWEARKLFDEFEQGTIPSLMTYNTLIAGMCERGELNEAGRLWDDMVEKRCRPNAFTYNMLIKGFMKAGVVKEGVRILEEMLDNGCLPNKSTYTLLIEGLQESGMEGDVDKVMSMAMASREVDADSWDLFLHKIVGNLDCGTGALDRLLMESAT >Potri.008G138300.2.v4.1 pep chromosome:Pop_tri_v4:8:9297715:9301689:-1 gene:Potri.008G138300.v4.1 transcript:Potri.008G138300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138300.v4.1 MFCGLIFLAGEAMMTYKTISSAMIVQKSIHMFLHLIALCLGIVGICAVFRFHDMIQAEDVYSLHSWVGLSTFCLFCLQWVFGFFTFMFPKAGKQTRASMLPWHVCGGRALLYMATCAALTGLIEKATFLELKHHRESHLINFTGLFILLFGIFVDLSVALARYV >Potri.008G138300.1.v4.1 pep chromosome:Pop_tri_v4:8:9297715:9301702:-1 gene:Potri.008G138300.v4.1 transcript:Potri.008G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138300.v4.1 MDAGSTIYKQSASRLTVITHLFGILAIILMLVWLLHYRGGIEYHSDNPDRVFNAHPFFMFCGLIFLAGEAMMTYKTISSAMIVQKSIHMFLHLIALCLGIVGICAVFRFHDMIQAEDVYSLHSWVGLSTFCLFCLQWVFGFFTFMFPKAGKQTRASMLPWHVCGGRALLYMATCAALTGLIEKATFLELKHHRESHLINFTGLFILLFGIFVDLSVALARYV >Potri.001G108500.1.v4.1 pep chromosome:Pop_tri_v4:1:8744898:8746631:-1 gene:Potri.001G108500.v4.1 transcript:Potri.001G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108500.v4.1 MSALPPSLLPTKPTKNHNQFQSFSLKSCLSLLEICKFTTEFAQLHARLTKLGFIKNPLALTRLLCYSSISQYANINYAQSIFNFDKNPNTFAYNVMIRGYAQREKPENVLSLFYSMLCNANSGQNKLTFPFVLKACSQVRAIEEGKQVHGLVFKHGLSEDLFVQNSLISMYSSCGLIGFACQVFNKIDDPDVVSWNSMISGLVDLGFVEEGKQMFDRMSKRSLVTWNCLIDGYVKAGLLMEARELFDQMVGKNSDAKGFFDKMPWEMKDVITFNLMIDGFTMVSVLTACSYLGALEQGEWMQAHIEKNGIDVDSVLGTALVEMFAKCGSIERALSVFKSIEERDVGAWNSIIHKLAAHGHGQEAFTIFSDMLRSNTLPDGITFLGLLSVCRHLGLVDEGKRFFQLMSEEYGLVPKVEHYGCMVDLLCHADLLEEARDLIDSSHASQMKSSVPMWGALLGASCQLKNIVMGEYAAKHLLQLDPFNGSCYTVLSNLYSAAGLYEKAIEVRNEMKKQGLEKIPGSSSMEIDGLVLDF >Potri.007G129200.1.v4.1 pep chromosome:Pop_tri_v4:7:14391139:14391998:-1 gene:Potri.007G129200.v4.1 transcript:Potri.007G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129200.v4.1 MVKENNKNPLQLKSINHISIVCRSLEKSLDFYQNVLGFFPVRRPSSLNFDGAWLFSCYGIGIHLLQSEDPESMPKITKINPKDNHFSFQCESMAMVEKKLEEMEIKYVKTRVEEDGMEVDQLFFHDPDGMMIEICNCDNLPVIPLAHDVMFSCSFNYKL >Potri.003G076400.1.v4.1 pep chromosome:Pop_tri_v4:3:10376601:10378669:-1 gene:Potri.003G076400.v4.1 transcript:Potri.003G076400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076400.v4.1 MEGATSSCIFCQIATKSSSTTLLHSDDKVVAFQDINPSAFRHFLVIPVEHIPTVNDLQKRDEDYSLVNHMLNVGKTLLHLDAPQSKQYRFGFHQPPFNSVDHLHLHCLALPFIPKWKHVKYMSLGHHGFIEAEQLLEKIKPSQAFTP >Potri.017G050600.2.v4.1 pep chromosome:Pop_tri_v4:17:3587378:3589889:1 gene:Potri.017G050600.v4.1 transcript:Potri.017G050600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050600.v4.1 MKMQLPYLYFTFILCTISFKAPVMRCQNVTCNSTDLRALINFSSCIDSGIDGWDISSSGCCSWNGVTCDNSTTSSKRVVKLELARKGLGGSICKSFEGLDELRILNLSANFLTGYLNPYHFSLQKLEVIDMSNNDFYGQLLHGDDLPSLWYVDLSMNRFSGSIDATYCSMSPLIEVLNLANNYLIGEVSESFVKCSSLQHLFLNGNQISGTFPKSLLQLRDLRTLKLQENLFTGSLNDGIGNLSKLVKLDLSFNRFNGFLPDVFDQLETLEHFSARSNKFSGQLPKSLVLDLSQNHLGGSIPYWIGTFKYLLYLNLSSNSLTGEIPEGLTELPSLIDMNISLERFATKIWSSIPSLDLSYNMLTGHIPPSTGKLRKLHILNLKYNSLSGPIPGSLSRMTSLETLDLSHNKLSGEIPDTLRELSCLSTFNVSYNQLHGEVPETGQLATFLCTSFIGNPGLTCGWDAYSPPAQTPPPPTPVVFPSDKMTIMGWPFVFGVPTGFVITVGFCFVTGWIFPKPEKRKVRVIRIGR >Potri.017G050600.3.v4.1 pep chromosome:Pop_tri_v4:17:3587464:3589889:1 gene:Potri.017G050600.v4.1 transcript:Potri.017G050600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050600.v4.1 MKMQLPYLYFTFILCTISFKAPVMRCQNVTCNSTDLRALINFSSCIDSGIDGWDISSSGCCSWNGVTCDNSTTSSKRVVKLELARKGLGGSICKSFEGLDELRILNLSANFLTGYLNPYHFSLQKLEVIDMSNNDFYGQLLHGDDLPSLWYVDLSMNRFSGSIDATYCSMSPLIEVLNLANNYLIGEVSESFVKCSSLQHLFLNGNQISGTFPKSLLQLRDLRTLKLQENLFTGSLNDGIGNLSKLVKLDLSFNRFNGFLPDVFDQLETLEHFSARSNKFSGQLPKSLVNSQSLLTLDLENNSFTGLIDLNCSAMIQLTTLNLASNNFHDLVANSLSSCLGLNSLNLSHNHLRGGLQFAFKNLQSMRRLSLSNTGLVNFTSALATLQYCENLTMLDLSLNFQNEELPTDMSLQFRNLKELFVSNCQLRGSIPSWLSSFSNLQVLDLSQNHLGGSIPYWIGTFKYLLYLNLSSNSLTGEIPEGLTELPSLIDMNISLERFATKIWSSIPSLDLSYNMLTGHIPPSTGKLRKLHILNLKYNSLSGPIPGSLSRMTSLETLDLSHNKLSGEIPDTLRELSCLSTFNVSYNQLHGEVPETGQLATFLCTSFIGNPGLTCGWDAYSPPAQTPPPPTPVVFPSDKMTIMGWPFVFGVPTGFVITVGFCFVTGWIFPKPEKRKVRVIRIGR >Potri.006G056400.1.v4.1 pep chromosome:Pop_tri_v4:6:3976239:3978756:-1 gene:Potri.006G056400.v4.1 transcript:Potri.006G056400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056400.v4.1 MGRKGKKTRVSRQEEEEIESEVDEEMESEVEEEEQQEHMTQSSANEKSLYEVLGVEKAASQQEIKKAYYKLALRLHPDKNPGDEDAKEKFQLLQKVISILGDEEKRAVYDQTGCVDDADLAGDVVQNLKDFFRNFYKKVTEADIEEFEANYRGSDSEKKDLIDLYKEWQGNMSRLFCSMLCSDPKLDSHRFKDILDEAISAGEVKRTKAYQKWAKRISETKPPTNPLKRREKSKKEPEADLFAIISERQSKRKNQVTSFLSSLESKYGGSNASSEPTEEEFEAIQKKMESRRKGSKKSNQK >Potri.001G134200.1.v4.1 pep chromosome:Pop_tri_v4:1:10913672:10914685:-1 gene:Potri.001G134200.v4.1 transcript:Potri.001G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134200.v4.1 MGFSYFSYNQDHDDQEKEEVPSFSPSDYNHVDERRDKLGIDFFKLNPLVLSLSKEECFKTLNMHHHDDDDNNDDDDDDDDDDDDEGEEEEEEDSHEIACKSLIMNRGGGGGDEDEGPRKIDWGYLEELMAELLDEFELSMDRSIMMDNGQCSSVMKVQQDDDDDDDDLEHHSDIKEFADDFKVAVHGLHEVQWATEHFDSGANWVTSGGPD >Potri.018G149500.6.v4.1 pep chromosome:Pop_tri_v4:18:16068004:16074843:1 gene:Potri.018G149500.v4.1 transcript:Potri.018G149500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149500.v4.1 MIMENALAGKFLKPCFHLNSRKATLVLQKRKGIGTSHKFCYPSKFKIHPLVSHPLHLPNLEAGGVEIQESSYEGLVSDQEIVWPSPDDEIPFWKRDFPSWNVSPEVPNESSVKDSDLMHIIHVTAEMAPIAKVGGLGDVVTGLARASLSRGHTVDIVLPFYECIQKQQINDLALISTYDSYHDGNWIPTNAYRGVVSGIPVIFIEPSNEFFKGQQVYGGSYNELEAYLFFSRACLEWMQVTGAQPDIIHVHEWQIGALPLLYWDMYQYLSLKKPRIVLTIHNMEHYGECRQEQLSKCGLDGSMYATAEKAVDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSGWLASTLMMHCDKYFGVLNGIDTAMWNPATDVFLPAKFHAQKIEGKKLCKYYIQRGLGLAAKSITSSNHVPDATVKIPLVVCITRLVPQKGLHLITHALKHIEELGGQMIVLGKAPDGRVEGEFKRLADMHNQGPSIRILLMYSEELSHMLYAAADMVLVPSMYEPCGLSQIIGMRYGSVPVVRKTGGLADTVFDMDDQSNPEIANGFVFEGIDEGSLNWALDRAFAYYRDKPDEWNCIVKKVIEIDNSWNNTAGKYIEVYNSVRGRS >Potri.018G149500.4.v4.1 pep chromosome:Pop_tri_v4:18:16068004:16074883:1 gene:Potri.018G149500.v4.1 transcript:Potri.018G149500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149500.v4.1 MIMENALAGKFLKPCFHLNSRKATLVLQKRKGIGTSHKFCYPSKFKIHPLVSHPLHLPNLEAGGVEIQESSYEGLVSDQEIVWPSPDDEIPFWKRDFPSWNVSPEVPNESSVKDSDLMHIIHVTAEMAPIAKVGGLGDVVTGLARASLSRGHTVDIVLPFYECIQKQQINDLALISTYDSYHDGNWIPTNAYRGVVSGIPVIFIEPSNEFFKGQQVYGGSYNELEAYLFFSRACLEWMQVTGAQPDIIHVHEWQIGALPLLYWDMYQYLSLKKPRIVLTIHNMEHYGECRQEQLSKCGLDGSMYATAEKAVDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSGWLASTLMMHCDKYFGVLNGIDTAMWNPATDVFLPAKFHAQKIEGKKLCKYYIQRGLGLAAKSITSSNHVPDATVKIPLVVCITRLVPQKGLHLITHALKHIEELGGQMIVLGKAPDGRVEGEFKRLADMHNQGPSIRILLMYSEELSHMLYAAADMVLVPSMYEPCGLSQIIGMRYGSVPVVRKTGGLADTVFDMDDQSNPEIANGFVFEGIDEGSLNWALDRAFAYYRDKPDEWNCIVKKVIEIDNSWNNTAGKYIEVYNSVRGRS >Potri.018G149500.5.v4.1 pep chromosome:Pop_tri_v4:18:16068004:16074884:1 gene:Potri.018G149500.v4.1 transcript:Potri.018G149500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149500.v4.1 MIMENALAGKFLKPCFHLNSRKATLVLQKRKGIGTSHKFCYPSKFKIHPLVSHPLHLPNLEAGGVEIQESSYEGLVSDQEIVWPSPDDEIPFWKRDFPSWNVSPEVPNESSVKDSDLMHIIHVTAEMAPIAKVGGLGDVVTGLARASLSRGHTVDIVLPFYECIQKQQINDLALISTYDSYHDGNWIPTNAYRGVVSGIPVIFIEPSNEFFKGQQVYGGSYNELEAYLFFSRACLEWMQVTGAQPDIIHVHEWQIGALPLLYWDMYQYLSLKKPRIVLTIHNMEHYGECRQEQLSKCGLDGSMYATAEKAVDDRTIGHNPERLSLLKGGIVYSNAVVTVSPTYLKETLCSGWLASTLMMHCDKYFGVLNGIDTAMWNPATDVFLPAKFHAQKIEGKKLCKYYIQRGLGLAAKSITSSNHVPDATVKIPLVVCITRLVPQKGLHLITHALKHIEELGGQMIVLGKAPDGRVEGEFKRLADMHNQGPSIRILLMYSEELSHMLYAAADMVLVPSMYEPCGLSQIIGMRYGSVPVVRKTGGLADTVFDMDDQSNPEIANGFVFEGIDEGSLNWALDRAFAYYRDKPDEWNCIVKKVIEIDNSWNNTAGKYIEVYNSVRGRS >Potri.017G145516.1.v4.1 pep chromosome:Pop_tri_v4:17:14573946:14577480:-1 gene:Potri.017G145516.v4.1 transcript:Potri.017G145516.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145516.v4.1 MIFFLLGQKLVLKVDVHDQKTMTKIIKTTSILEGVDSVSVDRKANKMTVIGDGIDPVVIMCQLRKFFHAEIIAVGPAKEPEKKIEPKKEEQKQQEEGQMSKDEDQVKKDENAFA >Potri.017G145516.2.v4.1 pep chromosome:Pop_tri_v4:17:14573946:14577480:-1 gene:Potri.017G145516.v4.1 transcript:Potri.017G145516.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145516.v4.1 MTKIIKTTSILEGVDSVSVDRKANKMTVIGDGIDPVVIMCQLRKFFHAEIIAVGPAKEPEKKIEPKKEEQKQQEEGQMSKDEDQVKKDENAFA >Potri.009G073400.1.v4.1 pep chromosome:Pop_tri_v4:9:7188450:7191676:1 gene:Potri.009G073400.v4.1 transcript:Potri.009G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073400.v4.1 MASTEPEHEHREDEEAPAGEDEDTGAQVAPIVKLEEVAVSTGEEDEDAILDLKSKLYRFDKDGNQWKERGAGTVKLLKHKESGKVRLVMRQSKTLKICANHLVLPTMSVQEHAGNDKSCVWHATDFADGELKDELFCIRFASVENCKTFMEMFQEVAESQESKEENEDATVAADALEKLSVEGKKTEENAGEEAPAATKNEETKTDTDKKGEKPAPST >Potri.005G200900.1.v4.1 pep chromosome:Pop_tri_v4:5:20673940:20675678:-1 gene:Potri.005G200900.v4.1 transcript:Potri.005G200900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200900.v4.1 MEKQKSNQAPMLMWVLVAGLLSHNLAFPVLATTFEDQKNYYSPPDPHSRSPPSGSHTPSHGTTPSHGSGGSSGGTPSHSTPSTPSGGSHNPTPSTPSGGGHNIPTPSTPSGGNCGTPPSVPTPSIPSNPPRGGTYPTPPTTGGSPPTPVSVSPPTTPSIIPGTPFTPTPPFIPDPNSPFSCNYWRTHPALIWGVLGWWGTMGNAFGVGSLPGFGTSMTVQQALSNTRTDGYGTLYREGTASLLNSMVSNRFPFTTRQVRENFISALASNKAAGAQGHLFKLANEGRLKPRA >Potri.005G200900.2.v4.1 pep chromosome:Pop_tri_v4:5:20673890:20675754:-1 gene:Potri.005G200900.v4.1 transcript:Potri.005G200900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200900.v4.1 MEKQKSNQAPMLMWVLVAGLLSHNLAFPVLATTFEDQKNYYSPPDPHSRSPPSGSHTPSHGTTPSHGSGGSSGGTPSHSTPSTPSGGSHNPTPSTPSGGGYNPTPSTPSGGGHNIPTPSTPSGGNCGTPPSVPTPSIPSNPPRGGTYPTPPTTGGSPPTPVSVSPPTTPSIIPGTPFTPTPPFIPDPNSPFSCNYWRTHPALIWGVLGWWGTMGNAFGVGSLPGFGTSMTVQQALSNTRTDGYGTLYREGTASLLNSMVSNRFPFTTRQVRENFISALASNKAAGAQGHLFKLANEGRLKPRA >Potri.011G039700.2.v4.1 pep chromosome:Pop_tri_v4:11:3070040:3071692:1 gene:Potri.011G039700.v4.1 transcript:Potri.011G039700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039700.v4.1 MKRCELCDSLAKVYCESDQANLCWDCDANVHSANFLVAKHSRSLLCHVCQSLTPWTGTGHKLGPTLSVCNNCVNNSVCREERGREDDEEGDNDDGDDDDDDDDDDDDDDDDDLDREEDGDEDEDEENGDGGNDHGGEDDEENQVVPWSSTPPPPVSSPSNDSEECSSRFCDSDGGISKSRRAFSSKHRRGTVP >Potri.011G039700.3.v4.1 pep chromosome:Pop_tri_v4:11:3070040:3071691:1 gene:Potri.011G039700.v4.1 transcript:Potri.011G039700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039700.v4.1 MKRCELCDSLAKVYCESDQANLCWDCDANVHSANFLVAKHSRSLLCHVCQSLTPWTGTGHKLGPTLSVCNNCVNNSVCREERGREDDEEGDNDDGDDDDDDDDDDDDDDDDDLDREEDGDEDEDEENGDGGNDHGGEDDEENQVVPWSSTPPPPVSSPSNDSEECSSRFCDSDGGISKSRRAFSSKHRRGTVP >Potri.001G305400.1.v4.1 pep chromosome:Pop_tri_v4:1:31532737:31536101:1 gene:Potri.001G305400.v4.1 transcript:Potri.001G305400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305400.v4.1 MAALPPNPVPVAYQGGSASVPDWLNKGDNSWQMISATLVGLQSMPGLVILYGSIVKKKWAVNSAFMALYAFAAVVLCWVVWAYKMSFGDKLLPFWGKAGPALGQKFLIRQAELPATTHFYDNGEVETAMIQPFYPMASMVWFQCVFAAITLILLAGSVLGRMSFKAWMAFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGLTTAFWVGPRSTKDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYTANIDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIIMGILSGSVPWFTMMIVHKRWTLIQKIDDTLGVFHTHAVAGLLGGVLTGLFAEPQLCALFLPVTNSRGGVYGGSGGIQVLKQLVGAAFIIGWNVVVTSIICVVINLVIPLRMSDEELLIGDDAVHGEEAYALWGDGEKYDSTKHGDTTEEFPMERKSSTQVL >Potri.018G031250.1.v4.1 pep chromosome:Pop_tri_v4:18:2295974:2296724:-1 gene:Potri.018G031250.v4.1 transcript:Potri.018G031250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031250.v4.1 MRTAVPQDLRPEHGRPHEDDQGIDESEIEAPLCLHPRGFWSFGLRALRIYGGSLLIFVKFLPSVFEEW >Potri.010G139600.1.v4.1 pep chromosome:Pop_tri_v4:10:15357022:15359694:-1 gene:Potri.010G139600.v4.1 transcript:Potri.010G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139600.v4.1 MVFLGNMIILLFSSLCIYLIFTLVKVLHKLWWTPIRIQNFMASQGIRGPSYRFIHGSTKEVLIMKKEAMAKPMTLSHNIFPKVLPQIYTWINTYGRNYLSWYGPKAHFVVSEPELVKEILNNKDRSYPKGDIPAYFRLLLGNGVTTSEGEKWTKHRKLSTYAFNAENLKGMIPEMIASVEMLLERWKQNEGREIEVYQELRLLTSEIISRTAFGSSYVEGKSIFDMLTKLSLIIHRNIYKLRLPGISKFWRTQDEIESEKLVKGIHNSVMEIIKKREEKVKTGEADGFGNDFLGVLLNSYHDADEKKRISIEDLVDECKTFYIAGQESTNSLLSWTILLLGIHTDWQEEARKEVFNIFGQQKPNLDGIAKLKILTMIINETLRLYPPVLGLMRKVEREVQIGQLTLPANMNLYIANAALHHDPEIWGEDVHLFKPERFSGGVAKATNNIPAAFFPFGLGPRTCVGLNFATTEAKITLSMILQKYLFTLSPTYTHSPYEAVTLCPRNGVQVILHSL >Potri.005G224200.1.v4.1 pep chromosome:Pop_tri_v4:5:22536338:22537534:-1 gene:Potri.005G224200.v4.1 transcript:Potri.005G224200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224200.v4.1 MSCLNLRLPPAKKVWKSFTSKFQRKLHKLNMSKSIKKSKYRTNNIINNTFDHKRDETRKRPAYQRRALPFKQRSSRFGHAHKKLAPVYIDKLFRGPVSASELVAKFPPTTKTMKILDHQAATTVEPGGTSMGLDEIMRGERGCTADDMWESLGFASPQMQGIDERAEQFILTFREEMEIQETIERGL >Potri.019G014316.1.v4.1 pep chromosome:Pop_tri_v4:19:1932779:1933768:1 gene:Potri.019G014316.v4.1 transcript:Potri.019G014316.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014316.v4.1 MTGMRAQEDRVSEGALESRLRTEPVDQALEQSNAVLGNMAGGAGRIHVGVQGMEQGPGENRIQSHLQAENGMENTGEGSFQHDAFENVLRTEQVQLLEPQGDSSQFCLDIGRCDDQTCAP >Potri.001G314400.1.v4.1 pep chromosome:Pop_tri_v4:1:32525225:32527605:1 gene:Potri.001G314400.v4.1 transcript:Potri.001G314400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314400.v4.1 MDKEENYSGSFTELDYSLDHHHQQQDHLGLMKQRIGGTSGDDDCNNGMIDYMLNNPQQHQQQMSSGFCTSTSFDKLSFADVMQFADFGPKLALNQNKISEEETGIDPVYFLKFPVLNDKIEEQSIRVPQLGGENIEEMFTGVSSGENRAGMVGEERGIGEDEEARISDNNSVQLQFLGDQDLQNKNPIPEAKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLMDDSSLAIQQPAQPAFFSPMPLPNDQMKLVDFETGLREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLIKAIAALEDLQLNILHTNITTIDQTVLYSFNVKIASDSGFTAEDIASSVQQIFNFIHANSSI >Potri.007G010300.1.v4.1 pep chromosome:Pop_tri_v4:7:791619:798725:-1 gene:Potri.007G010300.v4.1 transcript:Potri.007G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010300.v4.1 MDSVSHAAVISSSKLSYGGRVSFNKDKNPLLRSSVVSLRNWRAPSRNLGVLAAQIGNKEIDFSDPDWKTNYQRDFERRFNIPHITDIFPDADPIPSTFCLKMRTPVMEDFAGGYPSDEEWHGYINKNDRVLLKVIHYSSPTSAGAECIDPNCTWVEQWVHRAGPREKIYFKPEEVKAAIVTCGGLCPGLNDVIRQIVITLEIYGVKKIVGIPFGYRGFSDEGLSEMPLSRKVVQNVHLSGGSLLGVSRGGPSVSDIVDSMEERGINMLFVLGGNGTHAGANAIHNECRRRRMRVAVVGVPKTIDNDILMMDKTFGFDTAVEEAQRAINSAYIEAHSAYHGIGIVKLMGRNSGFIAMHASLASGQIDICLIPEVPFHLHGPLGVLRHLKFLIETKGSAVLCVAEGAGQNFLGRTNATDASGNTVLGDFGVHIQQETKKYFKEIGVHADVKYIDPTYMIRACRANASDGILCTVLGQNAVHGAFAGYSGITVGICNTHYVYFPIPEVISYPRAVDPNSRMWHRCLTSTGQPDFV >Potri.009G090300.2.v4.1 pep chromosome:Pop_tri_v4:9:8319542:8322082:1 gene:Potri.009G090300.v4.1 transcript:Potri.009G090300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090300.v4.1 MGEEGSDAENKAKTSPKLTLLPLIALIFYDVSGGPFGVEDSVRAGGGPLLSLLGFLIFPLIWSIPEALVTAELATSFPGNGGYVIWISSAFGPFWGFQEGFWKWFSGVMDNALYPVLFLDYLKHSFPIFNQSIARIPALLGITVSLTYLNYRGLHIVGFSAVSLAVFSLCPFVVMGLLSIPRIRPKQWLVVDFKKLDWRGYFNCMFWNLNYWDKASTLAGEVENPSKTFPKALFGAVILVVSSYLIPLLASTGALKSPSSQWSDGYFAEVGMLIGGVWLKWWIQAAAAMSNLGLFEAEMSGDAFQLLGMSEMGMLPSIFASRSKYGTPTFSILCSATGVIFLSWMSFQEILEFLNFLYAIGMLLEFAAFIKLRIKKPELHRPYKVPLQTFGAALLCLPPALLLVLVMCLASMRTFLVSGVVILLGFLLYPTLVHAKDRKWAKFDTEEPGLPSNTSREENSVFLHPHQQVADEASVSLLSDLPSTELGQESCEVLVEGVLKSE >Potri.012G066250.1.v4.1 pep chromosome:Pop_tri_v4:12:8501117:8502039:1 gene:Potri.012G066250.v4.1 transcript:Potri.012G066250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066250.v4.1 MGEALKEIKLPDSRDILVEEPPIIPPTHVHLQTLKPIFRVSPHGSGSTLYDSYELRAVTNQLNQAMQGYNGAPNLLSFLKSPFYSQRLDRTYRQNSKSPKGITYPKLAHVSFDRKASTTGTKSASGSLVSRLWQKVRKELSRTKQTSKG >Potri.009G081800.1.v4.1 pep chromosome:Pop_tri_v4:9:7776252:7779922:1 gene:Potri.009G081800.v4.1 transcript:Potri.009G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081800.v4.1 MSVQYFWQWFPLLFFYLTLSMLISPSKSDDQFQMLLKFKSAVQHSKTNVFTTWTQENSVCSFTGIVCNKNRFVTEINLPQQQLEGVLPFDAICGLRSLEKISMGSNSLHGGITEDLKHCTSLQVLDLGNNSFTGKVPDLFTLQKLKILSINTSGFSGPFPWRSLENLTNLAFLSLGDNPFDVTSSFPVELLKLDKLYWLYLSNCSIKGQIPEGISNLTLLENLELSDNQLFGEIPAGIGKLSKLRQLELYNNSLTGKLPTGFGNLTSLVNFDASHNRLEGELVELKPLKLLASLHLFENQFTGEIPEEFGELKYLEKFSLYTNKLTGPLPQKLGSWADFAYIDVSENFLTGRIPPDMCKNGKMTDLLILQNNFTGQVPESYANCKSLVRFRVSKNSLSGYIPAGIWGMPNLFIVDFSMNQFEGPVTPDIGNAKSLAIVNLANNRFSGTLPSTISQTSSLVSIQLSSNRFSGEIPSTIGELKKLNSLYLTGNMFSGAIPDSLGSCVSLTDINLSGNSFSGNIPESLGSLPTLNSLNLSNNKLSGEIPVSLSHLKLSNLDLSNNQLIGPVPDSFSLEAFREGFDGNPGLCSQNLKNLQPCSRNARTSNQLRVFVSCFVAGLLVLVIFSCCFLFLKLRQNNLAHPLKQSSWKMKSFRILSFSESDVIDAIKSENLIGKGGSGNVYKVVLDNGNELAVKHIWTANSIDRTGFRSSSAMLTKRNSRSPEYDAEVATLSNVRHVNVVKLYCSITSDDCNLLVYEYLPNGSLWDRLHSCHKIKMGWELRYSIAAGAARGLEYLHHGFDRPVIHRDVKSSNILLDEEWKPRIADFGLAKIVQAGGQGDWTHVIAGTHGYIAPEYAYTCKVNEKSDVYSFGVVLMELVTGKRPIEPEFGENKDIVYWVCSKLESKESALQVVDSNISEVFKEDAIKMLRIAIHCTSKIPALRPSMRMVVHMLEEVEPLQLTDVVVVDKVSGSCSKEKVNTGSISDPSS >Potri.013G047200.1.v4.1 pep chromosome:Pop_tri_v4:13:3346981:3352394:1 gene:Potri.013G047200.v4.1 transcript:Potri.013G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047200.v4.1 MDHIVGGKFKLGRKIGGGSFGEIFLGIDVQNGEEVGIKLEPVRSKHPQLHYESKIYMLLQGGMGIPQLKWFGVDGEYSIMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDSQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKTLTPIEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFLREGYQFDYIYDWTILKYPQIGSNSRQKPSAKAGSKLRPSTEKTEKTPVGKEMHDRYLGVVEVLGRRSASNVNVDRSKHRPSENVSPSKEVRSDLDRGRTSFSHNGSMSRKAVASSSRIGTTVSANESRSSWLFSNTNRLPTTQKNRSGVESKMASVSHGTPTKGTREGHLRSFENLSIGAQKRKQ >Potri.013G047200.4.v4.1 pep chromosome:Pop_tri_v4:13:3346989:3352395:1 gene:Potri.013G047200.v4.1 transcript:Potri.013G047200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047200.v4.1 MDHIVGGKFKLGRKIGGGSFGEIFLGIDVQNGEEVGIKLEPVRSKHPQLHYESKIYMLLQGGMGIPQLKWFGVDGEYSIMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDSQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKTLTPIEVLCKSYPSEFISYFHYCRSLRFEDKPDYSYLKRLFRDLFLREGYQFDYIYDWTILKYPQIGSNSRQKQPSAKAGSKLRPSTEKTEKTPVGKEMHDRYLGVVEVLGRRSASNVNVDRSKHRPSENVSPSKEVRSDLDRGRTSFSHNGSMSRKAVASSSRIGTTVSANESRSSWLFSNTNRLPTTQKNRSGVESKMASVSHGTPTKGTREGHLRSFENLSIGAQKRKQ >Potri.001G463550.1.v4.1 pep chromosome:Pop_tri_v4:1:48948451:48953737:1 gene:Potri.001G463550.v4.1 transcript:Potri.001G463550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463550.v4.1 MTRIPFNLRVKFIGIKGTEYTPNNSSFTPILVSSAYNLRLTLPSVPKPEFIFTPLNESHIQAAVICSKHLGIHIRVRRGGHDYEGVSYVSEIETPFIVVDITQFRSISVDINDNSVWVQAGATNGELYYRIAEKSRTLGYPAGTATSLGIGGHITGGAYGAMLRKYGLGAYIVIDARIIDSRGRVLDRKAMGEDLFWAISGGGGGSFGIITAWKVKLVPVTSTVTVFTVSKTLEQCFPELGLTQQDCVEASWIISSAVYLAGYSTATPEILLQRNRTFSTCFKAKSDRAKEAIPETALEGLWQRLLAEDNAVVVFTPYTTFNSLFLGRTDQLLERMEESFPDLGLRSIDCTEMSWIESILYFSVYPEGETLEALVNRKPEPKGFFKATTDFVEHPIAEPVLEKLWNWCLEEEKPILIMEPYGGRMEEISEAETPFPYREGILYNIQYFVKWEDGDNIMSSQRHINWIRSIYENMTPYVSKNPRGACVNYRDLDLGKNDEAAKWGHKYFKNNFERLEIVKGMVDPCNFFAYEQSIPLPPLNLSEGKREVE >Potri.001G289300.1.v4.1 pep chromosome:Pop_tri_v4:1:30179817:30185042:1 gene:Potri.001G289300.v4.1 transcript:Potri.001G289300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289300.v4.1 MAVSSDSMLQKVFAKSPTFDSNKKVLGKSVGISGGVISCNERKLKSEDKIAVKASAEAGLKGLKSYEVNLDLGFDVVAERELREKGFLGVRKTKLVCTIGPACCSLEDLERLAMGGMNVARLNMCHNTREWHRDVIRKIKKLNEEKGFFVSVMIDTEGSLIHVVDHGAPSSIKAEEGSVWVFTATKFEGSRPFTVQANYEGFSEGIMVGDELVIDGGMAKFEVVERMGNDLRCKCTDPGLFLPRAKLSFWRDGKLSYHGLPTLSIKDWADVDFGVSEGVDFIALSFVNDANSVKNLKNYLSAKSSKSIRVLAKIETLESLQKLEEIVEASDGIMVARGDLGVEVPLELIPTVQEDVTRLCRQLNKPVIIASQLLESMVECPTPTRAEVADVSEAVRQYADALMLSGESAIGLHGQKALSVLQMVSSRMELWSHEENRQSALHNSQLGDMLSDCIAEEICNCAVQMANNLGVDAIFVYTKHGEMASLLSRNRPYPPIFAFTSDNNARMALNLQWGVIPLLVDLSDDMEANISKTIDLIRTKGMIKEGDAVLLVSDLTPTHATSTTFQSIQVKTIV >Potri.002G084300.1.v4.1 pep chromosome:Pop_tri_v4:2:5988125:6005931:-1 gene:Potri.002G084300.v4.1 transcript:Potri.002G084300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084300.v4.1 MDESPLQLVSEIGDHLGRHARPNKDFLVKSLRQAANALSQIEQPLETFKKAEATKKLEAAIKPLRKSILKHYLIKHTDKEVKLLVAICVSEVFRVLAPEPPFEDKYLRDIFILFLNMFKELSDTASPHFLRRVKVLETVARCKCCVIMLDVDCHDLVLEMFKIFFSSVREHHQQSLIDEILSIMKHVLNEEASQALLDVILLNLIKEGKAATPAASQLAASVIQTCEEKLEPFVCGFLTSCFLDRDAVESELKEFYHEILFKVFQCAPHMLLGVIPNLTQELLTDQVDVRIKAVNLIGKLLALPEHHAVQKYQSLFVEFKNRFSDKSAEVRLSVLQCAKACYIANPSGNVSREILTVLEGRLLDFDDRVRTQAAVVACDLARTNLRFFPPELISKVSERLRDKKISVRKKALEKLMEVYRDYCIMCSEGLMTASDHFEQIPCKVLMLSYDKDCKDFRPQNMELVIAEDLFPVFLPVEERTRHWIQLFSLFTSSHVKALISILSQKGRLQTEMQIYLAQRKKEKDSSSEEMERRIKNSFVKMSASFPDPLKAEECFHKLNQMKDSKIFIALEQLLDDRTIKSAQQTRDKFLKMIGDKHPHFEFLQLLSSKCSFNIFSSEHVQCILDHISSSGFEQHLKASAKLLLAIISVYPSFMRGLEEQFQLLLEENNSINDTLVEVLAKAGPHIKAKFSEFYPLLERICLKGTRFQSKHAVSAIASLVGSSDEFIFSKLCKELVDSLYSGLNTPTILQSLGCIAQHSVSAFEAQNQEIRSYIFGRIFQAESSEDEHSADETSECCDSCKLKIYALKALVKSFLPHRGSHGKRHINELLDILSKLLQTGYTFDGITSCESDKPHIKLAAAKSVLLLSRRWDLHISPEIFRFTVLMAKEPCPFVGRLFLDKMHKLLKEHSIPSRYACAYALAASDHCKDLQDASFKYIEEFIKEYSRKAQIRQTSGVQESSPMDYPAYIVVFLIHVLAHDAGFPPDGCQDEQVYAQFCSPLFWALQALVNASIVNGDTGLINEAALYLLSIFRAIKKTEDAVDAHQTPKLLILAEIGISIVNELNHNVISSSLAPKQISLPSSLYRISVVKKCDEGILKCLTKFSVDESFVKGVVHILKSQISGTATTTLSKRRRKGQEVTIQSSDVEHNTSNPASQKVASLSLTGTREKQKTAAEEIGLGCRQKRALSPIDSESVVLQNERSGIVMHKDDASKSSKSNLEKKQRLSSRASATTKPLKPGSHVSSVDRMIPSLKENAEASKSITPSNYPRAELKEPRSLRISYDSGDLICLDSESHETMSDNSPLEKETLLTKVSNTFHPIHCSQRETCSAFGDGTVKPTKSLASMESGRFSERVTSFPAKGKKGQKVLLDISASEIIDENEDCVSLTCFTFI >Potri.002G084300.2.v4.1 pep chromosome:Pop_tri_v4:2:5986654:6006019:-1 gene:Potri.002G084300.v4.1 transcript:Potri.002G084300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084300.v4.1 MDESPLQLVSEIGDHLGRHARPNKDFLVKSLRQAANALSQIEQPLETFKKAEATKKLEAAIKPLRKSILKHYLIKHTDKEVKLLVAICVSEVFRVLAPEPPFEDKYLRDIFILFLNMFKELSDTASPHFLRRVKVLETVARCKCCVIMLDVDCHDLVLEMFKIFFSSVREHHQQSLIDEILSIMKHVLNEEASQALLDVILLNLIKEGKAATPAASQLAASVIQTCEEKLEPFVCGFLTSCFLDRDAVESELKEFYHEILFKVFQCAPHMLLGVIPNLTQELLTDQVDVRIKAVNLIGKLLALPEHHAVQKYQSLFVEFKNRFSDKSAEVRLSVLQCAKACYIANPSGNVSREILTVLEGRLLDFDDRVRTQAAVVACDLARTNLRFFPPELISKVSERLRDKKISVRKKALEKLMEVYRDYCIMCSEGLMTASDHFEQIPCKVLMLSYDKDCKDFRPQNMELVIAEDLFPVFLPVEERTRHWIQLFSLFTSSHVKALISILSQKGRLQTEMQIYLAQRKKEKDSSSEEMERRIKNSFVKMSASFPDPLKAEECFHKLNQMKDSKIFIALEQLLDDRTIKSAQQTRDKFLKMIGDKHPHFEFLQLLSSKCSFNIFSSEHVQCILDHISSSGFEQHLKASAKLLLAIISVYPSFMRGLEEQFQLLLEENNSINDTLVEVLAKAGPHIKAKFSEFYPLLERICLKGTRFQSKHAVSAIASLVGSSDEFIFSKLCKELVDSLYSGLNTPTILQSLGCIAQHSVSAFEAQNQEIRSYIFGRIFQAESSEDEHSADETSECCDSCKLKIYALKALVKSFLPHRGSHGKRHINELLDILSKLLQTGYTFDGITSCESDKPHIKLAAAKSVLLLSRRWDLHISPEIFRFTVLMAKEPCPFVGRLFLDKMHKLLKEHSIPSRYACAYALAASDHCKDLQDASFKYIEEFIKEYSRKAQIRQTSGVQESSPMDYPAYIVVFLIHVLAHDAGFPPDGCQDEQVYAQFCSPLFWALQALVNASIVNGDTGLINEAALYLLSIFRAIKKTEDAVDAHQTPKLLILAEIGISIVNELNHNVISSSLAPKQISLPSSLYRISVVKKCDEGILKCLTKFSVDESFVKGVVHILKSQISGTATTTLSKRRRKGQEVTIQSSDVEHNTSNPASQKVASLSLTGTREKQKTAAEEIGLGCRQKRALSPIDSESVVLQNERSGIVMHKDDASKSSKSNLEKKQRLSSRASATTKPLKPGSHVSSVDRMIPSLKENAEASKSITPSNYPRAELKEPRSLRISYDSGDLICLDSESHETMSDNSPLEKETLLTKVSNTFHPIHCSQRETCSAFGDGTVKPTKSLASMESGRFSERVTSFPAKGKKGQKVLLDISASEIIDENEDCIARRTRRKKV >Potri.006G202550.1.v4.1 pep chromosome:Pop_tri_v4:6:20984996:20985895:-1 gene:Potri.006G202550.v4.1 transcript:Potri.006G202550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202550.v4.1 MSHMLLEILAEEALKGSKPSSTFKAESFVKVATEISQKFNVQCEPKHVDNHFKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDIYDEEVKAHPNHDKYLNKKLDMYEIMTIVVGKDMATGNYAKSYANVNLEENTQEQSISIENEGEYEETSKGKETSSSSTQKRQHRKRNCIYEDDGVEKLSKQIGDIALAIQSLSKNQLDVNALYAEVMKIEGFDEITLGEAFDHLVQNEMLAKAFMAKNANLRKIWVQNFVNQHYYRPAC >Potri.012G106100.2.v4.1 pep chromosome:Pop_tri_v4:12:12786969:12793578:-1 gene:Potri.012G106100.v4.1 transcript:Potri.012G106100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106100.v4.1 MASSEISAKANSGNIKGGGESFSSGYSEAMEGQKGHSTHPSSARDAETALYNELWHACAGPLVTVPREGDHVFYFPQGHLEQVEASTNQVADQQMPLYDLPPKILCRVVNVQLKAEPDTDEVFAQVTLLPLHNQDENASEKEPPPPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGSEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQSNVPSSVISSHSMHLGVLATAWHAVSTGTMFTVYYKPRTSPAEFIVPFDQYMESVKSNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPSRWKDSKWRCLKVRWDETSTIPRPDRVSPWKIEPALAPPALNPLPMPRPKRPRANMVPSSPDSSVLTREGSSKVTADPSSASGFSRVLRGQEFSTLRGNFEEGNESDVAEKSVLWPPSADDEKIDVLSSSRRFGSEWWISSARQEPTYTDLLSGFGANADSSHGFGAPFVDQTAGGANPMKKHLSDQGQFNLLASPWSIMSPGLSLKLSESNSRVPIQGSSDVTYQSRENIRYSAFSEYPMLHGLRVEQSHGNCMMPPPPSHFDNHAHTRELIPKPKLVQEHNTGKSLDGNCKLFGIPLKISKPATPEQAGPTNMVNEPMGHTQPASHQLTSESDQKSEHSRGSKLADENENEKPLQVGHMRMRDSHGKAQNSSTRSCTKVHKQGIALGRSVDLTRFNNYDELIAELDRLFEFNGELLAPQKNWLIVYTDDEDDMMLVGDDPWQEFVGMVRKIVIYTREEVQRIKPGTLNSRVNENPSGVEGEDAKEAKHLPLPSASSPLSC >Potri.012G106100.3.v4.1 pep chromosome:Pop_tri_v4:12:12787412:12793374:-1 gene:Potri.012G106100.v4.1 transcript:Potri.012G106100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106100.v4.1 MASSEISAKANSGNIKGGGESFSSGYSEAMEGQKGHSTHPSSARDAETALYNELWHACAGPLVTVPREGDHVFYFPQGHLEQVEASTNQVADQQMPLYDLPPKILCRVVNVQLKAEPDTDEVFAQVTLLPLHNQDENASEKEPPPPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGSEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQSNVPSSVISSHSMHLGVLATAWHAVSTGTMFTVYYKPRTSPAEFIVPFDQYMESVKSNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPSRWKDSKWRCLKVRWDETSTIPRPDRVSPWKIEPALAPPALNPLPMPRPKRPRANMVPSSPDSSVLTREGSSKVTADPSSASGFSRVLRGQEFSTLRGNFEEGNESDVAEKSVLWPPSADDEKIDVLSSSRRFGSEWWISSARQEPTYTDLLSGFGANADSSHGFGAPFVDQTAGGANPMKKHLSDQGQFNLLASPWSIMSPGLSLKLSESNSRVPIQGSSDVTYQSRENIRYSAFSEYPMLHGLRVEQSHGNCMMPPPPSHFDNHAHTRELIPKPKLVQEHNTGKSLDGNCKLFGIPLKISKPATPEQAGPTNMVNEPMGHTQPASHQLTSESDQKSEHSRGSKLADENENEKPLQVGHMRMRDSHGKAQNSSTRSCTKVHKQGIALGRSVDLTRFNNYDELIAELDRLFEFNGELLAPQKNWLIVYTDDEDDMMLVGDDPWQEFVGMVRKIVIYTREEVQRIKPGTLNSRVNENPSGVEGEDAKEAKHLPLPSASSPLSC >Potri.012G106100.1.v4.1 pep chromosome:Pop_tri_v4:12:12787410:12793371:-1 gene:Potri.012G106100.v4.1 transcript:Potri.012G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106100.v4.1 MASSEISAKANSGNIKGGGESFSSGYSEAMEGQKGHSTHPSSARDAETALYNELWHACAGPLVTVPREGDHVFYFPQGHLEQVEASTNQVADQQMPLYDLPPKILCRVVNVQLKAEPDTDEVFAQVTLLPLHNQDENASEKEPPPPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGSEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQSNVPSSVISSHSMHLGVLATAWHAVSTGTMFTVYYKPRTSPAEFIVPFDQYMESVKSNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPSRWKDSKWRCLKVRWDETSTIPRPDRVSPWKIEPALAPPALNPLPMPRPKRPRANMVPSSPDSSVLTREGSSKVTADPSSASGFSRVLRGQEFSTLRGNFEEGNESDVAEKSVLWPPSADDEKIDVLSSSRRFGSEWWISSARQEPTYTDLLSGFGANADSSHGFGAPFVDQTAGGANPMKKHLSDQGQFNLLASPWSIMSPGLSLKLSESNSRVPIQGSSDVTYQSRENIRYSAFSEYPMLHGLRVEQSHGNCMMPPPPSHFDNHAHTRELIPKPKLVQEHNTGKSLDGNCKLFGIPLKISKPATPEQAGPTNMVNEPMGHTQPASHQLTSESDQKSEHSRGSKLADENENEKPLQVGHMRMRDSHGKAQNSSTRSCTKVHKQGIALGRSVDLTRFNNYDELIAELDRLFEFNGELLAPQKNWLIVYTDDEDDMMLVGDDPWQEFVGMVRKIVIYTREEVQRIKPGTLNSRVNENPSGVEGEDAKEAKHLPLPSASSPLSC >Potri.005G044050.1.v4.1 pep chromosome:Pop_tri_v4:5:2784589:2785740:-1 gene:Potri.005G044050.v4.1 transcript:Potri.005G044050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044050.v4.1 MEEKICSELKLTKLRQQSFNRSLNEIHEQASSILSLTLQWKNIETHFESTFNSIEDSAKALRTKERKLEEREKEFESKEKEFEERCEEFIKLRDAEVEEHYKEIELKEKDFEERRREVDSERKRLEVRPKEVEEREELVRKKFVEEIELKEKEIEERRKEIEVERKKVVEGIMLKEKKNEERRKEIEVERKKLVEELELKEKQLLEQQKEVELENKKIKKFFEELELKEKQLLEQQKEVELENKKIKKFFEELESKEKLVEERRLVAELGNKKFVEEVELKEKQLEERCTVVESEKKKLEEQSKEIELKEKHLEEQLKEVELANKRFFEQAKEL >Potri.006G028300.1.v4.1 pep chromosome:Pop_tri_v4:6:1845864:1847072:-1 gene:Potri.006G028300.v4.1 transcript:Potri.006G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G028300.v4.1 MQDILSRLPPGFRFLPTDEELIRYYLHRKVHGRLTPEEADVIKLCNLYGEGARPPCEIFKLAGHKGGDDALYFFTILIKKTLNSSTQRMSRTVGTDGGTWHGDGVEEVICRLDNTEFKGTKRRFRYQNKQRPDQHGCWTLLEYGSESISENVVICKLKISDHGLKESRKRKSMDSSEVIQRTVNIDAVINSGPTMAQSAHDQEPRLLIQNQEMLPLVGTVSNEDNGGFSATGDTFYSIQNMGLEAPAIGNIEPVLPFQPQQANFIQEPTIGEHQQMASESFNEHPIISFEPIMLLSEESNIIENQGIMGLADISTEDYFENAAANVNFETMTSANENQQMEPASYNGGERVCPAGDNAVTPENAAPAVSAIPALEDDMLETLMNDTYWLENLQAMPREEEDS >Potri.004G180500.1.v4.1 pep chromosome:Pop_tri_v4:4:19512970:19516572:-1 gene:Potri.004G180500.v4.1 transcript:Potri.004G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180500.v4.1 MGCGATCWQVLVCVTFCCTLVFVNAEDPYRFFTWNVTYGDIYPLGVKQQGILINGQFPGPDIYSVTNNNLIINVYNSLPEPFLISWNGVQQRRNSYEDGVYGTTCPIPPGKNFTYILQVKDQIGSFYYYPSLAFHKAAGGFGGIRILSRPLIPVPFPEPADDFTVLIGDWYKANHTALKAILDRGHKLPLPDGILVNGRGPNQTYFTFQPGKTYRLRISNVGLQHSLNFRIQGHKMKLVEVEGTHTLQTTYSSLDVHVGQSYSVLVTADQTAQDYYIAVSTRFTTKILTTTAILHYSNSAKKVSGPIPGGPTTEIDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINLTRTIKLESSAAQVNGKQRYAVNSVSFVPADTPLKIADYFKIGGVFRVGSMPDYPTGKKMYLDTSVMGADFRAFVEIVFQNHENIVQSWHINGYSFWVVGMDGGVWTPASRNQYNLRDAVSRCTTQVYPKSWTAIYIALDNVGMWNIRTEFWARQYLGQQFYMRVYSPVESPRDEYPIPKNALLCGRAIGRRTRPL >Potri.010G188000.2.v4.1 pep chromosome:Pop_tri_v4:10:18441719:18445167:1 gene:Potri.010G188000.v4.1 transcript:Potri.010G188000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188000.v4.1 MNKLQDWPEPIVRVQSLSDSGIPLIPERYVKPILQRPSVNPTTSNDVNIPVIDLARLYGDDHALRATILDQISIACREWGFFQVINHGVSPQLMDRAREVWRQFFHSPMEVKQAYANTPKTYEGYGSRLGVEKGAILDWSDYYFLHYLPLPLKDYNKWPAITADCRAVLDEYGKQLVELCGKLMKVLSINLGLGEEQLQNAFGGENIGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTLLLPDSNVPGLQVRKDGNWITVKPVPHAFIVNIGDQIQVTYTFSVSLTRLSIYIYIYVWMRFMKRIGNMEGPYF >Potri.010G188000.1.v4.1 pep chromosome:Pop_tri_v4:10:18441670:18445130:1 gene:Potri.010G188000.v4.1 transcript:Potri.010G188000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188000.v4.1 MNKLQDWPEPIVRVQSLSDSGIPLIPERYVKPILQRPSVNPTTSNDVNIPVIDLARLYGDDHALRATILDQISIACREWGFFQVINHGVSPQLMDRAREVWRQFFHSPMEVKQAYANTPKTYEGYGSRLGVEKGAILDWSDYYFLHYLPLPLKDYNKWPAITADCRAVLDEYGKQLVELCGKLMKVLSINLGLGEEQLQNAFGGENIGACLRVNFYPKCPQPDLTLGLSSHSDPGGMTLLLPDSNVPGLQVRKDGNWITVKPVPHAFIVNIGDQIQVLSNATCKSVEHRVMVNSSEERLSLAFFYNPRSDIPIEPLKELVAPDRPPLYPAMTFDEYRLFIRMRGPCGKSQVESLKSPR >Potri.011G113400.3.v4.1 pep chromosome:Pop_tri_v4:11:14389049:14391326:1 gene:Potri.011G113400.v4.1 transcript:Potri.011G113400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113400.v4.1 MANEVVLLDLKLSPFAARVRIALEEKGIEYKSKVEDLSNKSSTLLKMNPVHQKIPVLIHNGRPICESMVIVQYIDEVWSHKPSLLPSDPYRRAHARFWADYIDKKMYPTGRNLWASEGELKESSKKDLIQCFKILEEELGDKLYFGDESFGYIDLALISFHSFFYTFETLGNWSMVAEFP >Potri.011G113400.2.v4.1 pep chromosome:Pop_tri_v4:11:14388854:14391633:1 gene:Potri.011G113400.v4.1 transcript:Potri.011G113400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113400.v4.1 MANEVVLLDLKLSPFAARVRIALEEKGIEYKSKVEDLSNKSSTLLKMNPVHQKIPVLIHNGRPICESMVIVQYIDEVWSHKPSLLPSDPYRRAHARFWADYIDKKMYPTGRNLWASEGELKESSKKDLIQCFKILEEELGDKLYFGDESFGYIDLALISFHSFFYTFETLGNWSMVAECW >Potri.001G015400.1.v4.1 pep chromosome:Pop_tri_v4:1:1126663:1134370:-1 gene:Potri.001G015400.v4.1 transcript:Potri.001G015400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015400.v4.1 MLKPQVSRQPNSTRTHLLFHKPSIQGSRHASFFLKSTSKFNIEAQKHFRVAFKPSEIKAIASVTEESTDIKVKAVVTVKQTIGGLITSVGIERGLDDIKDLLGKTLLLELVSAELDPKTELEKPTIQAFAHRIGGQVVEGDIRYEADFEVPLNFGEVGAIFVENEHHKEMFLQDIVLDGLPHGAVNITCGSWVHSKYDNDRKRIFFTNKSYLPSQTPSGIRRLREEELVLLRGNGQGQRKAGDRIYDYDVYNDIGNPDKKPELARPVLGGKEHPYPRRCRTGRPRCETDPSSEKRVSAFYVPRDEAFSEVKQLTFSAKTLYSLFHALIPSIGNVIDDANLGFPYMTAIDSLFSEGIEMPPLTKEGFWKEVMPRLFKVIAGGGDVLRFEVPKPMERDKFFWFKDEEFARQTLAGLNPYSIKSVTEWPLKSELDPEIYGPPESAITSELLEAEIGGVTRVDKAIREKKLFILDYHDLLLPFVSKVREIKGTTLYGSRTVFFLTPEGTLRPLAIELTRPPMDGKPQWKQVFTPCYHSTGCWLWRLAKAHVLAHDSGFHQLVSHWLRTHCVTEPYIIATNRQLSVMHPIYRLLHPHFRYTMEINALARESLINAGGIIETSFSPGKYSMEICSAAYDKLWRFDHEALPNDLISRGMAIEDLTAPHGLKLTIEDYPFANDGLYLWDAINQWVSDYVNHYYPESGLLASDAELQAWWTEIRTIGHADKRDEPWWPELKTRHNLIDIITTIIWVASGHHAAVNFGQYPYAGYFPNRPTIARKKMPTEDPTDEEWKLFLEKPEAVLLATFPSKLQATRVMAVLSVLSNHSPDEEYIGEGIEQAWADDPIIKAAFEKFSGRLKELEGIIDERNANPKLVNRHGAGIVPYELLKPFSKPGITGKGVPYSISI >Potri.015G104300.1.v4.1 pep chromosome:Pop_tri_v4:15:12325808:12331179:1 gene:Potri.015G104300.v4.1 transcript:Potri.015G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104300.v4.1 MAISRLSFACRHGFHYSPSFFHCFQKVRFSSFAAIETLFDQDNVIKDKNQNLGDPKALEWKKWNSKELGISNSTISRPTKKVLDGLKKNGYEVYLVGGCVRDLILKRTPKDFDIITSAELKEVVRTFPQCIIVGKRFPICHVHVGDTIVEVSSFSTTGPKFRLDLSNGISPPIDCDEKDYVRWKNCLRRDLTINGLMFDPYKRIVYDYVGGLEDIKKAKVRTVVPASTSFQEDCARILRAVRIAARLGFRFTRETAHFIKNLSRSLLRLDNQRIMMEMNYMLAYGSAEASLRILWKFGLLELLLPFQAAYFVRDGFKRQDKRSNMLLCLFSNLDKHLAPDRPCHNSLWVGILAFHKALSDQPRDPMVVAAFCLAVHNGGDILGGVKMVKKFTKPHDVSFHELSEPQNLNSEALVDEVVDFAASVKQVLYWMTDEYCVSQAMAEYPQAPCSDLVFFPLAVYLKVCRIFECSREGSQKVFMPKQGRKINYEMLALGSLQEVRHTFARVVFDTVFPLNLT >Potri.006G179900.2.v4.1 pep chromosome:Pop_tri_v4:6:18651287:18653911:-1 gene:Potri.006G179900.v4.1 transcript:Potri.006G179900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179900.v4.1 MAKLSQQNTKNTAINRNNTTNGVTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEVAAHAYDLAALKYWGPETILNFPLSTYQNQLKEMEGRSREEYIGSLRRKSSRGVPKYRGVSRHHHNGGWEARIGRVFGNKYLYPGTYATQEEAAAAYGIEHRGLNADLSRYIKWLKPNQNNSNGSNDLNLPNPMIIGTDNSTHRNPNQELGPPFLHNEQTYQPSETTLIPLRPAAANPTSALGLLLQSSKFKEMMEMTAVTDCPPTSSSDLELTPCSFPEDVQTYFECQYSSSYGDQGDDMIFGDYNSFMPPMFHSDF >Potri.006G179900.3.v4.1 pep chromosome:Pop_tri_v4:6:18651332:18653911:-1 gene:Potri.006G179900.v4.1 transcript:Potri.006G179900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179900.v4.1 MAKLSQQNTKNTAINRNNTTNGVTKVKRTRRSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEVAAHAYDLAALKYWGPETILNFPLSTYQNQLKEMEGRSREEYIGSLRRKSSRGVPKYRGVSRHHHNGGWEARIGRVFGNKYLYPGTYATQEEAAAAYGIEHRGLNADLSRYIKWLKPNQNNSNGSNDLNLPNPMIIGTDNSTHRNPNQELGPPFLHNEQTYQPSETTLIPLRPAAANPTSALGLLLQSSKFKEMMEMTAVTDCPPTSSSDLELTPCSFPEDVQTYFECQYSSSYGDQGDDMIFGDYNSFMPPMFHSDF >Potri.005G086100.6.v4.1 pep chromosome:Pop_tri_v4:5:5940002:5957054:-1 gene:Potri.005G086100.v4.1 transcript:Potri.005G086100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086100.v4.1 MYPSSSFWRTFVGQQEALKKATSTLNSPAQIKLQLLPSYTFSNLTIECGEIDGVVLGSWTSISGNSPDPVVDEDTAPAGYWAAAAVWSSAWDQRTIDRIVLDQPFIMGVHVLWESQLEYYLCHNDCEEVSKLLDLIPTSVLSDGSLQITLDNLQRAPEVGSNCEFPEYNSYICSIEELDSVCIDIPGVKIFRFPANAFCSMWLRNFMEQELAKKFIFLNEYWEGTGEIVALLARSGLITSRSDKMTMEDYSAEISSDLNITDDGRFHVVRMEALHKLLVHYCVQYSLPNLLDLYLDHHKLVLDNDSLGSLQEAAGDCQWAKWLLLCMIKGHEYDASFCNARTIMSPNLVPDSNLNALEIDEIIHTVDDIAEGGGEMAALATLMYATDPIQNCLSSGSVKRHGSSSAQCTLENLRPTLQQFPTLWRTLVAASFGHDTTSNFLGPKGNKNALANYLNWRDNIFFSTTRDTSLLQMLPCWFPKAVRRLIQLHIQGPLGWQSVSGLPAGETLLCRDFDFFMHAEEHTEINGVYWEATIQKHVQEELYNSSLEETKLGLEHHLHRGRALAAFNHILDVRAQKLKLEGQSGASSHGQRNVQSDVQALLAPLTQSEEAGLSLVIPLAIAHFVDSVLVSSCAFLLELCGLSASMLHVDVSALRRISSFYKSSENNEKYSQISPKGSAFQAVSHGGNVVESLARSLADEYLHKDRVTNSKLKGTSNSFAGKQSSRALMLVLQHLEKASLPLMMDGKTCGSWLLTGIGDGTELREKQKVASQHWNLVTLFCQMHQLPLSTKYLTVLARDNDWVGFLSEAQIGGYPFDSVVQATKEFSDPRLKIHILTVLKGMQSRKKSGSPAYTYTGESGSETHCFQEDMLIPAELFRILADCEKQKNPGESLLKKAKEMSWSILAMIASCFPDASPLSCLTVWLEITAARETSSIKVNDIASQIADNVEAAVEATNSLPAGSRVLTVHYNRQNAKRRRLMEPMYVDSLVAIDDVSTTYGGATRPASQGAVAEEERKVDFGEKNVSSDSDEGPVSLSKMVAVLCEQQLFLPLLRAFEMFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSVRIKDEQTSMQANIGIEGRVRTSWISSTAVKAANAMLLTCPSPYEKRCLLQLLAATDFGDGGSTATYYRRLYWKINLAEPSLRKDDALHLGNQALDDASLLEALEKNGHWEQARNWARQLDASGGPWKSAVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPLHLLREIETRVWLLAVESEAQAKSDRDFTTTTSSGDPVIGNASNIIDKTASLITKMDNHINTMRSRTVEKQDARENNLAQHKNQVLDSITQTAGGSTKTKRRAKGNVLSRRPLMEPIDKSTEPEDCSTNFISRIDLPLLDENLKIEMSFSKWEERVGPAELERAVLSLLEFGQITASKQLQHKLSPAHTPPEFKLVDVALKLGAITTPGSKISISMLDEETCSVVKSYNILTEKHLLDPLQVLESLATIFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIELLRLLALKAQESFEEASLMVQTHSMPAASIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFPCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAAETNVETAEAVRGFRMAVLTSLKHFNPEDHDAFAMVYNHFDMKHETAALLESRAWQSSEQWFRRYDKDQNEDLLESMRYFIEAAEVHSSIDAGNKTRGACAHASLVSLQIRMPDCQWLNLSETNARRLLVEQSYFQEALIVAEAYGLNQPSEWALVLWNQMLKPELIEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRVQLATIATGFNDIIDTCMNALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.005G086100.7.v4.1 pep chromosome:Pop_tri_v4:5:5940003:5948209:-1 gene:Potri.005G086100.v4.1 transcript:Potri.005G086100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086100.v4.1 MQSRKKSGSPAYTYTGESGSETHCFQEDMLIPAELFRILADCEKQKNPGESLLKKAKEMSWSILAMIASCFPDASPLSCLTVWLEITAARETSSIKVNDIASQIADNVEAAVEATNSLPAGSRVLTVHYNRQNAKRRRLMEPMYVDSLVAIDDVSTTYGGATRPASQGAVAEEERKVDFGEKNVSSDSDEGPVSLSKMVAVLCEQQLFLPLLRAFEMFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSVRIKDEQTSMQANIGIEGRVRTSWISSTAVKAANAMLLTCPSPYEKRCLLQLLAATDFGDGGSTATYYRRLYWKINLAEPSLRKDDALHLGNQALDDASLLEALEKNGHWEQARNWARQLDASGGPWKSAVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPLHLLREIETRVWLLAVESEAQAKSDRDFTTTTSSGDPVIGNASNIIDKTASLITKMDNHINTMRSRTVEKQDARENNLAQHKNQVLDSITQTAGGSTKTKRRAKGNVLSRRPLMEPIDKSTEPEDCSTNFISRIDLPLLDENLKIEMSFSKWEERVGPAELERAVLSLLEFGQITASKQLQHKLSPAHTPPEFKLVDVALKLGAITTPGSKISISMLDEETCSVVKSYNILTEKHLLDPLQVLESLATIFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIELLRLLALKAQESFEEASLMVQTHSMPAASIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFPCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAAETNVETAEAVRGFRMAVLTSLKHFNPEDHDAFAMVYNHFDMKHETAALLESRAWQSSEQWFRRYDKDQNEDLLESMRYFIEAAEVHSSIDAGNKTRGACAHASLVSLQIRMPDCQWLNLSETNARRLLVEQSYFQEALIVAEAYGLNQPSEWALVLWNQMLKPELIEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRVQLATIATGFNDIIDTCMNALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.005G086100.3.v4.1 pep chromosome:Pop_tri_v4:5:5939996:5965010:-1 gene:Potri.005G086100.v4.1 transcript:Potri.005G086100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086100.v4.1 MDYCLGGESPAILQLHKWGPSKFNIDLSEFREGFISPTRELLLLLSYQCEALLLPLVAAGESFNNCVSEPVGDERLQCRSSELCTESSRSDLKDDIPCSSASVGDFDNGFSLENGFLRSNNYGFVHDVNSLAWGVCGDTYNQHKEASFREFLFVSSSDGVTVHAFRKPDIDGGTTKSALEGEFGQGRWVDWGPSSMPAQFLKVQDSSSSCSESTSTVMADERANGNRGSLLDMDKESGPDELSKGVASKRWLRSFFIEVETIKSEGNIWTRFPEKTSFPCSAEVVSFSVFDSTSPLLNLLNQDCSIANREESKCETIFKPENETAATESDDLYDDFCIGSYKCSKVFSSNSNDLIGFVLTLVNSASVSTGNESERSRKKSLLLVGKLDSWGIQWVSLVKLVQSVHVDHVSEWADFCFSDSLLVCLNTSGLIYFYAAMSGEFVAYIDILRASGLNPHSGPWKGEKVAMPADLQIKQLEVQHNSTPQCVDFLGKRMFRKLLIGSHTSLLAVVDKYGVVYVMSTGNYFSNNHDAYDKLLQQFQHLWPGMFVGWKVGGSDIGHQWVYSNDPSTTNEKFSFLDYAGKNTLEKIQNLNHHGCEDLLLSGFSEIAVHTFHDREASSHLVRKVFLPTERFNEDDYICFSPMGITRLMKKHDAKNHRTTQVVHFNLHTSSAVHDDRCLNNRVNKCYSQGKEAPVGEVVGCSFQGFFYLVTEVGLSVVLPSVSAASDFLPVETIGYQQRTIKTDIGQQLKKMLEIGESIEPFLPWKVEVLDRVLLYEGPAEADQLCLTNGWELKISRLRRLQMALEYLKYDEIEQSLEMLVGINLAEEGILRLLCAAIYLMSHRNSNDNEVSAASRLLALASHFTTKMIRKCGSLQHKKDAYVLPGFRRTRLLSLPPVLPHKVQNKMGDSRSLHEMAHLLEIIRNLQYRLSSKFKKTGLRLMDGREELSLVEANLSQDESQLSVLSADAALSETPNQEELLASVSSVGSNNEKLVLMYQDSLDFRTHLDIEDSNGVSVLVPQGGNMGKKVFPFENPKEMIARWKLDNLDLNTVVKDALLSGRLPLAVLQLHLHRSKDSETSKEMPDTFSEVRDIGRAIAYDLFLKGETEPAVATLQRLGEDVATCLKQLFFGTVRRSLRLQVAEDMRRYGYLGAYERETFEKILLIERMYPSSSFWRTFVGQQEALKKATSTLNSPAQIKLQLLPSYTFSNLTIECGEIDGVVLGSWTSISGNSPDPVVDEDTAPAGYWAAAAVWSSAWDQRTIDRIVLDQPFIMGVHVLWESQLEYYLCHNDCEEVSKLLDLIPTSVLSDGSLQITLDNLQRAPEVGSNCEFPEYNSYICSIEELDSVCIDIPGVKIFRFPANAFCSMWLRNFMEQELAKKFIFLNEYWEGTGEIVALLARSGLITSRSDKMTMEDYSAEISSDLNITDDGRFHVVRMEALHKLLVHYCVQYSLPNLLDLYLDHHKLVLDNDSLGSLQEAAGDCQWAKWLLLCMIKGHEYDASFCNARTIMSPNLVPDSNLNALEIDEIIHTVDDIAEGGGEMAALATLMYATDPIQNCLSSGSVKRHGSSSAQCTLENLRPTLQQFPTLWRTLVAASFGHDTTSNFLGPKGNKNALANYLNWRDNIFFSTTRDTSLLQMLPCWFPKAVRRLIQLHIQGPLGWQSVSGLPAGETLLCRDFDFFMHAEEHTEINGVYWEATIQKHVQEELYNSSLEETKLGLEHHLHRGRALAAFNHILDVRAQKLKLEGQSGASSHGQRNVQSDVQALLAPLTQSEEAGLSLVIPLAIAHFVDSVLVSSCAFLLELCGLSASMLHVDVSALRRISSFYKSSENNEKYSQISPKGSAFQAVSHGGNVVESLARSLADEYLHKDRVTNSKLKGTSNSFAGKQSSRALMLVLQHLEKASLPLMMDGKTCGSWLLTGIGDGTELREKQKVASQHWNLVTLFCQMHQLPLSTKYLTVLARDNDWVGFLSEAQIGGYPFDSVVQVATKEFSDPRLKIHILTVLKGMQSRKKSGSPAYTYTGESGSETHCFQEDMLIPAELFRILADCEKQKNPGESLLKKAKEMSWSILAMIASCFPDASPLSCLTVWLEITAARETSSIKVNDIASQIADNVEAAVEATNSLPAGSRVLTVHYNRQNAKRRRLMEPMYVDSLVAIDDVSTTYGGATRPASQGAVAEEERKVDFGEKNVSSDSDEGPVSLSKMVAVLCEQQLFLPLLRAFEMFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSVRIKDEQTSMQANIGIEGRVRTSWISSTAVKAANAMLLTCPSPYEKRCLLQLLAATDFGDGGSTATYYRRLYWKINLAEPSLRKDDALHLGNQALDDASLLEALEKNGHWEQARNWARQLDASGGPWKSAVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPLHLLREIETRVWLLAVESEAQAKSDRDFTTTTSSGDPVIGNASNIIDKTASLITKMDNHINTMRSRTVEKQDARENNLAQHKNQVLDSITQTAGGSTKTKRRAKGNVLSRRPLMEPIDKSTEPEDCSTNFISRIDLPLLDENLKIEMSFSKWEERVGPAELERAVLSLLEFGQITASKQLQHKLSPAHTPPEFKLVDVALKLGAITTPGSKISISMLDEETCSVVKSYNILTEKHLLDPLQVLESLATIFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIELLRLLALKAQESFEEASLMVQTHSMPAASIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFPCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAAETNVETAEAVRGFRMAVLTSLKHFNPEDHDAFAMVYNHFDMKHETAALLESRAWQSSEQWFRRYDKDQNEDLLESMRYFIEAAEVHSSIDAGNKTRGACAHASLVSLQIRMPDCQWLNLSETNARRLLVEQSYFQEALIVAEAYGLNQPSEWALVLWNQMLKPELIEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRVQLATIATGFNDIIDTCMNALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.005G086100.4.v4.1 pep chromosome:Pop_tri_v4:5:5939995:5965010:-1 gene:Potri.005G086100.v4.1 transcript:Potri.005G086100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086100.v4.1 MDYCLGGESPAILQLHKWGPSKFNIDLSEFREGFISPTRELLLLLSYQCEALLLPLVAAGESFNNCVSEPVGDERLQCRSSELCTESSRSDLKDDIPCSSASVGDFDNGFSLENGFLRSNNYGFVHDVNSLAWGVCGDTYNQHKEASFREFLFVSSSDGVTVHAFRKPDIDGGTTKSALEGEFGQGRWVDWGPSSMPAQFLKVQDSSSSCSESTSTVMADERANGNRGSLLDMDKESGPDELSKGVASKRWLRSFFIEVETIKSEGNIWTRFPEKTSFPCSAEVVSFSVFDSTSPLLNLLNQDCSIANREESKCETIFKPENETAATESDDLYDDFCIGSYKCSKVFSSNSNDLIGFVLTLVNSASVSTGNESERSRKKSLLLVGKLDSWGIQWVSLVKLVQSVHVDHVSEWADFCFSDSLLVCLNTSGLIYFYAAMSGEFVAYIDILRASGLNPHSGPWKGEKVAMPADLQIKQLEVQHNSTPQCVDFLGKRMFRKLLIGSHTSLLAVVDKYGVVYVMSTGNYFSNNHDAYDKLLQQFQHLWPGMFVGWKVGGSDIGHQWVYSNDPSTTNEKFSFLDYAGKNTLEKIQNLNHHGCEDLLLSGFSEIAVHTFHDREASSHLVRKVFLPTERFNEDDYICFSPMGITRLMKKHDAKNHRTTQVVHFNLHTSSAVHDDRCLNNRVNKCYSQGKEAPVGEVVGCSFQGFFYLVTEVGLSVVLPSVSAASDFLPVETIGYQQRTIKTDIGQQLKKMLEIGESIEPFLPWKVEVLDRVLLYEGPAEADQLCLTNGWELKISRLRRLQMALEYLKYDEIEQSLEMLVGINLAEEGILRLLCAAIYLMSHRNSNDNEVSAASRLLALASHFTTKMIRKCGSLQHKKDAYVLPGFRRTRLLSLPPVLPHKVQNKMGDSRSLHEMAHLLEIIRNLQYRLSSKFKKTGLRLMDGREELSLVEANLSQDESQLSVLSADAALSETPNQEELLASVSSVGSNNEKLVLMYQDSLDFRTHLDIEDSNGVSVLVPQGGNMGKKVFPFENPKEMIARWKLDNLDLNTVVKDALLSGRLPLAVLQLHLHRSKDSETSKEMPDTFSEVRDIGRAIAYDLFLKGETEPAVATLQRLGEDVATCLKQLFFGTVRRSLRLQVAEDMRRYGYLGAYERETFEKILLIERMYPSSSFWRTFVGQQEALKKATSTLNSPAQIKLQLLPSYTFSNLTIECGEIDGVVLGSWTSISGNSPDPVVDEDTAPAGYWAAAAVWSSAWDQRTIDRIVLDQPFIMGVHVLWESQLEYYLCHNDCEEVSKLLDLIPTSVLSDGSLQITLDNLQRAPEVGSNCEFPEYNSYICSIEELDSVCIDIPGVKIFRFPANAFCSMWLRNFMEQELAKKFIFLNEYWEGTGEIVALLARSGLITSRSDKMTMEDYSAEISSDLNITDDGRFHVVRMEALHKLLVHYCVQYSLPNLLDLYLDHHKLVLDNDSLGSLQEAAGDCQWAKWLLLCMIKGHEYDASFCNARTIMSPNLVPDSNLNALEIDEIIHTVDDIAEGGGEMAALATLMYATDPIQNCLSSGSVKRHGSSSAQCTLENLRPTLQQFPTLWRTLVAASFGHDTTSNFLGPKGNKNALANYLNWRDNIFFSTTRDTSLLQMLPCWFPKAVRRLIQLHIQGPLGWQSVSGLPAGETLLCRDFDFFMHAEEHTEINGVYWEATIQKHVQEELYNSSLEETKLGLEHHLHRGRALAAFNHILDVRAQKLKLEGQSGASSHGQRNVQSDVQALLAPLTQSEEAGLSLVIPLAIAHFVDSVLVSSCAFLLELCGLSASMLHVDVSALRRISSFYKSSENNEKYSQISPKGSAFQAVSHGGNVVESLARSLADEYLHKDRVTNSKLKGTSNSFAGKQSSRALMLVLQHLEKASLPLMMDGKTCGSWLLTGIGDGTELREKQKVASQHWNLVTLFCQMHQLPLSTKYLTVLARDNDWVGFLSEAQIGGYPFDSVVQATKEFSDPRLKIHILTVLKGMQSRKKSGSPAYTYTGESGSETHCFQEDMLIPAELFRILADCEKQKNPGESLLKKAKEMSWSILAMIASCFPDASPLSCLTVWLEITAARETSSIKVNDIASQIADNVEAAVEATNSLPAGSRVLTVHYNRQNAKRRRLMEPMYVDSLVAIDDVSTTYGGATRPASQGAVAEEERKVDFGEKNVSSDSDEGPVSLSKMVAVLCEQQLFLPLLRAFEMFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSVRIKDEQTSMQANIGIEGRVRTSWISSTAVKAANAMLLTCPSPYEKRCLLQLLAATDFGDGGSTATYYRRLYWKINLAEPSLRKDDALHLGNQALDDASLLEALEKNGHWEQARNWARQLDASGGPWKSAVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPLHLLREIETRVWLLAVESEAQAKSDRDFTTTTSSGDPVIGNASNIIDKTASLITKMDNHINTMRSRTVEKQDARENNLAQHKNQVLDSITQTAGGSTKTKRRAKGNVLSRRPLMEPIDKSTEPEDCSTNFISRIDLPLLDENLKIEMSFSKWEERVGPAELERAVLSLLEFGQITASKQLQHKLSPAHTPPEFKLVDVALKLGAITTPGSKISISMLDEETCSVVKSYNILTEKHLLDPLQVLESLATIFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIELLRLLALKAQESFEEASLMVQTHSMPAASIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFPCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAAETNVETAEAVRGFRMAVLTSLKHFNPEDHDAFAMVYNHFDMKHETAALLESRAWQSSEQWFRRYDKDQNEDLLESMRYFIEAAEVHSSIDAGNKTRGACAHASLVSLQIRMPDCQWLNLSETNARRLLVEQSYFQEALIVAEAYGLNQPSEWALVLWNQMLKPELIEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRVQLATIATGFNDIIDTCMNALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.005G086100.5.v4.1 pep chromosome:Pop_tri_v4:5:5939996:5965010:-1 gene:Potri.005G086100.v4.1 transcript:Potri.005G086100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086100.v4.1 MDYCLGGESPAILQLHKWGPSKFNIDLSEFREGFISPTRELLLLLSYQCEALLLPLVAGESFNNCVSEPVGDERLQCRSSELCTESSRSDLKDDIPCSSASVGDFDNGFSLENGFLRSNNYGFVHDVNSLAWGVCGDTYNQHKEASFREFLFVSSSDGVTVHAFRKPDIDGGTTKSALEGEFGQGRWVDWGPSSMPAQFLKVQDSSSSCSESTSTVMADERANGNRGSLLDMDKESGPDELSKGVASKRWLRSFFIEVETIKSEGNIWTRFPEKTSFPCSAEVVSFSVFDSTSPLLNLLNQDCSIANREESKCETIFKPENETAATESDDLYDDFCIGSYKCSKVFSSNSNDLIGFVLTLVNSASVSTGNESERSRKKSLLLVGKLDSWGIQWVSLVKLVQSVHVDHVSEWADFCFSDSLLVCLNTSGLIYFYAAMSGEFVAYIDILRASGLNPHSGPWKGEKVAMPADLQIKQLEVQHNSTPQCVDFLGKRMFRKLLIGSHTSLLAVVDKYGVVYVMSTGNYFSNNHDAYDKLLQQFQHLWPGMFVGWKVGGSDIGHQWVYSNDPSTTNEKFSFLDYAGKNTLEKIQNLNHHGCEDLLLSGFSEIAVHTFHDREASSHLVRKVFLPTERFNEDDYICFSPMGITRLMKKHDAKNHRTTQVVHFNLHTSSAVHDDRCLNNRVNKCYSQGKEAPVGEVVGCSFQGFFYLVTEVGLSVVLPSVSAASDFLPVETIGYQQRTIKTDIGQQLKKMLEIGESIEPFLPWKVEVLDRVLLYEGPAEADQLCLTNGWELKISRLRRLQMALEYLKYDEIEQSLEMLVGINLAEEGILRLLCAAIYLMSHRNSNDNEVSAASRLLALASHFTTKMIRKCGSLQHKKDAYVLPGFRRTRLLSLPPVLPHKVQNKMGDSRSLHEMAHLLEIIRNLQYRLSSKFKKTGLRLMDGREELSLVEANLSQDESQLSVLSADAALSETPNQEELLASVSSVGSNNEKLVLMYQDSLDFRTHLDIEDSNGVSVLVPQGGNMGKKVFPFENPKEMIARWKLDNLDLNTVVKDALLSGRLPLAVLQLHLHRSKDSETSKEMPDTFSEVRDIGRAIAYDLFLKGETEPAVATLQRLGEDVATCLKQLFFGTVRRSLRLQVAEDMRRYGYLGAYERETFEKILLIERMYPSSSFWRTFVGQQEALKKATSTLNSPAQIKLQLLPSYTFSNLTIECGEIDGVVLGSWTSISGNSPDPVVDEDTAPAGYWAAAAVWSSAWDQRTIDRIVLDQPFIMGVHVLWESQLEYYLCHNDCEEVSKLLDLIPTSVLSDGSLQITLDNLQRAPEVGSNCEFPEYNSYICSIEELDSVCIDIPGVKIFRFPANAFCSMWLRNFMEQELAKKFIFLNEYWEGTGEIVALLARSGLITSRSDKMTMEDYSAEISSDLNITDDGRFHVVRMEALHKLLVHYCVQYSLPNLLDLYLDHHKLVLDNDSLGSLQEAAGDCQWAKWLLLCMIKGHEYDASFCNARTIMSPNLVPDSNLNALEIDEIIHTVDDIAEGGGEMAALATLMYATDPIQNCLSSGSVKRHGSSSAQCTLENLRPTLQQFPTLWRTLVAASFGHDTTSNFLGPKGNKNALANYLNWRDNIFFSTTRDTSLLQMLPCWFPKAVRRLIQLHIQGPLGWQSVSGLPAGETLLCRDFDFFMHAEEHTEINGVYWEATIQKHVQEELYNSSLEETKLGLEHHLHRGRALAAFNHILDVRAQKLKLEGQSGASSHGQRNVQSDVQALLAPLTQSEEAGLSLVIPLAIAHFVDSVLVSSCAFLLELCGLSASMLHVDVSALRRISSFYKSSENNEKYSQISPKGSAFQAVSHGGNVVESLARSLADEYLHKDRVTNSKLKGTSNSFAGKQSSRALMLVLQHLEKASLPLMMDGKTCGSWLLTGIGDGTELREKQKVASQHWNLVTLFCQMHQLPLSTKYLTVLARDNDWVGFLSEAQIGGYPFDSVVQVATKEFSDPRLKIHILTVLKGMQSRKKSGSPAYTYTGESGSETHCFQEDMLIPAELFRILADCEKQKNPGESLLKKAKEMSWSILAMIASCFPDASPLSCLTVWLEITAARETSSIKVNDIASQIADNVEAAVEATNSLPAGSRVLTVHYNRQNAKRRRLMEPMYVDSLVAIDDVSTTYGGATRPASQGAVAEEERKVDFGEKNVSSDSDEGPVSLSKMVAVLCEQQLFLPLLRAFEMFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSVRIKDEQTSMQANIGIEGRVRTSWISSTAVKAANAMLLTCPSPYEKRCLLQLLAATDFGDGGSTATYYRRLYWKINLAEPSLRKDDALHLGNQALDDASLLEALEKNGHWEQARNWARQLDASGGPWKSAVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPLHLLREIETRVWLLAVESEAQAKSDRDFTTTTSSGDPVIGNASNIIDKTASLITKMDNHINTMRSRTVEKQDARENNLAQHKNQVLDSITQTAGGSTKTKRRAKGNVLSRRPLMEPIDKSTEPEDCSTNFISRIDLPLLDENLKIEMSFSKWEERVGPAELERAVLSLLEFGQITASKQLQHKLSPAHTPPEFKLVDVALKLGAITTPGSKISISMLDEETCSVVKSYNILTEKHLLDPLQVLESLATIFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIELLRLLALKAQESFEEASLMVQTHSMPAASIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFPCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAAETNVETAEAVRGFRMAVLTSLKHFNPEDHDAFAMVYNHFDMKHETAALLESRAWQSSEQWFRRYDKDQNEDLLESMRYFIEAAEVHSSIDAGNKTRGACAHASLVSLQIRMPDCQWLNLSETNARRLLVEQSYFQEALIVAEAYGLNQPSEWALVLWNQMLKPELIEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRVQLATIATGFNDIIDTCMNALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.005G086100.1.v4.1 pep chromosome:Pop_tri_v4:5:5939995:5965010:-1 gene:Potri.005G086100.v4.1 transcript:Potri.005G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086100.v4.1 MDYCLGGESPAILQLHKWGPSKFNIDLSEFREGFISPTRELLLLLSYQCEALLLPLVAGESFNNCVSEPVGDERLQCRSSELCTESSRSDLKDDIPCSSASVGDFDNGFSLENGFLRSNNYGFVHDVNSLAWGVCGDTYNQHKEASFREFLFVSSSDGVTVHAFRKPDIDGGTTKSALEGEFGQGRWVDWGPSSMPAQFLKVQDSSSSCSESTSTVMADERANGNRGSLLDMDKESGPDELSKGVASKRWLRSFFIEVETIKSEGNIWTRFPEKTSFPCSAEVVSFSVFDSTSPLLNLLNQDCSIANREESKCETIFKPENETAATESDDLYDDFCIGSYKCSKVFSSNSNDLIGFVLTLVNSASVSTGNESERSRKKSLLLVGKLDSWGIQWVSLVKLVQSVHVDHVSEWADFCFSDSLLVCLNTSGLIYFYAAMSGEFVAYIDILRASGLNPHSGPWKGEKVAMPADLQIKQLEVQHNSTPQCVDFLGKRMFRKLLIGSHTSLLAVVDKYGVVYVMSTGNYFSNNHDAYDKLLQQFQHLWPGMFVGWKVGGSDIGHQWVYSNDPSTTNEKFSFLDYAGKNTLEKIQNLNHHGCEDLLLSGFSEIAVHTFHDREASSHLVRKVFLPTERFNEDDYICFSPMGITRLMKKHDAKNHRTTQVVHFNLHTSSAVHDDRCLNNRVNKCYSQGKEAPVGEVVGCSFQGFFYLVTEVGLSVVLPSVSAASDFLPVETIGYQQRTIKTDIGQQLKKMLEIGESIEPFLPWKVEVLDRVLLYEGPAEADQLCLTNGWELKISRLRRLQMALEYLKYDEIEQSLEMLVGINLAEEGILRLLCAAIYLMSHRNSNDNEVSAASRLLALASHFTTKMIRKCGSLQHKKDAYVLPGFRRTRLLSLPPVLPHKVQNKMGDSRSLHEMAHLLEIIRNLQYRLSSKFKKTGLRLMDGREELSLVEANLSQDESQLSVLSADAALSETPNQEELLASVSSVGSNNEKLVLMYQDSLDFRTHLDIEDSNGVSVLVPQGGNMGKKVFPFENPKEMIARWKLDNLDLNTVVKDALLSGRLPLAVLQLHLHRSKDSETSKEMPDTFSEVRDIGRAIAYDLFLKGETEPAVATLQRLGEDVATCLKQLFFGTVRRSLRLQVAEDMRRYGYLGAYERETFEKILLIERMYPSSSFWRTFVGQQEALKKATSTLNSPAQIKLQLLPSYTFSNLTIECGEIDGVVLGSWTSISGNSPDPVVDEDTAPAGYWAAAAVWSSAWDQRTIDRIVLDQPFIMGVHVLWESQLEYYLCHNDCEEVSKLLDLIPTSVLSDGSLQITLDNLQRAPEVGSNCEFPEYNSYICSIEELDSVCIDIPGVKIFRFPANAFCSMWLRNFMEQELAKKFIFLNEYWEGTGEIVALLARSGLITSRSDKMTMEDYSAEISSDLNITDDGRFHVVRMEALHKLLVHYCVQYSLPNLLDLYLDHHKLVLDNDSLGSLQEAAGDCQWAKWLLLCMIKGHEYDASFCNARTIMSPNLVPDSNLNALEIDEIIHTVDDIAEGGGEMAALATLMYATDPIQNCLSSGSVKRHGSSSAQCTLENLRPTLQQFPTLWRTLVAASFGHDTTSNFLGPKGNKNALANYLNWRDNIFFSTTRDTSLLQMLPCWFPKAVRRLIQLHIQGPLGWQSVSGLPAGETLLCRDFDFFMHAEEHTEINGVYWEATIQKHVQEELYNSSLEETKLGLEHHLHRGRALAAFNHILDVRAQKLKLEGQSGASSHGQRNVQSDVQALLAPLTQSEEAGLSLVIPLAIAHFVDSVLVSSCAFLLELCGLSASMLHVDVSALRRISSFYKSSENNEKYSQISPKGSAFQAVSHGGNVVESLARSLADEYLHKDRVTNSKLKGTSNSFAGKQSSRALMLVLQHLEKASLPLMMDGKTCGSWLLTGIGDGTELREKQKVASQHWNLVTLFCQMHQLPLSTKYLTVLARDNDWVGFLSEAQIGGYPFDSVVQATKEFSDPRLKIHILTVLKGMQSRKKSGSPAYTYTGESGSETHCFQEDMLIPAELFRILADCEKQKNPGESLLKKAKEMSWSILAMIASCFPDASPLSCLTVWLEITAARETSSIKVNDIASQIADNVEAAVEATNSLPAGSRVLTVHYNRQNAKRRRLMEPMYVDSLVAIDDVSTTYGGATRPASQGAVAEEERKVDFGEKNVSSDSDEGPVSLSKMVAVLCEQQLFLPLLRAFEMFLPSCSFLPFIRALQAFSQMRLSEASAHLGSFSVRIKDEQTSMQANIGIEGRVRTSWISSTAVKAANAMLLTCPSPYEKRCLLQLLAATDFGDGGSTATYYRRLYWKINLAEPSLRKDDALHLGNQALDDASLLEALEKNGHWEQARNWARQLDASGGPWKSAVHHVTEIQAESMVAEWKEFLWDVPEERVALWGHCQTLFIRYSFPPLQAGLFFLKHAEAVEKDLPARELHELLLLSLQWLSGMITLSNPVYPLHLLREIETRVWLLAVESEAQAKSDRDFTTTTSSGDPVIGNASNIIDKTASLITKMDNHINTMRSRTVEKQDARENNLAQHKNQVLDSITQTAGGSTKTKRRAKGNVLSRRPLMEPIDKSTEPEDCSTNFISRIDLPLLDENLKIEMSFSKWEERVGPAELERAVLSLLEFGQITASKQLQHKLSPAHTPPEFKLVDVALKLGAITTPGSKISISMLDEETCSVVKSYNILTEKHLLDPLQVLESLATIFTEGSGRGLCKRIIAVVKAANVLGLSFLEAFDKQPIELLRLLALKAQESFEEASLMVQTHSMPAASIARILAESFLKGLLAAHRGGYMDSQKEEGPAPLLWRFSDFLKWAELCPSEPEIGHALMRLVITGQEIPHACEVELLILSHHFYKSSACLDGVDVLVALAATRVEAYVSEGDFPCLARLITGVGNFHALNFILGILIENGQLDLLLQKYSAAAETNVETAEAVRGFRMAVLTSLKHFNPEDHDAFAMVYNHFDMKHETAALLESRAWQSSEQWFRRYDKDQNEDLLESMRYFIEAAEVHSSIDAGNKTRGACAHASLVSLQIRMPDCQWLNLSETNARRLLVEQSYFQEALIVAEAYGLNQPSEWALVLWNQMLKPELIEEFVAEFVAVLPLQPSMLVELARFYRAEVAARGDQSQFSVWLTGGGLPAEWAKYLGRSFRCLLKRTRDLRLRVQLATIATGFNDIIDTCMNALDKVPDNAAPLVLRKGHGGAYLPLM >Potri.018G066600.1.v4.1 pep chromosome:Pop_tri_v4:18:8006286:8008996:-1 gene:Potri.018G066600.v4.1 transcript:Potri.018G066600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066600.v4.1 MGSDSVSTSTKPPQEGDPSASLLSFNAGSLSYPSNPHQKNTANSVIFTSLILVTCIALSAASAFAFLFFSSSSVPLTDTSSASLQSTSRSLTKLNHPVVLLISSDGFRFGYQFKTHTPNIHRLIVNGTEAETGLIPVFPTLTFPNHYSIVTGLYPAHHGIINNYFDDPKTGEVFTMASHEPKWWLGEPLWETVANHGLKAATYFWPGSEVHKGSWTCPPGFCMFYNGSVPFDERVDTVLSYFDLPASEIPVFMTLYFEDPDHQGHNVGPDGPEITEAVAGIDRMIGKLIDGLEKRGVFEDVTIIMVGDHGMVGTCDKKLIFLDDLAPWIDIPADWVRSYTPLLAIRPPPGFAPSDVVAKMNEGLQSGKVQNGKNLKMYLKEKLPSRLHYAASARIPPIIGMLDEGFKVEQKRTEGQECGGAHGYDNALFSMRTIFVGHGPQFARGQKVPSFENVQIYNLVTSILNIQGAPNNGSVSFPSTVLLPNPHKF >Potri.001G263400.1.v4.1 pep chromosome:Pop_tri_v4:1:27882630:27888845:-1 gene:Potri.001G263400.v4.1 transcript:Potri.001G263400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G263400.v4.1 MDSPPRSSVIIIGAGISGVSAGKVLAENGIEDMVILEASDRIGGRIRKDNFGGVSVELGAGWIAGVGGKESNPVWELASQSGLRTCFSDYSNARYNIYDRSGKIYPSGVAADSYKKAVDLAIENLKSLEANLVGEVNEPPSSPKTPIELAIDFILHDFEMAEVEPISTFVDFGEREFLVADERGYEHLLYKMAENFLLISEGKILDNRLKLNKVVRELQHSRNGVVVKTEDGCIYEANYVILSVSIGVLQSDLISFRPPLPRWKTEAIEKCDVMVYTKIFLNFPYKFWPCGPGKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQSDKETLEEAMGVLRDMFGPHIPNATDILVPRWWNNRFQRGSYSNYPIISDNQDVHDIKAPVGRIFFTGEHTSERFSGYVHGGYLAGIDTSNSLVEEMRKEKERKSESQTFLLEPLLALTGSLTLTQTEAVPSLHKCDIPTHLYLSGKVGLQEAIL >Potri.011G076600.1.v4.1 pep chromosome:Pop_tri_v4:11:8117593:8118728:-1 gene:Potri.011G076600.v4.1 transcript:Potri.011G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076600.v4.1 MAKTKPQLCTAPLLLLLFLLLTTTITTTAFSFSRSYSQYKTLFSLSHSLLTRVSNLRASRGDISGSNRAKLIAQKLERGLGFGFWGLSWSVGWDYAINYAWGHFDYRELYGAVSEMNELLRFLGELTRSGSEIERATWVAGNYKNVLSVAQSVLRRLVKVFRQSGPLKEVVETVQREVVEGDLLRDCLELGSNDLKGLVQIVKDLASQFYSSSSSSSTASQDDYNSDL >Potri.006G047800.2.v4.1 pep chromosome:Pop_tri_v4:6:3276988:3280570:1 gene:Potri.006G047800.v4.1 transcript:Potri.006G047800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047800.v4.1 MDHKSAEAFHDTDENRTRDEAAKSNCSKNDVKDIPRPGPSTPVDNRKVNLVDWRVLELASPNSPMDENRSGEVNMEASITQDDIIRAGGFGARDDIGSFLPVASDSTDFEATILDARNYEEPQGEIHRPGLGWTEATERK >Potri.013G004600.4.v4.1 pep chromosome:Pop_tri_v4:13:316158:320842:-1 gene:Potri.013G004600.v4.1 transcript:Potri.013G004600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004600.v4.1 MTENRNANAKPSNGKPTGAASPYAIDLDNFTKRLNMLYSHWKEHHSDLWGASDALAIATPPASEDLRYLKSSALNIWLVGYEFPETIMVFLKKQILFLCSQKKASLLDVVKKSAKEAVGVEVVILVKTKNDDGSGLMDIIFHAVLAQSNSNGHNTPVIGRIARESPEGKLLETWDEKVKNVNCELRDVTNGFSDLFAVKDSTELTNVRKAAFLSSSVMKQFVVPKLEKVIDEEKKISHSSLMGDTEKAILEPARIKVKLKAENVDICYPPVFQSGGEFDLKPSAASNDENLYYDSTSVIICAIGSRYNSYCSNVARTYLIDANPMQSKAYEILLQAHEAAISALKPGNMVSAVYQAALSVVEKDAPELTANLTKTAGTGIGLEFRESGLSLNSKNDQVLRQGMVFNVSLGFQHLQAETKNPKTQKYSVLLADTVIVGEKFADVVTSKCTKAVKDVAYSFNEDDQEEDRPKVKPERRGSETTLSKATLRSDNHEMSKKELRRQHQAELARQKNEETARRLAGGGSAATDNRGGAKTIGDLIAYKNVNDLPPPRDFMIQIDQRNEAIILPIHGSMVPFHVATVKSVSSQQDNNRTCYIRIIFNVPGTPFNPHDANSLKFQGSIYLKEVSFRSKDSRHISEVVQQIKTLRRQVTSRESERAERATLVSQEKLQLSSSKFKPMKLLDLWVRPPFGGRGRKLTGSLESHTNGLRYSTSRPDERVDVMFGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYIEVIDVVQTIGGSKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDVWSQPQFKALDLEFDQPLRELGFHGVPHKVSAFIVPTSSCLVELIETPCVVITLSEIEIVNLERVGLGQKNFDMTVVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSENSADSDQGYMPSDVQSDSGSDDEDDLSESLVESEDDEEEDSEEDSEEEEGKTWEELEREASYADREKGNDSDSEEERKRRKIKAFGKAREPARAPTRPSARPPARPPARAPARPSARPPARPVTRQPDRRNVSSGLHKRPKLR >Potri.013G004600.5.v4.1 pep chromosome:Pop_tri_v4:13:316157:320841:-1 gene:Potri.013G004600.v4.1 transcript:Potri.013G004600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004600.v4.1 MTENRNANAKPSNGKPTGAASPYAIDLDNFTKRLNMLYSHWKEHHSDLWGASDALAIATPPASEDLRYLKSSALNIWLVGYEFPETIMVFLKKQILFLCSQKKASLLDVVKKSAKEAVGVEVVILVKTKNDDGSGLMDIIFHAVLAQSNSNGHNTPVIGRIARESPEGKLLETWDEKVKNVNCELRDVTNGFSDLFAVKDSTELTNVRKAAFLSSSVMKQFVVPKLEKVIDEEKKISHSSLMGDTEKAILEPARIKVKLKAENVDICYPPVFQSGGEFDLKPSAASNDENLYYDSTSVIICAIGSRYNSYCSNVARTYLIDANPMQSKAYEILLQAHEAAISALKPGNMVSAVYQAALSVVEKDAPELTANLTKTAGTGIGLEFRESGLSLNSKNDQVLRQGMVFNVSLGFQHLQAETKNPKTQKYSVLLADTVIVGEKFADVVTSKCTKAVKDVAYSFNEDDQEEDRPKVKPERRGSETTLSKATLRSDNHEMSKKELRRQHQAELARQKNEETARRLAGGGSAATDNRGGAKTIGDLIAYKNVNDLPPPRDFMIQIDQRNEAIILPIHGSMVPFHVATVKSVSSQQDNNRTCYIRIIFNVPGTPFNPHDANSLKFQGSIYLKEVSFRSKDSRHISEVVQQIKTLRRQVTSRESERAERATLVSQEKLQLSSSKFKPMKLLDLWVRPPFGGRGRKLTGSLESHTNGLRYSTSRPDERVDVMFGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYIEVIDVVQTIGGSKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDVWSQPQFKALDLEFDQPLRELGFHGVPHKVSAFIVPTSSCLVELIETPCVVITLSEIEIVNLERVGLGQKNFDMTVVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSENSADSDQGYMPSDVQSDSGSDDEDDLSESLVESEDDEEEDSEEDSEEEEGKTWEELEREASYADREKGNDSDSEEERKRRKIKAFGKAREPARAPTRPSARPPARPPARAPARPSARPPARPVTRQPDRRNVSSGLHKRPKLR >Potri.013G004600.6.v4.1 pep chromosome:Pop_tri_v4:13:316157:320792:-1 gene:Potri.013G004600.v4.1 transcript:Potri.013G004600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004600.v4.1 MTENRNANAKPSNGKPTGAASPYAIDLDNFTKRLNMLYSHWKEHHSDLWGASDALAIATPPASEDLRYLKSSALNIWLVGYEFPETIMVFLKKQILFLCSQKKASLLDVVKKSAKEAVGVEVVILVKTKNDDGSGLMDIIFHAVLAQSNSNGHNTPVIGRIARESPEGKLLETWDEKVKNVNCELRDVTNGFSDLFAVKDSTELTNVRKAAFLSSSVMKQFVVPKLEKVIDEEKKISHSSLMGDTEKAILEPARIKVKLKAENVDICYPPVFQSGGEFDLKPSAASNDENLYYDSTSVIICAIGSRYNSYCSNVARTYLIDANPMQSKAYEILLQAHEAAISALKPGNMVSAVYQAALSVVEKDAPELTANLTKTAGTGIGLEFRESGLSLNSKNDQVLRQGMVFNVSLGFQHLQAETKNPKTQKYSVLLADTVIVGEKFADVVTSKCTKAVKDVAYSFNEDDQEEDRPKVKPERRGSETTLSKATLRSDNHEMSKKELRRQHQAELARQKNEETARRLAGGGSAATDNRGGAKTIGDLIAYKNVNDLPPPRDFMIQIDQRNEAIILPIHGSMVPFHVATVKSVSSQQDNNRTCYIRIIFNVPGTPFNPHDANSLKFQGSIYLKEVSFRSKDSRHISEVVQQIKTLRRQVTSRESERAERATLVSQEKLQLSSSKFKPMKLLDLWVRPPFGGRGRKLTGSLESHTNGLRYSTSRPDERVDVMFGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYIEVIDVVQTIGGSKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDVWSQPQFKALDLEFDQPLRELGFHGVPHKVSAFIVPTSSCLVELIETPCVVITLSEIEIVNLERVGLGQKNFDMTVVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSENSADSDQGYMPSDVQSDSGSDDEDDLSESLVESEDDEEEDSEEDSEEEEGKTWEELEREASYADREKGNDSDSEEERKRRKIKAFGKAREPARAPTRPSARPPARPPARAPARPSARPPARPVTRQPDRRNVSSGLHKRPKLR >Potri.013G004600.2.v4.1 pep chromosome:Pop_tri_v4:13:315746:320911:-1 gene:Potri.013G004600.v4.1 transcript:Potri.013G004600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004600.v4.1 MTENRNANAKPSNGKPTGAASPYAIDLDNFTKRLNMLYSHWKEHHSDLWGASDALAIATPPASEDLRYLKSSALNIWLVGYEFPETIMVFLKKQILFLCSQKKASLLDVVKKSAKEAVGVEVVILVKTKNDDGSGLMDIIFHAVLAQSNSNGHNTPVIGRIARESPEGKLLETWDEKVKNVNCELRDVTNGFSDLFAVKDSTELTNVRKAAFLSSSVMKQFVVPKLEKVIDEEKKISHSSLMGDTEKAILEPARIKVKLKAENVDICYPPVFQSGGEFDLKPSAASNDENLYYDSTSVIICAIGSRYNSYCSNVARTYLIDANPMQSKAYEILLQAHEAAISALKPGNMVSAVYQAALSVVEKDAPELTANLTKTAGTGIGLEFRESGLSLNSKNDQVLRQGMVFNVSLGFQHLQAETKNPKTQKYSVLLADTVIVGEKFADVVTSKCTKAVKDVAYSFNEDDQEEDRPKVKPERRGSETTLSKATLRSDNHEMSKKELRRQHQAELARQKNEETARRLAGGGSAATDNRGGAKTIGDLIAYKNVNDLPPPRDFMIQIDQRNEAIILPIHGSMVPFHVATVKSVSSQQDNNRTCYIRIIFNVPGTPFNPHDANSLKFQGSIYLKEVSFRSKDSRHISEVVQQIKTLRRQVTSRESERAERATLVSQEKLQLSSSKFKPMKLLDLWVRPPFGGRGRKLTGSLESHTNGLRYSTSRPDERVDVMFGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYIEVIDVVQTIGGSKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDVWSQPQFKALDLEFDQPLRELGFHGVPHKVSAFIVPTSSCLVELIETPCVVITLSEIEIVNLERVGLGQKNFDMTVVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSENSADSDQGYMPSDVQSDSGSDDEDDLSESLVESEDDEEEDSEEDSEEEEGKTWEELEREASYADREKGNDSDSEEERKRRKIKAFGKAREPARAPTRPSARPPARPPARAPARPSARPPARPVTRQPDRRNVSSGLHKRPKLR >Potri.013G004600.3.v4.1 pep chromosome:Pop_tri_v4:13:316043:320911:-1 gene:Potri.013G004600.v4.1 transcript:Potri.013G004600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004600.v4.1 MTENRNANAKPSNGKPTGAASPYAIDLDNFTKRLNMLYSHWKEHHSDLWGASDALAIATPPASEDLRYLKSSALNIWLVGYEFPETIMVFLKKQILFLCSQKKASLLDVVKKSAKEAVGVEVVILVKTKNDDGSGLMDIIFHAVLAQSNSNGHNTPVIGRIARESPEGKLLETWDEKVKNVNCELRDVTNGFSDLFAVKDSTELTNVRKAAFLSSSVMKQFVVPKLEKVIDEEKKISHSSLMGDTEKAILEPARIKVKLKAENVDICYPPVFQSGGEFDLKPSAASNDENLYYDSTSVIICAIGSRYNSYCSNVARTYLIDANPMQSKAYEILLQAHEAAISALKPGNMVSAVYQAALSVVEKDAPELTANLTKTAGTGIGLEFRESGLSLNSKNDQVLRQGMVFNVSLGFQHLQAETKNPKTQKYSVLLADTVIVGEKFADVVTSKCTKAVKDVAYSFNEDDQEEDRPKVKPERRGSETTLSKATLRSDNHEMSKKELRRQHQAELARQKNEETARRLAGGGSAATDNRGGAKTIGDLIAYKNVNDLPPPRDFMIQIDQRNEAIILPIHGSMVPFHVATVKSVSSQQDNNRTCYIRIIFNVPGTPFNPHDANSLKFQGSIYLKEVSFRSKDSRHISEVVQQIKTLRRQVTSRESERAERATLVSQEKLQLSSSKFKPMKLLDLWVRPPFGGRGRKLTGSLESHTNGLRYSTSRPDERVDVMFGNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYIEVIDVVQTIGGSKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDVWSQPQFKALDLEFDQPLRELGFHGVPHKVSAFIVPTSSCLVELIETPCVVITLSEIEIVNLERVGLGQKNFDMTVVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSENSADSDQGYMPSDVQSDSGSDDEDDLSESLVESEDDEEEDSEEDSEEEEGKTWEELEREASYADREKGNDSDSEEERKRRKIKAFGKAREPARAPTRPSARPPARPPARAPARPSARPPARPVTRQPDRRNVSSGLHKRPKLR >Potri.018G145532.1.v4.1 pep chromosome:Pop_tri_v4:18:15287695:15294423:-1 gene:Potri.018G145532.v4.1 transcript:Potri.018G145532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145532.v4.1 MTCKFCGHCFTQGTSSRRIKLHLAGVRGRGVKICKNVPQEVRDAACEAVNDSPPEKKLETAAGSSTNEVANAISASTQEQNIEVTYVEMALQGGPFFTRELAWANDLRGSSHDSSRPADDQLCSSVNNDVNMKDVQNKVGVKTEPVLFQVVEQSNAEPVSLAGHAGSIQVGVHGMEQGAEEERICPNLAANGMENPCDGSSQHSRRLIIDAQDNTNSAFLIKEEDVENNLGRLVQPGAGASSSGGVACKTNKIKGDALLTRKMVGQAFNDHKETIQSLLEHNEVSSIGIYGMGGVGKTTLVTHIYNQLLERRDTHVYWITGSQDTSINRLQTSLARRIGLDLSSEDEELHRAVALKKELMKKQKWVLILDDLWKAFDLQKLGVPDQVEGCKLILTTRSEKVCQQMKTQHTIKVQPISEEEAWTLFIERLGDDIALSSEVKRIAVDIVRECAGLPLGIITMARSMRGVDDPYEWTDTLKKLKESKCREMEDEVFQLLRFSYDQLNDLALQQCLLYCALYPEDHEIEREELIGYLIDEEIIEEMRSRQAAFDEGHMMLDKLEKVCLLERVGYRRYVKMHVLIRGMAHQILQTNSPVMVGDFRGGLPDVDMWKENLVRVSLKHCYFKEILSSHSPRCPNLSTLLLCDNEGLQFITGSFFTQLHGLKVLDLSHTNITKLPNSVSELVSLTALLLKECRNLRHVPSLEKLRALKRLDLSGTRSLEMMPQGMQCLSNLRYLRMNGCGEKEFPPGILPNLSQLKVFILEEIDYDYFPVTVEGKEVGCLWELENLECYFKGQSDFVEYLNSRNKIQSLRKYHIFVGSRDKGCDREIDDGEMKLDYGISKTVSLGNLRNQGDGDFQVMFPKDIQQLVIYDCSCDVSSLIEHSTELEVIHIEDCSSMESLISSSWFYPSPTPLPSYNGVFSGLKVFNCSGCSRMKELFPLVLLPNLVNLEKITVRDCEKMKEIIGGTRSDEKGVMGEESNNNSFGLKLPKLRELTLRGLPELKSISSAKLICDSLELIEVLYCEKLKRMPICLPLLENGQPSPPPSLRRIEICPEEWWESVVEWEHPNTTYVLRPFVKVQETILPLDLDIEFRGRKRKGMEMVIQNDPFWEYVEKLDGGSFKCTFCAYKFATATSVSRIKWHLAGRGVKLCDKVPEEVQDAARAAIDGPPEKKQKVIAGSSNNDGNNAITTSAQEQNYEGRHVEMAQQGEAFSPTALKVCLDSIVDKEIEFRHDASETIPITEQVQNLKRGSSLERPSINQADGPRGDSSPPKDLSCLGLGSYHDQLCSPSVKNDVMMDDVQNIVREKTEPVASMLEQSNTILNKLAGDDGRIQVGVQGKEQGAEEELICSHPEAESSMEYTCEGFIQQIDRNVSPERARLMENSSGRLVQTGTSASSTKLVGQAFEQNMKVIRSWLMDDEVSTIGIYGMGGVGKTTMLQQICNELLGRPGISQDVCSVTISQDFNIKTLQNLIAKRLDLDISSEDDDKSKAVKLAKELEKKQKWILILDDLWNSFEPQEVGIPISLKGSKLIMTTRSEMVCRQMNSQNNIRVDPLSDEESWTLFMEKLGQDKPLSPEVERIAVDVATECAGLPLGIVTLAESLKGVNDLFEWRITLKRLKESNFWHMEDQIFQILRLSYDCLDDAAQQCFAYCALFDECHKIEREELIKSFIEEGIIKEMNNGHSILDRLEDVCLLERIDGGSAVKMHDLLRDMALHILDEYSLIMG >Potri.018G145532.2.v4.1 pep chromosome:Pop_tri_v4:18:15287747:15292788:-1 gene:Potri.018G145532.v4.1 transcript:Potri.018G145532.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145532.v4.1 MEMVIQNDPFWEYVEKLDGGSFKCTFCAYKFATATSVSRIKWHLAGRGVKLCDKVPEEVQDAARAAIDGPPEKKQKVIAGSSNNDGNNAITTSAQEQNYEGRHVEMAQQGEAFSPTALKVCLDSIVDKEIEFRHDASETIPITEQVQNLKRGSSLERPSINQADGPRGDSSPPKDLSCLGLGSYHDQLCSPSVKNDVMMDDVQNIVREKTEPVASMLEQSNTILNKLAGDDGRIQVGVQGKEQGAEEELICSHPEAESSMEYTCEGFIQQIDRNVSPERARLMENSSGRLVQTGTSASSTKLVGQAFEQNMKVIRSWLMDDEVSTIGIYGMGGVGKTTMLQQICNELLGRPGISQDVCSVTISQDFNIKTLQNLIAKRLDLDISSEDDDKSKAVKLAKELEKKQKWILILDDLWNSFEPQEVGIPISLKGSKLIMTTRSEMVCRQMNSQNNIRVDPLSDEESWTLFMEKLGQDKPLSPEVERIAVDVATECAGLPLGIVTLAESLKGVNDLFEWRITLKRLKESNFWHMEDQIFQILRLSYDCLDDAAQQCFAYCALFDECHKIEREELIKSFIEEGIIKEMNNGHSILDRLEDVCLLERIDGGSAVKMHDLLRDMALHILDEYSLIMG >Potri.005G155600.7.v4.1 pep chromosome:Pop_tri_v4:5:14653058:14661831:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MKNYFNEEEDEIAELAAGQLLVTQECDIPKNETSSLVCVDADAIDCDNASTRVRPRTVGEDLNTEEGNSAAPHLTSLSHEHDPCFPVDGFDSKMIFSEHVTRISCHEAPLLGESQLNCGLRDGPPSSAELVDEHMEECSPSSPTPDIADAGVILNDLTPTNCFSYSEMSDIGIVVDYVVYRGKYCTGCLVTFSYSGIKINGTTAHGDEGTFSFETGIDEIVSIESQNIQRFETVMIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEDDTETGEQFVYFPSGETVCQKVAGITPQDGSISCSFKAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.005G155600.10.v4.1 pep chromosome:Pop_tri_v4:5:14653082:14661772:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MKNYFNEEEDEIAELAAGQLLVTQECDIPKNETSSLVCVDADAIDCDNASTRVRPRTVGEDLNTEEGNSAAPHLTSLSHEHDPCFPVDGFDSKMIFSEHVTRISCHEAPLLGESQLNCGLRDGPPSSAELVDEHMEECSPSSPTPDIADAGVILNDLTPTNCFSYSEMSDIGIVVDYVVYRGKYCTGCLVTFSYSGIKINGTTAHGDEGTFSFETGIDEIVSIESQNIQRFETVMIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEDDTETAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.005G155600.14.v4.1 pep chromosome:Pop_tri_v4:5:14654249:14661786:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEDDTETGEQFVYFPSGETVCQKVAGITPQDGSISCSFKAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.005G155600.13.v4.1 pep chromosome:Pop_tri_v4:5:14653228:14661786:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEQDDTETGEQFVYFPSGETVCQKVAGITPQDGSISCSFKAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.005G155600.8.v4.1 pep chromosome:Pop_tri_v4:5:14653083:14661771:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MKNYFNEEEDEIAELAAGQLLVTQECDIPKNETSSLVCVDADAIDCDNASTRVRPRTVGEDLNTEEGNSAAPHLTSLSHEHDPCFPVDGFDSKMIFSEHVTRISCHEAPLLGESQLNCGLRDGPPSSAELVDEHMEECSPSSPTPDIADAGVILNDLTPTNCFSYSEMSDIGIVVDYVVYRGKYCTGCLVTFSYSGIKINGTTAHGDEGTFSFETGIDEIVSIESQNIQRFETVMIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEQDDTETAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.005G155600.2.v4.1 pep chromosome:Pop_tri_v4:5:14653272:14661782:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MIFSEHVTRISCHEAPLLGESQLNCGLRDGPPSSAELVDEHMEECSPSSPTPDIADAGVILNDLTPTNCFSYSEMSDIGIVVDYVVYRGKYCTGCLVTFSYSGIKINGTTAHGDEGTFSFETGIDEIVSIESQNIQRFETVMIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEQDDTETGEQFVYFPSGETVCQKVAGITPQDGSISCSFKAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.005G155600.12.v4.1 pep chromosome:Pop_tri_v4:5:14653250:14661661:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MITVILNDLTPTNCFSYSEMSDIGIVVDYVVYRGKYCTGCLVTFSYSGIKINGTTAHGDEGTFSFETGIDEIVSIESQNIQRFETVMIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEDDTETGEQFVYFPSGETVCQKVAGITPQDGSISCSFKAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.005G155600.6.v4.1 pep chromosome:Pop_tri_v4:5:14653096:14661787:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MKNYFNEEEDEIAELAAGQLLVTQECDIPKNETSSLVCVDADAIDCDNASTRVRPRTVGEDLNTEEGNSAAPHLTSLSHEHDPCFPVDGFDSKMIFSEHVTRISCHEAPLLGESQLNCGLRDGPPSSAELVDEHMEECSPSSPTPDIADAGVILNDLTPTNCFSYSEMSDIGIVVDYVVYRGKYCTGCLVTFSYSGIKINGTTAHGDEGTFSFETGIDEIVSIESQNIQRFETVMIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEQDDTETGEQFVYFPSGETVCQKVAGITPQDGSISCSFKAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.005G155600.11.v4.1 pep chromosome:Pop_tri_v4:5:14653083:14661770:1 gene:Potri.005G155600.v4.1 transcript:Potri.005G155600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G155600.v4.1 MKNYFNEEEDEIAELAAGQLLVTQECDIPKNETSSLVCVDADAIDCDNASTRVRPRTVGEDLNTEEGNSAAPHLTSLSHEHDPCFPVDGFDSKMIFSEHVTRISCHEAPLLGESQLNCGLRDGPPSSAELVDEHMEECSPSSPTPDIADAVILNDLTPTNCFSYSEMSDIGIVVDYVVYRGKYCTGCLVTFSYSGIKINGTTAHGDEGTFSFETGIDEIVSIESQNIQRFETVMIKLHILSKDAVQADNTQGMSGVEELEVVVVEPNWSRKWEEITSLNVKYSPLLSVIHDMDIAMNGVDSLQQRRYFPSFDSEFEDVIYPKEDSDAVSISKRDVDLLQPETFINDTIIDFYIKYLKNQIPSEEKQRYHFFNSFFFRKLADLDKDPSNVKDGKAAFLRVHKWTRKVDLFGKDYIFIPVNFNLHWSLLVICHPGEIAGVKDEDTEISVKVPCILHMDPIKGTHAGLKNLVQSYLWEEWKERQKGSSEDMSSKFLNLRFVPLELPQQENSFDCGLFLLHYLELFLVEAPVNFSPFRINGFTKFLNGDWFPPAEASLKRTLIQRLISELLQNCSREVSSSGCSSEQQSNFPEINGKESGLELVSDRYSPDGACRVNLSSSDHGQGIEITLLGVSPMRNSHCVNDPGLVLREFFDPEVAAGSLLAQCPSFDQPSSYHHLNGTRSQIEQDDTETAFGADDSWNPGISLQADDNDSSSETSDCASDDSDVGIIENFPAMEDAGICQKEKFDQRKSPLMENVGCLTVSLASASGEMLENSAFGGIRNLRSTEDIDKIHDGNEHVSLASCQGNFSASLQEDPNLVEIVLNQDLEKAKVTRDNMQAIEGIHNLEGIDDTDRIRYDKENGNIATCQEKFSASLQEDHALVENGLHQDLQKTEVIENDMQTIGDDVMMVESDEQQAAKRPRRTS >Potri.012G022800.1.v4.1 pep chromosome:Pop_tri_v4:12:2320371:2324193:1 gene:Potri.012G022800.v4.1 transcript:Potri.012G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022800.v4.1 MFLLCQCFVLISLTLFQASSPASLPSSAIDLLKFQDSLPLLSQKLLPWNQSSSSSSPCQWPGVSCYPNKSFQVKALNLSGYGLSGVLNNSISYLCRHKHLVLLDLSGNHFTGVIPHLLVNCGQLNTILLNDNGLEGSIPADVFKSKKLVQLDFGYNSLSGNIPPEVSFCTNLEYLGLYNNYLSGAVPSEIFSLPKLNFMYLNTNNLTGLLPNFLPSCAISDLLIHENAFSGSLPSTLSNCQNLTVFIASQNNFEGVIAPEIFKGLLQLEVLYLDGNKLEGEIPETLWGLENLQELVLSGNKLNGTISERISQCPQLMTIALSGNNLVGHIPRLVGTLQYLTNLILFDNKLDGSLPAELGNCSSLVEFRLQNNLIGGNIPPEICNLENLEVLFLSNNFVEGHIPRQIGRLSNLKILALYSNNLSGIIPSEITNFTKLTYLSFAHNDLTGEVPFDLGKNSPDLDRLDLTSNHLYGPIPPNVCNGNNLRVLTLGDNRFNGIFPVEIGKCLSLRRVILSNNLLEGSIPTDLERNSGISYLEVRGNLIEGKIPAVFGSWSNLSMIDFSGNKFSGSIPPELGKLANLQALRLSSNNLTGSIPSDLSHCRKFIKIDLSKNQLSGKIPSEITSLEKLESLLLQENKLSGAIPDSFSPLQGLFELQLSSNMLEGPIPCSLSKINHFSSVLNLSYNKLSGKIPGCLGNLDKLQILDLSCNSFYGEMPTELNNMISLYFVNISFNQLSGKLPTSWIRIMASYPGSFLGNPELCLPGNDARDCKNVREGHTRRLDRHALAGVIICVVISMALLCSVVYIIVVRVLQHKYHRDQSLLRECRSHTEDLPEDLQFEDIMRATEGRSEEYVIGRGKHGTVYRTESANSRKHWAVKKVSLSGDNFSLEMRTLSVVRHRNIVRMGGYCIKDGYGFIVTEFMPGGTLFDVLHRHEPRMALDWDTRYRIALGVAQGLSYLHHDCVPQIIHRDVKSDNILMDSELEPKVGDFGMSKMLLDSDSSSTRSRIVGTLGYMAPENAYSIRLTEKVDVYSYGVILLEIVCRKFPVDPSFEEGLDIVSWTRKKLQENDECVCFLDREISFWDRDEQQKALKLLELALECTESVADKRPSMRDVVGSLIKLHDKHERRVNNRNNSNQNILYPS >Potri.015G053600.2.v4.1 pep chromosome:Pop_tri_v4:15:7151760:7152902:-1 gene:Potri.015G053600.v4.1 transcript:Potri.015G053600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053600.v4.1 MEFWAEKGLAKLTIYKHVRPPLRRIISAPVISSQKPLVQEVVLAAELRCPSCQKRVNDAISSIEDLESIVVHVVEKKVTLTPKSVAEGSSTRVPAVFNNLAYKTLRNPLLSYHV >Potri.006G075300.4.v4.1 pep chromosome:Pop_tri_v4:6:5546077:5555801:1 gene:Potri.006G075300.v4.1 transcript:Potri.006G075300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075300.v4.1 MDSHVEEGCSSETLAFMELAIEQAEDALNSLEVPVGCVIVEDGKVIASGRNRTTETRNATRHAEMEAIDVLLEQWQKIGLSVSEVAEKISTCSLYVTCEPCIMCAAALSILGIKEVYYGCANDKFGGCGSILSLHCSSSKLPNSGDIAQRKDFKCTGGIMALEAVSLLRRFYEQGNPNAPKPHRPLVQQATNN >Potri.013G153300.1.v4.1 pep chromosome:Pop_tri_v4:13:14896380:14898123:-1 gene:Potri.013G153300.v4.1 transcript:Potri.013G153300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G153300.v4.1 MELSKNLLIKSTLARLLSFIVLFFAVRFAYFIAVKGRSCVSNDFCFFPPQNLDFTIHYHSAATYRCTVDHYLSVFQDLIADGFLSPISKSLCIETLTGEEIIALKEIGVIDSTGISKKSKKIKKSSPPLILSNYPLSFHDNTFDFQFTNNLDWSGQPDEFGSELCRTLKPGGFLVIHTKSRDLYSLRSLLDLFNCCRLIKSREINGVDSMSMMIIREVVLMKVRDFLWRGNVGSNCSVSRLKRELAKNAEALIAKEPLKPWITLKRNLKNVKYLSDSVDISFKRKYVYVDVGARSYGSSIGGWFRKSYPKQNKSFEIYAIEADKVFFGEYRSKKGVQLLPYAAWVRNETLFFGISRFVNRKIVEKGRGMGRIQPVVQSSMSYKADLDTIEGFDFAEWLKNVVVERDFVVVKLDVEGTEFHLIPRLVETGAICLIDELFLECHYNRWQRCCPGQRGVKYQKTYDQCLQLLNSLRKIGVLVHQWW >Potri.003G128901.1.v4.1 pep chromosome:Pop_tri_v4:3:14761696:14763142:1 gene:Potri.003G128901.v4.1 transcript:Potri.003G128901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128901.v4.1 MKELSVVLNQILISRSIKWYWCGDNACPCNAWCPCSYGSEESGRNVKEAILKEIPTDQIDVMELDLRSMASVRKFASEYTTLGLPLNILINNAGVLSSPSKLSQDNIEMLFATNHIGHRIVSREGICFDKIYDEASWFSYGQSKLANILHANELARRLKQEGEEITINSLHPGAIHANLLRHQGFVNGVN >Potri.T002540.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:274669:274998:1 gene:Potri.T002540.v4.1 transcript:Potri.T002540.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002540.v4.1 MNSAGSMQWSMRVFELATWHGRGGLDDGPSKSAADAAEAGSWGCQVDSQEMGEVAASWEAERGSITGVLSRPLCPENMGSWVRGSAPPCPENMGSWERGSAPLGPENGG >Potri.013G142124.1.v4.1 pep chromosome:Pop_tri_v4:13:15592594:15594798:1 gene:Potri.013G142124.v4.1 transcript:Potri.013G142124.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaB MALRFPRFSQGLAQDPTTRRIWFGIATAHDFESHDDITEERLYQNIFASHFGQLAIIFLWTSGNLFHVAWQGNFETWVQDPLHVRPIAHAIWDPHFGQPAVEAFTRGGALGPVNIAYSGVYQWWYTIGLRTNEDLYIGALFLLFLSAVSLLGGWLHLQPKWKPSVSWFKNAESRLNHHLSGLFGVSSLAWTGHLVHVAIPGSRGESVRWNNFLDVLPHPQGLGPLFTGQWNLYAQNPDSSSHLFGTSQGAGTAILTLLGGFHPQTQSLWLTDMAHHHLAIAFLFLIAGHMYRTNFGIGHSIKDLLEAHIPPGGRLGRGHKGLYDTINNSLHFQLGLALASLGVITSLVAQHMYSLPAYAFIAQDFTTQAALYTHHQYIAGFIMTGAFAHGAIFFIRDYNPEQNEDNVLARMLDHKEAIISHLSWASLFLGFHTLGLYVHNDVMLAFGTPEKQILIEPIFAQWIQSAHGKTSYGFDVLLSSTNSPAFNAGRSIWLPGWLNAINENSNSLFLTIGPGDFLVHHAIALGLHTTTLILVKGALDARGSKLMPDKKDFGYSFPCDGPGRGGTCDISAWDAFYLAVFWMLNTIGWVTFYWHWKHITLWQGNVSQFNESSTYLMGWLRDYLWLNSSQLINGYNPFGMNSLSVWAWMFLFGHLVWATGFMFLISWRGYWQELIETLAWAHERTPLANLIRWRDKPVALSIVQARLVGLAHFSVGYIFTYAAFLIASTSGKFG >Potri.018G145574.1.v4.1 pep chromosome:Pop_tri_v4:18:15503465:15504777:-1 gene:Potri.018G145574.v4.1 transcript:Potri.018G145574.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145574.v4.1 MLVGLGWEHGCFSSWAIFLLLGAAIGSSAHFASAFHSASLRLVSCAAPPSHLKPPRAEQLSRGGSASLCLFNYIVLARLRFQLAVIGGWVLIGWIMSLFHGSSSLDWCAGMAALE >Potri.002G072400.1.v4.1 pep chromosome:Pop_tri_v4:2:5081185:5083034:1 gene:Potri.002G072400.v4.1 transcript:Potri.002G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072400.v4.1 MGTTLLTSCGIQWRYHHQQIVPDNHLLSHSQESFPSRAKITAESRKNGFLDGENEKSAGFLKRRSVLVSGVSLVSTSVLGSAGEGLAVVKQGLLAGRIPGLSEPDDQGWRTYRRPDDKSGGHGVGWSPIIPYLFSVPQGWEEVPVSIADLGGTEIDLRFGSSKEGRLFVIVAPVLRFADYLGENATIEQIGPPEKVINAFGPEVIGENVEGKVLSMNVEEHSGRRYYQYELEPPHALITATAAGNRLYLFNVTGNGLQWKRHYQDLKRIAYSFRVV >Potri.002G072400.2.v4.1 pep chromosome:Pop_tri_v4:2:5081178:5082987:1 gene:Potri.002G072400.v4.1 transcript:Potri.002G072400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072400.v4.1 MTKTGWRTYRRPDDKSGGHGVGWSPIIPYLFSVPQGWEEVPVSIADLGGTEIDLRFGSSKEGRLFVIVAPVLRFADYLGENATIEQIGPPEKVINAFGPEVIGENVEGKVLSMNVEEHSGRRYYQYELEPPHALITATAAGNRLYLFNVTGNGLQWKRHYQDLKRIAYSFRVV >Potri.013G084900.1.v4.1 pep chromosome:Pop_tri_v4:13:7693705:7694738:1 gene:Potri.013G084900.v4.1 transcript:Potri.013G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084900.v4.1 MASISLLRLSLIPTPKTLSKSHPFSSFNPPLTPFLKFPPKTRLSQKSTVTRMSYNPTPATDRLVSAVSYTLPFFNSLQYGRFLFTTYPSLALLVDPLIPLLSLYRSIPYASFVAFFALYLGVVRNPSFSQYVRFNAMQAVTLDVLLVVPLLLTRILSPGRAGLGFKLMVWGHNALFLFSCFCFVYGLASSVLGKTPYLPFIAEAAGRQV >Potri.008G005000.1.v4.1 pep chromosome:Pop_tri_v4:8:204848:212190:1 gene:Potri.008G005000.v4.1 transcript:Potri.008G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005000.v4.1 MPSVYGARLTTFEDSEKESEYGYVRKVSGPVVVADGMAGAAMYELVRVGHDNLIGEIIRLEGHSATIQVYEETAGLMVNDPVLRTHKPLSVELGPGILGNIFDGIQRPLKTIARISGDVYIPRGVSVPALDKDTLWEFQPKKIGEGDLVTGGDLYATVYENTLMQHHVALPPDAMGKVTYIAPPGQYSLKDTVLELEFQGVVKQFTMLQTWPVRTPRPVASKLAADTPLLTGQRVLDALFPSVLGGTCAIPGAFGCGKTVISQALSKYSNSDTVVYVGCGERGNEMAEVLMDFPQLTMTLPDGREESVMKRTTLVANTSNMPVAAREASIYTGITIAEYFRDMGYNVSMMADSTSRWAEALREISGRLAEMPADSGYPAYLAARLASFYERAGKVKCLGSPERTGSVTIVGAVSPPGGDFSDPVTSATLSIVQVFWGLDKKLAQRKHFPSVNWLISYSKYSGALESFYERFDPDFINIRTKAREVLQREDDLNEIVQLVGKDALAEGDKITLETAKLLREDYLAQNAFTPYDKFCPFYKSVWMMRNIIHFYNLANQAVERGAGMDGQKITYSLIKHRLGDLFYRLVSQKFEDPAEGEAALVGKFSKLHEDLTGGFRALEDETR >Potri.018G103000.2.v4.1 pep chromosome:Pop_tri_v4:18:12274853:12281978:-1 gene:Potri.018G103000.v4.1 transcript:Potri.018G103000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103000.v4.1 MSSSITEGVVNQPKLLVHLAENGHSFLLDCDETTPVEAVMQRIESVSGINFNYQLVLCLEKKLEPQRSLSAYKLPSSDGEVFIYNRARMQTNPLPPALEQIDVLEIADPPPPASSHNPHPLDDASDPALKALPSYERQFRYHYHRGQAMYRRTQVKHEHCQRLLREHKVQERAMEVARINVQQFYRAILQNYSEFMKRYTQQHRIHLDLLTNFERDLEKLRSIKLHPSLQSDSRKCLVDFVKEDNSRKAVENCSNSHRQFEKKVLEFKQNFSDAKRKVEELFSCGAASSIRNLDLTIKEHQRFINEQKSIMQSLSKDVSTVKNLVDDCLSCQLSSSIRPHDAVSALGPMYDVHDKNHLPRMLACEHSISKLLDFCNDKKNEMNVFVHDYLQKIAYVTYLMKDVKLQFPAFREAMLCQDNIFRDLKLFRGIGPAYRACLAEVVRRKASMKLYMGMAGQLAERLATRREVEVRRREEFLKTNNLYIPRDILTSMGLYDTPNQCDVNIAPFDTNLLDIDISDLDRYAPDYLVGLPSKGDKTASLKGSFSTSNDCSHSTEMEEIGEEAVEKDGSEEPLEDCELLEIAGTSKMEVENAKLKAELASAIALICSLCPEIEYESMDDSTVDSLLKNADKTNEALRLKDEYGKHLQSLLKAKHVQCMSYEKRIQELEQRLSDQYLQGQKLSNSKDASDFALLAAKTEDCKPEISSGGEAHMPYALTSEPMDEVSCISSLNAKLGLFTRQTSKGREGFDENMMDSSGMLNTQLDSSMAEPHREELQVCDKDGKDKMARQLGMSLTNSSTAESMPEPLDVAPSDADAEPKVSSDHDIVLDLQTALAENSNQLSETDAKLKSAVEEVAVLTRELEMSRKLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYNKLRASAVKLRGLFERLRCCVYAPGGVAGFADSLRALAQSLANSSNDNEDEGAAEFQKCVRVLADKVGFLSTHLDKYPKLEAANEQLGKELETKKELVATLYKKHQLEKQANKERISFSRLEVHEIAAFVLNSAGHYEAINRNSSNYYLSAESVALFTDHLPSRPSYIVGQIVHIERQAVKPLHPASTRPEHGRADQLDLLTTDQGIDLLNFNLGSTSNPYNLPMGCEYFVVTVAMLPDTTIHSAPPS >Potri.018G103000.3.v4.1 pep chromosome:Pop_tri_v4:18:12274855:12281923:-1 gene:Potri.018G103000.v4.1 transcript:Potri.018G103000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103000.v4.1 MSSSITEGVVNQPKLLVHLAENGHSFLLDCDETTPVEAVMQRIESVSGINFNYQLVLCLEKKLEPQRSLSAYKLPSSDGEVFIYNRARMQTNPLPPALEQIDVLEIADPPPPASSHNPHPLDDASDPALKALPSYERQFRYHYHRGQAMYRRTQVKHEHCQRLLREHKVQERAMEVARINVQQFYRAILQNYSEFMKRYTQQHRIHLDLLTNFERDLEKLRSIKLHPSLQSDSRKCLVDFVKEDNSRKAVENCSNSHRQFEKKVLEFKQNFSDAKRKVEELFSCGAASSIRNLDLTIKEHQRFINEQKSIMQSLSKDVSTVKNLVDDCLSCQLSSSIRPHDAVSALGPMYDVHDKNHLPRMLACEHSISKLLDFCNDKKNEMNVFVHDYLQKIAYVTYLMKDVKLQFPAFREAMLCQDNIFRDLKLFRGIGPAYRACLAEVVRRKASMKLYMGMAGQLAERLATRREVEVRRREEFLKTNNLYIPRDILTSMGLYDTPNQCDVNIAPFDTNLLDIDISDLDRYAPDYLVGLPSKGDKTASLKGSFSTSNDCSHSTEMEEIGEEAVEKDGSEEPLEDCELLEIAGTSKMEVENAKLKAELASAIALICSLCPEIEYESMDDSTVDSLLKNADKTNEALRLKDEYGKHLQSLLKAKHVQCMSYEKRIQELEQRLSDQYLQGQKLSNSKDASDFALLAAKTEDCKPEISSGGEAHMPYALTSEPMDEVSCISSLNAKLGLFTRQTSKGREGFDENMMDSSGMLNTQLDSSMAEPHREELQVCDKDGKDKMARQLGMSLTNSSTAESMPEPLDVAPSDADAEPKVSSDHDIVLDLQTALAENSNQLSETDAKLKSAVEEVAVLTRELEMSRKLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYNKLRASAVKLRGLFERLRCCVYAPGGVAGFADSLRALAQSLANSSNDNEDEGAAEFQKCVRVLADKVGFLSTHLDKYPKLEAANEQLGKELETKKELVATLYKKHQLEKQANKERISFSRLEVHEIAAFVLNSAGHYEAINRNSSNYYLSAESVALFTDHLPSRPSYIVGQIVHIERQAVKPLHPASTRPEHGRADQLDLLTTDQGIDLLNFNLGSTSNPYNLPMGCEYFVVTVAMLPDTTIHSAPPS >Potri.018G103000.1.v4.1 pep chromosome:Pop_tri_v4:18:12274854:12281983:-1 gene:Potri.018G103000.v4.1 transcript:Potri.018G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103000.v4.1 MSSSITEGVVNQPKLLVHLAENGHSFLLDCDETTPVEAVMQRIESVSGINFNYQLVLCLEKKLEPQRSLSAYKLPSSDGEVFIYNRARMQTNPLPPALEQIDVLEIADPPPPASSHNPHPLDDASDPALKALPSYERQFRYHYHRGQAMYRRTQVKHEHCQRLLREHKVQERAMEVARINVQQFYRAILQNYSEFMKRYTQQHRIHLDLLTNFERDLEKLRSIKLHPSLQSDSRKCLVDFVKEDNSRKAVENCSNSHRQFEKKVLEFKQNFSDAKRKVEELFSCGAASSIRNLDLTIKEHQRFINEQKSIMQSLSKDVSTVKNLVDDCLSCQLSSSIRPHDAVSALGPMYDVHDKNHLPRMLACEHSISKLLDFCNDKKNEMNVFVHDYLQKIAYVTYLMKDVKLQFPAFREAMLCQDNIFRDLKLFRGIGPAYRACLAEVVRRKASMKLYMGMAGQLAERLATRREVEVRRREEFLKTNNLYIPRDILTSMGLYDTPNQCDVNIAPFDTNLLDIDISDLDRYAPDYLVGLPSKGDKTASLKGSFSTSNDCSHSTEMEEIGEEAVEKDGSEEPLEDCELLEIAGTSKMEVENAKLKAELASAIALICSLCPEIEYESMDDSTVDSLLKNADKTNEALRLKDEYGKHLQSLLKAKHVQCMSYEKRIQELEQRLSDQYLQGQKLSNSKDASDFALLAAKTEDCKPEISSGGEAHMPYALTSEPMDEVSCISSLNAKLGLFTRQTSKGREGFDENMMDSSGMLNTQLDSSMAEPHREELQVCDKDGKDKMARQLGMSLTNSSTAESMPEPLDVAPSDADAEPKVSSDHDIVLDLQTALAENSNQLSETDAKLKSAVEEVAVLTRELEMSRKLLDESQMNCAHLENCLHEAREEAQTHLCAADRRASEYNKLRASAVKLRGLFERLRCCVYAPGGVAGFADSLRALAQSLANSSNDNEDEGAAEFQKCVRVLADKVGFLSTHLDKYPKLEAANEQLGKELETKKELVATLYKKHQLEKQANKERISFSRLEVHEIAAFVLNSAGHYEAINRNSSNYYLSAESVALFTDHLPSRPSYIVGQIVHIERQAVKPLHPASTRPEHGRADQLDLLTTDQGIDLLNFNLGSTSNPYNLPMGCEYFVVTVAMLPDTTIHSAPPS >Potri.019G109100.1.v4.1 pep chromosome:Pop_tri_v4:19:14022904:14025927:-1 gene:Potri.019G109100.v4.1 transcript:Potri.019G109100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G109100.v4.1 MATLAAAAARQAVTLSRVSSPKTPAQAAALIHRRGLAGAADHHGPPRINCWQDPMSPSKWKEEHFVIVSLSGWGVLIYGGYKFFTGGKSNKEEKPLEAAH >Potri.007G117400.2.v4.1 pep chromosome:Pop_tri_v4:7:13651460:13652929:-1 gene:Potri.007G117400.v4.1 transcript:Potri.007G117400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117400.v4.1 MNNGNGFNHHQMQNLSNGFNHHLPVYGPSSDDSEEEGINNFVVEELPSDYPSDDLQEEVHFIVNQSSDDSEDSSVNNQSSEDPLGGGRDVHQHDAHDHQEEAIVVQKTDDGPQGGGRDVHQHAAHDHQEEAIVVHQTADPQEGGSNDVEIHAAHDPRDEAIHVHQTDDPQGGIEVDQHAAQDPHEEAIDVQQTANHDPGNMNFLGAVLLAVIIVYLKICSFCCRNLVGNALLAVVIVSMLIFVISFFLNK >Potri.019G089366.1.v4.1 pep chromosome:Pop_tri_v4:19:12809460:12810022:-1 gene:Potri.019G089366.v4.1 transcript:Potri.019G089366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089366.v4.1 MKPNSILGLSHGFLIEHLQSKGLDFPKHISVIAVCPDAEAHSVRRDYVHGQKINGAGINSSIAVHQDIDGRSTDVALGWSVAIGSAFTFATTM >Potri.T124904.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:40609:41368:1 gene:Potri.T124904.v4.1 transcript:Potri.T124904.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124904.v4.1 MKAFLVIICILLATIVFSPSSTCTARELLGGKDPHTGWPPASSGSPCGANKKKCLPNPNPGPNKPKKRRCESPIEQSDCEPN >Potri.T124904.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:40493:41368:1 gene:Potri.T124904.v4.1 transcript:Potri.T124904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124904.v4.1 MAPINKSGSEEAMINTNISNMKAFLVIICILLATIVFSPSSTCTARELLGGKDPHTGWPPASSGSPCGANKKKCLPNPNPGPNKPKKRRCESPIEQSDCEPN >Potri.019G071700.2.v4.1 pep chromosome:Pop_tri_v4:19:11335669:11345397:1 gene:Potri.019G071700.v4.1 transcript:Potri.019G071700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071700.v4.1 MAFSAASISNTSRTLSSPSTTFSQLNNKISPSHFSSSLSLSPRSSPFRRGFVSQWGRRESLRGHVSSSVKAVLVDESKEKVKLLKGDMWSVHKFGGTCVGSSERIKNVADIILKDSSEGKLVVVSAMSKVTDMMYDLINKAQSRDDSYLSAVDAVFEKHRLTAMDLIDGDDLASFLSRLHHDINNLKAMLRAIYIAGHATESFSDFVVGHGELWTAQMLSYVVRKNGLDCEWMDTREVLIVNPSGSNQVDPDFVESEKRLEEWFSRHPSKTIVATGFIASTPQNIPTTLKRDGSDFSAAIMGAMVRARQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEAWEMSYFGANVLHPRTILPVMRYDIPIMIRNIFNLSAPGTMICRPAENEDGQKLESPVKGFATIDNVALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVTAVAEALKSRFHEALNAGRLSQVAVIRNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVIKREDCIRALRAVHSRFYLSKTTIAMGIIGPGLIGATLLDQLRDQAAFLKEDFNIDLRVMGITGSRTMLLSDVEIDLSKWRELVKDKGEVADLEKFTQHVHGNNFIPNTVLVDCTADSSVASCYHDWLRRGIHVITPNKKANSGPLDQYSKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFIGTRAFSDVVAEAKQAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQSLVPQPLRASASVEEYMQRLPQFDSEMARERQEAEDAGDVLRYVGVVDAVSEEGRVELRRYKKDHPFAQLSGSDNIIAFTTKRYKEQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >Potri.019G071700.1.v4.1 pep chromosome:Pop_tri_v4:19:11335669:11345407:1 gene:Potri.019G071700.v4.1 transcript:Potri.019G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071700.v4.1 MAFSAASISNTSRTLSSPSTTFSQLNNKISPSHFSSSLSLSPRSSPFRRGFVSQWGRRESLRGHVSSSVKAVLVDESKEKVKLLKGDMWSVHKFGGTCVGSSERIKNVADIILKDSSEGKLVVVSAMSKVTDMMYDLINKAQSRDDSYLSAVDAVFEKHRLTAMDLIDGDDLASFLSRLHHDINNLKAMLRAIYIAGHATESFSDFVVGHGELWTAQMLSYVVRKNGLDCEWMDTREVLIVNPSGSNQVDPDFVESEKRLEEWFSRHPSKTIVATGFIASTPQNIPTTLKRDGSDFSAAIMGAMVRARQVTIWTDVDGVYSADPRKVSEAVILRTLSYQEAWEMSYFGANVLHPRTILPVMRYDIPIMIRNIFNLSAPGTMICRPAENEDGQKLESPVKGFATIDNVALVNVEGTGMAGVPGTASAIFGAVKDVGANVIMISQASSEHSVCFAVPEKEVTAVAEALKSRFHEALNAGRLSQVAVIRNCSILAAVGQKMASTPGVSATLFNALAKANINVRAIAQGCSEYNITVVIKREDCIRALRAVHSRFYLSKTTIAMGIIGPGLIGATLLDQLRDQAAFLKEDFNIDLRVMGITGSRTMLLSDVEIDLSKWRELVKDKGEVADLEKFTQHVHGNNFIPNTVLVDCTADSSVASCYHDWLRRGIHVITPNKKANSGPLDQYSKLRALQRQSYTHYFYEATVGAGLPIISTLRGLLETGDKILRIEGIFSGTLSYIFNNFIGTRAFSDVVAEAKQAGYTEPDPRDDLSGTDVARKVIILARESGLKLELSDIPVQSLVPQPLRASASVEEYMQRLPQFDSEMARERQEAEDAGDVLRYVGVVDAVSEEGRVELRRYKKDHPFAQLSGSDNIIAFTTKRYKEQPLIVRGPGAGAQVTAGGIFSDILRLASYLGAPS >Potri.008G224701.2.v4.1 pep chromosome:Pop_tri_v4:8:19132921:19133284:1 gene:Potri.008G224701.v4.1 transcript:Potri.008G224701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224701.v4.1 MPSLRIWRLRRPNCSLEKRPQRRTRPKSPGKGRRRG >Potri.008G224701.1.v4.1 pep chromosome:Pop_tri_v4:8:19132916:19133283:1 gene:Potri.008G224701.v4.1 transcript:Potri.008G224701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224701.v4.1 MPSLRPQVRRDYPLSLSISISGGKETYKDSPSNGERTGKCPA >Potri.010G243300.2.v4.1 pep chromosome:Pop_tri_v4:10:21987907:21989444:1 gene:Potri.010G243300.v4.1 transcript:Potri.010G243300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243300.v4.1 MNSSPEHSHQSSFCGIGCAMVISFGILLLIIIIIFASYICTHGIQDPSRLTPSNEGSSITDQGSVAINPGLDEATLASYPKLLYSQEKSQQKVNHSLDSCCSICLGDYIDSDVLRLLPHCGHTFHLNCVDCWLRLNHTCPICRNLPVPTFSIPLAEVAPLATYRY >Potri.002G157600.1.v4.1 pep chromosome:Pop_tri_v4:2:12018870:12028126:1 gene:Potri.002G157600.v4.1 transcript:Potri.002G157600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157600.v4.1 MGRTPCCDKKGLKKGPWTPEEDDLLVTYIKKNGHGSWRSLPKLAGLLRCGKSCRLRWTNYLRPDIKRGPFTLEEEKLVIQLHGILGNRWAAIASQLPGRTDNEIKNLWNTHLKKRLLCLGLDPQTHEPFSSRGPVNKGPASPATRHMAQWESARLEAEQRLSRESSLFLPPMVEKIDCDHFLRIWNSEVGESFRRINMGDNKTACQSPVSQASSSTKCGSISAITADIIPNLSRSPATASNQNEDMEWKSPKSYAEDVLAGSDSSSAELEDLTDSTLQLLLDFPINNDMSFLDKNIDSYATSSAMLTGPSMICPL >Potri.011G095401.1.v4.1 pep chromosome:Pop_tri_v4:11:12295292:12295504:-1 gene:Potri.011G095401.v4.1 transcript:Potri.011G095401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095401.v4.1 MSMMFFYFLKVIFDISTSKRSKTQDPSPEKSQ >Potri.002G153900.1.v4.1 pep chromosome:Pop_tri_v4:2:11755168:11759672:-1 gene:Potri.002G153900.v4.1 transcript:Potri.002G153900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153900.v4.1 MEIRMDFLDWLDHDTSMKILRCLEDPADLVRVSSVSRSWRHFVIANGLCKQLCLRMFPHFLRVECVIEPGCDIEKASEVGCSKFVEWETLKREHKVYAFLLQGCMSFPFGKCILDAISASSTDNYPEESIRNTVQQGGPIESRASYWSSKGQRDAAVPETLVYKLVADICVITEINIQPFKAYFQRGSPIYSAISVRFHMGYPIHPMGDPLGEPLDDSADDKFIWTYSSPEFPMAQESSLQNFRLPEPVVCIGGILQIELLGRVQRQEMDGLFYICVAQVQVKGRPLSPAFGVEMLGPSGKFILKAESCNPPSLPDEDSSYHGGHLHGRVDWEQLMNVLRGEGDFEYDWNSEDEVDDELAF >Potri.013G097000.3.v4.1 pep chromosome:Pop_tri_v4:13:10094266:10101039:1 gene:Potri.013G097000.v4.1 transcript:Potri.013G097000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097000.v4.1 MASSSMQKAASSSYSAPQWMYDVFLSFRGKDTRNNFTSHLYSNLVQRGIDVYMDDRGLERGKTIEPALWKAIEDSRFSIVVFSRDYASSPWCLDELVKIVQCMKEMGHTVLPVFYDVDPSEVADQKGNYKKAFIEHKEKLSENLDRVKCWSDCLSTVANLSGWDVRNSDESQSIKKIAEYIQCKLSFTLQTISKNLVGIDSRLKVLNEYIDEQATDTLFIGICGMGGMGKTTVARVMYDRIRWQFQGSCFLANVREVFAEKDGRCRLQEQLLSEISMELPTARDSSRRIDLIKRRLRLKKVLLILDDVDDEEQLQMLAAEHGSFGPGSRIIITSRNKHVLDSHGVTRIYEAEKLNDKDALILFSWKAFKRDQPAEDLSELSKQVVGYANGLPLALEVIGSFLHKRGLREWKSAIDRMNDIPDRKIIDVLRISFDGLHELEKKIFLDIACFLKGMKKDRIARLLDSCGFHADIGMQALIEKSLISVSRDEIRMHNLLQKMGEEIVRCESPEEPGRRSRLCTYKDVCDALEDSTEKIQSIFLDLPKAKEAQWNMTAFSKMTKLRLLKIHNVDLSEGPEYLSKELRFLEWHAYPSKSLPACFRPDELVELYMSCSSIEQLWCGCKILVNLKIINLSNSLYLINTPDFTGIPNLESLILEGCASLSEVHPSFGRHKKLQLVNLVNCYSLRILPSNLEMESLEVCTLSGCSKLDKFPDIVGNMNCLSMNNCKNLESIPSSISGLKSLKRLDVSDCSELKNIPENLGEVESLEEFDASGTSIRQPPASFFLLKNLKVLSFNGCKRIAVNLTDQILPSLSGLCSLEELDLCACNLGEGAVPEDIGCLSSLRSLNLSRNNFISLPKSINQLSRLEKLALKDCVMLESLPEVPLKVQKVKLDGCLRLKEIPDPIKQSSLKRSKFKCLNCWELYKHNGQNNMGLNMLEKYLQGSSPRPGFCIAVPGNEIPGWFTHQSKESSIRVQMPFNYLDGDDNGWMGFAACAAFSTYGKSCHFKVDGKEYYPSPMYIGCNSMQALSDHLWLFYLSFDYLKELKERENESSSELELSFHSYDQGVKVENCGVRMIDEFMKKMKSDTVFPVSTVSYNVEQSRVDEQTESYTIVFDKDEEDFSEDKEKVQRWMDILTEVAISSGSESSKR >Potri.001G192300.2.v4.1 pep chromosome:Pop_tri_v4:1:17736960:17737850:-1 gene:Potri.001G192300.v4.1 transcript:Potri.001G192300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G192300.v4.1 MEHRQYFFKSVATPKKNEIVFIAPTGEEINNRKQLQQYLKSHRKAREAQHTLEVKEKEHGGAALKYKAAEEAGSSEVSENRNNKVEDVAGKMPQTDSKKENAPGRKGIPDNVAMNVDGGAQENTHGTVSASEGEIKEKLGL >Potri.012G055900.1.v4.1 pep chromosome:Pop_tri_v4:12:5446119:5447059:-1 gene:Potri.012G055900.v4.1 transcript:Potri.012G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055900.v4.1 MSPTNHQAKTSNMYPPPPLKVNKDSHMIKKSSPPSSSSSSSSSSASSSLGIVGPVKPPQRHPVIIYTHSPKIIHTNPKDFMSLVQKLTGLSRSEEDPAPQVKRENGGRREENKRKVKINDGNEGGLGDGQVQYSCFMQPLFQPPNLNYIPVFAPNSAGFLCANQPFYNYTDPLYFPSPNRRNSIATKSTLEVKNEFCGY >Potri.001G027232.6.v4.1 pep chromosome:Pop_tri_v4:1:2054643:2071551:1 gene:Potri.001G027232.v4.1 transcript:Potri.001G027232.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027232.v4.1 MQILKTIPAETRKKLGGKGYFPQDGYILQQLPVPPNCLSVPAVSDGISIMSSDLSISILKKVLKQVEVIKSSRSGAPNFDAHKDEANSLQSMVDRCLQVRGTTKTSRDVDVRYGVKKDSSESSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYTKVNQVGIPYEIAQRITFEERVSVHNMRYLQELVDNKLCLTYRDGSSTYSLREGSKGHTFLRPGQVVHRRIIDGDVVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCVHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKMIFKACFLDKSAAQQLAMFVSPDLPQPALLKVNCIRPYWTAHQILQMALPTCFNCSGERFLINNSNVLKVDFNRDVVASMINEILISIFFEKGSGAVLEFFNALQPLLMENLFSEGFSVSLKDFSISQAVKQSIQESFKVISPLLCNLRSTYNELVELQVENHIQDVKKPVREFILTSSALGYLIDSKSDGAVAKLVQQIGFLGLQVSDRGKLYSKTLVEDLASHFQSKYPTNLLNYPSAQYGLIQSSFFHGLDAYEEMAHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVKVGAESQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWDMMKEILLCKVGFKNDQADRRVILYFNYCGCGREHCQEKAAFLVKNHLEKVSLKDVAKCFMIEYKNQQIPESFGSDAGLVGHVHLEKRILQELNISAQVILEKCQETVNSFRKKKKVGNLFKKTTLSISECCSFEQCTDELLCLMFFWQDANDVHLERTSNILADMICPVLLETTIKGDHRISCANIIWASQETTTWIRNPSRTQKGELALDIVLEKSVVKQSGDAWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSKSVTMVAKGVLKEHLILLGNSMTCAGSLIGFYTGGYKTLSRSLDIQVPFTEATLFTPRKCFEKAAEKCHTDYLSSIVASCSWGKHVTVGTGSRFDVLWDTKEACLNPEGGIDAYSFLNMVRSTAGGEESVTACLGAEVDDLILEDEDWNLSPEHHSSSDKPTFEDSAEFQDFLGNQPAESNWGKASSLKDGSWSAGNWDVDKNDSAGKEKPWSLGMSSAETSDVGWDTAATRKINSSWNSENDVTQSNSLSGWATKNSETHNGFATKVQEKPARSNDWDVGTAWGRKAGDDKFANVTKSWWGKVTDGDESEQNKNKQHQEDQELGTHGWDDKMSPDQLISGWASTTTQEATTESCSSKAASVWGTKNTNVDEQGSENHVLLNQAKESSDWNKKSNSNQTDAACGSKAASSWGAKNTDADKRWGRKVDLNQADTSCSWGRSKTPDRGWGLSNSGGSIGSEMENKTENQSLLDRGKESVGWGTKNTDADKPWSNKVNSNQADTASGWGKSKSLDRRWGVSNSGGGNGNEMNNKTENQSQLEGGKESGGWGAKNTDADKPWGNKVNSNQADTASCWGKPKSPDLGWGVSNSGGGNGSEMEDKTENQSLLDRGKESGGWGKPKSISQGWGSSKDSVKAVDGWGVPNSAGSNGSERDQQWGQQSGEFKKNRTEGSRGWGSNNGHWKKRNRPSKPHEDSSSSGLFTMTRQWLDIFTSQEQDILSDVEPLMLSIRRIMHQTGDGDPLSADDQSYVLDNVFNYHPDKAVKMGAGINHVTVSRHSNFQESRCFYIVSTDDCKQDFSYRKCLENFIRGKYPDLADEFIGKYFARRGNRQRTPAPEGTEEKQAT >Potri.001G027232.3.v4.1 pep chromosome:Pop_tri_v4:1:2054394:2071551:1 gene:Potri.001G027232.v4.1 transcript:Potri.001G027232.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027232.v4.1 MPKVYAFMSKGVCAFRSDWCFIYAPATMLASPRNLVWSYVLLTRLGTGYLFNASVMQILKTIPAETRKKLGGKGYFPQDGYILQQLPVPPNCLSVPAVSDGISIMSSDLSISILKKVLKQVEVIKSSRSGAPNFDAHKDEANSLQSMVDRCLQVRGTTKTSRDVDVRYGVKKDSSESSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYTKVNQVGIPYEIAQRITFEERVSVHNMRYLQELVDNKLCLTYRDGSSTYSLREGSKGHTFLRPGQVVHRRIIDGDVVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCVHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKMIFKACFLDKSAAQQLAMFVSPDLPQPALLKVNCIRPYWTAHQILQMALPTCFNCSGERFLINNSNVLKVDFNRDVVASMINEILISIFFEKGSGAVLEFFNALQPLLMENLFSEGFSVSLKDFSISQAVKQSIQESFKVISPLLCNLRSTYNELVELQVENHIQDVKKPVREFILTSSALGYLIDSKSDGAVAKLVQQIGFLGLQVSDRGKLYSKTLVEDLASHFQSKYPTNLLNYPSAQYGLIQSSFFHGLDAYEEMAHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVKVGAESQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWDMMKEILLCKVGFKNDQADRRVILYFNYCGCGREHCQEKAAFLVKNHLEKVSLKDVAKCFMIEYKNQQIPESFGSDAGLVGHVHLEKRILQELNISAQVILEKCQETVNSFRKKKKVGNLFKKTTLSISECCSFEQCTDELLCLMFFWQDANDVHLERTSNILADMICPVLLETTIKGDHRISCANIIWASQETTTWIRNPSRTQKGELALDIVLEKSVVKQSGDAWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSKSVTMVAKGVLKEHLILLGNSMTCAGSLIGFYTGGYKTLSRSLDIQVPFTEATLFTPRKCFEKAAEKCHTDYLSSIVASCSWGKHVTVGTGSRFDVLWDTKEACLNPEGGIDAYSFLNMVRSTAGGEESVTACLGAEVDDLILEDEDWNLSPEHHSSSDKPTFEDSAEFQDFLGNQPAESNWGKASSLKDGSWSAGNWDVDKNDSAGKEKPWSLGMSSAETSDVGWDTAATRKINSSWNSENDVTQSNSLSGWATKNSETHNGFATKVQEKPARSNDWDVGTAWGRKAGDDKFANVTKSWWGKVTDGDESEQNKNKQHQEDQELGTHGWDDKMSPDQLISGWASTTTQEATTESCSSKAASVWGTKNTNVDEQGSENHVLLNQAKESSDWNKKSNSNQTDAACGSKAASSWGAKNTDADKRWGRKVDLNQADTSCSWGRSKTPDRGWGLSNSGGSIGSEMENKTENQSLLDRGKESVGWGTKNTDADKPWSNKVNSNQADTASGWGKSKSLDRRWGVSNSGGGNGNEMNNKTENQSQLEGGKESGGWGAKNTDADKPWGNKVNSNQADTASCWGKPKSPDLGWGVSNSGGGNGSEMEDKTENQSLLDRGKESGGWGKPKSISQGWGSSKDSVKAVDGWGVPNSAGSNGSERDQQWGQQSGEFKKNRTEGSRGWGSNNGHWKKRNRPSKPHEDSSSSGLFTMTRQWLDIFTSQEQDILSDVEPLMLSIRRIMHQTGYSDGDPLSADDQSYVLDNVFNYHPDKAVKMGAGINHVTVSRHSNFQESRCFYIVSTDDCKQDFSYRKCLENFIRGKYPDLADEFIGKYFARRGNRQRTPAPEGTEEKQAT >Potri.001G027232.4.v4.1 pep chromosome:Pop_tri_v4:1:2054394:2071551:1 gene:Potri.001G027232.v4.1 transcript:Potri.001G027232.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027232.v4.1 MPKVYAFMSKGVCAFRSDWCFIYAPATMLASPRNLVWSYVLLTRLGTGYLFNASVMQILKTIPAETRKKLGGKGYFPQDGYILQQLPVPPNCLSVPAVSDGISIMSSDLSISILKKVLKQVEVIKSSRSGAPNFDAHKDEANSLQSMVDRCLQVRGTTKTSRDVDVRYGVKKDSSESSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYTKVNQVGIPYEIAQRITFEERVSVHNMRYLQELVDNKLCLTYRDGSSTYSLREGSKGHTFLRPGQVVHRRIIDGDVVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCVHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKMIFKACFLDKSAAQQLAMFVSPDLPQPALLKVNCIRPYWTAHQILQMALPTCFNCSGERFLINNSNVLKVDFNRDVVASMINEILISIFFEKGSGAVLEFFNALQPLLMENLFSEGFSVSLKDFSISQAVKQSIQESFKVISPLLCNLRSTYNELVELQVENHIQDVKKPVREFILTSSALGYLIDSKSDGAVAKLVQQIGFLGLQVSDRGKLYSKTLVEDLASHFQSKYPTNLLNYPSAQYGLIQSSFFHGLDAYEEMAHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVKVGAESQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWDMMKEILLCKVGFKNDQADRRVILYFNYCGCGREHCQEKAAFLVKNHLEKVSLKDVAKCFMIEYKNQQIPESFGSDAGLVGHVHLEKRILQELNISAQVILEKCQETVNSFRKKKKVGNLFKKTTLSISECCSFEQCTDELLCLMFFWQDANDVHLERTSNILADMICPVLLETTIKGDHRISCANIIWASQETTTWIRNPSRTQKGELALDIVLEKSVVKQSGDAWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSKSVTMVAKGVLKEHLILLGNSMTCAGSLIGFYTGGYKTLSRSLDIQVPFTEATLFTPRKCFEKAAEKCHTDYLSSIVASCSWGKHVTVGTGSRFDVLWDTKEACLNPEGGIDAYSFLNMVRSTAGGEESVTACLGAEVDDLILEDEDWNLSPEHHSSSDKPTFEDSAEFQDFLGNQPAESNWGKASSLKDGSWSAGNWDVDKNDSAGKEKPWSLGMSSAETSDVGWDTAATRKINSSWNSENDVTQSNSLSGWATKNSETHNGFATKVQEKPARSNDWDVGTAWGRKAGDDKFANVTKSWWGKVTDGDESEQNKNKQHQEDQELGTHGWDDKMSPDQLISGWASTTTQEATTESCSSKAASVWGTKNTNVDEQGSENHVLLNQAKESSDWNKKSNSNQTDAACGSKAASSWGAKNTDADKRWGRKVDLNQADTSCSWGRSKTPDRGWGLSNSGGSIGSEMENKTENQSLLDRGKESVGWGTKNTDADKPWSNKVNSNQADTASGWGKSKSLDRRWGVSNSGGGNGNEMNNKTENQSQLEGGKESGGWGAKNTDADKPWGNKVNSNQADTASCWGKPKSPDLGWGVSNSGGGNGSEMEDKTENQSLLDRGKESGGWGKPKSISQGWGSSKDSVKAVDGWGVPNSAGSNGSERDQQWGQQSGEFKKNRTEGSRGWGSNNGHWKKRNRPSKPHEDSSSSGLFTMTRQWLDIFTSQEQDILSDVEPLMLSIRRIMHQTGDGDPLSADDQSYVLDNVFNYHPDKAVKMGAGINHVTVSRHSNFQESRCFYIVSTDDCKQDFSYRKCLENFIRGKYPDLADEFIGKYFARRGNRQRTPAPEGTEEKQAT >Potri.001G027232.7.v4.1 pep chromosome:Pop_tri_v4:1:2059623:2071541:1 gene:Potri.001G027232.v4.1 transcript:Potri.001G027232.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027232.v4.1 MSENTTKDTQISGEFQNLQSFYQLNGRNYLKWSQIVKTFLKGKGKINHLMDNPPSLEDPKFTLWDEEDSMIMSWLWNSIMPEVCGPYMFLVTAKDIWDAMRQTYFKVKDAALIYEIKTKLSMTKQGNMMVIEYYNTMKSFWLELDYYQDFKMQCSDDAVILKNYVERERIFEFLAGLNIEFDQMRVQILGKESLPSLNEVFSVIRAEEGRRTVMLDAPNTEGSAMLITNSRNMGDAMNGAEVGKIEGKKFPKDDLFCNYCKKTGHTKETCWKLHGKPPRMGRNGGYKWNQSRGHAHLTNSEETVRESSILEVGGFNKEEIERLKTLLNTMEKPSGSCSLAKNGDHRISCANIIWASQETTTWIRNPSRTQKGELALDIVLEKSVVKQSGDAWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSKSVTMVAKGVLKEHLILLGNSMTCAGSLIGFYTGGYKTLSRSLDIQVPFTEATLFTPRKCFEKAAEKCHTDYLSSIVASCSWGKHVTVGTGSRFDVLWDTKEACLNPEGGIDAYSFLNMVRSTAGGEESVTACLGAEVDDLILEDEDWNLSPEHHSSSDKPTFEDSAEFQDFLGNQPAESNWGKASSLKDGSWSAGNWDVDKNDSAGKEKPWSLGMSSAETSDVGWDTAATRKINSSWNSENDVTQSNSLSGWATKNSETHNGFATKVQEKPARSNDWDVGTAWGRKAGDDKFANVTKSWWGKVTDGDESEQNKNKQHQEDQELGTHGWDDKMSPDQLISGWASTTTQEATTESCSSKAASVWGTKNTNVDEQGSENHVLLNQAKESSDWNKKSNSNQTDAACGSKAASSWGAKNTDADKRWGRKVDLNQADTSCSWGRSKTPDRGWGLSNSGGSIGSEMENKTENQSLLDRGKESVGWGTKNTDADKPWSNKVNSNQADTASGWGKSKSLDRRWGVSNSGGGNGNEMNNKTENQSQLEGGKESGGWGAKNTDADKPWGNKVNSNQADTASCWGKPKSPDLGWGVSNSGGGNGSEMEDKTENQSLLDRGKESGGWGKPKSISQGWGSSKDSVKAVDGWGVPNSAGSNGSERDQQWGQQSGEFKKNRTEGSRGWGSNNGHWKKRNRPSKPHEDSSSSGLFTMTRQWLDIFTSQEQDILSDVEPLMLSIRRIMHQTGYSDGDPLSADDQSYVLDNVFNYHPDKAVKMGAGINHVTVSRHSNFQESRCFYIVSTDDCKQDFSYRKCLENFIRGKYPDLADEFIGKYFARRGNRQRTPAPEGTEEKQAT >Potri.001G027232.5.v4.1 pep chromosome:Pop_tri_v4:1:2054643:2071551:1 gene:Potri.001G027232.v4.1 transcript:Potri.001G027232.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027232.v4.1 MQILKTIPAETRKKLGGKGYFPQDGYILQQLPVPPNCLSVPAVSDGISIMSSDLSISILKKVLKQVEVIKSSRSGAPNFDAHKDEANSLQSMVDRCLQVRGTTKTSRDVDVRYGVKKDSSESSTKAWLEKMRTLFIRKGSGFSSRSVITGDAYTKVNQVGIPYEIAQRITFEERVSVHNMRYLQELVDNKLCLTYRDGSSTYSLREGSKGHTFLRPGQVVHRRIIDGDVVFINRPPTTHKHSLQALSVYVHDDHTVKINPLICGPLSADFDGDCVHLFYPQSLAAKAEVLELFSVEKQLLSSHSGNLNLQLTTDSLLSLKMIFKACFLDKSAAQQLAMFVSPDLPQPALLKVNCIRPYWTAHQILQMALPTCFNCSGERFLINNSNVLKVDFNRDVVASMINEILISIFFEKGSGAVLEFFNALQPLLMENLFSEGFSVSLKDFSISQAVKQSIQESFKVISPLLCNLRSTYNELVELQVENHIQDVKKPVREFILTSSALGYLIDSKSDGAVAKLVQQIGFLGLQVSDRGKLYSKTLVEDLASHFQSKYPTNLLNYPSAQYGLIQSSFFHGLDAYEEMAHSISTREVIVRSSRGLSEPGTLFKNLMAILRDVVICYDGTVRNVCSNSIIQFEYGVKVGAESQSLFPAGEPVGVLAATAMSNPAYKAVLDSTPSSNSSWDMMKEILLCKVGFKNDQADRRVILYFNYCGCGREHCQEKAAFLVKNHLEKVSLKDVAKCFMIEYKNQQIPESFGSDAGLVGHVHLEKRILQELNISAQVILEKCQETVNSFRKKKKVGNLFKKTTLSISECCSFEQCTDELLCLMFFWQDANDVHLERTSNILADMICPVLLETTIKGDHRISCANIIWASQETTTWIRNPSRTQKGELALDIVLEKSVVKQSGDAWRIVLDSCLPVLHLIDTTRSIPYAIKQVQELLGVSCAFDQAVQRLSKSVTMVAKGVLKEHLILLGNSMTCAGSLIGFYTGGYKTLSRSLDIQVPFTEATLFTPRKCFEKAAEKCHTDYLSSIVASCSWGKHVTVGTGSRFDVLWDTKEACLNPEGGIDAYSFLNMVRSTAGGEESVTACLGAEVDDLILEDEDWNLSPEHHSSSDKPTFEDSAEFQDFLGNQPAESNWGKASSLKDGSWSAGNWDVDKNDSAGKEKPWSLGMSSAETSDVGWDTAATRKINSSWNSENDVTQSNSLSGWATKNSETHNGFATKVQEKPARSNDWDVGTAWGRKAGDDKFANVTKSWWGKVTDGDESEQNKNKQHQEDQELGTHGWDDKMSPDQLISGWASTTTQEATTESCSSKAASVWGTKNTNVDEQGSENHVLLNQAKESSDWNKKSNSNQTDAACGSKAASSWGAKNTDADKRWGRKVDLNQADTSCSWGRSKTPDRGWGLSNSGGSIGSEMENKTENQSLLDRGKESVGWGTKNTDADKPWSNKVNSNQADTASGWGKSKSLDRRWGVSNSGGGNGNEMNNKTENQSQLEGGKESGGWGAKNTDADKPWGNKVNSNQADTASCWGKPKSPDLGWGVSNSGGGNGSEMEDKTENQSLLDRGKESGGWGKPKSISQGWGSSKDSVKAVDGWGVPNSAGSNGSERDQQWGQQSGEFKKNRTEGSRGWGSNNGHWKKRNRPSKPHEDSSSSGLFTMTRQWLDIFTSQEQDILSDVEPLMLSIRRIMHQTGYSDGDPLSADDQSYVLDNVFNYHPDKAVKMGAGINHVTVSRHSNFQESRCFYIVSTDDCKQDFSYRKCLENFIRGKYPDLADEFIGKYFARRGNRQRTPAPEGTEEKQAT >Potri.006G284100.1.v4.1 pep chromosome:Pop_tri_v4:6:27420146:27421070:-1 gene:Potri.006G284100.v4.1 transcript:Potri.006G284100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G284100.v4.1 MHQLVRDMGREIARQESPKCQRICHHGDAFTVLKGTTRRRLNFFQQWLSDFFDGGKLQTGQTSLFPILNTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHVCLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCICLVQFHESIGNLQRLLILNLRNCTSLVELPEEMSRLNSLQELVLDH >Potri.013G130700.2.v4.1 pep chromosome:Pop_tri_v4:13:13710419:13711512:-1 gene:Potri.013G130700.v4.1 transcript:Potri.013G130700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130700.v4.1 MVVPLGPGKFYGSTLPRPRIYTDVRHSAERVDPPAPVMDPFLSWAHEAHWSMGGLSFNRLRYQGRIEGNTEKLRKLREKKIKLLAKTSPKKKDLAPDGQKERNNKRGGSVSPPPAPQVTKKRRFLQLIDEEESEEEEDQEFEETVKIKRGLARKLVGDFERVAKDNHNVKVADLAKTVTEEVSREEKKSRGGKKGKKSGGESGSEAVIGGIRSSPRLAKQRYVKEA >Potri.017G052000.1.v4.1 pep chromosome:Pop_tri_v4:17:3758858:3760797:-1 gene:Potri.017G052000.v4.1 transcript:Potri.017G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052000.v4.1 MGSLPKSTKAHAVCVPYPAQGHITPMLKVAKLLHHKGFHITFVNSEYNHRRLLKSRGRNSLDVLPDFQFETIPDGLGDQIDADVTQDTSFLCDSISKACLVPFRNLLAKLNSSNVVPPVTCIVADSGMSFALDVKEELQIPVVTFWTSSACGTLAYAHYKHLVERGYTPLKEESDLTNGYLETKIDWIPGMKDIRLKDLPTFIRTTDRNDVILNYVIRIIDRASKASAALVNTFDDLDHDVLVALSSMFPPIYSVGPLNLLLDHTQKDYLASIGSNLWKEETECLQWLDSKDPNSVVYVNFGSITVMNPQQLVEFSWGLANSKKNFLWIIRPDLVRGESAVLPPEFLEETRERGLMASWCAQEKVLKHSSIGGFLSHMGWNSTIESLSNGVAMLCWPFFSEQQTNCKFACVDWGVGMEIESDANRDDVEKLVIELIDGEKGKEMKRKAMEWKSKAEATTGINGSSSMNFDKLVNDVLRFQKP >Potri.014G016200.1.v4.1 pep chromosome:Pop_tri_v4:14:958605:960217:1 gene:Potri.014G016200.v4.1 transcript:Potri.014G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016200.v4.1 MMPKSLQSPSRLPEMDPAIWSRLPEELLEHVLSFLPLKMFLNLRSTSKHFKSLLFSPSFMSKHTASGSPFSSFLLLSHPQFFQQFPLYDSIVGSWRNLALSLSLLLPGTGSNASPSCTLLSSSNGLICFSLPSSCSLLVCNFMAKSSRIVEFPSHPFTFESFVFVSMSFGYKIFVLCSKFSSNSVFVYDSKVHSWQKFDRFEPILGDNYRQEGVFFNGSLYFTTSEPFSIVCFDLESGRWGRLDNELPGDVTFVRLVSDGEKKLYLIGGVGRNGISRSMKLWELDGERNWIEVESLPEMMCKKFLSVCYHNYERVYCFLHEGMICICCYTWPEILYYKVSRRTWHWLPKCPSLPEKWSCGFRWFSFVPELYALV >Potri.014G002700.1.v4.1 pep chromosome:Pop_tri_v4:14:176481:179327:1 gene:Potri.014G002700.v4.1 transcript:Potri.014G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G002700.v4.1 MVMAAHVAKFARATTSSLVLLVLAFVLSIVVTSFGSPDSDALLKFKDQLANNGAINSWNPSVKPCEWERSNWVGVLCLNGSIRGLQLEHMALSGDIDLDALAPLPSFRTLSLMDNNFDGPLPDFKKLGKLKALYLSNNRFSGDIPDNAFEGMGSLKRLYLANNLLTGKIPSSLATLSKLMELKLEGNQFQGQIPNFQQKSMKTVNVANNELEGPIPEALSRLSPHSFAGNKGLCGPPLGPCIPSPPSTPKSNGKKFSILYIVIIILIVLLMLAAIAFAFLLFSRKKCKSRIQRTASSPEENSNKMVASYYRDVHRELSETSSHAKKADHGKLTFLKDDIEKFDLQDLLTASAEVLGSGTFGSSYKAVVVGQPVVVKRYRHMSNVGREEFHEHMRRLGRLKHPNLLPLAAYYNRRDEKLLVTEFAENGSLASHLHGNHSPEEDGLHWHIRLKIVKGVARGLAFLYNELPIIAPHGHLKSSNVLLDESFEPLLTDYALRPVVNPEHAHMFMMAYKSPEYAQQSRTSNKTDIWSFGILILEMLTGKFPENYLTPCYNSDADLATWVNNMVKEKRTSEVFDKEIVGTKYSKGEMIKLLKIGLSCCEEDVERRLDIKEVVEKIDVLKEGDEDEELYGSEGNAYSVRGNDQEGYSFTLDR >Potri.008G006400.2.v4.1 pep chromosome:Pop_tri_v4:8:300111:304582:-1 gene:Potri.008G006400.v4.1 transcript:Potri.008G006400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006400.v4.1 MESMLMTSSVFPETYPLLNNIPSSPLPPSIKLHNTKRTGSLRFAQNPHFISLRLPALARHVSGGDGDDQGPLTNGSAFFSDETLSLPQDNINQSESSEKDAEKILKVETPLIVPHGNGTGGGARAGLFRTPISGGVQSATSAHGLPRPALAVRNLMEQARFAHLCTVMSRMHHRREGYPFGSLVDFAPDSMGHPIFSFSPLAIHTRNLLVDPRCTLVVQIPGWSGLSNARVTIFGDVFPLPEHQQEWAHKQYIAKHQQGPSQQWGNFYYFRMQNISDIYFIGGFGTVAWVDVKEYEALQPDKIAVDGGEQNLKELNAIFSKPLKELLSSETEVDDAAFISIDSKGTDIRVRQGAQFNIQRLSFEDGHAVETLEEAKAALWKLINKGQVHGLQK >Potri.007G076900.6.v4.1 pep chromosome:Pop_tri_v4:7:10118525:10124482:-1 gene:Potri.007G076900.v4.1 transcript:Potri.007G076900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076900.v4.1 MEGKANLEAAIEQLQNVEKQMRLAGDVAGTKKAVTEILQLCFEAKDWKALNDQIILLSKKRGQLKQAVTAMVQQAMQYIDETLDLDTRIELIKTLNSVSAGKIYVEIERARLIRKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADASKEKKKPKEGDNVVEEAPADIPSLLELKRIYYELMIRYYSHDNDYLEICRCYKAIYEIPPVKENPAQWIPVLRKICWYLVLAPHDPMQSSLLNSTLEDKNLSEISNFKLLLKQLVTMEVIQWTSLWNAFMDEFENEKNLLGGSLGDKAAEDLKQRIIEHNILVVSKYYSRITVKRLAELLCLSIQEAEKHLSDMVVSKALVAKIDRPMGIVSFQVAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAALKV >Potri.007G076900.3.v4.1 pep chromosome:Pop_tri_v4:7:10118460:10124482:-1 gene:Potri.007G076900.v4.1 transcript:Potri.007G076900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076900.v4.1 MEGKANLEAAIEQLQNVEKQMRLAGDVAGTKKAVTEILQLCFEAKDWKALNDQIILLSKKRGQLKQAVTAMVQQAMQYIDETLDLDTRIELIKTLNSVSAGKIYVEIERARLIRKLAKIKEEQGLIAEAADLMQEVAVETFGAMAKTEKIAFILEQVRLCLDRQDYVRAQILSRKISPRVFDADASKEKKKPKEGDNVVEEAPADIPSLLELKRIYYELMIRYYSHDNDYLEICRCYKAIYEIPPVKENPAQWIPVLRKICWYLVLAPHDPMQSSLLNSTLEDKNLSEISNFKLLLKQLVTMEVIQWTSLWNAFMDEFENEKNLLGGSLGDKAAEDLKQRIIEHNILVVSKYYSRITVKRLAELLCLSIQEAEKHLSDMVVSKALVAKIDRPMGIVSFQVAKDSNDILNSWAMNLEKLLDLVEKSCHQIHKETMVHKAALKV >Potri.012G017760.1.v4.1 pep chromosome:Pop_tri_v4:12:668301:669256:-1 gene:Potri.012G017760.v4.1 transcript:Potri.012G017760.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017760.v4.1 MLQLCIFIFESIGGLESNKQALYEPVILPLRKPELFSHRKLLGPQKGVLLYGPPGTGKTMLAKAIVRESGAVFINVRISNLKSKWFGDAQKLFAAVFSLAYKLQIMRHEA >Potri.001G150001.7.v4.1 pep chromosome:Pop_tri_v4:1:12523411:12538676:1 gene:Potri.001G150001.v4.1 transcript:Potri.001G150001.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150001.v4.1 MEKREPAIQNVGAPVTPEKPPPLSARPRPVYVKRFSERGSQSFLGRIAAEEARDPICAIITAAASTSKNEHPNNCKYLETGAVFQIDLKESIYDWNGNSTASVHGTQIFGDSGGLSEEGFQSENHNLLSIPDLEESDRYREKCCVLPYGKEQDTEHGTISRMNYEMLDHIGCSNPVEQVAISTPLPKKSGSKRPRNGMDQNKRPVKKPKRKKHRTKVAVEGKPKRTPKKMSKLATPKCMQETKEKRKCVEKAPPPLRNSQESSVREHHKEVAEIPKMENLPVDDNKMAMVSNVNHDLKDDNKDSTMQPGMDNDSGSMVLLSDDKLGFKSNENELRWNVREMRSAGKFWPKPGCQYNSLQAYRRVFRVDSCVGNSREVGPNVPKRCMKKMIKRGRKLNLFSSLWSSHFSCHERKKRSKVFTPRVDPTSLNARFAEKGKDLETAPRDHIPIPGEQEFQITDKLNGENRETLAEGCVEEGLSLKQALTNEVNGVQIVCEAKAPSYSEMRPSAAKSKFEDGKSKQQSRKKKIRITDKSIKPGPKLFDDESGILLKTVKHAVMDKLGDLLRNSSINDNKSEKRGPGRPKSKPEHVVDGQNALIPYWGRGRARHEVDLDPESLRRWNQLMKIDSGEGEEEDGKRKEWWQREKITFNGRIDAFTSRSHQFLGDRRFRQWKGSVVDSVVGVFLTQNVSDHLSSAAYMSLVENFPVQSTSNQQASMTIDGQKPIESSMTSIGATYDADGNRYFVTEVPEPDMNHGSKNLPAEKATCLLQEEVQRRNGIVRSEPEPDMNQGHKDLPAEKDTCLLQEDEQGQNSVVRSESEPDMNHGPKDLPAERAICLLQEEVHRQNGIVRSEPEPDMNLVSQYLLVENPMCLPREVVRRQPQNLPTEQPICLPHEVVKRQSGNETEKKNRSPNRKTAFKETKKTIPRGKNKIVKDVVLRRRNWESLGKIYSRPRSKDQMDSVDWEAVRQAETSKVASIIEGRGQQTIIAGRIKQFLDRVVDMHKSIDLEWLRYAPPDDVKDYLLEFMGLGLKSVECVRLLSLQQVAFPVDVNVARIAVRLGWVPLKALPGSLQFHLIEEFPILDTIQKYLWPRLCELDHRTLYELHYHMITFGKVFCTKENPRCHACPMKAECRHYASAQSSAANLSLPGPSKKSVDQSIVTSKPSRNSSSLGNNCDVKNPMSVTLLDANRTTESRRDVHTCKPIVEEPKSPQQEEDIEDFSWDGCIEDEEIPTIKLNREEFNPDGKKSPYSNSMSQALVSVGTTPLSAPKMKRVTSLRTEHQVYELPDNHEILVGLDKRERDDSVPYLLAIWQPGETPNSSQQPEKLCSSQGSQLCDQKTCFACEGIREQQAGIVRGTILIPCRTALKGSFPLNGTYFQVNEVFADHKSSYDPIIVPRELLWNLVKRTLYVGSSTKSIFRDLSLKEIHQNFWTGFTCVKAFERGTGAPKPLARRFHCSASKMEKEGRKKSEKKSFHSQMTILFKPPNFYECQLGL >Potri.007G038100.1.v4.1 pep chromosome:Pop_tri_v4:7:3057093:3058294:1 gene:Potri.007G038100.v4.1 transcript:Potri.007G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038100.v4.1 MIQELLGGAGLIGGERKIPINATILEGTPSPSPSLSPSPSPSSSTTSAATTSATNSTPSSAQENLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKNTSVSASVGKSGTNKMKTMASDIGRSGFGNGFEHELSSSPIMWASPQNSHILALLRATQNPNPSTLSNSIFVKEEGGLIGNQFISEPGVGTAALNARTLGLDPISQVPSLGLCSPFWKSNQHQQNGFTVGEAQNSGIQELYQRLRSSTNYYTDNPSAIVLSNVATSSSTSTSTILESAPVAGGELGYWNPAFSWSDLPTTNGAYP >Potri.005G199000.2.v4.1 pep chromosome:Pop_tri_v4:5:20504178:20506190:-1 gene:Potri.005G199000.v4.1 transcript:Potri.005G199000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199000.v4.1 MAALSSLHHNQNHIRWAPLIHKKAKFNVSERLNCPTRGHSSQGHQVLSSRTCCFTRVAASLNVDLAMSHGDISGEPFSIPEVVGNINVGIPKTSDATSNLIQRLMLADLDPAAAKSAVGILGPFLSAFSFLFILRIVMSWYPKLPVGKFPYVLVYAPTEPLLIPTRKLIPPLGGVDVTPVVWFGLLSFLNEILVGPQGLLVLLSQQIS >Potri.001G341300.1.v4.1 pep chromosome:Pop_tri_v4:1:35183068:35188500:-1 gene:Potri.001G341300.v4.1 transcript:Potri.001G341300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341300.v4.1 MVEKTFKDEATEEKGERARLERMSEEHKEQEQVLFLEEGKKKKLKKEAEKAQKRGVCYISRVPPGMDHVKLRQLLSQYGEIQRIYLAPQNSSSIDKVNDNNKSRKRGGGAKAQAYSEGWVEFASKSNAKRVANLLNGEQIGGKKRSQFYYDHWNIKYLSKFKWDNLTDEIAYKKAIREQKLALEISAAKRERDFYLKKVDQSRALSSIEERMKKKQKVQQESGGELSVAPQKPPVCRQFPQKKPIAERERSKPQLSKDVLAGVFGGS >Potri.017G071500.1.v4.1 pep chromosome:Pop_tri_v4:17:7784292:7787769:1 gene:Potri.017G071500.v4.1 transcript:Potri.017G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071500.v4.1 MDKTPSNSQDVEVRKGPWTLEEDLILTNHIANHGEGVWNSLAKAAGLKRTGKSCRLRWLNYLRPDLRRGNITPEEQLLIMELHAKWGNRWSKIAKHLPGRTDNEIKNYWRTRIQKHIKQAETFAAQSSETNEHGSSSSQVSSTTELMVSNCLPSYQGDVEAFSGGNIPQELNENYWSMEDLWSMQLLNGD >Potri.003G168500.1.v4.1 pep chromosome:Pop_tri_v4:3:17730621:17732217:1 gene:Potri.003G168500.v4.1 transcript:Potri.003G168500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168500.v4.1 MKIKNKGKVYPSPTPSPSPSPSSSSSSSSSVGAKRDGDVLSVLKLLPAAILALASVLSLEDREVLAYMITRSLKTTITNNPSSFLQDSKKKSSKKPQNNNNSQTSNHRAPIFDCDCFDCYRSYWFRWDSSPNRELIHQVIESFEEHLTSGEMSRKSNRGKRRDKTGHRAGEKPVLDVPGQPEILPVLETSNTSAHESPPTGSVADVANVNVGCPDNGLSPEKITEREEMEEAVKLYDEVSEEVVAAETEVEAAAHGVAVCSRHKGLARKVLPDVLGLLNSRLWSLLSPNV >Potri.010G220300.2.v4.1 pep chromosome:Pop_tri_v4:10:20578974:20580791:1 gene:Potri.010G220300.v4.1 transcript:Potri.010G220300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220300.v4.1 MYRFSNTVIGFLNLFTLLASIPIIGGGLWMARSSTTCEGFLQTPLLVVGFVVLIISLAGFIGACFHVAWALWVYLVVMLLLIATLMGLTIFGFVVTSQGGGVEVPGRVYKEYRLEDYSPWLRNRIKDPDYWRTIRSCILGSKTCAKLASWTPLDYLEKDMSPIQSGCCKPPTSCNYNMATAVPQDPDCYRWNNVPTLLCYECDSCKAGVLEDVRRDWRKLSVLNIVMVVLLIGIYSTGCCAFQNTRRAETDYPYGENRMTKVRPRWDYYWWRWWQDKREQLY >Potri.008G136200.1.v4.1 pep chromosome:Pop_tri_v4:8:9127986:9132926:-1 gene:Potri.008G136200.v4.1 transcript:Potri.008G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH7 MATLVNDSILKNDAAGPVPVSGGLGTDSYYNHSFFQKIAANVAKDMIDEAISKKLDVKSLLSSSKTIRLADLGCAVGPNTFDAMQNIIDLIKLKCQTHLPTSPMPEFQVFFNDQPANDFNTLFKSIPPKREYFAAGVPGSFYERLFPDSSLHVVYSSYALHWLSKVPEGLEDKNSPAWNKGRIHHASAAEEVRRAYAVQWANDLSNFLNARAREIVPGGIIVIVTHSIPDGMEYSELANGMMYNCMASILLDIAKRGLISEEQVDAFNLPTYAAPPGEFVSVVENNEYFNIVTMGESNPSPWLTDDVHVDMNEFVNHIRAAMEGMFNKHFAREIVNEMFERLEVKLSEISVEMESAYKDKIQAFYVLQRNDSG >Potri.008G136200.2.v4.1 pep chromosome:Pop_tri_v4:8:9128004:9132923:-1 gene:Potri.008G136200.v4.1 transcript:Potri.008G136200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH7 MIDEAISKKLDVKSLLSSSKTIRLADLGCAVGPNTFDAMQNIIDLIKLKCQTHLPTSPMPEFQVFFNDQPANDFNTLFKSIPPKREYFAAGVPGSFYERLFPDSSLHVVYSSYALHWLSKVPEGLEDKNSPAWNKGRIHHASAAEEVRRAYAVQWANDLSNFLNARAREIVPGGIIVIVTHSIPDGMEYSELANGMMYNCMASILLDIAKRGLISEEQVDAFNLPTYAAPPGEFVSVVENNEYFNIVTMGESNPSPWLTDDVHVDMNEFVNHIRAAMEGMFNKHFAREIVNEMFERLEVKLSEISVEMESAYKDKIQAFYVLQRNDSG >Potri.001G342500.1.v4.1 pep chromosome:Pop_tri_v4:1:35334144:35334551:1 gene:Potri.001G342500.v4.1 transcript:Potri.001G342500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342500.v4.1 MVKFLKTNKAVIILQGKYAGRKGVIVRSFDDGTRDRPYGHCLVAGIKKYPSKVIKKDSAKKTAKKSRVKCFIKLVNYQHLMPTRYTLDVDLKDVVTADCLSTKDKKITACKETKARFEERFKTGKNRWFFTKLRF >Potri.017G072300.2.v4.1 pep chromosome:Pop_tri_v4:17:7876878:7878649:-1 gene:Potri.017G072300.v4.1 transcript:Potri.017G072300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072300.v4.1 MLEHTHLKMSSSWVQNDYPPPLPPSSMSSPYSTNTASFHKDSNPSSSGSRISPAVLFVIVILAVLFFISGLLHLLVRFLIKHPASSASSQSNRYPGISGSDALQRQLQQLFHLHDSGLDQAFIDALPVFQYKEIVGLKEPFDCAVCLCEFSEKDKLRLLPMCSHAFHINCIDTWLLSNSTCPLCRGTLFSPAGFSMENPMFDFDDLREDDGCPGNRDNGFVPTQKTLQIDEIVVEKGVLPVRLGKFRKLNGGAGDAGGETSSSNLDARRCFSMGSYQYVLDDTDLRVALGKERHSHDITHARGTEQDVNLSVDRDLEENKISSLIKGESFSVSKIWLWRKKGKFSSSSDAPMGITSSLDVDLPWMDETQEK >Potri.019G023800.1.v4.1 pep chromosome:Pop_tri_v4:19:3519128:3521220:1 gene:Potri.019G023800.v4.1 transcript:Potri.019G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023800.v4.1 MPEDISTDRPLFGGAISSTFPVRFQDVSNIRQVPDHQEVFADPSRDESLVFELLDLKPDINDNGSAVWFLQDLANEQDAQGFTLVDQSGWLRFPLGILQLFLQQRLGRWGFPKDDKEGKPRMLCGFIWPIFDLRMLGLMFSLWLMSPF >Potri.002G161200.3.v4.1 pep chromosome:Pop_tri_v4:2:12334049:12337853:-1 gene:Potri.002G161200.v4.1 transcript:Potri.002G161200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161200.v4.1 MGSALETLCGQAFGAGQVNLLGVYMQRSWIILFVACLFLLPLYVFATPVLKLLGQRKDIAELAGKFTIQVIPQMFSLAINFPTQKFLQAQSKVGVLAWIGLAALIIHIGVLYLFINVFKWGLAGAAIAYDISSWGIALAQLAYVVGWCKDGWKGLSWLAFKDIWAFVRLSIASAVMLCLEIWYFMTIIVLTGHLEDPIIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGSGHPRAAKYAVIVTCIESLLIGILCAVIILATRNHFAIIFTASEEMRKAVANLAYLLGITMILNSIQPVISGVAVGGGWQALVAYINLFCYYVVGLPLGFLLGYKTKLHVKGIWIGMIIGTCLQTLILVFIVYKTNWNKEVEQASERMRKWGGQEEQQLSGDSNQINILTT >Potri.002G161200.2.v4.1 pep chromosome:Pop_tri_v4:2:12334049:12336595:-1 gene:Potri.002G161200.v4.1 transcript:Potri.002G161200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161200.v4.1 MQLGMGSALETLCGQAFGAGQVNLLGVYMQRSWIILFVACLFLLPLYVFATPVLKLLGQRKDIAELAGKFTIQVIPQMFSLAINFPTQKFLQAQSKVGVLAWIGLAALIIHIGVLYLFINVFKWGLAGAAIAYDISSWGIALAQLAYVVGWCKDGWKGLSWLAFKDIWAFVRLSIASAVMLCLEIWYFMTIIVLTGHLEDPIIAVGSLSICMNINGWEGMLFIGINAAISVRVSNELGSGHPRAAKYAVIVTCIESLLIGILCAVIILATRNHFAIIFTASEEMRKAVANLAYLLGITMILNSIQPVISGVAVGGGWQALVAYINLFCYYVVGLPLGFLLGYKTKLHVKGIWIGMIIGTCLQTLILVFIVYKTNWNKEVEQASERMRKWGGQEEQQLSGDSNQINILTT >Potri.011G162916.1.v4.1 pep chromosome:Pop_tri_v4:11:18832658:18834491:1 gene:Potri.011G162916.v4.1 transcript:Potri.011G162916.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162916.v4.1 MTTCLSTTTMLPFLCFLLFSFLWSASAHTHEDFLQCLSLYSEDSNSFSKLVYTPNNSSYLHVLQGSIQNSRFNTTATPKPPVIVTPMNVSHIQATIFCSQKHGMNVRIRSGGHDYEGLSYVSVLPFVIIDLINLRAINVDVENSTAWVQAGATLGELYYSIAEKSGTLAFPAGACPTVGAGGHLSGGGYGGLMRKYGLAADNIIDAQLIDAKGRILDRASMGEDLFWAIRGGGGNTFGVVVAWKLKLVPVPHTVTFFSVLRSLEENATKLIHRWQYVANKLPEDLFITAYITKINSSQEGISTIQAEFPSLFLGGADRLLPLMQENFPELGLVKDDCTEMSWVEFVLYNSGYSSNSSLDVLLNRTPQYITNFKAKSDYVKKPMPEIAFEGIWKRFLKVGIETPRLVLVPYGGKMDQISESSIPFAHRAGNLYKIQYLLLWNEQGKEASMRHIAWIRRLYSYTAPYVSKNPREAYINYRDLDVGMNNIQGNTSYKQASIWGRKYFKNNFDRLIQVKTAVDPDNFFRNEQSIPPLSSS >Potri.012G117300.2.v4.1 pep chromosome:Pop_tri_v4:12:13597164:13604873:-1 gene:Potri.012G117300.v4.1 transcript:Potri.012G117300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117300.v4.1 MKMKQGMQLIDGNGKFNVDGLKDFMTATEFAQSGLSYAIVAIIGSQSSGKSTLMNQTFHTNFEEMDAYKGRGQTTKGIWIAKCSDIDPFTIAMDFEGTDSNQRGEDDRAFEKQSTLFALAIADVVLINMWYKDIGLENAASRPLLRTVFQVMKRLFKPGKKTLLFVLRDHSKTTLEYLKNALLEDIEKIWAAVDEPETFSTAPLGEFFNVEITALPYYEFQEKKFKEQVAQLRQRFVHSIYPGGLVGDRQEVVAASGFPLHAEEIWKKIKDNKDLDLPDVKVMVATVRCEEIAGGKLKCFTSDKEWLKMKEAVQAGPESGFGKTVSSILENYLSEYDREVVYFDQEVRNDKRRQLLSNALMVVHDAYNTMLMHLYSNTVNRFKTSLEQSLNEGQEYVAAIHLCSQSCMLEFDQGCEDAAIQQSEWNASKFREKLICNMLSEMLASYEKQITHALAKRVESILEAGERDTWASIRDVFECNTKEAISEFSDAAVSFNLNSSEIDTKLQHLREHASNLVEMKPREAADAGRVLRRMKDRTVPDPSLQNTLMGAKLDPLASDTLEEVSPEATTLLKPEDCKSLWMNFIEKIKPMMTGARSRQDGHRKTGSYAATAVAVVAAAAAAAAIVAAAAAAIAAAVAAGGAAAAVAGAVVGLLRQ >Potri.016G097300.4.v4.1 pep chromosome:Pop_tri_v4:16:9707897:9721237:-1 gene:Potri.016G097300.v4.1 transcript:Potri.016G097300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097300.v4.1 MKRELGFVSSLGRTTQSSSSCVQPSDDDLNKRIKVTKVNGFIVYTRGRKTRNNNNNNHDCDKLIAVEDDDRHIKRIKSLEESKIDVANAINASINDDNFKCRQVNNKTVIGEHINCNNVEKGIFKDESKGSLAIKGANEMAVVVFGKNGEPNSNNRPKRVTKSKLKIKLQAVEVTVEGPEAIEGEALSRVDVEMIAEGSALTPPKKNLELKMSKKIALDNVPLTVKELFETGLLEGVPVVYMGGKKFQAFGLRGTIKDVGILCSCAFCNGRRVIPPSQFEIHAIKQYRRAAQYICFENGKSLLDVLNACRTAPLDSLETTIQSAISGLPVERTFTCKRCKGIFPSICVGKIGPLCNLCAESKESHPTLTIGSSIISSSPEQVLALEYFKPASLSTFSQDNTLRKKKRKSSKTDLNKSAPVRVSSRIQSKITLKPEEPDLIAKPSKVASVHLSPRKRKYKKISPRISKSVLLSNSFKNTTPGISSQKTYQWKITTKDQRLHRLVFEEGGLPDGTELAYYARGQKLLGGYKRGFGILCHCCNCEVSPSTFEAHAGWATRKKPYACIYTSNGVSLHDLAISLSKSRKYSSQDNDDLCIICADGGDLLLCDGCPRAFHKGCASLSTVPSGDWYCQHCQNTFQREKFVEHNANAFAAGRVSEIDSIEQITKRCFRIVKNVEAELTGCALCRGYDFMRSGFGPRTIILCDQCEKEFHVGCLRSHKMANLKELPKGNWFCCMDCSRIHSTLQKLLIRGAEKLPDSLLNDIKKKHEEKGLNISNSIDVRWTLLSGKIASPENKLLLSRALSIFQECFDPIVDSTIGRDLIPLMVYGKNSKGQDYGGMYCAVLIVNSCIVSAGILRVFGEEVAELPLVATRNGDHGKGYFQLLFSCIEKLLAFLNVQNLVLPAAEEAESIWIEKFGFQKIKPEQLSKYRKNCCQMVRFEGTSMLQKAVPTCKIVNQSIESIGLCRKE >Potri.016G097300.5.v4.1 pep chromosome:Pop_tri_v4:16:9707912:9721237:-1 gene:Potri.016G097300.v4.1 transcript:Potri.016G097300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097300.v4.1 MKRELGFVSSLGRTTQSSSSCVQPSDDDLNKRIKVTKVNGFIVYTRGRKTRNNNNNNHDCDKLIAVEDDDRHIKRIKSLEESKIDVANAINASINDDNFKCRQVNNKTVIGEHINCNNVEKGIFKDESKGSLAIKGANEMAVVVFGKNGEPNSNNRPKRVTKSKLKIKLQAVEVTVEGPEAIEGEALSRVDVEMIAEGSALTPPKKNLELKMSKKIALDNVPLTVKELFETGLLEGVPVVYMGGKKFQAFGLRGTIKDVGILCSCAFCNGRRVIPPSQFEIHAIKQYRRAAQYICFENGKSLLDVLNACRTAPLDSLETTIQSAISGLPVERTFTCKRCKGIFPSICVGKIGPLCNLCAESKESHPTLTIGSSIISRSSKTDLNKSAPVRVSSRIQSKITLKPEEPDLIAKPSKVASVHLSPRKRKYKKISPRISKSVLLSNSFKNTTPGISSQKTYQWKITTKDQRLHRLVFEEGGLPDGTELAYYARGQKLLGGYKRGFGILCHCCNCEVSPSTFEAHAGWATRKKPYACIYTSNGVSLHDLAISLSKSRKYSSQDNDDLCIICADGGDLLLCDGCPRAFHKGCASLSTVPSGDWYCQHCQNTFQREKFVEHNANAFAAGRVSEIDSIEQITKRCFRIVKNVEAELTGCALCRGYDFMRSGFGPRTIILCDQCEKEFHVGCLRSHKMANLKELPKGNWFCCMDCSRIHSTLQKLLIRGAEKLPDSLLNDIKKKHEEKGLNISNSIDVRWTLLSGKIASPENKLLLSRALSIFQECFDPIVDSTIGRDLIPLMVYGKNSKGQDYGGMYCAVLIVNSCIVSAGILRVFGEEVAELPLVATRNGDHGKGYFQLLFSCIEKLLAFLNVQNLVLPAAEEAESIWIEKFGFQKIKPEQLSKYRKNCCQMVRFEGTSMLQKAVPTCKIVNQSIESIGLCRKE >Potri.015G136500.1.v4.1 pep chromosome:Pop_tri_v4:15:14544251:14544806:-1 gene:Potri.015G136500.v4.1 transcript:Potri.015G136500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G136500.v4.1 MPRETSPGLKILWLWTIGTAGILVTSVVRTRLKDMEQMMNTDHQQQQQEEHQSSLSDPVLLDSDEGVIEEVKS >Potri.006G235800.1.v4.1 pep chromosome:Pop_tri_v4:6:23795181:23799228:-1 gene:Potri.006G235800.v4.1 transcript:Potri.006G235800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235800.v4.1 MSELTILFLRTSPLLCVLVLLSLPFRVISQDANTEKTILLKLRQQLGNPSSIQSWNTSSSPCNWTGVTCGGDGSVSELHLGDKNITETIPATVCDLKNLTFLDMNFNYIPGGFPKVLYSCTKLQHLDLSQNFFVGPIPDDIDKLSGLRYINLGGNNFTGNIPPQIGNLTELQTLHLFQNQFNGTFPKEISKLSNLEVLGLAFNEFVPSSIPVEFGQLKKLWFLWMRQSNLIGEIPESLTNLSSLEHLDLAINALEGKIPDGLFSLKNLTNLYLFQNNLSGEIPQRVETLNLVEIDLAMNQLNGSIPKDFGKLKKLQFLSLLDNHLSGEVPPSIGLLPALTTFKVFSNNLSGALPPKMGLSSKLVEFDVAANQFSGQLPENLCAGGVLLGAVAFENNLSGRVPQSLGNCNSLHTIQLYSNSFSGEIPAGVWTASNMTYLMLSDNSFSGGLPSKLAWNLSRLELGNNRFSGPIPPGISSWVNLVDFKASNNLLSGEIPVEITSLPHLSNLLLDGNLFSGQLPSQIISWKSLTSLNLSRNALSGQIPKEIGSLPDLLYLDLSQNHFSGEIPLEFDQLKLVSLNLSSNHLSGKIPDQFDNHAYDNSFLNNSNLCAVNPILNFPNCYAKLRDSKKMPSKTLALILALTVTIFLVTTIVTLFMVRDYQRKKAKRDLAAWKLTSFQRLDFTEANVLASLTENNLIGSGGSGKVYRVAINRAGDYVAVKRIWNNEKMDHNLEKEFLAEVQILGTIRHANIVKLLCCISSESSKLLVYEFMENQSLDRWLHGRKRSSSMGTSSVHNSVLDWPTRFQIAIGAARGLSYMHHDCSTPIIHRDVKSSNILLDSELKARIADFGLARILAKQGEVHTMSVVAGSFGYMAPEYAYTTRVNEKIDVYSFGVVLLELATGREPNSGDEHTSLAEWAWQQFGQGKPVVDCLDQEIKEPCFLQEMTTVFNLGLICTHSSPSTRPSMKEVLEILRRVSADSNGEKKTGAELDVVPLLGTVTYLSATTQHETAR >Potri.006G099600.1.v4.1 pep chromosome:Pop_tri_v4:6:7618106:7621421:-1 gene:Potri.006G099600.v4.1 transcript:Potri.006G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099600.v4.1 MKREMRKSFKDSLKALEADIQFANTLASVYPREYDGACLQMRLSYSPAAHLFLFLVQWTGFHLAGALGLLRILIYKAYEDGKTTMSIHERKASVREFYGVIFPSLLQLQRGITDVEDRKQKEICAKYKKKDEMDKGKISEIDLEREEECGICMEINSRVVLPKCNHAMCLKCYRDWRARSQSCPFCRDSLKRVNSGDLWIYTSRNEIIDLSSITRQNLKRLFMYIDRLPLIVPDPVLVPYEPCYRF >Potri.006G099600.4.v4.1 pep chromosome:Pop_tri_v4:6:7618125:7621422:-1 gene:Potri.006G099600.v4.1 transcript:Potri.006G099600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099600.v4.1 MKREMRKSFKDSLKALEADIQFANTLASVYPREYDGACLQMRLSYSPAAHLFLFLVQWTGFHLAGALGLLRILIYKAYEDGKTTMSIHERKASVREFYGVIFPSLLQLQRGITDVEDRKQKEICAKYKKKDEMDKGKISEIDLEREEECGICMEINSRVVLPKCNHAMCLKCYRDW >Potri.006G099600.8.v4.1 pep chromosome:Pop_tri_v4:6:7619230:7619702:-1 gene:Potri.006G099600.v4.1 transcript:Potri.006G099600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099600.v4.1 MYYYHVESQAYEDGKTTMSIHERKASVREFYGVIFPSLLQLQRGITDVEDRKQKEICAKYKKKDEMDKGKISEIDLEREEECGICMEINSRVVLPKCNHAMCLKCYRDW >Potri.001G191500.4.v4.1 pep chromosome:Pop_tri_v4:1:17543399:17547244:-1 gene:Potri.001G191500.v4.1 transcript:Potri.001G191500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191500.v4.1 MTAAVAPWKQQVLQRGASLYVGDLDPEVTETDLRAAFYHVGPISSLRLCRCRLTGKSLCYAYVNLYSHAQASRALGLLNHTNLKGKPMRIMWCQRDPFARKTGFANLFVKNLDFSISSSCLESIFSKYGTILSCKVAGENGRSKGFGFVQFESQDSALAAQTALHDTMLGGKKLHVCKFVKKTERTAAAPCEVFTNLYVKNLDETITEDGLKDMFSVVGDVSSVAIMMDHEGKSKHFGFVNFKSPDDAKKAVDVMNGSVIGSKTLFVGKAQRKSERTMILKQEYKDLHNRSTEKLRASNLYVKNLNVDIDDKKLKEVFSAYGKILSVKVICHNDGTSKQFGFVCFASPEEANKALVALNGALLEGKILHVAKAQCKKDHRQEWHNFSGQNQPQSFYPSNCNTVSSAIHQLHFNFDRSPHIPFLHHPMFCQHFGVQHPFMAQNYQQKFSKYMPVAETHLGSTLNTRDKSYQQHSSKFATSNFSNRDLKLGSAGDQKLGSEKKGSKRGAAVEDISTGSPSTKSLSAAKSTDSTVINFGSLLQPVVKKLQVCGFSIPPLENIAV >Potri.001G191500.5.v4.1 pep chromosome:Pop_tri_v4:1:17543399:17547244:-1 gene:Potri.001G191500.v4.1 transcript:Potri.001G191500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191500.v4.1 MTAAVAPWKQQVLQRGASLYVGDLDPEVTETDLRAAFYHVGPISSLRLCRCRLTGKSLCYAYVNLYSHAQASRALGLLNHTNLKGKPMRIMWCQRDPFARKTGFANLFVKNLDFSISSSCLESIFSKYGTILSCKVAGENGRSKGFGFVQFESQDSALAAQTALHDTMLGGKKLHVCKFVKKTERTAAAPCEVFTNLYVKNLDETITEDGLKDMFSVVGDVSSVAIMMDHEGKSKHFGFVNFKSPDDAKKAVDVMNGSVIGSKTLFVGKAQRKSERTMILKQEYKDLHNRSTEKLRASNLYVKNLNVDIDDKKLKEVFSAYGKILSVKVICHNDGTSKQFGFVCFASPEEANKALVALNGALLEGKILHVAKAQCKKDHRQEWHNFSGQNQPQSFYPSNCNTVSSAIHQLHFNFDRSPHIPFLHHPMFCQHFGVQHPFMAQNYQQKFSKYVRLYFHSAMSF >Potri.001G221900.1.v4.1 pep chromosome:Pop_tri_v4:1:23558553:23559944:-1 gene:Potri.001G221900.v4.1 transcript:Potri.001G221900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221900.v4.1 MESRMPNIAIITFTLVIFLYGAQSVTFDFTNNCPYTVWPGTLTAAGGPSLSSTGFTLATGASSSLSVPVNWSGRLWARTQCSTDASGKFVCATADCGSGVIECNGAGAIPPASLAEFTLSGDGGKDYYDISLVDGFNLPISVTPQGGSTGCPSTSCAANVNAVCDPSLAVKGSDGTVIACKSACLAFNQPQYCCTGDHNTAETCPPTQYSMTFKQQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.001G221900.2.v4.1 pep chromosome:Pop_tri_v4:1:23558628:23560053:-1 gene:Potri.001G221900.v4.1 transcript:Potri.001G221900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221900.v4.1 MESRMPNIAIITFTLVIFLYACTHAGAQSVTFDFTNNCPYTVWPGTLTAAGGPSLSSTGFTLATGASSSLSVPVNWSGRLWARTQCSTDASGKFVCATADCGSGVIECNGAGAIPPASLAEFTLSGDGGKDYYDISLVDGFNLPISVTPQGGSTGCPSTSCAANVNAVCDPSLAVKGSDGTVIACKSACLAFNQPQYCCTGDHNTAETCPPTQYSMTFKQQCPQAYSYAYDDKSSTFTCPSGGNYLITFCP >Potri.002G119600.1.v4.1 pep chromosome:Pop_tri_v4:2:9092538:9094166:-1 gene:Potri.002G119600.v4.1 transcript:Potri.002G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119600.v4.1 MGHSNIWNSHPKNYGPGSRTCRVCGNPHGIIRKYGLMCCRQCFRSNAKEIGFIKYR >Potri.013G026800.4.v4.1 pep chromosome:Pop_tri_v4:13:1738855:1743760:1 gene:Potri.013G026800.v4.1 transcript:Potri.013G026800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026800.v4.1 MVHAPFSLLPMTFPESHWKLACEVAPIFNELIDRVSLDGKFLQDALSRTKKVDAFTSRLIDMHSKMLEINKSEEIRLGLHRSDYMLDEQTKLLLQIELNTISSSFPGLSCLVSDLHRSLLKYYGEHIGLDSSRIPGNTSVDKFAEALAKAWTEYNNPRALVLVVVQPEEHNMYDQHWLCAALKERHNVTTIRKTLAEIDKDGEILPDGTLLVGGKEISVVYFRAGYAPTDYPSEAEWRARVLMEQSSAVKCPSISYHLAGTKKIQQELAKPNMLERFLENKEDIAKLRKCFAGLWSLDDSDIIKKAIERPDLFVMKPQREGGGNNIYGDDVRTNLLRLQKEGSEEDAAYILMQRIFPMVSPTFLVREGICHKDHAISELGVYGAYLRNKEKVIINEQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLN >Potri.013G026800.1.v4.1 pep chromosome:Pop_tri_v4:13:1738758:1744080:1 gene:Potri.013G026800.v4.1 transcript:Potri.013G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026800.v4.1 MGISYSSPLSPSLSTTTLNITHSSLFPCTAKANPGTVSDSTFSHTNNISLNNLQKPTKKFGSFFYCSHNTTCLGSKIVPLKCFDKAREMETQEGIAQKPTIDIHGIDEELVQKMVYDALVWSSLHGLVVGDRSVQRSGKVPGVGMVHAPFSLLPMTFPESHWKLACEVAPIFNELIDRVSLDGKFLQDALSRTKKVDAFTSRLIDMHSKMLEINKSEEIRLGLHRSDYMLDEQTKLLLQIELNTISSSFPGLSCLVSDLHRSLLKYYGEHIGLDSSRIPGNTSVDKFAEALAKAWTEYNNPRALVLVVVQPEEHNMYDQHWLCAALKERHNVTTIRKTLAEIDKDGEILPDGTLLVGGKEISVVYFRAGYAPTDYPSEAEWRARVLMEQSSAVKCPSISYHLAGTKKIQQELAKPNMLERFLENKEDIAKLRKCFAGLWSLDDSDIIKKAIERPDLFVMKPQREGGGNNIYGDDVRTNLLRLQKEGSEEDAAYILMQRIFPMVSPTFLVREGICHKDHAISELGVYGAYLRNKEKVIINEQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLN >Potri.T124605.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_522:109071:109583:1 gene:Potri.T124605.v4.1 transcript:Potri.T124605.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124605.v4.1 MIIYSWNIRGLNSPLKQHEVANLMRKNKLDVCGLLETKLVSSKVSSMQQFRLKKWKVFSNAAVASTARIVVFWNPATVNVDLIGFSAQGLHVLIYSLVHQFRVYASFVYGYNTVIARRTLWDDLRNWSPNSPWLILGDFNSLLSQADKHYGEPVSNYETTDFRQCCSELG >Potri.002G053332.2.v4.1 pep chromosome:Pop_tri_v4:2:3599199:3602076:1 gene:Potri.002G053332.v4.1 transcript:Potri.002G053332.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053332.v4.1 MHFIFSFFLKQMNIVDTPGTNVILQRQQCLTEEFVPHADLLLFVISADKPLTESEVAFLRYIQQWKKKVIFMLNKSGLYQNSSEVNYILFSILFVDISCYPHHSSYLQESTFVYVILCSSRKLYCSLRENTRKLLNTDDMILYPISARSALEAKLSASSHTGKEYSELLVSESHLKFTGFYELEQFLYSFLDVSTTTGMERTRLKLETPIVIAERLLSACETLVNQDCQYAKQDLTSATEIIDCVKECATQLENESISWRRKTMLRGKVCHNAGHLKDSK >Potri.002G053332.3.v4.1 pep chromosome:Pop_tri_v4:2:3599199:3602069:1 gene:Potri.002G053332.v4.1 transcript:Potri.002G053332.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053332.v4.1 MFYINSYLGHLVYVKMQGSKMNIVDTPGTNVILQRQQCLTEEFVPHADLLLFVISADKPLTESEVAFLRYIQQWKKKVIFMLNKSGLYQNSSEESTFVYVILCSSRKLYCSLRENTRKLLNTDDMILYPISARSALEAKLSASSHTGKEYSELLVSESHLKFTGFYELEQFLYSFLDVSTTTGMERTRLKLETPIVIAERLLSACETLVNQDCQYAKQDLTSATEIIDCVKECATQLENESISWRRKTMLIDVTKSHVLEL >Potri.008G110100.1.v4.1 pep chromosome:Pop_tri_v4:8:6989913:6991479:1 gene:Potri.008G110100.v4.1 transcript:Potri.008G110100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G110100.v4.1 MGSDSETERSNIKEKKKITSLAPIAKPLAGKKLCKRTLKLVRKASESKCLKRGVKEVVKSIRRGHKGLCIIAGNISPIDVITHVPILCEESDIPYVYVTSKEDLASAGATKRPTCCVLVLTKPTKGEIGKEDQEKLKADYDQVVSDVSELTSSLF >Potri.010G052500.5.v4.1 pep chromosome:Pop_tri_v4:10:8413072:8417922:-1 gene:Potri.010G052500.v4.1 transcript:Potri.010G052500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052500.v4.1 MLSACTNHLLTQPMWLLLFSSLGFISFLKTSTLLLNWVYATFLRPKRDLKDYGSWAVITGATDGIGKAFAHQLAQKGLNLILVSRNPNKLKTVSSEILAEHPGTKIKTVVFDFSSKVSTRTIQGVMEKAVEGLNVGLLINNVGITYPAARFFHEVDEKVWMDIVRVNLEGTSRVTRAVLPGMIQRKRGAIVNIGSGASSVMPSHPLFTIYAATKASNMISS >Potri.010G052500.7.v4.1 pep chromosome:Pop_tri_v4:10:8417077:8417922:-1 gene:Potri.010G052500.v4.1 transcript:Potri.010G052500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052500.v4.1 MLSACTNHLLTQPMWLLLFSSLGFISFLKTSTLLLNWVYATFLRPKRDLKDYGSWAVITGATDGIGKAFAHQLAQKGLNLILVSRNPNKLKTVSSEILAEHPGTKIKTVVFDFSSKVSTRTIQGVMEKAVEGLNVGLLINNVGITYPAARFFHEVDEKVWMDIVRVNLEGTSRVTRAVLPGMIQRKRGAIVNIGSGASSVMPSHPLFTIYAATKA >Potri.010G052500.1.v4.1 pep chromosome:Pop_tri_v4:10:8411279:8418280:-1 gene:Potri.010G052500.v4.1 transcript:Potri.010G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052500.v4.1 MLSACTNHLLTQPMWLLLFSSLGFISFLKTSTLLLNWVYATFLRPKRDLKDYGSWAVITGATDGIGKAFAHQLAQKGLNLILVSRNPNKLKTVSSEILAEHPGTKIKTVVFDFSSKVSTRTIQGVMEKAVEGLNVGLLINNVGITYPAARFFHEVDEKVWMDIVRVNLEGTSRVTRAVLPGMIQRKRGAIVNIGSGASSVMPSHPLFTIYAATKAYVDQLSRCLYVEYKRYGIHVQCQVPLYVATKMTSKVASIGRSSLFIPAPEDYAKSAIGRIGYEARCAPYWAHSFQWCFAWLLPECVLDAWRLSIGIHRRGKLIA >Potri.010G052500.4.v4.1 pep chromosome:Pop_tri_v4:10:8414083:8417937:-1 gene:Potri.010G052500.v4.1 transcript:Potri.010G052500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052500.v4.1 MLSACTNHLLTQPMWLLLFSSLGFISFLKTSTLLLNWVYATFLRPKRDLKDYGSWAVITGATDGIGKAFAHQLAQKGLNLILVSRNPNKLKTVSSEILAEHPGTKIKTVVFDFSSKVSTRTIQGVMEKAVEGLNVGLLINNVGITYPAARFFHEVDEKVWMDIVRVNLEGTSRVTRAVLPGMIQRKRGAIVNIGSGASSVMPSHPLFTIYAATKAYVDQLSRCLYVEYKRYGIHVQCQVNFGIENM >Potri.010G052500.6.v4.1 pep chromosome:Pop_tri_v4:10:8414145:8417922:-1 gene:Potri.010G052500.v4.1 transcript:Potri.010G052500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052500.v4.1 MLSACTNHLLTQPMWLLLFSSLGFISFLKTSTLLLNWVYATFLRPKRDLKDYGSWAVITGATDGIGKAFAHQLAQKGLNLILVSRNPNKLKTVSSEILAEHPGTKIKTVVFDFSSKVSTRTIQGVMEKAVEGLNVGLLINNVGITYPAARFFHEVDEKVWMDIVRVNLEGTSRVTRAVLPGMIQRKRGAIVNIGSGASSVMPSHPLFTIYAATKASNMISS >Potri.019G040800.3.v4.1 pep chromosome:Pop_tri_v4:19:5634684:5637131:1 gene:Potri.019G040800.v4.1 transcript:Potri.019G040800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040800.v4.1 MEDNQGQDHNSQSNHGTERSEPVRSRWTPKPEQILILESIFNSGMVNPPKNETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQMQASLLAGYQTNNQRAYDSGGVIQYEGGGTSNGFANSPSSYLVGASSSCGVVGEDHGVESLFSFSNQMGFQEFEQTSGVTSIVCPSETSSLHYQTAGFITIFINGVPTEVPRVPLDVKAMFGQDVMLVHSSGVPVPTNEFGFLVQILHHGESYFLVGENFWISMIALISPRQTSFCINKKYNVH >Potri.019G040800.2.v4.1 pep chromosome:Pop_tri_v4:19:5634684:5637689:1 gene:Potri.019G040800.v4.1 transcript:Potri.019G040800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040800.v4.1 MEDNQGQDHNSQSNHGTERSEPVRSRWTPKPEQILILESIFNSGMVNPPKNETVRIRKLLEKFGSVGDANVFYWFQNRRSRSRRRQRQMQASLLAGYQTNNQRAYDSGGVIQYEGGGTSNGFANSPSSYLVGASSSCGVVGEDHGVESLFSFSNQMGFQEFEQTSGVTSIVCPSETSSLHYQTAGFITIFINGVPTEVPRVPLDVKAMFGQDVMLVHSSGVPVPTNEFGFLVQILHHGESYFLVSRTT >Potri.014G134000.1.v4.1 pep chromosome:Pop_tri_v4:14:8986643:8987351:-1 gene:Potri.014G134000.v4.1 transcript:Potri.014G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX15 MDMVNRLVADRPVVVFSRSTCCMSHSIKTLISSFGANPTVYELDQIPNGKQIEKALVQQLGCQPSVPAVFIGQEFVGGDKQVMSLQVRNELAPLLRKAGAIWI >Potri.015G092400.2.v4.1 pep chromosome:Pop_tri_v4:15:11525256:11530949:1 gene:Potri.015G092400.v4.1 transcript:Potri.015G092400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092400.v4.1 MPRTTTLECPGCPPLRALTFDSLGLIKVIESRGERGIPQVVERWGDPDSSKCVLAASIDDRKKDPLLAVARKNGEVEVLNPLNGEIRVVFSNVVEDGVQPEDDAIAGLHLFKRERSTGSCALLTCTTKGNASMRSIGVDKSMADVANTSVTKTWKVCGSGNVLCSKVDGSENYAVFGGKGVEVNLWDLESSTKIWTAKPPPKNSLGIFTPTWFTCTTFLSNDDHRKFAAGTNIHQVRLYDISAQRRPVLSFDFRETAIKAVTEDQDGHTIYIGNGSGDLASFDMRTGKLLGCFIGKCSGSIRSMARHPELPVIASCGLDSYLRLWDIKTRQLLSAVFLKQHLTNVVFDSNFVDKEIAATAQNVDGIQTTEIQTEDEIGTLPVKRKKASKEKREKKKKSEENEESAVTKSKKKGRKHKRENSDDA >Potri.014G030100.1.v4.1 pep chromosome:Pop_tri_v4:14:1901385:1902274:1 gene:Potri.014G030100.v4.1 transcript:Potri.014G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030100.v4.1 MSPWTHHLKNIDKGCPKPLTSASPLSEEQLNKFFNRYDTNGDGHLSWEELKSAYNILGMSFPGLRALKALCVADENRDGYISQKEFIKLMRKKYRK >Potri.013G102100.1.v4.1 pep chromosome:Pop_tri_v4:13:11060752:11061876:1 gene:Potri.013G102100.v4.1 transcript:Potri.013G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102100.v4.1 MAASFSISRLILSSPTQISTTAATAKSFLSSLPLKPNRLPKPLRTTTRKFSTISATISVGDKLPEATLSYFDSEGELQTTTISSLTSGKKSILFAVPGAFTPTCSQKHLPGFVEKSAELKSKGVDTIACISVNDAFVMKAWKEDLGIKDDGVLLLSDGNGDFTKAIGCELDLSDKPVGLGVRSRRYALLAEDGVVKVLNLEEGGAFTSSGAEDMLKAL >Potri.010G071000.1.v4.1 pep chromosome:Pop_tri_v4:10:9919985:9923564:1 gene:Potri.010G071000.v4.1 transcript:Potri.010G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071000.v4.1 MAKEPARVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSIPEKNITCLTRLDHNRALGQISERLNVQVSDVKNVIIWGNHSSTQYPDVNHATVKTPAGEKPVRELVKDDAWLNAEFITTVQQRGAAIIKARKLSSALSAASSACDHIHDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCQNGEWKIVQGLSIDEFSRKKLDLTADELSEEKALAYSCLT >Potri.001G050900.1.v4.1 pep chromosome:Pop_tri_v4:1:3851766:3854369:1 gene:Potri.001G050900.v4.1 transcript:Potri.001G050900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050900.v4.1 MLEKPPRTSMTSQDNTQINLEIKTLLVQLNGRVTNLIKKITSAESFKAEIEALVNEARKSHHSSTARRNHLDKARQLLMQKIDEFKKEEDTEDRGLTKTDAMGAPSDLTGNRVSMVQKDHKQTQILATEVDRYIAEIDRKVNVLEDPSYLTEEIRALNVDRVRAESLKLFLQSSDRKKDLEVRRRIAYVNQWLNHKTESHKSGDSSIKYCVLVVDDNCEDRESLRELLMSFKSQTTPTMDVQVAKNGKEAVYLHLAGASFDMIVMDDLMPVMNGIEATKQLFGMGVISFIVGVGDDTVKQAFIDAGIDQYIEKPLTPAKVADLFPDLSDSYFDL >Potri.011G064600.2.v4.1 pep chromosome:Pop_tri_v4:11:5513885:5517332:1 gene:Potri.011G064600.v4.1 transcript:Potri.011G064600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064600.v4.1 MTASVLKFPLLFAFTEFRPLDRHIPKNHPSNSVKPSLSLKTPRFPRQVKARTTPMEAEQSGTAVNPSSNASMKLLFVEMGVGYDQHGQDITAAAMRACRDAISSNSIPAFRRGSIPGVTFGQMKLQIKLGVPHSLQQSLDIERVKSVFPYGEIVKFEVVDGGMICSSGVLVEEMGDKNDDCYIVNAAVYVGY >Potri.011G064600.1.v4.1 pep chromosome:Pop_tri_v4:11:5513887:5518915:1 gene:Potri.011G064600.v4.1 transcript:Potri.011G064600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064600.v4.1 MTASVLKFPLLFAFTEFRPLDRHIPKNHPSNSVKPSLSLKTPRFPRQVKARTTPMEAEQSGTAVNPSSNASMKLLFVEMGVGYDQHGQDITAAAMRACRDAISSNSIPAFRRGSIPGVTFGQMKLQIKLGVPHSLQQSLDIERVKSVFPYGEIVKFEVVDGGMICSSGVLVEEMGDKNDDCYIVNAAVYVGY >Potri.011G064600.4.v4.1 pep chromosome:Pop_tri_v4:11:5513910:5514413:1 gene:Potri.011G064600.v4.1 transcript:Potri.011G064600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064600.v4.1 MTASVLKFPLLFAFTEFRPLDRHIPKNHPSNSVKPSLSLKTPRFPRQVKARTTPMEAEQSGTAVNPSSNASMKLLFVEMGVGYDQHGQDITAAAMRACRDAISSNSIPAFRRGI >Potri.006G026200.9.v4.1 pep chromosome:Pop_tri_v4:6:1723246:1729185:1 gene:Potri.006G026200.v4.1 transcript:Potri.006G026200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026200.v4.1 MAENGIGESLLKKNKYHEDCPGCKIEHFKETNTGVPFKHLLYVGIVVLCAALPISSLFPFLYFMIRDFHIAKREEDIGYYAGYVGSAFMFGRALTSVLWGMIADRYGRKPVIMFGTISVVIFNTLFGFSTNFWMAVSTRFLLGSLCGILGPMRAYASEVCRKEYQALGMSIISTSWGIGLVIGPALGGFLAQPAQKYPNIFSTDSLFGRFPYLLPCLLISIFSVGVIAVCCLLPETLHSHVGNGEECNDSVALGAAAFESNSPRKSLLKNWPLISSIIVYCVFQLHDMAYAEIFSLWAVSPRKNGGLSFSTADVGEVLAFSGLGLLLFQLFIYPLVERNFGPVMVSRIGAVLTIPLLSSYPFLAMLKGLALMLLINCASILKNVLAVSITTGLFLLQNRSVTQQQRGAANGISMSAMSLFKAIGPAAGGSLFSWAQKRQDAFFFPGDQMIFFLLNMIEVIGLLLTFKPFLALPDDNIS >Potri.014G141500.1.v4.1 pep chromosome:Pop_tri_v4:14:9609473:9611351:1 gene:Potri.014G141500.v4.1 transcript:Potri.014G141500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141500.v4.1 MDSEAVRRRMNMIVAHFAPTISDDISSPAHLLPLNCSGSLNSVIRRCDNRMYFARQGSASQACFMRIQQQGSSEKGLQSSASSETEWSCNAELIKDEAPLFSRPTKMKPNLPNVEPTLTPAKYCQSTMSALPKFARPNRRTINRNGQLQFEKKIYSSESNGIERSPKMDVVESGVNYVLKLEIPGVNVSDIRVEIHGQNLKVSGKGSTQCCKMASGGCSSDSILRYHKREIVEGPYEIVWQLPLDGNKDSVSAEFLNGLLQVTVPKM >Potri.014G141500.3.v4.1 pep chromosome:Pop_tri_v4:14:9609529:9611331:1 gene:Potri.014G141500.v4.1 transcript:Potri.014G141500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141500.v4.1 MDSEAVRRRMNMIVAHFAPTISDDISSPAHLLPLNCSGSLNSVIRRCDNRMYFARQGSASQACFMRIQQQGSSLVFLKSNCACQQGSSEKGLQSSASSETEWSCNAELIKDEAPLFSRPTKMKPNLPNVEPTLTPAKYCQSTMSALPKFARPNRRTINRNGQLQFEKKIYSSESNGIERSPKMDVVESGVNYVLKLEIPGVNVSDIRVEIHGQNLKVSGKGSTQCCKMASGGCSSDSILRYHKREIVEGPYEIVWQLPLDGNKDSVSAEFLNGLLQVTVPKM >Potri.014G141500.2.v4.1 pep chromosome:Pop_tri_v4:14:9609528:9611373:1 gene:Potri.014G141500.v4.1 transcript:Potri.014G141500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141500.v4.1 MDSEAVRRRMNMIVAHFAPTISDDISSPAHLLPLNCSGSLNSVIRRCDNRMYFARQGSASQACFMRIQQGSSEKGLQSSASSETEWSCNAELIKDEAPLFSRPTKMKPNLPNVEPTLTPAKYCQSTMSALPKFARPNRRTINRNGQLQFEKKIYSSESNGIERSPKMDVVESGVNYVLKLEIPGVNVSDIRVEIHGQNLKVSGKGSTQCCKMASGGCSSDSILRYHKREIVEGPYEIVWQLPLDGNKDSVSAEFLNGLLQVTVPKM >Potri.008G014000.2.v4.1 pep chromosome:Pop_tri_v4:8:695864:697505:1 gene:Potri.008G014000.v4.1 transcript:Potri.008G014000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014000.v4.1 MMNPRGGYTGFRPRRYNLRSTSQNLPTSFQPNTEWKEEDAALVLLVYLPGFLKEQVSVAADELQSNIRVYGERILANNMRSRFNTAHIVPKNCDLSQMKLEFAGGILTIRIPKNIPAVKSTDTGELEATASQEDPGLQDSTGKPKPEKNGEETPSGRTSTTFAKETEGKDVKALSPQKAASEEVSQKGQDEAPQKADLLVNTTKQVEEKSAGLDGEKAHQIVTEKKEKNEANGKPVEEEKPEKVAEKPSKEEEKSEEPKIAEKVVEKPSVKGEEKDEKGEEPKIAEKVVEKPLAKEEEKDGKSEEPKTAESVVLKKEEEEEKNETAAGDDKEKSNKDISKDAENARASANKDEDMKNDENQLIVNIFVAVSIIMAVGAHFYSIFSSSGKI >Potri.004G146900.2.v4.1 pep chromosome:Pop_tri_v4:4:16916930:16920802:1 gene:Potri.004G146900.v4.1 transcript:Potri.004G146900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146900.v4.1 MPRPFFQKLILPGTIREKRLRIPDNFVKKFGHDLLGFARLIVPGGHVSRIGLIKADEKLWFHDGWQQFVERFAIHIGYFLIFQYEGNAIFNVHIFNLPTSEINYHSNSLSGKIYLAFEELEDDDSAASSGIPTTQLIVNKSYNPPALQNLLSGSKLNNCLNWGGEENMHLTKSANVSQVANESARNVFAQYNEHKNSQEEVKLYSPDGETPKLKKRGRKRLKVDPNEQQLSSPNEDDGEMSFRFYESASARKRTVTAEERERAMNAAKAYAPDNPYCRVVLRPSYLYRGCIMYLPSGFAEKNLNGLSGFMKLQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLKSRDVVLKVTVFRVLEDGGLMNHP >Potri.004G146900.3.v4.1 pep chromosome:Pop_tri_v4:4:16916847:16920501:1 gene:Potri.004G146900.v4.1 transcript:Potri.004G146900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146900.v4.1 MGTKYLILLWGKWSLTWFGFLQRIPDNFVKKFGHDLLGFARLIVPGGHVSRIGLIKADEKLWFHDGWQQFVERFAIHIGYFLIFQYEGNAIFNVHIFNLPTSEINYHSNSLSGKIYLAFEELEDDDSAASSGIPTTQLIVNKSYNPPALQNLLSGSKLNNCLNWGGEENMHLTKSANVSQVANESARNVFAQYNEHKNSQEEVKLYSPDGETPKLKKRGRKRLKVDPNEQQLSSPNEDDGEMSFRFYESASARKRTVTAEERERAMNAAKAYAPDNPYCRVVLRPSYLYRGCIMYLPSGFAEKNLNGLSGFMKLQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLKSRDVVLKVTVFRVLEDGGLMNHP >Potri.004G146900.4.v4.1 pep chromosome:Pop_tri_v4:4:16916968:16920582:1 gene:Potri.004G146900.v4.1 transcript:Potri.004G146900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146900.v4.1 MHLTKSANVSQVANESARNVFAQYNEHKNSQEEVKLYSPDGETPKLKKRGRKRLKVDPNEQQLSSPNEDDGEMSFRFYESASARKRTVTAEERERAMNAAKAYAPDNPYCRVVLRPSYLYRGCIMYLPSGFAEKNLNGLSGFMKLQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCVFELLKSRDVVLKVTVFRVLEDGGLMNHP >Potri.001G111700.3.v4.1 pep chromosome:Pop_tri_v4:1:9028354:9032603:1 gene:Potri.001G111700.v4.1 transcript:Potri.001G111700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111700.v4.1 MTKNVGILAIDIYFPPTCVQQEALETHDGASKGKYTIGLGQDCLGFCTEVEDVISMSLTVVSSLLEKYNVDPKQIGRLEVGSETVIDKSKSIKTFLMQIFEKCGNTDIEGVDSTNACYGGTAALFNCVNWVESSSWDGRYGLVVCTDSAVYAEGPARPTGGAAAIAMLIGPDAPIVFESKFRGSHMSHVYDFYKPNLASEYPVVDGKLSQTCYLMALDSCYKTFCAKYEKSTGKQFSLSDAAYFVFHSPYNKLVQKSFARLVFNDSVRKASSIDEGAKEKLAPFSTLSGDESYQSRDLEKVSQQVAKPLFEAKVQPTTLIPKQVGNMYTASLYAAFASLLHNKNSELSGKRVILFSYGSGLTATMFSLQLHEGQQPFSLSNIATVMNVPTKLKSRHEFSPEKFVETMHLMEHRYGAKDFVTSKDCSLLAPGTYYLTEVDYMYRRFYAKKPIDGASENCSLTNGH >Potri.010G164700.2.v4.1 pep chromosome:Pop_tri_v4:10:16887614:16892756:1 gene:Potri.010G164700.v4.1 transcript:Potri.010G164700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164700.v4.1 MEVVRSESGVRSEKIAAPVATVIARTKRFQVWFIRVCSSILLWTCLVQLLTVGELWHPSFMANTTVSIVKPPHHPPPLLPLRNYTSNGYLKVSCNGGLNQMRSAICDMVAVARLLNLTLVVPELDKTSFWADNSTFEEIFDVKHFIDSLRDEVRIVRRLPKRFNRKYGYRVFQMPPVSWSLEKYYLQQILPLFSKRKVLHFNKTDARLANNGISIDLQKVRCRVNFQALKFTPEIESLGYKLVRILQERGPFVALHLRYEMDMLAFSGCTHGCTKEEAKELKRLRYAYPWWREKEIVSKERRSQGLCPLTPEETALILLALGFDKDTQIYIAAGEIYGSERRLAVLSAAYPRIVRKEMLLDPGELQQFQNHSSQMAALDFMVSIASDTFIPTYDGNMAKVVEGHRRYRGFKKTILLDRKRLVELLDLHQNGTLSWNEFAVAVGSAHEKRMGQPTRRRVIADKPKEEDYFYANPQECLCEGANCDDLLGPGNSSSIR >Potri.001G303300.1.v4.1 pep chromosome:Pop_tri_v4:1:31340483:31342549:-1 gene:Potri.001G303300.v4.1 transcript:Potri.001G303300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G303300.v4.1 MGGEENQVHIFFFPFMAHGHMIPTIDMAKLFASRGVKATIVTTPLNAPLVSRTIQRSKGLGFDINIKTIKFPAVEVGLPEGCENADSITSHETQGEMTKKVFMATTMLQQPLEKLLQECHPDCLIADMFLPWTTDAAAKFGIPRLVFHGISCFSLCASDCLNRYKPYKKVSSDSELFVVPELPGDIKFTSKQLPDYMKQNVETDFTRLIQKVRESSLKSYGIVVNSFYELESDYANFFKELGRKAWHIGPVSLCNREFEDKAQRGKEASIDEHECLKWLDSKKPNSVVYICFGTVDKFSDSQLKEIAIALEASGQQFIWVVRKDKKAKDNEEWLPEGFEKRMESKGLIIRGWAPQVVILDHEAIGGFVTHCGWNSTIEGIAAGKPMVTWPVSAEQFFNEKLVTDVLKIGVAVGVQQWVTVYGDKIASGAVEKAVTRIMTGEEAKEMRSRVEALGGMAKRAIEEDGSSYSNLNALIEELRGRRH >Potri.004G037100.1.v4.1 pep chromosome:Pop_tri_v4:4:2928829:2930427:1 gene:Potri.004G037100.v4.1 transcript:Potri.004G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037100.v4.1 MGCCVSTDNNEPSKLKKQQHFQVGSESLKPTKSPPPSLYQEETVKEVLSETPKPKPPKNPIKNPHQENDPQHQEVHKKESIHFDPAFLDEIKIQENKFKKISKEEFHHRGQISEQDESEVCSLSYSESISTTTTTNNNDKRDYYYDDDDDEVKQRMSRSPLPPRNRVLGELVPRKDRVVGKSPTRRTTEQSPSKRNGAINGGSVRLVQSREMGSGQAGVRRGSRPDPKKRDPGEGSARRSRSPATNRSVMGRSPSTRRTNQSPGRVRKEAHEGVGNGNMDNGMEAKWPSTSNVANGTTNDESLENPLVSLECFIFL >Potri.010G153700.1.v4.1 pep chromosome:Pop_tri_v4:10:16295152:16303890:1 gene:Potri.010G153700.v4.1 transcript:Potri.010G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153700.v4.1 MELATIGRNAQSSFRRDTKSLDMDADSIVEEDEGVHLQWAAIERLPALKRIKTSLFEASNAKDGEGKKVTDVTKLGAAERHLFIEKLINHIENDNLRLLQNLRERIDRVGMKLPTVEVRYKNLSVEAECEVVQGKPLPTLWNTIASFLSGFRKIVRSKPRETKISILKDVSGIIKPSRLTLLLGPPGCGKTNLLLALSGRLDQSLEVEGEISYNGYKLDEFVPQKTSAYISQYDLHMPEMTVRETIDFSAHCQGVGSRADIMLEVSRREKEAGIVPDPDVDTYMKAISAEGQRRNLQTDYVLKILGLDMCADIMVGGPLRRGISGGEKKRLTTGEMIVGPTQALFMDEISSGLDSSTTFQIVTCLQQLVHITDSTALISLLQPAPETFNLFDDVILMAEGKIVYHGPCSHALQFFEDCGFKCPQRKGAADFLQEVISKKDQAQYWCHADIPYQYVSVNQFIEMFKASNLGQTLAEELSKPYDKSRCPNSALSFSIYSSRKWELFKACMARELLLMKRNTFVYVFKTAQLILTAFITMSVFVRTSTEVDLMRANYLMGSMYYALIRLFTNGVAELSLTVIRLPAVQKQRSFYLYPAWAYSIPASILKIPFSVLDSLLWTGITYYVIGYSPEVTRFLCQFLLLFALHLASTSMCRFIASIFQTMVLATTAGFVILVLMFLFGGFILPRPSLPPWLRWGFWIFPMTYGEIGITLNEFLAPRWKKMLNGNTTMGNGVLTSHGLNFEGYFYWISLGALFGFTILFDLGFILALTYLKPPKMSRAIISKKRFSQLQGREDQEWSRQPENESIPAHNAETRKTEMMVLPFVPLTMTFKDVRYYVDTPPEMKRHGFSEKKLHLLSDITGAFKPGVLTALMGVSGAGKTTLMDVLSGRKTGGIIEGDIRIGGYPKVQQTFARISGYCEQNDIHSPQITVEESIVYSAWLRLPPEIDEQTKSRFVEEVIETIELHDIKFSLVGIPGRSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDSRAAAIVMRAVKNVVATGRTTVCTIHQPSIDVFEAFDELILMKRGGMIIYSGMLGHHSCKLIEYFEGISGVPKIKDNYNPATWMLEVTSASMESELELDFAKLYKESPLYQETTELVQQLNKPPPGSRDLQFSTPFPQSRWEQFTACLWKQHLSYWRSPEYNLSRFIFMIVASLLFGIVFWQKGKEINNEQDVINILGSMYIAVIFLGLNNCSTVVPYVVTERTVFYREKFAAMYSPWAYSLAQVTIEIPYVLLQAFLYVAITYPTIGYYWSASKVFWYFYVTFCTFLYFVFLGMLLVSITPGIEIASISAAAVYTILNLFSGFLMPGKNIPKWWIWCYYLCPTSWSLNGFLTSQYGDIDKEISIFGELKTVSSFLQDYYGFRHDHLGIVAAVLAAFPVAFALLFAYCIGKSSFQRR >Potri.010G153700.2.v4.1 pep chromosome:Pop_tri_v4:10:16295152:16303890:1 gene:Potri.010G153700.v4.1 transcript:Potri.010G153700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153700.v4.1 MCRFIASIFQTMVLATTAGFVILVLMFLFGGFILPRPSLPPWLRWGFWIFPMTYGEIGITLNEFLAPRWKKMLNGNTTMGNGVLTSHGLNFEGYFYWISLGALFGFTILFDLGFILALTYLKPPKMSRAIISKKRFSQLQGREDQEWSRQPENESIPAHNAETRKTEMMVLPFVPLTMTFKDVRYYVDTPPEMKRHGFSEKKLHLLSDITGAFKPGVLTALMGVSGAGKTTLMDVLSGRKTGGIIEGDIRIGGYPKVQQTFARISGYCEQNDIHSPQITVEESIVYSAWLRLPPEIDEQTKSRFVEEVIETIELHDIKFSLVGIPGRSGLSTEQRKRLTIAVELVSNPSIIFMDEPTSGLDSRAAAIVMRAVKNVVATGRTTVCTIHQPSIDVFEAFDELILMKRGGMIIYSGMLGHHSCKLIEYFEGISGVPKIKDNYNPATWMLEVTSASMESELELDFAKLYKESPLYQETTELVQQLNKPPPGSRDLQFSTPFPQSRWEQFTACLWKQHLSYWRSPEYNLSRFIFMIVASLLFGIVFWQKGKEINNEQDVINILGSMYIAVIFLGLNNCSTVVPYVVTERTVFYREKFAAMYSPWAYSLAQVTIEIPYVLLQAFLYVAITYPTIGYYWSASKVFWYFYVTFCTFLYFVFLGMLLVSITPGIEIASISAAAVYTILNLFSGFLMPGKNIPKWWIWCYYLCPTSWSLNGFLTSQYGDIDKEISIFGELKTVSSFLQDYYGFRHDHLGIVAAVLAAFPVAFALLFAYCIGKSSFQRR >Potri.011G057900.1.v4.1 pep chromosome:Pop_tri_v4:11:4746347:4747783:1 gene:Potri.011G057900.v4.1 transcript:Potri.011G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057900.v4.1 MGMKTLLKWSKQITPSKVEQLLRAERDLRKAKIIFDSASAEYSNGFRHDHTTFGVMISKLVSANEFRPAEEMLNRMKEEKCRITEEIFLSICRGYGRVHMPLDAIRVFHKMNDFGCKPTDKSYISVFAILVEENQLKVAMSFYKYMREMGVRQSVVSLNVLIKALCKNSGTIDAAFEIFREMPKRGCDPDSYTYGTLINGLCKLGKTFEAKELFKEMDTKGCSPSVVTYSCLMHGLCQAGNVDEAMGLFDKMKKKAIEPNVFTYSSLMDGLCKNGGSLEAMELLEMMVRKRHKPNMVTYSTLINGLCKEGKLAEAVETLDRMKLQGLKPDAGLYGKIINGFCNIRKFQEAATYLDEMVLGQISPNRVTWSLHVKLNNMVVQGLCTNGNLNRSFQLYIGMRTRGISIDAGTFDSLVKCFCKKGDLHKAARIFDEMVLDGCVPDHGIWSAVVGGFWDRRKVREAFESIVVELMNEFVEHV >Potri.017G122800.2.v4.1 pep chromosome:Pop_tri_v4:17:12776216:12778948:1 gene:Potri.017G122800.v4.1 transcript:Potri.017G122800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122800.v4.1 MVKFPEENEDGPSNPSRKRQRVSSTSGGFSAATPNQETQQHLENENQEATQQHQENENRETTHQHQSNENEETQQHESNEENEGTHQHQANENEETQQHQENENQESSEEEDEYLSDGGAEAEQEDDVLDKLSSFLGDGAMSMTLMDLDILDCAICLYPLTIPVFQCENGHTACSSCCSKLAHKCPACSFPIGNNRCRAIEKVLESVRIPCENMRYGCGGTFIYSEKYNHDKSCIYAPCSCPIQGCNFISSSKKLDPHLRCRHVGDVIRFYYGGAFPLPLTVGQNSVVLQETDDGAIFILHHHEETFGNIVTISCLGPPTSAGEHFYELSTNEYFYDLSKKSQGKSFKFQSYMQSIQSRVDHPLSAGLVLPPGQFFGTSKMIYLDLIIWRKGDCPLNIQSSTNAN >Potri.009G075300.1.v4.1 pep chromosome:Pop_tri_v4:9:7318582:7323232:1 gene:Potri.009G075300.v4.1 transcript:Potri.009G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075300.v4.1 MHGFKLLDRFKSTQVHALSPQDSNPCSRGKLSKCKFTNTGSVAQALLPCGLPTTELLEPSIDSYLKPIDYVESLAEIYRRLNTCSQTDKSLLCIEQFSILRGLGDPKLLRRCLCAARQYAIDVHSKVVLSAWLRFERREDEFIGVSSKDCSGYILECPMAALVSGCDPNSIYDHCQCGQDNLEAVDNQILMGNEGSSLEEDSDVSFCIGDELVHCVRFKIASLSSPFKAMLYGSFVESRRDKIDFSKIGISVKGMRAVQVYSRTGRVDLFCPEIVLELLSFANRFCCEELKCACDAHLASLVCGTEDALILIDHGLEERANLLVASCLQVFLRELPNSLYNHKVMSVFCNSEARERLAMLGHASFLLYYFLSQVAMEENVASNAAVMLLEGLEEFATEKWQKALALHQLGCVMLERKEYKGAQFYFEAAVEAGHVYSLAGVARTKYKQGQQYSAFRLMNSLIFKHKPVGWMYQERSLYGVGQEKIMDMNTATELDPTLSFPYKFRAVMKVEEKQIRAAITEIDKIIGFKLSPDCLELRAWFFIALEDFESALRDIRALLTLEPKYMMFHGRVSGDHLVELLSHRIRLWNLADCWMQLYERWSSVDDIGSLAVLHQMLSNDPAKSLLWFRQSLLLLRLNCQKAAMRCLRLARNHTSSVHERLIYEGWLLFDSGHREEALSRAEKSISIQRSFEAFFLMAYTLADTNLDPESSSTVIQLLEEALRCPSDGLRKGQALNNLGSIYVDCGKLDQAADCYMNALNIKHTRAHQGLARVYHLKNQRKAAFDEMTKLIEKAHSSASAYEKRSEYCDREKAKDDLNMATQLDPLRTYPYRYRAAVLMDDQKETEALEELTKAIAFKPELQMLHLRAAFYESMGDKTSARQDCEAALCLDQNHTDTLNLYNRTQDQATRSI >Potri.001G155600.1.v4.1 pep chromosome:Pop_tri_v4:1:13070976:13071946:1 gene:Potri.001G155600.v4.1 transcript:Potri.001G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155600.v4.1 MAGIGSLVDLLLFYTLERILYNRMVCSLGQNSQQVKKAIALWLMLEEIGYHDLIRTINSFDNATIESLFYEALQCLLCIHPNSAQPFESDETPIFTGLFDEPMNPRFFYYNREFMYKRYMHIMETVCDQIFGETKAVEVDESSLRPAINPFGEGSSTGHEGIAMYAAGTSSRASGQVIGETSRQSSLNPDASEFNPGQTPEDSRTMFLTFSLGHPLSRDEIIDFFTSNCGEVVQNVFIESTRPGKDPQFGRIVFTNSLVIPRILNGQTKAKFMVNRKHLWARIYVPRRRGSS >Potri.005G154836.1.v4.1 pep chromosome:Pop_tri_v4:5:14158353:14159627:-1 gene:Potri.005G154836.v4.1 transcript:Potri.005G154836.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G154836.v4.1 MIPTLLTATSVFIIAFIAAPPVDIDGIREPVSGSLLYGNNIISGAIIPTSAAIGLHFYPIWEAAFIDEWLYNGGPYELIVLHFLLGVACYMGREWELSFRLGMRPWIAVAYSAPVAAATAVFLIYPIGQGSFSDGMPLGISGTFNFMIVFQAEHNILMHPFHMLGVAGVFGGSLFSAMHGSLVTSSLIRETTENESANEGYRFGQEEETYNIVAAHSYFGRLIFQYASFNNSRSLHFFLAAWPVVGIWFTALGISTMAFNLNGFNFNQSVVDSQGRVINTWADIINRANLGMEVMHERNAHNFPLDLAAVEVPSTNG >Potri.011G053600.1.v4.1 pep chromosome:Pop_tri_v4:11:4345754:4347759:1 gene:Potri.011G053600.v4.1 transcript:Potri.011G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053600.v4.1 MGFVIAKAILTLLLSMLCFTVFSEKVEPADQPLHHGSHHHYPTAAPVSEPPSHAPSLAPAPHLHHHHHHHGHSPAPAPVNTPAHAPVHPPKPHSPPSVPAHPPMHPHPHPHPHPRSYHFPRKLVAVQGVVYCKSCNYSGVDTFLGAKPVPGATVKLQCNNTKYPLEVKATTDKNGYFLAKAPGTITNYGFHKCKVWLVSAPNTACSKITDIHGGLAGAILRPEKKPFVDEKKRGYALFSVGPFAFESKCPR >Potri.010G107200.1.v4.1 pep chromosome:Pop_tri_v4:10:12833600:12833827:1 gene:Potri.010G107200.v4.1 transcript:Potri.010G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107200.v4.1 MENNNNSRGTDGDGDHGCVGFPAHSQVIKIKQEFEKIKHTSPQQLEKRGIIRCRIYRQRSRSPLGLAERPISVGN >Potri.017G066860.1.v4.1 pep chromosome:Pop_tri_v4:17:5565059:5567576:-1 gene:Potri.017G066860.v4.1 transcript:Potri.017G066860.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066860.v4.1 MEKFQILFPFASTRSSDHPVSSSMTDNSHILSDFKADSTSSGFSGSKKENYHLVPRTSSEINKDLNSQGASFGIGSPTELKAAKKKGEKKVRKPRYAFQTRSQVDILDDGYRWRKYGQKAVKNNKFPRSYYRCTYQGCTVKKQVQRLTKDEGVVVTTYEGMHSHPIEKSNDNFEHILSQMQIYTPF >Potri.013G081200.1.v4.1 pep chromosome:Pop_tri_v4:13:7332417:7334487:-1 gene:Potri.013G081200.v4.1 transcript:Potri.013G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081200.v4.1 MSRERKRFDELGKKIKRESDATYQMGRRHMLGPPGTLNTITPCAACKLLRRRCAQECPFSPYFSPHEPQKFASVHKVFGASNVSKMLMEVPQNQRADAANSLVYEANVRLRDPVYGCMGAISALQQQVQSLEAELNAVRNEILKYRYREANIIHSSHVALLSSGAVSIAAPSPAPSTPPPPTASPPPSSSSSSMYTQPTSSAAADYSTTSTENVSFFG >Potri.009G047800.8.v4.1 pep chromosome:Pop_tri_v4:9:5342501:5356616:-1 gene:Potri.009G047800.v4.1 transcript:Potri.009G047800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047800.v4.1 MTQRGEHLRKSNARSTMSTNIGGRNNEVRTSSRSVRKVSYVESDESEEIGEGKKKNALKDEVEEEDGDSIERVLWHQPRGTAEDAMRNNRSTEPVLLSYLFDSVPDWKEMEFLIKWKGQSHMHCQWKSFSDLQNLSGFKKVLNYTKKVMEDVRYRRSFTREEIEVNDVSKEMDLDLIKQNSQVERIIADRITKDSSGNVVPEYIVKWRGLSYAEATWEKDVDIAFAQDAIDEYKAREAAIAVQGKMVDLQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQISGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKRVGQPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVHNYKNLSSFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISTNDSSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDIIAQYMSLRGFQFQRLDGSTKAELRQQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKETKKGSYFDKNELSAILRFGAEELFKEDRNDEESKKRLLSMDIDEILERAEKVEEKEAGGEQGNELLGAFKVANFCCAENDGSFWSRWIKPDAVAEAEDALAPRAARNTKSYAEDNQPGRSNKRKKKGSEPPEPQERVQKRRKSDYSAPLAPMIEGASSQVREWSHGNLPKRDALRFSRVVIKFGNLNQIDLIAEEVGGTVAAAPPDAQIELFDALVDGCREAVEVGNLDPKGPLLDFFGVPVKANDLLSRVQELQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLKDRANALLEMELAAIGGKKANAKGGRKASMKGRENLLNISVSRDRVKKAKPGSVIVSVQTSKNRPQRPQRVEQLVKEEGEMSDNEELCEQFKEVKWMEWCEEVMFDEIKTLKRLNKLQTTSADLPKEKVLLKIRNYLQLIGRRIDQIVLEYEEERYKQDRMTMRLWNYVSTFSNLSGEKLRQIYSKLKQEQEEDASAGPSHANGAAYGSLDKDSDPNNFPPLSRNFERQIGYKNESAYAMSEPINKGHDAGKFEAWKRRRRAEADIQPPLQRPPGTRLSNPNSLGILGAGPPDNRPFFERPYRVRQTGFTPKQNFT >Potri.009G047800.9.v4.1 pep chromosome:Pop_tri_v4:9:5342643:5355607:-1 gene:Potri.009G047800.v4.1 transcript:Potri.009G047800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047800.v4.1 MRNNRSTEPVLLSYLFDSVPDWKEMEFLIKWKGQSHMHCQWKSFSDLQNLSGFKKVLNYTKKVMEDVRYRRSFTREEIEVNDVSKEMDLDLIKQNSQVERIIADRITKDSSGNVVPEYIVKWRGLSYAEATWEKDVDIAFAQDAIDEYKAREAAIAVQGKMVDLQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQISGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKRVGQPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVHNYKNLSSFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISTNDSSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDIIAQYMSLRGFQFQRLDGSTKAELRQQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKETKKGSYFDKNELSAILRFGAEELFKEDRNDEESKKRLLSMDIDEILERAEKVEEKEAGGEQGNELLGAFKVANFCCAENDGSFWSRWIKPDAVAEAEDALAPRAARNTKSYAEDNQPGRSNKRKKKGSEPPEPQERVQKRRKSDYSAPLAPMIEGASSQVREWSHGNLPKRDALRFSRVVIKFGNLNQIDLIAEEVGGTVAAAPPDAQIELFDALVDGCREAVEVGNLDPKGPLLDFFGVPVKANDLLSRVQELQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLKDRANALLEMELAAIGGKKANAKGGRKASMKGRENLLNISVSRDRVKKAKPGSVIVSVQTSKNRPQRPQRVEQLVKEEGEMSDNEELCEQFKEVKWMEWCEEVMFDEIKTLKRLNKLQTTSADLPKEKVLLKIRNYLQLIGRRIDQIVLEYEEERYKQDRMTMRLWNYVSTFSNLSGEKLRQIYSKLKQEQEEDASAGPSHANGAAYGSLDKDSDPNNFPPLSRNFERQIGYKNESAYAMSEPINKGHDAGKFEAWKRRRRAEADIQPPLQRPPGTRLSNPNSLGILGAGPPDNRPFFERPYRVRQTGFTPKQNFT >Potri.009G047800.6.v4.1 pep chromosome:Pop_tri_v4:9:5342644:5359348:-1 gene:Potri.009G047800.v4.1 transcript:Potri.009G047800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047800.v4.1 MAFCRNYTTEAVSQSVLEGKVQGQGTGRMLGNEDVDVNSSERELDMNMDAQYESEPDAAGKLQSDVAADNCAGVSNSELQPSGRRNVAGKWGSSFWKDCQPMATPGASDSRQDSKSEDRNAEGSEDNVSNGRDGRLESEDEEGQKEVGRGGKGHSDVPADEMLSDEYYEQDGEDQSDLMRYRGFSKPVDLSSRLQSKPVPIKNNVSRRRSRGLHNSEGYDDDNNDGDGDNEEEDEDEDDPDDADFDPDYGALSGHMGGKDKDGESEDSDEEVNSDDWVISDDEDDDDSYYTKKPKGRQQGKGGCNTKSAREHTSLRASGRQKRGKTSFEEDEYSAEDSDSDKDFKNMTQRGEHLRKSNARSTMSTNIGGRNNEVRTSSRSVRKVSYVESDESEEIGEGKKKNALKDEVEEEDGDSIERVLWHQPRGTAEDAMRNNRSTEPVLLSYLFDSVPDWKEMEFLIKWKGQSHMHCQWKSFSDLQNLSGFKKVLNYTKKVMEDVRYRRSFTREEIEVNDVSKEMDLDLIKQNSQVERIIADRITKDSSGNVVPEYIVKWRGLSYAEATWEKDVDIAFAQDAIDEYKAREAAIAVQGKMVDLQRKKGKASLRKLDEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNAQQISGPFLVVVPLSTLSNWAKEFRKWLPDMNVIVYVGTRASREVCQQYEFYNDKRVGQPIKFSALLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFVHNYKNLSSFNENELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFHDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISTNDSSKLERIILSSGKLVILDKLLVRLHETKHRVLIFSQMVRMLDIIAQYMSLRGFQFQRLDGSTKAELRQQAMEHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKETKKGSYFDKNELSAILRFGAEELFKEDRNDEESKKRLLSMDIDEILERAEKVEEKEAGGEQGNELLGAFKVANFCCAENDGSFWSRWIKPDAVAEAEDALAPRAARNTKSYAEDNQPGRSNKRKKKGSEPPEPQERVQKRRKSDYSAPLAPMIEGASSQVREWSHGNLPKRDALRFSRVVIKFGNLNQIDLIAEEVGGTVAAAPPDAQIELFDALVDGCREAVEVGNLDPKGPLLDFFGVPVKANDLLSRVQELQLLAKRISRYENPIAQFRVLMYLKPSNWSKGCGWNQIDDARLLLGIHYHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLKDRANALLEMELAAIGGKKANAKGGRKASMKGRENLLNISVSRDRVKKAKPGSVIVSVQTSKNRPQRPQRVEQLVKEEGEMSDNEELCEQFKEVKWMEWCEEVMFDEIKTLKRLNKLQTTSADLPKEKVLLKIRNYLQLIGRRIDQIVLEYEEERYKQDRMTMRLWNYVSTFSNLSGEKLRQIYSKLKQEQEEDASAGPSHANGAAYGSLDKDSDPNNFPPLSRNFERQIGYKNESAYAMSEPINKGHDAGKFEAWKRRRRAEADIQPPLQRPPGTRLSNPNSLGILGAGPPDNRPFFERPYRVRQTGFTPKQNFT >Potri.004G094700.3.v4.1 pep chromosome:Pop_tri_v4:4:8099510:8103110:1 gene:Potri.004G094700.v4.1 transcript:Potri.004G094700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094700.v4.1 MEGEGKREERKWAITWEGFVQELKKAGCIAAPMVAVSVLQYLLQVVSVIIVGHLGALALSSAAIATSITNVTGFSLLSGMAGGLETLAGQAYGAKQYQKLGTYTYSAIISLIIMCPPICVLWIFMGKLLPLLGQDTSISQEACKYSMYLIPALFGGAVLKPLTRYLQTQSVILPMLITSSCILCFHTISCWILVYKLQLGQKGAAIAFSLSTWLNVILLCLYVKYSSACEKTRAPLSREALYGIREFFQLGVPSAIMVCLKWWSLELLILLSGLFKNPKLETSVLSICLTISTLHFTIPYGFGAAASTRVSNELGAGNPQLARMAVLVALFLAGIESVIVSSGLFLSRQVLGYAYSNDRQVVRYISVMTPLICLSFITDSLQAVLSGVARGCGWQKIGAYINLGSFYLVGLPLAAVLGFVAHLRGKGLWIGILVGSFVQSVLLSIVTACTDWNKQVLGPISFFFPSTSLPCICFHVLFYYRLHQVQ >Potri.004G094700.2.v4.1 pep chromosome:Pop_tri_v4:4:8099511:8103110:1 gene:Potri.004G094700.v4.1 transcript:Potri.004G094700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094700.v4.1 MEGEGKREERKWAITWEGFVQELKKAGCIAAPMVAVSVLQYLLQVVSVIIVGHLGALALSSAAIATSITNVTGFSLLSGMAGGLETLAGQAYGAKQYQKLGTYTYSAIISLIIMCPPICVLWIFMGKLLPLLGQDTSISQEACKYSMYLIPALFGGAVLKPLTRYLQTQSVILPMLITSSCILCFHTISCWILVYKLQLGQKGAAIAFSLSTWLNVILLCLYVKYSSACEKTRAPLSREALYGIREFFQLGVPSAIMVCLKWWSLELLILLSGLFKNPKLETSVLSICLTISTLHFTIPYGFGAAASTRVSNELGAGNPQLARMAVLVALFLAGIESVIVSSGLFLSRQVLGYAYSNDRQVVRYISVMTPLICLSFITDSLQAVLSGVARGCGWQKIGAYINLGSFYLVGLPLAAVLGFVAHLRGKGLWIGILVGSFVQSVLLSIVTACTDWNKQAPSSAMTN >Potri.004G094700.1.v4.1 pep chromosome:Pop_tri_v4:4:8099511:8103110:1 gene:Potri.004G094700.v4.1 transcript:Potri.004G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094700.v4.1 MEGEGKREERKWAITWEGFVQELKKAGCIAAPMVAVSVLQYLLQVVSVIIVGHLGALALSSAAIATSITNVTGFSLLSGMAGGLETLAGQAYGAKQYQKLGTYTYSAIISLIIMCPPICVLWIFMGKLLPLLGQDTSISQEACKYSMYLIPALFGGAVLKPLTRYLQTQSVILPMLITSSCILCFHTISCWILVYKLQLGQKGAAIAFSLSTWLNVILLCLYVKYSSACEKTRAPLSREALYGIREFFQLGVPSAIMVCLKWWSLELLILLSGLFKNPKLETSVLSICLTISTLHFTIPYGFGAAASTRVSNELGAGNPQLARMAVLVALFLAGIESVIVSSGLFLSRQVLGYAYSNDRQVVRYISVMTPLICLSFITDSLQAVLSGVARGCGWQKIGAYINLGSFYLVGLPLAAVLGFVAHLRGKGLWIGILVGSFVQSVLLSIVTACTDWNKQVTKARERVLERRSSMKDEEK >Potri.014G093801.1.v4.1 pep chromosome:Pop_tri_v4:14:6091833:6092027:1 gene:Potri.014G093801.v4.1 transcript:Potri.014G093801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093801.v4.1 MQKNKGKGGKNRKRGKNDADDEKRELIFKEDEQEYAQVIRVLGNGRCETCCIDGLQRLGHIRER >Potri.001G086100.1.v4.1 pep chromosome:Pop_tri_v4:1:6852638:6855670:1 gene:Potri.001G086100.v4.1 transcript:Potri.001G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086100.v4.1 MDKTGKGKPCGFGDENTSDVVLHLRNCEGRPEVFYSHSLTLVSKSKFFADWFSSPDSGKCVEIHCTEFNYDHHVNFLRLLYLPVDLQLDSLDSVKSAIGILEVSVAFSCEEVTKNCIHYLEAVPWEDKEEEQIIKAVTNLGPIAMPIIARIKPVDFTATKNVFVSAVRFATSTCGSCPPFGDELKISAQEQVEYMLGEDEDMPLVMADDEVKSVVRVGLSRIFSTFEKQLSSLLVESDLTSETAEDNILQCVSDLEWMGNLLPKMELMKDFVSNWAEISGNVLGILEDKKLESLMWGLKVKLIEVSGKALEAVGYGSVILPAPVRVQLLKTWLPYIRKIKPLLDSKGAEDTSFPHKMDEDLCQSIEGAIVSLVLALPSNDQADILADWMKADQVRYPDLSEAFEVWCYRTKSAKRRLVEGLDRVGNTTVSL >Potri.001G086100.2.v4.1 pep chromosome:Pop_tri_v4:1:6852732:6855571:1 gene:Potri.001G086100.v4.1 transcript:Potri.001G086100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086100.v4.1 MDKTGKGKPCGFGDENTSDVVLHLRNCEGRPEVFYSHSLTLVSKSKFFADWFSSPDSGKCVEIHCTEFNYDHHVNFLRLLYLPVDLQLDSLDSVKSAIGILEVSVAFSCEEVTKNCIHYLEAVPWEDKEEEQIIKAVTNLGPIAMPIIARIKPVDFTATKNVFVSAVRFATSTCGSCPPFGDELKISAQEQVEYMLGEDEDMPLVMADDEVKSVVRVGLSRIFSTFEKQLSSLLVESDLTSETAEDNILQCVSDLEWMGNLLPKMELMKDFVSNWAEISGNVLGILEDKKLESLMWGLKVKLIEVSGKALEAVGYGSVILPAPVRVQLLKTWLPYIRKIKPLLDSKGAEDTSFPHKMDEDLCQSIEGAIVSLVLALPSNDQADILADWMKADQVRYPDLSEAFEVWCYRTKSAKRRLVEGLDRVGNTTVSL >Potri.011G104300.3.v4.1 pep chromosome:Pop_tri_v4:11:13258065:13262456:1 gene:Potri.011G104300.v4.1 transcript:Potri.011G104300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104300.v4.1 MEIIILEPDGQPQNNQEPTGEPRTRKRSSLNNNEDRISTLPNVLLHHILSFVDAVQVVQTCVLSKRWMNVWKSHPYLDFNFETFSSLINSDYYDDEVNNFTDFIYHVLVRRHNLKAVKISLDILRDTRCSLVESLIYYAVKHHVEEISVNTAHWDMPIVLPRCFFDCESLRSLKLKVDGGIALPKSLGLQSLKTLHLGGARNFDGKIFSTCPNLENLKIEDIYLNTIENFNIHALNLKSLEILNWRYGRIMRGCKVMLFAPKLTSFKFYGNTPLFWSDVNLTSLDDVNVVLQRYHHSHNYPFYVVEDEYISEEDETEQGLGLNLIKMLHQFCSAKSLTLSMNIIEILSEVPAALDKHPSPFSNLKYLKLKTDHKDVTLPAHVLNYFLRSASFLKVSF >Potri.001G407300.2.v4.1 pep chromosome:Pop_tri_v4:1:43454314:43463905:-1 gene:Potri.001G407300.v4.1 transcript:Potri.001G407300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407300.v4.1 MGDLSRDDDASTLRILVATDCHLGYMEKDEVRRHDSFQAFEETCSIAEQKKVDFLLLGGDLFHENKPSRSTLVKAIEILRRHCLNDQPVQFQVVSDQTVNFANVFGHVNYEDPHFNVGLPVFSIHGNHDDPAGVDNLSAVDILSACNLVNYFGKMALEGSGVGQITLYPILVRKGSTAVALYGLGNIRDERLNRMFQTPHAVQWMRPEAQEGCLVSDWFNMLVLHQNRVKTNPKNAINEHFLPRFLDFIVWGHEHECLVDPQEVPGMGFHITQPGSSVATSLIDGESKPKHVLLLEIKGNQYRPTKIPLTSVRPFEYKEIVLKDESDIDPNDQNSILEHLDTVVRNLIEKSSKKAVSRSELKLPLVRIKVDYSGFMTINPQRFGQKYVGKVANPQDILIFSKASKKGRNEAKFDDTERLRPEELNQQNIEALVAENNLKMEILPVNDLDVALHNFVSKDDKMAFYACVQYNLQETRSKIAKDSDTMKFEDEDLILKVGECLEERVKERSVHSTDAAQFTSGAQSMEDFRSTSAGVGSAVSFSDEEDAAQISGSTSTTRGRKGSRVGSRSSHDVSETGKGKTSARGRGRGRGRGRGSSNLKQTTLDATLGFRQSQRSASVSATAAVRSIAVEDENVDSASSEDSKKLGMNEVADSSNDDESIQGKGKGRKRAAARGRGRGATPSKRGRKSENSALQRMLMNKDDDDDDDDVTKRLNKSQPRVTRNYGALRR >Potri.015G113400.3.v4.1 pep chromosome:Pop_tri_v4:15:12932894:12940696:-1 gene:Potri.015G113400.v4.1 transcript:Potri.015G113400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113400.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTNARSWIRMLVWSAYLSADVVATVALGNLAKSQGDSSGDSSENANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWKRHLLGLVVQVGVAFYVFSRSWGSGILTFIAIPVFVVGVVKYAERTWVLWSSCSKSLKNSSLRGFWRSYNRSRKSKTPPQDLQENYLLQAYVFSYISKFVMQDLVPGISSLIRSRELISKNNAYGAFKVVEAELGLIYDMLYTKAPLIYSRAGIILRCISSLLCVTAFITFQVKIDKHDYSKTDIAITYLLFAAAVFLEFYAFLCLVLSDWTMIWLIDKGGNGLTKATYSLIRKLTRGERWSRSISQYNLKSSSIEREPPKFLEFLGIDEMMRQMHVNRKDLNVDLQGFIFGHLLKKAEKMKEDLNFFDKNDRSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATDICYLDDNKDGSNVSKEYEASRCLSEYMMYLLVIRPNMLSKGFCDEVYQRTLRDLRGLNYQNTLLELRNRESRVYDDEEFQALWKIEKSVLRGVYALARQLLSLGPEKRWWMINEVWVEMVAYAAAHCPWKEHTQQLRRGGELLTHVSLLVLHLGLSEQYEYNGSNDFLLFSEFNEMYEEYRKARRKYLEGIAATSGSSLDEEEELEEYDKARDKYMEGIEDMSGSSPNKIQSQILSVKSESMSAKNKCLSAKSKCLSECLSACLSLKSKRLCN >Potri.015G113400.6.v4.1 pep chromosome:Pop_tri_v4:15:12937480:12940688:-1 gene:Potri.015G113400.v4.1 transcript:Potri.015G113400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113400.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTNARSWIRMLVWSAYLSADVVATVALGNLAKSQGDSSGDSSENANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWKRHLLGLVVQVGVAFYVFSRSWGSGILTFIAIPVFVVGVVKYAERTWVLWSSCSKSLKNSSLRGFWRSYNRSRKSKTPPQDLQENYLLQAYVFSYISKFVMQDLVPGISSLIRSRELISKNNAYGAFKVVEAELGLIYDMLYTKAPLIYSRAGIILRCISSLLCVTAFITFQVKIDKHDYSKTDIAITYLLFAAAVFLEFYAFLCLVLSDWTMIWLIDKGGNGLTKATYSLIRKLTRGERWSRSISQYNLKSSSIEREPPKFLEFLGIDEMMRQMHVNRKDLNVDLQGFIFGHLLKKAEKMKEDLNFFDKNDRSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATDICYLDDNKDGSNVSKEYEASRCLSEYMMYLLVIRPNMLSKGFCDEVYQRTLRDLRGLNYQNTLLELRNRESRVYDDEEFQALWKIEKSVLRGVYALARQLLSLGPEKRWWMINEVWVEMVAYAAAHCPWKEHTQQLRRGGELLTHVSLLVLHLGLSEQYEYNGSNDFLLFSEFNEMYEEYRKARRKYLEGIAATSGSSLDEVCSTSISIL >Potri.015G113400.5.v4.1 pep chromosome:Pop_tri_v4:15:12933014:12940694:-1 gene:Potri.015G113400.v4.1 transcript:Potri.015G113400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113400.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTNARSWIRMLVWSAYLSADVVATVALGNLAKSQGDSSGDSSENANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWKRHLLGLVVQVGVAFYVFSRSWGSGILTFIAIPVFVVGVVKYAERTWVLWSSCSKSLKNSSLRGFWRSYNRSRKSKTPPQDLQENYLLQAYVFSYISKFVMQDLVPGISSLIRSRELISKNNAYGAFKVVEAELGLIYDMLYTKAPLIYSRAGIILRCISSLLCVTAFITFQVKIDKHDYSKTDIAITYLLFAAAVFLEFYAFLCLVLSDWTMIWLIDKGGNGLTKATYSLIRKLTRGERWSRSISQYNLKSSSIEREPPKFLEFLGIDEMMRQMHVNRKDLNVDLQGFIFGHLLKKAEKMKEDLNFFDKNDRSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATDICYLDDNKDGSNVSKEYEASRCLSEYMMYLLVIRPNMLSKGFCDEVYQRTLRDLRGLNYQNTLLELRNRESRVYDDEEFQALWKIEKSVLRGVYALARQLLSLGPEKRWWMINEVWVEMVAYAAAHCPWKEHTQQLRRGGELLTHVSLLVLHLGLSEQYEYNGSNDFLLFSEFNEMYEEYRKARRKYLEGIAATSGSSLDEEEELEEYDKARDKYMEGIEDMSGSSPNKSQILSVKSESMSAKNKCLSAKSKCLSECLSACLSLKSKRLCN >Potri.015G113400.7.v4.1 pep chromosome:Pop_tri_v4:15:12937347:12940695:-1 gene:Potri.015G113400.v4.1 transcript:Potri.015G113400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113400.v4.1 MTMLKKLPEEVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTNARSWIRMLVWSAYLSADVVATVALGNLAKSQGDSSGDSSENANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWKRHLLGLVVQVGVAFYVFSRSWGSGILTFIAIPVFVVGVVKYAERTWVLWSSCSKSLKNSSLRGFWRSYNRSRKSKTPPQDLQENYLLQAYVFSYISKFVMQDLVPGISSLIRSRELISKNNAYGAFKVVEAELGLIYDMLYTKAPLIYSRAGIILRCISSLLCVTAFITFQVKIDKHDYSKTDIAITYLLFAAAVFLEFYAFLCLVLSDWTMIWLIDKGGNGLTKATYSLIRKLTRGERWSRSISQYNLKSSSIEREPPKFLEFLGIDEMMRQMHVNRKDLNVDLQGFIFGHLLKKAEKMKEDLNFFDKNDRSKIIGQRGDGVLEREGLLRDYKWCTTEVEFSRSILVWHLATDICYLDDNKDGSNVSKEYEASRCLSEYMMYLLVIRPNMLSKGFCDEVYQRTLRDLRGLNYQNTLLELRNRESRVYDDEEFQALWKIEKSVLRGVYALARQLLSLGPEKRWWMINEVWVEMVAYAAAHCPWKEHTQQLRRGGELLTHVSLLVLHLGLSEQYEYNGSNDFLLFSEFNEMVRIPKILLKYLDR >Potri.001G149200.1.v4.1 pep chromosome:Pop_tri_v4:1:12467845:12481499:-1 gene:Potri.001G149200.v4.1 transcript:Potri.001G149200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149200.v4.1 MDPLSSISEELAEINGQVADIFRALSKGFQNLEKIKDANRQSRQLDELTGKMRECKRLIKEFDREVKDMESRNDPDTNKMLNEKKQSMVKELNSYVALKKQYATNLENNKRVDLFDGPNEELHDNNVLLASSMTNQQIMDHGNQMMDETDQAIERGKKVVQDTVNVGRETAEALKAQTEQMSRIVNELDSIHFSIKKASKMVKEIGRQVATDKCIMALLFLVVIGVIAIIIVKLVNPNNKDIRDIPGLAPPAQSRRLLWNPN >Potri.005G015400.1.v4.1 pep chromosome:Pop_tri_v4:5:1221947:1224162:1 gene:Potri.005G015400.v4.1 transcript:Potri.005G015400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G015400.v4.1 MFLFDWFFGVLTSLGLWQKEAKILFLGLDNSGKTTLLFMLKDERLVQHQPTQYPTSEELSIGNIKFKAFDLGGHQIARRVWKDYYAKVDAVVYLVDAYDKERFAESKQELDALLADEALANVPFLVLGNKIDIPYAASEEELRYYLGLANFTTGKGKVNLEDSNVRPLEVFMCSIVRKMGYGEGFRWLSQYI >Potri.014G126600.1.v4.1 pep chromosome:Pop_tri_v4:14:8496314:8498029:1 gene:Potri.014G126600.v4.1 transcript:Potri.014G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126600.v4.1 MEKLECPSKQRKNKAQITIDIGGSVVLGFVFVVTSLIAAAFAVKRRRRKDADKEDLPCKKEAKGSRGLCFTLENPSSTFHQNPCLTDGSTGMAAEEIQVDCIELEENSASMINDENDNFTGDDQEFLLTDDTRQEIIITSFDVCCGMEELPLLVLDSEPMNEVEGNIKNDSEDNSSWLERIEIKRLEEEVDTERIMEEETKSVNLIEEDEEGYSSEEYVMGEEAVGAEKMTADTTVAMLWVEDEEEEEDSSEEYVMDEGDESSEETGSTSAETNAEVIWPAESMEVLSLELKNIMINTQSLESKEKIVEEDGSTKIEELFNRGIATGSKEHLSKPARFIIIN >Potri.014G126600.2.v4.1 pep chromosome:Pop_tri_v4:14:8496314:8498029:1 gene:Potri.014G126600.v4.1 transcript:Potri.014G126600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126600.v4.1 MEKLECPSKQRKNKAQITIDIGGSVVLGFVFVVTSLIAAAFAVKRRRRKDADKEDLPCKKEAKGSRGLCFTLENPSSTFHQNPCLTDGSTGMAAEEIQVDCIELVCTENLILEENSASMINDENDNFTGDDQEFLLTDDTRQEIIITSFDVCCGMEELPLLVLDSEPMNEVEGNIKNDSEDNSSWLERIEIKRLEEEVDTERIMEEETKSVNLIEEDEEGYSSEEYVMGEEAVGAEKMTADTTVAMLWVEDEEEEEDSSEEYVMDEGDESSEETGSTSAETNAEVIWPAESMEVLSLELKNIMINTQSLESKEKIVEEDGSTKIEELFNRGIATGSKEHLSKPARFIIIN >Potri.010G201300.1.v4.1 pep chromosome:Pop_tri_v4:10:19350143:19352038:1 gene:Potri.010G201300.v4.1 transcript:Potri.010G201300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201300.v4.1 MSSPTTPSYWCYRCNRFVQVWRQDSVTCPECESGFIEEIENPPHMIQTEASRERHRRRSPAAGTMFMIGNRSNPHRRNRRGGAAGSGDRSPINPVIVLRGGPGGAAEDVVGDDGGRGGGGFELYYDDGGGSGLRPLPPSMSEFLLGSGFERLLDQLTHIEMNGGFGRYENQHPPASKLAIESMPTVIINESHTFTESHCAVCKEAFELESEAREMPCKHIYHTDCILPWLSIRNSCPVCRHELPSGDDDGEDGVGSPLPEAGNGQGNNEEEAVGLTIWRLPGGGYAVGRFTGARRGERELPVVYTEMDGAFNNGGLPRRISWGSRGGGRRENGGGVNGGRDGGNRGFGFGRVLRHWFACFGVGRGSSSNSRVTGRNRPSPVFSSSSSMRRRGWGAEINTGRRR >Potri.010G056450.1.v4.1 pep chromosome:Pop_tri_v4:10:8734124:8735737:-1 gene:Potri.010G056450.v4.1 transcript:Potri.010G056450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056450.v4.1 MSVPLRIEIMQRETIKPSSPTPLHLRSLKLSLLDQFMPVVHIPLLLFYPRNGNDTDHLAKATERSLLLKTSLSEALTHFYPFAGRLKDNSSIECDDHGAEYIEARIHCILSDILKKPDTEVLKQLLPAALSEAATARDSQLLVQASFFDCGGLAIGMNLSHKVADAATVTTFIKCWAATARRSSTEVVISPVFMGASIFPQMDLPIPMLPVDLIQGESVMKRFVFEAPKITALKAKAISASVPDPTRVESVTALIWKCAMSASRSNLGVPRKSVLSLSVNMRKRLVPTLPDNYGGNYVGRISARMEDHDDLELQGIVSRIRKDLIEFGENYAKITQGDDTSLAICKAVEEFGKMAMSKDIDSYNGTSWCRFELYDADFGWGKPTWLSTVFTRKLKNLMCLIDTRDGDGIEACISLSREDMALFESNKELLEFAAAYHSVSV >Potri.001G277100.1.v4.1 pep chromosome:Pop_tri_v4:1:29096948:29099788:1 gene:Potri.001G277100.v4.1 transcript:Potri.001G277100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277100.v4.1 MARDSCLARITAGVAVGGAIGGAVGAVYGTYEAVRYKVPGLLKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSY >Potri.018G038400.1.v4.1 pep chromosome:Pop_tri_v4:18:3064955:3067249:-1 gene:Potri.018G038400.v4.1 transcript:Potri.018G038400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038400.v4.1 MTRCARCCLHSSIRIVNLVMLFCGIGTIIYSLWLQKKWDESIAKFPLGPSPLIPWFIYTFLGAGIIVCLSAIGGYIIANCISNCTLCFYIVAICCLLFLEVAVVVAIFFKIDWGKQITTYTGQKNTDFEILMSIHVKISRAIMLLIMVAQISVVILAAILLAGGTEPRTHFQEVDTPVFSQSFLVPAESPGSAEGSTQACRRCGTVLSPRGENAPRGFFSRIKRLLRRRFQRTNTVY >Potri.018G038400.3.v4.1 pep chromosome:Pop_tri_v4:18:3064955:3067249:-1 gene:Potri.018G038400.v4.1 transcript:Potri.018G038400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038400.v4.1 MTRCARCCLHSSIRIVNLVMLFCGIGTIIYSLWLQKKWDESIAKFPLGPSPLIPWFIYTFLGAGIIVCLSAIGGYIIANCISNCTLCFASFLLSFSITRRTKEPLRFSKLHHFQGLFNHS >Potri.018G038400.2.v4.1 pep chromosome:Pop_tri_v4:18:3064955:3067249:-1 gene:Potri.018G038400.v4.1 transcript:Potri.018G038400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038400.v4.1 MTRCARCCLHSSIRIVNLVMLFCGIGTIIYSLWLQKKWDESIAKFPLGPSPLIPWFIYTFLGAGIIVCLSAIGGYIIANCISNCTLCFYIVAICCLLFLEVAVVVAIFFKIDWGKQITTYTGQKNTDFEILMSIHVKISRAIMLLIMVAQEVQSQELTFKRWIPRFLVNPF >Potri.009G028300.1.v4.1 pep chromosome:Pop_tri_v4:9:3952685:3953262:1 gene:Potri.009G028300.v4.1 transcript:Potri.009G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G028300.v4.1 MASICQGKSSWPELLGVDGKCAVETIERENSLVEAIIVPEGSSIIEDFRCDRVWVWVDKDGIVYLVPAIG >Potri.018G108200.1.v4.1 pep chromosome:Pop_tri_v4:18:12672632:12677547:1 gene:Potri.018G108200.v4.1 transcript:Potri.018G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108200.v4.1 MDVGRRRAGVKTSVVAGSVWENRMKLDEVKGGIKVFNGEENVEESRSSNGDVGKKMVKRGQTGTSASVAMSGKRKTWKSESLDGPIQIAKGKNTEQLCKELSVSVDGIKKNPVQARRGRSEGSNKVLSLSVDGIDKSSIQVKKGSKELDGIVRSPIQVKKGRSEPNKEVGVSVDGNEKSPRQIRKQRSDIKEVVEYDVELRKVKSDSVKVAEQSEIGKDPVLDGGVERNSVQLRMAKSEADKVLDESVNGIEKSPPEIEETGSEETCKESGVCQEKVISISETTESVEKPPPKLLVDNPPPLDDDDDVVIDGDEDIEGDEDEEEIEEEIEIQIEKKSLDIKEINIAEEKPKKVEKNVAEQKPKKVESNVAEQKPKKVETNVAEQKPKKVEICIPEQKPKKVVSEVKKVQQFNNRTAPASSIVNKQPPPVIKRATLYQNLAKAAPNPSIPVANEYQNFKETRRHSKLQNLVDLVMWRDVSRSTLAFGMGTFIIISSSYTKDLNVSFISVMSYLGLVYLATIFLYRSLICRGVIDIDDDGSYVLGEGEAIWLLKLVLPYLNECLLKIRALFSGDPATTMKMAVLLFVFARCGSSITIWKMARLGFFGVFTVPKVCSSYSTQLTAYGKFWIRRFRDAWESCSHKKAVALCIFTLVWNLSSMVARIWAVFMMFVAVRYYQQTMERDDWVVEEEVDTEADGTWHGDIAGQRQGSGPTSVEVNKVKKGS >Potri.018G108200.2.v4.1 pep chromosome:Pop_tri_v4:18:12672632:12677551:1 gene:Potri.018G108200.v4.1 transcript:Potri.018G108200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108200.v4.1 MDVGRRRAGVKTSVVAGSVWENRMKLDEVKGGIKVFNGEENVEESRSSNGDVGKKMVKRGQTGTSASVAMSGKRKTWKSESLDGPIQIAKGKNTEQLCKELSVSVDGIKKNPVQARRGRSEGSNKVLSLSVDGIDKSSIQVKKGSKELDGIVRSPIQVKKGRSEPNKEVGVSVDGNEKSPRQIRKQRSDIKEVVEYDVELRKVKSDSVKVAEQSEIGKDPVLDGGVERNSVQLRMAKSEADKVLDESVNGIEKSPPEIEETGSEETCKESGVCQEKVISISETTESVEKPPPKLLVDNPPPLDDDDDVVIDGDEDIEGDEDEEEIEEEIEIQIEKKSLDIKEINIAEEKPKKVESNVAEQKPKKVETNVAEQKPKKVEICIPEQKPKKVVSEVKKVQQFNNRTAPASSIVNKQPPPVIKRATLYQNLAKAAPNPSIPVANEYQNFKETRRHSKLQNLVDLVMWRDVSRSTLAFGMGTFIIISSSYTKDLNVSFISVMSYLGLVYLATIFLYRSLICRGVIDIDDDGSYVLGEGEAIWLLKLVLPYLNECLLKIRALFSGDPATTMKMAVLLFVFARCGSSITIWKMARLGFFGVFTVPKVCSSYSTQLTAYGKFWIRRFRDAWESCSHKKAVALCIFTLVWNLSSMVARIWAVFMMFVAVRYYQQTMERDDWVVEEEVDTEADGTWHGDIAGQRQGSGPTSVEVNKVKKGS >Potri.008G136566.1.v4.1 pep chromosome:Pop_tri_v4:8:9176530:9177453:-1 gene:Potri.008G136566.v4.1 transcript:Potri.008G136566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136566.v4.1 MLRNSILCLCGLMQRSLLFCMTSLMIRNCQATRHKLSKASCRELVGAHKPAALVIVEPRIRGCYLLASKFIKSSVFPRTQRIKASGFSGGIWLIRRDDLLQVDILVNHKRKLLSVFLPRMCS >Potri.016G137350.1.v4.1 pep chromosome:Pop_tri_v4:16:14097664:14099283:-1 gene:Potri.016G137350.v4.1 transcript:Potri.016G137350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137350.v4.1 MRLVISLSNLGLEGLPTFVLADRGDCYFTLKAWNAQNGGAAAILVADDKVEPLITMDNPEEDDAGAAYLQNITIPSALISKSLGDSIKKALSNGEMVNMNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQIFKI >Potri.010G144600.6.v4.1 pep chromosome:Pop_tri_v4:10:15662346:15662655:1 gene:Potri.010G144600.v4.1 transcript:Potri.010G144600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144600.v4.1 MHGFRRTHKRSKRSTLEDNFLPYDDASLSLGEAKQDLESMKWQECSDQSIETVLFNHKTNDEVKSNGHDDALKSFVRSSQEGEKI >Potri.010G144600.2.v4.1 pep chromosome:Pop_tri_v4:10:15661816:15663096:1 gene:Potri.010G144600.v4.1 transcript:Potri.010G144600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144600.v4.1 MHGFRRTHKRSKRSTLEDNFLPYDDASLSLGEAKQDLESMKWQECSDQSIETVLFNHKTNDEVKSNGHDDALKSFVRSSQEGEKI >Potri.003G188350.1.v4.1 pep chromosome:Pop_tri_v4:3:19272292:19277854:1 gene:Potri.003G188350.v4.1 transcript:Potri.003G188350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188350.v4.1 MATKVAFSGFLKGIYDHFPSFSRKTESGDEILLDPVDAEFDEKLLLQEGLKASSIGCQIPSNVSPSTPSRTNMEAISEHEVEPSSLSSCDVCLKRKETDQVIKTESSGQIFCLGCSKTIKLSENQWPCNKKLSFDMSSRNDTGKSLTADDSGSNNNPTPKTETEPVSRGKAKIISLNSAQDEYRKRDESIAGKREVTPQSPNTKAQEDKGVDQNSDIPLNLNSELLVSNGNGGALDDSKKSRLSKGLAIPLNLNSELLVSNGNGGALDDSEKSRLSKGLVPVNVNKPIEQFYCPACKEVSGDIKWYQGLPALISHAKATEDGGKLHRKLAHLLTTNFSKKGTSESSAGEVLSKWKGLNDEKKDHEIVWPPMVVVRNTASLKKDENNKRSGIADQELLDLFSSYDAIEKVQQAYNSDGHCGMSILIFECSTRGYLEAERLDRHFADQGTGRNVWNESPIYLLRSGELQLHGYMAEKEDVYLFNMYSTGEPRLKCEIRSYQEMIVNRIRQMSEDNHQIIWLNNRVAEERRHGELLEKSNGIMRESLKKARKEIDILRKKIKLQHKQNMEEMDFQGQIFKDSQIKIILEERGKKGGDLKSSKKNVRESNGSPSNTQDEKYRVQETAKFEENEVKEKEASDTGQLLEEHGGQDELKEEKCESSTSTCEICLERKQTDHIIRNETSGQIFCLGCSKTVNLSENQWSCSKELSMDKGSRNDAGKPLTADASGSNNNPSPNTETEPVSRGKAKIISLNSTRYEYMKGAESIAAKPVGTLQSPNTKAQEDKGVDQNSDVPLNLNSELLVSNGNGGALDDSKKSRLFKGVAPVNVNKPIEQFYCPACKEVSGAIKWYQGLPALISHAKDTEEGGKLHRKLAHLLTTNFSKKGTSESSAGEVLSKWKGLNDEKKDHEIVWPPMVVVRNTSSLKKDENNKRSGIADQELLDLFSSYDAIEKVQQAYNSDGHCGTSMLIFEGSARGYLEAERLDRHFSDQGTGRNVWNRSPLYLLPSWELQLHGYMADKQDVDLFNEYSTGEPKLKYEIRSYQDMVVSRIKQMKEDNNKLIWLNNRVAEEQRRAERLEESNGIMRESLEKAVKEIDVLRKKLNCSKNRT >Potri.004G118400.2.v4.1 pep chromosome:Pop_tri_v4:4:11201901:11206323:-1 gene:Potri.004G118400.v4.1 transcript:Potri.004G118400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118400.v4.1 MIQKRAFADDNSYEVACKHPRQMEHIDQFAPIFPLDNAHQKHLVSGDDTYRECQDVGRSASDLVTEYSNGTSKEFETGDNGCFPQFLWISNGILEADNLSFFPEYFDDGHQLRPLLEPDEACSSLDYPFRKSVSIGPEHQANVPEWGSQGSSTSLNQLDESNLQVAHAQSSSPGVIIDGCYEENLMGTCVLPMPELEVPANYCYEATKGDCGCPDAGSIRCIKQHVSEARLKLRENLGEEIFEGLGFCDMGEVVANKWTVEEEQIFREVVLSNPVSLGKNFWDNLPVAFPSRTKKDLVNYYFNVFMLRKRAEQNRFDPLNIDSDDDEWQGIEGETIENDEDSAVESLAGQDSSAYCQEDHAENCNEYVEDEDEDEVVASQDGVDNVVHKVATDEEYEGDVDDFSGAQVGIYHGDRAGDLDHKHFSQISGKNADDFDVQDDSCTSYEHYQDIIDCCGPLDIGADGRHSS >Potri.006G081800.4.v4.1 pep chromosome:Pop_tri_v4:6:6067546:6082192:-1 gene:Potri.006G081800.v4.1 transcript:Potri.006G081800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081800.v4.1 MLRLRAFRPSNDKIVKIQLHPTHPWLVTADASDRVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGESEPRGKSTEAMRGGSVQQVNFYDDDVRFWQLWRNRSAAAEAPSAVSNVTSAFASPAPSTKGRHFLVICCVNKAIFLDLVTMRGRDVPKQELDNKSLICMEFLCRSTAGDGPPLVAFGGSDGVIRVLSMISWTLVRRYTGGHKGSISCLMTFMASSGEALLVSGGSDGLLVLWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGAPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLIPPQALAPNKKLRVYCMVAHPLQPHLVATGTNIGVIVSEFDARSIPAVAPIPTPTGNREHSAIYVVERELKLLNFQLSNAANPSLGSNGSLSETGKYRGDSAEPLHVKQMKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFTIYKVSDWSVVDSGSARLLAWDTCRDRFAILESALPPRMPIIPKGGSSRKAKEAAAAAAQAAAVAASAASAASVQVRILLDDGTSNILMRSIDGRSEPVIGLHGGALLGVAYRTSRRISPVAATAISTIQSMPLSGFGSGGLSSFTTLDDGFNSHKSPAEAAPQNFQLYSWETFQPVGGLLPHPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIPYATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETRKRKEEMKMKEAQARAVAEHGDLALIAVDGLQSATQERIPLRPPMLQVVRLASFQHAPSVPPFLTLPKQTKVDGDDSAMPIEEKKINEIAVGGGGVSVAVTRFPTEQKRPVGPLVVVGVRDGVLWLIDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMMGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDIGQDGIGLDLNDILNITAKKENIVEAVQGIVKFAREFLDLIDAADATAQVDIAREALKRLAAAGSVKGALQGHELRRLALCLANHGELTRLNGLVSNLISAGLGREAAFSAAVLGDNALMEKSWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNKMLQKEVDHAPSQKIDAASAFLASLEEPKLTSLAEAGKKPPIEILPPGMPSLSALITTQKKLTPGIQSSQQKPLQLEGPPTATPEAVIVSAATTATSEAPEDASAATTTTSAATENAPPSTTPEAGSSPLPSEASKPTVDDKAPTSSPGSNSDQIAPVESIPETIASDLALPPQIPDNQGASVPASTTLPMSDVLG >Potri.006G081800.5.v4.1 pep chromosome:Pop_tri_v4:6:6067822:6082626:-1 gene:Potri.006G081800.v4.1 transcript:Potri.006G081800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081800.v4.1 MLRLRAFRPSNDKIVKIQLHPTHPWLVTADASDRVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGESEPRGKSTEAMRGGSVQQVNFYDDDVRFWQLWRNRSAAAEAPSAVSNVTSAFASPAPSTKGRHFLVICCVNKAIFLDLVTMRGRDVPKQELDNKSLICMEFLCRSTAGDGPPLVAFGGSDGVIRVLSMISWTLVRRYTGGHKGSISCLMTFMASSGEALLVSGGSDGLLVLWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGAPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLIPPQALAPNKKLRVYCMVAHPLQPHLVATGTNIGVIVSEFDARSIPAVAPIPTPTGNREHSAIYVVERELKLLNFQLSNAANPSLGSNGSLSETGKYRGDSAEPLHVKQMKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFTIYKVSDWSVVDSGSARLLAWDTCRDRFAILESALPPRMPIIPKGGSSRKAKEAAAAAAQAAAVAASAASAASVQVRILLDDGTSNILMRSIDGRSEPVIGLHGGALLGVAYRTSRRISPVAATAISTIQSMPLSGFGSGGLSSFTTLDDGFNSHKSPAEAAPQNFQLYSWETFQPVGGLLPHPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIPYATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETRKRKEEMKMKEAQARAVAEHGDLALIAVDGLQSATQERIPLRPPMLQVVRLASFQHAPSVPPFLTLPKQTKVDGDDSAMPIEEKKINEIAVGGGGVSVAVTRFPTEQKRPVGPLVVVGVRDGVLWLIDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMMGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDIGQDGIGLDLNDILNITAKKENIVEAVQGIVKFAREFLDLIDAADATAQVDIAREALKRLAAAGSVKGALQGHELRRLALCLANHGELTRLNGLVSNLISAGLGREAAFSAAVLGDNALMEKSWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNKMLQKEVDHAPSQKIDAASAFLASLEEPKLTSLAEAGKKPPIEILPPGMPSLSALITTQKKLTPGIQSSQQKPLQLEGPPTATPEAVIVSAATTATSEAPEDASAATTTTSAATENAPPSTTPEAGSSPLPSEASKPTVDDKAPTSSPGSNSDQIAPVESIPETIASDLALPPQIPDNQGASVPASTTLPMSDVLG >Potri.006G081800.6.v4.1 pep chromosome:Pop_tri_v4:6:6067829:6081877:-1 gene:Potri.006G081800.v4.1 transcript:Potri.006G081800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081800.v4.1 MLRLRAFRPSNDKIVKIQLHPTHPWLVTADASDRVSVWNWEHRQVIYELKAGGVDERRLVGAKLEKLAEGESEPRGKSTEAMRGGSVQQVNFYDDDVRFWQLWRNRSAAAEAPSAVSNVTSAFASPAPSTKGRHFLVICCVNKAIFLDLVTMRGRDVPKQELDNKSLICMEFLCRSTAGDGPPLVAFGGSDGVIRVLSMISWTLVRRYTGGHKGSISCLMTFMASSGEALLVSGGSDGLLVLWSADHGQDSRELVPKLSLKAHDGGVVAVELSRVIGGAPQLITIGADKTLAIWDTISFKELRRIKPVPKLACHSVASWCHPRAPNLDILTCVKDSHIWAIEHPTYSALTRPLCELSSLIPPQALAPNKKLRVYCMVAHPLQPHLVATGTNIGVIVSEFDARSIPAVAPIPTPTGNREHSAIYVVERELKLLNFQLSNAANPSLGSNGSLSETGKYRGDSAEPLHVKQMKKHISTPVPHDSYSVLSVSSSGKYLAIVWPDIPYFTIYKVSDWSVVDSGSARLLAWDTCRDRFAILESALPPRMPIIPKGGSSRKAKEAAAAAAQAAAVAASAASAASVQVRILLDDGTSNILMRSIDGRSEPVIGLHGGALLGVAYRTSRRISPVAATAISTIQSMPLSGFGSGGLSSFTTLDDGFNSHKSPAEAAPQNFQLYSWETFQPVGGLLPHPEWTAWDQTVEYCAFAYQHYIVISSLRPQYRYLGDVAIPYATGAVWHRRQLFVATPTTIECVFVDAGVAAIDIETRKRKEEMKMKEAQARAVAEHGDLALIAVDGLQSATQERIPLRPPMLQVVRLASFQHAPSVPPFLTLPKQTKVDGDDSAMPIEEKKINEIAVGGGGVSVAVTRFPTEQKRPVGPLVVVGVRDGVLWLIDRYMCAHALSLSHPGIRCRCLAAYGDAVSAVKWASRLGREHHDDLAQFMMGMGYATEALHLPGISKRLEFDLAMQSNDLKRALQCLLTMSNSRDIGQDGIGLDLNDILNITAKKENIVEAVQGIVKFAREFLDLIDAADATAQVDIAREALKRLAAAGSVKGALQGHELRRLALCLANHGELTRLNGLVSNLISAGLGREAAFSAAVLGDNALMEKSWQDTGMLAEAVLHAHAHGRPTLKNLVQAWNKMLQKEVDHAPSQKIDAASAFLASLEEPKLTSLAEAGKKPPIEILPPGMPSLSALITTQKKLTPGIQSSQQKPLQLEGPPTATPEAVIVSAATTATSEAPEDASAATTTTSAATENAPPSTTPEAGSSPLPSEASKPTVDDKAPTSSPGSNSDQIAPVESIPETIASDLALPPQIPDNQGASVPASTTLPMSDVLG >Potri.002G110300.3.v4.1 pep chromosome:Pop_tri_v4:2:8235145:8239416:1 gene:Potri.002G110300.v4.1 transcript:Potri.002G110300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110300.v4.1 MSNQGVQLHIQERHVVMDNGILQVTLSKPEGIVTGIQYNGISNLLEVLNDESNRGYWDLVWSKEGSTGTTGTSDAIKGESFRVVVENEEQVEISFTRMWDPSLEGKLAPLNIDKRFIMLRNSSGFYSYAIYEHFKEWPAFNLPQTRIVFKLRKDKFHYMAVADNRQRCMPLPEDRLPKRGEPLAYPEAVLLVNPVEAEFKGEVDDKYQYSCENKDLQVHGWICFNPPVGFWQITPSNEFRSGGPLKQNLSSHVGPISLAMFLSAHYSGEDMVLKLKPGEPWKKVFGPVFIYLNTLLDDQNEPRWLWEDAKKQMLIEVQNWPYSFPASEDFPSSEQRGCVNGRLQVQDRFISDYCIPGNGACVGLAPPGDIGSWQRECKGYQFWTKADPEGYFSINDIRTGDYNLYAWIPGFIGDYRNDEVITITPGCDLDLGDVIYKPPRDGPTLWEIGIPNRSAAEFYVPDPDPKYINELYVNHPDRFRQYGLWERYADLYPDEDLVYTVGTSDYAKDWFFAQVTRKKDDNSYQGTTWQIKFKLDNVQNSGSYKLRLALATANVAELQVRINSLETNPWSTGVIGHDNTIMRHGIHGLYWLYNIEVPGSELVEEDNTIFLTQTMATSPFQGIMYDYIRLEGPPSSNSDN >Potri.006G090300.1.v4.1 pep chromosome:Pop_tri_v4:6:6895796:6898369:1 gene:Potri.006G090300.v4.1 transcript:Potri.006G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090300.v4.1 MKALILVGGFGTRLRPLTLSVPKPLVDFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKEYEKRLEIKITCSQETEPLGTAGPLALARDKLIDDSGAPFFVLNSDVISEYPLKQMIEFHKGHGGEASIMVTKVDEPSKYGVVLMEETSGKVEKFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAENKLFAMVLPGFWMDIGQPKDYVTGLRLYLDSLRKMSSPKLATGPNIVGNVLVDESAVIGEGCLIGPDVAIGPGCIIDSGVRLSRCTVMRGVRIKKHACISSSIIGWHSTVGRWARIENMTILGEDVHVSDEVYSNGGVVLPHKEIKSSILKPEIVM >Potri.011G044700.1.v4.1 pep chromosome:Pop_tri_v4:11:3495199:3495375:-1 gene:Potri.011G044700.v4.1 transcript:Potri.011G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044700.v4.1 MAGARRLMSYNGGKVSRGFVSRPIPKRGQLKVAIVVGFAHCFASFFSHNTSRRAASHI >Potri.018G141000.1.v4.1 pep chromosome:Pop_tri_v4:18:14615622:14619333:1 gene:Potri.018G141000.v4.1 transcript:Potri.018G141000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141000.v4.1 MADLLLSFFLLLALLLSTTTTTTADDAGTMLKLASALTPTPKGWSTTNTNGYCKWNGVKCDNSNNVISINLASQGLSGTLPSELSTLSQLQSLSLQDNKLIGPLPSLANLAFLREVYIGTNNFTSIPADFFKGLTSLQTLSMDANINLEPWVLSTDLTESSSLNTFEASHANIFGAIPNMFASFPSLQNLRLSYNNLTGGLPPSFANSGIQNLWLNNQEMGLSGTIEVLPSMEQLSQVWLQKNQFTGPIPDFSKSKSLFDLQLRDNQFTGIFPVSLSSQAGLLNISFYNNKLQGPVPQFGKGVKVDNSGLNNFCVDTAGVACHPQVTTLLEIAGGFGYPVMLSDSWKGNDACNGWPFVTCDSQKKTVITVSLGKQHFGGIISPAFVNLTTLTTLKLNDNNLSGPIPDSLIKLSQLSLLDVSNNNLTGKIPAFASSVKLTITPGNPFLGSGGGSGSGGTPSSGSDSNTTTPGGVPNGRGNGGKKVSPGVIAGVVGIVIVGAIGFFVLFKVNRKKKRGKSGRVNDQESGNGINALVKNGSSCCTNGYGVLSEIQSQSSGNHSGRHFFEGGNVVISIEVLRQVTDNFSENNILGKGGFGVVYKGELHDGTKIAVKRMESGAMGTKGMNEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLAQHLFEWQELGYPPLTWKQRVTIALDVARGVEYLHSLAQQSFIHRDLKPSNILLGDDMRAKVADFGLVKNAPDGKYSMETRLAGTFGYLAPEYAATGRVTTKVDVYAFGVILMEIMTGRKALDDTVPDERAHLVTWFRRVLVNKDNLPKAIDQTLNPDEETFVSIFKVAELAGHCTAREPHQRPDMGHAVNVLGPLVEQWKPTNHEEEGNSGIDLHMSLPQFLQRWQADEGTSTTFNNMSYSQSQSSIPGGFSDSFTSTDCR >Potri.012G124700.2.v4.1 pep chromosome:Pop_tri_v4:12:14167166:14170937:1 gene:Potri.012G124700.v4.1 transcript:Potri.012G124700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124700.v4.1 MIRWIKRKRGNIKVLELEQRSISYEGHDEVSAERNFLKDQQSVGEKEVNGFENQIRSKDEEIRRLEHELALKDEEMRHLKSQLDLKEKEFARLRIAIQSACTDLGNAVTTVEDAFKSTNTVLRRKVAFIHPSREAIELNC >Potri.003G053400.1.v4.1 pep chromosome:Pop_tri_v4:3:7871858:7874422:1 gene:Potri.003G053400.v4.1 transcript:Potri.003G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053400.v4.1 MASRRRMLLKVIILGDSGVGKTSLMNQYVNRKFSNQYKATIGADFLTKEVQFEDRMFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNVMKSFDNLNNWREEFLIQASPSDPENFPFVVLGNKIDVDGGNSRVVSEKKAKAWCAAKGNIPYFETSAKEGFNVDAAFQCIAKNALKNEPEEEIYLPDTIDVGGGGRQQTSTGCEC >Potri.001G224200.1.v4.1 pep chromosome:Pop_tri_v4:1:24211508:24212683:1 gene:Potri.001G224200.v4.1 transcript:Potri.001G224200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224200.v4.1 MAKRYRTRLYERKCLEEPSSTPTLPAEIFVDILSRLPVEAMTQCKSVCKSWRDLLSTPYFARLHFAKAKPSSLLFCHCSGNKTKLYCCHIHSSRGSNINNAMVSMPTKFKLPKNTFRGKVVGSSNGLLCLSEIHRMKKKFYICNPITGEYIGIAGPKVEQGWHVFEPIGFFYNPQNQQCKILMPRVRVGYGNFPGSGQIFTLGSNSWRNIDIPGHLHLIRAVPLNGALHWISTRDDRHISSFDMENEQALSIALPDQVVMHTASLAGLGNFLCIFDNEYPEFNIWVMKEYGVEESWKHYTVKRSPNSHYRPVAIKEDASILLIQNSETLISYDPKTKESRALYDQIGDRRFVYIHTPSLVPLKDILIGAGHYKILNVRKRKRCSTINFLEF >Potri.013G155400.1.v4.1 pep chromosome:Pop_tri_v4:13:15054491:15056858:-1 gene:Potri.013G155400.v4.1 transcript:Potri.013G155400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155400.v4.1 MEHVTNKLSKPSSNISEMVSKFAKVCKWRSIGVFSNDHHYQHNLIGNNDGSSMGEDSSDATEETEFDGEKIHPQPVVVPSKSNMYGDKDIVELFDTVSALKLAYVQLQEAHIPYDPDKIVSADELVVAQLEALCKSKKAFKEKQFSKTKLDSSTFASLRSEIDVIEKLLEKLKSQDRDRDAEIVRLRQELLDLDAGNAVLVEKIREKSLERRNVTILNVAMFEDTFKRASKAIHDFARPIISLMQASGWDLHLAANSIEDGVLYAKRSDKKYAFEAYIARRMFNGMTLRSYDVDDVLRFDDPIDSLIANPNPGFANFCAEKYMLVVHPMMEMSFFRNLDQRMFILSGKHPRTPFYQIFARMAKWIWILQGIATSIDPNAQIFSVHRGSKFSDVYMEPVQENKEGMIVSEGEQSNFKVEFMVMPGFRIGSTFVKSRVYLSETKQPAGT >Potri.003G194100.1.v4.1 pep chromosome:Pop_tri_v4:3:19685544:19689352:-1 gene:Potri.003G194100.v4.1 transcript:Potri.003G194100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194100.v4.1 MEAAGRALCARDPFNPLITTSTQAAGILVISHCFHLFLKQFGQPGPVAQILAGIVLGPSLLSRITKVNQFFIQSSTEDYYKVLQFIFRTIFMFLLGLEMDIPYMRRNLRKASIIASGGLIIGVLFGIVAFISLIILLRIKASFDYASSIIIVLANSASPVVFRLAAELKFLTSDTGRMAVCASLISEMSCVLLGSIVHSASWEYFGKGILLLLLTIALIVVNKYLAFWCNQRTRNQKYVTHAEFLVFLSLLVTAALVIEKYGYISTASSFLFGLMFPREGKTTRSLLHKLSYATYNFILPVYFGCIGFQFDVSYMGSFNSFIMVIMMIFMSIASKIIGTLFACHYLKIPTDEGIVLGFLLDLKGNAEFHIMRNLPKDTLNPMDQENVQNLVVSVVVMNTVIAGVVVAHILRKKEEYFSHSHTSLELGEHESELRMLACVYGSRHISSKIGLISAFSESLKTPVTAYLMHLVELPKKRTKKNLMYHQLQDGDRYSDEEDYGGNDVVEINDAVDAYTMETKVLIHQRKVVSSFERMYEDVCDSIEDLRVSIIFLTFHKHQRLDGKMESGKVGMRITNHKILRHAPCSVGIFVDRGQTGFQQPSSQSVQNIAALFFGGSDDREALACCKMISNHPHIHLTLIHFQHSPSSEQTGSNNGTPHRDDELLMDMSSHDIEADIDRAVVQDFYNRYVTPGQVGYVEKYVENGTQTAEALRDIHDTYSLLIVGKGGRGHSPMTTGMSDWEECPELGTVGDLLASSELNTNSSVLVIQQYRHSRNDLN >Potri.014G103500.6.v4.1 pep chromosome:Pop_tri_v4:14:6916242:6924000:-1 gene:Potri.014G103500.v4.1 transcript:Potri.014G103500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103500.v4.1 MGQCYGKTSPANENDATTVTIVASSTDHNQPTSLPSSTSRNGVLSVKNTPARSSSTHPSPWPSTYPHGVAASPLPGGVSPSPARASTPRRFFRRPFPPPSPAKHIAASLVKRLGGGGKPKEGPIPEHGGVEAEQQQQQQQSLDKSFGYSKNFGAKYELGKEIGRGHFGHTCSATVKKGELKDQTVAVKIISKAKMTTAISIEDVRREVKILKGLSGHRHLVKFYDACEDANNVYIVMELCEGGELLDRILARGGRYTEEDAKAIIVQILCVVAFCHLQGVVHRDLKPENFLFTSGSEDADMKLIDFGLSDFIRPDERLNDIVGSAYYVAPEVLHRSYSLEADIWSIGVITYILICGSRPFWARTESGIFRAVLRSDPNFEDLPWPSVTPEAKDFVKRLLNKDYRKRMTAVQALTHPWLRDDSRPINLDILIYKLVKAYLHATPFKRAALKALSKALTEDELVYLRAQFSLLEPNGDGSVSLDNFRMALVRNATDAMRESRAPEILNAMESLAYRKMYFEEFCAAAISTYQLEALEGWEQIAFTAFEHFEQEGNRVISVEELARELNVGPSAYTFIKDWIRNSDGKLSLIGYTKFLHGVTLRSSNTRPR >Potri.015G039700.1.v4.1 pep chromosome:Pop_tri_v4:15:3532050:3534801:1 gene:Potri.015G039700.v4.1 transcript:Potri.015G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039700.v4.1 MADSYDEECDYLFKAVLIGDSAVGKSNLLSRFSRDEFRLDSKPTIGVEFAYRNIRVGDKLIKAQIWDTAGQERFRAITSSYYRGALGALLVYDITRRATFDNVKKWLHELRDFGSSDMVVVLVGNKSDISHLREVDEDDGKNLAETEALYFMETSALENLNVEEAFMQMITRIHEIASQKSLEAKKNETTISTIKALPVGKEVINIDDEVSATKQASYCCSY >Potri.004G005500.7.v4.1 pep chromosome:Pop_tri_v4:4:337611:344099:1 gene:Potri.004G005500.v4.1 transcript:Potri.004G005500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G005500.v4.1 MNKKGLSILMRAKMRPNNDPSKLSPFSPTLSNGINQMMTDPPRNQRSTDDSRSNFSASGNHYERNFDIVKDSMGLAISLNKTESLDVVLDDFSQGYFSLSNENRKKLVIVLAKEYDLNRIQVRELLKQYLGLELRSGNEGELSNVDDENMFSAFYRIERNLRQALKPTYNVLFERLNNYTGGLKFLSLLRADILSFLGEENIALLRALDSCLKEKLSTWLSPAVLELHQITWDDSASLLEKIVTYEAVHPISNLLDLKRRLGVGRRCFGYFHPAIPGEPLIFIEVALLKNVAQTIQEVLLDVPPIPEHEAACALFYSISSTQPGLAGINLGKFLIKRMITLVKRDMPQISTFATLSPIPGFMQWLLSKLASQSVIAKVDNMEQPTGGSGSTFQENLLEPDEERMLLNSALETYAGKNGMEVMLNLLTSKNYEWTSSAELLSALKPPLMRLCARYLLQEKKRGKALDSVANFHLQNGAMVERLNWMADRSERGLRQSGGIMVNYVYRVECIEQYAQSYFSTGHIHASSDIRYYIMAQKEPEETTTA >Potri.006G073400.1.v4.1 pep chromosome:Pop_tri_v4:6:5366890:5367093:-1 gene:Potri.006G073400.v4.1 transcript:Potri.006G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073400.v4.1 MCFVFLCDEEEKELGRQQASGSCPHCGGKVQAVDFEGRWRFCFLPICYKMKRKYFCTLCSRRLELSP >Potri.010G127101.1.v4.1 pep chromosome:Pop_tri_v4:10:14425151:14426349:-1 gene:Potri.010G127101.v4.1 transcript:Potri.010G127101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127101.v4.1 MAPKSDITEAIVLNFVNEFNLKKAAIQKTLGNLSDNGKMSFKEYGKQKIHLARKDHFNIPNSQELNQMKEENAKLQQQLDEQKRAISEVEGGLFYTRPLCVPKKRSTANKNMMGAGLMYTIAEIRTLESNLTLQQIHDKEAKLRKRVNYAWAFEVLL >Potri.005G134200.1.v4.1 pep chromosome:Pop_tri_v4:5:10292558:10293508:1 gene:Potri.005G134200.v4.1 transcript:Potri.005G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134200.v4.1 MIQELLGGAGLISGERKIPINATILDQGAPSPSPSSSTTTTTSATNSTPSAQEKLRCPRCDSSNTKFCYYNNYNLTQPRHFCKTCRRYWTKGGALRNVPIGGGCRKNKNTSVSASVGKSGTSKIKTIASEIGRSGFGNGFDYELSSSPIMRASPQNSHIFALLRATQNPNPSTPCNSISVKQEGFLIGKHMISEPAVETAALNARILGLDPISQVSSLGLCSPSWKSNQHQQNRYTVGEAQNSGIQELYQRLRSSTNYYTDNPSAIVLSNVTTSSSTSTSTSSTILESAPVAGGEFSYWNQAFSWSGLPTTNGAYP >Potri.010G229600.1.v4.1 pep chromosome:Pop_tri_v4:10:21234274:21236713:-1 gene:Potri.010G229600.v4.1 transcript:Potri.010G229600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229600.v4.1 MAGSFSKGWNNSYDYSFKILLIGDSGVGKSSLLLSFISNSVRDLSPTIGVDFKIKVLTLGGKRLKLTIWDTAGQERFGTLTSSYYRGAHGIILVYDVTRRETFENLSDIWAEEVELYTNQKCIKILVGNKVDRDSERVVSREEGMALAQEHKCSFLECSAKTRENVLQCFKELTLKISEVPSLLENGSVVVRQQILKEKQVYQAPRGGGCCS >Potri.002G164900.1.v4.1 pep chromosome:Pop_tri_v4:2:12618564:12620303:1 gene:Potri.002G164900.v4.1 transcript:Potri.002G164900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164900.v4.1 MEGHEAPPPPPQLPQLAPSPNPSYLLTPLLLPSSSLQYPSIIEPQVLPDIDWVGLLSGQSQLGEKRPVMESASMVAENGAEEERGNKDEKKGGRMKKATRPRFAFQTRSADDILDDGYRWRKYGQKAVKNSKYPRSYYRCTHHTCNVKKQVQRLSKDTSIVVTTYEGVHDHPCEKLMETLTPLLKQMQFLARF >Potri.009G056400.3.v4.1 pep chromosome:Pop_tri_v4:9:5979730:5981237:-1 gene:Potri.009G056400.v4.1 transcript:Potri.009G056400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056400.v4.1 MAAEQDDTVFDQDLEVDDEDDDDQSSQSQNDDLEDDLEIENDDTLPENDDVLSEELLEDDDTSTSAAVTTASISAVTTASIPAVTTTTITTTMVATDSTLDASKRQRVSPETTVLSQDSKKPQFDDTRRLFQRLWTDEDEIELLQGFLEYTTKGTANHHHNDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVLSKINSGKDFCFKSSHDQATFEISRKIWSSTGKIAGFSNEDGNNLDDDDGGNPNYNNHTVMMDGDVVVKIEDHKSTPRSRKRSRSRSVGVKMEENRVLNDGFVGNETNVTGGGGWGWEWECVECD >Potri.009G056400.2.v4.1 pep chromosome:Pop_tri_v4:9:5979973:5981209:-1 gene:Potri.009G056400.v4.1 transcript:Potri.009G056400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056400.v4.1 MAAEQDDTVFDQDLEVDDEDDDDQSSQSQNDDLEDDLEIENDDTLPENDDVLSEELLEDDDTSTSAAVTTASISAVTTASIPAVTTTTITTTMVATDSTLDASKRQRVSPETTVLSQDSKKPQFDDTRRLFQRLWTDEDEIELLQGFLEYTTKGTANHHHNDTALFYDQIKSKLQLDFNKNQLVEKLRRLKKKYRNVLSKINSGKDFCFKSSHDQATFEISRKIWSSTGKIAGFSNEDGNNLDDDDGGNPNYNNHTVMMDGDVVVKIEDHKSTPRSRKRSRSRSVGVKMEENRVLNDGFVGNETNETMRSCLSPLFKELSSNMAGAGMGGVGRGVGRLAMNAMPLSFGAGDAMMMDEKWRKQHILELEVYSKRLELVQDQIRAQLNELRSMGD >Potri.010G202500.1.v4.1 pep chromosome:Pop_tri_v4:10:19468568:19470350:1 gene:Potri.010G202500.v4.1 transcript:Potri.010G202500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202500.v4.1 MAIRSTICVASLVLFLTLVEARIPGVYTGGAWQSAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCGNDPQWCHSGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPVFLKIAEYRAGIVPVSYRRVPCRKPGGIRFQINGHRYFNLVLITNVAGAGDIVKVSVKGSKTGWMSMSRNWGQNWQSNSVLVGQSLSFRVTGSDRRTSTSWNVVPSNWQFSQTFVGKNFRV >Potri.003G090000.1.v4.1 pep chromosome:Pop_tri_v4:3:11635061:11640373:-1 gene:Potri.003G090000.v4.1 transcript:Potri.003G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090000.v4.1 MGCTAREKHVRANRRVPRPANYTEFDPCVYAKTLLESGLKPLAYHLGLHDPTHSNNDNNSNSNFDDNGWGYCTEEQLEEILLKNLEFLYKEAISKLVGLGYDEDVALKAILRNGHCYGGMDVLTNILHNSLAFLNNNNNNNNCGGGGGGSTGNADETELVFDDLRQLEEYSLAGLVCLLQQVKPHLSKGDAMWCLLMSDLHVGRASALEIPIASLPGNGSGNVQTNVESVGGDDNGNGIGVVAPALCRFHGGWGFGSGGGSEFSVNGLFSYSAEMSLHKDIECPKRFNLSPSMKSLLKRNVAIFAAGFRANSKQMQMQPQLQSQACMSVTAGGDAAPVVKANEGMVEKGEESQNLKNEDGVSLMLSKLQNLKLDENLEIVGEDQKDEMMVTLLQQIKDLEKQLKERKEWAHQKAMQAARKLSSDLTELKMLRMEREETQRLKKGKQTLEDSTMKRLSEMENALRKASSQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKREKKCLKRLLAWEKQKTKLQAEIADEKEKIKELQRCLGKIEQAQKEAEVKWRQEMKAKEQALTLVEEERCAKEAAEAENKRKLEALRLKIEIDFQRHKDDLQRLEQEFSRLKSAAESTELNNQSNALPSGKSERAKPQGETIARLLHELDKLENSSEKGANCDRECMICLKDEVSVVFLPCAHQVICASCSDNYGKKGKATCPCCRVPIEQRIRVFGASS >Potri.003G090000.3.v4.1 pep chromosome:Pop_tri_v4:3:11635049:11640447:-1 gene:Potri.003G090000.v4.1 transcript:Potri.003G090000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090000.v4.1 MGCTAREKHVRANRRVPRPANYTEFDPCVYAKTLLESGLKPLAYHLGLHDPTHSNNDNNSNSNFDDNGWGYCTEEQLEEILLKNLEFLYKEAISKLVGLGYDEDVALKAILRNGHCYGGMDVLTNILHNSLAFLNNNNNNNNCGGGGGGSTGNADETELVFDDLRQLEEYSLAGLVCLLQQVKPHLSKGDAMWCLLMSDLHVGRASALEIPIASLPGNGSGNVQTNVESVGGDDNGNGIGVVAPALCRFHGGWGFGSGGGSEFSVNGLFSYSAEMSLHKDIECPKRFNLSPSMKSLLKRNVAIFAAGFRANSKQMQMQPQLQSQACMSVTAGGDAAPVVKANEGMVEKGEESQNLKNEDGVSLMLSKLQNLKLDENLEIVGEDQKDEMMVTLLQQIKDLEKQLKERKEWAHQKAMQAARKLSSDLTELKMLRMEREETQRLKKGKQTLEDSTMKRLSEMENALRKASSQVDRANAAVRRLETENAEIRAEMEASKLSASESVTTCLEVAKREKKCLKRLLAWEKQKTKLQAEIADEKEKIKELQRCLGKIEQAQKEAEVKWRQEMKAKEQALTLVEEERCAKEAAEAENKRKLEALRLKIEIDFQRHKDDLQRLEQEFSRLKSAAESTELNNQSNALPSGKSERAKPQGETIARLLHELDKLENSSEKGANCDRECMICLKDEVSVVFLPCAHQVICASCSDNYGKKGKATCPCCRVPIEQRIRVFGASS >Potri.002G029200.1.v4.1 pep chromosome:Pop_tri_v4:2:1965404:1968913:-1 gene:Potri.002G029200.v4.1 transcript:Potri.002G029200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G029200.v4.1 MNLILYQSFMQQSLDKFPQELQHQTTMEESMPTSLVSNGCVDIRGRIADKRTTGGWKAAPFIIVNEVAERLAFFGIAVNMVAYLVFEMHQSLPNAASHVTDWIGAAYVLTLFGAFCADAYLGRFRTIIVFSCIYTVGMVLLTLSASIDSLRPPKCMVRLCPQATDGQTGFLYGALALIALGTGGIKPCVSSFGADQFDEADEEELPKKYAFFNWFFFAINMGAILGITVLVYIKEKKGWALGFGLPTGAMVISTIILAAGIPFYRFQRPMGSPFTRFVQVMVASVRNHLNGVQVRHQTELYEVNTKESDIKGSQKLFHTLQYSFLDKAAVVTDSEADTGNRWRLCTVTQVEEFKSFIRILPVWASTIAFSISFAQLSTFFTSQANIMDRKLSSNFKIPAASVPVFSTLNALILVPIYEKVIVPILRKRTGHTRGITSLQRIGVGLFISIFALIAAALVEKKRRDSPNPSGMSVFWLFPQFFLIGSAEVFTYVGQLEFFYDEATDGTRSISSAVFLSEIGIGSWFSTAIVKIIESATGGEDKGWLRNNLNKSRLDYFYWVLTVINAVNFVVYLWISVVYKSRGGAVGTVRDEGVVDMGDDGD >Potri.002G029200.2.v4.1 pep chromosome:Pop_tri_v4:2:1965470:1968739:-1 gene:Potri.002G029200.v4.1 transcript:Potri.002G029200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G029200.v4.1 MVLLTLSASIDSLRPPKCMVRLCPQATDGQTGFLYGALALIALGTGGIKPCVSSFGADQFDEADEEELPKKYAFFNWFFFAINMGAILGITVLVYIKEKKGWALGFGLPTGAMVISTIILAAGIPFYRFQRPMGSPFTRFVQVMVASVRNHLNGVQVRHQTELYEVNTKESDIKGSQKLFHTLQYSFLDKAAVVTDSEADTGNRWRLCTVTQVEEFKSFIRILPVWASTIAFSISFAQLSTFFTSQANIMDRKLSSNFKIPAASVPVFSTLNALILVPIYEKVIVPILRKRTGHTRGITSLQRIGVGLFISIFALIAAALVEKKRRDSPNPSGMSVFWLFPQFFLIGSAEVFTYVGQLEFFYDEATDGTRSISSAVFLSEIGIGSWFSTAIVKIIESATGGEDKGWLRNNLNKSRLDYFYWVLTVINAVNFVVYLWISVVYKSRGGAVGTVRDEGVVDMGDDGD >Potri.001G265300.2.v4.1 pep chromosome:Pop_tri_v4:1:28032526:28035086:-1 gene:Potri.001G265300.v4.1 transcript:Potri.001G265300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265300.v4.1 MEGEEGTSAKSENKRRLRRICVFCGSRAGYKSSFSDAALELGKQLVKRKIDLVYGGGSGGLMGLISQTVFNGGCHVLGVIPKALMSHEISGETVGEVIAVADMHQRKAEMAKHADAFIALPGGYGTMEELLEIIAWSQLGIHEKPVGLLNADGYYDSLLALFDKGVEEGFIRDTARHIVITAETAAELIEKMEYAPVHDKVAPRQSWEVDQLSEPRPSGNP >Potri.001G265300.1.v4.1 pep chromosome:Pop_tri_v4:1:28032526:28035086:-1 gene:Potri.001G265300.v4.1 transcript:Potri.001G265300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265300.v4.1 MEGEEGTSAKSENKRRLRRICVFCGSRAGYKSSFSDAALELGKQLVKRKIDLVYGGGSGGLMGLISQTVFNGGCHVLGVIPKALMSHEISGETVGEVIAVADMHQRKAEMAKHADAFIALPGGYGTMEELLEIIAWSQLGIHEKPVGLLNADGYYDSLLALFDKGVEEGFIRDTARHIVITAETAAELIEKMEQYAPVHDKVAPRQSWEVDQLSEPRPSGNP >Potri.005G108500.4.v4.1 pep chromosome:Pop_tri_v4:5:7829437:7833735:-1 gene:Potri.005G108500.v4.1 transcript:Potri.005G108500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108500.v4.1 MLSRLINFLRACWLPSSDRYVHTGSEAAGRQDGLLWYKDTGQHMNGEFSMAVVQANNLLEDQSQIESGPLSTLDSGPYGTFVGIYDGHGGPETSRYVNDHLFQHLKRFTSEQQSMSVDVIRKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVAVICGGILYIANLGDSRAVLGRLVKATGEVLAIQLSSEHNVAIESVRQEMHSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNRAPLYAKFRLREPFKRPILSSEPSISVHELQPHDQFLIFASDGLWEHLSNQEAVDIVQNHPRNGIARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVAVVFLDANLVSRASTVKGPSVSVRGGGIHLPAKTLVPCATPMEINST >Potri.005G108500.1.v4.1 pep chromosome:Pop_tri_v4:5:7829515:7833666:-1 gene:Potri.005G108500.v4.1 transcript:Potri.005G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108500.v4.1 MLSRLINFLRACWLPSSDRYVHTGSEAAGRQDGLLWYKDTGQHMNGEFSMAVVQANNLLEDQSQIESGPLSTLDSGPYGTFVGIYDGHGGPETSRYVNDHLFQHLKRFTSEQQSMSVDVIRKAYQATEEGFLSLVTKQWPMKPQIAAVGSCCLVAVICGGILYIANLGDSRAVLGRLVKATGEVLAIQLSSEHNVAIESVRQEMHSLHPDDSQIVVLKHNVWRVKGLIQISRSIGDVYLKKAEFNRAPLYAKFRLREPFKRPILSSEPSISVHELQPHDQFLIFASDGLWEHLSNQEAVDIVQNHPRNGIARRLIKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVAVVFLDANLVSRASTVKGPSVSVRGGGIHLPAKTLVPCATPMEINST >Potri.003G137700.1.v4.1 pep chromosome:Pop_tri_v4:3:15482020:15487354:1 gene:Potri.003G137700.v4.1 transcript:Potri.003G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137700.v4.1 MAEGSAKVRLVRCPKCGNLLPELPDYSVYQCGGCGAVLRAKKKVTVNGGILEKSGMGWDEEGFEKLESLPEKEGGSLGNASETERESEGIINNRRKARTFEETNVNFVRSPLLKAEKKDILAANSNINVKEQHVGYHSGAEKEKPMKHPIDNWIRRDDNDMNMNRSESVSSSREKGIREISAQFKSSAEFFRPTRVMDQWGSDREGLGGGNHRVAVKQSKFPNFAYPEEGPSNYHLGSSSYGSKQPVKNYYNPDKIAYFEQDRAELLRRLDELQEQLSRSGSVGEKQRERIPMYSEIAPPDPYRHQDTSNSSMLHLTPDKHVANPPCFKYYGHGPAPYMNSHDMDTQNFYSPSKRSPNGIPAYEDLFQQQTPRMRPHQSPQQYLRQPPHDHFAGQHVDFSHKPLVSDSYGRSHHGPACPCFHCYNKNWHIPSQASPTTFSNKKFPKASTDFCFNQHINAVTHRPLLYHPQANPPALSPRDPQSHVRWPSDVESDMDGFPKSCPKKVVIARGNEQLCRSIAGGAPFISCCNCFELLKLPRKLKVREKNQRKLRCGSCSAFILLEIKSKRLITSVPAENKQMLAEAGISSHEVSKVLLNSDGCLNAGGTTCSDDFEDHGYDFQSADFKDVLSEERKLNTSKCEKRQSLASSSSISSEEEENLDSLVVERDFSYAAELPVKDEVPSTFQSSPFQEHSGDVLSSHAENKCEQGNRVGWTEQENVILEKNISQQSSVNVSVATEMEVSFNEYLNTSVSQDSAEVRNEENQLKINKGSEPFLLGFIKKSFRDFSRSNQHLPNEKLNVIINGKPIPDCMVKRAEKLAGPIQPGDYWYDVRAGFWGVTGEPCLGIIPPFIEEFNHPMPENCSAGNTSVFINGRELHQKDLDLLSSRRLPTEREKSYIVEISGRVFDQDTGKELDGLGRLAPTVEKAKRGFGMKVPRNLCN >Potri.003G137700.2.v4.1 pep chromosome:Pop_tri_v4:3:15482093:15487342:1 gene:Potri.003G137700.v4.1 transcript:Potri.003G137700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137700.v4.1 MAEGSAKVRLVRCPKCGNLLPELPDYSVYQCGGCGAVLRAKKKVTVNGGILEKSGMGWDEEGFEKLESLPEKEGGSLGNASETERESEGIINNRRKARTFEETNVNFVRSPLLKAEKKDILAANSNINVKEQHVGYHSGAEKEKPMKHPIDNWIRRDDNDMNMNRSESVSSSREKGIREISAQFKSSAEFFRPTRVMDQWGSDREGLGGGNHRVAVKQSKFPNFAYPEEGPSNYHLGSSSYGSKQPVKNYYNPDKIAYFEQDRAELLRRLDELQEQLSRSGSVGEKQRERIPMYSEIAPPDPYRHQDTSNSSMLHLTPDKHVANPPCFKYYGHGPAPYMNSHDMDTQNFYSPSKRSPNGIPAYEDLFQQQTPRMRPHQSPQQYLRQPPHDHFAGQHVDFSHKPLVSDSYGRSHHGPACPCFHCYNKNWHIPSQASPTTFSNKKFPKASTDFCFNQHINAVTHRPLLYHPQANPPALSPRDPQSHVRWPSDVESDMDGFPKSCPKKVVIARGNEQLCRSIAGGAPFISCCNCFELLKLPRKLKVREKNQRKLRCGSCSAFILLEIKSKRLITSVPAENKQMLAEAGISSHEVSKVLLNSDGCLNAGGTTCSDDFEDHGYDFQSADFKDVLSEERKLNTSKCEKRQSLASSSSISSEEEENLDSLVVERDFSYAAELPVKDEVPSTFQSSPFQEHSGDVLSSHAENKCEQGNRVGWTEQENVILEKNISQQSSVNVSVATEMEVSFNEYLNTSVSQDSAEVRNEENQLKINKGSEPFLLGFIKKSFRDFSRSNQHLPNEKLNVIINGKPIPDCMVKRAEKLAGPIQPGDYWYDVRAGFWGVTGEPCLGIIPPFIEEFNHPMPENCSAGNTSVFINGRELHQKDLDLLSSRRLPTEREKSYIVEISGRVFDQDTGKELDGLGRLAPTVEKAKRGFGMKVPRNLCN >Potri.001G013300.1.v4.1 pep chromosome:Pop_tri_v4:1:919914:925282:-1 gene:Potri.001G013300.v4.1 transcript:Potri.001G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013300.v4.1 MSPPPELVSKNRFLGFLIWQTFTSTTIYFLTKLFLLAFFTTPKFSPSQLCFSLLKFFTFTFSNLLFSSSLSILSSPQSLPYASPLQLAAGLVRFAFVSSPAEPEFRRRALVSARFVVFVVVAGISGALSVVCLCGFDGFELIARLGFRGFVFGVLYGLFDVYKKRWVLEFPIIQRPLFYSFKMGLPLAIKRALKLSNVAYLFLSVLQVFLPEQFKSGGTMGQFITEQIILYIGSFSVVFCWELSHHLHQVLHTKRFLFAPPKGSAAAETNPSEPLLAALEESIPDSLPQYLAYLDLCMVCENNVDTWRRAAFFEETGETYKRVVAACLRPLEQLASNLSEGLEGCFVDKAHQLSNQLQSPTDSQLDSRHCEPLNNFQKYAWCARAVASLTAWSHEEDRFGVAQLTGSNAAVTSTLISSLLAVEAFMGKKTSLQPQHLMGPAAIKWNTPNTGRRDVVTTKKQGGPQHAKAYAMADVLRTSVYSIVSTFHDEMFTSNKAGLFEKDWVIKSKPLFGTYELLVQKLHHFLDFRAN >Potri.001G013300.2.v4.1 pep chromosome:Pop_tri_v4:1:915292:925284:-1 gene:Potri.001G013300.v4.1 transcript:Potri.001G013300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013300.v4.1 MSPPPELVSKNRFLGFLIWQTFTSTTIYFLTKLFLLAFFTTPKFSPSQLCFSLLKFFTFTFSNLLFSSSLSILSSPQSLPYASPLQLAAGLVRFAFVSSPAEPEFRRRALVSARFVVFVVVAGISGALSVVCLCGFDGFELIARLGFRGFVFGVLYGLFDVYKKRWVLEFPIIQRPLFYSFKMGLPLAIKRALKLSNVAYLFLSVLQVFLPEQFKSGGTMGQFITEQIILYIGSFSVVFCWELSHHLHQVLHTKRFLFAPPKGSAAAETNPSEPLLAALEESIPDSLPQYLAYLDLCMVCENNVDTWRRAAFFEETGETYKRVVAACLRPLEQLASNLSEGLEGCFVDKAHQLSNQLQSPTDSQLDSRHCEPLNNFQKYAWCARAVASLTAWSHEEDRFGVAQLTGSNAAVTSTLISSLLAVEAFMGKKTSLQPQHLMGPAAIKWNTPNTGRRDVVTTKKQGGPQHAKAYAMADVLRTSVYSIVSTFHDEMFTSNKAGLFEKDWVIKSKPLFGTYELLVQKLHHFLDFRAN >Potri.004G024316.1.v4.1 pep chromosome:Pop_tri_v4:4:1737965:1738582:1 gene:Potri.004G024316.v4.1 transcript:Potri.004G024316.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024316.v4.1 MAPEYAMEGIFSVKSDVFSFGVILLEIISGKRSSGFYLAEHGQTLLAYAWRLWIEGKAMEFADPLLVERSPAEGILRCMHIGLLCVQKDPADRPTMSFVDLALASDPIALPQPQQPAFSLVKIVPADKSSSTDRSVNQMTVSSFLPR >Potri.006G152900.1.v4.1 pep chromosome:Pop_tri_v4:6:13583991:13588280:-1 gene:Potri.006G152900.v4.1 transcript:Potri.006G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152900.v4.1 MTKSQPLLSLLLSIFISGTISQSIIQLSRDHDVYCNGWRFSVETNDVGYWDHVPSRCVSYVQDYMTGDGYRSDSEVAASYALGFAKTVEIAGDGKDAWVFDVDETLLSNLPYYAVHGFGSEPFDELSFDEWVDLAKAPALQASLNLYKELKQLGFTVFMLTGRSEHQRNATAKNLQLEGYSDWERLILRESSDQGKPATFYKSQRRLELVNEGYRIHGNSGDQWSDLFGFAVSERSFKLPNPLYYIP >Potri.017G131600.1.v4.1 pep chromosome:Pop_tri_v4:17:13430525:13432522:1 gene:Potri.017G131600.v4.1 transcript:Potri.017G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131600.v4.1 MQEMGKQQALILIQKMVKIPPLQALSLFNSSIQQGFQHTHHSISFLLQHLLDHHKLPHAQSLILQILSNKISSPFFTVPSLLHHLTQNQNPSMTTALLYESIINAHLKSQLLDKALIFFNEMVDKGLVFRPNIFNSLLGSLVRSNCFEKAWLFFNELKERVKFDVYSFGIMIKGCCENGNLDKSFQLLGLLQDMGLSPNVVIYTTLIDGCCKNGDIERARLFFDKMGEMGLVANQYTFTVLINGLFKKGLKKDGFDLFEKMKINGLFPNLYTYNCLMNEYCGEGKICRAFDLFDEMRERGVEANVVTYNTLIGGMCREERVWEAEKLVDQMKKAAVSPNLITYNTLIGGFCDVGNLDKASSLLDQLKSNGLSPSLVTYNILIEGYSKAGNWKGVADLAREMEGRGISPSKVTCTVLIDAYVRLQEMEKAFQIYSSMEKFGLVPDVYVYGVLIHGLCMKGNMKESSKLFRSMGEMHVEPSDVIYNTMIHGYCKEDNSYRALRLLREMEAKGLVPNVASYSSIIGVLCKDGKWEEAEVLLDKMIELQLKPSASILNMISKAKNFTELKI >Potri.009G055000.1.v4.1 pep chromosome:Pop_tri_v4:9:5890520:5891930:-1 gene:Potri.009G055000.v4.1 transcript:Potri.009G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055000.v4.1 MENEICNLIKVWFSVFASVCYCYAIGKVVPKGTRRLIFLLPIVCLFLYLPLHLFAIHFRGPTAFFIAWLANFKLLLFAFGKGPLSSDNPSISLPHFVAVACLPIKIQHNVNDSSPKSHIHSQKLEDGKRSSPNYGVKTLLLAILVNVHNYSDYIHPKVVSLLYIMYLYIQLELLLAIAATMAKVLLGQELEPQFNEPYLSTSLQDFWGRRWNLMVSSILRLAVYEPTGNIASGLVGRKWARIPAVMGTFFVSGIMHELMFFYLGRDKLTMELTCFFLLHGLCLIVEGVLKKRFGGRWKLPTVISGLLTTGFVMLTGYWLFLPSMYSCKVFEKASAEYAQLVTFIENTIIHRH >Potri.016G024500.2.v4.1 pep chromosome:Pop_tri_v4:16:1373742:1375444:1 gene:Potri.016G024500.v4.1 transcript:Potri.016G024500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024500.v4.1 MEMGKKRMAVLVGCNYPNTQNELHGCINDVLAMKEVLVKRFGFDASHVQLLTDAPGSVVLPTGANIKRALGHMIDQAEAGDVLFFHYSGHGTWIPSNKPGHAFRQDEAIVPCDFNLITDVDFRQLVNRLPKGASLTILSDSCHSGGLIDKEKEQIGPNATITANNTAVHSHNPKAIPFESILQHLTSLTNINTSDVGTHLLEFFGSDASLKYRLPPLEWDLFDSLKPDEGILLSGCQANETSADMSPYEGGGKAYGAFSNAVQMVLKQHSGQLSNKQLVTMAREVLQAQGFEQQHPCLYCSDQNAIATFLWQPEF >Potri.016G128400.1.v4.1 pep chromosome:Pop_tri_v4:16:13157027:13161374:-1 gene:Potri.016G128400.v4.1 transcript:Potri.016G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128400.v4.1 MKRQKTLETNANNSNLFDLLSEEIVFTILDFIDTNSLDRKSFSLVCKSFYITESKHRKNLKPLRQELLPRVLNRYPHVNHLDLSLCPRINDNSLNVISNTCKDSLNSIDLSRSRFFSYNGLMSLASNCKNLVSIDLSNATELRDAAAAAVAEVKNLERLWLGRCKLITDMGIGCIAVGCKKLRLISLKWCIGVSDLGVGLIAVKCKEIRSLDLSYLPITNKCLPSILKLQHLEDIVLEGCFGIDDDSLAALKHGCKSMKALDISSCQHISHVGLSSLISGAGSLQQLTLSYSCPVTLALANSLKRLSMLQSVKLDGCAVTSAGLTAIGNWCITLSELSLSKCVGVTDEGLSSLVTKHKDLKKLDITCCRKITDVSIAYITNSCTNLTSLRMESCTLVPSEAFVLIGQRCQFLEELDLTDNEIDDEGLKSISRCSKLSSLKLGICLNISDEGLSHVGMKCSKLTELDLYRSAGITDLGILAISRGCPGLEMINMSYCIDITDSSLLSLSKCSRLNTFESRGCPLITSLGLAAIAVGCKQLIKLDIKKCHNIGDAAMLPLAHFSQNLRQITLSYSLVTDVGLLALASISCLQSMTVLHLKGLTPSGLAAALLACGGLTKVKLHLSFKSLLPLPLFEHLEARGCVFEWRDKEFQAELDPKCYKLQWEDIAQ >Potri.001G012401.1.v4.1 pep chromosome:Pop_tri_v4:1:863875:866913:1 gene:Potri.001G012401.v4.1 transcript:Potri.001G012401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G012401.v4.1 MVAFVKILLPRQGSPSKQRDIQLQRERERAERERESTSFARRCLLYSGKLNRAMAANGVFASSGKHGGKESRIKRMPHGKPPFTLGKIKKAIPPHCFERSLLRSFSYVVYDLCISFLLCYIAITYIDLLPSPLSCVAWPMYWILQGSILTGVWVISHECGHHAFSDYRWLDDTVGLILHSALLVPYFSWKYSHRRHHSNTGSLERDEVFVPKPKSRIAWYSKYLNNPPGRALSLVVTLLLGWPLYLAFNVSGRPYDRFACHYDPYGPIYSDRERLQIYISDLGIFAATFVLYSIAVSRGLAFLICIYGVPLLIANGFLVTITYLQHTHPALPHYDSSEWEWLRGALATMDRDYGILNKVFHNITDTHVAHHLFSNMPHYHAMEATKAIKPILGEFYQFDDTPIYKALWRETKECLYVDPDDGAPEKGVFWYRNKF >Potri.012G088500.10.v4.1 pep chromosome:Pop_tri_v4:12:11393196:11402986:1 gene:Potri.012G088500.v4.1 transcript:Potri.012G088500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088500.v4.1 MTSSMLTAERRWASARKGGMKVLGKVPVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTASSDRTHEPITNAWGSNSRPSSASGALTSNQTSPVPLRPRSAETRPGSSQLSRFAEPLSDNSVAWGTTGTAEKLGVTSSKNDGFSLTSGDFPTLGSEKEISGKNLESQEHGSYSRPGSSSSVVAPGNESTGNSAGDASIKTNAKIESANSWRRENPMYGEDGLRPNMEKWHLDPHLYPNSNIRHQNYDSWRGPPVNNHPGGVWYRGPPGGPPFAPPIAPGGFPIEPFPYYRPQIPPATLANPQQGPPPGSGPRGPHPKNGDVFRPHMHDAFIRPGMPFGHGFYPGPVPYENYYGPPVGYCNSNDRDIQFMGMTVGPAPYNRYSGQNTPDPGNSHGRPGGYGPSGHTMVSEQLESGHQQDTRGPYKVLKQHDGSEGKDEEHKWDAMMTTNTSYPGKADHQRKSSWENGWRADDKKNGERDTRRYGEEFSFEATDNQGGAKVKPLEHVGNWKAAADSSVKELEHSEHAASAFPEVPAAPKDPSLIRKIGLNAKAQASDGRQEVKFVSSREEQKNRLQVGNAKSNHSANEAGTSYVSQRTHVSGIVDAGFHEDRISAADKSLEVQNANETPSSRRSTQGMHGRSDHHGKGRFITQEPDRWQRRSQVVDSPCVLSSHFESSNVYRQDHSFAEATEKSGLCHQGKDDGVSVPPHPDPGDSQTHHATIQRIKQREKEEEEWEREQKAKALAKELNKWTKAAESLSEVLPEKPKVTHKESIVTHDQLEPLLQDVSHADADHPDNAPQIHDSRASKQKRVSYRQKQNGPLGKTSNDKLSSSTTEAPKNVTDIAANAPVSLEGVNKLTSNSESTLPINLTAMAESSVNHRRKNKNGKNKHKMDDASTLAVVTPTLSKESAAALDTSAGSGKSASESLLDPSSFQPQTDSRDGNQSMDQRTSSPNEEAHGRVNNQWKVQHFRRMPRNPQANKSTEKFPSGDAVIWAPVRSQSKIEAADEATQKNVADAIRAPMKSDQQVQNNARTKRAEIERYIPKPVAKEMAQQGSSPQSVAPLINQITPNETAGKPESGSPSVESSQTSSTGMGKVGSTLEAKNGDGRQNKSGKMHGSWRQRGSAESTTSFTSRNVQKSIEHQVQKPDVSSPKEQLSHSDEWNEPDGWNILENIDVPVTTLAIKDQGATARGRRQSYRGQKGTGYSHEPDEKRINTGDTEKVYVQTSGSEMHQADLPATSKENRSVGERSASHWQPKSQPFSATNQRGSRTNGGQNTGSEVGRGNKKDSTSQTFMPLLSQPGRDIATVKARPHPDRSLSEKSILEEVPRTAHQEGKNGRKIPSHKGRRPSSPVEPSPLNMDFQQEQRVSSGFQKNGNQNSRFGGEHDSRGEWSGSGKDNKQQNVPANRERQIQNTHYECQPVGPQNTYKANNFESSKDVSHNSVARSRERGQGRSRHGGGNSHGWQTGSSVRVDANYD >Potri.012G088500.11.v4.1 pep chromosome:Pop_tri_v4:12:11393289:11403319:1 gene:Potri.012G088500.v4.1 transcript:Potri.012G088500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088500.v4.1 MTSSMLTAERRWASARKGGMKVLGKVPVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTASSDRTHEPITNAWGSNSRPSSASGALTSNQTSPVPLRPRSAETRPGSSQLSRFAEPLSDNSVAWGTTGTAEKLGVTSSKNDGFSLTSGDFPTLGSEKEISGKNLESQEHGSYSRPGSSSSVVAPGNESTGNSAGDASIKTNAKIESANSWRRENPMYGEDGLRPNMEKWHLDPHLYPNSNIRHQNYDSWRGPPVNNHPGGVWYRGPPGGPPFAPPIAPGGFPIEPFPYYRPQIPPATLANPQQGPPPGSGPRGPHPKNGDVFRPHMHDAFIRPGMPFGHGFYPGPVPYENYYGPPVGYCNSNDRDIQFMGMTVGPAPYNRYSGQNTPDPGNSHGRPGGYGPSGHTMVSEQLESGHQQDTRGPYKVLKQHDGSEGKDEEHKWDAMMTTNTSYPGKADHQRKSSWENGWRADDKKNGERDTRRYGEEFSFEATDNQGGAKVKPLEHVGNWKAAADSSVKELEHSEHAASAFPEVPAAPKDPSLIRKIGLNAKAQASDGRQEVKFVSSREEQKNRLQVGNAKSNHSANEAGTSYVSQRTHVSGIVDAGFHEDRISAADKSLEVQNANETPSSRRSTQGMHGRSDHHGKGRFITQEPDRWQRRSQVVDSPCVLSSHFESSNVYRQDHSFAEATEKSGLCHQGKDDGVSVPPHPDPGDSQTHREKEEEEWEREQKAKALAKELNKWTKAAESLSEVLPEKPKVTHKESIVTHDQLEPLLQDVSHADADHPDNAPQIHDSRASKQKRVSYRQKQNGPLGKTSNDKLSSSTTEAPKNVTDIAANAPVSLEGVNKLTSNSESTLPINLTAMAESSVNHRRKNKNGKNKHKMDDASTLAVVTPTLSKESAAALDTSAGSGKSASESLLDPSSFQPQTDSRDGNQSMDQRTSSPNEEAHGRVNNQWKVQHFRRMPRNPQANKSTEKFPSGDAVIWAPVRSQSKIEAADEATQKNVADAIRAPMKSDQQVQNNARTKRAEIERYIPKPVAKEMAQQGSSPQSVAPLINQITPNETAGKPESGSPSVESSQTSSTGMGKVGSTLEAKNGDGRQNKSGKMHGSWRQRGSAESTTSFTSRNVQKSIEHQVQKPDVSSPKEQLSHSDEWNEPDGWNILENIDVPVTTLAIKDQGATARGRRQSYRGQKGTGYSHEPDEKRINTGDTEKVYVQTSGSEMHQADLPATSKENRSVGERSASHWQPKSQPFSATNQRGSRTNGGQNTGSEVGRGNKKDSTSQTFMPLLSQPGRDIATVKARPHPDRSLSEKSILEEVPRTAHQEGKNGRKIPSHKGRRPSSPVEPSPLNMDFQQEQRVSSGFQKNGNQNSRFGGEHDSRGEWSGSGKDNKQQNVPANRERQIQNTHYECQPVGPQNTYKANNFESSKDVSHNSVARSRERGQGRSRHGGGNSHGWQTGSSVRVDANYD >Potri.012G088500.7.v4.1 pep chromosome:Pop_tri_v4:12:11393191:11403308:1 gene:Potri.012G088500.v4.1 transcript:Potri.012G088500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088500.v4.1 MTSSMLTAERRWASARKGGMKVLGKVPVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTASSDRTHEPITNAWGSNSRPSSASGALTSNQTSPVPLRPRSAETRPGSSQLSRFAEPLSDNSVAWGTTGTAEKLGVTSSKNDGFSLTSGDFPTLGSEKEISGKNLESQEHGSYSRPGSSSSVVAPGNESTGNSAGDASIKTNAKIESANSWRRENPMYGEDGLRPNMEKWHLDPHLYPNSNIRHQNYDSWRGPPVNNHPGGVWYRGPPGGPPFAPPIAPGGFPIEPFPYYRPQIPPATLANPQQGPPPGSGPRGPHPKNGDVFRPHMHDAFIRPGMPFGHGFYPGPVPYENYYGPPVGYCNSNDRDIQFMGMTVGPAPYNRYSGQNTPDPGNSHGRPGGYGPSGHTMVSEQLESGHQQDTRGPYKVLKQHDGSEGKDEEHKWDAMMTTNTSYPGKADHQRKSSWENGWRADDKKNGERDTRRYGEEFSFEATDNQGGAKVKPLEHVGNWKAAADSSVKELEHSEHAASAFPEVPAAPKDPSLIRKIGLNAKAQASDGRQEVKFVSSREEQKNRLQVGNAKSNHSANEAGTSYVSQRTHVSGIVDAGFHEDRISAADKSLEVQNANETPSSRRSTQGMHGRSDHHGKGRFITQEPDRWQRRSQVVDSPCVLSSHFESSNVYRQDHSFAEATEKSGLCHQGKDDGVSVPPHPDPGDSQTHHATIQRIKQREKEEEEWEREQKAKALAKELNKWTKAAESLSEVLPEKPKVTHKESIVTHDQLEPLLQDVSHADADHPDNAPQIHDSRASKQKRVSYRQKQNGPLGKTSNDKLSSSTTEAPKNVTDIAANAPVSLEGVNKLTSNSESTLPINLTAMAESSVNHRRKNKNGKNKHKMDDASTLAVVTPTLSKESAAALDTSAGSGKSASESLLDPSSFQPQTDSRDGNQSMDQRTSSPNEEAHGRVNNQWKVQHFRRMPRNPQANKSTEKFPSGDAVIWAPVRSQSKIEAADEATQKNVADAIRAPMKSDQQVQNNARTKRAEIERYIPKPVAKEMAQQGSSPQSVAPLINQITPNETAGKPESGSPSVESSQTSSTGMGKVGSTLEAKNGDGRQNKSGKMHGSWRQRGSAESTTSFTSRNVQKSIEHQVQKPDVSSPKEQLSHSDEWNEPDGWNILENIDVPVTTLAIKDQGATARGRRQSYRGQKGTGYSHEPDEKRINTGDTEKVYVQTSGSEMHQADLPATSKENRSVGERSASHWQPKSQPFSATNQRGSRTNGGQNTGSEVGRGNKKDSTSQTFMPLLSQPGRDIATVKARPHPDRSLSEKSILEEVPRTAHQEGKNGRKIPSHKGRRPSSPVEPSPLNMDFQQEQRVSSGFQKNGNQNSRFGGEHDSRGEWSGSGKDNKQQNVPANRERQIQNTHYECQPVGPQNTYKANNFESSKDVSHNSVARSRERGQGRSRHGGGNSHGWQTGSSVRVDANYD >Potri.012G088500.8.v4.1 pep chromosome:Pop_tri_v4:12:11393174:11403308:1 gene:Potri.012G088500.v4.1 transcript:Potri.012G088500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088500.v4.1 MTSSMLTAERRWASARKGGMKVLGKVPVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTASSDRTHEPITNAWGSNSRPSSASGALTSNQTSPVPLRPRSAETRPGSSQLSRFAEPLSDNSVAWGTTGTAEKLGVTSSKNDGFSLTSGDFPTLGSEKEISGKNLESQEHGSYSRPGSSSSVVAPGNESTGNSAGDASIKTNAKIESANSWRRENPMYGEDGLRPNMEKWHLDPHLYPNSNIRHQNYDSWRGPPVNNHPGGVWYRGPPGGPPFAPPIAPGGFPIEPFPYYRPQIPPATLANPQQGPPPGSGPRGPHPKNGDVFRPHMHDAFIRPGMPFGHGFYPGPVPYENYYGPPVGYCNSNDRDIQFMGMTVGPAPYNRYSGQNTPDPGNSHGRPGGYGPSGHTMVSEQLESGHQQDTRGPYKVLKQHDGSEGKDEEHKWDAMMTTNTSYPGKADHQRKSSWENGWRADDKKNGERDTRRYGEEFSFEATDNQGGAKVKPLEHVGNWKAAADSSVKELEHSEHAASAFPEVPAAPKDPSLIRKIGLNAKAQASDGRQEVKFVSSREEQKNRLQVGNAKSNHSANEAGTSYVSQRTHVSGIVDAGFHEDRISAADKSLEVQNANETPSSRRSTQGMHGRSDHHGKGRFITQEPDRWQRRSQVVDSPCVLSSHFESSNVYRQDHSFAEATEKSGLCHQGKDDGVSVPPHPDPGDSQTHHATIQRIKQREKEEEEWEREQKAKALAKELNKWTKAAESLSEVLPEKPKVTHKESIVTHDQLEPLLQDVSHADADHPDNAPQIHDSRASKQKRVSYRQKQNGPLGKTSNDKLSSSTTEAPKNVTDIAANAPVSLEGVNKLTSNSESTLPINLTAMAESSVNHRRKNKNGKNKHKMDDASTLAVVTPTLSKESAAALDTSAGSGKSASESLLDPSSFQPQTDSRDGNQSMDQRTSSPNEEAHGRVNNQWKVQHFRRMPRNPQANKSTEKFPSGDAVIWAPVRSQSKIEAADEATQKNVADAIRAPMKSDQQVQNNARTKRAEIERYIPKPVAKEMAQQGSSPQSVAPLINQITPNETAGKPESGSPSVESSQTSSTGMGKVGSTLEAKNGDGRQNKSGKMHGSWRQRGSAESTTSFTSRNVQKSIEHQVQKPDVSSPKEQLSHSDEWNEPDGWNILENIDVPVTTLAIKDQGATARGRRQSYRGQKGTGYSHEPDEKRINTGDTEKVYVQTSGSEMHQADLPATSKENRSVGERSASHWQPKSQPFSATNQRGSRTNGGQNTGSEVGRGNKKDSTSQTFMPLLSQPGRDIATVKARPHPDRSLSEKSILEEVPRTAHQEGKNGRKIPSHKGRRPSSPVEPSPLNMDFQQEQRVSSGFQKNGNQNSRFGGEHDSRGEWSGSGKDNKQQNVPANRERQIQNTHYECQPVGPQNTYKANNFESSKDVSHNSVARSRERGQGRSRHGGGNSHGWQTGSSVRVDANYD >Potri.012G088500.9.v4.1 pep chromosome:Pop_tri_v4:12:11393243:11403151:1 gene:Potri.012G088500.v4.1 transcript:Potri.012G088500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088500.v4.1 MTSSMLTAERRWASARKGGMKVLGKVPVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTASSDRTHEPITNAWGSNSRPSSASGALTSNQTSPVPLRPRSAETRPGSSQLSRFAEPLSDNSVAWGTTGTAEKLGVTSSKNDGFSLTSGDFPTLGSEKEISGKNLESQEHGSYSRPGSSSSVVAPGNESTGNSAGDASIKTNAKIESANSWRRENPMYGEDGLRPNMEKWHLDPHLYPNSNIRHQNYDSWRGPPVNNHPGGVWYRGPPGGPPFAPPIAPGGFPIEPFPYYRPQIPPATLANPQQGPPPGSGPRGPHPKNGDVFRPHMHDAFIRPGMPFGHGFYPGPVPYENYYGPPVGYCNSNDRDIQFMGMTVGPAPYNRYSGQNTPDPGNSHGRPGGYGPSGHTMVSEQLESGHQQDTRGPYKVLKQHDGSEGKDEEHKWDAMMTTNTSYPGKADHQRKSSWENGWRADDKKNGERDTRRYGEEFSFEATDNQGGAKVKPLEHVGNWKAAADSSVKELEHSEHAASAFPEVPAAPKDPSLIRKIGLNAKAQASDGRQEVKFVSSREEQKNRLQVGNAKSNHSANEAGTSYVSQRTHVSGIVDAGFHEDRISAADKSLEVQNANETPSSRRSTQGMHGRSDHHGKGRFITQEPDRWQRRSQVVDSPCVLSSHFESSNVYRQDHSFAEATEKSGLCHQGKDDGVSVPPHPDPGDSQTHHATIQRIKQREKEEEEWEREQKAKALAKELNKWTKAAESLSEVLPEKPKVTHKESIVTHDQLEPLLQDVSHADADHPDNAPQIHDSRASKQKRVSYRQKQNGPLGKTSNDKLSSSTTEAPKNVTDIAANAPVSLEGVNKLTSNSESTLPINLTAMAESSVNHRRKNKNGKNKHKMDDASTLAVVTPTLSKESAAALDTSAGSGKSASESLLDPSSFQPQTDSRDGNQSMDQRTSSPNEEAHGRVNNQWKVQHFRRMPRNPQANKSTEKFPSGDAVIWAPVRSQSKIEAADEATQKNVADAIRAPMKSDQQVQNNARTKRAEIERYIPKPVAKEMAQQGSSPQSVAPLINQITPNETAGKPESGSPSVESSQTSSTGMGKVGSTLEAKNGDGRQNKSGKMHGSWRQRGSAESTTSFTSRNVQKSIEHQVQKPDVSSPKEQLSHSDEWNEPDGWNILENIDVPVTTLAIKDQGATARGRRQSYRGQKGTGYSHEPDEKRINTGDTEKVYVQTSGSEMHQADLPATSKENRSVGERSASHWQPKSQPFSATNQRGSRTNGGQNTGSEVGRGNKKDSTSQTFMPLLSQPGRDIATVKARPHPDRSLSEKSILEEVPRTAHQEGKNGRKIPSHKGRRPSSPVEPSPLNMDFQQEQRVSSGFQKNGNQNSRFGGEHDSRGEWSGSGKDNKQQNVPANRERQIQNTHYECQPVGPQNTYKANNFESSKDVSHNSVARSRERGQGRSRHGGGNSHGWQTGSSVRVDANYD >Potri.012G088500.2.v4.1 pep chromosome:Pop_tri_v4:12:11393190:11403193:1 gene:Potri.012G088500.v4.1 transcript:Potri.012G088500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088500.v4.1 MTSSMLTAERRWASARKGGMKVLGKVPVPKPINLPSQRLENHGLDPNVEIVPKGTHSWGTRSSSSTPNAWGSSTLSPNTDGGSGSPSHLSGRPSSGGSGTRPSTASSDRTHEPITNAWGSNSRPSSASGALTSNQTSPVPLRPRSAETRPGSSQLSRFAEPLSDNSVAWGTTGTAEKLGVTSSKNDGFSLTSGDFPTLGSEKEISGKNLESQEHGSYSRPGSSSSVVAPGNESTGNSAGDASIKTNAKIESANSWRRENPMYGEDGLRPNMEKWHLDPHLYPNSNIRHQNYDSWRGPPVNNHPGGVWYRGPPGGPPFAPPIAPGGFPIEPFPYYRPQIPPATLANPQQGPPPGSGPRGPHPKNGDVFRPHMHDAFIRPGMPFGHGFYPGPVPYENYYGPPVGYCNSNDRDIQFMGMTVGPAPYNRYSGQNTPDPGNSHGRPGGYGPSGHTMVSEQLESGHQQDTRGPYKVLKQHDGSEGKDEEHKWDAMMTTNTSYPGKADHQRKSSWENGWRADDKKNGERDTRRYGEEFSFEATDNQGGAKVKPLEHVGNWKAAADSSVKELEHSEHAASAFPEVPAAPKDPSLIRKIGLNAKAQASDGRQEVKFVSSREEQKNRLQVGNAKSNHSANEAGTSYVSQRTHVSGIVDAGFHEDRISAADKSLEVQNANETPSSRRSTQGMHGRSDHHGKGRFITQEPDRWQRRSQVVDSPCVLSSHFESSNVYRQDHSFAEATEKSGLCHQGKDDGVSVPPHPDPGDSQTHHATIQRIKQREKEEEEWEREQKAKALAKELNKWTKAAESLSEVLPEKPKVTHKESIVTHDQLEPLLQDVSHADADHPDNAPQIHDSRASKQKRVSYRQKQNGPLGKTSNDKLSSSTTEAPKNVTDIAANAPVSLEGVNKLTSNSESTLPINLTAMAESSVNHRRKNKNGKNKHKMDDASTLAVVTPTLSKESAAALDTSAGSGKSASESLLDPSSFQPQTDSRDGNQSMDQRTSSPNEEAHGRVNNQWKVQHFRRMPRNPQANKSTEKFPSGDAVIWAPVRSQSKIEAADEATQKNVADAIRAPMKSDQQVQNNARTKRAEIERYIPKPVAKEMAQQGSSPQSVAPLINQITPNETAGKPESGSPSVESSQTSSTGMGKVGSTLEAKNGDGRQNKSGKMHGSWRQRGSAESTTSFTSRNVQKSIEHQVQKPDVSSPKEQLSHSDEWNEPDGWNILENIDVPVTTLAIKDQGATARGRRQSYRGQKGTGYSHEPDEKRINTGDTEKVYVQTSGSEMHQADLPATSKENRSVGERSASHWQPKSQPFSATNQRGSRTNGGQNTGSEVGRGNKKDSTSQTFMPLLSQPGRDIATVKARPHPDRSLSEKSILEEVPRTAHQEGKNGRKIPSHKGRRPSSPVEPSPLNMDFQQEQRVSSGFQKNGNQNSRFGGEHDSRGEWSGSGKDNKQQNVPANRERQIQNTHYECQPVGPQNTYKANNFESSKDVSHNSVARSRERGQGRSRHGGGNSHGWQTGSSVRVDANYD >Potri.009G067100.1.v4.1 pep chromosome:Pop_tri_v4:9:6727141:6729528:1 gene:Potri.009G067100.v4.1 transcript:Potri.009G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067100.v4.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGKYVGTSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSLRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRILKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEVDYEDEEEEEAEM >Potri.013G156000.3.v4.1 pep chromosome:Pop_tri_v4:13:15095670:15098144:-1 gene:Potri.013G156000.v4.1 transcript:Potri.013G156000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156000.v4.1 MENKPSVLTKNYEVGRLLGQGTFAKVYFARSIRTNLSVAIKVIDKEKVLKVGLVNQIKREISVMRLVRHPNIVQLYEVLATKSKIYFVMEYAKGGELFDKVAKGRLKEDVAQKYFQQLINAVDFCHSRGVYHRDIKPENLLLDENENLKISDFGLSALTESKQQDGLLHTTCGTPAYVAPEVINRKGYDGTKADIWSCGVVLFVLLSGYLPFHDSNLMEMYRKIGRAEFKCPNWFPTDARRLLRKILDPNPSTRISMAEIKESSWFRKGLNSKQIKTGTKSKEVAALDWNGSGSSENSSVASEAKQESAKPPSLNAFDIISLSAGFDLSGLFEENYQKREARFTSIQPASVIISKLEDIAKRQKLKVIKKDAGLLKLEGMEEGRKGPLSVDAEIFEVTPNIHFVEVKKSNGDTLEYQKILEEAIRPALNDIVCVWQGEQELQQQQQQQSN >Potri.013G156000.2.v4.1 pep chromosome:Pop_tri_v4:13:15095633:15098329:-1 gene:Potri.013G156000.v4.1 transcript:Potri.013G156000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156000.v4.1 MENKPSVLTKNYEVGRLLGQGTFAKVYFARSIRTNLSVAIKVIDKEKVLKVGLVNQIKREISVMRLVRHPNIVQLYEVLATKSKIYFVMEYAKGGELFDKVAKGRLKEDVAQKYFQQLINAVDFCHSRGVYHRDIKPENLLLDENENLKISDFGLSALTESKQQDGLLHTTCGTPAYVAPEVINRKGYDGTKADIWSCGVVLFVLLSGYLPFHDSNLMEMYRKIGRAEFKCPNWFPTDARRLLRKILDPNPSTRISMAEIKESSWFRKGLNSKQIKTGTKSKEVAALDWNGSGSSENSSVASEAKQESAKPPSLNAFDIISLSAGFDLSGLFEENYQKREARFTSIQPASVIISKLEDIAKRQKLKVIKKDAGLLKLEGMEEGRKGPLSVDAEIFEVTPNIHFVEVKKSNGDTLEYQKILEEAIRPALNDIVCVWQGEQELQQQQQQQSN >Potri.015G142800.6.v4.1 pep chromosome:Pop_tri_v4:15:14843862:14849269:-1 gene:Potri.015G142800.v4.1 transcript:Potri.015G142800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142800.v4.1 MGVKNLWDILESCKKTLPLHHLQNKRVCIDLSCWMVQLQNVNKTHCGLVKDKPYIRNLFHRLRALIALNCSLIFVADGSIPAIKLATYRRRLNLGLEVTQDETNSQKACSLRRNMGSEFSCMIKEAKDIGLALGIPCLDSIEEAEAQCALLNTESLCDGCFSSDSDVFLFGARTVYRDICLGHVVCYEMEEVERKLGFGRNSLITLALILGSDYSPGVHGLGPESACQIVKSIGDSNVLQKIASEGLPFAKKIKTSKKQMRSKKTNSLDSEIHFNGSDNNSERKNECLQVINAYLKPKCHPADSDAACRVLSQHPFQRLKLQGICAQFFGWPPEKTDEYTLPKIAERDLRRFANLRSTSSELGVNLPLQKIPVKCPVSGVVKQRKVQGTECFEVLWEGFDGLKTSIVPADLLESACPEKIAEFEEKTALGKKQNQRKPGSKKSGNRLAMAEIDLKLQTLLLDIEPGSNTACSTSLSSKAAISEDRTTSTAASHTKLDPRNAGCRAALFTDTSQYEVIDLCSPSPVARTRTVSRCQRVNDQHSEVIDLSESENEMSPEHARKARALRMFIASIRDDMS >Potri.015G142800.8.v4.1 pep chromosome:Pop_tri_v4:15:14843862:14849067:-1 gene:Potri.015G142800.v4.1 transcript:Potri.015G142800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142800.v4.1 MVQLQNVNKTHCGLVKDKPYIRNLFHRLRALIALNCSLIFVADGSIPAIKLATYRRRLNLGLEVTQDETNSQKACSLRRNMGSEFSCMIKEAKDIGLALGIPCLDSIEEAEAQCALLNTESLCDGCFSSDSDVFLFGARTVYRDICLGEGHVVCYEMEEVERKLGFGRNSLITLALILGSDYSPGVHGLGPESACQIVKSIGDSNVLQKIASEGLPFAKKIKTSKKQMRSKKTNSLDSEIHFNGSDNNSERKNECLQVINAYLKPKCHPADSDAACRVLSQHPFQRLKLQGICAQFFGWPPEKTDEYTLPKIAERDLRRFANLRSTSSELGVNLPLQKIPVKCPVSGVVKQRKVQGTECFEVLWEGFDGLKTSIVPADLLESACPEKIAEFEEKTALGKKQNQRKPGSKKSGNRLAMAEIDLKLQTLLLDIEPGSNTACSTSLSSKAAISEDRTTSTAASHTKLDPRNAGCRAALFTDTSQYEVIDLCSPSPVARTRTVSRCQRVNDQHSEVIDLSESENEMSPEHARKARALRMFIASIRDDMS >Potri.015G142800.4.v4.1 pep chromosome:Pop_tri_v4:15:14843859:14849421:-1 gene:Potri.015G142800.v4.1 transcript:Potri.015G142800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142800.v4.1 MGVKNLWDILESCKKTLPLHHLQNKRVCIDLSCWMVQLQNVNKTHCGLVKDKPYIRNLFHRLRALIALNCSLIFVADGSIPAIKLATYRRRLNLGLEVTQDETNSQKACSLRRNMGSEFSCMIKEAKDIGLALGIPCLDSIEEAEAQCALLNTESLCDGCFSSDSDVFLFGARTVYRDICLGEGHVVCYEMEEVERKLGFGRNSLITLALILGSDYSPGVHGLGPVCLVESACQIVKSIGDSNVLQKIASEGLPFAKKIKTSKKQMRSKKTNSLDSEIHFNGSDNNSERKNECLQVINAYLKPKCHPADSDAACRVLSQHPFQRLKLQGICAQFFGWPPEKTDEYTLPKIAERDLRRFANLRSTSSELGVNLPLQKIPVKCPVSGVVKQRKVQGTECFEVLWEGFDGLKTSIVPADLLESACPEKIAEFEEKTALGKKQNQRKPGSKKSGNRLAMAEIDLKLQTLLLDIEPGSNTACSTSLSSKAAISEDRTTSTAASHTKLDPRNAGCRAALFTDTSQYEVIDLCSPSPVARTRTVSRCQRVNDQHSEVIDLSESENEMSPEHARKARALRMFIASIRDDMS >Potri.015G142800.5.v4.1 pep chromosome:Pop_tri_v4:15:14843859:14849445:-1 gene:Potri.015G142800.v4.1 transcript:Potri.015G142800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142800.v4.1 MGVKNLWDILESCKKTLPLHHLQNKRVCIDLSCWMVQLQNVNKTHCGLVKDKPYIRNLFHRLRALIALNCSLIFVADGSIPAIKLATYRRRLNLGLEVTQDETNSQKACSLRRNMGSEFSCMIKEAKDIGLALGIPCLDSIEEAEAQCALLNTESLCDGCFSSDSDVFLFGARTVYRDICLGEGHVVCYEMEEVERKLGFGRNSLITLALILGSDYSPGVHGLGPESACQIVKSIGDSNVLQKIASEGLPFAKKIKTSKKQMRSKKTNSLDSEIHFNGSDNNSERKNECLQVINAYLKPKCHPADSDAACRVLSQHPFQRLKLQGICAQFFGWPPEKTDEYTLPKIAERDLRRFANLRSTSSELGVNLPLQKIPVKCPVSGVVKQRKVQGTECFEVLWEGFDGLKTSIVPADLLESACPEKIAEFEEKTALGKKQNQRKPGSKKSGNRLAMAEIDLKLQTLLLDIEPGSNTACSTSLSSKAAISEDRTTSTAASHTKLDPRNAGCRAALFTDTSQYEVIDLCSPSPVARTRTVSRCQRVNDQHSEVIDLSESENEMSPEHARKARALRMFIASIRDDMS >Potri.015G142800.7.v4.1 pep chromosome:Pop_tri_v4:15:14843862:14849392:-1 gene:Potri.015G142800.v4.1 transcript:Potri.015G142800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142800.v4.1 MGVKNLWDILESCKKTLPLHHLQVCIDLSCWMVQLQNVNKTHCGLVKDKPYIRNLFHRLRALIALNCSLIFVADGSIPAIKLATYRRRLNLGLEVTQDETNSQKACSLRRNMGSEFSCMIKEAKDIGLALGIPCLDSIEEAEAQCALLNTESLCDGCFSSDSDVFLFGARTVYRDICLGEGHVVCYEMEEVERKLGFGRNSLITLALILGSDYSPGVHGLGPESACQIVKSIGDSNVLQKIASEGLPFAKKIKTSKKQMRSKKTNSLDSEIHFNGSDNNSERKNECLQVINAYLKPKCHPADSDAACRVLSQHPFQRLKLQGICAQFFGWPPEKTDEYTLPKIAERDLRRFANLRSTSSELGVNLPLQKIPVKCPVSGVVKQRKVQGTECFEVLWEGFDGLKTSIVPADLLESACPEKIAEFEEKTALGKKQNQRKPGSKKSGNRLAMAEIDLKLQTLLLDIEPGSNTACSTSLSSKAAISEDRTTSTAASHTKLDPRNAGCRAALFTDTSQYEVIDLCSPSPVARTRTVSRCQRVNDQHSEVIDLSESENEMSPEHARKARALRMFIASIRDDMS >Potri.017G110400.2.v4.1 pep chromosome:Pop_tri_v4:17:11797654:11804163:1 gene:Potri.017G110400.v4.1 transcript:Potri.017G110400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110400.v4.1 MASLTPSPDSSPSLIPISISPPSPPDSTTNSPPPSTSQPDQTTDPPVPSTPSNPATPPPTPPPTPPAASPPAQPLSPPPSPIIPSTPSAPPPSPPASPPQAPPALTPPSPPAAPPPASTTSPPPPNESPPPPVSTSPPPQASPTPPPPLQAVSPSPPPPANVPIPPSTNSPPPPTAKSPETPPAPPTVTTPAPSSQSDSPPPKTNSPPPPIPTLPSPPPSVPSTSSTPPSISPPAPPVNSSATGSPTSPIPSIPTEKPTARATNDTNVSANTSSSGPGGSNTGGAVAIGIVVGFVALSLLVMAVWFAQKRKRRKGENIGYTMPSPFASSQNSDSLFLKPYPPAPLVGSPSGSDFIYSPSESGVINNSRSWFTYEELVQATNGFSAQNRLGEGGFGCVYKGVLVDGREVAVKQLKIGGSQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYLPNDTLYYHLHGEGRPHMDWATRVKVAVGAARGIAYLHEDCHPRIIHRDIKSSNILLDDNFEAQVSDFGLAKIALELDSNTHVSTRVMGTFGYMAPEYATSGKLTEKSDVYSFGVVLLELITSRKPVDASQPIGDESLVEWARPLLTTALENEDFEALVDPELEKNYVPSEMFRMIEAAAACVRHSAAKRPRMSQVVRALDLLDESSDLSNGMKPGQSEIFDSRQHSAQIRMFQRLAFGNQDYSSGFFDRTQSSWRSRDPGD >Potri.002G096600.1.v4.1 pep chromosome:Pop_tri_v4:2:7052844:7053544:-1 gene:Potri.002G096600.v4.1 transcript:Potri.002G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096600.v4.1 MRLFTHNMLSSNIKGVANGFPLRIEVDQVVEKQVDFNPDFLRNMFPKLEWKALVDAARTMGYTELPEEADSSMLESEEFLRKLHHALLELHLEEGALVCPETGRKFPVNKGIPNMLLHEDEV >Potri.015G106101.1.v4.1 pep chromosome:Pop_tri_v4:15:12481619:12481919:-1 gene:Potri.015G106101.v4.1 transcript:Potri.015G106101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106101.v4.1 MFHICDWEIGRPLQIDRVEVHKRPKPYLTYGMGLLEETVAEPYPQRKNDTLEVQVAECREDDEVIEVR >Potri.005G206300.1.v4.1 pep chromosome:Pop_tri_v4:5:21124543:21126382:1 gene:Potri.005G206300.v4.1 transcript:Potri.005G206300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206300.v4.1 MSGEEGAVPQNETPAVADAPAPLGEPMDLMTALQLVLRKSLAHGGLSRGLHEGAKMIEKHTAQLCVLAEDCNQPDYVKLVKALCADHKVNLLSVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDFGEDSEALNVVQQHIKAN >Potri.019G092300.1.v4.1 pep chromosome:Pop_tri_v4:19:12997367:12999603:1 gene:Potri.019G092300.v4.1 transcript:Potri.019G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G092300.v4.1 MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVHGSTVNLGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIAKKWISELRHYAPAVPIVLVGTKLDLRNDRQYLIDHPGAAPITTAQGEELKKMIGAAVYLECSSKTQQNVKGVFDAAIKVVLQPPKPKKRRQKRRAPCVFL >Potri.003G184601.1.v4.1 pep chromosome:Pop_tri_v4:3:18913510:18914313:1 gene:Potri.003G184601.v4.1 transcript:Potri.003G184601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184601.v4.1 MTHTHYALVSYISNMPKNMKSPMTSAVFLATTLAFVGLIAINGSQARILPDSLGLKVATLANYGRRYSPPPPSPIPSPRSKELTSNYEKYSSPPPQSPKADPSIGQVTPSYGRTTASPPPPPKPATPKAQLEIIRSQCTDGCISMITNLERPIPSSPPSPKPAPPSSQITFDLEPKVHARISPPGQNVFST >Potri.002G179600.1.v4.1 pep chromosome:Pop_tri_v4:2:14103929:14107215:-1 gene:Potri.002G179600.v4.1 transcript:Potri.002G179600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179600.v4.1 MKMVATPNGAVTKSPKPQPQPLQEEPQQEDETTLNSPSIKFGTPEALDHVRNLTDVGAMTRLLHECIAYQRGLDLNLDTLLSQRSDLDKNLHHLQKSADVLEIVKADFDHMHSNVRSTCDLADHVSAKVRELDLAQSRVNSTLLRIDAIVERGNCIEGVKNALEKEDYESAAKYVQTFLQIDAKYKDSGSDQREQLLASKRTLEGIVGKKLSAAVDSRDHSTILRFIRLFSPLGLEEEGLQVYVGYLKKVISMRSRLEFENLVELMEQSYNNSNVSSNVNFVGGLTNLFKDIVLAIEENDEILRGLCGEDGIVYAICELQEECDSRGSLILKKYMEYRKLGKLASEINAQNKNLLAVGAPEGPDPREIELYLEEILSLMQLGEDYTEFMVSKIKGLSSVDPELVPRATKSFRSGSFSRVVQEITGFYVILEGFFMVENVRKAIKIDEHVPDSLTTSTVDDVFYVLQSCLRRAISTSNVNSVIAVLSAAGSLLSNEYHEALQQKMRELNLGAKLFLGGVGVQKTGTEFATALNNMDVSGEYVLKLKHEIEEQCAEAFPATADRERVKSCLSELGDVSSTFKQALNAGMEQLVATVTPRIRPVLDSVATISYELSEAEYADNEVNDPWVQRLLHSVETNVSWLQPLMTANNYDSFVHLVIDFIVKRLEVIMMQKRFSQLGGLQLDRDVRALVSHFSSMTQRTVRDKFARLTQMATILNLEKVSEILDFWGENSGPMTWRLTPAEVRRVLGLRVDFKPEAIAALKL >Potri.002G043800.1.v4.1 pep chromosome:Pop_tri_v4:2:2856856:2857916:1 gene:Potri.002G043800.v4.1 transcript:Potri.002G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043800.v4.1 MASTIFATRRPWRELIERPYSLGNTTVRIKRNLSYFSVNYTMIILSVLFLSLLWHPLSMIVFLIVFVAWFYLYFFRDQPLVIFHRTINDRVVLGLLGVATIVALIFTHVWLNVLVSLLIGAAIVLLHAAFRRTDDLYLDEQDLPSPIARHATVTMASPSRDPVPPEFHPQVHSDVVKLSPDVVKLRPDVVKPWC >Potri.012G145800.1.v4.1 pep chromosome:Pop_tri_v4:12:15585678:15588979:-1 gene:Potri.012G145800.v4.1 transcript:Potri.012G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145800.v4.1 MEDKSCGQKDNLLYKCSVLLELSASDDLAGFKIEVEQKGLDIDGANYWYGRRIGSKKMGFEERTPLMIAAMFGCTNVLKYIIETGKVNVNRACGSDKVTALHYAVAGCVVSSVGIVKLLLDAFADPNSADANGNKPGDIFATSSKCMCNSRKKLIELLLKGQNLSEDEEEKLVIMPQLAKEGTEKKEYPLDVTLPDINNGIYGTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPKKYPYSCVPCPEFRKGTCQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHKPEDLRPVYASTGSAMTTLSPLALGSSSFPLPATPTPPMSPLAVASSSPKSGSLWQNKVSLTQPALQLPGSRLKTAFCARDLNLEIELLGLENYSSQLQQQQQQLRGEIIEMSGLSSPSHWSNRIADLKPTNLDDVFGSLDPSLMSPLQGVSLNASTQTQLHSPNGLQIRQNMNQLHSSYPAANLSSSPARNPSTYGFDTSTAVAAAVMNSRSSAFAKRSQSFIDRGAVPNHLGLTAAANSVSTMPSNLPDWSSPNGKLDWGFQGDELNKLKKSASFGFQSSNNPAATTAAYVTASHVDEPDVSWVNSLVKDVPPAGSTIFYAEKQYSLGKRVCESLPPWIEQIYLEQEQMVA >Potri.012G145800.2.v4.1 pep chromosome:Pop_tri_v4:12:15585973:15588970:-1 gene:Potri.012G145800.v4.1 transcript:Potri.012G145800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145800.v4.1 MFILMMQDLVRCRGSQSDSFSSNPIMEDKSCGQKDNLLYKCSVLLELSASDDLAGFKIEVEQKGLDIDGANYWYGRRIGSKKMGFEERTPLMIAAMFGCTNVLKYIIETGKVNVNRACGSDKVTALHYAVAGCVVSSVGIVKLLLDAFADPNSADANGNKPGDIFATSSKCMCNSRKKLIELLLKGQNLSEDEEEKLVIMPQLAKEGTEKKEYPLDVTLPDINNGIYGTDEFRMYSFKVKPCSRAYSHDWTECPFVHPGENARRRDPKKYPYSCVPCPEFRKGTCQKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCARKVCFFAHKPEDLRPVYASTGSAMTTLSPLALGSSSFPLPATPTPPMSPLAVASSSPKSGSLWQNKVSLTQPALQLPGSRLKTAFCARDLNLEIELLGLENYSSQLQQQQQQLRGEIIEMSGLSSPSHWSNRIADLKPTNLDDVFGSLDPSLMSPLQGVSLNASTQTQLHSPNGLQIRQNMNQLHSSYPAANLSSSPARNPSTYGFDTSTAVAAAVMNSRSSAFAKRSQSFIDRGAVPNHLGLTAAANSVSTMPSNLPDWSSPNGKLDWGFQGDELNKLKKSASFGFQSSNNPAATTAAYVTASHVDEPDVSWVNSLVKDVPPAGSTIFYAEKQYSLGKRVCESLPPWIEQIYLEQEQMVA >Potri.002G251800.5.v4.1 pep chromosome:Pop_tri_v4:2:24114482:24115838:-1 gene:Potri.002G251800.v4.1 transcript:Potri.002G251800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G251800.v4.1 MAAPSLILFHTNNLSSPFPLSSPKRYKNQTLNENSFLNLKKQSLLPNLLVKNPRTKNPRSAPVVVFAAQSNFLKVVQTVWKVGKDGIETGTNLVPNSVPRPIARVAVTFVVLAVSLFLLKSFLSTVFFALATMGLVYFTFIALNKDQGPKGGGGTTLEDPEEEARRIMEKYK >Potri.012G119000.2.v4.1 pep chromosome:Pop_tri_v4:12:13719622:13724008:-1 gene:Potri.012G119000.v4.1 transcript:Potri.012G119000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119000.v4.1 MEGQAAFCDGSSASLVSGEMKLEEDEEEFRSCCEDEEVWKEIEEIVKEESKEDLDEFSVNMFFKGMSIAEDGDSCSGFSGIGVVMERTEHVPVIQVQKKLDFFVEESVADYLALMDGLAEAMQNNIRRVYAFTDSELLYGQITNEEEVEVPLLIALRQRILERVSNLEAFILKLSPCFDLERPLRLAQVAVGVVSFPANGSESRDNCSICCEDKISPMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQSGCKYCISINECRTFLPLISYGSLEKALAEADILHSDRIYCPYPNCSVLLDPRECLSARASSSSQSDNTCIECPVCQRFICVECSVPWHSSMSCEEFQNLPLEERDATDITLHRLAQNKRWRRCQQCRRMIELSQGCYHMTCWCGHEFCYSCGAEYRDRQQTCQCVFWDDDNSEDLVTQSFQESEQWAWETFNSLPMLMDAYSEQERSQLELIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAIKDLHQLPWLERFVSVISDNYYEDYI >Potri.012G119000.3.v4.1 pep chromosome:Pop_tri_v4:12:13719650:13723945:-1 gene:Potri.012G119000.v4.1 transcript:Potri.012G119000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119000.v4.1 MEGQAAFCDGSSASLVSGEMKLEEDEEEFRSCCEDEEVWKEIEEIVKEESKEDLDEFSVNMFFKGMSIAEDGDSCSGFSGIGVVMERTEHVPVIQVQKKLDFFVEESVADYLALMDGLAEAMQNNIRRVYAFTDSELLYGQITNEEEVEVPLLIALRQRILERVSNLEAFILKLSPCFDLERPLRLAQVAVGVVSFPANGSESRDNCSICCEDKISPMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQSGCKYCISINECRTFLPLISYGSLEKALAEADILHSDRIYCPYPNCSVLLDPRECLSARASSSSQSDNTCIECPVCQRFICVECSVPWHSSMSCEEFQNLPLEERDATDITLHRLAQNKRWRRCQQCRRMIELSQGCYHMTCWCGHEFCYSCGAEYRDRQQTCQCVFWDDDNSEDLVTQSFQESEQWAWETFNSLPMLMDAYSEQERSQLELIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAIKDLHQLPWLERFVSVISDNYYEDYI >Potri.012G119000.4.v4.1 pep chromosome:Pop_tri_v4:12:13719647:13723958:-1 gene:Potri.012G119000.v4.1 transcript:Potri.012G119000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119000.v4.1 METLVLGSLELVLSWKGPNMFLLFRCKRSLISLWRNLLLIIWLLWMALLRLCRIISAACMHSLTLSCYMVSHSSLGKTIAGVILIAICCVTNCLQWNENITNEEEVEVPLLIALRQRILERVSNLEAFILKLSPCFDLERPLRLAQVAVGVVSFPANGSESRDNCSICCEDKISPMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQSGCKYCISINECRTFLPLISYGSLEKALAEADILHSDRIYCPYPNCSVLLDPRECLSARASSSSQSDNTCIECPVCQRFICVECSVPWHSSMSCEEFQNLPLEERDATDITLHRLAQNKRWRRCQQCRRMIELSQGCYHMTCWCGHEFCYSCGAEYRDRQQTCQCVFWDDDNSEDLVTQSFQESEQWAWETFNSLPMLMDAYSEQERSQLELIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAIKDLHQLPWLERFVSVISDNYYEDYI >Potri.012G119000.5.v4.1 pep chromosome:Pop_tri_v4:12:13719621:13724024:-1 gene:Potri.012G119000.v4.1 transcript:Potri.012G119000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119000.v4.1 MMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQSGCKYCISINECRTFLPLISYGSLEKALAEADILHSDRIYCPYPNCSVLLDPRECLSARASSSSQSDNTCIECPVCQRFICVECSVPWHSSMSCEEFQNLPLEERDATDITLHRLAQNKRWRRCQQCRRMIELSQGCYHMTCWCGHEFCYSCGAEYRDRQQTCQCVFWDDDNSEDLVTQSFQESEQWAWETFNSLPMLMDAYSEQERSQLELIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAIKDLHQLPWLERFVSVISDNYYEDYI >Potri.012G119000.1.v4.1 pep chromosome:Pop_tri_v4:12:13718288:13724315:-1 gene:Potri.012G119000.v4.1 transcript:Potri.012G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119000.v4.1 MEGQAAFCDGSSASLVSGEMKLEEDEEEFRSCCEDEEVWKEIEEIVKEESKEDLDEFSVNMFFKGMSIAEDGDSCSGFSGIGVVMERTEHVPVIQVQKKLDFFVEESVADYLALMDGLAEAMQNNIRRVYAFTDSELLYGQITNEEEVEVPLLIALRQRILERVSNLEAFILKLSPCFDLERPLRLAQVAVGVVSFPANGSESRDNCSICCEDKISPMMITMKCSHKFCSHCMRTYVDGKVQSSQVPIRCPQSGCKYCISINECRTFLPLISYGSLEKALAEADILHSDRIYCPYPNCSVLLDPRECLSARASSSSQSDNTCIECPVCQRFICVECSVPWHSSMSCEEFQNLPLEERDATDITLHRLAQNKRWRRCQQCRRMIELSQGCYHMTCWCGHEFCYSCGAEYRDRQQTCQCVFWDDDNSEDLVTQSFQESEQWAWETFNSLPMLMDAYSEQERSQLELIQRFLAGGFSLSDHHPYQSPPRCTDSYVDAIKDLHQLPWLERFVSVISDNYYEDYI >Potri.001G453100.1.v4.1 pep chromosome:Pop_tri_v4:1:47993626:47995014:-1 gene:Potri.001G453100.v4.1 transcript:Potri.001G453100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453100.v4.1 MGAPTGGGLRGRRKSSSRGHHRFVGVRQRPSGRWVAEIKDSLQKVRLWLGTFDTAEDAARAYDDAARALRGANARTNFELPQPAPNSGAGRGSLIEVEPFSFEEVCGTGSEAGGILGALKAKLLDGKGLQVLPSAACSSSVQPNLVANTSHKNNSKRDLASTASISPGAGTLNAIQDPCTSGSRKIDLVLDHDHGDMMAGHVAAGLWNQLCHSTTATTNLEWPSEPAPSEVAWATQMNHISDQAAMFTSSTSITTSAWPLSATAQPCVDLMYSNPCTVEMPMNKISRMITTNMPTSQIDEQGIWSTEQQFLHCDNSGWTGGNSTWDPFLLYPHSSALG >Potri.010G047100.1.v4.1 pep chromosome:Pop_tri_v4:10:7956003:7956824:-1 gene:Potri.010G047100.v4.1 transcript:Potri.010G047100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047100.v4.1 MGLSSFPGAAEGVLPVLVMNTVLSVALLKSMVRSVLQLVVGANWTPPDYEEEPDEYRRENARERRISITQFKSLNQNDGGARNSAMECCVCLCGFEAEEEVSELSCKHFFHRGCLDKWFDNIHATCPLCRSNL >Potri.014G182500.2.v4.1 pep chromosome:Pop_tri_v4:14:14365077:14367406:-1 gene:Potri.014G182500.v4.1 transcript:Potri.014G182500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182500.v4.1 MVVLPYTVAFLLLSSLQTVKIANSQSFIGINYGQVADNLPPPPSTAKLLQSTSIQKVRLYGSDPTIIKALANTGIGIVVGTANGDIPGLASGPNFAESWINTNVLPFYPASNIILITVGNEVMTSNDQNLVNKLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYYAYRSDTRPETLAFCLFQPNAGRMDGNIKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGRGSERSFGLFKTDLTMVYDVGLSTSSQKQSLAAASQPLIGAATSTSNNNSSMSMSTSTCNCTCNCTSTINISSGSKKVYLIRIFSLDLLYGFMGLSLIYLFFYDLQS >Potri.017G146200.1.v4.1 pep chromosome:Pop_tri_v4:17:14633026:14637481:-1 gene:Potri.017G146200.v4.1 transcript:Potri.017G146200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146200.v4.1 MAAKPRKKKLNNVSMSSSASTRFRYKKVSVFVSLVMIFGVVYLLGVSSSIRSGVAFVPKLYAIQVFNEFPHDPSAFTQGLLYAGNGTLYESTGLYGKSSVRRVALNTGKVEVLQEMDGSYFGEGLTLLEQSLFQVTWSTKTGFIYDRNDLSKIREFTHEMEDGWGLATNGKVLFGSDGTSALYQLDPQTLKVIGKQIVRYNGHEVHYLNELEFVNDEVWANVWQTDCIARISQRDGSVLGWILLPNLRKGLIEAGYHGIDVLNGIAWDANDNRLFVTGKLWPKLYEIKLQPIKKHFDAGVIEQLCIPPIP >Potri.018G048100.1.v4.1 pep chromosome:Pop_tri_v4:18:4255923:4257808:-1 gene:Potri.018G048100.v4.1 transcript:Potri.018G048100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G048100.v4.1 MSIAVDSTCNIDMDSTGFPRGRLGFVVTSSVFDSPVGRREEMAEECSPSSLTTSSKSSIGKDSDLSGGGEDGLDENEVQSAYKGALDSMEGLEEVLPIRRGISKFYDGKSKSFTILSDASSSPSIKDIAKPENAFTRKRRNLLAFNHFWEKNRGFPHRNGISKRPISSSKSTLALAVAMSSSESISSASEDSNSTSTSKSPPHLPPLHPRSRASHNNLASLPSPRQSFSPWRSFSLADLQQCGTVNSYCEKTDH >Potri.008G170100.1.v4.1 pep chromosome:Pop_tri_v4:8:11767701:11773349:1 gene:Potri.008G170100.v4.1 transcript:Potri.008G170100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170100.v4.1 MENGLDECTQDGTVDLKGNPVLRSKRGGWRACSFVVVYEVFERMAYYGISSNLVVYLTKKLHEGTVRSSNNVTNWVGTIWMTPILGAYVADAHLGRYWTFLTASVIYLLGMSLLTLSVSLPALRPPPCKDANSDNCRKASTMQLAVFFGALYTLAVGTGGTKPNISTIGADQFDDFHPKEKAHKLSFFNWWMFSIFFGTLFANTVLVYIQDNVGWALGYGLPTLGLLISVAIFLAGTPYYRHRLPTGSPFTRMAKVIVAALRKSKVSVPSDPKELYELDLEEYAKGGKFRIDSTPTLRFLTKAAVKTGRTDPWMLCSVTQVEETKQMLRMIPILIATFVPSTMIAQINTLFVKQGTTLDRNIGSFKIPPASLVGFVTLSMLVCVVLYDRFFVSIARRWTKNPRGITLLQRMGIGLVFHIIIMIAASLIERHRLSVAREHGLVENGGQIPLTIFILLPQFVLMGVADAFLEVAKLEFFYDQAPESMKSLGTSYSTTSLGIGNFLSSFLLSTVSDITKKHGHRGWILNNLNASHLDYYYAFFAILNFLNFIFFLIVNRLYVYKAEVSDSMEVLAEELKGMTLTEPNQVSSHE >Potri.009G118800.1.v4.1 pep chromosome:Pop_tri_v4:9:9965426:9971061:-1 gene:Potri.009G118800.v4.1 transcript:Potri.009G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118800.v4.1 MDSCCATLKANTHVAKASKGGFNNGDKEFWGERIRGSFNNSVWVNQLAKSLKVDKSVNKFKPGVAFSVLTSSNGRETVTLQPPRFERRKADPKNVASIILGGGAGTQLFPLTRRAATPAVPLGGCYRLIDIPMSNCINSGINKIFVLTQFNSTSLNRHLARTYFGNGIIFGDGFVEVLAATQTPGEAGMKWFQGTADAVRQFTWVFEDAKNRNIENILVLSGDHLYRMDYMDFVQHHIDSNADFTISCAAVGESRASDYGLVKIDGRGQVFQFAEKPKGSELREMRVDTTRLGLSPQDAMKSPYIASMGVYVFKTDILLKLLRWRYPTANDFGSEIIPAAVMEHNVQAYIFKDYWEDIGTIKSFYEANLALAEEPPKFEFYDPKTPFYTSPRFSPPTKFDKCRIVNAIISHGCFLRECTVQHSVVGERSRLDYGVELKDTVMLGADCYQTEVEIASLLAEGEVPIGVGRNTKIRNCIIDKNAKIGKDVIIMNKDGVQEADREEEGFYIRSGITIISEKATIEDGTVI >Potri.009G118800.4.v4.1 pep chromosome:Pop_tri_v4:9:9965494:9971075:-1 gene:Potri.009G118800.v4.1 transcript:Potri.009G118800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118800.v4.1 MDSCCATLKANTHVAKASKGGFNNGDKEFWGERIRGSFNNSVWVNQLAKSLKVDKSVNKFKPGVAFSVLTSSNGRETVTLQPPRFERRKADPKNVASIILGGGAGTQLFPLTRRAATPAVPLGGCYRLIDIPMSNCINSGINKIFVLTQFNSTSLNRHLARTYFGNGIIFGDGFVEVLAATQTPGEAGMKWFQGTADAVRQFTWVFEDAKNRNIENILVLSGDHLYRMDYMDFVQHHIDSNADFTISCAAVGESRASDYGLVKIDGRGQVFQFAEKPKGSELREMRVDTTRLGLSPQDAMKSPYIASMGVYVFKTDILLKLLRWRYPTANDFGSEIIPAAVMEHNVQAYIFKDYWEDIGTIKSFYEANLALAEEPPKFEFYDPKTPFYTSPRFSPPTKFDKCRIVNAIISHGCFLRECTVQHSVVGERSRLDYGVELKDTVMLGADCYQTEVEIASLLAEGEVPIGVGRNTKIRNCIIDKNAKIGKDVIIMNKDGVQEADREEEGFYIRSGITIISEKATIEDGTVI >Potri.009G118800.2.v4.1 pep chromosome:Pop_tri_v4:9:9965368:9971071:-1 gene:Potri.009G118800.v4.1 transcript:Potri.009G118800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118800.v4.1 MDSCCATLKANTHVAKASKGGFNNGDKEFWGERIRGSFNNSVWVNQLAKSLKVDKSVNKFKPGVAFSVLTSSNGRETVTLQPPRFERRKADPKNVASIILGGGAGTQLFPLTRRAATPAVPLGGCYRLIDIPMSNCINSGINKIFVLTQFNSTSLNRHLARTYFGNGIIFGDGFVEVLAATQTPGEAGMKWFQGTADAVRQFTWVFEDAKNRNIENILVLSGDHLYRMDYMDFVQHHIDSNADFTISCAAVGESRASDYGLVKIDGRGQVFQFAEKPKGSELREMRVDTTRLGLSPQDAMKSPYIASMGVYVFKTDILLKLLRWRYPTANDFGSEIIPAAVMEHNVQAYIFKDYWEDIGTIKSFYEANLALAEEPPKFEFYDPKTPFYTSPRFSPPTKFDKCRIVNAIISHGCFLRECTVQHSVVGERSRLDYGVELKDTVMLGADCYQTEVEIASLLAEGEVPIGVGRNTKIRNCIIDKNAKIGKDVIIMNKDGVQEADREEEGFYIRSGITIISEKATIEDGTVI >Potri.009G118800.3.v4.1 pep chromosome:Pop_tri_v4:9:9965365:9971075:-1 gene:Potri.009G118800.v4.1 transcript:Potri.009G118800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118800.v4.1 MDSCCATLKANTHVAKASKGGFNNGDKEFWGERIRGSFNNSVWVNQLAKSLKVDKSVNKFKPGVAFSVLTSSNGRETVTLQPPRFERRKADPKNVASIILGGGAGTQLFPLTRRAATPAVPLGGCYRLIDIPMSNCINSGINKIFVLTQFNSTSLNRHLARTYFGNGIIFGDGFVEVLAATQTPGEAGMKWFQGTADAVRQFTWVFEDAKNRNIENILVLSGDHLYRMDYMDFVQHHIDSNADFTISCAAVGESRASDYGLVKIDGRGQVFQFAEKPKGSELREMRVDTTRLGLSPQDAMKSPYIASMGVYVFKTDILLKLLRWRYPTANDFGSEIIPAAVMEHNVQAYIFKDYWEDIGTIKSFYEANLALAEEPPKFEFYDPKTPFYTSPRFSPPTKFDKCRIVNAIISHGCFLRECTVQHSVVGERSRLDYGVELKDTVMLGADCYQTEVEIASLLAEGEVPIGVGRNTKIRNCIIDKNAKIGKDVIIMNKDGVQEADREEEGFYIRSGITIISEKATIEDGTVI >Potri.001G160100.1.v4.1 pep chromosome:Pop_tri_v4:1:13547785:13552086:1 gene:Potri.001G160100.v4.1 transcript:Potri.001G160100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160100.v4.1 MITPLLFLQLCVLSIVLPTTSSAFMLSGREDDLFAEILRDEAVSRLNQLGKVSDADGYLERTFMSPASVRAANLIRAWMEDAGLTTWVDYMGNVHGRVEGLNASAEALLIGSHLDTVVDAGIFDGSLGIISAISALKVLKSNGTLTNLIRPVEVIAFSDEEGVRFQSTFLGSAAVAGILPVSALQISDKSGVNVQDALKENSIAITEESLFQLKYDPQSVWGYIEVHIEQGPVLEWVGFPLGVVKGIAGQTRLKVTVRGSQGHAGTVPMSLRQDPMAASAELIMLLESLCKNPKDFLSYDGHCNDSTVESLSNSLVCTVGEISTWPSASNVIPGQVTFTVDLRAMDNMGREAVLYELSNRMYEICERRSVSCIIERKHDANAVICDSELTSELKFAANAALKRLTGEIQDEVPVLMSGAGHDAMAMSHLTKVGMLFVRCRGGVSHSPAEHVLDDDVWAAGLSILAFLETHM >Potri.001G381500.2.v4.1 pep chromosome:Pop_tri_v4:1:40161708:40164516:-1 gene:Potri.001G381500.v4.1 transcript:Potri.001G381500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G381500.v4.1 MAMSPLLEDDTTLFDVNLDGTSTSEGGGYEPIPASRTKSEKPPPYSRFTQQELPACKPIVTPKLVISAFTLIGIVFFPIGIAALSASNKVVEIEERYDRECIPPIYSNRILQYIQSVGTDKTCTRRLTVPKHMKSPVFIYYQLTDFYQNYRIYKSSRSDLQLKSKADESSELENCGPVQKVGDKPIVPCGLVAWSMFNDTYSFSVKGKALIVNKMNIAWESDKEGRFGSDVYPKNSQTGGVIGGATLNSSIPLSEQEDLIVWMRPAALRNFRKLYGRIDVDLEANEEIKVEIKNNYNSYGYGGEKLLVLSTTSAFGGKNKFLGIAYLTVGGFSFLFAIVFAIIHRFKRRDIGDTAYLSWNRSPVGYYIK >Potri.001G009100.1.v4.1 pep chromosome:Pop_tri_v4:1:626031:626945:1 gene:Potri.001G009100.v4.1 transcript:Potri.001G009100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009100.v4.1 MARSVPILASKFITLLLLSSFATILVTGDQDHEFVRSLDRKLLGLKKEKLSHFKLYWHDILTGQNPSAVQVVPPPSNTSRTAFGLVRMIDNPLTLGPEMSSKLVGRAQGLYAQASQQDIGLLMAMNFAFIEGKYNGSTITVLGKNAVFSTVREMPVIGGSGLFRFARGYVQARTHKLDMATGDATVEYNVYVFHY >Potri.008G126500.5.v4.1 pep chromosome:Pop_tri_v4:8:8233764:8242311:-1 gene:Potri.008G126500.v4.1 transcript:Potri.008G126500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126500.v4.1 MEKDTKKSMEERLLLPEKRSNEGREGIAQTWREFTQEVKRLAYIAGPMVVTTTALNLLLVISNMMVGHLGELALSSSAIAISLCNVTGISLLNGMASALETLCGQAYGAQQYRKVGNQTYGAMFSLILVALVVSLVWINMETLLILIGQDPIIAHEAGQFTLWLIPTIFAYAIFQPLSRYLLVQSIIIPMLVSSCVTLLLHIPLCWLLVFKSGLRNLGGALAVGISYWLNAIFLILYVKYSPACAKTRVPISIELFQGIGEFFRFAIPSAMMICLQWWSYEIVILLSGLLSNPRLETSVLSVCLTTIGTLYSIPYGLGAAASTRVSNELGAGRPQAARIAAYSVMILAIIELFVVSGTLFATRHIFGYSFSNEREVVDYVSNMAPLVCLSVIIDGLQGVLSGVARGCGWQHIGASVNLAALYLCGVPVAAILGYWFQLKARGLWIGIQAGAILQTVLLSLVTSCTNWEKQARQARERVFEERSSEENTLA >Potri.008G126500.4.v4.1 pep chromosome:Pop_tri_v4:8:8233764:8242311:-1 gene:Potri.008G126500.v4.1 transcript:Potri.008G126500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126500.v4.1 MEKDTKKSMEERLLLPEKRSNEGREGIAQTWREFTQEVKRLAYIAGPMVVTTTALNLLLVISNMMVGHLGELALSSSAIAISLCNVTGISLLNGMASALETLCGQAYGAQQYRKVGNQTYGAMFSLILVALVVSLVWINMETLLILIGQDPIIAHEAGQFTLWLIPTIFAYAIFQPLSRYLLVQSIIIPMLVSSCVTLLLHIPLCWLLVFKSGLRNLGGALAVGISYWLNAIFLILYVKYSPACAKTRVPISIELFQGIGEFFRFAIPSAMMICLQWWSYEIVILLSGLLSNPRLETSVLSVCLTTIGTLYSIPYGLGAAASTRVSNELGAGRPQAARIAAYSVMILAIIELFVVSGTLFATRHIFGYSFSNEREVVDYVSNMAPLVCLSVIIDGLQGVLSGVARGCGWQHIGASVNLAALYLCGVPVAAILGYWFQLKARGLWIGIQAGAILQTVLLSLVTSCTNWEKQARQARERVFEERSSEENTLA >Potri.006G019550.3.v4.1 pep chromosome:Pop_tri_v4:6:1260979:1261377:1 gene:Potri.006G019550.v4.1 transcript:Potri.006G019550.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019550.v4.1 MDQINKNRGRIRAKTKQRTRESLGPANPRFQDPYHQSICPEWGNSKAQTKSFLPEHKEQIKKRQRDRAEKERKTEGQPFRACRKRTQKTVEPHRETKENSHCAIVFGAVLRCTGKFPFSAFNKTFKCSMKVI >Potri.008G161700.2.v4.1 pep chromosome:Pop_tri_v4:8:11121527:11125025:-1 gene:Potri.008G161700.v4.1 transcript:Potri.008G161700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161700.v4.1 MFLKVQLPWNVIIPAENLDAKGLMLQRSIVVRLLDDFAKKRATKDLGYYLAVSTLESIGEGKVRQHTGDVLFPVVFSGITFKIFRGEILDGIVHKVLKHGVLLRCGPIENIYLSCMKMPDYRYVPGENPVFLNDKTSKIEKDVVVRFVVLGTKWLEAEREFQALVSLEGDYLGPVS >Potri.002G204100.1.v4.1 pep chromosome:Pop_tri_v4:2:16869982:16871113:-1 gene:Potri.002G204100.v4.1 transcript:Potri.002G204100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204100.v4.1 MSKAAAAGGAKGKKKGASFVIDCAKPVEDKIMDIASLEKFLQERIKVGGKAGALGDTVTVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEED >Potri.002G020800.1.v4.1 pep chromosome:Pop_tri_v4:2:1281622:1282505:-1 gene:Potri.002G020800.v4.1 transcript:Potri.002G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020800.v4.1 MASTTCLTSFSSSASPFIGSKVSTNQSHSPPPSRVSFRPFRVSAACASTAERPTSCIATPTSASSLYEVLGIQMGATCQEIKTAYRRLARILHPDVAANGQREDKAYEFMRVHEAYETLSDPEKRADYDRSLYRRGRQMGSPFVMSAATVTTMATGFSGYTSQRWETDQCW >Potri.010G011000.1.v4.1 pep chromosome:Pop_tri_v4:10:2000887:2003934:-1 gene:Potri.010G011000.v4.1 transcript:Potri.010G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011000.v4.1 MSGLESYLLTSSSLSFPFSNNKRSQVQCTPPRRSPFDIAPIKRRPILIVMASPSAGIQKLLHVGTKIVAVGRNYAAHAKELGNAVPKEPVLFMKPTSSYLENGGTIEIPQPLESLHHEVELAVVIGQKARDVPESTAMDYVGGYALALDMTAREIQATAKSAGLPWTVAKGQDTFTPISSVLSKSNVLDPNNLELWLKVDGEIRQKGSTKDMIFKIPFLISHISSIMTLFEGDVILTGTPQGVGPVKIGQKITAGITDLIDVHFNVEKRKRPGSC >Potri.008G074000.1.v4.1 pep chromosome:Pop_tri_v4:8:4591301:4591936:1 gene:Potri.008G074000.v4.1 transcript:Potri.008G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074000.v4.1 MSSPTIPISNPQTLSQPPIATPAFRTFLSRLSISIRQGFSQRRPWYELIDRSSMARPDSISEAATRIRKNLSYFKVNYITLLALILAFSLLSHPLSLLALLSLLASWIFLYLFRPSDQPLVILGRTFSERETLGILVVLTIVVIFLTSVGSLLISALMVGFALVCAHGAFRVPDDLFLDDQEPASAGFLSFLGGGASSAAVAAAPAVLARV >Potri.004G152201.1.v4.1 pep chromosome:Pop_tri_v4:4:17337562:17339566:-1 gene:Potri.004G152201.v4.1 transcript:Potri.004G152201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152201.v4.1 MLILKTCKWGCACSFLFRSSKPLKTSFVKIFSLCITDITSRVSHHLHSQLVPNHHGNIWFPLQETDRQSLKDYQSLHYRSQHHTLQLPSFSSSLALRYSFHSHKKCGRRDILEAHQFMKEF >Potri.018G014600.1.v4.1 pep chromosome:Pop_tri_v4:18:992187:994256:-1 gene:Potri.018G014600.v4.1 transcript:Potri.018G014600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014600.v4.1 MATPISLVFLLYVLLFLLCPLCSLKKGHTVAANEITKGYFRNVNVNSLLPSSVCDHSNKVLNKASSLKVVSKYGPCTVTGDPKTFPSAAEILRRDQLRVKSIRAKHSMNSSTTGVFNEMKTRVPTTHFGGGYAVTVGLGTPKKDFSLLFDTGSDLTWTQCEPCSGGCFPQNDEKFDPTKSTSYKNLSCSSEPCKSIGKESAQGCSSSNSCLYGVKYGTGYTVGFLATETLTITPSDVFENFVIGCGERNGGRFSGTAGLLGLGRSPVALPSQTSSTYKNLFSYCLPASSSSTGHLSFGGGVSQAAKFTPITSKIPELYGLDVSGISVGGRKLPIDPSVFRTAGTIIDSGTTLTYLPSTAHSALSSAFQEMMTNYTLTKGTSGLQPCYDFSKHANDNITIPQISIFFEGGVEVDIDDSGIFIAANGLEEVCLAFKDNGNDTDVAIFGNVQQKTYEVVYDVAKGMVGFAPGGC >Potri.001G357100.4.v4.1 pep chromosome:Pop_tri_v4:1:37190149:37195590:1 gene:Potri.001G357100.v4.1 transcript:Potri.001G357100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357100.v4.1 MACMKLGSKNDAFQKQGQAWFCTTGLPSDIVVEVEEISFHLHKFPLLSRSGVMERLIAEASEEGDKKCVISPPKIPGGAKTFELVAKFCYGVKLELTASNVVYLRCAAEHLEMTEEYGEGNLSTQTETFLNQVVLRNWKDSLKALQTCDDILPHAEELHVTRRCLESLATKACTDPNLFGWPMMERGPMQSPGGSVMWNGISTGARPKHSNSDWWYEDVSTLSLPLYKRLISIMESHGNRQELLAGSLAYYAKKYLHGLYRRQGANETTSCLMPMTSGASPSEKEQKVLLEEIDQLLPVQKGLVPTKFLFGLLRTALILKSNPSCLANLEKRIGMQLEQATLEDLLMPSFSYSMETLYNVDSVQRILEHFLAMDQITGGSSPCSIEDGQLIGSPSLTPITTVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPEYARPLDDGLYRAIDIYLKSHPWLAESDREQLCRLIDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGFAGSTDGGWATAVRENQVLKVGMDNMRTRVFELEKECSSMRHEIEKLGRTKVSSTWGNVSKKFGFKMKSQMCSAQEGSVSKQNNGSAKFEKAKDRHGKHKKNSS >Potri.001G357100.1.v4.1 pep chromosome:Pop_tri_v4:1:37190073:37195655:1 gene:Potri.001G357100.v4.1 transcript:Potri.001G357100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357100.v4.1 MACMKLGSKNDAFQKQGQAWFCTTGLPSDIVVEVEEISFHLHKFPLLSRSGVMERLIAEASEEGDKKCVISPPKIPGGAKTFELVAKFCYGVKLELTASNVVYLRCAAEHLEMTEEYGEGNLSTQTETFLNQVVLRNWKDSLKALQTCDDILPHAEELHVTRRCLESLATKACTDPNLFGWPMMERGPMQSPGGSVMWNGISTGARPKHSNSDWWYEDVSTLSLPLYKRLISIMESHGNRQELLAGSLAYYAKKYLHGLYRRQGANETTSCLMPMTSGASPSEKEQKVLLEEIDQLLPVQKGLVPTKFLFGLLRTALILKSNPSCLANLEKRIGMQLEQATLEDLLMPSFSYSMETLYNVDSVQRILEHFLAMDQITGGSSPCSIEDGQLIGSPSLTPITTVAKLIDGYLAEVAPDVNLKLPKFQALAAAVPEYARPLDDGLYRAIDIYLKSHPWLAESDREQLCRLIDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGFAGSTDGGWATAVRENQVLKVGMDNMRTRVFELEKECSSMRHEIEKLGRTKVSSTWGNVSKKFGFKMKSQMCSAQEGSVSKQNNGSAKFEKAKDRHGKHKKNSS >Potri.017G040049.1.v4.1 pep chromosome:Pop_tri_v4:17:2657940:2658930:-1 gene:Potri.017G040049.v4.1 transcript:Potri.017G040049.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040049.v4.1 MVSYKSSQPHQSYPTIVVCLSMSSSRLTSSLYLLTFALLLQNVLGTDPLFSRCSSNANSTANSSYKTSMNVLMGSLYHLAPVEGFALGSLGQNNQDRPYGLVLCRGDVSSSDCRTCAADATREIRKRCPYSKRAIIAYDNCLLKYSDSDFFGQIDDQNKFYMWNVNNVSNPVLFNEKTSELLSQLADKASYNSSKLYAAGEMDLLEGSMKLYGMAQCTRDLSSVDCKKCLDGAIGELPGVAYGKQGARVVGGSCMVIYEIYPFVKA >Potri.002G012400.1.v4.1 pep chromosome:Pop_tri_v4:2:757650:758850:1 gene:Potri.002G012400.v4.1 transcript:Potri.002G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012400.v4.1 MATSHVRSISLPSRSHPLNVSVENQLERLRSSQTTSTSVYHKLSGLKVLYECVDDFLQLPLTQQTVSNEQHKERAEELLNGSLLLLDVCGTTRDVFSSMKECLQLLESSLRRRKGGESGLATEVEAYMVSRKQINKTIRKCFRNLKSVEKKNTSAIDTLGMLRDVKEISLEIFQSLLSLVSQPKARSSSHGWSVVSKLFQSKRVSSEDEANELEKIDAELLALKSSKDINPVQVQNVLKGLEALESSTQEAEEELEGVYRKLVKARVSILNTLNH >Potri.004G056800.1.v4.1 pep chromosome:Pop_tri_v4:4:4713725:4715539:1 gene:Potri.004G056800.v4.1 transcript:Potri.004G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056800.v4.1 MTITEMKVYMDCAGCETKIRKAIQKLDGVDDIDIDIYMQKVTVMGWADQRKVLKAVRKTGRRAELWPYPYNPESYNFNQQYYYQQQNEKEIVTYYENKPTPSYNYDKHGYNEEEFGYYQKPAYATIVDEEASAIFSDENPHACSIM >Potri.006G192400.1.v4.1 pep chromosome:Pop_tri_v4:6:19933153:19938559:1 gene:Potri.006G192400.v4.1 transcript:Potri.006G192400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192400.v4.1 MTGMEIDIEKNIQRKRSTYQSLDETFDIQNETYRGQQYSQIYFARLHLMRTLLYSLVTHWKPHVPVCTVLGLEEGKECIIVGTLYKHMKLKPCVLDEYSKERSAVPLVKPHNFMHPDDHLVLEDESGRVKLGGTVLSPSKYVTGGVVALHGKETTAGDFLVLDVFEAGLAPQIEPQLKSREDKYVVFVSGVSVGSSTSNPLQFQLLVDHITGHLGDDQEQGIAAEIVHVVFAGNSVEIPSGLLNGQNLASKDQSRLSEPVQELDIWLTQIAAGVSVDIMPGSNDPANFALPQQPLNRCLFPGSRAYNTFNLCTNPHCFELDGVRFLGTSGQNIDDLKKYSEARDELEFMERTLKWRHLAPTAPNTLGCYPFTDKDPFLIESCPHVYFVGNQDKYGTHILNGSEGQLVRLISVPKFCDTGIAVVLNLRNLECHTLSFGAQFSP >Potri.018G005600.2.v4.1 pep chromosome:Pop_tri_v4:18:454059:458364:1 gene:Potri.018G005600.v4.1 transcript:Potri.018G005600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005600.v4.1 MVLTIDLICCCCRFKGVIPFMDDYSGKRAGDRFIVSRKGSPHVLRDTANNRDQKAQFCNRIGCSGRLNSSKGTQISSEKAKSSRPRPLISSSSSGKEKNGSSSNKAFSAISKPRNSLQEPRKKFSSQLESESLETGSGQDEVTPPSGRIKLDLRPETDGAASSDITSMEAGSSGISKSTRSHWNFHQKSGLVNPETVVGSPVSLASKSTIQGTRLNASRFGHRNLRCNTVSDSSSSGSSSSDLNLSRRKDTFNKRICDGESSSFARGKRMIGSSLEGRSSSSNSGISISDSRRARTGTLNRDSSAASIGSRRPLSGYTRARVANQGSGNNLSANEIPLTSQPDMSLDLNAPSSSHHFSVEASLGRPSSYSRPGSSNGSLRGIRPSSPEVSNAQSLMNRESFQRYNMVGIAEVLLALQRIEQDEELTYEQLLVLETSLVLNGLNFHDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLKTSIYHSTPMEDATANLEGDKDDIKCSICQEEYVVGDEVGRLQCEHGYHMSCIHQWLSLKNWCPICKASVAPSPPSS >Potri.018G005600.1.v4.1 pep chromosome:Pop_tri_v4:18:453853:458432:1 gene:Potri.018G005600.v4.1 transcript:Potri.018G005600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005600.v4.1 MDDYSGKRAGDRFIVSRKGSPHVLRDTANNRDQKAQFCNRIGCSGRLNSSKGTQISSEKAKSSRPRPLISSSSSGKEKNGSSSNKAFSAISKPRNSLQEPRKKFSSQLESESLETGSGQDEVTPPSGRIKLDLRPETDGAASSDITSMEAGSSGISKSTRSHWNFHQKSGLVNPETVVGSPVSLASKSTIQGTRLNASRFGHRNLRCNTVSDSSSSGSSSSDLNLSRRKDTFNKRICDGESSSFARGKRMIGSSLEGRSSSSNSGISISDSRRARTGTLNRDSSAASIGSRRPLSGYTRARVANQGSGNNLSANEIPLTSQPDMSLDLNAPSSSHHFSVEASLGRPSSYSRPGSSNGSLRGIRPSSPEVSNAQSLMNRESFQRYNMVGIAEVLLALQRIEQDEELTYEQLLVLETSLVLNGLNFHDQHRDMRLDIDNMSYEELLALEERMGTVSTALTEEALSECLKTSIYHSTPMEDATANLEGDKDDIKCSICQEEYVVGDEVGRLQCEHGYHMSCIHQWLSLKNWCPICKASVAPSPPSS >Potri.008G103600.2.v4.1 pep chromosome:Pop_tri_v4:8:6554333:6556383:-1 gene:Potri.008G103600.v4.1 transcript:Potri.008G103600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103600.v4.1 MKAYQTPSKKEHYSRTSSDRICKDSLLKKPLKNAKKSLDGAFISATQGVSPEIIKESSDLSPVSEISDANHCSQTASVNFSSFHSNRISVLALNPVVSASTEISSLPDITPNSNTITITDDLGSISTDCYGVNKQCNSKIGQVEGLEADIVVNLLKQARIEVSKADVQSKKLLDALIKVVIDEFYTLTGEKDLTNCFVSMKGRVVCLCFLIWSFAVSGFLLFDLGLGSSGGGPPPT >Potri.008G103600.1.v4.1 pep chromosome:Pop_tri_v4:8:6554333:6556383:-1 gene:Potri.008G103600.v4.1 transcript:Potri.008G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103600.v4.1 MKAYQTPSKKEHYSRTSSDRICKDSLLKKPLKNAKKSLDGAFISATQGVSPEIIKESSDLSPVSEISDANHCSQTASISVLALNPVVSASTEISSLPDITPNSNTITITDDLGSISTDCYGVNKQCNSKIGQVEGLEADIVVNLLKQARIEVSKADVQSKKLLDALIKVVIDEFYTLTGEKDLTNCFVSMKGRVVCLCFLIWSFAVSGFLLFDLGLGSSGGGPPPT >Potri.011G078800.3.v4.1 pep chromosome:Pop_tri_v4:11:8457848:8466071:1 gene:Potri.011G078800.v4.1 transcript:Potri.011G078800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078800.v4.1 MSGRGGGGGGGGVNNNNGKGNTGISGIPAASRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKDSTDFRSRGASNISNRGGRGGADRYGRGGPGRSAYFNSNESSTFHSKPAYKKENGTNAYIDPFPSASGIAGNNINWQPPSHSDSVAAENKMSTIGAGDGVSSSPQPSPVYQSAWMGVPGQVSMADIVKMGRPQNKASVILPHQSVNHHRAAASLLAASHNDFHSSENYASKVVEITAEPEMATSQHNHSNDEWPSIEQPTAAITSSVRDVPADSELYGDLSNLPLDRGSQHVKSQLDDQTAEDAHVESFDGNHVGPASVSTRNTQEDGSGGSSLFDNDVYENINSYQSDSLAFENNEAEDGTSSVAANLQHLSLQNDDQGVQPEENNPSVIIPNHLQVHAQECSHLSFGSFGSGMNSAFSGQFASMPINKSLEETSEVVDALSTGHSEARNPEYYGDEHLRNAVDESLVHRAGVSATNYDSSSVPQSETLKEETSEATQGNQYAFPSSTPGYSYENTQQLNVAFNNPQTSTQMQNIAPFSSVMQAYTNSMPSALLASTVQAGRETDLPYSPFPVTQSLPTKYSNAATSISGPSISMSEALRAGGVSTPQPTPQTLPGANIATGPALPQHLAVHPYQQPTLPLGHFANMISYPFMAQSYTYMPSAFQQTFAGNNSYHQSLAAVLPQYKNSVSVSSLPQSAAVASGYGFGSSTSIPAGNFPLNAPTAPAGTTIGYDDILGSQYKDASHLMSLQQNENSAMWLHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQPSQHFGALGYPNYYHSQTGMSLEHQQQQNSRDGSLGGSQGQPSKQAQQLWQNSY >Potri.011G078800.2.v4.1 pep chromosome:Pop_tri_v4:11:8457848:8466071:1 gene:Potri.011G078800.v4.1 transcript:Potri.011G078800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078800.v4.1 MSGRGGGGGGGGVNNNNGKGNTGISGIPAASRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKDSTDFRSRGASNISNRGGRGGADRYGRGGPGRSAYFNSNESSTFHSKPAYKKENGTNAYIDPFPSASGIAGNNINWQPPSHSDSVAAENKMSTIGAGDGVSSSPQPSPVYQSAWMGVPGQVSMADIVKMGRPQNKASVILPHQSVNHHRAAASLLAASHNDFHSSENYASKVVEITAEPEMATSQHNHSNDEWPSIEQPTAAITSSVRDVPADSELYGDLSNLPLDRGSQHVKSQLDDQTAEDAHVESFDGNHVGPASVSTRNTQEDGSGGSSLFDNDVYENINSYQSDSLAFENNEAEDGTSSVAANLQHLSLQNDDQGVQPEENNPSVIIPNHLQVHAQECSHLSFGSFGSGMNSAFSGQFASMPINKSLEETSEVVDALSTGHSEARNPEYYGDEHLRNAVDESLVHRAGVSATNYDSSSVPQSETLKEETSEATQGNQYAFPSSTPGYSYENTQQLNVAFNNPQTSTQMQNIAPFSSVMAYTNSMPSALLASTVQAGRETDLPYSPFPVTQSLPTKYSNAATSISGPSISMSEALRAGGVSTPQPTPQTLPGANIATGPALPQHLAVHPYQQPTLPLGHFANMISYPFMAQSYTYMPSAFQQTFAGNNSYHQSLAAVLPQYKNSVSVSSLPQSAAVASGYGFGSSTSIPAGNFPLNAPTAPAGTTIGYDDILGSQYKDASHLMSLQQNENSAMWLHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQPSQHFGALGYPNYYHSQTGMSLEHQQQQNSRDGSLGGSQGQPSKQAQQLWQNSY >Potri.011G078800.4.v4.1 pep chromosome:Pop_tri_v4:11:8457848:8466071:1 gene:Potri.011G078800.v4.1 transcript:Potri.011G078800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078800.v4.1 MSGRGGGGGGGGVNNNNGKGNTGISGIPAASRKMVQSLKEIVNCPEPEIYAMLKECNMDPNEAVNRLLSQDPFHEVKSKREKKKENKDSTDFRSRGASNISNRGGRGGADRYGRGGPGRSAYFNSNGNNINWQPPSHSDSVAAENKMSTIGAGDGVSSSPQPSPVYQSAWMGVPGQVSMADIVKMGRPQNKASVILPHQSVNHHRAAASLLAASHNDFHSSENYASKVVEITAEPEMATSQHNHSNDEWPSIEQPTAAITSSVRDVPADSELYGDLSNLPLDRGSQHVKSQLDDQTAEDAHVESFDGNHVGPASVSTRNTQEDGSGGSSLFDNDVYENINSYQSDSLAFENNEAEDGTSSVAANLQHLSLQNDDQGVQPEENNPSVIIPNHLQVHAQECSHLSFGSFGSGMNSAFSGQFASMPINKSLEETSEVVDALSTGHSEARNPEYYGDEHLRNAVDESLVHRAGVSATNYDSSSVPQSETLKEETSEATQGNQYAFPSSTPGYSYENTQQLNVAFNNPQTSTQMQNIAPFSSVMQAYTNSMPSALLASTVQAGRETDLPYSPFPVTQSLPTKYSNAATSISGPSISMSEALRAGGVSTPQPTPQTLPGANIATGPALPQHLAVHPYQQPTLPLGHFANMISYPFMAQSYTYMPSAFQQTFAGNNSYHQSLAAVLPQYKNSVSVSSLPQSAAVASGYGFGSSTSIPAGNFPLNAPTAPAGTTIGYDDILGSQYKDASHLMSLQQNENSAMWLHGPGSRTMSAVPASTYYSFQGQNQQPGGFRQGQQPSQHFGALGYPNYYHSQTGMSLEHQQQQNSRDGSLGGSQGQPSKQAQQLWQNSY >Potri.001G319100.1.v4.1 pep chromosome:Pop_tri_v4:1:32885489:32887291:1 gene:Potri.001G319100.v4.1 transcript:Potri.001G319100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319100.v4.1 MRCYGLMIPGSETARFYPSPLKPESSPILRFKPVTRIPIGSFKTKTTINAKITTELGQMTLYQLLGIPESGTFPEIKQAYKQLARKYHPDVSPPGRVEEYTRRFIRVQEAYETLSDPRMKEIYDRDMARGLHLAFSARRRYPYQNDEEMEGRSEWKNCWQSQLSELKRRSMNKDAGGSSMSWAARMRRRREGLSEEL >Potri.001G140800.1.v4.1 pep chromosome:Pop_tri_v4:1:11503314:11507131:1 gene:Potri.001G140800.v4.1 transcript:Potri.001G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140800.v4.1 MDYNRDFSGVNVIPITGPDSWISNSSDSNSVWATEDDYRFWNNSDGLNDNTPSNSNYQSSETLSGSEPPNKKSKNNSQELNSKKSIGKMFFKTKLCCKFRAGTCPYITNCNFAHSMEELRRPPPNWQEIVAAHEEERGNTVDAREEFQIPSIVGFGAETQRSYKGRHCKKFYTEEGCPYGDNCTFLHDEQSKNRESVAISLGPGGYGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGGNAGSNAVNVKPSNWKTRICNKWELTGYCPFGNKCHFAHGVGELHRYGGGLVEMDAKDSAFVPVESKQGGVPSKTPPETVVASVTSVPNSDVYHLGVPSQKSSILIQRPGQRTHQKWKGPDKISRIYGDWIDDIE >Potri.002G215100.7.v4.1 pep chromosome:Pop_tri_v4:2:20099424:20103149:-1 gene:Potri.002G215100.v4.1 transcript:Potri.002G215100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215100.v4.1 MAASSAACLVGNGLSATGNAKQNSTKEFFCGGHLFVSTNLLPSSKTSRNVIAKEALDKRRHDARRGFLKVLLGGISGSALLGGGRAYADEQGVSSSRMSYSRFLEYLDKGRVNKVDLYENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLSFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVTVDVPDVRGRTEILKVHASNKKFDADVSLDVVAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPADDPTLISKQQLFARIVGGLGGRAAEEVIFGESEVTTGAAGDLQQVTGLAKQMVTTFGMSEIGPWSLMDASAQSGDVIMRMMARNSMSEKLAEDIDSAVKRISDSAYEIALSHIRYNREAIDKIVEVLLEKETMTGDEFRAILSEFVEIPAENRVPSSVSSPVAV >Potri.002G215100.1.v4.1 pep chromosome:Pop_tri_v4:2:20099439:20102973:-1 gene:Potri.002G215100.v4.1 transcript:Potri.002G215100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215100.v4.1 MFAFACFQMAASSAACLVGNGLSATGNAKQNSTKEFFCGGHLFVSTNLLPSSKTSRNVIAKEALDKRRHDARRGFLKVLLGGISGSALLGGGRAYADEQGVSSSRMSYSRFLEYLDKGRVNKVDLYENGTIAIVEAVSPELGNRVQRVRVQLPGLSQELLQKFREKNIDFAAHNAQEDSGSLLFNLIGNLAFPLILIGGLFLLSRRSSGGMGGPGGPGFPLSFGQSKAKFQMEPNTGVTFDDVAGVDEAKQDFMEVVEFLKKPERFTAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSISGSEFVEMFVGVGASRVRDLFKKAKENAPCIVFVDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFEGNTGIIVVAATNRADILDSALLRPGRFDRQVTVDVPDVRGRTEILKVHASNKKFDADVSLDVVAMRTPGFSGADLANLLNEAAILAGRRGKTAISSKEIDDSIDRIVAGMEGTVMTDGKSKSLVAYHEVGHAICGTLTPGHDAVQKVTLVPRGQARGLTWFIPADDPTLISKQQLFARIVGGLGGRAAEEVIFGESEVTTGAAGDLQQVTGLAKQMVTTFGMSEIGPWSLMDASAQSGDVIMRMMARNSMSEKLAEDIDSAVKRISDSAYEIALSHIRYNREAIDKIVEVLLEKETMTGDEFRAILSEFVEIPAENRVPSSVSSPVAV >Potri.008G107900.5.v4.1 pep chromosome:Pop_tri_v4:8:6820814:6829176:-1 gene:Potri.008G107900.v4.1 transcript:Potri.008G107900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107900.v4.1 MESGFSDRLVGSEIHGFHTLRDLDVPNIMEESRTRWLRPNEIHAMLCNHKYFTINVKPVKLPMSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDIPTFVRRCYWLLDKTLEHVVLVHYRETQEGSPATPVNSHSSSVSDQSAPGLLSEESDSGAARGYYAGEKDLDLSGPSDSLTVINHAIRLHELNTLEWDELLTNDPGNSILHGGDKIPSFDQQNQIAVKGSVNDGSTLSGYQLSAEKSAFGNLTEAVVRNGNAQFSGPDNVYRQLTGSQVYLDAQRKNSVVLGARDSLDILINDGLQSQDSFGRWMNSIIDDSPVSVDDATVESPISSGYDSFASPGMDQHQSSIQEQMFIITDFSPAWGFSNETTKILVTGYFHEQYLHLAKSNLFCICGDAFVPAEIVQAGVYSCMVSPHSPGLVNLCLSLDGSKPISQILNFEYRAPSVHDSVVFSEDKSKWEEFHLQMRLAYLLFSTSKTLNVLSSKVSPAKLKEAKKFAHKTSNISNSWAYLIKSIEDSRISVAQAKDGLFELSLKNTIKEWLLERVLEGCKTTEYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTAMHWAAYYGREKMVAALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNASGSLQMTATDTVNSENLSEEELHLKDTLAAYRTAADAAARIQTAFREHSLKVYTKAVQFSSPEDEARNIIAAMKIQHAFRNYDSKKKIAAAAHIQHRFHTWKTRKNFLNMRRQAIKIQAAFRGFQERRQYRKIIWSIGVLEKAILRWRLKRKGFRGLQVEPVETDVDPKHESDTEEDFYKISQKQAGERVERSVIRVQAMFRSKQAQEQYRRMKLTYNQATVEYEGLLDTDMVESDL >Potri.008G107900.7.v4.1 pep chromosome:Pop_tri_v4:8:6820794:6829092:-1 gene:Potri.008G107900.v4.1 transcript:Potri.008G107900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107900.v4.1 MESGFSDRLVGSEIHGFHTLRDLDVPNIMEESRTRWLRPNEIHAMLCNHKYFTINVKPVKLPMSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDIPTFVRRCYWLLDKTLEHVVLVHYRETQEGSPATPVNSHSSSVSDQSAPGLLSEESDSGAARGYYAGEKDLDLSGPSDSLTVINHAIRLHELNTLEWDELLTNDPGNSILHGGDKIPSFDQQNQIAVKGSVNDGSTLSGYQLSAEKSAFGNLTEAVVRNGNAQFSGPDNVYRQLTGSQVYLDAQRKNSVVLGARDSLDILINDGLQSQDSFGRWMNSIIDDSPVSVDDATVESPISSGYDSFASPGMDQHQSSIQEQMFIITDFSPAWGFSNETTKILVTGYFHEQYLHLAKSNLFCICGDAFVPAEIVQAGVYSCMVSPHSPGLVNLCLSLDGSKPISQILNFEYRAPSVHDSVVFSEDKSKWEEFHLQMRLAYLLFSTSKTLNVLSSKVSPAKLKEAKKFAHKTSNISNSWAYLIKSIEDSRISVAQAKDGLFELSLKNTIKEWLLERVLEGCKTTEYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTAMHWAAYYGREKMVAALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNASGSLQMTATDTVNSENLSEEELHLKDTLAAYRTAADAAARIQTAFREHSLKVYTKAVQFSSPEDEARNIIAAMKIQHAFRNYDSKKKIAAAAHIQHRFHTWKTRKNFLNMRRQAIKIQAAFRGFQERRQYRKIIWSIGVLEKAILRWRLKRKGFRGLQVEPVETDVDPKHESDTEEDFYKISQKQAGERVERSVIRVQAMFRSKQAQEQYRRMKLTYNQATVEYEGLLDTDMVESDL >Potri.008G107900.8.v4.1 pep chromosome:Pop_tri_v4:8:6820959:6829199:-1 gene:Potri.008G107900.v4.1 transcript:Potri.008G107900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107900.v4.1 MESGFSDRLVGSEIHGFHTLRDLDVPNIMEESRTRWLRPNEIHAMLCNHKYFTINVKPVKLPMSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDIPTFVRRCYWLLDKTLEHVVLVHYRETQEGSPATPVNSHSSSVSDQSAPGLLSEESDSGAARGYYAGEKDLDLSGPSDSLTVINHAIRLHELNTLEWDELLTNDPGNSILHGGDKIPSFDQQNQIAVKGSVNDGSTLSGYQLSAEKSAFGNLTEAVVRNGNAQFSGPDNVYRQLTGSQVYLDAQRKNSVVLGARDSLDILINDGLQSQDSFGRWMNSIIDDSPVSVDDATVESPISSGYDSFASPGMDQHQSSIQEQMFIITDFSPAWGFSNETTKILVTGYFHEQYLHLAKSNLFCICGDAFVPAEIVQAGVYSCMVSPHSPGLVNLCLSLDGSKPISQILNFEYRAPSVHDSVVFSEDKSKWEEFHLQMRLAYLLFSTSKTLNVLSSKVSPAKLKEAKKFAHKTSNISNSWAYLIKSIEDSRISVAQAKDGLFELSLKNTIKEWLLERVLEGCKTTEYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTAMHWAAYYGREKMVAALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNASGSLQMTATDTVNSENLSEEELHLKDTLAAYRTAADAAARIQTAFREHSLKVYTKAVQFSSPEDEARNIIAAMKIQHAFRNYDSKKKIAAAAHIQHRFHTWKTRKNFLNMRRQAIKIQAAFRGFQERRQYRKIIWSIGVLEKAILRWRLKRKGFRGLQVEPVETDVDPKHESDTEEDFYKISQKQAGERVERSVIRVQAMFRSKQAQEQYRRMKLTYNQATVEYEGLLDTDMVESDL >Potri.002G136700.1.v4.1 pep chromosome:Pop_tri_v4:2:10260392:10263328:1 gene:Potri.002G136700.v4.1 transcript:Potri.002G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136700.v4.1 MYIEEIKEGDQDENSCRNVVVLDAKKVLVGAGARALFYPTLLYNVLRNKIESEFHWWDRVDQFILLGAVPFPTDVRRLKKLGVSGVVTLNESYETLVPTSLYHAHDIDHLVIPTRDYLFAPSFTDICQAVDFIHENASLGKTTYVHCKAGRGRSTTIVLCYLVEHRHMLPKAAYEHVRSIRPRVLLVSSQWQAVQDYYLLKVKKTSVPGCTVTQKALDLPTRGDEKQDTASFDDGSAVLVTESELDGYDASCDSGLVGNDMLAEHGLACKVQFASRAAISRLSCLWLGCHPDQKSSMKKPGSSIGGNQLSSIAADIQAY >Potri.017G091700.1.v4.1 pep chromosome:Pop_tri_v4:17:10433097:10436613:-1 gene:Potri.017G091700.v4.1 transcript:Potri.017G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091700.v4.1 MEYAAAAYPQMHQNQNANPNPPQQQPQRQPQPISTSLYSSYYYPPPAAVAADPQNQHITTQFYTTDPTTSLTPPGVESYTNYPHLAYCFDPNSNTWVAKEAVRQYGSAPAAFPAPVSNTIPPNGTEQLAVSHLDSTLWANLTFLAQGNSNQKKQQKKTQQKKMKVVQSAYCEVCKVDCNSKDVLDQHKLGKKHKKNLEKLQAAAAGCSVSAGSSNPVIGPQENPSKSENGNGQKSKKKAAEPLEDLDTKRRRILEGGAAADAVRVCSFCNVVCNSDTVFNSHLAGQKHAAMLKKLAGIRMATAI >Potri.001G382300.2.v4.1 pep chromosome:Pop_tri_v4:1:40211258:40213734:-1 gene:Potri.001G382300.v4.1 transcript:Potri.001G382300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382300.v4.1 MEQPFSPPSYSCSNPFHIVKSGSTNSLRRPATLSPQTNTPLTPTCATIQNNHRNTYTSKLICLFNPNQQPHQPPKISPNPNHRHEKKFIFCVFLKIRDGECDARAKESTNARMNPQILVTFQRMSIRRHRFLVHFQGL >Potri.016G017166.1.v4.1 pep chromosome:Pop_tri_v4:16:898273:899932:1 gene:Potri.016G017166.v4.1 transcript:Potri.016G017166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017166.v4.1 MFCTSMIDVANEFGVPSYIFLTSGAAFLGLQFYVQALHDEQKVDPTEFKGSDAELVMPCLANPLPAKVLPSVMLNKEWLPNTLSQARRFRESKGIIINTFEELESHAIDSFSIGNTPPVYPVGPILNLNRDGDCDEESDKYKDIKQWLDDQPLSSVVYLCFGSMGSFGADQVKEIACALEQSGHRFLWSLRKPPQKGKMEPPSDYTNPRDALPEGFLDRTANSGKVIGWAPQTDILAHPSVGGFVSHCGWNSILESIWFGVPIAAWPLYAEQQLNAFQIIVELGLGVEIKMDYRKDLYSDGNENVISAGEIERGVRCLMELCDEKRERLKEMSGKGKKALENGGSSFTWLGRFIQDTVDQLP >Potri.009G164600.1.v4.1 pep chromosome:Pop_tri_v4:9:12635071:12637481:-1 gene:Potri.009G164600.v4.1 transcript:Potri.009G164600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164600.v4.1 MVYQATELPPPQSDQSPDNPPGNAPRIKLRDGRYLAYREQGVPKNQSKYNVIIVHGFGSSKEMNFLAPQGMIEELGIYFLLYDRAGYGESDPNLRRSVKSEALDIQELADQLEIGSRFYVIGVSMGSYPIWSCLKYIPQRLAGAALIVPVVNYNWPSLPKKLIREDYRRNLVQWTYRFAKYAPGLLHWWVTQKWTPSTSVLEKNAAFFNTHDIEVLKKIPGFSMLSQEKIRQRDVFDTLRHDFIVAFGDWEFDPMELSNPFLQNEGSVHIWQGYEDKVVPFQLQRCISKKLPWIQYHEVPGGGHLIVHYTGLCEAVLRALLLGEEPLTLDQIHP >Potri.006G225133.1.v4.1 pep chromosome:Pop_tri_v4:6:22979338:22980687:-1 gene:Potri.006G225133.v4.1 transcript:Potri.006G225133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225133.v4.1 MKYASLSFSQSRSKLWKLVAGGALLTYICSQKSRFTGWANFFTWKQCFKEATSCYNLRGPAKIDIAHSIRFKLATTT >Potri.003G093900.1.v4.1 pep chromosome:Pop_tri_v4:3:12005135:12010463:1 gene:Potri.003G093900.v4.1 transcript:Potri.003G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093900.v4.1 MGRREHPEPETATTQKNIVSASSDIFKFLFSGANQTAATFSLFTDSNPFKRKPDDPKSNENPSANADIQDPNFNGTAMKLKKVKTQNPNLGLEPKEEKTLNESGRKRKKRKRDDLESEYEAKKYGPVVNNEENVRVVVGAKRKKADDAADVLVSKEGEGFDDERKLLRTVFVGNLPLKVKKKALIKEFSKFGEVESLRIRSMPITESKIPRKGAILLKKFNDNADSVHAYIVFNTEQSAEASLTHNMAVVGGNHIRVDRACPPRKKLKGSDAPLYDNKRTVFVGNLPFDVKDEEIYQLFTGIKDLASSIEAVRVIRHPHIGLGKGIAYVLFKTREAANLVIKKRNLKLRDRELRASHARQDSTPSKRRNSFAGETANLSNKKLATDSRTPDRNNRPDTKAAKSYQGLRASKSGIEKKVHAPANAKRNGTAKVKSKTQREKRQEKRPAVALRKAKATALKDGGASGPARQKRKLDSRTPDSSNRKKKARKFG >Potri.001G058200.2.v4.1 pep chromosome:Pop_tri_v4:1:4396432:4407046:-1 gene:Potri.001G058200.v4.1 transcript:Potri.001G058200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058200.v4.1 MGRKKVELKRIENKSSRQVTFSKRRNGLIKKAHELSVLCDVQVALLTFSNGGKLYEFSSVGSIAKILERYKSHSEVMATSSKGANDSEVYFGKYANLKSAAEILQIPQRKLEGTCPGEQTLSEFVQQATQLDAALTYVRARKMQLMLDSVKSLQDKEKMLKEENQLLQKQIVAMKNGGEIYNGKVDHPLGHPPQQTTLCLLK >Potri.006G036500.1.v4.1 pep chromosome:Pop_tri_v4:6:2337065:2342261:1 gene:Potri.006G036500.v4.1 transcript:Potri.006G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036500.v4.1 MQQKEPWIVIAAICATLIFLTTGSISEAGKIVALPGQPTVSFQQYAGYITIDEQQKRALFYYFAEAEIDPATKPLVLWLNGGPGCSSIGAGAFCEHGPFKPSGEILLKNDYSWNKEANMLYLESPAGVGFSYSANDSFYTYVTDGITAQDNLVFLERWFDEFPEYKGRDFFITGESYAGHYVPQLATLIVQSKAKFNLKGIAIGNPLLEFNTDFNSRAEFFWSHGLISDNTYEIFTTVCNYSQIRRQYQSGSLSLPCSAVNSQVSREVSKYVDAYDVTLDVCLSSIESQSQVLKQMEYTGTIDVCVEDETIKYLNRKDVLEALHAQLVGVDQWTVCSDVVKYEMENLEISTVPLLAKLLKSGIRVHVYSGDQDSVIPLTGTRTVVNGLAKELGLNTTVPYRTWFQGKQVAGWTQVYGNILSFATIRGASHEAPFSQPERSFVLFNAFLEGKQLPPPTVY >Potri.014G076200.2.v4.1 pep chromosome:Pop_tri_v4:14:4932367:4932747:1 gene:Potri.014G076200.v4.1 transcript:Potri.014G076200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076200.v4.1 MKKALEVGCGKFAEWESLKREHKAYAFLAKGSISFPFKQCIADAITASSTDHFPREGIRNTLLLGDRSGRRSSWWLGKEQSNPVVPETLAYKLVSDIRVITELIYKLFKLILLNSFNLFCLLVDLY >Potri.011G025800.2.v4.1 pep chromosome:Pop_tri_v4:11:1911789:1914344:1 gene:Potri.011G025800.v4.1 transcript:Potri.011G025800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025800.v4.1 MKLKLVGGDSAGVVTAYYMCTENGAGPTRDELDFEFLGNRTGEPYLIQTNIYKNGTGNREMRHMLWFDPTEEFHTYSILWNNLQIVFFVDKVAIRVHKNNGEPNNFFPNEKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFTVEACQWEDPYPACVSTTTKYWWDQYDAWHLSDEQKMDYAWVQRNLVIYDYCKDTERFPALPVECKLSPWD >Potri.011G025800.3.v4.1 pep chromosome:Pop_tri_v4:11:1911889:1914282:1 gene:Potri.011G025800.v4.1 transcript:Potri.011G025800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025800.v4.1 MCTENGAGPTRDELDFEFLGNRTGEPYLIQTNIYKNGTGNREMRHMLWFDPTEEFHTYSILWNNLQIVFFVDKVAIRVHKNNGEPNNFFPNEKPMYLFSSIWNADDWATRGGLEKTDWKKAPFVSSYKDFTVEACQWEDPYPACVSTTTKYWWDQYDAWHLSDEQKMDYAWVQRNLVIYDYCKDTERFPALPVECKLSPWD >Potri.001G123800.1.v4.1 pep chromosome:Pop_tri_v4:1:10174928:10181619:-1 gene:Potri.001G123800.v4.1 transcript:Potri.001G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123800.v4.1 MELSMGMTSRVEVEEDSDNNKGSMWDLDQKLDQPMDEEAGRLRNTYREKKFSALLLLRLAFQSLGVVYGDLGTSPLYVFYNTFPHGIKDSEDVIGALSLIIYSLTLIPLLKYVFIVCRANDNGQGGTFALYSLLCRHANVRTIPNQHRTDEELTTYSRSTFHEQSFAAKTKRWLERYAFRKNALLILVLVGTCMVIGDGILTPAISVLSASGGIKVNHPKLSNDVVTVVAVVILVGLFSMQHYGTDKVGWLFAPIVLLWFLLIGGIGLFNIWKYDTGVLKAFSPVYIYRYFRRGGRDGWTSLGGIMLSITGIEALFADLAHFPVLAVQIAFTVVVFPCLLLAYSGQAAYLMQNKEHVVDAFYRSIPESIYWPVFIVATAAAVVASQATISATFSIIKQALALGCFPRVKVVHTSKKFLGQIYIPDMNWILMILCICVTAGFQNQSQIGNAYGTAVVIVMLVTTLLMILVMLLVWRCHWILVMLFTGLSLVVECTYFSAVLFKVDQGGWVPLVIAAAFLVIMYVWHYGTLKRYEFEMHSKVSMAWIVGLGPSLGLVRVPGIGLVYTELASGVPHIFSHFITNLPAIHSVVVFVCVKYLPVYTVPEEERILVKRIGPKNFHMFRCVARYGYKDLHKKDEDFEKKLFDSLFLFVRLESMMEGCSDSDEYSLYGQQTERSREALINNNGNITSSFADPTISSIDSIVQIKSPSHANFTVRSSGQTSSQAEVDEREFLNNCRDAGVVHIMGNTVVRARRDSRFYKKIAVDYIYAFLRKICRENSVIFNVPHESLLNVGQIFYV >Potri.003G097600.1.v4.1 pep chromosome:Pop_tri_v4:3:12333973:12340914:-1 gene:Potri.003G097600.v4.1 transcript:Potri.003G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097600.v4.1 MGSSDMDKTGKEKEAKTPSAASTQEQPSTAGAATVNPDWSGFQAYSHIPPPGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGVYAHPSIPPGSYPFSPFAMPSPNGIAEVSGNTPGSMEAEGRPSDAKEKLPIKRSKGSLGSLNMITGKNNEHGRTTGASANGAYSKSAESGSEGTSEGSDADSQSDSQMKSGGRQDSLEETSQNGGSAHAAQNGGQGASTIMNQTMGVLPISAASAPGVIPGPTTNLNIGMDYWGAPVASSVPAIRGKVPSTPVAGGIATAGSRDGVQSQHWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENANLRSEVNRIKSEYEQLLAENASLKERLGEVHGQEDSRAGRNDQHTSNDTQQTGQT >Potri.003G097600.5.v4.1 pep chromosome:Pop_tri_v4:3:12333974:12340914:-1 gene:Potri.003G097600.v4.1 transcript:Potri.003G097600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097600.v4.1 MWGVQHIMPPYGTPPHPYVAMYPHGVYAHPSIPPGSYPFSPFAMPSPNGIAEVSGNTPGSMEAEGRPSDAKEKLPIKRSKGSLGSLNMITGKNNEHGRTTGASANGAYSKSAESGSEGTSEGSDADSQSDSQMKSGGRQDSLEETSQNGGSAHAAQNGGQGASTIMNQTMGVLPISAASAPGVIPGPTTNLNIGMDYWGAPVASSVPAIRGKVPSTPVAGGIATAGSRDGVQSQHWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENANLRSEVNRIKSEYEQLLAENASLKERLGEVHGQEDSRAGRNDQHTSNDTQQTGQT >Potri.003G097600.4.v4.1 pep chromosome:Pop_tri_v4:3:12333982:12340046:-1 gene:Potri.003G097600.v4.1 transcript:Potri.003G097600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097600.v4.1 MGSSDMDKTGKEKEAKTPSAASTQEQPSTAGAATVNPDWSGFQAYSHIPPPGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGVYAHPSIPPGSYPFSPFAMPSPNGIAEVSGNTPGSMEAEGRPSDAKEKLPIKRSKGSLGSLNMITGKNNEHGRTTGASANGAYSKSAESGSEGTSEGSDADSQSDSQMKSGGRQDSLEETSQNGGSAHAAQNGGQGASTIMNQTMGVLPISAASAPGVIPGPTTNLNIGMDYWGAPVASSVPAIRGKVPSTPVAGGIATAGSRDGVQSQHWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLKEENANLRSEVNRIKSEYEQLLAENASLKERLGEVHGQEDSRAGRNDQHTSNDTQQTGQT >Potri.014G190800.1.v4.1 pep chromosome:Pop_tri_v4:14:16573971:16578648:1 gene:Potri.014G190800.v4.1 transcript:Potri.014G190800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G190800.v4.1 MYADRVDSAAKTSIKDRLNGNSVADSSRRREITGKRQRLDDKWKHDLYEDNRPRVSNRKIDAQDLRLKLQRRSFLQASPGSGVRDLREKLYGTMNSQLMNADRPKIVVAKPSRKSGVVEAPEPEIKKITSVASRKRSQQKLDSSVDVFLQSLGLEKYLITFQAEEVDMTALVHMTDEDLKALGIPMGPRKKIILALKSRG >Potri.016G031900.10.v4.1 pep chromosome:Pop_tri_v4:16:1789762:1798165:-1 gene:Potri.016G031900.v4.1 transcript:Potri.016G031900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031900.v4.1 MTEVRVVCNNSSGGAQLTPEEERVLIRDIAITSESKSKEGDSFYLITQRWWQHWIDYVNQDQTNVTNDGSSMLENCDTVSSSKRPASIDNSDLIYDVNSEESNVGIEIHDTLLEGRDYVLLPQEVWNQLYSWYGGGPALSRKVISSGLSQTEFAVEVYPLRLQLLVMPKGDRCAVRISKKETIGELHKRACEIFYLNLEQVCIWDYYGHRKHALMNDMDKTLDDANLQMDQDILVEVHDIANGGLSASKGASRGCSAEPSQSPNLTSQGPNLTYQGRELDNAYGTSTVTTRGSSGGLIGLQNLGNTCFMNSAIQCLVHTSEFAEYFREDYHQEINWKNPLGMVGELALAFGELLRRLWAPGRTAIAPRQFKMKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYKKSKDADGRPDEEVADEYWASHIARNDSIIVDVCQGQYKSTLVCPECNKISVTFDPFMYLSLPLQSTTTRSMTVTVFTCDGSALPFACTVTVPKQGRCRDLMNALSCACSLKNSEELKLAEVQNHLFQRFLEDPLISLSTIKDDDHLAAYKIAKSLKKTLLLRLIHRCQEQETGDTKAAQKLKPFGTPLVSLISHDDVITRGDIQKVVHTMLSPLLRSESLRQADIPEPCSSLAASDMCHHSSSDEACTNPLSDSMNKDSSGSRAVTLFKLPLQLVEESNACIDLSVGEDKTIKLSSTSTSMLVYVDWSQELLEKYDIHYLENLPEVFKYGPVNKKARTEPLSLYTCLEGFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIRDFDLTNYIANKNNTQRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDAHISPINEEDVKSAAAYVLFYRRVKTVDAISNGENSGTGHNNGSSLK >Potri.016G031900.7.v4.1 pep chromosome:Pop_tri_v4:16:1788710:1798218:-1 gene:Potri.016G031900.v4.1 transcript:Potri.016G031900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031900.v4.1 MTEVRVVCNNSSGGAQLTPEEERVLIRDIAITSESKSKEGDSFYLITQRWWQHWIDYVNQDQTNVTNDGSSMLENCDTVSSSKRPASIDNSDLIYDVNSEESNVGIEIHDTLLEGRDYVLLPQEVWNQLYSWYGGGPALSRKVISSGLSQTEFAVEVYPLRLQLLVMPKGDRCAVRISKKETIGELHKRACEIFYLNLEQVCIWDYYGHRKHALMNDMDKTLDDANLQMDQDILVEVHDIANGTALSRFIRSAQDNGPTVKDASSFHLEPSKSSLSIAGGLSASKGASRGCSAEPSQSPNLTSQGPNLTYQGRELDNAYGTSTVTTRGSSGGLIGLQNLGNTCFMNSAIQCLVHTSEFAEYFREDYHQEINWKNPLGMVGELALAFGELLRRLWAPGRTAIAPRQFKMKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYKKSKDADGRPDEEVADEYWASHIARNDSIIVDVCQGQYKSTLVCPECNKISVTFDPFMYLSLPLQSTTTRSMTVTVFTCDGSALPFACTVTVPKQGRCRDLMNALSCACSLKNSEELKLAEVQNHLFQRFLEDPLISLSTIKDDDHLAAYKIAKSLKKTLLLRLIHRCQEQETGDTKAAQKLKPFGTPLVSLISHDDVITRGDIQKVVHTMLSPLLRSESLRQADIPEPCSSLAASDMCHHSSSDEACTNPLSDSMNKDSSGSRAVTLFKLPLQLVEESNACIDLSVGEDKTIKLSSTSTSMLVYVDWSQELLEKYDIHYLENLPEVFKYGPVNKKARTEPLSLYTCLEGFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIRDFDLTNYIANKNNTQRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDAHISPINEEDVKSAAAYVLFYRRVKTVDAISNGENSGTGHNNGSSLK >Potri.016G031900.9.v4.1 pep chromosome:Pop_tri_v4:16:1788707:1798252:-1 gene:Potri.016G031900.v4.1 transcript:Potri.016G031900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031900.v4.1 MTEVRVVCNNSSGGAQLTPEEERVLIRDIAITSESKSKEGDSFYLITQRWWQHWIDYVNQDQTNVTNDGSSMLENCDTVSSSKRPASIDNSDLIYDVNSEESNVGIEIHDTLLEGRDYVLLPQEVWNQLYSWYGGGPALSRKVISSGLSQTEFAVEVYPLRLQLLVMPKGDRCAVRISKKETIGELHKRACEIFYLNLEQVCIWDYYGHRKHALMNDMDKTLDDANLQMDQDILVEVHDIANGGLSASKGASRGCSAEPSQSPNLTSQGPNLTYQGRELDNAYGTSTVTTRGSSGGLIGLQNLGNTCFMNSAIQCLVHTSEFAEYFREDYHQEINWKNPLGMVGELALAFGELLRRLWAPGRTAIAPRQFKMKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYKKSKDADGRPDEEVADEYWASHIARNDSIIVDVCQGQYKSTLVCPECNKISVTFDPFMYLSLPLQSTTTRSMTVTVFTCDGSALPFACTVTVPKQGRCRDLMNALSCACSLKNSEELKLAEVQNHLFQRFLEDPLISLSTIKDDDHLAAYKIAKSLKKTLLLRLIHRCQEQETGDTKAAQKLKPFGTPLVSLISHDDVITRGDIQKVVHTMLSPLLRSESLRQADIPEPCSSLAASDMCHHSSSDEACTNPLSDSMNKDSSGSRAVTLFKLPLQLVEESNACIDLSVGEDKTIKLSSTSTSMLVYVDWSQELLEKYDIHYLENLPEVFKYGPVNKKARTEPLSLYTCLEGFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIRDFDLTNYIANKNNTQRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDAHISPINEEDVKSAAAYVLFYRRVKTVDAISNGENSGTGHNNGSSLK >Potri.016G031900.8.v4.1 pep chromosome:Pop_tri_v4:16:1788712:1798222:-1 gene:Potri.016G031900.v4.1 transcript:Potri.016G031900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031900.v4.1 MTEVRVVCNNSSGGAQLTPEEERVLIRDIAITSESKSKEGDSFYLITQRWWQHWIDYVNQDQTNVTNDGSSMLENCDTVSSSKRPASIDNSDLIYDVNSEESNVGIEIHDTLLEGRDYVLLPQEVWNQLYSWYGGGPALSRKVISSGLSQTEFAVEVYPLRLQLLVMPKGDRCAVRISKKETIGELHKRACEIFYLNLEQVCIWDYYGHRKHALMNDMDKTLDDANLQMDQDILVEVHDIANGTALSRFIRSAQDNGPTVKDASSFHLEPSKSSLSIAGGLSASKGASRGCSAEPSQSPNLTSQGPNLTYQGRELDNAYGTSTVTTRGSSGGLIGLQNLGNTCFMNSAIQCLVHTSEFAEYFREDYHQEINWKNPLGMVGELALAFGELLRRLWAPGRTAIAPRQFKMKLARFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKHKPYKKSKDADGRPDEEVADEYWASHIARNDSIIVDVCQGQYKSTLVCPECNKISVTFDPFMYLSLPLQSTTTRSMTVTVFTCDGSALPFACTVTVPKQGRCRDLMNALSCACSLKNSEELKLAEVQNHLFQRFLEDPLISLSTIKDDDHLAAYKIAKSLKKTLLLRLIHRCQEQETGDTKAAQKLKPFGTPLVSLISHDDVITRGDIQKVVHTMLSPLLRSESLRQADIPEPCSSLAASDMCHHSSSDEACTNPLSDSMNKDSSGSRAVTLFKLPLQLVEESNACIDLSVGEDKTIKLSSTSTSMLVYVDWSQELLEKYDIHYLENLPEVFKYGPVNKKARTEPLSLYTCLEGFLREEPLVPEDMWYCPKCKERRQASKKLDLWRLPEVLVIHLKRFSYSRSMKHKLETFVNFPIRDFDLTNYIANKNNTQRQLYELYALTNHYGGMGSGHYTAHIKLLDENRWYNFDDAHISPINEEDVKSAAAYVLFYRRVKTVDAISNGENSGTGHNNGSSLK >Potri.007G052600.1.v4.1 pep chromosome:Pop_tri_v4:7:5232046:5241732:1 gene:Potri.007G052600.v4.1 transcript:Potri.007G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052600.v4.1 MRGKACKENWRFYKKPNLGFPALILSCSFFFIAGLFASNLLLSQGTSSDERWLRARARQLQSVEEEIISKYDLLPSGESGDDFITLIPFQVLSWRPRALYYPGFITAEQCQHIINMAKPSLQPSTLALRKGETAETTKGIRTSSGMFVFSSEDQAGVLQVIEEKIARATMIPSTHGEAFNVLRYEIGQKYDAHYDAFNPAEYGPQTSQRVATFLLYLSNFEEGGETTFPIENDENFEGYDAQKCNGLRVKPHQGDAILFYSIFPNNTIDPASLHASCHVIKGEKWVATKWIRDQVQV >Potri.007G016900.3.v4.1 pep chromosome:Pop_tri_v4:7:1296874:1301489:-1 gene:Potri.007G016900.v4.1 transcript:Potri.007G016900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016900.v4.1 MAALSATATPILTTNTSIPPSWIPEDDLLLKNAIEAGASLEALAKGAVRFSRKFSVRELRDRWHSLLYDNEVSTEASSRMVELELSNFSYTKVSSSSNGNSKFGFVVKESDPVKRKFECVRQLYYAMRKKMRKRGGGFGFLGSLDGGGCEGNGGFGEDDRVHFGFSGEDEGGVGDVRFERENVRKDVQDIGDGLVELRDSERGEEAGPCGVPERDVLIQAESSLVTRVPLWKTMEDVSAPEMPVSASVEGKGNSGEGMLVDNDVVDGNKVSLAGVDVNHSGVTFQEEPTVDALDRSTAISESDFPDISDSLLNFPNEDAPLFMDVDGKDAIDKSCYDSVTTLLVSSPIDVQGDVPNVKAPEILASDTSLGIPDSACPAELEVIPEESYSVGGNQDSNFVLEMSAPSSTSASNILSAEENDGEMECVLNMEDFEIPCNDDVFLAKTFSSPKIEQTSKKTPYLPSSSVNQKDCKQELILLKKEENPAQCLTSPRMVGQAMLPVSSPRHQHVLYGAKCDSPALMSRSVITTHADPIQCREAPGTPTPSTVGLLKSATLHENLNFPVKAVSVPSTSNQEETGSDDDVPCFSDIEAMILEMDLCPDDSDSFINHEVSRYQNEDATRTIIRLEQCARSSMQRALASQGALAILYGRHLKHYIKDTKVMLGRATDDMDVDIDLKREGPANKISRRQALITMEGDGSFFLKNLGRIPIFLNGKELMTGQSMGLRSSSLIEIREMAFVFEVNNKSVRQHLANVTKNHKENNFKFEWSEQGNNHRETDIKFEWSEGVP >Potri.007G016900.2.v4.1 pep chromosome:Pop_tri_v4:7:1297220:1301462:-1 gene:Potri.007G016900.v4.1 transcript:Potri.007G016900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016900.v4.1 MVELELSNFSYTKVSSSSNGNSKFGFVVKESDPVKRKFECVRQLYYAMRKKMRKRGGGFGFLGSLDGGGCEGNGGFGEDDRVHFGFSGEDEGGVGDVRFERENVRKDVQDIGDGLVELRDSERGEEAGPCGVPERDVLIQAESSLVTRVPLWKTMEDVSAPEMPVSASVEGKGNSGEGMLVDNDVVDGNKVSLAGVDVNHSGVTFQEEPTVDALDRSTAISESDFPDISDSLLNFPNEDAPLFMDVDGKDAIDKSCYDSVTTLLVSSPIDVQGDVPNVKAPEILASDTSLGIPDSACPAELEVIPEESYSVGGNQDSNFVLEMSAPSSTSASNILSAEENDGEMECVLNMEDFEIPCNDDVFLAKTFSSPKIEQTSKKTPYLPSSSVNQKDCKQELILLKKEENPAQCLTSPRMVGQAMLPVSSPRHQHVLYGAKCDSPALMSRSVITTHADPIQCREAPGTPTPSTVGLLKSATLHENLNFPVKAVSVPSTSNQEETGSDDDVPCFSDIEAMILEMDLCPDDSDSFINHEVSRYQNEDATRTIIRLEQCARSSMQRALASQGALAILYGRHLKHYIKDTKVMLGRATDDMDVDIDLKREGPANKISRRQALITMEGDGSFFLKNLGRIPIFLNGKELMTGQSMGLRSSSLIEIREMAFVFEVNNKSVRQHLANVTKNHKENNFKFEWSEQGNNHRETDIKFEWSEGVP >Potri.010G050701.1.v4.1 pep chromosome:Pop_tri_v4:10:8233252:8236867:1 gene:Potri.010G050701.v4.1 transcript:Potri.010G050701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G050701.v4.1 MATHAPDGRERSMFSSSDDTAMMKQIQATHAPDGREFSVKPLLHIVEDIFLRAAPALGMTNIVQQQGAHQAQLDELEEKALQNGFHETIEMLSYTINKISCEMSCKCSGGGDAHATTLAIFNLVSNYTWDEKLVLALAGFAVNYGEFWLVAQLYLTNPLAKAVALLKQLPDIIERADNMKPKFEELTSLIKVMMDVAQCIFEFKELPSQYITPDTPEMLTATAHIPTAVYWTIRSIVACASQIMGLSGKGHEYIASTTEASELSSVAQEVNNIHSHLMKQLTLCFQHIDEKRHIEAFQTLVSLFEAFHIDNMKILKALIYAEDDQLPLFDGSTKKRASLDVLRRRSVLLLISDLEISHEELSVLQQMYSEAREQPGRPESQYEVVWLPVVDRSSPWSETKEKLFEDFQRIMPWYSVYHPSLLDVAVIRYIKEVWHFNKRPFLVVLDPQGRVVNPNAIHMMWIWGSLAFPFTSLKEEALWKEETWNIELLADSIDPMILSWIDQRKYICLYGGEDMEWIRKFTVTAKDVASRAGITLEMLYVGKSNPREKVRKNNSIITTEKLSHVLPDLTLIWFFWVRLESMWHSKVQHKRTVENDVIMQEIITMLIFDGSDQGWAVISRGSADMAKAKGETILKSFVDFERWKDGAKENGFLPALIDYLHELRIGATGSIPERVVCAECGRPKENLSYILYS >Potri.002G063100.1.v4.1 pep chromosome:Pop_tri_v4:2:4314550:4315745:1 gene:Potri.002G063100.v4.1 transcript:Potri.002G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063100.v4.1 MQKRLQISLFKLLLRRPFERSPKPTNPFPLQSRALIPTYFVPNSIDSPNPKFLHTPVSNLHTFTHPFRPNTRARSLTDQNRRGHSTESGDEPVPLLDVNREVDMINLKFAEAREEIDMASESKETVYFDEEAECARAAVKEVMDMFEGLLGKLPESEKAALQRSMGLKMEQLKAELQQLDD >Potri.009G096900.2.v4.1 pep chromosome:Pop_tri_v4:9:8717288:8719625:1 gene:Potri.009G096900.v4.1 transcript:Potri.009G096900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096900.v4.1 MGATISRLARRFLPKTEIRILMVGLDASGKTTILYKLKLGEIVLTVPTIGFNVETVVYKNISFTVWDVGGQQKIRPLWRYYFQNSHGLIFVVDSNDRGRISEARNELHRILSDIELKDAILLVFANKQDVPNAMSVSEVADKLGLPALKQRRWYIQSSSATSGRGLYEGKLKGRLRLQHHLPCQIG >Potri.009G096900.1.v4.1 pep chromosome:Pop_tri_v4:9:8717307:8719625:1 gene:Potri.009G096900.v4.1 transcript:Potri.009G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096900.v4.1 MGATISRLARRFLPKTEIRILMVGLDASGKTTILYKLKLGEIVLTVPTIGFNVETVVYKNISFTVWDVGGQQKIRPLWRYYFQNSHGLIFVVDSNDRGRISEARNELHRILSDIELKDAILLVFANKQDVPNAMSVSEVADKLGLPALKQRRWYIQSSSATSGRGLYEGLDWLSNYISNKAA >Potri.010G067800.2.v4.1 pep chromosome:Pop_tri_v4:10:9612597:9617333:-1 gene:Potri.010G067800.v4.1 transcript:Potri.010G067800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067800.v4.1 MAAANCSSGETCAALIHGKQMAPSRLEAWARLFVTKFLGRHISTHRLILEEEGGATFTFEGTSKKCSLEVVLKVHNPQFYWKVTTRADIGLADAYIDGDFSFADKDQGLLHLIMVLIANRDANRSISQAKRKRGWWTPSLFTAGIASAKFFLQHVLRQNTLTQARRNISRHYDLSNEVFSLFLGETMAYSSAIFKTEGEDLNTAQLRKISVLIEKARIDKKHEILDIGCGWGTFAIEVVKQTGCKYTGLSLSVEQLKYAEMKVKEAGLQDNIRLLLCDYRELPKGYKYDRIVSCEMIEHVGHEYMEDFFSSCESALAEDGLLVLQFISIADERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMGVASRLCVEHVENIGSHYYHTLRRWRKNFLENKSKILAMGFDEKFIRTWEYYFDYSSAGFKSYTLGDYQVVFSRPGNVEALGNPYKGFPSAYQHLL >Potri.010G067800.1.v4.1 pep chromosome:Pop_tri_v4:10:9612550:9618084:-1 gene:Potri.010G067800.v4.1 transcript:Potri.010G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067800.v4.1 MAAANCSSGETCAALIHGKQMAPSRLEAWARLFVTKFLGRHISTHRLILEEEGGATFTFEGTSKKCSLEVVLKVHNPQFYWKVTTRADIGLADAYIDGDFSFADKDQGLLHLIMVLIANRDANRSISQAKRKRGWWTPSLFTAGIASAKFFLQHVLRQNTLTQARRNISRHYDLSNEVFSLFLGETMAYSSAIFKTEGEDLNTAQLRKISVLIEKARIDKKHEILDIGCGWGTFAIEVVKQTGCKYTGLSLSVEQLKYAEMKVKEAGLQDNIRLLLCDYRELPKGYKYDRIVSCEMIEHVGHEYMEDFFSSCESALAEDGLLVLQFISIADERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMGVASRLCVEHVENIGSHYYHTLRRWRKNFLENKSKILAMGFDEKFIRTWEYYFDYSSAGFKSYTLGDYQVVFSRPGNVEALGNPYKGFPSAYQHLL >Potri.005G233800.2.v4.1 pep chromosome:Pop_tri_v4:5:23233281:23236029:-1 gene:Potri.005G233800.v4.1 transcript:Potri.005G233800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233800.v4.1 MEEDNSSSSANLETSKADKSVWLMKCPVVVAKSWKTHTSPSSSDSAPLAKVVLSLDPLQSDDPSALQFTMEMARTEAGNVPKSYSLNMFKDFVPMCVFSETPQGKVAMEGKVEHKFDMKPHEQNIEEYHKLCRERTKKSMVKIRQIQVINNDRGVHMRPMPGMVGLISSSSKDKKRPQPVKQSDVKRTRRDRGELEDIMFKLFERQPNWALKQLVQETDQPAQFLKEILNELCVYNKRGTNQGTYELKPEYKKTVEDTGAD >Potri.019G091500.1.v4.1 pep chromosome:Pop_tri_v4:19:12945361:12947276:-1 gene:Potri.019G091500.v4.1 transcript:Potri.019G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091500.v4.1 MSKIYSFFLCVLPLLVALSLPECLRAQKGIAPRDLWCVAKNNAADQALQESIDWACGPGGANCGPIQQGGPCYDSSDVQRTASWAFNDYYLKNGLTDDACYFSNTAALTSLNPSFDKCKFPSSLSVNNGSISSPAGTIQMRPDSADLSSSNRVVGTWFLPLVTGYLLVAFTWLVQ >Potri.006G266000.1.v4.1 pep chromosome:Pop_tri_v4:6:26128392:26132044:-1 gene:Potri.006G266000.v4.1 transcript:Potri.006G266000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266000.v4.1 MVEDEKLEACNEVVEEEYEQKGRFFDFFWAPIYWFKMLANETHWSFVFGVLAVYGINQGLGGALNRVGTDYYMKDVQKVQPSESQIYQGIISIPWLVKPLWGLVTDFLPIFGYRRRPYLIFAGLLGTFSTLLLSFHENLHIVFALLSMTAGSTGAAIADVTIDACVVQNSNIRPSLAADMQSLCALSSSIGALMGFSLSGIFVHLIGPKGVFGLLSIPYGLVFLVGILLDEPFMPDFSYRQVNQKLLDASKAMWRTLKFPDVWRPCVYMYLSLALSINIHEGLFYWYTDSKDGPSFSQENVGFIFSIGSIGSLLGALLYQNVLKDHPFRNLLFWTQLLFGLSGMLDLMLVLRLNLKFGIPDYFFIVIDESVSQMITRLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNIGVLSSQWGGGVILHLLNVTRTRFDNLWLAILIRNILRITPLCLLFLIPRGDPNASVLSNDILGAKEEAETPENENIELVSLVSSVDGK >Potri.006G266000.3.v4.1 pep chromosome:Pop_tri_v4:6:26128473:26132044:-1 gene:Potri.006G266000.v4.1 transcript:Potri.006G266000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266000.v4.1 MTAGSTGAAIADVTIDACVVQNSNIRPSLAADMQSLCALSSSIGALMGFSLSGIFVHLIGPKGVFGLLSIPYGLVFLVGILLDEPFMPDFSYRQVNQKLLDASKAMWRTLKFPDVWRPCVYMYLSLALSINIHEGLFYWYTDSKDGPSFSQENVGFIFSIGSIGSLLGALLYQNVLKDHPFRNLLFWTQLLFGLSGMLDLMLVLRLNLKFGIPDYFFIVIDESVSQMITRLKWMPLLVLSSKLCPPGIEGTFFALLMSIDNIGVLSSQWGGGVILHLLNVTRTRFDNLWLAILIRNILRITPLCLLFLIPRGDPNASVLSNDILGAKEEAETPENENIELVSLVSSVDGK >Potri.011G128300.2.v4.1 pep chromosome:Pop_tri_v4:11:15534989:15536668:-1 gene:Potri.011G128300.v4.1 transcript:Potri.011G128300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128300.v4.1 MIYLCTTAGFNLAMSLTIKPHLHHQNHRMHKPTSTNSRDWTQIYTVYGMEQWQTLLFLVFQATLFSIMSILFLLYYQPICQFLDTFFLLTATSSTGGGSAARFAAGFIGCVTALSAVCLFFAAGNFFYSSVGLRYEMAQRIVSCVNDWSNVKVALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKGTTLSTLRTANVEGVGEYVTCREGDVRSLPFGDNYFDVVVSATFVHTVGKEYGHRTVEAAAERMRVLGEMVRVLKPGGVGVVWDLLHVPEYVRRLQELKMEDITVSERVTAFMMSSHIVSFRKPIQHILGPCEVRLDWRC >Potri.011G128300.1.v4.1 pep chromosome:Pop_tri_v4:11:15535031:15537654:-1 gene:Potri.011G128300.v4.1 transcript:Potri.011G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128300.v4.1 MSLTIKPHLHHQNHRMHKPTSTNSRDWTQIYTVYGMEQWQTLLFLVFQATLFSIMSILFLLYYQPICQFLDTFFLLTATSSTGGGSAARFAAGFIGCVTALSAVCLFFAAGNFFYSSVGLRYEMAQRIVSCVNDWSNVKVALDIGCGRGILLNAVATQLKKTGSSGRVVGLDRSKGTTLSTLRTANVEGVGEYVTCREGDVRSLPFGDNYFDVVVSATFVHTVGKEYGHRTVEAAAERMRVLGEMVRVLKPGGVGVVWDLLHVPEYVRRLQELKMEDITVSERVTAFMMSSHIVSFRKPIQHILGPCEVRLDWRC >Potri.003G222700.1.v4.1 pep chromosome:Pop_tri_v4:3:21598697:21603116:1 gene:Potri.003G222700.v4.1 transcript:Potri.003G222700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222700.v4.1 MATLSSSADQTSDLLQKLSLDSQTKTLEMPEPTSKIQPSDRSVTPVLSNFMDPTVCYLPNGYQSYYYGGYNGAGEWDDYSKYLNPEGVDMVSGVYGDNGSAMYPHGYWYGPYSPYSPAASPVPTMGNDGQLYGPQHYQYPPPYFQPLTPSGEPFTPSHVAPSQGDLSISTATDQKPLPVETAKENSNGIANGVDVKGSNGAVPYKPKYQNSYGRGGFTKGLPASGYKDLKSRFDRLQPDSPLLDTSVLSSGLYKNTEISSSFSKAGNAPSSRNQNFHQNSHFMGWQHPALAPGVGSTHGYMNRMYPNKFYGQYGNGFKSGMGFGSGGYNAGINGHGWLAIDSKYKPKGRGNGYFGYHNDSIDGLNELNRGPRAKGYFKNQKGFVPATVAVKGQSVPSSDTNVEEKDKTTVVPDREQYNKADFPEEYDNAKFFIIKSYSEDDVHKCIKYNVWASTPNGNKKLDAAYQEAEQKSGGCPVFLLFSVNTSGQFVGLAEMTGRVDFDKSVEYWQQDKWTGYFPVKWHIVKDVPNSFLKHITLENNENKPVTNSRDTQEVKLEQGLKLIKIFKDHSSKTCILDDFVFYEDREKMIQEKKAKQQQLKKQVWDGKPCEEKKEIANGS >Potri.004G233300.1.v4.1 pep chromosome:Pop_tri_v4:4:23835701:23841861:-1 gene:Potri.004G233300.v4.1 transcript:Potri.004G233300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233300.v4.1 MEINREDYKSDPKVVESGNVGEATSSASSSVLKSALLLENVGDVVLTLNSDGLSLEFLGTSYNDGSITSGIKPVQKFVNEIRFSDVYGVEFINYGLINASRQSKAERCFQGRASHGSEMYRFTVHSFQKSRGQPCLWVLAVYSFGHKDLQTCQTWVDRINASLNLEMGRPKNLLVFINPMSGKASGRRTWEMVAPIFSRAKVKTKVIVTERAGHAFDVMASAANNELKSYNGVIAVGGDGFFNEILNGFLLSRHKAPRPPSPSDIVHSDQSSGNGLFHNPNERVTEATCQNEDHSPLLSNSVYNGTRQANFRTEDGTCNIGQDFEFPLPGEQFRFGIIPAGSTDAIVMCTTGTRDPITSALNIVLGKKVCLDIAQVVRWKTTTASDIEPYVRYAASFAGYGFYGDVIAESEKYRWMGPKRYDYAGTKVFLRHSSYEAEVAYIETESEKTNPTVEKGQLFSGLRKRQGPKKSERVVCRTNCGVCNTKSDYMSKRSPCSTPYSSSGETRWLRSKGKFLSVGAAIISNRNERAPDGLVADAHLSDGFLHLLMIRDCPHALYLWHLTQLAKRGGQPLNFEFVEHHKTPAFTFTSFGKQSVWNLDGELFQAHQLSAQVFRGLVSLFASGPEV >Potri.012G095400.1.v4.1 pep chromosome:Pop_tri_v4:12:11975185:11978954:-1 gene:Potri.012G095400.v4.1 transcript:Potri.012G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095400.v4.1 MALFLLYETSSGYSLFVANGLDEIGQNTEAVRNSVSDLNRFGKVVQLTAFHPFESALDALNQCNSVSEGIMTDELRNFLELNLPKVKEGKKAKFSLGVAEPKIGSHIFEVTKIPCQSNEFVHELLRGVRLHFERFIKDLKPGDLEKAQLGLGHSYSRAKVKFNVNRVDNMVIQAIFLLDTLDKDVNSFAMRVREWYSWHFPELVKIVNDNYIYAKLAKFIDDKSKLSEDKLPALTDILGDEDKAKEVVEAAKASMGQDLSPIDLINVQQFAQRVMDLSEYRKKLHEYLVTKMNDIAPNLASLIGEMVGARLISHAGSLTNLAKCPSSTLQILGAEKALFRALKTRGNTPKYGLIFHSSFIGRASARNKGRMARYLANKCSIASRIDCFAESSTTVFGEKLREQVEERLDFYDKGVAPRKNIDVMKAAIGSAENKDTAMEIEEAPAVASAKKSKKKKSKSDTAENGEAEDKPTVNGGAAEDAKSEKKRKKEKRKLEQEQAVEKSNGTDRVDADEQDGTAKKKKTKKSKDQDTEELQDASGMKKKKKKSKGEV >Potri.006G013400.1.v4.1 pep chromosome:Pop_tri_v4:6:821420:827657:-1 gene:Potri.006G013400.v4.1 transcript:Potri.006G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013400.v4.1 MRSLMLLSLFLQIFLSIFGKRRKFSTARWLGPSLWLAYLSADWVATFSLGILSRSEADYKNPNLIPVFWAPILLVHLGGPGTITAYSVDRVNILFLSRLLQLVTQVGVACYVLFRLWSQNAITSVVIPILISGIIKYGERIWVLLRSHEDNNGSQQPGTKVSSIHGAELFNNNRISEVGFLQDAYLLFQALQTLLNNFDLVTFDQNFTYELVSVKKAEEAFQLIEVELGFKYDRLYYKVTMISRLRIILRSITFLSSIFALVSFSSKSKGVYSINDRIISYVLLIGVVCLESYSIIVHLLSDWGMIWLSRSEIAYSIVSRITYRSWLVSFCMKSKRWSRLIGQHNMIRAQSNKPVSKILKKYFPGKWNVDSREKVDKELKELIFNQVLDKRSRYDPSTDDFNILEKLLEERGLEVLRRKHICFDRFGWSVAGTVEFIHSLLTWHIATHVCFSDDSRKNAFDKTRNCVMSSRSLSNYMLYLLVNCPTMLATQPSETRHADTRIHLRRLLSRNTRKDVIKRNIPLDGLSFHEAEVNAFFKNLLERPSPMLKEIKEQDEGEMSALLDGCMLGVSLQSLETQDGWSNDNKWEMISEVWVDMLMYAASHCRWKEHVHALSQGGELLTHVCLLMAHLGLSKQCRPKVSEQLAARAKRLDGIVGPEV >Potri.006G013400.2.v4.1 pep chromosome:Pop_tri_v4:6:821420:827656:-1 gene:Potri.006G013400.v4.1 transcript:Potri.006G013400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013400.v4.1 MDASSKINLSHLSQDIVDVWNEWQMRSLMLLSLFLQIFLSIFGKRRKFSTARWLGPSLWLAYLSADWVATFSLGILSRSEADYKNPNLIPVFWAPILLVHLGGPGTITAYSVDRVNILFLSRLLQLVTQVGVACYVLFRLWSQNAITSVVIPILISGIIKYGERIWVLLRSHEDNNGSQQPGTKVSSIHGAELFNNNRISEVGFLQDAYLLFQALQTLLNNFDLVTFDQNFTYELVSVKKAEEAFQLIEVELGFKYDRLYYKVTMISRLRIILRSITFLSSIFALVSFSSKSKGVYSINDRIISYVLLIGVVCLESYSIIVHLLSDWGMIWLSRSEIAYSIVSRITYRSWLVSFCMKSKRWSRLIGQHNMIRAQSNKPVSKILKKYFPGKWNVDSREKVDKELKELIFNQVLDKRSRYDPSTDDFNILEKLLEERGLEVLRRKHICFDRFGWSVAGTVEFIHSLLTWHIATHVCFSDDSRKNAFDKTRNCVMSSRSLSNYMLYLLVNCPTMLATQPSETRHADTRIHLRRLLSRNTRKDVIKRNIPLDGLSFHEAEVNAFFKNLLERPSPMLKEIKEQDEGEMSALLDGCMLGVSLQSLETQDGWSNDNKWEMISEVWVDMLMYAASHCRWKEHVHALSQGGELLTHVCLLMAHLGLSKQCRPKVSEQLAARAKRLDGIVGPEV >Potri.002G193400.6.v4.1 pep chromosome:Pop_tri_v4:2:15621171:15622143:1 gene:Potri.002G193400.v4.1 transcript:Potri.002G193400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193400.v4.1 MMRRHHIKYISQLGHNTKSIPSLLKVTKSLLKLSSHLCLSPSLPLSVPSSFKGKRHISGRPLLFFHGFSCLFCFKS >Potri.002G193400.3.v4.1 pep chromosome:Pop_tri_v4:2:15621171:15622143:1 gene:Potri.002G193400.v4.1 transcript:Potri.002G193400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193400.v4.1 MMRRHHIKYISQLGHNTKSIPSLLKVTKSLLKLSSHLCLSPSLPLSVPSSFKGKRHISGRPLLFFHGFSCLFCFKS >Potri.002G193400.4.v4.1 pep chromosome:Pop_tri_v4:2:15621171:15622143:1 gene:Potri.002G193400.v4.1 transcript:Potri.002G193400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193400.v4.1 MMRRHHIKYISQLGHNTKSIPSLLKVTKSLLKLSSHLCLSPSLPLSVPSSFKGKRHISAGRPLLFFHGFSCLFCFKS >Potri.016G115400.2.v4.1 pep chromosome:Pop_tri_v4:16:11987689:11991681:-1 gene:Potri.016G115400.v4.1 transcript:Potri.016G115400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115400.v4.1 MRDKERAILCFQTLPNLKTTHCSLTETTHHREPLWRNAQFSCRIRSDGSNGSFGNFASVSMAENKKDKGNEFAPSTAQLLKHPLAILAFFPRDAAIFAAGAVAGAAAKTVTAPLDRIKLLMQIHGVRAGQESAKKAIGFIEAIVMIGKEEGIKGYWKGNLPQVIRIIPYSAVQLFAYETYKNLFKGKDGELSVIGRLAAGACAGMTSTFVTYPLDVLRLRLAVEPGYRTMSEIALTMLREEGVASFYYGLGPSLLGIAPYIAVNFCIFDLVKKSLPEKYQQKTQSSLLTAVVSAAVATLTCYPLDTVRRQMQMKGTPYKSVLDAIPGIVQRDGVIGLYRGFVPNALKTLPNSSIRLTTFDIVKRLIAAGEKEFQRIVEENRQKQSQVANN >Potri.002G054100.1.v4.1 pep chromosome:Pop_tri_v4:2:3660701:3666796:-1 gene:Potri.002G054100.v4.1 transcript:Potri.002G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054100.v4.1 MAAPLSSRLQTMLQAAVQSVQWTYSLFWQMCPQQGILVWGDGYYNGPIKTRKTVQPMEVSTEEASLQRSQQLRELYDSLSIGETNQPERRPCAALSPEDLTETEWFYLMCVSFSFSPGAGLPGKAYDRKQHVWLTGANDIDSKTFSRAILAKSAGVQTVVCIPLLDGVVEFGTTDKVKEDLGFIQHVKSFFSDHHHLPPPKPALSEHSTSNPATSSDHPYLYSPPIPPFYVAADPPANAGQMNEDDEEEEEDEEDDEDDEEDQESDSEAETSREALLEPCQAQNPLQVAVVEPSELMQLEMSEGIRLGSPDDGSNNLDVDFPLINPESLMDHQSRADSFRTESARRWAPMLQDNPFSGSLQPSASGSPTLEDLAQEDTHYSQTVSTILQNQTIELAAEPSLNAYEAYSNQSAFAKWMNLTDHCLNVPVETTSQWLLKYILFTVPYLHSKYREENSPKSRDGDATNKFRKGTPQDELSANHVLAERRRREKLNERFIILRSLVPFVTKMDKASILGDTIEYVKQLLKKIQDLEACNKQMESEQRSRSVDPPQTITTSTSLKEQNNGITVVDRARSVGPGSDKRKMRIVEDYTTGRAQPKSVDSLPSPEPMVDVEPEISVEVSIIESDALIELKCGYREGLLLDIMQMLRELRIETIAVQSSSNNGIFVGELRAKVKENVSGKKLSIVEVKRAIRQIIPHD >Potri.002G150000.8.v4.1 pep chromosome:Pop_tri_v4:2:11321503:11336884:-1 gene:Potri.002G150000.v4.1 transcript:Potri.002G150000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150000.v4.1 MLVEDHLRLQSKLSCASRVADSSPSPLSLVSPLNNRSSSLGADSFEALGDRRSSDSGGLPLDVLASMADANGQISASVMERLTAAAAAEPYESVLCAFVSYGSCMMDLAEGWKFRSRLWYGVGMSSKTAPFGGGGSGWESWRSTLEKDANGNWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDNGETSLLMRNVSMDDGMSEGFDRQAGNIMCLENSARMPMRQPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYSEVWHAVGRDRKPLRKQYLEGILPPFVAVLRRWRPLLAGIHELATADGLNPLVVDDRALAADALQIEAALCMISPAWAAAFASPPAAMALAMIAAGAAGGETPAPATTTHLRRDSSLLERKTARLHTFSSFQKPLEVPNKTPAHPKDKAAAKAAALAAARDLQRNAKIGSGRGLSAVAMATSAQRRNASDMERVRRWNIAEAMGVAWMECLQPADTRSVYGKDFNALSYKFIAVLVASFALARNMQRLEVDRRAQVDVISRHRLSSGIHAWRRLIHCLIEMKSLFGPFGDSLCNPERVFWKLDFMETSSRMRRCLRRNYKGSDHFGAAANYEDQIEIKHDKGNVPVLAAEAISVEGLNEDGERTEIENFDGRSFDTEQSGESQLSLSGATDQNMQPPAEPNDIQLARDQDLENASAVAPGYVPSELDERIILELPSSMVRPLTVMRGTFQVTTRRINFIVNTTESNADGMESSESGVQEKDHSWLMSSLHQIYSRRYLLRRSALELFMIDRSNFFFDFGSTEARRNAYRAIVQSRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWVLSDYSSKSLDLSDASSYRDLSKPVGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDIAATWNGVTEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGGKLDSVKLPPWAENTTDFIHKHQMALESEHVSAHLHEWIDLIFGFKQRGKEAIAANNVFFYITYEGAVDIDKISDPAQQHATQDQIAYFGQTPSQLLTAPHLKRMPLADVLHLQTIFRNPKEVKPYAVPAPERCNLPAASIHASSDAVIIVDINAPAAHIAQHKWQPNTPDGQGTPFLFQHGKALTSSAGGTFMRMFKGQSVSGGDEWHFPQALAFASSGIRSKAVVSITHDKEIITGGHADNSIKLISADSAKTLETAIAHCAPVTCLALSPDGNYLVTGSRDTTVLLWKMHRAFTSSSSSISDPSTGTGTPPAAGSTLATNLAEKSRWRRIEGPIHVLRGHHREILCCCVSSDLGIVVSCSQSSDVLLHSIRRGRLIRRLFGVEAHSVFLSSEGVVMTWNKCQNSLNTYTLNGILIARAQLPLSGSVSCIEISVDGKCALIGMNSCPENHGSSNNSQNLSLKKTGAADFDLESVDTGEDNRLDVPAPSICFLDLYTLKVFHVLKLGEGQDITALALNNDSTNLVVSTADKQLIIFTDPALSLKVVDQMLKLGWEGDGLSPLIKS >Potri.002G150000.6.v4.1 pep chromosome:Pop_tri_v4:2:11321566:11343395:-1 gene:Potri.002G150000.v4.1 transcript:Potri.002G150000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150000.v4.1 MTTTVYTALLAASINASSTEEGLNFYDSGHRFEHSQLLLVLLRSLPYASRALQSQALQDLLFLACSHPENRSSLTKMEEWPEWLLEILISNYEMSATKDSNVASLGDIEDLVHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRVRREESLPVFKRRLLGALLDFAARELQVQTQVIAAAAAGVAAEGLPPKDAKVEADNAAQLSVALVENAIVILMLVEDHLRLQSKLSCASRVADSSPSPLSLVSPLNNRSSSLGADSFEALGDRRSSDSGGLPLDVLASMADANGQISASVMERLTAAAAAEPYESVLCAFVSYGSCMMDLAEGWKFRSRLWYGVGMSSKTAPFGGGGSGWESWRSTLEKDANGNWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDNGETSLLMRNVSMDDGMSEGFDRQAGNIMCLENSARMPMRQPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYSEVWHAVGRDRKPLRKQYLEGILPPFVAVLRRWRPLLAGIHELATADGLNPLVVDDRALAADALQIEAALCMISPAWAAAFASPPAAMALAMIAAGAAGGETPAPATTTHLRRDSSLLERKTARLHTFSSFQKPLEVPNKTPAHPKDKAAAKAAALAAARDLQRNAKIGSGRGLSAVAMATSAQRRNASDMERVRRWNIAEAMGVAWMECLQPADTRSVYGKDFNALSYKFIAVLVASFALARNMQRLEVDRRAQVDVISRHRLSSGIHAWRRLIHCLIEMKSLFGPFGDSLCNPERVFWKLDFMETSSRMRRCLRRNYKGSDHFGAAANYEDQIEIKHDKGNVPVLAAEAISVEGLNEDGERTEIENFDGRSFDTEQSGESQLSLSGATDQNMQPPAEPNDIQLARDQDLENASAVAPGYVPSELDERIILELPSSMVRPLTVMRGTFQVTTRRINFIVNTTESNADGMESSESGVQEKDHSWLMSSLHQIYSRRYLLRRSALELFMIDRSNFFFDFGSTEARRNAYRAIVQSRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWVLSDYSSKSLDLSDASSYRDLSKPVGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDIAATWNGVTEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGGKLDSVKLPPWAENTTDFIHKHQMALESEHVSAHLHEWIDLIFGFKQRGKEAIAANNVFFYITYEGAVDIDKISDPAQQHATQDQIAYFGQTPSQLLTAPHLKRMPLADVLHLQTIFRNPKEVKPYAVPAPERCNLPAASIHASSDAVIIVDINAPAAHIAQHKWQPNTPDGQGTPFLFQHGKALTSSAGGTFMRMFKGQSVSGGDEWHFPQALAFASSGIRSKAVVSITHDKEIITGGHADNSIKLISADSAKTLETAIAHCAPVTCLALSPDGNYLVTGSRDTTVLLWKMHRAFTSSSSSISDPSTGTGTPPAAGSTLATNLAEKSRWRRIEGPIHVLRGHHREILCCCVSSDLGIVVSCSQSSDVLLHSIRRGRLIRRLFGVEAHSVFLSSEGVVMTWNKCQNSLNTYTLNGILIARAQLPLSGSVSCIEISVDGKCALIGMNSCPENHGSSNNSQNLSLKKTGAADFDLESVDTGEDNRLDVPAPSICFLDLYTLKVFHVLKLGEGQDITALALNNDSTNLVVSTADKQLIIFTDPALSLKVVDQMLKLGWEGDGLSPLIKS >Potri.002G150000.7.v4.1 pep chromosome:Pop_tri_v4:2:11321664:11340000:-1 gene:Potri.002G150000.v4.1 transcript:Potri.002G150000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150000.v4.1 MSATKDSNVASLGDIEDLVHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRVRREESLPVFKRRLLGALLDFAARELQVQTQVIAAAAAGVAAEGLPPKDAKVEADNAAQLSVALVENAIVILMLVEDHLRLQSKLSCASRVADSSPSPLSLVSPLNNRSSSLGADSFEALGDRRSSDSGGLPLDVLASMADANGQISASVMERLTAAAAAEPYESVLCAFVSYGSCMMDLAEGWKFRSRLWYGVGMSSKTAPFGGGGSGWESWRSTLEKDANGNWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDNGETSLLMRNVSMDDGMSEGFDRQAGNIMCLENSARMPMRQPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYSEVWHAVGRDRKPLRKQYLEGILPPFVAVLRRWRPLLAGIHELATADGLNPLVVDDRALAADALQIEAALCMISPAWAAAFASPPAAMALAMIAAGAAGGETPAPATTTHLRRDSSLLERKTARLHTFSSFQKPLEVPNKTPAHPKDKAAAKAAALAAARDLQRNAKIGSGRGLSAVAMATSAQRRNASDMERVRRWNIAEAMGVAWMECLQPADTRSVYGKDFNALSYKFIAVLVASFALARNMQRLEVDRRAQVDVISRHRLSSGIHAWRRLIHCLIEMKSLFGPFGDSLCNPERVFWKLDFMETSSRMRRCLRRNYKGSDHFGAAANYEDQIEIKHDKGNVPVLAAEAISVEGLNEDGERTEIENFDGRSFDTEQSGESQLSLSGATDQNMQPPAEPNDIQLARDQDLENASAVAPGYVPSELDERIILELPSSMVRPLTVMRGTFQVTTRRINFIVNTTESNADGMESSESGVQEKDHSWLMSSLHQIYSRRYLLRRSALELFMIDRSNFFFDFGSTEARRNAYRAIVQSRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWVLSDYSSKSLDLSDASSYRDLSKPVGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDIAATWNGVTEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGGKLDSVKLPPWAENTTDFIHKHQMALESEHVSAHLHEWIDLIFGFKQRGKEAIAANNVFFYITYEGAVDIDKISDPAQQHATQDQIAYFGQTPSQLLTAPHLKRMPLADVLHLQTIFRNPKEVKPYAVPAPERCNLPAASIHASSDAVIIVDINAPAAHIAQHKWQPNTPDGQGTPFLFQHGKALTSSAGGTFMRMFKGQSVSGGDEWHFPQALAFASSGIRSKAVVSITHDKEIITGGHADNSIKLISADSAKTLETAIAHCAPVTCLALSPDGNYLVTGSRDTTVLLWKMHRAFTSSSSSISDPSTGTGTPPAAGSTLATNLAEKSRWRRIEGPIHVLRGHHREILCCCVSSDLGIVVSCSQSSDVLLHSIRRGRLIRRLFGVEAHSVFLSSEGVVMTWNKCQNSLNTYTLNGILIARAQLPLSGSVSCIEISVDGKCALIGMNSCPENHGSSNNSQNLSLKKTGAADFDLESVDTGEDNRLDVPAPSICFLDLYTLKVFHVLKLGEGQDITALALNNDSTNLVVSTADKQLIIFTDPALSLKVVDQMLKLGWEGDGLSPLIKS >Potri.002G150000.1.v4.1 pep chromosome:Pop_tri_v4:2:11321467:11347258:-1 gene:Potri.002G150000.v4.1 transcript:Potri.002G150000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150000.v4.1 MEEEEENKEIGESCDAQEVDSFVEEGRVGESPQENVNVISRGQEEIENENLVMDGDDSVDTDDDDQFELVSLKDQEKSFGEFPANNNNSNRSSNSESERFSFDRFGEISPNSYSNYGVEYDSSSVMEIQHDRSASSPGPETQFGYAIKQSHSSTSLDSGYFIDGFSPTGSPQKVKPKAAMPNVSPELLHLVDSAIMGKPESLDKLKNIVSGVESFGSGGEAEGIAYLVVDSLLATMGGVESFEDEDHNPPSVMLNSRAAIVAGELIPCLPWVGDSEVFMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLGTAEKIFVQAGGLTEQMKWDGTPLCYCLQYLAGHSLNVVDLHRWLQVITRTLTTSWAYRLMLVLEKAMGGKESKGPASTFEFDGESSGLLGPGESRWPFTNGYAFATWIYIESFADTLNTATAAAAIAAAAAAKSGKSSAMSAAAAASALAGEGATHMPRLFSFLSADNQGIEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHIYKQGLIGKTESELRLYIDGSLYETRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMARLASRGGDVLPCFGNAAGLPWRATNDHVRAMAEESSLLDAEIGGSIHLLYHPSLLSGRFCPDASPSGAAGMLRRPAEVLGQVHVATRMRPVEALWALAYGGPISLLPLAVSSVHKDSLEPEQGNIPITFATATLAAPVFRIISIAIQHPGNNEELCRTRGPEVLSKILNYLLQTLSSLDAGNCNGVGDEELVAAIVSLCQSQKHNHALKVQLFTTLLLDLRIWSLCNYGLQKKLLSSLADMVFSESLVMRDANAIQMLLDGCRRCYWTVIEKDSVNTFSSKEATHPVGELNALVDELLVIIELLIGAASAAVATDDLRCLLGFMVDCPQPNQVARVLNLIYRLVIQPNTARARTFAESFITCGGIETLLVLLQREAKAGEHSIPESVAKSDDSLQVQETELDIGKGTSERRMNDEKEKDLTSLDQDYESERLDSGGGGSPATSSPGMKIERMSSVSENPFIKNLGGISLSISADNARNNVYNVDKSDGIVVAIIGLIGALVTSGHFKFGSHAPSDTTSTFFGGGLHDGSGTMFDDKVSLLLFALQKAFQAAPNRLMTTTVYTALLAASINASSTEEGLNFYDSGHRFEHSQLLLVLLRSLPYASRALQSQALQDLLFLACSHPENRSSLTKMEEWPEWLLEILISNYEMSATKDSNVASLGDIEDLVHNFLIIMLEHSMRQKDGWKDIEATIHCAEWLSIVGGSSTGDQRVRREESLPVFKRRLLGALLDFAARELQVQTQVIAAAAAGVAAEGLPPKDAKVEADNAAQLSVALVENAIVILMLVEDHLRLQSKLSCASRVADSSPSPLSLVSPLNNRSSSLGADSFEALGDRRSSDSGGLPLDVLASMADANGQISASVMERLTAAAAAEPYESVLCAFVSYGSCMMDLAEGWKFRSRLWYGVGMSSKTAPFGGGGSGWESWRSTLEKDANGNWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCMLRMVLLSMREEDNGETSLLMRNVSMDDGMSEGFDRQAGNIMCLENSARMPMRQPRSALLWSVLSPVLNMPISDSKRQRVLVASCVLYSEVWHAVGRDRKPLRKQYLEGILPPFVAVLRRWRPLLAGIHELATADGLNPLVVDDRALAADALQIEAALCMISPAWAAAFASPPAAMALAMIAAGAAGGETPAPATTTHLRRDSSLLERKTARLHTFSSFQKPLEVPNKTPAHPKDKAAAKAAALAAARDLQRNAKIGSGRGLSAVAMATSAQRRNASDMERVRRWNIAEAMGVAWMECLQPADTRSVYGKDFNALSYKFIAVLVASFALARNMQRLEVDRRAQVDVISRHRLSSGIHAWRRLIHCLIEMKSLFGPFGDSLCNPERVFWKLDFMETSSRMRRCLRRNYKGSDHFGAAANYEDQIEIKHDKGNVPVLAAEAISVEGLNEDGERTEIENFDGRSFDTEQSGESQLSLSGATDQNMQPPAEPNDIQLARDQDLENASAVAPGYVPSELDERIILELPSSMVRPLTVMRGTFQVTTRRINFIVNTTESNADGMESSESGVQEKDHSWLMSSLHQIYSRRYLLRRSALELFMIDRSNFFFDFGSTEARRNAYRAIVQSRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWVLSDYSSKSLDLSDASSYRDLSKPVGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLVRVEPFTTLSIQLQGGKFDHADRMFSDIAATWNGVTEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGGKLDSVKLPPWAENTTDFIHKHQMALESEHVSAHLHEWIDLIFGFKQRGKEAIAANNVFFYITYEGAVDIDKISDPAQQHATQDQIAYFGQTPSQLLTAPHLKRMPLADVLHLQTIFRNPKEVKPYAVPAPERCNLPAASIHASSDAVIIVDINAPAAHIAQHKWQPNTPDGQGTPFLFQHGKALTSSAGGTFMRMFKGQSVSGGDEWHFPQALAFASSGIRSKAVVSITHDKEIITGGHADNSIKLISADSAKTLETAIAHCAPVTCLALSPDGNYLVTGSRDTTVLLWKMHRAFTSSSSSISDPSTGTGTPPAAGSTLATNLAEKSRWRRIEGPIHVLRGHHREILCCCVSSDLGIVVSCSQSSDVLLHSIRRGRLIRRLFGVEAHSVFLSSEGVVMTWNKCQNSLNTYTLNGILIARAQLPLSGSVSCIEISVDGKCALIGMNSCPENHGSSNNSQNLSLKKTGAADFDLESVDTGEDNRLDVPAPSICFLDLYTLKVFHVLKLGEGQDITALALNNDSTNLVVSTADKQLIIFTDPALSLKVVDQMLKLGWEGDGLSPLIKS >Potri.008G215300.2.v4.1 pep chromosome:Pop_tri_v4:8:16690081:16696629:1 gene:Potri.008G215300.v4.1 transcript:Potri.008G215300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G215300.v4.1 MYSGSSDGESHEAAQRKIPPATSMLWVRNLRRFIGSGAGLGSEALMELETKRILLDIFKEKQQKSAEGGTIPSFYKKKPEEGSISHRVQRLAKYRFLKKQSDLLLNADDLDAMWVCLRENCVIDDATGAEKMNYEDFCHIASVCTEQIGPKCRRFFSPSNFMKFEKDESGRIAILPFYLYVMRTVSLTQARIDMSELDEDSDGFLQPHEMEAYIRGLIPNLAQLRDMPVAFIQMYCRIAAHKFFFFCDPHRRGKSCIKKVLLSNCLQELMELHQESEEEVTETEQAENWFSLMSAQRICDMFLALDKDMNGTLSKQELQEYADGTLTEIFIERVFDEHVRRGKIGGGNTREMDFESFLDFVLALENKDTPEGLTYLFRCLDLQGRGFLTTADIHSLFRDVHQKWIEGGNYELCIEDVRDEIWDMVKPADPLSITLADLLACKQGGTVASMLIDVRGFWAHDNRENLLQEEEEPEEE >Potri.001G259100.7.v4.1 pep chromosome:Pop_tri_v4:1:27452571:27456373:1 gene:Potri.001G259100.v4.1 transcript:Potri.001G259100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259100.v4.1 MEGVEGLESDLEGMRQYFRSGKTKDVAWRQSQLKGLLSFIKETERDMCKALKEDLGKHHVEAYRDEVGILTKSINFALHGLKEWMSSKKAKLPRVALLSSAELVPEPLGFVLIISSWNFPFGLSLEPMIGAIAAGNTMVLKPSELAPASASLLANVLPTYLDNSAVKVIQGGPAVGERLLQQKWDKIFFTGSARVGRIIMSAAVKHLTPVALELGGKCPAVVDSVSSSWDTKVTVNRILVSKFGACAGQACIAIDYILVEKRFASILVELMKVMIKKMFGENPRETNTVARIVNEQHFLRLKNLLSDSAVQNSIVYGGSMDEKNLFVEPTILVDPPLDAAIMTEEIFGPLLPIITLDKVEDSIAFINSKPKPLAIYAFTNNEKFRRRMLSETSSGSLVFNDAVIQYAADALPFGGIGESGIGKYHGKFSFDTFSHYKAVTRRSFLTDFWFRFPPWNDYKLLLLEATYNYDYLGMLLVILGLKRRR >Potri.013G090600.5.v4.1 pep chromosome:Pop_tri_v4:13:9179248:9182650:-1 gene:Potri.013G090600.v4.1 transcript:Potri.013G090600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090600.v4.1 MPKSKNQNQNFRYLPLVLLTELVCFVLADHADMYFIQQISRKLSNLAHSDLIDPKIVTLVQQGQYVDALQFYSRNPLNATRFTYPSLLKACGFLSNLQYGKTIHSTIITKGFFYSDPYITTSLINFYFKCGSFGNAVKVFDKLPESEVSGQDVTFWNSIVNGYFRFGHKKEGIAQFCRMQLFGVRPDAYSLCILLGASDGHLGYAKQIHGYSVRKVFYGDPFLESGLIYMYFSCGRPLDAWRLFKELEDKGNVVAWNVMIGGFGENGLWENSLEVYLLAKNENVKLVSASFTSTLSACCQGEFVSFGMQVHCDLVKLGFENDPYVCTSLLTMYSKCKLVEDAENVFDQVSVKKTELWNAMISAYVGNGRSYDGLKIYKQMKVLQIPPDSLTATNVLSSCCLVGSYDFGRLIHAELVKRPIQSNVALQSALLTMYSKCGNSDDANSIFNTIKGRDVVAWGSMISGFCQNRKYMEALEFYNSMTVYGEKPDSDIMASVVSACTGLKNVNLGCTIHGLAIKSGLEQDVFVASSLVDMYSKFNFPKMSGNVFSDMPLKNLVAWNSIISCYCRNGLPDLSISLFSQMTQYGLFPDSVSITSVLVSVSSVAVLRKGKAVHGYLIRQRIPSDLQLENALIDMYIKCGFLKYAQHIFQNMLQTNLVTWNIMIAGCGSHGDWLKAMRLFDEMRSFGIAPDDITFISLLTSCNHCGFIEEGLKLFQLMTVEHGIEPRMEHYVNIVDLLGRAGRLDDAYAFVKNLPIEPDRSIWLSLLCSCRVHHNVELGKLAAHKLLDIEPSRGSNYVQLLNLYGENELQDRAANLRASMKEKGLKKTPGCSWIEVGNSIDVFFSGDSSSPRTIEIYDLLNSLRRNMRKKGGHYESVEAL >Potri.004G197800.2.v4.1 pep chromosome:Pop_tri_v4:4:20958395:20961783:1 gene:Potri.004G197800.v4.1 transcript:Potri.004G197800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197800.v4.1 MIYKIFRRKVEIQNSLKRKVRSFLSLSNPIAFHLCVALKVQKIKVSLDELRKDAIGYGLGVLYTTPQPSLHPKTDSFLGSSEVVIGRVDDVSKIMNLMVSSCRQQVLSVIPIVGMAGLGKTTLAKMVLKEVKDRKLFDVIFWICVSDSFDDERILGEMLQTLDKNTGGISNINTIMTNLERQLKDKKFLLVLDDVWNEEYGKWESLRDRLLKVVGINHGNAVVVTTRLPLVASIMENPPVFRHELKQLSNDECWSIIRKIVSRNGGESIPSELEAVGIDIAKKCGGVPLAASIIGGMLLSEKKEKWLSIKKNEAVEKFNLTKKLLPVLKLSFDHVSSESLQRCFAYCSIFPKDFEIEKEKLIQLWMAEGLLGPSHGEMEDTGARNFNDLLARSFFQDFQTDELGNVICCKMRELVHDLASLVTKSETVIWEAGSAIDGTFRARHLNLLSYDRDGPAFLKDGARKLRTLFSKSWEFRELPDSICLLKHLRYLDVSQTNIKAFPKSITKLHHLQTLRFRRCWLLEKLPNKMEYLASLRHIDFSHTPADVGCLTGLRTLPFFEVGTGRGVGIVNLEHVRDKKEATGASLFGKAKINALVLVWSSERKSSSSSINYKDVLEGLQPHPDIRSLEIENYQGDEFPPWLLMPTLNNLVVLKLEGCKKLPTAGNLSHLEILEIEGMDGVKKIGEEFYSSGGSGTGPIFPALKRLSLVNMWSLVEWMIPATVAGGVQAALPCLEELYMSWSPELRSCDALSHIPGEFHASATSLKYLTILGCSSLTSIPSLQNCTALEVLSIYKCYNVVSIILELHSLKSDLKVKDCRKPLFFYDDDDLHGGELRLHSLIQLEISFCQNLSHIPEDFFCGLNQLRVLKIGSFSEELEAFPGMNSIHHLGGSLKKLKIFGWKNLKSLPHQLQHLTSLVKLKIFYFDGEEFDEALPDWLANLSSLQELTICYCKNFKCPLLQQNCFEGSGSEWHKISRILYINIENK >Potri.005G249500.1.v4.1 pep chromosome:Pop_tri_v4:5:24332016:24333107:-1 gene:Potri.005G249500.v4.1 transcript:Potri.005G249500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249500.v4.1 MAPGVFKRSLSFPNKIPSRTPSKPPISYHNRSISLPCRSHPLISQLQNEIDELKTWSSKLENRTSAWLCDGLSRLKDVQDCLDDILQLPQTQESLRRQPTWAEKILEDFLRFVDVYGIFQTLVLSVKDDQRAAQVAIRKKDDSKIALCIKSRKKMAKEMDKLVSTVRCIARCQFPGLDSGLSVADAELVGVIIDVIEVSMLVSVALFNGISLSFKSRKSSWIGLRLPKKEKKIKIGEGIQEFQEIGVERLWGLRKKGYEEVRMVLKRMQDLEECIGDIETSGQRVFRSLINARVSLLNSLTQ >Potri.001G073300.1.v4.1 pep chromosome:Pop_tri_v4:1:5507430:5513830:1 gene:Potri.001G073300.v4.1 transcript:Potri.001G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073300.v4.1 MILLQSQSRFLLQTLLNRVQNLEKAVELDYLWVEFSDVRYHILVSTKNPNVLLLSVSLPTPPPEAVFIGGLPGGAIEAIKAAYGVVVHILDPPRDGFNLTLKLNLAKLPPDEEHRYALLVKIASVREVVLGAPLRVVLKHLASRTVVPDVDRVLALVHRPKESFFLVSQPDKVTVVFPMRFKDSIDTAFATSFLQEFVEARRAAGLNNAPPCLWSPTPPLELNEAPAEALSANAGFVSFVIFPRHVEGKKLDRTVWNLSTFHAYVSYHVKCSEGFMHTRMRRRVESLIQALDRAKPGGEEKKKSPNSRSFKRLSLSEARANSIS >Potri.019G055600.1.v4.1 pep chromosome:Pop_tri_v4:19:9381674:9391571:1 gene:Potri.019G055600.v4.1 transcript:Potri.019G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055600.v4.1 MAPDPEQPTLSSLGKVGKSSGEIGGVEEPLLNGGIHTSENYSLASAIFPFLFPALGGLLYGYDIGSTSCATISIKSATLSGISWYNLNSVDIGLITSGSLYGALIGSVLAFNIADFLGRRRELILAAFLYLVGALVTALAPAFAVMVIGRFVFGIGIGLAMHAAPMYIAETAPSHIRGQLISLKEFFIVLGMVGGYGIGSLLVDTVAGWRYMYVASTPLAVIMGIGMWWLPASPRWLLLRAIQGKGSMQELRETAICCLCRLRGEAIGDTAPAKVDEILAELAVVGEEKEVTLAEVFRGKCLKALTIGAGLVLFQQITGQPSVLYYAASILQSAGFSAASDATRVSILLGLFKLIMTGTAVLVVDRLGRRPLLLGGVSGMVISLFLLGSYYIFLDNAPVVAVAALLLYVGCYQLSFGPIGWLMISEIFPLRLRGRGLGIAVLVNFGANALVTFTFSPLKALLGAGILFYAFGVIAVVSLLFIFFIVPETKGLTLEEIEAKCL >Potri.007G131000.2.v4.1 pep chromosome:Pop_tri_v4:7:14478718:14479785:-1 gene:Potri.007G131000.v4.1 transcript:Potri.007G131000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131000.v4.1 MTTRPKVPQTNGCQPKHDRAEHGFHFPHLHFIFPLLLLLLLLFIFPALEPSIITRTASIMKQRRVILIALPSLVLFLFFFFYILFNIRVKSLLLASSNNHSITLTKTPHTETKFSILIGILTRPDNYDRRHFLRLVYGIQSSSIAEIDVKFVFCNLTKPEQRVLIALEILRFNDIIILDCIENMNNGKTYAYFSSLPHILPRHYDYVMKADDDVFIRLEPLSLSLKPLPWQDLYYGFVIPCNSMNPFADYMSGMGFLLSWDLVEWIGKSEIPANDTVGPEDKMVGKWFKMGNKAKNRFSNKPAMYDYPGTNGRCSHELIPETVAVHRLKRWDQWLNVLEFFNVTKQLNNSKLYHV >Potri.003G085100.2.v4.1 pep chromosome:Pop_tri_v4:3:11135801:11137386:-1 gene:Potri.003G085100.v4.1 transcript:Potri.003G085100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085100.v4.1 MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Potri.009G153600.3.v4.1 pep chromosome:Pop_tri_v4:9:12042043:12047640:1 gene:Potri.009G153600.v4.1 transcript:Potri.009G153600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153600.v4.1 MCVSLFGALVKAEKNDLREPSPGFSKLTVVEAEKAISGNLCRCTGYRPIADACKSFAADVDIEDLGLNSFWKKEESREAKMSRLPLYDHNHEICTFPEFLKREIKSSLLLDSERYSWCTPATVEELQSLLKSIDADCKTRMKLVVGNTGMGYYKDLEHHDRYIDLGCVLELSSIRRDEEGIEIGAAVTISKTIEALKEEINSEFNSECKIVFKRIALHMEKIASEFVRNTGSVGGNLVMAQRKHFPSDIATILLAAGAFVHILTGTLHEKLTLDEFLERPPLDSKSVLLNIKIPNYAASKNISSEMDSKLLFETYRAAPRPLGNALPYLNAAFLSEVSCLKSSGSAVLNKCRVVFGAYGTKHAIRAKEVEKFLSGKILTIGVLYEAVKLVKANVVPEDGTPSPAYRSSLAAGYLFDFLYPLIDINSKISGVWSDEYCNTSLFKDAKIKQKYSQLDHVQLPTLLSSSEQVLELNNDHHPVGQPTKKVGAALQASGEAVFVDDIPSPTNCLHGAFIHSMKPYARVKDIKFKSKLLPDGVSGLISVRDIPEGGENRGCTTSFGTESLFADELTQYAGERLAFVVADTQKHADIASSLVEVDYDIENLEPPILTVEEAIKRSSLLEVPLLLYPKQVGDISKGLAEADHKILSAKIKLGSQYHFYMETQTALAIPDENNCMVVYSSTQCPEYAHVNIAKCLGIPEHNVRVITRRVGGGFGGKAMKAIPVATACALAAHKFRRPVRTYLNRKTDMIMAGGRHPMEITYNVGFKSNGKVTALQLDILINAGISFDISPVMPETILSGLKKYDWGALSFDIKVCKTNHSSKTAMRAPGEVQGSYIAETVIEHVASTLSMDVDSVRNINFHRYDSLKLFYDVASGDSVEYTLTSIWNKLAESSSFKQRVEIIKEFNRCKVWKKRGISRVPIVHQVFVGPTPGKVSILSDGSVVVEVGGIELGQGLWTKVKQMAAFALSSIKCDGVENLLDKVRVIQADTLSLTQGGMTAGSTTSESSCESVRLCCAVLVERLGPLKETLQGQMGSVTWDALICKAYMESLNLSASSRYIPDFTSMHYLNYGAAVSEVEVNLLTGETTILRSDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYTTNSDGLVVADSTWTYKIPTIDTIPKQFNVEIHNSGHHQKRVLSSKASGEPPLLLAASVHCAARAAIGDARQQLHSWGCMDESYSTFNLEVPATMPKVKELCGLDNVERYLGWKMGRK >Potri.009G153600.2.v4.1 pep chromosome:Pop_tri_v4:9:12038980:12047638:1 gene:Potri.009G153600.v4.1 transcript:Potri.009G153600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153600.v4.1 MEEKQRETGRGSLVFAVNGQRFEVSSRLDPSTTLLEFLRTRTSFKSVKLGCGEGGCGACIVLLSKYDPVRDQVEDFTVSSCLTLLCSVNGCSVTTSEGLGNSKDGFHPIHQRFSGFHASQCGFCTPGMCVSLFGALVKAEKNDLREPSPGFSKLTVVEAEKAISGNLCRCTGYRPIADACKSFAADVDIEDLGLNSFWKKEESREAKMSRLPLYDHNHEICTFPEFLKREIKSSLLLDSERYSWCTPATVEELQSLLKSIDADCKTRMKLVVGNTGMGYYKDLEHHDRYIDLGCVLELSSIRRDEEGIEIGAAVTISKTIEALKEEINSEFNSECKIVFKRIALHMEKIASEFVRNTGSVGGNLVMAQRKHFPSDIATILLAAGAFVHILTGTLHEKLTLDEFLERPPLDSKSVLLNIKIPNYAASKNISSEMDSKLLFETYRAAPRPLGNALPYLNAAFLSEVSCLKSSGSAVLNKCRVVFGAYGTKHAIRAKEVEKFLSGKILTIGVLYEAVKLVKANVVPEDGTPSPAYRSSLAAGYLFDFLYPLIDINSKISGVWSDEYCNTSLFKDAKIKQKYSQLDHVQLPTLLSSSEQVLELNNDHHPVGQPTKKVGAALQASGEAVFVDDIPSPTNCLHGAFIHSMKPYARVKDIKFKSKLLPDGVSGLISVRDIPEGGENRGCTTSFGTESLFADELTQYAGERLAFVVADTQKHADIASSLVEVDYDIENLEPPILTVEEAIKRSSLLEVPLLLYPKQVGDISKGLAEADHKILSAKIKLGSQYHFYMETQTALAIPDENNCMVVYSSTQCPEYAHVNIAKCLGIPEHNVRVITRRVGGGFGGKAMKAIPVATACALAAHKFRRPVRTYLNRKTDMIMAGGRHPMEITYNVGFKSNGKVTALQLDILINAGISFDISPVMPETILSGLKKYDWGALSFDIKVCKTNHSSKTAMRAPGEVQGSYIAETVIEHVASTLSMDVDSVRNINFHRYDSLKLFYDVASGDSVEYTLTSIWNKLAESSSFKQRVEIIKEFNRCKVWKKRGISRVPIVHQVFVGPTPGKVSILSDGSVVVEVGGIELGQGLWTKVKQMAAFALSSIKCDGVENLLDKVRVIQADTLSLTQGGMTAGSTTSESSCESVRLCCAVLVERLGPLKETLQGQMGSVTWDALICKAYMESLNLSASSRYIPDFTSMHYLNYGAAVSEVEVNLLTGETTILRSDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYTTNSDGLVVADSTWTYKIPTIDTIPKQFNVEIHNSGHHQKRVLSSKASGEPPLLLAASVHCAARAAIGDARQQLHSWGCMDESYSTFNLEVPATMPKVKELCGLDNVERYLGWKMGRK >Potri.009G153600.1.v4.1 pep chromosome:Pop_tri_v4:9:12038982:12047617:1 gene:Potri.009G153600.v4.1 transcript:Potri.009G153600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153600.v4.1 MEEKQRETGRGSLVFAVNGQRFEVSSRLDPSTTLLEFLRTRTSFKSVKLGCGEGGCGACIVLLSKYDPVRDQVEDFTVSSCLTLLCSVNGCSVTTSEGLGNSKDGFHPIHQRFSGFHASQCGFCTPGMCVSLFGALVKAEKNDLREPSPGFSKLTVVEAEKAISGNLCRCTGYRPIADACKSFAADVDIEDLGLNSFWKKEESREAKMSRLPLYDHNHEICTFPEFLKREIKSSLLLDSERYSWCTPATVEELQSLLKSIDADCKTRMKLVVGNTGMGYYKDLEHHDRYIDLGCVLELSSIRRDEEGIEIGAAVTISKTIEALKEEINSEFNSECKIVFKRIALHMEKIASEFVRNTGSVGGNLVMAQRKHFPSDIATILLAAGAFVHILTGTLHEKLTLDEFLERPPLDSKSVLLNIKIPNYAASKNISSEMDSKLLFETYRAAPRPLGNALPYLNAAFLSEVSCLKSSGSAVLNKCRVVFGAYGTKHAIRAKEVEKFLSGKILTIGVLYEAVKLVKANVVPEDGTPSPAYRSSLAAGYLFDFLYPLIDINSKISGVWSDEYCNTSLFKDAKIKQKYSQLDHVQLPTLLSSSEQVLELNNDHHPVGQPTKKVGAALQASGEAVFVDDIPSPTNCLHGAFIHSMKPYARVKDIKFKSKLLPDGVSGLISVRDIPEGGENRGCTTSFGTESLFADELTQYAGERLAFVVADTQKHADIASSLVEVDYDIENLEPPILTVEEAIKRSSLLEVPLLLYPKQVGDISKGLAEADHKILSAKIKLGSQYHFYMETQTALAIPDENNCMVVYSSTQCPEYAHVNIAKCLGIPEHNVRVITRRVGGGFGGKAMKAIPVATACALAAHKFRRPVRTYLNRKTDMIMAGGRHPMEITYNVGFKSNGKVTALQLDILINAGISFDISPVMPETILSGLKKYDWGALSFDIKVCKTNHSSKTAMRAPGEVQGSYIAETVIEHVASTLSMDVDSVRNINFHRYDSLKLFYDVASGDSVEYTLTSIWNKLAESSSFKQRVEIIKEFNRCKVWKKRGISRVPIVHQVFVGPTPGKVSILSDGSVVVEVGGIELGQGLWTKVKQMAAFALSSIKCDGVENLLDKVRVIQADTLSLTQGGMTAGSTTSESSCESVRLCCAVLVERLGPLKETLQGQMGSVTWDALICKAYMESLNLSASSRYIPDFTSMHYLNYGAAVSEVEVNLLTGETTILRSDIIYDCGQSLNPAVDLGQIEGAFVQGIGFFMLEEYTTNSDGLVVADSTWTYKIPTIDTIPKQFNVEIHNSGHHQKRVLSSKASGEPPLLLAASVHCAARAAIGDARQQLHSWGCMDESYSTFNLEVPATMPKVKELCGLDNVERYLGWKMGRK >Potri.017G065916.1.v4.1 pep chromosome:Pop_tri_v4:17:5547462:5548390:-1 gene:Potri.017G065916.v4.1 transcript:Potri.017G065916.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065916.v4.1 MESNRKRRGFMKGKLMRFYRSSSKSSSNVQYSSKVKPSQTSPTIASVAYVVHQDFTIAQQKQKVSFTVPASDNRHDKLSQFDKFFGVVGDVRIDNKATSYISSVQERFKLE >Potri.008G029700.1.v4.1 pep chromosome:Pop_tri_v4:8:1600921:1602928:1 gene:Potri.008G029700.v4.1 transcript:Potri.008G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029700.v4.1 MSPAIVLEVQTHYKEEELLESHEFHKGVQHLCERGITKVPRKYILPALDRPIFPKKDGATNFKLPIIDFAQLQGPDRIHALKSLSKACEEYGFFQLINHGIACQSILDMIEAGRKFFELSFEERSKYMSKDMRAPVRYGTSFNQNKDRVFCWRDFLKLDCHPLSDVLPYWPSSPTELRQAAVNYSKETKFLYIMVVRAILESLGLAETTKDIDENDGDHHIIKEFQDGSQLLVVNCYPSCPEPDLTLGIPPHSDYGFLTLLLQDEVKGLQIQHEGRWVTVEPIPNSFVINVGDHLEIFSNGRYRSVLHRVLVNPSKSRISIASLHSLPFGSMVRPSPKLIDDANPRRYKDTDFASFIEYIASHEHRSKNFLDSRRLT >Potri.009G157900.1.v4.1 pep chromosome:Pop_tri_v4:9:12277221:12279762:-1 gene:Potri.009G157900.v4.1 transcript:Potri.009G157900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157900.v4.1 MAFECQKSTEVSKSLAICVEKSNSNTEHLEISKSPLDCYPKKGILSSLSSKQLSCNDVLLNCRRSITDLPPALISEILSCLDPQELGIVSCVSRVLNSLATENSVWKEVYGERWGLPIVPAPLGAGVSNEKSWKELFVEREYRSKIFLSRYSIDTLHGHTEAVRTVSLLASAKLIFTSGYDMIVRMWDMEDGLYIASSRPLGCTIRAVAADTKLLVAGGTDGFIQGWRAVEGLKHLFDLKGSEVPNTEFRIWEHEGPITSLALDPTRIYSGSWDMTVRIWDRSSLECIKILRHGDWVWSLVPHDTTVASTSGSDVYVWDTNSGTLLTVIHSAHVGNTYSLARSHTEDFIFTGGEDGAMHMFEITGPKPEANVFKVATWMPHSGPVYSLAFEFPWLVSASSDGRLSLVDVRKLLRTNRRSLRKNVSRVTDKDRNNVEPPQRMLHGFGPNLFSVDVGADRIVCGGEEGVVRIWNFSKALERERTARAMRGIRLENRMRRRRLQIEMSSKGGRTDQCSVAAKKNPMHVDKSGVWRNKHGMSGKLKA >Potri.009G157900.2.v4.1 pep chromosome:Pop_tri_v4:9:12277224:12279747:-1 gene:Potri.009G157900.v4.1 transcript:Potri.009G157900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157900.v4.1 MAFECQKSTEVSKSLAICVEKSNSNTEHLEISKSPLDCYPKKGILSSLSSKQLSCNDVLLNCRRSITDLPPALISEILSCLDPQELGIVSCVSRVLNSLATENSVWKEVYGERWGLPIVPAPLGAGVSNEKSWKELFVEREYRSKIFLSRYSIDTLHGHTEAVRTVSLLASAKLIFTSGYDMIVRMWDMEDGLYIASSRPLGCTIRAVAADTKLLVAGGTDGFIQGWRAVEGLKHLFDLKGSEVPNTEFRIWEHEGPITSLALDPTRIYSGSWDMTVRIWDRSSLECIKILRHGDWVWSLVPHDTTVASTSGSDVYVWDTNSGTLLTVIHSAHVGNTYSLARSHTEDFIFTGGEDGAMHMFEITGPKPEANVFKVATWMPHSGPVYSLAFEFPWLVSASSDGRLSLVDVRKLLRTNRRSLRKNVSRVTDKDRNNVEPPQRMLHGFGPNLFSVDVGADRIVCGGEEGVVRIWNFSKALERERTARAMRGIRLENRMRRRRLQIEMSSKGGRTDQCSVAAKKNPMHVDKSGVWRNKHGMSGKLKA >Potri.003G112900.4.v4.1 pep chromosome:Pop_tri_v4:3:13545709:13550115:1 gene:Potri.003G112900.v4.1 transcript:Potri.003G112900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112900.v4.1 MAFTSISSMSIKSPNISTPHRILSLSKPFRIAYQLDTERGNQFADCSKNGYEVETAKAKNWARVVSTTLVAAAISFSSCNLPAVADLNRFEAETRGEFGIGSAAQFGSADLRKAVHLNENFRRANFTAADMRESDFSGSTFNGAYLEKAVAYKANFTGADLSDTLMDRMVLNESNLTNAVLVRSVLTRSDLGGALIAGADFSDAVIDLPQKQALCKYASGTNPITGVSTRASLGCGNSRRNAYGTPSSPLLSAPPQKLLDRDGFCDQGTGLCDAK >Potri.002G001800.1.v4.1 pep chromosome:Pop_tri_v4:2:115984:117927:-1 gene:Potri.002G001800.v4.1 transcript:Potri.002G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001800.v4.1 MIHFVLLVSRQGKVRLAKWYSPYTLSERSKVIRELSGIILNRGPKLCNFVEWRGFRVVYRRYAGLYFCMCVDEKDNELEVLDIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKRSVIRLVCTHDSLVETAKEQANSLSSVIAQVTK >Potri.002G001800.2.v4.1 pep chromosome:Pop_tri_v4:2:115984:117927:-1 gene:Potri.002G001800.v4.1 transcript:Potri.002G001800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001800.v4.1 MIHFVLLVSRQGKVRLAKWYSPYTLSERSKVVPIIMDAKLNLLRTGQVIRELSGIILNRGPKLCNFVEWRGFRVVYRRYAGLYFCMCVDEKDNELEVLDIIHHYVEILDRYFGSVCELDLIFNFHKAYYILDEILIAGELQESSKRSVIRLVCTHDSLVETAKEQANSLSSVIAQVTK >Potri.006G265400.1.v4.1 pep chromosome:Pop_tri_v4:6:26095867:26098609:1 gene:Potri.006G265400.v4.1 transcript:Potri.006G265400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265400.v4.1 MASLPFSCSSVPGLKIHPNPTKMAFFVTSIKSSLGPSKSAFLQRGFSLQSPNLPGFASKARSFGVFARAATEKSVHDFTVKDINGKDVALSKFKGKALLIVNVASKCGLTSSNYSELTHIYEKYKTQGFEILAFPCNQFGGQEPGSNPEIKQFACTRYKAEFPIFDKVDVNGPSTAPVYQFLKSSAGGFLGDLIKWNFEKFLVDKNGKVVERYQPTTSPFQIEKDIQKLLAA >Potri.003G156600.1.v4.1 pep chromosome:Pop_tri_v4:3:16761543:16765039:1 gene:Potri.003G156600.v4.1 transcript:Potri.003G156600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156600.v4.1 MGKERSEKEKVGGGEHNKRNMFVSCVVMNSCAAELKLVLTALLVLCSIATLFQILPSRFAISASDLRFCISRITTTTTTTTTTTISPLNSTTTTTTTIAPLNSTTTTIPLTPSPPSAQKDQVADNGVIKRVFNPYGSAAYNFITMGAYRGGHNTFAIIGLASKPLLLYSTPTYQCEWVPESSSASNSTFSTVSYRILPDWGYGRVYTVVVVNCTFSEAVNGENSGGKLFLEASTSGGGDKNLNITDRFEVLNESPGDLNMSLFSSKPKYDYLYCGSPLYGGLSPQRVREWIAYHVRLFGERSHFVIHDAGGVHEEVLEVLKPWMELGYVTLQDVKEQERFDGYYHNQFMVVNDCLHRYKFMAKWMFFFDVDEYIYLPPKNTIKSVLDSLSGYTQFTFEQMPMSSKLCLSADYGRYYRKWGIEKLVYRDVKRGIRRDRKYAIQPRNVFATGVHMSQNFAGKTTYKTEGKIKYFHYHGTIAQRREPCRNLLNVTEINFENVPYVLDTTMRDLAWSVKKFELKTIGPKLQNTRQ >Potri.011G079900.1.v4.1 pep chromosome:Pop_tri_v4:11:8599905:8600489:-1 gene:Potri.011G079900.v4.1 transcript:Potri.011G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079900.v4.1 MEGDIGRSGNSSRGSKSSKKWKIWLFRRLKLKESWGWRLKFIGSAFKWKRLNIQLSFFDDLIFKLVSVLEAIVLVITLCFFYLCCGCHF >Potri.018G069900.3.v4.1 pep chromosome:Pop_tri_v4:18:8414710:8417713:-1 gene:Potri.018G069900.v4.1 transcript:Potri.018G069900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069900.v4.1 MFNRLFGKPKLESSALTSLDKLNETLEMLEKKEKVLVKKAAAEVEKAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGSAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGKKPAPKKRTAEEEELADLQAEMAL >Potri.018G069900.4.v4.1 pep chromosome:Pop_tri_v4:18:8414708:8417725:-1 gene:Potri.018G069900.v4.1 transcript:Potri.018G069900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069900.v4.1 MFNRLFGKPKLESSALTSLDKLNETLEMLEKKEKVLVKKAAAEVEKAKEFTRGKNKRAAIQCLKRKRLYEQQIEQLGNFQLRIHDQMIMLEGAKATTETVDALRTGASAMKAMQKATNIDDVDKTMDEINEQTENMKQIQEALSTPIGSAADFDEDELEAELEELEGAELEEQLLQPATTAPAAPVHVPAGKKPAPKKRTAEEEELADLQAEMAL >Potri.006G062100.1.v4.1 pep chromosome:Pop_tri_v4:6:4424162:4424811:1 gene:Potri.006G062100.v4.1 transcript:Potri.006G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062100.v4.1 MSTQTIAILLAFLCMGTLIPSGLAQKIPTLPCFLPLTTIPGCSKEIFVAISAGTGRIAIGPACCKVINELTDVCWARLFPSIPATGKFLRGICSRSGISPAPAPAPN >Potri.016G116000.3.v4.1 pep chromosome:Pop_tri_v4:16:12025027:12032256:1 gene:Potri.016G116000.v4.1 transcript:Potri.016G116000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116000.v4.1 MVGPTRPQFVLFGSSIVQKSFDNGGWGAILADTYARKADIVMRGYGGWNSRNALQVLDQIFPKDAAVQPSLVITYFGGNDSMKPIPAELTPHVPLPEFIENMKKIATHLKSLSEKTRVIFLGVPPANDEMIIQLYGERAARSNEGGRIYSEATLKLCQELEVKAIDLWTIMQQKNDWLTTCFTDGFHLASEGSKIVAKEIIRALEEAEWEPSLYWKLMPSEFVGISPFDSEGNNGAIINSNM >Potri.016G116000.1.v4.1 pep chromosome:Pop_tri_v4:16:12025119:12032254:1 gene:Potri.016G116000.v4.1 transcript:Potri.016G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116000.v4.1 MVGPTRPQFVLFGSSIVQKSFDNGGWGAILADTYARKADIVMRGYGGWNSRNALQVLDQIFPKDAAVQPSLVITYFGGNDSMKPIPAELTPHVPLPEFIENMKKIATHLKSLSEKTRVIFLGVPPANDEMIIQLYGERAARSNEGGRIYSEATLKLCQELEVKAIDLWTIMQQKNDWLTTCFTDGFHLASEGSKIVAKEIIRALEEAEWEPSLYWKLMPSEFVGISPFDSEGNGTM >Potri.007G048400.1.v4.1 pep chromosome:Pop_tri_v4:7:4485743:4486392:-1 gene:Potri.007G048400.v4.1 transcript:Potri.007G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048400.v4.1 MNTHTRKETEATNTQGVPEKRVETVDYKSSPGQGQEMRRVVVIHQSNHHDNSTTSGGILAGAAASVASTLEFAKDVISGK >Potri.005G056300.3.v4.1 pep chromosome:Pop_tri_v4:5:3536466:3537485:-1 gene:Potri.005G056300.v4.1 transcript:Potri.005G056300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G056300.v4.1 MEEVCWWKPAWNAFELHQDSLQAQNSYLFLYGVPKLECEIRLRTYCSPKQSKPADEVVAKAKEMLESKKQGGTRYGFFFNNCEDFATLCKTGTAFCTQSELLFGRVGYPILKQLVQSS >Potri.018G100900.7.v4.1 pep chromosome:Pop_tri_v4:18:12088953:12095350:-1 gene:Potri.018G100900.v4.1 transcript:Potri.018G100900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100900.v4.1 MRRGVRSFRQFRYAFQRQECNYSFSLGKCQKVLIDTGYRVTDNADIDKFSCPKFIASRTLTTDAARVSNGDVNGGGPLVEYERRIAAGELVDGDSCQVGTLRELQRLYDELVESADACKLDRYTASDKSGRSRWLWSRLMPQSSYSPIKGLYLYGGVGTGKTMLMDLFFNQLPVNWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFRQLFNNGVILVVTSNRAPDNLYERGLQRDLFLPFIATLKERCVAHEIGSAVDYRKMTSAQHGFYFVGKESSSLLKQKFQQLIGEEKACPQEVEVVMGRTLQVPLGANGCAYFPFEELCDKPLGAADYFGLFNNFHTLALEGVPIFGLHNRTAAYRFVTLVDVIYENRAILLCTAEGSPLELFDRIVTIADAQQMAPRTSTRSRKNDDSDLCVDNELGFTKDRTISRLTEMNSKEYLEQHAAMLAEKQLSEEASNANAVQASVGGGSMFI >Potri.018G100900.8.v4.1 pep chromosome:Pop_tri_v4:18:12088876:12095310:-1 gene:Potri.018G100900.v4.1 transcript:Potri.018G100900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100900.v4.1 MRRGVRSFRQFRYAFQRQECNYSFSLGKCQKVLIDTGYRVTDNADIDKFSCPKFIASRTLTTDAARVSNGDVNGGGPLVEYERRIAAGELVDGDSCQVGTLRELQRLYDELVESADACKLDRYTASDKSGRSRWLWSRLMPQSSYSPIKGLYLYGGVGTGKTMLMDLFFNQLPVNWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFRQLFNNGVILVVTSNRAPDNLYERGLQRDLFLPFIATLKERCVAHEIGSAVDYRKMTSAQHGFYFVGKESSSLLKQKFQQLIGEEKACPQEVEVVMGRTLQVPLGANGCAYFPFEELCDKPLGAADYFGLFNNFHTLALEGVPIFGLHNRTAAYRFVTLVDVIYENRAILLCTAEGSPLELFDRIVTIADAQQMAPRTSTRSRKNDDSDLCVDNELGFTKDRTISR >Potri.018G100900.9.v4.1 pep chromosome:Pop_tri_v4:18:12088953:12095310:-1 gene:Potri.018G100900.v4.1 transcript:Potri.018G100900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100900.v4.1 MRRGVRSFRQFRYAFQRQECNYSFSLGKCQKVLIDTGYRVTDNADIDKFSCPKFIASRTLTTDAARVSNGDVNGGGPLVEYERRIAAGELVDGDSCQVGTLRELQRLYDELVESADACKLDRYTASDKSGRSRWLWSRLMPQSSYSPIKGLYLYGGVGTGKTMLMDLFFNQLPVNWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFRQLFNNGVILVVTSNRAPDNLYERGLQRDLFLPFIATLKERCVAHEIGSAVDYRKMTSAQHGFYFVGKESSSLLKQKFQQLIGEEKACPQEVEVVMGRTLQVPLGANGCAYFPFEELCDKPLGAADYFGLFNNFHTLALEGVPIFGLHNRTAAYRFVTLVDVIYENRAILLCTAEGSPLELFDRIVTIADAQQMAPRTSTRSRKNDDSDLCVDNELGFTKDRTISR >Potri.018G100900.6.v4.1 pep chromosome:Pop_tri_v4:18:12088959:12095354:-1 gene:Potri.018G100900.v4.1 transcript:Potri.018G100900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100900.v4.1 MRRGVRSFRQFRYAFQRQECNYSFSLGKCQKVLIDTGYRVTDNADIDKFSCPKFIASRTLTTDAARVSNGDVNGGGPLVEYERRIAAGELVDGDSCQVGTLRELQRLYDELVESADACKLDRYTASDKSGRSRWLWSRLMPQSSYSPIKGLYLYGGVGTGKTMLMDLFFNQLPVNWRKKRIHFHDFMLNVHSRLQKHKGVADPLEVVAGEISDEAILLCLDEFMVTDVADALILNRLFRQLFNNGVILVVTSNRAPDNLYERGLQRDLFLPFIATLKERCVAHEIGSAVDYRKMTSAQHGFYFVGKESSSLLKQKFQQLIGEEKACPQEVEVVMGRTLQVPLGANGCAYFPFEELCDKPLGAADYFGLFNNFHTLALEGVPIFGLHNRTAAYRFVTLVDVIYENRAILLCTAEGSPLELFDRIVTIADAQQMAPRTSTRSRKNDDSDLCVDNELGFTKDRTISRLTEMNSKEYLEQHAAMLAEKQLSEEASNANAVQASVGGGSMFI >Potri.010G230366.2.v4.1 pep chromosome:Pop_tri_v4:10:21274207:21275773:1 gene:Potri.010G230366.v4.1 transcript:Potri.010G230366.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230366.v4.1 MASSRVIGAAFLILFILDLTFAARSPKAIGKGGGGGGGGGGGRGGGGGSASGLGSGSGHGSGYGSGGGEGYGGGLGGGWGGGGGGGGGGGGGMGSGSGYGSGYGSGSGSGYGSGSGVGGGGGGGSGGGGGGGGGTGLGSGSGYGSGGGSGYGSGNGGGKGGGGGGGSGGGGGGGEGGGASGFGSGYGSGSGYGSGNGGGKGGGGGGGGGSGGGGGGGAGASGFGSGYGSGSGYGSGYGGDSEYGLP >Potri.007G144500.1.v4.1 pep chromosome:Pop_tri_v4:7:15358012:15359903:1 gene:Potri.007G144500.v4.1 transcript:Potri.007G144500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144500.v4.1 MDSSTSYFVLAFAFFFLTSSASLTASGGKHNVLDYGAKPDGRTDSSKAFLATWEQACRSTKPTTIYVPPSKFLLPKVAFRGPCKNNATSIRIDGTLVAPSDYRVLGEQWIFFENVNGVSIFGGILDGRGIGLWTCKNSSKNCPTGATSLGFTKSKNIVIMGLTSLNSQMFHIVINGCQKVRMQGLRISASYRSPNTDGIHVQLSDGVTILNTKIKTGDDCVSIGAGTTDLRIENVVCGPGHGISIGSLGKDLEEPGVQNVTVKNVTLTDSQNGLRIKSWGRPSNGFAKDILFQHVVMTNVQNPIVIDQNYCPDNKDCPGQASGIKISNVTYKDIHGTSATQVAVKFDCSKKHPCTGIRLEDVKLTYRNRLAYASCRNVDGTASGSSVQPQSCL >Potri.006G176600.1.v4.1 pep chromosome:Pop_tri_v4:6:18134614:18142427:-1 gene:Potri.006G176600.v4.1 transcript:Potri.006G176600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G176600.v4.1 MKFFSIIGNSFGCSASGERLVSAARDGDIQEAKALLEYNPRLARYSTFGVRNSPLHYSAAQGHHEIVSLLLESGVDINLRNYRGQTALMQAGQHGHWEVVLTLMLFKANIHRADYLNGGTALHLAALNGHSRCIRLLLADYIPSIADCWDILSKGSRDNGSISEFDGSALREVINRPADGGITALHMAALNGHVESVLLLLDLGASAYEVTVEDGTTIDLIGAGSTALHYAACGGNPQCCQILIARGASLTAENAKGWTPLMVARSWHRNELEVILTTQQENQSQICPSPYVSIPFMSIVKIARECGWRNNDSLPTCDDTCVVCLETKCTVAAEGCGHEFCTRCALYLCSTICISTVAQGPTGSVACPLCRHGIVSFVKLPGTKPVVKAIARTSLSLSFCTCTGEEPDFPSMTTPLRKPDFQCTKISPPSSFRSLSCQKFPSMNFNASRCMGASDTTPLVPCTIDLNPREREVRCSRSRIRRSTSNPERRKSWLSALNQYVTTGTGC >Potri.001G034933.2.v4.1 pep chromosome:Pop_tri_v4:1:2570235:2571553:-1 gene:Potri.001G034933.v4.1 transcript:Potri.001G034933.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034933.v4.1 MANACMAMRNLLSLAQKRFFNTSVILPTASSSFTAEYLIKTCGLPLQPGLSVSKKLQIDENNLQNSQAVVEFLKSHHFKDAHIAKMVQKCPAVLRCKVEDNLEPKFDFFIKNGFEGQLLPQILMSDPRILVCRLDTRIKPCLELLKPFLGSNENIIAVLKRASWLLTYSFKSCVQPNIDFLIKEGLPLDKMAKLLMSYPRTILIKHDRMVSAANYLKNLGLEPKAPMFIHAFRVMVQLSEPTWKKKIEAWKSVGWSEGEILGTFKRFPFLLSCSEEKINCMMDFFVNTVKLGHQTITANPSIFKYSFDKRIYPRYNVLKVLESKKLIRVRKTATFLKISEEKFLENYITKYEGKVPGLLEIYGSIRKTKGL >Potri.001G034933.1.v4.1 pep chromosome:Pop_tri_v4:1:2568371:2571687:-1 gene:Potri.001G034933.v4.1 transcript:Potri.001G034933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034933.v4.1 MANACMAMRNLLSLAQKRFFNTSVILPTASSSFTAEYLIKTCGLPLQPGLSVSKKLQIDENNLQNSQAVVEFLKSHHFKDAHIAKMVQKCPAVLRCKVEDNLEPKFDFFIKNGFEGQLLPQILMSDPRILVCRLDTRIKPCLELLKPFLGSNENIIAVLKRASWLLTYSFKSCVQPNIDFLIKEGLPLDKMAKLLMSYPRTILIKHDRMVSAANYLKNLGLEPKAPMFIHAFRVMVQLSEPTWKKKIEAWKSVGWSEGEILGTFKRFPFLLSCSEEKINCMMDFFVNTVKLGHQTITANPSIFKYSFDKRIYPRYNVLKVLESKKLIRVRKTATFLKISEEKFLENYITKYEGKVPGLLEIYGSIRKTKGL >Potri.005G124500.1.v4.1 pep chromosome:Pop_tri_v4:5:9271465:9275478:-1 gene:Potri.005G124500.v4.1 transcript:Potri.005G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124500.v4.1 MAELVDKQMEETEDDPIMPDEVDPSEGSLQQNISISSLMLMDGGSAGSLEMIPSGSKSSSYRSSENCAKFPDHIKQKEDMEIQDVVRQYALCFLPAKSLCRFKTVSKEWLRWINCPFFVHTQTNHFRHISGLFCQFPGESPSFMSLNPVAYGVPNPTLCFLPEPVDARTSCNGLLGCQSRLGDNAYYICNPVTEGWRMVPKPNLYHGPETAIALAFEPDILNFEAQYELVCAVTLPDRTALQFEIYSSRTNSWRVCNAVFLELDALPLNGDGFYTRGFVYWETKSGAVLVFDLKEEEYGIVSLPPSRKPTGALTDMRGELCYLLPHKEDDAWSIEVYGNMDMSLQCIIPLHSEFLGHLVDGECRALAFVNDDTLIITLGTKVIAYHARAHRMEWVSDARTDGFVKYFPYVNSFCTCGPFHA >Potri.012G027800.9.v4.1 pep chromosome:Pop_tri_v4:12:2712530:2714582:-1 gene:Potri.012G027800.v4.1 transcript:Potri.012G027800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027800.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGIVASIAIGIIYSVIVMKLNLTTGGFGSYLLGLNRKTYEQAGVDTEGNTPGSTGEPGIGWMTGFLFVSSFVGLLALVPLRKVICFLQFS >Potri.012G027800.8.v4.1 pep chromosome:Pop_tri_v4:12:2711786:2714582:-1 gene:Potri.012G027800.v4.1 transcript:Potri.012G027800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027800.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRTWSGFGSYLLGLNRKTYEQAGVDTEGNTPGSTGEPGIGWMTGFLFVSSFVGLLALVPLRKFLRMYSSHQTSCKGRAQAVSIST >Potri.007G095300.1.v4.1 pep chromosome:Pop_tri_v4:7:12040016:12043933:-1 gene:Potri.007G095300.v4.1 transcript:Potri.007G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G095300.v4.1 MECVVQGIIETQHVEALEILLQGLCGVHKEHLRVHELYLKSGPNLGHVTSEVRLLCNLEHPEPWTVKHVGGALRGAGAEQISVLVRNMVESKASKNVLRLFYALGYKLDHELLRVGSAFHFKRGAWITVTVSSINKMLKLHAIDDTVPVTLGIQVVEVTAPATSENYSEVAAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >Potri.007G095300.4.v4.1 pep chromosome:Pop_tri_v4:7:12040007:12043835:-1 gene:Potri.007G095300.v4.1 transcript:Potri.007G095300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G095300.v4.1 MECVVQGIIETQHVEALEILLQGLCGVHKEHLRVHELYLKSGPNLGHVTSEVRLLCNLEHPEPWTVKHVGGALRGAGAEQISVLVRNMVESKASKNVLRLFYALGYKLDHELLRVGSAFHFKRGAWITVTVSSINKMLKLHAIDDTVPVTLGIQVVEVTAPATSENYSEVAAAVSSFCEYLAPLLHLSKPGVSTGVVPTAAAAAASLMSDGGGTTL >Potri.004G094650.1.v4.1 pep chromosome:Pop_tri_v4:4:8095034:8097052:1 gene:Potri.004G094650.v4.1 transcript:Potri.004G094650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094650.v4.1 MEEVEEIGKERKWLITWGGFVEELKEVSYIAAPMVAVTVLQYLLQVVSVIIVGHLGQLALSGVALATSINNVTGFSLLVRGGVYPVNSRACKLNVDADTQASP >Potri.010G125100.1.v4.1 pep chromosome:Pop_tri_v4:10:14244777:14247095:-1 gene:Potri.010G125100.v4.1 transcript:Potri.010G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125100.v4.1 MICNKSLANAVGGRTARACDSCIKKRASWYCAADDAFLCQACDSSVHSANLLARRHERVRLKSASLKSSDAGSKDNSMPSWHGGFTRKARTPRHGKPVSQSKIEETTRNIPIPLVPEVGSDEISLEDNEEEHLLYRVPIFDPFAAELCTSTTVSNEAGAVVPAGGTDTDQRAADSSGTESKVLLGGSEGKDVESLHGFLPSDMDLAEFAADMESLLGRGLENESFGMEELGLMDCKEENELGVKGYPLGNGKVKVEEEEDAGMEEKAVRECHADIEIDIAKDPPFELSFDYDSPATCVEEDEKVGIEEGDLKNSDGEYEDDGGAKKKRRTLLSLDYEAVMTAWASQGSPWTNGYRPDFDADECWPDADCMGICGAQLHHPYGDVSGLGAHPAALVDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTTFAGK >Potri.002G039500.3.v4.1 pep chromosome:Pop_tri_v4:2:2600965:2602643:-1 gene:Potri.002G039500.v4.1 transcript:Potri.002G039500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039500.v4.1 MEKLLSSRSNLQSLSEKYIFPEKIRPGKVAIALCESIPVIDLGDIAGQNRANIAQEILKASQEFGFFQVINHGVSKELMNDTMSVFNEVFEMPAEDLADIYSEDPNRSCRLFTSGSPYANEDVHYWRDFLRHPCHPDLDACIQQWPEKPTRYRQVVGNYSTEVMKLASGILELITEGLGLESGYFGGKLSENAFLSVNRYPPCPDPSLTLGLPKHCDPNLITILLQGDVRGLQVFKDGEWIDVGPVPNAFVINIGYQLQIISNNKLKGAEHRAVTNSKDARTSAAFFVSPSRDSIVEPARELIKAGNRPLYRAFEFTEFFSNYMNEKGNSEVVLEPFKLQA >Potri.002G039500.2.v4.1 pep chromosome:Pop_tri_v4:2:2600966:2602630:-1 gene:Potri.002G039500.v4.1 transcript:Potri.002G039500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039500.v4.1 MEKLLSSRSNLQSLSEKYIFPEKIRPGKVAIALCESIPVIDLGDIAGQNRANIAQEILKASQEFGFFQVINHGVSKELMNDTMSVFNEVFEMPAEDLADIYSEDPNRSCRLFTSGSPYANEDVHYWRDFLRHPCHPDLDACIQQWPEKPTRYRQVVGNYSTEVMKLASGILELITEGLGLESGYFGGDVRGLQVFKDGEWIDVGPVPNAFVINIGYQLQIISNNKLKGAEHRAVTNSKDARTSAAFFVSPSRDSIVEPARELIKAGNRPLYRAFEFTEFFSNYMNEKGNSEVVLEPFKLQA >Potri.001G053000.3.v4.1 pep chromosome:Pop_tri_v4:1:4017379:4021397:1 gene:Potri.001G053000.v4.1 transcript:Potri.001G053000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053000.v4.1 MRLCKGYFDAVNWDLFDSRPEKDENNIDSERANIMNHVTNITKRTTEKLCELGILAGNDGGSLVTILNVSWKGVVTLLQQGKRVSKEMLSVQDIIVTLISLVNEPLRCAAEAWSSSLRETISLTEARRAFLPSKFYLTTVVKISSLYPCQAYLVYKEVTLCVLMISTFKVLLSYEKLLNTASEVFSELLEKTSMDLLNSLLNSTEVKQEHKFKLLDWLFSDESCSNSMHEGSSIFSRMTSMVEIFSVSCEAMSEARLLLLGRVALFHDLLRYSMVLEEDIRIKITGKFGWFLDMLVDEDVYSFVLDLQIPVPYGSGKAQELVWQPMFSALLHALKTFMIVVYSSCAWEELEAFLLENLFHPHFLCREIVMELWCFLVRYAEMDMVNSIIDKLCSLLKLLESPESFLVPGSPLRKVARIICLLANGTTPMADRVYSSVVGDGRSQLSSVMYVALLLEGFPLNSLSDSIRSTAKEKIITDYFGFIGSFDDKMLTTCSSGAFGIPVHALSASLRAQQVSISDVDMKTLKFLVAIIRNFRNPVEKIRKEHYYKLLSGTLGIVSNMKHLYKSDEMEGVILELQTLFVSAPAASSTQLHQCKPYLALFMGGLGDMEMTESDDCAKSSAVWELYHMLFRERHWALVHLAIEAFGYFAARTSCNQLWRFVPQNASLSYDLMSGNEASEKRFMSDLKAFLEKETALLNTTPSMEQLELLVTEGMTLKEMVQKISRIHIDATECESMEINVDIVSKKRRKLPDGISRGMELLQSGLKLIGGGISQWQENHFESSELHDKFLSHLSCLEDVVSHLTGLAGND >Potri.001G053000.4.v4.1 pep chromosome:Pop_tri_v4:1:4016265:4021247:1 gene:Potri.001G053000.v4.1 transcript:Potri.001G053000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053000.v4.1 MERKSSSKELQNLLQAIKSSDVVESRIELFDKLRDFDLLEKSDLAPVIECLTVFWEDFTCLDISQCLLNKSILSVATKYVDSGLFGCLVQFLVLGTKASGWCGKHLKMTAMSTEESQEEEHSNLFFQLLLDLLSLSSASTVALTRHPVFIDNASAAIVERFILEQLNLIKDVVSEFKTISSFGSEILKAAQTVIDTVMRLCKGYFDAVNWDLFDSRPEKDENNIDSERANIMNHVTNITKRTTEKLCELGILAGNDGGSLVTILNVSWKGVVTLLQQGKRVSKEMLSVQDIIVTLISLVNEPLRCAAEAWSSSLRETISLTEARRAFLPSKFYLTTVVKISSLYPCQAYLVYKEVTLCVLMISTFKVLLSYEKLLNTASEVFSELLEKTSMDLLNSLLNSTEVKQEHKFKLLDWLFSDESCSNSMHEGSSIFSRMTSMVEIFSVSCEAMSEARLLLLGRVALFHDLLRYSMVLEEDIRIKITGKFGWFLDMLVDEDVYSFVLDLQIPVPYGSGKAQELVWQPMFSALLHALKTFMIVVYSSCAWEELEAFLLENLFHPHFLCREIVMELWCFLVRYAEMDMVNSIIDKLCSLLKLLESPESFLVPGSPLRKVARIICLLANGTTPMADRVYSSVVGDGRSQLSSVMYVALLLEGFPLNSLSDSIRSTAKEKIITDYFGFIGSFDDKMLTTCSSGAFGIPVHALSASLRAQQVSISDVDMKTLKFLVAIIRNFRNPVEKIRKEHYYKLLSGTLGIVSNMKHLYKSDEMEGVILELQTLFVSAPAASSTQLHQCKPYLALFMGGLGDMEMTESDDCAKSSAVWELYHMLFRERHWALVHLAIEAFGYFAARTSCNQLWRFVPQNASLSYDLMSGNEASEKRFMSDLKAFLEKETALLNTTPSMEQLELLVTEGMTLKEMVQKISRIHIDATECESMEINVDIVSKKRRKLPDGISRGMELLQSGLKLIGGGISQWQENHFESSELHDKFLSHLSCLEDVVSHLTGLAGND >Potri.016G003066.2.v4.1 pep chromosome:Pop_tri_v4:16:162510:163565:-1 gene:Potri.016G003066.v4.1 transcript:Potri.016G003066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003066.v4.1 MEFSQESREDRLGFCMKLCPSPKMTIQDMTTGELMEAFPMPPLDLGIAVLTSSSPTSHPSSIDHQVEMLECSVVIDNRAEVLLIQIKIL >Potri.019G113300.1.v4.1 pep chromosome:Pop_tri_v4:19:13441188:13443902:-1 gene:Potri.019G113300.v4.1 transcript:Potri.019G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G113300.v4.1 MGLINNHKSCPVTESHHSTHIFFTICNYILLCAASSCIFLTLSLRLFPSLCGFFVVLLHMFTIISAVSGCSVALSGSNKWYAAHMISTSLTAIFQGSVSILIFTQTGDFLGYLKSYVREEDGAVILKLAGGLCLLIFCLEWVVLVLAFLLRYYAFAEGNGSGAGSFDRNGKVQEEELKNWPWPLQF >Potri.014G023400.1.v4.1 pep chromosome:Pop_tri_v4:14:1415578:1419795:-1 gene:Potri.014G023400.v4.1 transcript:Potri.014G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G023400.v4.1 MAASALIRGAPLLRLRLQHHHRFATVLLVPLRRSWCSTAESTPLEEDGVPLSTPAALSLRKAPKNSSWDDQNYRQWKDEEADIWRDIEPITHLAKEIIHSDRYMDGEQLTDEDEKVVAERLLAYHPNSDDKIGCGLDSIMVDRHPLFKNSRCLFVVRIDGGWIDFSYQKCLRAYIRSKYPTHAERFIKEHFKRGS >Potri.015G016600.2.v4.1 pep chromosome:Pop_tri_v4:15:1130499:1131539:-1 gene:Potri.015G016600.v4.1 transcript:Potri.015G016600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016600.v4.1 MRFKMSDFKVLDRVSIGLGGRADEVVFEAIVKDSNSPLDNIKVVLRKLVSTQAKRRGMRAIAVLKKLVRRKLIYHSYSMQFHGYISSPSSGRGSFTLAHGYHGSFSLRHWLQQSDWLPTLEANLALDEESVRRVGDDTVGGPAVSRQLQITQFFFETLASTIRLASYLGSQSSIG >Potri.004G125400.5.v4.1 pep chromosome:Pop_tri_v4:4:12155153:12158406:-1 gene:Potri.004G125400.v4.1 transcript:Potri.004G125400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125400.v4.1 MLNFASNCLAGSVSMNNSTKPTQVPSEYSDDEASSFSREEALECPICWESFNIVENVPYVLWCGHTLCKNCVLGLQWAVVKFPTLPIRLPLFISCPWCNLSSFRFVYRGNLKFPRKNYFLLWMVESKNGDRDKSPGTFCEDHQPHWSSDSNLAHGSRVSRGNIRRGHYIHHSEPSGTHHDHNHVNSYLNVERIHSSLQKSLAFFVSLTAKFPLVIIFLLIILYVIPASASILALYILITVLFALPSFLILYFAYPSLDWLVREIIT >Potri.001G234500.1.v4.1 pep chromosome:Pop_tri_v4:1:25325346:25327358:-1 gene:Potri.001G234500.v4.1 transcript:Potri.001G234500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234500.v4.1 MDVPMLVYAFLIFYPLFMLWRRIDRKRDRECYILDYECHKPTDDRKLDTECSGQVIRRNKNLGLNEYKFLLRAIVSSGIGEQTYGPRIIFNGQEENPTLQDLISEMEEFFHDSIGKLLARSGIAPKEIDVLVVNVSMQSVVPSLPAMIINHYKLREDVKVFNLTGMGCSASLISVNIVQNIFKTYKNAYALVVTSESLSPNWYAGSDRSMILANCLFRSGGCAMLLTNKRALKHRAMLKLKCLVRTHHGAIDESYDCCHQREDDQGRSGFHLDKSLPKVATRALVDNLREITPKILPVRELLRFMVVSFIRKYWSHRSTKGAGSSPKPAINFKTGVDHFCIHTGGKAVIDGIGVSLDLTEHDLEPARMTLHRFGNTSASSLWYVLGYMEAKRRLKKGDRVLMISFGAGFKCNSCLWEVLRDLGDAGNAWTDCIDSYPPNSLTNPFLEKYGWINNEDDPSTFAFPSPS >Potri.019G070102.1.v4.1 pep chromosome:Pop_tri_v4:19:11064357:11064734:1 gene:Potri.019G070102.v4.1 transcript:Potri.019G070102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070102.v4.1 MYPETTEHVMYLNFNETAIKELPQSIGHLSRLVALNLRDCKQLGNLPESICLLKSIVIVDVSGCSNVTKFPSIPGNTRYLYLSGTAVEEFPSSVGHLSRISSLDLSNSGRLKNLPSIGSEWKQLC >Potri.001G090400.1.v4.1 pep chromosome:Pop_tri_v4:1:7158695:7159596:1 gene:Potri.001G090400.v4.1 transcript:Potri.001G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090400.v4.1 MSKPHRPPSGRTNIASCIVATIFLIFVVIIILIVFFTVFKPKDPKISVNAVQLPSFAVSNNTVNFTFSQYVSVKNPNRAVFSHYDSTLQLLYSSSQVGFMFIPAGKIDAGRTQYMAATYSVESFPLSASPDAAVNVGSAFHDGGFGGGGQPGFNNGFRVGPTMEIESRIHIAGRVRVLHFFTHHLETKVRCRVTISVSDGSVLGFHC >Potri.005G076000.1.v4.1 pep chromosome:Pop_tri_v4:5:5110314:5113666:-1 gene:Potri.005G076000.v4.1 transcript:Potri.005G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076000.v4.1 MERNAAVRKPHTSTADLLTWKVSPPSATPPSASSHRPHQPSDNISKVLFGGQVSQEEAESLMKKKPCSGYKLNEMSGSSIFNGQDGESESGGANPNNRTTVRVYQQAVTGMSQISFSSDESISLKKPTSIPEVAKQRELSGTMQNDADMKSKRQISNAKFKEISGHDIFSPPDEIVPRSLAVAHSLEIKENKDIGEPAPRNIHPSIKVSNPAGGQSNILFGEEPVMKTAKKILDQKFHELTGNDIFKGDVPPGSAEKPLSTAKLKEMSGSDIFADGKAASRDYLGGVRKPPGGESSIALV >Potri.009G119900.2.v4.1 pep chromosome:Pop_tri_v4:9:10065052:10066492:1 gene:Potri.009G119900.v4.1 transcript:Potri.009G119900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119900.v4.1 MGLMLLKKISLLFLLISASFLSTSFAGRRSKSVNKLAEEVEVSAATYEEISSKPSHNNEATTIHERLLKANTKDYGNYKPAPALVRPPFKLIPN >Potri.003G135701.1.v4.1 pep chromosome:Pop_tri_v4:3:15340610:15341392:-1 gene:Potri.003G135701.v4.1 transcript:Potri.003G135701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G135701.v4.1 MKTFLRFVGMALSYDLAINVFLILSVQYQCFVAESNVSVERLEQYMHIPSEAPEAIETNRPLHNWPSVGEVEIRNLKVRYQPNAPFVLQGISCFVEGGHKIGLVGRTGSGKTTLISSLFRMVEPTEGKIIIDGLNISTIGLHDLRSIPSWHHSTRSNTF >Potri.013G116300.1.v4.1 pep chromosome:Pop_tri_v4:13:12491688:12492605:-1 gene:Potri.013G116300.v4.1 transcript:Potri.013G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116300.v4.1 MDKSIWQDLAEDSEPSDDYCYLKDDEPDESRYLHLINAVLSGTARLNILLPTATILAFTIFAPLLTNDGICTTLNRWLMGALWALLAASCVFFTFTDSFRTSTGRLYYGLATFRGIWTFNGGRKKPCVPSDYRLRWADLFHASLSLIAFLAFAGSHGDVVGCYYPAMPRKVINTVPLVIGFVISILFVLFPSKRRGIGYPFLLQREAFYSRC >Potri.006G042800.1.v4.1 pep chromosome:Pop_tri_v4:6:2861208:2862949:1 gene:Potri.006G042800.v4.1 transcript:Potri.006G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042800.v4.1 MPEKIDIVIADHASLQQSTSRFDEWLKSMMDRKREQTGNYQLGGPIIPRVPPTFRKIENNSGCYDPSVVSIGPYHHGKPELKEMEDNKVTFASQFVMESQVAPDTMFCKVEGVASNARKCYTEDSTQKFEDKEFSQMMFLDGCFILHFFFCLSEQPEKLKMSNHHVSLVARDLFLLENQLPFEVLLELMSLRFNIQGGERVDLFEPFFKHIRSMPPRRESCREKLSKNLATISSFFRSLLKLFSSTDHPKSPTNELTAIHRKPAYLLELFHNKFVARSIIDAAGVKDHKSWYKDDSRKSWSGRYYPAKDLRKAGIHFKPSKTSLFTDVSFTPTLLAGRLYIPPLRIDDSTKPLLLNLVAYEACLGTCDDWVTSYVCFMDSLIDHHKDVKELRSKGILISTLGSDKQVAELFNEISDYLVPNPYAYSKVKRDIENHYRNGIKRWILHYKGPTYTFIFKYSFIFGLIVTAIKAYVSVVPMNPVFGVCKMPAANVTLYP >Potri.001G208200.7.v4.1 pep chromosome:Pop_tri_v4:1:21286126:21291076:-1 gene:Potri.001G208200.v4.1 transcript:Potri.001G208200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208200.v4.1 MGSSSAVEKTENELQREIDELHRQQRQISERLRDPRGLRRGGFSSAAAAAPRNFASNGARHRGFVRPADRNEAEDQPPAKRRLLSAVVKVEEDGEIIEDPARAEDVKKQQLAEEGNVDPAKGTLADGKPAMLRQSGWSRRDVNQRAVKRVVETPVIEPVPRVLPKNQDPSLVSRNKRMLGQLLGTLEKFRKEDMKLSGTEAFIQRSNALQRAEQKAREESERLRQQEREQIAEQRKKDLTLRARIAAKAEEKKLELLFIRWSEHHKKLSNFIRLFLTLVQKCPYSQFY >Potri.001G208200.1.v4.1 pep chromosome:Pop_tri_v4:1:21286126:21291074:-1 gene:Potri.001G208200.v4.1 transcript:Potri.001G208200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208200.v4.1 MGSSSAVEKTENELQREIDELHRQQRQISERLRDPRGLRRGGFSSAAAAAPRNFASNGARHRGFVRPADRNEAEDQPPAKRRLLSAVVKVEEDGEIIEDPARAEDVKKQQLAEEGNVDPAKGTLADGKPAMLRQSGWSRRDVNQRAVKRVVETPVIEPVPRVLPKNQDPSLVSRNKRMLGQLLGTLEKFRKEDMKLSGTEAFIQRSNALQRAEQKAREESERLRQQEREQIAEQRKKDLTLRARIAAKAEEKKLELLFIRWSEHHKKLSNFIRTKAEPPIYYLFKKPLEKDATLLDQQREQAFLEWKAARREELSEYQKQIGDQHLGYVEKELERWQNARKARRANNDANLQETMDKELDTHRLEHGPKTRKIPGGSNNEDEDDVEDINVGEDDLMDDVLVVDDNSRRVDEVAQPEPNDTSPPS >Potri.013G137077.1.v4.1 pep chromosome:Pop_tri_v4:13:15622880:15623446:1 gene:Potri.013G137077.v4.1 transcript:Potri.013G137077.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G137077.v4.1 MPLGTAIHNIEITLGRGGQLARAAGAVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNAGVNQKSLGRAGSKCWLGKRPVVRGVVMNPVDHPHGGGEGRAPIGRKKPATPWGYPALGRRSRKRNKYSDNLILRRRSK >Potri.017G036501.1.v4.1 pep chromosome:Pop_tri_v4:17:2427192:2428123:1 gene:Potri.017G036501.v4.1 transcript:Potri.017G036501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036501.v4.1 MNDVNSSGVALLLIIPDHVGRIVCYMDEFSVLATLRLREDIVKLCIDPKLKGEYPPQVDKALGLDLFMRSRRIVLSWINCFLHSFLLVLTT >Potri.006G139700.1.v4.1 pep chromosome:Pop_tri_v4:6:11684823:11687703:1 gene:Potri.006G139700.v4.1 transcript:Potri.006G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139700.v4.1 MAAVRIRTVFVLSLLTFSLSLSKLAFSLTENEALLKVKSSFTNAEALDDWDSRSSPCVKRWAGIICFGGLITGLHLSDFGLSGTIDIEALQQLRALRTLSLKNNSFSGQIPAFNKLGALKLLLLSHNKFSGQIPNDFFSSMASLKKVWLSNNDFTGNIPVSLMSLPHLLELHLEGNQFSGHIPPLKKPTSVTSLDLSHNKLEGEIPDSFSKFSNESFLGNDRLCGKQLDRDCSSMVAESLPQPAVEEKKESANSDSHTKLAIGIGVLVVMGILIIAAFTGRKKDTDDDFSILEKETPNEMIPVRVRSIKKPAEGSTRRGLDSSRKGSSHGSKNGMGDLIMINDEKGAFGLPDLMKAAAEVLGNGGLGSAYKAVMTNGLSVVVKRMREMNKLGRDGFDVEMRRFGRIKHKNILAPLAYHYRKEEKLLVSEYVPKGSLLYVLHGDRGTCHADLNWPTRLKIIKGISSALGFLHSEYATYDLPHGNLKSSNVLLSENYEPLIIDYALDPLTNPNHAAQAMFAYKSPEYIQHQQISPKSDVYCLGIIILEIITGKFPSQYLTNGKGGTDVVQWVLQASSEQREQDLIDPEIANNTSSIDQMVQLLRIGATCIESSPVQRLDTREAIRRIEQILV >Potri.004G126000.1.v4.1 pep chromosome:Pop_tri_v4:4:12193788:12195269:-1 gene:Potri.004G126000.v4.1 transcript:Potri.004G126000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126000.v4.1 MPSSGDRKLAHVALLPSAGMGHLTPFLRLAASLTLQNVQVTFIIPHPTVSLSESQALSQLFASFPQIKHQQFHLLPLDNPSDDPFFEHFQLIKNSSRLLSPLLSALNPPLSVFITDMSLASTVTPITEAISLPNYVLFTSSAKMLTFFLCYPTLADSKAMDELDEMDVIKIRGLELMPKSWIPPPLLKKGNNILKTSFIEDSRKVAESSGILVNTFESFEQESLRKLNDCQLLLERLPSVVAIGPLPPCDFEKSQLQLTWLDDQPAGSVVYVSFGSRTALSRDQVRELGEGLVRSGSRFIWVVKDKKVDREDNEGLEGVIGDELMERMKEKGLVVRNWVNQEDVLSHPAVGGFFSHCGWNSVMEAAWHGVKILAWPQHGDQKVNADIVERIGLGTWVKSWGWGEEMIVNRAEIAEKIGEIMGNESLRIQALGIKEEARKTVGVGGCSNKGLSELINMWGKF >Potri.010G136900.1.v4.1 pep chromosome:Pop_tri_v4:10:15160384:15163909:-1 gene:Potri.010G136900.v4.1 transcript:Potri.010G136900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136900.v4.1 MGTATSSMAAKFAFFPPNPPSYTILVDEETGKLRLSSDVIHQRDNVDILKLCTKKGNEIVATYVKNPSASLTVLYSHGNAADIGQMYHIFTELSSHLNVNLMGYDYSGYGQSSGKPSEHDTYSDIEAAYKCLEETYGVKEEDIILYGQSVGSGPALELATHLPGLRAVILHSPILSGLRVMYPIKKTFWFDIYKNIDKIPLVNCPVLVIHGTEDEVVNFSHGKQLWELCKEKYEPLWLKGGNHCNLELYPEYLKHLKKFISAIEKLPPHVSAQSTDQPEQPLNAAGYNAEKPRPSSDHKEKARPSFGQREKSRLSTDNREKARASTDRRERTRKSIDRVGKARNSTDQQEKARNSFDRLGDMVRSVGLCNVDCLKQTAAEA >Potri.006G077775.1.v4.1 pep chromosome:Pop_tri_v4:6:5768764:5769370:1 gene:Potri.006G077775.v4.1 transcript:Potri.006G077775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077775.v4.1 MCAHTIKDGIGVNSHLLSCALTSYQNLDLRKCPISPSFQTREEEAGAEKKMTVQNEDKKAIKQEKLASKKEKDMHIKVTPEFQW >Potri.005G075400.1.v4.1 pep chromosome:Pop_tri_v4:5:5073801:5078226:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPCKFLLKMLKMAMVYSASQALISELEKRVGMMLENANVNDLLIPNYKREDQGKFVNSLEHRTMHDIEVIQRIVEYFLMHEQEQQQLPQTTGKSSVSKLLDSYLTEVAKDPNLSITKFQVLAEALPEKARTCDDGLYGAIDTYLKAHPLLSEHDRKRLCRIMNCGKLSLDACLHAAQNDRLPLRTVIQVLFCEQIKIRAAMQGKEAVASGNSSEQEITQTSTKTEITTLRAELENVKTQMTELQRDYFELQHEYGKKNNKHMNRSAWNFGWTKIRTSALFHRKSEGNLSGQEHKIPNSLGHKMNFRRRLSMS >Potri.005G075400.5.v4.1 pep chromosome:Pop_tri_v4:5:5073646:5078228:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MTKLMFVNSPIPTDLSIQVQDITFTVHKYPLVSKSSYIGRLEIQPSISNFGYELKLENFPGGPEAFEIILKFCYGLTLDLNPSNIAPLRCASEFLEMSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPCKFLLKMLKMAMVYSASQALISELEKRVGMMLENANVNDLLIPNYKREDQGKFVNSLEHRTMHDIEVIQRIVEYFLMHEQEQQQLPQTTGKSSVSKLLDSYLTEVAKDPNLSITKFQVLAEALPEKARTCDDGLYGAIDTYLKVLFCEQIKIRAAMQGKEAVASGNSSEQEITQTSTKTEITTLRAELENVKTQMTELQRDYFELQHEYGKKNNKHMNRSAWNFGWTKIRTSALFHRKSEGNLSGQEHKIPNSLGHKMNFRRRLSMS >Potri.005G075400.4.v4.1 pep chromosome:Pop_tri_v4:5:5073646:5078228:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MTKLMFVNSPIPTDLSIQVQDITFTVHKYPLVSKSSYIGRLEIQPSISNFGYELKLENFPGGPEAFEIILKFCYGLTLDLNPSNIAPLRCASEFLEMSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPCKFLLKMLKMAMVYSASQALISELEKRVGMMLENANVNDLLIPNYKREDQGKFVNSLEHRTMHDIEVIQRIVEYFLMHEQEQQQLPQTTGKSSVSKLLDSYLTEVAKDPNLSITKFQVLAEALPEKARTCDDGLYGAIDTYLKAHPLLSEHDRKRLCRIMNCGKLSLDACLHAAQNDRLPLRTVIQVLFCEQIKIRAAMQGKEAVASGNSSEQEITQTSTKTEITTLRAELENVKTQMTELQRDYFELQHEYGKKNNKHMNRSAWNFGWTKIRTSALFHRKSEGNLSGQEHKIPNSLGHKMNFRRRLSMS >Potri.005G075400.3.v4.1 pep chromosome:Pop_tri_v4:5:5073646:5078228:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MFRLKATMSCSSTVTMKKSLPPVQSQSSESDSGGVDQVYNQSIIVPPTAMSIADGFEKNDHSWFVNSPIPTDLSIQVQDITFTVHKYPLVSKSSYIGRLEIQPSISNFGYELKLENFPGGPEAFEIILKFCYGLTLDLNPSNIAPLRCASEFLEMSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPCKFLLKMLKMAMVYSASQALISELEKRVGMMLENANVNDLLIPNYKREDQGKFVNSLEHRTMHDIEVIQRIVEYFLMHEQEQQQLPQTTGKSSVSKLLDSYLTEVAKDPNLSITKFQVLAEALPEKARTCDDGLYGAIDTYLKVLFCEQIKIRAAMQGKEAVASGNSSEQEITQTSTKTEITTLRAELENVKTQMTELQRDYFELQHEYGKKNNKHMNRSAWNFGWTKIRTSALFHRKSEGNLSGQEHKIPNSLGHKMNFRRRLSMS >Potri.005G075400.9.v4.1 pep chromosome:Pop_tri_v4:5:5073646:5078228:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MFRLKATMSCSSTVTMKKSLPPVQSQSSESDSGGVDQVYNQSIIVPPTAMSIADGFEKNDHSWFVNSPIPTDLSIQVQDITFTVHKYPLVSKSSYIGRLEIQPSISNFGYELKLENFPGGPEAFEIILKFCYGLTLDLNPSNIAPLRCASEFLEMSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPSHLNIEQCTT >Potri.005G075400.7.v4.1 pep chromosome:Pop_tri_v4:5:5073646:5078228:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MFRLKATMSCSSTVTMKKSLPPVQSQSSESDSGGVDQVYNQSIIVPPTAMSIADGFEKNDHSWFVNSPIPTDLSIQVQDITFTVHKYPLVSKSSYIGRLEIQPSISNFGYELKLENFPGGPEAFEIILKFCYGLTLDLNPSNIAPLRCASEFLEMSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPCKFLLKMLKMAMVYSASQALISELEKRVGMMLENANVNDLLIPNYKREDQGKFVNSLEHRTMHDIEVIQRIVEYFLMHEQEQQQLPQTTGKSSVSKLLDSYLTEVAKDPNLSITKFQVLAEALPEKARTCDDGLYGAIDTYLKAHPLLSEHDRKRLCRIMNCGKLSLDACLHAAQNDRLPLRTVIQNKK >Potri.005G075400.10.v4.1 pep chromosome:Pop_tri_v4:5:5073646:5078228:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MFRLKATMSCSSTVTMKKSLPPVQSQSSESDSGGVDQVYNQSIIVPPTAMSIADGFEKNDHSWFVNSPIPTDLSIQVQDITFTVHKYPLVSKSSYIGRLEIQPSISNFGYELKLENFPGGPEAFEIILKFCYGLTLDLNPSNIAPLRCASEFLEMSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPSHLNIEQCTT >Potri.005G075400.8.v4.1 pep chromosome:Pop_tri_v4:5:5073856:5078248:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPCKFLLKMLKMAMVYSASQALISELEKRVGMMLENANVNDLLIPNYKREDQGKFVNSLEHRTMHDIEVIQRIVEYFLMHEQEQQQLPQTTGKSSVSKLLDSYLTEVAKDPNLSITKFQVLAEALPEKARTCDDGLYGAIDTYLKAHPLLSEHDRKRLCRIMNCGKLSLDACLHAAQNDRLPLRTVIQVLFCEQIKIRAAMQGKEAVASGNSSEQEITQTSTKTEITTLRAELENVKTQMTELQRDYFELQHEYGKKNNKHMNRSAWNFGWTKIRTSALFHRKSEGNLSGQEHKIPNSLGHKMNFRRRLSMS >Potri.005G075400.2.v4.1 pep chromosome:Pop_tri_v4:5:5073646:5078228:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MFRLKATMSCSSTVTMKKSLPPVQSQSSESDSGGVDQVYNQSIIVPPTAMSIADGFEKNDHSWFVNSPIPTDLSIQVQDITFTVHKYPLVSKSSYIGRLEIQPSISNFGYELKLENFPGGPEAFEIILKFCYGLTLDLNPSNIAPLRCASEFLEMSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPCKFLLKMLKMAMVYSASQALISELEKRVGMMLENANVNDLLIPNYKREDQGKFVNSLEHRTMHDIEVIQRIVEYFLMHEQEQQQLPQTTGKSSVSKLLDSYLTEVAKDPNLSITKFQVLAEALPEKARTCDDGLYGAIDTYLKAHPLLSEHDRKRLCRIMNCGKLSLDACLHAAQNDRLPLRTVIQVLFCEQIKIRAAMQGKEAVASGNSSEQEITQTSTKTEITTLRAELENVKTQMTELQRDYFELQHEYGKKNNKHMNRSAWNFGWTKIRTSALFHRKSEGNLSGQEHKIPNSLGHKMNFRRRLSMS >Potri.005G075400.6.v4.1 pep chromosome:Pop_tri_v4:5:5073646:5078228:1 gene:Potri.005G075400.v4.1 transcript:Potri.005G075400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075400.v4.1 MTKLMFVNSPIPTDLSIQVQDITFTVHKYPLVSKSSYIGRLEIQPSISNFGYELKLENFPGGPEAFEIILKFCYGLTLDLNPSNIAPLRCASEFLEMSEELDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKASRDNSTIGDTVNDEGCWFDDVASLRIDHFMRIITAIGARGTKPEIIGKCIMQYAERWLPGMDVELEGPRGYGYGKNELQFSILIGRKEDEGIEHSQEQKSIIESLVSILPPQPGAVPCKFLLKMLKMAMVYSASQALISELEKRVGMMLENANVNDLLIPNYKREDQGKFVNSLEHRTMHDIEVIQRIVEYFLMHEQEQQQLPQTTGKSSVSKLLDSYLTEVAKDPNLSITKFQVLAEALPEKARTCDDGLYGAIDTYLKAHPLLSEHDRKRLCRIMNCGKLSLDACLHAAQNDRLPLRTVIQNKK >Potri.001G464100.1.v4.1 pep chromosome:Pop_tri_v4:1:48981350:48982611:1 gene:Potri.001G464100.v4.1 transcript:Potri.001G464100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464100.v4.1 MANRILVISTFIAISFSMVASFQPSPLQDFCVADPTSSARVNGLACLDSKMVQANHFSFSGLHIPGNTSNALGSAVTPVFVGQIPGLNTLGISMARIDYAPWGLIPPHSHPRATEILTVLEGRLLVGFVTSNPDNRLITKVLEKGDVFVFPIGLVHFQRNVGLGSAFSISSLSSQNPGVLLVANTLFGSTPSIPNDILAKAFQVDKSVVEKLQAQF >Potri.008G122450.1.v4.1 pep chromosome:Pop_tri_v4:8:7956822:7957498:-1 gene:Potri.008G122450.v4.1 transcript:Potri.008G122450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G122450.v4.1 MSETGKNVLVLCHFSSHNYFTSEDCWWVFLKCRVCSHEVAVVSESHYQNRFESLNLLPHLLLLFCSVLFVCWFWAYEIFEGSDLWSSNEEV >Potri.005G088700.1.v4.1 pep chromosome:Pop_tri_v4:5:6183328:6184580:1 gene:Potri.005G088700.v4.1 transcript:Potri.005G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G088700.v4.1 MKTSQKQVIGIPIRSAAYSVEKMPRLLLENAEQRYIPTPANKSLTCKQNKTDSLLKRMNKLGKKADKFAHGIREHVKLGTRITETLKGKLSLGARIIQVGGVKKVFRQLFGVSEGERLLKVCQCYLSTTAGPIAGLLFTSTEKIAFCSERSIKLSSPEGKLTRIHYKVVIPLRKVKTANQSENVKKPSEKYIEIVTVDDFDFWFMGFFSCQKAFKSLQQAITQKQMNTSHSTLM >Potri.016G104400.1.v4.1 pep chromosome:Pop_tri_v4:16:10706566:10710465:-1 gene:Potri.016G104400.v4.1 transcript:Potri.016G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G104400.v4.1 MDRAFTHQKERETAHQQDEQHCHYRLKLCAPVVVKLRSSNNLKSFSLLGFWVSKSLRNLYCKARFSNGCCLKRLQFSGMVVNNSAFCDSPGVVLKEEKGEMEGLIEKSNECNREKDTNFGEKKGEVQTFGDLIEDKGRESSSSSEFLTSENTGHGEHSHSSSEEDSSSPRTLGWPVQKDEVSDCTSTNSATDDEEKSHFDDRKLEKQGSSISETEMMKERFSKLLLGEDMSGCGNGVCTALAISNAITNLCATLFGQLWRLEPLAPEKKAMWRREMEWFLCVSDHVVELMPSWQTFPDGSKLEVMTCRPRSDLYINLPALRKLDNMLLEILDSFDNTEFWYIDQGILAPDADGSASFRRTLQRQEEKWWLPVPRVPPGGLHENSRKQLQHKRDSTNQILKAAMAINSITISDMEIPESYMDALPKNGKASLGDLIYRCISSDQFYPECLLDCLDLSSELLAIELANRVEASIYMWRKKTNSKPVNSTNRSSSKSSWELMKELMIDVDKRDLLADRAESLLLCLKQRFPGLPQTTLDMSKIQYNKDVGKSILESYSRVLESLAFNIVARIDDLLYVDDLTKHSDHFSSISKVSVIAHKSVTIPYSVPASNSPYKTAFTTPSFSPGQRISPVKGDRSPFMTSGKIPQHGLGVKKVLTDYLSIDTKGRDGGITIEGTDNVIRNTPASQIGIESFGSILETISTPENRFSDIC >Potri.014G040400.1.v4.1 pep chromosome:Pop_tri_v4:14:2620699:2622518:-1 gene:Potri.014G040400.v4.1 transcript:Potri.014G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040400.v4.1 MGSGFGESTSGVPQNPSFTSSNGNGDAGDFECNICFDLAQDPIVTLCGHLFCWPCLYKWLHFHSKSRECPVCKALVEEEKLVPLYGRGKTSTDPRSKSIPGGNIPNRPAGQRPETAPPPEPNHFGQHGFGLTGGLGGFAPTATARSGNFTFSAAFGGLIPSLFNLQVHGFPNAAMYGPAAGFPYGFHSFHGGHPRGYHRHQGQGQQDYYLKRLLLFIGFCVLLALVWQ >Potri.016G008500.4.v4.1 pep chromosome:Pop_tri_v4:16:394724:396750:-1 gene:Potri.016G008500.v4.1 transcript:Potri.016G008500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G008500.v4.1 MYLRHFFLHTQPKMKVLAMESFFPGLVLLCMIIWRILSTSHKRNKTLPPPEPSGAWPLIGHLRILNSQIPFFRILGDLADKHGPVFSIRLGMRRTLVTSSWESVKECFKTNDRKFLNRPSFAASKYMGYDDAFFGFHPYGEYWLEMRKIATQELLSNRRLELLKHVRVSEIETCIKELHTTCSNGSVLVDMSQWFSCVVANVMFRLIAGKRYCSGIGKDSGAFGRLVREFFYLGGVLVISDLIPFTEWMDLQGHVKSMKRVAKELDHVVSGWLVEHLQRREEGRVRKEEKDFMDVMLESLAVGDDPIFGYKRETIVKATALNLILAGTDTTSVTLTWALSLLLNHTEVLKRAQKEIDVHVGTTRWVEESDIKNLVYLQAIVKETLRLYPPGPLLVPRESLEDCYVDGYLVPRGTQLLVNAWKLHRDARIWENPYEFHPERFLTSHGSTDVRGQQFEYVPFGSGRRLCPGISSSLQMLHLTLSRLLQGFNFSTPMNAQVDMSEGLGLTLPKATPLEVVLTPRLENEIYQH >Potri.004G074500.1.v4.1 pep chromosome:Pop_tri_v4:4:6210011:6214629:1 gene:Potri.004G074500.v4.1 transcript:Potri.004G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074500.v4.1 MMMAAAAAVRRRGSEAFFIGAQIQRCQMKIMGMILLLSPTTLSLLSTNHSNSISSSSSSSRYKHSNDASLFRNIDDALSCFNHMLHRKPLPCIIQFNKLLSAIVKMRQYYDAVISLSKQMELAGLSPNTYTLNMLINCFCQLQRIDLGFSVLAKGIKLGLQPTIVTFNTLTNGLCKVGKFSQAAELFDDMVARGCQPNVHTYNTIINGLCKIGETAAAARLLKKMEEAGCQPNVVTYSTIIDGLRKDRRVNEALDIFSYMKAKGISPDIFTYTSLIKGLCNSSRWKDASAMLNEMRSLNIMPDSVIFNVLINTFCKEGKVSQAQGVLKTMTEMGVEPDIVTYSSLMYGYSLCMEVVEARKLFDVMITKGYKPDVFCYNILINGYCKATRIDKAKQLYNEMILQGLTPDKVTYNTLIHGLCQLGRLREAQDLFKNMHKNGNLPDLFAYSILLDGLCKQGYLGKAFRLFRAMQSSSLKPDLVMYNILVDAMCKSGNLKDARELFSELFVKGLQPNVQIYTTIINGLCKEGLLDEALEAFRNMEEDGCPPDEFSYNVIIRGFLQHKDESRAVHLIGEMRDRGFIADAGTTMW >Potri.014G083700.6.v4.1 pep chromosome:Pop_tri_v4:14:5361944:5369135:-1 gene:Potri.014G083700.v4.1 transcript:Potri.014G083700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083700.v4.1 MGMDVKEEDDDNRGKLIAAQMMVGMDGGMTSNSSNNQFQHHQQFQEHVSSPGGGTRMSRPLEEKERTKLRERHRRAITARILAGLRRHGNYNLRVRADINDVIAALAREAGWVVLPDGTTFPSRSQGSRPAGGTSAAVTSSSSHLVSQPTPPGSLGGVSPGHRTSVEYNSCRMKGAFIPNPSPYDLSASTQSQTPGMVGEGREQTESDPRVGGSMDTINDKQIVDIPPIPKLPEQDFAGSPFIPVYVMLPLGAINMKCELVDPDGLLKQLKVLKSANVDGVMVYCWWGIVEAHAPQEYNWNGYKRLFQMVHELKLKLQVVMSFHECGGNVGDDVCIPLPNWVAEIGRSNPDIFFTDREGRHNPECLSWGIDKERVLRGRTAIEVYFDYMRSFRAEFDEFFVDGIISMVEVGLGPCGELRYPSCPVKHGWRYPGIGEFQCYDKYFLKSLKKTAEVRGHPFRARGPDNAGSYNSQPHETGFFCDGGEYDGYYGRFFLNWYARILVDHGDRVLSLAKLAFEGTRIAVKLLGIHWWYKTSSHAAELTAGFYNPCNHDGYAAIVAMLKKHGAVLNFSCSELWTVDQQVDFAEAHADPEGLVWQVLNAAWDVGTPVAGENALPCYDRVTYNKILDNAKPLSDPDGRHFLSFTYLRLSPLLMERQAYMEFERFVKRMHGDAVLDLQV >Potri.008G141600.1.v4.1 pep chromosome:Pop_tri_v4:8:9537944:9539135:-1 gene:Potri.008G141600.v4.1 transcript:Potri.008G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141600.v4.1 MESVVEDNSSDVEAMLFCFIDDNNDAISSSSEQVLDHVFSLSELFTESPVSSSEQVRDHVFSLSELFTECPVENVLNDKNKNKNEFKSSSLLGKRKERRENNVGFCKKVKQSTAEEEIRGFLDFGENQNPSTFCSGGVDDETMDLDGEQSILLPDILALNSGLEEGRGFDEGFWSLEGNLGFERASQQMGILDNTSTEALDLEDGLSYDEMLEILCSDDDGDMSIEEFLLLLADIRRLEGHDEENEGAQLYGYLNHE >Potri.012G048300.1.v4.1 pep chromosome:Pop_tri_v4:12:4476072:4477866:-1 gene:Potri.012G048300.v4.1 transcript:Potri.012G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048300.v4.1 MATFFGSPPFLSHPLTRTNFSSSSQTPPPPTPPIPPSQPNPSPQLSSSSSEQPQLPASVRVQQQKPAKPAGNSATKVETTDWIASTLTRRFGLGAGLAWAAFLAVGVVSEQIKTRIEVSQQEANTRNVGKEEEVALPNGIRYYELRVGGGASPKTGDLVVIDLKGKIEGSGEVFVDTFGGDRKPLALVMGSRPYSKGMCEGVEYVLRSVKAGGKRRVIVPPNLGFRENGADLGTGVQIPPFATLEYIVEVERVSIAPA >Potri.004G185700.1.v4.1 pep chromosome:Pop_tri_v4:4:19904367:19928972:-1 gene:Potri.004G185700.v4.1 transcript:Potri.004G185700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185700.v4.1 MNGESRRWDRKDLRRSSKKQKLMRTAEEELESKLGFDLFTEGDKRLGWLLTFSSSSLDDEETGRVYSCVDLYFVSQDGSAFKSKYKFRPYFYAATKEKMEMDVEGYLRRRYESQIAYIEIVEKEDLDLKNHLSGLHKSYLKISFATVQQLMDVKRDLLHVVERNQAKSDAAEAYESILTGKREQRPQDFLDCIIDLREYDVPYHVRFAIDNDIRCGQWYDVSVSSTGVKLEKRTDLLQRAEVHVCAFDIETTKLPLKFPDADYDLIMMISYMVDGQGYLITNRECVGEDIEDLEYTPKPEYEGCFKVTNVKNEVELLKQWFAHMREVKPGIYVTYNGDYFDWPFLESRAAYHGFKMGDEVGFSCDKNQGECRAKFACHLDCFAWVKRDSYLPQGSQGLKAVTKAKLGYDPLEVNPEDMVRFAKEKPQMMASYSVSDAVATYYLYMTYVHPFIFSLATIIPMSPDEVLRKGSGTLCEMLLMVQAYMANVICPNKHQSDQDKFYKSHLLESETYIGGHVECLESGVFRSDLPTSFKLDPSAYELLIKNLDRDLQYAIRVEGKMDLDLISNYDEVKNVIMEKLVCLRDEPVREECPLIYHLDVAAMYPNIILTNRLQPPSIVTDEICTACDFNRPDKTCLRKLEWVWRGEIFMAKKSDYYHLKKQIESEFVDGTDGQFSKSFLDLSKMDQQSKLKERLKKYCQKAYKRVLDKPVTEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAHDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGKPLELDTDGIWCVLPGCFPENFTFKTKDSKKKLTISYPCVMLNVDVARNNTNEQYQTLVDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLSDYGQQKSCAVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDPEIMKFYLRKWCKTSSDVGIRSIIDWSYYKQRLGSAIQKIVTIPAAMQKVANPVPRVVHPDWLHRKVREKEDKFRQRKLVDIFNLRSRDDLSRKMDDATIKNHDEANVKDLEDFQIKSSSINRPRPIVRCYDVNEKRSAKKTGQLNSAEQQTDSVHELSSQPQHNTSYAENIDRNVDYQGWLELKKRKWKDILDRRKKQRLSNTRTSHHITDASELLGGLTNHKGAQRRTGVGSYFTTHEVALTRCHWQIIQLLPSSRCGQFFAWVVVEGIMLKIPITIPRAFYLNAKAPAMENFPGRRVTKTLPHGRPSYNLVEVIIDEDKFRKESKKLAALLADPEVEGIYESKVPLEFNAVIQIGCVCKVDKTAKKRNAQDGWSLSELHMKTTTECSYLEQSISFFYIYHSISEGRAIYVIYLPALGTVSVVVVNPYQNKDITPSFLERQFREACQALSIEAIPPRNSIVFKVDYVGHVKDAEKILQGTISELRDKHHGPTLAVIECPNAHLMKSGVPALDDFPCVSIPSNARDSQYQVLGWQQASAKIGMQRSAASSQWLNERISLSRYAHVPLGNFELDWLIFTADVFFSRALHDQQQVLWISDDGVPDLGGNHEESTCFADEVQQPVLTYPGAYRKVSVELKIHHLAVDALLKSNQINEMEGGALLGFDQDMNSSTTLYDQSGFDEATSSASGLRVLKQLIQRCLADAVTSGNVFADAILQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAELRKLGATIIFANFSKVIIDTGKFELTAAKAYCDSVLKTLQNRELFEWIELEPLQFWHSLLFMDQYNYGGIPARPDDSSHNDLHHHSPREEPKVDIVSNWNIAEYLPKKIQDHFVLIVSEFMFIPWDYAQKQAATREALRDGNSCTPSITIVAAENFESHMVEYIKGQIGTHFTDKLLGIARDTVLHMKGINKSENGQQISLGIQQPAGMNHKGDPALEFIKHVCAVLALDQHVQHEVLVMRKNLLKYVRVREFAPEAEFHDPCPSFILPNVICSYCNDCRDLDLCRDSAVLAEEWRCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCLRCNQVKAAHLSEQCACAGSYRCREDVSEFRRKMQIFLNVAIRQKFQLLQECTSWILEVIL >Potri.004G185700.3.v4.1 pep chromosome:Pop_tri_v4:4:19904332:19913996:-1 gene:Potri.004G185700.v4.1 transcript:Potri.004G185700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185700.v4.1 MDDATIKNHDEANVKDLEDFQIKSSSINRPRPIVRCYDVNEKRSAKKTGQLNSAEQQTDSVHELSSQPQHNTSYAENIDRNVDYQGWLELKKRKWKDILDRRKKQRLSNTRTSHHITDASELLGGLTNHKGAQRRTGVGSYFTTHEVALTRCHWQIIQLLPSSRCGQFFAWVVVEGIMLKIPITIPRAFYLNAKAPAMENFPGRRVTKTLPHGRPSYNLVEVIIDEDKFRKESKKLAALLADPEVEGIYESKVPLEFNAVIQIGCVCKVDKTAKKRNAQDGWSLSELHMKTTTECSYLEQSISFFYIYHSISEGRAIYVIYLPALGTVSVVVVNPYQNKDITPSFLERQFREACQALSIEAIPPRNSIVFKVDYVGHVKDAEKILQGTISELRDKHHGPTLAVIECPNAHLMKSGVPALDDFPCVSIPSNARDSQYQVLGWQQASAKIGMQRSAASSQWLNERISLSRYAHVPLGNFELDWLIFTADVFFSRALHDQQQVLWISDDGVPDLGGNHEESTCFADEVQQPVLTYPGAYRKVSVELKIHHLAVDALLKSNQINEMEGGALLGFDQDMNSSTTLYDQSGFDEATSSASGLRVLKQLIQRCLADAVTSGNVFADAILQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAELRKLGATIIFANFSKVIIDTGKFELTAAKAYCDSVLKTLQNRELFEWIELEPLQFWHSLLFMDQYNYGGIPARPDDSSHNDLHHHSPREEPKVDIVSNWNIAEYLPKKIQDHFVLIVSEFMFIPWDYAQKQAATREALRDGNSCTPSITIVAAENFESHMVEYIKGQIGTHFTDKLLGIARDTVLHMKGINKSENGQQISLGIQQPAGMNHKGDPALEFIKHVCAVLALDQHVQHEVLVMRKNLLKYVRVREFAPEAEFHDPCPSFILPNVICSYCNDCRDLDLCRDSAVLAEEWRCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCLRCNQVKAAHLSEQCACAGSYRCREDVSEFRRKMQIFLNVAIRQKFQLLQECTSWILEVIL >Potri.004G185700.2.v4.1 pep chromosome:Pop_tri_v4:4:19904367:19919601:-1 gene:Potri.004G185700.v4.1 transcript:Potri.004G185700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185700.v4.1 MAKKSDYYHLKKQIESEFVDGTDGQFSKSFLDLSKMDQQSKLKERLKKYCQKAYKRVLDKPVTEVREAGICMRENSFYVDTVRSFRDRRYEYKGLNKVWKGKLSEAKASGNSIKIQEAHDMVVLYDSLQLAHKCILNSFYGYVMRKGARWYSMEMAGVVTYTGAKIIQNARLLVDKIGKPLELDTDGIWCVLPGCFPENFTFKTKDSKKKLTISYPCVMLNVDVARNNTNEQYQTLVDPVNKTYATHSECSIEFEVDGPYKAMILPASKEEGILIKKRYAVFNDDGTLAELKGFEIKRRGELKLIKVFQAELFDKFLHGSTLEECYSAVAAVANRWLDLLDNQGKDIADSELLDYISESSTMSKSLSDYGQQKSCAVTTARRLADFLGDTMVKDKGLRCQYIVACEPRGTPVSERAVPVAIFETDPEIMKFYLRKWCKTSSDVGIRSIIDWSYYKQRLGSAIQKIVTIPAAMQKVANPVPRVVHPDWLHRKVREKEDKFRQRKLVDIFNLRSRDDLSRKMDDATIKNHDEANVKDLEDFQIKSSSINRPRPIVRCYDVNEKRSAKKTGQLNSAEQQTDSVHELSSQPQHNTSYAENIDRNVDYQGWLELKKRKWKDILDRRKKQRLSNTRTSHHITDASELLGGLTNHKGAQRRTGVGSYFTTHEVALTRCHWQIIQLLPSSRCGQFFAWVVVEGIMLKIPITIPRAFYLNAKAPAMENFPGRRVTKTLPHGRPSYNLVEVIIDEDKFRKESKKLAALLADPEVEGIYESKVPLEFNAVIQIGCVCKVDKTAKKRNAQDGWSLSELHMKTTTECSYLEQSISFFYIYHSISEGRAIYVIYLPALGTVSVVVVNPYQNKDITPSFLERQFREACQALSIEAIPPRNSIVFKVDYVGHVKDAEKILQGTISELRDKHHGPTLAVIECPNAHLMKSGVPALDDFPCVSIPSNARDSQYQVLGWQQASAKIGMQRSAASSQWLNERISLSRYAHVPLGNFELDWLIFTADVFFSRALHDQQQVLWISDDGVPDLGGNHEESTCFADEVQQPVLTYPGAYRKVSVELKIHHLAVDALLKSNQINEMEGGALLGFDQDMNSSTTLYDQSGFDEATSSASGLRVLKQLIQRCLADAVTSGNVFADAILQHLYRWLCSPQSKLHDPALHRILHKVMQKVFALLLAELRKLGATIIFANFSKVIIDTGKFELTAAKAYCDSVLKTLQNRELFEWIELEPLQFWHSLLFMDQYNYGGIPARPDDSSHNDLHHHSPREEPKVDIVSNWNIAEYLPKKIQDHFVLIVSEFMFIPWDYAQKQAATREALRDGNSCTPSITIVAAENFESHMVEYIKGQIGTHFTDKLLGIARDTVLHMKGINKSENGQQISLGIQQPAGMNHKGDPALEFIKHVCAVLALDQHVQHEVLVMRKNLLKYVRVREFAPEAEFHDPCPSFILPNVICSYCNDCRDLDLCRDSAVLAEEWRCAVPQCGQPYDREVMENALLQIVRQRERLYHLQDLVCLRCNQVKAAHLSEQCACAGSYRCREDVSEFRRKMQIFLNVAIRQKFQLLQECTSWILEVIL >Potri.016G034200.2.v4.1 pep chromosome:Pop_tri_v4:16:1976813:1978778:-1 gene:Potri.016G034200.v4.1 transcript:Potri.016G034200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034200.v4.1 MDPEAAKTARDSLNLAFHMSNLLDTGLDRHTLSVLIALCDLGLNPEALAAVVKELRREPVSSSLPNSSAPVAKP >Potri.016G034200.1.v4.1 pep chromosome:Pop_tri_v4:16:1976813:1978778:-1 gene:Potri.016G034200.v4.1 transcript:Potri.016G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034200.v4.1 MDPEAAKTARDSLNLAFHMSNLLDTGLDRHTLSVLIALCDLGLNPEALAAVVKELRREPVSSSLPNSSAPVAKP >Potri.001G409000.1.v4.1 pep chromosome:Pop_tri_v4:1:43686740:43688960:1 gene:Potri.001G409000.v4.1 transcript:Potri.001G409000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409000.v4.1 MATSLSLFLMPSDDRKQESTLAISTEPAEPTRTTTTTRTRKPAANKCQTRKKQPRRGMGVAQLENLRIQERWKAITETKQIGSLNLQPTKQLHVFDPFNNNNDDNQMAQYGTTVNHGVPMRNNGVVFNGFLGWDHQGGVVVKRVDEFNANNNGGFGCQVLVNPYMVGSAPVHQAGAPAPAPAAAVLLEASKELSSIPKVMQQQKQYEPTRCDLCFKMGGFSARAATSAFYAKYHNHNNNEGVEAMEAHRKGNNAMGSKVIMEYELFPGKNGKSTCFKDMEFPTAEASVAVGTGEASCVTTYSDYSASDASNSIDLSLKLSC >Potri.011G156400.1.v4.1 pep chromosome:Pop_tri_v4:11:18281487:18282747:1 gene:Potri.011G156400.v4.1 transcript:Potri.011G156400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156400.v4.1 MTTEEPFRPREKLLEKQIYFQSIHKHTYLKGPLDKITSVAIPLALAGSTLYLIGRGIYNMSHGIGKKE >Potri.002G170300.1.v4.1 pep chromosome:Pop_tri_v4:2:13116343:13118843:-1 gene:Potri.002G170300.v4.1 transcript:Potri.002G170300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170300.v4.1 MCILEVLFLIKLLHFINAAADCSISTCSIGDVPVRFPFRIEGQQPRNCGYPGFDLSCNNQSSTVLKLPHSGDFLVRDINYLTQQIQLYDSDNCLAKRLLQLNLSGSPFLGFFHQNYTFLSCPTQLVKSRFTTINCLSNSTISVLATSSLNLVNEMSSSCDVISTLKIPVSWPVKYNEGFTSDLSENLLLTWFSPDCNKCETQGSMCGFHGNASQEIGCFYDSKKGKSASDLRVFGIFILLIGIPVLVCASGIAISLYLMPWHPRTNEANATQRNSTIAAVSPQPTILVLGLDESTIESFDKLVLGESKRLPGPNGSTCAICLSEYNSKETVRIIPECKHCFHADCVDEWLRMNSTCPVCRKSPSPAHVRSSNI >Potri.004G187202.1.v4.1 pep chromosome:Pop_tri_v4:4:20023900:20025060:-1 gene:Potri.004G187202.v4.1 transcript:Potri.004G187202.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187202.v4.1 MEMIPSFLDNHRGRSIIDPFSSFDIWNPFKDFSPFTSTSNSLLSHENSAFVNTRVDWKETPEAHVFKADLPGLKKEEVKVGVEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFLRRFRLPKDAKMDQVKASMENGVLIVTVPKEELKKPGVKAIEISG >Potri.004G206800.1.v4.1 pep chromosome:Pop_tri_v4:4:21452894:21454916:-1 gene:Potri.004G206800.v4.1 transcript:Potri.004G206800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206800.v4.1 MESGKNAAFSSAKALPREDTPLIPNSPTLSSQSKTFANVFIAIVGAGVLGLPYAFKRTGWIMSLMMLFSVAGLTHYCMMLLIHTRRKLQSLSGDFAKINSFGDLGFTVCGSLGRFVVDVMVVLSQAGFCIGYLIFIGNTMANLFNASSPDSLTSQVIAFSMSAKSWYIWGCFPFQLGLSSVATLTHLAPLSIFADVVDLAAMGVVIAKDVFLMMENRPEVRAFGGLSVFFYGMGVAVYAFEGVGMVLPIESEMKERETFGKILGLSMGLISVIYGAFGVLGYFAFGNDTQDIITANLGPGLISLLVQLGLCINLFFTFPLMMNPVYEIVERRFWGGRYCLWLRWLSVMVVTLVALTVPNFADFLSLVGSSVCCGLGFVLPALFHLLVFKEEMNWKGWTIDVGIVSLGLVLAVSGTWYALMEIFAIKA >Potri.003G100600.1.v4.1 pep chromosome:Pop_tri_v4:3:12554524:12565716:-1 gene:Potri.003G100600.v4.1 transcript:Potri.003G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100600.v4.1 MSKVIYEGWMVRYGRRKIGRSFIHRRYFVLEPRLLAYYKKKPQDNRVPIKTLLIDGNCRVEDRGLKVHHGHTLYVLSVYNKKDKYNRITMAAFNIQEVFIWKEKIEFVIDQHQESQVPNGNKYVSFEYKSGMDNGRTASSSDCESQLSAQEDEDENENHRNLLRRTTMGNGPPASVFDWTQEFDSELTNQNPNNQVFSRKHWRLLQCQNGLRIFEELVEVDYLPRSCSRAMKAVGVVEASCEEIFELIMSMDATRFEWDCSFQYGSVVEEVDGHTAILHHILQLDWFPTFVWPRDLCYVRYWRRNDDGSYVVLFRSREHENCGPRPGYVRAHIESGGFNISPLKPRNGKLRTQVQHLMQIDLKGWGVGYVSSFQQHCLLQMLNSVAGLREWFSQTDERGAPPRIPAMANMASAPALSKKNVMLQESSVHPTPPSFNQINAASQNSVRRDGYSDQIAEEEQEACQTKHENDAKRTASEEEPVDQIDLSCFSGNLRRDDRDNTRDCWRISDGNNFRVRSKHFCFDKSKVPAGKHLLDLVAVDWFKDTKRMDHVARRQGCAAQVASEKGLFSVVFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRNSRLKLIPSVPKGSWIVRQSVGSTPCLLGKAVDCNYIRGPKYLEVDVDIGSSTVANGVLGLVIGVITTLVVDMAFLVQANTTEELPERLIGAVRVSHIELSSAIVPKLDPDTS >Potri.003G069600.1.v4.1 pep chromosome:Pop_tri_v4:3:9673629:9677211:-1 gene:Potri.003G069600.v4.1 transcript:Potri.003G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069600.v4.1 MADEIVNVNEFQVLARQALPKMYYDFYAGGAEDEHTLKKNVQEFQRIILLPRVLVDVSSIALSSNILGYTISAPIMIAPTALHKLAHPEGELATARAAAACNTIMTLSFSASCSVEEVAASCDAVRFFQLYVYKRRDIAVNLVQRAEKSGYKAIVLTADTPRLGRREADIKNKLIVPQLKNLEGLMSIEVVSDTGSNLEAYANETMDPSLCWRDIAWLKSITNLPILIKGILTREDAIEAMEVGAAGIIVSNHGARQLDYTPATISVLEEVVQAVGRRVPVLLDGGVRRGTDVFKALALGAQAVLVGRPVIYGLAAKGEAGVRKVMHMLKDELELTMALAGCPSVKDISRSHVRTDRDRLQSML >Potri.003G069600.5.v4.1 pep chromosome:Pop_tri_v4:3:9673629:9677171:-1 gene:Potri.003G069600.v4.1 transcript:Potri.003G069600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069600.v4.1 MADEIVNVNEFQVLARQALPKMYYDFYAGGAEDEHTLKKNVQEFQRIILLPRVLVDVSSIALSSNILGYTISAPIMIAPTALHKLAHPEGELATARAAAACNTIMTLSFSASCSVEEVAASCDAVRFFQLYVYKRRDIAVNLVQRAEKSGYKAIVLTADTPRLGRREADIKNKLIVPQLKNLEGLMSIEVVSDTGSNLEAYANETMDPSLCWRDIAWLKSITNLPILIKGILTREDAIEAMEVGAAGIIVSNHGARQLDYTPATISVLEEVVQAVGRRVPVLLDGGVRRGTDVFKALALGAQAVLVGRPVIYGLAAKGEAGVRKVMHMLKDELELTMALAGCPSVKDISRSHVRTDRDRLQSML >Potri.008G151500.1.v4.1 pep chromosome:Pop_tri_v4:8:10391468:10396136:1 gene:Potri.008G151500.v4.1 transcript:Potri.008G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151500.v4.1 MGFCPVSEMMQKVMEMFYTQPKTKAEGNEVEGRRKIKGTVVLMKKNVLDFNDIKASFLDRVHELLGKGVSMQLVSAVHQDPDGLRGKLGKVAYLEKWVTTITPLTAGETMFTITFEWDESMGFPGAIIIKNHHHSQLYLKTVTLEDIPGHGRVHFICNSWVYPTHRYKYDRAFFSNKAYLPCQTPEPLRLYREEELINLRGNGKGELKEWDRVYDYDYYNDLGSPDKGEGYARPILGGTEEHPYPRRGRTGRRKTKNDPHCEQRLPLISLDIYVPRDERFGHLKFSDFLAYALKSLGQVLLPEITSLCDKTINEFDTFEDVLNLYEGGIKLPNGPTISKIRDHIPWEMLKELVRNDGERLLKFPKPDVIKADKSAWRTDEEFAREMLAGVNPVIISRLQDFPPASKLDPKVYGNQNSSIGKELIEENMDGLTVVQAIKRNRLYILDHHDALMPYLRRINSTSTKTYASRTILFLQDDGTLKPLSIELSLPHPQGDRHGAVSKVFTPAEQGVEGSVWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFVIATNRQLSVLHPIYKLLHPHFRDTMNINALARQILINAGGILEITVFPAKYAMEMSSFVYKNWVFTEQALPTDLLKRGVAVPDSSQPHGLRLLIEDYPYAVDGLEIWSAIETWVKEYCAFYYPTDDLIQGDSELQSWWTEICNVGHGDKKDEPWWPEMQTLVDVTQTCTIIIWIASALHAAVNFGQYPYAGYLPNRPSLSRRFMPEPGTPEYAELEKNPDVAYLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTTEWTLDSEPLAAFERFRRKLVEIENKIMDMNNDKRWKNRVGPVEVPYTLLFPNTTDYSREGGLTGRGIPNSISI >Potri.008G151500.2.v4.1 pep chromosome:Pop_tri_v4:8:10391547:10396138:1 gene:Potri.008G151500.v4.1 transcript:Potri.008G151500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151500.v4.1 MGFCPVSEMMQKVMEMFYTQPKTKAEGNEVEGRRKIKGTVVLMKKNVLDFNDIKASFLDRVHELLGKGVSMQLVSAVHQDPADGLRGKLGKVAYLEKWVTTITPLTAGETMFTITFEWDESMGFPGAIIIKNHHHSQLYLKTVTLEDIPGHGRVHFICNSWVYPTHRYKYDRAFFSNKAYLPCQTPEPLRLYREEELINLRGNGKGELKEWDRVYDYDYYNDLGSPDKGEGYARPILGGTEEHPYPRRGRTGRRKTKNDPHCEQRLPLISLDIYVPRDERFGHLKFSDFLAYALKSLGQVLLPEITSLCDKTINEFDTFEDVLNLYEGGIKLPNGPTISKIRDHIPWEMLKELVRNDGERLLKFPKPDVIKADKSAWRTDEEFAREMLAGVNPVIISRLQDFPPASKLDPKVYGNQNSSIGKELIEENMDGLTVVQAIKRNRLYILDHHDALMPYLRRINSTSTKTYASRTILFLQDDGTLKPLSIELSLPHPQGDRHGAVSKVFTPAEQGVEGSVWQLAKAYAAVNDSGYHQLVSHWLNTHAVIEPFVIATNRQLSVLHPIYKLLHPHFRDTMNINALARQILINAGGILEITVFPAKYAMEMSSFVYKNWVFTEQALPTDLLKRGVAVPDSSQPHGLRLLIEDYPYAVDGLEIWSAIETWVKEYCAFYYPTDDLIQGDSELQSWWTEICNVGHGDKKDEPWWPEMQTLVDVTQTCTIIIWIASALHAAVNFGQYPYAGYLPNRPSLSRRFMPEPGTPEYAELEKNPDVAYLKTITAQLQTLLGVSLIEILSRHSTDEVYLGQRDTTEWTLDSEPLAAFERFRRKLVEIENKIMDMNNDKRWKNRVGPVEVPYTLLFPNTTDYSREGGLTGRGIPNSISI >Potri.015G025700.2.v4.1 pep chromosome:Pop_tri_v4:15:1909956:1932002:-1 gene:Potri.015G025700.v4.1 transcript:Potri.015G025700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025700.v4.1 MSDPKYGYPYPAQGYYQGPPVMAPPQYYAAPPPRREPGFLEGCLAALCCCFLIDELLLRPLLLFLTELAAMLLLRIVIAM >Potri.005G000300.2.v4.1 pep chromosome:Pop_tri_v4:5:112020:113944:1 gene:Potri.005G000300.v4.1 transcript:Potri.005G000300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000300.v4.1 MDGNFSPKQSYLYLTIIYTISYTLALYALALFYVACKDLLQPFNPVPKFNIIKSVVFLTYWQGVLVFLAAKSGFIKDAEEAAQFQDFIICVEMLIAVVGHLYAFLYKEYAGANIAGSCDLTRSLAHALKLNDLYHDTVHRFAPTYHDYVLYNHTEGDDGTRKYRSRIFVPTGQEMDAVRKNKLMFGNKMDELSSHFSSTTSTPKNDSSVPHPAHFDAMKSSHLMGVSDSLSSPYDMLLIDMDFSNYPAKVAAANETGIR >Potri.004G168900.1.v4.1 pep chromosome:Pop_tri_v4:4:18650024:18654767:1 gene:Potri.004G168900.v4.1 transcript:Potri.004G168900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168900.v4.1 MGVSENSRGLILAVASSAFIGASFILKKKGLKRAGANGTRAGVGGYTYLLEPLWWAGMVTMIVGEIANFVAYVYAPAVLVTPLGALSIIISAVLAHFMLKERLQKMGVVGCVSCVVGSVVIVIHAPQEHTPSSVQEIWTLATQTAFLIYVVATLSVVLALILYFEPRCGQTNILVYLGICSLMGSITVVSIKAIGIAIKLTLEGINQIAYPQTWFFLSVAVICVITQLNYLNRALDTFNAAIVSPVYYVMFTTLTIIASAIMFKDWSGQDVSSIASELCGFITVLSGTIILHATREQEPAPPLGTVTWYVSGDSLKGIEEHLITVQSSDEQ >Potri.002G027501.1.v4.1 pep chromosome:Pop_tri_v4:2:1842697:1844506:1 gene:Potri.002G027501.v4.1 transcript:Potri.002G027501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027501.v4.1 MNEAFLCMTATEQVDLFVTTPSNIPAQNFEVYGVAHAPVAKAFVGKKPHLIPDADNLFGQLQQIKVTNQGSLVSVFNSTENHEIDFALESGLCSLLVGELDECRKWLGLDSDNCPCRNPPIFYFIMENSKDDDDNDLPGLCKLLETWLMGVLFPRFRDTKDIEFKLGDYYDDPIVLRYLERQEGGGRSPLAAAAAIVRIGAEATAVIDHVKASAIQALQKVFPLGHKDIGAEIHENDWINYVHPAVETEEPFESLGLENPEEMFSDECMYVHVTHDQVT >Potri.002G027501.2.v4.1 pep chromosome:Pop_tri_v4:2:1842999:1844506:1 gene:Potri.002G027501.v4.1 transcript:Potri.002G027501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027501.v4.1 MNEAFLCMTATEQVDLFVTTPSNIPAQNFEVYGVAHAPVAKAFVGKKPHLIPDADNLFGQLQQIKVTNQGSLVSVFNSTENHEIDFALESGLCSLLVGELDECRKWLGLDSDNCPCRNPPIFYFIMENSKDDDDNDLPGLCKLLETWLMGVLFPRFRDTKDIEFKLGDYYDDPIVLRYLERQEGGGRSPLAAAAAIVRIGAEATAVIDHVKASAIQALQKVFPLGHKDIGAEIHENDWINYVHPAVETEEPFESLGLENPEEMFSDECMYVHVTHDQVT >Potri.002G027501.3.v4.1 pep chromosome:Pop_tri_v4:2:1842697:1844506:1 gene:Potri.002G027501.v4.1 transcript:Potri.002G027501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027501.v4.1 MNEAFLCMTATEQVDLFVTTPSNIPAQNFEVYGVAHAPVAKAFVGKKPHLIPDADNLFGQLQQIKVTNQGSLVSVFNSTENHEIDFALESGLCSLLVGELDECRKWLGLDSDNCPCRNPPIFYFIMENSKDDDDNDLPGLCKLLETWLMGVLFPRFRDTKDIEFKLGDYYDDPIVLRYLERQEGGGRSPLAAAAAIVRIGAEATAVIDHVKASAIQALQKVFPLGHKDIGAEIHENDWINYVHPAVETEEPFESLGLENPEEMFSDECMYVHVTHDQVT >Potri.010G235600.1.v4.1 pep chromosome:Pop_tri_v4:10:21608765:21610449:-1 gene:Potri.010G235600.v4.1 transcript:Potri.010G235600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235600.v4.1 MKNMGSNIGSSGRLSTEEINEEEEIPRLDISKFQAREEEIERKKMEVREKVELQLGRAEEETRRLTHIWEELEVLADPLRKDVAIARKKIDMANKELKPLGQSCQKKEKEYKEALEAFNEKNREKAQLVATLMELLTESEKQRMKKLEELNKIMESIR >Potri.010G235600.2.v4.1 pep chromosome:Pop_tri_v4:10:21608794:21609886:-1 gene:Potri.010G235600.v4.1 transcript:Potri.010G235600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235600.v4.1 MKNMGSNIGSSGRLSTEEINEEEEIPRLDISKFQAREEEIERKKMEVREKVELQLGRAEEETRRLTHIWEELEVLADPLRKDVAIARKKIDMANKELKPLGQSCQKKEKEYKEALEAFNEKNREKAQLVATLMEVLFQNLISTAAD >Potri.018G112600.1.v4.1 pep chromosome:Pop_tri_v4:18:12993142:12996421:-1 gene:Potri.018G112600.v4.1 transcript:Potri.018G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112600.v4.1 MLLSDTLTPAGHGQLGKIISPLSLSPSFSSRPLRYAVLGAGFAGLSVTWHLLKKSPKEKEMRIDIYDEVGIGGGASGISGGLLHPYSPKAKLLWRGAECWKESLMLLNVAEAAARLSGVDDSDDSFIVRRRGILRPAANTKNLIVLTDNAQNYDASCRIETIDEDTAQKLVPKIHVPFNPAFYMPEAVNVHPLRYLQALFLACQNVVNESSTSSHGQKELYLHKKSVQNLLELEGDGEYDAVIICLGAKADMLPELSGRLPLRTCRGVIAHLQLPANIREEYPDYAPSILSDAWLAIQGSRSLYMGSTWEWKSRNSNPNVSVDEASKALQELLPKVSAFYPAIKDWTFTKANAGLRAMPPLTAHGSLPLLGCVNYFVGENVAGKYWLFGGLGSRGLLYHAWLGNLMAQAVISCNEQLIPSELTAWKNINR >Potri.015G086000.1.v4.1 pep chromosome:Pop_tri_v4:15:11035292:11037534:1 gene:Potri.015G086000.v4.1 transcript:Potri.015G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086000.v4.1 MAFLQWLKESSSPTLLFVTIFLLVALKFLVKGKLKNSKLNLPPSPAKLPIIGNLHQLGNMPHISLRWLAKKYGPIIFLQLGEIPTVVISSVRLAKEVLKTHDLVLSSRPQLFSAKHLFYGCTDIAFAPYGAYWRNIRKICILELLSAKRVQWYSFVREEEVARLIHRIAESYPGTTNLSKMIGLYANDVLCRVALGRDFSGGGEYDRHGFQKMLDDYQALLGGFSLGDYFPSMEFVHSLTGMKSKLQHTVRRFDQFFDKVITEHQNSEGKQEEKKDLVDVLLDIQKDGSSEMPLTMDNIKAVILDMFAAGTDTTFITLDWTMTELIMNPQVMEKAQAEVRSVVGDRIVVQESDLPRLHYMKAVIKEIFRLHPAVPVLVPRESLEDVIIDGYNIPAKTRIYVNVWGMGRDPELWENPETFEPERFMGSSIDFKGQDFELIPFGAGRRSCPAITFGIATVEIALAQLLHSFDWELPPGIKAQDIDNTEAFGISMHRTVPLHVIAKPHFN >Potri.002G105400.2.v4.1 pep chromosome:Pop_tri_v4:2:7768827:7770815:1 gene:Potri.002G105400.v4.1 transcript:Potri.002G105400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105400.v4.1 MVDPWWSLLGAAIPAIIAGQALRMKKRRADEQRLKSARGREKSSDEIFVCERVCTSKRMLKKVGALSKDPTIDTCVTVCGVSELDACADACARTVCVNQHQVPNWNDICLRRCQSECLRLSNSSATS >Potri.012G003400.2.v4.1 pep chromosome:Pop_tri_v4:12:1266431:1270658:1 gene:Potri.012G003400.v4.1 transcript:Potri.012G003400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003400.v4.1 MSLEMDLSVVCLLMLVLVCHGAGLNDKFQKAKCKKHGPAIKFPFRLDKQPEYCGYPGFVLSCNQRNETLLQLPNSVTLNIKEIDYASRLIIAGFAADPDNCLPRQLRNFSLSQSPFKFAAPHQDDYALFNCTSKHGDYYGRIPCHGVPGYDIYAYSSNYFNGDTDLTSCTKMYNVPSIPWETIHDNILRLKWSEPAACEEHGMFCRWKNNTSKLETECFEKPKSNEDRKRKIEGAVATVGSVLVLLVLLAAYRVYSSDKAAKNNQKRIENFLADYKALKPARYTYADIKRITDEFKDKLGQGAYGTVFKGKLSDEIFVAVKILNNSTANGEEFINEVATMGKIHHVNVIRLVGYCADGFQRALVYDYLPNESLAKFVSSEHGETSSLSWERLQDIALGMAKGIEYLHQGCDQRILHFDIKPHNILLDDHFNPKISDFGLAKLCSKDQSAVSMTTARGTMGYIAPEVFSVGDSDRWCTDSCS >Potri.012G003400.3.v4.1 pep chromosome:Pop_tri_v4:12:1266631:1268758:1 gene:Potri.012G003400.v4.1 transcript:Potri.012G003400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003400.v4.1 MSLEMDLSVVCLLMLVLVCHGAGLNDKFQKAKCKKHGPAIKFPFRLDKQPEYCGYPGFVLSCNQRNETLLQLPNSVTLNIKEIDYASRLIIAGFAADPDNCLPRQLRNFSLSQSPFKFAAPHQDDYALFNCTSKHGDYYGRIPCHGVPGYDIYAYSSNYFNGDTDLTSCTKMYNVPSIPWETIHDNILRLKWSEPAACEEHGMFCRWKNNTSKLETECFEKPKSNEVATVGSVLVLLVLLAAYRVYSSDKAAKNNQKRIENFLADYKALKPARYTYADIKRITDEFKDKLGQGAYGTVFKGKLSDEIFVAVKILNNSTANGEEFINEVATMGKIHHVNVIRLVGYCADGFQRALVYDYLPNESLAKFVSSEHGETSSLSWERLQDIALGMAKGIEYLHQGCDQRILHFDIKPHNILLDDHFNPKISDFGLAKLCSKDQSAVSMTTARGTMGYIAPEVFSVGDSDRWCTDSCS >Potri.008G187100.3.v4.1 pep chromosome:Pop_tri_v4:8:13071532:13078022:1 gene:Potri.008G187100.v4.1 transcript:Potri.008G187100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187100.v4.1 MEGGRQSLVSRQNDHQNRDQHLRSTNSSIASSFTPDPDDIPLINSVRDFSREFFRESKKLWYLAGPAIFTTLCQYSLGAITQLLAGHVGTLDLAAVSVENSVIAGFSFGIMLGMGSALETLCGQAYGAGQLDMLGLYMQRSWVILNATAVILTLLYIFAGPFLKLIGQTAEISQAAGMFSVWMIPQLFAYAMNFPIAKFLQAQSKMMAMAAIAAVAIVFHAVFSWLLMLKLGWGLVGAAVVLNASWWFIVIAQLLYIFSGTCGEAWTGLSWKAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKNAELAVDALSISVRVSNELGAAHPRTAKFSLVVATLASLMIGLVIALILVLARNLYPDLFTNDAGVKELVKELTPLLAVCIIINNVQPVLSGVAIGAGWQAAVAYVNIGCYYIFGIPLGLILGFWLQMGVQGIWIGMLTGTAVQTAVLFWMIGKTNWNTEASAAEERIRKWGWGR >Potri.008G187100.2.v4.1 pep chromosome:Pop_tri_v4:8:13071533:13078022:1 gene:Potri.008G187100.v4.1 transcript:Potri.008G187100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187100.v4.1 MEGGRQSLVSRQNDHQNRDQHLRSTNSSIASSFTPDPDDIPLINSVRDFSREFFRESKKLWYLAGPAIFTTLCQYSLGAITQLLAGHVGTLDLAAVSVENSVIAGFSFGIMLGMGSALETLCGQAYGAGQLDMLGLYMQRSWVILNATAVILTLLYIFAGPFLKLIGQTAEISQAAGMFSVWMIPQLFAYAMNFPIAKFLQAQSKMMAMAAIAAVAIVFHAVFSWLLMLKLGWGLVGAAVVLNASWWFIVIAQLLYIFSGTCGEAWTGLSWKAFQNLWGFVRLSLASAVMLCLEVWYFMALILFAGYLKNAELAVDALSISTNIVGWALMIAIGINAAISVRVSNELGAAHPRTAKFSLVVATLASLMIGLVIALILVLARNLYPDLFTNDAGVKELVKELTPLLAVCIIINNVQPVLSGVAIGAGWQAAVAYVNIGCYYIFGIPLGLILGFWLQMGVQGIWIGMLTGTAVQTAVLFWMIGKTNWNTEASAAEERIRKWGWGR >Potri.003G011200.1.v4.1 pep chromosome:Pop_tri_v4:3:1411017:1411709:1 gene:Potri.003G011200.v4.1 transcript:Potri.003G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011200.v4.1 MATLFSKLVTRADIEGCLAIPACSLGPLPSQQGHSMNMRVHDDEGQEWTFPCFIQRNENVEPFLSVGWIEFARQRNLRIDDKVSIHEEIIKIQDTATFIRIQVERKLRVFGADIWATVKN >Potri.007G057850.1.v4.1 pep chromosome:Pop_tri_v4:7:6101867:6105930:-1 gene:Potri.007G057850.v4.1 transcript:Potri.007G057850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057850.v4.1 MAQQRMQQTATAAGAGSLGLVDGNARVQSHGGSSALATDSPVHQGAQSSGGIGTHDGGNTHGQEPERSTAVESSMHAGNEQPLQHSSLMISESGQNAVRRNGALGFVTSAASAFEAAKEIMEALRSKHSNLAGELEILLTEIGSRFVTLPEERLLAVVNALLHRCYKYPTATTGEVPQSLKKELSGVCRACFSADAVNKHVDFVRDYKQDFERDLDPESTATFPATLSELTARLKHWKNVLQSNVEDRFPTVLKLEEESRVLRDFHVVDVEVPGQYFCDQEIAPDHTVKLERVGADIPIVRRHGSSFRRLTLIGSDGSQRHFIVQTSLTPNARSDERILQLFRVMNQMFDKHKESRRRHLCIHTPIIIPVWSQVRMVEDDLMYSTFLEVYENHCARNDREADLPITYFKEQLNQAISGQISPEAVVDLRLQAYNEITKTCVSDGIFSQYMYKTLLSGNHMWSFKKQFAIHLALSSFMSFMLQIGGRSPNKILFAKNTGKIFQTDFHPAYDANGMIEFNEPVPFRLTRNMQAFFSHFGVEGLIVSAMCAAAQAVVSPKQSQHLWHQLAMFFRDELLSWSWRRPPGLNLGPGAGGSVMNPADFQHKVTTNVDNVISRITGIAPQFLSEEEENADDPPQSVQRGVTELVEAALTPRNLCMIDPTWHPWF >Potri.008G172000.1.v4.1 pep chromosome:Pop_tri_v4:8:11876879:11879601:1 gene:Potri.008G172000.v4.1 transcript:Potri.008G172000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172000.v4.1 MYPKVKVRTDGQDDQPAHSWSSLLSLKDIQFLCLQDSCFPVKGHQDDSPPPIVRIPKSYVPSVIMPKVSVSEGAEKKNIFNEEDKPNIRASSIPRPRAVLSSPDNDAVIGNNNKTRVARPSALKNNKLIQNRHELCKVVPSRITDASPTNTRKSKSTPDNKMTIRVKLK >Potri.002G035200.2.v4.1 pep chromosome:Pop_tri_v4:2:2317784:2319638:-1 gene:Potri.002G035200.v4.1 transcript:Potri.002G035200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035200.v4.1 MEIRGPENDIRTSVPSSYSHQSSGLERRKDGNHNGSSVLTFTQTLDHPRQPSRSPNPDRLAIISSGSNSKTSNTRYLECLRNHAASVGGNVFDGCGEFMPGGEEGSLEALKCAACDCHRNFHRRELDGEIQFSPGSRRSTTMVHSLQLAPPLPSPTVLHHHHHHQRYSMGLHTSPNTANMVQPMSVAFGGTSGGTESSSEELNPFQSNAEGAPPPPYVMSKKRHRTKFTQEQKDKMMEFAEKVGWRINKQDEGEVERFCAEVGVRRQVFKVWMHNNKNLKKQQQQQVPLDENP >Potri.002G035200.1.v4.1 pep chromosome:Pop_tri_v4:2:2317770:2319655:-1 gene:Potri.002G035200.v4.1 transcript:Potri.002G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035200.v4.1 MEIRGPENDIRTSVPSSYSHQSSGLERRKDGNHNGSSVLTFTQTLDHPRQPSRSPNPDRLAIISSGSNSKTSNTRYLECLRNHAASVGGNVFDGCGEFMPGGEEGSLEALKCAACDCHRNFHRRELDGEIQFSPGSRRSTTMVHSLQLAPPLPSPTVLHHHHHHQRYSMGLHTSPNTANMVQPMSVAFGGTSGGTESSSEELNPFQSNAEGAPPPPYVMSKKRHRTKFTQEQKDKMMEFAEKVGWRINKQDEGEVERFCAEVGVRRQVFKVWMHNNKNLKKQQQQQVPLDENP >Potri.011G016100.3.v4.1 pep chromosome:Pop_tri_v4:11:1479832:1481354:-1 gene:Potri.011G016100.v4.1 transcript:Potri.011G016100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G016100.v4.1 MLPLMSSRTTEIDPKQKINGDLYCALMEGNTKSVADLCLRLQDHALHVITVTDDTVLHMATYAKEASLVEQLLDELPDHHLDKLTRQNGVGNTILHETATSNHTVAVARKLLKKAPGLLGMRNHNGETALFRAARYGKTDMFDFLAAKVPGYDESGLQFYVQRSDKTTILHMAILSLHFDLAYQIASKYEHLIGQRDGDGMTGLQILSCNPSVFKQEPEDGFIKLDSTKGYNKYIIKNNLFSDYNKIKESNVREKLTTLTAPFSVKTTSFHTTNLQSNRSE >Potri.001G162000.1.v4.1 pep chromosome:Pop_tri_v4:1:13736516:13738369:1 gene:Potri.001G162000.v4.1 transcript:Potri.001G162000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162000.v4.1 MGRLDDSATMEITGKVMVVAIVILFLVVVFVIFLHLYAKWFWWRIEEPAHPQQSRRRQRRRYVFNPGQDPVRRGLELSILRSLPLVIFQPKDFPGGLECAVCLSDAVEGEKVRLLPKCNHGFHLDCIDMWFQSYSTCPLCRSSVAPQAQCASGANNNNDLEVNIQSPEEILISGYSIESPDFPTNVLFWGDQTLVSTGGGSLEEGPSSSASSAPSSSAGARHDEMLVIDVPVQITDNLAEDQESKLPTPTRLRSLKRLLSREKRLPPNCSNGSVDV >Potri.013G067300.2.v4.1 pep chromosome:Pop_tri_v4:13:5241792:5246721:1 gene:Potri.013G067300.v4.1 transcript:Potri.013G067300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067300.v4.1 MDLEFLHTLDVQILGAVAVAVVAIVIGAVFLFSSKKPKGCLDPENFKQFKLVKRVQLSHNVAKFTFALPTPTSVLGLPIGQHISCKGKDGQGEEVIKPYTPTTLDSDVGQFELVIKMYPQGRMSHHFREMQVGHYLAVKGPKGRFRYQPGQVRAFGMLAGGSGITPMFQVARAILENPNDKTKVHLIYANVTYEDILLKEELDTLAERYSSHFNVYYVLNQPPETWDGGVGFVSKEMIQTYCPAPAPDIKILRCGPPPMNKAMAAHLEALGYAPEMLFQF >Potri.012G133200.1.v4.1 pep chromosome:Pop_tri_v4:12:14815305:14819789:-1 gene:Potri.012G133200.v4.1 transcript:Potri.012G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133200.v4.1 MAKLLHLSIFFLAALVLQCHCAEDDRKSHVVYMGDRPKDAASVASTHHNMLAEVLGSSSEARESLIYSYGKSFNGFVAKLSDKEVARIKEMEGVVSVFPNAQLQVHTTRSWDFMGLPESHPRLSAEGDVIVGLLDTGVWPENPSFSDEGFDPPPAKWKGICQGANNFTCNKKVIGARFYDLENIFDPRYDIKSPRDTLGHGSHTASTAAGIATNASYFGLAGGVARGGVPSARIAVYKVCWASGCTSADILAAFEDAIADGVDLLSVSLGSDFPAPYHEDVIAIGTFHAMKNGILTSCSAGNSGPNRRQVSNYAPWALTVAASTIDRIFSTKVVLGNGQIFLGNSLNIFDLHGKTFPLIYSGDSANYTAGADPELAAWCFPGTLAPLITKGGVVMCDIPNALALVQGSAGVIMPVSIDESIPFPFPLSLISPEDYSQLLDYMRSTQTPTATILMTEPVKDVMAPTVVSFSSRGPSPITPDILKPDLTAPGLNILAAWSPLGGASISPWDDRTVDYFVISGTSMSCPHVTGVAAFVKAAHPSWSPAAIKSALMTTATIMDSRKNADAEFAYGSGQIDPLKALNPGLIYNASEADYVNFLCKEGYNTTLVRIISGDNSTCPSNELGKAWDLNYPTFALSLLDGETVIATFPRTVTNVGTPNSTYYARVSMPSQFTVTVQPSVLSFSRVGEEKTFTVKITGAPIVNTPIVSGSLEWTNGEYVVRSPIAVFNNMPSIFSSIDEQPQSKPKFKGPWEGSTSTIYHKKGTFKSMQRTDRTDGFGGLVSNSRLGYHKH >Potri.012G133200.2.v4.1 pep chromosome:Pop_tri_v4:12:14815305:14819789:-1 gene:Potri.012G133200.v4.1 transcript:Potri.012G133200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133200.v4.1 MLKTGFKYILLCIVKRVLKLSSMAKLLHLSIFFLAALVLQCHCAEDDRKSHVVYMGDRPKDAASVASTHHNMLAEVLGSSSEARESLIYSYGKSFNGFVAKLSDKEVARIKEMEGVVSVFPNAQLQVHTTRSWDFMGLPESHPRLSAEGDVIVGLLDTGVWPENPSFSDEGFDPPPAKWKGICQGANNFTCNKKVIGARFYDLENIFDPRYDIKSPRDTLGHGSHTASTAAGIATNASYFGLAGGVARGGVPSARIAVYKVCWASGCTSADILAAFEDAIADGVDLLSVSLGSDFPAPYHEDVIAIGTFHAMKNGILTSCSAGNSGPNRRQVSNYAPWALTVAASTIDRIFSTKVVLGNGQIFLGNSLNIFDLHGKTFPLIYSGDSANYTAGADPELAAWCFPGTLAPLITKGGVVMCDIPNALALVQGSAGVIMPVSIDESIPFPFPLSLISPEDYSQLLDYMRSTQTPTATILMTEPVKDVMAPTVVSFSSRGPSPITPDILKPDLTAPGLNILAAWSPLGGASISPWDDRTVDYFVISGTSMSCPHVTGVAAFVKAAHPSWSPAAIKSALMTTATIMDSRKNADAEFAYGSGQIDPLKALNPGLIYNASEADYVNFLCKEGYNTTLVRIISGDNSTCPSNELGKAWDLNYPTFALSLLDGETVIATFPRTVTNVGTPNSTYYARVSMPSQFTVTVQPSVLSFSRVGEEKTFTVKITGAPIVNTPIVSGSLEWTNGEYVVRSPIAVFNNMPSIFSSIDEQPQSKPKFKGPWEGSTSTIYHKKGTFKSMQRTDRTDGFGGLVSNSRLGYHKH >Potri.001G233700.5.v4.1 pep chromosome:Pop_tri_v4:1:25253378:25260442:1 gene:Potri.001G233700.v4.1 transcript:Potri.001G233700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233700.v4.1 MDSSSQQRRGGGIVSQSPSPSPSQTPRSTDKAARDLRSGDSHSNSSTKQDKEKGVNVQVIVRCRPLSEDELRVHTPVVISCNEGRREVSAVQNIANKQIDRNFLFDKVFGPASKQKELYDSAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFEILEAQNAEYNMKVTFLELYNEEISDLLAQEETSKLIDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQDEAEKKAMAEKIERMELVSESKDKQFLEIQELYNSQLHLTADLSEKLDKTEKKLEETENSLVDLEEKHRQANVTIKEKEFFISNLLKSEKGLVERAFELRSELENAASDVSSLFTKIERKDKIEDGNRVLIQKFQSQLTQQLEILHKTVAASMTQQEQQLKDMEEDMQSFVSTKAEATEELRGRVGKLKTMYGSGIKALDDMAKELEENSRSTFGSLNSEVSKHSHAVEGFFQRIASEADALFNDLQSNLQMQQEKLSAFAQQQHKAHARAVETAQSVSKIVVKFFKTLDVHASNLTQIVEEAQIINDHKLSELEKKFQECAANEERQLVEKVAELLASSNVRKKKLVQMAVHELRESANSRTNKLQQEMSTMQDSTSSIKVEWSVHMEKTESNHFEDTSAVESGRKALEEVLHNCINKTKMGAQQWRNAQESLLSLEKSNVHSVDSIVSGGTEANQILCRQFSSAVSAAVEDVDIGNNDLLSSIEHSLHLDRDACGNLNSMIFPCCGDLRELKGSHYHKIVEITENAGKCLLDEYAVDEPSCSTPRKRPYNLPTFASIEELRTPAFEELLKSFWDSKSSKQVNGDIKHIVAAYDAAQSLKDSRVPLTAIN >Potri.001G233700.4.v4.1 pep chromosome:Pop_tri_v4:1:25253787:25260421:1 gene:Potri.001G233700.v4.1 transcript:Potri.001G233700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233700.v4.1 MDSSSQQRRGGGIVSQSPSPSPSQTPRSTDKAARDLRSGDSHSNSSTKQDKEKGVNVQVIVRCRPLSEDELRVHTPVVISCNEGRREVSAVQNIANKQIDRNFLFDKVFGPASKQKELYDSAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFEILEAQNAEYNMKVTFLELYNEEISDLLAQEETSKLIDDKSKKPIALMEDGKGGVFVRGLEEEIVCTANEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSGHVPYRDSKLTRLLRDSLGGKTKTCIIATISPSIHSLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQDEAEKKAMAEKIERMELVSESKDKQFLEIQELYNSQLHLTADLSEKLDKTEKKLEETENSLVDLEEKHRQANVTIKEKEFFISNLLKSEKGLVERAFELRSELENAASDVSSLFTKIERKDKIEDGNRVLIQKFQSQLTQQLEILHKTVAASMTQQEQQLKDMEEDMQSFVSTKAEATEELRGRVGKLKTMYGSGIKALDDMAKELEENSRSTFGSLNSEVSKHSHAVEGFFQRIASEADALFNDLQSNLQMQQEKLSAFAQQQHKAHARAVETAQSVSKIVVKFFKTLDVHASNLTQIVEEAQIINDHKLSELEKKFQECAANEERQLVEKVAELLASSNVRKKKLVQMAVHELRESANSRTNKLQQEMSTMQDSTSSIKVEWSVHMEKTESNHFEDTSAVESGRKALEEVLHNCINKTKMGAQQWRNAQESLLSLEKSNVHSVDSIVSGGTEANQILCRQFSSAVSAAVEDVDIGNNDLLSSIEHSLHLDRDACGNLNSMIFPCCGDLRELKGSHYHKIVEITENAGKCLLDEYAVDEPSCSTPRKRPYNLPTFASIEELRTPAFEELLKSFWDSKSSKQVNGDIKHIVAAYDAAQSLKDSRVPLTAIN >Potri.005G183400.2.v4.1 pep chromosome:Pop_tri_v4:5:18994118:18998559:1 gene:Potri.005G183400.v4.1 transcript:Potri.005G183400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183400.v4.1 MSIAKPLKKAVAAVILDLDGTLIHTDGILGDVLKALLLKYGKQWDGREAQKIVGKTPLEEAAIVVGDYELPCSIDEFVTQITPLLYDQFCNIKALPGANRLIKHLSGHNVPLALASNSPRAYIESKISYQQGWKESFSVIIAGDEVRAGKPSPEIFLEAAKRLNIEPSRCLVIEDSLPGVTGGKAADMEVVAVPSIPKQTHLYIAADEVISSLLDLQPELWGLPPFDDWIDGTLPLEIWHIGGPVVKGFGRGSKVLGIPTANLSTKGYSALLSEHPSGVYFGWAGLSTRGVYKMVMSIGWNPYFNNTEKTIEPWLLHEFDGDFYGEELRLVIVGYIRPEANFTTLESLIAKIHEDRRIAERALDIPLYLKYKDDPYLKGSSL >Potri.015G085400.2.v4.1 pep chromosome:Pop_tri_v4:15:10997235:10998938:1 gene:Potri.015G085400.v4.1 transcript:Potri.015G085400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085400.v4.1 MRLPRSVWKCIEVELESEEGARRVIYILTKINHLNIVKLEGIYYGANPYLVYEFAENGSLRDCLSNKKLDRQLTWLRRMQIAFDLADALHYLHFCTAPAFVHQNINTRNVLITANWRAKISGFRLAKPVISDEEKEDIFWNKHMTPGREAHWTPEYLTNGQASLQVDVFAFGVVLLELISGKDLSRDGKILKDSVRFLFDGAFEDSSHCLEKLKEFMDPVLGGDYSLGDAMCLAFLAKGCMEEDPHHLPTMNHVLKALSRIV >Potri.005G213900.2.v4.1 pep chromosome:Pop_tri_v4:5:21736762:21738744:-1 gene:Potri.005G213900.v4.1 transcript:Potri.005G213900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213900.v4.1 MWMNPRQRRNFEVGEYPAELDGPRYRWVFIRKVYTIIAIQLLVTVAVATAVVSVHSISNFIVHTKVGLAVYIAIIVIPFIVLCPLYYFYQLRPLNYLLLGVFTTALGFLVGLTCAFTSGKVILQSAIITFTAMVILTLYTFWAARRGHDFSFLGPFLSASLIALLLFALIQIFFPLGRISVMIFGCLASILFCGFIIYDTDSLIKRYAYDEYIWAAVSLYLDIINLFLSILTVCSARDS >Potri.005G213900.3.v4.1 pep chromosome:Pop_tri_v4:5:21736273:21738528:-1 gene:Potri.005G213900.v4.1 transcript:Potri.005G213900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213900.v4.1 MWMNPRQRRNFEVGEYPAELDGPRYRWVFIRKVYTIIAIQLLVTVAVATAVVSVHSISNFIVHTKVGLAVYIAIIVIPFIVLCPLYYFYQLRPLNYLLLGVFTTALGFLVGLTCAFTSGKVILQSAIITFTAMVILTLYTFWAARRGHDFSFLGPFLSASLIALLLFALIQIFFPLGRISVMIFGCLASILFCGFIIYDTDSLIKRYAYDEYIWAAVSLYLDIINLFLSILTVCSARDS >Potri.002G106000.3.v4.1 pep chromosome:Pop_tri_v4:2:7837580:7846145:1 gene:Potri.002G106000.v4.1 transcript:Potri.002G106000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106000.v4.1 MENSECVRVAVNIRPLITPELLNGCTDIITVVPGEPQVQIGSHSFTYDYVYKSTASPSSDIFNDCVAPLVEALLNGYNATVLAYGQTGSGKTYTMGTSYTGEGSNSGIIPKVMDSIFKRVETAQESTEFLIRVSFIEIFKEEVFDLLDPNSAVFSKAEGVNSAKPAVPARVPIQIRETVNGGITLAGVTEAEVRNKEEMASYLSHGSLCRATGSTNMNSQSSRSHAIFTITMEQKKISSCPSGVNNDEFGDDMLCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHIPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMSAQMQRMRSQIEQLQAELLFYRGDATIPFDELQILKHKVSLLEGSNAELKRELHERQLTCEHLNQRAVEAQVEKDKLIMQIESARNGKSWDEIDSSTSQDYDLVKKYVSKIQELEGELLHLKNLSNSKCIQFVDYINSDDERFGSKNALLQSLNEFSSNSDTKAADISDEVEDDEKELEHSSLQEKLDWELKELDRKLEQKEAEMKRFTSVDTSVLKQHYEKKVHDLEQEKKVLQKEIGELRCNLVNISSTSDDGAKKLKDEYLQKLTVLEAQVAELKKKQDAQAQLLRQKQKSDEAARRLHEEIQRIKTQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASMATKRLKELLESRKIGVGNGNGPGIQALMQAIEHELEVTLRIHEVRSEYERQLQERARMANEVAKLKEEAEILKQTNSSDCSPAMSPGARNSRIFALENMLAASSSTLVSMASQLSEAEEHGRGFSGRGRWNQVRSLADAKNVMSYLFNIASSTRCLLRDKEVDWREKDTEIRDLKEKVVKLTTLARHLEMQKAELFHQVKLQSSALKKYSTKSEADSEGCKLDMHRQAQQSTPIILDDMDTSESEHSDNDLMDDEWVQSEKEATDDEWVMSGKRQRKKINLKNKARSSTGDIHDPENSKSDCSGEAATAVPVCCACSKYSLCKTSKCQCRASGGCCGISCGCMPNKCSNRGATTIPDSELGSNETENNQVLASHGAMLLESALVEKPRETSDDSVVGRKPLSDIGNTMAKSNAPNANQRKKWRKSVIQLVPVPPPTTKSENTEAAPQKADDNGASEADIPLKLPRAMRSAAPNTVSETDNGASEAEIPLRLPRAMRSASHGGIFLRDRNADQAEESINKETGVLPTRSPARPKRTSDEKENYGG >Potri.002G106000.1.v4.1 pep chromosome:Pop_tri_v4:2:7837526:7846160:1 gene:Potri.002G106000.v4.1 transcript:Potri.002G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106000.v4.1 MENSECVRVAVNIRPLITPELLNGCTDIITVVPGEPQVQIGSHSFTYDYVYKSTASPSSDIFNDCVAPLVEALLNGYNATVLAYGQTGSGKTYTMGTSYTGEGSNSGIIPKVMDSIFKRVETAQESTEFLIRVSFIEIFKEEVFDLLDPNSAVFSKAEGVNSAKPAVPARVPIQIRETVNGGITLAGVTEAEVRNKEEMASYLSHGSLCRATGSTNMNSQSSRSHAIFTITMEQKKISSCPSGVNNDEFGDDMLCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKRKEGGHIPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMSAQMQRMRSQIEQLQAELLFYRGDATIPFDELQILKHKVSLLEGSNAELKRELHERQLTCEHLNQRAVEAQVEKDKLIMQIESARNGKSWDEIDSSTSQDYDLVKKYVSKIQELEGELLHLKNLSNSKCIQFVDYINSDDERFGSKNALLQSLNEFSSNSDTKAADISDEVEDDEKELEHSSLQEKLDWELKELDRKLEQKEAEMKRFTSVDTSVLKQHYEKKVHDLEQEKKVLQKEIGELRCNLVNISSTSDDGAKKLKDEYLQKLTVLEAQVAELKKKQDAQAQLLRQKQKSDEAARRLHEEIQRIKTQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEASMATKRLKELLESRKIGVGNGNGPGIQALMQAIEHELEVTLRIHEVRSEYERQLQERARMANEVAKLKEEAEILKQTNSSDCSPAMSPGARNSRIFALENMLAASSSTLVSMASQLSEAEEHGRGFSGRGRWNQVRSLADAKNVMSYLFNIASSTRCLLRDKEVDWREKDTEIRDLKEKVVKLTTLARHLEMQKAELFHQVKLQSSALKKYSTKSEADSEGCKLDMHRQAQQSTPIILDDMDTSESEHSDNDLMDDEWVQSEKEATDDEWVMSGKRQRKKINLKNKARSSTGDIHDPENSKSDCSGEAATAVPVCCACSKYSLCKTSKCQCRASGGCCGISCGCMPNKCSNRGATTIPDSELGSNETENNQVLASHGAMLLESALVEKPRETSDDSVVGRKPLSDIGNTMAKSNAPNANQRKKWRKSVIQLVPVPPPTTKSENTEAAPQKADDNGASEADIPLKLPRAMRSAAPNTVSETDNGASEAEIPLRLPRAMRSASHGGIFLRDRNADQAEESINKETGVLPTRSPARPKRTSDEKENYGG >Potri.008G090900.2.v4.1 pep chromosome:Pop_tri_v4:8:5679503:5682443:-1 gene:Potri.008G090900.v4.1 transcript:Potri.008G090900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090900.v4.1 MDSLTPVSPSIVLPLPLRRRRVLTPSSFSAHNHRDDFLVNERAVVEMEIKKKRTTTTMMEIVKKVSSRAYDMRRHLQQSFDSSSYDVLDANPWRETSKLVYVLTQRENQLCTRKTRRNHRLNEVEKVLGLVFSEGGKWTSEIGSQAKQSRRGTKFQMLVEDVREGVLIRYLMVSLLFLLVFDLCRKMRALAVLFRQGRKPPLPQSLELNLRARKRSLEHQEDLV >Potri.007G036800.1.v4.1 pep chromosome:Pop_tri_v4:7:2887001:2889982:1 gene:Potri.007G036800.v4.1 transcript:Potri.007G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036800.v4.1 MAGINLPRFSLSPKSGSKSRIEMLFNSLSPSVKPILYPLEICNRQDRLFLFPKPATPSQKLLSTGVSPIACAKLPSNFKTQRHFVLVHGACHGAWCWYKVSAQLKSAGHNVTALDMAASGVHPKQVHELHSFEDYFEPLMEFMESLPPEERVVLVGHSMSGICISVAMERFPEKISAAVFAAAVMPGPDLSFKAIAEKSSQTSVSYMDTQYVFGNGPGNPPTAVVLGPNYMASRFYHLSPPEDLTLATLLVRPFPIYSSLETEKAVIVTKEKYGSVRRLYIVCDQEKDPRQTWMIENNPVDEVMVISGSDHMAMFSKPQELCSCLLEIGDKYL >Potri.007G036800.5.v4.1 pep chromosome:Pop_tri_v4:7:2887071:2889930:1 gene:Potri.007G036800.v4.1 transcript:Potri.007G036800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036800.v4.1 MLFNSLSPSVKPILYPLEICNRQDRLFLFPKPATPSQKLLSTGVSPIACAKLPSNFKTQRHFVLVHGACHGAWCWYKVSAQLKSAGHNVTALDMAASGVHPKQVHELHSFEDYFEPLMEFMESLPPEERVVLVGHSMSGICISVAMERFPEKISAAVFAAAVMPGPDLSFKAIAEKVLFALPPPPLQRNSKSILCSCLIV >Potri.015G078700.1.v4.1 pep chromosome:Pop_tri_v4:15:10487980:10490703:1 gene:Potri.015G078700.v4.1 transcript:Potri.015G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078700.v4.1 MSTLFLIILSILSSLVPLSFASNVHVNNPCRSYCGNITIDYPFALQYGCGHPGFRDLLFCMNDVLMFHISSGSYRVLEIDYAYQSVTIHEPHLSTCDTLVLGGKGNGFAVEQWRSPYFNPTADNVFMLIGCSAQSSLFQGFPGKHLPCRNVSGMGCEEYYGCPAWSLAGRGQMGSMFGSGPPECCAVAFEAIRAINLSKLDCEGYSSAYSLAPLRVDGPSEWSFGIRVKYSVQGNEFCRACEATGGTCGYGSNGIRQLCMCGDMNSTSNCDSVTSATDRRRGHGLVTVLTAISFSILAWI >Potri.005G026450.1.v4.1 pep chromosome:Pop_tri_v4:5:1676802:1678765:1 gene:Potri.005G026450.v4.1 transcript:Potri.005G026450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026450.v4.1 MGYSHLISAIAGFSASFFLLLPTLKLWHKQQITKEKLRIIAEVLEHAEERAHKFQERHDHILGQISSYYLINQELGDALAGARAAMNAAMEFALRLREVQMRVLINFPDEADLSMLDSPYANAARPGNN >Potri.005G217600.1.v4.1 pep chromosome:Pop_tri_v4:5:22061042:22063992:1 gene:Potri.005G217600.v4.1 transcript:Potri.005G217600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217600.v4.1 MANRAQIATNNSALIAMIADEDTIVGLLMAGVGNVDLRRKTNYLIVDSKTTVKQIEDAFKEFTTREDIAIVLISQFVANMIRFLVDSYNKPVPAILEIPSKDHPYDPTQDSVLSRVKYLFSAESVASGRR >Potri.005G140700.4.v4.1 pep chromosome:Pop_tri_v4:5:11162818:11166665:1 gene:Potri.005G140700.v4.1 transcript:Potri.005G140700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140700.v4.1 MWNLNDSPDQTRDDESEGCSSQKTSKDGEVDKGKRVGSVSNSSSSAVVIEDGSEEEDGCGERGDRIIKKHSISFSSSTSSKIFGFSVPYDQDSMDMSDPPVTRQFFPLEDQEMGSTSSVGGGDGGGGGFPRAHWVGVKFCQSDSSLVSQKSMEVSQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFRIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDRAAMKCNGKEAVTNFDPSIYENELESSGNAAAHNLDLSLGNPASKQSSIEFGQDRHNAAMEQLSAAMPLEPNWQNRGFRPKLDLYRGDNDGHGRDRYRETETTQLLSKIHIQSLASLKSSEMPTYVQFRRSHGDGQMLHVLPPQFNPPNYQVQYPSSSSGGRIGSDLSLSPTELHHRHHYQQWQAGPPQFANAAASSGF >Potri.001G223708.1.v4.1 pep chromosome:Pop_tri_v4:1:23980430:23984312:-1 gene:Potri.001G223708.v4.1 transcript:Potri.001G223708.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223708.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVDYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREKYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWGSGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEASARSRKKSRID >Potri.014G084500.3.v4.1 pep chromosome:Pop_tri_v4:14:5409704:5424786:-1 gene:Potri.014G084500.v4.1 transcript:Potri.014G084500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084500.v4.1 MQRYHDASCTSAVNNSSIGGASARDSTRTDSSSLASNFSINPRRLPPLIPYKLKCDKEPLNSRLGPPDFHPQTPNCLEETLTNKYVASGYKEAVVEGLEEGREISHTQAHNFTSPVVKKCKEAIRKCLRAINESRAQKRKAGQVYGVPLSGSLLTKPGVFPEQRPCVEDFKKKWIEGLSQPHKRLRSLADHVPHGYRKKSLLEVLIRNNVPLLRATWFIKVTYLNQVRPSSTSISSGTFDKNQVSRTELWTKDVVDYLQSLLDEYLSRNNPHSAPHSRDRSQQMLYTGSAQHRSDPAPAIIDGEEPSLHFKWWYVARLLHWHHAEGLLLPSVIIDWVLSHLQEKDLLEILQLLLPIIYGVLETVVLSQSYVRTLVGIAVRFIHEPSPGGSDLEDNSRRAYTTSALIEMLRYLILAVPDTFVSLDCFPLPPIVVSYAVNEGAFVSKASEDARKTTDNSAEVADVFRSKGLDAQYQSLSFDRVVSFIQKRADNLAKAASSGYPVHSVAKAVQALDKALSLGDIREAYSYLFENFCERAVDEGWIEEVSPCLRLSLKWLRGVSLSLVRSVFLLCEWATCDYRDFRSAPPRELKFTGRKDFSQVYIVSRLLKLKIQDLQSPSGWKNEKSPRVNSLAKVSNEPNYFGSIPRGNGHGIKSISKTVNRKGTNTSDIFESPGPLHDIIVCWIDQHEVCNGEGLKRLHLLTAELIRSGIFSPQAYVRQLIISGITDTSGPAPDLHRRKRHYRVLKHLPGPFVHDVLEEARVAEGSELLEAMRVYSNERRLLLRRLLCEQYQNSDKSNISLKKLKHHPPVAGKDGASPSSFEQWKNIYPQPSSKVKTEMDVENLKDSISALLQLPTCSTSSETGLDESQGSVKRPAESIGSKMDIVETPGCEDCRKAKRQKLSEERNSCLQGQSPISDDEDTWWVRRGAKSSDSSKADQPPKSSKQVSKGRQKVVRKMQSLAHLAAARIEGSQGASTSHVCDNKVSCPHHRTGIEGDNLKSMDGIGTMRGGDIVSIGKSLKQLRPVEKRTITVWLITVVRQLVEETEKNAAKVSQFNRSFVNVDDRSSIRWKLGEDELSAILYLMDVCSDLVSSAKLLLWLLPKVLSNPNSTIQSGRNIMMPPRNVENHACEVGEAFLLSSLRRYENIIIATDLIPEVLSATMRRVATLLASNERISGSAALIYSRHLLKKYSNMPSVLEWEKSFKTTCDKRLFSELESGRSLDAEFGYPLGVPAGVDLDDFFRQKISGSRLSRVGMSMRDVVQRNIDDAFHYFGKERKLLGAGTAKSPGMEKSDYAYQIAQQIIMGLMDCMRQTGGAAQEGDPSLVSSAVSAIVNNVGPTIAKMPDFSLGSNYSNASAAPGSLILARRILRIHINCLCLLKEALGERQSRVFEVALATEASSALATAFSPGKASRSPFQMSPESHDSSGNISSEILNNSAKATGRGTKSAAAISALLVGAIIHGVTTLERMVTVLRLKEGLDVIQFIRSMKSNSNGNARSFVVFKMDNSIEVYVHWFRLLVGNCRTVSGGLIVELLGEPSLVALSRMQRLLPLSLVFPPAYSIFAFVIWRPFFATREDIHQLNDSLTMAIGDAIKHLPFRDVCLRDSQGLYDLIAADSIDAEFAAMLELNNLDVRFKSKAFVPLRGRLFLNAIIDCKLPLSLITLDDGNRVSGHGGSKVQHAENETKLLDKLVNVLDALQPAKFHWQWVELRLLLNEQALIEKLEAHDMSLADAIRSSSPDPEKAAASENENNFIEIILTRLLVRPDAVPLFSELVHLFGTSLEDSMLLQVKWFLGGHNVLFGRKTIRQRLINIAESKGLSTKAHFWKPWGWSSNGFDPVMDRGDKKKFEVPSLEEGEVVEEGSETKRSGKGSFPIFEYEGSSLFQQNVTERALVELVLPCIDQGSDDSRNTFATDLIKQLNNIEQQINSVTHGTSKQTGTTSSGLEGPANKSNNRKGIRGGSPGLVRRAAATADSTLPSPAALRASMSLRLQLLLRLLPTICTDGEPSGRNMRQMLASVILRLLGSRVVHEDAELSFYPLQSFQSKRELELQLEAASADLSGGSLFDRLLLILHGLLSSSWPSWLKSRSASSSKAVNEFKDFAGFDRELVESLQNDLDRMQLPGKIQLRIQSAMPILLPSVRCLISCQPPPVPTAAVASLQPSIAISGFYNGSNAQKNPAPLARSANNISTKSKPLPLQQDGDMEIDPWTLLEDGTGSGPSSSNISVIGSIDHANLRASSWLKGAVRVRRTDLTYIGAVDDDS >Potri.012G106750.1.v4.1 pep chromosome:Pop_tri_v4:12:12858897:12859886:-1 gene:Potri.012G106750.v4.1 transcript:Potri.012G106750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106750.v4.1 MEVNTTEEEEEQSLQCWPPAVGLLPCLVFFHGSSEKGQTFYDISECRCHVKNIPGLQGKLIGTCSYGWLVIAGDSISDDCFLLNPISTKKIQLPSLAPDFTWTDCVLSSPPHRPECVVMFLNFGYGILNVKSCKPGDVEWTGQDLELHDEWFDDSDCGVSVGVHNGDIYILTCYEHLYSVKFNKSCGITLVDLKVDDRTSPLTRKFHSYCPTYLVETCGEFLRVHCYILHGQLMDISVYKLDFNERVWIRIKNLKDQAIFIGSSGAQVLACSTKESRIQGNRIYLTLPEDRTLYVYDLDLCGLEVCLPCPNVKADWIQNDWILPLFEDK >Potri.009G038001.2.v4.1 pep chromosome:Pop_tri_v4:9:4687886:4691280:1 gene:Potri.009G038001.v4.1 transcript:Potri.009G038001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038001.v4.1 MAQYGSIPLCILTLSISVLFCSATGDDRKEYIVYMGSLPEGEYSPSSHHLSLLQEVVKDSSSENVLVRSYKRSFNGFSAKLTSEEAQKLVSKKEVVSIFPSTTLQLQTTRSWDFMGFNVTASGKRGTHSDIIVGVIDTGIWPESESFNDDGFGPPPRKWRGACEGGENFTCNNKIIGARHYSFSSARDDLGHGSHTASTAAGNIVKKASFYGLAQGTARGGVPSARISAYKVCGPGSCQSSDILSAFDDAIADGVDIITISIGGNQAQEFDTDVIAIGGFHSMAKGILTLQSAGNDGPVSGSVASVAPWIFTVAASSTDRRIIDKVVLGNGKTLVGNSVNSFSLKGKKFPLVYGKGASRECKHLEASLCYSGCLDRTLVKGKIVLCDDVNGRTEAKRAGALGAILPISFEDISFILPLPGLSLTEDKLNAVKSYLNSTKKPSANILKSEAIKDNAAPEVASFSSRGPNPIISDILKPDASAPGVDILAAFPPVLSPTDDTADKRHVKYSVMSGTSMACPHAAGVAAHVKAAHPDWSASAIKSAIMTTAWPMNVTERSEGEFAFGSGHVNPVTAIHPGLVYETQKSDYIQLFCGLGYTAEKIRQISGDNSSCSKAARNTLPRDLNYPSMAAKVAVEESFTIKFHRTVTNVGNANSTYKAKIFSRSSLKIKVVPEALSFKSLKEKKSFAVTIVGRDLTYNSILSASLVWSDGSHSVRSPIVVYGGGDEFTTPSAYN >Potri.014G188400.2.v4.1 pep chromosome:Pop_tri_v4:14:16093939:16095100:1 gene:Potri.014G188400.v4.1 transcript:Potri.014G188400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G188400.v4.1 MPVALGIAQAPTLPNSKRSISLPVAKEILKVAREYEAAPVGVFVDDDADTLLRVADAANLEFVQVYFRTFDYFVSSISFLFPTLISIIQLHGKGSRAAFLDLKGKNRMVYFLHANENGNLLNQISDEECSLVDWILVDSATGGR >Potri.005G078050.1.v4.1 pep chromosome:Pop_tri_v4:5:5300583:5301122:-1 gene:Potri.005G078050.v4.1 transcript:Potri.005G078050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078050.v4.1 MRCVEILVNGQQSWSGYHECYLCMELEEKEQCPESCGCLATKYKIIILQEAFTNESKEEQGSCTRFQAQLLPGINNFSRPLDLETTHELTVVHLRETSYRRTCAPQC >Potri.002G226800.3.v4.1 pep chromosome:Pop_tri_v4:2:21563458:21569085:1 gene:Potri.002G226800.v4.1 transcript:Potri.002G226800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226800.v4.1 MATLSDIGVAAAINILTAFAFFFAFAILRIQPVNDRVYFPKWYIKGLRSSPFGTGAFVGKVVNLDFRSYVRFLNWMPAALHMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIAFLAFTILVPVNWTNSTLERSNLTYSDLDKLSISNIPTGSNRFWTHLVMAYASTFWTCYVLKKEYEIVAKMRLHFLASEKRRPDQFTVLVRNVPPDADESVSELVEHFFLVNHPNDYLTYQVVYNANQLSHLVNEKKKMKNWLDYYQIKYSRNKSRMPSLKTGFLGLFGTRVDAIDHYTSEIEKLSRKISLERDEIVNNAKAIMPAAFVSFKTRWGAAVCAQTQQSRNPAMWLTEWAPEPRDVYWDNLAIPFVSLALRRLVIAVTFFFLTFFFMVPIAFVQSLANIEGIEKALPFLKPIIEMKVIKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISISGLERRSAARYYIFQFINVFLGSIITGTAFQQLDNFIHQSATEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKMFFMVKTEKDMEEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFALAFVVYRHQIINVYNQEYESAAAFWPDVHGRIIVAVIVSQLLLMGLLSTKEAAQSTPLLITLPVLTIWFHLFCKGRYEPAFVRYPLQEAMMKDTLERAKEPNLNLKSFLQNAYIHPVFKGEDDSDSDEAPEEFEKEPDLVPTKRQSRRNTPLPSKHGSAASSQPEAQDYPLL >Potri.002G226800.1.v4.1 pep chromosome:Pop_tri_v4:2:21563213:21569130:1 gene:Potri.002G226800.v4.1 transcript:Potri.002G226800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226800.v4.1 MATLSDIGVAAAINILTAFAFFFAFAILRIQPVNDRVYFPKWYIKGLRSSPFGTGAFVGKVVNLDFRSYVRFLNWMPAALHMPEPELIDHAGLDSAVYLRIYLIGLKIFVPIAFLAFTILVPVNWTNSTLERSNLTYSDLDKLSISNIPTGSNRFWTHLVMAYASTFWTCYVLKKEYEIVAKMRLHFLASEKRRPDQFTVLVRNVPPDADESVSELVEHFFLVNHPNDYLTYQVVYNANQLSHLVNEKKKMKNWLDYYQIKYSRNKSRMPSLKTGFLGLFGTRVDAIDHYTSEIEKLSRKISLERDEIVNNAKAIMPAAFVSFKTRWGAAVCAQTQQSRNPAMWLTEWAPEPRDVYWDNLAIPFVSLALRRLVIAVTFFFLTFFFMVPIAFVQSLANIEGIEKALPFLKPIIEMKVIKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISISGLERRSAARYYIFQFINVFLGSIITGTAFQQLDNFIHQSATEIPKTIGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKMFFMVKTEKDMEEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFALAFVVYRHQIINVYNQEYESAAAFWPDVHGRIIVAVIVSQLLLMGLLSTKEAAQSTPLLITLPVLTIWFHLFCKGRYEPAFVRYPLQEAMMKDTLERAKEPNLNLKSFLQNAYIHPVFKGEDDSDSDEAPEEFEKEPDLVPTKRQSRRNTPLPSKHGSAASSQPEAQDYPLL >Potri.014G175700.2.v4.1 pep chromosome:Pop_tri_v4:14:13118673:13124657:1 gene:Potri.014G175700.v4.1 transcript:Potri.014G175700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175700.v4.1 MAILQPQIPLSLYPHHFHLPLHSPTAAPFLCTPFKTPRKPLNLTCSSKGQDDYLIDAPVSAGDGFSFSGGKYSDGPSPSDEWFKQGKIVKAHPVSGSGDKAKDPIFGLKMGAASQASNDLFRWFCVESGNADNPTVILIHGFPSQAYSYRKVLPTLSKNYHAIAFDWLGFGFSDKPQPRYGFDYTMDEFVASLESLINEIATEKVSLVVQGYFSPIAVKYASNLQGKLNDLILLNPPLTATHANLPSALSIFSTFLLGEIFCQDPLRASDKTLTSSGPYKMKEDDAMVYRRPYLTSGSAGFALNVISKAMKKDLKAYVEETRKTLLDENWKVRTTVCWGQRDRWLSYDGVEDFLKNSKHKLIELPMAGHHVQEDCGEELGGIISGILGRRSRI >Potri.004G073700.1.v4.1 pep chromosome:Pop_tri_v4:4:6143295:6147924:1 gene:Potri.004G073700.v4.1 transcript:Potri.004G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073700.v4.1 MASKPRRKKPYKKPNNISMPSPSSTFIYKKVSVFVSLVMIFGVIFLLGISSNILSGVASVPKLYSVQVINEFPHDPSAFTQGLLYAGNDTLYESTGLYGKSSVRRVALHTGKVEALQKMDDSYFGEGLTYFEQRLFQVTWLTKTGFIYDPNNLSKIGKFTHGMEDGWGLATNGKVLFGSDGTSALYQLDPQTLKVISKQIVRYNGHEVHYLNELEFVNDEIWANVWQTDCIARISLKDGAVLGWILLPNLRKGLIAAGHNGIDVLNGIAWDDNDNRLFVTGKLWPKLYEIKLHPVKKHFDTGVIVQLCIPPRS >Potri.017G120050.1.v4.1 pep chromosome:Pop_tri_v4:17:12611245:12612751:1 gene:Potri.017G120050.v4.1 transcript:Potri.017G120050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120050.v4.1 MPMISSSSSLSLLSPLCYQMPPLRFSWRSINVSRLELAGQFGNPLIQDLIRADSGLELAGPPSPLLSSFPFSSSCSLLSCFVASFYSMVDVFLATRMNNV >Potri.017G120050.2.v4.1 pep chromosome:Pop_tri_v4:17:12611245:12612751:1 gene:Potri.017G120050.v4.1 transcript:Potri.017G120050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120050.v4.1 MPMISSSSSLSLLSPLCYQMPPLRFSWSVSRLELAGQFGNPLIQDLIRADSGLELAGPPSPLLSSFPFSSSCSLLSCFVASFYSMVDVFLATRMNNV >Potri.013G028100.1.v4.1 pep chromosome:Pop_tri_v4:13:1828532:1835380:1 gene:Potri.013G028100.v4.1 transcript:Potri.013G028100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028100.v4.1 MAAVGGHNKAAHSSTLPWYREGSKEYCLLSERNLHAKVSVKPKKHSPVISSSASSVDLRASDMERERQDGSSPRGVIEACLTGLESNSDFTRNSTAESEVPRSKAHSNWSRFFKSWKRFSLKHLTSPPPVPKEPKRKSRSTRENTVLRNLYNFKSTLQHFTFAELKMATNNFNHENLIGKGGFAEVYKGCLPDGRLVAIKQLTKGTLDEKTAGFLNELGIIAHVDHPNTAKLLGCGIDGGMHLVFELSPLGSLGSALHGSQVELDWSKRYKIALGAADGLLYLHENCRRRIIHRDIKADNILLTKNFEPQICDFGLAKWLPTQWTHHNVSKFEGTFGYFAPEYYMHGIVDEKTDIYAFGVLLLELITGRRPVDRLQQSLVVWAKPLLDNNDTKELADPSLGDNYDLEEMDRVVLTASLCIEQSPVLRPRMSQVVILLRGDEYVSERAKKGKGRALLRTYSEEIFDAQDYNSTRYLNDLKRYKELALGS >Potri.013G048466.1.v4.1 pep chromosome:Pop_tri_v4:13:3463711:3464808:1 gene:Potri.013G048466.v4.1 transcript:Potri.013G048466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048466.v4.1 MANADSKSRPPNEESRTITEYRECWRNHAMLTGGSAVDGCGEFTPKGDQGTKEAFICEACGCHRNFHRKQLIKNGIIILDTHLSPPPCRLYGASMWVEKNASGFHPLSSLPLTSPPPSCYLRTSVSDQESLVYVPPPPPPPRKPEKKTKARKGPKRGTLMHNKK >Potri.018G079400.1.v4.1 pep chromosome:Pop_tri_v4:18:9716204:9719098:-1 gene:Potri.018G079400.v4.1 transcript:Potri.018G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079400.v4.1 MKGSSKIIMGATLAMVVSLAIVLALVLVLLAELYCSLLLRRRQLRSTSNTTTTTSATTTTTSTTNTSLSQSPQPQNQPPSLPLSSYYAQGVLRAPKSFLFPAVSPNKENKSAETRKEHSQLHRVLEVHTQVLNPGLSPQQIGLINISPPSTAFVSSPHQVEENPVQIRSSNACNDRECAGCGEHLVYISNPIYDNDASRPSTVDTPYETPDSSPSRLETCGSSSGEEEIALPSPSAPHSVPGTPPLTPMKKLPAKACSVSLRDARSLGTSGSDSVSNNGLSSSSSGSPCTSPSW >Potri.017G009900.1.v4.1 pep chromosome:Pop_tri_v4:17:748059:753351:-1 gene:Potri.017G009900.v4.1 transcript:Potri.017G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009900.v4.1 MFNYLQKHTLMATTTSASVTVNIILLHLLLHLHFTTTTSTTHHRHLLHQPFIPLTPSITPTQPPSSSPQPQPKYPFTATPSNNNNSNHSNPQKPFFPTLPSPPPPPPSAPTLSTFPANISSLLLPHQSSSPHHNLIIAISISLSLLFAALLALFSAFFIYSRRKTQPFSPQKGSRSENLRLYPQNTIPSDGSPKPPKLPHRPGVVSTSSEFLYLGTLVNSRAGIDDPDKLTSSNNTGLKIGVSSSSSSQYQKLGSPELRPLPPLPRHNYTPTYRSGEVLVSSSKEEDEVDRDTDEEEEFFSPRGSSGRKETSHESPVRVDSSSRREIQGEVFGSRSFNSRTASYPESNFCSPSKSVSSSVSPVSNSSLRSGESKSPETIISFPAPVQSIKRSSPPISSSSSGRDSGEMQSSLERNLDFSGQNEQVPVRIESASKQFVPVKLPPPPPPPPPPRFWEMPMGVRVTREMNLGSSGPPVLVTPTRSVLVQNHAMPVFANEQMQSKGSVERNEESMKPKLKPLHWDKVRASSDRAMVWDQIKSSSFQLNEEMIETLFTVNNSNFNVKDHNGRRLSLPLLNQENTVLDPKKSQNIAILLRALNVTIEEVCEALLEGNSDTLGTELLESLSKMAPTKEEECKLKDFKDESPFKLGPAEKFLKEVLDVPFAFKRVDAMLYIASFDSEIEYLRRSFETLEAACEELKNSRMFLKLLEAVLKTGNRMNVGTNRGDAQAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGSRLCGTNQDQTAQKTQQSAFQDEVQFRKLGLQVVSGLSGELTNVKKSAAMDSDVLCSEVAKLAAGMTKITEVLKLNEEIVLKESSWKFSESMNGFMKKAEEEIVRLQAQEKAALSLVKEITEYFHGNSAKVEARPFWIFMVVRDFISILDHVCKEVGKINERTIYSSVRPMPLNPTLAPVFPGLVGRLHDGSSDDETPSS >Potri.011G030200.1.v4.1 pep chromosome:Pop_tri_v4:11:2412873:2415776:1 gene:Potri.011G030200.v4.1 transcript:Potri.011G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030200.v4.1 MGSKTIVSLLFHVIIISITLTGAEVCYNTGNFTANSTYAKNRDLVLRSLASNVTANGGFYNTTIGLGNDTVYGLVFCMASASAEICSSCVNSAIQTLMAACPNQKEAISWGGNPVPCIVHYANRYFLGSLELSPTDAGYNTGILDPSFRQFLQIWSGLMTDTVTIASTGSSRFKLAAETADLSSTQKIYVFMQCTPDVSPSNCRDCLQHSVDYYKSCCNGYQGGYAQKPNCVFRWDLYPFYNLFPQVTSPSPSSPPPTNTTIRKGKENNASRTVIVTIVPTSIFLALVILILTIFRFRKPKQEVKNFDENSSTKCWEFKFATIKLATNDFSDDNKLGQGGFGAVYKGIIADGQAIAVKRLSSNSGQGAVEFRNEVGLLAKLAHRNLVRLLGFCLEGTEKLLIYEFVPNSSLDQFIHDPNKRLVLDWEKRYKIIEGIARGILYLHQDSQLWIIHRDLKPGNILLDGNMNAKISDSGMAKLMKTDQTHDATSRIAGTFGYIAPEYAWKGQFSVKSDVFSFGVLVLEIVSGQKPSFRNGDDMEHLTSHAWRRWREGTALDLIDPILRNDSTAAMMRCIHIGLLCVQENVADRPTMASVVQMLSNSSLTLQTPFEPASSLSYTSTMEQSQLKIIPLSKNEISITELDPR >Potri.006G118700.2.v4.1 pep chromosome:Pop_tri_v4:6:9329945:9337040:-1 gene:Potri.006G118700.v4.1 transcript:Potri.006G118700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118700.v4.1 MDSKRRKTLSSSRRSRKSYDSESGSESFSDDSRDSSRRRSSGKRRERSRSRSQGRTNRRHRTLDDSSDSGRDRKRKNSSSRKISEEEIAEYLAKKAQRKAMKAAKKLKAQSVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDMFSVKAEKKKQRERMAEIEKVKKRREERALEKAQHEEEMAILARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRVKPIDVLSKHLNVSDDLDIEIDEPYMVFKGLTAKEMEELHDDIKMHLDMDRKTQTHIDYWEALLVVCNWELAEARKKDALDRARVRGEQPPSEFLAEERGLHSSIEADVRNLLEGKTSNELEALRSQIESQMSSGTAKVVEYWEAVLKRLQIYKAKACLKEIHAKMLRKHLQRLEPPLKGDDMLENDNSLRFSEEDIEDDDTQADAETFSPELVLEEETHEAEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVVEEQQRRIQEAMASKPPPSEDNLELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKIKAPTFTIEKDGDNGETCIIRFHAGPPYEDIAFKIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >Potri.006G118700.1.v4.1 pep chromosome:Pop_tri_v4:6:9329807:9337096:-1 gene:Potri.006G118700.v4.1 transcript:Potri.006G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118700.v4.1 MDSKRRKTLSSSRRSRKSYDSESGSESFSDDSRDSSRRRSSGKRRERSRSRSQGRTNRRHRTLDDSSDSGRDRKRKNSSSRKISEEEIAEYLAKKAQRKAMKAAKKLKAQSVSGYSNDSNPFGDSNLNEKFVWRKKIERDVSQGVPLDMFSVKAEKKKQRERMAEIEKVKKRREERALEKAQHEEEMAILARERARAEFQDWEKKEEEFHFDQSKVRSEIRLREGRVKPIDVLSKHLNVSDDLDIEIDEPYMVFKGLTAKEMEELHDDIKMHLDMDRKTQTHIDYWEALLVVCNWELAEARKKDALDRARVRGEQPPSEFLAEERGLHSSIEADVRNLLEGKTSNELEALRSQIESQMSSGTAKVVEYWEAVLKRLQIYKAKACLKEIHAKMLRKHLQRLEPPLKGDDMLENDNSLRFSEEDIEDDDTQDAETFSPELVLEEETHEAEEAGSFSPELLHGDENEEAIDPEEDRAILERKRMAVVEEQQRRIQEAMASKPPPSEDNLELKAMKAMGAMEEGDAVFGSGAEVNLDSQVYWWHDKYRPRKPKYFNRVHTGYEWNKYNQTHYDHDNPPPKIVQGYKFNIFYPDLVDKIKAPTFTIEKDGDNGETCIIRFHAGPPYEDIAFKIVNKEWEYSHKKGFKCTFERGILHVYFNFKRYRYRR >Potri.002G098700.2.v4.1 pep chromosome:Pop_tri_v4:2:7204131:7205918:1 gene:Potri.002G098700.v4.1 transcript:Potri.002G098700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098700.v4.1 MVLSPRSLVLLLQVAFLVSAARKLAESDQTDQQPLPFRYHNGPLLTGNISINLIWYGKFKPSQRTEKYYKTIKSEKSPSPVLSLRTQFLDEGYSLSKSLSSKQIVQLALKGCQRDAVNVILTASDVAVEGFCSGKCGTHGSSLKTRCPGQCAWPLYQPIYGPQSPLLVAPNNDVGLDGPKEAPLDAASGCPGVYGKGSYPGYAGDLLIDSATGASYNAHGVNGRKYLLPALFDPSTSTGASLV >Potri.006G275900.2.v4.1 pep chromosome:Pop_tri_v4:6:26745905:26747488:1 gene:Potri.006G275900.v4.1 transcript:Potri.006G275900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275900.v4.1 MGRKPRCSADGMNKGAWTPLEDEMLVDYVKIHGEGKWSNIVKETGLKRCGKSCRLRWMNYLRPDIKRGNISDDEEDLIIRLHKLLGNRWSLIAGRLPGRTDNEIKNYWHTNIAKKAQHSQSRKQPGVDRKQIASGSENGATASNFKNQTIESQYCTTGVVVPSTALQENNMAQDHLVSTLAMAPSNTHHENESSSKGLASGDNDNLSNILMDFHYMEDFYKILDSDFPKLSDLNDITGTANHSNNTIQVDGDHYSVSINGCNPREIAGFSELLEADWTSNKCVQAEQGFDFMSLLSFLDLTDE >Potri.003G146500.1.v4.1 pep chromosome:Pop_tri_v4:3:16105144:16110099:1 gene:Potri.003G146500.v4.1 transcript:Potri.003G146500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146500.v4.1 MAKLSLTPISTLISPVRRHRPPHSSLQTTAAASAAATTTTTTTTNTMPDQHTPHPSLEIIGGARDLFLPAFNSLHRPYTPFPLLGNNCHVETIFASFFRATPDARLKRECLRTKDDGAVALDWVSGDHQILPPNSPVLILLPGLTGGSGDSYVRHMLIKARNKGWRVVVFNSRGCGNSPVTTPQFYSASFIGDMHEVVAHVGTRYPNANLYAVGWSLGANILVNYLAQEPQTITGAVSLCNPFNLVIADEDFRKGFNVVYDKALTNALRKILKNHAILFEDMGGEYNIPLAANAKSVREFDEGLTRVSFGFKSVDDYYSNSCSSDSIKHVRTPLLCIQAANDPIAPARGIPYEDIKENPNCLLIVTPKGGHLGWIAGSEAPLGAPWTDTIVMDFLEYLQSGACEAPASHSGTEGVQHSTEAMHHLKL >Potri.007G120700.1.v4.1 pep chromosome:Pop_tri_v4:7:13868455:13869555:-1 gene:Potri.007G120700.v4.1 transcript:Potri.007G120700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120700.v4.1 MSSSNFASFLCLLAFSLLVHTGFGADPLFHFCSTPENFTANGPYESNLNKLTSYLYYQAPSTGFGLGSIGQNPDQAYGLALCRGDASTSDCKTCVVEAGGEIRKRCPYNKAAIIWYDNCLVKYSNNGFFGQIDNGNKFYMWNVKVVSEPVTFNGKTKELLTQLANKVEATPKLYETGEMELGESTKLYGLVQCTRDLSSAVCKKCLDGIIGELPSCCDGKEGGRVVSGSCNFRYEICPFVNA >Potri.009G143500.1.v4.1 pep chromosome:Pop_tri_v4:9:11427242:11429675:-1 gene:Potri.009G143500.v4.1 transcript:Potri.009G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143500.v4.1 MEKQVAIIGAGVSGLLACKYTVSKGFNPIVFEARNSIGGAWINTVETTKLQTPKPAYQFSDFPWPDSVTELFPNQYQVLDYLQSYAHHFDLLKHIKFSTKVLGIKYQGASDEEMQSWSLWGGNGEPFSSRGKWIVEAQDTQNQLSTEAYQVDFVILCIGRFSDVPNIPEFPPDKGPEAFHGDVIHSMDYANMDCESATDFVRGKRVTVVGSQKSAMDIAMECSIANGVEHPCRVLYRTEHWNIPDYNPWGVPLPYLYLNRFSELMVHKPGEGFLLSLLATILAPLRLAFSKFVESDIEKKLRLAKFGMVPKHSFLQELNSCLIATVPQGFYDKVEEGSIILKKAPSFSFCKEGIKVQGEDTTLETDLVILATGFKGEKKLKDIFESKMFQDCILGSPDSAVPLCRECIHPRIPQLAVIGFSESVANLYTSEMRCRWIAELLDSTFKLPSIKEMEKDAEKWDQYRKQYSGRYYRRSCIGALHIWYNDQLCKDMGWNPKRKKGFFAELFEPYGPADYVSP >Potri.005G171800.1.v4.1 pep chromosome:Pop_tri_v4:5:17629582:17644758:-1 gene:Potri.005G171800.v4.1 transcript:Potri.005G171800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171800.v4.1 MTGGRCHRRKKMMGRGPDGGCGTGERSCRPISRVPASNSLMKESEIPQPKVKKSNPLEVDFFSQAHKVLSVRSPFDAAENASGSGVSSFPSASTLPSRLASLLRQSNGSRKRHKRSHSGADKKSSSRPSDGSKRGNIWVETEDYFRELTLPDIDDLFELSSLFNSLGYSKCFYIPYIGNEKTERIETIVTNVKTGENVNGKFEESETNEQTDTRANVENANGNFEMDCMGGNGNGLVLKDEVNQEDEQLMEIDVVTQSDGAVCLPQEKAKTCSVSDLSSSVEWLLGCRNRDILTSEKPSKKRKLLGSDAGLEKVLVGCPCEGNLPLCDFCCKSEMGNDSNRLIICSSCKVAVHPKCYGVQGDVSESWLCSWCKQKSDGNDLAKQSCVLCPKQGGALKPVDVDNGKSVLDFVHLFCSQWMPEVYIEDLAKMEPIMNVSGIKETRRKLVCNVCKVKCGTCVRCSHGTCRTAFHPICAREARHRMEVWGKYGTDNVELRAFCSKHTELPNDRDTHQLGEAFVPASHDCSVASHNPSTLQMDKQRKLNIGQNGDKLAVHTETSDTNSGKPGDGELWEIGLFDSRSNAEPLSESGDVDKLIDIGIFERGGYEGASTDSRNLLLILKKLIDQGKVNAEELAMEIGMSPDLINSTLAEVNLVPDFQSKLVKWFQNHVYVASQRKYLKVKLKSMILPKAEIVTADHSDGITISETDITDAVAVKSVPPRRRTKSNFRVLRDNGVICSQEEIFSDNSMLMEDMKVVSQLRGEEPEKSSEASFPDVSEKIADAFQDSSVVHLPKSEGSSDNVSGGSLSEKIKPVHAAIPEKSNSINTDGGVPLYSDVNLVIPNFIKPEEYSNFYVHSCVHEKLSQIQIGMLLQKGISELEGSKDREISHLEASCNPSVFCNHQNKHSKCNDLICNSSEVNLEQLAKAKKLGILKLSPVDEVEGEIIYFQKRLLGNAVARKHFTDNLISKVARHLPQEMDAARGKSWDEVLVSQYLCDVREAKKRGRKERRHKEAQAVLAAATAAAAASSRSSSFRKDAFDESACQEKYNTASVRAGISSLLTRRPKEMLSRVAIPRISLEKYSDFVQSVSGFSKDHPRSCDICRRFETILNHILVCSGCKVEVHLDCYRCGKESNGPWHCELCEELLSSRCSGAPVNFWDRANSAECGLCGGITGAFRKSTDGRWVHAFCAEWVFEPTFRRGQVNPVEGMETIAKEINICCVCRHRHGVCIKCNAGHCQTTFHPTCARSAGFYMNVKTLNGKMQHMAYCEKHSLEQKAKTGTQKHGEEEIKSMRQVRGQLERLRLLCERIVRREKIKRELVLCSHSILACKRDQVARSVLVSSPFFPTDVSSESATTSLKGNTDGYKSFGDAVQRSDDVTVDSTISVKHRIKVTLTMDTDQKTDDSSTSQSHFTPKPSERMPFAGKQIPQRPSSASYSILEEGEWSSKSKHYETFEKELVMTSDEASMKNQKLPKGYFYIPVDCLPKEKQINQDACSGEPLEHDR >Potri.005G171800.5.v4.1 pep chromosome:Pop_tri_v4:5:17629701:17644748:-1 gene:Potri.005G171800.v4.1 transcript:Potri.005G171800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171800.v4.1 MTGGRCHRRKKMMGRGPDGGCGTGERSCRPISRVPASNSLMKESEIPQPKVKKSNPLEVDFFSQAHKVLSVRSPFDAAENASGSGVSSFPSASTLPSRLASLLRQSNGSRKRHKRSHSGADKKSSSRPSDGSKRGNIWVETEDYFRELTLPDIDDLFELSSLFNSLGYSKCFYIPYIGNEKTERIETIVTNVKTGENVNGKFEESETNEQTDTRANVENANGNFEMDCMGGNGNGLVLKDEVNQEDEQLMEIDVVTQSDGAVCLPQEKAKTCSVSDLSSSVEWLLGCRNRDILTSEKPSKKRKLLGSDAGLEKVLVGCPCEGNLPLCDFCCKSEMGNDSNRLIICSSCKVAVHPKCYGVQGDVSESWLCSWCKQKSDGNDLAKQSCVLCPKQGGALKPVDVDNGKSVLDFVHLFCSQWMPEVYIEDLAKMEPIMNVSGIKETRRKLVCNVCKVKCGTCVRCSHGTCRTAFHPICAREARHRMEVWGKYGTDNVELRAFCSKHTELPNDRDTHQLGEAFVPASHDCSVASHNPSTLQMDKQRKLNIGQNGDKLAVHTETSDTNSGKPGDGELWEIGLFDSRSNAEPLSESGDVDKLIDIGIFERGGYEGASTDSRNLLLILKKLIDQGKVNAEELAMEIGMSPDLINSTLAEVNLVPDFQSKLVKWFQNHVYVASQRKYLKVKLKSMILPKAEIVTADHSDGITISETDITDAVAVKSVPPRRRTKSNFRVLRDNGVICSQEEIFSDNSMLMEDMKVVSQLRGEEPEKSSEASFPDVSEKIADAFQDSSVVHLPKSEGSSDNVSGGSLSEKIKPVHAAIPEKSNSINTDGGVPLYSDVNLVIPNFIKPEEYSNFYVHSCVHEKLSQIQIGMLLQKGISELEGSKDREISHLEASCNPSVFCNHQNKHSKCNDLICNSSEVNLEQLAKAKKLGILKLSPVDEVEGEIIYFQKRLLGNAVARKHFTDNLISKVARHLPQEMDAARGKSWDEVLVSQYLCDVREAKKRGRKERRHKEAQAVLAAATAAAAASSRSSSFRKDAFDESACQEKYNTASVRAGISSLLTRRPKEMLSRVAIPRISLEKYSDFVQSVSGFSKDHPRSCDICRRFETILNHILVCSGCKVEVHLDCYRCGKESNGPWHCELCEELLSSRCSGAPVNFWDRANSAECGLCGGITGAFRKSTDGRWVHAFCAEWVFEPTFRRGQVNPVEGMETIAKEINICCVCRHRHGVCIKCNAGHCQTTFHPTCARSAGFYMNVKTLNGKMQHMAYCEKHSLEQKAKTGTQKHGEEEIKSMRQVRGQLERLRLLCERIVRREKIKRELVLCSHSILACKRDQVARSVLVSSPFFPTDVSSESATTSLKGNTDGYKSFGDAVQRSDDVTVDSTISVKHRIKVTLTMDTDQKTDDSSTSQSHFTPKPSERMPFAGKQIPQRPSSASYSILEEGEWSSKSKVRVPSASLTVLFHEVFSVRDKLRPILTTISNNSIMRLLRKSW >Potri.005G171800.3.v4.1 pep chromosome:Pop_tri_v4:5:17629696:17644754:-1 gene:Potri.005G171800.v4.1 transcript:Potri.005G171800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171800.v4.1 MTGGRCHRRKKMMGRGPDGGCGTGERSCRPISRVPASNSLMKESEIPQPKVKKSNPLEVDFFSQAHKVLSVRSPFDAAENASGSGVSSFPSASTLPSRLASLLRQSNGSRKRHKRSHSGADKKSSSRPSDGSKRGNIWVETEDYFRELTLPDIDDLFELSSLFNSLGYSKCFYIPYIGNEKTERIETIVTNVKTGENVNGKFEESETNEQTDTRANVENANGNFEMDCMGGNGNGLVLKDEVNQEDEQLMEIDVVTQSDGAVCLPQEKAKTCSVSDLSSSVEWLLGCRNRDILTSEKPSKKRKLLGSDAGLEKVLVGCPCEGNLPLCDFCCKSEMGNDSNRLIICSSCKVAVHPKCYGVQGDVSESWLCSWCKQKSDGNDLAKQSCVLCPKQGGALKPVDVDNGKSVLDFVHLFCSQWMPEVYIEDLAKMEPIMNVSGIKETRRKLVCNVCKVKCGTCVRCSHGTCRTAFHPICAREARHRMEVWGKYGTDNVELRAFCSKHTELPNDRDTHQLGEAFVPASHDCSVASHNPSTLQMDKQRLFDSRSNAEPLSESGDVDKLIDIGIFERGGYEGASTDSRNLLLILKKLIDQGKVNAEELAMEIGMSPDLINSTLAEVNLVPDFQSKLVKWFQNHVYVASQRKYLKVKLKSMILPKAEIVTADHSDGITISETDITDAVAVKSVPPRRRTKSNFRVLRDNGVICSQEEIFSDNSMLMEDMKVVSQLRGEEPEKSSEASFPDVSEKIADAFQDSSVVHLPKSEGSSDNVSGGSLSEKIKPVHAAIPEKSNSINTDGGVPLYSDVNLVIPNFIKPEEYSNFYVHSCVHEKLSQIQIGMLLQKGISELEGSKDREISHLEASCNPSVFCNHQNKHSKCNDLICNSSEVNLEQLAKAKKLGILKLSPVDEVEGEIIYFQKRLLGNAVARKHFTDNLISKVARHLPQEMDAARGKSWDEVLVSQYLCDVREAKKRGRKERRHKEAQAVLAAATAAAAASSRSSSFRKDAFDESACQEKYNTASVRAGISSLLTRRPKEMLSRVAIPRISLEKYSDFVQSVSGFSKDHPRSCDICRRFETILNHILVCSGCKVEVHLDCYRCGKESNGPWHCELCEELLSSRCSGAPVNFWDRANSAECGLCGGITGAFRKSTDGRWVHAFCAEWVFEPTFRRGQVNPVEGMETIAKEINICCVCRHRHGVCIKCNAGHCQTTFHPTCARSAGFYMNVKTLNGKMQHMAYCEKHSLEQKAKTGTQKHGEEEIKSMRQVRGQLERLRLLCERIVRREKIKRELVLCSHSILACKRDQVARSVLVSSPFFPTDVSSESATTSLKGNTDGYKSFGDAVQRSDDVTVDSTISVKHRIKVTLTMDTDQKTDDSSTSQSHFTPKPSERMPFAGKQIPQRPSSASYSILEEGEWSSKSKHYETFEKELVMTSDEASMKNQKLPKGYFYIPVDCLPKEKQINQDACSGEPLEHDR >Potri.005G171800.2.v4.1 pep chromosome:Pop_tri_v4:5:17629671:17644758:-1 gene:Potri.005G171800.v4.1 transcript:Potri.005G171800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171800.v4.1 MTGGRCHRRKKMMGRGPDGGCGTGERSCRPISRVPASNSLMKESEIPQPKVKKSNPLEVDFFSQAHKVLSVRSPFDAAENASGSGVSSFPSASTLPSRLASLLRQSNGSRKRHKRSHSGADKKSSSRPSDGSKRGNIWVETEDYFRELTLPDIDDLFELSSLFNSLGYSKCFYIPYIGNEKTERIETIVTNVKTGENVNGKFEESETNEQTDTRANVENANGNFEMDCMGGNGNGLVLKDEVNQEDEQLMEIDVVTQSDGAVCLPQEKAKTCSVSDLSSSVEWLLGCRNRDILTSEKPSKKRKLLGSDAGLEKVLVGCPCEGNLPLCDFCCKSEMGNDSNRLIICSSCKVAVHPKCYGVQGDVSESWLCSWCKQKSDGNDLAKQSCVLCPKQGGALKPVDVDNGKSVLDFVHLFCSQWMPEVYIEDLAKMEPIMNVSGIKETRRKLVCNVCKVKCGTCVRCSHGTCRTAFHPICAREARHRMEVWGKYGTDNVELRAFCSKHTELPNDRDTHQLGEAFVPASHDCSVASHNPSTLQMDKQRKLNIGQNGDKLAVHTETSDTNSGKPGDGELWEIGLFDSRSNAEPLSESGDVDKLIDIGIFERGGYEGASTDSRNLLLILKKLIDQGKVNAEELAMEIGMSPDLINSTLAEVNLVPDFQSKLVKWFQNHVYVASQRKYLKVKLKSMILPKAEIVTADHSDGITISETDITDAVAVKSVPPRRRTKSNFRVLRDNGVICSQEEIFSDNSMLMEDMKVVSQLRGEEPEKSSEASFPDVSEKIADAFQDSSVVHLPKSEGGSLSEKIKPVHAAIPEKSNSINTDGGVPLYSDVNLVIPNFIKPEEYSNFYVHSCVHEKLSQIQIGMLLQKGISELEGSKDREISHLEASCNPSVFCNHQNKHSKCNDLICNSSEVNLEQLAKAKKLGILKLSPVDEVEGEIIYFQKRLLGNAVARKHFTDNLISKVARHLPQEMDAARGKSWDEVLVSQYLCDVREAKKRGRKERRHKEAQAVLAAATAAAAASSRSSSFRKDAFDESACQEKYNTASVRAGISSLLTRRPKEMLSRVAIPRISLEKYSDFVQSVSGFSKDHPRSCDICRRFETILNHILVCSGCKVEVHLDCYRCGKESNGPWHCELCEELLSSRCSGAPVNFWDRANSAECGLCGGITGAFRKSTDGRWVHAFCAEWVFEPTFRRGQVNPVEGMETIAKEINICCVCRHRHGVCIKCNAGHCQTTFHPTCARSAGFYMNVKTLNGKMQHMAYCEKHSLEQKAKTGTQKHGEEEIKSMRQVRGQLERLRLLCERIVRREKIKRELVLCSHSILACKRDQVARSVLVSSPFFPTDVSSESATTSLKGNTDGYKSFGDAVQRSDDVTVDSTISVKHRIKVTLTMDTDQKTDDSSTSQSHFTPKPSERMPFAGKQIPQRPSSASYSILEEGEWSSKSKHYETFEKELVMTSDEASMKNQKLPKGYFYIPVDCLPKEKQINQDACSGEPLEHDR >Potri.008G072901.1.v4.1 pep chromosome:Pop_tri_v4:8:4506388:4509107:-1 gene:Potri.008G072901.v4.1 transcript:Potri.008G072901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072901.v4.1 MDHTWGTVAMDGHVLTEIHSACYWTKSTHTELQSEFGLDNQDTALDPSPAEYFAVLGNFTFKKLSENLFFPPSTASLTESQYVKYNSISQGFKAASLKTTWKLKDGNDFVFPKYNIYVEKLAKQVDENATGKLDSILGRHVFKGFICLAFPFPVMSAVSNSLSKSVLLMEPARSWRILHICY >Potri.T124706.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:48970:55513:1 gene:Potri.T124706.v4.1 transcript:Potri.T124706.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124706.v4.1 MAVVVEVSLRFAYYGLAGNLITYLTNDLHQSTSTAIKNVNTWVGVSAIFPIFGAIVADSLLGRFKTILLASAIYFLGMILLTLSVSVIPMRYREAVFFIALYILAVGEGGHKPCVQTFAADQFDEEKPEEKAAKSSFFNWWYLGIVAGGSSAVLLVIYIQDNVGWTAGFGMLTGALGVALFIFLAGIKRYRKQAPVKSPFTMVAQVFVAAMRKRRVIETHQGLGICYEAVGTDVEGQPGNSRTLAATNQYRFLDKAMIIDNLDASSKPRNPWRLCSLNQVEEVKLVLRLLPIWLSCFMFTAVLVQTHTLFIKQGSTMIRSIGPNFQVPPASPQKQQSR >Potri.T124706.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:48893:55620:1 gene:Potri.T124706.v4.1 transcript:Potri.T124706.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124706.v4.1 MILLTLSVSVIPMRYREAVFFIALYILAVGEGGHKPCVQTFAADQFDEEKPEEKAAKSSFFNWWYLGIVAGGSSAVLLVIYIQDNVGWTAGFGMLTGALGVALFIFLAGIKRYRKQAPVKSPFTMVAQVFVAAMRKRRVIETHQGLGICYEAVGTDVEGQPGNSRTLAATNQYRFLDKAMIIDNLDASSKPRNPWRLCSLNQVEEVKLVLRLLPIWLSCFMFTAVLVQTHTLFIKQGSTMIRSIGPNFQVPPASFQSLVGLTILFTIPIYDRIFVPAARKLTGHRSGITMLQRIGIGLFLSIVEMAVAAQVEAKRVSIAREHGLMDTPKATIPMSVWWILPQYMISGISDVFTVVGLQELFYDQMPESMRSLGAAAHISVIGVGSFINTAIITAVQAITARSIGILLGDNLNRAHVDYFYWVMAALSALNFCVYLWIASGFVYKKVEGEEEPR >Potri.T124706.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:48625:55624:1 gene:Potri.T124706.v4.1 transcript:Potri.T124706.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124706.v4.1 MDIVVAPIMTRNGQEETKVVSALRKPTKGGWNSAIFIIFVEVSLRFAYYGLAGNLITYLTNDLHQSTSTAIKNVNTWVGVSAIFPIFGAIVADSLLGRFKTILLASAIYFLGMILLTLSVSVIPMRYREAVFFIALYILAVGEGGHKPCVQTFAADQFDEEKPEEKAAKSSFFNWWYLGIVAGGSSAVLLVIYIQDNVGWTAGFGMLTGALGVALFIFLAGIKRYRKQAPVKSPFTMVAQVFVAAMRKRRVIETHQGLGICYEAVGTDVEGQPGNSRTLAATNQYRFLDKAMIIDNLDASSKPRNPWRLCSLNQVEEVKLVLRLLPIWLSCFMFTAVLVQTHTLFIKQGSTMIRSIGPNFQVPPASFQSLVGLTILFTIPIYDRIFVPAARKLTGHRSGITMLQRIGIGLFLSIVEMAVAAQVEAKRVSIAREHGLMDTPKATIPMSVWWILPQYMISGISDVFTVVGLQELFYDQMPESMRSLGAAAHISVIGVGSFINTAIITAVQAITARSIGILLGDNLNRAHVDYFYWVMAALSALNFCVYLWIASGFVYKKVEGEEEPR >Potri.T124706.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:48893:55617:1 gene:Potri.T124706.v4.1 transcript:Potri.T124706.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124706.v4.1 MAVVVEVSLRFAYYGLAGNLITYLTNDLHQSTSTAIKNVNTWVGVSAIFPIFGAIVADSLLGRFKTILLASAIYFLGMILLTLSVSVIPMRYREAVFFIALYILAVGEGGHKPCVQTFAADQFDEEKPEEKAAKSSFFNWWYLGIVAGGSSAVLLVIYIQDNVGWTAGFGMLTGALGVALFIFLAGIKRYRKQAPVKSPFTMVAQVFVAAMRKRRVIETHQGLGICYEAVGTDVEGQPGNSRTLAATNQYRFLDKAMIIDNLDASSKPRNPWRLCSLNQVEEVKLVLRLLPIWLSCFMFTAVLVQTHTLFIKQGSTMIRSIGPNFQVPPASFQSLVGLTILFTIPIYDRIFVPAARKLTGHRSGITMLQRIGIGLFLSIVEMAVAAQVEAKRVSIAREHGLMDTPKATIPMSVWWILPQYMISGISDVFTVVGLQELFYDQMPESMRSLGAAAHISVIGVGSFINTAIITAVQAITARSIGILLGDNLNRAHVDYFYWVMAALSALNFCVYLWIASGFVYKKVEGEEEPR >Potri.011G113125.1.v4.1 pep chromosome:Pop_tri_v4:11:14352148:14353627:-1 gene:Potri.011G113125.v4.1 transcript:Potri.011G113125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113125.v4.1 MANEVVLLDLKASPFAARVRIALEEKGIEYKSQVEDLSNKSSTLLKMNPVHQQIPVLIHNGKPICESMVIVQYIDEAWSHKPSLLPSGPYRRAHARFWADYIDKKIYPIGRNLWASEGEVKESSKKDLIQCFKILEEQLGDKLYFGDESFGYIDLALIPFYSFFYTFETLGNLCMVAEFPKLVEWGERCLQKESVSKSLSGQKEVYEVILQIKQKLGIE >Potri.005G259600.1.v4.1 pep chromosome:Pop_tri_v4:5:24917646:24920358:-1 gene:Potri.005G259600.v4.1 transcript:Potri.005G259600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259600.v4.1 MDFLLLYLYVVLLLSPALVQGQQTYVANHQLDCYNNAFNETTKGFLCNGVQSSCQSYLTFRSMPPYNSPVLIAYLLGVPQSATRIASINNLSSDTATIPTNTQVVVPVNCSCYARQYYQHNSTYQLKDKSETYFSVANNTYQGLTTCQSLMSQNPYGDRNLSLGLTLQIPLRCACPTSNQNASGINHLLTYMVTWGDSISSIAQLFGVDKQRVLDANKLSSSNIIFPFTPILVPLPTEPTKIEQPSAAPPPAAPSPQTPNVSVGGSSDHKALYVGVGIGAAFLILLFAAFGFLFWHRKSRKQQKPVSTSEPETLPSVSTDFTVLPVSNNKSWSLSSHDARYAIESLTVYKYEDLQVATGYFAQANLIKGSVYRGSFKGDTAAVKVVKGDVSSEINILKMINHSNVVRLSGFCLHEGNTYLVYEYADNGSLTDWLHSNNIYRILAWKQRVRIAYDVADALNYLHNYTNPSYIHKNLKTSNILLDANLRAKVANFGLARTLENGQDGGLQLTRHVVGTQGYLAPEYIENGVITPKLDVFAFGVVMLELLSGKEAAATAIDKIAGDDLLSVMIMRVLEGDNVREKLSAFLDPCLRDEYPLDLAFSMAQLAKSCVEHDLNTRPSMPQVFMMLSKILSSSLDWDPSDELNRSRSIDSGR >Potri.013G075100.1.v4.1 pep chromosome:Pop_tri_v4:13:6301397:6301750:1 gene:Potri.013G075100.v4.1 transcript:Potri.013G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075100.v4.1 PGPIHDFLLVFLGLGLILGGLAVVLLTNPIFSAFSLGLVLVCISLFYILSNSHFVVAAQLLIYVGAINILILFVVMFMNGSEYYKDFNLWTIGNGLTYLICASLFVLLITIISNTTWY >Potri.003G173100.1.v4.1 pep chromosome:Pop_tri_v4:3:18102922:18104503:-1 gene:Potri.003G173100.v4.1 transcript:Potri.003G173100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173100.v4.1 MRMMEVESSKKDLELKHLGFVRIATIQILVSVSNLYDYAKRNSGPLRSPVGAVEGTVSAVVSPVYDKLKGVPDHLLVFLDHKVDGATAKFDKHAPPVAKQVVSQAHYLIEKASEKAKVLANEFQAGGPRAALHYVATESKHLFLTESVKVWVKLDQFSFVHKVAGVAVPAAAHWSDKYNHFVKEMNQKGYTVFGYLPVVPIEEISKAFKQEEAEKKEDATAHKDSSSSDSD >Potri.011G083300.2.v4.1 pep chromosome:Pop_tri_v4:11:9185326:9189189:-1 gene:Potri.011G083300.v4.1 transcript:Potri.011G083300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083300.v4.1 MVVLGSIHAPSALSPLQKMTVSSSDNKSLVLGRRRTIAVGSSAVVLASLLNLHNPISNPPLLHSAFAQQQDELQQQEDRVAHLFQESSPSVVFIKDIELAKVPNRPEDRFMLTEDENAKVEGTGSGFIWDKFGHIVTNYHVVAKLATDKSGLQCCKVFLVDAGGNSLYREGTIIGFDPSYDLAVLKVDVEGYELKPATLGTSRELHVGQSCFAIGNPYGYENTLTTGVVSGLGREIPSPNGKAIRGAIQTDADINAGNSGGPLIDSYGHVIGVNTATFTRKGTGASSGVNFAIPIDTVVQYVPILIVYGTPYKDRF >Potri.001G185300.1.v4.1 pep chromosome:Pop_tri_v4:1:16408740:16416951:1 gene:Potri.001G185300.v4.1 transcript:Potri.001G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185300.v4.1 MRGRYVETVVTKKRASSRDFINAYDREESSGHLAIGTAKDAGNPHWRHSLVHVLVATLSSFLFGYHLGVVNETLETISFDLGFSGNTMAEGLVVSTCLGGAFVGSIFSGWIADGVGRRRAFQLCALPMIIGASMSATTKDLWGMLLGRFFVGTGMGIGPPVAALYVTEVSPAYVRGTYGSLTQISTCLGLLGSFVIGIPAKETMGWWRICFWVSAIPAAMLALFMEFCAESPHWLLKRGRSTEAEAQFEKLLGGSHVKSAIIELSKSDRGDEVDKVKLSEFLYGRYFKVVFIGSALFALQQLSGINAVFYFSSAVFKSAGVPSDSANICVGVSNLLGSIIAMVMMDKLGRKVLLTGSFFGMAVSMGLQATAATSFVSSFAALYLSVGGMLLFVLMFSLGAGPVPSLLLSEIFPSRIRAKALAICMAVHWVINFFVGLLFLRLLEQIGPLVLYTVFGSFCLVAVFFVKKNVLETKGKSLQEIEIALMPPE >Potri.016G041301.1.v4.1 pep chromosome:Pop_tri_v4:16:2598392:2598940:1 gene:Potri.016G041301.v4.1 transcript:Potri.016G041301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041301.v4.1 MAGLQYKFFPTDFFYPPRPQSVKVDTGTTAQKSIALPLEVQKREVMITDDLNQKHHPTSLVLRHSKHGNKSSTPMNRRSTA >Potri.002G114400.4.v4.1 pep chromosome:Pop_tri_v4:2:8684140:8692957:-1 gene:Potri.002G114400.v4.1 transcript:Potri.002G114400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114400.v4.1 MDFGSSDVSLWKNSLSAYPARIQSLNKTNLVSLDDFYRIELPSLIHQRNPDPHITTPELSKLMQWKLSRGKWRPRLLDFVSSLDESHVKSASQKAFESLPDVSKAVSALTVLKGVGPATASAVLAAYAPDVAPFMSDEAMEAVLGNSKDYTLKQYLLFVDKLQTKSKELK >Potri.002G114400.1.v4.1 pep chromosome:Pop_tri_v4:2:8683440:8693029:-1 gene:Potri.002G114400.v4.1 transcript:Potri.002G114400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114400.v4.1 MDFGSSDVSLWKNSLSAYPARIQSLNKTNLVSLDDFYRIELPSLIHQRNPDPHITTPELSKLMQWKLSRGKWRPRLLDFVSSLDESHVKSASQKAFESLPDVSKAVSALTVLKGVGPATASAVLAAYAPDVAPFMSDEAMEAVLGNSKDYTLKQYLLFVDKLQTKSKELSSVRDIFTPSDIERALWSSAVGAKLLALQSDPDSKKANTARDIKRKRKR >Potri.006G153100.1.v4.1 pep chromosome:Pop_tri_v4:6:13609770:13620776:1 gene:Potri.006G153100.v4.1 transcript:Potri.006G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153100.v4.1 MGNKIARTTQASASEYYLHDLPSSYNLVLKEVLGRGRFFKSILCKHDEGLVLVKVYFKRGDNIDLTDYHRRLINIKETFRALDHHHVWPFQFFQETDKAAYLLRQYFFNNLHDRLSTRPFLSLVEKKWLAFQLLLAVKQCHDKGICHGDIKCENVLVTSWNWLYLADFASFKPTYIPYDDPSDFSFFFDTGGRRLCYLAPERFYEHGGEVQVAQDAPLLPSMDIFAVGCVIAELFLEGQQLFELSQLLAYRRGQYDPSQYLEKIPDSGIRKMILHMIQLEPEARLSAESYLQDYAAVVFPSYFSPFLHNFYCCWNPLHSDMRVAICQSVFHEILKQMMGSRTSEVAGTRRDVFANSLNGKLSEEMVEKQNLDSTSHWRNRERIENGLTCQQYNLLGDINSLLGDVKQSSGYYSAKLMPESAPGSEFCQDLKQCSTKSPDELLQTISNAFRRNDHPFLKKITVDDLSSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKSCSLYIDDEDRLQRVLPYVIAMLSDPAAIVRSAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSIGLSKAGVLDEMSSPQNSMASFIERPGQLQRVNNDAQLSQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGNLCCFFGHRQSNDFLLPILPAFLNDRDEQLRALFYSKIVYVCFFVGQRSVEEYLLPYIDQALSDQTEVVIVNALDCLAILCKRGFLRKRVLLEMIERAFPLLCYPSQWVRRSAVSFIAASSESLGAVDSYVFLAPVIRPFLCRHPASLASEKSLLLCLVPPVSRQVFYHDLENARSSDMLERQRKIWYNSSAQSKQWEPEDLLKGDDKEPNSMKSWPEKEPSPGDQNHDADRLEQPEDGDAKLIAMGFIANASSKVDIRDALSSEKLQFSGCMSPQFSGVNSFLHDKSSEGIPLYSFSMDRRAVKFPPATSDSSLQMNSLAISSSYMPWVDHGIKSFSLASSVPAPKLVSGSFSITNGSKPFYRVVHEPESRENEQTSFFNGKYQDVGLYGTSKGSSFTVEDAPPTDLTGLPLFARTASIPDSGWKPRGVLVAHLQEHRSAINDIAVSSDHSVFVSASDDSTIKVWDSRKLEKDISFRSRLTYHLEGSRALCTVMLHNIAQVVVGACDGTIHMFSVEHMSRGLGNVVEKYSGIADIKKKDIKEGAILSLLNYTSDNSDGQSVMYSTQNCGIHLWDIRANSNAWTLKAVPEEGYISSLVTGPCGNWFVSGSSRGVLTLWDLRFLIPVNSWKYSHVCPVEKMCLFVPPPNVTVTSTARPLIYVAAGSNEVSLWNAETGSCHQVMRVANYDNEEMSDIPWALARPSSKTNLKLDVRRNVKPKYRVEELNEPPPRFPGIRAMLPLPGGDLLTGGTDLKIRRWDHFSPDRSYCISGPNLNGAGNDNPYETRSSFGVQIVQETKRRHLTAKLTAKQVLAAAATDSAGCHRDSILSLASVKLNQRLLISSSRDGAIKVWK >Potri.006G153100.4.v4.1 pep chromosome:Pop_tri_v4:6:13612493:13620791:1 gene:Potri.006G153100.v4.1 transcript:Potri.006G153100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153100.v4.1 MVEKQNLDSTSHWRNRERIENGLTCQQYNLLGDINSLLGDVKQSSGYYSAKLMPESAPGSEFCQDLKQCSTKSPDELLQTISNAFRRNDHPFLKKITVDDLSSLMSEYDSQSDTFGMPFLPLPEDSMKCEGMVLIASLLCSCIRNVKLPHLRRGAILLLKSCSLYIDDEDRLQRVLPYVIAMLSDPAAIVRSAALETLCDILPLVRDFPPSDAKIFPEYILPMLSMLPDDPEESVRICYASNIAKLALTAYGFLIHSIGLSKAGVLDEMSSPQNSMASFIERPGQLQRVNNDAQLSQLRKSIAEVVQELVMGPKQTPNIRRALLQDIGNLCCFFGHRQSNDFLLPILPAFLNDRDEQLRALFYSKIVYVCFFVGQRSVEEYLLPYIDQALSDQTEVVIVNALDCLAILCKRGFLRKRVLLEMIERAFPLLCYPSQWVRRSAVSFIAASSESLGAVDSYVFLAPVIRPFLCRHPASLASEKSLLLCLVPPVSRQVFYHDLENARSSDMLERQRKIWYNSSAQSKQWEPEDLLKGDDKEPNSMKSWPEKEPSPGDQNHDADRLEQPEDGDAKLIAMGFIANASSKVDIRDALSSEKLQFSGCMSPQFSGVNSFLHDKSSEGIPLYSFSMDRRAVKFPPATSDSSLQMNSLAISSSYMPWVDHGIKSFSLASSVPAPKLVSGSFSITNGSKPFYRVVHEPESRENEQTSFFNGKYQDVGLYGTSKGSSFTVEDAPPTDLTGLPLFARTASIPDSGWKPRGVLVAHLQEHRSAINDIAVSSDHSVFVSASDDSTIKVWDSRKLEKDISFRSRLTYHLEGSRALCTVMLHNIAQVVVGACDGTIHMFSVEHMSRGLGNVVEKYSGIADIKKKDIKEGAILSLLNYTSDNSDGQSVMYSTQNCGIHLWDIRANSNAWTLKAVPEEGYISSLVTGPCGNWFVSGSSRGVLTLWDLRFLIPVNSWKYSHVCPVEKMCLFVPPPNVTVTSTARPLIYVAAGSNEVSLWNAETGSCHQVMRVANYDNEEMSDIPWALARPSSKTNLKLDVRRNVKPKYRVEELNEPPPRFPGIRAMLPLPGGDLLTGGTDLKIRRWDHFSPDRSYCISGPNLNGAGNDNPYETRSSFGVQIVQETKRRHLTAKLTAKQVLAAAATDSAGCHRDSILSLASVKLNQRLLISSSRDGAIKVWK >Potri.006G198500.1.v4.1 pep chromosome:Pop_tri_v4:6:20617965:20621074:-1 gene:Potri.006G198500.v4.1 transcript:Potri.006G198500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198500.v4.1 MGKPKQRHVAAKPASKKNDSDGEDGWVIVKKQRVTILVPPLPVGRMLDPGPSKPDATVPVKAVNHKSTVLIEATTNTRRISLVDELEKLAPLAPNRGGDPITATATPPAHHFSALHSLRRLNVTMESRKPNLADTFRSIDALGVSNISKTIKRSRLLLGPGSFLDGEMLLNQRLRASLLEKKLQKAGGLSRWLASIGLRQFVRIFQEKSFSKFQLVNLSMKKLKDMGADAVGPRRKLIHAIDCICQPHCFGAH >Potri.006G228900.1.v4.1 pep chromosome:Pop_tri_v4:6:23265472:23272043:1 gene:Potri.006G228900.v4.1 transcript:Potri.006G228900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228900.v4.1 MPLFSHRHPSSSAIPTTINPRTSKPSKPNFIPPSTRYPLPLLVFSLISLLIGLSGTIFAISAIRRPKPVPVFRCGRSEDTFRAFYSGSGRTRGDDNGALIDRPKLLGFVGIQTGFESGDRRAALRSTWFPSDPDGLLRLEQATGLAFRYVIGRSKDAKKMAQLEKEVDKYRDFMLIDVEEEYLKLPYKTLAFFKAAFKLFEADYYVKADDDIYLRPDRLATLLAKERTHSLTYIGCMKKGPVITDPKMKWYEKSGHLIGNEYFLHAYGPIYVLSAEVVASLASARNNSLRMFSNEDVSIGSWMLAMNVYHEDNRAICDPRCTPTSIAVWDIPKCSGLCNPASRMKELHKIDMCSKSPTLPPDDR >Potri.006G230500.1.v4.1 pep chromosome:Pop_tri_v4:6:23396141:23397788:1 gene:Potri.006G230500.v4.1 transcript:Potri.006G230500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230500.v4.1 MGRKCSHCGNVGHNSRTCTTTQKESLRLFGVQLDIPASSSSPAMKKSFSVGSLPSSSTTPSSSTSSNSPQVNTGDEFYLLSNGYLSDGQVGRTPERKKSVPWTEEEHRIFLLGLEKLGKGDWRGISRNFVTTRTPTQVASHAQKYFLRQNSLTKRKRRPSLFDVGNGTSSIQPASASIPEVIIEGNIFGGLPLMSTVASPICTSFSELGLGDHHSVLLPCSSPDEHVSSKTSSTPAPSKA >Potri.016G055100.4.v4.1 pep chromosome:Pop_tri_v4:16:3647074:3658375:1 gene:Potri.016G055100.v4.1 transcript:Potri.016G055100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055100.v4.1 MSAISGLVSRQVMPACGSLCFFCPAMRARSRQPVKRYKKLMADIFPRNQEEGPNDRKIGKLCEYAAKNPLRIPKITCSLEQRCYKELRIENFQSAKIVMCIYRKLLVTCKEQMTLFASSLLGIINTLLDQTRQDDIQVIGCETLFDFVNNQKDGTYMFNLEGFIPKLCQFAQEEGEDERAKSLRAAGLQALSSMVWFMGQHSHISVEFDNVVSVVLENYGGPMRSSENLDTDKQGPQSRWVQEVLKNEGHVTPLPEVITRVPSWRTIVNERGEVNMTEEDSQNPCFWSRVCLHNMAKLGKEATTIRRVLESLFRYFDNGNLWSLENGLAFPVLKDMQFLMDNSGQNTHVLLSILIKHLDHKNVLKEPSMQLDIVEVTTALAQHVKADPSVAIIGAVSDVMRHLRKSIHCSLDDANLGAEIKNWNKNLREVVDKCLTELAYKVGDAGPILDIMAVMLENISNITVIARTTISAVYRTAQIVASLPNLSYQNKAFPEALFHQLLPAMVHPDHETRVGAHRIFSVVLVPSSVSPCPSSNNKGSDLSRTLSRTVSVFSSSAALFDKQRRDKTSTRENVFQDSKNNAHEGEQISNGMLARLKSSTSRVYSLKNPLVPSTSDENPVNNLNNETEAGSLRLSSRQITLLLSSIWTQSISPANTPQNYEAISHTYNLVLLFSRAKNSSDEALIRSFQLAFSLRNIALKQEEPLPPSRRRSLFALATSMILFTSKAYNIIPLIYCTKVVLTEKMIDPFLHLVEDRKLQAVSTESGHPAIVYGSKEDDCSALKSLSEIDVTGNQSREFFAAEIAKSLGNLAKFEASTIQEKLLNEFLPNDVCPLGAQLFMDTPMQIDQVDSEDNSLMEGTPLFTLDDVFLDSLEDQTTQTTEIVFQDTDLLSVNQLLESVLETTQQVGRLSVTAPDVSYKEMAHHCETLLMGKQQKMSHVMSVQLKQESLMNVSLQNHDDEIRKVTNPFLEQNIIASPQLPLVGTVQMQCGAEYQHHPNFFRLPASSPFDNFLKAAGC >Potri.009G062600.3.v4.1 pep chromosome:Pop_tri_v4:9:6420062:6424112:1 gene:Potri.009G062600.v4.1 transcript:Potri.009G062600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062600.v4.1 MSKRLLCKFFAHGACLKGEHCEFSHDWKDPPNNICTFYQKGICVYGSRCRYDHVKPSRPESTASSSLTVLASTSVSLALPARTVSSGVRTVLAVPPELSASSRPFIAPSTPAWDLASVQLDFLEDGDGEVIQPRNVKPADRSLCSFAAAGSCPRGDKCPHIHGDLCAYCGKHCLHPFRPDERNEHLKACEKKQKNLDLLKYSQEIECSVCLDRVLSKLTAAERKFGLLSECNHPFCISCIRNWRGSSPTSGMDVNSSLRACPICRKLSYFVIPSVIWYSTKEEKQEIVDTYKEKLRSIDCKHFDFGNGNCPFGTSCFYKHAYRDGRLEEVVLRHLGAEDGQGVIAKNIRLSDFLGGLQIR >Potri.001G089900.5.v4.1 pep chromosome:Pop_tri_v4:1:7118281:7127097:-1 gene:Potri.001G089900.v4.1 transcript:Potri.001G089900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089900.v4.1 MIMEVDFVTSGILEEELPLEVDENIHDNYNFEGERCGICMDIVIDRGVLDCCHHWFCFGCIDNWATITNLCPLCQNEFQSITCVPVYDTIGNNKVDEDSLSRDDDWSIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSANIEEESNLDTSIACDSCDIWYHAFCVGFDAEGTSEDTWLCPRCTVGEVPQKSDVASLQKPNNQCYSENSHSSSFAEAEAAFSGKMSVSIADAGETAVVVSMVGGTKWTEEPSKPTLEVDEDLMDDAVKPDGNSYKVERQSSKKTDVQPTVEAPELELSLSCDASFSHLSTSLVLAELKTICDDGTVNEPIIGDGVKNSLRKLFNDSLARNKLSGKESSEGLHLGLSLGCSSSGYIKTNETEDQGTIEVQQQSLSEESLLRRDEKILPVANEEAMKIIGVKRKHATCSDDAVKTADDNEDNAKNEAAVLAKKTRVSRKLQITPKGQDSAFLPEDSQKCPAKIAVLKNVKLKRSLEKQDVTSDIMSVVKGTGRRTLKGLAHQSPPDKSSKEGENAAGLRVKKIMRRAVEDKESSVVVQNLRKEIREAVRNRSSDEIGENLFDPKLLAAFRTAVAGSTAEPVKKLPPSSLKAKKSLLQKGKVRENLTKKIYGDSNGRRKRAWDRDCDVEFWKYRCMRVTKPEKIATLKSVLTLLRKNPEGSEMDQGYEFQETNPILSRLYLADTSVFPRKDDIKPLLASTTTSNTEQNKAQEISMDKVRKLSPDDHTLKSAGANKVSSKLVVPSIHDKGLKDKVLSTNCQPASSKAQPGGFSKVNSQKEKGAQSDDKRMDKRKWALEVLARKKAVSGKTAADEKQEDNAVLKGNYPLLAQLPIDMRPVLASCRHNKIPISVRQTQLYRLTEHFLRKVNLPEIRKTAETELAVADAINIEKEVADKANSKIVYLNLCSQEIMRHSDDRKSNRATVSNSSPSAVTVDRLEQDIDELPTDPAVLDALRNAGLLSDSPPSSPHHKMEVSNEVDDSSMQIKEEGPDNVFEMDSHPDVDIYGDFEYDLEDEDYIGATNLTVPKLIVEEGESRMKVVFSTLKSEMPNNFQDLEGCLTLGNNEELKDSASSPKIHVDAGIISTTMEGGTNRSCADSEPLPGEEGEEPSLAECDELYGPDKEPLINKFPEEASRNLHELTDPEASTKHKGSGENENNSSRQDGNTNATSAGHTCDGETTCDHSQTAESGRKKDSSKTNTNKQGDIINSVSKKVEAYIKEHVRPLCKSGIITAEQYRWAVAKTTDKVMKYHLNAKNANFLIKEGEKVKKLAEQYVEAAQQKERSDSV >Potri.001G089900.4.v4.1 pep chromosome:Pop_tri_v4:1:7118525:7127209:-1 gene:Potri.001G089900.v4.1 transcript:Potri.001G089900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089900.v4.1 MIMEVDFVTSGILEEELPLEVDENIHDNYNFEGERCGICMDIVIDRGVLDCCHHWFCFGCIDNWATITNLCPLCQNEFQSITCVPVYDTIGNNKVDEDSLSRDDDWSIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSANIEEESNLDTSIACDSCDIWYHAFCVGFDAEGTSEDTWLCPRCTVGEVPQKSDVASLQKPNNQCYSENSHSSSFAEAEAAFSGKMSVSIADAGETAVVVSMVGGTKWTEEPSKPTLEVDEDLMDDAVKPDGNSYKVERQSSKKTDVQPTVEAPELELSLSCDASFSHLSTSLVLAELKTICDDGTVNEPIIGDGVKNSLRKLFNDSLARNKLSGKESSEGLHLGLSLGCSSSGYIKTNETEDQGTIEVQQQSLSEESLLRRDEKILPVANEEAMKIIGVKRKHATCSDDAVKTADDNEDNAKNEAAVLAKKTRVSRKLQITPKGQDSAFLPEDSQKCPAKIAVLKNVKLKRSLEKQDVTSDIMSVVKGTGRRTLKGLAHQSPPDKSSKEGENAAGLRVKKIMRRAVEDKESSVVVQNLRKEIREAVRNRSSDEIGENLFDPKLLAAFRTAVAGSTAEPVKKLPPSSLKAKKSLLQKGKVRENLTKKIYGDSNGRRKRAWDRDCDVEFWKYRCMRVTKPEKIATLKSVLTLLRKNPEGSEMDQGYEFQETNPILSRLYLADTSVFPRKDDIKPLLASTTTSNTEQNKAQEISMDKVRKLSPDDHTLKSAGANKVSSKLVVPSIHDKGLKDKVLSTNCQPASSKAQPGGFSKVNSQKEKGAQSDDKRMDKRKWALEVLARKKAVSGKTAADEKQEDNAVLKGNYPLLAQLPIDMRPVLASCRHNKIPISVRQTQLYRLTEHFLRKVNLPEIRKTAETELAVADAINIEKEVADKANSKIVYLNLCSQEIMRHSDDRKSNRATVSNSSPSAVTVDRLEQDIDELPTDPAVLDALRNAGLLSDSPPSSPHHKMEVSNEVDDSSMQIKEEGPDNVFEMDSHPDVDIYGDFEYDLEDEDYIGATNLTVPKLIVEEGESRMKVVFSTLKSEMPNNFQDLEGCLTLGNNEELKDSASSPKIHVDAGIISTTMEGGTNRSCADSEPLPGEEGEEPSLAECDELYGPDKEPLINKFPEEASRNLHELTDPEASTKHKGSGENENNSSRQDGNTNATSAGHTCDGETTCDHSQTAESGRKKDSSKTNTNKQGDIINSVSKKVEAYIKEHVRPLCKSGIITAEQYRWAVAKTTDKVMKYHLNAKNANFLIKEGEKVKKLAEQYVEAAQQKERSDSV >Potri.001G089900.2.v4.1 pep chromosome:Pop_tri_v4:1:7118256:7127214:-1 gene:Potri.001G089900.v4.1 transcript:Potri.001G089900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089900.v4.1 MIMEVDFVTSGILEEELPLEVDENIHDNYNFEGERCGICMDIVIDRGVLDCCHHWFCFGCIDNWATITNLCPLCQNEFQSITCVPVYDTIGNNKVDEDSLSRDDDWSIEGKNNTLSFPSYYIDENAVICLDGDGCKIRSGSANIEEESNLDTSIACDSCDIWYHAFCVGFDAEGTSEDTWLCPRCTVGEVPQKSDVASLQKPNNQCYSENSHSSSFAEAEAAFSGKMSVSIADAGETAVVVSMVGGTKWTEEPSKPTLEVDEDLMDDAVKPDGNSYKVERQSSKKTDVQPTVEAPELELSLSCDASFSHLSTSLVLAELKTICDDGTVNEPIIGDGVKNSLRKLFNDSLARNKLSGKESSEGLHLGLSLGCSSSGYIKTNETEDQGTIEVQQQSLSEESLLRRDEKILPVANEEAMKIIGVKRKHATCSDDAVKTADDNEDNAKNEAAVLAKKTRVSRKLQITPKGQDSAFLPEDSQKCPAKIAVLKNVKLKRSLEKQDVTSDIMSVVKGTGRRTLKGLAHQSPPDKSSKEGENAAGLRVKKIMRRAVEDKESSVVVQNLRKEIREAVRNRSSDEIGENLFDPKLLAAFRTAVAGSTAEPVKKLPPSSLKAKKSLLQKGKVRENLTKKIYGDSNGRRKRAWDRDCDVEFWKYRCMRVTKPEKIATLKSVLTLLRKNPEGSEMDQGYEFQETNPILSRLYLADTSVFPRKDDIKPLLASTTTSNTEQNKAQEISMDKVRKLSPDDHTLKSAGANKVSSKLVVPSIHDKGLKDKVLSTNCQPASSKAQPGGFSKVNSQKEKGAQSDDKRMDKRKWALEVLARKKAVSGKTAADEKQEDNAVLKGNYPLLAQLPIDMRPVLASCRHNKIPISVRQTQLYRLTEHFLRKVNLPEIRKTAETELAVADAINIEKEVADKANSKIVYLNLCSQEIMRHSDDRKSNRATVSNSSPSAVTVDRLEQDIDELPTDPAVLDALRNAGLLSDSPPSSPHHKMEVSNEVDDSSMQIKEEGPDNVFEMDSHPDVDIYGDFEYDLEDEDYIGATNLTVPKLIVEEGESRMKVVFSTLKSEMPNNFQDLEGCLTLGNNEELKDSASSPKIHVDAGIISTTMEGGTNRSCADSEPLPGEEGEEPSLAECDELYGPDKEPLINKFPEEASRNLHELTDPEASTKHKGSGENENNSSRQDGNTNATSAGHTCDGETTCDHSQTAESGRKKDSSKTNTNKQGDIINSVSKKVEAYIKEHVRPLCKSGIITAEQYRWAVAKTTDKVMKYHLNAKNANFLIKEGEKVKKLAEQYVEAAQQKERSDSV >Potri.001G089900.6.v4.1 pep chromosome:Pop_tri_v4:1:7118297:7122348:-1 gene:Potri.001G089900.v4.1 transcript:Potri.001G089900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089900.v4.1 MSVVKGTGRRTLKGLAHQSPPDKSSKEGENAAGLRVKKIMRRAVEDKESSVVVQNLRKEIREAVRNRSSDEIGENLFDPKLLAAFRTAVAGSTAEPVKKLPPSSLKAKKSLLQKGKVRENLTKKIYGDSNGRRKRAWDRDCDVEFWKYRCMRVTKPEKIATLKSVLTLLRKNPEGSEMDQGYEFQETNPILSRLYLADTSVFPRKDDIKPLLASTTTSNTEQNKAQEISMDKVRKLSPDDHTLKSAGANKVSSKLVVPSIHDKGLKDKVLSTNCQPASSKAQPGGFSKVNSQKEKGAQSDDKRMDKRKWALEVLARKKAVSGKTAADEKQEDNAVLKGNYPLLAQLPIDMRPVLASCRHNKIPISVRQTQLYRLTEHFLRKVNLPEIRKTAETELAVADAINIEKEVADKANSKIVYLNLCSQEIMRHSDDRKSNRATVSNSSPSAVTVDRLEQDIDELPTDPAVLDALRNAGLLSDSPPSSPHHKMEVSNEVDDSSMQIKEEGPDNVFEMDSHPDVDIYGDFEYDLEDEDYIGATNLTVPKLIVEEGESRMKVVFSTLKSEMPNNFQDLEGCLTLGNNEELKDSASSPKIHVDAGIISTTMEGGTNRSCADSEPLPGEEGEEPSLAECDELYGPDKEPLINKFPEEASRNLHELTDPEASTKHKGSGENENNSSRQDGNTNATSAGHTCDGETTCDHSQTAESGRKKDSSKTNTNKQGDIINSVSKKVEAYIKEHVRPLCKSGIITAEQYRWAVAKTTDKVMKYHLNAKNANFLIKEGEKVKKLAEQYVEAAQQKERSDSV >Potri.011G046112.1.v4.1 pep chromosome:Pop_tri_v4:11:3615920:3617089:1 gene:Potri.011G046112.v4.1 transcript:Potri.011G046112.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046112.v4.1 MTVDAAIEAIAASLKDPKDLLVLFLHSSISFFFFWCSLFSFSETVCQVFLFLLFIKPRDTSSQLPHLFCFSFKISVLGLFVLFMRIEDFCLLIDMGFLIGSFGCVNSYSKKQCRALFWWLKAAVKKAVKKNGGKKRFKFHYDPSSYALNFDDGCCNLGASGDAFKHGNTNTDTNILQESHLILWVYVLWVEEP >Potri.007G143700.1.v4.1 pep chromosome:Pop_tri_v4:7:15301124:15303166:-1 gene:Potri.007G143700.v4.1 transcript:Potri.007G143700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G143700.v4.1 MASDIRGEVSNKQVVLKNYACGFPKESDFEVTTTTIKLKVPEDSKNAVLLKTLYLSCDPYLRGRMRYTPVTDPDFCSYTLGSPISGFAVATVVDSGHPDFKKGDLVWGRRVGWEEYSLVTTPECLTKILHIDVPLSYYTGILGMPGITAYFGFFEICSPKKGESVYVSAASGAVGQLVGQIAKLMGCYVVGSAGSKEKVELLKSKFGFDGAFNYKEEHDLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRLRGRIAACGMISQYNLHQPETIQNLTNIVYKRIRIQGFVVMDYFDQYSKFLDFILPCIREGKIVYVEDIAEGLESGPAALIGLFSGRNIGKQVVKVTDE >Potri.016G067000.3.v4.1 pep chromosome:Pop_tri_v4:16:4722687:4728746:-1 gene:Potri.016G067000.v4.1 transcript:Potri.016G067000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067000.v4.1 MGKSGKISQFRERLDKTLASPELTNLDALKTLIRNQLARSSPGETEGFSDNLIENRTNHVSSFLDMLRSASVSESEVSRNSETSHGEWKVKEDHEEFRVMYRPGPQGTPFHSLLVEGYVDGTVDTCLCISWEATLYRKWWPQYSFPPFRITICECLQRIRIGEQISLVRVKVTWPLTARETVVHYVLFEYLQDGLVVVVASTISDLEGIDKTTHGFSKDGIPEAKDVVRIDVMGGFAIQKVTSERSYFRTIANMDLKLDFVRPSLINFISRQLVGNGFRLYQKAVASVSNYDEDYSKALKDPMYARIREALYSTENADVVVEEKVYNSDASILRKEHSSEDVEGNLGDVELNIHGDNDAIKDFSENAEVVVNKSFSEIEEENNEESRGLKGENLGGLELNNASKAFPCGAPLMDSKSFGEIKEDNDPESRHSVVEGKVYNSDASLLQKEHSTEDVEENLGDVELNIHGDNDAIEGFSENAEVVVNKSFSEIEEENNEESRGFKDENLGGLELNAASKAFPCSAPLMDSKSFGEIKEENDPESRHLKDRMRGEEQRVHCKDHGSASLQNIALATDRKTFIGIEEEKNENSRHSTRDCRVIGQPSSNKIALKSPENCTRNMRISSDVGRALETLEKAISVVREYSSSLTRSSSSKTNEETPNLDKDVEIDPTHLEDSGVCPKAGVSAEVSAEVSDKGRPVERNSHESRNSSSNLDISRAGSREINHNKITPESPDQYISVPNETNHVPLYSSQSQKDGTAEVQTMDITAQGNKQTSLEANGIHENVFHEGKKSTRQKSYRYCCCGSRYE >Potri.008G152300.4.v4.1 pep chromosome:Pop_tri_v4:8:10463853:10467184:1 gene:Potri.008G152300.v4.1 transcript:Potri.008G152300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152300.v4.1 MNGLTSLETDYLEFVEVDPTGRYRRYNEILGKGASKTVYKAFDEYEGIEVAWNQVRLCDSLQRPEDLERLYCEIHLLKTLNHENIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAAHCVGTPEFMAPEVYGEEYNELVDIYSFGMCVLEMVTFDYPYSECNHAGQIYKKVVSGKKPDSLYKVKDPDVRQFVEKCLATVSTRLSARELLNDPFLLIDDCGFDLRPIDYYQGDLNGAGPLVTQPLYGIHCSNSSLTNGYTDYLGYDLENEIEYHQLELETSPIDLFICQEDEHLGNVDIAIKGRWREDDDIFLRLRVADKEGHIRNIYFPFDIETDTAFSVATEMFDELGITDQDVLKIADMIDGEISTLVPEWKRGMGIEESPQCTDASFCQNCASHGNLQDYFSSNSSGTRNLEVLPCSKHGCAAVHGRFEEITYQVKGPEQSTSTDCAPVSPSQSTSINCTDIWAQRDAPNSQGSREIHCGQGHSTTNHTIFEEQERIVNMDTLRESNARKSTCENPSAFSTTHWDDHENEIRQELRWLKAKYQMQLRELKDQQLGVKSKPSSLSPNSDNMEHQKDADKRCADSANKEVQNCEEINKAFSPEQIITAKNFYAGALLPHSLHRATSLPVDAVDV >Potri.008G152300.7.v4.1 pep chromosome:Pop_tri_v4:8:10463945:10467185:1 gene:Potri.008G152300.v4.1 transcript:Potri.008G152300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152300.v4.1 MNGLTSLETDYLEFVEVDPTGRYRRYNEILGKGASKTVYKAFDEYEGIEVAWNQVRLCDSLQRPEDLERLYCEIHLLKTLNHENIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILKGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILKKSYAAHCVGTPEFMAPEVYGEEYNELVDIYSFGMCVLEMVTFDYPYSECNHAGQIYKKVVSGKKPDSLYKVKDPDVRQFVEKCLATVSTRLSARELLNDPFLLIDDCGFDLRPIDYYQGDLNGAGPLVTQPLYGIHCSNSSLTNGYTDYLGYDLENEIEYHQLELETSPIDLFICQEDEHLGNVDIAIKGRWREDDDIFLRLRVADKEGHIRNIYFPFDIETDTAFSVATEMFDELGITDQDVLKIADMIDGEISTLVPEWKRGMGIEESPQCTDASFCQNCASHGNLQDYFSSNSSGTRNLEVLPCSKHGCAAVHGRFEEITYQVKGPEQSTSTDCAPVSPSQSTSINCTDIWAQRDAPNSQGSREIHCGQGHSTTNHTIFEEQERIVNMDTLRESNARKSTCENPSAFSTTHWDDHENEIRQELRWLKAKYQMQLRELKDQQLGVKSKPSSLSPNSDNMEHQKGNVRSLPLMLPKEKRGNNEAALKFLSSAKNFTSYFPTDADKRCADSANKEVQNCEEINKAFSPEQIITAKNFYAGALLPHSLHRATSLPVDAVDV >Potri.009G138900.1.v4.1 pep chromosome:Pop_tri_v4:9:11140799:11147372:1 gene:Potri.009G138900.v4.1 transcript:Potri.009G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138900.v4.1 MEKFTELIHLFVTVFLSTFGSLMVLPAVTDVTMVAVCPGQDECSLAIYLTGFQQASIGMGTVVMLPLIGNLSDQYGRKALLTLPMTLSIIPLVILAYSRTTGFFYAYYALRTLTAMICEGSIDCLALAYVADNVLERQRTSVFGILSGITTAALVCGTLAARFLSTALTFQVAALLSMLAAVYMRIFLEESLPQSENLTQPILKSGQDDHCQDDGDLPRKPMVSKKIPSIQAIISLLKSSVTFSQAAIVAFFHSLSYGGLQASIMYYLKARFHFSKNQYADLMLLVGVSGMASQLLFMPLLAPLVAEEKLLAIGLLGGIANALLYSVACSAWVPYATTIFSVFAVFIPPCLRSIVSKQIGPNEQGKAQGCISGIISLANIISPLIYSPLTALFLSEDAPFNFPGFIFVCIGFAIMIAFFQSLLIRAAPPISSHKSSSSSILA >Potri.009G120500.1.v4.1 pep chromosome:Pop_tri_v4:9:10091519:10095664:1 gene:Potri.009G120500.v4.1 transcript:Potri.009G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120500.v4.1 MSSEVEEAARRRTAIAEYRKKLLNHKELESRVRTVRENLRAAKKEFAKTEDDLKSLQSVGQIIGEVLRPLDNERLIAKASSGPRYVVGCRSKVDKEKLTAGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFLRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGDIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESSAHYNADFGKD >Potri.003G014900.1.v4.1 pep chromosome:Pop_tri_v4:3:1656668:1658588:-1 gene:Potri.003G014900.v4.1 transcript:Potri.003G014900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014900.v4.1 MLFFSYFKDLVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDVDLLHDATRREARGG >Potri.009G139800.1.v4.1 pep chromosome:Pop_tri_v4:9:11196221:11198099:-1 gene:Potri.009G139800.v4.1 transcript:Potri.009G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139800.v4.1 MAVVKCAIELGIADAIENNEGPMTLSELSSSLGCAPSSLYRIMRFLVHHNIFIEKPSSQGTTVYVQTALSRRLLKKGEKSMVDLLLLESSHVMMAPWHNLSSRVLNDNNSPFEGAHGDDIWKYALANPVHSKLIDDAMACDAKLVVPEIVEGFPEVFDGVKTLVDVGGGNGTTLQMLVKAFPWIQGINFDLPHVVSVASESEGVKHVGGDFFESVPKADAAFLMWVLHDWNDEECIQILKNCKEAIQSDKGKVIIVEAVVGEEKGDKLEFVRLMLDMVMMSHTDAGKERTSKEWGYVLKEAGFSSYTIKPIRAVQSVIVASP >Potri.011G140501.2.v4.1 pep chromosome:Pop_tri_v4:11:17117670:17118805:-1 gene:Potri.011G140501.v4.1 transcript:Potri.011G140501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140501.v4.1 MDNFMGVNIFKEPYVNDLSRKIWTTKGEELEAAKKGFFECLKLLEGELGEKPYFGGETLGYVDIAFLPFCCGFSTYETIGNFSIEAQLMSQDHCMG >Potri.011G140501.3.v4.1 pep chromosome:Pop_tri_v4:11:17117671:17119479:-1 gene:Potri.011G140501.v4.1 transcript:Potri.011G140501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140501.v4.1 MFWADFIDKKVNDLSRKIWTTKGEELEAAKKGFFECLKLLEGELGEKPYFGGETLGYVDIAFLPFCCGFSTYETIGNFSIEAQLMSQDHCMG >Potri.011G140501.1.v4.1 pep chromosome:Pop_tri_v4:11:17117671:17119479:-1 gene:Potri.011G140501.v4.1 transcript:Potri.011G140501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140501.v4.1 MMCGGTRLLCYLLILMKELSPCSGLILLIKRNLTKIWTTKGEELEAAKKGFFECLKLLEGELGEKPYFGGETLGYVDIAFLPFCCGFSTYETIGNFSIEAQLMSQDHCMG >Potri.011G140501.4.v4.1 pep chromosome:Pop_tri_v4:11:17117670:17118805:-1 gene:Potri.011G140501.v4.1 transcript:Potri.011G140501.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140501.v4.1 MDNFMGVNDLSRKIWTTKGEELEAAKKGFFECLKLLEGELGEKPYFGGETLGYVDIAFLPFCCGFSTYETIGNFSIEAQLMSQDHCMG >Potri.006G060000.1.v4.1 pep chromosome:Pop_tri_v4:6:4238576:4240297:-1 gene:Potri.006G060000.v4.1 transcript:Potri.006G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060000.v4.1 MRLSVVVSLLLLSFLLQQAQGIRLEKGFKQVGAQKVQAEKSPSKEKSNDGGVLGEAILCKEGQCTGMNRKLIAVTTTTSSSTTITISKNEKNGENKADHISKGRSTNGEIVGENEKLSVNSSPNSEHRVTKDHYEEIMDLTEMDYSPARRKPPIHN >Potri.006G109000.1.v4.1 pep chromosome:Pop_tri_v4:6:8468051:8472672:-1 gene:Potri.006G109000.v4.1 transcript:Potri.006G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109000.v4.1 MEQRGIRATKTCSQGFWISLLVFLCMITTTQVEGSIHEYRNEAFTPKTNAFFFHGGSEGLYASKAPPDSSGTTQSGKSFIRFEGVTFRRTKESASRQEGMQQSTGVVEAIILDVKDRKRIGGAFLKTNAICCNSTLAETGSCKLGEVILQKNPDIPSWPRVIKTHFAGKIEEVKMGSEEVEINSNGMYYLYFMFCNPELKGTLINGRTVWKNPNGYLPGKMTPLMTVFGIMSLAYLVLGLVWFLRFVQFWKDIIQLHYHITAVIALGMCEMAVWYFEYANFNSAGLRPMGITLWAVTFTTVKKTLSRLLLLVVSMGFGVVRPTLGGITSKVLVLGLVYFIASEAFELVEHLGNINDFSKKTKVFMVLPVVFLDSCFILWIFSSLSKTLEKLQMRRNMAKLELYRKFTNALAVSVLLSIAWIGFELYFNATDPLSELWQVAWVIPAFWTLLAYFLLVVICVLWAPSRNPTRYAYLEGEDFDEEGISLTTGDITMKLERDALVEDLEEDKQE >Potri.006G109000.2.v4.1 pep chromosome:Pop_tri_v4:6:8469539:8472483:-1 gene:Potri.006G109000.v4.1 transcript:Potri.006G109000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109000.v4.1 MQQSTGVVEAIILDVKDRKRIGGAFLKTNAICCNSTLAETGSCKLGEVILQKNPDIPSWPRVIKTHFAGKIEEVKMGSEEVEINSNGMYYLYFMFCNPELKGTLINGRTVWKNPNGYLPGKMTPLMTVFGIMSLAYLVLGLVWFLRFVQFWKDIIQLHYHITAVIALGMCEMAVWYFEYANFNSAGLRPMGITLWAVTFTTVKKTLSRLLLLVVSMGFGVVRPTLGGITSKVLVLGLVYFIASEAFELVEHLGNINDFSKKTKVFMVLPVVFLDSCFILWIFSSLSKTLEKLQVIFSYLSFQSFK >Potri.019G127500.1.v4.1 pep chromosome:Pop_tri_v4:19:15066948:15071028:1 gene:Potri.019G127500.v4.1 transcript:Potri.019G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G127500.v4.1 MENKPSVLTQKYEVGRLLGQGTFAKVYFARNIKTNQSVAIKLIEKEKVLRVGLVDQIKREIYVMRLVRHPNIIKLYEVLATKSKIYFVMEYAKGGELFNKVSKGRLKEDVAWKYFQQLINAVDFCHSRDVYHRDIKPENLLLDENENLKISDFGLSALAESKRQDGLLHTTCGTPAYVAPEVIKRKGYDGAKADIWSCGVVLFVLLAGYLPFHDTNLMEMYRKIDKAEFKCPNWFPTDARKLLRKILDPNPNTRISIAEIKESSWFRKGLPRQSKTETVGREAAALGRNGSGPSENSSVACEAKQESAKPPYLNAFDIISLSAGFDLSGLFDDKYPNREARFTSAQPASVVISKLEDISKCLKLKVMKKDAGLLKMEGMKEGRKGLLAIDAEIFELTPNFHLVELKKTNGDTLEYQKMLNEDIRPALKDIVWLWQGEQEQQQQQQEPQQQQLQLQQ >Potri.010G149400.2.v4.1 pep chromosome:Pop_tri_v4:10:15963696:15967398:-1 gene:Potri.010G149400.v4.1 transcript:Potri.010G149400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149400.v4.1 MALIDRPFLSLLCIYLFLGSLVIPCIKALETNPETQESDRVINLPGQPSNPSISQFSGYVTVNKEHGRALFYWFFEAQSETSKKPLLLWLNGGPGCSSIGYGAASELGPLRVSKDGAGVYFNEYAWSKEANILFLESPVGVGFSYTNTSSDLTLLDDNFVAEDAYTFLVKWLQRFPQYKSRDFFISGESYAGHYVPQLAELVYDRNKDKTKYPLINLKGFIVGNPETNDYYDYKGLLEYAWSHAVISDQIYDKAKQVCDFTVSNWSSDCNDAMNLVFEKYNEIDIYNIYAPTCLINTTSSSIGSNDSLTKVNNYMIRRLRIPGGYDPCYSTYTEEYFNRADVQSSLHAKISGNSRGKWRVCNALILYKYNFTVFSVLPIYTKLIKGGLKIWIYSGDADGRVPVIGSRYCIEALGLPLKSAWRSWFHNHQVGGRIVEYEGLTFVTVRGAGHLVPLNKPGEALSLIHSFLSGEPLPTRK >Potri.010G149400.4.v4.1 pep chromosome:Pop_tri_v4:10:15963417:15967380:-1 gene:Potri.010G149400.v4.1 transcript:Potri.010G149400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149400.v4.1 MALIDRPFLSLLCIYLFLGSLVIPCIKALETNPETQESDRVINLPGQPSNPSISQFSGYVTVNKEHGRALFYWFFEAQSETSKKPLLLWLNGGPGCSSIGYGAASELGPLRVSKDGAGVYFNEYAWSKEANILFLESPVGVGFSYTNTSSDLTLLDDNFVAEDAYTFLVKWLQRFPQYKSRDFFISGESYAGHYVPQLAELVYDRNKDKTKYPLINLKGFIVGNPETNDYYDYKGLLEYAWSHAVISDQIYDKAKQVCDFTVSNWSSDCNDAMNLVFEKYNEIDIYNIYAPTCLINTTSSSIGSNDSLTKVNNYMIRRLRIPGGYDPCYSTYTEEYFNRADVQSSLHAKISGNSRGKWRVCNALILYKYNFTVFSVLPIYTKLIKGGLKIWIYSGDADGRVPVIGSRYCIEALGLPLKSAWRSWFHNHQVLFALPSFPCFFPSIKCYNIILLYFIERFFQKPRKKRKKRRRDLLAEPTSLMR >Potri.006G134450.1.v4.1 pep chromosome:Pop_tri_v4:6:11043047:11046984:-1 gene:Potri.006G134450.v4.1 transcript:Potri.006G134450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134450.v4.1 MIALFRKNREEGTYTMLGNGVVGILSESVNKWERRTPLTPSHCARLLHGGKDKTGVARIIVQPSTKRIHHDAMYEDVGCEISDDLSECGLIVGIKQPKVLAQRVSLYDYELIVGDHGKRLLAFGKFAGRAGFIDFLGGLGKRYLSLGYSTPFLSLGEAYMYSSLAAAKAAVISVGEEIATFGLPSGICPLVFIFTGSGNGNVSHGAQEIFKLLPHTFVDPSRLPELFAQGRDVIPPEKASKRVFQVYGCVVSLVKTWLNS >Potri.001G088800.3.v4.1 pep chromosome:Pop_tri_v4:1:7067970:7070493:1 gene:Potri.001G088800.v4.1 transcript:Potri.001G088800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088800.v4.1 MENNQQSFYQFSDQLRVQTSNLANLSLNDSIWSNSYGSKRPDERRNFDIRVGGEVNNLKQKGSDLNSFSDGWNPINDIKQKGSGLNLFNDGWNSVNDLKPKGSNLNLFDDGWSSVNDLKPKGSNLNVFSDGYNLKPKGSDLNVFNDGWKMGSSANNYGVSPIGPIGHFVGGSQKNIGINGGFNKGIYSKNNNIHNSNVNVSVKGNKNKGDDDFGSKSSKKNSSKKSNSNENNDSKSAADKRFKTLPPSESLPRYETIGGYIFVCNNDTMAENLKRELFGLPPRYRDSVRQITPGLPLFLYNYSTHQLHGVFEAASFGGTNIDPAAWEDKKCPGESRFPAQVRVMTRKICAPLEEDSFRPILHHYDGPKFRLELNIPEALSLLDIFEEQNP >Potri.007G042500.1.v4.1 pep chromosome:Pop_tri_v4:7:3628267:3632498:1 gene:Potri.007G042500.v4.1 transcript:Potri.007G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042500.v4.1 MSGRVKKEVEETDLIKQQPFHEENRKYVEDGDGKQKSPMETTAKRLKIKRRYSDSKLKFVISGQAGKCICCRQNRAPVERSNTVGETRSSALIRAEEVQSNLEPAFPSFVKSLVRSHVASCFWMGLPGQFCRAHLPHVDATVTLQDECGKEFKMKYIAYKTGLSAGWRQFCVAHRLFEGDVLVFQLIESCTFKVYVIRANDLTEVDGALGLLNLDAQIKQNIADNAERGNTASKSSKRKRPRSLPLAIVQKKNKKSGQPRPSVLQAGQPAEQSENDSEEVGSEVLEGFKLSLPAVQFKDIKSFEDFNILVDGLLLDSELSEDIRNKYYKLCCSQNAFLHDNLIKGVNLKLIAGIISETVNIADAMRACTLNTSRDEFATWDKALKASELFGMNVGFLRSKLGLLLSLAFDSEGATKTRRYIEARFKRVRTEDEIINLEAKLVELKETYERYGADVERLKSKAEGYELKFQEQVLAPW >Potri.007G042500.2.v4.1 pep chromosome:Pop_tri_v4:7:3628440:3632488:1 gene:Potri.007G042500.v4.1 transcript:Potri.007G042500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042500.v4.1 MSGRVKKEVEETDLIKQQPFHEENRKYVEDGDGKQKSPMETTAKRLKIKRRYSDSKLKFVISGQAGKCIWQNRAPVERSNTVGETRSSALIRAEEVQSNLEPAFPSFVKSLVRSHVASCFWMGLPGQFCRAHLPHVDATVTLQDECGKEFKMKYIAYKTGLSAGWRQFCVAHRLFEGDVLVFQLIESCTFKVYVIRANDLTEVDGALGLLNLDAQIKQNIADNAERGNTASKSSKRKRPRSLPLAIVQKKNKKSGQPRPSVLQAGQPAEQSENDSEEVGSEVLEGFKLSLPAVQFKDIKSFEDFNILVDGLLLDSELSEDIRNKYYKLCCSQNAFLHDNLIKGVNLKLIAGIISETVNIADAMRACTLNTSRDEFATWDKALKASELFGMNVGFLRSKLGLLLSLAFDSEGATKTRRYIEARFKRVRTEDEIINLEAKLVELKETYERYGADVERLKSKAEGYELKFQEQVLAPW >Potri.014G182232.1.v4.1 pep chromosome:Pop_tri_v4:14:14292856:14295441:-1 gene:Potri.014G182232.v4.1 transcript:Potri.014G182232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182232.v4.1 MNPYEHKHLRFTCQASIVDFDFPNGWWYPSCPKCNKKLSGGENNYTCMDHDAITSLPIPWFRLECIVTDGEDVTNFLLFGKTAENFFGSSAHHYVYNKKFIDPSVLPPAMAAKLNKSMIFQLRFGAFRSITNRCEVIITNIFDDSTNKSIHPLETATPEAKSSPTSKTSTPLSYMKQVLKAPSTPQNTVTQLRIAPDSLETPPQNISPNNETSINSEARCVLDFEDATQQLSHEDEHDKPIEVQGNLGNIATSETFDHSLPPLKKQRATSSSSSKKN >Potri.005G021300.1.v4.1 pep chromosome:Pop_tri_v4:5:1368251:1369249:-1 gene:Potri.005G021300.v4.1 transcript:Potri.005G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021300.v4.1 MMFVIIVLLTLLSHAPALDVCPKCGNMEVPYPLSTSDNCGNPRYRIYCNSGALEFLSAQGFYYRILSINPSVRKLVISPPLIVKNTCCSSDLSLGGLSLNENLSFNISTHNTVMLFNCSDNILLSPLNCSSTSFCRQYEEVGEGSGCKGTLCCHFLKDTAMTSHRIRVRAGGCTAYTSVVDIKPGDPIDRWNYGIELQWLPPL >Potri.006G164100.1.v4.1 pep chromosome:Pop_tri_v4:6:15944085:15947755:1 gene:Potri.006G164100.v4.1 transcript:Potri.006G164100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G164100.v4.1 MCMNFFHRRLLGLSKPHAFKHLHYSLAPKPTHFDSQFPTKQPPSSHHSNQDPSKTIATQLSNCTSLQELNQTYAHVIRTHVLDVYTAPFHWNNLIRCYTRLGAPNKALQIYVSMSHAGISPDNYTLPIVLKAACQCFSMELGRQLHSIAIRLGLELNEYCESGFISLYSKSGDFGDAYKVFEENLERKLGSWNAIIGGLCQGGRAKEVIEMFIEMRSCGFKPDDVTMVSVTSACGILGDLHLALQLHKYVFQAKSFVKPDMLMLNSLIDMYGKCGRMDLAYMVFSRMDQRNVSSWTSMIVGYAMHGRANEAIECFSCMRDAGVRPNHVTFIGVLSACGHGGQVQEGRHYFDMMRNDYGIMPRLQHYGCLVDLLGRAGLLKEGREIVEGMPMKANVVIWGCLLSACEKYSNVQMGEWVAKHLLDLEPWNDGVYVVLSNIYASRGLWLEVERVRLIMKQRTLAKIPAYSLATTSN >Potri.004G149100.4.v4.1 pep chromosome:Pop_tri_v4:4:17132843:17134882:1 gene:Potri.004G149100.v4.1 transcript:Potri.004G149100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149100.v4.1 MEKKKGYLAMRTDQEMASGLITSDFQDFANAAKKLANHAIKLGGLGFGTTFLQWIASFAAIYLLILDRTNWKTNILTGLLIPYIFFTLPSILFNVFRGQIGRWIAFVALILRLFFPKHFPDWLELPSALILLIVVAPSLFASTIRNDWIGVVICLVIACYLLQEHIRACGGFRNSFTKANGISNIVGIILLFVYPAWAIVLYIL >Potri.003G121600.1.v4.1 pep chromosome:Pop_tri_v4:3:14261667:14264867:-1 gene:Potri.003G121600.v4.1 transcript:Potri.003G121600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121600.v4.1 MAISASTTTFTSTNLLYPHHSTTTSTTSTPTFSLTPTSKLPSKSILSSSFLPTLTPTSSSSPLTTTRHRFLTVRAARGKFERKKPHVNIGTIGHVDHGKTTLTAALTMALASMGGSAPKKYDEIDAAPEERARGITINTATVEYETESRHYAHVDCPGHADYVKNMITGAAQMDGAILVVSGADGPMPQTKEHILLAKQVGVPNMVVFLNKQDQVDDEELLQLVELEVRELLSSYEFPGDDIPIISGSALLALEALMENPAIKRGENQWVDKIYELMDNVDNYIPIPQRQTDLPFLLAVEDVFSITGRGTVATGRVERGTIKTGDTVDIVGLRETRNVTVTGVEMFQKILDEALAGDNVGLLLRGVQKADIQRGMVLSKPGSITPHTKFEAIVYVLKKEEGGRHSPFFAGYRPQFYMRTTDVTGRVATIMNDKDEESKMVMPGDRVKMVVELIMPVACEQGMRFAIREGGKTVGAGVIQSIIE >Potri.009G102300.1.v4.1 pep chromosome:Pop_tri_v4:9:9025785:9028690:1 gene:Potri.009G102300.v4.1 transcript:Potri.009G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102300.v4.1 MSLSIFLLWLVCIPLSVHPLPAPGGFQLNCGASEDITHGNLKYIPDKGFISVGNKSAIKTADVLPVLSTLRFFPDTSAKKYCYVLPVIKGGKYLVRTTYYYGGYDGGKEPPVFDQIIQGTKWSTVNTTEDYANGMSSYYEIIVASLAKTLSVCLARNVHTTSSPFISALEIEYLGNSVYNSTDFSKYALVTVARDNFGADEEIIGFPDDQFNRLWQPYIDQNPVVECQNNISSSEFWNFPPQRAFASAITTSRGKTIKIQWPPVSLPSTKYYIALYFQDNRTPSPYSWRVFSVSINGQNFYKDLNVTANGVTVYGSEWPLSGQTEITLTPGNNIPVGPVINAGEIYHILPLGGRTLTRDVMAMENLARRFVNPPSDWSGDPCLPPENSWTGVKCSQDKLARVVALNLTSMGISGSLPSSLANLTAVTHIWLGGNKLSGSIPNLSTLKELQTLHLENNKLEGTIPQSLGQLGQLHELFLQNNNLDGRVPDSLRNKKGLNIQVSPGNHHSS >Potri.009G033201.1.v4.1 pep chromosome:Pop_tri_v4:9:4374241:4375679:-1 gene:Potri.009G033201.v4.1 transcript:Potri.009G033201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033201.v4.1 MPTKFLLLSTLVLPLQSMVFIKLSQHFSLSLSLSLSLFSQRFEKIEEEIEMLQCKLKNIEKGIAFSGKKTKTARSQGRKIQITVEHERPRHGLTYNITIMV >Potri.009G033201.2.v4.1 pep chromosome:Pop_tri_v4:9:4375269:4375679:-1 gene:Potri.009G033201.v4.1 transcript:Potri.009G033201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033201.v4.1 MPTKFLLLSTLVLPLQSMVFIKLSQHFSLSLSLSLSLFSQRFEKIEEEIEMLQCKLKNIEKGIAFSGKKTKTARSQGRKIQITVEHERPRS >Potri.018G022200.7.v4.1 pep chromosome:Pop_tri_v4:18:1612114:1616654:1 gene:Potri.018G022200.v4.1 transcript:Potri.018G022200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022200.v4.1 MASTMISLASATPSASLSVQETLKGKMRLGSSSVSTLFNKEKGNPSIKKKSFGRISMTVAVNVSRFEGIAMAPPDPILGVSEAFRADIDVKKLNLGVGAYRTEELQPYVLDVVKKAENLMLERGENKEYLAIEGLAAFNKVTAELLFGADNQVIEQQRVATVQGLSGTGSLRLAAALIERYFPGAQVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDADASSVRLFAARGMELLVAQSYSKNLGLYAERIGAINVVCSSADAAARVKSQLKRIARPMYSNPPVHGARIVANVVGDPALFNEWKAEMEMMAGRIKNVRQKLFDSLSAKDKSGKDWSFILKQIGMFSFTGLNKAQSDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >Potri.018G022200.6.v4.1 pep chromosome:Pop_tri_v4:18:1612310:1616362:1 gene:Potri.018G022200.v4.1 transcript:Potri.018G022200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022200.v4.1 MASTMISLASATPSASLSVQETLKGKMRLGSSSVSTLFNKEKGNPSIKKKSFGRISMTVAVNVSRFEGIAMAPPDPILGVSEAFRADIDVKKLNLGVGAYRTEELQPYVLDVVKKAENLMLERGENKEYLAIEGLAAFNKVTAELLFGADNQVIEQQRVATVQGLSGTGSLRLAAALIERYFPGAQVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDADASSVRLFAARGMELLVAQSYSKNLGLYAERIGAINVVCSSADAAARVKSQLKRIARPMYSNPPVHGARIVANVVGDPALFNEWKAEMEMMAGRIKNVRQKLFDSLSAKDKSGKDWSFILKQIGMFSFTGLNKAQSDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >Potri.018G022200.8.v4.1 pep chromosome:Pop_tri_v4:18:1612287:1616648:1 gene:Potri.018G022200.v4.1 transcript:Potri.018G022200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022200.v4.1 MASTMISLASATPSASLSVQETLKGKMRLGSSSVSTLFNKEKGNPSIKKKSFGRISMTVAVNVSRFEGIAMAPPDPILGVSEAFRADIDVKKLNLGVGAYRTEELQPYVLDVVKKAENLMLERGENKEYLAIEGLAAFNKVTAELLFGADNQVIEQQRVATVQGLSGTGSLRLAAALIERYFPGAQVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKNHIPFFDVAYQGFASGSLDADASSVRLFAARGMELLVAQSYSKNLGLYAERIGAINVVCSSADAAARVKSQLKRIARPMYSNPPVHGARIVANVVGDPALFNEWKAEMEMMAGRIKNVRQKLFDSLSAKDKSGKDWSFILKQIGMFSFTGLNKAQSDNMTNKWHVYMTKDGRISLAGLSLAKCEYLADAIIDSYHNVS >Potri.017G093966.3.v4.1 pep chromosome:Pop_tri_v4:17:10648123:10651263:-1 gene:Potri.017G093966.v4.1 transcript:Potri.017G093966.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093966.v4.1 MGEYDAAVPELEGEENLIAAAKQIVRALGSKRNLTDDAKKILAELGTQLTTITTISENEVDEISDDEGRLNVNQEKIMIWDTDQSMIWDLGPNEANEYINSADEVRKLTEKLEAMCLKDDGEKELLRRAHDVLQIAMARLEEEFKHMLIQNRQPFEPEHMSFRSSEEDAGSVASLGDESFEESQHRDSVSRNSEEYIVDLVHPYTIPELRCIANLMFISGYGHECSQAYVSVRRDALDEFLLILEIEKLSIEDVLRLEWGSLNSKIKRWVRTMKIFVRVYLASEKCLSEQIFGDLGTVNLVSFAEVSKASMLRLLNFGEAVSIGPHKPEKLFPILDMYEVLADLLPDIDSLYADEAGARVRIDCREVLRRLGDSVRAAFLEFENAISTSTSTNPIAGGGIHPLTKYVMNYLNALTGYRETLNFLLKDQDGEDTMSLSPDINPSTEEENARDGACDGSPLALHFRSVASILECNLDDKAKLYRDASLQHIFLMNNIHYMAQKVVNSNLQSILGDGWIRKHNWKFQQHEMNYERNTWSSILAILKEEGNSNSSRTLLKERFRNFYTAFEEVYRTQTAWSIPNGHLREDLRISTSLKVIQAYRTFVGRHTNQISDKHIKYSADDLQNYLLDLFEGSQRSLHNPHRRS >Potri.017G093966.2.v4.1 pep chromosome:Pop_tri_v4:17:10648082:10651290:-1 gene:Potri.017G093966.v4.1 transcript:Potri.017G093966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093966.v4.1 MGEYDAAVPELEGEENLIAAAKQIVRALGSKRNLTDDAKKILAELGTQLTTITTISENEVDEISDDEGRLNVNQEKIMIWDTDQSMIWDLGPNEANEYINSADEVRKLTEKLEAMCLKDDGEKELLRRAHDVLQIAMARLEEEFKHMLIQNRQPFEPEHMSFRSSEEDAGSVASLGDESFEESQHRDSVSRNSEEYIVDLVHPYTIPELRCIANLMFISGYGHECSQAYVSVRRDALDEFLLILEIEKLSIEDVLRLEWGSLNSKIKRWVRTMKIFVRVYLASEKCLSEQIFGDLGTVNLVSFAEVSKASMLRLLNFGEAVSIGPHKPEKLFPILDMYEVLADLLPDIDSLYADEAGARVRIDCREVLRRLGDSVRAAFLEFENAISTSTSTNPIAGGGIHPLTKYVMNYLNALTGYRETLNFLLKDQDGEDTMSLSPDINPSTEEENARDGACDGSPLALHFRSVASILECNLDDKAKLYRDASLQHIFLMNNIHYMAQKVVNSNLQSILGDGWIRKHNWKFQQHEMNYERNTWSSILAILKEEGNSNSSRTLLKERFRNFYTAFEEVYRTQTAWSIPNGHLREDLRISTSLKVIQAYRTFVGRHTNQISDKHIKYSADDLQNYLLDLFEGSQRSLHNPHRRS >Potri.017G093966.1.v4.1 pep chromosome:Pop_tri_v4:17:10648073:10651061:-1 gene:Potri.017G093966.v4.1 transcript:Potri.017G093966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093966.v4.1 MGEYDAAVPELEGEENLIAAAKQIVRALGSKRNLTDDAKKILAELGTQLTTITTISENEVDEISDDEGRLNVNQEKIMIWDTDQSMIWDLGPNEANEYINSADEVRKLTEKLEAMCLKDDGEKELLRRAHDVLQIAMARLEEEFKHMLIQNRQPFEPEHMSFRSSEEDAGSVASLGDESFEESQHRDSVSRNSEEYIVDLVHPYTIPELRCIANLMFISGYGHECSQAYVSVRRDALDEFLLILEIEKLSIEDVLRLEWGSLNSKIKRWVRTMKIFVRVYLASEKCLSEQIFGDLGTVNLVSFAEVSKASMLRLLNFGEAVSIGPHKPEKLFPILDMYEVLADLLPDIDSLYADEAGARVRIDCREVLRRLGDSVRAAFLEFENAISTSTSTNPIAGGGIHPLTKYVMNYLNALTGYRETLNFLLKDQDGEDTMSLSPDINPSTEEENARDGACDGSPLALHFRSVASILECNLDDKAKLYRDASLQHIFLMNNIHYMAQKVVNSNLQSILGDGWIRKHNWKFQQHEMNYERNTWSSILAILKEEGNSNSSRTLLKERFRNFYTAFEEVYRTQTAWSIPNGHLREDLRISTSLKVIQAYRTFVGRHTNQISDKHIKYSADDLQNYLLDLFEGSQRSLHNPHRRS >Potri.017G093966.5.v4.1 pep chromosome:Pop_tri_v4:17:10648268:10651234:-1 gene:Potri.017G093966.v4.1 transcript:Potri.017G093966.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093966.v4.1 MGEYDAAVPELEGEENLIAAAKQIVRALGSKRNLTDDAKKILAELGTQLTTITTISENEVDEISDDEGRLNVNQEKIMIWDTDQSMIWDLGPNEANEYINSADEVRKLTEKLEAMCLKDDGEKELLRRAHDVLQIAMARLEEEFKHMLIQNRQPFEPEHMSFRSSEEDAGSVASLGDESFEESQHRDSVSRNSEEYIVDLVHPYTIPELRCIANLMFISGYGHECSQAYVSVRRDALDEFLLILEIEKLSIEDVLRLEWGSLNSKIKRWVRTMKIFVRVYLASEKCLSEQIFGDLGTVNLVSFAEVSKASMLRLLNFGEAVSIGPHKPEKLFPILDMYEVLADLLPDIDSLYADEAGARVRIDCREVLRRLGDSVRAAFLEFENAISTSTSTNPIAGGGIHPLTKYVMNYLNALTGYRETLNFLLKDQDGEDTMSLSPDINPSTEEENARDGACDGSPLALHFRSVASILECNLDDKAKLYRDASLQHIFLMNNIHYMAQKVVNSNLQSILGDGWIRKHNWKFQQHEMNYERNTWSSILAILKEEGNSNSSRTLLKERFRNFYTAFEEVYRTQTAWSIPNGHLREDLRISTSLKVIQAYRTFVGRHTNQISDKHIKYSADDLQNYLLDLFEGSQRSLHNPHRRS >Potri.017G093966.4.v4.1 pep chromosome:Pop_tri_v4:17:10648081:10651245:-1 gene:Potri.017G093966.v4.1 transcript:Potri.017G093966.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093966.v4.1 MGEYDAAVPELEGEENLIAAAKQIVRALGSKRNLTDDAKKILAELGTQLTTITTISENEVDEISDDEGRLNVNQEKIMIWDTDQSMIWDLGPNEANEYINSADEVRKLTEKLEAMCLKDDGEKELLRRAHDVLQIAMARLEEEFKHMLIQNRQPFEPEHMSFRSSEEDAGSVASLGDESFEESQHRDSVSRNSEEYIVDLVHPYTIPELRCIANLMFISGYGHECSQAYVSVRRDALDEFLLILEIEKLSIEDVLRLEWGSLNSKIKRWVRTMKIFVRVYLASEKCLSEQIFGDLGTVNLVSFAEVSKASMLRLLNFGEAVSIGPHKPEKLFPILDMYEVLADLLPDIDSLYADEAGARVRIDCREVLRRLGDSVRAAFLEFENAISTSTSTNPIAGGGIHPLTKYVMNYLNALTGYRETLNFLLKDQDGEDTMSLSPDINPSTEEENARDGACDGSPLALHFRSVASILECNLDDKAKLYRDASLQHIFLMNNIHYMAQKVVNSNLQSILGDGWIRKHNWKFQQHEMNYERNTWSSILAILKEEGNSNSSRTLLKERFRNFYTAFEEVYRTQTAWSIPNGHLREDLRISTSLKVIQAYRTFVGRHTNQISDKHIKYSADDLQNYLLDLFEGSQRSLHNPHRRS >Potri.007G062442.1.v4.1 pep chromosome:Pop_tri_v4:7:7162507:7164155:1 gene:Potri.007G062442.v4.1 transcript:Potri.007G062442.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062442.v4.1 MWVGLDYLVYLITLLLLAAGAPLLTIAHLFWNHFFRRDNFTYFCQILLLLSTAGTISMCFDSSEQERFDAFEFIVLILLPTRSMLFMISAHDSIAMYLAIEPQSLCFYVIAASKRKSEFSTEAGSKYLILGAFPSGILLFGYDRTTTDIY >Potri.002G152100.3.v4.1 pep chromosome:Pop_tri_v4:2:11648385:11656667:1 gene:Potri.002G152100.v4.1 transcript:Potri.002G152100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152100.v4.1 MDNDHGSPYPYNNPYPYPHHPPPPPPNYGCPPSDPCYPPHPYPPYPPYGAYAYPPPPHSPSHSGPLDYSHQNPSAPYLTSHSGPLDYSHNPSKPQPTSLSGSLDYSQHQPPSPHPITNSGPLDFNRHYSGPLATSPYAAYPPVPHVSNSILHNYPFAQPQSSQYPSIDSISQSPSRANSFSGIHRQDSSSSLGIGSSSSNPDKVDAAVAAPPVPSVPYSPQSYQGSSFGHAPPHELYGYPNDSFSINWEENYAGKVDSSGHYPASPYAHSSSFNGSQHGQSMEVVPVSSGKGSSLKVLLLHGNLDICVYDAKNLPNMDIFHKTLGDMFNKLPGSISSKIEGQVYTKITSDPYVSISVAGAVIGRTFVISNSENPEWTQHFYVPVAHSAAEVRFVVKDSDVLGSQLIGVVALPVEQIYSGARIEGVYPILNNNGKQCKPGASLRISIQYMPIEKLGIYQHGVGAGPDYHGVPGTYFPLRKGGTVTLYQDAHVPDGSLPNVQLDNGMPYLHGKCWQDIFDAIRQARRLIYITGWSVWHKVALVRDGGQHSGVPLGDLLRSKSQEGVRVLLLLWDDPTSRNVLGYKTDGIMATHDEETRRFFKRSSVQVLLCPRIAGKKHSWVKQREVGTIYTHHQKTVIVDADAGNNRRKIIAFVGGLDLCDGRYDNPDHSLFRTLQTVHKDDYHNPTFTGSVANCQREPWHDLHSRIDGPAAYDVLTNFEDRWMKAAKPKGLRKLKTSYDDALLRIDRIPDIIGVFDALSISEDDPEAWHVQIFRSIDSNSVKDFPKDPKDAPKKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWGSYKDLGANNLIPMEIALKIANKIRANERFAAYIIVPMWPEGVPTGAATQRILFWQHKTMQMMYETIYKALDEVGLEDAFSSQDFLNFFCLGNREAVDESNSSGMPTPSSSPIPQALCQKSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQHTWARKQSNPLGQIHGYRMSLWAEHTGVIEDSFTKPESLECVRRIRTMGEMNWKQFAAEEVSEMRGHLLKYPVEVDRKGKVRPIPGSETFPDVGGNITGSFLAIQENLTI >Potri.002G152100.1.v4.1 pep chromosome:Pop_tri_v4:2:11648388:11657003:1 gene:Potri.002G152100.v4.1 transcript:Potri.002G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152100.v4.1 MDNDHGSPYPYNNPYPYPHHPPPPPPNYGCPPSDPCYPPHPYPPYPPYGAYAYPPPPHSPSHSGPLDYSHQNPSAPYLTSHSGPLDYSHNPSKPQPTSLSGSLDYSQHQPPSPHPITNSGPLDFNRHYSGPLATSPYAAYPPVPHVSNSILHNYPFAQPQSSQYPSIDSISQSPSRANSFSGIHRQDSSSSLGIGSSSSNPDKVDAAVAGTSSAYPPLDDLISNLHLNDTNNHPTAPASLPAPPVPSVPYSPQSYQGSSFGHAPPHELYGYPNDSFSINWEENYAGKVDSSGHYPASPYAHSSSFNGSQHGQSMEVVPVSSGKGSSLKVLLLHGNLDICVYDAKNLPNMDIFHKTLGDMFNKLPGSISSKIEGQVYTKITSDPYVSISVAGAVIGRTFVISNSENPEWTQHFYVPVAHSAAEVRFVVKDSDVLGSQLIGVVALPVEQIYSGARIEGVYPILNNNGKQCKPGASLRISIQYMPIEKLGIYQHGVGAGPDYHGVPGTYFPLRKGGTVTLYQDAHVPDGSLPNVQLDNGMPYLHGKCWQDIFDAIRQARRLIYITGWSVWHKVALVRDGGQHSGVPLGDLLRSKSQEGVRVLLLLWDDPTSRNVLGYKTDGIMATHDEETRRFFKRSSVQVLLCPRIAGKKHSWVKQREVGTIYTHHQKTVIVDADAGNNRRKIIAFVGGLDLCDGRYDNPDHSLFRTLQTVHKDDYHNPTFTGSVANCQREPWHDLHSRIDGPAAYDVLTNFEDRWMKAAKPKGLRKLKTSYDDALLRIDRIPDIIGVFDALSISEDDPEAWHVQIFRSIDSNSVKDFPKDPKDAPKKNLVCGKNVLIDMSIHTAYVKAIRAAQHFIYIENQYFIGSSYNWGSYKDLGANNLIPMEIALKIANKIRANERFAAYIIVPMWPEGVPTGAATQRILFWQHKTMQMMYETIYKALDEVGLEDAFSSQDFLNFFCLGNREAVDESNSSGMPTPSSSPIPQALCQKSRRFMIYVHSKGMIVDDEYVILGSANINQRSMEGTRDTEIAMGAYQPQHTWARKQSNPLGQIHGYRMSLWAEHTGVIEDSFTKPESLECVRRIRTMGEMNWKQFAAEEVSEMRGHLLKYPVEVDRKGKVRPIPGSETFPDVGGNITGSFLAIQENLTI >Potri.004G171300.1.v4.1 pep chromosome:Pop_tri_v4:4:18700379:18703750:1 gene:Potri.004G171300.v4.1 transcript:Potri.004G171300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G171300.v4.1 MANNSLLFLILAFLLLSGFFTKTSSAFSIEEATIDDLQLAFKQNQLTSRQLVEFYLKRIRRLNPLLRGVIEVNPDALFLADKADRERKVNTPGSTGGLHGIPILLKDNIATKDKLNTTAGSYALLGSVVPRDAGVVMKLRKAGAIILGKSSLSEWANFRTDGAPSGFCGRSGQGKNPYVLSATPCGSSSGSGISVAANLAAVSLGTETDGSIICPSSYNSVVGIKPTVGLTSRAGVIPITPRQDTVGPMCRTVSDAVYVLDAIVGFDSNDAATREAAKYIPNGGYRQFLNPLGLKGKRLGILRTPFYNSGNDKGSRRHQTFEHHFQTLRRQGAVLVDHLQISDVDTITAGQNGELLATLLEFKPALNEYLEQLVASPVRSLAAVIAFNKKFSRLEKTKEYGQELFKKAEFLSRNITNIDATLKKLVSTFSKLSKNGLEKLIKTNKLDAVVAPDFSYVLSFVLAIGQYPGISVPAGYDSDGVPFGICFGGPKGSEPKLIEIAYGFETATKVRRPPAFKP >Potri.012G041400.6.v4.1 pep chromosome:Pop_tri_v4:12:3692953:3696228:1 gene:Potri.012G041400.v4.1 transcript:Potri.012G041400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041400.v4.1 MESRGVASHSNIVSSREKRAKRREILEKKKAIDELIKAASSEKDHLVYFQPFCHYNRNGLSVFLESGSGDKLSSSVKRYIQNLLKVNMEVAFGPEWSSEEKVKCRDMVASEARYIFVHEAPNASVDEISMKLDKSPLVGFVHYRFTLEEDIPVLYVYEIQLESHVQGKGLGKFLMQLIELIARKSCMGAVVLTVQKANAVAMNFYRSKLRYTISSISPSRVDPLMGLEKSYEILCKAFDHEAKVILEGSG >Potri.004G086200.1.v4.1 pep chromosome:Pop_tri_v4:4:7181075:7184736:-1 gene:Potri.004G086200.v4.1 transcript:Potri.004G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086200.v4.1 MGLLDSLLNWLRSLFFKQEMELSLVGLQNAGKTSLVNSIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQRRFRTMWERYCRGVTAILYVVDAADRDSVPISRSELHDLLTKPSLSGIPLLVVGNKIDKSEALSKQALVDQLGLESIADREVCCYMISCKDSINIGMVIDWLIKHSKTANSSAV >Potri.005G250300.1.v4.1 pep chromosome:Pop_tri_v4:5:24391659:24395469:-1 gene:Potri.005G250300.v4.1 transcript:Potri.005G250300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250300.v4.1 MASLLPLFIFASLSLVNAKSNSTFTPINRDLYHSSADLLEQIKALVHRHPDKLTVETIKTGNRGYKAEISVVTYCRSRKEADDRTKFRILLSFGQHGRELITTELAFRILSILSEEQFLPDVDAASLNNILDKLVIKVVPMENVNGRKLVEGGDLCERRNGRGVDLNRNWSVDWGKKEKDYDPYEENPGTGPFSEPETQIMRKLALTFDPHIWVNVHSGMEALFMPYDHRNTTPDGAPSEQMRSLLSKLNNVHCHKHCVIGSGGGSVGYLAHGTATDFMYEVVKVPMAFTFEIYGDTAASSKDCFKMFNPVDFTTFHRVLNDWSSSFFTIFKLGPHQLDGNSSKEMASSLDKLVSIDEYLDGYLVERRNRYGKKMEVLDVGMQEIRTYFRLFLLSSVLLLFMFCSRIARSKSSRPIVSALPV >Potri.006G171184.1.v4.1 pep chromosome:Pop_tri_v4:6:17359630:17360917:1 gene:Potri.006G171184.v4.1 transcript:Potri.006G171184.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171184.v4.1 MFSSPSSLEISSEEEDNIICNLYISIGSRWSVIAAQLPGRTDNDIKNYWNTRLKKKLLGRRKQSSINRISSTNPDADGVEDSSSSQALSNAALERLQLHMQLQSLQNPNSFYNNHVLWPKLHPFQEKMVLQSLKESFSPLMQYAFPSPQQGDEQKVVMYGQLVDSDTRQQGHPKFSNSSVVGLENSLNGITSSDSYIPFTSGDHAMDLTIVSRAGVVEPADAAAQPVPNFQSELENFLNSKTSGFTSQEDKIGEFGCFKEMNRYGEGMNWWSNDFEIKASSNSWDSASVLKSEGMFHDYELGYNM >Potri.001G037200.1.v4.1 pep chromosome:Pop_tri_v4:1:2700084:2701814:1 gene:Potri.001G037200.v4.1 transcript:Potri.001G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037200.v4.1 MAQQSLAPSELDFVDDFYFSALFDEEQEGGGGEIFEVSDAKYAEELQFQETLMGSVIVSQMKSIGPSPMMIEGIPVVLPISDQPMRVEIIDLEAGESSLSFCEICAERKENDQMFKTESCVHSFCNDCISRHVATKVQDNIRIVTCPGLSCRAVLEMDTCRPVLTRGVIDRWENALCEEMINASQRFYCPFKDCSALLVDDNEGETIRESECPFCHRLFCAQCSVPWHPGVDCDEYQRLNEDERGREDLMVRELAKDKQWGRCPKCKFYVERTEGCPHMVCRCRFEFCYGCEEQWGPTHGGCTRI >Potri.017G008800.3.v4.1 pep chromosome:Pop_tri_v4:17:610030:613488:1 gene:Potri.017G008800.v4.1 transcript:Potri.017G008800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G008800.v4.1 MLLVYKWRRQHLSMYEDIEKFLQSHDNDLMPIRYTYSEIKKITNGFKDKLGEGGFGSVYKGKLRSGRLAAVKLLGKSKANGQDFINEVATIGRIHHVNIVQLIGYTVEGSKRALIYEFMPNGSLEKYIFSRQGCIPLSNEKMYEISLGVARGIEYLHQGCDMQILHFDIKPHNILLNDKFVPKISDFGLAKLYPTNNNTVSLTAARGTMGYMAPELCYKNIGGVSYKADVYSYGMLLMEMVGRRKNLNASASHSSQIYFPSWVYDQVNEGKDIEVQEDVMEHEKKTTKKMIIVALWCIQLKPVDRPSMHKVVEMLESDIESLRMPPKPFLTPHQISKDDDRANHSKLSDPPNDCIDSSYYFGR >Potri.017G008800.2.v4.1 pep chromosome:Pop_tri_v4:17:609915:614472:1 gene:Potri.017G008800.v4.1 transcript:Potri.017G008800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G008800.v4.1 MFSRVLRHLFGAYAALPFLVILSTHHSCSATKNTSNYCAPSSCSNIHNISYPFRLNTDPESCGNKSFELACENNLRPTLYLDMVKYYVQAINYIDFTIRLVDAAVQKDDCFSIPHHSFTEELLYYNYYYEIKWPHSSVLTFLCCKNHTDYIMDTSSCKNGSGTAYNSSSSSSISSPSCVDMEGHSYVMVDGHVRDVPDLCRINLIYSVPKNMRNKSYTDVHDILVYGFELSWFSFCCHYSTENPCNLDESTVQNNNCSQYPVATPIGVYFEGIYSIVCRIGGSYCYYPMIIPSLLLTFLILFLSPILALIMIYHVLLFPCGLLCLLMLLVYKWRRQHLSMYEDIEKFLQSHDNDLMPIRYTYSEIKKITNGFKDKLGEGGFGSVYKGKLRSGRLAAVKLLGKSKANGQDFINEVATIGRIHHVNIVQLIGYTVEGSKRALIYEFMPNGSLEKYIFSRQGCIPLSNEKMYEISLGVARGIEYLHQGCDMQILHFDIKPHNILLNDKFVPKISDFGLAKLYPTNNNTVSLTAARGTMGYMAPELCYKNIGGVSYKADVYSYGMLLMEMVGRRKNLNASASHSSQIYFPSWVYDQVNEGKDIEVQEDVMEHEKKTTKKMIIVALWCIQLKPVDRPSMHKVVEMLESDIESLRMPPKPFLTPHQISKDDDRANHSKLSDPPNDCIDSSYYFGR >Potri.017G065600.4.v4.1 pep chromosome:Pop_tri_v4:17:7397048:7400267:-1 gene:Potri.017G065600.v4.1 transcript:Potri.017G065600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065600.v4.1 MCHPILNLKKPPKTRKPLFFFFSFFSLSIKEEEEMEAILVDCVNCKPQSSPFHASKRHIHVRTALRRVPFRGTQMAQSRYLIAISCFQMDLLNEVEAALCPTNEPGLEVVTTSDNLHTIVILTGTSSY >Potri.017G065600.5.v4.1 pep chromosome:Pop_tri_v4:17:7393740:7400264:-1 gene:Potri.017G065600.v4.1 transcript:Potri.017G065600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065600.v4.1 MCHPILNLKKPPKTRKPLFFFFSFFSLSIKEEEEMEAILVDCVNCKPQSSPFHASKRHIHVRTALRRVPFRGTQMAQSRYLIAISCFQMDLLNEVEAALCPTNEPGLEVRHTHVRSEY >Potri.017G065600.6.v4.1 pep chromosome:Pop_tri_v4:17:7393783:7400264:-1 gene:Potri.017G065600.v4.1 transcript:Potri.017G065600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065600.v4.1 MEAILVDCVNCKPQSSPFHASKRHIHVRTALRRVPFRGTQMAQSRYLIAISCFQMDLLNEVEAALCPTNEPGLERDHRSCTTTVGERESSFYNRSVTLMSGVNIRSFYPFVI >Potri.017G065600.3.v4.1 pep chromosome:Pop_tri_v4:17:7397092:7400264:-1 gene:Potri.017G065600.v4.1 transcript:Potri.017G065600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065600.v4.1 MEAILVDCVNCKPQSSPFHASKRHIHVRTALRRVPFRGTQMAQSRYLIAISCFQMDLLNEVEAALCPTNEPGLEGCHTNEVPRNPISRDPTFTKNHVVAF >Potri.001G107400.2.v4.1 pep chromosome:Pop_tri_v4:1:8628232:8629782:1 gene:Potri.001G107400.v4.1 transcript:Potri.001G107400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107400.v4.1 MRIPNPRRSFLFLMIILAISQLSSCRYLHINIRDQTNQTVETDVSTQFSWHFTAKAPEGSNKDEIDDPVYGASYRTVPASRVGKSFHT >Potri.001G107400.1.v4.1 pep chromosome:Pop_tri_v4:1:8628221:8629782:1 gene:Potri.001G107400.v4.1 transcript:Potri.001G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107400.v4.1 MRIPNPRRSFLFLMIILAISQLSSCRYLHINIRDQTNQTVETDVSTQFSWHFTAKAPEGSNKDEIDDPVYGASYRTVPGGPNPLHN >Potri.012G022650.1.v4.1 pep chromosome:Pop_tri_v4:12:2294371:2295153:-1 gene:Potri.012G022650.v4.1 transcript:Potri.012G022650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022650.v4.1 MLLLYACPFSCFMIMVMTLFLKAIPSHTFGNLPWEPAASTSTQSYYKIHPLLFDGRDSKVRSNTSNNLGRLGMEQLAILSTFFLSL >Potri.009G112676.1.v4.1 pep chromosome:Pop_tri_v4:9:9604710:9609017:1 gene:Potri.009G112676.v4.1 transcript:Potri.009G112676.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112676.v4.1 MACGSHECHVSDPISSTPPQASPSASPSPQRNPKEHDQCFMCKRLGHWSKDCPNKTPRKSLALSPGSSSSTSVQVPDLPVVRCPCGGGTCRVSTSNTVKNPGRKFYACPVDQRTSGSCGFFKWSDDIAARFKPPMCPCGAGSCSLNIVSSGPDRGRWYFACRIKKNHGACKFLQWADSEGNNMQNMQGDESKGYPARRSLLTVKNELCTEDNKSSDIELESTMVKSVDNYPISSMDPPIRKDEVLVRDLVMQDSESCEIVSGTALEVPPPIPKPEIPCQEPEFSLQISNARDTKIEGTSPFDPVIEDVGDIEALALLAGSSSNDDESDIQQGPFLQSPREDAEHPNGIFQEPSGMQTVVENSDTSKLALKTFGQVLLDILQSMDQTQHETMLKVAENTFDSLRHLSIDYASFSKAVREYIECKSKLAGIEESMGADSSSEEFLGHYNDKKTQFDNISQRHVEAVSAYEASENHLQSLRLEVTRVKNMLLQLEKQLTYCEAETLRCKSCVTEISNHKSESERSLDAACEKMEEALKLEDERDSLVYAANAALENARVQLLQ >Potri.008G130950.1.v4.1 pep chromosome:Pop_tri_v4:8:8616972:8619825:1 gene:Potri.008G130950.v4.1 transcript:Potri.008G130950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130950.v4.1 MISLRSFLCCPLGSSRCSLTFLVLFSFFFDIVASNITVVKEVEAIMDPAAFTVVRFVDDVRTRSAVIELGFWVCLGYLSQHLGCTHQMLVIVVPLLDGMLGATVPAHTWFGALMSIGGLAILESSGSPPSIGDLLNFLTVVFFGVHMLRTEHVWRTTNRKNLLPLLGYKSGYLHAWFEEVIANLGRFGGVQTCDPPSWKWEMVWHWMTAFPWIPSLCTGIFSTGLCLWREHKATETAIIYGLESVWGSGFTWFLLGERMGAAPVLGKMFCPLALIRC >Potri.007G070250.1.v4.1 pep chromosome:Pop_tri_v4:7:9134739:9136849:-1 gene:Potri.007G070250.v4.1 transcript:Potri.007G070250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070250.v4.1 IDVDATSALHKKGISATDDSFKFIWFEDHESEIKAIYTGSEFWESASSVGGGIVLESTSFYAEQGGNIFYTGIIEGPFGSFQVCDVQIFVGFILHIGSLTGESGRFSMGDKVICKVDYDRRKLIAPNHTYTPMLNFALREVLGNHVDQKGSIILPEKLRFDFSHDNRTLWRLMLILLITNTREAKAFALLSEEGIAKGIQKITAVTTDCAFKAMELAHSLELEVDDTSKVDESLLEKKIASLKTRVDSTLIPAVKKAHIRAKISVL >Potri.004G151000.2.v4.1 pep chromosome:Pop_tri_v4:4:17271112:17271923:1 gene:Potri.004G151000.v4.1 transcript:Potri.004G151000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151000.v4.1 MFTSSISYLNGMLYGTTKGTRGSEGTNLIYRDELSKKISPKKIKKGGNCLASKEEDERKNFEKRGYASSVQEKDVIRVKVRMTKEEAARLMAKCKEGGLLEFKDVAHELVQLPVNRVTVVSSNGGYGGVLHSIPEEK >Potri.007G101700.1.v4.1 pep chromosome:Pop_tri_v4:7:12565952:12570450:-1 gene:Potri.007G101700.v4.1 transcript:Potri.007G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101700.v4.1 MFLFPLKIFSLLALFSFTFPHTSSSTAAGGDGASVCIIGSGIGGASVAHFLRRHSTNHHPKILIFERHAIVGGRIASVTIGGDAFEAGASILHPKNYHASNYTNFLNLTRKRPSSSEGSFSLGIWDGNGFVVKTLNLKSKWGIVNKIVSFFNGVSLFMRYGFSLVKMKGFVDETVNKFLKYYEGVETRPVFESVEEMLKWAGLFNLTGKSLKEELVDGVKLAPLLIKELVTVITRVNYGQGVNISGLAGAVSLAGSGRGVWAVEGGNWQIAAGLINSSDVELYLHEEIDSISYLGEYYELNSTKGNAYSCEVAVVATPLDESSIQFSPPVSVPVRQLQHTHATFVRGLVNPVYFGLKAVSEIPELVATIEDPRLPFTSISILKCYNETDMTYKIFSRQAMTDALLDSIFSVRKETVQINWGAYPHYKAPEKFSPFILDGKHLYYVNAFENVASTVETSAVAAENIARLILSRFFGKDSSCPSAIKRTSCSSAEALHSDT >Potri.002G187300.1.v4.1 pep chromosome:Pop_tri_v4:2:14940165:14941678:-1 gene:Potri.002G187300.v4.1 transcript:Potri.002G187300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187300.v4.1 METARNIIPRPVLCFPGTRIATHRLSLPPNGLGTGKCGVPFRSKRYDHRILSRGFSDSGHLKYYVSPARCSGKKEKSKKKQLKLLRRLSRDLPIFSYAVCGEEGNGSLIGEVKEKMISEATEILLAELQNRRLERKEQKRKRRDERATLIKNRPRCDSGSSSSSSSSSSGSSSPESSDSDCSREVVSMKQMRSKALNPFIEIESAKAIKEATQEDQHRDTVSGAKSNDSSPQNLSDGVQIGASGRKIEICMGGKCRKLGAAALLEEFERKIGMESAVVGCKCMGKCMKGPNVRVFNCTVENEDMRVEDSIKPPLNLLCIGVGLKDVGIISRQLLGNDGKDRNHACLMAPS >Potri.003G049700.1.v4.1 pep chromosome:Pop_tri_v4:3:7256937:7266604:-1 gene:Potri.003G049700.v4.1 transcript:Potri.003G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G049700.v4.1 MPVLRSQVLRGRGGEATPKQENKQKMHQEHKNNPDEVVEPIATRTRRRRAAAGAALDKEQKAGNERVVVGVGGAVVVGTVKEEEEEEKRDLEFVGEKKPMDVFDSGGKGNDKPLAAGGEDEGTSAPIPDQVQVEDSPVYRVETKLGKGGFGQVYVGRRVSAVNKNEKAGAGAVEVALKFEHKSSKGCNYRPPNEWQVYDTLGGSHGVPLVHYKGRQGDYYVMVMDMLGPSLWDVWNNNFNSNLMSTEMVACIAIEAISILEKMHSRGYVHGDVKPENFLLGPLGTPKEKKLFLVDLGLATRWQDSSTGLHVEYDQKPDVFRGTVRYASVHGHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFVVCKKKMATTSEALCCFCPQPFKQLIEYVVNLKFDEEPDYAKCISLFDGIVGTNPDIRPLNTEGAQKLIYQVGHKRGGLTMDEEDEQLKKKVRMGMPATQWISVYNAHRSMKQRYHYNVADARLGQHIEKGNEDGLFISSVASCQNQWAIIMDAGANYSAQVYELSPYFLHKEWIMEHWEKNYYISAIAGANNGSSLIVMSKGTSYMQQSYKISDSFPFKWINKKWKEGFYVTAMATSGSRWGVVMSRGAGFAKQVVELDFLYPSEGIHLRWDSGYRITATAATWDQAAFVLSLPKRKHTDEAQETLRTSAFPSTHVKEKWAKNLYVASICYGRTTS >Potri.013G144200.1.v4.1 pep chromosome:Pop_tri_v4:13:14213375:14213665:1 gene:Potri.013G144200.v4.1 transcript:Potri.013G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144200.v4.1 MASKSQFSLLALVALLAISGQVLAGRQIPNNVDVKQPDFLISDNSFLIPGIGRVLVPPTPSFPSYDPHMGIGNYSPGGDDTFPPIPNGGVSTVNHP >Potri.018G097900.1.v4.1 pep chromosome:Pop_tri_v4:18:11866887:11868609:-1 gene:Potri.018G097900.v4.1 transcript:Potri.018G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097900.v4.1 MPHPTTVINPKISSTPAIICSCLIFQPLQIFFINKQIFIFIFALLALPLSLLFFSRSLAFHPVQSQILHLEFIAALSPTRFEARHVWKESRETAIALLHCNFLYFVPSFTLSLLAAVSSVVSAESGYHRNPIGVKSALNAVKSTWRRTLVTSIFVYVILFCYSSVPRTLWSLTGGGVFGSGFVIWVVGSAVEIYLMVVLGMSLVVSVLEERFGWDAIFIGSDLMEGKRVCGWVLSGLMGLVTGLIGWKMEGLKMDSEDLAKKTRWTAVMLLKGWEAVGLAVLYGAVMVWGYVVATVFYCECRKQHVVWEVEGGSVDV >Potri.011G121400.2.v4.1 pep chromosome:Pop_tri_v4:11:15188442:15190680:1 gene:Potri.011G121400.v4.1 transcript:Potri.011G121400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121400.v4.1 MTKDDRRKRCKEVNKDMISDLPNVIIGHILSFLPTKDALCTCILSKSWRELWRSLSNFDFDDRTWKSKIIFGNFMDRFCYLHNSRENSITKFRLRVNGSYPSSRMSAWISAAIKDNLEELKLWIYTADHVPLPRRIFSCEKLVILDLGYRIDTDLLGVGVHFPCLKVLHLQELPMLDDHASIEKLLAGSPVLEELKIEHEDCESRNVLRICSSSLKRLIIRFPFVAYDENDPGCRELTLDTPNLELLKLTDLVSEKLNMLQIPYSLVEAALSVAYKHVFTIQVDDYIDMAVQLLRPIMTIVKILRLCDTTMRTLSDAVHKKLPCVGNLPDFQNLTRLEIEASGNDRWLVLHEILKCSPKLEVFILYKDSTEKTPRWRNPEFVPRCLRSSLKVIEYVGFESELGEIEMAEYLIKNALVLEKMTIEYGWKMIHDFKERVAERLTECRRGSTACRIVFSP >Potri.011G121400.1.v4.1 pep chromosome:Pop_tri_v4:11:15188442:15190680:1 gene:Potri.011G121400.v4.1 transcript:Potri.011G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121400.v4.1 MTKDDRRKRCKEVNKDMISDLPNVIIGHILSFLPTKDALCTCILSKSWRELWRSLSNFDFDDRTWKSKIIFGNFMDRFCYLHNSRENSITKFRLRVNGSYPSSRMSAWISAAIKDNLEELKLWIYTADHVPLPRRIFSCEKLVILDLGYRIDTDLLGVGVHFPCLKVLHLQELPMLDDHASIEKLLAGSPVLEELKIEHEDCESRNVLRICSSSLKRLIIRFPFVAYDENDPGCRELTLDTPNLELLKLTDLVSEKLNMLQIPYSLVEAALSVAYKHVFTIQVDDYIDMAVQLLRPIMTIVKILRLCDTTMRTSPLQTLSDAVHKKLPCVGNLPDFQNLTRLEIEASGNDRWLVLHEILKCSPKLEVFILYKDSTEKTPRWRNPEFVPRCLRSSLKVIEYVGFESELGEIEMAEYLIKNALVLEKMTIEYGWKMIHDFKERVAERLTECRRGSTACRIVFSP >Potri.016G132200.1.v4.1 pep chromosome:Pop_tri_v4:16:13637005:13641014:-1 gene:Potri.016G132200.v4.1 transcript:Potri.016G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G132200.v4.1 MQRFIAKRVLSSTNSLRSHRCFSQLAQKQTSFAVHDNDIPVPKLPPFDYSPPPYTGPSADLILAKRKQYLSPSLFHFFSKPLNVVDGKMQYLFDENGRRYLDGFGGIATVCCGHCHPDVVDAIVKQVNRIQHSTVLYLNHVIADFAEALASKMPGNLKVVFFTNSGTEANELALMIARLYTGCQDIISLRNGYHGNAAGTMGATAQSLWKFNVIQSGVHHALNPDPYRGVFGSDGEMYAKDVQDIIDFGTSGHVAGFISEAIQGVGGIIELAPDYLPAAYKSIKKAGGLCIADEVQAGFGRTGSHFWGFEAQGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRCYFNTFGGNPLCTAAGLAVLKVIEKENLQENALVVGSHLKKRLTELKDKYEIIGDVRGKGLMLGVELVTDRQQKTPAKAETLHVMEQMKELGVLIGKGGFYGNVFRITPPLCFTKEDADFLVDAMDYTMSKM >Potri.004G172500.1.v4.1 pep chromosome:Pop_tri_v4:4:18771573:18774659:1 gene:Potri.004G172500.v4.1 transcript:Potri.004G172500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172500.v4.1 MADIVKEILARPIQLADQVTKSADEAQSFKQDCLELKAKTEKLAGLLRQAARASNDLYERPTRRIIDDTEQVLDKALTLVIKCRASGIMKRMFTIIPAAAFRKISTQLENSIGDVSWLLRVSAPADDRDDEYLGLPPIAANEPILCLIWEQIAILYTGSLEDRSDAAASLVSLARDNDRYGKLIIEEGGVAPLLKLAKDGKMEGQENAARAIGLLGRDPESVEQIVNAGVCTVFAKILKEGHMKVQVVVAWAVSELAAHHPKCQDHFAQNNTIRFLVSHLAFETVQEHSKYAIASKNKMSIHSVLMASSDTSPDEDEPATKIHHPADNKTPAPIQMHSVVANTMAMRSQTLSNNKPAQTQTQTQNLSTNHPNTNHPNHAKGNHNIPKQQHHHHVSLAGTSIKGREFEDPATKAQMKAMAARALWQLAKGNVTVCRTITESRALLCFAVLLEKGHDEVQSYSAMALMEITAVAEQNSDLRRSSFKPTSPAARAVVDQLLKVVEKAVSDLLIPCIQAIGNLARTFRATETRMIGPLVKLLDEKEPEVTMEAAIALNKFASPDNFLCVNHSKAIIAEGGAKHLIQLVYFGEQMVQVLSLILLCYISLQCPDSEVLANEEVLIVLEWSTKQAHLLQEPEIESLLPEAKSRLELYQSRGSRGFY >Potri.012G043501.1.v4.1 pep chromosome:Pop_tri_v4:12:3898768:3915101:-1 gene:Potri.012G043501.v4.1 transcript:Potri.012G043501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043501.v4.1 MDHPPSPTTSSDRLKETWRHALVLSFQTLGVVYGRLSTAPLYVFGTIQTKDFKSNEIAYEYFSFIFWTLTVVSLLKYAFIVLRADDNGEGGVFALYSLLCRHAKVGLIPNDTSTSEVMQHEEENTFRGKVESRARRAIKNHRSSHYLMLFTALFGACMIIGDGVITPSISVLSASSGLQRSLSEIKYSSSPDAQGAISDALKKYVPVPSACVITVCLFILQHYGSHKIGFMFAPIVTIWLLFIGGVGIYNIFHWNPEIFSALSPVYMYRFVRNINKDRWKSLGSILLCIAGSETMFTDLGHFSKRSIKRTFVCLIYPVLILCYAGQAAFISKHWNGPENFNHLSESIPEHLRHVFILLSLLASAVGSQATITASFSIINQCRALSCFPRVKVIHTSDKRHGQVYIPDVNWLLMALSLSITLGFHDITRIANAAGMAIVFGMIVTTCMMSLVMALYWEKSLFISGFFLMFFGFVEAVYVSACMLSFHKGAWYLFVLLAVSFTVMLAWHYGTMKKYEFDLQNKVSTEWLTDYSPSLGVSRVPGIGLIYTDIVMGIPAFFSHFITNLPAFHQVLIFVSFKPQPVPCVPPSQRYCVGRVGGKDYRIYRCIVRYGYCDQVRDTDDFEEQIIGSIGEYISLDESDCESLTSPEGRMVIVGTPLLEGHALIPVDDTNLFSGSTNAGNNETLAIPEGELIGRNAPVRRKKVRFLIPESSPRLRVSVRDELQELINARESGTAYFLGQSHLTVRDGSNFFKKFLIMAYVFLDKNCREPPVALNIPHAALVEVGMVYII >Potri.012G043501.6.v4.1 pep chromosome:Pop_tri_v4:12:3898768:3914658:-1 gene:Potri.012G043501.v4.1 transcript:Potri.012G043501.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043501.v4.1 MQHEEENTFRGKVESRARRAIKNHRSSHYLMLFTALFGACMIIGDGVITPSISVLSASSGLQRSLSEIKYSSSPDAQGAISDALKKYVPVPSACVITVCLFILQHYGSHKIGFMFAPIVTIWLLFIGGVGIYNIFHWNPEIFSALSPVYMYRFVRNINKDRWKSLGSILLCIAGSETMFTDLGHFSKRSIKRTFVCLIYPVLILCYAGQAAFISKHWNGPENFNHLSESIPEHLRHVFILLSLLASAVGSQATITASFSIINQCRALSCFPRVKVIHTSDKRHGQVYIPDVNWLLMALSLSITLGFHDITRIANAAGMAIVFGMIVTTCMMSLVMALYWEKSLFISGFFLMFFGFVEAVYVSACMLSFHKGAWYLFVLLAVSFTVMLAWHYGTMKKYEFDLQNKVSTEWLTDYSPSLGVSRVPGIGLIYTDIVMGIPAFFSHFITNLPAFHQVLIFVSFKPQPVPCVPPSQRYCVGRVGGKDYRIYRCIVRYGYCDQVRDTDDFEEQIIGSIGEYISLDESDCESLTSPEGRMVIVGTPLLEGHALIPVDDTNLFSGSTNAGNNETLAIPEGELIGRNAPVRRKKVRFLIPESSPRLRVSVRDELQELINARESGTAYFLGQSHLTVRDGSNFFKKFLIMAYVFLDKNCREPPVALNIPHAALVEVGMVYII >Potri.001G296400.1.v4.1 pep chromosome:Pop_tri_v4:1:30729171:30732194:-1 gene:Potri.001G296400.v4.1 transcript:Potri.001G296400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296400.v4.1 MSGSFMRNSTIPRLLRAHTITNITDPAATAAHSVFRQQQQSRKAYFGFSNGFKRSKECNNELSPSSLLTSSFSSKAENAAFYKIGFIGWYLGMVKSRPILTKSATSSLIYIAADLSSQTISLPSSEPYDLVRTLRMAGYGLLIVGPSLHFWFKFVSKLLPKRDLITTFKKILMGQTIYGPIMTVVFFSLNARLQGENSAEIIARLKRDLVPTMINGVMYWPVCDFVTFKFIPVHLQPLVSNSFSYLWTVYMTYMASLEKAGSSG >Potri.018G093600.2.v4.1 pep chromosome:Pop_tri_v4:18:11378089:11380859:-1 gene:Potri.018G093600.v4.1 transcript:Potri.018G093600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093600.v4.1 MKEYWGSLASVLGVLAFCQSLLQVLFPPELRFAALKLFNRIFNVFNSYCYFDITEIDGVNTNELYNAVQLYLSSSVSISGSRLSLTRALNSSAITFGLTNNDTLFDTFNGVNVLWEHIVTQRQAQTFSWRPMPDEKRGFTLRIKKKDKSLILDSYLDYIMEKANDIRRKNEDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFETLAMDPAKKGEIMEDLKDFANGQSFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDIYDLELTEVHNNSELRKLLMKTSSKSIIVIEDIDCSINLSNRKKGTANNSSIGRSYCDPEMRSGPGVGTGDEGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHVDKLDPALLRSGRMDMHVFMNYCSFPALKILLKNYLGREESDLDEGVLKELEEVIDKAEMTPADISELLIKNRRNKDKAVIELLEALKERAERKSKSGECVREKNLNDVEEEEQEKRALDSPKEGCAFDESCKKDEEDEEKKIK >Potri.005G048132.1.v4.1 pep chromosome:Pop_tri_v4:5:3061607:3065652:-1 gene:Potri.005G048132.v4.1 transcript:Potri.005G048132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048132.v4.1 MTFEGPLGCVGKMEDNCAIKKDVTELIGNTPMVYLNNVADGCVARIAAKLEMMEPLSSVKDRIGHSMIKDAEDKGLITPGKTVLIEPTSGNTGIAVAFIAAVKGYKAILIMPATMSLERRIVLRALGAEVCLTDPAKGFQGVLDKSDEILNNTPNGYMLRQFENPANPQIHYETTGPEIWKDSRGKVDAFVAGIGTGGTVTGAGKFLKEKNPEIKVYGVEPTESAVLNGGKPGSHWIQGIGAGVVPPVLDVDLLDEVVQVSSEEAIDTAKLLALNEGLLVGISSGAAAAAAIKVAKRPENAGKLIVVIFPSAGERYLSSVLFDSVREEAEKMTYDT >Potri.015G147700.1.v4.1 pep chromosome:Pop_tri_v4:15:15163875:15165443:1 gene:Potri.015G147700.v4.1 transcript:Potri.015G147700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147700.v4.1 MTTLPPPPPSGVSPKTVEKAVNALLKWRSSTLNTQKPQLLEQDEFFYLILTLKKIPQKGVSRINAHKIPLPNSLINPLIEAPEICLIIDDRPKSGLNKDAAKKKIQNDNLPISKIIKVSKLKTDYRPFEAKRKLRDSYDMFFADKRVVPLLPKMLGKQFFKKKKIPVTLDLKHHNWKEQIDKACGSALLYLRSGTCSVVKVGRVSMSREEIANNVMAAINGIADIVPRKWGGVRSFHLKLLDSLALPVYQVVPDSKLKIDGGPKEQENDEGVVSVEEEKAKEGRVGKKKGRIQEVRYMDNNNNNNDNNGDGQVVDEVELGSDGEGDIDNDDDDDFEKVSDELLKKKRKKGDNKGKGEKKEQKVAKLKKEDGVKQKKVKNEDGIKQKKLKKGSLVSESGGMQVRGEKKKRLAA >Potri.016G002400.1.v4.1 pep chromosome:Pop_tri_v4:16:121565:124216:1 gene:Potri.016G002400.v4.1 transcript:Potri.016G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002400.v4.1 MIRRRLLSSLISSTSLLTPKTTLIPTFSQIQPFKESNFNNYFANGASGFMGFRAYSLLSLNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPMRRRLPKRGFKNPFSLTFQPVGLGKIARLINAGKIDSHELITMKTLKETGAIGKQIKDGVRLMGRGAEKIQWPIHLEVSRVTVRAKQAVEAAGGSVRRVHYNQLGLRALLKPEWFEKKGRLLPKAARPPPKLRGKVDSIGRLPAPTKPIPFYTEEKEAASTPA >Potri.001G376900.1.v4.1 pep chromosome:Pop_tri_v4:1:39653152:39655913:1 gene:Potri.001G376900.v4.1 transcript:Potri.001G376900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G376900.v4.1 MHFVFRIRTHLLKPQQRNLLSVLGPSVIIHRTLISCSTKLDSESQINDQNKKPLHLLFQEAVGLCEKTGTTSLGTHKKTNEFKIKLLELEREVRDLKEADSKRGEEEKVKNVMSRAKEETPGKNLYSVFLGKSENKVEMKGKEESPVVFKAERKMKVGREDRPKVFKVLSPDMEMFITHLYKEGYFNNASFLKDVSLDFSFFHDSYGRDFIKYAAEKFGKDHQEIAKWLSGSDLKKVALFGCPTLMRKSVFSAKRLRNFFEIQEATVCNKCVLKHSCNFVNQSVWRGDIKTLNLAVVMRVITLYALEAVHPELSVPNEIKASVNRLLTEILKLSQTVRQAA >Potri.009G101500.3.v4.1 pep chromosome:Pop_tri_v4:9:8963377:8968328:1 gene:Potri.009G101500.v4.1 transcript:Potri.009G101500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101500.v4.1 MGVLTNLRGSRAATASQEGLPVSDGSPSNSTQVSIFKMKWSNFLPIFVALVVIAEIAFLGRLDMAKNADLVDSWADSFFYRSTISADMVESDDFGLETVNMDKTNGTSESDSCEEWLEKEDAVVYSRDFDKDPVLVAGAEKEWNTCGVECQFGFNPSKKPDAGFGLPQQGGTASVLRSMESASYYAENNIAHARRRGYDVVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKALAAAFISNCGARNFRLQALDGLERSNINIDSYGNCHRNHDGRVDKVKTLKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPNSILHIKELEDVDSIAKTMKYLGENPDAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEENSPGFKRRPCRCTKDLETVYHLYVRERGRFQMESIFLRSGNLTVNALEAAVLKKFKSLKHVPIWKQERPESIRGGDDFKVYRVYPVGMTQRQALYSYKFNTDDDFKNHLEVNPCAKFEVIFV >Potri.009G101500.6.v4.1 pep chromosome:Pop_tri_v4:9:8963377:8968286:1 gene:Potri.009G101500.v4.1 transcript:Potri.009G101500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101500.v4.1 MQRTILLTHDGGRGYDVVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKALAAAFISNCGARNFRLQALDGLERSNINIDSYGNCHRNHDGRVDKVKTLKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPNSILHIKELEDVDSIAKTMKYLGENPDAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEENSPGFKRRPCRCTKDLETVYHLYVRERGRFQMESIFLRSGNLTVNALEAAVLKKFKSLKHVPIWKQERPESIRGGDDFKVYRVYPVGMTQRQALYSYKFNTDDDFKNHLEVNPCAKFEVIFV >Potri.009G101500.5.v4.1 pep chromosome:Pop_tri_v4:9:8963418:8968285:1 gene:Potri.009G101500.v4.1 transcript:Potri.009G101500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101500.v4.1 MGVLTNLRGSRAATASQEGLPVSDGSPSNSTQVSIFKMKWSNFLPIFVALVVIAEIAFLGRLDMAKNADLVDSWADSFFYRSTISADMVESDDFGLETVNMDKTNGTSESDSCEEWLEKEDAVVYSRDFDKDPVLVAGAEKEWNTCGVECQFGFNPSKKPDAGFGLPQQGGTASVLRSMESASYYAENNIAHARRGYDVVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKALAAAFISNCGARNFRLQALDGLERSNINIDSYGNCHRNHDGRVDKVKTLKRYKFSLAFENSNEEDYVTEKFFQSLVAGTIPVVVGAPNIQDFAPAPNSILHIKELEDVDSIAKTMKYLGENPDAYNQSLRWKYEGPSDSFKALVDMAAVHSSCRLCIHLATMIREKEENSPGFKRRPCRCTKDLETVYHLYVRERGRFQMESIFLRSGNLTVNALEAAVLKKFKSLKHVPIWKQERPESIRGGDDFKVYRVYPVGMTQRQALYSYKFNTDDDFKNHLEVNPCAKFEVIFV >Potri.010G146301.1.v4.1 pep chromosome:Pop_tri_v4:10:15752477:15753234:1 gene:Potri.010G146301.v4.1 transcript:Potri.010G146301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146301.v4.1 MLIFILYGFENANYACCHLIGPHGGLLPCGNMSLVCPERTNKTSDAWRLKLQITDEYSTNSEFLNCSHK >Potri.016G017002.1.v4.1 pep chromosome:Pop_tri_v4:16:884002:885936:1 gene:Potri.016G017002.v4.1 transcript:Potri.016G017002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017002.v4.1 MKKAELVFIPLPAMGHIIAAVEIAKLIVERDDRISTSVLIMRPTLDSTTTKYTESLTPSTLPNRIRVVDLPCLEHTAVHNTGASWMASLAEAQKNHVKEFVSKIKAQSELSPNDSPRLAGFVLDTFVLGMNDLAGEFGVPWYVFSASGAAFIGSMLYLQALHDEQKADLPEYKDSDAELEIPSLVNRLPAKLLPSLVFNRESLPIFLGAARRLKHARGILINTFKELESHAINSLSKGEIPPAYPLGPVVRCKGNSYDVGSGQINDYKDIMQWLDDQPPCSVVFLCFGSWGSFSVDQVKEIAYALEQCGHRFLWCLRERPCRGKIESPSDYVNFQDILPEGFLDRSVKIGKVIKWAPQVEILGHKAIGAQSYWRICITLWMEFYLRKYFVWCPNCHMAIVWRATI >Potri.019G014401.1.v4.1 pep chromosome:Pop_tri_v4:19:2415602:2419639:-1 gene:Potri.019G014401.v4.1 transcript:Potri.019G014401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014401.v4.1 MGSECAIQPHPNEGVITILSIDGGGVRGIIPSEVLGYLESILQGLENHKDVRIADYFDFIAGTSTGGLITAMLTATEDGKRPLLSAKKIIGFYMDHSKNIFKKNPTDENSHGLVAKTKSDNARFTDVMKDIMNSISKCISSNSSNSSSNILDSFLRRFISWHQIPQTIREMVMHFLEPKYDSDNLKEAIKECLRKEPRISETITNVIIPTFDIKRFRPIIFSTLKAKRDDSMNPLLSEVCIATSAAPYYFPPHLLTASAKEFHLVDGGVAANNPSLLALCEVIKERKVDYSKILLLSLGTGEQNGKDKLEVGDPSKWGIVKWIWQNGKSNPLLDILMTSADEMIEIYMSSIFQSRGLKDNYIRIQADLSSVEAAFDDSREENLKCLTKIGQDLVEKNEDILTDFAKKLVDIKKARSLKCFL >Potri.010G098900.2.v4.1 pep chromosome:Pop_tri_v4:10:12193479:12196422:1 gene:Potri.010G098900.v4.1 transcript:Potri.010G098900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098900.v4.1 MAQECTESSVAISPSIPLNWWDLHHANSLSSLTNTSPWHQSNPSSNSSCEEDLSMSTSFTNASNHSGLTVESARQLVEPASSTELMGEHAYSHLWSQILLGVGSNEELDNSQDVGENLLDALSSKTSSTMSSGIFGPACDYFKRMDSDWEFTNPASLNNFEKHLNGFSESLIGGGRFNKLVSQLSIAPPNPEVRRQLFDSLTCNISLSPSVNHDYSGQHQTYSNSTPCLMGESRNSDFQSCYGHDLKVENEHRERPTAPFNSNGVGYHIGLNSSVVGDNSKYYHGMPDATNRSARNFADALTFSNRLRKPLIDIQVPKPCFKSINLSDSRNQGLQTSSPSGKGHGTTNERKRRRSEETSETAAKKAKHESSTVSSVKIQAPKVKLSERVTALQQIVSPFGRTDTASVLYEAIQYIKYLQEQVQLFSNPYMKTTNSHKDPWAGLDRKDKGDARIDLRSRGLCLVPISCTPQIYHDNAGSDYWTPTYRECLYR >Potri.003G060300.3.v4.1 pep chromosome:Pop_tri_v4:3:8702178:8706209:1 gene:Potri.003G060300.v4.1 transcript:Potri.003G060300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060300.v4.1 MSYQQHFSMGSGSRPARRNFEFGRTCVVRPQGKHQATIVWLHGLGDNGSSCSQLLENLPLPNVKWICPTAPTRPVALLGGFPCTAWFDVGEISEESPDDWEGLDASAAHIANLLSTEPADVKIAIGGFSMGAATALYSATCAAFGRYGNGNAYPINLRAVVGLSGWLPGSRSLRTKVEGSHEAARRAASLPIFICHGTSDDVVPYNYGEKSAQCLNTAGFRNLTFKSYEGLGHYTVPREMDEVRNWLTARLGLDGSRS >Potri.007G041300.1.v4.1 pep chromosome:Pop_tri_v4:7:3449771:3456775:-1 gene:Potri.007G041300.v4.1 transcript:Potri.007G041300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041300.v4.1 MDDFHTLTITPRQQHKHNLSMFCLGGLFHQVKAFHVILVLSCTLLCFSMCGPCLTNGLQKPAEYDSCGSYGDNGAVGFQDISVGDTSLGYAAGSSMALLNFENICTNSHSFCFLSTLPGFSSKEHNLKVASLEVSGSPSDGSLFVGSIQGSRWAENKSWSLDYGMFQLLNGQAVSCSMNSREDVDELSSMQTNTCDQCDPSSCKGPLLNQKRTSVSLRKKSEMMKSSSFDASPPNVEISPPVLDWGQRHLYFPSVASLTVANTCNDSILHVYEPFSTDTQFYPCNFSEVLLGPGEVASICFVFLPRWLGLSSAHLILQTSSGGFLVQVKGYAVESPYNISPLSSLDAPSSGRLRKNFSLLNPFDEILYVKEVNAWISVSQGNISHNTEATCSLENLGGPDGLSHLGVKDWLVVRSAQNGFPWMAMRPQENWEIGPHSSETIMEIDFSVESEGNVFGAFCMQLLRSSQDRTDTVMFPLELELDGKVAYNGISGSVSFETLVPYDVGNTVVVAIALRNRAPHVLSVVKISEVAAAKVFQIKYIEGLLLFPSTVTQVATVTCTQLLVELHDSPSEMSNMNKDCKLVLLTNDSSTQIEIPCQDIFHVCLKRQKDSFIGYDNHSGGAETGNRRTGSLGSGKQSLSEIKALEIAEADEFVLGNWKSQGTTSGMSVLDDHEVLFPMVQVGTYHPRWITVKNPSEHPVVMQLILNSGEIIDECRGTDGSLEPPSSNIFVHTELTPPTRYGFSMAESALTEAYVHPYGKAYFGPIFFYPSNRCGWRSSALIRNNLSGVEWLSLRGFGGSLSLVLLDGSEPVQSIEFNLNLPMPLNISRMDGLFNMEETTYICSVPSSKELYAKNMGDLPLEVKSIEVSGSECGLDGFMVHACKGFSLEPGESTKLLISYQSDFSAAMVHRDLELALASGILVIPIKASLPLYMYNLCKKSVFWMRLKKFSAAVLLAASLMILIFCCIFPQVIAFGSQDYYFNSKESSSTTVGSAGKASHMHRNQRKIKFSESRGMDSLLSSVHRNQRKSKFSESRGMDSLLSSVGEDKASNQESIGKYADGHDGALEQGLTIKNLASTLENHKQGYILSCTEEDKSVAVENSDSLNAPQPPNLTVRTGKDKGRRRRKRKGVSACLTGLLEVSSSQSGNSTPSSPLSPVSATPNRLWSPSSDVESVGVRNPFTLAACQQFERFQVSKSSSKTVVVEPKGSIKYHSYNYFSATQERPSVPNKTFNTPSAAFPCSGGAAPTLHYSSPLSSTSTIAPIVRAPGAKLLNQRSVEVDEKVGDEYTYDIWGDHFSGLHLAGSPKDTTMKTIGTEGNSDTFFVRGPQTLMEKSQPKSVSSFHQEG >Potri.007G041300.7.v4.1 pep chromosome:Pop_tri_v4:7:3449713:3455600:-1 gene:Potri.007G041300.v4.1 transcript:Potri.007G041300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041300.v4.1 MALLNFENICTNSHSFCFLSTLPGFSSKEHNLKVASLEVSGSPSDGSLFVGSIQGSRWAENKSWSLDYGMFQLLNGQAVSCSMNSREDVDELSSMQTNTCDQCDPSSCKGPLLNQKRTSVSLRKKSEMMKSSSFDASPPNVEISPPVLDWGQRHLYFPSVASLTVANTCNDSILHVYEPFSTDTQFYPCNFSEVLLGPGEVASICFVFLPRWLGLSSAHLILQTSSGGFLVQVKGYAVESPYNISPLSSLDAPSSGRLRKNFSLLNPFDEILYVKEVNAWISVSQGNISHNTEATCSLENLGGPDGLSHLGVKDWLVVRSAQNGFPWMAMRPQENWEIGPHSSETIMEIDFSVESEGNVFGAFCMQLLRSSQDRTDTVMFPLELELDGKVAYNGISGSVSFETLVPYDVGNTVVVAIALRNRAPHVLSVVKISEVAAAKVFQIKYIEGLLLFPSTVTQVATVTCTQLLVELHDSPSEMSNMNKDCKLVLLTNDSSTQIEIPCQDIFHVCLKRQKDSFIGYDNHSGGAETGNRRTGSLGSGKQSLSEIKALEIAEADEFVLGNWKSQGTTSGMSVLDDHEVLFPMVQVGTYHPRWITVKNPSEHPVVMQLILNSGEIIDECRGTDGSLEPPSSNIFVHTELTPPTRYGFSMAESALTEAYVHPYGKAYFGPIFFYPSNRCGWRSSALIRNNLSGVEWLSLRGFGGSLSLVLLDGSEPVQSIEFNLNLPMPLNISRMDGLFNMEETTYICSVPSSKELYAKNMGDLPLEVKSIEVSGSECGLDGFMVHACKGFSLEPGESTKLLISYQSDFSAAMVHRDLELALASGILVIPIKASLPLYMYNLCKKSVFWMRLKKFSAAVLLAASLMILIFCCIFPQVIAFGSQDYYFNSKESSSTTVGSAGKASHMHRNQRKIKFSESRGMDSLLSSVHRNQRKSKFSESRGMDSLLSSVGEDKASNQESIGKYADGHDGALEQGLTIKNLASTLENHKQGYILSCTEEDKSVAVENSDSLNAPQPPNLTVRTGKDKGRRRRKRKGVSACLTGLLEVSSSQSGNSTPSSPLSPVSATPNRLWSPSSDVESVGVRNPFTLAACQQFERFQVSKSSSKTVVVEPKGSIKYHSYNYFSATQERPSVPNKTFNTPSAAFPCSGGAAPTLHYSSPLSSTSTIAPIVRAPGAKLLNQRSVEVDEKVGDEYTYDIWGDHFSGLHLAGSPKDTTMKTIGTEGNSDTFFVRGPQTLMEKSQPKSVSSFHQEG >Potri.010G224400.1.v4.1 pep chromosome:Pop_tri_v4:10:20858347:20860125:1 gene:Potri.010G224400.v4.1 transcript:Potri.010G224400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224400.v4.1 MESHDFSSDIIYEILTRSSMETVGRCRLLSKECNSITYDSIFTNLHSHRTNTISGYFMQSMMSNRFYSSFVSIDSTTWRSYPKLSLNFLPDRVEIQGSTNQGILLCRTHHPRYYVCKPTTKQWRRIPNPKTRYHTIATGMIVIRSNPLHYKIARFSQPKTRCHDKEFFQYYWVRCELFDSKTWTWKQLDEVKLQNHESLSRKPAVSVSGSLHWLTWVENNIFAFHVSKESYSMFSLPLSVSQDNKGKDIELVEYMGKLAVTCIGKDESSMELWVMENYGKTEWNKRYKINIEALRRKEPDTRPAAFYNADIVLMKEYDHRVTFFNFKNGSFDRLSLENNVNRGCFRFQSDFEPSDLTGGFALKHPQGKRGRSKSKRRKSLTKYSIGQLGFRQLFALTTSKSFLILLLAFIFFCYVLDF >Potri.001G295000.2.v4.1 pep chromosome:Pop_tri_v4:1:30621891:30623049:-1 gene:Potri.001G295000.v4.1 transcript:Potri.001G295000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295000.v4.1 MEAEPPPHVRNRPAFREKKIPVTTDNPDKAAVEPPTSSHSGRPVYISESREERDHNPRHIDRSERPAAGNRGDMLRGGLPSRERYGGGNYRGRGRFSERQGHRPSGMQGEKWKHDLFDDANKSPTTKNEEDQIAKIERLLAS >Potri.001G338100.1.v4.1 pep chromosome:Pop_tri_v4:1:34697674:34698464:-1 gene:Potri.001G338100.v4.1 transcript:Potri.001G338100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338100.v4.1 MKREGRPHGMVRTYTILPSPWNSKPSDKKFNKFDAPPTAGLFSKVPSKPTNHSKFTGKCSKPRCTGCHMHPSSKSKDKTKGSHKVKSHDRVMTWGAAFEFSGFSATAMLDHLATDDEVFW >Potri.003G109300.2.v4.1 pep chromosome:Pop_tri_v4:3:13171286:13174856:1 gene:Potri.003G109300.v4.1 transcript:Potri.003G109300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109300.v4.1 MSPSLDPVERNQIKPPIGIRFLECVKKRRLSYGTHQAIVLIVTFLAYASYHAARKTTSIVKSTLDPQSSEVGLRSVPWRITYSNEPVARKRSSWKLGEGWAPFDASDGTALLGELDLAFLAIYAIGMFFSGHLGDRMNLRIFLTIGMVGTGIFTSLFGVGFWENVHNFYYYLIVQMLAGLFQSTGWPSVVAVVGKWFGKRKRGLIMGIWNAHTSVGNISGSLIAAAMLSYGWGWSFVLPGLVIAFVGLLVFLLLPVSPEAVGADKDEDELDSPNKAGEGVTEPLLASDPDVKQEAVGFIEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISQTAIDGKYLSDGSSGNLSTLFDVGGVVGGILAGHISDRLDARAITAASFMYCAIPSLFFYRSYGHLSLGLNIALMFITGMFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWNAVFAMLMAAALVAGLLLTRLIVAEVAAKIAESRSQGSTSRAPAAALDV >Potri.003G109300.3.v4.1 pep chromosome:Pop_tri_v4:3:13171264:13174812:1 gene:Potri.003G109300.v4.1 transcript:Potri.003G109300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109300.v4.1 MSPSLDPVERNQIKPPIGIRFLECVKKRRLSYGTHQAIVLIVTFLAYASYHAARKTTSIVKSTLDPQSSEVGLRSVPWRITYSNEPVARKRSSWKLGEGWAPFDASDGTALLGELDLAFLAIYAIGMFFSGHLGDRMNLRIFLTIGMVGTGIFTSLFGVGFWENVHNFYYYLIVQMLAGLFQSTGWPSVVAVVGKWFGKRKRGLIMGIWNAHTSVGNISGSLIAAAMLSYGWGWSFVLPGLVIAFVGLLVFLLLPVSPEAVGADKDEDELDSPNKAGEGVTEPLLASDPDVKQEAVGFIEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISQTAIDGKYLSDGSSGNLSTLFDVGGVVGGILAGHISDRLDARAITAASFMYCAIPSLFFYRSYGHLSLGLNIALMFITGMFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWNAVFAMLMAAALVAGLLLTRLIVAEVAAKIAESRSQGSTSRAPAAALDV >Potri.003G109300.1.v4.1 pep chromosome:Pop_tri_v4:3:13171271:13174804:1 gene:Potri.003G109300.v4.1 transcript:Potri.003G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109300.v4.1 MSPSLDPVERNQIKPPIGIRFLECVKKRRLSYGTHQAIVLIVTFLAYASYHAARKTTSIVKSTLDPQSSEVGLRSVPWRITYSNEPVARKRSSWKLGEGWAPFDASDGTALLGELDLAFLAIYAIGMFFSGHLGDRMNLRIFLTIGMVGTGIFTSLFGVGFWENVHNFYYYLIVQMLAGLFQSTGWPSVVAVVGKWFGKRKRGLIMGIWNAHTSVGNISGSLIAAAMLSYGWGWSFVLPGLVIAFVGLLVFLLLPVSPEAVGADKDEDELDSPNKAGEGVTEPLLASDPDVKQEAVGFIEAWKIPGVAPFALCLFFSKLVAYTFLYWLPFYISQTAIDGKYLSDGSSGNLSTLFDVGGVVGGILAGHISDRLDARAITAASFMYCAIPSLFFYRSYGHLSLGLNIALMFITGMFVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAIGPLLTGYISSKSWNAVFAMLMAAALVAGLLLTRLIVAEVAAKIAESRSQGSTSRAPAAALDV >Potri.013G107600.1.v4.1 pep chromosome:Pop_tri_v4:13:11642875:11643862:1 gene:Potri.013G107600.v4.1 transcript:Potri.013G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107600.v4.1 MGRRKVKHELISNESARKVTFRKRKAGLLKKLDELATLCGVIACAIIFSAYDDQPEIWPSPAEALFAFEELKRLPSRKPGKYMVDQEAFLSTNVSKLNQQLEKQRRKNLGLELELMMAGCKEGMDLHDLKRIKNPSESIQFLEEMIASVTSEIEHAENENNA >Potri.004G169800.1.v4.1 pep chromosome:Pop_tri_v4:4:20418540:20423699:1 gene:Potri.004G169800.v4.1 transcript:Potri.004G169800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169800.v4.1 MAAELLLTFALEETLKRVSSIAAEGIELAWGLEGQLRKLNQSLTMIKDVLQDAARRAVTDESVKRWLQNLQVVAYDAEDVLDEFAYEILRKKQKKGKVRDCFSLHNPVAFRLNMGQKIKKINEALDEMKDAAGFGFGLTSLPVDRAQELSRDPDRETHSFLDSSEVVGREGDVFKVMELLTSLTKSQHVLPVVPIVGMAGLGKTTVAQKVCEVVRERKHFDVPLWVCVSNDFNNVKILGAMLQNIDKTTGGLSNLNAIMENLKKKLEKRTFFLVLDDVWNEDHGKWDDLKEQLLKISNKNGNAVVVTTRNKKVADMMETSPGIQYEPGKLIDDECWSIIKQKVSGGGRETIAPDLESIGTEIAKKCGGLPLLANVLGGTLRRKEMQEWQSILKSKSWDSRDGDKALRILRLSFDYLPSPTLKKCFAHCSIFPKDFKIGRAELIQLWMAEGFLRPLNGRMEDIGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRHLNLVSRGDDEAALTAVDARKLRTVFSMVDVFNGSWKFKSLRTLKLQNSDITELSDSICKLVHLRYLDVSDTAIRALPESIRKLYHLQTLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPIFVVGPDHKIEELGCLNELRGALKISKLEQVRDREEAEEAKLQEKRMNKLVFKWSDDEGNSSVNNEDALEGLQPHPDIRSLTIEGYGGENFSSWILQLNNLMVLRLNDCSKCRQLPTLGCLPRLKILKMSGMPNVKCIGNEFYSSSGSAAVLFPALKKLTLWGMDGLEEWMVPGGEVVAVFPCLEKLSIEKCGKLESIPICRLSSIVEFEISGCDELRYLSGEFHGFTSLRVLRIWRCPKLASIPSVQHCTALVELIISWCGELISIPGDFRELKYSLKRLIVDECKLGALPSGLQCCASLEELSLCEWRELIHISDLQELSSLRTLLIRGCDKLISFDWHGLRQLPSLDDLAVITCPRLSDIPEDDCLGGLTQLEHLSIGGFSEEMEAFPAGVLNSIQHLNLSGSLKALWIWGWDRLKSVPHQLQHLTALENLRIYGFNGEEFEEALPEWLANLSSLQSLAIIGCKNLKYLPSSTAIQRLSKLKELWIFRCPHLSENCRKENGSEWPKISHIPTIYLQPDLED >Potri.010G081600.1.v4.1 pep chromosome:Pop_tri_v4:10:10922392:10925370:-1 gene:Potri.010G081600.v4.1 transcript:Potri.010G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081600.v4.1 MLAVFDKTVAKCPDALQSPHSAPAASVLKDGFLANHLASLHPGSVTVNLGTSGLIAYSLDKQNPLLPRLFAVVDDIFCLFQGHIENVAVLKQQYGLNKTANEVIIVIEAYRTLRDRGPYPADQVVKGILGKFAFILYDSTSKATFVAVDADGSVPFFWGTDSEGNLVLSDDVQIVQKGCGKSFAPFPKGCFFTTSRGLRSFEHPMNELKPVPRVDSSGQVCGSTFKVDAETKKESAGMPRVDSSYNWSSNY >Potri.003G005900.6.v4.1 pep chromosome:Pop_tri_v4:3:645661:653839:1 gene:Potri.003G005900.v4.1 transcript:Potri.003G005900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005900.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSMDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTVSYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRNNPRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPATRLPNGRPLPPLFNFKPQELSGIPTDIVNRLIPDYARKQNLFMALHT >Potri.003G005900.2.v4.1 pep chromosome:Pop_tri_v4:3:645692:653851:1 gene:Potri.003G005900.v4.1 transcript:Potri.003G005900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005900.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSMDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTVSYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRNNPRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPATRLPNGRPLPPLFNFKPQELSGIPTDIVNRLIPDYARKQNLFMALHT >Potri.003G005900.4.v4.1 pep chromosome:Pop_tri_v4:3:645676:654062:1 gene:Potri.003G005900.v4.1 transcript:Potri.003G005900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005900.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSMDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTVSYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRNNPRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPATRLPNGRPLPPLFNFKPQELSGIPTDIVNRLIPDYARKQNLFMALHT >Potri.003G005900.5.v4.1 pep chromosome:Pop_tri_v4:3:645449:654044:1 gene:Potri.003G005900.v4.1 transcript:Potri.003G005900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G005900.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSMDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTVSYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHCFFSTTDKEELYLNLVLEYVPETVNRIARNYSRNNPRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACIHPFFDELRDPATRLPNGRPLPPLFNFKPQELSGIPTDIVNRLIPDYARKQNLFMALHT >Potri.015G007300.1.v4.1 pep chromosome:Pop_tri_v4:15:438074:440329:1 gene:Potri.015G007300.v4.1 transcript:Potri.015G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007300.v4.1 MADQNNKKVVKVYDTEQDVAVSLAKYVADLSAKFAKERGSFTVVLSGGYLIDSIRKLTEPPYVDSVEWSKWHVFWVDERVVPRNHVDSNYKLAFDGFLSKVPIPAGQVYAINDALSAEGAAEDYQTVLKHLVDTGVLAKSSVTGFPKFDLMLLGMGPDGHVASLFPGHPLLEENVKWVTHIMDSPKPPPQRITFTFPVINSSAYIAMVVCGPGEVDAVYKALGKTENPELLPVQRVTPEEELRWFLDKVAASKLQE >Potri.018G019400.1.v4.1 pep chromosome:Pop_tri_v4:18:1310996:1313529:-1 gene:Potri.018G019400.v4.1 transcript:Potri.018G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019400.v4.1 MKIHHQDSIFKPPITSSSSSPSPLFNSQKTHDDSPLPLPLRVADVLLFDDKDDDVLDELLMMGEEARCRGWQRSSSCYPPHRIAARWISGLRRNRVKESKKDEMGGDGIVQVRRSMKGLNEQDSRSSASEITVSEQCNREATVNLGVGCYLLHLIAASKNELDKMLEMRMQMEKLLENVREELRKKDGLSKPSNVCAYSTTHIVDGPDFETQLSPQIFTSSYVLPGSSAITVCDHSLRWETPMQEECSEGMDKLEAELEVELERLQLHLDTVDNSVKCPQKKGRWVTNEDIATSKSQTGSSGEVVAYVFEDQAARSEEHRGVPPRELERRLHELLESRQQEHIRELEAKLECLEHKLREKEMEVSWWKDTARLISRHLPGSSKFSSHHLAKLLSP >Potri.014G104700.1.v4.1 pep chromosome:Pop_tri_v4:14:7008972:7011015:-1 gene:Potri.014G104700.v4.1 transcript:Potri.014G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104700.v4.1 MATSEFPAQKDGSKAVLQETTVVVFVLGGPGSGKGTQCPKIVEHFGFRHLCAGDLLQAEIESESENGTMIQNFKKEGKIVPSEITVKLLQQAMQQSDNKRFIIDGFSRNEENRAAFENIVRIKPEFVLFFDCPEEELTKRILNRNQGRVDDNIETIGKRLKVYFESTLPVINYYNSKGKVQKIDAQRSIEEVFEDVKSVFAKLRPVARVGSTK >Potri.017G154000.1.v4.1 pep chromosome:Pop_tri_v4:17:15105163:15106400:1 gene:Potri.017G154000.v4.1 transcript:Potri.017G154000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154000.v4.1 MEKTRTQMTGDKPKIHPAVDVEAPPPTAPLISRGLATSEKGGSSQSQQQPPLPLRTMPAAMQSSKPQKTRSCCCKCVCWTVGLLVLLLVIVGATAGILYLVFKPKIPNYSVDSLSISDLRLNFDMSLYAKFDVKITANNPNKKIGIYYEKGGLLSVWYTNTKLCAGSIPKFYQGHQNITKLDVSLTGQTQYGSTLLRALQEQQQTGRIPLDLKVDAPVSIKLGRLKLRKVTILGDCLLVVDSLSTNNLISIKASNCRFKLKL >Potri.006G178700.5.v4.1 pep chromosome:Pop_tri_v4:6:18495494:18497718:-1 gene:Potri.006G178700.v4.1 transcript:Potri.006G178700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178700.v4.1 MDIEELPSVCVLDASTYVGSWILKGLLSRGYTVHAAIQKNNGETEIEKEIRGLGREEERLVVFEVDVLDYHSILIALKGCSAMFCCLDSRDGYDEKMVDSEIRGAINVAEACAQTDTIEKIIFSSSLTAAIWKESICSEKDVDERSWSDQEFCRKLKLWHALAKTLSEQAAWALAMDRMLNMVSINAGLVLGPGVSQQNPLSTMSYLRGAAQMYENGVLAYVDVNFLADVHIRAFQDRSTCGRYFCFNQTVTTEEEAVKLAQSLNPLISLPPRYEYQGNEVHAERLRTKKLNKLVEGAA >Potri.002G025500.1.v4.1 pep chromosome:Pop_tri_v4:2:1707035:1709280:1 gene:Potri.002G025500.v4.1 transcript:Potri.002G025500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025500.v4.1 MALSDFLILSVPIFLLFLLIKRNKTTKKACLPPGPDGLPFIGNLHQLGNSNLHQYLWKLSQKHGPLMYLRLGFKPALIVSSAKMAREILKTHDLEFCSRPALTATKKFTYNGLDLAFAPYGAYWREVKKICVVRVFSSIRAQSFRPIREDEVSRMIENISKSALASKPFNLSEELVSLTSTTICRVAFGKRYEIGGSDKNRFQELLHETQAMASSFFLSDYFPCLGWLVDKLTGLSYRLEKSFKEFDAFYKGIIDDNIDPNRPKPEREDTILDFLLQIHKEGSFKVQLTLDHIKAILTDIFLAGTDTGAVTVIWAMTFLMKNPKAMRKAQEEVRNLFGNKGFVDDDDVQQLPYLKAVVKETMRLQPTAPLLLPRETTKECYLGGYEIPAKTLVYVSAWAVGRDPKAWENPYEFNPDRFLGSSIDLKGNDFELIPFGAGRRICPGIFIALATVELSLANLLHKFDWEMPSGVEDIDMDDVLPGLIPHMRDALCLVPKLVCDGKMGHKGTGAHDY >Potri.010G139100.5.v4.1 pep chromosome:Pop_tri_v4:10:15320830:15326098:1 gene:Potri.010G139100.v4.1 transcript:Potri.010G139100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139100.v4.1 MRGKGVSRDCSWRRTRSGKKTIGGREGQGSLDNIVVIDVDSDEFENVIIVDVPESLQQKLRGSSAVREGTRSPCIISVDDDDDDDDDDEEEEDECYTVDDHEINEQVDGNLDSDGTSSPSSPASDHIEKPVHRDADGCRVAEENRPVFKLRKCNRTYAEKATSRNRYGLDSDAEKATSRNRYGLDSDAESDSSEDNTSDCEVMEGSFGEVREQWEKASLKRKSMRCKGLDDQASPCSSHSDVHPNVEVENKTKQNSEPAVCSSSRNVNFGKVNSCASNDAGDGVLGGFPASAKMGNPFAKCNQKGESFSGSWKSRADENIHFHWTGDDLFGGETFTGDGDISCNKFQTVNGPGIKFPPGPSSQSNQVKDDKQYHDRTCFHYMEQNTTTEHSFPNTQRGPSLYSDDGKASDLNDNDSLPDGHHFDEIHNVNNSQIGSKEEDKEFTQVLSSCKTCSNDGRCREKFVSCTQSSEDKVVENVVAPSWTTQEVSDEKSDHYERAPREKSSQCHDTLSKRGISNSAEGKEAFTDFASSSQPCYERDPLCASHGDLLLSAERDIINEREKLKETDEYKQAIEEEWAARQRQLQIQAEEVQRLRKRRKAETLRILDMERRQKQRLEEVRETQKKDEENLNMKERFRVEVRKELYRLEVTCFNMASLLRGLGIHVEGGLKPLPNQVHAAYKRALLKLHPDRASKTDIRQQVEAEEKFKLISRMKEKFLSTSYH >Potri.010G139100.4.v4.1 pep chromosome:Pop_tri_v4:10:15320802:15326096:1 gene:Potri.010G139100.v4.1 transcript:Potri.010G139100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139100.v4.1 MRGKGVSRDCSWRRTRSGKKTIGGREGQGSLDNIVVIDVDSDEFENVIIVDVPESLQQKLRGSSAVREGTRSPCIISVDDDDDDDDDDEEEEDECYTVDDHEINEQVDGNLDSDGTSSPSSPASDHIEKPVHRDADGCRVAEENRPVFKLRKCNRTYAEKATSRNRYGLDSDAEKATSRNRYGLDSDAESDSSEDNTSDCEVMEGSFGEVREQWEKASLKRKSMRCKGLDDQASPCSSHSDVHPNVEVENKTKQNSEPAVCSSSRNVNFGKVNSCASNDAGDGVLGGFPASAKMGNPFAKCNQKGESFSGSWKSRADENIHFHWTGDDLFGGETFTGDGDISCNKFQTVNGPGIKFPPGPSSQSNQVKDDKQYHDRTCFHYMEQNTTTEHSFPNTQRGPSLYSDDGKASDLNDNDSLPDGHHFDEIHNVNNSQIGSKEEDKEFTQVLSSCKTCSNDGRCREKFVSCTQSSEDKVVENVVAPSWTTQEVSDEKSDHYERAPREKSSQCHDTLSKRGISNSAEGKEAFTDFASSSQPCYERDPLCASHGDLLLSAERDIINEREKLKETDEYKQAIEEEWAARQRQLQIQAEEVQRLRKRRKAETLRILDMERRQKQRLEEVRETQKKDEENLNMKERFRVEVRKELYRLEVTCFNMASLLRGLGIHVEGGLKPLPNQVHAAYKRALLKLHPDRASKTDIRQQVEAEEKFKLISRMKEKFLSTSYH >Potri.012G123500.2.v4.1 pep chromosome:Pop_tri_v4:12:14056850:14061347:1 gene:Potri.012G123500.v4.1 transcript:Potri.012G123500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123500.v4.1 MAEALLSPILEQLTTIVAQQVQEEVNLVVGVKKQCDKLKSNLLDIQSVLEDADRKQVKDKAVRDWLDKLKDACYDMDDVLDEWRTAILRWKMEEAEENTHSRQKIRCSFLGSPCFCFNQVVRRRDIALKIKEVRKKVDDIAKERAKYGFDLYKTTDELQRLTTTSFVDESSVIGRDGEKRNVVSKLLAESSQEARDVDVISLVGLGGIGKTTLAQLAFNDAEVTAHFEKKIWVCVSEPFDEVKIAKAILEELEGRASDLVGLQSLLRRVSESIEGKRFLLVLDDVWTENHGQWEQLKPSLTGCARGSRILVTTRKDAVATMMGSTGHRINIKELSDEICRSIFNHVAFQERSEDERERLTDIGDKIANKCKGLPLAAKVLGGLMQSKRTREEWDRVLSSELWRLDEVDRDQVERRIFIPLLLSYYDLPSVVRRCFLYCAMFPKDYEMDKDELVKMWMAQGYLKETSGGDMELVGEQYFQVLAARSFFQDFETDEDEGMTFKMHDIVHDFAQYMTKNECLTVDVNTLGGATVETSIERVRHLSMMLPNETSFPVSIHKAKGLRSLLIDTRDPSLGAALPDLFKQLTCIRSLNLSRSQIKEIPNEVGKLIHLRHLNLAWCVELESLPETICDLCNLQSLDVTWCRSLKELPKAIGKLIKLRHLWIDSSGVAFIPKGIERITCLRTLDKFTVCGGGENESKAANLRELKNLNHIGGSLRIDKVRDIENVRDVVDALLNKKRLLCLEWNFKGVDSILVKTELPEHEGSLIEVLRPPSDLENLTIRGYGGLDLPNWMMTLTRLRMLSLGPCENVEVLPPLGRLPNLERLLLFFLKVRRLDAGFLGVEKDENEGEIARVTAFPKLKSFRIRYLEEIEEWDGIERRVGEEDANTTSIISIMPQLQYLGIRKCPLLRALPDYVLAAPLQELEIMGCPNLTNRYGEEEMGEDWQKISHIPNIYFHDI >Potri.006G185400.7.v4.1 pep chromosome:Pop_tri_v4:6:19160362:19166014:-1 gene:Potri.006G185400.v4.1 transcript:Potri.006G185400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185400.v4.1 MACRIADPSVFLFTGPHQRIRSQKIATHSAPCNWHLTWDRLLVKGENHKKWVIPMRRTRTVQAVAAPVAPSSADSAEYRKQLAESYGFRKIGEPLPDNITLKDVMDTLPKKVFEIDDMKAWKSVLVSVTSYAFGLFMISKAPWYLLPFAWAWTGTAVTGFFVIGHDCAHKSFSRNKVVEDIVGTLAFLPLIYPYEPWRFKHDKHHAKTNMLEEDTAWHPVWKAEFDSSPNLRKAIIFGYGPFRPWMSIGHWLIWHFNLKKFRSNETQRVKISLACVFGFMAVGWPLIIYKAGIMGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKSSDEWNAAQAQLNGTVHCDYPSWIEILCHDINVHIPHHVSSRIPHYNLRMAHKSLQENWGKYLNEAKWNWRLMKTIMTLCHVYDKEENYVAFDQLAPEESQPVAFLKRVMPDYA >Potri.006G185400.1.v4.1 pep chromosome:Pop_tri_v4:6:19160248:19165958:-1 gene:Potri.006G185400.v4.1 transcript:Potri.006G185400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185400.v4.1 MACRIADPSVFLFTGPHQRIRSQKIATHSAPCMTGNWHLTWDRLLVKGENHKKWVIPMRRTRTVQAVAAPVAPSSADSAEYRKQLAESYGFRKIGEPLPDNITLKDVMDTLPKKVFEIDDMKAWKSVLVSVTSYAFGLFMISKAPWYLLPFAWAWTGTAVTGFFVIGHDCAHKSFSRNKVVEDIVGTLAFLPLIYPYEPWRFKHDKHHAKTNMLEEDTAWHPVWKAEFDSSPNLRKAIIFGYGPFRPWMSIGHWLIWHFNLKKFRSNETQRVKISLACVFGFMAVGWPLIIYKAGIMGWIKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKSSDEWNAAQAQLNGTVHCDYPSWIEILCHDINVHIPHHVSSRIPHYNLRMAHKSLQENWGKYLNEAKWNWRLMKTIMTLCHVYDKEENYVAFDQLAPEESQPVAFLKRVMPDYA >Potri.006G185400.8.v4.1 pep chromosome:Pop_tri_v4:6:19160402:19160767:-1 gene:Potri.006G185400.v4.1 transcript:Potri.006G185400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185400.v4.1 MKTIMTLCHVYDKEENYVAFDQLAPEESQPVAFLKRVMPDYA >Potri.004G000150.1.v4.1 pep chromosome:Pop_tri_v4:4:10778:11662:-1 gene:Potri.004G000150.v4.1 transcript:Potri.004G000150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G000150.v4.1 MPSNPSWTWRKLLQSRDWCRGWFTVNIGNGSSTFLWYDYWLPEGKRFIDMHTLRTLTATGLPWNARVSSIINEGHWNFPMHITGLHASWQSIVFHPKPQLEDHCVWKGNSTGNFSIRSAWDLLRDKRPLNNIHLLLWFKGHIPRQSFILWLAGLGRLRTMDRLHSAEIIRNRTCILCGLHTETHAHLFFECPTSKTVWRTVNARANIYWPCCTWPNILQWGSANYYRKDDITHLIARFLLSATVYLLWFEHNKRIFNNQYLTATNIAEEVFQQVRTQLTTMQFSGAIPTAICNI >Potri.019G014454.1.v4.1 pep chromosome:Pop_tri_v4:19:230033:233141:1 gene:Potri.019G014454.v4.1 transcript:Potri.019G014454.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014454.v4.1 MEFDRVQAIASLSFDKETIPEEFIWPEKEQPATTTFDGRVPEIPTIDLNDPNPENLVRLIADASKEWGIFQVVNHGIPSDLIAKLQDVGKKFFELPQEEKEVYAKPHDSKSIEGYGSKLQNNPQVKKSWVDHLFHIIWPPSSINYQFWPNNPPSYREVNEEYAKYMREVTDKLFTALSLGLGLEGHALKEGAGGEEIEYMLKINYYPPCPRPDLTLGVAAHTDLSALTILVPNEVPGLQIFKDGNWFEAKYIPNALIIHIGDQIEILSNGKYKAVLHRTTVAKDKARMSWPVFLEPPGELVVGPLPHLIKKDNPPKFKAKKFEDYMYCKLNRLPQ >Potri.019G089001.2.v4.1 pep chromosome:Pop_tri_v4:19:12778514:12780077:-1 gene:Potri.019G089001.v4.1 transcript:Potri.019G089001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089001.v4.1 MIAQVNRKQQWSKVMGMLEWLIQGRWNTGSDIWQISFAEPINYLQLMTKGHNQNFLAAIHDTRPTYFLCFSHNLRYFQQSNSKINSGSVLYLVPSSPEPNWFTIVQCFYFLGKACCRSSTQVIQF >Potri.019G089001.1.v4.1 pep chromosome:Pop_tri_v4:19:12778514:12780077:-1 gene:Potri.019G089001.v4.1 transcript:Potri.019G089001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089001.v4.1 MIAQKVNRKQQWSKVMGMLEWLIQGRWNTGSDIWQISFAEPINYLQLMTKGHNQNFLAAIHDTRPTYFLCFSHNLRYFQQSNSKINSGSVLYLVPSSPEPNWFTIVQCFYFLGKACCRSSTQVIQF >Potri.003G162400.1.v4.1 pep chromosome:Pop_tri_v4:3:17181958:17186604:1 gene:Potri.003G162400.v4.1 transcript:Potri.003G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162400.v4.1 MELPCDGAGICMVCKNKPPSEETLACKTCVTPWHATCLVSPPQALSDTLQWECPDCSMIHPPSTSAFASGRSEDSGDLIASIRKIEDDKSLTEQEKAKKRQELLSGAAAGPSSLDGEEKKEKNDVLDILDKELTCSFCMQMLDRPVTTPCGHNFCLKCFQRWIGQGKRTCAYCRVQIPPKIASQPRINSTLVIAIRMARMSRSSNAGGAAKVYHFVHNQNRPDKAYTTERAKKAGKANACSGKIFVTVAPDHFGPIPAENDPERNMGVLVGEIWEDRLACRQWGAHLPHVAGIAGQSTYGAQSVALSGGYIDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSFDQKFDKMNEALRLSCLKGYPVRVVRSHKEKRSSYAPETGVRYDGVYRIEKCWRKNGIQGFKVCRYLFVRCDNEPAPWTSDVQGDRPRPLPVIKELKNAIDITERKGSPSWDYEDEKSCWMWKKPPPASKKRVADSVGPEDGKVIRIIKRQKANISVREKLLKEFSCQICRKVMANPITTPCAHNFCKACLEGAFAGQSLTRQRGQGRRTLRAQKNVMKCPSCTIDIADFLQNPQVNRELMGVIESLQQQAEQEKLDNNSEECSEESDATEQQRDLVADDQIAEEPKDESQNAQKQKKETDDDDIMDPSSNVQTMSPGKEQEAPTTTDRASQ >Potri.001G395300.2.v4.1 pep chromosome:Pop_tri_v4:1:41950334:41952734:1 gene:Potri.001G395300.v4.1 transcript:Potri.001G395300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395300.v4.1 MDDWREVPISQNEKKNIMIRSRLSYFPSVFFLAQKWLISINLKTIGCRVLLKEQELKRKKHIPPSISLSLSLAISMASPNSVKILDICEVAAAYDSTKSATETILSPTFFELSGLRFPPSDCLCFFKLTDSNPTFFHSVIFPSLKQSLSHALLHFLPIVGSLTWPPESSRPIFVYHPKNDSVSVTLAECNGDFDRLIGNNGIHEAVESHPYAPQFVATETRSP >Potri.017G050100.4.v4.1 pep chromosome:Pop_tri_v4:17:3532555:3542301:-1 gene:Potri.017G050100.v4.1 transcript:Potri.017G050100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050100.v4.1 MITSNNHHPSTRHHHHSQHRQSQHFNRHRNFRNHQNQSLFVVRLLSNHRNNNRTQSPLETLISQCNPKPDKSDTNPTSAVAARLFFHDQSDAIAAVVFLWERRLAGDHVYTPVTDFDVNEGDLNERIRGLFKLYAERVLEGEVVKKLERKIENLAVEIGKFTSFFKRPKGVRVYSENKVKKEALRVEMEVVVKRVEEFRKGMRCLMDCIEGKEIGDLGVLRVYDEGNGRKMGIFYYWSRIHFLILRECRRVENGLPVYGFRSEFLKMLRSQQVMVLIGETGSGKSTQLAQFIADSGVASSGSILCTQPRKIAAISLGKRVGEECNGCYEDNSIICYPSYSSSQQFGSKVIYMTDHCLLQNLMKDKNLFGVSCIIVDEAHERSLNTDLLLGLLKELLQERPDLQLIIMSATVDASKLSSYFFGCGTFHVLGRSFPVEIKYAPAASRESLDPLPSSNNAAPYVCDVVKMATEIHAAEEDGAILAFLTSQAEVEWACEKFQSPSAIALPLHGKLFHEEQCRVFQNYPGKRKVVFATNLAETSITIPGVKYVVDSGLVKDSRFESSSGMNVLRVSKISQSSANQRAGRAGRTDPGKCYRLYSVSDYQSMDLHQEPEICKVHLGIAVLRILASGIKNVLEFDFIDAPSVDAINKAIRNLVQLGAVAWKHDAFVLTADGHYLVKLGMEPRLGKIILESLRYGLRKEGVVLAAAMANASNIFCRVGTYDEKLKSDCLKVRFCHHDGDLFTLLSVYREWESLRQENRNKWCWENRINAKTMRRCRDTVLELENCLKNELNIIIPTYWLWDPLVASVHDENMKKIILSSLADNVAMYSGYDRLGYEVVLSGEYFQLHPSCSLQVYNQKPHWVVFAELLSISSQYLVCVTAIDFDSLSTFIHPLFDVSKMESRKLQLRVIKGFGGVALKRFCGKSNSSLIALVSRMRAIYMDERIGIEINVGDNEIQLFASSKDIEKIYEYVNNALRYETKWLRNECLEKCLYHEVRAGASPPVALVGAGAEIKHLELGNRCLTVDVHLSNVNVVDDKEVLTFLEKSVSGICGYNKFTGIGQHGGDAERWGRVSFLTPEAARKALYFNGSELCGCVLKLSLSRSSVGGIRKSSFAAVKAKISWPRRYSKGYAIVRCERNDAQFIVDDCFNVLIGGRFVQCQTSTRDMNSVVIRGLDKETSEAEILEVLHKTTNRRILDVFLIRGDEANNHSVDAFEQAILKEIAPFMPSQGPLSNYCHVQVFAPEPKDSFMKAWITFDGKLHLEAAKALQHMQGKALAGCFSWQKMQCQQVFHSSASCSASVYAFIERQLNILLKSFKFRPGVCCNLERNENGSYRVKISANATKTVAELRRPLEQLMNGKKVNHCSLTPLVLQLLFSKDGIMLMKSLQQEMGTYILFDRQNLTVRIFGPEKKVALTEQKLIASLLALHDKEQTDIRLRGGAMPYDLMKKVVEKFGPDLHVLKETFPEAEFMLNTRRHVISFSGKKDLRLQVEQMIRDFVRSVGVNGSIKRYEDDNIACPICLCEVEDCYQLEACGHKFCQSCLVEQLESAMRGRDGFPVGCAHEGCGMHIWLTDLKSLLPCEKLEDLFRASLSAFVASSGGTYRFCPSPDCPSVYHVASGMVGDLFVCGACYAETCTRCHVEYHPFVSCEKYKELKEDPDMSLKEWCKGKEHVRNCPVCGYTIEKVDGCNHIECRCGKHICWVCLEVFMSGDDCYAHLRSVHPATL >Potri.017G050100.3.v4.1 pep chromosome:Pop_tri_v4:17:3532552:3542301:-1 gene:Potri.017G050100.v4.1 transcript:Potri.017G050100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050100.v4.1 MATEIHAAEEDGAILAFLTSQAEVEWACEKFQSPSAIALPLHGKLFHEEQCRVFQNYPGKRKVVFATNLAETSITIPGVKYVVDSGLVKDSRFESSSGMNVLRVSKISQSSANQRAGRAGRTDPGKCYRLYSVSDYQSMDLHQEPEICKVHLGIAVLRILASGIKNVLEFDFIDAPSVDAINKAIRNLVQLGAVAWKHDAFVLTADGHYLVKLGMEPRLGKIILESLRYGLRKEGVVLAAAMANASNIFCRVGTYDEKLKSDCLKVRFCHHDGDLFTLLSVYREWESLRQENRNKWCWENRINAKTMRRCRDTVLELENCLKNELNIIIPTYWLWDPLVASVHDENMKKIILSSLADNVAMYSGYDRLGYEVVLSGEYFQLHPSCSLQVYNQKPHWVVFAELLSISSQYLVCVTAIDFDSLSTFIHPLFDVSKMESRKLQLRVIKGFGGVALKRFCGKSNSSLIALVSRMRAIYMDERIGIEINVGDNEIQLFASSKDIEKIYEYVNNALRYETKWLRNECLEKCLYHEVRAGASPPVALVGAGAEIKHLELGNRCLTVDVHLSNVNVVDDKEVLTFLEKSVSGICGYNKFTGIGQHGGDAERWGRVSFLTPEAARKALYFNGSELCGCVLKLSLSRSSVGGIRKSSFAAVKAKISWPRRYSKGYAIVRCERNDAQFIVDDCFNVLIGGRFVQCQTSTRDMNSVVIRGLDKETSEAEILEVLHKTTNRRILDVFLIRGDEANNHSVDAFEQAILKEIAPFMPSQGPLSNYCHVQVFAPEPKDSFMKAWITFDGKLHLEAAKALQHMQGKALAGCFSWQKMQCQQVFHSSASCSASVYAFIERQLNILLKSFKFRPGVCCNLERNENGSYRVKISANATKTVAELRRPLEQLMNGKKVNHCSLTPLVLQLLFSKDGIMLMKSLQQEMGTYILFDRQNLTVRIFGPEKKVALTEQKLIASLLALHDKEQTDIRLRGGAMPYDLMKKVVEKFGPDLHVLKETFPEAEFMLNTRRHVISFSGKKDLRLQVEQMIRDFVRSVGVNGSIKRYEDDNIACPICLCEVEDCYQLEACGHKFCQSCLVEQLESAMRGRDGFPVGCAHEGCGMHIWLTDLKSLLPCEKLEDLFRASLSAFVASSGGTYRFCPSPDCPSVYHVASGMVGDLFVCGACYAETCTRCHVEYHPFVSCEKYKELKEDPDMSLKEWCKGKEHVRNCPVCGYTIEKVDGCNHIECRCGKHICWVCLEVFMSGDDCYAHLRSVHPATL >Potri.005G067901.1.v4.1 pep chromosome:Pop_tri_v4:5:4411642:4411912:1 gene:Potri.005G067901.v4.1 transcript:Potri.005G067901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067901.v4.1 MSGRGGKRAAAAREEGEGGADDNLAQQQPPAKKSAKPDNSSDNSDDIVVCEIGRNRRVTVRN >Potri.004G160200.1.v4.1 pep chromosome:Pop_tri_v4:4:18028682:18029237:-1 gene:Potri.004G160200.v4.1 transcript:Potri.004G160200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160200.v4.1 MAECCFARSILWKVICSRYAEGESMAVAWSLFLFDIAYNITNGDCSVKKESIGSCVTPPLSISDGGHLGGLVNNLARPRPYHSANREASFGHAVFDTRNRTHVYYTRDCNEDVGNLF >Potri.004G160200.2.v4.1 pep chromosome:Pop_tri_v4:4:18028682:18029237:-1 gene:Potri.004G160200.v4.1 transcript:Potri.004G160200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160200.v4.1 MAECCFARSILWKVICSRYAEGESMAVAWSLFLFDIAYNITNGDCSVKKESIGSCVTPPLSISDGGHLGGLLGTSTAISLSKS >Potri.005G111800.1.v4.1 pep chromosome:Pop_tri_v4:5:8109325:8110699:-1 gene:Potri.005G111800.v4.1 transcript:Potri.005G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111800.v4.1 MEAVDVVIVGAGPAGLATSACLNRLSIPNIVLEREDCYASLWQKRAYDRLKLHLAKEYCELPFMPFPSDAPTFVPRRGFIDYLHSYVSHFRINPRCNTAVESAYHDEESGKWHIKAKKADLNVHEEYVAKFLVVATGENSKGFIPEVSGLDSFGGEFIHSSKYENSQKYKGKAVLVVGCGNSGMEIAYDLSNWGVKTSIVARSPVHVLTTNIVYIGMRLLSYGVPCNIVDFIVVLLSKLQHGDISNYGFPRPTRGPFYIKQRVGRTPTIDVGAVEKIRRKEVQVFPSIRGIQGSKIEFANGEVKQFDAIIFATGYKSTVRHWLKGGQDLFDGSGMPKLCFPNIWKGKNGLYCSGFARRGLFGISVDSQNIAKDIDLALRSERVLIGIPDARVC >Potri.016G023700.1.v4.1 pep chromosome:Pop_tri_v4:16:1326770:1328778:-1 gene:Potri.016G023700.v4.1 transcript:Potri.016G023700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023700.v4.1 MSSASESPDKATANNPIKHPNSRIAVLTSHFTTTMSSEKDAALAAAAAPPSADSPTIFDKIINKEIPAKVVYEDDKVLAFRDIAPQAPTHILLIPKVKDGLTGLSKAEERHCEILGQLLYTAKLVAKQEGLEDGYRVVINDGPNGCQSVYHLHLHLLGGRQMNWPPG >Potri.016G100300.1.v4.1 pep chromosome:Pop_tri_v4:16:10125327:10129655:-1 gene:Potri.016G100300.v4.1 transcript:Potri.016G100300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100300.v4.1 MKIDEDLGPDREDQFQTDDEENQGERFCQSDSDSESDSMDSSQYLSNNSFDVTTPSWPQSYRKSIDLLTGTTPPSVNILKGTSSMAGKTSSLTSVYKRRQGSEVDSSLSSPFISEQSLGKEVPSFILPVKSSASSHSRFSVNELAPPDQKASLAQSILNGTNVLCGIGLLTMPYAIKEGGWLSLIILSLFGVICCYTGILLKNCLESSPGLQTYPDIGQAAFGVGGRLVISMVLYVELYASCVEYVIMMSDNLSTLFPNMYMDFAGIHLDCHQIFSITATLIVLPTVWLRDLSLLSYLSVGGVVASIIVALCLLWTGVIDKIGFHPTGTALDLANLPVAIGIYGFGFSGHSVFPNIYSSMKEPSRFPTVLITSFIFCWLMYTGAAICGFLMFGNSIESQYTLNMPAQFVSSKVAVWTAVVNPMTKYALVMMPVALSLEELVPSGRFSSYGVSLIIRTILVTSTLAVALAVPFFGFVMALIGSLLAMLVAVIFPCVCYLSILHERLTKLQIAACLFTTGVGVLFACVGTYSAITRIAGKLG >Potri.006G125700.3.v4.1 pep chromosome:Pop_tri_v4:6:10072824:10077228:-1 gene:Potri.006G125700.v4.1 transcript:Potri.006G125700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125700.v4.1 MEAGRTLLSSSPSFPTRTHLKNSLTSSVLILREQATPAVSSIPTTSTAQHFPTSVLLQEQRDEFRPLQNIFKEDRASQATLDRRKTEIGTSGHEGKDSDELDQLVENFEYQLHHWPAYWNLLPPSQKGQNPSMSLTTQSVTSSTDKLIDVEPTNVINLAKRALSASKHAAFLADNNTDLDNLASTSSSSFSVEEVKIVRSRRHLARQSLNRRAPKTKDLIFETYDSKSADVQKRLSQGFDPNDPLRLFLWGPETKQLLTAKEEFELIAQIQDLMNLEGVKNRLESQFGREPTLVEWAQAVGLSCHVLKLQLHSGNRSREKLINANLRMVVHIAKRYQNRGLGLQDLLQEGTVGLMKSIEKFKPQAGCRFATYAYWWIRQTITKAIFQHSRTIRLPENVYGLLGKVMEAKRSYIQEGNHQPTAEEIARRVGIAIDKLEKLLCFTRTPLSMQQTVWSDQNTTFQEITADTEIEIPDMSVAKQLMRKHIRGLLNILSLKERRIIRLRFGIEDGKQKSLSEIGNVFGLSKERVRQLESRALYKLKQCVGSHGLDAYADLLR >Potri.006G125700.6.v4.1 pep chromosome:Pop_tri_v4:6:10072785:10077281:-1 gene:Potri.006G125700.v4.1 transcript:Potri.006G125700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125700.v4.1 MEAGRTLLSSSPSFPTRTHLKNSLTSSVLILREQATPAVSSIPTTSTAQHFPTSVLLQEQRDEFRPLQNIFKEDRASQATLDRRKTEIGTSGHEGKDSDELDQLVENFEYQLHHWPAYWNLLPPSQKGQNPSMSLTTQSVTSSTDKLIDVEPTNVINLAKRALSASKHAAFLADNNTDLDNLASTSSSSFSVEEVKIVRSRRHLARQSLNRRAPKTKDLIFETYDSKSADVQKRLSQGFDPNDPLRLFLWGPETKQLLTAKEEFELIAQIQDLMNLEGVKNRLESQFGREPTLVEWAQAVGLSCHVLKLQLHSGNRSREKLINANLRMVVHIAKRYQNRGLGLQDLLQEGTVGLMKSIEKFKPQAGCRFATYAYWWIRQTITKAIFQHSRTIRLPENVYGLLGKVMEAKRSYIQEGNHQPTAEEIARRVGIAIDKLEKLLCFTRTPLSMQQTVWSDQNTTFQEITADTEIEIPDMSVAKQLMRKHIRGLLNILSLKERRIIRLRFGIEDGKQKSLSEIGNVFGLSKERVRQLESRALYKLKQCVGSHGLDAYADLLR >Potri.006G125700.7.v4.1 pep chromosome:Pop_tri_v4:6:10072807:10077294:-1 gene:Potri.006G125700.v4.1 transcript:Potri.006G125700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125700.v4.1 MEAGRTLLSSSPSFPTRTHLKNSLTSSVLILREQATPAVSSIPTTSTAQHFPTSVLLQEQRDEFRPLQNIFKEDRASQATLDRRKTEIGTSGHEGKDSDELDQLVENFEYQLHHWPAYWNLLPPSQKGQNPSMSLTTQSVTSSTDKLIDVEPTNVINLAKRALSASKHAAFLADNNTDLDNLASTSSSSFSVEEVKIVRSRRHLARQSLNRRAPKTKDLIFETYDSKSADVQKRLSQGFDPNDPLRLFLWGPETKQLLTAKEEFELIAQIQDLMNLEGVKNRLESQFGREPTLVEWAQAVGLSCHVLKLQLHSGNRSREKLINANLRMVVHIAKRYQNRGLGLQDLLQEGTVGLMKSIEKFKPQAGCRFATYAYWWIRQTITKAIFQHSRTIRLPENVYGLLGKVMEAKRSYIQEGNHQPTAEEIARRVGIAIDKLEKLLCFTRTPLSMQQTVWSDQNTTFQEITADTEIEIPDMSVAKQLMRKHIRGLLNILSLKERRIIRLRFGIEDGKQKSLSEIGNVFGLSKERVRQLESRALYKLKQCVGSHGLDAYADLLR >Potri.003G113100.1.v4.1 pep chromosome:Pop_tri_v4:3:13576718:13577730:1 gene:Potri.003G113100.v4.1 transcript:Potri.003G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G113100.v4.1 MIFTLTAMKRLRGFRIGRKKLARFFKWIARPRRKPARLSSMDLPRRSFNSISKILGMARRLQRGAKTLCFPHSDPGYIRLGHAKPMEVPKGHMAVYVGQPDGDTKRELVPVIYFNHPLFGELLKGTERVYGYNHSGGITIPCGYSEFEKVKVRIAAWNHCHKSRGYSLQRRHHKYW >Potri.013G015100.4.v4.1 pep chromosome:Pop_tri_v4:13:965424:968026:-1 gene:Potri.013G015100.v4.1 transcript:Potri.013G015100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015100.v4.1 MIQDSPKNRKMAKDQSFMLEQTRIAEILILVADFRVLAAVTLSVAIALYLSFNSKHVYLVDFMCYKAPNTLRVPLSSLIEHVERWGKFDSKTIEFQTKISERSGIGNETYLPTGVHQFPCDLSLSSTIEEVEMVLFTIVQDLFTKHRIDPKSVDIIITNCSLVCPTPSLATMMINKFGFRSNIRSFNLSGMGCSAGLLSISLARDLLGAHNNSLALVLSMESVSSNMYHGQVKSMLLANCLFRMGGAAILLSNRKSDRQIAKYELQHLVRTHLGSKDNSYKCVVQEADDEGYTGVSLSRSIPQVAGEALKTNMTTLAALVLPYSELIQYGLSIMWKKVWPPAKKRGSCIPDFKKAFDHFCIHAGGRAVIGAIKEFLKLKDRDVEASKMTLYRFGNTSSSSTWYSLSYLEAKGRVRQGDRVWQLAFGSGFKCNSAVWKCISKIKPDNLNVWSDRIDQYPVEVPAVMDH >Potri.013G015100.5.v4.1 pep chromosome:Pop_tri_v4:13:965424:968026:-1 gene:Potri.013G015100.v4.1 transcript:Potri.013G015100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015100.v4.1 MIQDSPKNRKMAKDQSFMLEQTRIAEILILVADFRVLAAVTLSVAIALYLSFNSKHVYLVDFMCYKAPNTLRVPLSSLIEHVERWGKFDSKTIEFQTKISERSGIGNETYLPTGVHQFPCDLSLSSTIEEVEMVLFTIVQDLFTKHRIDPKSVDIIITNCSLVCPTPSLATMMINKFGFRSNIRSFNLSGMGCSAGLLSISLARDLLGAHNNSLALVLSMESVSSNMYHGQVKSMLLANCLFRMGGAAILLSNRKSDRQIAKYELQHLVRTHLGSKDNSYKCVVQEADDEGYTGVSLSRSIPQVAGEALKTNMTTLAALVLPYSELIQYGLSIMWKKVWPPAKKRGSCIPDFKKAFDHFCIHAGGRAVIGAIKEFLKLKDRDVEASKMTLYRFGNTSSSSTWYSLSYLEAKGRVRQGDRVWQLAFGSGFKCNSAVWKCISKIKPDNLNVWSDRIDQYPVEVPAVMDH >Potri.016G069300.2.v4.1 pep chromosome:Pop_tri_v4:16:4970898:4972033:1 gene:Potri.016G069300.v4.1 transcript:Potri.016G069300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069300.v4.1 METGWKEHAEISPSCPRCGSSNTKFCYHNNYSLTQPRYFCKGCRRYWTEGGSLRNVPVGGGCRKNRRGKSMRLSTDVHSKISGYGGLSNNSIRHPFSHKGISSMESSSSSMIPDGSHIDLALVYAKFLNPQQDSKSGFEVPEFRSEFDPYLEFPNIPNSYLESSAQLPEENGLAGSLTISDFSTGVPLSDNDHLMYYYSLDSAHKHQDHQDRTQQCTSHETSSFHLPPLPGQDTVSQDILWSNSHMMDNHSLEMSPQPVLGPDTQDPNLLFGNWSPFDMSSDDTFSRS >Potri.014G044300.1.v4.1 pep chromosome:Pop_tri_v4:14:2865231:2867341:-1 gene:Potri.014G044300.v4.1 transcript:Potri.014G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044300.v4.1 MASTFVTVPTTRPFLSVNKTNALSLSQLKLAGLKRNSLRINAISKKWEPTKVVPQADRVLIRLEDLPEKSSGGVLLPKSAVKFERYLMGEVLSVGAEVGEVEAGKKVLFSDINAYEIDLGTDAKHCFCKAGDLLAVVE >Potri.012G031100.8.v4.1 pep chromosome:Pop_tri_v4:12:956896:960592:-1 gene:Potri.012G031100.v4.1 transcript:Potri.012G031100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031100.v4.1 MQHFCLQCLCSEKVLILFQNLVITQLGMGVVSVSSSASRTPLGLSTKFSTYGSTAKRPLIVAFKANKSNNTSLVAPHEQIPLPVETTKGKKRLGKSKKSSNRLKAVRTEVSPCTLLVDYNEAAAKLENIYKLSPGTDTSDVEDASGVIRRGRQRKRKISEGDKETEDRTSKIIVRNRAKKAKRLSLEKRISLRIKNEEKLVTSAGKRKDRKNENEKIDDLVREYSASTDLVSLDWKKMKIPPVLTSSEHVWLFKLMQPMKALLQVKEHLQENLGGEPTDVELAKATNMDVLQVRKQIAVGRAARNKLIKHNLRLVLFVIKKYFQDFANGTKFQDFCQAGVKGLITAIDRFEPKRRFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESIRSEIQKAKLELWFQLKRQPMEDEIIEKVRISPERYHEVTRASKPVLSLHSRHKTTQEEFIGGIADVDGGDDRRQSALLRLALDDVLDSLKPKESLVVRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPTRVDYLRRYVV >Potri.012G031100.1.v4.1 pep chromosome:Pop_tri_v4:12:956900:960701:-1 gene:Potri.012G031100.v4.1 transcript:Potri.012G031100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031100.v4.1 MGVVSVSSSASRTPLGLSTKFSTYGSTAKRPLIVAFKANKSNNTSLVAPHEQIPLPVETTKGKKRLGKSKKSSNRLKAVRTEVSPCTLLVDYNEAAAKLENIYKLSPGTDTSDVEDASGVIRRGRQRKRKISEGDKETEDRTSKIIVRNRAKKAKRLSLEKRISLRIKNEEKLVTSAGKRKDRKNENEKIDDLVREYSASTDLVSLDWKKMKIPPVLTSSEHVWLFKLMQPMKALLQVKEHLQENLGGEPTDVELAKATNMDVLQVRKQIAVGRAARNKLIKHNLRLVLFVIKKYFQDFANGTKFQDFCQAGVKGLITAIDRFEPKRRFRLSTYGLFWIRHAIIRSMTLSSFTRVSFGLESIRSEIQKAKLELWFQLKRQPMEDEIIEKVRISPERYHEVTRASKPVLSLHSRHKTTQEEFIGGIADVDGGDDRRQSALLRLALDDVLDSLKPKESLVVRQRYGLDGKGDRTLGEIAGNLNISREMVRKHEVKALMKLKHPTRVDYLRRYVV >Potri.012G140500.1.v4.1 pep chromosome:Pop_tri_v4:12:15271622:15273898:1 gene:Potri.012G140500.v4.1 transcript:Potri.012G140500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140500.v4.1 MGCKSSDKPKPKLRHRKGLWSPEEDQRLGSYVFQHGHGCWSSVPINAGLQRTGKSCRLRWINYLRPGLKRGAFSTDEEETILTLHRMLGNKWSQIAQHLPGRTDNEIKNHWHSYLKKKLFKAEGMESPNKTQSASSNSDNMDLSPSPKRLKMQSPESSMNMEKPSTDIDRPVLPRMFDYLKEPNRSSLLPKVMFAEWLSLDSFASSGEPVVSKSTFDHNPSFQDTSFMHHYLLEEGAFGGDYQNSLSDGSSGDIFSSEFKFESQSPGNEFDFSSGEDLCREFNFRNIGDVMYI >Potri.002G174400.1.v4.1 pep chromosome:Pop_tri_v4:2:13438597:13442186:-1 gene:Potri.002G174400.v4.1 transcript:Potri.002G174400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174400.v4.1 MANLYVTAVPTADLNRNTEWFMYPGVWTTYILILFFFWLIVLAIFGCSPGLAWTIVNLSHFAITYHFFHWKKGTPFAEDQGIYNRLTWWEQIDKGKQLTRNRKFLTVVPVVLYLIASHTTDYQHPMLIFNTLAVMVLVVAKFPNMHKVRIFGINADK >Potri.005G106550.1.v4.1 pep chromosome:Pop_tri_v4:5:7691037:7692726:1 gene:Potri.005G106550.v4.1 transcript:Potri.005G106550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106550.v4.1 MTNSLHDTTRTPTGGSSGEFSAMGKSLTEQYRMEVEGLWIVNFFS >Potri.006G063900.3.v4.1 pep chromosome:Pop_tri_v4:6:4557635:4565008:-1 gene:Potri.006G063900.v4.1 transcript:Potri.006G063900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063900.v4.1 MSFFVGLIIGLAVGLALIVGFVKSENARSKLRSELAIAIAAFARMTVEDSRKILPAEYYPSWVVFSQRQKLDWLNQHLTKIWPYVDQAASGLIKDSVEPVLEQYRPIILSSLKFSKFTLGTVAPQFTGVSIIEDGGSGITMELEMNWDGNPSIILDIKTRLGVSLPVQVKDIGFTGVFRLIFKPLVAEFPCFGAVCCSLRQKKKMDFKLKVVGGDISAIPGLDDAIQETIQNAVEDSITWPVRKVVPILPGDYSDLELKPVGILEVKLVQAKDLTNKDLIGKSDPFAKLYIRPLPDKTKTTKIINNDLNPIWNEHFEFVVEDATTQHLVVKIYDDEGIQAAELLGCAQVKLNELEPGKVKDLWLKLVKDLEVQRDNKNRGQVHLELLYRPFGMENGLGNSFASSFSMTSLEKVLKNGANSMEITGNVNEVTKKRREVIVRGVLSVTVISAEDLPVVDLMGKADPFVTLTMKKSEMRNKTRVVNNNLNPVWNQTFDFVVEDGLHDMLIVEVWDHDTFGKDYMGRCILTLTRVILEGEYKDCFQLDEAKSGRLNLHLKWSPQHIYRDS >Potri.019G062000.1.v4.1 pep chromosome:Pop_tri_v4:19:10212854:10216103:-1 gene:Potri.019G062000.v4.1 transcript:Potri.019G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062000.v4.1 MGHCWSTVCVCCNNCHRGNEESVHQENYRKEFASRNVHLLTTMEKWNEKLSEASRDGKIAIVNFSALWCAPCKTTAQAFCELADKYSSVIFLTVDVDELAELSTSWEIKATPTFFFLKDGRQVDKLVGGNMAELQRKTAAIVNLESRSRN >Potri.019G062000.2.v4.1 pep chromosome:Pop_tri_v4:19:10212854:10216015:-1 gene:Potri.019G062000.v4.1 transcript:Potri.019G062000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062000.v4.1 MGHCWSTVCVCCNNCHRGNEESVHQENYRKEFASRNVHLLTTMEKWNEKLSEASRDGKIAIVNFSALWCAPCKTTAQAFCELADKYSSVIFLTVDVDELAELSTSWEIKATPTFFFLKDGRQVDKLVGGNMAELQRKTAAIVNLESRSRN >Potri.009G116100.1.v4.1 pep chromosome:Pop_tri_v4:9:9803112:9810716:1 gene:Potri.009G116100.v4.1 transcript:Potri.009G116100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116100.v4.1 MAEEAILGYLQNHEGISDSGQFATDHGLDHSDVVNVIKSLHGFRYVDAQDIKRETDVLTEEGEKYAEQGSPEVQLFLAVPAEGSILKEELQKLVDPAVFKIGCSQAAKNKWVQMGNQISRKVQHVEDRIKDLLLQIRDGQEIDTGDKKSLKARKLIAVQTWKGYSVRKGPDYAPIRRRTATDLTREYLQGGDWRNIEFKEYNFSAKGPPPEGGHLHPLNKVKERLKDIFRLMNFEEMPTNRYVESSFWNFDALFQPQQHPARDSHDTFFLKAPETTKQLPEDYVELVKRVHESGGYGSRGYGYDWKREEANKNLLRTHTTAISSRMLYAVAQLAKQQSFTPKRYFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLGHLIGVLQDFFSRLGMDKLKFKPAYNPYTEPSMEIFSYHEGLGKWVEIGNSGMFRPEMLRPMGFSEDVNVIAWGLSLERPTMILYGIDNIRELFGHKVDLALVKRNPLCLIGIQ >Potri.018G046800.2.v4.1 pep chromosome:Pop_tri_v4:18:4068403:4070646:1 gene:Potri.018G046800.v4.1 transcript:Potri.018G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G046800.v4.1 MDRKQLQHQVASMRKSLFDQGYLDDQFNQLEDLQDEANPNFVEEVVTLFYSDSARFIQNIEQAMIKKPNIDFGKLDDYMHQFKGSSSSFGAKKVKKECSQFRECCSAGNIEGCIKTFQQLKQEHATLRRKLETYFQLVKQAGIAGRT >Potri.008G098200.1.v4.1 pep chromosome:Pop_tri_v4:8:6125514:6126920:-1 gene:Potri.008G098200.v4.1 transcript:Potri.008G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G098200.v4.1 MSITGLSSDTGKPYKKSLHRRNDSDELDVFEAARYFSGYNEAGAGYNGAVYTQKVMREDHKHSWRGGRVSLDVPMRNPLPHHLHQHSHTVEKQILKEKKYKQPSSPGGRLASFLNSLFNQTSSKKKKSKSTTQSMKDDDESPGGRRKRRSSISHFRSSGTTDTKSLYSSSSSGFMTPPPYTHTPTKGYKEFRSCSDHRQIVSLPKQNGIVKSIAFRNEILDDKKNTDLSWLEEKYKFNDGFSDQKVPRNRGNQHLEKDRTWVDQYPSEEKECRKFDEVDDGTESDSSSDLFELQNYDLAGTYSNGLPVYETTRMDSIKRGAVPISNGTL >Potri.006G067600.1.v4.1 pep chromosome:Pop_tri_v4:6:4948262:4950046:1 gene:Potri.006G067600.v4.1 transcript:Potri.006G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067600.v4.1 MATAFLLTAKPFLSSSSTPSSLSSLTNTPVLLSCAQQKKCNATRPLTLCKTLSDESPPVTHSPVIITKRSLSICFLTSFVFSLASRGDSSANAAILEADDDEELMEKVKRDRKKRLEKQGVISSANQEKGYLQDLVYKLSKVGQAIDKNDLSTASSVLGGSTDTDWVRKANIAFTKLSSSPDEKTQVDSFNSSLASLISSVTGNDIESSKTAFVSSATAFEKWTALTGLVGQLKGL >Potri.006G186000.1.v4.1 pep chromosome:Pop_tri_v4:6:19246196:19248792:1 gene:Potri.006G186000.v4.1 transcript:Potri.006G186000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186000.v4.1 MGTCIAAIQCAITAILLVSTTVSSDDKSPIPADPSSLNKWFQDNVRPLANRKGTIDPALMAAEAKPRTIKVRKDGSGEFKTLKDAINSIPTGNKERVIVHIGPGEYIEKLKIERGKPFVTFLGSPSNMPTLSFDGTARKYGTVYSATLEAEADYFVAANIIIKNSAPRPKGQLKGEQAVALRISGDKSAFYNCRFIGFQDTLCDDKGRHLFKDCYIEGTVDYIFGSGKSLYLGTELHVIGDEKGNFITAHARNNEAENTGFSFVHCKVDGTGTKRAYLGRAWQQRPRVVFSYTTMSSVVNPEGWSNNFHPERDHTALFGEYKCKGEGANPAARAKASKQLTPGQVAPFISLGFIEGSKWLLHPPN >Potri.017G117340.1.v4.1 pep chromosome:Pop_tri_v4:17:12456312:12461482:-1 gene:Potri.017G117340.v4.1 transcript:Potri.017G117340.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117340.v4.1 MQHHLFPTKSWFIMFLTITFVHAPSSASANDDERYVSCSNSLDCGDIKGVGYPFWGSNRPDYCGYPELKLNCSDQDLEITIKKLTYKVLGINNQSRTLSVARTDYAENICPIFILNTTWIPNLLNYTSDDQNITIYYGCPTQGAPTSTVLPQFPCEINATQMTGYFTAFANLSVLGSSASSLISYLASCKDSIIVPLRESAFQQILSTPTAAQLLGSLNQGFGLEWNASNSLCDTCQLSGGQCGHNQTTTAFTCYCKDQPQQFSCQQSPTNDQSSRSTRSRRLWIALGTSAAVAAMVTFSIITIYLTRREGSFGAVIAMTFRLKKSQHVGRVKTFMMDYHSLIPKRYSYSEIKKMTNSFVYTLGQGGFGNVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVNLLGFCYERNKRALIYEFMPNGSLDSFLSDKGSPHTTCRLEWKKLYEIAVSIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRNFGGVTYKSDVYSYGMMVLEMVGQSKDFDMGSVETNELYFPDWFYMYLDPGEISTFHGGTTEEEEEIVKKMILVGLWCIQTMPSHRPSMTKVVEMFEGSLQSLQIPPRPSLSSPRRSAQDHSSNVSSLPCVSSRGVG >Potri.007G121301.1.v4.1 pep chromosome:Pop_tri_v4:7:13900763:13901685:-1 gene:Potri.007G121301.v4.1 transcript:Potri.007G121301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121301.v4.1 MLKHLEIFLTILIFSSAHEAKESITSMDPPRSIPLDLLHVSETKPLKYPPSESNPSPPHLASLDSLRVSAAADPLKYPPSEPNPGPPHLTSLDSLRVSVADPLKYPPSGPNPGPPHLASLNSLRVSEADPLKYPPSGPNPGPPHLAFLDSLRVSAAANPLKYPPSGPNPGPPHLASLNSLRVSEADPLKYPPSGPNPGPPHLASLDSLRVLAATNLLKYPPSGPNPGPPHLASLNSLRVSEVDSLKYPPSEPNPGPPHALH >Potri.008G200400.4.v4.1 pep chromosome:Pop_tri_v4:8:14223346:14228957:1 gene:Potri.008G200400.v4.1 transcript:Potri.008G200400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200400.v4.1 MPIVNPQEKPLPCVIYCHGNSGCRVDASEAAIVLLPSNITVLTLDFSGSGISGGDYVTLGWNEKDDLMAVVDYLRQDGNVSLIGLWGRSMGAVASLMYGAGDPSIAGMVLDSPFSDLVDLMMELVGTYKFPLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTIKVAKSCFVPVLFGHAIDDDFICPYHSDRIFEAYIGDKNIIKFEGDHNSPRPQFYFDSLNIFFHNVLQPPEDEVGGTYFEMVHDYFGKDSWSSLHEVGCDPESPVVSKVPSSSSTADAIEQVRSRKPMSRIEVPLDIQHKDNQSEVEREEIGDYHLPSSSKMITFELSSGHPHGPPVPTTMDDDQYVEYQLDDLAGSPCDMEEEERMFMEAVIESLKDLELRHPNAEEQLASVSPASVKSSQKDNQDAYSIGELGNPLKTLPTPTSVKQHVPLKTESASSSAVNHQNLATLDPSPDTSASSVVTPFDNPSSIMESESITASSSNDTSGSIHGSIDTDLSGNTKATLTVERNPANHIMDGLLRRWDLFRNGR >Potri.008G200400.1.v4.1 pep chromosome:Pop_tri_v4:8:14221074:14228958:1 gene:Potri.008G200400.v4.1 transcript:Potri.008G200400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200400.v4.1 MEQLVNFIIRPPRAEYNPKHDLLDQEFMLKGKWYRRKDVEVQNSRGDVLQCSHYMPIVNPQEKPLPCVIYCHGNSGCRVDASEAAIVLLPSNITVLTLDFSGSGISGGDYVTLGWNEKDDLMAVVDYLRQDGNVSLIGLWGRSMGAVASLMYGAGDPSIAGMVLDSPFSDLVDLMMELVGTYKFPLPKFTVKFAIQYMRKAIQKKAKFDIMDLNTIKVAKSCFVPVLFGHAIDDDFICPYHSDRIFEAYIGDKNIIKFEGDHNSPRPQFYFDSLNIFFHNVLQPPEDEVGGTYFEMVHDYFGKDSWSSLHEVGCDPESPVVSKVPSSSSTADAIEQVRSRKPMSRIEVPLDIQHKDNQSEVEREEIGDYHLPSSSKMITFELSSGHPHGPPVPTTMDDDQYVEYQLDDLAGSPCDMEEEERMFMEAVIESLKDLELRHPNAEEQLASVSPASVKSSQKDNQDAYSIGELGNPLKTLPTPTSVKQHVPLKTESASSSAVNHQNLATLDPSPDTSASSVVTPFDNPSSIMESESITASSSNDTSGSIHGSIDTDLSGNTKATLTVERNPANHIMDGLLRRWDLFRNGR >Potri.009G154550.1.v4.1 pep chromosome:Pop_tri_v4:9:12094500:12095584:-1 gene:Potri.009G154550.v4.1 transcript:Potri.009G154550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154550.v4.1 MIRRLADMANTQFITTTFRPELVKVADKLYVVTHKNRVSRVNVVSKEDALDFIEHDQRTGASMCSR >Potri.013G100000.1.v4.1 pep chromosome:Pop_tri_v4:13:10559485:10565280:1 gene:Potri.013G100000.v4.1 transcript:Potri.013G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100000.v4.1 MMDLWAVHVKNTTGSPFCLRNHSIPEKSFVSSNFGKFRVLKHKISSSGYHSLGVKAMAKKNSNDNSNSSSPSGNGDGSKGSNPPDGNKSNDYASQKSHRVNLDWREFRANLFAQEQAEKAESDAHSQTGTPQESKPLSLKWAHPIPVPETGCVLVATEKLDGVRTFERTVVLLLRSGTRHPQEGPFGVVVNRPLNKKIRHMKPTNMELETTFADCSLNFGGPLDASMFLLKSREKKIKEFEEVIPGLCFGAGNSLDEAGALVREGVLKPQDFRFFVGYAGWQLDQLREEIESDYWYVAACSSNLICGGSSESLWEEILQLMGGHYSELSRKPKQDM >Potri.014G032000.1.v4.1 pep chromosome:Pop_tri_v4:14:1993729:1996643:1 gene:Potri.014G032000.v4.1 transcript:Potri.014G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G032000.v4.1 MLHWKNSIRETYYDVLSVKEDASYVEIRTSYRSAILNYHPDKLQNTRQASDPEDESDDRFMKVQKAWEILGNSMSRAVYDSKLRALRQDTEVSEDISLEEMMVEDNGEIFEMFYQCQCGDYFSIDSSEFEKMGYTLSRDECHISIQKPDALPASVVLPCGSCSLQVRLLINADIKVPIGDNL >Potri.013G069000.5.v4.1 pep chromosome:Pop_tri_v4:13:5463229:5468222:1 gene:Potri.013G069000.v4.1 transcript:Potri.013G069000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069000.v4.1 MTTRTMRRRLHHGDVDGKRNDELFDSSEVDGLSEPLLGNHIDDSSVPVPILEDLWDDERRKQHIHWTFVFSQLIAQWAQWLANIVLGSGSLIARLLPLSSLSQNGSNRKLLQPSLSPLQEARLRDLQQRLGVPFDGSQAEHQDALKQLWRLAYPDRELPSLKSELWKDMGWQGSDPSTDFRGGGFISLENLIFFAKKYPDSFQRLLNKRDGTRAEWEYPFAVAGVNISFMLAQMLDLQTGKPSTLAGVRFLELLADDEMAFDNLYCIAFQMMDAQWLAKRASYMEFNDVLKSTRTQYDNTV >Potri.013G069000.4.v4.1 pep chromosome:Pop_tri_v4:13:5463228:5468247:1 gene:Potri.013G069000.v4.1 transcript:Potri.013G069000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069000.v4.1 MTTRTMRRRLHHGDVDGKRNDELFDSSEVDGLSEPLLGNHIDDSSVPVPILEDLWDDERRKQHIHWTFVFSQLIAQWAQWLANIVLGSGSLIARLLPLSSLSQNGSNRKLLQPSLSPLQEARLRDLQQRLGVPFDGSQAEHQDALKQLWRLAYPDRELPSLKSELWKDMGWQGSDPSTDFRGGGFISLENLIFFAKKYPDSFQRLLNKRDGTRAEWEYPFAVAGVNISFMLAQMLDLQTGKPSTLAGVRFLELLADDEMAFDNLYCIAFQMMDAQWLAKRASYMEFNDVLKSTRTQYDNTV >Potri.015G053100.1.v4.1 pep chromosome:Pop_tri_v4:15:6989443:6997722:1 gene:Potri.015G053100.v4.1 transcript:Potri.015G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053100.v4.1 MYGGDEVSAIVIDLGSHTCKVGYAGEDAPKAVFPSVVGSTDQMDVDDTTSKCKLYVGSQALGFRRDHMEVLSPFKDGIVADWDMVDNIWNHAFRECLLIDPKEHPMLLAEPSSNSQQQRERTAELIFEKYNVPALFLAKNAVLTSFASGRATSLVVDGGGGSTTIAPVHDGYVLQKAVASSPIGGELLTDCLLKSLESKGVMIKPRYSFKRKEIQLGVFQTVDLDFPSTTESYRLYSQRVIASDIKECVCRAPDTPYDESSYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPSLIQTIPGMDNFVEIASSARGLPQMAIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPPAARVKVLASGNATERRFSVWIGGSILASLGSFQQTWFSKSEYEEHGVSYVQRKCP >Potri.015G098500.1.v4.1 pep chromosome:Pop_tri_v4:15:11882510:11883158:-1 gene:Potri.015G098500.v4.1 transcript:Potri.015G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098500.v4.1 MEANYKQRGWFSTSSVKLVMSLHQASNPSSSTVHYDSSVNPSRSSSVASVRRKNDGNLDHPHNIYNGQDKFLTGDESIDARAASYISSVRQRFRLE >Potri.010G058400.2.v4.1 pep chromosome:Pop_tri_v4:10:8903630:8904082:-1 gene:Potri.010G058400.v4.1 transcript:Potri.010G058400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058400.v4.1 MSLLPESSCPLLTILSLSPSHPTLIISQCNPIKASFAISNIISMDCKDMEENGTGMRTRSFRDEDYINRRAFLRSYPLYHGAEDETTNEEMIGATNKETEKKPIKRMIISVTHWGEGKVLVLRKFKHKIQVYIIACMPVSFKPPTALISV >Potri.006G158538.1.v4.1 pep chromosome:Pop_tri_v4:6:14957765:14959180:1 gene:Potri.006G158538.v4.1 transcript:Potri.006G158538.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158538.v4.1 MGNAEKLLNQIMDLKFTSKSLQRQARKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLASRLDAVVARLDTQAKMTTINKSMASIVKSLESTLATGNLQKMSETMDQFEKQFVNMEVQAEFMESAMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVATKSQEKVDEDDLSRRLAELKARG >Potri.010G154500.1.v4.1 pep chromosome:Pop_tri_v4:10:22666401:22667812:-1 gene:Potri.010G154500.v4.1 transcript:Potri.010G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154500.v4.1 MQENENPPWFITRQGSPSKPRGNQCSCIVKLPEDVIAHILSYLPVKSLLLFKCVSRLWCSLIESEYFIKLHLRNFVHDSSGAKLSLILQDTCFSTPKIFSVTHVGSQNECVELGGPFGYRTRILGSCNGLLCVCQSDMEDSVEYKRSGKYYVSPKIALWNPLTKKLHILPFAPIQVTTWSPLYGVLDSLEFQYAFGHDSFNDDYRVLRIVQQNPGMPDPDKFILKAMVYSLKANSWREIVAPGYLHYIVSKESVLVRDAFHWLLIQGHGLDIVAFDIQREEYCTVPLPNLETKSSLYYRNLGVLRQCLSLASSSVHNVEIWVMKEYGMKDSWVKLFLLEQSSSLCYSTVPYDLAPLAYVKDNNDDHKVLLKGLPDQSLIWYDLKLKTYEHVQIHGAPWLYQPYIFVGSLVSPLPPMQKQIDGKIHQECEN >Potri.018G142201.1.v4.1 pep chromosome:Pop_tri_v4:18:14759061:14760836:1 gene:Potri.018G142201.v4.1 transcript:Potri.018G142201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142201.v4.1 MYPKCYENFQNFCKEATSITWKKEGNNYACMLSFPRSPHLTTTSILYIVEGSYKETIDFLSAITNRCGEDSPYVINSDDPSVSVKENHILSEDENESDAVRAPMMPPIVCNRAEYSHPLCFGRSTTVSSASESSALNFVYGRRKLNSVTFLSGHAPAMPKRSGDEYPSLISYDDPSAARKKQRRFSQHEHGTASMFVLQYTTERVPHALQVYKGVLSY >Potri.001G375166.1.v4.1 pep chromosome:Pop_tri_v4:1:39377540:39378180:-1 gene:Potri.001G375166.v4.1 transcript:Potri.001G375166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375166.v4.1 MGTLPHAFSLFALILLLTSGTGADQITKTQAIFNGSTGIGAIVDTSSRIGKEEIVAMEVAKEDFYGFGNLTFLLINDSQKDTIHAALEAKDLIDTRQVQAIIGPQTWKRCH >Potri.004G058100.1.v4.1 pep chromosome:Pop_tri_v4:4:4856753:4862951:1 gene:Potri.004G058100.v4.1 transcript:Potri.004G058100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058100.v4.1 MRGRWKTIGFQFLFFLVLILGLENQCSWSLNDEGLVLLEFRGRVNSDPFGALSNWNPNDIDPCSWSGVRCAAGKVQILDLSGFSLEGTLAPELGKLVNLTSLVLYRNHFTGAIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKHLLLRDNKFEGSIPLEIRRLNLLSEFRFDGNLASAAVTGVSFVNRKLGHGMWWSSWKHLNGANSFIFPIKGAFMHYLNSMTLQLFKLGKYTLHGRKENCFVKPDRSPEQNVEILANFVRRRLLEQSSNLQAAPAGGGSSSQPIIALPTTRSSGAFPAIPNAKKHQPQSPVPLDSPANPPTIGAKASTHSAEKPFKEQSPTNGTSGNTWMYFLIIPIVGVLIIFAAGLLFRCRKQGVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFDGFTAYKGTLSSGVEISVASTTVASSKDWSKNAEMAYRKKIDTLSRINHKNFVNLIGFCEEDEPFNRMMVFEYAPNGTLFEHLHVKEMEHLDWNTRMRIIMGVSYCLQYMHHDLNPPIAHSILCSRAIFLTDDYAAKIAEICFLPQATSNSKVSGELEDSLPPHVDPETNIYRFGVLLLEIISGKLPYSEEQGPLEKWAAEYLNGKRSISCMIDPSLKSFKNNDLDVICEIIQQCIQSDARHRPTMKEITSKLREVISISPDQATPRLSPLWWAELEILSVEAT >Potri.004G058100.2.v4.1 pep chromosome:Pop_tri_v4:4:4856919:4862950:1 gene:Potri.004G058100.v4.1 transcript:Potri.004G058100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058100.v4.1 MRGRWKTIGFQFLFFLVLILGLENQCSWSLNDEGLVLLEFRGRVNSDPFGALSNWNPNDIDPCSWSGVRCAAGKVQILDLSGFSLEGTLAPELGKLVNLTSLVLYRNHFTGAIPKEIGELRKLELLDLRNNNFSGAIPEEIGRLLSLKHLLLRDNKFEGSIPLEIRRLNLLSEFRFDGNLASAAVTGVSFVNRKLGHGMWWSSWKHLNGANSFIFPIKGAFMHYLNSMTLQLFKLGKYTLHGRKENCFVKPDRSPEQNVEILANFVRRRLLEQSSNLQAAPAGGGSSSQPIIALPTTRSSGAFPAIPNAKKHQPQSPVPLDSPANPPTIGAKASTHSAEKPFKEQSPTNGTSGNTWMYFLIIPIVGVLIIFAAGLLFRCRKQGVTTIGPWKTGLSGQLQKAFVTGVPKLNRSELETACEDFSNIIDTFDGFTAYKGTLSSGVEISVASTTVASSKDWSKNAEMAYRKKIDTLSRINHKNFVNLIGFCEEDEPFNRMMVFEYAPNGTLFEHLHVKEMEHLDWNTRMRIIMGVSYCLQYMHHDLNPPIAHSILCSRAIFLTDDYAAKIAEICFLPQATSNSKVSGELEDSLPPHVDPETNIYRFGVLLLEIISGKLPYSEEQGPLEKWAAEYLNGKRSISCMIDPSLKSFKNNDLDVICEIIQQCIQSDARHRPTMKEITSKLREVISISPDQATPRLSPLWWAELEILSVEAT >Potri.004G093500.2.v4.1 pep chromosome:Pop_tri_v4:4:7970167:7974647:1 gene:Potri.004G093500.v4.1 transcript:Potri.004G093500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093500.v4.1 MGDHFVFLVDRLLTESTLEAAIESQNRLRQTMASASKENTKEFSPHWMDLELTSLAGKSVECRICHEEEDDMNMEMPCSCRGSLKYAHRKCVQRWCNEKGNTICEICHQQFEPGYTAPPPLFCYGAIPMNFRGNWEIPRRDLHNPPSIAMVTTDHEFLDSDFDEYSAPSPRSVMCCRIIAIIFMVLLVLRHTLAILISGAGDYSMTLFMLLILRTVGILLPVYVMVRAFTAIQHRGRQQDPHFPLAESDEENELPQLQTHSRIIHIQ >Potri.005G167000.1.v4.1 pep chromosome:Pop_tri_v4:5:17255528:17256681:-1 gene:Potri.005G167000.v4.1 transcript:Potri.005G167000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G167000.v4.1 MGVAGTLEYFSDLLSNVKKGKKRKQMQTVALKVRMDCEGCERKIKSVLSGVKGAKSVDVDMKQQKVTVTGYVEPKKVLKAAQSTKKKVEMWPYVPYTLVANPYVSQAYDKKAPANHVRAVPVTATISETTMDDNYTNMFSDENPNACSIM >Potri.010G062700.4.v4.1 pep chromosome:Pop_tri_v4:10:9202058:9218106:-1 gene:Potri.010G062700.v4.1 transcript:Potri.010G062700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062700.v4.1 MAMATSLHLNHTFYHRFTPTSHMSRNLNFYRRFSSSFSWKARVLTLKKKHYRGTGHGVFSSSIIKANNTNNKEIDEVSVQEEKENEMERPPFDINLAVVLAGFAFEAYTSLPENVGKREVDAADCKTVYLSESFVREIYDGQLFIKLTKGFDLPAMDPWGTSDPYVVMELDGQVVKSKVKWGKKKPTWNEDFTINIKLPPTKNLQIAAWDANLVTPHKRMGNTSIGLESLCDGNLHEVVVELEGMGGGGKLQLEVKYKTFDEIDEEKRPWRLPFVSDFLRKNGFESALKMVVGSETMPARQFVEYAFGQLKSFNVPYVWTDQVSNSKDLGAANSNHSVVSDMPLPSETKSTTEVPVCDTNRDGDSNLVLSQADNDCLRNLGATEAGVATQSDKQFWKNFADVISQTVAQKLGFSVSLELKWDEFDLLNRIGLQSQKIAEAGYVESGLATPEGQKVDSDKASAPLTISKIQSSLPEIKKVTQDLLRQTDSVLGAWMVLTTAVSKLNKEENVSGKSSSDSEKLISSSNGTALEDKKSEEMRVLFSTAESAMEAWAMLATSLGHSSFIKSEFEKICFLDNSSTDTQVAIWRDNARKRLVVAFRGTEQVSWKDLRTDLMVVPTGLNPERIGGDFKQEVQVHSGFLSAYDSVRIRIISIIKLLISYVDNGAEPPFKWHVYVTGHSLGGALATLLALELSSSQLVKRGAISVAMYNFGSPRVGNKKFAEVYNQKVKDSWRVVNHRDIVPTVPRLMGYCHVAQPVYLATGELEDALVNLELLKDGYQGDFIGESTPDVVVSEFMKGEKELIEKILQTEINIFRSIRDGSGLMQHMEDFYYITLLENVRSNYQPLERVESSGRDSIPTSEDGSNI >Potri.014G195500.2.v4.1 pep chromosome:Pop_tri_v4:14:17492708:17501559:1 gene:Potri.014G195500.v4.1 transcript:Potri.014G195500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195500.v4.1 MRGNLHIATILVVLLIHAALHNTPVDGGEFIGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLNFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKDWVKWCIEFGIEANSIIAVPYDWRLSPSMLEERDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLKLEIAPKHYNQWLDEHIHAYFAVGAPLLGAIETVKATFFGNTFGLPVSEGTARLMFNSFASSLWMMPFSKYCRTDNSYCRHFAGGFRKGHNTYQCEEQEFRLNYSGWPTNIVNIEIPSVRVTELAQTNLSSMECGLPTQLSFSAREISDGTLFKAIEDYESDSKRLLYQLKKSYHDDPVLNPLTPWDRPPIKNVFCIYGIDSRTEVGYYFAPSGKPYPDNWITTDVIYELEGSLYSRSGNLVEGNPGAASGDDTVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVDLNVEHQHEEDIVPNMTRSPRVKYITYYEDSESIPGRRTAVWELDKASHRNIVRSPALMRELWLQMWHDIHPGAKSKFVTKAKRGPLRDEDCYWDYGKARCSWPEYCEYRYLFGDVHLGQSCRLKNSSADALLNYL >Potri.014G195500.9.v4.1 pep chromosome:Pop_tri_v4:14:17492498:17501689:1 gene:Potri.014G195500.v4.1 transcript:Potri.014G195500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195500.v4.1 MRGNLHIATILVVLLIHAALHNTPVDGGEFIGDYSKLSGIIIPGFASTQLRAWSFLDCPYSPLNFNPLDLVWLDTTKLLSAVNCWLKCMLLDPYNQTDHPECKSRPDSGLSAITELDPGYITGPLSSVWKDWVKWCIEFGIEANSIIAVPYDWRLSPSMLEERDLYFHRLKLTFETALKLRGGPSIVFAHSLGNHVFRYFLEWLKLEIAPKHYNQWLDEHIHAYFAVGAPLLGAIETVKATFFGNTFGLPVSEGTARLMFNSFASSLWMMPFSKYCRTDNSYCRHFAGGFRKGHNTYQCEEQEFRLNYSGWPTNIVNIEIPSVRGFDAYPSVTELAQTNLSSMECGLPTQLSFSAREISDGTLFKAIEDYESDSKRLLYQLKKSYHDDPVLNPLTPWDRPPIKNVFCIYGIDSRTEVGYYFAPSGKPYPDNWITTDVIYELEGSLYSRSGNLVEGNPGAASGDDTVPYNSLSLCKNWLGPKVNITRAPQSEHDGSDVQVDLNVEHQHEEDIVPNMTRSPRVKYITYYEDSESIPGRRTAVWELDKASHRNIVRSPALMRELWLQMWHDIHPGAKSKFVTKAKRGPLRDEDCYWDYGKARCSWPEYCEYRYLFGDVHLGQSCRLKNSSADALLNYL >Potri.018G066100.1.v4.1 pep chromosome:Pop_tri_v4:18:7910183:7921926:-1 gene:Potri.018G066100.v4.1 transcript:Potri.018G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066100.v4.1 MAESESPENRIAAMESTSSSTSDLNFSIRRRSTVMDSASTEMMGSEGLKSSGKACDKVKIEKQSDMKFNYRPSMPAHSGVRESPLSSDAIFKQSHAGLFNLCIVVLVAVNSRLIIENLIKYGWLINSGFWFSSKSLRDWPLFMCCLSLPAFPLAAYLVEKLAYRNCISELVVVFLHIIITTASLLYPVLVILRCDSALLSGGTLMLFACIVWLKLVSFAHTSSDMRAIAKSIDKENTASISSNADNSYDANFKSLVYFMVAPTLCYQSSYPRSASVRKGWVVRQFVKLIIFTGFMGFIIEQYINPIVQNSQHPLKGNLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLRFGDREFYKDWWNARTVEEYWRMWNMPVHKWMVRHIYFPCLRNKIPKWAALLIAFFVSAVFHELCIAVPCHMFKLWAFIGIMFQVPLVVITKFLQNKFKSSMVGNMIFWLFFSILGQPMCVLLYYHDLMNRKGKTE >Potri.008G112000.2.v4.1 pep chromosome:Pop_tri_v4:8:7115599:7119597:-1 gene:Potri.008G112000.v4.1 transcript:Potri.008G112000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112000.v4.1 MRGKGNQNQVEEEYEEDEFGSRKDGPSSSFTVNNNNSSKDGKNSDRANAIRSKHSVTEQRRRSKINERFQILRDLIPHSDQKRDTASFLLEVIEYVQHLQEKVQKYEGPYQGWSPEPAKLMPWRNSHWHLQSSVGHPQAIKNGYVPGETFPGKLDENNIALTPAMLPSTPNLVESDHVACKVLEHQPELGNKAMPLPTPAPIRSVGLVAHPCQLPVSDAQSAECPITSEMLNQQELAIEAGTINISSVYSQELLNTLTQSLQSAGVDLSQANISVQIDLGKRANRGLTSGTLTSKDPQNPHPTNEMITHLRDASGGEDSDQAQKRLKTS >Potri.003G071200.1.v4.1 pep chromosome:Pop_tri_v4:3:9829731:9832266:1 gene:Potri.003G071200.v4.1 transcript:Potri.003G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071200.v4.1 MATATGAAAAAPTRALSQKELDIQMMLAAEVHLGTKNCDFQMERYVFKRRNDGIYIINLGKTWEKLLLAARVIVAIENPQDMIVQSARPYGQRAVLKFAQYTGAHAIAGRHTPGTFTNQMQTSFSEPRLLILTDPRTDHQPIKEAALGNIPTIAFCDTDSPMNFVDIGIPANNKGKHSIGCLFWLLARMVLQMRGTIPQGHKWDIMVDLFFYREPEEAKQQEEEDALPAADYALPPPDYGISAGEWGSTIADSQWTTDVAQQPIPAANFFPEQGVLSGEWGAAPAPAPAPAPAAEQLPGAELGVPPPAAAATGWDV >Potri.003G186300.1.v4.1 pep chromosome:Pop_tri_v4:3:19155710:19156810:-1 gene:Potri.003G186300.v4.1 transcript:Potri.003G186300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186300.v4.1 MALKMKSSTPIRVREVWAENLVDEFSLIKEAISRFSFVSLDTEFPGTLFLSNLDKSLLSQAPPSHNYSLMKYNVDLLKIIQLGMTLSDSQGNLPSLGTEFHYVWQFNFRDFNIEHDPYNDESIGLLERQGIDLKKNREKGIDSSDFAWMVLTSGLVFNNCSITWITFHGAYDFGFLIKILTRRELPCDMASFLGMVSFFFGVRVYDTKFMMGSISGLRGGLERVAKLLGVERTTGSRHQAGSDSLLTQQTFVRFKDSCANLDLENLNGCEGMIFGLCEGWLGFDRTPEVYIETSTRLIKTQFKEVFSV >Potri.006G243200.1.v4.1 pep chromosome:Pop_tri_v4:6:24416098:24419257:1 gene:Potri.006G243200.v4.1 transcript:Potri.006G243200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G243200.v4.1 MALSQLPKFTYCPPSLYNTHINLPLLAKLKLPTLTRLKSTLTTTEPIPITEAHNFLTPQEETQTQFSLDKLFIPPDTEVSINENSGLSARILKGSNIVLSKYARDAQVVQAEFIKSSVRTEDCPSDGLPEFALVGRSNVGKSSLLNSLVRRKKLALTSKKPGKTQCINHFKVNDSWYLVDLPGYGYASAPQELRTDWNKFTKDYFLNRSTLVSVFLLIDASIPAKKIDLEYASWLGQNQVPMTFIFTKCDKRKKKRNGGKRPEENVNEFQELIRGFFETAPPWIMTSGVTNQGRDEMLLHMAQLRNYWLKH >Potri.011G162600.1.v4.1 pep chromosome:Pop_tri_v4:11:18712919:18714271:-1 gene:Potri.011G162600.v4.1 transcript:Potri.011G162600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162600.v4.1 MASQILVIVSFLAISCSLAAAGIEPGPLQDFCVADPTSSACLDPKLVKPDNFFSGGFHVPGNTSNPSGSSVTSASVAQIPGLNTLGLTLIRFDFAQGGLVTPHIHPRASEILTVLEGSLLVGFVSSFPENRLFSKVLEQGDVFVFPIGLAHFLSNVGNGTAAAIASLNSQNPGFIAIPSNIFGTNPPIGDDVLAKAYNVDKSIVEKLRAQFHS >Potri.006G051200.2.v4.1 pep chromosome:Pop_tri_v4:6:3558872:3563053:-1 gene:Potri.006G051200.v4.1 transcript:Potri.006G051200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051200.v4.1 MGVPDASGDLSSEMEVDAFRRLFPLRYFERHLSESIRPDARPLGRARDTTLALGAVASAHGSALAKIGSTTMLAAIKMEVMTPSTESPEEGSIAIDFHMPPICSPIVRPGRPAEAAPVISKQLSDTISSSGMINLKELSLVSGKAAWMAYLDIYCLDADGALFDAALLSAVGAFSHLQIPIVSLNDDGKIVLVSEEDDGTKLEEEPVNKEKRKLTLSSIPFSLTCILHKNYILADPTAEEESIMETLVTVVLDSSSRLVSFYKPGGPVLAQTSAVQDCVALTRQRVKELQDILDETISGMEID >Potri.013G004100.1.v4.1 pep chromosome:Pop_tri_v4:13:288880:291097:-1 gene:Potri.013G004100.v4.1 transcript:Potri.013G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004100.v4.1 METFLFTSESVNEGHPDKLCDQVSDAILDACLEQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRSTCRSIGFVSDDVGLDADKCNVLVNIEQQSPDIAQGVHGHLTKRPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYLNENGAMVPIRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILNIVKEKFDFRPGMIAISLDLKRGGNGRFLKTAAYGHFGRDDPDFTWEVVKPLKSEKPQQ >Potri.012G106600.3.v4.1 pep chromosome:Pop_tri_v4:12:12847410:12851456:1 gene:Potri.012G106600.v4.1 transcript:Potri.012G106600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106600.v4.1 MAFTSSIFSHIPLSNQRIFPPNSHKPKPPRTTVTTAALPPLAAVTAADLDGTTLAVIGGGSVAALAAAISLTDPERRRRLQAEEVGGGDKEVVREYFNNSGFQRWRKIYGETDEVNRVQKDIRLGHSQTVENVLKMLKDEGSLEGVSVCDAGCGTGCLAIPLAKEGAAVYASDISAAMVAEAEKQAKEQLQAGSEKELVMPKFEVKDLESLNGKYDTVVCLDVLIHYPQSKADGMIAHLASLAENRLLLSFAPKTFYYDLLKRVGELFPGPSKATRAYLHAEADVERALKKVGWKIRKRGLVTTQFYFARLVEAIPA >Potri.012G106600.2.v4.1 pep chromosome:Pop_tri_v4:12:12847417:12851830:1 gene:Potri.012G106600.v4.1 transcript:Potri.012G106600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106600.v4.1 MAFTSSIFSHIPLSNQRIFPPNSHKPKPPRTTVTTAALPPLAAVTAADLDGTTLAVIGGGSVAALAAAISLTDPERRRRLQAEEVGGGDKEVVREYFNNSGFQRWRKIYGETDEVNRVQKDIRLGHSQTVENVLKMLKDEGSLEGVSVCDAGCGTGCLAIPLAKEGAAVYASDISAAMVAEAEKQAKEQLQAGSEKELVMPKFEVKDLESLNGKYDTVVCLDVLIHYPQSKADGMIAHLASLAENRLLLSFAPKTFYYDLLKRVGELFPGPSKATRAYLHAEADVERALKKVGWKIRKRGLVTTQFYFARLVEAIPA >Potri.009G169400.1.v4.1 pep chromosome:Pop_tri_v4:9:12879252:12897321:1 gene:Potri.009G169400.v4.1 transcript:Potri.009G169400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169400.v4.1 MEECMRKLALWHTRTFSPVMTHEELEPIMITMGFVGLPPSSPSASSSWKVYAYMAKPPNYKYHYDQAEEQSVAPLRPKLPYPKIDGLHLYTYQAFIDAVNFYLEMSDISDLFHIRGMPLYRNVDRSRKWRRMEDDESVFVYREGTLEQTTYHLYHADKSGNEDDSVLIRVKGKSAPITCIVPLKDIIVA >Potri.001G212600.3.v4.1 pep chromosome:Pop_tri_v4:1:21851476:21872108:-1 gene:Potri.001G212600.v4.1 transcript:Potri.001G212600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212600.v4.1 MQPNLFPIGSVLGNPFLLNADLGEDGVGFGFERSRLFFLVPFLLLQGVGGGGSSGEMDLSKVGEKILSSVRSARSLGLLPLTIDRPEVPARAAAAAAVARVLAGMPPHQRFNLPSSSEELRSIYGSIPQGHMVEELEEDFYEEDFDPVRHILEHVPSEENELTYFEEQATLRLAQLDRVAERLSLHVMEHHEVMVKGMNLVREVEKDLKVANVICMNGRRHLTSSMNEVSRDLVVNSNSKRKQTLLDMLAVLTELHRALDMQVALESLVEKGNYCKAFQVLSEYLQLLDSFSELPAIQEMSRGVEVWLGRTLQKLDALLLGVCQEFKEESYITVVDAYALIGDIPGLAEKLQSFYMQEVLSETHSVLKNTVQEDLEIQMQNSRLTYSDLSLQIPESKFRQCLLRTLAVLFRLISSYHEIMNFQLENKDSAYQTSDMKQDSLGSNGSPRESVDRMLGSSPTEESTTTYMYLDSNFDADETRSNGGEASISGSPWYHLRKDATAFVSQTLQRGRKNLWQLTTSRVSVLLSSAVISSMSTHQFLKNYEDLNVFILAGEAFCGVEAVEFRQKLKAVCENYFLAFHRQNIHALKMVLEKESWLKLPPDTVQAISFAGLVGNGAALIVPSHGISSNAKLHHSNKSVNSIDATIKKSGFTSWIKSGNPFSPKIISTSVEGHSSSLLNGAPAGEYDGHANDSYHGDQASPHSGGASHKNGTPVSEDENEDLLADFIDEDSQLPSRISKPKAPKSNFSHCKDDEISAQTGSSLCLLRSMDKYARFMQKLEIVNVEFFKGICQLFEIFFYSVFETFGQQNSNSNGKSDPLNYRLKTAISRITQDCDQWIKPQLTPVSSSSPTSLSTYMHGDVTPASPPNHATSFGLKERCAAADTISLVAQILHRSKTHLQSMLLQNNPAIVEDFFVLVVDSVPDVIEHLHRTTARLLLHINGYVDRIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLAHGGIHKEAQDRLSEYGVEIVAETLIEGLSRVKRCSDEGRALMSLDLQVLINGLQHFVPVNVKPKLQMVEAFIKAYYLPETEYVHWARAHPEYTKNQIVGLINLVAAMKGWKRKTRLEVIEKIE >Potri.001G212600.8.v4.1 pep chromosome:Pop_tri_v4:1:21851482:21872042:-1 gene:Potri.001G212600.v4.1 transcript:Potri.001G212600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212600.v4.1 MQPNLFPIGSVLGNPFLLNADLGEDGVGFGFERSRLFFLVPFLLLQGVGGGGSSGEMDLSKVGEKILSSVRSARSLGLLPLTIDRPEVPARAAAAAAVARVLAGMPPHQRFNLPSSSEELRSIYGSIPQGHMVEELEEDFYEEDFDPVRHILEHVPSEENELTYFEEQATLRLAQLDRVAERLSLHVMEHHEVMVKGMNLVREVEKDLKVANVICMNGRRHLTSSMNEVSRDLVVNSNSKRKQTLLDMLAVLTELHRALDMQVALESLVEKGNYCKAFQVLSEYLQLLDSFSELPAIQEMSRGVEVWLGRTLQKLDALLLGVCQEFKEESYITVVDAYALIGDIPGLAEKLQSFYMQEVLSETHSVLKNTVQEDLEIQMQNSRLTYSDLSLQIPESKFRQCLLRTLAVLFRLISSYHEIMNFQLENKDSAYQTSDMKQDSLGSNGSPRESVDRMLGSSPTEESTTTYMYLDSNFDADETRSNGGEASISGSPWYHLRKDATAFVSQTLQRGRKNLWQLTTSRVSVLLSSAVISSMSTHQFLKNYEDLNVFILAGEAFCGVEAVEFRQKLKAVCENYFLAFHRQNIHALKMVLEKESWLKLPPDTVQAISFAGLVGNGAALIVPSHGISSNAKLHHSNKSVNSIDATIKKSGFTSWIKSGNPFSPKIISTSVEGHSSSLLNGAPAGEYDGHANDSYHGDQASPHSGGASHKNGTPVSEDENEDLLADFIDEDSQLPSRISKPKAPKSNFSHCKDDEISAQTGSSLCLLRSMDKYARFMQKLEIVNVEFFKGICQLFEIFFYSVFETFGQQNSNSNGKSDPLNYRLKTAISRITQDCDQWIKPQLTPVSSSSPTSLSTYMHGDVTPASPPNHATSFGLKTIVLQERCAAADTISLVAQILHRSKTHLQSMLLQNNPAIVEDFFVLVVDSVPDVIEHLHRTTARLLLHINGYVDRIANAKWEVKELGLEHNGYVDLLLGEFKHYKTRLAHGGIHKEAQDRLSEYGVEIVAETLIEGLSRVKRCSDEGRALMSLDLQVLINGLQHFVPVNVKPKLQMVEAFIKAYYLPETEYVHWARAHPEYTKNQIVGLINLVAAMKGWKRKTRLEVIEKIE >Potri.008G035700.1.v4.1 pep chromosome:Pop_tri_v4:8:1946845:1951060:-1 gene:Potri.008G035700.v4.1 transcript:Potri.008G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035700.v4.1 MSLTGDPSSELLSRLVDSVKEISGLPECRNVFKKTHGDLVRRIKLLSPMFEELKDNNEELSEEETKGFELLRTVLDSAKELLKSVVEGSKVYQTLQRDDIADKINQITEKIEAALGEIPYDKLNLSEEVQEQIELVHAQFRRAKGRQELQDHQLEVDLAIAQREKDPDRAILKRLSEKLHLQTIDDLKKESLAFHELVIASGGDPGDWFKKMASLFKKLKDYVQTENPEIDSAEAGKGMIKHRSPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIQKWLDAGHKTCPKTQQTLLHTALTPNYVLKSLIALWCESNGVELPKQPGACRSKKVGSSMSDCDRAAVTTLLDKLGNGSLEQQRSAAGELRLLAKRNADNRVCIAEAGAVPLLVELLSSTDPRTQEHAVTALLNLSINDLNKGTIVNAGAIPDIVDVLKNGSMEARENAAATLFSLSVIDENKVAIGAAGAIPALIKLLCDGTPRGKKDAATAIFNLSIYQGNKARAVKAGIVPPLMRLLRDAGGGMVDEALAILAILAGHQEGKVAIGQVDPIPVLIEVIRTGSQRNRENAVAILWSLCTGDSQQLILAKQFGAEEALKELSESGTDRAKRKAGSILELLQRADTVVDQS >Potri.001G348400.2.v4.1 pep chromosome:Pop_tri_v4:1:35995161:36001402:1 gene:Potri.001G348400.v4.1 transcript:Potri.001G348400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348400.v4.1 MKQRKATHQKSVYNYNKWKKRKIFVMLSLLLLLGFCLVLTHNSTTTNTTTSRILTLASLRSHFIVQKPKIAFLFIARNRLPLDMLWDAFFKGQESRFSIFVHSRPGFLFNKANTRSEYFLNRQVNDSIQVDWGGASMIEAERILLRHALVDPLNERFVFLSDSCIPLYNFSYTYDYIMSTSTSFVDSFADTKEGRYNPKMAPLVPVYNWRKGSQWVVLTRKHAEVVVNDTTVFPMFQQHCKRRSLPEFWRDHPIPADTSMEHNCIPDEHYVQTLLAREGLEGEITRRSLTHSSWDLSSSKDPERRGWHPVTYKFSDATPTLIQSIKDIDNIYYETEYRREWCSSKGKPSRCFLFARKFTRPAAFRLLNMSVLGAFRKATNKS >Potri.018G133700.1.v4.1 pep chromosome:Pop_tri_v4:18:14279765:14281966:1 gene:Potri.018G133700.v4.1 transcript:Potri.018G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133700.v4.1 MGISKTEINLRRLLSSAPQQQNQAKLVHYIATLREQLEQLAEERTADELPRVSKAVLNDYSEKIEAIASKLVNSLPEIEAPQETFAGDSGKESPKADGGDQIAPSPGLRRRFAPVSNFEDKTRDSIKADASAPVKLDAAAQAHIEKHRKLQDDLTDEMVGLAQQLKESSLLMSQSLQNTEKILDSTEQAVEQSLASTGHANVRTMDIYSKTSKTTCFTWLLMFLMTCIFIMVVLLIRVT >Potri.015G018700.3.v4.1 pep chromosome:Pop_tri_v4:15:1300940:1303588:1 gene:Potri.015G018700.v4.1 transcript:Potri.015G018700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018700.v4.1 MSQKGTHPDLITYSLLLKSCIRSHNYQLGHLVHHRLTQSGLELDSVILNSLISLYSKCGDWQQAHEIFESMGNKRDLVSWSALISCYANNEKAFEAISAFFDMLECGFYPNEYCFTGVFRACSNKENISLGKIIFGFLLKTGYFESDVCVGCALIDMFVKGNGDLESAYKVFDRMPDRNVVTWTLMITRFQQLGFSRDAVDLFLDMVLSGYVPDRFTLSGVVSACAEMGLLSLGRQFHCLVMKSGLDLDVCVGCSLVDMYAKCVADGSVDDARKVFDRMPVHNVMSWTAIITGYVQSGGCDREAIELFLEMVQGQVKPNHFTFSSVLKACANLSDIWLGEQVYALVVKMRLASINCVGNSLISMYSRCGNMENARKAFDVLFEKNLVSYNTIVNAYAKSLNSEEAFELFNEIEGAGTGVNAFTFASLLSGASSIGAIGKGEQIHSRILKSGFKSNLHICNALISMYSRCGNIEAAFQVFNEMGDGNVISWTSMITGFAKHGFATRALETFHKMLEAGVSPNEVTYIAVLSACSHVGLISEGLKHFKSMKVEHGIVPRMEHYACVVDLLGRSGHLEEAMELVNSMPFKADALVLRTFLGACRVHGNMDLGKHAAEIILEQDPHDPAAYILLSNLHASAGQWEEVAEIRKKMKERNLTKEAGCSWIEVENKVHKFYVGDTSHPQAQEIYDELDQLALKIKELGYIPSTDFVLHDVEEEQKEQYLFQHSEKIAVAYGFISTSTSRPIRVFKNLRVCGDCHTAFKYFSIVRRKEIVLRDANRFHHFKDGTCSCNDYW >Potri.015G018700.2.v4.1 pep chromosome:Pop_tri_v4:15:1300861:1303580:1 gene:Potri.015G018700.v4.1 transcript:Potri.015G018700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018700.v4.1 MDLFRHQIVITKRTMISLSLPSSPAKTHLPSPKPTNHPSRQKPPSSTTFKPQNSSFYSLKDRLFHHLNAGHLQKAISTLDQMSQKGTHPDLITYSLLLKSCIRSHNYQLGHLVHHRLTQSGLELDSVILNSLISLYSKCGDWQQAHEIFESMGNKRDLVSWSALISCYANNEKAFEAISAFFDMLECGFYPNEYCFTGVFRACSNKENISLGKIIFGFLLKTGYFESDVCVGCALIDMFVKGNGDLESAYKVFDRMPDRNVVTWTLMITRFQQLGFSRDAVDLFLDMVLSGYVPDRFTLSGVVSACAEMGLLSLGRQFHCLVMKSGLDLDVCVGCSLVDMYAKCVADGSVDDARKVFDRMPVHNVMSWTAIITGYVQSGGCDREAIELFLEMVQGQVKPNHFTFSSVLKACANLSDIWLGEQVYALVVKMRLASINCVGNSLISMYSRCGNMENARKAFDVLFEKNLVSYNTIVNAYAKSLNSEEAFELFNEIEGAGTGVNAFTFASLLSGASSIGAIGKGEQIHSRILKSGFKSNLHICNALISMYSRCGNIEAAFQVFNEMGDGNVISWTSMITGFAKHGFATRALETFHKMLEAGVSPNEVTYIAVLSACSHVGLISEGLKHFKSMKVEHGIVPRMEHYACVVDLLGRSGHLEEAMELVNSMPFKADALVLRTFLGACRVHGNMDLGKHAAEIILEQDPHDPAAYILLSNLHASAGQWEEVAEIRKKMKERNLTKEAGCSWIEVENKVHKFYVGDTSHPQAQEIYDELDQLALKIKELGYIPSTDFVLHDVEEEQKEQYLFQHSEKIAVAYGFISTSTSRPIRVFKNLRVCGDCHTAFKYFSIVRRKEIVLRDANRFHHFKDGTCSCNDYW >Potri.015G018700.1.v4.1 pep chromosome:Pop_tri_v4:15:1300877:1303546:1 gene:Potri.015G018700.v4.1 transcript:Potri.015G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018700.v4.1 MISLSLPSSPAKTHLPSPKPTNHPSRQKPPSSTTFKPQNSSFYSLKDRLFHHLNAGHLQKAISTLDQMSQKGTHPDLITYSLLLKSCIRSHNYQLGHLVHHRLTQSGLELDSVILNSLISLYSKCGDWQQAHEIFESMGNKRDLVSWSALISCYANNEKAFEAISAFFDMLECGFYPNEYCFTGVFRACSNKENISLGKIIFGFLLKTGYFESDVCVGCALIDMFVKGNGDLESAYKVFDRMPDRNVVTWTLMITRFQQLGFSRDAVDLFLDMVLSGYVPDRFTLSGVVSACAEMGLLSLGRQFHCLVMKSGLDLDVCVGCSLVDMYAKCVADGSVDDARKVFDRMPVHNVMSWTAIITGYVQSGGCDREAIELFLEMVQGQVKPNHFTFSSVLKACANLSDIWLGEQVYALVVKMRLASINCVGNSLISMYSRCGNMENARKAFDVLFEKNLVSYNTIVNAYAKSLNSEEAFELFNEIEGAGTGVNAFTFASLLSGASSIGAIGKGEQIHSRILKSGFKSNLHICNALISMYSRCGNIEAAFQVFNEMGDGNVISWTSMITGFAKHGFATRALETFHKMLEAGVSPNEVTYIAVLSACSHVGLISEGLKHFKSMKVEHGIVPRMEHYACVVDLLGRSGHLEEAMELVNSMPFKADALVLRTFLGACRVHGNMDLGKHAAEIILEQDPHDPAAYILLSNLHASAGQWEEVAEIRKKMKERNLTKEAGCSWIEVENKVHKFYVGDTSHPQAQEIYDELDQLALKIKELGYIPSTDFVLHDVEEEQKEQYLFQHSEKIAVAYGFISTSTSRPIRVFKNLRVCGDCHTAFKYFSIVRRKEIVLRDANRFHHFKDGTCSCNDYW >Potri.009G130200.1.v4.1 pep chromosome:Pop_tri_v4:9:10646384:10648955:1 gene:Potri.009G130200.v4.1 transcript:Potri.009G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130200.v4.1 MAATVSSPWSKPGAWALDAEEHEAELQQEHENSQQASTLAAQPLGGVAEFPSLAAAAATKQPKKKKNQTLSLAEFSNYSLAKSSHEPDLFNLPTRPRERSAEELDRARLGGGFKSYGLSYRNGGEESNSRWGGGGNGNSRVSNRESSKEFAPSRADEIDDWSKTKKSPAGNVYERRERERGSSFFDSQSKADESASWVSNKTTNDGPRRFVGANNGGFERRGSYDTLSRERHGFSGGAADSDNWGRKKDESFNSGSVGERPKLKLQPRTLPVSDGNGAVEKPKGSNPFGDARPREEVLKEKGMDYKEIDEKLDSVKISSERSKDVERSDSFGKRGFGIGRGGSGNERSWRKPDVADSGSRPQSAETTENGNNAEDGLATEDEVVEGN >Potri.009G130200.4.v4.1 pep chromosome:Pop_tri_v4:9:10646394:10648816:1 gene:Potri.009G130200.v4.1 transcript:Potri.009G130200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130200.v4.1 MAATVSSPWSKPGAWALDAEEHEAELQQEHENSQQASTLAAQPLGGVAEFPSLAAAAATKQPKKKKNQTLSLAEFSNYSLAKSSHEPDLFNLPTRPRERSAEELDRARLGGGFKSYGLSYRNGGEESNSRWGGGGNGNSRVSNRESSKEFAPSRADEIDDWSKTKKSPAGNVYERRERERGSSFFDSQSKADESASWVSNKTTNDGPRRFVGANNGGFERRGSYDTLSRERHGFSGGAADSDNWGRKKDESFNSGSVGERPKLKLQPRTLPVSDGNGAVEKPKGSNPFGDARPREEVLKEKGMDYKEIDEKLDSVKISSERSKDVERSDSFGKRGFGIGRGGSGNERSWRKPDVADSGSRPQRFVLLDL >Potri.011G043500.1.v4.1 pep chromosome:Pop_tri_v4:11:3391672:3396508:1 gene:Potri.011G043500.v4.1 transcript:Potri.011G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043500.v4.1 MENQQGDSLIISDQVKVNGNLTPLTLTTEGKLQWTTEKGQHSLTVEKEVLGFTIQGSKIIIKAVTEKRDGIFCSASAGGGALARKDFVFEPLSDESLHLWCQHLRDYINSLGRPKRLFIFVNPFGGKKSALKIYFDVVKPLLEDADVQITVQETKYQLHAKEVVRAMDLTKYDGIVCVSGDGILVEVVNGLLEREDWNAAIKMPLGTVPAGTGNGMVKSTLDSAGEPCTASNAVVAIIRGHKCSLDVATILQGDTKFFSVLMFAWGLVADIDIESEKYRWMGSARLDFYGLQRMLCLRQYSGRISFVPAPGFEAYGEPTRYNGEFTSTQSSINPGQEQHVKAEQYSYQGPDVDLTNLEWRTINGPFISVWLHNVPWGGEGTMAAPDAKFADGNLDLILIKDCPKLGLLALMTNLSDGGHVKSPHVMYLKVKAFILEPGQRTKDPTRGGIIDIDGEVLARGNGTYKHDQKTLMTYDKLQITMDQGLATLFCPVQQ >Potri.001G145500.1.v4.1 pep chromosome:Pop_tri_v4:1:12007849:12010982:1 gene:Potri.001G145500.v4.1 transcript:Potri.001G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145500.v4.1 MSSVLGEAKRMERAIERSASSGGSASAREGTAKSVVADQISQAVQSTSNLLHLMQQSSPSQAKLMKLPKNLLAKASTIKNTGQVLDQMPKVISSLDAHMDSGLQSVPHLRTAIQLLANMESCQLNTLSQAQFSQQESEPSSQPPEAV >Potri.001G145500.8.v4.1 pep chromosome:Pop_tri_v4:1:12007851:12010982:1 gene:Potri.001G145500.v4.1 transcript:Potri.001G145500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145500.v4.1 MSSVLGEAKRMERAIERSASSGGSASAREGTAKSVVADQISQAVQSTSNLLHLMQQSSPSQAKLMKLPKNLLAKASTIKNTGQVLDQMPKVISSLDAHMDSGLQSVPHLRTAIQLLANMESCQLNTLSQAQFSQQVQTPSFCG >Potri.001G145500.9.v4.1 pep chromosome:Pop_tri_v4:1:12007882:12010982:1 gene:Potri.001G145500.v4.1 transcript:Potri.001G145500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145500.v4.1 MERAIERSASSGGSASAREGTAKSVVADQISQAVQSTSNLLHLMQQSSPSQAKLMKLPKNLLAKASTIKNTGQVLDQMPKVISSLDAHMDSGLQSVPHLRTAIQLLANMESCQLNTLSQAQFSQQVQTPSFCGCV >Potri.016G071800.1.v4.1 pep chromosome:Pop_tri_v4:16:5269637:5273638:-1 gene:Potri.016G071800.v4.1 transcript:Potri.016G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071800.v4.1 MRAGGCTVQQALTAEAASVIKQAVTLARRRGHAQVTPLHVANTMLSASTGLLRTACLQSHSHPLQCKALELCFNVALNRLPTSTSSPMIGTPSQQFPSISNALVAAFKRAQAHQRRGSIENQQQPLLAVKIELEQLMISILDDPSVSRVMREAGFSSTQVKSNVEEAVSLEICSQSVPSVSIKSNESNGLVHPESPPWSQVGAKAAVLDPIKNEDVMCVIENLMNKRRRSFVIVGESLASIEVVVKGVKDKVQKGDVPEGLREVKFLPIPVSSFGSFSRVEVEHKLEELKGHVRSYMGKGVVLNLGDLKWAIENRDTSSSSHEQGSCYFCPLVYLIVELGKFACAIGDNNGRFWLMGIATFQTYMKYKSDHPPGDTVLGLHPLTIPAGSLRLSLISDSDLLRQSTSNKAENGCRSWIILEGGEDKQLTSCSNYSAKFETEARRLPNSTCNSDSTSTLPAWLQKYKNEKKVQNSDNQDSMPIKDLCRKWNSFCGSIHQQNYSSEETLTFSSVSPSSSTSYDHQYPNLYRNQNEWPIVEPQQSSRDNHFWIGTEAINKCSIEPSLRKYIPEHKDHTKQLPFSSNTNSTPNSASSSDVIEMEHLHKFKELNAENLKTLCNALEKKVPWQKDIIPEIASTILQCRSGMARRKGKVKNSVAKEETWLFFQGVDMEDKEKIAKELARLVFGSHESFISISLSSFSSTRADSTEDCRNKRTRDEQSCSYIERFSDAVSSNPHRVFLVEDVEQADFFSQIRFKRAIEKGRITNYNGQEVGLSDAIIILSCESFSSRSRACSPPIKQRTDGSHEEENSAGATLMEGTSPCVSLDLNISIDDDSVEDQSIDDIGLLESVDRRIIFKIQDF >Potri.006G204900.2.v4.1 pep chromosome:Pop_tri_v4:6:21330229:21334186:-1 gene:Potri.006G204900.v4.1 transcript:Potri.006G204900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204900.v4.1 MACSATSTSFISSIAAAKSMATPLSKTLTLPNSFSGTRKSIQSPVLRSISLTRGSHSAKSFVVKASSELPLVGNVAPDFEAEAVFDQEFIKVKLSEYIGNKYVVLFFYPLDFTFVCPTEITAFSDRYEEFKQINTEVLGVSVDSVFSHLAWVQTDRKSGGLGDLKYPLISDVTKSISKSYGVLIPDQGVALRGLFIIDKEGVIQHSTINNLAIGRSVDETKRTLQALQYVQENPDEVCPAGWKPGDKSMKPDPRQSKDYFAAL >Potri.005G050180.1.v4.1 pep chromosome:Pop_tri_v4:5:3179682:3181735:-1 gene:Potri.005G050180.v4.1 transcript:Potri.005G050180.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050180.v4.1 MAFMRESAFRASASAFQLQQQQMEMGISPFLPDFPCFLFFNHHHFLTSTSTKKPSLPKNNDGFVSNNSNNVCIDDALASFYRMVRINPRPSVVEFGKFLGSFAKKKQYSTVVSLCNQMDLFRVTHNVYSLNILINCLCRLNHVDFSVSVLGKMFKLGIHPDAITFNALINGLCNEGKIKEAVELFNEMVKRGHEPNVISYTTVINGLCKTGNTSMAVDVFKKMEQNGCKPNVVTYSTIIDSLCKDRLVNDAMEFLSEMVERGIPPNVFTYNSIVHGFCNLGQLNEATRLFKEMVGRDVMPNTVTFTILVDGLCKEGMVSEARCVFETMTEKGVEPNIYTYNALMDGYCLQRQMNEAKEVFEIMARQGCAPDVRSYNILINGFCKSRRMDEAKSLLAEMYHKALNPDTVTYSTLMQGLCQFGRPKDALNIFKEMCSYGLLPNLVTYSILLDGFCKHGHLDEALKLLKSMQEKKLEPNIVHHTILIEGMFIAGKLEVAKELFSKLFADGIRPPIRTYTVMIKGLLKEGLSDEAYDLFRKMEDDGFLPNSCSYNVIIQGFLQNQDSSTAIRLINEMVGKRFSADSSTVQMLLDLESQDEIISQFMRGSSPGRKIK >Potri.004G013700.2.v4.1 pep chromosome:Pop_tri_v4:4:847130:849803:-1 gene:Potri.004G013700.v4.1 transcript:Potri.004G013700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013700.v4.1 MDRSSFKLDHTLERRQAEASRIREKYPDRVPVIVERAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLGPEKAIFVFVKNTLPSTASLMSAIYEENKDEDGFLYMTYSGENTFGLH >Potri.004G013700.3.v4.1 pep chromosome:Pop_tri_v4:4:847414:849603:-1 gene:Potri.004G013700.v4.1 transcript:Potri.004G013700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013700.v4.1 MDRSSFKLDHTLERRQAEASRIREKYPDRVPVIVERAERSDIPDIDKKKYLVPADLTVGQFVYVVRKRIKLGPEKAIFVFVKNTLPSTASLMSAIYEENKDEDGFLYMTYSGENTFGLH >Potri.002G241366.2.v4.1 pep chromosome:Pop_tri_v4:2:23357768:23366735:1 gene:Potri.002G241366.v4.1 transcript:Potri.002G241366.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241366.v4.1 MAYASHLIKHSKKLRNVSSLMRHEHAGLVRWLSNDFHVSGGKRNVIEELNVQENMNFDNGISRPKLKTTWAKQAEVIKEPYMCSPLQNLLLYFPGCVSADESGNRLFLSDSNHHRIIVSDGNGKILDSIGSGPGFEDGEFESAKLARPAASFYDDEEDCLYIVDSENHAIRRADLESRVLETVYPKSFSKKNNSIWTWIMDKLGSRINVDAKSEEFDSQPLVFPWHLLKSVDNTFLIISRSFETLWVIDLVSGEMKECIKGFPNILETCGQLITGKVSLLKQLPIDYLKQQTDVNCSLKEFPYATLVSNLTTFENDIVLCDTVAHRVLKISRESGACSNFQLSNFGILGLPFWLSFPVERVYSVAGASADHIEHVSLLPGRVDIRLNIDIPMDTELVEPLQEGCIWRQARGSATVILGAEDVVGSSEKAGVSQQWYDELDNLAFSTPGLEMATEEDSATSDVNYQDERLHIDCAVNTSPGTSELIIHAALYLKLRRHLDLEEGGQQKYAARIADILNPGRGEGLEKDSCIQLLLKSNCNLRDLIFVKPLHLRINLDTLDHPKADNSKDIILTDSAIEVNVSL >Potri.014G115700.1.v4.1 pep chromosome:Pop_tri_v4:14:7786322:7788804:1 gene:Potri.014G115700.v4.1 transcript:Potri.014G115700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115700.v4.1 MKDSRVSLLVFGISFICLFLCIQARRHYHAQHHKHSHLHKSSTIPEPPTPPPEPASPPPEPASPPPEPASPPPVPASPPPEPASPPPEPASPPPVPASPSPEPASPPPVPASPPPVPASPPPLPANPSGGSGNSTGVFDVRSFGAIGDGITDDTDAFKMAWDAACNQDDSAVILVPYGFEFMIQSTIFTGPCQGGLVFQVDGTLMPPDGPESWPQKNSRRQWLVFYRINEMSLLGGGVIDGRGEKWWDLPCKPHKGINGTTMPGPCDSPIAIRFFMSSNLTVQGLKIKNSPQFNFRFDNCKNVHVESIHITAPALSPNTDGIHIENTNGVEIYNSVISNGDDCVSIGSGCYDVDIRNITCGPSHGISIGSLGNHNSRACVSNITVRDSVIRVSDNGVRIKTWQGGSGAVSGITFSNIHMDNVRNPIIIDQFYCLSKGCTNQTSALSVSDILYENIKGTYNIRSPPMHFACSDSVPCTNLTLSDVELLPAEGDLVLDPYCWNAYGNFRTLTIPPVSCLMEGIPRSNLNNEMDYC >Potri.001G256900.1.v4.1 pep chromosome:Pop_tri_v4:1:27285494:27286220:1 gene:Potri.001G256900.v4.1 transcript:Potri.001G256900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256900.v4.1 MASMTMTASFLAGSTMAKQPLTTPRRGLIVAKASRTTEGVNVEMKNKEESSSGRRDLMFAAAAAAAYSIARVAIADEEPERGTPEAKKKYAPICVTMPTARICRK >Potri.013G051800.2.v4.1 pep chromosome:Pop_tri_v4:13:3704158:3705485:-1 gene:Potri.013G051800.v4.1 transcript:Potri.013G051800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051800.v4.1 MVAFPHHKKSNTPLKHDNFLHILDPKDVTEKDFFSSGLDIPGDTSGRVGSNVTAVNIEKIPGLNTLGISFARIDFAPYGLNPPHTHPRATEIIVVVEGTLYVGFVTSNLANGDNRLITKALKPGDVFVFPTGLIHFQFNVGKTKALAFAGLSSQNPGVITIANAVFGSDPPINPDVLAKAFQLDKKVVDYLQKAF >Potri.002G053366.1.v4.1 pep chromosome:Pop_tri_v4:2:3601721:3603342:1 gene:Potri.002G053366.v4.1 transcript:Potri.002G053366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053366.v4.1 MEGNYTKNHLKNDGLQLTIQPSQIHLETHYLAKQVDLSMRVTENFSARVTSKLFEKQIREVFLGTFGRLGAANLSASLLTSVLPTTLEDLLVLGLCTAGGFVAISTFPARRQAMVDQVNRIADGLAGEVEEAMQKDLMETVGNLENFVKTIGKPYQDAAQKRLGKLLDLQEELSNVDKKHRTLRIEIQNVHVS >Potri.001G289250.1.v4.1 pep chromosome:Pop_tri_v4:1:30166539:30168003:1 gene:Potri.001G289250.v4.1 transcript:Potri.001G289250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289250.v4.1 MGPLYFDIGKNFIERLLPIAAYIFMWPALVPGRHMELPIGNVLLKRSLLLDLKRFH >Potri.007G082300.1.v4.1 pep chromosome:Pop_tri_v4:7:10692471:10696460:1 gene:Potri.007G082300.v4.1 transcript:Potri.007G082300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082300.v4.1 MWRLKGREFRTCLAVLILVTFRLSKIFANEEGDALYIFRNNLQDPNNIMDNWNRTDKNPCKWNHVTCNSLDSVVRLDMGNALLSGQLVPQLALLTNLQYLELYANNLSGHIPVYLENLTNLVSLELYTNNFDGPIPDALGKLFKLRFLRLNSNNLSGSIPMSLTSLYSLQVLDLSSNRLSGPVPHNGSFSLFTAVSYANNLDLCGPITEKPCPGSSPPAVSSPDGDSKPNIGAIVGGVVAVWCYYLLLW >Potri.008G050400.1.v4.1 pep chromosome:Pop_tri_v4:8:2955973:2964557:1 gene:Potri.008G050400.v4.1 transcript:Potri.008G050400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050400.v4.1 MMISRGLFGWSPPHIQPLTPVSEVSEPPESPSPYLDNSAEAAAAAAAAAQAEAEEEIEEAEEMEPPPAAVPFSGLFACADRLDWGLMIVGSLAAAAHGTALVVYLHYFGKIIGVLSIKPEERFDRFTDLAMHIVYLAVGVFAAGWIEVSCWILTGERQTAVIRSKYVQVLLNQDMSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLAIGFVNCWQIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASIAEQAVSYSRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTSHKAHGGEIVTALFAIILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSTVNQDGNNLVAVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPNLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIVYGRDATLDQIEEAAKIAHAHTFISSLEKGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELITLNGLYAELLKCEEAAKLPRRMPVRNYKETAAFQVEKDPSTGHSYQEPSSPKIARSPSLQRAPGIFRPPDSMFNSQESPKVLSPPPEKMMENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSAHRQASNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDVPIKVKESKDTKHLEEPSFWRLAELSLAEWLYAVLGSIGAAIFGSFNPLLAYVISLIVTAYYGRDMQQDVNRWCLIIAIMGMVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEDNGADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAVVIGVLLQWRLALVALATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDSVRNIYTVVAFCAGNKVMELYRLQLQKIFKQSFFLGMAIGFGFGFSQFLLFACNALLLWYTAYSVKNHNVNLHTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDREPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRTAMMRHVDNIVVLNGGRIVEEGAHDSLMAKNGLYVRLMQPHFGKGLRQHRLI >Potri.008G050400.2.v4.1 pep chromosome:Pop_tri_v4:8:2955983:2964417:1 gene:Potri.008G050400.v4.1 transcript:Potri.008G050400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050400.v4.1 MSFFDTYGNNGDIVSQVLSDVLLIQSALSEKVGNYIHNMATFFSGLAIGFVNCWQIALITLATGPFIVAAGGISNIFLHRLAESIQDAYAEAASIAEQAVSYSRTLYAFTNETLAKYSYATSLQATLRYGILISLVQGLGLGFTYGLAICSCALQLWVGRFLVTSHKAHGGEIVTALFAIILSGLGLNQAATNFYSFDQGRIAAYRLFEMISRSSSTVNQDGNNLVAVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPNLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIVYGRDATLDQIEEAAKIAHAHTFISSLEKGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELITLNGLYAELLKCEEAAKLPRRMPVRNYKETAAFQVEKDPSTGHSYQEPSSPKIARSPSLQRAPGIFRPPDSMFNSQESPKVLSPPPEKMMENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSAHRQASNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDVPIKVKESKDTKHLEEPSFWRLAELSLAEWLYAVLGSIGAAIFGSFNPLLAYVISLIVTAYYGRDMQQDVNRWCLIIAIMGMVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEDNGADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAVVIGVLLQWRLALVALATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDSVRNIYTVVAFCAGNKVMELYRLQLQKIFKQSFFLGMAIGFGFGFSQFLLFACNALLLWYTAYSVKNHNVNLHTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDREPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRTAMMRHVDNIVVLNGGRIVEEGAHDSLMAKNGLYVRLMQPHFGKGLRQHRLI >Potri.008G050400.3.v4.1 pep chromosome:Pop_tri_v4:8:2959242:2964560:1 gene:Potri.008G050400.v4.1 transcript:Potri.008G050400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050400.v4.1 MISRSSSTVNQDGNNLVAVQGNIEFRNVYFSYLSRPEIPILSGFYLTVPAKKTVALVGRNGSGKSSIIPLMERFYDPNLGEVLLDGENIKNLKLEWLRSQIGLVTQEPALLSLSIRDNIVYGRDATLDQIEEAAKIAHAHTFISSLEKGYETQVGRAGLALTEEQKIKLSIARAVLLNPTILLLDEVTGGLDFEAERAVQEALDLLMLGRSTIIIARRLSLIRNADYIAVMEEGQLVEMGTHDELITLNGLYAELLKCEEAAKLPRRMPVRNYKETAAFQVEKDPSTGHSYQEPSSPKIARSPSLQRAPGIFRPPDSMFNSQESPKVLSPPPEKMMENGLPLDGADKEPSIRRQDSFEMRLPELPKIDVQSAHRQASNGSDPESPVSPLLTSDPKNERSHSQTFSRPHSHSDDVPIKVKESKDTKHLEEPSFWRLAELSLAEWLYAVLGSIGAAIFGSFNPLLAYVISLIVTAYYGRDMQQDVNRWCLIIAIMGMVTVVANFLQHFYFGIMGEKMTERVRRMMFSAMLRNEVGWFDEEDNGADTLSMRLANDATFVRAAFSNRLSIFIQDSAAVIVAVVIGVLLQWRLALVALATLPVLTVSAIAQKLWLAGFSRGIQEMHRKASLVLEDSVRNIYTVVAFCAGNKVMELYRLQLQKIFKQSFFLGMAIGFGFGFSQFLLFACNALLLWYTAYSVKNHNVNLHTALKEYMVFSFATFALVEPFGLAPYILKRRKSLISVFEIIDREPKIDPDDNSALKPPNVYGSIELKNVDFCYPTRPEMLVLSNFSLKVNGGQTVAVVGVSGSGKSTIISLIERFYDPVAGQVLLDGRDLKLYNLRWLRNHLGLVQQEPIIFSTTIRENIIYARHNASEAEMKEAARIANAHHFISSLPHGYDTHVGMRGVDLTPGQKQRIAIARVVLKNAPILLLDEASSSIESESSRVVQEALDTLIMGNKTTILIAHRTAMMRHVDNIVVLNGGRIVEEGAHDSLMAKNGLYVRLMQPHFGKGLRQHRLI >Potri.T046100.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:606142:615187:-1 gene:Potri.T046100.v4.1 transcript:Potri.T046100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046100.v4.1 MGSSSILDTTTNDPVDNTISSSSSGMRKNKKGKQKSSVSNVKKEVPEKNTKGKKRNSPDTNKEEPTGGDGSLKRPKRAAACKDFKEKSVRLHEEKSYVVESKKEQVVDEEILAVRLTQGQEEGRPNRRLIDFVVHDANGNPQPLEMVEVDDMFISGIIMPHEESIDKEKEVHVRCDGFGRIEAWDISGYEDGSPVIWLSTEVADYDCIKPAGGYKKFFDHFFQKALACVEVYKKLSRFSGGNPEFTLDELLAGVVRAMSGNKCFSGAVSIKNFLISQGEFIYHQIIGLDETSTKNDKKFADLPVLVALRDESRNHGNVLIAKAANSGGNLVIGPESVDGAVVNQSNQSSTTVEEDEDAKLARLLQEEEYWQSNMRQKKSRGSVSASNTIYIKINEDEIANDYPLPVFYKHSNEETDEYIAVASDDVIDHPDDLPRRMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGSMTEDDGSGFCLDDGPDQSSSRGLEAQDDMGLPIFLSAIKEWMIEFGSSMIFISLRTDMAWYRLGKPSKQYASWYKPVLKTVKLARSIITLLKEQSRVSRLSFADVIRKVSEFKKDHHAYISSDLAAVERYVVVHGQIILQLFAEFPDQKIKKCAFVVGLTRMMEERHHTKWVVNKKAIVQKCHSNLNPRAAMDTVASGTSKRKLMQATTTRLINRIWGEYYSNYSPEDLKEGNDCDVKEEDELEEQDENEDDDKEVVVEKTLKPYSVFEHCKSHTSQKEVRWDGNPVRKTSSGEDIYKQAIVCGQVIVVGAAVLVEVDEPDELPAIYFVEYMFETRNGSKMFHGRMMKWGSETVLGNTANDREVFLTNECMNYKLQDVKQAIILEVRKRPWGHHHRKDNANADRIDREKAEERKKKGLPLEYYCKSLYWPERGAFFTLPFDTMGLGSGVCHSCNLKISEEDKNISKVNSSQTGFSYKGTEYSVHDFVYVNPHQFAVESGETETFKGGRNVGLKPYAVCQLLEVVPMETKQSETRSTEVKVQRFFRPDDISPEKAYCSDIREIYYSEETHLLSVEVIEGKCEVRKKIDIPTCSAPAIFDHTFFCEHMYDPSNGSLKQLPAHIKSKFSAVSKDGDVASRKRKGKSKEGENDTEDDKQLEASPEYRLATLDIFAGCGGLSEGLQQAGVSTTKWAIEYEEPAGEAFKLNHAESLMFINNCNVILRAVMERCGDADDCISTSEAAKLASSLDAKVINGLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTIASLLQMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAAPELKITLSEKSQYAAVRSTAYGAPFRAITVRDTIGDLPDVANGASKTNLEYGNDPISWFQKKIRGDMVVLTDHISKEMNELNLIRCKNIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDNYQFFGNIQHKHRQIGNAVPPPLAYALGRKLKEALDSKRQK >Potri.T046100.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:606157:615652:-1 gene:Potri.T046100.v4.1 transcript:Potri.T046100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046100.v4.1 MGSSSILDTTTNDPVDNTISSSSSVGMRKNKKGKQKSSVSNVKKEVPEKNTKGKKRNSPDTNKEEPTGGDGSLKRPKRAAACKDFKEKSVRLHEEKSYVVESKKEQVVDEEILAVRLTQGQEEGRPNRRLIDFVVHDANGNPQPLEMVEVDDMFISGIIMPHEESIDKEKEVHVRCDGFGRIEAWDISGYEDGSPVIWLSTEVADYDCIKPAGGYKKFFDHFFQKALACVEVYKKLSRFSGGNPEFTLDELLAGVVRAMSGNKCFSGAVSIKNFLISQGEFIYHQIIGLDETSTKNDKKFADLPVLVALRDESRNHGNVLIAKAANSGGNLVIGPESVDGAVVNQSNQSSTTVEEDEDAKLARLLQEEEYWQSNMRQKKSRGSVSASNTIYIKINEDEIANDYPLPVFYKHSNEETDEYIAVASDDVIDHPDDLPRRMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGSMTEDDGSGFCLDDGPDQSSSRGLEAQDDMGLPIFLSAIKEWMIEFGSSMIFISLRTDMAWYRLGKPSKQYASWYKPVLKTVKLARSIITLLKEQSRVSRLSFADVIRKVSEFKKDHHAYISSDLAAVERYVVVHGQIILQLFAEFPDQKIKKCAFVVGLTRMMEERHHTKWVVNKKAIVQKCHSNLNPRAAMDTVASGTSKRKLMQATTTRLINRIWGEYYSNYSPEDLKEGNDCDVKEEDELEEQDENEDDDKEVVVEKTLKPYSVFEHCKSHTSQKEVRWDGNPVRKTSSGEDIYKQAIVCGQVIVVGAAVLVEVDEPDELPAIYFVEYMFETRNGSKMFHGRMMKWGSETVLGNTANDREVFLTNECMNYKLQDVKQAIILEVRKRPWGHHHRKDNANADRIDREKAEERKKKGLPLEYYCKSLYWPERGAFFTLPFDTMGLGSGVCHSCNLKISEEDKNISKVNSSQTGFSYKGTEYSVHDFVYVNPHQFAVESGETETFKGGRNVGLKPYAVCQLLEVVPMETKQSETRSTEVKVQRFFRPDDISPEKAYCSDIREIYYSEETHLLSVEVIEGKCEVRKKIDIPTCSAPAIFDHTFFCEHMYDPSNGSLKQLPAHIKSKFSAVSKDGDVASRKRKGKSKEGENDTEDDKQLEASPEYRLATLDIFAGCGGLSEGLQQAGVSTTKWAIEYEEPAGEAFKLNHAESLMFINNCNVILRAVMERCGDADDCISTSEAAKLASSLDAKVINGLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTIASLLQMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAAPELKITLSEKSQYAAVRSTAYGAPFRAITVRDTIGDLPDVANGASKTNLEYGNDPISWFQKKIRGDMVVLTDHISKEMNELNLIRCKNIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDNYQFFGNIQHKHRQIGNAVPPPLAYALGRKLKEALDSKRQK >Potri.T046100.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:606152:615192:-1 gene:Potri.T046100.v4.1 transcript:Potri.T046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046100.v4.1 MNVGMRKNKKGKQKSSVSNVKKEVPEKNTKGKKRNSPDTNKEEPTGGDGSLKRPKRAAACKDFKEKSVRLHEEKSYVVESKKEQVVDEEILAVRLTQGQEEGRPNRRLIDFVVHDANGNPQPLEMVEVDDMFISGIIMPHEESIDKEKEVHVRCDGFGRIEAWDISGYEDGSPVIWLSTEVADYDCIKPAGGYKKFFDHFFQKALACVEVYKKLSRFSGGNPEFTLDELLAGVVRAMSGNKCFSGAVSIKNFLISQGEFIYHQIIGLDETSTKNDKKFADLPVLVALRDESRNHGNVLIAKAANSGGNLVIGPESVDGAVVNQSNQSSTTVEEDEDAKLARLLQEEEYWQSNMRQKKSRGSVSASNTIYIKINEDEIANDYPLPVFYKHSNEETDEYIAVASDDVIDHPDDLPRRMLHNWSLYNSDSRLISLELLPMKPCEDIDVTIFGSGSMTEDDGSGFCLDDGPDQSSSRGLEAQDDMGLPIFLSAIKEWMIEFGSSMIFISLRTDMAWYRLGKPSKQYASWYKPVLKTVKLARSIITLLKEQSRVSRLSFADVIRKVSEFKKDHHAYISSDLAAVERYVVVHGQIILQLFAEFPDQKIKKCAFVVGLTRMMEERHHTKWVVNKKAIVQKCHSNLNPRAAMDTVASGTSKRKLMQATTTRLINRIWGEYYSNYSPEDLKEGNDCDVKEEDELEEQDENEDDDKEVVVEKTLKPYSVFEHCKSHTSQKEVRWDGNPVRKTSSGEDIYKQAIVCGQVIVVGAAVLVEVDEPDELPAIYFVEYMFETRNGSKMFHGRMMKWGSETVLGNTANDREVFLTNECMNYKLQDVKQAIILEVRKRPWGHHHRKDNANADRIDREKAEERKKKGLPLEYYCKSLYWPERGAFFTLPFDTMGLGSGVCHSCNLKISEEDKNISKVNSSQTGFSYKGTEYSVHDFVYVNPHQFAVESGETETFKGGRNVGLKPYAVCQLLEVVPMETKQSETRSTEVKVQRFFRPDDISPEKAYCSDIREIYYSEETHLLSVEVIEGKCEVRKKIDIPTCSAPAIFDHTFFCEHMYDPSNGSLKQLPAHIKSKFSAVSKDGDVASRKRKGKSKEGENDTEDDKQLEASPEYRLATLDIFAGCGGLSEGLQQAGVSTTKWAIEYEEPAGEAFKLNHAESLMFINNCNVILRAVMERCGDADDCISTSEAAKLASSLDAKVINGLPLPGQVDFINGGPPCQGFSGMNRFNQSTWSKVQCEMILAFLSFADYFRPKYFLLENVRNFVSFNKGQTFRLTIASLLQMGYQVRFGILEAGAYGVSQSRKRAFIWAASPEEILPEWPEPMHVFAAPELKITLSEKSQYAAVRSTAYGAPFRAITVRDTIGDLPDVANGASKTNLEYGNDPISWFQKKIRGDMVVLTDHISKEMNELNLIRCKNIPKRPGADWRDLPDEKVKLSTGQMVDLIPWCLPNTAKRHNQWKGLFGRLDWEGNFPTSITDPQPMGKVGMCFHPEQDRILTVRECARSQGFPDNYQFFGNIQHKHRQIGNAVPPPLAYALGRKLKEALDSKRQK >Potri.015G143950.1.v4.1 pep chromosome:Pop_tri_v4:15:14926077:14928189:1 gene:Potri.015G143950.v4.1 transcript:Potri.015G143950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143950.v4.1 MESQMARPHDFHDHEHGPNNVGLHPEGEDEHRHEKKSVLKKVKEKVKLHGHGQEEHHEVHVPDDHDLYEEDDDEDEEMVGDPEVHGASAYSSASLRSSAHGQGGRLGGPRTYYGGPTTMQEEHTGSDPIKSSVLGQEEDESAPPKVLLERTTAIKEVPHAPVNSPASISPADPVKGFVHEQERILGQPEVNLEVPAGITPMLQSFDKMNIYDDSKQGEKKNSPVRPRDAQPSMFPTGSHDQFSAEPTPPISINPKENPESASKLGYIERDDNIQEHEVAHEGQNAAKPASSVEYGKKVAATVTEKLTPVYEKVVGLGSTVMSKVYGNTNTSTNTSNEADNMIKGKDKGVSVKDYFVEKLSPGEEDRALSEVISETLNKGKAEAVTESEEVKRRLESSSTEEYSGERVDSGSVHIPDKTVVGKLKGAVGSLFVKGEGSRASQQHPLSSSNAVTQALSSTYASGEEIGDRRLQESGN >Potri.012G066300.9.v4.1 pep chromosome:Pop_tri_v4:12:8501892:8511216:-1 gene:Potri.012G066300.v4.1 transcript:Potri.012G066300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066300.v4.1 MDDTDIEIWFPSWNNKNPTESHLFIISCFLAALVGISTIAYTAFQWRRNINLSWMKAIARSKKNPKARHKVPLAPHTWVLESVSRGKNLTCCVCLNSLSPSQTLGPMVSSDSFVRHCSICGAAAHLHCSSSAHKDCKGVSMVGYEHMMHQWAVRWTEITDQPDETSFCSYCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSMSNETGDICDLGPFRRLILSPLYVKELNTSGGFLSSITHGANEIASSVRASIRSQSKKYKHVNESTVDTGNSGSTCDMSTESTADTHPALNGSHALDEGCNGSLDVGSPRHDGGIDKLELKTSFRRSGSINQKDESQILSMKQRYEITDLPPDARPLLVFINKKSGAQRGDSLRQRLNFLLNPVQVFELSSTHGPEIGLYLFKKVPHFRILVCGGDGTVCWVLSTIEKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTLLHHIEHAAVTILDRWKVTIVKNQRKQLQPPKYMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKILLLAALPVQIDGEPWFQQPCTLHVSHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNHVINASQKRALLQEMALRLS >Potri.012G066300.8.v4.1 pep chromosome:Pop_tri_v4:12:8501898:8511261:-1 gene:Potri.012G066300.v4.1 transcript:Potri.012G066300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066300.v4.1 MDDTDIEIWFPSWNNKNPTESHLFIISCFLAALVGISTIAYTAFQWRRNINLSWMKAIARSKKNPKARHKVPLAPHTWVLESVSRGKNLTCCVCLNSLSPSQTLGPMVSSDSFVRHCSICGAAAHLHCSSSAHKDCKGVSMVGYEHMMHQWAVRWTEITDQPDETSFCSYCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSMSNETGDICDLGPFRRLILSPLYVKELNTSGGFLSSITHGANEIASSVRASIRSQSKKYKHVNESTVDTGNSGSTCDMSTESTADTHPALNGSHALDEGCNGSLDVGSPRHDGGIDKLELKTSFRRSGSINQKDESQILSMKQRYEITDLPPDARPLLVFINKKSGAQRGDSLRQRLNFLLNPVQVFELSSTHGPEIGLYLFKKVPHFRILVCGGDGTVCWVLSTIEKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTLLHHIEHAAVTILDRWKVTIVKNQRKQLQPPKYMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKILLLAALPVQIDGEPWFQQPCTLHVSHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNHVINASQKRALLQEMALRLS >Potri.012G066300.6.v4.1 pep chromosome:Pop_tri_v4:12:8501888:8511262:-1 gene:Potri.012G066300.v4.1 transcript:Potri.012G066300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066300.v4.1 MDDTDIEIWFPSWNNKNPTESHLFIISCFLAALVGISTIAYTAFQWRRNINLSWMKAIARSKKNPKARHKVPLAPHTWVLESVSRGKNLTCCVCLNSLSPSQTLGPMVSSDSFVRHCSICGAAAHLHCSSSAHKDCKGVSMVGYEHMMHQWAVRWTEITDQPDETSFCSYCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSMSNETGDICDLGPFRRLILSPLYVKELNTSGGFLSSITHGANEIASSVRASIRSQSKKYKHVNESTVDTGNSGSTCDMSTESTADTHPALNGSHALDEGCNGSLDVGSPRHDGGIDKLELKTSFRRSGSINQKDESQILSMKQRYEITDLPPDARPLLVFINKKSGAQRGDSLRQRLNFLLNPVQVFELSSTHGPEIGLYLFKKVPHFRILVCGGDGTVCWVLSTIEKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTLLHHIEHAAVTILDRWKVTIVKNQRKQLQPPKYMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKILLLAALPVQIDGEPWFQQPCTLHVSHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNHVINASQKRALLQEMALRLS >Potri.012G066300.7.v4.1 pep chromosome:Pop_tri_v4:12:8501870:8511217:-1 gene:Potri.012G066300.v4.1 transcript:Potri.012G066300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066300.v4.1 MDDTDIEIWFPSWNNKNPTESHLFIISCFLAALVGISTIAYTAFQWRRNINLSWMKAIARSKKNPKARHKVPLAPHTWVLESVSRGKNLTCCVCLNSLSPSQTLGPMVSSDSFVRHCSICGAAAHLHCSSSAHKDCKGVSMVGYEHMMHQWAVRWTEITDQPDETSFCSYCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSMSNETGDICDLGPFRRLILSPLYVKELNTSGGFLSSITHGANEIASSVRASIRSQSKKYKHVNESTVDTGNSGSTCDMSTESTADTHPALNGSHALDEGCNGSLDVGSPRHDGGIDKLELKTSFRRSGSINQKDESQILSMKQRYEITDLPPDARPLLVFINKKSGAQRGDSLRQRLNFLLNPVQVFELSSTHGPEIGLYLFKKVPHFRILVCGGDGTVCWVLSTIEKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTLLHHIEHAAVTILDRWKVTIVKNQRKQLQPPKYMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKILLLAALPVQIDGEPWFQQPCTLHVSHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNHVINASQKRALLQEMALRLS >Potri.012G066300.1.v4.1 pep chromosome:Pop_tri_v4:12:8501795:8511271:-1 gene:Potri.012G066300.v4.1 transcript:Potri.012G066300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066300.v4.1 MDDTDIEIWFPSWNNKNPTESHLFIISCFLAALVGISTIAYTAFQWRRNINLSWMKAIARSKKNPKARHKVPLAPHTWVLESVSRGKNLTCCVCLNSLSPSQTLGPMVSSDSFVRHCSICGAAAHLHCSSSAHKDCKGVSMVGYEHMMHQWAVRWTEITDQPDETSFCSYCEEPCSGSFLGGSPIWCCLWCQRLVHVDCHSSMSNETGDICDLGPFRRLILSPLYVKELNTSGGFLSSITHGANEIASSVRASIRSQSKKYKHVNESTVDTGNSGSTCDMSTESTADTHPALNGSHALDEGCNGSLDVGSPRHDGGIDKLELKTSFRRSGSINQKDESQILSMKQRYEITDLPPDARPLLVFINKKSGAQRGDSLRQRLNFLLNPVQVFELSSTHGPEIGLYLFKKVPHFRILVCGGDGTVCWVLSTIEKQNFVSPPPVAILPAGTGNDLARVLSWGGGLGSVERQGGLCTLLHHIEHAAVTILDRWKVTIVKNQRKQLQPPKYMNNYLGVGCDAKVALEIHNLREENPEKFYNQFMNKVLYAREGAKSIMDRTFADFPWQVRVEVDGVDIEVPEDAEGVLVANIGSYMGGVDLWQNEDETYDNFDPQSMHDKMLEVVSISGTWHLGKLQVGLSRARRLAQGQSIKILLLAALPVQIDGEPWFQQPCTLHVSHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNHVINASQKRALLQEMALRLS >Potri.014G151600.2.v4.1 pep chromosome:Pop_tri_v4:14:10549045:10549446:1 gene:Potri.014G151600.v4.1 transcript:Potri.014G151600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151600.v4.1 ILNITAQDPQQWNHVLDIWKDTVVSTYIKNFQNQDATEMYKYLETFLGQAAKAVWESYKQNKPDEMQSMINAGAKPYNFVNKISQLITGMDPNLGHTELQKDAIVHLEQLHLTDWRQVKHFVNEYFFYFSSISG >Potri.001G416600.1.v4.1 pep chromosome:Pop_tri_v4:1:44486100:44488667:1 gene:Potri.001G416600.v4.1 transcript:Potri.001G416600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416600.v4.1 MVSPGNAALLLDYDQYINMDAINIPAQDPNFSAFSWPPLVQPHHHHQHQQQQQQQQQQTLNAFAHTATVSCPNFGAEIDGSFGECDVQKEPCSKKRGRSESCSASSSKACREKLRRDRLNDKFIELGSILEPGRTPKTDKAAILVDAVRMVTQLRDEAQKLRDSNSSLQEKIKELKAEKIELRDEKQRLKAEKEKLEHQLKAMSSQPSFMPAPPAIPAAFATQGQAPGNKLMPFIGYPGVAMWQFLPPAAVDTSQDHVLHPPVA >Potri.018G032500.1.v4.1 pep chromosome:Pop_tri_v4:18:2395143:2397521:1 gene:Potri.018G032500.v4.1 transcript:Potri.018G032500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032500.v4.1 MGEKKKAKAVIVGGSIAGVSCAHALISAGWDVVVLEKSSQPPKGSPTGAGLALDRQAFNIIESWLPQPQLLQQTTLPLTIDQNQTADGEKEVSRILTRDEDFNFRAAHWADLHGLLYNALPAEVFLWGHLYLSFRTSEDKTSVTVEAKVLQTEEIIEINGDLLVAADGCLSLIRKTFLPDLKLRYSGYCAWRGVLDFSGNENSETIMSIQRVYPDLGKCLYFDLNTGSHTVLYELLSKRLNWIWYVHQPEPEQKGYSVTMKVSSDMIENMYQEAEKAWGPELVRVMRETKEPFINIIYDCGPLERIFLDNVVLIGDAAHPTTPHGVRSTNMSILDAAVLGKCIEKWGAENIPSALEEYQRIRLPVTSKQVLHSRRMGRIKQGLALLDRKPFDPKTASAEECEELQQKNMPFFATVPLSVD >Potri.001G280100.3.v4.1 pep chromosome:Pop_tri_v4:1:29387010:29393000:1 gene:Potri.001G280100.v4.1 transcript:Potri.001G280100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280100.v4.1 MHGFKLFDRFKSTQVHALSPQDNNSGSRGKLSKSKFTNSGSGAQALLPYGLPTTELLEPPIDSYLKPIDYVESLAEIHRRLNTCSLTDKSILCIEQYSILRGLGDPKLLRRCLCAARQYAFDVHSKAVLSAWLRFERREDEFIGVSSKDCSGYILECPMAALVSGYDPNSIYDRCQCGQDDLEAFGSQMLVGNESSSLEEDGDVSFCIGDELVHCVRFKIASLSGPFKAMLYGSFVESRRDKIDFSKTGISVEGMRAVEVYSRTRRVDLFCPAIVLELLSFANMFCCEELKCVCDAHLASLVCGIEDALILINHALEERANLLVASCLQVFLRELPNSLYNHKVRGVFCIPEARERLAMLGHASFFLYYFLSQVAMEENMALSTTVMLLEGMEEFATEKWQKALALHQLGCVMLERKEYKGAQFYFEAAVDAGHVYSLAGVARTKYKQGQQYSAFRLMNSLIFEYKPVGWMYQERSLYGVGREKIMDLNTATELDPTLSFPYKFRAVMKVEEKQIRAAIQEIDKIIGFKLSPDCLELRAWFVIALEDYESALRDIRALLTLKPNYMMFHGKVSGDHLVELLNHRVQQWSLADCWMQLYERWSSVDDIGSLAVIHQMLVNDPAKSLLWFRQSLLLLRLNCQKAAMRCLRLARNHSSSVHERLIYEGWLLYDTGHREEALSRAEKSISIQRSFEAFFLKAYTLADTNLDPESSSTVIQLLEEALRCPSDGLRKGQALNNLGSIYVDCGKLDQAADCYKTALNIKHTRAHQGLARVYHIKNQQKAAFDEMTKLIEKAHYSASAYEKRSEYCDREQAKDDLNMATLLDPLRTYPYRYRAAVLMDDQKEAEALEELTKAIAFKPELQMLHLRAAFYESMGDKTSALQDCEAALCLDPNHSDTLDLYNRTQDQATRSI >Potri.001G280100.4.v4.1 pep chromosome:Pop_tri_v4:1:29387140:29393084:1 gene:Potri.001G280100.v4.1 transcript:Potri.001G280100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280100.v4.1 MHGFKLFDRFKSTQVHALSPQDNNSGSRGKLSKSKFTNSGSGAQALLPYGLPTTELLEPPIDSYLKPIDYVESLAEIHRRLNTCSLTDKSILCIEQYSILRGLGDPKLLRRCLCAARQYAFDVHSKAVLSAWLRFERREDEFIGVSSKDCSGYILECPMAALVSGYDPNSIYDRCQCGQDDLEAFGSQMLVGNESSSLEEDGDVSFCIGDELVHCVRFKIASLSGPFKAMLYGSFVESRRDKIDFSKTGISVEGMRAVEVYSRTRRVDLFCPAIVLELLSFANMFCCEELKCVCDAHLASLVCGIEDALILINHALEERANLLVASCLQVFLRELPNSLYNHKVRGVFCIPEARERLAMLGHASFFLYYFLSQVAMEENMALSTTVMLLEGMEEFATEKWQKALALHQLGCVMLERKEYKGAQFYFEAAVDAGHVYSLAGVARTKYKQGQQYSAFRLMNSLIFEYKPVGWMYQERSLYGVGREKIMDLNTATELDPTLSFPYKFRAVMKVEEKQIRAAIQEIDKIIGFKLSPDCLELRAWFVIALEDYESALRDIRALLTLKPNYMMFHGKVSGDHLVELLNHRVQQWSLADCWMQLYERWSSVDDIGSLAVIHQMLVNDPAKSLLWFRQSLLLLRLNCQKAAMRCLRLARNHSSSVHERLIYEGWLLYDTGHREEALSRAEKSISIQRSFEAFFLKAYTLADTNLDPESSSTVIQLLEEALRCPSDGLRKGQALNNLGSIYVDCGKLDQAADCYKTALNIKHTRAHQGLARVYHIKNQQKAAFDEMTKLIEKAHYSASAYEKRSEYCDREQAKDDLNMATLLDPLRTYPYRYRAAVLMDDQKEAEALEELTKAIAFKPELQMLHLRAAFYESMGDKTSALQDCEAALCLDPNHSDTLDLYNRTQDQATRSI >Potri.001G452900.1.v4.1 pep chromosome:Pop_tri_v4:1:47985012:47985863:1 gene:Potri.001G452900.v4.1 transcript:Potri.001G452900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452900.v4.1 MASDGNKNKPLDREVRDMVNTMTHRIVDQFHKPSASLHNQESVADEDGHGIRIVTLAGTNTGATMRSELDDQKSNKLPDGVSFGDPDASGTYVNSNFQAVNNSIMFGSNYSTNDPGVHMDISDTYEHRGLRPDKHGKKGKKKDEEAFESDQHSEHSEHSD >Potri.002G242200.3.v4.1 pep chromosome:Pop_tri_v4:2:23434002:23437673:-1 gene:Potri.002G242200.v4.1 transcript:Potri.002G242200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242200.v4.1 MDWSSWLLRSVSRSSDNSKNSQNSNQQIPKPGGGGGEEEQLGVTQQLIDHVKSFTIDTFKNFPLQDEATPSSTKTSSNVQRDLSEWQERHATLVLSKAKELSHLRFMLCPRHLKERQFWMIYFMLVKSHVAEYELHAIRLAKLKMMAIENEESSDTIGFEVEMAETKQSANLAPPTS >Potri.011G142700.1.v4.1 pep chromosome:Pop_tri_v4:11:17240440:17242010:-1 gene:Potri.011G142700.v4.1 transcript:Potri.011G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142700.v4.1 MAVEARHLNLFPPQLISSREITNPIEANTNMYNTQMGYGVPLSGGTTTTTADTLLPMYSSVITDSISHKTPIKSDSGLTYNVPVQRKRPRDSINPLLSYPTLVQSNKTCAPFSFLGQDLSFQIQQQQLDIDCLVSQHMEKVRMEIEEKRRRQARRIIEAIETGMMKRLRAKEEEIEKIGKLNWALEEKVKSLCVENQIWRDLAQSNEATANTLRSNLEQVLAAQVKEDRTLGAGLDDQTAALLDDAQSCCGSNGGDGDDGWEEKVSERCTLANGAQDNNGAGPRGTGTSSWLCRNCNKAESCVLLLPCRHLCLCTVCGSSLHTCPICKATKNASVHVNLS >Potri.011G142700.2.v4.1 pep chromosome:Pop_tri_v4:11:17240534:17241163:-1 gene:Potri.011G142700.v4.1 transcript:Potri.011G142700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142700.v4.1 MEKVRMEIEEKRRRQARRIIEAIETGMMKRLRAKEEEIEKIGKLNWALEEKVKSLCVENQIWRDLAQSNEATANTLRSNLEQVLAAQVKEDRTLGAGLDDQTAALLDDAQSCCGSNGGDGDDGWEEKVSERCTLANGAQDNNGAGPRGTGTSSWLCRNCNKAESCVLLLPCRHLCLCTVCGSSLHTCPICKATKNASVHVNLS >Potri.019G007400.2.v4.1 pep chromosome:Pop_tri_v4:19:1586716:1589210:1 gene:Potri.019G007400.v4.1 transcript:Potri.019G007400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007400.v4.1 MAVVSGLLLLMLSILFVEARTQPNQFGEIHLGSQLSPISNLHSWQSPSGNFAFGFYSQGNGFAVGIWMMGQPNNTVVWTANRDDEPVSFNATIHLSEEGKLLLRTEQGNENLIANVSEVAASASMLDSGNFVLYSGSSVIWQSFDYPTDTILVGQNLTYSDKLVSSVSSSNHSSGRFFLAMQADGNLVAYPTNSAGLSVDAYWASNTYQDSKKGLSLYFNHQGFLFMDTVSKKPVLLARSSYPCNNKTTIFRATLDADGIFRLYSHCLENKTSRSVHIEWSALNNQCDVQGFCDFNSYCSGMGTNADCSCYPGFAFNDPSEKFSGCYKNVPESFCTGTKEGQMYDVITVENILFERYPYSVLDEKKENCGLACLEDCLCDVALYKNERCEKYTAPIRYGKKDINESSIAFFKVKPTPAAPPMSLTIIIESKKSLLLFLAIAFGSVTFLCFVIAISTFCVYRDRAYLYEKLSGIISLAGEFTLRSFSYSELEKATSGFREELGRGSIGAVYRGTIPGGDRTVAVKKLEKVLDEGEKRFRAEITVIGQTYHRNLVRLLGFCVEGSRRVLVYEYLRNGTLADLLFQSERRPIWKERVRIALDIARGILYLHEECQACIIHCNITPQNILMDDSWIAKISDFGLSKLLYPDEIRSSMALSQSRGHMAPEWQNNALMSVKADIYSFGVVLLEIICCRSSIKVDVSTPDEMNLPSWAYQCFAAGQLDKLVKDEDIEFESLERMVKIGLLCVQHDPALRPCIKNVILMLEGSDDIPAPPAIAPFSITA >Potri.007G019100.1.v4.1 pep chromosome:Pop_tri_v4:7:1464935:1467689:-1 gene:Potri.007G019100.v4.1 transcript:Potri.007G019100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019100.v4.1 MRSLHFISQIHKTLKLKITPRFTLTSSSFTRRFTSPSQESTPSPQEPPPSTDRVSSIVDELSKLTLLEVSDLTEVLRTKLEIKEMPVMAVMMPGMGFSMGAGMKGGGGGGAAAAKAEEKVEKTVFDVKLEGFDAAVKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKDEAEKIIEKMKGVGAKVTME >Potri.007G019100.4.v4.1 pep chromosome:Pop_tri_v4:7:1466826:1467635:-1 gene:Potri.007G019100.v4.1 transcript:Potri.007G019100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019100.v4.1 MRSLHFISQIHKTLKLKITPRFTLTSSSFTRRFTSPSQESTPSPQEPPPSTDRVSSIVDELSKLTLLEVSDLTEVLRTKLEIKEMPVMAVMMPGMGFSMGAGMKGGGGGGAAAAKAEEKVEKTVFDVKLEGFDAAVKIKVIKEVRGFTDLGLKEAKDLVEKAPTLLKKGVTKDEAEKIIEKMKGVGAKVTME >Potri.005G210000.1.v4.1 pep chromosome:Pop_tri_v4:5:21399617:21405737:-1 gene:Potri.005G210000.v4.1 transcript:Potri.005G210000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210000.v4.1 MDRPTRKSLKRKLEQDFEHENQSRHKIPATDGFETHQKLTSSIQSLVDILNSTFSTLEADRAAAKRATSALSQIAKNEEVVDTIVDCGAVPALVVHLQTPPPLRGENGPKLYEHEVEKGSAYALGLLAVKPEHQQLIVDAGALTHLVELLKRHKSADNSRAVNGVVKRAADAITNLAHENSGIKTRVRIEGAIPFLVELLEHADNKVQRAAAGALRTLAFKNDENKNLIVECNALPTLVIMLRSEDTAIHYEAVGVIGNLVHSSPHIKKAVLLAGALQPVIGLLSSSCSESQREAALLLGQFAAADSDCKVHIVQRGAVKPLIDMLESSDVQLKEMSAFALGRLAQETHNQAGIAHNGGIVPLLRLLDSKSGPLQHNAAFTLYGLVDNEDNVADLIKVGGFQKLQDGEFIVQQTKDCVAKTMKRLEEKIHGRVLNHLLYLMRVSERNIQRRIALALAHLCTPNDRKVIFLHKNGLDLLLGLLESGSLKQQREGSVALYKLATKATSVSPVDSAPLSPTPLVYLGEQYVNNPTLSDVTFLVEGKRFYAHRICLLASSDAFRAMFDGGYRERNAKDVEIPNIRWDVFELMMRFIYTGSVEVNVNIAQDLLRAADQYLLDGLKRLCECTIAQDISVENVSLMYELSEGFNAMSLREACILFILEQFDKLCTKRWSSSLIQRIMPEIRNYFEKALSKPTNLS >Potri.010G042600.1.v4.1 pep chromosome:Pop_tri_v4:10:7432226:7437760:1 gene:Potri.010G042600.v4.1 transcript:Potri.010G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042600.v4.1 MTADTTLPGYWLNWRFFLCALFILISMVLAAILIWKNEGSKESERRENQQERPGFLYKDEAWNTCVKTIHPAWLLAFRIIAFFVLLSLITANVVTDGGGIFYFYTQWTFSLVTIYFAMGSSVSIYGCCYYRRVLGGDRVNHETLDAERGTYIAPTPGEEIVNISNSDKSLDTSQEPRTRQIASSWGYVFQIAFQMCAGAVVLTDCVFWFIIYPFLSAKDFSLDFLNVCMHSVNAFFLLGDTVLNCMRFPMFRIAYFVLWTSIFVVSQWIIHACVSMWWPYPFLDLSSPYAPVWYMAVGLMHVPCYGIFALIIKLKHIWLSRSFPDSYQGLK >Potri.015G110132.1.v4.1 pep chromosome:Pop_tri_v4:15:12751017:12755137:1 gene:Potri.015G110132.v4.1 transcript:Potri.015G110132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110132.v4.1 MMKKGMKIEAVDVACIFGIEDKFPAQNLLTLILQESREPLKGRKRKANNSPAIQLEKEAKEKQLIALKSVVKFLEEHQLDPTKLLPGWQLEDKTTELEKDIADLNKKIGKLPLSKRPENVNEVTNYWKSQEIKRRRLAEKGSPLVSPGVRLPDQIAASYMNGQSSYNSVMRLNGGFPGHVNNYPAGTSAMYGSSIGPFPENVLGTSASGIGLSAAYGGSAGVHRDMLVDGTRQIKGGNVPQYAWHRAGDTALNDGSVGHWHPASGLFGQSSSIEGFGGLLNSPPAVAANGSSAPDLYGFADAPSLAAKQGAASDLYGFADAPTVTASQTAASNLYRFPGAVAYGSTRHTGPLPRGLGSHHSSYMR >Potri.008G093000.1.v4.1 pep chromosome:Pop_tri_v4:8:5805186:5807883:-1 gene:Potri.008G093000.v4.1 transcript:Potri.008G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093000.v4.1 MTRYMPLFRSCKTLRQLNQLHAHLSVTNLSNTAQASTKLIESYAQMGSIKSSTLVFETYQNPDSFMWGVLIKCHVWSHAFEEAILLYDKMLCNEAQITSFVFPSVLRACAGFGDMFIGAKVHGRIIKCGFDNDPFIETSLLGLYGELGCLTDARKVFDDIPVRDLVSWSSIISSYVDKGEANEALEMFRLLVNERVKLDWVIMLSVTEACSKLGILKLAKSIHGYIVRRRVDTCEALDNSLIEMYSSCDDLYSAERIFVNMANKTFISWTSMIYCYNRSGWFKEAFEIFVKMLELKVEPNVITIMGVLKSCSGLSWLREGKLIHCYALKKGMTFQDDCLGPVLIELYAGCGKLGYCEKVLLAIGERNVVSWNTLLSINARQGLFEEALVLFVQMQKRGLMLDFFSLSSAISACGNVGSLQLGRQIHGYAIKRCILGEFVKNALIGMYSRCGFSDSAYMIFNDIKQKSSVAWNSIISGFVQSGNSIEAIHLVDQMYLNCLKITDVVFLSAIQACADMVCLEKGKWLHHKLIMYGVEKDLYIETALTDMYAKCGDLRTAEGVFHSMSEKSVVSWSAMISGYGMHGRIDAAITFFNQMVELGIKPNHITFMNILSACSHSGSVEQGKFYFDLMRDFGVEPNSEHFACLVDLLSRAGDVNGAYKIINSMPFPADASVLGNLLNGCRIHQRMDMIPEIEKDLLKIRTSDTGHYSLLSNIYAEIGNWAARENTRGIMERSGYKKVPGYSAI >Potri.008G221400.1.v4.1 pep chromosome:Pop_tri_v4:8:18485327:18493294:1 gene:Potri.008G221400.v4.1 transcript:Potri.008G221400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221400.v4.1 MGGQMQQTNAAAAAATATALYDHAGAGGSLGPTSDAGDAVMARWLQSAGLQHLASPMASTGIDNRLLPNILMQGYGAQSAEEKQRLFKLMRNLNFNGESVPESYTPTAQTSAGVSSSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVIPEPFDPSPLMPGASKGFENDFNVNSSRQQREQTDADLSVPFPTNEKENSSKENNVAKIKVVVRKRPLNKKELARKEDDIVTVYDNALTVHEPKLKVDLTAYVEKHEFCFDAVLDERVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLRQPVYHNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDVNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNAKKDQAVSSLPPTNKDASSTSSLPVSADVDGVYEQQEVKVPDMGRRVVEKETPSFNHTVDYDKQPSSFTSGFSYNGREESGLTSGLADRERYESNSSFGGLTSQKVHSLYTQHSVDTEEKAPKVSPTRRKGSREEKSEKSGNWLKKDGSGPDLPTGNSKQQNTGNFSAGNTGPRQYEPYPPPDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Potri.008G221400.4.v4.1 pep chromosome:Pop_tri_v4:8:18485327:18492746:1 gene:Potri.008G221400.v4.1 transcript:Potri.008G221400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221400.v4.1 MGGQMQQTNAAAAAATATALYDHAGAGGSLGPTSDAGDAVMARWLQSAGLQHLASPMASTGIDNRLLPNILMQGYGAQSAEEKQRLFKLMRNLNFNGESVPESYTPTAQTSAGVSSSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVIPEPFDPSPLMPGASKGFENDFNVNSSRQQREQTDADLSVPFPTNEKENSSKENNVAKIKVVVRKRPLNKKELARKEDDIVTVYDNALTVHEPKLKVDLTAYVEKHEFCFDAVLDERVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLRQPVYHNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDVNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNAKKDQAVSSLPPTNKDASSTSSLPVSADVDGVYEQQEVKVPDMGRRVVEKETPSFNHTVDYDKQPSSFTSGFSYNGREESGLTSGLADRERYESNSSFGGLTSQKVHSLYTQHSVDTEEKAPKVSPTRRKGSREEKSEKSGNWLKKDGSGPDLPTGNSKQQNTGNFSAGNTGPRQYEPYPPPDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Potri.008G221400.2.v4.1 pep chromosome:Pop_tri_v4:8:18485327:18493132:1 gene:Potri.008G221400.v4.1 transcript:Potri.008G221400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221400.v4.1 MGGQMQQTNAAAAAATATALYDHAGAGGSLGPTSDAGDAVMARWLQSAGLQHLASPMASTGIDNRLLPNILMQGYGAQSAEEKQRLFKLMRNLNFNGESVPESYTPTAQTSAGVSSSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVIPEPFDPSPLMPGASKGFENDFNVNSSRQQREQTDADLSVPFPTNEKENSSKENNVAKIKVVVRKRPLNKKELARKEDDIVTVYDNALTVHEPKLKVDLTAYVEKHEFCFDAVLDERVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLRQPVYHNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDVNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNAKKDQAVSSLPPTNKDASSTSSLPVSADVDGVYEQQEVKVPDMGRRVVEKETPSFNHTVDYDKQPSSFTSGFSYNGREESGLTSGLADRERYESNSSFGGLTSQKVHSLYTQHSVDTEEKAPKVSPTRRKGSREEKSEKSGNWLKKDGSGPDLPTGNSKQQNTGNFSAGNTGPRQYEPYPPPDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Potri.008G221400.3.v4.1 pep chromosome:Pop_tri_v4:8:18485334:18493135:1 gene:Potri.008G221400.v4.1 transcript:Potri.008G221400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221400.v4.1 MGGQMQQTNAAAAAATATALYDHAGAGGSLGPTSDAGDAVMARWLQSAGLQHLASPMASTGIDNRLLPNILMQGYGAQSAEEKQRLFKLMRNLNFNGESVPESYTPTAQTSAGVSSSDGFYSPEFRGDFGAGLLDLHAMDDTELLSEHVIPEPFDPSPLMPGASKGFENDFNVNSSRQQREQTDADLSVPFPTNEKENSSKENNVAKIKVVVRKRPLNKKELARKEDDIVTVYDNALTVHEPKLKVDLTAYVEKHEFCFDAVLDERVTNDEVYRVTVEPIIPTIFQRTKATCFAYGQTGSGKTFTMQPLPLRAAEDLVRLLRQPVYHNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGNAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDVNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSRTVMISCISPNAGSCEHTLNTLRYADRVKSLSKSGNAKKDQAVSSLPPTNKDASSTSSLPVSADVDGVYEQQEVKVPDMGRRVVEKETPSFNHTVDYDKQPSSFTSGFSYNGREESGLTSGLADRERYESNSSFGGLTSQKVHSLYTQHSVDTEEKAPKVSPTRRKGSREEKSEKSGNWLKKDGSGPDLPTGNSKQQNTGNFSAGNTGPRQYEPYPPPDGNINAILEEEEALIAAHRKEIEDTMEIVREEMKLLAEVDQPGSLIDNYVTQLSFVLSRKAAGLVSLQARLARFQHRLKEQEILSRKRVPR >Potri.015G009000.2.v4.1 pep chromosome:Pop_tri_v4:15:576854:580318:-1 gene:Potri.015G009000.v4.1 transcript:Potri.015G009000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009000.v4.1 MADSYWRYAGDSRQPQPQSMPSLTGKRPRSDYDVPSGRDLSSYYSRDDDRGALRVIRDSDSIGASYDRYLHSGTISSYGGGQSARAISGVPVRPVDDLRMVSMGSMDPGSSVKDRSMRTGSGRSEVSLPPDASSTLFVEGLPSDCTRREVSHIFRPFVGYKEVRLVSKESRHPGGDPLVLCFVDFLSPAHAATSMDALQGYRFDEHDRDSVDLRLQFARYPGARSGGGHRGKR >Potri.001G027500.2.v4.1 pep chromosome:Pop_tri_v4:1:2088060:2089825:-1 gene:Potri.001G027500.v4.1 transcript:Potri.001G027500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027500.v4.1 FTSKGCRLSDEGALLKFKNDLTDPSNRLASWVSDEDCCRWSGVVCNNLTGHVLELYLGTHISYDVKLASTASVDLEDNRGSKLGGEISSSLLNLKYLRYLDLSNKDFGGIHIPKFLGSMRNPSGNIPNSIGTLSSLQSLHLQNSHLSGKVPLSLKNCTQLVTLDFTVNNFTGNLPTWMGKLSRMKILNLHANQFDGQIPVEFCDLASLQVLDLAYNNLNGTIPSCIDHFSSIDKMNGRVAEYSSILNFESIDLSLDDLSGKIPNEVTSLEELQALNLSHNCLEGKIPEDEGDMGSL >Potri.007G088290.1.v4.1 pep chromosome:Pop_tri_v4:7:11328724:11330140:-1 gene:Potri.007G088290.v4.1 transcript:Potri.007G088290.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088290.v4.1 MYEYKSPDCYKKLVITINGRTPGPTILAKQNDTVIVEVKNSLLTENTAIHWHGIRQIGTPWFDGTEGVTQRPILPGDTFVYKFVVDRPGTYLYHAHYGMQREAGIYGSIRVALPDGESEPFAYDYDRSIILTDWYHKSTYEQAAGLSSIPFQWVGEPQSLLIQGKGRFDCSAANPPLKADVCNNTNPECSLYSTTVVPGKTYRLRISSLSALSALSFQIEGHNMTVVEADGHYVEPFVVRIYSYTPARHAN >Potri.011G039800.7.v4.1 pep chromosome:Pop_tri_v4:11:3083709:3084771:-1 gene:Potri.011G039800.v4.1 transcript:Potri.011G039800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039800.v4.1 MASSPSPKKNPPTESAVVVQVQPPSPRLHVTTPTTGAQRRIGIAVDLSDESAFAVKWAVQNYLRAGDAVILVHVSPTNVLYGADWGSLPIKENYNLDDQNEENQQKIEEDFNLFTSTKANDIAQPLVDANIPFKIHIVKDHDMKERLCLEVERLGFSAVVMGSRGFGASRKSSKGRLGSVSDYCVHHCVCPVIVVRFPDEKDGGAGEESERDGGATLCTVMEEEQEEHDMDGKQSGLSLFVVLLCLIGL >Potri.011G039800.3.v4.1 pep chromosome:Pop_tri_v4:11:3080143:3084920:-1 gene:Potri.011G039800.v4.1 transcript:Potri.011G039800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039800.v4.1 MASSPSPKKNPPTESAVVVQVQPPSPRLHVTTPTTGAQRRIGIAVDLSDESAFAVKWAVQNYLRAGDAVILVHVSPTNVLYGADWGSLPIKENYNLDDQNEENQQKIEEDFNLFTSTKANDIAQPLVDANIPFKIHIVKDHDMKERLCLEVERLGFSAVVMGSRGFGASRKSSKGRLGSVSDYCVHHCVCPVIVVRFPDEKDGGAGEESERDGGATLCTVMEEEQEEHDMDGKQSDMAAAS >Potri.011G039800.2.v4.1 pep chromosome:Pop_tri_v4:11:3079924:3084888:-1 gene:Potri.011G039800.v4.1 transcript:Potri.011G039800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039800.v4.1 MASSPSPKKNPPTESAVVVQVQPPSPRLHVTTPTTGAQRRIGIAVDLSDESAFAVKWAVQNYLRAGDAVILVHVSPTNVLYGADWGSLPIKENYNLDDQNEENQQKIEEDFNLFTSTKANDIAQPLVDANIPFKIHIVKDHDMKERLCLEVERLGFSAVVMGSRGFGASRKSSKGRLGSVSDYCVHHCVCPVIVVRFPDEKDGGAGEESERDGGATLCTVMEEEQEEHDMDDMAAAS >Potri.003G107000.1.v4.1 pep chromosome:Pop_tri_v4:3:12987121:12991704:1 gene:Potri.003G107000.v4.1 transcript:Potri.003G107000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107000.v4.1 MVLSQKIHEAFKGTVERITGPRTVSAFKEKGVLSVSEFILAGDNLVSKCPTWSWESGEPSKRKPYLPAEKQFLITRNVPCLRRAASVEEDYEAAGGEVLLDNEDNDGWLATHGKPKETKGDEDENVPSMEALDISVKRIPIQTNPSYFGGEEEDIPDMADFEDPDNLIESDPATLQTTYLVAHEPDDDDNILRTRTYDVSITYDKYYQTPRVWLTGYDESRMLLEPELVLEDVSQDHARKTVTIEDHPHLPGKHASVHPCRHGAVMKKIIDVLMSRGVEPEVDKYLFLFLKFVASVIPTIEYDYTMDFDLGSSSS >Potri.004G133550.1.v4.1 pep chromosome:Pop_tri_v4:4:15484859:15485283:-1 gene:Potri.004G133550.v4.1 transcript:Potri.004G133550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133550.v4.1 MDHVSQLLSLTPTMHKRLAFSSYKSQRKGCAKRGRRENKQTNRRIAEEHRTERIEESIRLRTKHTQTRRNKHKGENKTTNRENEKNRKTREKTRESKNKKHGINGERPQNQKSHKARQKQRREHRPNQHHLRSSSSNNV >Potri.004G192700.1.v4.1 pep chromosome:Pop_tri_v4:4:20681799:20685581:-1 gene:Potri.004G192700.v4.1 transcript:Potri.004G192700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G192700.v4.1 MWVFLLMMSLLLCPVAASTARPDVKPGCQDKCGNVSVPYPFGIVEQSCAMNKPFFLNCTSGTDGQPELLIGTIRVHNISVLEGTVTVGIYAAFDCYNKTGNRTDKFSQHIRLGSGPFMFSDTRNVFTAIGCDTFAQVTNKDLTYGAACLSICTEYVNMSEKNPCSGSGCCKTSIPKGLKSLNISTFSYNNHTNVSDFNPCGFAFLVDRSSLKLSDWRLSRKPKYDKDAYTTDVVVEWVVKNETCEKANKTSAYACGTNANCTDSENQGYRCLCEKGFEGNPYLPDGCQDMDECKVGGKNPCEEGTCENVIGDYKCRCPRGKYGDGKTGCKGVGIITIIAAVGASIFLVVICLLLYMICTKRIKEKNFQENGGKFLKNQRVRIFSEAELVKATNNYADDRKIGEGGFGSVYSGVLTDNTMVAVKKSKGVDKAQMNAEFQKEMSIVSQVNHKNVVKLLGLCLETKVPLLVYEFISNGTLSKHIHDKGSRILASWTNRLRVASEAALALDYLHSLADPPVIHGDVKSVNILLDNNHTTKVADFGASVLMSPGQTDILATKIQGTLGYLDPEYLMTGILTVQSDVYSFGVVLVELLTGEMPNSISKSGEKRNVIQHFISALENNHLFKILDFQTADEGDMDEIEAVAELAKGCLNSMGVNRPAMKEVSDELAKLKALHQKSLAHEKSEETDYLLGESSQSFCKNASPPMNQSHTVISLQMENYTNSI >Potri.010G007888.1.v4.1 pep chromosome:Pop_tri_v4:10:1343376:1343837:-1 gene:Potri.010G007888.v4.1 transcript:Potri.010G007888.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007888.v4.1 MARQADRLYKIGLEGFALIDEWYGCPRRSSTPQEHHQQRYDYGGIQVPMMKMDVINNKEAAKHYGGVVIMDYRKKKLLY >Potri.015G064100.1.v4.1 pep chromosome:Pop_tri_v4:15:8981997:8987216:-1 gene:Potri.015G064100.v4.1 transcript:Potri.015G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064100.v4.1 MIKMDAEDAMRRPRHEVVLKEDDRVDSSGDEGSCREEAAAAAAAVGSQRGCQENDDRRSSSPQHRDSGKQVIVATKNERITTEADAKESSCQKEQDDQLESARAEMGEVRKENQRLKIHLDRVVKDYRTLQVQFYEIIQQEETKKSTDTVDDHQGTEEHELVSLTLGRISSEPKRDGKNNKTSSQGKNHDEQVKESLSLGSLCTFEASKSATNETLPNPSPVNSFGEPKEEAGETWPPSKALKTMRGGDDEVPQQNPAKKARVSVRARCDTPTMNDGCQWRKYGQKIAKGNPCPRAYYRCTVAPSCPVRKQVQRCAEDMSILTTTYEGTHNHPLPISATAMASTTSAAASMLLSGSSSSSAGTAGFNNSGTIAVDLHGLNYYLSDNSKSKQFYLHNSSLSSSSPYPTITLDLTSNPSSASSHFNRFTTSSYRPTIQKFASTSLNFGSSDSSNAMPWGNGFLTASGQSHNRINQLGTLNIGRPAMDQSNIYDQFYTQNINDLAAATSQQSLSADTIAAATKAITADPSFQSALAAALTSIIGTGTGSSATGVANLGVVNNLFPKSERGPVINSFSSSPPNGNPCASYFNKTTSSINSQPAPSSMTMFVPPSLPFSTPTNASASAVDKNDRAN >Potri.001G352600.1.v4.1 pep chromosome:Pop_tri_v4:1:36676121:36679465:-1 gene:Potri.001G352600.v4.1 transcript:Potri.001G352600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352600.v4.1 MADPELEAIRQRRMQELMAQRGMGNPQNSEQQKAQEDAKSDAEERRQMMLSQILSSEARERLARIALVKPEKARGVEDVILRAAQMGQIVEKVSEERLISMLEQINNQTTKQTKVTIQRRRSVLDDDD >Potri.016G122500.1.v4.1 pep chromosome:Pop_tri_v4:16:12687950:12690031:-1 gene:Potri.016G122500.v4.1 transcript:Potri.016G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G122500.v4.1 MMQPEVFQSSWPFYRVISSANLDQLGFYGTDMDAYVDACQFSSSFANNEDIYDISSMFPAVFNGDQSVQSPASSDDFQVQVPCVEDFLMKGFEPNLIKEMELIFCESEGNSSSRELSSEGENVWSPNPSIKSSEASMDTTPIPQSTLELPGEELQLENQVSLFHLLKAYGEAMDGGQSELADVIMRCVSEKVSPAGEAFECLAFNLCQDLEKQGDYIKRESCRNFEAAFRAFYQIFPYGRFAHFAANSAILEAIPADAETIHIVDFDLGEGIQWPPLIEALAHQQKAVRLTAIKWKKDDCNWAPMVWSFDAAKRRLLDHARYFGLNLKVEEMGIEDLASEEKKANKRGVGKEWLVFNSMLRLPHMGRARSRQLVEQFLRVAKALLANSAFCNSSSRGIITFGDGDSCENLKNSSDFGSFFEGHMAHYKALLDSIESKFPVHLTEARMALECLFVAPYISSQDWYQKWVEMKQGCNLELGNGLEGWRVSRVNLEEAREMVGGKQSSYGVKIGGDLGNEMILEWKSTPLVRVSTWRN >Potri.003G074900.1.v4.1 pep chromosome:Pop_tri_v4:3:10246251:10250408:1 gene:Potri.003G074900.v4.1 transcript:Potri.003G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074900.v4.1 MEVMMMMMSQTRPLSTVSIPIPSLANAISQRNFKNPKTLKLRASISNPNFPLASRIMVTNIGHSISEATLQKEFSNFGEIAEVKLVKDETIKRSKPYAFIQYTSQDDAILALENMDRKTLDGRLIFVDLAKPGKDRFRGYMKTCGPPKKQQVQDTQDEVADCWY >Potri.012G082400.1.v4.1 pep chromosome:Pop_tri_v4:12:10768544:10772106:1 gene:Potri.012G082400.v4.1 transcript:Potri.012G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082400.v4.1 MSFITGSPKDTWQPVMTANTTLSSYWLNWRFFLCATWVLILMITASLLIWKNERRCKVERDSGENKQEAEASLYDDETWRPCLKGIHPAWLLVFRLFAFIVLSVLLAIAVLMDGGSIFYYYTQWTFTSVTIYFGLGSLLSMRGCYQYHKRVGGDKVDIVEADAEQGCCVTSALGKGSNTSMAMESSNSHEILDVRQPAGKWAFIFQIIFQMNAGAVMLTDSVFWFVLVPFLASKDYHLNALIISMHSLNAVFLLGDTALNCLRFPLFRIAYFFIWTIVYVLFQWIVHAIFRLWWPYPFLDLSSPYAPLWYLSVAVMHIPCYGAFAFILKLKTHCFQDGTQSPINA >Potri.012G082400.3.v4.1 pep chromosome:Pop_tri_v4:12:10768544:10772065:1 gene:Potri.012G082400.v4.1 transcript:Potri.012G082400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082400.v4.1 MTANTTLSSYWLNWRFFLCATWVLILMITASLLIWKNERRCKVERDSGENKQEAEASLYDDETWRPCLKGIHPAWLLVFRLFAFIVLSVLLAIAVLMDGGSIFYYYTQWTFTSVTIYFGLGSLLSMRGCYQYHKRVGGDKVDIVEADAEQGCCVTSALGKGSNTSMAMESSNSHEILDVRQPAGKWAFIFQIIFQMNAGAVMLTDSVFWFVLVPFLASKDYHLNALIISMHSLNAVFLLGDTALNCLRFPLFRIAYFFIWTIVYVLFQWIVHAIFRLWWPYPFLDLSSPYAPLWYLSVAVMHIPCYGAFAFILKLKTHCFQDGTQSPINA >Potri.007G066400.5.v4.1 pep chromosome:Pop_tri_v4:7:8453899:8464535:-1 gene:Potri.007G066400.v4.1 transcript:Potri.007G066400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066400.v4.1 MDADKAEFLKEFGSDYGYPNGPKSIDEIRATEFNRLDQKGIVYLDHAGATLYSELQMEEIFKDFNSNIYANPHSQSVSSSATSDIIREVRQQVLDYCNASAKEYKCIFTSGATAALKLVGEAFPWSRESCFMYTMENHNSVLGIREYALSKGAAAFAVDVEDNVNGGGASGGQEARVKLSPHATQRRNEAKILEEEPTGNAYNLFAFPSECNFSGLRFSLDLANLIKENSERILEGSPFCKGHWIVLIDAAKGCTTCPPDLSKYAVDFVVISFYKLFGYPTGLGALVVQNDAARLMKKTYFSGGTVAASFADMDFVRRREGIEELFEDGTISFLSIASIRHGFKILNSLTPSMMSRHIAALTMYVKKMLLGLRHENEANVCIIYEGHTSKLVCHESGSIVSFNLKRPDGSWFGYSEVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLLSNLEAGHVCWDDNDIIQGKLTGAVRVSFGYMSTYEDAKKLIDFITSLFVSKPNKSENWNMLRTKSILLSNEGHERKAGYYLKSITVYPIKSCTGFSVESWPLSSTGLQHDREWLLKSLSGEILTQKKVPDMCSISAYIDLNKGIMFVESPRCREKLEINLKTDSYPGGIEEIELHAQRYEVQYYENDVDLWFSRAVGHPCSLLRCCSSQNYSSLKKNKSRNLCRDVESRLNFANEAQFLLISEESVSDLNNRLSLIDAQKGTRGTSVQINPMRFRPNLVVYGGEPYAEDGWLNIKIGNKNFMSLGGCNRCQMINLVHQAGLVQRSNEPLATLASYRRVKGKILFGILLRYEIQDKMGMQTGSWLRVGEEIHPNSE >Potri.007G066400.2.v4.1 pep chromosome:Pop_tri_v4:7:8453940:8464614:-1 gene:Potri.007G066400.v4.1 transcript:Potri.007G066400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066400.v4.1 MDADKAEFLKEFGSDYGYPNGPKSIDEIRATEFNRLDQKGIVYLDHAGATLYSELQMEEIFKDFNSNIYANPHSQSVSSSATSDIIREVRQQVLDYCNASAKEYKCIFTSGATAALKLVGEAFPWSRESCFMYTMENHNSVLGIREYALSKGAAAFAVDVEDNVNGGGASGGQEARVKLSPHATQRRNEAKILEEEPTGNAYNLFAFPSECNFSGLRFSLDLANLIKENSERILEGSPFCKGHWIVLIDAAKGCTTCPPDLSKYAVDFVVISFYKLFGYPTGLGALVVQNDAARLMKKTYFSGGTVAASFADMDFVRRREGIEELFEDGTISFLSIASIRHGFKILNSLTPSMMSRHIAALTMYVKKMLLGLRHENEANVCIIYEGHTSKLVCHESGSIVSFNLKRPDGSWFGYSEVEKLASLSGIQLRTGCFCNPGACAKYLGLSHLDLLSNLEAGHVCWDDNDIIQGKLTGAVRVSFGYMSTYEDAKKLIDFITSLFVSKPNKSENWNMLRTKSILLSNEGHERKAGYYLKSITVYPIKSCTGFSVESWPLSSTGLQHDREWLLKSLSGEILTQKKVPDMCSISAYIDLNKGIMFVESPRCREKLEINLKTDSYPGGIEEIELHAQRYEVQYYENDVDLWFSRAVGHPCSLLRCCSSQNYSSLKKNKSRNLCRDVESRLNFANEAQFLLISEESVSDLNNRLSLNAQKGTRGTSVQINPMRFRPNLVVYGGEPYAEDGWLNIKIGNKNFMSLGGCNRCQMINLVHQAGLVQRSNEPLATLASYRRVKGKILFGILLRYEIQDKMGMQTGSWLRVGEEIHPNSE >Potri.006G054900.1.v4.1 pep chromosome:Pop_tri_v4:6:3877738:3879663:-1 gene:Potri.006G054900.v4.1 transcript:Potri.006G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054900.v4.1 MEPGIIDWDSIDSVFIEDDTYENMNAPKWVDFSAFPEQPVNDEAWFCKPGCKHPKTIEDYLKSKRGSKVKFLKSVTISEMLPFRDRNRRDAKLKKAEIPNPHTLKTKVFKSVHSFREDCENKNPNLSNRKPDTDKLPKKANIRLREARDDLHANSTKAKLRTTFSARNLLAGKEVLSQITEYCSKLKSLAKKGTNNGSTKKVSVRVLGEKEREKERMPLLAVKEGRQSKVMEQGKRQTGWEQNVRF >Potri.006G054900.2.v4.1 pep chromosome:Pop_tri_v4:6:3877876:3880586:-1 gene:Potri.006G054900.v4.1 transcript:Potri.006G054900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G054900.v4.1 MEPGIIDWDSIDSVFIEDDTYENMNAPKWVDFSAFPEQPVNDEAWFCKPGCKHPKTIEDYLKSKRGSKVKFLKSVTISEMLPFRDRNRRDAKLKKAEIPNPHTLKTKVFKSVHSFREDCENKNPNLSNRKPDTDKLPKKANIRLREARDDLHANSTKAKLRTTFSARNLLAGKEVLSQITEYCSKLKSLAKKGTNNGSTKKVSVRVLGEKEREKERMPLLAVKEGRQSKVMEQGKRQTGWEQNVRF >Potri.016G060600.1.v4.1 pep chromosome:Pop_tri_v4:16:4204603:4205699:1 gene:Potri.016G060600.v4.1 transcript:Potri.016G060600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060600.v4.1 MDKVERETHDFMNVESFSQLPFIRPAPIKEKGIRLFGIEFGSNKESPAADESDSAETNEDAAKENESSDNNRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMIHNSLSDAHYYGILNYRIDSISTAAMTHPSWNSHTSTNRYYGGQGSYSQQPINGSPLGLWRIPAVHGSATLQHPDRSVHPLPSFSGEGLKPSPAGGSSSHGRYGYESKSSVQDRVSLDLHL >Potri.016G109600.1.v4.1 pep chromosome:Pop_tri_v4:16:11231196:11233426:1 gene:Potri.016G109600.v4.1 transcript:Potri.016G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G109600.v4.1 MACFLLLPHYNPSTPQFPKPKPPPFFHNSAKYPPLIKLNPPKASATNGAVDAPTPSRRGRKKKPTTAPTTDTATKRTTTKRSKKTEAENGSVSDTELLDGEDYDDGIDFPYEYPPLVCCFGAVQKEFVPTVRVHDNPMHQDMYSQWKMLQWDPPEFARAPGGPVSNVAIAHARLGGRAAVIGKVGDDELGEELVLMMNKERVQTRAVKFDENVKTACSFMKVKFGDDGKMRMEMVKEAAEDSLLSSELNLSVLKEARMFHFNSEALTSPSLQSTLFEAIRLSKKSGGLIFYDLNLPLPLWKSRNETREVIKKAMKEADFIEVSRTELEFLLDEECYERKRNCSPQYYAESYEQTKNWRDCYHYTREEIAPLWHDGLKFLFVTDGTLEIHYYGPKFDGKVTGTEDVLITPFTCDRTGSGDAIVAALMRKLTTCPEMFEDQDVCERQLRFAVAAGIISQWTIGAVRGFPTESATQNLKEQVYVPSMW >Potri.011G001500.4.v4.1 pep chromosome:Pop_tri_v4:11:96740:98926:-1 gene:Potri.011G001500.v4.1 transcript:Potri.011G001500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001500.v4.1 MSPTIFFSMLLFLLPLYLLLIACRKSSKKLPPGSLGFPIIGQTFSFLSAMRKNRAEEWLQDKKRKYGPISKMSLLGAPTVFVCGQAANKFIYTCDDSILANQQPSSIRRLCGERNILELSGHEHKRVRGALVSILKPEVLKQYVGKMDEEVRKHFKIHWHGKKKVLAMPLMKTLTFNVMSSLIFGIEQSAKREILVELFQQLLKGILCVPINFPFTCFNRSLQAREKIRTIVMDLIHEKRAAMEDQITSPQQDLITTLLSLRNADFSAALSDEEIVDNVILIMIAGYDTTSILLSFLINLLANNPSVYASVLQEQEEIARSKVSEDLLTWDDLQRMRYTWRVATETLRMTPPVFSFFRKVLKDFEYEGYLIPKGWQVIWAACMTHMDECLFPNPSGFDPGHFDKQAPVPPYSFVAFGGGARICPGYEFARLETLITIHYLVNRFTWKLCHPNISFSRDPLPIFKDGLEIEIEPKIPSQLNANSYIYSNGS >Potri.006G107300.2.v4.1 pep chromosome:Pop_tri_v4:6:8312351:8317452:1 gene:Potri.006G107300.v4.1 transcript:Potri.006G107300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107300.v4.1 MDMRIGLLFLLTLSAAGSTAARQMAATEIFRTTTGTYDISAIKMKNQEQETDIQTSNNVTRKDEVCTLCEEFAAQALDYMAENKTQTEILEILHKTCSRLTTFKQECITLVDYYSSIFFSYVSSVQSDDFCRKYNLCHEMEIFSAKHQEDSCSICQHAISEVLVKLKDPDTQLEIIDLLLKACNSMENYAKKCKRMVFEYGPLILINAEQFLETKDVCTLLHACKVPKDSGEQASTMLTADS >Potri.004G217200.1.v4.1 pep chromosome:Pop_tri_v4:4:22295002:22300823:-1 gene:Potri.004G217200.v4.1 transcript:Potri.004G217200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217200.v4.1 MALLLSTACISKSLTQTNPKASPFSLTKAFNTKQPITRVRKISPLHVAAPPSQSAPSVRKEEEEEEEYGVDDESGEEVIDSKFTWRDHWYPVSLLEDLDPLLPTPFQLLGRDLVLWFDKANQEWVAFDDKCPHRLAPLSEGRIDENGHLQCSYHGWSFDGCGSCTRIPQALPEGPEARAVKSPRACATRFPTMVSQGLLFVWPDENGWERAQATKPPMLPDDFNKPEFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLEFKLESSGPWGFAGANDGNPRITAEFVAPCYYVNKVEIDTKLPIVGDQKWIIWICSFNVPMAPGKTRSIVCSARNFFQFTMPGPAWWQVFPRWHEHWTSNKVYDGDMIVLQGQEKTFLSQSMGGSADINKQYTKLTFTPTQADRFVLEFRGWLRRHGNSKPEWFGLTDKQLLPSAVLSKREMLDRFEQHTKKCSSCKKAYTSFQTLQKFLIGATIAFCATVGIPSDVQLRVVLAALALASAGSAYALNQLEKNFVFVDYVHAEID >Potri.013G121000.2.v4.1 pep chromosome:Pop_tri_v4:13:12966708:12970298:-1 gene:Potri.013G121000.v4.1 transcript:Potri.013G121000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121000.v4.1 MDVRNNPWIMFFVIFFCFPLNSHVSLGADTISANSSLSGDQTIVSARKVFELGFFHPGNSSNYYIGMWYCTDKVSKQTIVWVANRDTPVSDRFSSELRISGGNLVLFNESKIPIWSTNLISSRSSSVEAVLGDDGNLVLRDGSNSSVSPLWQSFDFPADTWLPGAKVGLNKITKRNTLLISWKSKDNPSPGLFSLELDPNQSRYLIFRNRSKYYWDSGSWNGQIFSLVPEMRSNYIYNFSYVNNTNESYFTYSLYDETLVSRFVMTDGGQIQQKSWLESTQQWFLFWSQPKTQCEVYAYCGAFGSCNENSQPFCNCLTGFNPKKRQDWNSEVFSGGCERASNLQCGNSSVVNGKSDRFFSRNNMKLPANPQPVAARSAQECESTCLSNCTCTAYAYEGSLCSVWFGDLLDMQQLADDSNGNTIYIRLAASEFSSSKNDKGIVIGGVVGSVVIVSLLGLALFVFLTRRKTVKTGKAVEGSLIAFGYRDLQNATKNFSEKLGGGGFGSVFKGVLPDTSVIAVKKLESIIQGEKQFRSEVSTIGTIQHVNLVRLRGFCSEGNKKLLVYDYMPNGSLDFHLFSEDSKKAFDWKTRYSIALGTARGLNYLHEKCRDCIIHCDIKPENILLDAQFFPKVADFGLAKIVGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVYSYGMMLFEVVSGRRNSEQSEDGKVKFFPSYAASQINQEHGEILSLLDHRLEGNADLEELTRICKVACWCIQDDEAQRPSMGQVVQILEGVVNVNPPPVPRSLQVFVDNQESIIFFTDSSSSQSSQAQSHTSTASQIKSTTSNTSSKS >Potri.002G147500.1.v4.1 pep chromosome:Pop_tri_v4:2:11109556:11111917:1 gene:Potri.002G147500.v4.1 transcript:Potri.002G147500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147500.v4.1 MEGYSGKGVVCVTGGTGFVASWLIMRLLEQGYTVRTTVRSNPTDGKQGIGYLTGLPGAKERLQIFNADLDRPDSFNEAIEGCTGVFHVAHPTGFTKEEAEEMVIKRATEGTIGVLQACLNSKTVKRVVYTSGISTVLFSGNGQQVADESAWTDIDYFRSLNVIGNPSLIAKTYTERAALEFAEQHGLDLVTLIPSLVFGPFICPKIPRSVHMGLAMVLGNRNHYRFLIKSNMVHIDDVAMAHIFLLENSNAKGRYLCSSNEVSLNEMFEFLSATYPDLQIPARESISSLKDIEGYKICSLSSKKLLDCGFKFKYGLGDMFDGAIQSCKEKGLF >Potri.004G110651.1.v4.1 pep chromosome:Pop_tri_v4:4:9982150:9982515:-1 gene:Potri.004G110651.v4.1 transcript:Potri.004G110651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110651.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEECHKKVTKRCAIEISNSIYTNKTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCASIIALAPVA >Potri.004G111300.1.v4.1 pep chromosome:Pop_tri_v4:4:10196776:10200261:1 gene:Potri.004G111300.v4.1 transcript:Potri.004G111300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111300.v4.1 MAVHTSQLWLLTRLFFSYHAIPLYKKIPPIPTNTFSTLAQNQTQPPAKIRTFSHIYQECSKQNSLNPGKQAHARMIFCGFEPTTFVSNCLMQMYIKCLYLDYACKVFDKMYLRDVVSYNSIISGYASCGEMDIARKFFYEMPERDVVSWNSVISGFLQNGECRKSIDVFLEMGRCGVGFDRASLAVVLKACGALEECDMGVQVHGLVVKFGFDCDVVTGSALLGMYAKCKRLDDSLSVFSELPEKNWVSWSAMIAGCVQNDRNVEGLELFKEMQGVGVGVSQSIYASLFRSCAALSALRLGKELHSHALKSAFGSDIIVGTATLDMYAKCGRMADAQKVLSSMPKCSLQSYNAIIVGYARSDRGFQALKSFQLLLKTGLGFDEITLSGALNACASIRGDLEGRQVHGLAVKSISMSNICVANAILDMYGKCKALAEASDLFDMMERRDAVSWNAIIAACEQNGNEEETLAHFASMIHSRMEPDDFTYGSVLKACAGRQALNTGMEIHTRIIKSGMGFDSFVGAALVDMYCKCGMIEKADKIHDRTEQKTMVSWNAIISGFSLLQQSEDAHKFFSRMLEMGVNPDNFTYAAVLDTCANLATVGLGKQIHAQIIKQELQSDVYICSTLVDMYSKCGNMQDSQLMFEKAPNRDFVTWNAMLCGYAHHGLGEEALKLFERMQLVNVKPNHATFVSVLRACAHMGLVDKGLHYFDVMLSEYGLDPQSEHYSCMVDILGRSGRIDEALNLVQKMPFEADAVIWRNLLSVCKIHGNVEVAEKATRALLQLDPQDSSACVLLSNIYADAGMWGNVSEMRKMMRHNKLKKEPGCSWIELKDEVHAFLVGDKGHPRDEEIYEKLGVLIGEMQSVGYIPDCDVLLDEEVEEPAQLEELRTCAYNSLTC >Potri.003G154301.4.v4.1 pep chromosome:Pop_tri_v4:3:16603192:16607105:1 gene:Potri.003G154301.v4.1 transcript:Potri.003G154301.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154301.v4.1 MSNLELSDDSDAVGNYTNEFGDRSDAENRGILLNDQIPGLRQADESDGANKSPPGISIDGAPSNILNVASKKLRKRKFGTSNGGKQQKVKIDKKNRFDEFGCKRIKNENTSMEAENELLMSKCARAINDLNRKRQTLEYVKDKLNEETAVIAELSMILINNGSE >Potri.003G154301.3.v4.1 pep chromosome:Pop_tri_v4:3:16603190:16607068:1 gene:Potri.003G154301.v4.1 transcript:Potri.003G154301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154301.v4.1 MSNLELSDDSDAVGNYTNEFGDRSDAENRGILLNDQIPGLRQADESDGANKSPPGISIDGAPSNILNVASKKLRKRKFGTSNGGKQQKVKIDKKNRFDEFGCKRIKNENTSMEAENELLMSKCARAINDLNRKRQTLEYVKDKLNEETAVIAELSMILINNGSE >Potri.006G201200.1.v4.1 pep chromosome:Pop_tri_v4:6:20890604:20894729:1 gene:Potri.006G201200.v4.1 transcript:Potri.006G201200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201200.v4.1 MVVKMMRWRPWPPLVSKKYEVRLVVRRMEGWDVVREAVAAAPGTSSGGDLKDKSEKLTVEIRWKGPKLALSSLRRTAVKRNFTKEVEVCGAEGENGGVLVEWDEEFESLCTLSAYKENVFHPWEISFTVFNGGNQGQKNKVPVVGTATVNLAEFASTAEQKEVELRLPLVVSAGVAEPQPLLCVSLSLLELRTATETSEPLQRAIVPVPSPPQSGEAVSTEKDELSAIKAGLRKVKIFTGYVSTRRAKKACREEEGSEGRCSARSEDGEDNYPFDSESLDDLEEGESDEIKEDSTVRKSFSYGTLASANYAGGPFHSSTTINDEDEDWVYYSNRKSDVGCSHSDDYTPSVSAPSLLQSSKRSILPWRKRKLSFRSPKAKGEPLLKKAYGEEGGDDIDFDRRQLSSDESLALGWHKADEDTSANRSSVSEFGDDNFAIGSWEKKEVISRDGQMKLQTEVFFASIDQRSERAAGESACTALVAVIADWFQNNRGLMPIKSQFDSLIREGSLEWRNLCENETYRERFPDKHFDLETVLQAKIRFLSVLPVKSFIGFFHPEGMDEGRFDFLQGAMSFDNIWDEISRTGLECPSDDEPQVYVVSWNDHFFILKVEPKAYYIIDTLGERLYEGCNQAYILKFDSNTIINKLQNAAESSDEKTMGDQQNVPATVEPKDQQQVNLKEEEASILGAIITNPEEPSKSEEPLKSEEEGEVVCQGKDSCKEYIKSFLAAIPIRELQADIKKGLMASKPLHHRLQIEFHYTQHLQPLTETHATEMLTAPPESVNGSI >Potri.003G092100.3.v4.1 pep chromosome:Pop_tri_v4:3:11835422:11836984:-1 gene:Potri.003G092100.v4.1 transcript:Potri.003G092100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092100.v4.1 MSLNCLTCQDLQRSDSERENLQGKRHKNNKLCCIKVERSWSGKLSPPSYGQVGRNSNLFSARRVIKKEPRRLNITGGVTFKGCDEPKLVRSSGMRRDWSFEDLRKACNGNY >Potri.003G092100.2.v4.1 pep chromosome:Pop_tri_v4:3:11835422:11836984:-1 gene:Potri.003G092100.v4.1 transcript:Potri.003G092100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092100.v4.1 MSLNCLTCQDLQRSDSERENLQGKRHKNNKLCCIKVERSWSGKLSPPSYGQVGRNSNLFSARRVIKKEPRRLNITGGVTFKGCDEPKLVRSSGMRRDWSFEDLRKACNGNY >Potri.004G208100.5.v4.1 pep chromosome:Pop_tri_v4:4:21521062:21525311:-1 gene:Potri.004G208100.v4.1 transcript:Potri.004G208100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208100.v4.1 METAFFSFKNNLLLLLIFSVLLLILTIHPLEAESEDAAIISRFQQYLQINTAQPSPLYQQSADFLISQAKSIGLEFQSIEFAQNKPLVLLKWPGSDPTLPSILLNSHTDVVPVEQHKWAHHPFGAHVDSDGNIFARGSQDMKCVGMQYLEAIRRLKSSGFHPLRSVYLSFVPDEEIGSCDGAKKFADSDIFNSMNVGIALDEGLASPSENYRTFYAERNPWWLVIKATGPPGHGAKLYDNSAMENLLKSVESIRRFRASQFDLVKAGLKAEGEVFSVNTVFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADPESLERQFAEEWAPVSRNMTFQFKEKASIHDKFGRPMLTKTDSSNPWWSLLEEAVRKANGKLSKPEIFPASTDARYFREQGLPAIGFSPMANTPILLHDHNEFLNQDEYLKGINIYESIIKAYASYVGDKSTRSSRDEL >Potri.002G235950.1.v4.1 pep chromosome:Pop_tri_v4:2:22914198:22914371:-1 gene:Potri.002G235950.v4.1 transcript:Potri.002G235950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235950.v4.1 MKIKDSQEITKVCGYSDWNSFWDFQSVCRVMSVFSYAFYIEMKEFFSGDRILARKPP >Potri.014G167200.1.v4.1 pep chromosome:Pop_tri_v4:14:12138014:12139333:-1 gene:Potri.014G167200.v4.1 transcript:Potri.014G167200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167200.v4.1 MAANCKNPRPKAGAYRRFLSLVLVTLVGVLLLVVLLGTNSVSNFVSQSPNESSVKDLRPRYNYTDYRLIPNLPKQSELSIQLEKLNQLRPRNTDLYPKLAKNHITIVLYVHNRPQYLKVVVESLSKVVGISETLLIVSHDGYFEEMNKIVEGIKFCQVKQIFAPYSPHVFPDSFPGVSPNDCKDKDDAAKKHCVGKPDQYGNHRSPKIVSLKHHWWWMMNTVWDGLKETEGHTGHILFIEEDHFIFPNAYRNLQKLAALKPKLCPDCYAANLAPSDVNSRGENWDCLIAERMGNVGYSFNRTVWRKIHTKAREFCLFDEYNWDITMWATVYPSFGGPVYTLRGPKTSAVHFGKCGLHQGHGEKGVCIDNGAVNIAVEDVDKVVNINSKWEVRFSENQPGYKAGFKGWGGWGDERDRALCLKFAEMHHFTSTDSVLSSDD >Potri.012G022700.2.v4.1 pep chromosome:Pop_tri_v4:12:2295938:2314760:-1 gene:Potri.012G022700.v4.1 transcript:Potri.012G022700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022700.v4.1 MSYAAYKMMHWPTTIDTCVSGFVTHSRSESAHLPQLHTDDLDSDWPSRRRHGGGIGPTPNLIVASGNVLELYVVRVQEEGARSSGELKRGGVMDGVAGASLELVCHYRLHGNVESMGVLSVEGGDDSRRRDSIILAFKDAKISVLEFDDSIHGLRTSSMHCFEGPDWRHLKRGRESFARGPLVKVDPQGRCGGVLVYDLQMIILKAAQAGSALVQDEDAFGSGAAISAHIASSYIINLRDLDMKHVKDFIFVHDYIEPVVVVLHERELTWAGRVVWKHHTCMISALSISTTLKQPTLIWSIGNLPHDAYKLLAVPSPIGGVLVIGVNTIHYHSESASCALALNSYAASVDSSQELPRATFSVELDAANATWLLKDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLTSDITTLGNSFFFLGSRLGDSLLVQFTSGLGSSMLSPGLKEEVGDIEGDLPSAKRLKVSSSDALQDMVSGEELSLYSSAPNNAESSQKTFSFTVRDSLINVGPLKDFAYGLRINADANATGISKQSNYELVCCSGHGKNGALCVLQQSIRPEMITEVELPGCKGIWTVYHKNARSHSVDSLKMASDDEYHAYLIISMEARTMVLETADHLTEVTESVDYFVQGRTIAAGNLFGRRRVVQVFERGARILDGSFMTQDLSFGGSNSETGRSESSTVMHVSIVDPYVLVRMADGSIQILVGDPSACTVSVNTPSAFQSSTKSVSACTLYHDKGPEPWLRKTSTDAWLSTGISEAIDGADSGAHEQGDIYCVVCYETGALEIFDVPNFNSVFFVDKFVSGKTHLLDTCTGEPAKDMMKGVKEEVAGAGRKESTQNMKVVELTMLRWSGRHSRPFLFGILTDGTILCYHAYLFEGPDGTSKLEDSVSAQNSVGASTISASRLRNLRFVRVPLDTYTREETSSETSCQRITTFKNISGYQGFFLSGSRPAWFMVFRERLRVHPQLCDGSIVAFTVLHTVNCNHGLIYVTSQGNLKICHLSSVSSYDNYWPVQKIPLKGTPHQVTYFAERNLYPLIVSVPVQKPVNQVLSSLVDQEVGHQIENHNLSSEEIHRTYSVDEFEVRILEPSNGPWQVKATIPMQTSENALTVRMVSLFNTSTKENETLLAVGTAYVQGEDVAARGRILLFSVVKNPENSQILVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGTELTGVAFSDAPPLYVVSLNIVKNFILLGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFSTEFLIDGSTLSLVVSDEQKNVQIFYYAPKMSESWKGQKLLSRAEFHVGALVTKFMRLQMLSPSLDRSGAAPVSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPKSFRQFRSDGKAHRPGPESIVDCEMLSYYEMIPLEEQVEIAQQIGTTRAQILSNLNDLTLGTSFL >Potri.012G022700.6.v4.1 pep chromosome:Pop_tri_v4:12:2296040:2314377:-1 gene:Potri.012G022700.v4.1 transcript:Potri.012G022700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022700.v4.1 MLNWFCRLHGNVESMGVLSVEGGDDSRRRDSIILAFKDAKISVLEFDDSIHGLRTSSMHCFEGPDWRHLKRGRESFARGPLVKVDPQGRCGGVLVYDLQMIILKAAQAGSALVQDEDAFGSGAAISAHIASSYIINLRDLDMKHVKDFIFVHDYIEPVVVVLHERELTWAGRVVWKHHTCMISALSISTTLKQPTLIWSIGNLPHDAYKLLAVPSPIGGVLVIGVNTIHYHSESASCALALNSYAASVDSSQELPRATFSVELDAANATWLLKDVALLSTKTGELLLLTLVYDGRVVQRLDLSKSKASVLTSDITTLGNSFFFLGSRLGDSLLVQFTSGLGSSMLSPGLKEEVGDIEGDLPSAKRLKVSSSDALQDMVSGEELSLYSSAPNNAESSQKTFSFTVRDSLINVGPLKDFAYGLRINADANATGISKQSNYELVCCSGHGKNGALCVLQQSIRPEMITEVELPGCKGIWTVYHKNARSHSVDSLKMASDDEYHAYLIISMEARTMVLETADHLTEVTESVDYFVQGRTIAAGNLFGRRRVVQVFERGARILDGSFMTQDLSFGGSNSETGRSESSTVMHVSIVDPYVLVRMADGSIQILVGDPSACTVSVNTPSAFQSSTKSVSACTLYHDKGPEPWLRKTSTDAWLSTGISEAIDGADSGAHEQGDIYCVVCYETGALEIFDVPNFNSVFFVDKFVSGKTHLLDTCTGEPAKDMMKGVKEEVAGAGRKESTQNMKVVELTMLRWSGRHSRPFLFGILTDGTILCYHAYLFEGPDGTSKLEDSVSAQNSVGASTISASRLRNLRFVRVPLDTYTREETSSETSCQRITTFKNISGYQGFFLSGSRPAWFMVFRERLRVHPQLCDGSIVAFTVLHTVNCNHGLIYVTSQGNLKICHLSSVSSYDNYWPVQKIPLKGTPHQVTYFAERNLYPLIVSVPVQKPVNQVLSSLVDQEVGHQIENHNLSSEEIHRTYSVDEFEVRILEPSNGPWQVKATIPMQTSENALTVRMVSLFNTSTKENETLLAVGTAYVQGEDVAARGRILLFSVVKNPENSQILVSEVYSKELKGAISALASLQGHLLIASGPKIILHKWTGTELTGVAFSDAPPLYVVSLNIVKNFILLGDIHKSIYFLSWKEQGAQLSLLAKDFASLDCFSTEFLIDGSTLSLVVSDEQKNVQIFYYAPKMSESWKGQKLLSRAEFHVGALVTKFMRLQMLSPSLDRSGAAPVSDKTNRFALLFGTLDGSIGCIAPLDELTFRRLQSLQKKLVDAVPHVAGLNPKSFRQFRSDGKAHRPGPESIVDCEMLSYYEMIPLEEQVEIAQQIGTTRAQILSNLNDLTLGTSFL >Potri.007G057100.1.v4.1 pep chromosome:Pop_tri_v4:7:5980965:5982084:-1 gene:Potri.007G057100.v4.1 transcript:Potri.007G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057100.v4.1 MAEEQAADVAADVAATKEERSTGRVVRFSDKKGFGFIKPDVGDKDLFVHHSAIKSDGGYRTLYEDDVVEFTILLTEDKYQAIEVTAPGGGPIKRRTTTSGGFSRRNNNNNNNGVGCFNCGNPGHIARDCNNNSSKSYNNYNNNNNNRGADFGCYKCGSSGHFARECTKGNNNGCYSCGGIGHVARDCPGGSGACYNCGGYGHLARDCTSARVTGGGRFGGGNSGGCFNCGNEGHFARDCPEQS >Potri.006G248000.1.v4.1 pep chromosome:Pop_tri_v4:6:24778381:24781292:-1 gene:Potri.006G248000.v4.1 transcript:Potri.006G248000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248000.v4.1 MMGAQITSSKVEPNFWTYSNYFSYLMRYTSLARSTCTTANNSIERVRERDMAVAKVEIPVLDFSEEALTGLEVKSEKWKELCNQVREACETHGVFFLVYDKIPGSLREEMFGAMKALFDLPEETKNRHVNPKPYRSYLGKCPVIPFHESFGVDDAPTLDASQAFTTLMWPEGNPSFCETIHSMSSKMQELNFLVMKMIYESFGIEKLYDSFLEETTSILKVMKYKVPPSDTESAIGLVAHTDKNAITILCQNEVQGLEVQTKNGDWAQVMVPENAFTAIVGDTVKAWSNGRLHAARHRVVISGDRDRYSCGLFSTPKEEAVIEVPNELVDKEHPLQYRPFNFSDYLSYFVSKLSDDALEIYASI >Potri.015G124151.1.v4.1 pep chromosome:Pop_tri_v4:15:13699263:13701394:-1 gene:Potri.015G124151.v4.1 transcript:Potri.015G124151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124151.v4.1 MASHIFLLSTPFLVFSLLAYASFLASFAYSATGAEVANGRKEAEALLEWKVSLDNQSQSLLSSWAGESPCNWFGISCDKSGSVINISLPNSSLRVTHLYSLHLFSNRLSGNLPRDVCLGGLLSYFAAFENYFTGPIPKSLKNCSSLVRLRLERNQLSGNISEAFGTHPHLNYMDLSDNELHGELSLKWEQFNNLTAFKISGNKISGEIPAVLGKATQLQVLDLSSNQLVGRIPKELGNLKLIELALNDNRLSGDIPFDVTSLRHLERLGLAANNFSATILKQLSKCSKLIFLNISKNRFTKLYFHQNKSLP >Potri.010G208400.1.v4.1 pep chromosome:Pop_tri_v4:10:19820206:19825928:1 gene:Potri.010G208400.v4.1 transcript:Potri.010G208400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208400.v4.1 MKNLKLYGEISQNLELQSPQEVILFSAFDIERNRLFFASSANIIYTAHLSSFQNGKSKGLLLPSEINQIELEDGDLITAFDYLMEKEALIIGTENGLLLLHNIDDNSTEIVGQVEGGVKCISPSPDGDLLAILTGFRQVLVMTHDWDLLYEIAVEEKENYGDGLDVRELDGKNMFGSFISWRGDGKYFATISEASESSALLKKIKVWERDSGALHSTSDSKVFMGAVLEWMPSGAKIAAVYDRKVENRCPDIAFYERNGLVRSSFSIKEAVDATVESLKWNCGSDLVASVVRCEKYDAVKLWFLSNNHWYLKHEVRYSRQDGVRLMWDPVKPLQLICWTFGGQITIYNFTWISAVTENSTALVIDDSKILVTPLSLSLMPPPLHLFSLKFPSAVRDLALYSNNSKNRVAAFLSDGSLGVVELPDPDTWEDLEEKEFTVEASISETGFGSFVNLAWLDSHILLAVSHYGFSHSNCASHSSMGEDGLSGFCLQEIELLCSEDHVPSLVTGSGWHAKISHRNYLEGLVIGIAPNPAKKRSAFVQFDGGNVVEYTSMLGLAVTGGSTKHDDMSFSSSCPWMSVAKASDSGSLKPLLFGLDDIGRLHFGGKVLCNNCSSFSCYSNLADQVVTHLILSTKQDFLFVVEIGDILHGEIELKYENFVHTGNRRKEENMNFINIWERGAKIIGVLHGDDAAVIIQTTRGNLESIHPRKLVLASIVNALIQRRFRDALLLVRRHRIDFNVIVDYCGWQTFLQSASEFVKQVNNLSYITEFICSIKNENIMETLYKNYISTPCQNRAGDVQAKDVMSFDSSSKVSSLLLAIRKALEEQVTESPARELCILTTLARSDPPMLEEALKRIKVIREMELLGSSDPRRNSYPSAEEALKHLLWLSDSDAVFEAALGLYDLNLAAIVAVNSQRDPKEFLPYLQELERMPSLVMCYNIDLRLHRYEKALRHIVSAGDAYYSDCMNLMNKNPQLFPLGLQMITDPAKKMQVLEAWGDHLSDEKCFEDAAITYLCCSSLENALKAYRACGDWSGVLTVAGLLKLEKDELMQLAHDLCEELQALGKPGEAAKIALEYCGDVNSGINLLISARDWEEALRVAFMHRQEDLVLEVKNAALDCASTLISEHKEGLEKVGKYLTRYLAVRQRRLLLAAKLQSEERSINDLDDDTVSEASSNFSGMSAYTTGTRKGSAASVTSSVTSKARDMRRQRKRGKIRPGSPDEELALVEHLKGMSLTAGAKNELRSLLFTLVKLGGEEIARKLQLAGENFQLTQMAAVKLAEDTISTDIINEKAHTLEHYIRKMRSELPNLDYFSWRSKVFISP >Potri.010G208400.2.v4.1 pep chromosome:Pop_tri_v4:10:19821185:19826098:1 gene:Potri.010G208400.v4.1 transcript:Potri.010G208400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208400.v4.1 MGAVLEWMPSGAKIAAVYDRKVENRCPDIAFYERNGLVRSSFSIKEAVDATVESLKWNCGSDLVASVVRCEKYDAVKLWFLSNNHWYLKHEVRYSRQDGVRLMWDPVKPLQLICWTFGGQITIYNFTWISAVTENSTALVIDDSKILVTPLSLSLMPPPLHLFSLKFPSAVRDLALYSNNSKNRVAAFLSDGSLGVVELPDPDTWEDLEEKEFTVEASISETGFGSFVNLAWLDSHILLAVSHYGFSHSNCASHSSMGEDGLSGFCLQEIELLCSEDHVPSLVTGSGWHAKISHRNYLEGLVIGIAPNPAKKRSAFVQFDGGNVVEYTSMLGLAVTGGSTKHDDMSFSSSCPWMSVAKASDSGSLKPLLFGLDDIGRLHFGGKVLCNNCSSFSCYSNLADQVVTHLILSTKQDFLFVVEIGDILHGEIELKYENFVHTGNRRKEENMNFINIWERGAKIIGVLHGDDAAVIIQTTRGNLESIHPRKLVLASIVNALIQRRFRDALLLVRRHRIDFNVIVDYCGWQTFLQSASEFVKQVNNLSYITEFICSIKNENIMETLYKNYISTPCQNRAGDVQAKDVMSFDSSSKVSSLLLAIRKALEEQVTESPARELCILTTLARSDPPMLEEALKRIKVIREMELLGSSDPRRNSYPSAEEALKHLLWLSDSDAVFEAALGLYDLNLAAIVAVNSQRDPKEFLPYLQELERMPSLVMCYNIDLRLHRYEKALRHIVSAGDAYYSDCMNLMNKNPQLFPLGLQMITDPAKKMQVLEAWGDHLSDEKCFEDAAITYLCCSSLENALKAYRACGDWSGVLTVAGLLKLEKDELMQLAHDLCEELQALGKPGEAAKIALEYCGDVNSGINLLISARDWEEALRVAFMHRQEDLVLEVKNAALDCASTLISEHKEGLEKVGKYLTRYLAVRQRRLLLAAKLQSEERSINDLDDDTVSEASSNFSGMSAYTTGTRKGSAASVTSSVTSKARDMRRQRKRGKIRPGSPDEELALVEHLKGMSLTAGAKNELRSLLFTLVKLGGEEIARKLQLAGENFQLTQMAAVKLAEDTISTDIINEKAHTLEHYIRKMRSELPNLDYFSWRSKVFISP >Potri.017G086900.1.v4.1 pep chromosome:Pop_tri_v4:17:9997243:10000367:1 gene:Potri.017G086900.v4.1 transcript:Potri.017G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086900.v4.1 MSKSNLLMITTLGLFLTIAESMRFDLQSGHTKCVSEDINNSAITVGKYNVVNPNEGYPLPDTHKLNVRVTSPYGNNYHHGDQVDSGNFAFTAAEAGDHTTCFTAPDHKPETTVAIEFEWKTGVAAKDWSKIAKKEQVEVMEIELKKLLDTVTSINEEMFHLRVREEEMQQLNQSTNSKMAGLSFLSLVVCFSVAGLQLWHLKSHFERKKLL >Potri.002G138600.1.v4.1 pep chromosome:Pop_tri_v4:2:10403866:10407038:-1 gene:Potri.002G138600.v4.1 transcript:Potri.002G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138600.v4.1 MQSPCLHLCKVFTSKPHLGFYYHTLKTTQNPSGFPKPKKLNMITQCHNKPQQHQSKARVKARGRKENVWSIDNDMEKTTSDKAKDRGKQKRREGRRVVRGKRNKAGRIMMSGTMLMEAETILQTQEPVIRPVWNTFTSSVSGIWKGVGAVFSPITAEMEPIEVGSKNENLYDCYTLARIEAVPSPSGEQRSQIQRKINWVTLNPYGEVPQYIGGSNRSKDDHKEGDASLPAEKMAGPAIRNHVLPGFESFNFETSDLMEEDVMGNEPGLVFFEDGSYSRGPVDIPVGEVDDSNYYLSPTFKFEQCLVKGCHKRLRIVHTIEFNNGGSDIQIMRVAVYEEEWVSPANLRAESDLEFDVKPFSQRKRTQPSELTGPWKVFEMSATPIFGDEIAIEESNGTPYVYLCTETLKKRSLPDNPVYFGEEEIIDMQDVTVLWLPGGVTGYVDVSKDGILCIGVGWYSDEGINLVMERDYGLNGKLREVRWKSEVKRRWPDPLPV >Potri.005G102400.3.v4.1 pep chromosome:Pop_tri_v4:5:7424707:7426791:-1 gene:Potri.005G102400.v4.1 transcript:Potri.005G102400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102400.v4.1 MYKISNLSNLANSRFPTRTKASKLLVIFQAKNIFFSTFSSSSSTTTPTPSLAVPTHERIVHLILDQKSAPQALQTFEWASKLPNFTHSQSTYRALIHKLLTFRRFHTVQHLLDEMPKSIGLPPDESIFLTIIRGLGRARMIRDVIKVLDLVTRKKMMGAGIQGDDYTYGILMKGLCLTNRIGEGFKLLQVIKSRGLKPNVVIYNTLLHALCNNGKVGRARSLMNEIKEPNDVTFNVLICGYCKEDNFVQALVLLEKSFSLGFVPDVVTVTKVVEILCNVGRVTEAVEILERVESKGGVVDVVAHNTLIKGFCKFGKVKLGHGLLKEMERKGCLPNADTYNALISGFCESGMLESALDMFNDMKTDGINCNFVTFDTLIKGLFSRGRTEDGFKILELMEETRGVCGGSISPYNSVLYGLYRKNMLNEALEFLMKMENLFPRAVDRSLRILGFCEEGAIQEAKRVYDQMNNEGGIPSALVYDCLIHGFCQEGLGKDGSALKLLEDMVGRGCVPDTGSYSPLIDALCRKKSFQKAASLLLQMVENGITPDYLIWNSLFHCLSQQTNWLESNNMFRVHNLVEQIVEI >Potri.005G102400.2.v4.1 pep chromosome:Pop_tri_v4:5:7424163:7426767:-1 gene:Potri.005G102400.v4.1 transcript:Potri.005G102400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102400.v4.1 MYKISNLSNLANSRFPTRTKASKLLVIFQAKNIFFSTFSSSSSTTTPTPSLAVPTHERIVHLILDQKSAPQALQTFEWASKLPNFTHSQSTYRALIHKLLTFRRFHTVQHLLDEMPKSIGLPPDESIFLTIIRGLGRARMIRDVIKVLDLVTRYGKNPSLKIFNSILDVLVKEDIDLARKFYRKKMMGAGIQGDDYTYGILMKGLCLTNRIGEGFKLLQVIKSRGLKPNVVIYNTLLHALCNNGKVGRARSLMNEIKEPNDVTFNVLICGYCKEDNFVQALVLLEKSFSLGFVPDVVTVTKVVEILCNVGRVTEAVEILERVESKGGVVDVVAHNTLIKGFCKFGKVKLGHGLLKEMERKGCLPNADTYNALISGFCESGMLESALDMFNDMKTDGINCNFVTFDTLIKGLFSRGRTEDGFKILELMEETRGVCGGSISPYNSVLYGLYRKNMLNEALEFLMKMENLFPRAVDRSLRILGFCEEGAIQEAKRVYDQMNNEGGIPSALVYDCLIHGFCQEGCVREALELMNEMVFLGYFPVASTFNALISGFCRLGKDGSALKLLEDMVGRGCVPDTGSYSPLIDALCRKKSFQKAASLLLQMVENGITPDYLIWNSLFHCLSQQTNWLESNNMFRVHNLVEQIVEI >Potri.006G047700.2.v4.1 pep chromosome:Pop_tri_v4:6:3262637:3273020:-1 gene:Potri.006G047700.v4.1 transcript:Potri.006G047700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047700.v4.1 MSPAAAVDIRSPVTSKHPSSFQNPNSNPIENNHRHSVKAPVFGSASEIDMNENGSQIGLGRARPRLVKVRRRLHGRNRGAAPGELCGSGSGFNPFKPASDDCCSSDSYLNGDSNVSNVGFVFGANGGVKSGRLDLDLNSRVELDFKEKEFGGSVGQLREKEPTLDSKMEAGEFGNVGFVFGANGNNVGVKFVSEKRQLNECGVNACEAENEKVRNDGDSESYDDRSELGSGLNTNEGYSSGNGVKLGSDDVGFVSDATHDGTCTNMGVSGSGFVFGPSWFDGKLNSNEGQRESGESSGDSAIADTGTMKVRHEAELYKVKGNGKGIFVSPSSSKKSSFLNESVVTKCPVEVKSSGETFLNCSISMDQNGNLNSSVNDKCTFASFANSSNVASASSMNPIFNLPEDIKKLNINEFKNVHGTDDKNSSAKDDSSFVFRSSKMVSASSIGSSGGDKFESSDKNRSCNTASTSIGISSSGLFTFQAGCAQSSFEAQLSQDQVNDDTQLNGAAAQTSLSSGGFDSQVNNVVSEATTVAGVDKENNESSSTNTLGGLGMPFTDFKTPWDPSCLKTSLFPELNKKLEFTANSRSKKGKRSQMRIRLKQDSLCKQQQEQEQDHVQNERSAQENLNTPTSYSPMDFSPYEETTAEKFSEETFVTSNDSNHQENNRASSILHSTEIAGLRESGGLDTDKDDGKPREKMNPENSDSGSERCFMGDYISKEFVFGAEMPCSGFNFVQVSSRDAGAAEDTHGLKTESSHQMQFSFASGSGDLDGRKFFFSASSSEQISSSAPKRQFRKKYRRKNPCAPYVVAPNPNGQEEDLSTPQRKVGNKSEINELAKQGSISSTDSVQEACEMWRARGNRAYQNGDMSKAEDFYTSGINSIPSSEMSGCCLKPLVICYSNRAATRMSLGNIREALRDCIKASGLDPNFLKVQMRAANCHLQLGEVEDALHYFSKCLESGAGVCLDRRTTIEAADGLQKAQKVAECTNRSAKLLEERTYDAAVNALDAIGEALSISPYSERLLEMKAEFLFMLQKYKEVIQLCEQTLCAAEKYFASVGADGQFVDIGCSESENCSFARVWRWHLISKSNFYLGKLEVALDLLEKLEQMRSISYKYANANKILESSVTLAVTVRDLLRHKSAGNEAVRSGRYAEAVEHYTAALSNNIESRPFSAICFGNRAAAHQALGQIADAIADCSLAVALDGNYSKAVSRRAALHEMIRDYGQAAIDLQRLVSVLENLSDEKVRQSSKPARSTSRTKELRQARQHLSLMEEEAKKGIPLDLYRILGVKDSDTAADIKKAYRKAALKHHPDKAGQFLARSESGHDRQLWKEIVQEVHADADRLFKMIGEAYAVLSDSSKRSEYDLDEEIRKASKENNGSSHRRTYTRSNSNERNEYRRHWQDNWKTNGYSRW >Potri.007G065000.4.v4.1 pep chromosome:Pop_tri_v4:7:8105283:8108662:-1 gene:Potri.007G065000.v4.1 transcript:Potri.007G065000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065000.v4.1 MEMTNVKSVDQAVEGIMRIHRSLPTRPGIEEVEASKTLIRNVDKEEQAKLEAISKQTKSPDFPQELFMILQEMQKQLTFFQSRDQKREALKLLDLENVHNLFDEFIQRASKCLSSPSSSSPPSVSGFDFSSNYTNGGSSSFKGSAAAGSFSIDGSSMATSSGLYYAEKEPTRSAELFTRDDSYVKKTKSSFYSDGIGVSSTPHIADSTLKASSISSSQDGEKLSLIKLASLIEVSAKKGTRELNLQNKLMDQVDWLPDSIGKLSSLVTLDLSDNRIVALPDTIGGLSSLTKLDLHANRIGELPGSIGDLLSLVVLDVRGNQLSSLPATFGRLVRLQELDLSSNRLSSLPDTIGSLVSLKNLNVETNDIEEIPYTIGKCLSLKELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLLSLKELDVSFNELESVPESLCFAISLIKMNIGNNFADMQSLPRSIGNLENLEELDISNNQIRVLPGSFRMLTRLRILRVEETPLEVPPRHVAEKGAQAVVQYMAELVEKRDIKAQPVKQKKSWAQICFFSKSNKRKRNGMDYVKT >Potri.007G065000.5.v4.1 pep chromosome:Pop_tri_v4:7:8105822:8108662:-1 gene:Potri.007G065000.v4.1 transcript:Potri.007G065000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065000.v4.1 MEMTNVKSVDQAVEGIMRIHRSLPTRPGIEEVEASKTLIRNVDKEEQAKLEAISKQTKSPDFPQELFMILQEMQKQLTFFQSRDQKREALKLLDLENVHNLFDEFIQRASKCLSSPSSSSPPSVSGFDFSSNYTNGGSSSFKGSAAAGSFSIDGSSMATSSGLYYAEKEPTRSAELFTRDDSYVKKTKSSFYSDGIGVSSTPHIADSTLKASSISSSQDGEKLSLIKLASLIEVSAKKGTRELNLQNKLMDQVDWLPDSIGKLSSLVTLDLSDNRIVALPDTIGGLSSLTKLDLHANRIGELPGSIGDLLSLVVLDVRGNQLSSLPATFGRLVRLQELDLSSNRLSSLPDTIGSLVSLKNLNVETNDIEEIPYTIGKCLSLKELRADYNRLKALPEAVGKIETLEVLSVRYNNIKQLPTTMSSLLSLKELDVSFNELESVPESLCFAISLIKMNIGNNFADMQSLPRSIGNLENLEELDISNNQIRVLPGSFRMLTRLRILRVEETPLEVPPRHVAEKGAQAVVQYMAELVEKRDIKAQPVKQKKSWAQICFFSKSNKRKRNGMDYVKT >Potri.010G090200.1.v4.1 pep chromosome:Pop_tri_v4:10:11526478:11537022:-1 gene:Potri.010G090200.v4.1 transcript:Potri.010G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090200.v4.1 MDSAMTAPDTAQRRIKAIHSHLITAAAHDDSPPQLRHNPTAGEFFSEQGYSVVLPEKLQTGKWNVYRSARTPLKLVSRFPDHPEIGTLHDNFARSVETFRDYKYLGTRIRVDGTVGDYKWMTFGEAGTARTEIGSGLIYHGIPKGSTIGIYFINRPEWMIVDHACSAYSYISVPLYDTLGPDAVKYIVNHAVVHAIFCVPQTLNMLLSFLSEIPSARLIVVVGGIDDQMPSLPSSTGVQVVTYSKLLSQGRSSLQPFHPPKPEDIATICYTSGTTGTPKGVVLTHGNFIASVAGISLSTSYSTSDIFISYLPLAHIYERVNQVMTVYCGVAVGFYQGDNMKLMDDMAALRPTIFCSVPRLYNRIYAGIMNAVKASGGLRERLFNAAYNAKKQALLNGKNPSPMWDRLVFNKIKDRLGGRVRLIVSGASPLSPDVLEFLRICFGGRIIEGYGMTETTSPISSMDEGDSLNGHVGSPSPSCEVKLVDVPEMNYTSDDKPYPRGEICIRGPIVFQGYYKDEEQTREVINEDGWFHSGDIGLWLPGGRLKIIDRKKNIFKLAQGEYIAPEKIENVYAKCKFIAQCFVYGDSLNSSLVAVVSVDHDVLKAWAASEGNKYENLAQLCNDPRVRAAILADMDAVGREAQLRGFEFVKAVTLVLEQFTVENDLLTPTFKIKRPQAKAYYEKAISNMYAELAASDPSSKKVL >Potri.015G005301.1.v4.1 pep chromosome:Pop_tri_v4:15:311477:311897:1 gene:Potri.015G005301.v4.1 transcript:Potri.015G005301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005301.v4.1 MCGYSLTSARHSHSTWETDWLRWAHIKKSNINREVRLENLLLEEQNQMIWIGQKSNITANSLNRAWMEAVSGQESQM >Potri.009G021400.1.v4.1 pep chromosome:Pop_tri_v4:9:3373605:3374853:1 gene:Potri.009G021400.v4.1 transcript:Potri.009G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021400.v4.1 MASPTQLMKPAPSPLKIIPAPATGNGTSFTFRPIPTSTAPPATILLNTDTLRDFDNHYVHRDPAFGFDRSYCVGFPRSSDDSLSAPRSHNQVMNLMDPFMANPYPVGPLLLSAAIPGRKRGHSWDAYETDVALNISIDMPGLDKEDVKITVEQNSLLIKGEGKKEKDDDDNDEKMADKGRKFCGKMDLPAGKRYKTGEIKAEMKNGVLKMVVPKVKEDDRIDVLLVKVE >Potri.005G032200.1.v4.1 pep chromosome:Pop_tri_v4:5:2123908:2129031:-1 gene:Potri.005G032200.v4.1 transcript:Potri.005G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032200.v4.1 MEIPKTQEQDINNMSICRIREKQREELENLTLATQPIKTFAYFVLAIAQFVQRLFAKKGWLLLLTSVFIGTIGILVITIDGPYQEYVLELVNYLRFGLWWLALGVASSIGLGSGLHTFVLYLGPHIALFTIKAVKCGRVDIKSSVYDTIQLHSGPSWLDRNCTSFGPPMYSSLQGSRIPLTSILAQIQLEAVLWGIGTALGELPPYFISRAASMSGSKLEVMKEFESSSEQDNTIMATQMKQIKNWLLSHLQYMNFFTILVLASVPNPLFDLAGILCGQFGIPFWKFFLATLTGKAIIKTHIQTAFIISVCNHQLLDLIENEMIRLLSFVPGLATVLPKLVAKLQIIRNKYMAPTPTVSNVAVGKWDFSFASIWNTVILLMLTTFFFKIVTATAQSFLKEQQEKELALFNSSSASSPSSSKTI >Potri.009G163001.1.v4.1 pep chromosome:Pop_tri_v4:9:12530083:12531165:1 gene:Potri.009G163001.v4.1 transcript:Potri.009G163001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163001.v4.1 MYNGGGEISAKDMAPRLTFKNHPDFKPRGFSLEFLTCEVHPQNQSIKVLAASNGLMLMSCPRIVRLYMLYLLAIVYNEIMHWLACHFTIIAYDPNVNSGQCRSINLPKDWQCPHLGVLGLCRETPLLSPRGCR >Potri.006G139150.1.v4.1 pep chromosome:Pop_tri_v4:6:11613874:11614587:-1 gene:Potri.006G139150.v4.1 transcript:Potri.006G139150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139150.v4.1 MDSVWIRWIHHFYLNSGTIWSVHAYHSSSPLWKAIISIRDLLLQLCGDSESSITLLSSWFTGAGKLRTRVRLVFVPTNPNCMFCRQVEESYSHLFCACEWTCCLCAMIKSWLRIGRTMQTLISAIQGLHSQRNNLEARMRRVSLGITVYLIWEERNKWIFDGQSKEIATMFRRFQILFYIVFHFYEKDYLILYVG >Potri.010G144100.1.v4.1 pep chromosome:Pop_tri_v4:10:15638404:15640361:-1 gene:Potri.010G144100.v4.1 transcript:Potri.010G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144100.v4.1 MAGEKIVGVAVDFSSCSRKALKWAADNIIRDGDHLVLVIVQPEGYYEDGEMQLWEVTGSPMIPLSEFSDPVTMKKYGLKPDPETLDLLNTVAHQKEIVVVLKIYWGDPREKICEAIDKIPLSCLVIGNRGLGKVKRAIMGSVSNYVVNNGSCPITVVKQSDHEQ >Potri.008G224801.1.v4.1 pep chromosome:Pop_tri_v4:8:19136231:19138614:-1 gene:Potri.008G224801.v4.1 transcript:Potri.008G224801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224801.v4.1 MAGVDTTRSQLNGGTPAARLPRPATSGWPLQRLASPTQGAGQNAGGQGPKAAPPRAREQASRGCRLPRPATSGWPLQRLASPPQWAGQNAGGQGPKAARDGEQASRGSPCFRQPSMAAGAAGRHARAPKRPSAQGPPRAPGPQAPAPSAGARARVVFLGKRSSPAGKRVVAGRKTGRRRPENGSSPAGKRVVDAGETSGHEAPHFTRPDSSPAPAADSADFAGAADSTTAPTVSKPALFRQRVPCTNFPVWPGQSIVQPMFVEKSALPIFPHVGMAAAAPLSGPTVFSVKPWTVNRPHSDGLSRRALDRPDSSPAPAADSADFVGAADSTTAPAVSKPALFRQRVPCTNFPVWPGQSIVQPMFVEKSALPIFPHVGMAAAAPLSGPTVFSVKPWTVNRPHSESAPAADSAAFADFAGSADSALPIPQLHKSAPPIFPAGGMAATAPMSRPAVLSVKPWTVQPVPRSRERCRIASQPPRRRAPGGARGGTNRSDMGLNLSGSWQQGHSATYNTPSRI >Potri.005G145500.1.v4.1 pep chromosome:Pop_tri_v4:5:11899429:11900691:1 gene:Potri.005G145500.v4.1 transcript:Potri.005G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145500.v4.1 MSCNGCRVLRKGCSENCILRPCLQWIESPEAQGHATVFVAKFFGRAGLMSFISSVPEDQRPSLFQSLLFEACGRTVNPVNGAVGLLWTGNWHVCQAAVETVLRGGTLRPMPDLLTGGGSPSSSPPSDEASEFEVACTDIWKLQDPNPNPIHHSRFSNSRSRVSSKRKGTEEPMVVNMRHDDLDLLLTPSTSQKGFAEICRPGTPSMNSEESVTTNATCFDSAGFGDQYGNGGGETKLLNLFL >Potri.019G071800.1.v4.1 pep chromosome:Pop_tri_v4:19:11346865:11349415:1 gene:Potri.019G071800.v4.1 transcript:Potri.019G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071800.v4.1 MESASNKIRIATLPKRHSHRLLFDRRYGWVFDEWKDPSEEALSGGRGMFCILPLAKAFLTTASQSIDHAANFAVKVFDSPDLLSPQALQTSLSNQLNKFKSSIKKAEFNRFALEEHSFQAPTSPPHLLFENKKSQID >Potri.001G032566.3.v4.1 pep chromosome:Pop_tri_v4:1:2440115:2443773:-1 gene:Potri.001G032566.v4.1 transcript:Potri.001G032566.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032566.v4.1 MSNLLASMDDQITKANEQAHSRKDILDKVQKWKFASEEEQWLDEYEKDDNRYGAGRGAHKNLKRAEKARILASKTPSVVENLTSIVKAWEMERNIHFLCDKAPLLHTLRGIYRARAGEGRGEASISGEETFARTVCC >Potri.001G277600.1.v4.1 pep chromosome:Pop_tri_v4:1:29119969:29121285:-1 gene:Potri.001G277600.v4.1 transcript:Potri.001G277600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277600.v4.1 MAFGVRLCLCLLLVFAVTSSARNTISFSDNEMALAIKGRSLKITLNDYGDPIANRGHDPSQRNKNWGGSGGGRKG >Potri.006G130200.1.v4.1 pep chromosome:Pop_tri_v4:6:10618492:10620371:1 gene:Potri.006G130200.v4.1 transcript:Potri.006G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130200.v4.1 MEDDFEFSNSPPSFQNMGDLIKDAEPKGLNPGLIVLLVIGGLLLTFLIGNYVLYSYAQKTLPPRKKKPISKKKMKKERLKQGVSAPGE >Potri.012G074300.2.v4.1 pep chromosome:Pop_tri_v4:12:9770009:9772264:1 gene:Potri.012G074300.v4.1 transcript:Potri.012G074300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074300.v4.1 MGIASKAGDWTFKAFTAGLGVTTIYLAATFSVNVYRGLSWHNAQSKMDKQDAGEQSA >Potri.019G014380.1.v4.1 pep chromosome:Pop_tri_v4:19:2334636:2340686:-1 gene:Potri.019G014380.v4.1 transcript:Potri.019G014380.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014380.v4.1 MVRPNDPFWNDVEDMNDGSMKCKFCGHLFAKDTSISRIKWHFSGERGHGVGICGQVPKEVQEAAFLAMNGGNKRHKSIASLSNVNDYAISTCPREQDNAVLGNLAGDAGRMLVEVQGMEQGVGRERIHSRLEEANGMENTGEGSFQHVDRSVSPWRLRVDAHENRGEATQGTDLVDQFADGTWVQIHSALSKRQKLNEISTYLMQEDEDVERLHDAFETVARTEQVQRLERGSSCERPSINQADEPRGDSSQPTDPLCLDHGRYYDQLFAPSVNNDVIMYDVQNMVRVRTEPVEEGVENSGRLVQPGAGARSSISLKYNTSETRGVPLPTSSKKLVGRAFEENMKVMWSLLMDDEVLTIGIYGMGGVGKTTILQHIYNELLQRQNICDHVWWVTVSQDFSINRLQNLIAKRLDLDLSSEDDDLHRAAKLSEELRKKQKWILILDDLWNNFELHKVEIPVPLKGCKLIMTTQSETVCHRMACHHKIKVKPLSEGEAWTLFMENLGRDIALSPEVERIAEAVAKECAGLPLGIITVAGSLRGVDDLHEWRNTLKKLKESEFRDMDEKVFQVLRVSYDRLGDVAQQQCLLYCALFPEDHWIEREELIGYLIDEGIIKGMRSWQATFDEGHTMLNRLENVCLLESVKMKYDGSRCVKMHDLIRDMVIQILQDNSQVMVKAGAQLKELPDAEEWTENLARVSLMQNQIKEIPSRYSPSCPYLSTLLLCQNRWLQFIADSFFKQLNGLKVLDLSSTEIENLPDSVSDLVSLTALLLNNCENLRHVPSLKKLRELKRLDLYHTSLKKMPQGMECLSNLRYLRMNGCGEKEFPSGILPKLCHLQVFILEDFMSFRDLRMYALVTAKGKEVGCLRKLEILECHFEEHSDFVEYLNSRDKTLSLCTYKIFVGLLGDDFYSEINNYCYPCRIVGLGNLNINRDRDFQVMFLNNIQILHCKCIDARNLGDVLSLENATDLQRIDIKGCNSMKSLVSSSWFYSAPLPLPSYNGIFSGLKELYCYKCKSMKKLFPLVLLSNLMYLERIQVQHCEKMEEIIGTTDEESSSSNSIMEFILPKFRILRLINLPELKSICSAKLICDSLEEIIVDNCQKLRRLPIRLLPPSLKKIEVYPKEWWESVVEWENPNAKEVLSPFVK >Potri.014G126100.1.v4.1 pep chromosome:Pop_tri_v4:14:8467691:8469203:-1 gene:Potri.014G126100.v4.1 transcript:Potri.014G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126100.v4.1 MCGGAILAGLIPRNRGHRVAASEFWPNSSFNKPSPFDTYPSPLRNQEPFTLKRPQPTSGDHEQVEKPNAKRQRKNLYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDREARKIRGKKAKVNFPNEDDHYYTSQNSNTKPFLYQAPTCHFSKGYDSGNVYDAYQIETFHSNGLINEPIVSSGEDDSRSGSEEVTGLLGCNQNVESNNYMGQVKVEEEKLEEKKVMNKEVMVVDLETGEEESEVQKLTEELMAYENFMKFYQIPYLDGQSTAPNGTTQESLVGNLWSFFDDGVDAPVTSAPL >Potri.010G040000.1.v4.1 pep chromosome:Pop_tri_v4:10:7001430:7004473:-1 gene:Potri.010G040000.v4.1 transcript:Potri.010G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040000.v4.1 MDPPARMNEGGPYSLEEIWQFPINGSGRGHFDLLNLEQRAAASVRKRRDVDLDVDDDSSSKPTTNGLLRLKTSGSKDEDHHRHHDSKDEAEPSSGKHVEHKTQPPEPSKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNTRMNPGIEVFASKDFGQTFDTAGMAFGSQATREYSRGTSPEWLHMQVGGGFERTS >Potri.006G000400.1.v4.1 pep chromosome:Pop_tri_v4:6:35249:38895:-1 gene:Potri.006G000400.v4.1 transcript:Potri.006G000400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000400.v4.1 MMKQEQATLGPNPPNPPPRRPRVREVSSRFMSPIASPSSSLSPLPSNKQRSSSLQRQRRSQELDADCSPQRKQQQQQQQQHHQRAVVKLSKENEPRSHTHSHRPDTPTLNPINTSSYSKLLLMHQRSTSNINISSAAAKLLKSTGISISTDSSSDHHNINNDVRSSLPDLLGDTDTRFLAERNLNRLNNNNNPCASPCSRSLNLQRSTSSCDPSLFHSLKSAKLPPVGPCSKIPIDASRKTKKVSSHQEDVQSLKLLHNHYLQWRFVNAKAQASTQAQTSETERNLYSLGVKIAELYNSVKRKRVELGLLQRIKLLWTIVEAQMPYLDEWSAFETDYSVSLSEAIQALLNASLQVPISGNARADIREVGEALNSAAKLMDTIAFHIESLMPKAEETEHLISEVARVTGGERGLIEECGDLLSVTYNSQVKECSLRGQLIQFYQSRHNQQQGEEQ >Potri.004G048500.1.v4.1 pep chromosome:Pop_tri_v4:4:3899032:3902258:-1 gene:Potri.004G048500.v4.1 transcript:Potri.004G048500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048500.v4.1 MEAVYYYYYLLPFVFPAILSAVLGLSSQDHQNQLYEAKLRITQSESRLEEITQNINDRDRYLERCENLIGELDHKLNHLQSVFSHLKVADDKIKDLEEEVRVLWATLRKNNFDIHVLESKAREAEDRLQMVTSQVQKMEDIVSERWIQIQQFEQALQLKEIRLKAQRQARPPRWTFLKFFSYLSGEYLPNAHGLLSSHFSEESALRAYVSQTFSWLKRFYSTVKESHHELQVFVKQEMERHEFTACLANQEIVFFVASALIIFPVLSAWILLSSQLC >Potri.001G273900.1.v4.1 pep chromosome:Pop_tri_v4:1:28767908:28772560:1 gene:Potri.001G273900.v4.1 transcript:Potri.001G273900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273900.v4.1 MLLYFFITCFSFVLLLKPLPLKPLPPWTSEMRLLSFWFLKDLLLFSYLGSLRSTLLKVFHIDRIPLKMTIKNMSLSSSARAEKSSLDGEAGEMSVLDLPELALECILERLPPAGLCSMAGVCTSLRERCVSDHLWEKHMKQKWGRVIGPAAYREWQWHLASRKDLGSCKQGKPKGLTRLLSMFWPSSWSTPKADPINNSKQRSSLPVNSIMSWYLALETGKFWFPAQVFNRENGHVGFMLSCYDANLSYDPGTDTFQVRYPPHGRRAIATESGVPWERLRAPPFDTSPHDLHISDCLNDLRPGDHIEIQWRRNKEFPYGWWYGVVGHLELCDGNENYCRCHNSDTVVLEFHQYTPGSRWRSTAVNRKEHREEGDEADGFYGGIRKLYNNEEISRWKRLWPTEVLE >Potri.004G144400.1.v4.1 pep chromosome:Pop_tri_v4:4:16716630:16717037:-1 gene:Potri.004G144400.v4.1 transcript:Potri.004G144400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144400.v4.1 MSTPLDQQQQQQQQQQETPSMEVSQQAAYTHTGHGSVGPVIAVLAVITILGVIAGMIGRLCSGRRILGHGQYDFEGWVERKCSSCLDGHVDPPPTRHADIPVAAPVVEEGPQEIKEEEQEQQQSEHKPHPSASGS >Potri.006G169400.1.v4.1 pep chromosome:Pop_tri_v4:6:16981974:16989739:-1 gene:Potri.006G169400.v4.1 transcript:Potri.006G169400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G169400.v4.1 MGSKPAPFSDIGKRAKDLLTKDYNYDHKFTLSILSSTGMAFTATGLKKDEVFVGDINTLYKSKNTVVDVKVDTYSNVSTKVTVNDILPSTKAAFSFKIPDHKSGKLDVQYFHHHAAIESSIGLNPNPLLDFSAAIGSSNLSLGGEVGFDTASSSFIKYNAGIGLNKPDFSAALLLTDKGQTLKASYVHSVNPFHSVAAEMTHRFSSLENSFTIGSSHAVDPFTVVKTRFSDNGKAAMLYQHEWRPKSLITFSAEYDSKATHAANPKYGIALALKP >Potri.001G466066.1.v4.1 pep chromosome:Pop_tri_v4:1:49111522:49115097:-1 gene:Potri.001G466066.v4.1 transcript:Potri.001G466066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466066.v4.1 MKGSRLVPPCKRRHLSKLFSLFQSHRTLHTATVTDYLQVTSDDLENERKRIDFNALFKSCTKLQQVKCAHTLVVVSGRFKDNFLSSKIVNLYSQHGDVSLAWWTFHHLPNKDVYTWNSMAFAYVSHGRFCEALGCFNQFFSTSGLRPDFYTFPPVVKACGDLLDGKKIHCLVLKLGFEWDVFVAASLVHMYSRFGLVGDARKLFDDMPARDRGSWNAMISGYCQNGNAAEALDIADEMRLEGVKMDAITVASVLPVCAQVGDILSGKLIHLYVIKHGLEFELFVSNALINMYAKFGSLGHAQKVFGQMVVRDIVSWNSIIAAYEQNNNPVSAHLFFHKMQEARVQPDLLTLVSLASIVAQLNDHQNSRSVHGFVMRKGWFMEYIIIGNAVVDMYAKIGNLDSARAVFGGLLIKDVVSWNTLITGYAQNGLASEAIEVYLLMEEHEEIIPNQGTWVSILPAYSHVGALQQGMRIHGQVIKNCLYSDVFVGTCLIDMYGKCGKLDDAISLFYQVPRKNSVPWNAMISCYGVHGDGEKALELFREMKAERVKPDHITFVSLLSACSHSGLVSDAQWCFNMMEEEYGIKPSLKHYGCMVDLFGRAGELEMAFNFIKKMPIQPDASAWGALLNACRIHGNIELGKHASERLFEVDSENVGYYVLLSNIYANVGKWEGVDDVRSLARDRGLRKNPGWSSIILNNKVDVFYTGNQTHPKCEEIYRELRDLTSKIKTIGYVPDFCFVLQDVEEDEKEHILMGHSERLAIAYGIISTSPKTPIRIFKNLRVCGDCHTVTKFISIITEREIIVRDSSRFHHFKGGTCSCGDYW >Potri.001G466066.2.v4.1 pep chromosome:Pop_tri_v4:1:49111521:49115101:-1 gene:Potri.001G466066.v4.1 transcript:Potri.001G466066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466066.v4.1 MKGSRLVPPCKRRHLSKLFSLFQSHRTLHTATVTDYLQVTSDDLENERKRIDFNALFKSCTKLQQVKCAHTLVVVSGRFKDNFLSSKIVNLYSQHGDVSLAWWTFHHLPNKDVYTWNSMAFAYVSHGRFCEALGCFNQFFSTSGLRPDFYTFPPVVKACGDLLDGKKIHCLVLKLGFEWDVFVAASLVHMYSRFGLVGDARKLFDDMPARDRGSWNAMISGYCQNGNAAEALDIADEMRLEGVKMDAITVASVLPVCAQVGDILSGKLIHLYVIKHGLEFELFVSNALINMYAKFGSLGHAQKVFGQMVVRDIVSWNSIIAAYEQNNNPVSAHLFFHKMQEARVQPDLLTLVSLASIVAQLNDHQNSRSVHGFVMRKGWFMEYIIIGNAVVDMYAKIGNLDSARAVFGGLLIKDVVSWNTLITGYAQNGLASEAIEVYLLMEEHEEIIPNQGTWVSILPAYSHVGALQQGMRIHGQVIKNCLYSDVFVGTCLIDMYGKCGKLDDAISLFYQVPRKNSVPWNAMISCYGVHGDGEKALELFREMKAERVKPDHITFVSLLSACSHSGLVSDAQWCFNMMEEEYGIKPSLKHYGCMVDLFGRAGELEMAFNFIKKMPIQPDASAWGALLNACRIHGNIELGKHASERLFEVDSENVGYYVLLSNIYANVGKWEGVDDVRSLARDRGLRKNPGWSSIILNNKVDVFYTGNQTHPKCEEIYRELRDLTSKIKTIGYVPDFCFVLQDVEEDEKEHILMGHSERLAIAYGIISTSPKTPIRIFKNLRVCGDCHTVTKFISIITEREIIVRDSSRFHHFKGGTCSCGDYW >Potri.014G170500.2.v4.1 pep chromosome:Pop_tri_v4:14:12551654:12554373:1 gene:Potri.014G170500.v4.1 transcript:Potri.014G170500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170500.v4.1 MAEETQMRESVKPFKKRKSGHKKGGNFKKKQKQFLGNKEKVKKIDPRLKKLLRKKARDYNSDDDNEDETAHASEDDNADSMDDDVSSDDGKEKKNLGIEIEGSENEDDDEIPPGITKFSEGCRAFRIAFKSISKKAISDDSLGPVLSGHKTLVAEKLAEEVAERRVKGDAKKEKHLVGEKGHVKPANYLDAHEKFLISVATKGVVKLFNAVNKAQNAQKGLNPSRSKDAKVIKKRRKERFFSELGKTPVADTSTKVHASSGEGPSWAPLRDNYMLTNSKLKDWDKMPDKVVHDDIGRMSEDSSSDDD >Potri.003G025975.1.v4.1 pep chromosome:Pop_tri_v4:3:54749:55030:1 gene:Potri.003G025975.v4.1 transcript:Potri.003G025975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025975.v4.1 MGNIAIRFGREFFWSSYAFLMLLRLLASGFVFGGIVMRNLVEKPVQTTETLNFDFIKTTPVAFAPLMPFSGMGDLSSLVAKDNVEAGRQVGAS >Potri.009G051200.2.v4.1 pep chromosome:Pop_tri_v4:9:5616408:5622312:1 gene:Potri.009G051200.v4.1 transcript:Potri.009G051200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051200.v4.1 MEIEVASNSYGGTGNNFCDGASSCAYQDKVAPDCGGERGVYLVWEDLTVVLPNFGNGPTKRLLQGLRGYAEPGRITAIMGPSGSGKSTLLDSLAGRLSRNVIMSGTVLFNGKKRRPDAGVAYVTQEDVLLGTLTVRETITYSANLRLPNTMTKGEIDDVVEATIMEMGLQECSDRVVGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVIQTLRNIARDGRTVISSIHQPSSEVFALFDDLFLLSSGETVYFGDAKMAVEFFAEAGFPCPRRKNPSDHFLRCINSDFDAITATLKGSQRIRDVPKSADPLINLATAEIKARLVEKYRRSNIAQKVKARVKDISAIEGLEVDIRSGSDASWWKQLSTLTRRSFVNMSRDVGYYWARIVIYIVVSICVGTIYYDVGYGYTAILARVACGGFITGFMTFMSIGGFPSFIEEMKVFYREKLNGYYGVTVFILSNYLSSFPFLVSIALLSGTICFYLVKFRSGFNHYVFFCLNIFGSISVIESLMMVIASLVPNFLMGLITGAGIIGIMMMTSGFFRLLPDLPKPFWRYPVSYINYGAWGIQGAYKNDFLGLEFDPLIPGDPKIPGEFVITRMFGIPLDYSKWWDLSAIYLILVCYRILFFIVLKLKERAVPFVEDLYSKRTLHILEKRPSFRKAPSFSSRRHQPLHSLSSQEGLSSPLN >Potri.009G051200.5.v4.1 pep chromosome:Pop_tri_v4:9:5616620:5622256:1 gene:Potri.009G051200.v4.1 transcript:Potri.009G051200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051200.v4.1 MEIEVASNSYGGTGNNFCDGASSCAYQDKVAPDCGGERGVYLVWEDLTVVLPNFGNGPTKRLLQGLRGYAEPGRITAIMGPSGSGKSTLLDSLAGRLSRNVIMSGTVLFNGKKRRPDAGVAYVTQEDVLLGTLTVRETITYSANLRLPNTMTKGEIDDVVEATIMEMGLQECSDRVVGNWHLRGISGGEKKRLSIALEILTRPRLLFLDEPTSGLDSASAFFVIQTLRNIARDGRTVISSIHQPSSEVFALFDDLFLLSSGETVYFGDAKMAVECQSSQFFAEAGFPCPRRKNPSDHFLRCINSDFDAITATLKGSQRIRDVPKSADPLINLATAEIKARLVEKYRRSNIAQKVKARVKDISAIEGLEVDIRSGSDASWWKQLSTLTRRSFVNMSRDVGYYWARIVIYIVVSICVGTIYYDVGYGYTAILARVACGGFITGFMTFMSIGGFPSFIEEMKVFYREKLNGYYGVTVFILSNYLSSFPFLVSIALLSGTICFYLVKFRSGFNHYVFFCLNIFGSISVIESLMMVIASLVPNFLMGLITGAGIIGIMMMTSGFFRLLPDLPKPFWRYPVSYINYGAWGIQGAYKNDFLGLEFDPLIPGDPKIPGEFVITRMFGIPLDYSKWWDLSAIYLILVCYRILFFIVLKLKERAVPFVEDLYSKRTLHILEKRPSFRKAPSFSSRRHQPLHSLSSQEGLSSPLN >Potri.015G076100.1.v4.1 pep chromosome:Pop_tri_v4:15:10226101:10233340:1 gene:Potri.015G076100.v4.1 transcript:Potri.015G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076100.v4.1 MATQSSEPSPANPAHQNPENVQPSTGVQQGAGAEAIKQSPPSVFVNSEPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDPTPSTQATSSSQVEGQVISTPNVQPPAPAQTLQPVAAAPTGVISTMRTLTQHQFNWHHAVAAVGLLAVSGAGTAVLVKKTIIPRLKSWIRKVVLEEEDDSVMKTNTKLSLAEEAAAAAKAAAAAAADVARASQEMLNSKNEEKICFKEFMNMLDVQVQEMKSMSTAIHRLEGQTDYRVRNSLADQEDYRASVANPKQTFTNGKAEFDLRSGRSSSQPMSAQASVAAHPKSFMEIMDQRGEKPANIRDINDQPPNPYQQISNPRIASRTKPWEVGRAQNNSSQVLESQAGREGLNSNVQDSGVDQLDGESTEPWWQQKNARITEIENGDEIKAGPFGAQTSEQPVRRAWVPPQPPPVVMPEAAEAIRRPKQSIQKEQSEDDQSVSHPIDTADELQRITKISESGGAVEINGGGSVLNSSEIQEEQEQIHEN >Potri.015G076100.4.v4.1 pep chromosome:Pop_tri_v4:15:10226106:10233339:1 gene:Potri.015G076100.v4.1 transcript:Potri.015G076100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076100.v4.1 MREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDPTPSTQATSSSQEGQVISTPNVQPPAPAQTLQPVAAAPTGVISTMRTLTQHQFNWHHAVAAVGLLAVSGAGTAVLVKKTIIPRLKSWIRKVVLEEEDDSVMKTNTKLSLAEEAAAAAKAAAAAAADVARASQEMLNSKNEEKICFKEFMNMLDVQVQEMKSMSTAIHRLEGQTDYRVRNSLADQEDYRASVANPKQTFTNGKAEFDLRSGRSSSQPMSAQASVAAHPKSFMEIMDQRGEKPANIRDINDQPPNPYQQISNPRIASRTKPWEVGRAQNNSSQVLESQAGREGLNSNVQDSGVDQLDGESTEPWWQQKNARITEIENGDEIKAGPFGAQTSEQPVRRAWVPPQPPPVVMPEAAEAIRRPKQSIQKEQSEDDQSVSHPIDTADELQRITKISESGGAVEINGGGSVLNSSEIQEEQEQIHEN >Potri.015G076100.2.v4.1 pep chromosome:Pop_tri_v4:15:10226084:10233459:1 gene:Potri.015G076100.v4.1 transcript:Potri.015G076100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076100.v4.1 MATQSSEPSPANPAHQNPENVQPSTGVQQGAGAEAIKQSPPSVFVNSEPMREEQVQNAVKFLSHPKVRGSPVMYRRSFLEKKGLTKEEIDEAFRRVPDPTPSTQATSSSQEGQVISTPNVQPPAPAQTLQPVAAAPTGVISTMRTLTQHQFNWHHAVAAVGLLAVSGAGTAVLVKKTIIPRLKSWIRKVVLEEEDDSVMKTNTKLSLAEEAAAAAKAAAAAAADVARASQEMLNSKNEEKICFKEFMNMLDVQVQEMKSMSTAIHRLEGQTDYRVRNSLADQEDYRASVANPKQTFTNGKAEFDLRSGRSSSQPMSAQASVAAHPKSFMEIMDQRGEKPANIRDINDQPPNPYQQISNPRIASRTKPWEVGRAQNNSSQVLESQAGREGLNSNVQDSGVDQLDGESTEPWWQQKNARITEIENGDEIKAGPFGAQTSEQPVRRAWVPPQPPPVVMPEAAEAIRRPKQSIQKEQSEDDQSVSHPIDTADELQRITKISESGGAVEINGGGSVLNSSEIQEEQEQIHEN >Potri.001G393400.5.v4.1 pep chromosome:Pop_tri_v4:1:41697902:41701355:1 gene:Potri.001G393400.v4.1 transcript:Potri.001G393400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393400.v4.1 MATSLFTRSLFLQKPTSQTLISSLLSRAFTSHSSTSTACSSSLLRRFLRPLSSATTINRSVSRISIRSFSSSQKSSSLNDPSPNWSNRPPKETILLDGCDFEHWLVVMEKPEGDPTRDEIIDSYIKTLAQVVGSEEEARRKIYSVSTRCYYAFGALVPEEVSYKIKELKNVRWVLPDSYLDVKNKDYGGEPFIDGKAVPYDPKYHEEWIRNNARANERNRRNDRPRNVDRSRNFDRRMENMQPRGGAPPPPMANQAMRNPAPNMAGQPQNMGRQGAPPQPQNNYRGPGGPPPNNYNMGGPGGPPPNNYMGGQQNNMSRVPHNMPMQNYIPPQNNMPPQNNMPPQNYTPQQHNYIPPQNNMPPHNNTGGWSNDMPGNMQHNIQNEPANGGYQGGPSNYHNKYPPSQDAV >Potri.008G177000.7.v4.1 pep chromosome:Pop_tri_v4:8:12219443:12224813:-1 gene:Potri.008G177000.v4.1 transcript:Potri.008G177000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177000.v4.1 MKFDTSGLESTASVFGTAGSELVDGFSAAPAFELPTTTDFDGFQKEAVQMVKPAKGTTTLAFIFKDGVIVAADSRASMGGYISSQSVKKIIEINPYMLGTMAGGAADCQFWHRNLGIKCRLHELANKRRISVTGASKLLANILYSYRGMGLSVGTMIAGWDETGPGLYYVDSEGGRLKGTRFSVGSGSPYAYGILDSGYRFDMSIEEAAELGRRAIYHATFRDGASGGVASVYYVGPDGWKKLSGDDVSELHYNYYPVVSTEASEPDRMVEA >Potri.015G020500.1.v4.1 pep chromosome:Pop_tri_v4:15:1464723:1467552:1 gene:Potri.015G020500.v4.1 transcript:Potri.015G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020500.v4.1 MNGNCNGRGGIGCVESEYIRRHHTHDDLADHQCSSALVKHIKAPVQLVWSLVRRFDQPQKYKPFISRCVVLGNLEIGSLREVDVRSGLPATTSTERLELLDDDEHILSIRIVGGDHRLKNYSSIISLHPEIIDGRPGTLVIESFVVDVPDGNTKDETCYFVEALIKCNLKSLADVSEHLAVQDRTEPIDCM >Potri.001G086600.2.v4.1 pep chromosome:Pop_tri_v4:1:6885953:6890719:1 gene:Potri.001G086600.v4.1 transcript:Potri.001G086600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086600.v4.1 MIKMGNFSEEEEQEPSFFDTREEISSVSDWSSDCGDCSPSVFNSFSYDDWTRNPESVQDRRRRFLKWMGLSLDRNDGFEEKFGDDFKNEIQWVGVDRTEDNSGAVLRTSSIEDDFLSTQSSMSSESNEVWRQSFENGTLDGNIVYRIKNLDDGTEFLVDELDGDGMLSRLHEVGSNQSPSFEEFRRTLGTSPFLERFFKKYVNDGRDMVEAKRKAKRSWLKKLGLKGRIIDRQGTAASKPCDLESTTGAKMHRVKVHPSKKHTKELSSLFTGQEFLAHKGSILTMKFSLDGQYLASGGEDGVVRVWKVIEDDRSNQFDISATDPSCLYFTMNHLSELASLDVDKKVIDKTKRLGSSDSTCVVVPPKVFRVLEKPLHEFQGHNCEVLDLSWSKKRFLLSSSIDKTVRLWQVGCDRCLRVFSHNNYVTSVDFNPVDDNYFISGSIDGKVRIWEVLGCRVVDYTDIREIVTAACYCPGGKGGLVGTMTGNCLFYDIIDNRLQLDAQICLQGKKKLPGRRITGFEFSPSDPSKLVVTSADSLVRVISGLDVICKFRASSLRFAANQISASFTSDGKHIISTSEDSNVYIWNYTSQERTSRTKNIQSCESFMSQNASVAIPWRGIETVPETLSSPETSGDVNSFRSDRSCPKFCGEIEQKRLSSSPSVCFSLARGFLLESLTRGSATWPEEKLPNSSPKAASPPKSRPEFKYLKNACQNMLSSHMWGLVIVTAGWDGRIRTYLNYGLPLRL >Potri.001G086600.3.v4.1 pep chromosome:Pop_tri_v4:1:6885998:6890706:1 gene:Potri.001G086600.v4.1 transcript:Potri.001G086600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086600.v4.1 MIKMGNFSEEEEQEPSFFDTREEISSVSDWSSDCGDCSPSVFNSFSYDDWTRNPESVQDRRRRFLKWMGLSLDRNDGFEEKFGDDFKNEIQWVGVDRTEDNSGAVLRTSSIEDDFLSTQSSMSSESNEVWRQSFENGTLDGNIVYRIKNLDDGTEFLVDELDGDGMLSRLHEVGSNQSPSFEEFRRTLGTSPFLERFFKKYVNDGRDMVEAKRKAKRSWLKKLGLKGRIIDRQGTAASKPCDLESTTGAKMHRVKVHPSKKHTKELSSLFTGQEFLAHKGSILTMKFSLDGQYLASGGEDGVVRVWKVIEDDRSNQFDISATDPSCLYFTMNHLSELASLDVDKKVIDKTKRLGSSDSTCVVVPPKVFRVLEKPLHEFQGHNCEVLDLSWSKKRFLLSSSIDKTVRLWQVGCDRCLRVFSHNNYVTSVDFNPVDDNYFISGSIDGKVRIWEVLGCRVVDYTDIREIVTAACYCPGGKGGLVGTMTGNCLFYDIIDNRLQLDAQICLQGKKKLPGRRITGFEFSPSDPSKLVVTSADSLVRVISGLDVICKFRASSLRFAANQISASFTSDGKHIISTSEDSNVYIWNYTSQERTSRTKNIQSCESFMSQNASVAIPWRGIETVPETLSSPETSGDVNSFRSDRSCPKFCGEIEQKRLSSSPSVCFSLARGFLLESLTRGSATWPEEKLPNSSPKAASPPKSRPEFKYLKNACQNMLSSHMWGLVIVTAGWDGRIRTYLNYGLPLRL >Potri.001G086600.1.v4.1 pep chromosome:Pop_tri_v4:1:6886501:6890719:1 gene:Potri.001G086600.v4.1 transcript:Potri.001G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086600.v4.1 MIKMGNFSEEEEQEPSFFDTREEISSVSDWSSDCGDCSPSVFNSFSYDDWTRNPESVQDRRRRFLKWMGLSLDRNDGFEEKFGDDFKNEIQWVGVDRTEDNSGAVLRTSSIEDDFLSTQSSMSSESNEVWRQSFENGTLDGNIVYRIKNLDDGTEFLVDELDGDGMLSRLHEVGSNQSPSFEEFRRTLGTSPFLERFFKKYVNDGRDMVEAKRKAKRSWLKKLGLKGRIIDRQGTAASKPCDLESTTGAKMHRVKVHPSKKHTKELSSLFTGQEFLAHKGSILTMKFSLDGQYLASGGEDGVVRVWKVIEDDRSNQFDISATDPSCLYFTMNHLSELASLDVDKKVIDKTKRLGSSDSTCVVVPPKVFRVLEKPLHEFQGHNCEVLDLSWSKKRFLLSSSIDKTVRLWQVGCDRCLRVFSHNNYVTSVDFNPVDDNYFISGSIDGKVRIWEVLGCRVVDYTDIREIVTAACYCPGGKGGLVGTMTGNCLFYDIIDNRLQLDAQICLQGKKKLPGRRITGFEFSPSDPSKLVVTSADSLVRVISGLDVICKFRASSLRFAANQISASFTSDGKHIISTSEDSNVYIWNYTSQERTSRTKNIQSCESFMSQNASVAIPWRGIETVPETLSSPETSGDVNSFRSDRSCPKFCGEIEQKRLSSSPSVCFSLARGFLLESLTRGSATWPEEKLPNSSPKAASPPKSRPEFKYLKNACQNMLSSHMWGLVIVTAGWDGRIRTYLNYGLPLRL >Potri.013G130800.4.v4.1 pep chromosome:Pop_tri_v4:13:13722301:13727231:1 gene:Potri.013G130800.v4.1 transcript:Potri.013G130800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130800.v4.1 MDLDMADGNQDVAISKKLKQSEVVLCDVMDAQAEVLICHGKADMGTKGKSKSVHDDAWQQDIKNASSSDLGNYTSVAGSVDSAYHLRGSTSGSTNSNNNNGSNSDMSYHDDEVGNDCDDYADENDCDDHDGYLYYDDEDEDDYSAIQSQFDNVDLPPGVEATLPWLKEPASSSNMISNTSTSTIPNHTIPSHTNHNHSESKIMTTTCLSENKWTASASSSMFPADSSSNGEVEDSGENNTMQKYRNFKQFDNVEDFSDHHYRGTSVSDLPPPKIWAKRIQDEWKSLEKDLPDTIFVRVYETRMELLRAVIAGPAGTPYHDGLFVFDCIFPPTYPDAPPMVYYYSGGLRLNPNLYECGKVCLSLLGTWSGKETEMWIPGKSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDKRSKKYNEEVFILSLKTMMYTLRRPPKHFEDLVIGHFHNRAHNILVACKAYADGAIVGSVTVKDGVADVDKADMGASGEFKATVKKMINALVTNFTRFGSINCEQFRIDDR >Potri.013G130800.5.v4.1 pep chromosome:Pop_tri_v4:13:13722289:13727019:1 gene:Potri.013G130800.v4.1 transcript:Potri.013G130800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130800.v4.1 MDLDMADGNQDVAISKKLKQSEVVLCDVMDAQAEVLICHGKADMGTKGKSKSVHDDAWQQDIKNASSSDLGNYTSVAGSVDSAYHLRGSTSGSTNSNNNNGSNSDMSYHDDEVGNDCDDYADENDCDDHDGYLYYDDEDEDDYSAIQSQFDNVDLPPGVEATLPWLKEPASSSNMISNTSTSTIPNHTIPSHTNHNHSESKIMTTTCLSENKWTASASSSMFPADSSSNGEVEDSGENNTMQKYRNFKQFDNVEDFSDHHYRGTSVSDLPPPKIWAKRIQDEWKSLEKDLPDTIFVRVYETRMELLRAVIAGPAGTPYHDGLFVFDCIFPPTYPDAPPMVYYYSGGLRLNPNLYECGKVCLSLLGTWSGKETEMWIPGKSTMLQVLVSIQALILNAKPFFNEPGYESSYVGAEGDKRSKKYNEEVFILSLKTMMYTLRRPPKHFEDLVIGHFHNRAHNILVACKAYADGAIVGSVTVKDGVADVDKADMGASGEFKATVKKMINALVTNFTRFGSINCEQFRIDDR >Potri.016G085300.1.v4.1 pep chromosome:Pop_tri_v4:16:6689511:6692046:1 gene:Potri.016G085300.v4.1 transcript:Potri.016G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085300.v4.1 MNLPLPSSSPCSSPSSSPLTSSFPLTLRCPSPPLSTISKLIRLSRLHTATNHFNPTTKDLSLATSIPTSITSSSNDQTLLSLLRQRKTEEAWVLYTQTPHLPPPTCLSRLVSQLSYQNTPLSLRRAQSILTRLRHECQLHRLDANSLGLLAVSATKSGQLSYAFSLINSMLRSGYLPHVKAWSAVLSRLASAPDGGPTRALKLFNTITRRVRRFSDVTMVADSRPDTAAFNNVLNACANLGDGKMFLKLFEEMPDFGLEPDILTYNIMIKLCARCNRKDLLVFVLERVIEKGIPLCMTTLHSLVAAYVGFGDLETVERMVQAMREGRRDLCKILREANLEDFNEDEENEVLDSSQIGVSVFEKLLPNLVEVSNSEPPLLPKVFAPDSRIFTTLMKGYMKQGRVTDTVRMLEAMRCQDDSKGQPDHITYTTVISALVKAGSMDPARQVLAEMTRIGVPANRITYNILLKGYCQQLQIDKAKELLKEMADDVNIEPDVVSYNTLIDGCILVDDSAGALAFFNEMRTKGIMPTKISYTTLMKAFALSGQPKLANKVFDEMLKDPRVKADLVAWNMLLEGYCRLGLVDEAKTVIQRMKENGFHPDVATYGSLANGISLARKPGEALLLWKEVKERWEVKGEGESSNSDSPLLPLKPDEELLATLADICVRAAFFQKALEIVACMEENGIPPNKTKYKKIYVEMHSRMFTSKHASQARQDRRRERKRAAEAFKFWLGLPNSYYGSEWRLDPGDPDY >Potri.005G170066.7.v4.1 pep chromosome:Pop_tri_v4:5:17370984:17379788:-1 gene:Potri.005G170066.v4.1 transcript:Potri.005G170066.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170066.v4.1 MSRITRWKNEKTKVKVVFRLQFHATHIPHPGWDKLFISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDVKTKRYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTALHVTVQLLTSKTGFREFEQQRELRERGLQTDQNSPDESSSGKVSSSEGIINDQIDKVNIRVRFKEKSKDLASLEEEVGPNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTVSGDLAGLSLSQSPQLEKGDPSDHRFLAQGTNDWVHAWSSDYSADNDLAAAYEENGRLRGSLEVAESSVLELKQEVSSLQGHADEIGYEAQTFAKQLASEIASGEEMVKEVSVLKSECSKLKADLEQLKVSQLCPPFSSRNAAEPLQDHRFQELKLRWIKGLLSMEDKIKELQNNACLGYHESDFRFLHSDVEELIGVLQDLKQGTGLPISSNHLVPCEGSSLKEIREMSLHKNSQFVSESGFDVDLYQPELGMLHCLNIPGLVSHEPDSIDTTNAMKGKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEEHQRQMLGELQNLRNEHATCLYAAATTKQEMETMRQDLNGQLLRVVEEKRDLDSLNKEFERRTVTAEAALKRARLNYSIAVDQLQKDLELLSVQVLSMFETNENLIRQAFVDSSQSCFEGNPITTESQRSGSSEVRMGKLFQFQNQFVGKKKQQLGGDILLDDLKRSLHLQEGLYRKVEEEACEMHFDNLYLDVLSNVLQETLLEASDNVKCMREKINKLMQQLELSTESKELLSQKLHSALNDVHALNEHRATCVAKCSDMAQQNQVLEANLQNVTCENHLLLQKIAEWESLVMHYRSYESMYKASAAENTELACLLEKKTLENCDLQNEIFSLQEELKTFRNEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGLPPSESGDHDLKPQDLIGVMMQLEELQHNSCERILLLMEEKKGLVHERDIAQVSITAAKSEIALVKQKFERDILNMVDKFNVSNALVEQLQLDVEGIAYKLKVSSEAEEKYAQLHNELFSDLDRLEAQLKELISMNQDIGHEILALDTVASELDKTKLAAAELVIENQALMASIQDKNEVSSGIASELESLKGSLQSLHDENQALMASSQDKKESSAQLASELSNLKDSIQSLHDENQALMEILRNKTEEAGNLASELNSLKENLRFLRDENQALMASSQDKEEEHAKLAMELNCLKECLQTLHDENQAQMTSAMDAKEESTKLVSEINSLKGSLQSLHGEKQALMISTRDKTEESSKLASELNILKESSQSLHCENQVLMAGLQDKTEESARLASELNSLRECLHTLQHEKQALMVFLQDKTEESAHLASDLISLRESLQSLHDELHDERSLREGLQSTIVDLTSQLNEKQCQLLQFDHHKSELAHLKHLVSDLESEKARVCHLLLQSEECLNNAREEASTVSALKTQLSEMHEPLIAADVRFIFAKTQYDSGFEVLLHQLHSTDRLLAQLQKKHIDMETTLNRCLASETQYAEENARLLTNLNSVLSELEASIAENRLLVEKNRVVRAELEEFKHNSQNVVLGYMEDKTQHSLEVEKLKCMLVTSEEEIDNLVFSKVELEVKVLVLEAKLDEQQAQIITLEGYYDELVMVQKHCNELNQRLSDQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEGPSVAMQESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMKILELEAELQSVVSDKREKVKAYDLMKAEMECSLISLECCKEEKQKLEASLEECNEEKSKIAVEHTLMKELLENSKSPGNMQEEQNDVSCEVDCLIVDASNYGIKRAHTVPLNRPSRNPNQKCLGRDGLRNCEEAELAFPASVDRVDHLNTLMHEQPEQVMN >Potri.005G170066.2.v4.1 pep chromosome:Pop_tri_v4:5:17368862:17379948:-1 gene:Potri.005G170066.v4.1 transcript:Potri.005G170066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170066.v4.1 MSRITRWKNEKTKVKVVFRLQFHATHIPHPGWDKLFISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDVKTKRYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTALHVTVQLLTSKTGFREFEQQRELRERGLQTDQNSPDESSSGKVSSSEGIINDQIDKVNIRVRFKEKSKDLASLEEEVGPNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTVSGDLAGLSLSQSPQLEKGDPSDHRFLAQGTNDWVHAWSSDYSADNDLAAAYEENGRLRGSLEVAESSVLELKQEVSSLQGHADEIGYEAQTFAKQLASEIASGEEMVKEVSVLKSECSKLKADLEQLKVSQLCPPFSSRNAAEPLQDHRFQELKLRWIKGLLSMEDKIKELQNNACLGYHESDFRFLHSDVEELIGVLQDLKQGTGLPISSNHLVPCEGSSLKEIREMSLHKNSQFVSESGFDVDLYQPELGMLHCLNIPGLVSHEPDSIDTTNAMKGKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEEHQRQMLGELQNLRNEHATCLYAAATTKQEMETMRQDLNGQLLRVVEEKRDLDSLNKEFERRTVTAEAALKRARLNYSIAVDQLQKDLELLSVQVLSMFETNENLIRQAFVDSSQSCFEGNPITTESQRSGSSEVRMGKLFQFQNQFVGKKKQQLGGDILLDDLKRSLHLQEGLYRKVEEEACEMHFDNLYLDVLSNVLQETLLEASDNVKCMREKINKLMQQLELSTESKELLSQKLHSALNDVHALNEHRATCVAKCSDMAQQNQVLEANLQNVTCENHLLLQKIAEWESLVMHYRSYESMYKASAAENTELACLLEKKTLENCDLQNEIFSLQEELKTFRNEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGLPPSESGDHDLKPQDLIGVMMQLEELQHNSCERILLLMEEKKGLVHERDIAQVSITAAKSEIALVKQKFERDILNMVDKFNVSNALVEQLQLDVEGIAYKLKVSSEAEEKYAQLHNELFSDLDRLEAQLKELISMNQDIGHEILALDTVASELDKTKLAAAELVIENQALMASIQDKNEVSSGIASELESLKGSLQSLHDENQALMASSQDKKESSAQLASELSNLKDSIQSLHDENQALMEILRNKTEEAGNLASELNSLKENLRFLRDENQALMASSQDKEEEHAKLAMELNCLKECLQTLHDENQAQMTSAMDAKEESTKLVSEINSLKGSLQSLHGEKQALMISTRDKTEESSKLASELNILKESSQSLHCENQVLMAGLQDKTEESARLASELNSLRECLHTLQHEKQALMVFLQDKTEESAHLASDLISLRESLQSLHDELHDERSLREGLQSTIVDLTSQLNEKQCQLLQFDHHKSELAHLKHLVSDLESEKARVCHLLLQSEECLNNAREEASTVSALKTQLSEMHEPLIAADVRFIFAKTQYDSGFEVLLHQLHSTDRLLAQLQKKHIDMETTLNRCLASETQYAEENARLLTNLNSVLSELEASIAENRLLVEKNRVVRAELEEFKHNSQNVVLGYMEDKTQHSLEVEKLKCMLVTSEEEIDNLVFSKVELEVKVLVLEAKLDEQQAQIITLEGYYDELVMVQKHCNELNQRLSDQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEGPSVAMQESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMKILELEAELQSVVSDKREKVKAYDLMKAEMECSLISLECCKEEKQKLEASLEECNEEKSKIAVEHTLMKELLENSKSPGNMQEEQNDVSCEVDCLIVDASNYGIKRAHTVPLNRPSRNPNQKCLGRDGLRNCEEAELAFPASVDRVDHLNTLMHEQPEQDVLASCGMNGLKSSALINQDRLLHSDMKHLAIINDHFRAESLKSSMDHLSNELERMKNENSLLLQDDHDFDQKFPGLQSEFMKLQKANEELGSMFPLFNEFSGSGNALERVLALEIELAEALQAKKRSSILFQSSFFKQHSDEEAVFKSFRDINELIKDMLELKGRYTTVETQLKEMHDRYSQLSLQFAEVEGERQKLTMTLKNVRASKKALCVNRSSSASLGDHSS >Potri.005G170066.8.v4.1 pep chromosome:Pop_tri_v4:5:17368922:17375432:-1 gene:Potri.005G170066.v4.1 transcript:Potri.005G170066.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170066.v4.1 MKGKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEEHQRQMLGELQNLRNEHATCLYAAATTKQEMETMRQDLNGQLLRVVEEKRDLDSLNKEFERRTVTAEAALKRARLNYSIAVDQLQKDLELLSVQVLSMFETNENLIRQAFVDSSQSCFEGNPITTESQRSGSSEVRMGKLFQFQNQFVGKKKQQLGGDILLDDLKRSLHLQEGLYRKVEEEACEMHFDNLYLDVLSNVLQETLLEASDNVKCMREKINKLMQQLELSTESKELLSQKLHSALNDVHALNEHRATCVAKCSDMAQQNQVLEANLQNVTCENHLLLQKIAEWESLVMHYRSYESMYKASAAENTELACLLEKKTLENCDLQNEIFSLQEELKTFRNEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGLPPSESGDHDLKPQDLIGVMMQLEELQHNSCERILLLMEEKKGLVHERDIAQVSITAAKSEIALVKQKFERDILNMVDKFNVSNALVEQLQLDVEGIAYKLKVSSEAEEKYAQLHNELFSDLDRLEAQLKELISMNQDIGHEILALDTVASELDKTKLAAAELVIENQALMASIQDKNEVSSGIASELESLKGSLQSLHDENQALMASSQDKKESSAQLASELSNLKDSIQSLHDENQALMEILRNKTEEAGNLASELNSLKENLRFLRDENQALMASSQDKEEEHAKLAMELNCLKECLQTLHDENQAQMTSAMDAKEESTKLVSEINSLKGSLQSLHGEKQALMISTRDKTEESSKLASELNILKESSQSLHCENQVLMAGLQDKTEESARLASELNSLRECLHTLQHEKQALMVFLQDKTEESAHLASDLISLRESLQSLHDELHDERSLREGLQSTIVDLTSQLNEKQCQLLQFDHHKSELAHLKHLVSDLESEKARVCHLLLQSEECLNNAREEASTVSALKTQLSEMHEPLIAADVRFIFAKTQYDSGFEVLLHQLHSTDRLLAQLQKKHIDMETTLNRCLASETQYAEENARLLTNLNSVLSELEASIAENRLLVEKNRVVRAELEEFKHNSQNVVLGYMEDKTQHSLEVEKLKCMLVTSEEEIDNLVFSKVELEVKVLVLEAKLDEQQAQIITLEGYYDELVMVQKHCNELNQRLSDQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEGPSVAMQESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMKILELEAELQSVVSDKREKVKAYDLMKAEMECSLISLECCKEEKQKLEASLEECNEEKSKIAVEHTLMKELLENSKSPGNMQEEQNDVSCEVDCLIVDASNYGIKRAHTVPLNRPSRNPNQKCLGRDGLRNCEEAELAFPASVDRVDHLNTLMHEQPEQDVLASCGMNGLKSSALINQDRLLHSDMKHLAIINDHFRAESLKSSMDHLSNELERMKNENSLLLQDDHDFDQKFPGLQSEFMKLQKANEELGSMFPLFNEFSGSGNALERVLALEIELAEALQAKKRSSILFQSDEEAVFKSFRDINELIKDMLELKGRYTTVETQLKEMHDRYSQLSLQFAEVEGERQKLTMTLKNVRASKKALCVNRSSSASLGDHSS >Potri.005G170066.6.v4.1 pep chromosome:Pop_tri_v4:5:17368871:17378946:-1 gene:Potri.005G170066.v4.1 transcript:Potri.005G170066.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170066.v4.1 MSRITRWKNEKTKVKVVFRLQFHATHIPHPGWDKLFISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDVKTKRYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTALHVTVQLLTSKTGFREFEQQRELRERGLQTDQNSPDESSSGKVSSSEGIINDQIDKVNIRVRFKEKSKDLASLEEEVGPNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTVSGDLAGLSLSQSPQLEKGDPSDHRFLAQGTNDWVHAWSSDYSADNDLAAAYEENGRLRGSLEVAESSVLELKQEVSSLQGHADEIGYEAQTFAKQLASEIASGEEMVKEVSVLKSECSKLKADLEQLKVSQLCPPFSSRNAAEPLQDHRFQELKLRWIKGLLSMEDKIKELQNNACLGYHESDFRFLHSDVEELIGVLQDLKQGTGLPISSNHLVPCEGSSLKEIREMSLHKNSQFVSESGFDVDLYQPELGMLHCLNIPGLVSHEPDSIDTTNAMKGKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEEHQRQMLGELQNLRNEHATCLYAAATTKQEMETMRQDLNGQLLRVVEEKRDLDSLNKEFERRTVTAEAALKRARLNYSIAVDQLQKDLELLSVQVLSMFETNENLIRQAFVDSSQSCFEGNPITTESQRSGSSEVRMGKLFQFQNQFVGKKKQQLGGDILLDDLKRSLHLQEGLYRKVEEEACEMHFDNLYLDVLSNVLQETLLEASDNVKCMREKINKLMQQLELSTESKELLSQKLHSALNDVHALNEHRATCVAKCSDMAQQNQVLEANLQNVTCENHLLLQKIAEWESLVMHYRSYESMYKASAAENTELACLLEKKTLENCDLQNEIFSLQEELKTFRNEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGLPPSESGDHDLKPQDLIGVMMQLEELQHNSCERILLLMEEKKGLVHERDIAQVSITAAKSEIALVKQKFERDILNMVDKFNVSNALVEQLQLDVEGIAYKLKVSSEAEEKYAQLHNELFSDLDRLEAQLKELISMNQDIGHEILALDTVASELDKTKLAAAELVIENQALMASIQDKNEVSSGIASELESLKGSLQSLHDENQALMASSQDKKESSAQLASELSNLKDSIQSLHDENQALMEILRNKTEEAGNLASELNSLKENLRFLRDENQALMASSQDKEEEHAKLAMELNCLKECLQTLHDENQAQMTSAMDAKEESTKLVSEINSLKGSLQSLHGEKQALMISTRDKTEESSKLASELNILKESSQSLHCENQVLMAGLQDKTEESARLASELNSLRECLHTLQHEKQALMVFLQDKTEESAHLASDLISLRESLQSLHDELHDERSLREGLQSTIVDLTSQLNEKQCQLLQFDHHKSELAHLKHLVSDLESEKARVCHLLLQSEECLNNAREEASTVSALKTQLSEMHEPLIAADVRFIFAKTQYDSGFEVLLHQLHSTDRLLAQLQKKHIDMETTLNRCLASETQYAEENARLLTNLNSVLSELEASIAENRLLVEKNRVVRAELEEFKHNSQNVVLGYMEDKTQHSLEVEKLKCMLVTSEEEIDNLVFSKVELEVKVLVLEAKLDEQQAQIITLEGYYDELVMVQKHCNELNQRLSDQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEGPSVAMQESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMKILELEAELQSVVSDKREKVKAYDLMKAEMECSLISLECCKEEKQKLEASLEECNEEKSKIAVEHTLMKELLENSKSPGNMQEEQNDVSCEVDCLIVDASNYGIKRAHTVPLNRPSRNPNQKCLGRDGLRNCEEAELAFPASVDRVDHLNTLMHEQPEQDVLASCGMNGLKSSALINQDRLLHSDMKHLAIINDHFRAESLKSSMDHLSNELERMKNENSLLLQDDHDFDQKFPGLQSEFMKLQKANEELGSMFPLFNEFSGSGNALERVLALEIELAEALQAKKRSSILFQSSFFKQHSDEEAVFKSFRDINELIKDMLELKGRYTTVETQLKEMHDRYSQLSLQFAEVEGERQKLTMTLKNVRASKKALCVNRSSSASLGDHSS >Potri.005G170066.5.v4.1 pep chromosome:Pop_tri_v4:5:17368861:17379254:-1 gene:Potri.005G170066.v4.1 transcript:Potri.005G170066.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170066.v4.1 MSRITRWKNEKTKVKVVFRLQFHATHIPHPGWDKLFISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDVKTKRYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTALHVTVQLLTSKTGFREFEQQRELRERGLQTDQNSPDESSSGKVSSSEGIINDQIDKVNIRVRFKEKSKDLASLEEEVGPNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTVSGDLAGLSLSQSPQLEKGDPSDHRFLAQGTNDWVHAWSSDYSADNDLAAAYEENGRLRGSLEVAESSVLELKQEVSSLQGHADEIGYEAQTFAKQLASEIASGEEMVKEVSVLKSECSKLKADLEQLKVSQLCPPFSSRNAAEPLQDHRFQELKLRWIKGLLSMEDKIKELQNNACLGYHESDFRFLHSDVEELIGVLQDLKQGTGLPISSNHLVPCEGSSLKEIREMSLHKNSQFVSESGFDVDLYQPELGMLHCLNIPGLVSHEPDSIDTTNAMKGKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEEHQRQMLGELQNLRNEHATCLYAAATTKQEMETMRQDLNGQLLRVVEEKRDLDSLNKEFERRTVTAEAALKRARLNYSIAVDQLQKDLELLSVQVLSMFETNENLIRQAFVDSSQSCFEGNPITTESQRSGSSEVRMGKLFQFQNQFVGKKKQQLGGDILLDDLKRSLHLQEGLYRKVEEEACEMHFDNLYLDVLSNVLQETLLEASDNVKCMREKINKLMQQLELSTESKELLSQKLHSALNDVHALNEHRATCVAKCSDMAQQNQVLEANLQNVTCENHLLLQKIAEWESLVMHYRSYESMYKASAAENTELACLLEKKTLENCDLQNEIFSLQEELKTFRNEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGLPPSESGDHDLKPQDLIGVMMQLEELQHNSCERILLLMEEKKGLVHERDIAQVSITAAKSEIALVKQKFERDILNMVDKFNVSNALVEQLQLDVEGIAYKLKVSSEAEEKYAQLHNELFSDLDRLEAQLKELISMNQDIGHEILALDTVASELDKTKLAAAELVIENQALMASIQDKNEVSSGIASELESLKGSLQSLHDENQALMASSQDKKESSAQLASELSNLKDSIQSLHDENQALMEILRNKTEEAGNLASELNSLKENLRFLRDENQALMASSQDKEEEHAKLAMELNCLKECLQTLHDENQAQMTSAMDAKEESTKLVSEINSLKGSLQSLHGEKQALMISTRDKTEESSKLASELNILKESSQSLHCENQVLMAGLQDKTEESARLASELNSLRECLHTLQHEKQALMVFLQDKTEESAHLASDLISLRESLQSLHDELHDERSLREGLQSTIVDLTSQLNEKQCQLLQFDHHKSELAHLKHLVSDLESEKARVCHLLLQSEECLNNAREEASTVSALKTQLSEMHEPLIAADVRFIFAKTQYDSGFEVLLHQLHSTDRLLAQLQKKHIDMETTLNRCLASETQYAEENARLLTNLNSVLSELEASIAENRLLVEKNRVVRAELEEFKHNSQNVVLGYMEDKTQHSLEVEKLKCMLVTSEEEIDNLVFSKVELEVKVLVLEAKLDEQQAQIITLEGYYDELVMVQKHCNELNQRLSDQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEGPSVAMQESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMKILELEAELQSVVSDKREKVKAYDLMKAEMECSLISLECCKEEKQKLEASLEECNEEKSKIAVEHTLMKELLENSKSPGNMQEEQNDVSCEVDCLIVDASNYGIKRAHTVPLNRPSRNPNQKCLGRDGLRNCEEAELAFPASVDRVDHLNTLMHEQPEQDVLASCGMNGLKSSALINQDRLLHSDMKHLAIINDHFRAESLKSSMDHLSNELERMKNENSLLLQDDHDFDQKFPGLQSEFMKLQKANEELGSMFPLFNEFSGSGNALERVLALEIELAEALQAKKRSSILFQSSFFKQHSDEEAVFKSFRDINELIKDMLELKGRYTTVETQLKEMHDRYSQLSLQFAEVEGERQKLTMTLKNVRASKKALCVNRSSSASLGDHSS >Potri.005G170066.4.v4.1 pep chromosome:Pop_tri_v4:5:17368860:17379258:-1 gene:Potri.005G170066.v4.1 transcript:Potri.005G170066.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170066.v4.1 MSRITRWKNEKTKVKVVFRLQFHATHIPHPGWDKLFISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDVKTKRYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTALHVTVQLLTSKTGFREFEQQRELRERGLQTDQNSPDESSSGKVSSSEGIINDQIDKVNIRVRFKEKSKDLASLEEEVGPNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTVSGDLAGLSLSQSPQLEKGDPSDHRFLAQGTNDWVHAWSSDYSADNDLAAAYEENGRLRGSLEVAESSVLELKQEVSSLQGHADEIGYEAQTFAKQLASEIASGEEMVKEVSVLKSECSKLKADLEQLKVSQLCPPFSSRNAAEPLQDHRFQELKLRWIKGLLSMEDKIKELQNNACLGYHESDFRFLHSDVEELIGVLQDLKQGTGLPISSNHLVPCEGSSLKEIREMSLHKNSQFVSESGFDVDLYQPELGMLHCLNIPGLVSHEPDSIDTTNAMKGKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEEHQRQMLGELQNLRNEHATCLYAAATTKQEMETMRQDLNGQLLRVVEEKRDLDSLNKEFERRTVTAEAALKRARLNYSIAVDQLQKDLELLSVQVLSMFETNENLIRQAFVDSSQSCFEGNPITTESQRSGSSEVRMGKLFQFQNQFVGKKKQQLGGDILLDDLKRSLHLQEGLYRKVEEEACEMHFDNLYLDVLSNVLQETLLEASDNVKCMREKINKLMQQLELSTESKELLSQKLHSALNDVHALNEHRATCVAKCSDMAQQNQVLEANLQNVTCENHLLLQKIAEWESLVMHYRSYESMYKASAAENTELACLLEKKTLENCDLQNEIFSLQEELKTFRNEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGLPPSESGDHDLKPQDLIGVMMQLEELQHNSCERILLLMEEKKGLVHERDIAQVSITAAKSEIALVKQKFERDILNMVDKFNVSNALVEQLQLDVEGIAYKLKVSSEAEEKYAQLHNELFSDLDRLEAQLKELISMNQDIGHEILALDTVASELDKTKLAAAELVIENQALMASIQDKNEVSSGIASELESLKGSLQSLHDENQALMASSQDKKESSAQLASELSNLKDSIQSLHDENQALMEILRNKTEEAGNLASELNSLKENLRFLRDENQALMASSQDKEEEHAKLAMELNCLKECLQTLHDENQAQMTSAMDAKEESTKLVSEINSLKGSLQSLHGEKQALMISTRDKTEESSKLASELNILKESSQSLHCENQVLMAGLQDKTEESARLASELNSLRECLHTLQHEKQALMVFLQDKTEESAHLASDLISLRESLQSLHDELHDERSLREGLQSTIVDLTSQLNEKQCQLLQFDHHKSELAHLKHLVSDLESEKARVCHLLLQSEECLNNAREEASTVSALKTQLSEMHEPLIAADVRFIFAKTQYDSGFEVLLHQLHSTDRLLAQLQKKHIDMETTLNRCLASETQYAEENARLLTNLNSVLSELEASIAENRLLVEKNRVVRAELEEFKHNSQNVVLGYMEDKTQHSLEVEKLKCMLVTSEEEIDNLVFSKVELEVKVLVLEAKLDEQQAQIITLEGYYDELVMVQKHCNELNQRLSDQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEGPSVAMQESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMKILELEAELQSVVSDKREKVKAYDLMKAEMECSLISLECCKEEKQKLEASLEECNEEKSKIAVEHTLMKELLENSKSPGNMQEEQNDVSCEVDCLIVDASNYGIKRAHTVPLNRPSRNPNQKCLGRDGLRNCEEAELAFPASVDRVDHLNTLMHEQPEQDVLASCGMNGLKSSALINQDRLLHSDMKHLAIINDHFRAESLKSSMDHLSNELERMKNENSLLLQDDHDFDQKFPGLQSEFMKLQKANEELGSMFPLFNEFSGSGNALERVLALEIELAEALQAKKRSSILFQSSFFKQHSDEEAVFKSFRDINELIKDMLELKGRYTTVETQLKEMHDRYSQLSLQFAEVEGERQKLTMTLKNVRASKKALCVNRSSSASLGDHSS >Potri.005G170066.3.v4.1 pep chromosome:Pop_tri_v4:5:17368862:17379779:-1 gene:Potri.005G170066.v4.1 transcript:Potri.005G170066.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170066.v4.1 MSRITRWKNEKTKVKVVFRLQFHATHIPHPGWDKLFISFIPADSGKATAKTTKANVRNGTCKWADPIYETTRLLQDVKTKRYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTALHVTVQLLTSKTGFREFEQQRELRERGLQTDQNSPDESSSGKVSSSEGIINDQIDKVNIRVRFKEKSKDLASLEEEVGPNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDSLKSTVSGDLAGLSLSQSPQLEKGDPSDHRFLAQGTNDWVHAWSSDYSADNDLAAAYEENGRLRGSLEVAESSVLELKQEVSSLQGHADEIGYEAQTFAKQLASEIASGEEMVKEVSVLKSECSKLKADLEQLKVSQLCPPFSSRNAAEPLQDHRFQELKLRWIKGLLSMEDKIKELQNNACLGYHESDFRFLHSDVEELIGVLQDLKQGTGLPISSNHLVPCEGSSLKEIREMSLHKNSQFVSESGFDVDLYQPELGMLHCLNIPGLVSHEPDSIDTTNAMKGKIFELLRELDESKAERESLAKKMDQMECYYEALVQELEEHQRQMLGELQNLRNEHATCLYAAATTKQEMETMRQDLNGQLLRVVEEKRDLDSLNKEFERRTVTAEAALKRARLNYSIAVDQLQKDLELLSVQVLSMFETNENLIRQAFVDSSQSCFEGNPITTESQRSGSSEVRMGKLFQFQNQFVGKKKQQLGGDILLDDLKRSLHLQEGLYRKVEEEACEMHFDNLYLDVLSNVLQETLLEASDNVKCMREKINKLMQQLELSTESKELLSQKLHSALNDVHALNEHRATCVAKCSDMAQQNQVLEANLQNVTCENHLLLQKIAEWESLVMHYRSYESMYKASAAENTELACLLEKKTLENCDLQNEIFSLQEELKTFRNEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGLPPSESGDHDLKPQDLIGVMMQLEELQHNSCERILLLMEEKKGLVHERDIAQVSITAAKSEIALVKQKFERDILNMVDKFNVSNALVEQLQLDVEGIAYKLKVSSEAEEKYAQLHNELFSDLDRLEAQLKELISMNQDIGHEILALDTVASELDKTKLAAAELVIENQALMASIQDKNEVSSGIASELESLKGSLQSLHDENQALMASSQDKKESSAQLASELSNLKDSIQSLHDENQALMEILRNKTEEAGNLASELNSLKENLRFLRDENQALMASSQDKEEEHAKLAMELNCLKECLQTLHDENQAQMTSAMDAKEESTKLVSEINSLKGSLQSLHGEKQALMISTRDKTEESSKLASELNILKESSQSLHCENQVLMAGLQDKTEESARLASELNSLRECLHTLQHEKQALMVFLQDKTEESAHLASDLISLRESLQSLHDELHDERSLREGLQSTIVDLTSQLNEKQCQLLQFDHHKSELAHLKHLVSDLESEKARVCHLLLQSEECLNNAREEASTVSALKTQLSEMHEPLIAADVRFIFAKTQYDSGFEVLLHQLHSTDRLLAQLQKKHIDMETTLNRCLASETQYAEENARLLTNLNSVLSELEASIAENRLLVEKNRVVRAELEEFKHNSQNVVLGYMEDKTQHSLEVEKLKCMLVTSEEEIDNLVFSKVELEVKVLVLEAKLDEQQAQIITLEGYYDELVMVQKHCNELNQRLSDQILKTEEFRNLSVHLKELKDKADAECIQAREKREPEGPSVAMQESLRIAFIKEQYETRLQELKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMKILELEAELQSVVSDKREKVKAYDLMKAEMECSLISLECCKEEKQKLEASLEECNEEKSKIAVEHTLMKELLENSKSPGNMQEEQNDVSCEVDCLIVDASNYGIKRAHTVPLNRPSRNPNQKCLGRDGLRNCEEAELAFPASVDRVDHLNTLMHEQPEQDVLASCGMNGLKSSALINQDRLLHSDMKHLAIINDHFRAESLKSSMDHLSNELERMKNENSLLLQDDHDFDQKFPGLQSEFMKLQKANEELGSMFPLFNEFSGSGNALERVLALEIELAEALQAKKRSSILFQSSFFKQHSDEEAVFKSFRDINELIKDMLELKGRYTTVETQLKEMHDRYSQLSLQFAEVEGERQKLTMTLKNVRASKKALCVNRSSSASLGDHSS >Potri.004G037600.1.v4.1 pep chromosome:Pop_tri_v4:4:2955381:2959886:-1 gene:Potri.004G037600.v4.1 transcript:Potri.004G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037600.v4.1 MAMSKKVITREEWERKLNDVKIRKEDMNKLVMNFLVTEGYVDAAEKFQMESGAEPDIDLATITDRMAAKKAVQCGNVEDAIEKVNDLNPEILDTNPQLFFHLQQQRLIELIRNGKVNEALQFAQEELAPRGEEDHSFLEELERTVALLAFEDVSNCPVGDLLDISQRLKTASEVNAAILTSQSHEKDPKLPSLLKMLLWAQNQLDEKAAYPRIKNLSTAMLEDPAV >Potri.011G098500.1.v4.1 pep chromosome:Pop_tri_v4:11:12757885:12763296:1 gene:Potri.011G098500.v4.1 transcript:Potri.011G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098500.v4.1 MSRFSELKHAASGKRRLKDLLLQSDNRFCADCGAPDPKWASANIGVFICLKCCGVHRSLGTHISKVLSVTLDEWSDDEIDSMTEVGGNSSANAIYEAFLPEGVSKPGPDSSHEERMRFIRSKYELQEFLKPSLRITSGKTSSSSLKSSFSTKLFDSFRIPSVSKNSEGMVEFIGLVKVKVKKGTNLAIRDMLSSDPYVVLTLGKQTVQTTVMKSNLNPVWNEELMLSVPQDFGPIKLSVFDHDTFSADDIMGEAEIDIQPLITSAMAFGDPEMFGNMQIGKWLKSHDNALVVDSIINIVDGKVMQEISLKLQNVECGELEVELEWMPLDQ >Potri.011G053100.1.v4.1 pep chromosome:Pop_tri_v4:11:4255025:4257661:1 gene:Potri.011G053100.v4.1 transcript:Potri.011G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053100.v4.1 MKKFNSKLDLVSLLLPIILFLQPISAIDFVFNGFNSSSVSLYGSAIIESRILTLTNQTSFQIGRALFPTKIPTKAPNSSFVYPFSTSFIFAMAPYKNVLPGHGLVFLFVPFTGIQGSSSAQNLGFLNFTNGNSSDNHMLGIEFDVFANEEFSDMNDNHVGIDVNSLTSIEAADAGYWPDNSRSSSNGNTSDEDSNSFKEQDLNNGKNYQVWIDYEDSMINVTMAPAGMKRPTRPLLNVSLNLSDVFEDEMYVGFTSSTGQLVESHKILAWSFSNSNFSLSERLITTGLPSFVLPKDPFYRSKVFIAGATVGGVLLVVSAATIIWFLIKRRQRKAREREEMEDWELEYWPHRIRYQEIEAATKGFSEENVIGIGGNGKVYKGVLPGGTEIAVKRISHENDGMREFLAEISSLGRLKHRSLVGLRGWCKRERGVFMLVYDYMENGSLEKRVFDCDESKMLSCEERIRILKDVASALLYLHEGWDSQVLHRDIKASNVLLDKDMNGRLGDFGLARVHGHGQVPSTTRVVGTIGYMAPEVVRSGRASAQTDVFGFGVLILEVMCGRRPIEEGQPPLLESVWQLMMQGQSLYALDERLKARGEQFDEGEVERMLHLGLLCAYPDSKARPTMRQVVKVMEGNNELSEIESEDMDACLLQQMKSKDFWSSYSQSSSHGSHPTFDEIRRHHSSSMSLSWSNTIVEGR >Potri.001G350000.1.v4.1 pep chromosome:Pop_tri_v4:1:36213247:36218914:-1 gene:Potri.001G350000.v4.1 transcript:Potri.001G350000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350000.v4.1 MAESEKLVALKKAYADIILNTAKEAANRVMESERKALRYHHDLCNSKDEALRLLLRLKQMIDAKTIEAEITSSRQQSKIDELEAQLQEAEGVIIDLRDELRWLRDELEKVRNSQGQPSNGKLVKENESSNQNLTSEPIILSMTDLSPQTVATSDVKSAQLDQRNFNDKCRNTTEDIEQLTVLNFENYSSHDADLAAIMMTNKEPELYRNGCTQRIRALEGNLFASRLTPPGVVAEQESLIKNEVISKASNMDEGKCSVPSPKTKALEKTNFLGEEGRKRVKVCPSRRRKSRSAKAKVKRKSCLNVKKPYQPPSIVSRCKTNSVNGTVKSDGRSCTLLLVKPGNMDMKNPSKVEEKSQETNDCLTAEMIVPEGKRPRTEQSTNSVSTSSTVPLVQHSEFLQPSSVLTHCKTYSLLLHDNVKSNEDRSKVTENDVKLKPLPRLDPGLTLIRGGMDSISGSTNAKVSVKAPCRSGVAQTDANKDMEGVDDLVKEECDSNRNPTFPSNESDDQMVNVPLVYSNLKDTKTSEETTVSVMLVHSDIEVAKSSMQPYVSPSQSDNRLLKYTFQRKHKKEALSSPDKNTSVEKSNLKRKAEENLEYSPEPQKSSLINESSGDNC >Potri.001G350000.2.v4.1 pep chromosome:Pop_tri_v4:1:36213723:36218657:-1 gene:Potri.001G350000.v4.1 transcript:Potri.001G350000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350000.v4.1 MAESEKLVALKKAYADIILNTAKEAANRVMESERKALRYHHDLCNSKDEALRLLLRLKQMIDAKTIEAEITSSRQQSKIDELEAQLQEAEGVIIDLRDELRWLRDELEKVRNSQGQPSNGKLVKENESSNQNLTSEPIILSMTDLSPQTVATSDVKSAQLDQRNFNDKCRNTTEDIEQLTVLNFENYSSHDADLAAIMMTNKEPELYRNGCTQRIRALEGNLFASRLTPPGVVAEQESLIKNEVISKASNMDEGKCSVPSPKTKALEKTNFLGEEGRKRVKVCPSRRRKSRSAKAKVKRKSCLNVKKPYQPPSIVSRCKTNSVNGTVKSDGRSCTLLLVKPGNMDMKNPSKVEEKSQETNDCLTAEMIVPEGKRPRTEQSTNSVSTSSTVPLVQHSEFLQPSSVLTHCKTYSLLLHDNVKSNEDRSKVTENDVKLKPLPRLDPGLTLIRGGMDSISGSTNAKVSVKAPCRSGVAQTDANKDMEGVDDLVKEECDSNRNPTFPSNESDDQMVNVPLVYSNLKDTKTSEETTVSVMLVHSDIEVAKSSMQPYVSPSQSDNRLLKYTFQRKHKKEALSSPDKNTSVEKSNLKRKAEENLEYSPEPQKSSLINESSGDNC >Potri.001G155100.1.v4.1 pep chromosome:Pop_tri_v4:1:12995711:12998137:-1 gene:Potri.001G155100.v4.1 transcript:Potri.001G155100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155100.v4.1 MMAGKEDLGLSLSLSVPQNQHSLQLNLMPSLVPSTASSSLSGFHPQKPSWNVTFPSSDPNSNSYRAETRSLLRGIDVNRLPSTADCEEEAGVSSPNSTISSISGKRSEREGINGDEHEMERASSHGISDEEDGETSRKKLRLSKDQAAILEESFKEHNTLNPKQKMALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTEENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAAPPTASSTVDARPHPHIGPTRHRPVPMNPWAPAAPVTRGPTPFDAIRPRS >Potri.008G119300.1.v4.1 pep chromosome:Pop_tri_v4:8:7663722:7665792:-1 gene:Potri.008G119300.v4.1 transcript:Potri.008G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119300.v4.1 MPGIGVKKSNNMLYSGTGLASVESLTLPLVQEIVLSADIRCAECQRRLADIMSRTNEIESVLINVLEKKVTLTCRYPGMKVTTGQVAAVYRNPLGKIATIKRIFRSYSR >Potri.004G033900.5.v4.1 pep chromosome:Pop_tri_v4:4:2626619:2632870:-1 gene:Potri.004G033900.v4.1 transcript:Potri.004G033900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033900.v4.1 MGPNPKMKREFLDSTRSSPFPDEVLERVLSLLKSHKDRSAVSLVCKDWYNAESWSRTHVFIGNCYSVSPEIVARRFPIIKSVTLKGKPRFSDFNLVPENWGADVHPWLVVFATKYPFLEELRLKRMAVSDESLEFLAVNFPNFKVLSLLSCDGFSTDGLAAIATHCKSLTQLDIQENGIDDKSGGWLSCFPENFTSLEVLNFANLNTDVNFDALERLVSRCKSLKVLKVNKSISLEHLQRLLVCAPQLTELGTGSFTPELTTRQYAELESAFNQCKNLHTLSGLWEATALYLPVLYPVCSNLTFLNLSYTFLQSLELASLLRQCPRLRRLWVLDTVGDKGLEAVGSNCPLLEELRVFPADPFDEEIIHGVTEAGFVAVSYGCRRLHYVLYFCRQMTNAAVATIVQNCPDFTHFRLCIMNPGQPDYLTNEPMDEAFGAVVRTCTKLQRLSVSGLLTDLTFEYIGQYAKNLETLSVAFAGSSDRGMQCVLEGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNGCRLLAREMPRLNVEVMKEDGSDDSQADKVYVYRSVAGPRRDAPPCVLTLSGL >Potri.004G033900.4.v4.1 pep chromosome:Pop_tri_v4:4:2626724:2633089:-1 gene:Potri.004G033900.v4.1 transcript:Potri.004G033900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033900.v4.1 MGPNPKMKREFLDSTRSSPFPDEVLERVLSLLKSHKDRSAVSLVCKDWYNAESWSRTHVFIGNCYSVSPEIVARRFPIIKSVTLKGKPRFSDFNLVPENWGADVHPWLVVFATKYPFLEELRLKRMAVSDESLEFLAVNFPNFKVLSLLSCDGFSTDGLAAIATHCKSLTQLDIQENGIDDKSGGWLSCFPENFTSLEVLNFANLNTDVNFDALERLVSRCKSLKVLKVNKSISLEHLQRLLVCAPQLTELGTGSFTPELTTRQYAELESAFNQCKNLHTLSGLWEATALYLPVLYPVCSNLTFLNLSYTFLQSLELASLLRQCPRLRRLWVLDTVGDKGLEAVGSNCPLLEELRVFPADPFDEEIIHGVTEAGFVAVSYGCRRLHYVLYFCRQMTNAAVATIVQNCPDFTHFRLCIMNPGQPDYLTNEPMDEAFGAVVRTCTKLQRLSVSGLLTDLTFEYIGQYAKNLETLSVAFAGSSDRGMQCVLEGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNGCRLLAREMPRLNVEVMKEDGSDDSQADKVYVYRSVAGPRRDAPPCVLTLSGL >Potri.014G135900.3.v4.1 pep chromosome:Pop_tri_v4:14:9211902:9214579:1 gene:Potri.014G135900.v4.1 transcript:Potri.014G135900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135900.v4.1 MAGSNEVNLNESKRVVPLNTWILISNFKLAYNLLRRPDGTFNRELAEFLERKVQANTIPVNGVFSFDHVDRTTGLLNRVYQPAPENEAQWGIAELEKPLSTTEVVPVIIFFHGGSFTHSSADSAIYDTFCRRLVSVCKAVVVSVNYRRSPEYRYPCAYDDGWTALKWVKSRTWLQSGKDSKVHVYLAGDSSGGNIAHHVAVRAAEEEIEVLGNILLHPMFGGQQRTESEKMLDGKYFVTIQDRDWYWRAYLPEGEDRDHPACNIFGPRGKNLEGLEFPRSLVVVAGFDLVRDWQLAYVEGLQRAGYEVKLLYLKEATIGFYFLPNNEHFCCLMEEIKKFVNSNC >Potri.009G067600.2.v4.1 pep chromosome:Pop_tri_v4:9:6750004:6752048:1 gene:Potri.009G067600.v4.1 transcript:Potri.009G067600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067600.v4.1 MYLRTDNLYSQSYFHSLIMAMGEKNGGLSVPQFGAWDSKNPVPTNYSMVFTRARANRKQHKSDVRHASLGNERELLAAACQQEEPVMKRNKILTYIHCCIRP >Potri.013G026700.1.v4.1 pep chromosome:Pop_tri_v4:13:1730138:1735562:1 gene:Potri.013G026700.v4.1 transcript:Potri.013G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026700.v4.1 MALFRRLQAIRALNQTIGIRESSSYLLGSSRSYSSSSTDISNGIKLNSPRFSSCLYNGRNALPWTHRSTMTLRSTMAMELSIFLNDKRSATTKVNAPPQARQMGSLKVSISSPGFIYEPYAPRDTISFWRRWFTKSGWRRTKNDIILELKNAYAIVKLRKTGYSKHKFYVEAIKLYKEINTLLANGDKTALRKAVTEKMYSELKNEIKQRQSAWNMSKLYWEMIEPAVLIRTLRARLIGVDKSDLNKVFIQLTLEIKTKQKFEAYDSKGARVAGDKNKEILVREIWVFEKSLFHPGAYWRLCGRIKA >Potri.009G063900.2.v4.1 pep chromosome:Pop_tri_v4:9:6506919:6507702:1 gene:Potri.009G063900.v4.1 transcript:Potri.009G063900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063900.v4.1 MCQKCFNASTSTSNSSSSSTATSMTFAATATSVSNNEILKFTGEKSARSSISRSLVKDPQKSPETASDKERSCAYHVAKKEVNRCSGCRRRVGLTGFRCRCGELFCWEHRYSDRHDCSYDYKTAGREAIARENPVVKAAKIVRV >Potri.009G063900.1.v4.1 pep chromosome:Pop_tri_v4:9:6506913:6507452:1 gene:Potri.009G063900.v4.1 transcript:Potri.009G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063900.v4.1 MAQRAEKEETEFKVPETLTSCINNCGVTGNPATNNMCQKCFNASTSTSNSSSSSTATSMTFAATATSVSNNEILKFTGEKSARSSISRSLVKDPQKSPETASDKERSCAYHVAKKEVNRCSGCRRRVGLTGFRCRCGELFCWEHRYSDRHDCSYDYKTAGREAIARENPVVKAAKIVRV >Potri.016G062000.1.v4.1 pep chromosome:Pop_tri_v4:16:4322667:4327828:1 gene:Potri.016G062000.v4.1 transcript:Potri.016G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062000.v4.1 MGTNSLSFESITDLDQQIEQLMQCKPLSEPQVRALCEKAKEILMQESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYHQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPTIETLDNIRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCNGHTFIQFEPAPRRGEPDVTRRTPDYFL >Potri.001G425800.6.v4.1 pep chromosome:Pop_tri_v4:1:45646403:45648157:1 gene:Potri.001G425800.v4.1 transcript:Potri.001G425800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425800.v4.1 MDAVQEREKKEEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHEGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIVKFSPYCSY >Potri.001G425800.4.v4.1 pep chromosome:Pop_tri_v4:1:45646402:45648450:1 gene:Potri.001G425800.v4.1 transcript:Potri.001G425800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425800.v4.1 MDAVQEREKKEEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHEGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEL >Potri.001G425800.5.v4.1 pep chromosome:Pop_tri_v4:1:45646403:45647859:1 gene:Potri.001G425800.v4.1 transcript:Potri.001G425800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425800.v4.1 MDAVQEREKKEEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHEGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEVCQVLVGVPFGLYSSKCSM >Potri.001G425800.7.v4.1 pep chromosome:Pop_tri_v4:1:45646402:45647794:1 gene:Potri.001G425800.v4.1 transcript:Potri.001G425800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425800.v4.1 MHQRLNTLAMVAFHEGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEVCQVLVGVPFGLYSSKCSM >Potri.008G157300.1.v4.1 pep chromosome:Pop_tri_v4:8:10788046:10788488:-1 gene:Potri.008G157300.v4.1 transcript:Potri.008G157300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157300.v4.1 MTLLRHRHHFLSTLTFFTFLLFLSAPATTLSQLGSGVLQQGGEERGKGGGLRAFQRVLTQKRLGGPGSSPPSCRSKCGKCSPCKAVHVAIQPGLSMPLEYYPEAWRCKCGNELFMP >Potri.002G096100.1.v4.1 pep chromosome:Pop_tri_v4:2:7017033:7018216:1 gene:Potri.002G096100.v4.1 transcript:Potri.002G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096100.v4.1 MVSFQALPSPNGKKLIPGLDDSSKKRKWEDPQTEGILEKRSNPESRKSFCEIELHLETPLPLEWQRCLDIQSGQIHFYNTRTHKRTSRDPRGSPEPPSPDHDMSLELELNLPYDQSQRKSYTHDHITKQNPGGSIRGFGDLFKESSRDNGSSGGLTRRPSWLAFEKDQQEMLATVCTKCHMLVMLCRSSPTCPNCKFLHPLEQSPPKLFKQRLSLFC >Potri.003G014156.1.v4.1 pep chromosome:Pop_tri_v4:3:3081502:3085803:1 gene:Potri.003G014156.v4.1 transcript:Potri.003G014156.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014156.v4.1 MDTGDWRIQMQPDSRKRIVDKIMETLKRHLLFSGQEGLQELKKIAIRLEEKIYTTATNQSDYLRKISLEILSMEIRS >Potri.013G061500.5.v4.1 pep chromosome:Pop_tri_v4:13:4542501:4550290:-1 gene:Potri.013G061500.v4.1 transcript:Potri.013G061500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061500.v4.1 MYKSKLQELCQQRAWELPTYESSRQGQAHNPRFLATVTVNNISFHSPSPSNTSKKAQNDAAKLAYEHFSISRPSPSPSPPVSGSLSERAGAITCLSPGGTSQFNTQDANRTSHINEALAVAKNDESFGGSLSVSTRANSHLSLRGSLQLNTQNANQTPQVNEATTVARNDESSGDMQRLFKSQLQTYAQKRNFALPVYSCERVGPPHSSRFKCKVTVNGQTFESLEYFSTLNKAEHAAAKAALMSLLPNGVEEDESGYKNLLQELAQREGCGLPTYSTNKSGEAHVPTFISTVEIEGEIFTGQGAKTKKQAEMSAAKTAYTALKQRMYMHTCLLYVLIYVLFSLACFRHPIN >Potri.013G061500.1.v4.1 pep chromosome:Pop_tri_v4:13:4542487:4550375:-1 gene:Potri.013G061500.v4.1 transcript:Potri.013G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061500.v4.1 MYKSKLQELCQQRAWELPTYESSRQGQAHNPRFLATVTVNNISFHSPSPSNTSKKAQNDAAKLAYEHFSISRPSPSPSPPVSGSLSERAGAITCLSPGGTSQFNTQDANRTSHINEALAVAKNDESFGGSLSVSTRANSHLSLRGSLQLNTQNANQTPQVNEATTVARNDESSGDMQRLFKSQLQTYAQKRNFALPVYSCERVGPPHSSRFKCKVTVNGQTFESLEYFSTLNKAEHAAAKAALMSLLPNGVEEDESGYKNLLQELAQREGCGLPTYSTNKSGEAHVPTFISTVEIEGEIFTGQGAKTKKQAEMSAAKTAYTALKQRNSSPSPAVLSPACQFQEAPQSSTLLTPACQVQEAVQSTTCHSLAHQGHEALQFSTPSLLADLTAYLQQNIQPKLPVPSEQDEEDRACSEVRSRHPFIASSGQDSVSAVTSITSLSGVAISSLPKHDLSSSSLPSDSSTSSAANSSIEHLVGRSTTCQSRIIVHPRGATVTYPSGTTVLPISDGNWVAVKIPPQPSQ >Potri.013G061500.3.v4.1 pep chromosome:Pop_tri_v4:13:4542358:4550411:-1 gene:Potri.013G061500.v4.1 transcript:Potri.013G061500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061500.v4.1 MYKSKLQELCQQRAWELPTYESSRQGQAHNPRFLATVTVNNISFHSPSPSNTSKKAQNDAAKLAYEHFSISRPSPSPSPPVSVAAGSLSERAGAITCLSPGGTSQFNTQDANRTSHINEALAVAKNDESFGGSLSVSTRANSHLSLRGSLQLNTQNANQTPQVNEATTVARNDESSGDMQRLFKSQLQTYAQKRNFALPVYSCERVGPPHSSRFKCKVTVNGQTFESLEYFSTLNKAEHAAAKAALMSLLPNGVEEDESGYKNLLQELAQREGCGLPTYSTNKSGEAHVPTFISTVEIEGEIFTGQGAKTKKQAEMSAAKTAYTALKQRNSSPSPAVLSPACQFQEAPQSSTLLTPACQVQEAVQSTTCHSLAHQGHEALQFSTPSLLADLTAYLQQNIQPKLPVPSEQDEEDRACSEVRSRHPFIASSGQDSVSAVTSITSLSGVAISSLPKHDLSSSSLPSDSSTSSAANSSIEHLVGRSTTCQSRIIVHPRGATVTYPSGTTVLPISDGNWVAVKIPPQPSQ >Potri.013G085000.10.v4.1 pep chromosome:Pop_tri_v4:13:7740869:7747647:1 gene:Potri.013G085000.v4.1 transcript:Potri.013G085000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085000.v4.1 MWSDLLETLKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQQAALKALEELSDNSSSAITKRKKEKERIQESLDRLTSELHKHEENVSSVRRRLSREKDKWLTSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDETIYERECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRMSRLLIQCLESSEYMEIRNALILLTKISGVFPVTKRSGINLEKRVTRIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLDIKPPSVASKSLSGNVAAAQNSSALNVSQGEPADGRALVTGSQHGDPGNSNRDPISRAKHADGRSDRTENISHLKSDLGHQKSKGGSSTNGSNAQSAVSSAAVPIGASRSAENQKGMDDSTNRTLEDSTVRVAAKNLAESELKVSTKRPVSKTPKQDVVKDDNKSGKGVGRTLSSSTSDKDIQVHLSEGRQGGASNVSSVLTSNGNALSVSEKVSTMVTRTSDSYGVESKPDSGGNKPMLKDEATEVADVQKPPSRLVHSPRHDNSVAASKSSDKLQKRASPAEEPDRLSKRQKGDVELRDLEGEVKFSERERSTDTRSADLDKVGNDEHNLYRSVDKPLDRSKDKGNDRYDRDHRERSERPDKSRGDDSLADRSRDKSMERYGRELSVERGQDRVADRSFDRLADKAKDDRSKLRYNDTSAEKSQVDDRFHGQNLPPPPPLPPHMVPQSVTSGRRDEDADRRFGTTRHVQRLSPRHDEKERRRSEENSLVSQDDAKRRKEDDVRERKREEREGLSIKVEEREREREREKTNLPKEEMDSSATAKRRKLKRDHLPTGEAGEYSPVAPPPPPLGIGISHSYDGRERGDRKGAMNQRASYLEEPLMRIHGKDVVGKMGRRDTDPMYDREWDEDKRQRAEQKRRHRK >Potri.013G085000.7.v4.1 pep chromosome:Pop_tri_v4:13:7717831:7747411:1 gene:Potri.013G085000.v4.1 transcript:Potri.013G085000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085000.v4.1 MSTTLPPMECLHVTEEFLLELKSGNRSFRLPHPVPILRFLYELSWTLVRGELPFQKCKAALDSVEFVDKMSAVGLGSNFADIITQMAQDLTMSGEYRSRLIKLAKWLVESALVPLRFFQERCEEEFLWEAEMIKIKAQDLKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDTAENTSAATIGIIKSLIGHFDLDPNRVFDIVLECFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRMELNSPVPFGLFKLTALLVKEEFIDLDSICAHLLPKDDEAFEHYNTFSSKRLDAAYKIGKINLAATGKDLMDDEKQGDVTVDLFAALDMETEAVAEQFSDLEKNQTLGLLTGFLSVDDWYHAHILFKRLSPLNPVAHTQICGGLFRLIEKTISSAYNIIRQPHVQNCGSPAVAGIDAMDVTSSSGHVSLIDLPKEFFQMLVTVGPYLYRDTLLLQKVCRVLRGYYMSALELVDSGDGALNGESLIPRNRVLRLHLREGRSMVEEALGACLLPSLQLVPANPAAGQEIWEVMSLLPYEVRYRLYGEWEKDDERNPLVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELVQQMANVQYTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALFKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSVVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCGAVTPPSAYAQLIPSLDDLVHLYHLDPEVAFLIYRPVMRLFKCEGSLEVFWPLDSNETVTTTSANLEPEAREYSGRVILDLGSLHKPVMWSDLLETLKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQQAALKALEELSDNSSSAITKRKKEKERIQESLDRLTSELHKHEENVSSVRRRLSREKDKWLTSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDETIYERECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRMSRLLIQCLESSEYMEIRNALILLTKISGVFPVTKRSGINLEKRVTRIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLDIKPPSVASKSLSGNVAAAQNSSALNVSQGEPADGRALVTGSQHGDPGNSNRDPISRAKHADGRSDRTENISHLKSDLGHQKSKGGSSTNGSNAQSAVSSAAVPIGASRSAENQKGMDDSTNRTLEDSTVRVAAKNLAESELKVSTKRPVSKTPKQDVVKDDNKSGKGVGRTLSSSTSDKDIQVHLSEGRQGGASNVSSVLTSNGNALSVSEKVSTMVTRTSDSYGVESKPDSGGNKPMLKDEATEVADVQKPPSRLVHSPRHDNSVAASKSSDKLQKRASPAEEPDRLSKRQKGDVELRDLEGEVKFSERERSTDTRSADLDKVGNDEHNLYRSVDKPLDRSKDKGNDRYDRDHRERSERPDKSRGDDSLADRSRDKSMERYGRELSVERGQDRVADRSFDRLADKAKDDRSKLRYNDTSAEKSQVDDRFHGQNLPPPPPLPPHMVPQSVTSGRRDEDADRRFGTTRHVQRLSPRHDEKERRRSEENSLVSQDDAKRRKEDDVRERKREEREGLSIKVEEREREREREKTNLPKEEMDSSATAKRRKLKRDHLPTGEAGEYSPVAPPPPPLGIGISHSYDGRERGDRKGAMNQRASYLEEPLMRIHGKDVVGKMGRRDTDPMYDREWDEDKRQRAEQKRRHRK >Potri.013G085000.1.v4.1 pep chromosome:Pop_tri_v4:13:7717764:7747644:1 gene:Potri.013G085000.v4.1 transcript:Potri.013G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085000.v4.1 MSTTLPPMECLHVTEEFLLELKSGNRSFRLPHPVPILRFLYELSWTLVRGELPFQKCKAALDSVEFVDKMSAVGLGSNFADIITQMAQDLTMSGEYRSRLIKLAKWLVESALVPLRFFQERCEEEFLWEAEMIKIKAQDLKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDTAENTSAATIGIIKSLIGHFDLDPNRVFDIVLECFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRMELNSPVPFGLFKLTALLVKEEFIDLDSICAHLLPKDDEAFEHYNTFSSKRLDAAYKIGKINLAATGKDLMDDEKQGDVTVDLFAALDMETEAVAEQFSDLEKNQTLGLLTGFLSVDDWYHAHILFKRLSPLNPVAHTQICGGLFRLIEKTISSAYNIIRQPHVQNCGSPAVAGIDAMDVTSSSGHVSLIDLPKEFFQMLVTVGPYLYRDTLLLQKVCRVLRGYYMSALELVDSGDGALNGESLIPRNRVLRLHLREGRSMVEEALGACLLPSLQLVPANPAAGQEIWEVMSLLPYEVRYRLYGEWEKDDERNPLVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELVQQMANVQYTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALFKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSVVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCGAVTPPSAYAQLIPSLDDLVHLYHLDPEVAFLIYRPVMRLFKCEGSLEVFWPLDSNETVTTTSANLEPEAREYSGRVILDLGSLHKPVMWSDLLETLKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQQAALKALEELSDNSSSAITKRKKEKERIQESLDRLTSELHKHEENVSSVRRRLSREKDKWLTSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDETIYERECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRMSRLLIQCLESSEYMEIRNALILLTKISGVFPVTKRSGINLEKRVTRIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLDIKPPSVASKSLSGNVAAAQNSSALNVSQGEPADGRALVTGSQHGDPGNSNRDPISRAKHADGRSDRTENISHLKSDLGHQKSKGGSSTNGSNAQSAVSSAAVPIGASRSAENQKGMDDSTNRTLEDSTVRVAAKNLAESELKVSTKRPVSKTPKQDVVKDDNKSGKGVGRTLSSSTSDKDIQVHLSEGRQGGASNVSSVLTSNGNALSVSEKVSTMVTRTSDSYGVESKPDSGGNKPMLKDEATEVADVQKPPSRLVHSPRHDNSVAASKSSDKLQKRASPAEEPDRLSKRQKGDVELRDLEGEVKFSERERSTDTRSADLDKVGNDEHNLYRSVDKPLDRSKDKGNDRYDRDHRERSERPDKSRGDDSLADRSRDKSMERYGRELSVERGQDRVADRSFDRLADKAKDDRSKLRYNDTSAEKSQVDDRFHGQNLPPPPPLPPHMVPQSVTSGRRDEDADRRFGTTRHVQRLSPRHDEKERRRSEENSLVSQDDAKRRKEDDVRERKREEREGLSIKVEEREREREREKTNLPKEEMDSSATAKRRKLKRDHLPTGEAGEYSPVAPPPPPLGIGISHSYDGRERGDRKGAMNQRASYLEEPLMRIHGKDVVGKMGRRDTDPMYDREWDEDKRQRAEQKRRHRK >Potri.013G085000.9.v4.1 pep chromosome:Pop_tri_v4:13:7717803:7747363:1 gene:Potri.013G085000.v4.1 transcript:Potri.013G085000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085000.v4.1 MSTTLPPMECLHVTEEFLLELKSGNRSFRLPHPVPILRFLYELSWTLVRGELPFQKCKAALDSVEFVDKMSAVGLGSNFADIITQMAQDLTMSGEYRSRLIKLAKWLVESALVPLRFFQERCEEEFLWEAEMIKIKAQDLKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDTAENTSAATIGIIKSLIGHFDLDPNRVFDIVLECFELQPDSNVFLELIPIFPKYYQRMELNSPVPFGLFKLTALLVKEEFIDLDSICAHLLPKDDEAFEHYNTFSSKRLDAAYKIGKINLAATGKDLMDDEKQGDVTVDLFAALDMETEAVAEQFSDLEKNQTLGLLTGFLSVDDWYHAHILFKRLSPLNPVAHTQICGGLFRLIEKTISSAYNIIRQPHVQNCGSPAVAGIDAMDVTSSSGHVSLIDLPKEFFQMLVTVGPYLYRDTLLLQKVCRVLRGYYMSALELVDSGDGALNGESLIPRNRVLRLHLREGRSMVEEALGACLLPSLQLVPANPAAGQEIWEVMSLLPYEVRYRLYGEWEKDDERNPLVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELVQQMANVQYTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALFKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSVVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCGAVTPPSAYAQLIPSLDDLVHLYHLDPEVAFLIYRPVMRLFKCEGSLEVFWPLDSNETVTTTSANLEPEAREYSGRVILDLGSLHKPVMWSDLLETLKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQQAALKALEELSDNSSSAITKRKKEKERIQESLDRLTSELHKHEENVSSVRRRLSREKDKWLTSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDETIYERECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRMSRLLIQCLESSEYMEIRNALILLTKISGVFPVTKRSGINLEKRVTRIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLDIKPPSVASKSLSGNVAAAQNSSALNVSQGEPADGRALVTGSQHGDPGNSNRDPISRAKHADGRSDRTENISHLKSDLGHQKSKGGSSTNGSNAQSAVSSAAVPIGASRSAENQKGMDDSTNRTLEDSTVRVAAKNLAESELKVSTKRPVSKTPKQDVVKDDNKSGKGVGRTLSSSTSDKDIQVHLSEGRQGGASNVSSVLTSNGNALSVSEKVSTMVTRTSDSYGVESKPDSGGNKPMLKDEATEVADVQKPPSRLVHSPRHDNSVAASKSSDKLQKRASPAEEPDRLSKRQKGDVELRDLEGEVKFSERERSTDTRSADLDKVGNDEHNLYRSVDKPLDRSKDKGNDRYDRDHRERSERPDKSRGDDSLADRSRDKSMERYGRELSVERGQDRVADRSFDRLADKAKDDRSKLRYNDTSAEKSQVDDRFHGQNLPPPPPLPPHMVPQSVTSGRRDEDADRRFGTTRHVQRLSPRHDEKERRRSEENSLVSQDDAKRRKEDDVRERKREEREGLSIKVEEREREREREKTNLPKEEMDSSATAKRRKLKRDHLPTGEAGEYSPVAPPPPPLGIGISHSYDGRERGDRKGAMNQRASYLEEPLMRIHGKDVVGKMGRRDTDPMYDREWDEDKRQRAEQKRRHRK >Potri.013G085000.8.v4.1 pep chromosome:Pop_tri_v4:13:7717764:7747406:1 gene:Potri.013G085000.v4.1 transcript:Potri.013G085000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085000.v4.1 MSTTLPPMECLHVTEEFLLELKSGNRSFRLPHPVPILRFLYELSWTLVRGELPFQKCKAALDSVEFVDKMSAVGLGSNFADIITQMAQDLTMSGEYRSRLIKLAKWLVESALVPLRFFQERCEEEFLWEAEMIKIKAQDLKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLCRGSEDTAENTSAATIGIIKSLIGHFDLDPNRVFDIVLECFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRMELNSPVPFGLFKLTALLVKEEFIDLDSICAHLLPKDDEAFEHYNTFSSKRLDAAYKIGKINLAATGKDLMDDEKQGDVTVDLFAALDMETEAVAEQFSDLEKNQTLGLLTGFLSVDDWYHAHILFKRLSPLNPVAHTQICGGLFRLIEKTISSAYNIIRQPHVQNCGSPAVAGIDAMDVTSSSGHVSLIDLPKEFFQMLVTVGPYLYRDTLLLQKVCRVLRGYYMSALELVDSGDGALNGESLIPRNRVLRLHLREGRSMVEEALGACLLPSLQLVPANPAAGQEIWEVMSLLPYEVRYRLYGEWEKDDERNPLVLAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIEAYRDMITPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLVNQLKKGQGIELVLLQELVQQMANVQYTENLTEEQLDAMAGSETLRYQATSFGVTRNNKALFKSTNRLRDSLLPKDEPKLAIPLLLLIAQHRSVVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCGAVTPPSAYAQLIPSLDDLVHLYHLDPEVAFLIYRPVMRLFKCEGSLEVFWPLDSNETVTTTSANLEPEAREYSGRVILDLGSLHKPVMWSDLLETLKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQQAALKALEELSDNSSSAITKRKKEKERIQESLDRLTSELHKHEENVSSVRRRLSREKDKWLTSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHIDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDETIYERECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRMSRLLIQCLESSEYMEIRNALILLTKISGVFPVTKRSGINLEKRVTRIKSDEREDLKVLATGVAAALAARKPSWVTDEEFGMGYLDIKPPSVASKSLSGNVAAAQNSSALNVSQGEPADGRALVTGSQHGDPGNSNRDPISRAKHADGRSDRTENISHLKSDLGHQKSKGGSSTNGSNAQSAVSSAAVPIGASRSAENQKGMDDSTNRTLEDSTVRVAAKNLAESELKVSTKRPVSKTPKQDVVKDDNKSGKGVGRTLSSSTSDKDIQVHLSEGRQGGASNVSSVLTSNGNALSVSEKVSTMVTRTSDSYGVESKPDSGGNKPMLKDEATEVADVQKPPSRLVHSPRHDNSVAASKSSDKLQKRASPAEEPDRLSKRQKGDVELRDLEGEVKFSERERSTDTRSADLDKVGNDEHNLYRSVDKPLDRSKDKGNDRYDRDHRERSERPDKSRGDDSLADRSRDKSMERYGRELSVERGQDRVADRSFDRLADKAKDDRSKLRYNDTSAEKSQVDDRFHGQNLPPPPPLPPHMVPQSVTSGRRDEDADRRFGTTRHVQRLSPRHDEKERRRSEENSLVSQDDAKRRKEDDVRERKREEREGLSIKVEEREREREREKTNLPKEEMDSSATAKRRKLKRDHLPTGEAGEYSPVAPPPPPLGIGISHSYDGRERGDRKGAMNQRASYLEEPLMRIHGKDVVGKMGRRDTDPMYDREWDEDKRQRAEQKRRHRK >Potri.017G131100.1.v4.1 pep chromosome:Pop_tri_v4:17:13409787:13413200:-1 gene:Potri.017G131100.v4.1 transcript:Potri.017G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131100.v4.1 MSLLSDLINLNLSDSTEKIIAEYIWVGGSGMDIRSKGRTLPGPVSDPSKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVICDTYTPAGEPIPTNKRCKAAKIFSHPDVVAEVPWYGLEQEYTLLQKDVKWPLGWPIGGYPGPQGPYYCGAGVDKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISSGDELWAARYILERITEVAGVVLSFDPKPIQGDWNGAGAHTNYSTKSMRNEGGYEVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFKWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Potri.003G183000.1.v4.1 pep chromosome:Pop_tri_v4:3:18804821:18809413:-1 gene:Potri.003G183000.v4.1 transcript:Potri.003G183000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183000.v4.1 MFDCNYKSQYIGGQKEKFVRLDDFDTLSVTSNKKGMKKLRFNLDGSNIAGHGKKNASKSLRYGVKRGSEGMLTIGRSLRTGVTRAVFPEDLKVSEKMIFDPQDKSLLLWNRLLIISCILSVSVDPLFFYLPVFNYRMACLGMDTNLAATITTMRTLLDVFYLIRMALQFRIAYVAPSSRVFGRGELVIDPAQIATRYLSRYFIVDFLSVLPLPQIVVWKYLNNKKKGSEVLATKQALLIIVFLQYIPRFARFLPLGSDLKKTAGSFAESAFAGAAYYLLWYMLASHIAGAFWYLLAIERKDTCWREACILSGKCNIDFLYCGNKALPGFHGWRRISDEVLGNKCSVSEDDNPRFNYGIYFQAMSSDIVSSRNFVSKFFYCLWWGLQNLSTLGQGLLTSTYPLEVIFSILLAIAGLILFALLIGNMQTYLQSLTVRLEEMRIKRRDSEQWMHHRLLPQDLRERVRRYDQYKWLETRGVDEETLVQSLPKDLKRDIKRHLCLNLVRRVPLFANMDETLLDAICERLKPSLYTEETYIVREGDPVDEMLFIIRGRLESVTTDGGRSGFFNRGVLKEGDFCGEELLTWALDPKSLGNLPSSTRTVRALTEVEAFALEAEELKFVASQFRRLHSRQLQHTFRFYSQQWRTWASCFIQAAWRRYSRRRAAELRRLEEEEEEVDYDEEDDDERALVEENDGSARLGATILASRFAANALRGHRLRSLNSRALMKLQRPPEPDFSEE >Potri.007G074400.1.v4.1 pep chromosome:Pop_tri_v4:7:9759934:9763490:1 gene:Potri.007G074400.v4.1 transcript:Potri.007G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074400.v4.1 MALQTDLPANSMQNFRPLADFPPTEWGFSFVSFSFPEMEFESYNRQVEELKNIVMGMLMASKKDPVENVEFINLLCRLGVSYHFETEIEDQVDYVHDSLPYLLENNDHDLHTVALLFRVLRQNGCKVSSDVFKKFKDTNGEFKKTITSDVKGNLSLHEAAHLSVNGEQILDEALEFSRTNLESLATQSGPRLARHIKYALIRPIHKTVQRLEAREYISFYEEEDFRNETLLKFAKLDFNRVQLLHQQELSTLSSWWKDLNLVEELPYARDRIVEMYFWVNAMHFEPQYALARILSTKLGALITVIDDTYDAYSTYEELQHFTKAVIRCNIDAIDQLPTDSMKALYRALLSYFDDVANEVSKNGKSFTAVKYVKEEMKEMIRTYIVEAQWCNDRFVPPLNEYVRNGKISIGFMATTTVFFVVETARIKELEWLTSKAKISEAGCLFLRLMNDIVTHEFEQKREHCASAIECYMKEYGVSMNEAVKELQKTCADAWKDINEDCLKPTAISMNLLKVCVNNARATDVVYESNDAYTNASCLKGRISLLFVEKISL >Potri.001G370300.2.v4.1 pep chromosome:Pop_tri_v4:1:38808626:38810786:-1 gene:Potri.001G370300.v4.1 transcript:Potri.001G370300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370300.v4.1 MGSRSKIDNPHTGDGASPGKIFIGGLAKDTTYATFNKHFGKYGEITDSVIMKDRYTGQPRGFGFITYADPSVVDKVIEDTHVINGKQVEIKRTIPKGSGQSKDFKTKKIFVGGIPSSVTEDEFQNFFSKHGKVVEHQIIRDHETNRSRGFGFIIFDSEEVVDEMLSNGNMIDMAGTQVEIKKAEPKKASNPPSAPSYGSNTRGRSFNSDNYGGFNGPYGGFDGGFGPGPYRTPGLSSRLSSAYGYGSGGGDFGGGYGNFGGTSLGGYRAEASLGYSGRYPPYGGGFGGGYGASGLGGYGRGGEGYGNYGASGYTSAYESGPGTSYGGAGGLYGRGGYTGSSRYHPYAR >Potri.001G370300.4.v4.1 pep chromosome:Pop_tri_v4:1:38809262:38810786:-1 gene:Potri.001G370300.v4.1 transcript:Potri.001G370300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370300.v4.1 MGSRSKIDNPHTGDGASPGKIFIGGLAKDTTYATFNKHFGKYGEITDSVIMKDRYTGQPRGFGFITYADPSVVDKVIEDTHVINGKQVEIKRTIPKGSGQSKDFKTKKIFVGGIPSSVTEDEFQNFFSKHGKVVEHQIIRDHETNRSRGFGFIIFDSEEVVDEMLSNGNMIDMAGTQVSLFIVFPRKKNVKRSLLCCNMHYCICFLFSCHTMVIFPHVCS >Potri.008G020200.1.v4.1 pep chromosome:Pop_tri_v4:8:1004168:1008453:1 gene:Potri.008G020200.v4.1 transcript:Potri.008G020200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020200.v4.1 MAQLKAISPCSSLNLSVHVPKSDLMLCNDNVSFSKKSALFQELGARNSELQGDAVVSNKGNGLRVHCNKGIQAVLSHDKATEVFEATKTKGLRGKLNKVVLAYSGGLDTSVIVPWLRENYGCEVVCFTADVGQGVGELEGLEQKAKASGACQLVVKDLQEEFVRDFIFPCLRAGAIYERKYLLGTSMARPVIAKAMVDVAKEVGADAVAHGCTGKGNDQVRFELTFFALNPELNVVAPWREWDITGREDAIEYAKKHNVPVPVTKKSIYSRDRNLWHLSHEGDILEDPANEPKEDMYMMSTSPEAAPDKPEYVEIGIVSGLPVSVNGVKLSPASLLSKLNEIGGKHGIGRIDMVENRLVGMKSRGVYETPGGTILFTAVRELESLTLDRETMQVKDSLALKYAELVYAGRWFDPLRESMDAFMEKITEKTNGSVRLKLYKGSVSVASRTSPNSLYREDISSFESGQIYDQADAAGFIRLYGLPMRVRAMLEKGI >Potri.003G066900.1.v4.1 pep chromosome:Pop_tri_v4:3:9424057:9426765:1 gene:Potri.003G066900.v4.1 transcript:Potri.003G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066900.v4.1 MKVFDSLYGSKNPKLKGVFNGFCTLIFLFLFYNRVDILRNPFVGKISSQRGVFGDGARGYSIIHREMIEVNANSSSLANVTGDQNDSSLKNQALCSGLINHDGYANECEYLKANPDCSSGGFFDYIKFLYCDCGDFRMLGYVVLGIWLAALFYLLGNTAADYFCCSLERLSNLLTLSPTVAGVALLPLGNGAPDVFASIAAFVGKDAGGVGLNSVLGGAVFVTCIVVGAVSLCVADKEVQIDRRCFIRDICFFLFTLMSLLIILMVGEVSVGAAIAFVLIYVVYAVSVAANEMLRKHAQRLKLDAVTPLIPVRGSIFSQTSGEEDTNIYSSLLDLETESDVPHLPSSLPSWMWASNVAIYSNHASKINLLDEEGPPWGWTDEGMEIRSSSFSCSRLLSMMELPLVVPRRLTIPLVEEETWSKPYAVASASLAPLLLAFLLSSQDDVGSESRIIMYFIGTVVGCVLGVLAYQHTNSDHPPQRFLLAWVLGGFFMSIVWFYMIANELVVLLVAFGLVLGINPSILGLTVLAWGNSMGDLVSNVALAVNGGDSAQIAMSGCYAGPMFNTLVGLGVSMLLGAWSQSTGIYVVPQDSSLFYTMGFLMLGLIWALVVLPKNDMRPSKILGMGLIALYVIFLSVRVAISMGYSVS >Potri.019G069300.1.v4.1 pep chromosome:Pop_tri_v4:19:10985670:10988359:-1 gene:Potri.019G069300.v4.1 transcript:Potri.019G069300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069300.v4.1 MRRGASFCLLFSLSLALLGFVHANPNYKDALAKSILFFQGQRSGRLPRSQQLAWRSDSGLSDGLFAHVDLTGGYYDAGDNVKFNFPMAFTTTMLSWSTLEYGKRMGPELPNARAAIRWATDYLLKCATATPGKLYVGVGDPNVDHKCWERPEDMDTARTVFSVSARSPGSDVAGETAAALAAASMVFRKVDRKYSALLLRTARKVFQFAMQYQGAYSDSLGSAVCPFYCSYSGYKDELLWGAAWLFRATNEMSYYNIFKSLGADDQPDLFSWDNKYAGVHVLLSRRALLNNDKNFEQFEGEAESFMCRILPNSPYKTTQYTQGGLMYKLPESNLQYVTSITFLLTTYAKYMKATRHTFNCGNLLVTPNSLLYVAKRQVDYILGENPIRMSYMVGFGPNFPKRIHHRGSSLPSLASHPQAIGCDSGFEPFFHSANPNPNILTGAIVGGPNQNDGYPDERSDYSHSEPATYINAAMVGPLAYFAATLN >Potri.019G023700.3.v4.1 pep chromosome:Pop_tri_v4:19:3509460:3512859:-1 gene:Potri.019G023700.v4.1 transcript:Potri.019G023700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023700.v4.1 MSSLLLQPLPTLTSSSSTLLFLQNQLHSSSLTSLKRPKKILLSKPLKIPSFALTESSDSPQSLDPSPQTLLQELSDCFDLPPDFFQQLPSDLRLDLNDAAFDLSNGPVLDECGRELGEILLNLSRAWELADTSTSRTLASKLPVLESSLTNNAKSAFGKRLVSAGRRFQSMGQYGQGELQKIAKTMITTGKLLSASSISTATDEEPKKETRVLKFGDLQIEITPVKANIGAVIALVFGVGFFHGN >Potri.019G023700.1.v4.1 pep chromosome:Pop_tri_v4:19:3509416:3512888:-1 gene:Potri.019G023700.v4.1 transcript:Potri.019G023700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023700.v4.1 MSSLLLQPLPTLTSSSSTLLFLQNQLHSSSLTSLKRPKKILLSKPLKIPSFALTESSDSPQSLDPSPQTLLQELSDCFDLPPDFFQQLPSDLRLDLNDAAFDLSNGPVLDECGRELGEILLNLSRAWELADTSTSRTLASKLPVLESSLTNNAKSAFGKRLVSAGRRFQSMGQYGQGELQKIAKTMITTGKLLSASSISTATDEEPKKETRVLKFGDLQIEITPVKANIGAVIALVFGILSWELAQGIQSSPESSLEYANGNALLLAKSLRGTLLALCYSSTLLSACTTVGLFFLARQVKSKEN >Potri.009G125700.3.v4.1 pep chromosome:Pop_tri_v4:9:10438949:10440223:-1 gene:Potri.009G125700.v4.1 transcript:Potri.009G125700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125700.v4.1 MVSSGVVFLSLNLVRLFLFLTYLERPGLLVECRDLGFGIDSLANGLGRFRKVLESFDLGRRIDELKEQKATIIVGESDIEGELWWYVSSIDKLMSRSLVSW >Potri.019G100300.1.v4.1 pep chromosome:Pop_tri_v4:19:13670929:13672112:-1 gene:Potri.019G100300.v4.1 transcript:Potri.019G100300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100300.v4.1 MMKPKRLLSLLLRLIAVGATLAAVIIMATSHEKGTFFAVSYEAKYTDTPAFKYFVIANAIVTVYGFLVLFHLPGSPLWRLVLALDLVFTMLLISSISAALAVAQVGKNGNSRAGWLPVCGQVTKYCNQVTGALVAGLIALITYIILLLHSIYTFLNPLLEKA >Potri.001G111800.1.v4.1 pep chromosome:Pop_tri_v4:1:9036731:9038793:1 gene:Potri.001G111800.v4.1 transcript:Potri.001G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111800.v4.1 MASFQEHGMDPDDEGGTSDLSTSAGDPEDNRNSSSISSNGCLKISTIDETEAFQVMPLKEEPFDSDPSSDPDQRTNPMGVVPLAMQMQTPMPISMPLPVTTTATTRRASTKDRHTKVEGRGRRIRIPATCAARIFQLTRELGHKSDGETVRWLLEHAEEAIIEATGTGTVPAIAVSVGGTLKIPTTTSNNSNSLTETPKKRKRPSNSEFCDISEAAPVSTSQTSGLAPVKPSAPLAAAATPQGMVPVFAVGNTGMMVPANAFWMIPQAAATVAPANQQIWALSPSLTPVFNVAAARPISSFVASTNSGNETGVSIAAAAASVVNIPSEVEFRSPSPVVASTSVGAKVAKKSTMAPSVSSNSGSGKGGKAQMLRDFSLEIYDKQELQLMGRPGNNQPR >Potri.013G086400.1.v4.1 pep chromosome:Pop_tri_v4:13:7976915:7977687:-1 gene:Potri.013G086400.v4.1 transcript:Potri.013G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086400.v4.1 MTGKAKPKKHTAKELAAKLDAATTNRGGGKAGVADRTGQEKGGHAKYECPHCKTTAPDLKSMQIHHDARHPKIPFEEDKLVNRHASSAADSSKSRPGVRGSLKK >Potri.010G008031.1.v4.1 pep chromosome:Pop_tri_v4:10:1637299:1637911:1 gene:Potri.010G008031.v4.1 transcript:Potri.010G008031.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G008031.v4.1 MARQADRLVKIGQEGFAAIDEHFGRAKRRPPVMKVPYTHPTYYYATEVIDSNEAAQRYKGRVYVDYPKGKPVPF >Potri.001G308050.1.v4.1 pep chromosome:Pop_tri_v4:1:31807882:31809619:1 gene:Potri.001G308050.v4.1 transcript:Potri.001G308050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G308050.v4.1 MGSSSLFSLIFCFLVLFNCCFAQIEQVTSQHGQQQQGQRRSQQNECQFDRINALEPARKFRSEAGVTEIWDENDQQFQCAGVVVIRHTINQRGLLLPAYSNTPKLIYIDQGRGFHGAVIPGCPETFQSSGQNSRDRRESSQDHHQKVRQVREGDVVALPSGVADWFYNNGDSPLVLVQLLDTSNAANQLDQDFRKFFLAGNPQQELQSQRSSYQSGQHEGESRRHKQERYRNIFGGFDERLLAEAFNVDTKLARRMKNENDNRGIIVRVQHELQVVSPQQSREEEEREREHQRRPGGRGNGLEETFCNARLKHNINNPERADVFNPHAGRLTTVNSLNLPILRYLQLSAQRGVLYPNALMSPNWNINAHSICYITRGSGRIQIVGDNGQAVFDGQVREGQVITAPQNFAAVKKAGSQGLEWVSFKTNDNAQISQLAGRISVIRAIPEDVLANAFQISREDARRLKNNREEVSVFSSSQQSQFGRD >Potri.010G229100.1.v4.1 pep chromosome:Pop_tri_v4:10:21194862:21198666:1 gene:Potri.010G229100.v4.1 transcript:Potri.010G229100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229100.v4.1 MEGVPVLDTENINVAGDKIKGEKLEKGSLVGIAQRKTLVDINNFPAQRKMLADISNLSQRNQYGKSQSVLVSKEHVEKLQRDIMALTKLVADRNKIIELSAIELQKLRVNYQQLQQQNLQLAQTNSQMLAELNAGKDKLKAYQHELGCKNGLLNAKKLELKEKTKKVRSQNMRNEVETIKGDNAAQFSQPEDNKPCNTKRKRQSKVQSLDSSAVKPGQTEDNVEKKSVCLRRQSAMFKSGEEPTEKNIVTKSVCLRRQSARLKSGEEPNEKDIDTKSVCLRRQSARFKSGEEPTEKDTDTKSRICTGRQSTRVKSEDQIQEPAENLFQTDDAKFHIPPLHDDPVHESCPTSSVPSVKIESETGNSVPRFETQELQRTSFRPTRRAVEKVQTYKEIPLNVKMRRSE >Potri.010G229100.3.v4.1 pep chromosome:Pop_tri_v4:10:21195010:21198537:1 gene:Potri.010G229100.v4.1 transcript:Potri.010G229100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229100.v4.1 MEGVPVLDTENINVAGDKIKGEKLEKGSLVGIAQRKTLVDINNFPAQRKMLADISNLSQRNQYGKSQSVLVSKEHVEKLQRDIMALTKLVADRNKIIELSAIELQKLRVNYQQLQQQNLQLAQTNSQMLAELNAGKDKLKAYQHELGCKNGLLNAKKLELKKVRSQNMRNEVETIKGDNAAQFSQPEDNKPCNTKRKRQSKVQSLDSSAVKPGQTEDNVEKKSVCLRRQSAMFKSGEEPTEKNIVTKSVCLRRQSARLKSGEEPNEKDIDTKSVCLRRQSARFKSGEEPTEKDTDTKSRICTGRQSTRVKSEDQIQEPAENLFQTDDAKFHIPPLHDDPVHESCPTSSVPSVKIESETGNSVPRFETQELQRTSFRPTRRAVEKVQTYKEIPLNVKMRRSE >Potri.010G229100.2.v4.1 pep chromosome:Pop_tri_v4:10:21195053:21198240:1 gene:Potri.010G229100.v4.1 transcript:Potri.010G229100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229100.v4.1 MEGVPVLDTENINVAGDKIKGEKLEKGSLVGIAQRKTLVDINNFPAQRKMLADISNLSQRNQYGKSQSVLVSKEHVEKLQRDIMALTKLVADRNKIIELSAIELQKLRVNYQQLQQQNLQLAQTNSQMLAELNAGKDKLKAYQHELGCKNGLLNAKKLELKEKTKKVRSQNMRNEVETIKGDNAAQFSQPEDNKPCNTKRKRQSKVQSLDSSAVKPGQTEDNVEKKSVCLRRQSAMFKSGEEPTEKNIVTKSVCLRRQSARLKSGEEPNEKDIDTKRQSARFKSGEEPTEKDTDTKRICTGRQSTRVKSEDQIQEPAENLFQTDDAKFHIPPLHDDPVHESCPTSSVPSVKIESETGNSVPRFETQELQRTSFRPTRRAVEKVQTYKEIPLNVKMRRSE >Potri.T125906.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:124949:127756:1 gene:Potri.T125906.v4.1 transcript:Potri.T125906.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125906.v4.1 MSSMESLILQLHEISAVKFGSFKLKSGITSPIYIDLRLIISYPSLLRHISQTLITSIPATTTFDLICGVPYTALPIATTVSVSNNIPMVMRRKEVKDYGTSKSIEGNFQKDQTCLIVEDLVTSGTSVLETALPLRAAGLKVTDAVVLIDREQGGRENLEENGIKLHAIIKLSEMVRVLREKGKLEEEVEKGVLKFLEENRKVSVVKGVDKSVRVKVSGFEERAKLAKNEMGKRLFEVMVKKESNLCVAADVGTAKELLELAEKVGPEICLLKTHVDILPDFNPDFGAKLRAIADKYNFLIFEDRKFADIGNTVTMQYEGGIFHILDWADIVNCHIISGPGIVDGLKLKGLPRGRGLLLLAEMSSAGNFAKGDYTAAAVKIAEEHSDFVIGFISVNPASWPGAPVNPAFIQATPGVQMVTGGDALGQQYNTPYSVIFDRGSDIIIVGRGIIKAADPAEAAREYRLQGWDAYLEKCT >Potri.003G194600.13.v4.1 pep chromosome:Pop_tri_v4:3:19714577:19722520:-1 gene:Potri.003G194600.v4.1 transcript:Potri.003G194600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194600.v4.1 MGSRTDAEDEKKAVGMPSFDPQLPISNAIVERSTVHPYRVTDFGVFLEDDAIDLSAGTVFNSAKESTQAIPSDRLHSGTFEKKQSTTTFNIKSSASQVESHRLPLEKVQQSNQVSIPIVDTENWGENRRLPLEKVQQSNRVSIPSVNTENWGEANMADASPRTDISTDADTDDKNQRYDRGQSTALVASDSSDRTRDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLSQLEQELQRARQQGIFISSSGDQTHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIITDGIMAHYDEVFKLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLMNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLSETLSSGSLGSSGPSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPKE >Potri.003G194600.14.v4.1 pep chromosome:Pop_tri_v4:3:19714496:19722426:-1 gene:Potri.003G194600.v4.1 transcript:Potri.003G194600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194600.v4.1 MGSRTDAEDEKKAVGMPSFDPQLPISNAIVERSTVHPYRVTDFGVFLEDDAIDLSAGTVFNSAKESTQAIPSDRLHSGTFEKKQSTTTFNIKSSASQVESHRLPLEKVQQSNQVSIPIVDTENWGENRRLPLEKVQQSNRVSIPSVNTENWGEANMADASPRTDISTDADTDDKNQRYDRGQSTALVASDSSDRTRDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLSQLEQELQRARQQGIFISSSGDQTHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIITDGIMAHYDEVFKLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLMNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLSETLSSGSLGSSGPSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPKE >Potri.003G194600.16.v4.1 pep chromosome:Pop_tri_v4:3:19713935:19722520:-1 gene:Potri.003G194600.v4.1 transcript:Potri.003G194600.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194600.v4.1 MGSRTDAEDEKKAVGMPSFDPQLPISNAIVERSTVHPYRVTDFGVFLEDDAIDLSAGTVFNSAKESTQAIPSDRLHSGTFEKKQSTTTFNIKSSASQVESHRLPLEKVQQSNQVSIPIVDTENWGENRRLPLEKVQQSNRVSIPSVNTENWGEANMADASPRTDISTDADTDDKNQRYDRGQSTALVASDSSDRTRDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLSQLEQELQRARQQGIFISSSGDQTHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIITDGIMAHYDEVFKLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLMNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLSETLSSGSLGSSGPSGNVANYMGQMAMAMGKLGTLEGFIRQVCF >Potri.003G194600.12.v4.1 pep chromosome:Pop_tri_v4:3:19714478:19722402:-1 gene:Potri.003G194600.v4.1 transcript:Potri.003G194600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194600.v4.1 MGSRTDAEDEKKAVGMPSFDPQLPISNAIVERSTVHPYRVTDFGVFLEDDAIDLSAGTVFNSAKESTQAIPSDRLHSGTFEKKQSTTTFNIKSSASQVESHRLPLEKVQQSNQVSIPIVDTENWGENRRLPLEKVQQSNRVSIPSVNTENWGEANMADASPRTDISTDADTDDKNQRYDRGQSTALVASDSSDRTRDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLSQLEQELQRARQQGIFISSSGDQTHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIITDGIMAHYDEVFKLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLMNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLSETLSSGSLGSSGPSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPKE >Potri.003G194600.15.v4.1 pep chromosome:Pop_tri_v4:3:19714498:19722380:-1 gene:Potri.003G194600.v4.1 transcript:Potri.003G194600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194600.v4.1 MGSRTDAEDEKKAVGMPSFDPQLPISNAIVERSTVHPYRVTDFGVFLEDDAIDLSAGTVFNSAKESTQAIPSDRLHSGTFEKKQSTTTFNIKSSASQVESHRLPLEKVQQSNQVSIPIVDTENWGENRRLPLEKVQQSNRVSIPSVNTENWGEANMADASPRTDISTDADTDDKNQRYDRGQSTALVASDSSDRTRDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLSQLEQELQRARQQGIFISSSGDQTHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIITDGIMAHYDEVFKLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLMNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLSETLSSGSLGSSGPSGNVANYMGQMAMAMGKLGTLEGFIRQADNLRQQTLQQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPKE >Potri.003G194600.8.v4.1 pep chromosome:Pop_tri_v4:3:19715060:19722421:-1 gene:Potri.003G194600.v4.1 transcript:Potri.003G194600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194600.v4.1 MGSRTDAEDEKKAVGMPSFDPQLPISNAIVERSTVHPYRVTDFGVFLEDDAIDLSAGTVFNSAKESTQAIPSDRLHSGTFEKKQSTTTFNIKSSASQVESHRLPLEKVQQSNQVSIPIVDTENWGENRRLPLEKVQQSNRVSIPSVNTENWGEANMADASPRTDISTDADTDDKNQRYDRGQSTALVASDSSDRTRDKMDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLSQLEQELQRARQQGIFISSSGDQTHSMSGNGAMAFDVEYARWLEEQNRQINELRSAVNSHAGDAELRIITDGIMAHYDEVFKLKSNAAKADVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLMNQLEPLTEQQLVGIGNLQQSSQQAEDALSQGMEALQQSLSETLSSGSLGSSGPSGNVANYMGQMAMAMGKLGTLEGFIRQVCF >Potri.010G123901.1.v4.1 pep chromosome:Pop_tri_v4:10:14167602:14168120:-1 gene:Potri.010G123901.v4.1 transcript:Potri.010G123901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123901.v4.1 MKGLNVLPNCLTFPLVLKSCVKINALKEGEELLCFVIKSGFRANTFVAATLIDMQASGEAIEAAYRVFGELIERNLIACTTMINGNIPCCDLVTARRLFDLAPERDIVLWNTMISGYIEAWDIGRAQELFNKMPNKDVMSWKTVLNGYASNGAGTACEDCLKSCQKGTFFVE >Potri.004G133001.2.v4.1 pep chromosome:Pop_tri_v4:4:15410964:15412621:-1 gene:Potri.004G133001.v4.1 transcript:Potri.004G133001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133001.v4.1 MWEYLKKVYYQDNNARRFQLENDISNYSQSNLPIQEYYSGFQNLWAEYTDIIYAQIPAESLSVIQKVHEQSKCDQFLMKLRSEFEITRSNLMNRAPLPSLDGCFGELLREEQRILTQSTLKQDNPAAVAFAAQGRGRGWNMGNVQCYSCKEYGHIANNCRKKFCNYCKQQGHIIKECPTRPQNQKIQTFPAVVSESSSVTVATSSLTPEMVQQMIITALSALGLQGSGVGESNREGA >Potri.004G133001.1.v4.1 pep chromosome:Pop_tri_v4:4:15410964:15412621:-1 gene:Potri.004G133001.v4.1 transcript:Potri.004G133001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133001.v4.1 MWEYLKKVYYQDNNARRFQLENDISNYSQSNLPIQEYYSGFQNLWAEYTDIIYAQIPAESLSVIQKVHEQSKCDQFLMKLRSEFEITRSNLMNRAPLPSLDGCFGELLREEQRILTQSTLKQDNPAAVAFAAQGRGRGWNMGNVQCYSCKEYGHIANNCRKKFCNYCKQQGHIIKECPTRPQNQKIQTFPAVVSESSSVTVATSSLTPEMVQQMIITALSALGLQGSGVGESNREGA >Potri.018G117927.1.v4.1 pep chromosome:Pop_tri_v4:18:13308226:13317493:1 gene:Potri.018G117927.v4.1 transcript:Potri.018G117927.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G117927.v4.1 MGLNRFSLPAVAVIMMINAMLLSQGCLEEERIALLQIKTSFGDHPNDIPSSLLSWGKDALCCSWEGVTCSNSTTRRVIEINLYFTRYWSLEDLYLNASIFLPFQELNVLDLSGNGIAGCVANEGFERLSRLAKLEVLSLGDNFLNNSILSSFKRFSSLKHLYLDNNGFQDSIDMKEFDSLRNLKELSLSQNGIQDFITLTGSEGPSRLNKLESLNLSSNYLNDSTLSFLKGLSSLKYLYLDYNQLYGSINTKEFDSLSNLKVLSLFRNKIQDFVTLTEIDSLSKLEVLSLSKNSIHSFSKSRENTGFSNLSILYLDYVFSEARVFILQSLSAFPNLKVLSMRYYDLFNIHGQGILPNLKFLERLDLTESSLVNYNFFQTVGKFTSLKSLILPNSGLSGPISAPHGLCELKHLHELDISHNDLNGSLPSCLLNLTNLQALDISFNNFTGNISLSPIGSLVSIQDLNISDNHFQIPISLGPFFNLSKLKHLNGDRNEIYESTELVHNLIPRFQLQRLSLACTGSGGTFPKSLYYQHDLQFVDLSHIKMTGEFPSWLLQNNTKLEGFYLVNNSLSGSFQLANHSLVRLSHLDISRNRIYNQIPTEIGACFPRLVFLNLSRNDFCGSIPSSISNMSLLEVLDLSNNGLSGNIPEQLVENCLSLRVLMLSNNFLKGQFFWKNFNLKYFTELILRGNQLTGILPNSLSNCSALQVLDVSLNNLSGTIPRWIVNMSSLQYLDLSENNFFGSLPSNFCSSWMMTEVYLSKNKLEGSLIGVLDSCLSLKRLDLSHNYFRGGIPESIGSLSQLSFLFLGYNNLEAEIPIQLCELNKLSLIDLSHNNLCGHIPPCLDPRSEWYRESYNASGPSITLSAFAPMPLEDPSVNKSVEITTKSISYSFKGIILTYISGIDLSCNNLTGEIPFELGYLSNIQMLNLSHNSLTGPIPPTFSNLAEIESLDLSYNNLNGEIPRQLIDLNFLSAFSVAHNNLSGKIPEMVAQFSTFKKSTYEENPLLCGPPLTNNCFGEISPSSLSWYQTDKKKENSFIDMEAFYVTFSVAYIMVLLAIGAVLYINPQWRQAWFYFIEESINNCYYFHVDNLPVPARFKRFQPCV >Potri.019G083400.1.v4.1 pep chromosome:Pop_tri_v4:19:12328793:12332285:1 gene:Potri.019G083400.v4.1 transcript:Potri.019G083400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083400.v4.1 MAASSSTALMALCSSLTTQCKISKSQNPPLSKTLCLSKPNFGSFSNTTKKLSSPLIFSKRPTFFARPKVSESEAPVVEAETEVPVSEANPEPAATQIVEVAKEEPTKREEIFAVVMVGSRQYIVIPGRWLYVQRLKGANVNDKIVLNKVLLVGTRTSAYIGKPVVTNASVHAVVEEQGLDAKKIVFKYKRKKNYRRNIGHRQPNTRIRITGITGYQDFPVSTLDS >Potri.011G048712.1.v4.1 pep chromosome:Pop_tri_v4:11:3898516:3899889:1 gene:Potri.011G048712.v4.1 transcript:Potri.011G048712.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G048712.v4.1 MESSLPSSQILDSDGSAERKNEATNCNEVMSTLPKVKGLRGDDYYLYQGFWYDAFFLEGLMSVQEHFNPQSTDIFVASFPKTGTTWLKALTFAIFTRSRLSGSTTSSLLTKMPHDCVPLLENDLAKNPSNRDLAIPLLSTHVPYSCLPKSIISSSCKIIYICRDAKDAFVSLWYFHATLQRSKNVEHLPLEEAFELFCNGIANFGPYWNHVLGYWRASLEFPEKILFLTYEEMKKDTAAHVKKVAEFMGCSFTLEEEEGGEVQKIKSMCSFEKLSNLEVNKNGKHRPDTSIAIQNSVYFRRGEIGDWANHLTPEMGARLDDIMEQKLKGSGLTLPR >Potri.012G054000.1.v4.1 pep chromosome:Pop_tri_v4:12:5146734:5147254:-1 gene:Potri.012G054000.v4.1 transcript:Potri.012G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054000.v4.1 MVRPLKEVLAVVGSGGGVHGSCLVLLWAVLLTLCLLSAIVFSCAEGVSKDKTSEADSTLYGGGCAAGCGAACGG >Potri.019G040700.2.v4.1 pep chromosome:Pop_tri_v4:19:5587484:5591379:1 gene:Potri.019G040700.v4.1 transcript:Potri.019G040700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040700.v4.1 MKGESKDPAFKLFGRKIPVPDTQFPAEPLAKGSCSDITKLETKGPSEENSEEPEMFSALGQGEEEIQAAMQVNETEVIAKPKEGQLETNGTDKERVFKKPDKILPCPRCNCLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLATQYRQILVSSDGMPIARMENSDSAGHQLSSSVESATTLSPSTANGTVLKFGPEAPLCDSMETVLNLGDPKRYVEMSSVNYQDNGEEPPSCGSSKASFIVCENELPENIMQEQVDMPASSNNLSAPHSLLCYSVPSWVFPWNPAWNNETSMAAAQYSSGQACITNVPTQVQLCPTPMLAVPSICPPNIPLQFVPASYWGCMPTWTAGTGNVPLCGSNGCLTPSSSTTTSYCSGNGSPKLGKHSRDSKFMDEEKTEKCILVPKTLRIDDPSEASKSPLRATFGLPPAQKDPTSKGTIFKNFETKAEGCGHVSDATNVLEANPAALSRSQTFQESG >Potri.019G040700.3.v4.1 pep chromosome:Pop_tri_v4:19:5585245:5591379:1 gene:Potri.019G040700.v4.1 transcript:Potri.019G040700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040700.v4.1 MKGESKDPAFKLFGRKIPVPDTQFPAEPLAKGSCSDITKLETKGPSEENSEEPEMFSALGQGEEEIQAAMQVNETEVIAKPKEGQLETNGTDKERVFKKPDKILPCPRCNCLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGTMRNVPIGAGRRKNKHLATQYRQILVSSDGMPIARMENSDSAGHQLSSSVESATTLSPSTANGTVLKFGPEAPLCDSMETVLNLGDPKRYVEMSSVNYQDNGEEPPSCGSSKASFIVCENELPENIMQEQVDMPASSNNLSAPHSLLCYSVPSWVFPWNPAWNNETSMAAAQYSSGQACITNVPTQVQLCPTPMLAVPSICPPNIPLQFVPASYWGCMPTWTAGTGNVPLCGSNGCLTPSSSTTTSYCSGNGSPKLGKHSRDSKFMDEEKTEKCILVPKTLRIDDPSEASKSPLRATFGLPPAQKDPTSKGTIFKNFETKAEGCGHVSDATNVLEANPAALSRSQTFQESG >Potri.005G094300.1.v4.1 pep chromosome:Pop_tri_v4:5:6613474:6621689:-1 gene:Potri.005G094300.v4.1 transcript:Potri.005G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094300.v4.1 MKRITSSRPLLSSPLLRLLRQPPISLAAARVSSKQPNFSPFTSLARSFSTMSGGIDSPQPDVDWPANRVRDTFIKFFEEKNHVDWKSSPVVPVNDPTLLFANAGMNQFKPIFLGTADPNTALSKLSRACNTQKCIRAGGKHNDLDDVGKDTYHHTFFEMLGNWSFGDYFKKEAIEWAWELLTKVYKLPADRIYATYFGGDEKAGLAPDNEARDIWLQFLPPGRVLPFGCKDNFWEMGDTGPCGPCTEIHYDRVGNRNAAMLVNNDDPTCLEIWNLVFIQFNRESDGSLKSLPAKHVDTGMGFERLTSVLQNKMSNYDTDVFMPIFDAIQQATGARPYSGKVGADDVDGVDMAYRVVADHIRTLSFAIADGSCPGNEGREYVLRRILRRAVRYGSEVLKAQEGFFNGLVNVVVKVMSDVFPELKRNEEHIRETIAAEEASFGKTLLKGIEKFKKAAQEVEGKVLSGQDAFVLWDTYGFPLDLTQLMAEERGLRVDVEGFNNAMDEARERSRNAQNKQAGGAIVMDADATSALHKKGVSATDDSFKFICFEDHESVIKAIYNGSEFLESAAAANEVGIVLESTSFYAEQGGQIFDTGVLEGPFGSFQVCNVQIFGGFIVHIGSLTGVSGRFSVGEKVTCKVDYDRRKLIAPNHTCTHMLNFALREVLGNHVDQKGSIVLPEKLRFDFSHGKPVDPELLRKIESIVNGQIKDELGVFAKEATLAEAKQINGLRAVFGEVYPDPVRVVSIGRKVEDLLANPENEEWLSISAELCGGTHITNTREAKAFALLSEEGIAKGIRRITAVTTDGAFKAMELAHSLEQEVDEASKADGSLLEKKVASLKTRVDSALIPAAKKADIRAKISVLQNKVRKAQKKIAEENMQKAVEVATEMAEVASSDGKAFCISHVDVGLDAAAVREAVLKVLERKGISAMVFSTDESTNKVVVCAGVPEKLDKGKGLEVSEWLTTALGPLKGRCGKGKAGLATGQGTDASHLDEAMGLATTFAQMKLR >Potri.004G229400.2.v4.1 pep chromosome:Pop_tri_v4:4:23285473:23294504:-1 gene:Potri.004G229400.v4.1 transcript:Potri.004G229400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229400.v4.1 MLSLLKHSKAIANTALKHLTTKTFTSPPTKPLILSQTSTKTLGLGFIRRLSDKTTADYDYIKADVNCPRCTSHMPVLFSNRPLSITGRETGIYQAVNFCPACKTAFYFRPFKLEPLQGNFIELGRVKGLYNNNNNNNCKDVEGIIEKECGKSGRNAEEVSGGGGGGGLERELPTPKEICKGLDEFVIGQDKAKKVLSVAVYNHYKRIYHASKQKKSHAPWSHSDGENDENEFIELDKSNVLLMGPTGSGKTLLAKTLARIVNVPFVIADATTLTQAGYVGEDVESILYKLLVVAEFNVEAAQRGIVYIDEVDKITMKAGSSSIGRDVSGEGVQQALLKMLEGTAVNVPVPDKGPGKHPRGDTIQVDTKDILFICGGAFVNLEKTISERRQDASIGFGAPVRANMRAGAITNAMVTSSLLESVESGDLVAYGLIPEFVGRFPVLASLLALNEDQLVQVLMEPKNALGKQYKKIFNMNNVNLHFTGNALRLIAKKAMAKNTGARGLRAILENILTEAMFETPENKSQSNCITAVLVDEEAVGLMDTPGCGAKIVHGDSALEHKLQERKEEVVGLPMEKCRVNQNLAQGP >Potri.018G145200.1.v4.1 pep chromosome:Pop_tri_v4:18:15025299:15026077:1 gene:Potri.018G145200.v4.1 transcript:Potri.018G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145200.v4.1 MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMSVRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGDVEISE >Potri.008G043200.1.v4.1 pep chromosome:Pop_tri_v4:8:2437135:2439228:-1 gene:Potri.008G043200.v4.1 transcript:Potri.008G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043200.v4.1 MDPSVSTGENPDSNENNPQESESESINSRSDALGKALSTMLATVIKDLDSKAQDTLNSQDKLNSAIDRLTRELDQLLEDAPLPFIMQHAAKISGVRKRVSSLNFVLKSIQKRVDNIDRLLFVGMLQER >Potri.012G063000.4.v4.1 pep chromosome:Pop_tri_v4:12:7070750:7070998:1 gene:Potri.012G063000.v4.1 transcript:Potri.012G063000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063000.v4.1 MCVFGFGLCGDKRPSCFCPAWLKRIMQAFAFLNIFTAFLQMQRREITSDSLKEALKNLAKSGLKAGYEDIELLSVLYPKVIV >Potri.012G063000.2.v4.1 pep chromosome:Pop_tri_v4:12:7070676:7074457:1 gene:Potri.012G063000.v4.1 transcript:Potri.012G063000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063000.v4.1 MCVFGFGLCGDKRPSCFCPAWLKRIMQAFAFLNIFTAFLQMQRREITSDSLKEALKNLAKSGLKAGYEDIELLSVLYPKYMAVILLWCFLLQLLPTLASIAWNLQIFQHWS >Potri.012G063000.3.v4.1 pep chromosome:Pop_tri_v4:12:7070676:7076813:1 gene:Potri.012G063000.v4.1 transcript:Potri.012G063000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063000.v4.1 MCVFGFGLCGDKRPSCFCPAWLKRIMQAFAFLNIFTAFLQMQRREITSDSLKEALKNLAKSGLKAGYEDIELLSVLYPKYMAVILLWCFLLQLLPTLASIAWNLQIFQHWS >Potri.006G021400.1.v4.1 pep chromosome:Pop_tri_v4:6:1445684:1447418:-1 gene:Potri.006G021400.v4.1 transcript:Potri.006G021400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021400.v4.1 MLQSLIPQSPINSTNPNNSSSSPMKSKRAAADLTVGESSGDDPSSKRVSYENPTTEIVSSTNLVEGGGGDDGGESSGLRLLGLLLQCAECVAMDNLNGATDLLPEIAELSTPFGSSPERVGAYFAHALQVRVVSSILGTYSPLVSKSVTRTQSQKLFNALQSYNSISPLVKFSHFTANQAIFQALDGEDRVHVIDLDIMQGLQWPGLFHILASRPRKIRSMRITGFGSSSELLESTGRRLADFASSLGLPFEFHPLEGKIGNVTGLSQLGVRPREAIVVHWMHHCLYDVTGSDLETLKLLALLRPKLITTVEQDLSHGGSFLGRFVEALHYYSALFDALGDGLGVDSVERHMVEQQLFGCEIRNIVAVGGPKRTGEVKVERWGDELRRSGFRPVSLQGNPAAQAGLLLGMFPWRGYTLVEENGCLKLGWKDLSLLTASAWQPSD >Potri.001G032200.3.v4.1 pep chromosome:Pop_tri_v4:1:2397232:2400219:1 gene:Potri.001G032200.v4.1 transcript:Potri.001G032200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032200.v4.1 MLSANTCTLTSKRLFKKYTYGSKRNLKLFTIRASSDDSDCNTEECAPEKEPAVYVAESAISSFLLLLVGKSPSDITTAEYNGPWPSLSYYINKFKPAEIIQAAVPSLTESIHAESSTPDFSKKQIQSAPEVSEIQVQSQYEPEPSTTSVSSVSLAN >Potri.001G032200.2.v4.1 pep chromosome:Pop_tri_v4:1:2397233:2400218:1 gene:Potri.001G032200.v4.1 transcript:Potri.001G032200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032200.v4.1 MLSANTCTLTSKRLFKKYTYGSKRNLKLFTIRASSDDSDCNTEECAPEKEVGMVSLEWLAGEKTKVVGTFPPRARGWTGYVEKDTAGQTDIYSVEPAVYVAESAISSFLLLLVGKSPSDITTAEYNGPWPSLSYYINKFKPAEIIQAAVPSLTESIHAESSTPDFSKKQIQSAPEVSEIQVQSQYEPEPSTTSVSSVSLAN >Potri.001G032200.5.v4.1 pep chromosome:Pop_tri_v4:1:2397233:2400218:1 gene:Potri.001G032200.v4.1 transcript:Potri.001G032200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032200.v4.1 MCPRKRGWDGEFGVVGWGENQSRRDIPTAGSWLDRPAVYVAESAISSFLLLLVGKSPSDITTAEYNGPWPSLSYYINKFKPAEIIQAAVPSLTESIHAESSTPDFSKKQIQSAPEVSEIQVQSQYEPEPSTTSVSSVSLAN >Potri.001G032200.4.v4.1 pep chromosome:Pop_tri_v4:1:2397232:2400218:1 gene:Potri.001G032200.v4.1 transcript:Potri.001G032200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032200.v4.1 MCPRKRVGWDGEFGVVGWGENQSRRDIPTAGSWLDRPAVYVAESAISSFLLLLVGKSPSDITTAEYNGPWPSLSYYINKFKPAEIIQAAVPSLTESIHAESSTPDFSKKQIQSAPEVSEIQVQSQYEPEPSTTSVSSVSLAN >Potri.002G165000.1.v4.1 pep chromosome:Pop_tri_v4:2:12624578:12625654:1 gene:Potri.002G165000.v4.1 transcript:Potri.002G165000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165000.v4.1 MSQLLGKAKHFVAEKVVNVKTPEATITDMNLESVHPDSIDYGAKVSVKNPYGTTIPICEISYSFKSGGRMIASGTVPDPGSLKGDDTTILDVPMKVPHSVLVSLVKDVVGDGDIDYELVLGLTIDLPIFGNFTIPLSRKGELKLPSLSDMF >Potri.004G094800.3.v4.1 pep chromosome:Pop_tri_v4:4:8107691:8111145:1 gene:Potri.004G094800.v4.1 transcript:Potri.004G094800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094800.v4.1 MVVVTVSLHLLQVVSIMMAGHLGELSLSGVSIGGSFAGVTGFSLLFGLAGGLETLCGQAYGAGQYQKFGTYTYCAIISLLPICVPVSILWIFMDRILIAIGQDPEISTVACRYATCLIPALFAYAVLQSLLRYYQSQGLILPMLFSTCATLCFHIPLCWALIFKWELGSTGAALAIDVSYWLNVVFLALYMGFSSSCKKTRVIYWNHIFSSIKEFFRFALPSAVMVCLEWWTFELLILLAGLLPDSQLETSVLSICLATTSLHFYALSGIAAAGSAQVSNHLGAGNDKAAQVVVRAVLSISLVEAVIVSTNIFCFRHVFGYAFSNEKVVVDYVTEVAPLLCLSVIVDSLQTVLSGIARGCGWQHIGASINLGAYYFAGIPVAILLCFIFHLRGKGLWIGVLTGSTVQATLLALITGSTNWKKQASKARERMLDGTASADNGFP >Potri.004G094800.4.v4.1 pep chromosome:Pop_tri_v4:4:8107691:8115076:1 gene:Potri.004G094800.v4.1 transcript:Potri.004G094800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094800.v4.1 MVVVTVSLHLLQVVSIMMAGHLGELSLSGVSIGGSFAGVTGFSLLFGLAGGLETLCGQAYGAGQYQKFGTYTYCAIISLLPICVPVSILWIFMDRILIAIGQDPEISTVACRYATCLIPALFAYAVLQSLLRYYQSQGLILPMLFSTCATLCFHIPLCWALIFKWELGSTGAALAIDVSYWLNVVFLALYMGFSSSCKKTRVIYWNHIFSSIKEFFRFALPSAVMVCLEWWTFELLILLAGLLPDSQLETSVLSICLATTSLHFYALSGIAAAGSAQVSNHLGAGNDKAAQVVVRAVLSISLVEAVIVSTNIFCFRHVFGYAFSNEKVVVDYVTEVAPLLCLSVIVDSLQTVLSGIARGCGWQHIGASINLGAYYFAGIPVAILLCFIFHLRGKGLWIGVLTGSTVQATLLALITGSTNWKKQASKARERMLDGTASADNGFP >Potri.001G102400.1.v4.1 pep chromosome:Pop_tri_v4:1:8273035:8274087:-1 gene:Potri.001G102400.v4.1 transcript:Potri.001G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102400.v4.1 MSHLTKFLTSSLLFSLLIISTNAATFEIRNNCPYTVWAAASPGGGRRLDRGQTWYLNVPAGTSMARIWGRTNCNFDGSGRGRCQTGDCTGGLECKGWGVPPNTLAEYALNQFGNLDFYDISLVDGFNIPMEFSPTSSGGSGKCQALLCTADINGQCPNELRAPGGCNNPCTVFKTNEYCCTNGQGSCGPTYFSRFFKDRCPTSYSYPQDDPSSTFTCPGGTNYRVIFCPRGSPHFPLEMVEEQRAE >Potri.009G047700.7.v4.1 pep chromosome:Pop_tri_v4:9:5333483:5341246:-1 gene:Potri.009G047700.v4.1 transcript:Potri.009G047700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047700.v4.1 MDYFYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPAMTKTLLEDVKKIFKTTSGIPFLIPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGQGANLDILASKLAEDTAHTIKAVCIVHNETATGVTNNLAKVRKILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKAIEASKTAKSVRVFFDWKDYLKFYNLGTFWPYTPSIQLLYGLRAALDLLFAEGLENVFERHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIASRV >Potri.009G047700.8.v4.1 pep chromosome:Pop_tri_v4:9:5335985:5340430:-1 gene:Potri.009G047700.v4.1 transcript:Potri.009G047700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047700.v4.1 MDYFYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPAMTKTLLEDVKKIFKTTSGIPFLIPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGQGANLDILASKLAEDTAHTIKAVCIVHNETATGVTNNLAKVRKILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKAIEASKTAKSVRVFFDWKDYLKFYNLGTFWPYTPSIQLLYGLRAALDLLFAEGLENVFERHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIASRV >Potri.009G047700.6.v4.1 pep chromosome:Pop_tri_v4:9:5336006:5340491:-1 gene:Potri.009G047700.v4.1 transcript:Potri.009G047700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047700.v4.1 MNIVCLWYSAGGKMDYFYGPGRNHLFVPGPVNIPEPVIRAMNRNNEDYRSPAVPAMTKTLLEDVKKIFKTTSGIPFLIPTTGTGAWESALTNTLSPGDRTVSFLIGQFSLLWIDQQQRLGFNVDVVESDWGQGANLDILASKLAEDTAHTIKAVCIVHNETATGVTNNLAKVRKILDDYSHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKAIEASKTAKSVRVFFDWKDYLKFYNLGTFWPYTPSIQLLYGLRAALDLLFAEGLENVFERHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVVVPPYIDSAEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNNTPLIASRV >Potri.007G038600.2.v4.1 pep chromosome:Pop_tri_v4:7:3098524:3100099:1 gene:Potri.007G038600.v4.1 transcript:Potri.007G038600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038600.v4.1 MQHRLSSVPIFVKKLHDLAVTTASSFKFKLRLLQATVRILITRFKVIREEQQQFPGAEAQRLCELLARGSHLISKCSRISQWNYFKMRRYEKRIDELDESLKHLLTLNFQLLQYENMRKLLTGVNEMSKKLVDHGDELPKPSHVVPLNDQENSWYSRTSSHRQPSKPRFRMSYQRSEKITKFGFSF >Potri.007G038600.1.v4.1 pep chromosome:Pop_tri_v4:7:3099159:3100099:1 gene:Potri.007G038600.v4.1 transcript:Potri.007G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038600.v4.1 MEPISQLVSGAALGVALQLLSDSIMRAVTTASSFKFKLRLLQATVRILITRFKVIREEQQQFPGAEAQRLCELLARGSHLISKCSRISQWNYFKMRRYEKRIDELDESLKHLLTLNFQLLQYENMRKLLTGVNEMSKKLVDHGDELPKPSHVVPLNDQENSWYSRTSSHRQPSKPRFRMSYQRSEKITKFGFSF >Potri.008G096300.4.v4.1 pep chromosome:Pop_tri_v4:8:5995387:5998856:1 gene:Potri.008G096300.v4.1 transcript:Potri.008G096300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096300.v4.1 MDLEHGSGSSKHSESHPEVSDGSGTSDHEDTYPKLRVRVGSSDNLQRDPEKIDGTESSKNSESNPEFINGSVSSKQRESKLEFTATEGDLYMPFVKSGPSSSSPSSASSLSSSSSSLYDLFDVIVKESADPGACTDFESPNEAHEITQPASEDHENDTSIENSHKSKESERGSSDHTLTFPVSDATHESLVHNMSPTQSPPLQVMERPGGYDPFRIPSSIFERNKGPAPMDWSVASNESLFSIHVGNNSFSRDHVLLLGDLGLSGDIKQSGELIMFSPPPPKAMVATDNQSSDPALETYKQKGGANGISDNTIKDPAEYQTKENKPNQAVSWKSPRTSNFSYESGDSVESFSFPIRKKKYAWPFCYFSSCSWALCYCKRPRCYFRWPSCSCSYCSWAFCYYWNCGRKGWCCHKYSALANGMRNGSLKAGIEQRQQHLESPSAPVSQESASYHWCHCFSCCSRGCSFNCCCSCRKIKCCC >Potri.008G096300.11.v4.1 pep chromosome:Pop_tri_v4:8:5994857:5998856:1 gene:Potri.008G096300.v4.1 transcript:Potri.008G096300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096300.v4.1 MDLEHGSGSSKHSESHPEVSDGSGTSDHEDTYPKLRVRVGSSDNLQRDPEKIDGTESSKNSESNPEFINGSVSSKQRESKLEFTATEGDLYMPFVKSGPSSSSPSSASSLSSSSSSLYDLFDVIVKESADPGACTDFESPNEAHEITQPASEDHENDTSIENSHKSKESERGSSDHTLTFPVSDATHESLVHNMSPTQSPPLQVMERPGGYDPFRIPSSIFERNKGPAPMDWSVASNESLFSIHVGNNSFSRDHVLLLGDLGLSGDIKQSGELIMFSPPPPKAMVATDNQSSDPALETYKQKGGANGISDNTIKDPAEYQTKENKPNQAVSWKSPRTSNFSYESGDSVESFSFPILANGMRNGSLKAGIEQRQQHLESPSAPVSQESASYHWCHCFSCCSRGCSFNCCCSCRKIKCCC >Potri.008G096300.3.v4.1 pep chromosome:Pop_tri_v4:8:5994704:5998856:1 gene:Potri.008G096300.v4.1 transcript:Potri.008G096300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096300.v4.1 MDLEHGSGSSKHSESHPEVSDGSGTSDHEDTYPKLRVRVGSSDNLQRDPEKIDGTESSKNSESNPEFINGSVSSKQRESKLEFTATEGDLYMPFVKSGPSSSSPSSASSLSSSSSSLYDLFDVIVKESADPGACTDFESPNEAHEITQPASEDHENDTSIENSHKSKESERGSSDHTLTFPVSDATHESLVHNMSPTQSPPLQVMERPGGYDPFRIPSSIFERNKGPAPMDWSVASNESLFSIHVGNNSFSRDHVLLLGDLGLSGDIKQSGELIMFSPPPPKAMVATDNQSSDPALETYKQKGGANGISDNTIKDPAEYQTKENKPNQAVSWKSPRTSNFSYESGDSVESFSFPIRKKKYAWPFCYFSSCSWALCYCKRPRCYFRWPSCSCSYCSWAFCYYWNCGRKGWCCHKYSALANGMRNGSLKAGIEQRQQHLESPSAPVSQESASYHWCHCFSCCSRGCSFNCCCSCRKIKCCC >Potri.008G096300.10.v4.1 pep chromosome:Pop_tri_v4:8:5994856:5998856:1 gene:Potri.008G096300.v4.1 transcript:Potri.008G096300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096300.v4.1 MDLEHGSGSSKHSESHPEVSDGSGTSDHEDTYPKLRVRVGSSDNLQRDPEKIDGTESSKNSESNPEFINGSVSSKQRESKLEFTATEGDLYMPFVKSGPSSSSPSSASSLSSSSSSLYDLFDVIVKESADPGACTDFESPNEAHEITQPASEDHENDTSIENSHKSKESERGSSDHTLTFPVSDATHESLVHNMSPTQSPPLQVMERPGGYDPFRIPSSIFERNKGPAPMDWSVASNESLFSIHVGNNSFSRDHVLLLGDLGLSGDIKQSGELIMFSPPPPKAMVATDNQSSDPALETYKQKGGANGISDNTIKDPAEYQTKENKPNQAVSWKSPRTSNFSYESGDSVESFSFPIRKKKYAWPFCYFSSCSWALCYCKRPRCYFRWPSCSCSYCSWAFCYYWNCGRKGWCCHKYSALANGMRNGSLKAGIEQRQQHLESPSAPVSQESASYHWCHCFSCCSRGCSFNCCCSCRKIKCCC >Potri.008G096300.7.v4.1 pep chromosome:Pop_tri_v4:8:5995387:5998856:1 gene:Potri.008G096300.v4.1 transcript:Potri.008G096300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096300.v4.1 MDLEHGSGSSKHSESHPEVSDGSGTSDHEDTYPKLRVRVGSSDNLQRDPEKIDGTESSKNSESNPEFINGSVSSKQRESKLEFTATEGDLYMPFVKSGPSSSSPSSASSLSSSSSSLYDLFDVIVKESADPGACTDFESPNEAHEITQPASEDHENDTSIENSHKSKESERGSSDHTLTFPVSDATHESLVHNMSPTQSPPLQVMERPGGYDPFRIPSSIFERNKGPAPMDWSVASNESLFSIHVGNNSFSRDHVLLLGDLGLSGDIKQSGELIMFSPPPPKAMVATDNQSSDPALETYKQKGGANGISDNTIKDPAEYQTKENKPNQAVSWKSPRTSNFSYESGDSVESFSFPILANGMRNGSLKAGIEQRQQHLESPSAPVSQESASYHWCHCFSCCSRGCSFNCCCSCRKIKCCC >Potri.008G096300.6.v4.1 pep chromosome:Pop_tri_v4:8:5994704:5998856:1 gene:Potri.008G096300.v4.1 transcript:Potri.008G096300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096300.v4.1 MDLEHGSGSSKHSESHPEVSDGSGTSDHEDTYPKLRVRVGSSDNLQRDPEKIDGTESSKNSESNPEFINGSVSSKQRESKLEFTATEGDLYMPFVKSGPSSSSPSSASSLSSSSSSLYDLFDVIVKESADPGACTDFESPNEAHEITQPASEDHENDTSIENSHKSKESERGSSDHTLTFPVSDATHESLVHNMSPTQSPPLQVMERPGGYDPFRIPSSIFERNKGPAPMDWSVASNESLFSIHVGNNSFSRDHVLLLGDLGLSGDIKQSGELIMFSPPPPKAMVATDNQSSDPALETYKQKGGANGISDNTIKDPAEYQTKENKPNQAVSWKSPRTSNFSYESGDSVESFSFPILANGMRNGSLKAGIEQRQQHLESPSAPVSQESASYHWCHCFSCCSRGCSFNCCCSCRKIKCCC >Potri.008G096300.8.v4.1 pep chromosome:Pop_tri_v4:8:5994704:5997626:1 gene:Potri.008G096300.v4.1 transcript:Potri.008G096300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096300.v4.1 MDLEHGSGSSKHSESHPEVSDGSGTSDHEDTYPKLRVRVGSSDNLQRDPEKIDGTESSKNSESNPEFINGSVSSKQRESKLEFTATEGDLYMPFVKSGPSSSSPSSASSLSSSSSSLYDLFDVIVKESADPGACTDFESPNEAHEITQPASEDHENDTSIENSHKSKESERGSSDHTLTFPVSDATHESLVHNMSPTQSPPLQVMERPGGYDPFRIPSSIFERNKGPAPMDWSVASNESLFSIHVGNNSFSRDHVLLLGDLGLSGDIKQSGELIMFSPPPPKAMVATDNQSSDPALETYKQKGGANGISDNTIKDPAEYQTKENKPNQAVSWKSPRTSNFSYESGDSVESFSFPMTPQA >Potri.008G096300.2.v4.1 pep chromosome:Pop_tri_v4:8:5995387:5998856:1 gene:Potri.008G096300.v4.1 transcript:Potri.008G096300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096300.v4.1 MIRKIMDLEHGSGSSKHSESHPEVSDGSGTSDHEDTYPKLRVRVGSSDNLQRDPEKIDGTESSKNSESNPEFINGSVSSKQRESKLEFTATEGDLYMPFVKSGPSSSSPSSASSLSSSSSSLYDLFDVIVKESADPGACTDFESPNEAHEITQPASEDHENDTSIENSHKSKESERGSSDHTLTFPVSDATHESLVHNMSPTQSPPLQVMERPGGYDPFRIPSSIFERNKGPAPMDWSVASNESLFSIHVGNNSFSRDHVLLLGDLGLSGDIKQSGELIMFSPPPPKAMVATDNQSSDPALETYKQKGGANGISDNTIKDPAEYQTKENKPNQAVSWKSPRTSNFSYESGDSVESFSFPIRKKKYAWPFCYFSSCSWALCYCKRPRCYFRWPSCSCSYCSWAFCYYWNCGRKGWCCHKYSALANGMRNGSLKAGIEQRQQHLESPSAPVSQESASYHWCHCFSCCSRGCSFNCCCSCRKIKCCC >Potri.017G122700.1.v4.1 pep chromosome:Pop_tri_v4:17:12767303:12770500:-1 gene:Potri.017G122700.v4.1 transcript:Potri.017G122700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122700.v4.1 MENSETKTLPKSWAMVGGDGPRSYAQNSSYQRGVLVIVDELMDEGIKETLDFKSPCSDSSNMCTFRIADFGCSAGPNTFLAMEKIMEAVEQKYHAQFQNSPPLEFQVFFNDVTANDFNTLFKTLPSYQKYFAAGVPGTFYGRLFPKSTLHLAYASYSLQWLSKVPEEVVDTRSPAWNKGSIQCSGTAKEVAKAYSAQFKTDMDNFLNARAQEIIGGGLMVIIICGLPDGILMSQTVAGICIELLGSCLIDMAKLGVISEEKVDTFNLPLYFSSAKELEEIIKNNEHFCIERLNRLNHPMMKMKVEFHISLYRAVLQGLLEAHFGRDDVDKIFEYFAKKLAENYDSVFNAANHQHVEHFVLLKRNIN >Potri.002G157100.1.v4.1 pep chromosome:Pop_tri_v4:2:11974944:11977105:-1 gene:Potri.002G157100.v4.1 transcript:Potri.002G157100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157100.v4.1 MSSSLVFIDSSTSTASHLLPFSRISTPFNGAVSLRRSVGPLNVRPFVLSPLRTLSVNSSNNPSPVINDKSGGEASAKVIDGKMVAKQIRDEITAEVLKMKEAIGVVPGLAVILVGDRKDSATYVRNKKKACESVGINSFEVRLPEDSTEQEVIKFISEFNNDPSVHGILVQLPLPSHMDEQNVLNAVDIEKDVDGFHPLNIGRLAMRGRDPLFVPCTPKGCIELLHRYDVEIKGKRAVVIGRSNIVGMPAALLLQREDATVSIVHSRTKNPEEIIKHADIIISAVGQPNMVRGSWLKPGAVVIDVGINPVEDANSPRGYKLVGDVCYEEACKVASAVTPVPGGVGPMTIAMLLSNTLSSAKRTHNFQ >Potri.010G028200.3.v4.1 pep chromosome:Pop_tri_v4:10:4032849:4036155:1 gene:Potri.010G028200.v4.1 transcript:Potri.010G028200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028200.v4.1 MVKDGLFLNADKDYPIKCSNLVHMKKSKRIVDDVSPPSQRVPLPAEAAKEIQPLMIYKHETKAVPSAFVDAKSFASEIDEEIPDFEVVASPSIVAQVEPMIEEPIVNQTSQDDHQVASAYIFPWGESWAHSSPEALPAKLGVVEKPNHDTLFRVPPKRKMPSSMEEMSLPIMSRTGLLERSPSDKYGYNWENSTSQIVAINESRDEEPFDINQASENDEVMESNEDEEIAQAKLKLIIRLWRRRSLKRRELREQRQMAANAALSSLSLGPPIRQARDQSITATVFDINHVMKERYEKHEQSWSRLNVSDEIADVLIRRNPDAKCLCWKIILCSQINNQGDRLGQRSQVMQGAADSWVFSKLMPSVKDNDDGDLLISSPGLAIWRKWLPSQSGNHVNCCLSVVKDFKFDNLNEKVDGASAVIFLVSESIPWNIQKIQLRKLLAYIPSGSKLPLLVLSGSNYEEDLDLSSIIVNELGLLDIDKSQISSFSIVFLIEDKQVEMWDGFFSDMRLREGLRWLANESPRQPDVHCVKTRDLVLTHLNPLLDVLENMRDNEVSPNHCISAFNEALDWSLGEIAAAAKSNPTNWPCPEIALLENCCDELMLMNWYLPSIGWSLAERIEPFLSATRDCKLPNFPDTIPWSNKGANTFNEIEDLRSQLENCFVTYLTELSGMMGVLLAAKEAYVMLQRSARLELHDSSYYIVPKWIMIFRRIFNWRLTSLSRGAFSSAFILRCHDVDTASRIPYELQLEGGGSSPYLIEPTLDEVIDAGCSLFMSGRYQGHAETFQPLPRTISNGDVCKDTNTSDLVDSQRISAQNGNLFGTENIDPVSNQLNTTGSTEVVFSRKVTKEADKLSKLLEQCNVVQNSIGEKLSVYF >Potri.010G028200.1.v4.1 pep chromosome:Pop_tri_v4:10:4026250:4036129:1 gene:Potri.010G028200.v4.1 transcript:Potri.010G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028200.v4.1 MSSGFGKKAGPTPSSLSESPFGPPQPPFPHFPPRGSEAVRSPPITYQDPFLATTPYQSTGIPRRPEAVERSRSPPSRPTTTPSYPSSEPSFNQFPPSRWVNEQGSLFNDASAVASFVASRNSGTIVTAKGARFQDLKRARSPPPHSIDEGIARNPTQNFIPSPSDFHAGSGNHSVPPRTRSPPLTFESNKTAKHASRPFGEGQQPTLPPSAWDDQPKLPGNYPDLLAHQDPSVLSYAGSHDSIHASKRTRSPPVSPATEVPHNNNLPVQKEYKRTSVSPPRLGSRSNAIFSTSNSQIPQRNFPSVNATVDAAPTKTTSFAMSKRTRSPPFSLSDKVSMENSYSTQDDAEREIQAKAKRLARFKAELSDDFENSRDAADQKISASGREQAVVGRQNFYCDHSIESAGDLSNSNISPEFDGSETPTIIVGLCPDMCPESERAERERKGDLDHYERLDGERNQTNKFLAVKKYNRMAERGANFIRPLPILQKTIDYLINLLDQPYNDNFLGMYNFLWDRMRAIRMDLRMQHIFSQESITMLEQMIRLHIIAMHELCKYKTGEGSIEGFDAHLNIEQMNKTSVDLFQMYDDHRKKGINVPTEKEFRGYYALLKLDKHPGYKVEPAELSLDLAKMTPEIRQTPEVLFARNVARACRTGNFIAFFRLARKASYLQACLMHAHFAKLRTQALASLHSGLQNNQGLPVGLIAKWLATEEVEKLLEYHGFAIREFEEPYMVKDGLFLNADKDYPIKCSNLVHMKKSKRIVDDVSPPSQRVPLPAEAAKEIQPLMIYKHETKAVPSAFVDAKSFASEIDEEIPDFEVVASPSIVAQVEPMIEEPIVNQTSQDDHQVASAYIFPWGESWAHSSPEALPAKLGVVEKPNHDTLFRVPPKRKMPSSMEEMSLPIMSRTGLLERSPSDKYGYNWENSTSQIVAINESRDEEPFDINQASENDEVMESNEDEEIAQAKLKLIIRLWRRRSLKRRELREQRQMAANAALSSLSLGPPIRQARDQSITATVFDINHVMKERYEKHEQSWSRLNVSDEIADVLIRRNPDAKCLCWKIILCSQINNQGDRLGQRSQVMQGAADSWVFSKLMPSVKDNDDGDLLISSPGLAIWRKWLPSQSGNHVNCCLSVVKDFKFDNLNEKVDGASAVIFLVSESIPWNIQKIQLRKLLAYIPSGSKLPLLVLSGSNYEEDLDLSSIIVNELGLLDIDKSQISSFSIVFLIEDKQVEMWDGFFSDMRLREGLRWLANESPRQPDVHCVKTRDLVLTHLNPLLDVLENMRDNEVSPNHCISAFNEALDWSLGEIAAAAKSNPTNWPCPEIALLENCCDELMLMNWYLPSIGWSLAERIEPFLSATRDCKLPNFPDTIPWSNKGANTFNEIEDLRSQLENCFVTYLTELSGMMGVLLAAKEAYVMLQRSARLELHDSSYYIVPKWIMIFRRIFNWRLTSLSRGAFSSAFILRCHDVDTASRIPYELQLEGGGSSPYLIEPTLDEVIDAGCSLFMSGRYQGHAETFQPLPRTISNGDVCKDTNTSDLVDSQRISAQNGNLFGTENIDPVSNQLNTTGSTEVVFSRKVTKEADKLSKLLEQCNVVQNSIGEKLSVYF >Potri.010G153800.4.v4.1 pep chromosome:Pop_tri_v4:10:16304865:16314018:-1 gene:Potri.010G153800.v4.1 transcript:Potri.010G153800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153800.v4.1 MAQVAYSDETESKKIDSAELGGSIRTSFRSHEPSFHSLSIGNANHRRNENEEDASQCLATIERLPSFERISTALSEEKDGTNGKGDAMGGKVVNVAKLRAQEGHVFNEKLIKHVENDNLRLLQKLRKRIDIAGIQLPTVEVKYRNVCVEADCEVVRGKPLPTLWSTAKSILSGFANLSRSKQRTKISIIKDVSGIIKPGRMTLLLGPPGCGKTTLLKALSGKPSNSLKVAGEISYNGHRLEEFVPQKTAAYVSQYDLHIPEMTVRETVDFSARCQGTGSQAEILMEISRKEKQAGILQDTDLDTYMKGISEEGAKITLQTDYVLEILGLDICADTMVGDTMRRGISGGQKKRLSTGEMVVGPMKALFMDEISNGLDSSTTFQIVSCMQHLAHITDATVLISLLQPAPEIFDLFDDIMLMAEGMVVYHGPRSSVCRFFEDSGFRCPERKEVADFLQEVISRKDQRQYWYCTEQPHSYVSVEQFVKKFKESQLGQMLDEEIMKPFDKSNSHKTALCFRKYSLSKWELFKVCSTREFVLMKRNSFIYVFKCTQLVITASITMTVFLRTRMAVDAIHASYYMSALFFALTILFSDGIPELHMTVSRLAVFYKQRELCFYPAWAYVVPTAILKVPLSLVEAFVWTTLTYYVVGYSPEFGRFFRQFLLLFLVHSTSISMFRFVASLFQTMVASVTAGGLALLITLLFGGFLIPKPSMPVWLGWGFWISPLAYGEIGLSLNEFLTPRWAKTVSGNTTIQQQTLESRGLNFHGYFYWISVGALIGLTVLFNVGFALALTFLKSPGNSRAIISYERYYQQQGKLDDGASFDINNDKKTLTCACPKSSPGDKKGRMALPFEPLTMTFKDVRYYVDTPLEMRKRGFPQKKLQLLSDITGAFRPGILTALMGVSGAGKTTLMDVLSGRKTGGTIEGEIRIGGYPKVQHSFARVSGYCEQTDIHSPQITVEESVIYSAWLRLPPEIDTKTKYEFVNQVLETIELDEIKDSLVGIPGISGLSIEQRKRLTVAVELVANPSIIFMDEPTSGLDARAAAIVMRVVKNIVETGRTIVCTIHQPSIDIFEAFDELILMKIGGRIIYSGPLGQRSSKVIEYFENIPGVPKIKNRYNPATWMLEVSSKTAEADLGVDFGEAYEGSTLYEENKELVKQLSSPTPGSKDLHFPTCFPQNGWEQLKACLWKQHLSYWRSPSYNLLRIVFMSFGALLFGLLFWQQGNKINNQQDLFSIAGSMYSIIIFFGINNCSPVLAFVARERTVFYRERFAGMYSSWAYSFAQVLVEVPYLLIEGILYVIITYPMIGYSLSAYKIFWSFYSMFCMLLFFNYLGMLLVSLTPNIQVASNLAAFAYTTLNFFSGFIVPKPYIPKWWVWLYYICPSSWTLNAMLTSQYGDVNKEISVFGETMTVADFVGDYFGFHHNFLGVVGVVLIIFPIITASLFAYFFGRLNFQRR >Potri.008G224111.1.v4.1 pep chromosome:Pop_tri_v4:8:18969276:18969647:1 gene:Potri.008G224111.v4.1 transcript:Potri.008G224111.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224111.v4.1 MLSLRPQVRRDYPLSLSISISGGKETYKDSPSNGERTGKCPA >Potri.008G224111.2.v4.1 pep chromosome:Pop_tri_v4:8:18969331:18969641:1 gene:Potri.008G224111.v4.1 transcript:Potri.008G224111.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224111.v4.1 MPSLRIWRLRRPNCSLEKRPQRRTRPKSPGKGRRRG >Potri.002G228600.1.v4.1 pep chromosome:Pop_tri_v4:2:21816381:21818131:1 gene:Potri.002G228600.v4.1 transcript:Potri.002G228600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228600.v4.1 MLISLSSSLSPSPSSSLPRFSNSKSPILESSSLKRKTTHFFKPLSSSLCYSSNLNFHSKTPLFTRCPLGKRLDFRSWAVSGFDLGNFESVLEAVGVLTAIIVVHEGGHFLAAYLQGIHVSKFAVGFGPILAKFNARNVEYSIRAFPLGGFVGFPDNDPESDIPVDDENLLKNRPILDRTIVISAGVIANIIFAYAIILAQVLSVGLPVQEAFPGVLVPEVQAFSAASRDGLLPGDVILAVNGTNLPKTGPNAVSEVVDVIKSSPNKNVLLKVERGEQNFEIGVTPDESFDGTGKIGVQLSNNVKITKAIAKNIFEAFNFAGEEFWGLSSNVVDSLKQTFSNFSQSASKVSGPVAIIAVGAEVARSNIDGLYQFAAVLNINLAVINLLPLPALDGGSLAFILIEAARGGRKLPLEIEQRIMSSGIVLVITLGFFLIVRDTLNLDFIKDML >Potri.006G250400.2.v4.1 pep chromosome:Pop_tri_v4:6:24965130:24967789:1 gene:Potri.006G250400.v4.1 transcript:Potri.006G250400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250400.v4.1 MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVESPALAPPEVTIDLAAQAQHEAELAAAVSQPLPDDDDDVFD >Potri.007G047500.1.v4.1 pep chromosome:Pop_tri_v4:7:4376220:4383390:1 gene:Potri.007G047500.v4.1 transcript:Potri.007G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047500.v4.1 MKFSLLQQSYNNRRSGSFRGSSAPLDSSPDNTIKSPATIFWLFLHGICCLISLVLGFRFSRLVFFFLFSTSTTTTLYVTTPFHPLSKTSDISNPLTNSANDLPVINKTVSSRVVVGRHGIRIRPWPHPNPSEVIKAHQIIERVQREQSNQFGVKSPRSLIVVTPTYVRTFQTLHMTGVMHSLMLLPYDVVWIVVEAGGVTNETALIIAKSGVKTLHIGFNQKMPNSWEGRHRLETKMRLRALRVVREEKMDGIVMFADDSNMHSMELFDEIQNVKWFGAVSVGILVHSGGADETLLTAAAAMVDKEAEENLPNPVVPVQGPACNASNKLVGWHTFNSLPYEGKSAVYIDDRATVLPRKLEWAGFMLNSRLLWKEAEDKPEWVKDMDLVDENIENPLALLKDPSMVEPLGSCGRQVLLWWLRVEARADSKFPPGWIIDPPLEITVPSKRTPWPDAPPELPSNEKISVNQEQTAKRSSKTRSPRSKRSSRSKRKHEAVLAETQVSARHSEQN >Potri.007G047500.3.v4.1 pep chromosome:Pop_tri_v4:7:4376278:4378159:1 gene:Potri.007G047500.v4.1 transcript:Potri.007G047500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047500.v4.1 MKFSLLQQSYNNRRSGSFRGSSAPLDSSPDNTIKSPATIFWLFLHGICCLISLVLGFRFSRLVFFFLFSTSTTTTLYVTTPFHPLSKTSDISNPLTNSANDLPVINKTVSSRVVVGRHGIRIRPWPHPNPSEVIKAHQIIERVQREQSNQFGVKSPRSLIVVTPTYVRTFQTLHMTGVMHSLMLLPYDVVWIVVEAGGVTNETALIIAKSGVKTLHIGFNQKMPNSWEGRHRLETKMRLRALRVVREEKMDGIVMFADDSNMHSMELFDEIQNVKWFGAVSVGILVHSGGADETLLTAAAAMVDKEAEENLPNPVVPVQGPACNASNKLVGWHTFNSLPYEGKSAVYIDDRATVLPRKLEWAGFMLNSRLLWKEAEDKPEWVKDMDLVDENIENPLALLKDPSMVEPLGSCGRQVLLWWLRVEARADSKFPPG >Potri.011G044800.1.v4.1 pep chromosome:Pop_tri_v4:11:3499950:3500132:-1 gene:Potri.011G044800.v4.1 transcript:Potri.011G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044800.v4.1 MAASGRSVMINGGSYSQQRFYGRMIPKRGQVKVAIVLGLAHTFAAIFSPRKTCGAFRLAH >Potri.018G027200.1.v4.1 pep chromosome:Pop_tri_v4:18:2022442:2025825:-1 gene:Potri.018G027200.v4.1 transcript:Potri.018G027200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027200.v4.1 MKWSWIQAAGTTKKVHVKVRPLKLEGLLCKEKVDGNGRERMVFVVKMKWKGPKSSGLVPFYRVSKCQRNYSSQKFLKEGESDIEWNGEEFESVWIPSKDNSFVQWDVSFSVLYGEDEKSMAKMAVLGNVTLNIAELASRMNSQIEKKLPISLHIDGVDCQASLLIGVSFAEVRNSCDQAGTVQNSGDSDTKEGLFKGSTASKNEMDRVNRVNSSDSDESTMFDSDDSAGTLTSTSDGSTQEMGSGIEFGSSLETQSDRATKVGLFSWKKRRLSFSLSRRKVEPFVEKTDAKVDKSDSTQKDKWEVKELVSRDGQAKLEANVFLASFDQRSEKAAGESACTAIVAVIADWLHSNREFMPTLSQFDNLITEGSQEWRKLCDNEAYMNSFPDNHFDLETVLKADLRPLTISHEKSFTGIFSPQKFENLKGATSFDDIWQEITSNTNDYEQRIYIVSWNDHFFVLKVDAEAYYIIDSLGERLSEGCGQAYILKFDDSSLMYEKVAKEDVVTEEMAGEERSKDKEDIICKGKECCKEFIKRFLAAIPVGELEEEEKRGAVSTFSLLKRLQIDFHYCSSLYASNSSSSPTSSTFF >Potri.006G081700.1.v4.1 pep chromosome:Pop_tri_v4:6:6060495:6065025:-1 gene:Potri.006G081700.v4.1 transcript:Potri.006G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081700.v4.1 MGKGLPFFFRKNSRRRLKKAGGLQSPPSAPPPPPLRSPELIDANHNNNSLVVGASTGPGKGKKKAGGAARLWMRFDRLGNSELVECDKSAIIKRVSIPARDLRILGPVFSHSSNILAREKAMVVNLEFIRAIVTAEEVLILDPLCQEVLPFVDQLRQQLPHKTAVNIQQVSQNADTHASTGGQWLPVPEAAEGLQCELPFEFQVLEIALEVVCTYLDSNVADLERDAYPVLDELAMNVSTKNLERVRSLKSNLTRLLARVQKVRDEIEHLLDDNEDMADLYLTRKWIQNQQSEALVGSAASNSITLATPHLPRLGSNRSASMVTGSVLDDDDDVEDLEMLLEAYFMQLDGTRNKILSVREYIDDTEDYVNIQLDNQRNELIQLQLILTIASFAIAVDTLIAGMFGMNIPCQLYQIHGIFGYFVGSSSTGCLFLFLLVLGYARWKKLLGS >Potri.004G206650.1.v4.1 pep chromosome:Pop_tri_v4:4:21418998:21420747:1 gene:Potri.004G206650.v4.1 transcript:Potri.004G206650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206650.v4.1 MVVEGGEDSVDKEKKKNRRRSNRRSKRNPPNPANGKAGGSRQGKSKLNMDGKYADFGNSLVPHKGFDYEYSSCAGEDVHDELNGLVSYIFFFGLTRVNPSDP >Potri.015G001500.1.v4.1 pep chromosome:Pop_tri_v4:15:69564:71209:-1 gene:Potri.015G001500.v4.1 transcript:Potri.015G001500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001500.v4.1 MGRAILDYTLVPLGLVTMVAYHIWLLYRIMKHPTKTVIGINAINRRFWVRAMMEDVSKNGVLAVQTLRNNIMASTVLASTAIMLSSLIAVLMTSGSGDKSARNFVFGDRSELGLSIKFFSILVCFLVAFLLNVQSIRYYSHASILINVPFKKMCPNHRHQHLSTEYVARSVNRGSYFWSLGLRAFYFSFPLLLWIFGPIPMLLSCFFLVSMLYFLDVTLEIGWAVGGEDDDGGHKDVGSQSQV >Potri.002G166400.1.v4.1 pep chromosome:Pop_tri_v4:2:12721662:12723098:1 gene:Potri.002G166400.v4.1 transcript:Potri.002G166400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166400.v4.1 MEMKVLEINLISAQGLKPPSANLRRMQTYAIVWIDPSTKLRTRTDRVGSENPTWNDKFLFKVTPEYLSSETSGVSIEIYAIGCIRDALIGTVRFLVGNLRLSTPSAAITMPSCIALQIRRPSGRFHGVINIGANVIDGSDFWALHGASAIGFRDLMGESIRRRRKERQRDTKSSVGEDVNHSCGESGDLSDGTDSTTSSSSTASTVLKDWNRVGDFAGTNLVRSSSDGGGLLCGLLMQRRLLPCLSEQNLQSFGEPQKEN >Potri.010G075200.1.v4.1 pep chromosome:Pop_tri_v4:10:10319912:10320425:-1 gene:Potri.010G075200.v4.1 transcript:Potri.010G075200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075200.v4.1 MSSDTTCQGKSSWPELLGAEGKVAAATIERENPLVEAIIVPEGSMVILDFRCDRVWVWVDKRGIVFQVPGIG >Potri.009G054900.1.v4.1 pep chromosome:Pop_tri_v4:9:5886109:5887869:-1 gene:Potri.009G054900.v4.1 transcript:Potri.009G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054900.v4.1 MEGEIRNFLKVWLSILFCLCCCYAIGKAIPKGKTRLLFLIPFVCLFFYLPLNLSTIFLGGNTAFYIAWLGNFKLLLFACGKGPLSSQYSSRSLLTFVSVACLPIKIQENPPPKSQNQENQPPVIPTDQTHKSSLNYAIKGVLWAFLVVIHDYNEYIHPKIILCLLCLHLYIFLDVVLAVVAVLARTILGLELEPQFNEPHLSTSLQDFWGKRWNLMVSSILRQTVYEPTRNISTRVVGRRWSPIPAVLATFLSSAIMHEVMFYYLLRAKPTWEVTWFFLLHGFCVTVEIVIKKALKGRWQLPRLISGLFTIGFVMVTSCWLFFPKPLQRRADVRAFEEYAALRAFVRDCSHAVFGRPSITRSH >Potri.019G122500.2.v4.1 pep chromosome:Pop_tri_v4:19:14757054:14760810:-1 gene:Potri.019G122500.v4.1 transcript:Potri.019G122500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G122500.v4.1 MTTSLLNKPFFSFFLHILFLLLHIFNFSSYFTLAEHTPSTTSLFGNNTEAEALLEWKASLDNQSQSLLSSWVGISPCINWIGITCDNSGSVTNLSLADFGLRGTLYDFNFSSFLNLFVLDLQNNSLSGTIPHEIGKLTSLFAISLAENNLTGLIPFSVGNLTNLSILYFWGNKLSGSIPQEIGLLESLNQLDLSSNVLTGRIPYSIGNLRNLSYLHLLDNQLSGPIPSSIGNMTMLIDVSLYQNNLTGLIPSSIGNLRNLFILYLWGNKLSGPIPQEIGLLESLNELSLSSNLLTGKIPYSIGNLRNLYSLELSLNQLSGPVPSSIGNMTTLIVLALDQNNLTGFIPSSIGNLRNLSKLYLCCNKLSGSIPHEIGLLEFLSELTLQSNVLTGGIPNSIGNMTMLTELLLSQNNLSGRVPSEIGQLRSLVDLRLLENKLHGPLPLEMNNLTHLNTLSLAINEFTGHLPQELFHGGVLENFSVAYNYFSGPIPKSLKNCTSLHRVRLESNQLTGNVSEVFGVYPHLDYIDLSYNNFYGELSSKWGDCRSMTSLKISNNNVSGEIPPELGKATQLRLIDLSSNQLKGTIPKDLGGLKLLYKLILNNNHLSGAIPLDIKMLSNLQTLNLASNNLTGLIPKQLGECSNLLLLNLSGNKFSESIPGEIGFLLSLQDLDLSCNFLTREIPRQLGQLQKLETLNVSHNMLSGRIPSTFRDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPKSSTTVKRKSNKLVILIVLPLLGSLLLVFVVIGALFILCKRARKRKPEPENEQDRNIFTILGHDGKKLYENIVEATEEFNSNYCVGEGGYGTVYKAVMPTEEVVAVKKLHRSQTEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHAKHSFLVYEFIERGSLRKIITSEEQAIEFDWMKRLNFVKGVVGALSYLHHSCCPPIIHRDITSNNILLDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHMMKIALACLHPNPQSRPTMEKIYLDLTAKWPPLPEAFCTISLGDLFS >Potri.011G064100.1.v4.1 pep chromosome:Pop_tri_v4:11:5452873:5453730:1 gene:Potri.011G064100.v4.1 transcript:Potri.011G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064100.v4.1 MGGNDYNHAFFGGVSTESIQDLVPYVVNIIGQAIKELIELGAITILVPGNLPIGCLPSYLTLFESLDKKDYDHSTGCLEWLNRFSEDHNEQLLAEPKQIQKLYPHAKIIYADYYNAVMPLYHSPNQFGFTGGVLRACCGWGGTYNYNSSAECGNPLASVCDDPSLYMNWDGIHYTEATYKLIFESVIEGSYSFPSSKALCNLDGKYFNHK >Potri.003G137800.1.v4.1 pep chromosome:Pop_tri_v4:3:15490505:15494242:1 gene:Potri.003G137800.v4.1 transcript:Potri.003G137800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137800.v4.1 MKLIWRLKSLNKYNNWIFKLAIATLLLGFAFRLLFYQSSSFEPNIETAFADSTELSKEPVSSVDISKPPPVTVDIPKPPLAADIPKPTSSANTSKDSLSADLQEPDDETPQKELNAGKCDLFTGDWIPNPSGPMYTNSSCSLIEGHQNCMRNGRTDSGYLFWRWNPRDCQLPPFNAQRFLEVMRNKRWALIGDSISRNHVQSLLCILSTVEQAVEVYHDEEYKSKRWHFPSYNFTISNIWSPFLVKAAIFEDNDGVSTSEVQLQLDKLDTNWTNLYQGLDYMIISTGKWFLKAAIYHENDTVVGCHICPGKNFTEKGFVFAYEKALRYAMNFIATSKHKGLIFFRTSTPDHFENGEWHNGGNCTKTTPAKEGEIELKDLNKILRTVELAEFEKASAKAAENGVNLKLLDFTNLLLSRPDGHPGPYRQFHPFAQDKNAKVQNDCLHWCLPGPIDYWNDVIMEMAING >Potri.003G137800.2.v4.1 pep chromosome:Pop_tri_v4:3:15490451:15494110:1 gene:Potri.003G137800.v4.1 transcript:Potri.003G137800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137800.v4.1 MKLIWRLKSLNKYNNWIFKLAIATLLLGFAFRLLFYQSSSFEPNIETAFADSTELSKEPVSSVDISKPPPVTVDIPKPPLAADIPKPTSSANTSKDSLSADLQEPDDETPQKGKCDLFTGDWIPNPSGPMYTNSSCSLIEGHQNCMRNGRTDSGYLFWRWNPRDCQLPPFNAQRFLEVMRNKRWALIGDSISRNHVQSLLCILSTVEQAVEVYHDEEYKSKRWHFPSYNFTISNIWSPFLVKAAIFEDNDGVSTSEVQLQLDKLDTNWTNLYQGLDYMIISTGKWFLKAAIYHENDTVVGCHICPGKNFTEKGFVFAYEKALRYAMNFIATSKHKGLIFFRTSTPDHFENGEWHNGGNCTKTTPAKEGEIELKDLNKILRTVELAEFEKASAKAAENGVNLKLLDFTNLLLSRPDGHPGPYRQFHPFAQDKNAKVQNDCLHWCLPGPIDYWNDVIMEMAING >Potri.013G102600.1.v4.1 pep chromosome:Pop_tri_v4:13:11106990:11118174:-1 gene:Potri.013G102600.v4.1 transcript:Potri.013G102600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102600.v4.1 MARGKVQMKRIENSVHRQVTFCKRRSGLLKKAKELSVLCDAEIGVFIFSAHGKLYELATKGTMQGLIERYMKSSRGTQPEPAAIETQPDMDVKEEINMLKQEIEILQKGLRYMFGGRAAEMTLDELIELEKHLEIWIYQIRSTKMDIMFKEIQQLRNKEGILKAANQYLQDKVEENIVITNSAPITTNIPYPLTIQNEIFQY >Potri.014G030600.2.v4.1 pep chromosome:Pop_tri_v4:14:1923860:1924171:-1 gene:Potri.014G030600.v4.1 transcript:Potri.014G030600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030600.v4.1 MLLAIAHLPSKNSRLCCKNLMLMEMARQANVFSPTLPVRSAANSLQTSSRWVRPADSYGWDLVDENDIDALVDFARKHQGYKINDRLLLNYTNRPTVTFLISH >Potri.008G131400.1.v4.1 pep chromosome:Pop_tri_v4:8:8647166:8651569:-1 gene:Potri.008G131400.v4.1 transcript:Potri.008G131400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131400.v4.1 MASTSTSSISLRNNAPGTRFPSSTTSKLLHSNLKFPLLMPATKATGIKSSSATRYNEAVIDEEMDKIRRLQNGSDVRGVALQGEKGRTVDLSPPAVEAIAESFGEWVINGLEKEKGRVVENVRVSLGRDPRLSGASLSVAVFSGLVRAGCLAFDMGLATTPACFMSTLLTPFAYDASIMMTASHLPYTRNGLKFFTRRGGLTSPEVEEICGKAARKYANRLAKVSTMLKTPPERVDFMSTYAKHLRNIIKERVNHPFHYDTPLKEFQIIVNAGNGSGGFFTWDVLDKLGAETFGSLHLNPDGMFPNHIPNPEDKIAMALTRAAVLENSADLGIVFDTDVDRSGVVDKEGNPINGDRLIALMSAIVLREHPGTTIVTDARTSMALTRFIIDRGGQHCLYRVGYRNVIDKGVQLNKNGIETHLMMETSGHGALKENYFLDDGAYMVVKIIIEMVRMKLAGSDEGIGSLIKDLEEPLESVELRMNIISEPRHAKARGIDAIETFRSYVEEGRIEGWELDSCGDCWVADGCLVDSNDDPAAVDAHMYRAKVSDEKLGQHGWIHLRQSIHNPNIAVNMQSMAPGGCLSMTKTLRDKFLVASGMDKILDVTQIDKYARTGLVK >Potri.007G062001.1.v4.1 pep chromosome:Pop_tri_v4:7:7024921:7025277:1 gene:Potri.007G062001.v4.1 transcript:Potri.007G062001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:nad3 MLEFAPICIYLVISLLVSLILLGLPFLFASPSSTYPEKLSAYECGFDPFGDARSRFDIRFYLVSILFIIFDLEVTFFFPWAVSLNKIDLFGFWSMMAFLLILTIGFLYEWKRGALDWE >Potri.018G074100.2.v4.1 pep chromosome:Pop_tri_v4:18:8814882:8823660:1 gene:Potri.018G074100.v4.1 transcript:Potri.018G074100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074100.v4.1 MTIDDDRSIYIGGLPYNASEDTLRRVFNLYGSIVAVKIINNHGTSGKCYGFVTFRNPRSVIDAINDMNGKTIDGRVVRVNGVTSRSGKSNFTGEDIRSHVERGRERGRERDYDHDRHRQWHNDRSRERDRSWGYDEDSERGYEHARLHDRARDGFYGRDRSRERELENNEQEKEWKSDRNKERGHDLDGDRDWEMGGTNGNPTIVDKASDQNSRKLNGSIYNDQHKREISSYSSDDYHDEEKFEKSTKTHDELKNKVSHMEERLENKQQFVSDLQKKALILEGALLTAKKLSLQRRMQLTKLQKCFLQVKEYTNRLKSCEQELKSVVDSAMIESEMGDDTATRDGIITIV >Potri.018G074100.6.v4.1 pep chromosome:Pop_tri_v4:18:8814757:8823699:1 gene:Potri.018G074100.v4.1 transcript:Potri.018G074100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074100.v4.1 MTIDDDRSIYIGGLPYNASEDTLRRVFNLYGSIVAVKIINNHGTSGKCYGFVTFRNPRSVIDAINDMNGKTIDGRVVRVNGVTSRSGKSNFTGEDIRSHVERGRERGRERDYDHDRHRQWHNDRSRERDRSWGYDEDSERGYEHARLHDRARDGFYGRDRSRERELENNEQEKEWKSDRNKERGHDLDGDRDWEMGGTNGNPTIVDKASDQNSRKLNGSIYNDQHKREISSYSSDDYHDEVKEKFEKSTKTHDELKNKVSHMEERLENKQQFVSDLQKKALILEGALLTAKKLSLQRRMQLTKLQKCFLQVKEYTNRLKSCEQELKSVVDSAMIESEMGDDTATRDGIITIV >Potri.015G002300.2.v4.1 pep chromosome:Pop_tri_v4:15:112094:117679:1 gene:Potri.015G002300.v4.1 transcript:Potri.015G002300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002300.v4.1 MGVVVVSSGEELEVKTGSETEEEKQSKEETESETGEVKRKRKKKEGEGSDNGLVRWERFLPRMVLRVLLVEADDSTRQIIAALLRKCSYKVATVSDGLKAWEILKERPHNIDLILTEVDLPSVSGYALLTLIMEHEICKNIPVIMMSSQDSIKTVYKCMLRGAADYLVKPIRKNELRNLWQHVWRKQSSLGGGNGPHDESVGQDKTEATSENNADGNHSSGEMASIQRSKEQAVKRSDSQSSCTKPGLEAEGAHMENMQEFLQPVWSKFSLTDTNMQKHEEHVNLGQKLLVRDSEAEGSATAVCEDSNKITVDKEITPGSGRVTANIAIEGCDKIGALANSPREAIDFMGASTNHSSFNNVEIHFCSSPHLDLSLRRSHPSGFETQVTEERHTLRHSNASAFTWYTNRASQLPHSALANTGNQEEFRANYDGKISSNVNGYNSDALSLAPSTRRSAISLAAGQTKEYEIVTSSSGEKVFPIHIPVKDTRFNNLCNSYGAVLPPMMSQSSASQKEPIHKVNPFQCSNYGSTSVQLCDRLGQNANDSINGSLQKQENKLDSLEGREHISSATDQSASSSFCNGAASHFNSIGYGSASGSYSNADQIATVSAASESKNEEGVFTHNSNSHRSIQREAALTKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVHIDPSPAETDQ >Potri.015G002300.3.v4.1 pep chromosome:Pop_tri_v4:15:112021:117500:1 gene:Potri.015G002300.v4.1 transcript:Potri.015G002300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002300.v4.1 MGVVVVSSGEELEVKTGSETEEEKQSKEETESETGEVKRKRKKKEGEGSDNGLVRWERFLPRMVLRVLLVEADDSTRQIIAALLRKCSYKVATVSDGLKAWEILKERPHNIDLILTEVDLPSVSGYALLTLIMEHEICKNIPVIMMSSQDSIKTVYKCMLRGAADYLVKPIRKNELRNLWQHVWRKQSSLGGGNGPHDESVGQDKTEATSENNADGNHSSGEMASIQRSKEQAVKRSDSQSSCTKPGLEAEGAHMENMQEFLQPVWSKFSLTDTNMQKHEEHVNLGQKLLVRDSEAEATAVCEDSNKITVDKEITPGSGRVTANIAIEGCDKIGALANSPREAIDFMGASTNHSSFNNVEIHFCSSPHLDLSLRRSHPSGFETQVTEERHTLRHSNASAFTWYTNRASQLPHSALANTGNQEEFRANYDGKISSNVNGYNSDALSLAPSTRRSAISLAAGQTKEYEIVTSSSGEKVFPIHIPVKDTRFNNLCNSYGAVLPPMMSQSSASQKEPIHKVNPFQCSNYGSTSVQLCDRLGQNANDSINGSLQKQENKLDSLEGREHISSATDQSASSSFCNGAASHFNSIGYGSASGSYSNADQIATVSAASESKNEEGVFTHNSNSHRSIQREAALTKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVHIDPSPAETDQ >Potri.015G002300.4.v4.1 pep chromosome:Pop_tri_v4:15:112182:117508:1 gene:Potri.015G002300.v4.1 transcript:Potri.015G002300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002300.v4.1 MGVVVVSSGEELEVKTGSETEEEKQSKEETESETGEVKRKRKKKEGEGSDNGLVRWERFLPRMVLRVLLVEADDSTRQIIAALLRKCSYKVATVSDGLKAWEILKERPHNIDLILTEVDLPSVSGYALLTLIMEHEICKNIPVIMMSSQDSIKTVYKCMLRGAADYLVKPIRKNELRNLWQHVWRKQSSLGGGNGPHDESVGQDKTEATSENNADGNHSSGEMASIQRSKEQAVKRSDSQEFLQPVWSKFSLTDTNMQKHEEHVNLGQKLLVRDSEAEGSATAVCEDSNKITVDKEITPGSGRVTANIAIEGCDKIGALANSPREAIDFMGASTNHSSFNNVEIHFCSSPHLDLSLRRSHPSGFETQVTEERHTLRHSNASAFTWYTNRASQLPHSALANTGNQEEFRANYDGKISSNVNGYNSDALSLAPSTRRSAISLAAGQTKEYEIVTSSSGEKVFPIHIPVKDTRFNNLCNSYGAVLPPMMSQSSASQKEPIHKVNPFQCSNYGSTSVQLCDRLGQNANDSINGSLQKQENKLDSLEGREHISSATDQSASSSFCNGAASHFNSIGYGSASGSYSNADQIATVSAASESKNEEGVFTHNSNSHRSIQREAALTKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVHIDPSPAETDQ >Potri.013G129500.3.v4.1 pep chromosome:Pop_tri_v4:13:13617984:13628766:-1 gene:Potri.013G129500.v4.1 transcript:Potri.013G129500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G129500.v4.1 MFRCFLKSIHKKPFFLNNSNPSVTFSTTASPPLPKPLQNNKNQPLLLSRPQNFISPLKQSPSLIPLNDLVNHYKRSSHSHLKTVPIFPKVSNLGSFDDLIEHLVSSYKHSCCPKDANLFHLNVLKHGFVSDLFLCNTLINVYVRIGDWVSARKLFDEMPDRNGVTWACLISGYTQNGMPDDACGVLKEMIFEGFLPNRFAFGSAIRACQESMLCGLQLGMQIHGLILKSPYANDASLCNVLISMYGKYLGYIDYARSVFDEIEIRNSIYWNSIVSVYSQRGDAASCFELFSSMQMADSGLSLKPNEYTFGSLITAACSSVDSGLSLLGQILARIKKSGLLANLYVGSALAGGLSRLGSFDYARKIFEQMTARNVVSMNGLMVGLVRQKCGEEAVEVFKETRHLVDINVDSYVILLSACAEFALLDEGRRKGRQVHGYAIRTGLNDAKVAVGNGLINMYAKCGDIDHARSVFGLMVDKDSVSWNSMITGLDQNKCFEDAVKSYNSMRKTGLMPSNFTLISALSSCASLGCILLGQQPHGEGIKLGLDMDVSVSNTLLALYAETGHLAECQKVFSWMLERDQVSWNTVIGALADSGASVSEAMDVFLEMMRAGWSPNRVTFINLLASVSSLSTSKLSHQIHALILKYNVKDANAIENALLACYGKSGEMENCEEIFSRMSERRDEVSWNSMISGYIHNDLLCKAMDLVWLMMQRGQRLDCFTFATVLSACATVATLERGMEVHACAIRACLESDVVIGSALVDMYSKCGRIDYASRFFNLMPMRNLYSWNSMISGYARHGYGDNALRLFTRMKLSGQLPDHITFVGVLSACSHIGLVDEGFEYFKSMTEVYGLVPRVEHYSCMVDLLGRAGELDKIDNFINKMPIKPNILIWRTVLGACCRGNGRKTELGLVLVIWFIVMESKGSEIDEFEKALESALDGSTEEEEEDENEEGEYSDDDEEEEDDDDDEEEEEEEENALDSMEQNQQFEYEALAEKKRKTLADAKGEGSAKKARQEDMTGASLAEIEEIMNFGMRKKRRRRMPKRRGRRKGSKNKLSPEITRMLGDATLHYAHGNYEEALTVLSEVVKRAPLVADSYHTLGLVHKALGNTEKAMKFYRIAAFLRPKDSSLWKLLFSWHVEQGDIARAWKSSKSSESYEQIVRICPEDVEAIKTAAKMHLNCGQIKRCVGILEDYLKGHPSEADLSVIILLADVFMEIDAHNNALQHIEHAQMIYYSGKELLLELMIKAGICHVFLGNIEKAEENFSIHPEFITKVADAFMSTECFHSALKYYHMLELNVGADNEGEIHVKIAQCYLSLNDRAKAIMFFYKGEEKADNKCSA >Potri.002G204750.1.v4.1 pep chromosome:Pop_tri_v4:2:16963277:16964330:-1 gene:Potri.002G204750.v4.1 transcript:Potri.002G204750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204750.v4.1 MYAMIKILICQEGQTAHCIYLTPCRFAYPARTEYVYRYYVGDCNYTVQIGQKEMILIGNLGAYQFWQRIFKVEKLGRDGFFSSESLFHSMKKALVRCQIQSHYWKANNMYLHCSRLLTFSLATMLPDFKNICTMSLGNM >Potri.013G142548.1.v4.1 pep chromosome:Pop_tri_v4:13:15596301:15596489:-1 gene:Potri.013G142548.v4.1 transcript:Potri.013G142548.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbZ MTIAFQLAVFALIATSSILLISVPVVFSSPDGWSSNKNVVFSGTSLWIGLVFLVGILNSLIS >Potri.008G048000.14.v4.1 pep chromosome:Pop_tri_v4:8:2804694:2810885:-1 gene:Potri.008G048000.v4.1 transcript:Potri.008G048000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048000.v4.1 MDRSFKHTRDDRDRDHHKHRSRDDKHRDSSDSHHHRSERESHQREHHKSSRRDDTKRERSHEREESVDRRERSHDHKSSSSSRREERERSYDAREEREGSRERKREKRERETVDEDYLERKKRKEGGGSEDRAVVEKEKRSRRRFGEKVKEEDNRTDNNDNGNSFENVKRVDSSEAGLKEEVNDEPIGGGRGSTTENGGVSTTNGASLESFTKTPSNQPETSVAPVHPLPTKVSSISNTNENKGVSIARSHAVPGKSSTDGTSSAAGKSGNLSLDALAKAKKALQMQKELSEKLKKLPLSSKGNNTSSGGSSQRVLPSATTTTAVSTGAVSSSSSLSTSTMVSIKTPSTGVAPLPDITSIPNYEAVKRAQELAAKMGFRQDPEFAPLINLFPGQLPAEVSVPQKPTKTPVLRVDALGREIDERGNVVNVTKPSNLSTLKVNINKQKKEAFQILKPELDVDPESNPYYDVKMGINKNKFLRPKRMTFQFVEEGKWLKEAEIMKLRNQFGEEREKDMKARQALHAKAKAAPDINPNLIEVSERVITKAKPKDPIPDVEWWDAPLLTGGTYGENDDVLITEHRLKRDKITIYVQHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDRQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTRLEKQIRTAAAEREQAHIDRNTARKLTPAERREKKERKLFDDPNTMETIVSIYRINNLSDKKTRFKVDVNAHENRLTGCTVITEGICVLVVEGGSKSIKRYGKLMLRRINWAEAVNEDEGDDNEEKPVNKCMLVWQGSVAKPSFHRFSLHDCVTEAAARKYFADAGVAHYWDLAVNFSDDQM >Potri.008G110600.2.v4.1 pep chromosome:Pop_tri_v4:8:7018990:7020896:-1 gene:Potri.008G110600.v4.1 transcript:Potri.008G110600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX56 MAFPLQEIKLPILPFSMLIIISILGRSLHASDPPLTLDYYAPTCPSVFEIVKKEMECEVISDPRSAALIVRLHFHDCFVQGCDGSVLLDDTITLQGEKKASTNINSLEGFKIIDRIKNKIESECPGIVSCADILTIAARDAVLLVGGPYWDVPVGRKDSKTASFELAASNIPTADEGLLSIITKFLYQGLSVTDLVALSGAHTIGMAHCANFRARIYGDFETTSDRSPVSETYLNNLKSMCPATGGGDNNISAMDYVTPNLFDNSFYHLLLKGDGLLNSDQELYSSILGLETKNLVIKYAHDPIAFFYQFSDSMVKMGNITNPDSFVDGEIRTNCRFVNT >Potri.015G039800.1.v4.1 pep chromosome:Pop_tri_v4:15:3538071:3545126:1 gene:Potri.015G039800.v4.1 transcript:Potri.015G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039800.v4.1 MANWISSKLKVAETFFEQIDQQAAESLKKNEQPRSDDDQKFDLPAKAGGSLSLKDQLKKKTHEFNNEYNGKLNADFAINKNKNNVVNNNVFGSVNDKEVLNAPKISPKPRATLTDSDWTELLGTPPSPNASSPNRSNGAPAIRGLRKEGRRQGSLVSNSSVVEAKRNQKVRGNSDKNNSGVTSKRLDLKLNGKPSDGEESGSSARSSSVELPSDGKVMDGTGLEQNKNVGGEVVGERKDEGSEENGGRVENKDAVEGKVLHVANKNHALLSAPDKIDGISDVKKGVGDVYGRLKSTVKGKQGTRAASRSSVSDDLKKNDSTSDESSDSDSDSGSSSDSESEQERERRKKILAGRAAAKAVQAIIERENMVARLEGEKQSLEKILEERAKQQVQEASELQTTTMETMEAVDLEKQKHNNTRMEVLSRLAKLETTNADLARSLATAQKNLELEINQVAELRQQFELKEVALEDLRRRISKTHQTETYLNQAAASKGVQFEREILETEYLFLIDKIQRLEDKAKKLETDIEMTRKEMEDPTEVEIELKRRLGQLTDHLIQKQAQVEALSSEKATIAFRIEAVSRLLEENKSVVNSSNLESGKWAISDSKLRPMFEDKIRAGRKHLGSLVQQLDAIFLAGVVFLRRNPTAKLWCLVYLLCLHVWVIYILMAPSQSSNEGRSGAVFSLENLNKTAGV >Potri.011G009000.2.v4.1 pep chromosome:Pop_tri_v4:11:849353:850410:-1 gene:Potri.011G009000.v4.1 transcript:Potri.011G009000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009000.v4.1 MKFLKLLFLLAMLISFSAITLSATPTEEVSFPDFDFEDKENSDHHQTESQEPTSSLRGTNRVLAQTRAFMTCDKNPRVCRVQGSPGPDCCKKMCVNQMTDWFNCGKCGKKCRYTEICCKGKCVNPMYNKNHCGGCNNKCKKGSACQYGMCSYA >Potri.005G027500.1.v4.1 pep chromosome:Pop_tri_v4:5:1748631:1750892:-1 gene:Potri.005G027500.v4.1 transcript:Potri.005G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027500.v4.1 MASLLVSISFPMPLSAPKFSFKELQLRKSAVTRLSGQATSGTATNLLVPCNATGEILSVNQSCGGCLATPTNHFYRLVSSCMHVSSCTHKIITGYWVGPDIDDGWGFVEGFVNQIT >Potri.002G119100.2.v4.1 pep chromosome:Pop_tri_v4:2:9036217:9040638:1 gene:Potri.002G119100.v4.1 transcript:Potri.002G119100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119100.v4.1 MLDSSDDNSSVSSSSTGRSDLMSVSGSDDVQVDKDSLLEQALDALYEKRGSTREKALAAIIEAFNTNLQLQFVEKKFATLLHQCLNSIKKGSSKEIALACHAIGLLALTVGSGDNAREILEESVAPISQALKSQSDSLKTESLLECLAVVTFVGAKETEETERSMQIMWQLLHPKLGSNVVAVKTPAVVVTAVVSAWAFLLTTMDGCSLNSKDWQESISYLSTLLDKDDRSVRISAGEALALIFETGSIEKFAAENKTPPDGSVLEGNKSCEGYTRILGLKSKILNQVRSLSAEAGGKGSTKKDLNSQRNLFKDVLEFLEDGNTPEISMKIGGDSLQTSTWSELIQLNFLKHFLGGGFVKHMQDNEFLHDVFGFTPKRKHILGVEHQMSSGEKRMFRSPNSVLNKARTQFLNKQRMLSKDRNVGHFAVGMGDEDM >Potri.002G119100.1.v4.1 pep chromosome:Pop_tri_v4:2:9036206:9040692:1 gene:Potri.002G119100.v4.1 transcript:Potri.002G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119100.v4.1 MGKRNNQRKNVAMLDSSDDNSSVSSSSTGRSDLMSVSGSDDVQVDKDSLLEQALDALYEKRGSTREKALAAIIEAFNTNLQLQFVEKKFATLLHQCLNSIKKGSSKEIALACHAIGLLALTVGSGDNAREILEESVAPISQALKSQSDSLKTESLLECLAVVTFVGAKETEETERSMQIMWQLLHPKLGSNVVAVKTPAVVVTAVVSAWAFLLTTMDGCSLNSKDWQESISYLSTLLDKDDRSVRISAGEALALIFETGSIEKFAAENKTPPDGSVLEGNKSCEGYTRILGLKSKILNQVRSLSAEAGGKGSTKKDLNSQRNLFKDVLEFLEDGNTPEISMKIGGDSLQTSTWSELIQLNFLKHFLGGGFVKHMQDNEFLHDVFGFTPKRKHILGVEHQMSSGEKRMFRSPNSVLNKARTQFLNKQRMLSKDRNVGHFAVGMGDEDM >Potri.018G092900.1.v4.1 pep chromosome:Pop_tri_v4:18:11345863:11346361:-1 gene:Potri.018G092900.v4.1 transcript:Potri.018G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092900.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.016G137200.1.v4.1 pep chromosome:Pop_tri_v4:16:14085599:14089760:1 gene:Potri.016G137200.v4.1 transcript:Potri.016G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137200.v4.1 MSVSMELLSAGCSSLLTKRPSFCSSSSMALFAPSLKFRSLLLKPSGHRLSFESPRAFVPKGLGVGVGFRSGNSLNRQIVVFAGSHEDSEHSEIEVEKESQDKKLEGEESEEVWKQTLESFKEQALRLQSVSQEAYEIYSEKAMVILEETSEKLKIQAVKAKKDLGELAKELGEDSIEYLVAATENSPEPVKEVVETLSSSTDDFNDISKVRDFQVGIPYGLLLATAGFLSFMLSGSINSIRFGVILGGALLALSVSSLKSYKRGEPDSLALKGQAAIVAIIFLRDIRIIMTRGGSFFTPVATLISGAVVAFYLYKIAVGGKQSKGSDMGHGAEN >Potri.006G099100.1.v4.1 pep chromosome:Pop_tri_v4:6:7587279:7591246:-1 gene:Potri.006G099100.v4.1 transcript:Potri.006G099100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099100.v4.1 MSCSLFLKVILQSCFVVIFLHAPSFTQAATTLSGNETDHLALLAIKAQIKLDPLGLMSSWNDSLHFCNWGGIICGNLHQRVITLNLSHYGLVGSLSPQIGNMSFLRGISLEQNYFHGEIPQEIGRLDRLKYINFSNNSFSGEIPANLSGCSSLLMLRLGFNKLTGQIPYQLGSLQKLERVQLHYNNLNGSVPDSLGNISSVRSLSLSVNNFEGSIPDALGRLKTLNFLGLGLNNLSGMIPPTIFNLSSLIVFTLPYNQLHGTLPSDLGLTLPNLQVLNIGHNFFSGPLPVSISNASNLLELDIDTSNFTKVTIDFGGLPNLWSLALSSNPLGKGEADDLSFIDSLTKCRNLRLLDLSNSHFGGVIPDSIGNLSTQLFLLKLRGNQLSGSIPTVIENLLNLAELTVEKNYLSGSIPSVLGNLKMLQRLDLSENKLSGLIPSSLGNITQLFEFHLQKNQIMGSIPSSFGNLKYLQNLDLSQNLLSGTIPKEVMGLSSLTISLNLAQNQLTGPLPPEAQNLMNLGYLDVSENKLYGQIPSSLGSCVTLEKLHMQGNFFEGAIPPSFSSLRGLRDMDLSRNNLSGQIPQFLKRLALISLNLSFNHFEGEVPREGAFLNATAISLSGNKRLCGGIPQLKLPRCVVNRSKNGKTSRRVKLMIAILTPLLVLVFVMSILVINRLRKKNRQSSLASSLSSKQELLLKVSYRNLHKATAGFSSANLIGAGSFGSVYRGILDPNETVVAVKVLFMRQRKTLKSFMAECEILKNIRHRNLVKILTACSSVDFQGNDFKALVYEFMPNGTLESWLHSFPRTNGINEDLKILSFHQRLNIAIDVAAALNYLHYQCHKPVVHCDLKPSNVLLDNDMTAHVGDFGLARFIEEAINPSHRNESSSVGLKGTVGYAAPEYGMGSKPSMNGDVYSYGILLLEMFTGKRPTDDMFHDGLDLHNFVKTALPDQISEVVDPLFVTGGEGDEEETGHLENRTRGQIKKDQMQESLIAILRIGIACSVESINERKNVKDVLTELQNVRRFFLGSGRN >Potri.006G099100.2.v4.1 pep chromosome:Pop_tri_v4:6:7587417:7591184:-1 gene:Potri.006G099100.v4.1 transcript:Potri.006G099100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099100.v4.1 MSCSLFLKVILQSCFVVIFLHAPSFTQAATTLSGNETDHLALLAIKAQIKLDPLGLMSSWNDSLHFCNWGGIICGNLHQRVITLNLSHYGLVGSLSPQIGNMSFLRGISLEQNYFHGEIPQEIGRLDRLKYINFSNNSFSGEIPANLSGCSSLLMLRLGFNKLTGQIPYQLGSLQKLERVQLHYNNLNGSVPDSLGNISSVRSLSLSVNNFEGSIPDALGRLKTLNFLGLGLNNLSGMIPPTIFNLSSLIVFTLPYNQLHGTLPSDLGLTLPNLQVLNIGHNFFSGPLPVSISNASNLLELDIDTSNFTKVTIDFGGLPNLWSLALSSNPLGKGEADDLSFIDSLTKCRNLRLLDLSNSHFGGVIPDSIGNLSTQLFLLKLRGNQLSGSIPTVIENLLNLAELTVEKNYLSGSIPSVLGNLKMLQRLDLSENKLSGLIPSSLGNITQLFEFHLQKNQIMGSIPSSFGNLKYLQNLDLSQNLLSGTIPKEVMGLSSLTISLNLAQNQLTGPLPPEAQNLMNLGYLDVSENKLYGQIPSSLGSCVTLEKLHMQGNFFEGAIPPSFSSLRGLRDMDLSRNNLSGQIPQFLKRLALISLNLSFNHFEGEVPREGAFLNATAISLSGNKRLCGGIPQLKLPRCVVNRSKNGKTSRRVKLMIAILTPLLVLVFVMSILVINRLRKKNRQSSLASSLSSKQELLLKVSYRNLHKATAGFSSANLIGAGSFGSVYRGILDPNETVVAVKVLFMRQRKTLKSFMAECEILKNIRHRNLVKILTACSSVDFQGNDFKALVYEFMPNGTLESWLHSFPRTNGINEDLKILSFHQRLNIAIDVAAALNYLHYQCHKPVVHCDLKPSNVLLDNDMTAHVGDFGLARFIEEAINPSHRNESSSVGLKGTVGYAAPEYGMGSKPSMNGDVYSYGILLLEMFTGKRPTDDMFHDGLDLHNFVKTALPDQISEVVDPLFVTGGEGDEEETGHLENRTRGQIKKDQMQESLIAILRIGIACSVESINERKNVKDVLTELQNVRRFFLGSGRN >Potri.001G336700.1.v4.1 pep chromosome:Pop_tri_v4:1:34497687:34499698:-1 gene:Potri.001G336700.v4.1 transcript:Potri.001G336700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336700.v4.1 MGRQPCCDKVGLKRGPWTIEEDHKLTNFILNNGIQCWRMVPKLAGLLRCGKSCRLRWINYLRPDLKRGGFTKMEDNQIIQLHSRLGNRWSKIASHFPGRTDNEIKNHWNTRIKKKLKVLGLDPVTHKPIEQTEKDVSGEEDETIQESVSLKEQEESLEVKSEDRDQAKSDFVQERDQKLEQDELKILDETDLLKSYEMLCGSLDVGSWINQETNTSTSYSSQESNNLSAGEFHSIQEDSVSQWIESVDSFLSWDSFSHLDEDLFFLENSQCNYMTHSAPKRL >Potri.001G210000.1.v4.1 pep chromosome:Pop_tri_v4:1:21487239:21488635:-1 gene:Potri.001G210000.v4.1 transcript:Potri.001G210000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210000.v4.1 MAATAGAVLNGLGSSFLCGGKRNQALLGIGASRTGSFPVGTRKLVVVAAAQPKKSWIPAVKGGGSLVDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVLGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLLLMGWVESKRWVDFFNPESQSVEWATPWSKTAENFANATGDQGYPGGKFFDPLGFAGTLKDGVYIPDVEKLERLKVAEIKHARLAMVAMLIFYFEAGQGKTPLGALGL >Potri.015G142700.1.v4.1 pep chromosome:Pop_tri_v4:15:14840608:14842201:1 gene:Potri.015G142700.v4.1 transcript:Potri.015G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142700.v4.1 MSTGSEPDVTVEEVTGNRSFPAGKNKGKVPRRIHKAEREKLKREQLNELFLDLASALELSEPNTGKASILCETTRLLKDLLTQIESLKKDNVALLSESRYVTVEKNELREENSVLENQIGKLQGELESRVAAQSTPVLNVPPPEFQQPPHFPGDSFRLPAVDAAAAALQQTPAVFVVPIRPDHVQGLPMATSNVRKPHARYPTAADSWPSQLLGEQQIARKEVQFSGKDSAICNPGERCHP >Potri.016G007400.1.v4.1 pep chromosome:Pop_tri_v4:16:359893:361124:-1 gene:Potri.016G007400.v4.1 transcript:Potri.016G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007400.v4.1 MQDQTYQYHFLRRTLQFLISVSLFSLFLCFSSGFSLFPKPLILYINTGVFSFLSHYLERKYMFLICNGILAILAKSSVSSSETPASDDQSNLGDERQLSSAPTLSSAKAEATVDDQEVQVASVESLEDIVLATEEEEEEEEEETTSSQALITEEEEYIEEKRECLLKQEEEGNEELASTEELNRRIEEFIRKMKEEIRIEAQQQLIAE >Potri.004G177500.1.v4.1 pep chromosome:Pop_tri_v4:4:19241596:19246974:1 gene:Potri.004G177500.v4.1 transcript:Potri.004G177500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177500.v4.1 MGLETNNHDMEEGTLEIGMEYRTVSGVAGPLVILEKVKGPKYQEIVNIRLGDGSTRRGQVLEVDGEKAVVQVFEGTSGIDNKFTTVQFTGEVLKTPVSLDMLGRIFNGSGKPIDNGPPILPEAYLDISGSSINPSERTYPEEMIQTGISTIDVMNSIARGQKIPLFSAAGLPHNEIAAQICRQAGLVKRLEKSDNLLEGGEEENFAIVFAAMGVNMETAQFFKRDFEENGSMERVTLFLNLANDPTIERIITPRIALTTAEYLAYECGKHVLVILTDMSSYADALREVSAAREEVPGRRGYPGYMYTDLATIYERAGRIEGRTGSITQIPILTMPNDDITHPTPDLTGYITEGQIYVDRQLQNRQIYPPINVLPSLSRLMKSAIGEGMTRKDHSDVSNQLYANYAIGKDVQAMKAVVGEEALSSEDLLYLEFLDKFERKFVAQGAYDTRNIFQSLDLAWTLLRIFPRELLHRIPAKTLDQFYSRDVAN >Potri.007G089000.2.v4.1 pep chromosome:Pop_tri_v4:7:11423292:11427256:1 gene:Potri.007G089000.v4.1 transcript:Potri.007G089000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G089000.v4.1 MFIEKFKVESPNVKYIEDEIHSVYNYETTELVHENRNGSYQWTVKPKTVQYEFKTDIRVPKLGVMLVGWGGNNGSTLTGGVIANKEGISWATKDKVQQANYFGSLTQASSIRLGSFNGEEIYAPFKSLLPMVNPDDIVFGGWDISDMNLADAMARAKVFDIDLQKQLRPYMESMVPLPGIYDPDFIAANQGSRANNVIKGTKKEQVQKIMEDIREFKEKNKVDKVVVLWTANTERYSNIAVGLNDTMENLLAAVEKDESEISPSTLFALACINENIPFINGSPQNTFVPGLIDLAIKRNSLIGGDDFKSGQTKMKSVLVDFLVGAGIKPTSIVSYNHLGNNDGMNLSAPQTFRSKEISKSNVVDDMVSSNGILYEPGEHPDHVVVIKYVPYVGDSKRAMDEYTSEIFMGGQNTIVMHNTCEDSLLAAPIILDLVLLAELSTRIQFKGEAEGKFHSFHPVATILSYLTKAPLVPPGTPVVNALSKQRAMLENILRACVGLAPENNMILEYK >Potri.018G145566.1.v4.1 pep chromosome:Pop_tri_v4:18:15457881:15460835:1 gene:Potri.018G145566.v4.1 transcript:Potri.018G145566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145566.v4.1 MDDGRFNCTFCGFKFAAAASISRIKWHLSGEEGHGVAVCGQVPEQVQEAALQAVHGRNKRHKGIASSSNFNDNPISTTPQEQNNEVDNLAGDGGTTQAADRMGHPLGRSVEEFSRWLMEDDIENGTGGVAQPGAGASSSGGLTGNTNETPGDAVPTTKLVGQAFKDHKKTIWSWLMHDEVSTIGIYGMGGVGKTTLVTHIYNQLLERPGTFCHVYWITVSQDTSINKLQNSIARRIGLDLSNEDEELYRASKLSKELTKKQKWVLILDDLWKAIELRKVGVPIQAVKGCKLIVTTRLENVCQQMGTQHIIKVKPISKEEAWALFIERLGHDTALSPEVEQIAKSVARECAGLPLGIITMAATMRGVVDVREWRNALEELKESKVRKDDMEPEVFHILRFSYNHLSDSALQQCFLYCALFPEDFKIRRDDLVAYLIDEGVIKGLKSREAEFDRGHSMLNRLQNVCLLEGAKEGYGNDRYIKMHDLIRDMAIQILQENSQGMVKAGAQLRELPDADEWTENFTRVSLMHNHIQDIPSSHSPRCPSLSTLLLCENSELKFIADSFFEQLRGLKVLDLSYTNITKLPDSVSELVNLTALLLIGCHMLRHVPSLEKLRALRRLDLSGTWALEKMPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFELKSAKDRGGQYAPITVKGKEVACLRKLESLGCHFEGYSDFVEYLKSQDETQSLSKYQIVVGLLDINFSFQRSKAVFLDNLSVNRDGDFQDMFPKDIQQLIIDKCEDATSLCDIFSLIKYTTQLEIIWIRDCNSMESLVSSSWLCSAPLSLPSYNGIFSSLGVFYCYGCRSMKKLFPLVLLPHLVNLEVIQVIHCEKIEEIIGGTRSDEEGVMDEENSSSEFKLPKLRCLVLYGLPELKSICSAKLICDSLQVITVMNCEKLKGMGICLPLLENGQPSPPPSLERIVAMPEEWWESVVEWEHPKTKDVLRPFVKFEKL >Potri.011G014301.1.v4.1 pep chromosome:Pop_tri_v4:11:1326286:1328280:1 gene:Potri.011G014301.v4.1 transcript:Potri.011G014301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G014301.v4.1 MEVIPNFEVQKVLRISYDFLDGDYPKILFLDITCFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINNDQRLWMHQLVIDMGREIARQESPKCQRIWHQGDAFTVLKGTTDAEKLRGLTIDMHALMEYHYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGGKLQTGQTSLFPILNTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHVCLEKLVVLDLSRSCLVDAWKGKPNPRLLGSPSP >Potri.003G188200.2.v4.1 pep chromosome:Pop_tri_v4:3:19265525:19268379:1 gene:Potri.003G188200.v4.1 transcript:Potri.003G188200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188200.v4.1 MAKKQDLFDLVNVNDGSSPSFSYKSESEDDILQETAEFAEELQLQEPLKASLVSCPMASNVSSSTSSRTNMEAISEQEIADASCSNNNPTLMTETEAVSRGAAKIISHNSAQDECRKRAESIAAKQEGTPQSPNTKAKKDKGVDPNSDIPLNVKSESVVSRGNGGALDDNKKSRLSKELAPKIVNKPIEQFYCPACAEVPDTIKWYPGLQALISHARKTTKGKRAQLHQNLEKQLTRKFGRKGTSDSSGGEVLSKWKGLKDENKDHEIVWPPMVVVRNTASLKKDENNKRIGITDQELLDLFSSYEAIEKVQHACNSNGHCGMSILIFEGSTRGYLEAERLDRHFADEGTGRNLWNESPLYLLRSGEIQLHGYMAEKKDVDLFNQYSTGEPKLKYLITSYQDTVVNRIRQMSEDDNKRIWLNNRVERLEESNGIMREILLKMGFQGQIFEDSQIKTNVDERGKKGGNLESSKEDEEHGNVHESNGSPSNTQDGNSRVPETAKAKGNEVKEKEVADTGKASRRTRKKTK >Potri.002G182300.1.v4.1 pep chromosome:Pop_tri_v4:2:14472652:14475852:-1 gene:Potri.002G182300.v4.1 transcript:Potri.002G182300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182300.v4.1 MTNRALSFPSSPVGYVFRPTDEELVNHYLRLKMHGGYEQEVSIIAEVNVCDFEPWVLPGLSTYQSNDPESYFFCPRSYKYVNSHQANRTTVAGYWKVTGKNRIIKAKSTKEHIATKKTLVFYENRVPNGVKTDWVIHEYHPTFSFHNQRDFVLCKLKKHPDENMPTFEESESSSNVPYGFGNQNPTVRNYPLILEEGGHTAQMASNLANNRLEEEINQLRTQLESFRGFDDGDFGLNSALQFSPGNYM >Potri.010G135666.1.v4.1 pep chromosome:Pop_tri_v4:10:15099794:15102270:-1 gene:Potri.010G135666.v4.1 transcript:Potri.010G135666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135666.v4.1 MGKSSIKLAFLVVLVFAAVMSFSVVSRADGSVFLPCKSDSDCKAIECAVGTAHCVNNNCRCDSKVERVNADILASDCKHDKDCVKLFP >Potri.002G080400.1.v4.1 pep chromosome:Pop_tri_v4:2:5665721:5669790:1 gene:Potri.002G080400.v4.1 transcript:Potri.002G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080400.v4.1 MAGIQQQQLVNDTLPASWDGSSKRTAAVNVEGHPRPYIDHELKDPSHQKSGWRKFLSYVGPGFLVSLAYLDPGNLETDLQAGANHGYELLWVVLVGLIFALTIQSLAANLGVSTGKHLSELCRAEYPRHVKYCLWLLAEIAVMAADIPEVIGTAFALNILFNIPVWSGVLCTGCSTLLLLGLQKYGVRKLELLIAVLVFVMAACFFGEMRYVKPPATDVLKGMFIPKLSGQGSTGDAIALLGALVMPHNLFLHSALVLSRKTPNSVRGINDACRYFLIESGLALFVAFLINLAVISVSGTVCSAQNLSSENADRCGDLTLNSASFLLQNVLGKSSSKIYAIAVLASGQSSTITGTYAGQYIMEGFLELRMRKWIRNLVTRCIAITPSLIVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSTTKMGPHKNSIYIIVLSWILGLGIIGINIYYLSTGFVGWLIDNNLPKVANVFIGIIVFPLMAIYILAVIYLTFRKDTVVTFMEPNKNDPQQQTNMENGLAKSTEGPEMVDRAPYREDLADIPLPE >Potri.006G138900.3.v4.1 pep chromosome:Pop_tri_v4:6:11590169:11590834:1 gene:Potri.006G138900.v4.1 transcript:Potri.006G138900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L14 MVRERRERRVHNRRYRGVRMRKWGKWVAEIRQPNSRNRIWLGSYNTAEEAARAYDAAVLCLRGPSATFNFPSNVPEIPATTEIMPPAQIREVAFRHARRGSTLEAAERIVESGLFEGPSGMSGEVYLGGEGDGAENLEGVSSGVCYQTSGVWTV >Potri.003G156100.1.v4.1 pep chromosome:Pop_tri_v4:3:16707496:16709367:-1 gene:Potri.003G156100.v4.1 transcript:Potri.003G156100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX19 MKCSFSFGFLLILVLPLILASAQDGKYERAAYHDNYEGAVQKDQDYEGQYQDQEKGPAKYDKNYEKERTPAQNDQHYDQEEQDYHVPSLEISTLLDNLPSDDLLSFGYYSKSCPKAESIINKHVTKWVEEDRTLAASLLRLHFHDCAVHGCDGSILLNHEGSERTSEASKSLRGFEVIDAIKAEMEKECPRTVSCADILTAASRDATVLLGGPYWDVPYGRKDGKVSIDKDAELVPMGRENITTLIEFYQSNGLNVLDLVVLSGAHTIGRATCGSLQYRLYNYAGTGKQDESLDYRYANFLKRKCRWASEYVDLDATTPRTFDNVYYKNLQDKMGLLHTDQSLYSDSRTSPIVDALADAPSDFFNHQFAVSMTKLGNILVPAVQDGGEIRTKCYSVNSNY >Potri.005G030626.2.v4.1 pep chromosome:Pop_tri_v4:5:1984968:1986088:-1 gene:Potri.005G030626.v4.1 transcript:Potri.005G030626.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030626.v4.1 MDAWFRFLTLLDLSFNSLQGPLPPEIGVFSNLGLSLNLSNNNLDGEIPATIGNLVSVQAIDLSVNRFSGIIPSSVGSCTALEYLNLSKNMIEGTIPESLKQIAYLKVLDVAFNQLTGSVPIWLANDSVMKNFDLSYNRLTGEVSSMGKFKNLSGSTLIGNAGLCGGSALMRLQPCAVQKKRKLRKWTYYLLAITISCFLLLLVYVGVRLRKFFKRKSDAESEEAILMAFRGRNFTLSELKIATDGFSDANLLASSKLLWICL >Potri.019G067000.1.v4.1 pep chromosome:Pop_tri_v4:19:10643623:10645350:-1 gene:Potri.019G067000.v4.1 transcript:Potri.019G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067000.v4.1 MGLEVVSSAIISFSLFLLLASTTQAQSNGVFDVTKYGAGKDITEALTNAWKSACASTKPSKVLIPSGTYWLRKVTLAGPCKAAIKLQVDGILKAPVDPNKLSGGHWVNFRYVDQFTLSGRGTFDGQGKVAWSKSTCHKDKNCKGLPMNLRFDFITNALVRDITTLDSKNFHVNVLGCKNLTFQHFTVRAPGESVNTDGIHIGRSTGIYIIDSKISTGDDCISVGDGTEELHITGVTCGPGHGISVGSLGKYPNEKPVSGIFVKNCTISDTTNGVRIKSWPALYGGVASNMHFEDIVMNNVQNPVIIDQGYCPWNQCTLKAPSKVKISDVSFKSIRGTSATPVVVRIACSSGFPCQKVKLANINLAYRGPGGPAKSQCSNVKPIISGIMSASGC >Potri.002G251700.1.v4.1 pep chromosome:Pop_tri_v4:2:24105408:24108318:-1 gene:Potri.002G251700.v4.1 transcript:Potri.002G251700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G251700.v4.1 MAVLKLYSIYIFYTLISINFSASPTQSLLLSGSTDVELLLGKIKASLQGNTENLLLSSWNSSVPLCQWRGLKWVFSNGSPLSCIDLSAPQWTNLSLYKDPSLHLLSLQLPSANLTGSLPRELGGFSMLQSLYLNINSLGGTIPLELGYSSSLSDIDLSDNVFSGALAPSVWNLCDRLVSLRLHGNSLTGSLPEPALPNTTCNNLQFLDLGSNKFSGSFPEFVTRFQGINELDLSGNMFSGPIPETLTGLKLEKLNLSHNNFSGVLPFFGESKFGVEVFEGNDPSLCGLPLRSCSGSSRLSPGAIAGIVIGLMTGVVVLASLLIGYMQNKRRKGMGDSDDDMEEESGDDGVGGVGGVGGEGKLILFQGGEHLTLEDVLNATGQVMEKTSYGTVYKAKLADGGTIALRLMREGSCKDRSSCLPVIKQLGKIRHDSLLPLRAFYQGKRGEKLLIYDYLPNRTLHDLLHEAKAGKPVLNWARRHKIALAIARGLAYLHTGLETPITHGNVRSKNVLVDEFFVARLTEFGLDKLMIPTVADEIVALAKTDGYKAPELQRMKKCNSRTDVYAFGILLLEILIGKKPGKNGRSNDFADLPSMVKVAVLEETTMEVFDLEVLKGVRSPMEEGLVQALKLAMGCCAPVASVRPTMDEVVKQLEENRPRNRSALYSPNETRSEIGTPF >Potri.011G151200.1.v4.1 pep chromosome:Pop_tri_v4:11:17821030:17825823:-1 gene:Potri.011G151200.v4.1 transcript:Potri.011G151200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151200.v4.1 MGKPRPVCALVCLLFLWGQGMLMTKVEATSSVHIVYLGGKQHDDHILTTNSHHDMLASVVGSKEMATELMVYSYKHGFSGFAAKLTESQAQKVSELPGVIRVIPNSLHRLQTTRSWDFLGLSSHSPVNTLHKSNMGDGVIIGVLDTGIWPESKAFSDKGLGPIPSHWKGVCESGTGFEAKNHCNRKIIGARWFVDGFLAEYGQPLNTSENREFFSPRDANGHGTHTASTAAGNFVDNVSYRGLGLGTIRGGAPRAQLAIYKVCWNVLGGQCASADILKAFDEAIHDGVDVLSLSIGSSIPLFSDIDERDSIATGSFHAVAKGITVVCGASNDGPSAQTVQNTAPWILTVAASSMDRAFPTPITLGNNKTFRGKGLYSGNDTGFRNLFYPVAKGLDPNSAGVCQSLLVDASTVAGKVVLCFASMTPGAVRSAAEVVKEAGGAGLIVAKNPSDALYPCTDGFPCTEVDYEIGTQILFYIRSTRSPVVKLSPSKTIVGKPVLAKVAYFSSRGPNSIAPAILKPDIAAPGVNILAATSPLRRSQEGGYTMLSGTSMATPHVSGIVALLKAVHPDWSPAAIKSSIVTTAWRNNPSGFPIFAEGSPQKLADTFDYGGGIVNPNGAAYPGLVYDMGTEDYINYLCAMNYNNTAISRLTGNLTVCPIEEPSILNINLPSITIPNLRNSITLTRTVTNVGASNSIYRVMIEPPFGTSVSVKPNVLVFNHKTKKITFTVTVTTAHQVNTEYSFGSLTWTDGVHIVRSPLSVRTEFLQPYI >Potri.004G133420.1.v4.1 pep chromosome:Pop_tri_v4:4:15455147:15456655:1 gene:Potri.004G133420.v4.1 transcript:Potri.004G133420.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133420.v4.1 MVQLAGFASNQTALLLSLVTAGFNALGSIVSIYFIDRTGRKKLLIISLFGVVISLGLLSGIFRETTTHSPIVIATESSFAAYTCPDYSSVTNAAGWDCMKCLKASHPDCGFCSSASDKLLPGSCLISNSTVRKSHREWYTRGCPSKYGWVALIGLTLYIIFFSPGMGTVPWIVNSEIYPLRFRGVCGGIAATANWISNLIVAQSFLSLTQAIGTSWAL >Potri.004G088300.1.v4.1 pep chromosome:Pop_tri_v4:4:7425408:7425824:-1 gene:Potri.004G088300.v4.1 transcript:Potri.004G088300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088300.v4.1 MARPLLALSTQNHSFLSKTPISYFLAFVAILTVFSLVTFLCASHHKAKKSHRQKDEERVTSHSSEKKLLSKINSDIKEKAHSMVKMISWRKLAQDEDGDEEIWRRSIIMGERCRPIDFSGKIMYDCEGNLIPDSTQRG >Potri.005G089900.2.v4.1 pep chromosome:Pop_tri_v4:5:6255337:6255707:-1 gene:Potri.005G089900.v4.1 transcript:Potri.005G089900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089900.v4.1 MASLVLLLSQLVRPQNTNRAFVSTLASSSFTTSCAAAMSSTANQKPLPKDSNKYESGIEDQVQEPRFCVDQLAWP >Potri.006G062600.1.v4.1 pep chromosome:Pop_tri_v4:6:4460584:4465100:-1 gene:Potri.006G062600.v4.1 transcript:Potri.006G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062600.v4.1 MPSFSSLRLPPPKPSLLSLSPVPPCLPPSKSLIFTPRIKPMTTTTLSPRLQSSCSSSATKANPKNQTQNPSSYDLKIAIIGFGNYGQFLAKTLISQGHTVLAHSRSDHSLAAKSLGVSFFLDPHDLCERHPDVILLCTSIISTETVLKSLPLQRLKRNTLFVDVLSVKEFAKNVLLDVLPHDFDIICSHPMFGPQSAKHGWDGLYFVYENVRIGNEENRVNRCKKFLEIFEREGCKMVEMSCQEHDKYAAESQFLTHTVGRVLEMLKLESTPINTKGYESLLDLVENTSGDSFDLYYGLFMYNRNVLEMLERLDLAFEDLRKQLFGRLHEVVRKQLFGNAESRKVVQENYSKGHLNGAAALSSSSKSVRFQGSAQTYKYEAENSSCSDDSSKIKIAIVGFGNFGQFLAKTFVQQGHSVLAYSRANYSDAAQRLGVSYFSNADDLCEEHPEVIVLCTSILSTEKVLKSLPFQRLKRSTLFVDVLSVKEFPRNLFLQHLPSHFDILCTHPMFGPESGKNGWNRLAFVFEKVRIGSEGSRVSRCDKFLDIFARERCRMVEMSCAEHDWYAAGSQFITHTMGRVLEKLGMESTPVNTKGYETLLNLVENTAGDSFDLYYGLFMYNVNAMEQLERLDLAFESLKDQLFGRLHGVLRKQLFGSSDNSQDLSEEPSDAKFSQNSAALVSSCLETLNAENN >Potri.006G062600.2.v4.1 pep chromosome:Pop_tri_v4:6:4460777:4465004:-1 gene:Potri.006G062600.v4.1 transcript:Potri.006G062600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062600.v4.1 MPSFSSLRLPPPKPSLLSLSPVPPCLPPSKSLIFTPRIKPMTTTTLSPRLQSSCSSSATKANPKNQTQNPSSYDLKIAIIGFGNYGQFLAKTLISQGHTVLAHSRSDHSLAAKSLGVSFFLDPHDLCERHPDVILLCTSIISTETVLKSLPLQRLKRNTLFVDVLSVKEFAKNVLLDVLPHDFDIICSHPMFGPQSAKHGWDGLYFVYENVRIGNEENRVNRCKKFLEIFEREGCKMVEMSCQEHDKYAAESQFLTHTVGRVLEMLKLESTPINTKGYESLLDLVENTSGDSFDLYYGLFMYNRNVLEMLERLDLAFEDLRKQLFGRLHEVVRKQLFGNAESRKVVQENYSKGHLNGAAALSSSSKSVRFQGSAQTYKYEAENSSCSDDSSKIKIAIVGFGNFGQFLAKTFVQQGHSVLAYSRANYSDAAQRLGVSYFSNADDLCEEHPEVIVLCTSILSTEKVLKSLPFQRLKRSTLFVDVLSVKEFPRNLFLQHLPSHFDILCTHPMFGPESGKNGWNRLAFVFEKVRIGSEGSRVSRCDKFLDIFARERCRMVEMSCAEHDWYAAGSQFITHTMGRVLEKLGMESTPVNTKGYETLLNLVENTAGDSFDLYYGLFMYNVNAMEQLERLDLAFESLKDQLFGRLHGVLRKQLFGSSDNSQDLSEEPSDAKFSQNSAALVSSCLETLNAENN >Potri.001G245200.1.v4.1 pep chromosome:Pop_tri_v4:1:26221267:26224200:1 gene:Potri.001G245200.v4.1 transcript:Potri.001G245200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245200.v4.1 MAEIYRGVVSENEASSTSCEPSSRIARRRRMEIRRLRFVAGVASTETEADDMGTHKRQKLQVYSSTRSGSNISPCSRDCQNAVENCGAEHPDENKPFEKGKSSENIISRQYSLNLTSSPSILSTSLIDPDQLFPKFGVASVCGRRRDMEDAVAIHPSFCRKDQETTTELHFFGVYDGHGCSHVAVKCKERLHELVKEEFGGIKEGWKSAMERSFRRMDKEVIAWNQGVEVRANCKCEMQTPECDAVGSAAVVAVVSPDKIVVANCGDSRAVLCRNGKPLPLSSDHKPDRPDELNRIENAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVSCEPEVTIMDRTAEDDCLIIASDGLWDVVSNETACVVARTCLRGKGHAPAPCSPRLVENNEAVGIPAGSSGSGEMSDKACSEASMLLTKMALARHSTDNVSVVVVNLRKDT >Potri.001G245200.4.v4.1 pep chromosome:Pop_tri_v4:1:26221256:26223645:1 gene:Potri.001G245200.v4.1 transcript:Potri.001G245200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245200.v4.1 MAEIYRGVVSENEASSTSCEPSSRIARRRRMEIRRLRFVAGVASTETEADDMGTHKRQKLQVYSSTRSGSNISPCSRDCQNAVENCGAEHPDENKPFEKGKSSENIISRQYSLNLTSSPSILSTSLIDPDQLFPKFGVASVCGRRRDMEDAVAIHPSFCRKDQETTTELHFFGVYDGHGCSHVAVKCKERLHELVKEEFGGIKEGWKSAMERSFRRMDKEVIAWNQGVEVRANCKCEMQTPECDAVGSAAVVAVVSPDKIVVANCGDSRAVLCRNGKPLPLSSDHKPDRPDELNRIENAGGRVIYWDGPRVLGVLAMSRAIGKYISKTRLLS >Potri.001G245200.6.v4.1 pep chromosome:Pop_tri_v4:1:26221256:26223707:1 gene:Potri.001G245200.v4.1 transcript:Potri.001G245200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245200.v4.1 MAEIYRGVVSENEASSTSCEPSSRIARRRRMEIRRLRFVAGVASTETEADDMGTHKRQKLQVYSSTRSGSNISPCSRDCQNAVENCGAEHPDENKPFEKDQLFPKFGVASVCGRRRDMEDAVAIHPSFCRKDQETTTELHFFGVYDGHGCSHVAVKCKERLHELVKEEFGGIKEGWKSAMERSFRRMDKEVIAWNQGVEVRANCKCEMQTPECDAVGSAAVVAVVSPDKIVVANCGDSRAVLCRNGKPLPLSSDHKPDRPDELNRIENAGGRVIYWDGPRVLGVLAMSRAIGDNYLKPYVSCEPEVTIMDRTAEDDCLIIASDGLWDVVSNETACVVARTCLRGKGHAPAPCSPRLVENNEAVGIPAGSSGSGEMSDKACSEASMLLTKMALARHSTDNVSVVVVNLRKDT >Potri.007G014400.6.v4.1 pep chromosome:Pop_tri_v4:7:1070171:1074400:-1 gene:Potri.007G014400.v4.1 transcript:Potri.007G014400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND5A MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKSIEGWDSSYFYEESSGVSSVVDPMDYITRQPQNFLAQNFLCKQEIEGDNLSFMHSENFVQLPQLESPSLPLIKRPSSSVSLISENNNSNNEVEEQNRMLSNNNTQKVTDWRALDKFVASQLSQEDRYDGDGVSSFVGAENNSSDMSLLLLQSGRDEGNNKFNGFLSSSPDCDIGICIFEK >Potri.007G014400.3.v4.1 pep chromosome:Pop_tri_v4:7:1070171:1073471:-1 gene:Potri.007G014400.v4.1 transcript:Potri.007G014400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND5A MKNLDKQTKWSGPVSALMRHTLCSSKSALKTSSTVVMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKSIEGWDSSYFYEESSGVSSVVDPMDYITRQPQNFLAQNFLCKQEIEGDNLSFMHSENFVQLPQLESPSLPLIKRPSSSVSLISENNNSNNEVEEQNRMLSNNNTQKVTDWRALDKFVASQLSQEDRYDGDGVSSFVGAENNSSDMSLLLLQSGRDEGNNKFNGFLSSSPDCDIGICIFEK >Potri.007G014400.2.v4.1 pep chromosome:Pop_tri_v4:7:1070154:1074488:-1 gene:Potri.007G014400.v4.1 transcript:Potri.007G014400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND5A MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKSIEGWDSSYFYEESSGVSSVVDPMDYITRQPQNFLAQNFLCKQEIEGDNLSFMHSENFVQLPQLESPSLPLIKRPSSSVSLISENNNSNNEVEEQNRMLSNNNTQKVTDWRALDKFVASQLSQEDRYDGDGVSSFVGAENNSSDMSLLLLQSGRDEGNNKFNGFLSSSPDCDIGICIFEK >Potri.007G014400.4.v4.1 pep chromosome:Pop_tri_v4:7:1070151:1073749:-1 gene:Potri.007G014400.v4.1 transcript:Potri.007G014400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND5A MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQNKSIEGWDSSYFYEESSGVSSVVDPMDYITRQPQNFLAQNFLCKQEIEGDNLSFMHSENFVQLPQLESPSLPLIKRPSSSVSLISENNNSNNEVEEQNRMLSNNNTQKVTDWRALDKFVASQLSQEDRYDGDGVSSFVGAENNSSDMSLLLLQSGRDEGNNKFNGFLSSSPDCDIGICIFEK >Potri.007G014400.5.v4.1 pep chromosome:Pop_tri_v4:7:1070171:1074492:-1 gene:Potri.007G014400.v4.1 transcript:Potri.007G014400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND5A MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIREIDLYRIEPWDLQERCRIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKSVYDKTKLIGMRKTLVFYKGRAPNGQKTDWIMHEYRLESDENGPPQASLSTYVTLPPSPPQLICHTIKEEGWVVCRAFKKRTTGQNKSIEGWDSSYFYEESSGVSSVVDPMDYITRQPQNFLAQNFLCKQEIEGDNLSFMHSENFVQLPQLESPSLPLIKRPSSSVSLISENNNSNNEVEEQNRMLSNNNTQKVTDWRALDKFVASQLSQEDRYDGDGVSSFVGAENNSSDMSLLLLQSGRDEGNNKFNGFLSSSPDCDIGICIFEK >Potri.003G085600.1.v4.1 pep chromosome:Pop_tri_v4:3:11182981:11187426:-1 gene:Potri.003G085600.v4.1 transcript:Potri.003G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085600.v4.1 MDGLIKGLMDVALDHLGQKDDESRDERSRSSWAEVVSGEEQDDRQSQAYNRNNQWESQESEGRRKEGWETEGSRRPQKAMNEGYGRNEGLEEQHDYGPNHWNRKEDGGESNDGWETVGKKHPQRPHKIQMDQWNGYKRPPSEQEYSNEVEESAGIGPTKEELADLSQACNRLWELDRNRLAPGKDYRIDCGEGKKVYEKEDMAQESLFSWLSDDVLRRPTFSRFCSLLDNYNPNQGSKEVITSEERQEQAAFIEEISRTAPIKYLHMYLASKGIVSENYQDFKRILTSLWFDLYGRGGTSSCSSAFEHVFVGEIKQRGEQEVSGFHNWLQFYLEEAKGAVDYQGYISPRRGGQIPDSESQVLTIQFEWNGVLKSVSSTLLGVSPEFEIALYTLCFYVGGEDNYVELGPYPVNIKCYRFGDRIGSVFPVA >Potri.009G153100.1.v4.1 pep chromosome:Pop_tri_v4:9:12019192:12020630:-1 gene:Potri.009G153100.v4.1 transcript:Potri.009G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153100.v4.1 MNTTEAMAGQLNDQAYEDYNPTLEWVRDAGFDTLLVYIPGFKKQQLKVQVTSTRTLRIMGERSHGDNKWSSFHKELPIPLYYDVNQISAKFEGGILQVKHPKKITNPANPVQETAEPQKPNNEKPQDQKSGQEQFDQEVPPKTETDEPTSGKINGLENATVTEANNIHVPPKTSEDQKSDLGLAEPEKNTSTGDEKHEDDGYSVQNAAKMQQEEETAVVSGISKAKLTHLKQVFGGLVAEMKKPRKSTHFVVAAGLLVLLLGIYVQNAIRSNGEAEN >Potri.019G055100.1.v4.1 pep chromosome:Pop_tri_v4:19:9312084:9318794:1 gene:Potri.019G055100.v4.1 transcript:Potri.019G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055100.v4.1 MEKAALLQVFALLLVISSLHAFQSDELDEEFGLEGGNLQPQERIPDPVVPTRSTPNRVKYSDSDSDSKIQITLEHAFGDSDFFPAATFSARLKTWSHGAQTLTKLRFSRNSFTEVEKQKFQKLLEDDEFYRIRLPSNVLNPPGKDFVISSVRARCLPRDGLDEHFVIHTEGVNILAVNYGSPGTCPYPRQLKLPAKWSFNSHTVLKNSEQAPRTPIFAEDLPGEQGEGVDVPPPERSFWAKYWMYLIPLGLIVMNAMTQAMNLPEEQATGQSGAQPAAAIQRGPNPAVRRR >Potri.019G055100.2.v4.1 pep chromosome:Pop_tri_v4:19:9312150:9316332:1 gene:Potri.019G055100.v4.1 transcript:Potri.019G055100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055100.v4.1 MEKAALLQVFALLLVISSLHAFQSDELDEEFGLEGGNLQPQERIPDPVVPTRSTPNRVKYSDSDSDSKIQITLEHAFGDSDFFPAATFSARLKTWSHGAQTLTKLRFSRNSFTEVEKQKFQKLLEDDEFYRIRLPSNVLNPPGKDFVISSVRARCLPRDGLDEHFVIHTEGVNILAVNYGSPGTCPYPRQLKLVS >Potri.008G159000.3.v4.1 pep chromosome:Pop_tri_v4:8:10923150:10926813:1 gene:Potri.008G159000.v4.1 transcript:Potri.008G159000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159000.v4.1 MATMSALFTKTSYPPHSLPKSFNTRFSPTLKLSFAPKIQWKRVRIQSGLIDPDGGKLVELFVEKSQKDAKKKEAISLPKVKLTMIDIQWLHVLSEGWASPLRGFMRESEFLQTLHFNSLRLENGSVVNMSVPIVLAIDDLQKQSIGESKRVALVDSDDNTVAILSDVEIYKHPKEERIARTWGTTAPGLPYVEETIAGSGNWLIGGDLEVIEPIKYHDGLDHFRLSPAELREEFTRRNADAVFAFQLRNPVHNGHALLMTDTRRRLLEMGYKNPILLLHPLGGYTKADDVPLSWRMKQHVKVLEDGVLDPETTVVSIFPSPMHYAGPTEVQWHAKARINAGANFYIVGRDPAGMSHPVEKRDLYDADHGKKVLSVAPGLERLNILPFRVAAYDKTQGKMAFFDPSRPGDFLFISGTKMRTLAKNKENPPDGFMCPGGWKVLVEYYDSLSLANNGKVPEPVPA >Potri.001G310300.1.v4.1 pep chromosome:Pop_tri_v4:1:32079163:32079543:1 gene:Potri.001G310300.v4.1 transcript:Potri.001G310300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310300.v4.1 MASTSVVLMTLMAILLVGSTMARSSKSSPTPSPEAHVPAHSPTPAPASVPTPPSPSPSKAPPKAAPTPAPTVGSPPSPPPSSTPAPANPTASYSPADAPKPSNAVLKKVSIGGVLSVGLFAAVLVA >Potri.009G094400.1.v4.1 pep chromosome:Pop_tri_v4:9:8545413:8554943:1 gene:Potri.009G094400.v4.1 transcript:Potri.009G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094400.v4.1 MSFDSSRSSSANSSRKSKRGLNNSNSSNNKNSKQKTLGMGRGANSLSSSRPSFRNSPFSNFGSYMVEKNRKLQHQFEAEASTSSHPGSSSGKLIFQGVSIFIDGFTIPSNQELRAYMLKYGGRFANYFSRHQVTHIICSSLPDSKIKNLRSFSGGLPVVKPEWILDSIVANKLLNWFPYQLNQLANNQPKLSAFFTLKSNPVPENVLTDEVCQVNLDPILKGGTTKDVYISEVDEPVRFAEQAGELLDDPNHQLEELNGSSGKSAEVKMAEFGSSDAEYGNSVNSKHQSGPDLFSASVSGYCLHNQRSDGSLSSEPSGPSNRRHSTLGDPNFVENYFKSSRLHFIGTWRSRYRKRFPSSSSEFKCRSSDLNTSDNSNKSTIIHVDMDCFFVSVVIRNHPELHDKPVAVCHSDNPKGTAEISSANYPARNYGVKAGIFVRDAKALCPQLVIFPYNFKAYEEVADQLYNILHKHCHKVQAISCDEAFLDITEKDMGDPELLASTIRKEIFDTTGCTASAGIAGNMLMARLATRSAKPNGQCYIPSVSVDEYLHKLPIKALPGIGHVLEEKLKKQNVWTCGQLRLISKESLQKDFGLKTGEMLWNYSRGVDNRLVGNIQESKTIGAEVNWGVRFKDLQDSQCFLLNLCKEVSFRLQGCRVQGRTFTLKIKKRRKDAGEPAKYMGCGDCENLSHSMTVPIAIDDVEALQRITKQLFGSFCLDVKDIRGVGLQVSKLENADPSKQVLERNSLRSWLTSSSATTEKGCSINSMDKERARIDSEVKNMIGTSGQLFPDQTGFSAQVDTNSSSGISAPPPLSHLDMGVVKSLPAELFSELNEIYGGKLTDFIAKSSVASENINSYPSTPSAEGQELAVDGGEGPLASNMIPLDFVMVENRAKQHMIEEAQAAPSGAGLQNEAISSVSPNNTDLMPLSLSQVDVSVLQQLPEELRGDILGQLPAHRKQELTSNAGSHPLSENPEGTLIINITENQSNSIASVLNTNLWIGSPPQWVDKFTVSSCLILKTLAELYYKLGSTGSLSPILQRIISECLYPLDENGDACGEEATYDLCELFKQYVKLKTELDLEEIYVCFCLLRRLSTKSKFLLQVYNIVFPYLQASVGENYGGGLHI >Potri.015G083300.2.v4.1 pep chromosome:Pop_tri_v4:15:10844518:10847495:-1 gene:Potri.015G083300.v4.1 transcript:Potri.015G083300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083300.v4.1 MPSRPPLLLFLFLISPSFALDFLFDSFNATNPGVILIPDAIVDTSVIRLLNDTNQYSLGRAFYPTRMKMKQTQNSTTTLSSFSTSFVFSILPNIASSPGFGLAFVLSNWTNPPGALASQYFGVFSNPTVPSVAPLLVVEFDTGQNPEFNDPNRNHIGIDLNNIESAKTAPGGYNSSAGFVPVSMGNGQNVRAWIEFDGANFEINVTVAPVGVSRPSVPILSYKNPLIANYTSEEMYVGFSASKTTWVEAQRILAWSFSDTGVARDINVTNLPVFSLPSSSNSLSAGAISGITIGCAVFVMICVFVVYCLWYKNKSKDLEEDEIEDWELEYWPHRFSYEELSQATNGFSKDQLLGSGGFGKVYRGILSNNSEIAVKCVNHDSKQGLREFMAEISSMGRLQHKNLVQMRGWCRKSNELMLVYDYMPNGSLDRYIFHKPKKLLNWQQRRQVLADVAEGLNYLHHGWDQVVVHRDIKSSNILLDSDMRGRLGDFGLAKLYSHNEVPNTTRVVGTLGYLAPELVTMAVATSASDIYSFGVVILEVACGRRPIEMGSTEEEDSVLIDLVRDLHAKGKAVEAADERMKGEFVVEEMEMVLKLGLVCCHPDPQRRPSMREVVAVLVGEDVAAAPAELLNVLASGEGGGDDSTHGGERGGSNTT >Potri.015G083300.3.v4.1 pep chromosome:Pop_tri_v4:15:10844980:10847473:-1 gene:Potri.015G083300.v4.1 transcript:Potri.015G083300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083300.v4.1 MPSRPPLLLFLFLISPSFALDFLFDSFNATNPGVILIPDAIVDTSVIRLLNDTNQYSLGRAFYPTRMKMKQTQNSTTTLSSFSTSFVFSILPNIASSPGFGLAFVLSNWTNPPGALASQYFGVFSNPTVPSVAPLLVVEFDTGQNPEFNDPNRNHIGIDLNNIESAKTAPGGYNSSAGFVPVSMGNGQNVRAWIEFDGANFEINVTVAPVGVSRPSVPILSYKNPLIANYTSEEMYVGFSASKTTWVEAQRILAWSFSDTGVARDINVTNLPVFSLPSSSNSLSAGAISGITIGCAVFVMICVFVVYCLWYKNKSKDLEEDEIEDWELEYWPHRFSYEELSQATNGFSKDQLLGSGGFGKVYRGILSNNSEIAVKCVNHDSKQGLREFMAEISSMGRLQHKNLVQMRGWCRKSNELMLVYDYMPNGSLDRYIFHKPKKLLNWQQRRQVLADVAEGLNYLHHGWDQVVVHRDIKSSNILLDSDMRGRLGDFGLAKLYSHNEVPNTTRVVGTLGYLAPELVTMAVATSASDIYSFGVVILEVACGRRPIEMGSTEEEDSVLIDLVRDLHAKGKAVEAADERMKGEFVVEEMEMVLKLGLVCCHPDPQRRPSMREVVAVLVGEDVAAAPAELLNVLASGEGGGDDSTHGGERGGSNTT >Potri.005G185300.1.v4.1 pep chromosome:Pop_tri_v4:5:19277416:19280080:1 gene:Potri.005G185300.v4.1 transcript:Potri.005G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185300.v4.1 MYPLIKQCHRVSRTSLSYYSYLIDHCFSLKSLSFARITHAQLIKVGLNRHTFLGNRCLDLYSQFGNVNDALKVFDDISSKNIVSWNICLKGLLKFDNLSLACSVFDDMPERDVVSWNSMISGYASRGYFDCALETFWEMQKLGVRPSEFTYSILMSVVFGVRHGKEIHGSIVRSGLGALNVVLGNSLIDMYGKFSSLDYALGVFLTMEELDVISWNSLISVCCQSGYPELALDKFCIMRSLGYSPDEFSVSVVITSCLNLRNLEKGKQIFALCVKVGFLCNTIISSATIDLFSKCNRLEDSVRLFEEQDQWDSAVCNSMISSYARCGFREDGLRLFVLTLKKDIRPTEFTLSSVLHSTSILKLEQGTQFHSLAVKSGLELDAIVASSLVEMYSKFGFIDCSIKIFNKMVARDLIAWNTMIMGLTHNGRVFEALQTFKELIRTGLPPDRITLAGVLLACSFGGFISEGMTVFSSMEERYGVKPSNEHYACFVDLLCQAGRLDEALYVAESMPYEPVSLIWESILHACLIHGDLKLSERVAERLMELEPQSSLPYLVLARMFEIRGQWEGVVRVKKAMKRGKVEKVIGCSWIGVKNQVYTFTADQLQHHRGKEIYLVLRLLNWETEAKGYLQ >Potri.010G001900.1.v4.1 pep chromosome:Pop_tri_v4:10:207213:211325:1 gene:Potri.010G001900.v4.1 transcript:Potri.010G001900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001900.v4.1 MASELQMSPQLEQIHGEIKDNFRALSNGFQRLNNIKDSNRQSKQLEELTGRMKECKRLIKEFDREIKVEESKNPPEVNKQLNDEKQSMIKELNSYVQLRKTYMNSLDNKRVELFDMGAGASEPMAEENVRMASAMSNQELVDAGMKTMNETDQAIERSKQVVEQTIEVGTQTAGTLKGQTEQMGRIVNELDTIQFSIKKASQLVKEIGRQVATDKCIMLFLLLIVCGVIAIIIVKIVNPSNKDIRDIPGLAPPAPSRRLL >Potri.018G115601.1.v4.1 pep chromosome:Pop_tri_v4:18:13183014:13184777:-1 gene:Potri.018G115601.v4.1 transcript:Potri.018G115601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115601.v4.1 MRPSLVVSLLLLSFLLQEAQGIRLEKGFMQVRAQKVQGDKSSLKERSANGGVLGEAILCKEEHCIGMGRKLIAVTTSTSSTTITTSKNEKNEGNKAGSISKRRSSKEEIVGEREKLLVNSWRNSDHKVNEDHYEEIMDLTQMDYSPARRKSPIHN >Potri.018G115601.2.v4.1 pep chromosome:Pop_tri_v4:18:13183691:13184612:-1 gene:Potri.018G115601.v4.1 transcript:Potri.018G115601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115601.v4.1 MRPSLVVSLLLLSFLLQEAQGIRLEKGFMQVRAQKVQGDKSSLKERSANGGVLGEAILCKEEHCIGTITSTEKRVSKSASKNSSHHWLPSIHEDYYGPRNHKPKHH >Potri.003G167400.1.v4.1 pep chromosome:Pop_tri_v4:3:17653512:17654015:1 gene:Potri.003G167400.v4.1 transcript:Potri.003G167400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G167400.v4.1 MDVSKGKGKFKGNLIIKTWERCISFGRGSKRTSRLERSLTPKSKSCPHIKVSLEDDHDQKHSRKSRVAPEGCFSVYVGPQKQRFVIKTEYANHPLFKILLEEAESEYGYNPEGPLTLPCNVDIFYKVLMAMEDTGIDNKIHRGCSFAKNYGSYHLLSPSRMIVLNQF >Potri.019G079101.1.v4.1 pep chromosome:Pop_tri_v4:19:11968712:11971755:-1 gene:Potri.019G079101.v4.1 transcript:Potri.019G079101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079101.v4.1 MAEKTDVNAKWDACLDLSVRRFVYSSLAGAFGGLLLFRSPVSRWASVAFGAGVGIGSAYTDCSRIFQGSPAKMECPKKTSSVHKELTPLNTPSVPASQDGQD >Potri.006G143500.2.v4.1 pep chromosome:Pop_tri_v4:6:12103051:12106414:1 gene:Potri.006G143500.v4.1 transcript:Potri.006G143500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143500.v4.1 MESKPPQDAQRKYRFMPKAPPRRVPKPEVKTEKVENVDTLQAMNLMKQFQERSLKQKITNEKKVQKLDIAFGPGAAATKPFPSWSTINRDQGSSSNGNADAPGPREKEYIEPWDYYSNYPVSLPMRRPYSGNSAILDEEEFGEVSEAATYDENSTNSAVELGLMEENVEASMLFVQLPPTMPMIKRSATAVGPEVKESSRPSGGARAIEKTCRLDELPAGYMGKVLVYRSGAVKLKLGDTLYDVSPGMNSIFAQDVVAINRGEETCCVVAEIEKRVTLIPDVDAIISRVAEM >Potri.006G143500.3.v4.1 pep chromosome:Pop_tri_v4:6:12103051:12106414:1 gene:Potri.006G143500.v4.1 transcript:Potri.006G143500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143500.v4.1 MPRGRFMPKAPPRRVPKPEVKTEKVENVDTLQAMNLMKQFQERSLKQKITNEKKVQKLDIAFGPGAAATKPFPSWSTINRDQGSSSNGNADAPGPREKEYIEPWDYYSNYPVSLPMRRPYSGNSAILDEEEFGEVSEAATYDENSTNSAVELGLMEENVEASMLFVQLPPTMPMIKRSATAVGPEVKESSRPSGGARAIEKTCRLDELPAGYMGKVLVYRSGAVKLKLGDTLYDVSPGMNSIFAQDVVAINRGEETCCVVAEIEKRVTLIPDVDAIISRVAEM >Potri.006G143500.1.v4.1 pep chromosome:Pop_tri_v4:6:12103051:12106414:1 gene:Potri.006G143500.v4.1 transcript:Potri.006G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143500.v4.1 MESKPPQDAQRKYRFMPKAPPRRVPKPEVKTEKVENVDTLQAMNLMKQFQERSLKQKITNEKKVQKLDIAFGPGAAATKPFPSWSTINRDQGSSSNGNADAPGPREKEYIEPWDYYSNYPVSLPMRRPYSGNSAILDEEEFGEVSEAATYDENSTNSAVELGLMEENVEASMLFVQLPPTMPMIKRSATAVGPEVKESSRPSGGARAIEKTCRLDELPAGYMGKVLVYRSGAVKLKLGDTLYDVSPGMNSIFAQDVVAINRGEETCCVVAEIEKRVTLIPDVDAIISRVAEM >Potri.001G171800.1.v4.1 pep chromosome:Pop_tri_v4:1:14755168:14758482:1 gene:Potri.001G171800.v4.1 transcript:Potri.001G171800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171800.v4.1 MESLHRCSLRLLPISLAEKCFKPTTLPTIFRFTRMLVPKPEFMNPNPHFTTRKSLSSSHTAKAGWLLGMGEKKKTSLPDIVKAGDPVLHEPAREVDPKEIGSERIQKIIDDMVKVMRMAPGVGLAAPQIGIPLRIIVLEDTAEYIGYAPKNEIKAQDRRPFDLLVIVNPKLKKKSNRTAFFFEGCLSVDGFRAIVERHLDVEVIGLSRDGQPIKVDASGWQARILQHECDHLEGTLYVDKMVPRTFRTVENLDLPLAEGCPEPGSR >Potri.016G045500.1.v4.1 pep chromosome:Pop_tri_v4:16:2918916:2921715:1 gene:Potri.016G045500.v4.1 transcript:Potri.016G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045500.v4.1 MAESEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNTPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDMKEKLAYIALDYEQELETAKTSSSVEKSYELPDGQVITIGAERFRCPEVLFQPSMIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWIAKAEYDESGPSIVHRKCF >Potri.002G020700.1.v4.1 pep chromosome:Pop_tri_v4:2:1278181:1279114:-1 gene:Potri.002G020700.v4.1 transcript:Potri.002G020700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020700.v4.1 MASTSSLASFSSSSSPFIGSKFSTNQPHSLPFRASFRPFSVSASCASTAERPPSRNATQISLYEVLGIQMGATCQEIKAAYRKLARTLHPDVAANVQKEDTAYEFIKVHEAYETLSDPDKRADYDRSLFRPGRQMSSPFVMSAATMETNVVAAGFPAYTRRRWETDQCW >Potri.008G161800.3.v4.1 pep chromosome:Pop_tri_v4:8:11130323:11132529:1 gene:Potri.008G161800.v4.1 transcript:Potri.008G161800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161800.v4.1 MDHFFQQQFLQGDVFCYANGPVNQSAFVPYICRPWGGSEVGVGSSSMGVYPTNMNRRMIEFMRRSFTVKIETQEPDSKRCYRHKMSERLRRQRERNGYLALHSLLPHDTKKDKNSIMLMAAKKIQELEMYKEMLKRRNDEIEERLAASGIRNVESTKIRIEVANPTSGVDPMIDVLKCLKSLGTKTRSIQSQFSDQELVAVMEIETKIEAAEIENAVKRTLA >Potri.002G103300.1.v4.1 pep chromosome:Pop_tri_v4:2:7554373:7558569:-1 gene:Potri.002G103300.v4.1 transcript:Potri.002G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103300.v4.1 MGEDWGPQQQFNWQSPDTNYLGASFSSGQQNNPPLLMDPNVNMISTNGLPEYPFAELLRSQVGQENEPRGWFYGLPRFRQAFMPPFHSVLKEKRPLLDTVLKEKLPTAPREIKDIVPQSDSGRAQKRFLVFDQSGDQTTLIFSSGIGTPAQCLKSWIPKPTIAFDLNKEVTGAKENQSFHLGPISTDEFVEDDGIEMQTDVHEDIEELNALLYSDDDSDYTEDEEVTSTGHSPNTMTTHDKRDWFDGSTEDVASSDGSNKKRKLFDGGYIDGPSLMDTARSVKPTRDFEYEDDAESRCDNGTNLMSEEMGSESVNKRMRKERIRETVSILQNLIPGGKGKDAVIVLEEAIQYLKSLKFEAKALGLDAP >Potri.001G418800.1.v4.1 pep chromosome:Pop_tri_v4:1:44682112:44685939:-1 gene:Potri.001G418800.v4.1 transcript:Potri.001G418800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418800.v4.1 MEKLRRVVKEIAYVQDYTKLSTLHQSLIPILSLASSLYRVVLSIRHYLYHFGFFSKHRLPVPVISVGNLTWGGNGKTPMVEFISSWLADSGISPLILTRGYAGGDEARMLTRHLRGRAVKIGVGANRAATAACFFKRHGYVDPRDYLVEGKWHEQKEGSRINSRKVGVVVLDDGMQHWSLQRDIEIVMVNGLTPWGNHQILPLGPLREPLKALGRADVAVIHHANLVSEHNLRDIKLMMQEVKKSLPICFTRMSPTHFFEVGNINTRTPLDILCNGVVLCVSAIGSANAFVQGIKKMGTLYVDRLDFSDHHSLQDTDIEIIRMKLKELEDKFGSMPVVVITEKDYDRDPEILRHLSPYKVMALCSELQIIPCRGNGDDDFKKLLKELVEVKFLGANQN >Potri.015G100400.1.v4.1 pep chromosome:Pop_tri_v4:15:12007597:12011290:-1 gene:Potri.015G100400.v4.1 transcript:Potri.015G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100400.v4.1 MSKIMEPTTHSDPNLNPIRPNEPELDLYTIPSYSSWFSWHDIHETERVALREFFDGSSITRTPKIYKEYRDFIINKYREDPSRRLTFTEIRKSLVGDVSLLNKVFLFLNNWGLINFSCEKNEEIGSGSGNVDVRVEDGAPNGVRIVEMPDKLKPISVGSVQSSAEGSGGGGSGTGLKLPPLASYSDVFGELVGKKKEVVCGNCGGSCDSGQYEYSKGDYLICQKCFNDGTYGENKSKDDFKLKDSAENNGSNAAVWTEEETLRLLESVSRHGNDWDLVAQNVKTKTKLDCISKLIELPFGDLILSSTYGKGNSSGQIGSTNNSKQVPAAPSEHQDDTKHEDQLHEQMNANEEKGDVMDDGPLLKRRRITSVSDAGGSLMKQVALISTMVGPDITAAAAEAAVAALCDETACPREIFDGEEDFPSNGFSSPSFHSKSKRVDEVDASEVKQTPTQSVNEEASAWQNDIPLSLRLRAAVATTLGAAAAHAKLLADQEDREVENLMATIVETQLKKLHHKIKHFDDLELIMEKEYAELDELTESLTEERIDVLQRAIRAGISKSRDHAPIKFHMSNVV >Potri.015G100400.2.v4.1 pep chromosome:Pop_tri_v4:15:12007614:12011262:-1 gene:Potri.015G100400.v4.1 transcript:Potri.015G100400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100400.v4.1 MPDKLKPISVGSVQSSAEGSGGGGSGTGLKLPPLASYSDVFGELVGKKKEVVCGNCGGSCDSGQYEYSKGDYLICQKCFNDGTYGENKSKDDFKLKDSAENNGSNAAVWTEEETLRLLESVSRHGNDWDLVAQNVKTKTKLDCISKLIELPFGDLILSSTYGKGNSSGQIGSTNNSKQVPAAPSEHQDDTKHEDQLHEQMNANEEKGDVMDDGPLLKRRRITSVSDAGGSLMKQVALISTMVGPDITAAAAEAAVAALCDETACPREIFDGEEDFPSNGFSSPSFHSKSKRVDEVDASEVKQTPTQSVNEEASAWQNDIPLSLRLRAAVATTLGAAAAHAKLLADQEDREVENLMATIVETQLKKLHHKIKHFDDLELIMEKEYAELDELTESLTEERIDVLQRAIRAGISKSRDHAPIKFHMSNVV >Potri.010G254200.7.v4.1 pep chromosome:Pop_tri_v4:10:22542526:22548588:-1 gene:Potri.010G254200.v4.1 transcript:Potri.010G254200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254200.v4.1 MMIDDDVETGNLGPYQERPRIFPNMRSKPYNPLIFRVFKRINVRVLFILLLLGFGGIFYIGARTSPIIVFVFTVCIFSFILSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMALLLALVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSSAKSPMEDPMAILQKGYSITIFLAVLTFVASTYWMLYTEQAPSAWIHFALCGLVGIITAYFFVWITKYYTDYKHGPVRALALASSTGHGTNIIAGVSLGLEATALPVLVISVSIISAFWLGNTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVATFAREPFTQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMVVGVVFRILGYYTGQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGAYGGKGSDCHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >Potri.010G254200.6.v4.1 pep chromosome:Pop_tri_v4:10:22542958:22548598:-1 gene:Potri.010G254200.v4.1 transcript:Potri.010G254200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254200.v4.1 MMIDDDVETGNLGPYQERPRIFPNMRSKPYNPLIFRVFKRINVRVLFILLLLGFGGIFYIGARTSPIIVFVFTVCIFSFILSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMALLLALVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSSAKSPMEDPMAILQKGYSITIFLAVLTFVASTYWMLYTEQAPSAWIHFALCGLVGIITAYFFVWITKYYTDYKHGPVRALALASSTGHGTNIIAGVSLGLEATALPVLVISVSIISAFWLGNTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVATFAREPFTQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMVVGVVFRILGYYTGQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGAYGGKGSDCHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >Potri.010G254200.8.v4.1 pep chromosome:Pop_tri_v4:10:22542958:22548563:-1 gene:Potri.010G254200.v4.1 transcript:Potri.010G254200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254200.v4.1 MMIDDDVETGNLGPYQERPRIFPNMRSKPYNPLIFRVFKRINVRVLFILLLLGFGGIFYIGARTSPIIVFVFTVCIFSFILSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMALLLALVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSSAKSPMEDPMAILQKGYSITIFLAVLTFVASTYWMLYTEQAPSAWIHFALCGLVGIITAYFFVWITKYYTDYKHGPVRALALASSTGHGTNIIAGVSLGLEATALPVLVISVSIISAFWLGNTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVATFAREPFTQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMVVGVVFRILGYYTGQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGAYGGKGSDCHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >Potri.010G254200.4.v4.1 pep chromosome:Pop_tri_v4:10:22542527:22548875:-1 gene:Potri.010G254200.v4.1 transcript:Potri.010G254200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254200.v4.1 MMIDDDVETGNLGPYQERPRIFPNMRSKPYNPLIFRVFKRINVRVLFILLLLGFGGIFYIGARTSPIIVFVFTVCIFSFILSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMALLLALVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSSAKSPMEDPMAILQKGYSITIFLAVLTFVASTYWMLYTEQAPSAWIHFALCGLVGIITAYFFVWITKYYTDYKHGPVRALALASSTGHGTNIIAGVSLGLEATALPVLVISVSIISAFWLGNTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVATFAREPFTQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMVVGVVFRILGYYTGQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGAYGGKGSDCHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >Potri.010G254200.5.v4.1 pep chromosome:Pop_tri_v4:10:22542946:22548616:-1 gene:Potri.010G254200.v4.1 transcript:Potri.010G254200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254200.v4.1 MMIDDDVETGNLGPYQERPRIFPNMRSKPYNPLIFRVFKRINVRVLFILLLLGFGGIFYIGARTSPIIVFVFTVCIFSFILSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMALLLALVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSSAKSPMEDPMAILQKGYSITIFLAVLTFVASTYWMLYTEQAPSAWIHFALCGLVGIITAYFFVWITKYYTDYKHGPVRALALASSTGHGTNIIAGVSLGLEATALPVLVISVSIISAFWLGNTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVATFAREPFTQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMVVGVVFRILGYYTGQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGAYGGKGSDCHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >Potri.010G254200.1.v4.1 pep chromosome:Pop_tri_v4:10:22542528:22548619:-1 gene:Potri.010G254200.v4.1 transcript:Potri.010G254200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254200.v4.1 MMIDDDVETGNLGPYQERPRIFPNMRSKPYNPLIFRVFKRINVRVLFILLLLGFGGIFYIGARTSPIIVFVFTVCIFSFILSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMALLLALVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSSAKSPMEDPMAILQKGYSITIFLAVLTFVASTYWMLYTEQAPSAWIHFALCGLVGIITAYFFVWITKYYTDYKHGPVRALALASSTGHGTNIIAGVSLGLEATALPVLVISVSIISAFWLGNTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVATFAREPFTQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMVVGVVFRILGYYTGQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGAYGGKGSDCHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >Potri.010G254200.9.v4.1 pep chromosome:Pop_tri_v4:10:22542921:22548551:-1 gene:Potri.010G254200.v4.1 transcript:Potri.010G254200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254200.v4.1 MMIDDDVETGNLGPYQERPRIFPNMRSKPYNPLIFRVFKRINVRVLFILLLLGFGGIFYIGARTSPIIVFVFTVCIFSFILSIYLTKWVLSKDEGPPEMVQISDAIRDGAEGFFRTQYSTISKMALLLALVILCIYLFRSTTPQQESSGLGRSTSAYITVAAFLLGALCSGIAGYVGMWVSVRANVRVSSAARRSAREALQIAVRAGGFSALVVVGMAVIGIAILYATFYVWLGVDSPGSMKVTDLPLLLVGYGFGASFVALFAQLGGGIFTKAADVGADLVGKVEQGIPEDDPRNPAVIADLVGDNVGDCAARGADLFESIAAEIISAMILGGTMAQRCKIEDPSGFILFPLVVHSFDLVISSVGILSIRGTRDSSAKSPMEDPMAILQKGYSITIFLAVLTFVASTYWMLYTEQAPSAWIHFALCGLVGIITAYFFVWITKYYTDYKHGPVRALALASSTGHGTNIIAGVSLGLEATALPVLVISVSIISAFWLGNTSGLVDEAGNPTGGLFGTAVATMGMLSTAAYVLTMDMFGPIADNAGGIVEMSQQPESVREITDVLDAVGNTTKATTKGFAIGSAALASFLLFSAYMDEVATFAREPFTQVDIAIPEVFVGGLLGSMLIFLFSAWACSAVGRTAQEVVKEVRRQFIERPGIMDYKEKPDYGRCVAIVASASLREMIKPGALAIISPMVVGVVFRILGYYTGQPLLGAKVVAAMLMFATVSGILMALFLNTAGGAWDNAKKYIETGAYGGKGSDCHKAAVTGDTVGDPFKDTAGPSIHVLIKMLATITLVMAPVFL >Potri.015G069400.1.v4.1 pep chromosome:Pop_tri_v4:15:9522799:9525682:1 gene:Potri.015G069400.v4.1 transcript:Potri.015G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069400.v4.1 MASGSINASIPSIPRDFGKKKRANRSAKLKQCKLDARREQWLSQGAVKNKGCKEELTGPRVSPQQINEEGRKNPLENSQMRRRGGGAGEDENENENGSIHHDFDLDSPLNSPTGSSFLGGNESGTNFTASSSSSTSSGSSVGGSITEEDGEENDNCLDDWEAMADALVANDDDNKLENHIDEINPCLELQSSLEHEPVVQLDCNLQNLGSNNENLTQERTVPPRVAPGNSRAWRPDDALRPQSLPNLPKQRSFPNTDRHYGQGVLPWGCASGANVPTSCPICTEDLDITDTSFLPCSCGFQVCLFCHKKMLELDGRCPNCRELYKNDPVKVEASVRRGSLTLRLARSCSMRS >Potri.015G030200.1.v4.1 pep chromosome:Pop_tri_v4:15:2309313:2312852:1 gene:Potri.015G030200.v4.1 transcript:Potri.015G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030200.v4.1 MSPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSFFPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVNCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTSGIQVDSYALCRVFKKNGICSEIEEQGQCTSTSLSLMESSPQGVINEYETMSPDVPIASSSCVEEEDKDDSWMQFITDEPWCSSNNAMVGGEEISHSTFTD >Potri.015G030200.2.v4.1 pep chromosome:Pop_tri_v4:15:2309313:2312852:1 gene:Potri.015G030200.v4.1 transcript:Potri.015G030200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030200.v4.1 MSPVGLPPGFRFHPTDEELVNYYLKRKINGQEIELDIIPEVDLYKCEPWELAEKSFFPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVNCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTSGIQDSYALCRVFKKNGICSEIEEQGQCTSTSLSLMESSPQGVINEYETMSPDVPIASSSCVEEEDKDDSWMQFITDEPWCSSNNAMVGGEEISHSTFTD >Potri.009G118700.2.v4.1 pep chromosome:Pop_tri_v4:9:9962461:9965131:-1 gene:Potri.009G118700.v4.1 transcript:Potri.009G118700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118700.v4.1 MQQSKMMDFKKLEDVMKQPEGFSLVDKKENLVSNFMADQKHHQIDSGSALQMFLDHIPISSIPGIKSSPVVELKIEDRVKDAIHLLYEKNVSGAPIADVVDPDTIIGRFSDQYVGYIDLAGMVLWALEECEKAYMQTRGTDGDENGKSSMFTMLEDNPQIGQTKVGELAKSYLWDPFFPVHLDDTLFHVLLLLSNHHRLQVVPVIERSNFQGIGFVTQNAVIQLLLQSSGLEWFDSIADKALSEFRFGNEERVDLVYGDRSLAEALHILRESRIGVVAVVNRENKKVIGCIRNSDVYLLLENNEILGDRKRLTAGEFIHTETAKENSDGTFERDLGALFAAGALQLRNNFLTKMDSPVTTKKSNTLKQAMKDLAETKGCFCFLVNDAQQPAGLLTLRDVIIQFAPPCIDSNIHGGGFFESALEQTGCQVKNGTVICDH >Potri.012G060900.2.v4.1 pep chromosome:Pop_tri_v4:12:6231368:6233258:-1 gene:Potri.012G060900.v4.1 transcript:Potri.012G060900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060900.v4.1 MGRPEADSSSYETDQPQEHYEQTSEATQTQDDFSSHAYATNYNDSTQQDHYGSQYEDTPANNPQDQQPPPPPPPQQQQQQYNPPPANQGYGGQPAQPSQFPPQGPQTNPMYSNGPTRPAPYPPQGPQTNPTQPAPYPPQGQQTFQTQPAPYPPQSPMNPAAYPPKSPQTFPNQPAQFPPQPPQTNPMYPNGPNQPARFPQEMPQAFPPQAFQNAYQAPQPQVAQFPPKSPAPGIPMQVMNQQQQGWTTGIFDCMDDPTNALITALFPCVTFGQVAEIVDNGQTTCGTNGMIYGMVAFCIAMPCIVSCGYRSKLRAKYGLIEDPAPDWLTHCLFEWCALCQEYRELNNRGLDPSIGWQGNLARQNMMQAQVGMVPPMNQRMMP >Potri.012G109900.1.v4.1 pep chromosome:Pop_tri_v4:12:13029112:13030287:-1 gene:Potri.012G109900.v4.1 transcript:Potri.012G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109900.v4.1 MGQKRIKMELIRKEKSRMLTFRKRKAGLLKKASEFSILCGVDACVIIFGPKQKDDHQPVAPETWPPNSEEVRCIINRYKGSDQPRRCYQVSDYFVDKKKQIDSKLARLHKQIIKAKYPAWDDRLNSLYADQLRVLVGHLDAKIDLADKKLGSFNANQYVMGAPGVQAASLSPSISHDMESYMKSRDDNFLQLIHNSNPFDAQPPMVFYPEQSSHVTNLLERNYSNGYSADLQVYYEPRPLDDQLPVGFQSKQTSHGTTRNASFWESNNGNCYSTDLQLYLEPNPLNVQPPMHFQPKQNAHRTSSYLHAMEDAIMKMACDQYTSDQFGCKLSSSSNLPCVNRTPWMWDNVWFNNADSSVSYIAPTKQPIMPSIQFPMSSFPRDQMQSSEASD >Potri.017G080300.1.v4.1 pep chromosome:Pop_tri_v4:17:8939013:8943579:1 gene:Potri.017G080300.v4.1 transcript:Potri.017G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G080300.v4.1 MATLLSSTSFLGFPFPKHFSYFTPLTDKRNSLRLNKENLLRYSCCVTTCSSSTSVFTMSSSGGSYEKSKRVWIWTESKQVMTAAVERGWNTFIFLSNHRQLAIDWSSFSFINPLFIEEGEVLDGENKRVATIFEVSTPQELQQLQPENGQAENVIINLLDWQIIPAENIVAAFQGSQKTVLAISKTHSEAQIFLEALEHGLGGVVLKVEDVEAVIKLKEYCDRRNEATNLLSLTKATITRVQVAGMGDRVCVDLCSLMKPGEGLLVGSFARGLFLVHSECLESNYIASRPFRVNAGPVHAYVSIPGGRTCYLSELKAGEEVSVADQNGQLRTAIVGRVKIETRPLILVEAKRESDDQTVYSIFLQNAETVALIPPCEGNGLLKAAIPVTSLKVGDEVLLRIQGGARHTGIEIQEFIVEN >Potri.008G030500.1.v4.1 pep chromosome:Pop_tri_v4:8:1646515:1647388:-1 gene:Potri.008G030500.v4.1 transcript:Potri.008G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030500.v4.1 MAPKAEKKPAEKKPAEKKPAAAEKAPAEKPRAEKKLPKEGAIDKKRKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQEASRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.019G011800.2.v4.1 pep chromosome:Pop_tri_v4:19:1672970:1675651:1 gene:Potri.019G011800.v4.1 transcript:Potri.019G011800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011800.v4.1 MALVSGLLLLMLSILFVEARTQPNQFGEIHLGSQLSPISNLLSWQSPSGNFAFGFYSQGNGFAVGTWMMGQPNNTVIWTANRDDEPVSFNATIHLSEEGKLLLRTEQGNEKLIANVSEIAASASMLDSGNFVLYSGSSVIWQSFDYPTDTILVGQNLTDFDKLVSSVSSSNHSSGRFFLAMQEDGNLVAYPTNSAGESVDAYWASSTTGDNKGLSLYLNQQGFLSMDTVSKKPVLLASSSYPCNNKTTIFRATLDADGIFRLYSHCLENKTSRSVHIEWSALNNQCNVHGFCDFNSYCSGMGTNFDCSCYPGFAFNDPSEKFSGCYKNVTESFCRGTKEGEMYDVKAVENILFERFPYSVLHVKKENCGLSCLEDCLCDVALYMNEKCEKYAAPIRYGLKDINISSIAFFKVKAASPAAPPMSPTIIIESKKSLLVFLAIAFGSVTLLCFVIAISTFCVYRDRAFLYEKLSGIISLAGEFTLRSFSYSELEKATSGFMEELGRGSIGAVYRGTIPGGDRTVAVKRLEKVLDEAEKKFRAEITVIGQTYHRNLVRLLGFCVEGSRRVLVYEYLKNGTLADLLFQSERRPIWKERVRIALDIARGILYLHEECQACIIHCNITPQNILMDDSWIAKISDFGLSKLLYPDEIRSSMALSQSRGHLAPEWQNNALMSVKADIYSFGVVLLEVICCRSSIKIDVSTPDEMNLPSWAYQCFAAGQLDKLVKEEVIEFESLERMVKIGLLCVQHDPASRPCIKNVILMLEGSDDIPAPPAIAPSRITA >Potri.008G028900.1.v4.1 pep chromosome:Pop_tri_v4:8:1522190:1528489:-1 gene:Potri.008G028900.v4.1 transcript:Potri.008G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028900.v4.1 MGVYEAGGNGSNGTTTATTCNCCDMSLRCWCRWKWGNHQQQQQPQQNHHNLLHQRLVSLVFSSGFMFFLGCLVLYGSIGMFYGWLVFSKPYSRSTNVGVGLNSLGCQEDNEGSWSIGVFYGDSPFSLKPIEAMNEWRDEGVAWPVANPVVTCASLSDANFPSNFVADPFLYVQGDTLFLFYETKNSITMQGDIAVAKSMDKGATWQQLGIALDEDWHLSYPYVFNYLGQIYMMPESSQKGELRLYRALNFPLQWTLEKVLIKKPLVDSFIINHAGIYWLFGSDHSGFGTRRNGQLEIWYSSSPLGPWKPHKKNPIYNVDKSVGARNGGRPFVYDGNLYRVGQDCGETYGRRVRIFKVEVLTMDDYKEVEVPLGFEEPNKGRNAWNGARYHHLDVQHLSSGKWIAVMDGDRVPSGDPVHRFILGSASLAAVTVVAVVLGVLLGAVKCIIPLSWCAHYSGKRNNALLGRERSNLFSSKVRRFCSRLNRVPLSVRGKIKPNTWAGKLVLAVTIVVGVALMCTGVKYFYGGNDAEEAYPLNGHYSQFTLLTMTYDARLWNLKMYVKHYSRCSSVKEIIVVWNKGRPPRSSDLDSAVPVWIRVEDQNSLNNRFKRDPMLKTRAVLELDDDIMMTCDDIERGFNVWRQHPDRIVGFYPRLISGSPLKYRGEKYARHHKGYNMILTGAAFMDHTVAFERYWSKEAKAGRELVDRYFNCEDVLLNYLYANASSSQTVEYVRPAWAIDTSKFSGVAISRNTNVHYKIRSNCLLKFSEIYGSIAGRKWEFDGRKDGWDL >Potri.008G141100.1.v4.1 pep chromosome:Pop_tri_v4:8:9520226:9523765:-1 gene:Potri.008G141100.v4.1 transcript:Potri.008G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141100.v4.1 MVLSKIFSQSDVSMHSTFASRYVRESLPRYKMPENSIPKEAAFQIINDELMLDGKPRLNLASFVTTWMEPECDKLIMDSINKNYVDMDEYPVTTELQNRCVNMIAHLFNAPLGESEAAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPCDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEDYYVMDPAKAVELVDENTICVAAILGSTLNGEFEDVKLLNDLLLEKNKITGWDTPIHVDAASGGFIAPFLWPELEWDFRLPLVKSINVSGHKYGLVYAGVGWVIWRSKEDLPEELIFHINYLGSDQPTFTLNFSKGSSQIIAQYYQLIRLGYEGYRNVMDNCHDNAMVLKEGLEKTGRFKIVSKDIGVPLVAFSLKDQNRGHNEFEIAETLRRFGWIVPAYTMPADAKHITVLRVVIREDFSRTLAERLVLDITKVMHELDALPAKPSSKMSINGNENGKISGSLEGKNGTVVKKTAMETQREITTYWKNFVMAKKSDKNKIC >Potri.017G091800.1.v4.1 pep chromosome:Pop_tri_v4:17:10444046:10446609:-1 gene:Potri.017G091800.v4.1 transcript:Potri.017G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091800.v4.1 MKKNQEKVHVCKLCKKSFLTGKMLGGHMKIHGARKSIKEYVKFESNNMGSECHGLREQPKKSWKFSGLNHDGSVSMQETAKCRVCGKEFGSPKSLHGHMRHHSAKERKGVYCEECGRGFLSLKSLSNHMRLHHKKLRVSSSGPNLVVMALSATETVNLVRRKRSSRMRYKITPNSSFSRSNESVSGFDIEQEVEEVAITLIMMSRGECNGFDSLCQSKRIERGEGYHGFDGNGFARPKKTGEDYLDSCDLDYKISISGGEGDIGMGYGEADQVRLEVPMESFHKDVESKSPQLDDESGVEFCGIEIEKGGHGELINNCTVAESSLDLMGGVGLDVARLGFQKSIPINQANYDASDAEMGQDARLQMVVATDSDITESPSKKGDFRCRICNRNFISYQSLGGHQTFHRKSSIGLKVDSCKRDIQAIFSPETKAIGKLVKIECIQESVKQETDGVIVKDCESKEGKEHKCPVCFKVFLSGQALGGHKRAHFPKAREEQNIAVNREVSDICNVFTINVPFTVAPELSNDVRCESWWPANSHKHEPLVGLIAN >Potri.012G014300.2.v4.1 pep chromosome:Pop_tri_v4:12:1702372:1703836:1 gene:Potri.012G014300.v4.1 transcript:Potri.012G014300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014300.v4.1 MANKGTLPQETLTDILSRLPIKSLTRFQSVSKPFSALINSPAFISAHLRRSSRHSSFFFRHFNNPSGSNFSFFLNNNLISDVEVPLLGCLIRFPKIVGSCNGLVCLDISSCYARGFVLWNIARKQYSCLPSPRISDSRRPFWMVSTGFGFDLKKNDYKVVRIVSFGCEKDESPVVMAEVFSWRTFCWRVIEASIGACAIHEGQNGVVVNGGLHWLGNSAGKSGIQKFIVSFDLDTEEFRKIPIPDFPAGICVKIMGFKGSLALAFYPAKEVDVHSRHGRPGVADWIEFCVWDECDGADGKCWTKLNSIQLTTVGYPVGVANETGLIIKKLMEGQGAQFILFDPSNQYYRGMHICDASYSCDVHSYVESLVPVSGGGHDQVIEEEPSE >Potri.012G014300.1.v4.1 pep chromosome:Pop_tri_v4:12:1702333:1703830:1 gene:Potri.012G014300.v4.1 transcript:Potri.012G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014300.v4.1 MRSKRRLRELMANKGTLPQETLTDILSRLPIKSLTRFQSVSKPFSALINSPAFISAHLRRSSRHSSFFFRHFNNPSGSNFSFFLNNNLISDVEVPLLGCLIRFPKIVGSCNGLVCLDISSCYARGFVLWNIARKQYSCLPSPRISDSRRPFWMVSTGFGFDLKKNDYKVVRIVSFGCEKDESPVVMAEVFSWRTFCWRVIEASIGACAIHEGQNGVVVNGGLHWLGNSAGKSGIQKFIVSFDLDTEEFRKIPIPDFPAGICVKIMGFKGSLALAFYPAKEVDVHSRHGRPGVADWIEFCVWDECDGADGKCWTKLNSIQLTTVGYPVGVANETGLIIKKLMEGQGAQFILFDPSNQYYRGMHICDASYSCDVHSYVESLVPVSGGGHDQVIEEEPSE >Potri.001G140700.1.v4.1 pep chromosome:Pop_tri_v4:1:11493980:11498713:1 gene:Potri.001G140700.v4.1 transcript:Potri.001G140700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140700.v4.1 MAPASFNPVSNTVCVMDASGNLGLSLVQRLLQRGYMVHAAVQNHNCELQLNGLSCENKKLKIFYADPFDYKSILDALRSCSGLFYTFEPPEDQPTYDESMTEVEVRAAHNVLEACAHTETMDKVVFTSSATAVIWRDDRKSEIADFDERHWSDINFCRKFKLWHALSKTLAEKTTWALAMDRGVNMVSVNAGLLVSPDLSIKNPYLTGAAELYEDGVFVTVDLNFLVDAHICIYEDVSSYGRYLCFNHVVNRHEDAIELASMLTPAAPSLPQSFDQDVKIIQQRISNKKLNELMVGFESGPQLVD >Potri.002G136800.1.v4.1 pep chromosome:Pop_tri_v4:2:10265086:10265895:1 gene:Potri.002G136800.v4.1 transcript:Potri.002G136800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136800.v4.1 MRPGGKRKIIIPQELGPPVGPSTFFSSKQFEVFDVELLNFKDCQRKTTGFYSDVVCD >Potri.017G142841.1.v4.1 pep chromosome:Pop_tri_v4:17:14313825:14317536:-1 gene:Potri.017G142841.v4.1 transcript:Potri.017G142841.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142841.v4.1 MYHFTENSSLKHLPLMASPALIPYLPLSPSSRILLHYSPPFSAPHDSLHLTPSKSTPLSPTICTRPNKSCNPIQSVKVCSQLRYPIISPDDHWGTWTALFATGAFGIWSERTKIGSMLSAALVSILVGLAASNVGIIPYEARAYSVVFEYLLPLTVPLLLFRADLRNVFRSTGTLLLAFLLGSVATVIGTFVAFLMVPMRSLGQDNWKIAAALMGSYIGGSVNYVAVTEALGASPSVVAAGVAADNVICAIYFMVLFGLASKIPPEVSTSTKGAEVDVSSNSEDKVPVLQTATALAISFMICKIGAYLTQLCKIQGGTLPAVTAIVVFLATLFPAQFGYLAPAGDTLAMVLMQVFFAVVGAGGSLWNVINTAPSIFLFALVQVTVHLAVILGFGKLLRFDLKLLLLASNANIGGPTTACGMATAKGWGSLVVPGILAGIFGVSIATFLGIGFGMMVLKYL >Potri.011G078700.1.v4.1 pep chromosome:Pop_tri_v4:11:8444786:8452034:-1 gene:Potri.011G078700.v4.1 transcript:Potri.011G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078700.v4.1 MSVCLFNSPPTLSLPTPAKRTLNSILDTSNNWTRKTLLGGAITGALSINLLLSSPSLLALESPSPSLEHSQSTEYLCREEETQQDFKVESEAPQVVTNEGIVEEAWEIVNDSFLDSGRRRWTPQSWQQKKEDILSGSIQSRAKAHDIIRRMLASLGDPYTRFLSPAEFSKMGRYDVSGIGINLREIPDENGEVKLKVLGLLLDGPAYSAGVRQGDELLSVNGEDVKGKSAFEVSSLLQGPNETFVTIKVKHGNCGPVHSIEVQRQLVARTPVSYRLEQIENSTASVGYIRLREFNALARKDLVIAMKRLQDRGASYFILDLRDNLGGLVQAGIEISKLFLNEGEKVIYTAGRDPQYQNTIVADSAPLVKAPVIVLVNNKTASASEIVASALHDNCRAVLVGERTFGKGLIQSVFELHDGSGVVVTVGKYVTPNHMDINGNGIEPDYQNFPGWSDVKKHLSECNINRQG >Potri.001G217600.1.v4.1 pep chromosome:Pop_tri_v4:1:22755551:22757698:-1 gene:Potri.001G217600.v4.1 transcript:Potri.001G217600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217600.v4.1 MTALPALISTTTNTTTTTLPHHPNTIQPPHTTRHQDQTRPINRDSKKPNLSLKQISNKTSIDPVVSWTSSLSRYCRNGQLHQAASHFTQMRLLEIDPNHVTFITLLSGCADLPSQGNSLGPLLHAYTRKLGLDTCNLMVGTALVDMYAKCGHVELSRLCFDELKVKNSFSWNTMIDGFVRNGKIREAIEVFDEMPERGVISWTVLINGFVKMGLFEEALEWFRKMQVSKVEPDRVTIVTVLSACANLGALGLGLWVHRYALKKGLRDNVKICNSLIDLYSRCGAIELARQVFEKMGERTLVSWNSIIGGLAANGFTEEALEHFDLMQKQGFKPNDVSFTGALTACSHTGLVDEGLKYFDIMERVHKISPRIEHYGCIVDLYSRAGRLEDAMSVVQNMPMKPNEVVVGSLLAACRTRGDVELAERLMNYLVHLDPGADSNYVLLSNIYAAVGRWDGACKQRMTMKALGIQKKPGFSSIEIGCDIHEFVAGDKSHDKAEDIYSMLELLSFDQALCGYVPEAMAKDMLGNG >Potri.004G056700.2.v4.1 pep chromosome:Pop_tri_v4:4:4708191:4708601:-1 gene:Potri.004G056700.v4.1 transcript:Potri.004G056700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056700.v4.1 MAEKEGAIVKTGHEEGLKMAASLLEEFGLPLGLLPLADVVEVGFVKGTGYMWILQKKKVEHNFKMISKLVSYDTEITGFVSTKNIKKLKGVKAKELMLWPPVSQIIVDDPPTGKVHFKSLAGITKTFPVEAFGAGQ >Potri.007G006000.1.v4.1 pep chromosome:Pop_tri_v4:7:417963:419661:-1 gene:Potri.007G006000.v4.1 transcript:Potri.007G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006000.v4.1 MRGEEKRRQLHEAILNTLYPQLHETEDGKGPVSTANDGFDVSLVPDDYGLRQSGSSTSEEDDGDDEGRLESETLKLTRAQRKRLRRKKLKEDISRRGKIIGPLPLSSNDGEGGCSSAVKERSPAVRENADEDDSHLSAAASNNPGGGANQSKLKQRRMAKRLARERLKPSIVETDVQGQTLKSTTEETGDQDQIRTTL >Potri.018G068700.1.v4.1 pep chromosome:Pop_tri_v4:18:8303675:8309082:-1 gene:Potri.018G068700.v4.1 transcript:Potri.018G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068700.v4.1 MSTRCSMASISSSDLIDAKLEEHQLCGSKQCPGCGHKLEGKPDWLGLPAGVKFDPTDQELIEHLEAKVEAKDMRSHPLIDEFIPTIEGEDGICYTHPEKLPGVTRDGLSRHFFHRPSKAYTTGTRKRRKIQTECDLQGGETRWHKTGKTRPVMVNGKQKGCKKILVLYTNFGKNRRPEKTNWVMHQYHLGQHEEEKEGELVVSKIFYQTQPRQCNYTDRAASIGEGSSEPNSRRDGGSGSCSSKEISLHGDEISGTGTVASSLTSYTAMDIQQLKSDHFCFTPFRKGFDEVGIGEASVTREASASATCDEIREHHQMPHHVAHDPHQQQTQQQQHHYHHHQTAHHQFATTAFHMSTPSHPFSTIISPPSLNHSIILDEDPYHVSRIMLQNEIQQQQQHHKMGGRSASGLEELIMGCTSNDVKEDSSIANPQEAEWLKYSSFWQDPGNQDHHG >Potri.018G145508.1.v4.1 pep chromosome:Pop_tri_v4:18:15125994:15129854:1 gene:Potri.018G145508.v4.1 transcript:Potri.018G145508.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145508.v4.1 MQESQERAEYTLVARKPSFGLPTGCPICLPLFIYLKFSNFPFHLVFNNTFPDSDQIPYSESGTYVAYNDENGGVIKSLKEDGIVDLDTDFSSLPEWISMKAMVSTWLADAIMYELWVGSDGTSARTIYHSGLPWLIGKALLMKQVHVVKQRLGITKENAERREAEIYKRAKIAYGALSTTLGDHTFLFERPSSLDAYFLGHVLFTLQAFPESSMLQSALLEHGNLIRYAEKLKTDFMEAGSSSSVPQFLSDASSTSTRRPSNSGSKPKKQPKRERTEEEKTFRRRARYF >Potri.014G110500.1.v4.1 pep chromosome:Pop_tri_v4:14:7393071:7399705:-1 gene:Potri.014G110500.v4.1 transcript:Potri.014G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110500.v4.1 MGNGTSRVVGCFAFNGKNGVDLEFLEPLDEGLGHSFCYVRPPIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDIIDDPSGLHRQNKTFPETTFKTISGASVSANVSTARSNQSALFAGEMQEPAASFESTSSFSAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDKGGGFMSGPIEKGVMSGPLDVTDKSNFSAPLARGRRRPRFQRLVRSVSGPMKNTLSRTLSRHLMGSGWMQRFVLHPVTQLAWQVREPKFRPEASRNCLEGGPSESEYVDICNLQWAHGKAGEDRVHVVLCDEQGWLFIGIYDGFSGPDAPDFLMSHLHRAIDRELEGLLWDYEDKSSNDPIKPKLSKSGLAEAGSECSKQEQPNSSQTTSCSLNNLCSPGDVGGQSSNCEIVDEIDVRGCQQQSSNCEKPSSLGPASASIPTANLTGKGRKSVRLYELLQMESCDGSGSASFSVVKSQRSRSWNCQPSSDALDFDQTLRKEPSRSSSLDNCKGEGFSHRGEDPTTSGEDGGIGLQSGNQGGGTDLSVSVQRQGTRKFVISSKIRKMYRKQKSLRKKLFPWSYDWHREETCADERVVEPSGPIRRWKTGIVDHDAVLRAMARGLQHTEEQYMEMVEKDLDRNAELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPTLAKDDMRYKNRSREFLVRMELDRISEESPMHNHNSQVNMINKNREISICRLKMRAVQLSTDHSTSIEEEVLRIKAEHPDDNQAILNDRVKGQLKVTRAFGAGFLKKPSCNEALLEIFRIAYVGTNPYVSCIPSVVHHRLSSSDRFLVLSSDGLYQYFSNEEVVAHVTWFMENVPEGDPAQYLIAELLFRAAKKNGMDFHELLDIPHGDRRKYHDDVSVMVVSLEGGEIWRSSG >Potri.014G110500.5.v4.1 pep chromosome:Pop_tri_v4:14:7396401:7399578:-1 gene:Potri.014G110500.v4.1 transcript:Potri.014G110500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110500.v4.1 MGNGTSRVVGCFAFNGKNGVDLEFLEPLDEGLGHSFCYVRPPIFDSPAITPSNSERFTVDSSTLDSETLSGSFRHDIIDDPSGLHRQNKTFPETTFKTISGASVSANVSTARSNQSALFAGEMQEPAASFESTSSFSAIPLQPVPRGSGPLNGFMSGPLERGFASGPLDKGGGFMSGPIEKGVMSGPLDVTDKSNFSAPLARGRRRPRFQRLVRSVSGPMKNTLSRTLSRHLMGSGWMQRFVLHPVTQLAWQVREPKFRPEASRNCLEGGPSESEYVDICNLQWAHGKAGEDRVHVVLCDEQGWLFIGIYDGFSGPDAPDFLMSHLHRAIDRELEGLLWDYEDKSSNDPIKPKLSKSGLAEAGSECSKQEQPNSSQTTSCSLNNLCSPGDVGGQSSNCEIVDEIDVRGCQQQSSNCEKPSSLGPASASIPTANLTGKGRKSVRLYELLQMESCDGSGSASFSVVKSQRSRSWNCQPSSDALDFDQTLRKEPSRSSSLDNCKGEGFSHRGEDPTTSGEDGGIGLQSGNQGGGTDLSVSVQRQGTRKFVISSKIRKMYRKQKSLRKKLFPWSYDWHREETCADERVVEPSGPIRRWKTGIVDHDAVLRAMARGLQHTEEQYMEMVEKDLDRNAELALMGSCVLVMLMKDQDVYVMNLGDSRAILAQERPNDRHPNPTLAKDDMRYKNRSREFLVRMELDRISEESPMHNHNSQVNMINKNREISICRLKMRAVQLSTDHSTSIEEVCFLKFSIWLIL >Potri.001G183400.1.v4.1 pep chromosome:Pop_tri_v4:1:16214021:16217424:1 gene:Potri.001G183400.v4.1 transcript:Potri.001G183400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183400.v4.1 MNTIAGVGSAWWHGTSRLKGKVLICLVVATSILMVAVAEPSLKQKGSSYNETRKVESPRHVTKGHSGYQHVWPDMRFGLRIVGGTIIAFFGAACGSVGGVGGGGIFVPMLTLVIGFDSKSSTALSKCMITGAAATTVFYNLRLRHPTLELPIIDYDLALLFQPMLILGISIGVTLNVLFADWMIIILLIIFFIATSTRSFFKGVETWKKETKSKQEAARAKLEIHDDEIEAAEEKPLPGGTTSHDQIKPEPNKEKVSIIENIKWKDLGILVIVWLIILALQIGKNYTTTCSAIYWLLNILQIPVAAGVTSYEAVSLYKGRRKITSMGEASNNWPVHELILYCFIGVMAGTVGGMLGLGGGFILGPLFLEMGIPPQVSSATATFAMMFSASMSVVEFYLLKRFPVPYALYFFTVATIAAVVGQHVVRKLISILGRASLIIFILASTIFVSAILVGGAGMASMIEKFERKEYMGFESMCS >Potri.001G213900.10.v4.1 pep chromosome:Pop_tri_v4:1:22091213:22102538:-1 gene:Potri.001G213900.v4.1 transcript:Potri.001G213900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213900.v4.1 MQRDIRKWFMKAHDKGNDNAATNAEKKPPPTEPKTETPVCGGQEGSGRRKNSKYFAANKQKQKEDKEIEDLPAKRKAQNDGVQSVKPPPSKKVHKVDDEEEDDDFSLPKKKNDASPSKKLKSSSGRGIAQKPVHVNESDEDDVKDTESPLKSGGRGRGGRGVSGAPSGGRGRGGGRGGFMNFGEKKDPPHKGEKEVPEGAPNCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTSYLLCDEDIEGRKSSKAKELGTPFLTEDGLFDKILSSKNSKAPAREDSKVSVEKVTSLPKKSPQKADLKSSSLMSNATHKDLGAGSQQAKQKDQAIQRSSLIWTEKYRPKVPNEMIGNPSLVTQLHNWLKNWNEQFHDTGNKGKGKKQNDSTAKKAVLLSGPPGIGKTTSAKLVSKMLGFQAIEVNASDNRGKADAKIFKGISGSNANCIKELISNEALGFEMDRSKHLKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLTQVANAEGLQVNEIALEELAERVNGDMRMALNQLQYMSLSMSVINYDDVRQRLQGSAKDEDISPFTAVDKLFGFSGGKLRMDERIDLSMSDPDLVPLLIQENYINYRPSSIGKDDNGMKRMSLIARAAESIADGDIINVQIRRYRQWQLSQTGSLSSCIIPAALLHGSRETLEQGERNFNRFGGWLGKNSTAGKNSRLLEDLHVHLLASRESNMGRETLRLDYLTVLLKQLTDPLRVLPKDEAVEKVVEFMNVYSISQEDMDTIVELSKFQGHGNPLDGIPSTVKAALTRAYKEERKSRMVRAADLVTLPGKKKAPKKRVAAILEPSDDGLREENGDAVAESEEENSSDTDDMEGTGNGEKLQSELQSLNSKGIQVEVELKCRKESSKSKKTPTGRGKGGSASTEKKPAGRGRGGSGSSEKPGAKRKR >Potri.001G213900.4.v4.1 pep chromosome:Pop_tri_v4:1:22091227:22102531:-1 gene:Potri.001G213900.v4.1 transcript:Potri.001G213900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213900.v4.1 MQRDIRKWFMKAHDKGNDNAATNAEKKPPPTEPKTETPVCGGQEGSGRRKNSKYFAANKQKQKEDKEIEDLPAKRKAQNDGVQSVKPPPSKKVHKVDDEEEDDDFSLPKKKNDASPSKKLKSSSGRGIAQKPVHVNESDEDDVKDTESPLKSGGRGRGGRGVSGAPSGGRGRGGGRGGFMNFGEKKDPPHKGEKEVPEGAPNCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTSYLLCDEDIEGRKSSKAKELGTPFLTEDGLFDKILSSKNSKAPAREDSKVSVEKVTSLPKKSPQKADLKSSSLMSNATHKDLGAGSQQAKQKDQAIQRSSLIWTEKYRPKVPNEMIGNPSLVTQLHNWLKNWNEQFHDTGNKGKGKKQNDSTAKKAVLLSGPPGIGKTTSAKLVSKMLGFQAIEVNASDNRGKADAKIFKGISGSNANCIKELISNEALGFEMDRSKHLKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLTQVANAEGLQVNEIALEELAERVNGDMRMALNQLQYMSLSMSVINYDDVRQRLQGSAKDEDISPFTAVDKLFGFSGGKLRMDERIDLSMSDPDLVPLLIQENYINYRPSSIGKDDNGMKRMSLIARAAESIADGDIINVQIRRYRQWQLSQTGSLSSCIIPAALLHGSRETLEQGERNFNRFGGWLGKNSTAGKNSRLLEDLHVHLLASRESNMGRETLRLDYLTVLLKQLTDPLRVLPKDEAVEKVVEFMNVYSISQEDMDTIVELSKFQGHGNPLDGIPSTVKAALTRAYKEERKSRMVRAADLVTLPGKKKAPKKRVAAILEPSDDGLREENGDAVAESEEENSSDTDDMEGTGNGEKLQSELQSLNSKGIQVEVELKCRKESSKSKKTPTGRGKGGSASTEKKPAGRGRGGSGSSEKPGAKRKR >Potri.001G213900.11.v4.1 pep chromosome:Pop_tri_v4:1:22091354:22102518:-1 gene:Potri.001G213900.v4.1 transcript:Potri.001G213900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213900.v4.1 MQRDIRKWFMKAHDKGNDNAATNAEKKPPPTEPKTETPVCGGQEGSGRRKNSKYFAANKQKQKEDKEIEDLPAKRKAQNDGVQSVKPPPSKKVHKVDDEEEDDDFSLPKKKNDASPSKKLKSSSGRGIAQKPVHVNESDEDDVKDTESPLKSGGRGRGGRGVSGAPSGGRGRGGGRGGFMNFGEKKDPPHKGEKEVPEGAPNCLAGLTFVISGTLDSLEREEAEDLIKRHGGRVTGSVSKKTSYLLCDEDIEGRKSSKAKELGTPFLTEDGLFDKILSSKNSKAPAREDSKVSVEKVTSLPKKSPQKADLKSSSLMSNATHKDLGAGSQQAKQKDQAIQRSSLIWTEKYRPKVPNEMIGNPSLVTQLHNWLKNWNEQFHDTGNKGKGKKQNDSTAKKAVLLSGPPGIGKTTSAKLVSKMLGFQAIEVNASDNRGKADAKIFKGISGSNANCIKELISNEALGFEMDRSKHLKTVLIMDEVDGMSAGDRGGVADLIASIKISKIPIICICNDRYSQKLKSLVNYCLLLSFRKPTKQQMAKRLTQVANAEGLQVNEIALEELAERVNGDMRMALNQLQYMSLSMSVINYDDVRQRLQGSAKDEDISPFTAVDKLFGFSGGKLRMDERIDLSMSDPDLVPLLIQENYINYRPSSIGKDDNGMKRMSLIARAAESIADGDIINVQIRRYRQWQLSQTGSLSSCIIPAALLHGSRETLEQGERNFNRFGGWLGKNSTAGKNSRLLEDLHVHLLASRESNMGRETLRLDYLTVLLKQLTDPLRVLPKDEAVEKVVEFMNVYSISQEDMDTIVELSKFQGHGNPLDGIPSTVKAALTRAYKEERKSRMVRAADLVTLPGKKKAPKKRVAAILEPSDDGLREENGDAVAESEEENSSDTDDMEGTGNGEKLQSELQSLNSKGIQVEVELKCRKESSKSKKTPTGRGKGGSASTEKKPAGRGRGGSGSSEKPGAKRKR >Potri.010G178600.2.v4.1 pep chromosome:Pop_tri_v4:10:17791735:17804160:1 gene:Potri.010G178600.v4.1 transcript:Potri.010G178600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178600.v4.1 MFYSQFILAKKGPLGTIWIAAHLERKLRKNQVADTDIGVSVDSILFPEVPIALRLSSHLLLGVVRIYSRKVNYLFDDCSEALLKVKQAFRSTAVDLPPEESTAPYHSITLPETFDLDDFELPDNDFFQGNYVDHHISTREQITLQDTMDGVVYSTSQFGLDERFGDGDTSHVGLDIEEDLFLAKAATPRPDEVLELNLQTAVEPADRKVEEDHDMIGGAEAMPVNGIRNKMVSQASNSESLDYAQAPSTPGLIEEPNLSSVQDGLACDDHLESEDHNLTEVVGIESTGNASSKPDLHQRDGTMNLSPGNHLNYDTVVCMPAEENGCLSGDLEINQAKSQGELQSTALADGTICALDGSDKLEVINNVVCKCSESTGVRLYEPDNFEITYAVEDLSSLGKTVDASSGCPLELAGAPEDVAQACEGPEDPDTLNKNVDNEKIHTSMGMLRACNSHLNEPDSSSHGINNDELPPEPQDVPSREEALHGSGISTKVQGEECHATDGTQSVENQISELNLHGEIQVGGKQDEQPDNAFYSDNQLENLNGSLTAELPTPEKLLSVPQELLDKPNDLLVESTPDKEMVDGGDRSSAGTNITGKKRSFTENSLTVQSLNSIDSFGVSRSKRTVDSIPDDDDLLSSILVGRRSSVLKMKPTPPAPEVASMKRARSVSRPSAMKRKVLMDDSMVLLGDTIRQQLTNTEDIRRLRKKAPCTRTEILTIQRQSLDEEIFSEPVLTGMSAELTCLQSETFDLSRIDLAENDDNNTSSEVAKDSSRPTVAQDNELEASTELANCRNDVDGQPAESPIWTENQQGEDQQLSLDFVNQGQMNAIADVADYRSAEHKTLGEMTEMEIDKENTEIADAANHAAVLQFEGSHTELISGDAGNMLDGLALMDSTIGEDGSLHMDTSILPSDMMDTELFEEAALRDVGDGKTLDDGILDHHTKNVVAVVTELREGGEILLEESKAGAPVEVGVDLQADGFAPSDDADMLLANMSSKNGGCINLASVNVDQAQDDVENDKLGDGNEDGVLAVSPGHADKDREFNHLCSEDKMNSAFPKGLDGDFKNASLNFGDYLVFQEADQERTADAEITSADHPADLQDVAFANDTEFLNVDDDMGEEDDDGMPGPEDARLLDNSGWSSRTRAVAKYLQTIFDNEGGNGRKVISVDSLLAGKTRKEASRMFFETLVLKTRDYIHVEQLKPFDSINVKPRAKLMKSDF >Potri.017G033200.3.v4.1 pep chromosome:Pop_tri_v4:17:2288506:2302802:1 gene:Potri.017G033200.v4.1 transcript:Potri.017G033200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G033200.v4.1 MEEVCEGKEFSFPTQEEKILSFWSEIKAFETQLERTKDLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVEAEIDKKLGIKRRDEVLKLGIDKYNEECRGIVTRYVGEWEKVVVRVGRWIDFKNDYKTMDLKFMESVWWVFSKLFEKGLVYKGFKVMPYSTGCKTVLSNFEVQQNYKDVPDPEIMVTFPIVDDPHNAAFVAWTTTPWTLPSNLALCVNGNFDYIKVRNKYTGKVYVVAECRLSALPVEKPKSTANGPAGGSKTSNSKTKSGKAENLMDSYDLLEKVKGNELVNKKYEPLFNYFMEFSDTAFRVVADDYVTDDSGTGIVHCAPAFGEEDYRVCIGNQILSKENLIVAVDDDGCFIEKITDFGGRYVKDADKDIIEAVKAKGRLVKSGSFMHSYPFCWRSDTPLIYRAVPSWFIRVEEIKEQLLESNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWMSDDGEEVIVMDSIAKLEKLSGVKVFDLHRHNIDHITIPSSRGPEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKEGVFSVVKDVFLPWYNAYRFLVQNAKRLEVEGLAPFTPIDAGTLQNSSNVLDQWINSATQSLVHFVHQEMNAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRTALSTLYNVLLISCKVMAPFTPFFSEGLYQNMRRVCTGSEESIHYCSFPQVEGERNERIEQSVARMMTIIDLARNIRERHNKPLKSPLREMIVVHPDVDFLDDIAGKLKEYVLEELNVRSLIPCNDTLKYASLRAEPEFSVLGKRLGKSMGVVAKEVKAMSQIDILEFEKAGEVTIASHCLKLSDIKVVREFKCPDGLTDREVDAAGDGDVLVILDLRLDESLYEAGVAREVVNRIQKLRKKTGLEPTDAVEVYFESLDEDKSISQQVLNSQELYIRDAIGSPLLSSTFMPPHAVILGEESFHDISKLSFTIYLARPALVFKSDAILSLYGGNTKSVHGLETYLLSRDHSNLKSEFQLGDGKITVDTVEGLPAVNVVLGEHVFLTVGDSVLSTKGGR >Potri.017G033200.9.v4.1 pep chromosome:Pop_tri_v4:17:2288525:2302706:1 gene:Potri.017G033200.v4.1 transcript:Potri.017G033200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G033200.v4.1 MEEVCEGKEFSFPTQEEKILSFWSEIKAFETQLERTKDLPEYIFYDGPPFATGLPHYGHILAGTIKDIVTRYQTMTGHHVTRRFGWDCHGLPVEAEIDKKLGIKRRDEVLKLGIDKYNEECRGIVTRYVGEWEKVVVRVGRWIDFKNDYKTMDLKFMESVWWVFSKLFEKGLVYKGFKVMPYSTGCKTVLSNFEVQQNYKDVPDPEIMVTFPIVDDPHNAAFVAWTTTPWTLPSNLALCVNGNFDYIKVRNKYTGKVYVVAECRLSALPVEKPKSTANGPAGGSKTSNSKTKSGKAENLMDSYDLLEKVKGNELVNKKYEPLFNYFMEFSDTAFRVVADDYVTDDSGTGIVHCAPAFGEEDYRVCIGNQILSKENLIVAVDDDGCFIEKITDFGGRYVKDADKDIIEAVKAKGRLVKSGSFMHSYPFCWRSDTPLIYRAVPSWFIRVEEIKEQLLESNKQTYWVPDYVKEKRFHNWLENARDWAVSRSRFWGTPLPVWMSDDGEEVIVMDSIAKLEKLSGVKVFDLHRHNIDHITIPSSRGPEFGVLRRVEDVFDCWFESGSMPYAYIHYPFENVELFEKNFPGHFVAEGLDQTRGWFYTLMVLSTALFGKPAFRNLICNGLVLAEDGKKMSKSLKNYPSPMEVINDYGADALRLYLINSPVVRAETLRFKKEGVFSVVKDVFLPWYNAYRFLVQNAKRLEVEGLAPFTPIDAGTLQNSSNVLDQWINSATQSLVHFVHQEMNAYRLYTVVPYLLKFLDNLTNIYVRFNRKRLKGRTGEEDCRTALSTLYNVLLISCKVMAPFTPFFSEGLYQNMRRVCTGSEESIHYCSFPQVEGERNERIEQSVARMMTIIDLARNIRERHNKPLKSPLREMIVVHPDVDFLDDIAGKLKEYVLEELNVRSLIPCNDTLKYASLRAEPEFSVLGKRLGKSMGVVAKEVKAMSQIDILEFEKAGEVTIASHCLKLSDIKVVREFKCPDGLTDREVDAAGDGDVLVILDLRLDESLYEAGVAREVVNRIQKLRKKTGLEPTDAVEVYFESLDEDKSISQQVLNSQELYIRDAIGSPLLSSTFMPPHAVILGEESFHDISKLSFTIYLARPALVFKSDAILSLYGVVSGNTKSVHGLETYLLSRDHSNLKSEFQLGDGKITVDTVEGLPAVNVVLGEHVFLTVGDSVLSTKGGR >Potri.015G016100.1.v4.1 pep chromosome:Pop_tri_v4:15:1101520:1102405:1 gene:Potri.015G016100.v4.1 transcript:Potri.015G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016100.v4.1 MAIFSKILTKTDAEKRLSVPIKFLKSLPPFKSGHAVDFQAKDERGKVWTFQCSTRKKGRYKKPVLSKGWLAFANKKKLKVGDKIVFYKGRHQETEKPFYGVRVEREIKILGAVIGYMNP >Potri.010G067700.2.v4.1 pep chromosome:Pop_tri_v4:10:9594367:9597913:-1 gene:Potri.010G067700.v4.1 transcript:Potri.010G067700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067700.v4.1 MAAANCSSGETCAALIHGKQMAPSRLQAWARLFVTKFLGSHISTDHLILEEDGGATFTFEGTSKRFSLEVVLKVHNPRFYWKVTTRADIGLADAYIDGDYSFADKDQGLLHLIMVLIANRDANKSISKANNKRGWWTPSLFTAGIASAKFFLQHVLRQNTLTQARRNISRHYDLSNEVFSLFLGETMAYSCAIFKTEDEDLNTAQLRKISVLIEKARIDKKHEILEIGCGWGTFAIEVVKQTGCKYTGLTLSVEQLKYAEMKVKEAGLQDNIRLLLCDYRELPEGYKYDRIVSCEMIEHVGHEYMEDFFSSCESALAEDGLLVLQSTSIADERYDEYRRSSDFIKEYIFPGGCLPSLSRITSAMGVASRLCVEHVENIGSHYYLTLRCWKKYFLENKSKILAMGFDEKFIRTWEYYFDYSAAGFKSYALGNYQIVFSRPGNVGVLGNPYKGFPSAYRHLL >Potri.004G037750.1.v4.1 pep chromosome:Pop_tri_v4:4:2986458:2987651:-1 gene:Potri.004G037750.v4.1 transcript:Potri.004G037750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037750.v4.1 MFVCASSHVFACPYMSALLLFTESTRDLISPIGQTFARQGREGKSQVRNDFRKRSTETLESGNSKREAKLLASDW >Potri.001G065900.1.v4.1 pep chromosome:Pop_tri_v4:1:4935785:4938994:1 gene:Potri.001G065900.v4.1 transcript:Potri.001G065900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065900.v4.1 MPYLSKEIMALPLGLLVCFIIGVECSLSQLDRITQLPGQPPVWFQQYSGYVTVDDKNQKALFYYFAEAEIDCASKPLVLWLNGGPGCSSLGVGAFSENGPFRPGGEGLVKNQYSWNREANMLYLETPIGVGFSYSTNTSSYEGVDDKITARDNLVFLQRWFVKFPQYRSRSLFITGESYAGHYVPQLAELMLQFNKKEKLFNLKGIALGNPVLEYSTDFNSRAEFFWSHGLISDTTYKMFTSVCNYSRYVSEYYRGSVSPLCSRVMSQVTRETSRFVDKYDVTLDVCISSVLSQSKVLTPQQVGDNVDVCVEDETVNYLNRPDVQMALHARLVGVRRWAVCSNILDYELLDLEIPTITIVGRLIKAGIPVLVYSGDQDSVIPLTGSRILVHRLSEELGLKTTVPYRVWFEGQQVGGWTQVYGNILSFATIRGASHEAPFSQPERSLVLFKAFLGGQPLPEAF >Potri.008G117000.1.v4.1 pep chromosome:Pop_tri_v4:8:7477201:7487196:1 gene:Potri.008G117000.v4.1 transcript:Potri.008G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117000.v4.1 MSVLFFLFSLPLLLSPSLSLHFGNTSYFIDCGGSTNTTDPFNTTWLSDRYFTGGATSVVSEPLHFLYPQEKNLRFFPLSSGKKNCYVLPLPNGRYYFRTFTVYDNYDGKSNPPSFDASVEGTLVFSWRSPWPENLARDGAYSDLFAFVKDGEADVCFYSIATDPPVIGSLEIRQIDPLSYGSSTIGDKFTLVNYGRLSCGSTQWGPGFSNDTDDFGRTWQSDSEFQTPNTTKIIHSISTRETITGTIQPPNYFPMKLYQTAVTGDGTLEYAVSVDAKMDYLLWFHFAEIDSSVKQKSERVFDVVVNEKNVERVDVFEEVGSFAAYSWSYTVHNLSSTVLTLKFVPVVGAPIISGIEIYALVPNDLSTMPEQVVAMRALKESLRVPDRMGWNGDPCAPTSWDAWEGVTCHPNKDETALVISQIDLGSQGLKGSISEQITLLSDLLSLNLSTNSLGGTLPSGLGQQSLVRLDLSNNQFSGPIPESLASAIHLQLVLLNGNLLEGRVPEELYSIGVHGGAIDLSGNKGLCGVPSLPQCSLLWENGRLSTGGKIGIGLSCLVIVSVLVLGYIICIRRRRNDYDFAPPHDLMSMAAKRNRYQRQKSLMLLEMESQHAKGLPSPYGPH >Potri.011G163900.2.v4.1 pep chromosome:Pop_tri_v4:11:18880951:18884249:1 gene:Potri.011G163900.v4.1 transcript:Potri.011G163900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163900.v4.1 MLPFSASNISSVPVSVPAKTRGQCKGQIKQTGQGPLGLATQLQPIDRIKPFVHSSRKRSASIICSAAMNARCSTSGQTQTVLKETPTITKAPVREPTKTPQLDDGGPGLPPRDDGDGGGGGGGGGGNWSGGFFFFGFLAFLGFLKDKESEGDYRDSRRR >Potri.011G163900.3.v4.1 pep chromosome:Pop_tri_v4:11:18880981:18884256:1 gene:Potri.011G163900.v4.1 transcript:Potri.011G163900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163900.v4.1 MLPFSASNISSVPVSVPAKTRGQCKGQIKQTGQGPLGLATQLQPIDRIKPFVHSSRKRSASIICSAAMNARCSTSGQTQTVLKETPTITKAPVREPTKTPQLDDGGPGLPPRDDGDGGGGGGGGGGNWSGGFFFFGFLAFLGFLKDKESEGDYRDSRRR >Potri.007G005400.3.v4.1 pep chromosome:Pop_tri_v4:7:383886:384858:-1 gene:Potri.007G005400.v4.1 transcript:Potri.007G005400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005400.v4.1 MSYYNQHQTPVGAPPPQGYPKDAYPPPGHAAQGYPPQGYPPPQGYAPQYGAAPPPKKQTGLLEGWYVSLSFFSIKESGDL >Potri.008G075900.4.v4.1 pep chromosome:Pop_tri_v4:8:4697425:4706087:-1 gene:Potri.008G075900.v4.1 transcript:Potri.008G075900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075900.v4.1 MEPVSMDIDTTQQLPADPLPFARSYQLEALEKALKHNTIVFLETGSGKTLIAIMLLRSYAYLLRKPSRFIAVFLVPQVVLVRQQAGAVEMHTDLKVGMYWGEMGVDFWNAATWKKEIEKHEVLVMTPQILLNGLRHSFFKLDLIKVMIVDECHHTRGKHPYASIMTEFFHCELKSGHHDLPRIFGMTASPIKSKGANSELYYWQQIRELEDIMNSKIYTCVSESALAEFIPFSTPKFLFYEHMKIPDGIFASLLKELGNLRTKHEHMLEQLDLNESAAVSICNKISKVHSALMFCLEELGVWLAFQAAQFLSHCDTDGDFISGGKLDVSGETIVKNFCQDASLAISNCFSDGQECSIGDNIKAHLGAGLLTSKILCLVESLLQYRDLKEIRCIVFVERVITAIVLESLLSKLLPKHGSWKTKYIAGNNSGLQSQTRKIQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVSSFIQSRGRARMQNSDYLLMVKRGDFSTHARLENYLASGDIMRRESLRHASIPCSPLLDELDDEFYRVEGTGAVVSLSSSVSLIYFYCSRLPSDGYFKPAPRCIIDKETMTCTLHLPKSSPVQTICVQGNIKTLKQKACLEACKKLHVSGALTDNLVPDIVMEEAVAEDVGNERYDDEQPIYLPPELVSRGPRNLKTKYYCYLIELNQNFAYDIPVHDVVLVVRTELESDVIRSMGFDLEAERGLLTVNLRYIGDIDLERVLVLLCRRFQITLFKVLLDHSVNKLKEVLEGLDLGSGAEIDYFLLPAFRSCSQPSINWAPISSVLFSYKNEEHFNCSRNGNAHVVQTKCGPVCACVLQNSLVCTPHNGNIYCITGVFEDLNGNSLLKMGDGGAITYKEYFAKRHGIQLLFNREPLLKGKHIFPVHNLLNRCRKQKEKGLDFFCLILLWAKCM >Potri.008G075900.1.v4.1 pep chromosome:Pop_tri_v4:8:4694505:4706250:-1 gene:Potri.008G075900.v4.1 transcript:Potri.008G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075900.v4.1 MEPVSMDIDTTQQLPADPLPFARSYQLEALEKALKHNTIVFLETGSGKTLIAIMLLRSYAYLLRKPSRFIAVFLVPQVVLVRQQAGAVEMHTDLKVGMYWGEMGVDFWNAATWKKEIEKHEVLVMTPQILLNGLRHSFFKLDLIKVMIVDECHHTRGKHPYASIMTEFFHCELKSGHHDLPRIFGMTASPIKSKGANSELYYWQQIRELEDIMNSKIYTCVSESALAEFIPFSTPKFLFYEHMKIPDGIFASLLKELGNLRTKHEHMLEQLDLNESAAVSICNKISKVHSALMFCLEELGVWLAFQAAQFLSHCDTDGDFISGGKLDVSGETIVKNFCQDASLAISNCFSDGQECSIGDNIKAHLGAGLLTSKILCLVESLLQYRDLKEIRCIVFVERVITAIVLESLLSKLLPKHGSWKTKYIAGNNSGLQSQTRKIQNEIVEEFRKGMVNIIVATSILEEGLDVQSCNLVIRFDPSATVSSFIQSRGRARMQNSDYLLMVKRGDFSTHARLENYLASGDIMRRESLRHASIPCSPLLDELDDEFYRVEGTGAVVSLSSSVSLIYFYCSRLPSDGYFKPAPRCIIDKETMTCTLHLPKSSPVQTICVQGNIKTLKQKACLEACKKLHVSGALTDNLVPDIVMEEAVAEDVGNERYDDEQPIYLPPELVSRGPRNLKTKYYCYLIELNQNFAYDIPVHDVVLVVRTELESDVIRSMGFDLEAERGLLTVNLRYIGDIDLERVLVLLCRRFQITLFKVLLDHSVNKLKEVLEGLDLGSGAEIDYFLLPAFRSCSQPSINWAPISSVLFSYKNEEHFNCSRNGNAHVVQTKCGPVCACVLQNSLVCTPHNGNIYCITGVFEDLNGNSLLKMGDGGAITYKEYFAKRHGIQLLFNREPLLKGKHIFPVHNLLNRCRKQKEKASKNTHVELPPELCEIILSPISISTLYSYTFIPSIMHRLESLLIAVNLKKMHSDHYLQHVNIPSMKVLEAITTNKCQENFNLESLETLGDSFLKYAASQQLFKIYQNHHEGLLSFKKDKIISNAALCRRGCNHKLQGFIRNESFDPKLWIIPGGKLGSDFLSEEPLSKGRKIYIRGRRKVKSKTIADVVEALIGAYLSTGGEVTALLFMDWIGIKVDFMNTPYERHIQLQAEKFVNVRYLESLLNYSFNDPSLLVEALTHGSYMLPEIPRCYQRLEFLGDAVLDYLITLHMYKEYPGMSPGLLTDLRSASVNNDCYALSAVKVGLDRHILHASHDLHKHIVATVKKIQEFSLESTFGWESETAFPKVLGDVIESLAGAILVDSGYNKEVVFESIRPLLEPLITPETLRLQPVRELNELCQRQHFDYKKPIVSRNGRNASVTIEVEANGLIFKHTATVADKTTAKKLASKEVLKALKESNFATSTASKVE >Potri.011G167600.1.v4.1 pep chromosome:Pop_tri_v4:11:19109240:19110651:1 gene:Potri.011G167600.v4.1 transcript:Potri.011G167600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167600.v4.1 MGRIPCCEKDNVKRGQWTPEEDNKLSSYIAQHGTRNWRLIPKNAGLQRCGKSCRLRWTNYLRPDLKHGQFSDAEEHTIVKLHSVVGNRWSLIAAQLPGRTDNDVKNHWNTKLKRKLSGMGIDPVTHKPFSHLMAEIATTLATPQVANLAEAALGCFKDEMLHLLTKKRIDFQLLQCNTNGVQGNTSSPYIATKHDENDDTIERIKLGFSRAMQEPGILPPNKTWDSTGATSANFAGTCAYFPSSVNAFLCGPSSFGNEVALSPWSQSMCTGSTCTAGDQQGRLHEKLDDENGEESQGGKEIRNGSSLFNTDCVLWDLPSDDLMNSIV >Potri.012G009001.1.v4.1 pep chromosome:Pop_tri_v4:12:497485:498524:1 gene:Potri.012G009001.v4.1 transcript:Potri.012G009001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G009001.v4.1 MQGCQLEVESWGKVQGLENVTLFTKRHGVVRAEEDSETIYATIDLVSSIIQSKLRKIKEESDHGRHMKGLNRLKIREPMPQVVEDDADAVSRKEDDDYLDEAVHTKYFDMPPLTVDEAIVRLGNVDHAFYGFGHAEGERYRI >Potri.001G123700.1.v4.1 pep chromosome:Pop_tri_v4:1:10161695:10167970:-1 gene:Potri.001G123700.v4.1 transcript:Potri.001G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123700.v4.1 MATGGKSNEEIDYESRIWVLDQNLDQPMDAEAEKLRNKYQDKKFSRLLLMQLAFQSLGVVYGDLGTSPLYVFYNTFPDGIEDPEDLIGALSLIIYSLTLIPLLKYVLIVCRANDNGQGGTFALYSLLCRHAKVKTIPNQDHTDEQLTTYSRSTFHEKSFAGKTKRWLEEHPFRKNALLVLVLVGSSMVIGDGILTPAISVLSAVQGIKLDHPKMSSDVVVLVAVVILVGLFSMQHHGVDRVSWLFAPIVLLWFLLIGGIGLFNIWKYDTGVLKAISPVYIYRYFRRGGRDSWLSLGGIMLSITGTEALFADLSHFPVPSIQIAFTTVVFPCLLLAYSGQAAYLMKNTNHVVDAFYSSIPDSIYWPVLLVATAAAVVASQATICATFSLIKQALALGCFPRVKIIHTSKRFLNHIYIPDINWILMILCVSVTAGFKNQSQIGNASGTAVVIVMLVTTLLMILVMLLIWRCHWILVLIFTGLSLVVEGTYFSSVLCKVNQGGWVPLVIALAFFIIMYVWHYGTKKRYEFEVHSKVSMAWILGLGPSLGLVRVPGIGLVYTELARGVPHIFSHFITNLPAIHSIVVFVCVKFLPVHTVPEGERFLVKRIGPINFRMFRCVVRYGYKDLHKRDESFEKKLFEGLYTFVRLESMMDGCSDSDDYSICDQETEQPNDVPLNHSSNTMPLNMDGTISSGDSIVSLNGHMHNNTAVASSGLLSNPAEVNELEFLGVCRDAGVVHILGNTEILARRDSKFYKKIAIDHIYAFLRKICRQNSAALNVPHESLLNVGQIIHV >Potri.014G037000.2.v4.1 pep chromosome:Pop_tri_v4:14:2334693:2335442:1 gene:Potri.014G037000.v4.1 transcript:Potri.014G037000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037000.v4.1 MATTAAAFSPATITGAVVDFGSKIPKRTNKVVYMGGMNSYGGLKAHNSVLSLNMPVSTEQCFAKVVSSLRAASNGKGGGGGALSSKCGDAGEIFRIAAIMNGLVLVGVAVGFVLLRVEAWYEENE >Potri.014G037000.1.v4.1 pep chromosome:Pop_tri_v4:14:2334746:2335765:1 gene:Potri.014G037000.v4.1 transcript:Potri.014G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037000.v4.1 MATTAAAFSPATITGAVVDFGSKIPKRTNKVVYMGGMNSYGGLKAHNSVLSLNMPVSTEQCFAKVVSSLRAASNGKGGGGGALSSKCGDAGEIFRIAAIMNGLVLVGVAVGFVLLRVEAWYEENE >Potri.011G025700.1.v4.1 pep chromosome:Pop_tri_v4:11:1903158:1906119:-1 gene:Potri.011G025700.v4.1 transcript:Potri.011G025700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025700.v4.1 MAISRALLLPLLLFTTFLSVYLHHSTADSYSDSDSDSETSDLVTELLYLRSQSKSGVIHLNDHIVSRFLTSTKTPRPYTLLIFFDAKHLHSKTELHLQDLHTEFSLLSSSFISNNDASSASSLFFCDVEFKESQNSFALFGVNSLPHIRLVGPNVKNPKDSEQMDQGDFSRMAESMAEFVESRTKLTVGPINRPPMLSTNQIAFLGVILLIWAFFFIKKLLTKDTFLHDWKVWLLGAVFVYFFSVSGAMFNIIRKMPMFLVDRNDPNKLVFFYQGSGMQLGAEGFAVGFLYTIVGLLLGVVTHVLVRVKNRTAQRVVMGVSLVISFWAVRKVVHLDNWKTGYGVHAFWPTSWN >Potri.001G364900.6.v4.1 pep chromosome:Pop_tri_v4:1:38142164:38143911:-1 gene:Potri.001G364900.v4.1 transcript:Potri.001G364900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G364900.v4.1 MLFSVAYNITTRAAFSKLRKEEEIFVPLVQGIIQVGAGFNIGDLFPSIKLLPWISGMRSRMKRLHHEADRILESIIKEHRARKEEGNSSNESKADDLVDVLQDLQEHGNLDFSLTTDNIKAVILDLFIAGTETSSTILEWAMSELLKYPEVMEKAQTEVREVFGKNGSVGELNYLNMVIRETMRLHPPLPLLLPRECREECGINGYNIPIKSRVLVNAWAIGRDSNYWVEAERFHPERFLDSSIDYKGVNFEFTPFGAGRRMCPGILFGISNVDLLLANLLYHFDWKLPGDMKPESLDMSEAFGAAVRRKNALHLTPILHHPHPVRS >Potri.010G125600.1.v4.1 pep chromosome:Pop_tri_v4:10:14296666:14297694:-1 gene:Potri.010G125600.v4.1 transcript:Potri.010G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125600.v4.1 MSPKRQSLLYTKTGNKTNDNKRILSQESKMESTMRKIRVICYDPYATESDSSDDESDKKSSRKRFVREINLPLVVTPQPKAFEPESSCQDSNNSAKSPGKKMRVLPETPTSTFEAKKLAPKKPVGVRQRKWGKWAAEIRNPVTKARTWLGTFDTLEEAAQAYEAKKQEYEALAMLASEKSQNISSSLAISQSHSHNSSKKQVSFASSGDSDDSVVSRTSPASVLELDTSMVSNVTGECDLVIKYEDIGSSVANLEIPDLDFMNDPLASCPIDQDLNLGLDFGNLIDEFGRFYDDYCVIDDLDICGLNGEEPGELPDYDFEFGNEEFTYLDDHQQKPLNIACQ >Potri.008G179300.1.v4.1 pep chromosome:Pop_tri_v4:8:12377693:12380961:-1 gene:Potri.008G179300.v4.1 transcript:Potri.008G179300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179300.v4.1 MASDKKIKIGINGFGRIGRLVARIALRRDDVELVAVNDPFITTDYMTYMFKYDTVHGPWKHSELKVKDEKTLLFGEKAVTVFGVRNPEEIPWAQAGAEFVVESTGAFTDKGKAAAHLKGGAKKVVITAVSKDAPMFVVGVNEKQYTPDLDIVSNASCTTNCLATLAKVIHERFGIVEGLMSTVHAITATQKTVDGPSKKDWRGGRAASFNIIPSSTGAAKAVGKVLPALDGKLTGMSFRVPTADVSVVDLTVRLEKKATYEDIKSAIKEESETNLKGILGYIEDDVVSTDFIGDSRSSIFDAKAGIALNDNFVKIVSWYDNEWGYSSRVIDLIAHMAKTQA >Potri.008G179300.6.v4.1 pep chromosome:Pop_tri_v4:8:12377693:12380961:-1 gene:Potri.008G179300.v4.1 transcript:Potri.008G179300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179300.v4.1 MAASDKKIKIGINGFGRIGRLVARIALRRDDVELVAVNDPFITTDYMTYMFKYDTVHGPWKHSELKVKDEKTLLFGEKAVTVFGVRNPEEIPWAQAGAEFVVESTGAFTDKGKAAAHLKGGAKKVVITAVSKDAPMFVVGVNEKQYTPDLDIVSNASCTTNCLATLAKVIHERFGIVEGLMSTVHAITATQKTVDGPSKKDWRGGRAASFNIIPSSTGAAKAVGKVLPALDGKLTGMSFRVPTADVSVVDLTVRLEKKATYEDIKSAIKEESETNLKGILGYIEDDVVSTDFIGDSRSSIFDAKAGIALNDNFVKIVSWYDNEWGYSSRVIDLIAHMAKTQA >Potri.010G134400.2.v4.1 pep chromosome:Pop_tri_v4:10:15012233:15020023:-1 gene:Potri.010G134400.v4.1 transcript:Potri.010G134400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134400.v4.1 MAKDTSEAESHPKRLGLLKDQVRSTKKKDSDRFEIVPIQNPLSFEKGFFVVIRACQLLAQKNDGIILVGIAGPSGAGKTIFTEKILNFLPSVAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLKNVHDLKAGKPVEVPIYDFKSSTRTGYRTLEVPSSRIVIIEGIYALSANLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQSPTYILKSARKVTVDQIKAVLSEDQKETMEQIYDIYLLPPGEDPETCQSYLRMRNKDGKYNLMFEEWVADVPFIISPRITFEVTVKLLSGLMALGYTIAAILQRSSHIFSDDRVCVKIDWLGQLNRQYVQVQGRDRLVVKFIAEQLGLEGSYTPRTYIEQIQLERLVNEVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVARRLKNGKSGMSHSYSSQRDKNLSKLTGLAATSKRFDDRNLESPAALANQGAITQLSEQISSLNDRMDEFTTCIEELNSKLTIKKNSPSQQNMALQAEVCNGSAPASYFVSGLGNASLNGSRMFNSSSSSQLAKESPLMEELSGIARVQRQVMLRLDTLSNLVRDSLGERSQEVRKNRNRLIVRDGQAPLIAALAVGCVGLCWFVRARNGL >Potri.010G134400.1.v4.1 pep chromosome:Pop_tri_v4:10:15012131:15020924:-1 gene:Potri.010G134400.v4.1 transcript:Potri.010G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134400.v4.1 MAKDTSEAESHPKRLGLLKDQVRSTKKKDSDRFEIVPIQNPLSFEKGFFVVIRACQLLAQKNDGIILVGIAGPSGAGKTIFTEKILNFLPSVAVISMDNYNDSSRIVDGNFDDPRLTDYDTLLKNVHDLKAGKPVEVPIYDFKSSTRTGYRTLEVPSSRIVIIEGIYALSANLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIQQISETVYPMYKAFIEPDLQTAHIKIINKFNPFSGFQSPTYILKSARKVTVDQIKAVLSEDQKETMEQIYDIYLLPPGEDPETCQSYLRMRNKDGKYNLMFEEWVADVPFIISPRITFEVTVKLLSGLMALGYTIAAILQRSSHIFSDDRVCVKIDWLGQLNRQYVQVQGRDRLVVKFIAEQLGLEGSYTPRTYIEQIQLERLVNEVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVARRLKNGKSGMSHSYSSQRDKNLSKLTGLAATSKRFDDRNLESPAALANQGAITQLSEQISSLNDRMDEFTTCIEELNSKLTIKKNSPSQQNMALQAEVCNGSAPASYFVSGLGNASLNGSRMFNSSSSSQLAKESPLMEELSGIARVQRQVMLRLDTLSNLVRDSLGERSQEVRKNRNRLIVRDGQAPLIAALAVGCVGLCWFVRARNGL >Potri.004G197700.1.v4.1 pep chromosome:Pop_tri_v4:4:20946159:20953035:-1 gene:Potri.004G197700.v4.1 transcript:Potri.004G197700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197700.v4.1 MGASSSKIEEDKALQLCRERRKFVRQALDGRCSLAAAHVTYIQSLGSTGTALRKFVEPEVPIESSLYISTNATPEPPALTEKSLSHFSVSSQSLSHPVDAAENFSPSPSPPSSSQVQAHHMKFRGFSSKTIEEKPPVVVTGTVTSSSTPQNTTPRSTEKHETSQFDDSSVPPGTTQWDYFEPFQPIDHQFSFQDRMQLNHGFDSADDLRQLREEYGIPDLEDEGEKASFHEIAESEGSEDEFDDPPVDTLVRSFENLNRVHDHVAPSASQITPSASGAASDTELLNGKKGNSPGLLPLRTPSAAVSVSADRQKTPMKEDQSKNKVSPKDFFSSIKDIEYLFVKASDSGKEVPRMLEANKLHFRPVVPGKENGSVASTFFKACLSCGEDPSQVQEEPAQNDLKYLPWHRTTSSRSSSSRTPLGLNAKDDSGDPVGNLFDNFCMISGSHASTLDRLYAWERKLYEEVKASEMVRREYDMNCKVLRQLESNGKSLHNVKIDKTRAIVKDLHSRIRVALHRIDSISKRIEELRDKELQPQLEELIDGLSRMWEVMFECHKLQFNIITTAYNNCNAELSIQSESHRQVTVHLEKELSSLSSSFTKWIGAQISYLQAINSWLFKCVFFPSKPAKRKRRQPEPSTTLRYFGPPVYVTCGVWLDKLQTLPAKEVAESIKGLAAETARFLPRQEKNQGKNANLSSWKADNGSDSAVNMLRDEALEDCILGFKHFRSSLEGFLGQLHKFAEDSVKMYAELEKEIQDTKSNYERVKSQQQVA >Potri.001G353900.1.v4.1 pep chromosome:Pop_tri_v4:1:36773562:36776086:1 gene:Potri.001G353900.v4.1 transcript:Potri.001G353900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353900.v4.1 MAVPLLTKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVKELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Potri.010G038500.1.v4.1 pep chromosome:Pop_tri_v4:10:6826845:6833410:1 gene:Potri.010G038500.v4.1 transcript:Potri.010G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038500.v4.1 MNNTLSILSSPYISKFNASLFPPHLPQTLGFYCLQKPKPKPKPKPKPRSLNSFRLLSFSNSPNSFIPAESQLSDADNDEEEEYEDDEDDDEEEDEAADEYDDISEAIEEETETEISVDASSSEVSNWRKESKWQRVEKLCNEVKEFGNEIIDANELASIYDFRIDKFQRLAIEAFLKGSSVVVSAPTSSGKTLIAEAAAVATVARGRRIFYTTPLKALSNQKFRDFRETFGDENVGLLTGDSAINKDAQVLIMTTEILRNMLYQSIGMVSSGSGLFHVDVIVLDEVHFLSDISRGTVWEEIIIYCPKEVQLICLSATVKNPDELSGWIREVHGETELVTSSRRPVPLTWHFSTRHSLYPLLDEKRKHMNRKLSLNYLQLSASRVKSYKDDGSRRRNSRKRGSNMGFDSIGNMSEEPLSKNDISRIRRSQVPQVVDTLAQLKARDMLPAIWFIFNRRGCDAAVQYLEGCRLLDECEASEVELALKRFSVQNPDAVRETAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLIKVVFATETLAAGINMPARTAVISSLSRRSSSGRIPLSPNELLQMAGRAGRRGIDERGHVVLVQASNEGAEECCKLLFAGLEPLVSQFTASYGMVLNLLAGAKITRRSNESNEMKVLQAGRTLKEARKLVEKSFGTYIGSNVMLASKEELAKIQKEIEMLTSETSDDAIDRKSRKILSDGAYKEIAILQEQLREEKRLRTELRRKMETKRLNALKILLKELGNDRLPFLCLKYKDSEGVEHSVPAVYLGNADSFDGSKFKNMVSDIDSLAQTVAPIESNVSEVETHKDVEPSYHVALGSDNSWYLFTEKWIKTVYRTGLPNVALSLGDDLPHEVMWMLLDREEKQWEKLAESELGGLWYMEGSLETWSWSLNVPVLNSLSEIDEVLHMSQAYHDAVESYKDQRNKVARLKKTIARTEGFKEYKRILDWKNFTEDKIKRLKMRSNRLSERLQEIEPSGWKEFLKISNVVHESRALDINTQVIFPLGETAAAIRGENELWLAMVLRSRILLDLKPGQLAAVCASVVSEGIKVRAWENNNYIYEPSSAVINVINILNEQRSNLSKLQEKHGVEITCCLDSQFSGMVEAWAAGLTWKEMMMDCAMDDGDLARLLRRTIDILAQIPKLPDIDPVLQSNAKTASSIMDRPPISELTG >Potri.010G038500.2.v4.1 pep chromosome:Pop_tri_v4:10:6826844:6833313:1 gene:Potri.010G038500.v4.1 transcript:Potri.010G038500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038500.v4.1 MTTEILRNMLYQSIGMVSSGSGLFHVDVIVLDEVHFLSDISRGTVWEEIIIYCPKEVQLICLSATVKNPDELSGWIREVHGETELVTSSRRPVPLTWHFSTRHSLYPLLDEKRKHMNRKLSLNYLQLSASRVKSYKDDGSRRRNSRKRGSNMGFDSIGNMSEEPLSKNDISRIRRSQVPQVVDTLAQLKARDMLPAIWFIFNRRGCDAAVQYLEGCRLLDECEASEVELALKRFSVQNPDAVRETAVKGLLRGVAAHHAGCLPLWKSFIEELFQRGLIKVVFATETLAAGINMPARTAVISSLSRRSSSGRIPLSPNELLQMAGRAGRRGIDERGHVVLVQASNEGAEECCKLLFAGLEPLVSQFTASYGMVLNLLAGAKITRRSNESNEMKVLQAGRTLKEARKLVEKSFGTYIGSNVMLASKEELAKIQKEIEMLTSETSDDAIDRKSRKILSDGAYKEIAILQEQLREEKRLRTELRRKMETKRLNALKILLKELGNDRLPFLCLKYKDSEGVEHSVPAVYLGNADSFDGSKFKNMVSDIDSLAQTVAPIESNVSEVETHKDVEPSYHVALGSDNSWYLFTEKWIKTVYRTGLPNVALSLGDDLPHEVMWMLLDREEKQWEKLAESELGGLWYMEGSLETWSWSLNVPVLNSLSEIDEVLHMSQAYHDAVESYKDQRNKVARLKKTIARTEGFKEYKRILDWKNFTEDKIKRLKMRSNRLSERLQEIEPSGWKEFLKISNVVHESRALDINTQVIFPLGETAAAIRGENELWLAMVLRSRILLDLKPGQLAAVCASVVSEGIKVRAWENNNYIYEPSSAVINVINILNEQRSNLSKLQEKHGVEITCCLDSQFSGMVEAWAAGLTWKEMMMDCAMDDGDLARLLRRTIDILAQIPKLPDIDPVLQSNAKTASSIMDRPPISELTG >Potri.003G102000.1.v4.1 pep chromosome:Pop_tri_v4:3:12661351:12662907:-1 gene:Potri.003G102000.v4.1 transcript:Potri.003G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102000.v4.1 MDQCTRNAFAEERSTVNKAQKRKRTGKKQANMRRLKAKMAEIGEQQKRIKKGQIEIREKFEEIEFECDQLRKETLLISQQAACNQQRLNLMLKIVKAREDNNLSEADRLIQCLREGMMKQTWKKL >Potri.004G030000.1.v4.1 pep chromosome:Pop_tri_v4:4:2312233:2313791:1 gene:Potri.004G030000.v4.1 transcript:Potri.004G030000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030000.v4.1 MIKSSSACGRLHGIFKRSPSFRPPAAATATKKRGTLEKMVSRFKKCSESSSFRSRYDIYAITVKRLIKYNRLSMINEILDHQKNFPDMTNETFTAHLIYLYGKAGMFDDARKLFDEMPDLKCPRTVFSFNALLLACINARRFDEIKKLLADFRSELGITFDVVSYSIAINGFCKMGDLVSAEMVFDEMIKSDVEPNLITFNTLLNGFYLNGKFADGERIWSRMMEMNVVPDVRSYNAKLHALALENRMKEAIEVVEEMRDKGLELDVFSFNALIRGFLNGEDLEEAKQWYGEMRRHGCEPNRVTFQFLIPFLCEKGDVGFAVEICEEIFDKKWHARAEVLQLVVNRLVMEAKIQDAEKLVQDGKKHKYELLMP >Potri.010G004200.4.v4.1 pep chromosome:Pop_tri_v4:10:391292:394469:1 gene:Potri.010G004200.v4.1 transcript:Potri.010G004200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004200.v4.1 MDIGESNNKYPHDHKKQLLDDDDDDDDDDDDHQVEGTSATATSSSSSSWRIRRSTGSTSDNLISHHKNKLVDHEDSDEDLFTVPDVEARPPAEAAAAAAGNNTTTYNNKNINSNNPEVQSAAAAPAATGSNKRHRGRNPVDKEYRRLKRLLRNRVSAQQARERKKVYVNDLESRAKELQEINTKLEEKISTLTNENTMLRKVLMNTRPKVDESMEQKQ >Potri.010G004200.1.v4.1 pep chromosome:Pop_tri_v4:10:391294:394359:1 gene:Potri.010G004200.v4.1 transcript:Potri.010G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004200.v4.1 MDIGESNNKYPHDHKKQLLDDDDDDDDDDDDHQVEGTSATATSSSSSSWRIRRSTGSTSDNLISHHKNKLVDHEDSDEDLFTVPDVEARPPAEAAAAAAGNNTTTYNNKNINSNNPEVQSAAAAPAATGSNKRHRGRNPVDKEYRRLKSRLLRNRVSAQQARERKKVYVNDLESRAKELQEINTKLEEKISTLTNENTMLRKVLMNTRPKVDESMEQKQ >Potri.001G357600.2.v4.1 pep chromosome:Pop_tri_v4:1:37229173:37249778:1 gene:Potri.001G357600.v4.1 transcript:Potri.001G357600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357600.v4.1 MDNGDIEGGGGLEDEFHTNQSGRKYRPVVAHDPAVLEMSSIPPGSSSSNHQSSLKKIIPGGSTQAKTNTNTGGVNDNSTNGSEREHKLELFGFDSLVNILGLKSMTGEPVAAPSSPRDGEDGSISFDRDRPRPNDLKLGTMMGVFIPCLQNILGIIYYIRFSWIVGMAGIGESLVLVAFCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEIGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGMFKETITKVNGTDIPHPIQSPSLHDLQIYGIVVTIILCFIVFGGVKMINRVAPAFLIPVLFSLLCIFIGIFLTKKDYPADGVTGLSLESFKENWSSDYQFTNNAGIPDPEGKVFWNFNALVGLFFPAVTGIMAGSNRSASLKDTQRSIPIGTLAATLTTTVLYLVSVLFFGALATRDKLLTDRLLTATIAWPFPAIIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPILNYFKVADGHEPHIATLFTAFLCVGCVVIGNLDLVTPTVTMFLLLCYMGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHEHAEDAKAACKQLSTYIDYKRCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLKEIPATFVGIINDCVVANKAVVIVKGLDEWPNEYQMQYGTIDLYWIVRDGGLMLLLSQLLRTKKSFENCKIQVFCIAEEDSDAEELKADVKKFLYDLRMQAEVIVISMKSWDAQIEGGSQQDEWLESLTAAQQRIAGYLAEKRSVQGDGDKLMADGKPVVVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVNQPAYFYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >Potri.001G357600.3.v4.1 pep chromosome:Pop_tri_v4:1:37229201:37249722:1 gene:Potri.001G357600.v4.1 transcript:Potri.001G357600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357600.v4.1 MDNGDIEGGGGLEDEFHTNQSGRKYRPVVAHDPAVLEMSSIPPGSSSSNHQSSLKKIIPGGSTQAKTNTNTGGVNDNSTNGSEREHKLELFGFDSLVNILGLKSMTGEPVAAPSSPRDGEDGSISFDRDRPRPNDLKLGTMMGVFIPCLQNILGIIYYIRFSWIVGMAGIGESLVLVAFCGLCTFLTGISLSAIATNGAMKGGGPYYLIGRALGPEIGVSIGLCFFLGNAVAGALYVLGAVETFLKAVPAAGMFKETITKVNGTDIPHPIQSPSLHDLQIYGIVVTIILCFIVFGGVKMINRVAPAFLIPVLFSLLCIFIGIFLTKKDYPADGVTGLSLESFKENWSSDYQFTNNAGIPDPEGKVFWNFKLLTATIAWPFPAIIYIGIILSTLGAALQSLTGAPRLLAAIANDDILPILNYFKVADGHEPHIATLFTAFLCVGCVVIGNLDLVTPTVTMFLLLCYMGVNLSCFLLDLLDAPSWRPRWKFHHWSLSLLGALLCIVIMFLISWSFTVVSLALASLIYYYVSIKGKAGDWGDGFKSAYFQLALRSLRSLGANQVHPKNWYPIPLVFCRPWGKLPENVPCHPKLADFANCMKKKGRGMSIFVSILDGDYHEHAEDAKAACKQLSTYIDYKRCEGVAEIVVAPNMSEGFRGIVQTMGLGNLKPNIVVMRYPEIWRRENLKEIPATFVGIINDCVVANKAVVIVKGLDEWPNEYQMQYGTIDLYWIVRDGGLMLLLSQLLRTKKSFENCKIQVFCIAEEDSDAEELKADVKKFLYDLRMQAEVIVISMKSWDAQIEGGSQQDEWLESLTAAQQRIAGYLAEKRSVQGDGDKLMADGKPVVVNEQQVEKFLYTTLKLNSTILRYSRMAAVVLVSLPPPPVNQPAYFYMEYMDLLVENVPRLLIVRGYRRDVVTLFT >Potri.009G144800.1.v4.1 pep chromosome:Pop_tri_v4:9:11490358:11498334:1 gene:Potri.009G144800.v4.1 transcript:Potri.009G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144800.v4.1 MIAEKPSWVRHEGMQIFSIDIQPGGHRFATGGGDHKVRIWNMNSVSRNLEINEPTQRLLATLRDHFGSVNCVRWAKHGRYVASGSDDQVILVHERKPGSGTTEFGSGEPPDVENWKVAMTLRGHTADVVDLNWSPDDSILASGSLDNTIHIWNMSNGICTAVLRGHSSLVKGVTWDPIGSFIASQSDDKTVIIWRTSDWSLAHRTDGHWAKSLGSTFFRRLGWSPCGHFITTTHGFQKPRHSAPVLERGEWAATFDFLGHNAPIIVVKFNHSMFRRNFTNAQELKAAQVGWTNGASKIGGKESQPYNVIAIGSQDRTITVWTTASPRPLFVAKHFFTQSVVDLSWSPDGYSLFACSLDGTVATFHFDAKELGHRLSDTELDELKRSRYGDVRGRQANLAESAAQLLLEASTKETTNKKAALDIQQSQIPVKSSVDLGVTAKTSEAQVDDGKKSVGAAGDGLNKLPASARISSPVKQREYRRADGRKRIIPEALGVPNQPETMTGGAQSQALDFPLAASDHRKVENGIVPVDGGLRESSIRGTLGRNSDIKERSGVNARATVTESLVIEKVPGSAGGDGSINVQQSGIKASSSSGSCSTPLSIRVFDKKLGEDATPICLEARSREHAVNDVVGVGSTSMMKETEIVCTRGAETLWSDRISGKVTVLAGNTNFWAVGCEDGCLQVYTKCGRRAMPTMMMGSAATFVDCDECWKLLLVTRKGSLYVWDLFSRNCLLQDSLASLITSDPNSAKGTIKVISVKLSKSGSPLVVLATRHAFLFDMSLMCWLRVADDCFPASNFASSWNLSSIQSGELAALQVDVRKYLARKPSWSRVTDDGVQTRAHLEAQLESSLALKSPNEYRQCLLSYIRFLAREADESRLREVCESFLGPPTGMAESTSSDTKMVSWDPCVLGMRKHKLLREDILPAMASNRKVQRLLNEFMDLLSEYGSVETNQKTPVLPTTSQQATSQKNCDPPVTEQMDTAPQAIDHTNAAQPAKDHEDPTPIITDEADHIPLAIDEVDLCPMVTDQVIQDSLDREAGS >Potri.016G106900.2.v4.1 pep chromosome:Pop_tri_v4:16:11184680:11187007:1 gene:Potri.016G106900.v4.1 transcript:Potri.016G106900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106900.v4.1 MVAQAAAITTSSSHLLFSTSRSLSRPSPSQLCVFDSKTLVSYPNSTSTYKKKRGGDGLKCMAVSTASDAKTKKSTFEIQTLTGWLLKQEQAGVIDAELTIVISSISMACKQIASLVQRASISNLTGVQGSVNVQGEDQKKLDVVSNEVFSSCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDSTLDQTEQRCIVNVCQPGNNLLVAGYCMYSSSVIFVLTIGKGVFSFSLDPMYGEFVLTQENIQIPKAGKIYSFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRVLDITPTEIHQRVPLYIGSVEEVEKLEKYLA >Potri.016G106900.1.v4.1 pep chromosome:Pop_tri_v4:16:11184676:11186985:1 gene:Potri.016G106900.v4.1 transcript:Potri.016G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106900.v4.1 MVAQAAAITTSSSHLLFSTSRSLSRPSPSQLCVFDSKTLVSYPNSTSTYKKKRGGDGLKCMAVSTASDAKTKKSTFEIQTLTGWLLKQEQAGVIDAELTIVISSISMACKQIASLVQRASISNLTGVQGSVNVQGEDQKKLDVVSNEVFSSCLRSSGRTGIIASEEEDVPVAVEESYSGNYIVVFDPLDGSSNIDAAVSTGSIFGIYSPNDECLADIGDDSTLDQTEQRCIVNVCQPGNNLLVAGYCMYSSSVIFVLTIGKGVFSFSLDPMYGEFVLTQENIQIPKAGKIYSFNEGNYQLWDDKLKKYIDDLKDPGPSGKPYSARYIGSLVGDFHRTLLYGGIYGYPRDKKSKNGKLRLLYECAPMSFIVEQAGGKGSDGHQRVLDITPTEIHQRVPLYIGSVEEVEKLEKYLA >Potri.001G022500.2.v4.1 pep chromosome:Pop_tri_v4:1:1755121:1757149:-1 gene:Potri.001G022500.v4.1 transcript:Potri.001G022500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022500.v4.1 MASIPIPFSPLSTTPPRAFFKPLHLPTSPAIRISDDPWRRKKRGLTVVTRAGLSANSYVLAFLLPLSLLAATIFTSIRIADKLDQDYLEELEIIQAIKEADEEDDDSDDGEDYENADDNIVDISLEEELQPVLQRTRTRNRPKREA >Potri.008G170600.2.v4.1 pep chromosome:Pop_tri_v4:8:11803446:11805602:1 gene:Potri.008G170600.v4.1 transcript:Potri.008G170600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170600.v4.1 MGCFLGCFCLSSRRKRRKPASRDQKLGRYEPLDSVSTDFGTAGNSITSKAELSKRPKESLNYKVRKKVSFNLNVKTYEPIPKEECRNDYWESDEEETDKVAAKERQSSSISEGDSSAFKMASYPSNYRYRNCVDSYDEEYEIPREESDLDDEEEEFDEEDEDDDNNGCDIDELRVNQKELFGQFSSLSVSSQKRNSSTKLGDEGTTENLKPLGDSNEGGLRSRQYVHSVLKPVENLSQWKAVKAKGTQPPKQQRKENVASEQHPPLSSLSNISHSTALMQEIAVDASLSNWVVPPDSYQKKTASNDVETNPSKKSLFDSTYPWRNKEDTHISDIATSETC >Potri.017G071333.1.v4.1 pep chromosome:Pop_tri_v4:17:7750016:7750447:1 gene:Potri.017G071333.v4.1 transcript:Potri.017G071333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071333.v4.1 MVASIFEFLNQEPHSRLGKPVALKLKAACKGVKREKKSPCPSKKQEHEPPSLAYIDPYKYECSYEIH >Potri.018G110000.1.v4.1 pep chromosome:Pop_tri_v4:18:12786186:12790548:-1 gene:Potri.018G110000.v4.1 transcript:Potri.018G110000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110000.v4.1 MIGVGKIKQYSNVLDKALSKGKQEVSSSAFAFLFSELVQYNQTQVDNIAELERRLEDAGYAVGARVLELLCHRDKGNRRETRLLGILSFVHSTVWKVLFGKVADSLEKGTEHEDEYMISEKELLVNRFISIPKDMGTFNCGAFVAGIVRGVLDGAGFPAVVTAHFVPMEGQHRPRTTILIKFGEEVLRREARLG >Potri.016G014401.2.v4.1 pep chromosome:Pop_tri_v4:16:765507:767180:1 gene:Potri.016G014401.v4.1 transcript:Potri.016G014401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014401.v4.1 MKKTQLVFVPALGFGHLVSAVQLAKMVLERNDSFLITMLAIHNPFSGSISKNTESLASIHTEIRFIEIPETIPAPPPEALAVGPASAYTSYINDHKTLVKDTIVNLVMTHNPAPIASVVVDMFCTAFIDVAKELGVPSHVFYTSDAAFLAMMLYLSDREDKGEPKFSPTDPGYIIPCYSNPVPYRVMPLLHTDVEYEAFANHGRKFKDSNGIIVNTFSEAESHAVSALLARDDIPPIFNVGPLIDHKGKSLSGSDAVKRDEILKWLDDQPEKSVVFLCFGSGGGFDEAQLKEIAIGLERSGHRFLWSVRLKPSKGKLQASFFDNYGEILPQGFLERTKNIGMLCGWAPQVEILAHKAVGAFVSHCGWNSTLEALWYAVPIITWPLYGEQHMNAFQLVKDLGLAVELTLDFRRDCPTDFVKAEVITKAVKTIMEQGGELRNKAKETSEMAKKAVMEGGSSYVAFGNLIDQWLGSKP >Potri.016G014401.3.v4.1 pep chromosome:Pop_tri_v4:16:765959:767236:1 gene:Potri.016G014401.v4.1 transcript:Potri.016G014401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014401.v4.1 MMLYLSDREDKGEPKFSPTDPGYIIPCYSNPVPYRVMPLLHTDVEYEAFANHGRKFKDSNGIIVNTFSEAESHAVSALLARDDIPPIFNVGPLIDHKGKSLSGSDAVKRDEILKWLDDQPEKSVVFLCFGSGGGFDEAQLKEIAIGLERSGHRFLWSVRLKPSKGKLQASFFDNYGEILPQGFLERTKNIGMLCGWAPQVEILAHKAVGAFVSHCGWNSTLEALWYAVPIITWPLYGEQHMNAFQLVKDLGLAVELTLDFRRDCPTDFVKAEVITKAVKTIMEQGGELRNKAKETSEMAKKAVMEGGSSYVAFGNLIDQWLGSKP >Potri.013G102750.1.v4.1 pep chromosome:Pop_tri_v4:13:11179017:11181539:-1 gene:Potri.013G102750.v4.1 transcript:Potri.013G102750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102750.v4.1 MVAETPTLPLLTPYKMGKFNLSHRIVLAPLTRQRSYDNVPQPHAVLYYSQRATKGGLLISEATGVSDTAQGYLHAPGIWTREQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNSGFQPDGQAPVSSTDKPISSQVEGMEFTPPRRLRTDEIPQIVNDFRIAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNNRTDQYGGSLENRCRFPLEIVEAIANEIGSDKLGIRLSPHVNYMESGDSDPEALGLYMVKSLNKYGIAYCHMVEPRMKIGAGNTKFSESLLPMRKAFNSTFIVAGGYDREDGNKAVEENRGDLVAYGRLFLANPDLPRRFELHAPLNKYNRETFYTHDPVVGYTDYPFLEDTA >Potri.002G141300.5.v4.1 pep chromosome:Pop_tri_v4:2:10604938:10617666:-1 gene:Potri.002G141300.v4.1 transcript:Potri.002G141300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141300.v4.1 MGSTGEPDRKRRHFSSISSPPAAMAKKQPFSHLSEDKKLDTTVLQYQNQKLQQKLEAQKVEHFALGNRFSQLKEKQQPYNSTLNAVNKSWEVLVSDLETCSNRTREWSNGQDVKHIPVTKDESSSFLKDAFLSRLMETGATESSSSNNCPDQMEVDIETAFEKNKNVVHNIVDTINGLWHLKDGLHAAVLKQLPEDDACRQMTSNELEMELKNLRSGLSDLHLKHKSLAMELQNHRDADAKNKAELKHLKGELEIAVAELKDSNCKLATLKAERDATKGAFFPVLNLGSKHIGGDKVRDKQKDLQEMESAVKELLDQASSRLQELKDLHEERLKILQKLSNLQNLLKNVKSISSSQAYLLVRDQLEKSKSEVLQYRALIEKLQVEKDNLVWKERELNVKNDLVDVCRRSTAVVDSRIAVLGKEIQKQINERNMIETKLEEASREPGRKEIIAEFKALVSSFPEEMSSMQRQLSNSKDASSDIHSLRADGQSLSTVLDRKAKECENLSARSTNQNTEIHKLQSVVQDLKESELELKLILDMYRGESTYSRDVLEARDLEYEARAQVQSFKSSLDEHNLESRVKTANKAEARSQQRLAAAEAEIADLRQKLEASKRDMSRLSDVLKSKNEGNEAYLSEIETIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRARQMHGSLLMDKQIMEKEIQQANISLNLFYVKAARIEDQSKFCSDQVHKLVEDKIQRSVTLENTQKKLLDMGRSSSQARESLEDSQSRVERSQSALLELRIDLEKERFDKRRMEEELEVVRRKVSRLQAQTEGSSIVEKLQQELQEYREIVKCSICLDRPKEVVITKCYHLFCNTCVQRILESRHRKCPVCSMSFGHNDVRLVYI >Potri.002G141300.3.v4.1 pep chromosome:Pop_tri_v4:2:10604866:10617671:-1 gene:Potri.002G141300.v4.1 transcript:Potri.002G141300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141300.v4.1 MGSTGEPDRKRRHFSSISSPPAAMAKKQPFSHLSEDKKLDTTVLQYQNQKLQQKLEAQKVEHFALGNRFSQLKEKQQPYNSTLNAVNKSWEVLVSDLETCSNRTREWSNGQDVKHIPVTKDESSSFLKDAFLSRLMETGATESSSSNNCPDQMEVDIETAFEKNKNVVHNIVDTINGLWHLKDGLHAAVLKQLPEDDACRQMTSNELEMELKNLRSGLSDLHLKHKSLAMELQNHRDADAKNKAELKHLKGELEIAVAELKDSNCKLATLKAERDATKGAFFPVLNLGSKHIGGDKVRDKQKDLQEMESAVKELLDQASSRLQELKDLHEERLKILQKLSNLQNLLKNVKSISSSQAYLLVRDQLEKSKSEVLQYRALIEKLQVEKDNLVWKERELNVKNDLVDVCRRSTAVVDSRIAVLGKEIQKQINERNMIETKLEEASREPGRKEIIAEFKALVSSFPEEMSSMQRQLSNSKDASSDIHSLRADGQSLSTVLDRKAKECENLSARSTNQNTEIHKLQSVVQDLKESELELKLILDMYRGESTYSRDVLEARDLEYEARAQVQSFKSSLDEHNLESRVKTANKAEARSQQRLAAAEAEIADLRQKLEASKRDMSRLSDVLKSKNEGNEAYLSEIETIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRARQMHGSLLMDKQIMEKEIQQANISLNLFYVKAARIEDQSKFCSDQVHKLVEDKIQRSVTLENTQKKLLDMGRSSSQARESLEDSQSRVERSQSALLELRIDLEKERFDKRRMEEELEVVRRKVSRLQAQTEGSSIVEKLQQELQEYREIVKCSICLDRPKEVVITKCYHLFCNTCVQRILESRHRKCPVCSMSFGHNDVRLVYI >Potri.002G141300.6.v4.1 pep chromosome:Pop_tri_v4:2:10604942:10614694:-1 gene:Potri.002G141300.v4.1 transcript:Potri.002G141300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141300.v4.1 MTSNELEMELKNLRSGLSDLHLKHKSLAMELQNHRDADAKNKAELKHLKGELEIAVAELKDSNCKLATLKAERDATKGAFFPVLNLGSKHIGGDKVRDKQKDLQEMESAVKELLDQASSRLQELKDLHEERLKILQKLSNLQNLLKNVKSISSSQAYLLVRDQLEKSKSEVLQYRALIEKLQVEKDNLVWKERELNVKNDLVDVCRRSTAVVDSRIAVLGKEIQKQINERNMIETKLEEASREPGRKEIIAEFKALVSSFPEEMSSMQRQLSNSKDASSDIHSLRADGQSLSTVLDRKAKECENLSARSTNQNTEIHKLQSVVQDLKESELELKLILDMYRGESTYSRDVLEARDLEYEARAQVQSFKSSLDEHNLESRVKTANKAEARSQQRLAAAEAEIADLRQKLEASKRDMSRLSDVLKSKNEGNEAYLSEIETIGQAYDDMQTQNQHLLQQITERDDYNIKLVLEGVRARQMHGSLLMDKQIMEKEIQQANISLNLFYVKAARIEDQSKFCSDQVHKLVEDKIQRSVTLENTQKKLLDMGRSSSQARESLEDSQSRVERSQSALLELRIDLEKERFDKRRMEEELEVVRRKVSRLQAQTEGSSIVEKLQQELQEYREIVKCSICLDRPKEVVITKCYHLFCNTCVQRILESRHRKCPVCSMSFGHNDVRLVYI >Potri.018G048600.2.v4.1 pep chromosome:Pop_tri_v4:18:4293403:4299356:-1 gene:Potri.018G048600.v4.1 transcript:Potri.018G048600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G048600.v4.1 MLSITTQNMGLLCSKRHRYNEADTEENAQAAEIERRIEQETKVEQHIQKILLLGAGDSGKSTIFKQIKLLFQSGFDEAELKSYISVIHANVYQTIKVLHDGSKELAQNETDSLKYVISNENKDIGQKLSEIGGRLDHPSLTKELAQEIETLWRDAAIQETYARGNELQVPDCTPYFMENLQRLSDANYIPTKDDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISDSHCSIHCMPHFQKQTNFAGIIWPISSTRTSQNGFSFLFEYGKSCYGYDQTLFEDENKNRMIGTKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLKVPLNVCEWFKDYQPVLTGKLEIEHAYEFVKKKFEELYFQSTTPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >Potri.018G048600.1.v4.1 pep chromosome:Pop_tri_v4:18:4293402:4299415:-1 gene:Potri.018G048600.v4.1 transcript:Potri.018G048600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G048600.v4.1 MLSITTQNMGLLCSKRHRYNEADTEENAQAAEIERRIEQETKVEQHIQKILLLGAGDSGKSTIFKQIKLLFQSGFDEAELKSYISVIHANVYQTIKVLHDGSKELAQNETDSLKYVISNENKDIGQKLSEIGGRLDHPSLTKELAQEIETLWRDAAIQETYARGNELQVPDCTPYFMENLQRLSDANYIPTKDDVLYARVRTTGVVEIQFSPVGENKKSGEVYRLFDVGGQRNERRKWIHLFEGVTAVIFCAAISEYDQTLFEDENKNRMIGTKELFEWVLKQPCFEKTSFMLFLNKFDIFEKKVLKVPLNVCEWFKDYQPVLTGKLEIEHAYEFVKKKFEELYFQSTTPDRVDRVFKIYRTTALDQKLVKKTFKLVDETLRRRNLFEAGLL >Potri.001G009600.1.v4.1 pep chromosome:Pop_tri_v4:1:639108:645560:-1 gene:Potri.001G009600.v4.1 transcript:Potri.001G009600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009600.v4.1 MPRKRQRSTASKPETSSSSPPKTRKMMSTTTAPKQSRLNEKKAEEEQSTASTRSRLKEKKAEKEQSKAAARSCLKEKKAAEEQLTTATRSRLMEKEAEEEQSTAPNRSRLREKKVKKEETEEVFLDAEEDDTNSIDEVGAKMGTDNGDSRSETKEKEKEKRGSGKTKKISTPEKAKEEDGTPARFVGNQVPDAEARKKWPHRYANKIKNKTPISKPSNSLDDSEEIIKARCHYKRAEVDGIIYNLYDDAHVQASDGEADYICRIIEMFESEDRTPHFTAQWYYRSTDTIIKDKYISDPKCVFFSEIRNDNPLDCLTRKLKIVRLALGVDSETRRAKTLNCDFYCDMLYLLPYSTFVKLPSENNTTAPESSSTISSDIDAAGVKFECDEVCESSGRRKSEVALLDLYSGCGAMSTGLCLGANLSGLNLVTKWAVDLNKHACESLRLNHPETQVRNETAEDFLMLLKEWEKLCIRFSLVKNDDPEKQQTYSFDMDDEDDDDDEEEEDDDNNDVSDNNDDSEVFEVEKILEVCHGDPKEIGGQRDLYFKVSWKNYGPDYDTWEPISGLSNCREAIKKFVMHGYKSNILPLPGDVEVICGGPPCQGISGFNRFRNVKNPLEDPKNKQLVVFMDIVDFLKPKFVLMENVVDLLKFADGFLGRYAMGCLVSMKYQARLGMLAAGAYGLPQFRMRVFLWGACATEKLPQYPLPTHDVLVRGVVPLEFEGNTVAYEEGVKPQLERKLFLEDAISDLPAVANDEKRDEMPYGESPKTEFQRMIRLKKMGLELNDLLFDHRPLELNDDDYQRVCQIPKRKGGNFRDLPGVRVRPDKKVEWDPEVPRQYLSSGKPLVPDYAMTFVNGSSSKPFARLWWDETVPTVVTRAEPHNQAIMHPEQDRVLTIRENARLQGFPDYYQLCGPIKERYIQVGNAVAVPVARALGYALGRAFQGFAGDDPVFSLPKKFPRITEDPSSSSEAQC >Potri.003G222800.2.v4.1 pep chromosome:Pop_tri_v4:3:21604067:21607987:1 gene:Potri.003G222800.v4.1 transcript:Potri.003G222800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222800.v4.1 MVMATSLRRCGRRLVSSVFNNREFSTTCQGNKVIQQQHEQLQETGKSLNLCSAINQALHIALETDPRSYVFGEDVSFGGVFRCTTGLAEKFGKKRVFNTPLCEQGIVGFGIGLAAMDNRAIAEIQFADYIFPAFDQIVNEAAKFRYRSGNQFNCGGLTIRTPYGAVGHGGHYHSQSPEAFFCHVPGIKVVVPRSPREAKGLLLSCIRDTNPVIFFEPKWLYRLAVEEVPEHDYMLPLSEAEVIREGSDITLVGWGAQLSIMEQACFDAEKEGISCELIDLKTLIPWDKETVEASVRKTGKLLISHEAPVTGGFGAEISASIVERCFLRLEAPVARICGLDTPFPLVFEPFYVPTKNKIVDAIKATVNY >Potri.006G101500.14.v4.1 pep chromosome:Pop_tri_v4:6:7818725:7821821:-1 gene:Potri.006G101500.v4.1 transcript:Potri.006G101500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101500.v4.1 MASWVLSECGLKPLPQFWPRPRTGIINPSKPRFLYTNRTLTDLKRLPFDKFSRGCFRERNWGLKVSAPYRVASLDGEEDNKVIGFNGVGEDEETEFDPGSPPPFKLSDIRTAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYFNNWVVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNNKLNSVAGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYKSLDGITKMLRFTVPFPMLAYPIYLWSRSPGKSGSHFDPNSDLFVPSERKDVITSTLCWTAMAALLVGLSFVMGPVQLLKLYGVPYVGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVFGKYYREPKKSGPLPFHLIGILIRSMRKDHYVSDTGDVVYYQTDPQHGGSSSE >Potri.006G101500.10.v4.1 pep chromosome:Pop_tri_v4:6:7818723:7821911:-1 gene:Potri.006G101500.v4.1 transcript:Potri.006G101500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101500.v4.1 MASWVLSECGLKPLPQFWPRPRTGIINPSKPRFLYTNRTLTDLKRLPFDKFSRGCFRERNWGLKVSAPYRVASLDGEEDNKVIGFNGVGEDEETEFDPGSPPPFKLSDIRTAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYFNNWVVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNNKLNSVAGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYKSLDGITKMLRFTVPFPMLAYPIYLWSRSPGKSGSHFDPNSDLFVPSERKDVITSTLCWTAMAALLVGLSFVMGPVQLLKLYGVPYVGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVFGKYYREPKKSGPLPFHLIGILIRSMRKDHYVSDTGDVVYYQTDPQHGGSSSE >Potri.006G101500.13.v4.1 pep chromosome:Pop_tri_v4:6:7818723:7821831:-1 gene:Potri.006G101500.v4.1 transcript:Potri.006G101500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101500.v4.1 MASWVLSECGLKPLPQFWPRPRTGIINPSKPRFLYTNRTLTDLKRLPFDKFSRGCFRERNWGLKVSAPYRVASLDGEEDNKVIGFNGVGEDEETEFDPGSPPPFKLSDIRTAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYFNNWVVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNNKLNSVAGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYKSLDGITKMLRFTVPFPMLAYPIYLWSRSPGKSGSHFDPNSDLFVPSERKDVITSTLCWTAMAALLVGLSFVMGPVQLLKLYGVPYVGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVFGKYYREPKKSGPLPFHLIGILIRSMRKDHYVSDTGDVVYYQTDPQHGGSSSE >Potri.006G101500.12.v4.1 pep chromosome:Pop_tri_v4:6:7818642:7821833:-1 gene:Potri.006G101500.v4.1 transcript:Potri.006G101500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101500.v4.1 MASWVLSECGLKPLPQFWPRPRTGIINPSKPRFLYTNRTLTDLKRLPFDKFSRGCFRERNWGLKVSAPYRVASLDGEEDNKVIGFNGVGEDEETEFDPGSPPPFKLSDIRTAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYFNNWVVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNNKLNSVAGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYKSLDGITKMLRFTVPFPMLAYPIYLWSRSPGKSGSHFDPNSDLFVPSERKDVITSTLCWTAMAALLVGLSFVMGPVQLLKLYGVPYVGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVFGKYYREPKKSGPLPFHLIGILIRSMRKDHYVSDTGDVVYYQTDPQHGGSSSE >Potri.006G101500.11.v4.1 pep chromosome:Pop_tri_v4:6:7818726:7821908:-1 gene:Potri.006G101500.v4.1 transcript:Potri.006G101500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101500.v4.1 MASWVLSECGLKPLPQFWPRPRTGIINPSKPRFLYTNRTLTDLKRLPFDKFSRGCFRERNWGLKVSAPYRVASLDGEEDNKVIGFNGVGEDEETEFDPGSPPPFKLSDIRTAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYFNNWVVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNNKLNSVAGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYKSLDGITKMLRFTVPFPMLAYPIYLWSRSPGKSGSHFDPNSDLFVPSERKDVITSTLCWTAMAALLVGLSFVMGPVQLLKLYGVPYVGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVFGKYYREPKKSGPLPFHLIGILIRSMRKDHYVSDTGDVVYYQTDPQHGGSSSE >Potri.006G101500.9.v4.1 pep chromosome:Pop_tri_v4:6:7818069:7821923:-1 gene:Potri.006G101500.v4.1 transcript:Potri.006G101500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101500.v4.1 MASWVLSECGLKPLPQFWPRPRTGIINPSKPRFLYTNRTLTDLKRLPFDKFSRGCFRERNWGLKVSAPYRVASLDGEEDNKVIGFNGVGEDEETEFDPGSPPPFKLSDIRTAIPKHCWVKDPWKSMSYVVRDVAVVFGLAAAAAYFNNWVVWPLYWAAQGTMFWALFVLGHDCGHGSFSNNNKLNSVAGHILHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYKSLDGITKMLRFTVPFPMLAYPIYLWSRSPGKSGSHFDPNSDLFVPSERKDVITSTLCWTAMAALLVGLSFVMGPVQLLKLYGVPYVGFVMWLDLVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTIDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAARPVFGKYYREPKKSGPLPFHLIGILIRSMRKDHYVSDTGDVVYYQTDPQHGGSSSE >Potri.011G154900.1.v4.1 pep chromosome:Pop_tri_v4:11:18180049:18181113:1 gene:Potri.011G154900.v4.1 transcript:Potri.011G154900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154900.v4.1 MGLQNEEKLELGCTGLQPKPKKWVLLMVRVVAFLATAAATLVMALNKETKTLVVATVGNTPIKVTLTAKFQHTPAFVFFVIANGMASFHNLLMIMVELCGQKLDYKGMRLAMVAILDMMTVALVSGGASAATFMAELGKNGNSHARWDKICDKFETFCDHGGAALIASSAGLILMMIISVMSIMKLLIKPKSDSS >Potri.001G160600.1.v4.1 pep chromosome:Pop_tri_v4:1:13585568:13587683:-1 gene:Potri.001G160600.v4.1 transcript:Potri.001G160600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160600.v4.1 MDSIISESPLHPKWRKVAYGGMQPEFDDNHTDESFLEDMVMNANVVKRDMLKVMQDSVSISQYLCIVALVGLVWAHTLQSTLDENSLLLLDASLFGSGFLVLLLTKEMRSLNLLFYYILNISFFTTGLYMLAPIYHTLTRSISSDSIWAVTVSLVVLHLFLHDYSGSTIKAPVALKNPSLTSCVSLNASVVASVFIASRLPSRLHVFAIMLFSLQVFLFAPFVTYCIKKFSFHLHLLFSFGLMVVTLALVYTLHHLLFMLLFGLLLFISIICPYWLIRIQEYKFEINGPWDEAKLCFNVTD >Potri.014G071400.3.v4.1 pep chromosome:Pop_tri_v4:14:4545252:4551072:-1 gene:Potri.014G071400.v4.1 transcript:Potri.014G071400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071400.v4.1 MVVGDKNPETPSAQKLSQSDSSQHAPPCPNPQDDASPKNQPQTPKDFILSVASKLSSQPLTNPDPNVWGVLTAISNNARKRAQGINIVLTGEEHCIGRLVEDTRFQVEANAVSGNHCKIFRKNAVAELSDVTVFLKDTSTNGTYLNWKKLTKSSPEGKVQHGDIISFAAPPQHELAVAFVYREVVRSNSSMEGAVAKRKAEDIVGENKRMKGIGIGAPEGPISLDDFRILQRSNKELRKQLENQVLTIDTLRNEQQNTIDRHENEIKEMKESVAKSYLDHIKELQNMLDAKQKELVEVNRISAEQKHVLEDLNERLTASRQSCNEANEVMKSQKASIAELEAQLEEERDQRKEERQKATSDLKAAVQRVQSEAQEEVKRLSNAALQQERELEEEINKLQEKDKKWCSQVETLMPKLEETRQKLVASDNKIRQLEAQVCEEQLASANGRKQRVDELEQETYRLRKELENEKQAAREEAWAKVSTLELEINAAMRDLEFERRRLKGARERIMLRETQLRAFYSTTEEISGLFTKQQEQLKAMQRTLEDEENYDNTSVDIDLNLNPGNMDGNLVRDNGMTRYHSNSRAKAGLGPSAQRFDRNQTVTSSDGASVTEKHDCDTRSQGDQDTREEEFTSAEHHVKSGFGSEIDGVGTAPVLEGETIGTEQVLETESLGVDGERNFDLNKYSSLAGDTMQVEGEDCVHEGDEHVQTIHLDGLHHSQSSNLPENQRDVEDTEPGGIIRTQDLLASEVVGSWACSTAPSVHGDNEYPGSGDDDEKRGADRHDSNGQVAESQSTPSSDAVAIRRNRECRALSEMIGIVAPDLKDQFGTDVDGDCDGGKERLGSSSNSDTEACSDSNDNEECAEGGSMSDTETECSDKPVEDKNLDDAMDEDTDATQEDSQ >Potri.014G071400.2.v4.1 pep chromosome:Pop_tri_v4:14:4545233:4551165:-1 gene:Potri.014G071400.v4.1 transcript:Potri.014G071400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071400.v4.1 MVVGDKNPETPSAQKLSQSDSSQHAPPCPNPQDDASPKNQPQTPKDFILSVASKLSSQPLTNPDPNVWGVLTAISNNARKRAQGINIVLTGEEHCIGRLVEDTRFQVEANAVSGNHCKIFRKNAVAELSDVTVFLKDTSTNGTYLNWKKLTKSSPEGKVQHGDIISFAAPPQHELAVAFVYREVVRSNSSMEGAVAKRKAEDIVGENKRMKGIGIGAPEGPISLDDFRILQRSNKELRKQLENQVLTIDTLRNEQQNTIDRHENEIKEMKESVAKSYLDHIKELQNMLDAKQKELVEVNRISAEQKHVLEDLNERLTASRQSCNEANEVMKSQKASIAELEAQLEEERDQRKEERQKATSDLKAAVQRVQSEAQEEVKRLSNAALQQERELEEEINKLQEKDKKWCSQVETLMPKLEETRQKLVASDNKIRQLEAQVCEEQLASANGRKRVDELEQETYRLRKELENEKAAREEAWAKVSTLELEINAAMRDLEFERRRLKGARERIMLRETQLRAFYSTTEEISGLFTKQQEQLKAMQRTLEDEENYDNTSVDIDLNLNPGNMDGNLVRDNGMTRYHSNSRAKAGLGPSAQRFDRNQTVTSSDGASVTEKHDCDTRSQGDQDTREEEFTSAEHHVKSGFGSEIDGVGTAPVLEGETIGTEQVLETESLGVDGERNFDLNKYSSLAGDTMQVEGEDCVHEGDEHVQTIHLDGLHHSQSSNLPENQRDVEDTEPGGIIRTQDLLASEVVGSWACSTAPSVHGDNEYPGSGDDDEKRGADRHDSNGQVAESQSTPSSDAVAIRRNRECRALSEMIGIVAPDLKDQFGTDVDGDCDGGKERLGSSSNSDTEACSDSNDNEECAEGGSMSDTETECSDKPVEDKNLDDAMDEDTDATQEDSQ >Potri.014G071400.1.v4.1 pep chromosome:Pop_tri_v4:14:4545252:4551134:-1 gene:Potri.014G071400.v4.1 transcript:Potri.014G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071400.v4.1 MVVGDKNPETPSAQKLSQSDSSQHAPPCPNPQDDASPKNQPQTPKDFILSVASKLSSQPLTNPDPNVWGVLTAISNNARKRAQGINIVLTGEEHCIGRLVEDTRFQVEANAVSGNHCKIFRKNAVAELSDVTVFLKDTSTNGTYLNWKKLTKSSPEGKVQHGDIISFAAPPQHELAVAFVYREVVRSNSSMEGAVAKRKAEDIVGENKRMKGIGIGAPEGPISLDDFRILQRSNKELRKQLENQVLTIDTLRNEQQNTIDRHENEIKEMKESVAKSYLDHIKELQNMLDAKQKELVEVNRISAEQKHVLEDLNERLTASRQSCNEANEVMKSQKASIAELEAQLEEERDQRKEERQKATSDLKAAVQRVQSEAQEEVKRLSNAALQQERELEEEINKLQEKDKKWCSQVETLMPKLEETRQKLVASDNKIRQLEAQVCEEQLASANGRKRVDELEQETYRLRKELENEKQAAREEAWAKVSTLELEINAAMRDLEFERRRLKGARERIMLRETQLRAFYSTTEEISGLFTKQQEQLKAMQRTLEDEENYDNTSVDIDLNLNPGNMDGNLVRDNGMTRYHSNSRAKAGLGPSAQRFDRNQTVTSSDGASVTEKHDCDTRSQGDQDTREEEFTSAEHHVKSGFGSEIDGVGTAPVLEGETIGTEQVLETESLGVDGERNFDLNKYSSLAGDTMQVEGEDCVHEGDEHVQTIHLDGLHHSQSSNLPENQRDVEDTEPGGIIRTQDLLASEVVGSWACSTAPSVHGDNEYPGSGDDDEKRGADRHDSNGQVAESQSTPSSDAVAIRRNRECRALSEMIGIVAPDLKDQFGTDVDGDCDGGKERLGSSSNSDTEACSDSNDNEECAEGGSMSDTETECSDKPVEDKNLDDAMDEDTDATQEDSQ >Potri.002G247200.2.v4.1 pep chromosome:Pop_tri_v4:2:23775781:23776113:-1 gene:Potri.002G247200.v4.1 transcript:Potri.002G247200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247200.v4.1 MNPQVPRVHYISTSTLLLWWNREAILDTKSFTFTVKKLYNEAKMSGSNKSSGIPGGGDASKAPAIGATVTGSVTGSGKDGTMKAPGQDVRISRDAFEKNPSGYFHDLHKK >Potri.001G457900.1.v4.1 pep chromosome:Pop_tri_v4:1:48353075:48357514:1 gene:Potri.001G457900.v4.1 transcript:Potri.001G457900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457900.v4.1 MARVACSNLVPALSLLPKKSTSSFPVCRNHSFFTNNSQFTGFFGIANRRFSASSSKISMSLKAGIVGLPNVGKSTLFNAVVENGKAQAANFPFCTIEPNVGIVAVPDSRLNVLSELSKSQRAVPASIEFVDIAGLVKGASQGEGLGNKFLSHIREVDSILQVVRCFDDNDIVHVNGNVDPKSDIDVINLELVFSDLDQIEKRLEKLKKGKARDSQSKLKEEAEKSALERIREALMDGKPARSVALTDFEKDAVKHLCLLTMKPVIYVANVAESELADAGNNPYVKEVMNLASELQSGLVTISAQVESELTELPFEERNEFLKSLGVSESGLGNLITATYILLGLRTYFTSGEKESKAWTIHAGMTAPQAAGVIHSDFEKGFIRAETVSYDDFVAAGSLAAAREKGLLRSEGKDYIVQEGDVMLFRFNV >Potri.010G043900.1.v4.1 pep chromosome:Pop_tri_v4:10:7555364:7559113:-1 gene:Potri.010G043900.v4.1 transcript:Potri.010G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043900.v4.1 MHHSFLILLSFLCFFVNLSLSDPRATQAALICTNRTAAMPERQTFVANFLAALDAVTPLILRQKYAAVINGTGSTTVYAFGECMKDLDGTDCNLCFAQCKTQVLRCLPFQRGIRGGRIFCDGCYVRYDDYDFFNETLSTQDKTICASADFSGGNKTVFSANVLELMRNLSVSAPKNDGFYVGSVDRGNVSVFGLAQCWEFVNGSACETCLTNAVSRIGSCTPKEEGRALNAGCYLRYSTKKFYDNSTSPIGRNKSRGRLTVILAVTSSSVAVALMIATTVFFVRRRVVEKRRARKELGALLVTVNKSKLNFSYESLEKATNYFHLSNKLGQGGSGSVYKGTLSDGTTVAIKRLLFNTRQWVDHFFNEVNLISGIQHKNLAKLLGCSITGPESLLVYEYVPNQSLHDYFSAKTNLRPLSWAMRFNIILGTAEGLAYLHEESELRIIHRDIKLSNVLLDEDFNPKIADFGLARLFPEDKSHISTAIAGTLGYMAPEYVVRGKLTEKVDVYSFGVLVIEVVSGKGKNSVPQDSRSILQKVWSLYGNGRLCEAVDPVLEGNFQEDEASRLLQIGLLCVQASPELRPSMSIIVKMINDNHEIPQPTQPPFLSPATMESSPLRQPESSTHSSGNNMTQSWIEPR >Potri.001G270000.6.v4.1 pep chromosome:Pop_tri_v4:1:28447162:28450545:1 gene:Potri.001G270000.v4.1 transcript:Potri.001G270000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270000.v4.1 MSLLYSPSFNYSDGELRKSQEFMDLNLYHYHHQQQQQIQQNSGLKSYRSAPSSFLESLVNGTSGHNGGNGGVGSEDYRYLRSSSPGMDTMLKRPMSSCNGSGDFNSQNLQEFEERPAIRQEQEDSEMVYRSLPGHNLVKGNSVSVGNSLDSAFSVMNSMDLENSKQATKMSTRNGSNLARQNSSPAGILSNHGVDNGFAVMRNAGSFRAGNGTNGEATPSTSRLRSHVNFSSGHRTLPQIAEIGEECIGGSSPEGDFSKRKYMYNFNSDTWGDASRLKDNDGNMFSGLNRRESQVGNSGNRMTGLTHHLSLPKTVAEMATAEKFLDFQGNFVPCKIRAKRGFATHPRSIAERVRRTRISERMRKLQELFPDMDKQTSTADKLDLSIELIKDLQKQVKSLADTKAKCTCSSKQK >Potri.001G270000.3.v4.1 pep chromosome:Pop_tri_v4:1:28447167:28452463:1 gene:Potri.001G270000.v4.1 transcript:Potri.001G270000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270000.v4.1 MSLLYSPSFNYSDGELRKSQEFMDLNLYHYHHQQQQQIQQNSGLKSYRSAPSSFLESLVNGTSGHNGGNGGVGSEDYRYLRSSSPGMDTMLKRPMSSCNGSGDFNSQNLQEFEERPAIRQEQEDSEMVYRSLPGHNLVKGNSVSVGNSLDSAFSVMNSMDLENSKQATKMSTRNGSNLARQNSSPAGILSNHGVDNGFAVMRNAGSFRAGNGTNGEATPSTSRLRSHVNFSSGHRTLPQIAEIGEECIGGSSPEGDFSKRKYMYNFNSDTWGDASRLKDNDGNMFSGLNRRESQVGNSGNRMTGLTHHLSLPKTVAEMATAEKFLDFQGNFVPCKIRAKRGFATHPRSIAERVRRTRISERMRKLQELFPDMDKQTSTADKLDLSIELIKDLQKQVKSLADTKAKCTCSSKQK >Potri.001G270000.4.v4.1 pep chromosome:Pop_tri_v4:1:28447161:28450575:1 gene:Potri.001G270000.v4.1 transcript:Potri.001G270000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270000.v4.1 MSLLYSPSFNYSDGELRKSQEFMDLNLYHYHHQQQQQIQQNSGLKSYRSAPSSFLESLVNGTSGHNGGNGGVGSEDYRYLRSSSPGMDTMLKRPMSSCNGSGDFNSQNLQEFEERPAIRQEQEDSEMVYRSLPGHNLVKGNSVSVGNSLDSAFSVMNSMDLENSKQATKMSTRNGSNLARQNSSPAGILSNHGVDNGFAVMRNAGSFRAGNGTNGEATPSTSRLRSHVNFSSGHRTLPQIAEIGEECIGGSSPEGDFSKRKYMYNFNSDTWGDASRLKDNDGNMFSGLNRRESQVGNSGNRMTGLTHHLSLPKTVAEMATAEKFLDFQGNFVPCKIRAKRGFATHPRSIAERVRRTRISERMRKLQELFPDMDKQTSTADKLDLSIELIKDLQKQVKSLADTKAKCTCSSKQK >Potri.001G270000.5.v4.1 pep chromosome:Pop_tri_v4:1:28447162:28450574:1 gene:Potri.001G270000.v4.1 transcript:Potri.001G270000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270000.v4.1 MSLLYSPSFNYSDGELRKSQEFMDLNLYHYHHQQQQQIQQNSGLKSYRSAPSSFLESLVNGTSGHNGGNGGVGSEDYRYLRSSSPGMDTMLKRPMSSCNGSGDFNSQNLQEFEERPAIRQEQEDSEMVYRSLPGHNLVKGNSVSVGNSLDSAFSVMNSMDLENSKQATKMSTRNGSNLARQNSSPAGILSNHGVDNGFAVMRNAGSFRAGNGTNGEATPSTSRLRSHVNFSSGHRTLPQIAEIGEECIGGSSPEGDFSKRKYMYNFNSDTWGDASRLKDNDGNMFSGLNRRESQVGNSGNRMTGLTHHLSLPKTVAEMATAEKFLDFQGNFVPCKIRAKRGFATHPRSIAERVRRTRISERMRKLQELFPDMDKQTSTADKLDLSIELIKDLQKQVKSLADTKAKCTCSSKQK >Potri.001G270000.1.v4.1 pep chromosome:Pop_tri_v4:1:28447161:28450639:1 gene:Potri.001G270000.v4.1 transcript:Potri.001G270000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270000.v4.1 MSLLYSPSFNYSDGELRKSQEFMDLNLYHYHHQQQQQIQQNSGLKSYRSAPSSFLESLVNGTSGHNGGNGGVGSEDYRYLRSSSPGMDTMLKRPMSSCNGSGDFNSQNLQEFEERPAIRQEQEDSEMVYRSLPGHNLVKGNSVSVGNSLDSAFSVMNSMDLENSKQATKMSTRNGSNLARQNSSPAGILSNHGVDNGFAVMRNAGSFRAGNGTNGEATPSTSRLRSHVNFSSGHRTLPQIAEIGEECIGGSSPEGDFSKRKYMYNFNSDTWGDASRLKDNDGNMFSGLNRRESQVGNSGNRMTGLTHHLSLPKTVAEMATAEKFLDFQGNFVPCKIRAKRGFATHPRSIAERVRRTRISERMRKLQELFPDMDKQTSTADKLDLSIELIKDLQKQVKSLADTKAKCTCSSKQK >Potri.011G147700.1.v4.1 pep chromosome:Pop_tri_v4:11:17569489:17571237:1 gene:Potri.011G147700.v4.1 transcript:Potri.011G147700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147700.v4.1 MKTILSSETMDIPDGVKIKINARIIEVEGPRGKLTRNFKHLNLDFQLIKDEEGKRKLKIDAWFASRKTSAAIRTALSHVENLITGVTKGYRYKMRFVYAHFPINASITNSNAAIEIRNFLGEKKVRKVDMLEGVSIVRSEKVKDELVLDGNDIELVSRSAALINQKCHVKNKDIRKFLDGIYVSEKGTVVEEE >Potri.019G002400.1.v4.1 pep chromosome:Pop_tri_v4:19:844448:846243:-1 gene:Potri.019G002400.v4.1 transcript:Potri.019G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002400.v4.1 MAYFSFDASAFFFFLGFVIHPILNRAVAWGPVDPTNGFVSLPLNLSYYHIQKPYDVPEDQRYSFVNGVHKCWVYSTDKPHTLTSQTKPRTEIAIQGYNYSSGVWQFEGYGYVPNGTSGVCIMQVFGASAPHATTLMVRVYNGSLMYYKGPVLVPNIYDQWFRLNVIHDVDSAKVKVYINGTLKIEADGRGGTSHAFKCGVYAQNNDSYYMESRWKGIKVLKKCD >Potri.002G179100.1.v4.1 pep chromosome:Pop_tri_v4:2:14080112:14083175:1 gene:Potri.002G179100.v4.1 transcript:Potri.002G179100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179100.v4.1 MAQQRQFQMAGGGNSGQYNDTTFTKIFVGGLAWETQRDTMRRYFEQFGEILEAVVITDKNTGRSKGYGFVTFKDPDAAMRACQNPSPVIDGRRANCNLASLGAQKTRPPTPQHGTGRFRPVHGVVASPTYPGSAAPYTHQPTGQYSFPYSAYGYTGYSQDAMYPLGYYGVYGGQQFSPYYTTGGASGTPGMFQHNFYPFYTPYAQSSQAHGFGIQYPQMVQYPYLPQQFGSTGILSLPSSVAMATTTAGAATMTMTTTTTAAVAPTANTGVVGAGTGALQASGTATEQNSPTK >Potri.010G047600.1.v4.1 pep chromosome:Pop_tri_v4:10:7989772:7993395:1 gene:Potri.010G047600.v4.1 transcript:Potri.010G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047600.v4.1 MDKIPTKESSLAIAAACSSLKRKRPPMIEIPNVLQEIHTVNSHTSTKPRFQELTPRNTALSFSGNGVGVSAIKGKKKFMEDTHKIVSCLHGNSNQGFFGVYDGHGGKKAVEFVAENLHVNILEKMVNCDAGNVSKEEAVKAGYLKTDQDFLKQGLVSGVCCVTALIEGQEVVISNLGDCRAVLCRGVVAEALTEDHRAAQEDERKRIEDKGGYVEIHRGAWRVHGILSVSRSIGDAHLKDWVLAEPDTKILKLSPDMEFLVLASDGLWDEVGNQEAVDMVISLCMAEKNVGTTGDIPEDNDIDYGCVNVSPSSKLRRISLVKQQKESRHSPSYKKKACSWKDNEDDFACENESPPTKSRKISLAKRVNIKTESPIKENSWYKKRSASVVLENACKELVNLAVSRGSLDDITVMIIDLNHFRHNS >Potri.001G453600.3.v4.1 pep chromosome:Pop_tri_v4:1:48014826:48018665:1 gene:Potri.001G453600.v4.1 transcript:Potri.001G453600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453600.v4.1 MAEGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYTFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAECFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >Potri.001G453600.4.v4.1 pep chromosome:Pop_tri_v4:1:48014803:48018667:1 gene:Potri.001G453600.v4.1 transcript:Potri.001G453600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453600.v4.1 MAEGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYTFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAECFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >Potri.001G453600.5.v4.1 pep chromosome:Pop_tri_v4:1:48014952:48018645:1 gene:Potri.001G453600.v4.1 transcript:Potri.001G453600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453600.v4.1 MAEGEEIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYTFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAECFRCPEVLFQPSLIGMEAPGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKAEYDESGPSIVHRKCF >Potri.015G121400.10.v4.1 pep chromosome:Pop_tri_v4:15:13518889:13525609:-1 gene:Potri.015G121400.v4.1 transcript:Potri.015G121400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121400.v4.1 MDRNRLGLRIHHSGSSQSEESALDLERNYCSHPNLLWSSPSPLQPFASGGQHSESNAAYFSWPTLSRLNDAAEVRANYFGNLQKGVLPETLGRLPSGQRATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGDLTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGVPAATPKEQLYTELVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDELWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVNITVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLTGRDCEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDIITTNEGLQVIDIAAIQDQRNPLAQGIDSTVGESSPLDRVPSKEKIEENFEPLNLNIQQVTGEGESQHGQTPLFIGPEFHIEDAVEASPNVEHQFIPSFSGRSPMHDNTPQENPELKNLSALRSDSDEMCFSLHLGEPEPKRRKQSD >Potri.015G121400.13.v4.1 pep chromosome:Pop_tri_v4:15:13518114:13525755:-1 gene:Potri.015G121400.v4.1 transcript:Potri.015G121400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121400.v4.1 MDRNRLGLRIHHSGSSQSEESALDLERNYCSHPNLLWSSPSPLQPFASGGQHSESNAAYFSWPTLSRLNDAAEVRANYFGNLQKGVLPETLGRLPSGQRATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGDLTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGVPAATPKEQLYTELVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDELWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVNITVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLTGRDCEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDIITTNEGLQGLYF >Potri.015G121400.11.v4.1 pep chromosome:Pop_tri_v4:15:13518890:13525615:-1 gene:Potri.015G121400.v4.1 transcript:Potri.015G121400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121400.v4.1 MDRNRLGLRIHHSGSSQSEESALDLERNYCSHPNLLWSSPSPLQPFASGGQHSESNAAYFSWPTLSRLNDAAEVRANYFGNLQKGVLPETLGRLPSGQRATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGDLTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGVPAATPKEQLYTELVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDELWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVNITVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLTGRDCEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDIITTNEGLQAAIQDQRNPLAQGIDSTVGESSPLDRVPSKEKIEENFEPLNLNIQQVTGEGESQHGQTPLFIGPEFHIEDAVEASPNVEHQFIPSFSGRSPMHDNTPQENPELKNLSALRSDSDEMCFSLHLGEPEPKRRKQSD >Potri.015G121400.12.v4.1 pep chromosome:Pop_tri_v4:15:13518091:13525607:-1 gene:Potri.015G121400.v4.1 transcript:Potri.015G121400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121400.v4.1 MDRNRLGLRIHHSGSSQSEESALDLERNYCSHPNLLWSSPSPLQPFASGGQHSESNAAYFSWPTLSRLNDAAEVRANYFGNLQKGVLPETLGRLPSGQRATTLLELMTIRAFHSKILRRFSLGTAIGFRIRRGDLTDIPAILVFVARKVHRQWLSHVQCLPAALEGPGGVWCDVDVVEFSYYGVPAATPKEQLYTELVDGLRGSDPCIGSGSQVANQETYGTLGAIVKSRTGNRQVGFLTNRHVAVDLDYPNQKMFHPLPPSLGPGVYLGAVERATSFITDELWYGIFAGTNPETFVRADGAFIPFAEDFNMNNVNITVKGVGEVGDVHVIDLQAPINSLIGRQVVKVGRSSGLTTGTIMAYALEYNDEKGICFFTDFLVVGENQQTFDLEGDSGSLILLTGRDCEKPRPVGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLDLLELDIITTNEGLQAAIQDQRNPLAQGIDSTVGESSPLDRVPSKEKIEENFEPLNLNIQQVTGEGESQHGQTPLFIGPEFHIEDAVEASPNVEHQFIPSFSGRSPMHDNTPQENPELKNLSALRSDSDEMCFSLHLGEPEPKRRKQSD >Potri.004G117500.2.v4.1 pep chromosome:Pop_tri_v4:4:11035773:11048477:1 gene:Potri.004G117500.v4.1 transcript:Potri.004G117500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117500.v4.1 MNILSFPNMVFSQNLLLIITASVAALVGVAAACSNGECRLHDECSSNQDCEAGLYCLACPLGFPGTRCVRSTITDQFKLLNNSLPFNKYAFLATHNAYAIDGYPSHTRVPRITFTNQEDSVMDQLNNGARALMLDTYDFRGDVWLCHSFKGQCHDYTAFGPAIDTLREIEAFLSAHPAEIVTIILEDYVRAPNGLTKVFTDAGLMKYWFPVTNMPKDGQDWPLVNDMVQNNQRLLVFTSIQSKEASEGIAYQWNYMVENQYGNIGMKAGSCTNRKESPPLNDKSRSLVLVNYFRCIPMKKLSCEDNSRNLINMLHTCNGAAANRWANFVAVDYYKRSEGGGSFQAVDLLNGKLLCGCDDIHACVEESQEEYNNNKGTQVELLQQVLPTHVSSI >Potri.017G089600.2.v4.1 pep chromosome:Pop_tri_v4:17:10218299:10226128:-1 gene:Potri.017G089600.v4.1 transcript:Potri.017G089600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089600.v4.1 MATTSNKPQRSLEEIEDIIVRKILLISLTDSSDPRIIYLEMTAAEILSEGKDLKLNRDLIERVLIDRLSVQNPNAEPPFNYLLGCYRRAVDELKKIANMKDKIVKSELELSIRQLKKLSVSYCRIHLGNPELFGDDSNVVKGSGNSNVSPVLPLIFAMVDGFNSGGIQPPPGFLEELFREGDLDSLDPIFKGLYEDLRGNVLKVSVLGNFQQPLRALLFLVSFTVGAKSLVGHKWWIPTGAYVNGRVIEMTSILGPFFHVSALPDNTIFKSEPDVGQQCFSDATNRRQADLLSSFTTIKTLMNHLYDGLSEVLLALLKNSDTRESVLQYLAEVINRNATRAHIQVDPLSCASSGMFVNLSAVMLRLSEPFLDANLSKKDKIDPNYVFQNNRLDIRGLTALHASSEEITEWLNTPRKTDVSALSSDEENRLLQSQEASSSGNSGEKAKYSFICECFFMTARVLNLGLLKAFSDFKHLVQDISRCEDTLSTFKALQKQTPSPQLQLDIDRLEKEIELYSQEKLCYEAQILRDGALIQHALSFYRLMLVWLVNLVGGFKMPLPLTCPKEFASMPEHFVEDAMELLIFASRIPKALDGVLLDDFMNFIIMFMASPTYIRNPYLRAKMVEVLNCWMPRRSGSSATASLFEGHHLSLEYLVRNLLKLYVDIEFTGSHTQFFDKFNIRHNIAELLEYLWQVPSHRNIWMKIAKEEEKGVYLKFLNFLINDSIYLLDESLNKILEIKGLEAEMSNTTEWERRPAQERQERTRLFHSQENIIRIDMKLANEDVSMLTFTSEQITAPFLLPEMVDRVATMLNYFLLQLVGPQRRSLTLKDPEKYEFRPKQLLKQIVHIYVHLARGDTENIFPAAILKDGRSYNEQLFTAAADVLRRIGEDGRVVQEFIELGTKTKVAASEAMDAEVTLGEVPEEFLDPIQCTLMKDPVILPSSRTTVDRPVILRHLLSDNTDPFNRSHLTVDMLISNTELKARIDEYIRSQELKRHGEDFSLQRAKETIQTTTEEMLID >Potri.007G087300.1.v4.1 pep chromosome:Pop_tri_v4:7:11253436:11254038:1 gene:Potri.007G087300.v4.1 transcript:Potri.007G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087300.v4.1 MGVSGTLEFLSDLVGSGGHKHKKKQLQTVELKVRMDCDGCELKVKNALSSMSGVKKVEINRKQQRVTVSGYVDSNKVLKKAKSTGKRAEIWPYVPYSLVAQPFATQAYDKKAPPGYVRKVENTAAIGTVTRYEDPYTSMFSDDNPNACSIM >Potri.002G001700.3.v4.1 pep chromosome:Pop_tri_v4:2:105958:113532:-1 gene:Potri.002G001700.v4.1 transcript:Potri.002G001700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001700.v4.1 MNMGAFRWGASLLEDACKVADKIAQLAGNFSCIWKLHGDIQLNYAKCFPWMEDDQSVEFDVETFHASILTWKQTCYLASTFAKRSYQRALHLAPWQANLYIDIGIASDLISSMNENYGHDQHPWQLSEKMVLGALLLEGDNYEFWVALGCLSGHNALRQHALIRGLQLDVSLAVAWAYLGKLYREEGEKNLARLAFDCSRSIDPSLSLPWAGMSADSQIRELTPEEAFESCSRAVQILPVAEFQIGLAKLALISGSLASSQVFGAIRQAVQKAPHYPETHNLHGLVCEARSEYQAAITSFRLARCAINISSGDTSKSRFQEIAVNLARSLSKAGYAADAVQECESLRKKGMLDSEGMQIYAFCLWQLGENDHALSVVRNLASSVSAMEQALAAASVSFICRMLYYISGLDLAVSSILKMPKEFLQSTKVWIVASAIHALDHSNRLAQAVSNSHYSLLSHDEIIEKHYLTALAKLVKHGSDYCLGFQSGISHIKKALHSYPNSNLLRNLLGHLLLSCEEWKETHVASRCCVTEAPNCASKQGLKSGCEILGAGAVACYAIGNKDPKFSYPACGYQCLNGPGAVQELQKYMRQEPWNHRAQYLLILNLLQKAREERFPSKICAILERLILVALSNEFYSRESMSYQYQKFQLLLCASEISLQGGNIAGCIKHAKNASSLLLPNNYLFFGHLLLCRAYAAVDDYTNLQQQFIRCLELKTDYNIGWMCLKIIESLYNVESDSKISVLSLKECSKEWKNSWNMWIAVFNLVLGLISLWKEEYFSAEESLVQACSLASSESCLFLCHGVACIKLARQFCSSDYLSLAVSSLTSAHATSTIPLPIVSLLLAQAEGSLGLKQNWEKNLRFEWYSWPPEMRPAELFFQMHLLSIQSEAGFKTPSTVELCQSPLKWVLRAIHTNPSSLRYWNILRKLME >Potri.002G001700.1.v4.1 pep chromosome:Pop_tri_v4:2:105959:115082:-1 gene:Potri.002G001700.v4.1 transcript:Potri.002G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001700.v4.1 MLKSGEEQEEDLEKQRAAVVELRELEKSVEANPDDPSLRFNLAVYLWERCECKEKAAEHFVVAVKLNPQNATAFKYLGHYYYEKEKVRALKCYQRAVSLNPDDSQSGDALCDILDQTGKETLELSLCTEASQKSPRAFWAFRRLGYIHLHHNRCSEAVHTLQHAIRGFPTSPDLWEALGLAYQKLGMYTAATKSYGRAIELEDRRVFALIQSGNIFLTLGNFRKGVEQFQRALEISPQNVSANYGLASGLLAWSKECMNMGAFRWGASLLEDACKVADKIAQLAGNFSCIWKLHGDIQLNYAKCFPWMEDDQSVEFDVETFHASILTWKQTCYLASTFAKRSYQRALHLAPWQANLYIDIGIASDLISSMNENYGHDQHPWQLSEKMVLGALLLEGDNYEFWVALGCLSGHNALRQHALIRGLQLDVSLAVAWAYLGKLYREEGEKNLARLAFDCSRSIDPSLSLPWAGMSADSQIRELTPEEAFESCSRAVQILPVAEFQIGLAKLALISGSLASSQVFGAIRQAVQKAPHYPETHNLHGLVCEARSEYQAAITSFRLARCAINISSGDTSKSRFQEIAVNLARSLSKAGYAADAVQECESLRKKGMLDSEGMQIYAFCLWQLGENDHALSVVRNLASSVSAMEQALAAASVSFICRMLYYISGLDLAVSSILKMPKEFLQSTKVWIVASAIHALDHSNRLAQAVSNSHYSLLSHDEIIEKHYLTALAKLVKHGSDYCLGFQSGISHIKKALHSYPNSNLLRNLLGHLLLSCEEWKETHVASRCCVTEAPNCASKQGLKSGCEILGAGAVACYAIGNKDPKFSYPACGYQCLNGPGAVQELQKYMRQEPWNHRAQYLLILNLLQKAREERFPSKICAILERLILVALSNEFYSRESMSYQYQKFQLLLCASEISLQGGNIAGCIKHAKNASSLLLPNNYLFFGHLLLCRAYAAVDDYTNLQQQFIRCLELKTDYNIGWMCLKIIESLYNVESDSKISVLSLKECSKEWKNSWNMWIAVFNLVLGLISLWKEEYFSAEESLVQACSLASSESCLFLCHGVACIKLARQFCSSDYLSLAVSSLTSAHATSTIPLPIVSLLLAQAEGSLGLKQNWEKNLRFEWYSWPPEMRPAELFFQMHLLSIQSEAGFKTPSTVELCQSPLKWVLRAIHTNPSSLRYWNILRKLME >Potri.004G027666.1.v4.1 pep chromosome:Pop_tri_v4:4:2109995:2112318:-1 gene:Potri.004G027666.v4.1 transcript:Potri.004G027666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027666.v4.1 MQMQYSILCPQKHQMSYGRLVVLNGQQEILWSSNVSAGVKDSIAQLTDDGNLVLLGKNNGNVIWESFQRPCNTLLPNMRLGANARTGESTVLTSCISPSNPSVGRFSVSMDPLRIPEVFVWNYKSPFWRSGPWNGQIFIGIPEMNSVYLDGFNLAKTADGAVSLSFTYVNQPNSNFVLRSDGKLIERAWKVGTRIGINYGKCGAFGSCNAVNSPICSCLRGFVPKNPDEWNKGNWTSGCIRRTPLECTETQNI >Potri.008G029800.4.v4.1 pep chromosome:Pop_tri_v4:8:1608621:1614783:1 gene:Potri.008G029800.v4.1 transcript:Potri.008G029800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029800.v4.1 MFRLHKHKSDKFGGTLDFKFSSFQALQVPKGWDRLFVYIISVETGKTLSKSGKGSVRNGTCRWTESLTESIPVSEKEIDDCLFKFVVSMGSSRSGILGEATVNLGSYRNAETAVPVSLPLKKCNHGTILLVRIQCLTPRAKPREEQFEEPGSYAEDVIAVDYIDMENKSDVSDSSVARSVGSSSSNHLDSASGTGEHSRELSFSASGSRYSFDSMEGSLDYSLQNNLIGTSNLVGRQDSTGSQNSSSYGSYSLNDSSRSNHSSFNSASRSHLQNQRESLNQVSRTVASSPLRNADSSKDLLEAAEATIEELRAEARMWEQNARRLMFDLEKMRKDLSDQSMHCASLEMQLSESHRECDGSKQKIEQLKILLEESVAKQTTTEKLKFQAKEMDNFQKEIEDELKFQKETNADLALQLKKTQESNIELVTILQELEDTIEIQKIEISDLSKIQSKSQKAGKYHLEVQNSEETKRMKKSFAKDTREASCDSGMEGSTVEQELDDLPVGSESEDSRSLELEFQQLQDSQKNLESTIKPPERSLENKIHAIEVEQSLKTQTLMDCEAEWREKLAAKDEKITNLEAELFKALNPLQFQNGDDRELIKEIEVLTQKMEELERDCSELTEENLELVLKLKESEKYGASTSPSSNECLGNHSLLTSESEVRKLRSQICKLEEEMRKKEIISQQLSTEMAKTLSELQEHIQSCLANVKKQQCDPCFPINGECSTAFDKPVISNDTDLFNQKERAKSILNSFVQLKDLFEAKSALFKNEVHQSKEVRAKVVNPDELRNNLEAYDSGGNTFSTCGPQPESMQMESTPEMTDLEKELLEKISGMDKLNSLNEQEIDALRHSQTELETQISNLQNERWQLEQNLEVTLRESMVTSKCLDDLRKEMTKLSSNRDSQASAKEILERKLSELESGKLEMEVHLSELEKENVQLSERICGLEAQLRYLTNDRESTSEELHNSESSNMSLREEIRRLESELEAQKVDARQKMQDMQKRWLEAQEECGYLKVANPKLQTTAESLIEECSVLQKSNAELRTQKMQLHEHCTILEAELRDSEKCFSNMSKEVEALEGKYILLQQEIASKEQALGIELDSLLQENKKYKEKLAMEENFLNQMHLEKTVEVENLQREVAHLTEQISATHGEKERTASEAVIEVSHLRSGRAMLEASLQELQGKLELSESNLCTLQMESEIKVLGLMQELAASKQNQEVLMADHEKLLELLEDVKSNEEKHKSSVKGLEIKLKASEYARQQVAEETSSLQIQLQKTSLLQDEILDLKRSLNEVKFENQKLEASLQMLSGDYEELKTEKILSMQKISDMQRAVSELEDCKRSKVALEEKLLRLDGDLTAREAIGAQDAELKNELARAKRANSEFQRKIRYLEEEKQECLKKAQALGEELEQRKASKQDQHSFSDASLPSGPESSDMNSSTPDEFSVSQVSTNNLYLIFN >Potri.008G029800.5.v4.1 pep chromosome:Pop_tri_v4:8:1610933:1615694:1 gene:Potri.008G029800.v4.1 transcript:Potri.008G029800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029800.v4.1 MEGSLDYSLQNNLIGTSNLVGRQDSTGSQNSSSYGSYSLNDSSRSNHSSFNSASRSHLQNQRESLNQVSRTVASSPLRNADSSKDLLEAAEATIEELRAEARMWEQNARRLMFDLEKMRKDLSDQSMHCASLEMQLSESHRECDGSKQKIEQLKILLEESVAKQTTTEKLKFQAKEMDNFQKEIEDELKFQKETNADLALQLKKTQESNIELVTILQELEDTIEIQKIEISDLSKIQSKSQKAGKYHLEVQNSEETKRMKKSFAKDTREASCDSGMEGSTVEQELDDLPVGSESEDSRSLELEFQQLQDSQKNLESTIKPPERSLENKIHAIEVEQSLKTQTLMDCEAEWREKLAAKDEKITNLEAELFKALNPLQFQNGDDRELIKEIEVLTQKMEELERDCSELTEENLELVLKLKESEKYGASTSPSSNECLGNHSLLTSESEVRKLRSQICKLEEEMRKKEIISQQLSTEMAKTLSELQEHIQSCLANVKKQQCDPCFPINGECSTAFDKPVISNDTDLFNQKERAKSILNSFVQLKDLFEAKSALFKNEVHQSKEVRAKVVNPDELRNNLEAYDSGGNTFSTCGPQPESMQMESTPEMTDLEKELLEKISGMDKLNSLNEQEIDALRHSQTELETQISNLQNERWQLEQNLEVTLRESMVTSKCLDDLRKEMTKLSSNRDSQASAKEILERKLSELESGKLEMEVHLSELEKENVQLSERICGLEAQLRYLTNDRESTSEELHNSESSNMSLREEIRRLESELEAQKVDARQKMQDMQKRWLEAQEECGYLKVANPKLQTTAESLIEECSVLQKSNAELRTQKMQLHEHCTILEAELRDSEKCFSNMSKEVEALEGKYILLQQEIASKEQALGIELDSLLQENKKYKEKLAMEENFLNQMHLEKTVEVENLQREVAHLTEQISATHGEKERTASEAVIEVSHLRSGRAMLEASLQELQGKLELSESNLCTLQMESEIKVLGLMQELAASKQNQEVLMADHEKLLELLEDVKSNEEKHKSSVKGLEIKLKASEYARQQVAEETSSLQIQLQKTSLLQDEILDLKRSLNEVKFENQKLEASLQMLSGDYEELKTEKILSMQKISDMQRAVSELEDCKRSKVALEEKLLRLDGDLTAREAIGAQDAELKNELARAKRANSEFQRKIRYLEEEKQECLKKAQALGEELEQRKASKQDQHSFSDASLPSGPESSDMNSSTPDEFSVSQVGTKSNFNTGNAPGIGLDSLSKIQLLENELAEALEANDMYKAQLKSLLTEEYKDPLNAPKKLLDEDVVVEGDGYEGKISSLQTELKDLQERYFDMSLKYAEVEAERAKLVLKLKPVNNGRRWFS >Potri.008G029800.3.v4.1 pep chromosome:Pop_tri_v4:8:1608598:1615679:1 gene:Potri.008G029800.v4.1 transcript:Potri.008G029800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029800.v4.1 MFRLHKHKSDKFGGTLDFKFSSFQALQVPKGWDRLFVYIISVETGKTLSKSGKGSVRNGTCRWTESLTESIPVSEKEIDDCLFKFVVSMGSSRSGILGEATVNLGSYRNAETAVPVSLPLKKCNHGTILLVRIQCLTPRAKPREEQFEEPGSYAEDVIAVDYIDMENKSDVSDSSVARSVGSSSSNHLDSASGTGEHSRELSFSASGSRYSFDSMEGSLDYSLQNNLIGTSNLVGRQDSTGSQNSSSYGSYSLNDSSRSNHSSFNSASRSHLQNQRESLNQVSRTVASSPLRNADSSKDLLEAAEATIEELRAEARMWEQNARRLMFDLEKMRKDLSDQSMHCASLEMQLSESHRECDGSKQKIEQLKILLEESVAKQTTTEKLKFQAKEMDNFQKEIEDELKFQKETNADLALQLKKTQESNIELVTILQELEDTIEIQKIEISDLSKIQSKSQKAGKYHLEVQNSEETKRMKKSFAKDTREASCDSGMEGSTVEQELDDLPVGSESEDSRSLELEFQQLQDSQKNLESTIKPPERSLENKIHAIEVEQSLKTQTLMDCEAEWREKLAAKDEKITNLEAELFKALNPLQFQNGDDRELIKEIEVLTQKMEELERDCSELTEENLELVLKLKESEKYGASTSPSSNECLGNHSLLTSESEVRKLRSQICKLEEEMRKKEIISQQLSTEMAKTLSELQEHIQSCLANVKKQQCDPCFPINGECSTAFDKPVISNDTDLFNQKERAKSILNSFVQLKDLFEAKSALFKNEVHQSKEVRAKVVNPDELRNNLEAYDSGGNTFSTCGPQPESMQMESTPEMTDLEKELLEKISGMDKLNSLNEQEIDALRHSQTELETQISNLQNERWQLEQNLEVTLRESMVTSKCLDDLRKEMTKLSSNRDSQASAKEILERKLSELESGKLEMEVHLSELEKENVQLSERICGLEAQLRYLTNDRESTSEELHNSESSNMSLREEIRRLESELEAQKVDARQKMQDMQKRWLEAQEECGYLKVANPKLQTTAESLIEECSVLQKSNAELRTQKMQLHEHCTILEAELRDSEKCFSNMSKEVEALEGKYILLQQEIASKEQALGIELDSLLQENKKYKEKLAMEENFLNQMHLEKTVEVENLQREVAHLTEQISATHGEKERTASEAVIEVSHLRSGRAMLEASLQELQGKLELSESNLCTLQMESEIKVLGLMQELAASKQNQEVLMADHEKLLELLEDVKSNEEKHKSSVKGLEIKLKASEYARQQVAEETSSLQIQLQKTSLLQDEILDLKRSLNEVKFENQKLEASLQMLSGDYEELKTEKILSMQKISDMQRAVSELEDCKRSKVALEEKLLRLDGDLTAREAIGAQDAELKNELARAKRANSEFQRKIRYLEEEKQECLKKAQALGEELEQRKASKQDQHSFSDASLPSGPESSDMNSSTPDEFSVSQVGTKSNFNTGNAPGIGLDSLSKIQLLENELAEALEANDMYKAQLKSLLTEEYKDPLNAPKKLLDEDVVVEGDGYEGKISSLQTELKDLQERYFDMSLKYAEVEAERAKLVLKLKPVNNGRRWFS >Potri.018G037500.2.v4.1 pep chromosome:Pop_tri_v4:18:2996615:2999963:1 gene:Potri.018G037500.v4.1 transcript:Potri.018G037500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037500.v4.1 MAFLGAKFLSLRPAAILFFTFMLASAFISAERSIKHGVSRLSEAEELEPNYLVKIISFLWQSDESGYQHVWPELSFNWQAVIGTIIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSATAISKCMIMGAAVSTVYHNLRLRHPTLDLPVIDYDLVLLIQPMLMLGISIGVTFNVIFPDWVITVTLIILCIGTSVKAFFKGLDTWKIETILKEVAAKRFESTGGSEEVEYKPLPDGQGNDPPKASKVTILQNIYWKELGLLVFVWVSYLAVQIAKNYTAPCSTTFWVLNLLQIPISIGVFLYEAIGLYKGRRRISSKGDEIMDWQVHRLLMFSVCGVVAGIVGGLLGIGGGFVMGPLFLEMGIPPQVSSGTATFGMLFSSSMSVVEYYLLDRFPVPYALYFIAVAVIAAFIGQNIITKLITISGRASLIIFVLAFTIFISAIALGGVGITRTIGMVERHEYMGFENLCKYTAA >Potri.018G037500.5.v4.1 pep chromosome:Pop_tri_v4:18:2996585:2999961:1 gene:Potri.018G037500.v4.1 transcript:Potri.018G037500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037500.v4.1 MAFLGAKFLSLRPAAILFFTFMLASAFISAERSIKHGVSRLSEAEELEPNYLVKIISFLWQSDESGYQHVWPELSFNWQAVIGTIIGFFGAAFGSVGGVGGGGIFVPMLTLIIGFDPKSATAISKCMIMGAAVSTVYHNLRLRHPTLDLPVIDYDLVLLIQPMLMLGISIGVTFNVIFPDWVITVTLIILCIGTSVKAFFKGLDTWKIETILKEVAAKRFESTAGGSEEVEYKPLPDGQGNDPPKASKVTILQNIYWKELGLLVFVWVSYLAVQIAKNYTAPCSTTFWVLNLLQIPISIGVFLYEAIGLYKGRRRISSKGDEIMDWQVHRLLMFSVCGVVAGIVGGLLGIGGGFVMGPLFLEMGIPPQVSSGTATFGMLFSSSMSVVEYYLLDRFPVPYALYFIAVAVIAAFIGQNIITKLITISGRASLIIFVLAFTIFISAIALGGVGITRTIGMVERHEYMGFENLCKYTAA >Potri.011G074301.1.v4.1 pep chromosome:Pop_tri_v4:11:7853112:7853640:-1 gene:Potri.011G074301.v4.1 transcript:Potri.011G074301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074301.v4.1 MTRSLKKNPFVANHLLRKINKLNTKAEKNLIVTWSRASTIIPKMIGHTIAIHNGKEHLPIYITDCMVGHKLGEFAPTLNFRGHAKNDNKSRR >Potri.005G107400.3.v4.1 pep chromosome:Pop_tri_v4:5:7726498:7732574:-1 gene:Potri.005G107400.v4.1 transcript:Potri.005G107400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107400.v4.1 MGIDRKDVRMVCHFNIPKSMESFYQESGRAGRDQLPSRSLLYYGVDDHKKMEFILRNAENKKLQSSSSKGELSKKSLTDFNLMIEYCEGSGCRRKKILESFGEQVSATLCKKSCDACKHPNLVAKYLEELTTSIARQRNGFSRVFMSRSTDMIDEEFRIDEQFSEFWNRDDEGKSSEEDISDFDDETEVVKSLPRSRLSRKSGVDEKIELLQRAEENYYQNKNFDKLKNKVDKNAISETLREASKQRLLNALKLAHKRLGILNIELDTSASFLENECYKKYGKSGKTFYYSQVASTVRWLSSTTLVELTNRLGPGTPSVPVSKEHPPETPPSPLLEQRPPETTNLKRHSSFHSPLLEQKSPETTTPRLDHTFQSETSMNTSPSESSSLSTKLPSIPSFSEFVNSKKEKGNHANTSQNQSHMRLEKTGEKRLRLH >Potri.005G107400.2.v4.1 pep chromosome:Pop_tri_v4:5:7726556:7732538:-1 gene:Potri.005G107400.v4.1 transcript:Potri.005G107400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107400.v4.1 MKKSPLPMVQNTSSKDEKRTRKETLVKLLRWHFGYQDFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALAKRGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSSVRNKIHEDLDSGKPSVRLLYVTPELIATPGFMSKLTKIHTRGLLNLIAIDEAHCISSWGHDFRPSYRKLSSLRNHLPDVPVLALTATAAPKVQNDVIESLCLQDPLVLSSSFNRPNIYYEVRYKDLLDDAYADLSSVLKSCGDICAIVYCLERTTCDGLSAHLSKNGISSAAYHAGLNNKLRSSVLDDWISSKIQVVVATVAFGMGIDRKDVRMVCHFNIPKSMESFYQESGRAGRDQLPSRSLLYYGVDDHKKMEFILRNAENKKLQSSSSKGELSKKSLTDFNLMIEYCEGSGCRRKKILESFGEQVSATLCKKSCDACKHPNLVAKYLEELTTSIARQRNGFSRVFMSRSTDMIDEEFRIDEQFSEFWNRDDEGKSSEEDISDFDDETEVVKSLPRSRLSRKSGVDEKIELLQRAEENYYQNKNFDKLKNKVDKNAISETLREASKQRLLNALKLAHKRLGILNIELDTSASFLENECYKKYGKSGKTFYYSQVASTVRWLSSTTLVELTNRLGPGTPSVPVSKEHPPETPPSPLLEQRPPETTNLKRHSSFHSPLLEQKSPETTTPRLDHTFQSETSMNTSPSESSSLSTKLPSIPSFSEFVNSKKEKGNHANTSQNQSHMRLEKTGEKRLRLH >Potri.005G107400.4.v4.1 pep chromosome:Pop_tri_v4:5:7726556:7732558:-1 gene:Potri.005G107400.v4.1 transcript:Potri.005G107400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107400.v4.1 MVCHFNIPKSMESFYQESGRAGRDQLPSRSLLYYGVDDHKKMEFILRNAENKKLQSSSSKGELSKKSLTDFNLMIEYCEGSGCRRKKILESFGEQVSATLCKKSCDACKHPNLVAKYLEELTTSIARQRNGFSRVFMSRSTDMIDEEFRIDEQFSEFWNRDDEGKSSEEDISDFDDETEVVKSLPRSRLSRKSGVDEKIELLQRAEENYYQNKNFDKLKNKVDKNAISETLREASKQRLLNALKLAHKRLGILNIELDTSASFLENECYKKYGKSGKTFYYSQVASTVRWLSSTTLVELTNRLGPGTPSVPVSKEHPPETPPSPLLEQRPPETTNLKRHSSFHSPLLEQKSPETTTPRLDHTFQSETSMNTSPSESSSLSTKLPSIPSFSEFVNSKKEKGNHANTSQNQSHMRLEKTGEKRLRLH >Potri.005G107400.1.v4.1 pep chromosome:Pop_tri_v4:5:7726550:7732609:-1 gene:Potri.005G107400.v4.1 transcript:Potri.005G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107400.v4.1 MKKSPLPMVQNTSSKDEKRTRKETLVKLLRWHFGYQDFRGKQLEAIEAVLSGRDCFCLMPTGGGKSMCYQIPALAKRGIVLVVSPLIALMENQVMALKEKGIAAEFLSSTQTSSVRNKIHEDLDSGKPSVRLLYVTPELIATPGFMSKLTKIHTRGLLNLIAIDEAHCISSWGHDFRPSYRKLSSLRNHLPDVPVLALTATAAPKVQNDVIESLCLQDPLVLSSSFNRPNIYYEVRYKDLLDDAYADLSSVLKSCGDICAIVYCLERTTCDGLSAHLSKNGISSAAYHAGLNNKLRSSVLDDWISSKIQVVVATVAFGMGIDRKDVRMVCHFNIPKSMESFYQESGRAGRDQLPSRSLLYYGVDDHKKMEFILRNAENKKLQSSSSKGELSKKSLTDFNLMIEYCEGSGCRRKKILESFGEQVSATLCKKSCDACKHPNLVAKYLEELTTSIARQRNGFSRVFMSRSTDMIDEEFRIDEQFSEFWNRDDEGKSSEEDISDFDDETEVVKSLPRSRLSRKSGVDEKIELLQRAEENYYQNKNFDKLKNKVDKNAISETLREASKQRLLNALKLAHKRLGILNIELDTSASFLENECYKKYGKSGKTFYYSQVASTVRWLSSTTLVELTNRLGPGTPSVPVSKEHPPETPPSPLLEQRPPETTNLKRHSSFHSPLLEQKSPETTTPRLDHTFQSETSMNTSPSESSSLSTKLPSIPSFSEFVNSKKEKGNHANTSQNQSHMRLEKTGEKRLRLH >Potri.003G034700.2.v4.1 pep chromosome:Pop_tri_v4:3:3999061:4005339:-1 gene:Potri.003G034700.v4.1 transcript:Potri.003G034700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034700.v4.1 MKLISDKAVLAYHLQEIIPASRNLKGSNTETPALMALSECSLGEFSRIGGGKLDFSSSCTQRIIIDVTNLLFLGVFYLSLLVGSITKSYQVSGSNRRDWISVFVSSLCFFISIAYTSVGLWDLIAGKDRLDGFFWLVYLARGLVWVSLAVSLLVRKSKWTRIVVRIWWVSFSLLVSALNIEILARERSIQVLDVFPWLVNFLLLFSAFRNLNHFACLQTPDKSLSEPLLGGKDEKNRSKLYRASFLSRLTFSWISPLLGLGYTKPLDREDIPSLVPEDEANAAYQKFASAWDSLVREKSSNSTKNLVLQAVAKIHFKENISVGICAFLRTLAVVALPLLLYAFVNYSNLDEQNLHQGLSIVGGLILVKVVESLSQRHCFFYSRQSGMRMRSALMVAIYKKQLNLSSSGRRRHSTGEIVNYIAVDAYRMGEFPWWFHSTWSLALQLFLSIGVLFFVVGLGALTGLVPLLLCGLLNVPFARMLQKCQAELMISQDERLRATSEILNSMKIIKLQSWEENFKNLMESHRDKEFKWLAEMQFKKAYGTLMYWMSPTIISSVVFLGCALFGSAPLNASTIFTVLATLRGMGEPVRMIPEALSVMIQVKVSFDRINNFLLDDELKDDNIKKTQTLNSDRSVTIQEGKFSWDPELNMPTLREVNLDVKSGQKIAVCGPVGAGKSSLLYAILGEIPKLSETVDVTGSIAYVSQTSWIQSGTVRDNILYGKPMDQAKYEKAIKVCALDKDINSFRYGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTASILFNDCVMTALEKKTVILVTHQVEFLAAVDRILVMEGGKITQSGSYEELLMAGTAFEQLINAHKDAMTLLGPLSNENQGESVKVDMVRSDESHLSGPAKENSEGEISVKSVPGVQLTEEEEKEIGDAGWKPFLDYLTVSKGTPLLCLSILTQCGFVAFQAAATYWLAFAIQIPNISSGFLIGIYTLISTLSAVFVYGRSYSTACLGLKASKTFFSGFTNAIFKAPMLFFDSTPVGRILTRASSDLSVLDFDIPFAFIFVAAPLTELLATIGIMASVTWQVLIVAILAMAASKYVQGYYLASARELIRINGTTKAPVMNYAAETSLGVVTIRAFKMVDRFFQNYLKLVDNDAVLFFHSNGAMEWLVIRTEAIQNMTLFTAALLLILLPKGYVPPGLVGLSLSYALSLTGTQVFMTRWYCNLANYIISVERIKQFMNIPPEPPAVVEDKRPPSSWPFSGRIELQELKIRYRPNAPLVLKGINCTFKEGTRVGVVGRTGSGKTTLISALFRLVEPESGKILIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLHSDQEIWEALDKCQLKATISSLPHLLDSSVSDEGENWSAGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIRREFSDCTVITVAHRVPTVIDSDMVMVLSYGKLLEYGEPTKLLETNSSFSKLVAEYWASCRQHSHRNFEK >Potri.003G034700.3.v4.1 pep chromosome:Pop_tri_v4:3:3999201:4005089:-1 gene:Potri.003G034700.v4.1 transcript:Potri.003G034700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034700.v4.1 MRMRSALMVAIYKKQLNLSSSGRRRHSTGEIVNYIAVDAYRMGEFPWWFHSTWSLALQLFLSIGVLFFVVGLGALTGLVPLLLCGLLNVPFARMLQKCQAELMISQDERLRATSEILNSMKIIKLQSWEENFKNLMESHRDKEFKWLAEMQFKKAYGTLMYWMSPTIISSVVFLGCALFGSAPLNASTIFTVLATLRGMGEPVRMIPEALSVMIQVKVSFDRINNFLLDDELKDDNIKKTQTLNSDRSVTIQEGKFSWDPELNMPTLREVNLDVKSGQKIAVCGPVGAGKSSLLYAILGEIPKLSETVDVTGSIAYVSQTSWIQSGTVRDNILYGKPMDQAKYEKAIKVCALDKDINSFRYGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTASILFNDCVMTALEKKTVILVTHQVEFLAAVDRILVMEGGKITQSGSYEELLMAGTAFEQLINAHKDAMTLLGPLSNENQGESVKVDMVRSDESHLSGPAKENSEGEISVKSVPGVQLTEEEEKEIGDAGWKPFLDYLTVSKGTPLLCLSILTQCGFVAFQAAATYWLAFAIQIPNISSGFLIGIYTLISTLSAVFVYGRSYSTACLGLKASKTFFSGFTNAIFKAPMLFFDSTPVGRILTRASSDLSVLDFDIPFAFIFVAAPLTELLATIGIMASVTWQVLIVAILAMAASKYVQGYYLASARELIRINGTTKAPVMNYAAETSLGVVTIRAFKMVDRFFQNYLKLVDNDAVLFFHSNGAMEWLVIRTEAIQNMTLFTAALLLILLPKGYVPPGLVGLSLSYALSLTGTQVFMTRWYCNLANYIISVERIKQFMNIPPEPPAVVEDKRPPSSWPFSGRIELQELKIRYRPNAPLVLKGINCTFKEGTRVGVVGRTGSGKTTLISALFRLVEPESGKILIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLHSDQEIWEALDKCQLKATISSLPHLLDSSVSDEGENWSAGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIRREFSDCTVITVAHRVPTVIDSDMVMVLSYGKLLEYGEPTKLLETNSSFSKLVAEYWASCRQHSHRNFEK >Potri.003G034700.1.v4.1 pep chromosome:Pop_tri_v4:3:3999101:4005232:-1 gene:Potri.003G034700.v4.1 transcript:Potri.003G034700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034700.v4.1 METLKNTREFSRIGGGKLDFSSSCTQRIIIDVTNLLFLGVFYLSLLVGSITKSYQVSGSNRRDWISVFVSSLCFFISIAYTSVGLWDLIAGKDRLDGFFWLVYLARGLVWVSLAVSLLVRKSKWTRIVVRIWWVSFSLLVSALNIEILARERSIQVLDVFPWLVNFLLLFSAFRNLNHFACLQTPDKSLSEPLLGGKDEKNRSKLYRASFLSRLTFSWISPLLGLGYTKPLDREDIPSLVPEDEANAAYQKFASAWDSLVREKSSNSTKNLVLQAVAKIHFKENISVGICAFLRTLAVVALPLLLYAFVNYSNLDEQNLHQGLSIVGGLILVKVVESLSQRHCFFYSRQSGMRMRSALMVAIYKKQLNLSSSGRRRHSTGEIVNYIAVDAYRMGEFPWWFHSTWSLALQLFLSIGVLFFVVGLGALTGLVPLLLCGLLNVPFARMLQKCQAELMISQDERLRATSEILNSMKIIKLQSWEENFKNLMESHRDKEFKWLAEMQFKKAYGTLMYWMSPTIISSVVFLGCALFGSAPLNASTIFTVLATLRGMGEPVRMIPEALSVMIQVKVSFDRINNFLLDDELKDDNIKKTQTLNSDRSVTIQEGKFSWDPELNMPTLREVNLDVKSGQKIAVCGPVGAGKSSLLYAILGEIPKLSETVDVTGSIAYVSQTSWIQSGTVRDNILYGKPMDQAKYEKAIKVCALDKDINSFRYGDLTEIGQRGLNMSGGQKQRIQLARAVYNDADIYLLDDPFSAVDAHTASILFNDCVMTALEKKTVILVTHQVEFLAAVDRILVMEGGKITQSGSYEELLMAGTAFEQLINAHKDAMTLLGPLSNENQGESVKVDMVRSDESHLSGPAKENSEGEISVKSVPGVQLTEEEEKEIGDAGWKPFLDYLTVSKGTPLLCLSILTQCGFVAFQAAATYWLAFAIQIPNISSGFLIGIYTLISTLSAVFVYGRSYSTACLGLKASKTFFSGFTNAIFKAPMLFFDSTPVGRILTRASSDLSVLDFDIPFAFIFVAAPLTELLATIGIMASVTWQVLIVAILAMAASKYVQGYYLASARELIRINGTTKAPVMNYAAETSLGVVTIRAFKMVDRFFQNYLKLVDNDAVLFFHSNGAMEWLVIRTEAIQNMTLFTAALLLILLPKGYVPPGLVGLSLSYALSLTGTQVFMTRWYCNLANYIISVERIKQFMNIPPEPPAVVEDKRPPSSWPFSGRIELQELKIRYRPNAPLVLKGINCTFKEGTRVGVVGRTGSGKTTLISALFRLVEPESGKILIDGLDICSMGLKDLRMKLSIIPQEPTLFRGSIRTNLDPLGLHSDQEIWEALDKCQLKATISSLPHLLDSSVSDEGENWSAGQRQLFCLGRVLLKRNRILVLDEATASIDSATDAILQRIIRREFSDCTVITVAHRVPTVIDSDMVMVLSYGKLLEYGEPTKLLETNSSFSKLVAEYWASCRQHSHRNFEK >Potri.002G067900.1.v4.1 pep chromosome:Pop_tri_v4:2:4683067:4690629:-1 gene:Potri.002G067900.v4.1 transcript:Potri.002G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067900.v4.1 MVLEVRSLRKAVVPSTLIENPSPGNLQSTRLALHVNEDGSSCLVYIASGCHVYKLLIPMDNSSVSEGKESLLIPVQTQVMDSSLVNRCPHRSEIQSIVLSETESPGHLVLGSVDGYGHLIVSRLDTSGNDIDQRTYSVLPRDSGVGESSWAGLCFSRSQWSMAAVTRSFCKSIDVYDQDIHVRTLRTLWYPSSLNFLENSGNGSADSILAVAEGCQLTLWDLRMKENGGCLRRISGSLGDIFYAVCSSSTGNVAVGGADRTVTIYDPRRWSPITRWVHCSKYEITGLAFSSLDPDYIYIQGVDYEVLCGQRKECEKVFSFRGDSNWLGFSKCCNRDVVGGWCDSGSIFMADVGVKEE >Potri.004G128501.1.v4.1 pep chromosome:Pop_tri_v4:4:13502475:13505417:1 gene:Potri.004G128501.v4.1 transcript:Potri.004G128501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128501.v4.1 MFVEQLIKKKDDAHDFDSHTSDLEEISRKVFSAHFGELSIIFLWLSGMYFHGARFSNYKAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSRFFQIWRASGITSELQLYCTTIGALVFAALMLFAGWFPYHKAALKLAWFQNVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYSEFLTFCGGLDPVTGSLWLTDIAHHHLVIAILFLQSSFPCRIVVSVANQSSPVNCGVSSKPVFAGEFYVRSNLVFDVESYGSSESVFIVEFHVTTKLVFIAEFSSESVFAVELYVNRESVLLPKYICHQPISFATKFCITSE >Potri.017G038000.2.v4.1 pep chromosome:Pop_tri_v4:17:2486257:2486695:1 gene:Potri.017G038000.v4.1 transcript:Potri.017G038000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G038000.v4.1 MDPGSSRTFDLSYYRLLLKRRGLFQSDSALTTNSTTLSFVNQLLQGSLENFFAEFADSMEKMGRINVKSTGTVGEIRKQCAVVNS >Potri.001G250300.2.v4.1 pep chromosome:Pop_tri_v4:1:26618120:26620264:1 gene:Potri.001G250300.v4.1 transcript:Potri.001G250300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250300.v4.1 MGEVSRFTFSLSDKCSLKPVDKTLAVTHRLLPLNLRLPATSPVNLNVRLSISSSSSRGCTLKCSASTPDNQVARFQEASMNGNLIPLYRCIFSDHSTPVLAYRCLVREDDRDAPSFLFESVEPGLDASTIGRYSVIGAQPSMEIVAKENMVTIMDHYEGRRREEIVEDPMEVPRRITEGWKPQFIDELFNCILKVCST >Potri.013G009400.1.v4.1 pep chromosome:Pop_tri_v4:13:617354:622006:1 gene:Potri.013G009400.v4.1 transcript:Potri.013G009400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009400.v4.1 MGMLSEGLTQVLIPAAALVGIAFALLQWYLVSKVKVSGDSSNGYSGKLIEEEEDGIDSLEVSIKCAEIQNAISVGATSFLFTQYKYLSVFMVVFAAIIFFFLGSVKGFSTKSEPCTYSQGKLCKPALANAAFSTLAFLLGALTSVLSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYISIILFKIYYGDDWEGLYESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAEASCAALFVASISSFGISHDYTAMSYPLIISSVGIVVCLITTLFATDLSEIRDVSQIEPSLKRQLVVSTILMTVGIAMVSFFALPSEFTLFNFGTEKAVKNWHLFFCVTIGLWAGLVIGYTTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIVPIFAIAIAIYVSFSLAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHKIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAGINTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGRVKPDYANCVKISTDASLREMIPPGALVMLTPLITGTLFGVETLAGVLAGSLVSGVQVAISASNTGGAWDNAKKYIEAGASEHAKSLGPKGSDAHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFAAHGGLLFKFL >Potri.017G141400.3.v4.1 pep chromosome:Pop_tri_v4:17:14187385:14191521:1 gene:Potri.017G141400.v4.1 transcript:Potri.017G141400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141400.v4.1 MLCILFDIDFVSQLMNTKMEARNCMFNAVVVITWCFMLKVGVSDPGINMLSQGCSTYNVSSVPNFNSNLNITFGLVRTDLMNSSKKFVTEQSLSGPDSVYAMFQCRDYMSAADCIACFSAASTQIRNCSVANGARVVYDGCFLRYERSDFYGETTRDANREYCGNQTTSSPDTTFNTTVAGLLGDLQVATPRIDGFFAASKREVAGSNVSVYGIAQCAQTIDSAGCQACMEVAYKNIQRCPPNADGRALDSGCFMRYSDKPFFADNQTIDLLPFLKTKSSSSSKKGAIIGGAAGGGALVLLIVGLFIWFKLSKKRKAAPRGNILDATELRGATIYSYKDLKSATKNFKEENKLGEGGFGDVYKGTLKNGKVVAVKKLALGQSNRVKADFASEVTLISNVHHRNLIRLLGCCSKGPELLLVYEYMANSSLDRFLFGEKRGSLRWKQRFDIILGTAQGLAYLHEQFHVCIIHRDIKSSNILLDDDFQPKIADFGLARLLPENQSHLSTKFAGTLGYTAPEYALHGQLSEKVDTYSFGIVVLEIVSGTKSSEMIADPGAEYLLKKAWKLYEDGTHLELVDESLDPSEYEAEHAKKIIEIALMCTQSSPTSRPTMSEVAVLFKSRGSLEHTQPTRPPFVESAERFHGDKSTSTASSSSNATASFSVLSAR >Potri.014G162200.1.v4.1 pep chromosome:Pop_tri_v4:14:11653184:11657078:1 gene:Potri.014G162200.v4.1 transcript:Potri.014G162200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162200.v4.1 MFVLHKMATSPSSSLCSFSLFLSKPSSLPLLKPPLLSLHSPPLKRFISTASELQHDTQARRRTLLGSPYTKTSPLLSLSTAAIATESATVSHNDTAENEETEKLVLPTNDSSEKLLRIRHTCAHVMAMAVQKLYPEAKVTIGPWIENGFYYDFDMDPLTDKDLKRIKKEMDRIIGRNLPLAREDVSRDEAQRRIMAVNEPYKMEILESIKEDPITIYHIGDEWWDLCAGPHVESTGNINRKAVELESVAGAYWRGDEKKPMLQRIYGTAWENEDQLKAYLHFKEEAKRRDHRRLGQDLDLFSIQDEAGGGLVFWHPKGAVIRHIIEDSWKKTHIEHGYDLLYTPHVAKADLWKISGHLDFYRENMFDQMKIEDEQYQLRPMNCPYHILLYKRKLNSYRDFPIRVAELGTVYRYELSGSLHGLFRVRGFTQDDAHIFCLEDQIKDEIRGVLDLTEEILLQFGFRRYEVNLSTRPEKSVGDDDIWEKATSALRDALDDKGWSFQIDEGGGAFYGPKIDLKIEDALGRKWQCSTIQVDFNLPQRFDVTYVDSNTEKKRPIMIHRAVLGSLERFFGVLIEHYAGDFPLWLSPVQVRILPVTDTQLEYCKEVISKLKGNGIRAEVCQGERLPKLIRNAEKQKIPLMAVVGPKEAETQTVTIRSRFSGEVGTIEIDDFISRIKSAIERRTSL >Potri.012G027700.1.v4.1 pep chromosome:Pop_tri_v4:12:2710823:2711324:1 gene:Potri.012G027700.v4.1 transcript:Potri.012G027700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027700.v4.1 MVKSSFISIISQENKKENKGSVEFQIVSFTNKIRRLTSHLELHRKDYLSQRGLRKILGKRQRLLSYLAKKNGVRYKELISQLNIRESKTR >Potri.004G096675.1.v4.1 pep chromosome:Pop_tri_v4:4:8326027:8326824:1 gene:Potri.004G096675.v4.1 transcript:Potri.004G096675.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096675.v4.1 MSWFILFITIIFVCGFTLVFSADDERYLICRNLFDCGNIKGIGYPFSGSNRPDYCGYPGFGLNCSNQVPEITITQSTYKVLAINNQSRTLNVARTDYRENLCPTLLLNTTLNPSLLSYTTDDHNITIYYGCPAQAPSTSYLSTQFPCSINTTEMTGYFTATDDFSFLVQVRQSALEPIIGNATEAKLLGALNQGFGLVWNANDTLCDTCNSSGGQCGYDQTTKAFACYCADRPRDFNCLPSPPSQST >Potri.003G097100.1.v4.1 pep chromosome:Pop_tri_v4:3:12307759:12312429:1 gene:Potri.003G097100.v4.1 transcript:Potri.003G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097100.v4.1 MAAADPYHLRRAPTIHHYSNSREDLDSEIGSVEFVTYTVHIPPTPNNQAVEIPAENEKKMERSFTSNSMFTGGHNCATRAHLKEKTIESQTSHPRGAGANGSFCAVPGCDAQVIADKRGVDLVPCECEYKICWDCCKDVLATGDGICPGCKEPYRSHDVPELHSRRLSFGKSSKALAKSHSGELDYSQYLFDSMTNYGYGNALCPTDGVKGNDEGTSGVPKSLVEKQWKPLTRELKISTKVIAPYRLLIPVRMIVLALFLRWRVSNPNEDARWLWGMSIVCEIWFAFSWLLDQLPKLCPINRVTDLDVLKEKFETPSPSNPTGKSDLPGIDIFVSTADPEKEPPLVTANTILSILAADYPVEKLSCYVSDDGGALLTFEAMAEAASFASLWVPFCRKHEIEPRNPESYFNMRRDPYKNKIRPDFVRDRRRAKREYDEFKVRINGLSDSIRRRSDAYNTQEELKAMKRWKEKVDDEPMDRLKIPKATWMADGTHWPGTWTVPAPEHTRGDHASILQVMLQPPSDEPLKGIAGDSKSMNLSEVDIRLPVLVYVSREKRPGYDHNKKAGAMNALVRASAVMSNGPFILNLDCDHYIYNSQALRDGICFMMDRGGEGICYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGIQGPVYVGTGCLFRRTAFYDFDPPRYEDHGSCFFGRHKKAAVASAPEISQSHGMEDAENQEINAPLIPRKFGNSSLFLDSVRVAAFQGLPLADNSHVKYGRPPGALTGPRPLHLATIAEAVNVISCWYEDKTEWGQSVGWIYGSVTEDVVTGYRMHGRGWRSVYCVTERDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLGGPRLKLLQRIAYLNVGIYPFTSIFLVVYCFIPAFSLFTNQFIVASLTVTFLVYLFIISVTLCILAVLEINWSGIELEEWWRNEQFWLIGGTSAHLAAVLQGLLKVIAGIEISFTLTSKSAGDDADDEFSDLYLFKWTSLMILPCTIIMTNFIAIAVGVSRTIYSEAPQWSKLLGGVFFSFWVLAHFYPFVKGLMGRRGKTPTIIYVWSALLSICISLLWVAIDPPSGNNQIGGLFQLP >Potri.004G206700.5.v4.1 pep chromosome:Pop_tri_v4:4:21431334:21432715:-1 gene:Potri.004G206700.v4.1 transcript:Potri.004G206700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206700.v4.1 MKVKLEEKEKKIKQMEEKKKKIKQMEEKEKKIKQMEEKKKKIKQMEEKEKKIRKMEEKEKKIKQKVKQMEEKEKKIKQKVKQMEEKEKKMEEKEKKIKQKIKQMEEKEKMVPNACNAIVLHNQRRRPKLIASYISFSPRECVTGSCCSGNSVI >Potri.004G206700.4.v4.1 pep chromosome:Pop_tri_v4:4:21431334:21432715:-1 gene:Potri.004G206700.v4.1 transcript:Potri.004G206700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206700.v4.1 MKVKLEEKEKKIKQMEEKKKKIKQMEEKEKKIKQMEEKKKKIKQMEEKEKKIRKMEEKEKKIKQKVKQMEEKEKKIKQKVKQMEEKEKKMEEKEKKIKQKIKQMEEKEKMVRANVLQAVVAAAIALSNCTIM >Potri.004G206700.2.v4.1 pep chromosome:Pop_tri_v4:4:21431334:21432715:-1 gene:Potri.004G206700.v4.1 transcript:Potri.004G206700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206700.v4.1 MKVKLEEKEKKIKQMEEKKKKIKQMEEKEKKIKQMEEKKKKIKQMEEKEKKIRKMEEKEKKIKQKVKQMEEKEKKIKQKVKQMEEKEKKMEEKEKKIKQKIKQMEEKEKMVPNACNAIVLHNQRRRPKLIASYISFSNYCPVKSAIIQ >Potri.004G206700.3.v4.1 pep chromosome:Pop_tri_v4:4:21431334:21432715:-1 gene:Potri.004G206700.v4.1 transcript:Potri.004G206700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206700.v4.1 MKVKLEEKEKKIKQMEEKKKKIKQMEEKEKKIKQMEEKKKKIKQMEEKEKKIRKMEEKEKKIKQKVKQMEEKEKKIKQKVKQMEEKEKKMEEKEKKIKQKIKQMEEKEKMVPNACNAIVLHNQRRRPKSARMCYRQLLQRQ >Potri.004G206700.6.v4.1 pep chromosome:Pop_tri_v4:4:21431334:21432715:-1 gene:Potri.004G206700.v4.1 transcript:Potri.004G206700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206700.v4.1 MKVKLEEKEKKIKQMEEKKKKIKQMEEKEKKIKKMEEKEKKIKQKVKQMEEKEKKIKQKVKQMEEKEKKMEEKEKKIKQKIKQMEEKEKMVRANVLQAVVAAAIALSNCTIM >Potri.004G212200.1.v4.1 pep chromosome:Pop_tri_v4:4:21865466:21869260:-1 gene:Potri.004G212200.v4.1 transcript:Potri.004G212200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212200.v4.1 MEIEMKHSKFKRICVFCGSSPGKKSSYKDAAIELGKELVSSNIDLVYGGGSIGLMGLISQAVFDGGRHVIGVIPKTLMPREITGETVGEVKAVADMHQRKAEMARHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINPSARHIIVSAPTPRELVKKMEEYFPRHEIVASKVSWEIEQLGYSPQCDISR >Potri.004G185800.1.v4.1 pep chromosome:Pop_tri_v4:4:19935385:19936272:1 gene:Potri.004G185800.v4.1 transcript:Potri.004G185800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185800.v4.1 MKVVAAYLLAVLGGNTCPTAEDLKHILGSVGADADDDRIELLLSSVKGKDITELIASGREKLASVPSGGGVAVAAGGAPAAASGGAAPAAEAKKEEKVEEKEESDDDMGFSLFD >Potri.014G083800.1.v4.1 pep chromosome:Pop_tri_v4:14:5369422:5374381:-1 gene:Potri.014G083800.v4.1 transcript:Potri.014G083800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083800.v4.1 MAFNACVALSLTNSQLFLVSSMRVCSGFRSSVACNLRCRRLKGDAVSIGSRFRNFGVARAKGQKIEDHSLLDDSMDNTDDEQVGDVRPKVPERDFTGTAYVPVYVMLPLSVIDMNCELVDPEDLLNQLRILKSANVDGVMIDCWWGIVEAHAPQVYNWSGYRRLFQMVRDLKLKLQVVMSFHECGGNVGDDVHIPLPQWVTEIGETNPDIYFTDREERRNTECLTWGIDKERVLKRRTAVEVYFDYMRSFRVEFDEFFQDGIISEIEIGLGPCGELRYPSYPAKHGWTYPGIGEFQCYDKYLMKSLSKAAEVRGHSFWGRGPENAGSYNSAPHEIGFFRDGGDYDSYYGRFFLNWYSQVLIDHGDRVLALANLAFEGTGISAKLSGIHWWYKTASHAAELTAGFYNSSNRDGYAPIAAMLRKHGVALNFTCFEMRTVDQFEGFPEALADPEGLVWQVLNAAWDACIPLASENALPCYDREGYNKILENAKPLHNPDGRHLSVFTYLRLSPVLMERHNFQEFERFVKRMHGEADSDSNAI >Potri.006G033201.2.v4.1 pep chromosome:Pop_tri_v4:6:2065119:2067203:1 gene:Potri.006G033201.v4.1 transcript:Potri.006G033201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033201.v4.1 MKLGGVHDCKGSQNSGEIDSLARFAVQEHNTKENALLEFVRVVKAKEQVVAGKLYHLTLEANDAGNKKIYEVKVWVKPWMNFKQLQEFKHVEGGTSSDLGVKQDDHGSGWKMVSTNDVEVQNVASHAVKSIQERSNSLYPYELLEILLAKAKVTEDSAKFNLLLKLRRGIKEENIKVEVIKNIEGKFYVNLMP >Potri.006G033201.1.v4.1 pep chromosome:Pop_tri_v4:6:2065118:2067271:1 gene:Potri.006G033201.v4.1 transcript:Potri.006G033201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033201.v4.1 MKLGGVHDCKGSQNSGEIDSLARFAVQEHNTKENALLEFVRVVKAKEQVVAGKLYHLTLEANDAGNKKIYEVKVWVKPWMNFKQLQEFKHVEGGTSSDLGVKQGH >Potri.002G118950.1.v4.1 pep chromosome:Pop_tri_v4:2:9008421:9008858:-1 gene:Potri.002G118950.v4.1 transcript:Potri.002G118950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118950.v4.1 METGFHDDVRSIITRSATLSLFSLFVSLLANMPLQGPNQLHELSSTFLLCVLTCTVSISYDGTWEPEPASVTEDRVHAVLMVTFACSSRGFELCGCSYDGHWRLT >Potri.003G210400.1.v4.1 pep chromosome:Pop_tri_v4:3:20826782:20828422:1 gene:Potri.003G210400.v4.1 transcript:Potri.003G210400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210400.v4.1 MSQRKESIVMLPFMAQGHIIPFLALALQLDQTKKYTITFVNTSLNIKKLRPSIPTNSSIHLLEIPLDSSVYGLPPGTENTDSIPYHLIANFLEASLSLKPAFRKIISDHVKEQKGHPPFCIITDMFFGWCAEIAHEFGAFHAIFSGCGGFGFACYYSLWLNLPHQNNLSDEFTLPDFPEASTIHVTQLAENLKEANGRDLFSVCLQNMLPECTNADGILVNTVEELDKVGLGYFRRKTGKPVWPIGPVLLSNRSQDQAAITPELCKHWLDTKPAGSVLYISFGSQNVISASQMMELAMALEACGKNFIWVVRPPIGFDINMEFKAKEWLPEGFEERMEYSKRGLLVRKWAPQVEILSHKSVSAFLSHCGWNSVLESLSNGVPLIGWPLAAEQFYNVKLLEEHIGVCLEVARGKSCEVRHEDIVKKIMLVMDETEKGNAMRRKAREVRDMIIDAVQYENDHKGSSVKAMDEFLDAASLMREGKKWAADRGV >Potri.003G210400.2.v4.1 pep chromosome:Pop_tri_v4:3:20827177:20828460:1 gene:Potri.003G210400.v4.1 transcript:Potri.003G210400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210400.v4.1 MFFGWCAEIAHEFGAFHAIFSGCGGFGFACYYSLWLNLPHQNNLSDEFTLPDFPEASTIHVTQLAENLKEANGRDLFSVCLQNMLPECTNADGILVNTVEELDKVGLGYFRRKTGKPVWPIGPVLLSNRSQDQAAITPELCKHWLDTKPAGSVLYISFGSQNVISASQMMELAMALEACGKNFIWVVRPPIGFDINMEFKAKEWLPEGFEERMEYSKRGLLVRKWAPQVEILSHKSVSAFLSHCGWNSVLESLSNGVPLIGWPLAAEQFYNVKLLEEHIGVCLEVARGKSCEVRHEDIVKKIMLVMDETEKGNAMRRKAREVRDMIIDAVQYENDHKGSSVKAMDEFLDAASLMREGKKWAADRGV >Potri.003G180900.1.v4.1 pep chromosome:Pop_tri_v4:3:18654961:18659025:-1 gene:Potri.003G180900.v4.1 transcript:Potri.003G180900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180900.v4.1 MDNAEVPSVPSTPATPGTPGAPLFGGFKGERGVHGRKSLLRSCKCFSVEEWAMEEGRLPPVSCSLPPPPVSLARKVGAEFIGTLILIFAGTATAIVNQKTQGSETLVGLAASSGLAVMIVILATGHISGAHLNPSITIAFAALKHFPWKHVPVYIGAQVLASLCAAFALKGIFHPVMGGGVTVPSGGYGQAFALEFITSFILMFVVTAVATDTRAVGELAGIAVGATVMLNIFIAGETTGASMNPVRTLGPAIAVNNYKAIWIYLTAPILGALCGAGTYSAVKLPEEDGDSNEKTSAARSFRR >Potri.003G180900.2.v4.1 pep chromosome:Pop_tri_v4:3:18655980:18658869:-1 gene:Potri.003G180900.v4.1 transcript:Potri.003G180900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180900.v4.1 MDNAEVPSVPSTPATPGTPGAPLFGGFKGERGVHGRKSLLRSCKCFSVEEWAMEEGRLPPVSCSLPPPPVSLARKVGAEFIGTLILIFAGTATAIVNQKTQGSETLVGLAASSGLAVMIVILATGHISGAHLNPSITIAFAALKHFPWKHVPVYIGAQVLASLCAAFALKGIFHPVMGGGVTVPSGGYGQAFALEFITSFILMFVVTAVATDTRAVGELAGIAVGATVMLNIFIAGILECLHFHVQGNNRGINEPSQNIRASHCCK >Potri.009G164100.2.v4.1 pep chromosome:Pop_tri_v4:9:12586567:12594483:-1 gene:Potri.009G164100.v4.1 transcript:Potri.009G164100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164100.v4.1 MATCVSPPFTPSGTQISVRRRISTENRIGKTVIFKRFDKKNGSCGAYERNVFSIPQIRCSVNSHNISPNHSKDSFLDLHPEVSMLRSDANDTYSCLRKETSGVNVTESSGDSSFMSNYNEAKIKVIGVGGGGSNAVNRMIESSLTGVDFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDVGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIASVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSLSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKARARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSITLIATGFNRRNEGEGKGTQRAHGDVSLGINRRPSYAEGGSVEIPEFLRKKGRSLFPRI >Potri.009G164100.8.v4.1 pep chromosome:Pop_tri_v4:9:12586515:12594328:-1 gene:Potri.009G164100.v4.1 transcript:Potri.009G164100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164100.v4.1 MATCVSPPFTPSGTQISVRRRISTENRIGKTVIFKRFDKKNGSCGAYERNVFSIPQIRCSVNSHNISPNHSKDSFLDLHPEVSMLRSDANDTYSCLRKETSGVNVTESSGDSSFMSNYNEAKIKVIGVGGGGSNAVNRMIESSLTGVDFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDVGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIASVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSLSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKARARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSITLIATGFNRRNEGEGKGTQRAHGDVSLGINRRPSYAEGGSVEIPEFLRKKGRSLFPRI >Potri.009G164100.6.v4.1 pep chromosome:Pop_tri_v4:9:12586630:12594502:-1 gene:Potri.009G164100.v4.1 transcript:Potri.009G164100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164100.v4.1 MATCVSPPFTPSGTQISVRRRISTENRIGKTVIFKRFDKKNGSCGAYERNVFSIPQIRCSVNSHNISPNHSKDSFLDLHPEVSMLRSDANDTYSCLRKETSGVNVTESSGDSSFMSNYNEAKIKVIGVGGGGSNAVNRMIESSLTGVDFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDVGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIASVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSLSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKARARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSWQRRNNCKACLFVITMVTCNMEYSFSRKIKIKQNKKKGKSQISEDEMDNTDRLITLAASQL >Potri.009G164100.7.v4.1 pep chromosome:Pop_tri_v4:9:12586586:12594464:-1 gene:Potri.009G164100.v4.1 transcript:Potri.009G164100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164100.v4.1 MATCVSPPFTPSGTQISVRRRISTENRIGKTVIFKRFDKKNGSCGAYERNVFSIPQIRCSVNSHNISPNHSKDSFLDLHPEVSMLRSDANDTYSCLRKETSGVNVTESSGDSSFMSNYNEAKIKVIGVGGGGSNAVNRMIESSLTGVDFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDVGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIASVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSLSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKARARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSWQRRNNCKACLFVITMVTCNMEYSFSRKIKIKQNKKKGKSQISEDEMDNTDRLITLAASQL >Potri.009G164100.9.v4.1 pep chromosome:Pop_tri_v4:9:12586636:12594447:-1 gene:Potri.009G164100.v4.1 transcript:Potri.009G164100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164100.v4.1 MATCVSPPFTPSGTQISVRRRISTENRIGKTVIFKRFDKKNGSCGAYERNVFSIPQIRCSVNSHNISPNHSKDSFLDLHPEVSMLRSDANDTYSCLRKETSGVNVTESSGDSSFMSNYNEAKIKVIGVGGGGSNAVNRMIESSLTGVDFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDVGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIASVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSLSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKARARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSITLIATGFNRRNEGEGKTSVLR >Potri.009G164100.3.v4.1 pep chromosome:Pop_tri_v4:9:12586628:12594506:-1 gene:Potri.009G164100.v4.1 transcript:Potri.009G164100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164100.v4.1 MATCVSPPFTPSGTQISVRRRISTENRIGKTVIFKRFDKKNGSCGAYERNVFSIPQIRCSVNSHNISPNHSKDSFLDLHPEVSMLRSDANDTYSCLRKETSGVNVTESSGDSSFMSNYNEAKIKVIGVGGGGSNAVNRMIESSLTGVDFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDVGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIASVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSLSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKARARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSITLIATGFNRRNEGEGKRAHGDVSLGINRRPSYAEGGSVEIPEFLRKKGRSLFPRI >Potri.009G164100.5.v4.1 pep chromosome:Pop_tri_v4:9:12586628:12594484:-1 gene:Potri.009G164100.v4.1 transcript:Potri.009G164100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164100.v4.1 MATCVSPPFTPSGTQISVRRRISTENRIGKTVIFKRFDKKNGSCGAYERNVFSIPQIRCSVNSHNISPNHSKDSFLDLHPEVSMLRSDANDTYSCLRKETSGVNVTESSGDSSFMSNYNEAKIKVIGVGGGGSNAVNRMIESSLTGVDFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDVGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIASVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSLSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATAGKARARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLTGQVSWQRRNNCKACLFVITMVTCNMEYSFSRKIKIKQNKKKGKSQISEDEMDNTDRLITLAASQL >Potri.001G361200.2.v4.1 pep chromosome:Pop_tri_v4:1:37925459:37930910:-1 gene:Potri.001G361200.v4.1 transcript:Potri.001G361200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361200.v4.1 MGSNQNLRELQWLLQMIKSESFNPQSISFYLSHRTSGCYQETENSVNINISEDSLSYFSNILSDLGTAKNIQSSLTNLEFHRVEWGLEQLVYLGILLQNSSNIKQLVFRLNRFNIECLSELCEVLKRNRVIKEIMISESGIGPVGAGLVASALKLNESLEELQIWEDSIGSKGAEELSKMIEVNSTLKLLTIFDSHPITAASLISAVLARNRAMEVHVWSGENGERSSKVVEFVPDNSTLRIYRLHLSGSVRVACSLGWNSTVKSLDMTGVRLKSRWAKEFRWVLEQNRSLKEVRLSKTSLKDKGVVYVAAGLFKNQCLESLYLDGNWFSGIGVEHLLCPLSRFSALQYQANITLKSVTFGGGKTRIGRDGLASIMQMLTTNESVIKLGIYDDESLGADDFVKIFKSLERNATLRYLSLQGCRGVRGDVVLDSIMQTLQVNPWIEDIDLARTPLQNSGKADGIYQRLGQNGKTELETDTDLFKDMPLTEPKSCRVFFCGQEYAGKTALCNSISQNFSSSKLPYIDQVRNLVNPVEQAVRASGMKIKTFRDEGTKISIWNLGGQHDFYSLHDLMFPGHGSASFFLIISSLFRKPNNREPKTPAEIEEDLQYWLRFIVSNSRRALQQCMLPNVTIVLTHFDKINQPSQNLQLAVNSIQRVRDKFQGFIDFYPTVFTVDARSSASVSKLTHHLRKTSKTILQRVPRVYQLCNDLIQILSDWRAENYNKLAMKWKEFDELCQVKVPPLRIRSRHDNKGKVEMRRKAVAICLHHMGEVIYFDELGFLILDCDWFCSDVLGQLVKLDVRKQSSMENGFVSRNEVEKILRGSLQSQIPGMSSKVLENIEASDLVMMMLKLELCYEQNPSDPNSLLLIPSILEEGRGKPQRWQLSTADCVYAGRHLECDDSSHTFLTPGFFPRLQVHLHNRIMALRNQHGATYSLEKYLISININGIFIRVELGGHLGHYIDVLACSTKNLTETIRLTQQLIIPAIQSFCNGFTLTENIMRPECVQNLTPPRYRKTQHVSLQQLKQALLSVPAESMYDYQHTWDPVSDSGRPVLGPGFDLARDLLSDDDFREVLHRRYNDLYNLAVELDVPPDNPDGGDHTGNEPEKVDPSFAGIAKGVEQVLQRLKIIEQEIKDLKQEIQGLKYYEHRLLIELHRKVNYLVNYNIQVEERKVPNMFFFVRTENYSRRLITNMISGMTALRLHMLCEFRGEMHVVEDQIGCEMMQVDNMAVKSLAPYMKKFMKLLTFALKIGAHLAAGMGEMIPDLSREVSHLSGSSLMYGAAGTVAAGAVGAAALGRIQGSRNRSRAAESSRNIQQDVKAAQQWVVDFLRDRRCSTGKDIAEKFGLWRVRYRDDGQIAWICRRHMAIRCNEIIEVPI >Potri.001G021300.1.v4.1 pep chromosome:Pop_tri_v4:1:1665438:1666197:1 gene:Potri.001G021300.v4.1 transcript:Potri.001G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G021300.v4.1 MASKLLLLLLFSALVCSTSARKLVGTEKGSFEDEKNLFHRPGFGGGAGGGGGFGGGGGGGLGGGAGFGGGAGGGAGGGLGGGAGGGGGFGGGGGGGVGGGAGGGFGGGAGAGGGLGGGAGGGGGFGGGGGGGLGGGAGGGFGGGAGGGLGGGFP >Potri.010G015200.1.v4.1 pep chromosome:Pop_tri_v4:10:2542953:2547555:1 gene:Potri.010G015200.v4.1 transcript:Potri.010G015200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G015200.v4.1 MALSAFSFPAHVNSVTSLEHPQKFSLLSSHFLWRTDLLSQSLDKINQIHVKKRANGICASLSERGEYHSQRPPTPLLDTINYPIHMKNLSVKELKQLADELRSDVIFNVSKTGGHLGSSLGVVELTVALHYVFNAPQDKILWDVGHQSYPHKILTGRRDKMHTIRQTNGLAGFTKRSESEYDCFGTGHSSTTISAGLGMAVGRDLKGGTNKVVAVIGDGAMTAGQAYEAMNNAGYLDSDMIVILNDNKQVSLPTANLDGPIPPVGALSSALSRLQSNRPLRELREVAKGVTKQIGGPMHELAAKVDEYARGMISGSGSTLFEELGLYYIGPVDGHNIDDLIAILKEVKSTKTTGPVLIHVVTEKGRGYPFAERAADKYHGVAKFDPATGKQFKASPSTQSYTTYFAEALTAEAEADKDIVAIHAAMGGGTGLNLFLRRFPTRCFDVGIAEQHAVTFAAGLACEGLKPFCAIYSSFLQRAYDQVVHDVDLQKLPVRFAMDRAGLVGADGPTHCGAFDVTFMACLPNMVVMAPSDEAELFHMVATATAIDDRPSCFRYPRGNGVGVQLPPGNKGVPLEVGKGRMLIEGERVALLGYGTAVQSCLAAASLVERHGIRLTVADARFCKPLDHALIRSLAKSHEILITVEEGSIGGFGSHVVQFLALDGLLDGKLKWRPVVLPDRYIDHGSPADQLVEAGLTPSHIAATVFSILGQRREALEIMSS >Potri.016G127500.2.v4.1 pep chromosome:Pop_tri_v4:16:13082334:13089685:1 gene:Potri.016G127500.v4.1 transcript:Potri.016G127500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127500.v4.1 MEHQLLHTCLQSLNDNNNPLSLQALASLRSLIINPNTSDSTIYSILETLTCSLQLRTNSLTTHHHILKLLTDLASHRTHLSSQILNTIHYSSLLFTESIQIATESLTSLASIANSDHNKIDDQLFMSLCFAATSTSARLRLLRNGERLGIGMHVLFTMFLGFTEDPYPYVRKASLDGLLGLCKSGNVFEDISVIEGCYFRAVELLQDNEHSVRSAAIRVVSEWGQMLIAAKEENDKIDWSNQVFVQLCSMVRDMSVEVRVEAFNALGKIKLVSEDILLQTISKKVLAIMKEKNSHGQCTAERFEILASSYAGAFVHGLEDEFHEVRKSACNSLRIHTILYAEFARRSLSLLMDMLNDDSMAVRLEALETLHHMATFECLHVQEIHMHMFLGSLLDNCDLIRSIARKIFKLVKLSDFKLFRSSIHGLLQNLERYTKDEADVFSVLFFMGRSHGNFAARVVKEVSQEIEPVLEGKLVLDSARVAAFLVLAISAPLSQNQNGQNIPPRLFSYAVTLLGRISSALREVVDQDTLLAYLSRCSRSSTRGTEVEESSLLPVVDDAVLTHSRKDVNNPVGVPMLQTGNETSKVQPVISCELEDLATSIVECQADELDEVMKSVNLILARVRDAWLLVQSRCTNVAVRALRDCKRELAVLTSASLESAGILAFTMQYLQVMKLFAKIWEHVVWKIRSDETGGLEYLFGKLDVRLRELRYRYIGFSKEEELYVLELIVVACMLRLSKVEICCSPTTLKKLSAIISHIEILNDKGPMEPSNILMDAKKTVHEIESSKAGISCSLFLITNLVDFFTLKQFSLCPRVRHINAELDVPGNDSENPLPFVSGLPVAIPLDITLYNVSSENRLWLTIRMSQESTQFVFLDSNILGGCNEVKKFTFMAPFYRTPKARSFSSWISIGMECALEDCHLVKHCGGPKRKLVYLCQEKEVHLCLVRRD >Potri.016G127500.3.v4.1 pep chromosome:Pop_tri_v4:16:13083380:13089728:1 gene:Potri.016G127500.v4.1 transcript:Potri.016G127500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127500.v4.1 MEHQLLHTCLQSLNDNNNPLSLQALASLRSLIINPNTSDSTIYSILETLTCSLQLRTNSLTTHHHILKLLTDLASHRTHLSSQILNTIHYSSLLFTESIQIATESLTSLASIANSDHNKIDDQLFMSLCFAATSTSARLRLLRNGERLGIGMHVLFTMFLGFTEDPYPYVRKASLDGLLGLCKSGNVFEDISVIEGCYFRAVELLQDNEHSVRSAAIRVVSEWGQMLIAAKEENDKIDWSNQVFVQLCSMVRDMSVEVRVEAFNALGKIKLVSEDILLQTISKKVLAIMKEKNSHGQCTAERFEILASSYAGAFVHGLEDEFHEVRKSACNSLRIHTILYAEFARRSLSLLMDMLNDDSMAVRLEALETLHHMATFECLHVQEIHMHMFLGSLLDNCDLIRSIARKIFKLVKLSDFKLFRSSIHGLLQNLERYTKDEADVFSVLFFMGRSHGNFAARVVKEVSQEIEPVLEGKLVLDSARVAAFLVLAISAPLSQNQNGQNIPPRLFSYAVTLLGRISSALREVVDQDTLLAYLSRCSRSSTRGTEVEESSLLPVVDDAVLTHSRKDVNNPVGVPMLQTGNETSKVQPVISCELEDLATSIVECQADELDEVMKSVNLILARVRDAWLLVQSRCTNVAVRALRDCKRELAVLTSASLESAGILAFTMQYLQVMKLFAKIWEHVVWKIRSDETGGLEYLFGKLDVRLRELRYRYIGFSKEEELYVLELIVVACMLRLSKVEICCSPTTLKKLSAIISHIEILNDKGPMEPSNILMDAKKTVHEIESSKAGISCSLFLITNLVDFFTLKQFSLCPRVRHINAELDVPGNDSENPLPFVSGLPVAIPLDITLYNVSSENRLWLTIRMSQESTQFVFLDSNILGGCNEVKKFTFMAPFYRTPKARSFSSWISIGMECALEDCHLVKHCGGPKRKLVYLCQEKEVHLCLVRRD >Potri.016G127500.4.v4.1 pep chromosome:Pop_tri_v4:16:13082329:13089728:1 gene:Potri.016G127500.v4.1 transcript:Potri.016G127500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127500.v4.1 MASFRTWRDIQSHGNFAARVVKEVSQEIEPVLEGKLVLDSARVAAFLVLAISAPLSQNQNGQNIPPRLFSYAVTLLGRISSALREVVDQDTLLAYLSRCSRSSTRGTEVEESSLLPVVDDAVLTHSRKDVNNPVGVPMLQTGNETSKVQPVISCELEDLATSIVECQADELDEVMKSVNLILARVRDAWLLVQSRCTNVAVRALRDCKRELAVLTSASLESAGILAFTMQYLQVMKLFAKIWEHVVWKIRSDETGGLEYLFGKLDVRLRELRYRYIGFSKEEELYVLELIVVACMLRLSKVEICCSPTTLKKLSAIISHIEILNDKGPMEPSNILMDAKKTVHEIESSKAGISCSLFLITNLVDFFTLKQFSLCPRVRHINAELDVPGNDSENPLPFVSGLPVAIPLDITLYNVSSENRLWLTIRMSQESTQFVFLDSNILGGCNEVKKFTFMAPFYRTPKARSFSSWISIGMECALEDCHLVKHCGGPKRKLVYLCQEKEVHLCLVRRD >Potri.012G087400.1.v4.1 pep chromosome:Pop_tri_v4:12:11277612:11285313:1 gene:Potri.012G087400.v4.1 transcript:Potri.012G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087400.v4.1 MGRPKLSWLVLLCWALLFLCFRALCEDAEVFVKFLKAPHAFSHLNIATFEFQVLVGGDVNSCTNCSFSCKLDSGSESDCGATKVSYQGLQDGNHTFEVCINGSQGVGCATYNWTVDTIPPTAYITASKSFTNALNVSVNISFTEPCTGGGGFGCSSVNACNLIVYGAGQVIPSSLTVLEPNLKYTLLVGLYPNVFYGRVVLVMDKNFCTDAAGNRFTRAANSSFFVHLDRRRVFVDLRIHIPEKLLQLNNEIRTVKATNNYDNLKFYLYFSEPILNSSAEILNSLNTSEGVLLPISGENLMNRKFGFQVANLSSIAIITISLLSISIISRPGTSVSPIAPSTFLYDSQRPVVRLSTLSNTRTGEHSIPISIKFMKPVFGFNSSFLSIGGGHLQGFHEISRSKYIAEVKADDDVVSVSVPQNVTGDVAGNKNLGSNILQVRRHSVPMISSVISAFATACFLATSLAAGLLTLSTASLLSAGAFSRPSPLLTAEPTRNLFRSACHIQVFALSRWLAVTLPIEYYEFAKGLQWSIPYFILPWETGGIHPIMVKSNSFSILNSYISKTHDISQNMQLEGKSGNKSSPVFGLPLAPMEYISFFESQNFKPEAEHIIDPQHSNGWRDFDRSMFWLAVIGVSLVLLHVILLFIIKLRKRTADKQRDYGALTFPRFEIFLTVLALPCICKASASLVRGGTASGIIVGILLLGVVGFLLLALLLILSIGITFGKLLQYKEIHQEGQIFHWYRDITRVTLGPGKRGQWTWKNKSNSVYLIRLGPLFEDLRGPPKYMLSQIAGVPRKQGDHIIASDDETEDAEAPFIQKLFGILRIYYTLLESVKRVSLGIVAGAYLDNWSSKTPTVVLLSITFFQLFFLVLKKPFIKKKVQLVEIISITSQVSIFATCFILLEKKLSTREETRVGIFMILLFLIGFLAQMVNEWYALYRQIKWLDPSEQYFLTGLKTASIGFLLFFIPRRLSQNLESKLPARQHGDRETGGETGSSVDRNKISGSKGSGKPDKPWQKQLRELARASFSKERSGSQNDPSTSRTKWSGFWTNKWSGSSSQKTSSDLKSKPNQLYKDLEDIFASK >Potri.018G005100.1.v4.1 pep chromosome:Pop_tri_v4:18:386775:387590:-1 gene:Potri.018G005100.v4.1 transcript:Potri.018G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005100.v4.1 MDFKVSSLLFIAILCLISSTSTAFNITKILAQYPEFVSFNDLLTQSGLAKEMSSRETITVLALDNSSIGGLSGRPLDIAKRILSAHVILDYYDQIKLSKLKKASTIVTTLYQASGAADDRQGFLNISRTAEGIKFGSAVKGAPLVASLVKPVYAQPYNISVLQVSEPIEAPGIENNAPPPPPAAVPKKAPAPTAKSPSKAPAPSKVEPSTPTESPTEGPVAADGPVADVPTASPLADAPMADETTAEAASSQMHAGGAVVVIGLLACMMGF >Potri.005G088800.1.v4.1 pep chromosome:Pop_tri_v4:5:6188588:6191428:-1 gene:Potri.005G088800.v4.1 transcript:Potri.005G088800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G088800.v4.1 MASICINEKLTDDELRSILSKLENDKDKEIFGLVCKRWLGLQSNGRKRLAARAGPHMLQKMAARFSRLIELDLSQSVSRSFYPGVTDSDLAVIADGFRCLKVLNLQNCKGISDKGMSSIGGGLSSLQSLNVSYCRKLTDKGLSAVAEGSQGLRSLHLDGCKFVTDVVLKALSKNCPNLEELGLQGCTSITDCGLADLVSGCRQIHFLDINKCSNVGDSGVSTVSEACSSFMKTLKLMDCFRVGNKSILSLAKFCKNLETLIIGGCRDISDESIKSLATSCQSSLKNLRMDWCLNISNSSISFILTKCRNLEALDIGCCGEVTDAVFHGLGAMETEMRLKVLKISSCPKITVTGIGMLLDKCNSLEYLDVRSCPHITKSGCDEVGLQFPDCCKVNFNGSLNEPDVLP >Potri.009G060000.1.v4.1 pep chromosome:Pop_tri_v4:9:6226097:6231155:1 gene:Potri.009G060000.v4.1 transcript:Potri.009G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060000.v4.1 MESSENYVNYGTSWTAATNWTIAAGSLVNSLTFESSLPLISDEDNNDHHQISPDDSKSKSPLIIYAPTPDSAPCEITINFAQKHEVRQVYVRSTARVYEIYYAPELQSSSEYLCTVCCGIAARNEEVQHATNIEEAVLAHARSSTQELAEEKLRNGRSLTPNENDWFEVKVLDSPPVINRNSSSPSNSDINPKRNSQDLYEAAAEITDAKPSTSLTLRLLSLQNKGYVSVDEVYVFGDPVDTSSLDNQVGLMENYAGNSPMAMLVPAFFQLSKTKGIGGGEDKYNIDTRERQELQEIGSKEAVPVDVEKKIQEEVRLHKVVGPTSKPVQHEILQVSNTESKSDISHNHFQGVLDQLVSRVNRIEDLFLRFEESMLKPINSIDVRLQRVEHQLDVLTKKTENSAPVSCTRISAPEFSCSESETSSFYNSGSGDIGYMACETNKSHSPSPLTSILADATPVSVNDTNLQPGLVVTAPEFSNYDDEVEDHAVESVKESPKVKQKHAMSTDDAFAYALAGFLSSTSMRSQKYSQTFAFKAPDFPSEEENTNEKAAPPMVESELNIDPSPYFSESDGTEHMGISLSSVSNVTTLKDDENVMRYLDDNRSLKMVVGVDEKCQHSEGGESDSQDICVGHEVAPATYDVAPATYDVAGTDSYQMNHGIKDGEVGDGSSDIFDLQTT >Potri.002G215600.1.v4.1 pep chromosome:Pop_tri_v4:2:20178233:20188525:-1 gene:Potri.002G215600.v4.1 transcript:Potri.002G215600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215600.v4.1 MAAASCTVWDSVLELTKSAQVKNCDPQLWAIQLSSNLNSAGVDLPSMELAHLLVSHICFDNHMPITWKFLEKALSFNLVPPLLVLALLSTRVVPNRQLHPSAYRLYMELVKRHAFSFSALIAAPNYQLIMKSIDDVAHLSQIFGVQLCEPGFLLVEFVFSIVWQLLDASLDDEGLLELGAEKNSRWLPRQEGMEIDGHENFSEKRNEHHEGLHKVNTTMAIELIGEFLKNKLTSRLLYLARQNMPSQWGGFIEQLQLLVVHSAALRNSKHITPDAFLQLTSDTRRVLSRECKTISQHEFHAVMFSGSLKSSVGQCHGASQSAVWLPIDLFLEDTMDGSQVTTTSAIENLISLVKALQAVNRTTWHDTFLGLWIAALRLVQRERNPSEGPVPRLDTCLSMLLSITTLVVANIIEEEESELIDETQQSPTNQRKEKQGKRRKGLITSLQLLGDYEGLLTPPQPVSSVANQAAAKATMFISGLTVSNGYSMSINDMPVNCSGNLRHLIVEACIARNMLDTSAYLWPGYVTLANQVPRSVPSQTLGWLSLMNGSPLTPSMINILVSTPASSLPAIEKIYEIAVDGSDDEKISAAAILCGASLVRGWNIQEHTILFIIKLLSPPVPADYSGSESHLINYAPLLNVLLVGISSVDCVQILSLHGLVPLLAGALMPICEAFGSAVPEVSWTLPTGEELSCHAVFSNAFTLLLRLWRFEHPPIDHVMGDVPPVGSHLSPEYLLLVRNSLLSSLGTSTRRQLRRRRFSKILSLSVEPIFMDSFPKLKLWYRQHLECIASTFSGLVHGTPVHQIVDALLNLMFRRINRGVQPSTSTNSGSSLSSGPGAEDAQARLKISAWDILEATPFALDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYLSAEVTRGIWKPASMNGTDWPSPAVNLSSVEQQIKKILAATGVDVPSLSVGGTSLATLPLPLAALVSLTITYKLDKMSERFLTLVGPALNALAAGCPWPCMPIIASLWAQKVKRWSDYLVFSASRTVFHHNSDAVVQLLKSCFASTLGLSPPHLSSNGGVGALLGHGFGSHLSGGISPVAPGILYLRVHRSVRDVMFMTEEILSLLMHSVRDIASSAWTRGAMEKLKKSKYGMRYGKVSLAAAMTRVKLAASLGSSLVWISGGLNLVQSLINETLPSWFISVHGLEQEGGESGGLVAMLRGYALAYFALFCGTFAWGVDSETAASKKRPTVLKAHLEFLASALEGKISLGCDWATAWAYASGFVCLMVACTPKWVPEVDVDILKRVSKGLRQWNEEELAVALLGLGGVGTMGAAAELIIEIGL >Potri.002G215600.4.v4.1 pep chromosome:Pop_tri_v4:2:20178288:20181692:-1 gene:Potri.002G215600.v4.1 transcript:Potri.002G215600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215600.v4.1 MFNIYCQVPLLAGALMPICEAFGSAVPEVSWTLPTGEELSCHAVFSNAFTLLLRLWRFEHPPIDHVMGDVPPVGSHLSPEYLLLVRNSLLSSLGTSTRRQLRRRRFSKILSLSVEPIFMDSFPKLKLWYRQHLECIASTFSGLVHGTPVHQIVDALLNLMFRRINRGVQPSTSTNSGSSLSSGPGAEDAQARLKISAWDILEATPFALDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYLSAEVTRGIWKPASMNGTDWPSPAVNLSSVEQQIKKILAATGVDVPSLSVGGTSLATLPLPLAALVSLTITYKLDKMSERFLTLVGPALNALAAGCPWPCMPIIASLWAQKVKRWSDYLVFSASRTVFHHNSDAVVQLLKSCFASTLGLSPPHLSSNGGVGALLGHGFGSHLSGGISPVAPGILYLRVHRSVRDVMFMTEEILSLLMHSVRDIASSAWTRGAMEKLKKSKYGMRYGKVSLAAAMTRVKLAASLGSSLVWISGGLNLVQSLINETLPSWFISVHGLEQEGGESGGLVAMLRGYALAYFALFCGTFAWGVDSETAASKKRPTVLKAHLEFLASALEGKISLGCDWATAWAYASGFVCLMVACTPKWVPEVDVDILKRVSKGLRQWNEEELAVALLGLGGVGTMGAAAELIIEIGL >Potri.002G215600.3.v4.1 pep chromosome:Pop_tri_v4:2:20178232:20183839:-1 gene:Potri.002G215600.v4.1 transcript:Potri.002G215600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215600.v4.1 MFISGLTVSNGYSMSINDMPVNCSGNLRHLIVEACIARNMLDTSAYLWPGYVTLANQVPRSVPSQTLGWLSLMNGSPLTPSMINILVSTPASSLPAIEKIYEIAVDGSDDEKISAAAILCGASLVRGWNIQEHTILFIIKLLSPPVPADYSGSESHLINYAPLLNVLLVGISSVDCVQILSLHGLVPLLAGALMPICEAFGSAVPEVSWTLPTGEELSCHAVFSNAFTLLLRLWRFEHPPIDHVMGDVPPVGSHLSPEYLLLVRNSLLSSLGTSTRRQLRRRRFSKILSLSVEPIFMDSFPKLKLWYRQHLECIASTFSGLVHGTPVHQIVDALLNLMFRRINRGVQPSTSTNSGSSLSSGPGAEDAQARLKISAWDILEATPFALDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYLSAEVTRGIWKPASMNGTDWPSPAVNLSSVEQQIKKILAATGVDVPSLSVGGTSLATLPLPLAALVSLTITYKLDKMSERFLTLVGPALNALAAGCPWPCMPIIASLWAQKVKRWSDYLVFSASRTVFHHNSDAVVQLLKSCFASTLGLSPPHLSSNGGVGALLGHGFGSHLSGGISPVAPGILYLRVHRSVRDVMFMTEEILSLLMHSVRDIASSAWTRGAMEKLKKSKYGMRYGKVSLAAAMTRVKLAASLGSSLVWISGGLNLVQSLINETLPSWFISVHGLEQEGGESGGLVAMLRGYALAYFALFCGTFAWGVDSETAASKKRPTVLKAHLEFLASALEGKISLGCDWATAWAYASGFVCLMVACTPKWVPEVDVDILKRVSKGLRQWNEEELAVALLGLGGVGTMGAAAELIIEIGL >Potri.008G169851.1.v4.1 pep chromosome:Pop_tri_v4:8:11749441:11749683:-1 gene:Potri.008G169851.v4.1 transcript:Potri.008G169851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169851.v4.1 MWRLGSLMRADNPSATWRLACFLCATVQASVGAWLGHCQAWSKASVGPDRAEPKIALGPGRWQTKRCHPHRQAVQAPCGA >Potri.006G139800.2.v4.1 pep chromosome:Pop_tri_v4:6:11691132:11693965:1 gene:Potri.006G139800.v4.1 transcript:Potri.006G139800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139800.v4.1 MYRTAALARRLLSGATAGNNSNSRIRYLNLRTTIIGSRYCTAVNNNHSFPQSIFNQNQTPRANLEFPNQSESTSSSNWSTSSTAEDVRQRQERQKPRIEYQEQQARVLEASLHHVLRLGWSEEAMIAGARDVGVSPSIVGSFPRKEAALVEFFMDECLQKLIDRIDSGEELQNLVPSERISKLLKIRLEMQSPYISKWPQVLSIQAYPSNAPTSFKQRAMLVDEIWHAVGDEGSDIDWYVKRTVLGGIYSTTEIYMLTDSSPDFRDTWAFLDDRVKDAFDLKKTIQEAMYMAEAVGAGMGNSFQGFVRRVFQR >Potri.014G189201.1.v4.1 pep chromosome:Pop_tri_v4:14:16316250:16317013:-1 gene:Potri.014G189201.v4.1 transcript:Potri.014G189201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189201.v4.1 MAPGSSASSSKEEETNKFPLSAESISEQEDGRKTEKEATAKKLKRNSKGSNEVIAKELKASDSEEGITKKLEVLESYFGTDGKETEMEKYFILGLNSLEGSKKKEMIKEYWQWFLCMMDLVGKQNDIIRKHHDLVMPVYKTC >Potri.001G319600.1.v4.1 pep chromosome:Pop_tri_v4:1:32925345:32931598:-1 gene:Potri.001G319600.v4.1 transcript:Potri.001G319600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319600.v4.1 MSRCFPFPPPGYEKKARSDDVDLLKKEKEREKKPKKEKKDKEKREDKEKREKDRSDGKHRDKKDKKEKHREKKEKDREKSSASDEKRLPGQAKLDNGGDKASGERKLPGQSKHINGDKALDGRKFPEKSEGNGGEIIAQKGKERDEEKNSISGEKKFAGQFSGYNGQKLIQINSNLSHHTRDSKFVQELGKRARDEDKNQFSEKFPGKDAKGDEGMVTLVAKAPSNWVDGKEKNKRDDDGNMDGQGIRDEARFNGSTQSLSATFQARCDETPRPLEKDIEKMEGKDKSKQKESNHKRKYKEKKDKEKDKVRDKEKKREEKPKEKSEHKKKEQDKLKESGKSDAVANNTVKPSHLPKEITNSAVHEVNIKKRKDSDTNGFLHANDIKPDKLPRPASSLPLSAENGRMLGTCQNPTAAIRGKQEAVNNDKVDNKGHKINGLIEAQALSISSTTQPLSISLMKPLHSTAQTDQIAEVSKKQPHPDSKYLPYVLTVPKMEDCSDFEDQEWLFQSTNSQAKKPKVGFSGVDETPPVWSEALHFEWADVYALPYVIPY >Potri.001G319600.3.v4.1 pep chromosome:Pop_tri_v4:1:32925362:32931641:-1 gene:Potri.001G319600.v4.1 transcript:Potri.001G319600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319600.v4.1 MSRCFPFPPPGYEKKARSDDVDLLKKEKEREKKPKKEKKDKEKREDKEKREKDRSDGKHRDKKDKKEKHREKKEKDREKSSASDEKRLPGQAKLDNGGDKASGERKLPGQSKHINGDKALDGRKFPEKSEGNGGEIIAQKGKERDEEKNSISGEKKFAGQFSGYNGQKLIQINSNLSHHTRDSKFVQELGKRARDEDKNQFSEKFPGKDAKGDEGMVTLVAKAPSNWVDGKEKNKRDDDGNMDGQGIRDEARFNGSTQSLSATFQARCDETPRPLEKDIEKMEGKDKSKQKESNHKRKYKEKKDKEKDKVRDKEKKREEKPKEKSEHKKKEQDKLKESGKSDAVANNTVKPSHLPKEITNSAVHEVNIKKRKDSDTNGFLHGESNSNDYC >Potri.001G319600.2.v4.1 pep chromosome:Pop_tri_v4:1:32925359:32931596:-1 gene:Potri.001G319600.v4.1 transcript:Potri.001G319600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319600.v4.1 MSRCFPFPPPGYEKKARSDDVDLLKKEKEREKKPKKEKKDKEKREDKEKREKDRSDGKHRDKKDKKEKHREKKEKDREKSSASDEKRLPGQAKLDNGGDKASGERKLPGQSKHINGDKALDGRKFPEKSEGNGGEIIAQKGKERDEEKNSISGEKKFAGQFSGYNGQKLIQINSNLSHHTRDSKFVQELGKRARDEDKNQFSEKFPGKDAKGDEGMVTLVAKAPSNWVDGKEKNKRDDDGNMDGQGIRDEARFNGSTQSLSATFQARCDETPRPLEKDIEKMEGKDKSKQKESNHKRKYKEKKDKEKDKVRDKEKKREEKPKEKSEHKKKEQDKLKESGKSDAVANNTVKPSHLPKEITNSAVHEVNIKKRKDSDTNGFLHANDIKPDKLPRPASSLPLSAENGRMLGTCQNPTAAIRGKQEAVNNDKVDNKGHKINGLIEAQALSISSTTQPLSISLMKPLHSTAQTDQIAEVSKKQPHPDSKYLPYVLTVPKMEDCSDFEDQEWLFQSTNSQAKKPKVGFSGVDETPPVWSEALHFEWADVYALPYVIPY >Potri.002G218775.1.v4.1 pep chromosome:Pop_tri_v4:2:20928932:20929153:1 gene:Potri.002G218775.v4.1 transcript:Potri.002G218775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218775.v4.1 MSVEILDGATIVNFLEDEEAFNAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGLINSTSITLYMTH >Potri.012G139600.1.v4.1 pep chromosome:Pop_tri_v4:12:15220515:15223824:1 gene:Potri.012G139600.v4.1 transcript:Potri.012G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139600.v4.1 MQEHHQETSNMSREDIERGGGVKSRGNSNNNNNGYLSTPTYLIEDAEAHYWTSWLVPMFVVAHVVVFIVVMYINNCPKHLHTRFEGKCAARFLGRFSFEPLKDNPLFGPSSATLERFGALEWTKVVHKHQGWRLISCIWLHAGIIHLLANMLSLVFIGIRLEQQFGFVRIGIVYLLSGFGGSVLSSLFIRNSISVGASGALFGLLGAMLSELITNWSIYTNKTAALFTLLVITAINLAIGILPRVDNFAHIGGFLSGFLLGFVLLPRSQYGWQGRRNLPSGVGFKSKLKAYQYALWLVSVALLIVGFTVALVMLFKGKNGNDHCHWCHYLSCVPTSRWKCDENNL >Potri.009G066200.1.v4.1 pep chromosome:Pop_tri_v4:9:6661402:6663591:-1 gene:Potri.009G066200.v4.1 transcript:Potri.009G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066200.v4.1 MTAIQYVLAIFILLFITVFLQFIFKRPGKKPAGYCPPPSPPTLPLIGHLHLLTPVAYKGFHALNNKYGPLLYLRLATYPAVLVSSAPLATEIFKALDVHFTSRIKSPFEDNLLFGSSTSFFNAPYGDYWKFMKKICTTELLGTRQMKKLKNVRREEVVRFLSKMLEIGQKHEVANVSAEVLTLANNSTCRMIMSARCSGEDNQAEKCRGLVGESFDLAAKLALFSVFGPLKRIGIWYLRKKIADVPRRYDELFENVLVEHEEKAKREGPHMENKDLMDILLEVYHDKNAEIRITRKQMKTFFLDLFTGGTNTTSDAILWILAELVNHPAAFKKLREEIDSAVGTERLVDEEDIPNLPYFQACVKEAMRLNPPVPLFDRICGENCKLGGYDIPKGITMIMNAYSIMRDPKILENPNDFIPERFLTEQDNAKGQNLQVYVPFGGGRRMCPGTNMTSSLINCSVTAMVQCFDWKVLSGDGPDGSKVNMDSKSGVVKSHG >Potri.015G024600.1.v4.1 pep chromosome:Pop_tri_v4:15:1843984:1847179:1 gene:Potri.015G024600.v4.1 transcript:Potri.015G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024600.v4.1 MPKNYVLIIVLVLLHIPFPGFITGATGGEIGCIERERQALLKFKEDIIDEDGVLSSWGGEEEKRDCCKWRGVGCDNITGHVTSLNLHSSPLYEHHFTPLTGKVSNSLLELQHLNYLDLSLNNLDESIMDFIGSLSSLRYLNLSYNLFTVTIPYHLKNLSRLQSLDLSYSFDASVENLGWLSHLSSLEHLDLSGSDLSKVNDWLQVVTNLPRLKDLRLNQCSLTDIIPSPLSFMNSSKFLAVLHLSNNNLSSAIYPWLYNLSNSLADLDLSGNQLQGLVPDGFRKMSALTNLVLSRNQLEGGIPRSLGEMCSLHTLDLCHNNLTGELSDLTRNLYGRTESSLEILRLCQNQLRGSLTDIARFSSLRELDISNNQLNGSILESIGFLSKLDYFDVSFNSLQGLVSGGHFSNLSKLKHLDLSYNSLVLRFKSDWDPAFQLKNIHLSSCHLGPCFPKWLRTQIKVRLLDISSASISDTVPNWFWNLLPKLAFLNISHNLMRGTLPDFSSVDAVDDTFPGFDLSFNRFEGLLPAFPFNTASLILSNNLFSGPISLICNIVGKALSFLDLSNNLLTGQLPNCFMNWSTLVVLNLANNNLSGEIPSSVGSLFSLQTLSLNKNSLYGELPMSLKNCSMLKFLDLSRNQLSGEIPAWIGESLSSLMFLSLKSNEFIGSIPLHLCQLTNLRILDLSQNTISGAIPKCLNNLTTMVLKGEAETIIDNLYLTSMRCGAIFSGRYYINKAWVGWKGRDYEYERYLGLLRVIDFAGNNLSGEIPEEITGLLGLVALNLSRNNLTGVIPQTIGLLKSLESLDLSGNQFSGAIPVTMGDLNFLSYLNVSYNNLSGQIPSSTQLQSFDASAFIGNPALCGLPVTNKCLGGDLPRNLVMNGVIQDNQETVHEFSAWFCTAMGIGFSVFFWGVSGALLLIRSWRHAYFRFLDESWDWLYVKVAVRKARLQREFQRLHEHVLA >Potri.006G261601.1.v4.1 pep chromosome:Pop_tri_v4:6:25783602:25786403:1 gene:Potri.006G261601.v4.1 transcript:Potri.006G261601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261601.v4.1 MFDGYVTVPKLGDVASDEDLRLHISVIVDISRGDGGEKLEFLCSAWPDHLEIQKVYLLGHRRCWVGLLWDLISESMTLSSFLNNRIGLELQRRQSPMFQLLGRTMCLTQFPVDTLPFICSFCIFSSNPLLFASSPDILSRNSPSTTFEVPTRGTQLRFGFQ >Potri.006G261601.3.v4.1 pep chromosome:Pop_tri_v4:6:25783602:25786403:1 gene:Potri.006G261601.v4.1 transcript:Potri.006G261601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261601.v4.1 MFDGYVTVPKLGDVASDEDLRLHISVIVDISRGDGGEKLEFLCSAWPDHLEIQKVYLLGHRRCWVGLLWDLISVLIYFQGTVPLQPLKCPQEGHN >Potri.006G261601.2.v4.1 pep chromosome:Pop_tri_v4:6:25783602:25786403:1 gene:Potri.006G261601.v4.1 transcript:Potri.006G261601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261601.v4.1 MFDGYVTVPKLGDVASDEDLRLHISVIVDISRGDGGEKLEFLCSAWPDHLEIQKVYLLGHRRCWVGLLWDLISESMTLSSFLNNRIGLELQRRQSPMFQLLGRTIPDILSRNSPSTTFEVPTRGTQLRFGFQ >Potri.010G234700.3.v4.1 pep chromosome:Pop_tri_v4:10:21566582:21573238:1 gene:Potri.010G234700.v4.1 transcript:Potri.010G234700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234700.v4.1 MHGLDPYTWSISADSRVPSFESLKAVNDLSIEVVLVDRLRDPGLKELHNRVIGLWSGSNTTKDVVEQLANLVCNRMGGVVFNEDDDTFAKCWKECTEVMKRRLGSVVILVGSLTIGLCVHRALLFKVLADSINLPCRIVNGCKYCRKDVVSSCLVQVGDDREYFVDLLGKPGALSQPDSSLNCTSSILVSSPLSHPRFKSIQTTEDFRTMAAKLYFLDCQPLNLVFDNPSSGTTIHEDDRFISRLGKDMKNLPPTSINKHEASLSPLHQGVAQNIMHDMDLQAPNSYNPFLNVVKTKNFVEGPNVPSSILPVKKKHTDPVISNPKPVATNNLLFMEINQTILSKSNNQLHLEEEDFDVPWSELLLKKKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVSIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHLPDAALILNERRRLNMANDVAKGMNYLHQFRPPIIHRDLKSLNLLVDSAYKVKICDFGLSRSKAKTYISSTNAAGTPEWMAPEVLRNEQSNEKSDVYSFGVVLWELMTLQHPWRNLKQAQIIAAVGFMGGRLEIPSNVNPSVAALIKVCLDSEPSKRPSFSYIMKTLQELINDSISQPVAPRVIR >Potri.010G234700.2.v4.1 pep chromosome:Pop_tri_v4:10:21566510:21573243:1 gene:Potri.010G234700.v4.1 transcript:Potri.010G234700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234700.v4.1 MEESGSWCEEATIKSWAKRTEESYQLQLALALRLSSQAASANDPSFLDWNSSDSNRGVSSFSDSPESLSHRFWVNGCLSYNDRIPDGFYVMHGLDPYTWSISADSRVPSFESLKAVNDLSIEVVLVDRLRDPGLKELHNRVIGLWSGSNTTKDVVEQLANLVCNRMGGVVFNEDDDTFAKCWKECTEVMKRRLGSVVILVGSLTIGLCVHRALLFKVLADSINLPCRIVNGCKYCRKDVVSSCLVQVGDDREYFVDLLGKPGALSQPDSSLNCTSSILVSSPLSHPRFKSIQTTEDFRTMAAKLYFLDCQPLNLVFDNPSSGTTIHEDDRFISRLGKDMKNLPPTSINKHEASLSPLHQGVAQNIMHDMDLQAPNSYNPFLNVVKTKNFVEGPNVPSSILPVKKKHTDPVISNPKPVATNNLLFMEINQTILSKSNNQLHLEEEDFDVPWSELLLKKKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVSIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHLPDAALILNERRRLNMANDVAKGMNYLHQFRPPIIHRDLKSLNLLVDSAYKVKICDFGLSRSKAKTYISSTNAAGTPEWMAPEVLRNEQSNEKSDVYSFGVVLWELMTLQHPWRNLKQAQIIAAVGFMGGRLEIPSNVNPSVAALIKVCLDSEPSKRPSFSYIMKTLQELINDSISQPVAPRVIR >Potri.010G234700.4.v4.1 pep chromosome:Pop_tri_v4:10:21566527:21573317:1 gene:Potri.010G234700.v4.1 transcript:Potri.010G234700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234700.v4.1 MEESGSWCEEATIKSWAKRTEESYQLQLALALRLSSQAASANDPSFLDWNSSDSNRGVSSFSDSPESLSHRFWIRASLILLILSEFTSRKISTIEVNGCLSYNDRIPDGFYVMHGLDPYTWSISADSRVPSFESLKAVNDLSIEVVLVDRLRDPGLKELHNRVIGLWSGSNTTKDVVEQLANLVCNRMGGVVFNEDDDTFAKCWKECTEVMKRRLGSVVILVGSLTIGLCVHRALLFKVLADSINLPCRIVNGCKYCRKDVVSSCLVQVGDDREYFVDLLGKPGALSQPDSSLNCTSSILVSSPLSHPRFKSIQTTEDFRTMAAKLYFLDCQPLNLVFDNPSSGTTIHEDDRFISRLGKDMKNLPPTSINKHEASLSPLHQGVAQNIMHDMDLQAPNSYNPFLNVVKTKNFVEGPNVPSSILPVKKKHTDPVISNPKPVATNNLLFMEINQTILSKSNNQLHLEEEDFDVPWSELLLKKKIGSGSFGTVYHADWRGSDVAVKILEEQEFHAERFEEFLSEVSIMKRLRHPNIVLFMGAVTQPPNLSIVMEYLSRGSLHKLLHLPDAALILNERRRLNMANDVAKGMNYLHQFRPPIIHRDLKSLNLLVDSAYKVKICDFGLSRSKAKTYISSTNAAGTPEWMAPEVLRNEQSNEKSDVYSFGVVLWELMTLQHPWRNLKQAQIIAAVGFMGGRLEIPSNVNPSVAALIKVCLDSEPSKRPSFSYIMKTLQELINDSISQPVAPRVIR >Potri.008G210450.1.v4.1 pep chromosome:Pop_tri_v4:8:17991672:17992208:-1 gene:Potri.008G210450.v4.1 transcript:Potri.008G210450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210450.v4.1 MARQADRLIKIGLEGFAAIDESFGRAKRPPVLKIPNPHPPSQIPVTKVIDSDEAAQLYGGRGYINYRKGKPVPF >Potri.002G164501.1.v4.1 pep chromosome:Pop_tri_v4:2:12592669:12592908:-1 gene:Potri.002G164501.v4.1 transcript:Potri.002G164501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164501.v4.1 MSGGKESGGLSVSVEPVVVIYNTLIDGLCKVGRQAEGLGLMERTRLQKGCSPDTITYNCLINGLCKAGEEQKERSCFMR >Potri.015G050700.1.v4.1 pep chromosome:Pop_tri_v4:15:5567306:5567818:-1 gene:Potri.015G050700.v4.1 transcript:Potri.015G050700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050700.v4.1 MAQIISQGKDSMLASDHDHDRDLLLSNTNINRSSLGKQYSRFDAQVRALQCDFDTYCSEPEDKEDYEKWKSRGFVIDEKKEEIERFISENRVIREIYGEVVPNKVDDESFWSRFFCRIFKLNQAEEAGRALLVKR >Potri.002G137300.1.v4.1 pep chromosome:Pop_tri_v4:2:10297452:10299352:-1 gene:Potri.002G137300.v4.1 transcript:Potri.002G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137300.v4.1 MDCWTGVLKVPFAPSSTSYCRVAVSLCLSTASKTLAVPSANAIFFNGDRAEGTKNPVIERLSDLQKISQILVSKFGGCVNAYVIEAPLFNGPFAVYKDFIPSVNRYGEPKSYNPVGFPASNSTVSVLLNCLKEAKKVIPRREQESLTDSVSFNQPKTYILGFSKGGTVLNQLVAELGSLEVKSHMKPQPARGEFSNVEEDIQIIPTTKEGLLNSISEIHYLDVGLNSAGAYITNHDVIERISKRLMQGAPGIRFVVHGTPRQWCDSNRVLIRNEKDKLVHLLESESRRSGGKLQVCEKFYFGDRPPSLQMHFEIIEDMDVR >Potri.003G063200.1.v4.1 pep chromosome:Pop_tri_v4:3:9033170:9038941:1 gene:Potri.003G063200.v4.1 transcript:Potri.003G063200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063200.v4.1 MLTCIACSKRLNNRCSPPRDREEDVDVAAFETLRTKHAMKALTAQMKDMAVKASGAYRNCKPCSGSSSNNNNRNYAESDAASDSARFHCLYRRAGSSNSTPRKWGKESEARLKGLSSGEGTPASVSGRTESVVFMEEDEPKEWVAQVEPGVLITFVSLPDGGNDLKRIRFSREMFNKWQAQSWWAENYDKVMELYNVQQFNHQSVPLPPPPRSEDESSKPESAKDSPTTPPLGKERPSNFHHPTGMGYSSSDSLDHHPMQSHQYYESAGLASTPKLSSIAGAKTETSSIDGSVRTSMSRESDRSEELSISNASDMETEWVEQDEPGVYITIRALPGGTRELRRVRFSRETFGETRARLWWEENRDRVHEQYLYR >Potri.011G099701.1.v4.1 pep chromosome:Pop_tri_v4:11:12835804:12836181:1 gene:Potri.011G099701.v4.1 transcript:Potri.011G099701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G099701.v4.1 MLNRDVHEEIKLGNLLLPAGVQVSVPTILLHQDHELWGDDASEFIPGRFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRYSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.001G337533.1.v4.1 pep chromosome:Pop_tri_v4:1:34598296:34600050:-1 gene:Potri.001G337533.v4.1 transcript:Potri.001G337533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337533.v4.1 MIADGSSLPSLKRKRLPAVDSLDTEAAAAKVKLAIKAKFRRDLCVFEMFCPPPTQVSKGGEILKTRKIQEAKESAHKSRIRKVVIRVRFPDKDTLEVAFPSSEKIQSLLNLLKKVVARPELPFYILKKLSEILYSGGFSPGAIVYFSYDLQRGDDAAAIYSGPFLREEVMALKGLNVISESAACSVSNRISNSNSLPFSSRAYAW >Potri.005G099266.2.v4.1 pep chromosome:Pop_tri_v4:5:7151492:7152394:-1 gene:Potri.005G099266.v4.1 transcript:Potri.005G099266.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099266.v4.1 MLLVESSNHALDQIFSNLNRMRFGCMHDVGKWWIFFPFISPFFFPVVFLIFCWLEWLRVWTYLFIQVSAIHYIQIVETDMTSLLCVAEALEALVLIFSCLLRHRDFFGTVNKLEVLQLGIAFYLFATGRPL >Potri.014G144201.1.v4.1 pep chromosome:Pop_tri_v4:14:9857183:9864059:-1 gene:Potri.014G144201.v4.1 transcript:Potri.014G144201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144201.v4.1 MRRMRIEDAPVPPVPHALTSTKCSKRNSDRRYQNLFRSFLALALTCLSNIWIFTWCLNWLSYKVCTKTYLRICHKLLHFCKPCNKFKIPYNR >Potri.004G010100.1.v4.1 pep chromosome:Pop_tri_v4:4:584925:589797:-1 gene:Potri.004G010100.v4.1 transcript:Potri.004G010100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010100.v4.1 MGDYRAFCASLVVFLLFLGNGVNCEDPYRFITWKITYGDIYPLGVKQQGILINGQFPGPQIDAVTNENLIISVYNYLREPFLISWNGIQQRRNSWQDGVYGTSCPIPPGKNFTYALQVKDQIGSFFYFPSLGFHKAAGAFGGIRIWSRPRIPVPFPPPAGDFTVLAGDWYKRNHYQLRRILDGGHNLPFPDGLLINGRGWNGYTFTVDPGKTYRFRISNVGLTTSINFRIQGHKMKLVEVEGSHTLQNTYSDLDIHLGQSYSVLVTADQPAKDYYIVVSSRFTKPVLATTAVLHYSNSWQRVSGPIPGGPTTQIDWSLNQARSFRWNLTASGPRPNPQGSYHYGLIKTSRTITLANSGPIINRKQRYAVNGVSYIPADTPLKIADYFNIPGVFSLGSMPSSPSWGNNAYLQTAVMSANFREFIEIVFQNWEDTVQSWHIDGHSFFVVGMDGGQWTPASRARYNLRDTVARCTTQVYPKSWTAIYMALDNVGMWNIRSENWARQYLGQQFYLRVYSPAHSWRDELPIPKNALLCGRARGRHTRPL >Potri.005G221050.1.v4.1 pep chromosome:Pop_tri_v4:5:22313682:22315509:1 gene:Potri.005G221050.v4.1 transcript:Potri.005G221050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221050.v4.1 MPCNHRFRICQQLDANQSSLLCRIQWSSIGRRKHMEKLITSIGSLQQSEDVCEVESESSMDSGIRQGNLRFLTSSWAASSESVVETEVQGLLEAGKELGFGNIEDLQVLAASIRDQVDRELDD >Potri.011G131700.4.v4.1 pep chromosome:Pop_tri_v4:11:16542128:16548106:-1 gene:Potri.011G131700.v4.1 transcript:Potri.011G131700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131700.v4.1 MNILVPPPPGRTGSNPFLKPPPGRAEPLPHEPPANLRPPPSRAGPTPSPPPPPPPPAPPAPAKSSSSVPLPPRGSPPPPPIAPGVKPGPRPPPPPTGGSAPRPPPPMPPGPKAPRPPLGSKRPSNSASSEGAGLGDDADADAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIETLFGYAPDKNKNEHKKESSSQDPSPQYIQILDPKKAQNLSILLRALNVTIEEVCDALREGNELPVELLQNLLRMAPTADEELKLRLYSGELSQLGPAERFLKALVDIPFAFKRLEALLFMCILQEEVATTKESFETLEVACKELRNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGVRAARAGRESRSISSVSVKTDDLLEDISPDTEDNYSSLGLQVVSQLSSELENVKRAAVVDADSLTGSVAKLGQSVVVTRNFLNKDMKNLEENSGFHETLKSFVQNAEVDIMSLLEEEKRIVALVKSTGDYFYGNAGKDEGLRLFIVVRDFLIILDKVCKEVGEAQKRSAKTRKKEASTSSTASSPSHKHQQPSPDIRQRLFPAIAERRMGDSSSSSDDEG >Potri.011G131700.3.v4.1 pep chromosome:Pop_tri_v4:11:16542210:16548506:-1 gene:Potri.011G131700.v4.1 transcript:Potri.011G131700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131700.v4.1 MLTRMNQQLVVHMKSSCFSFLVILLCATVVVSLDYRGRTEEVFLRQLVNPATGDVDKDTAELLCIICKVDLIRLKEAGGNPNFFLPEETFSGADELSSKGWSVAKENSQRLIKVLHPQLKETLLDCIRKNSFLFHVSGDEGGADIYHSTSLNSLFHRHAGARRNLLQSIAEAPAPAPAVGSLIPSPAPAPDLALSPVSTPNPAPSPQELFFPRLSPPPLSLSENSSGGLTSGPSVEPDNGKNNNKTVLIAVLVTAAVTFVLAALFFLFCTAVCRRGSGAGRNDERPLLSISLSDYSVGSTHKTFGLGNSIKEEKLGHQSFGNISNHEKRGSSFESNFYNSDAQNVSLDERLSLGVVSGAAKFSTDNKMNILVPPPPGRTGSNPFLKPPPGRAEPLPHEPPANLRPPPSRAGPTPSPPPPPPPPAPPAPAKSSSSVPLPPRGSPPPPPIAPGVKPGPRPPPPPTGGSAPRPPPPMPPGPKAPRPPLGSKRPSNSASSEGAGLGDDADADAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIETLFGYAPDKNKNEHKKESSSQDPSPQYIQILDPKKAQNLSILLRALNVTIEEVCDALREGNELPVELLQNLLRMAPTADEELKLRLYSGELSQLGPAERFLKALVDIPFAFKRLEALLFMCILQEEVATTKESFETLEVACKELRNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGVRAARAGRESRSISSVSVKTDDLLEDISPDTEDNYSSLGLQVVSQLSSELENVKRAAVVDADSLTGSVAKLGQSVVVTRNFLNKDMKNLEENSGFHETLKSFVQNAEVDIMSLLEEEKRIVALVKSTGDYFYGNAGKDEGLRLFIVVRDFLIILDKVCKEVGEAQKRSAKTRKKEASTSSTASSPSHKHQQPSPDIRQRLFPAIAERRMGDSSSSSDDEG >Potri.011G131700.2.v4.1 pep chromosome:Pop_tri_v4:11:16542062:16548636:-1 gene:Potri.011G131700.v4.1 transcript:Potri.011G131700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131700.v4.1 MLTRMNQQLVVHMKSSCFSFLVILLCATVVVSLDYRGRTEEVFLRQLVNPATGDVDKDTAELLCIICKVDLIRLKEAGGNPNFFLPEETFSGADELSSKGWSVAKENSQRLIKVLHPQLKETLLDCIRKNSFLFHVSGDEGGADIYHSTSLNSLFHRHAGARRNLLQSIAEAPAPAPAVGSLIPSPAPAPDLALSPVSTPNPAPSPQELFFPRLSPPPLSLSENSSGGLTSGPSVEPDNGKNNNKTVLIAVLVTAAVTFVLAALFFLFCTAVCRRGSGAGRNDERPLLSISLSDYSVGSTHKTFGLGNSIKEEKLGHQSFGNISNHEKRGSSFESNFYNSDAQNVSLDERLSLGVVSGAAKFSTDNKMNILVPPPPGRTGSNPFLKPPPGRAEPLPHEPPANLRPPPSRAGPTPSPPPPPPPPAPPAPAKSSSSVPLPPRGSPPPPPIAPGVKPGPRPPPPPTGGSAPRPPPPMPPGPKAPRPPLGSKRPSNSASSEGAGLGDDADADAPKTKLKPFFWDKVLANPDHSMVWHQIKSGSFQFNEEMIETLFGYAPDKNKNEHKKESSSQDPSPQYIQILDPKKAQNLSILLRALNVTIEEVCDALREGNELPVELLQNLLRMAPTADEELKLRLYSGELSQLGPAERFLKALVDIPFAFKRLEALLFMCILQEEVATTKESFETLEVACKELRNSRLFLKLLEAVLKTGNRMNDGTFRGGAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGVRAARAGRESRSISSVSVKTDDLLEDISPDTEDNYSSLGLQVVSQLSSELENVKRAAVVDADSLTGSVAKLGQSVVVTRNFLNKDMKNLEENSGFHETLKSFVQNAEVDIMSLLEEEKRIVALVKSTGDYFYGNAGKDEGLRLFIVVRDFLIILDKVCKEVGEAQKRSAKTRKKEASTSSTASSPSHKHQQPSPDIRQRLFPAIAERRMGDSSSSSDDEG >Potri.018G041500.2.v4.1 pep chromosome:Pop_tri_v4:18:3265110:3268070:-1 gene:Potri.018G041500.v4.1 transcript:Potri.018G041500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G041500.v4.1 MITEPLLSSPKGGIRALFFIIANEALERLASFGLSTNMILYLTREYGMDAASGAQILFLYSAAGNFMPIIGAFLADTYVGRYPMIGFGCIASLLGMVLLWLTTIIPGATVPSCAKFSSICNNDATTPQLLFLYFCLGLMSIGAGGIRSCSLAFGADQLSKRDSLKHAGILESFFSWYYVISSASVFISMTCVVYIQEAMGWKVGFGVPVVLMILSTLSFFLASPIYVKPKAKASWLIGFARVLVASFRKRRIELSSLDTDELYHHRKGSALVVPSERIRLLNKACVIKNPEEDLMPDGRASDPWTLCTVDQVEELKALIKVIPIWSTGVLVSVNVCQNSFLLLQASTMNRHITSKFEIPAGSFYAFLLLSATMCIALYDRVIIPLASKVRGKPTRLGLKQKIGIGILVSAASMAVLAIIERVRREIAIREGISDIPDAVTHMSAMWLLPFYFLLGFSEAMNGVGLNEFFYTELPKSMSSVASNLFSIGLSAASLVASFIVSNVRGFIREANQESWVSSNINKGHYDYYYWLLSSLGFANFIYYLACSKAYGPCKGGQRGITGDVREGLIDDDDDDDDDYDDDVV >Potri.005G196700.1.v4.1 pep chromosome:Pop_tri_v4:5:20340433:20351684:-1 gene:Potri.005G196700.v4.1 transcript:Potri.005G196700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196700.v4.1 MASSSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVDYFGQCTSEHFPDDISELIRNRYPSKDKRLFDDVLATFVLHHPEHGHAVVLPIISCIIDGTLVYDRSSPPFASFISLVCPGSENEYSEQWALACGEILRILTHYNRPIYKREQQNNETDRSSSDSHATSSESAEGKSTSMPLVQQERKPFRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTTTSSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPLLSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEASREQTRRTRYFSSLGPASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAHSRGSKRPRGEENDLPEDGTEDSQSTSEMRRNMKSRRMKKQGPVAAFDSYVLAAVCALACELQIFPFVSRGSNHSTSKHSETVAKPAKLNGAVSEFQTSLNSAIHHTHRILSILEALFSLKPSTIGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDVHSKAVASIVNKAEPLGAHLHAPVWKDSLVCSDGNKQNRSASTGCFNSGQSSALQSTELVHSETKLKCGRASHSEEGSGSTSGKGIAGLPLDASDLANFLTMHRHIGFNCSAQVLLRSVLPEKQELCFSVVSLLWQKLIASPETQPSAESTSAQQGWRQVVDALCNVVSASPTIAATAVVLQAERELQPWIAKDDDSGQIMWRINQRIVKLIVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLQWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDIQHTGSIKPASKLTHRNGIHGPSYQYLRSDVINWQADIEKCLTWEAHSRLATGMPVHHLDTAAKELGCTISI >Potri.005G196700.7.v4.1 pep chromosome:Pop_tri_v4:5:20340642:20351655:-1 gene:Potri.005G196700.v4.1 transcript:Potri.005G196700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196700.v4.1 MASSSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVDYFGQCTSEHFPDDISELIRNRYPSKDKRLFDDVLATFVLHHPEHGHAVVLPIISCIIDGTLVYDRSSPPFASFISLVCPGSENEYSEQWALACGEILRILTHYNRPIYKREQQNNETDRSSSDSHATSSESAEGKSTSMPLVQQERKPFRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTTTSSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPLLSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEASREQTRRTRYFSSLGPASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAHSRGSKRPRGEENDLPEDGTEDSQSTSEMRRNMKSRRMKKQGPVAAFDSYVLAAVCALACELQIFPFVSRGSNHSTSKHSETVAKPAKLNGAVSEFQTSLNSAIHHTHRILSILEALFSLKPSTIGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDVHSKAVASIVNKAEPLGAHLHAPVWKDSLVCSDGNKQNRSASTGCFNSGQSSALQSTELVHSETKLKCGRASHSEEGSGSTSGKGIAGLPLDASDLANFLTMHRHIGFNCSAQVLLRSVLPEKQELCFSVVSLLWQKLIASPETQPSAESTSAQQGWRQVVDALCNVVSASPTIAATAVVLQAERELQPWIAKDDDSGQIMWRINQRIVKLIVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLQWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDIQHTGSIKPASKLTHRNGIHGPSYQYLRSDVINWQADIEKCLTWEAHSRLATGMPVHHLDTAAKELGCTISI >Potri.005G196700.6.v4.1 pep chromosome:Pop_tri_v4:5:20340437:20351600:-1 gene:Potri.005G196700.v4.1 transcript:Potri.005G196700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196700.v4.1 MASSSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVDYFGQCTSEHFPDDISELIRNRYPSKDKRLFDDVLATFVLHHPEHGHAVVLPIISCIIDGTLVYDRSSPPFASFISLVCPGSENEYSEQWALACGEILRILTHYNRPIYKREQQNNETDRSSSDSHATSSESAEGKSTSMPLVQQERKPFRPLSPWITDILLAAPLGIRSDYFRCIPCRKCTRMILDLYGWLKFQTCEAPFVGQNCEATWQKRTIPSVPKLIWRCSGVMGKYAAGELKPPTTTSSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPLLSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEASREQTRRTRYFSSLGPASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAHSRGSKRPRGEENDLPEDGTEDSQSTSEMRRNMKSRRMKKQGPVAAFDSYVLAAVCALACELQIFPFVSRGSNHSTSKHSETVAKPAKLNGAVSEFQTSLNSAIHHTHRILSILEALFSLKPSTIGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDVHSKAVASIVNKAEPLGAHLHAPVWKDSLVCSDGNKQNRSASTGCFNSGQSSALQSTELVHSETKLKCGRASHSEEGSGSTSGKGIAGLPLDASDLANFLTMHRHIGFNCSAQVLLRSVLPEKQELCFSVVSLLWQKLIASPETQPSAESTSAQQGWRQVVDALCNVVSASPTIAATAVVLQAERELQPWIAKDDDSGQIMWRINQRIVKLIVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLQWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDIQHTGSIKPASKLTHRNGIHGPSYQYLRSDVINWQADIEKCLTWEAHSRLATGMPVHHLDTAAKELGCTISI >Potri.005G196700.8.v4.1 pep chromosome:Pop_tri_v4:5:20340538:20351640:-1 gene:Potri.005G196700.v4.1 transcript:Potri.005G196700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196700.v4.1 MASSSSERWIDGLQFSSLFWPPPQDAQQRKAQITAYVDYFGQCTSEHFPDDISELIRNRYPSKDKRLFDDVLATFVLHHPEHGHAVVLPIISCIIDGTLVYDRSSPPFASFISLVCPGSENEYSEQWALACGEILRILTHYNRPIYKREQQNNETDRSSSDSHATSSESAEGKSTSMPLVQQERKPFRPLSPWITDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTTTSSRGSGKHPQLIPSTPRWAVANGAGVILSVCDEEVARYETATLTAAAVPALLLPPPTTALDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGIRLPRNWMHLHFLRAIGTAMSMRAGIAADAAAALLFRILSQPALLFPPLRQVEGVEVQHEPLGGYISCYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLSSSAVDLPEIIVATPLQPPLLSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVEAILQRTFPPEASREQTRRTRYFSSLGPASKNLAVAELRTMVHSLFLESCASVELASRLLFVVLTVCVSHEAHSRGSKRPRGEENDLPEDGTEDSQSTSEMRRNMKSRRMKKQGPVAAFDSYVLAAVCALACELQIFPFVSRGSNHSTSKHSETVAKPAKLNGAVSEFQTSLNSAIHHTHRILSILEALFSLKPSTIGTSWSYSSNEIVAAAMVAAHVSELFRRSKACMHALSVLMRCKWDNEIYTRASSLYNLIDVHSKAVASIVNKAEPLGAHLHAPVWKDSLVCSDGNKQNRSASTGCFNSGQSSALQSTELVHSETKLKCGRASHSEEGSGSTSGKGIAGLPLDASDLANFLTMHRHIGFNCSAQVLLRSVLPEKQELCFSVVSLLWQKLIASPETQPSAESTSAQQGWRQVVDALCNVVSASPTIAATAVVLQAERELQPWIAKDDDSGQIMWRINQRIVKLIVELMRNHDTPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAVQPVLQWGESGFAVADGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDIQHTGSIKPASKLTHRNGIHGPSYQYLRSDVINWQADIEKCLTWEAHSRLATGMPVHHLDTAAKELGCTISI >Potri.018G130100.1.v4.1 pep chromosome:Pop_tri_v4:18:14066349:14068189:-1 gene:Potri.018G130100.v4.1 transcript:Potri.018G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130100.v4.1 MLLRSSSTPFLNSWIPPHSKEPSPEPESVHQIQKTRPISLTASSSSPFSSISSQDHDSIKRMTRAFSEADLRDLSVPKRKPSNGILNGIPVDEEVEEKVSFWEGGLFFEGCEAGEKGEGDNGVLEVLVTGGGGDGGGRKFCGGRGRSDFGDDGGSGSRESNEGIETTDAYYQTMIEANPGNPLFLRNYARFLKEVRWDFVKAEEYCGRAILANPNDADVLSMYADLIWQSHKDASRAESYFLRAVKAAPDDCYVMASYARFLWDAEEEEGEEGEREQNENMSKMSPPTFFHGSKPPLPPLAASS >Potri.008G046900.1.v4.1 pep chromosome:Pop_tri_v4:8:2718713:2723589:-1 gene:Potri.008G046900.v4.1 transcript:Potri.008G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046900.v4.1 MVSATLMRFVSPCWKPSVEGENSSNGGDAAGRAEGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSHLESGPMSSAESGPHGTFVGVYDGHGGPEAARFVNERLFENIKTIHGAEFTSENNGMSANVINKAFLATEEEFLSLVKKQWLNKPQIASVGACCLVGVVCSGVLYIANAGDSRVVLGRLERAIKEIKAVQLSYEHNASIESVREELHSLHPDDPRIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPEPFDTPILKAEPTILVQKLCPEDQFLIFASDGLWEHLSNQEAVDIVHSCPRNGVARKLLKAALCEAAKKREMRYSDLKRIDRGVRRHFHDDITVIVLFLDSNLVSRSSFRGPLISIKGGCGVSGNGNT >Potri.008G046900.2.v4.1 pep chromosome:Pop_tri_v4:8:2718713:2723564:-1 gene:Potri.008G046900.v4.1 transcript:Potri.008G046900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046900.v4.1 MVSATLMRFVSPCWKPSVEGENSSNGGDAAGRAEGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSHLESGPMSSAESGPHGTFVGVYDGHGGPEAARFVNERLFENIKKFTSENNGMSANVINKAFLATEEEFLSLVKKQWLNKPQIASVGACCLVGVVCSGVLYIANAGDSRVVLGRLERAIKEIKAVQLSYEHNASIESVREELHSLHPDDPRIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPEPFDTPILKAEPTILVQKLCPEDQFLIFASDGLWEHLSNQEAVDIVHSCPRNGVARKLLKAALCEAAKKREMRYSDLKRIDRGVRRHFHDDITVIVLFLDSNLVSRSSFRGPLISIKGGCGVSGNGNT >Potri.008G046900.6.v4.1 pep chromosome:Pop_tri_v4:8:2718727:2723589:-1 gene:Potri.008G046900.v4.1 transcript:Potri.008G046900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046900.v4.1 MVSATLMRFVSPCWKPSVEGENSSNGGDAAGRAEGLLWYKDSGQHVNGEFSMAVIQANNLLEDCSHLESGPMSSAESGPHGTFVGVYDGHGGPEAARFVNERLFENIKTIHGAEFTSENNGMSANVINKAFLATEEEFLSLVKKQWLNKPQIASVGACCLVGVVCSGVLYIANAGDSRVVLGRLERAIKEIKAVQLSYEHNASIESVREELHSLHPDDPRIVVLKHKVWRVKGLIQISRSIGDAYLKRAEFNREPLLAKFRLPEPFDTPILKAEPTILVQKLCPEDQFLIFASDGLWEHLSNQEAVDIVHSCPRNGVARKLLKAALCEAAKKREMRYSDLKRIDRGVRRHFHDDITVIVLFLDSNLVSRSSFRGPLISIKGGCGVSGNGNT >Potri.001G388100.1.v4.1 pep chromosome:Pop_tri_v4:1:40765423:40768233:1 gene:Potri.001G388100.v4.1 transcript:Potri.001G388100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388100.v4.1 MIMNKTLRPEEICSLVSVDSMEQLVLVIHLPMLTPFAPPDITQCELVPLPTESDPSNCCPPTSTKIKNFEFPSASSPMRIRPAAHLVDKAYVAKYAKAIALMKSLPDDDPRSFKSQADVHCAYCDGAYHQAGFPDLDLQIHFSWLFFPWHRLYLYYFERILGKLIDGPTFALPFWNWDAPAGMQMPAIFTDPESPLYDPLRDANHQPPTLLDLNYAKGDANPDPAKAEELYASNLNVMYRQMVSGATKPTLFFGKPYRAGDDPSPGMGTIETTPHTQIHYWTGDPNQTNGENMGNFYSAGRDPIFYCHHSNVDRMWDLWKKIPGGKRKDIEDPDWLNSEFLFWDENKELVRVKVKDTLDTKKLRYGFQDVPIPWLKTRATPKLTRQEKSRRAAKKSVVLTPISAFPVVLDKVISVEVSRPKKSRSATEKEDEDEVLVIEGIEYEENQLIKFDVLVNDEPDSPGGPDKSEFAGSFVNVPHKHAKKSKTTMVLGITGLLEDLEAEGDDTLVVTFVPRSGGDFVTVANVKIEFVAD >Potri.010G010400.1.v4.1 pep chromosome:Pop_tri_v4:10:1782581:1783583:-1 gene:Potri.010G010400.v4.1 transcript:Potri.010G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010400.v4.1 MARQADRLVKIGQEGFAAIDEHFGRAKRRPPVMKVPYAHPTYYYATEVIDSNEAAQRYKGRVYVDYPKGKPVPF >Potri.016G063800.7.v4.1 pep chromosome:Pop_tri_v4:16:4455382:4459981:1 gene:Potri.016G063800.v4.1 transcript:Potri.016G063800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063800.v4.1 MVEMTQALATTNGVNSSIAIGVSLSSLEGNISFCRGVAETVPAETFIVNAMKQKRKVNVDRASKEMQEDTAKGMEREQVEFTDNIVLRQLLRRTRYFDGPSYNSWEMCSNCGQEGHMVCQCKMRKRKKKKLCFLCESLDHIGRRCRKNRYCSVCKGRGHKARYCPERDQERSSHGICLQCGNSGHDMFSCTADYLPSDLKEIQCYVCRSFGHLCCADFPDTDPRESCGATGSTKAYTTCYKCGEEDHFARNCSKQGKGGQGREQASN >Potri.016G063800.6.v4.1 pep chromosome:Pop_tri_v4:16:4455306:4460022:1 gene:Potri.016G063800.v4.1 transcript:Potri.016G063800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063800.v4.1 MVEMTQALATTNGVNSSIAIGVSLSSLEGNISFCRGVAETVPAETFIVNAMKQKRKVDRASKEMQEDTAKGMEREQVEFTDNIVLRQLLRRTRYFDGPSYNSWEMCSNCGQEGHMVCQCKMRKRKKKKLCFLCESLDHIGRRCRKNRYCSVCKGRGHKARYCPERDQERSSHGICLQCGNSGHDMFSCTADYLPSDLKEIQCYVCRSFGHLCCADFPDTDPRESCGATGSTKAYTTCYKCGEEDHFARNCSKQGKGGQGREQASN >Potri.006G108600.1.v4.1 pep chromosome:Pop_tri_v4:6:8436971:8441784:-1 gene:Potri.006G108600.v4.1 transcript:Potri.006G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108600.v4.1 MLLKFLFLVGLAPLLVVQCLDSTFNDDVLGLIVFKAGLQDPESKLSSWNEDDDSPCSWVGVKCEPNTHRVTELFLDGFSLSGHIGRGLLRLQFLQVLSLANNKFNGTINPDLPRLGGLQVIDLSDNSLSGSIPDGFFQQCGSLRSVSFARNDLTGMIPGSLSSCMTLSLVNFSSNGLCGELPSGLWYLRGLQSLDLSDNLLEGEIPEEIANLYDLRVINLKNNRFTGQLPVDIGGSQVLKLLDFSENSLSGSLPESLRRLSSCATVRLGGNSFTGEVPGWIGELTDLESLDLSANRFSGRIPVSIGNLNVLKELNLSMNQLTGGLPESMMNCLNLLAIDVSHNRLTGNLPSWIFKSGLNRVSPSGNRFDESKQHPSGVSLAVSIQGLQVLDLSSNVFSGEIPSDIGVLSSLLLLNVSRNQLFGSIPSSIGDLTMIRALDLSDNRLNGSIPSEIGGAISLTELRLEKNLLTGKIPTQIKKCSSLASLILSWNNLTGPIPVAVANLINLQYVDLSFNRLSGSLPKELTNLSHLLSFNISHNNLQGDLPLGGFFNTVSPSSVSGNPSLCGSVVNLSCPSDHQKPIVLNPNSSDSSNGTSLDRHHKIVLSISALIAIGAAACITLGVVAVIFLNIHAQSSMARSPAAFAFSGGEDFSCSPTNDPNYGKLVMFSGDADFVAGARALLNKDSELGRGGFGVVYRTILRDGRSVAIKKLTVSSLIKSQDEFEREVKELGKVRHHNLVALEGYYWTPTLQLLIYEYVSSGSLYKHLHDGPDKKYLSWRHRFNIILGMARALAHLHHMNIVHYNLKSTNILIDDSGEPKVGDFGLAKLLPTLDRCILSSKIQSALGYMAPEFACRTVKITEKCDVYGFGILVLEVVTGKRPVEYMEDDVVVLCDMVRGALEDGRVEECIDGKLGGKVPADEAIPVIKLGLICASQVPSNRPDMEEVVNILELIQCPAEGHEELE >Potri.007G021200.1.v4.1 pep chromosome:Pop_tri_v4:7:1617634:1618972:-1 gene:Potri.007G021200.v4.1 transcript:Potri.007G021200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G021200.v4.1 MVQRTVLKVDISCQKCKTKVLKAVSTLEGVDTIEADQGKGTLTVTGNADPYEIILRTRKTGKHAEVVSIGPPPAPPKQDGQKKAEEKKPQEKKTEQKALIYDPCACPQCQPVLLMPMPVGRCDEPNPSCSIM >Potri.006G165800.1.v4.1 pep chromosome:Pop_tri_v4:6:16280854:16283658:-1 gene:Potri.006G165800.v4.1 transcript:Potri.006G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165800.v4.1 MSMAIASFQQSFLTNALITPLLHKPKTNFLNSVPIVSCNYSRDDQKDSCSSRKTVDQLAKFAVVTLAAGVLAVGSVGDASAAKSGGRVGGQAFRSSAPRSAPRINNNSRTNIYVNPPVAPPLVGGYGYGYGVPFYGGWGWSPFSFFAPGPSAAVGIGGGFETLVFFVFIGAVAAVVRRFFGSRDEDDY >Potri.017G053700.1.v4.1 pep chromosome:Pop_tri_v4:17:4055847:4057043:1 gene:Potri.017G053700.v4.1 transcript:Potri.017G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053700.v4.1 MNHQKLLPVPFFPQPETYQMFRLLTENPSFRGSFLEPQNSFSSPLTFNHYNPTSYPPVSPDISASVSLSDSFKKSPDTPPVLDGIGAIVGQQVLFGTNDTKNSEVSGLSSISQRTGSKTSKQESGAVRSSVSDGVPIRKTYRGVRKRPWGRWSAEIRDRIGRCRHWLGTFDTAEEAARAYDSAARRLRGAKARTNFEIPPVLPPVSSSSSSPPSACSGSISNNAEARKRTNVNNHSSKMVGNGGRKCAVVTSVAHLFSDFEGKGTSAGGNVELDLKLGMGSDGHRGGNKSAASAPPSMLV >Potri.004G105000.2.v4.1 pep chromosome:Pop_tri_v4:4:9228438:9230259:-1 gene:Potri.004G105000.v4.1 transcript:Potri.004G105000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105000.v4.1 MGKMLLSKPSLVPAFCWEEGKPCGKKIISSLKAGENKLVCVTDGNSFLGSHIVKELLSRGHLVRVTVHNQVDFEDLKGLIKEEDMNKLESVVVAKMKDLDSLCDAFSGCHAVFHTSSFLDPHGITGYSEQMAFLETEGARNVIEACGRAAYRRRCIFTSSLLASTWTSSNLDRVIDESCWSSEEFCRENKLWLALGKMRAEKIAWRKSKEMKVKLVTVCPGLLIDSSFPHDHKETSFPYLKGGSIMLRQGLLALADVGKVAEAHVRVYEAMDNGAYGRYLCFERVVQRLDEAIQLENELKIQGLLSEGTSRIISEEIQSNLSNSKLNRLLFAAPHMSCNQ >Potri.005G253200.1.v4.1 pep chromosome:Pop_tri_v4:5:24560778:24563539:1 gene:Potri.005G253200.v4.1 transcript:Potri.005G253200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253200.v4.1 MEGLVKKYQQRFRKVRGLMEEWEQLQSRLISQFSNASAIIERLKVIGDCNNYGNLKSVDGIVDAVVRKQLESLQTILLSMNKTLEEFRGVVLTIEKMYRDGRQLVKGGGGSNQLNVKQLRQRIGIKPCLADCLDGLMILHEMHQAEYLLKSSVVSALSALTLKPSSSDLGALQQLLIDQPNIPKEEVQVIFEIIFAEEIC >Potri.009G010450.1.v4.1 pep chromosome:Pop_tri_v4:9:2009168:2009454:1 gene:Potri.009G010450.v4.1 transcript:Potri.009G010450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010450.v4.1 MDPPPPPPPPPSTVPLLGPPHHEGGCLDSCLWFLCCCGLFSCCCPPLFEPVPPPP >Potri.010G022800.1.v4.1 pep chromosome:Pop_tri_v4:10:3336301:3338983:1 gene:Potri.010G022800.v4.1 transcript:Potri.010G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022800.v4.1 MAASFVSKCSRGDCSLFRGLGTNVSNLLSTATRMTWSNFLSQHQRTFIQMRTVLKVVDNSGAKKVMCIQPLKGRKGARLGDTIIASVKEAAPNGKVKKGAVVRGVVVRAAMQRGRCDGSEVKFDDNAVVIIDKQGQPVGSRVFGPVPHELRKKKHVKILALAEHIA >Potri.003G220900.2.v4.1 pep chromosome:Pop_tri_v4:3:21494909:21495337:-1 gene:Potri.003G220900.v4.1 transcript:Potri.003G220900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220900.v4.1 METHKGTLDSCLATLVFATLFSHFSSKSLSLPLYICAPTPTQKHLPSTFLQAVVSILSLQLLSKQISFQRGIVVFLSLLNNKKMEAMKMKVFAVLMVVLMAFSTMQKATAANAPAPSPTSDATIFVPTFLASFVALAFGFFL >Potri.005G207700.6.v4.1 pep chromosome:Pop_tri_v4:5:21224241:21234195:-1 gene:Potri.005G207700.v4.1 transcript:Potri.005G207700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207700.v4.1 MRLSSSSSSTGFNQQTPEGDKKCLNSELWHACAGPLVSLPHVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSQDDQKDAYLLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPTLDYSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHGLQDGDLNINSPMMWLQGGVGDLGVQSLNFQSFGVAPWIQPRFDTSMPALQPEMYQTMAAAALQEMRTVESSKLASQSHLQFQQSQNVSNGPAALIQRQMLQQSNLQHALLQNFQENQASTQAQFLQQHLQHRNQYTGQQLQQHQPQLQQVQQPKQLNELSAPQQIPNVISALPHLTSVAPSQSPSLQPISSQCQQQAFSEPLGNSIAASDVSSMHSVIGSLSQDGGSHLLNSNGSNPVISPALLSKRAAIDPQLSSGAAHCALPQVEQLRTTQSTVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFAASTFTSATGSDIPLNSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGLLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLSPAASVPSQKLSNSNSDGHMNTQGFRNSSNGIASMGSLDY >Potri.005G207700.3.v4.1 pep chromosome:Pop_tri_v4:5:21224418:21234291:-1 gene:Potri.005G207700.v4.1 transcript:Potri.005G207700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207700.v4.1 MVGGMRLSSSSSSTGFNQQTPEGDKKCLNSELWHACAGPLVSLPHVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSQDDQKDAYLLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPTLDYSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHGLQDGDLNINSPMMWLQGGVGDLGVQSLNFQSFGVAPWIQPRFDTSMPALQPEMYQTMAAAALQEMRTVESSKLASQSHLQFQQSQNVSNGPAALIQRQMLQQSNLQHALLQNFQENQASTQAQFLQQHLQHRNQYTGQQLQQHQPQLQQVQQPKQLNELSAPQQIPNVISALPHLTSVAPSQSPSLQPISSQCQQQAFSEPLGNSIAASDVSSMHSVIGSLSQDGGSHLLNSNGSNPVISPALLSKRAAIDPQLSSGAAHCALPQVEQLRTTQSTVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFAASTFTSATGSDIPLNSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGLLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLSPAASVPSQKLSNSNSDGHMNTQGFRNSSNGIASMGSLDY >Potri.005G207700.4.v4.1 pep chromosome:Pop_tri_v4:5:21224419:21234347:-1 gene:Potri.005G207700.v4.1 transcript:Potri.005G207700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207700.v4.1 MRLSSSSSSTGFNQQTPEGDKKCLNSELWHACAGPLVSLPHVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSQDDQKDAYLLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPTLDYSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHGLQDGDLNINSPMMWLQGGVGDLGVQSLNFQSFGVAPWIQPRFDTSMPALQPEMYQTMAAAALQEMRTVESSKLASQSHLQFQQSQNVSNGPAALIQRQMLQQSNLQHALLQNFQENQASTQAQFLQQHLQHRNQYTGQQLQQHQPQLQQVQQPKQLNELSAPQQIPNVISALPHLTSVAPSQSPSLQPISSQCQQQAFSEPLGNSIAASDVSSMHSVIGSLSQDGGSHLLNSNGSNPVISPALLSKRAAIDPQLSSGAAHCALPQVEQLRTTQSTVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFAASTFTSATGSDIPLNSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGLLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLSPAASVPSQKLSNSNSDGHMNTQGFRNSSNGIASMGSLDY >Potri.005G207700.7.v4.1 pep chromosome:Pop_tri_v4:5:21224416:21233748:-1 gene:Potri.005G207700.v4.1 transcript:Potri.005G207700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207700.v4.1 MCHANSCNCAKMLVSFVGDKKCLNSELWHACAGPLVSLPHVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSQDDQKDAYLLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPTLDYSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHGLQDGDLNINSPMMWLQGGVGDLGVQSLNFQSFGVAPWIQPRFDTSMPALQPEMYQTMAAAALQEMRTVESSKLASQSHLQFQQSQNVSNGPAALIQRQMLQQSNLQHALLQNFQENQASTQAQFLQQHLQHRNQYTGQQLQQHQPQLQQVQQPKQLNELSAPQQIPNVISALPHLTSVAPSQSPSLQPISSQCQQQAFSEPLGNSIAASDVSSMHSVIGSLSQDGGSHLLNSNGSNPVISPALLSKRAAIDPQLSSGAAHCALPQVEQLRTTQSTVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFAASTFTSATGSDIPLNSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGLLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLSPAASVPSQKLSNSNSDGHMNTQGFRNSSNGIASMGSLDY >Potri.005G207700.5.v4.1 pep chromosome:Pop_tri_v4:5:21224265:21234314:-1 gene:Potri.005G207700.v4.1 transcript:Potri.005G207700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207700.v4.1 MRLSSSSSSTGFNQQTPEGDKKCLNSELWHACAGPLVSLPHVGSRVVYFPQGHSEQVAASTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLSQDDQKDAYLLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPTLDYSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHGLQDGDLNINSPMMWLQGGVGDLGVQSLNFQSFGVAPWIQPRFDTSMPALQPEMYQTMAAAALQEMRTVESSKLASQSHLQFQQSQNVSNGPAALIQRQMLQQSNLQHALLQNFQENQASTQAQFLQQHLQHRNQYTGQQLQQHQPQLQQVQQPKQLNELSAPQQIPNVISALPHLTSVAPSQSPSLQPISSQCQQQAFSEPLGNSIAASDVSSMHSVIGSLSQDGGSHLLNSNGSNPVISPALLSKRAAIDPQLSSGAAHCALPQVEQLRTTQSTVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFAASTFTSATGSDIPLNSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGLLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLSPAASVPSQKLSNSNSDGHMNTQGFRNSSNGIASMGSLDY >Potri.005G207700.1.v4.1 pep chromosome:Pop_tri_v4:5:21224089:21232892:-1 gene:Potri.005G207700.v4.1 transcript:Potri.005G207700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207700.v4.1 MHADVETDEVYAQMTLQPLSQDDQKDAYLLPAELGTASKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPTLDYSQTPPAQELIARDLHDNEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRANRPQTFMPSSVLSSDSMHIGLLAAAAHAAATNSRFTIFYNPRASPSEFVIPLVKYIKAVYHTRVSVGMRFRMLFETEESSVRRYMGTITGISDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTTFPMYPSAFPMRLKRPWPSGLPSFHGLQDGDLNINSPMMWLQGGVGDLGVQSLNFQSFGVAPWIQPRFDTSMPALQPEMYQTMAAAALQEMRTVESSKLASQSHLQFQQSQNVSNGPAALIQRQMLQQSNLQHALLQNFQENQASTQAQFLQQHLQHRNQYTGQQLQQHQPQLQQVQQPKQLNELSAPQQIPNVISALPHLTSVAPSQSPSLQPISSQCQQQAFSEPLGNSIAASDVSSMHSVIGSLSQDGGSHLLNSNGSNPVISPALLSKRAAIDPQLSSGAAHCALPQVEQLRTTQSTVSDLATLLAPFSGREYSTYQGANDPQNNLLFGVNIDSSTFMLQHGIPNLRNIGTENDPLSMPFAASTFTSATGSDIPLNSDMTASSCVDESGFLQSSENVDQVNPSTRTFVKVHKSGSYGRSLDISKFSSYDELRSELARLFCLEGLLEDPQRSGWQLVFGDRENDVLLLGDDPWQEFVNNVWYIKILSPLEVQQMGKEGLSPAASVPSQKLSNSNSDGHMNTQGFRNSSNGIASMGSLDY >Potri.013G111632.1.v4.1 pep chromosome:Pop_tri_v4:13:12009749:12010111:1 gene:Potri.013G111632.v4.1 transcript:Potri.013G111632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111632.v4.1 MASFKCFILTFFIALAISNINVAQAARRLLQLPPLPSLPNLSKPTLPPLPTIPSLPQPTLPTLPTTQPSLPKPTLPPLPSLPTMPSVPKVTLPPLPSMLPLPTIPTAIPSIPFLTPPPGN >Potri.017G007200.4.v4.1 pep chromosome:Pop_tri_v4:17:535587:541919:1 gene:Potri.017G007200.v4.1 transcript:Potri.017G007200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007200.v4.1 MGVIKEGKVSGLIPSKEGFAVHYPGYPSSISRAIQTLGGTESILKARSSQSNKLELYFRPEDPYSHPVSGELRSCHSMLLKISRKKKNSSPINEAKEESEEFHADIVARIPEAYYFEGMADYQHVVPVHADIARRKRKNPSEMEEPHFQKKPGLIDMGPEDVMMLSPPLFSLKDVPENIVLRPPSTSSSKKKQDEPPETHSKMTFEPALGIDFKDVQEIPKKINWKEFITEGTPMWEWQIAVSELFEERPIWPKYSLIERLLDKNLKFTYQTLKRLLLTVGYYFSGGPFQKFWIRKGYDPRKDPDSRIYQSVAFRVPPELKSYCDDNAAKGLKHRWEDLCKFRFFPYRNQYSFQLYELDDDYIQQEIQKPPKQTSCTYETGWFSQHVHDSLRLCVKVRFLSIFPETGAEKFLKAASEKFMKSKRACIFKDAPKPVQEEHQQINEDHETLKNDTEAVDEAIENQIDTDDVEVDELDSDDGEEEFDVYGMDSAGEADNLSLNSYSHMENTSTSYLQQLLGSFPSMDTNGDKKQDGGESSDGEYQIYEQDDDENYLDDDDD >Potri.006G145100.12.v4.1 pep chromosome:Pop_tri_v4:6:12294755:12300501:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MALRIQNLLKKNFDEPHFTVSCPSWWNSNEQHFSPSLSKNINFKVDSSPQPYHEAKQLGLNLPDQESSSTLSIGQSHNEMSAMGGTNSQGQCISSESDESCGKGVEGKMRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.006G145100.8.v4.1 pep chromosome:Pop_tri_v4:6:12294752:12300527:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.006G145100.15.v4.1 pep chromosome:Pop_tri_v4:6:12294841:12300525:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MADESCGKGVEGKMRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.006G145100.10.v4.1 pep chromosome:Pop_tri_v4:6:12294672:12300504:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MALRIQNLLKKNFDEPHFTVSCPSWWNSNEQHFSPSLSKNINFKVDSSPQPYHEAKQLGLNLPDQESSSTLSIGQSHNEMSAMGGTNSQGQCISSESDESCGKGVEGKMRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.006G145100.14.v4.1 pep chromosome:Pop_tri_v4:6:12294936:12300381:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MALRIQNLLKKNFDEPHFTVSCPSWWNSNEQHFSPSLSKNINFKVDSSPQPYHEAKQLGLNLPDQESSSTLSIGQSHNEMSAMGGTNSQGQCISSESDESCGKGVEGKMRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.006G145100.13.v4.1 pep chromosome:Pop_tri_v4:6:12294948:12300500:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MALRIQNLLKKNFDEPHFTVSCPSWWNSNEQHFSPSLSKNINFKVDSSPQPYHEAKQLGLNLPDQESSSTLSIGQSHNEMSAMGGTNSQGQCISSESDESCGKGVEGKMRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.006G145100.9.v4.1 pep chromosome:Pop_tri_v4:6:12294838:12300503:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MALRIQNLLKKNFDEPHFTVSCPSWWNSNEQHFSPSLSKNINFKVDSSPQPYHEAKQLGLNLPDQESSSTLSIGQSHNEMSAMGGTNSQGQCISSESDESCGKGVEGKMRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.006G145100.11.v4.1 pep chromosome:Pop_tri_v4:6:12294745:12300504:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MALRIQNLLKKNFDEPHFTVSCPSWWNSNEQHFSPSLSKNINFKVDSSPQPYHEAKQLGLNLPDQESSSTLSIGQSHNEMSAMGGTNSQGQCISSESDESCGKGVEGKMRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.006G145100.5.v4.1 pep chromosome:Pop_tri_v4:6:12298850:12300232:1 gene:Potri.006G145100.v4.1 transcript:Potri.006G145100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145100.v4.1 MSQDESCGKGVEGKMRPVLLLSTPDGVSNHSQADCSYSMVRTPYPCADPYFGGLFNPYGPHAFIQPQMGSHMVGMTAGRVPLPLDLADDGPIYVNAKQYHGILRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSTKKLQRSDPTSSHGQCNVLDTIHLHPKNNASELESFQSRTGQSSASNTTRSDTTSVSNSDVNFRQPDRGFSGIAAHLVGGRQINGGLMSSGNQHHASVVR >Potri.008G224192.1.v4.1 pep chromosome:Pop_tri_v4:8:19009650:19010201:-1 gene:Potri.008G224192.v4.1 transcript:Potri.008G224192.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224192.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETLERDRARTAGREGSRRDKQQAGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSQTRRISKGQGTGRGGK >Potri.016G043100.1.v4.1 pep chromosome:Pop_tri_v4:16:2712408:2722889:1 gene:Potri.016G043100.v4.1 transcript:Potri.016G043100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043100.v4.1 MDNLLKDFEVEHKNPSEVALRRWRKAVSIVKNPSRRFRMVADLDKRSEAEGKKRSIQEKIRTALYVRKAARLFLNAENAAGRPECKISDEIKEAGFGIDPDELASVVREHDIKCLKTNGGVDGIAQKVSVSLDEGVHTSDVSTRQKIYGFNRYKEKPPRSFLMFVWEALRDLTLIILMICALVSIGVGIATEGWPKGMYDGLGIILSIFLIVMVTAISDYNQSLQFRDLDREKKKISIQVIRDGRRQEISIYDLVVGDVVQLSIGDIVPADGIYISGYSLEIDESSLSGESEPVNIYESKPFLLSGTKVQDGSGKMIVTAVGMRTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLAFAVLTFLVLTGRFLVEKAIHKEFTDWSSSDALTLLNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDEKALVRHLSACETMGSATCICTDKTGTLTTNHMEVDKIWICEKIEDIKCSNSESILEMEISESVLSLLFQVIFQNTACEISKDENGKNKILGTPTEKALFELGLLLGGDFDSQRKEFQMLNVEPFNSVRKKMSVLVALPGGELRAFCKGASEIVLKMCDKILDDSGKVVPLSEEQILNISDVINSFASDALRTLCLAYKDLDDPVYEGSIPDFGYTLVAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFRIMSPQQMREIIPKIQVMARSLPLDKHTLVTNLKNMFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFRTIVNVAKWGRAVYINIQKFVQFQLTVNVVALVINFVSACFTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDGLMKRAPVGRGASFITKTMWRNIFGQSIYQLVILAVLQFDGKRLLRLRGPDATEIVNTVIFNTFVFCQVFNEINSRDIEKINIVRGMFSSWIFLGVMVITVVFQVIIVEFLGTFASTVPLSWQMWLLCIVIGAVSMPIAVVLKCIPVERENPKHHDGYDALPSGPDLA >Potri.016G043100.2.v4.1 pep chromosome:Pop_tri_v4:16:2702819:2722820:1 gene:Potri.016G043100.v4.1 transcript:Potri.016G043100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043100.v4.1 MVADLDKRSEAEGKKRSIQEKIRTALYVRKAARLFLNAENAAGRPECKISDEIKEAGFGIDPDELASVVREHDIKCLKTNGGVDGIAQKVSVSLDEGVHTSDVSTRQKIYGFNRYKEKPPRSFLMFVWEALRDLTLIILMICALVSIGVGIATEGWPKGMYDGLGIILSIFLIVMVTAISDYNQSLQFRDLDREKKKISIQVIRDGRRQEISIYDLVVGDVVQLSIGDIVPADGIYISGYSLEIDESSLSGESEPVNIYESKPFLLSGTKVQDGSGKMIVTAVGMRTEWGKLMETLNEGGEDETPLQVKLNGVATIIGKIGLAFAVLTFLVLTGRFLVEKAIHKEFTDWSSSDALTLLNYFAIAVTIIVVAVPEGLPLAVTLSLAFAMKKLMDEKALVRHLSACETMGSATCICTDKTGTLTTNHMEVDKIWICEKIEDIKCSNSESILEMEISESVLSLLFQVIFQNTACEISKDENGKNKILGTPTEKALFELGLLLGGDFDSQRKEFQMLNVEPFNSVRKKMSVLVALPGGELRAFCKGASEIVLKMCDKILDDSGKVVPLSEEQILNISDVINSFASDALRTLCLAYKDLDDPVYEGSIPDFGYTLVAVVGIKDPVRPGVKDAVQTCLAAGITVRMVTGDNINTAKAIAKECGILTEDGVAIEGPEFRIMSPQQMREIIPKIQVMARSLPLDKHTLVTNLKNMFKEVVAVTGDGTNDAPALHEADIGLAMGIAGTEVAKENADVIIMDDNFRTIVNVAKWGRAVYINIQKFVQFQLTVNVVALVINFVSACFTGSAPLTAVQLLWVNMIMDTLGALALATEPPNDGLMKRAPVGRGASFITKTMWRNIFGQSIYQLVILAVLQFDGKRLLRLRGPDATEIVNTVIFNTFVFCQVFNEINSRDIEKINIVRGMFSSWIFLGVMVITVVFQVIIVEFLGTFASTVPLSWQMWLLCIVIGAVSMPIAVVLKCIPVERENPKHHDGYDALPSGPDLA >Potri.017G137400.1.v4.1 pep chromosome:Pop_tri_v4:17:13852264:13859263:1 gene:Potri.017G137400.v4.1 transcript:Potri.017G137400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137400.v4.1 MVSTTSSSSPPRRVSARPPSRSLLPLRFKAKLLPGRGNPSKSRNWSRPSPSRLGPSSLSSQESPLPLVSPLSRSSPYLTRDYLRSKAIQVLESPDALPLTYFLNELNFPENSIDLMNARKLLNYLKKNYLTSFCLCLVSYLKFCATEFVNCKEYAFDVLCQILTENEHGLWQETSFMNKELKSALLDCLNTESSIKILHKILDFVVTIATKEVRLGNEWPELLEFVYKSIGSDSDSEEKLKCAISMLYKLIPQCAVEDLVISIDSFYDSLVDIFDSKEMSLEVQVQAALASNRFLCYWTNRSDHDIYSTVLLVEIVLIISTLIEHRSDKDIQAVVNELTVLAKEKPWSLSSQFDYLVLSVLRIVDGVELQDRTKIIALEFVVALSEKRVEGRRMLRRTQYIIPKLLEKILFLLANLEDDPESGTAETDIQNLPVVRCLARIAAALGGEVLVNNFPKLFAIHFGAEDWQSRHAAVLFLGIVAEKCSKPKELKHGWNQMAGRIIRSVKEDIHPHVRWAALYTIKQLSKHLKPEFQDKYHEKVMPALTKAMDDFNNPRVQMQAYLALFDFTWNCSSSTLKPHLKEIVNKLLKQLQKVNHMVQGETLKVLSAVAHSSQDHFAEYYSSVMPYLKVIMMTANEELDHKHLADSVECITMVWLAVGKDKIRSDIEMVVQLLLSLQGSKLEENDPMRSQLLQAWARLGKCLGHEFKPYMSVAIPRLLKSAKIGSYVIIPENPDDVDESDGSIRALIVGDRKIWIKTKVLEEKLTACKGLYLLADELKQGLSVWIEKVARTLVPRLKFAHSEEIRRVAASAMPVLLKSSKVATQEGYLEWSADESPFKKLYSYVVPALVKALSMESLLEITAVILDSLDECMKMSEHVLDEDQTDLFLKAIMNVLQKISSLSRSKVGAIEGINQTLPDEENGEEQKVYDKAAACLTTFIITHKNSFSPFIGKLAPCIELMWVKDRIVEERRIALHVFCDVAKQFQEEAFRRCKISLLFLFKACKDENPEVQEVAAQAIGTAAEFGGSVFKSFLKGAVSALNAVMGHPNALQMEYVMAHDTAVSALGKILQFHREKLKAEKVLRIWLGHLPLKNNLEEAKVVHRQLCSLVEVSDGELLGTQKAYLSEIVAVYAEILWAGKKLATEETVNQMIKQLKLHSRRSPPSTWRSIMLSLEPHLQKKLESILLS >Potri.017G137400.3.v4.1 pep chromosome:Pop_tri_v4:17:13852264:13858920:1 gene:Potri.017G137400.v4.1 transcript:Potri.017G137400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137400.v4.1 MVSTTSSSSPPRRVSARPPSRSLLPLRFKAKLLPGRGNPSKSRNWSRPSPSRLGPSSLSSQESPLPLVSPLSRSSPYLTRDYLRSKAIQVLESPDALPLTYFLNELNFPENSIDLMNARKLLNYLKKNYLTSFCLCLVSYLKFCATEFVNCKEYAFDVLCQILTENEHGLWQETSFMNKELKSALLDCLNTESSIKILHKILDFVVTIATKEVRLGNEWPELLEFVYKSIGSDSDSEEKLKCAISMLYKLIPQCAVEDLVISIDSFYDSLVDIFDSKEMSLEVQVQAALASNRFLCYWTNRSDHDIYSTVLLVEIVLIISTLIEHRSDKDIQAVVNELTVLAKEKPWSLSSQFDYLVLSVLRIVDGVELQDRTKIIALEFVVALSEKRVEGRRMLRRTQYIIPKLLEKILFLLANLEDDPESGTAETDIQNLPVVRCLARIAAALGGEVLVNNFPKLFAIHFGAEDWQSRHAAVLFLGIVAEKCSKPKELKHGWNQMAGRIIRSVKEDIHPHVRWAALYTIKQLSKHLKPEFQDKYHEKVMPALTKAMDDFNNPRVQMQAYLALFDFTWNCSSSTLKPHLKEIVNKLLKQLQKVNHMVQGETLKVLSAVAHSSQDHFAEYYSSVMPYLKVIMMTANEELDHKHLADSVECITMVWLAVGKDKIRSDIEMVVQLLLSLQGSKLEENDPMRSQLLQAWARLGKCLGHEFKPYMSVAIPRLLKSAKIGSYVIIPENPDDVDESDGSIRALIVGDRKIWIKTKVLEEKLTACKGLYLLADELKQGLSVWIEKVARTLVPRLKFAHSEEIRRVAASAMPVLLKSSKVATQEGYLEWSADESPFKKLYSYVVPALVKALSMESLLEITAVILDSLDECMKMSEHVLDEDQTDLFLKAIMNVLQKISSLSRSKVGAIEGINQTLPDEENGEEQKVYDKAAACLTTFIITHKNSFSPFIGKLAPCIELMWVKDRIVEERRIALHVFCDVAKQFQEEAFRRCKISLLFLFKACKDENPEVQEVAAQAIGTAAEFGGSVFKSFLKGAVSALNAVMGHPNALQMEYVMAHDTAVSALGKILQFHREKLKAEKVLRIWLGHLPLKNNLEEAKVVHRQLCSLVEVWGTSRHPKGLSFRNCCCLRRDPVGRQEVSY >Potri.017G137400.2.v4.1 pep chromosome:Pop_tri_v4:17:13852264:13858746:1 gene:Potri.017G137400.v4.1 transcript:Potri.017G137400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137400.v4.1 MVSTTSSSSPPRRVSARPPSRSLLPLRFKAKLLPGRGNPSKSRNWSRPSPSRLGPSSLSSQESPLPLVSPLSRSSPYLTRDYLRSKAIQVLESPDALPLTYFLNELNFPENSIDLMNARKLLNYLKKNYLTSFCLCLVSYLKFCATEFVNCKEYAFDVLCQILTENEHGLWQETSFMNKELKSALLDCLNTESSIKILHKILDFVVTIATKEVRLGNEWPELLEFVYKSIGSDSDSEEKLKCAISMLYKLIPQCAVEDLVISIDSFYDSLVDIFDSKEMSLEVQVQAALASNRFLCYWTNRSDHDIYSTVLLVEIVLIISTLIEHRSDKDIQAVVNELTVLAKEKPWSLSSQFDYLVLSVLRIVDGVELQDRTKIIALEFVVALSEKRVEGRRMLRRTQYIIPKLLEKILFLLANLEDDPESGTAETDIQNLPVVRCLARIAAALGGEVLVNNFPKLFAIHFGAEDWQSRHAAVLFLGIVAEKCSKPKELKHGWNQMAGRIIRSVKEDIHPHVRWAALYTIKQLSKHLKPEFQDKYHEKVMPALTKAMDDFNNPRVQMQAYLALFDFTWNCSSSTLKPHLKEIVNKLLKQLQTLLFIMQKVNHMVQGETLKVLSAVAHSSQDHFAEYYSSVMPYLKVIMMTANEELDHKHLADSVECITMVWLAVGKDKIRSDIEMVVQLLLSLQGSKLEENDPMRSQLLQAWARLGKCLGHEFKPYMSVAIPRLLKSAKIGSYVIIPENPDDVDESDGSIRALIVGDRKIWIKTKVLEEKLTACKGLYLLADELKQGLSVWIEKVARTLVPRLKFAHSEEIRRVAASAMPVLLKSSKVATQEGYLEWSADESPFKKLYSYVVPALVKALSMESLLEITAVILDSLDECMKMSEHVLDEDQTDLFLKAIMNVLQKISSLSRSKVGAIEGINQTLPDEENGEEQKVYDKAAACLTTFIITHKNSFSPFIGKLAPCIELMWVKDRIVEERRIALHVFCDVAKQFQEEAFRRCKISLLFLFKACKDENPEVQEVAAQAIGTAAEFGGSVFKSFLKGAVSALNAVMGHPNALQMEYVMAHDTAVSALGKILQFHREKLKAEKVLRIWLGHLPLKNNLEEAKVVHRQLCSLVEVSDGELLGTQKAYLSEIVAVYAEILWAGKKLATEETVNQMIKQLKLHSRRSPPSTWRSIMLSLEPHLQKKLESILLS >Potri.007G061861.1.v4.1 pep chromosome:Pop_tri_v4:7:7008177:7010663:1 gene:Potri.007G061861.v4.1 transcript:Potri.007G061861.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061861.v4.1 MLEHFCECYSDLSGPILCPVLGSITPLFIPNSRIRPIRLIGLCASLITFLYSPAPRIQFDPSTAKSQFVESLRWLPYENIHFDLGIDGISLFFVILTTFLIPICILVGWSGMRSYGKEYITASLIREFLMIAVFRMLDLLLFYVLPESVLIPMLCGAEHLLFAGIKLFLCRGLVQ >Potri.004G225800.8.v4.1 pep chromosome:Pop_tri_v4:4:22991856:22999557:-1 gene:Potri.004G225800.v4.1 transcript:Potri.004G225800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225800.v4.1 MKGGGQSDKTKVVVRHLPPGISQPMFVEQIDVAFSGRYNWLSYRPGNNSQKHQSYSRAYIDFKRPEDVIDFAEFFNGHIFVNEKGTQFKAIVEYSPSQRVPKQWSKKDGREGTISKDPEYLEFLELIAKPVENLPSAEIQLERREAERAGAAKDAPIVTPLMDFVRQKRVAKNGPRRILSNGKLSRRAGGSGSPSSSSLKRGSEKKRISTTMYVLRDTAKSTSGKDKSTYVHVPKRDDQQLSNAVTLGSGSGTAVLEDESVSGITDSGKKKILLLKGKEKEISLVTGTMSQQQSISSSDRNIISSTALKSQRRETSGRMIRSILLNKDSRHIRSSGVHSEPQMQTSNLEKEKRPPRPPHAQLGLKDANGTPDDKVVGNDLHGFPNEKQEKRTRNKDRPDRGVWTPLRRSDGSYASDESLLSSASQSTQSVFDSSQGNHGDVKVDSLNLRSGEVKVLGSGRGNHSSLDNGSHKHFGRRGPSHIVRDADGSTVEAKTPKRGGSSGYGSHEKQVWVQKSTGS >Potri.004G225800.11.v4.1 pep chromosome:Pop_tri_v4:4:22991856:22999544:-1 gene:Potri.004G225800.v4.1 transcript:Potri.004G225800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225800.v4.1 MKGGGQSDKTKVVVRHLPPGISQPMFVEQIDVAFSGRYNWLSYRPGNNSQKHQSYSRAYIDFKRPEDVIDFAEFFNGHIFVNEKGTQFKAIVEYSPSQRVPKQWSKKDGREGTISKDPEYLEFLELIAKPVENLPSAEIQLERREAERAGAAKDAPIVTPLMDFVRQKRVAKNGPRRILSNGKLSRRAGGSGSPSSSSLKRGSEKKRISTTMYVLRDTAKSTSGKDKSTYVHVPKRDDQQLSNAVTLGSGSGTAVLEDESVVSGITDSGKKKILLLKGKEKEISLVTGTMSQQQSISSSDRNIISSTALKSQRRETSGRMIRSILLNKDSRHIRSSGVHSEPQMQTSNLEKEKRPPRPPHAQLGLKDANGTPDDKVVGNDLHGFPNEKQEKRTRNKDRPDRGVWTPLRRSDGSYASDESLLSSASQSTQSVFDSSQGNHGDVKVDSLNLRSGEVKVLGSGRGSHKHFGRRGPSHIVRDADGSTVEAKTPKRGGSSGYGSHEKQVWVQKSTGS >Potri.004G225800.10.v4.1 pep chromosome:Pop_tri_v4:4:22991856:22999557:-1 gene:Potri.004G225800.v4.1 transcript:Potri.004G225800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225800.v4.1 MKGGGQSDKTKVVVRHLPPGISQPMFVEQIDVAFSGRYNWLSYRPGNNSQKHQSYSRAYIDFKRPEDVIDFAEFFNGHIFVNEKGTQFKAIVEYSPSQRVPKQWSKKDGREGTISKDPEYLEFLELIAKPVENLPSAEIQLERREAERAGAAKDAPIVTPLMDFVRQKRVAKNGPRRILSNGKLSRRAGGSGSPSSSSLKRGSEKKRISTTMYVLRDTAKSTSGKDKSTYVHVPKRDDQQLSNAVTLGSGSGTAVLEDESVVSGITDSGKKKILLLKGKEKEISLVTGTMSQQQSISSSDRNIISSTALKSQRRETSGRMIRSILLNKDSRHIRSSGVHSEPQMQTSNLEKEKRPPRPPHAQLGLKDANGTPDDKVVGNDLHGFPNEKQEKRTRNKDRPDRGVWTPLRRSDGSYASDESLLSSASQSTQSVFDSSQGNHGDVKVDSLNLRSGEVKVLGSGRGNHSSLDNGSHKHFGRRGPSHIVRDADGSTVEAKTPKRGGSSGYGSHEKQVWVQKSTGS >Potri.001G276200.7.v4.1 pep chromosome:Pop_tri_v4:1:28981383:28984009:-1 gene:Potri.001G276200.v4.1 transcript:Potri.001G276200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276200.v4.1 MVGLRDVFVVAPAAPFNHHHHHHHQHHEQINLSTADPINASNATALGVGVGVGVIPLLTSAPCLTPQNMDDQDLLHNGRNKISGIHQFWQNQGTQYIKKASDTTHSIIDHHNNSSTANFLLQSGNGGGNGSGNLGGNSSSSATTTCEDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVAAARRRERQLIATAGGGAGSTGSTSGSKKPRLINSQATTTSHTSTSNTTPPRSYDTSSSHQGFKERLPVQVTAPAVFRCVRVTAVEDGEDQYAYQAVVKIGGHVFKGFLYDQGVETRDGFPNISELHLGAANGGGGGGAGRHGASSSPILDPSDVYGASAGGLLGGSAFGNPIN >Potri.001G276200.6.v4.1 pep chromosome:Pop_tri_v4:1:28981382:28984054:-1 gene:Potri.001G276200.v4.1 transcript:Potri.001G276200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276200.v4.1 MVGLRDVFVVAPAAPFNHHHHHHHQHHEQINLSTADPINASNATALGVGVGVGVIPLLTSAPCLTPQNMDDQDLLHNGRNKISGIHQFWQNQGTQYIKKASDTTHSIIDHHNNSSTANFLLQSGNGGGNGSGNLGGNSSSSATTTCEDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVAAARRRERQLIATAGGGAGSTGSTSGSKKPRLINSQATTTSHTSTSNTTPPRSYDTSSSHQDAGFKERLPVQVTAPAVFRCVRVTAVEDGEDQYAYQAVVKIGGHVFKGFLYDQGVETRDGFPNISELHLGAANGGGGGGAGRHGASSSPILDPSDVYGASAGGLLGGSAFGNPIN >Potri.001G276200.3.v4.1 pep chromosome:Pop_tri_v4:1:28981195:28984009:-1 gene:Potri.001G276200.v4.1 transcript:Potri.001G276200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276200.v4.1 MVGLRDVFVVAPAAPFNHHHHHHHQHHEQINLSTADPINASNATALGVGVGVGVIPLLTSAPCLTPQNMDDQDLLHNGRNKISGIHQFWQNQGTQYIKKASDTTHSIIDHHNNSSTANFLLQSGNGGGNGSGNLGGNSSSSATTTCEDCGNQAKKDCSHRRCRTCCKSRGFDCATHVKSTWVAAARRRERQLIATAGGGAGSTGSTSGSKKPRLINSQATTTSHTSTSNTTPPRSYDTSSSHQDAGFKERLPVQVTAPAVFRCVRVTAVEDGEDQYAYQAVVKIGGHVFKGFLYDQGVETRDGFPNISELHLGAANGGGGGGAGRHGASSSPILDPSDVYGASAGGLLGGSAFGNPIN >Potri.016G124000.2.v4.1 pep chromosome:Pop_tri_v4:16:12825558:12826452:1 gene:Potri.016G124000.v4.1 transcript:Potri.016G124000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G124000.v4.1 MEDGVTIAESLLHLEEEEDDDEKVNLRESGEDRPSGSLLNHIFSNLVSRGEADHEEKGGKEENGENRGGLLDNIISNLVSPSSKKEQHEVSQARDGGGAAEDQAQKKQKVTVVDEESEKVKAEEEGGAGIIDHIVSHFLTSLPGAYIKKLMLLSCLY >Potri.001G087200.3.v4.1 pep chromosome:Pop_tri_v4:1:6946715:6947258:-1 gene:Potri.001G087200.v4.1 transcript:Potri.001G087200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087200.v4.1 MENSNDNINTAITTTTNSSIVSVENLNNVANWVSATVISAFFSSLERFSCVNVATMDPDEDDDEAQDRPLALSTNQHLQHNDVANLPV >Potri.018G102700.1.v4.1 pep chromosome:Pop_tri_v4:18:12245528:12249276:1 gene:Potri.018G102700.v4.1 transcript:Potri.018G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102700.v4.1 MASLTVLSFNLKSCPLHSFSTRVSYGSAQLAPLNKVNSSLRLSSCRNISGSRSLSPLKPCSITSLTQRFQSLTVFAAKGYKMKTHKASAKRFRVTGKGKIVRRRAGKQHLLAKKNTKRKLRLSKMHPVSRSDYDNVIGALPYLKVNRKAT >Potri.017G018100.1.v4.1 pep chromosome:Pop_tri_v4:17:1332785:1333027:-1 gene:Potri.017G018100.v4.1 transcript:Potri.017G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018100.v4.1 MDSKKVTLLLMVALVFVATIAPSALAMRQFPLLMTSNILLQDESNLGCISSGGWCFPNPKNCCGNCGCLYPIGICFGSDC >Potri.010G106100.2.v4.1 pep chromosome:Pop_tri_v4:10:12738878:12744429:-1 gene:Potri.010G106100.v4.1 transcript:Potri.010G106100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106100.v4.1 MSEVSGEGTAVLEVNGGGVATTMTPLTVSGSFKEGPKSSSRRRASVRPSFDADNEFMTLLHGSDPVKVELNRLENEVRDKDRELGDAQAEIKALRLSERLREKAVEELTDELSKVEEKLKLTESLLESKNLEIKKINDEKKASMAAQFAAEATLRRVHAAQKDDDMPPIEAILAPLEAELKLARQEIAKLQDDNKALDRLTKSKEAALIEAERTVQVALAKASMVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLTQTVRELEEAVLAGGAAANAVRDYQRKVQEMNEERKTLDRELARAKVTANRVATVVANEWKDANDKVMPVKQWLDERRFLQGEMQQLRDKLAITERAAKSEAQLKEKYQLRLKVLEESLRGSSSSNRSAPEGRCISNGPPRRQSLGGADNISKLTSNGFLSKRTSQSRSLSSSTSSVLKHAKGTSKSFDGGTRSLDRSSKLLLNGAGQNHSFNQPCDGTKETETPNSWKGNSDEKPTEFPPADTEDSVPGILYDMLQKEVVVLRKAGHEKDQSLKDKDDAIEMLAKKVDTLTKAMEVEAKKMRREVAAMEKEVAAMRVEKEHENRAKRFSNLKGPVSAAQILPGRSVSRSGLTRSTQ >Potri.009G155500.5.v4.1 pep chromosome:Pop_tri_v4:9:12149768:12154035:-1 gene:Potri.009G155500.v4.1 transcript:Potri.009G155500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155500.v4.1 MAKKEKRKAKIKVIEEEEEKPKKFVAEVSSDDEEANEDLSLKIVEKSLLMRATRLAENGNGFVVLDDDGGGGGGRDGGAVEIELPSSMEAESASAGSRGVKKRKKRKNVEKKMEVSVVIAKEEEEVDKIEEAETVDNAEIMEKAEKSEKVEVDEAAELVEDGGANAVEDSVNIVLRKLLRGPRYFDTLDSGWSNCYNCGEEGHMAVNCPTFTKKIKPCFVCGSLEHGAKQCTKGQDCFICKESGHRARDCPEKYKGTHQSSKICLKCGGSGHEMLSCMNDYSVDDLKEIQCYICKSFGHLCCFTSGDDGSRQVSCYRCGELGHTGLDCGRLHEEASMIESPSSCYRCGEGGHFARECTSSARGGRRNRELLTLTLKAHRENKESLGIKSAPHDLVKARKKRKTKSEEKGSTTPQKSKHKGRHIAEHLTNSSQSTPKKSKHRGGWIMEDPGDVSKSTPKQSKHRGGWITEDPGDVSKSTPKKSKHKGGWITDDPGDVSWSNSMNHFNSPSTPSYKGCKSSPMTSGHHMSSSQTFKKNNWSHSGTSAFQGSATPYQHRYSASRFGNPGHAYSNSGHAYSNPRHAYSHSGPGYNNSGHAHNNSRYAYNNFRHAQDNSGYGHNNSGHA >Potri.009G155500.6.v4.1 pep chromosome:Pop_tri_v4:9:12149781:12154054:-1 gene:Potri.009G155500.v4.1 transcript:Potri.009G155500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155500.v4.1 MAKKEKRKAKIKVIEEEEEKPKKFVAEVSSDDEEANEDLSLKIVEKSLLMRATRLAENGNGFVVLDDDGGGGGGRDGGAVEIELPSSMEAESASAGSRGVKKRKKRKNVEKKMEVSVVIAKEEEEVDKIEEAETVDNAEIMEKAEKSEKVEVDEAAELVEDGGANAVEDSVNIVLRKLLRGPRYFDTLDSGWSNCYNCGEEGHMAVNCPTFTKKIKPCFVCGSLEHGAKQCTKGQDCFICKESGHRARDCPEKYKGTHQSSKICLKCGGSGHEMLSCMNDYSVDDLKEIQCYICKSFGHLCCFTSGDDGSRQVSCYRCGELGHTGLDCGRLHEEASMIESPSSCYRCGEGGHFARECTSSARGGRRNRELLTLTLKAHRENKESLGIKSAPHDLVKARKKRKTKSEEKGSTTPQKSKHKGRHIAEHLTNSSQSTPKKSKHRGGWIMEDPGDVSKSTPKQSKHRGGWITEDPGDVSKSTPKKSKHKGGWITDDPGDVSWSNSMNHFNSPSTPSYKGCKSSPMTSGHHMSSSQTFKKNNWSHSGTSAFQGSATPYQHRYSASRFGNPGHAYSNSGHAYSNPRHAYSHSGPGYNNSGHAHNNSRYAYNNFRHAQDNSGYGHNNSGHA >Potri.019G053500.2.v4.1 pep chromosome:Pop_tri_v4:19:8998223:8998786:1 gene:Potri.019G053500.v4.1 transcript:Potri.019G053500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053500.v4.1 MTGKAKPKKHTAKEIAAKVDAATTNRGGGKAGQADRTGQEKGGHAKYECPHCKITAPDLKTMQIHHDARHPKLPFEEDKLSNLHAVHVADSSKPRPGVKGSHKK >Potri.019G053500.1.v4.1 pep chromosome:Pop_tri_v4:19:8998299:8999508:1 gene:Potri.019G053500.v4.1 transcript:Potri.019G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053500.v4.1 MTGKAKPKKHTAKEIAAKVDAATTNRGGGKAGQADRTGQEKGGHAKYECPHCKITAPDLKTMQIHHDARHPKLPFEEDKLSNLHAVHVADSSKPRPGVKGSHKNNFGEGSSKSS >Potri.004G106400.4.v4.1 pep chromosome:Pop_tri_v4:4:9349632:9352107:1 gene:Potri.004G106400.v4.1 transcript:Potri.004G106400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106400.v4.1 MAPKLLPPKQLQNLRFFITRSLHTSSSSSSSSISTSAAVSPLNLAEKPEPAFQKPLTAIQDHAKPSSILDFHDHQKLFSNLPTTKLLHASLNLYLASISPMVDFGMWVMNSRLMETDNILRAAMIKTVRHTFFEHFCAGEDVVEAGRCIKKVNESGLRGMLVFAVEYTGDNDACDQNLKGFLDTVQSAMSLPPSSVSSVVVKITAICPISLLERVSDLLRWQQRYPSFNLPWKQNSFPLFSDSSPLYHTLKKPEPLTPQEEHDLQLGQERLWKLCEKSVQANIPLTVDAEKTAIQPAIDYLTYSAAIKYNKDDNPIVYGTIQAYLKDAKERLLLATKAADKMRVPMGFKVVRGAYMSSESKLASALGYDSPIHNSIQETHACYNDCASFMLEKIANSSDAVILATHNVESGRLAATKALDLGIRKGNPKLEFAQLYGMSDALSFGLSNAGFLVSKYMPYGPVEKVIPYLLRRAEENRGLLSTSSIDKELMRKELKRRLKAAIF >Potri.003G051900.4.v4.1 pep chromosome:Pop_tri_v4:3:7620051:7621736:-1 gene:Potri.003G051900.v4.1 transcript:Potri.003G051900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051900.v4.1 MEDNPVQSSNRWLEDLSNGLGHQLEAITLEGLKIVKAHKGFILCNFVVSNRISDADGNWHVGSMATLIDDVGAAAIYSYGGHVKASVDLNISFLSTAKIQEEVEVEAKVVGDKGRITSVLVEVRRKSNGELIALGKQWMASHNNSNKASKL >Potri.016G094033.2.v4.1 pep chromosome:Pop_tri_v4:16:8460795:8461308:1 gene:Potri.016G094033.v4.1 transcript:Potri.016G094033.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G094033.v4.1 MTILNNLPSIFVPLVGLVFLAIAMASLSLHVQKTRFFRSDGGKFHLFFFSRLRLGS >Potri.017G044600.12.v4.1 pep chromosome:Pop_tri_v4:17:3148515:3150035:-1 gene:Potri.017G044600.v4.1 transcript:Potri.017G044600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044600.v4.1 MPWMPESSIRFPSQTKPAESPRSQTQQRNPEPTWRQPQQKPIEPPKQPTPLKAATELNKQPTPSKAPNEPTRQPTPLKAATELNKLSTPSKAPSEPPPLKSSTEPNKQSTLSKIPTEPTRPEVVIGLSRSAPKPSATDSRQAMKHTTTFTAAAATGTKHANASEPELSSFWTACPYCYILYEYPKAYEECILRCQSCRRAFHAVMVPAPPVTGKDTYFCCWGFFPLGFSGNNEKVGNEFGSNWSPFSAMFSTPFPAGGAVGSGGQMYNKLKQKVIYKDDVYIDISDTSEDESDSGDEWGVKKRKKAKNAKGKGTPNKHAKKSQNERLKKGVGAGAGTENIQNEDGDGGGSVPEEVVEKGEGSCGKRKAAKDLGKLDLNVMFSNEVDEAAPGPSQRNGPGHGEEDNIEGIGFFEGLDEFLSTLPILSVVGDDKVKAT >Potri.017G044600.10.v4.1 pep chromosome:Pop_tri_v4:17:3148519:3150530:-1 gene:Potri.017G044600.v4.1 transcript:Potri.017G044600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044600.v4.1 MDGSSSGGGNGYRVEAERWLTISEKLLAARDLHGAKSFAIRARESDPRLYEFSDQIIAVADTLLAGELRVENNHHYDYYMILQLGRLTQDLELITDQYRKLALLLNPTRNRLLFADQAFKLVSEAWLVLSNPAKKAMYDHELQLSQLGLLVTQQPPPPPFQQQPPSNPEPIRPVPQFSMPWMPESSIRFPSQTKPAESPRSQTQQRNPEPTWRQPQQKPIEPPKQPTPLKAATELNKQPTPSKAPNEPTRQPTPLKAATELNKLSTPSKAPSEPPPLKSSTEPNKQSTLSKIPTEPTRPEVVIGLSRSAPKPSATDSRQAMKHTTTFTAAAATGTKHANASEPELSSFWTACPYCYILYEYPKAYEECILRCQSCRRAFHAVMVPAPPVTGKDTYFCCWGFFPLGFSGNNEKVGNEFGSNWSPFSAMFSTPFPAGGAVGSGGQMYNKLKQKVIYKDDVYIDISDTSEDESDSGDEWGVKKRKKAKNAKGKGTPNKHAKKSQNERLKKGVGAGAGTENIQNEDGDGGGSVPEEVVEKGEGSCGKRKAAKDLGKLDLNVMFSNEVDEAAPGPSQRNGPGHGEEDNIEGIGFFEGLDEFLSTLPILSVVGDDKVKAT >Potri.017G044600.11.v4.1 pep chromosome:Pop_tri_v4:17:3148516:3150476:-1 gene:Potri.017G044600.v4.1 transcript:Potri.017G044600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044600.v4.1 MILQLGRLTQDLELITDQYRKLALLLNPTRNRLLFADQAFKLVSEAWLVLSNPAKKAMYDHELQLSQLGLLVTQQPPPPPFQQQPPSNPEPIRPVPQFSMPWMPESSIRFPSQTKPAESPRSQTQQRNPEPTWRQPQQKPIEPPKQPTPLKAATELNKQPTPSKAPNEPTRQPTPLKAATELNKLSTPSKAPSEPPPLKSSTEPNKQSTLSKIPTEPTRPEVVIGLSRSAPKPSATDSRQAMKHTTTFTAAAATGTKHANASEPELSSFWTACPYCYILYEYPKAYEECILRCQSCRRAFHAVMVPAPPVTGKDTYFCCWGFFPLGFSGNNEKVGNEFGSNWSPFSAMFSTPFPAGGAVGSGGQMYNKLKQKVIYKDDVYIDISDTSEDESDSGDEWGVKKRKKAKNAKGKGTPNKHAKKSQNERLKKGVGAGAGTENIQNEDGDGGGSVPEEVVEKGEGSCGKRKAAKDLGKLDLNVMFSNEVDEAAPGPSQRNGPGHGEEDNIEGIGFFEGLDEFLSTLPILSVVGDDKVKAT >Potri.016G076500.1.v4.1 pep chromosome:Pop_tri_v4:16:5768382:5770097:-1 gene:Potri.016G076500.v4.1 transcript:Potri.016G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076500.v4.1 MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRAKRELWRVQYALSRIRNAARMLLTLDEKNSRRIFEGEALLRRMNRYGLLEESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIKQKHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKSAAKKASGGDGDEEDEE >Potri.016G076500.3.v4.1 pep chromosome:Pop_tri_v4:16:5768311:5770018:-1 gene:Potri.016G076500.v4.1 transcript:Potri.016G076500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076500.v4.1 MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRAKRELWRVQYALSRIRNAARMLLTLDEKNSRRIFEGEALLRRMNRYGLLEESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIKQKHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKSAAKKASGGDGDEEDEE >Potri.013G056500.1.v4.1 pep chromosome:Pop_tri_v4:13:4124890:4126830:-1 gene:Potri.013G056500.v4.1 transcript:Potri.013G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056500.v4.1 MRNLSSRNSTRSRNTPTPCCSKVGIKRGPWTPEEDEILANYIKKDGEGRWRTLPKRAGLLRCGKSCRLRWMNYLRPSVKRGRIAPDEEDLILRLHRLLGNRWSLIAGRIPGRTDNEIKNYWNTHLSKKLISQGIDPRTHKPLYPNPNSSEIANIAPIQNCILNSFPLEANGGVYRATATRENENFTMTNLDQFPNQVIDDGAKNWPSCDGFNKGLQSHHEQNKEEDYIGNENEDTFSLFLDSLINENVFVYQQQQQQLQQPKIIGPSGEPMISSSQAIHHSSISEAEVAYSMAAFGEKDGVLNSHDLA >Potri.003G110700.1.v4.1 pep chromosome:Pop_tri_v4:3:13296397:13299106:1 gene:Potri.003G110700.v4.1 transcript:Potri.003G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110700.v4.1 MGKLGCGIDGNLNEAKFSEPMPWIGLYIAAASLACALAMAVDFIRGFRRRKFWFPSKYFSINATSLTIIAVAVKLSVDLNTAMPRRVDQLAKLSSGALLCTVMGNSMPSLGAMDNSDLCTNMIALGILVITVIVNIGIQLGTGVIYLYWKEHVFIMFLMLILLLILSFSALTVPINNKYFQYKYNKKYDMALKEDSNETSKREGKELKEELMKFWMMAHTCSPQFVVGRSVTCSASGAFCLLGAMTLAEAMLRSYLMPRSFKFCTGESEYKWSTIVVLITQTIAVGVGTIAPAIRWFSALNFGCPTRRKKSSKRIFTVERYWIQLLVEMKECPLAIRIQDRFCRKLAHYVNNKLVDLCLGMQTGIVLGSKVIQFISVYSMIWMLSLSDHCKKLRTMKPDNSISSVSGSESRSSTKPDLSRFVLHLEGEDELVELMMKKNFDTTDHWLRRGKRKQPKHLMELLEKSTVAEGFKGVKEFDSDLVLSLDCDEPPNCWALPVVTLTAIAVALPDVSGGLMKQLMLSVHEGLMYVRLIEDNLDAKGELINIRKAANVVWLGVDLDNKWLDVDLRKLSVQAESTKEILEKLSDVAKTRFVECKKIFMNQCLKERPSKWPIKVLAANSMYRISQTLLQNCESRNDLVDERLFEALTVMISDILGACLTNLRPVIFHCLSRAVIEREYCVRRAVHILGKTEKIRKLLDQRPISTLDPDRMACIDEWRSLNDLKTSSPFIPSSSAKSETVFSTSSDLYLTME >Potri.004G036200.1.v4.1 pep chromosome:Pop_tri_v4:4:2835684:2837594:-1 gene:Potri.004G036200.v4.1 transcript:Potri.004G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AADC3 MNTAESVPKNPSSCSMSPLNLEEFRRQGYMAIDFIADYYQNIEKHPVLSQVEPGYLKNLFPKSAPYSPEPIETILHDVQKHIVPGITHWQSPSYFGYFPSSASTAGFLGEMLCTGFNVVGFDWMSSPAATELENIVMEWLGEMLTLPKCFLFAGNGGGVIQGTTCEAILCTLVAARDRMLSQIGKDNIGKLVVYGSNQTHSALQKAAHVAGIHKTNCRAIETTKSTSFALSPESLRLAICLDIESGLVPMFLCATIGTTATTAVDPLRPLCDVANSYGLWVHVDAAYAGNACICPEFQHFLDGVEGAHSLSLNAHKWFFTTLDCCCLWLKDPKALTKSLSTNPEYLMSNHATNSEQVVNYKDWQIALSRRFRSMKLWLVLRSYGVGNLRSFLRSHVKMAQLFEDLVASDKRFEVVVPRNFAMVCFRALPLAISKDVSENGMAVNGQKISYDQEYCSNQLNQELLKSINASGHVYLTHAVVAGLYIIRFAVGATLTEDRHVFTAWKVVQEHLDAITGM >Potri.016G020100.1.v4.1 pep chromosome:Pop_tri_v4:16:1072302:1080120:1 gene:Potri.016G020100.v4.1 transcript:Potri.016G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020100.v4.1 MERSDGKDFKEFTESSSGALFDASQYEFFGQHAVEEVELGGLEDEGENLLLGPTNDEYRLFDRDEGVCLGSLSEIDDLASTFAKLNRVVTGPRNPGVIGDRGSGSFSRESSSATDWAQDGEFTSWLDQQMFNAENSQDSKRWSSQPQPSSACFSESKPLYRTSSYPQQPQQLQHFSSEPIPVPKSNFTSFPPPGCSPHHLNVASRAGGLQSHLSAPNLSPLSNSNLHLAGLQHGLHYGGNLAQITSPGLSFNNRPQKHWVNHAGLLHVDQSRLLQSILQQQLSHQNGLLSSQLMSPQQQLQQQRLHPSVQPSLAHFAAMQSQLFNAHPSSLHIREQKHKSSQRNRRFSQGSDTSSQKSDGGWVQFRSKHMTADEIESILKMQHAATHSNDPYIDDYYHQASLAKRSTGSRTKNNFCPSHLKELPSRSRNSADQHSHLHFDALGKMPLPSIRRPHPLLEVDPPGSGDGNSEQMSERPLEQEPMLAARITIEDSLSLLLDVDDIDRFLQCNQPQDGGAQLRRRRQNLLEGLAASLQLVDPLGQTGKTAGLASKDDIVFLRLVSLPKGRKLICKFLQLLYPGSELTRVVCMAIFRHLRFLFGGIPSDTGAADTTTNLTKTVSACVSGMDLHALSACLVAIVCSSEQPPFRPLGSPAGDGATVILKCLLERASKLLHGPQASANCGMPNFALWQASFDEFFDLLMKYCLIKYDTILQSVYAKTPPSAEGIDSEVRAATKREMPVELLRACLPHTNERQMELLRHFGQQRNTITGLSAHPGNSGHINSESVRS >Potri.010G172300.4.v4.1 pep chromosome:Pop_tri_v4:10:17329387:17337898:-1 gene:Potri.010G172300.v4.1 transcript:Potri.010G172300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172300.v4.1 MATSFDYWNDCVDVQDMEAMWQEPEVSTEWLDAGETKGNKVHLSRDPDGEPYLTQTEMKAVADIIVRRHFDSQIQPDMICAVAELASDRQPLSTRWYDKKTKETALGIMQILPKTAEWLVRDLGYQAYEVEGNPDILYRPFVSVYFGAAYIKWLSNFEEEERSEEFVVRAYNGGTKKATHKSTLQYWKRYLSVKESLPSRRFVDEGPSVNNAHSSTAQAAPATQNTNAPSSEKTGVDYIYWDSKASPEEMQEMWSRSEVAKEWTKSGEKRGKVRFSHDKDMKPYLSRVEMKAVADIILSKHFSTRGVKPSVICALAEMVSMRFVNGVGPRIGLMGIDYSTAFWLYMELGYRAYRLDSAGDLTKPFVSMYFGAAYLAWLSEYEGRERTPQFVVPAYLSGPKNVNHQETGPLWLKFEQALSNYEDIKRDPGNCTIL >Potri.013G117700.1.v4.1 pep chromosome:Pop_tri_v4:13:12619677:12621708:-1 gene:Potri.013G117700.v4.1 transcript:Potri.013G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117700.v4.1 MELPRIAPNSGSLRARYIIETKNLSYKLCGQIDELNWLLWKKTADDNAYILRKVNCEARPGEIMAIAGPSGAGKSTLLEILAGVIPPSRVSGEVLVNGQPINARCFRRLSGYVAQDEALFPLLTVKETLMYSARLRLPGSFHTAAAIVQELLKQLGLEHVANVRIGSESNRGISGGEKRRVSVGVDLVHDPGVLLIDEPTSGLDSASALNIILLLKSMAIKQGKTIVLTIHQPGFRILELFDKILLLSNGTVHHHGSLLLLEQRLRFAGHFIPRHVNVLEFAIEMTESLAMEDSEARETENSAVAQDEELTRRNPNRYTNIEETIYANGRFKEVLILGQRFSHIICRTNQLFAARILQAVLGGVVLGTIFMDVMNDSKRHKLQTQIGFFAYSLAFLLSSTTEGLPIFLQERRILKRETSKGAYRVSSYVVSNTLVFLPFLLVVALLYSTPVYWLVGLRRTMDGFLYFLLVVWMVVLMSNSFVACFSALVPNFIMGTSLIAGLVGSFFLFSGYFIAKDDMPKYWIFMHYLSLFKYPFECFMINEYGGEEGKRKCLKNIEGSCYLYGEEFLKQQGVEESNKWSNLAVMTGFILGYRLLCFLILLCRSYRTRI >Potri.006G027100.2.v4.1 pep chromosome:Pop_tri_v4:6:1762340:1769023:1 gene:Potri.006G027100.v4.1 transcript:Potri.006G027100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027100.v4.1 MATTTEAQSNNEITKSVFDLGAFVGDLGSIEDDAASDDISLEGLEQELEECRNDDVVTNILSKGTKLRDHTKGVENNLRQVELDSIQDYIKESDNLVSLHEQIRDCDIILSQMETLLSGFQGEIGSISSDIKILQEKSMDMGLKLKNRKAAESKLAKFVEDIIVPPRMVDIIVDGEVNEEYFRTLEILSKKLKFVEADQLIKGSKALKDVQPELEKLRQKAVTKVFDFIVQKLYALRKPKTNIQILQQSVLLKNKYVISFLKEHAKEVYIEVRAAYVDTMNKVLSAHFRAYIQALEKLQLDIATSSDLIGVETTRSTGLFSTARGPLKNKSAVFALGERINILKDMDQPALIPHIAEAGSQKYPYEVLFRSLHKLLMDTATSEYLFCDDFFGEESIFYEIFAGPFAVVDEHFNSILPNCYDAIGLMLMIRIIHQHQLIMSRRRIPCLDSYLDKVNIALWPRFKMVFDLHLSSLRNANVKTLWEDDVHPHYVMRRYAEFTASLIHLNVEYGDGQLELNMERLRMAIDDLLMKLAKTFPKPKPQIVFLINNYDMTIAVLKEAGSEGGKIQLHFEELLKSNTSMFVEELLLEHFSDLIKFVKSRASEEPGSGTEKPITVAEVETIVKDFASRWKAAIELMHKDVITSFSNFLCGMEILRAALTQLLLYYTRLSDTIKKIVGGSALNKDLVSISSIMYEIRKYSRTF >Potri.008G105500.1.v4.1 pep chromosome:Pop_tri_v4:8:6669531:6672777:1 gene:Potri.008G105500.v4.1 transcript:Potri.008G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105500.v4.1 MKCNSHILFSLIVLSIGLNTIPAVSKYSKSGSGSYVLACGASGSGTDSDGRNWQSDANYIISSGNSTAATAQNQDPSLPSTIPYMTARIFSSESTYKFSVPKKGRLWVRLHFYPSTYSSLDPNTSYFSVTANTFTLLNNFSASVTAQALTQAFIVREFSLIPIDSGTLNLTFTPSSNYDNAYAFVNGIEVIPMPDIYQPAALVGFSDQTLDVGSSTLQTMFRLNVGGRFIPAIKDSGLTRTWYDDTPYLFGAGSGVTSQANISIQYPTDNLPKSIAPLDVYSTARSMGPDSKVNQNYNLTWIFQVDPNFTYAFRFHFCEYQETKVNQRVFDIYVNNQTAQEGADVIGWAGSQGVPIYKDYAVYVGDRSGDDDLWVALHPSVSMKPEYYDAILNGLEIFKLNDSRGNLAGPNPVPSLMMLQAEAKKGFSPSGSSFVPVIGGILGGSAGIAIAALISIFVYRKMSCDHGNQYGSSANWLPLYGHSHTSASRSTISGKSNCSSHLSTLAQGLCRHFSLPDIKHATKNFDESQVIGVGGFGKVYKGIIDQGIAVAIKRSNPSSEQGVHEFQTEIEMLSKLRHKHLVSLIGFCEEDGEMVLVYDYMANGTLREHLYKGNNPALSWKQRLEICIGAARGLHYLHTGARYTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPNLNQTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPALNPSLPKEQVSLADWALHCQKKGTLWDIVDPYIKGDINPECYNKFAETAEKCLADHGYNRPSMGDVLWNLEYSLQLQDNPAGSGLIADSTTNDTDANHREIAGTEETGREGTDEPYTREIFSTVNPKGR >Potri.012G042100.1.v4.1 pep chromosome:Pop_tri_v4:12:3747827:3754933:-1 gene:Potri.012G042100.v4.1 transcript:Potri.012G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042100.v4.1 MSQKRIFDKQSLNLIPDLSLHISLPNSAPSSICTGTNDSDSAFDIWLKDDGLKSHSDSSIRVGSQADTELSLANPTNTALEAESPWRRNFCGSGTGSVEGHHGQARQRNLLLQCSGNGQMSHINHGISILDVTGLKPIKGIPVYNSWNSSGDIDPRFSLNQMPYSPSCTPYSSSNPSADHHKSPFQAYRKDTAAPRFNGMSMDTLRAPQYHQYGAAGVGSGPGAEVYGSGMIRSRFMPKLQNKRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKSTDCRPAASSDGSGDEDFMPGTACFNQNANYLLTQKGGSNVPNLQHDNGFSHSPTTLWSNSSSKGGGWHNSSRDLDGLKGEALSSQQVSGNKLDGVGFAQSRSFTGFNQELKNPILEISLGRPEYWQNEEHE >Potri.012G042100.2.v4.1 pep chromosome:Pop_tri_v4:12:3747865:3754944:-1 gene:Potri.012G042100.v4.1 transcript:Potri.012G042100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042100.v4.1 MSQKRIFDKQSLNLIPDLSLHISLPNSAPSSICTGTNDSDSAFDIWLKDDGLKSHSDSSIRVGSQADTELSLANPTNTALEAESPWRRNFCGSGTGSVEGHHGQARQRNLLLQCSGNGQMSHINHGISILDVTGLKPIKGIPVYNSWNSSGDIDPRFSLNQMPYSPSCTPYSSSNPSADHHKSPFQAYRKDTAAPRFNGMSMDTLRAPQYHQYGAAGVGSGPGAEVYGSGMIRSRFMPKLQNKRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKSTDCRPAASSDGSGDEDFMPGTACFNQNANYLLTQKGGSNVPNLQHDNGFSHSPTTLWSNSSSKGGGWHNSSRDLDGLKGEALSSQQVSGNKLDVSI >Potri.012G042100.3.v4.1 pep chromosome:Pop_tri_v4:12:3750962:3754998:-1 gene:Potri.012G042100.v4.1 transcript:Potri.012G042100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042100.v4.1 MSQKRIFDKQSLNLIPDLSLHISLPNSAPSSICTGTNDSDSAFDIWLKDDGLKSHSDSSIRVGSQADTELSLANPTNTALEAESPWRRNFCGSGTGSVEGHHGQARQRNLLLQCSGNGQMSHINHGISILDVTGLKPIKGIPVYNSWNSSGDIDPRFSLNQMPYSPSCTPYSSSNPSADHHKSPFQAYRKDTAAPRFNGMSMDTLRAPQYHQYGAAGVGSGPGAEVYGSGMIRSRFMPKLQNKRSMRAPRMRWTSSLHARFVHAVELLGGHERATPKSVLELMDVKDLTLSHVKSHLQMYRTVKSTDCRPAASSDGSGDEDFMPGTACFNQNANYLLTQKGGSNVPNLQHDNGFSHSPTTLWSNSSR >Potri.002G151400.3.v4.1 pep chromosome:Pop_tri_v4:2:11568398:11572447:-1 gene:Potri.002G151400.v4.1 transcript:Potri.002G151400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151400.v4.1 MALKRGFSISGLNKNRRGGSRLPIVVVIFFCVLSPLIFFVGRGLYTTSSSTDQNLNAVGSGKQHLNWRERLALLHVKSIFSKEVIDVITSSTTDLGPLSLDSFRKNNLSASWKVIGIDSSVEDNAASEPNQTATVVKQEAPKGKEDNISDDDSRSGDTPAKLARRQLREKRREKRAVELLRQDDEAIARLESAAIERSKLVDGAVLGKYSIWRKEMDSENSDSTVRLMRDQMIMARVYLSIAKMKRKLDLLQELQTRIKESQRVLGDSLADSDLHPSVPEKIKAMGQVLSKARELLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIDYYLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDSSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTKLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNIFDLKVWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYNLTNPLEKTWHVLGLGYNPSIDRSEIESAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYLRNCNLSE >Potri.002G151400.1.v4.1 pep chromosome:Pop_tri_v4:2:11568381:11572669:-1 gene:Potri.002G151400.v4.1 transcript:Potri.002G151400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151400.v4.1 MALKRGFSISGLNKNRRGGSRLPIVVVIFFCVLSPLIFFVGRGLYTTSSSTDQNLNAVGSGKQHLNWRERLALLHVKSIFSKEVIDVITSSTTDLGPLSLDSFRKNNLSASWKVIGIDSSVEDNAASEPNQTATVVKQEAPKGKEDNISDDDSRSGDTPAKLARRQLREKRREKRAVELLRQDDEAIARLESAAIERSKLVDGAVLGKYSIWRKEMDSENSDSTVRLMRDQMIMARVYLSIAKMKRKLDLLQELQTRIKESQRVLGDSLADSDLHPSVPEKIKAMGQVLSKARELLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLSQLAAKTVPNGIHCLSMRLTIDYYLLPLEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDSSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMKEYYFKANHPTSLSSGSSNLKYRNPKYLSMLNHLRFYLPEVYPKLDKILFLDDDIVVQKDLTKLWSVDLHGKVNGAVETCGESFHRFDKYLNFSNPHIAKNFDPNACGWAYGMNIFDLKVWKKKDITGIYHKWQNMNEDRVLWKLGTLPPGLITFYNLTNPLEKTWHVLGLGYNPSIDRSEIESAAVVHYNGNMKPWLELAMTKYRPYWTKYIKYDHPYLRNCNLSE >Potri.005G019700.1.v4.1 pep chromosome:Pop_tri_v4:5:1253726:1259587:-1 gene:Potri.005G019700.v4.1 transcript:Potri.005G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G019700.v4.1 MSNNMMEGERKRSKGGLFNLFDWNGKSRKKLFANNSELPEGLKQGKENVEKMEKLLLQAGELDDRRANLSNRGSSDFSCASSMTSDEGYGTRAPGAVARLMGLDSLPTSNVAEPSSTLVFDSRSLRAFQYDSSSPNLWSEYNPVDHLNVPYKQEKYAWNSVESRPHKVENRPSKRFQTETLPPKSAKSIPSTHHKLLSPIKNPGFTPTKNAAYIMEAAAKIIEANPKATSSGKVPSIGTSSVPLRIRDLKQKMEAAAHTTSKPQRSSESSVAKNTKGQQSDKSWSGPEGLSSSKASTSSEKGTPSSLKNKGKSVPLAAQAKSTNGQRRDGSTLKSKSIVKQKEKNEVKTNQMLKTQPRTQNTVQKRISESRTSNVLQQNNLKQNSAPNKDSSGLKNSLSNQQGRKTKSTSGSVGQSRTVKKVVVKPETVPRKMGLVMTDSEKEKTKNIARKKRSVSGDLQIDRNATPNVSFNKDEMSTKSNVVMDGNMNMAMDNRKSGMDVVSFTFSSPIKRATPSSQSSGQMLEKCSSSAIDSFGSKDHPSLKSSMSYFPGLNVMGGDVLGVLLEQKLRELTYKVESSHCNVIREETSSTSLSIFQNSSTPNVASTSSAALDKMLQVGHDKDKSDSLGYFDCILVENSQLAMNQKWQQSEDMEVQSSSSNYSETGKELKCQRTSPVSILEPSFASGSCSYLNGSSHCSTNESVGMEGETELSDSASSISTVDVVRKYTTRTCSITESKESSDWELDFMRDILVSAELNLKDFSLGQTSNVINPNLFDQLENQDQGMESNEEDYSKLARKLLFDCVSESLDFKCGQILLGSCKAWARLSTLFQRKGWLAEELYKEILGWQSMGDMMLDELVDQDMSTRYGKWLDFSIEAFEEGLEIENGILTSLVDELVSDFYPCKTLQEGRPLI >Potri.004G110640.1.v4.1 pep chromosome:Pop_tri_v4:4:9968690:9970120:1 gene:Potri.004G110640.v4.1 transcript:Potri.004G110640.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110640.v4.1 MAPQDSVKILELCQVAPAYISPESITDFSLPLTFLDIAWFKFPPAQQIIFYELTESSPTFFNLVILPRLKKSLSQTLFHFLPLAGHLVWPENSPKPILLYTPNDAISLTIAESNADLSHLSGNETRQAIESFPYIPELPTSDAKASVIALQITVFPNKGFSISIVCHHGILDGKSATTFLKAWAYICKHLEYDQQPSLPSELTPFLDRGVIKDAYGLEMIFLNQWLALTRPDTKSDSRSLKLVSNMAVSPDVVRATFQLTREDIEILRETISSQLEKVLQEELNPTKQMDYMSTFVLTCAYTVVCMVKARGGDSNRKIYFIFSADCRGRLDPPIPQNYIGNCITSQHIVIKAGVSMEECGVAMIAQRISGMIKGLEKGLFEGAKERLLELASIEPGTEIIGVTGSTRFEDYSWDFGWGRPNKVEFTGNARGGVISLARSREGTGGVEIGLALKMHEMENFVSFFVNNLKNFRRISK >Potri.014G059100.5.v4.1 pep chromosome:Pop_tri_v4:14:3800094:3803531:-1 gene:Potri.014G059100.v4.1 transcript:Potri.014G059100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059100.v4.1 MKSRSNSPFASPRPVASPRSVPQRTLGFHSHEPTGPDYTSLLNDEILLQVFSKVPVSHHVSSSLVCKRWLFIHGRLVQSIKVIDFRFVNSGRVFTRFPNLENIDIAHACIKMPRNSGILITRNNSSVYIGTKLLSDVFIEESDLLSSDLIDNGLELISKWYPNLRRIAVFGSSENGLLCVSSKCKMLQELELHCCGDMSLKGISGCRNLQVLKLIGCVDGFFNSMVSDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTIRDHRMDGGWLAALLFCENLKTLRLQSCKSIDSSPGLPEHLGSCPTLEELHLQQCQMRDKQAVKALFLICKTVREIVLQNCWGLEDEVFATATVCRRARLLSLEGCSLLTTGCLESVILNWKELERLTVISCNNIKDSEITPDLATLFSVLKELKWRPDSKSLLSAGLAGTGVGNKGGRFFKGLKV >Potri.014G059100.4.v4.1 pep chromosome:Pop_tri_v4:14:3800098:3803685:-1 gene:Potri.014G059100.v4.1 transcript:Potri.014G059100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059100.v4.1 MSLSLEEETTNPNPNINSKTLPIPIPTPTLTSLNRKTRTSSLPELLFKERALKHGTSIMKSRSNSPFASPRPVASPRSVPQRTLGFHSHEPTGPDYTSLLNDEILLQVFSKVPVSHHVSSSLVCKRWLFIHGRLVQSIKVIDFRFVNSGRVFTRFPNLENIDIAHACIKMPRNSGILITRNNSSVYIGTKLLSDVFIEESDLLSSDLIDNGLELISKWYPNLRRIAVFGSSENGLLCVSSKCKMLQELELHCCGDMSLKGISGCRNLQVLKLIGCVDGFFNSMVSDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTIRDHRMDGGWLAALLFCENLKTLRLQSCKSIDSSPGLPEHLGSCPTLEELHLQQCQMRDKQAVKALFLICKTVREIVLQNCWGLEDEVFATATVCRRARLLSLEGCSLLTTGCLESVILNWKELERLTVISCNNIKDSEITPDLATLFSVLKELKWRPDSKSLLSAGLAGTGVGNKGGRFFKGLKV >Potri.005G225300.1.v4.1 pep chromosome:Pop_tri_v4:5:22594717:22604594:1 gene:Potri.005G225300.v4.1 transcript:Potri.005G225300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225300.v4.1 MGQSLDSMTQKQSKDELLYQLAIAGNVDAVKALCSEGAILEWIDRDGKTPLIVACMDSGLYNVAKVLIEMGANVNAYRPGRHAGTPLHHAVKRGLEQTVKLLLSSGANALVRNDDCQTALDVARIKGNINIVRTIESHICYFTGWLREFYGPGFLRAFAPQFLSRKIWAAVIPQGSSNPMTPKKLELVIYPSSQDVQPRTVIALWNAEIEEPNFNRPDPELTIFDQSTKTQYKLASANEGDKQQLHWLYDACSGIPQVMLPPMYGNPPTTVPVVGHHTSAEAVGSAMAIGGGSIQSTTEDNPLHPNTHQSSEVINANGWEDPVRGDSHNRWGVTVASTHSEARSSGWMGEAPKEDHNGCAVPNMGPSGSQDHVQTRYDIPPVSETSGGNTASVPSAPSAPPIPDEELDAGPIHYPSFDFSLLDLSVPAIELGASVTSDVNKGGTSSSCIICWEAPVEGACIPCGHMAGCMTCLSEIKAKKGVCPICRSNINQVTRLYAV >Potri.005G225300.3.v4.1 pep chromosome:Pop_tri_v4:5:22590020:22604594:1 gene:Potri.005G225300.v4.1 transcript:Potri.005G225300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225300.v4.1 MTQKQSKDELLYQLAIAGNVDAVKALCSEGAILEWIDRDGKTPLIVACMDSGLYNVAKVLIEMGANVNAYRPGRHAGTPLHHAVKRGLEQTVKLLLSSGANALVRNDDCQTALDVARIKGNINIVRTIESHICYFTGWLREFYGPGFLRAFAPQFLSRKIWAAVIPQGSSNPMTPKKLELVIYPSSQDVQPRTVIALWNAEIEEPNFNRPDPELTIFDQSTKTQYKLASANEGDKQQLHWLYDACSGIPQVMLPPMYGNPPTTVPVVGHHTSAEAVGSAMAIGGGSIQSTTEDNPLHPNTHQSSEVINANGWEDPVRGDSHNRWGVTVASTHSEARSSGWMGEAPKEDHNGCAVPNMGPSGSQDHVQTRYDIPPVSETSGGNTASVPSAPSAPPIPDEELDAGPIHYPSFDFSLLDLSVPAIELGASVTSDVNKGGTSSSCIICWEAPVEGACIPCGHMAGCMTCLSEIKAKKGVCPICRSNINQVTRLYAV >Potri.005G225300.2.v4.1 pep chromosome:Pop_tri_v4:5:22590021:22604240:1 gene:Potri.005G225300.v4.1 transcript:Potri.005G225300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225300.v4.1 MGQSLDSMTQKQSKDELLYQLAIAGNVDAVKALCSEGAILEWIDRDGKTPLIVACMDSGLYNVAKVLIEMGANVNAYRPGRHAGTPLHHAVKRGLEQTVKLLLSSGANALVRNDDCQTALDVARIKGNINIVRTIESHICYFTGWLREFYGPGFLRAFAPQFLSRKIWAAVIPQGSSNPMTPKKLELVIYPSSQDVQPRTVIALWNAEIEEPNFNRPDPELTIFDQSTKTQYKLASANEGDKQQLHWLYDACSGIPQVMLPPMYGNPPTTVPVVGHHTSAEAVGSAMAIGGGSIQSTTEDNPLHPNTHQSSEVINANGWEDPVRGDSHNRWGVTVASTHSEARSSGWMGEAPKEDHNGCAVPNMGPSGSQDHVQTRYDIPPVSETSGGNTASVPSAPSAPPIPDEELDAGPIHYPSFDFSLLDLSVPAIELGASVTSDVNKGGTSSSCIICWEAPVEGACIPCGHMAGCMTCLSEIKAKKGVCPICRSNINQVTRLYAV >Potri.001G039900.1.v4.1 pep chromosome:Pop_tri_v4:1:2866433:2869926:-1 gene:Potri.001G039900.v4.1 transcript:Potri.001G039900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G039900.v4.1 MIPRSVSLIFFLLFLVPEIATVSALIIAKPNCADTCGNISIPFPFGIGTGCSMNDWFSVDCNKTTADSPSRAFLSRINMEVLKISLGNSRVRVNSPIISSGCSGRGANLAFNMTGSPFVFSSLNIFIAMGCNNRALLNRIEPEIVGCTSTCGANNLTSSSTTGEEKRYCSGNNCCQTRIPSNLQVFSASLGTTEDPNDQGRNQCKVAFIVDRGWSLDNIKSPEAVQDMQHVPVFLDWFMYSDDIGVENSDAKNCSPPVQLVSGRWGLSTLTLYSNSTTCSCNLGYDGNPYLPDGCTDIDECKNPNGNWCSGMTKCVNVPGGYKCELDKAKITFLILGAATGLLLLLVGIWRLYKLVKKRKNIELKKKFFKQNGGLLLQQQLSSSDGSIQKTKIFTSKELEKATDRFNDNRILGQGGQGTVYKGMLADGMIVAVKKSKMMDEEKSEEFINEVVILSQLNHRNVVKLLGCCLETEVPLLVYEFIPNGNLFEYIHDQKEEFQFSWEMRLRIATEVARALSYLHSAATIPVYHRDIKSTNILLDEKFRAKVSDFGTSRSIAIDQTHLTTHVQGTFGYFDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERSEERGSLATHFILLVEENKIFDILDERLMGQDREEEVIAVANLARRCLNLIGRKRPTMREVAIELEQIRLSKGALHPQQCSKELENIWDEVPNVWEIAGPPTSVTIGDFRNGTAPSLDVQPLISHETW >Potri.001G039900.2.v4.1 pep chromosome:Pop_tri_v4:1:2866433:2869926:-1 gene:Potri.001G039900.v4.1 transcript:Potri.001G039900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G039900.v4.1 MIPRSVSLIFFLLFLVPEIATVSALIIAKPNCADTCGNISIPFPFGIGTGCSMNDWFSVDCNKTTADSPSRAFLSRINMEVLKISLGNSRVRVNSPIISSGCSGRGANLAFNMTGSPFVFSSLNIFIAMGCNNRALLNRIEPEIVGCTSTCGANNLTSSSTTGEEKRYCSGNNCCQTRIPSNLQVFSASLGTTEDPNDQGRNQCKVAFIVDRGWSLDNIKSPEAVQDMQHVPVFLDWFMYSDDIGVENSDAKNCSPPVQLVSGRWGLSTLTLYSNSTTCSCNLGYDGNPYLPDGCTDIDECKNPNGNWCSGMTKCVNVPGGYKCELDKAKITFLILGAATGLLLLLVGIWRLYKLVKKRKNIELKKKFFKQNGGLLLQQQLSSSDGSIQKTKIFTSKELEKATDRFNDNRILGQGGQGTVYKGMLADGMIVAVKKSKMMDEEKSEEFINEVVILSQLNHRNVVKLLGCCLETEVPLLVYEFIPNGNLFEYIHDQKEEFQFSWEMRLRIATEVARALSYLHSAATIPVYHRDIKSTNILLDEKFRAKVSDFGTSRSIAIDQTHLTTHVQGTFGYFDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERTVKKKLSQSLILQEDA >Potri.007G000200.1.v4.1 pep chromosome:Pop_tri_v4:7:527:5565:-1 gene:Potri.007G000200.v4.1 transcript:Potri.007G000200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000200.v4.1 MAGFVPPGTTLLGNEATSAAAKKVNEVHKNVDYMNLPCPIPYEELHREALMSLKPEYFEGMRFDFTKGLNQKFSLSHSVMMGPMEIPSQSSEAIKIPTAHYEFGANFIDQRTMLIGRVLTDGRLNARVKCDLTDDLALKANAQLTNEPHMSHVMLNFDYKGKDYRSQLQLGNGALFGASYIQSVTPHLSLGAEVFWAGQHRKSGVGYAGRYETDKMVAAGQVASTGLMALSYVQKVSEKVSLATDFMYNYLSRDVTVSVGYDYALRQARLRGKIDSNGCTSAFLEERLNMGLNFILSAELDHKKKDYKFGFGLTVG >Potri.017G152500.1.v4.1 pep chromosome:Pop_tri_v4:17:15033854:15037436:1 gene:Potri.017G152500.v4.1 transcript:Potri.017G152500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152500.v4.1 MSSFILWFLSFQIIQHSFSFSLARGGSEIDKLSLLSFKAQISHPPTKLSSWNESLHFCQWSGVTCGRQDRRVIELDLHSSQLVGSLSPSIGNLSFLSLLSLENNGFTNTIPQELGRLVRLQALLLGNNSFSGEIPANISHCSNLLKLNLEGNNLTGNLPAGLGSLSKLQVFNFRKNNLDGKIPLSFENLSSIIEIEGKMNYLQGGIPNSIGKLKTLNFFSLGSNNLSGTIPPSLYNISSLIHFSLPHNQFHGTLPPNIGLTLPNLEFLGIHDNQLSGLIPATLLNTSKFTEIYLSYNEFTGKVPTLASMPNLRVFSIQESGLGNDEDDDLSFLYTLSNSSKLEVLAIDGNNFGGVLPDIISNFSTKLKKMTFGSNQIQGSIPDGIGNLISLDTLGLERNHLTGSIPNSIGKLQNLVDLLLSENRLSGSIPSSLGNITSLMQINFHQNSLQGSIPPSLGNCTNLLLLGLSQNHLSGPIPKEVLSISSLSKRLGLSENQLSGSLPFEVGKLKHLGYMDISKNRLSGEIPASLGSCESLEHLSLDGNFFQGPISESLRSLRALQDLNLSHNNLTGQIPKFLGDFKLLQSLDLSFNDLEGEVPMHGVFENTSAVSIAGNKNLCGGILQLNLPTCRSKSTKPKSSTKLTLTVAIPCGFIGLIFIASFLFLCCLKKSLRKTKNELSCEMPFRTVAYKDLLQATNGFSSGNLVGAGSFGSVYKGVLAFDGVTVAVKVFNLLREGASKSFMRECAALLNIRHRNLVKVLFACAGVDVQGNDFKALVYEFMINGSLEEWLHPIHTLDLEVHQPKNLNLIQRLNIAIDVANALDYLHNQCKMPIVHCDLKPSNVLLDGDMTAHVGDFGLLKFLSEASCQSSSSQTSSVGLKGTVGYAAPEYGIGSEVSTFGDVHSYGILLLEMITGKRPTDSMFKDGLDLHSYVKIALPDRVVDIADPKLLTEVDQGKGTDQIVECLISISKIGVFCSEKFPKERMDISNVVAELNRTKANFLGRYRLLS >Potri.007G024900.3.v4.1 pep chromosome:Pop_tri_v4:7:1913127:1914197:-1 gene:Potri.007G024900.v4.1 transcript:Potri.007G024900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024900.v4.1 MEAKFFRFLKIVGVGYKARAEAEGRLLFLKLGYSHEVELTVPPAVRVFCFKNNVVCCTGIDKGRVHQFAASVRSCKPPEVYKGKGIMYIDEVIKKKQGKKSK >Potri.016G136600.1.v4.1 pep chromosome:Pop_tri_v4:16:14055894:14058725:1 gene:Potri.016G136600.v4.1 transcript:Potri.016G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136600.v4.1 MARTTTATLSSPYCILHRSSFSSKPSFLPLRIFPKTPSPPTFPRIYALSSNDIKVGSNIEVDGAPWRVLEFLHVKPGKGAAFVRTKMRNYVTGNTVDKTFRAGSTIEEANVFKEAKQFTYKDGVQFVFMDLSTFEEYRLNEADVGDKTKWLKEGMDCNLLFWNGKVIDFELPITVQLTVVDVDPGLKGDTAQGGTKPATLDTGAVVNVPLFVNIGAEILVDTRTGQYMSRA >Potri.007G130400.1.v4.1 pep chromosome:Pop_tri_v4:7:14444613:14447961:-1 gene:Potri.007G130400.v4.1 transcript:Potri.007G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130400.v4.1 MAIPGDSEHQWIERVKSEGAVPLLDPYNCSNGWASPPGECFMVRGPKYVTTKVKIPGGESLLKPLGFDWIKGSTKITEVLKNRKSRVRKVIDEAFPNGDKPFVWAFNLQVPGKENYSAVAYFVGTEPIPEGSLMDQFLKGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAVCIIGRTLSCKYCFDEHFLEVDVDIGSSMVASAIVHLAFGYISMLTVDLAFVIEGQSESELPEQLLGALRFSDLNPACASLYEPSPLGSTDNLQSSLPTRLWKSIGQGFSQLLHPVPGAQENGSTFDTAHVNGTSELKEGCEDSKK >Potri.007G130400.5.v4.1 pep chromosome:Pop_tri_v4:7:14444609:14446730:-1 gene:Potri.007G130400.v4.1 transcript:Potri.007G130400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130400.v4.1 MVRGPKYVTTKVKIPGGESLLKPLGFDWIKGSTKITEVLKNRKSRVRKVIDEAFPNGDKPFVWAFNLQVPGKENYSAVAYFVGTEPIPEGSLMDQFLKGDDGFRNSRLKLIANIVKGPWIVRKAVGEQAVCIIGRTLSCKYCFDEHFLEVDVDIGSSMVASAIVHLAFGYISMLTVDLAFVIEGQSESELPEQLLGALRFSDLNPACASLYEPSPLGSTDNLQSSLPTRLWKSIGQGFSQLLHPVPGAQENGSTFDTAHVNGTSELKEGCEDSKK >Potri.007G111600.1.v4.1 pep chromosome:Pop_tri_v4:7:13314635:13315545:-1 gene:Potri.007G111600.v4.1 transcript:Potri.007G111600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111600.v4.1 MLGLIKGLSFICLLMAVSCLAQGPPVLDTDGNPVTRGVEYYVDPAVTDVAGGLTLVTRNGSCPSYVGQVPIGSGNVQGLPVIFTPRDSGETVITENTQFTVAFSAASICVSDTTWGIGEEDPETTRRLIVIGDEPAIFSISRNQAPGPYTFGYCPECNTPPPCGRPRCGIAGILEQNGTRFLTIDGPAFPFSFRRA >Potri.011G073216.1.v4.1 pep chromosome:Pop_tri_v4:11:7309153:7311006:1 gene:Potri.011G073216.v4.1 transcript:Potri.011G073216.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073216.v4.1 MAVKGGKQRGREERQPLSALSLHCLEVHRHLPDHREASPASSQHHQILPHDPAASLKTSLHRTPQQQQPFSSQQFLLLQRCNEAAAHGKTEKEQEQKQREERHRQLIIFFIPAATPRTVERGIQKRRTGRKRRREENMKNKKEWRET >Potri.011G033300.1.v4.1 pep chromosome:Pop_tri_v4:11:2684150:2685849:-1 gene:Potri.011G033300.v4.1 transcript:Potri.011G033300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G033300.v4.1 MATHLPDDFKCPISLEIMSDPVILSSGHTFDRSSIQRWLDSGHRTCPITKLPLPEHPCLIPNHALRSLISSFTIPKSQPDPNPCRNLNPDQSKKYQTQLLIYTLVSPSSTLESKLHSLSQLTRLTKLDSGPRRQLTESGAVSAVLNCVNSTESEIQEKALALLLNLSLDDDNKVGLVAEGVISRVINVIRAGSASSRAIGCTILTSLAVVEVNKATIGAYPNAIKTLIWVLYSGKGREVREAATALYAICSFVDNRKRAVECGAVPLLMKIGGMGLERAVEVLSLLVKCKEGREEMRKVNGCLEVLVKVIRNGSERGVQCALLTLTCLCCFAEEMRVEAEKEGVLEICVGFLDDENEKIRRHASSLVQTLSGRG >Potri.001G135300.12.v4.1 pep chromosome:Pop_tri_v4:1:11019376:11026435:-1 gene:Potri.001G135300.v4.1 transcript:Potri.001G135300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135300.v4.1 MEQRKGESWKELVRKMVPPGVPLPEDETKLDYSIAMVYDGPPVSYDVPEVEPLDVSSHMIPTAEPLSESQRLVSNLGLPVTEPIPLPVSRIAGVAGSPNQTPRVSASSESVVSVLLNPDFSSASASASPGSVHNSLSHPPKQMANEVKRVPVVTFNTVDRSERKDVDVEKPVYPDYIGFSKEKKKQKSRVCYRCGKWRWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVICIGQPIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGHPLKPEEMAELLGCPLPPRKLKPGRFWYDKESGLWGKEGEKPDRIISSNLNFTGKLSHDASNGRTEVYINGREITKLELRVLKLAKVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRIVCTLFSLPVPHGQPHGQRDEASNYTTVPNYLEHKKVQKLLLLGIQGSGTSTIFKQAKFLYGSKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEAVSRMKALGFEDRNSEAGGDVDHSETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPATQETYRRKNELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLDAPPPPLTRYQLIRVNAKGMNDGCKWVEMFEDVQAVVFCVALSDYDQMWFSPESSGSGSLLQNKMMQCKELFETMIRHPCFKDTPFVLILNKYDIFEEKVNRVHLSACEWFNDFSPVQPHHNNQSLAHQAYYYVAMKFKDLYASITGRKLFVWQTRARDRVTIDEAFKYTREVLRWDEEKEDNYYGVAEDSFYSTDMSSSPFVRQE >Potri.001G135300.10.v4.1 pep chromosome:Pop_tri_v4:1:11019468:11026290:-1 gene:Potri.001G135300.v4.1 transcript:Potri.001G135300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135300.v4.1 MEQRKGESWKELVRKMVPPGVPLPEDETKLDYSIAMVYDGPPVSYDVPEVEPLDVSSHMIPTAEPLSESQRLVSNLGLPVTEPIPLPVSRIAGVAGSPNQTPRVSASSESVVSVLLNPDFSSASASASPGSVHNSLSHPPKQMANEVKRVPVVTFNTVDRSERKDVDVEKPVYPDYIGFSKEKKKQKSRVCYRCGKWRWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVICIGQPIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGHPLKPEEMAELLGCPLPPRKLKPGRFWYDKESGLWGKEGEKPDRIISSNLNFTGKLSHDASNGRTEVYINGREITKLELRVLKLAKVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRIVCTLFSLPVPHGQPHGQRDEASNYTTVPNYLEHKKVQKLLLLGIQGSGTSTIFKQAKFLYGSKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEAVSRMKALGFEDRNSEAGGDVDHSETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPATQETYRRKNELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLDAPPPPLTRYQLIRVNAKGMNDGCKWVEMFEDVQAVVFCVALSDYDQMWFSPESSGSGSLLQNKMMQCKELFETMIRHPCFKDTPFVLILNKYDIFEEKVNRVHLSACEWFNDFSPVQPHHNNQSLAHQAYYYVAMKFKDLYASITGRKLFVWQTRARDRVTIDEAFKYTREVLRWDEEKEDNYYGVAEDSFYSTDMSSSPFVRQE >Potri.001G135300.14.v4.1 pep chromosome:Pop_tri_v4:1:11019428:11026312:-1 gene:Potri.001G135300.v4.1 transcript:Potri.001G135300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135300.v4.1 MEQRKGESWKELVRKMVPPGVPLPEDETKLDYSIAMVYDGPPVSYDVPEVEPLDVSSHMIPTAEPLSESQRLVSNLGLPVTEPIPLPVSRIAGVAGSPNQTPRVSASSESVVSVLLNPDFSSASASASPGSVHNSLSHPPKQMANEVKRVPVVTFNTVDRSERKDVDVEKPVYPDYIGFSKEKKKQKSRVCYRCGKWRWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVICIGQPIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGHPLKPEEMAELLGCPLPPRKLKPGRFWYDKESGLWGKEGEKPDRIISSNLNFTGKLSHDASNGRTEVYINGREITKLELRVLKLAKVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRIVCTLFSLPVPHGQPHGQRDEASNYTTVPNYLEHKKVQKLLLLGIQGSGTSTIFKQAKFLYGSKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEAVSRMKALGFEDRNSEAGGDVDHSETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPATQETYRRKNELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLDAPPPPLTRYQLIRVNAKGMNDGCKWVEMFEDVQAVVFCVALSDYDQMWFSPESSGSGSLLQNKMMQCKELFETMIRHPCFKDTPFVLILNKYDIFEEKVNRVHLSACEWFNDFSPVQPHHNNQSLAHQAYYYVAMKFKDLYASITGRKLFVWQTRARDRVTIDEAFKYTREVLRWDEEKEDNYYGVAEDSFYSTDMSSSPFVRQE >Potri.001G135300.13.v4.1 pep chromosome:Pop_tri_v4:1:11019446:11026347:-1 gene:Potri.001G135300.v4.1 transcript:Potri.001G135300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135300.v4.1 MEQRKGESWKELVRKMVPPGVPLPEDETKLDYSIAMVYDGPPVSYDVPEVEPLDVSSHMIPTAEPLSESQRLVSNLGLPVTEPIPLPVSRIAGVAGSPNQTPRVSASSESVVSVLLNPDFSSASASASPGSVHNSLSHPPKQMANEVKRVPVVTFNTVDRSERKDVDVEKPVYPDYIGFSKEKKKQKSRVCYRCGKWRWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVICIGQPIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGHPLKPEEMAELLGCPLPPRKLKPGRFWYDKESGLWGKEGEKPDRIISSNLNFTGKLSHDASNGRTEVYINGREITKLELRVLKLAKVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRIVCTLFSLPVPHGQPHGQRDEASNYTTVPNYLEHKKVQKLLLLGIQGSGTSTIFKQAKFLYGSKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEAVSRMKALGFEDRNSEAGGDVDHSETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPATQETYRRKNELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLDAPPPPLTRYQLIRVNAKGMNDGCKWVEMFEDVQAVVFCVALSDYDQMWFSPESSGSGSLLQNKMMQCKELFETMIRHPCFKDTPFVLILNKYDIFEEKVNRVHLSACEWFNDFSPVQPHHNNQSLAHQAYYYVAMKFKDLYASITGRKLFVWQTRARDRVTIDEAFKYTREVLRWDEEKEDNYYGVAEDSFYSTDMSSSPFVRQE >Potri.001G135300.11.v4.1 pep chromosome:Pop_tri_v4:1:11019426:11026436:-1 gene:Potri.001G135300.v4.1 transcript:Potri.001G135300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135300.v4.1 MEQRKGESWKELVRKMVPPGVPLPEDETKLDYSIAMVYDGPPVSYDVPEVEPLDVSSHMIPTAEPLSESQRLVSNLGLPVTEPIPLPVSRIAGVAGSPNQTPRVSASSESVVSVLLNPDFSSASASASPGSVHNSLSHPPKQMANEVKRVPVVTFNTVDRSERKDVDVEKPVYPDYIGFSKEKKKQKSRVCYRCGKWRWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVICIGQPIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECSANQLRPEQLIVNGHPLKPEEMAELLGCPLPPRKLKPGRFWYDKESGLWGKEGEKPDRIISSNLNFTGKLSHDASNGRTEVYINGREITKLELRVLKLAKVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRIVCTLFSLPVPHGQPHGQRDEASNYTTVPNYLEHKKVQKLLLLGIQGSGTSTIFKQAKFLYGSKFTAEELQDIKLMIQSNMYRYLSILLDGRERFEEEAVSRMKALGFEDRNSEAGGDVDHSETNQCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPATQETYRRKNELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTDNLDAPPPPLTRYQLIRVNAKGMNDGCKWVEMFEDVQAVVFCVALSDYDQMWFSPESSGSGSLLQNKMMQCKELFETMIRHPCFKDTPFVLILNKYDIFEEKVNRVHLSACEWFNDFSPVQPHHNNQSLAHQAYYYVAMKFKDLYASITGRKLFVWQTRARDRVTIDEAFKYTREVLRWDEEKEDNYYGVAEDSFYSTDMSSSPFVRQE >Potri.003G068000.2.v4.1 pep chromosome:Pop_tri_v4:3:9531866:9538480:1 gene:Potri.003G068000.v4.1 transcript:Potri.003G068000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068000.v4.1 MAREFATCVEYGLSLSKRIYYGKEMTPAATAAMTRSVSEKSSDVAESYFPTAVMAYAVVSEPELVENPDVPSYQPYVHGRCEPPALIPLHMHGVVTMEIDCCFDHANVCFSGAWRVHCIKGNSKCDVRIAVPMGEQGSLLGVEVDVPGRSCHSQLIEAEDANYKEKVSKGGNGRLMRGSVYTFKIPQVEGGATVSIKVIWSQKLLYHEGQFSLNVPFSFPAFVNPVGKKISKREKILLNVSSGVGKEILCKSTSHALKELRREVGKMGFLYDAEVLTWSSADFSFSYNVYSKDLFGGVLLQSPLLRDIDDRQMFCFYLFPGNNQSMKAFRKEVIFIIDISGSMKGGPFESAKNGLLSSLQKLNPEDSFNIIAFKMDTYLFSSVMEQATEEAIIEATRWLNDKLTADGGTNILGPLKQAIKLLAETTNSIPVIFLITDGAVEDERDICNFVKGYLPSGGSISLRISTFGIGTYCNHHFLRMLAQIGRGHFDTAYDADSVDFRMQKLFTTASSIILADITVDALEHLDSLELLPFCIPDLSRGSPLIVSGRYSGNFPDPVKISGTLADMRKFTIDLKAQKTKDLPFDRVVGRRQIDLLTANAWLSGSKELEQKVAKMSIQTGFPSEYTLMVLHQTLQDEKAPETILIQDVFNKINPLKKMDLQKTIMLGNLCVGFGNLTATAENIPPGTEETKSSEATEMLFKAASNCCGRVVDRCCCMCFIQTCSYMNNQCAIVLSQICAALACVECINCCIELCECG >Potri.003G068000.9.v4.1 pep chromosome:Pop_tri_v4:3:9531843:9538452:1 gene:Potri.003G068000.v4.1 transcript:Potri.003G068000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068000.v4.1 MAREFATCVEYGLSLSKRIYYGKEMTPAATAAMTRSVSEKSSDVAESYFPTAVMAYAVVSEPELVENPDVPSYQPYVHGRCEPPALIPLHMHGVVTMEIDCCFDHANVCFSGAWRVHCIKGNSKCDVRIAVPMGEQGSLLGVEVDVPGRSCHSQLIEAEDANYKEKVSKGGNGRLMRGSVYTFKIPQVEGGATVSIKVIWSQKLLYHEGQFSLNVPFSFPAFVNPVGKKISKREKILLNVSSGVGKEILCKSTSHALKELRREVGKMGFLYDAEVLTWSSADFSFSYNVYSKDLFGGVLLQSPLLRDIDDRQMFCFYLFPGNNQSMKAFRKEVIFIIDISGSMKGGPFESAKNGLLSSLQKLNPEDSFNIIAFKMDTYLFSSVMEQATEEAIIEATRWLNDKLTADGGTNILGPLKQAIKLLAETTNSIPVIFLITDGAVEDERDICNFVKGYLPSGGSISLRISTFGIGTYCNHHFLRMLAQIGRGHFDTAYDADSVDFRMQKLFTTASSIILADITVDALEHLDSLEQLLPFCIPDLSRGSPLIVSGRYSGNFPDPVKISGTLADMRKFTIDLKAQKTKDLPFDRVVGRRQIDLLTANAWLSGSKELEQKVAKMSIQTGFPSEYTLMVLHQTLQDEKAPETILIQDVFNKINPLKKMDLQKTIMLGNLCVGFGNLTATAENIPPGTEETKSSEATEMLFKAASNCCGRVVDRCCCMCFIQTCSYMNNQCAIVLSQICAALACVECINCCIELCECG >Potri.003G068000.17.v4.1 pep chromosome:Pop_tri_v4:3:9531844:9538388:1 gene:Potri.003G068000.v4.1 transcript:Potri.003G068000.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068000.v4.1 MRGSVYTFKIPQVEGGATVSIKVIWSQKLLYHEGQFSLNVPFSFPAFVNPVGKKISKREKILLNVSSGVGKEILCKSTSHALKELRREVGKMGFLYDAEVLTWSSADFSFSYNVYSKDLFGGVLLQSPLLRDIDDRQMFCFYLFPGNNQSMKAFRKEVIFIIDISGSMKGGPFESAKNGLLSSLQKLNPEDSFNIIAFKMDTYLFSSVMEQATEEAIIEATRWLNDKLTADGGTNILGPLKQAIKLLAETTNSIPVIFLITDGAVEDERDICNFVKGYLPSGGSISLRISTFGIGTYCNHHFLRMLAQIGRGHFDTAYDADSVDFRMQKLFTTASSIILADITVDALEHLDSLELLPFCIPDLSRGSPLIVSGRYSGNFPDPVKISGTLADMRKFTIDLKAQKTKDLPFDRVVGRRQIDLLTANAWLSGSKELEQKVAKMSIQTGFPSEYTLMVLHQTLQDEKAPETILIQDVFNKINPLKKMDLQKTIMLGNLCVGFGNLTATAENIPPGTEETKSSEATEMLFKAASNCCGRVVDRCCCMCFIQTCSYMNNQCAIVLSQICAALACVECINCCIELCECG >Potri.005G099500.4.v4.1 pep chromosome:Pop_tri_v4:5:7173894:7177038:-1 gene:Potri.005G099500.v4.1 transcript:Potri.005G099500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099500.v4.1 MLTLSSHNCFDVLRLYKFNQVAALAPNVPAMYELHFAVPMAGAVFCTLNTRHDSNMVSILLKHSEAKIIFVDHQLLDIARGALDLLEKTGTKPPMVVLISESDVSSPTGFSSSSYEYESLLANGHSGFEIRQPESEWDPISVNYTSGTTSRPKGVVYSHRGAYLNTLATLFLHGIGTTPVYLWTVPMFHCNGWCLTWGMAAQGGANVCLRKVSPKDIFDSIDQHKVTHMAGAPTVLSMIVNSAVSDKKPLPHKVEIMTGGAPPPPQIFFKMEKLGFGVSHLYGLTETYGPGTYCSWKPEWDSLPLNERSKMKARQGVQHLGLEDVDVKDTFTMESVPADGKTIGEIMLRGNTVMSGYLKDSKATEDAFRGGWFRSGDLAVKHSDGYIEVKDRAKDIVITGGENVCTLEVETVLYNHPAILEVAVVGRPDDLWGQTPCAFVKLREGFDVDAQDIIKFCRDRLPHYMAPKTVIFEDLPRNSTGKVQKFILREKAKALGSLFRSESQTTC >Potri.005G099500.2.v4.1 pep chromosome:Pop_tri_v4:5:7173710:7177173:-1 gene:Potri.005G099500.v4.1 transcript:Potri.005G099500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099500.v4.1 MNLFFKNHKHLTRLPSTFNRFVSSNSSKQPRALFSSFASDLLEPDSWKSMEGLVRCKANYAPLSPISFLERSATVYRDRTSVVYGSLKFTWAETHQRCLKLASALSQLGISRGDVVAALAPNVPAMYELHFAVPMAGAVFCTLNTRHDSNMVSILLKHSEAKIIFVDHQLLDIARGALDLLEKTGTKPPMVVLISESDVSSPTGFSSSSYEYESLLANGHSGFEIRQPESEWDPISVNYTSGTTSRPKGVVYSHRGAYLNTLATLFLHGIGTTPVYLWTVPMFHCNGWCLTWGMAAQGGANVCLRKVSPKDIFDSIDQHKVTHMAGAPTVLSMIVNSAVSDKKPLPHKVEIMTGGAPPPPQIFFKMEKLGFGVSHLYGLTETYGPGTYCSWKPEWDSLPLNERSKMKARQGVQHLGLEDVDVKDTFTMESVPADGKTIGEIMLRGNTVMSGYLKDSKATEDAFRGGWFRSGDLAVKHSDGYIEVKDRAKDIVITGGENVCTLEVETVLYNHPAILEVAVVGRPDDLWGQTPCAFVKLREGFDVDAQDIIKFCRDRLPHYMAPKTVIFEDLPRNSTGKVQKFILREKAKALGSLFRSESQTTC >Potri.005G099500.3.v4.1 pep chromosome:Pop_tri_v4:5:7171538:7177033:-1 gene:Potri.005G099500.v4.1 transcript:Potri.005G099500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099500.v4.1 MNLFFKNHKHLTRLPSTFNRFVSSNSSKQPRALFSSFASDLLEPDSWKSMEGLVRCKANYAPLSPISFLERSATVYRDRTSVVYGSLKFTWAETHQRCLKLASALSQLGISRGDVVAALAPNVPAMYELHFAVPMAGAVFCTLNTRHDSNMVSILLKHSEAKIIFVDHQLLDIARGALDLLEKTGTKPPMVVLISESDVSSPTGFSSSSYEYESLLANGHSGFEIRQPESEWDPISVNYTSGTTSRPKGVVYSHRGAYLNTLATLFLHGIGTTPVYLWTVPMFHCNGWCLTWGMAAQGGANVCLRKVSPKDIFDSIDQHKVTHMAGAPTVLSMIVNSAVSDKKPLPHKVEIMTGGAPPPPQIFFKMEKLGFGVSHLYGLTETYGPGTYCSWKPEWDSLPLNERSKMKARQGVQHLGLEDVDVKDTFTMESVPADGKTIGEIMLRGNTVMSGYLKDSKATEDAFRGGWFRSGDLAVKHSDGYIEVKDRAKDIVITGGENVCTLEVETVLYNHPAILEVAVVGRPDDLWGQTPCAFVKLREGFDVDAQDIIKFCRDRLPHYMAPKTVIFEDLPRNSTGKVQKFILREKAKALGSLFRSESQTTC >Potri.001G472200.4.v4.1 pep chromosome:Pop_tri_v4:1:49499515:49503222:1 gene:Potri.001G472200.v4.1 transcript:Potri.001G472200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472200.v4.1 MAMDEEGSLAGKLPQEPPSGLTIKISTSGSKIGKNILTPLGCSNSDSTSPNLKNSIESSPCNSPLVSPPSSAFVSALQSPYISPRAITPKPQENPAPPENPTPVSHSSPPFSSYRGSQSDDIPSSSYTPPSDQYEYSDDPTEAKLKYVTCVPVPDPAPPRISFSFPVPRISFKGPVSPASNAKLRSCDVYIGYHGQNPNLMRFCKWLKSELELQGIVCFVADRAKYSNTQSHEIADRVICSVTYGVVVVTNSSILNHPSLEEIRFFAQKKNLVPIFFNTGLAEITGLLNCNSIDKECREVIDGLVKSNELKLEVNEGNGRSCVAKAAGILRAKLGRKSVAEKAAEGFEEIPFPRNKCFVGREKEIMEIETALFGCTDSSEQDYAVPIIKGETSGQSEGLADEESDTFSSSRGGRFINLELGGKCKEPTLEAWVEPVTGRNSLKRSKYKKSKSGNYKTLDSSVFCINGVTGIGKTELALEFAYRYSQRYKMVLWVGGEARYFRQNLLNLSQNLGLDVSADAEKERGRIRSFKEQENEAFERVKRELFRDMPYLLIIDNLETEREWWEGKDLHDLIPRNTGGTHVIITTRLSKTMNFDIMQLPPLELTDAMVLMRGKRRRDYPTEELQFLHKFDEKLGRSNFGLWLVGSLLSELAISPCALFEAVNQVPLEDGSTYSYMSMSDEHYCKSNPFLMKLLHFSFIILQQTDGRKNLLALRMLLVGAWFAPAPISATLLATAAKNMPAIGNGFRKWTKCVSLAFSCCSGCGLAPQSEEDAATLLVKLGLARRVNRQPGCWIQFHPITQVFARRKEGLSAAKATVQGVRKVGNPSINTNHLWASAFLVFGFKSEPPLVQLKAIDMVLYIKKTAVPLAIRAFTTFSICNSALELLKVCTNVLEEVEKSFVSQIQDWCHGSLCWKRNIHGHQRVDEYLWQDVTLLKASLLETRAKLLLRGGHFDGGEELCRTCISIRTVMLGHDHAQTLAAQETLAKLVRMRSKV >Potri.001G240400.13.v4.1 pep chromosome:Pop_tri_v4:1:25791906:25795334:1 gene:Potri.001G240400.v4.1 transcript:Potri.001G240400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240400.v4.1 MFSLVAGLSSKYVSTDGNDLSSTGSKVLSVSVPPTPRSEGEILQSTNLKSFSFSDLKMATRSFRPDSVLGEGGFGSVFKGWIDEQSFSAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQFYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAETQVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVIGTYGYAAPEYLATGTNQFRCIFPELNYEFPVHHSVYLLDLEC >Potri.001G240400.10.v4.1 pep chromosome:Pop_tri_v4:1:25791847:25795279:1 gene:Potri.001G240400.v4.1 transcript:Potri.001G240400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240400.v4.1 MGICLSAQIKAESSCNTVAGLSSKYVSTDGNDLSSTGSKVLSVSVPPTPRSEGEILQSTNLKSFSFSDLKMATRSFRPDSVLGEGGFGSVFKGWIDEQSFSAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQFYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAETQVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVIGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNLVEWAKPYLANKRKIFRILDNRLEGQYSMDVAFKASTLALRCLSIETKFRPTMDEVVTAMEQLQDSKETGSANGHASNAPRIRRRSADDTISGRNTAAYPRPSTSPLYA >Potri.001G240400.12.v4.1 pep chromosome:Pop_tri_v4:1:25791913:25795387:1 gene:Potri.001G240400.v4.1 transcript:Potri.001G240400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240400.v4.1 MFSLVAGLSSKYVSTDGNDLSSTGSKVLSVSVPPTPRSEGEILQSTNLKSFSFSDLKMATRSFRPDSVLGEGGFGSVFKGWIDEQSFSAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQFYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAETQVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVIGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNLVEWAKPYLANKRKIFRILDNRLEGQYSMDVAFKASTLALRCLSIETKFRPTMDEVVTAMEQLQDSKETGSANGHASNAPRIRRRSADDTISGRNTAAYPRPSTSPLYA >Potri.001G240400.8.v4.1 pep chromosome:Pop_tri_v4:1:25791849:25795447:1 gene:Potri.001G240400.v4.1 transcript:Potri.001G240400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240400.v4.1 MATRSFRPDSVLGEGGFGSVFKGWIDEQSFSAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQFYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAETQVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVIGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNLVEWAKPYLANKRKIFRILDNRLEGQYSMDVAFKASTLALRCLSIETKFRPTMDEVVTAMEQLQDSKETGSANGHASNAPRIRRRSADDTISGRNTAAYPRPSTSPLYA >Potri.001G240400.11.v4.1 pep chromosome:Pop_tri_v4:1:25791890:25795448:1 gene:Potri.001G240400.v4.1 transcript:Potri.001G240400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240400.v4.1 MFSLVAGLSSKYVSTDGNDLSSTGSKVLSVSVPPTPRSEGEILQSTNLKSFSFSDLKMATRSFRPDSVLGEGGFGSVFKGWIDEQSFSAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQFYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAETQVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVIGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNLVEWAKPYLANKRKIFRILDNRLEGQYSMDVAFKASTLALRCLSIETKFRPTMDEVVTAMEQLQDSKETGSANGHASNAPRIRRRSADDTISGRNTAAYPRPSTSPLYA >Potri.001G240400.4.v4.1 pep chromosome:Pop_tri_v4:1:25791790:25795396:1 gene:Potri.001G240400.v4.1 transcript:Potri.001G240400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240400.v4.1 MGICLSAQIKAESSCNTVAGLSSKYVSTDGNDLSSTGSKVLSVSVPPTPRSEGEILQSTNLKSFSFSDLKMATRSFRPDSVLGEGGFGSVFKGWIDEQSFSAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQFYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAETQVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVIGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNLVEWAKPYLANKRKIFRILDNRLEGQYSMDVAFKASTLALRCLSIETKFRPTMDEVVTAMEQLQDSKETGSANGHASNAPRIRRRSADDTISGRNTAAYPRPSTSPLYA >Potri.001G240400.9.v4.1 pep chromosome:Pop_tri_v4:1:25791949:25795327:1 gene:Potri.001G240400.v4.1 transcript:Potri.001G240400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240400.v4.1 MATRSFRPDSVLGEGGFGSVFKGWIDEQSFSAAKPGTGIVIAVKRLNQDGFQGHKEWLAEVNYLGQFYHPHLVKLIGYCLEDEHRLLVYEFMPRGSLENHLFRRGSYFQPLSWNLRLKVALGAAKGLAFLHCAETQVIYRDFKTSNILLDSKYNAKLSDFGLAKDGPTGDKSHVSTRVIGTYGYAAPEYLATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPSGEHNLVEWAKPYLANKRKIFRILDNRLEGQYSMDVAFKASTLALRCLSIETKFRPTMDEVVTAMEQLQDSKETGSANGHASNAPRIRRRSADDTISGRNTAAYPRPSTSPLYA >Potri.016G054800.1.v4.1 pep chromosome:Pop_tri_v4:16:3626957:3630456:1 gene:Potri.016G054800.v4.1 transcript:Potri.016G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054800.v4.1 MALQRALLYSFFIISISIISSYSCSQEDPLNNYLEEQASGYDSQAYPSYIEDGGFKDLIKLRSDVLVLQTFSKEGSKSTPVITVGVRDFGVKDDGGDDTDDTETFERAWKEACSSPEGAIIVVPEYTYRLKPIRFQGPCKSNIALQVHGTIEASCNQSDYKKDSRHWLLFDSVDNLLVEGGGTIDGNGKIWWENSCKVNKSLPCKEAPTAVTFFECQNLIVKDLKIQNAQQMHVTFKKSNHVLVSNLTVISPEESPNTDGIHITKTQNIQITDSVIGTGDDCISIVSGSHNVQATGITCGPGHGISIGSLGAHDSKDHVSGVTVKGAKLSGTANGVRIKTWQGGSGNVSNIKFQNIEMNKVTNPIIIDQNYCDQDKPCKQQKSALQVKNVMYKNIKGTSASEVAMRFDCSKAYPCQGILLQDINLERAGDRTAKALCNNVKLATLGVVYPKCS >Potri.015G036000.4.v4.1 pep chromosome:Pop_tri_v4:15:3029259:3033720:1 gene:Potri.015G036000.v4.1 transcript:Potri.015G036000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036000.v4.1 MFQMDAAKVTELKHFIDQCKANPSIIHTPSLAFFKTYLQSLGARFPPETKSGAHMQEKGDIDMADSGEYSDSKRPIEDDDEIVESDIDLDNTDVVEPDNHPPQKMGDPAVEVTEEKRDAAKTEKSKAMDAISEGKLEEAIDHLTEAITLNPTSAILYATRGSVFVKLKKPLAAIRDADAALAINPNSAKGYKVRGMARAILGQWEQAATDLHEASKLDYDDEIGLVLKKVEPNARKIEEHRRKYERLRKERELKKAELERKQQAEAQEREALSALKEGQVIGIHSAKELDPKLNAASRTSRLAILYFTASWCGPCRMIAPIFTSLAAKYLKVVFLKVDIDEARDVAARWNISSVPTFYFIKNGKEIDKVVGADKNELERKVKQHSG >Potri.015G036000.2.v4.1 pep chromosome:Pop_tri_v4:15:3029175:3033774:1 gene:Potri.015G036000.v4.1 transcript:Potri.015G036000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036000.v4.1 MFQMDAAKVTELKHFIDQCKANPSIIHTPSLAFFKTYLQSLGARFPPETKSEKGDIDMADSGEYSDSKRPIEDDDEIVESDIDLDNTDVVEPDNHPPQKMGDPAVEVTEEKRDAAKTEKSKAMDAISEGKLEEAIDHLTEAITLNPTSAILYATRGSVFVKLKKPLAAIRDADAALAINPNSAKGYKVRGMARAILGQWEQAATDLHEASKLDYDDEIGLVLKKVEPNARKIEEHRRKYERLRKERELKKAELERKQQAEAQEREALSALKEGQVIGIHSAKELDPKLNAASRTSRLAILYFTASWCGPCRMIAPIFTSLAAKYLKVVFLKVDIDEARDVAARWNISSVPTFYFIKNGKEIDKVVGADKNELERKVKQHSG >Potri.011G006800.5.v4.1 pep chromosome:Pop_tri_v4:11:545537:548377:1 gene:Potri.011G006800.v4.1 transcript:Potri.011G006800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006800.v4.1 MRGGGLWQLGLSITRRLAQADKKAVGRRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMESTVNCRQNGSLFDVSHMCGFSLKGKDCVPFLEKLVIADVAALAPGTGTLTVFTNEKGGAIDDSVITKVTDDHMYIVVNAGCRDKDLAHIEAHMKSFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKVYFGEFRITDINGARCFITRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLNWAIGKRRKAEGGFLGAEVILKQLAEGPKVRLVGFSSTGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGFHKAGTKAKILVRGKAYDGVVTKKPFVPTKYYKPS >Potri.011G006800.1.v4.1 pep chromosome:Pop_tri_v4:11:545382:548382:1 gene:Potri.011G006800.v4.1 transcript:Potri.011G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006800.v4.1 MRGGGLWQLGLSITRRLAQADKKAVGRRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMESTVNCRQNGSLFDVSHMCGFSLKGKDCVPFLEKLVIADVAALAPGTGTLTVFTNEKGGAIDDSVITKVTDDHMYIVVNAGCRDKDLAHIEAHMKSFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKVYFGEFRITDINGARCFITRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLNWAIGKRRKAEGGFLGAEVILKQLAEGPKVRLVGFSSTGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGFHKAGTKAKILVRGKAYDGVVTKKPFVPTKYYKPS >Potri.011G006800.4.v4.1 pep chromosome:Pop_tri_v4:11:545574:548382:1 gene:Potri.011G006800.v4.1 transcript:Potri.011G006800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006800.v4.1 MRGGGLWQLGLSITRRLAQADKKAVGRRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMESTVNCRQNGSLFDVSHMCGFSLKGKDCVPFLEKLVIADVAALAPGTGTLTVFTNEKGGAIDDSVITKVTDDHMYIVVNAGCRDKDLAHIEAHMKSFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKVYFGEFRITDINGARCFITRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLNWAIGKRRKAEGGFLGAEVILKQLAEGPKVRLVGFSSTGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGFHKAGTKAKILVRGKAYDGVVTKKPFVPTKYYKPS >Potri.011G006800.6.v4.1 pep chromosome:Pop_tri_v4:11:545566:548376:1 gene:Potri.011G006800.v4.1 transcript:Potri.011G006800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006800.v4.1 MRGGGLWQLGLSITRRLAQADKKAVGRRYFASEAELKKTVLYDFHVANGGKMVPFAGWGMPIQYKDSIMESTVNCRQNGSLFDVSHMCGFSLKGKDCVPFLEKLVIADVAALAPGTGTLTVFTNEKGGAIDDSVITKVTDDHMYIVVNAGCRDKDLAHIEAHMKSFKAKGGDVSWHIHDERSLLALQGPLAAPVLQHLTKEDLSKVYFGEFRITDINGARCFITRTGYTGEDGFEISVPSENAVDLAKAILEKSEGKVRLTGLGARDSLRLEAGLCLYGNDMEQHITPVEAGLNWAIGKRRKAEGGFLGAEVILKQLAEGPKVRLVGFSSTGPPPRSHSEIQDEKGTNIGEITSGGFSPCLKKNIAMGYVKSGFHKAGTKAKILVRGKAYDGVVTKKPFVPTKYYKPS >Potri.003G201900.1.v4.1 pep chromosome:Pop_tri_v4:3:20178354:20181815:-1 gene:Potri.003G201900.v4.1 transcript:Potri.003G201900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201900.v4.1 MALALIGESLLSAVIEVLVDRIASSQVKNFFKRQKLDDGQLRKLKSTVRAVGKLLNDAEEKHITDPAVKGWLDDLKDALYQADDFLDEIAYIALQLKFEAEPQSEACSDQVRSFLTSLVPCKKGMGEMQPELEKIIQILQDLWQQKGDLGLIESAGRRPPLSSQKIPTTALVDESDVFGRKFDREKIMASMLPDDAEGRQLDVVPIVGMGGMGKTTLAQLVCREIELLEDRNGTKLFDLKAWVYVSEEFNILKVTRDILKEVGLPKCDSMTENQIHSELEKKLRGNRVLLVLDDVWSEDQAAWDFLLKPFKSVRKGSKILVTTRSENVASVKSTFPSHRLQSLSDDECWLVLAKVAFDGGNFSAYPGLEEVGREIAKKCSGLPLAAKTLGGLLRSKREGEEWRKILKSNLWKSPNDKVLSALQLSYHCLPSYLKQCFSYCAIFPEGYEFNKKDLILLWMAEGFLVQPGGNKEMEEIGAEFFDDLVSRSFLQKSSRDPSLFIMHDLMNHLAAFTSGEFCFRLEGNGSRNTSQRTRHLSCIVKEHDISQKFEAVCKPRLLRTLILSKDKSISAEVISKLLRMLERLRVLSMPPYIFEPLQFLDSIAKLKHLRYLKLSQTDLTKLPESICGLYNLQTLILIWCFMLYELPAGMGRLINLRHLDITGTRLLEMPPQMGKLAKLRTLTSFSLGNQSGSSIKELGQLQHLCGELCIRNLQNVVDAKDASEADLKGKADLESLELLWEDDTNNSLHERVLDQLQPHVNLKILRLEGYGGTRFPVWIGGSNPPSNLRELDVHKCLNLKSFPELMHSLLPSLVRLSLSNCPELQSFPIRGLELKAFSVTNCIQLIRNRKQWDLQSLHSLSSFTIAMCDEVESFPEEMLLPSSLTTLEIRHLSNLKSLDHKGLQQLTSLQCLTIFDCCRLESLPEGGLPFSRSTLKVFSCPLLEKKVQTGNRRSAAISMLPGSTNTMQKQVPLVPVQLS >Potri.013G074800.1.v4.1 pep chromosome:Pop_tri_v4:13:6295046:6298772:1 gene:Potri.013G074800.v4.1 transcript:Potri.013G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G074800.v4.1 MIIDTTTVQAINSFSKLKSLNEVYGIIWMLVPILTLVLGIMIGILVIMWLEREISVGIQQCIGLEYAGPFRVLQALPDGTKLLFKENLFSSRGDTRLFSIGPSIVVISTLLSYLVIPFGYHFVLADLNIFFLWIVISSIAPIGLLMLGYGSNNKYSFLGGLRVAAQSISYEIPLTLCVFYPYLYVRFVET >Potri.T085701.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:221674:230465:1 gene:Potri.T085701.v4.1 transcript:Potri.T085701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085701.v4.1 MDPLVSIEDQFVKLHPCLPVNTRIGIVGGGPSGISAAYALAKLGYQNVTVLEKHHTVGGMCESVDIEGRIYDLGGQVLAKNSAPVIFHLAKEVGSELEEMDSHKLAHIDSSTGKYQDIKVADDYVAVMSLTLELQDKAKDSGRIGVHAVSELAADLTPTYLESRGFKSVPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQKISESLPIEVNCKTDVLAIRRNSDSVRVDVKRNNGEIQEMEFDKIIISGSFPFTNGNTYRFPAEKSTESETEVMDLSEVERYFFRKVQTIDYYTTVLKIKGLEDMPVGFYYFGEYMEDPATIGHPVAMQKFYADTDIFLFWSYGNSFDIKGPTVAELAKKVVMSMGAKVEEEVLQRRFKYFPHVGSQEMKDGFYDKLESELQGQRNTYYVGGLMAFELTERNSSYAMDLICKHFANNNSVPMFPYVKSLFSLKSDCWDRNPKELGEGVEFPDLSTLDGYLKHWGTESMTKDKTLYTWIGEDGAVVCQRTYAELHAKASCIARKLLTSRKPVIKPGDRVLLVYVPGLDFIDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIESIAKSCNAVAILSTLLYHSAVRAGSVKNLISLAGKNGKWPNLPWMHTDSWLKDSKVLAPGNIAYESECQPDDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMKRIYKSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGSAILFSPMTFIKNPLLWLQIMSKYHATHSAGPNFAFELLIRRLEYADKDKVRNFDLSSLIFLMVAAEPVRQRTLKRFVELTRPFGLSQEVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVEPNSEDIDIRVVDPESNEELKESGKEGEIWISSPSAGIGYWGREELSQSTFRNVLQNHPGRKYTRTGDLGRIIDGKVFITGRIKDLIIVAGRNIYSTDVEKTVESASELLRPGCCAVIGVPEEVLSSKGISLPDCSDQVGLVVIAEVRDAKHVDKDVVENIKTRVAEEHGVTVASIKLIKPRTISKTTSGKIKRFECLKHFTDGTLNTVPDPFFAKRKLLRSFTTGTSKEGLTPRSRFATSPLPTAKFSKKEIVEFLKGLVSEQTGIPIKNISATESLVSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTATCIADLASFAENLAMKSQPQLMNSQSYQPEPDIDSAEFDTEVSTTRLISVWFFQLLALVYVCAMLSFPAYFSVSAFTSLLSASHMLNEEFPWWNYLIPLALAPLAWILGIISTCISIAFLGNSFLKPNYALTPEVSIWSIHFVKWWALYKAQEISSKVFAEHLRGTVFLNYWFEMLGAKIGSSVLLDTVDITDPSLVSIGDGAVIAEGALLQSHEVKNGILSFQAIRIGRNSSVGPYAVIQKGSTLGEEADVQPLQKTEGGKAVLKSSKAHNVQKGAMLSDKATYHFMGIYMVGLLSTLSAAIIYFLYIWLSQKPASIQHFSFLCISGAFHWTPFTIIAYATMIANVPSNPATFAISVAIVYLAHGLILSLLTCTLTHFLAEKQEKRESHMKAWLRHRITIACHLRFAKLLSGTEAFCIYLRLLGASVGQHCSIRAVNPVSDPELITIGDGVHLGDFSRMIAGFYSSSGFTQGKIEVQDNSVVGSQSLILPGSVVQKDVILGALSVAPANSVLRQGGVYIGSQTPVMIKNTMHALDDRIEEMDYKYKKIVGNLAATLAANTLKVKARYFHRIGVSGKGYLKIYDNLKGFPDHKIFQAGKSYPIVVRHSNGMSADDDARIDLRGAAIRILSDDNGSNSSSLLDLTLKTGKALSARTIGDFATWLVCGLPAREQHVKRAPHIRDAVWMSLRNANSFAELHYYSNICRLFRFSDGQEMYVKFKLRPGDENISEDSGKVEPMGILPPETGAIPRDEKDTRPLLFLAEDFQSRVSSPGGVRYIFQLQIRPVPHDDATCDVALDCTKPWDESEFPYIDIGEVHIDQNLTGAESEALQFNPYIRCHEVDVIRATSSSQSASIDHGRSLIYEICQHLRNGEPLPEAWRIFIEQSDVKVDLSGCPMAAALEKKDSGKVTLARTWYQTLWVIFAQPLLQTFLPYFLMGLLIFAPLNWILHLKESKKVAMHWLLPLVWVSSGVLAALACVVAKWILVGKKKEGQTVHIWSIGVFMDTVWQAFRTVVGDYFMEMTRGSILFLLWLKLMGSDIDLDQGAYVDSMGAALNPEMVEIERGGCVGREALLFGHIYEGEGGKVKFGRIRVGEGGFVGSRAIAMPGVRIEIGGNLSALSLAMKEEIVRSM >Potri.T085701.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:221592:230465:1 gene:Potri.T085701.v4.1 transcript:Potri.T085701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085701.v4.1 MDPLVSIEDQFVKLHPCLPVNTRIGIVGGGPSGISAAYALAKLGYQNVTVLEKHHTVGGMCESVDIEGRIYDLGGQVLAKNSAPVIFHLAKEVGSELEEMDSHKLAHIDSSTGKYQDIKVADDYVAVMSLTLELQDKAKDSGRIGVHAVSELAADLTPTYLESRGFKSVPKSVAYGYTASGYGFVQDMPYAYIHEFTRTSMAGKIRRFKGGYTSLWQKISESLPIEVNCKTDVLAIRRNSDSVRVDVKRNNGEIQEMEFDKIIISGSFPFTNGNTYRFPAEKSTESETEVMDLSEVERYFFRKVQTIDYYTTVLKIKGLEDMPVGFYYFGEYMEDPATIGHPVAMQKFYADTDIFLFWSYGNSFDIKGPTVAELAKKVVMSMGAKVEEEVLQRRFKYFPHVGSQEMKDGFYDKLESELQGQRNTYYVGGLMAFELTERNSSYAMDLICKHFANNNSVPMFPYVKSLFSLKSDCWDRNPKELGEGVEFPDLSTLDGYLKHWGTESMTKDKTLYTWIGEDGAVVCQRTYAELHAKASCIARKLLTSRKPVIKPGDRVLLVYVPGLDFIDAFFGCLRAKVLPVPVLPPDPLQRGGQALLKIESIAKSCNAVAILSTLLYHSAVRAGSVKNLISLAGKNGKWPNLPWMHTDSWLKDSKVLAPGNIAYESECQPDDLCFLQFTSGSTGDAKGVMITHGGLIHNVKLMKRIYKSTSKTVLVSWLPQYHDMGLIGGLFTALVSGGSAILFSPMTFIKNPLLWLQIMSKYHATHSAGPNFAFELLIRRLEYADKDKVRNFDLSSLIFLMVAAEPVRQRTLKRFVELTRPFGLSQEVMAPGYGLAENCVFVSCAYGEGKPILVDWQGRVCCGYVEPNSEDIDIRVVDPESNEELKESGKEGEIWISSPSAGIGYWGREELSQSTFRNVLQNHPGRKYTRTGDLGRIIDGKVFITGRIKDLIIVAGRNIYSTDVEKTVESASELLRPGCCAVIGVPEEVLSSKGISLPDCSDQVGLVVIAEVRDAKHVDKDVVENIKTRVAEEHGVTVASIKLIKPRTISKTTSGKIKRFECLKHFTDGTLNTVPDPFFAKRKLLRSFTTGTSKEGLTPRSRFATSPLPTAKFSKKEIVEFLKGLVSEQTGIPIKNISATESLVSYGIDSIGVVRAAQKLSDFLGVPVGAVDIFTATCIADLASFAENLAMKSQPQLMNSQSYQPEPDIDSAEFDTEVSTTRLISVWFFQLLALVYVCAMLSFPAYFSVSAFTSLLSASHMLNEEFPWWNYLIPLALAPLAWILGIISTCISIAFLGNSFLKPNYALTPEVSIWSIHFVKWWALYKAQEISSKVFAEHLRGTVFLNYWFEMLGAKIGSSVLLDTVDITDPSLVSIGDGAVIAEGALLQSHEVKNGILSFQAIRIGRNSSVGPYAVIQKGSTLGEEADVQPLQKTEGGKAVLKSSKAHNVQKGAMLSDKATYHFMGIYMVGLLSTLSAAIIYFLYIWLSQKPASIQHFSFLCISGAFHWTPFTIIAYATMIANVPSNPATFAISVAIVYLAHGLILSLLTCTLTHFLAEKQEKRESHMKAWLRHRITIACHLRFAKLLSGTEAFCIYLRLLGASVGQHCSIRAVNPVSDPELITIGDGVHLGDFSRMIAGFYSSSGFTQGKIEVQDNSVVGSQSLILPGSVVQKDVILGALSVAPANSVLRQGGVYIGSQTPVMIKNTMHALDDRIEEMDYKYKKIVGNLAATLAANTLKVKARYFHRIGVSGKGYLKIYDNLKGFPDHKIFQAGKSYPIVVRHSNGMSADDDARIDLRGAAIRILSDDNGSNSSSLLDLTLKTGKALSARTIGDFATWLVCGLPAREQHVKRAPHIRDAVWMSLRNANSFAELHYYSNICRLFRFSDGQEMYVKFKLRPGDENISEDSGKVEPMGILPPETGAIPRDEKDTRPLLFLAEDFQSRVSSPGGVRYIFQLQIRPVPHDDATCDVALDCTKPWDESEFPYIDIGEVHIDQNLTGAESEALQFNPYIRCHEVDVIRATSSSQSASIDHGRSLIYEICQHLRNGEPLPEAWRIFIEQSDVKVDLSGCPMAAALEKKDSGKVTLARTWYQTLWVIFAQPLLQTFLPYFLMGLLIFAPLNWILHLKESKKVAMHWLLPLVWVSSGVLAALACVVAKWILVGKKKEGQTVHIWSIGVFMDTVWQAFRTVVGDYFMEMTRGSILFLLWLKLMGSDIDLDQGAYVDSMGAALNPEMVEIERGGCVGREALLFGHIYEGEGGKVKFGRIRVGEGGFVGSRAIAMPGVRIEIGGNLSALSLAMKEEIVRSM >Potri.002G085200.1.v4.1 pep chromosome:Pop_tri_v4:2:6081944:6084630:-1 gene:Potri.002G085200.v4.1 transcript:Potri.002G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085200.v4.1 MAIATIAAVATKPSSIPLLIRKKPKWVFTPLAFCSSSSSASRRKLILYSKPGCCLCDGLKEKLQAAFLLSGPHSLHDVDLQVRDITSNPEWERAYQYEIPVLAKVLSDGTEETLPRISPRLGVELVHKKIAAALIQ >Potri.001G283700.1.v4.1 pep chromosome:Pop_tri_v4:1:23348926:23351399:-1 gene:Potri.001G283700.v4.1 transcript:Potri.001G283700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283700.v4.1 MGKLPVPFLPFVFSTLFTLIFPSASGLSFNFTSFVVGDRNISYEQDASPAPDGAVRLTKSLIDTNMALSSGRATYYKSMQLWDKASGNLTDFTTHFSFSINSQTKAQYGDGLAFFLAPEGSMLRPNLSQGAGLGLARSDQPLNTTANQFVAVEFDIFKNDFDPPGEHVGIDINSMQSVNNITWLCDIREGRKTEASISYNSSTHNLSVAFTGNRSNSTVEMQFLSQIVSLRDYLPERVSFGFSASTGDLFAIHTLYSWDFSSSLEIDDNVPSPIDPAAASPPNGGSLRNRKKNRTGLAVGLGVGGGAMVVGAALVGFVIKFMCGHEEDEEGGHVLEEYMDDEFERGTGPKKFSYQELARATSNFKDEEKLGEGGFGGVYKGFLKEIDSFVAVKRVSRGSKQGIKEYAAEVKIISRLRHRNLVKLIGWCHERKELLLVYEFMPHGSLDSHLFEETSLLTWEVRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIMLDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECTMTGKASRESDVYSFGIVALEIACGRKPINPKASNEDRVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIVGLSCAHPDEHLRPSIRQALHVLNFDAPLPILPSKMPVPSYFAPPISASSLSIMSYGLTDSEGGMNKSSSYSYNTNSSQFTTSSSASSASAMLLHEG >Potri.014G017100.1.v4.1 pep chromosome:Pop_tri_v4:14:1046456:1048128:-1 gene:Potri.014G017100.v4.1 transcript:Potri.014G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017100.v4.1 MNMQTMGDFPDGEWDFSRMFSMEEPDFTPELLGQCSFPQENDEGLQFTIPPAAFFPTPEANVSMAGNESLFYSWNALNPNLHFDSQESGNNSNCSSGVFLPSSSHESYFFNDSNRIQAANDNSMSMDISLMDEKTIGLFMPFFPEIAMAETACMNGDMSSDKIGDLDDNLQPAANTVLAKGLQLKRKLDVPESNTLDDMKKKPRITRNVQKSKKSVQSKKNQRSTPKISNEEEESNAGPDGQSSSSCSSEDDNASKDSDSKVSEVLSSSGKTRASRGAATDPQSLYARKRRERINERLKILQHIVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPLAYSGIDIGLDQKLSMLL >Potri.005G044900.7.v4.1 pep chromosome:Pop_tri_v4:5:2841983:2846919:1 gene:Potri.005G044900.v4.1 transcript:Potri.005G044900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044900.v4.1 MTQLLEIQPKELKFVFEVKKQSSCSICLTNNAPHNVAFKVKTTSPKKYSVRPNVGVIDPKSTCEFIVTMQSQKEAPPDMVCKDKFLIQSTVVPVGTTEKDITSSTFAKNDNKHIEEVKMRVALISSPESFVPTPINGVFKQGPFFEPSVLKDSVLNRVEILNPPQMGPFLEPSVLKDPVLNRVEIITPPQTYQVAKDAEFKMTNGHESNTSEDVELKPEKDVIHGQKSKLSGDTDWVPVIDIVNEKELKLAQDEELKQDKDAISNEHSKPAPDMESPSLNEEIITANAVELKLTSDIDEMKSKLHVLESKLNETESTISRLTEEKRQSSQERKILQEELDFLRSRTSVKRVYVGFPLLFVVMIALISIELGYLLHS >Potri.006G068300.3.v4.1 pep chromosome:Pop_tri_v4:6:4998197:4999541:1 gene:Potri.006G068300.v4.1 transcript:Potri.006G068300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068300.v4.1 MRSFQSSGLVHAAGIATSLINSGQQWDFPNGWAPLQHMIVEGLLRSGLKEARSLAEDIAVRWIKTNYIGYKKTGAMHEKYDVRKCGAFGGGGEYIPQTGFGWSNGVVLTFLEEFGWPEDRSIGC >Potri.006G068300.2.v4.1 pep chromosome:Pop_tri_v4:6:4998197:4999541:1 gene:Potri.006G068300.v4.1 transcript:Potri.006G068300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068300.v4.1 MRSFQSSGLVHAAGIATSLINSGQQWDFPNGWAPLQHMIVEGLLRSGLKEARSLAEDIAVRWIKTNYIGYKKTGAMHEKYDVRKCGAFGGGGEYIPQTGFGWSNGVVLTFLEEFGWPEDRSIGC >Potri.012G079500.1.v4.1 pep chromosome:Pop_tri_v4:12:10403767:10407867:1 gene:Potri.012G079500.v4.1 transcript:Potri.012G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079500.v4.1 MTSASPSPTVIIIGAGMSGILAAKTLHDSGIQDILILEANSKIGGRIHSVQFRGHTVELGANWVIGGGPRSNHLYEIASKLNLKTYLSDYGNISANIYKQEGGLYPKHIVSAALEVAETRDQFCTSFSTRLSAPGHDRDDVSILVSQRLFKEVPTTPLDMVIDYFYNDYEDAEPPRVTSLKNTIPRYEFLDFGDQTYFLADSRGFESILIYIAKQFLSHKHEVIRDQRLKLNKVVREINYSKSGVQVKTEDGSVYQAKYVIVSVSVGVLQSDLIVFKPHLPQWKTQAIYEFDMAVYTKIFLRFPYKFWPSGPETEFFLYAHEKRGYYPIWQHLETEMPGSNILFVTVTDEEAKRIEQQQDIKIQEEIMDVLKKMFGNDIPEPDEILIPRWWSNRFFKGSFSNWPIGYSQRRHMQLKEPVGRIYFSGEHTYSRYLGYADAAYFAGIETANHLIRCIKHEKYCNGYDRHSTIDRLHNEDPYDPDA >Potri.006G137401.1.v4.1 pep chromosome:Pop_tri_v4:6:11381900:11382970:-1 gene:Potri.006G137401.v4.1 transcript:Potri.006G137401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137401.v4.1 MEGLLKFVRQDTKPGASLSGNSYNLSEKAGLTAALSPPDQSRVLITRPPRQMVSLWTCSKMCAIFFVAGIVVGYTLKKRVRRWAAKLLRRLKDD >Potri.008G194700.4.v4.1 pep chromosome:Pop_tri_v4:8:13744317:13749822:1 gene:Potri.008G194700.v4.1 transcript:Potri.008G194700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194700.v4.1 MGLGSEEGKVKSSWDVCKSKERKKKDGTKAGCWVKLRLVGGCISSRSKVDSSVSGTSTHFESKSINDTSTDQPAVPVVSSSTTSNTESNSSLSKLEEELKVASRLRKFTFNDLKLATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNYLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEEAERPVIYRDFKTSNILLDADYNAKLSDFGLAKDAPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPYLGERRRFYRLIDPRLQGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVDTLKPLPNLKDMASSSYYFQTMQGDRVGSSPNAKNGVRSQAGLLSRNGHQQRSFSIPHGPHASPFHHQYPHQSPKPSGKP >Potri.014G138400.1.v4.1 pep chromosome:Pop_tri_v4:14:9417265:9426527:1 gene:Potri.014G138400.v4.1 transcript:Potri.014G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138400.v4.1 MTVSSSVWDSVLEHTMSAQVKNSEPHLWAIQLSSSLNSAGVDLPSLELARLLVSHICFDNHVPITWKLLEKALSLNLAPPLLVLALLSTRVVPNRKLHPAAYRLYMELVKRHAFSFSALINAQNYQMTMKSIDDVVHLSQIFGVQLCEPGILLVEFVFSIVWQLLDASLDDEGLLEQSLEKNSRWLSRLQDMEIDGNENFSEKRNEHHEGLHKVNTTMAIELIEEFLKNKVTSSILYLARQNMPSHWGGFIERLQLLVVHSTALRNSKHTTPDAFLQLTSDTHRVLSREGKTISHHEFHAVMFSGSLKSSVGQCHGASHSAVWLPIDLFLEDTMDGSLVTTTSAVENLISLVKALQAVNRTTWHDTFLGLWIAALRLVQRERNTSEGLMPRVDTSLSMLLSITTLVVTNLIEEEESELIDETQQNPANQRKEKQGKLQKGLITSLQLLGDYEGLLTPPQSVSSIANQAAAKATMFISGLTVRNGYSMSINDMPVNCSGNLRHLIVEAFIARNMLDTSAYLWPGYVNARANQVPRGVPSQTTGWSSLMNGSPLTPSMINILVSTPASSLPEVEKIYEIAVNGSGDEKISAAAILCGASFVRGWNIQEHTILFIINLMSPPVPADHSGTESHLINYAPLLNVLLVGISSVDCVQILSLHGLVPLLAGALMPICEAFGSAVPEVSWTLPPTGEELSCHAVFSNAFTLLLRLWRFDHSPLDHVLGDIPPVGSHLSPEYLLLVRNSLLASFGPSTRSQLKLRRYSKILSLSVEPVFMDSFPNLKLWYRKHLECIASTFSGLVHGTPVHQIVDALLNLMFRRINRGVQPSTSGSSLSSGPGAEDAQARLKIPAWDILEATPFALDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGIWKPASMNGTDWPSPAANLSSVEQQIKKILAATGVDVPSLSVGGTLATLPLPLAALVSLTITYKLDKMSERFLTLIGPAVNALAAGCWPCMPIIAALWAQKVKRWSDHLVFSASRTVFHHNSDAVVQLLKSCFSSTLGLSPSHISSNGGVGALLGHGFGPHFSGGISPVAPGILYLRVHRSVRDVMFMAEEILSLLMHSVRDIASSALPKGAMEKLKKSKHGMRYGEVSLAAAMTRVKLAASLGASLVWVSGGLSLVQSLINETLPSWFISVHGSEQEGGESGGMVAMLRGFALAYFAMFCGTFAWGVDSESAASKKRPKVLRTHLEYLASALEGKISLGCDWATAWAYASGFVCLMVACTPKWVLEVDVDVLKRVSKGLRQWNEEELAVALLGLGGVGTMGAAAELIIETGL >Potri.014G138400.7.v4.1 pep chromosome:Pop_tri_v4:14:9421472:9426453:1 gene:Potri.014G138400.v4.1 transcript:Potri.014G138400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138400.v4.1 MSPPVPADHSGTESHLINYAPLLNVLLVGISSVDCVQILSLHGLVPLLAGALMPICEAFGSAVPEVSWTLPPTGEELSCHAVFSNAFTLLLRLWRFDHSPLDHVLGDIPPVGSHLSPEYLLLVRNSLLASFGPSTRSQLKLRRYSKILSLSVEPVFMDSFPNLKLWYRKHLECIASTFSGLVHGTPVHQIVDALLNLMFRRINRGVQPSTSGSSLSSGPGAEDAQARLKIPAWDILEATPFALDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGIWKPASMNGTDWPSPAANLSSVEQQIKKILAATGVDVPSLSVGGTLATLPLPLAALVSLTITYKLDKMSERFLTLIGPAVNALAAGCWPCMPIIAALWAQKVKRWSDHLVFSASRTVFHHNSDAVVQLLKSCFSSTLGLSPSHISSNGGVGALLGHGFGPHFSGGISPVAPGILYLRVHRSVRDVMFMAEEILSLLMHSVRDIASSALPKGAMEKLKKSKHGMRYGEVSLAAAMTRVKLAASLGASLVWVSGGLSLVQSLINETLPSWFISVHGSEQEGGESGGMVAMLRGFALAYFAMFCGTFAWGVDSESAASKKRPKVLRTHLEYLASALEGKISLGCDWATAWAYASGFVCLMVACTPKWVLEVDVDVLKRVSKGLRQWNEEELAVALLGLGGVGTMGAAAELIIETGL >Potri.014G138400.4.v4.1 pep chromosome:Pop_tri_v4:14:9417353:9424370:1 gene:Potri.014G138400.v4.1 transcript:Potri.014G138400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138400.v4.1 MTVSSSVWDSVLEHTMSAQVKNSEPHLWAIQLSSSLNSAGVDLPSLELARLLVSHICFDNHVPITWKLLEKALSLNLAPPLLVLALLSTRVVPNRKLHPAAYRLYMELVKRHAFSFSALINAQNYQMTMKSIDDVVHLSQIFGVQLCEPGILLVEFVFSIVWQLLDASLDDEGLLEQSLEKNSRWLSRLQDMEIDGNENFSEKRNEHHEGLHKVNTTMAIELIEEFLKNKVTSSILYLARQNMPSHWGGFIERLQLLVVHSTALRNSKHTTPDAFLQLTSDTHRVLSREGKTISHHEFHAVMFSGSLKSSVGQCHGASHSAVWLPIDLFLEDTMDGSLVTTTSAVENLISLVKALQAVNRTTWHDTFLGLWIAALRLVQRERNTSEGLMPRVDTSLSMLLSITTLVVTNLIEEEESELIDETQQNPANQRKEKQGKLQKGLITSLQLLGDYEGLLTPPQSVSSIANQAAAKATMFISGLTVRNGYSMSINDMPVNCSGNLRHLIVEAFIARNMLDTSAYLWPGYVNARANQVPRGVPSQTTGWSSLMNGSPLTPSMINILVSTPASSLPEVEKIYEIAVNGSGDEKISAAAILCGASFVRGWNIQEHTILFIINLMSPPVPADHSGTESHLINYAPLLNVLLVGISSVDCVQILSLHGLVPLLAGALMPICEAFGSAVPEVSWTLPPTGEELSCHAVFSNAFTLLLRLWRFDHSPLDHVLGDIPPVGSHLSPEYLLLVRNSLLASFGPSTRSQLKLRRYSKILSLSVEPVFMDSFPNLKLWYRKHLECIASTFSGLVHGTPVHQIVDALLNLMFRRINRGVQPSTSGSSLSSGPGAEDAQARLKIPAWDILEATPFALDAALTACAHGRLSPRELATGLHTLLNVSFCHFKSIWFEISWQACEEEVGVLRENIEAMSRVLFRYM >Potri.014G138400.2.v4.1 pep chromosome:Pop_tri_v4:14:9417343:9426534:1 gene:Potri.014G138400.v4.1 transcript:Potri.014G138400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138400.v4.1 MEIDGNENFSEKRNEHHEGLHKVNTTMAIELIEEFLKNKVTSSILYLARQNMPSHWGGFIERLQLLVVHSTALRNSKHTTPDAFLQLTSDTHRVLSREGKTISHHEFHAVMFSGSLKSSVGQCHGASHSAVWLPIDLFLEDTMDGSLVTTTSAVENLISLVKALQAVNRTTWHDTFLGLWIAALRLVQRERNTSEGLMPRVDTSLSMLLSITTLVVTNLIEEEESELIDETQQNPANQRKEKQGKLQKGLITSLQLLGDYEGLLTPPQSVSSIANQAAAKATMFISGLTVRNGYSMSINDMPVNCSGNLRHLIVEAFIARNMLDTSAYLWPGYVNARANQVPRGVPSQTTGWSSLMNGSPLTPSMINILVSTPASSLPEVEKIYEIAVNGSGDEKISAAAILCGASFVRGWNIQEHTILFIINLMSPPVPADHSGTESHLINYAPLLNVLLVGISSVDCVQILSLHGLVPLLAGALMPICEAFGSAVPEVSWTLPPTGEELSCHAVFSNAFTLLLRLWRFDHSPLDHVLGDIPPVGSHLSPEYLLLVRNSLLASFGPSTRSQLKLRRYSKILSLSVEPVFMDSFPNLKLWYRKHLECIASTFSGLVHGTPVHQIVDALLNLMFRRINRGVQPSTSGSSLSSGPGAEDAQARLKIPAWDILEATPFALDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGIWKPASMNGTDWPSPAANLSSVEQQIKKILAATGVDVPSLSVGGTLATLPLPLAALVSLTITYKLDKMSERFLTLIGPAVNALAAGCWPCMPIIAALWAQKVKRWSDHLVFSASRTVFHHNSDAVVQLLKSCFSSTLGLSPSHISSNGGVGALLGHGFGPHFSGGISPVAPGILYLRVHRSVRDVMFMAEEILSLLMHSVRDIASSALPKGAMEKLKKSKHGMRYGEVSLAAAMTRVKLAASLGASLVWVSGGLSLVQSLINETLPSWFISVHGSEQEGGESGGMVAMLRGFALAYFAMFCGTFAWGVDSESAASKKRPKVLRTHLEYLASALEGKISLGCDWATAWAYASGFVCLMVACTPKWVLEVDVDVLKRVSKGLRQWNEEELAVALLGLGGVGTMGAAAELIIETGL >Potri.014G138400.6.v4.1 pep chromosome:Pop_tri_v4:14:9417353:9426446:1 gene:Potri.014G138400.v4.1 transcript:Potri.014G138400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138400.v4.1 MELVKRHAFSFSALINAQNYQMTMKSIDDVVHLSQIFGVQLCEPGILLVEFVFSIVWQLLDASLDDEGLLEQSLEKNSRWLSRLQDMEIDGNENFSEKRNEHHEGLHKVNTTMAIELIEEFLKNKVTSSILYLARQNMPSHWGGFIERLQLLVVHSTALRNSKHTTPDAFLQLTSDTHRVLSREGKTISHHEFHAVMFSGSLKSSVGQCHGASHSAVWLPIDLFLEDTMDGSLVTTTSAVENLISLVKALQAVNRTTWHDTFLGLWIAALRLVQRERNTSEGLMPRVDTSLSMLLSITTLVVTNLIEEEESELIDETQQNPANQRKEKQGKLQKGLITSLQLLGDYEGLLTPPQSVSSIANQAAAKATMFISGLTVRNGYSMSINDMPVNCSGNLRHLIVEAFIARNMLDTSAYLWPGYVNARANQVPRGVPSQTTGWSSLMNGSPLTPSMINILVSTPASSLPEVEKIYEIAVNGSGDEKISAAAILCGASFVRGWNIQEHTILFIINLMSPPVPADHSGTESHLINYAPLLNVLLVGISSVDCVQILSLHGLVPLLAGALMPICEAFGSAVPEVSWTLPPTGEELSCHAVFSNAFTLLLRLWRFDHSPLDHVLGDIPPVGSHLSPEYLLLVRNSLLASFGPSTRSQLKLRRYSKILSLSVEPVFMDSFPNLKLWYRKHLECIASTFSGLVHGTPVHQIVDALLNLMFRRINRGVQPSTSGSSLSSGPGAEDAQARLKIPAWDILEATPFALDAALTACAHGRLSPRELATGLKDLADFLPASLATIVSYFSAEVTRGIWKPASMNGTDWPSPAANLSSVEQQIKKILAATGVDVPSLSVGGTLATLPLPLAALVSLTITYKLDKMSERFLTLIGPAVNALAAGCWPCMPIIAALWAQKVKRWSDHLVFSASRTVFHHNSDAVVQLLKSCFSSTLGLSPSHISSNGGVGALLGHGFGPHFSGGISPVAPGILYLRVHRSVRDVMFMAEEILSLLMHSVRDIASSALPKGAMEKLKKSKHGMRYGEVSLAAAMTRVKLAASLGASLVWVSGGLSLVQSLINETLPSWFISVHGSEQEGGESGGMVAMLRGFALAYFAMFCGTFAWGVDSESAASKKRPKVLRTHLEYLASALEGKISLGCDWATAWAYASGFVCLMVACTPKWVLEVDVDVLKRVSKGLRQWNEEELAVALLGLGGVGTMGAAAELIIETGL >Potri.004G011201.1.v4.1 pep chromosome:Pop_tri_v4:4:658506:658842:-1 gene:Potri.004G011201.v4.1 transcript:Potri.004G011201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011201.v4.1 MLVNIANVPYNSKRTATRYAVFCHKNFQLQGGDAKIHMTGAESGMEGYINDIGQGSITKIKGVTS >Potri.016G001100.8.v4.1 pep chromosome:Pop_tri_v4:16:49984:54790:-1 gene:Potri.016G001100.v4.1 transcript:Potri.016G001100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001100.v4.1 MDLDCEAMTMDPINGGNSLNNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDVDKKETGDVLSNSDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVSAPVSGDNCPVSDNKTDPATPAPTSESPLQDKVAKECAPTKSLSIDESFSSQHEPLTPDSRCNTGSPAESPRGERSLKKQMVSMGVAFGKPEMVLTHQILESSLNSYPQPHSAFLTREQFDPSSGLSMGNEDQSEVLGSDL >Potri.016G001100.6.v4.1 pep chromosome:Pop_tri_v4:16:49987:54644:-1 gene:Potri.016G001100.v4.1 transcript:Potri.016G001100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001100.v4.1 MTMDPINGGNSLNNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDVDKKETGDVLSNSDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVSAPVSGDNCPVSDNKTDPATPAPTSESPLQDKVAKECAPTKSLSIDESFSSQHEPLTPDSRCNTGSPAESPRGERSLKKQMVSMGVAFGKPEMVLTHQILESSLNSYPQPHSAFLTREQFDPSSGLSMGNEDQSEVLGSDL >Potri.016G001100.1.v4.1 pep chromosome:Pop_tri_v4:16:49987:54790:-1 gene:Potri.016G001100.v4.1 transcript:Potri.016G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001100.v4.1 MDLDCEAMTMDPINGGNSLNNNPNLASKQRLRWTHELHERFVDAVAQLGGPDRATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKVDKKETGDVLSNSDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVSAPVSGDNCPVSDNKTDPATPAPTSESPLQDKVAKECAPTKSLSIDESFSSQHEPLTPDSRCNTGSPAESPRGERSLKKQMVSMGVAFGKPEMVLTHQILESSLNSYPQPHSAFLTREQFDPSSGLSMGNEDQSEVLGSDL >Potri.016G001100.10.v4.1 pep chromosome:Pop_tri_v4:16:49987:54648:-1 gene:Potri.016G001100.v4.1 transcript:Potri.016G001100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001100.v4.1 MDLGATPKGVLRVMGVQGLTIYHVKSHLQKYRLAKYLPDSSSDGKKVDKKETGDVLSNSDGSSGMQITEALKLQMEVQKRLHEQLEVQRQLQLRIEAQGKYLKKIIEEQQRLSGVLEDVPGSGVSAPVSGDNCPVSDNKTDPATPAPTSESPLQDKVAKECAPTKSLSIDESFSSQHEPLTPDSRCNTGSPAESPRGERSLKKQMVSMGVAFGKPEMVLTHQILESSLNSYPQPHSAFLTREQFDPSSGLSMGNEDQSEVLGSDL >Potri.014G034500.1.v4.1 pep chromosome:Pop_tri_v4:14:2157419:2158042:1 gene:Potri.014G034500.v4.1 transcript:Potri.014G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034500.v4.1 MAKGKLIFTSTLIIVLVLCYGITSSVGRLLKTGENTSSFSLHRDLLVSEARSEPVTPGPDHADADSDDFKPTTPGHSPGAGHSTPGHN >Potri.018G026600.2.v4.1 pep chromosome:Pop_tri_v4:18:1962302:1967108:-1 gene:Potri.018G026600.v4.1 transcript:Potri.018G026600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026600.v4.1 MMTSMAASSSSSNPILPVFVSSISEERQREKERLVKGDDKVFRGSSMTKRGANAAISYMSCAVLLILFNKAALSSYSFPSANVITLFQMMCSCSFLYVLRRWRIISFTDGGSLITSDVKATFVPLETLIHTLPLAFTYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMIMEYILAGQRYAPPIFGSVGLIVLGAFIAGARDLSFDFYGYAVVFAANFTTAIYLATISRIGKSSGLNSFGLMWCNGIICGPVLLFWTFIRGDLQMTMNFPYLFSPGFLAVLLFSCILAFFLNYSIFLNTTLNSALTQTICGNLKDLFTIALGWAIFGGLPFDIFNVIGQSLGFVGSGLYAYYKLIGR >Potri.016G119650.1.v4.1 pep chromosome:Pop_tri_v4:16:12454469:12454943:1 gene:Potri.016G119650.v4.1 transcript:Potri.016G119650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119650.v4.1 MISGFLSGTADPVCTGQFDVVRTRLMVQSREGDELKCKGLVHAITTIYAEEGCLALWKGITA >Potri.005G057700.2.v4.1 pep chromosome:Pop_tri_v4:5:3626579:3632311:1 gene:Potri.005G057700.v4.1 transcript:Potri.005G057700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057700.v4.1 MAVSAPSFVSLPSLSLISPSSSSSSSSLSPVKFNHHRLIKGKRSSNGRLVINCSSEMGPTSDPINLRQPQMSSVAPFGVTMNEQSYRWQRVLLKVSGEALAGDQMQNIDPKVTMAIAREVATVTRLGIEVAIVVGGGNIFRGSSWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAQVVLKATNVDGVYDDDPKRNPNARLLEDLTYHEVTSKDLSVMDMTAITLCQENNIPGMST >Potri.005G057700.1.v4.1 pep chromosome:Pop_tri_v4:5:3626507:3631048:1 gene:Potri.005G057700.v4.1 transcript:Potri.005G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057700.v4.1 MAVSAPSFVSLPSLSLISPSSSSSSSSLSPVKFNHHRLIKGKRSSNGRLVINCSSEMGPTSDPINLRQPQMSSVAPFGVTMNEQSYRWQRVLLKVSGEALAGDQMQNIDPKVTMAIAREVATVTRLGIEVAIVVGGGNIFRGSSWAGCSGLDRSSADYIGMLATVMNAIFLQATMESIGIPTRVQTAFRMSEVAEPYIRRRAVRHLEKGRVVIFAAGTGNPFFTTDTAAALRCAEINAQVVLKATNVDGVYDDDPKRNPNARLLEDLTYHEVTSKDLSVMDMTAITLCQENNIPVVVFNLSKPGNIAKAIKGERVGTLIGGTCNSTVART >Potri.010G152000.1.v4.1 pep chromosome:Pop_tri_v4:10:16162510:16165290:1 gene:Potri.010G152000.v4.1 transcript:Potri.010G152000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152000.v4.1 MEIIRGILAICIAVSFLLYNSSNVEGRSRYHKPKNKSSKNPEPPVSPTPYNAPDPQNPTAPSSPSNSPSIPSDPYPNDPGNTSSDYIFNVMDYGAVGDGSTDDTNAFRQAWKEACGVESGVILAPSGYSFMITSTIFSGPCKPGIVFQVDGCLMPPDGPDSWPEKDSTQQWLVFYRLDGMTLTGEGTIEGNGEKWWDLPCKPHRGPNGSTSKGPCVSPALIRFFMSSNLEVSGLKIQNSPQFHMKFDGCEGVLIKKLSISSPKLSPNTDGIHIENTKAVGIYDSFISNGDDCISIGTGCSNVDIDGLTCGPSHGISIGSLGVHNSQACVSNITVRNTIIKESDDGLRIKTWQGGTGCVSDINFENIQMENVRNCIIIDQYYCLSKACQNETSAVYVTGVTYRNIKGTYDVRTPPIHFACSDTVACTNILLSEVELLPEEGELVDDPFCWNAYGTEETVTIPPINCLREGEPEGLREISSYGC >Potri.005G258000.1.v4.1 pep chromosome:Pop_tri_v4:5:24830422:24831240:-1 gene:Potri.005G258000.v4.1 transcript:Potri.005G258000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258000.v4.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHNRPTISPTLLLSNTYHRPDMITPGVDAQGQPLDPHKIQEHFEDFYEDIFEELSKFGEIENLNVCDNLADHMIGNVYVQFKEEDQAAAALQSLQGRFYSGRPIIADFSPVTDFREATCRQYEENNCNRGGYCNFMHVKLIGKDLRRKLFGRYRGYRVSRSRSRSVSPRRRDRDYDRRERDYRDRDRDYRGNGRRNDRDGGRKRHGSPRRSRSPVREGSEERRARIEQWNREREEKQ >Potri.011G122100.1.v4.1 pep chromosome:Pop_tri_v4:11:15269005:15270172:1 gene:Potri.011G122100.v4.1 transcript:Potri.011G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122100.v4.1 MLAIFHKAFANPPEELNSPASQRSTRKPKLPDETLSEFLSHHPQKTFSINFGEAAVLAYVPQDSPFSPQQRLFCGFEGIYCLFSGSLNNLCTLNKQYGLTKGTNEAMFVIEAFKTLRDRGPYPADQVVKDLDGSFAFVIYDSKAGTVFAALGSDGGVKLYWGIAADGSVVISDDLEIIKAGCAKSFAPFPTGFIFHSEGGLMSFEHPMNKVRAMPRTDSEGFLCGANFKVDVFTRINSLPRRGSEANWTEWESHS >Potri.012G130300.1.v4.1 pep chromosome:Pop_tri_v4:12:14530138:14534856:1 gene:Potri.012G130300.v4.1 transcript:Potri.012G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130300.v4.1 MDIEMETEEEFVAVEPIWVRDVDGDDADFDIDYEFDASKCYDFSRQETELEAQESEFWFEIAPSYPPSPFAIKAKRRPSFASLEPAEISTDHNNYIGLESDNQIVQDIAKAEAKSPVKSPRSKNSFMNPTASQLAKKNCWPENHCNRLIRRFTKFSVENEEKNSKGSSVIGNQATKRQKLESGYLRKVACLKHQALFQHKEPKKVDERPTFGRTKATIPREPILRTSYRAERHRSKLNLESDENAKPNASCAFKARPLNRKILRAPSFPLPRKSAPQRPEFQVFHLRTLERARTSERAATQRSSINNAANVSNSNPISQNGTTDSRSMILVKKLHPFQREKSNSTLKEKSEALDKFKPRCLNRKEPNSPTKRFRMNLTIESFSKLSLASEVHSNANAQTKLPLQYRGSKENAPGCLNL >Potri.012G130300.2.v4.1 pep chromosome:Pop_tri_v4:12:14530138:14534856:1 gene:Potri.012G130300.v4.1 transcript:Potri.012G130300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130300.v4.1 MDIEMETEEEFVAVEPIWVRDVDGDDADFDIDYEFDASKCYDFSRQETELEAQESEFWFEIAPSYPPSRLESDNQIVQDIAKAEAKSPVKSPRSKNSFMNPTASQLAKKNCWPENHCNRLIRRFTKFSVENEEKNSKGSSVIGNQATKRQKLESGYLRKVACLKHQALFQHKEPKKVDERPTFGRTKATIPREPILRTSYRAERHRSKLNLESDENAKPNASCAFKARPLNRKILRAPSFPLPRKSAPQRPEFQVFHLRTLERARTSERAATQRSSINNAANVSNSNPISQNGTTDSRSMILVKKLHPFQREKSNSTLKEKSEALDKFKPRCLNRKEPNSPTKRFRMNLTIESFSKLSLASEVHSNANAQTKLPLQYRGSKENAPGCLNL >Potri.012G130300.3.v4.1 pep chromosome:Pop_tri_v4:12:14530138:14534856:1 gene:Potri.012G130300.v4.1 transcript:Potri.012G130300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130300.v4.1 MDIEMETEEEFVAVEPIWVRDVDGDDADFDIDYEFDASKCYDFSRQETELEAQESEFWFEIAPSYPPSPFAIKAKRRPSFASLEPAEISTDHNNYIGLESDNQIVQDIAKAEAKSPVKSPRSKNSFMNPTASQLAKKNCWPENHCNRLIRRFTKFSVENEEKNSKGSSVIGNQATKRQKLESGYLRKVACLKHQALFQHKEPKKVDERPTFGRTKATIPREPILRTSYRAERHRSKLNLESDENAKPNASCAFKARPLNRKILRAPSFPLPRKSAPQRPEFQVFHLRTLERARTSERAATQRSSINNAANVSNSNPISQNGTTDSRSMILVKKLHPFQREKSNSTLKEKSEALDKFKPRCLNRKEPNSPTKRFRMNLTIESFTLPGI >Potri.008G018400.4.v4.1 pep chromosome:Pop_tri_v4:8:920007:924679:-1 gene:Potri.008G018400.v4.1 transcript:Potri.008G018400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018400.v4.1 MSRQSLLPPRCPFRKHVVSHPIHESSPQHHRSPSQGSVLEEKPAWLDDLLSDEDADSKGKCLRRSASDSVTLLDGIVDSFSGLSPYNDEAASGGNETCSGLESASMYGPNSPRRRGNVTFSENAIASALSEYAFQNPLQYVDGSLCISGIKPLDQMGNACGSAGELNGETNPVKRHSGQRSRVRKLQYIAELERTVNVLQTLESELAVKVASMLQKRATLSLENNTLKQQEARIRQEKLIAEAQHKALKKEAERMKNKLGFTNLKFRNYSRSSRPPEAARSKVTWQMAKLNLN >Potri.008G018400.2.v4.1 pep chromosome:Pop_tri_v4:8:920007:924679:-1 gene:Potri.008G018400.v4.1 transcript:Potri.008G018400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018400.v4.1 MSRQSLLPPRCPFRKHVVSHPIHESSPQHHRSPSQGSVLEEKPAWLDDLLSDEDADSKGKCLRRSASDSVTLLDGIVDSFSGLSPYNDEAASGGNETCSGLESASMYGPNSPRRRGNVTFSENAIASALSEYAFQNPLQYVDGSLCISGIKPLDQMGNACGSAGELNGETNPVKRHSGQRSRVRKLQYIAELERTVNVLQTLESELAVKVASMLQKRATLSLENNTLKQQEARIRQEKLIAEAQHKALKKEAERMKNKLGFTNLKFRNYSRSSRPPEAARSKVTWQMAKLNLN >Potri.008G018400.3.v4.1 pep chromosome:Pop_tri_v4:8:920007:924679:-1 gene:Potri.008G018400.v4.1 transcript:Potri.008G018400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018400.v4.1 MSRQSLLPPRCPFRKHVVSHPIHESSPQHHRSPSQGSVLEEKPAWLDDLLSDEDADSKGKCLRRSASDSVTLLDGIVDSFSGLSPYNDEAASGGNETCSGLESASMYGPNSPRRRGNVTFSENAIASALSEYAFQNPLQYVDGSLCISGIKPLDQMGNACGSAGELNGETNPVKRHSGQRSRVRKLQYIAELERTVNVLQTLESELAVKVASMLQKRATLSLENNTLKQQEARIRQEKLIAEAQHKALKKEAERMKNKLGFTNLKFRNYSRSSRPPEAARSKVTWQMAKLNLN >Potri.015G035400.1.v4.1 pep chromosome:Pop_tri_v4:15:2952303:2953253:1 gene:Potri.015G035400.v4.1 transcript:Potri.015G035400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035400.v4.1 MALEWVAVAYAAGAEAMMLLLLTLPGLNPLRNGLLSVTKTLLKPFFSILPICLFLVMDIYWKYETMPSCKTLNSCSPSENMRHQKSTIKSQRNALLIAAALVFYWLLYSVTKLIDRVEQLQFQIKRSTKND >Potri.008G114500.2.v4.1 pep chromosome:Pop_tri_v4:8:7290092:7292643:1 gene:Potri.008G114500.v4.1 transcript:Potri.008G114500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114500.v4.1 MKTIMAKNPHDSSFSFSRRYFNRGKKVVEDEEDDDEEILTFNSFSHCSEELKDDQELRITLPAGAIQPAPRKKTLPIVAVSKLRSALTVLSGRSGYHSGTRVIGTLFGYRRGHVHFSFQEDAKQNPAFLIELATPTSILVREMASGLVRIALECEKKAGKKAGKLLEEPLWRTYCNGKKCGHATRRECRPEDWKVLKAVEPVSMGAGVLPGNGATGSEIGEIMYMRARE >Potri.008G114500.1.v4.1 pep chromosome:Pop_tri_v4:8:7290092:7291754:1 gene:Potri.008G114500.v4.1 transcript:Potri.008G114500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114500.v4.1 MKTIMAKNPHDSSFSFSRRYFNRGKKVVEDEEDDDEEILTFNSFSHCSEELKDDQELRITLPAGAIQPAPRKKTLPIVAVSKLRSALTVLSGRSGYHSGTRVIGTLFGYRRGHVHFSFQEDAKQNPAFLIELATPTSILVREMASGLVRIALECEKKAGKKAGKLLEEPLWRTYCNGKKCGHATRRECRPEDWKVLKAVEPVSMGAGVLPGNGATGSEIGEIMYMRARYERVVGSKDSEAFYMMNPDGPGGPELSIYLLRV >Potri.010G171500.1.v4.1 pep chromosome:Pop_tri_v4:10:17299582:17303662:1 gene:Potri.010G171500.v4.1 transcript:Potri.010G171500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171500.v4.1 MASATAPTTLSLLKTAASSTSTSVRASLLPVSTSGLRTTSLRGLGFSAADTLFSSHVVSKIRSFKSNGKAPRAVVSMAKKSVGDLTAADLKGKKVFVRADLNVPLDDNQNITDDTRIRAAIPTIKYLISNGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKADDCIGPEVEKLVASLPDGGVLLLENVRFYKEEEKNEPEFAKKLASLADLYVNDAFGTAHRAHASTEGVTKFLKPSVSGFLLQKELDYLVGAVSTPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLPVGSSLVEEDKLGLATSLLEKAKAKGVSLLLPSDVIIADKFAPDANSKIVPASAIPDGWMGLDIGPESVKTFSEALGTTQTVIWNGPMGVFEFDKFAVGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVLALDEVERVAV >Potri.013G159700.2.v4.1 pep chromosome:Pop_tri_v4:13:15407861:15410924:-1 gene:Potri.013G159700.v4.1 transcript:Potri.013G159700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159700.v4.1 MGKQPVRMKAVVYALSPFQQKVMPGLWKDLPGKIHHKVSENWISATLLLGPLVGVYTL >Potri.013G159700.1.v4.1 pep chromosome:Pop_tri_v4:13:15408914:15410783:-1 gene:Potri.013G159700.v4.1 transcript:Potri.013G159700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159700.v4.1 MGKQPVRMKAVVYALSPFQQKVMPGLWKDLPGKIHHKVSENWISATLLLGPLVGVYTYVQNYQEKEKLSHRY >Potri.007G086800.5.v4.1 pep chromosome:Pop_tri_v4:7:11193291:11195195:-1 gene:Potri.007G086800.v4.1 transcript:Potri.007G086800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086800.v4.1 MVTAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKTLRSSKGKLILLSNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIIDAGDSDIIKTVPGDH >Potri.007G086800.4.v4.1 pep chromosome:Pop_tri_v4:7:11193274:11195191:-1 gene:Potri.007G086800.v4.1 transcript:Potri.007G086800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086800.v4.1 MVTAKKTKKTHESINNRLALVMKSGKYTLGYKTVLKTLRSSKGKLILLSNNCPPLRKSEIEYYAMLAKVGVHHYNGNNVDLGTACGKYFRVSCLSIIDAGDSDIIKTVPGDH >Potri.005G003200.1.v4.1 pep chromosome:Pop_tri_v4:5:275397:281549:1 gene:Potri.005G003200.v4.1 transcript:Potri.005G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003200.v4.1 MLSPSTFLKRIPKWVNLKAFISSVSCTNTIQINPEINQNTPNFPDFDVKIQSLRNKLYPDNLIKVLKSTSDVNSAVKIFKWAALQRKFNHTADTYYWIIFKLGMAGNVEEMEGFCQNMVKERCTGEEDVLVSLVDAFVRNCRLNEAMRVLFNMNLAGIKPSIDVFNFVLGALVEEKRGFQDVVFVYKEMVKAGVAPSIDTLNYLLEVLFETDRVDSALDQYRRINKKGCRPDGKTFEIVIKGLIANDRVDDSVSILHEMLELGCLPELSFYRTTIPLFCREDRLEEGIRLFRKMKESNFTPDSFIYGALIQCLCKQLRLDEAVNLLEEMMESQLEGDNNVFVDVVNGFCKLGKINEAVKLLEDKHVLETSPHNALLRCCCDADKFLMAKGLLEKMSERNIDDCDSWNILIRWLCEREEMVKAYELLGRMIISSLIPDYATYSALVAGNCRLSKYEDALQLFLQLHAKCWILDPASYSELIEGLCRGEKYLEAVKVFCYMSENRCSLQSLPFIMLIKGICDMGMIGEAVRLQSLAYNSGTSCVNATYNYVMLRLSKSEQGRHVLAFLSRMLVQGGNLNTEAYCILIQSLIAQNRIKDCSMFLNVMINKGLVPDSDTLYNLLSCLAKHSQLYLISVSLDKLASDCEVLDSAMYNILINGMWKEGNKNDARRLLDLMLEKGWVPDAMTHGLLIGSADMEEKGEGMLAYVDLSTKDGVGDILAEGLGET >Potri.014G041000.1.v4.1 pep chromosome:Pop_tri_v4:14:2668892:2670742:-1 gene:Potri.014G041000.v4.1 transcript:Potri.014G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041000.v4.1 MAFRKLFSKGTITSGCRVPSPAATLDHSSSPIKPLTTPQNNAPSKTNLHKEPLTGDSVDKGLFRRFLHRRAVNQLPEFISIPIGEKLREKLKGFNISSDRLHLDGLTPPEQVAGEANTFGISVENAKKVLRVSLMEKLKARLREIPKVSIMYSEFVKVCVDECGNEYQGVEFARLIDQSGNVIVLGNIVYLRPEQVAKSMEHMISQTMATLNDPRRTQLEHMEKLMAIIDKKARTQVQGELYCGLGFIMIQTLGFMRLTFWELNWDVMEPICFFVTSLHFVLAYGFFLRTSTEPSFEGYFQRRFKTKQKKLMVLHGFDVQKYNQLRRVFYPNLGYGLPQSEYYKPGHPEDGALIS >Potri.015G105200.1.v4.1 pep chromosome:Pop_tri_v4:15:12394831:12399294:1 gene:Potri.015G105200.v4.1 transcript:Potri.015G105200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105200.v4.1 MLSRLNSTSVWLEDHKEEQDSTTNHLHHHHNNINNTTAAGCGGVMLEGREEMGSLSTFKSMLEVEDEWYVSNNNNTIHQTHQDSIKDLTFSPGLGDPDNLLLHQVDSSSSCSPSSSVFNNLDPSQVHYFMHPKPSLSSLLNVVSNNPLEHGFDLSEIGYLENQGTNSAATANVSALLNRGGGVLGNLGNFSDLSSNSQISIPNLCSDPQFSSSRMLQLPENGPGLTSFRGFDENSGNQLFLNRSKLLRPLETYPSMGAQPTLFQKRAALRKNLGDNGGNLGLLSGIDRDKGKSEMTQISEENDKKRKFSSGDDFLEDVSIDGSGLNYDSDEFTENTKVEEIGKNGGISSKANSGVTGGVDQKGKKKGLPAKNLMAERRRRKKLNDRLYMLRSVVPKISKMDRASILGDAIEYLKELLQRINDLHNELESTPPSSSLTPTTSFHPLTPTPSALPSRIMDKLCPSSLPSPNSQPARVEVRVREGRAVNIHMFCGRKPGLLLSTMRALDNLGLDIQQAVISCFNGFAMDIFRAEQCKEGQDMHPDQIKAVLLDSAGFHGMM >Potri.008G156800.2.v4.1 pep chromosome:Pop_tri_v4:8:10742717:10745770:1 gene:Potri.008G156800.v4.1 transcript:Potri.008G156800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156800.v4.1 MAYASTSIAWIAILFLSQPLIASSDLLSPLLSPIFDDVCKKVECGKGTCKPSDNSTWFYECECDPGWTQTRFDQDDHLQFLPCVVPNCTVNFSCTAAPSPVQEKASRDNQSIFDPCFWADCGGGSCNKTSQLTYSCACAEGYSNLLNVSTFPCYKDCAIGMDCSNLGIMMSNKSAAPTPVMAGNSMNQASSILQEKFLWLMALMMLLPVIE >Potri.004G003600.1.v4.1 pep chromosome:Pop_tri_v4:4:214959:218436:1 gene:Potri.004G003600.v4.1 transcript:Potri.004G003600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003600.v4.1 MDFDEYRKFKGLYESMRKGEKTEVIHQYAMMSEEPSSSMTVCEDTVLHMAINMRHESIASEILKHHIKDPGTLTRKNVFGDTILHEAASTNMTKLVKELLEKEPLLLSMPNKYDEMPLFKAAQFGHTEMFKLLAGEVENEGPEKAKHHLSRSDKTNILHMTILAEFFDLAYMIAKKYPGLVAAKDGKGKTALQLLSSNPSAFKSGSSYGLLKSFINYCVPDDDAERKDSSGEEDRYKGMLDVEQEDEDGDKARDSKLPQMLKVFVNFFFMIIQKISSVLRKMNQSLWSLFRKGWPVMENIRKEKRKHESALRLAKLLIADDTSWELISTEEDIGKISVLNPEAKEEEEEGGGGGGEIRGENKKQGRRKTKGKQGKSGACVTTAQTPETSKANNFLDGEAGSTPAPTSLAQAPDTSKANNLDGEAETSLLLATSNGIVEIVKEILDVYPQAVEHVSRKGQNIMHVAIKNRQKEIFNMVKKMEIPMTRLVRRIDKNGYTLLHHVAVMHYYSGGTLPGPALQLQEELHWFDRVRKIIPPHYEMHRSRYKDKTAQEFFKKTHTKLLKEAQEWLKRTSESCSTVAVLIATVAFAAAYTVPGGSNQDTGLPVLLHDPIFLVFTVMDVLSLASSLTSVVMFLSILTSPFQLQDFRHSLPQKLILGFSFLFFSVAVMMLTFTATILLIVHLKKRWTTLLIYTVAFLPVSIFALLQVPLYLTFMNTLKSSVNLIRIPINSVLSLVRATLSSICKRR >Potri.004G007900.2.v4.1 pep chromosome:Pop_tri_v4:4:489698:490364:-1 gene:Potri.004G007900.v4.1 transcript:Potri.004G007900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007900.v4.1 MIDRLHHYIRELRMRRKSMEAVKKQNRSFEDGKVEETKALETEVSTLQEKLKQLQSELEVKSKEVNTSEANAAALSKQSEGFLLEYDRLLEENQNLRSQLQSMDLGLSRSTSKKNT >Potri.004G007900.1.v4.1 pep chromosome:Pop_tri_v4:4:489720:493025:-1 gene:Potri.004G007900.v4.1 transcript:Potri.004G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007900.v4.1 MIQLLFAVIFSEMAMILLFVFKSPLRKFLIMSLDRLKRGRGPVMVKTVAGTVFLVLISSVYSMVKIQKRGIDVGGVVNPTDQVLMAKHLLEATLMGSILFLSLMIDRLHHYIRELRMRRKSMEAVKKQNRSFEDGKVEETKALETEVSTLQEKLKQLQSELEVKSKEVNTSEANAAALSKQSEGFLLEYDRLLEENQNLRSQLQSMDLGLSRSTSKKNT >Potri.010G093300.1.v4.1 pep chromosome:Pop_tri_v4:10:11776307:11777188:-1 gene:Potri.010G093300.v4.1 transcript:Potri.010G093300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093300.v4.1 MASVPLLSPSTTAAASCRTSSSLTHQLLHLSSKVPFHTFPARNHRLITKLNVSSPTNKPATSTTSKKPIEEIIFFDGGAHYGDLLANLLLGFTLLWLPLTLAAVSRAFYLRYRFTNLRVTVISGLTGQDRSDFSYKVIKDVQVVPRFIGEWGDVVITLKDGTKVDLRSVPKFREIAKYCLSMAEKPVVMKEDSTGPRGF >Potri.007G123100.1.v4.1 pep chromosome:Pop_tri_v4:7:14016338:14019416:-1 gene:Potri.007G123100.v4.1 transcript:Potri.007G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123100.v4.1 MLLIMTRRKALQVIPPSMRELWSKWQLRVVVLTSLILQIVLIIFGNRRKYISRSWIRFAVWSAYLAADTVATMALGVISSDLGEIYDDDGSIDPNVELNAFWTPFLLLHLGGPDTITAYSLEDNELWSRHLLGLVMQAIGTIYIILMAWTGSRLSVLFILMTIAGLIKFGERTWVLRSASNDQLRDSMRITRSDQGPGNSNLVEEYKLKDAEGYNVIPSKVIEVQLPVDSAVFEGNSISNDHELLLVAYGLFHTFKGLFADVILGSRDRDTSQTIFRSISYENAYKLIEMECGFMYDLLYTKAMLVYNPWGLGLRFISFLLTCFVLVLFSLTSEKQDYSKVDLSLTFILLAVAIFLEIYAILVLLSSDWTIVWLSTNNKTSALKAITSLSLLQNPRWSNSMAQYSLLSFTLKEKPVGCVGILRRFSIVEQLEQQRYLTNVEVTGSLKEWIFNHFKKKLNKIQQETELGSYNLGALNTARGNLVLQKYSHSGLNWSIEVEFDKSILIWHIATEICCKLEDSTDDIILSKHHISKLLSEYMLYLLVMNPSMFPVGMGQFVFEDTCAEAVRFFSTAGKLDVHRNLLQQYNTGVQQPGERYRSKKSVLSDACRLAQQLVDISDKEQKWSLVADVWVEMLAYVAYQSNGRQHADQLSGGGEFLTHVWLLMAHFGLTEHFQIPQRREVARLIVR >Potri.007G123100.2.v4.1 pep chromosome:Pop_tri_v4:7:14016337:14019421:-1 gene:Potri.007G123100.v4.1 transcript:Potri.007G123100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123100.v4.1 MLLIMTRRKALQVIPPSMRELWSKWQLRVVVLTSLILQIVLIIFGNRRKYISRSWIRFAVWSAYLAADTVATMALGVISSDLGEIYDDDGSIDPNVELNAFWTPFLLLHLGGPDTITAYSLEDNELWSRHLLGLVMQAIGTIYIILMAWTGSRLSVLFILMTIAGLIKFGERTWVLRSASNDQLRDSMRITRSDQGPGNSNLVEEYKLKDAEGYNVIPSKVIEVQLPVDSAVFEGNSISNDHELLLVAYGLFHTFKGLFADVILGSRDRDTSQTIFRSISYENAYKLIEMECGFMYDLLYTKAMLVYNPWGLGLRFISFLLTCFVLVLFSLTSEKQDYSKVDLSLTFILLAVAIFLEIYAILVLLSSDWTIVWLSTNNKTSALKAITSLSLLQNPRWSNSMAQYSLLSFTLKEKPVGCVGILRRFSIVEQLEQQRYLTNVEVTGSLKEWIFNHFKKKLNKIQQETELGSYNLGALNTARGNLVLQKYSHSGLNWSIEVEFDKSILIWHIATEICCKLEDSTDDIILSKHHISKLLSEYMLYLLVMNPSMFPVGMGQFVFEDTCAEAVRFFSTAGKLDVHRNLLQQYNTGVQQPGERYRSKKSVLSDACRLAQQLVDISDKEQKWSLVADVWVEMLAYVAYQSNGRQHADQLSGGGEFLTHVWLLMAHFGLTEHFQIPQRREVARLIVR >Potri.019G023016.1.v4.1 pep chromosome:Pop_tri_v4:19:639686:647001:-1 gene:Potri.019G023016.v4.1 transcript:Potri.019G023016.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023016.v4.1 METQAHLTASSNRQNNSCPEANFPYRLWGCSFASFSFPQTEFINQLCRLGVSYHFDDEIENSLKEIFYDLPNLLEKHDFDLSTVSLLFRVFRQHGFKMPCVVFDKFKDTNGEFKKTIINDVKGILSLYEASFLSVHGEQVLDVALVFTKANLESLAIQSSPRLADHIRNALIRPFHKGVPRIEARKYISFYEEDESRNDTLLKFAKIDFNRVQVLHQQELSILSRWWNDLNFAEEFPYARDRIVEIYFWANGIHFEPQYAFSRMMVTKYTKIVSLVVDTYDAYASFEEIQRFTNAIERCSMNAIDQLPADYMKVLLPNNGKSQQVLYRALLNLFNETENDMGKQGRSYASYYVKEAFKELVRGYHAEAEWADKCHVPTFDEYREWKNNPKIIKAGKMIGRLMNDIVGHEDASEKKAIEEIQKMDVNAWKDINEDCMRPTNAPMLLLQHFVNLIRVTDRCYLWE >Potri.015G065800.1.v4.1 pep chromosome:Pop_tri_v4:15:9138977:9143251:1 gene:Potri.015G065800.v4.1 transcript:Potri.015G065800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065800.v4.1 MLMTRMLSRLSRSVGRRSLVLHSPSPSHNHHLLPILSHQFHSLVHEYGYPDKCITRKVCLFHHSALNSPPFQNFGFTSSASPEPTEKEQGSAVDSNCDPTNAESGKTNGSADLSDKTEDSVLHETKESGFNSESQQTISRSGKRRRVTKRTAYSDSDSEAGSDLSMDDLVKLVAEKEELLEAKHKEIETIQDKVLRAYAEMENVKERTKREAENSKKFAIQNFAKSLLDVADNLGRASSVVKGNFSKIDVSNDTAQVVPLLKTLLEGVEMTEKQLGEVFKKYGIEKFDPTNEPFDPHRHNAIFQVPDASKPPGTVAAVLKAGYMLHDRVIRPAEVGVTREVENGAEANE >Potri.015G065800.2.v4.1 pep chromosome:Pop_tri_v4:15:9138931:9142957:1 gene:Potri.015G065800.v4.1 transcript:Potri.015G065800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065800.v4.1 MLMTRMLSRLSRSVGRRSLVLHSPSPSHNHHLLPILSHQFHSLVHEYGYPDKCITRKVCLFHHSALNSPPFQNFGFTSSASPEPTEKEQGSAVDSNCDPTNAESGKTNGSADLSDKTEDSVLHETKESDSEAGSDLSMDDLVKLVAEKEELLEAKHKEIETIQDKVLRAYAEMENVKERTKREAENSKKFAIQNFAKSLLDVADNLGRASSVVKGNFSKIDVSNDTAQVVPLLKTLLEGVEMTEKQLGEVFKKYGIEKFDPTNEPFDPHRHNAIFQVPDASKPPGTVAAVLKAGYMLHDRVIRPAEVGVTREVENGAEANE >Potri.012G102200.1.v4.1 pep chromosome:Pop_tri_v4:12:12483033:12485435:1 gene:Potri.012G102200.v4.1 transcript:Potri.012G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102200.v4.1 MDDRDGDDDAYAKLVRRMNSPRVVIENDVCEHATVIQVDTVYRQGTLLEVVQALADLNLVITKAYMSSDGGWFMNVFHVTDDGGNKIRDEGILNCIEKALETDAYMVKSMGKMLLSKEHTLVELTGTDRPGLLSEVCAVLTDLSCNVVNAEIWAHNARAAAVIHVTDQSTGTAIEDPRQLSLIKELLYNVLKGLGDYRTPTVSISSPGEIHIGRRLHQMMFAARDFERPLSEDDNSVRPSVTVSDCPDRDYTVVTATSIDRPKLLFDTVCTLTDMQYLVFHGTVNTSSDEAYQEYYIRHVDGLPVSSEAERQRVMECIQAAIERRATEGLHLELFTDDHFGLISDITRILRENGLCPKRAEISTKNGKAKHNFIVTDVSGNPVEPKTIYLIRQQMGQTVIQVKGNFKYVSEISPAETKKFPLWELFQMPRFPKFWTHQIPFIICLWFADIAKTAEQIVA >Potri.015G071001.1.v4.1 pep chromosome:Pop_tri_v4:15:9654425:9654898:-1 gene:Potri.015G071001.v4.1 transcript:Potri.015G071001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071001.v4.1 MQFVLLVCQMLKYERKSRGQNLGKKVSFREKFDVAVARAVAEMRILAGCCLYLVRDGGLFIAAKGYNPQV >Potri.012G116700.7.v4.1 pep chromosome:Pop_tri_v4:12:13493956:13503194:-1 gene:Potri.012G116700.v4.1 transcript:Potri.012G116700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G116700.v4.1 MKMEQGMQLIDGNGKFNVDGLTDFMTATEFAQSGLSYAIVAIIGSQSSGKSTLMNQTFHTNFEEMDAYNGRGQTTKGIWIAKCSDIDPFTIAMDFEGTDSNQRGEDDTAFEKQSTLFALAIADVVLINMWYKDIGLEHAASRPLLKTVFQVMKRLFKPRKKTLLFVIRDHSKTPLEYLKTALLEDIEKIWAAVAEPETLSSAPLREFFNVEITALPSYEYQEEKFKEQVAQLRQRFVHSIYPGGLVGDREEVEPASGFPLRAEEIWKIIKDNRDLDLPAVKVMVATVRCEEIAGEKLKCFTTDEDWLEMKEAVQAGPVSGFGGAVSSILETYLSEYDREVVYFDQEVRNEKRRQLLSNALMVVRDAYDTMLMHLYSNTVKSFKTSLKQSQNVAAIHLCSQSCMSMFDQGCEDAAIQQSEWNASKFREKLICDMLSEMMAKYKKQITHALAKRVESLLEAGERDTWASIRNLFECNTEAAVSEFSDAAVSFNLNSSEIDTKLQHLREHARNVLEMKAREAADAERVLKRMMDRFSQVLSHDENSISWYNWTTERNLDEVERDALSESLRILSIIAAIRFDGMPDQIENVLYYSLMDTIVPDPYLPNTFMGATQDPLASDTWEEVSPEATTLLKPEDCKSLWMNFIEQINPMVTGARSRQDGRRRTRSYAAAAATAAVATGAGAAVAAVVGPAAVVDAGIVIFLRAMRL >Potri.016G096700.2.v4.1 pep chromosome:Pop_tri_v4:16:9616368:9621972:-1 gene:Potri.016G096700.v4.1 transcript:Potri.016G096700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096700.v4.1 MRGLWPPFFITILLLLLLLFMSVSVVYCASLLQLERAFPLNNHGLELSQLRARDRLRHARLLQGFVGGVVDFSVQGSPDPYLVGVTGASEPLLYFTKVKLGSPPREFNVQIDTGSDVLWVCCNSCNNCPRTSGLGIQLNFFDSSSSSTAGLVHCSDPICTSAVQTTVTQCSPQTNQCSYTFQYEDGSGTSGYYVSDTLYFDAILGESLVVNSSALIVFGCSTFQSGDLTMTDKAVDGIFGFGQGELSVISQLSTHGITPRVFSHCLKGEGIGGGILVLGEILEPGMVYSPLVPSQPHYNLNLQSIAVNGKLLPIDPSVFATSNSQGTIVDSGTTLAYLVAEAYDPFVSAVNVIVSPSVTPIISKGNQCYLVSTSVSQMFPLASFNFAGGASMVLKPEDYLIPFGPSGGSVMWCIGFQKVQGVTILGDLVLKDKIFVYDLVRQRIGWANYDCSLSVNVSVTSSKDFINAGQLSVSSSSRDIMLFELLPLTVMVLTMHILLLEFKFL >Potri.016G096700.1.v4.1 pep chromosome:Pop_tri_v4:16:9616372:9621968:-1 gene:Potri.016G096700.v4.1 transcript:Potri.016G096700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096700.v4.1 MRGLWPPFFITILLLLLLLFMSVSVVYCASLLQLERAFPLNNHGLELSQLRARDRLRHARLLQGFVGGVVDFSVQGSPDPYLVGVTGASEPLLYFTKVKLGSPPREFNVQIDTGSDVLWVCCNSCNNCPRTSGLGIQLNFFDSSSSSTAGLVHCSDPICTSAVQTTVTQCSPQTNQCSYTFQYEDGSGTSGYYVSDTLYFDAILGESLVVNSSALIVFGCSTFQSGDLTMTDKAVDGIFGFGQGELSVISQLSTHGITPRVFSHCLKGEGIGGGILVLGEILEPGMVYSPLVPSQPHYNLNLQSIAVNGKLLPIDPSVFATSNSQGTIVDSGTTLAYLVAEAYDPFVSAVNVIVSPSVTPIISKGNQCYLVSTSVSQMFPLASFNFAGGASMVLKPEDYLIPFGPSQGGSVMWCIGFQKVQGVTILGDLVLKDKIFVYDLVRQRIGWANYDCSLSVNVSVTSSKDFINAGQLSVSSSSRDIMLFELLPLTVMVLTMHILLLEFKFL >Potri.001G322001.1.v4.1 pep chromosome:Pop_tri_v4:1:33150099:33150573:-1 gene:Potri.001G322001.v4.1 transcript:Potri.001G322001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322001.v4.1 MKTCLEELRMAKQLENVENLKGVLHHLLIL >Potri.002G050500.1.v4.1 pep chromosome:Pop_tri_v4:2:3395817:3398835:1 gene:Potri.002G050500.v4.1 transcript:Potri.002G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050500.v4.1 MAVRGMEVMFAIALIGTLWAGAKAQSSCTNVIISMSPCLNYITGNSSTPSSSCCTQLANVVKSQPQCLCEVVNGGASSLGVNVNQTQALALPGACNVRTPSIGRCNASSPTDSPSGTPNSPPTGSGSKTVPSTDNGTSDANSTKLAMSRLFFLLFITSYASTLVTI >Potri.001G202700.1.v4.1 pep chromosome:Pop_tri_v4:1:20337393:20338889:1 gene:Potri.001G202700.v4.1 transcript:Potri.001G202700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G202700.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAISGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGSGGGNGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGRGGGKGGKGSGGGGGGGGGGGGGGSGSGSGSGYGSGSGYGEGYGGGKGN >Potri.013G108300.3.v4.1 pep chromosome:Pop_tri_v4:13:11694792:11696589:1 gene:Potri.013G108300.v4.1 transcript:Potri.013G108300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108300.v4.1 MDSSHEGIQMLLTAEQEAQQMVAAARNLKTTRLRQAKEEAEKEAALYRSNMESEHRKKVDETSGNSGFTAERLGEETEEKIQNLKKSASEVQSDIVDMLIKYVKAAKC >Potri.013G108300.4.v4.1 pep chromosome:Pop_tri_v4:13:11694857:11696461:1 gene:Potri.013G108300.v4.1 transcript:Potri.013G108300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108300.v4.1 MLLTAEQEAQQMVAAARNLKTTRLRQAKEEAEKEAALYRSNMESEHRKKVDETSGNSGFTAERLGEETEEKIQNLKKSASEVQSDIVDMLIKYVKAAKC >Potri.013G108300.1.v4.1 pep chromosome:Pop_tri_v4:13:11694792:11696589:1 gene:Potri.013G108300.v4.1 transcript:Potri.013G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108300.v4.1 MDSSHEGIQMLLTAEQEAQQMVAAARNLKTTRLRQAKEEAEKEAALYRSNMESEHRKKVDETSGNSGFTAERLGEETEEKIQNLKKSASEVQSDIVDMLIKYVKAAKC >Potri.017G036900.1.v4.1 pep chromosome:Pop_tri_v4:17:2447401:2449978:-1 gene:Potri.017G036900.v4.1 transcript:Potri.017G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036900.v4.1 MAASYSVPSMIMEEEGRFEAEVAEVQAWWNSERFKLTRRPYTARDVVALRGNLKQGYGSNEMAKKLWRTLKTHQANGTASRTFGSLDPVQVAMMAKHLDSIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGATTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAISEHINRLVAARLQFDVMGVETVLVARTDAEAASLIQSNVDARDHQFILGATNPNLRGKNLANLLAEAMAAGKTGAELQAIEDNWLAMAQLKTFSECVMDTIKSMNIGEYEKRKRLNEWTNHSSYDKCLSIEQGREIAERLGLNNLFWDWDLPRTREGFYRFRGSVDAAIVRGRAFAPHADLIWMETAGPDLAECTKFAEGLKSMHPEIMLAYNLSPSFNWDASGMTDEQMRDFIPRIAKLGFCWQFITLAGFHADALVTDTFARDYAKRGMLAYVERIQREERKNGVDTLAHQKWSGANYYDRYLKTVQGGISSTAAMGKGVTEEQFKETWNRPGALEMGGAGSEAVAKSRM >Potri.017G036900.4.v4.1 pep chromosome:Pop_tri_v4:17:2447336:2449523:-1 gene:Potri.017G036900.v4.1 transcript:Potri.017G036900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036900.v4.1 MEEEGRFEAEVAEVQAWWNSERFKLTRRPYTARDVVALRGNLKQGYGSNEMAKKLWRTLKTHQANGTASRTFGSLDPVQVAMMAKHLDSIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMSMSREERARTPYVDYLKPIIADGDTGFGATTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAISEHINRLVAARLQFDVMGVETVLVARTDAEAASLIQSNVDARDHQFILGATNPNLRGKNLANLLAEAMAAGKTGAELQAIEDNWLAMAQLKTFSECVMDTIKSMNIGEYEKRKRLNEWTNHSSYDKCLSIEQGREIAERLGLNNLFWDWDLPRTREGFYRFRGSVDAAIVRGRAFAPHADLIWMETAGPDLAECTKFAEGLKSMHPEIMLAYNLSPSFNWDASGMTDEQMRDFIPRIAKLGFCWQFITLAGFHADALVTDTFARDYAKRGMLAYVERIQREERKNGVDTLAHQKWSGANYYDRYLKTVQGGISSTAAMGKGVTEEQFKETWNRPGALEMGGAGSEAVAKSRM >Potri.018G081300.1.v4.1 pep chromosome:Pop_tri_v4:18:9920716:9925367:1 gene:Potri.018G081300.v4.1 transcript:Potri.018G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081300.v4.1 MSAPTPTSPPSNTTAPPPSTTTPPPSTPPPTTPATPAAPPPTSPPPPPTPSAQPPASTPPPPPAASPPSSSPPSPPSSSPPPPPSSSPSPPSSPPPPSSTTPSTPSPPSSTTPSTPSPPSSTTPSTPTSKSSPPPPTSTSTPTSGGSSGISTGVVVGIAIGGVAILLVVSLFFICCNKRKRRRRDDEAAYYVPPPPGPKDDPYGGRQQYWQQNAPPPPDRVVAAMQNPPPPPPVASRPSPPPERVAMPPPPPPPLFMSSSGGSGSNYSGTENPYPPPSPGIALGFSKSTFSYEELARATDGFTDANLLGQGGFGYVHRGVLPNGKEVAVKQLKAGSGQGEREFQAEVEIISRVHHKHLVSLVGYCITGAHRLLVYEFVPNNTLEFHLHGKGRPTMDWPTRLKIALGSAKGLAYLHEDCHPKIIHRDIKASNILLDFKFEAKVADFGLAKISSDVNTHVSTRVMGTFGYLAPEYASSGKLTDKSDVFSYGVMLLELITGRRPVDSTQSFMEDSLVDWARPLLTRALEDGNFDTLVDQKLQNNYDQNEMARMVACAAACVRHSARRRPRTSQVVRALEGDVSLSDLNEGIRPGNSRVYGSYGSSDYDTSQYNEDMKKFRKMALGSQEYGASSEYSGPTSEYGLYPSGSSSEGQNTREMEMGKMKKASKGFSGSS >Potri.001G259220.1.v4.1 pep chromosome:Pop_tri_v4:1:27572134:27574061:1 gene:Potri.001G259220.v4.1 transcript:Potri.001G259220.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259220.v4.1 MASPSRRHLVHAMVFGLLAINVAANIYDNEEPPRPPHDHEDPPLPYNHKNSLFLPSGLLHRGTPPPPPRSPPPPNKKKTPPPPPKKKKTQSPPPPAKSPPPPPPAKSPPLPSASPPTSSSAPILPPLPSKISPVTPPSKVPPSPSPASNLSPSPPYTSPASPPRIPPIPSPSPTPNLSPSHPYTPPISSPKVSPSPSPTPNLSPSHPYTPPTSPPRISPIPSLSLTPNLSPLHPYTPPTSSPKVSRFPSPYPTPNLSPSHPYTPPTSPPRISPIPSPSPSPSPTPNLSPSHPYTPPTSSPKVSPSPSPTPNLSPSHPYTPPTFPPEISPIPSPSPSPSPAPNLSPSHPYTPPTYPPKISPFPSPRYTSPPPPPPILKSPPPPRFTLPPFFPFKSPPPPSPSPPPHILNSPPPPRFTLPPFFPIKSPPPPSPSPPPPILKSPPPPRFTFPPFFPFKSLPPPSPSPPPHILKSPLPPWFFLPPFFFKSPPPLSPSPPSSNN >Potri.001G259220.2.v4.1 pep chromosome:Pop_tri_v4:1:27572145:27574063:1 gene:Potri.001G259220.v4.1 transcript:Potri.001G259220.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259220.v4.1 MASPSRRHLVHAMVFGLLAINVAANIYDNEEPPRPPHDHEDPPLPYNHKNSLFLPSGLLHRGTPPPPPRSPPPPNKKKTPPPPPKKKKTQSPPPPAKSPPPPPPAKSPPLPSASPPTSSSAPILPPLPSKISPVTPPSKVPPSPSPASNLSPSPPYTSPASPPRIPPIPSPSPTPNLSPSHPYTPPISSPKVSPSPSPTPNLSPSHPYTPPTSPPRISPIPSLSLTPNLSPLHPYTPPTSSPKVSRFPSPYPTPNLSPSHPYTPPTSPPRISPIPSPSPSPSPTPNLSPSHPYTPPTSSPKVSPSPSPTPNLSPSHPYTPPTYPPKISPFPSPRYTSPPPPPPILKSPPPPRFTLPPFFPFKSPPPPSPSPPPHILNSPPPPRFTLPPFFPIKSPPPPSPSPPPPILKSPPPPRFTFPPFFPFKSLPPPSPSPPPHILKSPLPPWFFLPPFFFKSPPPLSPSPPSSNN >Potri.002G188600.4.v4.1 pep chromosome:Pop_tri_v4:2:15048438:15052416:-1 gene:Potri.002G188600.v4.1 transcript:Potri.002G188600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188600.v4.1 MSCFGCCEEDDIHKAADYGGQYTVKSSAGNLGNYHASETAPKGAQAFKIQPIEVPEISGDELKEVTDNFGTSSLIGEGSYGRVYYGALKSGQDASIKKLDASKQPDDEFLSQVSMVSRLKHENFVQLLGYCVDGGSRVLIYEFASNGSLHDILHGRKGVKGAQPGPVLTWPQRVKIAVGAAKGLEYLHEKADPHIVHRDIKSSNVLIFDDDVAKIADFDLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLNAKSDVYSFGVVLLELLTGRKPVDHTLPRGQQSLVTWATPKLSEDKVKQCVDARLQGEYPPKAVAKMAAVAALCVQYEADFRPNMSIVVKALQPLLNARPGPAGEAPSI >Potri.018G090800.1.v4.1 pep chromosome:Pop_tri_v4:18:11130380:11138127:1 gene:Potri.018G090800.v4.1 transcript:Potri.018G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090800.v4.1 MEWDSDSDLSGEEDEEGFMLNDGGGGPLPFPVENLLQTAPCGFVVTDALEPDHPLIYVNTVFEMVTGYRAEEVLGRNCRFLQCRGPFAKRRHPLVDSTVVSEIRRCLEEGIEFQGELLNFRKDGSPLMNRLRLTPIYGEDDTITHVIGIQFFTEANIDLGPVPGYSVKESSKLADKFRSGFSTYRPIPVGDRNVSRGICGILQLSDEVLSLKILSRLTPRDIASIGSVCRQLYELTKNEDLWRMVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAATWRKLTVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSSNPEWQHVHVSSPPPGRWGHTLSCVNGSHLVVFGGCGRQGLLNDVFILDLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSMEKPIWREIPVSWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLRFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPSGIAPPPRLDHVAVSLPGGRILIFGGSVAGLHSASQLYLLDPTDEKPTWRILNVPGRPPRFAWGHSTCVVGGTRAIVLGGQTGEEWMLSELHELSLASSAT >Potri.005G082200.1.v4.1 pep chromosome:Pop_tri_v4:5:5603449:5611292:1 gene:Potri.005G082200.v4.1 transcript:Potri.005G082200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082200.v4.1 MGEEANSWIRRTKFSHTIYYRLDPSRSASFPISIQAERISGLKSRPGTGTASPKQNSQQSLSNQNFQQSFPNQKSLSFPSQKSLVSDNSQMRRNLLTNKQRSLSPLPETNLSDTFKEARSDKKRFSTPHPRRKNQDMGIIGKFLHRESQESKEKSNRSPLRHLASMKVGHEKSRIKESAWAKYFDHGGGKVNAVEAADECIVDMSKLFLGLRFAHGAHSRLYHGLYKDKPVAVKIIRVPDDDENGNLATRLENQFNREVMLLSQLHHPNVIKFVAACRKPPVYCVITEYLSEGSLRAYLHKLEHKALSLEKLMTIALDIARGMEYIHSQGVIHRDLKPENVLIDQEFHLKIADFGIACGEAYCDSLADDPGTYRWMAPEMIKKKSYGRKADVYSFGLILWEMVAGTIPYEDMTPIQAAFAVVNKNSRPVIPRDCPPAMGALINQCWSLQPEKRPEFRQIVKVLEQFESSLAHDGTLNLVQNLTCQDHKKGMPHWIQKLGTVNPSSTHVPKPKFT >Potri.005G082200.2.v4.1 pep chromosome:Pop_tri_v4:5:5607419:5611365:1 gene:Potri.005G082200.v4.1 transcript:Potri.005G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082200.v4.1 MRRNLLTNKQRSLSPLPETNLSDTFKEARSDKKRFSTPHPRRKNQDMGIIGKFLHRESQESKEKSNRSPLRHLASMKVGHEKSRIKESAWAKYFDHGGGKVNAVEAADECIVDMSKLFLGLRFAHGAHSRLYHGLYKDKPVAVKIIRVPDDDENGNLATRLENQFNREVMLLSQLHHPNVIKFVAACRKPPVYCVITEYLSEGSLRAYLHKLEHKALSLEKLMTIALDIARGMEYIHSQGVIHRDLKPENVLIDQEFHLKIADFGIACGEAYCDSLADDPGTYRWMAPEMIKKKSYGRKADVYSFGLILWEMVAGTIPYEDMTPIQAAFAVVNKNSRPVIPRDCPPAMGALINQCWSLQPEKRPEFRQIVKVLEQFESSLAHDGTLNLVQNLTCQDHKKGMPHWIQKLGTVNPSSTHVPKPKFT >Potri.003G161100.1.v4.1 pep chromosome:Pop_tri_v4:3:17091959:17095458:-1 gene:Potri.003G161100.v4.1 transcript:Potri.003G161100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161100.v4.1 MSALLARTGRHRQRYVDQFRLVAGCIPFKLEKNVEDQGCNVEDRVLVLMISTPKRDDLVFPKGGWENDETLDEAACREAIEEAGVKGILDENPLGVWEFRSKSSQNSCSLAGGCRGYMFALQVTEELDHWPGQASYNRKWLTLHEAFEHCRYDWMRDALKHFLTSLLRSKDLGRRADLAKIHMIPVSDNEEESAMMSPNNLVRPSGVQHLEESSSNCVVQV >Potri.007G031500.1.v4.1 pep chromosome:Pop_tri_v4:7:2421976:2423331:-1 gene:Potri.007G031500.v4.1 transcript:Potri.007G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031500.v4.1 MITQTLIYYLSQHPSIVTFRWSHIQSWGSTWSFLLTSIAFYLTFCALVHLFLQIFIKRGRTVPLGPIPAAYSLFMALISVVIFSGILLSTAAEIQETRWFWRRSKTPFQWLLCFPLGTRPSGRVFFWSYMYYLSRYLHMFRTFFTILRLRKLVSFQLVNNSILTFMSFLWLEFSQSFQVLAILIATLVYSIIYGYRFWTAVGLPSACFPFVLNCQIVLLGCNVACHVGVLSLHFMKGGCNGIGAWWFNSVLNGAILFLFLNFYVKMYLGKRKEVISEALEEPEMMKVKDK >Potri.017G078100.1.v4.1 pep chromosome:Pop_tri_v4:17:8668001:8670458:1 gene:Potri.017G078100.v4.1 transcript:Potri.017G078100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078100.v4.1 MGNLLGCVKVDQSTVVIKERFGKFNEVLEPGCHCMPWFLGSQVAGHLTLRLQQLDVRCETKTKDNVFVNVVASVQYRALAHKASDAFYKLTNTRSQIQAYVFDVIRASVPKLLLDDVFEQKNEIARAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAANEKAEAEKIIQIKRAEGEAESKYLAGLGIARQRQAIVDGLRDSVLGFSDNVPGTSAKDVLDMVLITQYFDTMKEIGASSKSSAVFIPHGPGSVRDIATQIRDGLLQASAPQ >Potri.006G150700.2.v4.1 pep chromosome:Pop_tri_v4:6:13165763:13169108:-1 gene:Potri.006G150700.v4.1 transcript:Potri.006G150700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150700.v4.1 MADVVGLPSFRSLRVPSYLLASNNSTSLQPLLSHNQINFSDKRISLSRFSSSPRDQFLSFKVHATVAETDQPKWWERNAGPNMMDIHSTDEFLSALSQAEDRLVIVEFYGTWCASCRALFPKLCRTAEDHPEILFLKVNFDENKPMCKSLNVKVLPYFHFYRGAHGQLESFSCSLAKVSTSSSSFFFLMLVFICQHSYSTLEAAVPQLTCP >Potri.006G150700.1.v4.1 pep chromosome:Pop_tri_v4:6:13165886:13169133:-1 gene:Potri.006G150700.v4.1 transcript:Potri.006G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150700.v4.1 MADVVGLPSFRSLRVPSYLLASNNSTSLQPLLSHNQINFSDKRISLSRFSSSPRDQFLSFKVHATVAETDQPKWWERNAGPNMMDIHSTDEFLSALSQAEDRLVIVEFYGTWCASCRALFPKLCRTAEDHPEILFLKVNFDENKPMCKSLNVKVLPYFHFYRGAHGQLESFSCSLAKFQKIKDSIEMHNTARCSIGPPKGVGELTLESVSASQDKPVGST >Potri.016G016500.1.v4.1 pep chromosome:Pop_tri_v4:16:863785:865539:1 gene:Potri.016G016500.v4.1 transcript:Potri.016G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016500.v4.1 MKKAELVFIPTPGISHLLSTVEVAKLLVDRDERLSITFLIMKLSSDPKIDRFINSVSTACNRIRFIDLPKDEPDPNQPNMFFFSLIEAQKHHVKDEVSKLVCQSESSPDSPTLSGFVLDMFCTPMIDVANEFGVPSYIFLTSGAASLGLQFYVQALHDEQKVDPTEFKGSDAELVMPCLANPLPAKVLPSPMLNKERLPFFLGLARRFRETKGIIINTFEELESHAIDSFSKGNTPPVYPVGPVLNLNRDGDRDEESDKRKDIKQWLDDQPLSSVVYLCFGSMGSFGVDQVKEIACGLEQSGHRFLWSLRKPPPKGKMELHPSDYSNPRDVLPEGFFDRTANIGKIIGWAPQTDILAHPSVGGFVSHCGWNSILESIWFGVPIAAWPLYAEQQFNAFMLIVELGFGVEIKMDYKSEFHSDGNENVISAGEIERGVRCLMELCDEKREKLKEMSGKGRKALEIGGSSFTWLGRFIQDLAYHLP >Potri.007G044000.2.v4.1 pep chromosome:Pop_tri_v4:7:3796845:3800716:-1 gene:Potri.007G044000.v4.1 transcript:Potri.007G044000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044000.v4.1 MTGFLVFLYSILLLSITFILSNSGQLNDEDTEFISIIGAVVDCSTRVGREEKIAMDIAVQDIYRLTGHNLALHVLDLPENSARAAFAAIDLIQNQKLEAIVGSITWHQAALVAEMVNITIKRPIISLTTGLSLIVPDKELPVISMYQDISVQIECIASIIASFKWPKVIAIYEDRYSYSSDLGIITLLSASLQDSGVQLEHYLAFPTLSSLLDPNTTIQNELNKLKGKQNRVFILLQSSLTLASLLFENAKKMGMMRRGYVWIASASFTGLLDSVNSSMITSMQGVLGCKACYLDTTASFKDFEVKFERKFRAEYPEDRNSQPSIFALRAYDAIWTVAKSSKMLHEKNYSKTLLQHILSSDFEGLSGRIHFTNYKLTYGPNFQIVNIVGKSYRELGFWSPEFGFTDNLVKNNSGKDRSQSGEEVLNPVYWPGGKTSVPTGLSESNLLEDRGKQLRIAVPAISMFKQFVRVSHDEIPNITYITGFSVGVFEAAVKCLRYALMYEIVPFHGSYDDMVMKVSQKAFDAAVGDIVITASRDQPIEFSQPYVESGLAMLVAMKSDKSHHHWWFLKVYTKEMWFLMAAMTVFTGFAIWVVEHETERGFNGSSITQIGSILWYSFSILTSAQGESFKSPWSRFLSVPWFFLVLIVTSS >Potri.002G191900.1.v4.1 pep chromosome:Pop_tri_v4:2:15430072:15433724:-1 gene:Potri.002G191900.v4.1 transcript:Potri.002G191900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191900.v4.1 MVVPSPTPARTRKTKAFGIPTVDLSLDRSNVSKLIVRACEEYGFFKVTNHGVSKEVVTRMEEEAAHFFSKPATEKHRAGPASPFGYGCKNIGCNGDMGELEYLLLHANPLSVFERSKTISNDPSEFSCVVNDYIQAVKQLACEILDLTAEGLWVPDKRAFSRFIRDVHSDSVLRLNHYPAFEEIMDWDPSPKTIGFGEHSDPQILTILRSNDVGGLQIYLRDGLWVPVPPDPTGFYVIVGDAFQVLTNGRFESVRHRVLASSGKPRMSMMYFGAPPLNAWISPPPQLVLPQNPSLYKPFTWSEFKKAAYSLRLRDTRLDLFKIHATEKFAS >Potri.002G221500.3.v4.1 pep chromosome:Pop_tri_v4:2:20583934:20589558:1 gene:Potri.002G221500.v4.1 transcript:Potri.002G221500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221500.v4.1 MLPPRQQPYTTGLQTSLSLVSSDLHLSPDAQEPRSNSDNIRESLTESASSQETWPTVDAMMKKKMENDKADNDCPGQSIIRRVSGADKISFRDIAGERVDMISEKMQHLPDDFLDELKNGLRVMLEGSGGSQHREEFLILQKLVHNRSDLTAKTLIRAHRVQLEILVAINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANKSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSVKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALTRELDFVSRIFRGSEDPRGRKLFWKCEELIEKMKGGFAESTACSVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDHELEEKAKEVAELKLDRQKKKLQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNDRHHFRTNP >Potri.002G221500.4.v4.1 pep chromosome:Pop_tri_v4:2:20584141:20589688:1 gene:Potri.002G221500.v4.1 transcript:Potri.002G221500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221500.v4.1 MLPPRQQPYTTGLQTSLSLVSSDLHLSPDAQEPRSNSDNIRESLTESASSQETWPTVDAMMKKKMENDKADNDCPGQSIIRRVSGADKISFRDIAGERVDMISEKMQHLPDDFLDELKNGLRVMLEGSGGSQHREEFLILQKLVHNRSDLTAKTLIRAHRVQLEILVAINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANKSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSVKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALTRELDFVSRIFRGSEDPRGRKLFWKCEELIEKMKGGFAESTACSVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDHELEEKAKEVAELKLDRQKKKLQIEELERIVRLKQAEADMFQLKANEAKREAERLQRIALAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNDRHHFRTNP >Potri.004G218800.3.v4.1 pep chromosome:Pop_tri_v4:4:22468263:22471893:1 gene:Potri.004G218800.v4.1 transcript:Potri.004G218800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218800.v4.1 MAQRLLRLRRTLTPLSSTRQRSPSLPAPMAIAPPAAQTPPIISQWRGFSGKRVSMTSTAAIAEKQYWVYENLGEITENKTLFEGCAYNYWLVTVDFPKEEPKPSPREMIAAYERICAQGLNSSIEEAKKRIYACSTTTFQGFQVLMTEQESEKFRDVPRVVFVLPDSPGNKEYEGDEYEHRMITPGPTTVQFQRGGERCRDQGRIPPRFDQRDSPIPNNQGLQPQNSQQGRMPGGGGNYGSQQNGPPQQNHGPPGPGGRMPMNNRDYAPGGRNMYPGQEGNHDPPGQQGYNHGQQRNHYPPGGGGNHDPPGQQGYNHGQQRNHYPQGQGYNQGQQGNHYAPDQRSFPQGDWRDHGPPGQRDYKGDNWNYSPTHGGNYGQGGIPPRFDQRESPIPNYHGLQPQYSQQGCMQGGGSAAQYSQQGCMHGGGSNYGSQQNGSPQQNHGPPGLGGRMLMNNRDYAPGGRNMYPGQQGNHDPPGQQGYNEGEQVGGSNYRSQQNGPPQQNHGPPGLGGRMLMNNRDYAPGGRNMYPGQQGNHDPPGQQGYNEGEQVGGSNYRSQQNGPPQQNHGPPGRGRMPMNNRDHAPGERNTYPGQHGNRDPPGQQGYNQGEQRSHYPPGQQGYNPEQQGNHYPPGQGYNQGRQGNHYPPGQQGYFQRQQGNHYDPDQRSFTQGDRRDHESPGQRDYRGDNRNYSPTHGGNYGQGGNSSYGQRNPGECQRSAQMEQMGMQGEQGNYAPLGPPGWSNQVRHTPH >Potri.004G218800.9.v4.1 pep chromosome:Pop_tri_v4:4:22468224:22471997:1 gene:Potri.004G218800.v4.1 transcript:Potri.004G218800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218800.v4.1 MNASVPRDSTADVPRVVFVLPDSPGNKEYEGDEYEHRMITPGPTTVQFQRGGERCRDQGRIPPRFDQRDSPIPNNQGLQPQNSQQGRMPGGGGNYGSQQNGPPQQNHGPPGPGGRMPMNNRDYAPGGRNMYPGQEGNHDPPGQQGYNHGQQRNHYPPGGGGNHDPPGQQGYNHGQQRNHYPQGQGYNQGQQGNHYAPDQRSFPQGDWRDHGPPGQRDYKGDNWNYSPTHGGNYGQGGIPPRFDQRESPIPNYHGLQPQYSQQGCMQGGGSAAQYSQQGCMHGGGSNYGSQQNGSPQQNHGPPGLGGRMLMNNRDYAPGGRNMYPGQQGNHDPPGQQGYNEGEQVGGSNYRSQQNGPPQQNHGPPGLGGRMLMNNRDYAPGGRNMYPGQQGNHDPPGQQGYNEGEQVGGSNYRSQQNGPPQQNHGPPGRGRMPMNNRDHAPGERNTYPGQHGNRDPPGQQGYNQGEQRSHYPPGQQGYNPEQQGNHYPPGQGYNQGRQGNHYPPGQQGYFQRQQGNHYDPDQRSFTQGDRRDHESPGQRDYRGDNRNYSPTHGGNYGQGGNSSYGQRNPGECQRSAQMEQMGMQGEQGNYAPLGPPGWSNQVRHTPH >Potri.004G218800.16.v4.1 pep chromosome:Pop_tri_v4:4:22468224:22471749:1 gene:Potri.004G218800.v4.1 transcript:Potri.004G218800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218800.v4.1 MTEQESEKFRDVPRVVFVLPDSPGNKEYEGDEYEHRMITPGPTTVQFQRGGERCRDQGRIPPRFDQRDSPIPNNQGLQPQNSQQGRMPGGGGNYGSQQNGPPQQNHGPPGPGGRMPMNNRDYAPGGRNMYPGQEGNHDPPGQQGYNHGQQRNHYPPGGGGNHDPPGQQGYNHGQQRNHYPQGQGYNQGQQGNHYAPDQRSFPQGDWRDHGPPGQRDYKGDNWNYSPTHGGNYGQGGIPPRFDQRESPIPNYHGLQPQYSQQGCMQGGGSAAQYSQQGCMHGGGSNYGSQQNGSPQQNHGPPGLGGRMLMNNRDYAPGGRNMYPGQQGNHDPPGQQGYNEGEQVGGSNYRSQQNGPPQQNHGPPGLGGRMLMNNRDYAPGGRNMYPGQQGNHDPPGQQGYNEGEQVGGSNYRSQQNGPPQQNHGPPGRGRMPMNNRDHAPGERNTYPGQHGNRDPPGQQGYNQGEQRSHYPPGQQGYNPEQQGNHYPPGQGYNQGRQGNHYPPGQQGYFQRQQGNHYDPDQRSFTQGDRRDHESPGQRDYRGDNRNYSPTHGGNYGQGGNSSYGQRNPGECQRSAQMEQMGMQGEQGNYAPLGPPGWSNQVRHTPH >Potri.004G218800.10.v4.1 pep chromosome:Pop_tri_v4:4:22468232:22471914:1 gene:Potri.004G218800.v4.1 transcript:Potri.004G218800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218800.v4.1 MTEQESEKFRDVPRVVFVLPDSPGNKEYEGDEYEHRMITPGPTTVQFQRGGERCRDQGRIPPRFDQRDSPIPNNQGLQPQNSQQGRMPGGGGNYGSQQNGPPQQNHGPPGPGGRMPMNNRDYAPGGRNMYPGQEGNHDPPGQQGYNHGQQRNHYPPGGGGNHDPPGQQGYNHGQQRNHYPQGQGYNQGQQGNHYAPDQRSFPQGDWRDHGPPGQRDYKGDNWNYSPTHGGNYGQGGIPPRFDQRESPIPNYHGLQPQYSQQGCMQGGGSAAQYSQQGCMHGGGSNYGSQQNGSPQQNHGPPGLGGRMLMNNRDYAPGGRNMYPGQQGNHDPPGQQGYNEGEQVGGSNYRSQQNGPPQQNHGPPGLGGRMLMNNRDYAPGGRNMYPGQQGNHDPPGQQGYNEGEQVGGSNYRSQQNGPPQQNHGPPGRGRMPMNNRDHAPGERNTYPGQHGNRDPPGQQGYNQGEQRSHYPPGQQGYNPEQQGNHYPPGQGYNQGRQGNHYPPGQQGYFQRQQGNHYDPDQRSFTQGDRRDHESPGQRDYRGDNRNYSPTHGGNYGQGGNSSYGQRNPGECQRSAQMEQMGMQGEQGNYAPLGPPGWSNQVRY >Potri.013G048700.1.v4.1 pep chromosome:Pop_tri_v4:13:3486625:3501124:1 gene:Potri.013G048700.v4.1 transcript:Potri.013G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048700.v4.1 MASFISPSLSHLFPLHKDPPSPIKYRIRTTKLQRNRHKALPFVHSLCVFGFQGASKCSKSSQDLPLDSPRRLKQEKELVFSNGFLSKPEKGVFQCITRPIVLTLFCIAIGFYPLGALPPLAVADVAVASEVAVKKKEKKLNKESNLKEHEFSNYTKSLLEEVSRLLKRIEEVRKGNGSVEEVKLVLKAVKGRKEELQREIMEGMYLEVRQLRKEKGKMENRSEEIVEEVEKEKKEFDNLREEGDKERMEALEERMRVMDEEYTSVWERIGEIGGEILRRETMALSVGVRELCFIERECEELVKRFSQEMRQKSTDSQKKSSITKLPRSDIQKELETAQRKLLEQMILPNVVEVEGLGLLFDQDSIDFAARIRQGLKDSQKLQKDTEALIRKKMKRFGDEKHLVVKTSADEIVKGYPEVELKWMFGDKEVVVPKAIHLHLYHSWKKWREEAKAELKRKLLEDADFGKEYVAQKQEQVLLGRDRVVSKTWYSEEKNRWEMEPIAVPYAVSKKLVEHARIRHDWGAMYIALKGDDKEYFVDIKEFEILYEDFGGFDGLYMKMLASGIPTSVHLMWIPLSELDLGQQFLMALRLTGQCLNGLWKSRIVSYGRDWVVEKVRNINDDIMMVIVFPMLELIVPFPVRMQLGMAWPEEIDQTVGSTWYLKWQSEAEINFKSRKTDDMQWFFWFAIRLFIYGYILFHAFRFLKRKVPRLLGFGPLRSRDPNFLKLRRVKYYVKYKLRTIKRKKKAGIDPISTAFDGMKRVKNPPIPLKDFSSVESMREEINEVVAFLQNPSAFQEMGARAPRGVLIVGERGTGKTSLALAIAAEARVPVVKVEAQQLEAGLWVGQSASNVRELFQTARDLAPVIIFVEDFDLFAGVRGKFIHTKKQDHEAFINQLLVELDGFQKQDGVVLMATTRNINQIDEALQRPGRMDRVFYLQQPTQAEREKILHLSAKETMDEDLIDFVDWRKVAEKTALLRPVELKLVPVALEGSAFKSKFLDTDELMSYCSWFATFSCLVPDWVRKTKIAKKMSRMMVNHLGLTLSKEDLQNVVDLMEPYGQISNGIELLNPPLDWTRETKFPHAVWAAGRGLIALLLPNFDVVDNLWLEPCSWQGIGCTKISKAKNEGSLNGNSESRSYLEKKLVFCFGSYISSQLLLPFGEENFLCSSELKQAQEIATRMVIQYGWGPDDSPAIYYSNKGVTFLSAGNSHEYEMAAKVEKLYDLAYLKAKGMLQKNRRVLEKIVEELLEFEILSGKDLERMVDDNGGIREKEPFSLSKANYTEALSSSFLDQGNGAGPALLGAST >Potri.003G170700.1.v4.1 pep chromosome:Pop_tri_v4:3:17922431:17923644:1 gene:Potri.003G170700.v4.1 transcript:Potri.003G170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170700.v4.1 MEPAKNLELIDQAIKKLLAEKRNKESSGDDGFLQDDNDQLLLSKLLSELESLKGNDVKLEQSDISPGAEEEEEVNSPAIGEVLSRHENSIENTAAGEIVKELRKLRRQNFVTQCLLSAMIVLTVAWQVSQVSIILQVKDGLSHPFKSFGSMLNGMLKRSGTKGQDSENQQSEVVPVKVPPFKIPELPHIDLGSNIE >Potri.002G139000.4.v4.1 pep chromosome:Pop_tri_v4:2:10438589:10440154:-1 gene:Potri.002G139000.v4.1 transcript:Potri.002G139000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139000.v4.1 MQPLPGGSGSISASTDKDAYPPLELSVAAIKSDGSVTTESFKPCQSLPEEWTQLAMGGQERLIRAFFGLREHYTATTAHHAREIADRDQELINLKEELARAEAMPYKILFEEKEPPEL >Potri.002G139000.3.v4.1 pep chromosome:Pop_tri_v4:2:10438258:10443795:-1 gene:Potri.002G139000.v4.1 transcript:Potri.002G139000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139000.v4.1 MMKPLLDEACQIGKFVQELGESRGHTRDTVEKQTDMVNQFWDIIKKIMQPLPGGSGSISASTDKDAYPPLELSVAAIKSDGSVTTESFKPCQSLPEEWTQLAMGGQERLIRAFFGLREHYTATTAHHAREIADRDQELINLKEELARAEAMPYKILFEEKEPPEL >Potri.006G249600.1.v4.1 pep chromosome:Pop_tri_v4:6:24905715:24908223:1 gene:Potri.006G249600.v4.1 transcript:Potri.006G249600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249600.v4.1 MEAPKTIEHQIGEVHDSLRFGLDTKRGDIIGSHPLESALLSVEKNQEDMKRITLANAYGSAFLVQMGIERQMLSRFQRPPGPIPSSMLGLEALTGSLEDFGFEDYLNDPRESETLRPVDMHSGMEVRLGISKGPACKSIM >Potri.008G147400.4.v4.1 pep chromosome:Pop_tri_v4:8:10044218:10051175:1 gene:Potri.008G147400.v4.1 transcript:Potri.008G147400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147400.v4.1 MFFFFLSWGVFQRESWKTVLTLAYQSLGVVYGDLSTSPLYVYKSTFADDIQHSETNEEIYGVLSFVFWTLTLIPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVNSLPNCQVADEELYEYKKDAAATCLTPKTTFGSRLKSTLEKHRVLQRFLLLLALIGTCMVIGDGVLTPALSVFSAVSGLELSMSREHHKYVEVPVACIILIGLFALQHYGTHRIGFLFAPVVLMWLLCISAIGIYNIIHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSLVYPSLILAYMGQAAYLSQHHAIDSDYRIGFYVSVPDKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALSCFPRVKIVHTSSKIHGQIYIPEINWTLMLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKNVFFAICFVCFFGTIEALYFSASLIKFLEGAWVPVALSFIFLIVMCVWHYGTLKTYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRAKERFLIGYIGTREYRLYRCIVRYGYRDVHKDDMEFEKDLVCSIAEFIRSGNHEPNGAKDDLESEDGKMTVVGTCCTHTDGIQLREDDVDNIESAGTSELREIRSPPVIQPRKRVRFRVPDSPKINRGAREELQELVEAREAGIAYILGHSYVRAKQGSSMLKKLVINYGYGFLRRNSRAPASTLSAPHASTLQVGMVYHV >Potri.008G147400.1.v4.1 pep chromosome:Pop_tri_v4:8:10044175:10051228:1 gene:Potri.008G147400.v4.1 transcript:Potri.008G147400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147400.v4.1 MDLETGISQNHVKRESWKTVLTLAYQSLGVVYGDLSTSPLYVYKSTFADDIQHSETNEEIYGVLSFVFWTLTLIPLLKYVFIVLKADDNGEGGTFALYSLLCRHARVNSLPNCQVADEELYEYKKDAAATCLTPKTTFGSRLKSTLEKHRVLQRFLLLLALIGTCMVIGDGVLTPALSVFSAVSGLELSMSREHHKYVEVPVACIILIGLFALQHYGTHRIGFLFAPVVLMWLLCISAIGIYNIIHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSLVYPSLILAYMGQAAYLSQHHAIDSDYRIGFYVSVPDKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALSCFPRVKIVHTSSKIHGQIYIPEINWTLMLLCLAVTVGFRDTKRMGNASGLAVITVMLVTTCLMSLVIVLCWHKNVFFAICFVCFFGTIEALYFSASLIKFLEGAWVPVALSFIFLIVMCVWHYGTLKTYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRAKERFLIGYIGTREYRLYRCIVRYGYRDVHKDDMEFEKDLVCSIAEFIRSGNHEPNGAKDDLESEDGKMTVVGTCCTHTDGIQLREDDVDNIESAGTSELREIRSPPVIQPRKRVRFRVPDSPKINRGAREELQELVEAREAGIAYILGHSYVRAKQGSSMLKKLVINYGYGFLRRNSRAPASTLSAPHASTLQVGMVYHV >Potri.002G104450.1.v4.1 pep chromosome:Pop_tri_v4:2:7666626:7666736:1 gene:Potri.002G104450.v4.1 transcript:Potri.002G104450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104450.v4.1 MEVQRLRQLMLLWSRIQSTRVALVGGNHTAARFCTR >Potri.011G055500.2.v4.1 pep chromosome:Pop_tri_v4:11:4447955:4449142:1 gene:Potri.011G055500.v4.1 transcript:Potri.011G055500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055500.v4.1 MEGGDDQFHHQHHHQHHHRPTFPFQLLEKKEDEPCSSSSPYPSLPISTTEPNSNSNSTNTNHSTSNLQIEVAEPSKKPPPKRTSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSIPSHLRSGSFNPNFSLQQRSRSLFPGIGLETSQPPTFLSFQSSNNLNAVLQAKQELRDNSSLELSTETEESLSRKRRSEQDLSPSQQHQMGNYLLQSSAGAIPASHGQIPANFWMLANSNNNQVMSGDPIWTFPSVNNSSVYRGSMSSGLHFMNFPAPVTLLPSQQLGSTSIGGASGGNSGISEGHLNMLAGLNPYRPSGLSESQASGSHSHHGGGGGGSDDRHDTTSHHS >Potri.011G055500.3.v4.1 pep chromosome:Pop_tri_v4:11:4448038:4449330:1 gene:Potri.011G055500.v4.1 transcript:Potri.011G055500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055500.v4.1 MPALCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSGSSMSIPSHLRSGSFNPNFSLQQRSRSLFPGIGLETSQPPTFLSFQSSNNLNAVLQAKQELRDNSSLELSTETEESLSRKRRSEQDLSPSQQHQMGNYLLQSSAGAIPASHGQIPANFWMLANSNNNQVMSGDPIWTFPSVNNSSVYRGSMSSGLHFMNFPAPVTLLPSQQLGSTSIGGASGGNSGISEGHLNMLAGLNPYRPSGLSESQASGSHSHHGGGGGGSDDRHDTTSHHS >Potri.002G222300.2.v4.1 pep chromosome:Pop_tri_v4:2:20627576:20629520:-1 gene:Potri.002G222300.v4.1 transcript:Potri.002G222300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G222300.v4.1 MAALTSINGGRCEAGEVHVIIGPMFAGKTTDLLRRIESESSSGRNVAMIKSSKDTRYANDSVVTHDGLKFPCWSLPDLTSFHHKLGDDEYHKLDVIGIDEAQFFEDLYEFCCKAADHDGKTIIVAGLDGDYLRRSFGSVLDVIPLADTVTKLTARCELCGKRAFFTLRKTAETQTELIGGSDVYMPVCRQHYVSGQAVIESTRNVLESYKVSH >Potri.001G230100.1.v4.1 pep chromosome:Pop_tri_v4:1:24955749:24957623:1 gene:Potri.001G230100.v4.1 transcript:Potri.001G230100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230100.v4.1 MAEEGKSDAQLFQLLSNLLQQVEAQSNEEEVELRSKIEALGLEVAKLPSKSTNNLDELEIARELDKLSAKLDDVDEMISSALASDPQVQSLLSDTADVWMPVITANADERRNFTASVGNDKSEEKGENPK >Potri.001G198100.1.v4.1 pep chromosome:Pop_tri_v4:1:19492430:19493243:-1 gene:Potri.001G198100.v4.1 transcript:Potri.001G198100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198100.v4.1 MSYSDNNSDPNQPKPGTRLYNPYQDLHLPSQTLYHLPTSPEYLFTEESLHQRRSWGENLTFYTGSAYLSASIAGGAAGFFSAFRSFEPTDTLKLKVNRILNSSGHSGRVWGNRVGVVGLIYAGMESGIVAITDRDDVWSSVAAGLGTGAVCRAARGVRSAAVAGALGGLAAGAVVAGKQALKRYAMI >Potri.003G141800.1.v4.1 pep chromosome:Pop_tri_v4:3:15743873:15745550:1 gene:Potri.003G141800.v4.1 transcript:Potri.003G141800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141800.v4.1 MGCNSSKRIEANVDVYRPPPSSFAVFDINSIQEPWLVVDNSAPEKTSSVPAPILEKLNKFETDAPRSWDEVSKALQDLKPTLNNKTPIATPSPPQKPDTTPAQVAKTNQEKKQEPIRKSVSFHTLEELEAKIAPKPEKELKKTESVRTLSRKVEVVNKTESRVGTEPVTEPSGGGVIRSVKENIFIVRDRLERGKEGKPLKKFDPLSGFEEKCPPGGADSVVLYTTSLRGIRRTFDDCTRVKTLFELHHVVFDERDVSLHGEFLNELRDLVGEGTSVPRVFIKGRYIGGVDEVVELNESGQLGRMLVWARVGRVEGRQACEGCGDARFVPCLECSGSCKVLIDGAKERCGKCNENGLVRCPTCL >Potri.002G034250.1.v4.1 pep chromosome:Pop_tri_v4:2:2273157:2273804:-1 gene:Potri.002G034250.v4.1 transcript:Potri.002G034250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034250.v4.1 MSVAHTRFRGSTRSELVIRRGNFKQTSGCPQERDCKESDLQQDESMNVDQQMNHKRQIGGPFRLRIGFTWIPV >Potri.007G009800.1.v4.1 pep chromosome:Pop_tri_v4:7:762948:774234:-1 gene:Potri.007G009800.v4.1 transcript:Potri.007G009800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009800.v4.1 MEFDEIEYLEKTVEEAEDRDDTRKKNSSSSKKRNGTERSYRKRDVDDEDIDNDEDRKAKKLKAEDENGRDRHHRRDRDLDRDRSSRQKDRERERREKDKEKERERREKEKERERREKEKEREKRERGGEEEREEREKDKEKEIERRERERERRERGGEEEREKDKEKEIERREKERERERERRERGGEEERERRERSRSRSRRYESDNREREREREIDTRESRRFKEKKEVVEPEADPERDQRTVFVYQMPLKATERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYVEFYDAMSVPMAITLSGQLLLGQPVMVKPSEAEKNLVQPSASGGGTGGVTGPFGAVDRKLYVGNLHFNMTEMQLRQLFEPFGIVELVQLPLDLETGQCKGFGFVQFTQLENAKAAQSALNGKLEIAGRTIKVSSVTEHGGQQDSGVKSADFDDDDGGGLALNAQSRALLMQKLDRTGTATSIAGSLGVPLLNGSAPNQQAISLPVNGQTNIGAAAFPALVLPSPAYESIGQPSECLLLKNMFDPATETEPDFDLDIKEDVEEECSRYGQVKHIWVDKNSAGHVYLQFDSMEAAARAQRAMHMRWFARRSILAIFMPTREYEARFQNGA >Potri.005G024000.1.v4.1 pep chromosome:Pop_tri_v4:5:1512059:1512773:1 gene:Potri.005G024000.v4.1 transcript:Potri.005G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024000.v4.1 MNKPSFKQHKTSNQEELQGKMASSYTTLCLILILSQSLPSSSSSQETSFLDQKPTAYEILGDYNFPKGLLPKGVVGYSLDTTTGRFSAFLNGSCSFSLEGSYQLRYKSSVNGYISQGRLSRLEGVSVKVFFMWVDIVEVLRNGDDLEFSVGIAGAGFPIDNFEECPQCGCGLNCSGAKRKVSKIRSNPFVSSS >Potri.009G083702.1.v4.1 pep chromosome:Pop_tri_v4:9:7882677:7884259:-1 gene:Potri.009G083702.v4.1 transcript:Potri.009G083702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083702.v4.1 MGSSSKELLHLVHKSSSTTSSNAVLYLRFRVFLPMCTVFLFFLPKLHFFIVCKRESQESHHQTEKPPTDGNYGHSQNYDIEVLAGNDSSVIEMDLMLVCSCEGVAAA >Potri.009G083702.2.v4.1 pep chromosome:Pop_tri_v4:9:7882677:7884245:-1 gene:Potri.009G083702.v4.1 transcript:Potri.009G083702.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083702.v4.1 MGSSSKELLHLVHKSSSTTSSNAVLYLRFRVFLPMCTVFLFFLPKLHFFIVCKRESQESHHQTEKPPTDGNYGGLAVDYDGVIFRTRLFPPVFLSDLSRI >Potri.007G032300.1.v4.1 pep chromosome:Pop_tri_v4:7:2486610:2488911:-1 gene:Potri.007G032300.v4.1 transcript:Potri.007G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032300.v4.1 MAMAISLLSSFFTQALFFLVLVFFSTYVTAQAPPGTNFSCPVDSPTSCPTYISYLAQPPDFLDLGKISHLFGISRTLIASASNLVSEDTPLFPNQLLLVPIRCGCTGSQSFVNITYQIQQGDSIYSVSTISFENLTRWQEVEALNRSLTPTLLHAGDEVIFPLFCKCPSRTHLENGIEHLITYVWQPGDDLKKVAAMLNASERNIVIENNYDNFNAAVYNPIVIPVSKLPVLSQPYLTPERRGSKHLWIVIVAASIASTFFTCPLVAFLIHKRCSYKATKALDRTGSCLETSDVIQTKEPVKFESFEAKIKPDKLLPGVLGCLDKSIIYEVKAIMEGTMDLHEHYKIGGSVYRANINGCVLAVKKTKDDVTEELKILQKVSHANLVKLMGMSSESDREGNRFLVYEYAENGSLDKWLHPKSESSSSSVGFLTWKQRMQVALDVANGLQYLHEHTQPRTVHKDIRTSNILLDSTFRAKIANFSMARAATDSMMPKDDVFDFGVVLLELLSGKKAMVTKEKGEIVLLCREIKDVLEMEEKREERLRKWMDPNLERFYPIDSAMSLATLARLCTLEKSSERPSMAEIVFNLTVLTQSSPETLERWTSEVETEDFTRLVSPVTAR >Potri.005G120100.1.v4.1 pep chromosome:Pop_tri_v4:5:8828699:8831425:-1 gene:Potri.005G120100.v4.1 transcript:Potri.005G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120100.v4.1 MDNLCRTPRVFCIGTADTKLDELKFLSECVRSNINSFTAISSFKVEVVVVDVSCGEKETKDLADFIFVPRKDVLACYFGSIEKSPRILTDERGKAVATMSKALEYFLKKAQEDQVLAGAIGLGGTGGTSLISSAFRSLPLGMPKVIVSTVASGRTESYIGTSDMILFPSIVDICGINGVSRVVLSNAGAAFSGMVVGRLAICRQDCSNNEKFTVGLTMFGVTTPCVNAVKERLAKEGYETMVFHATGVGGRAMENLVREGLIQGVLDITTTEVADFLVGGIMPCDSSRFDAIIEKNIPFVLTVGALDMVSFGTKDTIPSRFQHRKIHIHNAQNSIVRTTVDENKKFAEFIADKLNRSPSSKFRVCLPQKGVSAYDAPGKPCYDPEATSTLINEFQRLVQTKDDRQVKVYPCHINDPEFANALVDAFLEISPSHLKDSVGHHT >Potri.018G072801.1.v4.1 pep chromosome:Pop_tri_v4:18:9076303:9076605:1 gene:Potri.018G072801.v4.1 transcript:Potri.018G072801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072801.v4.1 MHQQPARRASSGQQQQKSSSKLHTPLLPPSNKKNHTLVLPSPSLSISLPRPPRRKGVQEQAVSRSPFLLDQQQQPQLACINHSSSNHHFSVEAGRTRIGD >Potri.001G243108.1.v4.1 pep chromosome:Pop_tri_v4:1:26086823:26092175:-1 gene:Potri.001G243108.v4.1 transcript:Potri.001G243108.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243108.v4.1 MEKRYSINKERLHVFCDLCIKAIDMGMRQNTHFDKAGWKYLLTIFKEQTGLEDIDEAHSETSVGWSNKLGTISASDEGAKKFRQAGIEPSLRMKFDRMFSNIIATGEYVWAPSSGVLCDDNVGVDEDPNANEEQPDLEEGSGDSEEDEILNFTDDVCNMVREVNMSNISNTRSSGKRKEREHSEVQDGKKKRSSGIGLQLLSRWDQMVDSMSNNSDSTSISRDRKGCSIPEVMTELYSIEGVRIGDDFHGFATEFLGLRRNREMWSTMGNLENKMKWLQRVYT >Potri.018G082500.1.v4.1 pep chromosome:Pop_tri_v4:18:10017549:10023962:-1 gene:Potri.018G082500.v4.1 transcript:Potri.018G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082500.v4.1 MESSSVFANIVRAPEDPILGVTVAYNKDSSPHKLNLGVGAYRTEEGKPLVLNVVRRAEQMLVNDSSRVKEYLPIVGLADFNKLSAKLIFGADSPAIQENRVTTVQCLSGTGSLRVGAEFLARHYHQLVIYIPNPTWGNHTKIFGLAGLSVKAYRYYDPSTRGLDFQGLLEDLGAAPSGSIVLLHACAHNPTGVDPTIEQWEQIRQLMRSKGLLPFFDSAYQGFASGSLDADAGSVRMFVADGGECLAAQSYAKNMGLYGERVGALSIVCKKADVASRVESQLKLVIRPMYSNPPIHGASIVATILKDRDMYNEWTVELKAMADRIISMRQQLFDALSARGTPGDWSHIIKQIGMFTFTGLNTEQVAFMTKEYHIYMTSDGRISMAGLSSRTVPHLTDAIHAAVTRVG >Potri.010G073166.1.v4.1 pep chromosome:Pop_tri_v4:10:10122966:10126636:-1 gene:Potri.010G073166.v4.1 transcript:Potri.010G073166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073166.v4.1 MATTCDSSYDRISELKAFDDTKAGVKGLVDAGITKVPRIFHHLRDDSDNTLLVAAEGKFRIPMIDLEDVHKGPPQRKEIVDRVRNASETWGFFEVVNHGIPVDVLEEMKDGVRRFYEQDVELKKEYFSRDHTRKVGYNSNFDLFSSPSANWRDTFTCVMAPISPRPEELPAAFRDILIEYTKEVMKLGNSLFELLSEALGLNPNHLKDIDCSKGLTILGHYYPACPQPELTLGTTKHSDNDFLTVLLQDHIGGLQVMYQNHWVDVPPTPGALLINIGDLLQLISNDKFISVEHRVLANRIGPRVSVASFFSTNLNPNSRPYGPIKELLSEESPPKYRETTVRDYVAYFIHKGLDGTSALLHFKL >Potri.005G176500.1.v4.1 pep chromosome:Pop_tri_v4:5:18243179:18245476:1 gene:Potri.005G176500.v4.1 transcript:Potri.005G176500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176500.v4.1 MGGHGAVEVAKTVIEVADVAWKAMEFTQHHHLHEIHQHESAHDTKSNSVDEELESLRSENKRLKDLLEHNLKLLQSLSESPCLLNDCPPHLYSRLVATVDSENFLTKIKSLQQASVNETGIQFPFKEATGDDMQLVEVLINVDGKEPSRWVWVTEDMVPSNVEERSGIDDENYVVVTEERVVDGVANFMAKCIVANPKAQNLTPEELQKILAKALGGVSKLEKVFGIWHAGTMFYTLGTWGLALAGLYRSRAVLRLAAGGIHATSKVVLKAL >Potri.T013566.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:307620:310513:-1 gene:Potri.T013566.v4.1 transcript:Potri.T013566.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013566.v4.1 MAKRKDRFWDHVEKLDDGRFICTFCGFKFAAAASISRIKWHLSGEEGHGVAVCGQVPQQVQEAAFLDMRHCNKRHKGIASSSNFNDNVISTTPQEQNNEVDNVAGDAGTTQAADRMGHPLGRSVEEFSRWLMEDDIENGTGGVVQPGAGASSSGGLTDNTNETPGDPLPTSSTKLVGRAFEHNTNLIWSWLMDDEVSIIGIYGMGGVGKTTMMKHIYNKLLERLGISHCVCWVTVTRDFSIERLQNLIARCLGMDLSSEDDDLYDLWNTFELHEVGIPEPVNLKGCKLIMTSRSKRVCQWMDRRREIKVKPLSNSEAWDLFMEKLGHDMPLSLEVERIAVDIARECAGLPLGIITIAGSLRRVDDLHEWRNTLKKLKESKCRDMGDKVFRLLRFSYDQLHDLALQQCLLYCALFPEDYEIVREKLIDYLIDEEVIERVESRQEAVDEGHTMLNRLESVCLLEGANNVYGDRYFKMHDLIRDMAIQILQENSQGMVKAGARLREVPDAEEWTENLTRVSLMHNHIKDIPPNHSPSCPNLLTLLLCRNSELQFIADSFFEQLRGLKVLDLSRTIITKLPDSVSELVSLTGLVLIDCDMLRHVPSLEKLKALNRLDLSGTWALEKMPQGMECLCNLRYLRMSGCGEKEFPSGLLPKLSHLQVFELERWIPEPIHRKAQYVPITVKAKEVACLRKLESLVCHFEGYSDYVEYLKSWDETKSLSTYKIRVGLLDKSYYDHDDFRRKTIILGNLSIDREGDFQVIFPKDIQQNATELEVIENRNCNSMESLVSSSWFYSAPSYNDIFSGLKEFYCHGCRSMKKLFPPVLLPSLVNLERIRVGTCEKMEEIIGGTRPDEEGVMGEETSSSNIEFKLPKLGYLELEGLPELKSICSAKLICDSLQVIEVTNCEPSPPPSLQHITANSEEWWESVVEWEHPKY >Potri.T013566.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:303482:311858:-1 gene:Potri.T013566.v4.1 transcript:Potri.T013566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013566.v4.1 MTSRSKRVCQWMDRRREIKVKPLSNSEAWDLFMEKLGHDMPLSLEVERIAVDIARECAGLPLGIITIAGSLRRVDDLHEWRNTLKKLKESKCRDMGDKVFRLLRFSYDQLHDLALQQCLLYCALFPEDYEIVREKLIDYLIDEEVIERVESRQEAVDEGHTMLNRLESVCLLEGANNVYGDRYFKMHDLIRDMAIQILQENSQGMVKAGARLREVPDAEEWTENLTRVSLMHNHIKDIPPNHSPSCPNLLTLLLCRNSELQFIADSFFEQLRGLKVLDLSRTIITKLPDSVSELVSLTGLVLIDCDMLRHVPSLEKLKALNRLDLSGTWALEKMPQGMECLCNLRYLRMSGCGEKEFPSGLLPKLSHLQVFELERWIPEPIHRKAQYVPITVKAKEVACLRKLESLVCHFEGYSDYVEYLKSWDETKSLSTYKIRVGLLDKSYYDHDDFRRKTIILGNLSIDREGDFQVIFPKDIQQVYGIFGL >Potri.014G021900.1.v4.1 pep chromosome:Pop_tri_v4:14:1309703:1310578:1 gene:Potri.014G021900.v4.1 transcript:Potri.014G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G021900.v4.1 MSDSETTPTVSTTAPPSDDHSSAEGIKKQASGGFSLPSDDHYSAEGTMKQTSSGFSFSIWPPTQRTRDAIISRLIETLSTTSVLSKRYGTIPKEEASEASRRIEEEAFSGASTVASSEKDGLEVLQLYSKEISKRMLETVKARAGSGANGDNSATETASADVTPKAVANEEVSSSAEAEA >Potri.008G034600.1.v4.1 pep chromosome:Pop_tri_v4:8:1879807:1883754:1 gene:Potri.008G034600.v4.1 transcript:Potri.008G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034600.v4.1 MEVNSVSSHSNEKRSSEHSTSTNGEHMNTEKEKSTSVFVNHAAIAWHESRRKWTGNQSRQPQRTTKDPIISWSTTYEDLLSTQEPFSEPIPLSEMVDFLVDIWHDEGLFD >Potri.016G141200.2.v4.1 pep chromosome:Pop_tri_v4:16:14404808:14411601:1 gene:Potri.016G141200.v4.1 transcript:Potri.016G141200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141200.v4.1 MVRVRASSAAHTVMEGSGSISDPHRGGGGNSNSSSSLTSSSRWYGMLSASSIIQAPISSLLEYSGLLRTTRSNHQENESLIPGGLGGGGHGSSRLDDSSASVVPNNGEVSIRIIGAGEHERDGSGGLVVGQVGGAQNEVLGQQQMMGADALQGDLRSDRGGGDAADGAGGQQSSSVSGDGEAADEAGGNGRDSTYQRYDIQQVARWIEQVLPFSLLLLVVFIRQHLQGFFVTIGIAVVMFKSNDILRKQTALKGERKISVLVGISLAFMVHVVAVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMAFKCVLLIYYKNSRGRNYRRQGQMLTLVEYLILLYRALLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSFVEKVQSFFSALKALSRKEVHYGAHATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLLFQIF >Potri.016G141200.4.v4.1 pep chromosome:Pop_tri_v4:16:14404838:14411637:1 gene:Potri.016G141200.v4.1 transcript:Potri.016G141200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141200.v4.1 MEGSGSISDPHRGGGGNSNSSSSLTSSSRWYGMLSASSIIQAPISSLLEYSGLLRTTRSNHQENESLIPGGLGGGGHGSSRLDDSSASVVPNNGEVSIRIIGAGEHERDGSGGLVVGQVGGAQNEVLGQQQMMGADALQGDLRSDRGGGDAADGAGGQQSSSVSGDGEAADEAGGNGRDSTYQRYDIQQVARWIEQVLPFSLLLLVVFIRQHLQGIAGFFVTIGIAVVMFKSNDILRKQTALKGERKISVLVGISLAFMVHVVAVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMAFKCVLLIYYKNSRGRNYRRQGQMLTLVEYLILLYRALLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSFVEKVQSFFSALKALSRKEVHYGAHATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLLFQIF >Potri.016G141200.1.v4.1 pep chromosome:Pop_tri_v4:16:14404834:14411657:1 gene:Potri.016G141200.v4.1 transcript:Potri.016G141200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141200.v4.1 MEGSGSISDPHRGGGGNSNSSSSLTSSSRWYGMLSASSIIQAPISSLLEYSGLLRTTRSNHQENESLIPGGLGGGGHGSSRLDDSSASVVPNNGEVSIRIIGAGEHERDGSGGLVVGQVGGAQNEVLGQQQMMGADALQGDLRSDRGGGDAADGAGGQQSSSVSGDGEAADEAGGNGRDSTYQRYDIQQVARWIEQVLPFSLLLLVVFIRQHLQGFFVTIGIAVVMFKSNDILRKQTALKGERKISVLVGISLAFMVHVVAVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMAFKCVLLIYYKNSRGRNYRRQGQMLTLVEYLILLYRALLPAPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSFVEKVQSFFSALKALSRKEVHYGAHATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFERERTCPLCRALVKPADLRSFGDGSTSLLFQIF >Potri.006G255200.4.v4.1 pep chromosome:Pop_tri_v4:6:25285999:25287384:-1 gene:Potri.006G255200.v4.1 transcript:Potri.006G255200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255200.v4.1 MELQLGLSLPTYNFIENFDLNNGGFEPKDQMLGSKPWISCEDGNYLDNKRSFEVAFEKNIKDASQELPLLLWSGQPNDEDDWNGEKKISRSINKDDEEENQVVGWPPIKSWRKKVLHHQHQAGHVVNSTRMATAGNYEYGTGSNSKYVKVKMEGVAITRKIDLRLYNSYQTLTKSLISMFAKCKNLEKDAARYSLTYQDKDGDWLIAGDVPWQTFMESVQRLKIVRNAG >Potri.004G061700.2.v4.1 pep chromosome:Pop_tri_v4:4:5192971:5201455:1 gene:Potri.004G061700.v4.1 transcript:Potri.004G061700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061700.v4.1 MTKAVRTRILKDANGDIGDHLRNHIHLTNCIHLKNHMLKQSPILADRSLMRDLITLQRSRSLRDPSASPPSWHSPSVVDLLPKKGDPDAAIREGRSSVGTERRREGRRLSGTSPPLANLAPSKVVPSDISLGIDGVAAISDRSVKSGIRDGRRVVTREEFSRKSNRADLLGGDEDLLQDHAVNSFIHEAVSGNSESKDRKSKHKGKHSQDMHIKTLSEQLNEIPRGSDVASSNMHLHGRHTQQQKIGEHETSVSGYSGVNRVKRRKFRNARRTRAAAPASRDAGGQKEMSVASNSFAQGPAQPRYHMEEEEYGDQNVTRAPRNGCGIPWNWSRIHHRGKTFLDMAGRSFSCGLSDSRRDGTFSHGRDFPGMPVASDHSTSSTKSDVEALPLLVEASGSHESTDNAGWVHDYSGELGIYADHLLKNDVDSEARSSEQCKLGQNHNGRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVSRRKVGLLYVFYGPHGTGKTSCARIFARALNCQSLEHPKPCGFCNSCISHDMGKSRNIREVGPVSNFDFESIMDLLDNMIVYQIPSLYRVFIFDDCDSLSPDCWSAILKVIDRAPRRVVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADIIYTLQWISSKEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGQKISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRVIMETGVEPLALMSQLATVITDILAGSYDFTKERPRRKFFRRKPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYLLPSSSTETSFNHSPLAQNNMGGRDISRKGGEHEMPNNGRDLPMHVRLESLPGGTSADFRNNGSTNGTSIDRKRNAASVMAPQWTPVQTSDAIRVNSRQVSGKSHKGYEEIWLEVLEKIQINSMREFLYQEGKLISVSFGAAPTVQLIFSSHFTKLKAEKFRAHILQAFESVLGSPVTIEIRCESNKETSAGFRVPLILPASKNGSSQMAIDPVLNAGSRMPRTGDYLEGRSEIVEVPTSPRKYEGNEPTNHNVESSRRGLQHTRAGESVSNKKPAVGSLVERRKLGETSQSKSIVRSKVSLARVIQQAEGCTQQAGWSKHKAVSIAEKLEQENLRLEPRSRCLLCWKATRVTRRKLSRLNIRTRKPHSLLKLVSCGKCLSSKSPR >Potri.004G061700.3.v4.1 pep chromosome:Pop_tri_v4:4:5192981:5201153:1 gene:Potri.004G061700.v4.1 transcript:Potri.004G061700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061700.v4.1 MTKAVRTRILKDANGDIGDHLRNHIHLTNCIHLKNHMLKQSPILADRSLMRDLITLQRSRSLRDPSASPPSWHSPSVVDLLPKKGDPDAAIREGRSSVGTERRREGRRLSGTSPPLANLAPSKVVPSDISLGIDGVAAISDRSVKSGIRDGRRVVTREEFSRKSNRADLLGGDEDLLQDHAVNSFIHEAVSGNSESKDRKSKHKGKHSQDMHIKTLSEQLNEIPRGSDVASSNMHLHGRHTQQQKIGEHETSVSGYSGVNRVKRRKFRNARRTRAAAPASRDAGGQKEMSVASNSFAQGPAQPRYHMEEEEYGDQNVTRAPRNGCGIPWNWSRIHHRGKTFLDMAGRSFSCGLSDSRRDGTFSHGRDFPGMPVASDHSTSSTKSDVEALPLLVEASGSHESTDNAGWVHDYSGELGIYADHLLKNDVDSEARSSEQCKLGQNHNGRHQNLTQKYMPRTFRDLVGQNLVAQALSNAVSRRKVGLLYVFYGPHGTGKTSCARIFARALNCQSLEHPKPCGFCNSCISHDMGKSRNIREVGPVSNFDFESIMDLLDNMIVYQIPSLYRVFIFDDCDSLSPDCWSAILKVIDRAPRRVVFVLVCSSLDVLPHIIISRCQKFFFPKLKDADIIYTLQWISSKEDIDIDKDALKLIASRSDGSLRDAEMTLEQLSLLGQKISVPLVQELVGLISDEKLVDLLDLALSADTVNTVKNLRVIMETGVEPLALMSQLATVITDILAGSYDFTKERPRRKFFRRKPLSKEDMEKLRQALKTLSEAEKQLRMSNDKLTWLTAALLQLAPDQQYLLPSSSTETSFNHSPLAQNNMGGRDISRKGGEHEMPNNGRDLPMHVRLESLPGGTSADFRNNGSTNGTSIDRKRNAASVMAPQWTPVQTSDAIRVNSRQVSGKSHKGYEEIWLEVLEKIQINSMREFLYQEGKLISVSFGAAPTVQLIFSSHFTKLKAEKFRAHILQAFESVLGSPVTIEIRCESNKETSAGFRVPLILPASKNGSSQMAIDPVLNAGSRMPRTGDYLEGRSEIVEVPTSPRKYEGNEPTNHNVESSRRGLQHTRAGESVSNKKPAVGSLVERRKLGETSQSKSIVRSKVSLARVIQQAEGCTQQAGWSKHKAVSIAEKLEQENLYVFEEFFILFTYYHANLIIILGWHRSWNHLLAVNFILLEKA >Potri.005G143100.1.v4.1 pep chromosome:Pop_tri_v4:5:11513273:11514438:1 gene:Potri.005G143100.v4.1 transcript:Potri.005G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G143100.v4.1 MRHFCFAVLILLMILLFTNPSLVDSRALASATKNESDDHHAATDPIDDRGFGSVSTNMKASVDPEPVNDAGGGQVSGAEREVYKVASSGPSGKGAGH >Potri.009G170670.1.v4.1 pep chromosome:Pop_tri_v4:9:12975218:12977723:1 gene:Potri.009G170670.v4.1 transcript:Potri.009G170670.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170670.v4.1 MVLILFLLYGQRKGSFLFRPVVGEGGFEFQTPWFIATSFNSLNYRPYFVSTRSIPQDTLQKKPDERCESASFSIKTVRSEA >Potri.010G070400.1.v4.1 pep chromosome:Pop_tri_v4:10:9839300:9845545:-1 gene:Potri.010G070400.v4.1 transcript:Potri.010G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070400.v4.1 MDHVIGGKFRLGRKIGSGSFGELYLGINVQSGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQIYIIDYGLGKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPIEVLCKNYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRLSGKPAVNPGPSAERVEWPSVGQEIRDRFSGAVEAFARKNSTGHAMHRDRSRHRSSDDVPSSKDVHPDSERPRSSSRNGSTSKRAVISSSRPSSSGEPSENRSSRLASSSGRLSTTQRIQPGLESKSSSFTRALATRGGRDDTLRSFELLSIGRGKRK >Potri.010G070400.2.v4.1 pep chromosome:Pop_tri_v4:10:9839214:9845454:-1 gene:Potri.010G070400.v4.1 transcript:Potri.010G070400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070400.v4.1 MDHVIGGKFRLGRKIGSGSFGELYLGINVQSGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEGEYNVMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQIYIIDYGLGKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDRISEKKMLTPIEVLCKNYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRLSGKPAVNPGPSAERVEWPSGQEIRDRFSGAVEAFARKNSTGHAMHRDRSRHRSSDDVPSSKDVHPDSERPRSSSRNGSTSKRAVISSSRPSSSGEPSENRSSRLASSSGRLSTTQRIQPGLESKSSSFTRALATRGGRDDTLRSFELLSIGRGKRK >Potri.017G115350.1.v4.1 pep chromosome:Pop_tri_v4:17:12268177:12269722:1 gene:Potri.017G115350.v4.1 transcript:Potri.017G115350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115350.v4.1 MRCDYKRLSVSEKLRFSISYLSLIAMAVFSPLFLSQPSLNTPKMTVKSLAVLNKSYKMRVPYEMKKEQTRLFRQLPSGLNMEVIEQKGLVFADKKIIETQEAAKRTHLWFLFMEVIICSVLG >Potri.005G036600.2.v4.1 pep chromosome:Pop_tri_v4:5:2349842:2352359:1 gene:Potri.005G036600.v4.1 transcript:Potri.005G036600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036600.v4.1 MEPSTTDDPAKSHGNHTNNHHSLQSQIHDHHHGIGTLSSTSILIIIVSSISVVVVLTIFLIIAMLRRYKYSKDGGNCRDFSSCNTSKFIAHTTLRFTPSPDVKGGCLYGSNMGHKPPGKYKGVQVFTYKELEIATNKFSEANVTLNEGYGVVYRGTLSDGTVAAIKMLHRAGKQGERAFRIEVDLLSRLHSPYLVELLGYCADRNHRLLVFEFMPNGTLQHHLHHKQYRPLDWGTRLRIALDCARALEFLHELTIPAVIHRDFKCSNILLDQNFRAKVSDFGSAKMGSERINARNSTCLPSTTGYLAPEYASTGKLTTKSDVYSYGVVLLQLLTGRKPVDTKQPSGEHVLVSWALPRLTNRDKIVEMVDPAMKDQYSKKDLIQVAAIAAVCVQPEADYRPLMTDVVQSLIPLVKNLSSVSSSCSSRFVNQMLCSPRPM >Potri.006G223300.1.v4.1 pep chromosome:Pop_tri_v4:6:22846107:22848492:1 gene:Potri.006G223300.v4.1 transcript:Potri.006G223300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223300.v4.1 MAMPPPAATARTLTRHPRSSELASLVTAITSCLETLNPQNPNPKHINPTHLNQFSPHLDSNLVIEVIKEQQPNPYQALFFFNWASNLNPNPNNYSHNHRCYVAIIDLLLSHSLFPIAKNLLEKHGTFSDLLVSKLIRAYGYSGDTKSAIFWFHKVKEIQQGKCLFSWNAILGVLVKVNQINVAKSFFDQIVNDAVVKPDASTYTTMIRGFCKVGMIDNARKVFDEMICEPNLITCNTLINGYCKKGDMENARIFLCRMMESKDCLPDTVTYSTLIDGYCKKGELNEARKWMDGMLIRGCNPNLWTYNAIIYGLCLRGNVDEARRLLTKMRLNGVKENVATHLSILKGLSVAGKSEEAIGYFSEMIRKGMKLDAKEHEVVITAYCKMRKPDEAISLLKEMQAKGISRSVGSFNAVLRILVEIGELDKAVLLLKQVKNMGCLPNLVSYSTVICGLCRSHGRMQEVAGLVDDMLQDGFEMDATLYSCLVGGFCEAGNEEMAMRAFYDSINKNYVINLQSFSFFVNLMCGKGKVIEAEQIFKDMCRRCSLVDVDSYQRVLDDQLHKHSGRR >Potri.003G136300.1.v4.1 pep chromosome:Pop_tri_v4:3:15389426:15391519:1 gene:Potri.003G136300.v4.1 transcript:Potri.003G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136300.v4.1 MKMDHPVKFTEHRNQTKLSAPFLSNPVQYSKPPKIVRISVTDTYATDSSSDEENEVSRVSSRKRHVTRVKKFVNEITIEPSAPPSRTADCVTSDTGLRARPSRSARKKVGVDVSQARRLPATGVVGKKFRGVRQRPWGKWAAEIRDPLRRVRLWLGTYDTAEEAAMVYDNAAIQLRGADALTNFVTPPARCSPAAATSGYISGDESNSNHNINNDNVNVSSPISVLRFSEEAESQSVGSSRETRKTGNEVREVKEDSCVSENFSDFSEHNSSIDSLFPPTTDIYEFRSSVRGIFEETTIFADGGFLKDDDFRDMDLDFGFGFGFGLSSWNVQDHFQDIGDLFWSDSLIAI >Potri.010G226050.1.v4.1 pep chromosome:Pop_tri_v4:10:20955364:20957195:1 gene:Potri.010G226050.v4.1 transcript:Potri.010G226050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226050.v4.1 MENRNGYALNSIERLLSWEYVIMIHEHNILPALWHMESNRHQGDVHMSPFPFEYYKFGWQSAIINKVPFKLPFLTLRFLHESEASSFDLMKTSMILLMLSHYLPRDSQHSSLYKNLVLISTTHCVMLTFIASPS >Potri.013G014800.1.v4.1 pep chromosome:Pop_tri_v4:13:945866:949156:-1 gene:Potri.013G014800.v4.1 transcript:Potri.013G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014800.v4.1 MKRKYCGSLIFLLLFHLQIHFSSGKNARVCVSKGGRFPPYSSEGKLPKKVGKGARDLTLCRLFHKQTCCDVAQTYPASLSVRRLASTGEASQECLQLWELLECSICDPQIGVQPGPPLICASFCDRVYQACASAYFSMDANKRVIAPCGVNDFVCGQAAEWVSNGTELCHAAGFAVKLSDANVGVEEVSCYGGRASLDSISDSWRSPQSEFTQKVENLGVVEDFQQWVQEMQFSEKVSWAVGGLVLTAGLLFMSKRKSHGQRQKLAAIQRAAIAARRLDGRMSQNSPDSLVNRKGIRR >Potri.013G014800.5.v4.1 pep chromosome:Pop_tri_v4:13:945865:949137:-1 gene:Potri.013G014800.v4.1 transcript:Potri.013G014800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014800.v4.1 MKRKYCGSLIFLLLFHLQIHFSSGKNARVCVSKGGRFPPYSSEGKLPKKVGKGARDLTLCRLFHKQTCCDVAQTYPASLSVRRLASTGEASQECLQLWELLECSICDPQIGVQPGPPLICASFCDRVYQACASAYFSMDANKRVIAPCGVNDFVCGQAAEWVSNGTELCHAAGFAVKLSDANVGVEEVSCYGGRASLDSISDSWRSPQSEFTQKVENLGVVEDFQQWVQEMQFSEKVSWAVGGLVLTAGLLFMSKRKSHGQRQKLAAIQRAAIAARRLDGRMSQNSPDSLVNRKGIRR >Potri.014G077100.2.v4.1 pep chromosome:Pop_tri_v4:14:4977619:4981066:-1 gene:Potri.014G077100.v4.1 transcript:Potri.014G077100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077100.v4.1 MRSTATPNLLQSLPPISVSPRNPSLLAHNILTSPPKRTLDITCASKRSPDDYHATLKALNSRDRRPRKSLGQHYMLNDEINEQLVASANVEEGDLILEIGPGTGSLTNVLIDAGATVLAIEKDAHMAALVRERFADTNRFKVLQEDFIKCHIRSHMLSMLESMGSLSEKPRYAKVVANIPFNISTDVIKQLLPTGDIFSEIVLLLQDETALRLVESSLRTSEYRPINIFVNFYSDPEYKFKVPRSNFFPQPKVDAAVVRFKLKQAVDYPVVSSTKSFFSMVNSAFNGKRKMLRKSLQHICTPVEIEEALQNVGCLATSRPEELTLDDFVNLHNSIVRA >Potri.008G045166.1.v4.1 pep chromosome:Pop_tri_v4:8:2564396:2565013:1 gene:Potri.008G045166.v4.1 transcript:Potri.008G045166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045166.v4.1 MNISMENHFHKRSGCACYYQLVFQSLSSWKVCANEIIYELSCDSCS >Potri.014G082000.1.v4.1 pep chromosome:Pop_tri_v4:14:5290498:5291250:1 gene:Potri.014G082000.v4.1 transcript:Potri.014G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082000.v4.1 MKNFSLALYIIMLLVLFVTNSFWEIEAKACKPSGKIRGKKPPPKKCNKENDSVCCAEGELYSTFNCSPPVTHHTKAYLTLNSFEKNGDGGGPSECDKKFHSDDIPIVALSTGWFHKKGRCFNNITISGNGRKVKAMVVDECDSMRGCDEAHDYQPPCDNNIVDASKAVWVALGVPSDDWGGLDITWSDA >Potri.018G123400.1.v4.1 pep chromosome:Pop_tri_v4:18:13560421:13561919:-1 gene:Potri.018G123400.v4.1 transcript:Potri.018G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123400.v4.1 MMEDPSSKEEITRVLKVLEALKQASHELQTLPSTNLTESNSPAIKALLELETESDTILSKDPYLSALSQHLSKLKSLVDTLQKCCDHGLRSFLTRRVLIQSISRVAGSIESEIQAWIDRESIESLIKGLNEPLQTEEDDLVSLLSQFEDRVSQGFNRELQDLVLKSKIFCLLERVLCNPNCSKKVREQCAFVVSALIRFNKDVFAGQVLMGPLIHALVSMASWESMKVLCSLIKSIKSPLVDEIESNGEIPKIISFLDYKDLHWRVVAMDCILEIGYFGRKEAIEAMLREGLIKKLMELQRSELGEDLIDMGMFDDEKERGKGEKKFSESHPFASCVARFAVQLEVGEGLRQRERRAFKQEILKRVREACVSDAEAATVIAEVLWGSSP >Potri.010G191700.10.v4.1 pep chromosome:Pop_tri_v4:10:18700990:18703512:-1 gene:Potri.010G191700.v4.1 transcript:Potri.010G191700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191700.v4.1 MRGTLLANRRGVQRFRQLAITAIKSSKIKLLLLCCIAFTVLVVGTRASDFMGGTNYSDSVDQFPLPEKGYAIVMNTWKRYDLLKQSISHYSSCAGLKSIHIVWSEPNPPSDSLSKFLNHVVESKTKGLKKIKLSFDINKEDSLNNRFKEISGLKTDAVFSIDDDVIFPCSSVEFAFKVWQSAPDAMVGFVPRAHWVDKSQGKKDYYTYGGWWSVWWTGTYSMVLSKAAFFNKKYLRLYTNEMPASIKEFVTKNRNCEDIAMSFLVANATGAPPIWVKGK >Potri.010G191700.1.v4.1 pep chromosome:Pop_tri_v4:10:18698782:18703770:-1 gene:Potri.010G191700.v4.1 transcript:Potri.010G191700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191700.v4.1 MRGTLLANRRGVQRFRQLAITAIKSSKIKLLLLCCIAFTVLVVGTRASDFMGGTNYSDSVDQFPLPEKGYAIVMNTWKRYDLLKQSISHYSSCAGLKSIHIVWSEPNPPSDSLSKFLNHVVESKTKGLKKIKLSFDINKEDSLNNRFKEISGLKTDAVFSIDDDVIFPCSSVEFAFKVWQSAPDAMVGFVPRAHWVDKSQGKKDYYTYGGWWSVWWTGTYSMVLSKAAFFNKKYLRLYTNEMPASIKEFVTKNRNCEDIAMSFLVANATGAPPIWVKGKIHEIGSTGISSLGGHSEKRTGCLNRFVAEFGRMPLLPTTVKAVDSRIAWFW >Potri.010G191700.9.v4.1 pep chromosome:Pop_tri_v4:10:18701060:18703512:-1 gene:Potri.010G191700.v4.1 transcript:Potri.010G191700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191700.v4.1 MRGTLLANRRGVQRFRQLAITAIKSSKIKLLLLCCIAFTVLVVGTRASDFMGGTNYSDSVDQFPLPEKGYAIVMNTWKRYDLLKQSISHYSSCAGLKSIHIVWSEPNPPSDSLSKFLNHVVESKTKGLKKIKLSFDINKEDSLNNRFKEISGLKTDAVFSIDDDVIFPCSSVEFAFKVWQSAPDAMVGFVPRAHWVDKSQGKKDYYTYGGWWSVWWTGTYSMVLSKAAFFNKKYLRLYTNEMPASIKEFVTKNRFVLYLVFYLATVSVIFIQLLLFFLILEWIHQKL >Potri.009G133300.1.v4.1 pep chromosome:Pop_tri_v4:9:10808679:10810444:-1 gene:Potri.009G133300.v4.1 transcript:Potri.009G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133300.v4.1 MSSCTTPIKHADKKMHVAVFAFPFGSHALSLIDLVLKLARVAQETRFSFFNTEKSNNSIFLASRTNLPGNIKAYNVADGVPLNHVFSGHPIERVELFIKETPENFQTALDMAVAETGQKISCLLTDAFLSFAGSIAENLSVPWIPVWTPVPHSLSAHIYTDMIRQRYANSLIHDGSNSGRDGNDVELEDQTLEVPGLSQFHIADLPAEVLPRDAQETLFSCMLGQIRHMVPKVDTLVLTFYQELYSEPHLSDLKSKFSNLLNVGFISLSMPPPSLPPSTEDATGCLSWLDSQKAKSVAFISFGTVVNIPHSEIEELAEALEVCRIPFLWSLRDNMRDCLPNGFLDRTITHGKVVPWAPQIQVLGHSSIGVFMTHCGANSVYESIANGVPMICRPFFADHKLIARLIADDWRVGVRIDGGVFTKTGVAKSLDLILEREQGRTIRSTVQALKELVLKASAPGGRATQDFKILVEKITSV >Potri.009G133300.2.v4.1 pep chromosome:Pop_tri_v4:9:10808558:10810159:-1 gene:Potri.009G133300.v4.1 transcript:Potri.009G133300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133300.v4.1 MHVAVFAFPFGSHALSLIDLVLKLARVAQETRFSFFNTEKSNNSIFLASRTNLPGNIKAYNVADGVPLNHVFSGHPIERVELFIKETPENFQTALDMAVAETGQKISCLLTDAFLSFAGSIAENLSVPWIPVWTPVPHSLSAHIYTDMIRQRYANSLIHDGSNSGRDGNDVELEDQTLEVPGLSQFHIADLPAEVLPRDAQETLFSCMLGQIRHMVPKVDTLVLTFYQELYSEPHLSDLKSKFSNLLNVGFISLSMPPPSLPPSTEDATGCLSWLDSQKAKSVAFISFGTVVNIPHSEIEELAEALEVCRIPFLWSLRDNMRDCLPNGFLDRTITHGKVVPWAPQIQVLGHSSIGVFMTHCGANSVYESIANGVPMICRPFFADHKLIARLIADDWRVGVRIDGGVFTKTGVAKSLDLILEREQGRTIRSTVQALKELVLKASAPGGRATQDFKILVEKITSV >Potri.019G035300.6.v4.1 pep chromosome:Pop_tri_v4:19:4832618:4835446:-1 gene:Potri.019G035300.v4.1 transcript:Potri.019G035300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G035300.v4.1 MQLSIDMEKEERNQRASCKSLEPEFFLQWGNKKRLRCVRVRDPQIISRRSDGVFRRKITSRIDRFVVSSATTEKDTSLLQSNRITRNSEAAVLRSSVAENRKSSSPEKEDRYYTTRGSVGLDENGKVSMDGNNGDDKAHVWPKLYTTLSSKEKEEDFMAMKGCKLPQRPKKRAKIIQRSLLLVSPGAWLTDMCQERYEVREKKSSKKRPRGLKAMGSMESDSE >Potri.012G019500.1.v4.1 pep chromosome:Pop_tri_v4:12:2077964:2081259:1 gene:Potri.012G019500.v4.1 transcript:Potri.012G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019500.v4.1 MFMAEISEIKAMEIEADHEECSIKQVDLTVPKTDDPTMPVLTFRMWVLGLGSCIILSFVNQFFWYRSQPLTVTSISAQIAVVPLGHLMAKKLSTRKFFEGTRWEFTLNPGPFNIKEHVLITIFANSGAGTVYAAHILTSVKIYYQRKLTFIPALFIMITTQMLGFGWAGIFRKYLVEPGEMWWPSNLVQVSLFRALHEREKRPKGGTTRTQYFLLVMISAFAYYILPGYLFTMLSSFSWICWLGSKSVLVQQLGSGLSGFGIGAVGFDWATISSYLGSPLASPWFATANVAVGFFLIMYVMTPLCYWLNVYNAKNFPLYSNQLYTATGQEYKILEIINSKFHLDRDAYAEHGPVHMSTFFAMTYGLGFATLSATVMHVLLFSGRDLWKQSKMAFGARRKMDIHTRLMKRYKSVPMWWFFVILVANIAAIIFACEYYNAALQLRWWGVLLACAIAFFFTLPIGIISATTNQQPGLNVITEYIIGYLYPERPVANMCFKVYGYISMAQALTFLADFKLGHYMKIPPRSMFMAQVVGTLVAVLVYLGTAWWMMDAVPNLCAADNGPWRCPQDSVFFNASVIWGLVGPRRIFGNLGEYGNVNWFFLGGAVAPLIVWIFHKAFPSKTWIGLIHMPIMLGATAMMPPASSVNYTSWIIVGFLSGYVLFRHRTEWWKRYNYVLSGGLDAGTAFMTLLIFFGLGFWNVGLLWWGSNPDNQEGCPLASCPTAKGIFVDGCPVF >Potri.002G200500.1.v4.1 pep chromosome:Pop_tri_v4:2:16347718:16352011:1 gene:Potri.002G200500.v4.1 transcript:Potri.002G200500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200500.v4.1 MEDDTWSFGLSTSSSRSYQSVLKSLSDLCIDFEEIEEEDDDDDLRTEYQCPYCTDDFDLVELCFHVDVEHYLEAKSGVCPVCFTKVGVDMVDHITTEHRTIYKSLQKLKLQKGESHSNSTFLKKELEDGYWQALFSGSSSVVSSSNLAPDPLLSFLCNVPPAEKNESAQPSLSSKVTVEEKNSDVKLLERNDHLSPLSDEEHMEKARRSEFVQGLLLSTIFDDGQ >Potri.015G127100.1.v4.1 pep chromosome:Pop_tri_v4:15:13873694:13878684:1 gene:Potri.015G127100.v4.1 transcript:Potri.015G127100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127100.v4.1 MDTNPSHTSSDPPYTPLLDNPSPARIRRPFNGFAAILASLIFLLSLVALIINQSQESLPEQNQNRSPSTPRPTESFSKPEPRGVAQGVSPKSNPSFFSDKVSYNWTNAMFSWQRTAYHFQPEKNWMNDPDGPLFHKGWYHLFYQYNPDSAVWGNITWGHAVSTDLIHWLYLPFAMVPDHWYDINGVWTGSATLLPDGQIMMLYTGSTNESVQVQNLAYPANLSDPLLIDWVKYPNNPVITPPNGTETDEFRDPTTAWMGPDGTWRITIGSRHNKSIGISLVYQTSNFTTYELLEGVLHAVPGTGMWECVDFYPVAINGSTGLDTSAYGAGIKHVLKASLDDTKRDHYAIGVYDPVTDKWTPDNPKEDVGIGLQVDYGRYYASKTFYDQNTQRRILWGWINETDTETDDLDKGWASVQTIPRKVLYDNKTGTNILQWPVEEIESLRLRSTDFTEIVVGPGSVVPLDIGQATQLDIFAEFEVEIISETKHEKYGCSGGAVDRSALGPFGLLVVADQTLSELTPIFFRPVNTTEGIVETYFCADETRSSKASDVYKQVYGSTVPVFTDEKFQMRVLVDHSIVESFAQGGRRVITSRIYPTKAIYGDARLFLFNNATGVNVKATLKIWELNSAFIHPFLFDQN >Potri.015G127100.4.v4.1 pep chromosome:Pop_tri_v4:15:13873930:13878568:1 gene:Potri.015G127100.v4.1 transcript:Potri.015G127100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127100.v4.1 MVPDHWYDINGVWTGSATLLPDGQIMMLYTGSTNESVQVQNLAYPANLSDPLLIDWVKYPNNPVITPPNGTETDEFRDPTTAWMGPDGTWRITIGSRHNKSIGISLVYQTSNFTTYELLEGVLHAVPGTGMWECVDFYPVAINGSTGLDTSAYGAGIKHVLKASLDDTKRDHYAIGVYDPVTDKWTPDNPKEDVGIGLQVDYGRYYASKTFYDQNTQRRILWGWINETDTETDDLDKGWASVQTIPRKVLYDNKTGTNILQWPVEEIESLRLRSTDFTEIVVGPGSVVPLDIGQATQLDIFAEFEVEIISETKHEKYGCSGGAVDRSALGPFGLLVVADQTLSELTPIFFRPVNTTEGIVETYFCADETRSSKASDVYKQVYGSTVPVFTDEKFQMRVLVDHSIVESFAQGGRRVITSRIYPTKAIYGDARLFLFNNATGVNVKATLKIWELNSAFIHPFLFDQN >Potri.006G078101.1.v4.1 pep chromosome:Pop_tri_v4:6:5806697:5807851:-1 gene:Potri.006G078101.v4.1 transcript:Potri.006G078101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078101.v4.1 MERSCAMDHMIHAQMKGVTSEENVLYIAENINVAGIETALWSIAELVNHPTVQKKIRDEITTVLKGNPVTESNLHELPY >Potri.016G140101.2.v4.1 pep chromosome:Pop_tri_v4:16:14313376:14326247:1 gene:Potri.016G140101.v4.1 transcript:Potri.016G140101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140101.v4.1 MLRLRFCLFGVIIALLQCYFVVLSSAQKTHPLEVSALQAVRRKLIDTQGNLNDWKKSDPCTSNWTGVICVEKNDGYRHVEELRMLRLNLSGNLAPELGLLSYAKRLNFMWNNISGGIPKEIGKMTSLQLLLLSGNQISGPLPDELGNLPNITKFQLDINQISGPLPRSFANLATVKHFHMNNNSISGQIPPELGALPKLIHFLLDNNNLSGYLPPELSKMPKLLVFQLDNNNFNGTEIPESYGNMSTLLKLSLRNCNLQGSVPDLSGSPHLLYLDLSSNKLVGSIPTNKLSVNITTIKLSNNMLTGPIPSNFSGFPHLQKLLLANNNLSGDAPTNIWQSLTLGSFAKLTLDFRNNSLTNISGIINPPANVSIKLQGNPVCQRANELNILPFCGVPTGDTEAPGSSNDFPEGCKTQSCPFSDNFEYVPESPSPCFCAAPLGIGLRLRSPSISDFQPYKFPFELWITDYLGMNPYQLVVDSFMWEEGPRLRMYLKIFPSFSNDTHKFNTSEILQLMDEFATFSFPSDDTFGPYDLLNFTLLGPYKNVIFFKLPKSGMSRGALLGIVLGSMSLIVAISLVIAFIFYKKHKRFYRQVFKKKSTQKLPFKTESVKEFSFLVLEMATNGFDSSMQVGQGGYGKVYKGVLADGTIVAIKRAHEGSLQGQKEFFTEIELLSRLHHRNLVPLVGYCVEQGEQMLVYEFMPNGSVGYLLSGKFKRPASFSMRMNIALGSAKGILYLHTEAEPPIIHRDIKANNILLDFKFTAKVSDFGISKLAPVQDCEGGASHISTIVKGTPGYLDPEYFLTNKLTDKSDVYSLGVVFLELLTGMEPISHGKYIVREVNAACQSGIMFSIVDQKMGPYPSDCVKKFMALALKCCHDEPAERPSMLEVVRELENISYMLQESGPISSELETSGMSGVDSPALFTTGKPSASSGFLGSDLVSGVFPVIRPR >Potri.016G140101.3.v4.1 pep chromosome:Pop_tri_v4:16:14313506:14326245:1 gene:Potri.016G140101.v4.1 transcript:Potri.016G140101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140101.v4.1 MLRLRFCLFGVIIALLQCYFVVLSSAQKTHPLEVSALQAVRRKLIDTQGNLNDWKKSDPCTSNWTGVICVEKNDGYRHVEELRMLRLNLSGNLAPELGLLSYAKRLNFMWNNISGGIPKEIGKMTSLQLLLLSGNQISGPLPDELGNLPNITKFQLDINQISGPLPRSFANLATVKHFHMNNNSISGQIPPELGALPKLIHFLLDNNNLSGYLPPELSKMPKLLVFQLDNNNFNGTEIPESYGNMSTLLKLSLRNCNLQGSVPDLSGSPHLLYLDLSSNKLVGSIPTNKLSVNITTIKLSNNMLTGPIPSNFSGFPHLQKLLLANNNLSGDAPTNIWQSLTLGSFAKLTLDFRNNSLTNISGIINPPANVSIKLQGNPVCQRANELNILPFCGVPTGDTEAPGSSNDFPEGCKTQSCPFSDNFEYVPESPSPCFCAAPLGIGLRLRSPSISDFQPYKFPFELWITDYLGMNPYQLVVDSFMWEEGPRLRMYLKIFPSFSNDTHKFNTSEILQLMDEFATFSFPSDDTFGPYDLLNFTLLGPYKNVIFFKLPKSGMSRGALLGIVLGSMSLIVAISLVIAFIFYKKHKRFYRQVFKKKSTQKLPFKTESVKEFSFLVLEMATNGFDSSMQVGQGGYGKVYKGVLADGTIVAIKRAHEGSLQGQKEFFTEIELLSRLHHRNLVPLVGYCVEQGEQMLVYEFMPNGSVGYLLSGKFKRPASFSMRMNIALGSAKGILYLHTEAEPPIIHRDIKANNILLDFKFTAKVSDFGISKLAPVQDCEGGASHISTIVKGTPGYLDPEYFLTNKLTDKSDVYSLGVVFLELLTGMEPISHGKYIVREVNAACQSGIMFSIVDQKMGPYPSDCVKKFMALALKCCHDEPAERPSMLEVVRELENISYMLQESGPISSELETSGMSGVDSPALFTTGKPSASSGFLGSDLVSGVFPVIRPR >Potri.016G140101.1.v4.1 pep chromosome:Pop_tri_v4:16:14313376:14326247:1 gene:Potri.016G140101.v4.1 transcript:Potri.016G140101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140101.v4.1 MKMLRLRFCLFGVIIALLQCYFVVLSSAQKTHPLEVSALQAVRRKLIDTQGNLNDWKKSDPCTSNWTGVICVEKNDGYRHVEELRMLRLNLSGNLAPELGLLSYAKRLNFMWNNISGGIPKEIGKMTSLQLLLLSGNQISGPLPDELGNLPNITKFQLDINQISGPLPRSFANLATVKHFHMNNNSISGQIPPELGALPKLIHFLLDNNNLSGYLPPELSKMPKLLVFQLDNNNFNGTEIPESYGNMSTLLKLSLRNCNLQGSVPDLSGSPHLLYLDLSSNKLVGSIPTNKLSVNITTIKLSNNMLTGPIPSNFSGFPHLQKLLLANNNLSGDAPTNIWQSLTLGSFAKLTLDFRNNSLTNISGIINPPANVSIKLQGNPVCQRANELNILPFCGVPTGDTEAPGSSNDFPEGCKTQSCPFSDNFEYVPESPSPCFCAAPLGIGLRLRSPSISDFQPYKFPFELWITDYLGMNPYQLVVDSFMWEEGPRLRMYLKIFPSFSNDTHKFNTSEILQLMDEFATFSFPSDDTFGPYDLLNFTLLGPYKNVIFFKLPKSGMSRGALLGIVLGSMSLIVAISLVIAFIFYKKHKRFYRQVFKKKSTQKLPFKTESVKEFSFLVLEMATNGFDSSMQVGQGGYGKVYKGVLADGTIVAIKRAHEGSLQGQKEFFTEIELLSRLHHRNLVPLVGYCVEQGEQMLVYEFMPNGSVGYLLSGKFKRPASFSMRMNIALGSAKGILYLHTEAEPPIIHRDIKANNILLDFKFTAKVSDFGISKLAPVQDCEGGASHISTIVKGTPGYLDPEYFLTNKLTDKSDVYSLGVVFLELLTGMEPISHGKYIVREVNAACQSGIMFSIVDQKMGPYPSDCVKKFMALALKCCHDEPAERPSMLEVVRELENISYMLQESGPISSELETSGMSGVDSPALFTTGKPSASSGFLGSDLVSGVFPVIRPR >Potri.016G140101.4.v4.1 pep chromosome:Pop_tri_v4:16:14317369:14326328:1 gene:Potri.016G140101.v4.1 transcript:Potri.016G140101.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140101.v4.1 MNNNSISGQIPPELGALPKLIHFLLDNNNLSGYLPPELSKMPKLLVFQLDNNNFNGTEIPESYGNMSTLLKLSLRNCNLQGSVPDLSGSPHLLYLDLSSNKLVGSIPTNKLSVNITTIKLSNNMLTGPIPSNFSGFPHLQKLLLANNNLSGDAPTNIWQSLTLGSFAKLTLDFRNNSLTNISGIINPPANVSIKLQGNPVCQRANELNILPFCGVPTGDTEAPGSSNDFPEGCKTQSCPFSDNFEYVPESPSPCFCAAPLGIGLRLRSPSISDFQPYKFPFELWITDYLGMNPYQLVVDSFMWEEGPRLRMYLKIFPSFSNDTHKFNTSEILQLMDEFATFSFPSDDTFGPYDLLNFTLLGPYKNVIFFKLPKSGMSRGALLGIVLGSMSLIVAISLVIAFIFYKKHKRFYRQVFKKKSTQKLPFKTESVKEFSFLVLEMATNGFDSSMQVGQGGYGKVYKGVLADGTIVAIKRAHEGSLQGQKEFFTEIELLSRLHHRNLVPLVGYCVEQGEQMLVYEFMPNGSVGYLLSGKFKRPASFSMRMNIALGSAKGILYLHTEAEPPIIHRDIKANNILLDFKFTAKVSDFGISKLAPVQDCEGGASHISTIVKGTPGYLDPEYFLTNKLTDKSDVYSLGVVFLELLTGMEPISHGKYIVREVNAACQSGIMFSIVDQKMGPYPSDCVKKFMALALKCCHDEPAERPSMLEVVRELENISYMLQESGPISSELETSGMSGVDSPALFTTGKPSASSGFLGSDLVSGVFPVIRPR >Potri.006G240300.1.v4.1 pep chromosome:Pop_tri_v4:6:24213406:24219793:1 gene:Potri.006G240300.v4.1 transcript:Potri.006G240300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240300.v4.1 MAMAIRSLLIFLFILSLTVPTFSLHEDQVGLMDWHQKYIGKVKHAVFQTQKTGRKRVLVSTEENAIASLDLRHGEIFWRHVLGANDAIDGIDIAMTKYAITLSSGGSILRAWNLPDGQMVWESFLQGPIDSKSFLFVSTSSKVDKDNTILVFGKGSLHAVSSIHGEIVWKIDFPSESFEVQEVIQHHDGNTIYVVGFVGSSQFDVYQINAKNGELLKHDSAAVDGGFSGEVSLVSRAKLVVLDAARSTLLTISFQSGEISFQKTYISDLVEDFSGIAVILPSKLTGLFAVKTNTATAFISVSSEGKLEVVDKIKHATVISNVLSISEDQQAFALVQHGGNDIHLNVKQVHDWNSDLLKERIKLDKQRGLVHKVFINNYVRTDKSHGFRALIVMEDHSLLLLQQGEVVWSREDGLASIIGVTTSELPVEREGVSVAKVEQNLFEWLKGHMLKVKGTLMLASAEDVAAIQGMRLKSSEKSKMIRDHNGFRKLLIVLTKSRKLFALHTGDGRIVWSLLLNSLRQTEACENPTGINVYQWQVPHHHAMDENPSVLVVGRCRTGTDAPGIFSYVDTYTGKELKSFGLDHSVAQVIPLPLTDSTEQQLHLLIDANGQAHLYPRAPEAAAIFQREFSNIYWYSVEADKGVIKGHGLQSNCDGEVADNYSFGTREIWSIVFPSESEKIISTVTRKSNEVVHTQAKVIADQDVMYKYISKKLLFVATVSPKASGDIGSATPGESQLVVYVVDTVTGRILHRMTHHGSQGPVHAVFSENWIVYHYFNLRAHRYEMTVIEIYDQSRADNKDVLKLVLGKHNLTSPISSYSRPEVTTKSQSYYFTHSIKAITVTSTAKGITSKHLLIGTIGDQVLAMDKRFFDPRRSVNPTQSEKEEGILPLTDSLPIIPQSYVTHSHKVEGLRGIVTVPAKLESNTLVFTYGVDLFFTRLAPSRTYDSLTEDFSYALLLITIVALVVAIFVTWVLSEKKDLSDKWR >Potri.016G044001.1.v4.1 pep chromosome:Pop_tri_v4:16:2789589:2792695:1 gene:Potri.016G044001.v4.1 transcript:Potri.016G044001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044001.v4.1 MGWANKCQLMASQEAFQPTQMATQTSKTPNESRTVATKVAKRKLNRLLLSSLLFSQIPMKTEQVMKQLKPTFFRNVVKDIPRPGPSSPGDNMKVNVVDCKAQELVSPNAPLEEDRPGEVKMEASITPDDVIRAGGFGARDDINSFLPVASDSTGFEATILDARNYEEPQGEIQRPGLDWTEATERKQLFCQSLRPSCHRQLFLVVLASDILWHVRNM >Potri.008G174500.2.v4.1 pep chromosome:Pop_tri_v4:8:12053819:12072491:1 gene:Potri.008G174500.v4.1 transcript:Potri.008G174500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174500.v4.1 MAAQVNSMVGSFVWVEDPEEAWMDGEVLEVNGEEITVNCASRKAVVAKASNVFPKDPEFPPCGVDDMTKLAYLHEPGVLQNLRCRYDINEIYTYTGNILIAVNPFRRLPHLYDNHMMEQYKGATIGELSPHPFAVADSAYRQMINEGISQSILVSGESGAGKTESTKMLMRYLAYMGGRAAAEGRSVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDAERNYHCFYMLCAAPEEVIEKYKLGNPRTFHYLNQSNFYDLDGVNESEEYLATRRAMDIVGINANEQDAIFRVVAAILHLGNVEFAKGNEIDSSEPKDDKSQFHLKTAAELLMCNEKSLENSLCKRVIVTRDESITKWLDPDAATVNRDTLAKIVYSRLFDWIVSTINNSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKAEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAEKLYQTFKDHKRFNKPKLARSDFTICHYAGDVTYQTEHFLDKNKDYVVAEHQSLLSESMCSFVSGLFPPLPEESAKSSKFSSIGSRCKQQLQALLETLSATEPHYIRCVKPNNALKPSIFENNNVLQQLCCGGVMEAIRISCAGYPTRKTFDEFVRRFAILAPDVLHGGCDEVSACKMLLEKVNLKGYQIGKTKVFLRAGQMAELDAHRSELLGRSASIIQRKVRSYFCRKSFILLRQSAIHIQTLCRAEVARNRFECLRREAACLKIQKYSRRYLASKAYNNLCFSAVSIQSCMRGMAARNELCFRKQMRAVIVIQSQCRKHSAQLHYLRLKRAAIATQCAWRGRVARKELRKLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRMRADLEESKTQENAKLRTTLQEMQLEFQESKALLIKERESIKKEAEKVPTIQEVPVIDNELVNKLTAENEMLKAMVSSLEKRIDETEKKYEETSKLSEEHLKQALDAESKIIELKTAMQRLEEKLSDMEAEDQVLQHQALFSSSSRKMSEHLEITSQHLENGHHEPPTPSKRLGTDADKKMRKSQIERLHESVDALIKCVEQNPGFSQGKPVGAFTIYRCLVQWRSFEAEKTSVFDRLIQMIGSAIENQDDNNHMAYWLSNTSMLLFLLQRTLKDSGANSNPPPPTSFFGRMAQGFRSSPSSANLRVGRDIQMVEAKYPALLFKQQLTAYVETIYGIVRDNFKKDLSPLLSSCIQAPRASRGTALKSSLSFGHNTPADSWRSIVNSLDGLLCTLKENFVPPIFVQKIFTQIFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCGQAKEEYVGASWDELKNTRQAVGFLVIHQKSRISYDEITNDLCPVLSVQQLYRVCTLYWDDDYNTRSVSPDVISSMKTLANDSNDDDSNSFLIDDNSSIPFSVDDLSGSFHEKDFSDVKPAADLLENPAFQFLQD >Potri.008G174500.1.v4.1 pep chromosome:Pop_tri_v4:8:12053822:12072469:1 gene:Potri.008G174500.v4.1 transcript:Potri.008G174500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174500.v4.1 MAAQVNSMVGSFVWVEDPEEAWMDGEVLEVNGEEITVNCASRKAVVAKASNVFPKDPEFPPCGVDDMTKLAYLHEPGVLQNLRCRYDINEIYTYTGNILIAVNPFRRLPHLYDNHMMEQYKGATIGELSPHPFAVADSAYRQMINEGISQSILVSGESGAGKTESTKMLMRYLAYMGGRAAAEGRSVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDAERNYHCFYMLCAAPEEVIEKYKLGNPRTFHYLNQSNFYDLDGVNESEEYLATRRAMDIVGINANEQDAIFRVVAAILHLGNVEFAKGNEIDSSEPKDDKSQFHLKTAAELLMCNEKSLENSLCKRVIVTRDESITKWLDPDAATVNRDTLAKIVYSRLFDWIVSTINNSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKAEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAEKLYQTFKDHKRFNKPKLARSDFTICHYAGDVTYQTEHFLDKNKDYVVAEHQSLLSESMCSFVSGLFPPLPEESAKSSKFSSIGSRCKQQLQALLETLSATEPHYIRCVKPNNALKPSIFENNNVLQQLCCGGVMEAIRISCAGYPTRKTFDEFVRRFAILAPDVLHGGCDEVSACKMLLEKVNLKGYQIGKTKVFLRAGQMAELDAHRSELLGRSASIIQRKVRSYFCRKSFILLRQSAIHIQTLCRAEVARNRFECLRREAACLKIQKYSRRYLASKAYNNLCFSAVSIQSCMRGMAARNELCFRKQMRAVIVIQSQCRKHSAQLHYLRLKRAAIATQCAWRGRVARKELRKLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRMRADLEESKTQENAKLRTTLQEMQLEFQESKALLIKERESIKKEAEKVPTIQEVPVIDNELVNKLTAENEMLKAMVSSLEKRIDETEKKYEETSKLSEEHLKQALDAESKIIELKTAMQRLEEKLSDMEAEDQVLQHQALFSSSSRKMSEHLEITSQEPPTPSKRLGTDADKKMRKSQIERLHESVDALIKCVEQNPGFSQGKPVGAFTIYRCLVQWRSFEAEKTSVFDRLIQMIGSAIENQDDNNHMAYWLSNTSMLLFLLQRTLKDSGANSNPPPPTSFFGRMAQGFRSSPSSANLRVGRDIQMVEAKYPALLFKQQLTAYVETIYGIVRDNFKKDLSPLLSSCIQAPRASRGTALKSSLSFGHNTPADSWRSIVNSLDGLLCTLKENFVPPIFVQKIFTQIFSYINVQLFNSLLLRRECCTFSNGEYVKAGLAELELWCGQAKEEYVGASWDELKNTRQAVGFLVIHQKSRISYDEITNDLCPVLSVQQLYRVCTLYWDDDYNTRSVSPDVISSMKTLANDSNDDDSNSFLIDDNSSIPFSVDDLSGSFHEKDFSDVKPAADLLENPAFQFLQD >Potri.008G174500.5.v4.1 pep chromosome:Pop_tri_v4:8:12053807:12066100:1 gene:Potri.008G174500.v4.1 transcript:Potri.008G174500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174500.v4.1 MAAQVNSMVGSFVWVEDPEEAWMDGEVLEVNGEEITVNCASRKAVVAKASNVFPKDPEFPPCGVDDMTKLAYLHEPGVLQNLRCRYDINEIYTYTGNILIAVNPFRRLPHLYDNHMMEQYKGATIGELSPHPFAVADSAYRQMINEGISQSILVSGESGAGKTESTKMLMRYLAYMGGRAAAEGRSVEQQVLESNPVLEAFGNAKTLRNNNSSRFGKFVEIQFDQSGRISGAAIRTYLLERSRVCQVSDAERNYHCFYMLCAAPEEVIEKYKLGNPRTFHYLNQSNFYDLDGVNESEEYLATRRAMDIVGINANEQDAIFRVVAAILHLGNVEFAKGNEIDSSEPKDDKSQFHLKTAAELLMCNEKSLENSLCKRVIVTRDESITKWLDPDAATVNRDTLAKIVYSRLFDWIVSTINNSIGQDPNSKSLIGVLDIYGFESFKTNSFEQFCINLTNEKLQQHFNQHVFKAEQEEYTKEEIDWSYIEFIDNQDILDLIEKKPGGIIALLDEACMFPRSTHETFAEKLYQTFKDHKRFNKPKLARSDFTICHYAGDVTYQTEHFLDKNKDYVVAEHQSLLSESMCSFVSGLFPPLPEESAKSSKFSSIGSRCKQQLQALLETLSATEPHYIRCVKPNNALKPSIFENNNVLQQLCCGGVMEAIRISCAGYPTRKTFDEFVRRFAILAPDVLHGGCDEVSACKMLLEKVNLKGYQIGKTKVFLRAGQMAELDAHRSELLGRSASIIQRKVRSYFCRKSFILLRQSAIHIQTLCRAEVARNRFECLRREAACLKIQKYSRRYLASKAYNNLCFSAVSIQSCMRGMAARNELCFRKQMRAVIVIQSQCRKHSAQLHYLRLKRAAIATQCAWRGRVARKELRKLKMAAKETGALQAAKSKLEKEVEELTWRLQLEKRMRADLEESKTQENAKLRTTLQEMQLEFQESKALLIKERESIKKEAEKVPTIQEVPVIDNELVNKLTAENEMLKVKLFMHCYFLLNELSSWYRACQMTVYVLLL >Potri.008G078400.1.v4.1 pep chromosome:Pop_tri_v4:8:4840001:4841929:1 gene:Potri.008G078400.v4.1 transcript:Potri.008G078400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078400.v4.1 MSTGGEKGSATTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >Potri.007G008900.1.v4.1 pep chromosome:Pop_tri_v4:7:679636:680899:-1 gene:Potri.007G008900.v4.1 transcript:Potri.007G008900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008900.v4.1 MAATKQNTVLVCFSFAAYAKTLLDHLKSLNIPILPGLTDSEFTSIESTFHFTFPPDLRSILQEGLPIGPHFPNWRSSSLQQLQILLNLPSLNLCKNISLNNFWVDSWGRRPQDTNKALDFAKQFLDKAPVLVPIYRNCYIPSSPNVSGNPVFHVDDEQVCVLSFDVTRFFQQVDFLQVGFPIRSSRNENVSMNVPAWAATEARKIEFWTEVAERGRRVVARGDTPRWWKDVGGGSDHFELRECLEEVFWRLRDGGWREEEVREMMNGCDQGIRENGGGCGTTKFDKEDVFWHGRMWSIVLLRAGRSMEDVVHLLDLEELQLPNSCSREDDDHKKNSIKQLMKLRSLEV >Potri.016G119700.1.v4.1 pep chromosome:Pop_tri_v4:16:12460292:12465126:1 gene:Potri.016G119700.v4.1 transcript:Potri.016G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119700.v4.1 MSSDDEREERELDLTSPEVVTKYKSAAEIVNKALQLAISECKPKVKIVDICEKADSYIREQSGNMYKNVKKKIERGVAFPTCVSVNNTVCHFSPLASDESVLEEGDVVKIDMGCHIDGFIAVVGHTHVLQSGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIFTSTGEGKPKLLDEKTTTIYKRAVDKNYHLKMKSSRFIFSEINQKFPIMPFSARALEEKRARLGLVECVNHDLLQPYPVLHEKPGDCVAHIKFTVLLMPNGSDRITSHALQELQPTKTIDDPEIKAWLALGTKTKKKGGGKKKKAKKAGEKAEDATEAEPMDATEAEPMDATTNGATAV >Potri.001G068400.1.v4.1 pep chromosome:Pop_tri_v4:1:5144401:5147198:-1 gene:Potri.001G068400.v4.1 transcript:Potri.001G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068400.v4.1 MTGDTDNKFLNVGLLIIATLLVAKLISALIMPRSQKRLPPVMKGWPLIGGLIRFLKGPIVMLREEYPKLGSVFTVNLVNRKITFLIGPEVSAHFFKASEVDLSQQEVYQFNVPTFGPGVVFDVEYSIRQEQFRFFTEALRVNKLKGYVDQMVVEAEDYFLKWGDSGVVDLKYELEHLIILTASRCLLGREVRDKLFDDVAALFHDLDNGMLPVSVLFPYLPIPAHRRRDRARKKLAEIFANIINSRKLASKSENDMLQCFIDSKYKDGRPTTESEITGLLIAALFAGQHTSSITSTWTGAYLLRHNEYLSAVLEEQKNLMKKHGNKVDHDILSEMDVLYRCIKEALRLHPPLIMLLRSSHSDFSVTTRDGKEYDIPKGHIVATSPAFANRLPHVFKDPDSYDPDRFAYGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFELELISPFPEIDWNAMVVGVKDKVMVRYKRRELSVN >Potri.010G111500.1.v4.1 pep chromosome:Pop_tri_v4:10:13143185:13151369:-1 gene:Potri.010G111500.v4.1 transcript:Potri.010G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111500.v4.1 MHAYNRIPSSGHTTPSPPQSPLRSPRYRHGSGGGGRSKSGRFTPSSYPPGRSLAHRLAWFLLSALLRRQGIFLFAPLIYISGMLLYMGTVSFDVGPVIDHKPAPGSVYRSPQIYEKLRPEMDADNSSADALSTVWKNSYRSGEWRQCIKKSSEGLPESNGYIYVEANGGLNQQRTSICNAVAVAGFLNATLLIPNFHYHSIWRDPSKFEDIYDEDYFISTLENVVRVVDKIPEYLMERYDNNMTNVQNFRVKAWAPVQYYRDVVLPKLLEERVIRISPFANRLSFDVPPAVQRLRCLANYEALRFSNPILTMGETLVARMKERSASHGGKYVSIHLRFEEDMVAFSCCVFDGGEQEAIDMKEARERGWKGKFTKPGRTIRPGAIRLNGKCPLTPLEVGLMLRGMGFDKNTHIYLASGKIYNSEKYMAPLLEMFPNLLTKDMLALDEELDPFKNYSSRMAAIDYTVCLHSEVFVTTQGGNFPHFLMGHRRFLYGGHSKTIRPDKRKLALLFDNPKIGWKSFKRHMMNMRSHSDSKGFELKRPNDSVYSYPCPDCMCRVNRTEDSRSSSVT >Potri.008G085700.1.v4.1 pep chromosome:Pop_tri_v4:8:5353769:5360559:-1 gene:Potri.008G085700.v4.1 transcript:Potri.008G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085700.v4.1 MVLMMIQHHHHRHHQHQHQQTSTALPPHPVPLSTSAHRSPATPSHTQSQPPLPLAPLSSSSHRLPPPALDHVISPVSSAAHHSADPAPTLARVRLSDISPYDGAPGGPYVRAVDALSGSLMRHNAAVIELGSEEASLMRCGLEAARLYFRSRSQNGVVKGCSGRGVYMYRAGRPVEDWDSSPPCMAEIFRCMGKAARSALCAIARHLRLRSDVFNQLLDDTPLPAGEVSSSVLVATYSHTSLQNGKGAIGAGKPAANGEVEKGLLTLISSDSPGLQVCDPNGRWYLADCGSAPVDLLLITGKTLSHTTAGLRPAASYRAAPDFSSGTNSGGRTSLVFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFMDDLSAEEDVVCNQPNNTYVARNDMNKEPSLRSVLSDPLSGAFLEDAMFVSCGHSFGGLMLRRVIDMSRCTLCNAEIEAGSLVPNYALRAAASAVKNEDDRRLFHNATLRKRRKEMGDHTDSMKKRPHGENGDIAADDGLHRGVQYPFAVNEKVVIKGNRRTPEKFVGKEAIITSQCLNGWYLLKIIGSGENVRLQYRSLRKILNSEAIEDRCASQPIQNSS >Potri.008G085700.3.v4.1 pep chromosome:Pop_tri_v4:8:5353771:5360526:-1 gene:Potri.008G085700.v4.1 transcript:Potri.008G085700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085700.v4.1 MVLMMIQHHHHRHHQHQHQQTSTALPPHPVPLSTSAHRSPATPSHTQSQPPLPLAPLSSSSHRLPPPALDHVISPVSSAAHHSADPAPTLARVRLSDISPYDGAPGGPYVRAVDALSGSLMRHNAAVIELGSEEASLMRCGLEAARLYFRSRSQNGVVKGCSGRGVYMYRAGRPVEDWDSSPPCMAEIFRCMGKAARSALCAIARHLRLRSDVFNQLLDDTPLPAGEVSSSVLVATYSHTSLQNGKGAIGAGKPAANGEVEKGLLTLISSDSPGLQVCDPNGRWYLADCGSAPVDLLLITGKTLSHTTAGLRPAASYRAAPDFSSGTNSGGRTSLVFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFMDDLSAEEDVVCNQPNNTYVARNDMNKEPSLRSVLSDPLSGAFLEDAMFVSCGHSFGGLMLRRVIDMSRCTLCNAEIEAGSLVPNYALRAAASAVKNEDDRRLFHNATLRKRRKEMGDHTDSMKKRPHGVCFK >Potri.004G041600.1.v4.1 pep chromosome:Pop_tri_v4:4:3316773:3321957:-1 gene:Potri.004G041600.v4.1 transcript:Potri.004G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041600.v4.1 MPQVRIIAKNFMDMVASLPAMKLDTLYQNAFICEAILRSLPPLAKKYVVQILFFDGPVSGKLLEQWVLADGVTKHRVAIDRLIQLRIFIEVSDKKRESSYKLNQTFQANLRKHLTNGGVLPRETMAAVVKLPSLEELDTYALEQWECFLLLLISSGQAEKPTSLSSSMMKIFQRGLLSQRDRDAPRLTEGGFQFLLMDTNAQLWYIIREYITNSEERGTEPADLISFLLELSFHVTGEAYNMNTLTEIQRNTIKDLAELGLVKLQQGRKESWFIPTKLATNLSVSLTDSSSRKQGYVVVETNFRLYAYSSSKLHCEILRLFSKIEYQLPNLIVGAITKESLYTAFENGITSDQIISFLQQNAHPRVAERLPSVPENVTDQIRLWEADLNRVEITPSHFYDEFPSRDTFEAACDFAREWNGLLWEDSKKMRVVVKAEIHMNMREFLRGQK >Potri.010G112300.1.v4.1 pep chromosome:Pop_tri_v4:10:13213538:13216734:-1 gene:Potri.010G112300.v4.1 transcript:Potri.010G112300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112300.v4.1 MPMLYHGLKEISKIQKLRRVVSYTGFYCFVAVMSYAYTTNTTRAGYSRGDQFYAAYPAGTELLTDATKLYKAALGNCFESEEWGPIEYSIMAKHFERQGKSPYAYHAQYMAHLLSHGQLDGNG >Potri.005G218300.1.v4.1 pep chromosome:Pop_tri_v4:5:22102762:22106236:1 gene:Potri.005G218300.v4.1 transcript:Potri.005G218300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218300.v4.1 MEVEKGTKMRFEETELRLGLPGNGGGGTEGGEFARKRGFSETVDLKLNLSSKEGGIDPNHEKTQREKNLLATDPAKPPAKAQVVGWPPVRSFRKNMLAVQKSSTDQESTDKVPGGNATFVKVSMDGAPYLRKVDLKMYKTYHELSDALGKMFSSFTIGNCGSHGMKDFLNESKLIDLLNGTDYVPTYEDKDGDWMLVGDVPWDMFVESCKRLRIMKGTEATGLAPRAMEKCKNRSFK >Potri.004G219900.1.v4.1 pep chromosome:Pop_tri_v4:4:22557511:22558282:-1 gene:Potri.004G219900.v4.1 transcript:Potri.004G219900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219900.v4.1 MYRRPIFTKILSRTDVKHRMTIPMESFNVFQIPQGKHSKRFVFIDMIDTGRPWSFRCSTRKKDVYPKPVFSSGWIKYVRRKGLREGDQVSFFFVEKDGEEGLRFGVQAQKKLRLLGKDCWTTPF >Potri.015G118101.1.v4.1 pep chromosome:Pop_tri_v4:15:13245889:13247290:-1 gene:Potri.015G118101.v4.1 transcript:Potri.015G118101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118101.v4.1 METSSNDWNLEQYRRFILTNVRQADEKDLCAAMDNMKLHKLFLKAANEEEPLRIDALSSPPCLEKLKLVGKLETVACWFPSLHSVTFLLFLWSRLREDPLPCLQSVPNLARLIVVNAYVAKQLCFLDGFQKVKILNTYNCNQLNEIVLEKGVMPGLQSLSFGRCMKLKALPHGIDIHNC >Potri.001G356200.1.v4.1 pep chromosome:Pop_tri_v4:1:37057511:37061261:1 gene:Potri.001G356200.v4.1 transcript:Potri.001G356200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356200.v4.1 MEENNNRESLINRNNKLSRSQSHAYDELKSFRSYLRWMCVDQSSMGTACLSWTMFVLFGLVVPATSHFVLACSSCDSRHGRPYDSVVQLSLSSVATLSFVCLSRFVRKYGLRRFLFFDKLWDESETVRRGYTNQLNSSLKLLLIFVIPCFVAECAYKIWWYASGASQIPFLGNVVLSDTVACIMELCSWLYRTIVIFLVCVLFHLICHLQILRLQDFARVFQVDSDVGSVLSEHFRIRRHLRIISHRYRGFVLCSLILITGSQFSSLLITTKAHAVVDIYRAGELALCSITLVTGLLIILRSATKITHKAQAVTSLAAKWHICATLDTFDATEGETPRHDSGQVFPVVGTDGESDGDDAGDEEDELDNSKLIPAYAYSTISFQKRQALVTYFENNRAGITVYGFILDRSTLHSIFGVELALVLWLLGKTIGIS >Potri.004G189400.2.v4.1 pep chromosome:Pop_tri_v4:4:20181460:20190299:-1 gene:Potri.004G189400.v4.1 transcript:Potri.004G189400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189400.v4.1 MGTKVTEELVSTVRSIVGCDFSDMDIIRALHLAKNDPTAAINIILDTPNFISKQKNLTPKTPNPKSKTVPYKPPNLVVKDNGNRNSSQNLEVNCVENDASDSTVGDNGSVSGLVGSEWWFVGCGEVAGLSTCKGRRVKAGDEVDFTFPLKSKSSISPSPSPGKGSGRRRQTATACSEIVRFSTKDSGELGRIPNDWARCLLPLVRDGKVRIMGCCKSAPNVLGIMDTIQLSISVYLNSVMFHKHHQTSLKATANSTEETVGHPLSILFCLLGLTPFKKAEFTPADLNTRKRPLNSKDGSGLPVSLLNANKSKNQSGNGNEIENEESISDADLENIVGGGDSSELEEMDPPSTLQCELRPYQKQALHWMIHLEKGKCMDEAATTLHPCWEAYHLADKRELVVYLNVFSGDATIEFPSTLQMARGGILADAMGLGKTIMTISLLLTHSDKGGLSNSQSGNQLCTGGGSSDSSDQHPNQLNKATKFSGFDKLKQKKMLVNGGNLIICPVTLLGQWKAELEIHAQPGSLSVYVHYGQSRVKDANFLAQSNVVITTYGVLASDFSAEDAVGNGGLYSVHWFRVVLDEAHTIKSSKSQISMAAAALVADRRWCLTGTPIQNNVEDIYSLLRFLKVEPWGNWAWWNKLVQKPFEEGDERGLKLVKSILKPIMLRRTKTSRDREGRPILVLPPADVQVIYCQLTEAEKDFYEALFKKSKVKFDQFVEQGRVLHNYASILELLLRLRQCCDHPFLVMSRGDTQEYSDLNKLAKRFLKGDQIVLEGEAINVPSRAYIKEVVEELSKGEQGECPICLEACEDAVLTPCAHRLCRECLLASWRNASSGLCPVCRKAITRQELITAPTDSRFQIDIEKNWVESSKIVALLQELEILRLSGSKSILFSQWTAFLDLLQIPLSRSNISFVRLDGTLNQQQRERVIKQFSEDDSILVLLMSLKAGGVGINLTAASNAFVMDPWWNPAVEEQAVMRIHRIGQTKRVMIRRFIVKGTVEERMEAVQARKQLMISGALTDQEVRTARIEELKMLFT >Potri.018G062350.1.v4.1 pep chromosome:Pop_tri_v4:18:7273561:7274007:-1 gene:Potri.018G062350.v4.1 transcript:Potri.018G062350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062350.v4.1 MISWSVLLLQDLYKNILRNGNHQNGSILSGAPANFIAGAAAGCTTLILIYTLEIAHTRLTADIGRTDVRQFRGICHFLTTIYNKDGIRGAYRGLPASLHGMVVHRSLYFGGFDTLKEILSGEAAEPELAPWKRWVVAQAVTTSAGLMS >Potri.003G091400.1.v4.1 pep chromosome:Pop_tri_v4:3:11778213:11778783:-1 gene:Potri.003G091400.v4.1 transcript:Potri.003G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091400.v4.1 MDCLVLPVSMLRKCYSRSRLGYRPLTEDGFGDLDSPVTVVVGKERKEFLVDPFVLEESPFRVLIETVNKDRVFDDTSRSREEKRVIFVDVDAILFEHMLWLMYNDCSSLFQLNLKEIIDFYAQDC >Potri.016G103200.1.v4.1 pep chromosome:Pop_tri_v4:16:10541755:10544453:1 gene:Potri.016G103200.v4.1 transcript:Potri.016G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103200.v4.1 MENVLTKTSSSSADSDITRAPPNSLLPPKKEAGGWRSVKYILGNESFEKLASMGLIANLTVYLQTRFNMDGIQLVNVFNIWSGSTNVTPLLGAFLSDTYLGRFRTLICGSTASFLGMVVMSLIAGIPNLRPLHCTGGSNCQKPEFWQLGVLYLGLGLLAIGAGGVRPCNIAFGADQFDTRTEKGRAQLESFFNWWYFSFTVSLVIALTVVVYVQTNVSWVIGYGIPAACLFFSIVIFLIGKHTYIITKPQGSVFVDMAKVIVAACKKRAMSLESTPENSFYDPPWIESDQRVTKLAHTNMFKFFDKAALIADPSELDDKGLPKNSWRLCSVQQVEQLKLVVGLVPVWITGIGCFITMDQMNTFGLMQAIQSNNKIHNFKIPPGWMGLSSMICLSTWIFIYEQIYLPFAKKRSKKNVRLTMRQRINTGIVMAILCMVVAGIVEKNRRETALKQGSLLSPQSILLLLPQFSLSGLNEGFAAVAVMEYYTNHLPESMRTLAGAIFFLSFSASSYLNTVIVNVVHHVTAKLGKTPWLGGRDLNKVKLDNFYYLIAGLALLNLLYFNLFSCRYLEKNADKRPGSRHEDKGLEMNENV >Potri.005G079700.1.v4.1 pep chromosome:Pop_tri_v4:5:5411511:5414493:1 gene:Potri.005G079700.v4.1 transcript:Potri.005G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079700.v4.1 MAALSAITFTTSKNLFNSNKPTLTSKPTSPFSCLSCLSHDRETNLSLKSVSKTKKCILDVGLGLLAASVLAFSPLDAEATRIEYYATVADPPCDLNFVPSGLGYCDISVGPGVDAPYNELINVHYTARFADGRVFDSSYKRGRPLTMRIGVGKVIRGLDQGILGGEGVPPMQEGGRRKLYIPPPLAYGPEPAGCFSGDCNIPGNATLLYDINFVGVYSGNRSLPKK >Potri.008G097800.1.v4.1 pep chromosome:Pop_tri_v4:8:6096147:6098547:1 gene:Potri.008G097800.v4.1 transcript:Potri.008G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097800.v4.1 MNLEEKASTEVPSSEHLCYVRCNFCNTVLAVGIPCKRLLDTVTVKCGHCNNLSFLSTRPPNQGQCLDQYHRLSLQGVSSNEKFLFNEKQGFCTDIRKGESSSSSTSSEQPVPTVPFVVKPPEKKHRLPSAYNRFMKEEIKRIKAADPEIPHREAFSTAAKNWARARYLPKSGAGSGSPNI >Potri.010G189100.1.v4.1 pep chromosome:Pop_tri_v4:10:18532748:18536727:1 gene:Potri.010G189100.v4.1 transcript:Potri.010G189100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189100.v4.1 MQGSDKAELKYTATEIYFNGYTITANLSSGALNVCSYEAVSNSTTLRKFSNPFLDATPFVTNLCSAILLIQLLFLLFHRLPRFFSELITGLLMGPMVLGANALFSEYTHPVRQTGATETLTNLGVIYYMFLVGLQMDLTTIRRISKGAWGNALFGILLPLGMGAGLFHLLPGKTHFENPLGAWFWSVALTVTSFPDLAQILSDLKLLRTEVGQMAISSAFVSDIASWSFLVVTITVSNGKTRAFILPTVAFILFCWFVLRPVLSQIIDKDSSKGGNYSDLCIYSILTGVVVCGFITDACGSHSMIGAFMFGLIIPDGELGMMIMEKLEDFVPGIMLPAFFVLTGTRCNILAMFYNINPFAVLGILVLACLAKIISGFLVAMYYGMPVREGVALGVLMNAKGVLALIILNVGRDIKAVDNQPFTIMVMTILLMTILVKPIPLWACKTTKHFRKYKLRTLQESKPNSELRILACIHTTRNLSGILNLLELSNSTEKSPICVFAACLVGLSGRTNAMLIVHDENRNSSGQNYPPARGRSDADQIISTLENYERRNQSMSFLPLTVVSPYTSMHEDIHNLAEDKRVTFILIPFHKQSGAEGMQQENSSIRLVTQNLLTKAPCSVGIFIDRGLSLKIYNEGSHRREKLNFAMFYTGGHDDREALTYACRMAGSLNVSLKVVRFLPGKEAIEMMDMEEEVEGENQRFVDDMYLNELRFMTMCNPSATWVDKSVNSGDEIIIAAKDLADEYDLYIVGRGQGMIKPFALGLSEWSNCEELGPLGDALSTSDFAQHASILVVQQHSVSTMKNKGMPQHQEKAGLETWKSPLSSRDLLNIVNHRKKTDEEDD >Potri.004G010850.1.v4.1 pep chromosome:Pop_tri_v4:4:614312:616080:-1 gene:Potri.004G010850.v4.1 transcript:Potri.004G010850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010850.v4.1 MATVIIRKRLARTDIKSCLSYPTDALGPFPMVEGQTAIWFQARDPTGKVWNFELSKRPRGYLKPVMRGDWLNYVREKSLTVRDVIVLTREQDIQDEVTYHIKVEPDLRLTLKTFSSAYETLEKTIDDGSRYLEG >Potri.009G106800.1.v4.1 pep chromosome:Pop_tri_v4:9:9301660:9306001:-1 gene:Potri.009G106800.v4.1 transcript:Potri.009G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106800.v4.1 MLLKGAQAPSLITFYHHYKPPLNPIVPDILTLTSPMRTSLFSSSLSLSLHQQQRIHIPEPINTPRTLHPISTPKCFSTSVEQLASDSQSEGILDFDENERETFKFDDDGLGSSGAGGDRKHLDAPALEVKELAELPEQWRRAKLAWLCKELPAHKPATAVRILNGQRKWIRQEDATYIVVHCTRIRENETGFRVYKWMMQQHWYRFDFALSTKLADYMGKERKFAKCREIFDDIISQGRVPSESTFHILVIAYLSTTVQGSLEEACSIYNRMIQLGGYRPRLSLHNSLFRALVSKPGVIAKHHLKQAEFIYHNLVTCGLELQKDIYGGLIWLHSYQDTIDKERITSLREEMRQAGVEESQEVLVSILRACAKDGDVEEAERTWLKLVRLDEGLSSHAFVCRMEVFSKAGEPMKSFETFREMQEVLSSYNVAPYHKIIEVLCKAEEVELAESLMQELVQSGMKPLTPSFISIMDMYLNLNLHDKLESAFSACLEKCRPNRSVYMIYLDSLVKVGNFDKAEEIFNHMRNNEAIGVNARSCNTILREYLSSGYHVKAERIYDLMCQKKYDIDSSLMEKLDSVLSSSRKVARRRISLKLSKEQREILVGLFLGGLQIESDGKKHMIQFEFNQNSIMHSILRRHLHDQYHEWLHPSFKPSDDSDSDDIPWRFCTISHSCFDFYAEQFWPRGQPQLPKLIHRWMSPQVLAYWYMYGGHRTSSGDIVLKLKGSVKGVGRVVKTLKSKSLDCRVKRKGKVFWIGFLGSVSTWFWKLVEPYILDDLKDLLKAGDPTLENYMEELQNMNFDSGSDFDEEASEDSDMDSL >Potri.012G057500.2.v4.1 pep chromosome:Pop_tri_v4:12:5668621:5672039:-1 gene:Potri.012G057500.v4.1 transcript:Potri.012G057500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G057500.v4.1 MALATQPATNFFNKKNPLLNSFSTKQPKTSTRSLVIRNSVTVAPPSTVRIAKECKVKSVKARQIIDSRGNPTVEVDLVTDDQLYRSAVPSGASTGIYEALELRDGDKSVYGGKGVLSAVQNVNNFLGPKLLGVDVRNQADVDAIMLDIDGTPNKAKLGANAILGVSLSVCRAGAGAKGVPLYKHIQEISGTKELVMPVPAFNVINGGSHAGNNLAMQEFMILPVGATNFAEALRMGSEVYHTLKKIIEKKYGQDACNVGDEGGFAPNVQDNREGLVLLMDAIEKAGYTGKIKIGMDVAASEFLKDGKYDLNFKNQPNDGAHVLSAQSLGDLYKDFVKEFPIVSIEDPFDQDDWNSWASLQSSVDIQIVGDDLLVTNPKRIAEAIQKKACNGLLLKVNQIGTVTESIRAALDSKAAGWGVMVSHRSGETEDNFIADLSVGLASGQIKTGAPCRSERLAKYNQLLRIEEELGNVRYAGEAFRSL >Potri.003G223000.8.v4.1 pep chromosome:Pop_tri_v4:3:21612283:21618350:1 gene:Potri.003G223000.v4.1 transcript:Potri.003G223000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223000.v4.1 MEVERRSFCFFFFLLVFPVFFGVLCGSASASPVVPKNPFLGIPPQDENYYKTSSNTIKCKDGSATFTKAQLNDDFCDCPDATDEPGTSACPGGKFFCRNAGHAPLFLFSSRVNDGICDCCDGSDEYDGQVKCPNTCWEAGKVARDKLKKKIATYKEGVALRNKEVEQAKAAIAKDEAELSKLKNEEKVLKGLVQQLKELKEQIEKAEERERLQKEKEEKERKEAEEKATGEKSAIQREANEGQIEEKIDNEDKDVESAHDEIGVLDDSPAHQDVVDEYADHGAEDETSGDSKIEGSPVSKVEQGKDGSTDAEGLSKEELGRLVASRWTGNPEKETEGVSDTMDNDHEDNEKMAQDTHDEEYDGYASETDDDTGKYDDPDVEDDIDETYEDDVHDDATASYKSDAEDEVEFSDTTSPGNPSWLEKIQQTFRSILQAFKFFQTPVDKSEAARVRKEYDESSAKLSKIQSRISSLTKKLKHDYGKEMEFYSFYDHCFESKQNKYVYKVCPFKEASQLEGHSTTRLGRWNEFEDSYRVMVFSNGDKCWNGPDRSMKVRLRCGLKNEVTDVDEPSRCEYVALLSTPALCIEEKLKELENKLDLMNKEQPQSHDEL >Potri.003G223000.6.v4.1 pep chromosome:Pop_tri_v4:3:21612303:21618339:1 gene:Potri.003G223000.v4.1 transcript:Potri.003G223000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223000.v4.1 MEVERRSFCFFFFLLVFPVFFGVLCGSASASPVVPKNPFLGIPPQDENYYKTSSNTIKCKDGSATFTKAQLNDDFCDCPDATDEPGTSACPGGKFFCRNAGHAPLFLFSSRVNDGICDCCDGSDEYDGQVKCPNTCWEAGKVARDKLKKKIATYKEGVALRNKEVEQAKAAIAKDEAELSKLKNEEKVLKGLVQQLKELKEQIEKAEERERLQKEKEEKERKEAEEKATGEKSAIQREANEGQIEEKIDNEDKDVESAHDEIGVLDDSPAHQDVVDEYADHGAEDETSGDSKIEGSPVSKVEQHEGQKDEESVSTKTKDDSTHVHEINHDAGNEVSHDQPMEDGKDGSTDAEGLSKEELGRLVASRWTGNPEKETEGVSDTMDNDHEDNEKMAQDTHDEEYDGYASETDDDTGKYDDPDVEDDIDETYEDDVHDDATASYKSDAEDEVEFSDTTSPGNPSWLEKIQQTFRSILQAFKFFQTPVDKSEAARVRKEYDESSAKLSKIQSRISSLTKKLKHDYGKEMEFYSFYDHCFESKQNKYVYKVCPFKEASQLEGHSTTRLGRWNEFEDSYRVMVFSNGDKCWNGPDRSMKVRLRCGLKNEVTDVDEPSRCEYVALLSTPALCIEEKLKELENKLDLMNKEQPQSHDEL >Potri.014G065300.1.v4.1 pep chromosome:Pop_tri_v4:14:4037249:4038604:1 gene:Potri.014G065300.v4.1 transcript:Potri.014G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065300.v4.1 MAENHSPSLGFKGDSIVAQHAIFALLVDTLSNQIQVKYQSMRVSPFDTHMRIMSAFNAALLIYATTSVAEVILRTQKSVHQRLVGNIRLFASALAAILLLVTLSLIVSCIISVLWTCLFVKLAYESCQDLCQLLSQTTNKVLSMLKKLIAPVRSPKEKPNQPNVEVLASPETDGQC >Potri.004G163300.1.v4.1 pep chromosome:Pop_tri_v4:4:18284034:18287180:-1 gene:Potri.004G163300.v4.1 transcript:Potri.004G163300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163300.v4.1 MPALACCVDAAHAPPGYAFPAGDSSLPFPVPCSPGVPLSTTSTHTAAASENSSAHWSPSLSAALYKIDGWGAPYFSVNSSGNVSARPYGTDTLPHQEIDLLKIVKKVSDPKWVGGLGLQLPVIVRLPDVLKNRLESLQSAFDFAIQSQVYEAHYQGVYPVKCNQDRFVVEDIVRFGSPFRFGLEAGSKPELLLAMSCLCKGNPEALLICNGFKDGEYISLALIARKLALNTVIVLEQEEEIDLVIELSKKMSVRPVVGVRAKLRTKHSGHFGSTSGEKGKFGLTTTQILRVVKKLEQAGMLDCFQLLHFHIGSQIPSTSLLADGVSEAAQIYCELVRLGARMQVIDIGGGLGIDYDGSKSGNSDLSVAYGLEEYALAVVQAVKFVCDRKNVKHPVICSESGRAIVSHHSILIFEAISSSSTSAASMTSYEMQYYLGGLTEDARADYRNLTASAIRGEHEACLLYADQLKQSCVDQFKEGNIGMEQLAAVDALCELFYKTIGASDPVRTYHVNLSLFTSIPDFWGIGQLFPIVPIHRLDQRPGARGILSDLTCDSDGKIDKFIGGESSLPLHEIEGGGAGGNGGKYYLGMFLGGAYEEALGGIHNLFGGPSVVRVSQSDGPHSFLVTQAVPGPSCGDVLRVMQHEPELMFETLKHRVEEYCHHDEDSDDGDSDHGMGSIASLANRLASYFHNMPYLVAPCSVTAMNNSGFYYCNEDDYNAAADTSPCEDEK >Potri.008G087651.1.v4.1 pep chromosome:Pop_tri_v4:8:5455674:5457684:-1 gene:Potri.008G087651.v4.1 transcript:Potri.008G087651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087651.v4.1 MMFGVHEENLAFGSSRIVIEWIQELYCPGSQNLLKFRKK >Potri.002G246600.17.v4.1 pep chromosome:Pop_tri_v4:2:23740579:23744796:-1 gene:Potri.002G246600.v4.1 transcript:Potri.002G246600.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246600.v4.1 MAAPVTQLPVPTADVVGNAFAHQYYHILQQSPDLVHRFYQDGSKFGRPGEDGVMSTTTTMNAINEKILSLGYGQVRAEIVTVDSQESYKGGVLVLVTGYLNGNDNLRQKFTQSFFLAPQDKGYFVLNDVFRYVDDSTHQNGNQEPASNFEAPVAPDQDTPHTQETHISEPTAALSEEVIGGEVYNPSESGDVSVEVEEEESGDVSFEEEEEPMPEVVDEIPPDSQLVADSQVVVESSAKIEDTPKKSYASVVKVQKEYTAPFSSPTPSPLRSAPKIQEQVTAAVSQPPAAESHVSSSNTFENGNAQESEEGPSIYVKGLPLDATTTLLENEFKKFGPIRNGGVQVRFQKGFCFGFVEFEVASAVQSALEASPVMINGFRVIVEEKRSTSRGNNRGRFPSGSGAGYKSEGMRGRGNLGGKVYGRIEIGIRTEFGNRGGSRGGGYSNRGGDGYSNRGGGGGGGGDGYSNRGGGGGGDGYSNRGGGGDGYRRADKMGNNGGRANRSGGLGLNGTAKTTAPRVSATA >Potri.002G246600.14.v4.1 pep chromosome:Pop_tri_v4:2:23740517:23745274:-1 gene:Potri.002G246600.v4.1 transcript:Potri.002G246600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246600.v4.1 MAAPVTQLPVPTADVVGNAFAHQYYHILQQSPDLVHRFYQDGSKFGRPGEDGVMSTTTTMNAINEKILSLGYGQVRAEIVTVDSQESYKGGVLVLVTGYLNGNDNLRQKFTQSFFLAPQDKGYFVLNDVFRYVDDSTHQNGNQEPASNFEAPVAPDQDTPHTQETHISEPTAALSEEVIGGEVYNPSESGDVSVEVEEEESGDVSFEEEEEPMPEVVDEIPPDSQLVADSQVVVESSAKIEDTPKKSYASVVKVQKEYTAPFSSPTPSPLRSAPKIQEQVTAAVSQPPAAESHVSSSNTFENGNAQESEEGPSIYVKGLPLDATTTLLENEFKKFGPIRNGGVQVRFQKGFCFGFVEFEVASAVQSALEASPVMINGFRVIVEEKRSTSRGNNRGRFPSGSGAGYKSEGMRGRGNLGGKVYGRIEIGIRTEFGNRGGSRGGGYSNRGGDGYSNRGGGGGGGGDGYSNRGGGGGGDGYSNRGGGGDGYRRADKMGNNGGRANRSGGLGLNGTAKTTAPRVSATA >Potri.004G129700.2.v4.1 pep chromosome:Pop_tri_v4:4:14447685:14450725:1 gene:Potri.004G129700.v4.1 transcript:Potri.004G129700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129700.v4.1 MAKFCVLLCFSLLLIFGFPAIGSATKEEIGMYELKKGNFSVKLTNYGAHIISLLLPDKYGKVADIVLGYDTIKEYKNDSSNFGATVGRVANRIAGAQFTLNGTLYKLVANEGKNMLHGGRKGFSTVVWKVKKYSPEGRTPCIVFAYHSFDGEEGFPGDLHVIVGYKLLGDNKLRITMKAKALNKATPVNLVNHAYWNLGGHNSGDILSEEIQIFASHYTPVDSQLIPTGEIVTVKGTPYDFLKPNTIGSKINKLTNGYDINYALDGSGNDKLKKVAIVRDKKSGRMMEILTNQPGVQFYTSNTLYQKGKGGFVYEPYAALCLETQGFPDSVNHPNFPSQIVNPGTYYKHQMLIKFSNF >Potri.017G111000.2.v4.1 pep chromosome:Pop_tri_v4:17:11868559:11869474:1 gene:Potri.017G111000.v4.1 transcript:Potri.017G111000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111000.v4.1 MKAFLIACFLLATIVFSPLSTCTARELAERDVSRGALNPHKPVYGCGRGNRYCVPKTPRGCPNPYKRNCGRH >Potri.001G406700.1.v4.1 pep chromosome:Pop_tri_v4:1:43405369:43409302:-1 gene:Potri.001G406700.v4.1 transcript:Potri.001G406700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406700.v4.1 MSLLINHCATYCRVNQGRNNARKHKAAIRMSSKSSKTEEDFVSLSKKDRNCSLGINTIISSIAVSNLVAIDMAKAVTLDKVLEEAASVYTLADGSIGDWFGGFLYSSGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAEIVGDSIAFALGLATTLALLGIAASFAGKTYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFNNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKDPVVGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPMSGALLLGGGVYTFLDRLFPPTMAM >Potri.001G406700.2.v4.1 pep chromosome:Pop_tri_v4:1:43405393:43409307:-1 gene:Potri.001G406700.v4.1 transcript:Potri.001G406700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406700.v4.1 MSSKSSKTEEDFVSLSKKDRNCSLGINTIISSIAVSNLVAIDMAKAVTLDKVLEEAASVYTLADGSIGDWFGGFLYSSGQQANEAVQDQLSALSFTSLAVIFGAGLVTSLSPCTLSVLPLTLGYIGAFGSGKSRAEIVGDSIAFALGLATTLALLGIAASFAGKTYGQIGQGLPLAASGLAVIMGLNLLEVIELQLPSFFNNFDPRAAAANFPSSVQAYLAGLTFALAASPCSTPVLATLLGYVAASKDPVVGGSLLLTYTTGYVAPLLLAASFAGALQSLLSFRKFSAWINPMSGALLLGGGVYTFLDRLFPPTMAM >Potri.014G024200.3.v4.1 pep chromosome:Pop_tri_v4:14:1460029:1461962:1 gene:Potri.014G024200.v4.1 transcript:Potri.014G024200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024200.v4.1 MAVELMMGYSGDSFATKMQENDVREAATAGIQSVEEVIKLLKQNQLEQQQKQQYYQELSAASSSSNLGTDNIMAVTDMAVNNFKKVISLLGRTTRTGHARFRRAPVACPPQQQIQEPEPGPQQQKQQVQEPVPYVRAINSQPTEQGSAFRVYQPTPIHRLPPLPHNQQQKTLLVTKNGLSDRNEMATTINFANSPTISAPSFLSSLTGETDSFQCSKSSGFQFTQPSAGKPPLSSSSLKRKCNSMDDAALKCGSSSSRCHCSKKRKSRIKRVVRVPAISSKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDSMMLIVTYEGEHNHSHPIDEAPGALVLESS >Potri.014G024200.2.v4.1 pep chromosome:Pop_tri_v4:14:1460171:1462140:1 gene:Potri.014G024200.v4.1 transcript:Potri.014G024200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024200.v4.1 MAVELMMGYSGDSFATKMQENDVREAATAGIQSVEEVIKLLKQNQLEQQQKQQYYQELSAASSSSNLGTDNIMAVTDMAVNNFKKVISLLGRTTRTGHARFRRAPVACPPQQQIQEPEPGPQQQKQQVQEPVPYVRAINSQPTEQGSAFRVYQPTPIHRLPPLPHNQQQKTLLVTKNGLSDRNEMATTINFANSPTISAPSFLSSLTGETDSFQCSKSSGFQFTQPSAGKPPLSSSSLKRKCNSMDDAALKCGSSSSRCHCSKKSRKSRIKRVVRVPAISSKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDSMMLIVTYEGEHNHSHPIDEAPGALVLESS >Potri.016G012450.1.v4.1 pep chromosome:Pop_tri_v4:16:663484:663864:-1 gene:Potri.016G012450.v4.1 transcript:Potri.016G012450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012450.v4.1 MNIPTWTSINLSLLNLVYILFGNQIVGYLLIINAKNYICEQHPEQKTSFIEISILIRCCCMQIRKPCSNRSVAGRIIDCLCSAQKSGNLLINLKARILSPYLIIPEIKTGMATSMMAFLLRNLNKT >Potri.003G103800.4.v4.1 pep chromosome:Pop_tri_v4:3:12764086:12772682:1 gene:Potri.003G103800.v4.1 transcript:Potri.003G103800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103800.v4.1 MDYPRQYEGCPLLPLSLIQHFLRVCESWLSKGNHQNVILFHCERGSWPLLAFLLASFLIFRKLHSGEKRTLEIVHKEAPKGFLQLLSPLNPFPSQLRYLQYVARRNIAPEWPPPERALSLDCVIFRAIPSFDAGNGCRPIIRIFGRNLHTKGGLSTQMLFSMSKKKKSALRHYCQADCDVIKIDIQCLVQGDVVLECLHLDLDSEREVMMFRVMFNTAFIRSNILMLNSDNLDILWDSKERYPKGFRAEVLFGEVESISSPKAPTTILNGEEKGGLPIEAFSRVQELFSGVEWVDSSDDAALWLLKQLSVISDAREFSRMQTQVSSYASPVDSEDENNASSTADSSDEAFDYVSKSTAEGMKPLMSNTVESVPLSAESNDPQDLDLTTDPPPQVSVKGVPSSLHQQLSVAGVGTLTSPCPPQPPPPPPFARTPPPPPPHPPSSISNQSPLSVAAPLDPYPPPPPLPPSPPTRPSTTTSNSKSPPPPPPPPPLPNVSSGDLSTASVPTSKRDIPPPPCPPPPPNFFNKDASLPPASHNTCLLPPPPPPPPPPPPLPNFSKDSGTPPPPTCRGPPPPPPPPPPLPNFSKDSGTPPPPTCRGPPPPPPPPPPPPPPPPPPPPPPPSPPPPPPLLSSSKGPPPPPISSKTRQSSVPQRLPVPPPPPPPPLPPFSMSTTNNVKTSPQQPPPPPPPPPPPTTLSSSSKSTASCIGGAPPPPPPPLPPFGRNPANAPRPPPPPPPPGRNPTNAPTPPPPPPGPTRPTSTAPPPPPKPPAAPPPPPGRASVPGPPPPPGAKGSNVPPPPPPSAGRGKASLGASSHVRGRSAGGSGNAPKRASLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDISELESLFSAASVSDGKGSIKAGGRRGSHINKPEKVQLVDLRRAYNCEIMLTKIKIPLPDMIKAVLALDSSALDIDQVENLIKFCPTKEEMEMLRNYTGDKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSSQVDDLRRNLNSINDATREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLVHLEAASKIQLKTLAEEMQAVSKGLEKVEQELTTSVNDGAISTGFQKVLKNFLDTAESEVRSLISLYSEVGRNADSLSQYFGEDPARCPFEQVTQILVVFVKLFKKSREENERQADVEKKKLEKEAMKEKATTNLSARKDGVDSDKSTLGFQIQKDLLNHGSNHTTT >Potri.003G103800.5.v4.1 pep chromosome:Pop_tri_v4:3:12763449:12772617:1 gene:Potri.003G103800.v4.1 transcript:Potri.003G103800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103800.v4.1 MSLLSRFFYRRPPDGLLEFVDRVYVFDSCFSTDVLPDGMYQIYLHEIITELYEEFPDSSFLAFNFREGEKRSQLAEILCQYDVTVMDYPRQYEGCPLLPLSLIQHFLRVCESWLSKGNHQNVILFHCERGSWPLLAFLLASFLIFRKLHSGEKRTLEIVHKEAPKGFLQLLSPLNPFPSQLRYLQYVARRNIAPEWPPPERALSLDCVIFRAIPSFDAGNGCRPIIRIFGRNLHTKGGLSTQMLFSMSKKKKSALRHYCQADCDVIKIDIQCLVQGDVVLECLHLDLDSEREVMMFRVMFNTAFIRSNILMLNSDNLDILWDSKERYPKGFRAEVLFGEVESISSPKAPTTILNGEEKGGLPIEAFSRVQELFSGVEWVDSSDDAALWLLKQLSVISDAREFSRMQTQVSSYASPVDSEDENNASSTADSSDEAFDYVSKSTAEGMKPLMSNTVESVPLSAESNDPQDLDLTTDPPPQVSVKGVPSSLHQQLSVAGVGTLTSPCPPQPPPPPPFARTPPPPPPHPPSSISNQSPLSVAAPLDPYPPPPPLPPSPPTRPSTTTSNSKSPPPPPPPPPLPNVSSGDLSTASVPTSKRDIPPPPCPPPPPNFFNKDASLPPASHNTCLLPPPPPPPPPPPPLPNFSKDSGTPPPPTCRGPPPPPPPPPPLPNFSKDSGTPPPPTCRGPPPPPPPPPPPPPPPPPPPPPPPSPPPPPPLLSSSKGPPPPPISSKTRQSSVPQRLPVPPPPPPPPLPPFSMSTTNNVKTSPQQPPPPPPPPPPPTTLSSSSKSTASCIGGAPPPPPPPLPPFGRNPANAPRPPPPPPPPGRNPTNAPTPPPPPPGPTRPTSTAPPPPPKPPAAPPPPPGRASVPGPPPPPGAKGSNVPPPPPPSAGRGKASLGASSHVRGRSAGGSGNAPKRASLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDISELESLFSAASVSDGKGSIKAGGRRGSHINKPEKVQLVDLRRAYNCEIMLTKIKIPLPDMIKAVLALDSSALDIDQVENLIKFCPTKEEMEMLRNYTGDKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSSQVDDLRRNLNSINDATREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKVDKLKLETSNYHIVS >Potri.003G103800.3.v4.1 pep chromosome:Pop_tri_v4:3:12763449:12772650:1 gene:Potri.003G103800.v4.1 transcript:Potri.003G103800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103800.v4.1 MSLLSRFFYRRPPDGLLEFVDRVYVFDSCFSTDVLPDGMYQIYLHEIITELYEEFPDSSFLAFNFREGEKRSQLAEILCQYDVTVMDYPRQYEGCPLLPLSLIQHFLRVCESWLSKGNHQNVILFHCERGSWPLLAFLLASFLIFRKLHSGEKRTLEIVHKEAPKGFLQLLSPLNPFPSQLRYLQYVARRNIAPEWPPPERALSLDCVIFRAIPSFDAGNGCRPIIRIFGRNLHTKGGLSTQMLFSMSKKKKSALRHYCQADCDVIKIDIQCLVQGDVVLECLHLDLDSEREVMMFRVMFNTAFIRSNILMLNSDNLDILWDSKERYPKGFRAEVLFGEVESISSPKAPTTILNGEEKGGLPIEAFSRVQELFSGVEWVDSSDDAALWLLKQLSVISDAREFSRMQTQVSSYASPVDSEDENNASSTADSSDEAFDYVSKSTAEGMKPLMSNTVESVPLSAESNDPQDLDLTTDPPPQVSVKGVPSSLHQQLSVAGVGTLTSPCPPQPPPPPPFARTPPPPPPHPPSSISNQSPLSVAAPLDPYPPPPPLPPSPPTRPSTTTSNSKSPPPPPPPPPLPNVSSGDLSTASVPTSKRDIPPPPCPPPPPNFFNKDASLPPASHNTCLLPPPPPPPPPPPPLPNFSKDSGTPPPPTCRGPPPPPPPPPPLPNFSKDSGTPPPPTCRGPPPPPPPPPPPPPPPPPPPPPPPSPPPPPPLLSSSKGPPPPPISSKTRQSSVPQRLPVPPPPPPPPLPPFSMSTTNNVKTSPQQPPPPPPPPPPPTTLSSSSKSTASCIGGAPPPPPPPLPPFGRNPANAPRPPPPPPPPGRNPTNAPTPPPPPPGPTRPTSTAPPPPPKPPAAPPPPPGRASVPGPPPPPGAKGSNVPPPPPPSAGRGKASLGASSHVRGRSAGGSGNAPKRASLKPLHWVKVTRAMQGSLWADSQKQENQSRAPEIDISELESLFSAASVSDGKGSIKAGGRRGSHINKPEKVQLVDLRRAYNCEIMLTKIKIPLPDMIKAVLALDSSALDIDQVENLIKFCPTKEEMEMLRNYTGDKEMLGKCEQFFLELMKVPRVEAKLRVFAFRITFSSQVDDLRRNLNSINDATREVKESAKLRQIMQTILTLGNALNQGTARGSAVGFKLDSLLKLSDTRARNNKMTLMHYLCKLLAEKMPELLDFDKDLVHLEAASKIQLKTLAEEMQAVSKGLEKVEQELTTSVNDGAISTGFQKVLKNFLDTAESEVRSLISLYSEVGRNADSLSQYFGEDPARCPFEQVTQILVVFVKLFKKSREENERQADVEKKKLEKEAMKEKATTNLSARKDGVDSDKSTLGFQIQKDLLNHGSNHTTT >Potri.013G005800.1.v4.1 pep chromosome:Pop_tri_v4:13:383129:385039:-1 gene:Potri.013G005800.v4.1 transcript:Potri.013G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005800.v4.1 MAPDITTPLANNATTLVKQASISSCAYVTFLAGDGDYWKGVVGLAKGLRKAESKYPLVVAILPDVPEEHRKILVSQGCIVREIEPVHPPENQTRFAMPYYVINYSKLRIWEFVEYSKMIYLDGDIQVFDNIDHLFDMPDGCFYAVMDCFCEKTWSNSPQYKIGYCQQCPDKVQWPAEMGPKPPLYFNAGMFVYEPNLSTYHDLLETLKITSPTLFAEQDFLNMFFRDVYKPIPSDYNLVLAMLWRHPENINLDKVKVVHYCAAGSKPWRYTGKEENMDREDIKMLVQKWWDIYNDESLDHKNTVVASSGSELQPILEALYEAGVDLHFTAPSAA >Potri.014G000900.1.v4.1 pep chromosome:Pop_tri_v4:14:118301:120289:-1 gene:Potri.014G000900.v4.1 transcript:Potri.014G000900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G000900.v4.1 MEVAQCYLDGNADAVEFCTHEPYHNVLAAATYTLQEGDRPSRTGSISLFDVNPDVGRFELFYRVETGGIFDIKWSPVVGNVGPMLAQADADGCLRVHGLECCSNGGDSLSLREIVGEKISSSMCLCVDWNPSTTSISVGLSDGSVSVVSFSESQLNVIQEWKAHDFELWAASFDIHQPQLVYTGSDDCKFSCWDLRDGPSNLVFQNSKVHKMGVCCIAKSPRDPNILLTGSYDEYLRLWDVRSISKPVNETSVCLGGGVWRVKHHPYVPGVVLAACMHNCFAVVKIDEEKGEVMETYTKHGSLAYGADWQRGNLSHKVKQNSSVVATCSFYDRLLQIWIPESSVVKQL >Potri.014G169900.5.v4.1 pep chromosome:Pop_tri_v4:14:12416069:12423212:1 gene:Potri.014G169900.v4.1 transcript:Potri.014G169900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169900.v4.1 MSVTPASSSTVCFSLSDPVLIPSNELHPPGTVGAIKREVGIHRTVGESNAVIPSEKSASEIGLPFMQGKLPSKNQGVGKNQLSESSQPSSASIQGGSSGSRPSSNYSSRSQQIGPQKVGSNKEWKPKSTNPNVAQESGTAGLSAISNIPLEASGHSQPSSGVFDSEEATAKLQKKLEELHLPQRQNVIIPHHIHVPESERTKLSFGSFDASFGVPSCHVSPPESDKSSTPVSETSQVIEESVEEQAQSKQNTLLTAEEGNYPDHPQSPSHVPGKLSAEGDVSSNAVPDYESKQEAALLSGGHQYSVVHTSPSYSFGFVPPMLGSQIAPFENSESQARDVSRLPSFVVQQPFDPTSYYAQFYRSSADSDGHVSPFPAPGVASKYNGNVAVLPPHTSQSLQEGGNSLVLSTAGPTPLVTQAPGLMQTQQPVPVFRPPTGLHISHFPPNYIPYAPYFSPYYVPPPSIHQFLSNGAFPQQPQAGSVYPAPASAAATGVKYSLPQYKPGTNTVNATHIGMPSGYGPYGSSPTGYNPNSAVTGGNTTTNEDLGASQFKENNVYITGQQSSEGSAVWIAAPGRDISGLPASSFYNLPPQGQHVTSAPTQAAHGTYTNIYHPGQPVTAAAVHPLLQQSQAMGGAVDMVGPAANVYQQPQHQQINWPGNY >Potri.014G169900.1.v4.1 pep chromosome:Pop_tri_v4:14:12413603:12423164:1 gene:Potri.014G169900.v4.1 transcript:Potri.014G169900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169900.v4.1 MSGGGVRVSIPSNARKTIQNIKEIAGNHSDEEIYAMLKECSMDPNETAQKLLSQDPFHEVKRKRDRKKENAHNRESGDSRWRSGSQGRVSRGSRTIFSPRRTAHDTGGGRNSAAGRDNGISHAAEKGTGSSLSASEEKSKETTASASLSAVVANGPTGVVSGNSSATHASNLPTGSDQHEVAPSPIGVNNVGKEVSRIDVDNTPTIAFGTGDTCKESVPSSSNSSMSVTPASSSTVCFSLSDPVLIPSNELHPPGTVGAIKREVGIHRTVGESNAVIPSEKSASEIGLPFMQGKLPSKNQGVGKNQLSESSQPSSASIQGGSSGSRPSSNYSSRSQQIGPQKVGSNKEWKPKSTNPNVAQESGTAGLSAISNIPLEASGHSQPSSGVFDSEEATAKLQKKLEELHLPQRQNVIIPHHIHVPESERTKLSFGSFDASFGVPSCHVSPPESDKSSTPVSETSQVIEESVEEQAQSKQNTLLTAEEGNYPDHPQSPSHVPGKLSAEGDVSSNAVPDYESKQEAALLSGGHQYSVVHTSPSYSFGFVPPMLGSQIAPFENSESQARDVSRLPSFVVQQPFDPTSYYAQFYRSSADSDGHVSPFPAPGVASKYNGNVAVLPPHTSQSLQEGGNSLVLSTAGPTPLVTQAPGLMQTQQPVPVFRPPTGLHISHFPPNYIPYAPYFSPYYVPPPSIHQFLSNGAFPQQPQAGSVYPAPASAAATGVKYSLPQYKPGTNTVNATHIGMPSGYGPYGSSPTGYNPNSAVTGGNTTTNEDLGASQFKENNVYITGQQSSEGSAVWIAAPGRDISGLPASSFYNLPPQGQHVTSAPTQAAHGTYTNIYHPGQPVTAAAVHPLLQQSQAMGGAVDMVGPAANVYQQPQHQQINWPGNY >Potri.012G081100.1.v4.1 pep chromosome:Pop_tri_v4:12:10573338:10576632:-1 gene:Potri.012G081100.v4.1 transcript:Potri.012G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081100.v4.1 MEYTPIQVRNTNGITHGFTADNTPKNSSQNPSTTNYASRHSHDQESVISDSESSSSGASSGQLPSFNDGLVRLFEGDRVHDLIKRRFVSGLGLLGKQATVVAIHRNSYSGVLEQARMQSFQIFAKAMEKKCGGDANVKFGWYGGTRDEICEIVKHGFSARMIDNSNGLYGCGIYLSPDDSPVECVKKLSVDKDGLRHLLLCRLILGKSEVVHPGSDQCRPSSEEFDSGMDNLTSPKKYILWSTHMNTHILPEFVISFRAPSRLKGYFRIPESLRRPNSPWMPFPALISALSKFLPPTTTKLIIKYHRDHREKKISRQQLIQQVRKTVGDKLLISVIKSFRTEILETPSNFEEKRVQKGVKNGMNCDEKKDGMEELIMQT >Potri.019G108000.2.v4.1 pep chromosome:Pop_tri_v4:19:14122402:14126664:1 gene:Potri.019G108000.v4.1 transcript:Potri.019G108000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108000.v4.1 MDSSEKMNSIIYMDPVLYKAAEEGNIDPFENCQTCLDQLLTPDENTILLVYLRNQTTEPKSTDFVYKILERCPPLLFQANKKGETPLHLAARYGHANVVKLLIERAEALPSDPESRVTKAKMMLRMTNGERDTALHEAARNNQSHVVEILTKEDPEFPYSANVDGETPLYIAASSWVQVREKVIDEILTNCISAKHYIAASTIPKSSEERGKVVDGILGNCISVDYGGPNGRTALHAASAVGDDETARKLLEKEKKLTKTTDENGWSPLHYAAYFSTRLNISVVKVLLEYDASAAYIAETKKKRTALHFAAIQGHVDVMKEIVSRCPACCEVVDNRGWNALHYAVASKDRKVFEECLRIPELARLQTEKDDKGNTPFHLIAALGNEKKQWRRVLYKYCYNKREVCGLNKRKLSVKDIYFGDFGDFGEIQEEILESLEDVRSGPLGSRIKVLKGENEEEKEAMSKARESHLVVAALIATVTFAAAFTLPGGYKNDQGPNEGTAILVKKAAFIVFVISDAMSMVLSILAVFIHFLTAFIQGFKMEKNKEIDEETIEKLFEVATLLTMIGMGTMIIAFITGTCAVLEPSLGLAICTCLIGMNFFILGNVVCGFIYKDLRD >Potri.019G108000.3.v4.1 pep chromosome:Pop_tri_v4:19:14122402:14126664:1 gene:Potri.019G108000.v4.1 transcript:Potri.019G108000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108000.v4.1 MDSSEKMNSIIYMDPVLYKAAEEGNIDPFENCQTCLDQLLTPDENTILLVYLRNQTTEPKSTDFVYKILERCPPLLFQANKKGETPLHLAARYGHANVVKLLIERAEALPSDPESRVTKAKMMLRMTNGERDTALHEAARNNQSHVVEILTKEDPEFPYSANVDGETPLYIAASSWVQVREKVIDEILTNCISAKHYIAASTIPKSSEERGKVVDGILGNCISVDYGGPNGRTALHAASAVGDDETARKLLEKEKKLTKTTDENGWSPLHYAAYFSTRLNISVVKVLLEYDASAAYIAETKKKRTALHFAAIQGHVDVMKEIVSRCPACCEVVDNRGWNALHYAVASKDRKVFEECLRIPELARLQTEKDDKGNTPFHLIAALGNEKKQWRRVLYKYCYNKREVCGLNKRKLSVKDIYFGDFGDFGEIQEEILESLEDVRSGPLGSRIKVLKGENEEEKEAMSKARESHLVVAALIATVTFAAAFTLPGGYKNDQGFKMEKNKEIDEETIEKLFEVATLLTMIGMGTMIIAFITGTCAVLEPSLGLAICTCLIGMNFFILGNVVCGFIYKDLRD >Potri.015G089800.1.v4.1 pep chromosome:Pop_tri_v4:15:11314587:11318152:-1 gene:Potri.015G089800.v4.1 transcript:Potri.015G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089800.v4.1 MMDYHELATMSSYQDTLKVLEADIQHANVLAASIPRAKCGSCLQMKLVYNHLTPIFLFLLQWMDSSCTCLLSTYLNLFDVVVYKVCSDRNQKISSCRRIATIRQFYAVILPSLQRLHGDTMEPDMTREEGHCLEMIVKNRLEDRRKLSDVELLREDECGICLEPCTKMVVPSCCHAMCINCYRDWNTRSASCPFCRGSLKRVNSEDLWVLTCSIDVVDTNTVSKEDIFRFYLYIKNLPKDIPDDLFLMYYEYLV >Potri.010G096000.1.v4.1 pep chromosome:Pop_tri_v4:10:11978366:11979335:1 gene:Potri.010G096000.v4.1 transcript:Potri.010G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096000.v4.1 MASGGKLEVDVEIKSAAKKFWDNIRDSTTLFPKAFPDQYKSIEILEGDGKAAGSTRLFTYGEGSPLVKVSKERIDTVDEAKKEVSYSVIEGDLLKYYKSFKATIVVTPKGDGSLVKWMCEFVKASEDVEVPHVIKDFVVKNFLEVDELILKE >Potri.001G378000.8.v4.1 pep chromosome:Pop_tri_v4:1:39751953:39758535:-1 gene:Potri.001G378000.v4.1 transcript:Potri.001G378000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378000.v4.1 MKGICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGLEQIEEQRVIEAKIRMRQQELQDEEERMQKRLDVSSSSANIEPGELECGPTTVPSDTTPVESGEIVSSQYSSRRPPHAGANRDDEFDLDLEDIMVMEAIWLSIQENGRQKNPLCGDAAPPAQYTMEARYVTPAMAPPLAGSSSSPSGGLACAIAALAERQQTGGESIVHNSGNMPSFNMLPSTSSFYNRLEQDADNYSPAQSSSNVLPDCRMIVTRDDGEWGADRGSDAAEAGTSYASSETAEDAGGISSLLPPPPPTDEIGGSFQNVSGPIPESFEEQMMLAMAVSLAEARAMTSGPQSAWQ >Potri.001G378000.2.v4.1 pep chromosome:Pop_tri_v4:1:39750159:39758533:-1 gene:Potri.001G378000.v4.1 transcript:Potri.001G378000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378000.v4.1 MGNKLGRRRQVVDERYTRPQGLYVHKDVDHKKLRKLILESKLAPCFPGDEDSCNDHEECPICFLYYPSLNRSRCCMKGICTECFLQMKNPNSTRPTQCPFCKTSNYAVEYRGVKTKEEKGLEQIEEQRVIEAKIRMRQQELQDEEERMQKRLDVSSSSANIEPGELECGPTTVPSDTTPVESGEIVSSQYSSRRPPHAGANRDDEFDLDLEDIMVMEAIWLSIQENGRQKNPLCGDAAPPAQYTMEARYVTPAMAPPLAGSSSSPSGGLACAIAALAERQQTGGESIVHNSGNMPSFNMLPSTSSFYNRLEQDADNYSPAQSSSNVLPDCRMIVTRDDGEWGADRGSDAAEAGTSYASSETAEDAGGISSLLPPPPPTDEIGGSFQNVSGPIPESFEEQMMLAMAVSLAEARAMTSGPQSAWQ >Potri.011G045100.1.v4.1 pep chromosome:Pop_tri_v4:11:3517422:3522627:-1 gene:Potri.011G045100.v4.1 transcript:Potri.011G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045100.v4.1 MAGRYDPNPFDEEEVNPFSDPAVRSKASGQSKYGGRAFNTASAPPASNSRLSPLPPEPADFYNYGNTVDIPIDAPADLRKKEKELQAKEAELRRREQEVKRREDAAARAGIVLEEKNWPPFFPIIHHDIANEIPVHLQKIQYVAFTTFLGMAFCLFWNVISVTTLWIKGGGVNIWFLSVIYFIAGVPGAYVLWYRPLYRAFRTESAMRFGWFFMFYALHIGFCIFAAVAPPIVFKGKSLTGILAAVDVVGKHALAGIFYFIGFGCFCLESLLSIWVIQQVGMYFRGSGKAAEMKREAARGALRAAI >Potri.016G138800.1.v4.1 pep chromosome:Pop_tri_v4:16:14210330:14218603:-1 gene:Potri.016G138800.v4.1 transcript:Potri.016G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138800.v4.1 MGLCLAKRNHDADDDEPHIELAGGNVHLITTKERWDQKLSEASRDGKIVLANFSARWCGPCKQIAPYYIELSENYPSLMFLVIDVDELSDFSASWEIKATPTFFFLRDGQQVDKLVGANKPELHKKITAILDSLPPSAK >Potri.014G080600.1.v4.1 pep chromosome:Pop_tri_v4:14:5195348:5198971:1 gene:Potri.014G080600.v4.1 transcript:Potri.014G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080600.v4.1 MACESEDQNPRFFVAVHVGAGYHAPSNEKVLRSAMKRACLAAASILRKGPGGCIDAVTAAIQMLEDDPSTNAGQGSNLTEDGHVECDASIMDGDSGAFGAVGAVPGVRNAIHIAALLAKEQMMGSSLLGRIPPMFLVGEGARVWGKSKGIDLPEIVEAEKWLVTARAKEQWTKYKRMLANANAKIYSDDRLCCSAQETSKISELEFQSHEPSEGNDGGQSSMPSTMEEDCIMDTVGVICVDTEGHIASGASSGGIAMKIGGRVGLAAMHGCGCWASSRGPFGVPFIVGCCASGAGEYLMKGFAARECCVSASISQAGPASASMKVLRSVILDDNRNTTEKSAGILLVQADAPMMAPGNSPSLKAIEIAAAFSSLSFGIGYHGSSMKRPKVSVLRSTKQQRKTGIDHFEARVDLSAKNLL >Potri.006G157501.1.v4.1 pep chromosome:Pop_tri_v4:6:14336339:14339796:-1 gene:Potri.006G157501.v4.1 transcript:Potri.006G157501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157501.v4.1 MRTYYAYLINEKPRRDNTIIKGEDHLDYVRANKKDLCVEVYKGIHEVVLKGDIEGSSTGKIIVPSSLTGSPCYMINNYQYAMAICRAYGNPGLFITFTYKPDIITRVFRSKVIDMGLPYTHMLIWLHSNFKCRTAEDVDSIVSTKIPDKFTDLICYEIVSRFMIHGPCGLTNPKSQCMNEGYLFKYVTKGFDRCRVVVEKDRTDEIHAYMNCCFKCPYEARSMYDDIITRFKSSFAMPNLKLFDDELKNYVLYELELLFNVAASSLEKHKLPMHDGRLLSEIKNKLLREELNYDIADLIFLNLTENMRLSCNELLDDQKKEVSIFSSWILAIGDGTYQDVLFPDDYDVSMIKIPQDLLFETRSDPILAIVSAVYPSIRDINIDPCYFRERVIVTPRNIIVSEINDCIFNMLPGMKRIYLSTDIICKASSDGDNVDILYTVEFINQLEFNGVPSHTIFLRIGIPIMLLCNLNLSADLCNETRLIVTQLAERVIKAQIITSSFIGNHVFIPRIVFPINDTKCPFTIKRRQFPIRLCYAMTINKSQGQSLKVVRVFLKEQVFTHGQLYIALSRVTSKQELKIITCDAEGNHSIYVKNIVYKDALCSLSIS >Potri.003G187800.2.v4.1 pep chromosome:Pop_tri_v4:3:19244397:19246817:1 gene:Potri.003G187800.v4.1 transcript:Potri.003G187800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G187800.v4.1 MAIEPAFDRLNVNDGYSPSFSYKIESEGEILLDTKFAEELQFQGALMASLISCQMASNVSLSTPSRTHMEAISEHKIEPSLQVREKGESSLRSCDICLERKETDQIVKNESSGQIFCLGCSKKGTSESCAGEVLSKWKGLNDEKKDHEIVWPPMVVVRNTASLKKDENIKRSGIADQELLDLFSSYDAIEKVQQAYNSDGHCGMSMLIFEGSARGYLEAERLDRHFSDQGTGRNVWNRSPLYLLSSWELQLHGYMADKQDVDLFNEYSTGEPKLKYEIRSYQDMVVSRIKQMKEDNHQIIWLNNRVADEQRHGELLEESNGIMRESLEKAMQEIDILRKKITLQHEQNMEEMVFQEQIFKDNEIKIILEERGEKGGDLESSKKDEEPENVHESNGSPSNNQDDKYRVPEIAKAEENEVKEKQVADTGKLVEEQGGEDERKKDEKVNLGNLHMKARLLITRFLSILGD >Potri.001G326400.1.v4.1 pep chromosome:Pop_tri_v4:1:33540133:33542754:1 gene:Potri.001G326400.v4.1 transcript:Potri.001G326400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326400.v4.1 MAPKRGGKGPVVAGKKKPEKVPNPLFEKRPKQFGIGGALPPKRDLSRFVKMPKMVQIQRQRRILKMRLKVPPTVNQFTKTLDKNLASNLFKMLLKYRPEDKAAKKERLLRKAQAETEGKTVEAKKPIVVKYGLNHVTYLIEQKKAQLVVIAHDVDPIELVVWLPALCRKMEIPYCIVKGKSRLGAIVHKKTASVLCLTTVKNEDKLEFSRILEAIKANFNDKYDEYRKKWGGGIMGSKSQAKSKAKDKVLAKEAAQRMT >Potri.008G181400.5.v4.1 pep chromosome:Pop_tri_v4:8:12511475:12512665:1 gene:Potri.008G181400.v4.1 transcript:Potri.008G181400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181400.v4.1 MWHLELITGLVILISSCRYLLLKTWPDFAESTEAANQQVLTSLQPLDYLVVSFLPGVTEELLFRGALLPLFGMDWKSVLLAATIFGVLHLGNGRKYSFAIWATFVGFVYGYATIVSSSLVVPMASHALNNLAGGLLWRHTSKPAK >Potri.008G181400.2.v4.1 pep chromosome:Pop_tri_v4:8:12509492:12512931:1 gene:Potri.008G181400.v4.1 transcript:Potri.008G181400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181400.v4.1 MALLTNTSANIYSVTNHVSWSNSTFMGGKFTALYLIDSCQFLQLPAKSPSGDKFRTRTFARRKSVKKWTRDERSQNGIALESTEKGLEEERVVLSDKSSLEDNSVEPVQSTLNNIASRSDVLQACTVTSGLIAALGILIRQVSHVASMEGLPILDCSTEVSFGIEMWHLELITGLVILISSCRYLLLKTWPDFAESTEAANQQVLTSLQPLDYLVVSFLPGVTEELLFRGALLPLFGMDWKSVLLAATIFGVLHLGNGRKYSFAIWATFVGFVYGYATIVSSSLVVPMASHALNNLAGGLLWRHTSKPAK >Potri.008G211900.1.v4.1 pep chromosome:Pop_tri_v4:8:17518296:17521019:1 gene:Potri.008G211900.v4.1 transcript:Potri.008G211900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211900.v4.1 MDKFFFISILGLLIVAHGVAGNMACDSIAMLEKLENFVIEEVDETEFSKVVPSWTSERGGKVLVNVDSFGAIGDGVSDDTQAFEKAWNTACTTPKSVFLVPPGRHYMVNATRFKGPCADRLVIQIDGTIVAPDEPKNWDPNLPRLWLYFSKLNGVLFQGNGVIDGSGSKWWASSCKKNKSNPCRGAPTALTIDSSSAVKINGLTIQNSQQMHFVISHSDSVRVSDVLVSAPEDSPNTDGIHITGSTNVVLQDCKIGTGDDCVSIVNGSSNIKMKRIFCGPGHGISIGSLGKDNSTGMVTKVVLDTAFLRETTNGLRIKTWQGGHGYVRGVRFENVGMENVANPVIIDQFYCDSPKTCHNQTSAVEISEITYRNISGTTKSSKAMKFACSDTVPCSNIVLSNINLEKNDGTEETYCNSAQGFGYGVVHPSADCLSSHAKNCNVIDQMKISELSETSTEDIIHTEL >Potri.001G176500.1.v4.1 pep chromosome:Pop_tri_v4:1:15248372:15255709:1 gene:Potri.001G176500.v4.1 transcript:Potri.001G176500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G176500.v4.1 MGSLSPPELPVIDFSKENMKPGTSSWLSTCKDVMYALEEYGCFVAVYDKVPLTLHNDLFSTLKDLFDLPIETKRKNVSDLPYYGYVGNQPFIPSLYEGMGIDNAITLEGTEDFADTMWPGGNDHFCKTVLSYSMLVSELEQMVVRMVFESYGVYQHHDSHKESTTYLFRMMKYRGPEKNEANVGCDAHTDKSFITVLHQNQVSGLEVKSKDGQWMGFKPSPSSFIVMAGEALLGWSNDRINAPFHRVVMSESEPRYSVGLFSFIKGIIKVPEKLIDEKNPLRFKPFENFGLLRYFFTEEGRGKESTIKAYCGV >Potri.003G104500.1.v4.1 pep chromosome:Pop_tri_v4:3:12812101:12813703:-1 gene:Potri.003G104500.v4.1 transcript:Potri.003G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104500.v4.1 MMFRSGGGEGDAGLGWINMMPTAAMLSPTAEISPRGPAPPQHPQWGLQETKEFIGIRAGLEKDFAVTKRNETLWEIVSAKMREKGYYRRTPEQCKCKWKNLVSLYKGKEASDPETGRQCPFFEELHEVFTERAKNMQRLLLESEAGSTQSRKKVKRINGDRSSDELSEDEDEDESEEEKPARNNSRKRKGEKNVAEKSPRPSSSSFGGVQEMLKEFFQQQQKMEMQWRELMEKRAHERQMFEQEWRRSMDKLERERLMIEQAWREREEQRRIREESRAERRDALLTALLNKLISENTMKD >Potri.003G008400.1.v4.1 pep chromosome:Pop_tri_v4:3:799878:806229:1 gene:Potri.003G008400.v4.1 transcript:Potri.003G008400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008400.v4.1 MATETKLDQRLESSPVVAKPVDNNVGSGIDGLPSDSTPTISASGNGVSDTKVNGSAVSITKREADQEPNAASSYSYQYPGYSGSSTQLDDQVYYQADGSQTGMQSDNGSMVYYWPSYPYASGTVVGVDGQSVAQQPYFSSSGYLQHPVSYGLEAMPCYSWDSAYVGDVSNGNAVFENGKGGSGSTAFAQSNGFNSTKSNGNIGSKISKPMYTQLVRPMTKVSPSGSDFSAGLFKGYQPMGKFPPFTSQKPGPFPHNGPLNYRQNGRMWTGNYRNISRDRFNKNYDFENQTELTRGPRASNKNAPLDLLVNKNASLDSSVKDELGIAMRKEQYNLPDFETEYANAKFFVIKSYSEDDIHKSIKYDVWASTPNGNKKLDAAFHNAEEVSSDTGYKCPIFLFFSVNGSGQFVGFAEMVGQVDFNKDMDFWQIDKWNGFFPVKWHVVKDIPNGHLRHIVLENNDGHSVTFSRDTQEIVLKQGLEMLNIFKSYSAKTSLLDDFNFYEKREKSLNTKKGNKPATLQMEIFKNGDFAKHTTAEEGISEDDSRTKKTTNPSSLINLTKNLSLSGHIQKSNPIKKPIGNSDPPVPSP >Potri.011G125851.1.v4.1 pep chromosome:Pop_tri_v4:11:16055864:16064802:-1 gene:Potri.011G125851.v4.1 transcript:Potri.011G125851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125851.v4.1 MEKSKVLYRSGPWNGLRFSGMPSLKPNPIYKFEFVSNEKEVYYTEHLTNNSTHWRVVQSQNGDIHNLKWIEQKQSWLLYGAPNTDHCDRYALCGLNSICNINNSPICDCLNGFIPNVSRDWNMMDWSKGCVRKTPLNCSGDGFRKLSAVRLPETKTSWFNTSMNLEDCKNTCLTNCSCSAYSNLDIRDGGSGCLLWFGDLIDIRILHENDIDVYIRMAVSELDYGDGAKMNTKSKVKRRIIVSTVLSATILFLGLALVLYVWKKKNSALGRSSRKKHMKEDLDLPLFDLGIVACATNNFSADNKLGEGGFGPVYKGALKDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVKLLGCSIEEDEMILIYEFCPNKSLDFFIFDERHRLLLDWPMRYNIINGIARGLLYLHQDSRLRVIHRDLKADNILLDYELNPKISDFGLARSLGGNEIEANTNKVVGTYGYISPEYAKFGLYSLKSDVFSFGVLVLEIVCGSRNRGFSHPDHHMNLLGHAWRLFMEGRPLELAAESIAITCYSSEVLRSIHVALLCVQDRPEDRPNMSCAVLMLGNNDALPQPKHPGFFTERDLFEASYSSSMSKPSSANECSISVLEAR >Potri.002G132300.1.v4.1 pep chromosome:Pop_tri_v4:2:9980949:9982005:-1 gene:Potri.002G132300.v4.1 transcript:Potri.002G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G132300.v4.1 MATDITPLLPSQNNIGHHEQKLFSIVNESGQESKRLWKLAGPAILTTICQNSLGALTQTFAGLVNEVDLAAVSVENSVIAGLAFGVMLGMGSALETLCGQAYGAGKLRMLGRYMQRSWIILLTTACLMIPIYVWSPPMLELFGETTQISEAAETNVN >Potri.001G325000.1.v4.1 pep chromosome:Pop_tri_v4:1:33401341:33402835:1 gene:Potri.001G325000.v4.1 transcript:Potri.001G325000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325000.v4.1 MVGAGAFWGTRVMEIVKKHDSGGLVWKRIKLTTTRKANAKKRLLRVWQNEAVLKACSQSQPSKMSAAGASGVDEKEGLNTAS >Potri.014G054400.3.v4.1 pep chromosome:Pop_tri_v4:14:3477108:3481641:-1 gene:Potri.014G054400.v4.1 transcript:Potri.014G054400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054400.v4.1 MQHIRRCSGFLRSQNGLPLFLERKIVKGVSDVGLASFSTSMAAQRSALGNSATRLPKSDYVRHFASNANHLTKVRWLGIPAMYGGKSNRECLVSLRSPFQIMENHGGSTINICVARSFASKASKKETRNQSETRKDLSTVEDPFDAPTYNIPEKPVTFTEGASYSIIILAGLGVAAAAAYAVFKELIFEPKEYKIFNKALKRIQDDSQVRVRIGSPITGYGQESRNRAARQRIPNRIFTDEDGVEHVQINFYIRGPHGAGKVSAEMFKDKVDKQWKYTYLIVETMQPSRSQLILESYMPAPVVA >Potri.014G054400.1.v4.1 pep chromosome:Pop_tri_v4:14:3477089:3481661:-1 gene:Potri.014G054400.v4.1 transcript:Potri.014G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054400.v4.1 MQHIRRCSGFLRSQNGLPLFLERKIVKGVSDVGLASFSTSMAAQRSALGNSATRLPKSDYVRHFASNANHLTKDILRGNGIPAMYGGKSNRECLVSLRSPFQIMENHGGSTINICVARSFASKASKKETRNQSETRKDLSTVEDPFDAPTYNIPEKPVTFTEGASYSIIILAGLGVAAAAAYAVFKELIFEPKEYKIFNKALKRIQDDSQVRVRIGSPITGYGQESRNRAARQRIPNRIFTDEDGVEHVQINFYIRGPHGAGKVSAEMFKDKVDKQWKYTYLIVETMQPSRSQLILESYMPAPVVA >Potri.004G095100.1.v4.1 pep chromosome:Pop_tri_v4:4:8168958:8171275:-1 gene:Potri.004G095100.v4.1 transcript:Potri.004G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095100.v4.1 MEKTESPPSDSKTAAQSPPFDCHQANDHDQFASNFTSLYHSIFPPKPSQLPNSLSFTPSTTASPSSSSAADEISTENRLRQARLILEYQDLCDHYNLSLARLQTLTNELELIRRENADLRVTNSELVKLISLSSEAAVMQHQNRTFGNNRDVAFERRNNANNVERERVTLPKSISVRSSGFVKVNQAVSGNVSNNGGGRGSASSNSTWSRVASQHDQLVSGSIVQMQQRVYVPGGGKKRSEEEIAAGMELEVFNQGMWKTELCNKWQETGTCPYGNHCQFAHGIGELRPVIRHPRYKTQACRMVLAGGVCPYGHRCHFRHSLTDQERLLLGPR >Potri.004G095100.2.v4.1 pep chromosome:Pop_tri_v4:4:8168767:8171275:-1 gene:Potri.004G095100.v4.1 transcript:Potri.004G095100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095100.v4.1 MEKTESPPSDSKTAAQSPPFDCHQANDHDQFASNFTSLYHSIFPPKPSQLPNSLSFTPSTTASPSSSSAADEISTENRLRQARLILEYQDLCDHYNLSLARLQTLTNELELIRRENADLRVTNSELVKLISLSSEAAVMQHQNRTFGNNRDVAFERRNNANNVERERVTLPKSISVRSSGFVKVNQAVSGNVSNNGGGRGSASSNSTWSRVASQHDQLVSGSMQQRVYVPGGGKKRSEEEIAAGMELEVFNQGMWKTELCNKWQETGTCPYGNHCQFAHGIGELRPVIRHPRYKTQACRMVLAGGVCPYGHRCHFRHSLTDQERLLLGPR >Potri.014G193100.1.v4.1 pep chromosome:Pop_tri_v4:14:17058727:17063800:1 gene:Potri.014G193100.v4.1 transcript:Potri.014G193100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193100.v4.1 MSWCEERNFWCNCLYWRKTAILLPPEPETFSLPSPLPDWSQGRGFASGRINLGKIEALKISRFEFIWSSNLLQDKKKGVSFYKPVGVPNGFYSLGHYCQFNNKPLWGFVLVVREVACFEPEAANSPTLLKPLDYTLVWSSDDESEEKYGGCGFFWLPQPPEGYKPLGFLVTNNPDKPDLDEVRCVRADLTDECEPYRLLLESYSKFLNLPVRVSSTRPSHRGVLGKGVSVGTFFCGYWTSEEELNIACLKNLNQLHAMPNLEQIHALMKHYGPTVFFHPNEVYLPSSVPWFLKNGALLYKAGDSSGEPIDAEGTNLPGGGTNDGAFWIDLPSDGKRNTVKQGSLESAKLYVHVKPALGGTFTDLAVWVFYPFNGPGTLKVGPLNISLGKIGQHVGDWEHFTLRICNFTGELWSIYFSQHSGGEWVDAYDLEYIEGNKAIVYSSKNGHASFPHPGCYIQGSTKLGIGIRNDAARSNLYVDSSTRYEIIAAEYLEGSDFIEPCWLQFMGKWGPTIVYGSRIELDKIINHLPVGFRYSVKNIFDGFPVELCGEEGPTGPKDKNNWVGDERG >Potri.019G097720.1.v4.1 pep chromosome:Pop_tri_v4:19:13500952:13501921:1 gene:Potri.019G097720.v4.1 transcript:Potri.019G097720.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097720.v4.1 MESLQHLYLSKTGIKEIPSSFKHMISLITLKLDGTPIKELPLSIKDKVCLEYLTLHGTPIKALPELPPSLRFLTTHDCASLETVISIINISSLWFRRDFTNCFKLDQKPLVAAMHLKIQSGEETPHGTIQMVLLGSEIPEWFGDKGIGSSLTIQLPSNCHLLKGIAFCLVFLLPLPSQDMPCEVDDDSYVHVYFDCHVKSKNGESDGGDEIVFGSQERRALLYLLETCDSDHMFLHYELGLVNHLRKYSGNEVTFKFYHEVYNQGRKLGHEIRKPFKLKNCGVYLHFDENLPADTDLP >Potri.002G209409.1.v4.1 pep chromosome:Pop_tri_v4:2:17609674:17620559:-1 gene:Potri.002G209409.v4.1 transcript:Potri.002G209409.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209409.v4.1 MISLGRRDAREDLGLGFGSEREMEDTELEEGEACSYHNINTNNDDDYDESIDPDTALSYIDEKLQDVLGHFQKDFEGGVSAENLGAKFGGYGSFLPTYQRSPVWSHPRTSPKIQHFNASRSPNHLQLEGGRHSSVSSSTASQSVRIEPSSTVLKTSSSLNESVKQEANVPSTQFAEEVVPRDESVNRKCASLPDQKMLKVRIKVGSDNLSTQKNAAIYSGLGLDVSPSSSLDDSPSESDEMSHEPQDARLESPAHILQIMTSFPVPGGLLLSPLPDDLIHLKEKEKLLKDSECLPVPRFGPENSCIVVNGSSSVKGDGTMFGEKKIKSIAGNEPSAESKSNVNKDSGNGGVISKETELDTFACEELVSNTLKLPLLSNSYSAVVGTSKGMRRASNVSKGVMSDKVFSGLTKEDSPVPILIQENGWINNSKSKSLGKVWEDKKTSTLCSESVSPKKDGDRKEEKPYESVKIDSNVSKGRKAPSQAPTEPPKQNADEKAMPYEQEGMKLPHVKESCSEGKKKLKGSQSHGNVVAEAPKESLRVGSSLSLKNKKISCADKHTTKGESEDLKLKKNSGKVGDRYREFFGDIELEQEEIQTSPLVKNYDDKLGDLEMVEKSTHGSNSMFKERSSSKKVDKLLTSEAFPKAASIGVVHNGDGPIPDTALGEDNWVCCDKCQKWRLLPPRTNPDDLPEKWLCSMLDWLPGMNRCSFSEDETTLATRSLKQNNSGGNISGVTMADVWNADQSHQNLDSHVGLRKKHGLKELPNIMYKEGGPIRLSNPAKKSLQVSATNGSLNDVKPSPLVTEPLSLKLSKSSHLAVEKLEHKPREKHRGLDICSDRGGGSKRSKGKGKRDLDQDSFKAAKKIRTEDLPEDWTSDHGGAIEKVGPTSSNALITTSSAKNLPKHNDCAFKNIKHDQKDWAQLSSRKTKDGVCTSLDNGSVDVVHCDDKDTKKRRVKESYDAQLYHVSLSNTGHHLQDSNILAKEELSGNDYRKGKKARVSRSEGKEASGSKSNGRTDKKGCHRKNQQQGHDLGSTLSQQSLDGVDSLKRDSGLLHLAATSSSSKVSSSHKTKANFHDAKGSPVESVSSSPMRVSKPEKLASARKNVTKQDDSADAGFFALGGPRRFSDREDDGGSDPSLDDKTQIEKHHLVDGSHPRKSGNGSSSWSKDKNRNFNSEFENEVKVSNSFNAQAPACEVKPTNCKNKAEVKLEIKSEENQNKHVDKDSAGHLLSDNSKRENQLNVGGPSCADVKVDATRNHDTVSTAKQSVEEPSSGRAQNETLADCPYPNHGSHEGNRANMLAVNAPAGDNELKGLKQNREVDHPNGMHHHHSSSRNASSNGHRVRDHDAPGAVKRDSFSQAANNALKEAKNLKHMADRLKNSGSNLESTRLYFEAALKFLHGASLLETCGGENAKNGEPMQVYSSTAKLCEFCAHEYEKSKDMAAAALAYKCMEVAYMRAIYSSHTSANRDRHELQMALQIIPPGESPSSSASDIDNLNNTTTPDKVPLTKGIGSPQVTGSHIIAARNRPNFVRLLRFAQDVNSAMEASRKSRLAFAAANASFREAPCGEGISSIKTALDFNFQDVEGLLRMVRLAIEAISR >Potri.002G229000.3.v4.1 pep chromosome:Pop_tri_v4:2:21899419:21908088:1 gene:Potri.002G229000.v4.1 transcript:Potri.002G229000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229000.v4.1 MEYKDKLKIAALTIASLAALSSVIFLVTSSSKHYRRRRRKQQQQQKQSSSCYLQSHQKPQLSFKRVLLDNSFSQFKHLNLHAASSSNFHPYEADIKALIENPESLEDYYSDHQKMSEFFSYVWIETETQLKDLAHTLSKHKVFAVDTEQHSLRSFLGFTALIQISTRNEDYLVDTIALHDVMGVLAPVFADPTICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSAEMLEYAQTDAHYLLYIAGCLIAELKLQDRDNSNCPNDKLDFVLEARRRSNMICLQLYAKEVEAFPGESAASSIFSRHLNGQRGSSISYETQDLVRCFCTWRDLMARVHDESLRYVLSDQAIVLLAVKVPTTPEEIFDTIAEADLNVENVNLNSSLPSPSPVVCSHLDDLYCLIKDKKSNADEVLLQILQNCLGPNGSCPLSVYNYALLINCDLIMKNRLVSKQSPVINSKQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLRRDLAKLVDDDALAITLLFEPKGRPEDEGNDFYIQSKKNICVGCGEGSHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHELAHAAAEKYKKQVAKEFGIPLFVRKVVDSKEIPVISESSSSVMNVEETGVSPLHLRTAAMALLRHGQRMPLKRREELTQIVMQYYGGREISEEDLERALLVGMSPHERRRFEKKRRFSSKHSTEVILLDKEQMGAAYTMAVSTTGNSLEKAVTKDGLETTEMESTGTKELDYFMVKDTISDKEMNSDENEASDTKDEYVGNDDDNCEGGPSNGTARNDESAPHKNNSKLSLLGHGPHGKQVVDHILEEYGEDGIRQFCQRWRQVFVEAVHPRFLPAGWDVMHSGRRDFGEFSVYNPTNKAPAANT >Potri.002G229000.5.v4.1 pep chromosome:Pop_tri_v4:2:21899395:21908080:1 gene:Potri.002G229000.v4.1 transcript:Potri.002G229000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229000.v4.1 MEYKDKLKIAALTIASLAALSSVIFLVTSSSKHYRRRRRKQQQQQKQSSSCYLQSHQKPQLSFKRVLLDNSFSQFKHLNLHASSSNFHPYEADIKALIENPESLEDYYSDHQKMSEFFSYVWIETETQLKDLAHTLSKHKVFAVDTEQHSLRSFLGFTALIQISTRNEDYLVDTIALHDVMGVLAPVFADPTICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSAEMLEYAQTDAHYLLYIAGCLIAELKLQDRDNSNCPNDKLDFVLEARRRSNMICLQLYAKEVEAFPGESAASSIFSRHLNGQRGSSISYETQDLVRCFCTWRDLMARVHDESLRYVLSDQAIVLLAVKVPTTPEEIFDTIAEADLNVENVNLNSSLPSPSPVVCSHLDDLYCLIKDKKSNADEVLLQILQNCLGPNGSCPLSVYNYALLINCDLIMKNRLVSKQSPVINSKQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLRRDLAKLVDDDALAITLLFEPKGRPEDEGNDFYIQSKKNICVGCGEGSHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHELAHAAAEKYKKQVAKEFGIPLFVRKVVDSKEIPVISESSSSVMNVEETGVSPLHLRTAAMALLRHGQRMPLKRREELTQIVMQYYGGREISEEDLERALLVGMSPHERRRFEKKRRFSSKHSTEVILLDKEQMGAAYTMAVSTTGNSLEKAVTKDGLETTEMESTGTKELDYFMVKDTISDKEMNSDENEASDTKDEYVGNDDDNCEGGPSNGTARNDESAPHKNNSKLSLLGHGPHGKQVVDHILEEYGEDGIRQFCQRWRQVFVEAVHPRFLPAGWDVMHRRDFGEFSVYNPTNKAPAANT >Potri.002G229000.1.v4.1 pep chromosome:Pop_tri_v4:2:21899433:21908259:1 gene:Potri.002G229000.v4.1 transcript:Potri.002G229000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229000.v4.1 MEYKDKLKIAALTIASLAALSSVIFLVTSSSKHYRRRRRKQQQQQKQSSSCYLQSHQKPQLSFKRVLLDNSFSQFKHLNLHASSSNFHPYEADIKALIENPESLEDYYSDHQKMSEFFSYVWIETETQLKDLAHTLSKHKVFAVDTEQHSLRSFLGFTALIQISTRNEDYLVDTIALHDVMGVLAPVFADPTICKVFHGADNDVLWLQRDFHIYVVNLFDTAKACEVLSKPQKSLAYLLETYCGVATNKLLQREDWRQRPLSAEMLEYAQTDAHYLLYIAGCLIAELKLQDRDNSNCPNDKLDFVLEARRRSNMICLQLYAKEVEAFPGESAASSIFSRHLNGQRGSSISYETQDLVRCFCTWRDLMARVHDESLRYVLSDQAIVLLAVKVPTTPEEIFDTIAEADLNVENVNLNSSLPSPSPVVCSHLDDLYCLIKDKKSNADEVLLQILQNCLGPNGSCPLSVYNYALLINCDLIMKNRLVSKQSPVINSKQVARKASRELFVQKFSCKSPVYHNCRIYANDGRLLCYCDRRKLEWYLRRDLAKLVDDDALAITLLFEPKGRPEDEGNDFYIQSKKNICVGCGEGSHYLRYRIIPSCYRMHFPEHLKSHRSHDIVLLCVDCHELAHAAAEKYKKQVAKEFGIPLFVRKVVDSKEIPVISESSSSVMNVEETGVSPLHLRTAAMALLRHGQRMPLKRREELTQIVMQYYGGREISEEDLERALLVGMSPHERRRFEKKRRFSSKHSTEVILLDKEQMGAAYTMAVSTTGNSLEKAVTKDGLETTEMESTGTKELDYFMVKDTISDKEMNSDENEASDTKDEYVGNDDDNCEGGPSNGTARNDESAPHKNNSKLSLLGHGPHGKQVVDHILEEYGEDGIRQFCQRWRQVFVEAVHPRFLPAGWDVMHSGRRDFGEFSVYNPTNKAPAANT >Potri.003G138200.1.v4.1 pep chromosome:Pop_tri_v4:3:15509131:15511395:1 gene:Potri.003G138200.v4.1 transcript:Potri.003G138200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138200.v4.1 MSSCYHSKSLTKQSSPLESQFTEKTTMDKIQGQEASPQVVIVPSPGMGHLIPFVELAKKLVHQHNFSVTFIIPNDGSPMKPHRQLLQALPKGVSSVFLPPVNFDDLPPDVLMETRITLSLTRSLDALRDSLKTLTDSTKVVALVVDFFGPFAFEIAKEFDVLPFVFFPTSAMLLSLSFHLPRLDETYSGEYKDMTEPVRLPGCVPVQGRDLVDPVQDKKDDAYKWILHLCKLYNSAAGIMINSFIDLEPGAFKALMEENNIGKPPVYPVGPLTQIGSTSGDVGESECLNWLDKQPKGSVLFVSFGSGGTLSHAQLNELSLGLEMSRQRFLWVVRSPHDEATNATYFGIRSSDDPLAFLPEGFLDRTKGVGLVVPSWAPQIQVLSHSSTGGFLTHCGWNSILESIVNGVPLIAWPLYAEQRMNSVLLADGLKVALRVKVNENGLVMKEDIANYARSIFEGEEGKSIKSKMNELKSAATRALSEDGSSTKSLAEVARIWKDHKK >Potri.003G138200.3.v4.1 pep chromosome:Pop_tri_v4:3:15509131:15511144:1 gene:Potri.003G138200.v4.1 transcript:Potri.003G138200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138200.v4.1 MSSCYHSKSLTKQSSPLESQFTEKTTMDKIQGQEASPQVVIVPSPGMGHLIPFVELAKKLVHQHNFSVTFIIPNDGSPMKPHRQLLQALPKGVSSVFLPPVNFDDLPPDVLMETRITLSLTRSLDALRDSLKTLTDSTKVVALVVDFFGPFAFEIAKEFDVLPFVFFPTSAMLLSLSFHLPRLDETYSGEYKDMTEPVRLPGCVPVQGRDLVDPVQDKKDDAYKWILHLCKLYNSAAGIMINSFIDLEPGAFKALMEENNIGKPPVYPVGPLTQIGSTSGDVGESECLNWLDKQPKGSVLFVSFGSGGTLSHAQLNELSLGLEMSRQRFLWVVRSPHDEATNATYFGIRSSDDPLAFLPEGFLDRTKGVGLVVPSWAPQIQVLSHSSTGGFLTHCGWNSILESIVNGVPLIAWPLYAEQRMNSVLLADGLKVALRVKVNENGLVMKEDIANYARSIFEGEEGKSIKSKMNELKSAATRALSEDGSSTKSLAEVARIWKDHKK >Potri.008G166500.3.v4.1 pep chromosome:Pop_tri_v4:8:11485241:11486574:-1 gene:Potri.008G166500.v4.1 transcript:Potri.008G166500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166500.v4.1 MSEALNRDYQICEEIGRGRFGIVFRCISRSTGDSFAVKSIVKSLVSGDSLEAQCLLTEPKTLHLLSPHPHIIQLHNLYEDETHLHMVIDLCSKQDLHDLIITNGVLSENEARVVFTQLMKAISHCHTYGIVHRDIKPENILFDEGNAIKVADFGSSEVVMEGEMVNGVVGTPYYVPPEVLLGKEYGEKVDVWSAGVVLYIMLTGFPPFYGETVVEIFEAVLRGNLRFSARVFQSASTSVKDLLRRMLCKDVSRRFSAEQVLRHPWVANAAGLT >Potri.002G109800.1.v4.1 pep chromosome:Pop_tri_v4:2:8206503:8210142:1 gene:Potri.002G109800.v4.1 transcript:Potri.002G109800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109800.v4.1 MAVASEESTSICSHCDRAIPSSNIDLHYAHCFRNLKKCKICGDMVPKKHAEEHFLNTHAPVACSLCSETMEREILAVHKGENCPQRIVTCEFCEFPLPAVDLAEHQEVCGNRTELCHMCNKYIRLRERYNHESRCTGLPDTTVESSRDVRAAERRQPQGPQRRQPQDFSRKRLLFTIAITGIAVLLGSFLFQKKTEDSQVH >Potri.002G109800.3.v4.1 pep chromosome:Pop_tri_v4:2:8206499:8210119:1 gene:Potri.002G109800.v4.1 transcript:Potri.002G109800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109800.v4.1 MAVASEESTSICSHCDRAIPSSNIDLHYAHCFRNLKKCKICGDMVPKKHAEEHFLNTHAPVACSLCSETMEREILAVHKGENCPQRIVTCEFCEFPLPAVDLAEHQEVCGNRTELCHMCNKYIRLRERYNHESRCTGLPDTTVESSRDVRAAERRQPQGPQRRQPQDFSRKRLLFTIAITGIAVLLGSFLFQKKTEDSQVH >Potri.002G109800.8.v4.1 pep chromosome:Pop_tri_v4:2:8206497:8210106:1 gene:Potri.002G109800.v4.1 transcript:Potri.002G109800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109800.v4.1 MAVASEESTSICSHCDRAIPSSNIDLHYAHCFRNLKKCKICGDMVPKKHAEEHFLNTHAPVACSLCSETMEREILAVHKGENCPQRIVTCEFCEFPLPAVDLAEHQEVCGNRTELCHMCNKYIRLRERYNHESRCTGLPDTTVESSRDVRAAERRQPQGPQRRQPQDFSRKRLLFTIAITGIAVLLGSFLFQKKTEDSQVH >Potri.001G386700.4.v4.1 pep chromosome:Pop_tri_v4:1:40566369:40575396:-1 gene:Potri.001G386700.v4.1 transcript:Potri.001G386700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386700.v4.1 MSVERSFEAWEEVQRHGQDFADRLAQGFTGLIQSHITYPSAFSWPNPPKSKLFDVEFPGHGFSKGDFGVLTDNSGINGFSAILDVGNRIGQAGADFGAALNGMVHQFFRRLPVPFKQDETGGVGVRMDGKSSEVGLGLEGELGLVSERLRDFGFVEDSGGGGGVDGLLDEEIGGLNLKGVGYPGKPQGTINITSTYDSRTHNIESSLVARGDLWRVEASHGSSTSENDNSSLFLVQLGPVLILRDSTFLLPVHLSKQHLLWYGYDRKSGMHSLCPAVWSKHRRWLLMSMLCLNPIACSFVDLQFPNGQLTYVSGEGLSTSAFAPLCGGLLQAQGQYPGEMRFSFSCKNKWGTRITPMVQWPDKSFTLGFAQSLAWRRSGLMVRPAAQFR >Potri.001G386700.1.v4.1 pep chromosome:Pop_tri_v4:1:40566383:40575396:-1 gene:Potri.001G386700.v4.1 transcript:Potri.001G386700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386700.v4.1 MSVERSFEAWEEVQRHGQDFADRLAQGFTGLIQSHITYPSAFSWPNPPKSKLFDVEFPGHGFSKGDFGVLTDNSGINGFSAILDVGNRIGQAGADFGAALNGMVHQFFRRLPVPFKQDETGGVGVRMDGKSSEVGLGLEGELGLVSERLRDFGFVEDSGGGGGVDGLLDEEIGGLNLKGVGYPGKPQGTINITSTYDSRTHNIESSLVARGDLWRVEASHGSSTSENDNSSLFLVQLGPVLILRDSTFLLPVHLSKQHLLWYGYDRKSGMHSLCPAVWSKHRRWLLMSMLCLNPIACSFVDLQFPNGQLTYVSGEGLSTSAFAPLCGGLLQAQGQYPGEMRFSFSCKNKWGTRITPMVQWPDKSFTLGFAQSLAWRRSGLMVRPAAQFSLCPTFGGSNPGLHAELIHSVNDHLNLICGCAATTHPSAFASLSIGRSKWNGNVGSSGFVLRLDAPLSNVGQPSFSVQINSGIEF >Potri.008G121700.1.v4.1 pep chromosome:Pop_tri_v4:8:7911786:7913265:-1 gene:Potri.008G121700.v4.1 transcript:Potri.008G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121700.v4.1 MEIPVINRISDFETGLASLQNPTFLSQILALSGVEKIHQAYSFWKWGALILALAASFTAIINRIKILIIRFKNHPFISSPSLISNQEDCDYESETDLSCSSSISLSDDEQEEESTSSSRSWWSINDHDQDFRVRGSGNRYIDDQCQGGNFRLRRRRNSSIGDFFSLTDFTNGKNVVKLWDNLGLSLGLNLNNSCDSRNAAVSFYDINKEQKICSIFGSTCDSIFAAVSTSPSVVVSAETNLSGHSSLSLWDTRVGFRVPEVFAELRPTLGKIVGVVVGGVEKVYVRDDVTGELTVGDMRKPSSPLVNVTESDVDTWWDADAVIVENECEKSV >Potri.002G060800.1.v4.1 pep chromosome:Pop_tri_v4:2:4197523:4199160:1 gene:Potri.002G060800.v4.1 transcript:Potri.002G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060800.v4.1 MEKQESKQASMLMWVLAAGLLSHNLAFPVLATTFEDQKNYYSPDPHSRSPPSGSHRTPSHGSGGSSVNPPSHSTPSTPSGGGYNPTPSVPSGGNCGTPPSVPTPSTPSNPPRGGGYHPTPPTTGGSPPTPVIVSPPTTPSITPGTPFTPTPPFIPDPNSPFSCNYWRTHPALIWGVLGWWGTMGNAFGVTRLPGFGTSMTLQQALSNTRTDGYGTLYREGTASLLNSMVSNRFPFTTRQVRENFVASLASNKAAGAQGHLFKLANEGRLKPRV >Potri.010G095400.1.v4.1 pep chromosome:Pop_tri_v4:10:11931434:11932925:-1 gene:Potri.010G095400.v4.1 transcript:Potri.010G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G095400.v4.1 MGNCQTIDAAALVIQHPSGKIERLYCPVSVSEVMRMNPGHYVSLIIPLPVSGDQENQDHVKTVQFTRVKLLRPSNTLALGHAYRLVTTQEVMKVLQAKKYAKLKGQQQPESVDKNKSQLQVAPEKKQSTDCETRKKSNIEKDYRVSKHERHRHRMPSINSASLRSKSWRPSLQSISEAGS >Potri.001G024000.1.v4.1 pep chromosome:Pop_tri_v4:1:1828859:1830674:1 gene:Potri.001G024000.v4.1 transcript:Potri.001G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024000.v4.1 MEGSIAKELYSESLQLSSAELGSEPIAFGDLQDDGSLWDVSDEELDRDSDLDREWQRRHDQFHTIGYRDGLISGKEASAQEGFNNGFKQSVLAGYNWGVARGVTSALACLPDALKERLIEDQEKRNKFQGVYETVHSVSTTDALKFFHDDVLRKKEEEQSEHSKASSNVSGMSKDSSGCSHLENYVGELQSLLLESPAIKSHLSVK >Potri.005G230100.1.v4.1 pep chromosome:Pop_tri_v4:5:22946859:22950958:-1 gene:Potri.005G230100.v4.1 transcript:Potri.005G230100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:JMT1 MEVMQVLHMNKGDDENSYAKNSKVQSKIISLGKRINEEAIMQMLCSNIPDIMGIADLGCSSGPNSLSVISEITDIIYAKCRELGRPTPELKVFLNDLPHNDFNFIFGSLPAFYDKLKKEKGSDFGPCFVSATPGSFYGRLFPSRSLHCVHSSSSLHWLSQVPAGLESNARTAMNKGKIYISKSSSLCVLEAYSLQFQKDFSSFLKSRSKEIVPGGCMLLSFMGRRSTDPTTDESCYHWELLAQALMSMVSEGLVEKEKVDSFNAPYYGPCVEEMRLEIEKDGSFSVNRLETFEIDWDGGVDDVDTTSGAALRGQRVAKTIRAVVESMLESHFGKDIMDELFRRYGEMVEGYLSKTGTKYTNLVISMVRN >Potri.015G055500.5.v4.1 pep chromosome:Pop_tri_v4:15:7651278:7655097:-1 gene:Potri.015G055500.v4.1 transcript:Potri.015G055500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055500.v4.1 MEGSESEAVFESLNLNPQLFINETLNTVDDLLDDAFHFFHQEASTLLKTDGTSRSQFISEGIAYVRDMIQSDLDTRLGMWEKYCLHHVFAVPAGFSLPQTESPAETFTCQDLLSDPDLDAQLDILRNRLTMVGKESSELNHELQALERQSASSDHCAELVSEALLLYDQASTQDMYQDMLSTMSELHEKMEKLRTRKVENLECIRAKRINDPNRDLLASNYRKGLPNATVEDIQEFMADLKHM >Potri.007G039000.2.v4.1 pep chromosome:Pop_tri_v4:7:3168459:3173537:1 gene:Potri.007G039000.v4.1 transcript:Potri.007G039000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039000.v4.1 MAGKIAVSAVAGAGFEIIFGDFLKMVLKAQKNNSQFEPSLKRLEEMLKDMSPNIKKIESFNAELDQPKQLERLKGLVTKGNDLVNKCSKIQKYNYLKRPIYNKKLIKLEKDIRDHISSVLQLQQVADTKEILHTQNSNLVAVKDVSYGVRQLNDQIGKLSMTLSNGSRVDSSKSYSNTILAGVCSPPLLKVDPVGLKIPLSDLEIKLLNDETSQHIVLSAPGGCGKTTLATALCQHGNVKDKFKSNIFFINVSKLRNLLVIVKTIFQHKEFELPDFRSEEDAANHLERLFQQIGPDPILLVLDDVWPVSKYILDKLKFRIENYKILVTSRYEFPSFGSTYKLKTLNLADAMTLFQKLAFPLDQQSYAPDQQILEEMVKCCRGFPLVISVVGKSLCRKSAAEWRKRVRECSKAVSILSHDEILDCLQSSVEAFNDDVVAKECFMDLGSFPEDQRIPATTLIDMWAELYNLDEDDAIANLHELSDRNLIEVVVTRKDANEDDGSYNEHFVMQHDLLRELAIRGSNSGNIEHRKRVLLEIIENKIPEWLMEQDQLSIRANLLSISTDETFSSSWSTMQAPEVEVLLLNFQTQKYSLPEFIERMNKLKVLVLHNYWVRSSRIEQFPTSWFFIKSKENKTGKGFHPIPFLDFNEMEEARKDVLGYVQY >Potri.005G198100.1.v4.1 pep chromosome:Pop_tri_v4:5:20448240:20449061:-1 gene:Potri.005G198100.v4.1 transcript:Potri.005G198100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198100.v4.1 MQKQLQISLFKLLLTHPFEHSPKPTNTLSHKYLTLISSQLVPNSVESPNPKFLHAPISNLHTFTHPFRLSRRNRSPTGHTRRRHSTESGSGSVPELDVNKEVDMINLKFAEAREEIEMAMESKETVYFDEEAECARAAVKEVMDMFEGLLGKLQESKKAALQRSMGLKMEQLKAELQQLND >Potri.010G150750.3.v4.1 pep chromosome:Pop_tri_v4:10:16097408:16099636:-1 gene:Potri.010G150750.v4.1 transcript:Potri.010G150750.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150750.v4.1 MISQDQNKLFSDFICLRKEISTELPIPFFPFSNIAQEQILYKPLAKSSITPTKSWNGSRVEANTNSENLLGLQVRNRINNGTIRPSSFPFVGEHHVKLQHVSPDICSLAAEDLMSENLFSSI >Potri.010G150750.2.v4.1 pep chromosome:Pop_tri_v4:10:16097426:16099630:-1 gene:Potri.010G150750.v4.1 transcript:Potri.010G150750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150750.v4.1 MISQDQNKLFSDFICLRKEISTELPIPFFPFSNIAQEQILYKPLAKSSITPTKSWNGSRVEANTNSENLLGLQVRNRINNGTIRPSSFPFVGEHHVKLQHVSPDICSLAAEDLMMMTSPTHRSQKCKWAKLRITFFFFFYKLQFSILYDQPRS >Potri.010G150750.1.v4.1 pep chromosome:Pop_tri_v4:10:16099096:16099464:-1 gene:Potri.010G150750.v4.1 transcript:Potri.010G150750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150750.v4.1 MISQDQNKLFSDFICLRKEISTELPIPFFPFSNIAQEQILYKPLAKSSITPTKSWNGSRVEANTNSENLLGLQVRNRINNGTIRPSSFPFVGEHHVKLQHVSPDICSLAAEDLMSENLFSSI >Potri.007G091900.3.v4.1 pep chromosome:Pop_tri_v4:7:11754566:11756153:1 gene:Potri.007G091900.v4.1 transcript:Potri.007G091900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091900.v4.1 MLEVETNEDQVPKKKSTNMSSKNQTKLAKPSLSTKNQTKLIKTGSLSTKNQTKITKSTNSTKATPTPSNSTSQLKKLNSTSQLKKLNSTSKAAANSAPTKKTSDLLKLGPSTNKTTKPASTKQTPSLVDKKVGDTESQKQNKNQKQTNPKKTPQTKKQQSWLDQDDEDDLVAEFRDLPSKFHQTILPDLERLSITSKKYLTQANKDLTKGFKPIVGSKYASTIASTVSFAFILIPLLLVSLVFNRIKAYFSIQKILIFIQVYLSIYFTILCLSALVTGLEPLKFFYATSQSNYVCLMVFQTLGYVLYLLLLLMYLILVFSAECGMGSKLLGLGQTLVGFAIGLHYYVAVFHRVVLHQPPKTNWKIHGIYATCFLVICLFANAERRKKAYLEEGGEEGKKN >Potri.007G091900.1.v4.1 pep chromosome:Pop_tri_v4:7:11754279:11756084:1 gene:Potri.007G091900.v4.1 transcript:Potri.007G091900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091900.v4.1 MAPLKYLICFSLRQVLLLFLVLFLFTFLLPCASSDSDQTQLEAKQFLVRRRMLEVETNEDQVPKKKSTNMSSKNQTKLAKPSLSTKNQTKLIKTGSLSTKNQTKITKSTNSTKATPTPSNSTSQLKKLNSTSQLKKLNSTSKAAANSAPTKKTSDLLKLGPSTNKTTKPASTKQTPSLVDKKVGDTESQKQNKNQKQTNPKKTPQTKKQQSWLDQDDEDDLVAEFRDLPSKFHQTILPDLERLSITSKKYLTQANKDLTKGFKPIVGSKYASTIASTVSFAFILIPLLLVSLVFNRIKAYFSIQKILIFIQVYLSIYFTILCLSALVTGLEPLKFFYATSQSNYVCLMVFQTLGYVLYLLLLLMYLILVFSAECGMGSKLLGLGQTLVGFAIGLHYYVAVFHRVVLHQPPKTNWKIHGIYATCFLVICLFANAERRKKAYLEEGGEEGKKN >Potri.018G028800.1.v4.1 pep chromosome:Pop_tri_v4:18:2126459:2128934:1 gene:Potri.018G028800.v4.1 transcript:Potri.018G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028800.v4.1 MGILLFLICIFLNEAALYQASLDPSVKETSFSYPSFDADSCNTTLICMGSVTVGDRRLKLTPEPLPVDLNSTQPVLKDQIGRVLFHQPVIAWPAYINTTFTVRIFTFPNTTTNGDGMTFIMAQNNSPSPPDSKGSYLGVLDKSTEGGVTRQIAVELDTYPNEFDPDGNHMGIDTTSITNPVVAKSLNRTGIYLTSGRDITVQIVYDSSTEELQIFVAYAGNPLVSFLNQSIDMSKWVPNSVYVGFTAATGPFSEAHEVLNWTFQSTSLPDLKKASEKENRKRFFLTVAIVVAGLGVLILFTLPLALRDLRKRKERSKRRAEIESRSMRAANAPKMFTYRQLSKATLKFSKENLLGTGGFGSVYKGVISSDPPMILAVKKISATSRQGEKEYLAEICTIGRMRHKNIVQLQGWCHEREKLLLVYEYMPNGSLDCYIGRRFLDWNTRYKILTGLASALLYLHEQCGHPIVHRDVKPNNVMLDSDFNAHLGDFGLARLLRSDSAVTTMLAGTPGYLAPEVAYTGKAAPESDVYSFGMVVIEVVTGQRSRGIFEENSLLDYVWSLHGRKTLLKGVDRKLEGKYDEQQVKRTLLVGLACLHPDTKSRPTIRKVEQIFLNPDEPLMEVPESRPNAIFVPLSSSASTTRSATDFGSKSDDLLLQSTPEEMVLDEIVVHHEDSDI >Potri.009G011900.1.v4.1 pep chromosome:Pop_tri_v4:9:2213078:2218877:1 gene:Potri.009G011900.v4.1 transcript:Potri.009G011900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011900.v4.1 MAGGSAGRSLEQTPTWAVAVVCFVLVSVSIIIEFIIHLIAKWLTKKRKKALYEALEKIKSELMLLGFISLLLTVGQSPISNICISKKAGATWHPCNKKEEAKLNGTENIKETDYENRRKLLSISDSAGESLRRVLAAGESTDKCAAKGKVPFVSSDGIHQLHIFIFVLAIFHILYCILTMALGRAKMKSWKRWEKETRTAEYQFSHDPERFRFARETSFGRRHLSFWTKTPVLIWIVCFFRQFVRSVPKVDYLTLRHGFIMAHLAPQSHTKFDFQKYINRSLEEDFKVVVGISPPIWFFAVIFLLFNTHGWYSYLWLPFIPLIIIVLVGTKLQVIITKMGLRIQERGEVVKGVPVVQPGDDLFWFNRPRLILYLINFVLFQNAFQLAFFAWSWYEFGIKSCFHEHVEDIVIRVSMGVLIEILCSYVTLPLYALVTQMGSSMKPTIFNERVATALRNWHHTAKKQIKRNKGSVSVTPMSSRPTTPSRHTSPIHLLRHYRGEIDSLHTSPGRSNFDVEHWETESPSPSHHPNYAGEGSSSHRHQQQLELASVAGYVEDDKDIHDMETGLNRDQVAATELPQPPTTHHEIDIVKKEFSFDRRTSK >Potri.010G048300.11.v4.1 pep chromosome:Pop_tri_v4:10:8034165:8039661:-1 gene:Potri.010G048300.v4.1 transcript:Potri.010G048300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048300.v4.1 MRIQLLSSLFLFSFSSYRYRVSSQPEHASFPPRGWNSYDSFDWILSEVDFLQSAEKISQRLQPYGYEYAVIDYLWYRKNVPGAYPNSLGFDVIDEWGRLIPDPDRWPSSEDGKGFTEVAQKVQSVGLKLGIHVMRGLSRQAYDANTPILDTTTGRAYEESGRLWRAKDIGITERACGWMPHGFMSVNTKLGAGRAFLRSLYEQYAEWGVDFVKHDCVFGNDLDVDEITFVSEVLQKLSRPILYSVSPGVIPTPVMEKDISGLVNMYRVTGDDWDTWGDVAAHFDVSRDFAAANKIGAKGLLGRSWPDLDMLPIGWLTDPGSGRGPYRMSNLNLDEQKTQMTLWAMARSPIMFGGDVRRLDETTSNLITNPFILEINSFSTNNMEFPFVTGTKVSAHKTTNHSRRLRRSLKEVGTSHTQFLGFTSCNHPKVNGWSIKALDQDLDQICWKESMRSHEPLCLYKRILTSDGRLISNQGGFHLLASHEMEFCLDASPRKKRTSKEFNSGSFSPCRSDANQMWELSNNGSLISSYSGLCVTVKSIDGIMLEVEADFLYIR >Potri.010G048300.1.v4.1 pep chromosome:Pop_tri_v4:10:8034044:8039748:-1 gene:Potri.010G048300.v4.1 transcript:Potri.010G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048300.v4.1 MRIQLLSSLFLFSFSSYRYRVSSQPEHASFPPRGWNSYDSFDWILSEVDFLQSAEKISQRLQPYGYEYAVIDYLWYRKNVPGAYPNSLGFDVIDEWGRLIPDPDRWPSSEDGKGFTEVAQKVQSVGLKLGIHVMRGLSRQAYDANTPILDTTTGRAYEESGRLWRAKDIGITERACGWMPHGFMSVNTKLGAGRAFLRSLYEQYAEWGVDFVKHDCVFGNDLDVDEITFVSEVLQKLSRPILYSVSPGVIPTPVMEKDISGLVNMYRVTGDDWDTWGDVAAHFDVSRDFAAANKIGAKGLLGRSWPDLDMLPIGWLTDPGSGRGPYRMSNLNLDEQKTQMTLWAMARSPIMFGGDVRRLDETTSNLITNPFILEINSFSTNNMEFPFVTGTKVSAHKTTNHSRRLRRSLKEVGTSHTQFLGFTSCNHPKVNGWSIKALDQDLDQICWKESMRSHEPLCLYKRILTSDGRLISNQGGFHLLASHEMEFCLDASPRKKRTSKEFNSGSFSPCRSDANQMWELSNNGSLISSYSGLCVTVKSIDANVGNSGGVRSWIATGRKGEIYVALFNLNSEKTVISATISDLTKALPGKNLNATSCFGREVWSGKDFGEIKDSISMEVEMHGCALFVLNCP >Potri.017G096500.1.v4.1 pep chromosome:Pop_tri_v4:17:11001141:11001871:1 gene:Potri.017G096500.v4.1 transcript:Potri.017G096500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096500.v4.1 MRPNVSIEFLWWLSLTILLVSVITSTSTAAFLESNLSPIFNATIGEGNEEEFSMESEVHQRLLASQGNYIKYRSLERQPVCNAQIYGNCAKPANGNSRPCTYYNRCKHSS >Potri.008G055200.1.v4.1 pep chromosome:Pop_tri_v4:8:3252734:3254823:-1 gene:Potri.008G055200.v4.1 transcript:Potri.008G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055200.v4.1 MGLTKIKHPSHSQHCLLLKYPQEPYQCNGCMGIGFGPCYECEHEDCSFYLHEECANAAPSTSHPFSKCSLKFHDKVPQEGERYCDACGEDVSGFVYQCKHKNPHDFHPRCLKLPRTLTTEDGLMLQLRKKLPSKCLYCGSKETSNRIQGWCYVSSSDQYCYHIACVKNMILEKWRKGYFIQDGNVKASYLALQTFNPSREIVLPSGKSSSKAKQIWSTAKTVIMLIISALFGEPTTLISVLVQQLLSS >Potri.006G234600.1.v4.1 pep chromosome:Pop_tri_v4:6:23724092:23727800:1 gene:Potri.006G234600.v4.1 transcript:Potri.006G234600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234600.v4.1 MHSFVYRANVLLTFALTILALMCAIASFSDNFNFPSPSAEIQIVKFNGFQKKPPGNDEVSLTMNITADLQSLFTWNTKQLFIFVAAEYETPQNSLNQVSLWDAIIPAKEHAKFWIQTKNKYRFVDQGSNLRGKEFNLTLHWHVMPKTGKMFADKLVLSGFRLPEEHRRG >Potri.002G144000.2.v4.1 pep chromosome:Pop_tri_v4:2:10855582:10858817:1 gene:Potri.002G144000.v4.1 transcript:Potri.002G144000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144000.v4.1 MSTDELLSIEPQELQFPFELRKQISCSLRLLNKSDYYVAFKIKTTNPKKYCVRPNTGVVLPRSTCDVIVTMQAQKEMPPDMQCKDKFLLQSVITSPGATPKDITPEMFNKEAGHEVEECKLRVAYVAPPRPPSPVHEGSEEGSSPRVSVSDNGNLSSSEQTAVSRSYVQGPEPEENSSEERALISKLTEERNSAIQEKKQLQQELELLRHHPSKSRGGIPFTYIILVGLVGIILGYLMKRT >Potri.019G016500.1.v4.1 pep chromosome:Pop_tri_v4:19:2680127:2684816:1 gene:Potri.019G016500.v4.1 transcript:Potri.019G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016500.v4.1 MLVQFKLEHHSEFESYSRQVEELKENVKDMLMASKKDPVEHIEFINLLCRLGVSYHFDKEIENNLKEIFADLPNLLEKHDFDLYTLSLLFRVFRQHGFKMPCVVFDKFKDTKGEFKETIINDVKGILGLYEASFLSVHGEQVLDEALVFTKANLESSAMQSSPRLADHIRNALIRPFHKGVPRIEARKYISFYEEDESRNDTLLKFAKIDFNRVQLIHRQELSILSRWWNDLNFAEEFPYARDRIVEIYFWANGVHFEPQYAFSRMMVTKYMKIVSLVDDTYDAYASFEEIQHFSNAIERCSMNAIDQLPADYMKVLYRALLSLFNDTENDMGKQGRSYASYYLKEEFKELVRGYHAEAEWADKCHVPTFDEYVRNGLATSGYGVIMAASFLGMEEVAGGEEYEWLKSNPKIIKAGKMIGRLMNDIVGHEDEQKRGDCASGVECYMKQYDVSEKKAIEEIQKMDVNAWKDINEDCMRPTNAPMLLLQHFVNLVRVTDVIYENDDDSYTIPLGLKDYVALLYIEQLPLYE >Potri.002G040100.1.v4.1 pep chromosome:Pop_tri_v4:2:2629070:2632656:1 gene:Potri.002G040100.v4.1 transcript:Potri.002G040100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040100.v4.1 MNSSSLHSPIPSSKSKRPKPDSESTAATPKSKPSSNPTIATQTPTQPSQVPPRLRSRRVALSLKEVRQIASQDLGTSQSKSARRQIASWPEDSTTTTSKLHKPRKNQTRNGLTKIPDKYEMLGELFDNLDSSIRLLRMKGSMSTFSNISPKIESLTDRRFTHKHLAQLKYIMPEAIEIKRVLRFNEQTSCMKPELHVTVNADAIECDDGKLKSESKNIYLRKVFRSRLADFYRDHPQGDDIPEEMLPEPFNRLSLLKETTAVEEEQPIVASLLPQSFQRRFSQKGTKVEAENALQKPVSSAFEPCPNKISLNEEISCSAPSPAEVSLKPTCDQNFSSATPSKEKDSMNEVFDSPIKMASVQSTPAKLASTPATLISTTPALHPHKRCMSSCDDDSFSSPDKLVRRPPSRSLIFETPVKHAKDEQKEDVSDDDNILKILPESLLQSIREKEQKAKEERDPAISQAKRRRQMIACLPKLFNKIHFLFQSIKQSVLTKEELIHKIIASHSDIADRREVEEQLNLLLELVPEWISEKLASSGDLLFRINKLYSPETVRAQLEEAN >Potri.016G094201.1.v4.1 pep chromosome:Pop_tri_v4:16:8463373:8464215:1 gene:Potri.016G094201.v4.1 transcript:Potri.016G094201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G094201.v4.1 MQTRKTLSWIKEEITRSISVSLMIYIITGAYISNAYPIFAQQGYENPREATGRIVCANCHLANKPVGIEVPQAVLPDIVFEAVVRIPYDMQLKQVLANGKKGALNVGVVLILPEGFELAPPDRISPEMKEKIGNLSFQSYRPAKKNILVIGPVPSKKYSEITFPILSPDPAAKKDAHFLKYPIYVGGNRGRGQIYPDGSKSNNTVYNATAAGIVSKIIRKEKGGYEITITDAPEGRQVIDSIPPGPELLVSEGESIKLDQPLTSNPNVGGFGQGDAEIVL >Potri.002G131500.1.v4.1 pep chromosome:Pop_tri_v4:2:9956496:9957146:-1 gene:Potri.002G131500.v4.1 transcript:Potri.002G131500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G131500.v4.1 MLQTGPLKSTFKANIFQRAKKVACMMRCRIILCTAVSLALLTVLLLALISPVPHDKNQSDNSTRPRPRPWLALSLYIQQPQISSSDVQPAVRSEAGAFIFHRKLTEGLENTSRVIGKAQGFIIPIEHFAYSGFNIIYLTFDTPDYSGSLSVQAKHVEHKDREELTVVGGTGSFAFARGLAVFAQTDPQPTDVGGTYHVKLQLQLRFPDRSHTNIPG >Potri.001G082200.2.v4.1 pep chromosome:Pop_tri_v4:1:6517020:6519225:1 gene:Potri.001G082200.v4.1 transcript:Potri.001G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082200.v4.1 MNLLENFDNNMIFVENLDDNKVFLYHGDNTMDLLGNTDRLEDDLWEAERSYFQHSNLNYPPPIQNNMPLHGMYFPCHPRNFQAEVYGLTRQHQTAQDVEVIDDDIAIISPSMFDQQARKKARRNTDAVRENINIVNQARSVLQFHGLLSQPVPSPQLPGLCQTMPPPQFPGFSQTEPPAQVSGLSQAVPPPAAPAFRCPICMDELQEATSTKCGHVFCKNCIKKALAVQKKCPTCRMKCRAKSIYRIFLPTVL >Potri.003G115500.5.v4.1 pep chromosome:Pop_tri_v4:3:13715492:13722138:1 gene:Potri.003G115500.v4.1 transcript:Potri.003G115500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115500.v4.1 MSKDRKTTELEEGWEYMQNGISKLKGILDGSLEQFSSEEYMMLYTTIYNMCTQKPPNDYSQQLYDKYKEAFQVYINSTVLPSIREKHDEFMLRELVKRWVNHKIMVRWLSRFFNYLDRYFIARRSLPPLNEVGLTCFRDLVYQEVHSQAKDAVLDVIGKERDGEQIDRALLKNVLDIYVEIGMSQMDHYADDFEAHMLQGTGAYYSVKAANWIREDSCPDYMIKAEECLKRERDRVSHYLHSSSEIKLVEKVQHELLVVNANQLLEKEHSGVRALLRDDKVEDLSRMFRLYHKVTRGLEPVSNVFKQHITAEGTALIQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMTYVTACFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDTILRKGGSEKLSDEAIEETLEKVVKLLAFISDKDLFAEFYRKKLARRLLFDRSANDEHERSILSKLKQQCGGQFTSKMEGMVTDLQLAKEHQSSFDEYLANNPSTRPGIDLQVNVLTTGYWPTYKSSDINLPAEMARGVEVFKEFYDLKSKHRKLTWIYSLGSCHINAKFDQKTIELVVTTYQACLLMLFNTSDKLSYSEIMTQSNLSDDDLPRLLHSLSCGKYKILSKEPNTKTVNQNDYFEFNHKFNDRMRRIKVPLPLVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVLECVEQLNLMFKPDIKAIKKRIEDLISRDYLERDKENPNMFKYLA >Potri.003G115500.4.v4.1 pep chromosome:Pop_tri_v4:3:13715463:13722155:1 gene:Potri.003G115500.v4.1 transcript:Potri.003G115500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115500.v4.1 MSKDRKTTELEEGWEYMQNGISKLKGILDGSLEQFSSEEYMMLYTTIYNMCTQKPPNDYSQQLYDKYKEAFQVYINSTVLPSIREKHDEFMLRELVKRWVNHKIMVRWLSRFFNYLDRYFIARRSLPPLNEVGLTCFRDLVYQEVHSQAKDAVLDVIGKERDGEQIDRALLKNVLDIYVEIGMSQMDHYADDFEAHMLQGTGAYYSVKAANWIREDSCPDYMIKAEECLKRERDRVSHYLHSSSEIKLVEKVQHELLVVNANQLLEKEHSGVRALLRDDKVEDLSRMFRLYHKVTRGLEPVSNVFKQHITAEGTALIQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMTYVTACFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDTILRKGGSEKLSDEAIEETLEKVVKLLAFISDKDLFAEFYRKKLARRLLFDRSANDEHERSILSKLKQQCGGQFTSKMEGMVTDLQLAKEHQSSFDEYLANNPSTRPGIDLQVNVLTTGYWPTYKSSDINLPAEMARGVEVFKEFYDLKSKHRKLTWIYSLGSCHINAKFDQKTIELVVTTYQACLLMLFNTSDKLSYSEIMTQSNLSDDDLPRLLHSLSCGKYKILSKEPNTKTVNQNDYFEFNHKFNDRMRRIKVPLPLVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVLECVEQLNLMFKPDIKAIKKRIEDLISRDYLERDKENPNMFKYLA >Potri.003G115500.3.v4.1 pep chromosome:Pop_tri_v4:3:13715470:13722168:1 gene:Potri.003G115500.v4.1 transcript:Potri.003G115500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115500.v4.1 MSKDRKTTELEEGWEYMQNGISKLKGILDGSLEQFSSEEYMMLYTTIYNMCTQKPPNDYSQQLYDKYKEAFQVYINSTVLPSIREKHDEFMLRELVKRWVNHKIMVRWLSRFFNYLDRYFIARRSLPPLNEVGLTCFRDLVYQEVHSQAKDAVLDVIGKERDGEQIDRALLKNVLDIYVEIGMSQMDHYADDFEAHMLQGTGAYYSVKAANWIREDSCPDYMIKAEECLKRERDRVSHYLHSSSEIKLVEKVQHELLVVNANQLLEKEHSGVRALLRDDKVEDLSRMFRLYHKVTRGLEPVSNVFKQHITAEGTALIQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMTYVTACFQNHTLFHKAMKEAFEIFCNKTVAGSSSAELLATFCDTILRKGGSEKLSDEAIEETLEKVVKLLAFISDKDLFAEFYRKKLARRLLFDRSANDEHERSILSKLKQQCGGQFTSKMEGMVTDLQLAKEHQSSFDEYLANNPSTRPGIDLQVNVLTTGYWPTYKSSDINLPAEMARGVEVFKEFYDLKSKHRKLTWIYSLGSCHINAKFDQKTIELVVTTYQACLLMLFNTSDKLSYSEIMTQSNLSDDDLPRLLHSLSCGKYKILSKEPNTKTVNQNDYFEFNHKFNDRMRRIKVPLPLVDERKKVVEDVDKDRRYAIDAAIVRIMKSRKVLGHQQLVLECVEQLNLMFKPDIKAIKKRIEDLISRDYLERDKENPNMFKYLA >Potri.013G013500.1.v4.1 pep chromosome:Pop_tri_v4:13:883578:885441:1 gene:Potri.013G013500.v4.1 transcript:Potri.013G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013500.v4.1 MEGISHRMVKVNDIDMHIAEKGQGPVVLFLHGFPELWYSWRHQILALSSLGYHAVAPDLRGYGDTEAPASISSYSCLHIVGDLIALIDYLGVEQVFLVAHDWGALIGWYLCLFRPDRVKAYVCLSVPYRPRNPKMKPVESMKLVFGEDYYMCRFQEPGVIEAEIACAGTEEVLKKILTDRKPGPPCLPKENPFGIYPEESVTMPSWLPEADLSFYATKYSQKGFTGGLNYYRALDLNWELTASWTGAPVIVPVKFVVGDLDMVYTTPGMKEFVNSGGFKHYVPLLEEVVVMEGVGHFINQEKAEEISNHIYDYISKY >Potri.012G136900.1.v4.1 pep chromosome:Pop_tri_v4:12:15065612:15067852:-1 gene:Potri.012G136900.v4.1 transcript:Potri.012G136900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G136900.v4.1 MADEDYNDVDMGYEDEPPEPEIEEGVEEDADNDNNEDDKGEPIETEDKEEQEPVERPRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Potri.018G107700.2.v4.1 pep chromosome:Pop_tri_v4:18:12628695:12632252:-1 gene:Potri.018G107700.v4.1 transcript:Potri.018G107700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107700.v4.1 MRSTRTVQAVAVPAAPSFADSAEFWKHLAESYGFRKIGEPLPGNVTLKDILDTLPKRVFEIDNVKAWKAVLKTVTSYALGLFMISKAPWYLLPFAWAWTGTAATGVFVIGHDCAHKTFSKNKLVEDIVGTLAFLPLIYPYEPWRFKHDWHHARTNMLVEDTAWHPVREEEFDSSPVLRKAIIFGYGPFRPWMSIAHWLIWHFDLKKFRPNQIQRVKISWACVFGFMAIGWPLIIYKAGIMGWIKFWLMPWLGYHFCMSTFTMMHHTAPHIPFKSSDEWNAAQAQLNGTIHCDYPCWIEILCHDINVHIPHHVSARIPHYNLRVAHESLQENWGKYMNEATWNCVW >Potri.001G450800.1.v4.1 pep chromosome:Pop_tri_v4:1:47702618:47705775:1 gene:Potri.001G450800.v4.1 transcript:Potri.001G450800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450800.v4.1 MASSSSLSTHYHFISPLSPSSKNNANIKLSSSLFASPISLSSNPNISLQFFDKKHSPLLSTAPRRLSVIAMAPPKPGGKAKKVIGLIKLALEAGKATPAPPVGPALGSKGVNIMAFCKDYNARTADKAGYVIPVEITVYDDKSFTFILKTPPASVLLLKAAGVEKGSKDPKMEKVGKVTIDQLRTIATEKLPDLNCTTIESAMRIIAGTAANMGIDVDPPILEPKKKVVL >Potri.009G124600.2.v4.1 pep chromosome:Pop_tri_v4:9:10382981:10385621:1 gene:Potri.009G124600.v4.1 transcript:Potri.009G124600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124600.v4.1 MSVAAAGYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYEDADLLRARFENNKHVEDPDTIDRMISDGEAQYNKWRHPDPYIVPWAPGGSKFTRNPTPPEGIEIVYNYGREEND >Potri.009G124600.3.v4.1 pep chromosome:Pop_tri_v4:9:10382981:10385628:1 gene:Potri.009G124600.v4.1 transcript:Potri.009G124600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124600.v4.1 MSVAAAGYLARRAAQKERVRILYRRALKDTLNWAVHRHLFYEDADLLRARFENNKHVEDPDTIDRMISDGEAQYNKWRHPDPYIVPWAPGGSKFTRNPTPPEGIEIVYNYGREEND >Potri.017G016700.1.v4.1 pep chromosome:Pop_tri_v4:17:1233427:1235777:1 gene:Potri.017G016700.v4.1 transcript:Potri.017G016700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016700.v4.1 MTWCNDCNDVQTIERSSPPPCNASVIAQRHKECLIRSCPSCGHQIKCQDQARIHDLPGLPAGVKFDPTDQELLEHLEGKVKSDTRKVHPLIDEFIPTIDGENGICYTHPEKLPGVSKDGLIRHFFHRPSKAYTTGTRKRRKVHTDTEGGETRWHKTGKTRPVLVGGKVKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSLIKDSVSVPSKLKVQSSGHEGSNLKNSTTLVEYYHPSSFISFDQSGQNRSTNPNPPQQLLSHFAVHDGSSFIP >Potri.017G002200.1.v4.1 pep chromosome:Pop_tri_v4:17:128323:130647:-1 gene:Potri.017G002200.v4.1 transcript:Potri.017G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002200.v4.1 MNQSSSKTHNQKCPSAALPEQPTKTRRRKKQQHHQPSFRRNPLQDLNNGGIDTTSIDNSSNASSLSSIEAPRGCLRFLLSHSSSSSSSAKTPFSSSTSNNQRLTKVKPSRTPKSAPSMRPTKEKPISKKVEKEKRNHPPCLYQWQSGKKRASSRNEVGGSKVSSFLESSSSLVKNKLKSGPGELKRVMIDGVCEGSGANLTPLCKVGSGSGLNLGVGGKVMNDDCYEKSSNGKAESNSTSSNTKTPPVQPSVSPEIQCGSSMKLMTVETLTPATCYGAGHVVSGVTDKRKCRPRGILAGGEAKALGSFDSDDDIEQANDVGLIENSDVSMLPLPIDASMHWLLSPCDEKDEGQKENSRNGSRRFRRLEERAIHNSPASPSSGYGGFSPELCNTSANRSISTISAGRRSASLLSPSALPVPQFQGFLGTPLCDNFPVSSLEEETENRHCTDAENSPFSIGSLGSGNIIQTPQSDTSCDRRVGNFDSDLNSVAGQLQMTSLSPMSHASVWDPTNSSFRFDSLTMPSNSVDLSKFHKILEERNSWFSNSTAENVSQSQMRISWREGLVSRMFEMDEFDCCRYLSDEEDDGNVRNTDCLKSHKSPQLNVEAATDRISINGIGSTEFVKTEQDTGGKTKDGLPSQPPCSCAESISTDGGGLVRSDDSDWTLCYKNHLFQA >Potri.010G108700.2.v4.1 pep chromosome:Pop_tri_v4:10:12941743:12944941:-1 gene:Potri.010G108700.v4.1 transcript:Potri.010G108700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108700.v4.1 MDGAELELERRSKFLSSLIEKKKAKEHQEQHSKLNVRVRAADMPVLLQDRAFRCARDQLDSMPGKLDSKRLALALKKEFDAAYGPAWHCIVGTSFGSYVTHSTGGFLYFSIDKVYILLFRTAVEPLNR >Potri.001G358300.1.v4.1 pep chromosome:Pop_tri_v4:1:37375702:37380452:-1 gene:Potri.001G358300.v4.1 transcript:Potri.001G358300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358300.v4.1 MDFSSFLTSLGTSFLIFVVLMLLFTWLSRKPGNSFVYYPNRILKGLEPWDGASRSRNPFAWIREAFSSSEQDVINMSGVDTAVYFVFLSTALAILVLSGLVLLPVLLPVAATDDNVKTQKDKGNQSFSDIDKLLMGNVKGGSPRLWAFLIATYWVSLVTYFLLWKAYVHVSGLRANALMSPELTPEQFAVLVRDIPPVPEGRTRKEQVDSYFKSIYPETFYRSMVVTNNKEVNKIYIELEGYKKKLAHAEAVYDESKKTGKPEGLRPTIRTGPLGIVGRKVDSIEHYNEKIKELIPKLEAEQKVTLRENQQACAFAFFTNRVTAASAAQSLHAQMVDTWTVMEAPEPRQIIWSNLKIKYFQRIIRQYVVCFIVALTILFYMIPIGLISALTTLDNLKKILPFLKPIVNIVAVKTVLEAYLPQIALIVFLALLPKLLLALSKAEGIPSVGHAVRATSGKYFYFTILNVFIGVTLGGTLFTTFKSIEEKPNSIVSLLASSLPGNATFFLTFVALKFFVGYGLELSRIVPLIIFHLKKKYLCKTEAELKEAWFPGDLGYATRIPGDMLVLTIVLCYSVIAPLIIPFGVVYFGLGWLVLRNQALKVYAPSFETYGRMWPHIHTRVIAALILFQVTMFGYFVVKKFSFSTFLLIPLPILSLLFAYVCHKKFYRSFSDTALEVACRELKEIPNMERIYRSFIPPSLSSEKADDDHFEDALSQVSRVGSFA >Potri.019G096700.2.v4.1 pep chromosome:Pop_tri_v4:19:13441168:13442881:-1 gene:Potri.019G096700.v4.1 transcript:Potri.019G096700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G096700.v4.1 MAGFSSLAPKTKNLVVAGGLSAFVFGVYFYTMRAVGGTDELQTAIDKFEQQKSKEESEATIPSKA >Potri.004G047866.1.v4.1 pep chromosome:Pop_tri_v4:4:3833199:3833601:-1 gene:Potri.004G047866.v4.1 transcript:Potri.004G047866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047866.v4.1 MMELLLLGCTGVVVFLHGANFFFHILSHHFASRSLSFLGFAG >Potri.012G095133.1.v4.1 pep chromosome:Pop_tri_v4:12:11949855:11951773:-1 gene:Potri.012G095133.v4.1 transcript:Potri.012G095133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095133.v4.1 MASTFRAFLNSPVGPKTSHFWGPVANWGFVVAGLVDMKKPPEMISGNMTGAMCVYSALFMRFAWMVQPRNYLLLVCHASNETVQLYQFSRWARGQGYLSPEKKEEAKSQ >Potri.005G202700.1.v4.1 pep chromosome:Pop_tri_v4:5:20794992:20796620:-1 gene:Potri.005G202700.v4.1 transcript:Potri.005G202700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202700.v4.1 MAGDSERIMERNQESEKGHDRRPNMEAILVASKLPKAVPPISSARGGETLRRPRGRPAGSKNKPKPPIIVTRDSANALRAHAMEVSSGCDVCESLANFARRKQRGISVLSGSGCVTNVTLRQPASSGAIVTLHGRFEILSLLGSVLPPPAPQGITGLTIYLAGAQGQVVGGVVVGALIASGPVVIMAASFMNASFDRLPLDEDGITAAVQNQHYQNGRRHHLDIPDLYGMPQKLLTNGAVTPEIYSWAPARTMSKS >Potri.019G003285.1.v4.1 pep chromosome:Pop_tri_v4:19:48737:57390:-1 gene:Potri.019G003285.v4.1 transcript:Potri.019G003285.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003285.v4.1 MASTDSESPFSSSSSSSGHRWNYDVFLSFRGEDTRKNFTDHLYTALIQAGIHTFRDDNELPRGEEISPQLLKAIEGSRISIVVFSKHYASSRWCLDELVKILECRQNIDQVVLPIFYDTEPSDVRKQTGSYAKAFDEHEERFKEEMEKVNKWRGALAEAGNLSGWGLHNEANGYEAEFIKRIVSDVACKLGNKTLHVAKHPVGIYSRVQGIISLLKGAKPDVGIVGIHGIAGIGKTTIAKAVFNKLYFGFEGSSFLSDVKEISDKPNGLVELQERLLHDILKPRVWKVSNVYEGMNLIKERLHRKKILVVFDDVDKREQLEALMGERCWFGAGSIIIVVTKNKHLLTEVGVDGMYHAKELDRDQSLELFSLHAFRETHPAKDYEELSGKVVDYCKGLPLALQILGSHLSIRDKAGWEIDIAHWRNIPHDDIQGKLRVSFDALNVDTSEIFLDIACYFVGGDKEYVADIVGARYDCHPEVAFRTLIGRSLITIDTWNSLWMHDTLRKMGREIIRQRSRNHPGNCSRIVLPKDAYNVLSKELGTDAVEGLALDVQESFSTKSFTKMRRLKLLQIKGANLVGSYSLLPKELIWLCWFGCPLKSLPSDFHLNDLVILDMQESNVRKLWKGTKILNKLKILNLSYSKYLDETPNFRELSCLERLILTGCTSLVKVHQSIGNLKSLVLLNLHYCDSLKTLPESMGNLKSLQTLNVTQCRQLEKLPESLGDIESLTELFTKGTAIKQLPTSARYLKKLTKLSFGGYNKVFYSPDLPSKSRFSRFSLWLSPRNCSSSNAMLPAFFNSFSSLKELNLSYAGLSEATSSIDLGSLSFLEDLDLSGNKFFNLPSGISLLPKLQCLRVEKCSNLLSIPELPSSVLFLSINDCTSIERVSAPLQHERLPLLNVKGCRNLIEIQGMECAGNNWSILNLNGCSNLSENYKMSLIQGLCKGKHYDICLAGGEIPEWFSHRGEGSALSFILPSVSVPVPDGNKLQALLFWVVSASTNEATLATSLPRFDKCVATFKNKSNGIELFETMAAVTFDRTITKQSWIQRIPLIGLEESLQGVEELEVNVKISVYDVRKLQRIPLIGSEESLQGVEELELNVKISFYDVRKCWVEKCGVHLIMEKNKADSDQEIDIHAPGSDDQPLESSLIRELQKWKITSCSKFG >Potri.008G049600.2.v4.1 pep chromosome:Pop_tri_v4:8:2900222:2902606:-1 gene:Potri.008G049600.v4.1 transcript:Potri.008G049600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MTP11.2 MLEGFNEMDALAERGFIPGMSKEEKEILAKSETFAIRISNFANMVLFVAKAYASIKSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRALLSDESDFDLTKEQERWIVGIMLSVTLVKLVLMIYCRSFTDEIVKAYAQDHFFDVITNTIGLVAALLANYLEDWMDPVGAIVLALYTIRTWSMTVLENVNSLVGKSATPDYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYTHKPEHARSRS >Potri.008G049600.1.v4.1 pep chromosome:Pop_tri_v4:8:2900227:2903766:-1 gene:Potri.008G049600.v4.1 transcript:Potri.008G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MTP11.2 MVEPVNNENEEELSLLSPNNKGDGSWQLNFDGYQLSHERKEKKPPRGIHDCYGVLGPEDDIAEYYQQQVEMLEGFNEMDALAERGFIPGMSKEEKEILAKSETFAIRISNFANMVLFVAKAYASIKSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRALLSDESDFDLTKEQERWIVGIMLSVTLVKLVLMIYCRSFTDEIVKAYAQDHFFDVITNTIGLVAALLANYLEDWMDPVGAIVLALYTIRTWSMTVLENVNSLVGKSATPDYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYTHKPEHARSRS >Potri.005G216200.1.v4.1 pep chromosome:Pop_tri_v4:5:21959052:21962238:-1 gene:Potri.005G216200.v4.1 transcript:Potri.005G216200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216200.v4.1 MKFKEGNLVEVLRRDHEPCGSWFPGSVVSARGNYCKIRYKSVSDNKGEPVMEKVREEDVRPQPPHKKRKRWMVGDVAEIFDFQCWREGKIAKVLNNNLFVVRLFGSIQLKEFHESSIRIQQAWHNNNWSVIGKVTQNIEHSKKCAENKSKHSGSLVRRALVPVTRKDPCLLEKDGQKHLKDGQHNVKMGLDQHHLERSSKDLVSCMEGCHKQLVRNLPFFKRADNISSEKLIADEKFKGSSEMNANMVKATTYTSSRPLLTADSNQSSVASCSSNGFADSSSHNFQTPLDNASHRSDAESSFVSFSFIKRLTPYFEQKLEAEIHELEFHAYRSTVQALYASGPLSWEQESLLTNLRLSLHISDEEHLLHLRQLLSTQVL >Potri.008G173800.1.v4.1 pep chromosome:Pop_tri_v4:8:12004758:12007564:1 gene:Potri.008G173800.v4.1 transcript:Potri.008G173800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G173800.v4.1 MASMNCSAGDLAQLLGPNVTNSTGAAAFICSQFQIAAGNFNATQFAVDNTYLLFSAYLVFSMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLFYYLFGFAFAFGTPSNGFIGKHNFGLKAFPSSSFDYSYFLYQWAFAIAAAGITSGSIAERTQFVAYMIYSSFLTGFVYPVVSHWFWSVDGWASATREHGDLLFGSGVIDFAGSGVVHMVGGIAGLWGALIEGPRIGRYHHSGRSIALRGHSASLVVLGTFLLWFGWYGFNPGSFNKILSAYTDAPVYYGQWSAIGRTAVTTTLAGCTAALTTLFCKRILSGHWNVTDVCNGLLGGFAAITAGCSVVEPWAAIVCGFVASLVLIGCNKLAEIFKFDDPLEAAQLHGGCGTWGVIFTALFATEKYVGEVYPKKPGRPYGLFMGGGGNLLAAHLIQVLVIIGWVSATMGPLFFVLHKLKLLRISAEDEMAGMDLTRHGGFAYIYHDDDDESQRPGTFRLGQIEPTNSTTPSANA >Potri.001G163800.7.v4.1 pep chromosome:Pop_tri_v4:1:13906881:13908815:1 gene:Potri.001G163800.v4.1 transcript:Potri.001G163800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163800.v4.1 MDVSSKNPGCFCCPAFHNASSFKTCFLFVLFFFLFLFVAIGIAALVVVFILKPQEPRFSLETIRVDSYKLNAYSNSTLLISSVVSLLLNAQNHNKVGIKYSPSRLHIFHQGIPIGLIRVPQFYQPAHSDNVGLTAQISLPRLNVTRIFDQGVSKEKARKNVLQMKILGDARLHLLLSRLTLPKIKVALECDIVFNYTEFSFKDELFSMKVVRDLIASFPVKSESISKKCKLAFYL >Potri.001G163800.5.v4.1 pep chromosome:Pop_tri_v4:1:13906881:13908815:1 gene:Potri.001G163800.v4.1 transcript:Potri.001G163800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163800.v4.1 MDVSSKNPGCFCCPAFHNASSFKTCFLFVLFFFLFLFVAIGIAALVVVFILKPQEPRFSLETIRVDSYKLNAYSNSTLLISSVVSLLLNAQNHNKVGIKYSPSRLHIFHQGIPIGLIRVPQFYQPAHSDNVGLTAQISLPRLNVTRIFDQGVSKEKARKNVLQMKILGDARLHLLLSRLTLPKIKVALECDIVFNYTEFSFKDELFSMKVVRDLIASFPVKSESISKKCKLAFYL >Potri.001G163800.6.v4.1 pep chromosome:Pop_tri_v4:1:13906881:13908815:1 gene:Potri.001G163800.v4.1 transcript:Potri.001G163800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163800.v4.1 MDVSSKNPGCFCCPAFHNASSFKTCFLFVLFFFLFLFVAIGIAALVVVFILKPQEPRFSLETIRVDSYKLNAYSNSTLLISSVVSLLLNAQNHNKVGIKYSPSRLHIFHQGIPIGLIRVPQFYQPAHSDNVGLTAQISLPRLNVTRIFDQGVSKEKARKNVLQMKILGDARLHLLLSRLTLPKIKVALECDIVFNYTEFSFKDELFSMKVVRDLIASFPVKSESISKKCKLAFYL >Potri.003G195700.1.v4.1 pep chromosome:Pop_tri_v4:3:19801948:19803138:1 gene:Potri.003G195700.v4.1 transcript:Potri.003G195700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195700.v4.1 MEAVASKPVIKVVALCGSLRKGSFNRGLLRSAIQISKDSVNGMEIEYIDISPLPMLNTDLEVDGNFPPVVEAFRQKILQADSVLFASPEYNYSVTAPLKNAIDWASRPPNCWADKAAAIVSTGGSFGGGLAQYHLRQIGIYLDLHFINKPEFHLNAFAPPAKFDSNGNLIDPQSKDRLKEVLLSLLAFTLRLKGNS >Potri.013G093700.1.v4.1 pep chromosome:Pop_tri_v4:13:9674745:9676065:-1 gene:Potri.013G093700.v4.1 transcript:Potri.013G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G093700.v4.1 MTFKRRNGGRNKHGRGHVKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQESCVYDGYVLPKLYVKMQYCVSCAIHSRVVRVRSSSERRKREPPQRFIRRRDDMPKPGQPGQPGQAPRPTGAAPARV >Potri.018G047300.1.v4.1 pep chromosome:Pop_tri_v4:18:4147025:4147621:1 gene:Potri.018G047300.v4.1 transcript:Potri.018G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047300.v4.1 MVKPSSSSGQQSDRNHEPKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTPLKAARAFDAALYCLRGSGAKFNFPDNPPDIVGGQSLSSQEIQEVAARFANEEPTSSSTATRGESSEHVENDTSSSSDGGAGDTIDWSFLNLLDSSEGASDFGLYHGLDHMGGDYYPPPPPPSEYSIGGDDHNGDEAYSQQSFLWNF >Potri.010G027800.5.v4.1 pep chromosome:Pop_tri_v4:10:4001005:4008528:-1 gene:Potri.010G027800.v4.1 transcript:Potri.010G027800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027800.v4.1 MSSTIKDMLIRTSAPARLYSSRRRLYKAKYVEMNDLLLREDRSMIRLSRGGRAYGIRTCQDSHNNDGFSNISRPPLQNRTRAQTISSSIPAVSDPTPIATKRVFTFGKGRSEGNKTMKSLLGGKGANLAEMASIGLSVPPGLTISTEACHEYQQIGNKLPLGLWEEILEGLKFVEKDMGAVLGDPSKPLLLSVRSGAAISMPGMMDTVLNLGLNDQVVAGLSAKSGERFAYDSFRRFLDMFGDVVMGIPHSSFEEKLEKMKESKGVMLDTDLTAADLKELVEQYKKVYLEVKGEEFPSDPKKQLQLAMTAVFDSWDSPRAIKYRSINQITGLKGTAVNIQCMVFGNMGNTSGTGVLFTRNPSTGEKKLYGEFLINAQGEDVVAGIRTPEDLDTMKNCMPQAYDELVENCEILERHYKDMMDIEFTVQENRLWMLQCRSGKRTGKGAVKIAVDMVSEGLVDIRSAIKMVEPQHLDQLLHPQFENPSAYKDKVVATGLPASPGAAVGQVVFSADDAEEWHAQGKSVILVRTETSPEDVGGMHAAAGILTARGGMTSHAAVVARGWGRCCVSGCSDIRVNDAEKVVVIEDVVISEGEWISLNGSTGEVVLGKQPLSPPALSGDLETFMSWADEIRRIKVMANADTPEDALRARNNGAQGIGLCRTEHMFFASDERLKAVRRMIMAVTTEQRKAALDLLLPYQRSDFEGIFRAMDGFPVTIRLLDPPLHEFLPEGDLEQIVSELTTETGMMEDEVLSRIEKLSEVNPMLGFRGCRLGISYPELTEMQARAIFQAAVSMSNQGVTVLPEIMVPLVGTPQELGHQMTLIRNVAKKVFSEMDVTLSYKVGTMIEIPRAALVADEIAKQAEFFSFGTNDLTQMTFGYSRDDVGKFLPIYLSKGILQSDPFEVLDQKGVGQLIKIATERGRAARPSLKVGICGEHGGEPSSVAFFAEAGLDYVSCSPFRVPIARLAAAQVAV >Potri.001G030401.1.v4.1 pep chromosome:Pop_tri_v4:1:2280289:2282596:1 gene:Potri.001G030401.v4.1 transcript:Potri.001G030401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030401.v4.1 MDGNNNVRGYSDRDPTLLMERGSLFFSQGCYADAANCFRHARDASIRIHGRSSLKCAISRYSHGLSLLCQVPVPVPENDPMALLPKEVDYYKSSVLPFPSSAFGTYRGAMEENYVRILEVAKGELNLAWSLMEYRIDNYLLKGNVLSALGEVALRRGESNPQRYYFEASSIFDTWLGENNKRVADIYVKLIICLEGSDESKALAHGCKAYLIYDALHKKLLSEIDSVTRTANQRRGLSSVTRTDPFIQLKNDEATHLRNNLQILGHKLQRLGLGPSLSLPQERTRVTPAGHRCAKEKVEINTNLEL >Potri.006G260837.1.v4.1 pep chromosome:Pop_tri_v4:6:25693739:25694085:-1 gene:Potri.006G260837.v4.1 transcript:Potri.006G260837.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260837.v4.1 MPSHKTFRIKKKLAKKMRQNRPIPHWIRMRTDNTIRYNAKRRHWRRTKLGF >Potri.006G031700.5.v4.1 pep chromosome:Pop_tri_v4:6:1964574:1972559:-1 gene:Potri.006G031700.v4.1 transcript:Potri.006G031700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031700.v4.1 MANLGLFTGTKIVKSVNVSFRLPYYTHWGQRLLVCGSEPVLGSWDVKKGLLLSPVHQGEELTWCGSVAVPSEFSCEYSYYVVDDEKSVLRREMGKKRKLVLPDGINGGENVELHDLWQTGGDAIPFRSAFKDVIFRQSWGLNIERPLGIQNKLDMEDAVLVHFKICCPNVEEETSVYVIGSTAKLGQWKVHDGLKLNYAGDSVWQADVVMQKGDFPLKYKYCKYGKAGNFSLETGAHRDLSIDSSKVQPRYIFLSDGMMREMPWRGAGVAIPMFSVRSEADLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVEALSENLPENIKKEIQEAREQLDGKDVDYEATLATKLSIAKKVFEQEKDLILNSSSFHKYFSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSCFTEKKLEKLVSKDSLHHDIIRFHYYIQFHLHLQLSEAAEYARNKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSKEELEREGIWDFDRLSLPYIRQEFVQEKFGASWTFIVSNFLNDYQKGRYEFKEDSNTEKKIASKLKMLAEKSMLLESEDKIRRDLFDLLKNIVLIRDPEDASKFYPRFNLEDTSSFQDLDDHSKNVLRRLYYDYYFHRQENLWRQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGMIGLRIQRMPSESDLEFGIPSQYSYMTVCAPSCHDCSTFRAWWEEDEERRCRYFKNLVGPDAIPSSQCVPDIAHFVIRQHVEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVTLESLLKDKELITTIKGLVRGSGRAHPSVQETDELGNQETIVLIPGKHQVTTGQEKISVGKQLNGVPRKETCVPQVL >Potri.006G031700.7.v4.1 pep chromosome:Pop_tri_v4:6:1964606:1972001:-1 gene:Potri.006G031700.v4.1 transcript:Potri.006G031700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031700.v4.1 MANLGLFTGTKIVKSVNVSFRLPYYTHWGQRLLVCGSEPVLGSWDVKKGLLLSPVHQGEELTWCGSVAVPSEFSCEYSYYVVDDEKSVLRREMGKKRKLVLPDGINGGENVELHDLWQTGGDAIPFRSAFKDVIFRQSWGLNIERPLGIQNKLDMEDAVLVHFKICCPNVEEETSVYVIGSTAKLGQWKVHDGLKLNYAGDSVWQADVVMQKGDFPLKYKYCKYGKAGNFSLETGAHRDLSIDSSKVQPRYIFLSDGMMREMPWRGAGVAIPMFSVRSEADLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVEALSENLPENIKKEIQEAREQLDGKDVDYEATLATKLSIAKKVFEQEKDLILNSSSFHKYFSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSCFTEKKLEKLVSKDSLHHDIIRFHYYIQFHLHLQLSEAAEYARNKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSKEELEREGIWDFDRLSLPYIRQEFVQEKFGASWTFIVSNFLNDYQKGRYEFKEDSNTEKKIASKLKMLAEKSMLLESEDKIRRDLFDLLKNIVLIRDPEDASKFYPRFNLEDTSSFQDLDDHSKNVLRRLYYDYYFHRQENLWRQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGMIGLRIQRMPSESDLEFGIPSQYSYMTVCAPSCHDCSTFRAWWEEDEERRCRYFKNLVGPDAIPSSQCVPDIAHFVIRQHVEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVTLESLLKDKELITTIKGLVRGSGRAHPSVQETDELGNQETIVLIPGKHQVTTGQEKISVGKQLNGVPRKETCVPQVL >Potri.006G031700.2.v4.1 pep chromosome:Pop_tri_v4:6:1964618:1972408:-1 gene:Potri.006G031700.v4.1 transcript:Potri.006G031700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031700.v4.1 MANLGLFTGTKIVKSVNVSFRLPYYTHWGQRLLVCGSEPVLGSWDVKKGLLLSPVHQGEELTWCGSVAVPSEFSCEYSYYVVDDEKSVLRREMGKKRKLVLPDGINGGENVELHDLWQTGGDAIPFRSAFKDVIFRQSWGLNIERPLGIQNKLDMEDAVLVHFKICCPNVEEETSVYVIGSTAKLGQWKVHDGLKLNYAGDSVWQADVVMQKGDFPLKYKYCKYGKAGNFSLETGAHRDLSIDSSKVQPRYIFLSDGMMREMPWRGAGVAIPMFSVRSEADLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVEALSENLPENIKKEIQEAREQLDGKDVDYEATLATKLSIAKKVFEQEKDLILNSSSFHKYFSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSCFTEKKLEKLVSKDSLHHDIIRFHYYIQFHLHLQLSEAAEYARNKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSKEELEREGIWDFDRLSLPYIRQEFVQEKFGASWTFIVSNFLNDYQKGRYEFKEDSNTEKKIASKLKMLAEKSMLLESEDKIRRDLFDLLKNIVLIRDPEDASKFYPRFNLEDTSSFQDLDDHSKNVLRRLYYDYYFHRQENLWRQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGMIGLRIQRMPSESDLEFGIPSQYSYMTVCAPSCHDCSTFRAWWEEDEERRCRYFKNLVGPDAIPSSQCVPDIAHFVIRQHVEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVTLESLLKDKELITTIKGLVRGSGRAHPSVQETDELGNQETIVLIPGKHQVTTGQEKISVGKQLNGVPRKETCVPQVL >Potri.006G031700.6.v4.1 pep chromosome:Pop_tri_v4:6:1964575:1972423:-1 gene:Potri.006G031700.v4.1 transcript:Potri.006G031700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031700.v4.1 MANLGLFTGTKIVKSVNVSFRLPYYTHWGQRLLVCGSEPVLGSWDVKKGLLLSPVHQGEELTWCGSVAVPSEFSCEYSYYVVDDEKSVLRREMGKKRKLVLPDGINGGENVELHDLWQTGGDAIPFRSAFKDVIFRQSWGLNIERPLGIQNKLDMEDAVLVHFKICCPNVEEETSVYVIGSTAKLGQWKVHDGLKLNYAGDSVWQADVVMQKGDFPLKYKYCKYGKAGNFSLETGAHRDLSIDSSKVQPRYIFLSDGMMREMPWRGAGVAIPMFSVRSEADLGVGEFLDLKLLVDWAVESGFHLVQLLPINDTSVHGMWWDSYPYSSLSVFALHPLYLRVEALSENLPENIKKEIQEAREQLDGKDVDYEATLATKLSIAKKVFEQEKDLILNSSSFHKYFSENEEWLKPYAAFCFLRDFFETSDHSQWGRFSCFTEKKLEKLVSKDSLHHDIIRFHYYIQFHLHLQLSEAAEYARNKGVILKGDLPIGVDRNSVDTWVYPNLFRMNTSTGAPPDYFDKNGQNWGFPTYNWEEMSKDNYAWWRARLTQMAKYFTAYRIDHILGFFRIWELPEHAMTGLIGKFRPSIPLSKEELEREGIWDFDRLSLPYIRQEFVQEKFGASWTFIVSNFLNDYQKGRYEFKEDSNTEKKIASKLKMLAEKSMLLESEDKIRRDLFDLLKNIVLIRDPEDASKFYPRFNLEDTSSFQDLDDHSKNVLRRLYYDYYFHRQENLWRQNALKTLPALLNSSDMLACGEDLGLIPACVHPVMQELGMIGLRIQRMPSESDLEFGIPSQYSYMTVCAPSCHDCSTFRAWWEEDEERRCRYFKNLVGPDAIPSSQCVPDIAHFVIRQHVEAPSMWAIFPLQDLLALKEEYTTRPATEETINDPTNPKHYWRYRVHVTLESLLKDKELITTIKGLVRGSGRAHPSVQETDELGNQETIVLIPGKHQVTTGQEKISVGKQLNGVPRKETCVPQVL >Potri.006G226000.1.v4.1 pep chromosome:Pop_tri_v4:6:23046162:23051904:1 gene:Potri.006G226000.v4.1 transcript:Potri.006G226000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226000.v4.1 MWESICLTLAATAGSNIGKVLQKKGTVILPPLSFKLKVIRAYAANVAWIIGFLMDIIGALLMLKALSLAPVSVIQPVSGCGLAILSVFSHFYLKEVMNVIDWMGITLAGIGTIGVGAGGEEQEASSISILQLPWLALLVAILFVVLNGWLRMYRRQRRAHETMDYEVVEEIIYGLESGILFGMASVISKMGFVFLEQGFSKMLVPLCLTISICCSATGFYYQTQGLKHGRAIVLSTCAAVASIVTGVLAGMLALGERLPSAPAARFSLLLGWLLIVVGVILLVSSTWLLRHLPRPLRHFTSSADRNFSLSRSGSLRLKDPNPTAVIHAATLHHLISSPSKEKA >Potri.011G168300.3.v4.1 pep chromosome:Pop_tri_v4:11:19150193:19156316:1 gene:Potri.011G168300.v4.1 transcript:Potri.011G168300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168300.v4.1 MSSVEGIVVNDEYRERRSDFENSEDERRRSKIGNLKKKALNASNKFTHSLKKRGKRKIDYRVSSVSIEDVRDAKEESAVHDLRQKLLERDLLPPRHDDYHALLRFLKAREFNIDKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAYPSRLMRITTIERYLKYHVQEFERAIQEKFPACSIAAKRWICSTTTILDVQGLGIKNFTRTAATLLASITKIDNSYYPETLHRMFVVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCSCSAEGGCLRSNKGPWNDPGIMKLVHNAVPAVVREISRVSNDMQEFDSYNQVCPLKGKSSDKLTAKSGSDIDDHSSPFGPRSSTCACLAPVDEEVRASDPSIFYSCDDNFILAEKTVHRGGCSEDQSLGINNLGNIPFQVTSNLEGLFIRWFDIVKEKVGKTSIPSTARTLISFVVKLFRSFPLEYLRRQSNIYPSNLMEHNTVIHSTALEAVKEEDHVRPCIERLQRLEKIFEEVSNKPAGIPLEKEKMLTESLERIKSVEFDLEKTKRVLHTTVVKQLEITELLDNLRESKCRQRRLFC >Potri.011G168300.2.v4.1 pep chromosome:Pop_tri_v4:11:19150285:19156237:1 gene:Potri.011G168300.v4.1 transcript:Potri.011G168300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168300.v4.1 MSSVEGIVVNDEYRERRSDFENSEDERRRSKIGNLKKKALNASNKFTHSLKKRGKRKIDYRVSSVSIEDVRDAKEESAVHDLRQKLLERDLLPPRHDDYHALLRFLKAREFNIDKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAYPSRLMRITTIERYLKYHVQEFERAIQEKFPACSIAAKRWICSTTTILDVQGLGIKNFTRTAATLLASITKIDNSYYPETLHRMFVVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCSCSAEGGCLRSNKGPWNDPGIMKLVHNAVPAVVREISRVSNDMQEFDSYNQVCPLKGKSSDKLTAKSGSDIDDHSSPFGPRSSTCACLAPVDEEVRASDPSIFYSCDDNFILAEKTVHRGGCSEDQSLGINNLGNIPFQVTSNLEGLFIRWFDIVKEKVGKTSIPSTARTLISFVVKLFRSFPLEYLRRQSNIYPSNLMEHNTVIHSTALEAVKEEDHVRPCIERLQRLEKIFEEVSNKPAGIPLEKEKMLTESLERIKSVEFDLEKTKRVLHTTVVKQLEITELLDNLRESKCRQRRLFC >Potri.011G168300.1.v4.1 pep chromosome:Pop_tri_v4:11:19150170:19156313:1 gene:Potri.011G168300.v4.1 transcript:Potri.011G168300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168300.v4.1 MSSVEGIVVNDEYRERRSDFENSEDERRRSKIGNLKKKALNASNKFTHSLKKRGKRKIDYRVSSVSIEDVRDAKEESAVHDLRQKLLERDLLPPRHDDYHALLRFLKAREFNIDKTIQMWEEMLNWRKEYGTDTILEDFEFEELEEVLQYYPQGYHGVDKEGRPVYIERLGKAYPSRLMRITTIERYLKYHVQEFERAIQEKFPACSIAAKRWICSTTTILDVQGLGIKNFTRTAATLLASITKIDNSYYPETLHRMFVVNAGPGFKKMLWPAAQKFLDAKTIAKIQVLEPKSLPKLLEVIDSSQLPDFLGGSCSCSAEGGCLRSNKGPWNDPGIMKLVHNAVPAVVREISRVSNDMQEFDSYNQGKSSDKLTAKSGSDIDDHSSPFGPRSSTCACLAPVDEEVRASDPSIFYSCDDNFILAEKTVHRGGCSEDQSLGINNLGNIPFQVTSNLEGLFIRWFDIVKEKVGKTSIPSTARTLISFVVKLFRSFPLEYLRRQSNIYPSNLMEHNTVIHSTALEAVKEEDHVRPCIERLQRLEKIFEEVSNKPAGIPLEKEKMLTESLERIKSVEFDLEKTKRVLHTTVVKQLEITELLDNLRESKCRQRRLFC >Potri.013G035000.1.v4.1 pep chromosome:Pop_tri_v4:13:2256577:2259549:-1 gene:Potri.013G035000.v4.1 transcript:Potri.013G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035000.v4.1 MESSSSSINGGFSQIGSVKQLTADSISQFREGIRLILSKWSALQLAVENEWGGRGSHLLAEQLASDIFSWFTQSKEPLYIDDLESIMDEAMLSLNTMIEDGSVEEVAEKLMIMHEECLEGNYSSIQKLRDEGPRMGAHQHVKQAVEDDDDSEDSDSDDKMGDDGSNMMVDTPEFQLKTNLVNKPVNEPGAKEAQSEDGWTVVSSRRNKGKRN >Potri.003G133000.1.v4.1 pep chromosome:Pop_tri_v4:3:15093624:15102098:-1 gene:Potri.003G133000.v4.1 transcript:Potri.003G133000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133000.v4.1 MVGMMAGTMMPPPQVAEGVTIRAAGQQMSASLANSFRVHAVAERLSLHIQPGFDSNPTEFFGLCLSLARGIDFAVANNETLLKAQELPFLLKQVCQRKNDVFLQAAIMVLMASVKNACMVGWFQEKETQELVTLATEIGKVFCTPGDINAGTTDSLSIIPTIMSRFYPLMKMGQIIVSLEVKPGFGAHVIDFHISKTTRNSTEDKIWLFVAQTDNTETSTCIVTPQEVNFLLNGKGVERRTNVIMDTGPQMPTNVTGMLKYGTNLLQAVGQFKGHYVIAVAFMSVEPKPETPVLQDYVHPCAAELDPDSDIIEGPSRISLNCPISYTRIRTPVKGHSCRHLQCFDFSNFVDINTRRPSWRCPHCNQHVCYTDIRVDQNMVKVLREVGDHCSDVIISADGSLKAISESDNKVDQTQERTLHCEKGMPEQVESMTSTRALPMVMDLTVDDDEINGEDNIDAEDRKPFLATLQNHPVDTNPIPTMPSQLINANAPSRNFSTLADEFWSSPYWSSSASDAQMVNGFSEPSTTTFMTSPVITDSVSPALNCDVGGYGNTTTSSVMHNQLSASIYLQSLQQNFVNSVANGEYGTLPPIYHVDRSPIAVQALPARPQTPAPQQRSRTPNPAISSGASLSSHGTLPEAANGLSPVSGNMDRQQQFARSLNTNSSSSQNWNMQDHPFMHGQSAQQQAVTLPSSSQLAGAHRASSPNLLYQQPLRVPQSRSHSPNVVRSSLPLAPAQTQQGAAQVGVGNSAGATNSQQSRLMVAAQLAAQRARQPPSVPVQIQTSGAGASYLTSADGIRAPATEQRGNAGGALPAVSGTEGLVDLASEQNWRPTGRMRGSLSGRAYSAALKEFMVQPTQQTQTPRPPPNLPPSQSSMPPHLQFLFARNAQVPQAQSSPVTGSAISNGSSSILP >Potri.008G214200.1.v4.1 pep chromosome:Pop_tri_v4:8:17082290:17086240:1 gene:Potri.008G214200.v4.1 transcript:Potri.008G214200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G214200.v4.1 MASDLKNSLTKEYIGMEFWVVIMVCLGLVCVFVLFVSLWLSFRKKSRRSNNMLPISQIPKVPEEIKEIGIDQNSANNDGFLSLNDKFSDKESEKVLIQLENGDDSSQSGSLNHVEKDGVGSQSGEEGGTKVASANQPSSHPITAPSPMSGLPEFSQLGWGHWFTLRDLQVATNRFSKDNIIGDGGYGVVYQGHLINGTPVAVKKLLNNPGQADKDFRVEVEAIGHVRHKNLVRLLGYCMEGTQRMLVYEYVNNGNLEQWLHGGMRQHGYLTWEARMKILLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDNFNAKLSDFGLAKLLGAGKSHITTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLEAITGRDPVDHGRPENEVHLVEWLKTMVARRRSEEVVDPMIETRPSTSALKRGLLTALRCVDPDADKRPKMSRVVRMLESEEYPMPRQDRRHRRSRAGEGESQMENSDTDRNENPDTKQ >Potri.012G011000.2.v4.1 pep chromosome:Pop_tri_v4:12:78944:80427:1 gene:Potri.012G011000.v4.1 transcript:Potri.012G011000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G011000.v4.1 MGFEGDDTQVSTKARFRYNSPLVQVTLIGLVCFCCPGMFNALAGLGGGGQENPTAANNANTALYTTFAIFGILGGGIYNILGPRLTLASGCSTYVLYAGSFLYYNHQQHQAFAIISGAVLGIGVIGGLIPFILNYNRSEAASVNDGTNIGIMCFMTAGTLLSLSLLPPSKVVRDDGTRCTNIKYSKVSTEAVEIGKLFFNWKMLFIAPAAWASNFVHSYQFNMGLNNVFYWGGQMVGSVGIGYILDFSFQSRRKRGFVGNGIVAALGTAIWAGGLAKQVGYSRNDLPPKLDFKDSGSDFAGPFILYFSYGLPDAMFRSTVYWVIGALADDSEVLSRVQSAGAAVAWHVDARKVPLLTQLIVNWSFTTVSYPLLAILAMLVIKDDHKGEESSINPASMDNNSKPV >Potri.008G040300.3.v4.1 pep chromosome:Pop_tri_v4:8:2249863:2252320:1 gene:Potri.008G040300.v4.1 transcript:Potri.008G040300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040300.v4.1 MEANRGQNGIQLLLAAEQEAQHIVNTARNAKMARLRQAKEEADKEIAEFRAHMEAEFQRKLTESSGDSGANVKRLEHETEAKIGHLKTEASRISHDVVQMLLKHVTAVKN >Potri.008G040300.2.v4.1 pep chromosome:Pop_tri_v4:8:2249831:2252323:1 gene:Potri.008G040300.v4.1 transcript:Potri.008G040300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040300.v4.1 MEANRGQNGIQLLLAAEQEAQHIVNTARNAKMARLRQAKEEADKEIAEFRAHMEAEFQRKLTESSGDSGANVKRLEHETEAKIGHLKTEASRISHDVVQMLLKHVTAVKN >Potri.008G040300.6.v4.1 pep chromosome:Pop_tri_v4:8:2249863:2252286:1 gene:Potri.008G040300.v4.1 transcript:Potri.008G040300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040300.v4.1 MEANRGQNGIQLLLAAEQEAQHIVNTARNAKMARLRQAKEEADKEIAEFRAHMEAEFQRKLTESSGDSGANVKRLEHETEAKIGHLKTEASRISHDVVQMLLKHVTAVKN >Potri.002G071600.1.v4.1 pep chromosome:Pop_tri_v4:2:5018269:5024295:-1 gene:Potri.002G071600.v4.1 transcript:Potri.002G071600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071600.v4.1 MVLVSAARDYINRLLQDISGMKVLILDSQTVTIVSVVYSQTELLQKEVFLVELVDSISKSKEPMSHLKAVYFLRPTSENIQHLRRQLANPRFGESHLFFSNILKDTQIHILADSDEQEVVQQVQEYYGDFVAIDPYHFTLNIPSNHMYMLPAVVDPPGLQHFCDRVVDGIAAVFLALKRRPVIRYQRTSDIAKRVAQETSKLMYQQESGLFDFRRTEISPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIHDNKVDLSSIGKLPKDQQEVVLSSEQDAFFKANMYENFGDIGMNIKKMVDDFQQAAKSNQNIQTIEDMAKFVDNYPEYRKMHGNVSKHVTLVTEMSKIVEERRLMLVSEMEQDLACNSGQVAAFEAVTNLLNNENVSDIDCLRLVMLYALHYEKESPVQLMQLFNKLASRSPKYKPGLVQFLLKQAGVDKRTGDLYGNRDLLNIARNMARGLKGVENVYIQHQPLLFQTMESIIKGRLRDVDYPFVGNHFQQGRPQDVVVFIVGGTTYEESRSVALQNASISGIRFIVGGSAVLNSKRFLKDLEEARRIAKSSTNVV >Potri.006G278400.1.v4.1 pep chromosome:Pop_tri_v4:6:26883850:26884993:1 gene:Potri.006G278400.v4.1 transcript:Potri.006G278400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278400.v4.1 MQKNPYLALLILWPLALISLSSSNPCDPTNQRDPRALRSDQITVLMNGYSESRIPLLRSLASTYTSSPLVSSVLVLWGNPSTSAQTLARLAHNLSLSSFGPAPISLVRQRSNSLNDRFLPRFSIGTHAVLICDDDVEVDARSFEFAFKVWRLNPDRLIGLFARSHDMDLGAKQWIYTVHGEKYSIVLTKFMMLKSEYLWRYSCGGGAQMSEVRRTVDRMKNCEDILMNFVVADEVKAGPILVGAERVRDWGDARNDGDGGRGLKDGEGRRVREVGLSSRRREHRKRRGDCLREFHKVLGRMPLRYSYGKVVNSVGEQGRCLKGGKLVFCDQYRR >Potri.009G136000.1.v4.1 pep chromosome:Pop_tri_v4:9:10971003:10977863:1 gene:Potri.009G136000.v4.1 transcript:Potri.009G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136000.v4.1 MESAQNESSSEVNGSSDGGDNKNCLIQSGSMANGLVCKLNADVENNVKKPDEVGRNLNNVPYVYRQDIVRHKKCNVVGVVSGVAGESDSDGSITDDDDDDDIEDEDDADEDAGDEGGNGNANGDTIEERKKESDDYKGETLQADQVRVIWMGDVNPIQHVNDVTVIDRGFLHGDYVASASDPTGQVGVVVDVNISVDLLAPDGSVIKDVSSRDLVRVREFAAGDYVVFGPWLGRVDDVLDDVTVLIDDGSVCKVKGAEPLHLKPISKGIFEEDEHLPYHPGQRVRATSSSVFKNSRWLSGLWKANRLEGTVTKVTAGSVFIYWIASAGHGPDSSTTPAEEQSPKNLKLLSCFAHASWQVGDWCLLPSSVAQSSSVTLDKDLLKLGIHDSTKSELDSSQLGSGCDSEGVATEELDDTNGSVVIDPAAAPDGNTAVIASNESSSCGSSTSVSKVPAHRKKLRKVILRREKKPRKKEEDFERALLIVNTRTRVDVAWQDGTIERGLNSTTLIPIDSPGDHEFISEQYVVEKASDDVDSSSEAKRVGVVKSVNAKERTACVRWLKPVARAEDPREFDKEEIVSVYELESHLDYDYSYGDVVVRLSPVTVSDQTTSDLETVGDSKQQSGQSEVMNTKKCFGRKKGEDASSNEVSIDFSDLSWVGNISGLRNGDIEVTWADGMVSTVGPQAIFVVGRDDDDDSMAAGSEVSGAAASWETVDDDERDALENTQEAVALQDATSMNSEEEASVENYNLGRNTALNFPFSALDFVARLANGIFSRGRKNVDPDFSGYKGGNEMPSQGTSCISEEKESSDESSSGKSNINDNCGVQNTNEKEEEDAAVEVPISSDEEASCNLSTEMSNDMTCSEARIYHYFKHFDTAKDPLDHHFLDSNGQINNGRKWLKKVQQDWNILQNNLPDEIYVRVYEDRMDLLRAAIVGAYGTPYQDGLFFFDFHLPPEYPDVPPSAHYHSGGWRINPNLYEEGKVCLSLLNTWTGRGNEVWHSSSSILQVLVSLQGLVLNSKPYFNEAGYDKQIGTAEGEKNSLSYNENTFLLNCKTMMYLMRKPPKDFEDLVKEHFRRRGHYILKACNAYMQGNLIGSLTQEASVSSKESSNLSSVGFKLMLAKILPKLYLALNEVGADCHEFKHLLPS >Potri.002G011750.1.v4.1 pep chromosome:Pop_tri_v4:2:732579:733628:-1 gene:Potri.002G011750.v4.1 transcript:Potri.002G011750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011750.v4.1 MPFLTHGMVGSASISCIWNIGQSAYPSPIPRKGTGWSKATEPYLVCPDARLILSSNPQEIKPPPKQFFRKTRTIQRKHQLYDYSKKRFRLIIS >Potri.005G176051.1.v4.1 pep chromosome:Pop_tri_v4:5:18203472:18204255:-1 gene:Potri.005G176051.v4.1 transcript:Potri.005G176051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176051.v4.1 MKDTERTSLAITKKKAQRPGGCVGIFFQLFDWNGRFAEKKLFSMKLLPAIYFIYLRTKNTHLT >Potri.006G106800.1.v4.1 pep chromosome:Pop_tri_v4:6:8249392:8254386:-1 gene:Potri.006G106800.v4.1 transcript:Potri.006G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106800.v4.1 MKRFITKRVLSSTNSLRSPRCFSQHAQKQTPLPENEIPVPKLPPFDYSPPPYTGPSAEEILAKRKEYLSPSLFHFFSKPLNVVDGKMQYLFDENGRRYLDGFGGIATVCCGHCHPDVVDAIVKQINRIQHSTVLYLNHAIADFAEALASKMPGNLKVVFFTNSGTEANELAMMIARLYTGCQDIISLRNAYHGNAAATMGATAQSVWKFNVIQSGVHHALNPDPYRGVFGSDGEKYAKDVQDIIDFGTTGHVAGFISEAIQGVGGIIELAPGYLPAAYGSVRKAGGLCISDEVQAGFGRTGNHFWGFETQGVVPDIVTMAKGIGNGIPLGAVVTTPEIAEVLTRRSYFNTFGGNPLCTAAGLAVLKVMEKENLQENALVVGSYLKKRLTELKDKYEIIGDVRGKGLMLGVELVTDRQQKTPAKAETLHVMEQMKELGVLIGKGGFYGNVFRITPPLCFTKEDADFLVDAMDYSISKM >Potri.001G320601.1.v4.1 pep chromosome:Pop_tri_v4:1:33053489:33055604:1 gene:Potri.001G320601.v4.1 transcript:Potri.001G320601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320601.v4.1 MENKREFILYNTRGDEMHMTLWGDNTRNFDEATLQTLQSPITLIAFAGFCVTEYQGRQNLNGSTASIWYFNPDTHEALAYYHYFGKLPVKVYQLPSSSNVVLSLVKHMNENKKTIKEILCMNPYEHKDMRSTCPTSIADYDIFNGWWYPSCPCCNKKLGGTKTNPVCMDHDAITSLPMPWF >Potri.011G073591.1.v4.1 pep chromosome:Pop_tri_v4:11:7695941:7697052:1 gene:Potri.011G073591.v4.1 transcript:Potri.011G073591.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073591.v4.1 MLNWPTRYKKCVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVALEIVSGRSNSSYRTTNEFVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEASSEDDQIGSLMHQCISISKACNVRSGENA >Potri.001G373200.2.v4.1 pep chromosome:Pop_tri_v4:1:39129633:39133863:-1 gene:Potri.001G373200.v4.1 transcript:Potri.001G373200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373200.v4.1 MQRVLSRRSHTFKTCDYEFFKSGFVRFIATSCRAVVLPRFGGPEMLQLRSDVEVPQLKPNEVLVRARAVSINPLDTRMRSGYGRSIFEPLLPLILGRDISGEVAAVGNSVRSFSVGEEVFGALHPTAVRGTYTDYAVLSEDELAPKPPSLTHVEASAIPFAALTAWRALKSTARITEGQRILVVGGGGAVGLAAIQLAAAARCHVTTTCGGQSIDRVLEAGAEQAVDYTAEDIELAIKGKFDAVLDTIGVPETERIGIKFLKRGGHYMTLQGEAASLTDRYGLVAGLPMATAILLKKQIQYRYSHGIEYWWTYMRADSDGLDEIHRLSEAGKMKIPVEKTFPITQAREAHEAKDKKKIPGKVVLELD >Potri.010G014250.1.v4.1 pep chromosome:Pop_tri_v4:10:2391075:2393474:-1 gene:Potri.010G014250.v4.1 transcript:Potri.010G014250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014250.v4.1 MSESEDILSQPSDSNQLIDDLTCSNLNVHSAKKSQRSKNFSQEDDCLLVSVWLNTSKDPITGVEQQTKQFWARVQISISSRWQEINREVGKFVGFVTQIENRQQSGMTEESRINNARQMYASCVGKRFQLKHCWVILRKEPKWQFERASPHQRSNKKQKKSCQCKFGFINSIYP >Potri.010G014250.2.v4.1 pep chromosome:Pop_tri_v4:10:2391075:2393474:-1 gene:Potri.010G014250.v4.1 transcript:Potri.010G014250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014250.v4.1 MSESEDILSQPSDSNQLIDDLTCSNLNVHSAKKSQRSKNFSQEDDCLLVSVWLNTSKDPITGVEQQTKQFWARVQISISSRWQEINREVGKFVGFVTQIENRQQSGMTEESRINNARQMYASCVGKRFQLKHCWVILRKEPKWQFERASPHQRSNKKQKKSCQCKFGFINSIYP >Potri.018G004150.1.v4.1 pep chromosome:Pop_tri_v4:18:324866:330205:-1 gene:Potri.018G004150.v4.1 transcript:Potri.018G004150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004150.v4.1 MAIRHCFDKDVDQVLFEGNSCVKKVILNRPHKLNSLTYHMTCQMIKELKAYEVDPKVKIVILKGNGKAFCAGGDVLASYTCMVAGHWSYGTNFYKKQIMLDYLVATYGKPVVAIIDGIVMGGGAGLSLQGTFRIVTENTVFAMPETAIGHFTDVGSSYFLSRLPGFFGEYLGLTGAKIRGAEMVECGLATHFMLAKDVRLLETALVEVTSTDTKTISEIISKFMHKPNVKQHGAFSRLEIINKCFSRTTVEEILSSLESEAGTESEKWILDAINWMKSACPTSLKISLRSIREGRKQGLEQCLIQECTIVCHILRRTVSNDFYEGIRAILLDKDKNPKWEPSKLELVTDEMLGRYFSRVDEDDMEPLQLPTRSNLVDTMRPKL >Potri.009G135400.2.v4.1 pep chromosome:Pop_tri_v4:9:10947859:10949777:1 gene:Potri.009G135400.v4.1 transcript:Potri.009G135400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135400.v4.1 MAIEAQFPFCGGSQQDWMDYGCGGLNQHFNVQQQKQQQLQQCNMHQLQSYQLQRNQDLFSDNTLVSASKNNCNTSNLSMGTLASYDEKQRQEIDHYIRLQNERLRLVLQEQKRQQLGLLLKKLESKALPILKQKDEEIAQAAKRTVELGEFLKKLEFENQTWQRMAQENEAMVVSLNNTIEQLRENSSGCFNNGAEDSESCCDVSSGAEEGLLDAADDTARKMVMVCKGCNSRNSCILFLPCRHLCSCKACEAFLDSCPVCQTPKKASIEALMV >Potri.012G047100.1.v4.1 pep chromosome:Pop_tri_v4:12:4300401:4303762:1 gene:Potri.012G047100.v4.1 transcript:Potri.012G047100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047100.v4.1 MESILGLLRIRVKRGINLAVRDVRSSDPYVVIRMGNQKLKTRVIKKDVNPHWNEDLTLSVTDPNLPVKLIVYDHDLFTKDDKMGEAEFDIRPFIETLKMNLAGVSSGTVITRIQPSRQNCLSEDSCIIYSDGKVVQDLYLRLKNVECGELEIQLQWITFPSSRGF >Potri.019G103200.1.v4.1 pep chromosome:Pop_tri_v4:19:13895580:13900223:-1 gene:Potri.019G103200.v4.1 transcript:Potri.019G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103200.v4.1 MATLYTLPSHHKFSSLTKTHKPYSSSPSLLLSTKPFTKSNPSKNTILSTKKTRTLITGSLTEDKEVVPVKDKPLKDKSNPLLVNGSKDSDLLSSSSSSTVETDDEEERMTSRAINATIVLGFGTLAVSRLLTIDHDYWHGWTLYEILRYLPEHNWIAYEQALKANPVLAKMAISGMVYSIGDWIAQCYEGKPIFEFDRTRTFRSGLVGFSLHGSLSHYYYQFCEALFPFEDWWVVPAKVAFDQTVWAALWNSIYYVALGLLRFESPDNIFSELKATFWLMLTAGWKLWPFAHLITYGVIPLEQRLLWVDCVELIWVTILSTYSNEKSEARISDTTLEANSSSSGSADEK >Potri.001G445425.1.v4.1 pep chromosome:Pop_tri_v4:1:47099822:47100390:1 gene:Potri.001G445425.v4.1 transcript:Potri.001G445425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G445425.v4.1 MLLDDDGGSSLRWRAVFAAVLPVCAEAQASSSSSRVLQQGEEDGERLMVALLQTAERERETEEIEVTVILFSSVFLFFCSFPSPSDQTLFLVSSVSRFLLPPMSSSSFSPASVFFLSSVLFSPPPPVLSFSSKCGNLPCCPGSLR >Potri.008G069700.11.v4.1 pep chromosome:Pop_tri_v4:8:4252027:4263814:-1 gene:Potri.008G069700.v4.1 transcript:Potri.008G069700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069700.v4.1 MVVLPSGGLMGTSGTLVLTNPGQLHFYNDAGLSSSTSLQETRNYVSSMQYPMVIPTIEPQLTAAKFGLVFRDGKFSKALSEAISARKPQATHSSGNTNWPLTGGVPSQLHDAEKYQVERLYIAGYQDGTVRIWDATFPTFALIYVLGPEVKGINVANVNASVSALDFCSTTLCLAIGNECGTVRLYKLVCSADEMSLKFVTETEKEVYTLDQEDGPQCTAVFSFLSSPIYALQFANSGTRLAVGFHCAQVAMLDTSTSSVLFLTDSLSGSSLPVKSLAVFSNCIDLINNSEDTGSTIVEDHVSLKVFAMTKDACIVVMDGNNGGILFSQSIKSATELISPSIYIIEGGSYISEMSSGKHLSVSSQKSDTKSESAPAASCSESSPLKVDHEASAKAARFKQREENFLLLFCCEDALHLHSLNEVDSDPIRKVNLMKPCCWSAPFKKDDKECGIILLYQTGEIEIRSLPDLEVVVESSLMSILRWNFKTNMEKTICPSENAQIILVNGCEFAAISLLASENHFRIPESLPCLHDKLLTAAADATISLSPNQKITQGASSGILGGLIKGFPGSKAEHHVDLLEVCKNDFAHLESIFSSPPFLKPSIDHADGQKVVELSIDDIDIDEPLFVSPSSETKKNDTKDKGTERERLFEGASTDSQPKLRTADEIKAKYRKGDASAAAALAKDKLIQRQEKLERLSERTAELQSGAENFASMANELAKQMEKRKWWNI >Potri.008G100700.4.v4.1 pep chromosome:Pop_tri_v4:8:6324048:6326444:1 gene:Potri.008G100700.v4.1 transcript:Potri.008G100700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100700.v4.1 MAPSTPVFDSISTEVSRFETVVESSAAKLFPRIRSGSYADIGSRPSMDDEHVRIDDLSAHLGSYFKCPSSFYAVFDGHGGPDAAAYVKRNAVRLFFEDVHLPQTSDVDDVFLRALLNSHREAFLQADSALADKSIVSSSCGTTALTALVLGRHLVVANAGDCRAVLCRKGVAVDVSQDHKPSYLPERRRVEELGGRVQGEYLNGPSVTRALSVTRALGDWDFKLPVGSTSPLTAEPEVQQFMLTEADEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPELSARELVMEASRLHSADNLTAVVVCFASPNPVESCEPRSRRLRFFCLSEEARSKLKSLFEGN >Potri.008G100700.1.v4.1 pep chromosome:Pop_tri_v4:8:6323927:6326494:1 gene:Potri.008G100700.v4.1 transcript:Potri.008G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100700.v4.1 MKETTEIMCNVIDVQYQLCLAKDQQNMAPSTPVFDSISTEVSRFETVVESSAAKLFPRIRSGSYADIGSRPSMDDEHVRIDDLSAHLGSYFKCPSSFYAVFDGHGGPDAAAYVKRNAVRLFFEDVHLPQTSDVDDVFLRALLNSHREAFLQADSALADKSIVSSSCGTTALTALVLGRHLVVANAGDCRAVLCRKGVAVDVSQDHKPSYLPERRRVEELGGRVQGEYLNGPSVTRALSVTRALGDWDFKLPVGSTSPLTAEPEVQQFMLTEADEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPELSARELVMEASRLHSADNLTAVVVCFASPNPVESCEPRSRRLRFFCLSEEARSKLKSLFEGN >Potri.008G100700.5.v4.1 pep chromosome:Pop_tri_v4:8:6323946:6326419:1 gene:Potri.008G100700.v4.1 transcript:Potri.008G100700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100700.v4.1 MKETTEIMCNVIDVQYQLCLAKDQQNMAPSTPVFDSISTEVSRFETGAICSVLNGVIRRLISQGLQVVESSAAKLFPRIRSGSYADIGSRPSMDDEHVRIDDLSAHLGSYFKCPSSFYAVFDGHGGPDAAAYVKRNAVRLFFEDVHLPQTSDVDDVFLRALLNSHREAFLQADSALADKSIVSSSCGTTALTALVLGRHLVVANAGDCRAVLCRKGVAVDVSQDHKPSYLPERRRVEELGGRVQGEYLNGPSVTRALSVTRALGDWDFKLPVGSTSPLTAEPEVQQFMLTEADEFLIIGCDGIWDVMSSQHAVSLVRRGLRRHDDPELSARELVMEASRLHSADNLTAVVVCFASPNPVESCEPRSRRLRFFCLSEEARSKLKSLFEGN >Potri.011G035913.1.v4.1 pep chromosome:Pop_tri_v4:11:2753146:2753753:1 gene:Potri.011G035913.v4.1 transcript:Potri.011G035913.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G035913.v4.1 MIGSFLLATHTTVCSGILWYQIPRYLLLELPGQKNPSAKLFPLEIRRSPSLTVWFVFKESWDVQDDNWSDCRRIQKQHKKDNKHKERWNCVEKDKIIQTFILKNFLIKFKY >Potri.008G225101.1.v4.1 pep chromosome:Pop_tri_v4:8:19165149:19166164:-1 gene:Potri.008G225101.v4.1 transcript:Potri.008G225101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G225101.v4.1 MSEPRPREQVETPERDRARTAGREGSRRDKQQGGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPMHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSLSSAVRTSHVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQRAPGPVRGHPPKEQ >Potri.006G225400.1.v4.1 pep chromosome:Pop_tri_v4:6:22993051:22993830:1 gene:Potri.006G225400.v4.1 transcript:Potri.006G225400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225400.v4.1 MQLQEMGYLNGPLTSPRGRWFTLFFKIVIVLEMVMFARANSDADPMGEPAAGLLCISDCVTCPVICSPPPPPLLDYPSPPSRHSPSPQHSYHSPPPQPPPPTSPPPSPPAYHSPPRSPQAPSWYPIWGTPPPPVYHFNTPNGQAPPAKGLYPYPYYYYYSSKASSFSLHASHFFLLGVIHVVYFLF >Potri.019G070393.1.v4.1 pep chromosome:Pop_tri_v4:19:11098260:11104488:1 gene:Potri.019G070393.v4.1 transcript:Potri.019G070393.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070393.v4.1 MASSSAVARKWKYDVFLSFRGKDTRNNFTSHLCKDLRRQKIKTFIDDRLERGEEITPALLKTIEESRVSIVIFSENYASSPWCLDELVKILECKETYGQIVLPVFYHVDPSDVDEQTGSFGNAFSELEKNFKGKMGKVPRWRADLTYAASISGWDSQVTSPEAKLISEVVQTICKRLNRASPCKLRDLVGVDSRIEKINKLLSIVASDVRIIGIWGMGGIGKTTIAEAFFYSISSQYEGCHFLPNIRQESEKGPLSDLRDDLLSKLLEEENLRVGTPHIGPTFIRDRLCQKKVLLVLDDVNDARQFQQLIEVPLIGAGSVVVVTSRDKQVLKNVADEIYEVEELNSHEALELFSLIAFKGNHPPKSYMELSITAINYAKGNPLALRVLGSFLIRRERHFWESQLNNIESFPELNICDLLRIGFDALRDNNTKSIFLDIACFFRGHQVDFVKRILDGCGFKTDIGFSVLIDRCLIKFSDDKVQMHDLLQEMAHEVVRKESLNELGGQSRSWSPKDVYQVLTNNQGTGKVEGIFLDVSKIREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPHGLESLSEELRYLHWDGYPLTSLPSNFRPQNLVEINLSCSKVNRLWRGHQNLVNLKDVNLSNCEHITFMPDLSKARNLERLNLQFCTSLVKFPSSVQHLDKLVDLDLRGCKRLINLPSRINSSCLETLNVSGCANLKKCPETARKLTYLNLNETAVEELPQSIGELNGLVALNLKNCKLLVNLPENMYLLKSLLIADISGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGDLRELIYLDLGGCNRLKNLPSAVSKLVCLEKLDLSGCSNITEFPKVSNTIKELYLNGTAIREIPSSIECLFELAELHLRNCKQFEILPSSICKLRKLQRLNLSGCVQFRDFPEVLEPMVCLRYLYLEQTRITKLPSPIGNLKGLACLEVGNCQHLRDIECIVDLQLPERCKLDCLRKLNLDGCQIWEVPDSLGLVSSLEVLDLSGNNFRSIPISINKLFELQYLGLRNCRNLESLPELPPRLSKLDADNCWSLRTVSCSSTAVEGNIFEFIFTNCKRLRRINQILEYSLLKFQLYTKRLYHQLPDVPEEACSFCLPGDMTPEWFSHQSWGSIVTFQLSSHWAHTKFLGFSLCAVIAFHSFSHSLQVKCTYHFHNEHGDSHDLYCYLHVCYGNDLYCYLHDWYGEKRINSKHIFVGLDPCLVAKENDMFSKYSEVSVEFQLEDMNGYLLPLDLCQVVECGVRLLHANDEDEIQRFHLIDSSRFYPLDLDELEARFQAKRARLEANRLEDFFDLRRIYEFLTNSLLLSIFYSEELYHEMPMSYTMSSRECSSFYLPGDVTPEWFSHQRWGSTVTFHLSSQWANSKSFLGFCLCAVIAFCSFGHSLQVKCTYHFCNEHGDSHDLYFYLRDWYDKECINSTHIFVGFDPCLVAKEKDMFSEYSEVSVEFQPADIYGNLLPLNLCQVYECGVRPLDTEYEIYRFALPMQGHYRICSLDRDELEAMFQARRGRFEGMMKLTNRRNKEMTKSSQLSQHRRPLL >Potri.010G177300.1.v4.1 pep chromosome:Pop_tri_v4:10:17708337:17713578:1 gene:Potri.010G177300.v4.1 transcript:Potri.010G177300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9A3 MHSANHWGGSFEIYNGAAESTTDDEKSRNMEWDKAALQPQRHHLDETQQSWLLYRQETKKKKYVDFGCIACSHRALKWTLYAFVFAVLVILLPTVLAKTLPKHRSKPSPPDNYTLALHKALLFFNAQKSGKLPKNNGIPWREDSGLQDGNGSDFSKLGLVGGYYDAGDNTKFHFPMAFAMTMLSWSVIEYSQKYEAIGEYKHTRDLIKWGTDYLLLTFNSSASKIDKIYCQVGGSQNGSRQPDDHYCWQRPEDMDYPRPSRAVNAGSDLAGEMAAALAAASIIFRDDEVYSKKLVRGAETVYAFARDLGKRQPYSRGKPYIEPFYNSTGYYDEFIWGATWLYYATGNVNYIRWATEPGFSKHSKALYRISDLSVLSWDNKLPAAMLLLTRCRIFLNPGYPYEEMLHMYHNKTELNMCSYLQQFNVFNWTKGGMIQLSSGRPQPLQYVANTAFLASLFVDYLNATRVPGFQCGSKFIPLDVLRSFATSQINYILGDNPMKMSYVVGYGTKFPRHIHHRGASIPNDKRSYSCTGGWKWRDSPKPNPNNITGAMVGGPDRFDRFRDVRKNYNFTEPTLAGNAGLVAALSSLTSSGGIGIDKNRMFSAVPPLYPPSPPPPPAWKP >Potri.010G177300.3.v4.1 pep chromosome:Pop_tri_v4:10:17710498:17713403:1 gene:Potri.010G177300.v4.1 transcript:Potri.010G177300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9A3 MAFAMTMLSWSVIEYSQKYEAIGEYKHTRDLIKWGTDYLLLTFNSSASKIDKIYCQVGGSQNGSRQPDDHYCWQRPEDMDYPRPSRAVNAGSDLAGEMAAALAAASIIFRDDEVYSKKLVRGAETVYAFARDLGKRQPYSRGKPYIEPFYNSTGYYDEFIWGATWLYYATGNVNYIRWATEPGFSKHSKALYRISDLSVLSWDNKLPAAMLLLTRCRIFLNPGYPYEEMLHMYHNKTELNMCSYLQQFNVFNWTKGGMIQLSSGRPQPLQYVANTAFLASLFVDYLNATRVPGFQCGSKFIPLDVLRSFATSQINYILGDNPMKMSYVVGYGTKFPRHIHHRGASIPNDKRSYSCTGGWKWRDSPKPNPNNITGAMVGGPDRFDRFRDVRKNYNFTEPTLAGNAGLVAALSSLTSSGGIGIDKNRMFSAVPPLYPPSPPPPPAWKP >Potri.001G101100.2.v4.1 pep chromosome:Pop_tri_v4:1:8127974:8131349:-1 gene:Potri.001G101100.v4.1 transcript:Potri.001G101100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101100.v4.1 MASQEHLDKMQLRQNYRNLWHTDLMGTIQADAPYCCLACWCGPCVSYLLRKRALYNDMSRYVCCAGYMPCSGRCGESRCPELCLATEVFLCFGNSVASTRFMLQDEFNIQTTRCDNCIIGFMFCLQQIACIFSIVAMIVGSGEIQEASQLLSCLAELVYCTVCACMQTQHKVEMDKRDGMFGPQPVMAVPPIQQMSRIDQAIPPSVGYPPQGYGQPYGYPPQAQGYPAAGYPPPAYPPSNYPPSGYPK >Potri.013G036400.1.v4.1 pep chromosome:Pop_tri_v4:13:2402705:2404131:1 gene:Potri.013G036400.v4.1 transcript:Potri.013G036400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036400.v4.1 MIPACFSNPSTLSSTSQVPQNLVTCIYQTQLCNSPTYLTLTWSKSLFSHSLTIYAADSFSITISLYPSSFSFFRNKPGSKSLYLTHHHYQRIKLYWDFTRAEFSHNSAEPESRFYIAISCDARLEFFLGDLYSELTRRSGLVMARQLGHEPALLSRREHVFGRKSYVSRANFLGSKHEIGIECSGGMLVVKVDGEISLVIKRLAWKFRGNERIYVGGLEVEFFWDVFNWVNNNNNGLGGAHGHGVFIFQVGDGGVWPQMAGPEKRLMRKSMSMVGPTSTPPALSLSSPSPSCSSVLQWAEESSDCGRSSCSSSTSRSCGSNIGGFSLLLYAWRKD >Potri.006G022100.4.v4.1 pep chromosome:Pop_tri_v4:6:1496985:1504099:-1 gene:Potri.006G022100.v4.1 transcript:Potri.006G022100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022100.v4.1 MRLLRLWLLLLIMIVLNSIPSESAVSSIDLGSDWLKVAVVNLKPGQTPISIAINEMSKRKTPALVAFQSGTRLLGEEAAGITARYPDKVYSHLRDMLGKTYDQVKEFLDAMYLPFDVVEDSRGAVAFRIEDESGNVGLYSVEELLGMILGFAGDLAEFHSKVVVKDTVVSVPAYFGQAERRALVQAAQLAGINVLALINEHSGAALQYGIDKDFSNGSRYVVFYDMGASSTYAALVYFSAYNAKEFGKTVSVNQFQVKDVRWDPELGGRSMESRLVEFFADEFNKQVGSGIDVRKSPKAMAKLKKQVKRTKEILSANTMAPISVESLYDDRDFRSSITREKFEELCGDLWDRSLVPIKEVLKHSGLKVDEIYAVELIGGATRVPKLQAKLQEFLGKNELDKHLDADEAIVLGSSLHAANLSDGIKLNRKLGMVDGSSYGLVVELDGSDLQKDESTRQLLVPRMKKLPSKMFRSIIHKKDFEVSLAYESDLLPPSVTSPIFAQYAVSGLTDASEKYSSRNLSSPIKANLHFSLSKSGILSLDRADAVIEISEWVEVPKKNLTVENTTTTSPNITLESDTKNTTEESDVNLNSDGVTDNSSNNNVEGPSTTEPVTEKKLKKRTFRVPLKIVEKTVGPGMPPSKEYLAEAKRKLEELNKKDAERRRTAELKNNLEGYIYSTKEKLETSEEFEKISTADERKSFIEKLDEVQEWLYTDGEDATAKEFEERLDSLKAIGDPIFFRYKELSARPKSIELARKYPGELQQIVKGWETKKPWLPKDRVDEVVGDADKLKSWLDKKEAEQKKASGFSTPVFTSEEVYLKVFSLQEKVASVNRIPKPKPKKNESETSSDKTSSADSTSGETPEKEKQTTDSNGSADEKINPDGSTVEDVNPEPEARDEL >Potri.006G022100.1.v4.1 pep chromosome:Pop_tri_v4:6:1497398:1504428:-1 gene:Potri.006G022100.v4.1 transcript:Potri.006G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022100.v4.1 MRLLRLWLLLLIMIVLNSIPSESAVSSIDLGSDWLKVAVVNLKPGQTPISIAINEMSKRKTPALVAFQSGTRLLGEEAAGITARYPDKVYSHLRDMLGKTYDQVKEFLDAMYLPFDVVEDSRGAVAFRIEDESGNVGLYSVEELLGMILGFAGDLAEFHSKVVVKDTVVSVPAYFGQAERRALVQAAQLAGINVLALINEHSGAALQYGIDKDFSNGSRYVVFYDMGASSTYAALVYFSAYNAKEFGKTVSVNQFQVKDVRWDPELGGRSMESRLVEFFADEFNKQVGSGIDVRKSPKAMAKLKKQVKRTKEILSANTMAPISVESLYDDRDFRSSITREKFEELCGDLWDRSLVPIKEVLKHSGLKVDEIYAVELIGGATRVPKLQAKLQEFLGKNELDKHLDADEAIVLGSSLHAANLSDGIKLNRKLGMVDGSSYGLVVELDGSDLQKDESTRQLLVPRMKKLPSKMFRSIIHKKDFEVSLAYESDLLPPSVTSPIFAQYAVSGLTDASEKYSSRNLSSPIKANLHFSLSKSGILSLDRADAVIEISEWVEVPKKNLTVENTTTTSPNITLESDTKNTTEESDVNLNSDGVTDNSSNNNVEGPSTTEPVTEKKLKKRTFRVPLKIVEKTVGPGMPPSKEYLAEAKRKLEELNKKDAERRRTAELKNNLEGYIYSTKEKLETSEEFEKISTADERKSFIEKLDEVQEWLYTDGEDATAKEFEERLDSLKAIGDPIFFRYKELSARPKSIELARKYPGELQQIVKGWETKKPWLPKDRVDEVVGDADKLKSWLDKKEAEQKKASGFSTPVFTSEEVYLKVFSLQEKVASVNRIPKPKPKKNESETSSDKTSSADSTSGETPEKEKQTTDSNGSADEKINPDGSTVEDVNPEPEARDEL >Potri.001G218300.1.v4.1 pep chromosome:Pop_tri_v4:1:22816854:22817600:1 gene:Potri.001G218300.v4.1 transcript:Potri.001G218300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218300.v4.1 MASSDPSRPATGYPFVPNGLHHPPPPAGSAYPYQAPPPHQPTYPYTYNTNQTYPNQRAIFLRRLIIALIIFTGIFFTILFICWLVIRPHFPEFRVTSLSISNFNVSSSSSSVTGTWNARFQVSNPNKKMKISYNEIQTSIFYKSEFLSQTRIPPFRQGKRNVTDIDVEYGATSSYIGERTVNQINSDEGRRLVSFNLRIVADAGFKVEGFWARRRLLRVYCNDVAVGTSGNGRSGNLTGGAVRCSVYA >Potri.018G029900.1.v4.1 pep chromosome:Pop_tri_v4:18:2211907:2213759:-1 gene:Potri.018G029900.v4.1 transcript:Potri.018G029900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029900.v4.1 MSRPGDWNCRSCQHLNFQRRDSCQRCGDPRPGERDHYGSFGGRSGGSFGFTGPDVRPGDWYCSVGNCGAHNFASRSSCFKCGMSKDESSGGGLDADISWMRGYGFGGGSASSRSNWKSGDWICTRSGCNEHNFASRTECYRCNAPRESGSNKSSY >Potri.013G106200.1.v4.1 pep chromosome:Pop_tri_v4:13:11534537:11537134:1 gene:Potri.013G106200.v4.1 transcript:Potri.013G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106200.v4.1 MPWQRDVPPMPLIILLVVAAKYMDMDLRLLFSYLLPPAVLCISLYLVVSAYRRKSSNAKFPPGKTGWPIIGETWDFVRAGRSGTPEKFVNDRMSKYSTDVFHTSLLGDNLAMFCGVSGNKFLFSSENKYVTTWWPRPIQRILSFPEEIVTSSKDDSTILRRFLPEILKPEALKHYIPVMDSMAKDHLEADWSPYKQVRVLPLSKKYTFALACRLFMNIKDPAHVSRLENHFNLVTNGLVSVPINFPGTTYYRAVKGGKIIREELLAIMKQRKGELASENYEERAEATDLLTLMLLASDDNGQPLNERDIAYKVLGLLVAGHDTTSSAITMVMYYLAEYPHIYQGVLEEQKEIAMSKAPGELLNWDDVQKMKYSWSVACEVLRVSPPVSGTFREVIADFSFAGFTIPKGWKAYWSVYSTHKNPKYFPDPEKFDPSRFEGKGPAPYTFVPFGGGPFMCAGKEYARLEILVFMHNLVNRVKWEKVIPNEKIMYTSFAMPVKGLPVLLQPLRN >Potri.013G106200.2.v4.1 pep chromosome:Pop_tri_v4:13:11534750:11537242:1 gene:Potri.013G106200.v4.1 transcript:Potri.013G106200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106200.v4.1 MPLIILLVVAAKYMDMDLRLLFSYLLPPAVLCISLYLVVSAYRRKSSNAKFPPGKTGWPIIGETWDFVRAGRSGTPEKFVNDRMSKYSTDVFHTSLLGDNLAMFCGVSGNKFLFSSENKYVTTWWPRPIQRILSFPEEIVTSSKDDSTILRRFLPEILKPEALKHYIPVMDSMAKDHLEADWSPYKQVRVLPLSKKYTFALACRLFMNIKDPAHVSRLENHFNLVTNGLVSVPINFPGTTYYRAVKGGKIIREELLAIMKQRKGELASENYEERAEATDLLTLMLLASDDNGQPLNERDIAYKVLGLLVAGHDTTSSAITMVMYYLAEYPHIYQGVLEEQKEIAMSKAPGELLNWDDVQKMKYSWSVACEVLRVSPPVSGTFREVIADFSFAGFTIPKGWKAYWSVYSTHKNPKYFPDPEKFDPSRFEGKGPAPYTFVPFGGGPFMCAGKEYARLEILVFMHNLVNRVKWEKVIPNEKIMYILSQDNIGTWV >Potri.005G164500.3.v4.1 pep chromosome:Pop_tri_v4:5:16311362:16314240:1 gene:Potri.005G164500.v4.1 transcript:Potri.005G164500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164500.v4.1 MEREREQQVYLARLAEQAERYDEMVEAMKKVAKLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYMQSVEDELAKICNDILSVIDQHLIPSSSTGESTVFYYKMKGDYYRYLAEFKGADERKEAADQSLKAYEAATSTAISDLLPTHPIRLGLALNFSVFYYEILNSPERACRLAKQAFDDAIAELDSLNEDSYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSAADEPKAVN >Potri.005G164500.4.v4.1 pep chromosome:Pop_tri_v4:5:16311518:16314456:1 gene:Potri.005G164500.v4.1 transcript:Potri.005G164500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164500.v4.1 MVEAMKKVAKLDVELTVEERNLVSVGYKNVIGARRASWRILSSIEQKEEAKGNEQNVKRIKEYMQSVEDELAKICNDILSVIDQHLIPSSSTGESTVFYYKMKGDYYRYLAEFKGADERKEAADQSLKAYEAATSTAISDLLPTHPIRLGLALNFSVFYYEILNSPERACRLAKQAFDDAIAELDSLNEDSYKDSTLIMQLLRDNLTLWTSDLPEEGGEQSAADEPKAVN >Potri.003G200450.1.v4.1 pep chromosome:Pop_tri_v4:3:20078497:20080334:1 gene:Potri.003G200450.v4.1 transcript:Potri.003G200450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200450.v4.1 MHKDRGYDVADTELTRSLMEFRSIFGNCPDLDSLRFSISLRSNPYNKNLVIFMGTDEIRTANIRAVYGQILSKESRQGLILILQSKMNHFAKKEPEKFPFKVKVFQVHPPVV >Potri.012G067800.3.v4.1 pep chromosome:Pop_tri_v4:12:8817912:8823800:1 gene:Potri.012G067800.v4.1 transcript:Potri.012G067800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067800.v4.1 MGCVSAKQAVSVTPALDHSGAFNTGRIRVGVDQHPSFKKNGDRRHHHEMVVSCGGSELGESGRAPSSNGESLSFRLRNLHKYIEGEQVAAGWPAWLSAVAGEAIHGWVPLNADGFEKLDKIGQGTYSSVFRARETETGRIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIMKLDGLITSRLSCSLYLVFEYMEHDITGLLSCPDVRFSESQIKCYMRQLLSGLDHCHSKGVMHRDIKGSNLLVNNEGILKVGDFGLANFCTSGHRQPLTSRVVTLWYRPPELLLGSTDYGASVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSCLRETFKDLPTTAVNLIETLLSVEPYNRGTAFSALASEYFSTKPYACDPSSLPKYPPSKEIDAKKHEEAGRKKISGRGRGTETRKCTRKPYGISKLAPAEVSLAHAHAHTHAVVCGS >Potri.012G067800.2.v4.1 pep chromosome:Pop_tri_v4:12:8817872:8823879:1 gene:Potri.012G067800.v4.1 transcript:Potri.012G067800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067800.v4.1 MGCVSAKQAVSVTPALDHSGAFNTGRIRVGVDQHPSFKKNGDRRHHHEMVVSCGGSELGESGRAPSSNGESLSFRLRNLHKYIEGEQVAAGWPAWLSAVAGEAIHGWVPLNADGFEKLDKIGQGTYSSVFRARETETGRIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIMKLDGLITSRLSCSLYLVFEYMEHDITGLLSCPDVRFSESQIKCYMRQLLSGLDHCHSKGVMHRDIKGSNLLVNNEGILKVGDFGLANFCTSGHRQPLTSRVVTLWYRPPELLLGSTDYGASVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSCLRETFKDLPTTAVNLIETLLSVEPYNRGTAFSALASEYFSTKPYACDPSSLPKYPPSKEIDAKKHEEAGRKKISGRGRGTETRKCTRKPYGISKLAPAEDLAARIQCGQINTSNMRIPKVRDGKSGGEARKPSLDKLEEIFHIKNASQGDIPFSGPLQVSSSSGFAWAKRRNGDASIRSHSRSISRGHSNNGLEPSSEEKNNSDSKQHNNGDLIYGVRTNSRGHNSYEISKFALQKQWSQFERPDSFDASEEYHSQELSLALYQREGMESKRSNLVFQDQMDKVDFSGPLLSQSHRVDELLERHERHIRQAVRKSWFHRGKKHGK >Potri.012G067800.1.v4.1 pep chromosome:Pop_tri_v4:12:8817902:8823881:1 gene:Potri.012G067800.v4.1 transcript:Potri.012G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067800.v4.1 MGCVSAKQAVSVTPALDHSGAFNTGRIRVGVDQHPSFKKNGDRRHHHEMVVSCGGSELGESGRAPSSNGESLSFRLRNLHKYIEGEQVAAGWPAWLSAVAGEAIHGWVPLNADGFEKLDKIGQGTYSSVFRARETETGRIVALKKVRFDNFEPESVRFMAREILILRRLDHPNIMKLDGLITSRLSCSLYLVFEYMEHDITGLLSCPDVRFSESQIKCYMRQLLSGLDHCHSKGVMHRDIKGSNLLVNNEGILKVGDFGLANFCTSGHRQPLTSRVVTLWYRPPELLLGSTDYGASVDLWSVGCVFAELLLGKPILQGRTEVEQLHKIFKLCGSPPDEYWKKSKLPHATLFKPQQPYDSCLRETFKDLPTTAVNLIETLLSVEPYNRGTAFSALASEYFSTKPYACDPSSLPKYPPSKEIDAKKHEEAGRKKISGRGRGTETRKCTRKPYGISKLAPAEDLAARIQCGQINTSNMRIPKVRDGKSGGEARKPSLDKLEEIFHIKNASQGDIPFSGPLQVSSSSGFAWAKRRNGDASIRSHSRSISRGHSNNGLEPSSEEKNNSDSKQHNNGDLIYGVRTNSRGHNSYEISKFALQKQWSQFERPDSFDASEEYHSQELSLALYQREGMESKRSNLVFQDQMDKVDFSGPLLSQSHRVDELLERHERHIRQAVRKSWFHRVIGKKHGK >Potri.T124407.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:16388:19669:1 gene:Potri.T124407.v4.1 transcript:Potri.T124407.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124407.v4.1 MSGLRVLKRKGRENMDQTQKKEAAVVLDSCKSSGAIKKMKKDDESQSKGKKTCDLVSFWELPEYMKDNEFILSYYRADWPLKKALFSVFRWHNETLNVWTHLLGFFLFVGLTVANLMQVPQVADLLGLFTWSIITSAQRNVSNDSKDFYLGTTELLDLGHNLPMKTDVSSLGMPATRWPFYVFLGGSMFCLLSSSVCHLFSCHSHSLNILLLRMDYVGIVIMIITSFFPPMYYIFQCEPHWQFIYLGGITVMGMFTIVTLLSPPLSTGKFRAFRAMLFASMGLFGLIPAVHSVIANWSNPKRDTIVAYEFAMAIFYLTGTGLYVSRFPERLKPGLFDLTGHSHQIFHVFVVLGALAHYGATLLFLEYRDLVGCEVNL >Potri.005G068400.1.v4.1 pep chromosome:Pop_tri_v4:5:4432778:4440584:1 gene:Potri.005G068400.v4.1 transcript:Potri.005G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068400.v4.1 MLLHTHFFQTLRTARRVLGNVMKDSVQLSSKNCMPLRRGKRVVSFQGSAVRFVQTSYRISLPAGNAFGNQEKVSSKILKDKKTVPDSDPPSDRDVDLLYQFFDRSTKLVVLTGAGISTECGIPDYRSPNGAYSSGFRPITHQEFVRSSRTRRRYWARSYAGWRRFHAAEPSAAHFALASLEKAGRIDFMITQNVDRLHHRAGSNPLEIHGTVYSVTCLDCNFSFPRSSFQDQLKALNPKWAEAIESLDNGSPGSEKSFGMKQRPDGDIEIDEKFWEADYHIPACPKCNGVLKPDVVFFGDNVPKDRADKAMDAAKGCDAFLVLGSSLMTMSAFRLVRAAHEAGAATAIVNLGVTRADDIVPLKINARLGEILPRVLNIGSLSIPALS >Potri.005G068400.7.v4.1 pep chromosome:Pop_tri_v4:5:4432821:4441287:1 gene:Potri.005G068400.v4.1 transcript:Potri.005G068400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068400.v4.1 MLLHTHFFQTLRTARRVLGNVMKDSVQLSSKNCMPLRRGKRVVSFQGSAVRFVQTSYRISLPAGNAFGNQEKVSSKILKDKKTVPDSDPPSDRDVDLLYQFFDRSTKLVVLTGAGISTECGIPDYRSPNGAYSSGFRPITHQEFVRSSRTRRRYWARSYAGWRRFHAAEPSAAHFALASLEKAGRIDFMITQNVDRLHHRAGSNPLEIHGTVYSVTCLDCNFSFPRSSFQDQLKALNPKWAEAIESLDNGSPGSEKSFGMKQRPDGDIEIDEKFWEADYHIPACPKCNGVLKPDVIFSCTGL >Potri.006G180200.1.v4.1 pep chromosome:Pop_tri_v4:6:18688886:18689710:-1 gene:Potri.006G180200.v4.1 transcript:Potri.006G180200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180200.v4.1 MVAIKRSFFLINLKLSHHVRLKKNGRKCFATALAKRMEPSENSRSQEQPVFPVTVPKNILSQSAAPVFRLKKNGRKCFATALAKRKEPSENSRSQEQPVFPLRVPKNILSQSAAAVFGLGFIDAGYSGDWSRIGVISKESEDLLKVAAFVVIPLCVFLIFSVSKEE >Potri.010G165100.1.v4.1 pep chromosome:Pop_tri_v4:10:16902778:16904757:-1 gene:Potri.010G165100.v4.1 transcript:Potri.010G165100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165100.v4.1 MALRLGMLKCAAEANNGCRLGIRKWTHVVAMAPPLDGALESSIASPQFTLPEFDANQDTSNNSSDFGFTFPGFSFGGSMELMAVPKRKVTPHKRGIRNGPKALKPTPVIIRCKSCGQVKLPHFYCCSGDRGKNGKRNN >Potri.019G119700.3.v4.1 pep chromosome:Pop_tri_v4:19:14568066:14572276:1 gene:Potri.019G119700.v4.1 transcript:Potri.019G119700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119700.v4.1 MVRRGLNQRDPRAMHSRLSTVFFSYAFLLCSSLLCCFARDTITRASSLITNDGGETIVSAGTRFELGFFAPEQSSAYGRYVGIWYYRSNPRIVVWVANRDNPLLDGGAVLAVTEDGNLKILEKNGDPFWSTGLESTSKPANGLAKLLDSGNLVLCDSNNLSTTILWQSFEHPTDTFLSGMKISGNLTLTSWKSQVDPKKGDFTFQLDEETNQFVIVNDHITYWTSTGESSDFFSSERMPDGTVYFLSNFTRSVPNSRGSRTTRSPSDYNNTRIRLDVKGELQYWTSDVYTNWYLQWFEPRDKCSVLNACGSFGSCNLYNRLACRCLPGFEPNSQENWRNEDFSGGCIRSAPVCKNDTFLSLKNMRVGQPDIKYEAEDEKQCREGCLDKCQCQAYSFVKWEINMRRDRQPGHNTCLMWMDDLKDLQEEYSYDGPDLFVRVPIAEIESKAKSCEPCGINVIPYPLSTGSDCGDPMYSSFYCDNSTGKLSFKTHNGTYNVTTINQDTRTFVIQEKDVDDCNASTRGQIRKFNTSSPFKMNASKRWCDSNVSSQGLVEIDIGWAPPPEPVCSSSSDCDDWPNSTCNVTGNGTARCLCNSNFWWDGMALNCVHGGYSRKKKPLPLIVGVTIASVIVLSSIFLYICILMRKKAKRRESQQNTERNAALLYGTEKRVKNLIDAEEFKEEDKKGIDVPFFDLDSILAATDYFSEANKLGRGGFGPVYKGKFPGGQEIAIKRLSSVSGQGLEEFKNEVILIARLQHRNLVRLVGYCVKGEEKILLYEYMPNKSLDSFIFDRDLGMLLNWEMRFDIILGVARGLLYLHQDSRLRIIHRDMKTSNILLDAEMNPKISDFGLARMFEGKQTEGSTNRVVGTYGYMSPEYALDGLFSVKSDVFSFGVVVLEILSGKRNTGYFNSDEAQSLLAYAWRLWREDKALDLMDETLRESCNTNEFLRCVNAALLCVQDDPSDRPTMSNVVVMLSSETANLPVPKNPAFFIRRGLSGTASCSSKQGTGLFGTASSSSKQETSIDTTIASDEGR >Potri.002G108900.2.v4.1 pep chromosome:Pop_tri_v4:2:8121475:8125349:-1 gene:Potri.002G108900.v4.1 transcript:Potri.002G108900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108900.v4.1 MEKQQELEWAKAQKIATNVDLVAAAKKQLRFLAEVDRHRYLYDGPSLDRAIHRYKYCWLPLLAKHAKSPVTKSPLVAPLDCEWIWHCHRLNPVCYRNDCKELYGRILGTWNVVSSTQAVCKKQTEEFWNRTYPTEQYELNPSTQLVEGVGEAILGAQKSTEYDLVSAVKRQSSFYYQVSSPHMKNDTFLEEAVARYKGFLYLIKRNQERSIRHFSVPTYDVDLIWHSHQLHPVSYCKDLVAIIGRVLEHDDTDSDRSKGKRLDTGFSGTTKQWEETFGSRYWKAGAMHRSDAPSPLKISLGELDTSNKNDTASNQYQSIIQLPKKKLIEVMVEIVEVRDLPAEHNGGLSVILSKKQPDLYFNGRRMSILSKAGKKDVAVFRCEPTGELIFKLVSYPSSVSHIARPEKILGTALISLHDLMKTGSPLSIEKWFELVPNSGIVGSKPVNLWIALSFTPPVQAPSLLHMVQTRPSTTSCFFPLSGSFQQDETWTCVVDEGGNRIINLQMRYSKKAEAKDKKEVIGMTSSGERLVLAEFAGTGWSLMNSSWWLQPHQIITDASRIFELTGSHKVIVFPGRKLEYEIKCEKHKSEQNFMTAVKFSAEYPHGKAVALFDLKSASLKVNEEWLGFPGILLAFLLSDTPRNESNGQFNTDGESAKEMDTDSKQYANTSSKEDKTTNQIQDIEDTAKATTQEPYKSGDECDCGVVAQVEVMGGKGTGVVKNVTGEENHSNGSKAIINSGTYCSNLLGLVGSDSASHLILEDEAKSAHCGGCGSCHGGCGGCGSQTASSIFQGDAANSPHCGGCGSCHGGCGGCGSCHGSSCGQDQQ >Potri.002G108900.1.v4.1 pep chromosome:Pop_tri_v4:2:8121476:8125458:-1 gene:Potri.002G108900.v4.1 transcript:Potri.002G108900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108900.v4.1 MEKQQELEWAKAQKIATNVDLVAAAKKQLRFLAEVDRHRYLYDGPSLDRAIHRYKYCWLPLLAKHAKSPVTKSPLVAPLDCEWIWHCHRLNPVCYRNDCKELYGRILGTWNVVSSTQAVCKKQTEEFWNRTYPTEQYELNPSTQLVEGVGEAILGAQKSTEYDLVSAVKRQSSFYYQVSSPHMKNDTFLEEAVARYKGFLYLIKRNQERSIRHFSVPTYDVDLIWHSHQLHPVSYCKDLVAIIGRVLEHDDTDSDRSKGKRLDTGFSGTTKQWEETFGSRYWKAGAMHRSDAPSPLKISLGELDTSNKNDTASNQYQSIIQLPKKKLIEVMVEIVEVRDLPAEHNGGLSVILSKKQPDLYFNGRRMSILSKAGKKDVAVFRCEPTGELIFKLVSYPSSVSHIARPEKILGTALISLHDLMKTGSPLSIEKWFELVPNSGIVGSKPVNLWIALSFTPPVQAPSLLHMVQTRPSTTSCFFPLSGSFQQDETWTCVVDEGGNRIINLQMRYSKKAEAKDKKEVIGMTSSGERLVLAEFAGTGWSLMNSSWWLQPHQIITDASRIFELTGSHKVIVFPGRKLEYEIKCEKHKSEQNFMTAVKFSAEYPHGKAVALFDLKSASLKVNEEWLGFPGILLAFLLSDTPRNESNGQFNTDGESAKEMDTDSKQYANTSSKEDKTTNQIQDIEDTAKATTQEPYKSGDECDCGVVAQVEVMGGKGTGVVKNVTGEENHSNGSKAIINSGTYCSNLLGLVGSDSASHLILEDEAKSAHCGGCGSCHGGCGGCGSQTASSIFQGDAANSPHCGGCGSCHGGCGGCGSCHGSSCGQDQQ >Potri.004G165400.1.v4.1 pep chromosome:Pop_tri_v4:4:18451908:18452332:-1 gene:Potri.004G165400.v4.1 transcript:Potri.004G165400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G165400.v4.1 MGFRLSAIVRAKQMLQLSPSASSVPKGCLAVYVGETQKKRFVIPVSYLNQAIFQDLLSQAEEKFGYDHPMGGLTIPCREEIFMDVISCLS >Potri.001G309650.1.v4.1 pep chromosome:Pop_tri_v4:1:32020195:32021330:-1 gene:Potri.001G309650.v4.1 transcript:Potri.001G309650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309650.v4.1 MSSTSFSPSTQLFQDFLKKFPSRKLLQQALFSQPSMLPSPSPSGGTIHPPSELYTSHRYVPNIVLVILLVLCAIIGSLALFTIIKNVLECSSLIASEYNGNLAARLANYTARIELISLKTFSTGHTQGK >Potri.005G052700.1.v4.1 pep chromosome:Pop_tri_v4:5:3328283:3331652:-1 gene:Potri.005G052700.v4.1 transcript:Potri.005G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G052700.v4.1 MTMRSRKTGDKIVLDGENLLPESKRNQRRWRMAYTAIYFTRLLGSLSKTALESQTKILRSLSYVALDVRDDTPRENLVSLINVDQRTLADMVKGKNLESLKQLGGVTQVATTILETDVKNGAKEAGVAHRRDVFGANRFKKPPAKSFLSFVVEAFKDMTIIILLVCAIMSLGFGIKQHGLKEGWYDGGSIIVAIILVIAVSSVSNFKQSKQFEKLSDESNNINVQVVRDGRHHHLSIFDVVVGDVVSLKIGDQIPADGMFLNGYSLKVDESSMTGESDHVEVNGKNNPFLLSGTKVTDGFGFMVVTSVGMNTAWGEMMSLICHDLDEQTPLQARLNKLTSSIGKVGLTVAVLVLAVLMIRYFTGNTRDDNGRKEYIGSQTKFSDVLDSVVGIIAVAVTIVVVAIPEGLPLAVTLTLAYSMKRMMKDNAMVRKLSACETMGSATIICTDKTGTLTLNQMKVTEFWPGNETIDDDYLTEIESEVYQLLQEGVALNTTGTVNKSHATLVPEITGSPTEKAILSWALLDLGMNINETKEECEIIHVETFNSEKKRSGVLMRKNNEKTIHTHWKGAAEMILAMCSNYYVRNGELKSLNEEEKVELGAIIQSMASKSLRCIAFAHKKVAEDNGQASEKLQESGLSLLGFVGLKDPCRPGVRTAVESCKNAGVNVKMITGDNVHTARAIAIECGILSPEQDMENGAVVEGVQFRNYSPEERMAMIDNIQVMARSSPFDKLLMVQCLKEKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFSSVVTVLRWGRCVYNNIQKFIQFQLTVNVAALAINFVAAISSGKVPLTAVQLLWVNLIMDTLGALALATEQPTIDLMARTPVGRSEPLITKIMWRNLLAQALYQVSILLTLQFKGKAIFGVDEKIKNTLVFNTFVLCQVFNEFNARKLEKKNIFKGIHKNKLFLAIIGVTIILQVIMVELLKKFASTERLNWEQWGACIGIAVLSWPIGCLVKCIPVSSKQLMDPKNI >Potri.010G157000.2.v4.1 pep chromosome:Pop_tri_v4:10:16405676:16406771:1 gene:Potri.010G157000.v4.1 transcript:Potri.010G157000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157000.v4.1 MAAQQQVQKNTLYVGGLAEEANESILHAAFIPFGDIKDVKTPLDQAKQKHRSFGFVTLLEREVAVAAMGNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPSNEYTLGLLTKSDVLCTCEGMQGVGQQQEEEMQRMQAENRAAMQPAENLHLKKMAEEREGEKE >Potri.014G012100.2.v4.1 pep chromosome:Pop_tri_v4:14:652597:655583:1 gene:Potri.014G012100.v4.1 transcript:Potri.014G012100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012100.v4.1 MRGPESICGPIQQISCKPLSSSIMAMISTKSLLQVPSPTCLSSAKYGQFLSVTTTPTFSPKRTPLTLITTRSAKKPSRLIMGASASSFSADIGGVLSDVSIFTTAGQPVMFKDLWDQNEGIAVVALLRHFGCPCCWELASSLKESKEKFDSSGVKLIAIGVGTPNKARLLAERLPFPMDCLYADPERKAYDVLGLYYGLGRTFFNPASAKVFSRFDALRKAVKNYTIEATPDDRSGVLQQGGMFVFKGKQLLYARKDEGTGDHAPLDDIFEICCKVPVA >Potri.015G091600.2.v4.1 pep chromosome:Pop_tri_v4:15:11456072:11458278:1 gene:Potri.015G091600.v4.1 transcript:Potri.015G091600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091600.v4.1 MVEMHEMVPGKRFDRYHELGQHAFGEKLGLWVVVPQQLMVEVGSSIVYMITGGKSLKKAHDTIWPNYKEIKLTYFIMIFSSVHFVISHLPSFNSITVVSLAAAVMSLSYSTIAWVVSWHKGVQPDVQYTSRASTNTGQMFDSFSALGDIAFAFAGHSVALEIQATIPSTPGKPSKKPMWKGVVVAYLVVALCYLPVSFVGYWVFGNKVEDNILLSLEKPRWLVAVANLFVVIHVIGSYQVFAMPVFDMMEAFLVLKMNFQPGQPLRFITRILYVGLTMFIAMTFPFFGGLLSFFGGFAFAPTSYYLPCVIWLAIYKPKKFSLSWLANWICIILGVVLMVLAPIGALRQIILQARDFQFYS >Potri.015G091600.1.v4.1 pep chromosome:Pop_tri_v4:15:11455742:11458278:1 gene:Potri.015G091600.v4.1 transcript:Potri.015G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091600.v4.1 MNQMQPVKDISSIENAKNDNAKKDMNDWLPITKSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGPGAAVLILSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLWVVVPQQLMVEVGSSIVYMITGGKSLKKAHDTIWPNYKEIKLTYFIMIFSSVHFVISHLPSFNSITVVSLAAAVMSLSYSTIAWVVSWHKGVQPDVQYTSRASTNTGQMFDSFSALGDIAFAFAGHSVALEIQATIPSTPGKPSKKPMWKGVVVAYLVVALCYLPVSFVGYWVFGNKVEDNILLSLEKPRWLVAVANLFVVIHVIGSYQVFAMPVFDMMEAFLVLKMNFQPGQPLRFITRILYVGLTMFIAMTFPFFGGLLSFFGGFAFAPTSYYLPCVIWLAIYKPKKFSLSWLANWICIILGVVLMVLAPIGALRQIILQARDFQFYS >Potri.013G142602.1.v4.1 pep chromosome:Pop_tri_v4:13:15687951:15688502:1 gene:Potri.013G142602.v4.1 transcript:Potri.013G142602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142602.v4.1 MRATCILLCFFTFLVASSAHPGKKKQYKPCKELVLYFHDIIYNGQNAANATSAIVAAPEGANLTILASQFHFGNIAVFDDPITLDNNLHSPPVGRAQGMYIYDTKNTFTAWLGFSFALNSTDHQGSINFIGADPIMIKTRDISVVGGTGDFFMHRGIATIATDAFEGEVYFRLRVDIKFYECW >Potri.004G087600.11.v4.1 pep chromosome:Pop_tri_v4:4:7331251:7335443:-1 gene:Potri.004G087600.v4.1 transcript:Potri.004G087600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087600.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWVLIILLLLNSLFTYLITKFAKYFGLKKPCPWCSRIDHVLEPGKNTYSYRDLVCESHATEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSGGMTRRIALFSWVSKDAIANGENIVRCSCCNESLNSNVYPPYLLFKPSWEALKYSKKGNLIVEEMDDEKDGIYCKEISKPDSLAHYTDHEDSNEIKRDDREGQQEDEVATDEHQMLSEVGSFCLKDAVGEDCSRSESNLHYDEKEAHIMEQDSYGMDSIHQGFDDNMIEYCFDKDNSLEIINLHLERNLGCDFSRLIPVDLIDSSTTANQEPYNLKEDPAEEIHQNGISDSALHNEMNKEEMTSYAEVESMGIAVDCCEKSSVSNFTEMKKDLDAKEHEKVTAPEEALTLSLGGNSVDMEETVEPDELPAYEEEINGSNLFTDQTKSKDFIELSDFGTNQAQESTRLPCLQEDQSSETDNEAEIPDALESNELGPNDTEITTEGEKMLSADDNQVGILSSHLESNEAEEEKFPETPTSVDSAHYLHKKLMLFEKRESGTEESLDGSVVSEMDFGDSVLTVERMKTALKAERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKQELEKELEVYRKKVLDYEAKEKLRKIRRIKDGSIRSRTSSVTCSNTEDLDELSIDLNREARDEDGGSSFGNQESGNNNTSGDGVVNLQEIALDCVKQMTALDDSLVEFEEERLSILDQLKVLEEKLLHLDDNDDIEDAHSGEHSSNYSVKGFGESYEMSTPDENGISIETSKDGRYPERKTMNSMAKNLLPLLDDAADNETEEGFIFDENVESEFVEMENSLLPEFDLDGKKLAIEEEVDHVYERLQALEADREFLKHCMSSIQKGDKGMDLLQEILQHLRDLRTVELRVRSFSEDPLKL >Potri.004G087600.10.v4.1 pep chromosome:Pop_tri_v4:4:7331312:7335460:-1 gene:Potri.004G087600.v4.1 transcript:Potri.004G087600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087600.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWVLIILLLLNSLFTYLITKFAKYFGLKKPCPWCSRIDHVLEPGKNTYSYRDLVCESHATEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSGGMTRRIALFSWVSKDAIANGENIVRCSCCNESLNSNVYPPYLLFKPSWEALKYSKKGNLIVEEMDDEKDGIYCKEISKPDSLAHYTDHEDSNEIKRDDREGQQEDEVATDEHQMLSEVGSFCLKDAVGEDCSRSESNLHYDEKEAHIMEQDSYGMDSIHQGFDDNMIEYCFDKDNSLEIINLHLERNLGCDFSRLIPVDLIDSSTTANQEPYNLKEDPAEEIHQNGISDSALHNEMNKEEMTSYAEVESMGIAVDCCEKSSVSNFTEMKKDLDAKEHEKVTAPEEALTLSLGGNSVDMEETVEPDELPAYEEEINGSNLFTDQTKSKDFIELSDFGTNQAQESTRLPCLQEDQSSETDNEAEIPDALESNELGPNDTEITTEGEKMLSADDNQVGILSSHLESNEAEEEKFPETPTSVDSAHYLHKKLMLFEKRESGTEESLDGSVVSEMDFGDSVLTVERMKTALKAERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKQELEKELEVYRKKVLDYEAKEKLRKIRRIKDGSIRSRTSSVTCSNTEDLDELSIDLNREARDEDGGSSFGNQESGNNNTSGDGVVNLQEIALDCVKQMTALDDSLVEFEEERLSILDQLKVLEEKLLHLDDNDDIEDAHSGEHSSNYSVKGFGESYEMSTPDENGISIETSKDGRYPERKTMNSMAKNLLPLLDDAADNETEEGFIFDENVESEFVEMENSLLPEFDLDGKKLAIEEEVDHVYERLQALEADREFLKHCMSSIQKGDKGMDLLQEILQHLRDLRTVELRVRSFSEDPLKL >Potri.004G087600.14.v4.1 pep chromosome:Pop_tri_v4:4:7331278:7335411:-1 gene:Potri.004G087600.v4.1 transcript:Potri.004G087600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087600.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWVLIILLLLNSLFTYLITKFAKYFGLKKPCPWCSRIDHVLEPGKNTYSYRDLVCESHATEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSGGMTRRIALFSWVSKDAIANGENIVRCSCCNESLNSNVYPPYLLFKPSWEALKYSKKGNLIVEEMDDEKDGIYCKEISKPDSLAHYTDHEDSNEIKRDDREGQQEDEVATDEHQMLSEVGSFCLKDAVGEDCSRSESNLHYDEKEAHIMEQDSYGMDSIHQGFDDNMIEYCFDKDNSLEIINLHLERNLGCDFSRLIPVDLIDSSTTANQEPYNLKEDPAEEIHQNGISDSALHNEMNKEEMTSYAEVESMGIAVDCCEKSSVSNFTEMKKDLDAKEHEKVTAPEEALTLSLGGNSVDMEETVEPDELPAYEEEINGSNLFTDQTKSKDFIELSDFGTNQAQESTRLPCLQEDQSSETDNEAEIPDALESNELGPNDTEITTEGEKMLSADDNQVGILSSHLESNEAEEEKFPETPTSVDSAHYLHKKLMLFEKRESGTEESLDGSVVSEMDFGDSVLTVERMKTALKAERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKQELEKELEVYRKKVLDYEAKEKLRKIRRIKDGSIRSRTSSVTCSNTEDLDELSIDLNREARDEDGGSSFGNQESGNNNTSGDGVVNLQEIALDCVKQMTALDDSLVEFEEERLSILDQLKVLEEKLLHLDDNDDIEDAHSGEHSSNYSVKGFGESYEMSTPDENGISIETSKDGRYPERKTMNSMAKNLLPLLDDAADNETEEGFIFDENVESEFVEMENSLLPEFDLDGKKLAIEEEVDHVYERLQALEADREFLKHCMSSIQKGDKGMDLLQEILQHLRDLRTVELRVRSFMRIL >Potri.004G087600.13.v4.1 pep chromosome:Pop_tri_v4:4:7331387:7335415:-1 gene:Potri.004G087600.v4.1 transcript:Potri.004G087600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087600.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWVLIILLLLNSLFTYLITKFAKYFGLKKPCPWCSRIDHVLEPGKNTYSYRDLVCESHATEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSGGMTRRIALFSWVSKDAIANGENIVRCSCCNESLNSNVYPPYLLFKPSWEALKYSKKGNLIVEEMDDEKDGIYCKEISKPDSLAHYTDHEDSNEIKRDDREGQQEDEVATDEHQMLSEVGSFCLKDAVGEDCSRSESNLHYDEKEAHIMEQDSYGMDSIHQGFDDNMIEYCFDKDNSLEIINLHLERNLGCDFSRLIPVDLIDSSTTANQEPYNLKEDPAEEIHQNGISDSALHNEMNKEEMTSYAEVESMGIAVDCCEKSSVSNFTEMKKDLDAKEHEKVTAPEEALTLSLGGNSVDMEETVEPDELPAYEEEINGSNLFTDQTKSKDFIELSDFGTNQAQESTRLPCLQEDQSSETDNEAEIPDALESNELGPNDTEITTEGEKMLSADDNQVGILSSHLESNEAEEEKFPETPTSVDSAHYLHKKLMLFEKRESGTEESLDGSVVSEMDFGDSVLTVERMKTALKAERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKQELEKELEVYRKKVLDYEAKEKLRKIRRIKDGSIRSRTSSVTCSNTEDLDELSIDLNREARDEDGGSSFGNQESGNNNTSGDGVVNLQEIALDCVKQMTALDDSLVEFEEERLSILDQLKVLEEKLLHLDDNDDIEDAHSGEHSSNYSVKGFGESYEMSTPDENGISIETSKDGRYPERKTMNSMAKNLLPLLDDAADNETEEGFIFDENVESEFVEMENSLLPEFDLDGKKLAIEEEVDHVYERLQALEADREFLKHCMSSIQKGDKGMDLLQEILQHLRDLRTVELRVRSFSEDPLKL >Potri.004G087600.8.v4.1 pep chromosome:Pop_tri_v4:4:7331437:7335411:-1 gene:Potri.004G087600.v4.1 transcript:Potri.004G087600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087600.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWVLIILLLLNSLFTYLITKFAKYFGLKKPCPWCSRIDHVLEPGKNTYSYRDLVCESHATEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSGGMTRRIALFSWVSKDAIANGENIVRCSCCNESLNSNVYPPYLLFKPSWEALKYSKKGNLIVEEMDDEKDGIYCKEISKPDSLAHYTDHEDSNEIKRDDREGQQEDEVATDEHQMLSEVGSFCLKDAVGEDCSRSESNLHYDEKEAHIMEQDSYGMDSIHQGFDDNMIEYCFDKDNSLEIINLHLERNLGCDFSRLIPVDLIDSSTTANQEPYNLKEDPAEEIHQNGISDSALHNEMNKEEMTSYAEVESMGIAVDCCEKSSVSNFTEMKKDLDAKEHEKVTAPEEALTLSLGGNSVDMEETVEPDELPAAYEEEINGSNLFTDQTKSKDFIELSDFGTNQAQESTRLPCLQEDQSSETDNEAEIPDALESNELGPNDTEITTEGEKMLSADDNQVGILSSHLESNEAEEEKFPETPTSVDSAHYLHKKLMLFEKRESGTEESLDGSVVSEMDFGDSVLTVERMKTALKAERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKQELEKELEVYRKKVLDYEAKEKLRKIRRIKDGSIRSRTSSVTCSNTEDLDELSIDLNREARDEDGGSSFGNQESGNNNTSGDGVVNLQEIALDCVKQMTALDDSLVEFEEERLSILDQLKVLEEKLLHLDDNDDIEDAHSGEHSSNYSVKGFGESYEMSTPDENGISIETSKDGRYPERKTMNSMAKNLLPLLDDAADNETEEGFIFDENVESEFVEMENSLLPEFDLDGKKLAIEEEVDHVYERLQALEADREFLKHCMSSIQKGDKGMDLLQEILQHLRDLRTVELRVRSFSEDPLKL >Potri.004G087600.15.v4.1 pep chromosome:Pop_tri_v4:4:7331312:7335390:-1 gene:Potri.004G087600.v4.1 transcript:Potri.004G087600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087600.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWVLIILLLLNSLFTYLITKFAKYFGLKKPCPWCSRIDHVLEPGKNTYSYRDLVCESHATEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSGGMTRRIALFSWVSKDAIANGENIVRCSCCNESLNSNVYPPYLLFKPSWEALKYSKKGNLIVEEMDDEKDGIYCKEISKPDSLAHYTDHEDSNEIKRDDREGQQEDEVATDEHQMLSEVGSFCLKDAVGEDCSRSESNLHYDEKEAHIMEQDSYGMDSIHQGFDDNMIEYCFDKDNSLEIINLHLERNLGCDFSRLIPVDLIDSSTTANQEPYNLKEDPAEEIHQNGISDSALHNEMNKEEMTSYAEVESMGIAVDCCEKSSVSNFTEMKKDLDAKEHEKVTAPEEALTLSLGGNSVDMEETVEPDELPAYEEEINGSNLFTDQTKSKDFIELSDFGTNQAQESTRLPCLQEDQSSETDNEAEIPDALESNELGPNDTEITTEGEKMLSADDNQVGILSSHLESNEAEEEKFPETPTSVDSAHYLHKKLMLFEKRESGTEESLDGSVVSEMDFGDSVLTVERMKTALKAERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKQELEKELEVYRKKVLDYEAKEKLRKIRRIKDGSIRSRTSSVTCSNTEDLDELSIDLNREARDEDGGSSFGNQESGNNNTSGDGVVNLQEIALDCVKQMTALDDSLVEFEEERLSILDQLKVLEEKLLHLDDNDDIEDAHSGEHSSNYSVKGFGESYEMSTPDENGISIETSKDGRYPERKTMNSMAKNLLPLLDDAADNETEEGFIFDENVESEFVEMENSLLPEFDLDGKKLAIEEEVDHVYERLQALEADREFLKHCMSSIQKGDKGMDLLQEILQHLRDLRTVELRVRSFMRIL >Potri.004G087600.12.v4.1 pep chromosome:Pop_tri_v4:4:7331405:7335430:-1 gene:Potri.004G087600.v4.1 transcript:Potri.004G087600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087600.v4.1 MAGNKFATMLQRNTHKLTVILVYAVLEWVLIILLLLNSLFTYLITKFAKYFGLKKPCPWCSRIDHVLEPGKNTYSYRDLVCESHATEISKLSYCSNHQKLAETQNMCMDCLASRPNHSDQSGGMTRRIALFSWVSKDAIANGENIVRCSCCNESLNSNVYPPYLLFKPSWEALKYSKKGNLIVEEMDDEKDGIYCKEISKPDSLAHYTDHEDSNEIKRDDREGQQEDEVATDEHQMLSEVGSFCLKDAVGEDCSRSESNLHYDEKEAHIMEQDSYGMDSIHQGFDDNMIEYCFDKDNSLEIINLHLERNLGCDFSRLIPVDLIDSSTTANQEPYNLKEDPAEEIHQNGISDSALHNEMNKEEMTSYAEVESMGIAVDCCEKSSVSNFTEMKKDLDAKEHEKVTAPEEALTLSLGGNSVDMEETVEPDELPAYEEEINGSNLFTDQTKSKDFIELSDFGTNQAQESTRLPCLQEDQSSETDNEAEIPDALESNELGPNDTEITTEGEKMLSADDNQVGILSSHLESNEAEEEKFPETPTSVDSAHYLHKKLMLFEKRESGTEESLDGSVVSEMDFGDSVLTVERMKTALKAERKAFGALYTELEEERSASAIAANQTMAMINRLQEEKAAMQMEALQYQRMMEEQSEYDQEALQLLNELMIKREKEKQELEKELEVYRKKVLDYEAKEKLRKIRRIKDGSIRSRTSSVTCSNTEDLDELSIDLNREARDEDGGSSFGNQESGNNNTSGDGVVNLQEIALDCVKQMTALDDSLVEFEEERLSILDQLKVLEEKLLHLDDNDDIEDAHSGEHSSNYSVKGFGESYEMSTPDENGISIETSKDGRYPERKTMNSMAKNLLPLLDDAADNETEEGFIFDENVESEFVEMENSLLPEFDLDGKKLAIEEEVDHVYERLQALEADREFLKHCMSSIQKGDKGMDLLQEILQHLRDLRTVELRVRSFSEDPLKL >Potri.T124303.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_2281:24998:29070:1 gene:Potri.T124303.v4.1 transcript:Potri.T124303.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124303.v4.1 MGFGIATGQELRQPYFDFAPLDSIEFWICYYTQCHLHSDRRDCKYQISFEGCHRRNISRKSPFKTALFVGRYIIVSPLDWSGFWICYSSRCYINSERFGSENNTPTRNYTSRTAGLQISGEAFTRLLRSSYSRSLRRDCKRGTTTTRKSELSFYSWMEANIVSLGT >Potri.T124303.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_2281:24998:29070:1 gene:Potri.T124303.v4.1 transcript:Potri.T124303.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124303.v4.1 MGFGIATGQELRQPYFDFAPLDSIEFWICYYTQCHLHSDRRDCKYQISFEGCHRRNISRKSPFKTALFVGRYIIVSPLDWSGFWICYSSRCYINSERFGSENNTPTRNYTSRTAGLQISGEAFTRLLRSSYSRSLRRDCKRGTTTTRKSELSFYSWMTDLSDYKWNVGRYLIVKQKW >Potri.T124303.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_2281:24998:29070:1 gene:Potri.T124303.v4.1 transcript:Potri.T124303.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124303.v4.1 MGFGIATGQELRQPYFDFAPLDSIEFWICYYTQCHLHSDRRDCKYQISFEGCHRRNISRKSPFKTALFVGRYIIVSPLDWSGFWICYSSRCYINSERFGSENNTPTRNYTSRTAGLQISGEAFTRLLRSSYSRSLRRDCKRGTTTTRKSELSFYSWMTDLSDYKWNVGRYLIVKQKWMEANIVSLGT >Potri.T124303.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_2281:24998:29070:1 gene:Potri.T124303.v4.1 transcript:Potri.T124303.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124303.v4.1 MGFGIATGQELRQPYFDFAPLDSIEFWICYYTQCHLHSDRRDCKYQISFEGCHRRNISRKSPFKTALFVGRYIIVSPLDWSGFWICYSSRCYINSERFGSENNTPTRNYTSRTAGLQISGEAFTRLLRSSYSRSLRRDCKRGTTTTRKSELSFYSWSFRFTPGVAF >Potri.006G133300.1.v4.1 pep chromosome:Pop_tri_v4:6:10934732:10938896:1 gene:Potri.006G133300.v4.1 transcript:Potri.006G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133300.v4.1 MEWIPCSGISKRATKNKKNNDNNNKNRVIVNQKPLDQTKTSPERLRNDYPLRVKGESKNGGSDSTTAQTFTFRELAFGTKNFRAECLLGEGGFGRVYKGYLESTNQVVAIKQLNRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHEVPPGKNWLDWNTRMKIAAGAAKGLEHLHDKASPPVIYRDLKCSNILLDESYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKATGEQNLVAWARPLFKDRKKFSDIADPMLQGQYPPRGLYQALAVAAMCVQEQPNMRPVIADVVTALTYLASQKYDPDAETGQRSRYPPASPPPRAKRGGKIQW >Potri.006G133300.3.v4.1 pep chromosome:Pop_tri_v4:6:10934752:10938850:1 gene:Potri.006G133300.v4.1 transcript:Potri.006G133300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133300.v4.1 MEWIPCSGISKRATKNKKNNDNNNKNRVIVNQKPLDQTKTSPEILSPCSDQSQRTFERLRNDYPLRVKGESKNGGSDSTTAQTFTFRELAFGTKNFRAECLLGEGGFGRVYKGYLESTNQVVAIKQLNRNGLQGNREFLVEVLMLSLLHHPNLVNLIGYCADGDQRLLVYEYMPLGSLEDHLHEVPPGKNWLDWNTRMKIAAGAAKGLEHLHDKASPPVIYRDLKCSNILLDESYHPKLSDFGLAKLGPVGDNTHVSTRVMGTYGYCAPEYAMTGQLTLKSDVYSFGVVLLEIITGRKAIDNSKATGEQNLVAWARPLFKDRKKFSDIADPMLQGQYPPRGLYQALAVAAMCVQEQPNMRPVIADVVTALTYLASQKYDPDAETGQRSRYPPASPPPRAKRGGKIQW >Potri.001G172450.1.v4.1 pep chromosome:Pop_tri_v4:1:14815811:14816784:1 gene:Potri.001G172450.v4.1 transcript:Potri.001G172450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172450.v4.1 MQICSRPQLSDSIPPLAGHGPANGHECKRQASIFWEFGGKLPQPCARQLRPNSEEKLGLVFWEFPTFLDPQAPMNHQTTKIISYCIKRQIHMEPSHIHQQSVSISG >Potri.016G035300.2.v4.1 pep chromosome:Pop_tri_v4:16:2055615:2059409:1 gene:Potri.016G035300.v4.1 transcript:Potri.016G035300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035300.v4.1 MISIGDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIVLVVLAIWSRASSRGSLEWSITLFSLSSLPNTLVMGIPLLKGMYGHSSGSLMVQIVVLQCIIWYTLMLFLFEYRGARILIGEQFPDTAGSIISFRVDSDILSLDGREPLQTEAEVGEDGKLHVTVRKSTSSRSEVFSHMSHGLNSGLSLTPRPSNLTNAEIYSLQSSRNPTPRASSFNHTDFYSMVNGKNASPRHSNFTNLQFDEESGGLGVFGNVPRANGSAYPAPPNAGIFSPGGKKKANGAENGKDLHMFVWSSSASPVSEGGLHVFKGGDYGNDLGGVAHHKDYDEFGRDEFSFGNRPGPNGVDRDGPVLSKLGSGSTAELHPKSAANGEAKPTVMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNIMMPAIIADSIAILSNAGLGMAMFSLGLFMALQPRIIACGNSIASFAMGVRFLTGPAVMAAASFAVGLRGDLLRIAIVQVKP >Potri.016G035300.1.v4.1 pep chromosome:Pop_tri_v4:16:2055774:2059864:1 gene:Potri.016G035300.v4.1 transcript:Potri.016G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035300.v4.1 MISIGDLYHVLTAVVPLYVAMILAYGSVKWWKIFSPDQCSGINRFVALFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIVLVVLAIWSRASSRGSLEWSITLFSLSSLPNTLVMGIPLLKGMYGHSSGSLMVQIVVLQCIIWYTLMLFLFEYRGARILIGEQFPDTAGSIISFRVDSDILSLDGREPLQTEAEVGEDGKLHVTVRKSTSSRSEVFSHMSHGLNSGLSLTPRPSNLTNAEIYSLQSSRNPTPRASSFNHTDFYSMVNGKNASPRHSNFTNLQFDEESGGLGVFGNVPRANGSAYPAPPNAGIFSPGGKKKANGAENGKDLHMFVWSSSASPVSEGGLHVFKGGDYGNDLGGVAHHKDYDEFGRDEFSFGNRPGPNGVDRDGPVLSKLGSGSTAELHPKSAANGEAKPTVMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLTWSLVSFKWNIMMPAIIADSIAILSNAGLGMAMFSLGLFMALQPRIIACGNSIASFAMGVRFLTGPAVMAAASFAVGLRGDLLRIAIVQAALPQGIVPFVFAKEYNVHPDILSTGVIFGMLIALPITLVYYILLGL >Potri.017G119500.3.v4.1 pep chromosome:Pop_tri_v4:17:12569472:12575020:1 gene:Potri.017G119500.v4.1 transcript:Potri.017G119500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119500.v4.1 MPLWDYGSLSRFKNFRGFFQYKMREVQMREFQLGYSVHTVRSHGASLAKTHMHDWLILLLLVVIEFILYLTPPFYRYVGKDMMTNLKYPLLDNTVPVWTVPIYAVLLPVVIFLFVYFRRRDIYDLHHAILGLLFSILVTAVITDAIKNAVGRPRPDFFWRCFPDGKDVYDQLGNVICNGEESVIKEGHKSFPSGHTSWSFAGLGFLSLYLSGKLQAFDREGHVAKLCIIFLPLLAASLVAISRVDDYWHHWQDVFAGGLLGLVVATFCYLQFFPPPYHPQGWGPYAYFRELEESGAYSQAAATVNPLNAEPMDSHVESKEDDNDGFLGLHLARDSTMPLEDVESGRR >Potri.016G098850.5.v4.1 pep chromosome:Pop_tri_v4:16:9991239:9992920:-1 gene:Potri.016G098850.v4.1 transcript:Potri.016G098850.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098850.v4.1 MTAFPKQFLSQKFVELSAESHMQMKPSTRCQQKSDFFGFGLWSRSGSNEKKSPAVLIMRHYPHKAFQVLFGWGTVAFAFHFLTLGRKTSSGSSLETSTSTTDPLPIPGFHFLHISRALRRVPSSLQGTSHNTRS >Potri.004G083900.1.v4.1 pep chromosome:Pop_tri_v4:4:6926116:6927841:-1 gene:Potri.004G083900.v4.1 transcript:Potri.004G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G083900.v4.1 MIVSWRLRRKSKKNKNPSQEINSDMEIMIPRHFCCPISLDLMKDPVTLSTGITYDRESIEKWIEDGNLTCPVTNQVLASFDQIPNHSLRKMIQDWGVENRSYGFERIPTPRVPVTSYEVSEICRRVNFATQRGEQKKCQELVRKIKNWGKESERNKRCVVENGAGCVLSACFESFASVAMEKDEDLLGEILSALVWMFPLGEGGQSRLGSIVSLNCLVWFLKRGDLSARQNAALVLKNLLALDQRHVNALVKIEGVVEALVKLIKEPICPTATKASLMAIFYMTSPSSLNEKMIPTFVEMGLVSLIIEILVDGDKSICEKALGVLDHICDCKEGREKAYENALIVAVLIKKILNVSDLASELSVSILWKLFKNYGERSEDGDDDAEGGVVVEALQVGAFQKLLVLLQVGCGYSTKKKLKELLKLLNLCRVKLDCFDSSADFKYVKRSY >Potri.008G205200.1.v4.1 pep chromosome:Pop_tri_v4:8:15005608:15007474:-1 gene:Potri.008G205200.v4.1 transcript:Potri.008G205200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205200.v4.1 MPNTHLYSLFGFFLLVLAVKFLLPTGKKRKNLPPSPPAIPIIGHLHLLKQPIHRTLENLSRKYGPIVFLRFGSRSVILVSSPSLAEECFTKNDINFANRPPFLNGKHLHYNFTTVASANYGDHWRNLRRICAIEIFSSSRLNSSSGIRRDEIKHLARRLQQVSNTGFAKVDLRSMFTDLTFNIVMRMIAGKRYYGEDVNLIEEAKKFKETMQEYADLGGLTNLADVFPIFQSVDYNGFVKKCVGLSKRMDLILQGLVDEHRRDRDRNTMINHLLTLQDSQPEYYTEDIIKGLILIMLLAGTRTLSTSLEWAVCNLLNHPDVERKAREELDTQIGQDHTVDEADISKLPYLQSVILESLRLHPVVPLLAPHMSSADCTIGGYDVPAGTILFANAWGIHRDPTLWNDPTSFKPERFENWKSEAYTHMPFGMGRRACPGEGLAQRIMAITLGSLIQCFEWEKVDGKDIDMTDKMHTLMCRVEPAEAMCRVRPDMVDLLS >Potri.016G139900.2.v4.1 pep chromosome:Pop_tri_v4:16:14296880:14300902:-1 gene:Potri.016G139900.v4.1 transcript:Potri.016G139900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139900.v4.1 MSVLPYSIFSDVAGDITIVVDGESFLLHKFPLVSRSGKIRKMVADAKDSNISKLELCNLPGGPHTFELAMKFCYGMNFEITTANVAHLRCAAEYLEMTEDYREENLIARTETYLNEFVVHSLERSVEVLATCELLPPIAEEVGIPNRCIEAIAINACKEQLVSGLSHLDCNSESTELKSSCLEWWVEDLSVLRIDYYQRVICAMRSVGVRLDSIVASLMHYAQISLKGIGKSQIWNPARMKSSPNMEENDQSIIVETLVSLMPTDKSSSIPLNFLFGMLRMAIMLDAAIACRLELERRIAFRLEMVSLDDLLIPSVRSGDSLFDVDTVHRILVNFLQRVEEEENEDCGYESEGIGSPGHGSLLKVGRLIDSYLAETAPDPYLSLQKFTAMIEILPDYARVIDDGLYRAIDIYLKAHPLLSEHECKKLCKLIDCQKLSQEACNHAAQNDRLPVQMTVQVLYSEQLRLKNALSGSSGDGFLSQRISSGIPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMYMKQGMIDKSGNGKTFFTSLSKGIGRIGIFSGPGGGRHQKSGRKPRGPEGKTGRSRRHSMS >Potri.016G139900.1.v4.1 pep chromosome:Pop_tri_v4:16:14297403:14301014:-1 gene:Potri.016G139900.v4.1 transcript:Potri.016G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139900.v4.1 MVSENLLSSSKRSPTTPNFCNSFTTRIFSDVAGDITIVVDGESFLLHKFPLVSRSGKIRKMVADAKDSNISKLELCNLPGGPHTFELAMKFCYGMNFEITTANVAHLRCAAEYLEMTEDYREENLIARTETYLNEFVVHSLERSVEVLATCELLPPIAEEVGIPNRCIEAIAINACKEQLVSGLSHLDCNSESTELKSSCLEWWVEDLSVLRIDYYQRVICAMRSVGVRLDSIVASLMHYAQISLKGIGKSQIWNPARMKSSPNMEENDQSIIVETLVSLMPTDKSSSIPLNFLFGMLRMAIMLDAAIACRLELERRIAFRLEMVSLDDLLIPSVRSGDSLFDVDTVHRILVNFLQRVEEEENEDCGYESEGIGSPGHGSLLKVGRLIDSYLAETAPDPYLSLQKFTAMIEILPDYARVIDDGLYRAIDIYLKAHPLLSEHECKKLCKLIDCQKLSQEACNHAAQNDRLPVQMTVQVLYSEQLRLKNALSGSSGDGFLSQRISSGIPSAAMSPRDNYASLRRENRELKLEISRMRVRLSELEKEQMYMKQGMIDKSGNGKTFFTSLSKGIGRIGIFSGPGGGRHQKSGRKPRGPEGKTGRSRRHSMS >Potri.008G132100.1.v4.1 pep chromosome:Pop_tri_v4:8:8714055:8720983:1 gene:Potri.008G132100.v4.1 transcript:Potri.008G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132100.v4.1 MGTLQTWRKAYGALKDSTKVGLAHVNSDYAELDVAIVKATNHVECPPKERHLRKILAATSAIRPRADVAYCIHALSRRLAKTHNWTVALKILIVIHRLLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPAQGQDKGYSRTRDLDSEDLLEQLPALQQLLYRLVGCRPEGAAVGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALDIYKRAGQQAGNLSDFYDICKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRVVSVPSEALLQLTYRPEEGPSEDAKSSGDELEPPPSDDVAVSNVEIAPPVPTTAPQNSIDTGDLLGLDYGTPNASTIEESNALALAIVPSESDVAPTFNSVAGQAKDFDPTGWELALVTTPSSNISATNERQLAGGLDSLTLNSLYDEGAYRAARRPVYGAPAPNPFEIQDPFALSNSIAAPPSVQMAAMTQQPHNPFGPYQPTYPQPQHQQNMMMSHANPFGDAGFGAFHAHPMAHPQTNNPFGSTGLL >Potri.008G132100.4.v4.1 pep chromosome:Pop_tri_v4:8:8714075:8720968:1 gene:Potri.008G132100.v4.1 transcript:Potri.008G132100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132100.v4.1 MGTLQTWRKAYGALKDSTKVGLAHVNSDYAELDVAIVKATNHVECPPKERHLRKILAATSAIRPRADVAYCIHALSRRLAKTHNWTVALKILIVIHRLLREGDPTFREELLNFSQRGRILQLSNFKDDSSPIAWDCSAWVRTYALFLEERLECFRILKYDIEAERLPRPAQGQDKQGYSRTRDLDSEDLLEQLPALQQLLYRLVGCRPEGAAVGNYVIQYALALVLKESFKIYCAINDGIINLVDKFFEMPRHEAIKALDIYKRAGQQAGNLSDFYDICKGLELARNFQFPVLREPPQSFLTTMEEYIREAPRVVSVPSEALLQLTYRPEEGPSEDAKSSGDELEPPPSDDVAVSNVEIAPPVPTTAPQNSIDTGDLLGLDYGTPNASTIEESNALALAIVPSESDVAPTFNSVAGQAKDFDPTGWELALVTTPSSNISATNERQLAGGLDSLTLNSLYDEGAYRAARRPVYGAPAPNPFEIQDPFALSNSIAAPPSVQMAAMTQQPHNPFGPYQPTYPQPQHQQNMMMSHANPFGDAGFGAFHAHPMAHPQTNNPFGSTGLL >Potri.001G036600.2.v4.1 pep chromosome:Pop_tri_v4:1:2671917:2673150:-1 gene:Potri.001G036600.v4.1 transcript:Potri.001G036600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036600.v4.1 METVKEDNLQEFLLTLPSEKNWDGTPLLLFNETWYPANSIRGAVSFQQNFRAQDSDIILASMPKSGTTWLKALTFSVVSRDRYSPKESPLITAPPHELVPFLEVDLYLKSQNPDLDFPPPRILSCHTHYTSLPQSIRDSNCKIVYVCRNPLDQAVSDFVFVRNRVSGIANPSSSSSSSSLIDEGFENICRGVQSYGPFWNNVLSYWKASLERPDKVLFLKYEDLKEDIILNLKRLAEFLGFPFTEEEEKEGVIEEISRLCSFDNLKDLEVNKNGVRPSGMRNSAFFRKGETGDWGNHLSPSMAERFWKIVEEKLDGSGLTFKISQ >Potri.015G088900.2.v4.1 pep chromosome:Pop_tri_v4:15:11215436:11221059:1 gene:Potri.015G088900.v4.1 transcript:Potri.015G088900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088900.v4.1 MKRFFKPIEKDGSAKKPTLSTNQEDNEKKEASESEETNNNGNTMKKEPLKFITWNANSLLLRVKNNWPGFSKFVSDIDPDVIVIQEVRMPAAGSKGAPKNSGEIKDDTNSSREEKKILMRALSSSPFGNYNVWWSLADSKYAGTALLVKKCFQPVKVSFALDQTASKHEPDGRVILAEFKTFRLLNTYAPNNGWKEEEKSFQRRRKWDKRMLEVVVQLSDKPLIWCGDLNVSHEEIDVSHPEFFSAAKVNGYVPPNKEDCGQPGFTLSERKRFGAILKEGKLIDAYRFLHKERDMERGFSWSGNPIGKYRGKRMRIDFFIVSEKLKDRIIQCEMHGHGIELEGFYGSDHCPVSLELSPASPDSNES >Potri.014G089300.1.v4.1 pep chromosome:Pop_tri_v4:14:5802050:5807340:-1 gene:Potri.014G089300.v4.1 transcript:Potri.014G089300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089300.v4.1 MVSVNPNPALGFYFFDPMNNSSHMELPGVNFLPPTNTNTATDTCNDTVSAANTTTTTNNNNHKLVSFSEDPNKKIRKPYTITKSRESWTEQEHDKFLEALQLFDRDWKKIEAFVGSKTVIQIRSHAQKYFLKVQKSGTSEHVPPPRPKRKAAHPYPQKAPKSAAVASQVTGSFQSSSALLEPGYLYRPDSTSVLGNPITSGALSTWSFNSVPPVSMSQMTNDDAGLAGPTIANKCCYSSSNESASRTWQTGKIIDKRAQGKPERVMPDFAQVYSFIGNVFDPNGSDHLQRLKQMDPINLETVLLLMRNLSINLTSPEFEDHRRLLASYDVDSEKVNEGGAYRNTTVDRLGNPIPAI >Potri.019G020100.1.v4.1 pep chromosome:Pop_tri_v4:19:3198018:3202572:1 gene:Potri.019G020100.v4.1 transcript:Potri.019G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020100.v4.1 MEVGQFSHESHGHALTFYEESEEKLKKIAKLSGEEIGSPLCYGCFETIHGPAYFCEICGDFWLHKSCFELPSELPKHSLHSIHPLTLIVRPPSLAGFFVCDGCRDMSPGFAFHCKACRFNLDVKCAISTDGEDLRSRKGVKNTEIPYFGDEHLLVSFNAKQEVEKTCTGCQLLLSGPAYGCLDCEFYLHESCKDMPSEIQHPYHPPHPLRAQVAEYGSECDACHMPIRKVFYRCSECDFNLHILCANKSLQVASSLKHKGHEHNLYYFVASYFNEYSVCNTCRKVCKGSYYLCLECKYYVHLDCIPLPRVVENDCHSRYHSHYLTLKDCFVEDDSGQYYCEFCEKKRDPTYPVYYCDQCPDRHPYLAHIECLMSELMEAELNSARTSAKMVDDGDVKGDTKCLALVKYTTIPPENQIKLKGFRHEHILVPDNEESDARRTYCSWCDGKIFGPGYICQECSGNWHISCAKSPPKKTHFLHSQHTLTMLYPHYFKYFICDGCREFCHDVAGYHCYECRFFLDMKCASLPDDQCEQLKKTESKTIYFCHKHKLTRANCAKGIKEKCKVCQVRISGATYCCINCNFFLHESCLETPQEIQHQYHLQHPLLGRDFDGNPKNCRACNLQIWDIAYYCDICEFALHFTCATYLTSTLKHEFHHEHTLFSFVAWDLGDQGFIQSLEPQPSKGNFCCETCGNDCTDSFYRCVECNINRHLECIPLPFKVQHEDHSDPLTLMENVVEDDYGEYYCEICRDKRNPNHPVYCCKMCKDNWFIAHIGCVIKEGDTLLEILEWWNKDFGSDYEETSSKEDDGTSLASHSDLESYAMGEHNTEVSGITELD >Potri.009G071900.1.v4.1 pep chromosome:Pop_tri_v4:9:7071440:7074328:1 gene:Potri.009G071900.v4.1 transcript:Potri.009G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071900.v4.1 MARDSCLARVTAGVAVGGAIGGAVGAVYGTYEAVRYKVPGLLKIRYIGQTTLGSAAIFGLFLGAGSLIHCGKSY >Potri.008G132850.1.v4.1 pep chromosome:Pop_tri_v4:8:8794090:8796071:1 gene:Potri.008G132850.v4.1 transcript:Potri.008G132850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132850.v4.1 MYPSKQDTAHEMNQKPSPPLPPVPVTGHPVGHFTNQHHNEIHSHPIQHQQQQPGRWSSGLCDCFSDIPNCCITCWCPCITFGRIAEIVDKGTTSCAVSGAIYGVLLWFTGCPCIYSCVYRNKMRKQLMFEDRPCNDCLVHFCCDACALCQEYRELKHRGFDMTMGWQENVERQNGGVTMIAAAPPVEQGMKR >Potri.019G076500.1.v4.1 pep chromosome:Pop_tri_v4:19:11742464:11743567:1 gene:Potri.019G076500.v4.1 transcript:Potri.019G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076500.v4.1 MEFLGRLISDDHHDPLYFDFDREFDDDGDGEDFDGMLQHIREATSDEDEALRLLTQKVAEEFVRYNVTSRKKGYLKDKNDVRCVNPLGLSIPWRMRGNRDHIPFISKKKRSNNMKRRSYFFSPVDRSTSAGDKIEAQRKQNKRRGIDGKGEGVKRSTANKRKVAKVSLKKLGFDQLDFSDEIRPLISWQGGTDKDFKLRITKKLFQTDMSDYHDRLSMPMNQIKDLEGFLNDEENKELAKPKSETNGIEVKLFDLSSVSHGTTLGHDDQNVNSISIETMRLRKWNMNTSSCYVLNTSWNSVLNKGVLKENDFVQIYSFRRNENLCFVLIKVSDGQGCRDGAFGNRSNANEGDCATVSIQGRNEDGAS >Potri.012G144600.2.v4.1 pep chromosome:Pop_tri_v4:12:15518427:15519675:-1 gene:Potri.012G144600.v4.1 transcript:Potri.012G144600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144600.v4.1 MASRYEFEVTISSAKYLKNVNWRHGSLKPYAVVWVDSNYKCSTQVDDEGDTSPFWDQTLVIPLPSGRIEDHTLHIDIVHAGSEEGTKPLIGSAKLKLIDVLDDVEIGERATRALQLKRPSGRPQGKLDVKVTIRDPRYRAPDAYREPPYGVPPPSSSRDYPYATPYGAPPPQPNPYYSTAAPPTGYPYSAPPPPTYGQPSYGYGGYGYGEQQPVVVEEKKKSKFGGMGTGLAVGAVGGVLGGLALAEAIDALEDHVADDVAEKVEDDLAFDMMLSDL >Potri.012G118100.7.v4.1 pep chromosome:Pop_tri_v4:12:13649988:13654778:-1 gene:Potri.012G118100.v4.1 transcript:Potri.012G118100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118100.v4.1 MAVSTETVMAIKTYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKAYNGLTKLQRIEWNNRGMSTIHAIFITAMSLYFVFWSDLFSDQRHTGLVTLRSSQLSIVGLGVSIGYFFVDFGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGELQLYTYMCLISEVTTPEINMRWYLDTAGLKRSAAYLINGLAIFLAWLMARILLFLYLFYHIYLHYDQVIQMSLFGCLLTFLVPAVLFIMNLMWFGKIIKGLKKALAKRL >Potri.006G155500.1.v4.1 pep chromosome:Pop_tri_v4:6:14022501:14025367:1 gene:Potri.006G155500.v4.1 transcript:Potri.006G155500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155500.v4.1 MVVPEKGLSGALNARVTGSGNEAIILAHGFGGDQSVWDKIVPRLAKHCRVLVFDWIFSGAIKDPNLFDPVKYSSYDAFANDLISLMDELDLKSSVLVGHSMSGMIGCIASIKRPDLFKKLILVGTSPRYINADDYEGGFSNSEVEDIISNIESNYYNWAQAFASVVVDANDPPSVDMFSKCLQRMRPEFAVPVAKTVFYCDERDILEKVLTPCIIVQTTRDIVVPNSVAYYMQEKIKGKSTVEIIETDGHFPHLTAHQQLLDVLIAAMSLNEPKIISS >Potri.016G053500.1.v4.1 pep chromosome:Pop_tri_v4:16:3505592:3508226:1 gene:Potri.016G053500.v4.1 transcript:Potri.016G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053500.v4.1 MLQHAPKRPLYVPLQNILTKSQHQNPIIKTQSLSQEGTITPQNPPNRFCSEKKYGHICDLLLSQTRSRSLLKGQQIHAHIIKSGLQVIPLVCHYLINFYSKTQLPLLSSQVFEESERKSSTTWSSVISSFAQNEEPVLAIQYFCRMIGENLCPDDHIFPSATKACAILGRCDVGKSVHCLVIKTGYDVDVFVGSSLVDMYAKCGDIKEARNVFDEMPHRNVVSWSGMIYGYTQLGEHEEAMRLFKEALLEGLDVNDFTLSSVIRVCGSATLLELGKQIHGLCFKTSYDLSGFVGSSLISLYSKCGLIEGAYRVFDEVPIKNLGMWNAMLIACAQHAHTKEAFDLFTKMENAGMRPNFITFLCVLYACSHAGLVEEGKKYFALMKKYEIEPGTQHYASMVDLLGRAGKLQEALSVIKGMPTEPTESVWGAFITGCRIHGNTDLAAFAADKVFELGAVSSGLHVMLSNAYAAAGRYEDAAKARKMLRDRGVKKETGLSWIEEGNRVHKFAAGDRFHVRMKEIYQKLEDLGEEMERAGYVADTSFVLREVGSEEKNQTIRYHSERLAIAFGLISIPLGRPIRIMKNLRVCGDCHNAIKFISKLSGRVIIVRDNNRFHRFEDGKCSCADYW >Potri.016G053500.2.v4.1 pep chromosome:Pop_tri_v4:16:3505637:3508224:1 gene:Potri.016G053500.v4.1 transcript:Potri.016G053500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053500.v4.1 MLQHAPKRPLYVPLQNILTKSQHQNPIIKTQSLSQEGTITPQNPPNRFCSEKKYGHICDLLLSQTRSRSLLKGQQIHAHIIKSGLQVIPLVCHYLINFYSKTQLPLLSSQVFEESERKSSTTWSSVISSFAQNEEPVLAIQYFCRMIGENLCPDDHIFPSATKACAILGRCDVGKSVHCLVIKTGYDVDVFVGSSLVDMYAKCGDIKEARNVFDEMPHRNVVSWSGMIYGYTQLGEHEEAMRLFKEALLEGLDVNDFTLSSVIRVCGSATLLELGKQIHGLCFKTSYDLSGFVGSSLISLYSKCGLIEGAYRVFDEVPIKNLGMWNAMLIACAQHAHTKEAFDLFTKMENAGMRPNFITFLCVLYACSHAGLVEEGKKYFALMKKYEIEPGTQHYASMVDLLGRAGKLQEALSVIKGMPTEPTESVWGAFITGCRIHGNTDLAAFAADKVFELGAVSSGLHVMLSNAYAAAGRYEDAAKARKMLRDRGVKKETGLSWIEEGNRVHKFAAGDRFHVRMKEIYQKLEDLGEEMERAGYVADTSFVLREVGSEEKNQTIRYHSERLAIAFGLISIPLGRPIRIMKNLRVCGDCHNAIKFISKLSGRVIIVRDNNRFHRFEDGKCSCADYW >Potri.016G098100.1.v4.1 pep chromosome:Pop_tri_v4:16:9830966:9832200:-1 gene:Potri.016G098100.v4.1 transcript:Potri.016G098100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098100.v4.1 MGSQAMVSKELSQIIKGKRAKRQRPSSPLTLAITCSSASVGENGGERGQRIYNNSSSSDPTTSVKFTGRTDEEEDMANCLILLAQGNRQNFKLSKPVTTAATTITFTNKDAGLYAYECKICNRRFPSFQALGGHRASHKKSRQGNISEDKKALAVTVRMGDQEENGNDNDMSTALSLQIVNDGVLCSNNVKSNKVHECSICGDEFSSGQALGGHMRRHRAFAPTTTATATTLTSRSLERSKPDHESEESKKPRDIQLLDLNLPAAEDDLRESKFHFASKEQVLVFTASSLVDCHY >Potri.003G206701.1.v4.1 pep chromosome:Pop_tri_v4:3:20600790:20601499:-1 gene:Potri.003G206701.v4.1 transcript:Potri.003G206701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206701.v4.1 MSSTSFRERFLDSLNARNGGFNKNEHITQVNEKALEGHYELEEEKNPQVLFYKNLWLEAEAAFCSMKYKASVLGMKTEMEKIKKAIR >Potri.002G101101.1.v4.1 pep chromosome:Pop_tri_v4:2:7394494:7395227:1 gene:Potri.002G101101.v4.1 transcript:Potri.002G101101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101101.v4.1 MAYKIWAPLMLLALFAGSALAQAPGAAPTASPATSPSPAPAAPTTPPPAPTPSPSVSAPTPSTSPAPSTAPSTPPPSSPASSPPSPSTPAPSVSIPPSAASPPSPSAAGLNKATVAGALIGVAGVWSLLL >Potri.014G091200.7.v4.1 pep chromosome:Pop_tri_v4:14:5916558:5918358:1 gene:Potri.014G091200.v4.1 transcript:Potri.014G091200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091200.v4.1 MAEQSENPIESVMGKISEKIHHESSSSDSESDSEKKSYPSESVKDKIWRLFGREKPVHRVLGGGKPADVFLWRNKKVSAGVLGFATAIWVLFELVEYNLLTLVCHILILSLALSFLWSNAHSFINKSPPRIPQVHLPEEPVLQVASALCVEINGYRRIVGHVNCGELVPFLDLVLYMLRSATHCTRSLREVRGQNRPLGREGDD >Potri.014G091200.1.v4.1 pep chromosome:Pop_tri_v4:14:5916585:5918277:1 gene:Potri.014G091200.v4.1 transcript:Potri.014G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091200.v4.1 MAEQSENPIESVMGKISEKIHHESSSSDSESDSEKKSYPSESVKDKIWRLFGREKPVHRVLGGGKPADVFLWRNKKVSAGVLGFATAIWVLFELVEYNLLTLVCHILILSLALSFLWSNAHSFINKSPPRIPQVHLPEEPVLQVASALCVEINGAFVVLRSIAAGKDLKKFLIVIAGLWVMSIVGSWCHFLTLFYICFVLLHTVPVLYEKFEDKIDPLAEKAMIEIKRQYAVFDAKVLSKIPVAALKAKKV >Potri.019G124000.1.v4.1 pep chromosome:Pop_tri_v4:19:14838478:14840850:-1 gene:Potri.019G124000.v4.1 transcript:Potri.019G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124000.v4.1 MAAENGEEKLIAVARHIAKTLGHNESMAEDILQIFSNFDGRFSREKFVDKLTTAGQEEDLRALDHTIKSLQRQISHYVAAEHSIWSDSADSSAFLDCLDELISTVRELSGSIYAEAYLSSAEDLLQQAMFRLEEEFRLLMERGGESFELPRSYKNGNGVLTENNSNNLLYDDSDEDDHDDSDSEIPVAQPISNYDVIIDALPSGIVNDLHEIAKRMVVSGYRKECLHVYGSCRREFLEESMSRLGLGKLSNEEVQRMQWNELEVEIDKWIKAANVSLRILFPSERRLCDRVFYGFGSVNDSSFMEVCRGAVVQILNFADAVAIGSRSPERLFMVLDLFETMRDLMPEFEYNFSDQYCLVLRNDALGLWKRLREAIRGIFMELENLIRRDPAKAPVPHGGLHPITRYVMNYLRAACGSRESLELVFEESVSVVPSKDSTSSSLSVQMEWIMELLESNLEVKAKIYGDAALCSVFLMNNGRYIVQKVKDSELGSLLGDDWIRKHTAKIKQYISSYQRSTWNKLLGVLRADCSPVGANVGGKSMSMKDRIRAFNSQFEEIYKSQSRWIIFDEQLRNELRISLSNLVLPAYRNFIAMLQNAPDVGRHADRYIKYNLEDIDTRINELFQGGNGSAGGRK >Potri.013G073500.1.v4.1 pep chromosome:Pop_tri_v4:13:6098609:6100151:1 gene:Potri.013G073500.v4.1 transcript:Potri.013G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073500.v4.1 MGSTTGNQNPWAPYSTYRDCSQGICSIYCPQRCYIIFPPPPPFTLGDDDSNTDLSPLIIAVIGILASAFILVTYYTLISKYCRRRGQGDGATDLNENHDQMASEAWQGIPAGGLDEALVKSITVCKYKKGDGFVEGTECSVCLSEFQENESLRLLPKCSHAFHLPCIDTWLKSHASCPLCRANIAPANILPSEAPAVPEPVQENLPRTNVSTLQYQHGTNDAVLMIQDLEGSTFRQEGVVSLVIGDDTPKTPVNQDLESSQTGTELQISDPIQPLRRSVSSSFSQNHTSIADILRTSEDDDEGSDDYRKNGGGGILGLVRSPFGMKRSISTGRFTFTSYEKGRSSMIPI >Potri.017G088000.6.v4.1 pep chromosome:Pop_tri_v4:17:10100858:10107944:1 gene:Potri.017G088000.v4.1 transcript:Potri.017G088000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088000.v4.1 MGSCVSTPPKKIKLHKRRHRKGRHLVKISNTVQDGATRCRKSGVSNSTFHLTQLQWHLSQVDSDVIQEEPWFDTVSILESDSDDEFCSVLGDRFSSVGSTTGNISSGQVLQYESSSCFVDGSYKYEEYHESYMKIDGSKTGKDEYKESKGFAVISAQGYDLSHFSKADEIRRKKLLNNSYGSFKGLKEDRRDSQENNLKSGRSRLVPSVSFNDKILSASAPKGKLAVFRLSFKRKSGDIGEEASEHCPSKRFLYRPKAGFVIPRATGEKPTAGCWSEIPPSNFKLRGLTYFKDKQKCPAPIHSPYTPIGVDVFVCPRKINHIAQQLDLPNLKSDGKLPPLLIVNIQMPTYPAAMFLGDTNGEGMSLVLYFKVSENLEKDISSQYQDNIKKLIEDEMEKVRGFAKDSTVPYRERIKIMTGLVNPEDLNLSSTERKLVNAYNEKPVLSRPQHEFFKGPNYFEIDLDIHRFSYISRKGLESFRDRLRNGILDLGLTIQAQKQEELPEQVLCCLRLNRIDFVDRGQLPRLMTLDDD >Potri.010G249700.6.v4.1 pep chromosome:Pop_tri_v4:10:22306804:22311543:1 gene:Potri.010G249700.v4.1 transcript:Potri.010G249700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249700.v4.1 MAGTGIHPYHQQWPPAQAPPPPPPSAPGAPPPPVHHAPPPVLVDNSNRGPPTHDEVRTIFITGFPDDVKERELQNLLRWLPGYEASQVNYKGEKAMGFALFSCAQHAIAAKDALQDMVFDADTKSVLHTEMAKKNLFVKRGIVADSNAYDQSKRLRTGGDYSHTTYTSPSPFHPPPPVWGPHGYMTPAPPPYDPYGGYPVPQVPMPPPAPIPAPSSYVPVQNTKDNPPCNTLFIGNLGENINEDELRGLFSVQPGFKQMKVLRQERHTVCFIEFEDLNSATNVHHTLQGAVIPSSGSVGMRIQYSKNPFGKRKDGIHHVASPSANGAPPPITYQ >Potri.003G175500.2.v4.1 pep chromosome:Pop_tri_v4:3:18257968:18258812:-1 gene:Potri.003G175500.v4.1 transcript:Potri.003G175500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175500.v4.1 MASWVVIFVLVLAFVHATAARNVPSDDVDLDSNNAVPNEEQVLHASAPAADSPSSTGLKDKKNFIYGGVGGFAGMGGYAGIIGGLPVIGGLGGIGKYGGVGGVGGIGGATGLGTGGAGGVGGAAGGAGGSTLPSP >Potri.005G087200.2.v4.1 pep chromosome:Pop_tri_v4:5:6072080:6073768:-1 gene:Potri.005G087200.v4.1 transcript:Potri.005G087200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087200.v4.1 MLSGLNREREMSVMVTALTHVVAGNVPADDSDCISEAFPNNQDNCAHGDLSAKREREEGGGGSEEYKRHGRAFGDDFLHGGSSSAGRASGKSIITMTPAATRMAFTPVYEYNETCRDEPRRKYRGVRQRPWGKWAAEIRDPFKACRVWLGTFDTAEAAARAYDEAALRFRGNKAKLNFPENVKLRPTPPNPIANQLTVSDSPSSTGLLSVPTSTEAIVHSQALHHTQNREISREQVNQPQLILGVGGYQRQPMSLYDQMFLSPPLVSSYPSMSSSTEYSDPMFLPAQQPGEIMPATSSQSGGEEFQLPAWSDYSHYYTSSSG >Potri.008G113800.1.v4.1 pep chromosome:Pop_tri_v4:8:7236316:7237789:-1 gene:Potri.008G113800.v4.1 transcript:Potri.008G113800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113800.v4.1 MEFSQTLGREEECSGTSGSESGWTSYIASPIKENNFDDDNDDSKNKQGDCREGNYESDDSMASDASSGPSHPELPCRINEGSINKGPFKNATTKYSSKEKLHRQVKRRDGARTTVKKEVSVLKANSAASHDHVQSGTKVRKSTT >Potri.018G015800.2.v4.1 pep chromosome:Pop_tri_v4:18:1089072:1091472:-1 gene:Potri.018G015800.v4.1 transcript:Potri.018G015800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G015800.v4.1 MAIMSSREEDMSLDVLPEACIANVLSFTCPRDACRLSMVCSLFKAAEESDFVWERFLPRDYQSIISKSDASSMLLASASSKKHLYLMLCEKPLIIEGGKKSISLEKKSGKKCYMLSARDLIIVWGDTPTYWKWNSDPSSRFGEVAELISVCWLEIRGKINATMLSPATLYTAYLVFRPTRGLYGLDYQPVEVGVGLVGSECGMRNVYLDSERGRRQQYHFVSRRIGLFNRCRIVGMPASEPARENNGQYPKKREDGWLEIELGEFFCKEGEDRELEMSVQEVKGGDWKGGLIVEGIEIRPKEGK >Potri.018G049000.1.v4.1 pep chromosome:Pop_tri_v4:18:4348524:4349928:1 gene:Potri.018G049000.v4.1 transcript:Potri.018G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049000.v4.1 MPLAPRRSKCGKKEANKGAWTAEEDQKLARVIEIHGPKRWRSIAAKADLNRCGKSCRLRWMNHLRPNIKRGNISDQEEDLILRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIKQKAEKPVIISTLKQPEPEKTNVLGMDNIAKGREEGTSKRLEDSKSSFVGDDFFDFSNEDPVNLEWMSKFLELEESLYEFP >Potri.012G037400.1.v4.1 pep chromosome:Pop_tri_v4:12:3364704:3368140:1 gene:Potri.012G037400.v4.1 transcript:Potri.012G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037400.v4.1 MEDQAQNLVHGNIQLAERVAPPPATNLELTVEEVVEEYVGSFGWSQFLHVLLVSLAWIFDSQNTLVTIFSDAQPSAWRCINNSSSSLCLSSSSNGDASSVCGLMPDTWEWVSGHTSSIIAEWGLICDRRFLAAIPASLFFLGSLLGSAFFGHLADASLGRKRTVLLSCLLTSVTAFLTSLSPNIWVYSLLRFANGFSRSGIGICCIVLATEVVGRKRRGPVSQFGFFFFTAGFLSLPLIAYHTRTNWRNLYKILSLLPLAYSVLFFPFVSESPRWLLVRGRSEEALDVLKNFARLNGKTLPENLCLANPSAAGEGGENEALTKNCTEESLWRTKWAAKRMITVMIAGFGVGFVYYGVQLNIENLNFNLYFSVGLNALMEVPAVVIGTILLGLTGRRLLFSLSAFLAGISCLLCILFAHGKRAKNADKSSTGNWAQLAIEGIGFMAASVAFDVLYVYCVELFPTNVRNFAVSQSRQALMLGASIAPLLVAAGRLSPSLSFLVFGILSIFSGVISWWLPETRNAPLYDTLKQQEEDEKNKVGSQITQA >Potri.009G145100.1.v4.1 pep chromosome:Pop_tri_v4:9:11532097:11532802:1 gene:Potri.009G145100.v4.1 transcript:Potri.009G145100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G145100.v4.1 MLLFRKYRDACAVLDRKLKLLRRLTDLFKPYVLFEGIFDDKNSEKLQIASRKTCPETNVFNFDLKSIDWEDYMMNAHIPGLVMYVMK >Potri.005G038800.1.v4.1 pep chromosome:Pop_tri_v4:5:2487598:2489741:-1 gene:Potri.005G038800.v4.1 transcript:Potri.005G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038800.v4.1 MASKNCILIFLTLVSIFLPLTQSIHFKFPAVFNFGDSNSDTGNLVAAGIESIRPPYGEIHFQIPSGRYCDGRLIIDFLMDAMELPFLNAYLESVGVPNFRKGCNFAAAGSTILPATATSVCPFSFGIQVNQFLRFKARVLELLAKGKKFNKYIPAENYFEKGLYMFDIGQNDLAGAFYSKTFDQIVASIPNILVEFETGIKKLYDQGARNFWIHNTGPLGCLTQNVAKFGTDPSKLDELGCVSGHNQAAKLFNLQLHALTKKLQDQHSDSNITYVDIYTIKSNLIANYSRYGFEQPIMACCGYGGPPLNYDRRIVCGQTKVLDGTSATAQACNDSTEYVNWDGIHYSEAANQYISSQILTGKFSDPPFADKMPFLLNLQF >Potri.017G076700.1.v4.1 pep chromosome:Pop_tri_v4:17:8534950:8535972:-1 gene:Potri.017G076700.v4.1 transcript:Potri.017G076700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076700.v4.1 MGAVLSSIGSILSYLFGASAAGEDSASDGQSGVTAFHSSARWQLHFNSIKNTNQLMVIDFAASWCGPCKHMEPAVHAMAAKFTDVQFAKIDVDELPDVAQEFGVQAMPTFVLVKKGNEVDRVVGAQKEELQRKIEKHRPR >Potri.001G008000.1.v4.1 pep chromosome:Pop_tri_v4:1:553483:558180:1 gene:Potri.001G008000.v4.1 transcript:Potri.001G008000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008000.v4.1 MQKMEHHRSSNGPRGFRVQAPLVDSASCYCKVDSGLKTVAEARKFVPGSKLCIQPDINPNAHKSKTSRRERTRVQPPLLPGLPDDLAIACLIRVPRAEHRKLRLVCKRWYRLLAGNYFYSLRKSLGMAEEWVYVIKRDRDGKISWNAFDPVYQIWQPLPPVPREYSGALGFGCAVLSGCHLYLFGGKDPLRGSMRLVIFYSVRTNKWHRAPDMLRKRHFFGSCVINNCLYVAGGECEGIQRTLRSAEVYDPNKNRWSFISDMSTAMVPFIGVVYDGKWFLKGLGSHREVMSEAYDPETSTWTPISDGMVAGWRNPSISLDGHLYALDCRDGCKLRVYDEASDTWNKFIDSKLHQGSSHALEAAALVPLNGKLCIVRNNMSVSLVDVSSPDKRVESNPHLWENIAGRGHLRTLVTNILSSIAGRGLKSHIVHCQVLQA >Potri.012G107200.2.v4.1 pep chromosome:Pop_tri_v4:12:12898438:12899562:1 gene:Potri.012G107200.v4.1 transcript:Potri.012G107200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107200.v4.1 MLSMYASVTNIIPDLDDHSKISGHIVHRDNKAAEKFEFDPTKISSFEICQSIWEMIHKQQMFGYLQLACVLLLGFNASFCDILGHLFCRRWSSFVECIWLSSLQM >Potri.012G107200.1.v4.1 pep chromosome:Pop_tri_v4:12:12898330:12899562:1 gene:Potri.012G107200.v4.1 transcript:Potri.012G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107200.v4.1 MGSIPFLSPYFNGFTFSAFSDIDYQSVIFLRGRMLSMYASVTNIIPDLDDHSKISGHIVHRDNKAAEKFEFDPTKISSFEICQSIWEMIHKQQMFGYLQLACVLLLGFNASFCDILGHLFCRRWSSFVECIWLSSLQM >Potri.010G205900.1.v4.1 pep chromosome:Pop_tri_v4:10:19665033:19669958:-1 gene:Potri.010G205900.v4.1 transcript:Potri.010G205900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G205900.v4.1 MKGSKTTILTLAEKCKNILASNWQAQLNTIKADAKGSKGGIYSSKVKYILKKGKPYLWVNEKDMHNVNTIIDDRASLAVASPFPGPLANLFKSMQKLPARIAITGNVVPLKEEKVQLVAESLKEVMLSEQRQINEAPYTVSGVLSSSNLITTSRSENLKELLDGVEEYGVYRFNLSSCMFIDGHGRTHEVDMEAIEASKVDPLAFLSAKLIDGINRSESRRRALVLFCFVYLNADARDAFMLAVDRKGFDVLAKVPSSRLKDGTSEYVWKQFRFPFKEEALDVETFCHQLVKMEEEAVKKVSGYSGLT >Potri.001G207700.1.v4.1 pep chromosome:Pop_tri_v4:1:21196904:21204418:-1 gene:Potri.001G207700.v4.1 transcript:Potri.001G207700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G207700.v4.1 MYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFFKWCPAFKILTYFGSAKERKCKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGIDMQLSSSVCSMLSPGPLSSVDLCALGLIFTHLDFSMASWEYDEVKSIATPSRLIKERSNLDNIEEVGPGSKHWKKLPGKNIFEEIRKSLLEERLREVKQRAASIAWWNSLRCQKKPIYSTTLRELLTVKHPIYDVHRHKTERLSYLYSSKLGDVILSPIERFQKMTDLVESFMFAIPAARTPVPVFWCSQIRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEVFMNLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLNPMELFSGHKTLQIKNMQREKNHNNGNEVSLSNADVDAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYSKDGAVNLKENGCIEERAVTLTGNEDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVGFEETEWELDRIEKYKEEMEAEIDDDEEPLVYERWDADFATEAYRQEVEALTQHQLLEEQEAEANEKEGADDGHLDAMVYKMPRNPKLKSKKKPKKAKFKSLKKESLTSELKHVKEEVSMETLSVDDDDDGTYSDTMSPCSSMWRKRKKAESAICIDKTRSKKTKKFKKGPETCTFSVDSDLSGKQHDRFTELKPYEVVVSDIEQKPASRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWLKDCVPPPALWMPQEDAVLCAVVHEYGPHWSLVSEILYGMTAGGFYRGRYRHPVHCCERFRELIHRYVLFSPENPINNEKMSNMVPGKALLKVTEDNIRMLLNVVAEQPDHELLLQKHFTALLSSVWRVKSRVENQQNMPSSRNALYNSGRVFNSSVNPLPWNSLRESAKRMKFTNLGQSTKLLAAALHDASSRRPGDRVSNSNVNEEAPAVGEKLEITLEFQKEENDYLIPFPPVISLSIPGSAPWMSVNKDRAAAHHLRASTSIAENRFRDAARASSSVLPANDLKLWLASKTQSLGKHKLTVSESTKPPRSKTRKTLLEQNEGHAEPVMQPLSDRDPNLRFDLPPEVIQDDKDGFSISFMEKELSVETKISEAVPHIYVPDLILGLDDYSLLPEYTDIG >Potri.001G207700.4.v4.1 pep chromosome:Pop_tri_v4:1:21196912:21211872:-1 gene:Potri.001G207700.v4.1 transcript:Potri.001G207700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G207700.v4.1 MASKGPRSKLDHETRARRQKALEAPREPRRPKTHWDHVLEEMVWLSKDFESERKWKLAQAKKVALRASKGMLDQATRGEKKLKEEEQRLRKVALNISKDVKKFWVKIEKLVLYKHQMELDEKKKKALDKQLEFLLGQTERYSTMLAENLVDKPSEQYAAQDKPRIAYKKGDDANIPEQVNDEPQLDTTDNDDEYDVQSEDEVEDDEHTIEEDEALITAEERQEELEALHNETDIPLEELLNRYPVEKGSGESSENGAKPSANGEDHCERKGNDMSAASDMEISCSPVNASRRCGENNGALPIPDNDLLEIRTNETRNQLSISDDPAKERVPYDFSDEQEDGDFDLAAEEEKDDETTLLEEEELAKADSKNPIDEISLLQKESEIPLEELLARYTKEPNNEVSEDESEYASLLSDNVSNSPGHEDELKQLDNSMDGIVECGNHPLVEEQEKGNEKISEDGRESENRIADAAAAARSAQPTGNTFSTTKVRTKFPFLLKYPLREYQHIGLDWLVTMYEKRLNGILADEMGLGKTIMTIALLAHLACEKGIWGPHLIVVPTSVMLNWETEFFKWCPAFKILTYFGSAKERKCKRQGWLKPNSFHVCITTYRLVIQDSKVFKRKKWKYLILDEAHLIKNWKSQRWQTLLNFNSKRRILLTGTPLQNDLMELWSLMHFLMPHIFQSHQEFKDWFSNPITGMVEGQERVNKEVVDRLHNVLRPFILRRLKRDVEKQLPMKHEHVIYCRLSRRQRNLYEDFIASSETQATLATANFFGMISIIMQLRKVCNHPDLFEGRPIISSFDMAGIDMQLSSSVCSMLSPGPLSSVDLCALGLIFTHLDFSMASWEYDEVKSIATPSRLIKERSNLDNIEEVGPGSKHWKKLPGKNIFEEIRKSLLEERLREVKQRAASIAWWNSLRCQKKPIYSTTLRELLTVKHPIYDVHRHKTERLSYLYSSKLGDVILSPIERFQKMTDLVESFMFAIPAARTPVPVFWCSQIRTPVFLHSTYEEKCSEMLLPLLSPIRPAIVRRQLYFPDRRLIQFDCGKLQELAILLRKLKSEGHRVLIFTQMTKMLDILEVFMNLYGYTYMRLDGSTQPEERQTLMQRFNTNPKIFIFILSTRSGGVGINLVGADTVIFYDSDWNPAMDQQAQDRCHRIGQTREVHIYRLISESTIEENILKKANQKRALDDLVIQSGGYNTEFFKKLNPMELFSGHKTLQIKNMQREKNHNNGNEVSLSNADVDAALKYAEDEADYMALKKVEQEEAVDNQEFTEEAIGRLEDDEFVNDDDMKADEPTDHEMTTYSKDGAVNLKENGCIEERAVTLTGNEDVDMLADVKQMAAAAAAAGQAISSFENQLRPIDRYAVRFLELWDPIIDKAALESQVGFEETEWELDRIEKYKEEMEAEIDDDEEPLVYERWDADFATEAYRQEVEALTQHQLLEEQEAEANEKEGADDGHLDAMVYKMPRNPKLKSKKKPKKAKFKSLKKESLTSELKHVKEEVSMETLSVDDDDDGTYSDTMSPCSSMWRKRKKAESAICIDKTRSKKTKKFKKGPETCTFSVDSDLSGKQHDRFTELKPYEVVVSDIEQKPASRSKMGGKISISTMPVKRVLMIKPEKLKKGNVWLKDCVPPPALWMPQEDAVLCAVVHEYGPHWSLVSEILYGMTAGGFYRGRYRHPVHCCERFRELIHRYVLFSPENPINNEKMSNMVPGKALLKVTEDNIRMLLNVVAEQPDHELLLQKHFTALLSSVWRVKSRVENQQNMPSSRNALYNSGRVFNSSVNPLPWNSLRESAKRMKFTNLGQSTKLLAAALHDASSRRPGDRVSNSNVNEEAPAVGEKLEITLEFQKEENDYLIPFPPVISLSIPGSAPWMSVNKDRAAAHHLRASTSIAENRFRDAARASSSVLPANDLKLWLASKTQSLGKHKLTVSESTKPPRSKTRKTLLEQNEGHAEPVMQPLSDRDPNLRFDLPPEVIQDDKDGFSISFMEKELSVETKISEAVPHIYVPDLILGLDDYSLLPEYTDIG >Potri.004G135800.1.v4.1 pep chromosome:Pop_tri_v4:4:15702304:15711952:1 gene:Potri.004G135800.v4.1 transcript:Potri.004G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135800.v4.1 MSGAPVKRSHEEGVYSSSSKYPPHEDTGSNPKLTSGVSNEYHPPYEMGPDARVAKISRTESRDADRRSPLHSMYRMSPSSNESHMDSHSNVAPESRLESRDSKDSRDHRIENRDPRTDAREVYGEVKRDSQSVKNEKDARFDSRGDDNKEVKHDREAHIDLKDGFGAASSQVNWKESKEYHRGKRYLESPGGHVDPWLISRGNSQGPAEIGKESTNIEERDHVEAHEAVGENKLDSKGEDRFKDKDRKRKDLKHREWGDKDKERSDRRGNMQVGNSSAEGKESVKEEREAERWERERKDLSKDRERLKEREKDHLKRESGAGAEKESSHNEKESADGTVRISEQENPVLEPKKQKDFDNWKNVDREAKDRKKEREADIEGDRPEKGSRMFGKESDDGFADGERISEREREVFNYGVQQRRRMLRPRGSSQVASREPRFRSHTQDTEGCQGKSEVSSVVYKVGECMQELIKLWKEYESSQSDKNGESSHKGPTLEIRIPAEHVTATNRQVRGGQLWGTDIYTDDSDLVAVLMHTGYCRPTASPPPPAIQELCATIRVLPPQDSYTSMLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHTSAVEPTLAPVVVERTMTTRAAASNALRQQRFVREVTIQYNLCNEPWIKYSISIVADKGLKKPLYTSARLKKGEVLYLETHSCRYELCFTGEKMVKATQALQVHEETEKSHNHHPHSSNGEKTDSDNVLIDIFRWSRCKKPLPQKVMRSVGIPLPLEHVEVLEENLDWEDVQWSQTGVWIAGKEYALARVHFLSPS >Potri.006G083201.1.v4.1 pep chromosome:Pop_tri_v4:6:6185692:6187670:1 gene:Potri.006G083201.v4.1 transcript:Potri.006G083201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083201.v4.1 MHDCCVRGERGMSPPSNRKQPAHIQSLPLPLSPVNLPVHPFALYINSLFVHFVPRLLITQLVSVFFYVTTATTYKPYSSCCLPPRTKLWNQQPLKRGQYISKTTMERLNSKLYLQNCYIMKENERLRKKAQLLNQENQALLSELKQKLSKPNSKGNSPNDTIPDLNLSSSSAPDPANSGSN >Potri.013G046100.1.v4.1 pep chromosome:Pop_tri_v4:13:3243442:3245455:-1 gene:Potri.013G046100.v4.1 transcript:Potri.013G046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046100.v4.1 MCDDTLKSNYHLCEEIGRGRFGTISRCFSPIKNEFFACKSIDKNLLNDPTDRECLQNEAKIMSLLSPHPNIVQLFDVYDTEDSLDMVLELCQQSTLYDRLMKSSNDGLSEGRCASIMKQLLTAIAHCHRFGIVHRDIKPDNILFDEMNRVKLADFGSADWVGEEGTLSGVVGTPYYVAPEVVMGRDYNEKVDVWSAGVVLYVLLAGFPPFYGETAEEIFQAVVRGNLRFPPKVFRNVSPEAKDLLRKMICRDVSRRFSAEQALWHPWILSGGD >Potri.017G116955.3.v4.1 pep chromosome:Pop_tri_v4:17:12387523:12392150:-1 gene:Potri.017G116955.v4.1 transcript:Potri.017G116955.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116955.v4.1 MNCPVFSLSPSPSVFVIVLLLFVQVPSSVSNSALFNDCSNKFVCGNISADFPFWGTGRPPACGIPELELKCETNIAKMNINQVAYRVLDINQDDGILRIAREDFLVGLCPPEFVNSTFNPKVFESVEGYTNLTFIYGCMAAPTPILVPGLFTCKINAVNYQSGYIQAGATGPGDCYGSVFVPISITDLSPVVIMPDLEQSLKEGFEVRWKVDGEACRECNSSSGVCGIDSVTNQTTCYCLNQSSGSRTCALPGSTPNADPAIPAPGFSWSRRRLHIAIGISAAVAAMITFSVTTICLIRKKGSFSAVIAMIITPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFANILGQGGFGNVYRGKLPEGRLVAVKVLKESKDDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISVKGSPHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVRFDIKPHNILLDDEFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSGVYSYGMMVLEMVGQKTLIWDRWKPTRCISRIGFICILNQERFQHFMEV >Potri.017G116955.2.v4.1 pep chromosome:Pop_tri_v4:17:12386556:12392405:-1 gene:Potri.017G116955.v4.1 transcript:Potri.017G116955.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116955.v4.1 MNCPVFSLSPSPSVFVIVLLLFVQVPSSVSNSALFNDCSNKFVCGNISADFPFWGTGRPPACGIPELELKCETNIAKMNINQVAYRVLDINQDDGILRIAREDFLVGLCPPEFVNSTFNPKVFESVEGYTNLTFIYGCMAAPTPILVPGLFTCKINAVNYQSGYIQAGATGPGDCYGSVFVPISITDLSPVVIMPDLEQSLKEGFEVRWKVDGEACRECNSSSGVCGIDSVTNQTTCYCLNQSSGSRTCALPGSTPNADPAIPAPGFSWSRRRLHIAIGISAAVAAMITFSVTTICLIRKKGSFSAVIAMIITPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFANILGQGGFGNVYRGKLPEGRLVAVKVLKESKDDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISVKGSPHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVRFDIKPHNILLDDEFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSGVYSYGMMVLEMVGQKTLIWDRWKPTRCISRIGFICILNQERFQHFMEV >Potri.017G116955.4.v4.1 pep chromosome:Pop_tri_v4:17:12386590:12392102:-1 gene:Potri.017G116955.v4.1 transcript:Potri.017G116955.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116955.v4.1 MNCPVFSLSPSPSVFVIVLLLFVQVPSSVSNSALFNDCSNKFVCGNISADFPFWGTGRPPACGIPELELKCETNIAKMNINQVAYRVLDINQDDGILRIAREDFLVGLCPPEFVNSTFNPKVFESVEGYTNLTFIYGCMAAPTPILVPGLFTCKINAVNYQSGYIQAGATGPGDCYGSVFVPISITDLSPVVIMPDLEQSLKEGFEVRWKVDGEACRECNSSSGVCGIDSVTNQTTCYCLNQSSGSRTCALPGSTPNADPAIPAPGISAAVAAMITFSVTTICLIRKKGSFSAVIAMIITPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFANILGQGGFGNVYRGKLPEGRLVAVKVLKESKDDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISVKGSPHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVRFDIKPHNILLDDEFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSGVYSYGMMVLEMVGQKTLIWDRWKPTRCISRIGFICILNQERFQHFMEV >Potri.017G116955.1.v4.1 pep chromosome:Pop_tri_v4:17:12386478:12392405:-1 gene:Potri.017G116955.v4.1 transcript:Potri.017G116955.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116955.v4.1 MNCPVFSLSPSPSVFVIVLLLFVQVPSSVSNSALFNDCSNKFVCGNISADFPFWGTGRPPACGIPELELKCETNIAKMNINQVAYRVLDINQDDGILRIAREDFLVGLCPPEFVNSTFNPKVFESVEGYTNLTFIYGCMAAPTPILVPGLFTCKINAVNYQSGYIQAGATGPGDCYGSVFVPISITDLSPVVIMPDLEQSLKEGFEVRWKVDGEACRECNSSSGVCGIDSVTNQTTCYCLNQSSGSRTCALPGSTPNADPAIPAPGFSWSRRRLHIAIGISAAVAAMITFSVTTICLIRKKGSFSAVIAMIITPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFANILGQGGFGNVYRGKLPEGRLVAVKVLKESKDDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISVKGSPHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVRFDIKPHNILLDDEFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSGVYSYGMMVLEMVGQKTLIWDRWKPTRCISRIGFICILNQERFQHFMEV >Potri.017G116955.5.v4.1 pep chromosome:Pop_tri_v4:17:12386590:12392102:-1 gene:Potri.017G116955.v4.1 transcript:Potri.017G116955.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116955.v4.1 MNCPVFSLSPSPSVFVIVLLLFVQVPSSVSNSALFNDCSNKFVCGNISADFPFWGTGRPPACGIPELELKCETNIAKMNINQVAYRVLDINQDDGILRIAREDFLVGLCPPEFVNSTFNPKVFESVEGYTNLTFIYGCMAAPTPILVPGLFTCKINAVNYQSGYIQAGATGPGDCYGSVFVPISITDLSPVVIMPDLEQSLKEGFEVRWKVDGEACRECNSSSGVCGIDSVTNQTTCYCLNQSSGSRTCALPGSTPNADPAIPAPGISAAVAAMITFSVTTICLIRKKGSFSAVIAMIITPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFANILGQGGFGNVYRGKLPEGRLVAVKVLKESKDDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISVKGSPHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVRFDIKPHNILLDDEFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSGVYSYGMMVLEMVGQKTLIWDRWKPTRCISRIGFICILNQERFQHFMEV >Potri.001G253200.7.v4.1 pep chromosome:Pop_tri_v4:1:26941062:26948231:-1 gene:Potri.001G253200.v4.1 transcript:Potri.001G253200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253200.v4.1 MDGRLANTWRLTVNEKKFIETALASNLRIDGRNPLEYRKITIKFGREDGSSEVQLGQTHVMGFVTGQLVQPYQDRRNEGMLLIFTEFSPMADPSFEPGRPGESAVELGRIVDRGLRESRAVDTESLCVLSGKLVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECTLGGDDGQEVTVHPPEEREPLPLIVHHLPIAITFAFLGSEGKMVVDPTHSEEAVMGGRMTVTVNAIGDICSIQKAGGEGVPQSVIMHCLQLASMSAESITKKINNAVEAYSTERALRKIKRHPTSAAGNVSVAGSDVKEQNKTVEQVGGSELSRHHMERLRLVSEETCSSRSNDNDGDIKSSEQGGTSGGESNAVSFLGGPSSWDPYLKGVDDDSLKPNVASRGISTQHMEQKESKENSPKMDTEKPTEDIKQATLATDTSGTALETNGEKTLKDAVKPKNKRRKRASSMNAS >Potri.001G253200.1.v4.1 pep chromosome:Pop_tri_v4:1:26941100:26948231:-1 gene:Potri.001G253200.v4.1 transcript:Potri.001G253200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253200.v4.1 MDGRLANTWRLTVNEKKFIETALASNLRIDGRNPLEYRKITIKFGREDGSSEVQLGQTHVMGFVTGQLVQPYQDRRNEGMLLIFTEFSPMADPSFEPGRPGESAVELGRIVDRGLRESRAVDTESLCVLSGKLVWAIRIDLHILDNGGNLVDAANIAALAALLTFRRPECTLGGDDGQEVTVHPPEEREPLPLIVHHLPIAITFAFLGSEGKMVVDPTHSEEAVMGGRMTVTVNAIGDICSIQKAGGEGVPQSVIMHCLQLASMSAESITKKINNAVEAYSTERALRKIKRHPTSAAGNVSVAGSDVKEQNKTVEQVGGSELSRHHMERLRLVSEETCSSRSNDNDGDIKSSEQGGTSGGESNAVSFLGGPSSWDPYLKGVDDDSLKPNVASRGISTQHMEQKESKENSPKMDTEKPTEDIKQATLATDTSGTALETNGEKTLKDAVKPKNKRRKRASSMNAS >Potri.019G129860.1.v4.1 pep chromosome:Pop_tri_v4:19:15260280:15261385:-1 gene:Potri.019G129860.v4.1 transcript:Potri.019G129860.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129860.v4.1 MELLNFLSTYINNLYSQKKKFLVSDAVSDYRRSPFDLTKKFIGSYAVSDLTHKLSDQIKTFMASGAVSDYRRSPFDLIKKFMASGAVSDFTYMPFDLIKKFIASGAVSDFTYMPFDQIKKFIASGAVSDFNHKLSDLIKKFLASETVVYVLQWFKKESVPIIVAVVVIALLVRLFRSCCGCLAKNIVRICCGCLAKIVRFCCGCLAKSVKTMKAPGRNYRIPRSNFEANPRAYFRDLRKG >Potri.002G055700.2.v4.1 pep chromosome:Pop_tri_v4:2:3802118:3804481:1 gene:Potri.002G055700.v4.1 transcript:Potri.002G055700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055700.v4.1 MASTACFLHHHALTTPARSTSSSQRQVVNLKPAQLVCRAQKQAVQEEDDGVVSRRLALTVLIGAAALGSKVAPADAAYGESANIFGKPKTNTDFLPYNGDGFKLSVPAKWNPSKEREFPGQVLRYEDNFDATSNLSVMVTPTDTKSIADYGSPEEFLSKVDYLLGKQSSLFATSSEGGFDTNTVATANILETSTPVIDGKQYYFLSVLTRTADGDEGGKHQLITATVKDGKLYICKAQAGDKRWFKGARKFVESAASSFSVA >Potri.003G130900.1.v4.1 pep chromosome:Pop_tri_v4:3:14910781:14911672:-1 gene:Potri.003G130900.v4.1 transcript:Potri.003G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130900.v4.1 MGFPVGYSEIVLPKLLLHTLSFLGFIRKLINTLFHCIGLPDFLEPGISSSSSTENTPPFRVPDFHSHSVSALLIREILPVVKFSELVDPSADSCAVCLYEFEESDEIRRLANCRHIFHKCCLDRWMGYDQITCPLCRTHVIPDDMQESFNERLWAASAITDFYGEYSQIPGL >Potri.004G002900.2.v4.1 pep chromosome:Pop_tri_v4:4:182114:184623:1 gene:Potri.004G002900.v4.1 transcript:Potri.004G002900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002900.v4.1 MQMKPIDYDTVIAECTMALQVQPQFVRALLRRARAFEAIGKYEMAMQDVQVLLGADPNHRDALDITQRLRTAFGPRQEAQQDLQSRPSPAALGASAVRGAPIGGLGPCLPARPVSKKAAALPGGSVVSPSNKMEKPLMDSISENGTVTKNQLPKLVLKPFSDSSKASANPGKDRQGKESLSSSMSLPRQVSEVAVRLRPLKLVYDHDIRLAQMPVNCTFKGLREMVSKRFPSSKSVLIKYKDTDGDLVTITCTTELRLAESSVDSLLVKEPDADKTDSVGMLRLHVVEVSPEQEPTLLEEEEEEDEKPLESEENKGGESGSHSSLGESVLEVVDTEIDKAEKETTKEQPGASEDPESKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSDALEETVTSEEAQSLFDKAASKFQEVAALAFFNWGNVHMCAARKRIPVDESAGKEVVSAQLQAAYGWVKESYSLAREKYEEALSIKPDFYEGLLALGQQQFEMAKLHWSFALANKIDLSSWDSVETLKLFDSAEEKMKAATEMWEKLEEQKANELKDPSASKKDEMLRRRKKLGSNVECESSESGAQGEITPEEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDGWKNKLDAAVERFGLAGASDADISMVLKNHCSNGNAAEGDDKKVQNSNTDNVNGADKSELNKA >Potri.004G002900.1.v4.1 pep chromosome:Pop_tri_v4:4:181703:184593:1 gene:Potri.004G002900.v4.1 transcript:Potri.004G002900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002900.v4.1 MGKSGGKKKKGIGAGANQALSGENNGNSNSKAIPNANGGIGLDSSIFLKRAHELKEEGNKRFQNKDYAGALEQYDNALRLTPKTHPDRAVFHSNRAACLMQMKPIDYDTVIAECTMALQVQPQFVRALLRRARAFEAIGKYEMAMQDVQVLLGADPNHRDALDITQRLRTAFGPRQEAQQDLQSRPSPAALGASAVRGAPIGGLGPCLPARPVSKKAAALPGGSVVSPSNKMEKPLMDSISENGTVTKNQLPKLVLKPFSDSSKASANPGKDRQGKESLSSSMSLPRQVSEVAVRLRPLKLVYDHDIRLAQMPVNCTFKGLREMVSKRFPSSKSVLIKYKDTDGDLVTITCTTELRLAESSVDSLLVKEPDADKTDSVGMLRLHVVEVSPEQEPTLLEEEEEEDEKPLESEENKGGESGSHSSLGESVLEVVDTEIDKAEKETTKEQPGASEDPESKEVEMDDWLFEFAQLFRTHVGIDPDAHIDLHELGMELCSDALEETVTSEEAQSLFDKAASKFQEVAALAFFNWGNVHMCAARKRIPVDESAGKEVVSAQLQAAYGWVKESYSLAREKYEEALSIKPDFYEGLLALGQQQFEMAKLHWSFALANKIDLSSWDSVETLKLFDSAEEKMKAATEMWEKLEEQKANELKDPSASKKDEMLRRRKKLGSNVECESSESGAQGEITPEEAAEQAAVMRSQIHLFWGNMLFERSQVECKLGMDGWKNKLDAAVERFGLAGASDADISMVLKNHCSNGNAAEGDDKKVQNSNTDNVNGADKSELNKA >Potri.003G128500.1.v4.1 pep chromosome:Pop_tri_v4:3:14731282:14737840:1 gene:Potri.003G128500.v4.1 transcript:Potri.003G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128500.v4.1 MDLQKLCLVITVTAVISLVCYPSSVTAGDIVHDDNLAPKKPGCENDFVLVKVQTWVDGVEDAEFVGVGARFGTTIVSKEKNANQIRLTLSDPLDCCSAPKHKLDGDVIMVHRGHCKFTTKANNAEAAGASALLIINNQKELYKMVCEPDETDLDIHIPAVMLPQDAGSSLEKMLLTNSSVSVQLYSPRRPLVDIAEVFLWLMAVGTILCASYWSAWSAREAAIEQDKLLKDAVDEIPNEKAVGFSTVVDINTTSAVLFVVIASCFLVILYKLMSYWFIELLVVLFCIGGVEGLQTCLVALLSRWFKHAGESYIKVPFFGPLSYLTLAVAPFCIAFAVVWAVYRTVSFAWIGQDILGIALIITVLQIVHVPNLKVGTVLLSCAFLYDIFWVFVSKKVFHESVMIVVARGDRSGEDGIPMLLKIPRLFDPWGGYSIIGFGDILLPGLLIAFSLRYDWLATKSLRAGYFPWAMLAYGLGLLVTYVALNLMDGHGQPALLYIVPFTLGTFLALGKKRGDLRVLWTQGEPETPCSHVRLQHSEELD >Potri.009G108600.3.v4.1 pep chromosome:Pop_tri_v4:9:9394868:9397109:1 gene:Potri.009G108600.v4.1 transcript:Potri.009G108600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108600.v4.1 MPPEVAGSWPVIGHLHLLGRRNQLLHKTLGGMADDYGSIFSIRLGIHPTIVVSDWEIVKECFTANDRVFSTRPKSLALKIMGYNQTTFGFAPYGRYWRDMRKLVMVELLSNHRLELLKHVRDTETSLLMKDFYEKSSRNGGQVVVEMKQRLADMATNITVRMISGKRYFSADAKGNQEAKRCQEALRNFFYLVGLNLASDAVPLFSWLDLVKGYIGKMKRTARELDCVLGSWVDEHRRIRLNRSISEEEKDFIYVMLSIMDDGNISVDEADTTVKASCLSLLLGGSDTTAIALTWALASLLNNRNMLKKAQCELDTHVGKHREVAETDIKNLVYMQAIVKETFRLHQPAPLSGPREAMEDCTVAGFHIPAGTRLVVNLWKLHRDPNIWSNPLEFQPERFLKEHANLDVRGQDFEFTPFGSGRRMCPAVSFAVQVVHLTLARLLHGFELRTVSDNPVDMTESPGLTVPKATPLEVVLRPRLPSIAYEF >Potri.019G063800.1.v4.1 pep chromosome:Pop_tri_v4:19:10409608:10413894:-1 gene:Potri.019G063800.v4.1 transcript:Potri.019G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063800.v4.1 MAVSAHSLLKSMLLLRLPITTNTIVPSRISRPSSSPLNLISCHYLNGSNRTKSRHFHSPTLYTRTAETPKKRLICFNYNTSASHGNGTNYLELTDDELMRQCEMDTFKASGPGGQHRNKRESAVRLKHLPTGIIAQAVEDRSQHMNRASALKRLRALLAIRVRNSLDIDSYTPRLELLQILPLKSTIRGSDCGPQIGPKNPKFILGMQALLDLIFAVDGSISEAGKLLGLSTGALSRLILSDDSLRMAVNDLRNSKGLKPLR >Potri.009G165800.1.v4.1 pep chromosome:Pop_tri_v4:9:12685381:12688905:-1 gene:Potri.009G165800.v4.1 transcript:Potri.009G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165800.v4.1 MGRSNENNPGLFVPLNLQEGPRLGGSKFVKDMGLNQRRALASINQNIVGAAHFPCVVNKRDSSEEMAAEMVSNKQQCYEETRKSKDCSVIEVEEYDDAAIPMFVKHTEAMLDEIDRMEVEMEDAEDSIVDIDCGDLKDTLAVVEYIDDIYAYYKKSESSGCVSPTYMDRQSDINEKMRAILIDWLIKVHYKFELMDETLFLAINLIDRFLERCTVVRKKLQLVGVTAMLLACKYEEVSVPLVEDFVLISDNAYTRIEVLDMEKLMVNTLQFNMSVPTPYMFMKRFLKAALSDKKLELLSFFIIEVCLVEYEMLRFPPSLLAAAAIYTAQCSLYQFKQWSKTSEWHTSYTEDQLLECSRMMVSFHQKAGYGKLTGVHRKYSTSKFGYAAKAEPALFLLGL >Potri.002G121200.1.v4.1 pep chromosome:Pop_tri_v4:2:9196915:9199073:-1 gene:Potri.002G121200.v4.1 transcript:Potri.002G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G121200.v4.1 MFLHFLLLYLVLYVLTNHFRNKIQNLPPSPFPALPIIGHLHLLKKPLHRSLSKISNRHGPVVLLQLGSRRVLVVSSPSAAEECFTKNDIVFANRPHLLAGKHLGRNYTTLSWAPHGDLWRNLRKISSLEILSSNRLQLFSSIRTEEVKFLIRRLFKNNDEIIDLKSSFFELMLNVMMRMTAGKRYYGENEAEVEEGRRFREIVTETFQVSGASAVGDFLHVFAVIGGTEKRLMKLQEKRDGFLQELVDEHRRRMGNNKSCFSNERNYKTMIEVLLTLQESEPEYYKDETIKDLMVVLLSAGTETTAGTMEWALSLLLNNPLILRKAQNEIDKVVGHDRLIDESDVVKLPYLHCVIKETMRMYPIGPLLVPHRSSEECGVGGFQIPSGTMLLVNMWAIQNDPKIWDDAAKFKPERFEGSVGVRDGFKLMPFGSGRRRCPGEGLAIRMVGLTLGSLLQCFEWDRVSQEMVDMTGGTGLTMPKAQPLLARCTSRPSMANLLSQI >Potri.014G006300.1.v4.1 pep chromosome:Pop_tri_v4:14:531289:532083:-1 gene:Potri.014G006300.v4.1 transcript:Potri.014G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006300.v4.1 MVINKKSRKESTLKKILKAPLRILARARDFYIKGMAEYSDQVCVMSCPTGNFNTMPRSYSVSSTKPNHLDDDRREPLRAASTRSNLGSRNSKINVDVPARQQYSHKKSATRLGDNMPRSHTVAIGRIDEEKPCDFDEEDVKVKTNVYPRSRSYAVSKR >Potri.004G184100.1.v4.1 pep chromosome:Pop_tri_v4:4:19781357:19784095:1 gene:Potri.004G184100.v4.1 transcript:Potri.004G184100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184100.v4.1 MEADDVSDLFVEGKGIPWDKQDPEAPKSISAERIMSVHCSSNYIYGKRGVYKDTKLQERGGRILEKDGIVYCIMQLITVLESNLHLYYKKGKGNAIKEFGSLFEELTGNEFEPWEREKKFKKKRLSFYPIDMDDGVDARHGGLRLRQLGVAAVHRRLEPKIAYFMKILCSREIYRYAMMEKGCDSPDLPMGMLSNFHMERCEDVLLWFVEAGKSTKETGQKAEAGAAAFETVRDINVAPRLIGDMSGSALDDPLSDRYMNWAAQFRVGDIDYGVSVENIFPVEPSACPPLVEIKKLPNKVVLWCGTRSSNMLRHLQKGFLPSVCSLPVPGDFCSDAAAEAGRYGFTAVDRPEGFLALAVASLGDQVIKVESPLETGYKVMLERKTTDESEHFLWKDDIKVPCGRLIPSVHKDSPLEYNEYAIYDPKQLFLYWHKAQSLYGGEIYLATGSHSLL >Potri.002G248800.2.v4.1 pep chromosome:Pop_tri_v4:2:23920759:23924878:-1 gene:Potri.002G248800.v4.1 transcript:Potri.002G248800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248800.v4.1 MESRSRLSIICSHLLPGSPSPPSRLSSSITSSASINDAAGDTRNHQLDCVFCRIVRGESPAFKLYEDETCLCILDSSPLSPGHSLIIPKSHFSCLEATPPSVVAAMCSKVPSIGNAIMKANGSDSFNLLVNNGAAAGQVIFHTHIHIIPRKARDCLWTTESLRRRPLNFDQEASQLVNRVREQLSSISPEDGKDSRI >Potri.001G196700.1.v4.1 pep chromosome:Pop_tri_v4:1:19061194:19062572:1 gene:Potri.001G196700.v4.1 transcript:Potri.001G196700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196700.v4.1 MEIEGEEGSKIEVGIGSKAVFGRGCGFNTKDRRVSRRHVIFELDDNQTVSFQVVGKNPICVRSGEENVKIFRRLQKGVVAAGDWFCISSQNPVRFRLKKRIGGRRVQESDSGNWYSERFDLSKIDPVKEFGFLVIGHELDCYPKQRIKDARSWDWFLEEPEQDSEGGESFERKKKNGRGKRKKKVGGNDDNYGDDWSGESEDDEVVGKIIKVDRPKYSTRSKGRDKLRQDTKTKRVSARKTNEDDETLGAFIVDDDVEGEQGGEGDEEEDDIVDDDDDDYH >Potri.010G088500.1.v4.1 pep chromosome:Pop_tri_v4:10:11375279:11377078:-1 gene:Potri.010G088500.v4.1 transcript:Potri.010G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088500.v4.1 MLTPKIAMWIMVLTVAAIHISMVLGGDAGIIYGRNGDNLPSPKRVIDFLTEDMNHAISLVRVYDANTEVLEALSGTNLVVTIGVPDEAIAHVASSQEAADKWFRDHVLTYVHKGVRFRYICVGNEAIPGVVQSLVPQAIINLYNSVRKASVDYIYVTTAVGGKVLESSYPPSAGRFANGVDKIMNNLTNYLYNIGSPLLINLYPYHALVSEPQHISLDYALFQSQKPVFTDGDLEYYNLFDAMVDAFVAAMVRVVQQEDVKLVVAETGWPTAGVGSYACTENARIYNLNLRKHAIEKGCTPRKADINLEVYISEMFNENLQPDEFERNFGTFYPNLTEVYQLWR >Potri.004G080000.1.v4.1 pep chromosome:Pop_tri_v4:4:6599209:6599367:-1 gene:Potri.004G080000.v4.1 transcript:Potri.004G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080000.v4.1 MGKCKGCGKLGRMVPRDESVDAYHFSLLLSPVVSVWDCIVRKMRYSFRPEWV >Potri.010G214900.1.v4.1 pep chromosome:Pop_tri_v4:10:20235113:20237155:-1 gene:Potri.010G214900.v4.1 transcript:Potri.010G214900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214900.v4.1 MGSENKIDDVGWYNHDSQDQPLSTSPSMQIHNQQQQHSKFLTLPTVLTLGRVAAVPLLVATFYADSWWGRTATTSIFIVAAITDWLDGYLARKMKLGTAFGAFLDPVADKLMVAAALILLCSRPLEVALSGEVPWLFTVPATSIIGREITMSAVREWAASRNSKLLEAVAVNNLGKWKTATQMISLTILLAARDSSLEGPGILVPSGVILLYISAGLSIWSLAVYVSKIWKVLLK >Potri.001G261900.4.v4.1 pep chromosome:Pop_tri_v4:1:27805208:27808275:-1 gene:Potri.001G261900.v4.1 transcript:Potri.001G261900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261900.v4.1 MMQRLNPTNPLRIVINGSSRMPTPSPSQTSLPRSTPTPQPSLTTLNSRRYTNRISLFLFVLHMVVAVGLVSFLIFKGIQGLTEASDSVKRKERRILKFYLPQVETASLLSITLAFVWQKAVRQWPKFMVQFILWSSFLLSLSAGILLICFQRATTDGVGVCLIAFSIGNGLYACWVTQRIGFCSKILIKSLEPVPKFRDLNQPTYCMLGVGFLWMSLWILAVIGAMNFYFTPLIIIALVLSLAWTAEVMRNIVNLTVSRVIALYYLRGMQSSSQFCFQRALTRNLGSACLGSLFVPAIEALRIVARGLNLLEGEDEFMFSCAHCCLGIMQSIFRYGNGWAFVQIAAYGKGFVQASQDTWQLFERRGMESIVDLDITSSICFLTGVCSGSICVIVVAAWTAKVHQTFTATLSLLSFFIGYLMTRIAMALPHACVSCYYVCYAENPDPRLFDRIIKDQQDMMNSDRGVVMPIPRRFSTNS >Potri.001G261900.5.v4.1 pep chromosome:Pop_tri_v4:1:27805350:27808216:-1 gene:Potri.001G261900.v4.1 transcript:Potri.001G261900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261900.v4.1 MVVAVGLVSFLIFKGIQGLTEASDSVKRKERRILKFYLPQVETASLLSITLAFVWQKAVRQWPKFMVQFILWSSFLLSLSAGILLICFQRATTDGVGVCLIAFSIGNGLYACWVTQRIGFCSKILIKSLEPVPKFRDLNQPTYCMLGVGFLWMSLWILAVIGAMNFYFTPLIIIALVLSLAWTAEVMRNIVNLTVSRVIALYYLRGMQSSSQFCFQRALTRNLGSACLGSLFVPAIEALRIVARGLNLLEGEDEFMFSCAHCCLGIMQSIFRYGNGWAFVQIAAYGKGFVQASQDTWQLFERRGMESIVDLDITSSICFLTGVCSGSICVIVVAAWTAKVHQTFTATLSLLSFFIGYLMTRIAMALPHACVSCYYVCYAENPDPRLFDRIIKDQQDMMNSDRGVVMPIPRRFSTNS >Potri.001G261900.1.v4.1 pep chromosome:Pop_tri_v4:1:27805203:27808307:-1 gene:Potri.001G261900.v4.1 transcript:Potri.001G261900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261900.v4.1 MGASEPVVEREGERREEGEEEDKGEEEGNERKEEEKDVEKGQVLGVKEKVIDNSNIGVNNDLPNRLGDSHVAMMQRLNPTNPLRIVINGSSRMPTPSPSQTSLPRSTPTPQPSLTTLNSRRYTNRISLFLFVLHMVVAVGLVSFLIFKGIQGLTEASDSVKRKERRILKFYLPQVETASLLSITLAFVWQKAVRQWPKFMVQFILWSSFLLSLSAGILLICFQRATTDGVGVCLIAFSIGNGLYACWVTQRIGFCSKILIKSLEPVPKFRDLNQPTYCMLGVGFLWMSLWILAVIGAMNFYFTPLIIIALVLSLAWTAEVMRNIVNLTVSRVIALYYLRGMQSSSQFCFQRALTRNLGSACLGSLFVPAIEALRIVARGLNLLEGEDEFMFSCAHCCLGIMQSIFRYGNGWAFVQIAAYGKGFVQASQDTWQLFERRGMESIVDLDITSSICFLTGVCSGSICVIVVAAWTAKVHQTFTATLSLLSFFIGYLMTRIAMALPHACVSCYYVCYAENPDPRLFDRIIKDQQDMMNSDRGVVMPIPRRFSTNS >Potri.015G131700.2.v4.1 pep chromosome:Pop_tri_v4:15:14159588:14162290:1 gene:Potri.015G131700.v4.1 transcript:Potri.015G131700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131700.v4.1 MATNTLSFPPISISTSQFCNEKPNSSSLSSLSSSSFCGNNLLISYSKLRNLALKCNNPSTIFTVLSTLPTKKYTSQKMQKWSARAIRSFGLGELEARKLKYPNTGTEALLMGILIEGTSPAAKFLRANGITFFKVREEIVELLGKSEMYFFSPEHPPLTEQAQRALDWAIEEKLKSGDSGEITTTHILLGIWSEKESAGHNILETLGFNDDKAKEVAKSMSGDVALNFK >Potri.013G066800.1.v4.1 pep chromosome:Pop_tri_v4:13:5058474:5059899:1 gene:Potri.013G066800.v4.1 transcript:Potri.013G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX70 MGMKSSFLLILFIVPAVLADLRVGFYKPTCPDAESIIFQAVQKRFNTDKSVTAALLRMHFHDCFVRGCDASILIDSTTQNQAEKDAGPNQTVREYELIDEIKKALEAKCPSKVSCADIITVATRDAVVLAGGPNYTVPTGRRDGLVSRAGDVNLPGPQVDVSQAFQIFRAKGLTLEEMVILLGAHTVGVAHCSFFSERLQNDPSMDANLAANLSNVCANPNTDPTVLLDQGTGFVVDNEFYKQLLLKRGIMHIDQELAIDSSTSGFVSRFARDGNGFKQSFGKAMVKMGSVGVLVGNGGEVRKNCRVFNPKNKPTVPSPPKKDKVSPPSKKANNKKQKKPKGNGKKNKNTRAL >Potri.010G184400.1.v4.1 pep chromosome:Pop_tri_v4:10:18191330:18193009:-1 gene:Potri.010G184400.v4.1 transcript:Potri.010G184400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184400.v4.1 MGGNSPCASCKLLRRRCAKDCIFSPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQHEPVMPTSQMGPDDDKSFLLQNSLSQYLNYGSSSNAIHDSLKRESIFGDMIS >Potri.010G145150.2.v4.1 pep chromosome:Pop_tri_v4:10:15691276:15696776:-1 gene:Potri.010G145150.v4.1 transcript:Potri.010G145150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145150.v4.1 MDDLTGDDFGDLYLDVVIQASSVINSLPNSSNLYTESESHQGNEGSDHNKVNQSEKEENLVSESKELNKEKLGVLEEVGDGSDSEDDLNIVLNDEDCKGFEVGRARNGNGNGGGGFEEEEGEGGGFVGAKNGVECSASGNGVKGIHHLPHLHYKNVRPYGSSFPSNKKGNESAGVASRSSSSARGNWEDNRCKQHKVNSGRVANFHATTNSVLSHGGYGYSLPWYRSILDVNIDAFEEKQWRYPGVDISNFFNFGFNEDSWKQYCMSLEQYGQQSYMHGAMSILHSSKSTQRKGRAIQVEDSTGERQPTMDLRRPRVWDSDVVIKINVQDSNDNSSQSSMEQSSHIDSNEPGASKRRDLNVDDKRDACSSGSASRDEPSAESLEGNLRCFQSMSVSNKMSPNTDNYEANQISNADGYHHQKENAHSSEGIAEKMETVDEEEEEIDRKECKSDQFLSEPELSLSDYSYFSPSLSYSDSDSEASRDNICHTLKDSPGPLRKPSLGTKLQESVTSNHKSPKRNGVIRTSGIQNCSSHKRPVWEERKDHNRRLHRVPEWRILPNNDSYTSPTLRDRSSLDCSRQIENLHDFDYRNGEDFSYSQQKDSSCGYNGKRCRDDHAQAVYKKQCHRKYNHSFKDEMIPQNRRDWNEKEFFHERSCRIDDQDTCKDLYGSGRGLFPEDVIPPTYWRTRHLVSKFNNHKEREILWKRKSGNIQFQKSTHSMRLLGHENEDDLMQENCGRSIPLANQKRFSLNEKCERRNFIGREGNPSSRRVKYGCDPRMDLNSSWNMETEEDYCDPLHQHFFSKYQRETYESNDGRWQEKLPSRSVMFNSRLTERYRRPKRRICIREGQDRGWDGSFNDTFDAEDGIIYPDDQVHLERRKYSRRSRVLKWKQDESILRHHVGDFYVERKPCFYGVTSTHEKIHAKHRSATGGVVVNGMDFSRHSYKMIREGSNARCVNRNPGRMYRRGHEKMVRRCCDPVDLNVGEVKVNLGKLRSKPCTTVLVCISALLLSKSLFLLMLSHCVFIRHFFSC >Potri.010G145150.1.v4.1 pep chromosome:Pop_tri_v4:10:15688125:15696778:-1 gene:Potri.010G145150.v4.1 transcript:Potri.010G145150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145150.v4.1 MDDLTGDDFGDLYLDVVIQASSVINSLPNSSNLYTESESHQGNEGSDHNKVNQSEKEENLVSESKELNKEKLGVLEEVGDGSDSEDDLNIVLNDEDCKGFEVGRARNGNGNGGGGFEEEEGEGGGFVGAKNGVECSASGNGVKGIHHLPHLHYKNVRPYGSSFPSNKKGNESAGVASRSSSSARGNWEDNRCKQHKVNSGRVANFHATTNSVLSHGGYGYSLPWYRSILDVNIDAFEEKQWRYPGVDISNFFNFGFNEDSWKQYCMSLEQYGQQSYMHGAMSILHSSKSTQRKGRAIQVEDSTGERQPTMDLRRPRVWDSDVVIKINVQDSNDNSSQSSMEQSSHIDSNEPGASKRRDLNVDDKRDACSSGSASRDEPSAESLEGNLRCFQSMSVSNKMSPNTDNYEANQISNADGYHHQKENAHSSEGIAEKMETVDEEEEEIDRKECKSDQFLSEPELSLSDYSYFSPSLSYSDSDSEASRDNICHTLKDSPGPLRKPSLGTKLQESVTSNHKSPKRNGVIRTSGIQNCSSHKRPVWEERKDHNRRLHRVPEWRILPNNDSYTSPTLRDRSSLDCSRQIENLHDFDYRNGEDFSYSQQKDSSCGYNGKRCRDDHAQAVYKKQCHRKYNHSFKDEMIPQNRRDWNEKEFFHERSCRIDDQDTCKDLYGSGRGLFPEDVIPPTYWRTRHLVSKFNNHKEREILWKRKSGNIQFQKSTHSMRLLGHENEDDLMQENCGRSIPLANQKRFSLNEKCERRNFIGREGNPSSRRVKYGCDPRMDLNSSWNMETEEDYCDPLHQHFFSKYQRETYESNDGRWQEKLPSRSVMFNSRLTERYRRPKRRICIREGQDRGWDGSFNDTFDAEDGIIYPDDQVHLERRKYSRRSRVLKWKQDESILRHHVGDFYVERKPCFYGVTSTHEKIHAKHRSATGGVVVNGMDFSRHSYKMIREGSNARCVNRNPGRMYRRGHEKMVRRCCDPVDLNVGEVKKSMFKRMDLKFAKEPMCSKDFNESQTGIQTDVLETGGDDKEKWIGKSQVTEHNEKLNIEDGQIMAEESSMESKLAKKCAFKSVVPTCNAKNRNFLCENASSRNKNDGAVDSKRILDTIAKMEKRRERFKDPIAQKKELDKTSEPQVEVIIDTVPANQDRPARKRRWGGT >Potri.008G121650.1.v4.1 pep chromosome:Pop_tri_v4:8:7909368:7910109:1 gene:Potri.008G121650.v4.1 transcript:Potri.008G121650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121650.v4.1 MKGSVLVVMILSHLQFQQKRIVIGLLLAALKVETVMTPILKALNTPCFADVPLFTPTSADLFFSPTPVFRCPVSVFISSQMGNPISPSVLEFMKGLPEY >Potri.001G116500.2.v4.1 pep chromosome:Pop_tri_v4:1:9455641:9459679:1 gene:Potri.001G116500.v4.1 transcript:Potri.001G116500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116500.v4.1 MLPYSTLDEASAALGRNLTVAETLWFNYSAKKSDYYLFCHNILFLFLIFSVVPLPLFFTSLWRSAGLDKYKIQPKVKLSPSEEFKCYKDVMFMFFFVVGPLQLVSYPSIKMIGIRAGLPLPSGWEIFLQLLVYFMVEDYTNYWIHRFLHGKWGYEKIHKVHHEYTAPIGFAAPYAHWAEILILGIPSFLGPAMVPGHMITFWLWIALRQIEAIETHSGYNFPWTPTKYIPFYGGADYHDYHHYVGGQSQSNFASVFTYCDFIYGTDKGYRFQKKLLRKLKEGVENGGEQNGGSYHIATQDLKSD >Potri.011G050700.1.v4.1 pep chromosome:Pop_tri_v4:11:4060675:4064406:1 gene:Potri.011G050700.v4.1 transcript:Potri.011G050700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050700.v4.1 MRDMYIGSMTKSFKDSIKVLEADLQHANTLASDFSRDYDGACLQMRMSYAPAANLFLFLFQWTDCHLAGALGLLRILIYKVYVDGTTTMFTHERKASIKEFYAVIYPSLLQLQRGVTDTEDKKQKTVCLERYRRRDDEEHRQHTDIDIEREEECGICMEMNSKIVLPNCNHAMCLKCYREWRTRSQSCPFCRDSLKRVNSGDLWVFTDGRDIVDMATVTRENLRRLFMYIEKLPLILPDNLFDLYDSHIR >Potri.011G050700.3.v4.1 pep chromosome:Pop_tri_v4:11:4060675:4064333:1 gene:Potri.011G050700.v4.1 transcript:Potri.011G050700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050700.v4.1 MRDMYIGSMTKSFKDSIKVLEADLQHANTLASDFSRDYDGACLQMRMSYAPAANLFLFLFQWTDCHLAGALGLLRILIYKVYVDGTTTMFTHERKASIKEFYAVIYPSLLQLQRGVTDTEDKKQKTVCLERYRRRDDEEHRQHTDIDIEREEECGICMEMNSKIVLPNCNHAMCLKCYREWYSPPPLSLSSS >Potri.007G037300.2.v4.1 pep chromosome:Pop_tri_v4:7:2991555:2993001:1 gene:Potri.007G037300.v4.1 transcript:Potri.007G037300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037300.v4.1 MVHLSTLYTGMQVLTRIKTTMVETKNQEHFVLVHGACHGAWCWQKFKTLLESASNRVTLLDLAASGANMKAIQDVETLDEYTEPLLEFLASLQPKEKVILVGHSLGGLSLALAMEKFPEKIAVAVFLSAFMPDTTHKPSFVLDQFNERTPADYWLDTQFLPYSSSQCHLTTIVFGPKFLSSKLYQLSPPEDLEQAKTLVRPGSMFLDDLSKANSFSTTGYGSVKRVYVIFDKDLAIPVEFQRWMIENSAVEEVMEIEGADHMVMFSKPQELFHCLSEIANKHA >Potri.006G106150.1.v4.1 pep chromosome:Pop_tri_v4:6:8180096:8181043:-1 gene:Potri.006G106150.v4.1 transcript:Potri.006G106150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106150.v4.1 MWWLHRKVAVCALLIAYAVLFMSSVSVLTEARISKFEAIQESLVLQRRQLRPIEYSQNTPLPNPPLHHDR >Potri.011G111900.2.v4.1 pep chromosome:Pop_tri_v4:11:14196916:14202323:1 gene:Potri.011G111900.v4.1 transcript:Potri.011G111900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G111900.v4.1 MSILLRHVIPPPHSVLYSTSEKSKLNFPSFRNPRFSFSSIKATAATPMASTTKKVLVPIANGTEPIEAVITIDVLRRGGADVTVASIEKQIRVDATYDVKLVADSLLSECSDAVFDLITLPGGIPGATNFKNCQLLEKLVKKQVEDGKLYAAVCASPAVAFGSWGLLNGLKATCHPFFMDELKSSGAITVESRVHEEGNVVTSRGPGTTMEFAVALVEKLFGKEKADEVSGPLVMRSNHGDEYSIKELNPMQWTFDNVPQVLVPIANGTEEMEAIIIIDILRRAKVNVVVASVEDSLEILASRKVKLEADMLLDEAAKLSYDLIVLPGGLGGAQAFAKSEKLVNMLKKQRESNRPYGAMCASPALVLEPHGLLKGKKATAFPAMCNKLSDPSEIENRVVVDGNLITSRGPGTTMEFALGIVEKLFGRDKALELAKPMLFTHP >Potri.018G087500.2.v4.1 pep chromosome:Pop_tri_v4:18:10539125:10541502:-1 gene:Potri.018G087500.v4.1 transcript:Potri.018G087500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087500.v4.1 MGCLRPKRSKTTSNKKTTTPEADFLSQQQNPSGPKNLKHELLLQIPACTVHLMEAGEALEIAKGDFSLVRILDENISLATIVKIGDDLQWPLTKDEPVVKLDVLHYLFSLPMKDGDPLSYGVAFLDEYGSSLGLLDSFLCELSCFSGAAASSARSARNVDWKEFAPNVEYYNNFLAKAIAGGTGQIVKGIFKCSNSYTNQVHKGGEMILSRAAEEKNGAMATEISSNRSAGSTKKSKVNKSIKRVRKLSRMTEKLSKTMLDGVGIATGSVITPLVKSQAGKAFLSMVPGEVLLASLDAVNKILDAAEVAERQALSATSKATTRMISDRFGDSAGEAAEDAFATAGHCVCAAWNIFKIRKAINPATSVSTGVLKNAAKNAARNRNRSY >Potri.014G146700.1.v4.1 pep chromosome:Pop_tri_v4:14:10055834:10057892:-1 gene:Potri.014G146700.v4.1 transcript:Potri.014G146700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146700.v4.1 MWNQIRKSFRKLLCPESADCLSHRNIQVASESVHGEKLAPQWVTCDACVEENKGCKCDHDTQDLKSAVVNEADVEHHTDQANSSFAHSVINMIGMLIGLGQLSTPYALENGGWVSAFLLVGLGVICAYTSHLLGKCLAKSPKSRSYTDIGQHAFGSNGRVLAATFIYLEIFMALVSYTISLHDNLITVFAGTQLRLPIWAKLYKSQLLTLMGVLVALPSLWLRDLSSISFLSSGGILMSIVIFTSVACTAIFQVVKANHSIPALHLHKIPAISGLYIFSYAGHIVFPDLYKSMKDPSKFTMVSIVSFASVTALYASLAFMGARLFGPEVSSQITLSMPRHHIITKIALWATVLTPMTKYALEFAPFAIQLEHNLPNSISSRTKTVIRGAVGSFLLLVILALALSVPYFEHVLSLTGSLVSVSICIVFPCAFYIKLSWAQISKPVLILNVILLAFGLLLGVFGTISSSKLLITSLERAHHT >Potri.002G227300.2.v4.1 pep chromosome:Pop_tri_v4:2:21637714:21645760:-1 gene:Potri.002G227300.v4.1 transcript:Potri.002G227300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G227300.v4.1 MAKAISSPNLYDKVALKYPIHRAFDITILVLLISLLVYRLLYISNHGFAWLLALLCETCFTFTWVLTVSSKWNPVEYKTYPERLSQKIQELPPVDIFVTTADPVLEPPILTVNTVISLLAVDYPADKLACYVSDDGCSPTTYYSLVEASKFAKLWAPFCKKHNIQVRAPFRYFSSEVPLNNSSEFQQEYNKMKDEYEELASKINDADKKSIERNLSGDFAAFSNIEGKNHPAIIKVVWENKAGISDELPHLIYISREKRPKHPHHYKAGAMNVLTRVSGMMTNAPFMLNLDCDMFVNNPKIVCHAMCLLLGSRNEMESGFVQFPQYFYDGLKDDPYGNQFEVWHKYIGNGIVGIQGPFYGGTGCFHRRKVIYGSCPRDVGIQAKSLTPVHGDLTEEQLKIFGNSKEFVRSAAHALQGKANMSPKILPNLIEAAHEVAGCGYEYGTSWGKEVGWQYGSATEDILTGLKIHARGWRSVLCTPDPRAFLGCAPRVGPISMTQQKRWATGLLEILMSERNPIIATLTARLQFRQCLAYLWILIWGLRSIPEICYAVLPAYCIITNSSFLPKAHEPAMYIHVALFLSYVIYGLLEYLETGLSIRAWWNNQRMARVNATNAWLFGVISVFLKILRISGTVFEVTQKDQSSNNGGDEGRFTFDASPIFVPGTTILLLQLTAFVMGFGGMQLPSVNDASGLGEILCSVLVVMCFWPFVKGLFGKGKYGIPLSTICKSSLLSLSFVYLVTSTSMGQL >Potri.011G024600.1.v4.1 pep chromosome:Pop_tri_v4:11:1823915:1827901:-1 gene:Potri.011G024600.v4.1 transcript:Potri.011G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024600.v4.1 MRESDHEIDAKSLKQKKLPNNMDEEINMIENLPDAMLLHILSFLPAKDALKTSILSKRWRHLWMSVSNLYFEEWRPDKFVMFMNSAERAMMCRDVPRIEKFSLSSHMLNSACINALISNALSFKVQDFSLRLVYVGVPILLPHHLFSCPTLTVLNLEEVTQFPCTACLPNLKKVRLSCCLLPHQQSLLQFFSGCPKLQKLILNRCFWRDANLGFISTPMPEPVDITQLSSTTCLPDLKELELSFCLLPYGQSMVQFFGDCPKLQKLTLKECQWGLAKCLYISTPMLESLVICDATSSSGCKVVISGANIKSFSYHGDLTTTYCLCSSSIVDACIHVSSKGMIEREGREKEVAHRLHKLIMECCNVKHLELSPDTLEALAYAEELDLPVFQRLTRLELKRKSVDLSCRALNRLLQKLPHLDCLDFRMGIFLSKKHKNFALDPLPPCFLTQLKIIKIHTFSLTDEELHAVGILFRVSTVLEKVYISGGRLNQNKISMLARESKFEIISM >Potri.008G142400.9.v4.1 pep chromosome:Pop_tri_v4:8:9595029:9600672:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MAASSSVPFFGIREEDQNQMKQQHSSTPTSSSAQAPPPPKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.008G142400.3.v4.1 pep chromosome:Pop_tri_v4:8:9594830:9600686:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MAASSSVPFFGIREEDQNQMKQQHSSTPTSSSAQAPPPPKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.008G142400.11.v4.1 pep chromosome:Pop_tri_v4:8:9596239:9600686:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MVSIPNNCCRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.008G142400.5.v4.1 pep chromosome:Pop_tri_v4:8:9594846:9599681:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MAASSSVPFFGIREEDQNQMKQQHSSTPTSSSAQAPPPPKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.008G142400.6.v4.1 pep chromosome:Pop_tri_v4:8:9594839:9600725:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MAASSSVPFFGIREEDQNQMKQQHSSTPTSSSAQAPPPPKKKRNQPGTPSKYHQFYLKIDLPITNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.008G142400.2.v4.1 pep chromosome:Pop_tri_v4:8:9594818:9600725:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MAASSSVPFFGIREEDQNQMKQQHSSTPTSSSAQAPPPPKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.008G142400.8.v4.1 pep chromosome:Pop_tri_v4:8:9594855:9600699:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MAASSSVPFFGIREEDQNQMKQQHSSTPTSSSAQAPPPPKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.008G142400.10.v4.1 pep chromosome:Pop_tri_v4:8:9594969:9600667:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MAASSSVPFFGIREEDQNQMKQQHSSTPTSSSAQAPPPPKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.008G142400.7.v4.1 pep chromosome:Pop_tri_v4:8:9594839:9600723:1 gene:Potri.008G142400.v4.1 transcript:Potri.008G142400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142400.v4.1 MAASSSVPFFGIREEDQNQMKQQHSSTPTSSSAQAPPPPKKKRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVRRKVYLCPEPTCVHHDPSRALGDLTGIKKHYSRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARNPPPNLNTIGSHLYGSSNMTLGLSRVGTQISSLQDHSNQSTDVLRFGGGVRTGQFDHLLPPSIGSSSFRPPQQMPSSAFFMQETSQNYHDENQSQQELLQNKPFHHGLMQFADIHNNTSNPPSAGNLFNLSFLSNSSTTNSNNANNSNSNLPTSGLLISDHFNNQNGVGGGSEGTNNFSNNVRGNQMTSGVPSLFSSSVQNDNMVSHMSATALLQKAAQMGSTSSNNSASLLRSFGSSSSSGTKSDRALVGGNFGGMLSDNENNLHELMNSFAPGNPSIFGSGHAQENPYGGYTANRTSLEQEKQHHGPNFGNINMDEAKLHQGLNASNIGGSDRLTRDFLGVGPQIVRSMSGSSGFSQREKQQQQQLQHQHHGMDMGDSSLDLERHNTNISAAPTSQSFGGNGSFQ >Potri.003G197701.1.v4.1 pep chromosome:Pop_tri_v4:3:19973014:19979574:-1 gene:Potri.003G197701.v4.1 transcript:Potri.003G197701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197701.v4.1 MGDHDDDPYPYHKLCGYLCTVLTSPHPLPFLSHCHLITDGSHQQIRFKSLNDVVLSPLSNPYGQNGAVSLQENSNAVGKKTKKKKRMAKRGSCLKKSGNSVAEKKRVGRRVIGMVNGSVSVVHQIRALVMHKCVKILARVLHVAESEGEVVEVRVVVLVDVYLPVSVWSGGQFPKSGPIAGSLFRHLSCDWEKRRSMLVDGGEYFKNALGDHRSIWNLSGCHVLGCNLHCDVPDSSSKKRFELHEIFKGLPSTENKEQYYSSRVKPADNSLESGIWDLTGDILMSILSALGPKDLVRVAATCHHLRSLAVSIMPCMKLKLFPHQQAAVEWMLQRERNAQVLPHPLYTNLSTEDGFTFHVSTVSGEIITGVAPTVRDFHGGMFCDEPGLGKTITALSLILKTRGTVADPPDGVQITWCTHNGEQRCGYYEVDGRNFTPNNTPLAKRVMNQSARRGQLSLDKSTLMNDPGQQIEGFSNSCPVNGMESSPAPSSDQTARVVQLSRVKRNLLHEYDETPVFSNKKKRKHRSNAPIYVSEEQRHDRARRLNLITGHFRDFNETWVQCDACRKWRKLTSSVADTDAAWFCSMNTNPERQSCRDAEEAWDDSCSLTHVPGFHTKGTSGGEEQNVSFFISVLKEHYSMINSKTKKALTWLAKLSPERLSLMETIGLASPVVGTGSVSGGGDSHGFHKIFEAFGLVRRVEKGASKWCYPQKLENLAFDLAAFRIAICKPLDSVRLYLSRATLVVVPANLVDHWKTQIEKHVKPGQLRLCVWTNHKKPSAHSLAWDYDVVITTFSRLSAEWGPRKKSPLMQVHFLRVMLDEGHTLGSSLSLTNKLQMAMSLMASNRWLLTGTPTPNTPNSQLSHLQPMLKFLQEEAYGLNQKSWEAGVLRPFEAEMEEGRTRLLHLLHRCLISSRKTDLKTIPPCIKKVTFLNFTKDHARSYNELVVTVRRNILTADWNDPSHVESLLNPKQWKFRSTLIRNVRLSCCVAGHIKVAEVGEDIQETMDILIEKGLDPISEEHALIKYYLQYGGNCLRCKEWCRLPFITPCRHLLCLDCVALNSEKCTFPGCGYSYEMQSPEVLTRPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLVQKLKALQEASRESSWSIDKDTQISVSSLVLQQDCFSVNKAAMEKVIIFSQFLEHIHVIEQQLAFAGIKFAGMYSPMPQINKMKSLATFQHDATCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPINVETLAMRGTIEEQMLEFLQDADGCRRVLKEESSKTDHAGARLHRSLHDFAESDYLAHLSFVHTGSRA >Potri.003G197701.2.v4.1 pep chromosome:Pop_tri_v4:3:19972598:19979393:-1 gene:Potri.003G197701.v4.1 transcript:Potri.003G197701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197701.v4.1 MGDHDDDPYPYHKLCGYLCTVLTSPHPLPFLSHCHLITDGSHQQIRFKSLNDVVLSPLSNPYGQNGAVSLQENSNAVGKKTKKKKRMAKRGSCLKKSGNSVAEKKRVGRRVIGMVNGSVSVVHQIRALVMHKCVKILARVLHVAESEGEVVEVRVVVLVDVYLPVSVWSGGQFPKSGPIAGSLFRHLSCDWEKRRSMLVDGGEYFKNALGDHRSIWNLSGCHVLGCNLHCDVPDSSSKKRFELHEIFKGLPSTENKEQYYSSRVKPADNSLESGIWDLTGDILMSILSALGPKDLVRVAATCHHLRSLAVSIMPCMKLKLFPHQQAAVEWMLQRERNAQVLPHPLYTNLSTEDGFTFHVSTVSGEIITGVAPTVRDFHGGMFCDEPGLGKTITALSLILKTRGTVADPPDGVQITWCTHNGEQRCGYYEVDGRNFTPNNTPLAKRVMNQSARRGQLSLDKSTLMNDPGQQIEGFSNSCPVNGMESSPAPSSDQTARVVQLSRVKRNLLHEYDETPVFSNKKKRKHRSNAPIYVSEEQRHDRARRLNLITGHFRDFNETWVQCDACRKWRKLTSSVADTDAAWFCSMNTNPERQSCRDAEEAWDDSCSLTHVPGFHTKGTSGGEEQNVSFFISVLKEHYSMINSKTKKALTWLAKLSPERLSLMETIGLASPVVGTGSVSGGGDSHGFHKIFEAFGLVRRVEKGASKWCYPQKLENLAFDLAAFRIAICKPLDSVRLYLSRATLVVVPANLVDHWKTQIEKHVKPGQLRLCVWTNHKKPSAHSLAWDYDVVITTFSRLSAEWGPRKKSPLMQVHFLRVMLDEGHTLGSSLSLTNKLQMAMSLMASNRWLLTGTPTPNTPNSQLSHLQPMLKFLQEEAYGLNQKSWEAGVLRPFEAEMEEGRTRLLHLLHRCLISSRKTDLKTIPPCIKKVTFLNFTKDHARSYNELVVTVRRNILTADWNDPSHVESLLNPKQWKFRSTLIRNVRLSCCVAGHIKVAEVGEDIQETMDILIEKGLDPISEEHALIKYYLQYGGNCLRCKEWCRLPFITPCRHLLCLDCVALNSEKCTFPGCGYSYEMQSPEVLTRPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLVQKLKALQEASRESSWSIDKDTQISVSSLVLQQDCFSVNKAAMEKVIIFSQFLEHIHVIEQQLAFAGIKFAGMYSPMPQINKMKSLATFQHDATCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPINVETLAMRGTIEEQMLEFLQDADGCRRVLKEESSKTDHAGARLHRSLHDFAESDYLAHLSFVHTGSRA >Potri.004G126780.1.v4.1 pep chromosome:Pop_tri_v4:4:12821629:12828943:-1 gene:Potri.004G126780.v4.1 transcript:Potri.004G126780.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126780.v4.1 MDARDSTSNRDVSSSGGSGTTTGVGGGEEDPILSVTATLAKDAWLHFNSRRFNECLEVLYQLKQKKEDDPKVLHNIAIAEYSRDGYPDPKKLLEVLNNIEVLHNIAIAEYSRDGYPDPKKLLEVLNNIEIATLEENNQLMTPPENQMATLEDNNHLITTPEYQLATLEENNQLITTPEYQMERFEENNHSMPAPETQPNKRRKRKSMVWEHFTIETVSAESRRAFCKQCKQGFAYSTGSKVAGTSHLKRHIAKGTCLALLRNQGNQQTPGTPGMNGNGSMSDPPRRHYRSHSSAYISFDSDRCRPEIARMMIIHDYPLHMVEHSGFVTFLKTLEPRFDMVSFNIVQGDCVSSYLREKQNVMKFIEGLPGRVCLTLDVWTSSQSLGYVFITGHFIDGYWKPQRRILNVVMEPNPNSDAALSHAVATCLSDWSLEGKLFSITFNHPVGEPGLQNLRSLLSVKNPLIINGQLILGNCSARTLSNFAKEVLWAGREIIKKVRYSVKYVKTSEFHEQKFLELKEQLQVPSEKDLSLDNQAQWNTTYQMLVAASELKEVFSCLDTSDPDYKEAPSMEDWKRVDIICTYLKPLFDAANFLASRTNPNQKTFFHEVWKMHELYHSITSHGDPFVISLAEIMQEKIDKYLKDCILALAIAVVLDPRFRMKLIEFSFVKFYGKEAGKYIKIVDDALHELFLEYAALPLPLTPAHAEDGNFENMKTEEISYNELTDFDAYVETTSQNMKSELEQYLEESLLPRFQEMDVLKWWEENKLQYPVLSKMARDILTMQVSTADPDSIFDTEIKELDEYRSSLRPEAVEALVCAKDWLQYRSSTQVSNALVKVEDLRS >Potri.017G039600.3.v4.1 pep chromosome:Pop_tri_v4:17:2627713:2630360:1 gene:Potri.017G039600.v4.1 transcript:Potri.017G039600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039600.v4.1 MKLRQLLPEKPHFPSRFIHLVMVSFSACIICLLVSLFLVGTSRLAHINSSSGNVRAPTTLNHIVFGIASSKISWPNRKEYVKLWWKPDHMRGCVFLESMVEEANSYNDSGSLPPACISEDTSRFRYTYRNGPRSAIRVARVVFETVALNHSDVRWFVFGDDDTVFLPENLVKTLSKYDHELWYYIGSNSEIYGQNREFGFEMAFGGGGFAISYPLAKVLAKVFDACIERYPHLYGSDSRIYSCLAELGVGLTHEPGFHQVDIRGDPFGLLTSHPLAPLKTVCYDRWFGWTISVSWGYAVEVYGNHVFLPDVLPVQQTFRQWKRGDGLAGVYTFNTREPHPDLCRRPTIFFLDHVSSGRDRITSLYKKSFANCSNDMASPRKLEEMKVYSQKLDLSEKQLRAPRRQCCDVLPPSSGKVMEIAIRECKEEERVYMH >Potri.017G039600.2.v4.1 pep chromosome:Pop_tri_v4:17:2627658:2630400:1 gene:Potri.017G039600.v4.1 transcript:Potri.017G039600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039600.v4.1 MKLRQLLPEKPHFPSRFIHLVMVSFSACIICLLVSLFLVGTSRLAHINSSSGNVRAPTTLNHIVFGIASSKISWPNRKEYVKLWWKPDHMRGCVFLESMVEEANSYNDSGSLPPACISEDTSRFRYTYRNGPRSAIRVARVVFETVALNHSDVRWFVFGDDDTVFLPENLVKTLSKYDHELWYYIGSNSEIYGQNREFGFEMAFGGGGFAISYPLAKVLAKVFDACIERYPHLYGSDSRIYSCLAELGVGLTHEPGFHQVDIRGDPFGLLTSHPLAPLVSLHHLDHLDPIFPNTTTMNSIEHFFKAVNIDSQRVLQKTVCYDRWFGWTISVSWGYAVEVYGNHVFLPDVLPVQQTFRQWKRGDGLAGVYTFNTREPHPDLCRRPTIFFLDHVSSGRDRITSLYKKSFANCSNDMASPRKLEEMKVYSQKLDLSEKQLRAPRRQCCDVLPPSSGKVMEIAIRECKEEERVYMH >Potri.018G051100.2.v4.1 pep chromosome:Pop_tri_v4:18:4967679:4971555:-1 gene:Potri.018G051100.v4.1 transcript:Potri.018G051100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G051100.v4.1 MSNNEELVEEIITTNGDSFEKVKQRLKDRSKKMAQTKEMLSKQANQTKEILSKQAVKIAKQAEEHERFINKVTYLLGVLGFGGFCFLLGARPQDIPYVYCFFYFTFVPLRWIYYRFKKWHYYLLDFCYYANTIFLVDLLLYPKNEKLFMVCFSFAEGPLAWALIIWRCSLVFSSADKLVSVLIHLLPGLVFFTIRWWNPATFEAMHPKETSRRVSWPYGVEDKSYLLTWLFWVPLFAYTLWQALYFLIVNVLRRQRLLRDPEVMTSYRELSKKAQKANNLWWKLSGLLGDQNRLLMYILLQAIFTVATMALTVPIFLSYELHVVFQILKVSAAAWNGGSFLLEVMPKQVILKEKKKSQMQSPSTQHDQSSVLVENAMNTEISTG >Potri.010G124700.2.v4.1 pep chromosome:Pop_tri_v4:10:14213837:14214728:1 gene:Potri.010G124700.v4.1 transcript:Potri.010G124700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124700.v4.1 MKSQGNVNHGNNMRPTKAEGKTTAITTAGMSTKAKKKGKSTAVQKVEDERENTGGESTQMVMENLQCWEDQWPLYRGIVDEQMSWGSIWLPFWDVDYTGEACREMFSDVVWDDDIWNLKGIDKIPDE >Potri.002G205700.1.v4.1 pep chromosome:Pop_tri_v4:2:17088311:17090751:-1 gene:Potri.002G205700.v4.1 transcript:Potri.002G205700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM4 MLPLSLLKTAQGHPMLVELKNGETYNGHLVNCDTWMNIHLREVICTSKDGDRFWRMPECYIRGNTIKYLRVPDEVIDKVQEEIKSRSDRKPPGVGRGRGRGREDGPGGRPAKGIGRGLEDVAAKGMGGGRGRGGPGGKIGGSRGAGRGRG >Potri.010G159300.1.v4.1 pep chromosome:Pop_tri_v4:10:16546440:16549032:-1 gene:Potri.010G159300.v4.1 transcript:Potri.010G159300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159300.v4.1 MSTDKERETHVYMAKLAEQAERYDEMVESMKKVAKLNCELTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNDSNVKLIKGYCQKVEDELSKICNDILSIIDEYLVPSSTSGEATVFYYKMKGDYYRYLAEFKTDQERKEAAELSLKGYEAASATASTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGDDNFKGEGSREKPAEGEH >Potri.001G003200.1.v4.1 pep chromosome:Pop_tri_v4:1:196014:198705:1 gene:Potri.001G003200.v4.1 transcript:Potri.001G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003200.v4.1 MAELRLLLFSLSILFSTSLCCPDDQKLALLHFKSSLLDSINSSTQYSLSSLDSWDDSSDCCHWDMVTCSSRSNSRKVVALHLDSLVLAEQPIPIPSMVLSPLSLIKSLMLLDISSNYIVGEIPPGVFSNLSKLVHLDMMQNNFSGSIPPQIFHLRYLQYLDMSSNLLKGVISKEVGSLLNLRVLKLDDNSLGGYIPEEIGNLTKLQQLNLRSNNFFGMIPSSVLFLKELEILELRDNSLSVEIPKDIGDLTNLTTLALSGNRLTGGITSSIQKLHKLETLRLENNVLSGGIPTWLFDIKSLKDLFLGGNNLTWNNTVNLEPKCMLAQLSLSSCRLAGRIPDWISTQKDLVFLDLSRNKLEGPFPEWVAEMDIGSIFLSDNNLTGSLPPRLFRSESLSVLALSRNSFSGELPSNIGDAIKVMILVFSGNNFSGQIPKSISKIYRLLLLDLSGNRFSGNIPDFRPNALLAYIDFSYNEFSGEIPVIFSQETRILSLGNNMFSGKLPSDLTDLNNLEHLDLHDNRIAGELPMSLSQMSTLQVLNLRNNTLEGSIPSTITNLTNLRILDVSSNNLSGEIPAKLGDLVGMIDTPNTLRSVSDMFTFPIEFSDLIVNWKKSKQGLSSHSLEIYSLLDLSKNQLSGQLPASLGHLKGLKLLNISYNHLSGKIPATFGNLESLESLDLSRNRLSGSIPRTLSKLQELTTLDVSNNKLEGQIPVGGQMDTMNDPNSYANNSGLCGFQILLPCPPDPEQPQVKQPEADDSWFSWQGAGIGYSVGFFATITIILVSGCISRLPPQNRHRSHRRQRV >Potri.001G309700.1.v4.1 pep chromosome:Pop_tri_v4:1:32029789:32031071:-1 gene:Potri.001G309700.v4.1 transcript:Potri.001G309700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309700.v4.1 MSTTFSTSPLLFQQFLGDFHPRKLLLHNPLSQPSSIASPSPSGNIQDPLNPNANGDKHFDINVVMVLIVLICALLVSLGLNSFIRCAVRCSYLNGNSSNRGIKKKALRTFPIENYSPEKSKLPGLDTQCVICISEFVHGDRVRILPKCSHGFHVKCIDEWLSSHSSCPTCRHCLMETCQKIAGVSQASSSEQPPSVAQERVVNIAPLEREGLVCNYREAS >Potri.014G003551.1.v4.1 pep chromosome:Pop_tri_v4:14:268973:269288:1 gene:Potri.014G003551.v4.1 transcript:Potri.014G003551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003551.v4.1 MNKKQIEVEKDAAVMQRKKKMFMCIIHCLHSQHCYIHFISTEGG >Potri.002G186800.1.v4.1 pep chromosome:Pop_tri_v4:2:14886885:14889975:1 gene:Potri.002G186800.v4.1 transcript:Potri.002G186800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186800.v4.1 MISMATRRHGWQRPLHPLQMIGMAVYSFLVVTFYTFLGLFLGNRIAEITVTAIFTFVAVPVMFLFVRCTAIDPTDKTRFRKKKRAKSDGFPKLNYWFILNQIIMRFFRRMERKILKTFIRRKYLDPLKANAQMEPLLPFPLVMKDDAVSPDPKDDDISYCSLCDFEVKKRSKHCRACNRCVEGFDHHCRWLNNCVGKRNYTTFFLLMIFVLLMLIIEGGTAIAIFIRCFTDKKGIERELERRLHVEFPRGVLATISVLLVLMTAYSSAAMGQLFFFHVVLIRKGMRTYDYILAMKEVNESIELDPLEDSDLSSDSDFDSPEKSTIVSRFCGHRENENPASLSIKIDRGDPESSNLTKKQGFRASINPWKLIKLSREKAVLAAEKARERIMKQKPVEQPNSLRPLPSETKCGPLMNQNKNVINVESGSTPLISEGRVPVSLGSLSSPRRRFSGSQSMFSGYIPSPKNKYSSSFDLKLTEVSRELETYISRQVLCSVMKKDAQEASPK >Potri.001G133400.1.v4.1 pep chromosome:Pop_tri_v4:1:10847419:10850001:-1 gene:Potri.001G133400.v4.1 transcript:Potri.001G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133400.v4.1 MERTTFGGGGNYPYENGMVMMTRDPKPRLRWTADLHDRFVDAVTKLGGPDKATPKSVLRLMGLKGLTLYHLKSHLQKYRLGHQARRQNISEQSRESRGASYVNFSHGSSATSTSSPRMDKEQGEIPVAEALDSQIEVQKTLQEQLEVQQKLQMRIEAQGKYLQSILEKAQKSLSQNLNDDGNGNLEATRAQLTGFNLAISSLIENLNAEDRKPCITDLKGVNIRTNGSAIHIDREGQTQETKDVKHHLQGDSIHFDLNTKGTYDFVAANGSELELKMLSYRR >Potri.014G094900.2.v4.1 pep chromosome:Pop_tri_v4:14:6156435:6161924:-1 gene:Potri.014G094900.v4.1 transcript:Potri.014G094900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094900.v4.1 MPREIITLQVGQCGNQIGMEFWKQLCLEHGINKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNHENIFVSDHGGGAGNNWASGYHQGKGVEEDIMDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSTPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPDNLLTEEGNAKGTVDPKLAI >Potri.001G258000.1.v4.1 pep chromosome:Pop_tri_v4:1:27350848:27354468:-1 gene:Potri.001G258000.v4.1 transcript:Potri.001G258000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G258000.v4.1 MFYRGYPDGPDGREMGAKRQRMVDQGPSFYGNSPGSSFMYNTPPYGYVSQPPPPFPVVRLRGLPFDCTETDVAEFFRGLDIVDVLFVHKGGKFTGEAFCVLGYPLQVDFALQKNRQNMGRRYVEVFRSKRQDYYKAIANEVSESRGSPRRNISRAKSYDEGKDLAEHTGVLRLRGLPFSAGKDDIMEFFKDFVLSEDLIHITMNSEGRPTGEAFVEFANAEDSKAAMAKDRMTLGSRYIELFPSSIEELDEAVSRGR >Potri.015G122100.1.v4.1 pep chromosome:Pop_tri_v4:15:13554480:13556120:1 gene:Potri.015G122100.v4.1 transcript:Potri.015G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122100.v4.1 MHKKLWQWHVLFLSLFCSSSLASSRSQDNLFNQAKNYEGSSDLVDLQYHMGPVLAGPVNLYIIWYGRWNRNHQATIRDFIYSLSYSSPYPSVSDWWRTVRLYTDQTGSNVTGNIVLSGEFYDYRYSHGRYLSRLAMQSIIKSAVTAHPRALPLNPHNGLYLVLTSGDVQVQDFCRAVCGFHYFTFPTIVGVTVPYAWVGYSGTQCPGMCAYPFAWPKYSGKPPPSTNGGNNIMRAPNGDPGVDGMISVLAHELAEVSSNPFINAWYAGDDPTSPTEIADLCLGVYGTGGGGGFVGKVNKDSWGDGYNVNGVKGRRFLVQWVWNPVKRRCFGPNAID >Potri.001G057700.1.v4.1 pep chromosome:Pop_tri_v4:1:4359097:4359876:-1 gene:Potri.001G057700.v4.1 transcript:Potri.001G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057700.v4.1 MEESAKKLELIDQAIKKLLAEKRNKETSCDDGLLPDDRDDAKLVQSELSSATEDVNSPAIGEAVSKHGEEGPAYGGSRENAAAEEIVKELRKVRRQNFVTHCLLSAMIVLTVAWQVSEVSLILKVKDGMRHPFKSFGSMLTGMLKDPRANDQDSEKQQSEEVPVNVPPL >Potri.009G074200.1.v4.1 pep chromosome:Pop_tri_v4:9:7250813:7252632:-1 gene:Potri.009G074200.v4.1 transcript:Potri.009G074200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G074200.v4.1 MVYGELKIRSYDVQIHRARVEDLERRCEVGPTKRVVLFTDTMGDPICRIKNSPMYKMLIAELGSELVGVIQGSIKLATVHKPPKNLAKLGYVLGLRIAPLHRRNGIGLRLVLELEKWFVANDVDYAYMATEKDNEASVNLFIKKLGYAKFRTPAILVNPVDRRALRLSSKTEVAKLRIEEAEFLYRKLMTSTEFFPDDIGNILRNRLSLGTWVAYQRGESWDGFGSDGKFPSSWAMLSVWNSGELFKLRLGKAPLSCLIYTKISRLIDKIFPCFKLSAIPDFFSPFGFYFMYGLHLEGPLSGKLVGDLCQFVHNMATKTKDCKVTVTEVGGKEMLRPHIPYWKSLSCPEDLWCIKAMKNEESTILQLPKTPPTTTSLFVDPREV >Potri.008G196201.1.v4.1 pep chromosome:Pop_tri_v4:8:13852608:13856757:1 gene:Potri.008G196201.v4.1 transcript:Potri.008G196201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196201.v4.1 MECNKEEAFRVKGIAESLMVKKDFPTARRTALKAQQLYNDLENVSQMLTVCDVHCAADKKPFGTEMDWYGILQIEETADEATIKKQYRKFALQLHPDKNQFPGAESAFKLIMDAQKVLLDKGKRSLHDIKRKAFMSKPAQRYWPPQKATHSSNFTGLNPHHRQSQQPASQRDSSNGRPTFWTACPFCTVRYQYYTEIINKPLVCQSCKKSFIAYERSGQGPPTSSNMNQSSFLQRKNIPNQTASKVELGRRENFSSEPSKTEFRSEKVNGKRKRKQEEESSESCDTGTDSEEDIVSEEDGDFKPEVNFEYKGECPRRSGRNRRHVSYKENLSDDEDFVRDPKKT >Potri.010G055900.1.v4.1 pep chromosome:Pop_tri_v4:10:8667306:8673035:1 gene:Potri.010G055900.v4.1 transcript:Potri.010G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055900.v4.1 MEEEIKEEFKKSGFSLDDEEEVLKKCLTFCINYNLKPSDLVSSWEVYYLNRLLDESTVRNAEMDGFLLHLQNEQKEAVVKEEPNLYIYSSKDVDMILNYDEEDLKEEVPGTPTDKSLKLNSEPFNSPPKSYGNGYSSGKPSKLVTPFGRRTDKFVVKFNINSLPVVENNDGEHDHGNLEDEIIKRAQPCKRCSLMVHRLGPEPGCRFMYDKIEDRFNALENRIRKHAIALFASGLYEEPMDPTVASQRNIFAVGMICCDGEGRLNEKSIMLQSSVEHSGGRNVRLELHNLSQFSIFPGQIVGIEGQNPSGHCLIASKLVDSVPLSATVAVNQHPTKKQALDLVVESTDSSYVQKEISALIASGPFTTTDNLFFEPLTELLAYAGRKLPQLLVLMGPFIDSEHPEVKKGAVDRSFDELFCQEILRRLQDHVEYAGSNVRVILVPSIRDAQHDFVFPQPAFDIHLPNLEHQITGLTNPGIFEANQVKVGCCTVDILKQISGEEMSRNPTDGTPSDRMSRLANHIISQRSFYPLYPPAEDVPLDFSLAPEALHINSIPDILILPSDMKYFMKVLTLGEGEEQRKCICINPGRLAKGEGGGTFAELNYQGSPDKMNASIIGI >Potri.019G001100.1.v4.1 pep chromosome:Pop_tri_v4:19:717741:722759:-1 gene:Potri.019G001100.v4.1 transcript:Potri.019G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001100.v4.1 MYSSNLTGFILALVSSTFIGTSFIIKKKGLRKAGVSGPRASVGGYGYLLEPLWWIGMISMIVGEIANFVAYVYAPAVLVTPLGALSIIVSAVLAHFLLGEKLQKMGVLGCLLCIVGSTVIVLHAPEERSINSVKEIWELAIQPAFLSYTAAAAAIALVLIWYFSPRYGQTNILVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYAGFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTFVLHSTREPDPPILTDLYTPLSPKVSWYIQSSGEHWKQKDEDGPSPNFITILQQDHFK >Potri.019G001100.2.v4.1 pep chromosome:Pop_tri_v4:19:717741:722726:-1 gene:Potri.019G001100.v4.1 transcript:Potri.019G001100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001100.v4.1 MYSSNLTGFILALVSSTFIGTSFIIKKKGLRKAGVSGPRASVGGYGYLLEPLWWIGMISMIVGEIANFVAYVYAPAVLVTPLGALSIIVSAVLAHFLLGEKLQKMGVLGCLLCIVGSTVIVLHAPEERSINSVKEIWELAIQPAFLSYTAAAAAIALVLIWYFSPRYGQTNILVYIGICSVIGSLTVMSIKAIGIAIKLTLEGTNQAKYFQTWIFAMVAITCIITQLNYLNMALDTFNTAIVSPIYYAGFTSFTILASAIMFKDYSGQSASSIASELCGFVTVLSGTFVLHSTREPDPPILTDLYTPLSPKVSWYIQSSGEHWKQKDEDGPSPNFITILQQDHFK >Potri.008G080500.2.v4.1 pep chromosome:Pop_tri_v4:8:5002533:5006364:-1 gene:Potri.008G080500.v4.1 transcript:Potri.008G080500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080500.v4.1 MTRVIWKSIKDKLIFPFLDLDIKYFDLGLPNRDATDDRVTIESAEATLKYNVAIKCATITPDEGRVKEFNLKNMWKSPNGTIRNILNGTVFREPIICKNIPRLVSGWTMPICIGRHAFGDQYRATDTVIQGPGKLKLVFVPDGQSEKTEFEVFKFKGAGGVALSMYNTDESIHAFAEASMNTAYLKKWPLYLSTKNTILKKYDGRFKDIFQEVYEMQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNVRLSDFTAKLEASCIGAVESGKMTKDLALLIHGPRVSRGRYLNTEEFIDAVAEELKARLLVRAKL >Potri.008G080500.4.v4.1 pep chromosome:Pop_tri_v4:8:5002534:5006417:-1 gene:Potri.008G080500.v4.1 transcript:Potri.008G080500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080500.v4.1 MWKSPNGTIRNILNGTVFREPIICKNIPRLVSGWTMPICIGRHAFGDQYRATDTVIQGPGKLKLVFVPDGQSEKTEFEVFKFKGAGGVALSMYNTDESIHAFAEASMNTAYLKKWPLYLSTKNTILKKYDGRFKDIFQEVYEMQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNVRLSDFTAKLEASCIGAVESGKMTKDLALLIHGPRVSRGRYLNTEEFIDAVAEELKARLLVRAKL >Potri.008G080500.1.v4.1 pep chromosome:Pop_tri_v4:8:5002481:5006481:-1 gene:Potri.008G080500.v4.1 transcript:Potri.008G080500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080500.v4.1 MIAVITQKQQVKMAFDKIKVTNPIVEMDGDEMTRVIWKSIKDKLIFPFLDLDIKYFDLGLPNRDATDDRVTIESAEATLKYNVAIKCATITPDEGRVKEFNLKNMWKSPNGTIRNILNGTVFREPIICKNIPRLVSGWTMPICIGRHAFGDQYRATDTVIQGPGKLKLVFVPDGQSEKTEFEVFKFKGAGGVALSMYNTDESIHAFAEASMNTAYLKKWPLYLSTKNTILKKYDGRFKDIFQEVYEMQWKSKFEAAGIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVQSDFLAQGFGSLGLMTSVLVCPDGKTIEAEAAHGTVTRHYRVHQKGGETSTNSIASIFAWSRGLAHRAKLDGNVRLSDFTAKLEASCIGAVESGKMTKDLALLIHGPRVSRGRYLNTEEFIDAVAEELKARLLVRAKL >Potri.008G158600.3.v4.1 pep chromosome:Pop_tri_v4:8:10864758:10875016:1 gene:Potri.008G158600.v4.1 transcript:Potri.008G158600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158600.v4.1 MQPSNSTESNSKSTDNPENPKSPKSPSTEFNATATTVTATSDSSFEKNSENVDDEVVLDVIGKSLEFDLLEKADDSVEGLYLYKNAFSLVPKSVGGLKKLRTLKFFGNEVNLFPAEFGNLVGLECLQVKVSSPGLNGLSFNKLKGLKELELSRVPPRPSVLTILSEISGIKCLTKLSVCHFSVRYLPPEIGCLSNLEFLDLSFNKIKSLPNEITYLNALISLKVSNNKLVELPSSLSSLQLLESLDLSNNRLTSLGSLELTSMHNLQHLNLQYNKLLSCCQIPSWICCNLEGNGKDLSNDEFISSSVEMDVYETSFQEDDRKFSCNECDAGSNHSMSSIVTGPSSNRSFASRRSSKRWKRRHYLQQKARQERLNNSRKWKGEGCAEALALKESESFKSNNLDVLTPEVHEGGTSDVVGVDDDNEKVELSVEAEGENLHTSVEDDKISSKKVFSVESCSCDLGSINKSEEEVCCVQDEPLASTRDEAASQDESSSSEKSKITYKSKRHHDRDIDNPKPCKCRRPTEDSSNFSCKYSELSFCSIEDRLPDGFYDAGRDRPFMPLRRFEQILPLDSREVILLDREKDEQLDAVALSAQALVFRFKRSNGSTKERNKVAVDNLQIASLLALFVSDHFGGSDRSGAVERTRKAVSGSNYRKPFVCTCPTGNNESISLAGKQALETVEDIIFSDLCERSLRSIKARRGSIVIPLGSLQFGVCRHRALLMKYLCDRMDPPVPCELVRGYLDFMPHAWNVILRRRGDSLVRMVVDACHPHDIREETDPEYFCRYIPLSRTKVPLSTESVPGPGCSFPTMSTSDKIEKAGSSTLIRCKFGSVEAAAKVRTLEVCEASADEIRNFEYICLGEVRILGALQHSCIVEMYGHQLSSKWVPSEDGNPERRILQSVILMEYVDGGSLKNYLEEVSKTGEKHVPVEIALCIARDVACALAEIHSKDIIHRDIKSENILIDLDDTRADGMPVVKLCDFDRAVPRKSFLHTCCIAHRGIAPPDVCVGTPRWMAPEVLRTMDKRNTYGLEVDIWSYGCLLLELLTLQVPYAGLPESRIHELLQSGKRPPLTDELEALGSMDEHLVTHSGSNPEGPEAQSETLRFLVDLFCRCTKENPADRPTASDIYKLLLARTSIH >Potri.008G158600.2.v4.1 pep chromosome:Pop_tri_v4:8:10864758:10875178:1 gene:Potri.008G158600.v4.1 transcript:Potri.008G158600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158600.v4.1 MQPSNSTESNSKSTDNPENPKSPKSPSTEFNATATTVTATSDSSFEKNSENVDDEVVLDVIGKSLEFDLLEKADDSVEGLYLYKNAFSLVPKSVGGLKKLRTLKFFGNEVNLFPAEFGNLVGLECLQVKVSSPGLNGLSFNKLKGLKELELSRVPPRPSVLTILSEISGIKCLTKLSVCHFSVRYLPPEIGCLSNLEFLDLSFNKIKSLPNEITYLNALISLKVSNNKLVELPSSLSSLQLLESLDLSNNRLTSLGSLELTSMHNLQHLNLQYNKLLSCCQIPSWICCNLEGNGKDLSNDEFISSSVEMDVYETSFQEDDRKFSCNGSNHSMSSIVTGPSSNRSFASRRSSKRWKRRHYLQQKARQERLNNSRKWKGEGCAEALALKESESFKSNNLDVLTPEVHEGGTSDVVGVDDDNEKVELSVEAEGENLHTSVEDDKISSKKVFSVESCSCDLGSINKSEEEVCCVQDEPLASTRDEAASQDESSSSEKSKITYKSKRHHDRDIDNPKPCKCRRPTEDSSNFSCKYSELSFCSIEDRLPDGFYDAGRDRPFMPLRRFEQILPLDSREVILLDREKDEQLDAVALSAQALVFRFKRSNGSTKERNKVAVDNLQIASLLALFVSDHFGGSDRSGAVERTRKAVSGSNYRKPFVCTCPTGNNESISLAGKQALETVEDIIFSDLCERSLRSIKARRGSIVIPLGSLQFGVCRHRALLMKYLCDRMDPPVPCELVRGYLDFMPHAWNVILRRRGDSLVRMVVDACHPHDIREETDPEYFCRYIPLSRTKVPLSTESVPGPGCSFPTMSTSDKIEKAGSSTLIRCKFGSVEAAAKVRTLEVCEASADEIRNFEYICLGEVRILGALQHSCIVEMYGHQLSSKWVPSEDGNPERRILQSVILMEYVDGGSLKNYLEEVSKTGEKHVPVEIALCIARDVACALAEIHSKDIIHRDIKSENILIDLDDTRADGMPVVKLCDFDRAVPRKSFLHTCCIAHRGIAPPDVCVGTPRWMAPEVLRTMDKRNTYGLEVDIWSYGCLLLELLTLQVPYAGLPESRIHELLQSGKRPPLTDELEALGSMDEHLVTHSGSNPEGPEAQSETLRFLVDLFCRCTKENPADRPTASDIYKLLLARTSIH >Potri.008G158600.4.v4.1 pep chromosome:Pop_tri_v4:8:10864832:10875013:1 gene:Potri.008G158600.v4.1 transcript:Potri.008G158600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158600.v4.1 MQPSNSTESNSKSTDNPENPKSPKSPSTEFNATATTVTATSDSSFEKNSENVDDEVVLDVIGKSLEFDLLEKADDSVEGLYLYKNAFSLVPKSVGGLKKLRTLKFFGNEVNLFPAEFGNLVGLECLQVKVSSPGLNGLSFNKLKGLKELELSRVPPRPSVLTILSEISGIKCLTKLSVCHFSVRYLPPEIGCLSNLEFLDLSFNKIKSLPNEITYLNALISLKVSNNKLVELPSSLSSLQLLESLDLSNNRLTSLGSLELTSMHNLQHLNLQYNKLLSCCQIPSWICCNLEGNGKDLSNDEFISSSVEMDVYETSFQEDDRKFSCNGSNHSMSSIVTGPSSNRSFASRRSSKRWKRRHYLQQKARQERLNNSRKWKGEGCAEALALKESESFKSNNLDVLTPEVHEGGTSDVVGVDDDNEKVELSVEAEGENLHTSVEDDKISSKKVFSVESCSCDLGSINKSEEEVCCVQDEPLASTRDEAASQDESSSSEKSKITYKSKRHHDRDIDNPKPCKCRRPTEDSSNFSCKYSELSFCSIEDRLPDGFYDAGRDRPFMPLRRFEQILPLDSREVILLDREKDEQLDAVALSAQALVFRFKRSNGSTKERNKVAVDNLQIASLLALFVSDHFGGSDRSGAVERTRKAVSGSNYRKPFVCTCPTGNNESISLAGKQALETVEDIIFSDLCERSLRSIKARRGSIVIPLGSLQFGVCRHRALLMKYLCDRMDPPVPCELVRGYLDFMPHAWNVILRRRGDSLVRMVVDACHPHDIREETDPEYFCRYIPLSRTKVPLSTESVPGPGCSFPTMSTSDKIEKAGSSTLIRCKFGSVEAAAKVRTLEVCEASADEIRNFEYICLGEVRILGALQHSCIVEMYGHQLSSKWVPSEDGNPERRILQSVILMEYVDGGSLKNYLEEVSKTGEKHVPVEIALCIARDVACALAEIHSKDIIHRDIKSENILIDLDDTRADGMPVVKLCDFDRAVPRKSFLHTCCIAHRGIAPPDVCVGTPRWMAPEVLRTMDKRNTYGLEVDIWSYGCLLLELLTLQVPYAGLPESRIHELLQQSGKRPPLTDELEALGSMDEHLVTHSGSNPEGPEAQSETLRFLVDLFCRCTKENPADRPTASDIYKLLLARTSIH >Potri.012G051300.1.v4.1 pep chromosome:Pop_tri_v4:12:4881401:4884052:1 gene:Potri.012G051300.v4.1 transcript:Potri.012G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051300.v4.1 MAAVSAPRSSKTESYIDNKRKEDIRHANIKAACAVADAIRTSLGPKGMDKMISTASGEVIITNDGATIVNKMEVLQPAAKMLVELSKSQDTAAGDGTTTVVVIAGSLLKQCLTLLSSGIHPTVISDSLHKASIKAVDVLTAMAVPLELTDRDSLIKSASTSLNSKVVSQYSSLLAPLAVDAVLSVVDPAKPDLVDLRDIKIVKKLGGTVDDTEMVRGLVFDKKVSHAAGGPTRVENAKIAVIQFQISPPKTDIEQSIVVSDYAQMDRILKEERNYILGMIKKIKATGCNVLLIQKSILRDAVTDLSLHYLAKAKILVIKDVERDEIEFITKTLNCLPIANIEHFKEEKLGYANLVEEVSLGDGKIVKITGIKDMGRTTTVLVRGSNHLVLDEAERSLHDALCVVRCLVSKRFLIAGGGAPEIELSRQLGAWSKVLHGMEGYCVKSFAEALEVIPYTLAENAGLNPITIVTELRNRHAQGEINTGINVRKGQITNILEENVVQPLLVSTSAMTLATECVRMLLKIDDIVTVR >Potri.014G087000.1.v4.1 pep chromosome:Pop_tri_v4:14:5632002:5635589:-1 gene:Potri.014G087000.v4.1 transcript:Potri.014G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087000.v4.1 MGGCCCSSRKPHLHGTPVYYYCPPALEEHGSLTSHNGAASAFTAGLLVELHLNTSTPDTFRPPPAPLPYDVILGCPQSPFSESVQETISRGSFGTLATCEDLDELDCETQASSLLVSPRKSEVTKLHEPVASATEEEDACPICLEEYDLENPKHITNCEHHFHLSCILEWMERSDTCPICDQEVILTTTSFSCC >Potri.014G087000.5.v4.1 pep chromosome:Pop_tri_v4:14:5632651:5635582:-1 gene:Potri.014G087000.v4.1 transcript:Potri.014G087000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087000.v4.1 MGGCCCSSRKPHLHGTPVYYYCPPALEEHGSLTSHNGAASAFTAGLLVELHLNTSTPDTFRPPPAPLPYDVILGCPQSPFSESVQETISRGSFGTLATCEDLDELDCETQASSLLVSPRKSEVTKLHEPVASATEEEDACPICLEEYDLENPKHITNCEHHFHLSCILEWMERSDTCPICDQGQLIIYAVPLEKFRGKGMKFSKTWKQVSLCSCPLVLVNTLVASYGMDVQISTRLLKGVHANNSPPS >Potri.014G166600.2.v4.1 pep chromosome:Pop_tri_v4:14:12083357:12085307:1 gene:Potri.014G166600.v4.1 transcript:Potri.014G166600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166600.v4.1 MEGTGKHGGDQLSVKKSEPVLIEPETRTHSGFFFLCNLDHMVTHSVETVYFYKAKKWGGSRDTLSDTFKQSLAKILVHYYPLAGRLRLGSDGKYNVECTNEGVLFVEARANCNMDQVDVKVIIDDHSETAGKLVYGSPDPENILENPLMTAQVTRFRCGGFALGLSISHLIADGLSAMEFIKSWSETARGMPLTTKPVLDRSILRSRQPPKIDFHFDQYAPAETSNVSNISNPFQGEQILTKCFLFDSNKLAILKSMAMEDGTIKSCSNFTALTAFVWRARCKALQMNPDQTTPLLLVVDVRSKLNPPLPKGYFGNGIVLITCPGRAGELIKNTLSFAVEEVQNGIKMVNEEFVRSWIDYLEVMGAKDFPLHSYFKVSSWTRLSIECSDFGWGEPAQFACTNLPKNSAFFLPDGKEKKGINLILDLPVTAMSTFQELMLL >Potri.014G072100.3.v4.1 pep chromosome:Pop_tri_v4:14:4583745:4588479:1 gene:Potri.014G072100.v4.1 transcript:Potri.014G072100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072100.v4.1 MASIDSLSNPFTLSALVLILSIFTVQLSIRKLNKKQEKHKYHPVGGTVFNQLLNFNRLHHYMTDLAGKYKTYRLIAPFRSEVYTADPVNVEYILKTNFENYGKGDHNYNNLSGLLGDGIFTVDGDKWRQQRKVSSHEFSTKVLRDFSSVVFRKNVAKLANIVSEAAKANQSMDIQDLFMKSTLDSIFKVAFGVELDSMCGSNEEGVKFTSAFDDASALTLWRYVDVFWKIKRFFNIGSEAALKKNVKVVNDFVYKLINKKIELMRNSEEVSSLKKDDILSRFLQVTESDPTYLRDIILNFVIAGKDTTAAALSWFIYMLCKYPAVQKKVAQEVREATKVKEITNFAEFAASINDEALEKMNYLHAAITETLRLYPSVPVDAKVCFSDDTLPDGFNVRKGDMVAYQPYAMGRMKFIWGDDAEEYKPERWLNEDGVFQQESPFKFTAFQAGPRICLGKEFAYRQMKIFAAVLLGSFIFKLADERKPVNYRTMINLHVDGGLHVCALHRDSA >Potri.001G044900.1.v4.1 pep chromosome:Pop_tri_v4:1:3238561:3245960:1 gene:Potri.001G044900.v4.1 transcript:Potri.001G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044900.v4.1 MAGSSSVQPQFIASTGNRSFSNAPLIENSDPEQIIVPDRKSWKNLFAYMGPGFLVSIAYIDPGNFETDLQSGAQYKYELLWIILVASCAALIIQSLAANLGVVTGKHLAEHCRNEYPRIPNFILWVLAEIAIVACDIPEVIGTAFALNMLFKIPVWIGVLLTGLSTLVLLALQQYGVRKLELFIAFLVCTIAGCFFAELGYAKPDAKEVLKGLFVPQLKGNGAAGLAISLLGAMVMPHNLFLHSALVLSRKIPRSMHGIKDACRFYMIESGFALVLAFLINVSIISVSGAVCNSPNINAQDQENCKDLDLNKASFLLENVLGSWSSKLFAIALLASGQSSTITGTYAGQYVMQGFLDLRLTPWLRNFLTRSLAIVPSLIVAIIGGSSGAGKLIIIASMILSFELPFALVPLLKFTSSKTKMGIYANSTTISSITWVIGFLIMAINIYYLASSFIKILLHGHLKLVAAIFLGIFGFLGMAVYLAGVAYLVLRRNTEATHLVALTSENPQIANESGNAGVYSLPREDIACMQLPQRRSNANDL >Potri.009G068300.2.v4.1 pep chromosome:Pop_tri_v4:9:6798440:6801904:1 gene:Potri.009G068300.v4.1 transcript:Potri.009G068300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068300.v4.1 METLQIRPQNLQSLKPKFMNTRFLRLVIKPLNPFLSTLKPFCTTNSSTPLPLKKTILSRNRVKVREKVADVAQLKQNWLDSLTFPLPNETENTNLGGDDLARNNVGSNWVIGVDPDVSGALALLKIDESGCSAQVFDSPHLKVMVGKGIRKRLDVKSIVQLIRSFDAPIGTTAYVEQSTPFPQDGKQGWWSGGFGYGLWIGVLVASGFSVVPVPSMTWKSDLELAGGRCTKDDSRRIASTLFPSLSPLLERKKDHGRAEALLIAAYGKGMKLKNSSSVIDELP >Potri.015G091750.2.v4.1 pep chromosome:Pop_tri_v4:15:11464638:11465041:-1 gene:Potri.015G091750.v4.1 transcript:Potri.015G091750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091750.v4.1 MVANHQQLRFDLFKRFESYGLALNCNHHLARKLQRLDNWAFEIQKGACIARSSPCKIARAFATSMPKSPWGLEKF >Potri.015G091750.1.v4.1 pep chromosome:Pop_tri_v4:15:11463678:11465236:-1 gene:Potri.015G091750.v4.1 transcript:Potri.015G091750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091750.v4.1 MVANHQQLRFDLFKRFESYGLALNCNHHLARKLQRLDNWAFEIQKGEVQMVLVSFAYQITTMKILQKGACIARSSPCKIARAFATSMPKSPWGLEKF >Potri.001G459200.1.v4.1 pep chromosome:Pop_tri_v4:1:48511225:48513107:1 gene:Potri.001G459200.v4.1 transcript:Potri.001G459200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459200.v4.1 MIKASMSLTLSMFLVLFLFVSLATCDTSLDNFLQCLPSHSHPSYPVSRAVYRRSNSSFEPTFRAYAKASRFLTSATPKPLAIIAAMHESHVQATVICAKSNGLQIRIRSGGHDYEGLSYVSNVPFVILDMFNLRSIDIDIVRKTAWIQSGATIGELYYNIAKKSNVFAFPAGVCFTLGAGGHISGGGYGNMMRKYGLSIDNIVDAKLVDVNGKILDRKSMGEDLFWAIRGSGGASFGVILSWKINLVQVPPKVTTFNVAKTLKEGATDLVYRWQEVASKLDQELFIRASPQVVNGGSGGSKTISVSFIGQFLGPSSKLLPLMKRRFPELGLQQKDCNEMSWVESTLYWFGRSGRSLDVLLDRPTETSFFKRKSDYVKNVIPKEGLENIWKMMIKVEPVWMQWNPYGGRMDEIPATATPFPHRAGNLFKIQYSTDWSDQEGSEATNRHINLLRQMYEAMTPYVSKDPREAFLNYRDIDIGSNPSNQTNFENAKVYGSKLFKDNFMRLVKVKSKVDPDNFFKNEQSIPLGMA >Potri.015G006800.1.v4.1 pep chromosome:Pop_tri_v4:15:401325:402164:-1 gene:Potri.015G006800.v4.1 transcript:Potri.015G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006800.v4.1 MGSGDKLHLNFHIHLPKNHHHHHHHRKKELKDIPKGCLAVMVGQGEEQQRFVIPVIYINHPLFMHLLKEAEEEFGFDQQGPITIPCHVEEFRNIVQGMIEEENSQYHHHHYHVWCFRV >Potri.001G345900.2.v4.1 pep chromosome:Pop_tri_v4:1:35735481:35739175:-1 gene:Potri.001G345900.v4.1 transcript:Potri.001G345900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345900.v4.1 MAMTSLTELDDDTVRSMSVGAVFSEFGGKINSIDFHRKDDLLVTASEDDSVRLYDIASAKLLKTTFHKKHGADRICFTHHPSSVICSSTYNLDSTGESLRYLSMYDNRCLRYFKGHKERVVSLCMSPINDSFMSGSLDHSVRIWDLRVNACQGILRLRGRPTVAYDQQGLVFAVAMEGGAIKLFDSRSYDKGPFDTFLVGGDTAEVCDIKFSNDGKSMLLTTTSNNIYVLDAYGGEKRCGFSLEPSPNTKIEATFTPDGQYVVSGSGDGTLHAWNINMRNEVSCWNSHIGIASCLKWAPRRAMFVAASTVLTFWIPDSSKSTVEPRPMDVEGAAAPSENVSQQ >Potri.017G083200.3.v4.1 pep chromosome:Pop_tri_v4:17:9541297:9552130:1 gene:Potri.017G083200.v4.1 transcript:Potri.017G083200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G083200.v4.1 MGMESGDDEFMTSESNSVRTSAGGSSKAPRLFIKEMIMRNFKSYAGEQRVGPFHKSFSAVVGPNGSGKSNVIDAMLFVFGKRAKQMRLNKVSELIHNSTNHQNLDSAGVSVHFQEIIDLDDGTYEAVSGSDFVITRVAFRDNSSKYYINDRSSNFTEVTKKLKGKGVDLDNNRFLILQGEVEQISLMRPKAQGLHDEGFLEYLEDIIGTNKYVEKIDESSKELESLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLQELSLLKWQEKATKLAHEDTSARMMELHTSVSSLEENLKAEREKIQESHKTMKELEIVHKKYIKRQEELDNDLRTCKEEFKEFERQDVKYREDLKHMKQKMKKLEDKLEKDSSKIDDLTKECENSANLIPKLEDNIPKLQKLLLEEERMLEEVVENSKGETERYRSELVKVRAELEPWEKQLIDHKGKLEVAFTESKLLNEKHEAGRAAFENAHKQMDNISGSIEMKTATIAKLQSNIEKHKLEASEARKVEQESIKEQEELIPLEQAARQKVAELKSIIDLEKSQGSVLKAILHAKESNEIRGIHGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREKLGVATFMILEKQVDHSSKMKHNVSTPEGVPRLFDLVRVQDERMKLAFYAALGNTVVAKDLDQATRIAYGGNLEFRRVVTLDGALFEKSGTMSGGGTKPRGGKMGTSIRATSVSGEAVTSAEKELSTMVDRLNGIRQRIADSVKHYQASEKAIAHLEMELAKSQKEIDSLNTEHSYLEKQLGSLKAASEPKKDELDRLEELKRIIVTEEKEIDRLIQGSKKLKEKALELQSKIENAGGERLKSQKAKVNRIQSDMDKNSTEINRHKVQIETGHKMIKKLTKGIEDSRKEKERLTEEREKLRGIFKEIEEKAFAVQENYKKTQELIDQHKEVLDKAKSEYEKVKKIVDELRASEVDADYRLQDMKKSYKELELKGKGYKKKLDDLQNALLHHMEQTQKELEDPEKLQATLADKTLAEACDLKRALERVVLLEAQLKDMNPNLDSISEYRRKVSSYNERVEELNLVTQQRDDIKRQYDEWRKKRLDEFMAGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCQNAA >Potri.017G083200.6.v4.1 pep chromosome:Pop_tri_v4:17:9542384:9552147:1 gene:Potri.017G083200.v4.1 transcript:Potri.017G083200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G083200.v4.1 MRPKAQGLHDEGFLEYLEDIIGTNKYVEKIDESSKELESLNEKRSGVVQMVKLAEKERDSLEDVKNEAEAYMLQELSLLKWQEKATKLAHEDTSARMMELHTSVSSLEENLKAEREKIQESHKTMKELEIVHKKYIKRQEELDNDLRTCKEEFKEFERQDVKYREDLKHMKQKMKKLEDKLEKDSSKIDDLTKECENSANLIPKLEDNIPKLQKLLLEEERMLEEVVENSKGETERYRSELVKVRAELEPWEKQLIDHKGKLEVAFTESKLLNEKHEAGRAAFENAHKQMDNISGSIEMKTATIAKLQSNIEKHKLEASEARKVEQESIKEQEELIPLEQAARQKVAELKSIIDLEKSQGSVLKAILHAKESNEIRGIHGRMGDLGAIDAKYDVAISTACPGLDYIVVETTAAAQACVELLRREKLGVATFMILEKQVDHSSKMKHNVSTPEGVPRLFDLVRVQDERMKLAFYAALGNTVVAKDLDQATRIAYGGNLEFRRVVTLDGALFEKSGTMSGGGTKPRGGKMGTSIRATSVSGEAVTSAEKELSTMVDRLNGIRQRIADSVKHYQASEKAIAHLEMELAKSQKEIDSLNTEHSYLEKQLGSLKAASEPKKDELDRLEELKRIIVTEEKEIDRLIQGSKKLKEKALELQSKIENAGGERLKSQKAKVNRIQSDMDKNSTEINRHKVQIETGHKMIKKLTKGIEDSRKEKERLTEEREKLRGIFKEIEEKAFAVQENYKKTQELIDQHKEVLDKAKSEYEKVKKIVDELRASEVDADYRLQDMKKSYKELELKGKGYKKKLDDLQNALLHHMEQTQKELEDPEKLQATLADKTLAEACDLKRALERVVLLEAQLKDMNPNLDSISEYRRKVSSYNERVEELNLVTQQRDDIKRQYDEWRKKRLDEFMAGFNTISLKLKEMYQMITLGGDAELELVDSLDPFSEGVVFSVRPPKKSWKNIANLSGGEKTLSSLALVFALHHYKPTPLYVMDEIDAALDFKNVSIVGHYVKDRTKDAQFIIISLRNNMFELADRLVGIYKTDNCTKSITINPGSFVVCQNAA >Potri.019G054800.1.v4.1 pep chromosome:Pop_tri_v4:19:9259474:9261203:-1 gene:Potri.019G054800.v4.1 transcript:Potri.019G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054800.v4.1 MASIQFSLSPTSSIRSSPSFAGSPANPITPQYSSTPTKDLSSYCKLSSRQKNVIKRNGSRNLVSTVRSSLDTAGPTSAVGQVTEVTKDTFWPIVNSAGDKTVVLDMYTQWCGPCKLIAPKYKELSQKYDDVVFLKLDCNQENKPLAKELGIKVVPTFKILKQGKIVKEVTGAKFDNLVIAIESVRSAS >Potri.001G264200.1.v4.1 pep chromosome:Pop_tri_v4:1:27978612:27978914:1 gene:Potri.001G264200.v4.1 transcript:Potri.001G264200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264200.v4.1 MTNLAKLEFVALDIIGKNYLSWVLDAEIYLDGMSLVNTIKNDNKTSSQNKAKTIISLRRHLHKKLKIEYLTIKERYDHQRTVILSKACYD >Potri.001G417200.5.v4.1 pep chromosome:Pop_tri_v4:1:44547734:44552400:1 gene:Potri.001G417200.v4.1 transcript:Potri.001G417200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417200.v4.1 MIHLQEESCLRISSFLADGIVINPGDVLPDFSVNSLVFVLKELDVIVPLDVSQSNNPTENGNSTFHNVFAGARLRIENLFFSESPTLKLRLLKLEKDPACFYLWEGQPIDASQKKWTTGASHLTLSLETSTNLNGTPSSNGMSSGSWRCIELQDASVEVAMISADGSPLTNVPPPGGIVRVGVACQQYLSNTSVEQLFFVLDLYAYFGRVCEKIVSVGKDKRPKITRNGSSGVRLMDKVPCDTAVSLAVKELRLRFLESSASDIEGMPLVQFIGEDLYIKVSHRTLGGAIVISSSVYWQSVEVDCVETEGSLAHENGMLTSSVENGRLVTANGYPQLRAVFWVHNGQKYQANGIACTIPFLDTSMVHMIPLSEQDQECHSLSVSACISGVRLGGGMNHAEALLHRFGILGPDGGPGEGLSKGLENLSTGPLSKLFKGSPLIDNLKEDGSLIDGKDGVLHLRLPDDVDVCIELKDWLFALEGAQEMAGGWFFYNNEDVGREERCWHASFQSLQLKAKSSPKIELNGKEKPNGKLKYPVELVTVGVEGLQTLKPQGQKGISTPANGIKEVVETSGGINLEVRMVASEENIDDEMAKWAVENLKFSVKQPIEAVVTKDEFQHLALLCKSEVDAMGRIAAGFLRLLKFERSIGQSAIDQLSNLGSEGFDKIFTPDRLSRGASPASIAFSPSSYLVNESPQTTMESTVTSLKEALLDSQAKLAALITDLSISESSIQHLADIKQLSQKLEIMQSLVMQLQTKI >Potri.001G417200.4.v4.1 pep chromosome:Pop_tri_v4:1:44543132:44552401:1 gene:Potri.001G417200.v4.1 transcript:Potri.001G417200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417200.v4.1 MHSSLARAEEGASQRDDDGAKRVFFGGERFLEGISGEAYITIQRTELNSPLGLEVQLHIPEAVCPALSEPGLRALLRFMTGLYVCLNRGDVGLQAQQRSTEAAGCSLVSIVVDHIFLRIKDAEFQLELLMQSLLFSRATVSDGKIANNLTKVMLGGMFLRDTFSRPPCTLLQPSLQAITKHVARIPDFAKDFCPPIYPLGDHQWQKSVGIPLICLHSLQAKPSPVPPCFASQTVITCQPLMIHLQEESCLRISSFLADGIVINPGDVLPDFSVNSLVFVLKELDVIVPLDVSQSNNPTENGNSTFHNVFAGARLRIENLFFSESPTLKLRLLKLEKDPACFYLWEGQPIDASQKKWTTGASHLTLSLETSTNLNGTPSSNGMSSGSWRCIELQDASVEVAMISADGSPLTNVPPPGGIVRVGVACQQYLSNTSVEQLFFVLDLYAYFGRVCEKIVSVGKDKRPKITRNGSSGVRLMDKVPCDTAVSLAVKELRLRFLESSASDIEGMPLVQFIGEDLYIKVSHRTLGGAIVISSSVYWQSVEVDCVETEGSLAHENGMLTSSVENGRLVTANGYPQLRAVFWVHNGQKYQANGIACTIPFLDTSMVHMIPLSEQDQECHSLSVSACISGVRLGGGMNHAEALLHRFGILGPDGGPGEGLSKGLENLSTGPLSKLFKGSPLIDNLKEDGSLIDGKDGVLHLRLPDDVDVCIELKDWLFALEGAQEMAGGWFFYNNEDVGREERCWHASFQSLQLKAKSSPKIELNGKEKPNGKLKYPVELVTVGVEGLQTLKPQGQKGISTPANGIKEVVETSGGINLEVRMVASEENIDDEMAKWAVENLKFSVKQPIEAVVTKDEFQHLALLCKSEVDAMGRIAAGFLRLLKFERSIGQSAIDQLSNLGSEGFDKIFTPDRLSRGASPASIAFSPSSYLVNESPQTTMESTVTSLKEALLDSQAKLAALITDLSISESSIQHLADIKQLSQKLEIMQSLVMQLQTKI >Potri.001G417200.3.v4.1 pep chromosome:Pop_tri_v4:1:44543012:44552425:1 gene:Potri.001G417200.v4.1 transcript:Potri.001G417200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417200.v4.1 MEAILACALEYTLKYWLKSFSRDQFKLQGRTVQLSNLEINGDALHASMGLPPALNVTKAKVGKFEIILPYVSYVQVEPIVIQIDKLDLVLEENSDLDGSSSPNSSQLSGDSSKSSGYGFADKIADGMTIQITTVNLLLETRGGVQRGGGAAWASPLASITIHNLLLYTTNENWQVVNLKEARDFSTNKKFIYAFKKLEWESLSVDLLPHPDMFTDASLARAEEGASQRDDDGAKRVFFGGERFLEGISGEAYITIQRTELNSPLGLEVQLHIPEAVCPALSEPGLRALLRFMTGLYVCLNRGDVGLQAQQRSTEAAGCSLVSIVVDHIFLRIKDAEFQLELLMQSLLFSRATVSDGKIANNLTKVMLGGMFLRDTFSRPPCTLLQPSLQAITKHVARIPDFAKDFCPPIYPLGDHQWQKSVGIPLICLHSLQAKPSPVPPCFASQTVITCQPLMIHLQEESCLRISSFLADGIVINPGDVLPDFSVNSLVFVLKELDVIVPLDVSQSNNPTENGNSTFHNVFAGARLRIENLFFSESPTLKLRLLKLEKDPACFYLWEGQPIDASQKKWTTGASHLTLSLETSTNLNGTPSSNGMSSGSWRCIELQDASVEVAMISADGSPLTNVPPPGGIVRVGVACQQYLSNTSVEQLFFVLDLYAYFGRVCEKIVSVGKDKRPKITRNGSSGVRLMDKVPCDTAVSLAVKELRLRFLESSASDIEGMPLVQFIGEDLYIKVSHRTLGGAIVISSSVYWQSVEVDCVETEGSLAHENGMLTSSVENGRLVTANGYPQLRAVFWVHNGQKYQANGIACTIPFLDTSMVHMIPLSEQDQECHSLSVSACISGVRLGGGMNHAEALLHRFGILGPDGGPGEGLSKGLENLSTGPLSKLFKGSPLIDNLKEDGSLIDGKDGVLHLRLPDDVDVCIELKDWLFALEGAQEMAGGWFFYNNEDVGREERCWHASFQSLQLKAKSSPKIELNGKEKPNGKLKYPVELVTVGVEGLQTLKPQGQKGISTPANGIKEVVETSGGINLEVRMVASEENIDDEMAKWAVENLKFSVKQPIEAVVTKDEFQHLALLCKSEVDAMGRIAAGFLRLLKFERSIGQSAIDQLSNLGSEGFDKIFTPDRLSRGASPASIAFSPSSYLVNESPQTTMESTVTSLKEALLDSQAKLAALITDLSISESSIQHLADIKQLSQKLEIMQSLVMQLQTKI >Potri.001G099500.2.v4.1 pep chromosome:Pop_tri_v4:1:7929537:7931225:-1 gene:Potri.001G099500.v4.1 transcript:Potri.001G099500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099500.v4.1 MKKVVLKLDLHDDKGKQKAMKAVSGLSGIDSIAMDLKEKKLTVIGDIDPVDVVSKLRKIWHTEILAVGPAKEEGKKDEGKKEGEKKNPNEQQMTELMTLYKDYYNNNPYPSQYGYRVVCAEENPNACAIC >Potri.001G099500.3.v4.1 pep chromosome:Pop_tri_v4:1:7929970:7930747:-1 gene:Potri.001G099500.v4.1 transcript:Potri.001G099500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099500.v4.1 MKAVSGLSGIDSIAMDLKEKKLTVIGDIDPVDVVSKLRKIWHTEILAVGPAKEEGKKDEGKKEGEKKNPNEQQMTELMTLYKDYYNNNPYPSQYGYRVVCAEENPNACAIC >Potri.002G011600.1.v4.1 pep chromosome:Pop_tri_v4:2:719700:720843:1 gene:Potri.002G011600.v4.1 transcript:Potri.002G011600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011600.v4.1 MVGVFKRSLSFPNKIPSRTLSKPTISYHNRSISLPCRSHPLISQLINEINELKTWSSKLENRTCVWLCDGLSRLKDVQDSLDDFLQLPQTRESLRRQPKWVEKLLEDFLRFVDVYGIFQTLVLAVKDDQVAAQVAIRKKDDSKIALYLKSRKKMAKEMAKLVSTVRCIARSPFPGLGSGPSVGDAELVRVIIDVIEVSVLVSFALFNGMSLSFASRKSSWIRLRLPKKVKIDEGIQEFQQIGVESLWGLGKKEDEEVRMVLKRMQELEGCIGDIETSGERVFRSLINTRVSLLNSLTQ >Potri.013G137900.3.v4.1 pep chromosome:Pop_tri_v4:13:15634477:15635000:-1 gene:Potri.013G137900.v4.1 transcript:Potri.013G137900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpF MNRKTHVWFGKGSWKFCNEWKDNLLSLSDLLDNRKQRILNTIRNSEELRGGAIEQLEKARARLRKVEIEADQFRVNGYSEIEREKLNLINSTYKTLEQLENYKNETIHFEQQRAINQVRQRVFQQALQGALGTLNSCLTNELHLRTISANIGMFGAMKEITN >Potri.013G137900.2.v4.1 pep chromosome:Pop_tri_v4:13:15634506:15635785:-1 gene:Potri.013G137900.v4.1 transcript:Potri.013G137900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpF MKNITDSFVSLGHWSSAGSFGFNTDILATNPINLSVVLGVLIFFGKGVLSDLLDNRKQRILNTIRNSEELRGGAIEQLEKARARLRKVEIEADQFRVNGYSEIEREKLNLINSTYKTLEQLENYKNETIHFEQQRAINQVRQRVFQQALQGALGTLNSCLTNELHLRTISANIGMFGAMKEITN >Potri.015G033300.3.v4.1 pep chromosome:Pop_tri_v4:15:2617308:2627809:-1 gene:Potri.015G033300.v4.1 transcript:Potri.015G033300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033300.v4.1 MEREEKEAEKTSSSPSLFPLFAAAVAASSSITQQQTNTPINDTVPPPPPPAWLYNTSFTTDLSIVNDAVSSLHPSQHSDSDLEEQEEDKDDRVSNQGKDRSYQLLQEPEEEKTREAKYSRSDSDYSDSGRERKKTKKRRHSKKKKRDRSRDEEDARDFGSRKSNVRVWAGSDTKTTKDYYFDTHGDRDNLVYGTLYRMDVPRYKPYNSTKHDFRGLYRLNKRGPGFDRDGDIDALDTQLKSGGRYWSSKYAAVERHKNLKRLRVLARKQPRVVVSDEFIPLSDTEMSHDGVDHPGSVLKDCLVVEESWEDEVLRKTREFNKLTREHPHDEKVWLDFAEFQDKVASMQPQKGARLQTLEKKISVLEKATELNPDNEELLLCLMKAYQSRDSSDMLIGRWEKVLMHHSGNHKLWKEYLRVVQGEFSRFKVSDMRKMYAHAIQAVSSACSRQFRQVYQNEKPSSLDPAIVQQELGLVDIFLSLCRLEWQAGHQELATALFQAEIEFTVFCPSLLLTENSKLRLFEHFWNSDCPRVGEEGAVGWSTWLEKEEENRQRILKEEASHDEDRGGWTGWSELLSKHEETAKNQENVVHNDVTADEFLEESENEDIKQEDDTEALLKQLGIDVDAEPSSEVKDSSTWARWSKEESLRDCNQWMPVHGRISPSSGTPDGEADEHFLRAVLFEDVIEYLFSLNSQEARLSLVSQFIEFFGGDLSQCSSWKDKLLSIEVLPDPISKNLRSLHDILDRSEGSSSSNSFDLLSGITSNSSKRTDAMKFLRNAVLLCLTAFPRNHILEEAALVAEDFSVTKMDSTTPCRVLAKSLLKNDRQDVLLCGVYARREAVFGNIGYARRVFDLALTSVEGLPPDLRSNAPLLYFWYAETELANSSGNNQESPSRALHILSCLGNGVTYKPFESKPSSLQLLRAHQGFKERLKIVRSAWVRGVVDDQSLALTCSAALFEELTTGWAAGIAVLDEAFTMVLPDRRCHSYQLEFLFNYHVRMLLRYHKQSSLSKVWDSILKGLQIYPSSPELFKTLLEISHLYTTPNKVRSMLDDFFHKKPSVILWLFALSFEMSRGSSQHRIHGLFERALENERLSNSVILWRLYIAYEIDIACNPSAAKRAFFRAIHACPWSKKLWLDGFLKLNSILTVKELSDLQDVMRDKELNLRTDIYEILLQDEFVS >Potri.015G033300.2.v4.1 pep chromosome:Pop_tri_v4:15:2617297:2627815:-1 gene:Potri.015G033300.v4.1 transcript:Potri.015G033300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033300.v4.1 MEREEKEAEKTSSSPSLFPLFAAAVAASSSITQQQTNTPINDTVPPPPPPAWLYNTSFTTDLSIVNDAVSSLHPSQHSDSDLEEQEEDKDDRVSNQGKDRSYQLLQEPEEEKTREAKYSRSDSDYSDSGRERKKTKKRRHSKKKKRDRSRDEEDARDFGSRKSNVRVWAGSDTKTTKDYYFDTHGDRDNLVYGTLYRMDVPRYKPYNSTKHDFRGLYRLNKRGPGFDRDGDIDALDTQLKSGGRYWSSKYAAVERHKNLKRLRVLARKQPRVVVSDEFIPLSDTEMSHDGVDHPGSVLKDCLVVEESWEDEVLRKTREFNKLTREHPHDEKVWLDFAEFQDKVASMQPQKGARLQTLEKKISVLEKATELNPDNEELLLCLMKAYQSRDSSDMLIGRWEKVLMHHSGNHKLWKEYLRVVQGEFSRFKVSDMRKMYAHAIQAVSSACSRQFRQVYQNEKPSSLDPAIVQQELGLVDIFLSLCRLEWQAGHQELATALFQAEIEFTVFCPSLLLTENSKLRLFEHFWNSDCPRVGEEGAVGWSTWLEKEEENRQRILKEEASHDEDRGGWTGWSELLSKHEETAKNQENVVHNDVTADEFLEESENEDIKQEDDTEALLKQLGIDVDAEPSSEVKDSSTWARWSKEESLRDCNQWMPVHGRISPSSGTPDGEADEHFLRAVLFEDVIEYLFSLNSQEARLSLVSQFIEFFGGDLSQWICTNSSSWKDKLLSIEVLPDPISKNLRSLHDILDRSEGSSSSNSFDLLSGITSNSSKRTDAMKFLRNAVLLCLTAFPRNHILEEAALVAEDFSVTKMDSTTPCRVLAKSLLKNDRQDVLLCGVYARREAVFGNIGYARRVFDLALTSVEGLPPDLRSNAPLLYFWYAETELANSSGNNQESPSRALHILSCLGNGVTYKPFESKPSSLQLLRAHQGFKERLKIVRSAWVRGVVDDQSLALTCSAALFEELTTGWAAGIAVLDEAFTMVLPDRRCHSYQLEFLFNYHVRMLLRYHKQSSLSKVWDSILKGLQIYPSSPELFKTLLEISHLYTTPNKVRSMLDDFFHKKPSVILWLFALSFEMSRGSSQHRIHGLFERALENERLSNSVILWRLYIAYEIDIACNPSAAKRAFFRAIHACPWSKKLWLDGFLKLNSILTVKELSDLQDVMRDKELNLRTDIYEILLQDEFVS >Potri.005G135300.1.v4.1 pep chromosome:Pop_tri_v4:5:10459403:10461109:1 gene:Potri.005G135300.v4.1 transcript:Potri.005G135300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX35 MEHNSFKLPFVHLLCLLLLCPLVSCQLNYKFYDYTCPNLTKIVRSGVWSAMRNDSRMAASLLRLHFHDCFVNGCDGSLLLDGGEKNAFPNRNSARGFEVIDDIKANLERACPATVSCTDILTLAAREAVYLSGGPYWFLPLGRRDGLTASESDANEQLPGFSEPLENITAKFTSKGLELKDVVVLSGAHTIGFAQCFTFKSRLFDFGGSGEPDPLLDTALLTSLQSTCPNQDDSDTKLAPLDSASSSKFDNLYYKLLLNNSGLLQSDQALMGDNTTSSLVLNYSKFPYLFSKDFGASMVKMANIGVLTGQNGEIRKNCRLVN >Potri.002G030400.2.v4.1 pep chromosome:Pop_tri_v4:2:2012586:2015397:1 gene:Potri.002G030400.v4.1 transcript:Potri.002G030400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030400.v4.1 MSSEDGEKKPVAVGPWGGQEGFLWDDGVHSTVKQLVIAHGAGIDSIQVEYDRKGTSVWSQIHGGNGGMKTTVKLDCPDEFLTSVHGYYGSLDGWGPVFVRSLTFRSNKKTYGPFGVEQGTYFSFPMSGGKIVGFHGKSGWYLDAIGIYLKPLQKQKTSKALVQSKSYINNGTENISYSMMQGAGNGFDIFVAVKQKDDFGKFSTQNSLEYSSGGTNRKDDFGSPLPSKLSRQISREFSDSESNKNDDFGSLLPRMLSTPISRELSDAGTNKTIATERVPSKTEGVIVHGPWGGVGGSKFDDGTYTGIRQIHLSRHVGIASIRVQYDRDGQATWGSKHGKGGGFKSDKIIFDYPYEILTRVTGTYGPLMYMGPNIIRSLTFYTNKGKHGPFGEEQGPTFTNKIDEGKIIGFHGREGFLLDAIGVHVLEGTVKPAKHHLSDAIKQAEADVAEIDNSPWSNKLVAARPGQTEEVVCGVIKEPAPCGPGPWGGDGGRPWDDGVFSGIKQIFITRAEAMCSIQIEYDRNGQSVWSIKHGGNGGTATHRVKLQCPHEVLVCLSGYYGPIGSDGNSPKVIKSLTFHTSRGNYGPFGEEIGTFFTSTTTEGKVVGFHGRSSAYMDAIGVHMQHWLGNHRSPKPSSLFKIFS >Potri.006G218400.1.v4.1 pep chromosome:Pop_tri_v4:6:22356999:22364814:1 gene:Potri.006G218400.v4.1 transcript:Potri.006G218400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218400.v4.1 MSGEERWCVVTGGRGFAARHLVEMLIQYDLFSVRIADLEPQITLSTEEQNGALGHALKSGRANYVSADLRDKPQVLKVIEGAEVVFHMAAPNSSVNSQQLHYSVNVQGTKNVIDACVELKVKRLIYTSSASVVFDGIHGIINGDESLPYTDKPLDSYSATKAEGEAAILKANGTNGLLTCSLRPSSIFGPGDRLFVPSLVAAARAGKSKFMIGDGNNIYDFTYVENVAHAHICADRALASEQEVAEKAAGQAYFITNMEPIKFWEFTSLILEGLGYERPRIKIPAFAVMPIAQVIEWIYKIFGPYGMKVPQLIPSRIRLLSCSRSFNCSKAKDRLGYAPIVPLEEGLKRTLESYPHLRAENQPKREGPSKASICLGSGKVADTLLWKDKKQTLITLLVLVAIYYNFIASQSTIVTAASKLLLVVSVFLFIHGNLPEKVFGYTIEKIPMSYFNLSEERSHQTALSVASSWNAAVNVLKSLCKGNDWSLFFQVVISLLILSFLGAISFQTLFIIGLPVAFAAFYLYEKNEQAIDSMFLEALSLGCKMKSDITKKVLGSKKKD >Potri.005G039900.1.v4.1 pep chromosome:Pop_tri_v4:5:2578126:2585920:-1 gene:Potri.005G039900.v4.1 transcript:Potri.005G039900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039900.v4.1 MAASTRSLLLEDGNPTVNDNGDCNEERSHDSSITPILVLSTFIAVCGSFCYGFAVGYSSAAESGIRKDLGLSVSEYSVFGSILTIGGMIGAIPSGKIADFIGRKRTMWLSEIFCIPGWLLIAFAKDAWWLDIGRLLIGVGVGLITYVVPVYIAEITPMNHRGGFTSAQQLMVSLGFALVYFIGNIISWRALSLIVLISCILQLVGLFFIPESPRWLAKLDREKEFETTLQWLRGMNVDISQEANDIRDTIDVYQHNSKAKFLSLFQRKYAYPIIVGVGLMVLQQFGGTSAVAYYSSSIYVKANFSTIIGTTTAGIMQIPASIAGVLLLDISGRRRLLLVSAIGTCLSLVLVGLSFLLQELHYLKELTPILTFIGILGYGVTFAVGMSGIPWVIMSEIFPLDVKASAGSLVTLVNWSGSWIVTYSFNFMMEWSSTGTFFFFATICGVTALFIWKLVPETKGRTLEEIQATITHFPSDVC >Potri.004G038300.1.v4.1 pep chromosome:Pop_tri_v4:4:3065141:3071377:1 gene:Potri.004G038300.v4.1 transcript:Potri.004G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038300.v4.1 MDSSTLLDYALFQLTPTRTRCDLVLFCGGKNEKLASGLFEPFILHLKFIKDQISKVGYSIKLCPPTKNAPWFTKGTFERFVRFVSTPAVLERFVSLERDILQIEESAVHANELSNTNVAGQLEEGSGLAANTITRKSSDSSKLKDELEKSEHASMEGNSKIQFQLLLEARKTLLRKEQAMAYARGLVVGFEVDSINDLISFADAFGASRLREACNNFKELCKKKHGDGLWMEELAAMEACPPSELSFLGTSGIVLANEISSLNQNVMLNLTNNGVSTGDFMPNGSTDASRSDSTADSRKDGSMGTSDQIASSSAKVQVPMQWPNQIPPYMYNFQGPIPQFPPYQGYPFPTMQPIPPNYPRNMQWPSSMKEFSQGKKDKSLNKKGYKYSGEDRQTNSSDSEGRSDSDSHIDQDKKNSSIDVPYRKKHRKKSSKTVVIRNINYITPKRRNEGSDSFSDETSSDEDEYIDEDTIKKKVDDAVGSLGKLCKSNSSTQKRKGSNKSNHKSNGSSDAPDQDFDDGPVSNASRGGRTSENWDAFQSLLMKDDDTVNGVEKLQPVDVQEEHFIVKSSGDGTSLRSNRAMDLGPEKLLNRRMVTGDSFVVTPRDGEHEDRVRLEDIENAESFRPIMKRRDLTDEDLVISQRLEDSGSGLRGILSRSTEPSIIKPGKGDDWFVIDHSGKPENQDTANYMLSLEGDYSNAKSSRRDVLVDDSFMIHARSSVDDLYGSQWKTDISMATDLTLSSQAENGITEHNHEVMDAYEPNDLCVVLERDSGFESTRDSWVTDQGIDISFMEAHRSSNAESGDQTEKKLPSNSDKTTVKKNGINGRKVPEVRTKIVQGSPSKNRTEMMSKSKKSSVVSRPTVQKSKQEKEEEIRKKMEELAIQRLKRIAERTAAAGGAPAATKRASLESKSVKGSSKSDKNKIIPRPERQTR >Potri.002G069200.3.v4.1 pep chromosome:Pop_tri_v4:2:4806332:4809529:1 gene:Potri.002G069200.v4.1 transcript:Potri.002G069200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069200.v4.1 MADEEPVDPKKYLEESCKPKCVRPLLEYQACVKRIQGDETGHKHCTGQYFDYWSCVDKCVALKLFSKLK >Potri.002G069200.4.v4.1 pep chromosome:Pop_tri_v4:2:4806674:4809235:1 gene:Potri.002G069200.v4.1 transcript:Potri.002G069200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069200.v4.1 MADEEPVDPKKYLEESCKPKCVRPLLEYQACVKRIQGDETGHKHCTGQYFDYWSCVDKCVALKLFSKLK >Potri.011G125101.1.v4.1 pep chromosome:Pop_tri_v4:11:15852425:15853776:1 gene:Potri.011G125101.v4.1 transcript:Potri.011G125101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125101.v4.1 MDRYCIIYLYRILISQTVVLATLACNGSDMMTSWFVIIYPSQSIRDGAALLSTGGSFELGFFSRGSSKNRHMGLWYKECPRTVIWVANREVPLSITLGALNISSEGILVLYCSTYDIVYGGNLFN >Potri.001G225300.1.v4.1 pep chromosome:Pop_tri_v4:1:24314686:24317129:1 gene:Potri.001G225300.v4.1 transcript:Potri.001G225300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225300.v4.1 MFTNNQRQEERTGKYGTPRLQYLQELVNQFQNAADEERKERIVANLANFAYDPYNYTFLRQLNVLELFLDCITEPNEKLVEFGIGGICNSCVDPANAAIITQSGGIPLTIQCLSSPVRNTVNYALGSLYYLCNSSTKEEILKPEVLDVIKRYAACETVNVSFSNLAKAFLDKHVYENK >Potri.002G100200.1.v4.1 pep chromosome:Pop_tri_v4:2:7315509:7316228:-1 gene:Potri.002G100200.v4.1 transcript:Potri.002G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100200.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.002G100200.2.v4.1 pep chromosome:Pop_tri_v4:2:7315407:7316228:-1 gene:Potri.002G100200.v4.1 transcript:Potri.002G100200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100200.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.001G019700.1.v4.1 pep chromosome:Pop_tri_v4:1:1449430:1450447:1 gene:Potri.001G019700.v4.1 transcript:Potri.001G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019700.v4.1 MEKGGQPGLLSQMIYALAFCVVATSVVAKEPYYYKSPPPPLKSSPPPSPSPPPPYHYSSPPPPKKSPPPPYIYKSPPPPLKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYVYKSPPPPSPSPPPPYHYSSPPPPKKSPPPPYVYKSPPPPSPSPPPPYHYSSPPPPKKSPPPPYIYKSPPPPSPSPPPPYHYSSPPPPKKSPHPPYVYKSPPPPHY >Potri.016G064500.1.v4.1 pep chromosome:Pop_tri_v4:16:4512077:4515284:-1 gene:Potri.016G064500.v4.1 transcript:Potri.016G064500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064500.v4.1 MGDELKISTIPLLESSSTGTASKIQTLGNIIVSVVGTGILGLPFAFRIAGWLAGSLGVLAAGVATYYCMLLLVQCKEKLASQELTPETETYGDLGYKCMGNTGRYLTEFLIFISQCGGAVAYLVFIGQNLSSVFKGHGLSLSSFIFLLVPIEIALSWIHSLSSLAPFSIFADICNVLAMAVVLKEDLDKVISGEFRFGDRKAITSSIGGLPFAAGMAVFCFEGFGMTLSLEASMKERGGFASLLAKAFSGITLLYVLFGFSGYMAYGDETKDIITLNLPNNWSTIAVQVGLCLGLAFTFPIMAHPIHEIVEGKLRNSEWLRKVCYKDGENPTLVGKFGTYLSRAILIVVLALLASFVPGFGEFASLVGSTVCALISFVLPAAFHLELFGSSLRFWEKALDYIFLIGGLLFAAHGTYNSIIGF >Potri.010G179000.6.v4.1 pep chromosome:Pop_tri_v4:10:17818206:17827012:-1 gene:Potri.010G179000.v4.1 transcript:Potri.010G179000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179000.v4.1 MTFHEQEEVFLNVNAADASLKDEANGTGEVVESQRSDKRKLQDEQADKDKQADKKEANKAPDSWFELKVNTHVYVTGLPDDVTAEEVVEVFSKCGVIKEDPEKKKPRVKIYVDKETGRIKGDALVTYLKEPSVDLAMQILDGTPLRPGGTIPMSVTQAKFEQKGDRFITKQVDSKKKRKLKKVEDRILGWGGRDDAKVSIPATVVLRQMFTLSEMRADESLRSELEVDVREECAKLGPVDSVKVCENNPHGVVLVKFKDRKDAQSCIELMNGRWFGGRQVDASEDDGLINHALVRDHDEDAARLEQFGAELEAD >Potri.010G179000.4.v4.1 pep chromosome:Pop_tri_v4:10:17818214:17827143:-1 gene:Potri.010G179000.v4.1 transcript:Potri.010G179000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179000.v4.1 MSGTSQQGSDYSRAALSYNDNEGEKLQEVREATLGSVGLRNGSHSNNEQRANYSTLVSSNDDEDEFEKWQREVKEAEAEAERLKNGSLPGNTGDDFGIDDSDRILSPPDGEDEFTDDDGTTYKWDRSLRAWVPQDNLSSVSGQYGVEQMTFHEQEEVFLNVNAADASLKDEANGTGEVVESQRSDKRKLQDEQADKDKQADKKEANKAPDSWFELKVNTHVYVTGLPDDVTAEEVVEVFSKCGVIKEDPEKKKPRVKIYVDKETGRIKGDALVTYLKEPSVDLAMQILDGTPLRPGGTIPMSVTQAKFEQKGDRFITKQVDSKKKRKLKKVEDRILGWGGRDDAKVSIPATVVLRQMFTLSEMRADESLRSELEVDVREECAKLGPVDSVKVCENNPHGVVLVKFKDRKDAQSCIELMNGRWFGGRQVDASEDDGLINHALVRDHDEDAARLEQFGAELEAD >Potri.010G179000.1.v4.1 pep chromosome:Pop_tri_v4:10:17818216:17827505:-1 gene:Potri.010G179000.v4.1 transcript:Potri.010G179000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179000.v4.1 MSSLKDSSVDLQTQQQQQPYSGAGNGYDGNYNTVAEVGWYILGEDQQQVGPYVFSELREHFLNGYLLESTLVWSEGRSDWQPLSSIPELMSGTSQQGSDYSRAALSYNDNEGEKLQEVREATLGSVGLRNGSHSNNEQRANYSTLVSSNDDEDEFEKWQREVKEAEAEAERLKNGSLPGNTGDDFGIDDSDRILSPPDGEDEFTDDDGTTYKWDRSLRAWVPQDNLSSVSGQYGVEQMTFHEQEEVFLNVNAADASLKDEANGTGEVVESQRSDKRKLQDEQADKDKQADKKEANKAPDSWFELKVNTHVYVTGLPDDVTAEEVVEVFSKCGVIKEDPEKKKPRVKIYVDKETGRIKGDALVTYLKEPSVDLAMQILDGTPLRPGGTIPMSVTQAKFEQKGDRFITKQVDSKKKRKLKKVEDRILGWGGRDDAKVSIPATVVLRQMFTLSEMRADESLRSELEVDVREECAKLGPVDSVKVCENNPHGVVLVKFKDRKDAQSCIELMNGRWFGGRQVDASEDDGLINHALVRDHDEDAARLEQFGAELEAD >Potri.015G080200.1.v4.1 pep chromosome:Pop_tri_v4:15:10627152:10629526:-1 gene:Potri.015G080200.v4.1 transcript:Potri.015G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080200.v4.1 MSWSMRESSRATREVSFNGIVRRMVNLPRSIAGGFYRVMGHGIGRIGIGGRRDQNPIPNFPLQPPQEPLIAPEEWTFLSVFEQQYGSTHPFFYACQFMEALKTAEDEHKFMFMYLHSPQHPFTPSFCWETLCSELVVQFLDANFVCWGALADRGEGLQMAVTLQPASFPCCAVIAPAAGNSIAVLQQMEGPISPAELVEILQRTVEEQGLAFGNSRAKEEETTRAKAKEEERKGARAKEEEKMRADRQLREEQDAAYLAALKIDKEKEKLNSLLPERKFQKPADSSNKANYEKLRQNASQKQFGKSKEASTVRETANGSKDSQATQILIRFPNGERKEQSFSCSDKIQSVYRYIDSLGLPGVGNYRLISSFPRRVYSVDQMGITLKDAGLHPKATLFLELL >Potri.017G142100.1.v4.1 pep chromosome:Pop_tri_v4:17:14253695:14254551:-1 gene:Potri.017G142100.v4.1 transcript:Potri.017G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142100.v4.1 MAPSRLLLSLSILLCFLSFSSSARPCKTLFISSYSVSYKPLNPYPNDPNPNNPSSGFLIVTEIQEASISASSLALIKRRFIPVVSSNNYENTNKEATRRDEVGSVWDGFGSYDLSSLRDRTKDILSVVVALLFGVGCGALTAATMYLVWSLFSPSQPRYDDYFDGDFSDDEEEDLKKIGYVKIPEAEQVKGGSV >Potri.008G092800.7.v4.1 pep chromosome:Pop_tri_v4:8:5794920:5799472:1 gene:Potri.008G092800.v4.1 transcript:Potri.008G092800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092800.v4.1 MDEIKRKELLKNQLPVETSFSSSPLASQITSEDARKWHIPSFPLASSICARPSTSGIEDIHSPLSSLKGSSAQASPLPSQNGGASKDVEILESRPSKVRRKMFDLQLPADEYLDTEEGEQLRDENVSGISSYVSNRNPKIASQNERNLLLGNGGKNNCQGDASRSESCLRSPVNVGDLNKPIEVEEANASAYVDILGCTSSQAVSQGHELAPKPKQELLGFHKESSANFHYRSDNGTLNSPHLQHNASGKCWFPHALDSGHSKNNLKSASPEKPTSSQPMQVLFSKTHESPTFFLTDQGKIDLLRERTAHGLELSERNHEISHSNYSESVVASRIPSPYPIGPPSDVGKFWRHSVSSWEKSAVSLSQKSMSVQKHPYLNSSATLSRSSQSSTQSHGFLGDQWNYNRNSTSNPSFVCEMPNRDGFYHGSSSGSKEPSVHLPSGNYEYWNCAGTNNRASGHFINHSSANFYKSPNCMDSKLAWDVNLNAVLSNSSSNKVAHQQGIEVIDLERKHEDHLAALPWLKAKRAFKNEGTKGMDLNMGESTFLSSLNQLQDKSEIGKVPNQIAVQKMNLASCPNVVETSVIQGSDSSCRKILGFPIFEKPHIPKNESSSFTSSSVALPRLSEEVENSKKNKVFDINLPCDPAVPDLAQQTAEEIVVVAKEPATKVANFRCQIDLNSCINDDETSLMPSVPVFSAKIVVGIDLEAPAVPEIEENIISTEEKGHEAALQSTEHRVEIPTDELIRIAAKAIVAISSTSCQNHLDDATCNLREASMTDPLHWFVEIVSSCGEDLESKFDAVSRAKDCDGNLETSWEVIDYFESMTLRLTETKEEDYMPKPLVPENLKLEDTGTTPVPTRTRRGQGRRGRQRRDFQRDILPGLASLSRHEVREDLQTFGGMMRATGHPWQSGLTRRNSTRNGCARGGRRSLVSPSPPVTASPPCTPLIQQLHNIEVGLEDRNLTGWGKTTRRPRRQRCPAGNPPSHPLT >Potri.008G092800.5.v4.1 pep chromosome:Pop_tri_v4:8:5792015:5798831:1 gene:Potri.008G092800.v4.1 transcript:Potri.008G092800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092800.v4.1 MGTKVQCESYFPGYFSMRDLNEDSNSCSWPLFYGDKTFTNGQHYNGLLPRVIADAYPGNDKDVVKQTMLEHEAIFKRQLRELHRIYRIQRDLMDEIKRKELLKNQLPVETSFSSSPLASQITSEDARKWHIPSFPLASSICARPSTSGIEDIHSPLSSLKGSSAQASPLPSQNGGASKDVEILESRPSKVRRKMFDLQLPADEYLDTEEGEQLRDENVSGISSYVSNRNPKIASQNERNLLLGNGGKNNCQGDASRSESCLRSPVNVGDLNKPIEVEEANASAYVDILGCTSSQAVSQGHELAPKPKQELLGFHKESSANFHYRSDNGTLNSPHLQHNASGKCWFPHALDSGHSKNNLKSASPEKPTSSQPMQVLFSKTHESPTFFLTDQGKIDLLRERTAHGLELSERNHEISHSNYSESVVASRIPSPYPIGPPSDVGKFWRHSVSSWEKSAVSLSQKSMSVQKHPYLNSSATLSRSSQSSTQSHGFLGDQWNYNRNSTSNPSFVCEMPNRDGFYHGSSSGSKEPSVHLPSGNYEYWNCAGTNNRASGHFINHSSANFYKSPNCMDSKLAWDVNLNAVLSNSSSNKVAHQQGIEVIDLERKHEDHLAALPWLKAKRAFKNEGTKGMDLNMGESTFLSSLNQLQDKSEIGKVPNQIAVQKMNLASCPNVVETSVIQGSDSSCRKILGFPIFEKPHIPKNESSSFTSSSVALPRLSEEVENSKKNKVFDINLPCDPAVPDLAQQTAEEIVVVAKEPATKVANFRCQIDLNSCINDDETSLMPSVPVFSAKIVVGIDLEAPAVPEIEENIISTEEKGHEAALQSTEHRVEIPTDELIRIAAKAIVAISSTSCQNHLDDATCNLREASMTDPLHWFVEIVSSCGEDLESKFDAVSRAKDCDGNLETSWEVIDYFESMTLRLTETKEEDYMPKPLVPENLKLEDTGTTPVPTRTRRGQGRRGRQRRDFQRDILPGLASLSRHEVREDLQTFGGMMRATGHPWQSGLTRRNSTRNGCARGGRRSLVSPSPPVTASPPCTPLIQQLHNIEVGLEDRNLTGWGKTTRRPRRQRCPAGNPPSHPLT >Potri.008G092800.4.v4.1 pep chromosome:Pop_tri_v4:8:5794690:5798873:1 gene:Potri.008G092800.v4.1 transcript:Potri.008G092800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092800.v4.1 MGTKVQCESYFPGYFSMRDLNEDSNSCSWPLFYGDKTFTNGQHYNGLLPRVIADAYPGNDKDVVKQTMLEHEAIFKRQLRELHRIYRIQRDLMDEIKRKELLKNQLPVETSFSSSPLASQITSEDARKWHIPSFPLASSICARPSTSGIEDIHSPLSSLKGSSAQASPLPSQNGGASKDVEILESRPSKVRRKMFDLQLPADEYLDTEEGEQLRDENVSGISSYVSNRNPKIASQNERNLLLGNGGKNNCQGDASRSESCLRSPVNVGDLNKPIEVEEANASAYVDILGCTSSQAVSQGHELAPKPKQELLGFHKESSANFHYRSDNGTLNSPHLQHNASGKCWFPHALDSGHSKNNLKSASPEKPTSSQPMQVLFSKTHESPTFFLTDQGKIDLLRERTAHGLELSERNHEISHSNYSESVVASRIPSPYPIGPPSDVGKFWRHSVSSWEKSAVSLSQKSMSVQKHPYLNSSATLSRSSQSSTQSHGFLGDQWNYNRNSTSNPSFVCEMPNRDGFYHGSSSGSKEPSVHLPSGNYEYWNCAGTNNRASGHFINHSSANFYKSPNCMDSKLAWDVNLNAVLSNSSSNKVAHQQGIEVIDLERKHEDHLAALPWLKAKRAFKNEGTKGMDLNMGESTFLSSLNQLQDKSEIGKVPNQIAVQKMNLASCPNVVETSVIQGSDSSCRKILGFPIFEKPHIPKNESSSFTSSSVALPRLSEEVENSKKNKVFDINLPCDPAVPDLAQQTAEEIVVVAKEPATKVANFRCQIDLNSCINDDETSLMPSVPVFSAKIVVGIDLEAPAVPEIEENIISTEEKGHEAALQSTEHRVEIPTDELIRIAAKAIVAISSTSCQNHLDDATCNLREASMTDPLHWFVEIVSSCGEDLESKFDAVSRAKDCDGNLETSWEVIDYFESMTLRLTETKEEDYMPKPLVPENLKLEDTGTTPVPTRTRRGQGRRGRQRRDFQRDILPGLASLSRHEVREDLQTFGGMMRATGHPWQSGLTRRNSTRNGCARGGRRSLVSPSPPVTASPPCTPLIQQLHNIEVGLEDRNLTGWGKTTRRPRRQRCPAGNPPSHPLT >Potri.008G092800.3.v4.1 pep chromosome:Pop_tri_v4:8:5792008:5798876:1 gene:Potri.008G092800.v4.1 transcript:Potri.008G092800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092800.v4.1 MGTKVQCESYFPGYFSMRDLNEDSNSCSWPLFYGDKTFTNGQHYNGLLPRVIADAYPGNDKDVVKQTMLEHEAIFKRQLRELHRIYRIQRDLMDEIKRKELLKNQLPVETSFSSSPLASQITSEDARKWHIPSFPLASSICARPSTSGIEDIHSPLSSLKGSSAQASPLPSQNGGASKDVEILESRPSKVRRKMFDLQLPADEYLDTEEGEQLRDENVSGISSYVSNRNPKIASQNERNLLLGNGGKNNCQGDASRSESCLRSPVNVGDLNKPIEVEEANASAYVDILGCTSSQAVSQGHELAPKPKQELLGFHKESSANFHYRSDNGTLNSPHLQHNASGKCWFPHALDSGHSKNNLKSASPEKPTSSQPMQVLFSKTHESPTFFLTDQGKIDLLRERTAHGLELSERNHEISHSNYSESVVASRIPSPYPIGPPSDVGKFWRHSVSSWEKSAVSLSQKSMSVQKHPYLNSSATLSRSSQSSTQSHGFLGDQWNYNRNSTSNPSFVCEMPNRDGFYHGSSSGSKEPSVHLPSGNYEYWNCAGTNNRASGHFINHSSANFYKSPNCMDSKLAWDVNLNAVLSNSSSNKVAHQQGIEVIDLERKHEDHLAALPWLKAKRAFKNEGTKGMDLNMGESTFLSSLNQLQDKSEIGKVPNQIAVQKMNLASCPNVVETSVIQGSDSSCRKILGFPIFEKPHIPKNESSSFTSSSVALPRLSEEVENSKKNKVFDINLPCDPAVPDLAQQTAEEIVVVAKEPATKVANFRCQIDLNSCINDDETSLMPSVPVFSAKIVVGIDLEAPAVPEIEENIISTEEKGHEAALQSTEHRVEIPTDELIRIAAKAIVAISSTSCQNHLDDATCNLREASMTDPLHWFVEIVSSCGEDLESKFDAVSRAKDCDGNLETSWEVIDYFESMTLRLTETKEEDYMPKPLVPENLKLEDTGTTPVPTRTRRGQGRRGRQRRDFQRDILPGLASLSRHEVREDLQTFGGMMRATGHPWQSGLTRRNSTRNGCARGGRRSLVSPSPPVTASPPCTPLIQQLHNIEVGLEDRNLTGWGKTTRRPRRQRCPAGNPPSHPLT >Potri.008G092800.6.v4.1 pep chromosome:Pop_tri_v4:8:5792069:5798729:1 gene:Potri.008G092800.v4.1 transcript:Potri.008G092800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092800.v4.1 MGTKVQCESYFPGYFSMRDLNEDSNSCSWPLFYGDKTFTNGQHYNGLLPRVIADAYPGNDKDVVKQTMLEHEAIFKRQLRELHRIYRIQRDLMDEIKRKELLKNQLPVETSFSSSPLASQITSEDARKWHIPSFPLASSICARPSTSGIEDIHSPLSSLKGSSAQASPLPSQNGGASKDVEILESRPSKVRRKMFDLQLPADEYLDTEEGEQLRDENVSGISSYVSNRNPKIASQNERNLLLGNGGKNNCQGDASRSESCLRSPVNVGDLNKPIEVEEANASAYVDILGCTSSQAVSQGHELAPKPKQELLGFHKESSANFHYRSDNGTLNSPHLQHNASGKCWFPHALDSGHSKNNLKSASPEKPTSSQPMQVLFSKTHESPTFFLTDQGKIDLLRERTAHGLELSERNHEISHSNYSESVVASRIPSPYPIGPPSDVGKFWRHSVSSWEKSAVSLSQKSMSVQKHPYLNSSATLSRSSQSSTQSHGFLGDQWNYNRNSTSNPSFVCEMPNRDGFYHGSSSGSKEPSVHLPSGNYEYWNCAGTNNRASGHFINHSSANFYKSPNCMDSKLAWDVNLNAVLSNSSSNKVAHQQGIEVIDLERKHEDHLAALPWLKAKRAFKNEGTKGMDLNMGESTFLSSLNQLQDKSEIGKVPNQIAVQKMNLASCPNVVETSVIQGSDSSCRKILGFPIFEKPHIPKNESSSFTSSSVALPRLSEEVENSKKNKVFDINLPCDPAVPDLAQQTAEEIVVVAKEPATKVANFRCQIDLNSCINDDETSLMPSVPVFSAKIVVGIDLEAPAVPEIEENIISTEEKGHEAALQSTEHRVEIPTDELIRIAAKAIVAISSTSCQNHLDDATCNLREASMTDPLHWFVEIVSSCGEDLESKFDAVSRAKDCDGNLETSWEVIDYFESMTLRLTETKEEDYMPKPLVPENLKLEDTGTTPVPTRTRRGQGRRGRQRRDFQRDILPGLASLSRHEVREDLQTFGGMMRATGHPWQSGLTRRNSTRNGCARGGRRSLVSPSPPVTASPPCTPLIQQLHNIEVGLEDRNLTGWGKTTRRPRRQRCPAGNPPSHPLT >Potri.009G027100.1.v4.1 pep chromosome:Pop_tri_v4:9:3852698:3855892:-1 gene:Potri.009G027100.v4.1 transcript:Potri.009G027100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027100.v4.1 MEDLGSMWDYEESFDELKQKLVYTTIELGSLKVEANEEMRKHKEDVNHLINLLKIAYQERDEAKGQLQKLVNKLMLSSTPELPPILPLAQPESPLVMPAKANSSITESNSLSDTYNHQSHGSSPVDSLLDAVTSPDFSSINMADSCHMGFVDKTLVQDYNGSIPTGLVAPAMAKIDPADDVIDKFVKGRVLPQKGKLLQAVMDTGPLLQTLLLAGPLPRWRNPPPLQPFNIPPVSISCETPNLTANSSCLAQQPLASPSYIEMSRGSSQMCSASMLGFAPGAGSGIGNGRLLNSGAIHQIPAGKRQRSQ >Potri.001G408100.1.v4.1 pep chromosome:Pop_tri_v4:1:43553824:43554358:-1 gene:Potri.001G408100.v4.1 transcript:Potri.001G408100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408100.v4.1 MRFTNKACIVFTLGAAFGLKDHMIIPKSPALLEKWSSAAGSSVMQVKPVSSSFDPRRESGKTCNEKYQAAEKSMRMIFYLSCWGPN >Potri.011G114200.2.v4.1 pep chromosome:Pop_tri_v4:11:14448380:14449961:-1 gene:Potri.011G114200.v4.1 transcript:Potri.011G114200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114200.v4.1 MGMYGPLGLLGVGNSILIIAQLCFASILMMCLDELLQIGYGLGSGISLFTATHMCENVIWKSFSPTTINTVYGPEFEGAIPALFHGLLKQRNKTLALRKARFRTNLPNVTNLLSTAFISLLAIYLQGFSVPLTVTSNNLNSRFRQRGTYPIKLFYTSNMPIILLSAFISNIYFLSQLLYTRFGGNIVLVNLLGSWSESQYPASHSIPVGGLAYYITAPSSLADMAASPMRALFYLVFMLFACAWFSRKWTEVSGSSAKDVAKQLKDQKMVMPGYREGQLEAVLNRHIPVAAAFGGMCLGALTVSADMMGAIGSGTGVLLAVSVIYQYFEMFDKERVSLFGSLGF >Potri.001G278300.1.v4.1 pep chromosome:Pop_tri_v4:1:29154105:29158116:1 gene:Potri.001G278300.v4.1 transcript:Potri.001G278300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278300.v4.1 MSLACFQSSCNLLASCFAVFFLVLLQTKIATSALIMSMRNHHNNYHHRRPMLHTNQSTCALFAGSWVRDESYPLYQSSNCPTIIDAEFNCQMYGRPDSEYLKYRWQPLNCELPRFNGLEFLLKMRGKSIMFVGDSLGRNQWESLICLVSSSVPRTSTQMSRGDPFSIFKFSEYDVTISFYKAPYLVDIDVVQGKRVLRLEEISGNANAWRNADVLMFNTGHWWSHKGSLQGWDYMESGGTYYQDMDRLVALERGLGTWSKWVDANIDTTRTRVFFQSISPTHYNPSEWSAGTTTATTKNCYGETAPVSGSTYPGEYPDQMRVVDTVIRGMQNPAYLLDITMLSELRKDGHPSIYSGNLSPQQRANPDRSADCSHWCLPGLPDTWNQLLYTALFF >Potri.008G100650.1.v4.1 pep chromosome:Pop_tri_v4:8:6321030:6322715:1 gene:Potri.008G100650.v4.1 transcript:Potri.008G100650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100650.v4.1 MENVVNILLKSGHTTSMLLNVKDFRPHACAVQKMHIQVWTREDVLNGGFSIRYLKKHLQRLLEQGCLSEVQFYFDTRGITMESTSSCLVIEAVQNTFHNLMWLLLECTCFFFPIIHISSVFFVHRIFCRRDSHLGWPPENWSRLETQSFCVNRKRYTSCHLRCFLLLFFLDWGSCIRVKSNTSDEYSSAK >Potri.008G100650.2.v4.1 pep chromosome:Pop_tri_v4:8:6320806:6322716:1 gene:Potri.008G100650.v4.1 transcript:Potri.008G100650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100650.v4.1 MENVVNILLKSGHTTSMLLNVKDFRPHACAVQKVWTREDVLNGGFSIRYLKKHLQRLLEQGCLSEVQFYFDTRGITMESTSSCLVIEAVQNTFHNLMWLLLECTCFFFPIIHISSVFFVHRIFCRRDSHLGWPPENWSRLETQSFCVNRKRYTSCHLRCFLLLFFLDWGSCIRVKSNTSDEYSSAK >Potri.007G111100.3.v4.1 pep chromosome:Pop_tri_v4:7:13294759:13297428:-1 gene:Potri.007G111100.v4.1 transcript:Potri.007G111100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111100.v4.1 MALESCLLLLILFLLFVETRTQPNQCGEIHLDSQLSPTSNLLSWLSPSGHFAFGFYPQGNGFAIGIWLIGQPDNTVVWTANRDDPPVSSNATIHFSEEGKLLLRTGQGRYEKLIADVSVSDSASMLDSGNFVLYSNCNIIWQSFDFPTDTILGGQSLNQSQELVSSVSSSNHSSGRFFLWMQRDGNLVAYPRNSAALPNDAYWISHTDNHVGLDLSLNHQGHLFMNIYKSEPQELLFANSSYSCENSTTIFRATLDADGIFRLYSHCSESKTSWSVHVEWSALNNQCDVYGFCDFNSYCSGTGTNYECSCYPGFVFNDPNEKFSGCYRNASESFCAGSKEGRKYHVTGIENLLFERDPYSAQELEEEKCRLSCLEDCHCDVALYMDAKCEKYTFPIRYGRENKTISSIAFFKEETNPGQKIIIDNKKSLIMFLAIIFCSIAILCFGIAISTFFVYRDRAFLYEKLSEIISLTGEFTLQSFSYDELEKATDGFREELGRGSIGAVYKGKINGGEKTVAIKRLEKVLDRGEKNFQAEITIIGQTYHRNLVRLLGFCFDHSRRLLVYEYLKNGTLADLLFTAERRPVWKERIRIALDIARGILYLHEECEACIIHGNITPQNILMDDSWIAKISDFGLSKLLYPDKVRSSMALLSHSRGHLAPEWQNNALISIKADIYSFGVVLLEITCCRSSIKADVSTEDEMILSRWAYQCFVAGQLDMLLKDEHVEYESLE >Potri.001G394200.2.v4.1 pep chromosome:Pop_tri_v4:1:41793889:41802348:-1 gene:Potri.001G394200.v4.1 transcript:Potri.001G394200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394200.v4.1 MAMKNSLCRCRVLAKIATSQPTTRRISPPLILLLQQSSCYSTNEKEHNPPTTTLKKTPPLYKKSPSSTDFPRPKEIPFQAKVANSINLIGYIDMPIQTQVSSPDEKFRAATVITQEPSYHSPALRIPIIFEGDLAHIAASHLKKGDFVYIDGQLSTDPPPFPEMQDQTQVQVLVNSINFIEGSFQVKKSILEQQLEVPLDDDASMKRKGESGSNSWTDLLENPNQWWDYRSSKRSGLVKPKHPDFKHKNNNQSVWLTGAPSWIFSGLEKVKFDVKTALPTQTKQQKEEECWKDLVENPHKWWDNRVDKKNPKSPDFKHKETGEGLWLDTSPAWVLPKLPPTKGAENVAGTEGYNALLSQGRT >Potri.015G050800.1.v4.1 pep chromosome:Pop_tri_v4:15:5568260:5599963:-1 gene:Potri.015G050800.v4.1 transcript:Potri.015G050800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050800.v4.1 MAKPRKPKNEDAKPENSGAVVRHQKLCLSIDIDKHQIYGYTELEIAVPDIGIVGLHAENLGIESVFVDGEATEYEYYPHQQQNVDGEKRWSSVTSPSSAADAAGAVYLSALERERVPNLLINCCKAFRVPNEVQEIVNLENGVPFSGEPKQNVKLVRINYWVEKAETGIHFDNEVVHTDNQIRRARCWFPCMDEGFQRCCYDLEFTVAHNLVAVSTGSLLYQVLSKDDPPHKTFVYRLDVPVTAQWISLVVAPFEILPDPHVALISHMCLPSNLSKLRNTIKIFHNAFNYYEEYLDAKFPFGSYTQVFLAPEMIVSSTNLGASMGVFSSQVLYDETVIDQAIDTSIKLAFALAKQWFGVYVTPEEPNDEWLLDGLAGFLTELFIKKFLGNNEARYRRYKANCAVCKVDDSGATALSFSASCKELHGTHKIGLYGKIRSWKSVAILQMLEKQMGPEFFRKILQKVISRARDTIPVRSLSTKEFRHFATKVGNLERPFVKEFFLRWVCSCGCPVLRMGFSYNKRKNMVELAVLREFTAAPDANASFLNLDSENREGDIGWPGMMSIRVYELDGMYDHPVLPLAGEMWQLLEIQCHSKLAARRFQKPKKSSKPDGFDENGDVPASDMRSSLESPLSWIRADPEMEYLAEIHFNQPIQMWINQLERDEDVVAQAQAIAALKTLPQLSFSVTNAMNNFLNDTKAFWRVRIETAFALANTASEENDWAGLLHLVKFYKSRRFDAAIGLPKPNDFHDFPEYFVLEAIPHAVAKVRAADKKSPREAVEFILQLLKYNDNTGNPYSDVFWLAALVQSVGELEFGQQTVLFLSSLLKRIDCLLQFDRLMLSYNGILTISCIRTLTQIALKLSGSIHHDHVFELIKPFRDFKTIWQIRIEASRALLDLEFHCKGMDAALSLFITYLEEEPSLRGQAKLGAHAMRLCQIQDESDSEDAIKCTTLLALIRLLEGHIGFNNTILRHHLFCILQILAGRAATLYGIPRDRTLCIGDSETCSDPRNIFAGLVTETKPLEPPMEIPKLAQDNFAFPEAIKEADIISNKDQHKMDMAIPEGPNDPDTISNNHRQKMDLAIQEASEEVAVPEASKETDIPVASKEEDNISNSHERRRPVVKIRVKHSAASSRAEETDIQNVERSQGGHHETDRGASSSVSVDAPQRISTEAVSISYQNLEEVNSCLDHGSRMSASIGSAKLASDGDNFGKELQCTAESSKVSMHPQPDDPSSPRVMQDNLVDTDAQRFASLQTLSVERVNPDGGSLGIMASSSRGKEKEKKKDKEKKRKREDHKGHRDDPEYLERKLLKKEKKRKEKEMTKLLSGGAKATSVELPGKNEKPTIKLATVPLKPNQPSESKAVATNIETKPEPSEGTSVPKFRIKIKNRTLNS >Potri.015G050800.4.v4.1 pep chromosome:Pop_tri_v4:15:5568065:5594450:-1 gene:Potri.015G050800.v4.1 transcript:Potri.015G050800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050800.v4.1 MCLPSNLSKLRNTIKIFHNAFNYYEEYLDAKFPFGSYTQVFLAPEMIVSSTNLGASMGVFSSQVLYDETVIDQAIDTSIKLAFALAKQWFGVYVTPEEPNDEWLLDGLAGFLTELFIKKFLGNNEARYRRYKANCAVCKVDDSGATALSFSASCKELHGTHKIGLYGKIRSWKSVAILQMLEKQMGPEFFRKILQKVISRARDTIPVRSLSTKEFRHFATKVGNLERPFVKEFFLRWVCSCGCPVLRMGFSYNKRKNMVELAVLREFTAAPDANASFLNLDSENREGDIGWPGMMSIRVYELDGMYDHPVLPLAGEMWQLLEIQCHSKLAARRFQKPKKSSKPDGFDENGDVPASDMRSSLESPLSWIRADPEMEYLAEIHFNQPIQMWINQLERDEDVVAQAQAIAALKTLPQLSFSVTNAMNNFLNDTKAFWRVRIETAFALANTASEENDWAGLLHLVKFYKSRRFDAAIGLPKPNDFHDFPEYFVLEAIPHAVAKVRAADKKSPREAVEFILQLLKYNDNTGNPYSDVFWLAALVQSVGELEFGQQTVLFLSSLLKRIDCLLQFDRLMLSYNGILTISCIRTLTQIALKLSGSIHHDHVFELIKPFRDFKTIWQIRIEASRALLDLEFHCKGMDAALSLFITYLEEEPSLRGQAKLGAHAMRLCQIQDESDSEDAIKCTTLLALIRLLEGHIGFNNTILRHHLFCILQILAGRAATLYGIPRDRTLCIGDSETCSDPRNIFAGLVTETKPLEPPMEIPKLAQDNFAFPEAIKEADIISNKDQHKMDMAIPEGPNDPDTISNNHRQKMDLAIQEASEEVAVPEASKETDIPVASKEEDNISNSHERRRPVVKIRVKHSAASSRAEETDIQNVERSQGGHHETDRGASSSVSVDAPQRISTEAVSISYQNLEEVNSCLDHGSRMSASIGSAKLASDGDNFGKELQCTAESSKVSMHPQPDDPSSPRVMQDNLVDTDAQRFASLQTLSVERVNPDGGSLGIMASSSRGKEKEKKKDKEKKRKREDHKGHRDDPEYLERKLLKKEKKRKEKEMTKLLSGGAKATSVELPGKNEKPTIKLATVPLKPNQPSESKAVATNIETKPEPSEGTSVPKFRIKIKNRTLNS >Potri.015G050800.3.v4.1 pep chromosome:Pop_tri_v4:15:5568206:5599902:-1 gene:Potri.015G050800.v4.1 transcript:Potri.015G050800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050800.v4.1 MAKPRKPKNEDAKPENSGAVVRHQKLCLSIDIDKHQIYGYTELEIAVPDIGIVGLHAENLGIESVFVDGEATEYEYYPHQQQNVDGEKRWSSVTSPSSAADAAGAVYLSALERERVPNLLINCCKAFRVPNEVQEIVNLENGVPFSGEPKQNVKLVRINYWVEKAETGIHFDNEVVHTDNQIRRARCWFPCMDEGFQRCCYDLEFTVAHNLVAVSTGSLLYQVLSKDDPPHKTFVYRLDVPVTAQWISLVVAPFEILPDPHVALISHMCLPSNLSKLRNTIKIFHNAFNYYEEYLDAKFPFGSYTQVFLAPEMIVSSTNLGASMGVFSSQVLYDETVIDQAIDTSIKLAFALAKQWFGVYVTPEEPNDEWLLDGLAGFLTELFIKKFLGNNEARYRRYKANCAVCKVDDSGATALSFSASCKELHGTHKIGLYGKIRSWKSVAILQMLEKQMGPEFFRKILQKVISRARDTIPVRSLSTKEFRHFATKVGNLERPFVKEFFLRWVCSCGCPVLRMGFSYNKRKNMVELAVLREFTAAPDANASFLNLDSENREGDIGWPGMMSIRVYELDGMYDHPVLPLAGEMWQLLEIQCHSKLAARRFQKPKKSSKPDGFDENGDVPASDMRSSLESPLSWIRADPEMEYLAEIHFNQPIQMWINQLERDEDVVAQAQAIAALKTLPQLSFSVTNAMNNFLNDTKAFWRVRIETAFALANTASEENDWAGLLHLVKFYKSRRFDAAIGLPKPNDFHDFPEYFVLEAIPHAVAKVRAADKKSPREAVEFILQLLKYNDNTGNPYSDVFWLAALVQSVGELEFGQQTVLFLSSLLKRIDCLLQFDRLMLSYNGILTISCIRTLTQIALKLSGSIHHDHVFELIKPFRDFKTIWQIRIEASRALLDLEFHCKGQAKLGAHAMRLCQIQDESDSEDAIKCTTLLALIRLLEGHIGFNNTILRHHLFCILQILAGRAATLYGIPRDRTLCIGDSETCSDPRNIFAGLVTETKPLEPPMEIPKLAQDNFAFPEAIKEADIISNKDQHKMDMAIPEGPNDPDTISNNHRQKMDLAIQEASEEVAVPEASKETDIPVASKEEDNISNSHERRRPVVKIRVKHSAASSRAEETDIQNVERSQGGHHETDRGASSSVSVDAPQRISTEAVSISYQNLEEVNSCLDHGSRMSASIGSAKLASDGDNFGKELQCTAESSKVSMHPQPDDPSSPRVMQDNLVDTDAQRFASLQTLSVERVNPDGGSLGIMASSSRGKEKEKKKDKEKKRKREDHKGHRDDPEYLERKLLKKEKKRKEKEMTKLLSGGAKATSVELPGKNEKPTIKLATVPLKPNQPSESKAVATNIETKPEPSEGTSVPKFRIKIKNRTLNS >Potri.015G050800.2.v4.1 pep chromosome:Pop_tri_v4:15:5568112:5599521:-1 gene:Potri.015G050800.v4.1 transcript:Potri.015G050800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050800.v4.1 MDEGFQRCCYDLEFTVAHNLVAVSTGSLLYQVLSKDDPPHKTFVYRLDVPVTAQWISLVVAPFEILPDPHVALISHMCLPSNLSKLRNTIKIFHNAFNYYEEYLDAKFPFGSYTQVFLAPEMIVSSTNLGASMGVFSSQVLYDETVIDQAIDTSIKLAFALAKQWFGVYVTPEEPNDEWLLDGLAGFLTELFIKKFLGNNEARYRRYKANCAVCKVDDSGATALSFSASCKELHGTHKIGLYGKIRSWKSVAILQMLEKQMGPEFFRKILQKVISRARDTIPVRSLSTKEFRHFATKVGNLERPFVKEFFLRWVCSCGCPVLRMGFSYNKRKNMVELAVLREFTAAPDANASFLNLDSENREGDIGWPGMMSIRVYELDGMYDHPVLPLAGEMWQLLEIQCHSKLAARRFQKPKKSSKPDGFDENGDVPASDMRSSLESPLSWIRADPEMEYLAEIHFNQPIQMWINQLERDEDVVAQAQAIAALKTLPQLSFSVTNAMNNFLNDTKAFWRVRIETAFALANTASEENDWAGLLHLVKFYKSRRFDAAIGLPKPNDFHDFPEYFVLEAIPHAVAKVRAADKKSPREAVEFILQLLKYNDNTGNPYSDVFWLAALVQSVGELEFGQQTVLFLSSLLKRIDCLLQFDRLMLSYNGILTISCIRTLTQIALKLSGSIHHDHVFELIKPFRDFKTIWQIRIEASRALLDLEFHCKGMDAALSLFITYLEEEPSLRGQAKLGAHAMRLCQIQDESDSEDAIKCTTLLALIRLLEGHIGFNNTILRHHLFCILQILAGRAATLYGIPRDRTLCIGDSETCSDPRNIFAGLVTETKPLEPPMEIPKLAQDNFAFPEAIKEADIISNKDQHKMDMAIPEGPNDPDTISNNHRQKMDLAIQEASEEVAVPEASKETDIPVASKEEDNISNSHERRRPVVKIRVKHSAASSRAEETDIQNVERSQGGHHETDRGASSSVSVDAPQRISTEAVSISYQNLEEVNSCLDHGSRMSASIGSAKLASDGDNFGKELQCTAESSKVSMHPQPDDPSSPRVMQDNLVDTDAQRFASLQTLSVERVNPDGGSLGIMASSSRGKEKEKKKDKEKKRKREDHKGHRDDPEYLERKLLKKEKKRKEKEMTKLLSGGAKATSVELPGKNEKPTIKLATVPLKPNQPSESKAVATNIETKPEPSEGTSVPKFRIKIKNRTLNS >Potri.002G065500.1.v4.1 pep chromosome:Pop_tri_v4:2:4505883:4510786:1 gene:Potri.002G065500.v4.1 transcript:Potri.002G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G065500.v4.1 MAKRVFEVWRGSNKFILGGRLIFGPDARSLIVTLLLIIVPIIIFCVFVARHLRHEFSPDNVGYVILVMAIIFTIYVLVLLSLTSARDPGIIPRNSHPPEEEFRYDSSVSVEVGGRQTPSLQFPRTKEVMVNGIPVRVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRYFFMFVSSSTLLCIYVFSMSALYIKVLMDDYQGTVWKAMKESPASVILMVYSFISLWFVGGLTGFHLYLIGTNQTTYENFRYRADNRINVYDLGCFDNFLEVFCTKVKPSKNNFRAFVQEEVQQKPTLPSTQETDVEDSGGDPRSKVEDDLDIGEDLLKISQRRNIEELDEDICSRGSNGPPHNTSEMDSVLSSDHRAPTIRSDTRHSSWGRRSGSWEIAPEVLANSTVTESRTYSTSKEPHQ >Potri.002G081000.1.v4.1 pep chromosome:Pop_tri_v4:2:5723766:5725777:1 gene:Potri.002G081000.v4.1 transcript:Potri.002G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081000.v4.1 MTAATLELPPGFRFHPTDEELVLHYLCRKCSSQPIAVPIIAEIDLYKFDPWDLPGIALYGEKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIGQPKTVGIKKALVFYAGKAPKGEKTNWIMHEYRLADVDRSARKKNSLRLDDWVLCRIYNKKGTVEKQEQHLSVKKANPTEIEEDEKKQVVLLPPPQAPSSATGTVNDYMYFDTSDSVPRMHTDSSCSEHVVSPEFTCEVQSEPRWKEWGNVNALDNPYNYLDATMDIPFASQLQGDNQMSPLQDIFMHLQKPF >Potri.004G057300.1.v4.1 pep chromosome:Pop_tri_v4:4:4773360:4780852:1 gene:Potri.004G057300.v4.1 transcript:Potri.004G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057300.v4.1 MSGDQRPKDSAEGSSRSGGDHHQLQSAPLSRYESQKRRDWNTFGQYLKNQRPPVSLSQCNCNHVLDFLRYLDQFGKTKVHLHGCVFFGQPDPPAPCTCPLRQAWGSLDALIGRLRAAFEEHGGSAETNPFGNGAIRVYLREVKECQAKARGIPYKKKKKKKTQIRPRDEAKPSMQTA >Potri.010G234800.1.v4.1 pep chromosome:Pop_tri_v4:10:21574255:21577251:1 gene:Potri.010G234800.v4.1 transcript:Potri.010G234800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234800.v4.1 MGAKAKKSLKKKMSKISSSTSTQLVNGKQAAADFLPLEGGPARKLPELKELKKKSGVLYIGRIPHGFYEEEMKAYFSQFGTIKRLRIARNKKTGKSKHFGFMEFEDPEVAEVVAECMHNYLLFEHLLQVYVIPQEHVHPKLWKGFNYRFNPVDRLQTERKRQNKERTLDEHRRLVGRIMKRDQKRRKKIEAAGLDYECPEFVGDVKCVPKKIKFSQD >Potri.004G104900.1.v4.1 pep chromosome:Pop_tri_v4:4:9214390:9225120:-1 gene:Potri.004G104900.v4.1 transcript:Potri.004G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104900.v4.1 MGQETEDSQKPRRIAAAAYDYDNDPRWADYWSNILIPPHLSSRSDVLDHYKRKFYQRYIDPDLVVEVMSTNGSSQSTNPSASSSSSSPPSYGEPQTHNTGSTARASGPSATAGQNPTSVHWYRQTIQFSVNAWVFVVAVLAIIPLAPKNLSSRAYRLSLIGTACSSLYSLYTLYGRPRAWNLQGIQVYLQSIIATKDFIYFIYCLTFVTSHLCLKVALIPILCRSLEHVAKFLRRNFSRSTLYRKYLEDPCVWVESNTTTLSILSSHTEIGLGFLLIVSLFSWQRNIIQTFMYWQLLKIMYHAPVTAGYHQSVWAKIGRTINPLIHRYCPFLNTPLSAVQRWWLR >Potri.T126306.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:165142:168882:1 gene:Potri.T126306.v4.1 transcript:Potri.T126306.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126306.v4.1 MPLKRLKTTMISGSGLLDDAEEKQITNRAVRDWLVEYKDAVYEADDFLDEIAYEALRQELEAEAQTFIKPLEIMGLREIEEKSRGLQESLDYLVKQKDALGLINRTGKEPSSPKRRTTSLVDERGVYGRGDDREAILKLLLSDDANGQNLGVVPIVGMGGVGKTTLAQLVYNHSRVQERFGLKAWVCVSEDFSVSKLTKVILEGFGSYPAFDNLDKLQLQLKERLQGNKFLLVLDDVWNEDYDEWDRFLTPLKYGAKGSMILVTTRNESVASVTRTVPTHHLKELTEDNCLLVFTKHAFRGKNPNDYEELLQIGREIAKKCKGLPLAAKTLGGLLRTKRDVEEWEKILESNLWDLPKGNILPALRLSYHYLPPHLKQCFAYCAIFPKDYLFEKDELVLLWMAEGFLVRSVDDEMERAGAECFDDLLSRSFSQQSSSLFVMHDLMHDLATHVSGQFCFSSRLGENNSSEGTRRTRHLSLVVDTGGGFSSTKLENIREAQHLRTFQTLTFVNGGPSPDFYIEIFHILSKLGRLRVLSLSNFAGADKLLWSTSKLKHLRYLDLFGSNLVTLPEEVSALLNLQTLILQECSELASLPYLGNLKHLRHLNLEGTGIERLPASLERLTNLRYLNISDTPLKEMPPHIGQLAKLRTLTHFLVGRQSETSIKELGKLRHLRGELHIGNLQNVVDARDAAEANLKGIKHLDKLRFTWDGDTHDPQHVTSTLEKLEPDGNVKYLEIDGYGGLRFPEWVGKSSFSRIVSLELSRCTNCTSLPPLGQLASLVRLSIEGFDKVETVDSKFYGNCTAMKKPFKSLKTLSFRRMPEWREWISDEGSQEAFPLLEFLSIKECPNLTKALPGHNLPRVTELRIEGCRQLATPLPRFPRLHSLKVFGSTSLESLPEEIDQMGCSPSDLGIEISFCASLKCVALDLLPKLKSLRISHCPDLESLCAHERPLIDLNSLHSLIICGCLKLVSFPKGGLPAPVLTQLYLYDCKNLKQLPESMPSLLPSLNRLVIHGCSEVELCPEGGFPSTLQSLDIWNCNKLIAGRMQWGLQTLPSLSHFTIGEDENVESFPEEMLLPSSLTSLKIYNLKHLKSLDYKGLQHLTSLRELTISNCPLIESMPEEGLPSSLSSLVINGCPMLGESCEREKGKDWP >Potri.T126306.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:164410:168866:1 gene:Potri.T126306.v4.1 transcript:Potri.T126306.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126306.v4.1 MFMASSSSSSSRPGWVYDVFLSFRGEDTRKNFTDHLFTALQKAGIRTFRDDDELRIGEEISFQLPKAIQESKISIVVFSKGYASSTWCLDELEKILDCRQPTGQIVLPVFYDIDPSDIRKQTGSFAEAFDRHEERFKEEMEKVQKWRKALVEAANISGLDLRSFANGHESKLIQKIVEEVSSKLNPRFLFDDMPLKRLKTTMISGSGLLDDAEEKQITNRAVRDWLVEYKDAVYEADDFLDEIAYEALRQELEAEAQTFIKPLEIMGLREIEEKSRGLQESLDYLVKQKDALGLINRTGKEPSSPKRRTTSLVDERGVYGRGDDREAILKLLLSDDANGQNLGVVPIVGMGGVGKTTLAQLVYNHSRVQERFGLKAWVCVSEDFSVSKLTKVILEGFGSYPAFDNLDKLQLQLKERLQGNKFLLVLDDVWNEDYDEWDRFLTPLKYGAKGSMILVTTRNESVASVTRTVPTHHLKELTEDNCLLVFTKHAFRGKNPNDYEELLQIGREIAKKCKGLPLAAKTLGGLLRTKRDVEEWEKILESNLWDLPKGNILPALRLSYHYLPPHLKQCFAYCAIFPKDYLFEKDELVLLWMAEGFLVRSVDDEMERAGAECFDDLLSRSFSQQSSSLFVMHDLMHDLATHVSGQFCFSSRLGENNSSEGTRRTRHLSLVVDTGGGFSSTKLENIREAQHLRTFQTLTFVNGGPSPDFYIEIFHILSKLGRLRVLSLSNFAGADKLLWSTSKLKHLRYLDLFGSNLVTLPEEVSALLNLQTLILQECSELASLPYLGNLKHLRHLNLEGTGIERLPASLERLTNLRYLNISDTPLKEMPPHIGQLAKLRTLTHFLVGRQSETSIKELGKLRHLRGELHIGNLQNVVDARDAAEANLKGIKHLDKLRFTWDGDTHDPQHVTSTLEKLEPDGNVKYLEIDGYGGLRFPEWVGKSSFSRIVSLELSRCTNCTSLPPLGQLASLVRLSIEGFDKVETVDSKFYGNCTAMKKPFKSLKTLSFRRMPEWREWISDEGSQEAFPLLEFLSIKECPNLTKALPGHNLPRVTELRIEGCRQLATPLPRFPRLHSLKVFGSTSLESLPEEIDQMGCSPSDLGIEISFCASLKCVALDLLPKLKSLRISHCPDLESLCAHERPLIDLNSLHSLIICGCLKLVSFPKGGLPAPVLTQLYLYDCKNLKQLPESMPSLLPSLNRLVIHGCSEVELCPEGGFPSTLQSLDIWNCNKLIAGRMQWGLQTLPSLSHFTIGEDENVESFPEEMLLPSSLTSLKIYNLKHLKSLDYKGLQHLTSLRELTISNCPLIESMPEEGLPSSLSSLVINGCPMLGESCEREKGKDWP >Potri.T126306.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:164412:168813:1 gene:Potri.T126306.v4.1 transcript:Potri.T126306.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126306.v4.1 MHRHESKLIQKIVEEVSSKLNPRFLFDDMPLKRLKTTMISGSGLLDDAEEKQITNRAVRDWLVEYKDAVYEADDFLDEIAYEALRQELEAEAQTFIKPLEIMGLREIEEKSRGLQESLDYLVKQKDALGLINRTGKEPSSPKRRTTSLVDERGVYGRGDDREAILKLLLSDDANGQNLGVVPIVGMGGVGKTTLAQLVYNHSRVQERFGLKAWVCVSEDFSVSKLTKVILEGFGSYPAFDNLDKLQLQLKERLQGNKFLLVLDDVWNEDYDEWDRFLTPLKYGAKGSMILVTTRNESVASVTRTVPTHHLKELTEDNCLLVFTKHAFRGKNPNDYEELLQIGREIAKKCKGLPLAAKTLGGLLRTKRDVEEWEKILESNLWDLPKGNILPALRLSYHYLPPHLKQCFAYCAIFPKDYLFEKDELVLLWMAEGFLVRSVDDEMERAGAECFDDLLSRSFSQQSSSLFVMHDLMHDLATHVSGQFCFSSRLGENNSSEGTRRTRHLSLVVDTGGGFSSTKLENIREAQHLRTFQTLTFVNGGPSPDFYIEIFHILSKLGRLRVLSLSNFAGADKLLWSTSKLKHLRYLDLFGSNLVTLPEEVSALLNLQTLILQECSELASLPYLGNLKHLRHLNLEGTGIERLPASLERLTNLRYLNISDTPLKEMPPHIGQLAKLRTLTHFLVGRQSETSIKELGKLRHLRGELHIGNLQNVVDARDAAEANLKGIKHLDKLRFTWDGDTHDPQHVTSTLEKLEPDGNVKYLEIDGYGGLRFPEWVGKSSFSRIVSLELSRCTNCTSLPPLGQLASLVRLSIEGFDKVETVDSKFYGNCTAMKKPFKSLKTLSFRRMPEWREWISDEGSQEAFPLLEFLSIKECPNLTKALPGHNLPRVTELRIEGCRQLATPLPRFPRLHSLKVFGSTSLESLPEEIDQMGCSPSDLGIEISFCASLKCVALDLLPKLKSLRISHCPDLESLCAHERPLIDLNSLHSLIICGCLKLVSFPKGGLPAPVLTQLYLYDCKNLKQLPESMPSLLPSLNRLVIHGCSEVELCPEGGFPSTLQSLDIWNCNKLIAGRMQWGLQTLPSLSHFTIGEDENVESFPEEMLLPSSLTSLKIYNLKHLKSLDYKGLQHLTSLRELTISNCPLIESMPEEGLPSSLSSLVINGCPMLGESCEREKGKDWP >Potri.006G040900.5.v4.1 pep chromosome:Pop_tri_v4:6:2743945:2753159:-1 gene:Potri.006G040900.v4.1 transcript:Potri.006G040900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040900.v4.1 MAMSSKLFLTATITALTSSLIAINHEFLYHRPSVVEKISSGREWEALQLEGAIGPESFAFHRLAGGPYAGISDGRVVRWEEHERRWINFSFASQERDGCGGPRDHQQTEHICGRPLGLCFDETHGDLYIADAYMGLLRVGTQGGLATKIVTHAQGIPLRFTNGLDIDQSSGAIYFTDSSSQYQRRQYLSVVLSGDKSGRLMKYDPVNKQVRVLLSNLTFPNGVALSKDGNFILLAETTRCRILRYWIKTSKAGTVEVFAQLQGFPDNIKRSPRGGYWVGMNSRREKLSELLFSYPWIGNVLLKLPLDIAMLQSTLSKYRGSGLAVRLSENGDILEVFEDNDGDGLKSISEVMEKDGRLWIGSIALPFAGRYRI >Potri.006G040900.1.v4.1 pep chromosome:Pop_tri_v4:6:2743671:2747311:-1 gene:Potri.006G040900.v4.1 transcript:Potri.006G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040900.v4.1 MHINSSLFNNNKNSSFPITMASKLLFTAIALLLSTLAIVIFSSETSNTEPLSSARARQLKKVPIVGAFGPESFAFDSLGKGPYASLSDGRIVKWQGNRKGWTDFAVASPNRDGCGGPRDHQQTEHICGRPLGLCFDETHGDLYIADAYMGLLRVGTQGGLATKIVTHAQGIPLRFTNGLDIDQSSGAIYFTDSSSQYQRRQYLSVVLSGDKSGRLMKYDPVNKQVRVLLSNLTFPNGVALSKDGNFILLAETTRCRILRYWIKTSKAGTVEVFAQLQGFPDNIKRSPRGGYWVGMNSRREKLSELLFSYPWIGNVLLKLPLDIAMLQSTLSKYRGSGLAVRLSENGDILEVFEDNDGDGLKSISEVMEKDGRLWIGSIALPFAGRYRI >Potri.014G059600.1.v4.1 pep chromosome:Pop_tri_v4:14:3826349:3826528:-1 gene:Potri.014G059600.v4.1 transcript:Potri.014G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059600.v4.1 MGFIRSSFSFMFGTLSGIYIAQNYNVPNIKKLAEFSLSMAKQMEETHRKPSNKKDRDDV >Potri.003G122400.1.v4.1 pep chromosome:Pop_tri_v4:3:14316109:14319516:1 gene:Potri.003G122400.v4.1 transcript:Potri.003G122400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122400.v4.1 MLAGCSSSTLLSPRHRLRSESPAQFQACHYQLPSMSTQRLDLPCTFSRKESSRSQPMRPVGVGLAVDKSLESKTSSCSLMQNIRLPPLATSNQSVKDEFWEKGKSLKRFAEQSVDESSFNRAKRKKGNNDNGKSDDICEGDSLSLGQLGSGNSWFQPSLEVPRSLNPQQFPFSLTFSGDEERVCFVPSEVISPPLPLSNNPWVDSVITEIADLGEKYGESSQRPVKEASGSSTSSESQSLGLRLSENVVEHEVGKGSSNPPHPQEGAVEAAEDDQREHQGFELVSFLTACVEEIGLKNIASINHFIAKLGELASPKGIPISRLAAYYTEALALRVTRIWPHIFHITAPRELDRVDDDSGTALRLLNQVSPIPKFIHFTANEMLLRAFEGKDRVHIIDFDIRQGLQWPSLFQSLASRTNPPSHVRITGIGESKQELNETGDRLAGFAEALNLPFEFHPVVDRLEDVRLWMLHVKERECVAINCIFQMHKTLYDGSGGALRDFLGLIRSTNPTIVLLAEQEAEHNAPNLETRVCNSLKYYSAIFDSIDSSLPFNSPVRIKLEEMYAREIRNVVACEGSDRHERHESFDKWKKLMEQGGLRCVGIDEREMLQAQMLLKMYSCDSYKVKKQGHEEAALTLSWLDQPLYTVSAWTPLDIAGSSTSFSQPP >Potri.016G136100.1.v4.1 pep chromosome:Pop_tri_v4:16:14010651:14014028:-1 gene:Potri.016G136100.v4.1 transcript:Potri.016G136100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136100.v4.1 METTMTTAVPKLTTLHPRISSNSFSLRFSLTFPAKKTALVKHTCSPSHIPLRVSASSQSQAAAEATKVSSIPSEMKACVYGEYGGVEVLKFDDKVSVPEVKEDQVLIKVVAAALNPVDAKRRQGKFKATDSPLPTVPGYDVAGVVVKVGNQVKELKEGDAVYGNINEKALEGPKQFGSLAEYTAVEEKLLALKPKNLDFIQAAGLPLAIETAYEGLERTGFSAGKSILVLNGAGGVGSLVIQLAKHVFGASRIAATSSTGKLELLKSLGADLAIDYTKENFEDLPEKFDVVYDAIGQCDKAVKVVKEGGSVVALTGAVTPPGFRFVVTSNGNTLKTLNPYLESGKIKPVVDPKGPFTFSQVAEAFSYIETNRATGKVVIHPIP >Potri.001G095200.2.v4.1 pep chromosome:Pop_tri_v4:1:7537616:7542229:-1 gene:Potri.001G095200.v4.1 transcript:Potri.001G095200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095200.v4.1 MEATHILCLILLVEFVFFQVNSDPVEDKQALLDFVNNLPHSRSLNWNESSPVCNNWTGVICSGDGTRVIAVRLPGVGFHGPIPPNTLSRLSALQILSLRSNGISGEFPFDISNLKNLSFLYLQYNNLSGSLPVDFSLWPNLTIVNLSNNRFNGSIPYSFSNLSHLAALNLANNSLSGEVPDFNLSNLHQINLSNNNLSGSVPRSLRRFPNSVFSGNNIPFETFPPHASPVVTPSDTPYPRSRNKRGLGEKTLLGIIVASCVLGLLAFVFFIAVCCSRKKGEAQFPGKLLKGGMSPEKMVSRSQDANNRLTFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRQENVVELKAYYYSKDEKLMVYDYYNQGSISSMLHGKRGGERVPLDWDTRMRIAIGAARGIACIHAENGGKFVHGNIKSSNIFLNSQQYGCVSDLGLATITSPLAPPIARAAGYRAPEVADTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMSCVARMPDKRPKMTDVVRMIENVRQMDTENHQSPQNRSESSTPPPLVIERES >Potri.001G095200.3.v4.1 pep chromosome:Pop_tri_v4:1:7537616:7542229:-1 gene:Potri.001G095200.v4.1 transcript:Potri.001G095200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095200.v4.1 MEATHILCLILLVEFVFFQVNSDPVEDKQALLDFVNNLPHSRSLNWNESSPVCNNWTGVICSGDGTRVIAVRLPGVGFHGPIPPNTLSRLSALQILSLRSNGISGEFPFDISNLKNLSFLYLQYNNLSGSLPVDFSLWPNLTIVNLSNNRFNGSIPYSFSNLSHLAALNLANNSLSGEVPDFNLSNLHQINLSNNNLSGSVPRSLRRFPNSVFSGNNIPFETFPPHASPVVTPSDTPYPRSRNKRGLGEKTLLGIIVASCVLGLLAFVFFIAVCCSRKKGEAQFPGKLLKGGMSPEKMVSRSQDANNRLTFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRQENVVELKAYYYSKDEKLMVYDYYNQGSISSMLHGKRGGERVPLDWDTRMRIAIGAARGIACIHAENGGKFVHGNIKSSNIFLNSQQYGCVSDLGLATITSPLAPPIARAAGYRAPEVADTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMSCVARMPDKRPKMTDVVRMIENVRQMDTENHQSPQNRSESSTPPPLVIERES >Potri.001G095200.1.v4.1 pep chromosome:Pop_tri_v4:1:7537949:7542229:-1 gene:Potri.001G095200.v4.1 transcript:Potri.001G095200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095200.v4.1 MEATHILCLILLVEFVFFQVNSDPVEDKQALLDFVNNLPHSRSLNWNESSPVCNNWTGVICSGDGTRVIAVRLPGVGFHGPIPPNTLSRLSALQILSLRSNGISGEFPFDISNLKNLSFLYLQYNNLSGSLPVDFSLWPNLTIVNLSNNRFNGSIPYSFSNLSHLAALNLANNSLSGEVPDFNLSNLHQINLSNNNLSGSVPRSLRRFPNSVFSGNNIPFETFPPHASPVVTPSDTPYPRSRNKRGLGEKTLLGIIVASCVLGLLAFVFFIAVCCSRKKGEAQFPGKLLKGGMSPEKMVSRSQDANNRLTFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRQENVVELKAYYYSKDEKLMVYDYYNQGSISSMLHGKRGGERVPLDWDTRMRIAIGAARGIACIHAENGGKFVHGNIKSSNIFLNSQQYGCVSDLGLATITSPLAPPIARAAGYRAPEVADTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMSCVARMPDKRPKMTDVVRMIENVRQMDTENHQSPQNRSESSTPPPLVIERES >Potri.001G095200.4.v4.1 pep chromosome:Pop_tri_v4:1:7537951:7541975:-1 gene:Potri.001G095200.v4.1 transcript:Potri.001G095200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095200.v4.1 MEATHILCLILLVEFVFFQVNSDPVEDKQALLDFVNNLPHSRSLNWNESSPVCNNWTGVICSGDGTRVIAVRLPGVGFHGPIPPNTLSRLSALQILSLRSNGISGEFPFDISNLKNLSFLYLQYNNLSGSLPVDFSLWPNLTIVNLSNNRFNGSIPYSFSNLSHLAALNLANNSLSGEVPDFNLSNLHQINLSNNNLSGSVPRSLRRFPNSVFSGNNIPFETFPPHASPVVTPSDTPYPRSRNKRGLGEKTLLGIIVASCVLGLLAFVFFIAVCCSRKKGEAQFPGKLLKGGMSPEKMVSRSQDANNRLTFFEGCNYAFDLEDLLRASAEVLGKGTFGMAYKAILEDATTVVVKRLKEVSVGKRDFEQQMEVVGSIRQENVVELKAYYYSKDEKLMVYDYYNQGSISSMLHGKRGGERVPLDWDTRMRIAIGAARGIACIHAENGGKFVHGNIKSSNIFLNSQQYGCVSDLGLATITSPLAPPIARAAGYRAPEVADTRKAAQPSDVYSFGVVLLELLTGKSPIHTTGGDEIIHLVRWVHSVVREEWTAEVFDVELMRYPNIEEEMVEMLQIAMSCVARMPDKRPKMTDVVRMIENVRQMDTENHQSPQNRSESSTPPPLVIERES >Potri.007G133733.1.v4.1 pep chromosome:Pop_tri_v4:7:14653108:14655806:-1 gene:Potri.007G133733.v4.1 transcript:Potri.007G133733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133733.v4.1 MSRTQLTTNDNEELVSEHNRNDPLSDCKGVVYSYLSRKLSADAANAAFRTVSACKVNKVCPLNFTRPLEVIKACRNVAAPSPSCCSSLNTYMAGIQNQMLITNKQAIICATVLGSKLRQGGVMTNVYELCDIDLKDFSIQAYRQQGCLLRSLPPDVILDNSTGFSFTCDLNDNIAAPWPSSSSISTLSLCAPEMSLPALPTSQIKNPGNRGGELELLVPIFSFFIFSALLY >Potri.007G133733.2.v4.1 pep chromosome:Pop_tri_v4:7:14653207:14655730:-1 gene:Potri.007G133733.v4.1 transcript:Potri.007G133733.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133733.v4.1 MSRTQLTTNDNEELVSEHNRNDPLSDCKGVVYSYLSRKLSADAANAAFRTVSACKVNKVCPLNFTRPLEVIKACRNVAAPSPSCCSSLNTYMAGIQNQMLITNKQAIICATVLGSKLRQGCLLRSLPPDVILDNSTGFSFTCDLNDNIAAPWPSSSSISTLSLCAPEMSLPALPTSQIKNPGNRGGELELLVPIFSFFIFSALLY >Potri.004G229732.2.v4.1 pep chromosome:Pop_tri_v4:4:23322333:23335598:-1 gene:Potri.004G229732.v4.1 transcript:Potri.004G229732.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229732.v4.1 MEIDSEDKTLEEELDDEDNNNNDNGDQLQNPKLRSDSDSDSDSEDESQQNQELKTLETELSSNPANYDSHAQYIKLLRKMGEIDKLKQAREAMNTVFPLSPDMWRDWAKDEASISGPEGFAGVEKIYDRGVFDYLSVSLWCDYLNFIQVHDPSVRECSPDGISKARNLFERALTAAGLHVAEGNKIWELYREFEQAVLHTIDENDIKAKEVQVQRIRNIFHRQLSVPLVNLRSTLLAYKAWEVEQGIVLDAQSSEVDGISSHLASAYQKAMEAYNARAQHEEQISMQNISDTEKIQNFMNYLKFEKSVGDPARVQVLYERAMADFPISIDLWLDYTRYLDRTLKVGNVLRDVYSRATKNCPWIGELWVQYMLSLERGRAPEKEISSVFEKSLQCTFSTIEEYLDLFLTRVHGLRRRIECGGEVNGVLDYSLIRETFQHASDYLSPHLKNTDGLLRLYAYWARLEMNLGKDLVAARRVWESLLKISGSTLEAWQGFIAMETESGHISEARSIYKRCFSKRFPGTGSEDICHSWLRFEEEFGTLEAFDHAIQKVTPRLEELKLYRIQQETKASTDQSEVSGKKIAREKRKGGSTATDKESPAKRQKQTAQTQKKGYEDKDQLQKYEVNEAQEAKIDLEKTDSAPDEKQMKGSDVVRTKGYTDQCTLFISNIHFKANSEDIRKFFSDVGGVASIRILHDRNTGKSRGLAYVDFVDDEHLAAAITKNKQLLFGKRLSIARSDPKQNRRDGRRVPREQAFASDRRRHNWESASKEYVDTHNASGSQEAPQTATLKSDDNIQFKGKNIFAVPRNVRTLGLSANKSKTVEEGDEKPKSNDEFRKMFIKE >Potri.004G229732.3.v4.1 pep chromosome:Pop_tri_v4:4:23322560:23335570:-1 gene:Potri.004G229732.v4.1 transcript:Potri.004G229732.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229732.v4.1 MEIDSEDKTLEEELDDEDNNNNDNGDQLQNPKLRSDSDSDSDSEDESQQNQELKTLETELSSNPANYDSHAQYIKLLRKMGEIDKLKQAREAMNTVFPLSPDMWRDWAKDEASISGPEGFAGVEKIYDRGVFDYLSVSLWCDYLNFIQVHDPSVRECSPDGISKARNLFERALTAAGLHVAEGNKIWELYREFEQAVLHTIDENDIKAKEVQVQRIRNIFHRQLSVPLVNLRSTLLAYKAWEVEQGIVLDAQSSEVDGISSHLASAYQKAMEAYNARAQHEEQISMQNISDTEKIQNFMNYLKFEKSVGDPARVQVLYERAMADFPISIDLWLDYTRYLDRTLKVGNVLRDVYSRATKNCPWIGELWVQYMLSLERGRAPEKEISSVFEKSLQCTFSTIEEYLDLFLTRVHGLRRRIECGGEVNGVLDYSLIRETFQHASDYLSPHLKNTDGLLRLYAYWARLEMNLGKDLVAARRVWESLLKISGSTLEAWQGFIAMETESGHISEARSIYKRCFSKRFPGTGSEDICHSWLRFEEEFGTLEAFDHAIQKVTPRLEELKLYRIQQETKASTDQSEVSGKKIAREKRKGGSTATDKESPAKRQKQTAQTQKKGYEDKDQLQKYEVNEAQEAKIDLEKTDSAPDEKQMKGSDVVRTKGYTDQCTLFISNIHFKANSEDIRKFFSDVGGVASIRILHDRNTGKSRGLAYVDFVDDEHLAAAITKNKQLLFGKRLSIARSDPKQNRRDGRRVPREQAFASDRRRHNWESASKEYVDTHNASGSQEAPQTATLKSDDNIQFKGKNIFAVPRNVRTLGLSANKSKTVEEGDEKPKSNDEFRKMFIKE >Potri.004G229732.4.v4.1 pep chromosome:Pop_tri_v4:4:23323217:23335568:-1 gene:Potri.004G229732.v4.1 transcript:Potri.004G229732.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229732.v4.1 MEIDSEDKTLEEELDDEDNNNNDNGDQLQNPKLRSDSDSDSDSEDESQQNQELKTLETELSSNPANYDSHAQYIKLLRKMGEIDKLKQAREAMNTVFPLSPDMWRDWAKDEASISGPEGFAGVEKIYDRGVFDYLSVSLWCDYLNFIQVHDPSVRECSPDGISKARNLFERALTAAGLHVAEGNKIWELYREFEQAVLHTIDENDIKAKEVQVQRIRNIFHRQLSVPLVNLRSTLLAYKAWEVEQGIVLDAQSSEVDGISSHLASAYQKAMEAYNARAQHEEQISMQNISDTEKIQNFMSVGDPARVQVLYERAMADFPISIDLWLDYTRYLDRTLKVGNVLRDVYSRATKNCPWIGELWVQYMLSLERGRAPEKEISSVFEKSLQCTFSTIEEYLDLFLTRVHGLRRRIECGGEVNGVLDYSLIRETFQHASDYLSPHLKNTDGLLRLYAYWARLEMNLGKDLVAARRVWESLLKISGSTLEAWQGFIAMETESGHISEARSIYKRCFSKRFPGTGSEDICHSWLRFEEEFGTLEAFDHAIQKVTPRLEELKLYRIQQETKASTDQSEVSGKKIAREKRKGGSTATDKESPAKRQKQTAQTQKKGYEDKDQLQKYEVNEAQEAKIDLEKTDSAPDEKQMKGSDVVRTKGYTDQCTLFISNIHFKANSEDIRKFFSDVGGVASIRILHDRNTGKSRGLAYVDFVDDEHLAAAITKNKQLLFGKRLSIARSDPKQNRRDGRRVPREQAFASDRRRHNWESASKEYVDTHNASGSQEAPQTATLKSDDNIQFKGKNIFAVPRNVRTLGLSANKSKTVEEGDEKPKSNDEFRKMFIKE >Potri.004G120200.2.v4.1 pep chromosome:Pop_tri_v4:4:11437380:11438441:1 gene:Potri.004G120200.v4.1 transcript:Potri.004G120200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120200.v4.1 MENILNSVENMQETPFKSLMQSKPTMLDCITPAKEDQEMDQESENMQETPFKSLMLSKPTMLDCITPAKEDQEMDQESENSGNDLRKSSAPYHLQVPKAFKFPERYRSPTDLMISPITKGLLARNRKGGALLPPSLNQPKQVQDVEVQGGGFQN >Potri.004G120200.1.v4.1 pep chromosome:Pop_tri_v4:4:11437380:11438441:1 gene:Potri.004G120200.v4.1 transcript:Potri.004G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120200.v4.1 MENILNSVENMQETPFKSLMQSKPTMLDCITPAKEDQEMDQESENMQETPFKSLMLSKPTMLDCITPAKEDQEMDQESENSGNDLRKSSAPYHLQVPKAFKFPERYRSPTDLMISPITKGLLARNRKGGALLPPSLNQPKVQDVEVQGGGFQN >Potri.003G000701.1.v4.1 pep chromosome:Pop_tri_v4:3:374176:375486:1 gene:Potri.003G000701.v4.1 transcript:Potri.003G000701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000701.v4.1 MQDKGHEPTRNLFRAVICCLCDMENPEIHFFKLLEMQLPRHETNSMVYNSFIDGDGHGKKPELAREVFEMMQRNGIKPNVCSHALTLKAYLRNERISDALNFFKAMHDSKMDVKLYNSMVVGLCGVKRTDLALNFFSEGNAE >Potri.012G042600.1.v4.1 pep chromosome:Pop_tri_v4:12:3806427:3808164:1 gene:Potri.012G042600.v4.1 transcript:Potri.012G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042600.v4.1 MVFSWRRKKAAREKLFKVQELVIPNQFLCPISLDLMKDPVTLSSGITYDRESIETWLEGGNFTCPVTNQVLRSFDQIPNHSLRKMIQDWGVANRNYGVDRIPTPRVPVLGAQVSEVLFSLEDSTKRLNGAGCLELVQKIKKWGNESERNRRCIVANGALSVFAAAFDSFARDSFERNANVLEEILSAMNWMFPIFQHSLDAEVRAHLGSQDSLRCLVWFLKSGDLSVKQDSMIALREIVSLDQKQVEALAAIEEVYEVLFRFIKDPVCPAITKASLMVIFYLVSSPSSTSIKTRSALVEMGLVSLLLEVTIDSERSTSERALGVFDRLCDCEEGREEAYRNALTCPVLVKKILRVSELATQSSVSAIWKLSKYGKKHEENVLVEALQVGLFQKLVLLLQLGCGDETKEKTTELLKLMSPYRDGLECIDSVDFKNLKRSF >Potri.006G165700.3.v4.1 pep chromosome:Pop_tri_v4:6:16270920:16273478:-1 gene:Potri.006G165700.v4.1 transcript:Potri.006G165700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165700.v4.1 MSCFKNKYADELVKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEENRRALRELLFCTPGALQHLSGVIFYEETLYQKTASGKPFVDVLKKGGVLPGIKVDKGTVELPGTNGETTTQGLDGLGQRCAKYYEAGARFAKWRAVLKIGLTEPSELAIHENAYGLARYAAICQENGLVPIVEPEILIDGSHSIEKCAAVTERVLAACYKALNDHHVMLEGTLLKPNMVTPGSDAPKVANEVIAKFTVRALQRTVPAAVPAIVFLSGGQSEEEATRNLNAINKLKTKKPWSLSFSFGRALQQSTLKAWAGKTENVEKARAAFLCRCKANSEATLGTYQGDAAPGEGASESLHVKDYKY >Potri.016G063700.1.v4.1 pep chromosome:Pop_tri_v4:16:4450529:4454278:-1 gene:Potri.016G063700.v4.1 transcript:Potri.016G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063700.v4.1 MSRTSSIGDSAELEGNLTLSDRLKVFKNSHFDPNAFVTSKCQTMNEKEIRHLCSYLVDLKRASAEEMRKSVYANYAAFIRTSREISDLEGQLISMRNFLSTQAALVHGLSEHARIDSLWAASEDSIADDLSNFDDGELSESEDWLIEFLDTFEVLLAERRVDEAMQALEKGEGLANESTKKHSLSPTALITLETAIRDQRQKLAYQLADTISQPSTRGQELRSAVLALKNLGDAPRAHTLLLNSHHQKLKSSLPSLRSSNNSCGRAYTVALSQVVFSTIAQAASDSLAVYGEEPAYTSELVTWAVKETEAFAFLLKRHVLASSAASGGLRVAAECIHICLGHCSLLEARGLSLATVLLRLFKPIIEQALNANLKKIEDISAALAAADDWLLTYPPAGGRPFSSSASLGSAMASQPKLSSSANRFNSMIQDFLEDAGPLESLQLDGSALGGVLQVFNSYVNLLMRALPSSAETEESLEGSGSKIVRVAETESQQLALLANASLLADELLPYAAMKLLPLPPRIDEQPKRSSERQSRLPEQREWKKKLQRSVDRLRDSFCRQHALDLIFTEDGDTHLNAYIYTSLDDNVEEPEWFPSLIFQELFMKLTRMASIATDMFIGRERFATVLLMRLTETVILWLSDDQTFWEEIEEGPKPLGPLGLQQLYLDMEFVLLFSSQGRYLSRNLHQVIKNIIARAIDAVAATGVDPYSTLPEDDWFAEVAQIAIKMLTGKANFGNVERDVTSPTASVSAKSVSSIVSHGSNEFSH >Potri.004G015001.2.v4.1 pep chromosome:Pop_tri_v4:4:1034078:1036674:-1 gene:Potri.004G015001.v4.1 transcript:Potri.004G015001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015001.v4.1 MHPLLFQASESGRLSYLPLSCNIGASSSFSFFSGHQPQLNLSLFHYHHQANHVVDGFNKSLTSKDSTSASCSIDFHPLLQRTDEENSNLVMAHSNPNQFVCLRGEFTQSQSHFDAVQNKSFVNHGPVVVDPKQSSSNEKANDLDLEIHLSSNSAKETSEGGRDNEPWSTQSELKSGRRIETCKVNSPRDQHNERCPTVHSNFVSGADASPVPSNNVSICNMDNLDLEIQVLILQRRHLREWGVLLCFLVD >Potri.016G029300.8.v4.1 pep chromosome:Pop_tri_v4:16:1628572:1630668:-1 gene:Potri.016G029300.v4.1 transcript:Potri.016G029300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029300.v4.1 MSSSRNTHWCYSCRRPVRLRGRDSACPYCSGGFVQELDDMHRISPLDFFGMDSDDDRDQRFGLMEAFSDFMRQRMADRSHNHDIRSRSDSVPGHNPGFGPLLIFGGQVPFRLSGNGGFEALFSGAPGVAFARGNAGDYFIGPGLEELFEQLSANDQRGPPPATRSSIDAMPTIKITQKHLRSDSHCPVCKDKFELGSEARQMPCDHLYHSDCIVPWLVQHNSCPVCRQELPPQGSSSRHSYQSSSSRSRSSNYSGRENSRGGRRNPLSYLWPFRSSNSSSNHDETPESSSPAMHENNPHMGYSGWPFN >Potri.016G029300.6.v4.1 pep chromosome:Pop_tri_v4:16:1628581:1630753:-1 gene:Potri.016G029300.v4.1 transcript:Potri.016G029300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029300.v4.1 MSSSRNTHWCYSCRRPVRLRGRDSACPYCSGGFVQELDDMHRISPLDFFGMDSDDDRDQRFGLMEAFSDFMRQRMADRSHNHDIRSRSDSVPGHNPGFGPLLIFGGQVPFRLSGNGGFEALFSGAPGVAFARGNAGDYFIGPGLEELFEQLSANDQRGPPPATRSSIDAMPTIKITQKHLRSDSHCPVCKDKFELGSEARQMPCDHLYHSDCIVPWLVQHNSCPVCRQELPPQGSSSRHSYQSSSSRSRSSNYSGRENSRGGRRNPLSYLWPFRSSNSSSNHDETPESSSPAMHENNPHMGYSGWPFN >Potri.016G029300.5.v4.1 pep chromosome:Pop_tri_v4:16:1628514:1630677:-1 gene:Potri.016G029300.v4.1 transcript:Potri.016G029300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029300.v4.1 MSSSRNTHWCYSCRRPVRLRGRDSACPYCSGGFVQELDDMHRISPLDFFGMDSDDDRDQRFGLMEAFSDFMRQRMADRSHNHDIRSRSDSVPGHNPGFGPLLIFGGQVPFRLSGNGGFEALFSGAPGVAFARGNAGDYFIGPGLEELFEQLSANDQRGPPPATRSSIDAMPTIKITQKHLRSDSHCPVCKDKFELGSEARQMPCDHLYHSDCIVPWLVQHNSCPVCRQELPPQGSSSRHSYQSSSSRSRSSNYSGRENSRGGRRNPLSYLWPFRSSNSSSNHDETPESSSPAMHENNPHMGYSGWPFN >Potri.016G029300.7.v4.1 pep chromosome:Pop_tri_v4:16:1628565:1630727:-1 gene:Potri.016G029300.v4.1 transcript:Potri.016G029300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029300.v4.1 MSSSRNTHWCYSCRRPVRLRGRDSACPYCSGGFVQELDDMHRISPLDFFGMDSDDDRDQRFGLMEAFSDFMRQRMADRSHNHDIRSRSDSVPGHNPGFGPLLIFGGQVPFRLSGNGGFEALFSGAPGVAFARGNAGDYFIGPGLEELFEQLSANDQRGPPPATRSSIDAMPTIKITQKHLRSDSHCPVCKDKFELGSEARQMPCDHLYHSDCIVPWLVQHNSCPVCRQELPPQGSSSRHSYQSSSSRSRSSNYSGRENSRGGRRNPLSYLWPFRSSNSSSNHDETPESSSPAMHENNPHMGYSGWPFN >Potri.005G070200.1.v4.1 pep chromosome:Pop_tri_v4:5:4552597:4557332:1 gene:Potri.005G070200.v4.1 transcript:Potri.005G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070200.v4.1 MGVGMSKVDRLELVSLCKERKELIKAAVDCRYELISAHIMYVQSFLDMGNALHRFLEEDLEIISDFSCDCSDEDSHLKFSSSGLESVTDSVNEHLHFSGESSGSEKDFQAGANSSGHMGNMSSSVPADSRHRYPDLDNDDVYVPIVDMRYPQENKKVPLDATMDTTKNNVTYAPNGNWESAKNPMDFPYYYEFWSPPVHAANPEEESAPAATSSPEPAGFAWRFNPFNTEVDDVYHYYNYYSQENIIGDRAESDDSEVRKTREKEGIPDLEDDTDQSILRKKRYKKKSSEKDTSGDTGADPKNYDHVQSEQEKESKNTPDTVEENAMACSISRGLIKIEQEKAMEEGESSEEARSFTCNGECPETDIHSPWGLQQAVNEIKNAFETSFNYGQEVSILLEAGKLPYQTTGAKFKVFASRLVSLAVPFAMSSQHPAFVHSSRSAAKKMKSAKVVCENYKDVDRKSDHLSSTLEKLHVWEKKIYEEVKLEEKLRVSYDKEWRRLKRLDDRGAESSQIDRTQASVKSLLSKIKVPVSAIEAISIRIHKIRDEELQAQVNELIIGLSRMWKLIIKCHKKQLQAIKNAETYVHIAGMHTRKGSRLKATKNLEKETWKWAARFSHYIKTQKAFVSLLNNWLLGYISEELKTLDEADRLSPNRIGAPAIFIVCNDWHNAIQDISEDGVYKAIHGFASSLHHLQEKREEEHRQRIKTEQLLKDLEDQFEKDVIVAMQEMLDEQKATHQEAIKLANDAASDCLRISLPPVFETLESFCLENLKAYEKIRIPNASTSR >Potri.005G070200.3.v4.1 pep chromosome:Pop_tri_v4:5:4551723:4557331:1 gene:Potri.005G070200.v4.1 transcript:Potri.005G070200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070200.v4.1 MGNMSSSVPADSRHRYPDLDNDDVYVPIVDMRYPQENKKVPLDATMDTTKNNVTYAPNGNWESAKNPMDFPYYYEFWSPPVHAANPEEESAPAATSSPEPAGFAWRFNPFNTEVDDVYHYYNYYSQENIIGDRAESDDSEVRKTREKEGIPDLEDDTDQSILRKKRYKKKSSEKDTSGDTGADPKNYDHVQSEQEKESKNTPDTVEENAMACSISRGLIKIEQEKAMEEGESSEEARSFTCNGECPETDIHSPWGLQQAVNEIKNAFETSFNYGQEVSILLEAGKLPYQTTGAKFKVFASRLVSLAVPFAMSSQHPAFVHSSRSAAKKMKSAKVVCENYKDVDRKSDHLSSTLEKLHVWEKKIYEEVKLEEKLRVSYDKEWRRLKRLDDRGAESSQIDRTQASVKSLLSKIKVPVSAIEAISIRIHKIRDEELQAQVNELIIGLSRMWKLIIKCHKKQLQAIKNAETYVHIAGMHTRKGSRLKATKNLEKETWKWAARFSHYIKTQKAFVSLLNNWLLGYISEELKTLDEADRLSPNRIGAPAIFIVCNDWHNAIQDISEDGVYKAIHGFASSLHHLQEKREEEHRQRIKTEQLLKDLEDQFEKDVIVAMQEMLDEQKATHQEAIKLANDAASDCLRISLPPVFETLESFCLENLKAYEKIRIPNASTSR >Potri.005G070200.2.v4.1 pep chromosome:Pop_tri_v4:5:4552186:4557332:1 gene:Potri.005G070200.v4.1 transcript:Potri.005G070200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070200.v4.1 MMGESSGSEKDFQAGANSSGHMGNMSSSVPADSRHRYPDLDNDDVYVPIVDMRYPQENKKVPLDATMDTTKNNVTYAPNGNWESAKNPMDFPYYYEFWSPPVHAANPEEESAPAATSSPEPAGFAWRFNPFNTEVDDVYHYYNYYSQENIIGDRAESDDSEVRKTREKEGIPDLEDDTDQSILRKKRYKKKSSEKDTSGDTGADPKNYDHVQSEQEKESKNTPDTVEENAMACSISRGLIKIEQEKAMEEGESSEEARSFTCNGECPETDIHSPWGLQQAVNEIKNAFETSFNYGQEVSILLEAGKLPYQTTGAKFKVFASRLVSLAVPFAMSSQHPAFVHSSRSAAKKMKSAKVVCENYKDVDRKSDHLSSTLEKLHVWEKKIYEEVKLEEKLRVSYDKEWRRLKRLDDRGAESSQIDRTQASVKSLLSKIKVPVSAIEAISIRIHKIRDEELQAQVNELIIGLSRMWKLIIKCHKKQLQAIKNAETYVHIAGMHTRKGSRLKATKNLEKETWKWAARFSHYIKTQKAFVSLLNNWLLGYISEELKTLDEADRLSPNRIGAPAIFIVCNDWHNAIQDISEDGVYKAIHGFASSLHHLQEKREEEHRQRIKTEQLLKDLEDQFEKDVIVAMQEMLDEQKATHQEAIKLANDAASDCLRISLPPVFETLESFCLENLKAYEKIRIPNASTSR >Potri.015G148200.1.v4.1 pep chromosome:Pop_tri_v4:15:15199568:15200793:1 gene:Potri.015G148200.v4.1 transcript:Potri.015G148200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G148200.v4.1 MDMANHQKIHPLNMSSSAHDDQQLDAEAPQAPTVPLVPRGSSKSDKGDAAAHLLPRDNQHYPPFQRTIPVIHTKPPKERSSCCCRFLCWTLSLLFLLILLIGVVAGILYLVFQPKLPKYSIDRLQITQFNLTNNSSLTATFDVTITARNPNKKVGVYYEGGSHISVWYTGTNLCQGSLPRFYQGHRNTTVLNVVLSGQTNDANTLITSLQQQQQQTGIIPLNLRVIQPVRIKFGKLKIMKVKFRVRCRLDVDNLAANNAINIRNSSCKFRFRL >Potri.007G147000.1.v4.1 pep chromosome:Pop_tri_v4:7:15521963:15523846:1 gene:Potri.007G147000.v4.1 transcript:Potri.007G147000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G147000.v4.1 MEETKTSIIKLQKALEDDQKQLQQLIPGLPDEISMECLVRVPYQFHSNMKSVCHTWQHLISHPSFYQQRLKSGTSEHLVCLVQPLPPINDSTTTTTTEYDDNPLDSNNNKTTKNEDKQEQQQPIHSPPQYALSIYNITHNIWQRTSPTEGSGIPMFCQCLALPSSGKLLLLGGWDPTTLEPVPHVFILDFFGTTGATCNWRRGASMSVPRSFFACAVIGSSKVCVAGGHDSQKNALRSAEIYDVETDQWKMLPDMIEERDECQGLTWEGDSKFWAVSGYGTESQGQFRSDAEFYDLYSGCWSKVDGVWPFSSASPRGTTTAVCVNRDKHQRLWFLGGDQQQQQQSREVVQVSDTIRLEIVGSIPLPNCITGTNPCVTTFNYVGQEGGNHKNKHRLFVMSGGGGRGSSTLACGECDGEGASISDGYSNDGTIKWNHIHTPVEFSGFPYSASSLII >Potri.006G023900.2.v4.1 pep chromosome:Pop_tri_v4:6:1612158:1617347:1 gene:Potri.006G023900.v4.1 transcript:Potri.006G023900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023900.v4.1 MGMKQAIKKLDAFPRAEEHLLQKTQSGALVSIIGLVTMATLFYHELAYYLTTYTVHQMSVDLTRGETLPIHINITFPSLPCDVLSVDAIDMSGKHEVDLDTSIWKLRLNSYGHITGTEYLSDLVEKEHEAHNHDHNKDHHEDSHAKQHTHGFDDAAETMVKKVKQALANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFDGAKHVNVSHIIHDLSFGPKYPGIHNPLDGTTRILHETSGTFKYYIKIVPTEYRYISKEVLPTNQFSVTEYFSPMTDFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMCRLLEALTKPNPRSVLR >Potri.006G023900.1.v4.1 pep chromosome:Pop_tri_v4:6:1612082:1617465:1 gene:Potri.006G023900.v4.1 transcript:Potri.006G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023900.v4.1 MGMKQAIKKLDAFPRAEEHLLQKTQSGALVSIIGLVTMATLFYHELAYYLTTYTVHQMSVDLTRGETLPIHINITFPSLPCDVLSVDAIDMSGKHEVDLDTSIWKLRLNSYGHITGTEYLSDLVEKEHEAHNHDHNKDHHEDSHAKQHTHGFDDAAETMVKKVKQALANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFDGAKHVNVSHIIHDLSFGPKYPGIHNPLDGTTRILHETSGTFKYYIKIVPTEYRYISKEVLPTNQFSVTEYFSPMTDFDRTWPAVYFLYDLSPITVTIKEERRSFLHFITRLCAVLGGTFALTGMLDRWMCRLLEALTKPNPRSVLR >Potri.006G023900.4.v4.1 pep chromosome:Pop_tri_v4:6:1612050:1617447:1 gene:Potri.006G023900.v4.1 transcript:Potri.006G023900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023900.v4.1 MGMKQAIKKLDAFPRAEEHLLQKTQSGALVSIIGLVTMATLFYHELAYYLTTYTVHQMSVDLTRGETLPIHINITFPSLPCDVLSVDAIDMSGKHEVDLDTSIWKLRLNSYGHITGTEYLSDLVEKEHEAHNHDHNKDHHEDSHAKQHTHGFDDAAETMVKKVKQALANGEGCRVYGVLDVQRVAGNFHISVHGLNIFVAQMIFDGAKHVNVSHIIHDLSFGPKYPGIHNPLDGTTRILHETSGTFKYYIKV >Potri.002G213500.2.v4.1 pep chromosome:Pop_tri_v4:2:19726659:19729944:1 gene:Potri.002G213500.v4.1 transcript:Potri.002G213500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G213500.v4.1 MADLLVEQPREITISKTFPTKPKLKPKAKTPSKTPESKYWSSFKSHQISNLISSIPSIDFSPISPHQFAATNSASLTLFSSQTLSPTSTISFSDVVTSCSFRCDGSLIAASDLSGLIRVFDVKTRTPLRRLKSHTRPVRFVKYPLLDKLHLVSGGDDSVVKYWDVAGESVVSDLYGHRDYARCGDCSPINGEIFVTGSYDHTVKLWDVRVDSKESVIEVNHGKPVEDVIFLPSGGMVATAGGNSLKIWDLIGGGKMVYSMESHNKTVTSICVGKVGKESGEEALQYRILSVALDGYMKVFDYAKMKVTHSMRFPAPLMSIGFSPDCMTRVIGSSNGIIFAGRRKGKEDVGESKSGNFWALGSVEEPQRRALRPTYFRYFHRSQGEKPNEGDHLIMRPKKVKLSEHDKLLKKFRHKEALVSVLSGKNPENVVAVMEEMVARRKLLKCVVNLDEEELGLLLGFLHKHSTMPRHSGLLMGLTKKVLEMRADDIRASDALKSHIRNLKRSVEEEIRIQHSLQEIQGIISPLLRIAGRR >Potri.019G022000.2.v4.1 pep chromosome:Pop_tri_v4:19:3379043:3381584:1 gene:Potri.019G022000.v4.1 transcript:Potri.019G022000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH21 MFSTALPTCFKLADLGCSSGPNTLLFVSEIMDVVYELCQQQNCKLPEFQVFLNDLPGNDFNTVFKSLPFFYEKFGEEKGDLYGQRCYISGVPGSFYHRLFPSKSLHFFHSSCSLHWLSKVPEGISNNKGNIYMAKASPLNVFKAYLEQFQKDFSLFLRLRSEEIIQGGRVVFTFISRSTEDPRSNDCCLIWELLAKSLLDLAAKGLVLEADIDTFNLPFYHPYEGEVREIIEMEGSFDINKLETFAINWDANDDITNNNFVFDKDQCGRNVANIIRAAAEPMLVSHFGDDITDELFKRYAEYVGEHLCVEKTKHIKIVLTMTKKE >Potri.019G022000.1.v4.1 pep chromosome:Pop_tri_v4:19:3379048:3381575:1 gene:Potri.019G022000.v4.1 transcript:Potri.019G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH21 MVVESVLCMNPGDGETSYAKNSFFQKAVLSKARPILEDTIKDMFSTALPTCFKLADLGCSSGPNTLLFVSEIMDVVYELCQQQNCKLPEFQVFLNDLPGNDFNTVFKSLPFFYEKFGEEKGDLYGQRCYISGVPGSFYHRLFPSKSLHFFHSSCSLHWLSKVPEGISNNKGNIYMAKASPLNVFKAYLEQFQKDFSLFLRLRSEEIIQGGRVVFTFISRSTEDPRSNDCCLIWELLAKSLLDLAAKGLVLEADIDTFNLPFYHPYEGEVREIIEMEGSFDINKLETFAINWDANDDITNNNFVFDKDQCGRNVANIIRAAAEPMLVSHFGDDITDELFKRYAEYVGEHLCVEKTKHIKIVLTMTKKE >Potri.011G131800.1.v4.1 pep chromosome:Pop_tri_v4:11:16555059:16556023:1 gene:Potri.011G131800.v4.1 transcript:Potri.011G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131800.v4.1 MASWKQLEVHTEDQTPHKWSVSLSEDMFKRFFSLGSPEVHKIFGDGSLFSPLLFGKYFDPSDAFPLWEFESDVLLSNLRSSGKTNIDWFQTDDAYVLKADLSAGVENNTVQFFVENGKIMEISGQWKPKRDQSKTKDWRSGNWWEHGYVRRLELPGDADWKDTEAYVSNDMFLEVRIPKSSLDSDTPPAPGKGILAKISDHL >Potri.004G036350.1.v4.1 pep chromosome:Pop_tri_v4:4:2848990:2849402:-1 gene:Potri.004G036350.v4.1 transcript:Potri.004G036350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036350.v4.1 MAGARWPVIFNGGKVSRGFVSRPIPKRGQVKVAIVVGLAHSFASFFSQSAPRRAASHI >Potri.018G135001.1.v4.1 pep chromosome:Pop_tri_v4:18:14359171:14361717:1 gene:Potri.018G135001.v4.1 transcript:Potri.018G135001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135001.v4.1 MGICWSAKPNPSPAPAPASASAPAPDPLIDPDAAPTPPGHLIEGDISFLVSNVSGNSWFSIATTNFTTWISQAKDAIRGKNVPEDIEIPALPSKLKVFTLEQLKEATFDFRNDMVLGKGGFGSVYKGSLKEKVPFKKSRKLRIAVKKLGSNSKQGLRQWQTEVGFLAKLSHPNIVKLLGYCQEEENRELLIVYEFMEKGSLNYHLFGKRSDQQLPWETRLMIATEMAQALSYLHSMDRPIIFRDFKTSNILLDEVICLICILWIDLRSHFYLVVS >Potri.018G135001.2.v4.1 pep chromosome:Pop_tri_v4:18:14359207:14360226:1 gene:Potri.018G135001.v4.1 transcript:Potri.018G135001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135001.v4.1 MGICWSAKPNPSPAPAPASASAPAPDPLIDPDAAPTPPGHLIEGDISFLVSNVSGNSWFSIATTNFTTWISQAKDAIRGKNVPEDIEIPALPSKLKVFTLEQLKEATFDFRNDMVLGKGGFGSVYKGSLKEKVPFKKSRKLRIAVKKLGSNSKQGLRQWQVIVFYC >Potri.004G143200.1.v4.1 pep chromosome:Pop_tri_v4:4:16605242:16606607:-1 gene:Potri.004G143200.v4.1 transcript:Potri.004G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143200.v4.1 MPVPALLVLLFLPAIPKTLLPLSFLSLKFDMDNSNEITHDFSPFFKVYKDGRIERSLVLEDLPAGLDPETGVLSKDVVISPDSGVKARIFIPEIVGSDQKLPLLVHYHGGGFCVGSAFHILTKNVLTPIVSRGNVIAISIDYRLAPEHLLPIAYNDSWDGLEWIAGHSNGLGPEPWLNNHVDFGKVFLTGESAGANIAHYLAVQVGANGWAGLKLAGVILVHPFFGYKDVDEMHKYLCPTSSGGDDDPRLNPAVDPNLSKMGCQKALVCVAEKDFLRDRGEAYYKTLATSGWPGKVEFYETKGEDHCFNAFKQCGETDALNKKVVDFMTME >Potri.015G101000.4.v4.1 pep chromosome:Pop_tri_v4:15:12051545:12053462:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MLWWIGDLSFWDIRGLAKDEGGFMGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.11.v4.1 pep chromosome:Pop_tri_v4:15:12050579:12053420:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.5.v4.1 pep chromosome:Pop_tri_v4:15:12050262:12053551:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.3.v4.1 pep chromosome:Pop_tri_v4:15:12050525:12053544:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MSFPSSTMTTVVSKTIGVVLVMIFLLAILLSTFSFSSGLAKDEGGFMGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.9.v4.1 pep chromosome:Pop_tri_v4:15:12050525:12055982:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MSFPSSTMTTVVSKTIGVVLVMIFLLAILLSTFSFSSGLAKDEGGFMGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.10.v4.1 pep chromosome:Pop_tri_v4:15:12050287:12053544:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.7.v4.1 pep chromosome:Pop_tri_v4:15:12050287:12055982:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MSFPSSTMTTVVSKTIGVVLVMIFLLAILLSTFSFSSGLAKDEGGFMGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.6.v4.1 pep chromosome:Pop_tri_v4:15:12050262:12053551:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MSFPSSTMTTVVSKTIGVVLVMIFLLAILLSTFSFSSGLAKDEGGFMGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.8.v4.1 pep chromosome:Pop_tri_v4:15:12050525:12055982:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MSFPSSTMTTVVSKTIGVVLVMIFLLAILLSTFSFSSGLAKDEGGFMGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.015G101000.2.v4.1 pep chromosome:Pop_tri_v4:15:12050256:12053553:1 gene:Potri.015G101000.v4.1 transcript:Potri.015G101000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101000.v4.1 MSFPSSTMTTVVSKTIGVVLVMIFLLAILLSTFSFSSGLAKDEGGFMGSSSHVFLHEGGNYTAPAPHRKLLVRSMAMEEPNRIGEKCTSADIVVSQGPTAPLSSGIPTYTVQIMNMCATGCDISGIHLNCGWFSSVRLIDPKIFKRLRYNDCLVNDGKPLVTGGTLTFEYANTFSYPLGVSSIVCH >Potri.014G045200.1.v4.1 pep chromosome:Pop_tri_v4:14:2918600:2921125:-1 gene:Potri.014G045200.v4.1 transcript:Potri.014G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045200.v4.1 MAGRGEIVEAESAEAEAIITRIEHKTRKIESLLKQGRPVEALKTALEGSPPKTRDERCKSANWIVVHRALMAIKDVDSLFSALDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTEKAGLGCILRSLADTVNTV >Potri.006G027600.2.v4.1 pep chromosome:Pop_tri_v4:6:1788372:1792649:-1 gene:Potri.006G027600.v4.1 transcript:Potri.006G027600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027600.v4.1 MEESAGEGPIDKSRVLNVKPLRTLTPVFSSPSNSSSFSQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSSGDHTPISSAVPINSFRSPEPLKRAANGNAGSSRRVNRNNRGVEEDGYSDDQTQSSQSRYQKRKKGGHDKFASPDVDTDVMVENIFQSYNLVPFEAARLYDGDKDSVGYVLLVFNLLRRQIAQLEDTKEATSGQSRRPDLKTGNVLMTKGIRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPSMAGIDYMSVRISQDEEPIAVSIVSSGGYEDDVDGDDGLIYTGQGKEMDQKLERGNLALEKSLHRGNDIRVIRGIKDVGNPTGKVYMYDGLYRIQESWLEKGKSGSNVFRYKLGRLPGQPEAYKTWKKIQQWKDGTITRFGIILPDLTSGCETLPVSLVNDVDNEKGPAYFTYSPNLKYSKPAPRDPFVGCACNGACLPGNENCDCIQKNGGYLPHIVNGVIVSQKSVIYECGPSCQCPPTCRNRVSQGGLRVRLEVFKTKDKGWGLRSWDPIRAGAFICVYAGEAVDDSKAQELAGENEDDHIFDGSRTYQPVEILPGDSNNAPNLPFPLVINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHIPPMTELTYCYGMVPPEKADCGKRKCSCGSPKCRGFFY >Potri.006G027600.6.v4.1 pep chromosome:Pop_tri_v4:6:1788082:1792484:-1 gene:Potri.006G027600.v4.1 transcript:Potri.006G027600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027600.v4.1 MEESAGEGPIDKSRVLNVKPLRTLTPVFSSPSNSSSFSQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSSGDHTPISSAVPINSFRSPEPLKRAANGNAGSSRRVNRNNRGVEEDGYSDDQTQSSQSRYQKRKKGGHDKFASPDVDTDVMVENIFQSYNLVPFEAARLYDGDKDSVGYVLLVFNLLRRQIAQLEDTKEATSGQSRRPDLKTGNVLMTKGIRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPSMAGIDYMSVRISQDEEPIAVSIVSSGGYEDDVDGDDGLIYTGQGKEMDQKLERGNLALEKSLHRGNDIRVIRGIKDVGNPTGKVYMYDGLYRIQESWLEKGKSGSNVFRYKLGRLPGQPEAYKTWKKIQQWKDGTITRFGIILPDLTSGCETLPVSLVNDVDNEKGPAYFTYSPNLKYSKPAPRDPFVGCACNGACLPGNENCDCIQKNGGYLPHIVNGVIVSQKSVIYECGPSCQCPPTCRNRVSQGGLRVRLEVFKTKDKGWGLRSWDPIRAGAFICVYAGEAVDDSKAQELAGENEDDHIFDGSRTYQPVEILPGDSNNAPNLPFPLVINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHIPPMTELTYCYGMVPPEKADCGKRKCSCGSPKCRGFFY >Potri.006G027600.1.v4.1 pep chromosome:Pop_tri_v4:6:1787993:1792541:-1 gene:Potri.006G027600.v4.1 transcript:Potri.006G027600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027600.v4.1 MEESAGEGPIDKSRVLNVKPLRTLTPVFSSPSNSSSFSQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSSGDHTPISSAVPINSFRSPEPLKRAANGNAGSSRRVNRNNRGVEEDGYSDDQTQSSQSRYQKRKKGGHDKFASPDVDTDVMVENIFQSYNLVPFEAARLYDGDKDSVGYVLLVFNLLRRQIAQLEDTKEATSGQSRRPDLKTGNVLMTKGIRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPSMAGIDYMSVRISQDEEPIAVSIVSSGGYEDDVDGDDGLIYTGQGKEMDQKLERGNLALEKSLHRGNDIRVIRGIKDVGNPTGKVYMYDGLYRIQESWLEKGKSGSNVFRYKLGRLPGQPEAYKTWKKIQQWKDGTITRFGIILPDLTSGCETLPVSLVNDVDNEKGPAYFTYSPNLKYSKPAPRDPFVGCACNGACLPGNENCDCIQKNGGYLPHIVNGVIVSQKSVIYECGPSCQCPPTCRNRVSQGGLRVRLEVFKTKDKGWGLRSWDPIRAGAFICVYAGEAVDDSKAQELAGENEDDHIFDGSRTYQPVEILPGDSNNAPNLPFPLVINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHIPPMTELTYCYGMVPPEKADCGKRKCSCGSPKCRGFFY >Potri.006G027600.7.v4.1 pep chromosome:Pop_tri_v4:6:1788375:1792204:-1 gene:Potri.006G027600.v4.1 transcript:Potri.006G027600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027600.v4.1 MEESAGEGPIDKSRVLNVKPLRTLTPVFSSPSNSSSFSQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSSGDHTPISSAVPINSFRSPEPLKRAANGNAGSSRRVNRNNRGVEEDGYSDDQTQSSQSRYQKRKKGGHDKFASPDVDTDVMVENIFQSYNLVPFEAARLYDGDKDSVGYVLLVFNLLRRQIAQLEDTKEATSGQSRRPDLKTGNVLMTKGIRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPSMAGIDYMSVRISQDEEPIAVSIVSSGGYEDDVDGDDGLIYTGQGKEMDQKLERGNLALEKSLHRGNDIRVIRGIKDVGNPTGKVYMYDGLYRIQESWLEKGKSGSNVFRYKLGRLPGQPEAYKTWKKIQQWKDGTITRFGIILPDLTSGCETLPVSLVNDVDNEKGPAYFTYSPNLKYSKPAPRDPFVGCACNGACLPGNENCDCIQKNGGYLPHIVNGVIVSQKSVIYECGPSCQCPPTCRNRVSQGGLRVRLEVFKTKDKGWGLRSWDPIRAGAFICVYAGEAVDDSKAQELAGENEDDHIFDGSRTYQPVEILPGDSNNAPNLPFPLVINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHIPPMTELTYCYGMVPPEKADCGKRKCSCGSPKCRGFFY >Potri.006G027600.5.v4.1 pep chromosome:Pop_tri_v4:6:1788003:1792484:-1 gene:Potri.006G027600.v4.1 transcript:Potri.006G027600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027600.v4.1 MEESAGEGPIDKSRVLNVKPLRTLTPVFSSPSNSSSFSQGSAPFVCVPPAGPFPPGVSPFFPFSGIPNQSSGDHTPISSAVPINSFRSPEPLKRAANGNAGSSRRVNRNNRGVEEDGYSDDQTQSSQSRYQKRKKGGHDKFASPDVDTDVMVENIFQSYNLVPFEAARLYDGDKDSVGYVLLVFNLLRRQIAQLEDTKEATSGQSRRPDLKTGNVLMTKGIRTNAKKRVGAVPGVEIGDIFFFRMELCTIGLHAPSMAGIDYMSVRISQDEEPIAVSIVSSGGYEDDVDGDDGLIYTGQGKEMDQKLERGNLALEKSLHRGNDIRVIRGIKDVGNPTGKVYMYDGLYRIQESWLEKGKSGSNVFRYKLGRLPGQPEAYKTWKKIQQWKDGTITRFGIILPDLTSGCETLPVSLVNDVDNEKGPAYFTYSPNLKYSKPAPRDPFVGCACNGACLPGNENCDCIQKNGGYLPHIVNGVIVSQKSVIYECGPSCQCPPTCRNRVSQGGLRVRLEVFKTKDKGWGLRSWDPIRAGAFICVYAGEAVDDSKAQELAGENEDDHIFDGSRTYQPVEILPGDSNNAPNLPFPLVINARNAGNVARFINHSCSPNLFWQPVLRGNSKEFDLHIAFYAIRHIPPMTELTYCYGMVPPEKADCGKRKCSCGSPKCRGFFY >Potri.007G061761.1.v4.1 pep chromosome:Pop_tri_v4:7:6951994:6952305:-1 gene:Potri.007G061761.v4.1 transcript:Potri.007G061761.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061761.v4.1 MPSFGFHRFQTGALIGKSGFRLLSIPSIAVRVEDKSILLFQARRVAHPSSLNPRRAKAGHVFYLLLWICQVIHRYLGKPRQSQCRINLLYLLKSLLIDPTERY >Potri.001G237000.2.v4.1 pep chromosome:Pop_tri_v4:1:25486641:25491627:-1 gene:Potri.001G237000.v4.1 transcript:Potri.001G237000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237000.v4.1 MKMEKTSLTTPGTGSSSYLKALTVEIEKKLQRALASASQRPNLLQELFADIALEVDDRARGIIFSREEDEISPAEDAADGQLCFYDVLADYYVWVPESGKQILHLIVQLWSQSFASHIFSLLFHKWLFEAQLDNTEVLVRFSSALVQGATNVLWIDIQTNKRHFQSLFQYLLEEVALEPMRLNRIPVQAQRELFLLLSRFILFYNSVDKIDSFLKQFPIFPNAFLVGGPADFFVIELADQLQKLKVEPVLLHYLSQIKVLQGMELRMTTSTRLKACLYSFTSPGGPMYPTRAVRHAAWDALDLLFPVGRYPRHFISLFFRLLYPWYWPSSCWNFIISCIKAVFYSLLRLLFSSWDKLREPKNC >Potri.004G225700.1.v4.1 pep chromosome:Pop_tri_v4:4:22967002:22976668:-1 gene:Potri.004G225700.v4.1 transcript:Potri.004G225700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225700.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTISYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPDTVNRIARNYSRNSQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPTTRLPNGRPLPPLFNFKSQELSGIPTDIVNRLIPEYARKQNLFMALHT >Potri.004G225700.7.v4.1 pep chromosome:Pop_tri_v4:4:22967007:22976732:-1 gene:Potri.004G225700.v4.1 transcript:Potri.004G225700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225700.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTISYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPDTVNRIARNYSRNSQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPTTRLPNGRPLPPLFNFKSQELSGIPTDIVNRLIPEYARKQNLFMALHT >Potri.004G225700.8.v4.1 pep chromosome:Pop_tri_v4:4:22967030:22976631:-1 gene:Potri.004G225700.v4.1 transcript:Potri.004G225700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225700.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTISYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPDTVNRIARNYSRNSQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPTTRLPNGRPLPPLFNFKSQELSGIPTDIVNRLIPEYARKQNLFMALHT >Potri.004G225700.3.v4.1 pep chromosome:Pop_tri_v4:4:22967137:22976716:-1 gene:Potri.004G225700.v4.1 transcript:Potri.004G225700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225700.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTISYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPDTVNRIARNYSRNSQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPTTRLPNGRPLPPLFNFKSQELSGIPTDIVNRLIPEYARKQNLFMALHT >Potri.004G225700.9.v4.1 pep chromosome:Pop_tri_v4:4:22967073:22976630:-1 gene:Potri.004G225700.v4.1 transcript:Potri.004G225700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225700.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTISYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPDTVNRIARNYSRNSQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPTTRLPNGRPLPPLFNFKSQELSGIPTDIVNRLIPEYARKQNLFMALHT >Potri.004G225700.6.v4.1 pep chromosome:Pop_tri_v4:4:22967129:22976858:-1 gene:Potri.004G225700.v4.1 transcript:Potri.004G225700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225700.v4.1 MSSASLGNGGVGSSRSVNGFKSSSSSVDWLGREMLEMRLRDKVDHDEDRDSEPDIIDGVGAEAGHVIRTTIGGRNGQSRQTISYIAEHVVGTGSFGVVVQAKCRETGEIVAIKKVLQDKRYKNRELQIMQMLDHPNIVALKHSFFSTTDKEELYLNLVLEYVPDTVNRIARNYSRNSQRMPLIYVKLYTYQICRALAYIHNCIGICHRDIKPQNLLVNPSTHQLKLCDFGSAKVLVKGEPNVSYICSRYYRAPELIFGATEYTTAIDIWSTGCVMAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKPHPWHKVFQKRLPPEAVDLVCRFFQYSPNLRCTALEACVHPFFDELRDPTTRLPNGRPLPPLFNFKSQELSGIPTDIVNRLIPEYARKQNLFMALHT >Potri.012G090200.1.v4.1 pep chromosome:Pop_tri_v4:12:11533701:11537125:1 gene:Potri.012G090200.v4.1 transcript:Potri.012G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090200.v4.1 MSAASAFTFKPLLMAETCLCSLPSTFASKPPLKSLPISQRPSKLQLSYSHSLSPFSLKPKTHLSLTIPFVTQTSDWAQQGEEDNTTITLTESEQEEQGESNWVNEESNDFEGKVPEWEAEGEDAAATEAIRGEGESGDEEGFVEPPEEAKIFVGNLPYDVDSEKLAMLFEQAGTVEIAEVIYNRETDTSRGFGFVTMSTVEESEKAVEMLHRYDLDGRFLTVNKAAPRGSRPERPPRVSEPGYRIYVGNLPWDVDSGRLEQIFSEHGKVVSARVVFDRETNRSRGFGFVTMSTESELNDAIAALDGQNLDGRPIRVNVAEERPRRTF >Potri.013G029000.1.v4.1 pep chromosome:Pop_tri_v4:13:1881769:1884660:1 gene:Potri.013G029000.v4.1 transcript:Potri.013G029000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029000.v4.1 MGTVVYYTGLVSPRLRLCSKKIVGSSVGVQRQQVLEQVDKELSKGDERAALSLVKDLQGKPGGLRCFGAGRQIPQRLYTLDELKLNGIETRSLLSPVDGTLGAIERTLQLAGVAGGLATWNAFGFSPQQILLFSLGLLFLWTLDSVSFNGGLGSLVLDTIGHTFSQKYHNRVIQHEAGHFLIAYMVGILPRGYTLTSLEALQKDGSFNVQAGTAFVDFDFLEEVNTGKVSATTLNRFSCIALAGVATEYLLFGYAEGGLADINKLDMLIKGLGFTQKKADSQVRWSVLNTILMLRRHEGAREKLAEAMTMGKSVGSCIGIIEDNIDAADI >Potri.016G110200.3.v4.1 pep chromosome:Pop_tri_v4:16:11280727:11283133:-1 gene:Potri.016G110200.v4.1 transcript:Potri.016G110200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110200.v4.1 MGSSSRLFNRQRTVHEIFGGGFVADVILWRQMNITIGILLVTLSSWVVFERSGYTLLSLVSSVLLLLAVILFLWAKSAAILNRPAPPLPRLHLSEETVTEVASLIRTRLNALLSISQDIALGKDTKLFLKVAAYLLLISVVGGLTDFLTLGYASLLIVLTIPALYERYEDYIDRYAEMVFKKSHQLYLKVDVECIGRVQNWILERQKLS >Potri.016G110200.2.v4.1 pep chromosome:Pop_tri_v4:16:11280727:11283066:-1 gene:Potri.016G110200.v4.1 transcript:Potri.016G110200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110200.v4.1 MGSSSRLFNRQRTVHEIFGGGFVADVILWRQMNITIGILLVTLSSWVVFERSGYTLLSLVSSVLLLLAVILFLWAKSAAILNRPAPPLPRLHLSEETVTEVASLIRTRLNALLSISQDIALGKDTKLFLKVAAYLLLISVVGGLTDFLTLGYASLLIVLTIPALYERYEDYIDRYAEMVFKKSHQLYLKVDVECIGRVQNWILERQKLS >Potri.004G069101.1.v4.1 pep chromosome:Pop_tri_v4:4:5861690:5861929:1 gene:Potri.004G069101.v4.1 transcript:Potri.004G069101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069101.v4.1 MDSKRKYNQYLTIILFVTIIFVSCSNPIMAASPLGSDDHQLGDNPDQHMPRNPDKVEHYNPCRYVPGSLSRCRVGGPPV >Potri.013G124250.1.v4.1 pep chromosome:Pop_tri_v4:13:13262442:13262783:1 gene:Potri.013G124250.v4.1 transcript:Potri.013G124250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124250.v4.1 MVELIRTGATEYTTGIELKFKITSVNCNRDRLLGNCSLYSILIIGRYCFITTYFHCMVPSFLFLTGAVFSFVRVVIFGCDSTNLFDVLKCYLHVSAFTALGITANVTFHELLF >Potri.011G109500.1.v4.1 pep chromosome:Pop_tri_v4:11:13906259:13908616:-1 gene:Potri.011G109500.v4.1 transcript:Potri.011G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109500.v4.1 MSGPISQDWEPVVIRKKAPNAAAKKDEKAVNAARRAGAEIETVKKSTAGTNKAASSSTSLNTRKLDDETENLTHDRVPTELKKAIMQARMDKKLTQAQLAQVINEKPQIIQEYESGKAIPNQQIIGKLERALGVKLRGKK >Potri.010G022700.1.v4.1 pep chromosome:Pop_tri_v4:10:3325124:3327761:-1 gene:Potri.010G022700.v4.1 transcript:Potri.010G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022700.v4.1 MLGGAVRASTNHRQHYFLENPSLLSSPRHISSSKSNGNANQHSFTVSYLVNKCGFSLKSALEASKRVHYETPHKPDSLLSFFKDHGFSENQTLKLTRKCPELLLYNPDKTLLPKLEFLYSKGVSTADVAKIISFYPWILRCSLENQLVPTFDFLKNWFPNDTIVQVFKSTPLVLQLNPVTVKYISQILRDNGVPDKNIVMLVRSHPKTLLLSPKKFNMVLCKVRKMGLDPCKSQFVVAILALTSMSRSTWEKKLDVYRRWGLSHEEILAAFAKSPWFMTLSEEKVVAVMDLFVNKLGWESSFIAKNPTLVSYSLEKRLTPRASVLQFLVSQGLIEKSFRSTTFFIASENKFLQQFINQRAESTQILKLYQEKLNLSR >Potri.017G128200.1.v4.1 pep chromosome:Pop_tri_v4:17:13162864:13165493:1 gene:Potri.017G128200.v4.1 transcript:Potri.017G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128200.v4.1 MARKSDGIESQEITSMEEGLATPTDPKENGKFDCCTSPAAVTITQKLIAEVIGTYFVIFAGCGSVAVNNIYGSVTFPGVCVTWGLIVMVMIYSLGHISGAHFNPAVTIAFAIFRRFPSWQVPLYIIAQLMGSILASGTLALALDVTPEAFFGTVPVGSDGQSLVLEIIISFLLMFVISGVSTDDRAVGDLAGIAVGMTILLNVFVAGPVSGASMNPARSIGPAVVKHQFKGLWVYIVGPIIGAIAGAFACNLIRWTDKPLGELTKVGSFIKSGSKNYAS >Potri.016G001600.1.v4.1 pep chromosome:Pop_tri_v4:16:81477:82178:-1 gene:Potri.016G001600.v4.1 transcript:Potri.016G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001600.v4.1 MVSRPSPPRLLHSISLLLLLLLSIFHASDAATALVDKVCKQTSSYPFCVRSLYSDSRTPEADEYTLAYISVGVAYNNATSTQHYISDQLRSIKVNGSTHHDQQQRLQMCSRGYQRAVSALAMAHNDLDSETFFELARLAAKASSGANDCKAAFKGIPSPTALAKGNQDLVYLCEICGVVAKLFTRM >Potri.005G127000.1.v4.1 pep chromosome:Pop_tri_v4:5:9551062:9555677:1 gene:Potri.005G127000.v4.1 transcript:Potri.005G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127000.v4.1 MGRNNFSILTVLSVTLLPILSLSFSTDSPTDCRLLVLLDDLSLKSSHSIFFNSLKSRGFDLDFKLADDPKLAVQRYGQYLYDGLILFSPSIERFGGALDLAAVLDFVDSGHDLIIAADSSSSDLIKSLATECGVDFDEDPSALVIDHKSYAISETEGDHTLIAADDYIESDVLLGKKKIEAPVLFKGIAHSLNAANTLVLKVLSASPLAYSANPSSKLSSPPSVTGSSISLVSVVQARNNARIMITGSLDMFSNRFFRSSVQKAGSPRKYDKSGNEQFVTELSKWVFHVRGHLKAVNLRHNKAGETDEPAMYRIKDDLDFSVEIYEWSGKSWEPYVANDVQVQLYMMSPYVLKTLSNDKKGLYHTSFKVPDVYGVFQFKVEYHRLGYTSLSLSKQIPVRPFRHNEYERFITAAFPYYGASFTMMAGFFIFSFVYLYHK >Potri.008G085150.1.v4.1 pep chromosome:Pop_tri_v4:8:5324190:5324761:1 gene:Potri.008G085150.v4.1 transcript:Potri.008G085150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085150.v4.1 MESPAWLPRREVKPPPTSTEAGVVADAPPLENSAGNNANSSCSIQAPTILDLVRSQCSLTRILNAVRSRIV >Potri.008G044400.1.v4.1 pep chromosome:Pop_tri_v4:8:2514340:2516373:1 gene:Potri.008G044400.v4.1 transcript:Potri.008G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044400.v4.1 MGKTRGMGAGRKLKSHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Potri.018G070500.3.v4.1 pep chromosome:Pop_tri_v4:18:8505075:8509051:1 gene:Potri.018G070500.v4.1 transcript:Potri.018G070500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070500.v4.1 MPKDRRDRSISFDRYRTSPYTCSSSCSRRSSPKIPSDTDENLKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCDTSYRHSNCLDQFRKSFSETTPTTPQPQESRLRTMNSPAVVSSVSTVIDPPEDRSEEGSLPTETISCENKVQPKLVCPLCRGQIKEWVVTEPARSFMNAKPRSCACETCNFTGSYSDLRKHARLEHPLVRPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERGDEPIDDGGWLTVFFLIRVFRPGSSPRSSSWSGTSRARAPLGFRRRATRHWGENHEGETGSSSREDDNDSSDGGSGPWRRSERIRRRTTPDQL >Potri.018G070500.2.v4.1 pep chromosome:Pop_tri_v4:18:8505152:8509073:1 gene:Potri.018G070500.v4.1 transcript:Potri.018G070500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070500.v4.1 MPKDRRDRSISFDRYRTSPYTCSSSCSRRSSPKIPSDTDENLKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCDTSYRHSNCLDQFRKSFSETTPTTPQPQESRLRTMNSPAVVSSVSTVIDPPEDRSEEGSLPTETISCENKVQPKLVCPLCRGQIKEWVVTEPARSFMNAKPRSCACETCNFTGSYSDLRKHARLEHPLVRPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERGDEPIDDGGWLTVFFLIRVFRPGSSPRSSSWSGTSRARAPLGFRRRATRHWGENHEGETGSSSREDDNDSSDGGSGPWRRSERIRRRTTPDQL >Potri.018G070500.1.v4.1 pep chromosome:Pop_tri_v4:18:8505473:8508810:1 gene:Potri.018G070500.v4.1 transcript:Potri.018G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070500.v4.1 MPKDRRDRSISFDRYRTSPYTCSSSCSRRSSPKIPSDTDENLKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCDTSYRHSNCLDQFRKSFSETTPTTPQPQESRLRTMNSPAVVSSVSTVIDPPEDRSEEGSLPTETISCENKVQPKLVCPLCRGQIKEWVVTEPARSFMNAKPRSCACETCNFTGSYSDLRKHARLEHPLVRPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERGDEPIDDGGWLTVFFLIRVFRPGSSPRSSSWSGTSRARAPLGFRRRATRHWGENHEGETGSSSREDDNDSSDGGSGPWRRSERIRRRTTPDQL >Potri.018G070500.4.v4.1 pep chromosome:Pop_tri_v4:18:8505152:8508888:1 gene:Potri.018G070500.v4.1 transcript:Potri.018G070500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070500.v4.1 MPKDRRDRSISFDRYRTSPYTCSSSCSRRSSPKIPSDTDENLKEWEEARCPVCMEHPHNAVLLICSSHEKGCRPYMCDTSYRHSNCLDQFRKSFSETTPTTPQPQESRLRTMNSPAVVSSVSTVIDPPEDRSEEGSLPTETISCENKVQPKLVCPLCRGQIKEWVVTEPARSFMNAKPRSCACETCNFTGSYSDLRKHARLEHPLVRPSEADPERQRNWRRLERQRDLGDLLSTLQSSFGEERGDEPIDDGGWLTVFFLIRVFRPGSSPRSSSWSGTSRARAPLGFRRRATRHWGENHEGETGSSSREDDNDSSDGGSGPWRRSERIRRRTTPDQL >Potri.018G091032.2.v4.1 pep chromosome:Pop_tri_v4:18:11146896:11149972:-1 gene:Potri.018G091032.v4.1 transcript:Potri.018G091032.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091032.v4.1 MSGTSYSSSSSYPNGFDTFSKDASNEVETFNSSMRWAIASSIVLGLVSTVALVVIIYAIIDCLKKTGSAIPAYARIASNEIVGKSTKSEGPNPSSDQHVEIAVEPEFPLIRDSQVEFATMERFLSNMAREKPISFHLSRFKNLLMVAAQYWVQVPLEWFLKETSQMESQ >Potri.009G129400.2.v4.1 pep chromosome:Pop_tri_v4:9:10591487:10593047:-1 gene:Potri.009G129400.v4.1 transcript:Potri.009G129400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129400.v4.1 MAGLIKAFAATLVLCLLTRGSCDCSLNNITIGTVRSGREISGQADWNVTVVNNCQCAQSQIQLSCMGFQTVENIDPSILSKQGDTCLLINGSSLEASASVNFSYAWDPPFLLLPLGSVIHGC >Potri.001G455500.1.v4.1 pep chromosome:Pop_tri_v4:1:48184641:48188050:-1 gene:Potri.001G455500.v4.1 transcript:Potri.001G455500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455500.v4.1 MDLQASIAIIISFLIFAFSQAQDLQPFHQEYGPFNETYYGIFEVEEPATISNSALQITPDSINGNFTLANRSGRVMLNKSFTLWEDDGVGGARVASFNSSFLINIFRLNNSIPGEGFAFLIAPDLELPENSNGQYLGLTNSTTDNNPSNGIVAIELDTVKQEFDPDDNHMGLNIHSVISRKTVPLVNLGIEIAPVGGRNHMVWVHYYGNSKRMEVYMVEEGKGKPATPTLAAELNLKEHVRPKSYFGFAASTGSNFQLNCVLKWNLTVEMLSDPVVNGSGRDNKKLIKICVGIGVALFSFLLIGVGSLVYYLHKKRAASDPKLLRALQSLPGMPREFPFKDLKKATNNFDEKHKLGQGGFGVVYKGVLPKENIQVAVKKFSRDNIKGQDDFLSELTVINRLRHKHLVRLLGWCHKNGMLLLVYDYMPNGSLDNHLFHEDEENTILGWNLRYKIISGVASALHYLHKEYDQTVVHRDLKASNIMLDSEFNARLGDFGLARALENEKTSYAELEGVPGTLGYIAPECFHTGKATPESDVYGFGAVVLEVVCGQRPWTKIGGFQFLVDWVWSLHREGRILEAVDERLNNDYVAEEAQRLLLLGLACSHPIANERPKTQAIFQIVSGSVPAPRVPPFKPAFVWPAYDGSIDFDASSAGTTPITSGWTPQYISRESEGYTDSPVRPV >Potri.014G017600.1.v4.1 pep chromosome:Pop_tri_v4:14:1098875:1101773:-1 gene:Potri.014G017600.v4.1 transcript:Potri.014G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017600.v4.1 MKVSGLWILYLMVGTALMFLNCSPGTTCLAITDMVFTDSRGSMTMATTSRKLKENGYDLSDDVKTSAHNVNLEDYHPIDPVPSSKASIKPGPIEHGTPLNPYIPKPSPPGPGHPKPLG >Potri.004G082100.4.v4.1 pep chromosome:Pop_tri_v4:4:6772133:6772801:-1 gene:Potri.004G082100.v4.1 transcript:Potri.004G082100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082100.v4.1 MFGVVFPDRSFPMDISAFSQIDTFHWILDMNTFVGEAYDQVREICIFLLNSFTLPPDKALAVYIQSPGSEFQFCGAVTITRPSAVLTLNWPEPGGQLQLTGPDTAPLSAKIGVSVEDLASLPSLDVAAEKGVERVAMKVGENLFNYMQSFCGVDGSKLIVPMDILDRWFKKFRERAKRDPDFLKSFRL >Potri.003G110800.4.v4.1 pep chromosome:Pop_tri_v4:3:13308759:13312577:-1 gene:Potri.003G110800.v4.1 transcript:Potri.003G110800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110800.v4.1 MPFRLQAKGGVDFDGFASVCSQQETWTQRQRQQLLEVNCFASKEPNSVLHMRRSPSPPTSVSTLSSSSNGGAGGNTSDKTATITATDKVVNPVNNERKDEWATELQPFPSGLEFVSTGERCGLGLEDWENMLSEPSQEQSLLRWIAGDVDDTQFGLKQLLQSGSSQLEFDGNVGGGSGVGGLGIVDQGPGFESLSGIPGGVSSIGTNLAPFPGPGVSNIGSGLVAPSSSSGLINYKNVGFGSNNNSSVQSPVFSSPTNSVSLPFSLPPGMVYHQNQLQQIEAPEEKPHILNPQILMNQQQSHNPRIRNPNLFLQLPFYQQENRPLHSQLKRHNSGGIDPISHVIPKLPFSDPGQELLLRKHQQQQLGFPQRVQFLHQQLQQKPLVVKKEDLGTQHYQHQHQHQHQHQHQHQHALLDQLYKAAELVGTGNFSHAQGILARLNQQLFPTGKPLHRAAFYFKEALQLLILMNNNSVTAPPPRSPTPFDVIFKMSAYKVLSEVSPLIQFVNFTCNQALLEAVDDADRIHIVDFDIGFGAQWASFMQELPRNRGGRSLKTTAFASPSTHHPVELSLMRDNLTQFANEIGLSFELDVINFDSLEQHCYSLPFFRTSEHEAVVVNFPIWCSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHILHALQSYTHLLESLDAVNATTDDVNKIERFLLQPRIESTVLGRLRATDKMPNWKTIFASAGFSPVTFSNFTETQAECVVKRTPVRGFHVERQQALLVLFWQRRELMSASAWRC >Potri.003G110800.3.v4.1 pep chromosome:Pop_tri_v4:3:13308556:13312965:-1 gene:Potri.003G110800.v4.1 transcript:Potri.003G110800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110800.v4.1 MPFRLQAKGGVDFDGFASVCSQQETWTQRQRQQLLEVNCFASKEPNSVLHMRRSPSPPTSVSTLSSSSNGGAGGNTSDKTATITATDKVVNPVNNERKDEWATELQPFPSGLEFVSTGERCGLGLEDWENMLSEPSQEQSLLRWIAGDVDDTQFGLKQLLQSGSSQLEFDGNVGGGSGVGGLGIVDQGPGFESLSGIPGGVSSIGTNLAPFPGPGVSNIGSGLVAPSSSSGLINYKNVGFGSNNNSSVQSPVFSSPTNSVSLPFSLPPGMVYHQNQLQQIEAPEEKPHILNPQILMNQQQSHNPRIRNPNLFLQLPFYQQENRPLHSQLKRHNSGGIDPISHVIPKLPFSDPGQELLLRKHQQQQLGFPQRVQFLHQQLQQKPLVVKKEDLGTQHYQHQHQHQHQHQHQHQHALLDQLYKAAELVGTGNFSHAQGILARLNQQLFPTGKPLHRAAFYFKEALQLLILMNNNSVTAPPPRSPTPFDVIFKMSAYKVLSEVSPLIQFVNFTCNQALLEAVDDADRIHIVDFDIGFGAQWASFMQELPRNRGGRSLKTTAFASPSTHHPVELSLMRDNLTQFANEIGLSFELDVINFDSLEQHCYSLPFFRTSEHEAVVVNFPIWCSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHILHALQSYTHLLESLDAVNATTDDVNKIERFLLQPRIESTVLGRLRATDKMPNWKTIFASAGFSPVTFSNFTETQAECVVKRTPVRGFHVERQQALLVLFWQRRELMSASAWRC >Potri.003G110800.2.v4.1 pep chromosome:Pop_tri_v4:3:13308654:13312866:-1 gene:Potri.003G110800.v4.1 transcript:Potri.003G110800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110800.v4.1 MPFRLQAKGGVDFDGFASVCSQQETWTQRQRQQLLEVNCFASKEPNSVLHMRRSPSPPTSVSTLSSSSNGGAGGNTSDKTATITATDKVVNPVNNERKDEWATELQPFPSGLEFVSTGERCGLGLEDWENMLSEPSQEQSLLRWIAGDVDDTQFGLKQLLQSGSSQLEFDGNVGGGSGVGGLGIVDQGPGFESLSGIPGGVSSIGTNLAPFPGPGVSNIGSGLVAPSSSSGLINYKNVGFGSNNNSSVQSPVFSSPTNSVSLPFSLPPGMVYHQNQLQQIEAPEEKPHILNPQILMNQQQSHNPRIRNPNLFLQLPFYQQENRPLHSQLKRHNSGGIDPISHVIPKLPFSDPGQELLLRKHQQQQLGFPQRVQFLHQQLQQKPLVVKKEDLGTQHYQHQHQHQHQHQHQHQHALLDQLYKAAELVGTGNFSHAQGILARLNQQLFPTGKPLHRAAFYFKEALQLLILMNNNSVTAPPPRSPTPFDVIFKMSAYKVLSEVSPLIQFVNFTCNQALLEAVDDADRIHIVDFDIGFGAQWASFMQELPRNRGGRSLKTTAFASPSTHHPVELSLMRDNLTQFANEIGLSFELDVINFDSLEQHCYSLPFFRTSEHEAVVVNFPIWCSSNQPSALPSLLRFIKQLSPKIVVSLDRGCDRSDLPFPQHILHALQSYTHLLESLDAVNATTDDVNKIERFLLQPRIESTVLGRLRATDKMPNWKTIFASAGFSPVTFSNFTETQAECVVKRTPVRGFHVERQQALLVLFWQRRELMSASAWRC >Potri.004G191450.1.v4.1 pep chromosome:Pop_tri_v4:4:20351765:20354436:-1 gene:Potri.004G191450.v4.1 transcript:Potri.004G191450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191450.v4.1 MTLQMRVSLLMMLVMFWLMTTESSSQDVKPGCQEKCGNVSVPYPFGIGKPDCAMDEHFFLNCSSNDGGAELWFRSNMTARKISVPEGTVTVSIGTAYDCYDKSGNETRYFDQSMKLGPGPFTFSDTLNIFTVIGCDTAAQVTNEEFTYGVACLSLCTKYVNMTDANACSGSGCCHTSIPMGLKSLDISSYSFFNHSNVSDFNPCGFAFLADTRSFHLSDWPLSRMADGKDTSDVAIEWVVKNETCEQAKANTSAYACGINTNCTYSENGQGYRCVCNEGFEGNPYLEQGCQDIDECKYPERYPCEGKCKNTIGSYKCHCPFGKYANGENGCQRFGGIIIISVAVGAAVFLLIICFLLYVICTKRRRDKNFRKNGGMVLKHQRVRIFREAELEKATNNYVDDQKLGEGGFGYVYKGVLADNTLVAVKKFKGVDKDQLNEEFQKEIGIVSQVNHRNVVKLLGLCLETKVPLLVYEFISNGTLYKHIHDKRSQILDSWSNRLRIASEIALALDYLHSLADPPVIHGDVKSVNILLDNNYTAKVADFGASVLISSGQSFIATKIQGTFGYLDPEYLMTGNLTPKSDVFSFGVVLLELLIGQKPNSHAKSGETRNIIEYFISALENNNLFGILDFQAADEGEMDEIEVVAEIAKRCVNSMGINRPTMKEVSDELAKQKALHESSWAQHKNDDTKHLLSESPRSFSKSASPSLSHCQSTHSVISF >Potri.004G115900.2.v4.1 pep chromosome:Pop_tri_v4:4:10852943:10859425:-1 gene:Potri.004G115900.v4.1 transcript:Potri.004G115900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G115900.v4.1 MDGSSYRGSSSVDMYLANYKLGKTLGIGSFGKVKIAEHALTGHKVAIKILNRRKIKNMEMEEKVRREIKILRLFMHPHIIRLYEVIETPTDIYVVMEYVKSGELFDYIVEKGRLQEDEARNFFQQIISGVEYCHRNMVVHRDLKPENLLLDSKWNVKIADFGLSNIMRDGHFLKTSCGSPNYAAPEVISGKLYAGPEVDVWSCGVILYALLCGTLPFDDENIPNLFKKIKGGIYTLPSHLSPGARDLIPRMLVVDPMKRMTIPEIRQHQWFQARLPRYLAVPPPDTMQQAKKIDEEILQEVIKMGFDRNQLIESLRNRMQNEGTVAYYLLLDNRFRVSNGYLGAEFQETMECAFNGMHQNESSSPAGGHRLPGFMDYQGMGLKSQFLVERKWALGLQSRAHPREIMTEVLKALQELNVSWKKIGQYNMKCRWIPGTPGHHEGMVNDPVHTNHFFGDEPTIIENDGVTNSPNVVKFEVQLYKTRDEKYLLDLQRVQGPQFLFLDLCAAFLAQLRVL >Potri.002G156200.1.v4.1 pep chromosome:Pop_tri_v4:2:11915354:11917822:-1 gene:Potri.002G156200.v4.1 transcript:Potri.002G156200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156200.v4.1 MYPKAFSLSIEGQNRTTTEPKMTEAEPSNNSTVAKPRLVICIGDIHGHITKLQNLWSNLETQFDPQHFNAATIIFLGDYCDRGPDTKKVLDFLIDLPSRYPNQKHVFLSGNHDFAFAAFVGVLPEPQNGVSFKEGWEEYEESEDREGWYKGDGYENMHLEGRRWAGHIKVGFNTIKGTECKGSIYDAGPTFTSYGVPHGSSDLLKAVPDDHKKFLADTVWVHEEDDVCIEDEEGIRHCKLIAAHAGLEEGKNVGEQLRFLKAKETHVPKIEALSGRKTVWDIPKELTEKPTIVVSGHHGKLHIEGLRLIIDESGGFENKPLAAIALPSMKLVRDTDNLTK >Potri.018G139300.1.v4.1 pep chromosome:Pop_tri_v4:18:14433963:14436106:-1 gene:Potri.018G139300.v4.1 transcript:Potri.018G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139300.v4.1 MAVDLVGYSKMEDQMAIQEAASAGIKSMEHLIFALSNQTQQSHQLDCREITSFTVAKFKQVISILNRTGHARFRRGPTSSNPVSVRPVVQEPQKLNLDFFKSNNTFKSETKNDLSFGSQYSKDCFSSGTTTSSFLSSVTADGSVSDGKQGGSSSLFGTHPRPTGKPPLSSIHRKKCHDHTLSTSKISSSGGSCHCSKRRKSRVKRTIRVPAISSKVADIPADEFSWRKYGQKPIKGSPYPRGYYKCSSVRGCPARKHVERAVDDPAMLIVTYEGEHRHSHAPLPENVTANSAMRHVFQST >Potri.007G073200.2.v4.1 pep chromosome:Pop_tri_v4:7:9550860:9555238:-1 gene:Potri.007G073200.v4.1 transcript:Potri.007G073200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073200.v4.1 MDDNNTKLGSGDSKDNTNSELQLEENPNLSSNGEQQQQQGGGGGGGEGEGEREGGGPQSQTSGRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEAGSYLLDYGDDFGDPDDETDGDDDDDETDGDDDTNVDGDAIDVHAHADNRDDNTEVEIDPSVYSSDEAYARALQEAEERDIAARLLALASLNGRDAEEDSEEDLGDNSQETWEEVDPDELSYEELLALGEVVGTESRGLSADIIASLPSINYKAGSSQNGSNDSCVICRLDYEDGETLTLLSCKHSYHSECINNWLKINKVCPFCSTEVSTAAHS >Potri.007G073200.1.v4.1 pep chromosome:Pop_tri_v4:7:9550870:9555248:-1 gene:Potri.007G073200.v4.1 transcript:Potri.007G073200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073200.v4.1 MDDNNTKLGSGDSKDNTNSELQLEENPNLSSNGEQQQQQGGGGGGGEGEGEREGGGPQSQTSGRTPFTNLSQVDADLALARTLQEQERAYMMLRMNNDGSDYGSWEAGSYLLDYGDDFGDPDDETDGDDDDDETDGDDDTNVDGDAIDVHAHADNRDDNTEVEIDPSVYSSDEAYARALQEAEERDIAARLLALASLNGDAEEDSEEDLGDNSQETWEEVDPDELSYEELLALGEVVGTESRGLSADIIASLPSINYKAGSSQNGSNDSCVICRLDYEDGETLTLLSCKHSYHSECINNWLKINKVCPFCSTEVSTAAHS >Potri.008G137600.1.v4.1 pep chromosome:Pop_tri_v4:8:9230877:9232549:1 gene:Potri.008G137600.v4.1 transcript:Potri.008G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137600.v4.1 MHKRFRQVFFALKEHSSVSYAKIATVGGFCDVDLIIVKATAPDDLSLPEKYVHELLKVFSISPSSYRSFSLSFVRRFGKTRSWKVALKCLLLLHRLLRSLPEHSPFRAELLWTRSNGLLSLYPCHFQDDSSSNPEDHTMFIRSYAQLLDQSLGCFSLENKGTEEEVMHESLQHKIKQVSRKLELLPQLQSLIDRVMDCRPTGVAARSLIVQLAMKLIIRDSFICYTTFRREIVLVLDNLLEMPYSSCVSAFGIYKKSATQASQLCEFYDWCKATGFCGSYEYPFIDKIPRIHIQALETFLNGMWQLTDQSSTSTTSPSSVKSKSTSTDDDQVVQRNNLIKISSELEKSDEKGFAKKNEMGNEEMENLIQLEDGEDHNWEALLEASLNSFSNDPRKHLLIYPQILSNGHGDGHGYENQLICLKDTKGEELDQWQMQVYNPNPFHQPRNYFPHSDGSSSAGNPAFPWGF >Potri.018G102800.1.v4.1 pep chromosome:Pop_tri_v4:18:12254699:12258193:1 gene:Potri.018G102800.v4.1 transcript:Potri.018G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102800.v4.1 MNSGDLKGQRETTSSSSSSSSRGKRAAVKLEIVEDPLEEKYGPLHKRSKASQTIQQWGAGANAVPVSAAEYNPLDEPSPLGLQLRKSPSLLDLIQMRLTQGNNASALGTQETEKQNLGVKKESKSAPASGSMDKLKASNFPASILRIGSWEYKSRYEGDLVAKCYFAKHKLVWEVLEGGLKSKIEIQWSDIMALKANCPDNEPATLNVVLARQPLFFRETNPQPRKHTLWQATADFTDGQASIHKQHFLQCPEGLLNKHFEKLIQCDMRLNFLSQQPEIILDSPYFEQRPSVFEDLDDSKSQDFNQVESAKVSVVSGFQDLASPSAAQSSSLEIEKGDPTASTSDPMSREAPSPSSVMDSRAIEGRGICEAVDSKAPRNWDQIKVPGLRPSMSMTDLMNHIGNCISEQMTSGNQPFSADGSECQDILEDIAQYLLSDTQQTTSSDEKGIMARVNSLCCLLQKDPASTQNLQGNGESFFEEPNNGKGVLLNHTNESFHENKVRGDIRGSEGSSSKDISGSKPAPGMSRKDSFGDLLLHLPRIASLPKFLFNISEEDGYSQDR >Potri.004G218650.5.v4.1 pep chromosome:Pop_tri_v4:4:22461496:22466770:1 gene:Potri.004G218650.v4.1 transcript:Potri.004G218650.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218650.v4.1 MAQRLLRLRRALTPFSSTRQRPPLSTPIAIAPPAAQTPPIISQWRGFSGTRVSMMSTTGLAEKQYKVYEDGEEIVKNTILFEGNEYIHWLVTVDFPKEPKPSPEEMVAAFERICAQGLNISIEEAKKRMYACSTTIYQGFQVSITHQEAEKFRGVPGAVFVSPDSRVKKENGGDKYKNAVITPRPPPVQFQRGGERRRDPGRIPPRFDQPESPIPNHQGPQPQYSQQGHMQGGGSNYGSQQNRPPQKNHGPPGLGGTVPMNNRDYATGGRNTYPGQQGNHDPPGQQGYNQGQQGNHYDPDQRSFPQGDWRRDHGSPGQRDYRGDNWNYSPTHGGNYGQGGNTSYGQRHPGEGQRSAQMELRGMQGEQGNYAPMGQPGWSNQYSQQGHMQGGGSCYGSPQNGPSQQDHGPPVLGGRMPMNNRDYAPGGRNMYPGQQGYFQGQQGNHYPPGQQGYNQGQHGNRYSPGQQGYNQEQQGNHYPPGQQGYNPGQHGNRYPPGQQGYNQGQQGNLYPPGHQGYFQEQQGNHYPPGQQGYFQEQQGNRYPPGQQGYNQGQQGSHYRPGQQGYNQGQQGNHYDPDQRSFPQGDWRRDHGSPGQRDDRGDNWNYSPTHGGNYGQGGNTSYGQRHPGEGQRSVQMEQRSMQTEQGNSAPLGQPGWSNQHGKDSWCQLTETASSA >Potri.004G218650.6.v4.1 pep chromosome:Pop_tri_v4:4:22461518:22466704:1 gene:Potri.004G218650.v4.1 transcript:Potri.004G218650.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218650.v4.1 MQGGGSNYGSQQNRPPQKNHGPPGLGGTVPMNNRDYATGGRNTYPGQQGNHDPPGQQGYNQGQQGNHYDPDQRSFPQGDWRRDHGSPGQRDYRGDNWNYSPTHGGNYGQGGNTSYGQRHPGEGQRSAQMELRGMQGEQGNYAPMGQPGWSNQYSQQGHMQGGGSCYGSPQNGPSQQDHGPPVLGGRMPMNNRDYAPGGRNMYPGQQGYFQGQQGNHYPPGQQGYNQGQHGNRYSPGQQGYNQEQQGNHYPPGQQGYNPGQHGNRYPPGQQGYNQGQQGNLYPPGHQGYFQEQQGNHYPPGQQGYFQEQQGNRYPPGQQGYNQGQQGSHYRPGQQGYNQGQQGNHYDPDQRSFPQGDWRRDHGSPGQRDDRGDNWNYSPTHGGNYGQGGNTSYGQRHPGEGQRSVQMEQRSMQTEQGNSAPLGQPGWSNQHGKDSWCQLTETASSA >Potri.010G082400.7.v4.1 pep chromosome:Pop_tri_v4:10:10986027:10989591:-1 gene:Potri.010G082400.v4.1 transcript:Potri.010G082400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082400.v4.1 MKHPFFNSWGLLMQQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEMDDVKYSRSNNPHNTQFRAPAVTPGGNAITAAATGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAIAAIAAATAASSGAGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLASVVNSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVDKGLAVAGGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYVNRMNQVMLKMKSKHVAGTITKKKKNVVLAVIKDMPAWPGRHLLEGGEHRRYFGLKTLQRGVVEFECMNQKEYDLWTQGVSRLLSIAAEKGNRHRV >Potri.010G082400.5.v4.1 pep chromosome:Pop_tri_v4:10:10985764:10990723:-1 gene:Potri.010G082400.v4.1 transcript:Potri.010G082400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082400.v4.1 MDKPIAETWRPEPAHFRPPETPREPMEFLSRSWSLSALEVSKALAPPQMVFSSKTNPCGAGGMIQEDIIGELEESGATVSGNPFSFASSETSQMVLERIMSQSQEVSPRTSGRLSHSSGPLNGSLTDSPPVSPSEMDDVKYSRSNNPHNTQFRAPAVTPGGNAITAAATGGGGGGKTVGRWLKDRREKKKEETRAHNAQLHAAISVAGVAAAIAAIAAATAASSGAGKDEQMAKTDMAVASAATLVAAQCVEAAEAMGAEREHLASVVNSAVNVRSAGDIMTLTAAAATALRGAATLKARALKEVWNIAAVIPVDKGLAVAGGNGSNGSSNGSFSGELVPEENFLGICSRELLARGCELLKRTRKGDLHWKIVSVYVNRMNQVMLKMKSKHVAGTITKKKKNVVLAVIKDMPAWPGRHLLEGGEHRRYFGLKTLQRGVVEFECMNQKEYDLWTQGVSRLLSIAAEKGNRHRV >Potri.T125204.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:75215:77404:1 gene:Potri.T125204.v4.1 transcript:Potri.T125204.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125204.v4.1 MHMEVRGAFSSDGGKSYRELTPLRLIRGVVCLLVLLLTAFMTLVYCGFVSAVLLRLVSIHRSRKVTSFFFGSWLALWPFLFEKINKTKVIFSGEIVPDRERVLLIANHRTEVDWMYLWDLALRKGCLGCIRYVLKSSLMKLPVFGWGFHILEFISVERKWEVDESNIHQMLSSFKDPRDPLWLALFPEGTDFTEQKCIRSKKYAAEHGLPILNNVLLPKTKGFYACMEDLRGSLDAVYDVTIGYKPRCPSLLDNVFGVNPSEVHIHVRRIALGEIPTSEKEVSAWLTNTFQLKDQLLSDFYLQGHFPHQGTEGDLSTVKCLVNFVALMMLISTFTFFTIFSSVWFKIYVSLVCCYLSSATYFNVRPKPLL >Potri.018G035900.1.v4.1 pep chromosome:Pop_tri_v4:18:2780497:2783413:-1 gene:Potri.018G035900.v4.1 transcript:Potri.018G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035900.v4.1 MATRIGALKIRELENFFVPILQNCKNIVELKSIHAHVIKYSLSQSSFLVTKMVDVCDKTEDLGYASLLFKQVKEPNGYLYNAMIRAHTHNKVYALAILFYKEMLRLKDPESENPIFPDRFTFPFVIKSCSGLVCYNLGKQVHAHLCKFGPKSNITMENALIDMYTKCASLLDAHKVFDGMVERDAISWNSIISGHVGVGQMRKAGALFDLMPYRTIVSWTAMISGYTRLGSYADALYVFRQMQIVGVEPDEISIISVLPACAQLGALEVGKWIHMYCDRNGLLRKTSICNALMEMYSKCGCIGQAYQLFDQMSKGDVISWSTMIGGLANHGKAREAIELFKRMKKAKIEPNGITFLGLLSACAHAGFWNEGLAYFDSMSKDYHIEPEVEHYGCLVDILGRAGRLSQALDVIEKMPMKPDSKIWGSLLSSCRTHSNLDIAIIAMEHLEELEPDDTGNYVLLSNIYADLAKWDGVSRMRKLIKSKSMKKTPGSSLIDINNVVQEFVSWDDSKPFSRDIFWLLELLTSHQDTTDPHLIEIMLEDGSECLG >Potri.008G133900.10.v4.1 pep chromosome:Pop_tri_v4:8:8897688:8902700:-1 gene:Potri.008G133900.v4.1 transcript:Potri.008G133900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133900.v4.1 MGSEGSSVVVPRNFRLLEELERGEKGIGNGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGKDYPDNPPSVRFQTRINMACVNPASGMVEPSLFPMLANWQRECTMEDILTQLKKEMMAPQNRKLTQPPEGNEEARLDQKGLVLKCCIL >Potri.008G133900.6.v4.1 pep chromosome:Pop_tri_v4:8:8897860:8902700:-1 gene:Potri.008G133900.v4.1 transcript:Potri.008G133900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133900.v4.1 MGSEGSSVVVPRNFRLLEELERGEKGIGNGTVSYGMDDADDIYMQSWTGTIIGPPNTVHEGRIYQLKLFCGKDYPDNPPSVRFQTRINMACVNPASGMVEPSLFPMLANWQRECTMEDILTQLKKEMMAPQNRKLTQPPEGNEEARLDQKGLVLKCCIL >Potri.006G260200.4.v4.1 pep chromosome:Pop_tri_v4:6:25642150:25646495:-1 gene:Potri.006G260200.v4.1 transcript:Potri.006G260200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260200.v4.1 MASTMLSLASTTPSASLSMQEILKGKARLGSGSVSTLFNKEKGNPFIKAKSFGRISMTVAVNVSRFEGIAMAPPDPILGVSEAFRADTDVKKLNLGVGAYRTEELQPYVLDVVKKAENLMLERGENKEYLPIEGLAAFNKVTAELLFGADNPVIKQQRVATVQGLSGTGSLRLAAALIERYFPGAQVLISSPTWGNHKNIFNDARVPWSEYRYYDPKTVGLDFEGMISDIKAAPEGSFVLLHGCAHNPTGIDPTPEQWEKIADVIQEKNHVPFFDVAYQGFASGSLDADASSVRLFAARGMELLIAQSYSKNLGLYAERIGAINVVCSSADAAARVKSQLKRIARPMYSNPPVHGARIVANVVGDPILFNEWKEEMEMLAGRIKNVRQKLFDSLSAKDKSGKDWSFILKQIGMFSFTGLNKTQSENMTNKWHVYMTRDGRISLAGLSLAKCEYLADAIIDSYHNVS >Potri.004G019300.3.v4.1 pep chromosome:Pop_tri_v4:4:1379761:1384492:-1 gene:Potri.004G019300.v4.1 transcript:Potri.004G019300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019300.v4.1 MDSLGVSSYRFSISWARILPRGRFGDINKAGISYYNKLIDSLLLKGIQPFVTLVHYDIPEELEERYGGWLSPRCQEDFGYYADICFKNFGDRVKYWTTFNEPNIQTIKSYRSGEYPPCHCSSPFGNCTHGDSEKEPFIAAHNMILAHATAVDVYRTKYQKEQGGNIGIVLDCIWFEQISNSTADKLAADRAQDFFLNWFLDPIIFGNYPAEMSKILGSTLPKFSSNDKEKLKNGLDFIGINHYTSEYVQDCIFSVCEPGTGASRTEGLARRSQEKDGVPIGIPTDVDWLHFYPQGMEKMVTYIKKRYNNKPMIITENGYGQQNNPNLTIVCHDIERVEFMSNYWDSLLTAMEKGADVRGYFAWSLLDNFEWTYGYTQRYGLYHVDFTTLKRTPKLSAAWFKEFIARYKVDKSQM >Potri.004G019300.1.v4.1 pep chromosome:Pop_tri_v4:4:1379764:1383415:-1 gene:Potri.004G019300.v4.1 transcript:Potri.004G019300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019300.v4.1 MRDSKGRALLIRELPSNFQVMFLLVLIFVPLCVSSHPETLQESLDHFSLPDNFLFGMASSSYQFEGSYLSDGKGLSNWDMHTHTPGKIIDGSNGDIAADQYHLYPEDIDLMDSLGVSSYRFSISWARILPRGRFGDINKAGISYYNKLIDSLLLKGIQPFVTLVHYDIPEELEERYGGWLSPRCQEDFGYYADICFKNFGDRVKYWTTFNEPNIQTIKSYRSGEYPPCHCSSPFGNCTHGDSEKEPFIAAHNMILAHATAVDVYRTKYQKEQGGNIGIVLDCIWFEQISNSTADKLAADRAQDFFLNWFLDPIIFGNYPAEMSKILGSTLPKFSSNDKEKLKNGLDFIGINHYTSEYVQDCIFSVCEPGTGASRTEGLARRSQEKDGVPIGIPTDVDWLHFYPQGMEKMVTYIKKRYNNKPMIITENGYGQQNNPNLTIVCHDIERVEFMSNYWDSLLTAMEKGADVRGYFAWSLLDNFEWTYGYTQRYGLYHVDFTTLKRTPKLSAAWFKEFIARYKVDKSQM >Potri.006G211300.1.v4.1 pep chromosome:Pop_tri_v4:6:21833001:21838238:1 gene:Potri.006G211300.v4.1 transcript:Potri.006G211300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211300.v4.1 MFSFMQKMLRFQRSFSVSPFPTLPLQLPSPMETHLWFVVPDEFKSVSLLNQYMELLSPCEKENVLSMRGEQLQKSALLARTLVRTTIARYQINNRVVDPRSLKFKRNVHGKPELEWESDGGQCPSPLHFNISHTSSLIACGVTVNSSIGIDIEEKQRKIKNNILAFARRYFSLHEVEHLSAISDSEVQRQEFVKLWTLKEAYVKALGRGFSAAPFKTFTICIKNAFRTGFDHADDIESGYR >Potri.006G211300.2.v4.1 pep chromosome:Pop_tri_v4:6:21833001:21838238:1 gene:Potri.006G211300.v4.1 transcript:Potri.006G211300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211300.v4.1 MFSFMQKMLRFQRSFSVSPFPTLPLQLPSPMETHLWFVVPDEFKSVSLLNQYMELLSPCEKENVLSMRGEQLQKSALLARTLVRTTIARYQINNRVVDPRSLKFKRNVHGKPELEWESDGGQCPSPLHFNISHTSSLIACGVTVNSSIGIDIEEKQRKIKNNILAFARRYFSLHEVEHLSAISDSEVQRQEFVKLWTLKEAYVKALGRGFSAAPFKTFTICIKNAFRTGFDHADDIESGASEVVVESSDHPERLTNNWQFGLFELASSHYAAVCMEKDKTSDAGMSAPMRLTVRKTIPFVEDECISGTDAVVPIAGLIEQF >Potri.005G019800.1.v4.1 pep chromosome:Pop_tri_v4:5:1262514:1267605:-1 gene:Potri.005G019800.v4.1 transcript:Potri.005G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G019800.v4.1 MGGRDLELERARFLSLALEFGFDEESANKCLDRLISLYGDDGQDFITVECCGDDFLVALAESMQDTEDWGDDDLQIIESEACGTLTNMFEEHVVKNNNKANDDENSRTYIDVTDDSPEPKKTRTFMELDSSSDSEEEPDFSLEEENHDLFTPLTKRISGSLDCKSSVTQGSVSSVGNKKQCPRMSKDDHRSLNYEELQALDDFELANVVIFGNKTFRPLQHQACKATVAKQDCFVLMPTGGGKSLCYQLPATLKPGVTVVISPLLSLIQDQIITLNLKFGIPATFLNSQQTSAQAAAILQELRKDKPSCKLLYVTPERIAGNPAFLEILKCLHLKGQLAGFVVDEAHCVSQWGHDFRPDYKRLGCLKQNFPVVPVMALTATATHSVREDILKTLRIPRALVLETSFDRPNLKYEVVGKTKESLKLLGDLLRDRFKNQCGIVYCLSKNECVEVSKFLNEKCKIKAVYYHAGLGARQRVDVQRKWHTGEVHIVCATIAFGMGIDKPDVRFVIHNTLSKSIESYYQESGRAGRDSHPATCIALYQKKDFSRVVCMLRSGQGYKRESFKPAMAQAQKMKSYCELKVECRRQVLLEHFGESFDQKACKFGSNPCDNCLKTTL >Potri.001G142900.1.v4.1 pep chromosome:Pop_tri_v4:1:11779995:11781355:1 gene:Potri.001G142900.v4.1 transcript:Potri.001G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PALM1 MAAEIGLLSLTQLQKLPQSQQNQYQLHQLNPNETPSVWMWNPKQTQEEDDSWEVRAFAEDTGNINGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTQPGSINPNSSTSSSSSSTFIIPTQEFPPNAGLCLLYQLPNPNGVFTPATMNACATDSPSTLLSITPYPHNNLIEKSLNFLVAPPEINTSHCYSIKAEPSASIDNSNNINSDNNFKELAHEELDLELRLGHRSTTPPPSS >Potri.015G143000.3.v4.1 pep chromosome:Pop_tri_v4:15:14859268:14866210:-1 gene:Potri.015G143000.v4.1 transcript:Potri.015G143000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143000.v4.1 MKESSEGENESIAHGGPIYVPNLVGPLTTVPDFQAALLSELQNLQSELSLDSSELRDDIDLSVDELKIFSEEELVDMALKEAFKDGEKTGSSPEPFVEHSNARREDDLRMCSNKDSCSQSSRRRRDTSTPLELSNGSHSSTSCNRATINSNNSKSGKRRKSNKHDVNESYLMKVDDLVKIKQKQDQDKAMTRLHSFNCKINYSGITSLNRTNTMQSLRSTNFGKKPKSSDLQEHIAVMLPEVVICVEIYHCIRKWFKTQEFLVLGGQTLTEMRDKIYCLTDQMMQKAGQHDPSGYFLVEDVFCNDLRDTSAIDYSEPIIDWLRNKKADAFRKWECIISGDLQQKQKAVLGESTTPCLPQFRRRDMQNTRFCDLRFRLGAGYLYCHQGDCKHTIIFRDMRLIHPDDLQNRVAYPIVSFQIKFRTQKCMVCKVYRAVKVTVDDKWAPDNPCYFCNDCYYLLHHSENGSLLYSGFSAYDYVHD >Potri.015G143000.2.v4.1 pep chromosome:Pop_tri_v4:15:14859218:14866210:-1 gene:Potri.015G143000.v4.1 transcript:Potri.015G143000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143000.v4.1 MKESSEGENESIAHGGPIYVPNLVGPLTTVPDFQAALLSELQNLQSELSLDSSELRDDIDLSVDELKIFSEEELVDMALKEAFKDGEKTGSSPEPFVEHSNARREDDLRMCSNKDSCSQSSRRRRDTSTPLELSNGSHSSTSCNRATINSNNSKSGKRRKSNKHDVNESYLMKVDDLVKIKQKQDQDKAMTRLHSFNCKINYSGITSLNRTNTMQSLRSTNFGKKPKSSDLQEHIAVMLPEVVICVEIYHCIRKWFKTQEFLVLGGQTLTEMRDKIYCLTDQMMQKAGQHDPSGYFLVEDVFCNDLRDTSAIDYSEPIIDWLRNKKADAFRKWECIISGDLQQKQKAVLGESTTPCLPQFRRRDMQNTRFCDLRFRLGAGYLYCHQGDCKHTIIFRDMRLIHPDDLQNRVAYPIVSFQIKFRTQKCMVCKVYRAVKVTVDDKWAPDNPCYFCNDCYYLLHHSENGSLLYSGFSAYDYVHD >Potri.005G045000.3.v4.1 pep chromosome:Pop_tri_v4:5:2847237:2849794:-1 gene:Potri.005G045000.v4.1 transcript:Potri.005G045000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045000.v4.1 MMMFMRKGTLGATASSSAIWLLLQQHMEMGIFPFPPNFPSFLLFNHHHITTSACTEKPSLPQKHCGFGSNTSNDISIDDALTSFYRMVRMNPRPSVVEFGKFLGSIAKKKQYSSAVSLCNQMDLFGVTHNVYSLNVLINCLCRLSHVDFAVSVMGKMFKLGIQPDAITFNTLINGLCNEGKIKEAVGLFNEMVWSGHEPNVISYNTVINGLCKNGNTIMAVRVFRKMEQNRGKPNVVTYNTIIDSLCKDRLVNEAVEFLSEMVDRGIPPDVVTYNTILHGFCSLGQLNEATRLFKEMVGRNVMPDTVTFNILVDGLCKEGMVSEARCVSETMTEKGAEPNAYTYNALMDGYCLHNQMDEAIKVLGIMIGKGCAPNLSSYNILINGYCKSKRMNEAKRLLSEMSEKNLTPDTVTYSTLMQGLCQVGRPREALNLFKEMCSSGLLPDLMAYSILLDGFCKHGHLDEALKLLKEMHERRIKPNIILYTILIRGMFIAGKLEVAKELFSKLSADGIRPDIWTYNVMIKGLLKEGLSDEAYEFFRKMEDDGFLPDSCSYNVIIQGFLQNQDSSTAIQLIDEMVGKRFSADSSTFQMLLDLESHDEIISRFMRGSSQHTKMND >Potri.001G106951.1.v4.1 pep chromosome:Pop_tri_v4:1:8588860:8589544:-1 gene:Potri.001G106951.v4.1 transcript:Potri.001G106951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106951.v4.1 MAEWSKAPDSSSGPRERAWVQIPLLTVLHLV >Potri.006G108100.1.v4.1 pep chromosome:Pop_tri_v4:6:8397428:8398109:1 gene:Potri.006G108100.v4.1 transcript:Potri.006G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108100.v4.1 MASAKLVCALLLCIVLSAPMLNVDALSCGVVAGDLAQCLTYLKKGGRVPPACCKGVVALKSAAKTTQDRQDACNCMKQTASKVGGVNAGFAAALPRLCKVNIAYKISTSTNCTSIK >Potri.001G388600.1.v4.1 pep chromosome:Pop_tri_v4:1:40988034:40990533:1 gene:Potri.001G388600.v4.1 transcript:Potri.001G388600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388600.v4.1 MASCISLSSSIPLAASSFLPSFPKTHQVSRVKKPNRPNIPIVSCKSGKNDHEQNPATRRDLLIGLGGLYGATSLSDPFAYANPIAPPDITQCELVTLPSESNPTNCCPQTSTKIKNFEFPSASSPMRIRPAAHLVDKASLAKYAKAIALMKSLPDDDPRSFKSQANVHCAYCDGAYHQAGFPDLQLQIHFSWLFFPWHRAYLYYFERILGKLIDDPTFALPFWNWDAPAGMQIPAIFTDPKSPLYDPLRDANHQPPTLFDLNYATGDANPDPAKAEELYASNLNVMYRQMVSGATKPTLFFGKPYRAGDDPSPGMGTIETTPHTQIHIWTGDPNQTKGENMGNFYSAGRDPIFYCHHSNVDRMWDLWKKIPGGKRKDIEDPDWLNSEFLFWDENKELVRVKVKDTLDTKKLGYGFQDVPIPWLTTRATPKLTRQEKSRRAAEKSVVLTPISAFPVVLDKVISVEVSRPKKSRSATEKEDEDEVLVIEGIEYEENQLIKFDVLVNDEPDSPGGPDMSEFAGSFVNVPHKHAKKSKTTMVLGITGLLEDLEAEGDDTLVVTFVPRTGGDSVTVANVKIEFVAD >Potri.004G031400.1.v4.1 pep chromosome:Pop_tri_v4:4:2423591:2424887:-1 gene:Potri.004G031400.v4.1 transcript:Potri.004G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031400.v4.1 MMSYSPNLQKPSNSTNHYEEDQQQQQQQIKSQSQKYEEIISTLPKDQYGWLDEHYKIEGFWYDPVWAVGVLWAQENFQARSSDIILASFPKCGTTWLKALMFAIQKRNDRCLNDSTHPLLTTNPHKCVPYFELQAHEDDPITYLDSLPSPRLLGTHISYTSLPKSIINSGSKIVCICRDSKDVLASLWKFVNKIRSGRNMLPLPLEEGFELFCKGVCLSGPFWEYNSEYWNASLERPNNVFFLKYEDLKKDTAFYVQKLAQFMERPFSVDEKSKGVVKDIIKLCSLENLSNLEVNKTGTFHLGSKAKVDNNAFFRRGNVGDSKTCLTPTMIKRLDEITKAKFRGSGLAI >Potri.001G391900.8.v4.1 pep chromosome:Pop_tri_v4:1:41515096:41521237:1 gene:Potri.001G391900.v4.1 transcript:Potri.001G391900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391900.v4.1 MAHSHARNLEKMASIDAQLRLLAPGKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENNATTESDIEETLKRLVSEMKKSPEEVFDALKNQTVELVFTAHPTQSVRRSLLQKHARIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRLRADELHRSSKRDAKHYIEFWKQIPPNEPYRVILGELRDKLYQTRERSRQLLSHGISEIPEEATFINVEQFLEPLELCYRSLCSCGDRTIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYREWSEERRQEWLLSELRGKRPLFGPDLPKTEEISDVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALVRLFSIDWYRNRINGIQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEKCFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIIQKDIRNLHMLQEMYNAWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWAFGEKLRADYEETKGLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVTTRPHISKEIMESSNPADELVKLNPTSEYGPGLEDTLILTMKGIAAGMQNTG >Potri.001G391900.9.v4.1 pep chromosome:Pop_tri_v4:1:41515815:41521327:1 gene:Potri.001G391900.v4.1 transcript:Potri.001G391900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391900.v4.1 MLNLANLAEEVQIAYRRRNKLKKGDFADENNATTESDIEETLKRLVSEMKKSPEEVFDALKNQTVELVFTAHPTQSVRRSLLQKHARIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRLRADELHRSSKRDAKHYIEFWKQIPPNEPYRVILGELRDKLYQTRERSRQLLSHGISEIPEEATFINVEQFLEPLELCYRSLCSCGDRTIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYREWSEERRQEWLLSELRGKRPLFGPDLPKTEEISDVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALVRLFSIDWYRNRINGIQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEKCFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIIQKDIRNLHMLQEMYNAWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWAFGEKLRADYEETKGLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVTTRPHISKEIMESSNPADELVKLNPTSEYGPGLEDTLILTMKGIAAGMQNTG >Potri.001G391900.6.v4.1 pep chromosome:Pop_tri_v4:1:41514878:41521339:1 gene:Potri.001G391900.v4.1 transcript:Potri.001G391900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391900.v4.1 MAHSHARNLEKMASIDAQLRLLAPGKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENNATTESDIEETLKRLVSEMKKSPEEVFDALKNQTVELVFTAHPTQSVRRSLLQKHARIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRLRADELHRSSKRDAKHYIEFWKQIPPNEPYRVILGELRDKLYQTRERSRQLLSHGISEIPEEATFINVEQFLEPLELCYRSLCSCGDRTIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYREWSEERRQEWLLSELRGKRPLFGPDLPKTEEISDVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALVRLFSIDWYRNRINGIQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEKCFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIIQKDIRNLHMLQEMYNAWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWAFGEKLRADYEETKGLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVTTRPHISKEIMESSNPADELVKLNPTSEYGPGLEDTLILTMKGIAAGMQNTG >Potri.001G391900.7.v4.1 pep chromosome:Pop_tri_v4:1:41515096:41521231:1 gene:Potri.001G391900.v4.1 transcript:Potri.001G391900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391900.v4.1 MAHSHARNLEKMASIDAQLRLLAPGKVSEDDKLIEYDALLLDRFLDILQDLHGEDLKETVQECYELSAEYEGKHDPKKLEELGSVLTSLDPGDSIVIAKSFSHMLNLANLAEEVQIAYRRRNKLKKGDFADENNATTESDIEETLKRLVSEMKKSPEEVFDALKNQTVELVFTAHPTQSVRRSLLQKHARIRNCLAQLYAKDITPDDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRVDTALKNIGINERVPYNAPLIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYYSQIEDLMFELSMWRCSDELRLRADELHRSSKRDAKHYIEFWKQIPPNEPYRVILGELRDKLYQTRERSRQLLSHGISEIPEEATFINVEQFLEPLELCYRSLCSCGDRTIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTDVLDAITKHLEIGSYREWSEERRQEWLLSELRGKRPLFGPDLPKTEEISDVLDTFHVIAELPADNFGAYIISMATAPSDVLAVELLQRECHVKQPLRVVPLFEKLADLEAAPAALVRLFSIDWYRNRINGIQEVMIGYSDSGKDAGRFSAAWQLYKAQEELIKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEKCFGEEHLCFRTLQRFTAATLEHGMHPPVSPKPEWRALMDEMAVVATEEYRSIVFKEPRFVEYFRLATPEMEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHIIQKDIRNLHMLQEMYNAWPFFRVTIDLVEMVFAKGDPGIAALNDKLLVSEDLWAFGEKLRADYEETKGLLLQIAGHKDLLEGDPYLKQRLRLRDSYITTLNVCQAYTLKRIRDPNYNVTTRPHISKEIMESSNPADELVKLNPTSEYGPGLEDTLILTMKGIAAGMQNTG >Potri.010G140500.1.v4.1 pep chromosome:Pop_tri_v4:10:15396511:15396894:-1 gene:Potri.010G140500.v4.1 transcript:Potri.010G140500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140500.v4.1 MQSEKIQLLESIACTVMAESVETEECLDVACEQISMVLSHIRGLPRQIHGENAFNCPSDSLILPEVEDSGGTVTLGKLKERRPRGGVGISRKRRHYSSPCCGRFGHDASDCPMMRSEHLNGSELVYW >Potri.014G070000.2.v4.1 pep chromosome:Pop_tri_v4:14:4430402:4436192:-1 gene:Potri.014G070000.v4.1 transcript:Potri.014G070000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070000.v4.1 MEGREGLSSGVTVIGAEAPSTYHMAPRTENPGQIVVSPPAVEVPPVGAGLIGGTAEKKKRGRPRKYGPDGAVARALSPMPISASAPHTGGDYSAGKPGKVWPGSYEKKKYKKMGMENLGEWAANSVGTNFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTEIQGTRSRSGGMSVSLASPDGRVVGGSVAGLLVAASPVQVVVGSFLPGNHQEQKPKKPKIDSIPATFAPAPAIPASIAEREESAGTPQGQQNSSPFQRENWATMHSMQDVRNSGTDINISLPEG >Potri.014G070000.6.v4.1 pep chromosome:Pop_tri_v4:14:4430415:4436358:-1 gene:Potri.014G070000.v4.1 transcript:Potri.014G070000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070000.v4.1 MEGREGLSSGVTVIGAEAPSTYHMAPRTENPGQIVVSPPAVEVPPVGAGLIGGTAEKKKRGRPRKYGPDGAVARALSPMPISASAPHTGGDYSAGKPGKVWPGSYEKKKYKKMGMENLGEWAANSVGTNFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTEIQGTRSRSGGMSVSLASPDGRVVGGSVAGLLVAASPVQVVVGSFLPGNHQEQKPKKPKIDSIPATFAPAPAIPASIAEREESAGTPQGQQNSSPFQRENWATMHSMQDVRNSGTDINISLPEG >Potri.014G070000.1.v4.1 pep chromosome:Pop_tri_v4:14:4430420:4436358:-1 gene:Potri.014G070000.v4.1 transcript:Potri.014G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070000.v4.1 MEGREGLSSGVTVIGAEAPSTYHMAPRTENPGQIVVSPPAVEVPPVGAGLIGGTAEKKKRGRPRKYGPDGAVARALSPMPISASAPHTGGDYSAGKPGKVWPGSYEKKKYKKMGMENLGEWAANSVGTNFTPHVITVNAGEDVTMKVISFSQQGPRAICILSANGVISNVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTEIQGTRSRSGGMSVSLASPDGRVVGGSVAGLLVAASPVQVVVGSFLPGNHQEQKPKKPKIDSIPATFAPAPAIPASIAEREESAGTPQGQQNSSPFQRENWATMHSMQDVRNSGTDINISLPEG >Potri.018G111400.1.v4.1 pep chromosome:Pop_tri_v4:18:12891437:12895459:-1 gene:Potri.018G111400.v4.1 transcript:Potri.018G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111400.v4.1 MGNVTSSVAAKFAFFPPDPPTYDVFRESDGRLVLPGVTADKNMEVHLLETKPGNKIVATFWKHPFARFTVLYSHGNAADLGQMHELFIELRAHLRVNIMSYDYSGYGASSGKPSEFNTYYDIEAVYNCLKKDYGIKQEDLILYGQSVGSGPTLHLASRLQKLRGVVLHSAILSGIRVLCPVKMTFWFDIYKNIDKIRLVSCPVLVIHGTNDDIVDLSHGKRLWELAKEKYDPLWVKGGGHCNLETYPEYIKHLRKFINAMEKISIVKPTKQLTQNPSIEVKHNKCLRFGIR >Potri.015G120000.3.v4.1 pep chromosome:Pop_tri_v4:15:13432232:13442387:1 gene:Potri.015G120000.v4.1 transcript:Potri.015G120000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120000.v4.1 MSFLSKSSSQGAPKGSKGLPSKHVSKGAEGTGSSFPSISSKMKIPFGRRSKGKASSDSQQPSPNNENPETDTEPAPSQDADGKTTFKPKNLGIFSEEESQAWTISERDIAEMLEVCWLDVTGSMTATKGKAYEISFILSMNEENSFGWEDPVYVMARIGEEGEYTRVKIDLSKLGLKEEEFPAEKCRVEFRSGENAKSIEKKLYFGLYEVWTNNWKGGLRIHEAIVRELTAEDSASTSNTRSDDSKVQEASNS >Potri.015G120000.2.v4.1 pep chromosome:Pop_tri_v4:15:13432232:13442387:1 gene:Potri.015G120000.v4.1 transcript:Potri.015G120000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120000.v4.1 MSFLSKSSSQGAPKGSKGLPSKHVSKGAEGTGSSFPSISSKMKIPFGRRSKGSKASSDSQQPSPNNENPETDTEPAPSQDADGKTTFKPKNLGIFSEEESQAWTISERDIAEMLEVCWLDVTGSMTATKGKAYEISFILSMNEENSFGWEDPVYVMARIGEEGEYTRVKIDLSKLGLKEEEFPAEKCRVEFRSGENAKSIEKKLYFGLYEVWTNNWKGGLRIHEAIVRELTAEDSASTSNTRSDDSKVQEASNS >Potri.014G192900.1.v4.1 pep chromosome:Pop_tri_v4:14:17041756:17047251:1 gene:Potri.014G192900.v4.1 transcript:Potri.014G192900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192900.v4.1 MAASAYPSVNAVQVGSYFVGQYYQVLQQHPDLVHQFYAGSSNMTRIDAGSTESANTMLQIHALVMSLNLTAIEIKTINSLDSWNGGVLVMVSGSVKTKDFVNRRIFVQTFFLAPQEKGYYVLNDIFLFVDDGAAYQQDLPPENIHMQHPAPISSDETFDAQLDSSNPLPEAPVSDYVLEEEARECVNSVRIDDDPVDKYSLPEQQHQEDLETEIVVEETPVDETAASFQAAVNAVQDFPTAAPEEPLEEPPKKTYASIVSKGQFSSSVATQPPVNKSAPTTSDWNHMPTPTAQQPESVLSSAPESGMEVTEDSLGLDEGELKSVYVRNLPSDITAEEIEEEFKHFGRIKPDGVFVRNRKDVVGVCYAFVEFEDLRSVQNAIKASPIQLAGRPVYIEERRSSSSIASRGGRGRGRGRGYQTDAPRGRFGARSLGRGGNQDGGGDYSRARGSGFYQRALQ >Potri.014G192900.2.v4.1 pep chromosome:Pop_tri_v4:14:17041707:17047345:1 gene:Potri.014G192900.v4.1 transcript:Potri.014G192900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192900.v4.1 MAASAYPSVNAVQVGSYFVGQYYQVLQQHPDLVHQFYAGSSNMTRIDAGSTESANTMLQIHALVMSLNLTAIEIKTINSLDSWNGGVLVMVSGSVKTKDFVNRRIFVQTFFLAPQEKGYYVLNDIFLFVDDGAAYQQDLPPENIHMQHPAPISSDETFDAQLDSSNPLPEAPVSDYVLEEEARECVNSVRIDDDPVDKYSLPEQQHQEDLETEIVVEETPVDETAASFQAAVNAVQDFPTAAPEEPLEEPPKKTYASILQVSKGQFSSSVATQPPVNKSAPTTSDWNHMPTPTAQQPESVLSSAPESGMEVTEDSLGLDEGELKSVYVRNLPSDITAEEIEEEFKHFGRIKPDGVFVRNRKDVVGVCYAFVEFEDLRSVQNAIKASPIQLAGRPVYIEERRSSSSIASRGGRGRGRGRGYQTDAPRGRFGARSLGRGGNQDGGGDYSRARGSGFYQRALQ >Potri.014G192900.3.v4.1 pep chromosome:Pop_tri_v4:14:17041762:17047251:1 gene:Potri.014G192900.v4.1 transcript:Potri.014G192900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192900.v4.1 MTRIDAGSTESANTMLQIHALVMSLNLTAIEIKTINSLDSWNGGVLVMVSGSVKTKDFVNRRIFVQTFFLAPQEKGYYVLNDIFLFVDDGAAYQQDLPPENIHMQHPAPISSDETFDAQLDSSNPLPEAPVSDYVLEEEARECVNSVRIDDDPVDKYSLPEQQHQEDLETEIVVEETPVDETAASFQAAVNAVQDFPTAAPEEPLEEPPKKTYASILQVSKGQFSSSVATQPPVNKSAPTTSDWNHMPTPTAQQPESVLSSAPESGMEVTEDSLGLDEGELKSVYVRNLPSDITAEEIEEEFKHFGRIKPDGVFVRNRKDVVGVCYAFVEFEDLRSVQNAIKASPIQLAGRPVYIEERRSSSSIASRGGRGRGRGRGYQTDAPRGRFGARSLGRGGNQDGGGDYSRARGSGFYQRALQ >Potri.001G271400.1.v4.1 pep chromosome:Pop_tri_v4:1:28620731:28624613:1 gene:Potri.001G271400.v4.1 transcript:Potri.001G271400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271400.v4.1 MKLVVEIVDAHDLMPKDGKGSASPFVEVDFQNQLSKTKTIPKNLNPVWNQKLLFDLDETKNRHHQSIEVSVYNERRPIPGRNFLGRTRIPCSNVVKKGDEVYQTFQLEKKWFFSTVKGEIGLKIYTSLESKAPPLPSPSQPPPSNIPPETSASSSSLPTITHIAENTGRDCRTLAALPRAEILHTSEAITEQPGKKISAISETSGGFPAKEPKNSNKEPTKIRADTTQHVHKHQVLQKTSQSVEKLPNGAPYTMHAANPSAHSSDLDDFNLKDTDPQLGERWPSGGAYGGRGWMNGERYASTYDLVEQVSYLYVRIVKAKDLPSSSITASCDPYVEVKLGNYKGRTRHFEKKMNPEWNQVFAFSKDRIQSSVLEVFVKDKEMVGRDDYLGRVVFDLNEVPTRVPPDSPLAPQWYRLEDRRGEGKVRGEIMLAVWMGTQADEAFPDAWHSDAASVYGEGVLNIRSKVYVSPKLWYLRVNVIEAQDVVPSDRSRLPEVFVKVQVGNQVLRTKIHPTRTANPLWNEDLVFVVAEPFEEQLFLTVEDRLTPLKDDVLGKISVPLNIFEKRLDHRPVHSRWFNLEKYGFGVLEADRRKELKFSSRIHLRVCLEGGYHVMDESTMYISDQRPTARQLWKQPVGILEVGILGAQGLLPMKMKDGRGSTDAYCVAKYGQKWVRTRTIVDTFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGGEKPTAANAARDLRIGKVRIRLSTLEAYRTYTHSYPLLVLHPLGVKKMGELQLAVRFTTLSLANMIYVYGHPLLPKMHYLHPFTVNQVDNLRYQAMNIVAVRLGRAEPPLRKEVVEYMLDVDSHTWSMRRSKANFFRIMSLVSGLFSMSHWFGDICQWRNPITSVLVHILFLILIWYPELILPTLFLYMFLIGIWNYRFRPRHPPHMDTKLSWAEAVHPDELDEEFDTFPTSKSHDIVRMRYDRLRGVAGRIQTVVGDIATQGERFQSLLSWRDPRATSLFIVFCLCAAVVLYVTPFRVVALVAGLYYLRHPRFRSKLPSVPSNFFKRLPARTDSLL >Potri.001G412377.1.v4.1 pep chromosome:Pop_tri_v4:1:43955322:43956030:-1 gene:Potri.001G412377.v4.1 transcript:Potri.001G412377.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412377.v4.1 MNPKISDFGLARCFGGNETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYRNRGFCHPDHQLNLLGHAWRLFKEGRHVELVGGLIFETCKLSEVLRSIHIGLLCVQENAKDRPNMSQVVLMLGNEDELPQPKHPGFFTGRDLIEASHSLSQNKPCSANGCSVSLLEAR >Potri.004G207300.9.v4.1 pep chromosome:Pop_tri_v4:4:21485909:21491136:1 gene:Potri.004G207300.v4.1 transcript:Potri.004G207300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207300.v4.1 MGNTCRGSFKGKLYQGYNQPDEQSTTTASNSKRNASSDHSNSEYSISSLTPQELNPKKDSNLPLISPTKKDTIMRRGVDNQAYYVLGHKTANIRDLYTLGRKLGQGQFGTTYLCTDISTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDQLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKQYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPVISDSAKDLIRKMLCSQPSERLTAHEVLCHPWINDNGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITFDELKAGLRRYGSTLKDVEIRDLMDAADVDNSGTIDYGEFVAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIKEVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGAL >Potri.004G207300.11.v4.1 pep chromosome:Pop_tri_v4:4:21486074:21490940:1 gene:Potri.004G207300.v4.1 transcript:Potri.004G207300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207300.v4.1 MGNTCRGSFKGKLYQGYNQPDEQSTTTASNSKRNASSDHSNSEYSISSLTPQELNPKKDSNLPLISPTKKDTIMRRGVDNQAYYVLGHKTANIRDLYTLGRKLGQGQFGTTYLCTDISTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDQLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKQYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPVISDSAKDLIRKMLCSQPSERLTAHEVLCHPWINDNGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITFDELKAGLRRYGSTLKDVEIRDLMDAADVDNSGTIDYGEFVAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIKEVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGAL >Potri.004G207300.10.v4.1 pep chromosome:Pop_tri_v4:4:21486082:21490977:1 gene:Potri.004G207300.v4.1 transcript:Potri.004G207300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207300.v4.1 MGNTCRGSFKGKLYQGYNQPDEQSTTTASNSKRNASSDHSNSEYSISSLTPQELNPKKDSNLPLISPTKKDTIMRRGVDNQAYYVLGHKTANIRDLYTLGRKLGQGQFGTTYLCTDISTGIEYACKSISKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDQLYVHIVMELCSGGELFDRIIQRGHYTERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQVFTDVVGSPYYVAPEVLLKQYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPVISDSAKDLIRKMLCSQPSERLTAHEVLCHPWINDNGVAPDRALDPAVLSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFKAMDTDNSGAITFDELKAGLRRYGSTLKDVEIRDLMDAADVDNSGTIDYGEFVAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIKEVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGAL >Potri.002G097200.4.v4.1 pep chromosome:Pop_tri_v4:2:7094081:7095365:1 gene:Potri.002G097200.v4.1 transcript:Potri.002G097200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097200.v4.1 MDMFCCFSPSYSQLVGGRSSSSSGKGKNHEGLIKYGFSLVKGKANHPMEDYHVAKFVQIQGHELGLFAIYDGHLGDSVPAYLQKHLFSNILKEEEFWVDPNRSISKAYERTDQTILSNSSDLGRGGSTAVTAILINGKRLWVANVGDSRAVLSRGGQARQMTTDHEPNTERGSIENKGGFVSNMPGLFHRSHY >Potri.002G097200.2.v4.1 pep chromosome:Pop_tri_v4:2:7093510:7097932:1 gene:Potri.002G097200.v4.1 transcript:Potri.002G097200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097200.v4.1 MDMFCCFSPSYSQLVGGRSSSSSGKGKNHEGLIKYGFSLVKGKANHPMEDYHVAKFVQIQGHELGLFAIYDGHLGDSVPAYLQKHLFSNILKEEEFWVDPNRSISKAYERTDQTILSNSSDLGRGGSTAVTAILINGKRLWVANVGDSRAVLSRGGQARQMTTDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQETDIDNNTEVLVLASDGLWKVMSNQEAVDIARRIKDPLKAAKQLTAEALKRESKDDISCVVVRFRG >Potri.012G139100.1.v4.1 pep chromosome:Pop_tri_v4:12:15186472:15191486:-1 gene:Potri.012G139100.v4.1 transcript:Potri.012G139100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139100.v4.1 MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVGLLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVTRADYLNGRTALHFAAVNGHARCIRLVVADFVPSAPFEAMHARIEGDTGDGSSEKNRFDQSALSRFVNKAADGGITALHMVALNGYFDCVQLLLDIHANVSSETFHYGTTMDLIGAGSTPLHYAACGGNLKCCQILLARGASRTTLNCNGWLPVDVARMWGRHWLEPLLATNSESTIPRFPHSNYLALPLLSVLNIARESGLQCSTRSSDDPDICAVCLERACNVAAEGCRHELCVRCALCLCSTCNISSEMVGPTGSIPCPLCRHGIVSFDRLPGSSAKEMKLPLSLGLCAPCMLHPRDMDGKSLACLPEVRKNRVASVSSDFLCPVTCSPFPSVAIPLCTCNDEPCPSLETQEAESQDESSHRSQTSVEQVKVEGPRLEKTSCSSMFWGRRSCSREHQCTSEINA >Potri.006G117200.1.v4.1 pep chromosome:Pop_tri_v4:6:9174421:9177130:1 gene:Potri.006G117200.v4.1 transcript:Potri.006G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117200.v4.1 MKTVFFLYFTIFLSVRTSLTVTAAPPNDTSALTLFRLQTDTHGNLLSNWTGQDACGFPTSWLGVGCSASGRVVSLSLPSLSLRGPITSLSLLDQLRLLDLHNNRLNGTISPLTNCTHLKLLYLAGNDFSGEIPPEISSLKRLLRLDLSDNNIHGKIPGQLTNLTKLLTLRLQNNELSGQIPDFSTSFPDLKELNLSNNELYGRLPDNLLKKYSDRSFSGNEGLCGSSPLPVCSFTGNEQPVDSDETVPSNPSSMPQTPLLGKDKSHLHKGLSPGAIVAIVMANCVTLLVVISFLVAYYCGRDRSSSASSKAGSESGKRRKSGSSYGSEKRVYANEGGDSDGTNATDRSKLVFFDRKKQFELEDLLRASAEMLGKGSLGTVYKAVLDDGCTVAVKRLKDANPCARKEFEQYMDVIGKLKHPNIVRLAAYYYAKEEKLLVYDYLPNGSLYSLLHGNRGPGRIPLDWTTRISLVLGAARGLAKIHEEYSASKIPHGNVKSSNVLLDKNGVACISDFGLSLLLNPVHAIARLGGYRAPEQAEIKRLSQKADVYSFGVLLLEVLTGRTPSEYPSPTRPRIEDEEQAVDLPKWVRSVVKEEWTSEVFDQELLRYKNIEEELVSMLHVGLACVFPQPEKRPTMAEVAKMIEDIRVEQSPLGEDYDESRNSLSPSLATTEDGMAGY >Potri.010G123500.2.v4.1 pep chromosome:Pop_tri_v4:10:14116945:14119140:-1 gene:Potri.010G123500.v4.1 transcript:Potri.010G123500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123500.v4.1 MGYWCINLLTIVSLLLLFPVINGWGIDGHLTVCRIAQSRLSEAAADAVKQLLPEYAGSDLGSVCSWADEVRFRYHWSAPLHFINTPDVCNYKYTRDCEDDTGEKGRCVAGAINNYTTQLLTYNSGSSQADNNLTEALLFLSHFMGDIHQPLHVGFASDKGGNTIDVHWYRRKQVLHHIWDASIIETAEERLYNSNVDDLVDAVQKNITNDWADLIPGWETCSLNKTACPDVYASEGIKAACDWAYKGAAEGTVLEDDYFLSRLPIVKLRLAQGGVRLAATLNRIFL >Potri.015G024100.1.v4.1 pep chromosome:Pop_tri_v4:15:1792772:1793892:1 gene:Potri.015G024100.v4.1 transcript:Potri.015G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024100.v4.1 MACQAKAITLLLSILAVSLCKPSDGAGIAIYWGQNGNEGSLADTCNTGNYQFVNVAFLSSFGNGQSPVLNLAGHCDPSAGTCTGISNDIRSCQNQGIKVLLSIGGGAGSYSLSSADDAGQVANYIWNNFLGGQSSSRPLGDAILDGVDFDIESGSGQFWDDLARALNGFSQQRKVYLAAAPQCIFPDANLDTAIKTGLFDYVWVQFYNNPPCQYVNDATGLLSAWNQWTTVQSNQIFLGLPAAPEAAPSGGFIPADVLISQVLPSIKGSPKYGGVMLWSKQYDNGYSAAIKGSV >Potri.007G110201.1.v4.1 pep chromosome:Pop_tri_v4:7:13208271:13208995:-1 gene:Potri.007G110201.v4.1 transcript:Potri.007G110201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110201.v4.1 MTSKDLSVMDGTAITLCQENNIPVVVFNLSKPGNIAKARKGWHIDWRDMQLKGHATQQS >Potri.008G194800.1.v4.1 pep chromosome:Pop_tri_v4:8:13748566:13752216:-1 gene:Potri.008G194800.v4.1 transcript:Potri.008G194800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194800.v4.1 MTTKMLSLYSTTMTEKMPSLYLSTINPHFSIISWKKKSFPVTGSRNLFTTTVHASRSKVDHQISRSQGHEEDSLLLMRSVLGSMERVYLKRNPTAKAILDLVKSVDDADHICYDHLAFRTFGVKGHGIESMASFFLDYGYKQQEELRFPAKKLKALWFSPPSGLPHEDAATGSGVNGPLPRIFISELLVDQLSPQAQEIIEKYIETSGSGYKHATLASALGSLTWKKPFFSDFQQLAKESEYAAWTLVNGYALNHVTISTHRLKTHLTNIRALNQFLQENGFKLNSEGGALKVSPDGLLLQSSTVADSISFKFSDGFTESVPCSYIEFAERLVLPQYKNLRKEEVKEFHRRDGFEVGNADKIFESTSKEQLTRRTG >Potri.006G159200.1.v4.1 pep chromosome:Pop_tri_v4:6:14250373:14264317:-1 gene:Potri.006G159200.v4.1 transcript:Potri.006G159200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G159200.v4.1 MPRQKSILSFFQKPSQPSQSSDAGERTDGRQAPLFSAKQHNQNVSQPAIHGPVDSSIEIRGTDTPPEKVPRQILPVNIKENEEAKVASLFSSIMHKFVKVDDREKPNGRNQVHSANVCSTSVTFTIPKELPQHGMDTLYSEKDNGFSSSGMVDQTSVLNIESVNDVPGPHTPGMRPLVPRLKRILEDVPKFEDKNGCSFLNSSKRVKPLQDPASLIKNHEEISNSTSKFEWLDPAQIRDANRRRPNDPLYDKKTLYLPPDALKKMSASQKQYWTVKSQYMDVLLFFKVGKFYELYELDAEIGHKELDWKMTLSGVGKCRQVGISESGIDDAVEKLVARGYKVGRVEQLETSDQAKSRGANSVIQRKLVQVVTPSTTTGHNMGPDAVHLLAIKEGNYGVDNGATAYGFAFVDCAALRVWVGSINDDASHAALGALLMQISPKEVIYENRELSRGAQKELRKYSLIGSTALQLSPVLPGTDFVDASEVKNLIQSKDYFKWSTNPWNHALDSIMHQDISLCALGGLIGHLSRLMFDDVLRNADILPYQVYKGCLRMDGQTLVNLEVFSNSADGGSSGTLFNYLDNCVTSSGKRLLRNWICHPLKHVEGINNRLDVIENLMARSEIMLVIAQYLRKLPDLERMLGRVKVSFQASGSLALPLISKKMLKQRVKVFGSLVKGLRNGMDLLLLLLKEEQLISSLSKNFKLPELLGSNGLEKFLVQFEAAVDSEFPNYQNRDVTDSEAGMLSVLIELFIEKAAQWGEVIHAINCIDVLRSFTVTASMSCGAMCRPVILPDSKSISFCEGEGGPVLKIKGLWHPFALGENGLPVPNDVFLGEDSDSQHPRTVLLTGPNMGGKSTLLRATCLAVILAQLGCFVPGEKCVLSLADIIFTRLGATDRIMTGESTFFIECTETASVLQNATQDSLVLLDELGRGTSTYDGYAIAYAVFRHLVEKINCRLLFATHYHPLTKEFASHPHVSLQYMACAFKSKPESYSKSDRDLVFLYRLASGACPGSYGLQVATMAGIPEHVVEAASHAGQLMKNSTGESFKSSEWRSEFSTLHEEWLKTLVNVSRIRDCNFDDDDVYDTLFCLWHELKSSYESCSSKSR >Potri.007G026400.3.v4.1 pep chromosome:Pop_tri_v4:7:2012365:2020097:-1 gene:Potri.007G026400.v4.1 transcript:Potri.007G026400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026400.v4.1 MWRCVARGLRLPCSTKRSSNDSFRSHISRFFSTDTTGGRSSYTVVDHTYDAVVVGAGGAGLRAAIGLSEHGFNTACITKLFPTRSHTVAAQGGINAALGNMTEDDWRWHMYDTVKGSDWLGDQDAIQYMCREAPKAVIELENYGLPFSRTEDGKIYQRAFGGQSLDFGKGGQAYRCACAADRTGHALLHTLYGQAMKHNTQFFVEYFALDLIMNNDGSCQGVIALNMEDGTLHRFRAASTILATGGYGRTYFSATSAHTCTGDGNAMVARAGIPLEDLEFVQFHPTGIYGAGCLITEGSRGEGGILRNSEGERFMERYAPTAKDLASRDVVSRSMTMEIREGRGVGPLKDHIYLHLNHLPPDVLKERLPGISETAAIFAGVDVTKEPIPVLPTVHYNMGGIPTNYHGEVVTIKGDDPDAVIPGLMAAGEAACASVHGANRLGANSLLDIVVFGRACANRVAEIHRPGEKQKPLEKDAGERTIARLDKLRNSNGSIPTSQIRLNMQRIMQSNAAVFRTQETLEEGCHLIDKAWESFHDVKVKDRSLIWNSDLIETVELENLLINACITMHSAEARKESRGAHAREDFTKRDDEKWMKHTLGYWEKEKVRLDYRPVHMNTLDDEVETFPPKARVY >Potri.016G020600.1.v4.1 pep chromosome:Pop_tri_v4:16:1101300:1104229:1 gene:Potri.016G020600.v4.1 transcript:Potri.016G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020600.v4.1 MNPGVIGDRGSGSFSRESSSATDWAQDGEFTSWLDQQMFNAENSQDSKRWSSQPQPSSACFSESKTLYRTSSYPQQPQQLQHFSSEPIPVPKSNFASFPPPGCSPHHLNVASRAGGLQSHLSAPNLSPLSNSNLHLAGLQHGLHYGGNLAQITSPGLSFINRPQKHWVNHAGLLHVDQSRLLQSILQQQLSHQNGLLSSQLMSPQQQLQQQRLHPSVQPSLARFAAMQSQLFNAHPSSLHIREQKHKSSQRNRRFSQGSDTSSQKSDADEIESILKMQHAATHSNDPYIDDYYHQASLAKRSTGSRTKNNFCPSHLKELPSRSRNSADQHSHLHFDALGKMPLLSIRSPHPLLEVDPPGSGDGNSEQMSERPLEQEPMLAARITIEDSLSLLLDVDDIDRFLQRRRQNLLEGLAASLQLVDPLGQTGKTAGLASKDDIVFLRLVSLPKGRKLICKFLQLLYPGSELTRVVCMAIFRHLRFLFGGIPSDTGAADTTTNLTKTVSACVSGMDLHALSACLVAIVCSSEQPPFRPLGSPAGDGATVILKCLLERASKLLHGPQASPNCVYAKTPPSAEGIDSEVRAATKREMPVELLRACLPHTNERQMELLRHFGQQRNTITGLSAHPGNSGHINSESVRS >Potri.008G148266.1.v4.1 pep chromosome:Pop_tri_v4:8:10111607:10112455:1 gene:Potri.008G148266.v4.1 transcript:Potri.008G148266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148266.v4.1 MKMLAGLRLAPPWLSPPWAVAITSVPPPANRNTHTQNTFFNHHPPFSLLFIFFPDNAVSNLLHSSHPQLPPPWPTNFSNFPPRSQTNNHVTCPPPPANQSPPPPRKNPLKKPSFFTVEPTTVTS >Potri.002G184201.2.v4.1 pep chromosome:Pop_tri_v4:2:14600949:14604613:1 gene:Potri.002G184201.v4.1 transcript:Potri.002G184201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184201.v4.1 MASPLYLGTLFLLVAALFTFRSQVIAVEPVSKLKLNSRILQDSIVQKVNENPNAGWEATMNPQFSNYSVGEFKYLLGVKPTPGKELRGVPLVRHPKSMKLPKEFDARTAWPHCSTIGRILDQGHCGSCWAFGAVESLSDRFCIHYGMNLSLSVNDLLACCGWMCGDGCDGGYPIDAWRYFVQSGVVTEECDPYFDDIGCSHPGCEPGFPTPKCERKCADKNKLWAESKHFSVNAYRIDSDPHSIMAEVSMNGPVEVAFTVYEDFAHYKSGVYKHITGDVMGGHAVKLIGWGTSDDGEDYWLLANQWNRGWGDDGYFKIRRGTNECGIEEDVVAGLPSTRNLVREVAKIDAHEHASA >Potri.004G196300.4.v4.1 pep chromosome:Pop_tri_v4:4:20853678:20863297:1 gene:Potri.004G196300.v4.1 transcript:Potri.004G196300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196300.v4.1 MDVHVIDGEEGMGHRGVAYDGDSEPNDSGEANNREHDEDGAAELHEPCVGMEFDSENAAKTFYDEYARRLGFSTNVAHFTRPKTDGAMAAREFVCGREGLKRRSAYSCHAMLRIELKSPGKWVVTHFVKEHNHSTTSLRKVKYLRPRRHFAGAAKSVAETGQGVGVAPSGVGQAAAVVSSRVGQGVGVVPSGVMYLSMDGNHTPVAETNHGVRNTPPAEPNRVIKTSTTVNYIGRPNNQKRTLGRDAQNLLEYFKKMQAENPGFFYAIQLDDENRMANVFWADARSRTAYTHFGDAVTFDTNSRVNQYRVPFAPFTGLNHHGQTILFGCAILLDDSEASFVWLFKTFLTAMYDQQPASIITNRDRAIQTAVSQVFPDARHCNCKWHVLREGQEKLAHVCNAHPNFQLELYNCINLTETIEEFESSWRDILDKYDLRGHEWLQSLYDARAQWVPVYFRDSFFAVMSPNQGFDGSFFDSYVNQQTTLPMFCRQYERALDNWFERELEADFDTICTTPVLRTPSPMEKQAANLYTRKIFAKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDQRAYIVSLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPPHYILKRWTRNAKISTGMDDHGGDLPGQESLTLRYNNLCREAIKYAEEGAIAAETYNVAMVALREGGKRVAVVKKNVAKVSPPGAGNDDRKTSTSASDTTPLLWPPQDEVTRRFNLNDTSTPVQSVADLNLPRMAPVSLQRDDGPPGNMAVLPCLKSMTWVMENRSSTTGNRVAVINLKLQDYGKTPSTELEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVISLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNSAEPQAEPPSKKHRK >Potri.004G196300.5.v4.1 pep chromosome:Pop_tri_v4:4:20853657:20863273:1 gene:Potri.004G196300.v4.1 transcript:Potri.004G196300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196300.v4.1 MDVHVIDGEEGMGHRGVAYDGDSEPNDSGEANNREHDEDGAAELHEPCVGMEFDSENAAKTFYDEYARRLGFSTNVAHFTRPKTDGAMAAREFVCGREGLKRRSAYSCHAMLRIELKSPGKWVVTHFVKEHNHSTTSLRKVKYLRPRRHFAGAAKSVAETGQGVGVAPSGVGQAAAVVSSRVGQGVGVVPSGVMYLSMDGNHTPVAETNHGVRNTPPAEPNRVIKTSTTVNYIGRPNNQKRTLGRDAQNLLEYFKKMQAENPGFFYAIQLDDENRMANVFWADARSRTAYTHFGDAVTFDTNSRVNQYRVPFAPFTGLNHHGQTILFGCAILLDDSEASFVWLFKTFLTAMYDQQPASIITNRDRAIQTAVSQVFPDARHCNCKWHVLREGQEKLAHVCNAHPNFQLELYNCINLTETIEEFESSWRDILDKYDLRGHEWLQSLYDARAQWVPVYFRDSFFAVMSPNQGFDGSFFDSYVNQQTTLPMFCRQYERALDNWFERELEADFDTICTTPVLRTPSPMEKQAANLYTRKIFAKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDQRAYIVSLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPPHYILKRWTRNAKISTGMDDHGGDLPGQESLTLRYNNLCREAIKYAEEGAIAAETYNVAMVALREGGKRVAVVKKNVAKVSPPGAGNDDRKTSTSASDTTPLLWPPQDEVTRRFNLNDTSTPVQSVADLNLPRMAPVSLQRDDGPPGNMAVLPCLKSMTWVMENRSSTTGNRVAVINLKLQDYGKTPSTELEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVISLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNSAEPQAEPPSKKHRK >Potri.004G196300.7.v4.1 pep chromosome:Pop_tri_v4:4:20854398:20863301:1 gene:Potri.004G196300.v4.1 transcript:Potri.004G196300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196300.v4.1 MEFDSENAAKTFYDEYARRLGFSTNVAHFTRPKTDGAMAAREFVCGREGLKRRSAYSCHAMLRIELKSPGKWVVTHFVKEHNHSTTSLRKVKYLRPRRHFAGAAKSVAETGQGVGVAPSGVGQAAAVVSSRVGQGVGVVPSGVMYLSMDGNHTPVAETNHGVRNTPPAEPNRVIKTSTTVNYIGRPNNQKRTLGRDAQNLLEYFKKMQAENPGFFYAIQLDDENRMANVFWADARSRTAYTHFGDAVTFDTNSRVNQYRVPFAPFTGLNHHGQTILFGCAILLDDSEASFVWLFKTFLTAMYDQQPASIITNRDRAIQTAVSQVFPDARHCNCKWHVLREGQEKLAHVCNAHPNFQLELYNCINLTETIEEFESSWRDILDKYDLRGHEWLQSLYDARAQWVPVYFRDSFFAVMSPNQGFDGSFFDSYVNQQTTLPMFCRQYERALDNWFERELEADFDTICTTPVLRTPSPMEKQAANLYTRKIFAKFQEELVETFVYTANRIEGDAAISTFRVAKFEDDQRAYIVSLNYPEMRANCSCQMFEYSGILCRHVLTVFTVTNVLTLPPHYILKRWTRNAKISTGMDDHGGDLPGQESLTLRYNNLCREAIKYAEEGAIAAETYNVAMVALREGGKRVAVVKKNVAKVSPPGAGNDDRKTSTSASDTTPLLWPPQDEVTRRFNLNDTSTPVQSVADLNLPRMAPVSLQRDDGPPGNMAVLPCLKSMTWVMENRSSTTGNRVAVINLKLQDYGKTPSTELEVKFQLSRVTLEPMLRSMAYISEQLSTPANRVAVISLKLQDTETTTGESEVKFQVSRDTLGAMLRSMAYIREQLSNSAEPQAEPPSKKHRK >Potri.003G126550.1.v4.1 pep chromosome:Pop_tri_v4:3:14593534:14594647:1 gene:Potri.003G126550.v4.1 transcript:Potri.003G126550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126550.v4.1 MVICCFLCQKACLCALSFALCLLWVSVGGSFRLDSVLCLLKLCCLLVVFLFVVYAFSSLIFLFFFVLTISAHCSVFIMCPLYPLWIWCVCVCVYHDTFLKLLPQSKLQLYIYT >Potri.011G006700.2.v4.1 pep chromosome:Pop_tri_v4:11:538047:541774:-1 gene:Potri.011G006700.v4.1 transcript:Potri.011G006700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006700.v4.1 MGTIYPSGIISKCSAYLGVNTHHDASFCKITYVNTLRTAFSPRIVCGPCSNVANDSTISWKGCSQFRTHHVLQRLASNRWLCRSHDSNSPDDEYRSSRNIAISLFRRYRNVIDRGGGDNLKEFISAGVNAYAVGCTEEGLRKELTAIRESGDEIEALQNYGGSTSVKSKIFAEEVDECILWLSIIFITILCTPQPTIVRWSSTPPVSDDIRLQWKGFCAIIANAYYMRGMAWLPVKTLQLEQMAVSGRAEEPSVVASRMRLVFSTLEVVSPQWPRV >Potri.016G054700.12.v4.1 pep chromosome:Pop_tri_v4:16:3612055:3618984:1 gene:Potri.016G054700.v4.1 transcript:Potri.016G054700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054700.v4.1 MTVTPKISINDGNLLVHGKTILTGVPDNIVLTPGSGVGPVAGAFIGATASHSQSLHVFPVGVLEDLRFMCCFRFKLWWMTQRMGKCGKDIPLETQFMLVESRNGGEGVDQDDAQTIYTVFLPLLEGQFRAVLQGSDRNEMEICLDSGDSAVETNQGLNLVYMHAGTNPFEVINQAVMAVEKYMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVREGLESLSEGGTPPRFLIIDDGWQQIENKAKEDANVVVQEGAQFASRLTGIKENSKFQKNCEKNEQVIGLKHVVDDAKQCHNVKCVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVIGNQPDVVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRSYHQALEASIARNFPDNGCIACMCHNTDGIYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCAIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTLDSLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCKIEKKTRIHDETPGTLTGSVCASDVDCIAQVTGAKWNGETVVYAYKSGELVRLPKGASVPVTLKVLEYELFHFCPIDDIASNISFAPIGLLDMFNSGGAVEQVEIHMTSDKAPEHFDGEVSSELTTSLSENRFPTATIALRVRGCGRFGAYSSQRPLKCTVGNVDTDFNHDSATGLLTLTLPVAEEEMYRWPVEIQV >Potri.016G054700.2.v4.1 pep chromosome:Pop_tri_v4:16:3612055:3619022:1 gene:Potri.016G054700.v4.1 transcript:Potri.016G054700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054700.v4.1 MTVTPKISINDGNLLVHGKTILTGVPDNIVLTPGSGVGPVAGAFIGATASHSQSLHVFPVGVLEDLRFMCCFRFKLWWMTQRMGKCGKDIPLETQFMLVESRNGGEGVDQDDAQTIYTVFLPLLEGQFRAVLQGSDRNEMEICLDSGDSAVETNQGLNLVYMHAGTNPFEVINQAVMAVEKYMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVREGLESLSEGGTPPRFLIIDDGWQQIENKAKEDANVVVQEGAQFASRLTGIKENSKFQKNCEKNEQVIGLKHVVDDAKQCHNVKCVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVIGNQPDVVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRSYHQALEASIARNFPDNGCIACMCHNTDGIYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCAIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTLDSLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCKIEKKTRIHDETPGTLTGSVCASDVDCIAQVTGAKWNGETVVYAYKSGELVRLPKGASVPVTLKVLEYELFHFCPIDDIASNISFAPIGLLDMFNSGGAVEQVEIHMTSDKAPEHFDGEVSSELTTSLSENRFPTATIALRVRGCGRFGAYSSQRPLKCTVGNVDTDFNHDSATGLLTLTLPVAEEEMYRWPVEIQV >Potri.016G054700.11.v4.1 pep chromosome:Pop_tri_v4:16:3612059:3619074:1 gene:Potri.016G054700.v4.1 transcript:Potri.016G054700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054700.v4.1 MTVTPKISINDGNLLVHGKTILTGVPDNIVLTPGSGVGPVAGAFIGATASHSQSLHVFPVGVLEDLRFMCCFRFKLWWMTQRMGKCGKDIPLETQFMLVESRNGGEGVDQDDAQTIYTVFLPLLEGQFRAVLQGSDRNEMEICLDSGDSAVETNQGLNLVYMHAGTNPFEVINQAVMAVEKYMQTFLHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVREGLESLSEGGTPPRFLIIDDGWQQIENKAKEDANVVVQEGAQFASRLTGIKENSKFQKNCEKNEQVIGLKHVVDDAKQCHNVKCVYVWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVIGNQPDVVMDSLSVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDAQNIIETLGAGHGGRVSLTRSYHQALEASIARNFPDNGCIACMCHNTDGIYSAKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAAEYHGAARAIGGCAIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTLDSLFADPARDGTSLLKIWNVNKCTGVVGVFNCQGAGWCKIEKKTRIHDETPGTLTGSVCASDVDCIAQVTGAKWNGETVVYAYKSGELVRLPKGASVPVTLKVLEYELFHFCPIDDIASNISFAPIGLLDMFNSGGAVEQVEIHMTSDKAPEHFDGEVSSELTTSLSENRFPTATIALRVRGCGRFGAYSSQRPLKCTVGNVDTDFNHDSATGLLTLTLPVAEEEMYRWPVEIQV >Potri.019G098600.1.v4.1 pep chromosome:Pop_tri_v4:19:13546105:13547106:1 gene:Potri.019G098600.v4.1 transcript:Potri.019G098600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098600.v4.1 MAVFFLFLSIFLFVFIWRFILRNCHLSPSPSTPSTLTTAQPQVIKHDLFLSFRGEDTRVGFTSHLYAALDRKQIRTFIDYQLRRGDEISASLLRTIEEAKLSVIVFSENYASSKWCLEELAKIIERRRNNGQIVIPVFYKVDPSHVRNQTGSFGDALARLIKKKALTMDREQSFRDALTDAANLSGRSLGNS >Potri.015G077200.2.v4.1 pep chromosome:Pop_tri_v4:15:10338702:10340536:1 gene:Potri.015G077200.v4.1 transcript:Potri.015G077200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077200.v4.1 MKFIALARSVCAHHPALREITGPLQVRYFQHDFVPRDPKTKPKKYKYPLYYDPYGPRPPPSEKIIEIAERIAALPPEERSQIGSALGYKLKHPKLQPISTEGMDLGSQGGEAAASAAKVEEKKEKTAFDVKLEKFDAAAKIKVIKEVRAFTNLGLKEAKDLVEKVPCVLKQGVTKDEANGIIEKIKAAGGVAVME >Potri.007G078000.1.v4.1 pep chromosome:Pop_tri_v4:7:10221385:10221735:1 gene:Potri.007G078000.v4.1 transcript:Potri.007G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078000.v4.1 MGKILVVSGLENVANAYRFTKFQRNRSKLLCSWRGRKAFGFRALTTPSTHRGSPSFYHHLPIEALTQQPKPVPIIIALGTNHHFLIFPLRNPNVSSAVSIFSCHQCKGKDLNSLES >Potri.003G083500.4.v4.1 pep chromosome:Pop_tri_v4:3:11006073:11010155:1 gene:Potri.003G083500.v4.1 transcript:Potri.003G083500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083500.v4.1 MSISMSLFSPPLTRFPPSKPHFTYKSTLSLGPTNRPFLLSTPKASTDNGGAGVSASAATVEEPKLEQKTPESSDSVPVAKNSSNGAVAAGGEEKVEVSKFGDPRWISGTWDLKQFQKDGKTDWDAVIDAEARRRKWLQCNPESSSNNDPVVFDTSIIPWWTWMKRFHLPEAELLNGRAAMIGFFMAYLVDSLTGVGLVDQMSNFFCKTLLFVAVVGVLLIRKNEDLETIKKLLEETTFYDKQWQATWQDEKSTSPKDD >Potri.003G083500.2.v4.1 pep chromosome:Pop_tri_v4:3:11006041:11010095:1 gene:Potri.003G083500.v4.1 transcript:Potri.003G083500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083500.v4.1 MSISMSLFSPPLTRFPPSKPHFTYKSTLSLGPTNRPFLLSTPKASTDNGGAGVSASAATVEEPKLEQKTPESSDSVPVAKNSSNGAVAAGGEEKVEVSKFGDPRWISGTWDLKQFQKDGKTDWDAVIDAEARRRKWLQCNPESSSNNDPVVFDTSIIPWWTWMKRFHLPEAELLNGRAAMIGFFMAYLVDSLTGVGLVDQMSNFFCKTLLFVAVVGVLLIRKNEDLETIKKLLEETTFYDKQWQATWQDEKSTSPKDD >Potri.013G161000.1.v4.1 pep chromosome:Pop_tri_v4:13:15488620:15490849:-1 gene:Potri.013G161000.v4.1 transcript:Potri.013G161000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161000.v4.1 MLSLAVGSSVAAMNKVSIHYTVNYGNGSGKSTATQFGFIKGSQQPPSLLSATTNISSSFKTAVAAVDSNDLTSSPTPPDKQEANKYYFVVANAKFMLDEEEHFKELLFERLRNYGERNKEQDFWLVIEPKFLDKFPNITKRLKRPAVALVSTNGTWMTFMKLRLDRVLSDSYEADTLEEALASNPTTIEFEKPENWVAPYPKYEYGWWEAFLPAESRESKV >Potri.002G236400.1.v4.1 pep chromosome:Pop_tri_v4:2:22939963:22941728:1 gene:Potri.002G236400.v4.1 transcript:Potri.002G236400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236400.v4.1 MAVQPHFLLLTYPAQGHINPALQFAKGLTRIGALVTLVTSLSAGRRMSKTLFPDGLSFVTFSDGYDDGFKPEDDRDHFTSELKRRGSQTLNELIVDSAKEGKPVTCLVYTMLLHWASEVARAQHLPAALLWIQPATVFDIYYYYFNGYGDIFNNCKDTSYAIELPGLPPLASRDLPSFVLPSNTYTFALQMFQEQLEQLSQETNPKVLVNSFDALELGAMNATEKFNLIGIGPLIPSAFLDGKDPLDKSFGGDIFHGSEDYTEWLNSKTKSSVVYVSFGSILVLSKRQIEEIARGLVDSGLTFLWVIRDEQKKNEVKEEEEEEEEDHLRACREAILERQGMIVPWCCQVEVLSHPSIGCFVTHCGWNSTLESLVCEVPVVAFPHWTDQGTNAKLIADVWKTGVRVVANEEGIVEGDEIKRCLDLVMAHGKTGEDIRKNAKKWKDLARDAVKEGGSSDKNLKAFVQDVGEGCF >Potri.017G140000.1.v4.1 pep chromosome:Pop_tri_v4:17:14081365:14083702:-1 gene:Potri.017G140000.v4.1 transcript:Potri.017G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140000.v4.1 MFSHKKDNKMPPPLLQGPIHTLLKPLLLLLLLLTLPPTTTSHTSSTTTPPPLSTYLSQWQPARATYYAASDPRDTVGGACGYGDLVKAGYGMATVALSESMFERGQICGACFEIKCVDDLRWCIPGTSIIVSVTNFCAPNYGFPSDAGGKCNTPNKHFVLPIESFEKIAIWKAANMPVQYRRIKCRKEGGIRFTISGSGIFLSVLISNVAGAGDVTSVRIKGSRTGWLDMGRNWGQNWHVNANLQNQALSFEVTSSDKMTVLSYTVAPKDWRFGQTFEGKQFET >Potri.017G018600.1.v4.1 pep chromosome:Pop_tri_v4:17:1377338:1380606:-1 gene:Potri.017G018600.v4.1 transcript:Potri.017G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018600.v4.1 MATPGFNKLLDCAKAIEVGDLHLADSLIKDILTDNDDKLVKYCAEALVRRVYKLYPRNPRPLVPSCTDLRCNMDYQFFPFFWFSELTTRNTIVDALTGKKRVQVIDFSLMANGRRWCLLLDDYLKQSSDAISFHLTSIGPILSKKGDYLNEILEKLPKEAKKLPIEFEVKHMVASSPAEMVEAALKLERSSEDETIVVRWEFELHKLLALPGAIETVLSKLKELKPEIMIVVEQEASLNGQDFLECFTKSFRYHSIIFDSLGKDNFEHGNHSKVLWEMYFRRQISNLVAQEGTDQIVRHQTFAEWRDRFCRSGFRHVRLQNQFKGTFFGHLPEYHIEEMNRHPVLYRHDDQLLFTSAWKSYPTQLNSESLDNWNQESAMGDGNIMQIESSSLLILSGTVSSSAFLEDQPDDEHIIMEGKVWSPECISINQVAASAKIFDMLEYICHVNYLPLALTWMSDRRILRLEKSACYLNDSTMVEFMEACGEHHLEEGKGVAGKALQSNSMYFVSDISKLDVKDYPFIFEAWDFGLRGVVAIKLESVYVSSIDYVVEFFLPLEMKGISGQRLLINEITNILQKNSRNSWKVCTQELNGFNISSEVEVTMEEVGTSNIRPAAISDSPPLALSEIGSLNSSQIRELCNIFMPTGDGVEVPEAHDQEFEEQNSVFGPQAYQFPDSDELVGMDSSHINTSRTSKRRRTSEVWQYFDEVREDGEVWAKCRSCSTKYRGESTRGTTNLRKHLRSCPGKK >Potri.013G048150.1.v4.1 pep chromosome:Pop_tri_v4:13:3443827:3444985:1 gene:Potri.013G048150.v4.1 transcript:Potri.013G048150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048150.v4.1 MLEGCCGWREANDAATRKREEKGNGYIVLTNNGGGSDDGLHIACDAATIMAYTCRGYVDNESIMGWFGRERAIFFFIWVRLDGSCFWTNKELQEIVMESIGGVLVLGKL >Potri.007G086700.5.v4.1 pep chromosome:Pop_tri_v4:7:11174946:11179997:-1 gene:Potri.007G086700.v4.1 transcript:Potri.007G086700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086700.v4.1 MLQKVPLLYLANDILQNSKRKGNEFVKEFWKVLPAALKNVVEKGDDRGKKAVSRLVNIWEERKVFGSRARSLKEVILGEDAPPPLELNKKRSRSVKITKRDSRSTRTKLSIGGDAEKIVSAFHLVVSEQPNEETEMSNCKSSVRRVRKMEKDVDVACSGNDKDPKRKTLAKELEDEENLLKQSIEKLRSIEASRVALVSLLKEALHEQESELEGVQTQMQVAQAQVEEASNMRRRLNGEEYVSKASTATTVSVDSNAKAGQTPKRTAADIAAEVAEKLAASSSSQMIMHSVLSSFAAEEAKNAQLTKAYDSLSKPENSLPVSDPNVFMPAQPLAAPTTHSYQSVLLPQPSMQNQTPSAQAQFHMLPNQSSQQYLQPAGGIMTPYAYGNISPLPPGPPPPPPPPPPYMVSPMVSMAQQPSQIPQQQPLPLAQQQATINQQQQMSLNQQPQGPNFRPLRPHGMVYYGHPSHS >Potri.007G086700.3.v4.1 pep chromosome:Pop_tri_v4:7:11175703:11179965:-1 gene:Potri.007G086700.v4.1 transcript:Potri.007G086700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086700.v4.1 MLQKVPLLYLANDILQNSKRKGNEFVKEFWKVLPAALKNVVEKGDDRGKKAVSRLVNIWEERKVFGSRARSLKEVILGEDAPPPLELNKKRSRSVKITKRDSRSTRTKLSIGGDAEKIVSAFHLVVSEQPNEETEMSNCKSSVRRVRKMEKDVDVACSGNDKDPKRKTLAKELEDEENLLKQSIEKLRSIEASRVALVSLLKEALHEQESELEGVQTQMQVAQAQVEEASNMRRRLNGEEYVSKASTATTVSVDSNAKAGQTPKRTAADIAAEVAEKLAASSSSQMIMHSVLSSFAAEEAKNAQLTKAYDSLSKPENSLPVSDPNVFMPAQPLAAPTTHSYQSVLLPQPSMQNQTPSAQAQFHMLPNQSSQQYLQPAGGIMTPYAYGNISPLPPGPPPPPPPPPPYMVSPMVSMAQQPSQIPQQQPLPLAQQQATINQQQQMSLNQQPQGPNFRPLRPHGMVYYGHPSHS >Potri.007G086700.2.v4.1 pep chromosome:Pop_tri_v4:7:11175052:11180044:-1 gene:Potri.007G086700.v4.1 transcript:Potri.007G086700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086700.v4.1 MDSVFSEEILADKLSKLNGTQQCIETLSHWCIFHRSKAESVVETWDKQFHNSDMLQKVPLLYLANDILQNSKRKGNEFVKEFWKVLPAALKNVVEKGDDRGKKAVSRLVNIWEERKVFGSRARSLKEVILGEDAPPPLELNKKRSRSVKITKRDSRSTRTKLSIGGDAEKIVSAFHLVVSEQPNEETEMSNCKSSVRRVRKMEKDVDVACSGNDKDPKRKTLAKELEDEENLLKQSIEKLRSIEASRVALVSLLKEALHEQESELEGVQTQMQVAQAQVEEASNMRRRLNGEEYVSKASTATTVSVDSNAKAGQTPKRTAADIAAEVAEKLAASSSSQMIMHSVLSSFAAEEAKNAQLTKAYDSLSKPENSLPVSDPNVFMPAQPLAAPTTHSYQSVLLPQPSMQNQTPSAQAQFHMLPNQSSQQYLQPAGGIMTPYAYGNISPLPPGPPPPPPPPPPYMVSPMVSMAQQPSQIPQQQPLPLAQQQATINQQQQMSLNQQPQGPNFRPLRPHGMVYYGHPSHS >Potri.007G086700.6.v4.1 pep chromosome:Pop_tri_v4:7:11175702:11180026:-1 gene:Potri.007G086700.v4.1 transcript:Potri.007G086700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086700.v4.1 MSNCKSSVRRVRKMEKDVDVACSGNDKDPKRKTLAKELEDEENLLKQSIEKLRSIEASRVALVSLLKEALHEQESELEGVQTQMQVAQAQVEEASNMRRRLNGEEYVSKASTATTVSVDSNAKAGQTPKRTAADIAAEVAEKLAASSSSQMIMHSVLSSFAAEEAKNAQLTKAYDSLSKPENSLPVSDPNVFMPAQPLAAPTTHSYQSVLLPQPSMQNQTPSAQAQFHMLPNQSSQQYLQPAGGIMTPYAYGNISPLPPGPPPPPPPPPPYMVSPMVSMAQQPSQIPQQQPLPLAQQQATINQQQQMSLNQQPQGPNFRPLRPHGMVYYGHPSHS >Potri.013G159800.2.v4.1 pep chromosome:Pop_tri_v4:13:15411795:15415572:-1 gene:Potri.013G159800.v4.1 transcript:Potri.013G159800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159800.v4.1 MSGLYTHNFSPVRASPHVRTTPDVDSGQYLAELFEEHQKFEPFMQVLPICSRLLNQEILRVSGRTPNQGFSDFDRLQYGSLSPMASSDMIPNNIGTGFNGWNGLQHERLGPQGMSIDWQAAPASPSSHIVKQILRLDIPVDSYPNFNFVGRLLGPRGNSLKRVEASTGCRVYIRGKGSIKDPEKEESLRGRPGYEHLSEQLHILIEAELHANVIDARLRQAQEIIEELLKPVDECQDMYKRQQLRELAMLNLSYREDSPGGSGSVSPFTSSGMKRVKTGQ >Potri.003G211000.1.v4.1 pep chromosome:Pop_tri_v4:3:20884298:20890165:-1 gene:Potri.003G211000.v4.1 transcript:Potri.003G211000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6B MEIGGSSESQNETKKGKSKTPRKPKESLLKQKSPAEFFAENKNIAGFDNPGKSLYTTVRELVENSLDSAEAISELPVVEITIEEIGKSKFNSMIGLVDRERVDAQLYDDYETAKAREKRLAKEARAQEVQAKNASLGKKVKEQSTMKGMKGRGEASFYRVTCKDNGKGMPHDDIPNMFGRVLSGTKYGLKQTRGKFGLGAKMALIWSKMSTGLPIEISSSMKAQSYISFCRLDIDIHRNIPHIHLHEKRNNDDCWHGAEIQVVIEGNWTTYRSKILHYMRQMAVITPYAQFLFRFISDAPDKNVTIKFARRTDVMPPVPLETKHHPSSVDLLLIKRLIAETSKQNLLQFLQHEFVNIGKSLAERLIGEMGPEFSPKMVVKSLTDQQIVRINQLFRQAKFDDPTGDCLSPAGEYNLRLGIIKELHPDMVATYSGSAQVFEGHPFIVEAGVSVGGKDVKQGLNIFRFANRIPLLFEQGADVVTRTALKRINWGSYKINQTQDKIGVFVSVVSTKIPFKGTGKEYIGDDINEIATAVKSAIQQCCIQLKSKIIKKMLAREQQERKRNLSRYIPDATNAVYDVLKYMSQSHASKKKRYSGEEAEILSNITANLITKETIKEKLAEHVEKVDYEMALEYATQSGVTEEPREDIFIQTLDPENKFIEFQSPIFVFRLVC >Potri.016G047900.4.v4.1 pep chromosome:Pop_tri_v4:16:3088652:3091790:1 gene:Potri.016G047900.v4.1 transcript:Potri.016G047900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047900.v4.1 MASRLQPAAVEAGCQNQNISLERSPDSIMSRFESPASAFYATERYMRFPQYDCQVDYINSGEQADHNFGLKSTLESVVKPQFSCHKSFDKSDKGLSSSSGNKLPSEHHNKFLDNPGVSLENHFLVPFQGNQNRQVDYNPYNSPFSELGRFNSREEKRSPRFSLGGFPISSGKDLSTTLSSKTRIRWTQDLHKKFVECVNRLGGAEKATPKAILKLMDSDGLTIFHVKSHLQKYRSARYMPDSSEGKAEKRTSIDDVSQLDVKTSGFQIREALEVQLDVQRRLHEQLEIQKILQLRIEEQGKQLKMMFDQQQKKTNSLLNNQNLNITSPDESTFSLEDIDVSIVEGSNNNTHFPSKIS >Potri.016G047900.5.v4.1 pep chromosome:Pop_tri_v4:16:3088653:3091789:1 gene:Potri.016G047900.v4.1 transcript:Potri.016G047900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047900.v4.1 MASRLQPAAVEAGCQNQNISLERSPDSIMSRFESPASAFYATERYMRFPQYDCQVDYINSGEQADHNFGLKSTLESVVKPQFSCHKSFDKSDKGLSSSSGNKLPSEHHNKFLDNPGVSLENHFLVPFQGNQNRQVDYNPYNSPFSELGRFNSREEKRSPRFSLGGFPISSGKDLSTTLSSKTRIRWTQDLHKKFVECVNRLGGAEKATPKAILKLMDSDGLTIFHVKSHLQKYRSARYMPDSSEGKAEKRTSIDDVSQLDVKTGFQIREALEVQLDVQRRLHEQLEIQKILQLRIEEQGKQLKMMFDQQQKKTNSLLNNQNLNITSPDESTFSLEDIDVSIVEGSNNNTHFPSKIS >Potri.016G047900.1.v4.1 pep chromosome:Pop_tri_v4:16:3088526:3091778:1 gene:Potri.016G047900.v4.1 transcript:Potri.016G047900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047900.v4.1 MASRLQPAAVEAGCQNQNISLERSPDSIMSRFESPASAFYATERYMRFPQYDCQVGNYFCSQYSNSYDSHVSSHQSSGADYINSGEQADHNFGLKSTLESVVKPQFSCHKSFDKSDKGLSSSSGNKLPSEHHNKFLDNPGVSLENHFLVPFQGNQNRQVDYNPYNSPFSELGRFNSREEKRSPRFSLGGFPISSGKDLSTTLSSKTRIRWTQDLHKKFVECVNRLGGAEKATPKAILKLMDSDGLTIFHVKSHLQKYRSARYMPDSSEGKAEKRTSIDDVSQLDVKTGFQIREALEVQLDVQRRLHEQLEIQKILQLRIEEQGKQLKMMFDQQQKKTNSLLNNQNLNITSPDESTFSLEDIDVSIVEGSNNNTHFPSKIS >Potri.016G047900.6.v4.1 pep chromosome:Pop_tri_v4:16:3088653:3091789:1 gene:Potri.016G047900.v4.1 transcript:Potri.016G047900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047900.v4.1 MASRLQPAAVEAGCQNQNISLERSPDSIMSRFESPASAFYATERYMRFPQYDCQVGNYFCSQYSNSYDSHVSSHQSSGADYINSGEQADHNFGLKSTLESVVKPQFSCHKSFDKSDKGLSSSSGNKLPSEHHNKFLDNPGVSLENHFLVPFQGNQNRQVDYNPYNSPFSELGRFNSREEKRSPRFSLGGFPISSGKDLSTTLSSKTRIRWTQDLHKKFVECVNRLGGAEKATPKAILKLMDSDGLTIFHVKSHLQKYRSARYMPDSSEG >Potri.016G047900.2.v4.1 pep chromosome:Pop_tri_v4:16:3088672:3091778:1 gene:Potri.016G047900.v4.1 transcript:Potri.016G047900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047900.v4.1 MASRLQPAAVEAGCQNQNISLERSPDSIMSRFESPASAFYATERYMRFPQYDCQVGNYFCSQYSNSYDSHVSSHQSSGADYINSGEQADHNFGLKSTLESVVKPQFSCHKSFDKSDKGLSSSSGNKLPSEHHNKFLDNPGVSLENHFLVPFQGNQNRQVSILQVDYNPYNSPFSELGRFNSREEKRSPRFSLGGFPISSGKDLSTTLSSKTRIRWTQDLHKKFVECVNRLGGAEKATPKAILKLMDSDGLTIFHVKSHLQKYRSARYMPDSSEGKAEKRTSIDDVSQLDVKTGFQIREALEVQLDVQRRLHEQLEIQKILQLRIEEQGKQLKMMFDQQQKKTNSLLNNQNLNITSPDESTFSLEDIDVSIVEGSNNNTHFPSKIS >Potri.016G047900.3.v4.1 pep chromosome:Pop_tri_v4:16:3088526:3091778:1 gene:Potri.016G047900.v4.1 transcript:Potri.016G047900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047900.v4.1 MASRLQPAAVEAGCQNQNISLERSPDSIMSRFESPASAFYATERYMRFPQYDCQVGNYFCSQYSNSYDSHVSSHQSSGADYINSGEQADHNFGLKSTLESVVKPQFSCHKSFDKSDKGLSSSSGNKLPSEHHNKFLDNPGVSLENHFLVPFQGNQNRQVDYNPYNSPFSELGRFNSREEKRSPRFSLGGFPISSGKDLSTTLSSKTRIRWTQDLHKKFVECVNRLGGAEKATPKAILKLMDSDGLTIFHVKSHLQKYRSARYMPDSSEGKAEKRTSIDDVSQLDVKTSGFQIREALEVQLDVQRRLHEQLEIQKILQLRIEEQGKQLKMMFDQQQKKTNSLLNNQNLNITSPDESTFSLEDIDVSIVEGSNNNTHFPSKIS >Potri.002G235000.2.v4.1 pep chromosome:Pop_tri_v4:2:22805584:22807632:-1 gene:Potri.002G235000.v4.1 transcript:Potri.002G235000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235000.v4.1 MAGVEARVSLEDLKKKMADFAKERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPRGLPDWKGEEKEHLGEELSDVLLYLVRLSDVCGVDLGKAAMRKLEINAIKYPVQLCKGSSEKKRPINAGNNASGDGVTGSIYSNSSTSNSNGV >Potri.002G235000.1.v4.1 pep chromosome:Pop_tri_v4:2:22805867:22807632:-1 gene:Potri.002G235000.v4.1 transcript:Potri.002G235000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235000.v4.1 MAGVEARVSLEDLKKKMADFAKERDWDQFHSPRNLLLALVGEVGELSEIFQWKGEVPRGLPDWKGEEKEHLGEELSDVLLYLVRLSDVCGVDLGKAAMRKLEINAIKYPVQLCKGSSEKKRPINAGNNASGDGVTGSIYSNSSTSNSNGV >Potri.016G043600.1.v4.1 pep chromosome:Pop_tri_v4:16:2763130:2765629:1 gene:Potri.016G043600.v4.1 transcript:Potri.016G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043600.v4.1 MSGYPQQPAGYGYGQGPPPPQQSQPYGSAQPYSSAYGAPPQPSAPYGTAPQPTAPYGSAQHAAPYGTAQQAAPYGSSQHAAPYGTAQAYGSPYAAPPPGTKPPKDKPQGSTPGGYPPAPYGSSPFAALLPSTFPPGTDPSIVACFQVADQDGSGIIDDKELQRALSGYNQSFSLRTVHLLMYLFTNSNARKIGPKEFTELFYSLQNWRAIFERFDRDRSGRIDINELREALLSLGFSVSPVVLDLLVSKFDKTGGKNKAIEYDNFIECCLTVKGLTEKFKERDTAYSGSASFTYENFMLAVLPFLIA >Potri.012G062700.1.v4.1 pep chromosome:Pop_tri_v4:12:6982094:6993997:-1 gene:Potri.012G062700.v4.1 transcript:Potri.012G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062700.v4.1 MATIDEPLYPIAVLIDELKNEDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMADELGVFIPYVGGVEYANVLLPPLETLCTVEETCVRDKSVESLCRIGTQMIEQDLVDHFIPLVKRLAAGEWFTARVSSCGLFHIAYPSAPETLKTELRALYSQLCQDDMPMVRRSAATNLGKFAATVEPVHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQDCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRSDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILNPEVAIQHILPCVKDLSTDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDDKLGALCMQWLKDKVYSIRDAAANNVKRLAEEFGPDWAMQHIVPQVLDLINNPHYLYRMTILHAVSLLSPVMGSEITCSQLLPIVINASKDRVPNIKFNVAKVLQSLIPIVDQSVVEKTIRPCLVELSEDPDVDVRFFATQALQSSDHVMMST >Potri.014G127200.1.v4.1 pep chromosome:Pop_tri_v4:14:8546639:8549644:1 gene:Potri.014G127200.v4.1 transcript:Potri.014G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127200.v4.1 MEKIEHTTVATNGINMHIASIGTGPEILFLHGFPELWYSWRHQLLSLSSLGYRCIAPDLRGYGDTDAPKNYREYTVFHILGDLVGLIDSLGIDKVFLVGHDWGAMVAWYFCLLRPDRVKALVNMSVVFQPRNPHKSFVQISRDLFGDDYYICRFQEPGEVEEDFAQMDTARIIRKFLTSRNPKPPCIPKEVGFRGLPDNPNLPSWLSEKDINYYAGKFNQTGFTGGLNYYRCLDLNWELMAAWTGLQIKVPVKFIVGDLDITYNMPGAQDYISKGGLKKYVPFLQEVAIMEGVAHFLNQEKPEEVSSHIYDFIKKFN >Potri.006G145600.1.v4.1 pep chromosome:Pop_tri_v4:6:12352388:12355556:1 gene:Potri.006G145600.v4.1 transcript:Potri.006G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145600.v4.1 MSNNEEHVEEISTTNGDSFENVKQRLKDRSKKVAQTKEILSKQAVKIAKQAGEHESFINKVTHLLGVLGFGGFCFLLGARPQDFPYAYCFFYVTFVPLRWIYYRFKKSHYYLLDFCYYANTIFLVDILLYPKNEKLFMVCFSFAEGPLAWALIVWRCSLVFSSVDKLVSALIHLLPGLVFFTIRWWNPATFEAMHQEETPRRVSWPYGVEDKSYLMTWLFWVPLFAYTLWQALYFLIVDVLRRQRLLRDPEVMTSYRELSKKAQKANNIWWQLSGLLGDQHRLLMYTLLQAIFTVATMALTVPIFLSYELHVVFQILKISAAAWNGGSFLLEVMPRQVILKEKKKSEMQPVSPEQDQSSTVVENAMKAEISAEVNGS >Potri.011G146300.1.v4.1 pep chromosome:Pop_tri_v4:11:17463766:17468503:1 gene:Potri.011G146300.v4.1 transcript:Potri.011G146300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146300.v4.1 MASMRWCCIFCLFLAVFGAQVGICFSSKVYVVYMGSKSGDDPDDVLSQNHLMLASVHGGSIEQAQASHLYSYRHGFRGFAAKLTDEQASQIAQMPGVVSVFPNLKRKLHTTRSWDFMGLLGEETMEIPGHSTKNQVNVIIGFIDTGIWPESPSFSDANMPPVPAIWRGECEPGEAFNASSCNRKVIGARYYMSGYEAEEDSARIVSFRSPRDSSGHGSHTASTAAGRYVTNVNYKGLAAGGARGGAPMARIAVYKTCWDSGCYDVDLLAAFDDAIRDGVHLLSVSLGPDAPQGDYFKDAISIGSFHAASHGVLVVASVGNAGDRGSATNLAPWMITVGASSMDRDFASDIVLGNDTKFTGESLSLFGMNASARIISASEASAGYFTPYQSSYCLESSLNSTIARGKVLVCRIAEGSSESKLAKSKVVKEAGGVGMVLIDEADKDVAIPFVIPSAIVGKEIGREILSYINNTRKPMSKISRAKTVLGSQPAPRIASFSSKGPNSLTPEILKPDIAAPGLNILAAWSPVAGRMQFNILSGTSMSCPHITGIATLVKAVHPSWSPSAIKSAIMTTATILDKNDEPIRVDPEGRRANSFDYGSGFVDPSRVLDPGLIYDAHPIDYKAFLCSIGYDEKSLRLVTRDNSTCDQTFTTASSLNYPSITVPNLKDSFSVTRTVTNVGKPRSVYKAVVSNPVGINVTVVPKQLIFNRYGQKIKFTVNFKVAAPSKGYAFGFLTWTSGDARVTSPLVVQAAPFPKGLMR >Potri.012G058400.2.v4.1 pep chromosome:Pop_tri_v4:12:5775123:5779966:-1 gene:Potri.012G058400.v4.1 transcript:Potri.012G058400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058400.v4.1 MPVSTRSKANSQEQNGPNPNLKTRPPSYSNQEDSNMDVLLRNPHHGLKEKMKALTLLYEQQKKSSLTLRNPSPKPEEKRFSTHSSVDLLNGCKREEKDSKETKDPKQNNIMRDNALPTMPTLPSAKPGSTVTRTFVLPEPPVDDAKENLVMGPDRVIRFLTCPRKTKDSSTVARKLSMESSVSQTEPRGFIVPKKVQENERLETVSGKNDASGSRILVFVRLRPMAKKEREAGLRCCVRIVNRRDVYLTEFANENDYLRLKRLRGRHFAFDAAFPDSTSQKEVYSTTTADLLEQVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFTKIRQRSCDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNQNRTTEPTRANETSSRSHAILQVVVEYRVRDASMNVVQRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTAMIANISPSNLSFGETQNTLHWADRAKEIRTKACETLEEIQLPECETDQAKLLLEVQKENRELRVQLVHQQQKLLSLQAQLLAANTSPTPPSITFTQTPPSTARPIEKRKARPSFLGGNCFTPESRKRDAEEAVREHRQTVKALEAEIEKLKKDHATQLKEKDDRIHELSRKSEKPSAGGTMQGAKRVVTRASLRPKEKNNGELKSPSHRFKSPIPTAKKRSFWDITTANSPSVATLNGRKTRSHVIADNTAAAPSMLLQPGFARQRP >Potri.012G058400.3.v4.1 pep chromosome:Pop_tri_v4:12:5775230:5779769:-1 gene:Potri.012G058400.v4.1 transcript:Potri.012G058400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058400.v4.1 MPVSTRSKANSQEQNGPNPNLKTRPPSYSNQEDSNMDVLLRNPHHGLKEKMKALTLLYEQQKKSSLTLRNPSPKPEEKRFSTHSSVDLLNGCKREEKDSKETKDPKQNNIMRDNALPTMPTLPSAKPGSTVTRTFVLPEPPVDDAKENLVMGPDRVIRFLTCPRKTKDSSTVARKLSMESSVSQTEPRGFIVPKKVQENERLETVSGKNDASGSRILVFVRLRPMAKKEREAGLRCCVRIVNRRDVYLTEFANENDYLRLKRLRGRHFAFDAAFPDSTSQKEVYSTTTADLLEQVLQGRNGSVFCYGATGAGKTYTMLGTVENPGVMVLAIKDLFTKIRQRSCDGNHVVHLSYLEVYNETVRDLLSPGRPLVLREDKQGIVAAGLTQYRAYSTDEVMALLQQGNQNRTTEPTRANETSSRSHAILQVVVEYRVRDASMNVVQRVGKLSLIDLAGSERALATDQRTLRSLEGANINRSLLALSSCINALVEGKKHIPYRNSKLTQLLKDSLGGACNTAMIANISPSNLSFGETQNTLHWADRAKEIRTKACETLEEIQLPECETDQAKLLLEVQKENRELRVQLVHQQQKLLSLQAQLLAANTSPTPPSITFTQTPPSTARPIEKRKARPSFLGGNCFTPESRKRDAEEAVREHRQTVKALEAEIEKLKKDHATQLKEKDDRIHELSRKSEKPSAGGTMQGAKRVVTRASLRPKEKNNGELKSPSHRFKSPIPTAKKRSFWDITTANSPSVATLNGRKTRSHVIADNTAAAPSMLLQLAYPCFWFVFMFGPHIVNYIIQPGFARQRP >Potri.005G057800.1.v4.1 pep chromosome:Pop_tri_v4:5:3637176:3638235:-1 gene:Potri.005G057800.v4.1 transcript:Potri.005G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057800.v4.1 MDPYQYPTTGKPPQRPPPPPPSPKKEIQIQGPRPSALRLHQGSHKIKKPPLPPQRQPVIIYAVSPKIIHAEESNFMAVVQRLTGLSSADFSHDGSVSPAARLAATEKASPRELTRPSTINDSSNDDLMEMIEELDFGQFPGILSPAPAMLPPVPTGFFSPVSTDANISQSFWNDNYSMSPLFTASPSGFFSGSGIVSPLHSPDIFSSLFMDL >Potri.014G050300.2.v4.1 pep chromosome:Pop_tri_v4:14:3260542:3263188:1 gene:Potri.014G050300.v4.1 transcript:Potri.014G050300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050300.v4.1 MKNRQERFRLSPSDAAIQLDLIAKVRGVSTAEDFFLSLPNTFKDRRVYGALLNAYVQNRMREKAETLFDEMRDKGYVTHALPFNVTMTLYMNIKEYDKVDLMISEMNEKNIKLDIYSYNIWLSSCGSQGSADKMEQVYEQMKSDRSINPNWTTFSTMATMYIKMGQFEKAEDCLRRVESRITGRDRIPYHYLLSLYGNVGNKEEVYRVWNIYKSIFPSIPNLGYHAIISSLVRLDDIEGAEKIFEEWLSIKTSYDPRIANLFIAAYVYQGNLDEAKSFFDHMLEDGGKPNSNTWEILAQGHISERRTSEALSCLKEAFVTPGSKSWKPNPANVTSFFKLCEEEADMANKEALEGFLRQSGHLKDKAYASLLGMPVTGDELSTKEDGTGDQIDNEEDDEDDGAEMLVSHLQGSL >Potri.014G050300.1.v4.1 pep chromosome:Pop_tri_v4:14:3260542:3263267:1 gene:Potri.014G050300.v4.1 transcript:Potri.014G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050300.v4.1 MLLQPALHHHKVSLSSSISYSHSVPWKSPKSTLHQTVNHKKLPVIICSISQVHNYGTVDYERRPMIKWNGIYRRISLMENPELGSGSVLNRWENEGKRLTKWELCRVVKELRKYKRYQQALEVYDWMKNRQERFRLSPSDAAIQLDLIAKVRGVSTAEDFFLSLPNTFKDRRVYGALLNAYVQNRMREKAETLFDEMRDKGYVTHALPFNVTMTLYMNIKEYDKVDLMISEMNEKNIKLDIYSYNIWLSSCGSQGSADKMEQVYEQMKSDRSINPNWTTFSTMATMYIKMGQFEKAEDCLRRVESRITGRDRIPYHYLLSLYGNVGNKEEVYRVWNIYKSIFPSIPNLGYHAIISSLVRLDDIEGAEKIFEEWLSIKTSYDPRIANLFIAAYVYQGNLDEAKSFFDHMLEDGGKPNSNTWEILAQGHISERRTSEALSCLKEAFVTPGSKSWKPNPANVTSFFKLCEEEADMANKEALEGFLRQSGHLKDKAYASLLGMPVTGDELSTKEDGTGDQIDNEEDDEDDGAEMLVSHLQGSL >Potri.007G065950.1.v4.1 pep chromosome:Pop_tri_v4:7:8323809:8326978:1 gene:Potri.007G065950.v4.1 transcript:Potri.007G065950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065950.v4.1 MLNSSSSIFRGSCEDWTIAWSGSTCTYSRFIRVASRVILV >Potri.007G025000.1.v4.1 pep chromosome:Pop_tri_v4:7:1915443:1919481:-1 gene:Potri.007G025000.v4.1 transcript:Potri.007G025000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025000.v4.1 MELEKEIEGKMTTCNSSFKIILGSSSLARRQILNEMGYEFTVVTADIDEKSIRKDKPEELVMALAEAKANAIIERLRIEGHVEEDAQATLLITADTVVVSNGMVREKPNSKEEAREFIKGYSGGHAAVIGSVVVSNLTTGIRKGAWEKAEVYFHEIPDEIIDSVIEEGSTLHVAGGLTLEHPLTSPFVEAVVGSTDTVWGLSKALTEKLIKDNLVAAK >Potri.017G123000.2.v4.1 pep chromosome:Pop_tri_v4:17:12788078:12789202:-1 gene:Potri.017G123000.v4.1 transcript:Potri.017G123000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123000.v4.1 MIIKFQKNIIEAVELKYHGNHQKHQSLEFQVFFNDHANNDFNTLFKNLHHNHNRKFFAAGVPGTFHCRLFPKSSLHFGHSSFALQWLSKTPSEVLDTKSPAWNKGSIHCTGYHTEVAEAYSSQFKNDMETFLNARAQELVNGGLLVIIMPALQDGVLLSQSSIGMTYDLLGSCLQNMAKSGIISEEKVEAFNLPIYISPAKDLEALLQRNGFFSVEKIDRITSNMNITTLTAQFLTSQLRAITEELIKGHFGSEIVDAVFELFNIKLSENWFSIIDTKNQKFMDLFIILRRNFSD >Potri.002G022900.2.v4.1 pep chromosome:Pop_tri_v4:2:1428865:1430858:-1 gene:Potri.002G022900.v4.1 transcript:Potri.002G022900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022900.v4.1 MIRFILLQNRQGKTRLAKYYVPLEDSEKHKVEYEVHRLVVNRDAKFTNFVEFRTHKVIYRRYAGLFFSLCVDITDNELAYLECIHLFVEILDHFFSNVCELDLVFNFHKVYLILDEFILAGELQETSKRAIIERMGELEKLE >Potri.011G021216.1.v4.1 pep chromosome:Pop_tri_v4:11:1610991:1612684:-1 gene:Potri.011G021216.v4.1 transcript:Potri.011G021216.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021216.v4.1 MSYNHFRSQIPDCLGKVPTLTVLNLQGNNFDSISSYAIASDLRSLKISDNKVEGKLPRSLANCSKLEVLDLGGNMIRDTFPVWLDKLTALTILVLQANKFYGPIGSRGTATTWPMLHVMDLSSNEFTGNLLKEFVQSLVGMQLTSNNESRARYVGDNYHINGYYKESVTITNKGRKMRMDRIITLFTCLDLSNNSFHGEIPEEIRILKSLIVLTLSHNNFLGQIPSSLSDLTELESLDLSSNHLSGEIPPQLSRLTFLAVMNLSYNHLEGRIPQGNQFLTFPSSSYEGNPRLCGPPLTRKCNPEVNEPATPPADHEDSWTEYILDWKIMGIGYASGIVIGFSVGYTILSEMRIKWFTDLIRLAGNKERWFNQGQRGLQSW >Potri.011G072566.1.v4.1 pep chromosome:Pop_tri_v4:11:6527643:6544352:1 gene:Potri.011G072566.v4.1 transcript:Potri.011G072566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072566.v4.1 MLAGKFFVFLTVSLTSCLGLLAFFEAKLVQEEVDALEEIARTLGSKYWKFNADTCEIESVGVTQVPPKNAEQRIDCECKNGNNTDCHVTRMELKNYNLPGVLPPQLVKLPHLRVVDFAYNYLNGTIPFEWASMQLTSISLLVNRLSGEIPKELGNITTLTYLSLEANQISGIIPPDLGKLINLQTLMLSSNRFTGNLPVSFSGLINLTDFRINDNNFSGAIPIFIQNWKKLERLEMHATGLEGPIPSNISLLNNLVELRISDINGPTQSFPMLKNMTGMIRLTLRSCKIFGEIPAYLWTMKSLELLDVSFNKLVGKIPASISADRLRFIFLTRNLLSGDISDSILKDGSNVDLSYNNFALQNPGQPVCRENMNLNLNLFRSSSMGNSSRRVLPCMKTFRCPKYSNCLHVNSGGKDITINENKTTLSYEGDGQVEGGAAKYFVNDQSFWGFSSTGDFMDDYDYQNTRYTVSLQSSTIPELYQTARISPISLTYFHYCLQNGNYTVNLHFAEIQFTNDHTYNSLGRRIFDIYVQERLVWKDFNIEDEVGSAEKPLVKQVLNVNVTNNMLEIRFYFAGKGTTRIPDRGVYGPIISAISVFSDLKVCSSGKKKGIVYVVVGAVGASCLVAIILVILWWKGNLPGKLRRKRDVKGLDFPKGTFSLKQIRAATNDFDASNKIGEGGFGPVYKGQLPDGTVIAVKQLSSKSRQGNREFLNEMGMISCLQHPNLVKLHGCCIESDQLLLVYEYMENNSLARALFRHENNQLNLDWPTRLKICIGIARGLAFLHEESRLKIVHRDIKATNVLLDGNLNPKISDFGLARLDEEEKSHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIISGKNNNNYMPSNSSCVCLLDWACHLQQSGSFIELVDETLGSEVNIEEAETMVKVALLCTNASPTLRPTMSEVVSMLEGRMAVPDTRPELSSYNEDLRFKAMRDLRQHEQSHRFSGSQRQKSTSIQTFSSSSISENSSYEISLEPKL >Potri.016G096800.1.v4.1 pep chromosome:Pop_tri_v4:16:9628483:9631582:-1 gene:Potri.016G096800.v4.1 transcript:Potri.016G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096800.v4.1 MADLKLSETRDLTRIERIGAHSHIRGLGLDSALEPRAVSEGMVGQTSARKAAGVILQMIKEGRIAGRAVLIAGQPGTGKTAIAMGMAKSLGLETPFAMISASEIFSLEMSKTEALMQSFRKAIGIRIKEETEVIEGEVVEIQIDRPAVAGAASKTGKLTMKTTEMEGVYDLGAKMIESLGKEKVQSGDVIAIDKPSGKVTKLGRSFTRSREYDAIGPQVRFVQCPDGELQKRKEIVHCVTLHEIDVINSRTQGFLALFTGDTGEIRAEVREQIDTKVAEWREEGKAEIVPGVLFIDEVHMLDIECFSFLNRALENEMAPILVVATNRGITNIRGTNYKSPHGIPIDLLDRLLIITTQPYTKDEIRKILDIRCQEEDVEMAEEAKALLTHIGVETSLRYAIHLITAAALACQKRKGKVVESEDITRVYNLFLDVKRSTQYLMEYQEQYMFNEAPIGDGDEDGTNAMLH >Potri.006G048200.1.v4.1 pep chromosome:Pop_tri_v4:6:3305635:3307320:-1 gene:Potri.006G048200.v4.1 transcript:Potri.006G048200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048200.v4.1 MAMANRQQHQPSHGITKQAQVVVVMVPFPAQGHLNQLLQLSRLILSYNIPVRYVGATTHNRQAKQRVHGWDPDAAANIHFHDIEIPPFRCPPPNPNAKIKFPSQLQPAFNTSSHLTEPVSMLLRALSCKARKVIVIHDSLMESVIQEARLLPNVESYIFHSVSAFAVSLYAWEQQGKIIEDSDMFPRDIPSLEGCFTAEFADFVACQYNNYQKFNSGCVYNTCKLVEGAYMDFLEKETLKEGNKHWALGPFNPVTIPERSKKKKFCLDWLDKKARNSVIYVSFGTTTALDDEQIKELAIGLRESKQNFVWVLRDADKGDVFGGEERSAELPEGYEDSVDGVGLVVRDWAPQLEILAHPATGGFMSHCGWNSCLESITMGVPIAAWPMHSDQPRNTVLITKILKIGVVVKEWELRDAVVTSNIVESAVKRLMASTEGDEMRKRAAEMGESVRGSVAEGGVSRMEMESFIAHITS >Potri.014G152400.1.v4.1 pep chromosome:Pop_tri_v4:14:10674807:10676084:-1 gene:Potri.014G152400.v4.1 transcript:Potri.014G152400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152400.v4.1 MDVSLNIAGGGCATRVFLMMKRVLLLLLLLITGICVPMEVVFGQAAANGNGTSVSSSSSPRPSVANIGSLFTFDSVIGRAAGPAIAAAVDDVNSDPTVLPGTRLNLISHNTNCSGFLGTVEVSVSIARYGLLYNLHFSYKLCSYSFL >Potri.014G152400.2.v4.1 pep chromosome:Pop_tri_v4:14:10674807:10676084:-1 gene:Potri.014G152400.v4.1 transcript:Potri.014G152400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152400.v4.1 MDVSLNIAGGGCATRVFLMMKRVLLLLLLLITGICVPMEVVFGQAAANGNGTSVSSSSSPRPSVANIGSLFTFDSVIGRAAGPAIAAAVDDVNSDPTVLPGTRLNLISHNTNCSGFLGTVEVSVSIARYGLLYNLHFSYKLCSYSFL >Potri.014G152400.3.v4.1 pep chromosome:Pop_tri_v4:14:10674807:10675816:-1 gene:Potri.014G152400.v4.1 transcript:Potri.014G152400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152400.v4.1 MDVSLNIAGGGCATRVFLMMKRVLLLLLLLITGICVPMEVVFGQAAANGNGTSVSSSSSPRPSVANIGSLFTFDSVIGRAAGPAIAAAVDDVNSDPTVLPGTRLNLISHNTNCSGFLGTVEVSVSIARYGLLYNLHFSYKLCSYSFL >Potri.001G202800.1.v4.1 pep chromosome:Pop_tri_v4:1:20365582:20367600:1 gene:Potri.001G202800.v4.1 transcript:Potri.001G202800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G202800.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAISGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGSGGGNGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGGGKGGKGSGGGGGGGGGGGGSGSGSGSGYGSGSGYGEGYGGGKGN >Potri.002G034300.1.v4.1 pep chromosome:Pop_tri_v4:2:2274018:2274430:1 gene:Potri.002G034300.v4.1 transcript:Potri.002G034300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034300.v4.1 MTGRIFLVIFFFWALLAIVTPTLVLLSESSKPYLDLNVEKKGGVLKPRRMMGYLEKQPRIEEIALASILQAPTPAPEPEPEPEPEPVSGIREAILTRFLKKR >Potri.015G065700.1.v4.1 pep chromosome:Pop_tri_v4:15:9125501:9126845:1 gene:Potri.015G065700.v4.1 transcript:Potri.015G065700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065700.v4.1 MACQHKVQDKVAIVTGGASGIGEATALLFAENGARAVVIADVQDERGKKLAESIGSDRSTFIHCDVTDEKQVKSLVESTVALYGHLDIMFCNAGTLSFDKQTVVDFDLDMYEKLFAVNVRGVAASVKHAARAMVEGGRKGSIICTASIAANTGGSIHTDYVMSKCAVLGLVKSASYQLGEHGIRVNCVSPGAVATPLICKAFGMGVEEVEKTFESTSCLKGVLKLKHVANAVLFLASEDSEFVTGHNLVVDGGFTFPQSSQFNS >Potri.005G163700.1.v4.1 pep chromosome:Pop_tri_v4:5:16156651:16157787:-1 gene:Potri.005G163700.v4.1 transcript:Potri.005G163700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163700.v4.1 MASFLSSHSLLQLVLLISIIQFSSAARTFSVSDQSQDPLLFQYHNGPLLTGEVSINLIWYGKFKPSQRAIVSDFIASVSSRRPTTAQPSVATWWKATEKYYNLVKTKKTSPLLLSVGAQILDERYSLGKSLSSKQIVQLASKGGQKGAINVVLTSSDVAVEGFCSSKCGTHGSSLSAKKINGKRSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTATNPFENGYFQGPKEAPLEAASACPGVYGKGAYPGYAGDLLVDSTTGASYNAHGVNGRKYVLPALFDPSTSTCSTLI >Potri.005G232000.3.v4.1 pep chromosome:Pop_tri_v4:5:23099473:23102770:1 gene:Potri.005G232000.v4.1 transcript:Potri.005G232000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232000.v4.1 MVSQDSSSNSASSMLHQFIFSDSITSQNQFQNQNFDALVGSNTFPQSHGVLPSIQSLEERMSRSIDLVQAPSVAQESEISHTRHLMSLLGAANETNRQAQRLSLSLGSHMLVPQVQYRQRSFNSDLMSPSYLIPREEEAREACNPGGEQANNDYSLIGSGFPSSPASLSRRSTTAYGTESFAVAIENSRYLKPAQSLLEETVHVSCKAVEISNEKYVRRLIRCRGSLGLSSELKAELWGNGLVQAEKHEVQLKIAKLIALLEEVEGRYEKYYHQMEEVVSSFEEMAGLGAAKSYTALALQAMSKHFCNLRDAIVSQINETRRKFSQDLPRTSSGLSPLSFFDKETKHNRMSLQQLGMTQSQRQAWRPIRGLPETSVAILRSWLFEHFLHPYPNESEKLMLASQTGLTKNQVSNWFINARVRLWKPMIEEMYKVEFADSSEDSNPLPGSSFITREGVTDHSED >Potri.003G002500.2.v4.1 pep chromosome:Pop_tri_v4:3:441301:445997:1 gene:Potri.003G002500.v4.1 transcript:Potri.003G002500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G002500.v4.1 MAEGGAGSTGSTPYRSLQDTPTWALATVCFIFIFTGIFIEYLIHLLSHWLKKSRKTALYEALEKLKSVLMVLGFMSLILTVTQRSIIKICIPDKVANRMLPCRHTITKTTKATQERILAAESGSDYCGSRGMTSLISESGVNQLNIFIFVMAIMQIVYTVLTMALGRAKMRSWKAWERETQTVEYQAATDPNRFRYTRQTTFARRHMGSFAATSTQLWIKCFFRQFFSSVAKVDYLTLRHGFLAAHLPNNSSFNFQRYIQRSLDYDFKEIVGISPWMWFLVVIFMLVDVHGWHVYLWVSFIPLLIVLVLGTKLEVVVAEMALEIHDQSSVIKGAPLVRPNNSLFWFSHPKYVLPLIHYTLFMNAFELAFFVWVTLQFGIKSCYHERVEIIVVRVVLAVTVQIMCSYITLPLYALVTQMGSQFKGAILEEQTANAIKQWHGGVKKKMKKKGQDFSLSNNHSYSSPISTSNRTMDSPSDNNHHHSQNDSQDDIPSLLRNPTLSDITSFQGQIEIVEGGAREISRHGVPSTVIEIAGVPDRIKNQT >Potri.005G057150.1.v4.1 pep chromosome:Pop_tri_v4:5:3570079:3571124:-1 gene:Potri.005G057150.v4.1 transcript:Potri.005G057150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057150.v4.1 MDPASILEQIELQIANVKEESFSRKEILEKVEKWLTECEEESWLKEYNRDDNRYNAGRGAHLTLKRTKKARNLVNKMPGMVEALASKTMTWESKRGTEFLYDGIHLLSMLEENTILCQEKEEERRRQRDQKELQKRHA >Potri.013G150200.1.v4.1 pep chromosome:Pop_tri_v4:13:14664255:14667055:1 gene:Potri.013G150200.v4.1 transcript:Potri.013G150200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150200.v4.1 MNSKQPYKSESDLPVPPLPTLPCLHSPTTPNSTSNRRVAIAVDLSDESAYAVKWAVENYLRPGDAVILLHVRPTSVLYGADWGSIQLQINNNNTPFELSGSNSPDNRERQKLEDDFDSFTNNKTNLLAKPLLEANVPFKIHVVKDHDMKERLCLEVERLGLSAVIMGSRGFGATRKKGISKGRSVGGGRLGSVSDHCVQHCVCPVVVVRCSDDGKEEESVKTGGVGDGVEEGLHPVPEEDQEECVDDELKDA >Potri.015G127600.8.v4.1 pep chromosome:Pop_tri_v4:15:13913248:13915460:-1 gene:Potri.015G127600.v4.1 transcript:Potri.015G127600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127600.v4.1 MLDRPTRRQDADFGRRIRWVPGSANGPWITLQFVDPPSLQRPAIAAPRPVVPPSNSSDNRSHIDNFGNDEDDLLLQDTTHTELLGPPPAPVSAIEALPVVKITEQHLMNDMHCPVCKEIFEVGGDVMELPCKHLYHSDCIVRWLNLHNTCPVCRYELCDESDKDLPGENAEFFVFEELTNSINWLRNQLHSLQPIRAFSDWTQRYLDFLDSRLATSRGASSWWRSWFIF >Potri.015G127600.3.v4.1 pep chromosome:Pop_tri_v4:15:13913248:13915442:-1 gene:Potri.015G127600.v4.1 transcript:Potri.015G127600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127600.v4.1 MSLINRPRVTVNGIRRMRTFHYFWCQNCQRTLRLTSLNRLEIFCPHCFREINHELDVSRPRLIADLSGLEPSPGARLLDSLAQMLDRPTRRQDADFGRRIRWVPGSANGPWITLQFVDPPSLQRPAIAAPRPVVPPSNSSDNRSHIDNFGNDEDDLLLQDTTHTELLGPPPAPVSAIEALPVVKITEQHLMNDMHCPVCKEIFEVGGDVMELPCKHLYHSDCIVRWLNLHNTCPVCRYELCDESDKDLPGENAEFFVFEELTNSINWLRNQLHSLQPIRAFSDWTQRYLDFLDSRLATSRGASSWWRSWFIF >Potri.015G127600.6.v4.1 pep chromosome:Pop_tri_v4:15:13913248:13915460:-1 gene:Potri.015G127600.v4.1 transcript:Potri.015G127600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127600.v4.1 MLDRPTRRQDADFGRRIRWVPGSANGPWITLQFVDPPSLQRPAIAAPRPVVPPSNSSDNRSHIDNFGNDEDDLLLQDTTHTELLGPPPAPVSAIEALPVVKITEQHLMNDMHCPVCKEIFEVGGDVMELPCKHLYHSDCIVRWLNLHNTCPVCRYELCDESDKDLPGENAEFFVFEELTNSINWLRNQLHSLQPIRAFSDWTQRYLDFLDSRLATSRGASSWWRSWFIF >Potri.015G127600.7.v4.1 pep chromosome:Pop_tri_v4:15:13913384:13915427:-1 gene:Potri.015G127600.v4.1 transcript:Potri.015G127600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127600.v4.1 MSLINRPRVTVNGIRRMRTFHYFWCQNCQRTLRLTSLNRLEIFCPHCFREINHELDVSRPRLIADLSGLEPSPGARLLDSLAQMLDRPTRRQDADFGRRIRWVPGSANGPWITLQFVDPPSLQRPAIAAPRPVVPPSNSSDNRSHIDNFGNDEDDLLLQDTTHTELLGPPPAPVSAIEALPVVKITEQHLMNDMHCPVCKEIFEVGGDVMELPCKHLYHSDCIVRWLNLHNTCPVCRYELCDESDKDLPGENAEFFVFEELTNSINWLRNQLHSLQPIRAFSDWTQRYLDFLDSRLATSRGALGGVLGSFFNSEFQMPPSFKAVHISLIIESFLRQVCPSTINF >Potri.015G127600.1.v4.1 pep chromosome:Pop_tri_v4:15:13913247:13915427:-1 gene:Potri.015G127600.v4.1 transcript:Potri.015G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127600.v4.1 MSLINRPRVTVNGIRRMRTFHYFWCQNCQRTLRLTSLNRLEIFCPHCFREINHELDVSRPRLIADLSGLEPSPGARLLDSLAQMLDRPTRRQDADFGRRIRWVPGSANGPWITLQFVDPPSLQRPAIAAPRPVVPPSNSSDNRSHIDNFGNDEDDLLLQDTTHTELLGPPPAPVSAIEALPVVKITEQHLMNDMHCPVCKEIFEVGGDVMELPCKHLYHSDCIVRWLNLHNTCPVCRYELCDESDKDLPGENAEFFVFEELTNSINWLRNQLHSLQPIRAFSDWTQRYLDFLDSRLATSRGASSWWRSWFIF >Potri.015G127600.4.v4.1 pep chromosome:Pop_tri_v4:15:13913248:13915460:-1 gene:Potri.015G127600.v4.1 transcript:Potri.015G127600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127600.v4.1 MSLINRPRVTVNGIRRMRTFHYFWCQNCQRTLRLTSLNRLEIFCPHCFREINHELDVSRPRLIADLSGLEPSPGARLLDSLAQMLDRPTRRQDADFGRRIRWVPGSANGPWITLQFVDPPSLQRPAIAAPRPVVPPSNSSDNRSHIDNFGNDEDDLLLQDTTHTELLGPPPAPVSAIEALPVVKITEQHLMNDMHCPVCKEIFEVGGDVMELPCKHLYHSDCIVRWLNLHNTCPVCRYELCDESDKDLPGENAEFFVFEELTNSINWLRNQLHSLQPIRAFSDWTQRYLDFLDSRLATSRGASSWWRSWFIF >Potri.010G253400.1.v4.1 pep chromosome:Pop_tri_v4:10:22492474:22494470:1 gene:Potri.010G253400.v4.1 transcript:Potri.010G253400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253400.v4.1 MSGYENVVGGKLKLKGKALDVKAGSLKKKKKKHVKKQVDSSDPVIHNELTTGQSVEEPTDDPNEEDINDGGKASEEGKAASYIDHLTPAEIRYIEQRERIDVHRMAKEADKSHRDRIQDFNQYLANMSEHYDIPKVGPG >Potri.010G253400.2.v4.1 pep chromosome:Pop_tri_v4:10:22492511:22494010:1 gene:Potri.010G253400.v4.1 transcript:Potri.010G253400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253400.v4.1 MSGYENVVGGKLKLKGKALDVKAGSLKKKKKKHVKKQVDSSDPVIHNELTTGQSVEEPTDDPNEEDINDGGKASEEGKAASYIDHLTPAEIRYIEQRERIDVHRMAKEADKSHRDRIQDFNQYLANMSEHYDIPKVGPG >Potri.016G018001.1.v4.1 pep chromosome:Pop_tri_v4:16:940801:943209:-1 gene:Potri.016G018001.v4.1 transcript:Potri.016G018001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018001.v4.1 MAEVIKPHVVCIPFPLQGHINPMLKIAKLLHHKGFHVTFVNTEFNHKGILDARGPNALDGLPDFCFETLPIEHPPSNSHISATLNLLVLRQACGKSLLSPLRDLIARLNDTVANPPVTCMVSDAMLTYTQVLTEELEMPNVFVWHMAATGVVSFAHFRDQMKQLVTLLKEPSQKTDDMLDKKLEWIPGTKSARMRDLLRVIRVQDRNGFMEDSSEGDMERVSKASAVIFNTYDSLEGEDLNSLSSVFGRVYSIGPTQMLLNHISDDFYESVDGNLWNAEPECIKWLDSKEPGSVIYVSFGSSTITTAEELVELAWGLADSKHNFLWIIRPDLIKGDSAILPQDFLFETKEKGFVASWCPQEQVLNHPSIGGFLTHCGWNSMIESISAGVPMICWPFFGDQFANSRKSCNEWGIGLELRDGFKREEVENIVNEILIGEKGKKVKEKGLGMEENGRRGHRF >Potri.013G027300.2.v4.1 pep chromosome:Pop_tri_v4:13:1776156:1785882:-1 gene:Potri.013G027300.v4.1 transcript:Potri.013G027300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027300.v4.1 MAVDIILSVVSPIVELAIGSFKIHISNAFYCKSNLRHLKEEVDKLKNARERLQHLVDEDRRKGKVIQDDVAKWLLEVDGITEKVERELAQDEGGVKKKCFMGLCPNFKTRYQFGKKAEEKLATVKAKLEEQRSFGSISYHAAPSGVEAMSGKGYQAMQSRIPVFNEIMNALKAADVNIVGVYGMGGVGKTTLVKEVSKQAIEDKLFDKMVIASVTRNPDIMKIQGQIADQLGLTFNEESEWGRAGRLRERLKQEKKILVVLDDLWKRLDLEAIGISFKDEQNECKMLLTSREFDVLSSEMEVEKNFSISGLKEDEAWELFKKTAGGNVESPDVQSIALKIATKCAGLPLAIVTVAKALKDKRLSEWKDALRELKRPSPRNFTGVQAEVYSAIELSYKHLGSEELKAIFLLSSRMGYNASIQDLLKYSMGSGLFSDVATIEEVRDKVNSLLHKLKTSSLLLDGDTSKQFSIHDVVRDVAISIAFRDHNVFLRSDEVELKWQYKDSLESHAEIWLHGNSFGFPEDLQYPLLKVLNMNSEDSSLEVPENIFRGTQKLKVLGLTNLSFPAPHSSLHFLKNLRSLCLHQSSLGEIAIIGELKKLEILSFVKSNIKHLPKEIGQLTKLKLLDLSDCSELEVISPNVISNLSLLEELFVGNSFHHWDIEGHNNASLIELEHLSHLTNLDVHMLDSRVMSTDLFSTKLERFRIFIGDVWDWDGAYETLRTLKLKLNESTDHLKHGVLMLLKRTEDLYLLEMKGIKNVICELDSEGFPQLKYLHLHNSPDIQYIIDTMKGVPANILPFPMLESLFLYNLVSLEKIYHGTLKTPSFGKLQKLEVKHCNKLKNLFSFSIARGLLLLQSINITCCRNLEEIVVEESEEFDNKNEEINLMEFTQVRSLSLKYLPNLRNFCSKEKASCLYQTQSKSRTTGMDFGEIILEDEPHAPMQLFDEKFVFPNLEDLKLHSINIERLWDGQLPAITVSIQNLQRLVVKKCGSLKYIFSSSMVKSLVQLKHLAIHDCMSVEEIIVTEELDEEERTSKMVFLKLEHIELLSLPKLKHFCIGSHIECPLLKRLVIDWCHDFQTFVSEFSSTNLTTRNGAREVNLEENFYNAMQPLFDDKVVFPCLAEIQISHIDKIWHNQLAAGSFCELRSMSISDCDKLVNIFPSILLTRFQRLEMLEISHCHSLETIFELQGLGGEEIQAFNVFQLQDLDLYNLPKLKHIWNKDPQGRLIFQNLHSVRVGKCSALKNLFPVSIARDLPQLEKLEIKECGVEEIVANAEGDETAPCFDFPHLTSLTLEKIPEFRNFYPGKHSWECPILKSLEVSGCGNVKLFGSESHTSQEIQRGSQQDQIQQPLFFVEKVISTLEELSLSGENPTTSIIWRCQLPEKYYSAVKLLRLHYFQEESDTIPFGFIQILCNLETLYVTRSSFKRLFSYEGLTDVNQRQRMLGRLRNFKIISSVGDMRHMWKDNDQLVQFLQNLGTLEVISCHSLVNLAPSSASFENLTILDVRCCFGLLNLITSSTAKSLVQLVKLTVRSCKKVMEIVAKERDETEDEIIFSKLEYLELVKLESLTSFCPGNHTFKFPSLKEIVVRQCPKMRIFSPRVVSTPKLQGVCFAKNKVCWQGNLNNTIQQLYTEMVGFGNIWELKLSDFPQLKERWHDQLPFNFCRILANLTVDDCAFVSNAIPSNLLQFMNNLRHLYVRNCDSLEEVFDLEGLNAEEGHAQLLPNLKELQLIDLPRLRDICSRDPQGILDFKNLKSLKVHNCSSLRDLFTPSTASGLVQLNKIEIRNCAMMEKIITEERVEEAATYRIIFPVLKVIVLESLHSMTSIYSGTGILGIPSLEEIGIDNCPNLKTFISSFLSEHVPISVNKGQGYRLYERDHDISTAPSLNHKVAFPNMKKLRVEWNDVMEVIQNGQFRVEYFYKLEGLTLMRFPCDNVDFPSHFLQRFINLKNLVVRDASFEEIVLYEGKDDEENHIRVLAQLKKLELSKLPKLMHLSKEGSQTCKIFQNLESLRVLECGMLKILIPSALSFQCLTTLEVSNCHGLINLMTSSTAKYLVQLTSLSVTECKMIEEIIVSEENEVANEIIFQKLEHLRLRSLPSLTSFHSGKCAFTFPSLEEVFLIECPKMKFFSEGIISTPELETVLLTEEDDEGYWEEDLNSTVQKLFVEMGDGAAQSELLSIPKQPTLAREAKADGNAPSAVSERRASEDLHLTGTSEARKDISPVFETLLRNKQNRIKGVLLEPKIAQLASRYQDFHAASNDKDSRQGQSSSTPMDSKAQISLQEQTDSQATDNGETSLANAIPTISVQQSYRVLVSPATSVEQVSMLTSTSLTNRTSSQELTFSTASSSNAPSGSLKSCGVSFEIYRCSIDLLKELLMKSPAEVATSADRLLLLSGLKNLKNCPFLNYQQQKIIQLYVENFDTLVTSHPFDEQKIDWTSSIKSSIEDHKRRLAELDISDEDITSKISRLKAEKDALNKRLQEIQEEEDHIRRNKESLHAQRIIRNGRLEIQIGALLEAQRHKRETEGRASHVNENWAEFRSLFA >Potri.013G027300.5.v4.1 pep chromosome:Pop_tri_v4:13:1776111:1784771:-1 gene:Potri.013G027300.v4.1 transcript:Potri.013G027300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027300.v4.1 MLLTSREFDVLSSEMEVEKNFSISGLKEDEAWELFKKTAGGNVESPDVQSIALKIATKCAGLPLAIVTVAKALKDKRLSEWKDALRELKRPSPRNFTGVQAEVYSAIELSYKHLGSEELKAIFLLSSRMGYNASIQDLLKYSMGSGLFSDVATIEEVRDKVNSLLHKLKTSSLLLDGDTSKQFSIHDVVRDVAISIAFRDHNVFLRSDEVELKWQYKDSLESHAEIWLHGNSFGFPEDLQYPLLKVLNMNSEDSSLEVPENIFRGTQKLKVLGLTNLSFPAPHSSLHFLKNLRSLCLHQSSLGEIAIIGELKKLEILSFVKSNIKHLPKEIGQLTKLKLLDLSDCSELEVISPNVISNLSLLEELFVGNSFHHWDIEGHNNASLIELEHLSHLTNLDVHMLDSRVMSTDLFSTKLERFRIFIGDVWDWDGAYETLRTLKLKLNESTDHLKHGVLMLLKRTEDLYLLEMKGIKNVICELDSEGFPQLKYLHLHNSPDIQYIIDTMKGVPANILPFPMLESLFLYNLVSLEKIYHGTLKTPSFGKLQKLEVKHCNKLKNLFSFSIARGLLLLQSINITCCRNLEEIVVEESEEFDNKNEEINLMEFTQVRSLSLKYLPNLRNFCSKEKASCLYQTQSKSRTTGMDFGEIILEDEPHAPMQLFDEKFVFPNLEDLKLHSINIERLWDGQLPAITVSIQNLQRLVVKKCGSLKYIFSSSMVKSLVQLKHLAIHDCMSVEEIIVTEELDEEERTSKMVFLKLEHIELLSLPKLKHFCIGSHIECPLLKRLVIDWCHDFQTFVSEFSSTNLTTRNGAREVNLEENFYNAMQPLFDDKVVFPCLAEIQISHIDKIWHNQLAAGSFCELRSMSISDCDKLVNIFPSILLTRFQRLEMLEISHCHSLETIFELQGLGGEEIQAFNVFQLQDLDLYNLPKLKHIWNKDPQGRLIFQNLHSVRVGKCSALKNLFPVSIARDLPQLEKLEIKECGVEEIVANAEGDETAPCFDFPHLTSLTLEKIPEFRNFYPGKHSWECPILKSLEVSGCGNVKLFGSESHTSQEIQRGSQQDQIQQPLFFVEKVISTLEELSLSGENPTTSIIWRCQLPEKYYSAVKLLRLHYFQEESDTIPFGFIQILCNLETLYVTRSSFKRLFSYEGLTDVNQRQRMLGRLRNFKIISSVGDMRHMWKDNDQLVQFLQNLGTLEVISCHSLVNLAPSSASFENLTILDVRCCFGLLNLITSSTAKSLVQLVKLTVRSCKKVMEIVAKERDETEDEIIFSKLEYLELVKLESLTSFCPGNHTFKFPSLKEIVVRQCPKMRIFSPRVVSTPKLQGVCFAKNKVCWQGNLNNTIQQLYTEMVGFGNIWELKLSDFPQLKERWHDQLPFNFCRILANLTVDDCAFVSNAIPSNLLQFMNNLRHLYVRNCDSLEEVFDLEGLNAEEGHAQLLPNLKELQLIDLPRLRDICSRDPQGILDFKNLKSLKVHNCSSLRDLFTPSTASGLVQLNKIEIRNCAMMEKIITEERVEEAATYRIIFPVLKVIVLESLHSMTSIYSGTGILGIPSLEEIGIDNCPNLKTFISSFLSEHVPISVNKGQGYRLYERDHDISTAPSLNHKVAFPNMKKLRVEWNDVMEVIQNGQFRVEYFYKLEGLTLMRFPCDNVDFPSHFLQRFINLKNLVVRDASFEEIVLYEGKDDEENHIRVLAQLKKLELSKLPKLMHLSKEGSQTCKIFQNLESLRVLECGMLKILIPSALSFQCLTTLEVSNCHGLINLMTSSTAKYLVQLTSLSVTECKMIEEIIVSEENEVANEIIFQKLEHLRLRSLPSLTSFHSGKCAFTFPSLEEVFLIECPKMKFFSEGIISTPELETVLLTEEDDEGYWEEDLNSTVQKLFVEMGDGAAQSELLSIPKQPTLAREAKADGNAPSAVSERRASEDLHLTGTSEARKDISPVFETLLRNKQNRIKGVLLEPKIAQLASRYQDFHAASNDKDSRQGQSSSTPMDSKAQISLQEQTDSQATDNGETSLANAIPTISVQQSYRVLVSPATSVEQVSMLTSTSLTNRTSSQELTFSTASSSNAPSGSLKSCGVSFEIYRCSIDLLKELLMKSPAEVATSADRLLLLSGLKNLKNCPFLNYQQQKIIQLYVENFDTLVTSHPFDEQKIDWTSSIKSSIEDHKRRLAELDISDEDITSKISRLKAEKDALNKRLQEIQEEEDHIRRNKESLHAQRIIRNGRLEIQIGALLEAQRHKRETEGRASHVNENWAEFRSLFA >Potri.007G146401.4.v4.1 pep chromosome:Pop_tri_v4:7:15467996:15468656:1 gene:Potri.007G146401.v4.1 transcript:Potri.007G146401.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146401.v4.1 MSFEHSESETRVPRSRINLQDRCSSSIMDVRARKITTNNPLATPPNTRVEKRKMEYNRSLSQGSSRRLLTASHFSLVSLLLLVCLTASLLILPLVLPPLPPPPFMLLLLPIGILVLLMFLAFMPSNARDITYTCM >Potri.015G123900.2.v4.1 pep chromosome:Pop_tri_v4:15:13689381:13693933:-1 gene:Potri.015G123900.v4.1 transcript:Potri.015G123900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G123900.v4.1 MLEAAMQRLTNLFSVLNDMEPICVLNHVFVLREYMREGILGNFRRRLLAVLKADNDLQRPSVLESLIHRHLNIVYLAEQHISMDLTHGIQEVLLIEAFSGPVSSLHLFEKPAEQLTGSATEVVCNWYIENIVKDVSGAGILFTPIHKCFKSTRPVGGYFAELVTDLRELQAFVRVFGGYGVDRLDRMMREHTAALLNCIDTSLRSNHEVLEAVAGSMHSGDRIEREACSRQNVDLDTVIGFCIEGGQALAFDQLLAEAAGVVLDEGAPLIYSLLSGVVKHIPEEISEKKEIRRIRGVANSLNIVGDHDSEWIRSILEDVGGANDGSWTLLPYLFATFMTSKIWNTTGFNVDTGGFKNNIHCLARCMNAVIAGSELVRLEREHQQRQQSLSNGHLDEALDPEIHSRLSAEASIKSAMQLFVKFATGIVLDSWSEANRSNLVAKLIFLDQLCEISPYLPRSSLEAYVPYAILRSIYSQYYSNSPSMPLALLSVSPRHSPAVSLSHTSPAVNHFYDMDSGSLRSTDNKHRNVPRSGPLDYSSSRKVKLVEGSTSGSTGRSPLPRFAVSRSGPLMYK >Potri.008G156700.1.v4.1 pep chromosome:Pop_tri_v4:8:10737139:10740030:-1 gene:Potri.008G156700.v4.1 transcript:Potri.008G156700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156700.v4.1 MGKSGKWLKSFLTGKKDKEREKKGTTQQNSTPIIENPVTPISIPPATPKEKRRWSFRRSSATAAAPKDSNSTEPIATTQPAAVQGTLDSDNEQKRHVMAMAAAADAAMAAAQAAAAVIRLTTATSRRNSTIEEDAAVKIQSVFRSYLARKALCALKGLVKLQALVRGHLVRKQATATLRCMQALVNVQTRARAQRIWMAEDVKPSQRNSIHRKSTQENRIRHTNDENDRGMDQENIKIVEVDVGESKGSIKSRNGYSHRPQTDLTEHRFSTHYASNHAYSKKENHQISPAPSALTDMSPSACSGHFEENSFSTAHSSPQYYSAVSKPDPSRIPFALPRPEYAESLSYDYPLFPNYMANTESFRAKVRSHSAPKQRPDSFERQPSRKRASIEGRNVPRPMRMQRSSSNVGATAQGYIYPWSIKLDRTTVSLRDSECGSTSTVLTNTNDCSSLVGFEVRGNRY >Potri.009G031800.2.v4.1 pep chromosome:Pop_tri_v4:9:4253138:4255609:-1 gene:Potri.009G031800.v4.1 transcript:Potri.009G031800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031800.v4.1 MAMGSLLCFTTSLLIMMSLMWKGEARIPGVYSGGAWQTAHATFYGGADASGTMGGACGYGNLYSQGYGVSTAALSTALFNNGLSCGACFEIKCADDPQWCHSGSPSILITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYHAGIVPVAYRRVPCRKRGGIRFTINGFRYFNLVLISNVAGAGDIVQVSVKGSKTGWMSMSRNWGQNWQSNAVLVGQSLSFRVRASDRRSSTSWNIVPAHWQFGQTFTGKNFRV >Potri.001G239300.1.v4.1 pep chromosome:Pop_tri_v4:1:25703519:25707094:-1 gene:Potri.001G239300.v4.1 transcript:Potri.001G239300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239300.v4.1 MGNGFGKLTVCFTGDGEARRRKVLSELISDPLDEGLGHSFCYVRPDPNRLSSSKVHSEETTTFRTISGASVSANTSTPLSTAFIDPYVYNTIDRAASFESSTSFASIPLQPIPRSLFGSLNSGPLTGNSALMPCSGPMERGFLSGPIERGFMSGPLDRGLFSGPLEKGSSDQFQRSFSHGGFAFRSRSGKRSLIRVLQRAICKTITRGHNSIVAPIKGGVGVVKEPEWILSSEKQNELTVSSVNLSSDGSLEDDDSLESQNLQWAQGKAGEDRVHVVVSEEHGWVFVGIYDGFNGPDAPDYLLSNLYSAVHKELKGLLWDDKFEPDQISSHASSPFQSETSNSVESTNSRLENVIHNSETNGNCRNYECYQYLDQDNHPCPSGDAGFDMSLRRKRSRSSKGKYRGAAKKWEENQRRWKCEWDRERMELDKRLKEQLNRSGSDASPINHADVLKALSQALKKTEESYLEIADKMLVENPELSLMGSCVLVMLMKGEDVYVMNVGDSRAVLAQKAEPDYWLGKIRQDLERINEETLHDLEAFDAERSNSMPVLTASQLSVDHSTSVEEEVQRIKKEHPDDACAVVNDRVKGSLKVTRAFGAGFLKQPRWNNALLEMFRIDYIGNSPYVTCLPSLYHHRLGPKDRFLILSSDGLYQYLTNDEAVYEVELFITLQPEGDPAQHLVEEVLFRAAKKAGMDFHELLDIPQGDRRRYHDDVSIIVISLEGRIWRSCV >Potri.014G168700.6.v4.1 pep chromosome:Pop_tri_v4:14:12331066:12335349:1 gene:Potri.014G168700.v4.1 transcript:Potri.014G168700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168700.v4.1 MTSAEIKPRDVCVVGVARTPMGGFLGSLSSLPATKLGSIAIEAALKRANVDPSTVQEVFFGNVLCANLGQAPARQAALGAGISNSVVCTTINKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYNDYGMGNCAELCADTHSIPRDDQDNYAIQSFERGIAAQDSGAFAWEIVPVEVPGGRGRPSTIIDKDEGLGKFDPAKLRKLRPSFKESGGSVTAGNASSISDGAAALVLVSGEKALQLGLKVLAKVTGYADAAQAPELFTTSPALAIPKAISNAGLDASQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLKQKHGKYGVGGVCNGGGGASAVVVELL >Potri.014G168700.4.v4.1 pep chromosome:Pop_tri_v4:14:12330966:12336392:1 gene:Potri.014G168700.v4.1 transcript:Potri.014G168700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168700.v4.1 MTSAEIKPRDVCVVGVARTPMGGFLGSLSSLPATKLGSIAIEAALKRANVDPSTVQEVFFGNVLCANLGQAPARQAALGAGISNSVVCTTINKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYNDYGMGNCAELCADTHSIPRDDQDNYAIQSFERGIAAQDSGAFAWEIVPVEVPGGRGRPSTIIDKDEGLGKFDPAKLRKLRPSFKESGGSVTAGNASSISDGAAALVLVSGEKALQLGLKVLAKVTGYADAAQAPELFTTSPALAIPKAISNAGLDASQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLKQKHGKYGVGGVCNGGGGASAVVVELL >Potri.014G168700.7.v4.1 pep chromosome:Pop_tri_v4:14:12330967:12335306:1 gene:Potri.014G168700.v4.1 transcript:Potri.014G168700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168700.v4.1 MTSAEIKPRDVCVVGVARTPMGGFLGSLSSLPATKLGSIAIEAALKRANVDPSTVQEVFFGNVLCANLGQAPARQAALGAGISNSVVCTTINKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYNDYGMGNCAELCADTHSIPRDDQDNYAIQSFERGIAAQDSGAFAWEIVPVEVPGGRGRPSTIIDKDEGLGKFDPAKLRKLRPSFKESGGSVTAGNASSISDGAAALVLVSGEKALQLGLKVLAKVTGYADAAQAPELFTTSPALAIPKAISNAGLDASQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLKQKHGKYGVGGVCNGGGGASAVVVELL >Potri.014G168700.5.v4.1 pep chromosome:Pop_tri_v4:14:12331228:12335354:1 gene:Potri.014G168700.v4.1 transcript:Potri.014G168700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168700.v4.1 MTSAEIKPRDVCVVGVARTPMGGFLGSLSSLPATKLGSIAIEAALKRANVDPSTVQEVFFGNVLCANLGQAPARQAALGAGISNSVVCTTINKVCASGMKATMLAAQSIQLGINDVVVAGGMESMSNVPKYLAEARKGSRLGHDSLVDGMLKDGLWDVYNDYGMGNCAELCADTHSIPRDDQDNYAIQSFERGIAAQDSGAFAWEIVPVEVPGGRGRPSTIIDKDEGLGKFDPAKLRKLRPSFKESGGSVTAGNASSISDGAAALVLVSGEKALQLGLKVLAKVTGYADAAQAPELFTTSPALAIPKAISNAGLDASQVDYYEINEAFAVVALANQKLLGLNPEKVNVHGGAVSLGHPLGCSGARILVTLLGVLKQKHGKYGVGGVCNGGGGASAVVVELL >Potri.003G170800.1.v4.1 pep chromosome:Pop_tri_v4:3:17923925:17925979:-1 gene:Potri.003G170800.v4.1 transcript:Potri.003G170800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170800.v4.1 MDPDTVAKAFVEHYYNMFDSNRAGLANLYQDASMLTFEGQKTQGSQNIVAKLTALPFHQCKHHITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSYYVYNDIFRLNYA >Potri.004G017000.1.v4.1 pep chromosome:Pop_tri_v4:4:1219561:1222897:1 gene:Potri.004G017000.v4.1 transcript:Potri.004G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017000.v4.1 MNPLSQGTSSSPVDPPMKRKRGRPRKDESAVLGEKTPVMPESDNMKKNKQTVGPTDSAGVNMVGRVITGVIDGLFDAGYLVKVKVEDSDIPLRGLVFQPGRFTPITAENDVAPQAKMYRRADIPIPVFHPPTQLPSFVTSPKHSGKQLVELKKLALTVQDKGLQSGFQPTAPIAKESLSASQMLPRTEYLQGSTGPLFGGNVMPPQVLGSGHENQSVSVTAEMEHNKIAGQHDLLQEFKASLRKGPNLNVKASEQLKSVSLPSPPADILPVSETQKQPSGDDLKLNQPVHDGVKGPCSLMEKQASPKNAGPSEPAMKIISGDDTSHLNRSPIPIGHAANTTEANSLSSPIASFPSLLFGREAIPPTQKLAAEGFSLQRVIEPQSDVPSGATNIMKAGVDISAATSLPATLFGRKAILPESKAAADEPVLPRMTEPQLCNSPDVTNNVDSNIKDVIPPAES >Potri.011G026032.1.v4.1 pep chromosome:Pop_tri_v4:11:1923407:1924373:1 gene:Potri.011G026032.v4.1 transcript:Potri.011G026032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026032.v4.1 MGVITLENEFAVAVAPAKLFKAYCVEIDTLLPKILPEHIKSSEIIEGNGGPGTIRKITFAEGKELSYAKQKIEAIDEENLTYSFSLIEANVWKDAVEKVTYEHKFVPTPEGGSICKRTSTYYIKGDAEINKDQIKDVYGKKTEGLFKAVEAYFLANPDA >Potri.014G077600.2.v4.1 pep chromosome:Pop_tri_v4:14:5014367:5015936:1 gene:Potri.014G077600.v4.1 transcript:Potri.014G077600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077600.v4.1 MAGDAGGTNTTTRLLRAEDGGNRSGQVEEGRPKEPWKGEYVKSVVYAGLDAIITCFSLISSISATHSSSVDVLVLGFANLVADGISMGLGDYVSSSTEKDVAAKERAVTEWDVTNHGRAQRQELVRKYQQLGMDINDAATVVEIFAKYKDILVDEKMTAEKGMTPPDEEEKPWKNGLITLVAFLVFGCLPLLSFVVLIPFTNNETVKFIGACFMAILALAVLGIAKAKIAGQNYVLSVFITVGNGAFAAAAAYAIGWTLRNVAGLVD >Potri.014G077600.3.v4.1 pep chromosome:Pop_tri_v4:14:5014228:5015680:1 gene:Potri.014G077600.v4.1 transcript:Potri.014G077600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077600.v4.1 MAGDAGGTNTTTRLLRAEDGGNRSGQVEEGRPKEPWKGEYVKSVVYAGLDAIITCFSLISSISATHSSSVDVLVLGFANLVADGISMGLGDYVSSSTEKDVAAKERAVTEWDVTNHGRAQRQELVRKYQQLGMDINDAATVVEIFAKYKDILVDEKMTAEKGMTPPDEEEKPWKNGLITLVAFLVFGCLPLLSFVVLIPFTNNETVKFIGACFMAILALAVLGIAKAKIAGQNYVLSVFITVGNGAFAAAAAYAIGWTLRNVAGLVD >Potri.013G048800.1.v4.1 pep chromosome:Pop_tri_v4:13:3501197:3504947:-1 gene:Potri.013G048800.v4.1 transcript:Potri.013G048800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048800.v4.1 MQTLLLFFDICIAFSLVFVEGVQSVQYDELSTLLLIKSSLIDPSNKLMGWKMPGNAAGNRSPHCNWTGVRCSTKGFVERLDLSNMNLSGIVSYHIQELRSLSFLNISCNGFDSSLPKSLGTLTSLKTIDVSQNNFIGSFPTGLGMASGLTSVNASSNNFSGYLPEDLGNATSLESLDFRGSFFVGSIPSSFKYLQKLKFLGLSGNNLTGRIPREIGQLASLETIILGYNEFEGEIPAEIGNLTSLQYLDLAVGRLSGQIPAELGRLKQLATVYLYKNNFTGKIPPELGNATSLVFLDLSDNQISGEIPVEVAELKNLQLLNLMSNQLKGTIPTKLGELTKLEVLELWKNFLTGPLPENLGQNSPLQWLDVSSNSLSGEIPPGLCHSGNLTKLILFNNSFSGPIPTSLSTCKSLVRVRMQNNLISGTIPVGLGSLPLLQRLELANNNLTGQIPDDIALSTSLSFIDVSGNHLESSLPYGILSVPNLQIFMASNNNFEGQIPDQFQDCPSLSLLELSSNHFSGKIPESIASCEKLVNLNLQNNQFTGEIPKAISTMPTLAILDLSNNSLVGRIPANFGTSPALEMVNLSFNKLEGPVPSNGMLTTINPNDLIGNAGLCGGVLPPCSTTSSASKQQENLRVKHVITGFIIGVSIILTLGIAFFTGRWLYKRWYLYNSFFDDWHNKSNKEWPWTLVAFQRISFTSSDILASIKESNIIGMGGTGIVYKAEAHRPHAIVAVKKLWRTETDLENGDDLFREVSLLGRLRHRNIVRLLGYLHNETDVMMVYEYMPNGNLGTALHGKEAGNLLVDWVSRYNIAVGVAQGLNYLHHDCHPPVIHRDIKSNNILLDANLEARIADFGLARMMSHKNETVSMVAGSYGYIAPEYGYTLKVDEKSDIYSFGVVLLELLTGKMPLDPAFEESVDIVEWARRKIRNNRALEEALDHSIAGQYKHVQEEMLLVLRIAILCTAKLPKDRPSMRDVITMLGEAKPRRKSTCHNNVQNPREERPIFSTSPVIGLL >Potri.011G091100.1.v4.1 pep chromosome:Pop_tri_v4:11:11711594:11719222:-1 gene:Potri.011G091100.v4.1 transcript:Potri.011G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091100.v4.1 MACMKLGSKSDAFQRQGQAWFCTTGLPSDIVVEVEEMSFHLHKFPLLSRSGVMERLIAEVSEEDKKCVICLPKIPGGAKTFELVAKFCYGVKLELTASNVVYLRYASEQLEMTEEYGEGNLSTQTETFLNQVVLRNWKDSLKALQTCDDISCAEEHHVTKRCIESLTTKACTDPNLFGWPMMEHGPMQSPGGSVMWNGISTGARPKHSSSDWWYEDVSTLSLPLYKRLISTMESHGIRLEILAGSIALYAKKYLPGLNRHQGANESTSRLMPVTLGAPPEEQKVLLEEIDELLPVQKGLVPTKFLFGLLRTAMILKANPSCLANLEKRIGMQLDEATLEDLLMPNFSHSMETLYNFDCVQRILEHFLAMDQITGGSSPCSVDDRQLIGSPSLTPITTVAKLIDGYLVEVAPDVNLKLPKFQALAAAVPEYARPLDDGLYRAIDIYLKSHPWLAESDREQLCRLIDCQKLSLEACTHAAQNERLPLRIIVQVLFFEQLQLRTSIAGCFLVSDNLDGSRQLRSGLAGSTECGWTTAVRENQVLKVGMDNMRMRVSELEKECSSMRHEIEKLSGTKGSSTWGNVSKKLGFKMKSQMCTAQEGSVSNQNNVSAKIDKAKDRHAKHKKNSSSDG >Potri.004G175900.1.v4.1 pep chromosome:Pop_tri_v4:4:19106368:19107840:1 gene:Potri.004G175900.v4.1 transcript:Potri.004G175900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175900.v4.1 MSESKKITLKSSDGETFVVDEAVAVESQTIKHMIEDDCADNEIPLPNVTSKILAKVIEYCKKHVEAGSDKEKNVTGVAEKDESLKSWDTEFVKVDQNTLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Potri.014G073900.1.v4.1 pep chromosome:Pop_tri_v4:14:4707932:4708698:1 gene:Potri.014G073900.v4.1 transcript:Potri.014G073900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073900.v4.1 MDIQEQQEQRNERLKTKKKNKMMIDRDGSRNKEMEGIDRIVVSLGTVIVEKEAGTMNVVARPRKLRALKRGFSMFRV >Potri.018G137000.2.v4.1 pep chromosome:Pop_tri_v4:18:15696958:15702335:-1 gene:Potri.018G137000.v4.1 transcript:Potri.018G137000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137000.v4.1 MLYASLEIKGLWIVFWRSKHSWYLMLSYTVGFDYLYGSMDSISLLISSNYSCELNQLFCHFPCINRKVSVMDVSKKYGLEYRLLHAITKGHSWYGDWGYEFGAGSFGLTVYAYKSAVETLSSLPLSIFLSEGQKLQTRLLDIIKFYQSLSDRELVNIRDLFCYLTSLIHDAHKSPSRVNDSSCKKRCIYASGISSSWSKSDIERVEEAMFRVLRAVSGSNWVSWRALRGAVCKVAPPELLDHCLKEIGGKFAADGMIVRSRCNPSSGAFEYRLEPGNPSLNSPATTGSSVITCPSEGNLIQDLRFLYDNMLHPQTMMSYGPEATSDAISSARKLLDCKQFMKDYNKCETTSSPSNPPTICLSCQVEIVDQLEENVPDLPPEIIVLPSNATVFDLKLEASRAFQDVYLMFRRFHAEELLGYSGVDDSTQVKLLIGSTESVRVQGRCLGKNGLGKFRMERGTERWTVDCRCGAKDDDGERMLACDVCGVWQHTRCSGIPDSDPVPAKFVCVGCRGVSLVTKPSAVVK >Potri.018G137000.1.v4.1 pep chromosome:Pop_tri_v4:18:15697061:15702437:-1 gene:Potri.018G137000.v4.1 transcript:Potri.018G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137000.v4.1 MVVNGRPIKRMKRRVTADLYNFLSFPSSPSSSSSSSSSSPPPPRGPFRSNIRSFLTEHALLPPPSSLFPHLLTWQISFQVGDLVGCGGAEAGGGVVSLDVVEEDVARSRSVYCDQCRVVGWSGHPVCSKRYHFIIKADGNSIGGYHKPCTCCGDVLHLSESRCKTCNHVTTADDVEEWIYHQLEDTTHLLHGVIHANGFGHLLRVNGKEGGSRVLSGVHIMDFWDRLCKTLGVRKVSVMDVSKKYGLEYRLLHAITKGHSWYGDWGYEFGAGSFGLTVYAYKSAVETLSSLPLSIFLSEGQKLQTRLLDIIKFYQSLSDRELVNIRDLFCYLTSLIHDAHKSPSRVNDSSCKKRCIYASGISSSWSKSDIERVEEAMFRVLRAVSGSNWVSWRALRGAVCKVAPPELLDHCLKEIGGKFAADGMIVRSRCNPSSGAFEYRLEPGNPSLNSPATTGSSVITCPSEGNLIQDLRFLYDNMLHPQTMMSYGPEATSDAISSARKLLDCKQFMKDYNKCETTSSPSNPPTICLSCQVEIVDQLEENVPDLPPEIIVLPSNATVFDLKLEASRAFQDVYLMFRRFHAEELLGYSGVDDSTQVKLLIGSTESVRVQGRCLGKNGLGKFRMERGTERWTVDCRCGAKDDDGERMLACDVCGVWQHTRCSGIPDSDPVPAKFVCVGCRGVSLVTKPSAVVK >Potri.001G351400.1.v4.1 pep chromosome:Pop_tri_v4:1:36427308:36432021:-1 gene:Potri.001G351400.v4.1 transcript:Potri.001G351400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351400.v4.1 MVDGFPYEVPEEYQSMPLLKGRATVDMKVKVKDNPNADEFMFRIVLDGYSAPVTAGNFLDLVQRHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVNGEKSPFYGATLEELGLYKAQTRLPFNAFGTMAMARDEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPSYKIAR >Potri.001G351400.2.v4.1 pep chromosome:Pop_tri_v4:1:36427307:36432032:-1 gene:Potri.001G351400.v4.1 transcript:Potri.001G351400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351400.v4.1 MAAIIPCHYCSSMAISKLPRSHIPLGNINHVNAAWWGRQLSLRCSLKASQKAQLRNKLKVKLFSLKECAISIALAVGLLTGMPFVDWSPNAYAANPAMPDLSVLISGPPIKDPGALLRYALPIDNKAIREVQKPLEDITDSLKVAGVKALDSVERNLRQSSQALKQGKSLIISGLAESKKDHGVELLDKLETGMDELQQIVVDRNRDAVAPKQKELLSYVGSVEEDMVDGFPYEVPEEYQSMPLLKGRATVDMKVKVKDNPNADEFMFRIVLDGYSAPVTAGNFLDLVQRHFYDGMEIQRADGFVVQTGDPEGPAEGFIDPSTEKTRTIPLEIMVNGEKSPFYGATLEELGLYKAQTRLPFNAFGTMAMARDEFENNSASSQVFWLLKESELTPSNANILDGRYAVFGYVTENEDYLADLKVGDVIESIQVVSGLDNLVNPSYKIAR >Potri.009G093200.2.v4.1 pep chromosome:Pop_tri_v4:9:8483669:8486807:1 gene:Potri.009G093200.v4.1 transcript:Potri.009G093200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093200.v4.1 MDVEVDDKDLKAAGAEILTDGRHGLRIHGWEIVSCNGAILNSSSLITWEDKLKTSHLPEMVFGESCLELKHATSGTKIHFNAFDALTGWKQEALPPVEVPAAAQWKFRSKPFQQVILDYDYTFTTPYCGSETMELDIEKKDSGGILEASCIPCWEDCEEQIDVAALASKEPILFYDEVVLYEDELADNGVSLLTVKVRVMPSCWFLLLRFWLRVDGVLMRLRDTRMHCAFSESANPIVLRESCWREANFEALAAKGYPTDSASYSDPSIISQRLPVIVHKTQKLKVHCNF >Potri.005G036100.1.v4.1 pep chromosome:Pop_tri_v4:5:2314071:2315549:1 gene:Potri.005G036100.v4.1 transcript:Potri.005G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036100.v4.1 MGSTSTSSPPHVVIFPFMAQGHTLPLLDLSKALASRGTRVTIITTPANAPFILSKNSTHPTISLSIIPFPKVEELPEGCENVNHLPSPDLFVPFINATKLLQQPFEDVLKELCDCDSTIPIGVISDMFLPWTVDSCCLFDIPRIVFSGMGVLPTVIERNVSLHVPCISSLLHSEPINLPSVPFPLNKTDFPDFVWRGDEKHPMLPIISEIEQAEHNSWGYVVNSFEELEGDHVAAFENHKETKAWLVGPLLLHDQSKQDLMNSGSKDVDQKQFSPYIKWLDQKMEGVGPGNVIYVAFGSQSYMTDLQMEEIALGLEMAGQPFIWVVRSRTWVPPVGWEDRVKERGLAIRDWVDQRGILEHPAIGGFLTHCGWNSVLEGLSMGVPLLAWPMGAEQGLNARYTEMGLKAGLMVLQERDAKDDPMTVQHNVICDSVKELIRGDQGKKARERAQELGRKARQAVEKGGSSDKKLDELIECLTLKTRIQGRQADTKG >Potri.018G090700.5.v4.1 pep chromosome:Pop_tri_v4:18:11115913:11123165:-1 gene:Potri.018G090700.v4.1 transcript:Potri.018G090700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090700.v4.1 MIIVCHFQLFCQQALSILSVSLLAIEKLSDISPLFFTGVLEAVAAALMMNIYIVGLNQLTDIEIDKVNKPYLPLASGEYSISTGVMIVTSFSIMSFWLGWVVGSWPLFWALFISFVLGTAYSINLPLLRWKRFAFVAAVCILAVRAVIVQLAFYLHMQTHVYGRPPVLSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIRSFTVRLGQNRVFWTCISLLEIAYAVAILVGAASSYTWSKYITILGHGILASILWNRAKSVDLKSKASITSCYMFIWKLFYAEYLLIPLVR >Potri.018G090700.1.v4.1 pep chromosome:Pop_tri_v4:18:11115217:11123165:-1 gene:Potri.018G090700.v4.1 transcript:Potri.018G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090700.v4.1 MESLLPGSFPQLSSPLPSGGNYLGETKVKVGHSPREVQVYRCRARKILERQCVVRFQRHHSGFSVKKSTIYQENNAKFLVHAASGQPFESESGAYNPESTSKSVKNALDAFYRFSRPHTVIGTALSILSVSLLAIEKLSDISPLFFTGVLEAVAAALMMNIYIVGLNQLTDIEIDKVNKPYLPLASGEYSISTGVMIVTSFSIMSFWLGWVVGSWPLFWALFISFVLGTAYSINLPLLRWKRFAFVAAVCILAVRAVIVQLAFYLHMQTHVYGRPPVLSRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIRSFTVRLGQNRVFWTCISLLEIAYAVAILVGAASSYTWSKYITILGHGILASILWNRAKSVDLKSKASITSCYMFIWKLFYAEYLLIPLVR >Potri.017G115200.1.v4.1 pep chromosome:Pop_tri_v4:17:12254839:12259637:-1 gene:Potri.017G115200.v4.1 transcript:Potri.017G115200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115200.v4.1 MMTPRQISEDRGVGSSPHFSHTPLQIIHVIGNFLRIWSVYSMYRYLSQTGASVVLFIFSCLIPSSILFLVLQKPWKGRALSNQQVVPSVINGVITALYFILWGKGLKSCGPVRAILAEYSGAVLGVLSAALYGRKGHIWKKVGGLIAMLASFYFLSQGWAMATYSPFTFKDSPTTEDQTEEVLGMGEMVVPILAGILSALRRVIARRVSLKNQLKRRLNAITITSATCFLFPVAMWDFIIGSTSGSSVQLPFSTWAFLSTTLFGVILIFYVDSIAEERLHMVFSSPRHLMAAGGCIIVMEIVYNMDFSLPGFIICSLILGFGIYEATALERGKKGSFQQSEPLDGVLEDQGQMSPLPT >Potri.007G013200.1.v4.1 pep chromosome:Pop_tri_v4:7:1012312:1014047:-1 gene:Potri.007G013200.v4.1 transcript:Potri.007G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013200.v4.1 MYNSSTGNSMLCRDFMRPRIIQASLKTQKEGIAGNTKVPRNVNMEKLRSGYLFPEISKREVQHIEKYPDAKLISLGIGDTTEPLPDIIASSMANYALSLSTAEGYRGYGAEQGNKALRKAIAETFYKDVRIKETEVFVSDGAQCDITRLQLLLGSNVSIAVQDPSFPAYVDSSVIIGQAGDFEDKSRMYGNIEYMKCVPQTNFFPDLAKTSRTDIIFFCSPNNPTGHAATRQQLEQLVKFAKENGSIIIFDSAYAAYITDDSPRSIFEIPGAREVAIEVSSFSKFAGFTGIRLGWTVVPEELSFSNGFPVINDFNRIVCTCFNGASSIAQVGGLACLSPEGFAAVCSITDYYKENAKILLNTFASLGLKVYGGKNAPYLWVHFPGSKSWDVFTEILERTHIITVPGSGFGPAGEEFMRVCAFGHRESILEAARRLENLYL >Potri.004G079300.4.v4.1 pep chromosome:Pop_tri_v4:4:6570761:6573506:-1 gene:Potri.004G079300.v4.1 transcript:Potri.004G079300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079300.v4.1 MMVPMRALGQDSWKIAAALMGRHIGGGIILLGWHVSCISDALRVSPSVLAAGLAADNVICAVYFTSLFALASKIPAESSASIDGSGMDSGSESGNKLPVLQTATALAVSFAICKAGEYITKFFAIPGGILPAVTAIVVILATAFPTQFNHLAPSGEALALILMQVFFAVVGASGNVWNVINTAPSIFLFALVQIAIHLAVILGLGKLFRFDQKLLLIASNANVGGPTTACGMATAKGWSSLVVPGILAGIFGIAIATFLGIAFGANVLQYMCKF >Potri.004G079300.1.v4.1 pep chromosome:Pop_tri_v4:4:6570761:6573506:-1 gene:Potri.004G079300.v4.1 transcript:Potri.004G079300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079300.v4.1 MASILPFLHSPVVPSRRSCFISRQNTLITTANPTRRTLLPANNGNQTSFLSPQKNPNLIRSSVTVRSNLILNFPLISPTDPWGMWTALFATGAFGIWSERTKIGSALSGALVSTLVGLAASNLGIISCESPAYSIVLKFLLPLAVPLLLFRADLRRVIQSTGTLLLAFLLGSVATTVGTVLAYMMVPMRALGQDSWKIAAALMGRHIGGGIILLGWHVSCISDALRVSPSVLAAGLAADNVICAVYFTSLFALASKIPAESSASIDGSGMDSGSESGNKLPVLQTATALAVSFAICKAGEYITKFFAIPGGILPAVTAIVVILATAFPTQFNHLAPSGEALALILMQVFFAVVGASGNVWNVINTAPSIFLFALVQIAIHLAVILGLGKLFRFDQKLLLIASNANVGGPTTACGMATAKGWSSLVVPGILAGIFGIAIATFLGIAFGANVLQYMCKF >Potri.004G079300.5.v4.1 pep chromosome:Pop_tri_v4:4:6570761:6572913:-1 gene:Potri.004G079300.v4.1 transcript:Potri.004G079300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079300.v4.1 MMVPMRALGQDSWKIAAALMGRHIGGGIILLGWHVSCISDALRVSPSVLAAGLAADNVICAVYFTSLFALASKIPAESSASIDGSGMDSGSESGNKLPVLQTATALAVSFAICKAGEYITKFFAIPGGILPAVTAIVVILATAFPTQFNHLAPSGEALALILMQVFFAVVGASGNVWNVINTAPSIFLFALVQIAIHLAVILGLGKLFRFDQKLLLIASNANVGGPTTACGMATAKGWSSLVVPGILAGIFGIAIATFLGIAFGANVLQYMCKF >Potri.004G079300.3.v4.1 pep chromosome:Pop_tri_v4:4:6570761:6573506:-1 gene:Potri.004G079300.v4.1 transcript:Potri.004G079300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079300.v4.1 MMVPMRALGQDSWKIAAALMGRHIGGGIILLGWHVSCISDALRVSPSVLAAGLAADNVICAVYFTSLFALASKIPAESSASIDGSGMDSGSESGNKLPVLQTATALAVSFAICKAGEYITKFFAIPGGILPAVTAIVVILATAFPTQFNHLAPSGEALALILMQVFFAVVGASGNVWNVINTAPSIFLFALVQIAIHLAVILGLGKLFRFDQKLLLIASNANVGGPTTACGMATAKGWSSLVVPGILAGIFGIAIATFLGIAFGANVLQYMCKF >Potri.005G078900.2.v4.1 pep chromosome:Pop_tri_v4:5:5365074:5366087:1 gene:Potri.005G078900.v4.1 transcript:Potri.005G078900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078900.v4.1 MCFNIGYNFKNGKLVRVTKESDRDDREEECKGLLSKEIERWKKQQERSSSTHVEAPPASTTTPSKCKHLFSS >Potri.015G140900.1.v4.1 pep chromosome:Pop_tri_v4:15:14753295:14754942:1 gene:Potri.015G140900.v4.1 transcript:Potri.015G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G140900.v4.1 MLLQLFQTYKIPILQATLSISLSFLLTFLKVPIFFLRGLHTYIQPENLGQQNGGGGIKAAIRRPSSSDSGSGLDGYQNLSSKTNNAEVKRRNYKSKEKLEFDENKAQIFRLKLDQGHLQTRLYFGDYWYSFVYSFVALSCFLLYEYSGGVKDYGVLVNGSLIPVILSCFVLCKVFVSLAKVSFEKSASKKSEKQLSLIFGVVGFVFGLIVCSGIASSVFDFDFGSLDGFGRVFVAVLMGCFAGFLYIPAGKNARSFWIGTDQIRSNLAMIYCGWFGRMILYANYLLGVFAALLWINPFAQILYSKNVNYSYGMYSNGSVGEAEMLVGNVGFTESDFNKFRLCCLFLSGIFQILALRPNVQMYLNEALLSWYQRLHASKIPDLDFSRAKVFLHNHYLCLVVLQFFAMPILVLLFLSLSQIDGDSFKKLHLWCDFIPCTAFVKEVTLFMAWWIVFVWAAFTSTSLVFYRWGTLYVS >Potri.015G034166.1.v4.1 pep chromosome:Pop_tri_v4:15:2807026:2809141:1 gene:Potri.015G034166.v4.1 transcript:Potri.015G034166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034166.v4.1 MHKTFHVQKQTETHKSEERGREMRFLWLVLHLCCLMLLFSSSSQVTMKYYPTPRRINTDSGRDRDQARPAPPPPRGRQPGRP >Potri.017G120900.2.v4.1 pep chromosome:Pop_tri_v4:17:12671898:12672591:-1 gene:Potri.017G120900.v4.1 transcript:Potri.017G120900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120900.v4.1 MLGQIFNNSSTHHASTQLPLNSDGNHQNEEQFFSQGVHVIEGEKSCAGSSRGKRPIDDYLAGCNCVNKETKLENFDFCLEILASSLSARAERDLAKAKKYKEMPEQATRAPYSIDECMDELENLDDVSDTSYIKALEKFKDPDWRIMFVKMSLVRKKSWLKSLE >Potri.017G120900.1.v4.1 pep chromosome:Pop_tri_v4:17:12671917:12673990:-1 gene:Potri.017G120900.v4.1 transcript:Potri.017G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120900.v4.1 MGGHNNVTTGEDMRWPEKNEDAFLANLYERVKRHPNGTPSFKQVDWNEIDEELFSAIGQKYGAERLQGKYNRLRLKHRQFSDLISHTGVTYSSSSNQVYATEEVWKMFKKKHKNYITFKSKGCRNYEMLGQIFNNSSTHHASTQLPLNSDGNHQNEEQFFSQGVHVIEGEKSCAGSSRGKRPIDDYLAGCNCVNKETKLENFDFCLEILASSLSARAERDLAKAKKYKEMPEQATRAPYSIDECMDELENLDDVSDTSYIKALEKFKDPDWRIMFVKMSLVRKKSWLKSLE >Potri.017G138500.9.v4.1 pep chromosome:Pop_tri_v4:17:13979654:13989157:-1 gene:Potri.017G138500.v4.1 transcript:Potri.017G138500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138500.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRRVGGSYNNRRFINDRYSRDNVYPRNAFHRDILERENYPPPAVDVWPQSRRRSYEEEYPIEKESRRHERQNFDSYHDMDAVHDRDGYHSIDNYRDHKFDRASRFGEHDRDDYAYDDYDYKSRTSYQKRGDSRERDYEYGRRSYDSDYERGSRRDGNWRRHESHDRECDKRALSQEKSQSPHRWHERSQSRGYDDRPRSRSPRSRSHSRSQREDSYDDGRHERNERRRDREDKRHPGHYDMAPSATVVVKGLSQKTTDEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDRIGDDGLVVDDRKLFFEYSSKPTGGSGGPFGQDKSGQHRKITVPSDWMCTICGCINFARRTSCFQCNEPRADDAPPADIALSNPPSLGKKGFEAGPTHVLVVRGLDDNADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATIGTTLEKNGQILRVAYAKSILGPGASGPSQSSSLAAAAIEAAAFSQQYDAAGWAPKEYNPDDNQSAGGQEQAGGEITVQKDGSAPQSGFVWDEASGYYYDAASGFYFDGNTGLYYDGNQGVWYSYDQQTQQYIPFTDNNDNKASSNQSENSKSSDGSSNRKVVISAPAATITSTEKAASLPDAVQAAASAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQTTRVALDDSHPSTPADDRSFSVGQSTKSKFKSDTTTTKKSSMSSSGVVTAPSAQTNGLESSVKPRPVSNSSGGTLMGVIRGSGRGVVKSDTSYSGPSAGVSTSNAAVPLTMAGSSTNADTSSFATPFRTDVSALGSYTPPVAAGSGKRRFSEMPLPSAATHKEQPQNSYRDRAAERRSLYGSSSVGDDLPDADPHRDSAFKRSTLDPMPFPPGVGGGRVIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGGGMVEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREM >Potri.017G138500.1.v4.1 pep chromosome:Pop_tri_v4:17:13979684:13989195:-1 gene:Potri.017G138500.v4.1 transcript:Potri.017G138500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138500.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRVGGSYNNRRFINDRYSRDNVYPRNAFHRDILERENYPPPAVDVWPQSRRRSYEEEYPIEKESRRHERQNFDSYHDMDAVHDRDGYHSIDNYRDHKFDRASRFGEHDRDDYAYDDYDYKSRTSYQKRGDSRERDYEYGRRSYDSDYERGSRRDGNWRRHESHDRECDKRALSQEKSQSPHRWHERSQSRGYDDRPRSRSPRSRSHSRSQREDSYDDGRHERNERRRDREDKRHPGHYDMAPSATVVVKGLSQKTTDEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDRIGDDGLVVDDRKLFFEYSKPTGGSGGPFGQDKSGQHRKITVPSDWMCTICGCINFARRTSCFQCNEPRADDAPPADIALSNPPSLGKKGFEAGPTHVLVVRGLDDNADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATIGTTLEKNGQILRVAYAKSILGPGASGPSQSSSLAAAAIEAAAFSQQYDAAGWAPKEYNPDDNQSAGGQEQAGGEITVQKDGSAPQSGFVWDEASGYYYDAASGFYFDGNTGLYYDGNQGVWYSYDQQTQQYIPFTDNNDNKASSNQSENSKSSDGSSNRKVVISAPAATITSTEKAASLPDAVQAAASAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQTTRVALDDSHPSTPADDRSFSVGQSTKSKFKSDTTTTKKSSMSSSGVVTAPSAQTNGLESSVKPRPVSNSSGGTLMGVIRGSGRGVVKSDTSYSGPSAGVSTSNAAVPLTMAGSSTNADTSSFATPFRTDVSALGSYTPPVAAGSGKRRFSEMPLPSAATHKEQPQNSYRDRAAERRSLYGSSSVGDDLPDADPHRDSAFKRSTLDPMPFPPGVGGGRVIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGGGMVEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREM >Potri.017G138500.11.v4.1 pep chromosome:Pop_tri_v4:17:13979650:13989157:-1 gene:Potri.017G138500.v4.1 transcript:Potri.017G138500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138500.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRRVGGSYNNRRFINDRYSRDNVYPRNAFHRDILERENYPPPAVDVWPQSRRRSYEEEYPIEKESRRHERQNFDSYHDMDAVHDRDGYHSIDNYRDHKFDRASRFGEHDRDDYAYDDYDYKSRTSYQKRGDSRERDYEYGRRSYDSDYERGSRRDGNWRRHESHDRECDKRALSQEKSQSPHRWHERSQSRGYDDRPRSRSPRSRSHSRSQREDSYDDGRHERNERRRDREDKRHPGHYDMAPSATVVVKGLSQKTTDEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDRIGDDGLVVDDRKLFFEYSKPTGGSGGPFGQDKSGQHRKITVPSDWMCTICGCINFARRTSCFQCNEPRADDAPPADIALSNPPSLGKKGFEAGPTHVLVVRGLDDNADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATIGTTLEKNGQILRVAYAKSILGPGASGPSQSSSLAAAAIEAAAFSQQYDAAGWAPKEYNPDDNQSAGGQEQAGGEITVQKDGSAPQSGFVWDEASGYYYDAASGFYFDGNTGLYYDGNQGVWYSYDQQTQQYIPFTDNNDNKASSNQSENSKSSDGSSNRKVVISAPAATITSTEKAASLPDAVQAAASAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQTTRVALDDSHPSTPADDRSFSVGQSTKSKFKSDTTTTKKSSMSSSGVVTAPSAQTNGLESSVKPRPVSNSSGGTLMGVIRGSGRGVVKSDTSYSGPSAGVSTSNAAVPLTMAGSSTNADTSSFATPFRTDVSALGSYTPPVAAGSGKRRFSEMPLPSAATHKEQPQNSYRDRAAERRSLYGSSSVGDDLPDADPHRDSAFKRSTLDPMPFPPGVGGGRVIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGGGMVEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREM >Potri.017G138500.10.v4.1 pep chromosome:Pop_tri_v4:17:13979650:13989251:-1 gene:Potri.017G138500.v4.1 transcript:Potri.017G138500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138500.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRVGGSYNNRRFINDRYSRDNVYPRNAFHRDILERENYPPPAVDVWPQSRRRSYEEEYPIEKESRRHERQNFDSYHDMDAVHDRDGYHSIDNYRDHKFDRASRFGEHDRDDYAYDDYDYKSRTSYQKRGDSRERDYEYGRRSYDSDYERGSRRDGNWRRHESHDRECDKRALSQEKSQSPHRWHERSQSRGYDDRPRSRSPRSRSHSRSQREDSYDDGRHERNERRRDREDKRHPGHYDMAPSATVVVKGLSQKTTDEDLYQILAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDRIGDDGLVVDDRKLFFEYSSKPTGGSGGPFGQDKSGQHRKITVPSDWMCTICGCINFARRTSCFQCNEPRADDAPPADIALSNPPSLGKKGFEAGPTHVLVVRGLDDNADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATIGTTLEKNGQILRVAYAKSILGPGASGPSQSSSLAAAAIEAAAFSQQYDAAGWAPKEYNPDDNQSAGGQEQAGGEITVQKDGSAPQSGFVWDEASGYYYDAASGFYFDGNTGLYYDGNQGVWYSYDQQTQQYIPFTDNNDNKASSNQSENSKSSDGSSNRKVVISAPAATITSTEKAASLPDAVQAAASAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQTTRVALDDSHPSTPADDRSFSVGQSTKSKFKSDTTTTKKSSMSSSGVVTAPSAQTNGLESSVKPRPVSNSSGGTLMGVIRGSGRGVVKSDTSYSGPSAGVSTSNAAVPLTMAGSSTNADTSSFATPFRTDVSALGSYTPPVAAGSGKRRFSEMPLPSAATHKEQPQNSYRDRAAERRSLYGSSSVGDDLPDADPHRDSAFKRSTLDPMPFPPGVGGGRVIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGGGMVEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREM >Potri.017G138500.4.v4.1 pep chromosome:Pop_tri_v4:17:13979650:13989215:-1 gene:Potri.017G138500.v4.1 transcript:Potri.017G138500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138500.v4.1 MDPGRYGLQQGWDNNSALEGYGAVHEPNYRVGGSYNNRRFINDRYSRDNVYPRNAFHRDILERENYPPPAVDVWPQSRRRSYEEEYPIEKESRRHERQNFDSYHDMDAVHDRDGYHSIDNYRDHKFDRASRFGEHDRDDYAYDDYDYKSRTSYQKRGDSRERDYEYGRRSYDSDYERGSRRDGNWRRHESHDRECDKRALSQEKSQSPHRWHERSQSRGYDDRPRSRSPRSRSHSRSQREDSYDDGRHERNERRRDREDKRHPGHYDMAEWGPLRHVRVIKERNSGISRGFAFIDFPSVGAACAMMDRIGDDGLVVDDRKLFFEYSKPTGGSGGPFGQDKSGQHRKITVPSDWMCTICGCINFARRTSCFQCNEPRADDAPPADIALSNPPSLGKKGFEAGPTHVLVVRGLDDNADEEMLRYEFSKHAPIKDLRLVRDKFTHVSRGFAFVHFHSVEDATKALDATIGTTLEKNGQILRVAYAKSILGPGASGPSQSSSLAAAAIEAAAFSQQYDAAGWAPKEYNPDDNQSAGGQEQAGGEITVQKDGSAPQSGFVWDEASGYYYDAASGFYFDGNTGLYYDGNQGVWYSYDQQTQQYIPFTDNNDNKASSNQSENSKSSDGSSNRKVVISAPAATITSTEKAASLPDAVQAAASAALAAEKKEKEKAKEIKLASKSSILANKKKMNNVLTMWKQRSHEGQTTRVALDDSHPSTPADDRSFSVGQSTKSKFKSDTTTTKKSSMSSSGVVTAPSAQTNGLESSVKPRPVSNSSGGTLMGVIRGSGRGVVKSDTSYSGPSAGVSTSNAAVPLTMAGSSTNADTSSFATPFRTDVSALGSYTPPVAAGSGKRRFSEMPLPSAATHKEQPQNSYRDRAAERRSLYGSSSVGDDLPDADPHRDSAFKRSTLDPMPFPPGVGGGRVIGDAQSYEVITADKALGESNVGNRMLRNMGWQEGSGLGKDGGGMVEPVQAQAIDRRAGLGSQQKKLDPSLEVQAGDSYKTLIQKKALARFREM >Potri.013G068100.3.v4.1 pep chromosome:Pop_tri_v4:13:5344440:5354777:1 gene:Potri.013G068100.v4.1 transcript:Potri.013G068100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068100.v4.1 MPTVSVGRDRLFSALGKSYSQEEFEDLCFKFGIELDDVTTEKAIIRKERHLDEEDGGVGDDDGEIIYKIEVPANRYDLLCLEGIAQALRVFNEEQQTPTYTLASIPKDSMLKMHVKPQTSSIRPFVVCAVLRDITFDEASYNSFIDLQDKLHQNICRRRTLVAIGTHDLDTLQGPFTYEALHPKSINFVPLKQEKSFRADELMEFYKSDMKLKKFLQIIENSPVFPVIHDSKRTVLSLPPIINGAHSAITLKTKNVFIECTATDLTKANIVLNTMVTIFSAYCQRKFEVEPVEVIYSDGRSFIYPDLSVYNMEVPLSYITGSIGVSLEAEKVTSLLNRMQLRSEHSVLDDNKCNINVSVPPTRSDILHPCDVMEDVAIAYGYNDIPKRRLPSMKPLPLNQLEDLIRAEIAMNGFTEVLTWILCSNRENFALLNREDDQSSAVIIGNPRSSDFEAVRTSLMPGALKIIGHNKDHPKPIKIFEVGDVAFLDESKDVGATNRRHLAALYCGTNSGFELIHSLLDRIMEVLGTPFVPIGDNTGYYIQRSDAPEFLPGRQASIIYKGKHIGDFGIVHPQVLNNFVITDPCSLLEIDIEHFL >Potri.012G081600.2.v4.1 pep chromosome:Pop_tri_v4:12:10655131:10666301:-1 gene:Potri.012G081600.v4.1 transcript:Potri.012G081600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081600.v4.1 MASLKEDPILGIDGIIIKEEFEIAKPVIDKLREDRPALETEGTVIKGESVIDKVIEEGSGGQGERDMVPPTSTSIHRSGSRPQLDLSKAAIEGNFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHQDRPTNDKRKKTVKERLGISNGNRRSYPILGGRLHFVKFETSKINECLDFISSKQLHRGGVDSHSWHSDTSSNGNAVIKATGGGAYKYADLLKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDMFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNGTIDMLVGDIYGGMDYNKIGLSASTIASSFGKAISEKKELTNYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVQKGTEITAPVPMASSGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPQLADPKMYEPNTIDLADHSELEYWFTVLSDHLPDLVDKAVASEGGTDDSKRRGDAFAHAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEASLAVLPDLLVELDSMTEETRLLTLIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRNKMQRPWRVDDFDAFKERMLGSGDKKPCPHKRALLFVDNSGADVILGMLPLARELLRHGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTSDGSKDNSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIVLEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIEGNLYDCVCRYEPAS >Potri.012G081600.1.v4.1 pep chromosome:Pop_tri_v4:12:10654909:10666314:-1 gene:Potri.012G081600.v4.1 transcript:Potri.012G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081600.v4.1 MASLKEDPILGIDGIIIKEEFEIAKPVIDKLREDRPALETEGTVIKGESVIDKVIEEGSGGQGERDMVPPTSTSIHRSGSRPQLDLSKAAIEGNFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHQDRPTNDKRKKTVKERLGISNGNRRSYPILGGRLHFVKFETSKINECLDFISSKQLHRGGVDSHSWHSDTSSNGNAVIKATGGGAYKYADLLKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDMFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNGTIDMLVGDIYGGMDYNKIGLSASTIASSFGKAISEKKELTNYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVQKGTEITAPVPMASSGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPQLADPKMYEPNTIDLADHSELEYWFTVLSDHLPDLVDKAVASEGGTDDSKRRGDAFAHAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEASLAVLPDLLVELDSMTEETRLLTLIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRNKMQRPWRVDDFDAFKERMLGSGDKKPCPHKRALLFVDNSGADVILGMLPLARELLRHGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTSDGSKDNSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIVLEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIEGNLYDCVCRYEPAS >Potri.012G081600.4.v4.1 pep chromosome:Pop_tri_v4:12:10655350:10666273:-1 gene:Potri.012G081600.v4.1 transcript:Potri.012G081600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081600.v4.1 MASLKEDPILGIDGIIIKEEFEIAKPVIDKLREDRPALETEGTVIKGESVIDKVIEEGSGGQGERDMVPPTSTSIHRSGSRPQLDLSKAAIEGNFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHQDRPTNDKRKKTVKERLGISNGNRRSYPILGGRLHFVKFETSKINECLDFISSKQLHRGGVDSHSWHSDTSSNGNAVIKATGGGAYKYADLLKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDMFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQKGDNGTIDMLVGDIYGGMDYNKIGLSASTIASSFGKAISEKKELTNYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVQKGTEITAPVPMASSGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFPQLADPKMYEPNTIDLADHSELEYWFTVLSDHLPDLVDKAVASEGGTDDSKRRGDAFAHAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDAYRSIKQRENEASLAVLPDLLVELDSMTEETRLLTLIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRNKMQRPWRVDDFDAFKERMLGSGDKKPCPHKRALLFVDNSGADVILGMLPLARELLRHGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTSDGSKDNSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIVLEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIEGNLYDCVCRYEPAS >Potri.008G188300.1.v4.1 pep chromosome:Pop_tri_v4:8:13179878:13183496:-1 gene:Potri.008G188300.v4.1 transcript:Potri.008G188300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188300.v4.1 MKSIRNSSVRFFLFVVVAVFITFAMISVEARKHHSNRNKPHKHLKDKGSNGHNAPDPATNIPGPAPAPLPYYGSYPTHSIIFDVLSFGAKGDGVSDDSKALLAAWKAACKVSGAVVEIPAEFKFLIKPITLQGPCMPHLVLEVDGILLAPPQVGAWPKSGLFQWLNFKWVHDFTIQGTGKLDGQGSAWWSPSGVYYIQKRSKHNPDMKVPTALRFYDSHNVTVRDIKIMNSPQCHLKFDSSSGIKVNNITIDSPENSPNTDGIHLQNTKDVEIQHSNIGCGDDCVSIQTGCSNIHVHHINCGPGHGISLGGLGKDKSVACVSDIVVEKISLQNTLSGVRIKTWQGGVGSVKNVTFSSIEVSDVKYPVIIDQFYCDKKICKNQTEAVAISGVKYDRIIGSYSVQPLHLACSNDVPCTDVDLIDIQLKPSSNGFRGFRQALCWNSYGKSQAPLLPSSIDYCLRTESRSVKRIARSHTEHICPP >Potri.011G029400.1.v4.1 pep chromosome:Pop_tri_v4:11:2234929:2236283:-1 gene:Potri.011G029400.v4.1 transcript:Potri.011G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029400.v4.1 MAMLPSKLLFFFSPVFIHLLVLTMAQTNLLQHFCIENHGNFSANSDYKSNLDRLISSFSSDTNNDYGFYTGSFGENIDKAYAISLCRGDKKPETCRSCIKNSSQVLSQLCPNQKEAYIWYDDCMLRYANHTIFNSMEFGPYFWMYSLVNVTDENEFNEVLNALLGRLINFAALGDSRRKFAAGNATAEKSQQTMYALVQCTPDLTQQQCSDCLNQAIKLIPTCCSKRQGGRVVSPSCHFRYEKDPFYDLASTSPLPP >Potri.003G141700.2.v4.1 pep chromosome:Pop_tri_v4:3:15741578:15743196:1 gene:Potri.003G141700.v4.1 transcript:Potri.003G141700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141700.v4.1 MAITLFSVPTPHQSLCTRRSFTSCNSRFHSSTTTLIQYPSKSNIILLSSSSSSSSITSVVEDGPPPPSDALPATDDVDKLPLSGCKGCGREEIEKGCNGEGRIQGGIATVPGFGWWPIKAYRPCPGFLASGGRYRRQGQSMDEVAFGRGGEKTPVVISDEGETR >Potri.016G118900.2.v4.1 pep chromosome:Pop_tri_v4:16:12397134:12398076:-1 gene:Potri.016G118900.v4.1 transcript:Potri.016G118900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118900.v4.1 MDATDSNQQLQQQETRRTSKGRQKIEIKKVEKESNRYVTFSKRKNGLFKKATELSTLCGAETAVIVFSEHRKLFSCGQPDVDKVLDRYLAETEKVPSNFPPVTNNNTESQLANKQEYARSLKRLEEEQTVAKMIGNMNDMNEGGFWWDLPIDNMKQDELEAYKESMEQLKKNVITRLGLIEANNAPSESRIVNPFINLKEIPSFSFNSNQFQ >Potri.016G118900.1.v4.1 pep chromosome:Pop_tri_v4:16:12396826:12398090:-1 gene:Potri.016G118900.v4.1 transcript:Potri.016G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118900.v4.1 MDATDSNQQLQQQETRRTSKGRQKIEIKKVEKESNRYVTFSKRKNGLFKKATELSTLCGAETAVIVFSEHRKLFSCGQPDVDKVLDRYLAETEKVPSNFPPVTNNNTESQLANKQEYARSLKRLEEEQTVAKMIGNMNDMNEGGFWWDLPIDNMKQDELEAYKESMEQLKKNVITRLGLIEANNAPTTVICERKI >Potri.012G098900.3.v4.1 pep chromosome:Pop_tri_v4:12:12237060:12241349:-1 gene:Potri.012G098900.v4.1 transcript:Potri.012G098900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098900.v4.1 MTKQRLHDRFKAFFNDRWLVFVCAMWIQSCAGIGYLFGSISPVIKSTMGYNQRQVAILGVAKDLGDSIGFVPGSLCEIFPIWAISLIGVVQNFVGYGLVWLIVAQKVPALPLWVLCVAIFVGTNGETYFNTVALVSCVQNFPKNRGPVVGILKGFAGLSGAILTQIYAMINSPNEASLIFMIAVGPSMVVIAIMFVVRPVRGHRQARSSDNSSFLFTYSVCLVLAAYLLGVLIVEDLVNLNQTLLTVLVAVLIILVLLPITIPVLLAFYSEPRHPVEENLLPETDKQESSKSELQIGGSFILSEMEDEKPSEMDLLQPTERHRRIAHLQAKLFQAAAEGAVRIKRRKGPRRGEDFTLMQALRKADFLLMFFSLVLASGSGLTVIDNLGQICQSLGYNDTSIFVSMISIWNFLGRVGGGYFSEAIIRKYAYPRPVAMAVVQVVMAVALFYYAMGWPGEIYVLSIFIGLGYGAHWAIVPASASELFGLKSFGALYNFLTLSSPAGSLIFSGVIASGIYDHFARKQAGLQQLNSGSLPATHLEEEKSLTCVGLECYSLTCGIMSGLCIIAVILSLIVVRRTKSVYAQLYGNTLV >Potri.012G098900.4.v4.1 pep chromosome:Pop_tri_v4:12:12237356:12241317:-1 gene:Potri.012G098900.v4.1 transcript:Potri.012G098900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098900.v4.1 MTKQRLHDRFKAFFNDRWLVFVCAMWIQSCAGIGYLFGSISPVIKSTMGYNQRQVAILGVAKDLGDSIGFVPGSLCEIFPIWAISLIGVVQNFVGYGLVWLIVAQKVPALPLWVLCVAIFVGTNGETYFNTVALVSCVQNFPKNRGPVVGILKGFAGLSGAILTQIYAMINSPNEASLIFMIAVGPSMVVIAIMFVVRPVRGHRQARSSDNSSFLFTYSVCLVLAAYLLGVLIVEDLVNLNQTLLTVLVAVLIILVLLPITIPVLLAFYSEPRHPVEENLLPETDKQESSKSELQIGGSFILSEMEDEKPSEMDLLQPTERHRRIAHLQAKLFQAAAEGAVRIKRRKGPRRGEDFTLMQALRKADFLLMFFSLVLASGSGLTVIDNLGQICQSLGYNDTSIFVSMISIWNFLGRVGGGYFSEAIIR >Potri.004G059000.6.v4.1 pep chromosome:Pop_tri_v4:4:4937527:4941242:1 gene:Potri.004G059000.v4.1 transcript:Potri.004G059000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059000.v4.1 MAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVISLKHCFFSTTNNNELFLNLVMEYVPESMYRVLKHYSNAKQTMPLIYVKLYMYQIFRGLAYIHAVPGVCHRDLKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVDIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPSLFNFKQELNGASPELVNKLIPEHVKRQMGLNFMHLAGT >Potri.004G059000.2.v4.1 pep chromosome:Pop_tri_v4:4:4936629:4941381:1 gene:Potri.004G059000.v4.1 transcript:Potri.004G059000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059000.v4.1 MAEDKEMTTRVADGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVISLKHCFFSTTNNNELFLNLVMEYVPESMYRVLKHYSNAKQTMPLIYVKLYMYQIFRGLAYIHAVPGVCHRDLKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVDIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPSLFNFKQELNGASPELVNKLIPEHVKRQMGLNFMHLAGT >Potri.004G059000.5.v4.1 pep chromosome:Pop_tri_v4:4:4936631:4942171:1 gene:Potri.004G059000.v4.1 transcript:Potri.004G059000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059000.v4.1 MAEDKEMTTRVADGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGVVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVISLKHCFFSTTNNNELFLNLVMEYVPESMYRVLKHYSNAKQTMPLIYVKLYMYQIFRGLAYIHAVPGVCHRDLKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFPGENAVDQLVDIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPSLFNFKQELNGASPELVNKLIPEHVKRQMGLNFMHLAGT >Potri.007G009700.3.v4.1 pep chromosome:Pop_tri_v4:7:752876:757663:-1 gene:Potri.007G009700.v4.1 transcript:Potri.007G009700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009700.v4.1 MRGYDREEDEYYDEYEEEGEEQAEEEYEEEEERKPTVEEVEYLELRERIKEQIRKKMRKESGSTLSKSQEKKKLPSDNYGSFFGPSQPVISQRVIQESKSILENQHLALRVPNAQHTNKKSSSSTATGLKNRVHGLVPKVKNEVKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAPRNVSAPNSEARSAQVPQKIKQASSNSGRNIHGIREERKPVFRNGQMHSKVGSQKPTSANKPDATSINSKRQLGSNNGTGPGRPAGPKFLPSKTPASSIMQKKASSPSAKKILPAMHKSLPSNPSKSSVPKQHWEQRKGLQEPNKARPIPKQPLSSLKSQQINKPIKQVSSHASLQDNRPKKKPVRPFPDAGSDDEDAFSMLRKLIGNKNRGNYDDDDDDSDMEANFDDIMKEERRSARIAREEDEEQLRLIEEEERRERERKLAKKRKLSNR >Potri.007G009700.2.v4.1 pep chromosome:Pop_tri_v4:7:752811:757663:-1 gene:Potri.007G009700.v4.1 transcript:Potri.007G009700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009700.v4.1 MRGYDREEDEYYDEYEEEGEEQAEEEYEEEEERKPTVEEVEYLELRERIKEQIRKKMRKESGSTLSKSQEKKKLPSDNYGSFFGPSQPVISQRVIQESKSILENQHLALRVPNAQHTNKKSSSSTATGLKNRVHGLVPKVKNEVKTKVQKLKDTRDYSFLLTDDAELPAPTKEPAPRNVSAPNSEARSAQVPQKIKQASSNSGRNIHGIREERKPVFRNGQMHSKVGSQKPTSANKPDATSINSKRQLGSNNGTGPGRPAGPKFLPSKTPASSIMQKKASSPSAKKILPAMHKSLPSNPSKSSVPKQHWEQRKGLQEPNKARPIPKQPLSSLKSQINKPIKQVSSHASLQDNRPKKKPVRPFPDAGSDDEDAFSMLRKLIGNKNRGNYDDDDDDSDMEANFDDIMKEERRSARIAREEDEEQLRLIEEEERRERERKLAKKRKLSNR >Potri.002G246100.2.v4.1 pep chromosome:Pop_tri_v4:2:23709955:23717960:-1 gene:Potri.002G246100.v4.1 transcript:Potri.002G246100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246100.v4.1 MVSLRRRKLLGLCAGRSSFLTPLPRFFDNGTAPVGSTQNGRSVSVHPLPSDDANQPEEKTIAKVGAGSSNVSASSSSKEQRSQAYPEQPVKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAITRSAINNKKHKRRIGAGLQKRSETTLQDGDWDAKDGLNGFSASEDVEPDSSAS >Potri.002G246100.6.v4.1 pep chromosome:Pop_tri_v4:2:23710452:23716187:-1 gene:Potri.002G246100.v4.1 transcript:Potri.002G246100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246100.v4.1 MVSLRRRKLLGLCAGRSSFLTPLPRFFDNGTAPVGSTQNGRSVSVHPLPSDDANQPEEKTIAKVGAGSSNVSASSSSKEQRSQAYPEQPVKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDRAAFMCGREPNFELSEEEKQELRKFKWDEFLAITRSAINNKKHKRRIGAGLQKRSETTLQDGDWDAKDGLNGFSASEDVEPDSSAS >Potri.002G246100.7.v4.1 pep chromosome:Pop_tri_v4:2:23712036:23716187:-1 gene:Potri.002G246100.v4.1 transcript:Potri.002G246100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246100.v4.1 MVSLRRRKLLGLCAGRSSFLTPLPRFFDNGTAPVGSTQNGRSVSVHPLPSDDANQPEEKTIAKVGAGSSNVSASSSSKEQRSQAYPEQPVKRRKRHRRKHVQNQEPCLMRGVYFKNMKWQAAIKVDKKQIHLGTVGSQEEAARLYDR >Potri.003G025632.1.v4.1 pep chromosome:Pop_tri_v4:3:22142:24058:1 gene:Potri.003G025632.v4.1 transcript:Potri.003G025632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025632.v4.1 MISALQHPHLVKLYGCCIEGNQLLLVYEYLENNSLARALFGRDEHQIKLDWQTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPSLGSNYSKIEALRMLNLALLCTNPSPTLRPSMSSAVKMLEGQIPVQAPIVKRSTMNQDARFKAFELLSHDSQTYVSNGSQNSQIQKSISMDGPWIDSEFSIESKDEIIDSSSTKLLKDLYDVNLD >Potri.006G101901.7.v4.1 pep chromosome:Pop_tri_v4:6:7840589:7843480:1 gene:Potri.006G101901.v4.1 transcript:Potri.006G101901.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101901.v4.1 MAGGNFMHRVLSYVVNELVVNGLANSPAFQRFAVRTSRRIDDISNIAAKKKQELAEQMKDLSKNIDSFKNQQ >Potri.006G101901.8.v4.1 pep chromosome:Pop_tri_v4:6:7840940:7843136:1 gene:Potri.006G101901.v4.1 transcript:Potri.006G101901.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101901.v4.1 MAGGNFMHRVLSYVVNELVVNGLANSPAFQRFAVRTSRRIDDISNIAAKKKQELAEQMKDLSKNIDSFKNQQ >Potri.002G042000.1.v4.1 pep chromosome:Pop_tri_v4:2:2756160:2758458:-1 gene:Potri.002G042000.v4.1 transcript:Potri.002G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G042000.v4.1 MGEKFWVKEENRMVESVLGVEACEFLITSASKNILNDLVSPPANLGVQQGLGKVVEGSHWNYVIFWYASGLKSGGSILVWGDGICQDPKGGGVVHGSSSGDGKLEGVEKRKVKKCVLRKLHACFNGSDDGSFAASLDEVSDVEMFYLTSMYFTFRCDSAYGPGEAFKSGRSIWASSMPSCLDHYQLRSVLARSAGFQTVVFLPVKSGVLELGSVKSIPEEHDFVEKAKGLFGASNNAQAKAVPKIFGRELSLGGSKSRSISINFSPKVEDELVFTSESYAMKATSTNQVYGSTSNGRPSDKSEAKLFPHLNQAIVGFNTETVVGGLEQPNDDLSPQGDERKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAITYITDLQKKIGALETERGVVNNNQKQLPVPEIDFQPGQDDAVVRASCPLDSHPVSSIIETFREHQITAQECNVSMEGDKIVHTFSIRTPSGAAEQLKEKLEAALSK >Potri.011G089700.5.v4.1 pep chromosome:Pop_tri_v4:11:11492476:11496018:-1 gene:Potri.011G089700.v4.1 transcript:Potri.011G089700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089700.v4.1 MGNKSFLPSFLVGFALVLSLKFANAQMVPAIFVFGDSLVDVGNNNYLPVSVAKADFPHNGIDFPTKKATGRFSNGKNAADFLAQKVGLPTSPPYLSVSPQNTSSFMTGVSFASGGAGIFNGTDRTLGQAIPLTKQVGNYESVYGKLIQRLGLSGAQNRLSKSLFVIVIGSNDIFDYSGSSDLQKKSTPQQYVDSMVLTIKGLLKRLHTSGARKFVFAGIGPLGCIPSQRIKNQTDHGCNEGSNLMAVAYNKGLNSILQELKSNLNAISYSYFDTYALMHNIIQNPATYGFTEVEAACCGRGKLNAQIPCLPISKYCSNRRDHVFWDLYHPTETTASILVDAIFNGPLQYTFPMNVRQLVTV >Potri.007G045900.5.v4.1 pep chromosome:Pop_tri_v4:7:4073253:4078401:1 gene:Potri.007G045900.v4.1 transcript:Potri.007G045900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045900.v4.1 MKVGGGVFYGAPRATSFLLGLRRHTTLRTFSCSSFPDHIGFIKEVAATQPPKHLSHLLRMLKTKGESIVSPRAKKGLFPLAIPLARNSSDAVIALLRWPTAPPGMEMPVVEVRKHGVWLLAKTVDQYINRILVEEDADISSEGNADLFHAAADAGDNLYEKGDYAKSQILDLDVYLLKKVGLFPDVLERKVMLHLQKGDHVSALVIGEFYTKKKHFPGFARPFAFNAEVMLKIGRKLEAKDAARGALKSPWWTLGCRYQDVAQIAQWEDEQIEYIKEKMTEEGRQEDLNKGKEPSQIALDEAAFLLDLASVEGTWDEAAEQIAECYKQAGLHDVARFIQYRD >Potri.007G045900.2.v4.1 pep chromosome:Pop_tri_v4:7:4073188:4078629:1 gene:Potri.007G045900.v4.1 transcript:Potri.007G045900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045900.v4.1 MKVGGGVFYGAPRATSFLLGLRRHTTLRTFSCSSFPDHIGFIKEVAATQPPKHLSHLLRMLKTKGESIVSPRAKKGLFPLAIPLARNSSDAVIALLRWPTAPPGMEMPVVEVRKHGVWLLAKTVDQYINRILVEEDADISSEGNADLFHAAADAGDNLYEKGDYAKSQILDLDVYLLKKVGLFPDVLERKVMLHLQKGDHVSALVIGEFYTKKKHFPGFARPFAFNAEVMLKIGRKLEAKDAARGALKSPWWTLGCRYQDVAQIAQWEDEQIEYIKEKMTEEGRQEDLNKGKEPSQIALDEAAFLLDLASVEGTWDEAAEQIAECYKQAGLHDVARFIQYRD >Potri.010G189600.1.v4.1 pep chromosome:Pop_tri_v4:10:18558032:18560987:1 gene:Potri.010G189600.v4.1 transcript:Potri.010G189600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189600.v4.1 MGFAKEEKSKKVLRGVKTVFFLITMLISFLLFSAPILLVIADTLLPFSLLSASLSPSSLSSETLSSHFNNYDFRYSLIDIPLISIIRSVVIICVYSLCDGPRLSTGPYLGITTICSVSSLIYVSFKAPRVFRVSSTGRGEYVRAMEIALFICSLLLAIGHVVVAYRTSCRERRKLWVYKIDIEAVSACKNVVFPRYQKILLEERVK >Potri.005G244300.2.v4.1 pep chromosome:Pop_tri_v4:5:23977436:23980584:-1 gene:Potri.005G244300.v4.1 transcript:Potri.005G244300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244300.v4.1 MDRYQRVEKPRADTPIDENEIRITSQGRMRSYISYAMSLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQITLIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSMKELNTSSVGYQLPLLAEQVKAFTDFEYEGDGSPSGRGRGRGGRGRARSRGNGFVFAEHEDGGWDRNRGFPRGRGRGRGRGFRGRGRGGFNAPHGDTQQDGGYNYDAPPQGRGRGRGRGNRGRGRGFRSNGPIPAAA >Potri.005G244300.3.v4.1 pep chromosome:Pop_tri_v4:5:23977527:23980545:-1 gene:Potri.005G244300.v4.1 transcript:Potri.005G244300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244300.v4.1 MDRYQRVEKPRADTPIDENEIRITSQGRMRSYISYAMSLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQITLIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSMKELNTSSVGYQLPLLAEQVKAFTDFEYEGDGSPSGRGRGRGGRGRARSRGNGFVFAEHEDGGWDRNRGFPRGRGRGRGRGFRGRGRGGFNAPHGDTQQDGGYNYDAPPQGRGRGRGRGNRGRGRGFRSNGPIPAAA >Potri.005G244300.6.v4.1 pep chromosome:Pop_tri_v4:5:23977546:23980526:-1 gene:Potri.005G244300.v4.1 transcript:Potri.005G244300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244300.v4.1 MDRYQRVEKPRADTPIDENEIRITSQGRMRSYISYAMSLLQEKGSNEIVFKAMGRAINKTVTIVELIKRRIVGLHQITLIGSTDITDTWEPLEEGLLPLETTRHVSMITITLSMKELNTSSVGYQLPLLAEQVKAFTDFEYEGDGSPSGRGRGRGGRGRARSRGNGFVFAEHEDGGWDRNRGFPRGRGRGRGRGFRGRGRGGFNAPHGDTQQDGGYNYDAPPQGRGRGRGRGNRGRGRGFRSNGPIPAAA >Potri.006G268900.2.v4.1 pep chromosome:Pop_tri_v4:6:26334789:26344614:1 gene:Potri.006G268900.v4.1 transcript:Potri.006G268900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G268900.v4.1 MKEYSLNPVLSFFFFLSLMILFLEMGVAQNTTSTIPVNVGVVLDLAYLDANIALSCINMALSDFYASHGDYKTRLVLNTRDSKKDVIGAAAAALDLIKNVEVQAILGPTTSMQANFVIDLGEKAQVPIISFSATSPSLTSIRSSYFLRATQNDSAQVNAISAIVQAFGWREAVPIYIDNEYGEGIIPYLTDALQEVDARVPYRSVISPSATDDQIVEELYKLMTMQTRVFIVHMYRSLGTRLFTKAKEIGMMSGGYVWIMTDGLSVDFLSSPNHSVTDTIQGVLGIKPYVPRTKQLEYFRARWKRQFLRDNPNKIDAELNIYGLLAYSLALAVEKAGTTNFGFQKANVSSNTSTDLATLGVSLNGPNILRALSTTSFKGLTGDYLFVDGQFQSPAFQIVNVNGNGGRRIGFWTPPEGLVKTLNPRINKRMNSTSTSRLSTVIFPGDTTVVPKGWEIPTNEKKLKIGVPVKSGFSEFVAVTKDPGSNTATFTGFCIDVFDAVVKALPYALPYEYIPFAKPDGEPAGTYNDLAYQVYLKNYDAVVGDITIVYNRSLYIDYTLPFTESGVSMIVPIADNNSKNAWVFMKPLTWDLWVSSFLFFVLIGFVVWVLEHRINEDFRGSASDQAGTSFWFSFSTMVFAQRERVVSNLSRAVIIIWCFVVLILTQSYTASLASLLTVEQLQPTVTDVRELIKKGEYVGYQKGSFILGILLDLGFDKSKLVVYSSPEECHHLFSKGSGNGGIAAAFDELAYIKLILSRYCSKYTMIDPKFKTGGFGFVFPKGSPLVPDISRAILNVTEGDEMKQIEGAWFGKKSTCPESSSSISSNSLSLKSFWGLFLIAGLAALLALIIFVVMFVYRERNVLRSSDSTASIWSRIENFFRIFIQRDSTSSTFRQSDLNDRNGISLPAMGAPSPSDYSVDTEYPANRSSASYDSSPNREAPQEVVIDIDQLTNRNQERPAALEIDHESN >Potri.004G061800.1.v4.1 pep chromosome:Pop_tri_v4:4:5220630:5223762:1 gene:Potri.004G061800.v4.1 transcript:Potri.004G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061800.v4.1 MATAAIFSSLRRRRSPSLEVFLAPVDFTDVGLVQTLVSLSTELVSSFSGKSLFFQRKNSRSLIRKIEIFVVLLEYLRDSGAGSALSSPTFACFKELYLLLYRSKILLDYCVRSSKLWLLLQNHSISGHFHDLNQEISTLLDVFPLDDVELSEDVREQIDLMQTQSRRTRLLIDKDEEALRVRLFSFLDEFENGRIPGLAELRLFFVEGLGIKDAKSCITEIEFLEEQIVSHEGDIEPTTSVLNGFVAIARYCRFLLYGFGENEVELQIGNQKKRRKGLIAQEIAETFITIPKDFFCPISLDLMRDPVIISTGQTYDRSSISRWMDEGHCTCPKTGQILMNTRLVPNRALRNLIVQWCTAHGIPYDPPENTDSSVEAFAATMPSKAAIEANRATATLLIHQLANGSQGAKTVAARGIRLLAKTGRENRAFIAEAGAIPYLCELLSSTNSVAQENSVTAILNLSIYEKNKSRIMDEKGCLGSIVEVLRFGLTTEARENAAAALFSLSAVHDYKKRIADEEGAVEALAGLLRKGTPRGKKDAVTALFNLSTHTENCVRMIEAGAIAALVGALGKEGVAEEAAGALALIVRQPIGAKAVGGEEMAVAGLIGMMRCGTPRGKENAVAALLELCRSGGTDATEKVLKAPALAGLLQSLLFTGTKRARRKAASLARVFQRCGNYPLQFGGLGAGYAFAGNSAANRDPSFVSEVSVPVSISVSVL >Potri.001G184200.3.v4.1 pep chromosome:Pop_tri_v4:1:16334920:16338090:-1 gene:Potri.001G184200.v4.1 transcript:Potri.001G184200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184200.v4.1 MLESSDYLKDDCLKINCTVGVVVSATNCSQLNSIQVPESDIGAHFGMLLDNMEGSDVIFNVAGEKFHAHKLVLSARSPFFRSKFFDDGVEEDEQEIVISDLEPVVFKAMLHFIYRDTLTEDVDMATSSSSPVCSVSETLTTKLLAAADRYGMDRLRLMCESHLCKDISVNSVSSILALADGHHATELKAVCLKFAAENLAAVMRSDGFTYLKENCPSLQSELLKTVAGCEEDCSSEGGKSRSVWAQLSDGCDTNGRRVRQRT >Potri.001G184200.4.v4.1 pep chromosome:Pop_tri_v4:1:16334920:16338090:-1 gene:Potri.001G184200.v4.1 transcript:Potri.001G184200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184200.v4.1 MLESSDYLKDDCLKINCTVGVVVSATNCSQLNSIQVPESDIGAHFGMLLDNMEGSDVIFNVAGEKFHAHKLVLSARSPFFRSKFFDDGVEEDEQEIVISDLEPVVFKAMLHFIYRDTLTEDVDMATSSSSPVCSVSETLTTKLLAAADRYGMDRLRLMCESHLCKDISVNSVSSILALADGHHATELKAVCLKFAAENLAAVMRSDGFTYLKENCPSLQSELLKTVAGCEEDCSSEGGKSRSVWAQLSDGCDTNGRRVRQRT >Potri.009G009500.3.v4.1 pep chromosome:Pop_tri_v4:9:1848725:1853841:1 gene:Potri.009G009500.v4.1 transcript:Potri.009G009500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009500.v4.1 MLQKMLKQEENVHEILDRMHNKDDGSGIPLPDFLPPKMKELLTELVMVEGEIARLEGQLSQLQLGLKHEETIPKDAKSKQLQPAGNRSNLQGHMSYTACPSPFIIKGVQDKMAFETKALHFISKAIKGDYNLNDFNPNEKIGASRVFSDQKENHFHEEVKFQDRVPKKSGILKAPSPLRDPRHPSPKPRERNAQVPLDLLSKSLSNSILSEDNIQQLQPNRLSENIMKCLNFIYVRLLRTSRAMELEKSGPISRSLNSSMISRSFRAENSMNSKSNLLLQKESRQQDPYGIFNVEESIPRDIGPYKNLVMFTSSSMDPKWISHSSSIPLLKKLRVLMNNLQTVDLRFLTYHQKLAFWINMYNACIMHGFIQYGVPSTPEKLFTLINKATLNIGGNTINAQAIEHYILRKPASSNEVNQKKEKDDKEAVVRKLYGLESMDPNITFALCCGTRSSPAVRVYTAEGVIAELEKSKLEYLQASVVVTSTKKIAFPDLLLRNTLDFAMDTDTLVEWVCHHLPTSGTLRKSIVDCFRGHNSGKIPCITVEKIPYDFEFQYLLAI >Potri.009G009500.1.v4.1 pep chromosome:Pop_tri_v4:9:1848359:1853847:1 gene:Potri.009G009500.v4.1 transcript:Potri.009G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009500.v4.1 MASQGDVPLDIRRKKISGQQKREALEREISMLQKMLKQEENVHEILDRMHNKDDGSGIPLPDFLPPKMKELLTELVMVEGEIARLEGQLSQLQLGLKHEETIPKDAKSKQLQPAGNRSNLQGHMSYTACPSPFIIKGVQDKMAFETKALHFISKAIKGDYNLNDFNPNEKIGASRVFSDQKENHFHEEVKFQDRVPKKSGILKAPSPLRDPRHPSPKPRERNAQVPLDLLSKSLSNSILSEDNIQQLQPNRLSENIMKCLNFIYVRLLRTSRAMELEKSGPISRSLNSSMISRSFRAENSMNSKSNLLLQKESRQQDPYGIFNVEESIPRDIGPYKNLVMFTSSSMDPKWISHSSSIPLLKKLRVLMNNLQTVDLRFLTYHQKLAFWINMYNACIMHGFIQYGVPSTPEKLFTLINKATLNIGGNTINAQAIEHYILRKPASSNEVNQKKEKDDKEAVVRKLYGLESMDPNITFALCCGTRSSPAVRVYTAEGVIAELEKSKLEYLQASVVVTSTKKIAFPDLLLRNTLDFAMDTDTLVEWVCHHLPTSGTLRKSIVDCFRGHNSGKIPCITVEKIPYDFEFQYLLAI >Potri.005G101600.1.v4.1 pep chromosome:Pop_tri_v4:5:7345477:7356065:-1 gene:Potri.005G101600.v4.1 transcript:Potri.005G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101600.v4.1 MFVKKLVEKASIKKPGGASDGLKPSDVEPRLVFHYGIPHGATKFAYDTIQKILAISTQDGRIKLFGRDNTQALLESPEAVPSKFLQFIQNKGILVNVTSKNQIEVWDLDSKVLSNVHVFKEDITSFTVMQSNLYIYVGDYLGNVKVLKLDQESCHFELMKYTIPLSASHGSPAEVSGDTAVLHTLPQPAAESKRVLIVFRDGLLALWDIRESKSIFTTGGGLLQSQHHEMKKVTSACWACPFASKVAVGYSNGEIFIWSIPAITNSRTELNLDRATQNAPILKLNLGYKVDKIPIALLKWLYADGKASRLYVMGASDLASTNNLQVVLLNEHIETRMIKLGLYLPEPCIDIEIISSSFDQSKHKQDILVLIGKSGHIYVYDDCLIEKYLLQSQSKSSPSLPKEVMVKMPFADSSITVAKFITNTPNLLTYGDEDYIRLAKNIPSPFPFEPRPKDGTHSFQFNGFTKVKNLYITGHSDGAINFWDVSCPFPIPMLSLKQQSEDDFSLSGIALTTLYFHTDSRLLISGDQSGMVRIFKFKPEPYAENSFMSFQGSLKKGSNYVHSVKLMKVNGSVLSINISPSLVHLAVGSDQGYVSVFDIEGPTLLYQEHIASEISTGIISLQFDTCFLHGFEKNILVVATKDSSVLALDADTGNLLSSSSVHPKKPYRALFMQILDGQDMLARGSKMSNNQDPSKRKSDEDGPKQSSLLICSEKAVYVYSLNHVAQGIKKVLYKKKFQSSSCCWASTFCGASDAGLALLLSTGKIEIRSLPELSLIRESSIRGFTYSAPKLNSFSARSICCSWDGELIMMNGDQEMFIVSVLFQKENFRPVDFVSQVYRKELMFSQEGLPTGSIIQKEKKRGIFSSVMKGSKPKQVPEVETEDTRESIEELSKIFSTVNFECHHDENKDSMAMDDDGIDLDIDDIDLDDPVEKTKDQNLLAALNKKKLASKFQAFTGRIKQMNVKNEKNIKEEVKDEKTGAVDQIKKKYGFSLSGESSAAKIAQNKLHENIRKLQGINLRATEMQETASSFSAMAKEVLRISEKDKQSS >Potri.009G160500.2.v4.1 pep chromosome:Pop_tri_v4:9:12419426:12423195:-1 gene:Potri.009G160500.v4.1 transcript:Potri.009G160500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160500.v4.1 MDTLSRIACFSTSPKLSPRPPLFKKTPLSVSVSRASAQPLSELAEDDVLRVFLRERQLNGDFISKACDKFWQRGVAEFVDVDDDKLSDIPQGSEQVMESDNNGGFLKLSRTQEWVLGENAAAPMNRKAIAKALQDDSDRRKRLNLLEYEALKRELMLLSVSIGAACTGYCLVVLSVQAAVSYAIGVLFSCLYLQLLYQHADNLSKEMVPPIFRKKKSKKIGIRSEDLRDSFERSIKGSGIALSSPRIVIPAAIYGLWVLSHQYFPNDFFEIQIVPAMFGMFVYKASALVQVYRDNDDLKLVFPDSGEGSSD >Potri.001G044402.1.v4.1 pep chromosome:Pop_tri_v4:1:3201828:3203343:-1 gene:Potri.001G044402.v4.1 transcript:Potri.001G044402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044402.v4.1 MRWSFVSPAACMNEYIAVGPTLQNPLLAVSLLMSSAFGVLTGTWWEYRNWLMVDKVRGVFNKRAKLIYELQHQQQAASLQQFGGHGLGQSSTILYRAQSFLYHELLTKVAKKKGVEY >Potri.010G180300.2.v4.1 pep chromosome:Pop_tri_v4:10:17925693:17928624:1 gene:Potri.010G180300.v4.1 transcript:Potri.010G180300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180300.v4.1 MGRTRRTGRKTRPHGGGVVTIDLESEGCTDQPSKHRTCWKHIQARMHARRTRMTKKQAEEIESFKLTSPCFLQTIPCRERSKKRFKRNNAVSKLKKELDSVSFNCYMENLWKSFSEDKKMSFAYLDSLWFTMYTEASSGVKVLEWIKRKHIFSKKYVLVPIVRWCHWSLLIFCHFGESLLSENITPCMLLLDSLEMASPKRLEPDIRKFVWDIYESEGRPENKHMISQIPLLVPKVPQQRNGVECGNYVLNFINLFVQDAPENFHMEGYPYFMKDNWFSPEGLEHFCEKLESLESDIL >Potri.013G074650.1.v4.1 pep chromosome:Pop_tri_v4:13:6293892:6294281:1 gene:Potri.013G074650.v4.1 transcript:Potri.013G074650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G074650.v4.1 MIVNMGPHHPSMHGVLRLILSLDDEDVIDCEPLLGYLPRGMEKITENRTIIQYLPYVTRWDYLATMFTEAITINGPEQLGNIQVPKRASYIRVIMLELSRIASHLLWLGPFRQILVGIPLSSIFLEKEI >Potri.003G119200.14.v4.1 pep chromosome:Pop_tri_v4:3:14068542:14071528:1 gene:Potri.003G119200.v4.1 transcript:Potri.003G119200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119200.v4.1 MASKLAFNLTSPRVFTAPIQKPIISSSSSLPSLSSPSCSTRVQLNGKQFSLRGRMLFLPTKATADQQTDQVQEDDMDDGKILQYCSIDKKGKKSLGEMEQDFLQALQAFYYEGKAIMSNEEFDNLKEELMWQGSSVVMLSSDEQKFLEASLAYVSGNPIMNDEEFDKLKIKLKTEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFLFTWFAAVPLIVWLALTLTNAIVKDFLILKGPCPNCGTENGSFFGTILSISSGGASNTLKCSNCSTELVYDSKTRLITLPEGSEA >Potri.003G119200.10.v4.1 pep chromosome:Pop_tri_v4:3:14068551:14071870:1 gene:Potri.003G119200.v4.1 transcript:Potri.003G119200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119200.v4.1 MASKLAFNLTSPRVFTAPIQKPIISSSSSLPSLSSPSCSTRVQLNGKQFSLRGRMLFLPTKATADQQTDQVQEDDMDDGKILQYCSIDKKGKKSLGEMEQDFLQALQAFYYEGKAIMSNEEFDNLKEELMWQGSSVVMLSSDEQKFLEASLAYVSGNPIMNDEEFDKLKIKLKTEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFLFTWFAAVPLIVWLALTLTNAIVKDFLILKGPCPNCGTENGSFFGTILSISSGGASNTLKCSNCSTELVYDSKTRLITLPEGSEA >Potri.003G119200.13.v4.1 pep chromosome:Pop_tri_v4:3:14068546:14071586:1 gene:Potri.003G119200.v4.1 transcript:Potri.003G119200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119200.v4.1 MASKLAFNLTSPRVFTAPIQKPIISSSSSLPSLSSPSCSTRVQLNGKQFSLRGRMLFLPTKATADQQTDQVQEDDMDDGKILQYCSIDKKGKKSLGEMEQDFLQALQAFYYEGKAIMSNEEFDNLKEELMWQGSSVVMLSSDEQKFLEASLAYVSGNPIMNDEEFDKLKIKLKTEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFLFTWFAAVPLIVWLALTLTNAIVKDFLILKGPCPNCGTENGSFFGTILSISSGGASNTLKCSNCSTELVYDSKTRLITLPEGSEA >Potri.003G119200.11.v4.1 pep chromosome:Pop_tri_v4:3:14068535:14071621:1 gene:Potri.003G119200.v4.1 transcript:Potri.003G119200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119200.v4.1 MASKLAFNLTSPRVFTAPIQKPIISSSSSLPSLSSPSCSTRVQLNGKQFSLRGRMLFLPTKATADQQTDQVQEDDMDDGKILQYCSIDKKGKKSLGEMEQDFLQALQAFYYEGKAIMSNEEFDNLKEELMWQGSSVVMLSSDEQKFLEASLAYVSGNPIMNDEEFDKLKIKLKTEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFLFTWFAAVPLIVWLALTLTNAIVKDFLILKGPCPNCGTENGSFFGTILSISSGGASNTLKCSNCSTELVYDSKTRLITLPEGSEA >Potri.003G119200.12.v4.1 pep chromosome:Pop_tri_v4:3:14068542:14071588:1 gene:Potri.003G119200.v4.1 transcript:Potri.003G119200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119200.v4.1 MASKLAFNLTSPRVFTAPIQKPIISSSSSLPSLSSPSCSTRVQLNGKQFSLRGRMLFLPTKATADQQTDQVQEDDMDDGKILQYCSIDKKGKKSLGEMEQDFLQALQAFYYEGKAIMSNEEFDNLKEELMWQGSSVVMLSSDEQKFLEASLAYVSGNPIMNDEEFDKLKIKLKTEGSEIVVEGPRCSLRSRKVYSDLSVDYLKMFLLNVPATVVALGLFFFLDDLTGFEITYLLELPEPFSFLFTWFAAVPLIVWLALTLTNAIVKDFLILKGPCPNCGTENGSFFGTILSISSGGASNTLKCSNCSTELVYDSKTRLITLPEGSEA >Potri.006G020000.2.v4.1 pep chromosome:Pop_tri_v4:6:1322463:1323787:-1 gene:Potri.006G020000.v4.1 transcript:Potri.006G020000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020000.v4.1 MEETKVTTMEIKVVDLGCEKCHKKIKRVLCAIPQIQNQEYVEKENTVTITVVGCCPEKIKKKIYCKGGPTVKCIEIIKPPDPKPEPKQQTVAVVDITFVCNHFCMPTPPAYCYVPCRWSECDIWGDGCCSCRSVCRSAYVCED >Potri.010G191800.2.v4.1 pep chromosome:Pop_tri_v4:10:18707591:18712193:1 gene:Potri.010G191800.v4.1 transcript:Potri.010G191800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191800.v4.1 MADSNLFCISLVLLPLILLFLLFLITRPRPIQIPIKKRHIFITGGSSGIGLALAHRAASEGARVSILSRSIDKLEEAKNAIRQSTGIDVAIFAADVRDFEAVQRAVDEAGPIDVLVVNQGVFVAQELEEQKLEEVRFIIDVNLMGSFNMIKAALPGMKRSRKDRGPGSIALMSSQAGQVGIYGYTAYSASKFGLRGLAEALQQEVIGDNIHVSLVFPPDTETPGLTEENKRKPQLTSIIAASSGAMKADEVAKKALDGIKSGSFTIPCNSEGILLAIATAGLSPQRSYLMAFVEVVAAGIVRIAALCFQWNWYGSIEKWHMQKNRT >Potri.008G030901.1.v4.1 pep chromosome:Pop_tri_v4:8:1667757:1669328:1 gene:Potri.008G030901.v4.1 transcript:Potri.008G030901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030901.v4.1 MRLKPRDASRPKIFRSAASVTAAHALAKSEKLDFSNLNLNSNGTGKNSTEQDLGFEIDALKEEKKVLELPLAEVRADNFRLTEKIDEVNGTHAELSKELHSVQGQLVAERSRCFKLEAQTAELQMMLESLQSIENEVQLLRRQKSASDLLVSGVG >Potri.011G072200.1.v4.1 pep chromosome:Pop_tri_v4:11:6482026:6484749:-1 gene:Potri.011G072200.v4.1 transcript:Potri.011G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072200.v4.1 MEPPNQNDDVGFFFDALDDFPFHDCIVTAQSAHSTSTSALSDHSPDSPLIGSDALVIDDPGKRRRKNRLKNYLDLKGNWRNLDGAESTRSISDRVGPRRADSSAVGGVGEEEKKEESMVTRANDDRFGDPVDSAGELDESTRRAYSSAVGGVGEEEKKEESTVTTAIDDRVGDSVGSAGELDESTNLLLFVAGLVIKAIGFQLNLLVYFVTFPLWILYCFYMLVVDPFGAIRRGRGFIVRKLVSLWNLVGGFFGSFIDDWLKEHKTIWSLLMQFGWGLFWSCYVCVILCGLLVFSVMLSGVLMRYLVEEPIKIRQDLIFDYTKDNPVAYVPIQSCGGVACCEENIGDFKSLNTRVIPPNHKLQVSVLLTMPESEYNRNLGVFQIRADFLSADGKTLASKSHPSILKFKSEPIRFLLTFLKAAPLVAGYISESQTLALKIKGFTERDVPTSCLKVIIGHRAEYRPGAGIPEIYDASIVLESELPLLKRIIWYWKKTIFIWICMVLFMMELLFALICCRSVIIPRAMLRDGSAINNSTPIDAPAQS >Potri.006G269800.1.v4.1 pep chromosome:Pop_tri_v4:6:27437446:27437887:1 gene:Potri.006G269800.v4.1 transcript:Potri.006G269800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G269800.v4.1 MDPASILEQIKLQIANVKEESFSRKEILERVEKWLTACEEESWLEEYNRDDNRYNAGRDAHLTLKRAEKARNLVNKMPGMVEALASKTMTWEIERDTEFLYDGICLLSMLEEYTILRQEKQEERRS >Potri.013G014700.4.v4.1 pep chromosome:Pop_tri_v4:13:941253:944516:1 gene:Potri.013G014700.v4.1 transcript:Potri.013G014700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014700.v4.1 MMMMTMEGMMDKAVLDDIIRRLLEGKGGKQVQLSEGEIRQLCVNARQIFLSQPTLLEIKAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGRQSLETICLLLAYKIRHPDKIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVSALIDEKILCMHGGLSPELEHLGQIKEIQRPTEIPDNGLLCDLLWSDPDARVEGWSDSDRGVSCTFGPDKVAEFLDKNDLDLICRGHQVVEDGYEFFAKRKLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPVLPASAPKLTLKKVRALYIRNRSSPLKHSNLLFFSMFKQPPKAGKF >Potri.013G014700.1.v4.1 pep chromosome:Pop_tri_v4:13:941157:944610:1 gene:Potri.013G014700.v4.1 transcript:Potri.013G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014700.v4.1 MMMMTMEGMMDKAVLDDIIRRLLEGKGGKQVQLSEGEIRQLCVNARQIFLSQPTLLEIKAPIRICGDIHGQYQDLLRLFEYGGYPPSANYLFLGDYVDRGRQSLETICLLLAYKIRHPDKIYLLRGNHEDAKINRIYGFYDECKRRFNVRLWKIFTDCFNCLPVSALIDEKILCMHGGLSPELEHLGQIKEIQRPTEIPDNGLLCDLLWSDPDARVEGWSDSDRGVSCTFGPDKVAEFLDKNDLDLICRGHQVVEDGYEFFAKRKLVTIFSAPNYGGEFDNAGALLSVDESLVCSFEILKPVLPASAPKLTLKKPPKAGKF >Potri.002G188100.1.v4.1 pep chromosome:Pop_tri_v4:2:15015463:15016838:-1 gene:Potri.002G188100.v4.1 transcript:Potri.002G188100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188100.v4.1 MDDLPKVLYGWSWEENKLFEMALAVVDEEDPDRWKVVAAMVGGRKSEEDVQKHYVILLEDLQGIESGKLDHTLVGEAQPCVQVDCSQSVCWTDEDHKLLIRLDID >Potri.006G124100.1.v4.1 pep chromosome:Pop_tri_v4:6:9912697:9914067:-1 gene:Potri.006G124100.v4.1 transcript:Potri.006G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G124100.v4.1 MGGKCPSRKVKKRRYSHKTARRSKFLLKADDAVYEELQKPDGEMKSILPLDEDLPGMGQYYCLHCDRYFANVTVRDEHFKTKRHKKRVKQMMGPAPHTQLDAELAAGMGAPDNGLKLMSM >Potri.006G124100.4.v4.1 pep chromosome:Pop_tri_v4:6:9912685:9914066:-1 gene:Potri.006G124100.v4.1 transcript:Potri.006G124100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G124100.v4.1 MKSILPLDEDLPGMGQYYCLHCDRYFANVTVRDEHFKTKRHKKRVKQMMGPAPHTQLDAELAAGMGAPDNGLKLMSM >Potri.008G059500.1.v4.1 pep chromosome:Pop_tri_v4:8:3562400:3569539:-1 gene:Potri.008G059500.v4.1 transcript:Potri.008G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059500.v4.1 MKPLTNTDLIKTPLILKITAFTLISITFFYLGKHWSNGGYQQLLFFSTPQNSISISPNNDRSFNITPLVSLNQSDQPLTDQATTISPPPDESPLPDPNRTFGIIDSDGKMSDDFEAGEFDPDIVENWGNGSEIESGSKDSRFRAERYELCPVSMREYIPCLDNVKALKRLKSTEKGERFERHCPEKGDELNCLVPPPKGYRPPIPWPRSRDEVWYSNVPHSRLVEDKGGQNWISKAKDKFTFPGGGTQFIHGADKYLDQISEMVPDIAFGRHTRVVLDVGCGVASFGAYLLSRDVMTMSIAPKDVHENQIQFALERGVPAMVAAFATHRLPYPSQAFELIHCSRCRINWTRDDGILLLEVNRMLRAGGYFAWAAQPVYKHEQVLEEQWEEMLNLTTRLCWELVKKEGYIAIWQKPLNNSCYLSRDTGAKPHLCDSDDDPDNVWYVDLKACISRLPENGYGANVSMWPSRLHTPPDRLQSIQYESFIARKELLKAENKFWSETIAGYVRAWHWKKFKLRNVMDMKAGFGGFAAALIEQGFDCWVLNVVPVSGSNTLPVLYDRGLLGVMHDWCEPFDTYPRTYDLLHAAGLFSVERKRCNMSTIMLEMDRILRPGGRAYIRDTLDVMDELQQIAKVVGWEATVRDTSEGPHASYRILTCDKRLLRP >Potri.003G161600.10.v4.1 pep chromosome:Pop_tri_v4:3:17129009:17132777:1 gene:Potri.003G161600.v4.1 transcript:Potri.003G161600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161600.v4.1 MGAFCCCLSSDEHEEHAYPGSSIYRHCICLRFFFHQLLGGYGTMFHRLEGRTVSPTQGGNFLASTGVGTGLPDSSANDTQLSSSRPVPSDNDQRYDRLQRYGLVSRKSMTHFQEESQSLRRNVSSSGMESLGSVKKRNGVDSEDDNKLGYSESSEKSLATKVAHGLTYVQPSTADEDVCPTCLDEYTPENPKIMTRCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >Potri.003G161600.11.v4.1 pep chromosome:Pop_tri_v4:3:17131144:17131833:1 gene:Potri.003G161600.v4.1 transcript:Potri.003G161600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161600.v4.1 MYLLPSAMCLQYGTMFHRLEGRTVSPTQGGNFLASTGVGTGLPDSSANDTQLSSSRPVPSDNDQRYDRLQRYGLVSRKSMTHFQEESQSLRRNVSSSGMESLGSVKKRNGVDSEDDNKLGYSESSEKSLATKVAHGLTYVQPSTADEDVCPTCLDEYTPENPKIMTRCSHHFHLGCIYEWLERSESCPICGKVC >Potri.003G161600.7.v4.1 pep chromosome:Pop_tri_v4:3:17129202:17132884:1 gene:Potri.003G161600.v4.1 transcript:Potri.003G161600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161600.v4.1 MGAFCCCLSSDEHEEHAYPGSSIYRHCICLRFFFHQLLGGYGTMFHRLEGRTVSPTQGGNFLASTGVGTGLPDSSANDTQLSSSRPVPSDNDQRYDRLQRYGLVSRKSMTHFQEESQSLRRNVSSSGMESLGSVKKRNGVDSEDDNKLGYSESSEKSLATKVAHGLTYVQPSTADEDVCPTCLDEYTPENPKIMTRCSHHFHLGCIYEWLERSESCPICGKEMEFCESP >Potri.017G078600.1.v4.1 pep chromosome:Pop_tri_v4:17:8702466:8704812:1 gene:Potri.017G078600.v4.1 transcript:Potri.017G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078600.v4.1 MMMIKNEENPGRRRGCIADSEAQVARCVKRRRRDPAIVALGSDDNQSQQQMPQKQTDQTSAATTVKRSSRFRGVSRHRWTGRFEAHLWDKLSWNVTQKKKGKQVYLGAYDEEESAARAYDLAALKYWGTSTFTNFPISDYEKEIEIMQTVTKEEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYSTQEEAARAYDIAAIEYRGINAVTNFDLSTYIRWIKPGVAAQAAANELQTVTDPQTAATLTDTYTPREETKPSLFLPNQFTADYLNSPPKLDAFQNNIFVDSSNKTSSPTALSLLLRSSVFRELVEKNSNVCEEETDGNEIKNQPMAGSDDEYGGIFYDGIGDIPFVYSSNKYSLGLEERELQFVL >Potri.016G020750.1.v4.1 pep chromosome:Pop_tri_v4:16:1122769:1122873:1 gene:Potri.016G020750.v4.1 transcript:Potri.016G020750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020750.v4.1 MLFEFYVIVFHLQDLQQELSAKFVISIPIISQVC >Potri.009G098000.3.v4.1 pep chromosome:Pop_tri_v4:9:8781303:8786825:-1 gene:Potri.009G098000.v4.1 transcript:Potri.009G098000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098000.v4.1 MDSETADSSPPPVKSPDTQPPPHDPVPGNSASDATSKLSAAGITSWAKSLKIPQPLTSSPDDSPTGNAGKSTFARFTSGFGLRMSPKSPAADDSPEGTSSTSQPGIFGTITKGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGNMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPTQLIISFCQSAYLWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDAKGLVLPSQIRYVKYFERILTYFNGENQPGRRSMLRGFRLHRCPYWIRPSITISDHNGVLFSSKKHPRTKDLPPEDYWFSAPNKGVMVFTLPGEPGLTEVSGDFKVHFHDRQGDFYFWLNTTFMENRQILNTSDIDGFIKRKLPSPGFQVEVVLVDNDGSVSSGSNAETDVKKSDEGSSTAPASVEAATATAEPNQNKDPGSNDKDDVFSDGEADESVFSKRKQAQASSAGGQSAATPAPSPGTDSKSDQVASLTQATEQFSLGNRGSQQSHATSQPKSEVVGGTVSSLEANNSHSEFKAMAADASVFTFGDDEDYESD >Potri.009G098000.2.v4.1 pep chromosome:Pop_tri_v4:9:8781289:8786864:-1 gene:Potri.009G098000.v4.1 transcript:Potri.009G098000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098000.v4.1 MDSETADSSPPPVKSPDTQPPPHDPVPGNSASDATSKLSAAGITSWAKSLKIPQPLTSSPDDSPTGNAGKSTFARFTSGFGLRMSPKSPAADDSPEGTSSTSQPGIFGTITKGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGNMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPTQLIISFCQSAYLWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDAKGLVLPSQIRYVKYFERILTYFNGENQPGRRSMLRGFRLHRCPYWIRPSITISDHNGVLFSSKKHPRTKDLPPEDYWFSAPNKGVMVFTLPGEPGLTEVSGDFKVHFHDRQGDFYFWLNTTFMENRQILNTSDIDGFIKRKLPSPGFQVEVVLVDNDGSVSSGSNAETDVKKSDEGSSTAPASVEAATATAEPNQNKDPGSNDKDDVFSDGEADESVFSKRKQAQASSAGGQSAATPAPSPGTDSKSDQVASLTQATEQFSLGNRGSQQSHATSQPKSEVVGGTVSSLEANNSHSEFKAMAADASVFTFGDDEDYESD >Potri.009G098000.1.v4.1 pep chromosome:Pop_tri_v4:9:8781123:8786879:-1 gene:Potri.009G098000.v4.1 transcript:Potri.009G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098000.v4.1 MDSETADSSPPPVKSPDTQPPPHDPVPGNSASDATSKLSAAGITSWAKSLKIPQPLTSSPDDSPTGNAGKSTFARFTSGFGLRMSPKSPAADDSPEGTSSTSQPGIFGTITKGLVDTSKNAVKAVQVKARHAVSQNKRRYQEGGFDLDMTYITENIIAMGFPAGNMSSGFFGYVEGFYRNHMEEVIKFFETHHKDKYKVYNLCSERLYDASLFEGKVASFPFDDHNCPPTQLIISFCQSAYLWLKEDIENVVVVHCKAGMARTGLMISSLLLYLKFFPTAEESIDYYNQKRCFDAKGLVLPSQIRYVKYFERILTYFNGENQPGRRSMLRGFRLHRCPYWIRPSITISDHNGVLFSSKKHPRTKDLPPEDYWFSAPNKGVMVFTLPGEPGLTEVSGDFKVHFHDRQGDFYFWLNTTFMENRQILNTSDIDGFIKRKLPSPGFQVEVVLVDNDGSVSSGSNAETDVKKSDEGSSTAPASVEAATATAEPNQNKDPGSNDKDDVFSDGEADESVFSKRKQAQASSAGGQSAATPAPSPGTDSKSDQVASLTQATEQFSLGNRGSQQSHATSQPKSEVVGGTVSSLEANNSHSEFKAMAADASVFTFGDDEDYESD >Potri.015G017200.4.v4.1 pep chromosome:Pop_tri_v4:15:1204746:1211067:-1 gene:Potri.015G017200.v4.1 transcript:Potri.015G017200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017200.v4.1 MSSTLLEVTRASHEEAERLERLIVKDLQNEPANNKDRLFQSHRVRNMIENIIATTEKLVEVYEDRDNARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARVVDANEDYEAMLKEEPVIEFTGEEAFGKYLDMHELYNQFNNLKFEKPIEYSTYLDIFSQPHNLPRKVKFTRQYREYMENLLEYLFYFFQRTEPLQDLDRILSKVTTEFEEQWENGTVQGWEKNAQENGHVPADHTVIDLDFYSTVEELKEVGPEKLKEALAALGLKSGGTIQQRAERLFLTKHTPLEKLDKKHFVKGSCASEPNGGAVNSKEVVNSKEIALMETKMNKLCDLLDETIVRTKENIVKKQALTYDEMEAEREEEETQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQELKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAQELWKRIQARQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >Potri.015G017200.6.v4.1 pep chromosome:Pop_tri_v4:15:1205185:1211067:-1 gene:Potri.015G017200.v4.1 transcript:Potri.015G017200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017200.v4.1 MSSTLLEVTRASHEEAERLERLIVKDLQNEPANNKDRLFQSHRVRNMIENIIATTEKLVEVYEDRDNARKDEIAALGGQTATGTNVFSAFYDRLKEIREYHRRHPAARVVDANEDYEAMLKEEPVIEFTGEEAFGKYLDMHELYNQFNNLKFEKPIEYSTYLDIFSQPHNLPRKVKFTRQYREYMENLLEYLFYFFQRTEPLQDLDRILSKVTTEFEEQWENGTVQGWEKNAQENGHVPADHTVIDLDFYSTVEELKEVGPEKLKEALAALGLKSGGTIQQRAERLFLTKHTPLEKLDKKHFVKGSCASEPNGGAVNSKEVVNSKEIALMETKMNKLCDLLDETIVRTKENIVKKQALTYDEMEAEREEEETQADTESDDEEQQIYNPLKLPMGWDGKPIPYWLYKLHGLGQELKCEICGNYSYWGRRAFERHFKEWRHQHGMRCLGIPNTKNFNEITSIEEAQELWKRIQARQGVNKWRPDLEEEYEDKEGNIYNKKTYTDLQRQGLI >Potri.007G018000.1.v4.1 pep chromosome:Pop_tri_v4:7:1394438:1396297:1 gene:Potri.007G018000.v4.1 transcript:Potri.007G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018000.v4.1 MAAEDGQVIGCHTVEAWDEQLQRGNESKKLVVIDFAASWCGPCRVIAPFLAELARKLPDVIFLKVDVDELKTVAQDWAVEAMPTFMFLKEGKIVDKVVGARKDELQQAIAKHTAPAAATASA >Potri.007G140600.2.v4.1 pep chromosome:Pop_tri_v4:7:15085742:15088062:1 gene:Potri.007G140600.v4.1 transcript:Potri.007G140600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140600.v4.1 MENMVNTSHVLVVPLPGAGHVNPMLQFSRRLVSKGLKVTFIITKFISKSRQLGSSIGSIQLDTISDGYDDGFNQAGSREPYLSSLHDVGPKTLSELIKRYQTSSSPIHAVIYEPFLAWALDVAKDFGLFAAAFFTCLCQLLQPVLIEGLPLLLELQDLPTFVVLPDSYPANVKMTMSQFANLDKADWILINTFYKLECEVVDTMSKVCPLLTIGPTIPSIYLDKSIEDEDDYGISLCEIDASLSINWLRTKPTTSVVYMSFGSCATLSSKQMEEIAWGLKRSNFHFWFVEEVENKGLAVNWSPQVKVLANEAVGCFFTHCSWNSTIEVLSLGVPMVTMPGWSDQQTNSKIVEDAWKVGVRAKVDEHGIVKREEIAICIKEVMEGDRGKEMKMNSKKWKDDGDIEPETL >Potri.015G008100.1.v4.1 pep chromosome:Pop_tri_v4:15:486710:491305:1 gene:Potri.015G008100.v4.1 transcript:Potri.015G008100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008100.v4.1 MEAFYYLVFAALSAVVFGVEISKTTKDRINTSPAFNSFKNNYLVVYSLMMAGDWLQGPYVYFLYTTYGFGKGEIGQLFIAGFGSSMLFGTIVGSLADKQGRRRACVTYCITYILSCITKHSPQYRVLMIGRVLGGIATSLLFSSFESWLVAEHNKRGFDQQWLSLTFSKAIFLGNGLVAILSGLFGNFLVDTFQLGPVAPFDAAACFLAIGMAVILSSWTENYGDPSENKDLITQFRGAAVAIASDEKIALLGAIQSLFEGSMYTFVFLWTPALSPNDEEIPHGFIFATFMLASMLGSSIASRLMARSSPRVESYMQIVFIVSSASLLLPIVTSFLVAPSKVKGGSMSLSGCLQMLGFCTFEACVGIFWPSIMKMRSQYIPEEARSTIMNFFRIPLNIFVCVVLYNVNAFPITVMFGMCSIFLVVASILQRRLLVIAEKQKTEVWEMKERDNEAEPLNI >Potri.008G085800.5.v4.1 pep chromosome:Pop_tri_v4:8:5369630:5377603:-1 gene:Potri.008G085800.v4.1 transcript:Potri.008G085800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085800.v4.1 MAQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALAQHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPQLKLLVKQSLIESITMEHSSPVRRASANVVSIIAKYAVPAGEWPDLLPFLFQCSQSAQEDHREVALILFSSLTETIGNAFQPHFADLQALLLKCLQDDTSNRVRIAALKAVGSFLEFTNDGDEVVKFRQFIPSILNVARQCLSSGDEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSSQNLESNTRHQAIQIISWLAKYKYSSLKKYKLVIPILQVMCPLLAESTDSVEDDDLAPDRAAAEVIDTMSLNLSKQVFPPVFEFASLSSQSANPKFREASVTALGVVSEGCLELMKDKLEPILHIVLGALRDPEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNAIEDASDEVKEKSYYALAAFCEDMGEEILPFLDPLMQKLLAALQNSPRNLQETCMSAIGSVASAAEQAFIPYSERVLELMKSFMVLTNDEDLRSRARATELVGIVAMSAGRVRMEPILPPFMEAAISGFGLEFSELREYTHGFFSNVAEIMDDSFAQYLPHVVPLAFASCNLDDGSAVDIIESDDENINGFGGVSSDDEAHDEPRVRNISVRTGVLDEKAAATQALGLYALHTKSSYSPYLEETLRILVRHSGYFHEDVRLQAIIALKSILTAAHAIFQSQNDGPAKAREMLDTVMDIYIKTMTGDDDKEVVAQACTSVAEIIKDYGYAAIEPYMSRLVDATLVLLKEESACQQLEDDSDMEDDDTEHDEVLMDAVSDILPAFAESMGSHFAPIFANLFEPLMKFAKASRPLQDRTMVVACLAEVAQGMGAPIADYVDRVMPLAIKELASSNATNRRNAAFCVGELCKNGGESTLKYYGDTLRGLFPLFGESEPDDAVRDNAAGAVARMIMAHPQSVPLNQVLPVFLKVLPLKEDREESMAVYSCVYTLVLSSNQQILALVPELVNLFAQVVVSPVETPEVKAQVGRAFSHLISLYGHQMQPLLSNLPPAHASALAAFAPKS >Potri.008G085800.4.v4.1 pep chromosome:Pop_tri_v4:8:5370084:5377661:-1 gene:Potri.008G085800.v4.1 transcript:Potri.008G085800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085800.v4.1 MAQSLELLLIQFLMPDNDARRQAEEQIKRLAKDPQVVPALAQHLRTAKTPNVRQLAAVLLRKKITGHWAKLSPQLKLLVKQSLIESITMEHSSPVRRASANVVSIIAKYAVPAGEWPDLLPFLFQCSQSAQEDHREVALILFSSLTETIGNAFQPHFADLQALLLKCLQDDTSNRVRIAALKAVGSFLEFTNDGDEVVKFRQFIPSILNVARQCLSSGDEDVAIIAFEIFDELIESPAPLLGDSVKSIVQFSLEVCSSQNLESNTRHQAIQIISWLAKYKYSSLKKYKLVIPILQVMCPLLAESTDSVEDDDLAPDRAAAEVIDTMSLNLSKQVFPPVFEFASLSSQSANPKFREASVTALGVVSEGCLELMKDKLEPILHIVLGALRDPEQMVRGAASFALGQFAEHLQPEILSHYESVLPCILNAIEDASDEVKEKSYYALAAFCEDMGEEILPFLDPLMQKLLAALQNSPRNLQETCMSAIGSVASAAEQAFIPYSERVLELMKSFMVLTNDEDLRSRARATELVGIVAMSAGRVRMEPILPPFMEAAISGFGLEFSELREYTHGFFSNVAEIMDDSFAQYLPHVVPLAFASCNLDDGSAVDIIESDDENINGFGGVSSDDEAHDEPRVRNISVRTGVLDEKAAATQALGLYALHTKSSYSPYLEETLRILVRHSGYFHEDVRLQAIIALKSILTAAHAIFQSQNDGPAKAREMLDTVMDIYIKTMTGDDDKEVVAQACTSVAEIIKDYGYAAIEPYMSRLVDATLVLLKEESACQQLEDDSDMEDDDTEHDEVLMDAVSDILPAFAESMGSHFAPIFANLFEPLMKFAKASRPLQDRTMVVACLAEVAQGMGAPIADYVDRVMPLAIKELASSNATNRRNAAFCVGELCKNGGESTLKYYGDTLRGLFPLFGESEPDDAVRDNAAGAVARMIMAHPQSVPLNQVLPVFLKVLPLKEDREESMAVYSCVYTLVLSSNQQILALVPELVNLFAQVVVSPVETPEVKAQVGRAFSHLISLYGHQMQPLLSNLPPAHASALAAFAPKS >Potri.014G065250.1.v4.1 pep chromosome:Pop_tri_v4:14:4028572:4029249:1 gene:Potri.014G065250.v4.1 transcript:Potri.014G065250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065250.v4.1 MAENLRENPAFEKESIIVQHGIFALLVGTLNNQIQVKYQSIKGSPFDSHDVIMSVFLVALFIYATASVAEVMLRARESTYRTMVGNLRLFASALAAILLLSILAPILGCVISVVWACLFIGVAYESSRELSNILSQLTNKLLDMLSRLMACVRSRKEEPNQPRV >Potri.017G115566.7.v4.1 pep chromosome:Pop_tri_v4:17:12286655:12289789:1 gene:Potri.017G115566.v4.1 transcript:Potri.017G115566.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115566.v4.1 MAESMCRTLRDGSLEGEQAPTLTIRDTTASPFGFHVFSHVLSQLSSFILASKSQSRCIVIVAFSRSPSFYVDLLKRRGIDAKSSHKCIQILDCYSDPLGWKDQLMMSGNFTDVSYEVSLSLSCVCRNVKDLDKLYSLILELGKGLVGQGKDCFSVAIDSEDGS >Potri.017G115566.8.v4.1 pep chromosome:Pop_tri_v4:17:12286655:12289789:1 gene:Potri.017G115566.v4.1 transcript:Potri.017G115566.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115566.v4.1 MAESMCRTLRDGSLEGEQAPTLTIRDTTASPFGFHVFSHVLSQLSSFILASKSQSRCIVIVAFSRSPSFYVDLLKRRGIDAKSSHKCIQILDCYSDPLGWKDQLMMSGNFTDVSYEVSLSLSCVCRNVKDLDKLYSLILELGKGLVGQGKDCFSVAIDSEDGS >Potri.017G115566.2.v4.1 pep chromosome:Pop_tri_v4:17:12286655:12289789:1 gene:Potri.017G115566.v4.1 transcript:Potri.017G115566.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115566.v4.1 MAESMCRTLRDGSLEGEQAPTLTIRDTTASPFGFHVFSHVLSQLSSFILASKSQSRCIVIVAFSRSPSFYVDLLKRRGIDAKSSHKCIQILDCYSDPLGWKDQLMMSGNFTDVSYEVSLSLSCVCRNVKDLDKLYSLILELGKGLVGQGKDCFSVAIDSLEQTCSHGWRAAMDPVWSCDAACSYVSAYGSLENLLIDIQIIVLIS >Potri.017G115566.4.v4.1 pep chromosome:Pop_tri_v4:17:12286655:12289789:1 gene:Potri.017G115566.v4.1 transcript:Potri.017G115566.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115566.v4.1 MAESMCRTLRDGSLEGEQAPTLTIRDTTASPFGFHVFSHVLSQLSSFILASKSQSRCIVIVAFSRSPSFYVDLLKRRGIDAKSSHKCIQILDCYSDPLGWKDQLMMSGNFTDVSYEVSLSLSCVCRNVKDLDKLYSLILELGKGLVGQGKDCFSVAIDSLEQTCSHGWRAAMDPVWSCDAACSYEDGS >Potri.017G115566.1.v4.1 pep chromosome:Pop_tri_v4:17:12286655:12289789:1 gene:Potri.017G115566.v4.1 transcript:Potri.017G115566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115566.v4.1 MAESMCRTLRDGSLEGEQAPTLTIRDTTASPFGFHVFSHVLSQLSSFILASKSQSRCIVIVAFSRSPSFYVDLLKRRGIDAKSSHKCIQILDCYSDPLGWKDQLMMSGNFTDVSYEVSLSLSCVCRNVKDLDKLYSLILELGKGLVGQGKDCFSVAIDSLEQTCSHGWRAAMDPVWSCDAACSYVSAYGSLENLLIDIQIIVLIS >Potri.017G115566.5.v4.1 pep chromosome:Pop_tri_v4:17:12286655:12289789:1 gene:Potri.017G115566.v4.1 transcript:Potri.017G115566.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115566.v4.1 MAESMCRTLRDGSLEGEQAPTLTIRDTTASPFGFHVFSHVLSQLSSFILASKSQSRCIVIVAFSRSPSFYVDLLKRRGIDAKSSHKCIQILDCYSDPLGWKDQLMMSGNFTDVSYEVSLSLSCVCRNVKDLDKLYSLILELGKGLVGQGKDCFSVAIDSINEMMRRIYINSCRPVKQPL >Potri.017G115566.3.v4.1 pep chromosome:Pop_tri_v4:17:12286655:12289789:1 gene:Potri.017G115566.v4.1 transcript:Potri.017G115566.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115566.v4.1 MAESMCRTLRDGSLEGEQAPTLTIRDTTASPFGFHVFSHVLSQLSSFILASKSQSRCIVIVAFSRSPSFYVDLLKRRGIDAKSSHKCIQILDCYSDPLGWKDQLMMSGNFTDVSYEVSLSLSCVCRNVKDLDKLYSLILELGKGLVGQGKDCFSVAIDSLEQTCSHGWRAAMDPVWSCDAACSYEDGS >Potri.010G133200.1.v4.1 pep chromosome:Pop_tri_v4:10:14937510:14937911:1 gene:Potri.010G133200.v4.1 transcript:Potri.010G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133200.v4.1 MFIKYLNLISAHLRWAFNFLCYYPFSFQEHELFAVTAIGEELNTVINEAPAECAVCLSDVQEGEEIRELRCGHIFHRACLYRWLDFRQSTCPLCRGSLTPRRTLILDQHRTEVLTFKFCSFTSTDERDTWWLR >Potri.006G251000.2.v4.1 pep chromosome:Pop_tri_v4:6:25001034:25002358:1 gene:Potri.006G251000.v4.1 transcript:Potri.006G251000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251000.v4.1 MSATRLRETITECLADVQSDSVDAQRKALQTLAALTKVSPQNRSLLAQTDGVVSTLLTLTKISSSIIRILALSILFNLSLNPDLKWNLADMETIHHLNSIILSASSPEINRLVCSLICSLAMLDKNKAKFGVAGTVQVLVGAISGPRCPASHHLLSSLAELVQFHGNCTVAVRSGAVQVLIGVVESTDGEDLAGTSLAVLGHLARFNEGLNALIRTDQIVSSMLNVLRGRCMLSKEGAAEILLRLFDESEGCVRDAFRLPEFSSVLADISVRGSSKAREKANQLLKKMVDANLDPYTDGNSLFLPWYQ >Potri.016G119800.1.v4.1 pep chromosome:Pop_tri_v4:16:12468763:12471901:1 gene:Potri.016G119800.v4.1 transcript:Potri.016G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119800.v4.1 MASSISATAASEPEHSTKEREEENATSTRAAEDEDTGAQVAPIVKLEEVAVTTGEEDEEAILDLKAKLYRFDKEGNQWKERGVGTVKLLKHKESAKVRLVFRQSKTLKICANHLVLPTINVQEHQGNDKSCLWHAADFADGELKDELFCIRFPSVENCKTFKETVEEVAESRGKKVESKDATDAAGLIEKLSVEDSKKEEKEKEEVPVAAKEFSKTTDDKVKAEVEKKDEPASSA >Potri.010G046350.1.v4.1 pep chromosome:Pop_tri_v4:10:7836973:7837794:-1 gene:Potri.010G046350.v4.1 transcript:Potri.010G046350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046350.v4.1 MVHSFVWTRVISTRVTKNSNKAPTRYNLKESLSRNNLHVKKIFEAEYIAKICLIMVLTDSHIFLD >Potri.010G003400.4.v4.1 pep chromosome:Pop_tri_v4:10:322897:325759:1 gene:Potri.010G003400.v4.1 transcript:Potri.010G003400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003400.v4.1 MESIRFLLISICMGAWLGGSLSSDGLARVGLKKRNLDLNSIHAARITRPQATSFARVTSNAEIVYLKNYLDTQYYGEIGIGSPPQIFTVVFDTGSSNLWVPSSKCLLSITCYFHSKFIARLSRTYTKIGIPCKIQYGSGSVSGFLSQDHVKVGDDIIINQEFAEVTREGFLALLGVQFDGILGLAFQDIAVAKATPVWYNMAEQGHVSQKVFSLWLNRNPSSELGGEVVFGGLDWRHFKGDHTYVPVTGRGYWQIQVGDIFIANNSTGLCAGGCSAIVDSGTSFLSGPTRIVAQINHAIGARGIVSLECKEVVSKYWNSIWDSMISGLRPEIICVDVGLCLYNNNTVIETVVDGEATDRLSVDEGGALCTFCEMIVFWIQVQLKEKKAKEKIFHYVDELCERLPNPLGKSFINCDEITAMPYVSFTIGNRSFPLSPEQYIVRVEESYATICLSGFAALDMPPRQGPLWILGDVFLGAYHTVFDFGNHRIGFAKAA >Potri.019G042900.1.v4.1 pep chromosome:Pop_tri_v4:19:5939382:5940523:1 gene:Potri.019G042900.v4.1 transcript:Potri.019G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042900.v4.1 MATASSSMKGFYRQKKNKSGIRKPTSTSKSSLIHAATLGSDITQPTALVSHDSPDLKDDYDKHEDVLRQFDMNPAYGPCLGMSRLARWERAQRLGLNPPKEIEGLLKGEKVRSECLWDGCI >Potri.007G127900.4.v4.1 pep chromosome:Pop_tri_v4:7:14297421:14303349:-1 gene:Potri.007G127900.v4.1 transcript:Potri.007G127900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127900.v4.1 MEEGKQRPRSPRRVLHQGMGTKVNRDVRKGGSRVHKRHRLSRAEDSDDSLLVDELDQGPAIPWARGGSRSGPPWLLGGLEMHGTTTWGLNVAASGWGHQGDALASLTSGVQTAGPSSKGGADIQPLQVDETVSFDDIGGLSGYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPSKELKSELAANCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQSHLQKAMNCLSDIFSPLAVSSEFIKLSMLSYGSAIPLVYRPRLLLCGCEGSGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRATPSILYISHFDLWWDNAHEQLRAVLLTLLEELPSDLPILLLGSSSSPPAEIDGASSVFPDHSVYQVGKPSTGDRSLFFDRLIEAALSVVLEDVAKKSQGSSPLPELPKAQKVASGPKASELKAKIEAEQHALRRMRMCLRDICNRVLYDKRFSAFHYPVTDEDAPNYRSIIQNPMDMATMLQRVDSGQYITCSAFLQDIDLIVTNAKVYNGDDYNGARIVSRSYELRDAVHGMLSQMDPALVTYCDKIAAQGGPVQVPDDLGGSIFPSTPVVQLGTTRTSARLRNVQPDVNLDQSYEALKRQKKNADATHAASTAEDKSRHQDSVQAKLPEEHDADDMNPDRPESSSADDIQHETSGGEASGHIEGSGSQDATMSDAEASSHGEYIKRLLVERTENYDIPQLERLYTRIMKGIFETKDKGYEDGPRYSILRFLVKFAEDAANF >Potri.007G127900.1.v4.1 pep chromosome:Pop_tri_v4:7:14297226:14303921:-1 gene:Potri.007G127900.v4.1 transcript:Potri.007G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127900.v4.1 MYSKRSGQGDGPGPRPVRTSDRLRRRPKVFSRTYLYYTPGIIRPRKGKTKTRTAASRIAKMLGNRAVRAANANSVPTNLRRSTRKRRLSAHLEDYTDSSGSEDEDLMRPAFRPLRNRIHNSASQDELSSSKRKKNVETKSTPRREGLRPRRSRTIIKKPLTLESGDEQDTSEEKAVQDETENGNDIDDNDADDGQNDDEAEDEGDGEGAGEGEGEGEDEGEEDDDDDDDDEGEEEEEEQDGRRRYDLRNRAEVRRLSMEEGKQRPRSPRRVLHQGMGTKVNRDVRKGGSRVHKRHRLSRAEDSDDSLLVDELDQGPAIPWARGGSRSGPPWLLGGLEMHGTTTWGLNVAASGWGHQGDALASLTSGVQTAGPSSKGGADIQPLQVDETVSFDDIGGLSGYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPSKELKSELAANCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQSHLQKAMNCLSDIFSPLAVSSEFIKLSMLSYGSAIPLVYRPRLLLCGCEGSGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRATPSILYISHFDLWWDNAHEQLRAVLLTLLEELPSDLPILLLGSSSSPPAEIDGASSVFPDHSVYQVGKPSTGDRSLFFDRLIEAALSVVLEDVAKKSQGSSPLPELPKAQKVASGPKASELKAKIEAEQHALRRMRMCLRDICNRVLYDKRFSAFHYPVTDEDAPNYRSIIQNPMDMATMLQRVDSGQYITCSAFLQDIDLIVTNAKVYNGDDYNGARIVSRSYELRDAVHGMLSQMDPALVTYCDKIAAQGGPVQVPDDLGGSIFPSTPVVQLGTTRTSARLRNVQPDVNLDQSYEALKRQKKNADATHAASTAEDKSRHQDSVQAKLPEEHDADDMNPDRPESSSADDIQHETSGGEASGHIEGSGSQDATMSDAEASSHGEYIKRLLVERTENYDIPQLERLYTRIMKGIFETKDKGYEDGPRYSILRFLVKFAEDAANF >Potri.007G127900.3.v4.1 pep chromosome:Pop_tri_v4:7:14297421:14304623:-1 gene:Potri.007G127900.v4.1 transcript:Potri.007G127900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127900.v4.1 MYSKRSGQGDGPGPRPVRTSDRLRRRPKVFSRTYLYYTPGIIRPRKGKTKTRTAASRIAKMLGNRAVRAANANSVPTNLRRSTRKRRLSAHLEDYTDSSGSEDEDLMRPAFRPLRNRIHNSASQDELSSSKRKKNVETKSTPRREGLRPRRSRTIIKKPLTLESGDEQDTSEEKAVQDETENGNDIDDNDADDGQNDDEAEDEGDGEGAGEGEGEGEDEGEEDDDDDDDDEGEEEEEEQDGRRRYDLRNRAEVRRLSMEEGKQRPRSPRRVLHQGMGTKVNRDVRKGGSRVHKRHRLSRAEDSDDSLLVDELDQGPAIPWARGGSRSGPPWLLGGLEMHGTTTWGLNVAASGWGHQGDALASLTSGVQTAGPSSKGGADIQPLQVDETVSFDDIGGLSGYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPSKELKSELAANCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQSHLQKAMNCLSDIFSPLAVSSEFIKLSMLSYGSAIPLVYRPRLLLCGCEGSGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRATPSILYISHFDLWWDNAHEQLRAVLLTLLEELPSDLPILLLGSSSSPPAEIDGASSVFPDHSVYQVGKPSTGDRSLFFDRLIEAALSVVLEDVAKKSQGSSPLPELPKAQKVASGPKASELKAKIEAEQHALRRMRMCLRDICNRVLYDKRFSAFHYPVTDEDAPNYRSIIQNPMDMATMLQRVDSGQYITCSAFLQDIDLIVTNAKVYNGDDYNGARIVSRSYELRDAVHGMLSQMDPALVTYCDKIAAQGGPVQVPDDLGGSIFPSTPVVQLGTTRTSARLRNVQPDVNLDQSYEALKRQKKNADATHAASTAEDKSRHQDSVQAKLPEEHDADDMNPDRPESSSADDIQHETSGGEASGHIEGSGSQDATMSDAEASSHGEYIKRLLVERTENYDIPQLERLYTRIMKGIFETKDKGYEDGPRYSILRFLVKFAEDAANF >Potri.007G127900.2.v4.1 pep chromosome:Pop_tri_v4:7:14297153:14304737:-1 gene:Potri.007G127900.v4.1 transcript:Potri.007G127900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127900.v4.1 MYSKRSGQGDGPGPRPVRTSDRLRRRPKVFSRTYLYYTPGIIRPRKGKTKTRTAASRIAKMLGNRAVRAANANSVPTNLRRSTRKRRLSAHLEDYTDSSGSEDEDLMRPAFRPLRNRIHNSASQDELSSSKRKKNVETKSTPRREGLRPRRSRTIIKKPLTLESGDEQDTSEEKAVQDETENGNDIDDNDADDGQNDDEAEDEGDGEGAGEGEGEGEDEGEEDDDDDDDDEGEEEEEEQDGRRRYDLRNRAEVRRLSMEEGKQRPRSPRRVLHQGMGTKVNRDVRKGGSRVHKRHRLSRAEDSDDSLLVDELDQGPAIPWARGGSRSGPPWLLGGLEMHGTTTWGLNVAASGWGHQGDALASLTSGVQTAGPSSKGGADIQPLQVDETVSFDDIGGLSGYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPSKELKSELAANCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQSHLQKAMNCLSDIFSPLAVSSEFIKLSMLSYGSAIPLVYRPRLLLCGCEGSGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRATPSILYISHFDLWWDNAHEQLRAVLLTLLEELPSDLPILLLGSSSSPPAEIDGASSVFPDHSVYQVGKPSTGDRSLFFDRLIEAALSVVLEDVAKKSQGSSPLPELPKAQKVASGPKASELKAKIEAEQHALRRMRMCLRDICNRVLYDKRFSAFHYPVTDEDAPNYRSIIQNPMDMATMLQRVDSGQYITCSAFLQDIDLIVTNAKVYNGDDYNGARIVSRSYELRDAVHGMLSQMDPALVTYCDKIAAQGGPVQVPDDLGGSIFPSTPVVQLGTTRTSARLRNVQPDVNLDQSYEALKRQKKNADATHAASTAEDKSRHQDSVQAKLPEEHDADDMNPDRPESSSADDIQHETSGGEASGHIEGSGSQDATMSDAEASSHGEYIKRLLVERTENYDIPQLERLYTRIMKGIFETKDKGYEDGPRYSILRFLVKFAEDAANF >Potri.001G337300.1.v4.1 pep chromosome:Pop_tri_v4:1:34568327:34572165:-1 gene:Potri.001G337300.v4.1 transcript:Potri.001G337300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337300.v4.1 MNMERNHYHGMKKSEPEGNDARVGSDDIVDSPSGMDIKFSRLTALFQDFDGDFGSSFAKLGVKGDEKSMVDDGFFVDVDLFFNGAWRLQPQKGNLNINDEVSTPDHSFSGYGVDCRVSADGFSSETKVHNWVDCEKGKELGGEPHDALIFALGYLGVKDLLMAERVCRSLRDAVRGDPLLWRRIHIDQPLSEKITDEALVKFTSRAQGTLQCLSLVGCIRITDTGLMQVLESNPSLTKLCVPGCVRLTIDGILCNLRVLKSAGTLRIKHIRIGGLFGVKEHHFEELKSLLGMDHMHPRAKNPQFHRVGQLYPSCDDERTIDIEMCPKCQQLKLVYDCPSESCQGKDQANQLCRACTVCIARCIHCGCCIKGCDYEETFCLDFLCLDCLKHLFNCPEKPEVSGASSSGHTISHQEMRYHFCLYSE >Potri.001G337300.2.v4.1 pep chromosome:Pop_tri_v4:1:34568797:34571998:-1 gene:Potri.001G337300.v4.1 transcript:Potri.001G337300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337300.v4.1 MNMERNHYHGMKKSEPEGNDARVGSDDIVDSPSGMDIKFSRLTALFQDFDGDFGSSFAKLGVKGDEKSMVDDGFFVDVDLFFNGAWRLQPQKGNLNINDEVSTPDHSFSGYGVDCRVSADGFSSETKVHNWVDCEKGKELGGEPHDALIFALGYLGVKDLLMAERVCRSLRDAVRGDPLLWRRIHIDQPLSEKITDEALVKFTSRAQGTLQCLSLVGCIRITDTGLMQVLESNPSLTKVSITV >Potri.013G094500.1.v4.1 pep chromosome:Pop_tri_v4:13:9747976:9751837:-1 gene:Potri.013G094500.v4.1 transcript:Potri.013G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094500.v4.1 MWAFISSVRILGCCHIYLATSSIFHKRSPPFAFAASIKNNTNNNMSSSFSHSNRGGRGLEMQNDREGSRGRGRGRGGSSKDKIDALGRLLTRILRHKASELNLNMRSDGFVKVEDLLKLNMKTFANVPLRSHTDDDVKEAVRKDNKQRLSLLEEGGELLIRANQGHSIKTVESESLLKAILSPEEITVCVHGTYKKNLDSILESGLKRMQRLHVHFSSGLPTDVEVISGMRRDVNVLIFLDVRKALEEGMKLYISDNRVILTEGFDGTIPVKYFERIESWPDRQPIPFQTT >Potri.006G272500.1.v4.1 pep chromosome:Pop_tri_v4:6:26511526:26513097:-1 gene:Potri.006G272500.v4.1 transcript:Potri.006G272500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272500.v4.1 MPSPSASHVVIFPFMAQGHTLPLLDLSKALSRQQIKVTIITTPSNAKSIAKCVPNHPDIHLNEIPFPTIDGLPKGCENTSQLPSMEFLLPFLHATKQLQKPFEEVLETMIKSNTPPLCVISDFFLGFTLASCQALGVPRLVFHGTSALSMAIMKSSWVNASQINSLSMLDRVDLPGMKLPFTLTKADLPAETLNASNHDDPMSQFIDEVGWADANSCGIIINSFEELEKDHISFFESFYMNGAKAWCLGPLFLYDKIEGLEKSINQNQNPSMSTQWLDEQSTPDSVIYVSFGTQADVSDSQLDEVAFGLEESGFPFVWVVRSNAWSLPSGMEEKIKDRGLIVSEWVDQRQILSHRAIGGFLSHCGWNSVLESAVAGVPILAWPMMAEQSLNAKLVVDGFGAGLSVKRVQNQGPEILVSRQAISEGVKELMGGQKGRSARERAEALGRVARRAVQKDGSSHDTLSKLIDQLRAY >Potri.007G123600.2.v4.1 pep chromosome:Pop_tri_v4:7:14046162:14051057:1 gene:Potri.007G123600.v4.1 transcript:Potri.007G123600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123600.v4.1 MVQCGCQPGYVVYNILIGGICSSEEPGKDVLDLAEKAYGEMLEAGVVLNKVNISNFSRCLCGIGKFEKAYNVIREMMSKGFIPDTSTYSKVIGYLCNASKVEKAFQLFQEMKRNGIAPDVYVYTTLIDSFCKAGFIEQARNWFDEMERDGCAPNVVTYTALIHAYLKSRKVSKANEVYEMMLSKGCTPNIVTYTALIDGLCKAGKIEKASQIYKIMKKENVEIPDVDMHFRVVDGASNEPNVFTYGALVDGLCKAYQVKEARDLLKSMSVEGCEPNHVVYDALIDGCCKAGKLDEAQEVFTTMLECGYDPNVYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKVGKTDEAYKLMVMMEEKGCNPNVVTYTAMIDGFGKAGRVEKCLELLRQMSSKGCAPNFVTYRVLINHCCSTGLLDEAHKLLEEMKQTYWPRHVAGYRKVIEGFNREFIASLYLSFEISENDSVPVAPVYRVLIDNFIKAGRLEIALELNEELSSFSPFSAANQNIHITLIENLSLAHKADKAFELYADMISRGSIPELSILVHLIKGLLRVNRWEEALQLLDSICQMDIHWVQEQETARTS >Potri.007G123600.1.v4.1 pep chromosome:Pop_tri_v4:7:14046077:14051067:1 gene:Potri.007G123600.v4.1 transcript:Potri.007G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123600.v4.1 MKRRVLTKLLSHLNPYLSSRKSKPLSLSSFHSQSYKLSSQNPKIQRQNSTFITTRFTSTSPSDNLEGLVDPNDPFLQVESRVEAFSSEEFAILRDSLLSPSEDRQRFDLGKCSNEATLIANVILNNNDGFGNQTLKLLREHREKLNPNLVVEVLNIVKIPELCVNFFIWAGRQIGYYHTLPVYNALLEILESSSNNSIDRVPEKFLREIMDDDKQVLGKLLNVLIRKCCQNGLWNAALEELGRLKDFGYKPSRLTYNALVQVFLRAERLDTAYLVHREMSTMGYRMDEFTLGCFAHSLCKSGKWREALSLLEKEEFVPDTVLYTKMISGLCEASLFEEAMDFLTRMRASSCLPNVLTYRILLCGCLNKEKLGRCKRILSMMITEGCYPSPRIFNSLVHAYCRSGDYAYAYKLLKKMVQCGCQPGYVVYNILIGGICSSEEPGKDVLDLAEKAYGEMLEAGVVLNKVNISNFSRCLCGIGKFEKAYNVIREMMSKGFIPDTSTYSKVIGYLCNASKVEKAFQLFQEMKRNGIAPDVYVYTTLIDSFCKAGFIEQARNWFDEMERDGCAPNVVTYTALIHAYLKSRKVSKANEVYEMMLSKGCTPNIVTYTALIDGLCKAGKIEKASQIYKIMKKENVEIPDVDMHFRVVDGASNEPNVFTYGALVDGLCKAYQVKEARDLLKSMSVEGCEPNHVVYDALIDGCCKAGKLDEAQEVFTTMLECGYDPNVYTYSSLIDRLFKDKRLDLALKVLSKMLENSCAPNVVIYTEMIDGLCKVGKTDEAYKLMVMMEEKGCNPNVVTYTAMIDGFGKAGRVEKCLELLRQMSSKGCAPNFVTYRVLINHCCSTGLLDEAHKLLEEMKQTYWPRHVAGYRKVIEGFNREFIASLYLSFEISENDSVPVAPVYRVLIDNFIKAGRLEIALELNEELSSFSPFSAANQNIHITLIENLSLAHKADKAFELYADMISRGSIPELSILVHLIKGLLRVNRWEEALQLLDSICQMDIHWVQEQETARTS >Potri.001G052800.2.v4.1 pep chromosome:Pop_tri_v4:1:3993139:3993540:1 gene:Potri.001G052800.v4.1 transcript:Potri.001G052800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G052800.v4.1 MASWVVIFVIVLAFVHASARNVPSDADLDSKNVVPNEEQVLHASAPMAESPSSTGLKDKKNFIYGGVGGFAGMGGYAGIIGGLPIIGGLGGLGKNGGIGGVGGIGGVTGPGTGGLGGLGGAGGGAGGSTPPLP >Potri.012G062650.1.v4.1 pep chromosome:Pop_tri_v4:12:6970559:6971534:1 gene:Potri.012G062650.v4.1 transcript:Potri.012G062650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062650.v4.1 MVKIGNEHSYQKLYFLNSRSPNFKRSIHSTSQELV >Potri.008G130000.1.v4.1 pep chromosome:Pop_tri_v4:8:8504023:8508079:-1 gene:Potri.008G130000.v4.1 transcript:Potri.008G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130000.v4.1 MLDREIFTEYGEASQYEIQEVVGKGSYGVVASAIDTHTGERVAIKKMTNIFEHVSDATRILREIKLLRLLKHPDIVEIRHIMLPPSPREFKDIYVVFELMESDLHQVIKLNNDLTPEHHQFFLYQLLRALKYIHAGNVFHRDLKPKNILANADCKLKLCDFGLARVSFSNAPSAIFWTYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLELITDLLGTPSAESIARISNEKARKYLSSMRKKRPIPFSKKFPDVDRSALRILERLLAFDPKDRPSAEEALADPYFDGLADKEEEPSRKPISKLEFEFERRKLTRDDVRELIYREILEYHPEMLKEYLRGTDQTHFVYPSGVDRFKEQFAHLEEGDGTSDRNSPHHRKHATSLPRERVCTADETDNALKRNASSLSRATVQSPPKSQATEELQSANRNAVAMQTSSTKPKCGTRTLLKSDSICASMCVGLIGNDRQVHAL >Potri.008G130000.2.v4.1 pep chromosome:Pop_tri_v4:8:8504063:8508547:-1 gene:Potri.008G130000.v4.1 transcript:Potri.008G130000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130000.v4.1 MLDREIFTEYGEASQYEIQEVVGKGSYGVVASAIDTHTGERVAIKKMTNIFEHVSDATRILREIKLLRLLKHPDIVEIRHIMLPPSPREFKDIYVVFELMESDLHQVIKLNNDLTPEHHQFFLYQLLRALKYIHAGNVFHRDLKPKNILANADCKLKLCDFGLARVSFSNAPSAIFWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLELITDLLGTPSAESIARISNEKARKYLSSMRKKRPIPFSKKFPDVDRSALRILERLLAFDPKDRPSAEEALADPYFDGLADKEEEPSRKPISKLEFEFERRKLTRDDVRELIYREILEYHPEMLKEYLRGTDQTHFVYPSGVDRFKEQFAHLEEGDGTSDRNSPHHRKHATSLPRERVCTADETDNALKRNASSLSRATVQSPPKSQATEELQSANRNAVAMQTSSTKPKCGTRTLLKSDSICASMCVGLIGNDRQVHAL >Potri.005G079800.1.v4.1 pep chromosome:Pop_tri_v4:5:5416535:5417132:-1 gene:Potri.005G079800.v4.1 transcript:Potri.005G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079800.v4.1 MGVSGTLEYLSDLVGSGGHKHKKKKQLQTVELKVRMDCDGCELKVKKAISSLSGVKKVEINRKQQRVTVTGYVDSSKVLKKAKSTGKKAEIWPYVPYNLVAQPYAVQAYDKKAPPGYVRNVENTVTTGTVTRYDQDPYTSMFSDDNPNACSIM >Potri.006G120901.1.v4.1 pep chromosome:Pop_tri_v4:6:9566352:9567942:-1 gene:Potri.006G120901.v4.1 transcript:Potri.006G120901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120901.v4.1 MLTHHSLLPIPSAHSPLPTRDPTLHSPPFIPSPFTFPFTLSTLHSPLSTLHQIKIKKSVDSVPLSTESTHQQRCLQSPLSQLVLLAIEIRSVLGETKS >Potri.015G045600.1.v4.1 pep chromosome:Pop_tri_v4:15:4435871:4439286:-1 gene:Potri.015G045600.v4.1 transcript:Potri.015G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045600.v4.1 MASPSLMDTLFQRSLDDIIKGVRQQQSSTESIFISKVIEEIRREIKSTDLRTKSTALQKLTYLNSIHFIDMSWASFHAIECISSPTFSHKKIGYLAISQSFNESTPVILLISNQLRKDLKSSNEFEVSLALDCLSRIGTVDLCRDLTSEVFTLMSSSKVFVRKKGIGVVLRLFEKYPDAVRVCFKKLVESLEGSDSQIVSAVVGVFCELASKDPRSYLPLAPEFYRILVDSKNNWVLIKVLKIFAKLAPLEPRLAKRMVEPICDHMRKTGAKSLVFECIRTVVTSFTEYESAMKLAAAKIREFLMEDDPNLKYLGLHAVSIMAPKHLWAVLENKDVVIQSLSDEDPNIKLESLRLVMAMASESNLVETCRVLVNYALKSDPEFCNEILGSILSTCCRNVYDVIIDFDWYVSLLGEMSRIPNCSKGEEIENQLIDIGMRVKDVRPELVRVGRDLLIDPALLGNPFLHRLLSAAAWVCGEYVEFSRNPVELMEALLQPRTSLLPSSIRTVYMQSAFKVLIFCIHSYFLQKEEMTSEVSDLASKRECSESSDLATGKALVQSDQDEGFNPRESNQSYEDPSVVDTGVGQTSTPAFMEEKSFMHESIVNLLNLMELALGPLSGSLDVEIQERAWNVLGFIELVRQEFSNPLIRKEANLEREKVIASRVVEWVHDAFSEELGPVSVTAQDRVLVPDELVLKENLTDLEAICGGVELPSPGSFSLTSPYYGESAGFSVSNLQGEEDSEPSTESTSLLTEHRKRHGLYYLPSEKNKILANDYPPANDPSSGINTNDDTEDLVKLADQSLVSKRKPNHAKPRPVVVKLEGGDAAPVVSKKPELKDDLLSGAIRDVLLGNEAKAASSQSNPSDKSSSKRKGKAKHVILPDSKENLAVGEQPNHENPSSRRSQHRGHGKEKSKKSRGKKNGDGREDDGEKEREKIRDHHGRHKSRQRADAPINVVAQTPDIPDYLL >Potri.008G097700.2.v4.1 pep chromosome:Pop_tri_v4:8:6080504:6088933:-1 gene:Potri.008G097700.v4.1 transcript:Potri.008G097700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097700.v4.1 MAKSKLKEEGEDREEAEKTGGLELVSIGSLYSGGAWDKKYWSSTRGKDRYPYPVGYQARRAYNGGAYKMEIHEGPKGPLFAITSTDGYSCSGQTPDIVWQKFQKNCCPHTKIWHGKRFSCMIDGIEFFGFKNPFVQRLLRELVTNVNGIAEQSPLSPSFSNGSTGTELNNRCTDACTHPNLPPYLARSQVKGKRSKSQKITNPESLSTASFKRSRAEDVMYIAEPSDSASKTHKQWRSTLSFNQEQESCKLPVTLSTAVCLKPVAGGETDHSSAKDDFPLKSVDFSDHLTEKAAPGPEESMLAWSKSSKSTTGVVNLSVEDKILDRSLDTKVEGSNFTMSVEGQAEDATAPKDQPCVPNVDLCAPDTLDFEQDNITNSAQATHDISACSVREELLVTEAITSQGLITESHPEEVIGTPNSNGNSERSDFDSAGQDIAKSMMTFLLPQAIPLLKNKSRKKKTIGYSEILPNTPKPHENNDENLQFVEAQSPCVYGSVLPGSEHVKSVVLDSFDGDQCGVHVTNQPISPSNTAEADQPCFDTDACPPCRVDQFVNIDGTESSVCQFDTDGIKDIFCHNQVQSKVQLALDKRHRDDYLYPYESVSGIKSANENVLYEENQDICKKMDENSIGTKFLPGEKDLNLGTDFNDVVTKSNMSQRGLGASVQILRNDISVKAETSESGNLSTAQVTKNVYTRKKVSKAASSTRKCNASFSESIICRNLRDDSIPETTRTLLNSEMFQMSSSVDKPHKNAIFGSEPMVGDQLNGMQIDETTSNPNPLSESKLPFVSQTQTFSGASMGKDASNLFAATVSKIEEPHAYSEGRLVVSQNTSDTNGPPVLSAELGTAFSCYNTSSVKEVQTNSDLKLHRNLKHNNELEGNFELVGCYLHPMPVLSLLVVTKGDEINVCALCGHLVDKNRTLFLYKLAIEETRTGNPSFVGHTSVTFPFSTDIFGRETALERSGLQLTPDGQNLVLLGSMKTPYCREGRTDCLCSTCSLNCSEQSTVKIVQVKTGYVSVLVKLSTFDSMQCILVCEPNHLIAAGESGRLHLWTMNSAWSAPTEEFIISANDCISPCIVELKRVPNCASVVVGNNGFGEFTVWDVSRRMFMARVSSPSASACQFFPISSFTWQRVVHGFHYSTVEEQIDGIVDATKLWFSENSEYYSLPPLDGEDIAIWLLVSTIPELDTQEDYISSDCGINPVGWWRLALLVKNMLILGKALDPRAAAIGSSSGNGIIGTFDGLVYMWEFTTGTRLGTLHHFEGESVSCIATDNSKPGVISVAGDKGQLLVYRRSCK >Potri.005G147900.3.v4.1 pep chromosome:Pop_tri_v4:5:12371342:12376018:1 gene:Potri.005G147900.v4.1 transcript:Potri.005G147900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147900.v4.1 MGFPKFFSIFSANANNQNGSIQENLIKNPRKYLTFPRSVFNYLGLGRLAVSGNKSDGSQRSEEEEKVYSWLYALAQSDKDLVFEYVRSTERGLSFTEADRRLRENGPNVPLGYTFPNWWHLLWHAFFHPFNIILIILSALSYVASDSPNGCIMLILVFISVSLRFYQEYTSSKAAMKLSEFVRCPVKVQRCAGRVIQTELIVQVDQRDIVPGDIVIFEPGDLFPGDVRLLSSKHLSVSQSSLTGESWITEKTADAKENQRTPLLELKNICFMGTNVVSGSGMGLVVSTGCKTYMSTMFSSIGKKKPPDGFNDGIRCISYVLISVMLVVMAIIILTDYLKSRDVSESVLFGLSVACALTPNMLPLIVNTSLAKGALVMARDRCIVKSLAVIRDMGSMDILCIDKTGTLTMDHAIIVNHLDSWGSPQEKVLRFAFLNSYFKTDQKYPLDDAILAYVFTNGYRFQPSKWSKIDEIPFDFIRRRVSVILETESNASQGRNSHTCDRFMITKGALEEVMRVCSFIDNVDGSEIVTFSSEDYQRILNMGEELSNRGLRIIGVAIKRLQMQGSHPNMANEEITESDMVFLGVITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAVRICEELGIRTTNVTTGPELELLNQDDFHETVKSATVLARLTPTQKLRVVQSLQTAGEHVVGFLGDGVNDSLAIDAANVGISVDSGASVAKDLADIILLEKDLNVLVAGVENGRLTYGNTMKYIKMSVVANIGSVLSLLIATLLLEFEPLTPRQLLTQTFLYSVGQIAIPWDKMEEDYVKTPQKWSEKSLPIFILWNGPVCTLCDLANLIFLWFYYRDYNIFDVKFFHSAWFIEGLLMQTLIFHLIRTEKIPFIQEISSWPVICSTVVISAIGIAIPFTQVGEVMGFTNLPFSYFGFLVVLFLGYFTVGQVVKRMYILIYRKWL >Potri.005G147900.2.v4.1 pep chromosome:Pop_tri_v4:5:12371272:12376041:1 gene:Potri.005G147900.v4.1 transcript:Potri.005G147900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147900.v4.1 MGFPKFFSIFSANANNQNGSIQENLIKNPRKYLTFPRSVFNYLGLGRLAVSGSADKSDGSQRSEEEEKVYSWLYALAQSDKDLVFEYVRSTERGLSFTEADRRLRENGPNVPLGYTFPNWWHLLWHAFFHPFNIILIILSALSYVASDSPNGCIMLILVFISVSLRFYQEYTSSKAAMKLSEFVRCPVKVQRCAGRVIQTELIVQVDQRDIVPGDIVIFEPGDLFPGDVRLLSSKHLSVSQSSLTGESWITEKTADAKENQRTPLLELKNICFMGTNVVSGSGMGLVVSTGCKTYMSTMFSSIGKKKPPDGFNDGIRCISYVLISVMLVVMAIIILTDYLKSRDVSESVLFGLSVACALTPNMLPLIVNTSLAKGALVMARDRCIVKSLAVIRDMGSMDILCIDKTGTLTMDHAIIVNHLDSWGSPQEKVLRFAFLNSYFKTDQKYPLDDAILAYVFTNGYRFQPSKWSKIDEIPFDFIRRRVSVILETESNASQGRNSHTCDRFMITKGALEEVMRVCSFIDNVDGSEIVTFSSEDYQRILNMGEELSNRGLRIIGVAIKRLQMQGSHPNMANEEITESDMVFLGVITFFDPPKDSAKQALWRLAEKGVKAKVLTGDSLSLAVRICEELGIRTTNVTTGPELELLNQDDFHETVKSATVLARLTPTQKLRVVQSLQTAGEHVVGFLGDGVNDSLAIDAANVGISVDSGASVAKDLADIILLEKDLNVLVAGVENGRLTYGNTMKYIKMSVVANIGSVLSLLIATLLLEFEPLTPRQLLTQTFLYSVGQIAIPWDKMEEDYVKTPQKWSEKSLPIFILWNGPVCTLCDLANLIFLWFYYRDYNIFDVKFFHSAWFIEGLLMQTLIFHLIRTEKIPFIQEISSWPVICSTVVISAIGIAIPFTQVGEVMGFTNLPFSYFGFLVVLFLGYFTVGQVVKRMYILIYRKWL >Potri.014G114100.1.v4.1 pep chromosome:Pop_tri_v4:14:7672002:7677462:1 gene:Potri.014G114100.v4.1 transcript:Potri.014G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114100.v4.1 MFEEMAPLRSSGYIDPGWEHGIAQDERKKKVKCNYCGKIVSGGIFRLKQHLARMSGEVTHCVKVPEEVCFNMRKNLEGCRSGRKRRQTEYEQAPLAFHSNEYDDMEEASCSYKQKGKRVVGDKNLVIRFASLRSLGYVDPGWEHCVAQDEKKKRVKCNYCEKIISGGINRFKQHLARIPGEVAYCDKAPEEVYLRIKENMKWHRTGRRNRKLESKDISTFYTNSDNEDEEEEHEGGLLQYSSKDLLVIDDKFSDNDIRNNIKGRSPGSSSNGAEPPMKRSRLDSVFLKSLKSQTSSHYRQTKAKMGFEKKARKEVISAICKFFYHAGLPSNAANSPYFHKMLELVGQYGPGLQGPSSRLISGRFLQDEITTIKEYQEEFKASWMITGCSIVADSWNDLQGRTSINLLVCCPRGVYFVSSVDATDIIEDAASLFKLLDKVVEEIGEENVVQVITKNTASFKAAGKMLEEKRRNLFWTPCAIHCIDQMVEDFLNIKWVGECVDKAKRVTRFIYNNTWLLNYMKKEFTKGQELLRPTVTKYGTTFFTLQSFLDQRVGLKRMFQSNKWISSRFTKSDDGREVEKIVLNSTFWKKIQYVIKSLEPVALVLQKIDSDETQSIAHIYNDMCRAKHAIKAIHGDDARKYGPFWSVIENQWSSLFHHPLYVATYFLNPSYRYRPDFLLNPEVIRGLNECIVRLEADTGKRVSASMQIPDFVSAKADFGTDLAISTRMELNPAAWWQQHGINCLELQRIAIRMLSQTCSSLVCEHTWSIYDQVHSKRHSSVSRKRWNELTYVHYNLRLRERQQGRKPGDVISFDNLITENILDDWLVESDKQPMQEDEEILYNEMEQFDGDVMDENDHQEKRPADMVTLAGGVLEPLDVIPAAGGVTTEDDGLDFLDDDLTD >Potri.013G145300.1.v4.1 pep chromosome:Pop_tri_v4:13:14306393:14309670:1 gene:Potri.013G145300.v4.1 transcript:Potri.013G145300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145300.v4.1 MVGFVSEPSTIKEEEEESNQVSKARNLEDPIDPFDQKLTNFADSFLDFDSIEEFFEGPERFSLDFEKRMEMDDKGLVVKDLVVNVSDSVFEEKNGIVDGSDLGGLVKVKEERVELERGGSLGCSIEEEMGRVSLVAELSLVVVDGGAKVVGDEAEIGNGGLMNGSGSDIGDGSGVNGKIVSDEEESESESESESESESSSSSSSSDDDDGEQEEESDQEEEEKKVEVRMEVNKRLDGLGDMEEGEIRDADGEEMVAGNDSEDEGEEEEEEVVEEEEDDGYKMVEWSDVDEEEDAATGEPIRSKNEVQFLSPVPPVHASLELHHQMLPVGVVLSAIGPQVIVQGVEKHNPLNEGSILWITEKRSPLGLVDEIFGPVKNPYYVVRYNSESEVPSGVLNGTLISFVPEFANHVLNVKNLHKKGYDASGEYDEELTNETEFSDDEKEAEHKRMLKMSKRGVSDEKSGKNKNNRRKVKNRGGGWKNNKPFGEQMPTGVDQLPPDQNLRNDSAVGTSLAPVPQTTGVYAPSNGVWTSMVPSQQPQALAIRGGFPSNNMPWPVQSQLQHPYQIPMANGMPIQQQFNPGQRSLPNALFAGGQPNFFAGPAYPPPWPVVGGHYFNQAAFGTGFQVQPNPPAMNVVDQGMISTGPPLVHNCSFQPPAIPPGNIQAPQQFNAGASSSHGRKPYRRGGGRFSGGRGRQPPN >Potri.003G043300.5.v4.1 pep chromosome:Pop_tri_v4:3:4899361:4905597:1 gene:Potri.003G043300.v4.1 transcript:Potri.003G043300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043300.v4.1 MTRGRIRARLRRSHLHPFSCLRPNANNSEGPHPLLGPGFSRIVHCNQPHKHQKKPLKYCSNYISTTKYNIVTFLPKALYEQFHRLANLYFLVAAVLSLTAVAPFSPLSMILPLAFVVGLSMAKEALEDWRRFTQDMKVNSRKASVHKGGGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSTSYDDGICYVETMNLDGETNLKVKRSLEVTLPLEDDESFKNFTGIIKCEDPNPNLYTFVGNFEYERQVYPLDPTQILLRDSKLRNTSYVYGVVIFTGFDSKVMQNSTKSPSKRSKIEKKMDKIIYILLSLLVLISSISSIGFAVKIKFQMPDWTYMQPRNENDLYDPDNPGKSGVAHLITALILYGYLIPISLYVSIEIVKVFQARFINQDIHMYDEETGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVCSSEIEVAAAKQMAMDLEEQDTQNTNVSRYGKSAHKEDSRGGPEIELESVITSKCDNDQKPAIKGFNFEDSRLMDGKWLNERNREVLLLFFRILAICQTAVPELNEETGMFTYEAESPDEAAFLAAAREFGFEFYKRTQSSVFIREKYAHPGRLIEREFKILNLLEFTSKRKRMSVIVRDEDGQILLLCKGADSVIFDRLSKNGRIYEETTVKHLNEYGEAGLRTLALAYKKLDESEYSAWNNEFVKVKTSISTDREAMLERVADMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMKRICITVMNSDVVAQDSKQAVKENILMQITNSSQMVKLQKDPHAAFALIIDGKSLSYALEDDMKHHFLALAVGCASVICCRVSPKQKALVTRLVKEGTKKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSVYNDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGTKNLFFDWYRILGWMGNGLYSSLVIFILNIVIFYNQAFRAGGQTADMAAVGATMFSCIICAVNCQIALTMSHFTWIQHLFVWGSVATWYLFLLLYGLMPPSYSGDVYRLLVEVLGPAPIYWSTILLVTVACIVPYLVHISFQRCFNPMDHHIIQEIKYYKKDVEDQHMWRRERSKARQETKIGFTARVDAKIRQFKGKLRKNSSTLVSQNCMPSPS >Potri.003G043300.7.v4.1 pep chromosome:Pop_tri_v4:3:4899361:4905596:1 gene:Potri.003G043300.v4.1 transcript:Potri.003G043300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043300.v4.1 MTRGRIRARLRRSHLHPFSCLRPNANNSEGPHPLLGPGFSRIVHCNQPHKHQKKPLKYCSNYISTTKYNIVTFLPKALYEQFHRLANLYFLVAAVLSLTAVAPFSPLSMILPLAFVVGLSMAKEALEDWRRFTQDMKVNSRKASVHKGGGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSTSYDDGICYVETMNLDGETNLKVKRSLEVTLPLEDDESFKNFTGIIKCEDPNPNLYTFVGNFEYERQVYPLDPTQILLRDSKLRNTSYVYGVVIFTGFDSKVMQNSTKSPSKRSKIEKKMDKIIYILLSLLVLISSISSIGFAVKIKFQMPDWTYMQPRNENDLYDPDNPGKSGVAHLITALILYGYLIPISLYVSIEIVKVFQARFINQDIHMYDEETGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVCSSEIEVAAAKQMAMDLEEQDTQNTNVSRYGKSAHKEDSRGGPEIELESVITSKCDNDQKPAIKGFNFEDSRLMDGKWLNERNREVLLLFFRILAICQTAVPELNEETGMFTYEAESPDEAAFLAAAREFGFEFYKRTQSSVFIREKYAHPGRLIEREFKILNLLEFTSKRKRMSVIVRDEDGQILLLCKGADSVIFDRLSKNGRIYEETTVKHLNEYGEAGLRTLALAYKKLDESEYSAWNNEFVKVKTSISTDREAMLERVADMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMKRICITVMNSDVVAQDSKQAVKENILMQITNSSQMVKLQKDPHAAFALIIDGKSLSYALEDDMKHHFLALAVGCASVICCRVSPKQKALVTRLVKEGTKKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSVYNDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGTKNLFFDWYRILGWMGNGLYSSLVIFILNIVIFYNQAFRAGGQTADMAAVGATMFSCIICAVNCQIALTMSHFTWIQHLFVWGSVATWYLFLLLYGLMPPSYSGDVYRLLVEVLGPAPIYWSTILLVTVACIVPYLVHISFQRCFNPMDHHIIQEIKYYKKDVEDQHMWRRERSKARQETKIGFTARVDAKIRQFKGKLRKNSSTLVSQNCMPSPS >Potri.003G043300.6.v4.1 pep chromosome:Pop_tri_v4:3:4899303:4905597:1 gene:Potri.003G043300.v4.1 transcript:Potri.003G043300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043300.v4.1 MTRGRIRARLRRSHLHPFSCLRPNANNSEGPHPLLGPGFSRIVHCNQPHKHQKKPLKYCSNYISTTKYNIVTFLPKALYEQFHRLANLYFLVAAVLSLTAVAPFSPLSMILPLAFVVGLSMAKEALEDWRRFTQDMKVNSRKASVHKGGGVFGYKPWQKIQVGDVVKVEKDQFFPADLLLLSTSYDDGICYVETMNLDGETNLKVKRSLEVTLPLEDDESFKNFTGIIKCEDPNPNLYTFVGNFEYERQVYPLDPTQILLRDSKLRNTSYVYGVVIFTGFDSKVMQNSTKSPSKRSKIEKKMDKIIYILLSLLVLISSISSIGFAVKIKFQMPDWTYMQPRNENDLYDPDNPGKSGVAHLITALILYGYLIPISLYVSIEIVKVFQARFINQDIHMYDEETGNTAQARTSNLNEELGQVDTILSDKTGTLTCNQMDFLKCSIAGTAYGVCSSEIEVAAAKQMAMDLEEQDTQNTNVSRYGKSAHKEDSRGGPEIELESVITSKCDNDQKPAIKGFNFEDSRLMDGKWLNERNREVLLLFFRILAICQTAVPELNEETGMFTYEAESPDEAAFLAAAREFGFEFYKRTQSSVFIREKYAHPGRLIEREFKILNLLEFTSKRKRMSVIVRDEDGQILLLCKGADSVIFDRLSKNGRIYEETTVKHLNEYGEAGLRTLALAYKKLDESEYSAWNNEFVKVKTSISTDREAMLERVADMMEKDLILVGATAVEDKLQKGVPQCIDKLAQAGLKIWVLTGDKMETAINIGFSCSLLRQGMKRICITVMNSDVVAQDSKQAVKENILMQITNSSQMVKLQKDPHAAFALIIDGKSLSYALEDDMKHHFLALAVGCASVICCRVSPKQKALVTRLVKEGTKKTTLAIGDGANDVGMIQEADIGVGISGVEGMQAVMASDFSISQFRFLERLLVVHGHWCYKRIAQMICYFFYKNIAFGLTLFYFEAFTAFSGQSVYNDWYMLLFNVILTSLPVISLGVFEQDVSSEVCLQFPALYQQGTKNLFFDWYRILGWMGNGLYSSLVIFILNIVIFYNQAFRAGGQTADMAAVGATMFSCIICAVNCQIALTMSHFTWIQHLFVWGSVATWYLFLLLYGLMPPSYSGDVYRLLVEVLGPAPIYWSTILLVTVACIVPYLVHISFQRCFNPMDHHIIQEIKYYKKDVEDQHMWRRERSKARQETKIGFTARVDAKIRQFKGKLRKNSSTLVSQNCMPSPS >Potri.014G010000.1.v4.1 pep chromosome:Pop_tri_v4:14:371302:371951:1 gene:Potri.014G010000.v4.1 transcript:Potri.014G010000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G010000.v4.1 MARAGGGGGGGGGITNAVNVGIAVQADWENREFISHISLNIRRLFDFLIQFESTTKSKLSSLNLKLDTLERRLQLLELQVSTATSNPSLFTSTTTTTA >Potri.014G148600.2.v4.1 pep chromosome:Pop_tri_v4:14:10193392:10194757:-1 gene:Potri.014G148600.v4.1 transcript:Potri.014G148600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148600.v4.1 MFLYPAYASIQAIERPSHRDNKQWLSYWILYSFISLFEITFLVFLQWFPLWGLIKLLVHLWLVLPVFNGATFVYENYMRDYRKLNGLLNDLRKMIPGEGF >Potri.014G148600.1.v4.1 pep chromosome:Pop_tri_v4:14:10193392:10194757:-1 gene:Potri.014G148600.v4.1 transcript:Potri.014G148600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148600.v4.1 MGLLGAVFSIVNALVLPILGPATMFLYPAYASIQAIERPSHRDNKQWLSYWILYSFISLFEITFLVFLQWFPLWGLIKLLVHLWLVLPVFNGATFVYENYMRDYRKLNGLLNDLRKMIPGEGF >Potri.007G106500.1.v4.1 pep chromosome:Pop_tri_v4:7:12939069:12941813:1 gene:Potri.007G106500.v4.1 transcript:Potri.007G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106500.v4.1 MATFSATLLKSSFPCFSLLPQTLRRNHLHIPSIRAVKSTEPEKEKATKTEEPSTNAQPSTSTAAPKSKKPIYSMKKGQIVRVDKEKYLNSVNYLSVGHPPYYKGLDYIYEDRGEVLDLRIFETGEYALVSWVGVPTAPAWLPTDMLIKSEKLNYERL >Potri.011G161400.1.v4.1 pep chromosome:Pop_tri_v4:11:18654347:18656378:-1 gene:Potri.011G161400.v4.1 transcript:Potri.011G161400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161400.v4.1 MVPSRSSILSILVVLLLSPFIVSLPIQDRFLKCLSKYSDTSFPFSTVLYTPQNSSFTTVLQSSAQNLRFTSPSVPKPEFIFTPLQESHIQAVVICSKQLGIHLRVRSGGHDYEGLSYVSEIEKPFVVVDLAKLRSISVDIEHNSAWVQVGVTNGELYYRISEKSKTHGFPAGTCTSLGMGGHISGGAYGAMLRKYGLGADNVVDAHLIDVHGRLLNRKLMGEDLFWAIRGGAGGSFGIVTAWKLKLVPVPSTVTVFTVTKTLEQGATKILYRWQEIADKLDEDLFIKVLIQTANVTSQGKRTIATSYNSLFLGDARRLLQIMQRSFPELGLTRKDCIETNWINSTVFMALLQNNTPPEVFLQRKDPNRRYFKAKSDYAKKPVSEKALEGLWEKLFEVESPLVVFTPYGGMMSQISESQTPFPHRKGTKFMILYYTGWQDAKENVAKHIDWTRMVYNYMKPYVSKNPREAYANYRDLDLGMNNNSNTSFVEASAFGTKYFKDNFYRLVHVKTKVDPDNFFRHEQSIPPLPLHMR >Potri.013G049900.1.v4.1 pep chromosome:Pop_tri_v4:13:3592491:3593163:1 gene:Potri.013G049900.v4.1 transcript:Potri.013G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049900.v4.1 MPEDICTDRPLYGGSISSTFPVRFQDVSNIRQVPDHQEAFVDPSRDESLIFELLDLKPDVNDNGSAVWFLQDLANEQDAQGFTLVEQSGVVEVPIGNVSVVVTTAIGQMGISKARQGREAQNVVQVYLANLRLKNVGTDVLVVAYEPILISPLSESAATVGAGLPAPAAQSGFLPMAEVFKLAVSNFKVNDWNLFGN >Potri.013G049900.2.v4.1 pep chromosome:Pop_tri_v4:13:3592445:3595817:1 gene:Potri.013G049900.v4.1 transcript:Potri.013G049900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049900.v4.1 MPEDICTDRPLYGGSISSTFPVRFQDVSNIRQVPDHQEAFVDPSRDESLIFELLDLKPDVNDNGSAVWFLQDLANEQDAQGFTLVEQSGVVEVPIGNVSVVVTTAIGQMGISKARQGREAQNVVQVYLANLRLKNVGTDVLVVAYEPILISPLSESAATVGAGLPAPAAQSGFLPMAESIDSMKHELNDAQCRKRKDTAHENSAPVPNTLIPVA >Potri.017G101900.1.v4.1 pep chromosome:Pop_tri_v4:17:11313359:11316277:-1 gene:Potri.017G101900.v4.1 transcript:Potri.017G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101900.v4.1 MAATSAATFSIGSTISLGTKGSQLPQSKPLSVRFNSQNTLATFSGLKAATFVKCESESSFLGKESSAALRGAAAPKAQKSSQRSQYNLNPQASYKVAILGAAGGIGQPLALLVKMSPLISALHIYDIANVKGVAADLSHCNTPSQVLGFTGTSELPNSLKGVDVVVIPAGVPRKPGMTRDDLFSINANIVKTLVEAVADNCPDAFIHIISNPVNSTLPIAAEVLKQKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTRPPVSFNDEEVQELTLRIQNAGTEVVEAKEGAGSATLSMAYAAARFVESSLRALDGDADVYECSFVQSDLTELPFFASRVKLGRKGVEAIISSDLQGLTEYEQKALEALKPELKASIEKGIAFALKQPVTT >Potri.009G046300.2.v4.1 pep chromosome:Pop_tri_v4:9:5220187:5228312:1 gene:Potri.009G046300.v4.1 transcript:Potri.009G046300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046300.v4.1 MMQQHNKKKKKRSASRASKPISLDGGQGQQSQRQQNPEQRQEGTKVVEALMEAFDSISVEEAEEAYREAKGDINKAAQILENFMENSEDPSTSSFSSGLSGFGLGSSSSSSAGSSSASRDGFLEGNLVNRKGFRGGNKQKRVLAVTGTVSTVLGKEYVKASPRRDSMKAKDFGNGVVEKEEAEQFLCSMLGNDCELSMGVVRDVLCQCGYDVEKALDVLLDLSASSHEQSRSGRHVKDSVNYKEDARFLAERSDNSTDVASDCTSHSSESELQDSVWGCGYRNYYQALTSFEAPSSTTPISNESNLPQKVLECLFNVPMSSEHEPSTMNWRNVVKKMQSLGPAVDVFPSIDALPQQNNYANGEEYHLLRESAKRHWNSRTSYYQKAAAAYSKGERGYAAYLSDQGRIQTKLAQEADKKASQDIFKARNKGITNVITIDLHGQHVKQAMRVLKLHLLFGTYVRSIQTLRVITGCGSRGLGKSKVKQAVTRLLENEGIEWSEENQGVLLIKIDGYKEYSFPDIDSDTD >Potri.008G034100.1.v4.1 pep chromosome:Pop_tri_v4:8:1852682:1854854:1 gene:Potri.008G034100.v4.1 transcript:Potri.008G034100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034100.v4.1 MKMEVHIVSREVIKPSSPTVQHKKPYKLSLFDQLTPTTYSTVIFFYQMYGINADDITRTRIDHCKKSLSETLNIFYPLSGRVRGNLFIDSFNEGVPYIEAQVNCRLSDFLKHNEIESLNRLLPCQPYIKEDMEAPLVALQMNVFTCGGMAVAGAASHKIVDGATSKALFSTWASISRGDCNNIKQPDLEQASLFFPPRNSIPQNHLSLMETLWFTGGNYITRRFVFSAKAIATLRAMATAGKPDAKTSRIQALTCFIWKSFMAASRAISGSPKPSILVEAVDLRSRTKPPMSYDSPGNAFWWATALVNPMGTKTELPELADILNGVTALFDSDYTETLQGEDGFETMTEYCNQLEELFSLEKPDIFAFTCWSYFGFTQTNFGWGEPIWVGLMGKVGPAFRNLTVLIETRDRKGIEAWVTLDEERMTLLERDPEFLAFASPDPKISSL >Potri.006G143901.1.v4.1 pep chromosome:Pop_tri_v4:6:12146432:12146951:-1 gene:Potri.006G143901.v4.1 transcript:Potri.006G143901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143901.v4.1 MEMGLYGLLVICGSDGLEDERVGFIGFGAGLWWVVVVVFMKEGLGDIGLLTVSEGERKNREQRLGLWESEKPILWPNFGDLIPKTCFFAE >Potri.005G120600.7.v4.1 pep chromosome:Pop_tri_v4:5:8930392:8934206:-1 gene:Potri.005G120600.v4.1 transcript:Potri.005G120600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120600.v4.1 MPSFPAIALDRLLEPGASKFVDKSVPSSDDNYPVLKPKPPPPKSKPPLPKSNLERRNSASVVERKGNRPQISPSLYATPESTPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDDVASRKKELEKDEVNGNVDNGKNELVDSSYGHSVTFFIPGSVEGELLNVVNGSPSKEDVVNGSPSNEDVVNGVHHDTINAAHVNGKIDGEIASGTMQHGTSNTRMDFTLEKDVLKPTEQNGERDGDVDDFFDPQDSMSYTSNTDVEDTTAAECSMKLTAAIPVGEFYDAWEELSSESGPHPSPSPHLSGAELREMRLSLLMEIEKRKQAEEALTNMQNQWQRIRQELALVGLSLPAFPVDVPENDQPSDSNPAEEICQQIYLARFVSESIGRGIAKAEAEIELEAQVEEKNFEIARLCDRLHYYEAVNREMSHRNQEVIEMARRNRQARKRRRKWIWGSIAAAITLGTTALAWSYLPAMRGSSSASDSHAPEHDDTAN >Potri.005G120600.6.v4.1 pep chromosome:Pop_tri_v4:5:8930482:8934700:-1 gene:Potri.005G120600.v4.1 transcript:Potri.005G120600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120600.v4.1 MPSFPAIALDRLLEPGASKFVDKSVPSSDDNYPVLKPKPPPPKSKPPLPKSNLERRNSASVVERKGNRPQISPSLYATPESTPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDDVASRKKELEKDEVNGNVDNGKNELVDSSYGHSVTFFIPGSVEGELLNVVNGSPSKEDVVNGSPSNEDVVNGVHHDTINAAHVNGKIDGEIASGTMQHGTSNTRMDFTLEKDVLKPTEQNGERDGDVDDFFDPQDSMSYTSNTDVEDTTAAECSMKLTAAIPVGEFYDAWEELSSESGPHPSPSPHLSGAELREMRLSLLMEIEKRKQAEEALTNMQNQWQRIRQELALVGLSLPAFPVDVPENDQPSDSNPAEEICQQIYLARFVSESIGRGIAKAEAEIELEAQVEEKNFEIARLCDRLHYYEAVNREMSHRNQEVIEMARRNRQARKRRRKWIWGSIAAAITLGTTALAWSYLPAMRGSSSASDSHAPEHDDTAN >Potri.005G120600.3.v4.1 pep chromosome:Pop_tri_v4:5:8930241:8934748:-1 gene:Potri.005G120600.v4.1 transcript:Potri.005G120600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120600.v4.1 MPSFPAIALDRLLEPGASKFVDKSVPSSDDNYPVLKPKPPPPKSKPPLPKSNLERRNSASVVERKGNRPQISPSLYATPESTPLPDSPSSFPPSPYIINHKRRGPRLLKSFSEDDVASRKKELEKDEVNGNVDNGKNELVDSSYGHSVTFFIPGSVEGELLNVVNGSPSKEDVVNGSPSNEDVVNGVHHDTINAAHVNGKIDGEIASGTMQHGTSNTRMDFTLEKDVLKPTEQNGERDGDVDDFFDPQDSMSYTSNTDVEDTTAAECSMKLTAAIPVGEFYDAWEELSSESGPHPSPSPHLSGAELREMRLSLLMEIEKRKQAEEALTNMQNQWQRIRQELALVGLSLPAFPVDVPENDQPSDSNPAEEICQQIYLARFVSESIGRGIAKAEAEIELEAQVEEKNFEIARLCDRLHYYEAVNREMSHRNQEVIEMARRNRQARKRRRKWIWGSIAAAITLGTTALAWSYLPAMRGSSSASDSHAPEHDDTAN >Potri.T127006.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:276505:278075:1 gene:Potri.T127006.v4.1 transcript:Potri.T127006.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127006.v4.1 MHKDRGYDVADTELTRSLMEFRSIFGNCPDLDSLCFSISLRSNPYKKNLVIFMGTDEIRTANIRAVYGQILSKESIQGLILILQSKMNHFAKKEPEKFPFKVKVFQVHPPVV >Potri.015G059200.1.v4.1 pep chromosome:Pop_tri_v4:15:8250013:8255340:-1 gene:Potri.015G059200.v4.1 transcript:Potri.015G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059200.v4.1 MLTKLPEEVTNLWNKGEIRGMVFLSLLLQTILIIFGSQRKTIARSWIRILVWSAYLSADMVATVALGNLARSQGDSSSDGSKKANNPMQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLGVQVVVAFYVFSRSWGSGILTFIAIPMFIVGIIKYAERTWVLSSSSSEGLKKSNLSKFRPFYPLKALSKKRDQALEGKYLLQAYTFLGISMFMMQDLVPGIPALIKSQVLISKNTAEDAFKVVEIELGLIYDMLYTKAPLIYSRAGIILRSISFLLTFTALISFQILIDKHAYSTIDITITYLLFAAAVFLEFYAFLCLVFSDWTMIWLTDEGGNALSSALYSLIRKLTRSKRWSRSIAQYNLISSSIENRPLGCLKSLGINEKMRQIFVHRVAMNVDLKRFIFKHLQKKAENTKEDFSLIDKNRRSKITGQRGDGVLEREGLLQNYMWCTTEVDFSRSILVWHLATEICYLGDKDASNASKEYCETSRCLSEYMMYLLVMRPNMLSKGINDEGYLDTLQDLQRLNPNKTRGDEAKKGVVDGILRSELSLDDITFQSLWKIAKSVVIGGEKLARQLRSLESKKRWEMINEVWIEMLAYAAAHCPWKEHTHQLRRGGELLTHVFLLMLHFGLSEQYEFTRFDGDEVSVLEFFSSDESRKYKSAVEKYAKGIVDMSMSKPVEVLYRYLAKTADTSLSGSDEEEDEEHLLALIQR >Potri.013G005700.1.v4.1 pep chromosome:Pop_tri_v4:13:376240:377688:1 gene:Potri.013G005700.v4.1 transcript:Potri.013G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005700.v4.1 MHNSSVTKTRTINSHQDMHNTHLLTSKQLAKLHFIVSMAFLLASSSVPMLLMFLVSFTVSSLMGSSLGNFYQNFDITWGDGRAKILNNGELLTLNLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSTWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTTDFHTYSILWNPQRIIFSVDGTPIREFKNMESRGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWSKAPFTASYKNFNAKDACVWSNGASSCGTNSSAAAASSTTNAWLSEELGSTSQERLEWVKKNYMIYNYCTDAKRFPQGLPPECSAS >Potri.003G020300.2.v4.1 pep chromosome:Pop_tri_v4:3:2146459:2149705:-1 gene:Potri.003G020300.v4.1 transcript:Potri.003G020300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020300.v4.1 MATSAFANSLLSSSTSLAHGVLPSNNNNFLLPSRVSVSNPRSQNPLLSAHFKLKDKTNSSSLITFCSLGAAAANDPKEEQIPIELKYPAYPTVMDINQIREILPHRFPFLLVDRVIEYNPGVSAVAIKNVTINDNFFPGHFPERPIMPGVLMVEALAQVGGLVMLQPEVGGSRENFFFAGIDKVRFRKPVIAGDTLVMRMTLIKLQKRFGIAKMEGKAYVGGEVVCEGEFLMATGGE >Potri.003G103700.3.v4.1 pep chromosome:Pop_tri_v4:3:12760288:12762045:1 gene:Potri.003G103700.v4.1 transcript:Potri.003G103700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103700.v4.1 MGLQEEFEEHAEKAKTLPENTTNENKLILYGLFKQATVGLVNTSRPGIFNMRDRAKWDAWKAVEGKSKEEAMSDYITKVKQLLEEAAASA >Potri.004G209000.1.v4.1 pep chromosome:Pop_tri_v4:4:21590117:21595383:1 gene:Potri.004G209000.v4.1 transcript:Potri.004G209000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209000.v4.1 MENEVLEFDIGLGSGADDDDDDDAVDIDIDDDLPSTPPHHLTTSHNSASTSATRIYLPEGDLSDLEPYEGMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRVITRVGCKASLSVKMQDSGKWVVSSFVRGHNHELVPPDQVHCLRSHRQISGPAKTLIDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQRSMEGDIQLLLDYLRQMQSENPDFFYALQGDDGQFTGNVLWADPRARANYSYFGDTVTFDTTYRSNRYRLPFAPFTGVNHHGQPVLFGCAFILNETEASFVWLFQTWLTAMSGRHPLSITTDHDAVIRLAIMQVFPETRHRFCKWHIFKKCQEKLSHVLLKHPTFESEFHKCVNLTELIEEFESCWLSLVDRYELRDHEWLQTIYSDRRQWVPVYLRDAFFAEMSITQRSDSMNSYFDGYVNASTNLNHFFKLYERAIESRNEKEVKADYDTMNTNPVLKTPSPMEKQASGLYTRKLFVRFQEELVGTLTFMASKSEDDGESITYQVAKYGEDHKAYHVKFNVLEMKATCSCQMFEFSGLLCRHVLTVFRVTNVLTLPSRYILKRWTRNAKSNVILEERPTDVYTGYLESHTVRYNTLRHEAFKFVEEGSKSLDTYNVAMGVLQEATTRVAQATKNEGRAGDVNGRNKGDSASSGIRANYTSGNHLGISGQPLSEEDMDKKIQELRDELEYANRKCEVYRANLLSVLKDIEDHKLQLSIKVQSIKISMKGSI >Potri.008G017500.1.v4.1 pep chromosome:Pop_tri_v4:8:876054:877036:1 gene:Potri.008G017500.v4.1 transcript:Potri.008G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017500.v4.1 MKIPALFKIKETKQSWQKWPSCKHPKTLSFRGGDDVIKTVNSVFFDPSERVETPESWFTNSSETTSFSTESEGFDGESLEVVVRGVRSERLFFEPGDTNSILEEAKTGGFPFKESVVLAMESEDPYVDFRRSMEEMVESHGLKDWDCLEELLGWYLKVNGKKNHGYIVGAFVDLLVGIAAASCSDSTSFSSAVSSFSPSSPLCSLKGHNEIDEK >Potri.001G211400.1.v4.1 pep chromosome:Pop_tri_v4:1:21600434:21601838:-1 gene:Potri.001G211400.v4.1 transcript:Potri.001G211400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211400.v4.1 MPIALHELPTEASFKASLEEVEQVLFPIVEDLLSKHNISPKSIDILISNCSLFCPAPSITAMITNKFGFRSNIKSFSLSGMGCSAGLLSISLAKDLLKVHKNSLALVLSMEAISSNGYHGKIKSMLVTNTIFRMGGAAVLLSNKKHDEQRAKYKLLHLVRTHMGSDDRSYTSVIQQDDEDGFVGVSLSRSLLHVAGNALRTNISELGPLVLPYLEQLRYGWGVVHQKLWVTAGKRETYVPNFKKAFEHFCIHAGGRAIIDAVESNLKLQKEDGEASRMMLYRFGNTSSSSVWYELCYLEAKGAVKKGDRIWQIAFGSGFKCNSAVWKSISVPNPEERNAWSDRIHLYPVQTPIAP >Potri.001G211400.2.v4.1 pep chromosome:Pop_tri_v4:1:21600434:21601838:-1 gene:Potri.001G211400.v4.1 transcript:Potri.001G211400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211400.v4.1 MGTEILTHFLEAPFSFTPFFLVITLITCFLYFALRSNSVYLIDFTCYLPADNLRVTISNFIEHVHLGGTFNTDCSEFQERVVERSGIGDEACMPIALHELPTEASFKASLEEVEQVLFPIVEDLLSKHNISPKSIDILISNCSLFCPAPSITAMITNKFGFRSNIKSFSLSGMGCSAGLLSISLAKDLLKVHKNSLALVLSMEAISSNGYHGKIKSMLVTNTIFRMGGAAVLLSNKKHDEQRAKYKLLHLVRTHMGSDDRSYTSVIQQDDEDGFVGVSLSRSLLHVAGNALRTNISELGPLVLPYLEQLRYGWGVVHQKLWVTAGKRETYVPNFKKAFEHFCIHAGGRAIIDAVESNLKLQKEDGEASRMMLYRFGNTSSSSVWYELCYLEAKGAVKKGDRIWQIAFGSGFKCNSAVWKSISVPNPEERNAWSDRIHLYPVQTPIAP >Potri.005G062500.1.v4.1 pep chromosome:Pop_tri_v4:5:3980822:3986709:1 gene:Potri.005G062500.v4.1 transcript:Potri.005G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062500.v4.1 MPAWWGKKSSKSKEGSNQENPHGKNDKKLFKIKENKRRSSLDEAALRKNSPRANREFSGSGGLSAFSGFDSDAGEKRGLPLPKPFTSDLGGGGGGVGFGSGSVSVSSVSSYGSSGGEDHDNNQSSNGLFDGYRLSVDHKGHGGGSRSPGPGSRSPVPGSRSPVPGSRSPAPGSRAASRPTSPLHQLLGALSIDSSTGRLEDGKNECHPLPLPPGSPTSPPSLPGPRTAGATEMSTLSRWKKGKLLGRGTFGHVYLGFNSGSGQMCAIKEVTVISDDSTSKECLKQLNQEINLLSQLSHANIVRYYGSELSEERLSVYLEYVSGGSVHKLLQEYGAFKEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPNGEIKLVDFGMAKHITACSSMLSFKGSPYWMAPEVVMNTNGYSLAVDIWSLGCTLLEMATSKPPWSHYEGVAAIFKIGNSKDMPDIPDYLSNDAKSFIKLCLQRDPSARPTAFQLLDHPFIRDQATTRVANINITRDAFPRTFDGSRTPPVLDLHSNRTSANSWDGDFAMKPGVNSNTRALKNPRDDGGMITSMPVSPCSSPLRQHGSAYRNYFSPHSPYAMVGQSSYHVSDPSLHPIRQTTYTHDLFFDTTLLKVQTPGTSPRTRPI >Potri.001G198600.1.v4.1 pep chromosome:Pop_tri_v4:1:19597783:19602512:-1 gene:Potri.001G198600.v4.1 transcript:Potri.001G198600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198600.v4.1 MGLELDSSHLLVSETPQTATKKSKKKRKREKERDDGAAAAQLQNEPTINEQPRLDVKLELVNNQVDKTPPVVGYFPSGYNPHKTNDINDAQEPILPLAAPPSVKLYRNAQRAKVEKSSGEKNEKGRTSERLELVVSPNGSNVEFVGNSYKGEAMAAQLCTYALGVLDKATQTLKIMPIAGNKILRLEPKLRGSLAADKEHANFENGEITAEQRAARIRQLNVQYGPKKSIIQDKKMQALRKGDDPLSQKDLGRKIENAPINKDALESTSGHSARNIPPYNSSAITPQEAYPLDGIILTGEWDFLEDIYKILQVGGEVASNAYPTFVCNRIQKLREIQDEVEKKRLSCIFSYITHLLKFKDQHSMDGAASARNHQFPSIIRQKFFEMFKPETRRLSVDKIDLLISYVLVLTLYADDFRTFPADVSNDLRMNPATVKSHYRNLGCKMEREKNKYLVTLSVPLEFPQPKRKFRNR >Potri.001G198600.2.v4.1 pep chromosome:Pop_tri_v4:1:19597945:19602504:-1 gene:Potri.001G198600.v4.1 transcript:Potri.001G198600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198600.v4.1 MGLELDSSHLLVSETPQTATKKSKKKRKREKERDDGAAAAQLQNEPTINEQPRLDVKLELVNNQVDKTPPVVGYFPSGYNPHKTNDINDAQEPILPLAAPPSVKLYRNAQRAKVEKSSGEKNEKGRTSERLELVVSPNGSNVEFVGNSYKGEAMAAQLCTYALGVLDKATQTLKIMPIAGNKILRLEPKLRGSLAADKEHANFENGEITAEQRAARIRQLNVQYGPKKSIIQDKKMQALRKGDDPLSQKDLGRKIENAPINKDALESTSGHSARNIPPYNSSAITPQEAYPLDGIILTGEWDFLEDIYKILQVGGEVASNAYPTFVCNRIQKLREIQDEVEKKRLSCIFSYITHLLKFKDQHSMDGAASARNHQFPSIIRQKFFEMFKPETRRLSVDKIDLLISYVLVLTLYADDFRTFPADVSNDLRMNPATVKSHYRNLGCKMEREKNKYLVTLSVPLEFPQPKRKFRNR >Potri.004G129800.1.v4.1 pep chromosome:Pop_tri_v4:4:14512515:14515213:1 gene:Potri.004G129800.v4.1 transcript:Potri.004G129800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129800.v4.1 MATIFQALGGAAAAAAASLTNSFDSKKLLLPSSRRSLAERKASSFLVVRSDGSLNLNLGSSNGRARTVDKLITNAVATKADTSAASSASKPGHELLLFEALREGLEEEMDRDLHVCVMGEDVGHYGGSYKVTKGLAEKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHMIGNSVKKTHRVMIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQKLQ >Potri.001G230600.1.v4.1 pep chromosome:Pop_tri_v4:1:25000654:25002016:1 gene:Potri.001G230600.v4.1 transcript:Potri.001G230600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230600.v4.1 MANSIQTSTTDTATKHPSAKRRKLTTDQHQNHQEQPLIPGLTDHVAQLSLSLVHPSTLYPVCHSWRRLIYSLSFPPFLSLYAVLSSTNINHRLSNNNPIQSFNFDPISSKWDSLPPPPPDPPLHLLLRHPCFISRDLPIQSISACGRLILIAATSHNFSPALSRPLVFNPLSGVWGFGPPLTTPRRWCAAGSAKDTVYVASGIGSQFNTDVSKSVEKWDLQSKNVGISTIANKTITWKWEKVKGLKDGRFCRDAIDAVGWRGKLCMVNMKGDAPKEGLVYDTEKDAWENMPEGMLAGWRGPVASMDEETMFVVDEARGVLRKYDPEKDYWEHMMESERLVGAQKIAAGGGRVCVIRGCSTEIVVLDVAALPVKLWVVKTPPGFEALAIHILPRMSRPDF >Potri.006G249100.1.v4.1 pep chromosome:Pop_tri_v4:6:24870825:24878881:1 gene:Potri.006G249100.v4.1 transcript:Potri.006G249100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249100.v4.1 MAEETEEAVKTFADLGICEQLVEACERLGWKNPTKIQVEAIPHALEGKDLIALAQTGSGKTAAFALPTLQALLQASVTSVPVFYACVLSPTRELAIQIAEQFEALGSDIGLRCAVLVGGVDMGLQTIALAKRPHIVVGTPGRLLDHLSNTKGFSLRTLKYLILDEADRLLNEEFEKSLDEILTVIPRDRKTYLFSATMTKKVRKLQRACLRNPVKIEVASKYSVVETLRQQLLFCPAKYKECYLVHALTLKSGASTMVFTRTCDATHFLALVLRNLGLRAIPINGHMSQSKRLGALNKFKAGECNILICTDVASRGLDIPSVDMVVNYDIPTNSKDYIHRVGRTARAGRSGLAISLVNQNEIGWFKQIENLIGIRMSDIRPHQEEIMLLLERVTEAKRISQKQIKEPGGKKRKGRGDEDEEEIDRYISKKDGRFKKKNKR >Potri.009G106700.5.v4.1 pep chromosome:Pop_tri_v4:9:9294544:9301057:-1 gene:Potri.009G106700.v4.1 transcript:Potri.009G106700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106700.v4.1 MVSDSDLVARLREILRSSDLDTATASSIRRQLEEDFGVDLSEKKKFIREQIDTFLETLNKGDGQSWNVTENEDNENDTVEDDENENDGIKEEEEEDSETKESKGSDKTEKVRKRGGFAKLSSLSPQLQAVVGVPELARTEVVKKLWAYIRENNLQDPKNRKKIKCDEALRAVFHVNSIDMFQMNKALSKHIWPLTGENESVKQKEKSKDINDSGSEGDNGGEQEDDEEEVKKESNERSKKGRRSAKVDEDVKKRGGGFTKLCSLSPQLQELVGVPELARTGVVKKLWAYIREKNLQDPKNKRNIICDESLRSIFDVDSIDMFQMNKALTKHIWAVREEDAPSNSSPKKKGTKQGREEGPDEPKQKEKRQKKVPHEPKKKEKQQKKGSS >Potri.014G179400.1.v4.1 pep chromosome:Pop_tri_v4:14:13664095:13667451:1 gene:Potri.014G179400.v4.1 transcript:Potri.014G179400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179400.v4.1 MACLNICKEEGSVQGKLVKKEQEVCTRDGSVDRHGDPAIRGRTGTWFAGILILVNQGLATLAFFGVGVNLVLFLTRVLGQDNAEAANNVSKWTGTVYIFSLLGAFLSDSYWGRYKTCAIFQAIFVTGLVLLSLSSYLFLLKPRGCGDEHSPCGSHSTYQNVFFYFSIYLVALGNGGYQPNIATFGADQFDEEDPKEGHSKIAFFSYFYLALNLGSLFSNTILGYFEDRGMWALGFWASAGSALLALVLFLIGTPRYRHFTPKGNPLSRCCQVMVAATRKWKVQRMPNQGDDQFESDVPKDGSKNGDRKILHTQGFRFLDRAAIITSKDYTENRIHDPWRVCTVNQVEEFKCILRLLPIWLCTILYSVVFTQMASLFVEQGADMKTTISKFHIPPASMSSFDILSVAAFIFIYRRVLDPLVARIRKDPKGLTELQRMGIGLVIAIIAMVSAGIVELFRLKYARKDCPRCESASSLSILWQIPQYVLIGASEVFMYVGQLEFFNGQAPDGLKSFGSALCMTSISLGNYVSSLLVTVVMKISTRDEMPGWIPGNLNKGHLDRFFFLLAVLTTADLVLYIICARWYKYIKFERQQEADSILSNEEADLRV >Potri.006G160500.2.v4.1 pep chromosome:Pop_tri_v4:6:14503784:14509082:-1 gene:Potri.006G160500.v4.1 transcript:Potri.006G160500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160500.v4.1 MGSRDKDQTAPHHQPLLSSLVVRPSVSDGGDAAGGGGRAGGSDYEPGEVRRELPSYSRSDRYSDDPGYRLRAGSGSPVRRRDADRRYSSDFDNSGAPPRGRDFSNGRDRGRFRDSSPPYARGRGGGRPLGRGFDGPGFGPGPLRGEGVSRNNPNVRPREGDWICSDPLCGNLNFARREYCNNCKRPRYRPGGSPRRGYPGPPPPHAPPRRFPGPPLDLSPGRTMNGYRSPPRGWSRDGPRDFGPGGPPPPRQGGRFSDHDMRRERSDYPDDEYRVRNKFDRPMPMDWGHKDRGRDGLFNERKGFERRPPSPPLPPPSLPQRGRWGRDGRDRSRSPIRGAPPPKEFRQDMYMERGRDDRHPVGRDRMRHVY >Potri.006G160500.1.v4.1 pep chromosome:Pop_tri_v4:6:14503786:14509082:-1 gene:Potri.006G160500.v4.1 transcript:Potri.006G160500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160500.v4.1 MQTPYSPTLDISFYTTFYGEMPYLMIDIFAILLNWLFHSFWVKSAASIATLHQQNFSLVFGGCSSLDFAGFFPGYRLRAGSGSPVRRRDADRRYSSDFDNSGAPPRGRDFSNGRDRGRFRDSSPPYARGRGGGRPLGRGFDGPGFGPGPLRGEGVSRNNPNVRPREGDWICSDPLCGNLNFARREYCNNCKRPRYRPGGSPRRGYPGPPPPHAPPRRFPGPPLDLSPGRTMNGYRSPPRGWSRDGPRDFGPGGPPPPRQGGRFSDHDMRRERSDYPDDEYRVRNKFDRPMPMDWGHKDRGRDGLFNERKGFERRPPSPPLPPPSLPQRGRWGRDGRDRSRSPIRGAPPPKEFRQDMYMERGRDDRHPVGRDRMRHVY >Potri.005G184200.1.v4.1 pep chromosome:Pop_tri_v4:5:19098111:19100236:1 gene:Potri.005G184200.v4.1 transcript:Potri.005G184200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184200.v4.1 MPRRFVWPKQDLVGAHQELTEPVVDLEGFFRGDEEAIKQASDIIKAACLQHGFFQVINHGVDLNLISLAHDHMHNFFKLPTCDKLRVRRMPGSIWGYSSGHADRYLSKLPWKETLSFGYNENCPNPIGIDFFKSTLGKDFEQTGLVYLKYCEAMKGLSLSIMELLAISLGVDRGYYKSFFEDGCSIMRCNFYPPCQEPGLILGTGPHRDPTSLTILHQDQVGGLEVFSDNVWQTVRPRQSALVINIGDTFMALSNGTYKSCLHRAVVNKYEERRSLAFFLCPKEDKVVRPPLDLVCNQGRRMYPDFTWSDLLHFTQKYYRADDATLQNFTKWIISPKSASL >Potri.006G009500.1.v4.1 pep chromosome:Pop_tri_v4:6:633814:634385:-1 gene:Potri.006G009500.v4.1 transcript:Potri.006G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009500.v4.1 MEEKKGFAFPVVLMMLLMFSFMISPALASCSSGGNGTKGSGGTKPSDGSGCKDCVLDQMKYGCPTCVPILHCMARCLWGGSSRSKCITRCDCDGGKPTLSDCKKCMSRCKCSCAAMA >Potri.001G101600.1.v4.1 pep chromosome:Pop_tri_v4:1:8194610:8198876:1 gene:Potri.001G101600.v4.1 transcript:Potri.001G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101600.v4.1 MLETELCSSRILSPFREESGDEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGSEVKLQRNALSVLEHPTGNEVDDDNDFDTSSGSDIGEHDFYRGSEFHKISKPRVRPTRPWVPSAPVKSTNRNSYRDVQSIIHTPLPTVNLARLGTDSLRRYCKEFKLPGVNSESSRERILNAAQIHFPSQRPLNEVQVVAEFTRVAKRLKEKDGPSD >Potri.001G101600.4.v4.1 pep chromosome:Pop_tri_v4:1:8195118:8198376:1 gene:Potri.001G101600.v4.1 transcript:Potri.001G101600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101600.v4.1 MLETELCSSRILSPFREESGDEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLKNGSEVKLQRNALSVLEHPTGNEVDDDNDFDTSSGSDIGEHDFYRGSEFHKISKPRVRPTRPWVPSAPVKSTNRNSYRDVQSIIHTPLPTVNLARLGTDSLRRYCKEFKLPGVNSESSRERILNAAQIHFPSQRPLNEVQVVAEFTRVAKRLKEKDGPSD >Potri.019G027000.1.v4.1 pep chromosome:Pop_tri_v4:19:3953712:3955249:1 gene:Potri.019G027000.v4.1 transcript:Potri.019G027000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G027000.v4.1 MEEIRRAAGAYYENLPDEEKRNARFSFNEMDKNKDGKINLDEYVAYLKKDNNTVLPSLFTELDKDGNGTLDFDEAIVLYYIMQSGRAIICQSCKTFLAGAYFTCSQCFFNDDDSVSTFDVCCDCYGGKKFRHNDGHIFCDNYTLLCRSRSATQAAPIQKRTKVLNILKKGLQVAGITSSDLEGISTDIGDGIGDGEGSSKSNCSIM >Potri.002G049300.1.v4.1 pep chromosome:Pop_tri_v4:2:3272413:3276025:-1 gene:Potri.002G049300.v4.1 transcript:Potri.002G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049300.v4.1 MEEAGVLELYELHYSDLLLLSSTSPVPEEGEERAERIKKTIMETLGPTGPGLLSITGVPKASILRQRLLPLASKLALLDHDRRKHILKEHNMGSDVPLKNPDRNVSSFAMQLKYAQALESAPGKTNNRARSNSNLESAHLDDNDDEVTDSPEDEFANLSDIFRELGYCMMELGLRVAQICDMAIGGQELERSLLESGTAKGRLIHYHSSLDNLLIKASGRRKGSTKMQAYCEKNQVLLSRSEQKQSERCNLVANVNEVGSSGNQGNLWQQWHYDYGIFTVLTAPMFLLPSQLSENTATDQFPVFCDKDCPCPTGHSYLQIFDANTNDVLMVKTSSESFIIQVGESADILSRGKLRSTLHCVCRPPNLENLSRETFVVFLQPAWSKTFSMSDYNVQHNMLGRHSSNEGNGLSEHDFNEVAREIHKIVPPLSSRLKDGMTFAEFSRETTKQYYGGSGLQSNS >Potri.002G049300.2.v4.1 pep chromosome:Pop_tri_v4:2:3273845:3275144:-1 gene:Potri.002G049300.v4.1 transcript:Potri.002G049300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049300.v4.1 MGSDVPLKNPDRNVSSFAMQLKYAQALESAPGKTNNRARSNSNLESAHLDDNDDEVTDSPEDEFANLSDIFRELGYCMMELGLRVAQICDMAIGGQELERSLLESGTAKGRLIHYHSSLDNLLIKASGRRKGSTKMQAYCEKNQVLLSRSEQKQSERCNLVANVNEVGSSGNQGNLWQQWHYDYGIFTVLTAPMFLLPSQLSENTATDQFPVFCDKDCPCPTGHSYLQIFDANTNDVLMVKTSSESFIIQVGESADILSRGKLRSTLHCVCRPPNLENLSRETFVVFLQPAWSKTFSMSDYNVQHNMLGRHSSNEGNGLSEHDFNEVAREIHKIVPPLSSRLKDGMTFAEFSRETTKQYYGGSGLQSNS >Potri.003G187700.1.v4.1 pep chromosome:Pop_tri_v4:3:19239988:19242067:-1 gene:Potri.003G187700.v4.1 transcript:Potri.003G187700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G187700.v4.1 MAQERISSFDLDFVDDFYFSALFDEEQECGGGGEIFEVSDDRYAEELQFQEALMGSVIVSRMKNNGPISSMMIEGTPVLLRISDQPMHMEINQSGAVESSLRFCDICAERKQNDQMFKTESCVHSFCNDCISRYVAAKVQDGTRIVTCPGLNCRAVLDLVTCRPILTSVVIDLWEDALCEEVINVSQRFYCPFKDCSALLIDDNEGEAIIESECPFCHRLFCALCSVPWHSGIECEEFQRLNEDERGREDLMLRELAKDKKWSRCPQCKFYVERTEGCPHMICRCGLQFCYGCGAEWIGDHGGCARE >Potri.006G022600.1.v4.1 pep chromosome:Pop_tri_v4:6:1534091:1536460:-1 gene:Potri.006G022600.v4.1 transcript:Potri.006G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022600.v4.1 MFRKILADKPHVICIPCPAQSHVKAMLKLAKLLHYRGFRITFVNTEFNHRRMLKSRGPYSLNGLPDFRFESIPDGLPPSDENATQDTQAILEACKKNLLAPFNELLAKLNHTASADVPQVTCIVSDGFVPAAITAAERHGIPVALFFSISACTFMGLKQYKELKERGLFPLKDESFLTNGYLDQVLDWIPGMKDIRLRDLPSFLRTTDPDDYHFNFCMECAERASEGSAVIFHTFDALEKEVLSALYSMFPRVYTIGPLQLLLNQMKEDDLDSIGYNLWKEEVECLQWLDSKKPNSVIYVNFGSIAVATKQQLIELGMGLAKSGHPFLWIIRPDMVTGDSAILPPEFTDEAKDRGFISSWCPQEEVLNHPSIGGFLTHSGWNSTAESISSGVPMLCLPFFGDQQTNCRYTCNEWGVGMEIDSNAERDKVEKLVRELMEGEKGREVKKKVMQWKILAEEAAGPSGSSSMNLDELVKGVLLKLE >Potri.016G138700.1.v4.1 pep chromosome:Pop_tri_v4:16:14199512:14207087:1 gene:Potri.016G138700.v4.1 transcript:Potri.016G138700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138700.v4.1 MRSMDKCFCASVLVLLCLLSSIQVIFAANYVPTEKTLLDCGANSDLPDSDGRGWTSDKGSSFLSSSGKSSTATASTQDPAVPQVPYLTARIFQSSFTYSFPVVSGHKFVRLYFYPSSYNGLNASDALFSVTAGSYTLLSNFSVAQTTDALNYVSIMKEYLINVNDDTLNITFSPSSNPSSAYAFVNGIEIVSMPDIYSNANGVMIVGQGVPYVIDNTTALENVYRLNVGGNSITPSGDTGLFRSWSDDQIYLYGSAFGVPESADPNVKIRYPPGMPSYVAPDNVYLTARSMGPAPNVNLNYNLTWIFSVDSGFNYLVRLHFCEISNITKINQRVFDIFLNNQTVEEAADVIAWAGGNGNNGVPVIKDYVVLVPTGPPQQDMWLALHPDLKAKPQYYDSILNGVEIFKLSSPNGNLAGPNPIPAPEQDIIDPSRARPASGSGHSKSQTAIIAGGVSGGVVLAVVIGFCVLAASRRHRQGKEASSSDGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKSATNNFDEALLLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDYMAHGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQILDPYLKGKITPECFKKFAETAMKCVSDQSIDRPSMGDVLWNLEFALQLQESAEDGGKGIVGADDEEVPFNVTYKGKAPDASPGYDGIVTDSRSSGISMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >Potri.016G138700.2.v4.1 pep chromosome:Pop_tri_v4:16:14203573:14207183:1 gene:Potri.016G138700.v4.1 transcript:Potri.016G138700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138700.v4.1 MPSYVAPDNVYLTARSMGPAPNVNLNYNLTWIFSVDSGFNYLVRLHFCEISNITKINQRVFDIFLNNQTVEEAADVIAWAGGNGNNGVPVIKDYVVLVPTGPPQQDMWLALHPDLKAKPQYYDSILNGVEIFKLSSPNGNLAGPNPIPAPEQDIIDPSRARPASGSGHSKSQTAIIAGGVSGGVVLAVVIGFCVLAASRRHRQGKEASSSDGPSGWLPLSLYGNSHSAGSAKTNTTGSYASSLPSNLCRHFSFAEIKSATNNFDEALLLGVGGFGKVYKGEIDGGTTKVAIKRGNPLSEQGVHEFQTEIEMLSKLRHRHLVSLIGYCEENTEMILVYDYMAHGTLREHLYKTQKPPLPWKQRLEICIGAARGLHYLHTGAKHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPTLDHTHVSTVVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEILCARPALNPTLPKEQVSLAEWAAHCHKKGILDQILDPYLKGKITPECFKKFAETAMKCVSDQSIDRPSMGDVLWNLEFALQLQESAEDGGKGIVGADDEEVPFNVTYKGKAPDASPGYDGIVTDSRSSGISMSIGGRSLASEDSDGLTPSAVFSQIMNPKGR >Potri.002G256500.1.v4.1 pep chromosome:Pop_tri_v4:2:24530828:24534699:1 gene:Potri.002G256500.v4.1 transcript:Potri.002G256500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256500.v4.1 MDKNNLRLQVLVLLFYCCVGIGSAVVVEKNVFGDEVSALLSLKAGLLDPSNSLRDWKLSNSSAHCNWAGVWCNSNGAVEKLDLSHMNLTGHVSDDIQRLESLTSLNLCCNGFSSSLTKAISNLTSLKDIDVSQNLFIGSFPVGLGRAAGLTLLNASSNNFSGIIPEDLGNATSLETLDLRGSFFEGSIPKSFRNLRKLKFLGLSGNSLTGQLPAELGLLSSLEKIIIGYNEFEGGIPAEFGNLTNLKYLDLAIGNLSGEIPAELGRLKALETVFLYQNNLEGKLPAAIGNITSLQLLDLSDNNLSGEIPAEIVNLKNLQLLNLMSNQLSGSIPAGVGGLTQLSVLELWSNSLSGPLPRDLGKNSPLQWLDVSSNSLSGEIPASLCNGGNLTKLILFNNSFSGPIPDSLSTCFSLVRVRMQNNFLSGAIPVGLGKLGKLQRLELANNSLTGQIPIDLAFSSSLSFIDISRNRLRSSLPSTVLSIQNLQTFMASNNNLEGEIPDQFQDRPSLSALDLSSNHFSGSIPASIASCEKLVNLNLKNNRLTGEIPKAVAMMPALAVLDLSNNSLTGGLPENFGSSPALEMLNVSYNKLQGPVPANGVLRAINPDDLVGNVGLCGGVLPPCSHSLLNASGQRNVHTKRIVAGWLIGISSVFAVGIALVGAQLLYKRWYSNGSCFEKSYEMGSGEWPWRLMAYQRLGFTSSDILACLKESNVIGMGATGTVYKAEVPRSNTVVAVKKLWRSGADIETGSSSDFVGEVNLLGKLRHRNIVRLLGFLHNDSDMMILYEYMHNGSLGEVLHGKQAGRLLVDWVSRYNIALGVAQGLAYLHHDCRPPVIHRDIKSNNILLDTDLEARIADFGLARVMIRKNETVSMVAGSYGYIAPEYGYTLKVDEKIDIYSYGVVLLELLTGKRPLDPEFGESVDIVEWIRRKIRDNRSLEEALDQNVGNCKHVQEEMLLVLRIALLCTAKLPKDRPSMRDVITMLGEAKPRRKSSSNSSGYDSNKDKPVFNTSPVNGLV >Potri.001G010200.1.v4.1 pep chromosome:Pop_tri_v4:1:671354:673780:-1 gene:Potri.001G010200.v4.1 transcript:Potri.001G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010200.v4.1 MEGNSGSGPKTPLLMGEGRRSRRLSRRNSVNSLRSNFVAKLPDKVRSGLDTESPYQINLSKTTGLTEGEKEYYEKQMDTLKSFEDVDILMGNDKDNEDDDEEQARHEKAMKISNYANIVLLAFKIYATIKTGSLAIAASTLDSLLDLMAGGILWFTHLSMKKINIYKYPIGKLRVQPVGIVIFAAIMATLGFQILIQAVEQLIQHKPTEKMSSNQLLWLYAIMLSATAVKLALWLYCRSSRNEIVRAYAKDHYFDVVTNIVGLIAAVLGNKFYWWIDPAGAILLAVYTIINWSGTVVENAVSLVGQSAPPEFLQKLTYLVIRHPLVQRIEMIRAYTFGVLYFVEVDIELPEELPLKEAHVIGETLQNKIEKLTEVERAFVHLDFECDHKPEHIVLSKLPGNDP >Potri.012G047600.1.v4.1 pep chromosome:Pop_tri_v4:12:4410391:4412429:-1 gene:Potri.012G047600.v4.1 transcript:Potri.012G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047600.v4.1 MREILHIQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGNSELQLERVNVYYNEVSNGRYVPRGVLMDLEPGTMDSIRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISGTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYLSITVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINAQNKNSSYFVEWIPNNVKSSVCDIPPNGLSMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATAEEEIEYEEDDGVEN >Potri.007G142000.1.v4.1 pep chromosome:Pop_tri_v4:7:15151677:15157910:-1 gene:Potri.007G142000.v4.1 transcript:Potri.007G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142000.v4.1 MAARAIFIRNKLASYYFNPSSTRSLQSFQSIADALHSSDSRCFSCPASYHFNSDDRLRETVKKAECFGFSGVRNVGYCFNGSLVGPRFVDGRFRLGDGILVRYASTVAVKRLPPEYDSDDEEGKEMVGKKRKEASADECDQAVEGLSSAKAKAKAKRLNESMKAEKSVLQRTWAALLGLGPALRAVASMSREDWAKKLVHWKHEIVSTLQHYWLGFKLLWADVRICSRLLLKLAGGKSLSRRERQQLTRTTADIFRLVPFAVFIIVPFMEFLLPVFLKLFPNMLPSTFQDKMKEQEALKRRLNARIEYAKFLQDTVKEMAKEVQNSRSGEIKKTAEDLDDFLNNVRRGSIVSNDEILGFAKLFNDELTLDNISRPRLVNMCKYMGISPFGTDAYLRYMLRKRLQRIKNDDRLIQAEGVESLSEAELREDCRERGMLGLLSVEEMRQQLHDWLDLSLNHSVPSSLLILSRAFTVSGKLKPEEAVRATLSSLPDEVVDTVGVTALPSEDSVSERRRKLEYLEMQEEMIKEEEEDEEEERARMKESKVSEEDVALKEMTLSTAREAQEMARASTLEKQEHLCELSRALAVLASASSVSREREEFLGLVNKEIELYNSMVEKEGNDGEKEAVKAYRAAREETDRASEADERDEVSSALIERVDGMLQNLEKEIDDVDAKIGDHWRILDRDYDGKVTPEEVAAAAMYLKDTLGKEGIQELISNLSKDRDGKILVEDIVKLGSWTEDAKATEEREM >Potri.015G089700.1.v4.1 pep chromosome:Pop_tri_v4:15:11310800:11312681:1 gene:Potri.015G089700.v4.1 transcript:Potri.015G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089700.v4.1 MVAPSTYFCFVVFFFCSSNFALNSAVQTTSPFKKIYAFGDSFTDTGNTRSASGPSGFGHVSSRPYGSTFFHHPTNRYSDGRLVIDFVTETLSLPYLPPYRGHKGNAPHGINFAVAGSTAINHAFFVKNNLTLDMTPQSIQTQMIWLNKFLESQGCKGAVSSSPECKAVFDDALIWVGEIGVNDYAYTVGSSVSSDTIRKLAISSVTGFLQTLLKKGVKHVVVQGLPPTGCLPLAMVLASEDDRDDLGCVKSANNQSYTHNVVYQKTVQDLRKQFPDAVIAYLDYWNAYATVMKNPKKYGFKEPFMACCGSGGPPYNFEVFSTCGTSHASACSNPSQYINWDGVHLTEAMYKALSHMFLSGTFSHPPFGSLMDRKQHV >Potri.002G145900.1.v4.1 pep chromosome:Pop_tri_v4:2:11002316:11005957:-1 gene:Potri.002G145900.v4.1 transcript:Potri.002G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145900.v4.1 MLPTGLKDNQPRESNNQKVHPQPMEDSANQNPEALEALISKIFTNISSLKSAYIQLQSAHTPYDPDKIQAADKDVISELKNLSELKHFYRENNPKPICVSPQDSRLAAEIQEQQSLLKTYEVMVKKFQSEIQNKDSEILQLQQMIEEANQKRAKLEKNLKLRGLSTKESEGSGDESGFYSVDLTPDLFISAVETAFKAIHDFSKPLINMMKAAGWDLDAAANSIESNVVYAKRAHKKYAFESHICQRMFSGFQHENFSIKVDSGAVSKETFFHQFLSMREMDPLDMLGQNPDSAFGKFCRSKYLVVVHPKMEASFFGNLDQRNYINGGGHPRTPFYQVFLKLAKSIWLLHRLAYSFDPNVKVFQVKRGNEFSEVYMESVVKNLILDENDPKPRVGLMVMPGFWIGGSVIQSRVYLSGVKVAE >Potri.012G043900.1.v4.1 pep chromosome:Pop_tri_v4:12:3942272:3945462:1 gene:Potri.012G043900.v4.1 transcript:Potri.012G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043900.v4.1 MSLLSDLINLDLSDTTDKIIAEYIWIGGSGMDLRSKARTLNGVVSDPHKLPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRRGNNILVMCDAYTPAGEPIPTNKRYNAAKIFSHPDVVAEVPWYGIEQEYTLLQKDLKWPIGWPVGGYPGPQGPYYCGAGADKAFGRDVVDSHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDELWVARYILERITEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNDGGFEVIKKAIGKLQLKHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASVRVGRDTEKAGKGYFEDRRPASNMDPYVVTSMIADTTILWKP >Potri.011G051712.2.v4.1 pep chromosome:Pop_tri_v4:11:4127232:4128490:-1 gene:Potri.011G051712.v4.1 transcript:Potri.011G051712.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051712.v4.1 MQRETNGGPLAHFQTLALQNKPTPYSSPPMHRPHHGRTPEAASEAIGLSPLTRFLHHSPESYLHSLSCDELVMFRICISIHPLHTRGLHGVSFEATCYPSAQNIFIHPFSYQIYHLSFRFFVKLEEQITSARSPIFTKIVSNQWPYRIT >Potri.011G051712.1.v4.1 pep chromosome:Pop_tri_v4:11:4127232:4128247:-1 gene:Potri.011G051712.v4.1 transcript:Potri.011G051712.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051712.v4.1 MIKRIFLFLFPFLFNVGHISIQNHGHSSSSLILHKRRRKEMQRETNGGPLAHFQTLALQNKPTPYSSPPMHRPHHGRTPEAASEAIGLSPLTRFLHHSPESYLHSLSCDELVMFRICISIHPLHTRGLHGVSFEATCYPSAQNIFIHPFSYQIYHLSFRFFVKLEEQITSARSPIFTKIVSNQWPYRIT >Potri.017G046301.1.v4.1 pep chromosome:Pop_tri_v4:17:3286650:3287511:-1 gene:Potri.017G046301.v4.1 transcript:Potri.017G046301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G046301.v4.1 MASSKALLVLGVFMLLMTTFVAGDVVNTIRDKPPYISYEGLGCDPKKNPRCRLNPDPAPSGRGCKAEHRCREG >Potri.003G127400.1.v4.1 pep chromosome:Pop_tri_v4:3:14658741:14665363:1 gene:Potri.003G127400.v4.1 transcript:Potri.003G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127400.v4.1 MGNRRFAQVSTSDEEEEAPPKAPSLLTKTKPVNNSEMERKRKKIELQEEEEVAVTRRRGRPRKKVREEKSEEEVEVEEVPQEDAKPAGVLTRVSGKGRGRRTHFDAFEFDGNRYELEDPVLLVPEDKEQKPYVAIIKDISQTKHGSMMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHHDEVPAESVMHKCVVHFVPIHKQLPNRKQYPGFIVQKVYDTVERKLWKLTDKDYEYNKQHGIDLLVQKTLSRMGDLPDIEIEDAPTAAPEQEDPVKAKRTLRRKNVSPLDVTREEEATGRPDNLKAETPGSCPSNDSEYHAILVKFDALTGDTLRDKWLERLLQSIQYMCSSPNCTLDDGKLKGGSDGGDHKKEQKSQGAANGSEENSAKVGKSFPWLDAAVPAVSALEKASHDALSSDFQKYNQKLRQLAFNLKNNAFLARRLLNKELEPSKMLNMSPNELKEGLTAEETAKKEPDESERMQMTDARCSRCSEFKVRLRDVIQAGHGARYQLECIACGNSWYASRDEVSMLLIDTPNSARSVGTAPWATAKFDEVEKKLVSPCESDKATEFLKKTSEPYMPVLENQRSFSKVKVEESSETQKNAE >Potri.003G127400.2.v4.1 pep chromosome:Pop_tri_v4:3:14658791:14665308:1 gene:Potri.003G127400.v4.1 transcript:Potri.003G127400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127400.v4.1 MGNRRFAQVSTSDEEEEAPPKAPSLLTKTKPVNNSEMERKRKKIELQEEEEVAVTRRRGRPRKKVREEKSEEEVEVEEVPQEDAKPAGVLTRVSGKGRGRRTHFDAFEFDGNRYELEDPVLLVPEDKEQKPYVAIIKDISQTKHGSMMVTGQWFYRPEEAERKGGGSWQSRDTRELFYSFHHDEVPAESVMHKCVVHFVPIHKQLPNRKQYPGFIVQKVYDTVERKLWKLTDKDYEYNKQHGIDLLVQKTLSRMGDLPDIEIEDAPTAAPEQEDPVKAKRTLRRKNVSPLDVTREEEATGRPDNLKAETPGSCPSNDSEYHAILVKFDALTGDTLRDKWLERLLQSIQYMCSSPNCTLDDGKLKGGSDGGDHKKEQKSQGAANGSEENSAKVGKSFPWLDAAVPAVSALEKASHDALSSDFQKYNQKLRQLAFNLKNNAFLARRLLNKELEPSKMLNMSPNELKEGLTAEETAKKEPDESERMQMTDARCSRCSEFKVRLRDVIQAGHGARYQVFFTPEPFFLLAIIF >Potri.001G020140.1.v4.1 pep chromosome:Pop_tri_v4:1:1540610:1541904:1 gene:Potri.001G020140.v4.1 transcript:Potri.001G020140.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020140.v4.1 MGTWGRPGQWPMQLVYAVAFCLVATSVVAYQPYIYASPPPPHHPIIYKHPSYKLPSIPKHVAHLPHYYKPHLPKHEKSPSYQYKSPPPPPKHVVPLSYYYKSPPPPKYVTHSPYIYKSPPQPKQSKHTPKYYYKSPPPPPKHVVSSPYYYKSPPPPKYIKHTPYFYKSPPPSSPKHHQAPHYYYKSSRSPLKSPPPSYYYKSPPPPSSSPPPPYYYKSPPPPSSSPPPPYYYKSPPPPSQSPPPSYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPLPPSLSPPPPFYYK >Potri.019G118900.1.v4.1 pep chromosome:Pop_tri_v4:19:14492430:14494453:-1 gene:Potri.019G118900.v4.1 transcript:Potri.019G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118900.v4.1 MARTPCCDKTELKKGAWTPEEDMKLMAYVTRYGSWNWRQLPKYAGLQRCGKSCRLRWLNYLRPNIKRGNYTKEEEEIIISLHETLGNRWSAIAAQLPGRTDNEIKNHWHTNLKKRLSEKSVEATRSCSDDDKGQEKDTGETEVNKQIPANSAQIIESSSSALSPQASSGDFSTTDNIAATNMDLVSDDDLSFLEAYEIPSGNFWTEPFLSDDYFMPNDFLAPLVNPDSPIFDGEISSPFAFINTEDYNLY >Potri.008G121800.6.v4.1 pep chromosome:Pop_tri_v4:8:7916259:7918691:-1 gene:Potri.008G121800.v4.1 transcript:Potri.008G121800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121800.v4.1 MNKENGRANMKVMVVIDESECSYRALMWVLDNLKESIKNLPLVIFAAQPPPKCNYVVSSAFGPACICPLSATMDLFNSVQQQNKKVALGILEKAKRICASKGGSSLSFVFSGNCGGNY >Potri.008G121800.2.v4.1 pep chromosome:Pop_tri_v4:8:7916259:7918691:-1 gene:Potri.008G121800.v4.1 transcript:Potri.008G121800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121800.v4.1 MNKENGRANMKVMVVIDESECSYRALMWVLDNLKESIKNLPLVIFAAQPPPKCNYVVSSAFGPACICPLSATMDLFNSVQQQNKKVALGILEKAKRICASKGGSSLSFVFSGNCGGNY >Potri.008G121800.7.v4.1 pep chromosome:Pop_tri_v4:8:7916259:7918691:-1 gene:Potri.008G121800.v4.1 transcript:Potri.008G121800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121800.v4.1 MNKENGRANMKVMVVIDETQPPPKCNYVVSSAFGPACICPLSATMDLFNSVQQQNKKVALGILEKAKRICASKGGSSLSFVFSGNCGGNY >Potri.008G121800.4.v4.1 pep chromosome:Pop_tri_v4:8:7916259:7918691:-1 gene:Potri.008G121800.v4.1 transcript:Potri.008G121800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121800.v4.1 MNKENGRANMKVMVVIDESECSYRALMWVLDNLKESIKNLPLVIFAAQPPPKCNYVVSSAFGPACICPLSATMDLFNSVQQQNKKVALGILEKAKRICASKGVTVEAITEAGYPKEVICDAVQKCGVSLLVIGDEANGNIKRLVSYLSYFG >Potri.008G121800.1.v4.1 pep chromosome:Pop_tri_v4:8:7916259:7918691:-1 gene:Potri.008G121800.v4.1 transcript:Potri.008G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121800.v4.1 MNKENGRANMKVMVVIDESECSYRALMWVLDNLKESIKNLPLVIFAAQPPPKCNYVVSSAFGPACICPLSATMDLFNSVQQQNKKVALGILEKAKRICASKGVTVEAITEAGYPKEVICDAVQKCGVSLLVIGDEANGNIKRALLGSVSSYCVQNAKCQVLLVRNKA >Potri.008G121800.5.v4.1 pep chromosome:Pop_tri_v4:8:7916259:7918691:-1 gene:Potri.008G121800.v4.1 transcript:Potri.008G121800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121800.v4.1 MNKENGRANMKVMVVIDETQPPPKCNYVVSSAFGPACICPLSATMDLFNSVQQQNKKVALGILEKAKRICASKGVTVEAITEAGYPKEVICDAVQKCGVSLLVIGDEANGNIKRLVSYLSYFG >Potri.002G060700.1.v4.1 pep chromosome:Pop_tri_v4:2:4188738:4190878:-1 gene:Potri.002G060700.v4.1 transcript:Potri.002G060700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060700.v4.1 MTIQICSLLFWALFCSTAMLLAIFLKHRKGCGKSDGKLPPGEMGLPWIGETIEFYRSQRDNQLFEEFVQPRITKYGKIFKTRLMGSPTIIVNGAEANRFFLSNEFKLVVSSWPTASVQLMGINSIMEKQGEKHRCLRGIIATSLGPAGLEILVPKICDSVQLYLDKNWNVREEISLYHSTKALTFTIVLECLLGLNFEPGTLNTFERVLEGVFAPPISFPGSKFSRAKKARREIKEMLIKVVREKRKKMESGLGGDEGMLFSQLVSGMIRGEISEEEVVDNVVLLVFAAHDTTSFAIAMTFKMLAEHPDCHSLLLQEHDDIMNKRRSGENLTMEDTKKMKYTWQVARESMRLFPPIFGSFRKAIADIEYEGFTIPKGWKVLWTTYGTHYNEEYFKDPLTFNPRRFEEPIPPYAYLPFGGGPRLCAGNQLAKLNILIFIHYVVTRYNWSLLCPGEQITMDPLPFPSHGMPIKVSLKSS >Potri.010G255300.1.v4.1 pep chromosome:Pop_tri_v4:10:22603942:22606335:1 gene:Potri.010G255300.v4.1 transcript:Potri.010G255300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255300.v4.1 MPVSLLQMPGMGLGLGLGLRWGWGSRPRNINNNCDTTTTIKKKKLLVVASSDDGIGEKKTKRDDNGGSLVLSGTTARGRRLLKVREDKRKREYDRLHNYPAWAKVLEDACKSDEELRALLGDSIGNPELMRQRVEDRVRKKGRSNFHKSKTGSVVSFKVSFRDFNPIDSYIWFEFYGSPSDQDVDIIGTVIQSWYLMGRLGAFNSSNLQLANSSMEYDPLYDADKGFKVMPSSFHDISDVEFQDNWGRVWVDLGTSDFFAIDVLLNCLTVLSSEYLGIQQVVFGGRRIGDWEEGMTNPEYGYKYFKV >Potri.015G029400.1.v4.1 pep chromosome:Pop_tri_v4:15:2271268:2273628:1 gene:Potri.015G029400.v4.1 transcript:Potri.015G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029400.v4.1 MEEAAQNLGHGNKQLAERVASSTTRNLELTVEAVVEEYVGSMGWSQFLHVFLVSIAWVFDSQNTLVTIFSDAQPTSWRCINNSSSSLCMSSSSRGDVSSVCGLTPGTWEWVGGHESSIVAEWGLICDRRFLAAIPASLFFIGSLFGSAFFGCLADASLGRKRTVLLSCILSSATAFLTSLSPNIWIYALLRFANGFSRSGIGICCIVLATEVVGRKWRGPVSQYGFFFFTAGFFSLPLIAYPTRANWRNLYRIISLLPLSYSVLFFPFVSESPRWLLVRGRSKEALDVLKTFARRNGKTLPENLSLLNPSEPKEGGENEALTKTCTKESLWRTKWAAKRMITVMIAGFGVGFVYYGVQLNVENLNFNLYFSVGINALMEIPAIVFGTILLGLTSRRLLFSLSAFLAGISCLLCIIFAHGKHVKKAEKSSAGSWAQLIIEGIGFMAASIAFDVLYIYCVELFPTNVRNFALSQSRLSLMLGASIAPLLVAAGRLSPSLSFLIFGILSIFSGVVSLWLPETKNAPLYDSLKQQEEEEKHRAAGQGNILA >Potri.014G155401.1.v4.1 pep chromosome:Pop_tri_v4:14:10952633:10954152:1 gene:Potri.014G155401.v4.1 transcript:Potri.014G155401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155401.v4.1 MAPPCQPPPMTKFLTYFIKVHEPAIYVYVALFLCYVIYTLIEYLETGLSIRAWWNNQRMARINTMNAWLFGVISVILKVLRISDTVFEVTLKDQSSNNDGDEGRFTFDASLIFVPGTAVLLLQLTALIMGFQGMQLSVNDGSGLGGILHV >Potri.011G125751.1.v4.1 pep chromosome:Pop_tri_v4:11:15979392:15983663:-1 gene:Potri.011G125751.v4.1 transcript:Potri.011G125751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125751.v4.1 MGVPTEHCILVLLFCSSLLLIVETGTAIDTINTTHSIRDGDTIVSAEGTYVLGFFSPGKSKNRYVGIWYGKIPVVTIVWVANRETPLNDSSGVLRLTDLGILAILNQNGTIIWSSNSSRSASNPAAQLLDSGNLVVKEEGDSLENSLWQSFEHPTDTILPGMKLGRNRITGMEWYMTSWKSPDDPSRGNFTSILIPYGYPELVLKQGSKMKYRSGPWDGLRFSGIPNLKPNPVFKFEFVISEEEIFYRESLVDKSMLWRFMTDQNGDIPSLAWIERTQSWLLYDTANTDNCDRYALCGANGLCNIHSSPVCECLDGFVPKVPTDWAVTVWSSGCVRRTPLNCSGDGFRKLSGVKMPETKASWFDKSLDLEECKNTCLKNCSCTAYSNMDIRAGGSGCLLWFGDLIDNRRFSENEQNIYIRMAASELDNGDGAEINANSNVKKIIIISTLSTGIFLLGLVLVLYVWRRKHQKKGKSTGALERRSNNKHKKEDLKLPVFDLDTLACATDNFSVDNKLGEGGFGSVYKGTLTDGREIAVKRLSKNSRQGIGEYKTEVEYIVKFQHRNLVQLLGCCFEGDEKMLIYEFLPNKSLDFYIFNETEDTLLDWPTRYNIINGIARGLLYLHQDSRLRVIHRDLKASNILLDYELNPKISDFGMARSFRGNEIEANTNKVVGTYGYISPEYATEGLYSLKSDVFSFGVLVLEIVSGYKNRGFSHPEHNLNLLGHAWRLFREGRPMELVRQSIIEACNLSQVLRSIHVALLCVQDNREDRPDMSYVVLMLSNDNTLPQPKHPGFFIERDPAEASSTSEGTANYSANKCSITLLQAR >Potri.018G017701.1.v4.1 pep chromosome:Pop_tri_v4:18:1205187:1211255:1 gene:Potri.018G017701.v4.1 transcript:Potri.018G017701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017701.v4.1 MGIYLSSPKTEKFSEDGENGRLRYGLSSMQGWRATMEDAHAAITDLDATTSFFGVYDGHGGKVVAKFCAKYLHRQVRKNEAYAAGDMGTSVQKAFFRMDEMMRGQRGWRELAALGDKITKFTGMIEGLIWSPRGGVCHEQPDDWAFEEGPHSDFSGPTSGSTACVAIIRNNHLIVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDVEFKQNKYLPVEKQIVTANPDINTLELCDDDDFLVLACDGIWDCMSSQQLVDFIHEQLHSENKLSAVCERVLDRCLAPSTAGGEGCDNMTMIVVQFKKPIGPPASADEQSSQSEPADADSKPEES >Potri.018G017701.2.v4.1 pep chromosome:Pop_tri_v4:18:1206104:1211206:1 gene:Potri.018G017701.v4.1 transcript:Potri.018G017701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017701.v4.1 MGIYLSSPKTEKFSEDGENGRLRYGLSSMQGWRATMEDAHAAITDLDATTSFFGVYDGHGGKVVAKFCAKYLHRQVRKNEAYAAGDMGTSVQKAFFRMDEMMRGQRGWRELAALGDKITKFTGMIEGLIWSPRGGVCHEQPDDWAFEEGPHSDFSGPTSGSTACVAIIRNNHLIVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDVEFKQNKYLPVEKQIVTANPDINTLELCDDDDFLVLACDGIWDCMSSQQLVDFIHEQLHSENKLSAVCERVLDRCLAPSTAGGEGCDNMTMIVVQFKKPIGPPASADEQSSQSEPADADSKPEES >Potri.018G017701.3.v4.1 pep chromosome:Pop_tri_v4:18:1205261:1211149:1 gene:Potri.018G017701.v4.1 transcript:Potri.018G017701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017701.v4.1 MGIYLSSPKTEKFSEDGENGRLRYGLSSMQGWRATMEDAHAAITDLDATTSFFGVYDGHGGKVVAKFCAKYLHRQVRKNEAYAAGDMGTSVQKAFFRMDEMMRGQRGWRELAALGDKITKFTGMIEGLIWSPRGGVCHEQPDDWAFEEGPHSDFSGPTSGSTACVAIIRNNHLIVANAGDSRCVISRKGQAYNLSRDHKPDLEAEKERILKAGGFIHAGRVNGSLNLSRAIGDVEFKQNKYLPVEKQIVTANPDINTLELCDDDDFLVLACDGIWDCMSSQQLVDFIHEQLHSENKLSAVCERVLDRCLAPSTAGGEGCDNMTMIVVQFKKPIGPPASADEQSSQSEPADADSKPEES >Potri.016G023320.1.v4.1 pep chromosome:Pop_tri_v4:16:1298134:1299116:1 gene:Potri.016G023320.v4.1 transcript:Potri.016G023320.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023320.v4.1 MLYIVAESKLLSIIRILNFQRAKSLPCQAEGHRFFDRHCQLNTFQQLLQFSVYNKMLSQAAESEHMLCVVRNSGYLLLEYELAYKPD >Potri.002G071100.3.v4.1 pep chromosome:Pop_tri_v4:2:4992231:4995351:1 gene:Potri.002G071100.v4.1 transcript:Potri.002G071100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071100.v4.1 MTVLRQDIDQNIQRLKMLCDSDPSMYSNLVEILKKEADEGGARKGASCSKASVWLARSLDFTVALLERLVADPGQEMEKLVEESYNITLKPWHGWISSAAYKVALKLVPDNKTLIDLLMPKDETYDTLKEDVQTLISLLVPFLEEIHSVLILYGLDRLKST >Potri.002G071100.4.v4.1 pep chromosome:Pop_tri_v4:2:4992231:4995351:1 gene:Potri.002G071100.v4.1 transcript:Potri.002G071100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071100.v4.1 MKRTREIEKGSEIKSAIEELSMLIKLKPTDKIGPTMTVLRQDIDQNIQRLKMLCDSDPSMYSNLVEILKKEADEGGARKGASCSKASVWLARSLDFTVALLERLVADPGQEMEKLVEESYNITLKPWHGWISSAAYKVALKLVPDNKTLIDLLMPKDETYDTLKEDVQTLISLLVPFLEEIHSVLILYGLDRLKST >Potri.002G071100.1.v4.1 pep chromosome:Pop_tri_v4:2:4992231:4995351:1 gene:Potri.002G071100.v4.1 transcript:Potri.002G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071100.v4.1 MKRTREIEKGSEIKSAIEELSMLIKLKPTGDNHDRTTVHIPTRPFMYVCNLVIQVLDKIGPTMTVLRQDIDQNIQRLKMLCDSDPSMYSNLVEILKKEADEGGARKGASCSKASVWLARSLDFTVALLERLVADPGQEMEKLVEESYNITLKPWHGWISSAAYKVALKLVPDNKTLIDLLMPKDETYDTLKEDVQTLISLLVPFLEEIHSVLILYGLDRLKST >Potri.012G038500.1.v4.1 pep chromosome:Pop_tri_v4:12:3428155:3432501:-1 gene:Potri.012G038500.v4.1 transcript:Potri.012G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038500.v4.1 MEYGSAGGGSGGGGGGDHDSSDPQRRKKRYHRHTAHQIQKLESMFKEFPHPDEKQRLQLSRELGLAPRQIKFWFQNRRTQMKAQHERADNSSLRAENDKIRCENIAIREALKNVICPSCGAPPVTEDSYFDEQKLRIENAQLKEELDRVSSIAAKYIGRPISQLPPVQPVHISSLDLSMGNFGGQGLGGPALDLDLDLDLIPTNSNLAFQPPGISDMDKSLMTDVAANAMEELLRLLQANESLWMKSSTDGRDVLNLDSYQRIFPRAMSHLKNPNVRIESSRDSGVVIMNGVALVDMFMDSNKWVESFPTIVSVAKTIEVISSGMLGNHSGSLQLMYEELQVLSPLVPTREFCILRYCQQIEQGLWAIVSVSYDIPQFASQFQCHRLPSGCLIQDMPNGYSKVIWVEHVEIEDKAPTHQLYRDLIHSGLAFGAERWLATLQRMCERVACQMVSGSSTRDLGGVIPSPEGKRSMMKLGQRMVSSFCSSISTSNSHRWSTLSGLHDVGVRITLHKNTDPGQPNGVVLSAATTFSLPVSPQNVFNFFKDEKTRPQWDVLSSGNAVQEVAHIANGSHPGNCISVLRAYNTSQNNMLILQESCMDSSGSLVVYCPVDLPAINIAMSGEDPSYIPLLPSGFTISPDGYPDQGDGASTSSNTQGRMARSSGSLITVAFQILVSSLPSARLNLESVNTVNSLIGTTIQQIKAALSCPGS >Potri.004G052300.1.v4.1 pep chromosome:Pop_tri_v4:4:4238652:4245903:1 gene:Potri.004G052300.v4.1 transcript:Potri.004G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052300.v4.1 MTDSTITTIAADGSHIEKLYEFGERLSESKDKSQNVKDYQGIMDAAKTSIKAKQLAAQLIPRFFKFFPELSSQAVETQIDLIEQEELAVRVQAIRGLPLFCKDTPEYLSKIVDILVQLLAAEEIVERDAVHKALMSLLRQDVKASLSALFKHIGTVEEPSTDELIREKVLSFVRDKVFPLKAELLRPQEEMERHITDLIKKSSEDVTGAEFRMFMDFLKTLGIFGHKAPSERMKELVEIIEGQADLDSAFDVTHVSDTDHIDRLISCLYMALPFFLRGASSSRFLNYLNKHIVPVFDKLPDERKLDLLKALAEISPFTLPQDSRQILPSVVQLLKKYMPRRKSGEEMNFTYVECLLYAFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSEFYTELTERLSSVEDLTRATMKKLTQGMAEHNKAMAAAKSDEAKDSIKTQKQNTTTGLRTCNNILAMTKPLHSKIPSFIGDKSVNLSWKELTKPSVPSTTIQAGAKRPAAAANGSGNMAKKGRGAGSLPNQLVNRALEGISYGGRGGPRGRGRGRGWGGRGRGRGRGFW >Potri.004G052300.3.v4.1 pep chromosome:Pop_tri_v4:4:4238708:4245887:1 gene:Potri.004G052300.v4.1 transcript:Potri.004G052300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052300.v4.1 MTDSTITTIAADGSHIEKLYEFGERLSESKDKSQNVKDYQGIMDAAKTSIKAKQLAAQLIPRFFKFFPELSSQAVETQIDLIEQEELAVRVQAIRGLPLFCKDTPEYLSKIVDILVQLLAAEEIVERDAVHKALMSLLRQDVKASLSALFKHIGTVEEPSTDELIREKVLSFVRDKVFPLKAELLRPQEEMERHITDLIKKSSEDVTGAEFRMFMDFLKTLGIFGHKAPSERMKELVEIIEGQADLDSAFDVTHVSDTDHIDRLISCLYMALPFFLRGASSSRFLNYLNKHIVPVFDKLPDERKLDLLKALAEISPFTLPQDSRQILPSVVQLLKKYMPRRKSGEEMNFTYVECLLYAFHHLAHKAPNATNSLCGYKIVTGQPSDRLGEDFSEFYTELTERLSSVEDLTRATMKKLTQGMAEHNKAMAAAKSDEAKDSIKTQKQNTTTGLRTCNNILAMTKPLHSKIPSFIGDKSVNLSWKELTKPSVPSTTIQAGAKRPAAAANGSGNMAKKGRGAGSLPNQLVNRALEGISYGGRGGPRGRGRGRGWGGRGRGRGRGFW >Potri.013G031200.1.v4.1 pep chromosome:Pop_tri_v4:13:2022819:2026600:1 gene:Potri.013G031200.v4.1 transcript:Potri.013G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031200.v4.1 MTNLHRKGLVLEIPVSQNPNSTLNEGTFIGSSVYNWLFECHGFLHNAILIVASLAFVLYLAFKAKKSFGKLSNGRSSIMIAYYGILWLVSLLNLAWSCLQAWECTPGKELVWNILSLFTTSGMLFLEVSLIAFLLQGNYVGGLEDLTRPFGLSALIVGLDILLKALYLFGFGIPLFIDSSEHSHHMKWSLWAIHRLVLTAIYGLILFMYHSKWRERLPARPAFYKYIVIMFILNALSLFASALTGHGTGFGYWLYSTTIVCYHAFYLPLLYVTFLADFFQEEDLHLESVYYSEMKDAGFFDDDWD >Potri.001G450700.1.v4.1 pep chromosome:Pop_tri_v4:1:47698798:47699659:-1 gene:Potri.001G450700.v4.1 transcript:Potri.001G450700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450700.v4.1 MGIPSFVAVKMPKYFCFKFLVELLTFIKLVFLLTLTILRMFKPPELLYNSDQDQDTTEGYVLLMDELCPSPIPVPVSTLARLIKKKLKVIAYSSLLERSGKLEDDQESICPVCLDCIEGRDEVREPCNCSHVFHLKCLDSWVDQAHVTCPTCRSMLFPKKVGAAAMYLLAFQDSLTDEQVS >Potri.018G107800.1.v4.1 pep chromosome:Pop_tri_v4:18:12639220:12642258:1 gene:Potri.018G107800.v4.1 transcript:Potri.018G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107800.v4.1 MVMGKAKLSKPLIFQSKLLCISLLYLITTLFLALYTSIYPTKCLFRSSPFDPIQTPFFSYPSSYGEHKYVIPTHRSSCSFPIYFSDYWMVLKEILQLCQNSSVSSHALRYMKGNSKNFGGNFGTQKRISYFDLLNDSVEVPCGFFQRFPVSDSDRMAMESCHGVVVVSAIFNDHDKIRQPKSLGSKTLDNVCFFMFVDDITLKGLDHHEVISRNSHEYNVGVWRIIKVSSKDLYDNPAMNGVIPKYLVHRLFPNSKFSIWVDAKLQLMVDPLILIHALVVSEKVDMAISKHPFFIHTMEEALATARWKKWKDVDGLRNQMETYCENGLQPWTPKKPYPSDVPDSALILRQHGLNSNLFSCLVFNELEAFNPRDQLPFAYVRDRMKPKLKLNMFEVEVFEQVALEYRHNLKKDGTSAGGIPSSKSVSNDLYINSSCCSKCHNYLLEMWGESHN >Potri.004G051500.3.v4.1 pep chromosome:Pop_tri_v4:4:4146159:4147158:1 gene:Potri.004G051500.v4.1 transcript:Potri.004G051500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051500.v4.1 MAQIGKKEVKTPIQCSAAKFYEVYWKEAYLLPTISPRNVKKIELVDGTSSWEDKVGSRKRVHFDEAGLEDFKDEVVEIDPKAKQITYKVLEGNMMLFYHSFQAALEVTEGTAKWTVEFIKKHDSCPNPDHYLHRLDSVNKDVNASLPPKDVNAYLLPIN >Potri.005G047550.1.v4.1 pep chromosome:Pop_tri_v4:5:3010438:3010667:1 gene:Potri.005G047550.v4.1 transcript:Potri.005G047550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047550.v4.1 MQGQIIRQPISEQNEITHRKVRARSRAVSTKRTILSPFTKEYRVRAAISGIRLFLEGSFPCGKYPS >Potri.008G132600.1.v4.1 pep chromosome:Pop_tri_v4:8:8769221:8770298:-1 gene:Potri.008G132600.v4.1 transcript:Potri.008G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132600.v4.1 MPSETPGPLLLFTFFLTTFLLYSQPISTVASPDTGFSQSSGTDYIRSSCGATLYPEICYTSLSRYASAVKQSPSRLARVAIGVSLSRARRLAAYVSNLTRHEDFGGDHRATAAIHDCLSNMGDAVDEMSGSLKQMRKVGAAGLSAESFQFQMSNVQTWMSAALTDEETCTDGFEDVADGAVKTEVCNRVADAKKFTSNALALVNTFAAAGTP >Potri.001G078900.1.v4.1 pep chromosome:Pop_tri_v4:1:6273453:6278122:-1 gene:Potri.001G078900.v4.1 transcript:Potri.001G078900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078900.v4.1 MSMYGRDPWGGSLEINAADSATDDDRSRNLNDLDRAALSRPLDETQQSWLLGPAEQKKKKKYVDLGCIIVSRKIFVWTVGSIVAAALLVGLITVIVEAVPRHRHNHTPADNYTLALHKALMFFNAQKSGKLPKHNNVSWRGSSCLSDGKGKQGSFYKDLVGGYYDAGDAIKFHFPASFAMTMLSWSVIEYSAKYEATGELNHVKEIIKWGADYFLKTFNSSSDTINTMVAQVGTGDTSGGSTTPNDHYCWMRPEDIDYERLVTECSHCSDLAAEMAAALASASIVFKDNKAYSQKLVHGARTLFQFARDQRGRYSERGSEAAIFYNSTSYWDEFVWGGAWLYYATGNNSYLQLATNPGIAKHAGAFWGGPDYGVLSWDNKLAGTQLLLSRLRLFLSPGYPYEEILRTFHNQTSIIMCSYLPIFTKFNRTRGGLIELNHGRPQPLQYVVNAAFLATLYSDYLEAADTPGWYCGPNFYSTDVLRDFAKTQIDYILGKNPRKMSYVVGFGNHYPKHVHHRGASIPKNKIRYNCKGGWKWRDTTKPNPNTLVGAMVAGPDRHDGFRDVRTNYNYTEPTIAGNAGLVAALVALSGDKTTGIDKNTIFSAVPPMFPTPPPPPAPWRP >Potri.008G028050.1.v4.1 pep chromosome:Pop_tri_v4:8:1475058:1476283:-1 gene:Potri.008G028050.v4.1 transcript:Potri.008G028050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028050.v4.1 MASPRALGTAFLVLLIVDIAFAARTLQSISGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGSGGGNGSGSGYGSGSGSGYGSGSGIGGGKGGGGGGGSGGGGGGGGGQGSGSGSGSGYGSGSGSGSGGGKGGNGSGGGGGEGGGGGGGGGTGSGSGSGYGSGSGYGSGYGGGKGN >Potri.010G087400.3.v4.1 pep chromosome:Pop_tri_v4:10:11286589:11298900:-1 gene:Potri.010G087400.v4.1 transcript:Potri.010G087400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087400.v4.1 MAGGSHPKSSTHKPSSSSTPAPHRKSRWESTSNNNNNPPPPQSNQKLPKPNPSPKPNTGRSPKPATPTAGPIQPSQVPPFPFPDLGPPPPPTYGFHMLERRTIMLADGSVRSYLALPPDYQDFPRPPLPPRFLHGGPTPEFLPGGPRFPPRSPDVLGFQNQNKRKFEEELVKHGNSSSSNYNNNNSNGRNYTLGGAGTSNAGDEMRAGKQMRISSGDGVGFVTSNNNRNVGEVNQSELKKAFLHFVKVINENEADRKKYLEDGKQGRLQCVACGRSSKDFPDMHALIMHTYSSDNADVRVDHLGLHKALCVLMGWNHSKPPDNSKAYQFLPADAAGANQDDLIMWPPMVIIHNTITGKGKDGRIEGLGNRAMDSKVRDLGFVGGKSKSLYGRDGHLGITLVKFGGDQSGLKEAVRLADHFEKDNRGRKAWGCIQPLTFGKDDEKNPNLVKVDRSGEKNRILYGYLATVADLYKVDFETRKKVVIESHQEHKASK >Potri.010G087400.1.v4.1 pep chromosome:Pop_tri_v4:10:11286570:11298121:-1 gene:Potri.010G087400.v4.1 transcript:Potri.010G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087400.v4.1 MAGGSHPKSSTHKPSSSSTPAPHRKSRWESTSNNNNNPPPPQSNQKLPKPNPSPKPNTGRSPKPATPTAGPIQPSQVPPFPFPDLGPPPPPTYGFHMLERRTIMLADGSVRSYLALPPDYQDFPRPPLPPRFLHGGPTPEFLPGGPRFPPRSPDVLGFQNQNKRKFEEELVKHGNSSSSNYNNNNSNGRNYTLGGAGTSNAGDEMRAGKQMRISSGDGVGFVTSNNNRNVGEVNQSELKKAFLHFVKVINENEADRKKYLEDGKQGRLQCVACGRSSKDFPDMHALIMHTYSSDNADVRVDHLGLHKALCVLMGWNHSKPPDNSKAYQFLPADAAGANQDDLIMWPPMVIIHNTITGKGKDGRIEGLGNRAMDSKVRDLGFVGGKSKSLYGRDGHLGITLVKFGGDQSGLKEAVRLADHFEKDNRGRKAWGCIQPLTFGKDDEKNPNLVKVDRSGEKNRILYGYLATVADLYKVDFETRKKVVIESHQEHKASK >Potri.006G093200.1.v4.1 pep chromosome:Pop_tri_v4:6:7092935:7093842:-1 gene:Potri.006G093200.v4.1 transcript:Potri.006G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093200.v4.1 MSQAHDHDSMDPGSMSDSGMHMSPSDMMMHMSFYWGKDAIILFSGWPNGSLGMYMLAFFCVFLLAAAIEIFSVSPTAKIGTHNPIAGALIQTCVYAVRMGFAYMVMLAVMSFNLGIFIAAVAGHTVGFFLVKVRALAIAYKNETAPKV >Potri.001G036100.4.v4.1 pep chromosome:Pop_tri_v4:1:2635902:2654680:1 gene:Potri.001G036100.v4.1 transcript:Potri.001G036100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036100.v4.1 MESLAQLEALCERLYNSQDSAERAHAENALKCFSVNTDYISQCQYILDNASTPYSLMLASSSLLKQVTDHSLSLQLRLDIRNYLINYLATRGPLPQFVNASLIQLLCRVTKFGWFDDDRFREVVKEATDFLSQASKEHYEIGLKILNQLVSEMNQPNSGLPSTNHRRVACSFRDQSLFQIFQISLTSLSQLKNDVTSRLQELALSLSLKCLSFDFVGTSIDESSEEFGTIQIPSSWRPVLEDPSTLQIFFDYYAITTSPRSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGRGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGEAPSLLDEFVPKITEGFITSRFNSVQAGFTDDEDPLDNVELLQDQLDCFPYLCRFQYQSSSFYIINTMEPILQSYTERARLQTADNNELAVIEAKLSWIVHIIAAILKIKQSTGCSVESQEVLDAELSARVLQLINVTDSGLHSQRYGELSKQRLDRAILTFFQHFRKSYVGDQAVHSSKLYARLSELLGLSDHLLLLNVIVSKIATNLKCYTESEEVINHTLSLFLELASGYMTGKLLLKLDAIKFIVANHTREHFPFLEEYRSSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLLQVFLRLETTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKVIVAYGTRILSLPNVADIYGYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFSSHIVFVLNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAYYFNNITMGEVPTSPTAINLARHIADCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLTIISEQIFSDLKAQILASQPVDQHQRLALCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >Potri.001G036100.3.v4.1 pep chromosome:Pop_tri_v4:1:2635905:2654722:1 gene:Potri.001G036100.v4.1 transcript:Potri.001G036100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036100.v4.1 MESLAQLEALCERLYNSQDSAERAHAENALKCFSVNTDYISQCQYILDNASTPYSLMLASSSLLKQVTDHSLSLQLRLDIRNYLINYLATRGPLPQFVNASLIQLLCRVTKFGWFDDDRFREVVKEATDFLSQASKEHYEIGLKILNQLVSEMNQPNSGLPSTNHRRVACSFRDQSLFQIFQISLTSLSQLKNDVTSRLQELALSLSLKCLSFDFVGTSIDESSEEFGTIQIPSSWRPVLEDPSTLQIFFDYYAITTSPRSKEALECLVRLASVRRSLFTNDAARSKFLAHLMTGTKEILQTGRGLADHDNYHEYCRLLGRFRVNYQLSELVNVEGYSDWIQLVAEFTLKSLQSWQWASSSVYYLLGLWSRLVTSVPYLKGEAPSLLDEFVPKITEGFITSRFNSVQAGFTDDEDPLDNVELLQDQLDCFPYLCRFQYQSSSFYIINTMEPILQSYTERARLQTADNNELAVIEAKLSWIVHIIAAILKIKQSTGCSVESQEVLDAELSARVLQLINVTDSGLHSQRYGELSKQRLDRAILTFFQHFRKSYVGDQAVHSSKQLYARLSELLGLSDHLLLLNVIVSKIATNLKCYTESEEVINHTLSLFLELASGYMTGKLLLKLDAIKFIVANHTREHFPFLEEYRSSRSRTTFYYTIGWLIFMEDSPVKFKSSMEPLLQVFLRLETTPDSMFRTDAVKYALIGLMRDLRGIAMATNSRRTYGLLFDWLYPAHMPLLLKGISHWTDTPEVTTPLLKFMAEFVLNKAQRLTFDSSSPNGILLFREVSKVIVAYGTRILSLPNVADIYGYKYKGIWICLTILSRALAGNYVNFGVFELYGDRALSDALDIALKMTLSIPLADILAFRKLTRAYFAFLEVLFSSHIVFVLNLDTNTFMHIVGSLESGLKGLDTNISSQCASAVDNLAAYYFNNITMGEVPTSPTAINLARHIADCPNLFPEILKTLFEIVLFEDCGNQWSLSRPMLSLTIISEQIFSDLKAQILASQPVDQHQRLALCFDKLMADVTRSLDSKNRDKFTQNLTVFRHEFRVK >Potri.009G150800.2.v4.1 pep chromosome:Pop_tri_v4:9:11913939:11914409:1 gene:Potri.009G150800.v4.1 transcript:Potri.009G150800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150800.v4.1 MFSFLISVFGVGIPTKRSYVYKLSPTDRCKSIPFRIDSSVDGDKDSCLRGGVYLTDGDESVPVISAGFMCAKGWRGRTRFNPSGISTHIREYRHKPPASLLEGRGLESGAHVDIMGNLALIYVVLRVAAGARGAEIGGDKVYSDIFRMSERTNLRL >Potri.004G072000.1.v4.1 pep chromosome:Pop_tri_v4:4:6052012:6053910:-1 gene:Potri.004G072000.v4.1 transcript:Potri.004G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072000.v4.1 MAEDQDWDLYAVVRSCTSAAAAAAANRNSSSSNISENFECLDSLTFDDDDDDGESNPFSFPNLASQPRNNNCLQELQDSYKPFLPSFTTSTGLQGKNSNNNIPSFSISDFGVIFSGQNQPQLAQQQQQQQQQPLTTPSTSVAISPWFNNSQKQPQHVQQQQNQRRQLHQQGTSTSSLFPLRTTQSQTPRKKKSNQKRLISHVTAENLSNDVWAWRKYGQKPIKGSPYPRNYYRCSSSKGCAARKQVERSNTDPNMFIVCYTGDHTHPRPTHRNSLAGSTRNKVQQPAQKPENKESEHPISADRGSCSSPLSATSLSPRTTLSAPIYNIEAVGNEQESIKTHNLEGGHGLMGSDDHEDDVDDCDNDINDDYDDDLLIPNMALNEDLIKGFQELVSGNEGGGLSNSPAFGDDFSSSVVGGSASTAAGATGGGGC >Potri.001G424600.1.v4.1 pep chromosome:Pop_tri_v4:1:45063602:45066096:-1 gene:Potri.001G424600.v4.1 transcript:Potri.001G424600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G424600.v4.1 MRRRPGIGGLQTAAAARDQYRLLGENVAKLRTDLMKEQLATFRSQLEDFARKHKNDIRKNPTFRTQFHEMCAKVGVDPLASNKGFWAELLGIGDFYYELGVQIVEICLATRPHNGGLINLQELCALLRQKRKSDREAVSEDDCLRAISKLKILGSGFEVISVGKRKLVRSVPTELNKDHNEILELAQAQGFVTVDELERRLSWTSGRATDALDTLLDEGLAMIDDGHRDGKRRYWFPCVSSISTSVVSDI >Potri.015G091100.1.v4.1 pep chromosome:Pop_tri_v4:15:11403181:11404753:1 gene:Potri.015G091100.v4.1 transcript:Potri.015G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091100.v4.1 MFGRVRASSSSPDSLERPSSKILKDDTLSIYEATLMKLKLGSQRDQSSPFEETVEMESESSTTSASSFVESNNTSTTALKSLQHVITSPDEEVMTIDSDSSSASDQLSFSAMQSTGDSKDQRSRNVSVLYLFSKYNYSRQALTPSGEAMLIASNCSASTYASSSNSQSLGISKEQSEHECLSSSSACQM >Potri.014G012600.1.v4.1 pep chromosome:Pop_tri_v4:14:701448:703724:1 gene:Potri.014G012600.v4.1 transcript:Potri.014G012600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012600.v4.1 MKLLSRKATCNTHGQDSSYFLGWQEYEKNPYDEIKNPTGIIQMGLAENQLSFDLLESWLANNQDAAGFKKDGQSIFRELALFQDYHGLPAFKKALVEFMAEIRGNKVTFDQNKIVLTAGATSANETLMFCLAEPGEAFLLPTPYYPGFDRDLKWRTGVEIVPIQCTSSNGFQITAPALEDAFQEAQKRNLRVKGVLVTNPSNPLGTTITRSELNLLLSLITEKGIHLISDEIYSGTVFNSPGFVSVMEVLKDMKCESSQVWNRVHVVYSLSKDLGLPGFRVGAIYSNDDMVVSAATKMSSFGLVSSQTQYLLAALLSDKKFTRNYISENQKRLKQRQKLLVKGLEKAGISCLKSNAGLFCWVNMKHLLSSNTFDAEMELWKKIVHQVKLNISPGSSCHCTEPGWFRVCFANMSEETLNLAIQRLKSFVESMNINNQSHHQLLKNARRKSLAKWVLRLSFDHDREPEER >Potri.004G087100.2.v4.1 pep chromosome:Pop_tri_v4:4:7294892:7303596:1 gene:Potri.004G087100.v4.1 transcript:Potri.004G087100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087100.v4.1 MENPRLALLSSSSPKLVMGYPTSLKNPTTPKFSISTTRPSLPFSLRISKTAPHASIFSISALANSHGHRRTSKNGKLGSEYFASISSSSGKQTASVGVNPQPVSPPPSQIGSPLFWVGVGVGLSAIFSWVATRVKNYAMQQAFKSLTEQMNTQNNQFNPAFSARPPFPFSPPPASHPSTSPSPAASQPAITVDIPATKVEAAPTTDVGKEKETDFLEERKIKEETKKYAFVDISPEETSLNTPFSSVEDDNETSSSKDVEFAKKVFQNGAAFKQGPGAAEGSQSTRPFLSVEALEKMMEDPTMQKMVYPYLPEEMRNPTTFKWMLQNPQYRQQLEDMLNNMGGSGKWDSQMMDSLKDFDLNSAEVKQQFDQIGLTPEEVISKIMANPDVAMAFQNPRVQQAIMECSQNPINITKYQNDKEVMDVFNKISELFPGMTG >Potri.004G087100.1.v4.1 pep chromosome:Pop_tri_v4:4:7295010:7303603:1 gene:Potri.004G087100.v4.1 transcript:Potri.004G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087100.v4.1 MENPRLALLSSSSPKLVMGYPTSLKNPTTPKFSISTTRPSLPFSLRISKTAPHASIFSISALANSHGHRRTSKNGKLGSEYFASISSSSGKQTASVGVNPQPVSPPPSQIGSPLFWVGVGVGLSAIFSWVATRVKNYAMQQAFKSLTEQMNTQNNQFNPAFSARPPFPFSPPPASHPSTSPSPAASQPAITVDIPATKVEAAPTTDVGKEKETDFLEERKIKEETKKYAFVDISPEETSLNTPFSSVEDDNETSSSKDVEFAKKVFQNGAAFKQGPGAAEGSQSTPGPFLSVEALEKMMEDPTMQKMVYPYLPEEMRNPTTFKWMLQNPQYRQQLEDMLNNMGGSGKWDSQMMDSLKDFDLNSAEVKQQFDQIGLTPEEVISKIMANPDVAMAFQNPRVQQAIMECSQNPINITKYQNDKEVMDVFNKISELFPGMTG >Potri.011G097766.1.v4.1 pep chromosome:Pop_tri_v4:11:12600437:12600838:-1 gene:Potri.011G097766.v4.1 transcript:Potri.011G097766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097766.v4.1 MRSRLIRREKRSRQPFLPLTVNKNTQQKNKSATRGSSGKPFFFSDSSKFLHKIANANLCSSNASLFSKKTTSFIEQQQRRVEDCPLHGRIPSKTVSNAEEKQQQLSNSTSSGFKLYQQLLLNCTSSSFQTVSL >Potri.018G028700.2.v4.1 pep chromosome:Pop_tri_v4:18:2121495:2124629:1 gene:Potri.018G028700.v4.1 transcript:Potri.018G028700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028700.v4.1 MWIARGKKKMKEKNERRERPRGERRDKEKTKLGMQIVVFGLAAIFLILCIAASSFGIGHGQKVFNVVDFGAIGDGQIDDTNAFLSAWQALCGDDVAQGTPSLQIPEGKTFLLQPVKFQGPCKSVFVHVQVQGKIIAPNTIEEWNNCQADYWIGFVGVANLNMYGSGLIDGQGSVWWMRAMQANSLNARKIACNPPSIQSRTLQALNFEKCDDLQLSGLTHVDSPKGHIGITDCNGVLISNLNIAAPENSPNTDGIDMARSTNVHIQDSMIATGDDCVAINGGCSYINITNIACGPGHGISVGSLGKDGQYDTVEEVHVRNCSFTGTQNAARIKTWQGGSGYARKISYEQITLVASKNPIIIDQYYCDGVNNCRNSSTALQVSDVTYSGFQGTSVDEEAIRLDCSDRGCINIVMDNINITSLDPGKTTYAYCEHTSGTSWFTAPYVPCLS >Potri.010G228000.2.v4.1 pep chromosome:Pop_tri_v4:10:21109041:21114420:-1 gene:Potri.010G228000.v4.1 transcript:Potri.010G228000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228000.v4.1 MADNGHGNSYLSFGSTNRQENIGTMLASLRIEIRPQEQGGREVPFMFRSSRSSTTYGDGDQSTPMVIDSESVEGGERGSNERRIEERDGFSLTRKENEGTVEEAKRREDTVEEYDLDGLNCAICMEPWRSEGDHQVSCLPCGHLYGFSCISKWLQRPVPTTKCPQCNAKCKFKDIRKLYTTPVVVIDEGLQKKVESLEDEIGSLKTEKSDLLDIQDNLLEIQDNLLKLLRNLKEKPACTENTSFEQMGSKPHQFFNAKEAQGAQSGCSFGSYSSKQLLHCNFKLQHELAIEGGRLFAMDFSYQNLILTRRIAGMGGMHMLNKINLINPRENEDIQLPPSTKAVKDIQISPCGKLTLLASLGKKLSILSMESNNIVVTYNLRVPAWSCSWDLNSPHYVYAGLQNGMLLVFDMRGTLNPLQSMVGPVPGPIHTMHPLAQNPVLGSNSQKLLTASSLGPCVWNTDAGKRPFLVPGFENQGICTSLAYGPLSDDIVASYHPKSKKSNATVNSQCIDPDSSPFPGSATLCSQLLVKRIADSHYRNLGSTSAYLSNGQMARTAIINMQNCFPLFAYGDEATRGLRLKELPSFNVTQNLKPHHHPILDVKYAHNQDTGILGSVSEDKLQLFSAELLQKR >Potri.005G239300.1.v4.1 pep chromosome:Pop_tri_v4:5:23653661:23654575:1 gene:Potri.005G239300.v4.1 transcript:Potri.005G239300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239300.v4.1 MAAATMALSSPSLAGKAVKLNPSSSEIMGNGRVSMRKTTKPVPSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWATQVVLMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLSDPVNNNAWAYATNFVPGK >Potri.005G239300.2.v4.1 pep chromosome:Pop_tri_v4:5:23653671:23654578:1 gene:Potri.005G239300.v4.1 transcript:Potri.005G239300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239300.v4.1 MALSSPSLAGKAVKLNPSSSEIMGNGRVSMRKTTKPVPSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWATQVVLMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLSDPVNNNAWAYATNFVPGK >Potri.006G031800.1.v4.1 pep chromosome:Pop_tri_v4:6:1976660:1981631:-1 gene:Potri.006G031800.v4.1 transcript:Potri.006G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031800.v4.1 MGKVGNGVNGSEEEQGIGTSDENGEQNVERRFCCFGCKDNFIVTRFIGFRCVFVLLLSVAVFLSALFWLPPFIKFADQGGLDLDYRFKDHDIVASFLVNKSASLLEDNILKLQDDIFYEMNVPNTKVVILSLEPFTGSNTTKVVFGVDPLENDSKITSTDQSLIRSLFEYLVVNDSSLRLTDSLFGDAFSFEVLKFPGGITIIPPQSAFLLQKVRIPFNFTLNFSIFQTRENFADLKSQLMTGLHLTTRENLYINLWNSQGSTVAPPTTVLSSVILVIGNTPRLKQLAQTIRGHSKNLGLNNTVFGKVKQVRLSSILQHSLHGGEDSAPSPSPTSLPNPPHHHRRHHHHHRHHHHHHHHHHHHHHHHHHSHPHHAHAPAISPVPSTKRSAPAPVDGSPAPLKSSPAPHNSNGAKPPGCQFGCKRRFTGNGRKGSHLAPSNAPGSSPHMSATSPQPDNGPPSVSPTPSPISQTIPASSPLPNVVFAHAQPPSGGKPEEPYDTMPSVSPSPAPSSSSSGHLTVQWSIVLCLAALVLQL >Potri.004G190900.3.v4.1 pep chromosome:Pop_tri_v4:4:20306693:20312120:1 gene:Potri.004G190900.v4.1 transcript:Potri.004G190900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G190900.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRSSIWKQMSDAGIKFIPSNTFSCYDQVLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHYIVPELGPEVNFSYASHKAVNEYKEAKALGVDTVPVLVGPVSYLLLSKPAKGVEKSFSLLSLIDKILPVYQEVLAELKAAGASWIQFDEPKLVMDLGAHELQAFTHAYSALEASLSGLNVLVETYFADVPVEAYKTLTSLKSVTGFGFDLVRGTKTLELIKGGFPSGKYLFAGVVDGRNIWANNLGSSLDTLKALEGIVGKDKLVVSTSCSLLHTAVDLVNEPKLDKEIKSWLAFAAQKVVEVNALAKALAGQQDEAFFSANAAAQASRKSSPRVTNEAVQKAAVALKGSDHRRATNVSARLDAQQKKLNLPILPTTTIGSFPQTMDLRRVRREYKAKKISEQNYVEAIKEEINKVVKIQEELDIDVLVHGEPERNDMVEYFGEQLSGFAFTANGWVQSYGSRCVKPPIIYGDVSRPKAMTVFWSSMAQSMTKRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKAGITVIQIDEAALREGLPLRKSEHAFYLNWAVHSFRITNCGVEDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSEEEIADRIEKMLAVLESNILWVNPDCGLKTRKYAEVKPALSNMVAAAKHLRTKLGSAQ >Potri.002G205150.1.v4.1 pep chromosome:Pop_tri_v4:2:17006933:17008258:1 gene:Potri.002G205150.v4.1 transcript:Potri.002G205150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205150.v4.1 MTETTGFSKLSDDVVLNIFSKLEDDPRNWARLACVCTKFSSLIRDICWKSKCNKTIPSVVSDLLSSPDSPFPGAWSALHKLAVCCPGLLHAGVFLEHSDFGLERELGPDDYFHKSKNTPSQQAQQASHSGSNLDKRDSSWSLFDDLYYDTVYNVYESQDAVTVSCEAKEEVIGGNDDKSVDIFVKARGISSVGKRRKICRSLSSHLASGVWNLSREQGNKLLASRFRGDCLYICDWPGCAHITEKRSYMLFRGIFKNFKKSRVWRTIHDGDRSKIDLSCAFCGCQETWDLNSAFCLKRGFGYHDDGEPVVRAYVCENGHVSGAWTDWPLYT >Potri.011G123900.10.v4.1 pep chromosome:Pop_tri_v4:11:15434940:15442420:1 gene:Potri.011G123900.v4.1 transcript:Potri.011G123900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123900.v4.1 MFDGRPTLIDGSASDASSRTPLLKKMNAISMSRTNSSPGTFDGSVDRPMRLAEIYVAAEHALKHTISDADLWKALSSVEEFEQKYLELTKGAADNYHHSWWKRHGVVLDGEIAAVCFGHGNFDLAAKSYEKVCALYAGEGWQELLADVLPNLAECQKMLNDQAGYLASCVRLLSLDKGLFSTKERQAFQAEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDGDPGILSVTVWSGFPDDITLDSLNLTLTATFNADEGAKALRSSTATILKPGRNTITLALPPQKPGSYVLGVLTGQIGQLRFRSHSFSKVGPADSDDFMSYEKPTRPILKVFKPRPLVDLAAAISSALLINETQWVGVIVRPIDYSLKGAVLYIDTGPGLNIEESHVIEMETRVNISQSSAEMTNSNGTQKDCSSASKKEFQQLKLQDGRIEFPAWASDVNSVLWIPVRAISDRLPRGSSSVTPQKQSNLDGMRTIALKLEFGVSHNQIFERTVAVHFTDPFHVSTRVADKCNDGTLLLQVILHSQVKATLTIYDAWLELQDGFIHTGQGTGRPTSSFFPLMISPTSRAGIMFSIRLGKVIDKDEVEALQTESILNIRYGIYGERTNGAHPPVSVDGIEPEDARQDLLFKSAIVLQRPVLDPCLAVGFLPLPSTGLRVGQLITMQWRVERLKGLEDNGISEHNGEVLYEVSANSENWMLAGRKRGHVTLSTIQGSRIVISVLCVPLVAGYVRPPQLGLPDVDESNISCNPPGPHLVCVMPPALSSSFCIPP >Potri.011G123900.11.v4.1 pep chromosome:Pop_tri_v4:11:15430146:15442314:1 gene:Potri.011G123900.v4.1 transcript:Potri.011G123900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123900.v4.1 MASDASSRTPLLKKMNAISMSRTNSSPGTFDGSVDRPMRLAEIYVAAEHALKHTISDADLWKALSSVEEFEQKYLELTKGAADNYHHSWWKRHGVVLDGEIAAVCFGHGNFDLAAKSYEKVCALYAGEGWQELLADVLPNLAECQKMLNDQAGYLASCVRLLSLDKGLFSTKERQAFQAEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDGDPGILSVTVWSGFPDDITLDSLNLTLTATFNADEGAKALRSSTATILKPGRNTITLALPPQKPGSYVLGVLTGQIGQLRFRSHSFSKVGPADSDDFMSYEKPTRPILKVFKPRPLVDLAAAISSALLINETQWVGVIVRPIDYSLKGAVLYIDTGPGLNIEESHVIEMETRVNISQSSAEMTNSNGTQKDCSSASKKEFQQLKLQDGRIEFPAWASDVNSVLWIPVRAISDRLPRGSSSVTPQKQSNLDGMRTIALKLEFGVSHNQIFERTVAVHFTDPFHVSTRVADKCNDGTLLLQVILHSQVKATLTIYDAWLELQDGFIHTGQGTGRPTSSFFPLMISPTSRAGIMFSIRLGKVIDKDEVEALQTESILNIRYGIYGERTNGAHPPVSVDGIEPEDARQDLLFKSAIVLQRPVLDPCLAVGFLPLPSTGLRVGQLITMQWRVERLKGLEDNGISEHNGEVLYEVSANSENWMLAGRKRGHVTLSTIQGSRIVISVLCVPLVAGYVRPPQLGLPDVDESNISCNPPGPHLVCVMPPALSSSFCIPP >Potri.011G123900.1.v4.1 pep chromosome:Pop_tri_v4:11:15430184:15442820:1 gene:Potri.011G123900.v4.1 transcript:Potri.011G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123900.v4.1 MANYLAQFQTIKNSCDHIVIAVEDVSDLWPNIKSGFDERVPIKRASLNNKTRNPVLVENFPCEFILTTDSRLRSRFPQEQSLFWFREPYATIVLVTCEDLDEFKTILKPRLKLIVQNDEKEWFIVFVSRAHPSNDNAVKMAKKVYAKLEVDFSSKKRERCCKYDIHGPEAIFWDDLESKIMECVRNTLDRRVQFYEDEIRKLTEQRFMPVWNFCNFFILKESLAFMFEMAHLYEDALREYDELELCYLETVNMPGKQREFGGVDHGDDWAALLNPENKPLTQIVQDDSFREFEFRQYLFAYQSKLLFKLNRPFEVASRGHSFIIGFSKALTLHENMLPFCMREVWVITACLAIINATAPNYDGLVAPDIEKEFYRLKGDLYSLCRVKFMRLAYLIGYGADIERSPVNSALLSMLPWPKPLVWPSVPPDASPEVLEKEKVILQATPKIKHFGIQRKPLPLEPSVLLREANRRRASLSAGNVFEMFDGRPTLIDGSASDASSRTPLLKKMNAISMSRTNSSPGTFDGSVDRPMRLAEIYVAAEHALKHTISDADLWKALSSVEEFEQKYLELTKGAADNYHHSWWKRHGVVLDGEIAAVCFGHGNFDLAAKSYEKVCALYAGEGWQELLADVLPNLAECQKMLNDQAGYLASCVRLLSLDKGLFSTKERQAFQAEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDGDPGILSVTVWSGFPDDITLDSLNLTLTATFNADEGAKALRSSTATILKPGRNTITLALPPQKPGSYVLGVLTGQIGQLRFRSHSFSKVGPADSDDFMSYEKPTRPILKVFKPRPLVDLAAAISSALLINETQWVGVIVRPIDYSLKGAVLYIDTGPGLNIEESHVIEMETRVNISQSSAEMTNSNGTQKDCSSASKKEFQQLKLQDGRIEFPAWASDVNSVLWIPVRAISDRLPRGSSSVTPQKQSNLDGMRTIALKLEFGVSHNQIFERTVAVHFTDPFHVSTRVADKCNDGTLLLQVILHSQVKATLTIYDAWLELQDGFIHTGQGTGRPTSSFFPLMISPTSRAGIMFSIRLGKVIDKDEVEALQTESILNIRYGIYGERTNGAHPPVSVDGIEPEDARQDLLFKSAIVLQRPVLDPCLAVGFLPLPSTGLRVGQLITMQWRVERLKGLEDNGISEHNGEVLYEVSANSENWMLAGRKRGHVTLSTIQGSRIVISVLCVPLVAGYVRPPQLGLPDVDESNISCNPPGPHLVCVMPPALSSSFCIPP >Potri.011G123900.7.v4.1 pep chromosome:Pop_tri_v4:11:15430153:15442634:1 gene:Potri.011G123900.v4.1 transcript:Potri.011G123900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123900.v4.1 MANYLAQFQTIKNSCDHIVIAVEDVSDLWPNIKSGFDERVPIKRASLNNKTRNPVLVENFPCEFILTTDSRLRSRFPQEQSLFWFREPYATIVLVTCEDLDEFKTILKPRLKLIVQNDEKEWFIVFVSRAHPSNDNAVKMAKKVYAKLEVDFSSKKRERCCKYDIHGPEAIFWDDLESKIMECVRNTLDRRVQFYEDEIRKLTEQRFMPVWNFCNFFILKESLAFMFEMAHLYEDALREYDELELCYLETVNMPGKQREFGGVDHGDDWAALLNPENKPLTQIVQDDSFREFEFRQYLFAYQSKLLFKLNRPFEVASRGHSFIIGFSKALTLHENMLPFCMREVWVITACLAIINATAPNYDGLVAPDIEKEFYRLKGDLYSLCRVKFMRLAYLIGYGADIERSPVNSALLSMLPWPKPLVWPSVPPDASPEVLEKEKVILQATPKIKHFGIQRKPLPLEPSVLLREANRRRASLSAGNVFEMFDGRPTLIDGSASDASSRTPLLKKMNAISMSRTNSSPGTFDGSVDRPMRLAEIYVAAEHALKHTISDADLWKALSSVEEFEQKYLELTKGAADNYHHSWWKRHGVVLDGEIAAVCFGHGNFDLAAKSYEKVCALYAGEGWQELLADVLPNLAECQKMLNDQAGYLASCVRLLSLDKGLFSTKERQAFQAEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDGDPGILSVTVWSGFPDDITLDSLNLTLTATFNADEGAKALRSSTATILKPGRNTITLALPPQKPGSYVLGVLTGQIGQLRFRSHSFSKVGPADSDDFMSYEKPTRPILKVFKPRPLVDLAAAISSALLINETQWVGVIVRPIDYSLKGAVLYIDTGPGLNIEESHVIEMETRVNISQSSAEMTNSNGTQKDCSSASKKEFQQLKLQDGRIEFPAWASDVNSVLWIPVRAISDRLPRGSSSVTPQKQSNLDGMRTIALKLEFGVSHNQIFERTVAVHFTDPFHVSTRVADKCNDGTLLLQVILHSQVKATLTIYDAWLELQDGFIHTGQGTGRPTSSFFPLMISPTSRAGIMFSIRLGKVIDKDEVEALQTESILNIRYGIYGERTNGAHPPVSVDGIEPEDARQDLLFKSAIVLQRPVLDPCLAVGFLPLPSTGLRVGQLITMQWRVERLKGLEDNGISEHNGEVLYEVSANSENWMLAGRKRGHVTLSTIQGSRIVISVLCVPLVAGYVRPPQLGLPDVDESNISCNPPGPHLDTLNLHD >Potri.011G123900.6.v4.1 pep chromosome:Pop_tri_v4:11:15430184:15442309:1 gene:Potri.011G123900.v4.1 transcript:Potri.011G123900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123900.v4.1 MANYLAQFQTIKNSCDHIVIADVSDLWPNIKSGFDERVPIKRASLNNKTRNPVLVENFPCEFILTTDSRLRSRFPQEQSLFWFREPYATIVLVTCEDLDEFKTILKPRLKLIVQNDEKEWFIVFVSRAHPSNDNAVKMAKKVYAKLEVDFSSKKRERCCKYDIHGPEAIFWDDLESKIMECVRNTLDRRVQFYEDEIRKLTEQRFMPVWNFCNFFILKESLAFMFEMAHLYEDALREYDELELCYLETVNMPGKQREFGGVDHGDDWAALLNPENKPLTQIVQDDSFREFEFRQYLFAYQSKLLFKLNRPFEVASRGHSFIIGFSKALTLHENMLPFCMREVWVITACLAIINATAPNYDGLVAPDIEKEFYRLKGDLYSLCRVKFMRLAYLIGYGADIERSPVNSALLSMLPWPKPLVWPSVPPDASPEVLEKEKVILQATPKIKHFGIQRKPLPLEPSVLLREANRRRASLSAGNVFEMFDGRPTLIDGSASDASSRTPLLKKMNAISMSRTNSSPGTFDGSVDRPMRLAEIYVAAEHALKHTISDADLWKALSSVEEFEQKYLELTKGAADNYHHSWWKRHGVVLDGEIAAVCFGHGNFDLAAKSYEKVCALYAGEGWQELLADVLPNLAECQKMLNDQAGYLASCVRLLSLDKGLFSTKERQAFQAEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDGDPGILSVTVWSGFPDDITLDSLNLTLTATFNADEGAKALRSSTATILKPGRNTITLALPPQKPGSYVLGVLTGQIGQLRFRSHSFSKVGPADSDDFMSYEKPTRPILKVFKPRPLVDLAAAISSALLINETQWVGVIVRPIDYSLKGAVLYIDTGPGLNIEESHVIEMETRVNISQSSAEMTNSNGTQKDCSSASKKEFQQLKLQDGRIEFPAWASDVNSVLWIPVRAISDRLPRGSSSVTPQKQSNLDGMRTIALKLEFGVSHNQIFERTVAVHFTDPFHVSTRVADKCNDGTLLLQVILHSQVKATLTIYDAWLELQDGFIHTGQGTGRPTSSFFPLMISPTSRAGIMFSIRLGKVIDKDEVEALQTESILNIRYGIYGERTNGAHPPVSVDGIEPEDARQDLLFKSAIVLQRPVLDPCLAVGFLPLPSTGLRVGQLITMQWRVERLKGLEDNGISEHNGEVLYEVSANSENWMLAGRKRGHVTLSTIQGSRIVISVLCVPLVAGYVRPPQLGLPDVDESNISCNPPGPHLVCVMPPALSSSFCIPP >Potri.011G123900.8.v4.1 pep chromosome:Pop_tri_v4:11:15430400:15442380:1 gene:Potri.011G123900.v4.1 transcript:Potri.011G123900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123900.v4.1 MFLAVEDVSDLWPNIKSGFDERVPIKRASLNNKTRNPVLVENFPCEFILTTDSRLRSRFPQEQSLFWFREPYATIVLVTCEDLDEFKTILKPRLKLIVQNDEKEWFIVFVSRAHPSNDNAVKMAKKVYAKLEVDFSSKKRERCCKYDIHGPEAIFWDDLESKIMECVRNTLDRRVQFYEDEIRKLTEQRFMPVWNFCNFFILKESLAFMFEMAHLYEDALREYDELELCYLETVNMPGKQREFGGVDHGDDWAALLNPENKPLTQIVQDDSFREFEFRQYLFAYQSKLLFKLNRPFEVASRGHSFIIGFSKALTLHENMLPFCMREVWVITACLAIINATAPNYDGLVAPDIEKEFYRLKGDLYSLCRVKFMRLAYLIGYGADIERSPVNSALLSMLPWPKPLVWPSVPPDASPEVLEKEKVILQATPKIKHFGIQRKPLPLEPSVLLREANRRRASLSAGNVFEMFDGRPTLIDGSASDASSRTPLLKKMNAISMSRTNSSPGTFDGSVDRPMRLAEIYVAAEHALKHTISDADLWKALSSVEEFEQKYLELTKGAADNYHHSWWKRHGVVLDGEIAAVCFGHGNFDLAAKSYEKVCALYAGEGWQELLADVLPNLAECQKMLNDQAGYLASCVRLLSLDKGLFSTKERQAFQAEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDGDPGILSVTVWSGFPDDITLDSLNLTLTATFNADEGAKALRSSTATILKPGRNTITLALPPQKPGSYVLGVLTGQIGQLRFRSHSFSKVGPADSDDFMSYEKPTRPILKVFKPRPLVDLAAAISSALLINETQWVGVIVRPIDYSLKGAVLYIDTGPGLNIEESHVIEMETRVNISQSSAEMTNSNGTQKDCSSASKKEFQQLKLQDGRIEFPAWASDVNSVLWIPVRAISDRLPRGSSSVTPQKQSNLDGMRTIALKLEFGVSHNQIFERTVAVHFTDPFHVSTRVADKCNDGTLLLQVILHSQVKATLTIYDAWLELQDGFIHTGQGTGRPTSSFFPLMISPTSRAGIMFSIRLGKVIDKDEVEALQTESILNIRYGIYGERTNGAHPPVSVDGIEPEDARQDLLFKSAIVLQRPVLDPCLAVGFLPLPSTGLRVGQLITMQWRVERLKGLEDNGISEHNGEVLYEVSANSENWMLAGRKRGHVTLSTIQGSRIVISVLCVPLVAGYVRPPQLGLPDVDESNISCNPPGPHLVCVMPPALSSSFCIPP >Potri.011G123900.9.v4.1 pep chromosome:Pop_tri_v4:11:15430167:15442319:1 gene:Potri.011G123900.v4.1 transcript:Potri.011G123900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123900.v4.1 MANYLAQFQTIKNSCDHIVIAVEDVSDLWPNIKSGFDERVPIKRASLNNKTRNPVLVENFPCEFILTTDSRLRSRFPQEQSLFWFREPYATIVLVTCEDLDEFKTILKPRLKLIVQNDEKEWFIVFVSRAHPSNDNAVKMAKKVYAKLEVDFSSKKRERCCKYDIHGPEAIFWDDLESKIMECVRNTLDRRVQFYEDEIRKLTEQRFMPVWNFCNFFILKESLAFMFEMAHLYEDALREYDELELCYLETVNMPGKQREFGGVDHGDDWAALLNPENKPLTQIVQDDSFREFEFRQYLFAYQSKLLFKLNRPFEVASRGHSFIIGFSKALTLHENMLPFCMREVWVITACLAIINATAPNYDGLVAPDIEKEFYRLKGDLYSLCRVKFMRLAYLIGYGADIERSPVNSALLSMLPWPKPLVWPSVPPDASPEVLEKEKVILQATPKIKHFGIQRKPLPLEPSVLLREANRRRASLSAGNVFEMFDGRPTLIDGSASDASSRTPLLKKMNAISMSRTNSSPGTFDGSVDRPMRLAEIYVAAEHALKHTISDADLWKALSSVEEFEQKYLELTKGAADNYHHSWWKRHGVVLDGEIAAVCFGHGNFDLAAKSYEKVCALYAGEGWQELLADVLPNLAECQKMLNDQAGYLASCVRLLSLDKGLFSTKERQAFQAEVLRLAHSEMKDPVPLDVSSLITFSGNPGPPLELCDGDPGILSVTVWSGFPDDITLDSLNLTLTATFNADEGAKALRSSTATILKPGRNTITLALPPQKPGSYVLGVLTGQIGQLRFRSHSFSKVGPADSDDFMSYEKPTRPILKVFKPRPLVDLAAAISSALLINETQWVGVIVRPIDYSLKGAVLYIDTGPGLNIEESHVIEMETRVNISQSSAEMTNSNGTQKDCSSASKKEFQQLKLQDGRIEFPAWASDVNSVLWIPVRAISDRLPRGSSSVTPQKQSNLDGMRTIALKLEFGVSHNQIFERYAANMLSWDVCYPPN >Potri.009G111815.1.v4.1 pep chromosome:Pop_tri_v4:9:9549197:9550165:1 gene:Potri.009G111815.v4.1 transcript:Potri.009G111815.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111815.v4.1 MINTNISNMKAFLVIICILLATIVFSDPSSTSAARELLQVGKDPYRGSGPVNSGPPCGSSKQSCHPSPKPGPNKPKKHCESSARQSDCGPN >Potri.011G127600.6.v4.1 pep chromosome:Pop_tri_v4:11:15574766:15578780:1 gene:Potri.011G127600.v4.1 transcript:Potri.011G127600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127600.v4.1 MDTPEQDGLPRKRSMRKKAGSRNYDENLMDELIEKHLGGAFKKKKQTKEDLEKETETEAMIAISLGFPIDALLEEEIHAGVVRQLGGKEQNDYIVVRNHILARWRSNVQVWLSKGQIKETVSNEYEHLIAAAYEFLLYNGYINFGVLLPLTSPMPELTNEGSVIIVGAGLAGLSAAKQLMSFGFKVIVLEGRNRPGGRVYTQKMGRKGQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYKPDGAPVDKGIDTNIELIHNKMLDKVMELRKIMGGFANDISLGSVLERLRQLYGVARSTEERQLLDWHLANLEYANAGCLSDLSATYWDQDDPYEMGGDHCFLAGGNWRLIKALCEGVPIFYGKTVDTIRYGHDGVAVIVGEQVFEADMVLCTVPLGVLKKRTIRFEPELPGRKLAAIERMGFGLLNKVAMVFPHVFWGEDLDTFGCLSEHSNKRGEFFLFYGNHTVSGGAALIALVAGEAAQMFENSDPSMLLHRVLSVLRGIYNPKGINVPDPIQTICTRWGGDPFSYGSYSHVRVQSSGNDYDILAENVGGRLFFAGEATTRQYPATMHGAFLSGLREASRILSANRSQQNNPRKSLPKNLGISNDTLIGLFKWPDLTFGNFSFISNPLTEDPNSMGIMRVTFDSRGDDLKEELENSFQRPLNLPLQLYTVLSREQAQSLQLVTGGDDCKLSHLSRNHGLKLMGPSALVNFGSSLISTIANSRKGRGRNRVTAGKI >Potri.011G127600.8.v4.1 pep chromosome:Pop_tri_v4:11:15574880:15578472:1 gene:Potri.011G127600.v4.1 transcript:Potri.011G127600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127600.v4.1 MDTPEQDGLPRKRSMRKKAGSRNYDENLMDELIEKHLGGAFKKKKQTKEDLEKETETEAMIAISLGFPIDALLEEEIHAGVVRQLGGKEQNDYIVVRNHILARWRSNVQVWLSKGQIKETVSNEYEHLIAAAYEFLLYNGYINFGVLLPLTSPMPELTNEGSVIIVGAGLAGLSAAKQLMSFGFKVIVLEGRNRPGGRVYTQKMGRKGQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYKPDGAPVDKGIDTNIELIHNKMLDKVMELRKIMGGFANDISLGSVLERLRQLYGVARSTEERQLLDWHLANLEYANAGCLSDLSATYWDQDDPYEMGGDHCFLAGGNWRLIKALCEGVPIFYGKTVDTIRYGHDGVAVIVGEQVFEADMVLCTVPLGVLKKRTIRFEPELPGRKLAAIERMGFGLLNKVAMVFPHVFWGEDLDTFGCLSEHSNKRGEFFLFYGNHTVSGGAALIALVAGEAAQMFENSDPSMLLHRVLSVLRGIYNPKGINVPDPIQTICTRWGGDPFSYGSYSHVRVQSSGNDYDILAENVGGRLFFAGEATTRQYPATMHGAFLSGLREASRILSANRSQQNNPRKSLPKNLGISNDTLIGLFKWPDLTFGNFSFISNPLTEDPNSMGIMRVTFDSRGDDLKEELENSFQRPLNLPLQLYTVLSREQAQSLQLVTGGDDCKLSHLSRNHGLKLMGPSALVNFGSSLISTIANSRKGRGRNRVTAGKI >Potri.011G127600.7.v4.1 pep chromosome:Pop_tri_v4:11:15574766:15578476:1 gene:Potri.011G127600.v4.1 transcript:Potri.011G127600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127600.v4.1 MDTPEQDGLPRKRSMRKKAGSRNYDENLMDELIEKHLGGAFKKKKQTKEDLEKETETEAMIAISLGFPIDALLEEEIHAGVVRQLGGKEQNDYIVVRNHILARWRSNVQVWLSKGQIKETVSNEYEHLIAAAYEFLLYNGYINFGVLLPLTSPMPELTNEGSVIIVGAGLAGLSAAKQLMSFGFKVIVLEGRNRPGGRVYTQKMGRKGQFAAVDLGGSVITGIHANPLGVLARQLSIPLHKVRDNCPLYKPDGAPVDKGIDTNIELIHNKMLDKVMELRKIMGGFANDISLGSVLERLRQLYGVARSTEERQLLDWHLANLEYANAGCLSDLSATYWDQDDPYEMGGDHCFLAGGNWRLIKALCEGVPIFYGKTVDTIRYGHDGVAVIVGEQVFEADMVLCTVPLGVLKKRTIRFEPELPGRKLAAIERMGFGLLNKVAMVFPHVFWGEDLDTFGCLSEHSNKRGEFFLFYGNHTVSGGAALIALVAGEAAQMFENSDPSMLLHRVLSVLRGIYNPKGINVPDPIQTICTRWGGDPFSYGSYSHVRVQSSGNDYDILAENVGGRLFFAGEATTRQYPATMHGAFLSGLREASRILSANRSQQNNPRKSLPKNLGISNDTLIGLFKWPDLTFGNFSFISNPLTEDPNSMGIMRVTFDSRGDDLKEELENSFQRPLNLPLQLYTVLSREQAQSLQLVTGGDDCKLSHLSRNHGLKLMGPSALVNFGSSLISTIANSRKGRGRNRVTAGKI >Potri.001G057150.1.v4.1 pep chromosome:Pop_tri_v4:1:4315599:4316846:-1 gene:Potri.001G057150.v4.1 transcript:Potri.001G057150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057150.v4.1 MLVTVVIMNTSGHLAARKIDRFENFTKRGAVPETTMKKGKDYTVGPVLLGFFIFVVIGSSLFQIIRTATSEGKA >Potri.001G129300.1.v4.1 pep chromosome:Pop_tri_v4:1:10581646:10583732:1 gene:Potri.001G129300.v4.1 transcript:Potri.001G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129300.v4.1 MARKGDYFLCFLNILTLLISMTIVVQISKTWEIITFYGNANCASFLQVPVFILGVALLVISVLGLMALFCRASPLHRVYLWAMFLLVVVLLGFTIFSSLVTDKGPKETDSCADQSRLQDFSGWLQGHLVNEKKWVRIKKCLIKARVCQEYAKKLSALPPDVLLEMLLPVESGCCMPPSNCGYKFKNATFWDAPKSGLASKGDECLSWKNGHGTLCYDCGTCKAGYLHQVRNDWKALNIFNTCFVTYLILILAFGFLCWPSDQSRRL >Potri.018G088400.2.v4.1 pep chromosome:Pop_tri_v4:18:10709883:10716056:1 gene:Potri.018G088400.v4.1 transcript:Potri.018G088400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ5 MALKLVSRKLGSKFLPMLSSTSPLHSHATSFGFKHVREEEKSQMVGNVFSSVASNYDLMNDLMSGGLHRLWKDRLVSMLNPFPGMKHLDVAGGTGDVAFKILDGISSIKRRANQDPINDHLQEETQIHVCDINPNMLNVGKKRAIERGLGEDKSLIWVEGDAEALSFEDNTMDGYTIAFGIRNVTHIEKVLAEAYRVLKHGGRFLCLELSHVEVPVFKELYDYYSFSVIPAVGELVAGDRDSYQYLVESIRRFPPQVKFASMIADAGFQKVEYENLVGGVVAIHSGLKI >Potri.011G065700.1.v4.1 pep chromosome:Pop_tri_v4:11:5621879:5627421:1 gene:Potri.011G065700.v4.1 transcript:Potri.011G065700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065700.v4.1 MATASSASLFQAARYGYSPSPRFSNNCHYSVRNGGVAVGVGSSSSASSPSSSAHHGFNFSSSGVNNNWKPQGIKSQATNTATKGRFSSSSGAMNGKSEPDHLLVLVHGILASPSDWTYVEAELKRRLGKNFLIYASSCNTYTKTFSGIDGAGKRLADEVMRVVQKRESLKRISFLAHSLGGLFARHAISVLYSEIAVNTGQSIDVAADTSLPNSNTTCSSRRGMIAGLEPINFITLATPHLGVRGRKQLPFLLGIPILEKLALPIAPIIVGRTGSQLFLTDGKPNKPSLLLRMTSDSEDGKFLSALGAFRCRILYANVSYDHMVGWRTSSIRREMELVTPPMRSLDGYKHVVDVEYCPPVSSDGPHFPPEAAKAKEAAQNEPSMKNTAEYHELMEEEMISGLQRLGWKKVDVSFHSAFWPFFAHNNIHVKNEWFHNAGTGVISHVADSLKQQESAMYIAASL >Potri.001G300200.1.v4.1 pep chromosome:Pop_tri_v4:1:31103755:31104994:-1 gene:Potri.001G300200.v4.1 transcript:Potri.001G300200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G300200.v4.1 MDCDRVKGPWSPEEDALLKHLVIKHGPRSWTMIARAVPGRSGKSCRLRWCNQLSPVVEHRAFTREEDVIIINAHIKYGNKWAAIARLLDGRTDNAIKNHWNSKLKRKYADFIVHGGMVNEDGVKDKSAKTVSSSSVLERANTPSGSEVSDAGLPGTSSLLVQENVPVVESGISNNADVSTELTLSVPGMKSGQLGRDHGVGNKGLLTGDAEKTMTFGPKLLAVMQEMIRKEVRDYMVGIGGKSG >Potri.001G163700.6.v4.1 pep chromosome:Pop_tri_v4:1:13897673:13900541:1 gene:Potri.001G163700.v4.1 transcript:Potri.001G163700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163700.v4.1 MCGGAIISDFIPPTTTARSSRRFAEGFEWFGMKKPLDNKKYSKPVVINLDDDFEADFQEFKDESDVDENYDVFVDAKPFSFSATASAPAKKRSAPVKSAEFSGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPLASSKQSIKENSRKPLPKTNSSQSFSYLSNPELNYNNMGFVEEKPLVNQFGSMNSFPVNGDSGMKTLAPSDSAPMCFNSDQGSNSFDCDFGWGEQTPMTPESLTVLAATPEADEYLLANPEELESYSENAVPVEEKNGKSLSEELLAFDSQLKYLQMPDAEGSSWEASLDSFLNVETTQDGTNAMDLWSFDDFPSMVGGVY >Potri.001G163700.5.v4.1 pep chromosome:Pop_tri_v4:1:13897673:13900778:1 gene:Potri.001G163700.v4.1 transcript:Potri.001G163700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163700.v4.1 MCGGAIISDFIPPTTTARSSRRFAEGFEWFGMKKPLDNKKYSKPVVINLDDDFEADFQEFKDESDVDENYDVFVDAKPFSFSATASAPAKKRSAPVKSAEFSGQAEKSAKRKRKNQYRGIRQRPWGKWAAEIRDPRKGVRVWLGTFNTAEEAARAYDAEARRIRGKKAKVNFPDEAPLASSKQSIKENSRKPLPKTNSSQSFSYLSNPELNYNNMGFVEEKPLVNQFGSMNSFPVNGDSGMKTLAPSDSAPMCFNSDQGSNSFDCDFGWGEQTPMTPESLTVLAATPEADEYLLANPEELESYSENAVPVEEKNGKSLSEELLAFDSQLKYLQMPDAEGSSWEASLDSFLNVETTQDGTNAMDLWSFDDFPSMVGGVY >Potri.001G232000.1.v4.1 pep chromosome:Pop_tri_v4:1:25063750:25065082:1 gene:Potri.001G232000.v4.1 transcript:Potri.001G232000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232000.v4.1 MDSHSHYTTIRLTMFGIVLMSVMVSLAMAGKDKDSEECAEQLVGLATCLPYVGGDAKAPTPDCCNGLKQVLKDNKKCLCVIIKDRNDPELGLKINATLALSLPSVCHAPANVSQCPALLNLPPNSPDAQIFYQLANSSNHIASSPALSPSPGGAQPQGRSAQQESNGCHSGKINFGLQIASLGVLGWCFNIYSHLFM >Potri.009G048200.2.v4.1 pep chromosome:Pop_tri_v4:9:5392827:5395236:1 gene:Potri.009G048200.v4.1 transcript:Potri.009G048200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048200.v4.1 MWINKLLLWSCYQCMCNEYCNADHRTVTSRCRHWIQQPGAILLPETPNSLVEQGKLEEGRKILEEVRGTTNVDAEFADLIDASNEAKAIKNPFRNLLRRKNRPQLIIGALEIPMFQQLTGMNSIMLLSSSKAWVLRQERLFIHLSLLVGHFLLARSRQSHLSTNLAEELFFIEASFEMFSYMVALAVTLALKFGQGEALSKALSVFLVVIICLCCFAYGRSWGPLGWLVPSELFPLETRSAGQSIVGCVNMIFTALIAQCFLVSLCHLRYGFFLLFGAMVAFMGSFIFFLLPETKQVPIEEVYLLFQNHWYWKKIVGDGTNDDELDGKKGQAT >Potri.001G324900.5.v4.1 pep chromosome:Pop_tri_v4:1:33394728:33396945:-1 gene:Potri.001G324900.v4.1 transcript:Potri.001G324900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324900.v4.1 MLFKWPLFCFRPCSSSSPPTGREIQQEEAAGPTCENVGSIYDDKGGCKDEETNSSASRSRKSFSSFAIERGFLKWVLRSNDKDRGLYREREPVHKGRKDVSDSPKMEREPEAANVVQEAKHVKTVNSKVMAEEKIIRRWNPSKGAGDNEVMEGAASNGIREPGSGKKKKSKI >Potri.001G324900.4.v4.1 pep chromosome:Pop_tri_v4:1:33394729:33396944:-1 gene:Potri.001G324900.v4.1 transcript:Potri.001G324900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324900.v4.1 MLFKWPLFCFRPCSSSSPPTGREIQQEEAAGPTCENVGSIYDDKGGCKDEETNSSASRSRKSFSSFAIERGFLKWVLRSNDKDRGLYREREPVHKGRKDVSDSPKMEREPEAANVVQEAKHVKTVNSKVMAEEKIIRRWNPSKGAGDNEVMEGAASNGKENVKEDNTLYFQEPPSFRIYCVHNVSVDGDSNGG >Potri.001G324900.3.v4.1 pep chromosome:Pop_tri_v4:1:33394729:33396944:-1 gene:Potri.001G324900.v4.1 transcript:Potri.001G324900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324900.v4.1 MLFKWPLFCFRPCSSSSPPTGREIQQEEAAGPTCENVGSIYDDKGGCKDEETNSSASRSRKSFSSFAIERGFLKWVLRSNDKDRGLYREREPVHKGRKDVSDSPKMEREPEAANVVQEAKHVKTVNSKVMAEEKIIRRWNPSKGAGDNEVMEGAASNGKENVKEDNTLYFQEPPSFRIYCVHNVSVDGDSNGGIREPGSGKKKKSKI >Potri.007G134300.1.v4.1 pep chromosome:Pop_tri_v4:7:14688258:14690831:-1 gene:Potri.007G134300.v4.1 transcript:Potri.007G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134300.v4.1 MKSALMGSLKFQIPQLGFHKTLQKPHLPRSSVITCSLRGKPRPPLWRAKRLSTEAIQVIQSLKLARSSTPRLEEVFNSKLSRLLKTDLLDTLAVLQNQNELDLALKVFEFVRKEVWYKPDILLYHAMIQMVGRNKMVEMAEEFFGKLEKEEGLKPDTRAFTEMIGAYLQVGMIDKAMETYERMKASGCDPDKLTFRILIRNLEDAGKEELVDRIKKECGDYMDYPKKFLEEVERKKNVKRLVVDFF >Potri.012G124744.1.v4.1 pep chromosome:Pop_tri_v4:12:14172041:14173349:1 gene:Potri.012G124744.v4.1 transcript:Potri.012G124744.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124744.v4.1 MKIIQLIKVKFRNKLRDNKFVEIEQRRMPDFVYEGLDQVLAERNSLKDQLSEANRKIADLENKARAFEVQGIQQFLNYQVSHGKKEKDGGKDEEIERLEGELGIKISLTDQQSVGEKVIKRFEYHMQSKDEKIRLLKYELATKDEEMQRLKSQQDRREVEYGRLRNTMQTAYTDMVNAATTLDNAFKFRARNPPEKGIANYMYKEKDLIGAISSAEGFM >Potri.010G108800.1.v4.1 pep chromosome:Pop_tri_v4:10:12951670:12953205:-1 gene:Potri.010G108800.v4.1 transcript:Potri.010G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108800.v4.1 MYSSNSSSYDKFSNSQPPVFSQDTSTTGIPVSTTSQFYSTDDSRSSIELRSKSKGPWSTGLCDCFDDWRNCCVTFWCPCITFGQIAEIVDKGASSCGVNGALYALISCVTCCPCCYSCFYRAKMRQQYLLRETPCGDCLVHCCCEYCSLCQEYRELKSRGYDLAMGWHGNVEKKNRSVEMASVPPTVEEGMSR >Potri.017G016800.4.v4.1 pep chromosome:Pop_tri_v4:17:1236318:1245624:-1 gene:Potri.017G016800.v4.1 transcript:Potri.017G016800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016800.v4.1 MMQKMTNPVSFPEKDSNNTNNAEPSNAGRPIKFIYYDESGKFKVHPDAVDALMQIKGPIGVVSVCGRARQGKSFLLNQLLGTSTGFQVGSTHRPCTKGIWIWSEPLKRAALDGTEYSLVLLDCEGIDAYDQTGTYSAQIFSLAILLSSLFVYNQMGVIDEAALDCLSLVSEMTKHIHVKASGEKDTVPDLGHFSPVFVWLLRDFYLDLKEDNTKITPCNYLELALRPVLGSGKDVAARNKIRESIRALFPNRECFTLVSPLNNEADLQHLDRVLLDKFRPEFLSGLNMLAKFVFERTKPKQVGGTVMTGPILAGITKSFLDALNRGAVPTISSSWQNVEESECQKAFDTAIEVYMSAFDRTKPADEVSLQEVHDEAVQKSISVYNASAVGVGSARQKYEVLLQNFCKKAFEDYKRNAFIEADIQCLNAIQNMERKLKAACQVDDAQIERVVIVLDSLLSEYEASVHGPAKWQKLSSFLQQSLQGPILHHAKKLIDEASSDKNVLILKCSSMEDKMQMLHKKLEASEKFKTEYRKSYEDAINDLNKVSECYKSRITDLERKCSSLEERYSSSLEMLDSAKQESLEWRRKYEETWNKKAVKDQVKVGTMSGAHEAEARLAASHGQTQLAWKKADEWKEKYVIAVNEFKANIEKENVLLEYPIEDVNCREEALSAESCDSLAEKDNEIKVKIAKLEEAEQKITTLNLDLKGAQEKMDKYELELSALKLQLKNLSDKYESVKTVAHALEMQAQILVQDRTQMEQKYLTESKRFEEANERCKVTAEEVKVANKFVETAQSDVLAAQNSKWDASQIAIERLAQMETAQKQIEDLERQKVDLTSEVDRLRISEVNAISRVALLEAMVKERDQELEALKMKCEQRLSSVLGENIMANGPSLHAELQQRKLVSPQVELSPDHSNETALGSEMKTIAWGKRSRLKVLSAGQDSVQDMDIDEEIARESKKPKMALQKCTTTEVENSDVKANEDSEDRKAGSRSYVRLTVLKMQQELTELGFGGDLLELKSSKKKDVYALYKRLVLKK >Potri.017G016800.2.v4.1 pep chromosome:Pop_tri_v4:17:1236318:1245588:-1 gene:Potri.017G016800.v4.1 transcript:Potri.017G016800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016800.v4.1 MMQKMTNPVSFPEKDSNNTNNAEPSNAGRPIKFIYYDESGKFKVHPDAVDALMQIKGPIGVVSVCGRARQGKSFLLNQLLGTSTGFQVGSTHRPCTKGIWIWSEPLKRAALDGTEYSLVLLDCEGIDAYDQTGTYSAQIFSLAILLSSLFVYNQMGVIDEAALDCLSLVSEMTKHIHVKASGEKDTVPDLGHFSPVFVWLLRDFYLDLKEDNTKITPCNYLELALRPVLGSGKDVAARNKIRESIRALFPNRECFTLVSPLNNEADLQHLDRVLLDKFRPEFLSGLNMLAKFVFERTKPKQVGGTVMTGPILAGITKSFLDALNRGAVPTISSSWQNVEESECQKAFDTAIEVYMSAFDRTKPADEVSLQEVHDEAVQKSISVYNASAVGVGSARQKYEVLLQNFCKKAFEDYKRNAFIEADIQCLNAIQNMERKLKAACQVDDAQIERVVIVLDSLLSEYEASVHGPAKWQKLSSFLQQSLQGPILHHAKKLIDEASSDKNVLILKCSSMEDKMQMLHKKLEASEKFKTEYRKSYEDAINDLNKVSECYKSRITDLERKCSSLEERYSSSLEMLDSAKQESLEWRRKYEETWNKKAVKDQVKVGTMSGAHEAEARLAASHGQTQLAWKKADEWKEKYVIAVNEFKANIEKENVLLEYPIEDVNCREEALSAESCDSLAEKQDNEIKVKIAKLEEAEQKITTLNLDLKGAQEKMDKYELELSALKLQLKNLSDKYESVKTVAHALEMQAQILVQDRTQMEQKYLTESKRFEEANERCKVTAEEVKVANKFVETAQSDVLAAQNSKWDASQIAIERLAQMETAQKQIEDLERQKVDLTSEVDRLRISEVNAISRVALLEAMVKERDQELEALKMKCEQRLSSVLGENIMANGPSLHAELQQRKLVSPQVELSPDHSNETALGSEMKTIAWGKRSRLKVLSAGQDSVQDMDIDEEIARESKKPKMALQKCTTTEVENSDVKANEDSEDRKAGSRSYVRLTVLKMQQELTELGFGGDLLELKSSKKKDVYALYKRLVLKK >Potri.001G446966.2.v4.1 pep chromosome:Pop_tri_v4:1:47190046:47192883:1 gene:Potri.001G446966.v4.1 transcript:Potri.001G446966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G446966.v4.1 MAIESVGGSIVSKIAELLVEPTIRQFRYMFCFNNFVQEFDEQMMNLALAFYPLQDAVDVAKRNAEEIEINVNTWLEKAKNEIEGVNHLQNEKGKIGKCFTWCPNPTRQLKLSKALAKKTETLRKLEANSKFPKVSYKPPLQGIEFLPSEELTPSESSKAAFEQIMKALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAKESKLFDEVLMATLSQNPNVIDIQDRMADSLGLHFGEKTKEGRADRLWQRLKTEKKMLIILDDVWKVLNLKEIGIPFGDAHRGCKILLTTRLENICSSMKCQPKVFLSLLSENEAWGLFKINAGLHDEDSTLNTVAKEVARECKGLPIALVTVGRALRDKSAVEWEVASKELKNSQFRHMDELDEQENAYACLKLSYDYLKHEKAKLCFLLCCLFPEDYDIPIEELTRYAVAYGLHQDVESIEDARKRVCATLS >Potri.010G109900.2.v4.1 pep chromosome:Pop_tri_v4:10:13030576:13034699:-1 gene:Potri.010G109900.v4.1 transcript:Potri.010G109900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109900.v4.1 MVGNKFATMLQRNTNKITLILVYAILEWILIILLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHFFEPANFQNSYRSLVCDDHAKEISKLGYCSSHRKLAESQDMCEGCSSSSSHGESLSKFAFFPWMTQLGVLQDLGGDKVSENGEEDLKCSCCGVCLDTKLYCDDYYLIKPSYWGDSDFTQKGNLVLEHQVDNTVDVDDHSDRERSDFVSDFCEGEQGIGENRGIEIGNGEEEVKQNFSCSVSNFYCKEVVADDGEKEEMVMKKEEEPVKKDDLNVQMDNPPGDQPAMVQAGSSKDTATEIQPQHLEFYIDQDDCHLIPVELIGFNSTEKQIPKRHEKGVEENSGNEDFVLEFDKQVGTQYELVVEDRSNLEEEVPLLSVDDNEEEPSVAVVESREILEKESSSSRHSDLDLVEEECEHVATAQPTHTPSNDGNHAQESALIAGEDVDSDYNQVCAVSEEVLQMQSDEIEADVSIGTEIPDQEQIDDVHYVEEVSPSYSCMQEDPSTSDADYHAYEDHGSKQAEEDAIEFRTITVETGEPSLHTESNELEEDKIPDTPTSMDSLHHLQKKLLLLERRESGTEESLDGSIISDIEAGDGVLTMEKLKSALRAERKTLSALYAELEEERSASAVAASQTMAMINRLQEEKAAMQMEAFQYQRMMEEQSEYDQEAMQLLSELVVKREKEKAELEKELEVYRKKVQDNEMKDKLIMLKRRKDGSTTSVTTSPSCSNAEDTDGLSVDLNHEGKEVIESFDNHQESSHPNTPVDAVLYLDESLANFEEERVSIVEQLKVLEEKLFMLSDEEEQHFEDMKPIEHLYQENGNGYSEICDYSSESNGVANGQHKEMNGKHHQERRNIGAKAKRLLPLFDAIDTESEDILNGHSEGFDSVALQKSVNKFDMNSKKLAVEEEVDHVYERLQALEADREFLKHCMTSLRKGDKGIELLQEILQHLRDLRNVEQRVRNLEDGAL >Potri.010G109900.3.v4.1 pep chromosome:Pop_tri_v4:10:13030576:13034720:-1 gene:Potri.010G109900.v4.1 transcript:Potri.010G109900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109900.v4.1 MVGNKFATMLQRNTNKITLILVYAILEWILIILLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHFFEPANFQNSYRSLVCDDHAKEISKLGYCSSHRKLAESQDMCEGCSSSSSHGESLSKFAFFPWMTQLGVLQDLGGDKVSENGEEDLKCSCCGVCLDTKLYCDDYYLIKPSYWGDSDFTQKGNLVLEHQVDNTVDVDDHSDRERSDFVSDFCEGEQGIGENRGIEIGNGEEEVKQNFSCSVSNFYCKEVVADDGEKEEMVMKKEEEPVKKDDLNVQMDNPPGDQPAMVQAGSSKDTATEIQPQHLEFYIDQDDCHLIPVELIGFNSTEKQIPKRHEKGVEENSGNEDFVLEFDKQVGTQYELVVEDRSNLEEEVPLLSVDDNEEEPSVAVVESREILEKESSSSRHSDLDLVEEECEHVATAQPTHTPSNDGNHAQESALIAGEDVDSDYNQVSEEVLQMQSDEIEADVSIGTEIPDQEQIDDVHYVEEVSPSYSCMQEDPSTSDADYHAYEDHGSKQAEEDAIEFRTITVETGEPSLHTESNELEEDKIPDTPTSMDSLHHLQKKLLLLERRESGTEESLDGSIISDIEAGDGVLTMEKLKSALRAERKTLSALYAELEEERSASAVAASQTMAMINRLQEEKAAMQMEAFQYQRMMEEQSEYDQEAMQLLSELVVKREKEKAELEKELEVYRKKVQDNEMKDKLIMLKRRKDGSTTSVTTSPSCSNAEDTDGLSVDLNHEGKEVIESFDNHQESSHPNTPVDAVLYLDESLANFEEERVSIVEQLKVLEEKLFMLSDEEEQHFEDMKPIEHLYQENGNGYSEICDYSSESNGVANGQHKEMNGKHHQERRNIGAKAKRLLPLFDAIDTESEDILNGHSEGFDSVALQKSVNKFDMNSKKLAVEEEVDHVYERLQALEADREFLKHCMTSLRKGDKGIELLQEILQHLRDLRNVEQRVRNLEDGAL >Potri.010G109900.1.v4.1 pep chromosome:Pop_tri_v4:10:13030566:13034735:-1 gene:Potri.010G109900.v4.1 transcript:Potri.010G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109900.v4.1 MVGNKFATMLQRNTNKITLILVYAILEWILIILLLLNSLFSYLIIKFADYFGLKRPCLWCSRLDHFFEPANFQNSYRSLVCDDHAKEISKLGYCSSHRKLAESQDMCEGCSSSSSHGESLSKFAFFPWMTQLGVLQDLGGDKVSENGEEDLKCSCCGVCLDTKLYCDDYYLIKPSYWGDSDFTQKGNLVLEHQVDNTVDVDDHSDRERSDFVSDFCEGEQGIGENRGIEIGNGEEEVKQNFSCSVSNFYCKEVVADDGEKEEMVMKKEEEPVKKDDLNVQMDNPPGDQPAMVQAGSSKDTATEIQPQHLEFYIDQDDCHLIPVELIGFNSTEKQIPKRHEKGVEENSGNEDFVLEFDKQVGTQYELVVEDRSNLEEEVPLLSVDDNEEEPSVAVVESREILEKESSSSRHSDLDLVEEECEHVATAQPTHTPSNDGNHAQESALIAGEDVDSDYNQVSEEVLQMQSDEIEADVSIGTEIPDQEQIDDVHYVEEVSPSYSCMQEDPSTSDADYHAYEDHDELFIALGSKQAEEDAIEFRTITVETGEPSLHTESNELEEDKIPDTPTSMDSLHHLQKKLLLLERRESGTEESLDGSIISDIEAGDGVLTMEKLKSALRAERKTLSALYAELEEERSASAVAASQTMAMINRLQEEKAAMQMEAFQYQRMMEEQSEYDQEAMQLLSELVVKREKEKAELEKELEVYRKKVQDNEMKDKLIMLKRRKDGSTTSVTTSPSCSNAEDTDGLSVDLNHEGKEVIESFDNHQESSHPNTPVDAVLYLDESLANFEEERVSIVEQLKVLEEKLFMLSDEEEQHFEDMKPIEHLYQENGNGYSEICDYSSESNGVANGQHKEMNGKHHQERRNIGAKAKRLLPLFDAIDTESEDILNGHSEGFDSVALQKSVNKFDMNSKKLAVEEEVDHVYERLQALEADREFLKHCMTSLRKGDKGIELLQEILQHLRDLRNVEQRVRNLEDGAL >Potri.002G092200.1.v4.1 pep chromosome:Pop_tri_v4:2:6677899:6679191:1 gene:Potri.002G092200.v4.1 transcript:Potri.002G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092200.v4.1 MGVAGTLEYFSDLLSNLKRRRKKKQMQTVALKVRMDCEGCERKVKSVLSGVKGVKSVGVDMKQQKVTVTGNVEPKKVLKAAQSTKKKVEMWPYVPYTLVAHPYVSQAYDKKAPPNHVRAIPVTATISETTMDDNYTNMFSDENPNACSIM >Potri.006G112200.1.v4.1 pep chromosome:Pop_tri_v4:6:8714280:8718973:-1 gene:Potri.006G112200.v4.1 transcript:Potri.006G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112200.v4.1 MERFLSAMVPVGNQAGGQSLLNTIKIAVLPIAKVFTMCFLGFLMASKYVNILPASGRKLLNGLVFSLLLPCLIFSQLGQAVTLEKMLEWWFIPMNVVLGSISGSIIGFVVASIVRPPYPFFKFSIIQIGIGNIGNVPLVLIAALCRDTSNPFGDSEKCSTDGTAYISFGQWVGAIILYTYVFNMLAPPPEVTFDIEDANLSIKSPAKDAPPEQVPLLLQEDAPEELDALKRGKIKQFLVFLYVKLKLKQILQPPIIASILAMFLGAVPFLKRSIFTTDAPLFFFTDSCMILGEAMIPCILLALGGNLIDGPGSSKLGFRTTAAIIFGRLVLVPPAGLGIVTLADKLGFLPPGDKMFKFVLLLQHTMPTSVLSGAVANLRGCGREAAAVLFWVHIFAIFSMAGWIVLYLNLLF >Potri.004G202300.1.v4.1 pep chromosome:Pop_tri_v4:4:21178362:21178607:-1 gene:Potri.004G202300.v4.1 transcript:Potri.004G202300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202300.v4.1 MMLTQLLITNFWCYLIYCSNTWLIFCYNIFMQGERERMAARVVLFLSQPYDERCCFSISLPQWSSKASIQVSCVLILKEWH >Potri.010G060900.2.v4.1 pep chromosome:Pop_tri_v4:10:9089109:9090154:-1 gene:Potri.010G060900.v4.1 transcript:Potri.010G060900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060900.v4.1 MAERVKLLGALPSPFVYRVIWALKLKAIPYEFIEEDLTNKSPLLLKYNPVHKKIPVLLHGDKPVCESMIIVEYIDEMWPQNPLLPNDPYERALARFWVKFAEDKGTSVWRLFRAKGEELENTRKETLEMLHNVEEHALGEKKFFGGDSIGIADIAFGSVIYWLGLIEEVVGEGVIFEAHKFPRLHAWMKNFKQAPIIKENLPDRGWSVTFFKRRREAILAASA >Potri.006G191850.1.v4.1 pep chromosome:Pop_tri_v4:6:19897816:19901642:-1 gene:Potri.006G191850.v4.1 transcript:Potri.006G191850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191850.v4.1 MSTTQNEKCKGKHFTWSKPMSHMLLEILAEEAFKGNKPSSTFRAESFVKVATEISQKFNVQCEPKHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLNMITVSKDVYDEEVKAHPNHGKYLNKKLDMYEAMTIVV >Potri.010G067567.1.v4.1 pep chromosome:Pop_tri_v4:10:9543473:9546964:-1 gene:Potri.010G067567.v4.1 transcript:Potri.010G067567.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067567.v4.1 MAAANCSSGETCAALIHGKQMAPSRLQAWARLFVTKFLGSHISTDHLILEEEGGSTFTFEGTSKKCSLEVVLKVHNPQFYWKVMTRADIGLADAYIDGDFSFADKDQGLLDLFMVLIANRDANKSISKANKKRGWWTPSLFTAGIASAKFFLQHVLRQNTLTQARRNISRHYDLSNEVFSLFLGETMAYSCAIFKTEDEDLNTAQLRKISILIEKARIDKKHEILDIGCGWGTFAIEVVKQTGCKYTGLTLSVEQLKYAEMKVKEAGLQDNIRLLLCDYRELPKGYKYDRIVSCEMIEHVGHEYMEDFFSSCESALAEDGLLVLQSISIADECYDEHRRSSCFMREYIFPGGCLPSLSRITSAMGVASRLCVEHVENIGSHYYHTLRRWRKTFLENKSKILAMGFDEKFIRTWEYYFDYCAAGFKSYTLGDYQIVFSRPGNVGVLGNPYKGFPSAYRHLL >Potri.010G067567.2.v4.1 pep chromosome:Pop_tri_v4:10:9543473:9546964:-1 gene:Potri.010G067567.v4.1 transcript:Potri.010G067567.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067567.v4.1 MAAANCSSGETCAALIHGKQMAPSRLQAWARLFVTKFLGSHISTDHLILEEEGGSTFTFEGTSKKCSLEVVLKVHNPQFYWKVMTRADIGLADAYIDGDFSFADKDQGLLDLFMVLIANRDANKSISKANKKRGWWTPSLFTAGIASAKFFLQHVLRQNTLTQARRNISRHYDLSNEVFSLFLGETMAYSCAIFKTEDEDLNTAQLRKISILIEKARIDKKHEILDIGCGWGTFAIEVVKQTGCKYTGLTLSVEQLKYAEMKVKEAGLQDNIRLLLCDYRELPKGYKYDRIVSCEMIEHVGHEYMEDFFSSCESALAEDGLLVLQSISIADECYDEHRRSSCFMREYIFPGGCLPSLSRITSAMGVASRLCVEHVENIGSHYYHTLRRWRKTFLENKSKILAMGFDEKFIRTWEYYFDYCAAGFKSYTLGDYQIVFSRPGNVGVLGNPYKGFPSAYRHLL >Potri.017G117175.1.v4.1 pep chromosome:Pop_tri_v4:17:12439072:12442082:-1 gene:Potri.017G117175.v4.1 transcript:Potri.017G117175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117175.v4.1 MHTLTTTSSFLLTLFLSLHLTTSLPSNDTGNLSNCNQNFSCGILTNVTYPFTGGLRPSHCGPPEFGLTCEDESVTILKANSLSYRVNILDQTSQTLRLSRSDLYDDGKCTRQFTNTTLDDRIFSLGSSNELFLFYGCKKINDSGMGSDPLLKISRFSCENDGVTEEGFFSIVYPYGTEYSFPNTFECQTNIRVPIPETRAQQLLENGSLVGEVLKEGFDVSYGNPYSANCTECYKKHPGEYCGFDTQLGKPICICHDQLCPGKQKSLVFMSLFVQRLLGYA >Potri.017G117175.2.v4.1 pep chromosome:Pop_tri_v4:17:12439072:12442169:-1 gene:Potri.017G117175.v4.1 transcript:Potri.017G117175.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117175.v4.1 MHTLTTTSSFLLTLFLSLHLTTSLPSNDTGNLSNCNQNFSCGILTNVTYPFTGGLRPSHCGPPEFGLTCEDESVTILKANSLSYRVNILDQTSQTLRLSRSDLYDDGKCTRQFTNTTLDDRIFSLGSSNELFLFYGCKKINDSGMGSDPLLKISRFSCENDGVTEEGFFSIVYPYGTEYSFPNTFECQTNIRVPIPETRAQQLLENGSLVGEVLKEGFDVSYGNPYSANCTECYKKHPGGTE >Potri.014G146150.1.v4.1 pep chromosome:Pop_tri_v4:14:9997389:9998136:-1 gene:Potri.014G146150.v4.1 transcript:Potri.014G146150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146150.v4.1 MKSIASCFFSFILLTNEESLHVCVSTMIDSCCFCCRRGNELAYPMMDSPGFQFSRFCFSISNFEGLNLFSHSCSTSDILIIWRIM >Potri.008G051000.2.v4.1 pep chromosome:Pop_tri_v4:8:2984997:2987205:1 gene:Potri.008G051000.v4.1 transcript:Potri.008G051000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051000.v4.1 MAATNSTDRRELSMANKYRDRETSPDRTKMTILPKSKSELKVAVVYYLTRNGQFEHPHFMEVPLSSPQGLQLKDVTDRLNHLRGQGMARMYSWSSKRRYKNGFVWQDLSETDSIHPCGGHEYILKGSLLLETSLSFRYDTTSSRTSKVFSDIISSSSEDSNSPAIRRKNRSWTTFDDLEEYKVYKAKITGEIAGEGTYNVSTQTDDNRRVKIDGTREIGHRGLSTMLGSKEETSQLSLKSSSKAFESLERSVEENGSSATIKYEKVGNDCPSGRMKPSVVLMKLVGCGSKRFSNKN >Potri.001G154700.1.v4.1 pep chromosome:Pop_tri_v4:1:12969363:12972792:-1 gene:Potri.001G154700.v4.1 transcript:Potri.001G154700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154700.v4.1 MIIEELSGGELEREKGGKELCVGSAKEESEGVIVWDAKRVFIGVGARALFYPTLLYNVVRNKVQAEFRWWDRVHEFILLGAVPFPSDVPCLKGLGVGGVITLNEPYETLVPTSLYHAYGIDHLVLPTRDYCFAPSLNDISQAVAFIHENVSSGQTTYVHCKAGRGRSTTIVICYLVHHKQMTPEAAYKYLRSIRPRVLLASAQWKAVKEYYHLKVNTSDHNIKMTDIVLGSPKPALLQDLVPFDDGCVVVVTEADLDGYKPNLESGPVGSEIWADLSVVCRVRVASQAALERISCLWLRSQTHQKIVGEQLNRKNSCSIMADHLGAISVDIHVY >Potri.005G198600.13.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRIICPW >Potri.005G198600.7.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRQV >Potri.005G198600.15.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482515:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNREQV >Potri.005G198600.2.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRAENRYERT >Potri.005G198600.8.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRAENRYERT >Potri.005G198600.11.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRIICPW >Potri.005G198600.22.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482515:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPFGLPTRNRV >Potri.005G198600.4.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRQV >Potri.005G198600.20.v4.1 pep chromosome:Pop_tri_v4:5:20478128:20482515:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNREQV >Potri.005G198600.16.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRIICPW >Potri.005G198600.19.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRIICPW >Potri.005G198600.9.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482515:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNREQV >Potri.005G198600.3.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482515:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNREQV >Potri.005G198600.18.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRAENRYERT >Potri.005G198600.10.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRQV >Potri.005G198600.14.v4.1 pep chromosome:Pop_tri_v4:5:20478128:20482515:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNREQV >Potri.005G198600.17.v4.1 pep chromosome:Pop_tri_v4:5:20478128:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRAENRYERT >Potri.005G198600.21.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGRGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRQV >Potri.005G198600.12.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRAENRYERT >Potri.005G198600.5.v4.1 pep chromosome:Pop_tri_v4:5:20478128:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPWVVATLIDFYINVVALSVWVAYKESSLISGFLWILLLICFGSMTTCAYVVKQLVQLTSQDPVYLILFNRGNRAENRYERT >Potri.005G198600.23.v4.1 pep chromosome:Pop_tri_v4:5:20478129:20482507:1 gene:Potri.005G198600.v4.1 transcript:Potri.005G198600.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198600.v4.1 MFNGGYSRSVYTIYVDGFPFRRDLLTPWMIATLVDFYINVIAVAAWISYKESNFLTATIWILLLICFGSITTCVYIFIQFLKLSPKESLQDPVYRVLLRHESKGVEQKMKQSAVMSARIAFSVLGFLMLGTLIYTLLTDGSPFRKELLTPFGLPTRNRV >Potri.005G230600.3.v4.1 pep chromosome:Pop_tri_v4:5:22982301:22986705:-1 gene:Potri.005G230600.v4.1 transcript:Potri.005G230600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230600.v4.1 MGSLQGPVIYPAVRAKQAGTYSFPMTGPLVKGWLIRSELRGFKGLSGCKSKVVLTSRQLKARRCSVVQCSLSSSSDGNGSTAENFNENHGDYVNSSVVEAVEVKSGSDGFVIKMRDGRHLRCVHNNPQGGHLPDNAPHPAIVLKMEDGTGLLLPIIVLEMPSVLLMAAVRNVQIARPTMYQVVKEMVEKMGYEVKLVRVTKRVHEAYFAQLYLTKIGNETECVSFDLRPSDAINIAVRCKVPIQVNKYLAYSDGMRVIESGKPIQSHASNGLLFTELDRPTGQPCLDTKEFDLVRNMLTAAIEERYGDAAQWRDKLGQFRAKRNLKKYT >Potri.005G211400.6.v4.1 pep chromosome:Pop_tri_v4:5:21558933:21561994:1 gene:Potri.005G211400.v4.1 transcript:Potri.005G211400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211400.v4.1 METLVVVAEHRNQYYSGVEPHGPAKFGASPSKHFRDINCRTFQSGAGLLPTPFQTSTTPVTKSTTLAPASHSPKTPSPAVKFHSNSHSVDNGRLKTASKSSPITFNVKISRKETSFNEAIGFVNGNLPFSELWAGPAYSNSPPPSSLPIPKFSMRPPKRTVSLDLPVSDDADFDVQPTAKSAPASPSREHSPSIRDLLLSADSATKTLRRILNLDVAGE >Potri.014G197900.1.v4.1 pep chromosome:Pop_tri_v4:14:12854375:12858770:1 gene:Potri.014G197900.v4.1 transcript:Potri.014G197900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197900.v4.1 MEVGFLGLGIMGKAMSMNLLKNGFKVTVWNRTLSKCNELVEFGASIGETPAQVVKKCRLTIAMLSDPAAALSVVFDKDGVLEQIDSGKGYIDMSTVDPETSSKISQAITSKGGAFLEAPVSGSKQPAETGQLVILAAGDKGLYEESIPAFDVLGKKSFFLGQVGNGAKMKLVVNMIMGSMMNAFSEGLVLSERSGLNPHDLLDILDLGGIANPMFRGKGPAMLKSNHSPAFPLKHQQKDMRLALALGDENAVSMPVAAAANESFKKARSMGLGDLDFSAVHEILKMTKD >Potri.001G062500.3.v4.1 pep chromosome:Pop_tri_v4:1:4817467:4820608:1 gene:Potri.001G062500.v4.1 transcript:Potri.001G062500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062500.v4.1 MSRAAAVELDFFAMGKENKSSPSKSKFLNRQRSFRDIQSAISKINPELLKSVIASGSAATKTTPENGNQFSNKSFSVPSTPKQEQPSFPVLPLYSPLPRPNMMIPPETAPLTIFYNGTVAVFNVPRDKAENILKLAEKRISKTSAEPMTDPKTDQQQLFESLDGDLPIARRKSLQRFLEKRKGR >Potri.001G062500.2.v4.1 pep chromosome:Pop_tri_v4:1:4817512:4819941:1 gene:Potri.001G062500.v4.1 transcript:Potri.001G062500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062500.v4.1 MSRAAAVELDFFAMGKENKSSPSKSKFLNRQRSFRDIQSAISKINPELLKSVIASGSAATKTTPENGNQFSNKSFSVPSTPKQEQPSFPVLPLYSPLPRPNMMIPPETAPLTIFYNGTVAVFNVPRDKAENILKLAEKRISKTSAEPMTDPKTDQQQLFESLDGDLPIARRKSLQRFLEKRKGRLTSVSPYVCNT >Potri.005G181900.1.v4.1 pep chromosome:Pop_tri_v4:5:18841627:18845384:-1 gene:Potri.005G181900.v4.1 transcript:Potri.005G181900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181900.v4.1 MSRIYNNSNKLYSGFNKMLKRRYMSSETSDTTKTICKIMMSSSVVTLDTALDQSGVRVSEQIVEDVLKKFENAGMVAYRFFEWAEKQRHYNHSVKAFHTVIDSLAKIRQYQLMWDVVKVMKSKRMVNVETFCIIMRKYARAQKVEEAVYTFNIMDKYDVPPNLAAFNGLLSALCKSKNVRKAQEIFDSIKDRFVPDSKTYSILLEGWGKDPNLPKAREIFREMVSNGCRPDIVTYGIMVDVLCKAGRVDEALGIVNEMDSTVCKPTPFIYSVLVHTYGIENRIEDAVSTFLEMENNGIEPDVAVYNALIGAFCKANRLKNVYRVLNEMDCKGVTPNSRTFNIILSSLIGRGETDEAYRVFLRMIKVCEPDADTYTMMIKMFCERDELKKALKVWKYMKLKRFMPSMHTFQVLINGLCEKGDVTQACVLLEEMIEKGIRPSGVTFGRLRQLLIKEGREDVLKFLQKKINVLVNDPLWEW >Potri.011G059200.1.v4.1 pep chromosome:Pop_tri_v4:11:4926457:4930695:-1 gene:Potri.011G059200.v4.1 transcript:Potri.011G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059200.v4.1 MAGEGEAKTLEETPTWAVATVCLFLILISMFIEHWLHLLAKYFNKKRRKYLIQALYKIKTEVMLLGFISLLLTVLEKPVANICIPKSAGETFLPCGGVDSSDWSEEEAKCAEQGKASLLSREGMRQLQYLIFVLASFHCLSSIFTFGLGMAKMRRWGSWEAETRTLDYQISTDPRRFQLSHQTPFGKRHLRYWNENSVLRWPACFLGQFYGSVPKVDYLTLRHGFIMAHFDQDNSFDFQKYIRRALDKDFGVLVGISFWMWMFSISFIFFNAHKFYSHYWLPFIPLLMLLLVGTKLQAIITLMCLDSHDKSLVVEETILVRPSDHFFWFGRPKLLLHLIQFILFQNSFQLAFFTWTLYKFGFRSCFHRRTEDIVITLVMGLLVHFLCGYVILPLYALVTQMGSSMRTAVFTENVVEGLKRWRARAKARKNLKISYSARPSLDASVDPSLPFDTSPSFSLSASYSIDPNPPLDRDHVTIEVLDEAKHNDEQPWELKRNGSFEGFNVSNAAPAMEKQSRL >Potri.010G005300.2.v4.1 pep chromosome:Pop_tri_v4:10:496297:497653:1 gene:Potri.010G005300.v4.1 transcript:Potri.010G005300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005300.v4.1 MFPELEKPRVTEIQVRMDCNGCVQKIKKALHGINGIYDLYIDFPQQKLTVIGWADPEKIIKAIRKTRKIATICSHSEPSDPAAQTTEKPPEQAPEGGAPPPPVSDQANPPTAEAPPAETAASTAEPPKDPPQPENPPPPGENPSPVAEETNANQPQRPPGPKDSGEVHVIYHHPPDYGYRYAYGHNYGGNWNRHPISQGVPQAAPKPIYVTHSYNTYRPSPNVTEYEYLRPPPPHHTIYSRMDHYNEDYHDNSRSNNGNITSMFSDENPNACRIM >Potri.004G095600.1.v4.1 pep chromosome:Pop_tri_v4:4:8205248:8207868:1 gene:Potri.004G095600.v4.1 transcript:Potri.004G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095600.v4.1 MDPQPLPQPQPQPQPPATTPKLRLMCSYGGQIIPQPHTKSLFYSGGDTRLISIPTGTSTGTATTNTTANGLTLSSLITHLSTTLKITTPITLKYQLPHHNLDSLISLSTDEDVLIMLDEHQNNRTPSRIRLFVFPTKPFLSQPELKATTQLNHPKTETWFVDALKNAKIVHLEGNGNNGGGFCGAESMVLETSSSFGSSSSSVSLSNLGVKGGFVEDNGTSLVDNKVKLPTPEGVSSDHGLGTAVCQDSQFVTYQDPVGAVSSVESKASLINPFESESKIPDPPPPMGVEMHKTVSVSGYPVSLQYDQPQQLQFIQTGGPHYIPQDSSGIAPMSSYYVMSSPVPQQQVYYQTNQPQPIYLVPVAQPHNLPGQRGLMNTATVASSHPPVHHHDSTMLPAQVAYPVADLTSQVYRTIPRTSSPVTVPCNENKQQCGGPPQMNLQSQPLCAASKEIGNCSKQIDNDHVHAQIYKSQPPPPTLPSQYQTMTPATTILLSEAIARLNTDSIKQQQPRISSHDEHNL >Potri.008G072500.1.v4.1 pep chromosome:Pop_tri_v4:8:4467567:4470826:-1 gene:Potri.008G072500.v4.1 transcript:Potri.008G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072500.v4.1 MATHSPNLECRMYEARYPEVDMAVMIQVKNIADMGAYVSLLEYNNIEGMILFSELSRRRIRSVSSLIKVGRIEPVMVLRVDKEKGYIDLSKRRVSEEDIQTCEERYNKSKLVHSIMRHVAETLGIDLEELYVNIGWPLYRKHGHAFEAFKIMVTDPDSVVGSLTREVKETGPDGQEVTKVVPAVSEEIKEALIRNIRRRMTPQPLKIRADIEMKCFQFDGVLHIKDAMRKAEAVGNADCPVKIKLVAPPLYVLTTQTLDKEQGIQILSQAIAACTEAIEGQKGKLVVKEPPRAVSERDDKLLAEHMLKLRSANEEISGDEESGDEEDTGMGDADVENSAGIVE >Potri.012G001900.2.v4.1 pep chromosome:Pop_tri_v4:12:1146674:1153591:1 gene:Potri.012G001900.v4.1 transcript:Potri.012G001900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001900.v4.1 MEKQDSAEAARLSATASQEPPRNEQNITPGAPVVGSSPVSSERNSSTKEVQDKIESCLHLFMNKEDVVKTLFELDGIDPAVTSLAWNQLEEEKPEIFKDFYTKLILEEPSSTSNQLLEQQNDLENFLACLDDPLAFIEEDFQHIPGTSWSPSAGQMKLLDILPWGDTIPLEVPIPSGDPYIDSLSTVSCRQLVNDENPASDSFHPAQMNFERLMAMDRNAAGIARVPLFINLEILNPASVADNDQTEAEHDPILSCLNSPEQPGPVFGYLTDTSGSAILPDLPDSNFNPGNSILPDTPETNFHPDFGTLLDPPKPKIDVDDYFNVSSLDSQSEEES >Potri.013G112800.2.v4.1 pep chromosome:Pop_tri_v4:13:12139617:12141306:-1 gene:Potri.013G112800.v4.1 transcript:Potri.013G112800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G112800.v4.1 MHRRTKFSFFSSPSLILLLLVVFFVIKMAESVPSTADSSKSVQIVYTEKPQDEEPEAYHIRTLASVLGSEDAAKEALIYSYKTAASGFSAKLTPEQVEQISKLPGVLQVVPSKTLQLHTGPGIGRLH >Potri.014G080100.5.v4.1 pep chromosome:Pop_tri_v4:14:5173105:5175696:1 gene:Potri.014G080100.v4.1 transcript:Potri.014G080100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080100.v4.1 MAQESSAIHAKRHAVVTGAEKGIGYEICRQLASNGILVVLTAIDEKMGLEAVQKLKDSGISDDLVVFHQLDVVDLNSIASLAEFVKTKFGKLDILVNNAGISGVALKADAFKRAFEQAGDFPTGKQVWAEIGTQSYEMAEQCVKTNYYGARGMVEALAPLLQLSDSPRIVNVSSMHGLLKNINNEWAKGLLNDIENLDEDRVDEVVNEFLKDFKEDLLESKGWPPYLSSYIVSKAAMSAYTRILAKKYPSFCVNCLCPGYCKTDITTNTGIFTASEGAENAVRLALLPEGGPSGCFFYQKQMPPCF >Potri.006G198901.1.v4.1 pep chromosome:Pop_tri_v4:6:20657642:20658525:-1 gene:Potri.006G198901.v4.1 transcript:Potri.006G198901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198901.v4.1 MHLPMDGTDSPSVSTDVVLQNHGNGKLKNSEVTHDQLHRGGRIQRCICHLHIELMVLILEHLSVADFLSFLSFCRCWRIAFSRFPLSFFDRLQGKLPCVVFLKANSSTKGTLEREVCCFCPRRHLFHATGKIGDPITQQAYNVSELQDKRLLLSK >Potri.001G196150.1.v4.1 pep chromosome:Pop_tri_v4:1:18735593:18735787:-1 gene:Potri.001G196150.v4.1 transcript:Potri.001G196150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196150.v4.1 MAVKPTVALRAALVGGVAIFAKVAGAMKAAGGVKLGAAATAVTAAATAAVSGPKKDSNDTSPSK >Potri.001G435400.1.v4.1 pep chromosome:Pop_tri_v4:1:46142713:46143300:1 gene:Potri.001G435400.v4.1 transcript:Potri.001G435400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435400.v4.1 MGNCLRHESQDFSGFDMQEKAMNSIKEEGLLGDNNTGEFTSCSPTTTTTSTTKVKIKITKKQLEELIGKVEVKELSVEQILSMLMNGSSNDRSYEAHDQLSWRPNLQSIPE >Potri.001G147900.2.v4.1 pep chromosome:Pop_tri_v4:1:12199459:12202988:1 gene:Potri.001G147900.v4.1 transcript:Potri.001G147900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147900.v4.1 MAPVSALAKYKLVFLEDQSVSKTSIITRFIQGISIIFGVLFFFDIECGATIGMDFLSKTMYLEDRTIHLQLWDTAGQERFKSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRTERGSDVIIVLVGNKTNLVEKSHSFWLVNLWSEQILTDLNYLMFSLSAQVSIEEGEAKARDLNVMFIETSAKAGFNIKALSSTKQEDMVDVNLKSSGGASSQTQPQAGGCDC >Potri.010G145700.2.v4.1 pep chromosome:Pop_tri_v4:10:15709409:15712710:1 gene:Potri.010G145700.v4.1 transcript:Potri.010G145700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145700.v4.1 MALCFSINATTLPQHHHGKVNYRSNKKCLESVRNLVKTTGVASVVSAPQESLQKGNWVKLICGASFEDVVDVRNLSLVYTLAGVDCIDCAADASIVNAVNEGIEAAREIVYLRKPWVMISVNDDEDLHFRKAEFDPEECPLDCSRPCETICPASAISLQQHQSTTELSHGTETLNGGVITERCYGCGRCFPVCPYDKIRMAMYTRDAAATAELLKRNDVDAIEIHTGGRQTAPFEGLWNDLGNSTGYLKLVAVSLPYAGDSTISSMNTIYTMMEPHLPCLNLWQLDGRPMSGDIGRGATRESIAFAACLAAVKDKPRGFFQLAGGTNAHTVEGLKKEGLFQTTLVAENSKDNRSMPTSLASSHALIGGIAYGGYARKIVGRVLSSMRSQHGLVHIEDYPEHLLQALANALDLVGTVKCYDPCT >Potri.010G145700.1.v4.1 pep chromosome:Pop_tri_v4:10:15709250:15712864:1 gene:Potri.010G145700.v4.1 transcript:Potri.010G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145700.v4.1 MALCFSINATTLPQHHHGKVNYRSNKKCLESVRNLVKTTGVASVVSAPQESLQKGNWVKLICGASFEDVVDVRNLSLVYTLAGVDCIDCAADASIVNAVNEGIEAAREIVYLRKPWVMISVNDDEDLHFRKAEFDPEECPLDCSRPCETICPASAISLQQHQSTTELSHGTETLNVLKGGVITERCYGCGRCFPVCPYDKIRMAMYTRDAAATAELLKRNDVDAIEIHTGGRQTAPFEGLWNDLGNSTGYLKLVAVSLPYAGDSTISSMNTIYTMMEPHLPCLNLWQLDGRPMSGDIGRGATRESIAFAACLAAVKDKPRGFFQLAGGTNAHTVEGLKKEGLFQTTLVAENSKDNRSMPTSLASSHALIGGIAYGGYARKIVGRVLSSMRSQHGLVHIEDYPEHLLQALANALDLVGTVKCYDPCT >Potri.008G100800.1.v4.1 pep chromosome:Pop_tri_v4:8:6327856:6330879:1 gene:Potri.008G100800.v4.1 transcript:Potri.008G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100800.v4.1 MAMASIARRKACFLTRNLSNSSSADAFKFSYSLTKFSRGFASSGSEENDVVVIGGGPGGYVAAIKAAQLGLKTTCIEKRGTLGGTCLNVGCIPSKALLHSSHMFHEAQHSFASHGVKFSSVEVDLPAMMAQKDKAVSTLTRGIEGLLKKNKVNYVKGYGKFISPSEVSVDTIDGANTVVKGKNIIIATGSDVKSLPGITIDEEKIVSSTGALALKKIPKKLVVIGAGYIGLEMGSVWRRLGSEVTVVEFAPDIVPSMDGEVRKQFQRMLEKQKMKFMLKTKVVGVDTSGDGVKLTLEPASGGDQTTLEADVVLVSAGRTPFTAGLGLDKIGVETDKVGRIPVDERFVTNVSGVYAIGDVIPGPMLAHKAEEDGVACVEFIAGKHGHVDYDKVPGVVYTHPEVASVGKTEEQVKALGVEYCVGKFPLLANSRAKAIDDAEGLVKIIAEKETDKILGVHIMAPNAGELIHEAALALTYDASSEDIARVCHAHPTMSEALKEAAMATHDKAIHI >Potri.008G069800.1.v4.1 pep chromosome:Pop_tri_v4:8:4266715:4269275:1 gene:Potri.008G069800.v4.1 transcript:Potri.008G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069800.v4.1 MTSSALEQTKHLSKMDSTTAAPPPTPSAQPNSQLASSAASADILSRLLHRLPPTLSLPTRRSSPATSFPLISLSDPNLQDLIFSASSQRGYFQLTNHNIPSKLATSAELESVSLFDLAKDKKESYFPKNWPLGFEGDEDGNGESFWLDAECSTVSTELVLASLRELTRALEKLGLEVIQMLSNGAGFENPLKEHPTRNYSLLCLHGGLDGNDDKPGLSGGSYPYIVGLQYQIRCQKYSLLTDSGWVTVLPQVDSIMVTVGDIAQVWSNGKLKKVRGRPKACLGDCENSRCISMSLLVTLPSESTVSPLLPKVITDGINANEDEIREDEEQDNIHSVCKTGRRFGSFPFDDYAWRVYHGPLLFKDPLDKYRI >Potri.001G079800.1.v4.1 pep chromosome:Pop_tri_v4:1:6340151:6341543:-1 gene:Potri.001G079800.v4.1 transcript:Potri.001G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079800.v4.1 MASFDHEASTLELIRQHLLTDFASMDSFISNLDDLCSTSTTSTTDDYYIKSESTEFDPFDQPQFKLQTQSSIESKPQYSSPKSSTSLSQRKPSMIKDIAIPPPATLNMNPQVAQPVVNKTDLSSEQDRHYRGVRRRPWGKYAAEIRDPNKKGARVWLGTFDTAIEAAKAYDSAAFRLRGSKAILNFPLEAGKSNSQQPEQFLETSCKKRKFEGIKSSVEGTNSITNKVVKRETSSPESEVKAVASGPLTPSSWKGFWDGETMGIFSVPPLSPLSPHPSFGYSRLMVV >Potri.001G143600.1.v4.1 pep chromosome:Pop_tri_v4:1:11825624:11829286:1 gene:Potri.001G143600.v4.1 transcript:Potri.001G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G143600.v4.1 MRFFKRIAGFLGFAKDDGGYEVKDQQQEEAEEQDDHQHPNHVRFRSNFQETGLPRKGFGVPVQVAVERPQLGPLIVSCISGDGGLQGLRWYAKRLRIDEDGDVADEFFDEVLPNTSSSADEQHKPLPRFEVKHSTRPAKIKKQMMSHDGKIQQCVEHQGRLQWV >Potri.011G045600.2.v4.1 pep chromosome:Pop_tri_v4:11:3569087:3576298:-1 gene:Potri.011G045600.v4.1 transcript:Potri.011G045600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045600.v4.1 MGFVKCGVFFVSVAVLMASFSVSYTDPNDVTAMNSLFVSLQYPQLIGWVAMGGDPCSDAWQGVGCVFSNITSLTLNGLNLGGTLNNDFNKFTSIIDIDVSDNHIGGDIPSALPSTLRNFSLARNQFTGRIPDTLNSLGQLLDLSFHNNQLIGGIPDVFQQMTSLSNLDLSGNNLSDQLPPSMGTLSSLSTLHLQNNRLTGTLNVLQDLPLEYLNVENNLFSGPIPEKLLDIPNFRKDGNPFNTSIIPSPPPAISPFPSLPPVAEAPQKQANGPSASVTLKSGRSKGFFASKRVIWIAVIGVVVIIIILGLCLLMSTCCKGRKANEEDNERHNVSVYKSHIDEPISKSSFERNNQEKVTKESTVKLQDQYGQEARRQEAYPKAQGEQDIDLKRMAAYSKQKMDHGINMTSMDANFMPLQPLPPPPPSLPIGNVIANPIGHAAHEKSHSTGTLSSHFPRIFTIATLQQYSNSFSEENFVGEGTLGSVYRAELPSGKLLAVKKLNSGASKQQTDEEFLQLVSSISKTQHDNIVEFVGYCNEHGQRLLVYEYCKNGTLYDALHADDEIHRKFSWNARVRLALGAARALQYLHEVCQPPIVHWNFKSSNILLDDKLVARVSDCGLAPLKSSGFATELSGRVLSAHGYGAPELELGSYTSKSDVYSFGVVMLELLTGRKSYDRSLSRGEQSLVRWAIHQLHDIDALSRMVDPSIKGACPVKSLSRFADIISRCVQWEPEFRPLMSEIVQDLLCML >Potri.011G045600.9.v4.1 pep chromosome:Pop_tri_v4:11:3569513:3576577:-1 gene:Potri.011G045600.v4.1 transcript:Potri.011G045600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045600.v4.1 MGFVKCGVFFVSVAVLMASFSVSYTDPNDVTAMNSLFVSLQYPQLIGWVAMGGDPCSDAWQGVGCVFSNITSLTLNGLNLGGTLNNDFNKFTSIIDIDVSDNHIGGDIPSALPSTLRNFSLARNQFTGRIPDTLNSLGQLLDLSFHNNQLIGGIPDVFQQMTSLSNLDLSGNNLSDQLPPSMGTLSSLSTLHLQNNRLTGTLNVLQDLPLEYLNVENNLFSGPIPEKLLDIPNFRKDGNPFNTSIIPSPPPAISPFPSLPPVAEAPQKQANGPSASVTLKSGRSKGFFASKRVIWIAVIGVVVIIIILGLCLLMSTCCKGRKANEEDNERHNVSVYKSHIDEPISKSSFERNNQEKVTKESTVKLQDQYGQEARRQEAYPKAQGEQDIDLKRMAAYSKQKMDHGINMTSMDANFMPLQPLPPPPPSLPIGNVIANPIGHAAHEKSHSTGTLSSHFPRIFTIATLQQYSNSFSEENFVGEGTLGSVYRAELPSGKLLAVKKLNSGASKQQTDEEFLQLVSSISKTQHDNIVEFVGYCNEHGQRLLVYEYCKNGTLYDALHADDEIHRKFSWNARVRLALGAARALQYLHEVCQPPIVHWNFKSSNILLDDKLVARVSDCGLAPLKSSGFATELSGRVLSAHGYGAPELELGSYTSKSDVYSFGVVMLELLTGRKSYDRSLSRGEQSLVRWAIHQLHDIDALSRMVDPSIKGACPVKSLSRFADIISRCVQWEPEFRPLMSEIVQDLLCML >Potri.011G045600.10.v4.1 pep chromosome:Pop_tri_v4:11:3569502:3576365:-1 gene:Potri.011G045600.v4.1 transcript:Potri.011G045600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045600.v4.1 MGFVKCGVFFVSVAVLMASFSVSYTDPNDVTAMNSLFVSLQYPQLIGWVAMGGDPCSDAWQGVGCVFSNITSLTLNGLNLGGTLNNDFNKFTSIIDIDVSDNHIGGDIPSALPSTLRNFSLARNQFTGRIPDTLNSLGQLLDLSFHNNQLIGGIPDVFQQMTSLSNLDLSGNNLSDQLPPSMGTLSSLSTLHLQNNRLTGTLNVLQDLPLEYLNVENNLFSGPIPEKLLDIPNFRKDGNPFNTSIIPSPPPAISPFPSLPPVAEAPQKQANGPSASVTLKSGRSKGFFASKRVIWIAVIGVVVIIIILGLCLLMSTCCKGRKANEEDNERHNVSVYKSHIDEPISKSSFERNNQEKVTKESTVKLQDQYGQEARRQEAYPKAQGEQDIDLKRMAAYSKQKMDHGINMTSMDANFMPLQPLPPPPPSLPIGNVIANPIGHAAHEKSHSTGTLSSHFPRIFTIATLQQYSNSFSEENFVGEGTLGSVYRAELPSGKLLAVKKLNSGASKQQTDEEFLQLVSSISKTQHDNIVEFVGYCNEHGQRLLVYEYCKNGTLYDALHADDEIHRKFSWNARVRLALGAARALQYLHEVCQPPIVHWNFKSSNILLDDKLVARVSDCGLAPLKSSGFATELSGRVLSAHGYGAPELELGSYTSKSDVYSFGVVMLELLTGRKSYDRSLSRGEQSLVRWAIHQLHDIDALSRMVDPSIKGACPVKSLSRFADIISRCVQASFPLLCGWIFFSWRIIYN >Potri.011G045600.4.v4.1 pep chromosome:Pop_tri_v4:11:3569467:3576607:-1 gene:Potri.011G045600.v4.1 transcript:Potri.011G045600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045600.v4.1 MGFVKCGVFFVSVAVLMASFSVSYTDPNDVTAMNSLFVSLQYPQLIGWVAMGGDPCSDAWQGVGCVFSNITSLTLNGLNLGGTLNNDFNKFTSIIDIDVSDNHIGGDIPSALPSTLRNLSFHNNQLIGGIPDVFQQMTSLSNLDLSGNNLSDQLPPSMGTLSSLSTLHLQNNRLTGTLNVLQDLPLEYLNVENNLFSGPIPEKLLDIPNFRKDGNPFNTSIIPSPPPAISPFPSLPPVAEAPQKQANGPSASVTLKSGRSKGFFASKRVIWIAVIGVVVIIIILGLCLLMSTCCKGRKANEEDNERHNVSVYKSHIDEPISKSSFERNNQEKVTKESTVKLQDQYGQEARRQEAYPKAQGEQDIDLKRMAAYSKQKMDHGINMTSMDANFMPLQPLPPPPPSLPIGNVIANPIGHAAHEKSHSTGTLSSHFPRIFTIATLQQYSNSFSEENFVGEGTLGSVYRAELPSGKLLAVKKLNSGASKQQTDEEFLQLVSSISKTQHDNIVEFVGYCNEHGQRLLVYEYCKNGTLYDALHADDEIHRKFSWNARVRLALGAARALQYLHEVCQPPIVHWNFKSSNILLDDKLVARVSDCGLAPLKSSGFATELSGRVLSAHGYGAPELELGSYTSKSDVYSFGVVMLELLTGRKSYDRSLSRGEQSLVRWAIHQLHDIDALSRMVDPSIKGACPVKSLSRFADIISRCVQWEPEFRPLMSEIVQDLLCML >Potri.011G045600.5.v4.1 pep chromosome:Pop_tri_v4:11:3569490:3576439:-1 gene:Potri.011G045600.v4.1 transcript:Potri.011G045600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045600.v4.1 MGFVKCGVFFVSVAVLMASFSVSYTDPNDVTAMNSLFVSLQYPQLIGWVAMGGDPCSDAWQGVGCVFSNITSLDVSDNHIGGDIPSALPSTLRNFSLARNQFTGRIPDTLNSLGQLLDLSFHNNQLIGGIPDVFQQMTSLSNLDLSGNNLSDQLPPSMGTLSSLSTLHLQNNRLTGTLNVLQDLPLEYLNVENNLFSGPIPEKLLDIPNFRKDGNPFNTSIIPSPPPAISPFPSLPPVAEAPQKQANGPSASVTLKSGRSKGFFASKRVIWIAVIGVVVIIIILGLCLLMSTCCKGRKANEEDNERHNVSVYKSHIDEPISKSSFERNNQEKVTKESTVKLQDQYGQEARRQEAYPKAQGEQDIDLKRMAAYSKQKMDHGINMTSMDANFMPLQPLPPPPPSLPIGNVIANPIGHAAHEKSHSTGTLSSHFPRIFTIATLQQYSNSFSEENFVGEGTLGSVYRAELPSGKLLAVKKLNSGASKQQTDEEFLQLVSSISKTQHDNIVEFVGYCNEHGQRLLVYEYCKNGTLYDALHADDEIHRKFSWNARVRLALGAARALQYLHEVCQPPIVHWNFKSSNILLDDKLVARVSDCGLAPLKSSGFATELSGRVLSAHGYGAPELELGSYTSKSDVYSFGVVMLELLTGRKSYDRSLSRGEQSLVRWAIHQLHDIDALSRMVDPSIKGACPVKSLSRFADIISRCVQWEPEFRPLMSEIVQDLLCML >Potri.006G259500.1.v4.1 pep chromosome:Pop_tri_v4:6:25585100:25592325:-1 gene:Potri.006G259500.v4.1 transcript:Potri.006G259500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259500.v4.1 MEPDVSIETFSMIRIAILPIGKIPHQTLRDYYSMFLHQHTIPLSSISSFYTEEQKSPFTNQPWDTGSLRFKFILGGSPPSPWEDFQSNRKILAVIGVCHCPLSPDLDSVIEEFNGVCKGYASARVTRCFGFLPCDSQLEDGGKKGDNLRLFPPADRQTQEMHLQTMMQEIAASLLMEFEKYVFQAESSGTILKTPLDSQASLSSEEVIKAKKRRLGRVQKTIGDYCLLAGSPVDANAHYSTALELARLTTDYFWYAGALEGSICALLIDPISQINPALEDEVRYRYNSVILHYKKSFIQESAQRVSPLSFELEANLKLARYLCRRELAKEAVELLTSAADGAKSLIDATDRLILYVEIARLFGTLGYQRKAAFFSRQVAQLYLQQDNKLAAISALQVLALTTKAYCVQSRASISDNSHINEVGSSHADSGKMHHQSVVSLFESQWSTLQMVVLREILLSAVRAGDPLAAWSAAARLLRSYYPLITPVGQNGLARALANSSERLPYGTRSSDPALPFVRLYSFPLHSSQMDIVKRNPAREDWWAGSAPSGPFIYTPFSKGEPNDSSKKELIWIVGEPVQILVELANPCGFNLKVDSIYLSVHSGNLDPFPISVDLPPNSSKVITLSGIPTSVGLVMLPGCIVHCFGVITEHLFRDVDNLLHGAAEGLVLSDPFRSCGSLRLKNVPVPNISVVPPLPLLVSHFVGGDGAIILYEGEIRDIYISLANAGTVPVEQAHISLSGKNQDSVLSIPYETLNSVLPLKPGAEVILPVTLKAWKLGLVDLDNASGSMGRQLKDSSSPSLLIHYAGPLTDCEDPPKGSAVPPGRRLVVPLNICVLQGLSFVKARLLSMEIPAHVGENLPKPVYVENSASKEANVSETKMDGLVKIDPFRGSWGLRFLELELSNPTDVVFEISVSVQVDSTDDKLTVGQDATVYGYPKTRIDRDFSARVLIPLEHFKLPILDGSFFMKDFKPDEAAGSRNSSFSEKSAKAELKASINNLISRIKVRWQSGRNSSGELNTKDAIQSALKTSAMDVLLPDPLTFGFRLVRNNLSQESNDSRPKGSVLAHDMTPMEVLVRNNTKEMIRMSLSITCRDVAGENCVEDTKATVLWSGVLNGITIEAPPLKESKHSFSLYFLVPGEYTLVAAAVVEDANDILRARAKTNSPDEPIFCRGPPFRVRVIGTA >Potri.012G125600.1.v4.1 pep chromosome:Pop_tri_v4:12:14228248:14231063:1 gene:Potri.012G125600.v4.1 transcript:Potri.012G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125600.v4.1 MGRGKIAITRIENRTARQVTFSKRRGGLFKKTHELSVLCDAEIGLIIFSSNGKLYEFCNESSSIPHIIRRYEISKGMRVLESNDWEQIQKESKRIRKETDDLQLSVRCYKGENLSSLHHEGLVELEKQLECSVNKVRAQKLELLQQQVDNLRRKEKMLEEENQQIQYHLHHVAMLEQQQAAAAMVKPMEQQRMLEQFQFSDEDQPISSLLQLAPLPPQFQPYRVQPTQPNLQDFSLSIPDPSNYI >Potri.012G125600.2.v4.1 pep chromosome:Pop_tri_v4:12:14228248:14231063:1 gene:Potri.012G125600.v4.1 transcript:Potri.012G125600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125600.v4.1 MGRGKIAITRIENRTARQVTFSKRRGGLFKKTHELSVLCDAEIGLIIFSSNGKLYEFCNESSSIPHIIRRYEISKGMRVLESNDWKESKRIRKETDDLQLSVRCYKGENLSSLHHEGLVELEKQLECSVNKVRAQKLELLQQQVDNLRRKEKMLEEENQQIQYHLHHVAMLEQQQAAAAMVKPMEQQRMLEQFQFSDEDQPISSLLQLAPLPPQFQPYRVQPTQPNLQDFSLSIPDPSNYI >Potri.002G023600.2.v4.1 pep chromosome:Pop_tri_v4:2:1471769:1474421:1 gene:Potri.002G023600.v4.1 transcript:Potri.002G023600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023600.v4.1 MPVAPSIPRLHSPFLCCPLNNISSSLSSFKSARHHPQRSPVSYPCIRAIDLDQNTIVAISVGVVSIAAGIGIPVFYESQIDNAAKRDNTQPCFPCSGSGAQKCRFCLGTGSVTVELGGDEKEVSRCINCDGIGSLTCTTCQGSGIQPRYLDRREFKDDD >Potri.005G052800.1.v4.1 pep chromosome:Pop_tri_v4:5:3338269:3340194:-1 gene:Potri.005G052800.v4.1 transcript:Potri.005G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G052800.v4.1 MVDVLTEEQTAEFQEAFCLFDKDGDGCITFEELATVIKSLDDSATDEELHIMISEVDVDGNGTIEFGEFLNLMARKMRENDAAEELKEAFKVFDKDQDGYISPNELRHVMINLGEQLTDEELEQMIREADLDGDGQVNYEEFVRIMLAA >Potri.003G046000.1.v4.1 pep chromosome:Pop_tri_v4:3:5377475:5378779:1 gene:Potri.003G046000.v4.1 transcript:Potri.003G046000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046000.v4.1 MKTPKLVYHPPPRTHFLWWCAAILCALLTTAVIIAGIIVFVGYLVIHPRIPVISVVDAHLLHFSYDGAGILVTQINIVVRSKNDNMKAHASFSNFNLELFFDGIRIAVLSTASPYEVRKNSSVDFNYDYTSDPIPLNPKQMDDVDAYLKEDEVRFDLKGGARARWKVGVLGSVGFWSHLNCQLHFHPSNGSYISRRCTSKAK >Potri.002G027900.1.v4.1 pep chromosome:Pop_tri_v4:2:1860301:1863387:1 gene:Potri.002G027900.v4.1 transcript:Potri.002G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027900.v4.1 MTTRGTRSEKVKRIFQQFDGNRDGGLNRDEMAALVVAVNPRVKFSEEQINAILDEVFRTYGEFIDGEKGLTYDGLLRTYDDGAGDVDRDFDALELELNGDNKGSSIEVEASSSSIVDERVIESQKKQRTADWAVSPNHGIVFDDTWKIVDDLEILIKRLKAKQAKDGKYKADNFDAFSDAGWSRELGPSSEISDKRVFWEESGSDYALFVKELGVLRSRADGARSREEAFDGHMAIGRVLYDHQLFKEALVSFKRACELQPVDVRPHFRAGNCLYVLGKYKEAKEEFLLALEAAEAGGNQWGYLLPQIYVNLGIALEGEGMVLSACEYYREAAILCPTHFRALKLLGSALFGVGEYKAAVKALEEAIFMKPDFADAHCDLASALHAMGDDEKAIEVFQKAIDLKPGHVDALYNLGGLYMDLGRFQRASEMYTRVLAVWPNHWRAQLNRAVSLLGAGETEEAKKALKEALKLTNRVELHDAISHLKQIQKKKVKGNGGANGEGVFVIVEPSKFKRLNDKTTLRQDLANALQIRAFQRITRLSRCDVELLKKEMSENDVPVSYSGGGVPEKSIRKPNLEEVLRRLLNFLKPETFQGAVKAINERILSVFDETGQGRVDLGMFYAILAPICSGNPEKRKRVAFDALLWRPVSESGSQIKAADAVTFIKFLRAIYVPSHGVSEMLEVHGEADSSMVSFKEFLVMFDDPDWGFGIMSTLMKLESGDRNRHGHYVCSVCRYPIIGSRFKEIKSHFSLCNQCYSEGKVPPAFKQDEYIFKEYGSEAEAMKDKCTCLPLQSHNDR >Potri.006G217500.1.v4.1 pep chromosome:Pop_tri_v4:6:22287234:22290781:1 gene:Potri.006G217500.v4.1 transcript:Potri.006G217500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217500.v4.1 MEHNDNWASRASRKRKLEAQDKGMLSLSMDELNEDLLERVLSWLPTSAFFRAASVCKRWKSVSDSASFKLACSEIPSREPWFLMVDPHLNQSTIFDSADRSWKKLNYPPLLKQSSNCDSIPVAASGGLVCFHMGACNFIVCNPVTGSCRELPPVHAAQETHSLLAVAMNAQNNQSYKLVLVSGELPKLSCKVYNSSTGCWGEEIFLRRKVDESQEFDSNDDNAVYFLSKAGNVVATDMQRSPSKQYSSVITVKDGEEIVYFLSSSGTIVSCNLTTKCFSEYPRLLPVFCEYSIDVVECKGEMLVVVLSEFFESANLRIWRFDESIRSWNQIVAMPPAMSHEFYGKKVDINCVGAGDQIFICLNSAEFFRYVVCDLRTNEWIELPKCFKNGEAVEFMSAFSFEPRIEASV >Potri.010G223200.1.v4.1 pep chromosome:Pop_tri_v4:10:20749432:20753175:-1 gene:Potri.010G223200.v4.1 transcript:Potri.010G223200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223200.v4.1 MFEAKLRDCSSQILQIPKLQSGPMIREFAVMNSQKEKMRVAEKCLDSQLWHACAGSMVQMPAVNSKVFYFPQGHAEHAQGSVEFGHFQIPALIPCKVSAIKYMADPETDEVYAKIRLIPLNNSDLMLGHGCGEDNDDRLHSGNESQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTAEPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGNECSSGWNSFAGYSGFFREDESKLMRRNGNGDMKGKVKAESVIEAASLAANGQPFEAVYYPRASTPEFCVKASAVRSAIQIQWCPGMRFKMAFETEDSSRISWFMGTISSVQVADPIRWPNSPWRLLQVAWDEPDLLHNVKRVSPWLVELVSNMPAIHLSPFSPPRKKLRLPQPPDFPLLGQIPMPSFTGNPLRSNSPLCCVSDNIPAGIQGARHAQFGLSSSDLHFNKLQAGLFPVDFQRLDRAAPPSRISNSNFVGNTQNSESISCLLTMGNSSQGMKGSDTKTPHILLFGQLIVTDQQSSQSCSGDTNANSSSDGHPGKAISDGSGSASQQNGPLENSSGGRCPWYKDYQKTDPGLETGHCKVFMESEDVGRTLDLSVLGSYEELHRKLVNMFGIESSEMLSNVLYRNAAGATKHAGDEPFSEFLKTARRLTILSDASSDNVGR >Potri.009G043000.1.v4.1 pep chromosome:Pop_tri_v4:9:4991245:4997822:-1 gene:Potri.009G043000.v4.1 transcript:Potri.009G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043000.v4.1 MGIDAKEFQAFMWRVLKLSMSSCSAFVQKYPFASGFSLTLLALYLFFPSVFYFLIYSLPFLGCTAVFIRYYLNSQKHGVVERKEHGISSDAADAANRDDNSSIEARRMLQRNVNENKDKSDTHAVKDEKNMVSSMPSNDDFIGRTALVEQKPKVIMEEKASYALNSGESSSYNVSLGENISEFSEASNPETVSFDGFNEQPAKLLVGGEVESESSSSEVDDEEEESEKGCKNAVEWTANDQKNLMDLGDSELERNRRLESLIARRRARKSFKMSSSAAPGTMHPVLVARSNTFHVSKSSDDRIPSSAPSILLPTQNPFDLPYEPFEEKPNLMADSFQQEFMADHLKEMLFCRHESFSLGYSPPLENTQLDQHEGTGYSRSKMQLDKENHGPLADHSLFQRGETLRRDLTVTDLVTEEARSSNQVTNKRERDREVETSRVKHKGENMGQSHDKDPSLGDGNDIQRDTDLIKHKKIWPRPFSSTENIFNAKTAENSESLQPTTFKFPVVFYNRAPNSLPCSVPKASAVAEPSYDSSPSAIYNTGMEEHFFYKHKDPWHTHTNSIASDMQVEVSEIGSPPLTADGIASSNDGESLVYDGDSEQEITSGSEDMWGASPLAPKVQENENVTRQIYEVGEGDITEEGYSRLRNEPEDPTSSSLWSLSSSRAEISQEDQAHSMSIDPKHFNYVKHIVEEEREQRPSNPSDVVPPEHSQEGMQLMEDSMPQKPSEVYFQKPQESRNAPGNSAEEMNINCDADDTVTYDDRGDLKSNENIYVGAENSMMQVVIANLSEPAEGSNSKSNSDIMSESLINPEKSVEGMDGSCNVNDSRLLVNDHLEDSKSNEDRDSGAEKAMQVLVSDQSQPDVESNSESRKHIESKSLNSPEKSGEDANITYNVNDPFVHIKNSVEELESIEDIDRDYERLTEHSDIKSTSMPVEVEDNPTSTQGSREDQSTLIEVGVSGANRSFNDPTTSGILPELIVEQASTNSSLSSSPKSVLAYRIPADIGSSSDFSQLVSTDMEERLPLTATQDTSHVVNDSVDHPSIDNKSEKSEEPSNTQGKPTEEAIEMENMKGSSLDDEETMEDLKSRKNIDDESETSIGNDAPVKLSKPQKEISPRSFEHLEDASARLADNETNIDVSKSEGEHSTSDVPGLMVEEEESTNRSRDVAGEVNLISEVSDPSINKKEDLEKLRFFEGSEGEPQFPTRREIFVEPVKPANITSLEDHDYSPGVLTENETIVVSSQAMEDVDNSSNSKETDGFGTRRADQEIGDLLKPAGAIGTSETTKDVQGNPKDLTDQKAVFDPSKPAVDGENILVTLEAKDSAADIIHNVNEAVASEFINNEKFNHVQDSEDDESQRLDSQENITEPLKAVAFTNSESIRDLESESKILVEDEVNVIPSYPAGEINSSNDRENTEDPGKSTVHLTVMTISEPSRGYVKQDPGS >Potri.013G120400.2.v4.1 pep chromosome:Pop_tri_v4:13:12919819:12923422:-1 gene:Potri.013G120400.v4.1 transcript:Potri.013G120400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120400.v4.1 MEDIFIVGSVGKNFDKVTRIEARSERLELFMQLDVNTEVYPIKQEERYAVKLTTTLDPDGTPDTAYYTPIKPGSIADNFYYIMHGKLYKILDEGSGANHKVEMLISFGGLLMSLKGNPDCITDFQLDQKYFLCMRKLV >Potri.013G120400.3.v4.1 pep chromosome:Pop_tri_v4:13:12919710:12923425:-1 gene:Potri.013G120400.v4.1 transcript:Potri.013G120400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120400.v4.1 MEDIFIVGSVGKNFDKVTRIEARSERLELFMQLDVNTEVYPIKQEERYAVKLTTTLDPDGTPDTAYYTPIKPGSIADNFYYIMHGKLYKILDEGSGANHKVEMLISFGGLLMSLKGNPDCITDFQLDQKYFLCMRKLV >Potri.008G049100.1.v4.1 pep chromosome:Pop_tri_v4:8:2875741:2877189:1 gene:Potri.008G049100.v4.1 transcript:Potri.008G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049100.v4.1 MAKPLFVTTTALKAIVYLFLIAMTLSYANSARILDELEPKNPAVVSPPATTTVPAPTTTLPSGQVQATTPTTVEDDQADPPLPDETEAPVTVAAPVDNAAPVAPPITDAAPVAPPVTDAAPVAPPVTVAAPLPVGPINTATRPPNGPAPVVATSDTEAKPAGPQTTTTTSPPLSFFMHDILGGSPASNRIVTGIIARTDINGIPFSQPNNNIFPLQDGTPLVNINNLINPNTAPLLTGLTGAQTNTLLQNTGNNNNVVNSNNQPFVTAGNFPAGSALQKLMFGSITVVDDELTEGHELGSAVIGKAQGFYLASSLDGTSHTMAFSVLLHGGENHGEIEDTISFFGVHRTATPDSQIAVIGGTGKYENAKGYASVETLPQVDQHTTDGVDTIMHFNVYLSG >Potri.016G042900.1.v4.1 pep chromosome:Pop_tri_v4:16:2685649:2689588:1 gene:Potri.016G042900.v4.1 transcript:Potri.016G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042900.v4.1 MDEHSSSSPNSTFGIFSGNPMNWDLMDEILYQGWAENDGEFSFLQQGPSISNVLYDHPSLYMPFMGSNNLSIASNQQDYQEETERHCHGNPPLDYPKSMELLQTEPQVEDSVDTSTSLLHTTSYRVEAAGLGVEWQMVPRVNPLTSFSVKERAMQAIRYLKNCIQYKDSLIQIWLPVEKEGKKVLATIDQPYFVNPSCKSLASYRNVSVAYHFQVEGDAKFSVGFPGRVFLEKLPEWTPDVRLFRSEEYPRRDHAVQHNIRGSLALPLFKQGSETCLGIVEIVTTTEKITYRPELEDIRKALKAVDLRSSEDFCSPGVKTCNGLNQVAVPELSEIVKSVCKTYGLPLALTWALCSRQGKGGRQQFAEKSGACISTVDSACFLADRDFSGFHMASFEQYIFLGQGIVGRAFTTQKQCFANDITSFSKKDYPLAHHAKIFGLHAAIAIPMRSIATGLVEFVLELFLPIDCKDTEEQKKMWDLLPIAIQQVCWSLQVVMDTGLGNGENQSFESSPSKQPPLDESSWISRMVEAQKKSKSFCVTWGYPKEPKEEFKMITHWDESAVELDHKQVISELGQLQQNSRTNSNTEGDGVSSAFGRHLSFGSRKTGKKRRTKTDIQTISLEVLRQYFAGSLKDAAQSLSVCPTTLKRICRQHGITRWPSRKIKKVDHSLRKLQQVIDTVQGAKGAIQIESFYSAFPELSSTKLSSHAPSSSFRRSDSSKHFDSPPDDTIFSGTTSKSHSSPCSRSSCSSNCCSARAQQHAATVITGSSNGNGGLPAETSNGVLKRTSSSELAEFYSLNNEVEPDFLSRSYIHKTRTINEQIHQSLLETPPQFGQNRRGGDVFRVKAIFGVEKVRLFLQPNWGLRELQQEIGKRFKIDDFTGIGLKYMDDDGEWIRLTRDDDLEECKETHKFCQSNTIKLSLYKYSTAFGCRGSC >Potri.013G034900.1.v4.1 pep chromosome:Pop_tri_v4:13:2253629:2255284:-1 gene:Potri.013G034900.v4.1 transcript:Potri.013G034900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034900.v4.1 MSVNMVKFLLLAPTFFIFFPSVMTQTIPSSDGSQGRWRLLHANVGISAMHMQLMHDNKVVIFDRTDFGPSNISLPGGRCRIDPSDEALKIDCTAHSILYDIITDTYRPLMVQTDTWCSSGAVLPNGTLVQTGGFHDGDNVTRMYTSCPDDICDWVEYPNYLSRRRWYATNQILPDGRIIIIGGRREFSYEFFPRPSPRRQTFQLRLLIETREGNVENNLYPYVHLLPDGNLFIFANTRSILFDYNQNRVVREFPRIPGGDPRNYPSTGSSVLLPLDENEHSIDPEVLVCGGAPRGAYQQALRGTYVRAISTCGRLRITDQNASWVMDTMPIPRVMGDMLLLPTGDVIIINGGQSGTAGWELGRQAATRPVMYHPSNPSDQRFSVMEPSPRPRMYHSAAILLTDGRVLVGGGNPHIYYNFSDVFYPTDLSLETFSPPYLSTQYASIRPVILSVDDTVSPGQRFLLSFSVGEYIAGSVLSVRIVAPSFTTHSYSMNQRMVVLRIDEIIDNETSSSYTLSVVGPSSAEIAPPGYYLLYVVHSGIPSSGVWVRLQ >Potri.009G072933.1.v4.1 pep chromosome:Pop_tri_v4:9:7140823:7141080:1 gene:Potri.009G072933.v4.1 transcript:Potri.009G072933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072933.v4.1 MLLFLGGVTSVTTATSPAEIVGGFFSNVISALMKWLWYLKATATFAPFVLSCLFAVLGL >Potri.014G122200.1.v4.1 pep chromosome:Pop_tri_v4:14:8222867:8227222:1 gene:Potri.014G122200.v4.1 transcript:Potri.014G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122200.v4.1 MPTSFIITLSVLFLGVSLQTSKALDPFACDPKDGTTRDLPFCQVKLPIQTRVNDLIGRMTLQEKVGLLVNNAAAVPRLGIKGYEWWSEALHGVSNVGPGTKFGGAFPVATSFPQVITTAAAFNATLWEAIGQVVSDEARAMFNGGVAGLTYWSPNVNIFRDPRWGRGQETPGEDPVVVGKYAASYVRGLQGSDGNRLKVAACCKHFTAYDLDNWNGVDRFHFNAEVSKQDMEDTFDVPFRMCVKEGKVASVMCSYNQVNGIPTCADPNLLKKTVRGQWRLDGYIVSDCDSVGVYYGQQHYTSTPEEAASDAIKAGLDLDCGPFLGQHTEDAVKKGLLNEAEINNALLNTLTVQMRLGMFDGEPSSQLYGNLGPNDVCTPAHQELALEAARQGIVLLKNHGPSLPLSTRRHLSVAIVGPNSNVTATMIGNYAGLACGYTTPLQGIQRYAQTIHRQGCADVACVSDQQFSAAIDAARQADATVLVMGLDQSIEAEFRDRTGLLLPGRQQELVSKVAAASKGPTILVLMSGGPIDVSFAENDPKIGSIVWAGYPGQAGGAAISDVLFGITNPGGKLPMTWYPQDYITNLPMTNMAMRSSKSKGYPGRTYRFYKGKVVYPFGHGISYTNFVHTIASAPTMVSVPLDGHRHGSGNATISGKAIRVTHARCNRLSLGMQVDVKNTGSMDGTHTLLVYSRPPARHWAPHKQLVAFEKVHVAAGTQQRVGINIHVCKSLSVVDGSGIRRIPMGEHSLHIGDVKHSVSLQASILGVVES >Potri.012G067700.4.v4.1 pep chromosome:Pop_tri_v4:12:8796454:8796765:1 gene:Potri.012G067700.v4.1 transcript:Potri.012G067700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067700.v4.1 MSSSSSPSSPQRYRGGNSNGGGGGEDQRPRFFDKMVKKMCWQNAEIVPGRHPERWRKDAAGNIVCKRFCNCHGCLCYEYDHILPFSKGLLCFAISLSLSLTNV >Potri.012G067700.5.v4.1 pep chromosome:Pop_tri_v4:12:8796556:8796765:1 gene:Potri.012G067700.v4.1 transcript:Potri.012G067700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067700.v4.1 MVKKMCWQNAEIVPGRHPERWRKDAAGNIVCKRFCNCHGCLCYEYDHILPFSKGLLCFAISLSLSLTNV >Potri.012G067700.1.v4.1 pep chromosome:Pop_tri_v4:12:8796418:8799086:1 gene:Potri.012G067700.v4.1 transcript:Potri.012G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067700.v4.1 MSSSSSPSSPQRYRGGNSNGGGGGEDQRPRFFDKMVKKMCWQNAEIVPGRHPERWRKDAAGNIVCKRFCNCHGCLCYEYDHILPFSKGGESTVDNCQILQTRVNRFKSNKQELDKTQLKGYSCEINFTDKELDIIEMAVYGDVIRPGNQCRCRTIAEMLGQLKSKDRVAACKLPFSNESL >Potri.011G008100.1.v4.1 pep chromosome:Pop_tri_v4:11:610947:613003:-1 gene:Potri.011G008100.v4.1 transcript:Potri.011G008100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008100.v4.1 MASLAYVLLLSFLATLFASTLQDDSLEYKPKPLSSYLPSNYKKVLNTIDSCWRTESNWATDRRALADCAVGFGQAAIGGKYGKTYVVTTPDDDPTDPKPGTLRYGAIQTEPLWIIFDKDMVIKLENELMINSFKTIDGRGSNVEITDGPCLKIEGVSHVIIHGISIHDCKPGKRGLVRSSPTHVGQRRGADGDAISIFASSHIWIDHCYLARCIDGLIDVIHASTAVTISNNYFAQHDKVMLLGHNDEYTADKVMKVTVAFNHFGPGLIERMPRVRFGYAHVANNRYDEWQMYAIGGSAGSTIFSEGNYFIAPDISYAKEVTKREADGGWKNWKWRSSSKDVFMNGAYFVPSGYGSCAPLYSEAQSFVVFPGTMVPSLTSDAGPLTCVVGHAC >Potri.008G023250.1.v4.1 pep chromosome:Pop_tri_v4:8:1168612:1170189:-1 gene:Potri.008G023250.v4.1 transcript:Potri.008G023250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023250.v4.1 MNELLDHYKFKKNFLLVDPIRFRLHEPGNLKPTLLSLDPSLHDPHITVESTSCSTKEERDKGDDTFHTFCLLHKYKILLEQRIEINLLHATHCIIKEWGKKMESQEPRYHWMKARKERNNKIQRSTYMVCGSSSQCYL >Potri.008G071300.3.v4.1 pep chromosome:Pop_tri_v4:8:4388475:4389660:1 gene:Potri.008G071300.v4.1 transcript:Potri.008G071300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071300.v4.1 MDPSPSAAITRPHHGGREDCWSDGATGTLVEAWGDRYINLNRGNLRQKDWKEVADAVNNRQNGVKPRKTDIQCKNRIDTLKKKYKIEKSKPPPSTWPFYYRLDSLLGTNSNATNTFKKPTSVTFTVKSKTKPQNDVYPGLASCGESSSDDDDMAWFDERVKKKRHRMEDVDLSDGAACRELARAILKFGEIYERIESSKQQQMIELEKQRMEFTKEVEFERMNLFVDAQLELKKKSFSRDKFASSSGLFNEILEVVYLFLIWGSLILSFLILGCC >Potri.008G071300.2.v4.1 pep chromosome:Pop_tri_v4:8:4388348:4391593:1 gene:Potri.008G071300.v4.1 transcript:Potri.008G071300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071300.v4.1 MDPSPSAAITRPHHGGREDCWSDGATGTLVEAWGDRYINLNRGNLRQKDWKEVADAVNNRQNGVKPRKTDIQCKNRIDTLKKKYKIEKSKPPPSTWPFYYRLDSLLGTNSNATNTFKKPTSVTFTVKSKTKPQNDVYPGLASCGESSSDDDDMAWFDERVKKKRHRMEDVDLSDGAACRELARAILKFGEIYERIESSKQQQMIELEKQRMEFTKEVEFERMNLFVDAQLELKKKSFSRDKFASSSGKKL >Potri.T171301.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:120373:123064:-1 gene:Potri.T171301.v4.1 transcript:Potri.T171301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T171301.v4.1 MAPFKNLFTTSINISRTLSFPLPRSRTHRLAIALLLLSLFFFSSTSLTNQLPSFLTASSLASSILFAANYISPFSSITSSRACLVSDSTDNCTLSSVTAMERTRMNPRKEEEIDDVIAGLSSCDIFNGNWVLDDSDPIYQPGSCPFLDDAFNCFNNGRPDLDYLRYRWKPHGCHIPRFDGRQMLRMLRGKRMVFVGDSLNRNMWQSLVCALRESVENKSRIFEIEGRREFRARGFYSFNFVDHNCSIDFVKSPFLVQEWRSSDRRGNRRERLRLDMIQTPSFNYHDADIIIFNTGHWWTHQKTYRGKNYFQEGRKVYNRLEVNEAYKKALWTWAKWVDSNINRSHTRVFFGGYSASHFRKGKWDSGGHCHEERQPVTNDTLLKPYPLMMKILESVISEMKTPVFYLNITRMTGYRKDGHPSVYRKPNVHQRIPGIIQDCSHWCLPGVPDSWNELFYATYLLSHHALSSNH >Potri.002G040600.6.v4.1 pep chromosome:Pop_tri_v4:2:2646902:2654459:1 gene:Potri.002G040600.v4.1 transcript:Potri.002G040600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040600.v4.1 MGADLHNTLRSLCFNTDWNYAVFWKLKHRARMVLTWEDGYYENCEQHDAFESKCFSQTQEKLHGGHYTRDPLGLAVAKMSYHVYSLGEGIVGQVAVSGKHQWIFADKYAASSFSSHEFSDGWQSQFSAGIKTIVVVAVVPYGVVQLGSSNKVIEDVNLVTRIKDVFFTLQDSSVRHVSGPLQHSMKNALCPKTAAGLRNKQVLEISTPTNDESIKLLHLRSNASYLDHQSQLGMNIISDQMYGGETNVWKDLGRRSEHNVTMHSNSFMKDKVNPSDLILPNDKLGADLAGIPADLFDATICESDGTNLYPKLVLDAPESSNITLKKDLEKKLDHQAESTHFNASDTFKFSAGCELLEALGPSFINRCMPFDYQAGKSEAVNGFEMPEGMSSSQMTFDFGTENLLEAVVGNACHSGSDVKSEKSSCKSVQSLLTVEKMPEPSIQTKHIFNSAGYSINPSSVVEEDAQNFSNSTEVFGGMSSKGFLSTCTSICTEQLDKHAEPAKNSKKRAKPGEKFRPRPRDRQLIQDRIKELRELVPSGSKCSIDSLLERTIKHMLFLESITKHADKLDKCAEPKMHQKGTDASKYEQGSSWAVEVGGHLKVSSIIVENLNKNGQMLVEMLCEECNDFLEVAEAIRSLGLTILKGITEVHGEKTWICFVVEGQNNRTMHRMDILWSLVQILQPKTTN >Potri.002G040600.5.v4.1 pep chromosome:Pop_tri_v4:2:2647689:2653242:1 gene:Potri.002G040600.v4.1 transcript:Potri.002G040600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040600.v4.1 MGADLHNTLRSLCFNTDWNYAVFWKLKHRARMVLTWEDGYYENCEQHDAFESKCFSQTQEKLHGGHYTRDPLGLAVAKMSYHVYSLGEGIVGQVAVSGKHQWIFADKYAASSFSSHEFSDGWQSQFSAGIKTIVVVAVVPYGVVQLGSSNKQVIEDVNLVTRIKDVFFTLQDSSVRHVSGPLQHSMKNALCPKTAAGLRNKQVLEISTPTNDESIKLLHLRSNASYLDHQSQLGMNIISDQMYGGETNVWKDLGRRSEHNVTMHSNSFMKDKVNPSDLILPNDKLGADLAGIPADLFDATICESDGTNLYPKLVLDAPESSNITLKKDLEKKLDHQAESTHFNASDTFKFSAGCELLEALGPSFINRCMPFDYQAGKSEAVNGFEMPEGMSSSQMTFDFGTENLLEAVVGNACHSGSDVKSEKSSCKSVQSLLTVEKMPEPSIQTKHIFNSAGYSINPSSVVEEDAQNFSNSTEVFGGMSSKGFLSTCTSICTEQLDKHAEPAKNSKKRAKPGEKFRPRPRDRQLIQDRIKELRELVPSGSKCSIDSLLERTIKHMLFLESITKHADKLDKCAEPKMHQKGTDASKYEQGSSWAVEVGGHLKVSSIIVENLNKNGQMLVEMLCEECNDFLEVAEAIRSLGLTILKGITEVHGEKTWICFVVEGQNNRTMHRMDILWSLVQILQPKTTN >Potri.002G040600.7.v4.1 pep chromosome:Pop_tri_v4:2:2646392:2653203:1 gene:Potri.002G040600.v4.1 transcript:Potri.002G040600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040600.v4.1 MGADLHNTLRSLCFNTDWNYAVFWKLKHRARMVLTWEDGYYENCEQHDAFESKCFSQTQEKLHGGHYTRDPLGLAVAKMSYHVYSLGEGIVGQVAVSGKHQWIFADKYAASSFSSHEFSDGWQSQFSAGIKTIVVVAVVPYGVVQLGSSNKVIEDVNLVTRIKDVFFTLQDSSVRHVSGPLQHSMKNALCPKTAAGLRNKQVLEISTPTNDESIKLLHLRSNASYLDHQSQLGMNIISDQMYGGETNVWKDLGRRSEHNVTMHSNSFMKDKVNPSDLILPNDKLGADLAGIPADLFDATICESDGTNLYPKLVLDAPESSNITLKKDLEKKLDHQAESTHFNASDTFKFSAGCELLEALGPSFINRCMPFDYQAGKSEAVNGFEMPEGMSSSQMTFDFGTENLLEAVVGNACHSGSDVKSEKSSCKSVQSLLTVEKMPEPSIQTKHIFNSAGYSINPSSVVEEDAQNFSNSTEVFGGMSSKGFLSTCTSICTEQLDKHAEPAKNSKKRAKPGEKFRPRPRDRQLIQDRIKELRELVPSGSKCSIDSLLERTIKHMLFLESITKHADKLDKCAEPKMHQKGTDASKYEQGSSWAVEVGGHLKVSSIIVENLNKNGQMLVEMLCEECNDFLEVAEAIRSLGLTILKGITEVHGEKTWICFVVEGQNNRTMHRMDILWSLVQILQPKTTN >Potri.002G118000.1.v4.1 pep chromosome:Pop_tri_v4:2:8943568:8945693:-1 gene:Potri.002G118000.v4.1 transcript:Potri.002G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118000.v4.1 MAFAGTTQKCMACDKTVYLVDRLAADNRVYHKACFRCHHCRGTLKLGNYNSFEGVLYCRPHFDQLFKRTGSLDKSFEGTPKIVKPEKPVDGEKPISTKVSTMFAGTRDKCFGCKNTVYPTEKVSVNGTPYHKSCFKCIHGGCTISPSNYIAHEGRLYCKHHHNQLIKEKGNLSQLEGDVEKDSMNDKTNGREVSAES >Potri.009G124100.1.v4.1 pep chromosome:Pop_tri_v4:9:10345161:10348245:1 gene:Potri.009G124100.v4.1 transcript:Potri.009G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124100.v4.1 MASASLLKSSPVLDKSEFVKGQTLRLPSASIVRCRSTAPSSLTVRAGSYADELVKTAKTIASPGRGILAMDESNATCGKRLASIGLENTEANRQAYRTLLVTVPGLGNYVSGAILFEETLYQSTTDGKKMVDVLVEQKIVPGIKVDKGLVPLAGSNDESWCQGLDGLASRSAAYYQQGARFAKWRTVVSIPNGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGEHGIERTFEVAQKVWAEVFYYMAENNVMFEGILLKPSMVTPGAECKDRASPDQVAEYTLKLLHRRIPPAVPGIMFLSGGQSEVEATLNLNAMNQSPNPWHVSFSYARALQNTCLKTWGGRPENVQAAQETLLIRAKANSLAQLGKYTGEGESDDAKKGMYVKNYSY >Potri.008G186200.9.v4.1 pep chromosome:Pop_tri_v4:8:12962814:12963432:-1 gene:Potri.008G186200.v4.1 transcript:Potri.008G186200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186200.v4.1 MERGQIFNKVMKVEIPSETERMSSGGTKFTSGLQRQSSATKSNCLCSPTSHAGSFRCRLHRAPSLQRTKSIDSASLRDSETKINTTADGASNLNTIEAQ >Potri.010G240200.1.v4.1 pep chromosome:Pop_tri_v4:10:21850206:21852904:-1 gene:Potri.010G240200.v4.1 transcript:Potri.010G240200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G240200.v4.1 MMQCLSLKTYSAASTTHSPPPTNGDYNCPPASQAGNSADGTPRSSTQPSPTVNLTREYTIAVQTNSYNEMWSRIHNDEAQIEEIHDHYNEEDANRLLMTQVLQPNRECVEEALRDAKPNTLTRLVSTYFVNSENTSQLCILLQQSVYRARALYGPLHKLLDVIPTDSESLSQSQCDCAFDVFLQFDRVGNPFPCPESHNFNEMQQCFSQLKQQLERRIRKSRSRIHLVRRATFGSALCVIGSVVAVVVSAVGIASHAFVAIAATPICTLPCLPRRLTKKELARVEQLDSASRGTYVLNNQLATLERRVARLYDAVECDKHLIQIGLESGNDLHPISEVLKQLKKNHLNFIEQLKDLEEHICLCFNAVNRARGLLLHEIHRHQISTS >Potri.010G014300.5.v4.1 pep chromosome:Pop_tri_v4:10:2395480:2400139:1 gene:Potri.010G014300.v4.1 transcript:Potri.010G014300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014300.v4.1 MASKIHVPVSTTQGTPPSLPSPTGFLPIRAPITVDDFQSDGYKTDTSDSDIGSSRSGTGSNIDNGFVSGEEEFDSASERPFLGDPDEENSEEDDFGGMYRVSRPFVADPDEEISVLEEVEEEESGVTDEFIPVVGLDSANSNGIRPIAQLSMDDDEFDKVTGDEGMVSEVDDGSFSGVVKVPSFGAQEIVDVAPLVKVLDIEEDKEDGLLVQSNSSSDDNKSRLSTERDFGADSCVLGPKDAVVTNGSANDSGSVKLVEDNGHVVTVTQPTNLWVNGDSPENSEGDKMEDVRESNTNSSGIKDEANRDSETIGGQKGLLSPENFEGDKMEDVRESNTNSSGIKDEANRDSETIGGQKGLLSPENFEGDKMEEVRESNTNSSGIKDEANRDSETIGGQKGLLSSENFEGDKMEDVRESNTNSSGIKDEANRDSETIGGQKGLLSDDDIEELIFGGSGTTKLIMNELEQNSAFSSPPGIEAYHDHPQTIDGEITMDSDEDTDSDEEADEVREPVGKQLFDSAAFAALLKAATGAELDGGRIALSSVDGSGLFSLENPAGSGFQFRTRRHAPPPDMVKRTLSEEEKKILEKIQHIRVKFLRLVQRLGQSPEDSIVESVLHRLDPDEGRRVSREFSLETAKSMAMQLEAEGKDDLNFSLNILVLGKTGVGKSATINSIFGEKRVEINAFAPATTRVNEIVGTVDGVKIRIIDTPGLRSSVKEEATNRKILASVKKLINKFPPDVVLYVDRLDTHDRDRNDLLLLSSLSRTLTSSIWKNAIVTLTHATSPPPDGPSGSSLAFEVYVAQRSHVIQQAISQAVGDSYLMHPSMKHPVSLVENHSLCQKNENGENVLPNGQSWRPQLLLLCYSLKVLSEASSISKPQDLIDHKKPFGLRLRSLPLPHLVSSLLHSRPHLKLPTDLGDEDIDSDMDLVDLPDSDAEDEDEYDQLPPFKPLRKSQVQKLSKEQKKAYFEEYDYRVKLLLKKQWRDNLKRLKEIKKRGKDCSNDIGEDVDQEDEGPAPVPVPLPDFVLPQSFDSDNPSYRYRALEPASQFLVRPVLDAQGWDHDCGYDGVNIESNLAIAGQFPGAFTVQITKDKKDFNIQLDSSICAKHGENGSTMVGFDIQTIGRQLAYILRSETKLKKFKMNKSSAGISVTLLGENVVTGFKIEDQIAVGKRLALVGNAGTVRSGNDTAYGANFEVRLKSKDFPIEQDQSTLGLSLMKWRGDLGLMAHLQSQFSIGRNSKMAVHVGMNNKRSGQISIKTSSSELQAALIGIVPIAVSILQSIYPGSNAGCTNILDH >Potri.010G014300.7.v4.1 pep chromosome:Pop_tri_v4:10:2396233:2399937:1 gene:Potri.010G014300.v4.1 transcript:Potri.010G014300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014300.v4.1 MEDVRESNTNSSGIKDEANRDSETIGGQKGLLSPENFEGDKMEEVRESNTNSSGIKDEANRDSETIGGQKGLLSSENFEGDKMEDVRESNTNSSGIKDEANRDSETIGGQKGLLSDDDIEELIFGGSGTTKLIMNELEQNSAFSSPPGIEAYHDHPQTIDGEITMDSDEDTDSDEEADEVREPVGKQLFDSAAFAALLKAATGAELDGGRIALSSVDGSGLFSLENPAGSGFQFRTRRHAPPPDMVKRTLSEEEKKILEKIQHIRVKFLRLVQRLGQSPEDSIVESVLHRLDPDEGRRVSREFSLETAKSMAMQLEAEGKDDLNFSLNILVLGKTGVGKSATINSIFGEKRVEINAFAPATTRVNEIVGTVDGVKIRIIDTPGLRSSVKEEATNRKILASVKKLINKFPPDVVLYVDRLDTHDRDRNDLLLLSSLSRTLTSSIWKNAIVTLTHATSPPPDGPSGSSLAFEVYVAQRSHVIQQAISQAVGDSYLMHPSMKHPVSLVENHSLCQKNENGENVLPNGQSWRPQLLLLCYSLKVLSEASSISKPQDLIDHKKPFGLRLRSLPLPHLVSSLLHSRPHLKLPTDLGDEDIDSDMDLVDLPDSDAEDEDEYDQLPPFKPLRKSQVQKLSKEQKKAYFEEYDYRVKLLLKKQWRDNLKRLKEIKKRGKDCSNDIGEDVDQEDEGPAPVPVPLPDFVLPQSFDSDNPSYRYRALEPASQFLVRPVLDAQGWDHDCGYDGVNIESNLAIAGQFPGAFTVQITKDKKDFNIQLDSSICAKHGENGSTMVGFDIQTIGRQLAYILRSETKLKKFKMNKSSAGISVTLLGENVVTGFKIEDQIAVGKRLALVGNAGTVRSGNDTAYGANFEVRLKSKDFPIEQDQSTLGLSLMKWRGDLGLMAHLQSQFSIGRNSKMAVHVGMNNKRSGQISIKTSSSELQAALIGIVPIAVSILQSIYPGSNAGCTNILDH >Potri.010G014300.6.v4.1 pep chromosome:Pop_tri_v4:10:2395617:2399811:1 gene:Potri.010G014300.v4.1 transcript:Potri.010G014300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014300.v4.1 MASKIHVPVSTTQGTPPSLPSPTGFLPIRAPITVDDFQSDGYKTDTSDSDIGSSRSGTGSNIDNGFVSGEEEFDSASERPFLGDPDEENSEEDDFGGMYRVSRPFVADPDEEISVLEEVEEEESGVTDEFIPVVGLDSANSNGIRPIAQLSMDDDEFDKVTGDEGMVSEVDDGSFSGVVKVPSFGAQEIVDVAPLVKVLDIEEDKEDGLLVQSNSSSDDNKSRLSTERDFGADSCVLGPKDAVVTNGSANDSGSVKLVEDNGHVVTVTQPTNLWVNGDSPENSEGDKMEDVRESNTNSSGIKDEANRDSETIGGQKGLLSPENFEGDKMEEVRESNTNSSGIKDEANRDSETIGGQKGLLSSENFEGDKMEDVRESNTNSSGIKDEANRDSETIGGQKGLLSDDDIEELIFGGSGTTKLIMNELEQNSAFSSPPGIEAYHDHPQTIDGEITMDSDEDTDSDEEADEVREPVGKQLFDSAAFAALLKAATGAELDGGRIALSSVDGSGLFSLENPAGSGFQFRTRRHAPPPDMVKRTLSEEEKKILEKIQHIRVKFLRLVQRLGQSPEDSIVESVLHRLDPDEGRRVSREFSLETAKSMAMQLEAEGKDDLNFSLNILVLGKTGVGKSATINSIFGEKRVEINAFAPATTRVNEIVGTVDGVKIRIIDTPGLRSSVKEEATNRKILASVKKLINKFPPDVVLYVDRLDTHDRDRNDLLLLSSLSRTLTSSIWKNAIVTLTHATSPPPDGPSGSSLAFEVYVAQRSHVIQQAISQAVGDSYLMHPSMKHPVSLVENHSLCQKNENGENVLPNGQSWRPQLLLLCYSLKVLSEASSISKPQDLIDHKKPFGLRLRSLPLPHLVSSLLHSRPHLKLPTDLGDEDIDSDMDLVDLPDSDAEDEDEYDQLPPFKPLRKSQVQKLSKEQKKAYFEEYDYRVKLLLKKQWRDNLKRLKEIKKRGKDCSNDIGEDVDQEDEGPAPVPVPLPDFVLPQSFDSDNPSYRYRALEPASQFLVRPVLDAQGWDHDCGYDGVNIESNLAIAGQFPGAFTVQITKDKKDFNIQLDSSICAKHGENGSTMVGFDIQTIGRQLAYILRSETKLKKFKMNKSSAGISVTLLGENVVTGFKIEDQIAVGKRLALVGNAGTVRSGNDTAYGANFEVRLKSKDFPIEQDQSTLGLSLMKWRGDLGLMAHLQSQFSIGRNSKMAVHVGMNNKRSGQISIKTSSSELQAALIGIVPIAVSILQSIYPGSNAGCTNILDH >Potri.007G145550.1.v4.1 pep chromosome:Pop_tri_v4:7:15422960:15423930:-1 gene:Potri.007G145550.v4.1 transcript:Potri.007G145550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145550.v4.1 MSRDFVSQVIRFEEGYIVSWKKIWLTSWVTRGRRALLLQGVVQKIVDSCSFENLSSFGVNATSIYHKALPPPDLTVQNKSFFRIGKIDLEAGRVI >Potri.003G185754.1.v4.1 pep chromosome:Pop_tri_v4:3:19057117:19060194:1 gene:Potri.003G185754.v4.1 transcript:Potri.003G185754.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185754.v4.1 MATHTLTATLRNSSLIKSNSKFTFFGTRITTRTQKTQTLAMATAPTAAKVAPAVIVGGGRVGRALQEMGSGQDLLVKRGDPVPLDFEGPILVCTRNDDLDAVLEVTPKSRWSDLVFFQNGMLEPWFQSKGLGDADQVLAYFAVSKLGEPPIDGKTDTNPEGLTAAYGKWASAVAARLHAGGLSCKVLDKETFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEFRSEVSSLIAELASAAAAEKGIVFEEAIEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSDKAVAEGKPDPCPLHTAWLKELKVV >Potri.009G020000.2.v4.1 pep chromosome:Pop_tri_v4:9:3213761:3214408:-1 gene:Potri.009G020000.v4.1 transcript:Potri.009G020000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020000.v4.1 MEHTNNYNNRTTSDQPVGSTKRRSSFVPRLTLIVVMFFFILCLIIFIAWLVIHPLDPAIRLNSLSVSNITVSNPQFAANYDIEFTVNNTNKKVNLFVDQVEVIVNYRKGLLSSTQILGKGFEIGVLPTKQRIMEFQCMNLTVEFFSTKGTGKLMSGGKDCLVHA >Potri.013G143400.2.v4.1 pep chromosome:Pop_tri_v4:13:15613851:15621636:-1 gene:Potri.013G143400.v4.1 transcript:Potri.013G143400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ycf2 MKGHQFKSWIFELREILREIKNSHYFLDSWTQFNSVGSFIHIFFHQERFIKLLDSRIWSILFSRNSQGSTSNRYFTIKGVVLFVVVVLIYRINNRKMVERKNLYLTGLLPIPMNSIGPRNDTLEESFGSSNINRLIVSLLYLPKGKKISESRFLDPKESTWVLPITKKCIMSESNWGSRWWRNWIGKKRDSSCKISNETVAGIEISFKEKDIKYLEFLFVYYMDDPIRKDHDWELFDRLSPRKGRNIINLNSGQLFEILVKDWICYLMFAFREKIPIEVEGFFKQQGAGSTIQSNDIEHVSHLFSRKKWAISLQNCAQFHMWQFRQDLFVSWGNNPHESDFLRNISRENWIWLDNVWLVNKDRFFSKARNISSNIQYDSTRSSFVQGRDSSQLKGSSDQSRDHFDSISNEDSEYHTLINQREIQQLKERSILWDPSFLQTERTEIESDRFPKCLSGYSSMSRLFTEGAKEMNNHLLPEEIEEFLGNPTRSIRSFFSDRWSELHLGSNPTERSTRDQKLLKKEQDVSFVPSRRSENKEIVNIFKTITYLQNTVSIHPISSDPGCDMVLKDELDMDSSNKISFLNKNPFFDLFHLFHDRNGGGYTLHHDFESEERFQEMADLFTLSITEPDLVYHKGFAFFIDSYGLDQKQFLNEVFNSRDESKKKSLLVLPPIFYEENESFYRRIRKKWVRISCGNDLEDPKQKRVVFASNNIMEAVNQYGLIRNLIQIQYSTYGYIRNVLNQFFLMNRSDRNFEYGIQKDQIGNDTLNHRTLMKYTINQHLSNLKQSQKKWFDPLIFLSRTERSMNWDPNSYRYKWSNGSNNFQEHLEHFISEQKSRFLFQVVFDRLRINQYSIDWSEVIDKKDLSKSLPFFLSKLLLFLSKFLLFLSNSLPFFFVSFGNIPIHRSEIHIYELKGPNDQLCNQLLEPIGLQIVHLKKWKPFLLDDHDTSQKSKFLINGGTISPFLFNKIPKWMIDSFHTRNNRRKSFDNTDSSFSMISHDQDNWLNPVKPFHRSSLISSFYKANRLRFLNNLHHFCFYCNKRFPFYVEKARIKNYDFTYGQFLNILFIRNKIFSLCGGKKKHAFLERDTISPIESQVSNIFIPNDFPQSGDESLYKSFHFPIRPDPFVRRAIYSIADVSGTPLTEGQIFNFERTYCQPLSDINLSDSEGKNLHQYLNFNSNMGLIHTPCSEKYLPSEKRKKRSLCLKKCVEKGQMYRTFQRDSAFSTLSKWNLFQTYMPWFLTSTGYKYLNLIFLDTFSDHLLPILSSSQKIVSIFHDIMHGSDISWRILQKNLWKTQWNLISEISSKCLHNLLLSEEMIHRNNEPPLISTRLRSPNVREFLYSILFLLLVAGYLVRTHLLFVSRAYSELQTEFEKVKSLMIPSYMIELRKLLDRYPTSELNSFWLKNLFLVALEQLGDLLEEIRGSASGGNMLWGGGPAYGVKSIRSKKKFFNINLIDLISIIPNPINRITFSRNTRHLSHTSKEIYSLIRKRKNVNGDWIDDKIESLVANSDSIDDKEREFLVQFSTLTTEKRIDQILLSLTHSDHLSKNDSGYQMIEEPGAIYLRYLVDIHKKYLMNYEFNTPCLAERRIFLAHYQTITYSQTSCGANSFHFPSHGKPFSLRLALSPSRGILVIGSIGTGRSYLVKYLATNSYVPFITVFLNKFLDNKPKGFLIDDRDDIDDSDDIDVSDDIDRDLDTELELLTRMNVLTMDMMPEIDRFYITLQFELAKAMSPCIIWIPNIHDLDVNESNYLSLGLLVNYLSRDCERCSTRNILVIASTHIPQKVDPALIAPNKLNTCIKIRRLLIPQQRKHVFTLSYSRGFHLEKKMFHTKRFGSVTMGSNVRDLVALTNEALSISITQKKSIIDTNIIRSALHRQTWDLRSQVRSVQDHGILFYQIGRAVAQNVFLSNCPIDPISIYMKKKSCNEGDSYLYKWYFELGTSMKKLTILLYLLSCSAGSIAQDLWSLPGPDEKNGITYYGLVENDSDLVHGLLEVEGALVGSSRTEKDCSQFDNDRVTLLLRPEPRSPLDMMQNGSCSILDQRFLYEKYESEFEEGEVEGILDPQQIEEDLFNHIVWAPRIWSPWGFLFDCIERPNSLGFPYWARSFRGKRIIYDEEDELQENDSEFLQSGTMQYQIRDRSSKEQGVFRISQFIWDPADPLFFLFKDQPLVSVFSHREFFADEEMSKGLLTSQTDPPTSIYKRWFIKNTQEKHFELLIHRQRWLRTKSSLSNGFFRSNTLSESYQYLSNLFLSNGRLLDQMTKALLRKRWLFPDEMKIGFM >Potri.006G234100.1.v4.1 pep chromosome:Pop_tri_v4:6:23646460:23653442:-1 gene:Potri.006G234100.v4.1 transcript:Potri.006G234100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234100.v4.1 MDFIKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDAPWGPHGSAMAEIAQATKKFTECQMIMNVLWTRLGETGKDWRLVYKALAVIEYLVAHGSDRAVDDIIEHTFQISSLTSFEYVEPNGKDSGLNVRKKAETIVSLLNNKDKIYEVRSKAATNRDKYVGLSSSGISYKSSTASFGSSSSSFQSRDRYGGGLSGTGDEDSYDSFKQEKSRKDSYTKSHRRGISDNQDSTLKKGSAGFGSKDQDNLSSRASRSSANLNASDKKNSVSSNYDDDDFDDFDPRGTSSTKSASQTSNQVDLFGESLIGDLMDAPPSVPTEALVMNGNSAEVDLFADAAFVSAPPQAGKEASSQTQTKVDLFTSQPANPSAVPSTFDFFSSDEPVAQPGIKTPKSDPPNTNVFDPFAAVPMNNFDGSDLFGVFTSSSNPASSEPTQSPIKDGSLDNLDGKSLASSNPPQKEGFQVKSGIWADSLSRGLIDLNISAPKKVSLVDVGVVGDLTNGLDEREKGPPTSFYMGTAMGMGSGLGKSGLTASQSQATGGDDFFSSLGGQQYHFGNFK >Potri.006G234100.4.v4.1 pep chromosome:Pop_tri_v4:6:23646780:23653442:-1 gene:Potri.006G234100.v4.1 transcript:Potri.006G234100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234100.v4.1 MDFIKVFDQTVREIKREVNLKVLKVPEIEQKVLDATDDAPWGPHGSAMAEIAQATKKFTECQMIMNVLWTRLGETGKDWRLVYKALAVIEYLVAHGSDRAVDDIIEHTFQISSLTSFEYVEPNGKDSGLNVRKKAETIVSLLNNKDKIYEVRSKAATNRDKYVGLSSSGISYKSSTASFGSSSSSFQSRDRYGGGLSGTGDEDSYDSFKQEKSRKDSYTKSHRRGISDNQDSTLKKGSAGFGSKDQDNLSSRASRSSANLNASDKKNSVSSNYDDDDFDDFDPRGTSSTKSASQTSNQVDLFGESLIGDLMDAPPSVPTEALVMNGNSAEVDLFADAAFVSAPPQAGKEASSQTQTKVDLFTSQPANPSAVPSTFDFFSSDEPVAQPGIKTPKSDPPNTNVFDPFAAVPMNNFDGSDLFGVFTSSSNPASSEPTQSPIKDGSLDNLDGKSLASSNPPQKEGFQVKSGIWADSLSRGLIDLNISARKHIFLAYYCFLSETFSICMLYM >Potri.004G201500.1.v4.1 pep chromosome:Pop_tri_v4:4:21136427:21137606:-1 gene:Potri.004G201500.v4.1 transcript:Potri.004G201500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201500.v4.1 MAACGSLQHIFEKPLPENPTLLESLSSRNQIKPVKPMEQSSFTEIFGELHFKENSQSSSSSASSSLLSTSSSFTLSPFSSPSSFLDLNPEAGASRLNKNDSLEGKKSKSILDCVSRTTPKNHQYTGCHQNGHNFSRMNYESLQLCTEGLGFESSDDVEYLKNDINEDWRYQEEKVRITRHAASDDLSRPRSGRLTFPPPISCIGWGGKPRAIFKSYRHDGRFVLKEVRMPTFKFLHACREDGRLTLQFVHPNDETIDEAEDEVEVEEDYEHDAREESDDEKGNDDDDG >Potri.013G142301.2.v4.1 pep chromosome:Pop_tri_v4:13:15666925:15670498:1 gene:Potri.013G142301.v4.1 transcript:Potri.013G142301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142301.v4.1 MVSSNATSGYACPSIKPTSNGVFQGDNPLDFALPLAILQICLVVVVTRGLAFLLRPLRQPRVIAEIIGGILLGPSALGRSKGYLQAVFPTRSLTVLDTLANIGLIFFLFLAGLELDPKSLGRTGKKALAIAMAGISLPFAMGIGTSFILRLTISKDVNSTAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAISLSGSNTSPIISFWVFLSGCIFVICSILIVPPIFKWMTKWCQEGEPVEEMYVCATLAAVLAAGFVTDAIGIHAMFGAFVIGILVPKEGPFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIVGTFVVSILCKVPLRESLAMAFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMALFTTFITTPLVTAVYKPARRVKMADYKYRTVERRSSNTELRILACFHGSRNISSIINLLEVSRGVEKAEGLCVYAMHLMELSERTSAILMVHKARKNGLPFWNRGQRSGSNLVVVAFDAFQQLSRVSVRPMTAISSMADMHEDICTTAERKRAAMIILPFHKLQRLDGSLETTRTDFQLVNRRVLGDAPCSVGILVDRGFGGTTQVSASNVSYVITVLFFGGRDDREALAYGARMAEHPGVSLKVFRFLVKPEAGGEISRVKPEAGGEISRVDMDGSSSTRLGSLDEDFISELKQKMSKDDSVKLEEKFVGNAAETIDAIHEARHSNLFLVGRLPDGEIALDLRSSSDSPELGPVGGLLASSDISTTASVLVVKQYSSRVSLDLALQIG >Potri.013G142301.1.v4.1 pep chromosome:Pop_tri_v4:13:15666789:15670525:1 gene:Potri.013G142301.v4.1 transcript:Potri.013G142301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142301.v4.1 MVSSNATSGYACPSIKPTSNGVFQGDNPLDFALPLAILQICLVVVVTRGLAFLLRPLRQPRVIAEIIGGILLGPSALGRSKGYLQAVFPTRSLTVLDTLANIGLIFFLFLAGLELDPKSLGRTGKKALAIAMAGISLPFAMGIGTSFILRLTISKDVNSTAFLVFMGVALSITAFPVLARILAELKLLTTDIGRMAMSAAAVNDVAAWILLALAISLSGSNTSPIISFWVFLSGCIFVICSILIVPPIFKWMTKWCQEGEPVEEMYVCATLAAVLAAGFVTDAIGIHAMFGAFVIGILVPKEGPFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVIFTACFGKIVGTFVVSILCKVPLRESLAMAFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMALFTTFITTPLVTAVYKPARRVKMADYKYRTVERRSSNTELRILACFHGSRNISSIINLLEVSRGVEKAEGLCVYAMHLMELSERTSAILMVHKARKNGLPFWNRGQRSGSNLVVVAFDAFQQLSRVSVRPMTAISSMADMHEDICTTAERKRAAMIILPFHKLQRLDGSLETTRTDFQLVNRRVLGDAPCSVGILVDRGFGGTTQVSASNVSYVITVLFFGGRDDREALAYGARMAEHPGVSLKVFRFLVKPEAGGEISRVKPEAGGEISRVDMDGSSSTRLGSLDEDFISELKQKMSKDDSVKLEEKFVGNAAETIDAIHEARHSNLFLVGRLPDGEIALDLRSSSDSPELGPVGGLLASSDISTTASVLVVKQYSSRVSLDLALQIG >Potri.T011525.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:129829:131079:1 gene:Potri.T011525.v4.1 transcript:Potri.T011525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011525.v4.1 MGRSPCCEKEHTNKGAWTKEEDERLINYIKSHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSDEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLFSRGVDPQTHRPLNSTTTSSTTSTTTNSTNNKNSNMGTKRITNFKLEEQNYLFVQAQPEFMMSNIVKKASDSSIIKVGGSSDSAEDSNSSSGVTAELEVHPNHKLNLELSIGLPCQSQLSSVNDLNDSKQANQQHQEQVVTYQLFGTPATPTSSAPAVVHRTACLCSYNRGFKNSQACSCCNAVEKFVTADSLYRFYRPLDA >Potri.013G040401.1.v4.1 pep chromosome:Pop_tri_v4:13:2755157:2757116:1 gene:Potri.013G040401.v4.1 transcript:Potri.013G040401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040401.v4.1 MELPSNLLPDEASPVWMNKGDNAWQLTAATLVGLQSIPGLVILYGSIVKRKWAINSAFMVFYAFAMVLVCWVGWGFRMSFGEKLVFFLGKPAVALDEKFLLGQAFLGYFPTATMVFFQGVFACITLILIAGCLLGRMNFRAWIMFVPLWLTFSYTITAFSIWCPDGWLAKLGVIDFSGGYVIHLSAGVAGFTAAYWVGPRIDKDREMFPPNNIILMLAGAGLLWMGWSGFNGGGPFAVSTISSLAVLNTHVCTATSLLTWLLLDTCFFGNPSVIGAVQGMITGLVCITPAAGVVQCWAAILMGIVSGSVPWYTMMVLHKKVKFLRLVDDPIAIFHTHAIAGGLGGILTGFFAVPKLCRLFYMVPDWEKYIGLGYGLQNGQTSAGLRQMGIQLGGILFVTFINISTTSMICWFVGLFVPLRLSDDELQIGDDAIHGEEAFALWNDEETFQNTKTNSAFESEDSSYMKSRSFGDVQMV >Potri.001G018900.1.v4.1 pep chromosome:Pop_tri_v4:1:1399648:1402411:-1 gene:Potri.001G018900.v4.1 transcript:Potri.001G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018900.v4.1 MADPYSNYFNGWYNFNHLHHLSASASSSNSSLYASHGCNMYSDNNIIYDNSFIQSHSTSPPSPPLREALPLLSLISPTRHHEDQREYSSCSAMEVDKNKDREESLYDDKTVTVALHLGLPRLDSADLVSSTEISSDNEEVTVASGYQTSSRTLNKGQYWIPTPSQILIGPTQFSCPLCFKTFNRYNNMQMHMWGHGSQYKKGPDSLRGTQPTAMLRLPCYCCATGCINNIDHPGAKPLRDFRTLQTHYKRKHGIKPFLCRKCGKAFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLNDHIKSFGHGHSTNDIDFLQEKNVRK >Potri.010G249800.7.v4.1 pep chromosome:Pop_tri_v4:10:22315363:22321381:1 gene:Potri.010G249800.v4.1 transcript:Potri.010G249800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249800.v4.1 MARRLSKLQYRPLTSSSKLSFIPFSTTVSAENPQNSTTADGQDHLFNDQIHNLRVLLQQGRNDTAYNFTKSLILSNPSSFSSPSHLLSFFTSSSDDASLNLTLSEMLLSVCSESKMHSQVSELYDFMRQEGRLPSFGYVRMIVESLVESKKFDNVLDLFKEMVGLGFRPDKLVYGRAMLAAVKLGDLKLAMELFETMKRRKVVPNVFVYNVLIGGLCKEKRIRDAEKLFGEMSVRNLVPNRVTFNTLIDGYCKAGEVDVAIGLRERMKKEKVEPSIITFNSLLSGLCKARRIEEARCMLNEIKCNGFVPDGFTYSIIFDGLLKSDDGAGAALDLYREAIGKGVKIDNYTCSILLNGLCKEGKVEKAEEVLKSLVEHGLVPGEVIYNTIVNGYCQIGDMDRAILTIEQMESRGLRPNCIAFNSVIDKFCEMQMIDKAEEWVKKMVGKGIAPSVETYNILIDGYGRLCVFSRCFQILEEMEENGEKPNVISYGSLINCLCKDGKILEAEMVLRDMVGRGVLPNANIYNMLIDGSCTVGKLREALRFFDEMSKNGIGATIVTYNSLIKGLCKMGKLKEAEEMFFLITSTGHCPDVITYNSLISGYSNAGNSQKCLELYETMKKLGLKPTINTFHPLISGCSKEGIKLKETLFNEMLQMNLSPDRVVYNAMIHCYQETGHVQKAFSLQKEMVDMGVRPDNKTYNSLILGHLKEGKLSETKDLVDDMKAKGLIPEADTYSLLIQGHCDLKDFNGAYVWYREMLENGFLPNVCICNELSTGLRKDGRLQEAQSICSEMIANGMDNLDTNEDLSDVAKMQHNSTDAH >Potri.016G122901.1.v4.1 pep chromosome:Pop_tri_v4:16:12748534:12761528:1 gene:Potri.016G122901.v4.1 transcript:Potri.016G122901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G122901.v4.1 MDRQRYHDTLERCSLVKDLELLPCGDLTEIGERGVNLSGGQKQRVQLARALYQNADIYLFDDPFSAVDAHTATSLFNEYIMGALSRKTVLFVTHQVDFLPAFDSVMLMSDGEILQAAPYHQLLLSNQEFLDLVNAHKETAGSERHTEVDASQRQGSSVREIKKSYVEGQIKTSQGDQLIKQEEKEVGDTGFKPYVQYLNQNKGYVYFSIAAFSHLLFVIGQITQNSWMAANVDDPHVSTLRLIAVYLCIEVTSTLFLLCRSISIVVLGLQSSKSLFSQLLNSLFRAPMSFHDSTPLGRIPSRVTSDLSIVDLDVPFVLIFTVGVTTNAYSDLGVLAVVTWQVLFVSIPMVYLAIRLQAYYFASAKELMRINGTTKSLVSNHLAESIAGAMTIRAFEEEERFFAKTLNLIDINASPFFHSFAANEWLIQRLEIFSATVLASAALCMVLLPPGTFNSGFIGMALSYGLSLNMTLVFSIQSQCTLANYIISVERLNQYMRIPSEAPEVIKDNRPPSNWPEKGKVDICDLQIRYRPDAPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDGIDISKIGLHDLRSRLGIIPQDPTLFSGTVRYNLDPLSQHTDQEIWEVLGKCQLQEAVQEKEQGLDSLVVEDGSNWSMGQRQLFCLGRTILSRSRVLVLDEATASIDNATDLVLQKTIRTEFSDCTVITVAHRIPTVMDCTMVLSISDGKLVEFDEPEKLMKMEGSLFGQLVKEYWSHLHAAESH >Potri.018G132000.1.v4.1 pep chromosome:Pop_tri_v4:18:14186104:14188353:-1 gene:Potri.018G132000.v4.1 transcript:Potri.018G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132000.v4.1 MSLTSLYQAVDISPGNGNQEPLLAVNVEAAKDGAEAKFRIRNLTKVSDAGVPILNGINMDIPKGMIVGIIGPSGSGKSTVLRALNRLWEPPSGTVFLDGHDVKDLDVLGHRRKVGMLFQLPALFDGTVADNIRYGPQLKGKKLTDDEVYKLLSLADLDSSFYKKTSNELSVGQAQRVALARTLANEPEVLLLDEPTSALDPISTQNIEDVLVKLKKQRGLTVVIVSHSIKQIQRIADVVCLLVNGEIVEVLKPDDLSEAKHPMAQRFLQLGS >Potri.010G215000.1.v4.1 pep chromosome:Pop_tri_v4:10:20243108:20252303:1 gene:Potri.010G215000.v4.1 transcript:Potri.010G215000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215000.v4.1 MKAQTKSINPLPGFEHKRDAYGFAVRPQHVQRYREYANIYKEEEEERSDRWQTFLEQQADSARLPMNGISSEKDSKELHAEAKEQETRNGSQKNIEGVDIRGEKPSSDVLLENVTEKEEKQPATSKKTHRIQIWTEIRPSLHAIEDMMSLRIKKKGNQSKDQQETKRERMVPPFEDAKSPKGAPEEDSEDEFYDVERSDLIQDAPASDGAPPTGTAPDALPLESSFPWKEELEVLVRGGVPMALRGELWQAFVGARARRVEKYYHDLLASETKSGNHADQQSDSNTKGSTTDTVCVQEKWKGQIEKDLPRTFPGHPALDNDGRNALRRLLTAYARHNPSVGYCQAMNFFAALLLLLMPEENAFWTLMGVIDDYFDGYYSEEMIESQVDQLVFEELVRERFPKLVNHLDYLGVQVAWVTGPWFLSIFMNMLPWESVLRVWDVLLYEGNRVMLFRTALALMELYGPALVTTKDAGDAVTLLQSLAGSTFDSSQLVFTACMGYQNVNETRLQELRNKHRQAVITTVEERTKGLQAWRDSQGLATKLYNFKHDPKSLLMETNKQTSGELSRSESGSTNADEVLVSLTGDTEIDSVPDLQDQVVWLKDELCKLLEEKRSIVLRAEELETALMEMVKQDNRRQLSARVEQLDQEVSELRRALADKQEQENAMLQVLMRVEQEQKVTEDARIYAEQDASAQRFAAQVLQEKYEQALASLAEMEKRMVMAESMLEATLQYQSGQLKAQPSPRSSHLDSQTRGNQEPAQDIPARKIGLLARPFGLGWRDRNKGKPATVEDASDDKPSNEVQNPSVEQETNGISAHDKE >Potri.011G001901.2.v4.1 pep chromosome:Pop_tri_v4:11:110859:112247:-1 gene:Potri.011G001901.v4.1 transcript:Potri.011G001901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001901.v4.1 MDPLSQGTSSTPVDPPMKRKRGRPRKDESSVPGEKTPVLPESDNMKENKETTGTTGASMVGQVITGVIDGLFDAGYLVKVKVGDSDTPLRGLVFLPSRFIPITAANDLPSFVTSPEQSDKQLVELKKLAPTAQDKGLQSGFQPTVPTAKESQSAPQMLPLTEYLQSSTGPSFGGKVVRHQVLGSGCDNQSASAMAQMGHNKVAGQHDLMLEYEASLRKGPNLSVKANEQSKSVSLPAPPADILPGSETAKMELEIQQQPSSDDLKVNQPVHYGVKSPSSFMEKKASPKNVAPPEPAMKIISGDDKSHLNGSSIGPAANSTEANSLSEPIESFPSLLFEREAIPSTPKLAAEGSPLQRVTKPQSDGSSGGTDIMKAGADTSASIILPATLFGREAILPESKTASDELVLHRMTTPQICSSPSVANNVDSNIKDVIPPAES >Potri.002G173200.5.v4.1 pep chromosome:Pop_tri_v4:2:13327751:13331288:-1 gene:Potri.002G173200.v4.1 transcript:Potri.002G173200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173200.v4.1 MPDQSSVGFMPPKTNPSYYSLPPDTSWWLQLQPSYGYQKCLTREQLNALETELESLRTNIVDSPSKNEICKQDDEDNMFLDGSKNSESSLDSYCRISADYMKKDCDVKKQELKALYDKDFQEFNELKDARKNSKLMEMDLTGWPESQKDNEHGFDPESSWIGSEKNMPWWRKTDKDDLASLVAQKSLDYIGNCDLPPPQKVHIRKYPCAHSGSFQHDNTLASSLDWKAQIGCISSATGHVQGCPKSEGMPGKQRGSTEGQSLSGSDKACSYAATIKEAAEIGQISESDPCKAQLLEALRHSQTRAREAEQVAKQACAEKEHIVKLFFKQASQLFAYKQWFQLLQLETLYYQMKNSDQPISNLFPVVLPWIPQKGRKLCKSWQKSSKGKRGKESHPKHDVGKYAVALALGLSLVGAGLLLGWTVGWVLPF >Potri.002G173200.7.v4.1 pep chromosome:Pop_tri_v4:2:13327750:13331195:-1 gene:Potri.002G173200.v4.1 transcript:Potri.002G173200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173200.v4.1 MPDQSSVGFMPPKTNPSYYSLPPDTSWWLQLQPSYGYQKCLTREQLNALETELESLRTNIVDSPSKNEICKQDDEDNMFLDGSKNSESSLDSYCRISADYMKKDCDVKKQELKALYDKDFQEFNELKDARKNSKLMEMDLTGWPESQKDNEHGFDPESSWIGSEKNMPWWRKTDKDDLASLVAQKSLDYIGNCDLPPPQKVHIRKYPCAHSGSFQHDNTLASSLDWKAQIGCISSATGHVQGCPKSEGMPGKQRGSTEGQSLSGSDKACSYAATIKEAAEIGQISESDPCKAQLLEALRHSQTRAREAEQVAKQACAEKEHIVKLFFKQASQLFAYKQWFQLLQLETLYYQMKNSDQPISNLFPVVLPWIPQKGRKLCKSWQKSSKGKRGKESHPKHDVGKYAVALALGLSLVGAGLLLGWTVGWVLPF >Potri.002G173200.4.v4.1 pep chromosome:Pop_tri_v4:2:13327748:13331288:-1 gene:Potri.002G173200.v4.1 transcript:Potri.002G173200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173200.v4.1 MPDQSSVGFMPPKTNPSYYSLPPDTSWWLQLQPSYGYQKCLTREQLNALETELESLRTNIVDSPSKNEICKQDDEDNMFLDGSKNSESSLDSYCRISADYMKKDCDVKKQELKALYDKDFQEFNELKDARKNSKLMEMDLTGWPESQKDNEHGFDPESSWIGSEKNMPWWRKTDKDDLASLVAQKSLDYIGNCDLPPPQKVHIRKYPCAHSGSFQHDNTLASSLDWKAQIGCISSATGHVQGCPKSEGMPGKQRGSTEGQSLSGSDKACSYAATIKEAAEIGQISESDPCKAQLLEALRHSQTRAREAEQVAKQACAEKEHIVKLFFKQASQLFAYKQWFQLLQLETLYYQMKNSDQPISNLFPVVLPWIPQKGRKLCKSWQKSSKGKRGKESHPKHDVGKYAVALALGLSLVGAGLLLGWTVGWVLPF >Potri.002G173200.2.v4.1 pep chromosome:Pop_tri_v4:2:13327748:13331288:-1 gene:Potri.002G173200.v4.1 transcript:Potri.002G173200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173200.v4.1 MPDQSSVGFMPPKTNPSYYSLPPDTSWWLQLQPSYGYQKCLTREQLNALETELESLRTNIVDSPSKNEICKQDDEDNMFLDGSKNSESSLDSYCRISADYMKKDCDVKKQELKALYDKDFQEFNELKDARKNSKLMEMDLTGWPESQKDNEHGFDPESSWIGSEKNMPWWRKTDKDDLASLVAQKSLDYIGNCDLPPPQKVHIRKYPCAHSGSFQHDNTLASSLDWKAQIGCISSATGHVQGCPKSEGMPGKQRGSTEGQSLSGSDKACSYAATIKEAAEIGQISESDPCKAQLLEALRHSQTRAREAEQVAKQACAEKEHIVKLFFKQASQLFAYKQWFQLLQLETLYYQMKNSDQPISNLFPVVLPWIPQKGRKLCKSWQKSSKGKRGKESHPKHDVGKYAVALALGLSLVGAGLLLGWTVGWVLPF >Potri.002G173200.3.v4.1 pep chromosome:Pop_tri_v4:2:13327752:13331288:-1 gene:Potri.002G173200.v4.1 transcript:Potri.002G173200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173200.v4.1 MPDQSSVGFMPPKTNPSYYSLPPDTSWWLQLQPSYGYQKCLTREQLNALETELESLRTNIVDSPSKNEICKQDDEDNMFLDGSKNSESSLDSYCRISADYMKKDCDVKKQELKALYDKDFQEFNELKDARKNSKLMEMDLTGWPESQKDNEHGFDPESSWIGSEKNMPWWRKTDKDDLASLVAQKSLDYIGNCDLPPPQKVHIRKYPCAHSGSFQHDNTLASSLDWKAQIGCISSATGHVQGCPKSEGMPGKQRGSTEGQSLSGSDKACSYAATIKEAAEIGQISESDPCKAQLLEALRHSQTRAREAEQVAKQACAEKEHIVKLFFKQASQLFAYKQWFQLLQLETLYYQMKNSDQPISNLFPVVLPWIPQKGRKLCKSWQKSSKGKRGKESHPKHDVGKYAVALALGLSLVGAGLLLGWTVGWVLPF >Potri.002G173200.6.v4.1 pep chromosome:Pop_tri_v4:2:13327752:13331288:-1 gene:Potri.002G173200.v4.1 transcript:Potri.002G173200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173200.v4.1 MPDQSSVGFMPPKTNPSYYSLPPDTSWWLQLQPSYGYQKCLTREQLNALETELESLRTNIVDSPSKNEICKQDDEDNMFLDGSKNSESSLDSYCRISADYMKKDCDVKKQELKALYDKDFQEFNELKDARKNSKLMEMDLTGWPESQKDNEHGFDPESSWIGSEKNMPWWRKTDKDDLASLVAQKSLDYIGNCDLPPPQKVHIRKYPCAHSGSFQHDNTLASSLDWKAQIGCISSATGHVQGCPKSEGMPGKQRGSTEGQSLSGSDKACSYAATIKEAAEIGQISESDPCKAQLLEALRHSQTRAREAEQVAKQACAEKEHIVKLFFKQASQLFAYKQWFQLLQLETLYYQMKNSDQPISNLFPVVLPWIPQKGRKLCKSWQKSSKGKRGKESHPKHDVGKYAVALALGLSLVGAGLLLGWTVGWVLPF >Potri.003G206801.1.v4.1 pep chromosome:Pop_tri_v4:3:20606673:20609557:-1 gene:Potri.003G206801.v4.1 transcript:Potri.003G206801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206801.v4.1 MSRHMMNVAGTSIDQMDSSIDIDKLEVSVQGKLRILRLFSNNCSIYRVPKRLRELNEKAYTPQVISIGPLHYGKPELKEMEEHKILYLKEFLDLSEVGVKGFIAAIAERETRLRNCYAETFDKLSKEEFVEMVLLDCSFLIMFLLKRLNTDIRRLNTDRIFYKPWMKEEIKFDLLLLENQLPFFIVEDLFKLSKILPPGTEYSMIMLTHDLFSSSWGSWVPKDISRGNLSEVEHFVDFLRICQQPAEQPKKLETLTAPSVAELRRAGIKFKLGPSINLLHIKFDDNEGTLEIPHFKIFDHTEILFRNLQAFEQCNCGATTYVCNYIIMLSLLVPDAKDVEILVKEGIIENWLNDNDAVSRLFRNLSKEIILDVENFYFSGVVEDLNKYYRKRGHKWKAFLKQKYFRNPWAIISVVAAAVLVIFTIIQAVCSIIQVA >Potri.014G072600.2.v4.1 pep chromosome:Pop_tri_v4:14:4608391:4634507:-1 gene:Potri.014G072600.v4.1 transcript:Potri.014G072600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072600.v4.1 MEEEEEKEFGQSLNIREVDSAVKEDSVGESRQGNVNVLSGGQEEIEHENPVYDRLDSVDIEDDDDDQFELVSLKEQERGLGEFAVGNIDSNQPSDSESERFSFDRFGEVSSNSYSNYGAECDSSPTMEIQHDRSVLSTGPERQSGHAIKQSHSSTSLDSGFFMDGFSPTSSPQKAKPKAAMPNVSPELLHLVDSAIMGKPEILDKLKNIVSGVESFGSGEETEGIAYLVVDSLLATMGGVESFEDEDHNPPSVMLNSRAAIVAAELIPCLPWVGDSEIFMSPRTRMVRGLLAILRACTRNRAMCSMAGLLGVLLGTAEKIFVQAGGLTEQMGWDGTPLCYCIQYLAGHSLNVVDLHRWLQVITRTLTTSWASRLMLALEKAIGGKESKGPASTFEFDGESSGLLGPGESRWPFTNGFAFATWIYIESFADTLNTATVAAAIAAAAAAKSGKSSAMSAAAAASALAGEGTAHMPRLFSFLSADNQGIEAYFHAQFLVVESGSGKGKKASLHFTHAFKPQCWYFIGLEHICKQGLIGKTESELRLYIDGSLYETRPFEFPRISKPLAFCCIGTNPPPTMAGLQRRRRQCPLFAEMGPVYIFKEPIGPERMTRLASRGGDVLPHFGNAAGLPWRATNDQVRTMAEESSLLDADIGGCIHLLYHPSLLNGRFCPDVSPSGAAGILRRPAEVLGQVHVATRMRPVEALWALAYGGPIALLPLSVSNVHKDSLEPVQGNLPITLATATLAAPVFRIISIAIQHPWNNEEFCRTRGPEVLSKILNYLLQTLSSLDAGNHNGVGDEELVAAIVSLCQSQKHNHALKVQLFTSLLLDLRIWSLCNYGLQKKLLSSLADMVFLESLVMRDANAIQMLLDGCRRCYWTVREKDSVNAFSLNEATHPVGELNALVDELLVIIELLIGVASPAVAADDLRCLLGFLVDCPQPNQVARVLNLIYRLVVQPNTARAHMFAESFITCGGIETLLVLLQREAKAGDHSIPELVAKSEDSLPVQETELDIGNGTSERSQNDEQERDLTSQDKDYEPEFLDSGGGGSPVTTSPGMEIERMSSVSENPSAKNLGGINLSISADNARNNVYNVDRSDGIVVAIIGLIGALVTSGHFNFVSHAPSDTASNFFGGGLHDGSGTMFDDKVSLLLFALQKAFKAAPNRLMTTTVYTALLAASINASSTEEGLNFYDSGHRFEHSQLLLVLLRSLPYASRALQSRALQDLLFLACSHPENRSSLTKMEEWPEWLLEILISNYEMGENKNSNLASLGDIEDLIHNFLIIMLEHSMRQKDGWKDTEATIHCAEWLSIIGGSSTGDQRVRREESLPLFKRRLLGALLDFAARELQVQTQVIAAAAAGVAAGGLPPKDAKVEAENAAQLSVALVENAIVILMLVEDHLRLQSKLSSASSVVDSSSPPLSLVSPLNNHSSSPASIGTDSLEALGDRRSSDSGGLPLDVLASMADANGQISASVMERLTAAAAAEPFESVSCAFVSYGSCTMDLAEGWKFRSRLWYGVGLPSKTAPFGGGGSGWKSWRSTLEKDANGNWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCILRMVLLSMREEDNGETSMLMRNVSMEDGMSEGFVRQAGNTISLENSAQMQMRQPRSALLWSVLSPVLNMPISDSKRQRVLVASCILYSEVWHAVGRERKPLRKQYLEGILPPFVAMLRRWRPLLAGIHELATADGLNPLVVDDRALAADALPIEAALCMISPAWAAAFASPPAAMALAMIAAGAAGGETPAPATTTHLKRDSSLLERKTDRLHTFSSFQKSLEVPNKTPAHHKDKAGAKAAALAAARDLQRNAKIGSGRGLSAVAMATSAQRRNANDMERVRRWNTDEAMGVAWMECLQPADTRSVYGKDLNALSYKFIAVLVASFALARNMQRLEVDRRAQVDVISCHHLSSGIRAWRKLIHCLIEMKSLFGPFGDPLCNPERVFWKLDFMETSSRMRRCLRRNYRGSNHFGAAANYEDQIELKHDKGNVPVLAAEAISVEILNEDGEHAEIENLGVRSFDTEQGGESQLRLSGATDQSMQPPAESSDTQLARDQDLENASAVTPGYVPSERDERIILELPSSMVRPLTVMRGTFQVTTRRINFIVDTTESNADGMKSSESGVQEKDHSWLMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGSTEARRNAYQAVVQSRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWVLSDYSSKSLDLSDASSYRDLSKPLGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLARVEPFTTLSIELQGGKFDHADRMFSDIAATWKGVTEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGGKLDSVKLPPWAENTTDFIHKHQMALESEHASTHLHEWIDLVFGYKQRGKEAIAANNVFFYITYEGAVDIDKIIDPVQQRATQDQIAYFGQTPSQLLTVPHLKRMPLSDVLHLQTIFRNPKEVRPYAVLAPERCNLPAASIHASSDAVIIVDINAPAAHIAQHKWQPNTPDGHGAPFLFQHGKALTSSAGGTFMRIFKGQSRSVGDDWHFPQALAFASSGIRGKAVVSITHDKEIITGGHADNSIKLLSADGAKTLETAVAHCAPVTCLALSPDSNYLVTGSRDTTVLLWKIHRAFTSSSSSMSEPSKVTDTGTPPASSSTTATNLAEKSRRCRIEGPIHVLRGHHREIMCCCVNSDLGIVVSCSQSSDVLLHSIRRGRLIRRLVGVEAHSVCLSSEGVVMTWNKCQNSLNTYTLNGKPIARAQLPLSGCVSCIEISVDGKSALIGMNSYQENDETSNNNKKISLKKPGAADFNLESEDTGEHNRLDVPSPSICFLDLYTLKVFHVLKLGEGQDITALALNNDSTNLLVSTADKQLIIFTDPALSLKVVDQMLKLGWEGDGLSPLIKSQRLGH >Potri.014G072600.6.v4.1 pep chromosome:Pop_tri_v4:14:4608378:4625835:-1 gene:Potri.014G072600.v4.1 transcript:Potri.014G072600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072600.v4.1 MGLPKVLASMADANGQISASVMERLTAAAAAEPFESVSCAFVSYGSCTMDLAEGWKFRSRLWYGVGLPSKTAPFGGGGSGWKSWRSTLEKDANGNWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCILRMVLLSMREEDNGETSMLMRNVSMEDGMSEGFVRQAGNTISLENSAQMQMRQPRSALLWSVLSPVLNMPISDSKRQRVLVASCILYSEVWHAVGRERKPLRKQYLEGILPPFVAMLRRWRPLLAGIHELATADGLNPLVVDDRALAADALPIEAALCMISPAWAAAFASPPAAMALAMIAAGAAGGETPAPATTTHLKRDSSLLERKTDRLHTFSSFQKSLEVPNKTPAHHKDKAGAKAAALAAARDLQRNAKIGSGRGLSAVAMATSAQRRNANDMERVRRWNTDEAMGVAWMECLQPADTRSVYGKDLNALSYKFIAVLVASFALARNMQRLEVDRRAQVDVISCHHLSSGIRAWRKLIHCLIEMKSLFGPFGDPLCNPERVFWKLDFMETSSRMRRCLRRNYRGSNHFGAAANYEDQIELKHDKGNVPVLAAEAISVEILNEDGEHAEIENLGVRSFDTEQGGESQLRLSGATDQSMQPPAESSDTQLARDQDLENASAVTPGYVPSERDERIILELPSSMVRPLTVMRGTFQVTTRRINFIVDTTESNADGMKSSESGVQEKDHSWLMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGSTEARRNAYQAVVQSRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWVLSDYSSKSLDLSDASSYRDLSKPLGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLARVEPFTTLSIELQGGKFDHADRMFSDIAATWKGVTEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGGKLDSVKLPPWAENTTDFIHKHQMALESEHASTHLHEWIDLVFGYKQRGKEAIAANNVFFYITYEGAVDIDKIIDPVQQRATQDQIAYFGQTPSQLLTVPHLKRMPLSDVLHLQTIFRNPKEVRPYAVLAPERCNLPAASIHASSDAVIIVDINAPAAHIAQHKWQPNTPDGHGAPFLFQHGKALTSSAGGTFMRIFKGQSRSVGDDWHFPQALAFASSGIRGKAVVSITHDKEIITGGHADNSIKLLSADGAKTLETAVAHCAPVTCLALSPDSNYLVTGSRDTTVLLWKIHRAFTSSSSSMSEPSKVTDTGTPPASSSTTATNLAEKSRRCRIEGPIHVLRGHHREIMCCCVNSDLGIVVSCSQSSDVLLHSIRRGRLIRRLVGVEAHSVCLSSEGVVMTWNKCQNSLNTYTLNGKPIARAQLPLSGCVSCIEISVDGKSALIGMNSYQENDETSNNNKKISLKKPGAADFNLESEDTGEHNRLDVPSPSICFLDLYTLKVFHVLKLGEGQDITALALNNDSTNLLVSTADKQLIIFTDPALSLKVVDQMLKLGWEGDGLSPLIKSQRLGH >Potri.014G072600.5.v4.1 pep chromosome:Pop_tri_v4:14:4608369:4630239:-1 gene:Potri.014G072600.v4.1 transcript:Potri.014G072600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072600.v4.1 MFAESFITCGGIETLLVLLQREAKAGDHSIPELVAKSEDSLPVQETELDIGNGTSERSQNDEQERDLTSQDKDYEPEFLDSGGGGSPVTTSPGMEIERMSSVSENPSAKNLGGINLSISADNARNNVYNVDRSDGIVVAIIGLIGALVTSGHFNFVSHAPSDTASNFFGGGLHDGSGTMFDDKVSLLLFALQKAFKAAPNRLMTTTVYTALLAASINASSTEEGLNFYDSGHRFEHSQLLLVLLRSLPYASRALQSRALQDLLFLACSHPENRSSLTKMEEWPEWLLEILISNYEMGENKNSNLASLGDIEDLIHNFLIIMLEHSMRQKDGWKDTEATIHCAEWLSIIGGSSTGDQRVRREESLPLFKRRLLGALLDFAARELQVQTQVIAAAAAGVAAGGLPPKDAKVEAENAAQLSVALVENAIVILMLVEDHLRLQSKLSSASSVVDSSSPPLSLVSPLNNHSSSPASIGTDSLEALGDRRSSDSGGLPLDVLASMADANGQISASVMERLTAAAAAEPFESVSCAFVSYGSCTMDLAEGWKFRSRLWYGVGLPSKTAPFGGGGSGWKSWRSTLEKDANGNWIELPLVKKSVAMLQALLLDESGLGGGLGIGGGSGTGMGGMAALYQLLDSDQPFLCILRMVLLSMREEDNGETSMLMRNVSMEDGMSEGFVRQAGNTISLENSAQMQMRQPRSALLWSVLSPVLNMPISDSKRQRVLVASCILYSEVWHAVGRERKPLRKQYLEGILPPFVAMLRRWRPLLAGIHELATADGLNPLVVDDRALAADALPIEAALCMISPAWAAAFASPPAAMALAMIAAGAAGGETPAPATTTHLKRDSSLLERKTDRLHTFSSFQKSLEVPNKTPAHHKDKAGAKAAALAAARDLQRNAKIGSGRGLSAVAMATSAQRRNANDMERVRRWNTDEAMGVAWMECLQPADTRSVYGKDLNALSYKFIAVLVASFALARNMQRLEVDRRAQVDVISCHHLSSGIRAWRKLIHCLIEMKSLFGPFGDPLCNPERVFWKLDFMETSSRMRRCLRRNYRGSNHFGAAANYEDQIELKHDKGNVPVLAAEAISVEILNEDGEHAEIENLGVRSFDTEQGGESQLRLSGATDQSMQPPAESSDTQLARDQDLENASAVTPGYVPSERDERIILELPSSMVRPLTVMRGTFQVTTRRINFIVDTTESNADGMKSSESGVQEKDHSWLMSSLHQIYSRRYLLRRSALELFMVDRSNFFFDFGSTEARRNAYQAVVQSRPPHLNNIYLATQRPEQLLKRTQLMERWARWEISNFEYLMQLNTLAGRSYNDITQYPVFPWVLSDYSSKSLDLSDASSYRDLSKPLGALNPDRLKKFQERYSSFDDPVIPKFHYGSHYSSAGTVLYYLARVEPFTTLSIELQGGKFDHADRMFSDIAATWKGVTEDMSDVKELVPELFYLPEILTNENSIDFGTTQLGGKLDSVKLPPWAENTTDFIHKHQMALESEHASTHLHEWIDLVFGYKQRGKEAIAANNVFFYITYEGAVDIDKIIDPVQQRATQDQIAYFGQTPSQLLTVPHLKRMPLSDVLHLQTIFRNPKEVRPYAVLAPERCNLPAASIHASSDAVIIVDINAPAAHIAQHKWQPNTPDGHGAPFLFQHGKALTSSAGGTFMRIFKGQSRSVGDDWHFPQALAFASSGIRGKAVVSITHDKEIITGGHADNSIKLLSADGAKTLETAVAHCAPVTCLALSPDSNYLVTGSRDTTVLLWKIHRAFTSSSSSMSEPSKVTDTGTPPASSSTTATNLAEKSRRCRIEGPIHVLRGHHREIMCCCVNSDLGIVVSCSQSSDVLLHSIRRGRLIRRLVGVEAHSVCLSSEGVVMTWNKCQNSLNTYTLNGKPIARAQLPLSGCVSCIEISVDGKSALIGMNSYQENDETSNNNKKISLKKPGAADFNLESEDTGEHNRLDVPSPSICFLDLYTLKVFHVLKLGEGQDITALALNNDSTNLLVSTADKQLIIFTDPALSLKVVDQMLKLGWEGDGLSPLIKSQRLGH >Potri.003G126001.1.v4.1 pep chromosome:Pop_tri_v4:3:14561326:14561661:-1 gene:Potri.003G126001.v4.1 transcript:Potri.003G126001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126001.v4.1 MTCFRQKVQKNCICRCVHCYGNCILKPRTRITIHQLRQYFIHYVPPHILSFPRRNTAQPLHRVHLHLPHFFLVYEL >Potri.008G193500.7.v4.1 pep chromosome:Pop_tri_v4:8:13633352:13639766:1 gene:Potri.008G193500.v4.1 transcript:Potri.008G193500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193500.v4.1 MGWLWLKYPLLFFSLFLPLLIKVWKFSFTCYFRYHPDKNSNDPEAADMFKEVTFSYNILSDPDKRRQYDSAGFEAVELESQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVDIHPLPLGLPICRKVEKQCAHFYSVTITEEEARDGFVCRVQSSDKSKFKLLYFDQEESGGLSLALQEDSAKTGKVTSAGMYFLCFPVYRLDHTVNSIAAAKDADAAFFKILDGFQPYEITELKAGTHVFAVYGDNFFKSASYSIEALCAAPFMEEKANLRAVEAEILAKRAEISKFETEYREVLAQFTEMSSRYAQEMQAIDEFLRQRNEIHASYTTAPPMKRSSNKRRNKGSIRETKEDAQVRDKKPSTRDRPKKKKWFNIHLKVDKRKPC >Potri.008G193500.1.v4.1 pep chromosome:Pop_tri_v4:8:13633352:13639773:1 gene:Potri.008G193500.v4.1 transcript:Potri.008G193500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193500.v4.1 MPSHLSKQDATAGPVRRDPYEVLAISRNSTDQEIKSAYRKMALKYHPDKNSNDPEAADMFKEVTFSYNILSDPDKRRQYDSAGFEAVELESQELELDLSSLGAVNTMFAALFSKLGVPIKTTVSATVLEEALNGVVDIHPLPLGLPICRKVEKQCAHFYSVTITEEEARDGFVCRVQSSDKSKFKLLYFDQEESGGLSLALQEDSAKTGKVTSAGMYFLCFPVYRLDHTVNSIAAAKDADAAFFKILDGFQPYEITELKAGTHVFAVYGDNFFKSASYSIEALCAAPFMEEKANLRAVEAEILAKRAEISKFETEYREVLAQFTEMSSRYAQEMQAIDEFLRQRNEIHASYTTAPPMKRSSNKRRNKGSIRETKEDAQVRDKKPSTRDRPKKKKWFNIHLKVDKRKPC >Potri.008G223900.2.v4.1 pep chromosome:Pop_tri_v4:8:18849608:18850017:1 gene:Potri.008G223900.v4.1 transcript:Potri.008G223900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G223900.v4.1 MKNVAKCDTWCELQNPVNNQVFERKLRPRTLGRGHICLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSARGRQAGLPVEFKHINKQRKRNLQGFP >Potri.007G056200.1.v4.1 pep chromosome:Pop_tri_v4:7:5860922:5867586:1 gene:Potri.007G056200.v4.1 transcript:Potri.007G056200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056200.v4.1 MVLEATMICIDNSEWMRNGDYSPSRFQALSDAVNLICGAQTQSNPENTVGILTMAGKGVRVLVTPTSDLGKILACMHGLEIGGEVNLVAGIQVAQLALKHRQNKKQQQRIIVFVGSPIKHDKKVLEMIGRKLKKNNVALDIVDFGEEGDGKAEKLEALLAAVNSNDTSHIVHVPPGPSALSDVLINTPIFTGDGEGGSGFAAAAAAAAADGVSGFEFGVDPNLDPELALALRVSMEEERARQEAAAKTAAEEAAKQEKAREQPSSSQDATMTENNSVPAPDAYNKKNELMDEENSLLQQALAMSMDSPGSSHDVRDMDMSEATTDDPKLAPALQLPMQDSSRDSSSQMDISKLLANQSFVSSILASLPGVDPNNPSVKDLLASMQSQSKPQEKKDEDKQPKEEK >Potri.004G012000.2.v4.1 pep chromosome:Pop_tri_v4:4:736568:736963:1 gene:Potri.004G012000.v4.1 transcript:Potri.004G012000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012000.v4.1 MDRHGWFFSAIGALAAIIQLKSETLSPSSTHDAIITMSVVAVLVHIVGKLAAGHFQSIAASASLLARALAIALLLIIPVPRIWWLLLSAWVVLFIWVAYTLREELLQLRESLRNAVRQAKEPNAESSELPV >Potri.006G129400.1.v4.1 pep chromosome:Pop_tri_v4:6:10519188:10520572:1 gene:Potri.006G129400.v4.1 transcript:Potri.006G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129400.v4.1 MEAKASSGIQLPPGFRFHPSDEELIVHYLKNRVSSSPLPASIIAEIDLYKYNPWELPKKALFGVDEWYFFTPRDRKYPNGARPNRAAASGYWKATGTDKSIFNSFGTAKIGVKKTLVFYEGRPPKGLKTDWNMHEYRLLDAMIWNNSKRKGSMRLDDWVLCRVRQKNSIPRNTWQDQNIPSCASAPTGLFPKVNELQQMNINPNTEMVTNYFYDDCPMLPCIFSPQNFPSTERASSINFLSSDKSCTSFHNLLNLLKRKPAETNQQRGNCFPPGKMLRTKADIEEDVVSIRNDGTDENFSGTDQSESGNFSTEQWNSPMQYQECNHLTFTGNHLTLD >Potri.002G089500.1.v4.1 pep chromosome:Pop_tri_v4:2:6474935:6481232:1 gene:Potri.002G089500.v4.1 transcript:Potri.002G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089500.v4.1 MGSIDTPKNPENGARTLPPATDAYATYEGDKNGMPSRATEMTLPVESEAIKKRKASMLPLEVGTRVMCRWRDSKYHPVKVIERRKMQSVGSIDYEYYVHYTEFNRRLDEWVKLEQLDLDSVETVVDEKVEDKVTSLKMTRHQKRKIDETHVEGHEELDAASLREHEEFTKVKNIATIELGRYEIETWYFSPFPPEYNDCLKLYFCEFCLNFMKRKEQLQRHMKKCDLKHPPGDEIYRSGTLSMFEIDGKKNKVYGQNLCYLAKLFLDHKTLYYDVDLFLFYILCECDDRGCHMVGYFSKEKHSEESYNLACILTLPPYQRKGYGKFLIAFSYELSKKEGKVGTPERPLSDLGLLSYRGYWTRVLLDILKRHKGNISIKELSDMTAIKAEDILTTLQSLELIQYRKGQHVICADPKVLDRHLKAAGRGGLEVDVSKLIWTPYKEQG >Potri.002G055800.2.v4.1 pep chromosome:Pop_tri_v4:2:3804881:3815146:-1 gene:Potri.002G055800.v4.1 transcript:Potri.002G055800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055800.v4.1 MGGVEDDEPASKRLKLASGRLTGLSNGSSLTEPIVGSRDLMARPPQSEGDKDVLGSKGVIKRVEFVRIIAKALHSLGYKKSCAHLEEESGIPLHSSAVDLFMQQVLNGNWDESVVTLHNIGLKDENIVKSACFLILEQKFFELLDGDNIVDALKTLRTEIAPLCINNGRICELSSCIVSSTHCNSVGSSNQDNGRIKPRSKLLEELQKLLPPTVIIPESRLEHLVEQALTLQRDACFFHNSLDKEMSLYSDHQCGRDQIPSRILQILEAHSDEVWFLQFSHNGKYLASSSNDRSAIIWEIDVNGGVSLKHRLSGHQKPVSSVSWSPDDHQILTCGVEEVVRRWDVSSGECLQVYEKVGLGLVSCGWFPDGKWIFSGINDKSICMWELDGKEVECWKGQKTLKISDLEITSDGKQIISMCRPTAILLLDREAKAERVIEEDQTITSFSLSRDNRFLLVNLLNQEIHLWSIDGNIRLVAKYKGHRRTRFVIRSCFGGLEQAFIACGSEDSQVYIWHRGSGDLVEALPGHSGAVNCVSWNPANPYMLASASDDRTIRIWGLNSLHVKHKSAHSNGTHYCNGGT >Potri.015G109500.3.v4.1 pep chromosome:Pop_tri_v4:15:12714489:12716070:1 gene:Potri.015G109500.v4.1 transcript:Potri.015G109500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109500.v4.1 MLKVPDHQVAGHQARNGQLGPLIDDSGRFYKPLQDDDRGSKEVAFYSSFSSNTRVPDHIRRLFPVFHGTQLLEASDGSGLRPHLVLEDVVSSRSHPSVMDIKIGSRTWYPEASEDYIQRCFKKDRETSSLSLGFRISGLQIYGNEESGFWKPERKLVQNLSAADVRVVLKKFVSSNLPVDPNSDPDCSFAASVYGGSTGILAQLLELKAWFEDQTMYHLNSCSVLLVYEKEKVLKGERSDAEVKLIDFAHVTEGKDIIDHNFLGGLCSLIKFISEILTSPDECTTKACLRDSERDKEILTSPDECTTKVCLQDSDKNTNYSENGTIEGM >Potri.015G109500.1.v4.1 pep chromosome:Pop_tri_v4:15:12714657:12716059:1 gene:Potri.015G109500.v4.1 transcript:Potri.015G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109500.v4.1 MLKVPDHQVAGHQARNGQLGPLIDDSGRFYKPLQDDDRGSKEVAFYSSFSSNTRVPDHIRRLFPVFHGTQLLEASDGSGLRPHLVLEDVVSSRSHPSVMDIKIGSRTWYPEASEDYIQRCFKKDRETSSLSLGFRISGLQIYGNEESGFWKPERKLVQNLSAADVRVVLKKFVSSNLPVDPNSDPDCSFAASVYGGSTGILAQLLELKAWFEDQTMYHLNSCSVLLVYEKEKVLKGERSDAEVKLIDFAHVTEGKDIIDHNFLGGLCSLIKFISEILTSPDECTTKACLRDSERDKEILTSPDECTTKVCLQDSDKNTNYSENGTIEGM >Potri.015G109500.4.v4.1 pep chromosome:Pop_tri_v4:15:12714667:12716019:1 gene:Potri.015G109500.v4.1 transcript:Potri.015G109500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109500.v4.1 MLKVPDHQVAGHQARNGQLGPLIDDSGRFYKPLQDDDRGSKEVAFYSSFSSNTRVPDHIRRLFPVFHGTQLLEASDGSGLRPHLVLEDVVSSRSHPSVMDIKIGSRTWYPEASEDYIQRCFKKDRETSSLSLGFRISGLQIYGNEESGFWKPERKLVQNLSAADVRVVLKKFVSSNLPVDPNSDPDCSFAASVYGGSTGILAQLLELKAWFEDQTMYHLNSCSVLLVYEKEKVLKGERSDAEVKLIDFAHVTEGKDIIDHNFLGGLCSLIKFISEILTSPDECTTKACLRDSERDKEILTSPDECTTKVCLQDSDKNTNYSENGTIEGM >Potri.013G022300.1.v4.1 pep chromosome:Pop_tri_v4:13:1445896:1451754:1 gene:Potri.013G022300.v4.1 transcript:Potri.013G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022300.v4.1 MAQTPISLLLLRRLTLSSQNPKSPLSLLIFNNFYLKPYSTTTTDNPSTEQPKPSPLSARLSFVFDQIDSIERERAEKHQTLQKIRAWRQSKDTPQQEQQEQNPETITTRNPELVGSQNPETILSQNENLESGLSDDGDSSVDLEESDMIELKRKEVELVHPWPEWIELMERLVQQNYFDHRRKVADNMVESLGLDVSGVGYDCDGDGVGIDFNDYKTVQTACLNFGKDRFDIFRSLSRQDIQILVGYGCPSVDKKVVFSSKLLRKHVHLDEGDVCSNCSLRSSCERGYLVTNKEDEARTIDLMRVLLAHGFESINGSVTNKSLLKQKSVRTVVRKLLHEVVKLGAVPIDPNLPPPVIKRPPPKVKQPPPPPRKRVGRDDIEMKRGDWLCPKCDFMNFAKNAVCLQCDAKRPKRQLLPGEWECPECNFLNYRRNMACFHCDCKRPPDAFMENKMEERQYGSRTRSEKIVSRQEVSNAWNFDFDDNESDGADVAAFEHADSASMVEDSPLESQAKEGDFGRNADALNGTRRISRVHEREYSDPGHDGFGRGFDDFDDEDDINSYEIDTQNNKPAWKTSQNNFSDQGISELEGDGGSDDNLGSRLMTNPSVKPSKPRSQRATFSGSDGNELGIDSDEEHSVHPKWKSSHVADVRHKNRGRGPTGPSKGLSFGSDEELELDSDVDDDFGSRQRKQRTKGSARRNERNSDWEDASISGSESDGNDRRSWRNKSGGNKTGFGRRDNNFRDCDNDFVRDNEMRTNGKMGDRRKSWGDNFDRSSPGPHGKNRGFQGNDRSGWKMNDAGGDRRKSWSNDFDRSSPGPHGKNRGFRGNDHSGWKMDDAGGDRRKSWSDDFDRSSPGPHGKNRGFRGNDRSRWKMDDAGGDRRKSWSDDFDRSSPGPHGKSRGFRSNDRSGWKMDNAGGDSRNFNGPKREGFRKRQGGRSQEYNMDKDPGEFRNSRRVIER >Potri.004G135700.1.v4.1 pep chromosome:Pop_tri_v4:4:15698245:15702211:-1 gene:Potri.004G135700.v4.1 transcript:Potri.004G135700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135700.v4.1 MSSRRLRAFKRWMISQGIEWSNDTLQFIDNPEEGISVNAFWDLKEGDLIAKIPKTACLTIKTSGAQDLIESTGLDGYLGLSVALMYEKSLGGDSPWAGYLQVLPDCECLPLVWSLDEVDLLLRGTELHKIVKEDKALIYEDWKESILPLLDSLPSNIDPKFFSVEQYFAAKSLIASRSFEIDDYHGFGMVPLADLFNHKTGAEDVHFTSTSSHSESDDDSDNSDTVDLDADNIGNKEPSSELDCSSVTGDDPLVLEMIMVKDVKAGVEVFNTYGLLGNAALLHRYGFTEPDNSFGIVNIDLELVQEWSSSLFSSRFSRARVSLWRRLEYRGCDSQSAEYFEISSNGEPQIELLILLYIILLPEDTYRKLDLAVSAANNYKGSIDTILFEKWNITWDKISEMRADLLLTESVCNALLWLADKRESLYGSSSIKDDIEALEKCCTKERKLYHSLVLRASERRILEKLRTYAAVGARSHSSLKSIQREPKRKRSKRS >Potri.004G135700.2.v4.1 pep chromosome:Pop_tri_v4:4:15698245:15702211:-1 gene:Potri.004G135700.v4.1 transcript:Potri.004G135700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135700.v4.1 MKLICSFVALSSIRQIVKEDKALIYEDWKESILPLLDSLPSNIDPKFFSVEQYFAAKSLIASRSFEIDDYHGFGMVPLADLFNHKTGAEDVHFTSTSSHSESDDDSDNSDTVDLDADNIGNKEPSSELDCSSVTGDDPLVLEMIMVKDVKAGVEVFNTYGLLGNAALLHRYGFTEPDNSFGIVNIDLELVQEWSSSLFSSRFSRARVSLWRRLEYRGCDSQSAEYFEISSNGEPQIELLILLYIILLPEDTYRKLDLAVSAANNYKGSIDTILFEKWNITWDKISEMRADLLLTESVCNALLWLADKRESLYGSSSIKDDIEALEKCCTKERKLYHSLVLRASERRILEKLRTYAAVGARSHSSLKSIQREPKRKRSKRS >Potri.019G001600.1.v4.1 pep chromosome:Pop_tri_v4:19:783870:788229:1 gene:Potri.019G001600.v4.1 transcript:Potri.019G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001600.v4.1 MTSSFSSGSSSSSSSSKPWIYDVFLSFRGEDTRKNFTDHLYFALKDAGINTFRDDNELRSGEDISTELLQAIQKSRISVILFSRNYANSRWCLEGLVKIMECWRSWRQLVFPIFYDVDPSDVRKQTGSFAEAFSGHEERFVLQTDKGKVATWRMALTEAANLSGWDLRNVADGHEAKFIKKIVGEISRELSSTYLFIAFYPVGINSRVQQLNFLLNAGSNEVCIVGICGMGGIGKTTIAKAMYYELFHSFDGKCFLANVREISQQPNGHVKLQEQLLFDILKTDKIKIGNVDRGMNMIKERLHSRKVLLILDDVDKLDQLQAIAGSRDWFGSGSRIIVTTRDKHVLTVLGADRVYMAREMNDIEALELFSWHAFRTSHPVEDYKELSEQIVDYCGRLPLALEVIGSFLFGRSIVEWKSALEKLRRIPDDQIQKKLQISFDGLNDDTQKDLFLDISCFFVGMDKEYVLPILNGCDFFADIGLGVLTQRCLVSVNEKNKLIMHDLLRDLGREIVRAQSPNNPGRRSRLWIREEVADILRRNMATEATQGMAINLLKGNDMKVDLNVFCNLQNLRLLQLNHVKLAGGCEYLLRKLTWLCWHGFPLSFIPDGLYGENLVAIDMRHSNLRQVKNSKFLLKLKFLNLSHSHYLYRTPDFSRLPHLEKLKLKDCRSLVEVHHSIGYLDRLVLVNLKDCKQLRRLPSSFWKSKSIEILYLSGCSKFDELPEDLGDLESLTILNAEDTAIRQVPSTIVRLKNLKDLSLCGCKGSTSATFPSRLRSWFLPRKIPNPTNLLPPSFHVTSLSLRDCNLSDDALPRDLGSLPSLTNLELDRNSFQSLPAGLCSLLRLKSLTLDDNTSLQTIPALPRNLDVLRASNCTSLERLPDISVASLMRLLYIANCPKLIEAPGLDKSRSISHIDMEGCYDISNTLKNSLHKGCISGMVLPGNEIPALFNYKNEGASILFKLPEFDGRNLNGMNVCIVCSSHLEKEETKHIRIKLTNYTKGFTKNFRAVAINLVKSCEDHLWQGHISNNFFKLGSEDEVELIVDCMNTMTVKKTGVYLVYEQDEARLKAKRGLDSDDEAGSSCDTSSKKIEN >Potri.004G216100.1.v4.1 pep chromosome:Pop_tri_v4:4:22193927:22196090:1 gene:Potri.004G216100.v4.1 transcript:Potri.004G216100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216100.v4.1 MDNQRSSVLSWGYYCQGKTMDDLRNSLLYTTLELEQTKVAVQEELRKKDDQLFHLKDLLSKAIRERDDAQEKWKRLAIEKVLLQQQQHHQNAPLSGISSIEDEPRRGIDSSNGFSSSDCEESIVSSPVIDQTPQPSQLPQTAAPQTIPQAAIELVPEKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLDSFEIPPVTIPSPPPPPLAVPQLTHQDSLTNTHGCYRKRVLSDGFDSPTETKYQRIALH >Potri.016G084200.2.v4.1 pep chromosome:Pop_tri_v4:16:6552294:6553751:1 gene:Potri.016G084200.v4.1 transcript:Potri.016G084200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED4 MHQHQIVQSPARLGLTNPTSPSLPVQTPNPPPPKFPNPQPPQHHHPSTTTTTTTTSSALLSLLPPLPRAQSLLLQMASLATKLFELSPNRPHWLSAYRGTLPTFLPSQSQSLDEPTPTSTKEIISLFTSLQTQLFESVAELQEILDLQDSKQRISQEIKSKDSALLNFANKLKEAERVLDILVDDYADYRRRRPIPPNEEEENATNTTTVASQLKLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFAHLDVGLPKDVETKEKTIEAIIEPQHEANPLENLAGLFPPNISVPAGWKPGMPVVLPTDLPVPPPGWKPGDPVSLDSIPLPRAVEQKLPPIAPQGLHKPPETIQVRHVELDIPDQDDDSSDYSSDVESSDDED >Potri.016G084200.1.v4.1 pep chromosome:Pop_tri_v4:16:6552213:6556252:1 gene:Potri.016G084200.v4.1 transcript:Potri.016G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MED4 MHQHQIVQSPARLGLTNPTSPSLPVQTPNPPPPKFPNPQPPQHHHPSTTTTTTTTSSALLSLLPPLPRAQSLLLQMASLATKLFELSPNRPHWLSAYRGTLPTFLPSQSQSLDEPTPTSTKEIISLFTSLQTQLFESVAELQEILDLQDSKQRISQEIKSKDSALLNFANKLKEAERVLDILVDDYADYRRRRPIPPNEEEENATNTTTVASQLKLSDILSYAHRISYTTFAPPEFGAGQAPLRGALPPAPQEEQMRASQLYNFAHLDVGLPKDVETKEKTIEAIIEPQHEANPLENLAGLFPPNISVPAGWKPGMPVVLPTDLPVPPPGWKPGDPVSLDSIPLPRAVEQKLPPIAPQGLHKPPETIQVRHVELDIPDQDDDSSDYSSDVESSDDED >Potri.014G157400.4.v4.1 pep chromosome:Pop_tri_v4:14:11112477:11114040:1 gene:Potri.014G157400.v4.1 transcript:Potri.014G157400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157400.v4.1 MNAFKAFKAQVPIAWSPHLYITLVRGIPGTRRLHRRTLEALRLHKCNRTVMRWNTPTAMGMLQQVKRLVVIETEEMYKARKQNEANHQALRPPLVINHLPASASSFS >Potri.014G157400.3.v4.1 pep chromosome:Pop_tri_v4:14:11111971:11115331:1 gene:Potri.014G157400.v4.1 transcript:Potri.014G157400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157400.v4.1 MNAFKAFKAQVPIAWSPHLYITLVRGIPGTRRLHRRTLEALRLHKCNRTVMRWNTPTAMGMLQQVKRLVVIETEEMYKARKQNEANHQALRPPLVINHLPASASSFS >Potri.006G189700.1.v4.1 pep chromosome:Pop_tri_v4:6:19677867:19678184:1 gene:Potri.006G189700.v4.1 transcript:Potri.006G189700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189700.v4.1 MATGAAEMILKCIFSGSISLNDMEIRRRPYHRNCKCALHRLKDICSDACPKQRSISFPKKQVRRDHPLSIATSRLSSPSSTADDSSMQNIGSLFASETAITDNLN >Potri.010G216400.1.v4.1 pep chromosome:Pop_tri_v4:10:20360204:20361412:-1 gene:Potri.010G216400.v4.1 transcript:Potri.010G216400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216400.v4.1 MESSSSSSSVLNPMVSPEEFKIFHTIDRTLYTRLVVKLDRDPADSMQVMALWIWLEREARGNLVKRMLSLPDTLIYSLADEAVLCLNCIETDRFDFSLETMNDEIPLTQQLTKTGFSLRFFHDNRLGILRAIAKITNEVCARAFEDISRQVMERKAVAGGSNVAENVVGQNTNPLNYYGPVINPVLYCNSNAAGVYGQTGISRRFMWPNVGHPGFLPGYDPYDLAFQRQILNTENIAGVLNRLKISAGDQKEVQADSRTVFLTFSKGYPISEDEIRDYFTKKHGECIEAIYMQEVSAEEQPLYARLVVPSAAILHNVLLGQGKAKFTINGKHVWARKYVRKNLKSSSPSKSPTSPQPTSPDTGSSSLN >Potri.010G186900.1.v4.1 pep chromosome:Pop_tri_v4:10:18351389:18362528:-1 gene:Potri.010G186900.v4.1 transcript:Potri.010G186900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G186900.v4.1 MAAANAPITMKEVLTLPAIGISPQFITFTNVTMESDKYICVRETAPQNSVVIIDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIEMKAKMKSYQMPEQIVFWKWITPKMLGLVTQTSVYHWSIEGDSEPVKMFERTANLQSNQIINYKCDPSEKWLVLIGIAPGSPERQQLVKGNMQLFSVDQQRSQALEAHAASFAQYKVPGNENPSTLISFATKSFNAGQITSKLHVIELGAQPGKPSFTKKQADLFFPPDFADDFPVAMQISHKYSLIYAITKLGLLFVYDLETATAVYRNRISPDPIFLTAEASTVGGFYAINRRGQVLLATVNEAMIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFAQTKYKEAAELAAESPQGILRTPDTVAKFQSVPVQPGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYAPDYLFLLQTILRTDPQGAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLAEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIAQLCEKAGLYIRALQHYTDLPDIKRVIVNTHAIEPQALVEFFGTLSREWALECMKDLLLVNLRGNLQIIVQTAKEYCEQLGVDACVKLFEQFKSYEGLYFFLGSYLSSSEDPDIHFKYIEAAARTGQIKEVERVTRESNFYDPEKTKNFLMEAKLPDARPLINVCDRFGFVADLTHYLYSSNMLRYIEGYVQKVNPGNAPLVVGQLLDDECAEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIDSNNNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDEELINVTNKNSLFKLQARYVVERMDGDLWEKVLNPENEYRRQLIDQVVSTALPESKSPEQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYINRLDNFDGPAVGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIQSIDRAVEFAFRVEEDAVWSQVAKAQLREGLVSEAIESFIRADDATQFLEVIRAAEDANVYHDLLKYLLMVRQKTKEPKVDSELIFAYAKIDRLSDIEEFILMPNVANLQNVGDRLYDEALYEAARIIFQFIANWAKLASTLVKLKQFQSAVDAARKANSAKTWKEVCFACVDAEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGYFNELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVAVKVANVELYYKAVHFYLQEHPDLINDLLNVLALRVDHTRVVDIMRKAGHLLLVKPYMIAVQSNNVSAVNEALNQIYVEEEDYERLRESIDLHDNFDQIGLAQKIEKHELLEMRRVAAYIYKKAGRWKQSIALSKKDNLYKDAMETASQSGDRELAEELLVYFIEQGKKECFASCLFVCYDLIRPDVALELAWMNNMIDFAFPYLLQFIREYTGKVDELVKYKIEAQKEVKAKEQEEKDVIAQQNMYAQLLPLALPAPPMPGMGGPTMGGGFAPPPPMGGMGMPPMPPFGMPPMGSY >Potri.005G038700.1.v4.1 pep chromosome:Pop_tri_v4:5:2485374:2487640:1 gene:Potri.005G038700.v4.1 transcript:Potri.005G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038700.v4.1 METLLSSHTLSPLLNPKPSSSKSHLLPSLQTRPDSLSFIPKTITSSLKRHTFESLSVPNSWFNRAQQGLAALALSLALNFSPLLYTGNAQASEFDVLYEGPPKESYIFDDAGVLSRVTKSDLKQLLSDLESRKNFKINFVTVRKLTSKADAFEYADQVLEKWYPSIEDGNNKGIVVLVTSQKEGAITGGPAFIQAVGENVLDATVSENLPVLATEEKYNEAIYSSAKRLVAAIDGLPDPGGPRANENKRESNFKSREETDEKRGQFTLVVGGLLVIAFVVPMAQYYAYVSKK >Potri.017G076800.1.v4.1 pep chromosome:Pop_tri_v4:17:8546643:8551069:-1 gene:Potri.017G076800.v4.1 transcript:Potri.017G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076800.v4.1 MGDEEKKTPTSLETSMENGREEKTTSNDEPVVKYGGIKAMPFVIGNETFEKLGTVGSLTNLVVYLTTVFNMKGVTATTFLNVFSGTTNLAPLLGAYLTDTYFGRYKTLGFASISSFVGMVALMLTAAISTLHPPECAPGGACAGPTTWQLAFLLSAFAFLIIGAGGIRPCNLAFGADQFNPNTESGKRGINSFFNWYYFTFTFAVMISVTGIVYVQSNISWPIGFGIPAFLMFLSCVMFFVGTRIYVIVKPEGSPISSVVHVIVAATKKRGLKLPENSTVSLFSYIPAKSINSKLPRTEQFRFLDKAAIITDGDQINLDGSATNPSKLCSIQQVEEVKCLLRIIPIWSTSILYHIPLIQQQTYAVFQALQMDRRLGTSFEVPAATYVIFTMITLTIWIPLYDRIIVPFLQRLTGKEGGLTLLQRMGIGMVLAMLCTIVSGLVEGNRRHVALTRPTIGITSKGGAISSLSAMWLIPQLSLSGLSEGFNYIAQIEFYYKQFPENMRSIAGSSFFAGIAISNYLSGFLVTIVHRITSGSKSGDWLDDDLNKGKLDCFYYVIVGLGILNFCYFLLCAKWYKYKDGDISTVEMATKGSQKHIV >Potri.005G254633.1.v4.1 pep chromosome:Pop_tri_v4:5:24632275:24635189:-1 gene:Potri.005G254633.v4.1 transcript:Potri.005G254633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254633.v4.1 MERLCEDLVTNILLCLPAKSAVRFRCLSKYYDQLVSDPRFATSHALRSNPDEVLGLLRFTAGRSADKILFCSFHSKPNIHNNPKVVPINSQIIASCNGLVLGLSDSSLSVCNPILPDRIQTIPALETPYGAKCDLGLAYDPVGFSSLEFKLVHVYREQILNMPQDEDAYGFKIFDSSANSWRQSTCKLFLRHLIPMQPHFYELRGQAVYLNGHVHWFRAFGDIVAFNVEKEEATLIGMPPELRLAWLNYQDYSWFGAADGFLYVVCVFKRQILMWALLDYENNKWGLVRNKIKGLSRVAQPIFFDGERLVLNCGPKKKLLRLFNLKQDQWTEMGRLPRNTMDDSTTVYVPFNPTLAPLMNSSDPSRTASLPVLPTVMPINNKCQKRKRGRVDRK >Potri.010G063000.1.v4.1 pep chromosome:Pop_tri_v4:10:9228310:9229057:-1 gene:Potri.010G063000.v4.1 transcript:Potri.010G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063000.v4.1 MKNSLSLSFIFLSPSLFTATLLLTSQCTIVQSAANDLIAQTCKHTPYYNLCVTSLKSVPKSSGADVQGLALIMVDIVRAKASTALRFINQELKRSPGLRRPLRFCASCYDAILTADIPEAIEALQKGDPKFAENGTNDAAVEATSCEDGFHGKSPLTNLNREVHDTSVVASAITRLLL >Potri.018G015700.1.v4.1 pep chromosome:Pop_tri_v4:18:1086127:1088568:1 gene:Potri.018G015700.v4.1 transcript:Potri.018G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G015700.v4.1 MALSLHLSLSHKNFSNPFASFTPTTKLPTTIHINSHLLKSIHSKPYYIKKCHAVADAPTAIPPSLDAEDDAHGVLIGPSSEEERRGDGAVVDYNWTEEWYPLYLTKDVPDDAPLGLNVFDKQLVLYKDGQGELRCHEDRCPHRLAKLSEGQLIDGRLECLYHGWQFEGEGKCVKIPQLPANAKIPQSACVKTYEVKESQGVVWVWMSLKTPPNFKKVPWFENFARPGFQDTSTIHELPYDHSILLENLMDPAHIPISHDRTDWTSKREDAQALRFEVTERTHRGFAGRWGKEKDQKLRSFLRFQAPCVLSNDIEFADEKGVTQYFTGLFLCRPTGQGKSMIIVRFGATKRPQMAKVIPKWYFHQNACKIFEQDMGFLSSQNEVLMKEKVPTKELYINLKSSDTWVAEYRKWMDKVGHGMPYYFGHNTISLPEVPAVVEHAPAGLVAGVSASSPAKGGIGTMHAPNVNNRYFRHVIHCKGCTSVVKNFQAWKNALSAISVALTALAILASGRQWKTFLLVSASLCLAGVYACSTAIAMNTTNFIRTHRRL >Potri.008G113700.2.v4.1 pep chromosome:Pop_tri_v4:8:7232375:7234817:1 gene:Potri.008G113700.v4.1 transcript:Potri.008G113700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113700.v4.1 MAPLTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTLKVDETVERAKQEGDLPLYGFHDPESFVKSIQKPRVIIILVKAGAPVDQTIKTLSVYMEKGDCIIDGGNEWYENTERREKALAELGLLYLGMGVSGGEDGARHGPSLMPGGSFEAYKHIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLTNEELCQVFSEWNKGELLSFLIEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAAELSVAAPTIASSLDGRFLSGLKEERVEAAKVFKSSGIIDMLADQVVDKEKLIYDVRQALYVSKICSYAQGMNLIRAKSAEKGWDLKLGQLTRIWKGGCIIRAVFLDRIKKAYDRNPNLPNLLVDPEFAKEIIERQSAWRRVVSIAINSGISTPGMSSSLAYFDTYRRSRLPANLVQAQRDYFGAHTYERIDIDGSFHTEWFKIAKQSKI >Potri.018G114801.1.v4.1 pep chromosome:Pop_tri_v4:18:13133204:13133723:1 gene:Potri.018G114801.v4.1 transcript:Potri.018G114801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114801.v4.1 MHNPPPPEPRHQPGHPPPEPHPPPPGPPQREPPPPRGHSPPPPGPHAPPSGPPHREPPPPGPRAPPPDHHRPYSPVILFSLPVCVCVTCLLFHSRRNMYGAWIIN >Potri.018G114801.2.v4.1 pep chromosome:Pop_tri_v4:18:13133220:13133719:1 gene:Potri.018G114801.v4.1 transcript:Potri.018G114801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114801.v4.1 MHNPPPPEPRHQPGHPPPEPHPPPPGPPQREPPPPRGHSPPPPGPHAPPSGPPHREPPPPGPRAPPPDHHRP >Potri.005G237000.1.v4.1 pep chromosome:Pop_tri_v4:5:23480527:23480976:1 gene:Potri.005G237000.v4.1 transcript:Potri.005G237000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237000.v4.1 MAAGQAKCTKIRHIVRLRQMLRRWRNKARMSANRIPSDVPAGHVAVCVGTSCRRFVVRATYLNHPIFKKLLVQAEEEFGFSNQGPLVIPCDEAVFEEVIRYISRSENGKSGRFVNLEDLQRYCHVGVKNAKLDFWTDSRPLLHADKTFW >Potri.014G119400.1.v4.1 pep chromosome:Pop_tri_v4:14:8029410:8030829:1 gene:Potri.014G119400.v4.1 transcript:Potri.014G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119400.v4.1 MELNKSILLLLVPSLLSFFSEVICDPCNAGNSASPDCLGPALSFASSEPIESPLQSPVSSTETESSPLPSPVSSPLPSPVSSTETESSPLPSPVSSPLPSPASSTETESSPLPSPVSSPLPSPVSSTETESSPLPSPVSSPLPSPASSTETESSPLPSPVSSPLPLSSSSPSPASSTETESSPSPPDSPTNAQPLLPYSPSVHNAVLKKICGATRFQAECLATIAPYQTGAADPISVIEMGIQALHKDFEEAIATVTKLSKDTSLSATMRDSLDICVESYEAGITDLNDALTAISTHDTDRLTQMLGAIASYPETCQDAFLEQGEESPLKDVDQKLDMLASITVDITILLPGVKIIE >Potri.009G027600.10.v4.1 pep chromosome:Pop_tri_v4:9:3901066:3904991:-1 gene:Potri.009G027600.v4.1 transcript:Potri.009G027600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027600.v4.1 MDAKISSQNQNNELQVSTTIYHQFKLPNDWVVVRRCRSKRGNRRSHNDKYHYEPGTGQRFCSLISIQKHLSGETSKSAKPGNKKKIQIDPYIFKSRSLFDLPNGWIVEKKPRKNMECAGIIDKHYFEPETGKRFRSLRSVEKYLTEAFQKLQFSEGTCF >Potri.009G027600.5.v4.1 pep chromosome:Pop_tri_v4:9:3901066:3904991:-1 gene:Potri.009G027600.v4.1 transcript:Potri.009G027600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027600.v4.1 MDAKISSQNQNNELQVSTTIYHQFKLPNDWVVVRRCRSKRGNRRSHNDKYHYEPGTGQRFCSLISIQKHLSGETSKSAKPGNKKKIQIDPYIFKSRSLFDLPNGWIVEKKPRKNMECAGIIDKHYFEPETGKRFRSLRSVEKYLTEGKEHIATLEALKAGDNFIPSKSSSSQKEHVSRKKVETSMLDPSSIPAKIKWVLSGPGGIVWNPFMDDSLVPEFIKQKWSETFVFSIIDRL >Potri.009G027600.7.v4.1 pep chromosome:Pop_tri_v4:9:3901066:3904991:-1 gene:Potri.009G027600.v4.1 transcript:Potri.009G027600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027600.v4.1 MDAKISSQNQNNELQVSTTIYHQFKLPNDWVVVRRCRSKRGNRRSHNDKYHYEPGTGQRFCSLISIQKHLSGETSKSAKPGNKKKHYFEPETGKRFRSLRSVEKYLTEGKEHIATLEALKAGDNFIPSKSSSSQKEHVSRKKVETSMLDPSSIPAKIKWVLSGPGGIVWNPFMDDSLVPEFIKQKWSETFVFSIIDRL >Potri.009G027600.1.v4.1 pep chromosome:Pop_tri_v4:9:3901066:3904991:-1 gene:Potri.009G027600.v4.1 transcript:Potri.009G027600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027600.v4.1 MDAKISSQNQNNELQVSTTIYHQFKLPNDWVVVRRCRSKRGNRRSHNDKYHYEPGTGQRFCSLISIQKHLSGETSKSAKPGNKKKIQIDPYIFKSRSLFDLPNGWIVEKKPRKNMECAGIIDKHYFEPETGKRFRSLRSVEKYLTEGKEHIATLEALKAGDNFIPSKSSSSQKEHVSRKKVETSMLDPSSIPAKIKWVLSGPGGIVWNPFMDDSLVPEFIKQKWSETFVFSIIDRL >Potri.009G027600.6.v4.1 pep chromosome:Pop_tri_v4:9:3901066:3904991:-1 gene:Potri.009G027600.v4.1 transcript:Potri.009G027600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027600.v4.1 MDAKISSQNQNNELQVSTTIYHQFKLPNDWVVVRRCRSKRGNRRSHNDKYHYEPGTGQRFCSLISIQKHLSGETSKSAKPGNKKKHYFEPETGKRFRSLRSVEKYLTEGKEHIATLEALKAGDNFIPSKSSSSQKEHVSRKKVETSMLDPSSIPAKIKWVLSGPGGIVWNPFMDDSLVPEFIKQKWSETFVFSIIDRL >Potri.009G027600.9.v4.1 pep chromosome:Pop_tri_v4:9:3901066:3904991:-1 gene:Potri.009G027600.v4.1 transcript:Potri.009G027600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027600.v4.1 MDAKISSQNQNNELQVSTTIYHQFKLPNDWVVVRRCRSKRGNRRSHNDKYHYEPGTGQRFCSLISIQKHLSGETSKSAKPGNKKKIQIDPYIFKSRSLFDLPNGWIVEKKPRKNMECAGIIDKHYFEPETGKRFRSLRSVEKYLTEAFQKLQFSEGTCF >Potri.009G027600.8.v4.1 pep chromosome:Pop_tri_v4:9:3901066:3904991:-1 gene:Potri.009G027600.v4.1 transcript:Potri.009G027600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027600.v4.1 MDAKISSQNQNNELQVSTTIYHQFKLPNDWVVVRRCRSKRGNRRSHNDKCNFHLNMQYHYEPGTGQRFCSLISIQKHLSGETSKSAKPGNKKKIQIDPYIFKSRSLFDLPNGWIVEKKPRKNMECAGIIDKHYFEPETGKRFRSLRSVEKYLTEGKEHIATLEALKAGDNFIPSKSSSSQKEHVSRKKVETSMLDPSSIPAKIKWVLSGPGGIVWNPFMDDSLVPEFIKQKWSETFVFSIIDRL >Potri.015G131800.1.v4.1 pep chromosome:Pop_tri_v4:15:14174222:14176042:1 gene:Potri.015G131800.v4.1 transcript:Potri.015G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131800.v4.1 MALETWLIKVKTVISHSFDSVITSTPIPKPSKKSSVGVLALEIAGLMSKLFHLWQSLSDKNIIRVRNDSVSLDGVHKIVSNDESFLLGLACAEMAENLRLVAKSVSRLSKRCGDTSLRGFEHLFDEFANLGHDTNCWVLSWKDMEAKTKKLDRYVTVTATLYKEIEELSVLENGLRKALQCGELEGTTKEQKVLDLQQKIFWQRQEVKYLKDRSLWNRSFDTVVLILAKSIFTILARIKLVFGIAHGYPTSLPRCLSASATVHPTENPTTCNFVSGPLKISKLEGNKDFSTGFFETNSKLLNPPATTLGAAALALHYANLIIILEKMIKSPQLVGFDARDDLYAMLPNSIRSLLRARLKGVGFSASDPVLAGEWRDALGRILGWLSPLAHNMIKWQSERSFEQQNLVPKTNVFLLQTLFFANKEKTEAAITELLVGLNYIWRFEREMTAKALFECANFNGFLNPQNSS >Potri.013G066700.1.v4.1 pep chromosome:Pop_tri_v4:13:5050305:5054311:1 gene:Potri.013G066700.v4.1 transcript:Potri.013G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066700.v4.1 MKGESKDPAFKLFGRKIPVPDTQFPAEPLAKGTCSEITRVETKGPSEDISEEPEMFSGSGQGKEESQAAMRVNEAQVIAKHKEGPLETNGTDQEKVLKKPDKILPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGSMRNVPIGAGRRKNKHLATQYRQILVSSDGMPIARMENSDSIGHQLQSSVESGTTLSPSVANGMVLKFGHEAPLCDSMENVLNLGDQKRYVEISSVNRQDNVEEPSSCGSSKTASNAWANELRENIMQKEQVDVPASSNELSAPNSLPYYSVPSWVFPWNPGWNNVASMTAAQHSTGQACVTNIPNQVQLCSTPMLAVPSICPPNIPLQFVPASYWGCMPTWAAGTRNVSLSGSNGCLSLSTSANTSSCSGNGSPTLGKHSRDSKFMEEEKAEKCILVPKTLRIDDPSEASKSPLWATLGLKPDQKDPASKGTIFKNFETKAECYGHVSDITHVLEANPAALSRSHTFQESG >Potri.013G066700.2.v4.1 pep chromosome:Pop_tri_v4:13:5050229:5054317:1 gene:Potri.013G066700.v4.1 transcript:Potri.013G066700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066700.v4.1 MLSIICYFFRVETCLLISIWVLVSLLLLVPFKVCNFYFDTKKKKKTMKGESKDPAFKLFGRKIPVPDTQFPAEPLAKGTCSEITRVETKGPSEDISEEPEMFSGSGQGKEESQAAMRVNEAQVIAKHKEGPLETNGTDQEKVLKKPDKILPCPRCNSLDTKFCYFNNYNVNQPRHFCKNCQRYWTAGGSMRNVPIGAGRRKNKHLATQYRQILVSSDGMPIARMENSDSIGHQLQSSVESGTTLSPSVANGMVLKFGHEAPLCDSMENVLNLGDQKRYVEISSVNRQDNVEEPSSCGSSKTASNAWANELRENIMQKEQVDVPASSNELSAPNSLPYYSVPSWVFPWNPGWNNVASMTAAQHSTGQACVTNIPNQVQLCSTPMLAVPSICPPNIPLQFVPASYWGCMPTWAAGTRNVSLSGSNGCLSLSTSANTSSCSGNGSPTLGKHSRDSKFMEEEKAEKCILVPKTLRIDDPSEASKSPLWATLGLKPDQKDPASKGTIFKNFETKAECYGHVSDITHVLEANPAALSRSHTFQESG >Potri.009G023900.1.v4.1 pep chromosome:Pop_tri_v4:9:3582180:3583427:-1 gene:Potri.009G023900.v4.1 transcript:Potri.009G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G023900.v4.1 MQVKNPWPNVDAHGGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWERALGLPLERPKSVTMGWLENHCKKAASS >Potri.009G023900.2.v4.1 pep chromosome:Pop_tri_v4:9:3582180:3583512:-1 gene:Potri.009G023900.v4.1 transcript:Potri.009G023900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G023900.v4.1 MTYNHVYVSRAVKNPWPNVDAHGGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWERALGLPLERPKSVTMGWLENHCKKAASS >Potri.019G125900.2.v4.1 pep chromosome:Pop_tri_v4:19:14948406:14948936:-1 gene:Potri.019G125900.v4.1 transcript:Potri.019G125900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125900.v4.1 LKLATNQASLGEIEEEHNKRVVIALYEALASKDIKTAHRLLPPDLEWWFHGPPIHQQHLISLLTNQSTSPSSSTRPSKSFIFHPISNIVAFGSMVLVEGFNKDWNVSWVHAWTVTNGIITQVKEYFNTSVTVTRFGDGGSIASSPGITSPPRANCQSVWQSKVSDNKSVPGLVLAL >Potri.001G465800.1.v4.1 pep chromosome:Pop_tri_v4:1:49086096:49090948:-1 gene:Potri.001G465800.v4.1 transcript:Potri.001G465800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465800.v4.1 MKLLRLLLLSLFFLSAMGQLPSQDILALLEFKKGIKHDPTGYVLESWNEESVDFGGCPSSWNGIVCNGENVAGVVLDNLGLSADVDLSVFANLTLLAKLSMANNSITGKIPDNVGDFKSLLFMDVSNNLFSSSLPPGIGKLGRLRNLSLAGNNFSGSLPDSISGFASIQSLDLSRNSFSGSLPMSLTRLNNLVYLNLSSNGFTKMIPKGFELISSLQVLDLHENMFDGHLDGMFFLETNASHVDLSGNMLVSSSSQRLLPGMSESIKLLNLSHNQLSGSLLNGGDMQLFASVKVLDLSYNQLSGELPGFDFAYELQVLRLSNNKFSGYIPNDLLKGDSLLLNELDLSANNLSGPISMIMSTTLRVLDLSSNVLVGELPLVTGSCAVLDLSNNRFEGNLTRMVKWGDIEYLDLSQNHLTGPIPEIAPQFLRLNYLNLSHNSLTSSLPKVITQYPKLRVLDLSSNQLGGSMLTDLLMSPTLQEIHLEKNLLDGSILFSPPSNSKSNLQVIDLSHNQLDGYFPDRFESLAGLQVLNLSGNNLSGSLPSSMADMSSLISLDLSQNHFTGPLPNNLSESIGSFNVSYNDLSGVVPENLRRFPSSSFYPGNNRLSLPNGPPGPNNLPGGNRGGKPINTIVKVVVIVACVTALIILIMLAIFILYIRIRRRNPPGQVTNTGIRRHIQTNPSGTSGTGKAGALVVSAEDLVTSKKGSSSEIISPDEKMAAVTGFSPTKHSHLSWSPQSGDSFAAETLARLDVGSPDRLVGELYFLDDTITMTPEELSRAPAEVLGRSSHGTSYRATLDNGVFITVKWLREGVAKQRKEFAKEAKKFTNIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYDRPGRKGPPLTWVQRLKIAVDVARGLNYLHFDRAVPHGNLKATNILLDGPDLNARVADYCLHRLMTQAGTIEQILDAGVLGYRAPELAASKKPLPSFKSDVYAFGMIMLELLTGRCAGDVISGEGGSVDLTDWVRLRAMDCFDPALVPEMVNPAVDKGMKEVLGIALRCIRSVSDRPGIKTIYEDLSSI >Potri.001G465800.3.v4.1 pep chromosome:Pop_tri_v4:1:49086217:49090876:-1 gene:Potri.001G465800.v4.1 transcript:Potri.001G465800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465800.v4.1 MKLLRLLLLSLFFLSAMGQLPSQDILALLEFKKGIKHDPTGYVLESWNEESVDFGGCPSSWNGIVCNGENVAGVVLDNLGLSADVDLSVFANLTLLAKLSMANNSITGKIPDNVGDFKSLLFMDVSNNLFSSSLPPGIGKLGRLRNLSLAGNNFSGSLPDSISGFASIQSLDLSRNSFSGSLPMSLTRLNNLVYLNLSSNGFTKMIPKGFELISSLQVLDLHENMFDGHLDGMFFLETNASHVDLSGNMLVSSSSQRLLPGMSESIKLLNLSHNQLSGSLLNGGDMQLFASVKVLDLSYNQLSGELPGFDFAYELQVLRLSNNKFSGYIPNDLLKGDSLLLNELDLSANNLSGPISMIMSTTLRVLDLSSNVLVGELPLVTGSCAVLDLSNNRFEGNLTRMVKWGDIEYLDLSQNHLTGPIPEIAPQFLRLNYLNLSHNSLTSSLPKVITQYPKLRVLDLSSNQLGGSMLTDLLMSPTLQEIHLEKNLLDGSILFSPPSNSKSNLQVIDLSHNQLDGYFPDRFESLAGLQVLNLSGNNLSGSLPSSMADMSSLISLDLSQNHFTGPLPNNLSESIGSFNVSYNDLSGVVPENLRRFPSSSFYPGNNRLSLPNGPPGPNNLPGGNRGGKPINTIVKVVVIVACVTALIILIMLAIFILYIRIRRRNPPGQVTNTGIRRHIQTNPSGTSGTGKAGALVVSAEDLVTSKKGSSSEIISPDEKMAAVTGFSPTKHSHLSWSPQSGDSFAAETLARLDVGSPDRLVGELYFLDDTITMTPEELSRAPAEVLGRSSHGTSYRATLDNGVFITVKWLREGVAKQRKEFAKEAKKFTNIRHPNVVGLRGYYWGPTQHEKLILSDYISPGSLASFLYGKILIF >Potri.016G062950.1.v4.1 pep chromosome:Pop_tri_v4:16:4402322:4404052:1 gene:Potri.016G062950.v4.1 transcript:Potri.016G062950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062950.v4.1 MTPPISPFLSLQRDVQVPPPPAGYPCNLGHNRPECFTYYGGLESSNCCP >Potri.016G022000.1.v4.1 pep chromosome:Pop_tri_v4:16:1199724:1202218:1 gene:Potri.016G022000.v4.1 transcript:Potri.016G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022000.v4.1 MGSISKPHVVVIPCPVQGHIKTMLKLAKLLHYKGLHITFVSTEFNHKRFLRSRGPHALDDLPGFHFRTIPDGLPPSDIGATQDIPSLCHAMNKNFLAPFKDLLLQLKNTVSENNPPITCIVSDPFAPFSIKAGEEVGLPVVMYATTNACGYMGCKQLYALREKGFTPIKDLSNLSNGYLETKVDWAPGMKDVRLKDFPFIQTTDPDEVVFNFVIGVAETSVKARAIAFHTFDALEPEVLDGLSTIFPRVYSIGPLQLLLNQFEENGLKSIGYSLWKEDHECLQWLETKEPKSVVYVNFGSITVMTADQLVEFAMGLVNSNIPFLWIIRPDLVVGESAVLPAEFEEETEKRGFITSWCPQEEVLNHPAVGGFLTHSGWGSTIESLCAGVPLACWPFFADQAMNCRYSCNEWGVGMEIDNNVKREEVEMLVKELMEGEKGEKMRGKAMEWKRLAEEAVGPEGTSSINLDKFIHEIISSNN >Potri.003G213450.1.v4.1 pep chromosome:Pop_tri_v4:3:21026415:21027839:-1 gene:Potri.003G213450.v4.1 transcript:Potri.003G213450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G213450.v4.1 MRELIRQIEANSKFTNEKRMSIIFLPNDPTAASFLEDEKKSGASPLLRYVATLRQIAQQRIDSLTESSVLVVKHSSVFRNKIPESDEDDDDAVNEKGASVFSSSWLPGGTPKAKPSKEEEKKKKRKAEHQEEVALDEDVVEDLVLSSDEDGSVDDSSSDEDESPKSMPGKPE >Potri.013G021500.1.v4.1 pep chromosome:Pop_tri_v4:13:1394751:1398986:1 gene:Potri.013G021500.v4.1 transcript:Potri.013G021500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021500.v4.1 MAIITEEPEIQPQNDREPTEEPTSPSKDPKRKPKPKPMTQTKPQTQAQAQTQINPFVFWFYFTVSVSLVTLLAIGLSSLYSNPDPKSFFLSLSTPLRQHYSKGRTIKVQLAQNQPSSEVFVLESGKRDFVSEKVVIVHGLGLSSFSFRKVLDLLGSKGVHGVVFDLPGNGFSDKFMEASEERGNGVFERFKDAYALIKEKGIFWAFDNMVETGQIPYEEIVSHYSEKKSVVKPIVLGSEETGLVLGQVIETLGLAPVHLVLHDSSLGMVANWVLKNSESIRSVTLVDTGLRPALPLCVLEVPVVREVVLGVNFVYEWLIRMCCSRGIGSLDVAAHRMMLNGRDGRRAVVATGKKLNSSFDIAEWGGLDGVKGIPMQVVWCSGWSKEWSEEGRKVADALPQAKFVTHTGGRWPQENAADELAENIVKFVSSLPKSVRRVEEEPIPEHIQKMLDEAKDGHHHHHGHGGHGHHDGHGHAHAQTHGAGYMDAYGLGHGWGS >Potri.002G248700.1.v4.1 pep chromosome:Pop_tri_v4:2:23914647:23919279:-1 gene:Potri.002G248700.v4.1 transcript:Potri.002G248700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248700.v4.1 MGPGVSALSDEEKRADKLRQEGNICFSKDRFQAAIDAYTAAITLCPKVPVYWTNRALCHRKRNDWTRVEEDSRKAIQLDHYSVKAHYMLGLALLQKQEYSEGVKELEKALDLGRGANPNGYMVEEIWEELAKAKYLEWEEESTQRSWELQSLKEACERALKEKHFLDDSETEGFLDDPIVSIVSHLQQLELLGQVFQKAAEDDTPSEVPDYLCCKITLDILRDPVITPSGVSYERAVLLDHLEKVGNFDPVTREPLEPSQLVPNLAIKEAVHAYLDKHGWAYKTESN >Potri.011G148200.7.v4.1 pep chromosome:Pop_tri_v4:11:17593297:17596324:1 gene:Potri.011G148200.v4.1 transcript:Potri.011G148200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148200.v4.1 MSSEGVKDDCLAWAAGDPSGVLSPYKFSRRALGKDDVSLKITHCGVCYADVIWSKNKHGDSRYPLVPGHEIAGIVKEVGSSVSNFKVGDHVGVGTYVNSCRECEHCNDKEEVSCEKGSVFTFNGIDADGSITKGGYSSYIVVHERYCFRIPDGYPLASAAPLLCAGITVYNPMMRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVLSTSVSKKEEALSVLGADNFVITSDQAQMKALYKSLDFIIDTASGDHPFDPYLLLKTAGVFVLVGFPSEVKFSPASLNIGMKTVAGSITGGTRVIQEMLDFCAANKIYPGIEVIPIQYINEALERMVKNDVKYRFVIDIENSLK >Potri.011G148200.4.v4.1 pep chromosome:Pop_tri_v4:11:17593297:17596324:1 gene:Potri.011G148200.v4.1 transcript:Potri.011G148200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148200.v4.1 MSSEGVKDDCLAWAAGDPSGVLSPYKFSRRALGKDDVSLKITHCGVCYADVIWSKNKHGDSRYPLVPGHEIAGIVKEVGSSVSNFKVGDHVGVGTYVNSCRECEHCNDKEEVSCEKGSVFTFNGIDADGSITKGGYSSYIVVHERYCFRIPDGYPLASAAPLLCAGITVYNPMMRHKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLKVTVLSTSVSKKEEALSVLGADNFVITSDQAQMKALYKSLDFIIDTASGDHPFDPYLLLKTAGVFVLVGFPSEVKFSPASLNIGMKTVAGSITGGTRVIQEMLDFCAANKIYPGIEVIPIQYINEALERMVKNDVKYRFVIDIENSLK >Potri.007G062282.1.v4.1 pep chromosome:Pop_tri_v4:7:7079097:7079432:1 gene:Potri.007G062282.v4.1 transcript:Potri.007G062282.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062282.v4.1 MFQFAKFEKSKERRLATELGDGFPIGDPWITDGISPWPFAFESVLPSQCPGIHPMHSSLSCTGYTEDQLNLDIKREKPPSDPHVNLSRRKLFFLCLSKIKTFLFYALLLFN >Potri.001G196800.1.v4.1 pep chromosome:Pop_tri_v4:1:19138953:19143667:1 gene:Potri.001G196800.v4.1 transcript:Potri.001G196800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196800.v4.1 MTSVKNNNMLPPGLVSNLQQVLLSRKGGGGEEEEKKEIDPSNDGNDKSAEPSTSTCVENTEEDSNNSKPIVLVTNGDGIDSPGLVSLVEALVREGLYNVHVCAPQSDKSVSSHSVTLHEAIAVTSVEINGAIAYEVSGTPVDCVSLALSGALFSWSKPLLVISGINRGSNCGHHMIYSGVVAGAREALFCGVPSLSISLNWKKEESQESDFKDAVAVCLPVINAAIRDIEKGFFPKSCSLNIEIPTSPSANKGFKLTKRSMWRSSPSWQAVSANRHPSAGHFMSNQQSLGLQLAQLSRDASAAGAARRLTTQRKNMLEIESVGAGGKSDSNRVKKYFRMEFLDKELEDTDEDLDFRAVENGFVAITPLSLSPRIEEDTHIAASDWISSALHGDQ >Potri.002G047000.1.v4.1 pep chromosome:Pop_tri_v4:2:3077718:3080006:-1 gene:Potri.002G047000.v4.1 transcript:Potri.002G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047000.v4.1 MAELPLNLLPDEASPAWMNKGDNAWQLTAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFASVLVCWVGWGYQMSFGDKMIPFLGRPDVSLDQKFLLHQAFLGYLPNATMVYFQFVFAAITLILVAGALLGRMNFHAWMLFVPLWLTFSYTITAYSIWCPEGWLAKRGIIDYSGGYVIHLSSGVAGFTAAYWVGPRTIKDRERFPPNNIILMLAGAGLLWMGWSGFNGGDPYTVSTDASLAVLNTHVCTATSLLTWLLLDILFFGKPSVIGATQGMITGLVCITPAAGVVQGWAAILMGIMSGSIPWYTMMVLHKKLWLLKQVDDTMAVFHTHAVAGSLGGILTGFFAHPKLNRIFYLVPDWQHYIGLAYGLQMGRASAGFKQMGIQLLGILFVVSLNVFVTSIICLLIRFVVPLRLSDEELQTGDDAIHGEEAYALWGDGEKYESRHNSVYGVEEFAQDLPKGGQVEMA >Potri.001G070200.1.v4.1 pep chromosome:Pop_tri_v4:1:5291148:5293703:1 gene:Potri.001G070200.v4.1 transcript:Potri.001G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070200.v4.1 MAQSDLTIQVLNLSPSVTRAELNTFFSYCGTVEKIELQKDKDQMQSALVTFTQPYAFQTALLLSDALLGGQPIRILSAHDIEIPITGPDIRKNHGSSRFVPAVQVAMQTVALKSVEMLSKARELEENYKLSEKGKTLALQTRAAVYDAEQAAENYVSAGAGWLSGALDKTSKRVLSLGTVKRNNS >Potri.001G070200.2.v4.1 pep chromosome:Pop_tri_v4:1:5291148:5293703:1 gene:Potri.001G070200.v4.1 transcript:Potri.001G070200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070200.v4.1 MAQSDLTIQVLNLSPSVTRAELNTFFSYCGTVEKIELQNRDKDQMQSALVTFTQPYAFQTALLLSDALLGGQPIRILSAHDIEIPITGPDIRKNHGSSRFVPAVQVAMQTVALKSVEMLSKARELEENYKLSEKGKTLALQTRAAVYDAEQAAENYVSAGAGWLSGALDKTSKRVLSLGTVKRNNS >Potri.008G039400.3.v4.1 pep chromosome:Pop_tri_v4:8:2202330:2208843:-1 gene:Potri.008G039400.v4.1 transcript:Potri.008G039400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039400.v4.1 MRKRPETSRSSNSQQRPPKQPPYANSTTNLSSSMKSIRSGSVWIILSAVIIYSCYSVHYYQFENLPSPLTAEQAGKRGFSEIQAIKHVKALTDFGPHPVGSDSLDLALQYVLAEVENIKKNAYYEVDVEVDFFHAKTGANRLTSGLFRGKTLVYADLKHVVLRILPKFTPNQAADNTILVSSHIDTVFSTGGAGDCSSCVAVMLELARGISQWAHGFKNGVIFLFNTGEEEGLSGAHSFITQHPWSKTIRLAVDLEAMGVGGKSGIFQAGPHPWAIENFASAAKYPSGNIIAQDLFSAGVIKSATDFQVYKEVAGLSGLDFAFTDNGAVYHTKNDKLDLLKSGSLQHLGENMLAFLLRIASSPHLPKSKDMDKELKTGHDTAIFFDILGTYMIVYSQRFASMLHNSVILQSLLIWAASLFMGGSSATISLGLSCLSAILMLLFSISFSVFAAFIVPQISPSPVPYVANPLLVLGLFAAPALLGALTGQHLGYLILKKYLLNVYSKKKQLSSVIIADLVKLEAERWLYKAGFVQWLVLLIIGNYYKIGSSYLAVFWLVPPAFAYGLLEATLTPARLPKPLKLATLMMGLAVPILISSGTFIRFAGTIIGMAVRFDRNPGGTPEWLWNIIISIFIAVCICLTFIYVLSYVHLSGAKRSIILATSILFGLSLILVLSGFIQPFTEDTARAVNVVHVVDASGRYGEKQDPLSYISLFSNTPGKLEKEVEQIKEGFTCGKDKVVDFVTFSVNYGCWTHDDTESGWSESDIPTLHVDSDTKGGERITRVLIDTKSSVRWSLAINTKEIEDFILKGNSEELIPYGNKTSVDGWHHIQFSGGKESPRKFELTLFWSVKTMPSADNVDRTVIQDQRPLLKLRTDVNRLTPKAERVLAKLPTWCSLFGKSTSPLTLAFLSSLPVNF >Potri.017G142600.6.v4.1 pep chromosome:Pop_tri_v4:17:14296611:14302333:1 gene:Potri.017G142600.v4.1 transcript:Potri.017G142600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142600.v4.1 MMSAAGLELTNFIDPDLTWKTFTKGHRSTSRRKPVVRSFNGKAKLSDESTRNMDDMTVSDNEKHGVAVLGRRFSGKNEKKEHVPIKKRRHTAQGPPQPSCTTSPCFEVVELNSSGKRRRRATDAAVPSKLNLKTSEVHDKFDYSDDFSGIEILAAVACNNGMINDAAREESSILEESTREGVGSSSSAVPFKETVASPKDMADEDRPDAFQNSEVTVLHASAGTKDSGTGEGSLLSRDEMLNLDLNVTWEQTCDTSIFDSSENDLQSCEVKPEALEQQNRPDRVVLSDLLGDNTSVDLIGLSLGTCESIREEPESEACSLHDGKHEECFPSPTGNALEPSICDVANAEASSQAVYGDKSLDHPSCNTLPSLTLKQCSEMCSTDEQVIKVLCTESLQVESCNVSPHHQPNLERVPSEIDLSISNDNGENSQIATCPHEDGKLNTSSLENCPPIEPAWLGVESGGQEEESGERQCSPNRSACLSSEKGQPVMEVDANGTNEASAANKAEAHSPVQAGSEELMQKSSADSTVTPGDACGTHGNGFTSGSAKVNMEDLEDDSFESDVYQADKVHIVGINGLELQAGYDSPFEDGELRESDAQYYWDENGEDGEVEQVDYGSECDEERLCVLDNEKEMKVERGSSSGSDYVSRKIEQCGLGDSLRDDLLSPKTRTSYVTIDKDFLSGVVGSKASDRDFLSSIEESNAIFRKHRTLRSRSNSFYNLYHRDERDAGSQKFMGRDRAVPPTRGRSPGGHRFVNDAPGYCDSERRHFCTYRGNYTSGPSRTRGGFDSHRYVITSDRAASEGAGFAGSDSRGRRRFVNPSSNSSYERVTRRRSPTSRDDLYRVHTGTQPVRDGSPVRSGFRRFPRGAATGGLRGEYHRPMPEDKIEYSNRYAPRMLRRERSISPLCRGQPSYPFTHKKSRSRSRSRSPSYLTRDRNEASRLRSRSPDFRTDARMDRVRLPFQKRIPADFEEGFIPTRRNHFTQHNPRWFDDRNGGLDSFRGRKSPVNMFRSDQRFDSVRTIRRLDSEDQFRERIRSRKFNDMGSASRGGEFDSSDDGRRKHNNKYEIVQRVRRYDTDGGLRRFRVNAEDSLVANNATPNCDDGNRITDRRPGEVLRRDGEE >Potri.017G142600.7.v4.1 pep chromosome:Pop_tri_v4:17:14298666:14302336:1 gene:Potri.017G142600.v4.1 transcript:Potri.017G142600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142600.v4.1 MINDAAREESSILEESTREGVGSSSSAVPFKETVASPKDMADEDRPDAFQNSEVTVLHASAGTKDSGTGEGSLLSRDEMLNLDLNVTWEQTCDTSIFDSSENDLQSCEVKPEALEQQNRPDRVVLSDLLGDNTSVDLIGLSLGTCESIREEPESEACSLHDGKHEECFPSPTGNALEPSICDVANAEASSQAVYGDKSLDHPSCNTLPSLTLKQCSEMCSTDEQVIKVLCTESLQVESCNVSPHHQPNLERVPSEIDLSISNDNGENSQIATCPHEDGKLNTSSLENCPPIEPAWLGVESGGQEEESGERQCSPNRSACLSSEKGQPVMEVDANGTNEASAANKAEAHSPVQAGSEELMQKSSADSTVTPGDACGTHGNGFTSGSAKVNMEDLEDDSFESDVYQADKVHIVGINGLELQAGYDSPFEDGELRESDAQYYWDENGEDGEVEQVDYGSECDEERLCVLDNEKEMKVERGSSSGSDYVSRKIEQCGLGDSLRDDLLSPKTRTSYVTIDKDFLSGVVGSKASDRDFLSSIEESNAIFRKHRTLRSRSNSFYNLYHRDERDAGSQKFMGRDRAVPPTRGRSPGGHRFVNDAPGYCDSERRHFCTYRGNYTSGPSRTRGGFDSHRYVITSDRAASEGAGFAGSDSRGRRRFVNPSSNSSYERVTRRRSPTSRDDLYRVHTGTQPVRDGSPVRSGFRRFPRGAATGGLRGEYHRPMPEDKIEYSNRYAPRMLRRERSISPLCRGQPSYPFTHKKSRSRSRSRSPSYLTRDRNEASRLRSRSPDFRTDARMDRVRLPFQKRIPADFEEGFIPTRRNHFTQHNPRWFDDRNGGLDSFRGRKSPVNMFRSDQRFDSVRTIRRLDSEDQFRERIRSRKFNDMGSASRGGEFDSSDDGRRKHNNKYEIVQRVRRYDTDGGLRRFRVNAEDSLVANNATPNCDDGNRITDRRPGEVLRRDGEE >Potri.017G142600.4.v4.1 pep chromosome:Pop_tri_v4:17:14296607:14302309:1 gene:Potri.017G142600.v4.1 transcript:Potri.017G142600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142600.v4.1 MMSAAGLELTNFIDPDLTWKTFTKGHRSTSRRKPVVRSFNGKAKLSDESTRNMDDMTVSDNEKHGVAVLGRRFSGKNEKKEHVPIKKRRHTAQGPPQPSCTTSPCFEVVELNSSGKRRRRATDAAVPSKLNLKTSEVHDKFDYSDDFSGIEILAAVACNNGMINDAAREESSILEESTREGVGSSSSAVPFKETVASPKDMADEDRPDAFQNSEVTVLHASAGTKDSGTGEGSLLSRDEMLNLDLNVTWEQTCDTSIFDSSENDLQSCEVKPEALEQQNRPDRVVLSDLLGDNTSVDLIGLSLGTCESIREEPESEACSLHDGKHEECFPSPTGNALEPSICDVANAEASSQAVYGDKSLDHPSCNTLPSLTLKQCSEMCSTDEQVIKVLCTESLQVESCNVSPHHQPNLERVPSEIDLSISNDNGENSQIATCPHEDGKLNTSSLENCPPIEPAWLGVESGGQEEESGERQCSPNRSACLSSEKGQPVMEVDANGTNEASAANKAEAHSPVQAGSEELMQKSSADSTVTPGDACGTHGNGFTSGSAKVNMEDLEDDSFESDVYQADKVHIVGINGLELQAGYDSPFEDGELRESDAQYYWDENGEDGEVEQVDYGSECDEERLCVLDNEKEMKVERGSSSGSDYVSRKIEQCGLGDSLRDDLLSPKTRTSYVTIDKDFLSGVVGSKASDRDFLSSIEESNAIFRKHRTLRSRSNSFYNLYHRDERDAGSQKFMGRDRAVPPTRGRSPGGHRFVNDAPGYCDSERRHFCTYRGNYTSGPSRTRGGFDSHRYVITSDRAASEGAGFAGSDSRGRRRFVNPSSNSSYERVTRRRSPTSRDDLYRVHTGTQPVRDGSPVRSGFRRFPRGAATGGLRGEYHRPMPEDKIEYSNRYAPRMLRRERSISPLCRGQPSYPFTHKKSRSRSRSRSPSYLTRDRNEASRLRSRSPDFRTDARMDRVRLPFQKRIPADFEEGFIPTRRNHFTQHNPRWFDDRNGGLDSFRGRKSPVNMFRSDQRFDSVRTIRRLDSEDQFRERIRSRKFNDMGSASRGGEFDSSDDGRRKHNNKYEIVQRVRRYDTDGGLRRFRVNAEDSLVANNATPNCDDGNRITDRRPGEVLRRDGEE >Potri.008G060200.1.v4.1 pep chromosome:Pop_tri_v4:8:3616875:3623853:-1 gene:Potri.008G060200.v4.1 transcript:Potri.008G060200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060200.v4.1 MSDLDRSRAFFNDVKRLIIKVGTAVVTRADGRLALGRLGALCEQIKDLSSLGYEVIVVTSGAVGLGRQRLKYRRFVNSSFSDLQKPQVDLDGKACAAVGQNSLMALYDTMFSQLDVTSAQLLVTDRDFKNKDFRKQLDETVQSLLALRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPRSKLIHTYIKEIHQSEITFGDKSRVGRGGMTAKVKAAVNAAYAGIPVVITSGYAPENIIKVLQGERVGTLFHQDAHLWALDKEVGGREMAVAARESSRRLQALSSQDRNKILLDVADALEANEKLINIENESDVVAAQEAGLEKSLISRLALKPGKIKSLANTIRVLANMDDPIGCILKRNELADGLVLEKTSSPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITTAIPDTVGGKLIGLVTSIDEIPDLLKLDDVIDLVIPRGSSKLVSKIKSSTKIPVLGHADGICHVYVDKSANIEMAKRVVLDAKVDYPAACNAMETLLVHQDLVHSGGLNELIADLRTEGVTLFGGQRACKELNIPEAHTFHHEYNSMACTVEIVDDEHAAIDHIHQHGSAHTDCIVAEDHDVAEVFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGCGQVVNADQGVIYTHKDITM >Potri.008G060200.3.v4.1 pep chromosome:Pop_tri_v4:8:3616973:3623588:-1 gene:Potri.008G060200.v4.1 transcript:Potri.008G060200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060200.v4.1 MISDCCFHFFIKRFALFAYFEIWLLKVGTAVVTRADGRLALGRLGALCEQIKDLSSLGYEVIVVTSGAVGLGRQRLKYRRFVNSSFSDLQKPQVDLDGKACAAVGQNSLMALYDTMFSQLDVTSAQLLVTDRDFKNKDFRKQLDETVQSLLALRVIPIFNENDAVSTRKAPYEDSSGIFWDNDSLAALLALELKADLLVLLSDVEGLYSGPPSDPRSKLIHTYIKEIHQSEITFGDKSRVGRGGMTAKVKAAVNAAYAGIPVVITSGYAPENIIKVLQGERVGTLFHQDAHLWALDKEVGGREMAVAARESSRRLQALSSQDRNKILLDVADALEANEKLINIENESDVVAAQEAGLEKSLISRLALKPGKIKSLANTIRVLANMDDPIGCILKRNELADGLVLEKTSSPLGVLLIIFESRPDALVQIASLAIRSGNGLLLKGGKEAKRSNAILHKVITTAIPDTVGGKLIGLVTSIDEIPDLLKLDDVIDLVIPRGSSKLVSKIKSSTKIPVLGHADGICHVYVDKSANIEMAKRVVLDAKVDYPAACNAMETLLVHQDLVHSGGLNELIADLRTEGVTLFGGQRACKELNIPEAHTFHHEYNSMACTVEIVDDEHAAIDHIHQHGSAHTDCIVAEDHDVAEVFLRQVDSAAVFHNASTRFCDGARFGLGAEVGISTSRIHARGPVGVEGLLTTRWILRGCGQVVNADQGVIYTHKDITM >Potri.008G109200.4.v4.1 pep chromosome:Pop_tri_v4:8:6911256:6915118:-1 gene:Potri.008G109200.v4.1 transcript:Potri.008G109200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109200.v4.1 MSFSNDLDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGKLLEVVQVLTDLNLIITKAYVSSDGGWFMDVFNVTDQDGNKVTDEAILDYITKSLGTESCFTSSMGSFGVKQSIDHTAIELTGSDRPGLLSEVSAVLAHLKCNVLNAEVWTHNMRAAAVMQVTDDETGSAITDPEKLSRVKELLCNVLKGSNKYRGARTVVSHGVTHTERRLHQMMFADRDYERANNDVLDEKQRPNVSVVNWYEKDYSVITIRSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHVDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTKDRIGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQATGQTILKVKGSPEELKPVSQESPTRFLFGGLFKSRSFVNFGLVKSYS >Potri.008G109200.5.v4.1 pep chromosome:Pop_tri_v4:8:6911294:6915118:-1 gene:Potri.008G109200.v4.1 transcript:Potri.008G109200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109200.v4.1 MSFSNDLDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGKLLEVVQVLTDLNLIITKAYVSSDGGWFMDVFNVTDQDGNKVTDEAILDYITKSLGTESCFTSSMGSFGVKQSIDHTAIELTGSDRPGLLSEVSAVLAHLKCNVLNAEVWTHNMRAAAVMQVTDDETGSAITDPEKLSRVKELLCNVLKGSNKYRGARTVVSHGVTHTERRLHQMMFADRDYERANNDVLDEKQRPNVSVVNWYEKDYSVITIRSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHVDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTKDRIGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQATGQTILKVKGSPEELKPVSQESPTRFLFGGLFKSRSFVNFGLVKSYS >Potri.008G109200.1.v4.1 pep chromosome:Pop_tri_v4:8:6911307:6915119:-1 gene:Potri.008G109200.v4.1 transcript:Potri.008G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109200.v4.1 MSFSNDLDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGKLLEVVQVLTDLNLIITKAYVSSDGGWFMDVFNVTDQDGNKVTDEAILDYITKSLGTESCFTSSMGSFGVKQSIDHTAIELTGSDRPGLLSEVSAVLAHLKCNVLNAEVWTHNMRAAAVMQVTDDETGSAITDPEKLSRVKELLCNVLKGSNKYRGARTVVSHGVTHTERRLHQMMFADRDYERANNDVLDEKQRPNVSVVNWYEKDYSVITIRSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHVDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTKDRIGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQATGQTILKVKGSPEELKPVSQESPTRFLFGGLFKSRSFVNFGLVKSYS >Potri.008G109200.6.v4.1 pep chromosome:Pop_tri_v4:8:6911354:6915045:-1 gene:Potri.008G109200.v4.1 transcript:Potri.008G109200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109200.v4.1 MSFSNDLDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGKLLEVVQVLTDLNLIITKAYVSSDGGWFMDVFNVTDQDGNKVTDEAILDYITKSLGTESCFTSSMGSFGVKQSIDHTAIELTGSDRPGLLSEVSAVLAHLKCNVLNAEVWTHNMRAAAVMQVTDDETGSAITDPEKLSRVKELLCNVLKGSNKYRGARTVVSHGVTHTERRLHQMMFADRDYERANNDVLDEKQRPNVSVVNWYEKDYSVITIRSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHVDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTKDRIGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQATGQTILKVKGSPEELKPVSQESPTRFLFGGLFKSRSFVNFGLVKSYS >Potri.008G109200.3.v4.1 pep chromosome:Pop_tri_v4:8:6911278:6915112:-1 gene:Potri.008G109200.v4.1 transcript:Potri.008G109200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109200.v4.1 MCFALMMVISQETNFLVLWAGFVLIAFLFYFPFKIFISTEVNMSFSNDLDDEYEKLFRRLNPPRVVIDNEACKNATVIRVDSANKHGKLLEVVQVLTDLNLIITKAYVSSDGGWFMDVFNVTDQDGNKVTDEAILDYITKSLGTESCFTSSMGSFGVKQSIDHTAIELTGSDRPGLLSEVSAVLAHLKCNVLNAEVWTHNMRAAAVMQVTDDETGSAITDPEKLSRVKELLCNVLKGSNKYRGARTVVSHGVTHTERRLHQMMFADRDYERANNDVLDEKQRPNVSVVNWYEKDYSVITIRSKDRPKLLFDTVCTLTDMEYVVFHANIDAEGPEAHQEYYIKHVDGSPVKSEAERQRIIQCLEAAIERRVSEGLKLELCTKDRIGLLSDVTRIFRENSLTVTRAEVTTRAGKAVNTFYVSDASGYPVDAKTIDSIRQATGQTILKVKGSPEELKPVSQESPTRFLFGGLFKSRSFVNFGLVKSYS >Potri.009G165700.1.v4.1 pep chromosome:Pop_tri_v4:9:12681012:12683522:1 gene:Potri.009G165700.v4.1 transcript:Potri.009G165700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165700.v4.1 MENTSMLREWFERVDSEKTGNITAIQLKSALAVGNLEFPLSVVQQMIRMYDFDRNGTMSFDEFVGLNKFLLKVQQAFSDLERGLGYLVPDDVYKGLVKIGFSLDSPSFYTVCESFDQKKNGKIRLDDFICLCIFVQSARNLFNSFDTTKQGRVTLDFNQLVYCTANCRI >Potri.019G063700.1.v4.1 pep chromosome:Pop_tri_v4:19:10406745:10408005:-1 gene:Potri.019G063700.v4.1 transcript:Potri.019G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063700.v4.1 MGVDIQGKLRIALGAVKDHASIGKAMIYSHHEGKDFSSIEVAVVRATGHDSGPIDDKHMHEILFLVSNSPGSIHFLAERISRRLGKTRDNLVALKTLSLIHRLLRGGNRCFEQQLRNAHASGHLQMSTRCFLRNISDPSVSFIHKYAAYLEERIGWVINQAGKLEPVMSQGDLESRCYDEKSIDMVFRKLPKCQVFIDRVLDCSPFNISPLDNLAQAAMSNTLKESFQVYKKYSEGVAALVNMFFDLTRAARDLACQILRRASQQSQELHNLFENCKRIIENKNLDYPVVQIVTMDHIMALEQFSTYIATSRSSSVLSKNGSTPPILDCITKSEGDEKDAGNFSWSPTLFSCTLETKISKVWVVFED >Potri.018G144300.4.v4.1 pep chromosome:Pop_tri_v4:18:14936611:14941660:1 gene:Potri.018G144300.v4.1 transcript:Potri.018G144300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144300.v4.1 MLLVLVILMVSLQGWVPLGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHAHCCDWESIVCNSSTGRVTVLDLWGVRNEDLGDWYLNASLFLPFQQLNVLYLWNNRIAGWVENKGGYELQKLSNLEILDLESNSFNNSILSFVEGLPSLKSLYLDYNRLEGLIDLKESLSGLEELRLDNNNISKLVASRGPSKLRTLSLYSITTYGSSFQLLQSLEAFPNLTTLYLGFNDFRGRILGDELQNLSSLKMLYLDGCSLDEHSLQSLGALSSLKNLSLQELNGTVPSGGFLDLKKLEYLDLSYNTLNNSIFQAIGTMTSLKTLKLEGCSLNGQIPTTQDFLDLKNLEYLDLSNTALNNSIFQAIGTMTSLKTLILEGCSLNGQIPTTQGLCDLNHLQELDMGDNDLSGVLPSCLPNLTSLQQLSLSYNHLKIPMSLSPLYNLSKLKSFYGSGNEIFAEEDDHNLSPKFQLESLYLSGIGQGGAFPKFLYHQFNLQSLDLTNIQIKGEFPNWLIENNTYLQELHLENCSLLGPFLLPDNSHVNLSFLSISMNHFQGQIPSEIGARLPGLEVLFMSENGFNGSIPFSLGNISLLKVLDVSNNSLQGQIPGWIGNMSSLEFLDLSRNNFSGLLPPRFGSSSKLKFIYLSRNNLQGPIAMAFHDSSEIFALDLSHNDLTGRIPEWIDRLSNLRFLLLSYNNLEGEIPIHLYRLDQLTLIDLSHNHLSGNILSWMISTYNFPVENTYYDSLSSSQQSFEFTTKNVSLSYRGNIIWYFIGIDFSCNNFTGQIPPEIGNLSMIKVLNLSHNNLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLIELFSLEVFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSPTPTSTNNEDNGGFMDVEVFYVSFGVAYIMVLLVISAVLRINLYWRRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.010G124800.1.v4.1 pep chromosome:Pop_tri_v4:10:14217678:14220988:1 gene:Potri.010G124800.v4.1 transcript:Potri.010G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124800.v4.1 MGEGKGSTLVHLLVVVLCLVAFGFAIAAERRRSTGHIEKDATNATYCVYNSDVATGYGVGAFLFLLSSESLLMGVTRCMCFGRSLAPGGDRAWSIIYFVSSWATFLVAEACLIAGAQKNAYHTKYVGMIYAQNFACETLRKGVFIAGAVFVVATMILNVYYYMYFSKATATKAALKTNRTSSVGMTGYA >Potri.001G308900.1.v4.1 pep chromosome:Pop_tri_v4:1:31937132:31942578:1 gene:Potri.001G308900.v4.1 transcript:Potri.001G308900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G308900.v4.1 MQIPRWRNVLILKNSLVPALSQSITTKTHFASIHSTPITCDKRKSKRDGDERGSQQPSKAYIRYSIRQKPSAAKRALQGLLYNSGVSRSSFQHIEPIQSFDAEPSVGSRKKKLKANAQRAKKYHQDRMRSKLRRESFSDDFGDPETISRATLKNKRYTRSNKSFGSEPSGFEWREPPKWKNRRYKGWDATSETEYDNTSYSVGSHSDRTILGLPPTGPLKIEDVKNAFRLSALKWHPDKHQDASLAVAEEKFKLCVDAYKSICEALA >Potri.005G095200.3.v4.1 pep chromosome:Pop_tri_v4:5:6674353:6681389:-1 gene:Potri.005G095200.v4.1 transcript:Potri.005G095200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095200.v4.1 MEESEIYKQHQYNYGDPRHEISQPASQAYTSDPSSSRNSNTRVPDLNVPPPEVKPVRNYSIQTGEEFALEFMRDRVIPKKPLIPNAVGDPNYVTGYLELKGILGISHTGSESGSDISMLTMVERGQKDFERMDSSLHEERSNYGSIQLVPRTSSGYESHGAPHGYASSGASDSFSGKMKVLCSFGGKILPRPSDGQLRYVGGEKRIMCIARDISWHEFKQKTLAIYYEARVIKYQLPGEDLDALVSVSCDEDLLNMMDEWSEIEDREGSQKLRLFLFSMSDLEDAQLGLGSAEGDSEIQYVVAVNGMDMGSRRGSALHGLASPSGNIDRETTSVASAWVSASPLVGTYHSSQPTLQSSSNAYETYPQFYHDQMMDHRDTKHFPLHYHHHSSNDSPLGEIPYSRQLQGHMNEEADFYEGHQCISVQMKNSQMPGKEVNPKPAGSIQQKIDLGKTHAIENIYPAPVDEVPVPAAVPEGDLSTIPSKYEGKCQEPKKVSSFVDDVNQVQVPRSHEDDQHSTPSGASGPGNADSTSNPVDLNYLEPSIPPQRVYYSERIPRGQAELLNRLSKSDDSLGSQLLISHSHPGITENNPVMESVENLHESNLAAHTEHFISTEKPSCTDSQIIDDGVAQFQQHKEFSDAISQMNNKLPDSEQVSDFGFKQAVAKNVDENDSANRDRILKEDFETDMATGNHRKLPADVKGEVGSGHLAVHQVTCVVQHKDPTADLPDDLDEMTTRNVSDEDSLRHFQPFSWTDSSAKVVAEGIPPVTVSATKQAEIQIDINDRFPRDFISEIFSKGIFTEDTPGLSPLHSDGAGVSVNMENHEPKHWSYFQKLAKEEFVQKDISLIDQDHLTTPSVLTNVDHKSYHFTHLAEGGDSVGHDYSQIIFGQDNQNNLPGMVGADSTMMSDFDHSQLKETESMQFEAMMENLQSPDSQYEDGKLDNKNDGLPPCDPSLGDFDINTLQVIKNEDLEEQKELGSGTFGTVYHGKWRGTDVAIKRLKKICFTGRSSEQERLTLEFWREAGILSKLHHPNVVAFYGVVQDGHGGTLATVTEYMVDGSLRNVLLRKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSEWGILMEQCWAPNPGVRPSFTEIASRLRIMSAAASQGKGHGNKASK >Potri.005G095200.2.v4.1 pep chromosome:Pop_tri_v4:5:6674364:6681328:-1 gene:Potri.005G095200.v4.1 transcript:Potri.005G095200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095200.v4.1 MEESEIYKQHQYNYGDPRHEISQPASQAYTSDPSSSRNSNTRVPDLNVPPPEVKPVRNYSIQTGEEFALEFMRDRVIPKKPLIPNAVGDPNYVTGYLELKGILGISHTGSESGSDISMLTMVERGQKDFERMDSSLHEERSNYGSIQLVPRTSSGYESHGAPHGYASSGASDSFSGKMKVLCSFGGKILPRPSDGQLRYVGGEKRIMCIARDISWHEFKQKTLAIYYEARVIKYQLPGEDLDALVSVSCDEDLLNMMDEWSEIEDREGSQKLRLFLFSMSDLEDAQLGLGSAEGDSEIQYVVAVNGMDMGSRRGSALHGLASPSGNIDRETTSVASAWVSASPLVGTYHSSQPTLQSSSNAYETYPQFYHDQMMDHRDTKHFPLHYHHHSSNDSPLGEIPYSRQLQGHMNEEADFYEGHQCISVQMKNSQMPGKEVNPKPAGSIQQKIDLGKTHAIENIYPAPVDEVPVPAAVPEGDLSTIPSKYEGKCQEPKKVSSFVDDVNQVQVPRSHEDDQHSTPSGASGPGNADSTSNPVDLNYLEPSIPPQRVYYSERIPRGQAELLNRLSKSDDSLGSQLLISHSHPGITENNPVMESVENLHESNLAAHTEHFISTEKPSCTDSQIIDDGVAQFQQHKEFSDAISQMNNKLPDSEQVSDFGFKQAVAKNVDENDSANRDRILKEDFETDMATGNHRKLPADVKGEVGSGHLAVHQVTCVVQHKDPTADLPDDLDEMTTRNVSDEDSLRHFQPFSWTDSSAKVVAEGIPPVTVSATKQAEIQIDINDRFPRDFISEIFSKGIFTEDTPGLSPLHSDGAGVSVNMENHEPKHWSYFQKLAKEEFVQKDISLIDQDHLTTPSVLTNVDHKSYHFTHLAEGGDSVGHDYSQIIFGQDNQNNLPGMVGADSTMMSDFDHSQLKETESMQFEAMMENLQSPDSQYEDGKLDNKNDGLPPCDPSLGDFDINTLQVIKNEDLEEQKELGSGTFGTVYHGKWRGTDVAIKRLKKICFTGRSSEQERLTLEFWREAGILSKLHHPNVVAFYGVVQDGHGGTLATVTEYMVDGSLRNVLLRKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSEWGILMEQCWAPNPGVRPSFTEIASRLRIMSAAASQGKGHGNKASK >Potri.005G095200.4.v4.1 pep chromosome:Pop_tri_v4:5:6674481:6681016:-1 gene:Potri.005G095200.v4.1 transcript:Potri.005G095200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095200.v4.1 MEESEIYKQHQYNYGDPRHEISQPASQAYTSDPSSSRNSNTRVPDLNVPPPEVKPVRNYSIQTGEEFALEFMRDRVIPKKPLIPNAVGDPNYVTGYLELKGILGISHTGSESGSDISMLTMVERGQKDFERMDSSLHEERSNYGSIQLVPRTSSGYESHGAPHGYASSGASDSFSGKMKVLCSFGGKILPRPSDGQLRYVGGEKRIMCIARDISWHEFKQKTLAIYYEARVIKYQLPGEDLDALVSVSCDEDLLNMMDEWSEIEDREGSQKLRLFLFSMSDLEDAQLGLGSAEGDSEIQYVVAVNGMDMGSRRGSALHGLASPSGNIDRETTSVASAWVSASPLVGTYHSSQPTLQSSSNAYETYPQFYHDQMMDHRDTKHFPLHYHHHSSNDSPLGEIPYSRQLQGHMNEEADFYEGHQCISVQMKNSQMPGKEVNPKPAGSIQQKIDLGKTHAIENIYPAPVDEVPVPAAVPEGDLSTIPSKYEGKCQEPKKVSSFVDDVNQVQVPRSHEDDQHSTPSGASGPGNADSTSNPVDLNYLEPSIPPQRVYYSERIPRGQAELLNRLSKSDDSLGSQLLISHSHPGITENNPVMESVENLHESNLAAHTEHFISTEKPSCTDSQIIDDGVAQFQQHKEFSDAISQMNNKLPDSEQVSDFGFKQAVAKNVDENDSANRDRILKEDFETDMATGNHRKLPADVKGEVGSGHLAVHQVTCVVQHKDPTADLPDDLDEMTTRNVSDEDSLRHFQPFSWTDSSAKVVAEGIPPVTVSATKQAEIQIDINDRFPRDFISEIFSKGIFTEDTPGLSPLHSDGAGVSVNMENHEPKHWSYFQKLAKEEFVQKDISLIDQDHLTTPSVLTNVDHKSYHFTHLAEGGDSVGHDYSQIIFGQDNQNNLPGMVGADSTMMSDFDHSQLKETESMQFEAMMENLQSPDSQYEDGKLDNKNDGLPPCDPSLGDFDINTLQVIKNEDLEEQKELGSGTFGTVYHGKWRGTDVAIKRLKKICFTGRSSEQERLTLEFWREAGILSKLHHPNVVAFYGVVQDGHGGTLATVTEYMVDGSLRNVLLRKDRYLDRRKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVRGTLPWMAPELLNGSSNKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSEWGILMEQCWAPNPGVRPSFTEIASRLRIMSAAASQGKGHGNKASK >Potri.011G125601.1.v4.1 pep chromosome:Pop_tri_v4:11:15946575:15951028:-1 gene:Potri.011G125601.v4.1 transcript:Potri.011G125601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125601.v4.1 MKGLTLVSLCSIFFIFTTADGADTIAVNQSIIDGETIVSAGSNFELGFFSPRSTSLRYVGIWYKFSSETLVWVANREAPLNDTSGVLQVTSKGILVLHNSTNVVLWSTNTSRVPQNPVAQLLDSGNLVIREANDTNEDDYLWDSFDYPGNTFLPGLKFGRNLITGLDRYLVSWKSTNDPSLGDSTTMLDPGGYPQIFIRVGENIIFRSGPWNGLKFSGMPNLKPNPIYTYEFVYNEKEIYYRYDLTDSSVVTRMLLTNDGILQRFTWTSSTRTWNLYLTAQMDNCDRYAVCGAYGICNIDNSPACACLDGFQPKSRQDWDSGDWSGGCVRKNESICRAGEGFQKVTSVKLPDTRTSSFNMTMDLEECRRVCLMNCSCTAYSTLNITDGTGCLLWFEDLLDIREYTETGQDFYIRLSASDLEPTRSPKRTTRVWIIAICLLVAGITILGFCLLFLMRRRKMKTAARMVSMQERDYSINSTGKDLELPVFDFATIAIATSNFSGANKLGEGGFGPVYKGKLEDGQEIAVKRLSKTSTQGLDEFKNEVICIAKLQHRNLVKLLGCCIESEETMLVYEYMPNKSLDAFIFDQKQSKLLDWSMRYNIINGVARGLLYLHQDSRLRIIHRDLKASNILVDYDMNPKISDFGMARSFGGNEIQGNTKRVVGTYGYMSPEYATDGIFSIKSDVFSFGVLVLEIVNGKRNRGFIHQDHKHNLLGHAWKLYKEERSFELINDSLKDTCNLSEVLRVIHVGLLCVQQAPEDRPTMSTVVLMLSSNIALPDPKEPGFFTERKLFDHESSSSKVDTCSANEITITLLTAR >Potri.009G040900.1.v4.1 pep chromosome:Pop_tri_v4:9:4843628:4845763:-1 gene:Potri.009G040900.v4.1 transcript:Potri.009G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040900.v4.1 MLELRLVQGSLLKKVLESIKDLVNDANFDFSSSGFSLQSMDSSHVALVALLLKSEGFEHYRCDRNTSMGMNLGNMSKMLKCAGNDDIITIKGDDGSDTVTFMFESPTQDKIADFEMKLMDIDSEHLGIPEAEYHAIVKMPSAEFARICKDLASIGDTVVISVTKEGVKFSTRGDIGTANIVLRQKTTVDKPEDATVIEMNEPVSMTFALRYMNSFTKATPLSNTVTISMSPDLPVVVEYKIAEMGYVRFYLAPKMEEDEPEPGA >Potri.003G197801.1.v4.1 pep chromosome:Pop_tri_v4:3:19981574:19983143:1 gene:Potri.003G197801.v4.1 transcript:Potri.003G197801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197801.v4.1 MARDSCLARVTAGVAVGGAVGGAVGAVYGTYEAIRNRVPGLLKVRYIGQTTVGSAAIFGLFLGAGSLIHCGKSY >Potri.014G090601.1.v4.1 pep chromosome:Pop_tri_v4:14:5881797:5886274:1 gene:Potri.014G090601.v4.1 transcript:Potri.014G090601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090601.v4.1 MLFNYAPPSLIKPVLHFTSSFALSPRAVPHPVNKIPLFHSLRIFRTFAAMSETNSASDSTPDHISGTWYSVPGLRLRDHRFTVPLDYSIDRNASPKISVFAREVVSVGKEEHLLPYLLYLQGGPGFECPRPTEASGWIHKACEEFRVILMDQRGTGLSVPLTPSSMSQLDSAEEVAEYLKYFRADNIVNDAEFIRVCLVPEAGPWTVLGQSYGGFCSVTYLSFAPQGLKQVLLTGGIPPIGNGCTADSVYRACYAQVIHQNEKYYKRFPQDVEIVHEVVKYLAESEGGGVLLPSGGLLTPRGLQTLGLSGLGSSSGFERVCTICLRGFGIL >Potri.013G133100.2.v4.1 pep chromosome:Pop_tri_v4:13:13886624:13890144:-1 gene:Potri.013G133100.v4.1 transcript:Potri.013G133100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133100.v4.1 MNSCILWIVFLQVTGHSFSFTLHGGNETDKLSLLAFRAQITDDPFGALNSWNESFHFCEWSGVTCGRRHQRVVELDLHSYKLVGGLSPSIGNLSFLRLLNLENNSLSSNIPEELGHLFRLQMLRLGNNSFSGEIPVNISSCTNLLALRLEGNNLTGKLPERLESLSKLKVLNFKMNDLVGEIPPSFGNLSSIVEIRGMGNNLKGVIPNSIGRLKRLQIFSFGINNLSGTIPPSIFNLSTLTNFAVPMNQLHGTLPPDLGHTLPNLEILLVHTNRFSGLIPMTISNASNLSNVELSDNFFTGKVPALGSLPYLWHLSIGYNDLGSGQDDDLSFLYPLENNTVLEIFEIAGNHLGGVLPETLGNFSKNLRMMGFGRNQIRGTIPDGIGNLISLVALGLESNQLSGMIPSSIGKLQNLGYLYLDQNKISGSIPSSVGNMTSLIAAHLELNSLHGSIPSNLGNCQNLLELGLSNNNLSGPIPKELLSIPLGTVSLNLSENHLTGSLPLEVGNLVHLGEIDVSKNRLSGEIPRSLGSCASLELLSLKGNFFKGSIPESLSSLRALKVLDLSYNNLSGQIPKFLGDLKLLESLDLSFNDLEGQVPVQGVFGNTSVISIAGNKKLCGGIPQLNLSRCTTNESAKLKSSTKILIVAMSGGLLVVILLVSSMLFYFFRKTKDMQASSTSTWGIPFRRVAYQDLLLATNEFSSANSIGVGSFGSVYRGILPPDGMAVAVKVLNLLRKGASRSFMAECAALVNIRHRNLVRVVSACSSIDFQGNDFKAIVYELMVNGSLEEWLHPIHQPNNAQELRSLNLIQRLNISIDVANVLNYLHQHCGTPIVHCDLKPSNVLLNAEMTACVGDFGLARLRPEVSHQLSSGQTSSVGLKGTIGYAAPEYGVGSDVSTYGDVYSFGILLLEMFTGKRPTEGMFKDGLNLHNYAEMALHGRVSEVVEPILLREDVERSIHSSHRMNHIETGKILECLISIIKIGVACSVELPRERMDMSIVVAELHRIRDILSGTRIRGQLENVSLREGA >Potri.014G146800.6.v4.1 pep chromosome:Pop_tri_v4:14:10070778:10073298:1 gene:Potri.014G146800.v4.1 transcript:Potri.014G146800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146800.v4.1 MISIEDLYGVLCAVVPLYVTMFLAYASVKWWNIFTPEQCSGINRFVAYFAVPLLSMEFISRINPYKMDLLFMAADGVSKVLILVVLLCWANFSRRGSLEWAITLFSLSTLPNTLVMGIPLLKSMYGDDKEGLMIQVVVLQCIIWYTLLLFLFEYREARLAMLKNFKGSSSSSSFSNSERSKGSFKGVGEVLGSCGSNADEVVNVIVSTPTSQEITENVNTKVAPDPQQFRSMVAAAVDGDDKEVHLFIWRCVCCTSQGFCEQSVQVLRKEESMKRGVESEKTEGIENNTAAISSLSSVMLLQILKTVWLKLVRNPNSYASLIGLSWALVSCRYGIMKPQIVDNSVTILSKAGLGMAMFSLGLFMALQPRIIACGNRMAIYGMLARFLAGPAVMAVASIGVGLRGTMLKLSIVQAALPQGIVPFVFAREYGLHPDVLSTA >Potri.014G146800.1.v4.1 pep chromosome:Pop_tri_v4:14:10070679:10073304:1 gene:Potri.014G146800.v4.1 transcript:Potri.014G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146800.v4.1 MISIEDLYGVLCAVVPLYVTMFLAYASVKWWNIFTPEQCSGINRFVAYFAVPLLSMEFISRINPYKMDLLFMAADGVSKVLILVVLLCWANFSRRGSLEWAITLFSLSTLPNTLVMGIPLLKSMYGDDKEGLMIQVVVLQCIIWYTLLLFLFEYREARLAMLKNFKGSSSSSSFSNSERSKGSFKGVGEVLGSCGSNADEVVNVIVSTPTSQEITENVNTKVAPDPQQFRSMVAAAVDGDDKEVHLFIWRCVCCTSQGFCEQSVQVLRKEESMKRGVESEKTEGIENNTAAISSLSSVMLLQILKTVWLKLVRNPNSYASLIGLSWALVSCRYGIMKPQIVDNSVTILSKAGLGMAMFSLGLFMALQPRIIACGNRMAIYGMLARFLAGPAVMAVASIGVGLRGTMLKLSIVQAALPQGIVPFVFAREYGLHPDVLSTAVIFGMIVSLPITILYYIFLGL >Potri.017G063500.2.v4.1 pep chromosome:Pop_tri_v4:17:5342625:5345167:-1 gene:Potri.017G063500.v4.1 transcript:Potri.017G063500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063500.v4.1 MFSWCGLDFSFFLSAGVEMNRAATFKMPKFMVAGHRGNGMNVLQSTDGRMKEIKENSIMSFNSAAKHPIDFIEFDVQVTKDDCPVIFHDNFILSEDNGTIFEKRVTELCLSEFLCFGPQKEGRAGKSLLRKTKEGKILEWNVEKDDSLCTLQDAFQQVESSLGFNIELKFDDHIVYQQDYLIRVLQAILQVVFDHGKDRPVIFSSFQPDAALLVRKLQSTFPVYFLTNGGTEIFYDARRNSLEEAIKVCLEGGLQGIVSEVKGVFRNPGAVNKIKDAKLSLLTYGKLNNVSEAVYMQHLMGIDGVIVDLVQEITEAVSDLIKPSKMGEAESLAEGDGEMEVKSKPQFSQMELSFLLKLIPELIQL >Potri.017G063500.1.v4.1 pep chromosome:Pop_tri_v4:17:5342765:5345491:-1 gene:Potri.017G063500.v4.1 transcript:Potri.017G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063500.v4.1 MALKAVHVSDVPNLDHVPDNASLSLYSTRLSKGVEMNRAATFKMPKFMVAGHRGNGMNVLQSTDGRMKEIKENSIMSFNSAAKHPIDFIEFDVQVTKDDCPVIFHDNFILSEDNGTIFEKRVTELCLSEFLCFGPQKEGRAGKSLLRKTKEGKILEWNVEKDDSLCTLQDAFQQVESSLGFNIELKFDDHIVYQQDYLIRVLQAILQVVFDHGKDRPVIFSSFQPDAALLVRKLQSTFPVYFLTNGGTEIFYDARRNSLEEAIKVCLEGGLQGIVSEVKGVFRNPGAVNKIKDAKLSLLTYGKLNNVSEAVYMQHLMGIDGVIVDLVQEITEAVSDLIKPSKMGEAESLAEGDGEMEVKSKPQFSQMELSFLLKLIPELIQL >Potri.004G198200.2.v4.1 pep chromosome:Pop_tri_v4:4:20987421:20993714:-1 gene:Potri.004G198200.v4.1 transcript:Potri.004G198200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G198200.v4.1 MSSRKQRERQMLESIYSMIALVFILVTCIELCDAATVVDVYRLIQYDISGAQFGSRFATLNHHAGSLHLPPGVDLSRTVVIIPVRELNITLVKEFIAQRKPLGGLLFLLPQMLNFENRDPTSESKYQIHEKKLTKNVLVELERLLIYANIPYPVYFAFENDDIDAVLADVKRNDASGQPATATTGSYKLVVSAPEPKRIASPAITNIQGWLPGLKADGDPNQLPTIAIVASYDTFGTAPALSVGSDSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTHKWLRSFDQRLRESIDYAICLNSIGSWDNKLWIHVSKPPENALIKQIFEGFSTVAEELGIEVSLKHKKINISNPRVAWEHEQFSKLRVTAATLTGLSAPPELLERTGGLSDSRHFVNEDAIVRSIKLVAENIARHVYDYQGKNIQIFADDTSLAVNAPYIRSWLDLLSQTPRVAPFLSKNDPFVMALKKELEDHTHEVNVQHEVLDGMFTIYDSTKAKLNIYQVASVTFDLLLLLVLGSYLIILFTFLVITTRGLDDLISLFRRPPSRKVKAA >Potri.004G198200.3.v4.1 pep chromosome:Pop_tri_v4:4:20987410:20993677:-1 gene:Potri.004G198200.v4.1 transcript:Potri.004G198200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G198200.v4.1 MSSRKQRERQMLESIYSMIALVFILVTCIELCDAATVVDVYRLIQYDISGAQFGSRFATLNHHAGSLHLPPGVDLSRTVVIIPVRELNITLVKEFIAQRKPLGGLLFLLPQMLNFENRDPTSESKYQIHEKKLTKNVLVELERLLIYANIPYPVYFAFENDDIDAVLADVKRNDASGQPATATTGSYKLVVSAPEPKRIASPAITNIQGWLPGLKADGDPNQLPTIAIVASYDTFGTAPALSVGSDSNGSGIVALLEIARLFSLLYSNPKTRGRYNLLFGLTSGGPYNYNGTHKWLRSFDQRLRESIDYAICLNSIGSWDNKLWIHVSKPPENALIKQIFEGFSTVAEELGIEVSLKHKKINISNPRVAWEHEQFSKLRVTAATLTGLSAPPELLERTGGLSDSRHFVNEDAIVRSIKLVAENIARHVYDYQGKNIQIFADDTSLAVNAPYIRSWLDLLSQTPRVAPFLSKNDPFVMALKKELEDHTHEVNVQHEVLDGMFTIYDSTKAKLNIYQVASVTFDLLLLLVLGSYLIILFTFLVITTRGLDDLISLFRRPPSRKVKAA >Potri.004G230200.1.v4.1 pep chromosome:Pop_tri_v4:4:23374887:23380684:1 gene:Potri.004G230200.v4.1 transcript:Potri.004G230200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230200.v4.1 MSPHLLLSQMNLTTLSFPYKSLKNLSFISTSNHSLPSPSLHFNSNLLLQSPGHKFKLTQKPKILPFTTKSSAQVDDISGVVKEKSVSVILLAGGQGKRMGANMPKQYLPLLGQPIALYSLYTFSEMDEVKEIIVVCDPSYKDIFEDSQEQINVDLKFALPGKERQDSVYSGLQEVDLNSELVCIHDSARPLVLSEDVEKVLKDGWINGAAVLGVPVKATIKEADGESFVVRTLDRKTLWEMQTPQVMKPELLKKGFELVNREGLEVTDDVSIVEHLKHPVYITEGSYTNIKVTTPDDLLLAERILSTSS >Potri.011G050800.3.v4.1 pep chromosome:Pop_tri_v4:11:4070704:4073762:1 gene:Potri.011G050800.v4.1 transcript:Potri.011G050800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050800.v4.1 MVHDVNITVLQITVVVSLQLWTATLLHDAGWLKMLAIAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRCLGIFANLPVGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSRAETLLVTNVVAKSIWVMLQLFFYAFRPLFIKPKPPGYWEFINFSIQIALDAAVVYFWGWRSLAYLILSTFVGGGMHPMAGHFISEHYVFKPEQETYSYYGPLNFLTWHVGYHNEHHDFPRIPGSKLHKVKDIAPEYYDGLESYKSWSQVIYMYLMDRTVGPFSRMKRKVPGTAKKSE >Potri.011G050800.2.v4.1 pep chromosome:Pop_tri_v4:11:4070507:4073772:1 gene:Potri.011G050800.v4.1 transcript:Potri.011G050800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050800.v4.1 MGMREEMEQKEEGVMATDFFWSYTDEPHASRRRQILSQYPQIKELFGPDPWAFFKITVVVSLQLWTATLLHDAGWLKMLAIAYFFGSFLNHNLFLAIHELSHNLAFSTPVYNRCLGIFANLPVGVPMSVTFQKYHLEHHRFQGVDGIDMDIPSRAETLLVTNVVAKSIWVMLQLFFYAFRPLFIKPKPPGYWEFINFSIQIALDAAVVYFWGWRSLAYLILSTFVGGGMHPMAGHFISEHYVFKPEQETYSYYGPLNFLTWHVGYHNEHHDFPRIPGSKLHKVKDIAPEYYDGLESYKSWSQVIYMYLMDRTVGPFSRMKRKVPGTAKKSE >Potri.010G204000.1.v4.1 pep chromosome:Pop_tri_v4:10:19580525:19584520:1 gene:Potri.010G204000.v4.1 transcript:Potri.010G204000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G204000.v4.1 MGLLWTIAEVLVALILIIASFLLTAIVSEAYRRRHNNTHVDAHAIFEDPNSLKQVPCPHIHDPAEKYLSLVIPAFNEEYRLPGALDETINYLQQRAAKDKSFTYEVVIVDDGSADATKRVAFDFVKKYTVDNVRIILLGRNHGKGEAIRKGMLHSRGELLLMLDADGATKVADLEKLENQIHAVARKEFCLGESAASDSSFRISDMPLAAFGSRAHLEEKALATRKWYRNFLMKGFHLVVLLTAGSGIRDTQCGFKMFTRAAARKLFTNIRLKRWCFDVELIFLCKWFGIPMIEISVNWSEIPGSKVNLLSIPNMLWELALMSVGYRTRMWKISN >Potri.006G244400.1.v4.1 pep chromosome:Pop_tri_v4:6:24523874:24528115:1 gene:Potri.006G244400.v4.1 transcript:Potri.006G244400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244400.v4.1 MVAAVVDIERMEKQQRVRKRPRFAWDVGPAQPEERAPVVARNEGMAARYVSPPRREDDREGHYMFNLGENLTPRYKILSKMGEGTFGRVLECWDRQTREYVAIKVVRSIHKYRDAAMIEVDILQRVAKNEKASSRCVQIRNWFDYRNHICIVFEKLGPSLFDFLKRNKYSPFPVDLVREFGRQLLESVAYMHDLRLIHTDLKPENILLVSSEYIKLPGSKRSSSDEMHFRCLPKSSSIKLIDFGSTAFDNQNHSSIVSTRHYRAPEVILGLGWSYPCDLWSIGCILVELCSGEALFQTHENLEHLAMMERVLGPLPEHMILRANRGAEKYFRRGSRLNWPEGAVSRESIRAVKKLDRLKLMISQHVDSSRSSLIDLLHGLLKYDPSERLTAWQALNHPFFKCPT >Potri.006G191100.1.v4.1 pep chromosome:Pop_tri_v4:6:19832093:19833467:1 gene:Potri.006G191100.v4.1 transcript:Potri.006G191100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191100.v4.1 MVSTPSFLKTLHPLQVFSTKSPSSTLSSMKIKTLIHTLIISHVCRIIRALSKAKSILVEILKENQPLHFIFPTKNSKRKNRSRKIFLGSFRLHYNWCSSHVLPVSEPVLDGFSASHFYYDSTWNSIITNEQYEDNTESQLSGYLHWLEEKVDGEESSGTEKDINRLADLFIASCHEKFILEKQESYRRFQEMMARSM >Potri.002G011100.1.v4.1 pep chromosome:Pop_tri_v4:2:687424:693110:1 gene:Potri.002G011100.v4.1 transcript:Potri.002G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011100.v4.1 MAFHRRRNHYYNRFRSILPLIFSISGALLILFALLSFLAPTPIDSNNPQHTNSLYARNGVVEDTIGQSVLHVPKKGRTDRDIWSSKNSLYYYGCSNASIKFANADAVTQPNRYLLIVTSGGLNQQRTGIIDAVVAARILNATLVVPRLDQKSFWKDSSDFPEIFDVDWYISSLSKDVKIIKSLPKRGGKTWIPRNMRVPRKCSERCYQNRILPVLLKRHAIQLTKFDYRVANRLDTQLQKLRCRVNYHALKFTDPILRMGEKLVHRMRMKSKHFIALHLRFEPDMLAFSGCYYGGGDKERKELGAIRKRWKTLHVSNPDKERRHGKCPLTPREVGLMLRALGYSSDIHIYVASGEVYGGEETLAPLKALFPNFYTKETLASKEELEPFSSFSSRMAALDFIVCDESDVFVTNNNGNMARILAGRRRYFGHKPTIRPNAKKLYRLFLNQTTMSWEAFTSKMRTYQRGFMGEPKEVRPGRGEFHENPHTCICEDSEAKAKKDSGPGKYGKGYDDVLGDDEPELPDPDDDGYQDGPQEKEQFNGTGMDYDGITNEEPELEEILSD >Potri.002G125000.1.v4.1 pep chromosome:Pop_tri_v4:2:9521738:9522975:1 gene:Potri.002G125000.v4.1 transcript:Potri.002G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125000.v4.1 MEIDQLRSATEDQMEMMMLMDKLPEFYDSYNDVADHLSPTEFLAASASNISISHFNTDNPHNASSPPFMNLQSTLSSNSNSTPTQDQSPQDFFPSPSSSRWRGLGELPEANDYATPSQKKNSMATMREMIFRIAAMQPIQIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKTQVQSLERSQANRPTTTTGIGFPVAMTSGSYLAMGKGYHQPPARRRQNVQNYGDA >Potri.006G061400.2.v4.1 pep chromosome:Pop_tri_v4:6:4373894:4374826:-1 gene:Potri.006G061400.v4.1 transcript:Potri.006G061400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061400.v4.1 MDWFSWLSKSSLEPSLIYEYGLAFARNELQAEDLTYLNHEFLQSMGISVAKHRLEILKLARKDVGGGPHSLSKFIMAINKTKKSIQKCINKLVFHRDSAAFKAELEPELARYQGQWKRGALTRKYRSEKELIKVEQPPILKIRRQAKSGPLDTRMQENLMHANRCLKLSGPLDGKVQERLVFAYRSPKLYGTSDGRVPQSLMMTNRSPQVRSPLDARSTSPKIHCDYSKERRGLNGDFDDQSLWSALFQDMKPT >Potri.006G061400.3.v4.1 pep chromosome:Pop_tri_v4:6:4373867:4374713:-1 gene:Potri.006G061400.v4.1 transcript:Potri.006G061400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061400.v4.1 MGISVAKHRLEILKLARKDVGGGPHSLSKFIMAINKTKKSIQKCINKLVFHRDSAAFKAELEPELARYQGQWKRGALTRKYRSEKELIKVEQPPILKIRRQAKSGPLDTRMQENLMHANRCLKLSGPLDGKVQERLVFAYRSPKLYGTSDGRVPQSLMMTNRSPQVRSPLDARSTSPKIHCDYSKERRGLNGDFDDQSLWSALFQDMKPT >Potri.001G332300.1.v4.1 pep chromosome:Pop_tri_v4:1:34077298:34083612:-1 gene:Potri.001G332300.v4.1 transcript:Potri.001G332300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332300.v4.1 MALQAFCVLVTMIMAIAHQAYGSSRGSVGLVSGFIDPPIVGICSTSVIIHGYKCQEIDVKTQDGFILNMQRIPEGRAGGGDTKRQPVLIQHGVLVDGMTWLLNPPEQNLPLILADKGFDVWIANARGTRYSCRHTSLQPYEPGFWNWSWDELVVFDLPAVFDYVYSQTGQKAHYVGHSLGTLIALASFSEGLLVDKLKSAVLLSPIAYLGHMNSALDVAAAKAFVEITTLFGMAEFNPKGEPVTNFLRALCDYPGVDCYDLLTSISGKNCCLNASTVDLFLKNEPQSTSTKNMVHLAQTVRDGVLAKYNYGNPDFNMMHYGEAKPPIYNLSNIPHDLPIFISYGGQDALSDVRDVELLLDSLKFHDVDKLTIQYIKDYAHADFIMGVNAKDIVYNQVVSFFNHQH >Potri.010G182575.1.v4.1 pep chromosome:Pop_tri_v4:10:18070434:18072149:1 gene:Potri.010G182575.v4.1 transcript:Potri.010G182575.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182575.v4.1 MDEPHELHIAMFPWLAFGHIIPFLELAKLIAQRGHKISFISTPRNIQRLPTIPPNLTPRINLVSLALPHVENLPNNAEATADLPFDKIPYLKIAYDRLQDSLFHFLHSSSPDWIIFDFASYWLPEIATKLGISGVLFSIFGAWTLSFAGPSYSAILNGDDPRTEPQHFTVPPKWVTFPSKVAFRIHEAKRFLVQIEANSSGVTDIFRWGSVLAGCDVIAVRSCLELEADFLRLVEDLHCKPVIPVGLLPPPAQCSEGGVDEKWVTISEWLDKQTQGSVVYIAFGSELTINQDEITELALGLELSGLPFFWALRNQDDSVRLPDGFDERVKGRGVVWTSWAPQLRIMAHESVGGFLTHCGYSSVIEALSFGLALIMLPFAIDQGLIARVFEGKKVGIEVPRDEQDGSFTRNSVAESLRLVIVDKEGSAYRENAKQQMVTLFGDKSISDRCMDQFVAFLRSHRKLPAVINNDLPTHSPN >Potri.007G122900.1.v4.1 pep chromosome:Pop_tri_v4:7:14004239:14006476:1 gene:Potri.007G122900.v4.1 transcript:Potri.007G122900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122900.v4.1 MEEEGRFEAEVAEVQAWWNSERFKLTRRPYSARDVVALRGNLKQSYGSNDMAKKLWRTLKTHQANGTASRTFGSLDPVQVAMMARHLDSIYVSGWQCSSTHTSTNEPGPDLADYPYDTVPNKVEHLFFAQQYHDRKQREARMNMSREERARTPYVDYLKPIIADGDTGFGGTTATVKLCKLFVERGAAGVHIEDQSSVTKKCGHMAGKVLVAISEHINRLVAARLQFDVMGVETVLVARTDAEAANLIQTNVDARDHQFILGATNPNLRGKNLANLLSEAMAAGKTGAELQALEDNWLATAQLKTFSECVTDAIKSMNIGEYEKERRLNEWMNHSSYDKCLSHEQGREIAERLGLNNLFWDWDLPRTREGFYRFRGSVDAAVVRGRAFAPHADLIWMESASPDLAECTKFAEGLKSMHPEIMLAYNLSPSFNWDASGMTDEQMRDFIPRIAKLGYCWQFITLAGFHADALVTDTFARDYAKRGMLAYVERIQREERKNGVDTLAHQKWSGANYYDRYLKTVQGGISSTAAMGKGVTEEQFKETWTRPGAMDMGGAGTEVVAKSRM >Potri.001G417350.1.v4.1 pep chromosome:Pop_tri_v4:1:44560115:44560886:1 gene:Potri.001G417350.v4.1 transcript:Potri.001G417350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417350.v4.1 MDFVDKLVGLMDKEFGSTALLAKAGEIVAERTREEAEVLRDEGKLEDRMVTELFRLLKLMEMDLAMIKASFKEEA >Potri.017G054500.2.v4.1 pep chromosome:Pop_tri_v4:17:4176116:4178519:1 gene:Potri.017G054500.v4.1 transcript:Potri.017G054500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054500.v4.1 MDKDENYSASFAGLDYSLDHHLHQQQHHHELTKPRIGETSGDDDSNNGMIYYMLNNPHQHQPQMSSGFCTSTSFDKLSLADVMQFADFGPTLALNQTKISEEEIGIDPVYFLKFPVLNDKIEEQSLMVPQLGRENDERFTGVSSDENREGMAGEEGGVREDEEARLSDNNSVQLQLLGDQDLQNKNSKPGSKNKRKRPRTIKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSFMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRLMEDSAVAIQQPHPPFFPPMPLPNDQMKTLDLETELREETAENKSCLADVEVKLVGFDAMIKILSRRRPGQLSKTIAALEDLQLNIHDTNITTIEQTVLYSFNVKIESESRFTAEDIASSVQHAFTFIHANSSM >Potri.001G057800.3.v4.1 pep chromosome:Pop_tri_v4:1:4361878:4370037:-1 gene:Potri.001G057800.v4.1 transcript:Potri.001G057800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057800.v4.1 MEPGYDINSLFEEAQTRWLKPAEVIFILQNHDKYQFTEKPPQKPTSGSLFLFNKRVLKFFRRDGHNWRKKKDGRSVGEAHERLKVGNVEALNCYYAHGEQNQNFQRRSYWMLDQAFEHIVLVHYRDITEGKPSPGSAAQLSPIFSYSPGTNTSQTQGSTSAISSVYEPYQSFSSPASVDVSSGLGIKDNEVGRTAEFTSSANKEVTQFFRRLEEQLSLNEDSAEEIGPFGAEEGAINDTKILEYVNNISKEDQSKNLLHGSLYIVDYQSYGGLAGNQLERNNLAPLQDAGDSGAYQQPYSHYYTDGSEEPLPWNEGIESYKTSSGIEYQEKTKSSLSTEPAQEQENSYWINFNEPNVRNSSLLLPQEVENFELPAYSSVIETHENNSNFYAMLYDQDHLGIPNEADSNLTVAQQQKFTIHEISPEWGYATEATKVIIVGSFLCDPSESSWMCMFGDIEVPLQIIQEGVIRCECPPHHPGKVTLCITSGNRESCSEIRGFEYRAKDSSCAHCILSQTEATKSPDELLLLFRFVQMLLSDYSLQRGDSVEMGIHLLRELKADDDTWGDIIEALLVGSGTSSMTVDWLLQQLLNDKLQQWLSSKSQEGHDQPGCSFSKKEQGIIHMVAGLGFEWALSPILSHGVSINFRDINGWTALHWAAHFGREKMVASLLASGASAGAVTDPSPQDPIGKTPASIAATSGHMGLAGYLSEVALTSHLSSLRLEESQLSIGSAEVQAERTLDSISKESFAATEDQILLKDTLAAARNAALAAARIQSAFRAHSFRKRLQREATSLDEYGICAGEIQGLSSMSKLAFRNNSHVINSAALSIQKKYRGWKSRRDFLALRQKVVKIQAHVRGYQIRRNYKIICWAVGILDKAVLRWRRKGIGLRGFRNVMESIDESEDEDILKIFRKQKVDGAINEAVSRVLSMVKSPDARQQYHRTLKQYRQAKAELGGTSEPAASTSLADATEMENDLVPFQVEATSDVLYLPMFTLVD >Potri.001G057800.1.v4.1 pep chromosome:Pop_tri_v4:1:4361734:4370176:-1 gene:Potri.001G057800.v4.1 transcript:Potri.001G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057800.v4.1 MLQSGYDINSLFEEAQTRWLKPAEVIFILQNHDKYQFTEKPPQKPTSGSLFLFNKRVLKFFRRDGHNWRKKKDGRSVGEAHERLKVGNVEALNCYYAHGEQNQNFQRRSYWMLDQAFEHIVLVHYRDITEGKPSPGSAAQLSPIFSYSPGTNTSQTQGSTSAISSVYEPYQSFSSPASVDVSSGLGIKDNEVGRTAEFTSSANKEVTQFFRRLEEQLSLNEDSAEEIGPFGAEEGAINDTKILEYVNNISKEDQSKNLLHGSLYIVDYQSYGGLAGNQLERNNLAPLQDAGDSGAYQQPYSHYYTDGSEEPLPWNEGIESYKTSSGIEYQEKTKSSLSTEPAQEQENSYWINFNEPNVRNSSLLLPQEVENFELPAYSSVIETHENNSNFYAMLYDQDHLGIPNEADSNLTVAQQQKFTIHEISPEWGYATEATKVIIVGSFLCDPSESSWMCMFGDIEVPLQIIQEGVIRCECPPHHPGKVTLCITSGNRESCSEIRGFEYRAKDSSCAHCILSQTEATKSPDELLLLFRFVQMLLSDYSLQRGDSVEMGIHLLRELKADDDTWGDIIEALLVGSGTSSMTVDWLLQQLLNDKLQQWLSSKSQEGHDQPGCSFSKKEQGIIHMVAGLGFEWALSPILSHGVSINFRDINGWTALHWAAHFGREKMVASLLASGASAGAVTDPSPQDPIGKTPASIAATSGHMGLAGYLSEVALTSHLSSLRLEESQLSIGSAEVQAERTLDSISKESFAATEDQILLKDTLAAARNAALAAARIQSAFRAHSFRKRLQREATSLDEYGICAGEIQGLSSMSKLAFRNNSHVINSAALSIQKKYRGWKSRRDFLALRQKVVKIQAHVRGYQIRRNYKIICWAVGILDKAVLRWRRKGIGLRGFRNVMESIDESEDEDILKIFRKQKVDGAINEAVSRVLSMVKSPDARQQYHRTLKQYRQAKAELGGTSEPAASTSLADATEMENDLVPFQVEATSDVLYLPMFTLVD >Potri.006G277500.3.v4.1 pep chromosome:Pop_tri_v4:6:26838932:26842972:-1 gene:Potri.006G277500.v4.1 transcript:Potri.006G277500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277500.v4.1 MAIFCHTLSSLSLKKLINPSKPTSTFTYINLHIRFQAMNSISDSSSSSSSKVMCQLVPGLDTNEKEYILDQTFERYTSKMVKRNGKGAAIVWFRNDLRVLDNEVLFKAWVNSESVLPVYCVDPRLFQASTCYFGFPKTGGLRGQFIVECLVDLKKNLMKRGLNLLIRHGKPEEIIPALAKDFASHTVYAQKETCSEEVNVEKLVSKALRRVHLPHSTGRSTSQGSANSPTLQLVWGGTMYHLDDLPFSTNSIPDVYTQFRKSVEARCSIRSCAKISMSLGPAPRVEDWGCVPSIEQLGLQPQEVSKGMRFLGGETAALSRVYEYFWKKDFLKIYKETRNGMLGPDYSTKFSPWLASGSLSPRFIYEEVKRYEKGRLANDSTYWVLFELIWRDYFRFISFKYGNSIFHIGGPRNVERRWSQDQRLFDSWRNGCTGYPLIDANMKELSATGFMSNRGRQIVCSFLVRDMGIDWRMGAEWFETFLLDYDPCSNYGNWTYGAGVGNDPREDRYFSIPKQAQTYDPEGEYVAYWLPQLQELPKEKRNFPGKLYMEQIVPLKFGNPNGHRGQDRASAARKTNYGGRARKT >Potri.015G146300.3.v4.1 pep chromosome:Pop_tri_v4:15:15054375:15059918:1 gene:Potri.015G146300.v4.1 transcript:Potri.015G146300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146300.v4.1 MPVVTPVNSITDVMRPEEGNDSSLDTFIRDAIGRQPLLSFSRPNDKPVQWIQLLNALDQPDYPGWPLLTPLKVQMQKCSKCSREFCSSINYRRHLRVHHRLKRLDKDSGKNRDMLGAFWDKLSEDEAKEILSFKDVTLEEVPGSSIIKSLTTVIRKPVISSLTQSCWRAGSALLDLVQGRPSRCPLSSGRLFSILDDASEKTFLCGTAVLIQKYIFDGGAGKIGLETKNIVACTSFVVEQKLIKAWLADKDAEALRCQKLLVEEEEAAQRRLAELLERKRQKKLRHKEQKAKEQRQDEKVDVKECIDDTLEAVPQAEQSCPLAISDSDTLGSETLPDDVPSSLEPLLLPRTDEDVDLENQIVHGGERSKLQGNSHRHMVVTRWHAPSRSQRNSLSNVFHASQNSQAPKPGAMEKHGIQRDFKPGPMVNGNRKWSQKPKPEYYGECLKARVKKEVITEPEHEKKGEVLIGSISVTLGNCSHDESNNLDGAQDDCLVEHEISKKKNVQEKHNRPDSVQCGMNRPTVKLWRPVSRNGTKGLILVENGNRKCQPDGIDGKVEDQILFNNSSLRSCAMDDSFGGMENGSPLGDLNRGGLQFSSHEAKAFLAERWKRAIAAEHVKLALSPDYQVAANHSLDIRKQDVIGSAENQLVDVEAQESSTAGAAKAKCKTKPDKGVKLKYIPKQRSIT >Potri.015G146300.1.v4.1 pep chromosome:Pop_tri_v4:15:15054389:15059918:1 gene:Potri.015G146300.v4.1 transcript:Potri.015G146300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146300.v4.1 MPVVTPVNSITDVMRPEEGNDSSLDTFIRDAIGRQPLLSFSRPNDKPVQWIQLLNALDQPDYPGWPLLTPLKVQMQKCSKCSREFCSSINYRRHLRVHHRLKRLDKDSGKNRDMLGAFWDKLSEDEAKEILSFKDVTLEEVPGSSIIKSLTTVIRKPVISSLTQSCWRAGSALLDLVQGRPSRCPLSSGRLFSILDDASEKTFLCGTAVLIQKYIFDGGAGKIGLETKNIVACTSFVVEQKLIKAWLADKDAEALRCQKLLVEEEEAAQRRLAELLERKRQKKLRHKEQKAKEQRQDEKVDVKECIDDTLEAVPQAEQSCPLAISDSDTLGSETLPDDVPSSLEPLLLPRTDEDVDLENQIVHGGERSKLQGNSHRHMVVTRWHAPSRSQRNSLSNVFHASQNSQAPKPGAMEKHGIQRDFKPGPMVNGNRKWSQKPKPEYYGECLKARVKKEVITEPEHEKKGEVLIGSISVTLGNCSHDESNNLDGAQDDCLVEHEISKKKNVQEKHNRPDSVQCGMNRPTVKLWRPVSRNGTKGLILVENGNRKCQPDGIDGKVEDQILFNNSSLRSCAMDDSFGGMENGSPLGDLNRGGLQFSSHEAKAFLAERWKRAIAAEHVKLALSPDYQVAANHSLDIRKQDVIGSAENQLVDVEAQESSTAGAAKAKCKTKPDKGVKLKYIPKQRSIT >Potri.019G043600.1.v4.1 pep chromosome:Pop_tri_v4:19:6037311:6039681:1 gene:Potri.019G043600.v4.1 transcript:Potri.019G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043600.v4.1 MASSPASLLFKVHRREPELIKPAKPTPHEFKLLSDIDDQEGLRFHIPVMQFYRNNPSMQGKDPVKIIREALAKTLVFYYPFAGRLREGPNRKLMVECTGEGILFIEADADVTLEQFGDALQPPFPCLEELLFDVPGSSGVLNCPLLLIQVTRLKCGGFLFALRLNHTMSDAVGLVQFMAAVGEMARGANAPSVPAVWERQVLNASDPPRVTCTHREYEEVADTKGTIIPLDDMAHRSFFFGPSEMSALRKFVPPHLSHCSTFEILTACLWKCRTIALQPDPTEEMRILCIVNAREKFNPPLPRGYYGNGFAFPVAVATAGELSKNPFGYALELVRKAKADVTEEYMRSVSSLMVIKGRPHFTVVRAYLVSDLRRAGFEEVDFGWGNAIYGGAAKGGVGAIPGVASFYIPFTNKKGENGVVVPFCLPAPAMERFVKELDGMLKDDQTVSSQTKSKFIVSSL >Potri.009G145600.2.v4.1 pep chromosome:Pop_tri_v4:9:11561292:11564965:1 gene:Potri.009G145600.v4.1 transcript:Potri.009G145600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G145600.v4.1 MEKQTIFIFSVLLLATLSLSHGSNDKERKAYIVYMGNAPKTNHISTADHHHGFLSAALGDEDVARRIRIHSYGKSFDAFAAHLLPEEAERLKRDKNVVSVFLRMPLSVERKPQVESSIVVGVLDTVYIDAPIFDDKGFGPPPSSWKGACQKGSNFTGCNNKVIGARSYDLENRRPDENTPVDDEGHGTHTSSTVAGISVEGASLYGLGQGTARGGVPSSRLAIYEVCYEDGCSDMDIMAAFDDAIQDGADMISLSVGGPVSDSFNDAIAIGSFHAMKKGILTSCAAGNEGPALASVGNVAPWILTVGASGMDRQFRTPLTIGNSIKTSGISVNTFTPKARMYPLTSAAQAWNDSVQIPDAAGYCFVETLDKNKVKGKIVLCKGGSDSDIKEMGGVGMIVASDDSLDTGFTLVLPAAIVNMNPYAVISKSKTVNVSAPFVASFSSRGPKLVSPTILKPDIVAPGIDILAAYSKLVTVTGEPIDNRVEVYNIISGTSMACPRATGAAAYIKTFHPEWSPAAIKSALMTTASEMKIGDLFAEWGSGAGQIDPTKALDPGLIYDLSEIDYIRFLCKASYSGTILSIVTGDQNTNCSSISPFGGHDALNYPSMNVQVENPHSSTTAAFIRTVTNVGPEKSTYKAVVKAPMDVKATVTPDTLVFNKVNEKKFFKVKVQGPPMEDTLVLSASLEWIDSNNHKVKSPIVISNMTFYFL >Potri.010G068200.1.v4.1 pep chromosome:Pop_tri_v4:10:9662080:9665347:1 gene:Potri.010G068200.v4.1 transcript:Potri.010G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYN MEDNKTTIINRLEAVKRNSGKSCSQIAEQTGLTNVYVAQLLRRQAQLNPDTAPSLRAALPELPEDLLQEMMRPPMRSYDPNLIQEPCVYRLNEAVMHFGESIKEIINEEFGDGIMSAIDFYCSVDKVKGVDGKDRVVVTFDGKYLPYTEQKSEHMVSRPRPH >Potri.003G195150.1.v4.1 pep chromosome:Pop_tri_v4:3:19749065:19755798:1 gene:Potri.003G195150.v4.1 transcript:Potri.003G195150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195150.v4.1 MVWIFTPGPNLKSTPKSNPSLEVAFLSSAVLLLKNKLEDAWTPHVSIFGEHMTSCSQFFFGQPKLLFELVQNAWTSRMDTVKQRIPVLNPISSAECLLQTLCSTFRNSTGHVLVQVRGHAYFTCVTNYSIINTQHCSADTSWNNGHSIAVSGSAPTITAAAPSEEIAKLTRISKGVSNGPRKETARFSEHTIRTRAPGLFSARAFERRRVL >Potri.003G195150.2.v4.1 pep chromosome:Pop_tri_v4:3:19749324:19755716:1 gene:Potri.003G195150.v4.1 transcript:Potri.003G195150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195150.v4.1 MVWIFTPGPNLKSTPKSNPSLEVAFLSSAVLLLKNKLEDAWTPHVSIFGEHMTSCSQFFFGQPKLLFELVQNAWTSRMDTVKQRIPVLNPISSAECLLQTLCSTFRNSTGHVLVQVRGHAYFTCVTNYSIINTIHCSADTSWNNGHSIAVSGSAPTITAAAPSEEIAKLTRISKGVSNGPRKETARFSEHTIRTRAPGLFSARAFERRRVL >Potri.001G309800.1.v4.1 pep chromosome:Pop_tri_v4:1:32047000:32051689:1 gene:Potri.001G309800.v4.1 transcript:Potri.001G309800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309800.v4.1 MASSHSTPTEAAKMEQIITEFFPKSLQIILESRSPFMSSRNFSGEQMVSSSPSSSLSSSSSVMPRDKWFNLALRECPAALDLWRQSYLEPMIVDVILVQRPPGRWDPVNYSPKREIVRNFLSKDQSPFYWNSDQEDLGCEGKNEKILERWVVQYEGRKSRDTGSGSRRLSNTLQICYKKSILLLRSLYATVRLLPAYKIFRDLNSCGQIPSYTLTHRMSSFVEPFTRKEDSEMQRFVFTPVDTSCGRLCLSVLYRSSLSDVSSESSTPMSSSQFIPDYVGSPLAEPLKRFPSLPVSHGSPSSLPFSRRHSWSYDLNKASPPSFCFSPSPTYLEKHGSISNPSSCRFQPMSLPPHPPEVPLAHKKNTSFDEYYPSPNYTPSPSPSPPIYIPGSHVSKALLRSESAPVSIPAVKHASSPLLSNKQNLPPSPPLKATRSGNPKTDRSTGLVHAGATVEKFFSLGKDDIRKYSSVKTSSNSSPQISLSRTSSRSYQDDFDDSEFPCAFFDVEYDDVMDPGRRPESFDKKGHQSDPLEPGGLFPVKKSQDAAVGALVRMLKKAPPLCQDFSGSVDLPPDSRSKTWSSNLQECNPISGASLGPQAAASGVASSGPVASKTTADAFEELQGYREMKKDLLLSQAGRSNTSANCAPMSKPTSSSGTGH >Potri.001G309800.2.v4.1 pep chromosome:Pop_tri_v4:1:32047003:32051702:1 gene:Potri.001G309800.v4.1 transcript:Potri.001G309800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309800.v4.1 MASSHSTPTEAAKMEQIITEFFPKSLQIILESRSPFMSSRNFSGEQMVSSSPSSSLSSSSSVMPRDKWFNLALRECPAALDLWRQSYLEPMIVDVILVQRPPGRWDPVNYSPKREIVRNFLSKDQSPFYWNSDQEDLGCEGKNEKILERWVVQYEGRKSRDTGSGSRRLSNTLQICYKKSILLLRSLYATVRLLPAYKIFRDLNSCGQIPSYTLTHRMSSFVEPFTRKEDSEMQRFVFTPVDTSCGRLCLSVLYRSSLSDVSSESSTPMSSSQFIPDYVGSPLAEPLKRFPSLPVSHGSPSSLPFSRRHSWSYDLNKASPPSFCFSPSPTYLEKHGSISNPSSCRFQPMSLPPHPPEVPLAHKKNTSFDEYYPSPNYTPSPSPSPPIYIPGSHVSKALLRSESAPVSIPAVKHASSPLLSNKQNLPPSPPLKATRSGNPKTDRSTGLVHAGATVEKFFSLGKDDIRKYSSVKTSSNSSPQISLSRTSSRSYQDDFDDSEFPCAFFDVEYDDVMDPGRRPESFDKKGHQSDPLEPGGLFPVKKSQDAAVGALVRMLKKAPPLCQDFSGSVDLPPDSRSKTWSSNLQECNPISGASLGPQAAASGVASSGPVASKTTADAFEELQGYREMKKDLLLSQAGRSNTSANCAPMSKPTSSSGTGH >Potri.002G205800.27.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.22.v4.1 pep chromosome:Pop_tri_v4:2:17093885:17097561:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.4.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097559:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHGSVSLVAFSFFANCLPPCMRERDKVFSFGFWRCVTAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.9.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.20.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.24.v4.1 pep chromosome:Pop_tri_v4:2:17093851:17096968:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.16.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHGSVSLVAFSFFANCLPPCMRERDKVFSFGFWRCVTAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.19.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097559:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLVGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.7.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17098299:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.13.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.2.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHGSVSLVAFSFFANCLPPCMRERDKVFSFGFWRCVTAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.8.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17098299:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.5.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17098299:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.25.v4.1 pep chromosome:Pop_tri_v4:2:17093883:17096967:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.23.v4.1 pep chromosome:Pop_tri_v4:2:17093996:17096987:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.18.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLVGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.26.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.10.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.6.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17098299:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.21.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097574:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.002G205800.17.v4.1 pep chromosome:Pop_tri_v4:2:17093578:17097555:1 gene:Potri.002G205800.v4.1 transcript:Potri.002G205800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205800.v4.1 MDVMTHSSTFLLPGNDHSSSVTYALVVLNQRLPRFTPILWDHGSVSLVAFSFFANCLPPCMRERDKVFSFGFWRCVTAQVRVCADGGANRVFDEMPLLFPRDDALDVRHRYKPDIIKGDMDSIRTEVLDFYTNLGTKVVDESHDQDTTDLHKCVAYIRDFAPNLDKSNLCILVAGALGGRFDHEAGNINVLYRFSTTRIILLSDDCLIYLLPRTHCHEIHIQSSVEGPHCGLIPIGMTSVSTTTTGLQWDLTNTEMRFGDLVSTSNLVQGEKITVQSSSDLLWTISIKKV >Potri.015G081601.1.v4.1 pep chromosome:Pop_tri_v4:15:10718168:10722908:-1 gene:Potri.015G081601.v4.1 transcript:Potri.015G081601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G081601.v4.1 MKMAAQNNRQFHLHHLYFLAIILTHVLSSNVFIESSSTMYPFSCSDQVQNCDSYLYHISEGLSIEQIASFYSVKSSNVEPITHGLKQDYLVSVPCTCKDVNGTQGYFYDTFYSVQSGDIFTNVTWVLYSGQAWEVPGVERLFIAGDMISVHLVCGCLEVEAKEIVTYTVQENDTLTGIAELLSAELTGIENLNERFTRNPNLIDVGWVLFVPREKNGIQAPKQGKQHNLAIILGTLSAVTLFSVCSLLLFLHRRNRNHKNRKEDPEVVNKAQSPTRTSLRTHFREKYIEDATFESERPIVYSLKEIDEATSQFDESRKIGAGGYGIVYIGTLKEREVAIKKMKSSRSKEFYSELKVLCKIHHINVVELLGYATGDNHLYLVYEYIQNGSLNDHLHDPLLKGHSPLSWLARAQIALDAARGIEYIHDHTKARYIHRDIKTSNILLDKGLGAKVADFGLARLVERSNEEDAVATRLVGTPGYIAPESVRELQMTSKTDVFSFGVVLAELITGQRALARDNGQPNKMKVLVAVMTAIFRDQDPETALEANIDENMKGSYPMDEVYKMAELSTHCMNEDPTNRPEMREIVQKLCKILMSSIEWEASLGGSSQVFTRLFDGR >Potri.004G097601.1.v4.1 pep chromosome:Pop_tri_v4:4:8411634:8412291:-1 gene:Potri.004G097601.v4.1 transcript:Potri.004G097601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097601.v4.1 MEGLESFDKAAWTKEMLHVFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGIGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNVVVTGAYAWAPSSGVPAGSDVDPGTSNADIAHDGLEEGSGDSEEDVIPDF >Potri.002G178000.1.v4.1 pep chromosome:Pop_tri_v4:2:13862579:13864630:1 gene:Potri.002G178000.v4.1 transcript:Potri.002G178000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178000.v4.1 MGCIEMDKERLTAEMAFKDSSSAVIKIRQHLPDFLQSVKLKYVRLGYGYSCNPATILMFLIIVPLFIATLVQFTGLELDRVYEFWRTQSLHEFHAATRLAGSAILLFLLALVCAKRSKPVYLVDFACYKPEDERKISVDSFLKMTEDLGVFEDETLRFQTRISTRSGLGDETYLPRGITSRPPNLSLEEARVEAESVMFGALDDLFSKTGVKPRDIGILIVNCSLFNPTPSLSSMIVNHYKLRTDIKSYNLGGMGCSAGLISIDLAKDLLRANPNTYAVVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAVLLSNKARDRVRSKYQLVHTVRTHKGADDKNYRCVYQREDDKGDIGVSLARELMAVAGDALKTNITTLGPLVLPLSEQFMFFVALLRRKLLKARIKPYIPDFKLAFEHFCIHAGGRAVLDELQKNLQLSDWHMEPSRMSLYRFGNTSSSSLWYELAYTEAKGRVVAGDRVWQIAFGSGFKCNSAVWKALREIPAGESKGNPWNDSIDWYPVKVPSA >Potri.016G098600.1.v4.1 pep chromosome:Pop_tri_v4:16:9946918:9949509:-1 gene:Potri.016G098600.v4.1 transcript:Potri.016G098600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098600.v4.1 MALFPLLLLILMVPSSSNAQWPPSPGYWPGSRFRSMSFYQGYRNLWGYSHQRVDQNALTIWLDSTSGSGFKSVKPFRSGYFGASIKLQPGYTAGVITAFYLSNSEAHPGYHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGRIIGREMKFHLWFDPTKTFHYYAILWSPKEIIFLVDDVPVRRYPRKSATTFPLRPMWVYGSIWDASSWATENGKYKADYRYQPFVASYTNFKASGCSAYSPAWCHPVSASPFRSGGLTRQQYRSMRWVQRHHMVYDYCKDYKRDHSLTPECWG >Potri.011G077200.1.v4.1 pep chromosome:Pop_tri_v4:11:8257374:8260453:-1 gene:Potri.011G077200.v4.1 transcript:Potri.011G077200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G077200.v4.1 MDVEDPQKLATEKTPNPNTEDDLEEGEIVAGGDDDSSLKKSVSLPYQPHPLEHQWTFWFDNPSAKSKQASWGSSLRSIFTFSTIEEFWSVYNNIHHPSKLAVGADFHCFKDKIEPKWEDPICANGGKWSVTLSKGKSDTFWLNTLLALIGEQFDHGDEICGAVVSVRARQEKIAIWTKNASNETAQVSIGKQWKEFLDYNETIGFIFHDDAKKDRNAKNRYSV >Potri.008G011300.1.v4.1 pep chromosome:Pop_tri_v4:8:566201:570495:1 gene:Potri.008G011300.v4.1 transcript:Potri.008G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011300.v4.1 MGIFEEMGFCNNLDFFSAPPGEMDVVPECEPEATIEEDYSDEEMDVDELERRMWRDRMLLRRLKEQSKNTEVVDNAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAISKYQADHAIPGKSEDCGPAASTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTGNEEWWPQQGLPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHLSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALSRKLYPDSCLPMSAGGSGSLIISDSSDYDVEGVDDEPNVEVEDCKPLDVNLFNMATAAGPRDRFMMPPVAPQIKGEHVETNMSFIQKRKQPAGEPHMMVDQKMYRCEYPQCPYNDSRFGFLDVTARNNHQMNCSYRTNTSQGFGMSNFQINSDKPAVFSLPFPQTKAAAPNQTPSFNVSGLGLPEDGKKSISDLMSFYDTNLQRDKNMNPGSANVIGDQNQQQLQEQKFQFHLDRRNVNFMGDQNLQQQQQQQKFQFQLDSRNANVIGGQNQQQQQKFQFQLDDSFYGQGAIMGNNITEVTSMPVNSSAFPSTEMQFDHCKAFDSAFDANVNDNVADFRFGSPFTMPPVDYSMDPMPKQDAGMWYV >Potri.008G011300.7.v4.1 pep chromosome:Pop_tri_v4:8:566338:570495:1 gene:Potri.008G011300.v4.1 transcript:Potri.008G011300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011300.v4.1 MGIFEEMGFCNNLDFFSAPPGEMDVVPECEPEATIEEDYSDEEMDVDELERRMWRDRMLLRRLKEQSKNTEVVDNAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIIPEKGKPVSGASDNLRGWWKEKVRFDRNGPAAISKYQADHAIPGKSEDCGPAASTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVAPPWWPTGNEEWWPQQGLPKDQGPPPYKKPHDLKKAWKVSVLTAVIKHLSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEEALSRKLYPDSCLPMSAGGSGSLIISDSSDYDVEGVDDEPNVEVEDCKPLDVNLFNMATAAGPRDRFMMPPVAPQIKGEHVETNMSFIQKRKQPAGEPHMMVDQKMYRCEYPQCPYNDSRFGFLDVTARNNHQMNCSYRTNTSQGFGMSNFQINSDKPAVFSLPFPQTKAAAPNQTPSFNVSGLGLPEDGKKSISDLMSFYDTNLQRDKNMNPGSANVIGDQNQQQLQEQKFQFHLDRRNVNFMGDQNLQQQQQQQKFQFQLDSRNANVIGGQNQQQQQKFQFQLDDSFYGQGAIMGNNITEVTSMPVNSSAFPSTEMQFDHCKAFDSAFDANVNDNVADFRFGSPFTMPPVDYSMDPMPKQDAGMWYV >Potri.012G118600.2.v4.1 pep chromosome:Pop_tri_v4:12:13693274:13697584:1 gene:Potri.012G118600.v4.1 transcript:Potri.012G118600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118600.v4.1 MASLHQMLSEEGFEHRKFLRNRDRLTRPEESVILPIHICHDQKRFQSPKQKTDMGSTRKGSSISSRRVSSDTERLQSKSLLKGEEPAIDVIAIRAVVSILSGYIARYIKDVSFREVIREKCNSCLVRRSKGSDDGIFVNMEVGMESIEKLVEEKGTRKEVKMESLKNSIQLLNIVASLNSKKSRKGSTCGVPNSHLSACAQLYLSIVYKLEKNDRISARHLLYVFCDSPFLARTHLLPDLWEHFLLPHLLHLKVWYHEELEALSDSQHVEKERRMKALSKVYNDHMDMGTIQFALYYNEWLKVGAKAPSVPAVPLPSRPSYATSMRKSSDSYKSRSSINTNLYRAVFGPTLERQSKDFDSRNRASMDTWSIEEDKVCIDEYKDCSYATNNKTRTTRRPSSKNYVISNHDIWHEPLKSELFRLFSCRSVSSECLGNGNIIVRSNSIRNEATTHLPPIDLSRAISTICSSDSLTECETAIRVTAKAWLDSIGSNVIEGALSKAPVIEGLLEVLFASTDDKVLELAISILAELVVRNEANRLIVLNSDPQLEIFMKLLKSNSLFLKVAVLLYLLKPKAKQMISIEWVALVLRVLEFGGQLQTLFTVRCMPEKAAMYFLGQLLTGFDEDRNLENASQVVALGGLSFLVRTFEVGDIIERNHAATLMSCCIRANGSSRNYLAENLNKDSLLQLIVLGIQKKFKGCVFTLLADLLCLSRRTWIIKFLTGLGNGWGGLNTMHIFLVYLQRASPEERPLVAAVLLQLDLMGDLSQSNLYREEAVEAITESLECHNCSTKVQEQSAKALLMLGGCFSYSGEASAEEWLLRQAGFHERLRGSFQRKEIVDGNLNEEEDPMEDWQRKVAVVLLNSGGKRFLSALSNSIANGIPILVQSSLFTVAWMRRILLPVRNENSYSTTTPQLTESPHYDRALNGRMNPSFSQQHLIKNSESLSMLSTLNKELIDPLRNPL >Potri.012G118600.1.v4.1 pep chromosome:Pop_tri_v4:12:13693310:13697553:1 gene:Potri.012G118600.v4.1 transcript:Potri.012G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118600.v4.1 MASLHQMLSEEGFEHRKFLRNRDRLTRPEESVILPIHICHDQKRFQSPKQKTDMGSTRKGSSISSRRVSSDTERLQSKSLLKGEEPAIDVIAIRAVVSILSGYIARYIKDVSFREVIREKCNSCLVRRSKGSDDGIFVNMEVGMESIEKLVEEKGTRKEVKMESLKNSIQLLNIVASLNSKKSRKGSTCGVPNSHLSACAQLYLSIVYKLEKNDRISARHLLYVFCDSPFLARTHLLPDLWEHFLLPHLLHLKVWYHEELEALSDSQHVEKERRMKALSKVYNDHMDMGTIQFALYYNEWLKVGAKAPSVPAVPLPSRPSYATSMRKSSDSYKSRSSINTNFRYRAVFGPTLERQSKDFDSRNRASMDTWSIEEDKVCIDEYKDCSYATNNKTRTTRRPSSKNYVISNHDIWHEPLKSELFRLFSCRSVSSECLGNGNIIVRSNSIRNEATTHLPPIDLSRAISTICSSDSLTECETAIRVTAKAWLDSIGSNVIEGALSKAPVIEGLLEVLFASTDDKVLELAISILAELVVRNEANRLIVLNSDPQLEIFMKLLKSNSLFLKVAVLLYLLKPKAKQMISIEWVALVLRVLEFGGQLQTLFTVRCMPEKAAMYFLGQLLTGFDEDRNLENASQVVALGGLSFLVRTFEVGDIIERNHAATLMSCCIRANGSSRNYLAENLNKDSLLQLIVLGIQKKFKGCVFTLLADLLCLSRRTWIIKFLTGLGNGWGGLNTMHIFLVYLQRASPEERPLVAAVLLQLDLMGDLSQSNLYREEAVEAITESLECHNCSTKVQEQSAKALLMLGGCFSYSGEASAEEWLLRQAGFHERLRGSFQRKEIVDGNLNEEEDPMEDWQRKVAVVLLNSGGKRFLSALSNSIANGIPILVQSSLFTVAWMRRILLPVRNENSYSTTTPQLTESPHYDRALNGRMNPSFSQQHLIKNSESLSMLSTLNKELIDPLRNPL >Potri.015G006700.14.v4.1 pep chromosome:Pop_tri_v4:15:390269:396708:-1 gene:Potri.015G006700.v4.1 transcript:Potri.015G006700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006700.v4.1 MEATAGVAAASRGGSLSRKEWRAVTEQQHRNGGGGDENLEQSKLGQSDERTIYEHRRGPADVDFCSITVDEGLDDDILQQRIHSIARQREELQQLETELRAQVIARSEIMEMQNRFHAQIQEHEDAAAKLQEQLHERGQTIHDLDRRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQLRDLQEHIQDKERQILELQEQHRADQETIYLKDEQLKVWIARVQEMDALQSNANHSLQAELRERTEQYNQLWLGCQRQFAEMERIHMHTIQQLQLELADARGRSGSYTDESHLSQSNPKDVSSFSQNNGRQLDVNGTTASNANNGALQNGNADNALSFASTVNVPNQTSHAAGVPMAPTSLLGMPTYLPSGQVAALHPFILHQQGIHHSMTSHAPQSHAGHFHSIPAMSSLPQWQNQQAVTESAQLSTQNQLASSQTDHDPMRSDVKYDYERPVNGHNFHPDYLDVHISQGAEPDPVILSSTGESQVLESIDRSYLVTPQPEQSLQEISSQFSDALRLNTLEQTIEMKDQNVLNFNNQGLEGQALTEEQASSAASASLSETSVHSVNASETTINNGTGAVSSKAFISSDQTTVVTGGKTSDNTLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLSPLHWHDYKRKYGKLDDFVGGHPELFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAAAASPYSSFLPSVAVTPMAQSHRLKKAPSIESKSSNGVNFGVAKGISNVKILSISKDSHELNRQDFDRSGVSSTQSKGSIHGTTNSIYSGKQQSRTTGAALTSRR >Potri.015G006700.13.v4.1 pep chromosome:Pop_tri_v4:15:390413:396788:-1 gene:Potri.015G006700.v4.1 transcript:Potri.015G006700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006700.v4.1 MEATAGVAAASRGGSLSRKEWRAVTEQQHRNGGGGDENLEQSKLGQSDERTIYEVQHRRGPADVDFCSITVDEGLDDDILQQRIHSIARQREELQQLETELRAQVIARSEIMEMQNRFHAQIQEHEDAAAKLQEQLHERGQTIHDLDRRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQLRDLQEHIQDKERQILELQEQHRADQETIYLKDEQLKVWIARVQEMDALQSNANHSLQAELRERTEQYNQLWLGCQRQFAEMERIHMHTIQQLQLELADARGRSGSYTDESHLSQSNPKDVSSFSQNNGRQLDVNGTTASNANNGALQNGNADNALSFASTVNVPNQTSHAAGVPMAPTSLLGMPTYLPSGQVAALHPFILHQQGIHHSMTSHAPQSHAGHFHSIPAMSSLPQWQNQQAVTESAQLSTQNQLASSQTDHDPMRSDVKYDYERPVNGHNFHPDYLDVHISQGAEPDPVILSSTGESQVLESIDRSYLVTPQPEQSLQEISSQFSDALRLNTLEQTIEMKDQNVLNFNNQGLEGQALTEEQASSAASASLSETSVHSVNASETTINNGTGAVSSKAFISSDQTTVVTGGKTSDNTLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLSPLHWHDYKRKYGKLDDFVGGHPELFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAAAASPYSSFLPSVAVTPMAQSHRLKKAPSIESKSSNGVNFGVAKGISNVKILSISKDSHELNRQDFDRSGVSSTQSKGSIHGTTNSIYSGKQQSRTTGAALTSRR >Potri.015G006700.1.v4.1 pep chromosome:Pop_tri_v4:15:390269:396704:-1 gene:Potri.015G006700.v4.1 transcript:Potri.015G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006700.v4.1 MEATAGVAAASRGGSLSRKEWRAVTEQQHRNGGGGDENLEQSKLGQSDERTIYEVQHRRGPADVDFCSITVDEGLDDDILQQRIHSIARQREELQQLETELRAQVIARSEIMEMQNRFHAQIQEHEDAAAKLQEQLHERGQTIHDLDRRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQLRDLQEHIQDKERQILELQEQHRADQETIYLKDEQLKVWIARVQEMDALQSNANHSLQAELRERTEQYNQLWLGCQRQFAEMERIHMHTIQQLQLELADARGRSGSYTDESHLSQSNPKDVSSFSQNNGRQLDVNGTTASNANNGALQNGNADNALSFASTVNVPNQTSHAAGVPMAPTSLLGMPTYLPSGQVAALHPFILHQQGIHHSMTSHAPQSHAGHFHSIPAMSSLPQWQNQQAVTESAQLSTQNQLASSQTDHDPMRSDVKYDYERPVNGHNFHPDYLDVHISQGAEPDPVILSSTGESQVLESIDRSYLVTPQPEQSLQEISSQFSDALRLNTLEQTIEMKDQNVLNFNNQGLEGQALTEEQASSAASASLSETSVHSVNASETTINNGTGAVSSKAFISSDQTTVVTGGKTSDNTLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLSPLHWHDYKRKYGKLDDFVGGHPELFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAAAASPYSSFLPSVAVTPMAQSHRLKKAPSIESKSSNGVNFGVAKGISNVKILSISKDSHELNRQDFDRSGVSSTQSKGSIHGTTNSIYSGKQQSRTTGAALTSRR >Potri.015G006700.17.v4.1 pep chromosome:Pop_tri_v4:15:390267:395576:-1 gene:Potri.015G006700.v4.1 transcript:Potri.015G006700.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006700.v4.1 MEMQNRFHAQIQEHEDAAAKLQEQLHERGQTIHDLDRRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQLRDLQEHIQDKERQILELQEQHRADQETIYLKDEQLKVWIARVQEMDALQSNANHSLQAELRERTEQYNQLWLGCQRQFAEMERIHMHTIQQLQLELADARGRSGSYTDESHLSQSNPKDVSSFSQNNGRQLDVNGTTASNANNGALQNGNADNALSFASTVNVPNQTSHAAGVPMAPTSLLGMPTYLPSGQVAALHPFILHQQGIHHSMTSHAPQSHAGHFHSIPAMSSLPQWQNQQAVTESAQLSTQNQLASSQTDHDPMRSDVKYDYERPVNGHNFHPDYLDVHISQGAEPDPVILSSTGESQVLESIDRSYLVTPQPEQSLQEISSQFSDALRLNTLEQTIEMKDQNVLNFNNQGLEGQALTEEQASSAASASLSETSVHSVNASETTINNGTGAVSSKAFISSDQTTVVTGGKTSDNTLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLSPLHWHDYKRKYGKLDDFVGGHPELFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAAAASPYSSFLPSVAVTPMAQSHRLKKAPSIESKSSNGVNFGVAKGISNVKILSISKDSHELNRQDFDRSGVSSTQSKGSIHGTTNSIYSGKQQSRTTGAALTSRR >Potri.015G006700.16.v4.1 pep chromosome:Pop_tri_v4:15:390405:396587:-1 gene:Potri.015G006700.v4.1 transcript:Potri.015G006700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006700.v4.1 MEATAGVAAASRGGSLSRKEWRAVTEQQHRNGGGGDENLEQSKLGQSDERTIYEHRRGPADVDFCSITVDEGLDDDILQQRIHSIARQREELQQLETELRAQVIARSEIMEMQNRFHAQIQEHEDAAAKLQEQLHERGQTIHDLDRRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQLRDLQEHIQDKERQILELQEQHRADQETIYLKDEQLKVWIARVQEMDALQSNANHSLQAELRERTEQYNQLWLGCQRQFAEMERIHMHTIQQLQLELADARGRSGSYTDESHLSQSNPKDVSSFSQNNGRQLDVNGTTASNANNGALQNGNADNALSFASTVNVPNQTSHAAGVPMAPTSLLGMPTYLPSGQVAALHPFILHQQGIHHSMTSHAPQSHAGHFHSIPAMSSLPQWQNQQAVTESAQLSTQNQLASSQTDHDPMRSDVKYDYERPVNGHNFHPDYLDVHISQGAEPDPVILSSTGESQVLESIDRSYLVTPQPEQSLQEISSQFSDALRLNTLEQTIEMKDQNVLNFNNQGLEGQALTEEQASSAASASLSETSVHSVNASETTINNGTGAVSSKAFISSDQTTVVTGGKTSDNTLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLSPLHWHDYKRKYGKLDDFVGGHPELFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAAAASPYSSFLPSVAVTPMAQSHRLKKAPSIESKSSNGVNFGVAKGISNVKILSISKDSHELNRQDFDRSGVSSTQSKGSIHGTTNSIYSGKQQSRTTGAALTSRR >Potri.015G006700.15.v4.1 pep chromosome:Pop_tri_v4:15:390270:396704:-1 gene:Potri.015G006700.v4.1 transcript:Potri.015G006700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006700.v4.1 MEATAGVAAASRGGSLSRKEWRAVTEQQHRNGGGGDENLEQSKLGQSDERTIYEHRRGPADVDFCSITVDEGLDDDILQQRIHSIARQREELQQLETELRAQVIARSEIMEMQNRFHAQIQEHEDAAAKLQEQLHERGQTIHDLDRRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRRERDHSEAERAQHIQQLRDLQEHIQDKERQILELQEQHRADQETIYLKDEQLKVWIARVQEMDALQSNANHSLQAELRERTEQYNQLWLGCQRQFAEMERIHMHTIQQLQLELADARGRSGSYTDESHLSQSNPKDVSSFSQNNGRQLDVNGTTASNANNGALQNGNADNALSFASTVNVPNQTSHAAGVPMAPTSLLGMPTYLPSGQVAALHPFILHQQGIHHSMTSHAPQSHAGHFHSIPAMSSLPQWQNQQAVTESAQLSTQNQLASSQTDHDPMRSDVKYDYERPVNGHNFHPDYLDVHISQGAEPDPVILSSTGESQVLESIDRSYLVTPQPEQSLQEISSQFSDALRLNTLEQTIEMKDQNVLNFNNQGLEGQALTEEQASSAASASLSETSVHSVNASETTINNGTGAVSSKAFISSDQTTVVTGGKTSDNTLLDERSLLACIVRTIPAGGRIRINSTLPNRLGKMLSPLHWHDYKRKYGKLDDFVGGHPELFLIEGDYIQLREGAQEMIAATAAVAKVAAAAAAAASPYSSFLPSVAVTPMAQSHRLKKAPSIESKSSNGVNFGVAKGISNVKILSISKDSHELNRQDFDRSGVSSTQSKGSIHGTTNSIYSGKQQSRTTGAALTSRR >Potri.015G012200.2.v4.1 pep chromosome:Pop_tri_v4:15:765224:770412:1 gene:Potri.015G012200.v4.1 transcript:Potri.015G012200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012200.v4.1 MEDLPLPSLFDQASKIHLKATESGSNDKELVKKGIEALENCEEMISKLGLFSSNETKEDISTTNLKYILVPFYLAELTENIAQDDRIQILKASQAKLKEFLSFCEAMELVPEEELQTFSQGTPNTFADRRALKIARYRRQIAAEAKLEKMKEQKERRFHSTKAAAVSTLVEAGEEDLLDDDGEEEREAWIITISLAICKAIDLLEMLKKEEEMLSAVKERQLKGGDKAFSQMILDERAKKAEDWHRDAAVKARYTQPAPPITCATFAQDVLEGRAKVSEAHDHKHLPVTFGPASIVGGSLSNERERMTAQVFQPGHRLPTMSIEEAGLKEMEIMNKWQERNVKFMEEANSAWYKDNQKLKPSEEEEDEDDDDAAVEKARAFDDWKDDHPRGAGNKKLTPCG >Potri.010G074300.1.v4.1 pep chromosome:Pop_tri_v4:10:10220436:10224180:-1 gene:Potri.010G074300.v4.1 transcript:Potri.010G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074300.v4.1 MAAMLKALAPGLLLIFLFLISASANDNEFSRCNCEDEGSLWSIDSILESQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLINGMTYGPHTFQLMLALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEIRTQMDLTHELNRGNYLSSDNLSIPITDPDVLRIKQSEAVNMLRPDSALAAASHGESGEPGPVAAIRMPTLRVCNFKGGTPEIIEACYAILVLVLPGGQPRSWTNQEVEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQARKNAMMASKARGAFQKVMSDGMKRPMHSILGLISMIQDGNLSGEQRIIVDAMMRTSNVLSTLINDVIEISTKDSGRFPLEIRSFGLHAMIKEAACLAKCLCVYRGFCFSIEVDKSLPDHVMGDERRVFQVILHMVGNLLDHNNGGGSAVLRVFSENGSQERNDQKWTAWRQSISDGDVYIRFEFAINSSVSESEGSTSMSQLSGKRYASDGVEEGLSFSICKKLVHLMQGKIWMVPNSQGLAESMGFVLRFQLRPSISIAISESGESSEHPHSNSLFRGLQVLLADADDLNRAVTRRLLEKLGCSVATVSSGFDCLSAIGPAASSFQIVLLDLQMPELDGFEIAVRIRKFRSRSWPLIIALTASSDDEVWDKCKQIGINGVIRKPVVLQGIANELRRVVLLANKAV >Potri.010G074300.4.v4.1 pep chromosome:Pop_tri_v4:10:10219406:10225035:-1 gene:Potri.010G074300.v4.1 transcript:Potri.010G074300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074300.v4.1 MAAMLKALAPGLLLIFLFLISASANDNEFSRCNCEDEGSLWSIDSILESQRVSDFLIAVAYFSIPIELLYFVSCSNVPFKWVLFEFIAFIVLCGLTHLINGMTYGPHTFQLMLALTVFKILTALVSCATAITLFTLIPLLLKVKVREFMLKKKAWDLGREVGIIMKQKEAGLHVRMLTQEIRKSLDRHTILYTTLVELSKTLGLQNCAVWMPNEIRTQMDLTHELNRGNYLSSDNLSIPITDPDVLRIKQSEAVNMLRPDSALAAASHGESGEPGPVAAIRMPTLRVCNFKGGTPEIIEACYAILVLVLPGGQPRSWTNQEVEIIKVVADQVAVALSHAAVLEESQLMREKLEEQNRALQQARKNAMMASKARGAFQKVMSDGMKRPMHSILGLISMIQDGNLSGEQRIIVDAMMRTSNVLSTLINDVIEISTKDSGRFPLEIRSFGLHAMIKEAACLAKCLCVYRGFCFSIEVDKSLPDHVMGDERRVFQVILHMVGNLLDHNNGGGSAVLRVFSENGSQERNDQKWTAWRQSISDGDVYIRFEFAINSSVSESEGSTSMSQLSGKRYASDGVEEGLSFSICKKLVHLMQGKIWMVPNSQGLAESMGFVLRFQLRPSISIAISESGESSEHPHSNSLFRGLQVLLADADDLNRAVTRRLLEKLGCSVATVSSGFDCLSAIGPAASSFQIVLLDLQMPELDGFEIAVRIRKFRSRSWPLIIALTASSDDEVWDKCKQIGINGVIRKPVVLQGIANELRRVVLLANKAV >Potri.018G067200.1.v4.1 pep chromosome:Pop_tri_v4:18:8088487:8092376:1 gene:Potri.018G067200.v4.1 transcript:Potri.018G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067200.v4.1 MSFNNTRHQATKIRFNDEEEEEESSNLDDSIVATEKSIAIDDVAMQDPDVSFVDGCDDDKTSADYYFDSYSHFGIHEEMLKDVVRTKTYQNVIYQNKFLFKDKVVLDVGAGTGILSLFCAKAGAAHVYAVECSHMANMAKEIVESNGYSNVITVLKGKIEEIELPVAKVDIIISEWMGYFLLFENMLNSVLYTRDKWLVNDGIVLPDQTSLYLTAIEDAEYKEDKIEFWNNVYGFDMTCIKKQAMGEPLVDTVDQNQIVTNCQKLKTMDISKMVSGDTSFTAPFKLVAERDDFIHALVAYFDVSFTKCHKFTGFSTGPRSRATHWKQTVLYLEDVLTICQGEALSGSMTVAPNKKNPRDIDIMIKYSLNGRRCVASRTQHYKMR >Potri.006G086500.4.v4.1 pep chromosome:Pop_tri_v4:6:6486975:6492093:1 gene:Potri.006G086500.v4.1 transcript:Potri.006G086500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086500.v4.1 MNGESSHARKIFKGKVEGLTERKPEAELVKSSSIESGTLLVKDGSEDAMDLDAGSIGHASVESNVKASLFKDCIGLCPFSRPCADVEVVSRDDDGKSSGCSQYGAMPKTSRPLSYTETRRMSNLTASRHWRAAPISKSGGYFRTDWKTKPPFRNGRTSYSCQRSQKIFPFKKRKFFDQSTLPTSDGAFHCEDIFNSSNKRTNGNDMVSATEASSSVKGQHASLDSRDCNVKLSIKSFKVPELFIEIPATATVGSLKRTVMEAITSILGDGLHVGILLQGKKVRDDNKTLLQTGISEDDKHSNLGFMLESRHAKMMSPPHTKNPSNLPFSAPGGITRHTTSLMLQEGTSHASSVPAETNFSSSVGSDLGAVCSLAKASTADKMSDSRALVPVPAIGRGALSVVPFNCKSMHPEFGQRRIRRPFTVSEVEALVQAVERLGTGRWRDVKLHAFDKANHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQEVLDRVLAAHAYWSYRRQLVKAEV >Potri.006G086500.1.v4.1 pep chromosome:Pop_tri_v4:6:6486979:6492085:1 gene:Potri.006G086500.v4.1 transcript:Potri.006G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086500.v4.1 MVFQRRLDYGFDGYQVPVVPRASRSARGRGPIRKKSENNQKHAFEILASVAGEILQEEETSAPTNTACGKDLCNVKNTIQQEQVDRGKFLIIEPLLGEPCNEKAFACIPELQGHWHGNALNKFLHNQESFNSQGSFASERFDYPETIYVAEKLVVVNSKNAGGSSSCEMNGESSHARKIFKGKVEGLTERKPEAELVKSSSIESGTLLVKDGSEDAMDLDAGSIGHASVESNVKASLFKDCIGLCPFSRPCADVEVVSRDDDGKSSGCSQYGAMPKTSRPLSYTETRRMSNLTASRHWRAAPISKSGGYFRTDWKTKPPFRNGRTSYSCQRSQKIFPFKKRKFFDQSTLPTSDGAFHCEDIFNSSNKRTNGNDMVSATEASSSVKGQHASLDSRDCNVKLSIKSFKVPELFIEIPATATVGSLKRTVMEAITSILGDGLHVGILLQGKKVRDDNKTLLQTGISEDDKHSNLGFMLESRHAKMMSPPHTKNPSNLPFSAPGGITRHTTSLMLQEGTSHASSVPAETNFSSSVGSDLGAVCSLAKASTADKMSDSRALVPVPAIGRGALSVVPFNCKSMHPEFGQRRIRRPFTVSEVEALVQAVERLGTGRWRDVKLHAFDKANHRTYVDLKDKWKTLVHTARISPQQRRGEPVPQEVLDRVLAAHAYWSYRRQLVKAEV >Potri.014G131300.1.v4.1 pep chromosome:Pop_tri_v4:14:8792206:8795509:-1 gene:Potri.014G131300.v4.1 transcript:Potri.014G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131300.v4.1 MDATQRPQDVITVDVHAAKGLIASGHRYLDVRTAEEFNKSHVDNALNVPFMFKTDEGRVKNPEFLSKVASICSKDDYLVVGCNSGGRSLRACIDLLGAGFEHVTNMEGGYSAWVDSGFAGDKPAEELKTFCKFRP >Potri.016G081900.2.v4.1 pep chromosome:Pop_tri_v4:16:6307469:6310040:-1 gene:Potri.016G081900.v4.1 transcript:Potri.016G081900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G081900.v4.1 MSICCHLQISRVSSATFLVSLIFLIYFPGIVLPAVVTLDSMKIYRTHEWLNVEPTIYFSCKGENRTALPDVKQVNVSYTFKGEESWQPLTEFTSLKCKRCGFYEKDDFKSDDVFDEWEFCPSDFAGSDGKNERIIHGEFDATFLCPQCVPLAADSNSASKPHKKGNETHLAVVILISALVSTVFVLGVVAAYKYWQKKKREQDQARFLKLFEDGDDIEDELGLGTVL >Potri.009G159200.5.v4.1 pep chromosome:Pop_tri_v4:9:12347466:12350523:-1 gene:Potri.009G159200.v4.1 transcript:Potri.009G159200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159200.v4.1 MSMFDEMGFCGDIDFFCAPLVEGDVAAPQAEPEATVDDDYSDEEIDVDELERRMWRDKMRLKRLKEQTKSKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIITEKGKPVTGASDNLREWWKDKVRFDRNGPAAITKYQADNSIPGKNEGSNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPSGNEKWWPQLGLPEDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPDSCPPLSSSAGSGSLVINDCSEYDVEGAEDEPDFDGQECKPETLTYSNLGMERMRERLPLRQQPYPIKGEVISSMDFIQKRKPSSDINMMVDQRIYTCEAVQCPYSQIRLGFPDRVSRDNHQLNCPFRSTLLEFGRSNFHINEVKPVIFPQPSVQSKPAAPLVNPAPPSFDLSGVPEDGQKMISELMSIYDTNIQGNKNTNPVNNLVTGGHHVFQPKIQHQQDNHNVFQPKIQHQQGNHFRSQGNVIDGNVFKESNINSNHQLFSQEGGQFDRFKPLNSPFETSQNNSSFNLMFSSPLDLSSFEYKEDLQGLGMDSLPKHQQDVSIWF >Potri.009G159200.1.v4.1 pep chromosome:Pop_tri_v4:9:12347465:12350630:-1 gene:Potri.009G159200.v4.1 transcript:Potri.009G159200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159200.v4.1 MSMFDEMGFCGDIDFFCAPLVEGDVAAPQAEPEATVDDDYSDEEIDVDELERRMWRDKMRLKRLKEQTKSKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIITEKGKPVTGASDNLREWWKDKVRFDRNGPAAITKYQADNSIPGKNEGSNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPSGNEKWWPQLGLPEDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPDSCPPLSSSAGSGSLVINDCSEYDVEGAEDEPDFDGQECKPETLTYSNLGMERMRERLPLRQQPYPIKGEVISSMDFIQKRKPSSDINMMVDQRIYTCEAVQCPYSQIRLGFPDRVSRDNHQLNCPFRSTLLEFGRSNFHINEVKPVIFPQPSVQSKPAAPLVNPAPPSFDLSGVPEDGQKMISELMSIYDTNIQGNKNTNPVNNLVTGGHHVFQPKIQHQQDNHNVFQPKIQHQQGNHFRSQGNVIDGNVFKESNINSNHQLFSQEGGQFDRFKPLNSPFETSQNNSSFNLMFSSPLDLSSFEYKEDLQGLGMDSLPKHQQDVSIWF >Potri.009G159200.4.v4.1 pep chromosome:Pop_tri_v4:9:12347463:12351008:-1 gene:Potri.009G159200.v4.1 transcript:Potri.009G159200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159200.v4.1 MSMFDEMGFCGDIDFFCAPLVEGDVAAPQAEPEATVDDDYSDEEIDVDELERRMWRDKMRLKRLKEQTKSKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIITEKGKPVTGASDNLREWWKDKVRFDRNGPAAITKYQADNSIPGKNEGSNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPSGNEKWWPQLGLPEDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPDSCPPLSSSAGSGSLVINDCSEYDVEGAEDEPDFDGQECKPETLTYSNLGMERMRERLPLRQQPYPIKGEVISSMDFIQKRKPSSDINMMVDQRIYTCEAVQCPYSQIRLGFPDRVSRDNHQLNCPFRSTLLEFGRSNFHINEVKPVIFPQPSVQSKPAAPLVNPAPPSFDLSGVPEDGQKMISELMSIYDTNIQGNKNTNPVNNLVTGGHHVFQPKIQHQQDNHNVFQPKIQHQQGNHFRSQGNVIDGNVFKESNINSNHQLFSQEGGQFDRFKPLNSPFETSQNNSSFNLMFSSPLDLSSFEYKEDLQGLGMDSLPKHQQDVSIWF >Potri.009G159200.3.v4.1 pep chromosome:Pop_tri_v4:9:12347461:12351020:-1 gene:Potri.009G159200.v4.1 transcript:Potri.009G159200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159200.v4.1 MSMFDEMGFCGDIDFFCAPLVEGDVAAPQAEPEATVDDDYSDEEIDVDELERRMWRDKMRLKRLKEQTKSKEGIDIAKQRQSQEQARRKKMSRAQDGILKYMLKMMEVCKAQGFVYGIITEKGKPVTGASDNLREWWKDKVRFDRNGPAAITKYQADNSIPGKNEGSNPIGPTPHTLQELQDTTLGSLLSALMQHCDPPQRRFPLEKGVSPPWWPSGNEKWWPQLGLPEDQGPPPYKKPHDLKKAWKVGVLTAVIKHMSPDIAKIRKLVRQSKCLQDKMTAKESATWLAIINQEESLARELYPDSCPPLSSSAGSGSLVINDCSEYDVEGAEDEPDFDGQECKPETLTYSNLGMERMRERLPLRQQPYPIKGEVISSMDFIQKRKPSSDINMMVDQRIYTCEAVQCPYSQIRLGFPDRVSRDNHQLNCPFRSTLLEFGRSNFHINEVKPVIFPQPSVQSKPAAPLVNPAPPSFDLSGVPEDGQKMISELMSIYDTNIQGNKNTNPVNNLVTGGHHVFQPKIQHQQDNHNVFQPKIQHQQGNHFRSQGNVIDGNVFKESNINSNHQLFSQEGGQFDRFKPLNSPFETSQNNSSFNLMFSSPLDLSSFEYKEDLQGLGMDSLPKHQQDVSIWF >Potri.011G024100.2.v4.1 pep chromosome:Pop_tri_v4:11:1806085:1808505:-1 gene:Potri.011G024100.v4.1 transcript:Potri.011G024100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024100.v4.1 MDFQMMRVQSLSEGGIAEVPAQYIQPPEERPPISDDLTIIDNSDEENVPMIDVFGFDSEQRDTVCKLIGDACAQWGAFHITNHGVPFELLDQIRGVGLSFFKDCPDKDKLKYACDPNSPASQGYGSKMLRPEAEAEAEAEAETSTVLDWRDYFDHHTLPLSRRDPSRWPHFPSNYRQVVAHYSDELKLVAQKLLGLISESLGLPTSCIEDAVGEFYQNITISYYPACPQPHLTLGLQSHSDMGAITLLIQDHVGGLQIFKDSRWITVHPQSHAILVILADQMEIITNGKYRSAQHRAITNSSSPRLSVATFHDPAKTVKISPAFELTSNSSPPKYREVKYGDYVSSWYTKGPKGKRNIDALLINS >Potri.011G024100.1.v4.1 pep chromosome:Pop_tri_v4:11:1806151:1808505:-1 gene:Potri.011G024100.v4.1 transcript:Potri.011G024100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024100.v4.1 MDFQMMRVQSLSEGGIAEVPAQYIQPPEERPPISDDLTIIDNSDEENVPMIDVFGFDSEQRDTVCKLIGDACAQWGAFHITNHGVPFELLDQIRGVGLSFFKDCPDKDKLKYACDPNSPASQGYGSKMLRPEAEAEAEAEAETSTVLDWRDYFDHHTLPLSRRDPSRWPHFPSNYRQVVAHYSDELKLVAQKLLGLISESLGLPTSCIEDAVGEFYQNITISYYPACPQPHLTLGLQSHSDMGAITLLIQDHVGGLQIFKDSRWITVHPQSHAILVILADQMEIITNGKYRSAQHRAITNSSSPRLSVATFHDPAKTVKISPAFELTSNSSPPKYREVKYGDYVSSWYTKGPKGKRNIDALLINS >Potri.004G150400.1.v4.1 pep chromosome:Pop_tri_v4:4:17220871:17224035:1 gene:Potri.004G150400.v4.1 transcript:Potri.004G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150400.v4.1 MAMSLQLCRVPLRSYLSSDNRIPPRRRITTVFFRCAGGDGSTSSDSSESGFDAKVFRHNLTRGKNYNRRGFGHKEETLELMNREYTSDIIEMLKENGNQYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFRDEKIWITNEIIHNPTVNKRLEEMEVENLPVEEGKKRFEVVNGGDVVILPAFGAAVDEMFTLSNKNIQIVDTTCPWVSKVWNAVEKQKKGDYTSIIHGKYAHEETVATASFAGKYIVVKNMKEAMYVCDYVLGGGLNGSSSTREEFLEKFKKAVSKGFDPDSYLVKVGIANQTTMLKGETEEIGKLVERTMMRKYGVENINDHFISFNTICDATQVRQDAMYNLVEEKLDLMLVVGGWNSSNTSHLQEIAELRGIPSYWIDSEQRIGPGNRIAYKLNHGELAEKENWLPEGPITIGVTSGASTPDKVVGEALVKVLGISGEEGLQLA >Potri.003G152200.1.v4.1 pep chromosome:Pop_tri_v4:3:16509598:16515231:-1 gene:Potri.003G152200.v4.1 transcript:Potri.003G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152200.v4.1 MDRTTLSANLKDANNQKVKKRKNRECTKYAKKTKMIQHSLRAIGEEIEENEEENGSILQMLQRKQLEIKQQEADFCDSQNKIITAHENCVGALLQELLVQYLMAGSNQLEIAQCVMFSPNANSDDRIPSEDGIVELKHRSKMSVKQIEVLCKILTG >Potri.013G000500.1.v4.1 pep chromosome:Pop_tri_v4:13:38841:40147:-1 gene:Potri.013G000500.v4.1 transcript:Potri.013G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000500.v4.1 MAAKVALLFLTFCVAFLATGSADPDLLQDVCVADFASGVKVNGFTCKENITADDFFFAGLAKPGLTNNTFGSLVTAANVQKIPGLNTLGVSMARIDYAPGGLNPPHTHPRATEMVFVLEGQLDVGFITTANVLISKTIKAGEIFTFPKGLVHFQKNNGQVPAAVIAAFGSQLAGTQSIGATLFAAQPPVPDNVLAKAFQVGTKEVQKIKSRFAPKK >Potri.001G046400.1.v4.1 pep chromosome:Pop_tri_v4:1:3423532:3426559:-1 gene:Potri.001G046400.v4.1 transcript:Potri.001G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046400.v4.1 MPVDTSSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADLLDYESLKEAIQGCDGVFHTASPVTDDPEQMLEPAVNGTKNVIMAAAEAQVRRVVFTSSIGTVYMDPNRSPDVVVDESCWSDLEFCKNTKNWYCYGKTVAEQDAWDVAKKNGVDLVVVNPVLVLGPLLQPTVNASIVHILKYLTGSAKTYANSVQAYVHVKDVALAHILVFETPSASGRYICAERMLHRGEVVEILAKFFPEYPIPTKCSDEKNPRKQPYKFTNQKIKDLGIEFTPVKQCLYESVKSLQEKGHLPIPKQAEDSVKIQ >Potri.014G132500.1.v4.1 pep chromosome:Pop_tri_v4:14:8859087:8864640:1 gene:Potri.014G132500.v4.1 transcript:Potri.014G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132500.v4.1 MSDEAIKATNEESREEEFSPQLNGKKLSWQKLRRNDSLEMESGKFSGRQVHGSKGASWSVILQLAFQSIGIVYGDIGTSPLYVYASTFTKGINHNDDILGVLSLIFYTLTLIPLIKYVLIVLQANDNGDGGTFALYSLICRYAKVGLLPSQQVEDRDVSNFQLELPSKRLRRASKLKSKLEKSKFAKLFLLFATMLGTSMVIGDGVLTPCISVLSAVGGIKEAASSMTQDSIVWISVAILICLFMVQRFGTDKVGYSFAPVICVWFSLIGGIGIYNLFKYDPAVVKALNPMYIVDYFRRNKKDAWISLGGVVLAITGTEALFADVGHFTVRSIQISMCVVTYPALISAYAGQAAFLRKHNDLVSATFFKSIPDPLYWPMFVVAVMASIIASQAMISGTFSIIQQSLALGCFPRVKIVHTSAKYEGQVYIPEVNYLLMVACVCVTLGFKTTTKIGNAYGIAVVFVMTLTSSFLVLIMLMIWKTNIFHVIVFVLTIGTVELLYLSSVLYKFDQGGYLPLAFAGVLMAIMYSWNNVYRRKYYYELDHKISPDKLMEVSAGNFSRLPGLAMFYSELVHGIPPIFKHYVENVPALHSVLVFVSIKTLPIGKVPAEERFLFRRVEPKELNVFRCVARYGYTDVRNEQEPFEGMLVEKLKEFIRNEHWFSQAFLTNGEVTEKEGEPDDGQVEDMRMEQAAEKEKQQEDAEREIEIIDKACRAGVVHLIGENEVIASKGASLGDRILINYAYNFLKKNLRQSEKVFDIPHKRMLKVGMTYEL >Potri.009G117200.2.v4.1 pep chromosome:Pop_tri_v4:9:9885488:9887197:-1 gene:Potri.009G117200.v4.1 transcript:Potri.009G117200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117200.v4.1 MSSSYQASRNSRSAWTPRENKLFEKALALFDKDTPDRWQNIAKAVGGVKSAEEVKKHYEILIEDLQHIESGRIPIPKYKSSGSCNNTNEEERLLKYLNLQ >Potri.017G039100.8.v4.1 pep chromosome:Pop_tri_v4:17:2540104:2570703:1 gene:Potri.017G039100.v4.1 transcript:Potri.017G039100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039100.v4.1 MAMDGSFSLESALERFLTRCPKLATIDKLQRFVEAGHTVTEEEVVKLLAELFLNPNYTIPLIGSFRPVARKIVDQAVALLRNCNLSSDSDDIEGDFVDADVLYVIEHYERSGRGLELHELACLAFCRALDLDHSLLGSVTTYFKFAPPPFERISRNKVVSEDQLFQAANHYLLAVRISYRLLLMQPEFFSEQWNWSCFLDHVKKLVNLDLFHVAKDAKAIADIKWCGIQILCTILGMSDKAVENFGVGAEEATLCLLRWEEFCQDVAIEKFCLCVGSSEQTNFGSFTGGIKFGQQNFLKSCGLNSLISSHCHQIEPVIKSRRVVTWDERSTAYPFVVTSMMSKGFEMVLLAVSQKWPVLLYGPPGAGKTALINKLAQDAGNQVLSIHMDDQIDGKTLIGSYVCTEQPGEFRWQPGSLIQAVLNGYWVVFEDIDKAPSDVQSILLPLLEGEIAFITSHGEEIRVAESFQLFSTITTSKSNVSHTAEVAGGSSLSTLWRRVMIGLPSNDDLENIMKAWYPSLGPLTGRLIETMERVNPSPSGNSACLSCLNRFSLRDLLKWCKRIAELGLNGDMLTAYQCHLIYQEAVDIFASFSAPSENRLTVMRDIAKLWGVPISEAGILYPCKPEIQNLFTELRIGRVTLQRTETVVHGQEKLVEMRSSLYVLEQIACAVKYNEPILLVGETGTGKTTLVQNLAMMLGQKLTVLNLSQQSDVADLLGGFKPIDSLSICIPLYKEFEILFSKTFSMKENDKIFAYLQKQMKNKNWKTLLNGFKKYVDNFQKKLQTERSGSGKKRKKPLDENIRAWESFSVKLEAALRQIEASSGMLFSFVEGSFITALRNGEWILLDEVNLAPPETLQRVIGVLEGEYGSLCLAERGDVSHIPRNPSFRIFACMNPATDAGKRDLPYSLQSRFTAYFVHDVLDRDDLKLFINKFMEESISNIELEKKIIDFYEAAKKNSEERLQDGANQKPQYSLRSLYRALEYTRGAKGKFGFPKAIYDGFCMFFLTMLDRPSAKIMKKMIKEKLLGGNKPSPVPFDAYLRITKISGFDDLYKNYVLTKSVKKQLENLARAVFIKRYPVLLQGPTSSGKTSLVQYLAARTGHEFVRINNHEHTDLQEYLGSYISDAQGKLVFQEGILVKAVRNGHWIVLDELNLAPSDVLEALNRLLDDNRELFLPELRETVRAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDDELSTIIEKRCKIPGSRARLMVEVMKELQFHRQSSKVFAGKHGFITPRDLFRWANRLRAFGDSKEVMAEHGYYLLADRLRDERERHVVQEVLERRLRVKIVRDHLYKESVARESESIGSDLQSLGDVILTRSMRRLYFLVKRCFELREPVLLVGETGGGKTTVCQLLSRALGLKLHILNCHQYTETSDFIGGFFPVRERSRLASEFKYIIEKWMLSKAYTHFAQDLDLSSDIGQASSTLDHLNLIITSYRQGQVSCPNVSAKDIDTLEQMKWDLTCLLQKWQTIFMWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMENITAHENFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPVCDLDELGDIASKRLSNPELSYIVGAMLKFWEWFNKLEKGRALTVRDLLSWIQFIMVTKEGLGPDCAFLHGLFLVLLDGISLGTGISRKDAGLFRETCLSFLLKELKEDSNLHELSFIENYGWGDLGETGNISCSDDMQCDNSFGIDPFYIEKGYEKCEAGGFEFLTPTTRRNALRVLRAMQLPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQTDLMDLLGTDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELSLTFKCPSSFRIFACQNPFSQGGGRKGLPKSFLNRFTKVYIDELVEGDYLSICNSLYPSIPRPLLSKLIVFNKRLHEDTMLYHKFGQDGSPWEFNLRDVIRSCQIIEGVPEKLKVDCFLNILYVQRMRTAADRKEVLRIYEEVFGVKPFINPHPRVQLNSKYLIVGNTVIKRNISRSSKLSNSGLNIIPSIRHSMEAVVHCIKHQWLCILVGPPCSGKTSLIRLLAQLTGNVLNELSLSTTTDISELLGCFEQYNAFRNFRSVIAQVERYVSEYCNLQLEFSKVAFMSERTDLITKWLAFLSTMNSSSMASSTSIHLENWESMMNSLSLLVEIIQQMKLDIVQNELPFSWSTEELNKTIKVISKLQDDQQRRSRSVKFEWVAGLLIKAIENGEWIVLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGSSVVLHPHHNFRIFLTVNPSHGEVSRAMRNRGVEIFMMPPYWLHNDESGCSGADFELKDVKRFLVSSGIPMDRLVDSMAKAHVYAKNEGLHVNVQITYLELAHWVQLFHQLLINGNQPFWSLQLSWEHTYLSSLGEAVGWDIVNHAKVAYFSTATLSESDLPTEFSFYLPGGLPVPMKLRDFMWYSKEASVRQNLMYLEYLVSQYELGSSRNRSCVDDALSAPSHKGNGLMDMNMKGQLVFPKALNCMNANSVRNTEIDMKLTKRMLLFAANWTIEQATGSDYKLYLLHFSWFSSKLQSCDNFFRSFLHLLEQELEHPIWKCIFHSFHELASLPAADSSLHLTPLLSLDFVDLTMSYDRPEVSHKFLCNAINCIDLLRLSYQQWNAQREHEYTNEAQHFKPVLDALQELEKEILNMLVESPSYNVLIKLYSDILEDHLTFWDVFTSSRFEKLPFSWHSLMKDVLKLRDFCPGAVDNLFMIAENIDKKLNQHSQQSLLWIHGGHPILPASAELFKQQQLFIELCESVWPTKANPYNQGDDCLVEMATSSTPELRFLAVQGICMSAYITSRFDEDSGEVALHLADMSQMLLKRFEYEKSKLEAKSKSVETATIEGNSISCCDFSPEARCTKPGFACWLETRPIIDNTSFFLDMDLLQKLSMIVLVDHKECQLALGSVSNLIESAMKHSLTFSTRPPQNFIPHQKILWTLDAWMSVDAVNAKIASYVLEMWFWWHSSLWSHCPVFSENFGKVDGYHTPLPDMLVQSVRTASVVQSLRRTCAIKDYSVHCLKLKAASCNLWQSSLPGMDLSSFLLSVTRSLFQQIIYAHRKAFDADKFAAIKSIFCSFHKNVATQDDIQRLVSILGSSNHQKLNSLVSLFIEPILKKLYLHCSSTEVYLNMGHACLKIGALRFSLLLSCDDFDPAMKYSFKHSQLEERISSLELEIKVRQECDYLAGRLSSIEADKKRADSLERLEFECRRIQKKMVFRCNPLKFNALRKECGEFLKPARMVVGLVDNIEGMDLQQVLEQASNWQATATSFIDRLSDEYKEYIDLAQPFQVAVYEMKLGLSLVLSFALLKKVLNRIKEDNMDRVMESIYSFMRFPRVRAFVPSSSHSIGSPATFWDREMGFLEKLIMLSSEVTTEKMGSILQLKTGLYQNIVVRVAHFVADARRIDDASFKILDKMFHEFANMWMNMKVQVKCKEGDDAQQYKFRPRALEIKSIVDVDFSTLDQFFPNDSFSEWQEFLSEEESLEKLEASKHESVQDEWNLMQETIMKNMICIHNQLFGSTNLVLYAGTCHVVEADRLHSFTNSYTLGVGMIEGLGGLLTSSLDGKLIPEHLLRLCLEHGSKLVSSQKSSANYNFYKDSNAPMMAKMVKLVANLQQQIHSFLSEWEDHPGLQKITDTIQMLLAIPVETPLAKALLGLQFLLNRARALQENESKFPLSDQLEPISALVCSWQKMEFDSWPALLHEVQEQYDINAGKLWFPLFSVLHHSHFADIAGYEQSTIESLEEFIRTSSIGEFRARLQLLFSLHGQITAGRCLEVQNYSSMRQEKNLQILYNVFGYYIQFLPR >Potri.017G039100.6.v4.1 pep chromosome:Pop_tri_v4:17:2540104:2578511:1 gene:Potri.017G039100.v4.1 transcript:Potri.017G039100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039100.v4.1 MAMDGSFSLESALERFLTRCPKLATIDKLQRFVEAGHTVTEEEVVKLLAELFLNPNYTIPLIGSFRPVARKIVDQAVALLRNCNLSSDSDDIEGDFVDADVLYVIEHYERSGRGLELHELACLAFCRALDLDHSLLGSVTTYFKFAPPPFERISRNKVVSEDQLFQAANHYLLAVRISYRLLLMQPEFFSEQWNWSCFLDHVKKLVNLDLFHVAKDAKAIADIKWCGIQILCTILGMSDKAVENFGVGAEEATLCLLRWEEFCQDVAIEKFCLCVGSSEQTNFGSFTGGIKFGQQNFLKSCGLNSLISSHCHQIEPVIKSRRVVTWDERSTAYPFVVTSMMSKGFEMVLLAVSQKWPVLLYGPPGAGKTALINKLAQDAGNQVLSIHMDDQIDGKTLIGSYVCTEQPGEFRWQPGSLIQAVLNGYWVVFEDIDKAPSDVQSILLPLLEGEIAFITSHGEEIRVAESFQLFSTITTSKSNVSHTAEGGSSLSTLWRRVMIGLPSNDDLENIMKAWYPSLGPLTGRLIETMERVNPSPSGNSACLSCLNRFSLRDLLKWCKRIAELGLNGDMLTAYQCHLIYQEAVDIFASFSAPSENRLTVMRDIAKLWGVPISEAGILYPCKPEIQNLFTELRIGRVTLQRTETVVHGQEKLVEMRSSLYVLEQIACAVKYNEPILLVGETGTGKTTLVQNLAMMLGQKLTVLNLSQQSDVADLLGGFKPIDSLSICIPLYKEFEILFSKTFSMKENDKIFAYLQKQMKNKNWKTLLNGFKKYVDNFQKKLQTERSGSGKKRKKPLDENIRAWESFSVKLEAALRQIEASSGMLFSFVEGSFITALRNGEWILLDEVNLAPPETLQRVIGVLEGEYGSLCLAERGDVSHIPRNPSFRIFACMNPATDAGKRDLPYSLQSRFTAYFVHDVLDRDDLKLFINKFMEESISNIELEKKIIDFYEAAKKNSEERLQDGANQKPQYSLRSLYRALEYTRGAKGKFGFPKAIYDGFCMFFLTMLDRPSAKIMKKMIKEKLLGGNKPSPVPFDAYLRITKISGFDDLYKNYVLTKSVKKQLENLARAVFIKRYPVLLQGPTSSGKTSLVQYLAARTGHEFVRINNHEHTDLQEYLGSYISDAQGKLVFQEGILVKAVRNGHWIVLDELNLAPSDVLEALNRLLDDNRELFLPELRETVRAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDDELSTIIEKRCKIPGSRARLMVEVMKELQFHRQSSKVFAGKHGFITPRDLFRWANRLRAFGDSKEVMAEHGYYLLADRLRDERERHVVQEVLERRLRVKIVRDHLYKESVARESESIGSDLQSLGDVILTRSMRRLYFLVKRCFELREPVLLVGETGGGKTTVCQLLSRALGLKLHILNCHQYTETSDFIGGFFPVRERSRLASEFKYIIEKWMLSKAYTHFAQDLDLSSDIGQASSTLDHLNLIITSYRQGQVSCPNVSAKDIDTLEQMKWDLTCLLQKWQTIFMWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMENITAHENFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPVCDLDELGDIASKRLSNPELSYIVGAMLKFWEWFNKLEKGRALTVRDLLSWIQFIMVTKEGLGPDCAFLHGLFLVLLDGISLGTGISRKDAGLFRETCLSFLLKELKEDSNLHELSFIENYGWGDLGETGNISCSDDMQCDNSFGIDPFYIEKGYEKCEAGGFEFLTPTTRRNALRVLRAMQLPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQTDLMDLLGTDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELSLTFKCPSSFRIFACQNPFSQGGGRKGLPKSFLNRFTKVYIDELVEGDYLSICNSLYPSIPRPLLSKLIVFNKRLHEDTMLYHKFGQDGSPWEFNLRDVIRSCQIIEGVPEKLKVDCFLNILYVQRMRTAADRKEVLRIYEEVFGVKPFINPHPRVQLNSKYLIVGNTVIKRNISRSSKLSNSGLNIIPSIRHSMEAVVHCIKHQWLCILVGPPCSGKTSLIRLLAQLTGNVLNELSLSTTTDISELLGCFEQYNAFRNFRSVIAQVERYVSEYCNLQLEFSKVAFMSERTDLITKWLAFLSTMNSSSMASSTSIHLENWESMMNSLSLLVEIIQQMKLDIVQNELPFSWSTEELNKTIKVISKLQDDQQRRSRSVKFEWVAGLLIKAIENGEWIVLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGSSVVLHPHHNFRIFLTVNPSHGEVSRAMRNRGVEIFMMPPYWLHNDESGCSGADFELKDVKRFLVSSGIPMDRLVDSMAKAHVYAKNEGLHVNVQITYLELAHWVQLFHQLLINGNQPFWSLQLSWEHTYLSSLGEAVGWDIVNHAKVAYFSTATLSESDLPTEFSFYLPGGLPVPMKLRDFMWYSKEASVRQNLMYLEYLVSQYELGSSRNRSCVDDALSAPSHKGNGLMDMNMKGQLVFPKALNCMNANSVRNTEIDMKLTKRMLLFAANWTIEQATGSDYKLYLLHFSWFSSKLQSCDNFFRSFLHLLEQELEHPIWKCIFHSFHELASLPAADSSLHLTPLLSLDFVDLTMSYDRPEVSHKFLCNAINCIDLLRLSYQQWNAQREHEYTNEAQHFKPVLDALQELEKEILNMLVESPSYNVLIKLYSDILEDHLTFWDVFTSSRFEKLPFSWHSLMKDVLKLRDFCPGAVDNLFMIAENIDKKLNQHSQQSLLWIHGGHPILPASAELFKQQQLFIELCESVWPTKANPYNQGDDCLVEMATSSTPELRFLAVQGICMSAYITSRFDEDSGEVALHLADMSQMLLKRFEYEKSKLEAKSKSVETATIEGNSISCCDFSPEARCTKPGFACWLETRPIIDNTSFFLDMDLLQKLSMIVLVDHKECQLALGSVSNLIESAMKHSLTFSTRPPQNFIPHQKILWTLDAWMSVDAVNAKIASYVLEMWFWWHSSLWSHCPVFSENFGKVDGYHTPLPDMLVQSVRTASVVQSLRRTCAIKDYSVHCLKLKAASCNLWQSSLPGMDLSSFLLSVTRSLFQQIIYAHRKAFDADKFAAIKSIFCSFHKNVATQDDIQRLVSILGSSNHQKLNSLVSLFIEPILKKLYLHCSSTEVYLNMGHACLKIGALRFSLLLSCDDFDPAMKYSFKHSQLEERISSLELEIKVRQECDYLAGRLSSIEADKKRADSLERLEFECRRIQKKMVFRCNPLKFNALRKECGEFLKPARMVVGLVDNIEGMDLQQVLEQASNWQATATSFIDRLSDEYKEYIDLAQPFQVAVYEMKLGLSLVLSFALLKKVLNRIKEDNMDRVMESIYSFMRFPRVRAFVPSSSHSIGSPATFWDREMGFLEKLIMLSSEVTTEKMGSILQLKTGLYQNIVVRVAHFVADARRIDDASFKILDKMFHEFANMWMNMKVQVKCKEGDDAQQYKFRPRALEIKSIVDVDFSTLDQFFPNDSFSEWQEFLSEEESLEKLEASKHESVQDEWNLMQETIMKNMICIHNQLFGSTNLVLYAGTCHVVEADRLHSFTNSYTLGVGMIEGLGGLLTSSLDGKLIPEHLLRLCLEHGSKLVSSQKSSANYNFYKDSNAPMMAKMVKLVANLQQQIHSFLSEWEDHPGLQKITDTIQMLLAIPVETPLAKALLGLQFLLNRARALQENESKFPLSDQLEPISALVCSWQKMEFDSWPALLHEVQEQYDINAGKLWFPLFSVLHHSHFADIAGYEQSTIESLEEFIRTSSIGEFRARLQLLFSLHGQITAGRCLEVQNYSSMRQEKNLQILYNVFGYYIQFLPRILEDIEANRKGIEMELKDILKLFHWERTEICLSVENSKRTRQKLRKLILKYTDLLQQPVMLILDREAQQKGPKIHSLQFPKALKDNKNTISDLTQFCEKDRSIWLADWRKKVTDTLQDMHFKNTLGLSFLDNKDVTSITRQCLASHSSHLSRDEQWNVLCWTVEKIFKTAMDCDDLWNDTGKGVGKKRALSELLKLLDTSGLHKHKFEIMKISNSSNWLFIQPSYNAQHLLLTPSRLSGEAFDVSTSSELQCLPDDYVDTMWKSANEFYFKSVASVQFMQRICLKPHGDITYDQASRAVSFLNHLIIIQQSQRAAAYGFSKQLKCLRECTYAFENSYVKCTDIDERTSSEYSIVQNQHAFFQCMWKQKQLFDGLTTLLAEESLLLRTVESTHLKSCRSVRPAANHVLQFIEKFIPVTQKSKESLDNYLLGPLGGLQSLLQESLDKSLLGRVVTISAGPSRPYIISKQMEQLVYKNFQVIKEFEEHFFDFRKQDWNRSFIIETLLGHFDDVFKEGKMLADQFEAALKQRSQSRDSSEEVDYNSGNNYQLEADFDSALKKAHNLVMEALEKQISPGDGGALSEESLENISSWEYLFKSSVQSLNVEELCDILLNIITCAKKMVDHSGSETSHISFHIGRCFQHLHLLLELILGFCDGLLQDLLAMHKTVSIMSRELANVLASLFSKGFGIPVKDEVDEASHDTSQTASGTGMGEGSGLNDVSDQITDEDQLLGTSEKPGDEQDASGEVPNKNEKGIEMEDLTADTFSVSDDSGEDNEEDGEDEQLDSAMGEAGLDSEVVDEKLQNKDEDDNPNNTNERYESGPSVRDNDTSSRELRAKEDSAAIADDEPGEPDKQNNEIGNQDDLDDGEENTDDMNMDKEAAFTDPTGLKLDESNQGAEEDMEMDEDMNEEGDLDSKEEISPEEGDESAEHGNYEEDNTISADETMEEPDSEPVDGTSVKDEPGRDREERSETNAMEPRKDEFELGISDLISDHVHGAESATQPNGPSQASDSKNATAEANMSNISEAHNDLALRSFPSGNTSQNDLMVSDSSNSGGFTNDKKQAQFPERESSSDQRAQPNPYRNVGDALEEWKERVKVSVDLPGDTTEASGEIEDKNADDYAFVSEFEKGTDQALGPATSEQVESNVNVNRSDEDSLAAQRDEVTKMEIEERDAKEWHLNNSASILKNKMEEQLQISDFKSEKEGSPEVQDHDGGDPQNLPESAISVRKSYLSEDVYQPDNLRVDDDDLGKAQGPEEVPLDVKSSASALWSRYELRTTRLSQELAEQLRLVLEPTVASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNMAVASFGKKGNIRSLHDFDQPFTGEAGKKIISSLTFKQENTIADEPVVDLLKYLNNMLDAAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSRKRMVAFLVLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRE >Potri.017G039100.1.v4.1 pep chromosome:Pop_tri_v4:17:2540104:2578511:1 gene:Potri.017G039100.v4.1 transcript:Potri.017G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039100.v4.1 MAMDGSFSLESALERFLTRCPKLATIDKLQRFVEAGHTVTEEEVVKLLAELFLNPNYTIPLIGSFRPVARKIVDQAVALLRNCNLSSDSDDIEGDFVDADVLYVIEHYERSGRGLELHELACLAFCRALDLDHSLLGSVTTYFKFAPPPFERISRNKVVSEDQLFQAANHYLLAVRISYRLLLMQPEFFSEQWNWSCFLDHVKKLVNLDLFHVAKDAKAIADIKWCGIQILCTILGMSDKAVENFGVGAEEATLCLLRWEEFCQDVAIEKFCLCVGSSEQTNFGSFTGGIKFGQQNFLKSCGLNSLISSHCHQIEPVIKSRRVVTWDERSTAYPFVVTSMMSKGFEMVLLAVSQKWPVLLYGPPGAGKTALINKLAQDAGNQVLSIHMDDQIDGKTLIGSYVCTEQPGEFRWQPGSLIQAVLNGYWVVFEDIDKAPSDVQSILLPLLEGEIAFITSHGEEIRVAESFQLFSTITTSKSNVSHTAEVAGGSSLSTLWRRVMIGLPSNDDLENIMKAWYPSLGPLTGRLIETMERVNPSPSGNSACLSCLNRFSLRDLLKWCKRIAELGLNGDMLTAYQCHLIYQEAVDIFASFSAPSENRLTVMRDIAKLWGVPISEAGILYPCKPEIQNLFTELRIGRVTLQRTETVVHGQEKLVEMRSSLYVLEQIACAVKYNEPILLVGETGTGKTTLVQNLAMMLGQKLTVLNLSQQSDVADLLGGFKPIDSLSICIPLYKEFEILFSKTFSMKENDKIFAYLQKQMKNKNWKTLLNGFKKYVDNFQKKLQTERSGSGKKRKKPLDENIRAWESFSVKLEAALRQIEASSGMLFSFVEGSFITALRNGEWILLDEVNLAPPETLQRVIGVLEGEYGSLCLAERGDVSHIPRNPSFRIFACMNPATDAGKRDLPYSLQSRFTAYFVHDVLDRDDLKLFINKFMEESISNIELEKKIIDFYEAAKKNSEERLQDGANQKPQYSLRSLYRALEYTRGAKGKFGFPKAIYDGFCMFFLTMLDRPSAKIMKKMIKEKLLGGNKPSPVPFDAYLRITKISGFDDLYKNYVLTKSVKKQLENLARAVFIKRYPVLLQGPTSSGKTSLVQYLAARTGHEFVRINNHEHTDLQEYLGSYISDAQGKLVFQEGILVKAVRNGHWIVLDELNLAPSDVLEALNRLLDDNRELFLPELRETVRAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDDELSTIIEKRCKIPGSRARLMVEVMKELQFHRQSSKVFAGKHGFITPRDLFRWANRLRAFGDSKEVMAEHGYYLLADRLRDERERHVVQEVLERRLRVKIVRDHLYKESVARESESIGSDLQSLGDVILTRSMRRLYFLVKRCFELREPVLLVGETGGGKTTVCQLLSRALGLKLHILNCHQYTETSDFIGGFFPVRERSRLASEFKYIIEKWMLSKAYTHFAQDLDLSSDIGQASSTLDHLNLIITSYRQGQVSCPNVSAKDIDTLEQMKWDLTCLLQKWQTIFMWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMENITAHENFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPVCDLDELGDIASKRLSNPELSYIVGAMLKFWEWFNKLEKGRALTVRDLLSWIQFIMVTKEGLGPDCAFLHGLFLVLLDGISLGTGISRKDAGLFRETCLSFLLKELKEDSNLHELSFIENYGWGDLGETGNISCSDDMQCDNSFGIDPFYIEKGYEKCEAGGFEFLTPTTRRNALRVLRAMQLPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQTDLMDLLGTDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELSLTFKCPSSFRIFACQNPFSQGGGRKGLPKSFLNRFTKVYIDELVEGDYLSICNSLYPSIPRPLLSKLIVFNKRLHEDTMLYHKFGQDGSPWEFNLRDVIRSCQIIEGVPEKLKVDCFLNILYVQRMRTAADRKEVLRIYEEVFGVKPFINPHPRVQLNSKYLIVGNTVIKRNISRSSKLSNSGLNIIPSIRHSMEAVVHCIKHQWLCILVGPPCSGKTSLIRLLAQLTGNVLNELSLSTTTDISELLGCFEQYNAFRNFRSVIAQVERYVSEYCNLQLEFSKVAFMSERTDLITKWLAFLSTMNSSSMASSTSIHLENWESMMNSLSLLVEIIQQMKLDIVQNELPFSWSTEELNKTIKVISKLQDDQQRRSRSVKFEWVAGLLIKAIENGEWIVLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGSSVVLHPHHNFRIFLTVNPSHGEVSRAMRNRGVEIFMMPPYWLHNDESGCSGADFELKDVKRFLVSSGIPMDRLVDSMAKAHVYAKNEGLHVNVQITYLELAHWVQLFHQLLINGNQPFWSLQLSWEHTYLSSLGEAVGWDIVNHAKVAYFSTATLSESDLPTEFSFYLPGGLPVPMKLRDFMWYSKEASVRQNLMYLEYLVSQYELGSSRNRSCVDDALSAPSHKGNGLMDMNMKGQLVFPKALNCMNANSVRNTEIDMKLTKRMLLFAANWTIEQATGSDYKLYLLHFSWFSSKLQSCDNFFRSFLHLLEQELEHPIWKCIFHSFHELASLPAADSSLHLTPLLSLDFVDLTMSYDRPEVSHKFLCNAINCIDLLRLSYQQWNAQREHEYTNEAQHFKPVLDALQELEKEILNMLVESPSYNVLIKLYSDILEDHLTFWDVFTSSRFEKLPFSWHSLMKDVLKLRDFCPGAVDNLFMIAENIDKKLNQHSQQSLLWIHGGHPILPASAELFKQQQLFIELCESVWPTKANPYNQGDDCLVEMATSSTPELRFLAVQGICMSAYITSRFDEDSGEVALHLADMSQMLLKRFEYEKSKLEAKSKSVETATIEGNSISCCDFSPEARCTKPGFACWLETRPIIDNTSFFLDMDLLQKLSMIVLVDHKECQLALGSVSNLIESAMKHSLTFSTRPPQNFIPHQKILWTLDAWMSVDAVNAKIASYVLEMWFWWHSSLWSHCPVFSENFGKVDGYHTPLPDMLVQSVRTASVVQSLRRTCAIKDYSVHCLKLKAASCNLWQSSLPGMDLSSFLLSVTRSLFQQIIYAHRKAFDADKFAAIKSIFCSFHKNVATQDDIQRLVSILGSSNHQKLNSLVSLFIEPILKKLYLHCSSTEVYLNMGHACLKIGALRFSLLLSCDDFDPAMKYSFKHSQLEERISSLELEIKVRQECDYLAGRLSSIEADKKRADSLERLEFECRRIQKKMVFRCNPLKFNALRKECGEFLKPARMVVGLVDNIEGMDLQQVLEQASNWQATATSFIDRLSDEYKEYIDLAQPFQVAVYEMKLGLSLVLSFALLKKVLNRIKEDNMDRVMESIYSFMRFPRVRAFVPSSSHSIGSPATFWDREMGFLEKLIMLSSEVTTEKMGSILQLKTGLYQNIVVRVAHFVADARRIDDASFKILDKMFHEFANMWMNMKVQVKCKEGDDAQQYKFRPRALEIKSIVDVDFSTLDQFFPNDSFSEWQEFLSEEESLEKLEASKHESVQDEWNLMQETIMKNMICIHNQLFGSTNLVLYAGTCHVVEADRLHSFTNSYTLGVGMIEGLGGLLTSSLDGKLIPEHLLRLCLEHGSKLVSSQKSSANYNFYKDSNAPMMAKMVKLVANLQQQIHSFLSEWEDHPGLQKITDTIQMLLAIPVETPLAKALLGLQFLLNRARALQENESKFPLSDQLEPISALVCSWQKMEFDSWPALLHEVQEQYDINAGKLWFPLFSVLHHSHFADIAGYEQSTIESLEEFIRTSSIGEFRARLQLLFSLHGQITAGRCLEVQNYSSMRQEKNLQILYNVFGYYIQFLPRILEDIEANRKGIEMELKDILKLFHWERTEICLSVENSKRTRQKLRKLILKYTDLLQQPVMLILDREAQQKGPKIHSLQFPKALKDNKNTISDLTQFCEKDRSIWLADWRKKVTDTLQDMHFKNTLGLSFLDNKDVTSITRQCLASHSSHLSRDEQWNVLCWTVEKIFKTAMDCDDLWNDTGKGVGKKRALSELLKLLDTSGLHKHKFEIMKISNSSNWLFIQPSYNAQHLLLTPSRLSGEAFDVSTSSELQCLPDDYVDTMWKSANEFYFKSVASVQFMQRICLKPHGDITYDQASRAVSFLNHLIIIQQSQRAAAYGFSKQLKCLRECTYAFENSYVKCTDIDERTSSEYSIVQNQHAFFQCMWKQKQLFDGLTTLLAEESLLLRTVESTHLKSCRSVRPAANHVLQFIEKFIPVTQKSKESLDNYLLGPLGGLQSLLQESLDKSLLGRVVTISAGPSRPYIISKQMEQLVYKNFQVIKEFEEHFFDFRKQDWNRSFIIETLLGHFDDVFKEGKMLADQFEAALKQRSQSRDSSEEVDYNSGNNYQLEADFDSALKKAHNLVMEALEKQISPGDGGALSEESLENISSWEYLFKSSVQSLNVEELCDILLNIITCAKKMVDHSGSETSHISFHIGRCFQHLHLLLELILGFCDGLLQDLLAMHKTVSIMSRELANVLASLFSKGFGIPVKDEVDEASHDTSQTASGTGMGEGSGLNDVSDQITDEDQLLGTSEKPGDEQDASGEVPNKNEKGIEMEDLTADTFSVSDDSGEDNEEDGEDEQLDSAMGEAGLDSEVVDEKLQNKDEDDNPNNTNERYESGPSVRDNDTSSRELRAKEDSAAIADDEPGEPDKQNNEIGNQDDLDDGEENTDDMNMDKEAAFTDPTGLKLDESNQGAEEDMEMDEDMNEEGDLDSKEEISPEEGDESAEHGNYEEDNTISADETMEEPDSEPVDGTSVKDEPGRDREERSETNAMEPRKDEFELGISDLISDHVHGAESATQPNGPSQASDSKNATAEANMSNISEAHNDLALRSFPSGNTSQNDLMVSDSSNSGGFTNDKKQAQFPERESSSDQRAQPNPYRNVGDALEEWKERVKVSVDLPGDTTEASGEIEDKNADDYAFVSEFEKGTDQALGPATSEQVESNVNVNRSDEDSLAAQRDEVTKMEIEERDAKEWHLNNSASILKNKMEEQLQISDFKSEKEGSPEVQDHDGGDPQNLPESAISVRKSYLSEDVYQPDNLRVDDDDLGKAQGPEEVPLDVKSSASALWSRYELRTTRLSQELAEQLRLVLEPTVASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNMAVASFGKKGNIRSLHDFDQPFTGEAGKKIISSLTFKQENTIADEPVVDLLKYLNNMLDAAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSRKRMVAFLVLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRE >Potri.017G039100.7.v4.1 pep chromosome:Pop_tri_v4:17:2540109:2578511:1 gene:Potri.017G039100.v4.1 transcript:Potri.017G039100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039100.v4.1 MAMDGSFSLESALERFLTRCPKLATIDKLQRFVEAGHTVTEEEVVKLLAELFLNPNYTIPLIGSFRPVARKIVDQAVALLRNCNLSSDSDDIEGDFVDADVLYVIEHYERSGRGLELHELACLAFCRALDLDHSLLGSVTTYFKFAPPPFERISRNKVVSEDQLFQAANHYLLAVRISYRLLLMQPEFFSEQWNWSCFLDHVKNWEEFCQDVAIEKFCLCVGSSEQTNFGSFTGGIKFGQQNFLKSCGLNSLISSHCHQIEPVIKSRRVVTWDERSTAYPFVVTSMMSKGFEMVLLAVSQKWPVLLYGPPGAGKTALINKLAQDAGNQVLSIHMDDQIDGKTLIGSYVCTEQPGEFRWQPGSLIQAVLNGYWVVFEDIDKAPSDVQSILLPLLEGEIAFITSHGEEIRVAESFQLFSTITTSKSNVSHTAEVAGGSSLSTLWRRVMIGLPSNDDLENIMKAWYPSLGPLTGRLIETMERVNPSPSGNSACLSCLNRFSLRDLLKWCKRIAELGLNGDMLTAYQCHLIYQEAVDIFASFSAPSENRLTVMRDIAKLWGVPISEAGILYPCKPEIQNLFTELRIGRVTLQRTETVVHGQEKLVEMRSSLYVLEQIACAVKYNEPILLVGETGTGKTTLVQNLAMMLGQKLTVLNLSQQSDVADLLGGFKPIDSLSICIPLYKEFEILFSKTFSMKENDKIFAYLQKQMKNKNWKTLLNGFKKYVDNFQKKLQTERSGSGKKRKKPLDENIRAWESFSVKLEAALRQIEASSGMLFSFVEGSFITALRNGEWILLDEVNLAPPETLQRVIGVLEGEYGSLCLAERGDVSHIPRNPSFRIFACMNPATDAGKRDLPYSLQSRFTAYFVHDVLDRDDLKLFINKFMEESISNIELEKKIIDFYEAAKKNSEERLQDGANQKPQYSLRSLYRALEYTRGAKGKFGFPKAIYDGFCMFFLTMLDRPSAKIMKKMIKEKLLGGNKPSPVPFDAYLRITKISGFDDLYKNYVLTKSVKKQLENLARAVFIKRYPVLLQGPTSSGKTSLVQYLAARTGHEFVRINNHEHTDLQEYLGSYISDAQGKLVFQEGILVKAVRNGHWIVLDELNLAPSDVLEALNRLLDDNRELFLPELRETVRAHPNFMLFATQNPPTFYGGRKMLSRAFRNRFVEVHVDEIPDDELSTIIEKRCKIPGSRARLMVEVMKELQFHRQSSKVFAGKHGFITPRDLFRWANRLRAFGDSKEVMAEHGYYLLADRLRDERERHVVQEVLERRLRVKIVRDHLYKESVARESESIGSDLQSLGDVILTRSMRRLYFLVKRCFELREPVLLVGETGGGKTTVCQLLSRALGLKLHILNCHQYTETSDFIGGFFPVRERSRLASEFKYIIEKWMLSKAYTHFAQDLDLSSDIGQASSTLDHLNLIITSYRQGQVSCPNVSAKDIDTLEQMKWDLTCLLQKWQTIFMWQDGPLVQAMKAGDLFLVDEISLADDSVLERLNSVLEPERKLSLAEKGGPVMENITAHENFFVLATMNPGGDYGKKELSPALRNRFTEIWVPPVCDLDELGDIASKRLSNPELSYIVGAMLKFWEWFNKLEKGRALTVRDLLSWIQFIMVTKEGLGPDCAFLHGLFLVLLDGISLGTGISRKDAGLFRETCLSFLLKELKEDSNLHELSFIENYGWGDLGETGNISCSDDMQCDNSFGIDPFYIEKGYEKCEAGGFEFLTPTTRRNALRVLRAMQLPKPVLLEGSPGVGKTSLIIALGKYSGHKVVRINLSEQTDLMDLLGTDLPVESDEGMKFAWSDGILLQALKEGCWVLLDELNLAPQSVLEGLNAILDHRAEVFIPELSLTFKCPSSFRIFACQNPFSQGGGRKGLPKSFLNRFTKVYIDELVEGDYLSICNSLYPSIPRPLLSKLIVFNKRLHEDTMLYHKFGQDGSPWEFNLRDVIRSCQIIEGVPEKLKVDCFLNILYVQRMRTAADRKEVLRIYEEVFGVKPFINPHPRVQLNSKYLIVGNTVIKRNISRSSKLSNSGLNIIPSIRHSMEAVVHCIKHQWLCILVGPPCSGKTSLIRLLAQLTGNVLNELSLSTTTDISELLGCFEQYNAFRNFRSVIAQVERYVSEYCNLQLEFSKVAFMSERTDLITKWLAFLSTMNSSSMASSTSIHLENWESMMNSLSLLVEIIQQMKLDIVQNELPFSWSTEELNKTIKVISKLQDDQQRRSRSVKFEWVAGLLIKAIENGEWIVLENANLCNPTVLDRINSLVEPSGSITVNECGIVDGSSVVLHPHHNFRIFLTVNPSHGEVSRAMRNRGVEIFMMPPYWLHNDESGCSGADFELKDVKRFLVSSGIPMDRLVDSMAKAHVYAKNEGLHVNVQITYLELAHWVQLFHQLLINGNQPFWSLQLSWEHTYLSSLGEAVGWDIVNHAKVAYFSTATLSESDLPTEFSFYLPGGLPVPMKLRDFMWYSKEASVRQNLMYLEYLVSQYELGSSRNRSCVDDALSAPSHKGNGLMDMNMKGQLVFPKALNCMNANSVRNTEIDMKLTKRMLLFAANWTIEQATGSDYKLYLLHFSWFSSKLQSCDNFFRSFLHLLEQELEHPIWKCIFHSFHELASLPAADSSLHLTPLLSLDFVDLTMSYDRPEVSHKFLCNAINCIDLLRLSYQQWNAQREHEYTNEAQHFKPVLDALQELEKEILNMLVESPSYNVLIKLYSDILEDHLTFWDVFTSSRFEKLPFSWHSLMKDVLKLRDFCPGAVDNLFMIAENIDKKLNQHSQQSLLWIHGGHPILPASAELFKQQQLFIELCESVWPTKANPYNQGDDCLVEMATSSTPELRFLAVQGICMSAYITSRFDEDSGEVALHLADMSQMLLKRFEYEKSKLEAKSKSVETATIEGNSISCCDFSPEARCTKPGFACWLETRPIIDNTSFFLDMDLLQKLSMIVLVDHKECQLALGSVSNLIESAMKHSLTFSTRPPQNFIPHQKILWTLDAWMSVDAVNAKIASYVLEMWFWWHSSLWSHCPVFSENFGKVDGYHTPLPDMLVQSVRTASVVQSLRRTCAIKDYSVHCLKLKAASCNLWQSSLPGMDLSSFLLSVTRSLFQQIIYAHRKAFDADKFAAIKSIFCSFHKNVATQDDIQRLVSILGSSNHQKLNSLVSLFIEPILKKLYLHCSSTEVYLNMGHACLKIGALRFSLLLSCDDFDPAMKYSFKHSQLEERISSLELEIKVRQECDYLAGRLSSIEADKKRADSLERLEFECRRIQKKMVFRCNPLKFNALRKECGEFLKPARMVVGLVDNIEGMDLQQVLEQASNWQATATSFIDRLSDEYKEYIDLAQPFQVAVYEMKLGLSLVLSFALLKKVLNRIKEDNMDRVMESIYSFMRFPRVRAFVPSSSHSIGSPATFWDREMGFLEKLIMLSSEVTTEKMGSILQLKTGLYQNIVVRVAHFVADARRIDDASFKILDKMFHEFANMWMNMKVQVKCKEGDDAQQYKFRPRALEIKSIVDVDFSTLDQFFPNDSFSEWQEFLSEEESLEKLEASKHESVQDEWNLMQETIMKNMICIHNQLFGSTNLVLYAGTCHVVEADRLHSFTNSYTLGVGMIEGLGGLLTSSLDGKLIPEHLLRLCLEHGSKLVSSQKSSANYNFYKDSNAPMMAKMVKLVANLQQQIHSFLSEWEDHPGLQKITDTIQMLLAIPVETPLAKALLGLQFLLNRARALQENESKFPLSDQLEPISALVCSWQKMEFDSWPALLHEVQEQYDINAGKLWFPLFSVLHHSHFADIAGYEQSTIESLEEFIRTSSIGEFRARLQLLFSLHGQITAGRCLEVQNYSSMRQEKNLQILYNVFGYYIQFLPRILEDIEANRKGIEMELKDILKLFHWERTEICLSVENSKRTRQKLRKLILKYTDLLQQPVMLILDREAQQKGPKIHSLQFPKALKDNKNTISDLTQFCEKDRSIWLADWRKKVTDTLQDMHFKNTLGLSFLDNKDVTSITRQCLASHSSHLSRDEQWNVLCWTVEKIFKTAMDCDDLWNDTGKGVGKKRALSELLKLLDTSGLHKHKFEIMKISNSSNWLFIQPSYNAQHLLLTPSRLSGEAFDVSTSSELQCLPDDYVDTMWKSANEFYFKSVASVQFMQRICLKPHGDITYDQASRAVSFLNHLIIIQQSQRAAAYGFSKQLKCLRECTYAFENSYVKCTDIDERTSSEYSIVQNQHAFFQCMWKQKQLFDGLTTLLAEESLLLRTVESTHLKSCRSVRPAANHVLQFIEKFIPVTQKSKESLDNYLLGPLGGLQSLLQESLDKSLLGRVVTISAGPSRPYIISKQMEQLVYKNFQVIKEFEEHFFDFRKQDWNRSFIIETLLGHFDDVFKEGKMLADQFEAALKQRSQSRDSSEEVDYNSGNNYQLEADFDSALKKAHNLVMEALEKQISPGDGGALSEESLENISSWEYLFKSSVQSLNVEELCDILLNIITCAKKMVDHSGSETSHISFHIGRCFQHLHLLLELILGFCDGLLQDLLAMHKTVSIMSRELANVLASLFSKGFGIPVKDEVDEASHDTSQTASGTGMGEGSGLNDVSDQITDEDQLLGTSEKPGDEQDASGEVPNKNEKGIEMEDLTADTFSVSDDSGEDNEEDGEDEQLDSAMGEAGLDSEVVDEKLQNKDEDDNPNNTNERYESGPSVRDNDTSSRELRAKEDSAAIADDEPGEPDKQNNEIGNQDDLDDGEENTDDMNMDKEAAFTDPTGLKLDESNQGAEEDMEMDEDMNEEGDLDSKEEISPEEGDESAEHGNYEEDNTISADETMEEPDSEPVDGTSVKDEPGRDREERSETNAMEPRKDEFELGISDLISDHVHGAESATQPNGPSQASDSKNATAEANMSNISEAHNDLALRSFPSGNTSQNDLMVSDSSNSGGFTNDKKQAQFPERESSSDQRAQPNPYRNVGDALEEWKERVKVSVDLPGDTTEASGEIEDKNADDYAFVSEFEKGTDQALGPATSEQVESNVNVNRSDEDSLAAQRDEVTKMEIEERDAKEWHLNNSASILKNKMEEQLQISDFKSEKEGSPEVQDHDGGDPQNLPESAISVRKSYLSEDVYQPDNLRVDDDDLGKAQGPEEVPLDVKSSASALWSRYELRTTRLSQELAEQLRLVLEPTVASKLQGDYKTGKRINMKKVIPYIASHYRKDKIWLRRTRPNKRDYQVVIAVDDSRSMSESCCGDVAIEALVTVCRAMSQLEMGNMAVASFGKKGNIRSLHDFDQPFTGEAGKKIISSLTFKQENTIADEPVVDLLKYLNNMLDAAVAKARLPSGQNPLQQLVLIIADGRFHEKEKLKRCVRDFLSRKRMVAFLVLDSPQESIMDQMEASFVGEGEKRVLKFTKYLDSFPFPYYIVLKNIEALPRTLADLLRQWFELMQYSRE >Potri.002G158300.1.v4.1 pep chromosome:Pop_tri_v4:2:12077610:12078367:-1 gene:Potri.002G158300.v4.1 transcript:Potri.002G158300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158300.v4.1 MSKKNDLGRRKRQHEFELQREKELKEKKQKKLQAKKNKMKVDGKDKNKQKKGGGGFQVGKRKVKTKLSALAKAKADQAMQLD >Potri.018G051600.5.v4.1 pep chromosome:Pop_tri_v4:18:5045229:5047540:1 gene:Potri.018G051600.v4.1 transcript:Potri.018G051600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G051600.v4.1 MVRPTETLHHLIASAPAPFKPKPRFLSSNQSLYRKQISLSTLLQRYGFPPSQLQTFLSRNHFLLNSNLHDTEKSLGMLTSSFKIPHKSVVSLIIDCPGVLDFDFLKRWEFGLSKFADLGVPPLLIKTVLEHSKKFQIDPDRFNETLKVLKGLGFSESTTRRVLEGFPGVIALKECEIHRRIQFLMAIGIPRDGVDRVFNSFPEVLGFGIENRLMPLLNEFKDLGFSEELVRKEIIREPRILGMEVGELSRCLDLIRSLKCREPIKLKIFSKGAFRAGFEVKLRVDCLCKHRLIRREAFKILWKEPRVILYEIDDIEKKIDFIVKTVGLNVGCLVDVPEYLGVSFEKQVVPRYKVIEYLRAKGGLGNEVGLKAMIKLSRLRFYNLYVKPYPECEKMFGRFSGDVQVKNQHPAGLWKLLKPQQRDPDSKEDVKNMKSFMEGLV >Potri.014G186733.1.v4.1 pep chromosome:Pop_tri_v4:14:15551355:15552032:1 gene:Potri.014G186733.v4.1 transcript:Potri.014G186733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186733.v4.1 MKLSSSKVAFMQKFLLKNWKFVSNAEIANTARIVLLWNPSTISIEVINLSAQGIHVVINNMVTRYCFTATFVYGYNIISARRALWEDLKRWCPSSPWIVLGDFNSILSQDDKHDKHNGEPVSSYEVPDFRECCADLGLADLNATGCHFTWSNGSVWSKIDRVMVNPLWFSSQMQTHVHFCTLGAFSDHSPTSIKIGLRPLPGKRNLKFFNMWAAHSNFMELITNN >Potri.008G012500.9.v4.1 pep chromosome:Pop_tri_v4:8:634743:637929:1 gene:Potri.008G012500.v4.1 transcript:Potri.008G012500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012500.v4.1 MALRFTLSSPSPSLQPPLIHSPPPLKLSTLPLNSNLFTYSATRSLRLRSTRRLPAVTASVPAVETTGTTGTDSGKKRPLLEVKDLTAVIAESKQEILKGVNVVLYEGEVHAIMGKNGSGKSTFSKVLAGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLNMAYNARRRKLGLPELGPIEFYAYLFPKLELVNMKSDFLNRNVNEGFSGGERKRNEILQLAVLGAELAILDEIDSGLDIDALQDVAKAVNGMLTPNNAVLMITHYLRLLEFIKPTCIHIMENGRIVKTGDISIAKTLEKEGYKAISAS >Potri.008G012500.8.v4.1 pep chromosome:Pop_tri_v4:8:634743:639124:1 gene:Potri.008G012500.v4.1 transcript:Potri.008G012500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012500.v4.1 MALRFTLSSPSPSLQPPLIHSPPPLKLSTLPLNSNLFTYSATRSLRLRSTRRLPAVTASVPAVETTGTTGTDSGKKRPLLEVKDLTAVIAESKQEILKGVNVVLYEGEVHAIMGKNGSGKSTFSKVLAGHPDYEVTGGSVVFKGENLLDMEPEERSLAGLFMSFQSPVEIPGVNNIDFLNMAYNARRRKLGLPELGPIEFYAYLFPKLELVNMKSDFLNRNVNEGFSGGERKRNEILQLAVLGAELAILDEIDSGLDIDALQDVAKAVNGMLTPNNAVLMITHYLRLLEFIKPTCIHIMENGRIVKTGDISIAKTLEKEGYKAISAS >Potri.010G233500.2.v4.1 pep chromosome:Pop_tri_v4:10:21467787:21470562:1 gene:Potri.010G233500.v4.1 transcript:Potri.010G233500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233500.v4.1 MSTDDFPLLDAPPPHPTTRHPDAASVTTTGDYYYSDHDKSSATPSSKRNHQNHYYNNNNKRSKSNSNTELESSDYRDYRKDREEWSDTAISCLLEAYTEKFNQLNRGNLRGRDWEEVAEAVSERGGSNNKKSVEQCKNKIDNLKKRYKVELQRISGSGSSWHWFKHIEVIMGNACNGKSSGGGAAAAAGGDSEGGGGGSCGNANVVVKQVKRYTSGSAAFANSLKTKPVTNLKWRRVVFKISGSALAGNCQNIDPKVAMQIAQEVATASRVGLEIAIVLGGRNFFCGESWISATGLERPTACQIGMMATVMNSVLLQSALEKHGVQARVQSAFAMPELAEPYSRQRAIRHLEKGRVVIFGGVGAGAGNPLFTTDTAAALRASEINADALLKGTIVNGVYDSHAGSSNIILDHISFRDVVSRGATSMDMMAITYCEENGIPVVVFNLLEPGNISRALCGDQVGTLIDQAGRIS >Potri.005G067500.1.v4.1 pep chromosome:Pop_tri_v4:5:4383859:4386710:1 gene:Potri.005G067500.v4.1 transcript:Potri.005G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067500.v4.1 MGILNPGLFDKQASIYVDARPRYPSEWFSMLAALTPHHCLAWDVGTGNGQAAVGVSEHYKQVIATDISEEQLKHAKPHPQIRYLHTPLTMSDDELVTLLGGENSVDLITVASAVHWFDLEKFYPIVRRVLRNPGGILAVWCYSGIQLSPEIDLLLRTHFERTFPFRNPNVKYAVECYKTLPFPFESVGVGSEGQPLELEMQKEMSFEGLLKLLKSLSSFNTAKGQGVDLLSEEVVREFESAWGGPELVRTIIYKTYMLAGKVKL >Potri.005G067500.2.v4.1 pep chromosome:Pop_tri_v4:5:4383859:4386193:1 gene:Potri.005G067500.v4.1 transcript:Potri.005G067500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067500.v4.1 MLAALTPHHCLAWDVGTGNGQAAVGVSEHYKQVIATDISEEQLKHAKPHPQIRYLHTPLTMSDDELVTLLGGENSVDLITVASAVHWFDLEKFYPIVRRVLRNPGGILAVWCYSGIQLSPEIDLLLRTHFERTFPFRNPNVKYAVECYKTLPFPFESVGVGSEGQPLELEMQKEMSFEGLLKLLKSLSSFNTAKGQGVDLLSEEVVREFESAWGGPELVRTIIYKTYMLAGKVKL >Potri.014G095000.1.v4.1 pep chromosome:Pop_tri_v4:14:6164748:6165387:-1 gene:Potri.014G095000.v4.1 transcript:Potri.014G095000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095000.v4.1 MSEGGMESVQRPASNRGNKGQNGQHEKEQSGSFQMPLHYPRYTRDDYETMQEWKLDCLLREYGLPITGDVEEKRKYAMGAFLWSR >Potri.011G120000.2.v4.1 pep chromosome:Pop_tri_v4:11:15092690:15098459:-1 gene:Potri.011G120000.v4.1 transcript:Potri.011G120000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120000.v4.1 MQGMCTLTLSATIPVLKPAECIGSLCPPATPAQYGVFFFGLYLIAFGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGCLVSSSLMVYIQDKAGWGLGFGIPALFMGIAIAIFFSGTPLYRFQRPVGSPITRMCQVVVASFHKRNLEVPQDISLLYETQEKHSTIEGTWKLAHSNELKCLDKAAIISEAEIKGGDFSDPWRLCTMTQVEELKILIRMFPIWATGIVFSAAHAQMSTIFVEQGMLMDKTIGSFNIPPASMITIDVISVIFWVPIYDRIIVPIARKFTGRERGFSELQRMGIGLFISMLSMTAAALLEIKRLQLVKELGLVGDAVAVPLSILWQIPQYMLMGTAEIFTSIGQLEFFYEQSPDAMRSLCSALALLAASLGNYLSSFILSMVTYLTTTGGKTGWIPDNLNKGHLDYLFWLLAGLSFFNLLIYTICAKRYKEKKAS >Potri.011G120000.4.v4.1 pep chromosome:Pop_tri_v4:11:15092606:15098309:-1 gene:Potri.011G120000.v4.1 transcript:Potri.011G120000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120000.v4.1 MSSGEEQTLLLEDELLLQNKNNGLHTGDGSVDINGTAVLKQKTGNWRACSFILGNECCERLAYYGIATNLVSYLTGKLHEGNVSAAKNVNIWAGTCYFTPLIGATLADAYWGRYWTISVFSTIYFIGMCTLTLSATIPVLKPAECIGSLCPPATPAQYGVFFFGLYLIAFGTGGIKPCVSSFGADQFDDTDPKERVKKGSFFNWFYFSINIGCLVSSSLMVYIQDKAGWGLGFGIPALFMGIAIAIFFSGTPLYRFQRPVGSPITRMCQVVVASFHKRNLEVPQDISLLYETQEKHSTIEGTWKLAHSNELKCLDKAAIISEAEIKGGDFSDPWRLCTMTQVEELKILIRMFPIWATGIVFSAAHAQMSTIFVEQGMLMDKTIGSFNIPPASMITIDVISVIFWVPIYDRIIVPIARKFTGRERGFSELQRMGIGLFISMLSMTAAALLEIKRLQLVKELGLVGDAVAVPLSILWQIPQYMLMGTAEIFTSIGQLEFFYEQSPDAMRSLCSALALLAASLGNYLSSFILSMVTYLTTTGGKTGWIPDNLNKGHLDYLFWLLAGLSFFNLLIYTICAKRYKEKKAS >Potri.018G092050.1.v4.1 pep chromosome:Pop_tri_v4:18:11282090:11282598:-1 gene:Potri.018G092050.v4.1 transcript:Potri.018G092050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092050.v4.1 MHGMHSWPRQEKFPTLSVSGLQGRDPAIEGRIDYIGDEFVVNDQLMISILAGS >Potri.018G092050.2.v4.1 pep chromosome:Pop_tri_v4:18:11282090:11282602:-1 gene:Potri.018G092050.v4.1 transcript:Potri.018G092050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092050.v4.1 MHAWHGLQGRDPAIEGRIDYIGDEFVVNDQLMISILAGS >Potri.001G344000.1.v4.1 pep chromosome:Pop_tri_v4:1:35505917:35508925:-1 gene:Potri.001G344000.v4.1 transcript:Potri.001G344000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344000.v4.1 MKETRSTKRKREKHGKPQNMASASSKISKSSSNFLDKMRARLSGGHFRMINEKLYTCTGDEALDYFKEDPSLFDMYHTGYQEQMSHWPEQPVNIIIQWLKARSSSLVVADFGCGDARLAKNVKNKVFSFDLVSNDPSVIACDMSNTPLDASSIDVAVFCLSLMGTNFPSYLEEAHRVLKPSGWLLIAEVKSRLDPNTGGADPKMFSKSISDLGFTSTLKDFSNKMFVLLYFQKKEKETSTRKGIEWPELKPCLYKRR >Potri.014G096400.1.v4.1 pep chromosome:Pop_tri_v4:14:6322110:6325621:-1 gene:Potri.014G096400.v4.1 transcript:Potri.014G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096400.v4.1 MARTKHPVARKRARSPKRSDASPSTPRTPTSSRTRPQANGQQGSSTQRQRKKHRFRSGTVALREIRQYQKTWRPLIPAASFIRCVRMITQEFSREVNRWTAEALVAIQEAAEDFLVHLFEDGMLCAIHAKRVTLMKKDFELARRLGGKGRPW >Potri.010G076275.1.v4.1 pep chromosome:Pop_tri_v4:10:10374524:10377871:1 gene:Potri.010G076275.v4.1 transcript:Potri.010G076275.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076275.v4.1 MHSMLSKPKFYITLVRGIPGTRRLHRRAVEASRLGKCNQTFMRWNTPTVRDDPAGHCVLIHYLIFHRVKRLVVVEMEEMYKAHKQK >Potri.017G126300.1.v4.1 pep chromosome:Pop_tri_v4:17:13050196:13054993:1 gene:Potri.017G126300.v4.1 transcript:Potri.017G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126300.v4.1 MAALHSTAFRLGSVALSSRQELSFRRGNVKASAFSCPSSVSIPRLSFQSKALGGDGFPETKDSSLVVCFGEMLIDFVPTISGLSLADAPAFKKAPGGAPANVAVGIARLGGSSAFIGKVGEDEFGYMLAEILKENNVNSEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQEAELDLDLIRKAKILHYGSISLITEPCKSAHIAAAKAAKNAGVVLSYDPNLRLPLWPSAESAREGILSIWDTADIIKISEEEISFLTKGEDPYDDAVVRKLCHPNHKLLLVTEGPEGCRYYTKEFNGRVKGLKVDAVDTTGAGDAFVAGILSQLAKDLSLLQNEDRLREALMFANACGALTVKGRGAIPALPTREAVHNAILHSVG >Potri.017G126300.5.v4.1 pep chromosome:Pop_tri_v4:17:13052122:13054998:1 gene:Potri.017G126300.v4.1 transcript:Potri.017G126300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126300.v4.1 MLAEILKENNVNSEGMRFDPGARTALAFVTLRSDGEREFMFYRNPSADMLLQEAELDLDLIRKAKILHYGSISLITEPCKSAHIAAAKAAKNAGVVLSYDPNLRLPLWPSAESAREGILSIWDTADIIKISEEEISFLTKGEDPYDDAVVRKLCHPNHKLLLVTEGPEGCRYYTKEFNGRVKGLKVDAVDTTGAGDAFVAGILSQLAKDLSLLQNEDRLREALMFANACGALTVKGRGAIPALPTREAVHNAILHSVG >Potri.008G092700.2.v4.1 pep chromosome:Pop_tri_v4:8:5771582:5774729:-1 gene:Potri.008G092700.v4.1 transcript:Potri.008G092700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092700.v4.1 MIACIQNRHALCFTVTVNTARGDKRAPSTSRSGVKNVITCDCSHGYYDVWSINGPTLLDPITSTFFAIGATNSTPLDSTVKFHPYPRKTDKNAKSKVNELTLTSAPPKSSCGIRHSSPAIVFSTGGYTGNFYHQFNDGLLPLYITINSLTLDQDVILVVTNWSDWWAKKYADLLHQFTRHPIVNMDNQTRTHCFPSAIVGLMTHGPLVVDPRLLPRNKTLLDFHALLQNTYGPRGNYLSTSGKSKGARPQLVLVNRKNGVGREILNLKEVLKAIKEVGFKAIVFEPKRNASVRETYRLLHGSHAMLAVHGAAMTHLLFLRVGTVVGEIVPIGTDWPAKTFYEKPARVLGLEYMKYKIEVNESSLAEKYRVNDLVLKNPSAFVNGNYTKAMVYMKTQNVKLDIVRFRAYLKEAFVKAQRFMDKEG >Potri.008G092700.1.v4.1 pep chromosome:Pop_tri_v4:8:5771591:5774854:-1 gene:Potri.008G092700.v4.1 transcript:Potri.008G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092700.v4.1 MLKKGVPTTAIVSFVFVFMVFFFTSQINLSLLSGSNVASSIARSKPVTVNTARGDKRAPSTSRSGVKNVITCDCSHGYYDVWSINGPTLLDPITSTFFAIGATNSTPLDSTVKFHPYPRKTDKNAKSKVNELTLTSAPPKSSCGIRHSSPAIVFSTGGYTGNFYHQFNDGLLPLYITINSLTLDQDVILVVTNWSDWWAKKYADLLHQFTRHPIVNMDNQTRTHCFPSAIVGLMTHGPLVVDPRLLPRNKTLLDFHALLQNTYGPRGNYLSTSGKSKGARPQLVLVNRKNGVGREILNLKEVLKAIKEVGFKAIVFEPKRNASVRETYRLLHGSHAMLAVHGAAMTHLLFLRVGTVVGEIVPIGTDWPAKTFYEKPARVLGLEYMKYKIEVNESSLAEKYRVNDLVLKNPSAFVNGNYTKAMVYMKTQNVKLDIVRFRAYLKEAFVKAQRFMDKEG >Potri.009G108100.2.v4.1 pep chromosome:Pop_tri_v4:9:9360428:9364446:-1 gene:Potri.009G108100.v4.1 transcript:Potri.009G108100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108100.v4.1 MAEPLRVLGCFFFFSFLLSSFSNFSLALTDAEASSIARRQLLTLHENGELPDDFEYEVDVKETFANQRLRRAYIGLQAWKKAMYSDPFNTTGNWVGADVCAYNGVFCAPALDDSGLSVMAGVDLNGADIAGYLPAELGLLTDVALFHINSNRFCGIIPKSFSKLTLMYEFDVSNNRFVGDFPSVVLTLPSLKYLDIRFNDFEGSLPPELFNKDLDALFLNDNRFTSTIPETIGNSPVSVVTFANNKFTGCIPHSIGKMTNLNEVIFMGNDLGGCFPAEIGLLGNVTVFDASHNGFTGILPSSFAGLKKVELLDLADNKLTGFVPENICRLSSLTNFTFSYNYFKGEAQACVPPSRKDTVLDDTSNCLSDRPKQKSARTCYPVVSRPVDCSKDKCSGGGGSSNPHPKPQPTPPTPEHKQIPSPPKSTSTPTPSSPIPAPQTPELPKPEPKLPLAPVEPISPSTPEVSLPPSLSISPSTPEISSPPSSSSPSTPSSDPYNPGPGGHGETLPSPKSAPSPNPFNNSPVGHNETPPSPESAPSPDPFNNSPDGHNETPLSPESAPSPNPFNNSPDGHDETPLSPESAPSQSPESAPSPDPFNNSPDGHDETPQSSESALSPDPFNNSPDGHDEIPPSPEPSPDPFNNSPNGHDETPTSPESAQSPDPFNNSPIGHDKTPPPSSEISIPPSPLISPPTSEKHIPPSSEFAPSPDSYNLRPGGHDDTPPSPKSAPSPDSFDNSSIRHDKTPPPSSEIAIPPSSSFSPSTSEKPMPPSPNSTPSPNSYNLELGGHYGPPPSLMPSVKPETPEPSPQPEIPIVNHHSPLPLVHSPPLQPETPIVNHHSPQLLVHSPPPSSQSLPPLVYSLPPPAHSPPPSIHFPPPPVHSPPPPPVHSPPPPIYSPPPLVYSPPPPVHSPPPPVHSPPPPVQSFPPPVHSPPPPVHSPPPPPVYSPPPPVHSPPPPVYSPPPLVQSPPPPVHSPPPPLHSPPPPPVYSPPPPVHSPPPPVHSPPPPIQSPPPPVHSPPPPPIHSPPPPVQSLPPPPVNSPLPPVHSPPPPVHSPTSPIHSHPPPVNSPPPPVQSLPPPPVNSPPPPVHSPTPPIHSPSPPLHSPPPPIRSPPPPVFSPPPVIVSPPPPPPEEDFILPPNLGFQYASPPPPTFPGY >Potri.005G142900.1.v4.1 pep chromosome:Pop_tri_v4:5:11497279:11498296:-1 gene:Potri.005G142900.v4.1 transcript:Potri.005G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142900.v4.1 MAAMLKSLSFFFILLTVNSLLFIETEARPFSVVKPRNSASSRAIESFFDGLSLGELKQSGPSPGVGNSFTNSQTLGGIKDGPSPCCGNKYTTGTHH >Potri.004G184600.1.v4.1 pep chromosome:Pop_tri_v4:4:19822500:19825647:1 gene:Potri.004G184600.v4.1 transcript:Potri.004G184600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184600.v4.1 MGLNPLCGNNQGPVTSSASDVIVGVIDGGSWPESESFSDTGLGAVPIKFKGECVAGENFTSDNCNWFYFKGFEAENGPLEDIGGTFFRSARDSDGHGSHTSSTIAGAILPNVSLSGMARGTARGGASYARLAIYKACWFNLCSDADVVSAMDDAINDGVDILSLSLALDPPQPIYFTNAISVGAFHAFKKGIVVSCSAGNSFSPKTAANVAPWILTVAASSLDREFGSNIYLGNSQVLKVIFTNAIMIFISDFLKIDVLSGTINTVDPAKIVVCTIEVLTDVRRQKAVAEQLGEGAGMKLIDPIVKEVGFQFVIPGTLIGQEEAQQLQAYMQTQRVLNTKPAPKVTVFSSQGPNVITPGIIKPDITAPGLNILAAWSSISTVGTGGRRVNYNIISGTSMSCPHVSAAAAILKSYRPSWSPAAIKSAIMTTATPFDFGSGHINPLAALNPGLIYRFDSSDVIDFLCSIGARPAQLKILTGQTTYCQNPTKQSSDFNNPSIGVSNMKGSIPVYRTVTYYGTGPTAYVVKADDPAGVQVTVTPAQLKFTKTGENMSFRIDFKPLKTSDGNFVFGALTWSNGVHKVRRPIALQVLSL >Potri.011G143000.6.v4.1 pep chromosome:Pop_tri_v4:11:17267361:17271002:-1 gene:Potri.011G143000.v4.1 transcript:Potri.011G143000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143000.v4.1 MAGNGLPPLGRVKLSDLVPSEGLPSESYKLSVTTLSQSLVQYSAAIIQFSSSDGALLRSGLDSARLYFHQRSSFPAPDMIHTNDSREWCKTSGYYMDPQLWQETYDYRPGLTPIEPNNTMEIPPGGLPDIFCLLGKAARDILDAISFYLNLRSSPFTEILDNVPLRNREISSSVLSVCCHARPSFQGTQHHNLTAHEDGQLVMYPDHENQVDKSLISLVKSDKPGLHIRDLHGRWVPVDVDLGPQEAIVYPGLALYQATAGYVNPALLRTEFNSMQGSMYGRCSLAFKLMPKSMTSLNCSEMRAAGHGVEAQFQLPIPVDDFMQRSHPTDQLFNRHNFQSFSFPTAQDGSAKPLMRRRKNNSRCKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPPGVPFVHPHDLPNKAKISFLEAYEPGATHDMELSFTDPGQGSQHTSSCN >Potri.011G143000.2.v4.1 pep chromosome:Pop_tri_v4:11:17267391:17271038:-1 gene:Potri.011G143000.v4.1 transcript:Potri.011G143000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143000.v4.1 MAGNGLPPLGRVKLSDLVPSEGLPSESYKLSVTTLSQSLVQYSAAIIQFSSSDGALLRSGLDSARLYFHQRSSFPAPDMIHTNDSREWCKTSGYYMDPQLWQETYDYRPGLTPIEPNNTMEIPPGGLPDIFCLLGKAARDILDAISFYLNLRSSPFTEILDNVPLRNREISSSVLSVCCHARPSFQGTQHHNLTAHEDGQLVMYPDHENQVDKSLISLVKSDKPGLHIRDLHGRWVPVDVDLGPQEAIVYPGLALYQATAGYVNPALLRTEFNSMQGSMYGRCSLAFKLMPKSMTSLNCSEMRAAGHGVEAQFQLPIPVDDFMQRSHPTDQLFNRHNFQSFSFPTAQDGSAKPLMRRRKNNSRCKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPPGVPFVHPHDLPNKAKISFLEAYEPGATHDMELSFTDPGQGSQHTSSCN >Potri.011G143000.3.v4.1 pep chromosome:Pop_tri_v4:11:17267805:17270881:-1 gene:Potri.011G143000.v4.1 transcript:Potri.011G143000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143000.v4.1 MAGNGLPPLGRVKLSDLVPSEGLPSESYKLSVTTLSQSLVQYSAAIIQFSSSDGALLRSGLDSARLYFHQRSSFPAPDMIHTNDSREWCKTSGYYMDPQLWQETYDYRPGLTPIEPNNTMEIPPGGLPDIFCLLGKAARDILDAISFYLNLRSSPFTEILDNVPLRNREISSSVLSVCCHARPSFQGTQHHNLTAHEDGQLVMYPDHENQVDKSLISLVKSDKPGLHIRDLHGRWVPVDVDLGPQEAIVYPGLALYQATAGYVNPALLRTEFNSMQGSMYGRCSLAFKLMPKSMTSLNCSEMRAAGHGVEAQFQLPIPVDDFMQRSHPTDQLFNRHNFQSFSFPTAQDGPWIDDMLVQDLRSP >Potri.011G143000.5.v4.1 pep chromosome:Pop_tri_v4:11:17267392:17271096:-1 gene:Potri.011G143000.v4.1 transcript:Potri.011G143000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143000.v4.1 MAGNGLPPLGRVKLSDLVPSEGLPSESYKLSVTTLSQSLVQYSAAIIQFSSSDGALLRSGLDSARLYFHQRSSFPAPDMIHTNDSREWCKTSGYYMDPQLWQETYDYRPGLTPIEPNNTMEIPPGGLPDIFCLLGKAARDILDAISFYLNLRSSPFTEILDNVPLRNREISSSVLSVCCHARPSFQGTQHHNLTAHEDGQLVMYPDHENQVDKSLISLVKSDKPGLHIRDLHGRWVPVDVDLGPQEAIVYPGLALYQATAGYVNPALLRTEFNSMQGSMYGRCSLAFKLMPKSMTSLNCSEMRAAGHGVEAQFQLPIPVDDFMQRSHPTDQLFNRHNFQSFSFPTAQDGSAKPLMRRRKNNSRCKPLPPSKRLRLEAQRVLKERVQDIADKKGIKLRFCNLKECENHIHALDSPCANIRMEIGWPPGVPFVHPHDLPNKAKISFLEAYEPGATHDMELSFTDPGQGSQHTSSCNCNSPLISN >Potri.011G143000.7.v4.1 pep chromosome:Pop_tri_v4:11:17267835:17270994:-1 gene:Potri.011G143000.v4.1 transcript:Potri.011G143000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143000.v4.1 MAGNGLPPLGRVKLSDLVPSEGLPSESYKLSVTTLSQSLVQYSAAIIQFSSSDGALLRSGLDSARLYFHQRSSFPAPDMIHTNDSREWCKTSGYYMDPQLWQETYDYRPGLTPIEPNNTMEIPPGGLPDIFCLLGKAARDILDAISFYLNLRSSPFTEILDNVPLRNREISSSVLSVCCHARPSFQGTQHHNLTAHEDGQLVMYPDHENQVDKSLISLVKSDKPGLHIRDLHGRWVPVDVDLGPQEAIVYPGLALYQATAGYVNPALLRTEFNSMQGSMYGRCSLAFKLMPKSMTSLNCSEMRAAGHGVEAQFQLPIPVDDFMQRSHPTDQLFNRHNFQSFSFPTAQDAGPWIDDMLVQDLRSP >Potri.001G045000.2.v4.1 pep chromosome:Pop_tri_v4:1:3247249:3249621:-1 gene:Potri.001G045000.v4.1 transcript:Potri.001G045000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045000.v4.1 MPVDTSSLPCQGQTVCVTGAGGFIASWIVKLLLEKGYSVKGTVRNPADPKNSHLRELEGAQERLTLCKADILDYESLKEAIQGCDGVFHTACPVTDDPDKVMEPAVNGTKNVIMAASEAKVRRVVFTSSIGTVYMDPNRSPDVVVDESCWSDLEYCKNTKNWYCYGKTVAEQVAWDVAKKKGIDLVVVNPVVVLGPLLQPTVNASILHILKYLTGSAKTYANAVQAYVHVRDVAVAHILVFETPSASGRYICFEKMLHRGEVVEILAKFFPEYPIPTKCSDEKNPRKQNYKLTNQKIKDLGIEFVPVKQCLYETVKSLQEKGILPILKHAEDSVKIQ >Potri.010G248900.2.v4.1 pep chromosome:Pop_tri_v4:10:22272428:22275821:-1 gene:Potri.010G248900.v4.1 transcript:Potri.010G248900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248900.v4.1 MEENDQTPPSEEMQSLTVTPSASSSSNPTTPQLLSLEERFQLVRSVGEECIQEDELRNLLEKKPLPICYDGFEPSGRMHIAQGVMKTINVNKLTKAGCKMKIWIADWFAQLNNKMGGDLKKIKTVGEYLIEIWKAVGMDIDGDKVEFLWSSDEINSRAHEYWPLVMDIARRNKLPRIMRCVQIMGRSEQDELTAAQIFYPCMQCADIFFLKADICQLGMDQRKVNVLAREYCDDIKRKNKPIILSHHMLPGLQQGQEKMSKSDPSSSIFMEDEEVEVKTKIKKAYCPPQIVEGNPCLEYIKHIVFPWFNKFKVERNPENGGEKIYESFEELVSDYESGGLHPGDLKPALAKALNMILQPVRDHFSKDPKAKDLLKRVKGYRVTR >Potri.001G331500.2.v4.1 pep chromosome:Pop_tri_v4:1:34016227:34019962:-1 gene:Potri.001G331500.v4.1 transcript:Potri.001G331500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331500.v4.1 MSKGKELGSEDGRGDVSRNDPDPSKKRMLAEDSGNSSWIASNSPPMKKIGVCEISRGGSGCYNFFCSNGRVGDSSNVKPQDTDYSYAPQLSDELENLILARVPRSEYWKFPNVNKRILSLVKSGELFKIRREIGVRESSVFIFATGDKSWWAFDRQFCSRRKLPDLPADCCFSFGDKESLCAGTHLIISGREIEGVVVWRYELETNSWNKGPSMINPRCLFASASCGAFAFVAGGVTGTGVDVLNSAEKYNPDTKSWEDLPRMRQRRKLCSGCFMDNKFYVIGGRNEEGNVLTCAEAYDEDKKAWDLIPDMLEDTPIATFQSPPLIAVVNNELYALEPSSNQLKVYLKRSRTWKKLGAVPVRADSNKGWGVAFKSLGNELLVIGASTSTVSYSGDGMAIYTCRPDSEAEELQWRPLECGKNRLSNFILNCSVMVA >Potri.001G331500.5.v4.1 pep chromosome:Pop_tri_v4:1:34016182:34018691:-1 gene:Potri.001G331500.v4.1 transcript:Potri.001G331500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331500.v4.1 MKKIGVCEISRGGSGCYNFFCSNGRVGDSSNVKPQDTDYSYAPQLSDELENLILARVPRSEYWKFPNVNKRILSLVKSGELFKIRREIGVRESSVFIFATGDKSWWAFDRQFCSRRKLPDLPADCCFSFGDKESLCAGTHLIISGREIEGVVVWRYELETNSWNKGPSMINPRCLFASASCGAFAFVAGGVTGTGVDVLNSAEKYNPDTKSWEDLPRMRQRRKLCSGCFMDNKFYVIGGRNEEGNVLTCAEAYDEDKKAWDLIPDMLEDTPIATFQSPPLIAVVNNELYALEPSSNQLKVYLKRSRTWKKLGAVPVRADSNKGWGVAFKSLGNELLVIGASTSTVSYSGDGMAIYTCRPDSEAEELQWRPLECGKNRLSNFILNCSVMVA >Potri.001G331500.4.v4.1 pep chromosome:Pop_tri_v4:1:34016234:34019821:-1 gene:Potri.001G331500.v4.1 transcript:Potri.001G331500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331500.v4.1 MSKGKELGSEDGRGDVSRNDPDPSKKRMLAEDSGNSSWIASNSPPMKKIGVCEISRGGSGCYNFFCSNGRVGDSSNVKPQDTDYSYAPQLSDELENLILARVPRSEYWKFPNVNKRILSLVKSGELFKIRREIGVRESSVFIFATGDKSWWAFDRQFCSRRKLPDLPADCCFSFGDKESLCAGTHLIISGREIEGVVVWRYELETNSWNKGPSMINPRCLFASASCGAFAFVAGGVTGTGVDVLNSAEKYNPDTKSWEDLPRMRQRRKLCSGCFMDNKFYVIGGRNEEGNVLTCAEAYDEDKKAWDLIPDMLEDTPIATFQSPPLIAVVNNELYALEPSSNQLKVYLKRSRTWKKLGAVPVRADSNKGWGVAFKSLGNELLVIGASTSTVSYSGDGMAIYTCRPDSEAEELQWRPLECGKNRLSNFILNCSVMVA >Potri.014G054200.3.v4.1 pep chromosome:Pop_tri_v4:14:3469347:3472585:-1 gene:Potri.014G054200.v4.1 transcript:Potri.014G054200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054200.v4.1 MENDINSPSASNKDIGMATRSNNENRIDKASASNTGKEIEAASASNVLKDLADGIARFKPGKCPEHCIYEVPRSLRSIEPKAYTPQVVSLGPAHCGHEELVSMEKQKLRYLAEFMDGKKVTLDDLVTIVQKNEMEIRGCYNFNRSSDDFVKMILLDAVFVIEFLLQFFNLFCEEVPILPEPRMVFGLQVDLILLENQLPYFILEKIYEKTYSDPETQASLTFPELVAFYFGHYYRISERPKVNSVGIKHFTDFLRYVMLNESLETLPRRPCTIKLKYSATMLHRAGLKFEATENSCVLDINFVNGVLKMPRFEVNQSFEYVTRNLMAYEQCHYPYSTYICNYFMLMDHLINTEEDVDLLVKVGVIDNWLGNNAMVADLINRLCEQISEFFTCYHDLCVDLNAYYENRCNHRKATLKLVYFSNLWRGTGTVAAAVLLILTLIQTVCSIMSLLGPKQLI >Potri.006G042500.5.v4.1 pep chromosome:Pop_tri_v4:6:2842467:2850813:1 gene:Potri.006G042500.v4.1 transcript:Potri.006G042500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042500.v4.1 MAEMQLNEIRVDPLTNRQEDPLSNRPEQGSKMAMSEIQGTSSQTNERSLWLSGIMRGVEGAKTKQRYSGPMIPKVPDKLKHENKECYKPSVVSIGPYHYKDRQNKLHEVEKLKVQMAREFVLDSKIKDIEILYSEVAKVAENAKRFYEGSSISCFDDEQFTRMMFLDGCFILQFIRGVMDNKLDMSDQQIILVKQDLLLLENQLPFSVLKSLMSLKNDGDDRGKEININDFLLLQILQQSDQRRPVWVLSWLVKGYLLLLLIYTSVVYPFHILQGKDSFFFSPLFGILVAGVIFVCKMVASLMRSLLCGNKWQAAKYHWPPHQEPAHILELLYSMFVRSQKEKHKLSLRSCCIYDLPGRLRNLFPKSKLGKRGHNLYYSAKDLQKVGIHFKPSKTSTLMDVEFVSSIFYATLKIPSITIEKATRSMLLNLVAYETAAFLDDLWVTSYICFIDSLIDDAEDVKVLRSKGILTNYLGPDQKVADLFNQIGRSLEPTNTNIVYNDVKRKINVSCDSTWKKWIAEWLQTYFSSPWAFIAFVAAAAVLVLTATQTYYTVYPYKSSDSPMSVGT >Potri.005G204000.2.v4.1 pep chromosome:Pop_tri_v4:5:20912098:20913266:1 gene:Potri.005G204000.v4.1 transcript:Potri.005G204000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204000.v4.1 MGKKVSQASMKISKNEKNQLGSLIKVLRPKVYITDSSSFKRLVQELTGNGKTIPSPPPPSKPQTVLENVAVVNVEAHGEPVCSMETPIDASIDSLEFGDQLVSFTEELNQPYNHGEPESNMYTSFDASVDSLKLCDQLVSFTGELNQPHNHGGPESSMETSFDASVDSFEFCNQLVSFTEEPNQPYNHGEPESSMETSFDASVDSFEYCNQLVPFIEELNQPYNQLHFDDVTLNHLSIYQQIDMLTGQDFESLLLDIEQYPFSSSYSQTHMLEEVSI >Potri.003G138400.1.v4.1 pep chromosome:Pop_tri_v4:3:15519448:15522637:1 gene:Potri.003G138400.v4.1 transcript:Potri.003G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138400.v4.1 MATKVCVTGGSGYIGSWLVRKLLVKGYTVHATLRNLEDKSKVGLLNSLPNADTKLVLFQADIYNPHEFDDAIQGCEFVFHVATPMQHDPESSRYKDRVEATIAGARSIADCCVKSQTVKRLIYTATVLASSPLNEHGSGYKSCMDESCWTPSDLSLTYANDYVMEYTNSKTLAEKEVLSYNEIEDAKLEVVTLLCGLVGGETILSHVPSSVQVIISPFTGNIFGYYQGLKFIEEVLGSVPLVGIDDVCEAHIFCMENPSMKGRFLCSAADPTVREIKAYLEENHPEFKIDEKFREEPEIRGIKCDSSKLMKMGFKYQYDMRKIIDESLECGKRLAALQLIDKMDSNTS >Potri.001G234800.1.v4.1 pep chromosome:Pop_tri_v4:1:25346758:25351780:-1 gene:Potri.001G234800.v4.1 transcript:Potri.001G234800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234800.v4.1 MTIAIAGMADSLLSGGVKENKLWKGVLAVAGIMTTLVIYGLLQEKIMRVPYGSNKEFFKYSLFLVFCNRITTSAVSAAALVASKKALNPVAPVHKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTIIMQKRYKGMDYFLALLVTLGCSIFILFPAGTEISPYSRGRENTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLTGLVLQGHLLPAIDFVFRHNDCFFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIVLSCVWFAHPLSWEQWIGAVIVFGSLYARNLLKSTPSKLPPSEHTQNGASSPYEAKPLMQ >Potri.001G234800.4.v4.1 pep chromosome:Pop_tri_v4:1:25346802:25351430:-1 gene:Potri.001G234800.v4.1 transcript:Potri.001G234800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234800.v4.1 MRVPYGSNKEFFKYSLFLVFCNRITTSAVSAAALVASKKALNPVAPVHKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTIIMQKRYKGMDYFLALLVTLGCSIFILFPAGTEISPYSRGRENTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLTGLVLQGHLLPAIDFVFRHNDCFFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIVLSCVWFAHPLSWEQWIGAVIVFGSLYARNLLKSTPSKLPPSEHTQNGASSPYEAKPLMQ >Potri.001G234800.2.v4.1 pep chromosome:Pop_tri_v4:1:25346730:25351717:-1 gene:Potri.001G234800.v4.1 transcript:Potri.001G234800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234800.v4.1 MADSLLSGGVKENKLWKGVLAVAGIMTTLVIYGLLQEKIMRVPYGSNKEFFKYSLFLVFCNRITTSAVSAAALVASKKALNPVAPVHKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTIIMQKRYKGMDYFLALLVTLGCSIFILFPAGTEISPYSRGRENTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLTGLVLQGHLLPAIDFVFRHNDCFFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIVLSCVWFAHPLSWEQWIGAVIVFGSLYARNLLKSTPSKLPPSEHTQNGASSPYEAKPLMQ >Potri.001G234800.3.v4.1 pep chromosome:Pop_tri_v4:1:25346939:25351780:-1 gene:Potri.001G234800.v4.1 transcript:Potri.001G234800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234800.v4.1 MRVPYGSNKEFFKYSLFLVFCNRITTSAVSAAALVASKKALNPVAPVHKYCLISVSNILTTTCQYEALKYVSFPVQTLAKCAKMIPVMVWGTIIMQKRYKGMDYFLALLVTLGCSIFILFPAGTEISPYSRGRENTVWGVSLMLGYLGFDGFTSTFQDKLFKGYDMEIHNQIFYTTLCSCILSLTGLVLQGHLLPAIDFVFRHNDCFFDIALLSTVATASQFFISYTIRTFGALTFAAIMTTRQLVSIVLSCVWFAHPLSWEQWIGAVIVFGSLYARNLLKSTPSKLPPSEHTQNGASSPYEAKPLMQ >Potri.003G008200.1.v4.1 pep chromosome:Pop_tri_v4:3:785066:787142:1 gene:Potri.003G008200.v4.1 transcript:Potri.003G008200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008200.v4.1 MEEDYSTLQRLCYSLLLPCFVFLALSTKFLLHKRKQGKISNLPPSPFALPIIGHLYLLKQPVHRTLHSLSQKHGPIFSLKFGSRLAVVISSPSAVEECFTKNDIVLANRPHFLSGKYLNYNNTTMGKVEYGDHWRNLRRISALEIFSSPRLTSLFSIRREEVMALLRRLHSVSKHGNYAKVELRSMLLDLTCNIMMRMVAGKRYYGEDVKEIEEARIFKEIMEEFAECIVMTNVGDLIPMLQGVDFTGHLKKLDRLSKKMDVFLQGLVDEHRDSRDRNTMINRFLALQEEQPEYYTDEVIKGHVLVLLIGGTETSATAMEWALANLLNHPDVLRKAKAELDAQVGDRLIDESDFAKLHYLQSIISENLRLCPVTPLIPPHMSSSDCTIGGYHVPAGTILFVNAWSLHRDPTLWDEPTSFKPERFESAGRVDACKFIPFGMGRRACPGDGLAKRVMILTLGSLIQCFEWNRVGESKIDMAEKTALTMFKVEPLELMCRARPILDMLLS >Potri.004G128701.1.v4.1 pep chromosome:Pop_tri_v4:4:13543647:13547563:-1 gene:Potri.004G128701.v4.1 transcript:Potri.004G128701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128701.v4.1 MVADKGKKSKVTGEEETDHIDGELVLSVEKLQELQDELEKINEQASDEVLGIEQKYNDVRRPVNTKRTDIIKSIPDFWLTAESFLSHPALCDLLTEEDQKIFKYLDSLDMEDSKDVMSGYSITFNFKENAHFEDTKLKKTFTFFEEGTAKITSTYIKRCKWSQSREKGDKRPLAELSFFSWFAETEQEEISELHDEVAEIIKEDLWPNPLKYFNNEADEEDSDGRWRWR >Potri.001G399600.15.v4.1 pep chromosome:Pop_tri_v4:1:42581914:42589111:-1 gene:Potri.001G399600.v4.1 transcript:Potri.001G399600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399600.v4.1 MRLFWLTCSPRGVTMEGSSESAWQKSGSYRGFNTSVVTNRNLRSASYNSGFRKETDRVVLARQNLKNQAGTLSGVCEDEAAVDHFVQNMEWNDVSLRHWLNKPERSVDEFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASYSDSGSDSLDDGLNRQTVEVKNASSFSHDMCQQRSRLQSEDFLPASTPTNALSEASCMQSSSLYAADLPLGEETEENKVLGTRNVEHEEERKQPFPMKQILLMESSWYTSPEEVAGSPSSCASDIYQLGVLLFELFSPFTSREDKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMGELLQSEFLNEPRDYLEEREAAIQLRERIEEQELLLEFLLPMQQRKQDAANKLQGTVSLLCSDIEEVTKHQTFLKKKGSTCKERGEGDHLASNLPPLNIYDIDDSSSLGSRKRFCSGLQILNTEGCDDNLNEGRNSDTFVESQESPLFRSSRLMKNFKKLESAYFLTRSRPVRPPGKPPFVRNSPVSGDGRGSIVVTERSSINSLALKDRFIKGRQSGWISPFLEGLCKYLSYSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDTIINEARDIHYPVVEMVSRSKLSSICWNRYITSQIASSNFEGVVQVWDVTRSQVVTEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGVSIGSIKTKANVCSVQFPMDSSRSIAFGSADHRIYYYDLRNSKVPLCTLIGHNKTVSYVKFVDTTNIVSASTDNTLKLWDLSMGTSRVIDNPLQSFTGHMNVKNFVGLSVSDGYIATGSETNEVFVYHKAFPMPVLSFKFNNTDPLSGHEMDDAAQFISSVCWRGQSSTLVAANSTGNIKILEMV >Potri.001G399600.16.v4.1 pep chromosome:Pop_tri_v4:1:42581913:42588113:-1 gene:Potri.001G399600.v4.1 transcript:Potri.001G399600.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399600.v4.1 MRLFWLTCSPRGVTMEGSSESAWQKSGSYRGFNTSVVTNRNLRSASYNSGFRKETDRVVLARQNLKNQAGTLSGVCEDEAAVDHFVQNMEWNDVSLRHWLNKPERSVDEFECLHIFRQIVEIVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASYSDSGSDSLDDGLNRQTVEVKNASSFSHDMCQQRSRLQSEDFLPASTPTNALSEASCMQSSSLYAADLPLGEETEENKVLGTRNVEHEEERKQPFPMKQILLMESSWYTSPEEVAGSPSSCASDIYQLGVLLFELFSPFTSREDKSRTMSSLRHRVLPPQLLLKWPKEASFCLWLLHPEPSSRPKMGELLQSEFLNEPRDYLEEREAAIQLRERIEEQELLLEFLLPMQQRKQDAANKLQGTVSLLCSDIEEVTKHQTFLKKKGSTCKERGEGDHLASNLPPLNIYDIDDSSSLGSRKRFCSGLQILNTEGCDDNLNEGRNSDTFVESQESPLFRSSRLMKNFKKLESAYFLTRSRPVRPPGKPPFVRNSPVSGDGRGSIVVTERSSINSLALKDRFIKGRQSGWISPFLEGLCKYLSYSKLKVKADLKQGDLLNSSNLVCSLSFDRDGEFFATAGVNKKIKVFECDTIINEARDIHYPVVEMVSRSKLSSICWNRYITSQIASSNFEGVVQVWDVTRSQVVTEMREHERRVWSIDFSSADPTMLASGSDDGSVKLWSINQGVSIGSIKTKANVCSVQFPMDSSRSIAFGSADHRIYYYDLRNSKVPLCTLIGHNKTVSYVKFVDTTNIVSASTDNTLKLWDLSMGTSRVIDNPLQSFTGHMNVKNFVGLSVSDGYIATGSETNEVFVYHKAFPMPVLSFKFNNTDPLSGHEMDDAAQFISSVCWRGQSSTLVAANSTGNIKILEMV >Potri.007G109000.4.v4.1 pep chromosome:Pop_tri_v4:7:13124306:13137122:1 gene:Potri.007G109000.v4.1 transcript:Potri.007G109000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109000.v4.1 MVRLLGLTRGESDDSPREITSRTTPLTSESGESGWLIRFFDSSFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMMIHKPSPSLDRFVIDMCSKSLLIALKVHWFLLAELEDSDDNDGISRIQEKCQIAATLMGEWPPLLRPRNESSSPGSKNQVLNRILSSKQKLLSLTSSPPLQKSTQLSGNSLQEDGTGSQLSPDENKIFKKFIPGPKFRDALLFRKSVDKDDTKARDALLFRKSVDKDDTKARDALLFRKSVDKDEDEGGKDGFFKRLLRDSSRREDEELTTSSDGFFKRLRDSIKSEDEELTSSSDGFFKRLLRDNSRVEDEEVMSSSDGFFKKLFRDSKSDGDEKLVSKSAEDDEKEGFLKRFFKEKFEDKKDGNDQNEDEERLKLEEKGSKSAEDDEKEGFFWKLFKDKFEDKKDGADKPDEGTVNGEEEEPSDFSLFRRLFRVHPEEVQSSPVNENNSSGSLLESSLGTENFFRKLFRDRERSFEDSELFSFKKNNEKHPGSPKQQNEKSNTKPPLSNTAALFRKGAYHESLDFVMTLCETSYGLVDVFPVEDRKSALCESLAEINMHLAEAQNSGGVCFPMGKGMYRIVHIPEDEAVLLNSREKAPYLICVEVLKSEMPSNSKETSGTQKLSRGGIPLANGDAFLQKPPPWAYPLWTAQEVYRNSSDRMSRSTAEAIDQAMSHSSEMKMKFVSVSLSVEKQFPSQSTIIEAPKLNSGINCMHQNASHCNDLEWVRVVLTADPGVRMEDTGYAGAPRRKEHRRVPSTIAMEEVKAAAAKGEAPPGLPLKGAGQDSSDAHPKVDGNPKASDALSGELWEVKKERIRKASLYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPNVTSLRDFFVAKYGENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRYHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Potri.007G109000.5.v4.1 pep chromosome:Pop_tri_v4:7:13124214:13136835:1 gene:Potri.007G109000.v4.1 transcript:Potri.007G109000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109000.v4.1 MVRLLGLTRGESDDSPREITSRTTPLTSESGESGWLIRFFDSSFFCEWIAVSYLYKHDHAGVRDYLCNRMYTLPLSGIESYLFQICYMMIHKPSPSLDRFVIDMCSKSLLIALKVHWFLLAELEDSDDNDGISRIQEKCQIAATLMGEWPPLLRPRNESSSPGSKNQVLNRILSSKQKLLSLTSSPPLQKSTQLSGNSLQEDGTGSQLSPDENKIFKKFIPGPKFRDALLFRKSVDKDDTKARDALLFRKSVDKDDTKARDALLFRKSVDKDEDEGGKDGFFKRLLRDSSRREDEELTTSSDGFFKRLRDSIKSEDEELTSSSDGFFKRLLRDNSRVEDEEVMSSSDGFFKKLFRDSKSDGDEKLVSKSAEDDEKEGFLKRFFKEKFEDKKDGNDQNEDEERLKLEEKGSKSAEDDEKEGFFWKLFKDKFEDKKDGADKPDEGTVNGEEEEPSDFSLFRRLFRVHPEEVQSSPVNENNSSGSLLESSLGTENFFRKLFRDRERSFEDSELFSFKKNNEKHPGSPKQQNEKSNTKPPLSNTAALFRKGAYHESLDFVMTLCETSYGLVDVFPVEDRKSALCESLAEINMHLAEAQNSGGVCFPMGKGMYRIVHIPEDEAVLLNSREKAPYLICVEVLKSEMPSNSKETSGTQKLSRGGIPLANGDAFLQKPPPWAYPLWTAQEVYRNSSDRMSRSTAEAIDQAMSHSSEMKMKFVSVSLSVEKQFPSQSTIIEAPKLNSGINCMHQNASHCNDLEWVRVVLTADPGVRMEDTGYAGAPRRKEHRRVPSTIAMEEVKAAAAKGEAPPGLPLKGAGQDSSDAHPKVDGNPKASDALSGELWEVKKERIRKASLYGKLPGWDLRSVIVKSGDDCRQEHLAVQLISHFYDIFQEAGLPLWLRPYEVLCTSSYTALIETIPDTASIHSIKSRYPNVTSLRDFFVAKYGENSPSFKLAQRNFVESMAGYSLVCYLLQVKDRHNGNLLMDEDGHIIHIDFGFMLSNSPGGVNFESAPFKLTRELLEVMDSDAEGIPSEFFDYFKVLCIQGFLTCRKHAERIILLVEMLQDSGFPCFKGGPRTIQNLRKRYHLSLTEEQCVSLVLSLISSSLDAWRTRQYDYYQRVLNGIL >Potri.003G041000.1.v4.1 pep chromosome:Pop_tri_v4:3:4730376:4735532:1 gene:Potri.003G041000.v4.1 transcript:Potri.003G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041000.v4.1 MTSVKNNLLPPGLVSNLQQVLLSRKGGGGGEEKGSDLSNDDNDQSTESSTSACVENTEEEDNNNSKPVVLVTNGDGIDSPGLLFLVEALVREGLCNVHVCAPQSDKSVSSHSVTLQETIAATSAEINGAVAYEISGTPVDCVSLALSGALFSWSKPLLVISGINRGSNCGYHMFYSGVVAGAREALICGVPSLSISLNWKKDESQDSDFKDAVAVCLPVINAAIRDIEKGFFPQSCSLNIEIPTSPSTNKGFKLTRRSMWRSSPSWQAVSANRHPSAGHFMSNQQSLGLQLAQLSRDASAAGAARRLTTQRKNMVEIESVGAAGKSDSNRVKKYFRMEFLDKEQEDTDEDLDFRALENGFVAITPLSLSIEEDAHLAASDWISSTLHADQ >Potri.007G060000.2.v4.1 pep chromosome:Pop_tri_v4:7:6402702:6403579:1 gene:Potri.007G060000.v4.1 transcript:Potri.007G060000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060000.v4.1 MLAALYLFCSHDVSQFVLWLTSWLLVINSGSSFQIYDKPGFDDFKSLSTLGRRTSHAMVAPSILSLDVNFFAAVVTPILATAAGMVGGKALPMLPVAQDEETKDVVLVYPSMYQNFFGFPTQSTAKLTTTLRFLVHNMRIVEYQFGTNASTPLLTGFDWMTRFMIQTVKSTSRHFYLTNYFVT >Potri.010G095200.2.v4.1 pep chromosome:Pop_tri_v4:10:11915870:11919429:1 gene:Potri.010G095200.v4.1 transcript:Potri.010G095200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD1 MSYSDCLSDLLCGEESSDIFSGESPGCSTGLESHDFVEESSIASFIEDERNFVPGFDYLSRFQSQSLDASAREESVAWILKVQAYHGFQPLTAYLSVNYLDRFFYSRRLPQTDGWPWQLLSVACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPRTIRRMELLVLSVLDWRLRSITPFSFTGFFACKLDPTGAYIGFLISRATEIILSNIKEASFLEYWPSSIAAAAILCAANDIPNLPLFNPEHAESWCDGLSKDKIISCYRLMQDLVLDNSRRKSTKVLLPQLRVTIRARMRSSGSDSSSSSSTSSYKRRKLNNCFWVHDDKGNSE >Potri.008G156901.1.v4.1 pep chromosome:Pop_tri_v4:8:10757880:10758634:1 gene:Potri.008G156901.v4.1 transcript:Potri.008G156901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156901.v4.1 MAKRNPVDPAGRPRTRSNPGETRSINYNAKKPNRLPLRKLFYKNCETFSCFSSEADDIDSLEPGTYCVWTPKKEEGSPGSCKKSSSTRSNSKRWKFTDFIHRSNNDGKDTFVFLMPNNKKSGLHHQRLGSDDQDGNHNKQGTKKRKEAKGAGGGLFQFQEHYYVRSKEGDKRRSYLPSRPDLVGFLSNVNGVGRNLHPF >Potri.011G030012.1.v4.1 pep chromosome:Pop_tri_v4:11:2465850:2468554:1 gene:Potri.011G030012.v4.1 transcript:Potri.011G030012.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030012.v4.1 MGSKTIVSLLFHVIIISITLTDAEFCYDTGNFTANSTYAKNRDLVLRSLASNVTNNGGFYNTTIGLGNDTVYGLVLCMASPSAENCSSCVNYAIQTLMAACPNQKEAISWGGNPLPCIVRYENRYFFRSLEPSPTSALYNTGILDATSRQFDQFWSGLGETVRNASTGSSRLMPAVETADLPSTQKIYVFMQCTPDVSPSNCSVCLQQSVDYYTSCCYGHQGGIVLKPNCVFRWDLYPFYDLFPQVTSPPPSPSPSPPSPPFVISSPPPTNTTIRKGKENTASRTVIVTIVPTSIFLALVILILTIFRFRKPKQEVKNFDENSSTKCWEFKFATIKLATNDFSDDNKLGQGGFGAVYKGILADGQAIAVKRLSSNSGQGAVEFRNEVGLLAKLAHRNLVRLLGFCLEGTEKLLIYEFVPNSSLDQFIHDPNKRLVLDWEKRNKIIEGIARGIVYLHQDSQLWIIHRDLKPGNILLDGNMNAKISDFGMAKLMKTDQTHDATSRIAGTFGYIAPEYAWKGQFSVKSDVFSFGVLVLEIVSGQKPSFRNGDDMEHLTSHAWRRWREGTALDLIDPILRNDSTAAMMRCIHIGLLCVQENVADRPTMASVVQMLSNSSLTLQTPFEPASSLSYTSTMEQSQLKIIPLSKNEISITELDPR >Potri.015G119100.5.v4.1 pep chromosome:Pop_tri_v4:15:13328065:13328469:1 gene:Potri.015G119100.v4.1 transcript:Potri.015G119100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119100.v4.1 MPVTKGKTYEVSFMLSMNTKNSFGWDDPVTVMARIGKEGKYQRKEIKLLDLSKEVEERPSDKCRVEFEKSESKEEPREKKSQTKSKSDENAKNDEETLYFGLYEVWTNKWKGGLRIHEAIVQEIPAGNNASTSN >Potri.006G127050.1.v4.1 pep chromosome:Pop_tri_v4:6:10208117:10210577:-1 gene:Potri.006G127050.v4.1 transcript:Potri.006G127050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127050.v4.1 MMTRVPWNTLSIAQHQKTCHHARFSIVIRILLFLASYHATDEDFLLNLNRKQSTKRVSGPRSRKKEKGKKDPFVLNACFIWFLRCCFVQILRFTFAPQMFKGIQCRNALFHASIFSLPCPVYGVDLTG >Potri.002G142700.1.v4.1 pep chromosome:Pop_tri_v4:2:10710896:10717625:1 gene:Potri.002G142700.v4.1 transcript:Potri.002G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142700.v4.1 MAIAAQTPDILGDRQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVASELLKRANDLVRNGIHPTSIISGYRLAMREACKYVEEKLAVKVEKLGKVSLVNCAKTSMSSKLIGSDSDFFANMVVDAVQAVKMTNVRGEVRYPIKVINILKAHGKSAKDSYLLNGYALNTGRAAQGMPMKVAAARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIGKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVSTFADMEGEETFESSLLGYADEVAEERIADDDVIMIKGTKTTSAVSLILRGANDYMLDEMERALHDALSIVKRTLESNMVVAGGGAVESALSVYLECLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKQFSSMGLDLSKGTVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDEMIKLVKDESQNDEQ >Potri.002G142700.2.v4.1 pep chromosome:Pop_tri_v4:2:10710996:10717281:1 gene:Potri.002G142700.v4.1 transcript:Potri.002G142700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142700.v4.1 MAIAAQTPDILGDRQSGQDVRTQNVVACQAVANIVKSSLGPVGLDKMLVDDIGDVTITNDGATILKMLEVEHPAAKVLVELAELQDREVGDGTTSVVIVASELLKRANDLVRNGIHPTSIISGYRLAMREACKYVEEKLAVKVEKLGKVSLVNCAKTSMSSKLIGSDSDFFANMVVDAVQAVKMTNVRGEVRYPIKVINILKAHGKSAKDSYLLNGYALNTGRAAQGMPMKVAAARIACLDFNLQKTKMQLGVQVLVTDPRELEKIRQREADMTKERIGKLLKAGANVVLTTKGIDDMALKYFVEAGAIAVRRVRKEDMRHVAKATGATLVSTFADMEGEETFESSLLGYADEVAEERIADDDVIMIKGTKTTSAVSLILRGANDYMLDEMERALHDALSIVKRTLESNMVVAGGGAVESALSVYLECLATTLGSREQLAIAEFAESLLIIPKVLAVNAAKDATELVAKLRAYHHTAQTKADKKQFSSMGLDLSKGTVRNNLEAGVIEPAMSKVKIIQFATEAAITILRIDEMIKLVKDESQNDEQ >Potri.016G065600.3.v4.1 pep chromosome:Pop_tri_v4:16:4583308:4589575:-1 gene:Potri.016G065600.v4.1 transcript:Potri.016G065600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065600.v4.1 MAKVALPGGSLGSVDVQIGGAAAALTDGTMVKRKTPSELRGEQLRRKKVIEIVDESPAPLGSKNNGIEMDNGLRKPDASRTPRYINTRMDEVYPVKKSRLRMLSVKDSAKENTSTEQTNSLKNISVLSTLAAKRQQLSCTENSVASDEVLKDDVVQPHQTIKNCSQSIFHSVTELSSSGERSSGLAFVEMDKALKGLVAHEPPDTSGLNADSSEKAGNHGGNFCSECHIAGKKAPLDLTLKTRMRVASSCSVNWIHRSIMSSTYNGMPQLASQFGDSKDSSSSGQAMTSQILSSKALHSWVYPQSTLPSAVISVLTSSAIEGDFLRKRQLAWEDSFRSLYYMLRKNICNIFYGCTKHLCNAYISQSTRGLRSLLREHDVCFSMPLCYSKVEQVTTEDLVELSEIEKQNLGQTRRLSSLSDVDNSPQSLMAFCGNKNVNGLYDFLLNYRSSLTFLSGVDVPVLYSPVPFQNAALSSPEIKCVEVKRAHHIAASPKESTVKDTESSQGSSTGLLSSIEIKDAYIPSWIVCRVCALMDSDGRSFEASFTTERTSIGLNVALETACEKPDQAAAVEGLQESSHSFGIPEASVAPCLRSGFLKGLKYSDGSYVASLSPV >Potri.016G065600.2.v4.1 pep chromosome:Pop_tri_v4:16:4583341:4589361:-1 gene:Potri.016G065600.v4.1 transcript:Potri.016G065600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065600.v4.1 MAKVALPGGSLGSVDVQIGGAAAALTDGTMVKRKTPSELRGEQLRRKKVIEIVDESPAPLGSKNNGIEMDNGLRKPDASRTPRYINTRMDEVYPVKKSRLRMLSVKDSAKENTSTEQTNSLKNISVLSTLAAKRQQLSCTENSVASDEVLKDDVVQPHQTIKNCSQSIFHSVTELSSSGERSSGLAFVEMDKALKGLVAHEPPDTSGLNADSSEKAGNHGGNFCSECHIAGKKAPLDLTLKTRMRVASSCSVNWIHRSIMSSTYNGMPQLASQFGDSKDSSSSGQAMTSQILSSKALHSWVYPQSTLPSAVISVLTSSAIEGDFLRKRQLAWEDSFRSLYYMLRKNICNIFYVCTSQFVVMFTGSDGSGCTKHLCNAYISQSTRGLRSLLREHDVCFSMPLCYSKVEQVTTEDLVELSEIEKQNLGQTRRLSSLSDVDNSPQSLMAFCGNKNVNGLYDFLLNYRSSLTFLSGVDVPVLYSPVPFQNAALSSPEIKCVEVKRAHHIAASPKESTVKDTESSQGSSTGLLSSIEIKDAYIPSWIVCRVCALMDSDGRSFEASFTTERTSIGLNVALETACEKPDQAAAVEGLQESSHSFGIPEASVAPCLRSGFLKGLKYSDGSYVASLSPV >Potri.016G065600.1.v4.1 pep chromosome:Pop_tri_v4:16:4583265:4589587:-1 gene:Potri.016G065600.v4.1 transcript:Potri.016G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065600.v4.1 MAKVALPGGSLGSVDVQIGGAAAALTDGTMVKRKTPSELRGEQLRRKKVIEIVDESPAPLGSKNNGIEMDNGLRKPDASRTPRYINTRMDEVYPVKKSRLRMLSVKDSAKENTSTEQTNSLKNISVLSTLAAKRQQLSCTENSVASDEVLKDDVVQPHQTIKNCSQSIFHSVTELSSSGERSSGLAFVEMDKALKGLVAHEPPDTSGLNADSSEKAGNHGGNFCSECHIAGKKAPLDLTLKTRMRVASSCSVNWIHRSIMSSTYNGMPQLASQFGDSKDSSSSGQAMTSQILSSKALHSWVYPQSTLPSAVISVLTSSAIEGDFLRKRQLAWEDSFRSLYYMLRKNICNIFYVCTSQFVVMFTGSDGSGCTKHLCNAYISQSTRGLRSLLREHDVCFSMPLCYSKVEQVTTEDLVELSEIEKQNLGQTRRLSSLSDVDNSPQSLMAFCGNKNVNGLYDFLLNYRSSLTFLSGVDVPVLYSPVPFQNAALSSPEIKCVEVKRAHHIAASPKESTVKDTESSQGSSTGLLSSIEIKDAYIPSWIVCRVCALMDSDGRSFEASFTTERTSIGLNVALETACEKPDQAAAVEGLQESSHSFGIPEASVAPCLRSGFLKGLKYSDGSYVASLSPV >Potri.016G065600.5.v4.1 pep chromosome:Pop_tri_v4:16:4583308:4589575:-1 gene:Potri.016G065600.v4.1 transcript:Potri.016G065600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065600.v4.1 MAKVALPGGSLGSVDVQIGGAAAALTDGTMVKRKTPSELRGEQLRRKKVIEIVDESPAPLGSKNNGIEMDNGLRKPDASRTPRYINTRMDEVYPVKKSRLRMLSVKDSAKENTSTEQTNSLKNISVLSTLAAKRQQLSCTENSVASDEVLKDDVVQPHQTIKNCSQSIFHSVTELSSSGERSSGLAFVEMDKALKGLVAHEPPDTSGLNADSSEKAGNHGGNFCSECHIAGKKAPLDLTLKTRMRVASSCSVNWIHRSIMSSTYNGMPQLASQFGDSKDSSSSGQAMTSQILSSKALHSWVYPQSTLPSAVISVLTSSAIEGDFLRKRQLAWEDSFRSLYYMLRKNICNIFYGCTKHLCNAYISQSTRGLRSLLREHDVCFSMPLCYSKVEQVTTEDLVELSEIEKQNLGQTRRLSSLSDVDNSPQSLMAFCGNKNVNGLYDFLLNYR >Potri.016G065600.4.v4.1 pep chromosome:Pop_tri_v4:16:4583308:4589575:-1 gene:Potri.016G065600.v4.1 transcript:Potri.016G065600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065600.v4.1 MAKVALPGGSLGSVDVQIGGAAAALTDGTMVKRKTPSELRGEQLRRKKVIEIVDESPAPLGSKNNGIEMDNGLRKPDASRTPRYINTRMDEVYPVKKSRLRMLSVKDSAKENTSTEQTNSLKNISVLSTLAAKRQQLSCTENSVASDEVLKDDVVQPHQTIKNCSQSIFHSVTELSSSGERSSGLAFVEMDKALKGLVAHEPPDTSGLNADSSEKAGNHGGNFCSECHIAGKKAPLDLTLKTRMRVASSCSVNWIHRSIMSSTYNGMPQLASQFGDSKDSSSSGQAMTSQILSSKALHSWVYPQSTLPSAVISVLTSSAIEGDFLRKRQLAWEDSFRSLYYMLRKNICNIFYVCTSQFVVMFTGSDGSGCTKHLCNAYISQSTRGLRSLLREHDVCFSMPLCYSKVEQVTTEDLVELSEIEKQNLGQTRRLSSLSDVDNSPQSLMAFCGNKNVNGLYDFLLNYR >Potri.016G008800.1.v4.1 pep chromosome:Pop_tri_v4:16:412469:416031:-1 gene:Potri.016G008800.v4.1 transcript:Potri.016G008800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G008800.v4.1 MSYLGVGVSPGNVPVYHGTNSKVIDRRVRLAELVLRCVICCLGVLAAVLVGTDTQVKEIFSIQKKARFTDMKALVFLVAANGIAAAYSLVQGVRCVVGMVKGSVLFSKPLAWVIFSGDQMMAYLTMSAVAAAAQSSVFAKLGQPDLQWMKICTMYGKFCNQVGEGIASALLVSVSMVVLSCISAFSLFRLYGGNKGKDGARW >Potri.004G074800.3.v4.1 pep chromosome:Pop_tri_v4:4:6225971:6230507:-1 gene:Potri.004G074800.v4.1 transcript:Potri.004G074800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074800.v4.1 MELSMGNNGGASGDEHEAASNSRNQGNKAYHRHSNQQIHQLEKFFKECPHPDENQRRQLSRELGLEAKQIKFWFQNKRTQKKAQSERADNSVLRLENERIQCENLAIIEALKNVICPACGGPPFGEEERQRSLQKLKQENARLKEEHEKVSTLLTKYIGKSISQIDSLTPGAGSSHGVLTTNPGIDLERNPGLDNSQLVYKRRGILDMEKALMAETAASAADELVRLLRVNEPLWIKSPSDGRYIIDRVGYEKLYPRDSHFKSSNARVESSKDSAMVIMPGMDLVDMFLDPNKWMDLFPTIVTKARTILLLEAGTVGNRNGSLQMMYEQMHILSPLVPPREFYFLRLCQQLEPGEWVIADISYDFMRDGSPSRAWRLPSGCMIQDKSNGCSKVTWVEHVEVDDRTQTHRLYRDLICGRSAYGAERWIASLRRICERLAFYKEETAAAREFGGVITSPEGRKSIVNLAHRMVKIFFASLGMSGKLDFPQLSEVHNSGVRVAIRKNTEQGQPIGMVVSAATSLWLPLSPQNVFNFFKDEKSRIQWDILSNSNPVHVISHISNGTNPGNCISITHQPFIPTENNMLILQESCTDSSGSMVVYAPLDIPAMNMVIGGADSSIIPILPSGFVISGDGRPDTGGDSSTSTSSTGADSGGSLLTVAFQILVAGPNVTSSTELNMESVATVNTLISTTVLKIKAALNCSNLG >Potri.004G074800.1.v4.1 pep chromosome:Pop_tri_v4:4:6225971:6230507:-1 gene:Potri.004G074800.v4.1 transcript:Potri.004G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074800.v4.1 MELSMGNNGGASGDEHEAASNSRNQGNKAYHRHSNQQIHQLEKFFKECPHPDENQRRQLSRELGLEAKQIKFWFQNKRTQKKAQSERADNSVLRLENERIQCENLAIIEALKNVICPACGGPPFGEEERQRSLQKLKQENARLKEEHEKVSTLLTKYIGKSISQIDSLTPGAGSSHGVLTTNPGIDLERNPGLDNSQLVYKRRGILDMEKALMAETAASAADELVRLLRVNEPLWIKSPSDGRYIIDRVGYEKLYPRDSHFKSSNARVESSKDSAMVIMPGMDLVDMFLDPNKWMDLFPTIVTKARTILLLEAGTVGNRNGSLQMMYEQMHILSPLVPPREFYFLRLCQQLEPGEWVIADISYDFMRDGSPSRAWRLPSGCMIQDKSNGCSKVTWVEHVEVDDRTQTHRLYRDLICGRSAYGAERWIASLRRICERLAFYKEETAAAREFGGVITSPEGRKSIVNLAHRMVKIFFASLGMSGKLDFPQLSEVHNSGVRVAIRKNTEQGQPIGMVVSAATSLWLPLSPQNVFNFFKDEKSRIQWDILSNSNPVHVISHISNGTNPGNCISITHPFIPTENNMLILQESCTDSSGSMVVYAPLDIPAMNMVIGGADSSIIPILPSGFVISGDGRPDTGGDSSTSTSSTGADSGGSLLTVAFQILVAGPNVTSSTELNMESVATVNTLISTTVLKIKAALNCSNLG >Potri.001G326200.3.v4.1 pep chromosome:Pop_tri_v4:1:33517667:33518829:1 gene:Potri.001G326200.v4.1 transcript:Potri.001G326200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326200.v4.1 MNPLVLFFLSFFFINSMSSKVEQSKKTSAHISVMGLVYCDICSNNSFSRHSYFLPGAEVRIDCKFKASWPKTREQISFSVNRTTNKHGMYKLEIPSVDGIACAQAAIDSSCEASLMSSSSKACNVPGYKSTTNEIAIKVKQQNLCIYSLNALNFRPSKTDAGLCGNRKP >Potri.008G181200.2.v4.1 pep chromosome:Pop_tri_v4:8:12497810:12503423:1 gene:Potri.008G181200.v4.1 transcript:Potri.008G181200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181200.v4.1 MALYSHFLVLYLFFVSSVICQVTEFISIDCGGTSNYTDPRTGLAWVSDNGTIMKYGKSSEAQVSNGNTQYQRRRDFPIDSNKYCYTLGTKERRRYLVRATFQYGSSENEDAYPKFDLYLDTTKWSTMVVLDASRVYVKEMIIRAPSSSIDVCICCATTGSPFISTLELRPLNLSMYATDFEDNFFLEVAARVNFGALSKDAIRYPDDPYDRIWGSDLEKRQNYLVGVAPGTVRINTSKYVDTRTREYPPVKVMQTAVVGTEGILSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLQQPFLSDYSNAVVNIAENANGSYTLYEPSYMNVSLDFVLSFSFAKTRDSTLGPLLNAIEISKYLKIEPKTDSKDVTVLNALRFLSAESAWANEQGDPCVPAHWEWVNCSSTTPPRITKIALSGKNLKGEIPPEINNMEQLTELWLDGNFLTGPIPGISNLVNLKIVHLENNKLNGPLPKYLGSLPKLQALYIQNNSFSGEIPSEFLTGKVIFNYEHNPGLHKEARKKMHLKLIVGISIGILAGLLVVVIGSLLFLRNLQRKTSHKKSEVQGNSLRASTKPSTAYSVARGWHMMDEGVSYYIPLPELEEATKNFSKKIGRGSFGTVYYGQMKDGKEVAVKIMADSSTHLTLQFVTEVALLSRIHHRNLVPLLGYCEEEHQRILVYEYMHNGTLRDHIHGPVNQKRLDWLARLQIAEDAAKDACRT >Potri.008G181200.3.v4.1 pep chromosome:Pop_tri_v4:8:12497798:12503425:1 gene:Potri.008G181200.v4.1 transcript:Potri.008G181200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181200.v4.1 MKYGKSSEAQVSNGNTQYQRRRDFPIDSNKYCYTLGTKERRRYLVRATFQYGSSENEDAYPKFDLYLDTTKWSTMVVLDASRVYVKEMIIRAPSSSIDVCICCATTGSPFISTLELRPLNLSMYATDFEDNFFLEVAARVNFGALSKDAIRYPDDPYDRIWGSDLEKRQNYLVGVAPGTVRINTSKYVDTRTREYPPVKVMQTAVVGTEGILSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLQQPFLSDYSNAVVNIAENANGSYTLYEPSYMNVSLDFVLSFSFAKTRDSTLGPLLNAIEISKYLKIEPKTDSKDVTVLNALRFLSAESAWANEQGDPCVPAHWEWVNCSSTTPPRITKIALSGKNLKGEIPPEINNMEQLTELWLDGNFLTGPIPGISNLVNLKIVHLENNKLNGPLPKYLGSLPKLQALYIQNNSFSGEIPSEFLTGKVIFNYEHNPGLHKEARKKMHLKLIVGISIGILAGLLVVVIGSLLFLRNLQRKTSHKKSEVQGNSLRASTKPSTAYSVARGWHMMDEGVSYYIPLPELEEATKNFSKKIGRGSFGTVYYGQMKDGKEVAVKIMADSSTHLTLQFVTEVALLSRIHHRNLVPLLGYCEEEHQRILVYEYMHNGTLRDHIHGPVNQKRLDWLARLQIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELVSGKKPVSTEDFGSELNIVHWARSLIRKGDVMSIVDPVLIGNAKIESIWRIAEVAIQCVEQRAFSRPRMHEIILAIQEANKIEKGTDGSQKQQSASSKAQSSRKTLLTSFLEIESPDLSNGCLVPAAR >Potri.008G181200.1.v4.1 pep chromosome:Pop_tri_v4:8:12497596:12503425:1 gene:Potri.008G181200.v4.1 transcript:Potri.008G181200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181200.v4.1 MALYSHFLVLYLFFVSSVICQVTEFISIDCGGTSNYTDPRTGLAWVSDNGTIMKYGKSSEAQVSNGNTQYQRRRDFPIDSNKYCYTLGTKERRRYLVRATFQYGSSENEDAYPKFDLYLDTTKWSTMVVLDASRVYVKEMIIRAPSSSIDVCICCATTGSPFISTLELRPLNLSMYATDFEDNFFLEVAARVNFGALSKDAIRYPDDPYDRIWGSDLEKRQNYLVGVAPGTVRINTSKYVDTRTREYPPVKVMQTAVVGTEGILSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLQQPFLSDYSNAVVNIAENANGSYTLYEPSYMNVSLDFVLSFSFAKTRDSTLGPLLNAIEISKYLKIEPKTDSKDVTVLNALRFLSAESAWANEQGDPCVPAHWEWVNCSSTTPPRITKIALSGKNLKGEIPPEINNMEQLTELWLDGNFLTGPIPGISNLVNLKIVHLENNKLNGPLPKYLGSLPKLQALYIQNNSFSGEIPSEFLTGKVIFNYEHNPGLHKEARKKMHLKLIVGISIGILAGLLVVVIGSLLFLRNLQRKTSHKKSEVQGNSLRASTKPSTAYSVARGWHMMDEGVSYYIPLPELEEATKNFSKKIGRGSFGTVYYGQMKDGKEVAVKIMADSSTHLTLQFVTEVALLSRIHHRNLVPLLGYCEEEHQRILVYEYMHNGTLRDHIHGPVNQKRLDWLARLQIAEDAAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELVSGKKPVSTEDFGSELNIVHWARSLIRKGDVMSIVDPVLIGNAKIESIWRIAEVAIQCVEQRAFSRPRMHEIILAIQEANKIEKGTDGSQKQQSASSKAQSSRKTLLTSFLEIESPDLSNGCLVPAAR >Potri.008G181200.4.v4.1 pep chromosome:Pop_tri_v4:8:12497549:12503425:1 gene:Potri.008G181200.v4.1 transcript:Potri.008G181200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181200.v4.1 MKYGKSSEAQVSNGNTQYQRRRDFPIDSNKYCYTLGTKERRRYLVRATFQYGSSENEDAYPKFDLYLDTTKWSTMVVLDASRVYVKEMIIRAPSSSIDVCICCATTGSPFISTLELRPLNLSMYATDFEDNFFLEVAARVNFGALSKDAIRYPDDPYDRIWGSDLEKRQNYLVGVAPGTVRINTSKYVDTRTREYPPVKVMQTAVVGTEGILSYRLNLEDFPANARAYAYFAEIEDLGANETRKFKLQQPFLSDYSNAVVNIAENANGSYTLYEPSYMNVSLDFVLSFSFAKTRDSTLGPLLNAIEISKYLKIEPKTDSKDVTVLNALRFLSAESAWANEQGDPCVPAHWEWVNCSSTTPPRITKIALSGKNLKGEIPPEINNMEQLTELWLDGNFLTGPIPGISNLVNLKIVHLENNKLNGPLPKYLGSLPKLQALYIQNNSFSGEIPSEFLTGKVIFNYEHNPGLHKEARKKMHLKLIVGISIGILAGLLVVVIGSLLFLRNLQRKTSHKKSEVQGNSLRASTKPSTAYSVARGWHMMDEGVSYYIPLPELEEATKNFSKKIGRGSFGTVYYGQMKDGKEVAVKIMADSSTHLTLQFVTEVALLSRIHHRNLVPLLGYCEEEHQRILVYEYMHNGTLRDHIHGPVNQKRLDWLARLQIAEDAAKDACRT >Potri.016G127700.1.v4.1 pep chromosome:Pop_tri_v4:16:13110994:13113607:1 gene:Potri.016G127700.v4.1 transcript:Potri.016G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127700.v4.1 MDASSPISLQQTPHQDYSVSEASPKPYKKSFVTSLMEAAALRTPSFKEDTYFISHLKNSEKKALQELRDKLSVSYGSDATSECSMWGIPLLSNDEKADVILLKFLRARDFRVQDSLHMLEKCLSWRKEFGADDVVEEDLGFKELEGVVAYMHGYDREGHPVCYNAYGVFKDKEMYERIFGDEEKLKKFLRWRVQVLERGISLLHFKPGGVNSIIQVTDLKDMPKRELRVASNQILSLFQDNYPEMVARKIFINVPWYFSMLYSVFSPFLTQRTKSKFVISKEGNVAETLYKFIRPEDVPAQYGGLSRPSDLQNGPPKPASEFTVKGGEKVNIQIEGIEAGATITWDIVVGGWDLEYSAEFVPNAAGSYTIAVEKARKIAPSEEAIRNSFTPREAGKMVLSVDNTFSRKKKVAAYRYFVRKAAIA >Potri.018G138204.1.v4.1 pep chromosome:Pop_tri_v4:18:15837531:15844447:-1 gene:Potri.018G138204.v4.1 transcript:Potri.018G138204.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138204.v4.1 MEGIVSSKQQQPLVFAYYVTGHGFGHATRVVEVVRHLILEGHIVHVVTAAPDFVYTSAIQSPRLFLRKELLDCGAVQCDALTVDRIASLEKYSETAVIPRETILATEVKWLQSIKADLVVSDVVPVACRAAADAGIRSVCCTNFSWDFIYAEYVVDAGSQHRSIVLQIAEDYSHCEFLIRLPGYCPMPAFRDVLDIPLVVRGLHKSRAEVRKELGVEEDVKLVIFNFGGQPAGWKLQEEFLPPGWKCVVCGGSDKEEYPPNFIKLPKDAYTPDVIAASDCMLGKIGYGTFSEAMAYKLPFVFVRRDYFNEELFLRNMLEHYHGGVEMIRRDLLTGCWQPYLERAIRLKPCYDGGVNGGEVAARILQDTALGKNHASDKPCGARRLRDAIVLGYQLQRVNNRIIGIPDWYNLEENKLVGRSSEGSQFLREVEDFEILHGDPQGLPDTMAFLKSLADIGAGHDSKKDTDDEVREDLAAAGLFNWEEEIFVARAPGRLDVLGGIADYSGSLVLQMPIREACHVAVQKNHPSKQKLWKHAQARHHADHEKLAPILEIVSLGSELNNRGPTFDMDLSDFKDDEKPISYEAARKYFAKDPSQKWAAYVAGTIVVLMTELGVCFENSISILVSSGVPEGKGVSSSAALEVCHHVCYCCCSWFKHSPREILLCLPKGKWRIMLLEPRVGVMDQMTSACGGSKQTSSDGMPAC >Potri.008G076300.1.v4.1 pep chromosome:Pop_tri_v4:8:4714855:4721964:1 gene:Potri.008G076300.v4.1 transcript:Potri.008G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076300.v4.1 MLQDFSFCPCFGDRSFDDTEPDRDPVLLVSGIAGSILHSKKKNGSETRVWVRLLLADLEFKKKIWSVYNPKTGYTEVLDSDTEIVVPDDDSGLYAIDILDPSWFVKLIHLSGVYHFHDMIDMLVKCGYKKGTTLFGYGYDFRQSNRIEKSMEGLKAKLEAAYKASGGRKVNIISHSMGGLLVSCFISLHKDLFSEFVNKWICIACPFQGAPGCINDSLLTGLQFVDGFESYFFVSRWTMHQLLVECPSIYEMLPNPDFNWKTEPQIQVWRKQSNDVETPAKLESFGPVECITLFEEALKNNELNYNGNTIALPFNLSILKRAAGTRQILNNVQLPEGVSFYNIYGASFDTPFDVCYGTETSPVEDLSEVCHSMPQYSYVDGDGTVPAESARADGFVAVERVGVTANHRGLLCDKTTFQLIQKWLGVEQNVSKHSKTSQVADASP >Potri.017G132200.2.v4.1 pep chromosome:Pop_tri_v4:17:13467025:13468187:1 gene:Potri.017G132200.v4.1 transcript:Potri.017G132200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G132200.v4.1 MMNGVNFASAGTGALVETHQGKVIDLKTQLRYFKEVEKLPRQKLSDEVAKTLVSSALYLFSIGSNDYFVPFITNPTALQSYNRNEYIRMVIGNLTSGCMDEVAVLTKLHNRELSKVLKKLERQFNGFKYSNFDFYTSHSERINHPTKYDFEIKVACCGASPYEYLFFDGIHPADEVHNQFAKLLWSGNPDVGGPYSVKTLFEE >Potri.010G038700.15.v4.1 pep chromosome:Pop_tri_v4:10:6839710:6844632:-1 gene:Potri.010G038700.v4.1 transcript:Potri.010G038700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038700.v4.1 MLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCIERLYGDHGLDVMDVLRKNTSLALPVVLTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSSSTKALLVEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYPDTDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGAGAAVTNSKHSNSSRNGDESIPPEQSSSSRAWMLNGDNRIKENGPPDADHVARKSDTSTSALQHDKVVINAAASAAAAEELSGITKQAASNDRLLNSNVSLATGELSNGRTLVQSGLSATPSRPSNGTVEGGLGIGSSNEILPSTEAGEFSRPTVSTNGVATEVIKNHRYNDESAAQFKIEREEGELSPNGDFEEDNFAFYGEAGLEAAHKAKDSAVSRQYQARQGEGCGEAGGENDADADDEGDESAQRSTEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDIKAESEGEAEGMADAHDVEGDGTMLPFSERFLLNVKPLAKHVPPSLHDKEKVSRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVAADEMDNKLLQLYAYEKSRKPGRLVDIVYHENARVLLHDENIYRIECSSAPTHLSIQLMDFGHDKPEVTAVSMDPNFASYLLNDFLPVVPDKKEKPGIFLKRNKRRNANSDECQAMEGFRVFNGLECKIACNSSKVSYVLDTEDFLFRTGRKSRTLQQNGSCHNQEKISKRVQRFHRWLSSK >Potri.010G038700.8.v4.1 pep chromosome:Pop_tri_v4:10:6839725:6849935:-1 gene:Potri.010G038700.v4.1 transcript:Potri.010G038700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038700.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGETSGQPQMIGGGGGGGGGQKLTTNDALAYLKAVKDIFQDNREKYDEFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDRVYKSFLDILNLYRKENKSISEVYQEVASLFRDHHDLLLEFTHFLPDSSAAASAHFPTGRNPALRDRSSAMPTMRQMHVDKKERATASHADRDFSVDRPDPDHDRSMIRADKDQRRRAEKEKERREDRDRRERERDDRDYDHDGNRDFNMQRLPHKRKSAPRVEDSVAEQGGDGDETFGGMNPVSSAYDDKNTVKSALSQELAFCDKVKETLLDPDNYQEFLRCLHLYTREIITRSELQSLVGDLLGRYPDLMDDFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRAVKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVTFGNKDTGGHKMSLFSSKDKFTAKPINELDLSNCERCSPSYRLLPKSYMIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCIERLYGDHGLDVMDVLRKNTSLALPVVLTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSSSTKALLVEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYPDTDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGAGAAVTNSKHSNSSRNGDESIPPEQSSSSRAWMLNGDNRIKENGPPDADHVARKSDTSTSALQHDKVVINAAASAAAAEELSGITKQAASNDRLLNSNVSLATGELSNGRTLVQSGLSATPSRPSNGTVEGGLGIGSSNEILPSTEAGEFSRPTVSTNGVATEVIKNHRYNDESAAQFKIEREEGELSPNGDFEEDNFAFYGEAGLEAAHKAKDSAVSRQYQARQGEGCGEAGGENDADADDEGDESAQRSTEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDIKAESEGEAEGMADAHDVEGDGTMLPFSERFLLNVKPLAKHVPPSLHDKEKVSRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVAADEMDNKLLQLYAYEKSRKPGRLVDIVYHENARVLLHDENIYRIECSSAPTHLSIQLMDFGHDKPEVTAVSMDPNFASYLLNDFLPVVPDKKEKPGIFLKRNKRRNANSDECQAMEGFRVFNGLECKIACNSSKVSYVLDTEDFLFRTGRKSRTLQQNGSCHNQEKISKRVQRFHRWLSSK >Potri.010G038700.12.v4.1 pep chromosome:Pop_tri_v4:10:6839714:6848599:-1 gene:Potri.010G038700.v4.1 transcript:Potri.010G038700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038700.v4.1 MPTMRQMHVDKKERATASHADRDFSVDRPDPDHDRSMIRADKDQRRRAEKEKERREDRDRRERERDDRDYDHDGNRDFNMQRLPHKRKSAPRVEDSVAEQGGDGDETFGGMNPVSSAYDDKNTVKSALSQELAFCDKVKETLLDPDNYQEFLRCLHLYTREIITRSELQSLVGDLLGRYPDLMDDFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRAVKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVTFGNKDTGGHKMSLFSSKDKFTAKPINELDLSNCERCSPSYRLLPKSYMIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCIERLYGDHGLDVMDVLRKNTSLALPVVLTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSSSTKALLVEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYPDTDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGAGAAVTNSKHSNSSRNGDESIPPEQSSSSRAWMLNGDNRIKENGPPDADHVARKSDTSTSALQHDKVVINAAASAAAAEELSGITKQAASNDRLLNSNVSLATGELSNGRTLVQSGLSATPSRPSNGTVEGGLGIGSSNEILPSTEAGEFSRPTVSTNGVATEVIKNHRYNDESAAQFKIEREEGELSPNGDFEEDNFAFYGEAGLEAAHKAKDSAVSRQYQARQGEGCGEAGGENDADADDEGDESAQRSTEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDIKAESEGEAEGMADAHDVEGDGTMLPFSERFLLNVKPLAKHVPPSLHDKEKVSRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVAADEMDNKLLQLYAYEKSRKPGRLVDIVYHENARVLLHDENIYRIECSSAPTHLSIQLMDFGHDKPEVTAVSMDPNFASYLLNDFLPVVPDKKEKPGIFLKRNKRRNANSDECQAMEGFRVFNGLECKIACNSSKVSYVLDTEDFLFRTGRKSRTLQQNGSCHNQEKISKRVQRFHRWLSSK >Potri.010G038700.13.v4.1 pep chromosome:Pop_tri_v4:10:6839707:6845849:-1 gene:Potri.010G038700.v4.1 transcript:Potri.010G038700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038700.v4.1 MDDFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRAVKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVTFGNKDTGGHKMSLFSSKDKFTAKPINELDLSNCERCSPSYRLLPKSYMIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCIERLYGDHGLDVMDVLRKNTSLALPVVLTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSSSTKALLVEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYPDTDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGAGAAVTNSKHSNSSRNGDESIPPEQSSSSRAWMLNGDNRIKENGPPDADHVARKSDTSTSALQHDKVVINAAASAAAAEELSGITKQAASNDRLLNSNVSLATGELSNGRTLVQSGLSATPSRPSNGTVEGGLGIGSSNEILPSTEAGEFSRPTVSTNGVATEVIKNHRYNDESAAQFKIEREEGELSPNGDFEEDNFAFYGEAGLEAAHKAKDSAVSRQYQARQGEGCGEAGGENDADADDEGDESAQRSTEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDIKAESEGEAEGMADAHDVEGDGTMLPFSERFLLNVKPLAKHVPPSLHDKEKVSRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVAADEMDNKLLQLYAYEKSRKPGRLVDIVYHENARVLLHDENIYRIECSSAPTHLSIQLMDFGHDKPEVTAVSMDPNFASYLLNDFLPVVPDKKEKPGIFLKRNKRRNANSDECQAMEGFRVFNGLECKIACNSSKVSYVLDTEDFLFRTGRKSRTLQQNGSCHNQEKISKRVQRFHRWLSSK >Potri.010G038700.10.v4.1 pep chromosome:Pop_tri_v4:10:6839709:6849975:-1 gene:Potri.010G038700.v4.1 transcript:Potri.010G038700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038700.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGETSGQPQMIGGGGGGGGGQKLTTNDALAYLKAVKDIFQDNREKYDEFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDRVYKSFLDILNLYRKENKSISEVYQEVASLFRDHHDLLLEFTHFLPDSSAAASAHFPTGRNPALRDRSSAMPTMRQMHVDKKERATASHADRDFSVDRPDPDHDRSMIRADKDQRRRAEKEKERREDRDRRERERDDRDYDHDGNRDFNMQRLPHKRKSAPRVEDSVAEQGGDGDETFGGMNPVSSAYDDKNTVKSALSQELAFCDKVKETLLDPDNYQEFLRCLHLYTREIITRSELQSLVGDLLGRYPDLMDDFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRAVKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVTFGNKDTGGHKMSLFSSKDKFTAKPINELDLSNCERCSPSYRLLPKSYMIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCIERLYGDHGLDVMDVLRKNTSLALPVVLTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSSSTKALLVEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYPDTDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGAGAAVTNSKHSNSSRNGDESIPPEQSSSSRAWMLNGDNRIKENGPPDADHVARKSDTSTSALQHDKVVINAAASAAAAEELSGITKQAASNDRLLNSNVSLATGELSNGRTLVQSGLSATPSRPSNGTVEGGLGIGSSNEILPSTEAGEFSRPTVSTNGVATEVIKNHRYNDESAAQFKIEREEGELSPNGDFEEDNFAFYGEAGLEAAHKAKDSAVSRQYQARQGEGCGEAGGENDADADDEGDESAQRSTEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDIKAESEGEAEGMADAHDVEGDGTMLPFSERFLLNVKPLAKHVPPSLHDKEKVSRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVAADEMDNKLLQLYAYEKSRKPGRLVDIVYHENARVLLHDENIYRIECSSAPTHLSIQLMDFGHDKPEVTAVSMDPNFASYLLNDFLPVVPDKKEKPGIFLKRNKRRNANSDECQAMEGFRVFNGLECKIACNSSKVSYVLDTEDFLFRTGRKSRTLQQNGSCHNQEKISKRVQRFHRWLSSK >Potri.010G038700.14.v4.1 pep chromosome:Pop_tri_v4:10:6839712:6845380:-1 gene:Potri.010G038700.v4.1 transcript:Potri.010G038700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038700.v4.1 MIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCIERLYGDHGLDVMDVLRKNTSLALPVVLTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSSSTKALLVEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYPDTDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGAGAAVTNSKHSNSSRNGDESIPPEQSSSSRAWMLNGDNRIKENGPPDADHVARKSDTSTSALQHDKVVINAAASAAAAEELSGITKQAASNDRLLNSNVSLATGELSNGRTLVQSGLSATPSRPSNGTVEGGLGIGSSNEILPSTEAGEFSRPTVSTNGVATEVIKNHRYNDESAAQFKIEREEGELSPNGDFEEDNFAFYGEAGLEAAHKAKDSAVSRQYQARQGEGCGEAGGENDADADDEGDESAQRSTEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDIKAESEGEAEGMADAHDVEGDGTMLPFSERFLLNVKPLAKHVPPSLHDKEKVSRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVAADEMDNKLLQLYAYEKSRKPGRLVDIVYHENARVLLHDENIYRIECSSAPTHLSIQLMDFGHDKPEVTAVSMDPNFASYLLNDFLPVVPDKKEKPGIFLKRNKRRNANSDECQAMEGFRVFNGLECKIACNSSKVSYVLDTEDFLFRTGRKSRTLQQNGSCHNQEKISKRVQRFHRWLSSK >Potri.010G038700.9.v4.1 pep chromosome:Pop_tri_v4:10:6839709:6849977:-1 gene:Potri.010G038700.v4.1 transcript:Potri.010G038700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038700.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGETSGQPQMIGGGGGGGGGQKLTTNDALAYLKAVKDIFQDNREKYDEFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDRVYKSFLDILNLYRKENKSISEVYQEVASLFRDHHDLLLEFTHFLPDSSAAASAHFPTGRNPALRDRSSAMPTMRQMHVDKKERATASHADRDFSVDRPDPDHDRSMIRADKDQRRRAEKEKERREDRDRRERERDDRDYDHDGNRDFNMQRLPHKRKSAPRVEDSVAEQGGDGDETFGGMNPVSSAYDDKNTVKSALSQELAFCDKVKETLLDPDNYQEFLRCLHLYTREIITRSELQSLVGDLLGRYPDLMDDFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRAVKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVTFGNKDTGGHKMSLFSSKDKFTAKPINELDLSNCERCSPSYRLLPKSYMIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCIERLYGDHGLDVMDVLRKNTSLALPVVLTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSSSTKALLVEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYPDTDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGAGAAVTNSKHSNSSRNGDESIPPEQSSSSRAWMLNGDNRIKENGPPDADHVARKSDTSTSALQHDKVVINAAASAAAAEELSGITKQAASNDRLLNSNVSLATGELSNGRTLVQSGLSATPSRPSNGTVEGGLGIGSSNEILPSTEAGEFSRPTVSTNGVATEVIKNHRYNDESAAQFKIEREEGELSPNGDFEEDNFAFYGEAGLEAAHKAKDSAVSRQYQARQGEGCGEAGGENDADADDEGDESAQRSTEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDIKAESEGEAEGMADAHDVEGDGTMLPFSERFLLNVKPLAKHVPPSLHDKEKVSRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVAADEMDNKLLQLYAYEKSRKPGRLVDIVYHENARVLLHDENIYRIECSSAPTHLSIQLMDFGHDKPEVTAVSMDPNFASYLLNDFLPVVPDKKEKPGIFLKRNKRRNANSDECQAMEGFRVFNGLECKIACNSSKVSYVLDTEDFLFRTGRKSRTLQQNGSCHNQEKISKRVQRFHRWLSSK >Potri.010G038700.11.v4.1 pep chromosome:Pop_tri_v4:10:6839710:6849965:-1 gene:Potri.010G038700.v4.1 transcript:Potri.010G038700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038700.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGETSGQPQMIGGGGGGGGGQKLTTNDALAYLKAVKDIFQDNREKYDEFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEDEQPPQKKPVEFEEAINFVNKIKTRFQGDDRVYKSFLDILNLYRKENKSISEVYQEVASLFRDHHDLLLEFTHFLPDSSAAASAHFPTGRNPALRDRSSAMPTMRQMHVDKKERATASHADRDFSVDRPDPDHDRSMIRADKDQRRRAEKEKERREDRDRRERERDDRDYDHDGNRDFNMQRLPHKRKSAPRVEDSVAEQGGDGDETFGGMNPVSSAYDDKNTVKSALSQELAFCDKVKETLLDPDNYQEFLRCLHLYTREIITRSELQSLVGDLLGRYPDLMDDFNEFLARCEKNEGLLAGVVSKKSLWNEGNLPRAVKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVTFGNKDTGGHKMSLFSSKDKFTAKPINELDLSNCERCSPSYRLLPKSYMIPSASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCIERLYGDHGLDVMDVLRKNTSLALPVVLTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSSSTKALLVEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYPDTDIHEDLYQLIKYSCGEVCTSEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGAGAAVTNSKHSNSSRNGDESIPPEQSSSSRAWMLNGDNRIKENGPPDADHVARKSDTSTSALQHDKVVINAAASAAAAEELSGITKQAASNDRLLNSNVSLATGELSNGRTLVQSGLSATPSRPSNGTVEGGLGIGSSNEILPSTEAGEFSRPTVSTNGVATEVIKNHRYNDESAAQFKIEREEGELSPNGDFEEDNFAFYGEAGLEAAHKAKDSAVSRQYQARQGEGCGEAGGENDADADDEGDESAQRSTEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDIKAESEGEAEGMADAHDVEGDGTMLPFSERFLLNVKPLAKHVPPSLHDKEKVSRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVAADEMDNKLLQLYAYEKSRKPGRLVDIVYHENARVLLHDENIYRIECSSAPTHLSIQLMDFGHDKPEVTAVSMDPNFASYLLNDFLPVVPDKKEKPGIFLKRNKRRNANSDECQAMEGFRVFNGLECKIACNSSKVSYVLDTEDFLFRTGRKSRTLQQNGSCHNQEKISKRVQRFHRWLSSK >Potri.010G052150.1.v4.1 pep chromosome:Pop_tri_v4:10:8371135:8373598:-1 gene:Potri.010G052150.v4.1 transcript:Potri.010G052150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052150.v4.1 MVIFLYVEIILCNCSYRIQASPLLLHLLFFLSVFFNEDVQELLFFLNSNSDRSSAQVPMQITASNGMASVNNSLTTASTTTSASTIVGLLHQNSMNNASSPYGGNSVQIQSPGYSTLTTIS >Potri.010G237000.1.v4.1 pep chromosome:Pop_tri_v4:10:21708967:21710909:-1 gene:Potri.010G237000.v4.1 transcript:Potri.010G237000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237000.v4.1 MEKQVHFIHFLFALFCFSYIMFSVVAEGLVSGHHDNGSVKLFVFGDSYADTGNWEKFAASWKEPYGFTFPGKPAGRFSDGRVLTDYIASFLGITSPVPYTWRKTVEKSGLQFGMNFAFGGTGVFDTFINAPNMATQIDFFQQLLEEKVYTKQDLNSSIVLVSLAGNDYTTYIQRNGNFQDLPAFTTSLINQLSANLKRINGFGVRKIAVTALQPLGCLPILTAFSSYQNCSESWNTASKFHNQKLQQAIQRMNNESGKHMYETLDLYTAFMSKLNTARLAGNLKLRSFLTPCCVGVTSNYSCGNVDKNGAKTYVVCEKPELSVFWDMVHPAQNGWHQVYSSLKSSLHRLY >Potri.010G237000.2.v4.1 pep chromosome:Pop_tri_v4:10:21708967:21710909:-1 gene:Potri.010G237000.v4.1 transcript:Potri.010G237000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237000.v4.1 MEKQVHFIHFLFALFCFSYIMFSEGLVSGHHDNGSVKLFVFGDSYADTGNWEKFAASWKEPYGFTFPGKPAGRFSDGRVLTDYIASFLGITSPVPYTWRKTVEKSGLQFGMNFAFGGTGVFDTFINAPNMATQIDFFQQLLEEKVYTKQDLNSSIVLVSLAGNDYTTYIQRNGNFQDLPAFTTSLINQLSANLKRINGFGVRKIAVTALQPLGCLPILTAFSSYQNCSESWNTASKFHNQKLQQAIQRMNNESGKHMYETLDLYTAFMSKLNTARLAGNLKLRSFLTPCCVGVTSNYSCGNVDKNGAKTYVVCEKPELSVFWDMVHPAQNGWHQVYSSLKSSLHRLY >Potri.011G062900.2.v4.1 pep chromosome:Pop_tri_v4:11:5286354:5290939:-1 gene:Potri.011G062900.v4.1 transcript:Potri.011G062900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062900.v4.1 MYYKDSKTFKIKTSYNRMKRANLFTKVNSQLRTCPLIPVNKVLKPFFLLSVLITFLLILSDGVEAAAGTNKVTNIGAIIDGNSRSGKEEKTAMEIAVQNFNNISRNHKLSLHFKHPKGDPLQAAYAAEELIKEKKVEVIIGMDKWEEAALVANIGNQSQVPILSFAAPARTPISTSLRWPFLIRMASDGSEQMRCIAALVHSYNWKRVVVIYEDDVLGSESGNLALLTEALQEVGSEIEYRLVLPPFSFLTDPKDVVQDELMKLQNQTEARVFIVLQSSLPMLTCIFGEAKKAGLVGNDTVWIVANSITSFLDSVDNPVFSSMEGTLGIKTYYSSNSSYKRFEALFQKIFRSEYLNENDFQPGIQALRAYDSIGIITQAIEKLGSNIRSPKMFLNSVLESDFTGLSGRIRFKDGMLSDAPTLRIVNVDGKKCKELDFWLPNCGFSDTLYVEQGKGRCRNSDGGKTTGGLSGPVIWPGDLNGRDPKGWAMPTEAKPLRIIVPQRTSFDKFVTFRSGEKRPVGFCVDLFDEVVRRLNYSIPHVFVHFDGQYGDMIEGVYNKTYDAAIGDITILAERTEYVEFTQPYAESGLSMIVPFETEDTTWIFVKPFNLEMWMVSCAIFIYTMLIIWFLEHQTNPEFRGPRKYQFGTAFWFTFSSLFFAQREKLYSNFTRVVVVAWLCVVFILTSSYTASLTSMLTVQRMKPNFSEFEKLKKDKLNVGCDDDSFVQQYLQDVLGFDHDKIKVFNRENNYTTEFERNSIAAAFLELPYERLFLNQHCKSYSGSKATYRFGGLGFAFQKGSPFAADFSREILCLSEDGNITFFEEKWFAPSPECSTSATNNNVESLSLRSFKGIYIVSAAISTICFLLFLIRLFRNSRPHQEADGGHLTPGGKSGSSSEERITKYFYNGNKTRVPRRASTFAQALDKDEWGSTKWEYVSNSDNLETN >Potri.015G125950.3.v4.1 pep chromosome:Pop_tri_v4:15:13815022:13823016:-1 gene:Potri.015G125950.v4.1 transcript:Potri.015G125950.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125950.v4.1 MSEAAAIRIQKHYRGFRTRRNLADSIIAAELLWQTTLSDTQKVGKLAITVNIESEKHIVSLLKWLDTRFNKYGKLSSDQLALLHCWETIDPRLRYSWNSHFFYLIWGDQCFLGSSKFDSYKIGDLKRRESLFTTGKPTSVQLRMNTASSTQHWISELTKAWKTWKGALQRWLEVIDPRHRVGRNLNFYFQMWMTSSGGQPFFYWLDVGDGKTVEHLECSREKLRHERNTYLELVSKSEAVQSLMSLVLRSESNTEKDINNNQREHDRAYGFNQQASNSEAVESHVSLMPRSERDTEEDINNDQREHDQADGFDRQASNSEAVESPASLVPRSESNTEDDINNNQREHDQVDGFDRQASNSDVVEPPASLVPSKGQNHQTVLQIEGQNHQTVLQIDLKNYKRTHNGPADGFNQKDLLRRIFYAMNLLFEIATAVLDYLSSQQRQPRYALCGMILSITVMAISLIQLAYTGGKEEVSWQWKGWIPWFYHRAPPHKLFGNPVHILELLFSVSQCVFAIIAYHYLSRDQNNPTQFSIYPIAFAFCLLCLSFRRREP >Potri.005G063000.1.v4.1 pep chromosome:Pop_tri_v4:5:4044687:4047890:1 gene:Potri.005G063000.v4.1 transcript:Potri.005G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063000.v4.1 MKNMSASRALLLLVVAMLLHLVTCTTTTTTTTTTSTATTHHNTITKAKTKTSSYPDFQLLNVKQAITETKIRPLKPSLYQELSMNIPNDSGSEGKQKLKLVHRDKVSFNNKFHNHSHVFHARMQRDVKRVVSLIRRVSSGSTASYGVEDFGSEVVSGMDQGSGEYFVRIGVGSPPRSQYMVIDSGSDIVWVQCKPCTQCYHQTDPLFDPADSASFMGVSCSSAVCDQVDNAGCNSGRCRYEVSYGDGSSTKGTLALETLTLGRTVVQNVAIGCGHMNQGMFVGAAGLLGLGGGSMSFVGQLSRERGNAFSYCLVSRVTNSNGFLEFGSEAMPVGAAWIPLIRNPHSPSYYYIGLSGLGVGDMKVPISEDIFELTELGNGGVVMDTGTAVTRFPTVAYEAFRDAFIDQTGNLPRASGVSIFDTCYNLFGFLSVRVPTVSFYFSGGPILTLPANNFLIPVDDAGTFCFAFAPSPSGLSILGNIQQEGIQISVDGANEFVGFGPNVC >Potri.004G197500.1.v4.1 pep chromosome:Pop_tri_v4:4:20931639:20937215:1 gene:Potri.004G197500.v4.1 transcript:Potri.004G197500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197500.v4.1 MQTICLILLLLVVAALGQSDFKALLELRKGFEKDPSGKVFDSWDSKSLASDGCPQTWYGVICVNGHVVSITLNDVGLVGNFSFPVLAGFKMLRNLSVSNNQLMGTISNVGSIESLEFLDLSSNFFHGFVPSGVSKLKNLVLLNLSSNNFEGLVPSGFGNLESLEYLDLRHNSFSGDIMGLLSQLDIVVHVDLSSNQFSGSLDLGLGNASFVSSIKYLNVSHNYLVGQLFAHDGVPYFDSLEVFDVSNNQITGAIPPFKFVVSLRILRLGGNQLSGSLPEALLQDSSMVLTELDLSLNQLEGPVGSITSTTLRKMNISSNKLSGPLPATAGHCATIDLSNNMLTGNLSRIQNWGNYVEVIQLSSNSLTGTLPNQTSQFLRLTTLKISNNSLNGDLPPVLGTYSELKVIDLSLNFLTGFLLPDFFTSTTLTDLNLSANNFTGEIPLQEVHDSRENLSLVSLDLSHNSLEGSLPPEISKFHNLVYLNLSNNKLKGSIPGDLPDGLKGFDVSSNNFSGVVPDNLRRFPDSAFHPGNSLLIFPYFPSSSKGPPALVNLKGGRSRMKPAIKIALIASMVGAATIIALLSMVIYYRTHRPTHGTRSLKGDERSEGVPQEEGSSISSSRVNKNPSQSSASLSFHQSNSLTQMGSAYDPGNTSSVPQKSKEHLESITKDGGLTSPHLSSSNASPSKSPLSSDTPGVLRVRSPDKLAGNLHLFDGSLTFTAEELSCAPAEVVGRSCHGALYKATLDSGYVMAIKWLKEGIAKGKKDFAREVKKLGSIRHPNLVSLQGYYWGPKDHEKMIITKYINAQCLAFYLQESEPRKLQSLSLDDRLRIAVNVAWCLNYLHNERAIPHGNLKSTNILLEPPNMNPLLTDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSSKPCPSLKSDVYAFGVILLELLTGKCSWEIVSADPGVVDLTDWVRLLSEENRTSECFDKLLMDTPNAEAPRVLDEMLQVALRCILPASERPDMKTVFEDLSTVAS >Potri.011G138600.5.v4.1 pep chromosome:Pop_tri_v4:11:16985097:16991535:1 gene:Potri.011G138600.v4.1 transcript:Potri.011G138600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138600.v4.1 MGYKNRAEAETEACLNEALLFATMCIIGLPVDVHIRDGSVYSGTFHTASFDKENGVVLKEARLTRKGKSDANVANGSVIETLVILSTDVVQVVAKGVLFPADGVTGNISGGNVEAALTNAPSSEIVASEAKKSNKFTVDKKKSNHNRSSVKNKNGTSHGLMPTKAGKDPEGRKTPPNQIGKTMEFEHGERDGVHIPKREASFGDSVNGRQTRDDRSQEEQGHYKQKFDFQTEKSADEVHSPNAIRPHDSEAKSIAEGRVTVKLLPNVVSCNPDGDLMKPDSQYCGRPASAGTTSPSSVCASVSTASNPMVDVPSESHCSSSANSTDVASPQVSESNRSSKAFKLNPGAKIFSPSFSNPTSANAPAVPTVPSMAYIPSNSPVVPVAVVQPEVGIPCAPRSSVPAKFPPYSNLTAVNGGSGSQFSPVAGHVGTRVQSLRYAGQYHAVQAAPSYAQPNSQSVMVGRMGQLVYVQPVYHDSVPIAAPISTVSARPLLTPHQVQYPKHQGGAAGQTLQLCVTPPFVGGQQPFVEPSQIPFLQPPIPAIRPIPVPGSNTLFGTKFP >Potri.011G138600.1.v4.1 pep chromosome:Pop_tri_v4:11:16985102:16991820:1 gene:Potri.011G138600.v4.1 transcript:Potri.011G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138600.v4.1 MGYKNRAEAETEACLNEALLFATMCIIGLPVDVHIRDGSVYSGTFHTASFDKENGVVLKEARLTRKGKSDANVANGSVIETLVILSTDVVQVVAKGVLFPADGVTGNISGGNVEAALTNAPSSEIVASEAKKSNKFTVDKKKSNHNRSSVKNKNGTSHGLMPTKAGKDPEGRKTPPNQIGKTMEFEHGERDGVHIPKREASFGDSVNGRQTRDDRSQEEQGHYKQKFDFQTEKSADEVHSPNAITGPHDSEAKSIAEGRVTVKLLPNVVSCNPDGDLMKPDSQYCGRPASAGTTSPSSVCASVSTASNPMVDVPSESHCSSSANSTDVASPQVSESNRSSKAFKLNPGAKIFSPSFSNPTSANAPAVPTVPSMAYIPSNSPVVPVAVVQPEVGIPCAPRSSVPAKFPPYSNLTAVNGGSGSQFSPVAGHVGTRVQSLRYAGQYHAVQAAPSYAQPNSQSVMVGRMGQLVYVQPVYHDSVPIAAPISTVSARPLLTPHQVQYPKHQGGAAGQTLQLCVTPPFVGGQQPFVEPSQIPFLQPPIPAIRPIPVPGSNTLFGTKFP >Potri.011G138600.6.v4.1 pep chromosome:Pop_tri_v4:11:16985088:16991555:1 gene:Potri.011G138600.v4.1 transcript:Potri.011G138600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138600.v4.1 MKPDSQYCGRPASAGTTSPSSVCASVSTASNPMVDVPSESHCSSSANSTDVASPQVSESNRSSKAFKLNPGAKIFSPSFSNPTSANAPAVPTVPSMAYIPSNSPVVPVAVVQPEVGIPCAPRSSVPAKFPPYSNLTAVNGGSGSQFSPVAGHVGTRVQSLRYAGQYHAVQAAPSYAQPNSQSVMVGRMGQLVYVQPVYHDSVPIAAPISTVSARPLLTPHQVQYPKHQGGAAGQTLQLCVTPPFVGGQQPFVEPSQIPFLQPPIPAIRPIPVPGSNTLFGTKFP >Potri.017G002400.1.v4.1 pep chromosome:Pop_tri_v4:17:139881:141257:1 gene:Potri.017G002400.v4.1 transcript:Potri.017G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002400.v4.1 MELKFVEPVAKNGKELAQVNEEDVASEFKRWENAVVAYFLGHDSTFGPTSFNKFINNLIDSEWRKYGNVKVYSQGSGVFVLDFESAHGKELALGEGPRFYDGSKPFILKPWSRDLSLEIKELKSAPIWIKLPNLRLHLWSPEALSKIASLVGRPLFADTVTASRETLCFARVCVEVDFDKMLPDSVTIEDDKGYSYDQKVEYEWQPTSRCSYCLHLGHSDSCPRRKTTFPCSCCKEYGRFCCKRSCGPPRILFGTAQGAANTSSKTAFGSTPAMFADEAPVFGANFSSTPAFSSTPASTFGSTLFSTTAPGANSPEDDARTSLSTPAFGSALPAE >Potri.005G186900.2.v4.1 pep chromosome:Pop_tri_v4:5:19435615:19442813:-1 gene:Potri.005G186900.v4.1 transcript:Potri.005G186900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186900.v4.1 MVPSGPPTPIGGAQSVSPSLLRSNSGMLGAQGGPLSSQTAFPSLMSPRTQFNNMSMLGNVPSLLNQSFGNGGPNPGLPGPGSSQRGNIDTGAESDPLSNVGNGMGFNAPPPSFVPSNMVNPGPSGQVQGQQFSNPSGNQLLPDQQQSQQLEAQSFQHGQQSMQQFSGSHNAQQVQQQHQFQSIRGGLAGVGPVKMEPHVTNDQHGAQQPQPLRNLGPVKLEPQQIQTMRNLSTVKLEPQHSDQSLFLQQQQHQQQQQQQQHQQQQQQQHQQQQHQQQQQQQHQQQFLHMSRQSSQQAVVQLNLLHQQRILQMHQQQQQQQQQQLLKAMPQQRPQLPQQFQQQNLPLRSPVKSVYEPGMCARRLTNYMHQQQRRPEDNNIDFWRKFVSEFFAPHAKKKWCVSMYGSGRQTAGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQTASSNLSVPELQNNCTMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTGSSSGFHSQAPQPEGQQQQQQQLQTIPKNSNSDRSSAQVPMQITASNGMASVNNSLTTASTTTSASTIVGLLHQNSMNSRHQNSMNNASSPYGGNSVQIPSPGSSGTIPQAQPNPSPFQSPTPSSSNNPPQTSHSALTTSNHISSTNSPANIPLQQPALSGEADHGDSQSSVQKILHDIMLSNQLNGNGGMVGVGSLVNDVKNVNGILSTGNNTVLNGGNGLVGNGTVNSSGIGGAGYGTMGGLVQSTVVNGIRAAMGNNSIMNGRMGMPSMVRDQSMNHQHDLGNQLPSGLGAVNGFSNLQFDWKPSP >Potri.005G186900.1.v4.1 pep chromosome:Pop_tri_v4:5:19435541:19443620:-1 gene:Potri.005G186900.v4.1 transcript:Potri.005G186900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G186900.v4.1 MVPSGPPTPIGGAQSVSPSLLRSNSGMLGAQGGPLSSQTAFPSLMSPRTQFNNMSMLGNVPSLLNQSFGNGGPNPGLPGPGSSQRGNIDTGAESDPLSNVGNGMGFNAPPPSFVPSNMVNPGPSGQVQGQQFSNPSGNQLLPDQQQSQQLEAQSFQHGQQSMQQFSGSHNAQQVQQQHQFQSIRGGLAGVGPVKMEPHVTNDQHGAQQPQPLRNLGPVKLEPQQIQTMRNLSTVKLEPQHSDQSLFLQQQQHQQQQQQQQHQQQQQQQHQQQQHQQQQQQQHQQQFLHMSRQSSQQAVVQLNLLHQQRILQMHQQQQQQQQQQLLKAMPQQRPQLPQQFQQQNLPLRSPVKSVYEPGMCARRLTNYMHQQQRRPEDNNIDFWRKFVSEFFAPHAKKKWCVSMYGSGRQTAGVFPQDVWHCEICNRKPGRGFEATVEVLPRLFKIKYESGTLEELLYVDMPREYQNSSGQIVLDYAKAIQESVFEQLRVVRDGQLRIVFSPDLKICSWEFCARRHEELIPRRLLIPQVSQLGAAAQKYQAATQTASSNLSVPELQNNCTMFVASARQLAKALEVPLVNDLGYTKRYVRCLQISEVVNSMKDLIDYSRETGTGPMESLAKFPRRTGSSSGFHSQAPQPEGQQQQQQQLQTIPKNSNSDRSSAQVPMQITASNGMASVNNSLTTASTTTSASTIVGLLHQNSMNSRHQNSMNNASSPYGGNSVQIPSPGSSGTIPQAQPNPSPFQSPTPSSSNNPPQTSHSALTTSNHISSTNSPANIPLQQPALSGEADHGDSQSSVQKILHDIMLSNQLNGNGGMVGVGSLVNDVKNVNGILSTGNNTVLNGGNGLVGNGTVNSSGIGGAGYGTMGGLVQSTVVNGIRAAMGNNSIMNGRMGMPSMVRDQSMNHQHDLGNQLPSGLGAVNGFSNLQFDWKPSP >Potri.005G216400.2.v4.1 pep chromosome:Pop_tri_v4:5:21983796:21988504:-1 gene:Potri.005G216400.v4.1 transcript:Potri.005G216400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216400.v4.1 MEAGILWQTRSPTPSLPRQPRGCKIKYHSPAILAVLTRAPDNNMTGVTEKRKASNRTDKLTGLTKKTIYNDSWFAELAINYLSQQFQDATGLRNSKRGYESLAQTATDTWQKFSPIQQHGLVLQSLNRAIPRLILNMIKIMLPESTFKREYFAAFTTLFFAWLVGPSEVRESEFNGKKEKNVVHIKKCRFLEETNCVGMCTNLCKIPSQTFIKHSLGMPVDMVPNFDDMSCEMIFGQEPPAITEDPAFKQPCYKLCKANRKHIMKCSS >Potri.005G216400.3.v4.1 pep chromosome:Pop_tri_v4:5:21984181:21988302:-1 gene:Potri.005G216400.v4.1 transcript:Potri.005G216400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216400.v4.1 MEAGILWQTRSPTPSLPRQPRGCKIKYHSPAILAVLTRAPDNNMTGVTEKRKASNRTDKLTGLTKKTIYNDSWFAELAINYLSQQFQDATGLRNSKRGYESLAQTATDTWQKFSPIQQHGLVLQSLNRAIPRLILNMIKIMLPESTFKREYFAAFTTLFFAWLVGPSEVRESEFNGKKEKNVVHIKKCRFLEETNCVGMCTNLCKIPSQTFIKHSLGMPVDMVPNFDDMSCEMIFGQEPPAITEDPAFKQPCYKLCNNSSHPHISTCSCILNSTICFGLMNKTNTSNLAADWPYVDQKNF >Potri.014G068000.1.v4.1 pep chromosome:Pop_tri_v4:14:4297711:4298055:1 gene:Potri.014G068000.v4.1 transcript:Potri.014G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068000.v4.1 MAIFSKSLTKTDIEKRLSFPTKCLRSLPCFGRGHAVDFHVMDECGQVWTFRCTIRKKNHPKPVISKDWSKFVSSKHLDVGDKITFSKLENKVSCAFYKIEVKKPVKLFGVNVGY >Potri.008G056000.1.v4.1 pep chromosome:Pop_tri_v4:8:3294078:3296163:-1 gene:Potri.008G056000.v4.1 transcript:Potri.008G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056000.v4.1 MVAKTNLSHHRRLLLLVSTLLHLFTTTFAIGVNYGTLGNNLPPPSQVANFIKTQTIIDSIKIFDTNHDILNAFANTGITVTVTVGNGDIPSLANLNSARGWVAANIAPFHPQTRINRIVVGNEIMATANKPWISNLVPAMRTIHKALLLAGIRNVQVTTPHSLGILSISEPPSAGQFRRGFDRAIFAPMLQFLRETKSPFMVNPYPYFGYSPKMANYALFKRNRGVHDRYTGITYTNMYDAMLDATYSAMRKLGYGDVGIVVGETGWPSVCDPGQPACSMENAAWFNGNLVRRARQGKGTPLMPNRRFETYLFSLFNENLKPGPTAERNWGLFRPDFSPIYDAGILRNGQRGSGRGGGRQRPRPTPGKQWCVPKPGVSDQALQANIDYACSQGVDCKPIQPGGACFDPNNVRSHASYVMNFFYQTHGRQAFNCDFSNTGVLTAVNPGHGTCRFV >Potri.004G027533.1.v4.1 pep chromosome:Pop_tri_v4:4:2109082:2109911:-1 gene:Potri.004G027533.v4.1 transcript:Potri.004G027533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027533.v4.1 MHVGLLCVQEFAKDRPAVPTITSMLHSEIVDLPAPKKPALGFEMDSLQWSQTICSNDISFTVIGGR >Potri.001G022700.1.v4.1 pep chromosome:Pop_tri_v4:1:1762111:1767859:-1 gene:Potri.001G022700.v4.1 transcript:Potri.001G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022700.v4.1 MPSHHLHAPLRSSTATSTTISTQSFTSRLILLLTLLPLSLAALAFILQWKGNSGFMMDPTTANSRWAPQGSHIKNHEIFPGMELSVLSPKAHKSSDCSSLGRSGAPSFPYFRDWKFDFQANLRPKICITTSTSAGLDQILPWMFYHKVMGVTTFFLFVEGNAASPNTSKVLESIPGVKLIYRTTELEEQQAKSRIWNETWLSSFFYKPCNYELFVKQSLNMEMAIVMARDAGMDWILHLDTDELIHPAGAREYSLRQLLLDVPGNVDMVVFPNYESSIERDDIEDPFGEVSMFKKNYDHLPKDTYFGMYKESTRGNPNYFLTYGNGKSAARVQDHLRPNGAHRWHNYMKTPNEVKLEEAAVLHYTYSKFSDLTSRRDRCGCKPTKEDVKRCFMLDFDRSAFIIASTATEEEMRNWYREHVVWGDKDLKLKLLRKSILTRIYSPMAIIQGLRESGVFSSVIQSAPTTLSRDKFLASVDSSNSSRTVASESMQSRKTIKSRENQATARKVLGLETTVVSHEAAVPPLSPPGLLVEA >Potri.001G444500.3.v4.1 pep chromosome:Pop_tri_v4:1:47028595:47036794:1 gene:Potri.001G444500.v4.1 transcript:Potri.001G444500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444500.v4.1 MAIESVGGSVVSKIAELLVEPAIRQFRYMFCFNNFVQEFNEHKKNLALTLDRLQNDVRDAERNAEEIYKYVSKWLEDANNEIEGVNPLENEIEKNGKCFTWCPNWTRQFKLSKALAKKTETLRKLEENGRKFPKVSYKPPLQGIEFLPSEEVTPSESSKAAFEQIMKALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAKESQLFDEVVMATLSQNPKVTGIQDQMADSLGLKFDENSEGGRAGRLWQRLQGKKMLIVLDDVWKDIDFQEIGIPFGDDHRGCKILLTTRLQDICSYMECQPQVFLNILTENEAWALFKIHAGLRDEDSDLNRVAKDVARECQGLPIALVAVGKALKDKSEHEWEVASEELKKSQSRHVENFDDRRNAYACLKLSYDYLKHEKTKLCFLLCCLFPEDYNIPIEELTRCAVGYGYQDVKSIEGARKRVYMEIENLKACCMLLGTETEEYVKMHDLVRDVAIQIASSEKYGFMVEAGFGLKEWPMRNKSFEGCKVISLMGNKLTDLPEGLVCPQLKVLLLELDRGLNVPERFFEGMKAIEVLSLKGGCLSLQSLQFSTNLQSLLLRWCECKDLIWLRKLQRLEILGFIWCGSVEELPNEIGELKELRLLDVTGCGLLRRIPVNLIGRLKKLEELLIGATSFNRWDVVGCDSAEGMNASLTELSSLSHLAVLSLKIPKVECIPRDFVFPRLLKYDIVLGDGYSEGVYPTKLYLGNISTASLNAKTFEQLFPTVSLIDFRNIEGLENIVESQKDFFQRLEHVEVTGCGDIRTLFPAKWRQALKKLRSVEIKRCDSLEEVFELDEEKELLSSLTTLRLSDLPELKCIWKGPTRHVSLHSLVHLKLLCLDKLTFIFTPSLAQSLIHMETLEIGFCRGLKRLIREKDDEGEIIPESLGFPKLKKLYIFVCDKLEYVFPVSVSPSLQNLEEMKIVFADNLKQVFYSGEGDDIIVKSKIKDGIIDFPQLRKLSLSKCSFFGPKDFAAQLPSLQELTIYGHEEGGNLLAQLRGFTSLETLTLSYVLVPDLRCIWKDLMPSHLTSLTVYSCKRLTRVFTHSMIASLVQLQVLEISNCEELEQIIAKDNDDENDQILSGSDLQSSCFPNLWRLEIRGCNKLKSLFPVAMASGLKKLRILRVRKSSQLLGVFGQDDHASPANIEKEMVLPDLQELLLVQLPSISSFSLGCSNFLFPHLKKLEVDGCPKLTTKSATTSNDSMSAQSKAFMNLKEISIGNLEGVQDLMQVGRLVTNRRGGHELSLVSLETLCLNLLPDLRCIWKGLVPSNLTTLKVKECKRLTHVFTDSMIASLIQLQVLEISNCEELEQIIAKDNDDENDQILSGSDLQSSCFPNLWRLEIRGCNKLKSLFPVAMASGLKKLRILRVRKSSQLLGVFGQDDHASPANIEKEMVLPDLQELLLVQLPSISSLSLGCSNFLFPHLKKLEVDGCPKLTTKSATTSNDSMIAQSKAFMNLKEISIGNLEGVQDLMQVGRLVTNRRGGHELSLVSLKTLCLNLLPDLRCIWKGLVPSNLTTLKVKKCDRLIHVFTINIIASLVQLQVLKISNCEELEQIIAKDNDDENDQILSGSDLQSSCFPNLWRLEIRGCNKLKSLFPVAMASGLKKLRILRVRKSSQLLGVFGQDDHASPVNVEKEMVLPDLQELLLVQLPSIVYFSHGCYDFIFPRLWRLEVRQCPKLTTIFGTTANGSMSAQSEVSQVAEGSSTGCSVPTSTARSWTRRNGWKEEKEEEDGVR >Potri.011G089901.1.v4.1 pep chromosome:Pop_tri_v4:11:11526357:11541342:-1 gene:Potri.011G089901.v4.1 transcript:Potri.011G089901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089901.v4.1 MEDPEGVLSFDFEGGLDSGPTNPSASMAAIPSDNQGSAMAAAPNTATTGASTSNTTTNNSSDSGAADMQAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVVEAVQKTQQLNSYNYGNSNKFFQQRTAGVPQQIEKSPITIIKPSGMESANLQQQQQPQTQTQAQAPNLPNGQQQPNPLNRIATTLPQGISRYFIVKSCNLENLELSVQQGVWATQRSNEPKLNEAFDSAENVILIFSVNRTRHFQGCAKMTSKIGASVGGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPFNENLPVKISRDCQELEPSIGEQLASLLYLEPDSDLMAISVAAEAKREEEKEKGVNPDSGGENPDIVPFEDNEEEEEEESEEEVEAFGHPLGAAAQGRGRGRGIMWPPHIPIARGARPIPGMRAFPPMMMGADGFSYGAVPDSFGMPDLFGVAPRGFAPYGPRFSGDFTGATSGMMFPGRPSQPGAMFPAGGFGMMMGSGRAPFMGGMGPTASNPLRGPRPGGMFAPLLASSSQNNNRSFKRDQRAAPSDRIDRHSIESDLVRGAAGESNDETRYPQETYKASHEDQFGAVNSNRNDESESEDEAPRRSRHGEGKKKRRGSEGDANPGSDHKQ >Potri.008G055400.1.v4.1 pep chromosome:Pop_tri_v4:8:3264745:3268294:1 gene:Potri.008G055400.v4.1 transcript:Potri.008G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055400.v4.1 MKVTVVSRSGREVIKGGLELSDSATVADLQEAIHKRTKKFYPARQRLTLPLPPGSKERPTVLNYKKNLKEYQDGNLDSLTILFKDLGPQVSYRTLFFFEYLGPLVLYPFFYYFPVYQFLGYKGEHIMHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGSYIAYYVNHPLYTPVGDLQMKIGFGFGLVCQLANFYCHILLRNLRRPDGNGGYQIPSGFLFNIVTCANYTTEIYQWLGFNIATQTVAGYIFLVVAASIMTNWALAKHRRLKKLFDGKDGRPKYPRRWVILPPVL >Potri.018G094100.1.v4.1 pep chromosome:Pop_tri_v4:18:11428964:11429774:-1 gene:Potri.018G094100.v4.1 transcript:Potri.018G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094100.v4.1 MGRDKWSLLSRLTRAIKKVKIILNLDMSRWRLASMIGAASSRRHQLSFNDRPGLRGWEDIDDEESEDPGSSKGLHRTISYQSEDDIDKRAEMFIENFRRQLQIERQISLELKYFQGLNSFKSISP >Potri.019G008906.1.v4.1 pep chromosome:Pop_tri_v4:19:1304568:1311538:1 gene:Potri.019G008906.v4.1 transcript:Potri.019G008906.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008906.v4.1 MTDKIKVWCFLLFLSRLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRTVVDIIGELLGFNQFIPPFATARGRDILVGVNYASGASGIRDESGRQLGDRISLNEQLQNHAATLSRLTQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYHLGARKIALPGLRPIGSIPYSFSTLCRNNVSCVTNINNAVLPFNAGLVSLVDQLNRELNDARFIYLNSTGMSSGDPSVLGFRVTNVGCCPARSDGQCIQDPCQNRTEYAFWDAIHPTEALNQFTARRSYNAILPSDAYPTDISHLIS >Potri.007G144800.1.v4.1 pep chromosome:Pop_tri_v4:7:15373921:15379442:1 gene:Potri.007G144800.v4.1 transcript:Potri.007G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144800.v4.1 MAQQNQEEEEEEVVAIVESVPESLDQSSSSSSSGPSLSRNVSFSKLNAQAPAFVPTRPQSQPQPPPRMTVIPPPPPPPAAMMHMYPPPSPFHVPIHSPVHLPHVIPVQNHPHHHNHHHNSHNHLHNHQQHHNQHQQYVPVRNHNQHHQQHNSKKVQQHSDEEVEVIGNKDAAASSDHASKSDSKSDEAMNKLLNQVEYYFSDLNLATTDHLMRFITKDPEGYVPISVVASFKKVKAAINSNSQLANILRNSTKLVVSDDGKKVRRQHPLTDSDVEELQSRIVVAENLPEDHCHQNLMKIFSAVGSVKTIRTCPPQTSGGGASSASRSAKADGMHFSNKLHAFVEYETIEIAEKAVAELNDEGNWRSGLRVRLMLKRGSKSTQARGKKGHDGQGHSEEDEISATEQMPNDKQTEDLSQQHDAHSHEHSQGEDHANDKEGAQRKGRNRGRGKGRGRGQYHHNNHHNNRGNHLGTSPSNNPVIGEHPAMAKQPPGPRMPDGTRGFAMGRGKPAAVNNIA >Potri.011G147500.2.v4.1 pep chromosome:Pop_tri_v4:11:17548234:17552837:-1 gene:Potri.011G147500.v4.1 transcript:Potri.011G147500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147500.v4.1 MAAPANNNNNNNSPAAAAAEDTVEEEVARVVEQAKELQETAASLIAKSSHDEQSLRQKALSLESSVRRCRTLLNRNNRLAPKLVAKLEEDLQKARCIITDGDASAFLPSKPQGRFLKMFLGPINVRASRKDVQWKVKEEYNSYRDRTALLFLLFPSVLLCLRSWVWNGCLPTFPVQLYQAWLLFLYTGLALRENILRANGSDIRPWWIYHHYCAMIMALVSLTWDIKGQPNCAQKQRGVELFLQWAMMQGVAMLLQNRYQRQRLYTRIALGKAKRMDVVWGETAGVDGQLWLLYPILFILQGFEAYVGLQLLRTAFKGVTSEWQVIFCGILLVFMAVGNFLNTVQTLMAKSRFKAKMKSKSKQELD >Potri.004G202683.1.v4.1 pep chromosome:Pop_tri_v4:4:21201090:21203309:-1 gene:Potri.004G202683.v4.1 transcript:Potri.004G202683.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202683.v4.1 MAAALCPALKRVSLKHMNNLMEWKVPEAAAGGMEVAFPCLEELEFNRCPKLKRIPTMRHFSSKLVRLTIRDCDALSHISGGVQALFPLLEELYIERCRELKSIPSMSHLSSKLLRLTIRHCDALSDMSGEFQASMTSFKYLTIEYCSNLASIPSLQNCTALKVLSISKCSKVVPIILELHSLRSVSIRSCEEACVRIRWPLSCANLEDLKIEHCRELIFDDDLHGGELLPSSCLQSLVIMRCEYLKSVPDGLERRLHSLVRLDISGCPNLSHIPEEFFRGLNQLEVLHIGGFSEELEAFPGMNSIHHLSGSLKELKIIGWKKLKCLPNQLQHLISLTKLKIYGFNGEEFAEALPHWLANLSSLQELTISECQNLKYLPSSTAMQRLSKLTLLNIRSCPHLDRNCLKGSGSERSTISHIPSSNIGVERNSSKNK >Potri.004G117700.4.v4.1 pep chromosome:Pop_tri_v4:4:11124690:11128606:1 gene:Potri.004G117700.v4.1 transcript:Potri.004G117700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117700.v4.1 MAVFFVVLVVVLFLFGISSALLRWNEVRYRKKGLPPGTMGWPIFGETTEFLKQGPNFMKNQSARYGSFFKSHILGCPTIVSMDPELNRYILMNEAKGLVPGYPQSMLDILGNCNIAAVHGSTHKYMRGALLSLISPTMIREQLLPTIDEFMRTHLSDWDSKIIDIQQMTKEMALLSALKQIVGIDSSSISQAFMPEFFKLVLGTLSLPIDLPGTNYTRGVQARKKIISMFRKVIQERRASKLSHQDMLGKLMRTQENKYKLTDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLQELRKEHLAVREKKRPEDPIDLNDLKPMRFTRAVILETSRMATIVNGVLRKTTREMELNGFVIPKGWRIYVYTREINYDPHIYPDPLSFNPWRWLDKSLESQNYLFIFGGGARQCPGKELGIAEISTFLHYFVTRYRWEEVGGDTLMKFPRVEAPNGLHIRVSSH >Potri.004G117700.5.v4.1 pep chromosome:Pop_tri_v4:4:11125924:11128057:1 gene:Potri.004G117700.v4.1 transcript:Potri.004G117700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117700.v4.1 MDPELNRYILMNEAKGLVPGYPQSMLDILGNCNIAAVHGSTHKYMRGALLSLISPTMIREQLLPTIDEFMRTHLSDWDSKIIDIQQMTKEMALLSALKQIVGIDSSSISQAFMPEFFKLVLGTLSLPIDLPGTNYTRGVQARKKIISMFRKVIQERRASKLSHQDMLGKLMRTQENKYKLTDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLQELRKEHLAVREKKRPEDPIDLNDLKPMRFTRAVILETSRMATIVNGVLRKTTREMELNGFVIPKGWRIYVYTREINYDPHIYPDPLSFNPWRWLDKSLESQNYLFIFGGGARQCPGKELGIAEISTFLHYFVTRYRWEEVGGDTLMKFPRVEAPNGLHIRVSSH >Potri.004G117700.3.v4.1 pep chromosome:Pop_tri_v4:4:11124689:11128606:1 gene:Potri.004G117700.v4.1 transcript:Potri.004G117700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117700.v4.1 MAVFFVVLVVVLFLFGISSALLRWNEVRYRKKGLPPGTMGWPIFGETTEFLKQGPNFMKNQSARYGSFFKSHILGCPTIVSMDPELNRYILMNEAKGLVPGYPQSMLDILGNCNIAAVHGSTHKYMRGALLSLISPTMIREQLLPTIDEFMRTHLSDWDSKIIDIQQMTKEMALLSALKQIVGIDSSSISQAFMPEFFKLVLGTLSLPIDLPGTNYTRGVQARKKIISMFRKVIQERRASKLSHQDMLGKLMRTQENKYKLTDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLQELRKEHLAVREKKRPEDPIDLNDLKPMRFTRAVILETSRMATIVNGVLRKTTREMELNGFVIPKGWRIYVYTREINYDPHIYPDPLSFNPWRWLDKSLESQNYLFIFGGGARQCPGKELGIAEISTFLHYFVTRYRWEEVGGDTLMKFPRVEAPNGLHIRVSSH >Potri.004G117700.2.v4.1 pep chromosome:Pop_tri_v4:4:11124767:11128607:1 gene:Potri.004G117700.v4.1 transcript:Potri.004G117700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117700.v4.1 MAVFFVVLVVVLFLFGISSALLRWNEVRYRKKGLPPGTMGWPIFGETTEFLKQGPNFMKNQSARYGSFFKSHILGCPTIVSMDPELNRYILMNEAKGLVPGYPQSMLDILGNCNIAAVHGSTHKYMRGALLSLISPTMIREQLLPTIDEFMRTHLSDWDSKIIDIQQMTKEMALLSALKQIVGIDSSSISQAFMPEFFKLVLGTLSLPIDLPGTNYTRGVQARKKIISMFRKVIQERRASKLSHQDMLGKLMRTQENKYKLTDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPTVLQELRKEHLAVREKKRPEDPIDLNDLKPMRFTRAVILETSRMATIVNGVLRKTTREMELNGFVIPKGWRIYVYTREINYDPHIYPDPLSFNPWRWLDKSLESQNYLFIFGGGARQCPGKELGIAEISTFLHYFVTRYRWEEVGGDTLMKFPRVEAPNGLHIRVSSH >Potri.016G069632.1.v4.1 pep chromosome:Pop_tri_v4:16:5010450:5011000:1 gene:Potri.016G069632.v4.1 transcript:Potri.016G069632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069632.v4.1 MKEFVNKLKLVQVVEQASSEAKIIETKSKVVEVAAKVLEAIGYGIVILPTAKRLHLVKVWHPFVMVTKALIDSATTNAEDAPELTIDSELWNSLDPHLFQWFLRCHQKTRQRF >Potri.002G001500.5.v4.1 pep chromosome:Pop_tri_v4:2:99581:101778:-1 gene:Potri.002G001500.v4.1 transcript:Potri.002G001500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001500.v4.1 MSSISSSMLFSSSPRPPPPPQVLRVSSLYTAKPSFSDSMATSAPKWAQKTITLPPHRRGCHLITPKILNEIGSDLSEFKCGLAHLFLHHTSASLTINENYDSDVRDDTETFLNKIVPEGRSAPWKHTLEGPDDMPAHIKSSMFGCSLTIPITDGKLNMGTWQGIWLCEHRDHPTARKVVVTLNGI >Potri.001G285100.1.v4.1 pep chromosome:Pop_tri_v4:1:29805269:29808095:1 gene:Potri.001G285100.v4.1 transcript:Potri.001G285100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285100.v4.1 MATAALLRSLRRRDVASAPLSTYRCVTNNVKPSWAPSNFNQNWAGFSRAFSAKPAGGDFIGIDSGTTNSRVAFMEGKNSKVIENAEGSRATPSIVAFTPKIGARIFGKSPSKGVNPDKAVAMGGAIQGGILGGDFKELCLLGATPLSPGIKTRVFSTAADNKSQVAGIPPVPRGMSQIEMTSDIDQHNTMNILRPLSPHLPIYRPQVNSTFSIVNRISGAFLSTIVLCFYFICLKTGLICFNYYNFYQFLFYSSKLILTSIDVTAALALAYHLFYGVRHLLH >Potri.005G249800.4.v4.1 pep chromosome:Pop_tri_v4:5:24355770:24359869:-1 gene:Potri.005G249800.v4.1 transcript:Potri.005G249800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249800.v4.1 MQGGSSGIGYGLKYQARCISDVKADTDHTSFITGTLSLREENEVHLLRLSSGGTELICEGLFSHPNEIWDLSSCPFDQRIFSTVFSTGESFGAAVWQIPELYGQLNSPQLERIASLDGHVGKVNCILWWPSGRNDKLISIDEENLFLWSLDCSRKAAQVQSKESAGMLHYLSGGAWDPHDVNAVAATCESSVQFWDLRTMKKTSSIECGHVRNVDYDTRKMHILVTAEDESGIHIWDLRMPKVPINELRGHSHWTWAVTCNPEYDGLILSGGTDSTVNLWFASTTTSDELTSGSVVASPTQKLDPLLYSFNDYEDSVYGLAWSSREPWIFASLSYDGRVVVESVKPYLPRK >Potri.006G253300.1.v4.1 pep chromosome:Pop_tri_v4:6:25145301:25146222:-1 gene:Potri.006G253300.v4.1 transcript:Potri.006G253300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253300.v4.1 IPGFLQVFSDGMVKRFAPEIVPASAEESYSNGLKFKDVVIDSSKPIIARLFLPDTRGSASQLPVVVYFHGGCFCFCSTTWFGFHHFLGDLSKASQSIVLSVDYRLAPENRLPIAYDDCFSSLEWLMFLSGDSAGGNITHHVAIRAMRSNTCQVKIKGLMLIHPCFGSEKRTMKETAEGAARDVVMNDMFWGLSIPEGSNRDYFGCNFEMQDVSAGEWSEFPAVIVYVAGLDFLNERGAMYAQFLAKKGVKEVKLVEAEGQQHVFHLLYSESEATRSLQKQMSEFMKSH >Potri.012G006900.1.v4.1 pep chromosome:Pop_tri_v4:12:275186:277737:-1 gene:Potri.012G006900.v4.1 transcript:Potri.012G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006900.v4.1 MMSSRKLAQLCITFWVAVLFCPSVHSQLQVGFYRNSCRRAESTVRDDVRDALRQDRGVAAGLVRLHFHDCFVRMQQQKIK >Potri.018G037700.1.v4.1 pep chromosome:Pop_tri_v4:18:3005820:3008625:-1 gene:Potri.018G037700.v4.1 transcript:Potri.018G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037700.v4.1 MGSSSETKQNSLLSSEPGIQRTQYPYVTGSSVVALKYKDGILMAADMGGSYGSTLRYKSVERIKPVGKHSLIGASGEISDFQEIMRYLDEQVLNDNMWDDGNSLGPKEIHSYLTRVMYNRRNKFDPLWNTLLLGGVKKGQKFLGMVTMIGVNFEENHVATGFGNHMAQPILRSEWHENLTFEEGVALLEKCMRVLLYRDRSAVNKFQIAKITEEGVTISQPYALKTFWGFKAFENPTAGAEGSW >Potri.001G082400.4.v4.1 pep chromosome:Pop_tri_v4:1:6526779:6530844:-1 gene:Potri.001G082400.v4.1 transcript:Potri.001G082400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082400.v4.1 MMIEAVEKEEIEREGMELEPQDESEGSRTWTKQVTVRGIIVSILIGAIYSIIAMKLSLTTGMIPNFNVSAALLAFVFVQTWTKVLQKAGFVVRPFTRQENTMIQTCAVACYSIAIGGGLSSYLLGLDRNTYELSGGLKTEGNSSSGLKEPEFGWMVGYLFLVCFVGLFVLIPLRKILIVDMKLTYPSGLATAVLINGFHSRGDKMAKKQVQGFVKYFSISFLWAFFQWFFTGKDGYCGFAHFPTFGMEAWKHTFFFDFSTTLVGAGMIVSYLVNLSLLLGAVLSYGIMWPLIRQLKGEWYPASIDESSMKSLNGYKVFLAVALILGDGLYFFVKIMGMTISNVRRRLKNKNTSPVHYQRKLFDEQKQNKFFLGETIPLWLGIIGYVIFSVISIIAVPIMFPQLKWYYVVVSYVLAPSLAFCNAYGAGLTDINMAYNYGKAALFVLAAISGRENGVVAALVGGGLVKSVISVSCILIQDFKTAHLTFTSPRAMFLNQVIGTAIGCVVAPSSFFLFYKAFDVGNPSGGFKAPHALIYRNMAIIGVEGFSALPQYCLQLCCGFFAFAIAVNLVRDFLPRKIGQWVPLPLVMAVPFVIGASFAIDMCVGSLIVFVWHKRNTNKAEFMIPAVASGLICGEGLWTLPAAILALAKIKPPICMNFVAS >Potri.019G103400.2.v4.1 pep chromosome:Pop_tri_v4:19:13903511:13907067:1 gene:Potri.019G103400.v4.1 transcript:Potri.019G103400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103400.v4.1 MRRITLLRPPPSLLPATTLHSFTTLLPYNNGGSSSKHPKKRPTLTFTSNSRWVFTDSNYLPPPEWIEPFNDLSDIASKPPQDLKPSPWVHQIMSLLLDGPVDMESRLDLFCNKFLIKLSPNFVSFVLKSMELQKRPDLALKFFTWAGKQKKYTHNLQCYVSSIDVLAINGDLDNVKSVFCKFRGMGFLMNVSAANSLIKSFGSLGMVEELLWVWRGMKENGVEPSLFTYNFLLNGLVNSVFIESAERVLEVMENGKIGPDVVTYNTMIKGYCQVGKTQKAFEKFRDMELRNVAPDKITYMTLIQACYAEGDFDLCLSLYHEMDENGLEIPPHAYSLVIGGLCKEGKCVEGYAVFEKMIQKGCKVNVAIYTALIDSNAKCGNMGEAMLLFERMKKEGLEPDVVTYGVVVNCMCKSGRLDEAMEYLEFCRVNGVAVNAMLYSSLIDGLGKAGRVHEAEKLFEEMVKKGCPPDSYCYNALIDALAKCGKTDEALAFFKRMEDEGCDQTVYTYTIMINGLFREHKNEEALKMWDMMIDKGITPTAAAFRALSIGLCLSGKVARACKLLDELAPMGVIPETAFEDMLNVLCKAGRIKEACKLADGFVDRGREIPGRVRTVLINALRKAGNADLALKLMHSKIGIGYDRMGSVKRRVKFRILVES >Potri.019G103400.3.v4.1 pep chromosome:Pop_tri_v4:19:13903467:13907004:1 gene:Potri.019G103400.v4.1 transcript:Potri.019G103400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103400.v4.1 MRRITLLRPPPSLLPATTLHSFTTLLPYNNGGSSSKHPKKRPTLTFTSNSRWVFTDSNYLPPPEWIEPFNDLSDIASKPPQDLKPSPWVHQIMSLLLDGPVDMESRLDLFCNKFLIKLSPNFVSFVLKSMELQKRPDLALKFFTWAGKQKKYTHNLQCYVSSIDVLAINGDLDNVKSVFCKFRGMGFLMNVSAANSLIKSFGSLGMVEELLWVWRGMKENGVEPSLFTYNFLLNGLVNSVFIESAERVLEVMENGKIGPDVVTYNTMIKGYCQVGKTQKAFEKFRDMELRNVAPDKITYMTLIQACYAEGDFDLCLSLYHEMDENGLEIPPHAYSLVIGGLCKEGKCVEGYAVFEKMIQKGCKVNVAIYTALIDSNAKCGNMGEAMLLFERMKKEGLEPDVVTYGVVVNCMCKSGRLDEAMEYLEFCRVNGVAVNAMLYSSLIDGLGKAGRVHEAEKLFEEMVKKGCPPDSYCYNALIDALAKCGKTDEALAFFKRMEDEGCDQTVYTYTIMINGLFREHKNEEALKMWDMMIDKGITPTAAAFRALSIGLCLSGKVARACKLLDELAPMGVIPETAFEDMLNVLCKAGRIKEACKLADGFVDRGREIPGRVRTVLINALRKAGNADLALKLMHSKIGIGYDRMGSVKRRVKFRILVES >Potri.009G005400.1.v4.1 pep chromosome:Pop_tri_v4:9:1176906:1178404:1 gene:Potri.009G005400.v4.1 transcript:Potri.009G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005400.v4.1 MPNLIVIDRIAIGTVAADFHPNAFKAALAEFISTLIFVFAGQGSTMAYNKLTSNAPTSPAGLIAVALAHAFGLFVAVATSANISGGHCNPAVTFGAFLGGNITLLRGILYWIAQLLGSTVACLLLKFATHYMTVSVFTLSSGVSVWNAFVFEIVMTFALVYTVYATAIDAKKGDVGVIAPLAIGFVLGANILAGGAFEGAALNPAVPFGPALVSWNWHHHWVYWAGPLIGGGLAGVVYELIFISHTHEPLAVVEY >Potri.010G142900.1.v4.1 pep chromosome:Pop_tri_v4:10:15576441:15577363:1 gene:Potri.010G142900.v4.1 transcript:Potri.010G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142900.v4.1 MPPSFAKAGSSGSEIDPPNAMVDEKRRKRMISNRESARRSRMKRQKYMEDLVTEKSILERKIYEDNKKYAALWQRHFALESDNKVLTDEKLKLAEYLKNLQQVLASYNVIESDQDLEVSDRFLNPWQVHGSVKSITASGMFKV >Potri.005G248900.1.v4.1 pep chromosome:Pop_tri_v4:5:24275865:24277774:1 gene:Potri.005G248900.v4.1 transcript:Potri.005G248900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248900.v4.1 MEDGKVAVKSSRFKRVCVFCGSSKGKRDCYRDAALELGQELVSRRLDLVYGGGSVGLMGLVSQEVHRGGGHVIGVIPKTLMNKELTGETVGEVRPVADMHQRKAEMARNSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIMPSQRSIIVSAPSPKELVQKLEEYVPVHDGVVAKAKWEAEQMELNASLQTEIAR >Potri.006G264300.6.v4.1 pep chromosome:Pop_tri_v4:6:26038215:26044289:-1 gene:Potri.006G264300.v4.1 transcript:Potri.006G264300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264300.v4.1 MKFMKLGSKPDAFQAHGKSVRYVASELETDVTINVGEVKFNLHKFPLMSKSNRLLMLLSQAGEENEIDMVDFPGGPKAFEICAKFCYGMIVTLNAYNVVTARCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFHSWKDSIIVLQTTKSLLPWSEDLKIVGSCIDSIASKTSVDPASITWSYTYNRKLSVPDKIVEEGMNFRDKIDSVPKDWWVEDICELDIDLYKRVMITVKSKGRMDGQVIGEALKTYAVRWLPDSFDDSVSDARTWRYRYLVENLICLLPPDKAAGCSCSFLLKLLKFAILVGIDDSAREYLVKRISLKLHEASVKDLLILARPPQNTLYDVELIQCIVSRSLMHGKYSQDTKHEENGDFILGHEHVTLMNVGKLIDGYLAEIAYDPNLTISSFVDLSRSIPEFGRPIHDGLYKAIDIYLKEHLSMTKAEKKKICGLMDVKKLTTDASTHAAQNERLPLRVVVQVLFFEQVRAASGVQALSNNARDTLNSTTNTDEELEKTAADGNKSLMKQMSRMKIKDEDFLKNGKLRKKNSKITKNGVQLLPSRSKRIFDRLWVVARGHVENRSSETSGSSQSPTSIAPGDTKSFGSSSRQRRHSIS >Potri.006G264300.2.v4.1 pep chromosome:Pop_tri_v4:6:26038044:26044267:-1 gene:Potri.006G264300.v4.1 transcript:Potri.006G264300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264300.v4.1 MKFMKLGSKPDAFQAHGKSVRYVASELETDVTINVGEVKFNLHKFPLMSKSNRLLMLLSQAGEENEIDMVDFPGGPKAFEICAKFCYGMIVTLNAYNVVTARCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFHSWKDSIIVLQTTKSLLPWSEDLKIVGSCIDSIASKTSVDPASITWSYTYNRKLSVPDKIVEEGMNFRDKIDSVPKDWWVEDICELDIDLYKRVMITVKSKGRMDGQVIGEALKTYAVRWLPDSFDDSVSDARTWRYRYLVENLICLLPPDKAAGCSCSFLLKLLKFAILVGIDDSAREYLVKRISLKLHEASVKDLLILARPPQNTLYDVELIQCIVSRSLMHGKYSQDTKHEENGDFILGHEHVTLMNVGKLIDGYLAEIAYDPNLTISSFVDLSRSIPEFGRPIHDGLYKAIDIYLKEHLSMTKAEKKKICGLMDVKKLTTDASTHAAQNERLPLRVVVQVLFFEQVRAASGVQALSNNARDTLNSTTNTDEELEKTAADGNKSLMKQMSRMKIKDEDFLKNGKLRKKNSKITKNGVQLLPSRSKRIFDRLWVVARGHVENRSSETSGSSQSPTSIAPGDTKSFGSSSRQRRHSIS >Potri.008G197000.2.v4.1 pep chromosome:Pop_tri_v4:8:13932776:13934369:1 gene:Potri.008G197000.v4.1 transcript:Potri.008G197000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197000.v4.1 MKIIQQRFDASHSLIIGSECEVSTMRQTALSEFIMDIAWLLKEPSAENSQQMMTSFQIQRINSLLNFLLHHESIIILDKILKNLKIMMDKKEANGMVNGTSDTNMRLLQSYMDYASNIRHEKLQRSEVLKHHLEFSGKENNCISGSCCGNNKESVALSTENLEQRPNGVLGVMGNSNFTVRSDEFPLLTKDVVMRMNLVNERPKKSCGLVFSNRVLKYRPSFYVIALIAVCFGVCAIVLHPHKVSKLAVSIRRCLTERF >Potri.008G197000.1.v4.1 pep chromosome:Pop_tri_v4:8:13927167:13934825:1 gene:Potri.008G197000.v4.1 transcript:Potri.008G197000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197000.v4.1 METSSPSPPPPPSASQHGGDMEIHYPPITTDWDWSDLLDFAVDDRIPLSFDTPGDLTQTIDNPTPEIESQQVQLPVPDRVRKRDPRLTCSNFLAGIVPCACPEVDELLREEEATLPGKKRVRVARAGSSIARCQVPGCETDISELKGYHRRHKVCLRCATATAVVLDEQTKRYCQQCGKFHVLSDFDEGKRSCRRKLERHNNRRRRKPADSSKASAGDKEVQGDLLTEDTTTCDAEAEKDGCSSGQMAEKEGLVESEDGHVSTMNSDPNSQNVTSDSGVSFTAFGDVLMDGGKDDSKFLFSPSHCDNKSDYASMCPTGRISFKLYDWNPAEFPRRLRHQIFQWLANMPVELEGYIRPGCTILTAFIAMPTFMWVKLVEDPVSYLNDLFGSGKMLSKKGRMRVYVNNMIFNVTKDGNSVMKVNVEGHAPRLHYVHPTCFEVGKPIEFVVCGSNLLQPKFQFLVSFAGKYLAHDYCVALPQAHTKGGPGLHHQLYKILTHCNEPNLLGPAFIEVENESGLSNYIPILIGDTEICSEMKIIQQRFDASHSLIIGSECEVSTMRQTALSEFIMDIAWLLKEPSAENSQQMMTSFQIQRINSLLNFLLHHESIIILDKILKNLKIMMDKKEANGMVNGTSDTNMRLLQSYMDYASNIRHEKLQRSEVLKHHLEFSGKENNCISGSCCGNNKESVALSTENLEQRPNGVLGVMGNSNFTVRSDEFPLLTKDVVMRMNLVNERPKKSCGLVFSNRVLKYRPSFYVIALIAVCFGVCAIVLHPHKVSKLAVSIRRCLTERF >Potri.001G373400.6.v4.1 pep chromosome:Pop_tri_v4:1:39153989:39158329:-1 gene:Potri.001G373400.v4.1 transcript:Potri.001G373400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373400.v4.1 MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEAVCGICQENFSMTITALTEPIDIYSEWIDECERVNSLEDDGA >Potri.001G373400.7.v4.1 pep chromosome:Pop_tri_v4:1:39154523:39157627:-1 gene:Potri.001G373400.v4.1 transcript:Potri.001G373400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373400.v4.1 MGKRKSRAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEAVCGICQENFSMTITALTEPIDIYSEWIDECERVNSLEDDGA >Potri.019G033200.2.v4.1 pep chromosome:Pop_tri_v4:19:4616952:4620484:-1 gene:Potri.019G033200.v4.1 transcript:Potri.019G033200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033200.v4.1 MAQFTVQGRLKLLFNNDGTHCKLLGNDFLGGLRSSQTQKRKIRSLGANCTKLYATASLSKKSKHFYTVASQSVSEASDEDYDSERDELACFRGLVLDISYRPVNVVCWKRAMCLEFMEKADVLEYYDQAVNSPSGSFYIPAVLRVPHLLQVVKRRRIFRSKLSRKNILHRDNYTCQYCSSRENLTIDHVLPTAQGGEWQWENLLVNFQTGALPFPHRVNYNWNTYIISYRDGRIMYGEYGRPIED >Potri.019G033200.4.v4.1 pep chromosome:Pop_tri_v4:19:4616059:4620490:-1 gene:Potri.019G033200.v4.1 transcript:Potri.019G033200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033200.v4.1 MAQFTVQGRLKLLFNNDGTHCKLLGNDFLGGLRSSQTQKRKIRSLGANCTKLYATASLSKKSKHFYTVASQSVSEASDEDYDSERDELACFRGLVLDISYRYCSSRENLTIDHVLPTAQGGEWQWENLVTACAKCNSKKGKKTPEEANMKLSKVPKAPKDYDILAIPLTSAAIRMLRIRKGMPEEWQQYLARPSSEP >Potri.019G033200.1.v4.1 pep chromosome:Pop_tri_v4:19:4616468:4620102:-1 gene:Potri.019G033200.v4.1 transcript:Potri.019G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033200.v4.1 MAQFTVQGRLKLLFNNDGTHCKLLGNDFLGGLRSSQTQKRKIRSLGANCTKLYATASLSKKSKHFYTVASQSVSEASDEDYDSERDELACFRGLVLDISYRPVNVVCWKRAMCLEFMEKADVLEYYDQAVNSPSGSFYIPAVLRVPHLLQVVKRRRIFRSKLSRKNILHRDNYTCQYCSSRENLTIDHVLPTAQGGEWQWENLVTACAKCNSKKGKKTPEEANMKLSKVPKAPKDYDILAIPLTSAAIRMLRIRKGMPEEWQQYLARPSSEP >Potri.019G033200.7.v4.1 pep chromosome:Pop_tri_v4:19:4616059:4620484:-1 gene:Potri.019G033200.v4.1 transcript:Potri.019G033200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033200.v4.1 MAQFTVQGRLKLLFNNDGTHCKLLGNDFLGGLRSSQTQKRKIRSLGANCTKLYATASLSKKSKHFYTVASQSVSEASDEDYDSERDELACFRGLVLDISYRPVNVVCWKRAMCLEFMEKVPHLLQVVKRRRIFRSKLSRKNILHRDNYTCQYCSSRENLTIDHVLPTAQGGEWQWENLVTACAKCNSKKGKKTPEEANMKLSKVPKAPKDYDILAIPLTSAAIRMLRIRKGMPEEWQQYLARPSSEP >Potri.015G096100.1.v4.1 pep chromosome:Pop_tri_v4:15:11753109:11757463:-1 gene:Potri.015G096100.v4.1 transcript:Potri.015G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096100.v4.1 MSDTQRSIDQLEPLTSLNEAKPEVEEQVSEEEDSWSSDSEIADALDWLDTRNDDDSIDSSITLNSRRPNAHGGLHSRPNSSTLQPLSNRNQKFSHHIRASPLEEWEGRVKVGMSNSVTTAIRHSVREMAIGKTRNTEKADRATVEQAIDPRTRMVLFKMLNRGVFNDINGCISTGKEANVYHATKSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRLKAAGIRCPTPVLLRLHVLVMEFIGKTGWAAPRLKDAALSLDKLREGYMEMIITMRSLYQKCKLVHGDLSEYNILYLEGRLYIIDVSQAVDLDHPHALDFLREDCLHVSDFFKKHGVAVMTIRELFDFIVDPTINDESIDSYLEEVQQKILTRDLTAEDEIADSVFVQSYIPKTLDDVKHAEEDVNRITSGNDTGDMYYKTITGLKEALSNSSAAQQERQQVDANPVEESSVIQDGHSNSPESESDSGTDSEEEGSGDSDGSGSSSETEKQDPVDKKAARKENKKKVKEEKREARKTKTPKAMKKRKKKMAKAKKTR >Potri.015G096100.3.v4.1 pep chromosome:Pop_tri_v4:15:11753289:11757391:-1 gene:Potri.015G096100.v4.1 transcript:Potri.015G096100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096100.v4.1 MSNSVTTAIRHSVREMAIGKTRNTEKADRATVEQAIDPRTRMVLFKMLNRGVFNDINGCISTGKEANVYHATKSDGQELAIKVYKTSVLVFKDRDRYVQGDYRFRHGYCKHNPRKMVKTWAEKEMRNLLRLKAAGIRCPTPVLLRLHVLVMEFIGKTGWAAPRLKDAALSLDKLREGYMEMIITMRSLYQKCKLVHGDLSEYNILYLEGRLYIIDVSQAVDLDHPHALDFLREDCLHVSDFFKKHGVAVMTIRELFDFIVDPTINDESIDSYLEEVQQKILTRDLTAEDEIADSVFVQSYIPKTLDDVKHAEEDVNRITSGNDTGDMYYKTITGLKEALSNSSAAQQERQQVDANPVEESSVIQDGHSNSPESESDSGTDSEEEGSGDSDGSGSSSETEKQDPVDKKAARKENKKKVKEEKREARKTKTPKAMKKRKKKMAKAKKTR >Potri.008G030700.1.v4.1 pep chromosome:Pop_tri_v4:8:1656907:1661483:1 gene:Potri.008G030700.v4.1 transcript:Potri.008G030700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030700.v4.1 MESTESSYVSSPEQPRKKSPPPPASPLSDAVEKPTYIKLLVSNAAAGSVIGKGGATITDFQSQSGARIQLSKNYEFFPGTSDRIILISGGIDDALKALELIIAKLLSEIPTEDGDDAEPRMRVRLVVPNNACGSIIGKGGSIIKSFIEESHAGIKISPLDTDFLGLTDRLVAITGTLEEQMHAIDLILSKLTDDTHYLQNMHAPLSYAGVFFSGFDGIPYAYVLPHVATAAYNSTNHGLNGAGVKFQHNKEDRTNSVTIGVADEHIGLVVGRGGRNIMEISQNSGARIKISDRGDFMSGTNDRKITITGSQRAIHAAEDMIMQKVSYASERETD >Potri.008G030700.4.v4.1 pep chromosome:Pop_tri_v4:8:1656884:1661432:1 gene:Potri.008G030700.v4.1 transcript:Potri.008G030700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030700.v4.1 MESTESSYVSSPEQPRKKSPPPPASPLSDAVEKPTYIKLLVSNAAAGSVIGKGGATITDFQSQSGARIQLSKNYEFFPGTSDRIILISGGIDDALKALELIIAKLLSEIPTEDGDDAEPRMRVRLVVPNNACGSIIGKGGSIIKSFIEESHAGIKISPLDTDFLGLTDRLVAITGTLEEQMHAIDLILSKLTDDTHYLQNMHAPLSYAAYNSTNHGLNGAGVKFQHNKEDRTNSVTIGVADEHIGLVVGRGGRNIMEISQNSGARIKISDRGDFMSGTNDRKITITGSQRAIHAAEDMIMQKVSYASERETD >Potri.008G030700.2.v4.1 pep chromosome:Pop_tri_v4:8:1656876:1661360:1 gene:Potri.008G030700.v4.1 transcript:Potri.008G030700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030700.v4.1 MESTESSYVSSPEQPRKKSPPPPASPLSDAVEKPTYIKLLVSNAAAGSVIGKGGATITDFQSQSGARIQLSKNYEFFPGTSDRIILISGGIDDALKALELIIAKLLSEIPTEDGDDAEPRMRVRLVVPNNACGSIIGKGGSIIKSFIEESHAGIKISPLDTDFLGLTDRLVAITGTLEEQMHAIDLILSKLTDDTHYLQNMHAPLSYAAAYNSTNHGLNGAGVKFQHNKEDRTNSVTIGVADEHIGLVVGRGGRNIMEISQNSGARIKISDRGDFMSGTNDRKITITGSQRAIHAAEDMIMQKVSYASERETD >Potri.011G078500.1.v4.1 pep chromosome:Pop_tri_v4:11:8440966:8444347:-1 gene:Potri.011G078500.v4.1 transcript:Potri.011G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G078500.v4.1 MGSGGGLQYFSIFLLYLSLYSGHPSVAGTPTLESIQKHKIVGDQENRMLISHAVSTTQLDTITGGVPVINPTTPGTTPIVNPVDSPPASTGISPIPTTPPAGINPVDSPPAPIGISPIPTTPPAGIMTPVTPNPPASTNPTSSGGQWCIASTIASQTALQVAIDYACGFGGADCSAIQPGSGCYNPNTLRDHASYAFNSYYQKNPGSTSCVFGGTAQLTNTDPSNGNCHYESSSTTTPSTSSPVNPTPTMTTPATTSFPDGPPAVYGVAEPTGEPSSATSISCSLLLLYSTTAIVGFLVATKHL >Potri.013G106400.4.v4.1 pep chromosome:Pop_tri_v4:13:11551904:11555872:-1 gene:Potri.013G106400.v4.1 transcript:Potri.013G106400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106400.v4.1 MLPTSDCQSDSMSCSSNTLQVILFFIALYLVALGQGGHKPCVPAFGADQFDRQDTKEYKAKSSFFNWWYFFMSSGILVSLLVLTYIQDNLSWSLGFGIPFIMMICALIIFLLGSKKYRYSVKREGNNALLRIARVFVAAFRNWRFTPSSIASEEEGRGTVPHQSYEQFKFLNNALLTTDGSKEDQKVCTFSEVEEAKAVLRLIPIWTTCLGFAIVLPQSSTFFVKQAATMDRSISPGFEIPAASLESFSSISMILCIAIYDRLFVPVARALTRKPSGISMLQRIGTGMFLSAVSIAFAALVEMKRLEIAQESGLVNEPNVTVPMSVWWLVPSYALFGVADVFTMVGLQELFYDQVPSDLKSVGLSLYLSIFGVGKFLSSFLISVIEKATGGIGCYSWFNNNLNRAHLDYFYWILAALSVVELIMYMYYTRFYIYSRDERI >Potri.013G106400.1.v4.1 pep chromosome:Pop_tri_v4:13:11551905:11555876:-1 gene:Potri.013G106400.v4.1 transcript:Potri.013G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106400.v4.1 MSISNLSSSETQEVRTPLLNDTVDDCVDYKGNPVCRYNSGGWRSASFIIGVEVAERFAFYGISSNLIMYLTGPLGQSTITAAKNVNVWSGTASFLPLFGAFVADSFLGRCRTIIIASLIYILGLGLLTLSAMLPTSDCQSDSMSCSSNTLQVILFFIALYLVALGQGGHKPCVPAFGADQFDRQDTKEYKAKSSFFNWWYFFMSSGILVSLLVLTYIQDNLSWSLGFGIPFIMMICALIIFLLGSKKYRYSVKREGNNALLRIARVFVAAFRNWRFTPSSIASEEEGRGTVPHQSYEQFKFLNNALLTTDGSKEDQKVCTFSEVEEAKAVLRLIPIWTTCLGFAIVLPQSSTFFVKQAATMDRSISPGFEIPAASLESFSSISMILCIAIYDRLFVPVARALTRKPSGISMLQRIGTGMFLSAVSIAFAALVEMKRLEIAQESGLVNEPNVTVPMSVWWLVPSYALFGVADVFTMVGLQELFYDQVPSDLKSVGLSLYLSIFGVGKFLSSFLISVIEKATGGIGCYSWFNNNLNRAHLDYFYWILAALSVVELIMYMYYTRFYIYSRDERI >Potri.001G351532.2.v4.1 pep chromosome:Pop_tri_v4:1:36473642:36481873:1 gene:Potri.001G351532.v4.1 transcript:Potri.001G351532.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351532.v4.1 MELKVSPLKTGGLSPAECISDPEEKEVSDEEDDDRNHKHRRRETRSQSLERDSLEPVSTRPYRKHNKHFENGHPFRENESQARETWKNYNSVSLEKDYATKFERIRPDLMSLPRMPVDLNQRIRLNQTFSGDPGPSRGRGREYGSWSQRDSRFSSVGIASQMAHLGPSLFAGRGLANVSNVQSASWNAFGLMPRMSNGGLDTIHPIGLQGTLRPAVNSSLNMGIPHQRCRDFEERGFCLRGDMCPLEHGVNRIVVEDVQSLSQFNLPVSLPRAQLPGTTSGLGAVPTVGAPPATLMNSKGMHGKSNKPGIVDDGLGLNGAYTGSVSVSGGDLYDPDQPLWNDNGPETSSTLLAPHSPKFDETESMISVDPSDHNHARLRDGADNECPIRSTGIAVGFQGMNSSVWGRIGSLNNRLDVKEKIDSTASMSSYMESESKEDQGALDNVHGTSHQGKCTNFDDCGTKTMDSPAKIHSDTMRHTRKLSQKALRTLFVNGIPHKSNKRDALLSHFQKFGEVIDIYIPLNSERAFIQFSKREEAEAALRAPDAVMGNRFIRLWWANRDSIPDDATSRGVPATSGLPHLSIGNGGKDNLQLAISKTTVVPTSDTSMPATDHPKVDITNGPEVSPPMQKKLENLEQLKEELRKKQELLDQKRNDFRRQLHKLEKQATGVKGEVATEPASKRQKTGIASDVAKAATTRSADPGAGVASPHGGIVDKNKRMENIVSSSTKTSTVMALQESAGSKQSIHPLAPVGPPFLMNKYKLDNRPTAFKIISPLPAGLANVSPSLPPSLSLYIYISFVCVLPPAHV >Potri.001G351532.1.v4.1 pep chromosome:Pop_tri_v4:1:36473675:36482172:1 gene:Potri.001G351532.v4.1 transcript:Potri.001G351532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351532.v4.1 MELKVSPLKTGGLSPAECISDPEEKEVSDEEDDDRNHKHRRRETRSQSLERDSLEPVSTRPYRKHNKHFENGHPFRENESQARETWKNYNSVSLEKDYATKFERIRPDLMSLPRMPVDLNQRIRLNQTFSGDPGPSRGRGREYGSWSQRDSRFSSVGIASQMAHLGPSLFAGRGLANVSNVQSASWNAFGLMPRMSNGGLDTIHPIGLQGTLRPAVNSSLNMGIPHQRCRDFEERGFCLRGDMCPLEHGVNRIVVEDVQSLSQFNLPVSLPRAQLPGTTSGLGAVPTVGAPPATLMNSKGMHGKSNKPGIVDDGLGLNGAYTGSVSVSGGDLYDPDQPLWNDNGPETSSTLLAPHSPKFDETESMISVDPSDHNHARLRDGADNECPIRSTGIAVGFQGMNSSVWGRIGSLNNRLDVKEKIDSTASMSSYMESESKEDQGALDNVHGTSHQGKCTNFDDCGTKTMDSPAKIHSDTMRHTRKLSQKALRTLFVNGIPHKSNKRDALLSHFQKFGEVIDIYIPLNSERAFIQFSKREEAEAALRAPDAVMGNRFIRLWWANRDSIPDDATSRGVPATSGLPHLSIGNGGKDNLQLAISKTTVVPTSDTSMPATDHPKVDITNGPEVSPPMQKKLENLEQLKEELRKKQELLDQKRNDFRRQLHKLEKQATGVKGEVATEPASKRQKTGIASDVAKAATTRSADPGAGVASPHGGIVDKNKRMENIVSSSTKTSTVMALQESAGSKQSIHPLAPVGPPFLMNKYKLDNRPTAFKIISPLPAGLANVAALKEHFSSYGYLSSVELEDEPGDYDGDGNGDGSDTVKSCSARVTFATRRSAERAFLNGKCWQGKNLKFEWLMTSTSSIGENSSAPKCLVHADVQPVKNLARLDSQEVSASGNGEPENPERNNGAGPTELDKASQCCSASISGEVESPKCEPSPKSISPEKESTETDPSQTAMSEKETPEGIFCLTMG >Potri.001G140500.1.v4.1 pep chromosome:Pop_tri_v4:1:11474144:11475984:-1 gene:Potri.001G140500.v4.1 transcript:Potri.001G140500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140500.v4.1 MKSVPLRTGSFPIQSTVRPGSPKISLTRYDSPTNIFSGVSSPRDSLHLDINHHQTGAPIRRALSESNVIRSEREASRGLKKPSGAGSRSFPSMIPEVEEHVFGDRVDDYAGIWPKSGIPLEELGFSGDGFGKSSGGHGGNGRHGRSGGDDVSKMGDYYKQMLKSNPNDALILRNYGKYLHEVEGDAEKAEEYYGRAILASPGDGEVLSLYGKLIWDAKRDGERAKSYFDQAVFASPNDCMVLGSYASFMWEAEEGGEEEEEDKSEVSSAAAMVAAF >Potri.004G056500.1.v4.1 pep chromosome:Pop_tri_v4:4:4634835:4637195:-1 gene:Potri.004G056500.v4.1 transcript:Potri.004G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056500.v4.1 MAAKKCNTRIFVPFLLILAAWATKIACRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMYHGYKRQSSKLMSSSFRYENLSDIPTSMDWRNDGAVTPVKDQGTCGCCWAFSTVAAIEGIIKLQTGNLISLSEQQLVDCTAGNKGCQGGHMDTAFQYIIRNGGLTSEDNYPYQGVDGTCSSEKAASTEAQITGYEDVPQNNENALLQAVAKQPVSVAVEGGGHDFQFYKSGVFEGDCGTNLNHAVTAIGYGTDSDGTDYWLVKNSWGTSWGENGYMRMRRGIGSSEGLCGVAKDASYPTA >Potri.018G068500.1.v4.1 pep chromosome:Pop_tri_v4:18:8269898:8272315:1 gene:Potri.018G068500.v4.1 transcript:Potri.018G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068500.v4.1 MKSKKPLQQLKLTVPAQETSIASFLTASGTFHDGDLLLNQKGLRLISEENIKESRVPFDSKEVNFDFSLEDLETIKVIGKGSGGVVQLVRHKWAGSLFALKVIQMNIQEEIRKQIVQELKINQASQCSHVVVCYHSFYHNGAISLVLEYMDRGSLADVIRQVKTILEPYLAVVCKQVLQGLVYLHHERHVIHRDIKPSNLLVNQKGEVKITDFGVSAMLASSMGQRDTFVGTYNYMSPERISGRAYDYSSDIWSLGLVVLECAIGHFPYMQSEDQQGWPSFYELLEAIVHSPPPSAPADQFSPEFCSFVSACIQKDPQGRLSSLDLLSHPFIKKFEDKDIDLGILVGSLEPPVNYPR >Potri.010G067500.2.v4.1 pep chromosome:Pop_tri_v4:10:9532747:9537088:1 gene:Potri.010G067500.v4.1 transcript:Potri.010G067500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067500.v4.1 MDSDQGKLFIGGISWETSEEKLRDYFGQYGDVLQAVVMKDKTTGRPRGFGFVVFADPAVLDMVLQDKHTIDGRMVEAKRALSREEQQTNARAGNLNPARNTSGGGNIRTKKIFVGGLPPTLTEDGFRQYFEAFGFVADVVIMYDQSTQRPRGFGFISFDTEDAVDRVLQRSFHDLNGKQVEVKRALPKEANPGGGGRSMGGSYQNYGASGGNTSSYDGRMERYMQPQGTGGGFPPYGSSGYSTAGYGYGPATNGVGYGGYSGYTGAGAGYGGPAGAAYGNPNVPNAGYVSGAPGAPRSSWNSQGSSGYGAMGYGNAGSWGAQNAGAGSGGPGSVPAGQSPGGAAGYGNQGYGYSSYGGNDGSYGNPAGYGAVGGRSGGTPNSNVGGPGGAELQGSGGGYMGSGYGDANGNSGYGNAAWRSEQSQASGNYGAPQANGPHGGQVGYGGGYGSSQARKAQQQ >Potri.008G184900.2.v4.1 pep chromosome:Pop_tri_v4:8:12835970:12838397:-1 gene:Potri.008G184900.v4.1 transcript:Potri.008G184900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G184900.v4.1 MALYVVPLWLPLILLLALLLLFMKKMEVKRQSEQLLPPSPPKLPILGNLHQLGSLPHQSLWQLSKKYGPVMLIRLGRIPTVVISSAEAAREVLKVHDLAFCSRPLLAGTGRLTYNYLDIAFSPYSDHWRNMRKVLTLELFSLKRVQSFRFIREEEVSLLVNFISESSALAAPVDLTQKLYALVANITFRMAYGFNYRGTSFDRDKFHEVVHDTEAVAGSISADESIPYLGWIVDRLTGHRARTERVFHEVDTFFQHLIDNHLKPGRIKEHDDMVDVLLRIEKDQTELGASQFTKDNIKAILLVCCSAFPLSYIKS >Potri.008G184900.1.v4.1 pep chromosome:Pop_tri_v4:8:12835809:12838451:-1 gene:Potri.008G184900.v4.1 transcript:Potri.008G184900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G184900.v4.1 MALYVVPLWLPLILLLALLLLFMKKMEVKRQSEQLLPPSPPKLPILGNLHQLGSLPHQSLWQLSKKYGPVMLIRLGRIPTVVISSAEAAREVLKVHDLAFCSRPLLAGTGRLTYNYLDIAFSPYSDHWRNMRKVLTLELFSLKRVQSFRFIREEEVSLLVNFISESSALAAPVDLTQKLYALVANITFRMAYGFNYRGTSFDRDKFHEVVHDTEAVAGSISADESIPYLGWIVDRLTGHRARTERVFHEVDTFFQHLIDNHLKPGRIKEHDDMVDVLLRIEKDQTELGASQFTKDNIKAILLNLFLGGVDTISLTVNWAMAELVRNPRVMKKVQDEVRKCVGNKGRVTESDIDQLEYLRMVIKETLRLHPPAPLLITRETMSHCKVSGHNIYPKMLVQINVWAIGRDPRYWKDPEEFFPERFLDSSIDYKGQSFEYLPFGSGRRICPGIHMGSITMEIILANLLYCFDWVFPDGMKKEDINMEEKAGVSLTTSKKTPLILVPVNYLQ >Potri.005G233500.3.v4.1 pep chromosome:Pop_tri_v4:5:23198123:23201439:1 gene:Potri.005G233500.v4.1 transcript:Potri.005G233500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233500.v4.1 MQRIGPVGDEHFDQSFMQPSLEKFPQEELHQTAMEESMGTTLVSNGCVDIRGRIADKRTTGGWKAAPFIIVNEVAERLAFYAIAVNMVAYLVFQMHQSLPDAATHVTDWIGAAFVLTLFGAFCADAYLGRFKTIIIFSCIYAVGMVLLTLSASIDSLRPPQCKVRPCPKATGGQTWFLYGALALIALGTGGIKPCVSTFGADQFDEADKKEVPKKYAFFNWFFLAINMGALFGITVFVYIQDNKGWAWGFGLPTGAMVISIVILVAGIRFYRFQEPMGSPFTRFVQVMWASVRNHLHGVLVGHQTELYEVNTNESDIKGAQKLSHTIQYSFLDKAAVVTDPEADTRNRWRLCTVTQVEEFKSFIRILPVWASTIALSISFAQLSTFFISQANIMDRKLGSNFIIPAGSVPIFSVINALILVPIYEKVIVPILRKRTGHSRGITSLQRIGVGLFISIFAVASAALVEKKRRHSPNPSDMSVFWLFPQFFLIGSAEVFSYVGQLEFFYDEATDGTRSISSAMFLSEIGIGSWLSTAIVKIIERATGGEEKGWLRNNLNLSKLDYFYWVLTSINAVNLAVYVWIAVLYKGRGGAVGSVRSESVFEMGDGVLLEKEDDKGERF >Potri.005G233500.2.v4.1 pep chromosome:Pop_tri_v4:5:23197967:23201428:1 gene:Potri.005G233500.v4.1 transcript:Potri.005G233500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233500.v4.1 MRPNRIGPVGDEHFDQSFMQPSLEKFPQEELHQTAMEESMGTTLVSNGCVDIRGRIADKRTTGGWKAAPFIIVNEVAERLAFYAIAVNMVAYLVFQMHQSLPDAATHVTDWIGAAFVLTLFGAFCADAYLGRFKTIIIFSCIYAVGMVLLTLSASIDSLRPPQCKVRPCPKATGGQTWFLYGALALIALGTGGIKPCVSTFGADQFDEADKKEVPKKYAFFNWFFLAINMGALFGITVFVYIQDNKGWAWGFGLPTGAMVISIVILVAGIRFYRFQEPMGSPFTRFVQVMWASVRNHLHGVLVGHQTELYEVNTNESDIKGAQKLSHTIQYSFLDKAAVVTDPEADTRNRWRLCTVTQVEEFKSFIRILPVWASTIALSISFAQLSTFFISQANIMDRKLGSNFIIPAGSVPIFSVINALILVPIYEKVIVPILRKRTGHSRGITSLQRIGVGLFISIFAVASAALVEKKRRHSPNPSDMSVFWLFPQFFLIGSAEVFSYVGQLEFFYDEATDGTRSISSAMFLSEIGIGSWLSTAIVKIIERATGGEEKGWLRNNLNLSKLDYFYWVLTSINAVNLAVYVWIAVLYKGRGGAVGSVRSESVFEMGDGVLLEKEDDKGERF >Potri.005G233500.1.v4.1 pep chromosome:Pop_tri_v4:5:23194437:23201346:1 gene:Potri.005G233500.v4.1 transcript:Potri.005G233500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233500.v4.1 MQPSLEKFPQEELHQTAMEESMGTTLVSNGCVDIRGRIADKRTTGGWKAAPFIIVNEVAERLAFYAIAVNMVAYLVFQMHQSLPDAATHVTDWIGAAFVLTLFGAFCADAYLGRFKTIIIFSCIYAVGMVLLTLSASIDSLRPPQCKVRPCPKATGGQTWFLYGALALIALGTGGIKPCVSTFGADQFDEADKKEVPKKYAFFNWFFLAINMGALFGITVFVYIQDNKGWAWGFGLPTGAMVISIVILVAGIRFYRFQEPMGSPFTRFVQVMWASVRNHLHGVLVGHQTELYEVNTNESDIKGAQKLSHTIQYSFLDKAAVVTDPEADTRNRWRLCTVTQVEEFKSFIRILPVWASTIALSISFAQLSTFFISQANIMDRKLGSNFIIPAGSVPIFSVINALILVPIYEKVIVPILRKRTGHSRGITSLQRIGVGLFISIFAVASAALVEKKRRHSPNPSDMSVFWLFPQFFLIGSAEVFSYVGQLEFFYDEATDGTRSISSAMFLSEIGIGSWLSTAIVKIIERATGGEEKGWLRNNLNLSKLDYFYWVLTSINAVNLAVYVWIAVLYKGRGGAVGSVRSESVFEMGDGVLLEKEDDKGERF >Potri.005G150100.1.v4.1 pep chromosome:Pop_tri_v4:5:12802855:12805041:1 gene:Potri.005G150100.v4.1 transcript:Potri.005G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150100.v4.1 MDPCPFVRLTVGNLALKIPVASKPARSVVHPSSSPCFCKIKLKNFPLQTAVIPYIPSQFPEGQLQTPAATFHLSKSDLDRLVAKSIFTNKLQLKISLYTGRLGTTCGVNSGRLLGKVSVPLDLAGTESRGVTFHNGWISIGKESVKSSSAVFHLNVKAEPDPRFVFQFDGEPECSPQVFQIRGNIRQPVFTCKFSLRTTGGGDRNRRSISLQEEPSSSRSWLSSFGSEIERPLKERKGWSITVHDLSGSPVAAASMVTPFVPSPGSDRVSRSNPGSWLILRPGAGTWKPWGRLESWRERGSSDGLGYRFELIPDTNGTMSAASIVLAESTLSSHKGGKFVIDLGACSNGRTTPANSASPVCSPRGSGDHGYGLWPNCMHRGFVMSASVEGEGKCSKPGVEVGVQHVNCTEDAAAFVALAAAVDLSMDACKLFSQRLRKELCQDQDMLG >Potri.013G105000.1.v4.1 pep chromosome:Pop_tri_v4:13:11409794:11413258:1 gene:Potri.013G105000.v4.1 transcript:Potri.013G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105000.v4.1 MRLKTYRGQILLPTTTTAILIRRYGTKYTAKITSTSPTGRTVSAQVTPPQPLPSDSRGYPIPRRQLICEATQILLQTHRSPQKLLDPTDPFLCLQNYLSALSISLTPNEASEILKSLNSPSLALRFFHFCPSLSPNFHHDCFTYSRIILILSKSNLPDRFHLARSIVSEMERNGVRGSISTVNILIGFYENSEDLQKCIGLIKKWGLRMTGYTYKCLVQAYLRSRNTEKGFAVYLEMKKKGHMLDIFAFNMLLDALVKDSEVDHAYKVFEDMKRKHCEPDEYTYTIMIRMTGKIGKPDESLELFEEMLNKGYSPNVIAYNTMIQALANARMVDKAILLFLKMVEKECRPSEFTYSVILHLLATERKLHKLDEVVEVSKKYMSRSIYAYLVRTLKKLGHASEAHRLFCNMWNCHERGDRDACVSMLECLCSAGKTTEAIDLLGKIHEKGVSVDTVMYNTVFSALGKLKQISPLHDLYEKMKQDGPLPDTFTYNILISSFGRAGKVDEAIKIFEELEDSDYKPDTCSYNSLINCLGKNGHLDEAHMKFKEMCEKGLNPDVVTYSTLIECFGKTDKVEMACRLFDEMLAEGCYPNIVTYNILLDCLERSGRTAEAVDLYAKLKQQGLIPDSITYSVLARLQSGSHRKVRVRKQNPITGWVVSPLR >Potri.019G091800.3.v4.1 pep chromosome:Pop_tri_v4:19:12962922:12968424:1 gene:Potri.019G091800.v4.1 transcript:Potri.019G091800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091800.v4.1 MIPSRKLRVLLILFLSSSLSNGMSKVDSVDPFAMGNKPRKFSLGGIVDCTTRAGKEERVAMEMALQDFYSNATQRPRLCVKDSKGDSFRAASSAKTLIKKHNVEAIIGLGTSQEAILVAELGNRYEVPILSMVNEVPVWASLRWPFLINAARNQLSQMKAIAAIVQSWQWRRVNVIYEENKINSIIPHLFAALQDADAEISELLPFPPSPPYRFLSEKLVSLRNGQCRVFIVHTSATLARIIFREAKKLEMMEEEYVWITTDSTSDYFDTFNNSVLSSMQGALGVKSYISSSSKRIKDFRSRFQVMFSSQFPEEPFPEPGISALQAYDATWAVALAMEGRPSSKRFGNSTSITPKASMGGTSLLNRILASKFEGLTGHICFINGMLHPAARIFTLVNVVGISTELGYWTDGYGFSKTVGANIHYNKSITVLRQIIWPGGPWSAPRGWASAAGGKRLKIVVPSGNSHKEFVKVSYDGPGGSIRVTGFVIDVFNATLSRLPYALPCDFTGYDGSYDALVYQVYNRSFDAAIGDTAILANRSKYAEFSQPFTEPGVQMVVYQESKKINKVRLFLKPFTGKLWISIAAITLYNGFVVWLIERQKDNPDFSGSWWKQISTMLSTSFITLF >Potri.019G091800.2.v4.1 pep chromosome:Pop_tri_v4:19:12962922:12968424:1 gene:Potri.019G091800.v4.1 transcript:Potri.019G091800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091800.v4.1 MIPSRKLRVLLILFLSSSLSNGMSKVDSVDPFAMGNKPRKFSLGGIVDCTTRAGKEERVAMEMALQDFYSNATQRPRLCVKDSKGDSFRAASSAKTLIKKHNVEAIIGLGTSQEAILVAELGNRYEVPILSMVNEVPVWASLRWPFLINAARNQLSQMKAIAAIVQSWQWRRVNVIYEENKINSIIPHLFAALQDADAEISELLPFPPSPPYRFLSEKLVSLRNGQCRVFIVHTSATLARIIFREAKKLEMMEEEYVWITTDSTSDYFDTFNNSVLSSMQGALGVKSYISSSSKRIKDFRSRFQVMFSSQFPEEPFPEPGISALQAYDATWAVALAMEGRPSSKRFGNSTSITPKASMGGTSLLNRILASKFEGLTGHICFINGMLHPAARIFTLVNVVGISTELGYWTDGYGFSKTVGANIHYNKSITVLRQIIWPGGPWSAPRGWASAAGGKRLKIVVPSGNSHKEFVKVSYDGPGGSIRVTGFVIDVFNATLSRLPYALPCDFTGYDGSYDALVYQVYNRSFDAAIGDTAILANRSKYAEFSQPFTEPGVQMVVYQESKKINKVRLFLKPFTGKLWISIAAITLYNGFVVWLIERQKDNPDFSGSWWKQISTMLSTSFITLF >Potri.019G080300.2.v4.1 pep chromosome:Pop_tri_v4:19:12088872:12101888:-1 gene:Potri.019G080300.v4.1 transcript:Potri.019G080300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080300.v4.1 MAKLDNSKSKLPPYVSAKIHPSNDPETDHNSYTLEKFRLYETRQRFYLVGSDRNKQLFRVLKIDRSEPSDLNISEDPVVYSPQEIKNLLQRIAEGNRATGGLNFVVKAYGIAGCIKFLESYYLILVTKRRQIGFICGHAIYGIDESQLITIPHVTVQTDVAHSKAELRYKKLLSSVDLTKDFFFSYTYPIMQSLQKNVTSMGEDGMPYDNIFVWNAYLTRAVRSRCGNTIWTIALVHGNFKQIRLSIFGRDFGVSLVSRRSRHFAGTRYLKRGVNDMGRVANDVETEQIVLDEDAGSCKGKMSSVVQMRGSIPLFWSQEASRFSPKPDIILQRYDPTYQATKLHFEDLVKRYGSPIIVLNLIKTVEKRPREMMLRREFTNAVVYLNTIFPEEKQLQFIHWDYHKFAKSKSANVLAVLGAVASQALDLTGFYYSGKPSIVKRRANQLSRTSTGRDASLRDLRVNSGDLARIGSNNENLNSLINWDRESDSSQLKKQDTVGADGPRFQGGVLRTNCIDCLDRTNVAQYAYGLAALGRQLLAMGLTDMPKVDPDSSIAAALMDMYQSMGDALAQQYGGSAAHNTVFLERQGKWKATTQSREFIKSIKRYYSNAYTDGEKQDAINLFLGYFQPQEGKSPLWELDSDYYLHVYGNAIEEEDPCPDECNLETNAKPVRVGIPLAPIPACREDFSRMKLTSFDKLIDRTCSTVKNVRLYSEPDHRPGGSAGNSGVAPDAAEIQLKSPNWLFGQKKYEESGNAPKAAKSEIENGASQKEIYVDGYSELNLLSSVDDINEEDIFQRYLAMTSVDESGWYGGTLLGDQDESSEIYKHYAELCQGPAMEPFEHDLDREKHYADVVRMNAIDVLDDSAVEAEMEAALMDYDRIGADLGIVPMSCKSFAADPSWLTRWIIGEEKVEKI >Potri.011G073066.2.v4.1 pep chromosome:Pop_tri_v4:11:7238506:7241193:1 gene:Potri.011G073066.v4.1 transcript:Potri.011G073066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073066.v4.1 MGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSAGKIGEGGFGSVYKGKLSDGTFIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFATGSETSFLMLDWPTRYKICVGIARALAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHMSTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKLLKGHYQQVIDQSLNSTQDLFPPSDKSWIGNSSASAHDLYPINPESINLNISETSTLIE >Potri.011G073066.3.v4.1 pep chromosome:Pop_tri_v4:11:7238957:7241193:1 gene:Potri.011G073066.v4.1 transcript:Potri.011G073066.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073066.v4.1 MGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSAGKIGEGGFGSVYKGKLSDGTFIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFATGSETSFLMLDWPTRYKICVGIARALAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHMSTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKLLKGHYQQVIDQSLNSTQDLFPPSDKSWIGNSSASAHDLYPINPESINLNISETSTLIE >Potri.011G073066.1.v4.1 pep chromosome:Pop_tri_v4:11:7238762:7241193:1 gene:Potri.011G073066.v4.1 transcript:Potri.011G073066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073066.v4.1 MGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSAGKIGEGGFGSVYKGKLSDGTFIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFATGSETSFLMLDWPTRYKICVGIARALAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHMSTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKLLKGHYQQVIDQSLNSTQDLFPPSDKSWIGNSSASAHDLYPINPESINLNISETSTLIE >Potri.001G293900.2.v4.1 pep chromosome:Pop_tri_v4:1:30555356:30557003:1 gene:Potri.001G293900.v4.1 transcript:Potri.001G293900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293900.v4.1 MAPKRPPPVEDPPSASISEEEEEEDSSSAEEEEEQQQSDGEQRQPSPPQPQNKTQNPPHKITETTTQQSESDSESESASESEPDQIVKPITSKPMEETPPKAASAASKKPRSKPATAATPEKTTALKRGNETDREDSKRAKNKEPDPEKPEDSKKQLFQRLWTEDDEIALLRGIIDFTAKKGYDPSKDMNAFYDFIKKSLHFDVSMTQLKDKISRLKKKFENHVKGKKGENKTFSKPHDQKGFDLSKSIWGSDGSIKDNGRKNDSGNTSNNNKTGNAKKPEASKPELGMDVGEEDRVEVEMARGSSVKSVLKFDSNVSVERMEDYVVRMGLNFVHGTEKEKMEEEWRKLHVAELELFLKRNELIREQAKLMLTAFKAEKD >Potri.001G123500.8.v4.1 pep chromosome:Pop_tri_v4:1:10134828:10142932:1 gene:Potri.001G123500.v4.1 transcript:Potri.001G123500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123500.v4.1 MDRNLGKGMTDQQKNYEQVRYNNMEARNEGLGSVNQRFFHDPSANINTNMRPPDYNMSIGARPVLNYSIQTGEEFALEFMRERVNPRQQLFPNAYVDPNSTTSYMELKGMLGISHTGSESGPDISTISTVEKARNQEFDRKGSSVHEDQSYYDPVRPVPRTSSRNDSSRGIHGYTSSGASDSSSSKVKFLCSFGGTILPRPSDGKLRYVGGETRIIRISKNISWQELMQKTLAIYNESHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVSEDGGSKKPRMFLFSSNDLEDSQFGLGSGEGENSEIQYVVAVNGMDLGSRKNSINLVSASGNNLDELLSLNVERGSSGVAAQLTGSNAPSSAVNMLPSTTQSSQPALTSSSSAHESNSQPYHGQKMHHGDASQHPVSSMQPMESFLQMDEKGTNPLSGPIQYGFGSHLPIHAMVGENLMGVPFRMYPTQQGVLAEEKPYNGFHVQNAEASVKDAKLKRESSGHKINEPEKVQTLDKEARIKELKMKRDDSFQKLNETVKIQAVENDTVSLHPYDSSIPNYTSREEVLVANSTPEVGSPLLLMKNNKSPHEPVLNSMSTETVTEGIKNNGDDHFHSSGDPFAPGYGGSEADPTDFSYLEPSVAPHRVFHSERIPREQAELNRLSKSEDSSDPQILITQARSGCSQPLIESIDKLHEGNVASQTDQSHPSAKLCYAKPQTVEDGLAQFEKYKEFADNIGTVNPSIAQGLGSNVQKSDSRRVVFNPVDDYEGFQVKGNYTDLSINDNETVGLTHPTASQGTSSKHPEDPALGPPEFERTETVSDNNNGNNTKVNVQPLAWTESPVRAVSEGDPSIGVGTLEKKDIRIDINDRFRPDILSDIFSQAKIHENVVSPIVDGAGLSLNMENHDPKHWSYFRKLQDQFVRKDVSLIDQDHLGYLSSLTNDEGGTLIDYSYPPLRSDGVALPHIEEDVQQETSGVVGLNTMDSHADYGHFELKETESAQLDGVNARIPESEYEGGKLDIRNTGAHLVDLSSGEFDISTLQIIKNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVAEFMVNGSLRHVLLSKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSFCDSEWRLLMEQCWAPDPLARPSFTEITRRLRVMSAACQTKQIPK >Potri.001G123500.9.v4.1 pep chromosome:Pop_tri_v4:1:10135041:10142919:1 gene:Potri.001G123500.v4.1 transcript:Potri.001G123500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123500.v4.1 MDRNLGKGMTDQQKNYEQVRYNNMEARNEGLGSVNQRFFHDPSANINTNMRPPDYNMSIGARPVLNYSIQTGEEFALEFMRERVNPRQQLFPNAYVDPNSTTSYMELKGMLGISHTGSESGPDISTISTVEKARNQEFDRKGSSVHEDQSYYDPVRPVPRTSSRNDSSRGIHGYTSSGASDSSSSKVKFLCSFGGTILPRPSDGKLRYVGGETRIIRISKNISWQELMQKTLAIYNESHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVSEDGGSKKPRMFLFSSNDLEDSQFGLGSGEGENSEIQYVVAVNGMDLGSRKNSINLVSASGNNLDELLSLNVERGSSGVAAQLTGSNAPSSAVNMLPSTTQSSQPALTSSSSAHESNSQPYHGQKMHHGDASQHPVSSMQPMESFLQMDEKGTNPLSGPIQYGFGSHLPIHAMVGENLMGVPFRMYPTQQGVLAEEKPYNGFHVQNAEASVKDAKLKRESSGHKINEPEKVQTLDKEARIKELKMKRDDSFQKLNETVKIQAVENDTVSLHPYDSSIPNYTSREEVLVANSTPEVGSPLLLMKNNKSPHEPVLNSMSTETVTEGIKNNGDDHFHSSGDPFAPGYGGSEADPTDFSYLEPSVAPHRVFHSERIPREQAELNRLSKSEDSSDPQILITQARSGCSQPLIESIDKLHEGNVASQTDQSHPSAKLCYAKPQTVEDGLAQFEKYKEFADNIGTVNPSIAQGLGSNVQKSDSRRVVFNPVDDYEGFQVKGNYTDLSINDNETVGLTHPTASQGTSSKHPEDPALGPPEFERTETVSDNNNGNNTKVNVQPLAWTESPVRAVSEGDPSIGVGTLEKKDIRIDINDRFRPDILSDIFSQAKIHENVVSPIVDGAGLSLNMENHDPKHWSYFRKLQDQFVRKDVSLIDQDHLGYLSSLTNDEGGTLIDYSYPPLRSDGVALPHIEEDVQQETSGVVGLNTMDSHADYGHFELKETESAQLDGVNARIPESEYEGGKLDIRNTGAHLVDLSSGEFDISTLQIIKNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVAEFMVNGSLRHVLLSKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSFCDSEWRLLMEQCWAPDPLARPSFTEITRRLRVMSAACQTKQIPK >Potri.001G123500.2.v4.1 pep chromosome:Pop_tri_v4:1:10134674:10143021:1 gene:Potri.001G123500.v4.1 transcript:Potri.001G123500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123500.v4.1 MDRNLGKGMTDQQKNYEQVRYNNMEARNEGLGSVNQRFFHDPSANINTNMRPPDYNMSIGARPVLNYSIQTGEEFALEFMRERVNPRQQLFPNAYVDPNSTTSYMELKGMLGISHTGSESGPDISTISTVEKARNQEFDRKGSSVHEDQSYYDPVRPVPRTSSRNDSSRGIHGYTSSGASDSSSSKVKFLCSFGGTILPRPSDGKLRYVGGETRIIRISKNISWQELMQKTLAIYNESHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVSEDGGSKKPRMFLFSSNDLEDSQFGLGSGEGENSEIQYVVAVNGMDLGSRKNSINLVSASGNNLDELLSLNVERGSSGVAAQLTGSNAPSSAVNMLPSTTQSSQPALTSSSSAHESNSQPYHGQKMHHGDASQHPVSSMQPMESFLQMDEKGTNPLSGPIQYGFGSHLPIHAMVGENLMGVPFRMYPTQQGVLAEEKPYNGFHVQNAEASVKDAKLKRESSGHKINEPEKVQTLDKEARIKELKMKRDDSFQKLNETVKIQAVENDTVSLHPYDSSIPNYTSREEVLVANSTPEVGSPLLLMKNNKSPHEPVLNSMSTETVTEGIKNNGDDHFHSSGDPFAPGYGGSEADPTDFSYLEPSVAPHRVFHSERIPREQAELNRLSKSEDSSDPQILITQARSGCSQPLIESIDKLHEGNVASQTDQSHPSAKLCYAKPQTVEDGLAQFEKYKEFADNIGTVNPSIAQGLGSNVQKSDSRRVVFNPVDDYEGFQVKGNYTDLSINDNETVGLTHPTASQGTSSKHPEDPALGPPEFERTETVSDNNNGNNTKVNVQPLAWTESPVRAVSEGDPSIGVGTLEKKDIRIDINDRFRPDILSDIFSQAKIHENVVSPIVDGAGLSLNMENHDPKHWSYFRKLQDQFVRKDVSLIDQDHLGYLSSLTNDEGGTLIDYSYPPLRSDGVALPHIEEDVQQETSGVVGLNTMDSHADYGHFELKETESAQLDGVNARIPESEYEGGKLDIRNTGAHLVDLSSGEFDISTLQIIKNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVAEFMVNGSLRHVLLSKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSFCDSEWRLLMEQCWAPDPLARPSFTEITRRLRVMSAACQTKQIPK >Potri.001G123500.10.v4.1 pep chromosome:Pop_tri_v4:1:10134863:10142919:1 gene:Potri.001G123500.v4.1 transcript:Potri.001G123500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123500.v4.1 MDRNLGKGMTDQQKNYEQVRYNNMEARNEGLGSVNQRFFHDPSANINTNMRPPDYNMSIGARPVLNYSIQTGEEFALEFMRERVNPRQQLFPNAYVDPNSTTSYMELKGMLGISHTGSESGPDISTISTVEKARNQEFDRKGSSVHEDQSYYDPVRPVPRTSSRNDSSRGIHGYTSSGASDSSSSKVKFLCSFGGTILPRPSDGKLRYVGGETRIIRISKNISWQELMQKTLAIYNESHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVSEDGGSKKPRMFLFSSNDLEDSQFGLGSGEGENSEIQYVVAVNGMDLGSRKNSINLVSASGNNLDELLSLNVERGSSGVAAQLTGSNAPSSAVNMLPSTTQSSQPALTSSSSAHESNSQPYHGQKMHHGDASQHPVSSMQPMESFLQMDEKGTNPLSGPIQYGFGSHLPIHAMVGENLMGVPFRMYPTQQGVLAEEKPYNGFHVQNAEASVKDAKLKRESSGHKINEPEKVQTLDKEARIKELKMKRDDSFQKLNETVKIQAVENDTVSLHPYDSSIPNYTSREEVLVANSTPEVGSPLLLMKNNKSPHEPVLNSMSTETVTEGIKNNGDDHFHSSGDPFAPGYGGSEADPTDFSYLEPSVAPHRVFHSERIPREQAELNRLSKSEDSSDPQILITQARSGCSQPLIESIDKLHEGNVASQTDQSHPSAKLCYAKPQTVEDGLAQFEKYKEFADNIGTVNPSIAQGLGSNVQKSDSRRVVFNPVDDYEGFQVKGNYTDLSINDNETVGLTHPTASQGTSSKHPEDPALGPPEFERTETVSDNNNGNNTKVNVQPLAWTESPVRAVSEGDPSIGVGTLEKKDIRIDINDRFRPDILSDIFSQAKIHENVVSPIVDGAGLSLNMENHDPKHWSYFRKLQDQFVRKDVSLIDQDHLGYLSSLTNDEGGTLIDYSYPPLRSDGVALPHIEEDVQQETSGVVGLNTMDSHADYGHFELKETESAQLDGVNARIPESEYEGGKLDIRNTGAHLVDLSSGEFDISTLQIIKNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVAEFMVNGSLRHVLLSKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKAS >Potri.001G123500.7.v4.1 pep chromosome:Pop_tri_v4:1:10134916:10142936:1 gene:Potri.001G123500.v4.1 transcript:Potri.001G123500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123500.v4.1 MDRNLGKGMTDQQKNYEQVRYNNMEARNEGLGSVNQRFFHDPSANINTNMRPPDYNMSIGARPVLNYSIQTGEEFALEFMRERVNPRQQLFPNAYVDPNSTTSYMELKGMLGISHTGSESGPDISTISTVEKARNQEFDRKGSSVHEDQSYYDPVRPVPRTSSRNDSSRGIHGYTSSGASDSSSSKVKFLCSFGGTILPRPSDGKLRYVGGETRIIRISKNISWQELMQKTLAIYNESHTIKYQLPGEDLDALVSVSCDEDLQNMMEECNVSEDGGSKKPRMFLFSSNDLEDSQFGLGSGEGENSEIQYVVAVNGMDLGSRKNSINLVSASGNNLDELLSLNVERGSSGVAAQLTGSNAPSSAVNMLPSTTQSSQPALTSSSSAHESNSQPYHGQKMHHGDASQHPVSSMQPMESFLQMDEKGTNPLSGPIQYGFGSHLPIHAMVGENLMGVPFRMYPTQQGVLAEEKPYNGFHVQNAEASVKDAKLKRESSGHKINEPEKVQTLDKEARIKELKMKRDDSFQKLNETVKIQAVENDTVSLHPYDSSIPNYTSREEVLVANSTPEVGSPLLLMKNNKSPHEPVLNSMSTETVTEGIKNNGDDHFHSSGDPFAPGYGGSEADPTDFSYLEPSVAPHRVFHSERIPREQAELNRLSKSEDSSDPQILITQARSGCSQPLIESIDKLHEGNVASQTDQSHPSAKLCYAKPQTVEDGLAQFEKYKEFADNIGTVNPSIAQGLGSNVQKSDSRRVVFNPVDDYEGFQVKGNYTDLSINDNETVGLTHPTASQGTSSKHPEDPALGPPEFERTETVSDNNNGNNTKVNVQPLAWTESPVRAVSEGDPSIGVGTLEKKDIRIDINDRFRPDILSDIFSQAKIHENVVSPIVDGAGLSLNMENHDPKHWSYFRKLQDQFVRKDVSLIDQDHLGYLSSLTNDEGGTLIDYSYPPLRSDGVALPHIEEDVQQETSGVVGLNTMDSHADYGHFELKETESAQLDGVNARIPESEYEGGKLDIRNTGAHLVDLSSGEFDISTLQIIKNEDLEELKELGSGTFGTVYHGKWRGTDVAIKRIKKSCFTGRSSEQERLTVEFWREAEILSKLHHPNVVAFYGVVQDGPGGTLATVAEFMVNGSLRHVLLSKDRHLDHRKRLIIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPLRPICKVGDFGLSKIKRNTLVTGGVRGTLPWMAPELLNGSSSKVSEKVDVFSFGIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPPVPSFCDSEWRLLMEQCWAPDPLARPSFTEITRRLRVMSAACQTKQIPK >Potri.009G006000.1.v4.1 pep chromosome:Pop_tri_v4:9:1255070:1256712:-1 gene:Potri.009G006000.v4.1 transcript:Potri.009G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006000.v4.1 MDNQRGSVLSWAYYCQGKTMDELRNSLLYTTLELEQTRVAVQEELRKKDDQLFHLKDLLIKAIRERDEAQEKCQRLVTEKVLLQQQPQHHQNAPLSGISSIEDEPRRGIDSSNGFSSSDCEESIVSSPVIDPTPQPSQLPPPAAPQTIPQAAIELVPEKPLPEKGKLLQAVMKAGPLLQTLLLAGPLPQWRHPPPPLESFEIPPVTIPSPPPPPAPQLIHQDSFVNINGCNRKRVRCDGSDSPTKTKYQNIVLH >Potri.011G025500.1.v4.1 pep chromosome:Pop_tri_v4:11:1900078:1900943:-1 gene:Potri.011G025500.v4.1 transcript:Potri.011G025500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025500.v4.1 MAWPVGGGEGNHFNTEMERCHVQEQVEVVGKAFVDHYYNLFDNDRSSLASLYQPTSMLTFEGQKIVGVEDISCKLNNLPFGNCKHIISTIDSQPSAHGGGIVVFVSGSLQLPGEEHHLRFSQMFHLIPTQDGCFFVQNDFFRLNYG >Potri.001G297600.2.v4.1 pep chromosome:Pop_tri_v4:1:30857161:30863293:1 gene:Potri.001G297600.v4.1 transcript:Potri.001G297600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297600.v4.1 MATDIPSFNISTLEQMYIDSCKRHGVLPNTEILSGFLKAEVKKSCNEICSLEIILDHLEDIDVPPLLDVCATIETSEIEVVDIRNGPNCTLHVEYALSLMRAFNQKLQVVDLQDLPFGKDFLRELSQKGLACQILNLRSSHFRNLNMAGKFMQIHTLNLDFSTSLTSFQEDCFTCMPILTCLSMCETRVANLWTTIAALSKLSSLVELRFQKWICCNDSASPSASSGGNLEDQPDVRELLTDIDEESFLNQGTDEGTGNVFSFNDIATGQQVQSMMEDSSDDNEVDFSSYWQEFDYMDLLANFSSGWNRQVNLQSELSSGTSRNKKEESLHGSFGRHVADVPSKYISHHASPICFEKHYREYMIASLPNLKVLDNLPVRKIDSERAAVTFSQYFEYLPYNRKHKESVVSILHKREIKDTRSHMLSKNQKPSYSHGNSLYSYTRSLCAAKLGSSAWPLLHSLSVSGCDLGDGSRSFRPRQFEYHPSLSSLMVFGTLDGEVVVVNHENEKVVRYVSSLGAMNSVLGLCWLKKYPSKFIAGSDSGLLKLYDIEHMPPTVTGMYSAAGSITFDDFDQLTSVHVNSTDELFLASGYSKNVALYDINSGRRIQVFTDVHREHINVVKFSNHSPSVFATSSFDQDVKLWDLRQKPIQPCYTTSVSRGNVMVCFSPDDQYLLASAVDNEVRQLLAVDGRLHLSFDIAPTGSSQNYTRSYYMNGRDYIISGSCDEHVVRVCCAQTGRRFRDISLEGKGLGNSMYVQSLRGDPFRDFNMSILAAYMRPNSRYEIVKVNLLASCGNAKGYSKSRDSCPSTSMGG >Potri.001G297600.3.v4.1 pep chromosome:Pop_tri_v4:1:30858394:30863318:1 gene:Potri.001G297600.v4.1 transcript:Potri.001G297600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297600.v4.1 MRAFNQKLQVVDLQDLPFGKDFLRELSQKGLACQILNLRSSHFRNLNMAGKFMQIHTLNLDFSTSLTSFQEDCFTCMPILTCLSMCETRVANLWTTIAALSKLSSLVELRFQKWICCNDSASPSASSGGNLEDQPDVRELLTDIDEESFLNQGTDEGTGNVFSFNDIATGQQVQSMMEDSSDDNEVDFSSYWQEFDYMDLLANFSSGWNRQVNLQSELSSGTSRNKKEESLHGSFGRHVADVPSKYISHHASPICFEKHYREYMIASLPNLKVLDNLPVRKIDSERAAVTFSQYFEYLPYNRKHKESVVSILHKREIKDTRSHMLSKNQKPSYSHGNSLYSYTRSLCAAKLGSSAWPLLHSLSVSGCDLGDGSRSFRPRQFEYHPSLSSLMVFGTLDGEVVVVNHENEKVVRYVSSLGAMNSVLGLCWLKKYPSKFIAGSDSGLLKLYDIEHMPPTVTGMYSAAGSITFDDFDQLTSVHVNSTDELFLASGYSKNVALYDINSGRRIQVFTDVHREHINVVKFSNHSPSVFATSSFDQDVKLWDLRQKPIQPCYTTSVSRGNVMVCFSPDDQYLLASAVDNEVRQLLAVDGRLHLSFDIAPTGSSQNYTRSYYMNGRDYIISGSCDEHVVRVCCAQTGRRFRDISLEGKGLGNSMYVQSLRGDPFRDFNMSILAAYMRPNSRYEIVKVNLLASCGNAKGYSKSRDSCPSTSMGG >Potri.001G297600.4.v4.1 pep chromosome:Pop_tri_v4:1:30857254:30863228:1 gene:Potri.001G297600.v4.1 transcript:Potri.001G297600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297600.v4.1 MQIHTLNLDFSTSLTSFQEDCFTCMPILTCLSMCETRVANLWTTIAALSKLSSLVELRFQKWICCNDSASPSASSGGNLEDQPDVRELLTDIDEESFLNQGTDEGTGNVFSFNDIATGQQVQSMMEDSSDDNEVDFSSYWQEFDYMDLLANFSSGWNRQVNLQSELSSGTSRNKKEESLHGSFGRHVADVPSKYISHHASPICFEKHYREYMIASLPNLKVLDNLPVRKIDSERAAVTFSQYFEYLPYNRKHKESVVSILHKREIKDTRSHMLSKNQKPSYSHGNSLYSYTRSLCAAKLGSSAWPLLHSLSVSGCDLGDGSRSFRPRQFEYHPSLSSLMVFGTLDGEVVVVNHENEKVVRYVSSLGAMNSVLGLCWLKKYPSKFIAGSDSGLLKLYDIEHMPPTVTGMYSAAGSITFDDFDQLTSVHVNSTDELFLASGYSKNVALYDINSGRRIQVFTDVHREHINVVKFSNHSPSVFATSSFDQDVKLWDLRQKPIQPCYTTSVSRGNVMVCFSPDDQYLLASAVDNEVRQLLAVDGRLHLSFDIAPTGSSQNYTRSYYMNGRDYIISGSCDEHVVRVCCAQTGRRFRDISLEGKGLGNSMYVQSLRGDPFRDFNMSILAAYMRPNSRYEIVKVNLLASCGNAKGYSKSRDSCPSTSMGG >Potri.006G036800.1.v4.1 pep chromosome:Pop_tri_v4:6:2368421:2374918:-1 gene:Potri.006G036800.v4.1 transcript:Potri.006G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036800.v4.1 MITTKALSKFSRSCSFLHHRNFVPMKPILDGATTNDMAPTNSFLKGVNDTREAVSWSGQTRRMSQRGFLNHSRSILGWNSMEIDNVHDALRLPSRHFSTYYNDKLRRESTNELFSSIPEYVKIVEVGPRDGLQNEKDIVPTAVKVELIKMLASSGLPVVEATSFVSPKWVPQLADAKDVMEAIRDFKGARFPVLTPNLKGFEAAIAAGAKEVAVFASASEGFSKSNINCSIEDSLVRYHEVALSASKLSIPVRGYISCVVGCPVEGMVSPSKVAYVAKKLCDMGCYEISLGDTIGVGTPGTVIPMLEAVIDVVPIEKLAVHFHDTYGQALSNILASLQMGISTVDSSVSGLGGCPYAKGASGNVATEDVVYMLNGLGVKTNVDLQKIMLAGNFIRKHLGHSSGSKTAIALSKITAHASKL >Potri.005G054000.2.v4.1 pep chromosome:Pop_tri_v4:5:3431492:3432166:1 gene:Potri.005G054000.v4.1 transcript:Potri.005G054000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054000.v4.1 QLLPLPRINCGRQAGGRTCANNLCCSEWGFCGTSDDHCSPSKNCQSNCRPSGGGGGGGSSGGGESASNVRATYHFYNPEQNGWDLNAVRAYCSTWDANKPLAWRRQYGWTAFCGPVGPRGQASCGRCLRVTNTGTGAQATVRIVDQCSNGGLDLDAGVFRQLDTDGRGNAQGHLIVNYQFVNC >Potri.012G094300.5.v4.1 pep chromosome:Pop_tri_v4:12:11862517:11869240:-1 gene:Potri.012G094300.v4.1 transcript:Potri.012G094300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094300.v4.1 MAPTVPIEFIGQKELKTCWLSQPMGKSRKFSKGHSSGFVPDYRHAAETMAESEGFGSSGRVDTEMTASGDSFAPKRKCISLNVDGYDTFGVPSQILSLSKMSRPERKDLEIRLKNDLEQVRILHRKVASLSSNTVLLSPSSDTRSCSDGQKRLPLEGVHRSFEVSAPKSKKRAPPGRNGARSKKGTSGRFEPVKSAAPLGITNAMLMKQCETLLNRLMTHQFGWIFNTPVDVVKMNIPDYFTIIKHPMDLGTVKSRIISGEYSSPLGFAADVRLTFANAMKYNPPGNDFHFMAETLSKFFEVRWKVIEKKIPVTADVEPVPSRADVRMEMETTAHIEKETTTDTPPLKKKKITPSDNKVKPGPIRKVMTNEERQKLSMELEALLAELPENIIEFLKEHSGNAGQTGEDEIEIDIDALGDDILFNLRKLLDNYLLEKQKNQSKVEPCEMEIINESGISNSSLQPCKGNDTAEEDIDIVGGNDPPISSYPPVKIEKEAAHKNSKCSSRSSSNSESGSSSSDSDSGSESGSESDAVKASGPINATEEKMEPGENVVQKRSHLGDPAVRNQSVDGLAQVELDTEGKPVAAEADGHQEGESAPPERQVSPEKLYRAALLRNRFADTILKAREKALEKGEKRDPEKLRKEKEEFERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRQRELEREAARQALIQMEKTVDINENCQFMEDLEMLRIAHHDEQLPSFIEETSPDHSQNGLGSFKLQGSNPLEQLGLYMKEDDEDEEEVVEQPSSVPDLTKDVEEGEID >Potri.012G094300.1.v4.1 pep chromosome:Pop_tri_v4:12:11862425:11869261:-1 gene:Potri.012G094300.v4.1 transcript:Potri.012G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094300.v4.1 MAPTVPIEFIGQKELKTCWLSQPMGKSRKFSKGHSSGFVPDYRHAAETMAESEGFGSSGRVDTEMTASGDSFAPKRKCISLNVDGYDTFGVPSQILSLSKMSRPERKDLEIRLKNDLEQVRILHRKVASLSSNTVLLSPSSDTRSCSDGQKRLPLEGVHRSFEVSAPKSKKRAPPGRNGARSKKGTSGRFEPVKSAAPLGITNAMLMKQCETLLNRLMTHQFGWIFNTPVDVVKMNIPDYFTIIKHPMDLGTVKSRIISGEYSSPLGFAADVRLTFANAMKYNPPGNDFHFMAETLSKFFEVRWKVIEKKIPVTADVEPVPSRADVRMEMETTAHIEKETTTDTPPLKKKKITPSDNKVKPGPIRKVMTNEERQKLSMELEALLAELPENIIEFLKEHSGNAGQTGEDEIEIDIDALGDDILFNLRKLLDNYLLEKQKNQSKVEPCEMEIINESGISNSSLQPCKGNDTAEEDIDIVGGNDPPISSYPPVKIEKEAAHKNSKCSSRSSSNSESGSSSSDSDSGSESGSESDAVKASGPINATEEKMEPGENVVQKRSHLGDPAVRNQSVDGLAQVELDTEGKPVAAEADGHQEGESAPPERQVSPEKLYRAALLRNRFADTILKAREKALEKGEKRDPEKLRKEKEEFERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRQRELEREAARQALIQMEKTVDINENCQFMEDLEMLRIAHHDEQLPSFIEETSPDHSQNGLGSFKLQGSNPLEQLGLYMKEDDEDEEEVVEQPSSVPDLTKDVEEGEID >Potri.012G094300.4.v4.1 pep chromosome:Pop_tri_v4:12:11862443:11869240:-1 gene:Potri.012G094300.v4.1 transcript:Potri.012G094300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094300.v4.1 MAPTVPIEFIGQKELKTCWLSQPMGKSRKFSKGHSSGFVPDYRHAAETMAESEGFGSSGRVDTEMTASGDSFAPKRKCISLNVDGYDTFGVPSQILSLSKMSRPERKDLEIRLKNDLEQVRILHRKVASLSSNTVLLSPSSDTRSCSDGQKRLPLEGVHRSFEVSAPKSKKRAPPGRNGARSKKGTSGRFEPVKSAAPLGITNAMLMKQCETLLNRLMTHQFGWIFNTPVDVVKMNIPDYFTIIKHPMDLGTVKSRIISGEYSSPLGFAADVRLTFANAMKYNPPGNDFHFMAETLSKFFEVRWKVIEKKIPVTADVEPVPSRADVRMEMETTAHIEKETTTDTPPLKKKKITPSDNKVKPGPIRKVMTNEERQKLSMELEALLAELPENIIEFLKEHSGNAGQTGEDEIEIDIDALGDDILFNLRKLLDNYLLEKQKNQSKVEPCEMEIINESGISNSSLQPCKGNDTAEEDIDIVGGNDPPISSYPPVKIEKEAAHKNSKCSSRSSSNSESGSSSSDSDSGSESGSESDAVKASGPINATEEKMEPGENVVQKRSHLGDPAVRNQSVDGLAQVELDTEGKPVAAEADGHQEGESAPPERQVSPEKLYRAALLRNRFADTILKAREKALEKGEKRDPEKLRKEKEEFERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRQRELEREAARQALIQMEKTVDINENCQFMEDLEMLRIAHHDEQLPSFIEETSPDHSQNGLGSFKLQGSNPLEQLGLYMKEDDEDEEEVVEQPSSVPDLTKDVEEGEID >Potri.012G094300.6.v4.1 pep chromosome:Pop_tri_v4:12:11862623:11869240:-1 gene:Potri.012G094300.v4.1 transcript:Potri.012G094300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094300.v4.1 MAPTVPIEFIGQKELKTCWLSQPMGKSRKFSKGHSSGFVPDYRHAAETMAESEGFGSSGRVDTEMTASGDSFAPKRKCISLNVDGYDTFGVPSQILSLSKMSRPERKDLEIRLKNDLEQVRILHRKVASLSSNTVLLSPSSDTRSCSDGQKRLPLEGVHRSFEVSAPKSKKRAPPGRNGARSKKGTSGRFEPVKSAAPLGITNAMLMKQCETLLNRLMTHQFGWIFNTPVDVVKMNIPDYFTIIKHPMDLGTVKSRIISGEYSSPLGFAADVRLTFANAMKYNPPGNDFHFMAETLSKFFEVRWKVIEKKIPVTADVEPVPSRADVRMEMETTAHIEKETTTDTPPLKKKKITPSDNKVKPGPIRKVMTNEERQKLSMELEALLAELPENIIEFLKEHSGNAGQTGEDEIEIDIDALGDDILFNLRKLLDNYLLEKQKNQSKVEPCEMEIINESGISNSSLQPCKGNDTAEEDIDIVGGNDPPISSYPPVKIEKEAAHKNSKCSSRSSSNSESGSSSSDSGSESGSESDAVKASGPINATEEKMEPGENVVQKRSHLGDPAVRNQSVDGLAQVELDTEGKPVAAEADGHQEGESAPPERQVSPEKLYRAALLRNRFADTILKAREKALEKGEKRDPEKLRKEKEEFERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRQRELEREAARQALIQMEKTVDINENCQFMEDLEMLRIAHHDEQLPSFIEETSPDHSQNGLGSFKLQGSNPLEQLGLYMKEDDEDEEEVVEQPSSVPDLTKDVEEGEID >Potri.016G114300.1.v4.1 pep chromosome:Pop_tri_v4:16:11824217:11825790:1 gene:Potri.016G114300.v4.1 transcript:Potri.016G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114300.v4.1 MGSLSTNTTFSPLDPNGFTNDSKMVIDFIADYYKNIENNPVQSQVKPGYLLTQLPDTAPYCQESLEDVLKDVTDSIIPGLTHWQSPNFFAYFQANASTAGFVGEMLCTGLNVVGFNWIASPAATELESIVMDWMGKMLKLPSTFLFSGNGGGVLHGSTCEAIVCTLVAARDETLRMIGAENITKLVVYASDQTHSTLLKGVKLVGIPSSNFRCLSTSFSSEFSLSPQALEVAIENDIKAGFVPLFLCATVGTTACGAVDPVMDLGKIARKYNLWFHIDAAYAGSACICPEFRHYLDGVELADSLSMNPHKWLLTNMDCCCLWVKQPRLLIESLSSDAEYLRNNASESNDVVDYKDWQIALSRRFRALKLWIVIRRHGLENLMYHIRSDVSLAKRFESLVAKDSRFEVVVRRRFSLVCFRLKHNDECQGLELNRKLLAAVNESGRAFMTHAVVGGLFIIRCAIGSTLTEERHVDDLWKLIQETAADLVKETGAIG >Potri.014G182800.3.v4.1 pep chromosome:Pop_tri_v4:14:14405425:14407819:-1 gene:Potri.014G182800.v4.1 transcript:Potri.014G182800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182800.v4.1 MVVLPYTVAFLLLSSLQTVKIANSQSFIGINYGQVADNLPPPPSTAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVVGTANGDIPGLASDPNFAESWINTNVLPFYPASNIILITVGNEVMTSNDQNLVNKLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYYAYRSDTRPETLAFCLFQPNAGRTDGNIKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDVGLSTSSQSLAATPQPLQAAATNTSTDTSTNNNNSSTSTSTSTGTGTSTSTSSSTNNISISRGSSSSSSNKVYLNRIFNLGLLYGFMGLSLICLFFFLVFLGYPHTLFKG >Potri.014G182800.2.v4.1 pep chromosome:Pop_tri_v4:14:14405425:14407819:-1 gene:Potri.014G182800.v4.1 transcript:Potri.014G182800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G182800.v4.1 MVVLPYTVAFLLLSSLQTVKIANSQSFIGINYGQVADNLPPPPSTAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVVGTANGDIPGLASDPNFAESWINTNVLPFYPASNIILITVGNEVMTSNDQNLVNKLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYYAYRSDTRPETLAFCLFQPNAGRTDGNIKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDVGLSTSSQNQSLAATPQPLQAAATNTSTDTSTNNNNSSTSTSTSTGTGTSTSTSSSTNNISISRGSSSSSSNKVYLNRIFNLGLLYGFMGLSLICLFFFLVFLGYPHTLFKG >Potri.006G120700.1.v4.1 pep chromosome:Pop_tri_v4:6:9508726:9514285:-1 gene:Potri.006G120700.v4.1 transcript:Potri.006G120700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120700.v4.1 MGSRTESESEREIWKAMEVAEGARGDNSSSHVLQSASLTLDTSLSLPDLTPPLIELCKDLFKKWSRLDDSSFSVETVSGGITNLLLKVSVKEEDGNEVPVTVRLYGPNTDYVINRERELQAIKYLSAAGFGAKLLGVFQNGMVQSFINARTLIPQDMREPKLAAEIAKQLHKFHRVDIPGSKEPQLWNDIFKFYENASTLHFDDIEKRKKYETILFKEVYNEVVEIKELTDLLNAPVVFAHNDLLSGNLMLNDDEEKLYIIDFEYGSYSYRGYDIGNHFNEYAGYDCDYSLYPSKDEQYHFFRHYLQPDKPHEVSDKDLEALYVESNTYMLVSHLFWALWALIQAKMSPIDFDYLGYFFLRYDEFKRRKEKACSLARSYLSGQESR >Potri.016G105300.1.v4.1 pep chromosome:Pop_tri_v4:16:10864074:10867732:-1 gene:Potri.016G105300.v4.1 transcript:Potri.016G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105300.v4.1 MEQPVVPHVVFLPFPAQGHVKPMLMLAELLSQAGFEATFINSNHIQDRLEHSTDIATMYCRFPKFQFRSIPDGLPSDHPRSGSSISQLLIASRDETRTEFRNLLVNLGQKNGRWEPPTCIIADGIMSFAIDIAEELTIPVITFRTFSACCTWTYFHLTKLIEEGEVPFQGDVDMDKTITCIPGLEGTLRYRDLPSICRRKEANDPLFQFFIKETAAMPRASGLILNTFDRLEASMVSKLGSFFSKIYTLGPLQGLFDTFAESPSARTSSNGLLWKEDRGCMTWLDSHPSRSVIYVSFGSLVGLFRDQLLEFWHGLVNSGKPFLWVIRSDSIMEEDGVSEVPLQLKAATEERGCIVDWAPQEEVLAHPAIGGFLTHSGWNSTLESIFAGVPMVCWPMIADQQVNSRCVSELWKIGFDMKDKCERAVIEKLVRDLMESDEIVKSTDEFAGMARDSVKEGGSSYSNLQKLIDDIKSMSLAGKVSSSSVG >Potri.012G145500.1.v4.1 pep chromosome:Pop_tri_v4:12:15567171:15568397:1 gene:Potri.012G145500.v4.1 transcript:Potri.012G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145500.v4.1 MADHQKVYPLNTSSTHDDQPLDVEAPQTPRVPLVPRGSSKSDQGDPAAHIHGHHYPPFQRTIPVTHTKPPKKRRSCCCRFLCWTLSLLLLLILVIGAVAGILYLVFQPKLPKFSIDTLQITRFNLTNNSSLSATFNVTITARNPNKKVGVYYEGGSHISVWYTGTNLCQGSLPKFYQGHRNTTVLNVLLSGQTDDGNTLLTSLQQQQLQTGIIPLNLRVDQPVRIKLGKLKLMKVKFRVRCRLDIDSLAANNAINIRNSSCKFRFRL >Potri.002G043500.1.v4.1 pep chromosome:Pop_tri_v4:2:2845402:2847153:-1 gene:Potri.002G043500.v4.1 transcript:Potri.002G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043500.v4.1 MEGVEEANRAAVESCHRVISLLSQPQDQVQYRNLMVETGEAVFRFKKVVSLLNTGLGHARVRKLKKLPTPLSQSILLDNSLNRTGHSSKTPQFLQSSSYLESHPIQELGSSAKNCLSLGTPSLELSSTGKNPLQLGQPTSAANYQFLQLQQQQLHRLQLQQQQQQQMKQQAEMMFRKSNSGISLNFDSSSCTPTLSSTRSFISSLSIDGSVANLEGSAFHLMGPARSSDQSSQQHKRKCSGRGEDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHPRIPAQSANT >Potri.002G043500.2.v4.1 pep chromosome:Pop_tri_v4:2:2845015:2847707:-1 gene:Potri.002G043500.v4.1 transcript:Potri.002G043500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043500.v4.1 MEGVEEANRAAVESCHRVISLLSQPQDQVQYRNLMVETGEAVFRFKKVVSLLNTGLGHARVRKLKKLPTPLSQSILLDNSLNRTGHSSKTPQFLQSSSYLESHPIQELGSSAKNCLSLGTPSLELSSTGKNPLQLGQPTSAANYQFLQLQQQQLHRLQLQQQQQQQMKQQAEMMFRKSNSGISLNFDSSSCTPTLSSTRSFISSLSIDGSVANLEGSAFHLMGPARSSDQSSQQHKRKCSGRGEDGSVKCGSSGRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRYARLFHFSNMVLVQAIHTSSL >Potri.015G054425.1.v4.1 pep chromosome:Pop_tri_v4:15:7397245:7397645:1 gene:Potri.015G054425.v4.1 transcript:Potri.015G054425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054425.v4.1 MSSGFDLLTGAPKKLCLWLSSHTLCISICLFNSFYMKTWSLQQNFLIISIRLRSKRNYSWVHCFKDSLGKRFLFPILGFRGFWVLVFS >Potri.006G002401.1.v4.1 pep chromosome:Pop_tri_v4:6:201149:201930:-1 gene:Potri.006G002401.v4.1 transcript:Potri.006G002401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002401.v4.1 MARKETLLVSFTCLLVVASVAMCANATAAPRLLASEQVNSPLGCRCCFVVGEAPNLRCGHSCCSNTAGENCCIRK >Potri.005G074300.1.v4.1 pep chromosome:Pop_tri_v4:5:4967105:4967639:-1 gene:Potri.005G074300.v4.1 transcript:Potri.005G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074300.v4.1 MPSIEALAMAGVDCVECGISLEERERRDTEKTPQYLLADQGEEGLKTHEKDKLMVEQWLVKNKMEAWPKVAARPVTNGDSNIQSQEKKR >Potri.008G002100.7.v4.1 pep chromosome:Pop_tri_v4:8:26040:34380:1 gene:Potri.008G002100.v4.1 transcript:Potri.008G002100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002100.v4.1 MHPSKSGNAVSRNDPGSNSNATTATTNNSNKSQRRLSLCSQIAMHSSPIVFPEKQKRSKKLKAAASNSKRSMEVVADDPFPFNQPKIDELKIDIGGGAAAGGDENSDLLGYAVFSGKLILDKRSASSSYHSNTTKDQADITNQQAVDAKLTSKALVWGSHMLHLEHVISVSYNVGLRHFTVHSYPIKKSSRGLSCFIKPKRTRKDYRFLASSIEEALQWVGGFADQQCYINCLPHPLASSKKQASSESLPTDPPPELLFKCKCPPKMLVILNPRSGHGRSTKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKNLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQSGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFFCMPKYSYEVEYLPASKEDREGKQSAEGDIVDMPDLYTDVMRRSNTDGIPRASSLSSIDSIMTPSRMSGGDMDTTCSSTHASTEPSDYVRGLDPKAKRLSLGRTNVMSEPEVIHPQLPLSTTPNWPRTRSKSRADKGWTGLTTTHDPSRCSWGNASMNDREDISSTISDPGPIWDAEPKWDTEPNWDVENPIDLPGPSDDIEAGMKKEVIPRLEDKWEFKKGQFLGILVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRWRLLRFFLRLQTGQHLSLPYVEYIKVKSVKIKAGKHTPTGCGIDGELIQLNGQVISSLLPEQCRLIGRFPSHPI >Potri.008G002100.8.v4.1 pep chromosome:Pop_tri_v4:8:26184:34932:1 gene:Potri.008G002100.v4.1 transcript:Potri.008G002100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002100.v4.1 MHPSKSGNAVSRNDPGSNSNATTATTNNSNKSQRRLSLCSQIAMHSSPIVFPEKQKRSKKLKAAASNSKRSMEVVADDPFPFNQPKIDELKIDIGGGAAAGGDENSDLLGYAVFSGKLILDKRSASSSYHSNTTKDQADITNQQAVDAKLTSKALVWGSHMLHLEHVISVSYNVGLRHFTVHSYPIKKSSRGLSCFIKPKRTRKDYRFLASSIEEALQWVGGFADQQCYINCLPHPLASSKKQASSESLPTDPPPELLFKCKCPPKMLVILNPRSGHGRSTKVFHGIVEPIFKLAGFKLEVVKTTSAGHAKNLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQSGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFFCMPKYSYEVEYLPASKEDREGKQSAEGDIVDMPDLYTDVMRRSNTDGIPRASSLSSIDSIMTPSRMSGGDMDTTCSSTHASTEPSDYVRGLDPKAKRLSLGRTNVMSEPEVIHPQLPLSTTPNWPRTRSKSRADKGWTGLTTTHDPSRCSWGNASMNDREDISSTISDPGPIWDAEPKWDTEPNWDVENPIDLPGPSDDIEAGMKKEVIPRLEDKWEFKKGQFLGILVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRWRLLRFFLRLQTGQHLSLPYVEYIKVKSVKIKAGKHTPTGCGIDGELIQLNGQVISSLLPEQCRLIGRFPSHPI >Potri.008G002100.9.v4.1 pep chromosome:Pop_tri_v4:8:26085:34363:1 gene:Potri.008G002100.v4.1 transcript:Potri.008G002100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002100.v4.1 MHPSKSGNAVSRNDPGSNSNATTATTNNSNKSQRRLSLCSQIAMHSSPIVFPEKQKRSKKLKAAASNSKRSMEVVADDPFPFNQPKIDELKIDIGGGAAAGGDENSDLLGYAVFSGKLILDKRSASSSYHSNTTKDQADITNQQAVDAKLTSKALVWGSHMLHLEHVISLAGFKLEVVKTTSAGHAKNLASTVDISTCPDGIICVGGDGIINEVLNGLLSRDNQKEGISIPIGIIPAGSDNSLVWTVLGVRDPVSAAISIVKGGLTATDVFAVEWIQSGVIHFGMTVSYYGFVSDVLELSEKYQKRFGPLRYFVAGFLKFFCMPKYSYEVEYLPASKEDREGKQSAEGDIVDMPDLYTDVMRRSNTDGIPRASSLSSIDSIMTPSRMSGGDMDTTCSSTHASTEPSDYVRGLDPKAKRLSLGRTNVMSEPEVIHPQLPLSTTPNWPRTRSKSRADKGWTGLTTTHDPSRCSWGNASMNDREDISSTISDPGPIWDAEPKWDTEPNWDVENPIDLPGPSDDIEAGMKKEVIPRLEDKWEFKKGQFLGILVCNHACRTVQSSQVVAPRAEHDDNTMDMLLVHGSGRWRLLRFFLRLQTGQHLSLPYVEYIKVKSVKIKAGKHTPTGCGIDGELIQLNGQVISSLLPEQCRLIGRFPSHPI >Potri.006G154600.2.v4.1 pep chromosome:Pop_tri_v4:6:13888073:13891519:1 gene:Potri.006G154600.v4.1 transcript:Potri.006G154600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154600.v4.1 MMFDIFYMLFNLGLTAYLIGNMTNLVVHGTSRTRRFRDTIQAASSFAQRNQLPVRLQDQMLAHLCLKFRTDSEGLQQQETLDSLPKAIRSSISHYLFYSLVDKVYLFRGVSNDLLFQLVSEMKAEYFPPNEDVILQNEAPTDFYILVTGAVDLLVMKNGVEQVVGEAKTGDLCGEIGVLCCRPQLFTVRTKRLSQLLRLNRTAFLNIVQANVGDGTIIVNNLLQYLKELKDPIMEGVLLETENMLARGRMDLPLTLCFAALRGDDLLLHQLLKRGLDPNESDNNGRSAMHIAASKGSENCVLLLLDHGADPNCRDSDGNVPLLEAMLGGHEAVAKLLIQNGASIHHGDVGHFACTAAEKNNLNLLNEIVRYGGDVTSPRNNGITALHVAVCEDNAEIVRFLLDQGADIDKPDDVHGWTPRGLAEQQGHEEIRFIFQTRKEAKTQSFVAIPEKQDYGIRFLGRFTSEPTIRPLSQEGSFPATDASWSQTRPRRRTNNFHNSIFGMMSAAHRGKKDLLFPISHTSGHGASPARVTISCPEKEEVAGKLVLLPNSFQALLEIGAKKFDISPAKVMSKDRAEIDDIEVIRDGDHLIFATDGMQETNYKDS >Potri.008G205400.1.v4.1 pep chromosome:Pop_tri_v4:8:15019877:15023076:-1 gene:Potri.008G205400.v4.1 transcript:Potri.008G205400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205400.v4.1 MESTPVSNERLDFGKMGYGCQHYRRRCQIRAPCCNEIFTCRHCHNEATNMLKNFCDRHELNRYDVKQVICAVCDTEQPVAQVCTNCGVNMGEYFCEICKFFDDDTAKGQFHCDDCGICRVGGRENYFHCKKCGSCYATSLLDNHLCVENSMRHHCPICYEYLFDSLKETTVMKCGHTMHGECYDEMIKRDKYCCPICSKSIIDMSKTWERIDEEIEATVMPEDYSHRKVWILCNDCNDTTEVYFHIIGQKCSHCKSYNTRTIAPPVLPQ >Potri.008G205400.2.v4.1 pep chromosome:Pop_tri_v4:8:15019918:15022985:-1 gene:Potri.008G205400.v4.1 transcript:Potri.008G205400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205400.v4.1 MLKNFCDRHELNRYDVKQVICAVCDTEQPVAQVCTNCGVNMGEYFCEICKFFDDDTAKGQFHCDDCGICRVGGRENYFHCKKCGSCYATSLLDNHLCVENSMRHHCPICYEYLFDSLKETTVMKCGHTMHGECYDEMIKRDKYCCPICSKSIIDMSKTWERIDEEIEATVMPEDYSHRKVWILCNDCNDTTEVYFHIIGQKCSHCKSYNTRTIAPPVLPQ >Potri.001G106600.1.v4.1 pep chromosome:Pop_tri_v4:1:8553394:8558654:1 gene:Potri.001G106600.v4.1 transcript:Potri.001G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106600.v4.1 MRSYASLQLLSVFSLLLFVTCDPFARDEVWALTAFKEAIYEDPHMVLSSWNALDADPCGWSGISCSFGGDHVVKINITGYSLRGFLAPELGQIKFLQQLILHGNNLIGIIPKELGMLKYLQVLDLGANQLTGPIPPEIANLISVIKINLQSNGLTGSLPPELGNLKSLQELRLDRNRLQGSVPASSSSDFTSSAYGMYASNTNLTGLCQASELKVADFSYNFFTGSIPKCLGYLPSTSFQGNCLQNKDLRQRSSSLCDHAPPARTPQTTNPKQQPAEDLSNQHPRASKPAWLLALEIVTGTMVGCLFLIAFITALQRCKDKSSLIIPWKKSSSQKDHVTVYIDSEMLKDVVRFSRMELEVACEDFSNIIGSSPDSLVYKGTVKGGPEIAVISLCIKEEHWTGYLELYFQREVADLARLNNENAGKLLGYCSESTPFTRMLVFEYASNGTLYEHLHYGEGCQLTWTRRMKIIIGIARGLKYFHAELDPPFTISELNSSSVYLTEDFSPKLVDFESWKSILARSEKNSGSIGGQGAICVLPNSLEGRHLDVQGNIYAFGVLLLEIISGRPPHCKDKGRLVDWAKDFLELPEAMAYVVDPELKHFRFEDLNVICEVVNLCIHPDPAKQPSMQELSTILESRIDTTIPADFKASSLAWAELALSS >Potri.003G174600.1.v4.1 pep chromosome:Pop_tri_v4:3:18201051:18207970:-1 gene:Potri.003G174600.v4.1 transcript:Potri.003G174600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174600.v4.1 MKGLTLPKTPLTSSSSSSAKPPLLPLVPCFAGISRKAISYSLRCREISKLNRGDTFRAQASNVTIGSGGYEGEEEQDNKKSFGGGPPGDNLPEIIKPPSKIPYPLSIAIVLLGCALVFSLIAFVRGGPSSILAAIAKSGLAAAFTLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALSLMTILSVVIGRIFHSVPAQFQTTLPIGEYAAVTLLMFFGLKSIKDAWDLPTNDVKTGDESSPELDEYSEAEKLVKVSKLLTNPFEIVWKSFSLVFFAEWGDRSMLATIALGAAQSPWGVATGAIAGHLVATSIAILGGAFLANYISEKLVGYLGGVLFLVFAVATFFGFF >Potri.003G174600.4.v4.1 pep chromosome:Pop_tri_v4:3:18201052:18207829:-1 gene:Potri.003G174600.v4.1 transcript:Potri.003G174600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174600.v4.1 MKGLTLPKTPLTSSSSSSAKPPLLPLVPCFAGISRKAISYSLRCREISKLNRGDTFRAQASNVTIGSGGYEGEEEQDNKKSFGGGPPGDNLPEIIKPPSKIPYPLSIAIVLLGCALVFSLIAFVRGGPSSILAAIAKSGLAAAFTLIFVSEIGDKTFFIAALLAMQYEKGLVLLGSMGALSLMTILSVVIGRIFHSVPAQFQTTLPIGEYAAVTLLMFFGLKSIKDAWDLPTNDVKTGDESSPELDEYSEAEKLVKVSKLLTNPFEIVWKSFSLVFFAEWGDRSMLATIALGAAQVQFHELLII >Potri.013G114000.1.v4.1 pep chromosome:Pop_tri_v4:13:12236482:12237741:-1 gene:Potri.013G114000.v4.1 transcript:Potri.013G114000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114000.v4.1 MATTEDFTFPIFTDPFSCSIDSPPLWCLSPAASPDHPYHEETSKESSTEGEESDQEEESYKDCFPTEPINHLTPRKSFSSVEELGKLVRRNGNRNDKEEKMDMLWEDFNTEERLPRSHSSSRLDSETVSVGCVEALRMSKPNNGATAIFSPRKPGLVVVMKVLKRFFLLHNSHRSVNKHHSSYRQSVKGHGSHKSVKTGSW >Potri.002G162600.5.v4.1 pep chromosome:Pop_tri_v4:2:12444418:12446756:-1 gene:Potri.002G162600.v4.1 transcript:Potri.002G162600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162600.v4.1 MSRPQEPHRPFFPFGNPFRMLSSKGSQLSPRLLSLLNAFEDALAARLRKLNPKDKYDVLSLAWMKLAMESLCETHTDIKTLTTELDLPVTDWDEKWIDVYLDISVKLLDICVAFSSELLRLNQGHLMLQCALHNLESNTSEKLVRARSSIDSWRQHIGSKNPRLENCKSILDGLVDSLSLPKVKNSAKGKVLMQAMYGVKIHTVFVCSVLATVFSGNSKNLLDLDVANTTMWVQAFSDLQTNVNGGIRDIFSQRKFTALKELDEVDTAVKNLYPMIQDGTRPIEAEAFSNSFSDLGRRAEKLSQGLDFLTKVVDGFFKIVLSGRDALLCNLRVSDTVADPFPGSNGEQFVR >Potri.002G162600.7.v4.1 pep chromosome:Pop_tri_v4:2:12444430:12446739:-1 gene:Potri.002G162600.v4.1 transcript:Potri.002G162600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162600.v4.1 MSRPQEPHRPFFPFGNPFRMLSSKGSQLSPRLLSLLNAFEDALAARLRKLNPKDKYDVLSLAWMKLAMESLCETHTDIKTLTTELDLPVTDWDEKWIDVYLDISVKLLDICVAFSSELLRLNQGHLMLQCALHNLESNTSEKLVRARSSIDSWRQHIGSKNPRLENCKSILDGLVDSLSLPKVKNSAKGKVLMQAMYGVKIHTVFVCSVLATVFSGNSKNLLDLDVANTTMWVQAFSDLQTNVNGGIRDIFSQRKFTALKELDEVDTAVKNLYPMIQDGTRPIEAEAFSNSFSDLGRRAEKLSQGLDFLTKVVDGFFKIVLSGRDALLCNLRVSDTVADPFPGSNGEQFVR >Potri.002G162600.4.v4.1 pep chromosome:Pop_tri_v4:2:12444417:12446627:-1 gene:Potri.002G162600.v4.1 transcript:Potri.002G162600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162600.v4.1 MSRPQEPHRPFFPFGNPFRMLSSKGSQLSPRLLSLLNAFEDALAARLRKLNPKDKYDVLSLAWMKLAMESLCETHTDIKTLTTELDLPVTDWDEKWIDVYLDISVKLLDICVAFSSELLRLNQGHLMLQCALHNLESNTSEKLVRARSSIDSWRQHIGSKNPRLENCKSILDGLVDSLSLPKVKNSAKGKVLMQAMYGVKIHTVFVCSVLATVFSGNSKNLLDLDVANTTMWVQAFSDLQTNVNGGIRDIFSQRKFTALKELDEVDTAVKNLYPMIQDGTRPIEAEAFSNSFSDLGRRAEKLSQGLDFLTKVVDGFFKIVLSGRDALLCNLRVSDTVADPFPGSNGEQFVR >Potri.002G162600.8.v4.1 pep chromosome:Pop_tri_v4:2:12444407:12445613:-1 gene:Potri.002G162600.v4.1 transcript:Potri.002G162600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162600.v4.1 MLSSKGSQLSPRLLSLLNAFEDALAARLRKLNPKDKYDVLSLAWMKLAMESLCETHTDIKTLTTELDLPVTDWDEKWIDVYLDISVKLLDICVAFSSELLRLNQGHLMLQCALHNLESNTSEKLVRARSSIDSWRQHIGSKNPRLENCKSILDGLVDSLSLPKVKNSAKGKVLMQAMYGVKIHTVFVCSVLATVFSGNSKNLLDLDVANTTMWVQAFSDLQTNVNGGIRDIFSQRKFTALKELDEVDTAVKNLYPMIQDGTRPIEAEAFSNSFSDLGRRAEKLSQGLDFLTKVVDGFFKIVLSGRDALLCNLRVSDTVADPFPGSNGEQFVR >Potri.002G162600.6.v4.1 pep chromosome:Pop_tri_v4:2:12444418:12446739:-1 gene:Potri.002G162600.v4.1 transcript:Potri.002G162600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162600.v4.1 MSRPQEPHRPFFPFGNPFRMLSSKGSQLSPRLLSLLNAFEDALAARLRKLNPKDKYDVLSLAWMKLAMESLCETHTDIKTLTTELDLPVTDWDEKWIDVYLDISVKLLDICVAFSSELLRLNQGHLMLQCALHNLESNTSEKLVRARSSIDSWRQHIGSKNPRLENCKSILDGLVDSLSLPKVKNSAKGKVLMQAMYGVKIHTVFVCSVLATVFSGNSKNLLDLDVANTTMWVQAFSDLQTNVNGGIRDIFSQRKFTALKELDEVDTAVKNLYPMIQDGTRPIEAEAFSNSFSDLGRRAEKLSQGLDFLTKVVDGFFKIVLSGRDALLCNLRVSDTVADPFPGSNGEQFVR >Potri.016G045700.1.v4.1 pep chromosome:Pop_tri_v4:16:2929170:2930031:-1 gene:Potri.016G045700.v4.1 transcript:Potri.016G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045700.v4.1 MDAANDNSSRTTTVQHITKKSSDVLLRKFAEMSGDDDDANEAKKLELARVLRRKSKRRRTRRDIEGGNSCESPSHYNSSSTLVERRSLLPPVTRKSALLRQLGIGRSQLKARDIKNKSILASIKKTWRKTLEGASKVLLEKHCSRHRRLINDLV >Potri.002G076100.2.v4.1 pep chromosome:Pop_tri_v4:2:5326471:5330244:-1 gene:Potri.002G076100.v4.1 transcript:Potri.002G076100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076100.v4.1 MRIRGMALQFTITGVLLLAAVTAATELPIAKPGCQDRCGNVSIPYPFGTGEDCYYDSKFLITCNHSFNPPQAFIGKSDLNFTEITLDGKLRLTQYIAKDCYNRAGAPTESNTPWINLPPQGPYVFSDTDNMFVAIGCDTYAELQGFREDKNDTYVVGCISKCSNEKYVPNTCSGIGCCQTSIAKGIKYFEVSLSSYTNHTGIWEFNPCSFAFIIEEKQFSFFPSNLSDLKEVSEVPIIVDWSIGHNNCETLEKNKMSNACQGQSKCHDPENGSGYICKCLDGFQGNPYLPNGCRNINECSDPKVARNCSHNCIDTEGNYTCSCPKGYHGDGRIDGERCIRNRSSVIQVAVGIAVGLTSLLMGITWLYWGYNKWKLMKLKEKFFRQNGGLMLEQQLSRREGPVTETAKIFSAAELEKATDKYHESRILGRGGFGTVYKGTLTDGRTVAIKKSKTIDHSQIEQFINEVVVLYQINHRNVVKLLGCCLETEVPLLVYEYVANGTLYDHIHDKSKVSAFTWEIRLKIASETAGVLSYLHSAASVPIIHRDVKSTNILLDNSYTAKVSDFGTSRLIPLDQVELSTMVQGTLGYLDPEYLHTSQLTDKSDVYSFGVVLVELLTGMKAISFDKPEGRGIYRRIFFVH >Potri.003G194550.1.v4.1 pep chromosome:Pop_tri_v4:3:19712988:19713910:-1 gene:Potri.003G194550.v4.1 transcript:Potri.003G194550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194550.v4.1 MNKSQFLLLLSFSVDVCVLNRLKSTDPPLWLAPSPALSETTCPASKHLSYSINPCPPKSLFDLLIDGFGAKQILHQIYLQSQPFLSNLCLQVLHFEKNPEEI >Potri.008G206000.2.v4.1 pep chromosome:Pop_tri_v4:8:15210984:15216886:1 gene:Potri.008G206000.v4.1 transcript:Potri.008G206000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206000.v4.1 MAMIGNLTAHQRWILMAVKGGASSLSFLGSSFIVLCYLLFKELRKFSFKLVFYLALSDMLCSFFSIVGDPSKGFFCYAQGYSTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLFLTVIRSIGNDHRHLGAWCLTPTGRTRKAVQFITFYAPLWGAILYNGFTYYQVIRMLNNATRMAVGMSDRAYQSDARADKKAMNRWGYYPLILIGSWAFGTINGIHDFIEPGHKIFWLSVLDVGTAALMGLSNSIAYGLNSSVRRAIYERLDQVWPESLRRWFPGSLKSRGQFQESELVSLRIEDQH >Potri.008G206000.4.v4.1 pep chromosome:Pop_tri_v4:8:15211015:15216929:1 gene:Potri.008G206000.v4.1 transcript:Potri.008G206000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206000.v4.1 MLCSFFSIVGYGFFLKNLFVLNFLSLFFDKYIYKCRDPSKGFFCYAQGYSTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLFLTVIRSIGNDHRHLGAWCLTPTGRTRKAVQFITFYAPLWGAILYNGFTYYQVIRMLNNATRMAVGMSDRAYQSDARADKKAMNRWGYYPLILIGSWAFGTINGIHDFIEPGHKIFWLSVLDVGTAALMGLSNSIAYGLNSSVRRAIYERLDQVWPESLRRWFPGSLKSRGQFQESELVSLRIEDQH >Potri.002G020500.3.v4.1 pep chromosome:Pop_tri_v4:2:1265960:1268611:-1 gene:Potri.002G020500.v4.1 transcript:Potri.002G020500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020500.v4.1 MFNFFLSGVVSTTFTLTNKCGYTVWPGILSNADAPALSTTGFSLQKGESKTITAPASWGGRLWGRTYCSQDSTGKFSCLTGDCGSGKLECSGTGAAPPATLAEFKIDGYGGMDYFDVSLVDGYNLPLLVVPQGGSGQNCTSTGCVVDLNGACPSELKVTSTGGESVACKSACEAFRSPQYCCSGAYGTPDTCKPSSYSEIFKNACPRAYSYAYDDKTSTFTCASADYQITFCPAPNTSQKASQEQNSENTNTNTNTPLINSTMVYEGALSQNGASPSMYGNVLGSHVTAGIVSFTVAIWQWRQLF >Potri.002G020500.4.v4.1 pep chromosome:Pop_tri_v4:2:1265952:1268611:-1 gene:Potri.002G020500.v4.1 transcript:Potri.002G020500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020500.v4.1 MSQRTLLIPLSSFFIAHLLISGVVSTTFTLTNKCGYTVWPGILSNADAPALSTTGFSLQKGESKTITAPASWGGRLWGRTYCSQDSTGKFSCLTGDCGSGKLECSGTGAAPPATLAEFKIDGYGGMDYFDVSLVDGYNLPLLVVPQGGSGQNCTSTGCVVDLNGACPSELKVTSTGGESVACKSACEAFRSPQYCCSGAYGTPDTCKPSSYSEIFKNACPRAYSYAYDDKTSTFTCASADYQITFCPAPNTR >Potri.002G020500.1.v4.1 pep chromosome:Pop_tri_v4:2:1266034:1270525:-1 gene:Potri.002G020500.v4.1 transcript:Potri.002G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020500.v4.1 MSQRTLLIPLSSFFIAHLLISGVVSTTFTLTNKCGYTVWPGILSNADAPALSTTGFSLQKGESKTITAPASWGGRLWGRTYCSQDSTGKFSCLTGDCGSGKLECSGTGAAPPATLAEFKIDGYGGMDYFDVSLVDGYNLPLLVVPQGGSGQNCTSTGCVVDLNGACPSELKVTSTGGESVACKSACEAFRSPQYCCSGAYGTPDTCKPSSYSEIFKNACPRAYSYAYDDKTSTFTCASADYQITFCPAPNTSQKASQEQNSENTNTNTNTPLINSTMVYEGALSQNGASPSMYGNVLGSHVTAGIVSFTVAIWQWRQLF >Potri.001G181701.4.v4.1 pep chromosome:Pop_tri_v4:1:16047716:16048731:-1 gene:Potri.001G181701.v4.1 transcript:Potri.001G181701.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181701.v4.1 MSLLPLMFRSLVRLISALMSQPTASVTTLLYYSNLLPRNLNLERMVRRELLDEENHLFHFLISFLRCL >Potri.001G181701.3.v4.1 pep chromosome:Pop_tri_v4:1:16048175:16048509:-1 gene:Potri.001G181701.v4.1 transcript:Potri.001G181701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181701.v4.1 MSLLPLMFRSLVRLISALMSQPTASVTTLLYYSNLLPRNLNLERMVRRELLDEENHLFHFLISFLRCLW >Potri.002G038900.1.v4.1 pep chromosome:Pop_tri_v4:2:2562546:2565321:-1 gene:Potri.002G038900.v4.1 transcript:Potri.002G038900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038900.v4.1 MAKEQLEVLNALDVAKTQWYHFTAIIIAGMGFFTDAYDLFCISLVTKLLGRIYYHVDGAAKPGSLPPNVAAAVNGVALVGTLAGQLFFGWLGDKLGRKRVYGLTLMLMVLCSVCSGLSLGRDAKAVMSTLCFFRFWLGFGIGGDYPLSATIMSEYASKKTRGAFIAAVFAMQGFGILAGGIFAIIMSSVFEAKYSAPAYQVDPIASTIPQADYLWRIIVMVGALPAALTYYWRMKMPETARYTALVAKNAKQAASDMSKVLQVDIEAETQKIEKLDGQPANSFGLFSSKFLRRHGLHLLGTASTWFLLDIAFYSQNLFQKDIFSAIGWIPPAKTMNAVQEVYRIARAQTLIALCSTVPGYWFTVAFIDKIGRFAIQLMGFFFMTVFMFAIAIPYKHWTHSENRIGFVVMYSFTFFFANFGPNATTFVVPAEIFPARLRSTCHGISAASGKLGAIVGAFGFLYLAQNQDQAKADAGYPAGIGVRNSLLVLGVVNLLGLLFTFLVPESKGKSLEEMSGENEVDEQ >Potri.006G210900.1.v4.1 pep chromosome:Pop_tri_v4:6:21808553:21814201:1 gene:Potri.006G210900.v4.1 transcript:Potri.006G210900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210900.v4.1 MPNHGQDQLDPNPQAPEPKARPVGATEYSWCRSVPLGTGITVLALLLSKQPDIHLLQTTLDKLQNSRPLLRTKLRFNSTTNTFSFITPPAPHVQIQPFDLPSTADIISNSDQNIDPYHIILEHELNKNSWSAYLDQSSDAETNVFFITLYTLSENRWAVVLRLHTSTCDRAAAVGLLRELLVLMGGENQGGITKEYENEVEVSLGIEDYIPSGKGNKPFWARGIDMLGYSLNSFRLSNLDFVDADSPRGSQVVRLQMNSDDTQKLLDGCMSRGIKLSGALAAAGLIAAQSTKDLPDHQMEKYAVVTLIDCRSILDPVLSSNHIGFYHSAMLNTHDVSGGVMLWDLAKRCYMAYTNAKNNNKHFTDMGDLNFLMCKAIENPGLTPSSSMRTAFISVFEDPVIDDTNEMHGKVGVEDYVGCSSVHGVGPSVAIFDTVRNGRLDCACVYPSPLHSRDQMQKLIDDMKRILVDGCGSVESES >Potri.008G084001.1.v4.1 pep chromosome:Pop_tri_v4:8:5254676:5255579:1 gene:Potri.008G084001.v4.1 transcript:Potri.008G084001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084001.v4.1 MLRHSTISCFMGIPLLTMKVMKLLKTLQTSTSITSFASDSSSPGSSSSRAAFAFARDLGRSTRSNLPPTRYTFVPSSNQPGPPPPNFTSGELLPVYQPSLVWNSSNPL >Potri.017G067000.1.v4.1 pep chromosome:Pop_tri_v4:17:6702734:6707201:1 gene:Potri.017G067000.v4.1 transcript:Potri.017G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067000.v4.1 MNNFRSYTSSHFRRLPKSLSIRRKVPWWDQIHDPGSEIVSKWNHIFLVACMIAMFLDPLYLYLPIIGGDACMKIDIALGVWVTFARTFTDLFFFLHIFMKFRTAFVAPSSRVFGRGELVMDPRAIAIRYLKSNFVVDLAAALPLPQIVIWFIITSVLKNPTAAHANHTVSLIVLIQYIPRFFVMIPLNRRIVKTTGVIAKTAWSGAAYNLLLYVLASHVLGAIWYLASIQRQHHCWNIQCKNERNRTPACISLFLDCSAKDNPARQAWLTTTNLLTNCDAQNDENFQFGMFAEAFTNHVAEASFIDKYFYCLWWGLRNLSSYGQNLMTSTYEGETLFSIGICIMGLVLFAHLIGNMQTYMQSASARLEEWRIRRRDTEEWMRHRQLPPELQERVRRFVQYKWLATRGVDEESILKSLPMDIRRQIQRHLCLALVRRVPFFAQMDDQLLDAICERLVSSLNTKDTFIVREGDPVNEMLFIIRGQLESSTTNGGRSGFFNSITLRAGDFCGEELLTWALMPTSRLNLPVSTRTVKALSEVEAFALRAVDLKFVAKQFKRLHSKKLQHAFRYYSHQWRTWGACYIQSAWRRYTRRKLQMELARQESLFYSQVMEGEVEYYYSDEGGDERPLVDHSNNGSHLGATMLASKFAANTRRGVGHQKLPRPDDSTLEMPKFFKPEEPDFYAEHEDS >Potri.008G126800.7.v4.1 pep chromosome:Pop_tri_v4:8:8259612:8262322:-1 gene:Potri.008G126800.v4.1 transcript:Potri.008G126800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126800.v4.1 MDCKKFMQLVEEKKKRALERKEAPLKWEQKLEAAVKAKADAEAKVRKQKAAKHKRRSVSNSDTDSDSDISDGRKSRKRTHKKHRKHFHSDSGENEKRREKKPKHKSRRQSLVSSDEFQSDSEEERRKRKHRRHRHHRNSRSDSSDNDSSSHDDEAVKRRSRSKHHKRRRQSESSASDSSSDEDNGAIRRRGHSKHHKCHHRRSESYDSGSSGDEHWTSHAKHNKRCQRSHSMDSRSSDSDGLGHDRSRSLGKSSDDNVEEADKLSRHKKSGHHRHNHDKPKHHHSDDEKNHHLHRKDEDHSHQHAEENNGNHAGQADTMVV >Potri.008G126800.6.v4.1 pep chromosome:Pop_tri_v4:8:8259692:8262281:-1 gene:Potri.008G126800.v4.1 transcript:Potri.008G126800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126800.v4.1 MDCKKFMQLVEEKKKRALERKEAPLKWEQKLEAAVKAKADAEAKVRKQKAAKHKRRSVSNSDTDSDSDISDGRKSRKRTHKKHRKHFHSDSGENEKRREKKPKHKSRRQSLVSSDEFQSDSEEERRKRKHRRHRHHRNSRSDSSDNDSSSHDDEAVKRRSRSKHHKRRRQSESSASDSSSDEDNGAIRRRGHSKHHKCHHRRSESYDSGSSGDEHWTSHAKHNKRCQRSHSMDSRSSDSDGLGHDRSRSLGKSSDDNVEEADKLSRHKKSGHHRHNHDKPKHHHSDDEKNHHLHRKDEDHSHQHAEENNGNHAGQADTMVV >Potri.008G126800.8.v4.1 pep chromosome:Pop_tri_v4:8:8259584:8262281:-1 gene:Potri.008G126800.v4.1 transcript:Potri.008G126800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126800.v4.1 MDCKKFMQLVEEKKKRALERKEAPLKWEQKLEAAVKAKADAEAKVRKQKAAKHKRRSVSNSDTDSDSDISDGRKSRKRTHKKHRKHFHSDSGENEKRREKKPKHKSRRQSLVSSDEFQSDSEEERRKRKHRRHRHHRNSRSDSSDNDSSSHDDEAVKRRSRSKHHKRRRQSESSASDSSSDEDNGAIRRRGHSKHHKCHHRRSESYDSGSSGDEHWTSHAKHNKRCQRSHSMDSRSSDSDGLGHDRSRSLGKSSDDNVEEADKLSRHKKSGHHRHNHDKPKHHHSDDEKNHHLHRKDEDHSHQHAEENNGNHAGQADTMVV >Potri.004G165200.1.v4.1 pep chromosome:Pop_tri_v4:4:18440978:18441518:-1 gene:Potri.004G165200.v4.1 transcript:Potri.004G165200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G165200.v4.1 MARHFHAILAKQILCRSVWITNKSASRSSDVPKGFLAVYVGEMDKKRFVVPVSYLNEPSFQDLLSKAEEEFGFNHPMGGLTIPCREDTFIDILSSLSRS >Potri.017G055100.2.v4.1 pep chromosome:Pop_tri_v4:17:4247734:4252811:1 gene:Potri.017G055100.v4.1 transcript:Potri.017G055100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055100.v4.1 MPCQEIKSWAFDELVGAYLDLAIAYFLLCASTFAFFAEKFLGLFRLCLPCPCNGLFGDHNRNKCWRRVLADRPSENISSVQFSVKSRFPFDSMWDKHLNFESSVGTINEVNCGSDNAGLEGEAWCGSLRERKSGKGVERSVVNVRDVKEGKFDVKERGFSIQKGRYLRRRRKVAADKGLFSSVSSYDHSQSNSRTHPQSPASVNKLMNKHHEGDMVPASSGADALHFEDSKESSVDTGFVGTVSNDFESNEPLGENKPMEKAAPLGDDLKCKAQGEPCFDGEEKHGIRVLEQASEEEHAAFSALYLELEKERSAAASAADEAMAMILRLQEDKALIEMEARQYHRMIEEKSAYDLEEMNILKEILLRREREKHFLEKEVETYRQVIFGNEEWESDVQDIGTTHEQMASSQYSREDPFLVLQRISESIDEKEKGEESNKFLRSKVQSIESQSCALAFGKELPIPELDEVESLKGRCIHRHPGIDKLRRHLSMDNDGTQEEFEEKELLSPDNSLFDQLREPQIMESCSQFDLSTRGCNLNEKTISTSVEAQQQSDQSDCINAGHGLASKTTETCDQTKIIFPYNCDDSEKHARDSSDAEFDLGSLVHDVHVIDDKTNLSCGINENGSEKLSVSAASDIPRTCGSPRISWAEQDVRKSCSDMTNGLPPLGSSKGKFLTSDLRRNSMSAVDYERFKIDSEVGWLRERLRIIQVGREQLNISMENREKKKVQLQLLENTVSQLREIQQSTEHGKAVRQASLPPLSYKVMSKKRQWRSASLGVHRST >Potri.017G055100.3.v4.1 pep chromosome:Pop_tri_v4:17:4247734:4252636:1 gene:Potri.017G055100.v4.1 transcript:Potri.017G055100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055100.v4.1 MPCQEIKSWAFDELVGAYLDLAIAYFLLCASTFAFFAEKFLGLFRLCLPCPCNGLFGDHNRNKCWRRVLADRPSENISSVQFSVKSRFPFDSMWDKHLNFESSVGTINEVNCGSDNAGLEGEAWCGSLRERKSGKGVERSVVNVRDVKEGKFDVKERGFSIQKGRYLRRRRKVAADKGLFSSVSSYDHSQSNSRTHPQSPASVNKLMNKHHEGDMVPASSGADALHFEDSKESSVDTGFVGTVSNDFESNEPLGENKPMEKAAPLGDDLKCKAQGEPCFDGEEKHGIRVLEQASEEEHAAFSALYLELEKERSAAASAADEAMAMILRLQEDKALIEMEARQYHRMIEEKSAYDLEEMNILKEILLRREREKHFLEKEVETYRQVIFGNEEWESDVQDIGTTHEQMASSQYSREDPFLVLQRISESIDEKEKGEESNKFLRSKVQSIESQSCALAFGKELPIPELDEVESLKGRCIHRHPGIDKLRRHLSMDNDGTQEEFEEKELLSPDNSLFDQLREPQIMESCSQFDLSTRGCNLNEKTISTSVEAQQQSDQSDCINAGHGLASKTTETCDQTKIIFPYNCDDSEKHARDSSDAEFDLGSLVHDVHVIDDKTNLSCGINENGSEKLSVSAASDIPRTCGSPRISWAEQDVRKSCSDMTNGLPPLGSSKGKFLTSDLRRNSMSAVDYERFKIDSEVGWLRERLRIIQVGREQLNISMENREKKKVQLQLLENTVSQLREIQQSTEHGKAVRQASLPPLSYKVMSKKRQWRSASLGVHRST >Potri.017G055100.1.v4.1 pep chromosome:Pop_tri_v4:17:4247792:4252810:1 gene:Potri.017G055100.v4.1 transcript:Potri.017G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055100.v4.1 MPCQEIKSWAFDELVGAYLDLAIAYFLLCASTFAFFAEKFLGLFRLCLPCPCNGLFGDHNRNKCWRRVLADRPSENISSVQFSVKSRFPFDSMWDKHLNFESSVGTINEVNCGSDNAGLEGEAWCGSLRERKSGKGVERSVVNVRDVKEGKFDVKERGFSIQKGRYLRRRRKVAADKGLFSSVSSYDHSQSNSRTHPQSPASVNKLMNKHHEGDMVPASSGADALHFEDSKESSVDTGFVGTVSNDFESNEPLGENKPMEKAAPLGDDLKCKAQGEPCFDGEEKHGIRVLEQASEEEHAAFSALYLELEKERSAAASAADEAMAMILRLQEDKALIEMEARQYHRMIEEKSAYDLEEMNILKEILLRREREKHFLEKEVETYRQVIFGNEEWESDVQDIGTTHEQMASSQYSREDPFLVLQRISESIDEKEKGEESNKFLRSKVQSIESQSCALAFGKELPIPELDEVESLKGRCIHRHPGIDKLRRHLSMDNDGTQEEFEEKELLSPDNSLFDQLREPQIMESCSQFDLSTRGCNLNEKTISTSVEAQQQSDQSDCINAGHGLASKTTETCDQTKIIFPYNCDDSEKHARDSSDAEFDLGSLVHDVHVIDDKTNLSCGINENGSEKLSVSAASDIPRTCGSPRISWAEQDVRKSCSDMTNGLPPLGSSKGKFLTSDLRRNSMSAVDYERFKIDSEVGWLRERLRIIQVGREQLNISMENREKKKVQLQLLENTVSQLREIQQSTEHGKAVRQASLPPLSYKVMSKKRQWRSASLGVHRST >Potri.009G014400.1.v4.1 pep chromosome:Pop_tri_v4:9:2496266:2504891:1 gene:Potri.009G014400.v4.1 transcript:Potri.009G014400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014400.v4.1 MSSSDSNSSSVDDPNPNPKPLDYQFETLNLEQGSGSTIIQNDVDEEGRQQDQGSSLNGSLNVNSNNNEQDDRIGLVRSVVLRRTNSEVEVDVNGPSSPSSSGYAGERGSSGVSEDDEIEEVAIDSALHEVFDSQAAWLPGKRHVDEDDASISWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEQPYESLRGELELIYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCLPLAYGTRQAAGAILHDVADSGVLFAILMCKHKVVSLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPMAFLYAYVRYLDVDTYLMLLTTSSDAFHHLKDCRIRIEMVLLKSNVLSEVQRSMLDGGMHVEDLPADPLSRPGSASPHFGEHQEPTDSPRRFREPFAGIGGPAGLWHFIYRSIYLEQYISSEFSAPINSPQQQKRLYRAYQKLYASMHDKGNGAHKTQFRRDENYVLLCWVTPDFELYATFDPLADKGLAIKTCNRVCQWVKDVENEIFLLGASPFSW >Potri.009G014400.2.v4.1 pep chromosome:Pop_tri_v4:9:2496385:2505661:1 gene:Potri.009G014400.v4.1 transcript:Potri.009G014400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G014400.v4.1 MSSSDSNSSSVDDPNPNPKPLDYQFETLNLEQGSGSTIIQNDVDEEGRQQDQGSSLNGSLNVNSNNNEQDDRIGLVRSVVLRRTNSEVEVDVNGPSSPSSSGYAGERGSSGVSEDDEIEEVAIDSALHEVFDSQAAWLPGKRHVDEDDASISWRKRKKHFFILSHSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVKLVRAGKHQVVFLVKGPIYLVCISCTEQPYESLRGELELIYGQMILILTKSVNRCFEKNPKFDMTPLLGGTDVVFSSLIHSFSWNPATFLHAYTCLPLAYGTRQAAGAILHDVADSGVLFAILMCKHKVVSLVGAQKASLHPDDMLLLSNFIMSSESFRTSESFSPICLPRYNPMAFLYAYVRYLDVDTYLMLLTTSSDAFHHLKDCRIRIEMVLLKSNVLSEVQRSMLDGGMHVEDLPADPLSRPGSASPHFGEHQEPTDSPRRFREPFAGIGGPAGLWHFIYRSIYLEQYISSEFSAPINSPQQQKRLYRAYQKLYASMHDKGNGAHKTQFRRDENYVLLCWVTPDFELYATFDPLADKGLAIKTCNRVCQWVKDVENEIFLLGASPFSW >Potri.009G118500.5.v4.1 pep chromosome:Pop_tri_v4:9:9949980:9952312:-1 gene:Potri.009G118500.v4.1 transcript:Potri.009G118500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118500.v4.1 MEGVGAEGASAASPVAQWGNDVWRMYQYYLDKSTPHTVHRWIGTFVVMAIYCLRVYYVQGFYIIAYGLGIYILNLLIGFLSPLVDPEIDPSDGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFVIAFVMTFFSMFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKFKYIPFNVGKQKYGGKKSSAGSSGSRGD >Potri.009G118500.3.v4.1 pep chromosome:Pop_tri_v4:9:9949695:9952643:-1 gene:Potri.009G118500.v4.1 transcript:Potri.009G118500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118500.v4.1 MEGVGAEGASAASPVAQWGNDVWRMYQYYLDKSTPHTVHRWIGTFVVMAIYCLRVYYVQGFYIIAYGLGIYILNLLIGFLSPLVDPEIDPSDGPLLPTKGSDEFKPFIRRLPEFKFWYSFTKAFVIAFVMTFFSMFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKFKYIPFNVGKQKYGGKKSSAGSSGSRGD >Potri.003G209100.1.v4.1 pep chromosome:Pop_tri_v4:3:20784963:20786888:1 gene:Potri.003G209100.v4.1 transcript:Potri.003G209100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G209100.v4.1 MNVAGTSRMQMDSGDHVSLDIAKLAESVEGKLKTLRSFSDQCSIYRVPERLRELNGKAYTPRVISIGPLHYGKEELIEMEEHKRLYLREFLELSKVRVRDFIAAIAESETRLRSCYAETFDKLSKEEFVEMVLLDCSFLIMFFLKAFSPVIQSRYIDRIFNKPWMLDEISIDLCLLENQLPFFIVEDLFNLSKLQHHCEEYSMIKISYAFLLAAWQSWVSEEILEKINLLKVEHFVDFLRICQQPAQETQPKKLATITTPSVAELHRAGIKFKLGSSINPLLIKFDDNKGTLEIPQLKIGDHAEILFRNLQAFEQCNYDANKYVCNYITMLSLLVPDAKDVEILVKEGIIENWLHDNDAVSRLFRNLSKENVINVNNFYFSGVVEDLNKYYSKRVHKWKAALKQKYFRNPWTIISVVAAAVIVILTIIQTVCSIIQVV >Potri.010G202800.1.v4.1 pep chromosome:Pop_tri_v4:10:19492735:19502825:1 gene:Potri.010G202800.v4.1 transcript:Potri.010G202800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202800.v4.1 MFTQFGATADTLSKASTLMFRFGTDAHLYDDPEDVNIAPLLDSKFDSEKCEALKRLLALIAQGFDVSNFFPQVVKNVASQSLEVKKLVYLYLLHYAEKRPNEALLSINSFQRDLGDTNPLVRAWALRTMAGIRLHVIAPLVLVAVGKCAKDMAVYVRKCAANALPKLHDLYLEENSSTIEEIVGTLLSDSSPGVVGAAAAAFTSVCPNNYSLIGRRYRRLCEILPDVEEWGQIVLIGILLRYAIARHGLVKESIMFSLHGRERSHSEKDDSDDDFAFKNDVSGTSGKYDSDLARTISRCYIEGPDEYLSRSSYANRISFEFNEAKFTSGRSNDEVKILLQGTSPLLWSNNSAVVVAAAGVHWIMAPTEEVKRIVKPLLFLLRSSNTSKYVVLCNIQVFAKAMPSLFSPYFEDFFVVSSDSYQIKALKLEILCSIATDSSISSIFKEFQDYIRDPDRRFSADAVAAIGLCAKQIPNMASTCLEGLLALAKQDLSTCDPGSANGEAGILIQAIMSIKSIITQDPPTHEKVVIQLVRSLDSIEVPAARATIIWMIGEYRNLGEIIPRMLTIVLKYLAWSFTSEALETKLQILNTTVKVLSGAKGEEMQTFKKLGSYVIELAECDLNYDVRDRARFLKKLLPCSLDCGELELDTNCPPKKQDLPQVLAECMFQGQARQLSPESMDYRVYLPGSLSQIVLHAAPGYEPLPKPCSVLDHELDTNVIRGVDTLGEGADGTDSLSGSSYEESASDYSSERSMTVSSGDGGSDETSSTSEVDNTDPLIQLSDTGDANQNQNGAPQSASTDLEELMSKRSLETWLDAQPGLSILSTSEQSQLRKSSARISIRDISSRVKPKSYRLLDPANGNGLKVDYSFSPEISSISPLLVSVEVSFENCTDETISEVALVDEESSKASDSSESSPSHKDVPTLVPMEEIASLEPGQTVKKIVYVCFHHHLLPLKLALYCNGKKLSVKLRPDIGYFVKPLPMDVEAFTNKESRLPGMFEYTRSFTFIDHIGELNKESGDNALVKDNFLLVCESLALKMLSNANFSLVSVDMPISAKHDDVSGLCLRFSGEILSNSMPCLITITAEGKCSEPLNVLVKVNCEETVFGLNLLNRIVNFLS >Potri.012G107400.1.v4.1 pep chromosome:Pop_tri_v4:12:12902561:12906136:-1 gene:Potri.012G107400.v4.1 transcript:Potri.012G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107400.v4.1 MSLIAGSYEKFIWGFKLKTLKHEPSSQNLTLTPLFSYQSHLAHITSAAAAGPAAASGSADDTIHLYDLPSASSLGSLHQHTSSVTSVTFFTPPSLSFPRNLLSASADGSVCIFDTDPFVHLKTVLVHKKAVNDLSVHPSGKLALTVGRDECLAMLNLVRGRRSFYCRLGKEASLVKFDLGGERFFMVTEEKVGVHEAEDAKLVTEFECQKRVLSAAPGENGLLFTGGEDRSITAWDVNSGKVAYCIDDAHSARVKGIVVLMRNDSTVDDPYLLASASSDGVIRVWDVRMSMKEKPNPLAEANTKSRLTCLAGSSHNLKRPQMGASCLKEDPDAAEGE >Potri.008G083100.1.v4.1 pep chromosome:Pop_tri_v4:8:5201995:5204177:-1 gene:Potri.008G083100.v4.1 transcript:Potri.008G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083100.v4.1 MAHLQACFLNIFKASSLLILLYYPTIVTCECTCEVGDLEHSKGEALKFKLGSILSILVAGAIGVSLPLLGKKIKAFRPENDVFFMIKAFAAGVILATGFIHILPDAFESLTSPCLNQDLWGHFPFTGFVAMMSAIGTLMVDSFATGFYKRMHFNKNKQVDTTDEETAGQEHEGHVHVHTHATHGHAHGSASLNEDLALSEMVRRRVISQVLELGIVVHSIIIGISLGASGSPETIKPLMAALSFHQFFEGLGLGGCITQAQFKSASMAIMATLFSLTTPLGIAIGIGISNIYDERSPTALTVEGIFNAASAGILIYMALVDLLASDFMSPRMQGNLRIQLGANVSLLLGAGCMTFMVKWA >Potri.015G063600.8.v4.1 pep chromosome:Pop_tri_v4:15:8926250:8932356:-1 gene:Potri.015G063600.v4.1 transcript:Potri.015G063600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063600.v4.1 MGRKSPAKWIKTVLFGKKSSKSFTVKGRERPANEKETLVAVRAVEADVTSVPPVVMQTTTPTSTNIIERMLEPESRETTELSHDGGILSTGNQDANYSQVYTLDDAPSSAEKIRLDEAATVAQAAFKGYLARRAYRALKGIIRLQALIRGHLVRRQAVATLCCVLGVVKLQALVRGTVVRNSEIGNEVHKICSLVKPPKGTLADSNGVVIQTAKLSSNAFVRKLLASSPTVMPLQLPYDSVEPNSVANWLECWTASRFWKPVPQAKKIPYSKTQRKHSNGQIVEAETGRPKRSVRRVPAANLDSTSVQATSEFEKPKRNQRKVSSHPADSVQESPQIELEKVKRNLRKVNNLVVENSAHSEVEIEKPKQTLEKVSGTSGDNVLGWSSSNSAEKMKKETTMTTPNVLDVAKNEPNLMSTLPDAETAEEPLETIKALESSHEDQAVVESKASVDTGGKGENTPQLNGQSKHKDDPTINENHKTAKKASVAVKPERAENGIQSSPSLPSYMAATESAKAKLRAQGSPRFSQDGVEKNNVTRRHSLPSSTNSKISSESPRTQRAVHGSGKGGNKSDRSLLSSRDGNVKGTQPEWRR >Potri.015G063600.9.v4.1 pep chromosome:Pop_tri_v4:15:8926201:8932346:-1 gene:Potri.015G063600.v4.1 transcript:Potri.015G063600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063600.v4.1 MGRKSPAKWIKTVLFGKKSSKSFTVKGRERPANEKETLVAVRAVEADVTSVPPVVMQTTTPTSTNIIERMLEPESRETTELSHDGGILSTGNQDANYSQVYTLDDAPSSAEKIRLDEAATVAQAAFKGYLARRAYRALKGIIRLQALIRGHLVRRQAVATLCCVLGVVKLQALVRGTVVRNSEIGNEVHKICSLVKPPKGTLADSNGVVIQTAKLSSNAFVRKLLASSPTVMPLQLPYDSVEPNSVANWLECWTASRFWKPVPQAKKIPYSKTQRKHSNGQIVEAETGRPKRSVRRVPAANLDSTSVQATSEFEKPKRNQRKVSSHPADSVQESPQIELEKVKRNLRKVNNLVVENSAHSEVEIEKPKQTLEKVSGTSGDNVLGWSSSNSAEKMKKETTMTTPNVLDVAKNEPNLMSTLPDAETAEEPLETIKALESSHEDQAVVESKASVDTGGKGENTPQLNGQSKHKDDPTINENHKTAKKASVAVKPERAENGIQSSPSLPSYMAATESAKAKLRAQGSPRFSQDGVEKNNVTRRHSLPSSTNSKISSESPRTQRAVHGSGKGGNKSDRSLLSSRDGNVKGTQPEWRR >Potri.015G063600.1.v4.1 pep chromosome:Pop_tri_v4:15:8926248:8932354:-1 gene:Potri.015G063600.v4.1 transcript:Potri.015G063600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063600.v4.1 MGRKSPAKWIKTVLFGKKSSKSFTVKGRERPANEKETLVAVRAVEADVTSVPPVVMQTTTPTSTNIIERMLEPESRETTELSHDGGILSTGNQDANYSQVYTLDDAPSSAEKIRLDEAATVAQAAFKGYLARRAYRALKGIIRLQALIRGHLVRRQAVATLCCVLGVVKLQALVRGTVVRNSEIGNEVHKICSLVKPPKGTLADSNGVVIQTAKLSSNAFVRKLLASSPTVMPLQLPYDSVEPNSVANWLECWTASRFWKPVPQAKKIPYSKTQRKHSNGQIVEAETGRPKRSVRRVPAANLDSTSVQATSEFEKPKRNQRKVSSHPADSVQESPQIELEKVKRNLRKVNNLVVENSAHSEVEIEKPKQTLEKVSGTSGDNVLGWSSSNSAEKMKKETTMTTPNVLDVAKNEPNLMSTLPDAETAEEPLETIKALESSHEDQAVVESKASVDTGGKGENTPQLNGQSKHKDDPTINENHKTAKKASVAVKPERAENGIQSSPSLPSYMAATESAKAKLRAQGSPRFSQDGVEKNNVTRRHSLPSSTNSKISSESPRTQRAVHGSGKGGNKSDRSLLSSRDGNVKGTQPEWRR >Potri.015G063600.7.v4.1 pep chromosome:Pop_tri_v4:15:8926034:8932356:-1 gene:Potri.015G063600.v4.1 transcript:Potri.015G063600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063600.v4.1 MGRKSPAKWIKTVLFGKKSSKSFTVKGRERPANEKETLVAVRAVEADVTSVPPVVMQTTTPTSTNIIERMLEPESRETTELSHDGGILSTGNQDANYSQVYTLDDAPSSAEKIRLDEAATVAQAAFKGYLARRAYRALKGIIRLQALIRGHLVRRQAVATLCCVLGVVKLQALVRGTVVRNSEIGNEVHKICSLVKPPKGTLADSNGVVIQTAKLSSNAFVRKLLASSPTVMPLQLPYDSVEPNSVANWLECWTASRFWKPVPQAKKIPYSKTQRKHSNGQIVEAETGRPKRSVRRVPAANLDSTSVQATSEFEKPKRNQRKVSSHPADSVQESPQIELEKVKRNLRKVNNLVVENSAHSEVEIEKPKQTLEKVSGTSGDNVLGWSSSNSAEKMKKETTMTTPNVLDVAKNEPNLMSTLPDAETAEEPLETIKALESSHEDQAVVESKASVDTGGKGENTPQLNGQSKHKDDPTINENHKTAKKASVAVKPERAENGIQSSPSLPSYMAATESAKAKLRAQGSPRFSQDGVEKNNVTRRHSLPSSTNSKISSESPRTQRAVHGSGKGGNKSDRSLLSSRDGNVKGTQPEWRR >Potri.015G063600.10.v4.1 pep chromosome:Pop_tri_v4:15:8926200:8932344:-1 gene:Potri.015G063600.v4.1 transcript:Potri.015G063600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063600.v4.1 MGRKSPAKWIKTVLFGKKSSKSFTVKGRERPANEKETLVAVRAVEADVTSVPPVVMQTTTPTSTNIIERMLEPESRETTELSHDGGILSTGNQDANYSQVYTLDDAPSSAEKIRLDEAATVAQAAFKGYLARRAYRALKGIIRLQALIRGHLVRRQAVATLCCVLGVVKLQALVRGTVVRNSEIGNEVHKICSLVKPPKGTLADSNGVVIQTAKLSSNAFVRKLLASSPTVMPLQLPYDSVEPNSVANWLECWTASRFWKPVPQAKKIPYSKTQRKHSNGQIVEAETGRPKRSVRRVPAANLDSTSVQATSEFEKPKRNQRKVSSHPADSVQESPQIELEKVKRNLRKVNNLVVENSAHSEVEIEKPKQTLEKVSGTSGDNVLGWSSSNSAEKMKKETTMTTPNVLDVAKNEPNLMSTLPDAETAEEPLETIKALESSHEDQAVVESKASVDTGGKGENTPQLNGQSKHKDDPTINENHKTAKKASVAVKPERAENGIQSSPSLPSYMAATESAKAKLRAQGSPRFSQDGVEKNNVTRRHSLPSSTNSKISSESPRTQRAVHGSGKGGNKSDRSLLSSRDGNVKGTQPEWRR >Potri.005G108800.1.v4.1 pep chromosome:Pop_tri_v4:5:7883680:7890394:-1 gene:Potri.005G108800.v4.1 transcript:Potri.005G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108800.v4.1 MGSKKKSKSKNEMIDDPRFASVHTDPRFQRVPKRESKVTIDSRFNRMFTDKNFGTSSAPVDKRGRPKRQKTDSSLRHYYRIEEEDTKDAKKKRKDEVLSSEEEEEEGLKKRKRKEEVLSSEEEDEEEESGSDELEDLETESDDDVGGSEEEETSSSSSSSSDEEEEDMENVEVENVPVIEEGTRRLAVVNMDWRHVRAVDLFVVLRSFLPKGGQILSVSVYPSEFGLQRMKEEEVRGPVGLFDDENEKSDEDDDDNDEIDYDKLRAYEKSRLRYYYAVVECDSVATADYLYKSCDGVEFERSSNVLDLRFIPDSMDFKHPPRDVATEAPLGYEGLDFHTKALQHSNIPVSWDEDEPQRVKTLKQKFNADQLAELELKEFLASDESETDNDEADNDMEDESDKKHKKRDKYRALIQSGDGSDEDQEEGQDMEVTFNTGLEDLSKRILEKKDKKSETVWEAYLRKRREKKKARKNQSKYSSEEDSSDTDEEGMEGIEQPDDFFIEEPSVKKVNSKDYQGKKNKEEKQLQDTDREAEASKAELELLLADDKGGKKGLKGYNLKPKKAKGKKGKEVPDEDKIPTSNLDDPRFSALFTSPLFALDPTDPQFKRSAVYARQLALKPQKSGEQQLVEGENEKQAEKAQLLSDDPAMDRNEYMISDGLPERKEKYELSTLVRSIKMKSKQVQSSSNTKDKQLQPKGLKETEKPELSTLVQSAKKAKVLKK >Potri.009G089000.1.v4.1 pep chromosome:Pop_tri_v4:9:8221672:8223367:-1 gene:Potri.009G089000.v4.1 transcript:Potri.009G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089000.v4.1 MESIGSMAEGEWSSLGGMYTSEEADFMAQLLGNCPNQVDSSSNFGVPSSFWPNHEPTTDMEGANECLFYSLDFANINLHHFSQGSSSYSGGSGILFPNTSQDSYYMSDSHPILANNNSSMSMDFCMGDSYLVEGDDCSNQEMSNSNEEPGGNQTVAALPENDFRAKREPEMPASELPLEDKSSNPPQISKKRSRNSGDAQKNKRNASSKKSQKVASTSNNDEGSNAGLNGPASSGCCSEDESNASHELNRGASSSLSSKGTATLNSSGKTRASRGAATDPQSLYARKRRERINERLRILQTLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSEDLWMYAPIAYNGMDIGLDHLKVTAP >Potri.001G138000.1.v4.1 pep chromosome:Pop_tri_v4:1:11282966:11283460:1 gene:Potri.001G138000.v4.1 transcript:Potri.001G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138000.v4.1 MSNKKPAVKLDDEQIAELREIFRSFDRNNDGSLTQLELGSLLRSLGLKPRPDQLETLIQKADTNSNGLIEFSEFVALVAPELLPEKSPYSEEQLKHLFKMFDRDGNGFITAAELAHSMAKLGHALTAEELTGMIKEADTDGDGRISFEEFSQAITSAAFDNSWV >Potri.001G290000.1.v4.1 pep chromosome:Pop_tri_v4:1:30238182:30240169:-1 gene:Potri.001G290000.v4.1 transcript:Potri.001G290000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290000.v4.1 MASSTSKQLREYLQEQQKPFVLDVYLSERQIMLNKKQKRPCGYDLNKRRIMQARKILKLLLLKFTRSGQNQSVSSCQKAQKHEPVLKTVGKPQQIGEMKWLPKVGSSVADREYFRCNVKDPPCCENHASLHPKTSQALTHSSVKQKAAADINLQWECKEENELLSPVSVQKELPSINKAKQEEEEDASASSTVLSTNVEEDSLLSAFLCDLLIKSLIEKKSVAGFTELQEMIVPAFSQHLKNRRVLWQNMQLLFDCVNEAIEVYRRKNRKKQYAQELIGLKELGKIICDQICSCGKQNADEQINIDVTSIVEDGYYLQQLNRRIGIEIGDAILNEIIQEVIDLSFQ >Potri.018G012900.2.v4.1 pep chromosome:Pop_tri_v4:18:843875:850723:-1 gene:Potri.018G012900.v4.1 transcript:Potri.018G012900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012900.v4.1 MIRKKYPSKPALSFFSLLYLKIFFFEMGMAKNSTSIIPVNVGVLLDLDNDLDGKTGLSCINMSLSDFYDTHGDYKTRLVLVTRDSENDVAGAAAAALDLIKNVEVQAIIGPTTSMQANFVIELGEKARVPVISFSASSPSLTSIRSPFFFRATQNDSTQVNAISALVQAFGWREAVPIYIDNEYGQGVIPYLTDALQAVDARVPYRSVISPSATDDQIVSELYKLMTMQTRVFIVHMFPSLGARVFAKAKEIGMVSEGYVWIMTDGLTAEFLSSPNASVTNTMQGALGVKPYVPRTEDLETFRIRWKRKFLQDNPDIVDAELNIFGLWAYDAATALALAVEKAGTANLGFQKANVSSNSSTDLATLGASLNGPNLVQALSNITFKGLTGDYLFDNGQLQSSAFQIINVNGNGGREIGFWTSTKGIVKTLNSTNNMTAYSGSNSDLSTVIWPGDTTSVPKGWEIPTNGKKLRIGVPVKDGFSEFVKVKRDPSSNTKTVTGYSIDVFDSVVKALPYALPYEYIPFAKPDGEPAGTYNDLIYQVYLKNFDAVVGDTTIVFNRSQYVDFTLPYTESGVSMIVPIVDNNSKNAWVFLRPLTWDLWVTSFCFFIFIGFVIWVLEHRINEDFRGPASHQAGTSFWFSFSTMVFAQREIVVSNLSRAVIIIWCFVVLILTQSYTASLTSLLTVQQLRPTVTDVHELIKKGEYVGYQEGSFVLGILLDLGFDKSKLIVYNSTEQCDDLLSKGSVNGGIAAAFDEVPYMRLFLSKYCSKYAMIDPTFKTDGFGFAFPKGSPLVPDVSRAVLNMTEGDKMKEIENAWFGKQSNCPDSSTSVTSNSLSLKSFWGLFLIAGVASLLALIIFMFMFVYKERKKLRPLNSRISIRRKVGNFFRIFIQRDLKSHTFRKSGLSDRNGTSLPSIGPSAYSVQTTYFPGDGDQSSTEFVDSSPHSQTSQEVVINIDQLTSPNQERLAAFEVEHDHN >Potri.012G037200.3.v4.1 pep chromosome:Pop_tri_v4:12:3341243:3345733:1 gene:Potri.012G037200.v4.1 transcript:Potri.012G037200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037200.v4.1 MASQTALQRSETITESMPEALRQSRYHMKKCFSRFVAPGKRLMKRQHLMDEVDESIQDKNERQKVLEGLLGYILSCTQEAAVIPPFVAFAVRPNPGFWEYVKVNAEDLSVEGISVSEYLQLKEMVFDEKWANNENALELDFGAMDFSTPRLTLSSSIGNGVNYMSKFMSSKLSGSSEAAKPLLDYLLALNHQGENLMINQTLDTVAKLQEALIVAEVVVSAFPKDTPYQDFQQRLRELGFETGWGDTAERVKETMRLLSESLQAPYPMKLQLLFSRIPNMFNIVIFSPHGYFGQSDVLGLPDTGGQVVYILDQVRALEEELLLKIKHQGLGVKPRILVVTRLIPNAGGTKCNQEVEPIFGTQHSHIVRVPFKTEKGVLPQWVSRFDVYPYLERFSQDAADKVLEHMDSKPDLIIGNYSDGNLVASLMARKLSITLGTIAHALEKTKYEDSDVKWKELDAKYHFSCQFTADMIAMNSADFIITSTYQEIAGSNVRPGQYESHTAFTMPGLCRVVSGINVFDPKFNIASPGADQSVYFPYTEKQKRLTSFHPAIEELLYSNEDNHEHIGYLADRKKPIIFSMARLDTVKNITGLTEWFGKNTKLRNLVNLVVVAGFFDPSKSNDREEIAEIKKMHALIEKYQLKSQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEILVDGISGFHIDPNNGDESSNKIADFFEKCKTDAEYWNKMSAAGLQRIYECYTWKIYANKVLNMGSVYGFWRQTNKEQKLAKQRYIEAFYNLQFNNLAKNVPIPEFASSTQTSSTSKTKPQETAPTAVVESQHSLPTQEAKPKVEEAPVLMPKTQLTQRTQPQQPQSQRNGEESVGQKDLAQPGSSRQSSRRWLLYMIASLLIVYFIGSTLYSYLT >Potri.012G037200.1.v4.1 pep chromosome:Pop_tri_v4:12:3341106:3345812:1 gene:Potri.012G037200.v4.1 transcript:Potri.012G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037200.v4.1 MASQTALQRSETITESMPEALRQSRYHMKKCFSRFVAPGKRLMKRQHLMDEVDESIQDKNERQKVLEGLLGYILSCTQEAAVIPPFVAFAVRPNPGFWEYVKVNAEDLSVEGISVSEYLQLKEMVFDEKWANNENALELDFGAMDFSTPRLTLSSSIGNGVNYMSKFMSSKLSGSSEAAKPLLDYLLALNHQGENLMINQTLDTVAKLQEALIVAEVVVSAFPKDTPYQDFQQRLRELGFETGWGDTAERVKETMRLLSESLQAPYPMKLQLLFSRIPNMFNIVIFSPHGYFGQSDVLGLPDTGGQVVYILDQVRALEEELLLKIKHQGLGVKPRILVVTRLIPNAGGTKCNQEVEPIFGTQHSHIVRVPFKTEKGVLPQWVSRFDVYPYLERFSQDAADKVLEHMDSKPDLIIGNYSDGNLVASLMARKLSITLGTIAHALEKTKYEDSDVKWKELDAKYHFSCQFTADMIAMNSADFIITSTYQEIAGSNVRPGQYESHTAFTMPGLCRVVSGINVFDPKFNIASPGADQSVYFPYTEKQKRLTSFHPAIEELLYSNEDNHEHIGYLADRKKPIIFSMARLDTVKNITGLTEWFGKNTKLRNLVNLVVVAGFFDPSKSNDREEIAEIKKMHALIEKYQLKSQFRWIAAQTDRYRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEILVDGISGFHIDPNNGDESSNKIADFFEKCKTDAEYWNKMSAAGLQRIYECYTWKIYANKVLNMGSVYGFWRQTNKEQKLAKQRYIEAFYNLQFNNLAKNVPIPEFASSTQTSSTSKTKPQETAPTAVVESQHSLPTQEAKPKVEEAPVLMPKTQLTQRRTQPQQPQSQRNGEESVGQKDLAQPGSSRQSSRRWLLYMIASLLIVYFIGSTLYSYLT >Potri.013G163300.2.v4.1 pep chromosome:Pop_tri_v4:13:15586413:15587311:1 gene:Potri.013G163300.v4.1 transcript:Potri.013G163300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MASTIPRARQLVNHRHILVNGRIVDIPSYRCKPRDIITAKDEQKSRVMIQNSLDSFPQEELPKHLTLHPFQYKGLVNHIIDSKWIGLKINELLVVEYYSRQT >Potri.013G163300.1.v4.1 pep chromosome:Pop_tri_v4:13:15586138:15586743:1 gene:Potri.013G163300.v4.1 transcript:Potri.013G163300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rps4 MSRYRGPRFKKIRRLGALPGLTSKRPRAGSDLRNQSRAGKKSQYRIRLEEKQKLRFHYGLTERQLLKYVRIAAKAKGSTGQVLLQLLEMRLDNILFRLGMASTIPRARQLVNHRHILVNGRIVDIPSYRCKPRDIITAKDEQKSRVMIQNSLDSFPQEELPKHLTLHPFQYKGLVNHIIDSKWIGLKINELLVVEYYSRQT >Potri.002G199100.1.v4.1 pep chromosome:Pop_tri_v4:2:16174489:16175508:1 gene:Potri.002G199100.v4.1 transcript:Potri.002G199100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G199100.v4.1 MEHKSDAVIDIVSAVNNHQDGVPKTKKKKRRSAMHILRVAMYMLSLRSGKSKSVQTDVASKWKKYLSFMRPLHVHSNQQRIEATPAPVTSTAVDEESKVTPPAASVDVVEQYLEVLTPSYSPAPKSIASSSSGQTSQYASAQNLLDLLIDMSDEDEDGDEDSYYDDKYGDETIDMKAEQFIAKFYDQMKLQHKSY >Potri.006G019100.1.v4.1 pep chromosome:Pop_tri_v4:6:1212106:1213783:-1 gene:Potri.006G019100.v4.1 transcript:Potri.006G019100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019100.v4.1 MAEKKVTIMVIKVDLECKKCHKKIKKVLCRIPQIQNQIYDKKAGTVTITVVCCSPEKIKEKIICKGGEAVQSIEIKVPEKPKAPPSKPKEPEKPKEPEKPKQPENPKEPEKPKEPEKPKEPEKPKEPEKPKEPEKPAPVHRRTCCAECYHGISGGPCYHDHRRPAPFLIPAPNPAPPPKAPEPVHPRTCCDECYHGISGGPCYHDYRRPAPAPIPAPNPTRPPPPKAPEPVHPRTCCAECYHGISGGPCYHDNARPAPPCYEAYGRPVYDSWAGSGGGCGCQRSGYYVCRCEYVCEDNPSSCTIM >Potri.003G024200.3.v4.1 pep chromosome:Pop_tri_v4:3:2560253:2562541:-1 gene:Potri.003G024200.v4.1 transcript:Potri.003G024200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024200.v4.1 MGVVLNHCGSKSEEMSNSSENEVESLPQIIEEKHQELVVRHVPIVSSFNERIRPLLDAVDKLRHLQVMKEGIQLPTIVVVGDQSSGKSSVLESLAGISLPRGQGICTRVPLIMRLQHHTAPEPELSLEFNGKTVPTSEAEIAHAIILATDEIAGNAKGISNTPLTLVVKKNGVPDLTMVDLPGITRVPVHGQPENIYEQIADIIMEYIRPEESIILNVLSATVDFTTCESIRMSQKVDKNGERTLAAVTKADRSPEGLLEKVTADDVNIGLGYVCVRNRIGDESYKEARKEEADLFENHPLLSKIDKSIVGIPVLAQKLVQIQATIIARCLPEIVRKINEKLNASISELNRMPKTLSSVGEALTTFMGIVGSAKESLNKIIVRGEYDEYPEDKNMHCTARFVEMLNQYSGELRKCSENDLTGNFLMDEIQVLEEAKGIQLPNFLPRTTFLAILQKKVEKISHIPVAFVEKVWTYIEGVVISVLMHHSENYHQLQLSTRRAGHNLIARMKEHSRNWVTEIVQMEKLTDYTSNPEYMNDWNKLMAQQHDFTRDVLEKVYITTFKIEGLGEVPIAGLRGYEQHVLLQAFDLKMRMTAYWKIVLRRLVDFMALHLQFCARNLVNKEMEEEIVQELAGRHDGAIERMLEESPAVAAKREKLNVSIKLLRESNNVLANIMDKIASNI >Potri.001G253400.15.v4.1 pep chromosome:Pop_tri_v4:1:26956479:26963281:-1 gene:Potri.001G253400.v4.1 transcript:Potri.001G253400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253400.v4.1 MVRMLDILSQYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSYFDKNELSAILRFGAEELFKEDNDEESKKRLLSMDIDEILERAEKVEEKEAGGEDGNELLGAFKVANFCSAEDDGSFWSRWIKPDAVTEAEEALAPRAARNIKSYKEDNQPERSNERSNKRKKKGLEASEPQERVQKRRKADYSTPLASMIEGASAQVREWSHGNLPKRDALRFSRAVMKFGNLNQIDLIVEEVGGTVAAAPPEEQIELFDALVEGCREAVEVGNLDPKGPLLDFFGAAVKANDLLSRVQVLQLLAKRISRYENPIAQFRVLTDLKPSNWSKGCGWNQIDDARLLLGIHFHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNANAKGGRKASKKERENVLNFSAARGRDKKVKPGSVMVSVQTNKNRPQRPHRVEQLAKEEGEMSDNEELCEQFKEVKWMEWCEEVMFDEIKTLKRLNKLQTTSADLPKEKVLSKIRNYLQLIGRRIDQIVFEYEAELYKQDRMTMRLWKYVSTFSNLSGERLRQIYSKLKQEQEEDAGVGPSHANGAAYGSVDKDGDSNNFPPLSRNFERQRGYKNASAYPMSEPINRGHDAGKFEAWKRRRRAEADIQPQFQPPLQRPISNGTRLSDPNSLGILGAGPADNRPFIERPFRARQTGFTPKQNFTSGIK >Potri.001G253400.12.v4.1 pep chromosome:Pop_tri_v4:1:26955293:26972662:-1 gene:Potri.001G253400.v4.1 transcript:Potri.001G253400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253400.v4.1 MMAFFRNYTNEAVSQSVLEGKRQGRGVGRMLGNEDVDMTSSERELDMNTDVQYESEPDDVVRLQSNVAADHDAGVNNSELQPSGRKNVAGKWGSSFWKDCQPMGNPGASDSGQDSKSEGRNAVGSDDNVSNGRDDRLDSEDEEGQKEAGKGGKGHSDVPADEMLSDEYYEQDGEDQSDSVHYRGFSQSVDLSSRLQKKPVPIKNNVSRRSRGLHNSEGYDDNNNDGDADYEEEEVEDDPDDADFDPDYGIASGHAGDKDKDWEGKDSDEDNNSDDLVISDGDDDDDSYYTKKPKSRQHGKSGRNTKSAKENKSLHASGRQKRGKPSFEEDEYSAEDSDSDSDAVSKNMTKRGAHFRKSNARSAMSTNIGGRNNEVRTSSRSVRKVSYVESDESEEIDEGKKKKAQKEEVEEEDGDSIERVLWHQPRGMAEDAVRNNRSTAPILLSHLFDSALDWKEMEFLIKWKGQSHLHCQWKSFSELQNLSGFKKVLNYTKKVMEDVRYRRKFTREEIEVNDVSKEMDLDLIKQNSQVERIIADRINKDSSGNVVPEYLVKWQGLSYAEATWEKDVDISFAQDAIDEYKAREAAIAVQGKMVDLQRKKGKASLRKLEEQPEWLRGGKLRDYQLEGLNFLVNSWRNDTNVILADEMGLGKTVQSVSMLGFLQNGQQISGPFLVVVPLSTLSNWAKEFRKWLPNMNVIVYVGTRASREVCQQHEFYNDKKVGRPIKFGTLLTTYEVVLKDKAVLSKIKWNYLMVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFIQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISTNDSSKLERIILSSGKLVILDKLLVRLHKTKHRVLIFSQMVRMLDILSQYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSYFDKNELSAILRFGAEELFKEDNDEESKKRLLSMDIDEILERAEKVEEKEAGGEDGNELLGAFKVANFCSAEDDGSFWSRWIKPDAVTEAEEALAPRAARNIKSYKEDNQPERSNERSNKRKKKGLEASEPQERVQKRRKADYSTPLASMIEGASAQVREWSHGNLPKRDALRFSRAVMKFGNLNQIDLIVEEVGGTVAAAPPEEQIELFDALVEGCREAVEVGNLDPKGPLLDFFGAAVKANDLLSRVQVLQLLAKRISRYENPIAQFRVLTDLKPSNWSKGCGWNQIDDARLLLGIHFHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNANAKGGRKASKKERENVLNFSAARGRDKKVKPGSVMVSVQTNKNRPQRPHRVEQLAKEEGEMSDNEELCEQFKEVKWMEWCEEVMFDEIKTLKRLNKLQTTSADLPKEKVLSKIRNYLQLIGRRIDQIVFEYEAELYKQDRMTMRLWKYVSTFSNLSGERLRQIYSKLKQEQEEDAGVGPSHANGAAYGSVDKDGDSNNFPPLSRNFERQRGYKNASAYPMSEPINRGHDAGKFEAWKRRRRAEADIQPQFQPPLQRPISNGTRLSDPNSLGILGAGPADNRPFIERPFRARQTGFTPKQNFTSGIK >Potri.001G253400.14.v4.1 pep chromosome:Pop_tri_v4:1:26956587:26965934:-1 gene:Potri.001G253400.v4.1 transcript:Potri.001G253400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253400.v4.1 MELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISTNDSSKLERIILSSGKLVILDKLLVRLHKTKHRVLIFSQMVRMLDILSQYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSYFDKNELSAILRFGAEELFKEDNDEESKKRLLSMDIDEILERAEKVEEKEAGGEDGNELLGAFKVANFCSAEDDGSFWSRWIKPDAVTEAEEALAPRAARNIKSYKEDNQPERSNERSNKRKKKGLEASEPQERVQKRRKADYSTPLASMIEGASAQVREWSHGNLPKRDALRFSRAVMKFGNLNQIDLIVEEVGGTVAAAPPEEQIELFDALVEGCREAVEVGNLDPKGPLLDFFGAAVKANDLLSRVQVLQLLAKRISRYENPIAQFRVLTDLKPSNWSKGCGWNQIDDARLLLGIHFHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNANAKGGRKASKKERENVLNFSAARGRDKKVKPGSVMVSVQTNKNRPQRPHRVEQLAKEEGEMSDNEELCEQFKEVKWMEWCEEVMFDEIKTLKRLNKLQTTSADLPKEKVLSKIRNYLQLIGRRIDQIVFEYEAELYKQDRMTMRLWKYVSTFSNLSGERLRQIYSKLKQEQEEDAGVGPSHANGAAYGSVDKDGDSNNFPPLSRNFERQRGYKNASAYPMSEPINRGHDAGKFEAWKRRRRAEADIQPQFQPPLQRPISNGTRLSDPNSLGILGAGPADNRPFIERPFRARQTGFTPKQNFTSGIK >Potri.001G253400.13.v4.1 pep chromosome:Pop_tri_v4:1:26956589:26964929:-1 gene:Potri.001G253400.v4.1 transcript:Potri.001G253400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253400.v4.1 MVDEAHRLKNSEAQLYTTLLEFSTKNKLLITGTPLQNSVEELWALLHFLDPDKFRSKDDFIQNYKNLSSFNEIELANLHMELRPHILRRVIKDVEKSLPPKIERILRVEMSPLQKQYYKWILERNFQDLNKGVRGNQVSLLNIVVELKKCCNHPFLFESADHGYGGDISTNDSSKLERIILSSGKLVILDKLLVRLHKTKHRVLIFSQMVRMLDILSQYMSLRGFQFQRLDGSTKAELRQQAMDHFNAPGSDDFCFLLSTRAGGLGINLATADTVIIFDSDWNPQNDLQAMSRAHRIGQQEVVNIYRFVTSKSVEEDILERAKKKMVLDHLVIQKLNAEGRLEKKEAKKGSYFDKNELSAILRFGAEELFKEDNDEESKKRLLSMDIDEILERAEKVEEKEAGGEDGNELLGAFKVANFCSAEDDGSFWSRWIKPDAVTEAEEALAPRAARNIKSYKEDNQPERSNERSNKRKKKGLEASEPQERVQKRRKADYSTPLASMIEGASAQVREWSHGNLPKRDALRFSRAVMKFGNLNQIDLIVEEVGGTVAAAPPEEQIELFDALVEGCREAVEVGNLDPKGPLLDFFGAAVKANDLLSRVQVLQLLAKRISRYENPIAQFRVLTDLKPSNWSKGCGWNQIDDARLLLGIHFHGFGNWEKIRLDERLGLSKKIAPAELQHHETFLPRAPNLKERANALLEMELAAVGGKNANAKGGRKASKKERENVLNFSAARGRDKKVKPGSVMVSVQTNKNRPQRPHRVEQLAKEEGEMSDNEELCEQFKEVKWMEWCEEVMFDEIKTLKRLNKLQTTSADLPKEKVLSKIRNYLQLIGRRIDQIVFEYEAELYKQDRMTMRLWKYVSTFSNLSGERLRQIYSKLKQEQEEDAGVGPSHANGAAYGSVDKDGDSNNFPPLSRNFERQRGYKNASAYPMSEPINRGHDAGKFEAWKRRRRAEADIQPQFQPPLQRPISNGTRLSDPNSLGILGAGPADNRPFIERPFRARQTGFTPKQNFTSGIK >Potri.018G056600.1.v4.1 pep chromosome:Pop_tri_v4:18:5608594:5613519:1 gene:Potri.018G056600.v4.1 transcript:Potri.018G056600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056600.v4.1 MAPAAVDDDEILKDEKNPPPLDEDDIALLKTYGLGPYSNSIKKEEKEIKELAKKINDLRGIKESDTGLAAPSQWDLVSDKQMMQEEQPLQVARCTKIISPNTEDAKYVINVKQIAKFVVGLGDKVSPTDIEEGMRVGVDRNKYQIQIPLPPKIDPSVTMMTVEEKPDVTYNDVGGCKEQIEKMREVVELPMLHPEKFVKLGIDPPKGVLCYGPPGTVKTLLARAVANRTDACFIRVIGSELVQKYVGEGARMVRELFQMARSKKACIVFFDEVDAIGGARFDDGVGGDNEVQRTMLEIVNQLDGFDARGNIKVLMATNRPDTLDPALLRPGRLDRKVEFGLPDLESRTQIFKIHTRTMNCERDIRFELLARLCPNSTGADIRSVCTEAGMYAIRARRKTVTEKDFLDAVNKVIKGYQKFSATPKYMVYN >Potri.004G068600.2.v4.1 pep chromosome:Pop_tri_v4:4:5828826:5829679:-1 gene:Potri.004G068600.v4.1 transcript:Potri.004G068600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068600.v4.1 MNCSIPFRSSPLLPLLSSPVQKPQWKAQRLLWSSSKEPARIAKHNQKMTARTRAVAESLILGVASEPRPRDVSVLLQTGAVLLLAYGIANFIFPAFISDYYEFDKVSEEDIKPNDAADPSDEAADPSDDEADPSDDE >Potri.014G103800.2.v4.1 pep chromosome:Pop_tri_v4:14:6956230:6957169:-1 gene:Potri.014G103800.v4.1 transcript:Potri.014G103800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103800.v4.1 MNEDRLPSPRHHHTNNKKKTRFGYLSAPSSCSSLRPLPSPEILKVDGYDLKNQLDNFRVKTHCAKPLPPQLVHETILDRVYNATSPYDNFPPAHVRGLLRDKRLKGWGSYGEVFEHLIQKVKPKVIIEVGTFLGASALHMAELTRKLGLDSLILCIDDFRGWLGFRDLVGFVNMVNGDVLLLYQFMQNVVQNNATGSVLPIPFSSGSTLEILCEWGVFGDLIEIDAGHDFNSAWADINRAYRILRPGGVIFGHDYFTAADNRGVRRAVNLFAQMNGLKIQTDGQHWVIDSA >Potri.006G082300.1.v4.1 pep chromosome:Pop_tri_v4:6:6105665:6107022:1 gene:Potri.006G082300.v4.1 transcript:Potri.006G082300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082300.v4.1 METGGKVKKGAAGRKGGGPKKKPVSRSAKAGLQFPVGRIGRYLKKGRYSQRVGSGAPVYLAAVLEYLAAEVLELAGNAARDNKKNRIIPRHVLLAVRNDEELGKLLAGVTIAHGGVLPNINPVLLPKKTEKAAKEPKSPSKATKSPKKA >Potri.001G041400.1.v4.1 pep chromosome:Pop_tri_v4:1:3007697:3012985:1 gene:Potri.001G041400.v4.1 transcript:Potri.001G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041400.v4.1 MAGFRKPTLPNQSSYNSVYVNPLTDSKHHHTCSEGDLLTKSFTDNKENIDNTAKFGGVDKENAVPNNSKPLSTNGSLSVLPKPQLSNMKSLSTGRVLKPSSLQLCMQMNEPEKVFKSKIWDSAESEKSNSVNIWDYSDSEAAPASSWSALPNRALLCRPLPLDIGRCTCVIMKEALPEGLDGGTLYSLYTNEGHGRQDRKLAIAHHKRRNGKSEFTIAQNLKGVLSSSDDSFVGNVTANLMGSKYHIWDQGGAVNSKRGNLLLAVVTFKPTIATWTGSYRIMRAYVPKHQSMQLKNTTLMQHINGLARDWEGKMDKVHKLCSRTPRYNNMSKQYELDFRDRGRAGLGIQSSVKNFQLTLEENGKQTILQLGRVGKSKFVMDFRYPLTGYQAFCICLASIDSKLCCSM >Potri.011G152100.1.v4.1 pep chromosome:Pop_tri_v4:11:17920486:17922568:-1 gene:Potri.011G152100.v4.1 transcript:Potri.011G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152100.v4.1 MALMTSPLTKSKPCLFLPFTHAKKLGSPPAILLNYGHYNPKFLPLTTNKCKKEKLSSLLDASNKNYSSTKKALQVVADAGNAPLSEAEPRNLGKIFLSDVVVRRRRQVFWGRKWNSSDIANAAIVSSVHFLSLFAPFYFTWPAFWVALGIGIVTGLLGITLSFHRNLSHRSFKLPKWLEYFFAYCGVQALQRDPIFWVSTHRHHHQFCDSERDPHSPTEGFWFSHITWLFDNNYITEKCGGPNNVGDLEKQPFYKFMQNTYFLHPIALGVLLYAVGGFPYLVWGMGVRTVLALHFTWLVNSASHLWGKRAWNTGDLSRNNLWLALLTFGEGWHNNHHAFEYSARHGLEWWQIDMTWYVVRFLQAIGLATDVKLPSEVQKQRMAFNN >Potri.010G239300.2.v4.1 pep chromosome:Pop_tri_v4:10:21824997:21825580:-1 gene:Potri.010G239300.v4.1 transcript:Potri.010G239300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239300.v4.1 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSVLSDRLRVCSFCPSLLFSHAVSLNCSH >Potri.010G239300.1.v4.1 pep chromosome:Pop_tri_v4:10:21824163:21825580:-1 gene:Potri.010G239300.v4.1 transcript:Potri.010G239300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239300.v4.1 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSVLSDRLRISGSLARKAIKDLMARGSIRMVSSHASQQIYTRATNT >Potri.005G228000.1.v4.1 pep chromosome:Pop_tri_v4:5:22813511:22815667:-1 gene:Potri.005G228000.v4.1 transcript:Potri.005G228000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228000.v4.1 MAYFTSSHGSSSSWTPKQNKLFEKALAVYDKDTPDRWQNVAKAVGGKSAEEVKMHYDRLVEDLTYIESGQAPLPNYKPSGSNST >Potri.003G000900.2.v4.1 pep chromosome:Pop_tri_v4:3:392257:402910:-1 gene:Potri.003G000900.v4.1 transcript:Potri.003G000900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000900.v4.1 MILRTPPAKRARGGAADANARPIIESPQSEHHRDNHLVIYEDNNTPPLQHEQFLCTYQCRQLVKSDFIDALSSAEKQVQDYQSKLQEINENFTISEGERKKFRDKLLQTEQQLAAAKGREHALQQQLLKEVNVNQERFKKQLESHANLEVKLENEKNLRQKAESSAASAEEKASVLEGKLGHLSESIEREKKRLNTELAQLNRESKHSVSRIRADLEKMECRAKHAEKESELWKEQLEDLKRQLTECSHQRSELEKKLSSFTFQEGSSTDSNILVKHLQEELRNFETEVREARKIRSSHESIELLKEKLLEEKGRRERAESESSKLLEFELNMKKLEDEMSSWKLAIEDIPGVSSYDDIPVKFAALQKEVIDNMMKAGEANAHFKQMEVALETAQLGKQNAEAEAALAKEKAEALKLEVKQIELMLSMVTEERDRLKNVVNELKRPKNDQGGDEAASGVLLQELESSLAQKEFCIKELESNLHAQKEVNSRQLEEIKTLNDMLNNEARRIKSLERESDRLRAEISLLESKLGHGDFSAANTKVLRMVNTLAVDNEAKQTIEALRTELQKTKEKLQAVEELKSQSGDAGKLVDSYISGKITQLKEQIATLEKREERYKTVFADRISVFRRACCELFGYKIVMDEHQRSNGIPVTRFTLQSVYAQSDDEKLEFEYESGNTNILANDYTSQPDISRQVDIFIRKMNSIPAFTANLTVESFNRRTLS >Potri.004G132900.1.v4.1 pep chromosome:Pop_tri_v4:4:15391542:15403438:1 gene:Potri.004G132900.v4.1 transcript:Potri.004G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132900.v4.1 MSLPTKRSATTSASTSTASTSASNSFLPMKKAKSQAASACSPLDHNKNGLYHSDDVVFDPSSMSLDDDLKLVDYRTPPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPTNFEEDTWAKLQSAIKAIFLKQPALCDLEKLYQAVNDLCLHKMGGNLYLRIEKECETHISAALQSLVGQSPDLVVFLKLVEECWHDLCDQMLMIRSIALYLDRTYVKQTPNARSLWDMGLQLFRKHLSLSPEVEHKTVTGLLQMIERERLGETVNRKPLGHLLKMFTSLGIYAESFERPFLECTSEFYAAEGMTYMQQSDVPDYLKHVESRLNEEQDRCKIYLDSSTKKPLIATAERQLLERHISAILDKGFMMLMNGHRIEDLKRIYSLFLRVNALESLRQALSMYIRRTGQGIVMDEEKDKDMVSSLLEFKACLDSIWEESFSKNEGFCITVKDAYEHLINLRQNHPAELIAKFLDEKLRAGNKGTSEEELEGTLEKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLLKLPKGRDVEDDDSFVFNEGFAAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDRDYLERDKSNPQIYNYLA >Potri.004G132900.2.v4.1 pep chromosome:Pop_tri_v4:4:15391664:15403188:1 gene:Potri.004G132900.v4.1 transcript:Potri.004G132900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132900.v4.1 MSLPTKRSATTSASTSTASTSASNSFLPMKKAKSQAASACSPLDHNKNGLYHSDDVVFDPSSMSLDDDLKLVDYRTPPAAANLSRKKATPPQPAKKLVIKLVKAKPTLPTNFEEDTWAKLQSAIKAIFLKQPALCDLEKLYQAVNDLCLHKMGGNLYLRIEKECETHISAALQSLVGQSPDLVVFLKLVEECWHDLCDQMLMIRSIALYLDRTYVKQTPNARSLWDMGLQLFRKHLSLSPEVEHKTVTGLLQMIERERLGETVNRKPLGHLLKMFTSLGIYAESFERPFLECTSEFYAAEGMTYMQQSDVPDYLKHVEVPFVSPLILFGIKSRLNEEQDRCKIYLDSSTKKPLIATAERQLLERHISAILDKGFMMLMNGHRIEDLKRIYSLFLRVNALESLRQALSMYIRRTGQGIVMDEEKDKDMVSSLLEFKACLDSIWEESFSKNEGFCITVKDAYEHLINLRQNHPAELIAKFLDEKLRAGNKGTSEEELEGTLEKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFKQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLLKLPKGRDVEDDDSFVFNEGFAAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDRDYLERDKSNPQIYNYLA >Potri.010G122000.7.v4.1 pep chromosome:Pop_tri_v4:10:13971029:13977595:-1 gene:Potri.010G122000.v4.1 transcript:Potri.010G122000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122000.v4.1 MATYNLALILKNPSDEAEFLLAKQNPPPKFGIEEYDTFVDSDLWDLPSTKLDLEEGELESSSIVIEGLERTDLGKFDVESAISKVLEQVGFKVNDGGEWRFLKLVEEAEFGPGLPVHRVYIVGKLLPGNQNLPELCKWMSIQSCLSLLVDVKKSSDRVGPLVVLGLINDSAQSSEKVNTALHYQEYPPGVIIVPMKSRTAKPFHTTNLVIFAPESVKNESEDYNFVAHGDALIVDPGCRADFHEELLQIVAALSKKLVVFVTHHHGDHVDGLSVIQKCNPNATLLAHENTMCRIRKDDWSLGHISVSGGEDICIGGQRLNIIFAPGHTDGHLALLHVETHSLIVGDHCVGQGSALLDVASGGNMADYFRSTYKFIELAPHVLIPMHGRVNLWPKHMLCGYLKNRRSRELSILEAIENGAKTLFDIVADVYSGVDRSLWYHAASNVRLHVDHLNQQNKLPKGFSVDTFNCSLIAFAEKVGKIEPK >Potri.010G122000.6.v4.1 pep chromosome:Pop_tri_v4:10:13970253:13977669:-1 gene:Potri.010G122000.v4.1 transcript:Potri.010G122000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122000.v4.1 MATYNLALILKNPSDEAEFLLAKQNPPPKFGIEEYDTFVDSDLWDLPSTKLDLEEGELESSSIVIEGLERTDLGKFDVESAISKVLEQVGFKVNDGGEWRFLKLVEEAEFGPGLPVHRVYIVGKLLPGNQNLPELCKWMSIQSCLSLLVDVKKSSDRVGPLVVLGLINDSAQSSEKVNTALHYQEYPPGVIIVPMKSRTAKPFHTTNLVIFAPESVKNESEDYNFVAHGDALIVDPGCRADFHEELLQIVAALSKKLVVFVTHHHGDHVDGLSVIQKCNPNATLLAHENTMCRIRKDDWSLGHISVSGGEDICIGGQRLNIIFAPGHTDGHLALLHVETHSLIVGDHCVGQGSALLDVASGGNMADYFRSTYKFIELAPHVLIPMHGRVNLWPKHMLCGYLKNRRSRELSILEAIENGAKTLFDIVADVYSGVDRSLWYHAASNVRLHVDHLNQQNKLPKGFSVDTFNCSLIAFAEKVGKIEPK >Potri.005G027700.2.v4.1 pep chromosome:Pop_tri_v4:5:1764755:1768460:-1 gene:Potri.005G027700.v4.1 transcript:Potri.005G027700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027700.v4.1 MADFQSSSHKAKWIFTPQQLAEKYKATNNRAKQMLEKYGTTRMRVDVDGSLSYPEPQVNMTENADKHSRSKPISVEEEQFMRVYYEYKLREVCSAFYFPHKIQATALLYFKRFYLQWSVMEHDPKHVMLTCIYAACKIEENHVSAEELGKGISQDHQMILNYEMIVYQSLEFDLIVYAPYRSVEGFVADIEEFCHPTDENIEKLKEIAVAEIDKIMLTDAPVMFPPGQLALAALQSANEVHRVLDFERYLESVLSRQNSAHMISEISESLHAVEKWVRKYSFPTDKDMKHINRKLKSCWGHNSHDDNKKREKKSKHKSHKSSNEMQNGPGLT >Potri.001G336500.1.v4.1 pep chromosome:Pop_tri_v4:1:34476587:34479782:1 gene:Potri.001G336500.v4.1 transcript:Potri.001G336500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336500.v4.1 MGLTRKQLTILFLCLCFTVFLQLTSVSSSTSPQGQKETASSRSFEHEKEVHCSRERSRAAWKIIDEYLMPFVEKERYKISSRCRLHPENDLYRDQEQHKMHVDINEWRCGYCKKTFYEEKYLDKHFDNRHYDLLNVSHSKCLADVCGVLHCDLVLDSAPHKTKCNPAATGRNKHLCESLADSCFPVNEGTSALRLNEFFLRQFCDAHTCSGGRKPFSKGGKKETSTLYVIISVLVLMLLALFYIFMYLYLRGIKRGSQGLKHISKSGQKKRS >Potri.004G177700.1.v4.1 pep chromosome:Pop_tri_v4:4:19254703:19265119:1 gene:Potri.004G177700.v4.1 transcript:Potri.004G177700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177700.v4.1 MEAPTAAAWQPQEEGFKEICGLLEHQISPTSTADKSQIWKQLQHISQFPDFNNYLAFILSRAEGKSVEIRQAAGLLLKNNLRNAYKTMTPDNQQYIKSELLPCLGAADRHIRSTAGTIISVIVQLGGILGWPELLQAVITCLDSNDLNHMEGAMDALSKICEDIPQVLDSDVPGLSERPIKIFLPRLYQFFQSPHPSLRKLALGSVNQYIMLMPAALYASMNQYLQGLFALANDQAAEVRKLVCAAFVQLIEVRPSFLEPHLRSVAEYILQVNKDGDDEVALEACEFWSAYCDAQLPTETLREFLPRLIPVLLSNMAYADDDESLAEAEEDESLPDRDQDLKPRFHTSRFHGSDSMEDDDDDIVNVWNLRKCSAAALDILSNVFGDEILPTLMPVVQAKLSASGDESWKDREAAVLALGAVAEGCINGLYPNLSQMVGFLIPLLDDKFPLIRSISCWTISRFSKYVVQESGHQKGYEQFDEVLMGLLRRILDTNKRVQEAACSALATLEEEAAEELAPRLEIILQHLVCAFGKYQRRNLRIVYDAIGTLADAVGAELNQPAYLEILMPPLIAKWQQLSNSDKDLFPLLECFTSLAKALGAGFSQFAEPVFQRCTAIIHSQQLAKADPVAAGFLYDKEFIVCSLDLLSGLAEGLGSGIESLVSQSNLRDLLLQCCMDDAYDVRQSAFALLGDLARVCTVHLSPRLPEFLDVAVKQLNTPKLKETISVANNACWAIGELAVKVRQEISPIVMTVMSCLVPILQHSEELNNKSLTENSAITLGRLAWVCPELVSPHMEHFMQSWCIALSMIHDDIEKEDAFRGLCAMVRTNPSGALSSLVFMCKAIASWHEIRSAELHNEVCQVLHGYKQMLRNGAWDQYMSALEPPVKEKLLKYQV >Potri.015G142500.1.v4.1 pep chromosome:Pop_tri_v4:15:14830859:14833947:1 gene:Potri.015G142500.v4.1 transcript:Potri.015G142500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142500.v4.1 MESDRSDSSGPITQRVYSLGAAASATDTRGKHRIQAELKRIEQEARFLEEELEQLDKLEKASTACKEMLNNVETIPDPLLPITNGPMNPLWDRWFEGPRESKGCSCWIF >Potri.016G024800.5.v4.1 pep chromosome:Pop_tri_v4:16:1387043:1392101:-1 gene:Potri.016G024800.v4.1 transcript:Potri.016G024800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024800.v4.1 MAMATNENLPPNVIKQLAKELKNLDESPPEGIQVGVNDDDFSIIYADIEGPAGTPYENGVFRMKLLLSHDFPHSPPKGYFLTRIFHPNIASNGEICVNTLKKDWNPSLGLRHVLTVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARIYTGIHAKPKPKFKTGAISESTTALNVDQSIGDQKSVAVGAVVPLQSPLAPCTTASKVGNSQDQPAAVGPTHETGMSGSVAAPTPSTLKKDVGLTKVQAGKKKMDARKKSLKRL >Potri.016G024800.6.v4.1 pep chromosome:Pop_tri_v4:16:1387171:1391911:-1 gene:Potri.016G024800.v4.1 transcript:Potri.016G024800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024800.v4.1 MKLLLSHDFPHSPPKGYFLTRIFHPNIASNGEICVNTLKKDWNPSLGLRHVLTVVRCLLIEPFPESALNEQAGKMLLENYEEYARHARIYTGIHAKPKPKFKTGAISESTTALNVDQSIGDQKSVAVGAVVPLQSPLAPCTTASKVGNSQDQPAAVGPTHETGMSGSVAAPTPSTLKKDVGLTKVQAGKKKMDARKKSLKRL >Potri.014G091400.4.v4.1 pep chromosome:Pop_tri_v4:14:5935468:5940400:-1 gene:Potri.014G091400.v4.1 transcript:Potri.014G091400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091400.v4.1 MHPVPFHATPAAPPPPWFPMLPPNPPQSTPFWDTKNVHDRLKELQDTLTLATSMQKELEMLMTIKSTEGSVEEGEGESTDPYACGLYEYLKDRKIDLEAQESLSVNAANALMSRLKAQLEPFRVIIDDVTPWEEKSMAARLSNKILKSKRNKLWRKRKRQRAAEIHTKVHEQFDQADRVADEWRAREIAKDAAQLKVEKMKEIAKLKAKEERKRLESELELVLVVEKLQELRSIRIQKLKKQGHFLPEEDDKFLERVRAAVEEEERQAMAAAETDAAKGAIATAEESRKTIQSGGLHTKDASDAKDGMKESNDEINESTDNLGSGAVPGPSGEKGSKGQVYGGAYDSVANLPIEFYHYYHGSNNDMGTLIEVRRTWDAYIRPGGSRIPGHWVQPPPPADDIWASYLVRPK >Potri.005G087400.2.v4.1 pep chromosome:Pop_tri_v4:5:6092376:6093675:-1 gene:Potri.005G087400.v4.1 transcript:Potri.005G087400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087400.v4.1 MIMAMEIMAELEALLRIHPMTLTDLDVAAAQQLVRLSGEDYSNNNNNQKKRRRKNKNDGDFEDDEEEFDRSSQNEITSKTTEENFGKEEEVARPRKKRYRSLVSVYQAP >Potri.003G213300.1.v4.1 pep chromosome:Pop_tri_v4:3:21010712:21012671:-1 gene:Potri.003G213300.v4.1 transcript:Potri.003G213300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G213300.v4.1 MESQWFDQATDNWKGGCDHQNNTENNVDLTLKLGLPDDQPQFEQYFRSNRALKTHQPAILSFNAPYSQGTNHHDMANAGLNHHGRLNGDNLHGSWPREMMGNHPHYMNTQYAADSAVGFAAYPKSYSCINSNPGNFQIPTMNGRTLLNTVARDGDHGEVGSSSGSRRTFIDHNKRCSNRSCNTDDTPMWRKGPLGPKTLCNACGIKYRKEEEKRRAREAARRTNGI >Potri.008G054733.1.v4.1 pep chromosome:Pop_tri_v4:8:3216524:3217328:-1 gene:Potri.008G054733.v4.1 transcript:Potri.008G054733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054733.v4.1 MTQKVEKYKAEDEKHKNMVEAKNVLDNAYNIRNCVGYNNISLKLVEEDKNIEDALDQVSDGLNWNELVKTNELEDMLKELQSVINTIIAKIDQGAGRLHDEVPLFVGSETAPAIGEIN >Potri.008G190600.8.v4.1 pep chromosome:Pop_tri_v4:8:13391088:13396879:-1 gene:Potri.008G190600.v4.1 transcript:Potri.008G190600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190600.v4.1 MELLKLSKFKLQLQALAIEVGGLREREQSATEQCRILIQKQKQTDEEYRRQLQELQSELASSNELHQKLLRKVSYLQNDNALLEDKHKDLKGTIQSLLQSKESFVNSYQELTCEMKRSIEAGNRKLIVLSEKINSHLSLFDSIEREALSIKQLVDKVQLLVSEKEGVVAGLRSKMDKVSAFENVFFERIRNLENRLKGDEDEFRRKDRIISELEEQLEVAKLNNRCQTQIEELQKTLFAKDAVIQNLISEKEALHCEVGGLAIILQKIQETVVNMNEEDKRLFSSLLECQGDCDMVVTKEDTDRIEDFVQNSGEPSPNKASSMGTGENRDGNILQEDNRSNSSVAEPTCSPLQSPCSELHSAANGPSISVNNAKVNCTAIAHHLDSECSTTQAETSQDPC >Potri.008G190600.9.v4.1 pep chromosome:Pop_tri_v4:8:13391088:13396879:-1 gene:Potri.008G190600.v4.1 transcript:Potri.008G190600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190600.v4.1 MELLKLSKFKLQLQALAIEVGGLREREQSATEQCRILIQKQKQTDEEYRRQLQELQSELASSNELHQKLLRKVSYLQNDNALLEDKHKDLKGTIQSLLQSKESFVNSYQELTCEMKRSIEAGNRKLIVLSEKINSHLSLFDSIEREALSIKQLVDKVQLLVSEKEGVVAGLRSKMDKVSAFENVFFERIRNLENRLKGDEDEFRRKDRIISELEEQLEVAKLNNRCQTQIEELQKTLFAKDAVIQNLISEKEALHCEVGGLAIILQKIQETVVNMNEEDKRLFSSLLECQGDCDMVVTKEDTDRIEDFVQNSGEPSPNKASSMGTGENRASMNFTNIFIHFH >Potri.008G190600.1.v4.1 pep chromosome:Pop_tri_v4:8:13391088:13396879:-1 gene:Potri.008G190600.v4.1 transcript:Potri.008G190600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190600.v4.1 MELLKLSKFKLQLQALAIEVGGLREREQSATEQCRILIQKQKQTDEEYRRQLQELQSELASSNELHQKLLRKVSYLQNDNALLEDKHKDLKGTIQSLLQSKESFVNSYQELTCEMKRSIEAGNRKLIVLSEKINSHLSLFDSIEREALSIKQLVDKVQLLVSEKEGVVAGLRSKMDKVSAFENVFFERIRNLENRLKGDEDEFRRKDRIISELEEQLEVAKLNNRCQTQIEELQKTLFAKDAVIQNLISEKEALHCEVGGLAIILQKIQETVVNMNEEDKRLFSSLLECQGDCDMVVTKEDTDRIEDFVQNSGEPSPNKASSMGTGENRGWGHNSDGNILQEDNRSNSSVAEPTCSPLQSPCSELHSAANGPSISVNNAKVNCTAIAHHLDSECSTTQAETSQDPC >Potri.008G190600.4.v4.1 pep chromosome:Pop_tri_v4:8:13391088:13396879:-1 gene:Potri.008G190600.v4.1 transcript:Potri.008G190600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190600.v4.1 MELLKLSKFKLQLQALAIEVGGLREREQSATEQCRILIQKQKQTDEEYRRQLQELQSELASSNELHQKLLRKVSYLQNDNALLEDKHKDLKGTIQSLLQSKESFVNSYQELTCEMKRSIEAGNRKLIVLSEKINSHLSLFDSIEREALSIKQLVDKVQLLVSEKEGVVAGLRSKMDKVSAFENVFFERIRNLENRLKGDEDEFRRKDRIISELEEQLEVAKLNNRCQTQIEELQKTLFAKDAVIQNLISEKEALHCEVGGLAIILQKIQETVVNMNEEDKRLFSSLLECQGDCDMVVTKEDTDRIEDFVQNSGEPSPNKASSMGTGENRGWGHNSDGNILQEDNRSNSSVAESPCSELHSAANGPSISVNNAKVNCTAIAHHLDSECSTTQAETSQDPC >Potri.008G190600.10.v4.1 pep chromosome:Pop_tri_v4:8:13391088:13396879:-1 gene:Potri.008G190600.v4.1 transcript:Potri.008G190600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190600.v4.1 MELLKLSKFKLQLQALAIEVGGLREREQSATEQCRILIQKQKQTDEEYRRQLQELQSELASSNELHQKLLRKVSYLQNDNALLEDKHKDLKGTIQSLLQSKESFVNSYQELTCEMKRSIEAGNRKLIVLSEKINSHLSLFDSIEREALSIKQLVDKVQLLVSEKEGVVAGLRSKMDKVSAFENVFFERIRNLENRLKGDEDEFRRKDRIISELEEQLEVAKLNNRCQTQIEELQKTLFAKDAVIQNLISEKEALHCEVGGLAIILQKIQETVVNMNEEDKRLFSSLLECQGDCDMVVTKEDTDRIEDFVQNSGEPSPNKASSMGTGENRAHMFSLAVTLL >Potri.007G107300.2.v4.1 pep chromosome:Pop_tri_v4:7:12994054:12999174:-1 gene:Potri.007G107300.v4.1 transcript:Potri.007G107300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107300.v4.1 MTTSSTTHSRKPRNFLYISMASLLSFSLFSLFLFLSLSSSSTTTLKTNSHHPTKQKQKPRPNVPPSSASTTPPEILQACKATRFQDTCVSSLSNPNVPRNPTPLQIIQSAISVSNTNLKTAQSMVKSILDSSTGNINRTTAAKNCVEALINSQYRITRSTDDALPRGRVKDARAWMGAALLYQYDCSNALKYANDTSLTRQTMSFLDTLMAFSSNALSMIVSYDAFGNDTKSWGPPKTERDGVWELGSGGDFGSGFRGGFPSELTADVTVCKDTSNGGCYKTVQEAVNTAPDNEWGHRYVIHIKEGVYNEIVRVPLEKKNVVFLGDGMGKTVITGSLAVGQPGISTYNTATVGVLGDGFMASGLTIQNTAGAPTHQAVAFRSDSDLSIIENCEFLGNQDTLYAHSLRQFYKSCHIEGNVDFIFGNSAAIFQDCQILIRPRQEKPEKGENNAVTAHGRTDPAQSTGFVFQNCLINGTEEYMALYRSKPSVHKNFLGRPWKEFSRTVFIHCNLEALLTPQGWMPWSGDFALKTLYYGEFENSGPGSDSSQRVTWSSQIPAEHVATYSVQHFIQGDEWIPTSS >Potri.007G107300.1.v4.1 pep chromosome:Pop_tri_v4:7:12994235:12998901:-1 gene:Potri.007G107300.v4.1 transcript:Potri.007G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107300.v4.1 MVEPSSPTGSTKPLNNFGHRPPFSSSKSKCFVIIIAIVLLLSLVSLILFFTLSSKTNKEHRYSPQTQLFPSIPAPITTRQTQTDIHLACNATQHPQTCESSLGEANVVPPNPTPLQVIQSAIFVSSQNLTTAKSILNSLLASTTNPNITTAAKICLEVLGYSQYRISSANQSLTRGEIKNARAWMSAGLSYQNDCYGGLIYNAGNSTSKPVNETLLFLESLVGLTSNALSMTVSYDLFGNETESWRPPQTERDGFWEDSVLDSVRGFFRGGFPSKLKADATVCKDESKDNGCYKTVQEAVNAAPDNAMDRRFVIHIKEGVYEEIVRVPFEKKNVVFLGDGMGKSVITGSLSVGQIGVTTYESATVGVLGDGFMASGLTIQNTAGAPTHQAVAFRSDSDLSIIENCEFLGNQDTLYAHSLRQFYKSCHIEGNVDFIFGNSAAIFQDCQILIRPRQEKPEKGENNAVTAHGRTDPAQSTGFVFQNCLINGTEEYMALYRSKPSVHKNFLGRPWKEFSRTVFIHCNLEALLTPQGWMPWSGDFALKTLYYGEFENSGPGSDSSQRVTWSSQIPAEHVATYSVQHFIQGDEWIPTSS >Potri.007G107300.5.v4.1 pep chromosome:Pop_tri_v4:7:12994359:12998901:-1 gene:Potri.007G107300.v4.1 transcript:Potri.007G107300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107300.v4.1 MVEPSSPTGSTKPLNNFGHRPPFSSSKSKCFVIIIAIVLLLSLVSLILFFTLSSKTNKEHRYSPQTQLFPSIPAPITTRQTQTDIHLACNATQHPQTCESSLGEANVVPPNPTPLQVIQSAIFVSSQNLTTAKSILNSLLASTTNPNITTAAKICLEVLGYSQYRISSANQSLTRGEIKNARAWMSAGLSYQNDCYGGLIYNAGNSTSKPVNETLLFLESLVGLTSNALSMTVSYDLFGNETESWRPPQTERDGFWEDSVLDSVRGFFRGGFPSKLKADATVCKDESKDNGCYKTVQEAVNAAPDNAMDRRFVIHIKEGVYEEIVRVPFEKKNVVFLGDGMGKSVITGSLSVGQIGVTTYESATVGVLGDGFMASGLTIQNTAGAPTHQAVAFRSDSDLSIIENCEFLGNQDTLYAHSLRQFYKSCHIEGNVDFIFGNSAAIFQDCQILIRPRQEKPEKGENNAVTAHGRTDPAQSTGFVFQNCLINGTEEYMALYRSKPSVHKNFLGRPWKEFSRTVFIHCNLEALLTPQGWMPWSGDFALKTLYYGEFENSGPGSDSSQRVTWSSQIPAEHVATYSVQHFIQGDEWIPTSS >Potri.002G181700.4.v4.1 pep chromosome:Pop_tri_v4:2:14447454:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MFLLQANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKALNTEVPKYFVEYCPLSCFCEVHLSCGFVLSNVRTLLVDFSFLFFSFFPFFFFSRFLVDY >Potri.002G181700.5.v4.1 pep chromosome:Pop_tri_v4:2:14447145:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MEHRCVECGFPIETLFVQYSPGNIRLMRCANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKVISESALNRCIVACFCAHAAKLLVTQISTQRSLRF >Potri.002G181700.3.v4.1 pep chromosome:Pop_tri_v4:2:14447454:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MCRVWIPDRNSFRPILTRQHSTHEIKNMFLLQANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKVISESALNRCIVACFCAHAAKLLVTQISTQRSLRF >Potri.002G181700.9.v4.1 pep chromosome:Pop_tri_v4:2:14447454:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MFLLQANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKEKHSMDWHESVAYV >Potri.002G181700.10.v4.1 pep chromosome:Pop_tri_v4:2:14447454:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MFLLQANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKVSQL >Potri.002G181700.7.v4.1 pep chromosome:Pop_tri_v4:2:14447145:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MFLLQANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKVISESALNRCIVACFCAHAAKLLVTQISTQRSLRF >Potri.002G181700.6.v4.1 pep chromosome:Pop_tri_v4:2:14447454:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MEHRCVECGFPIETLFVQYSPGNIRLMRCANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKEKHSMDWHESVAYV >Potri.002G181700.8.v4.1 pep chromosome:Pop_tri_v4:2:14447454:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MEHRCVECGFPIETLFVQYSPGNIRLMRCANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKVSQL >Potri.002G181700.2.v4.1 pep chromosome:Pop_tri_v4:2:14447454:14450269:1 gene:Potri.002G181700.v4.1 transcript:Potri.002G181700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181700.v4.1 MEHRCVECGFPIETLFVQYSPGNIRLMRCANCEAVADEYIECEFMILVDVFVGNFMFICVFLLSMRLLLNTSIQISRCRDILLAVLVSSHFKIFLIATMVWEFPFSVIFIIDLFVLSSNTVALKALNTEVPKYFVEYCPLSCFCEVHLSCGFVLSNVRTLLVDFSFLFFSFFPFFFFSRFLVDY >Potri.001G020501.1.v4.1 pep chromosome:Pop_tri_v4:1:1587098:1587988:1 gene:Potri.001G020501.v4.1 transcript:Potri.001G020501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020501.v4.1 MIRGQFEKGEWKQACKVFEEMLETKVEPSVATFNSLIGYLCGNGELDKALLEDMIKRGKRPNAVTYALLMEGLCLIGEHDEAKKMMFDMEYRGCKPAVVNFGVLMSDLVKGGKIEEAKSLVHEMKKRHLKKDVVTYNILINYLCKEGRAAEAYKVLFEMQVM >Potri.009G047500.1.v4.1 pep chromosome:Pop_tri_v4:9:5325522:5331785:-1 gene:Potri.009G047500.v4.1 transcript:Potri.009G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047500.v4.1 MDGRLANTWRLTLNEKKFIETALASNLRIDGRNPLEYRKITIKFGREDGSSEVQLGQTHVMGFVTGQLVQPYQDRQNEGTLSIFTEFSPMADPSFEPGRPGESAVELGRIVDRGLRESRAVDTESLCVLAGKLVWAIRIDLHILDNGGNLVDAANIAALASLLTFRRPECTLGGEDGQEVIVHPPEEREPLPLIVHHLPIAITFAFFGGEGKMVVDPTQSEEAVMGGRMTVTVNANGDICAIQKPGGEGVPQSAIMHCLQLASLSAESITKKIKNAVEAYNTERALRKIKRHPTSGAGNVSVAALDVTEQNKTIEQVEGSELSRHHMERLKLVPDETCSGRGNDNDGDIKPSKLGGTGRGQGNAMNFLGGPSSWDPYLKVVDPDSLKASLASRGVSTRHVKQKGSEENSPKTDPEKPTEDIKQATLATDTSGTALQTNGEKTLKDAVKPKNKRRKRASPSMKGS >Potri.009G047500.5.v4.1 pep chromosome:Pop_tri_v4:9:5325515:5331785:-1 gene:Potri.009G047500.v4.1 transcript:Potri.009G047500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047500.v4.1 MDGRLANTWRLTLNEKKFIETALASNLRIDGRNPLEYRKITIKFGREDGSSEVQLGQTHVMGFVTGQLVQPYQDRQNEGTLSIFTEFSPMADPSFEPGRPGESAVELGRIVDRGLRESRAVDTESLCVLAGKLVWAIRIDLHILDNGGNLVDAANIAALASLLTFRRPECTLGGEDGQEVIVHPPEEREPLPLIVHHLPIAITFAFFGGEGKMVVDPTQSEEAVMGGRMTVTVNANGDICAIQKPGGEGVPQSAIMHCLQLASLSAESITKKIKNAVEAYNTERALRKIKRHPTSGAGNVSVAALDVTEQNKTIEQVEGSELSRHHMERLKLVPDETCSGRGNDNDGDIKPSKLGGTGRGQGNAMNFLGGPSSWDPYLKVVDPDSLKASLASRGVSTRHVKQKGSEENSPKTDPEKPTEDIKQATLATDTSGTALQTNGEKTLKDAVKPKNKRRKRASPSMKGS >Potri.009G047500.4.v4.1 pep chromosome:Pop_tri_v4:9:5324407:5331795:-1 gene:Potri.009G047500.v4.1 transcript:Potri.009G047500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047500.v4.1 MDGRLANTWRLTLNEKKFIETALASNLRIDGRNPLEYRKITIKFGREDGSSEVQLGQTHVMGFVTGQLVQPYQDRQNEGTLSIFTEFSPMADPSFEPGRPGESAVELGRIVDRGLRESRAVDTESLCVLAGKLVWAIRIDLHILDNGGNLVDAANIAALASLLTFRRPECTLGGEDGQEVIVHPPEEREPLPLIVHHLPIAITFAFFGGEGKMVVDPTQSEEAVMGGRMTVTVNANGDICAIQKPGGEGVPQSAIMHCLQLASLSAESITKKIKNAVEAYNTERALRKIKRHPTSGAGNVSVAALDVTEQNKTIEQVEGSELSRHHMERLKLVPDETCSGRGNDNDGDIKPSKLGGTGRGQGNAMNFLGGPSSWDPYLKVVDPDSLKASLASRGVSTRHVKQKGSEENSPKTDPEKPTEDIKQATLATDTSGTALQTNGEKTLKDAVKPKNKRRKRASPSMKGS >Potri.017G122500.1.v4.1 pep chromosome:Pop_tri_v4:17:12756202:12758575:-1 gene:Potri.017G122500.v4.1 transcript:Potri.017G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122500.v4.1 MAFTLHHYTLPFSPFLHQDTNFSLQSYQKLTIESQRLPITHCSINQNNNKTLRTCKNCKTQFDPSLNHPRACRFHTSHFGGETKRKFESVYTGGTMSTPDSGQVFQYWHCCGSEDPFDPGCTAAPHSSYDD >Potri.002G195132.1.v4.1 pep chromosome:Pop_tri_v4:2:15796659:15801096:1 gene:Potri.002G195132.v4.1 transcript:Potri.002G195132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195132.v4.1 MGTSKNTAGKEGDKPEPVRKSKRAPKRRVLDGDDDEDDEIRYLEKLKSKVPTRHKEDDGNDDDESSKKQQKLSTLENNSASRLVKDGKKISRSDRASEDEDNEEEESLSNCDFEGSKKKQKRESIESLTDGKREMTLTKRQQALQSSKDGSSVPDTNLIEFPNGLPPAPSKKQKEKLTEVEQQLKKAEAAQRRRLQVEKAARESEAEAIRKILGQDSSRKKREEKMKKRLEEMAQEKAVNAQMSSTIRWVMGPTGTVVTFPKDMGLPSIFDSKPYSYPPPREVCAGPSCTNPYKYRDSKSKLPLCSLQCYKAMEQEAS >Potri.015G141300.2.v4.1 pep chromosome:Pop_tri_v4:15:14777271:14778855:1 gene:Potri.015G141300.v4.1 transcript:Potri.015G141300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141300.v4.1 MSRAMEPLTVGRVVGDVVDIFTPSVRMTVTYNSNKQVANGYEFMPSVIAYKPRVEIGGEDMRTAYTLIMTDPDAPSPSDPYLREHLHWMVTDIPGTTDVSFGREIVSYETPKPVVGIHRYVFILFKQRGRQTVRATSFKRLFQH >Potri.006G213000.3.v4.1 pep chromosome:Pop_tri_v4:6:21942387:21948705:-1 gene:Potri.006G213000.v4.1 transcript:Potri.006G213000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213000.v4.1 MAGVLNIHNFPLLHSVPGSRKTPKKSIPTIRATSETPTSSTSTSTSTSTSFTAPPNFEPPEPKRFAVRPDKTWDIIGASLALIFRLGTGVFVNGYSVSFVSKDAIPPDQYSLEVAGYKVKETSKLGPRPEKPIEIYEFEGCPFCRKVREIVAVLDLDVLFYPCPKNGPNFRPKVAQMGGKQQFPYMVDPNTGTAMYESDDIIKYLVQKYGDGSIPFTLSLGLLTTLTEGFAMIGRMGKGSSYTPSKLPPKPLELWAYEGSPFCKIVREVLVELELPHIFRSCARGSPKRQILFEKAGHFQAPYIEDPNTGVQMFESAEIVEYLKVTYAGS >Potri.005G255300.1.v4.1 pep chromosome:Pop_tri_v4:5:24660357:24664454:1 gene:Potri.005G255300.v4.1 transcript:Potri.005G255300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255300.v4.1 MATTSSISTPQFHSFSIQPPSSLWASKHRFSPNVVKLRRDFVLSFSLPNCNRVLLINPKFRFRAAINNDNDNSANPEDEEVEEQCIQDKGKTVVNKSESESGTSALLDWKKDPISKFQGMITTLPPFVFVMKRGAGSNFVIWLCIATAFLVVSVRVYMVRKSRPSRPGSVADLVRRGQLRSDRRGISSPLKYEDPFNNPLVKVGKSNSTIEIFGKVYLLAPVTLTEEQQAIHQRRRSRAYQWKRPTIFLKEGDPVPPDVDPDTVRWIPTNHPFATTASDIDEDLAQNNVYQKHGVPFRIKAEHEALQRKLEALQNEQKLNKLVIDTGNAKEFERPFRFNPKSNELVEQNPFKSQSGDSKPSQSDRAPNPFNSSSSSEEMQKP >Potri.014G029100.1.v4.1 pep chromosome:Pop_tri_v4:14:1839364:1842688:1 gene:Potri.014G029100.v4.1 transcript:Potri.014G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029100.v4.1 MGGILAYVLIFLVSVVAYIIKKRNNKEPYTGIKLPPGSLGWPYIGETLQLYSQDPNVFFASKQKRYGEIFKTHILGCPSVMLASPEAARFVLVTQAHLFKPTYPKSKEHLIGPSALFFHQGDYHVRLRKLVQGSLSLDAIRNLVADISATAASTLDSWDGGHVLNTFQEMKKFSFEVGILAIFGNLEAHYREELKRNYRIVDKGYNSFPTSLPGTPFKKAVLARKRLSKILGDIICERKEKRLLEKDLLGCLLNSKDEKGEVLTDDQIADNIIGVLFAAQDTTATAMTWIVKYLHDNQKILEAVKAEQNAIRKLNDEENQPLSWSQTRSMPLTHKVVLESLRMASIISFTFREAVADVEYKGYLIPKGWKVMPLFRNIHHNPEYFSDPQKFDPTRFEVAPKPNTYMPFGSGQHACPGNELAKLEILVMIHHLLTKFRWKVVGSQSGIQYGPFPVPLHGLPARFWKESTS >Potri.013G041600.1.v4.1 pep chromosome:Pop_tri_v4:13:2869657:2870824:1 gene:Potri.013G041600.v4.1 transcript:Potri.013G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041600.v4.1 MRRVYDTFLIVLLCLIAGATGEQCGKQAGGQTCPNNLCCSQYGWCGDTDDYCSPSKNCQSNCKGGGGESASNVRATYHLYNPQDHGWDLNAVSAYCSTWDASKPYSWRSKYGWTAFCGPAGPRGQASCGKCLRVTNTRTGAQTTARIVDQCSNGGLDLDVNVFRTIDTDGDGYAKGHLIVNYQFVGCGDSINSPKPLLSIIDDQ >Potri.019G027580.1.v4.1 pep chromosome:Pop_tri_v4:19:4044011:4044599:1 gene:Potri.019G027580.v4.1 transcript:Potri.019G027580.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G027580.v4.1 MSHSDILIEIPINGIFRRNSIFAYFDDPQYRRKSSGITKYVAIGVHSIVKKEDLVEYRGVKEFQPKYQMKVDRFFFIPEEVYILPESSSLMVRNNSIIGVDTQITLNTKSRYSYMKEGTV >Potri.017G109600.1.v4.1 pep chromosome:Pop_tri_v4:17:11755739:11759244:1 gene:Potri.017G109600.v4.1 transcript:Potri.017G109600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109600.v4.1 MAIQATFIADPVLISSPSRISIRGWEQCIGSFVLKTRPRHPRLSNGGRVRARASLNADLKAVGIPHQWYNVVADLSVKPPPPLHPKTFEPVKPEDLSPLFPDELIRQEASTDKFIDIPEEVLDIYSLWRPTPLIRAKRLEKLLDTPARIYYKYEGGSPAGSHKPNTAVPQVFYNAQQGIKNVVTETGAGQWGCSLAFACSLFGLDCEVWQVRASYDQKPYRRLMMETWGAKVHPSPSSITETGRRILQMDPSSPGSLGIAISEAVEVAAKNADTKYCLGSVLNHVLLHQTVIGEECIKQMEAIGETPDVIIGCTGGGSNFAGLSFPFIREKLNGKINPVIKAVEPAACPSLTKGVYAYDYGDTAGMTPLMKMHTLGHDFIPDPIHAGGLRYHGMAPLISHVYELGFMEAMAIPQIECFRGAIQFARSEGLIPAPEPTHAIAATIREALHCKETGEAKVILMAMCGHGHFDLKSYEKYLQGKMVDLSFDEEKIRASLDKVPQVTRN >Potri.006G066400.1.v4.1 pep chromosome:Pop_tri_v4:6:4830178:4831714:-1 gene:Potri.006G066400.v4.1 transcript:Potri.006G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066400.v4.1 MESKGAKPHEFKKGLWKPEEDLILKTYVETHGEGNWSTVSKRSGLMRCGKSCRLRWKNYLRPNIRRGGMSQDEEDMIIRMHKLLGNRWSLIAGRLPGRTDNDVKNYWNTHLNKRCPSRKRKTIDSKPDQNDNNTKKQYRSKRIRSLSNSQPTCNTSPIGSTEELEGKNQEKEERSAVISDAWIQQDAQSMNSCIESPMVLHNNATFFFEDDPFLTYWDSFDMFESLGCGGDFW >Potri.019G038226.1.v4.1 pep chromosome:Pop_tri_v4:19:5267149:5270160:-1 gene:Potri.019G038226.v4.1 transcript:Potri.019G038226.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038226.v4.1 MNNKRVMYKSKLQQLSQQRGWEIPKYEVTKEGQEHSPHFYATVTVDATLFSTPFPSSSTKKAQNDAAKLAYKYFSDHPRPSSSSPLNVSADCSGGSAGENTRPSPGGKLELDIQDANPTPLSNEAGAVAKTDESFGGILHLFKNQLQTYAQKRNFTRPVYSCERVGPPHAIRFKCKVTVNGQTYESREYFPTLSKAENAAAKAALMSLLPNGVEEDESGYKNLLQDMAQREGCGLPTYFTEKSGEAHAPTFISTVEIDGVNFTGKEARNKKQAEMSAAKIAYTARRR >Potri.008G142200.5.v4.1 pep chromosome:Pop_tri_v4:8:9574833:9577895:1 gene:Potri.008G142200.v4.1 transcript:Potri.008G142200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142200.v4.1 MLSESPVPRAVLVFASIAVFILLLYGASDSPPFLSSSSSSSGYSFNIFPSLLGGSDSQIPINGGDDYNKLEKVLKEAAMEDKTVIIATLNEAWAAPNTVIDLFLESFRIGQGTRRLLDHLVIVALDEKAYRRCMELHTHCFALVTQGLDFHDEAYFMTHVYLEMMWRRIDFLRSLLEMGYNFVFTDADIMWFRDPFPRFFLDADFQIACDHFSGNSSDIQNRPNGGFNYVKSNKRSIEFYKFWYSSRETYPGFHDQDVLNFIKFDPFIESLGLKMRFLDTAFFGGLCEPSKDLNLVCTMHANCCFGLDSKLHDLGIMLQDWKTFLSLPPTLKRSLSVSWRVPQNCSLNSLHQHDSPEKSVQQTVGH >Potri.008G142200.4.v4.1 pep chromosome:Pop_tri_v4:8:9574934:9583812:1 gene:Potri.008G142200.v4.1 transcript:Potri.008G142200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142200.v4.1 MLSESPVPRAVLVFASIAVFILLLYGASDSPPFLSSSSSSSGYSFNIFPSLLGGSDSQIPINGGDDYNKLEKVLKEAAMEDKTVIIATLNEAWAAPNTVIDLFLESFRIGQGTRRLLDHLVIVALDEKAYRRCMELHTHCFALVTQGLDFHDEAYFMTHVYLEMMWRRIDFLRSLLEMGDPFPRFFLDADFQIACDHFSGNSSDIQNRPNGGFNYVKSNKRSIEFYKFWYSSRETYPGFHDQDVLNFIKFDPFIESLGLKMRFLDTAFFGGLCEPSKDLNLVCTMHANCCFGLDSKLHDLGIMLQDWKTFLSLPPTLKRSLSVSWRVPQNCSLNSLHQHDSPEKSVQQTVGH >Potri.008G142200.6.v4.1 pep chromosome:Pop_tri_v4:8:9574934:9584526:1 gene:Potri.008G142200.v4.1 transcript:Potri.008G142200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142200.v4.1 MLSESPVPRAVLVFASIAVFILLLYGASDSPPFLSSSSSSSGYSFNIFPSLLGGSDSQIPINGGDDYNKLEKVLKEAAMEDKTVIIATLNEAWAAPNTVIDLFLESFRIGQGTRRLLDHLVIVALDEKAYRRCMELHTHCFALVTQGLDFHDEAYFMTHVYLEMMWRRIDFLRSLLEMGYNFVFTDADIMWFRDPFPRFFLDADFQIACDHFSGNSSDIQNRPNGGFNYVKSNKRSIEFYKFWYSSRETYPGFHDQDVLNFIKFDPFIESLGLKMRFLDTAFFGGLCEPSKDLNLVCTMHANCCFGLDSKLHDLGIMLQDWKTFLSLPPTLKRSLSVSWRVPQNCSLNSLHQHDSPEKSVQQTVGH >Potri.007G054900.1.v4.1 pep chromosome:Pop_tri_v4:7:5711347:5719289:1 gene:Potri.007G054900.v4.1 transcript:Potri.007G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G054900.v4.1 MKAHNLSLILIVLSSLTTPESATIIQDSNIQRRILHQPLYPVASAPPPATDSQPPPPPPDSSAIANPDQPFFPEVPNGQTPDLGQPPPASAVNGTIPIPTATQPAKPAKKVAIAISVGIVTLGMLSALAFFLYRHRAKHPRESQKLVGGNSQRFADESRVPPSSFLYIGTVEPSRASATEVNGTTTTTNGANTSPYHRLNSIKRSDNYRPSPDLQPLPPLPKPPPPPPQYENENFPSPTSSISDEESLDTAFYTPQGSTVSNDDSYYTPVLVRPANAARNDVRVQATTSVPHSKRTSPKSRFSSITSPEMKHVIIPSIKQPSLAPPPPPPPPPLPHQDKVQVLESTTSYFSKRPKFPVPPPPPNMELLRSIYNHQSSKIPPPPPPPPPPPPPPAPAPLSTSRKIGSLETAKTLVVSSMPATVMAKQKPSASSPKAILKTGITKTTEEVNKGASSSERNDADDNDGEKPKLKPLHWDKVRASSDRATVWDQLKSSSFQLNEDMMESLFGCNSANSVPKEATRKSVLPPAEHENRVLDPKKSQNIAILLRALNVTRDEVSEALLDGNPESLGAELLETLVKMAPTKEEEIKLREYSGDISKLGSAEQFLKTVLDIPFAFKRVEAMLYRANFDTEVKYLRKSFQTLEAASKELKNSRLFLKLLEAVLRTGNRMNVGTNRGDAKAFKLDTLLKLVDIKGTDGKTTLLHFVVQEIIRSEGTSTDSTNEKLQDSTLSKMKEDDFGKQGLQVVTGLSRDLSNVRKAAGMDSDVLSSYVSKLAMGLEKVRLVLQYDKPDMQGKFFHSMKLFLRGADEEISRIKSDERKALSLVKEVTDYFHGDTAKEEAHPFRIFVIVRDFLNVLDHVCKEVGKMQDRTMVGSARSFRISATASLPVLNRFNVRQDRSSDEESSSP >Potri.009G038600.2.v4.1 pep chromosome:Pop_tri_v4:9:4717947:4720789:1 gene:Potri.009G038600.v4.1 transcript:Potri.009G038600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038600.v4.1 MAVDLNNRDSLFLPSQYNHAEENTILAVDNKTTNQNGSTSLASSDFGSALSSPTESELGSTESESDQDDDYIAELSRQMAHHMLQDDDHERHEKTWSLAGWPQSTAWSELGSSQEEETVVVNKFEKFKIKEEEEIHKYANNEGCLSTSLKTHSVLSTVREPEISPADQFQSKQALIENQIKFYKLKKSEQIMKQQESLYGAKRSNWHKQNDPRRQVKQFQSKGRARGGQFTSHYGQKVSWANLQQQHGTGSEMRAVFLGDSCLRSGSGGGTGVFLPRGIGNTSGSQKKPGCSTVLIPARVVQALKLHFDKMGVASRSNGAILPIQHDALSGDVRCGLQLPQRMSQSPAMPAINSHQGTGLPQEWPY >Potri.007G047700.1.v4.1 pep chromosome:Pop_tri_v4:7:4391562:4394465:1 gene:Potri.007G047700.v4.1 transcript:Potri.007G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047700.v4.1 MVAVFNKELLSWYLITLKLRETVESAVPTSPAGAPLLESPEQPQQEQKKQQQTPSDSLQIVIEGDEENHEDHEETRSPDFDWVISIKEKLKEAGQEDAAGSWSKLSIYRVPRYLREGDDKAYVPQIISLGPYHHGKKRLRQMDQHKWRCLHRVIKRTNQDIKLYLDAVREIEEKARSCYEGPINLSSNEFVEMMVLDGCFVLELFRGVAEGFKKLGYPRNDPVFAMRGSMHSIQRDMIMLENQLPLFVLDRLLGLQFNNSDQKGLVAQLTLIFFDPLMPTDEPLTKSDRNRLESSLGRATTFDPLSDQGGLHCLDVFRRSLLRTGPKPVPRNWIKRRSNANRVADKRRQQLIHCVTELREAGIKLRKRKTDRFWDVKFKKGILRVPRLLIHDGTKSLFLNLIALEQCHIDCGNEITSFVIFMDNLINSPEDVAYLHYCGIIEHWLGSDADVADLFNQLCQEVVFDINDSYLSRLSEDVNHYYNHKWNTWRASLKHNYFSNPWAIVSLFAAVVLLLLTFAQTFYGVYAYYRPSS >Potri.006G071801.1.v4.1 pep chromosome:Pop_tri_v4:6:5234530:5235040:-1 gene:Potri.006G071801.v4.1 transcript:Potri.006G071801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071801.v4.1 MEMNELVALDVGTVKGLILMLVIRKRKLSVAACDALLDLSTTLIGRQRFLHLRYWFLYIMKMGVVLPVPG >Potri.016G086900.1.v4.1 pep chromosome:Pop_tri_v4:16:6845828:6847061:1 gene:Potri.016G086900.v4.1 transcript:Potri.016G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086900.v4.1 MKLSTKPLSSPGRTEKYYPPPLMRFLRINVGSRSRRRSRSSPMFVRKKNTTIETQEPSSPKVTCMGQVRVRRFKQANIRPGKPKKRLCKWIQKTLLCHHHLSRRKLKRKSFTPSWPKWAHFFRVGFKRKGKISEDCNSSKAEPNYGVRSEDFEQESEQEKEMEAKIYVSTAITPPRNAFLLTRCRSAPYRSSLAGRSWGSPSEIGKTKQKQCSTQQENIENGSPTSLREPVSEESDKESSLHPEMEVKISFLKEFEVSITSIREGIANSANMKESNTEVVSAVRPLILTRSKSEPARTGEKLDPELSFWKKRRLGST >Potri.014G136300.1.v4.1 pep chromosome:Pop_tri_v4:14:9252165:9255369:-1 gene:Potri.014G136300.v4.1 transcript:Potri.014G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136300.v4.1 MEKKEDASSPVGVLEDYFKSEDSESCSSKDPSSDAEVSKHASRWHGFVKLLRSRSKKSLATLHPLGVLKLSMRKSSSMRENIITNLFANSDSSNFKSPRINFTLSELQAATNNFSQENLIGKGGYAEVYKGCLQNGKIVAIKRLTRGTTDEIIGDFLSEMGIMAHVNHPNTAKLIGYGIEGGMHLVLELSPHGSLASVLYGSKERLTWHIRYKIALGTAHGLLYLHEGCQRRIIHRDIKAANILLTEDFEPQICDFGLAKWLPEHWTHHTVSKFEGTFGYLAPEYLMHGIVDEKTDVFAFGVLLLELVTGRRALDYSQQSLVLWAKPLLKNNEIGELVDPALVDDYNARQMNLVLLAASLCIQQSSIRRPHMIQVVQILNGNLSCLKCMKKIRLPFFRKAFLNEKLLGAEEYNSPKNRHIN >Potri.006G065000.3.v4.1 pep chromosome:Pop_tri_v4:6:4647982:4656667:1 gene:Potri.006G065000.v4.1 transcript:Potri.006G065000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065000.v4.1 MDRHALHQPSSSSSIPATANTVWADASSLLEAACKDLRDGELIHGENFNLYAAMSALEIMDPKMDSGIVNRYCSFDEAIEDGVAPVPISSDKTTDVQCMIDIMDYLLTCEATWHKGHSLAQTVFSCAYLLRPERTSSHALLHSYCKVIRATCKAVITVVSDARTHEEEDLFTMAYGLPLSGDGDEKCLSLLNAVEENISRQLRACKAPSSKRKPLEDIEPLQTNSDLEEGYCKALLCRLRFRKHFFHVLTCMRRPQGRGLELARKHIASCISELGIILKSAEFLMSNAYGTCEDGTEDRTTASGHQAIGFDASLNSRISAPTPPRSIKILSWKKAIEYFEKLLHDLDIICSYPLDPSLEVLLRFVAQFQKAQPDLVARAHLQLLLVQDGKLYGRCPMFSLIIRAARLPEVFLHHDIQKNEYVVQLGQLVINMLKILCTNAAWQRRKLGKILQDWRVIYVQIELAFRKEFGEGSSVSNGENASARILKHILIWVEEQTYWISHRFLVLGFELELYSPSEYCMVYWYLYVVLIRLAEKTHLKMTVSDGSAKQKGKKRKDSPKDLARDTRIPPAILFLQCQICLAEGLTLLLAALRNELMVLQSPSPFNSEHERFIQHFELLQKACIPDHISYPSFKESTSCARFSTLVMYNYFKDAQNIAKEVRSGFSNDPDRLAELRVLEQVAEHNSIALNVICQVGALDPSLKVSFEFIHHPCFATVVVKRS >Potri.006G065000.4.v4.1 pep chromosome:Pop_tri_v4:6:4647988:4656513:1 gene:Potri.006G065000.v4.1 transcript:Potri.006G065000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065000.v4.1 MDRHALHQPSSSSSIPATANTVWADASSLLEAACKDLRDGELIHGENFNLYAAMSALEIMDPKMDSGIVNRYCSFDEAIEDGVAPVPISSDKTTDVQCMIDIMDYLLTCEATWHKGHSLAQTVFSCAYLLRPERTSSHALLHSYCKVIRATCKAVITVVSDARTHEEEDLFTMAYGLPLSGDGDEKCLSLLNAVEENISRQLRACKAPSSKRKPLEDIEPLQTNSDLEEGYCKALLCRLRFRKHFFHVLTCMRRPQGRGLELARKHIASCISELGIILKSAEFLMSNAYGTCEDGTEDRTTASGHQAIGFDASLNSRISAPTPPRSIKILSWKKAIEYFEKLLHDLDIICSYPLDPSLEVLLRFVAQFQKAQPDLVARAHLQLLLVQDGKLYGRCPMFSLIIRAARLPEVFLHHDIQKNEYVVQLGQLVINMLKILCTNAAWQRRKLGKILQDWRVIYVQIELAFRKEFGEGSSVSNGENASARILKHILIWVEEQTYWISHRFLVLGFELELYSPSEYCMVYWYLYVVLIRLAEKTHLKMTVSDGSAKQKGKKRKDSPKDLARDTRIPPAILFLQCQICLAEGLTLLLAALRNELMVLQSPSPFNSEHERFIQHFELLQKACIPDHISYPSFKESTSCARFSTLVMYNYFKDAQNIAKEVRSGFSNDPDRLAELRVLEQVAEHNSIALNVICQVGALDPSLKVSFEFIHHPCFATVVVKRS >Potri.002G121400.1.v4.1 pep chromosome:Pop_tri_v4:2:9212107:9214270:-1 gene:Potri.002G121400.v4.1 transcript:Potri.002G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G121400.v4.1 MFLHFLLLYLVLYVLTNHFRNKIQNLPPSPFPALPIIGHLHLLKKPLHRSLSKISNRHGPVVLLQLGSRRVLVVSSPSAAEECFTKNDIVFANRPHLLAGKHLGRNYTTLPWAPHGDLWRNLRKISSLEILSSNRLQLLSSIRTEEVKLLIRRLFKNNDQIIDLKSSFFELMLNVMMRMIAGKRYYGENEAEVEEGRRFREIVTETFQVSGASAVGDFLHVLAVIGGTEKRFMKLQEKRDGFMQELVDEPRRRMGNNKSCFSNERNYKTMIEVLLTLQESEPEYYKDETIKDLMVVLLSAGTDTTAGTVEWALSLLLNNPLILKKAQNEIDKVVGQDRLIDESDVAKLPYLHCVIKETMRMYPVGPLLVPHESSEECVVGGFQIPRGTMLLVNIWAIQNDPKIWDDAAKFKPERFDGSEGVRDGFKLMPFGSGRRSCPGEGLAMRMAGLTLGSLLQCFEWDRVSQEMVDLTEGTGLSMPKAQPLLARCTSRPSMANLLSQI >Potri.009G162900.1.v4.1 pep chromosome:Pop_tri_v4:9:12523103:12528208:-1 gene:Potri.009G162900.v4.1 transcript:Potri.009G162900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G162900.v4.1 MTEVLHSPSHFPSSPSPTSSSSSSSSSSVTCIPPQSPLTQDGIDEDDEELVKQREKNQRDQISLLALLVALFRKSLVACKSDRRELCASMEIGWPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASATVFGVSTESMQLSYDSRGNSVPTILLLMQRRLYAHGGLQAEGIFRIAAENSQEEYVREQLNGGVVPEGVDVHCLAGLIKAWFRELPTGVLDSLSPEQVIECRTEEDCANLARNLPPTEAALLDWAINLMADVVQQEHLNKMNAHNVATVFAPNMTQMADPLTALMYAVQVMNFLKTLILRTLREREDSVVDSSPSSRLEPFDENGHESPSLSCAEGRENENETIERAFMAKEPVVESSHNSSQNNFIADEEDLSYATSVDKLIASGDHSCETATEVDLVNDTYSRRVKAGVQAGTRKNSAGQSSNSSLRKSPGKFSRQSSVLHLTPPTNKTRGISSFIESRSERIEAWR >Potri.003G077100.4.v4.1 pep chromosome:Pop_tri_v4:3:10443384:10452098:-1 gene:Potri.003G077100.v4.1 transcript:Potri.003G077100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077100.v4.1 MATNPPFNVMEDQTDEDFFDNLVDDDDFRPTNSDSAPKFTEGSDSDEAKAFANLSIEDAKGGFEGKGEINSGDDAAGLDDVKAEESNALESVNPLGLSDGLVESNNDGIGSAVVPEAIVSQSSESMKSGAKEVGWGSFYADSAENGFGSSSDFFNDFGGISEDFPVKTVESVGNLENTDGGGLDNSVCYQKYQDGAHVYAGSVENVNEQDLNSSQHWENMYPGWKYDANTGQWYQVDAFDATASVQGIVDGALGGEWASASASDGKTEVNYLQQTSQSVVGTVAETSTTESVSSWNQVSQGNNNGYPEHMVFDPQYPGWYYDTMVGEWRSLESSTSSAKSTTVQTNGQQNQNGFAFSDPYSQNSSSTYAEYGQAGKYGSQGYNSQGQHGSWDESYGNNQQNLNMWQPQTTAKIDAVSNFGGNLQLHKSYGSNFSMNNHVDQQKAINSLGTVPSYDKASQSNAEANELVGLQNFVPGGSFSQQYNQGTVKQNEQANFSNDYSCSQEQVSVTHQSFQSNQQFSYAPNTGRSSAGRPPHALVTFGFGGKLIVMKDGSSLRNTYFGNQDRVGGSISVMNLVEVLSGSSDNSSSVGGSTSCYFDALCQQSFPGPLVGGNVGNKELNKWIDERIAHCELPDVNHKKGKALRLLLSLLKLACQHYGKLRSSFGTDNLLKESDAPESAVAELFGSVKRNGTQFSEFGALDHCLQNVPSEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQYYVDTVKLMALRQLVAGSPLRTLCLLIAGQPAEVFSTNATGHGGLHGDFSTPQQPVQLGTNGMLDDWEENLAVITANRTKDDELVLIHLGDCLWKDRSEITAAHICYLVAEANFESYSDTARLCLIGADHWKHPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKQLVLSLEERCRAHQQGGYTTNLAPAKLVGKLLNFFDSTAHRVVGGLPPPVPSASQGSVQDSHHQQVAPRVSGSQSTMAMSSLMPSASMEPISEWAADGNRMTMHNRSVSEPDFGRSPRQVDSSTEETSSSAQSKASGPVVSSRFGRFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEPALAPPPTTLGFQNGGSDYNLKSSLKSDVSSTDGSPPFKSPTPMDRTSGIPPIPIGSNQFSACGRMGVRARYVDTFNQGGGSPANLFQSPSVPSVKPAVAANAKFFVPTPAPPHEYSMEAIAENIQEDSATTENPSTSNMNKNGPSHPSTSSALTMQRFSSVDNITRKGAMINGNGPVSSHSRRTASWSGSFSDSFSPPKAVESKSQGEMLSMSPSSFMPSNHSMTRMSSSGSFGDDLHEVEL >Potri.003G077100.5.v4.1 pep chromosome:Pop_tri_v4:3:10443278:10449807:-1 gene:Potri.003G077100.v4.1 transcript:Potri.003G077100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077100.v4.1 MNLVEVLSGSSDNSSSVGGSTSCYFDALCQQSFPGPLVGGNVGNKELNKWIDERIAHCELPDVNHKKGKALRLLLSLLKLACQHYGKLRSSFGTDNLLKESDAPESAVAELFGSVKRNGTQFSEFGALDHCLQNVPSEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQYYVDTVKLMALRQLVAGSPLRTLCLLIAGQPAEVFSTNATGHGGLHGDFSTPQQPVQLGTNGMLDDWEENLAVITANRTKDDELVLIHLGDCLWKDRSEITAAHICYLVAEANFESYSDTARLCLIGADHWKHPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKQLVLSLEERCRAHQQGGYTTNLAPAKLVGKLLNFFDSTAHRVVGGLPPPVPSASQGSVQDSHHQQVAPRVSGSQSTMAMSSLMPSASMEPISEWAADGNRMTMHNRSVSEPDFGRSPRQVDSSTEETSSSAQSKASGPVVSSRFGRFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEPALAPPPTTLGFQNGGSDYNLKSSLKSDVSSTDGSPPFKSPTPMDRTSGIPPIPIGSNQFSACGRMGVRARYVDTFNQGGGSPANLFQSPSVPSVKPAVAANAKFFVPTPAPPHEYSMEAIAENIQEDSATTENPSTSNMNKNGPSHPSTSSALTMQRFSSVDNITRKGAMINGNGPVSSHSRRTASWSGSFSDSFSPPKAVESKSQGEMLSMSPSSFMPSNHSMTRMSSSGSFGDDLHEVEL >Potri.003G077100.1.v4.1 pep chromosome:Pop_tri_v4:3:10443386:10452123:-1 gene:Potri.003G077100.v4.1 transcript:Potri.003G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077100.v4.1 MATNPPFNVMEDQTDEDFFDNLVDDDDFRPTNSDSAPKFTEGSDSDEAKAFANLSIEDAKGGFEGKGEINSGDDAAGLDDVKAEESNALESVNPLGLSDGLVESNNDGIGSAVVPEAIVSQSSESMKSGAKEVGWGSFYADSAENGFGSSSDFFNDFGGISEDFPVKTVESVGNLENTDGGGLDNSVCYQKYQDGAHVYAGSVENVNEQDLNSSQHWENMYPGWKYDANTGQWYQVDAFDATASVQGIVDGALGGEWASASASDGKTEVNYLQQTSQSVVGTVAETSTTESVSSWNQVSQGNNNGYPEHMVFDPQYPGWYYDTMVGEWRSLESSTSSAKSTTVQTNGQQNQNGFAFSDPYSQNSSSTYAEYGQAGKYGSQGYNSQGQHGSWDESYGNNQQNLNMWQPQTTAKIDAVSNFGGNLQLHKSYGSNFSMNNHVDQQKAINSLGTVPSYDKASQSNAEANELVGLQNFVPGGSFSQQYNQGTVKQNEQANFSNDYSCSQEQVSVTHQSFQSNQQFSYAPNTGRSSAGRPPHALVTFGFGGKLIVMKDGSSLRNTYFGNQDRVGGSISVMNLVEVLSGSSDNSSSVGGSTSCYFDALCQQSFPGPLVGGNVGNKELNKWIDERIAHCELPDVNHKKGKALRLLLSLLKLACQHYGKLRSSFGTDNLLKESDAPESAVAELFGSVKRNGTQFSEFGALDHCLQNVPSEGQIRATASEVQHLLVSGRKKEALQCAQEGQLWGPALVLASQLGDQYYVDTVKLMALRQLVAGSPLRTLCLLIAGQPAEVFSTNATGHGGLHGDFSTPQQPVQLGTNGMLDDWEENLAVITANRTKDDELVLIHLGDCLWKDRSEITAAHICYLVAEANFESYSDTARLCLIGADHWKHPRTYASPEAIQRTELYEYSKVLGNSQFILLPFQPYKLIYAYMLAEVGKVSDSLKYCQAVLKSLKTGRAPEVETWKQLVLSLEERCRAHQQGGYTTNLAPAKLVGKLLNFFDSTAHRVVGGLPPPVPSASQGSVQDSHHQQVAPRVSGSQSTMAMSSLMPSASMEPISEWAADGNRMTMHNRSVSEPDFGRSPRQVDSSTEETSSSAQSKASGPVVSSRFGRFGFGSQLLQKTVGLVLRPRSDKQAKLGEKNKFYYDEKLKRWVEEGAEPPAEEPALAPPPTTLGFQNGGSDYNLKSSLKSDVSSTDGSPPFKSPTPMDRTSGIPPIPIGSNQFSACGRMGVRARYVDTFNQGGGSPANLFQSPSVPSVKPAVAANAKFFVPTPAPPHEYSMEAIAENIQEDSATTENPSTSNMNKNGPSHPSTSSALTMQRFSSVDNITRKGAMINGNGPVSSHSRRTASWSGSFSDSFSPPKAVESKSQGEMLSMSPSSFMPSNHSMTRMSSSGSFGDDLHEVEL >Potri.010G089050.1.v4.1 pep chromosome:Pop_tri_v4:10:11400665:11407255:-1 gene:Potri.010G089050.v4.1 transcript:Potri.010G089050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089050.v4.1 MASTILKVVLLLLFSSFTISPISCYKGAQHPLDPLSPKELTLVQTIVKKSYNPSSNNTISFHYVGLDEPEKPTVLSWLSKPSTKTLPRRALVFTRVNEQTHEIIVDLSKRIIVYDEVYKGLGYPLLTAEEQIAAIQLPLTYGPFIESAKKRDLNVSYVVCSTFTVGWFGGVERTKRVVKVQCFYNKDTVNLYLRPIEGIGIVVDLDKMKIVEYSDTFKIAVPKAEGTDYRFSKQNPPFGPRINGAAIMQTNGPGFEIDGHTIRWANWVFHLAFDVRVGPIISLASIYDPEKHTYRSVLYRGHISELFVPYMDPTEEYYYKTFFDCGEFGFGQNAASLVPLADCPSNAVFMDGYYAAHDGSPVKVANAFCIFERHAGDIMWRHTELGIPNRVITEARPEVSLVVRMVATIGNYDHIIDWEFKPSGSIKAQVGLSGILEVKSTTFTNVDQINEEVYGTLLGDNTIGLNHDHFLTYRLDLDIDGVANSFVKQNLVTKYVNDNVSPRKSYWTVVSETAKTESEAKIRLGTTPSDLVIVNPNKKTKPGNHHGYRLIPGAATHPLLLEDDYPQIRGAFSKNNVWVTPYNKSEIWAGGKYVDQSQGQDTLAVWTLRDRKIENEDIVLWHVLGYHHSPCQEDFPVMPTLSAGFELRPANFFESNPVLKVLPPKPVRWPNCTAKP >Potri.015G001700.1.v4.1 pep chromosome:Pop_tri_v4:15:87827:88354:-1 gene:Potri.015G001700.v4.1 transcript:Potri.015G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001700.v4.1 MGGCAGKFKGSDDLAPEPLPSDAPVNPDQAEGETVAKEKEVGEIKTEAPLVDVSEQKHEGEKPAEPETPAAEPEKKDETPVAEPEKKDETPAAKSEDKVEASAAPEKKEETPPAKETEKKESDVAPTKEANKETPPVTV >Potri.008G016300.1.v4.1 pep chromosome:Pop_tri_v4:8:828203:830364:1 gene:Potri.008G016300.v4.1 transcript:Potri.008G016300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016300.v4.1 MAASLDGKASKSKNCSCASFLCSSLPDDVLVEILCRVTDRKHLIRLKSVCKSWNNLITDACVPKISASSPLHGFIYLAKKIGFGKPYIDYIPCAITPAVAPEPHEFVKSYSSLLPFEPARGDFLDCCNGLLLFVEGCTLQYYVCNPATKHCVAIPRDFMLENIIFAALAFDPSTSPHYRVVCFDYSESSPL >Potri.006G057100.2.v4.1 pep chromosome:Pop_tri_v4:6:4027930:4028232:-1 gene:Potri.006G057100.v4.1 transcript:Potri.006G057100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057100.v4.1 MVGRAEPIIYVTTCDTEFPKRVISNLPFINLTANSENGVILLGSKGGLLSNLRFSGMNLTCRRWANYPGGLVDYRPGCQDVVNHGAAGIIMEYIEGFDRG >Potri.010G184200.2.v4.1 pep chromosome:Pop_tri_v4:10:18173201:18178644:1 gene:Potri.010G184200.v4.1 transcript:Potri.010G184200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184200.v4.1 MSVPQEHQSSTVDPPPPPPFDPTQPSIPISYPIKTLEDLGSRAYFKSFHCPFNICSVPLENSVLDNRPRVLVCHDMQGGYVDDKWIQGGSNPDAYAIWHWYLIDVFVYFSHNLVTLPPPCWTNTAHRHGVKVLGTFITEWDEGKAICNKLLSTKESAHMYAELLSELAVALGFDGWLLNMEVKLELGQIPNLKEFISHLTQTMHSSLPGSLVIWYDSVTIYGNLSWQNQLNDKNKPFFDICDGIFVNYSWKEDYPRSSAAVAGDRKFDVYMGIDVFGRNTFGGGQWMTNVALDVLKKDDVSAAIFAPGWVYETKQPPDFQTAQNHWWSLVEKSWGAVKFYPKTLPFYSNFDQGHGYHISVEGGQVSDAPWNNISSQGFQPFLKFTGNPSPDTIEVFVDFKEASYRGGGNITFKGTLEENTDFTTIIFQGELLMDAVPLHITHSVKSEGDSLLGLSLHFSSAANERTSVLLASWGTNQFSRKFSKIIAPCQVNKPRTAPGWAVLESSIEMNGYTLTEIHAVCYRPKHEHSQLRLEHRTDGSEDTLTYSPKEYHAVLGHITMNTSKENTYFPPSSSWLVEGHYIKWSSGSQGSKTVSVKIDWKSKDGTDSQFPKYNIYVEKLPKQAVRNHGVGLGGVQEYLGVANVEAFYVSELPIPATTSSIKFIIQVCGVDGVCQNMDDSPYFQLDVKATTVGGRKMFQLFSYVERNNLVNPWAHSTNGQQLLGCKSHGKLGIRHFEWFDSLMCERSKAVIRGLLQSTSRMEIRELLRLPSRERKIWVIMILSMVFVILLCTLSNGKWGKETLVFP >Potri.003G148100.1.v4.1 pep chromosome:Pop_tri_v4:3:16214331:16214537:1 gene:Potri.003G148100.v4.1 transcript:Potri.003G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148100.v4.1 MGMVVVISLPLIIFCLLLGFGCYYLGRYKGRQDVRTNAQVFGVPIPPPGTASKPPPPPHSKPGNLENV >Potri.003G021900.2.v4.1 pep chromosome:Pop_tri_v4:3:2306437:2311661:1 gene:Potri.003G021900.v4.1 transcript:Potri.003G021900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021900.v4.1 MVQYKHLAVEETEEELQREIDELYRQQRHITERLRDPRGLRRGGLSSAAAAAPRNFVANGARPRGFVRPADRNNAEDQPPAKRRLLSSVVKVEEDGESVEDSATEEDAKNEQMGEDGNVGPATGIRGDGKPFKLQQSGWSRRDFDLRAVKRCVLCAFIFLPRALPKNQDPRLVSRNKRMLGQLLGTLEKFRKEDMKISGTEAFIQRSNALQRAEQKAHEERERLRQQECEQIAEQRRRDLTLRARITVKAEEKKLELLFLRWNDHHKKLSNFIRTKAEPPIYYLPKQPLEKDATLLDQQREQVTFLEWKAGRRDELSEYQKQIGDQQLSYVEKELERWQNAGERAMMLEHGPKTRKIPGGSNNKEDDDVEDINVGEDDVMDDVLEVDDLQEG >Potri.013G070100.1.v4.1 pep chromosome:Pop_tri_v4:13:5703198:5706521:1 gene:Potri.013G070100.v4.1 transcript:Potri.013G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070100.v4.1 MPLSAINQFCYPTPSLVKTKTPASLKCSFLSKSPPFPLTKTTPLTLNRNRKFSLSIVAMSMEAGVGVMGTKLGMMTYFEPNGKVVPVTVVGFREGNIVTQIKTDATDGYDAVQVGYRRVRDKKLTKPEMGHLEKAGVIPMRHLQEFRLQGLDGFEIGQKLLFEELFKEGDLVDVSGTTIGKGFQGGIKRHNFKRGPMSHGSKSHRALGSIGAGTTPGRVYKGKKMPGRMGGTKTKIRKLKIVKIDNDLRVVMIKGALPGKPGNLLRIAPAKIVGKNIPKS >Potri.001G112250.1.v4.1 pep chromosome:Pop_tri_v4:1:9070438:9071237:-1 gene:Potri.001G112250.v4.1 transcript:Potri.001G112250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112250.v4.1 MKEDKKLQLGGVTPLLLLLPSHHLPTTTMLLLSLGITLITIISFHFLFKIKKNKKKSIFLIPSLASLPLLPPWFFLGNTYRFAFCRAMLIDKVLSGFPRCIYVVDYRPCIH >Potri.006G235500.2.v4.1 pep chromosome:Pop_tri_v4:6:23778598:23782083:-1 gene:Potri.006G235500.v4.1 transcript:Potri.006G235500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235500.v4.1 MSELTILFLRTSPLLCVLVLLLSLPFRVISQDANTEKTILLKLKQQLGNPPSIQSWNSSSSPCNWTGVTCGGDGSVSELHLGDKNITETIPATVCDLKNLTFLEMNFNHIPGGFPKVLYSCTKLQHLDLSQNFFFGPIPDDIDKLSGLRYINLGANNFTGNIPPQMANLTGLQTLFLYQNQFNGTLPKEISKLSNLEELGLAINEFVPSSIPVEFGQLKKLRYLWMRLANLIGEIPESLTNLSSLEHLDLAENDLEGKIPDGLFSLKNLTYLYLFQNKLSGEIPQRVETLNLVEIDLAMNQLNGSIPEDFGKLKKLQLLSLFDNHLSGEVPPSIGLLPALTAFKVFSNNMSGALPPKMGLYSKLVEFDVATNQFSGQLPENLCAGGVLLGAVAFENNLSGRVPQSLGNCDSLLTVQLYSNSFSGEIPAGVWTASNMIYLMLSDNSFSGGLPSKLAWNLSKLELGNNRFSGPIPPGISSWVNLVDFKASNNLLSGEIPVEITSLPHLSNLLLDGNQFSGQLPSKIISWKSLTSLNLSRNALSGQIPKEIGSLPDLLYLDLSQNHFSGEIPLEFDQLKLVSLNLSSNHLSGKIPDQFDNHAYDNSFLNNSNLCAVNPILNFPNCYAKLRDSKKMPSKTLALILALTVTIFLVTTIVTLFMVRDYQRKKAKRDLAAWKLTSFQRLDFTEANVLASLTENNLIGSGGSGKVYRVAINRAGDYVAVKRIWNNETMDHNLEKEFLAEVQILGTIRHANIVKLLCCISSEQLKASCL >Potri.001G191800.1.v4.1 pep chromosome:Pop_tri_v4:1:17618155:17621842:-1 gene:Potri.001G191800.v4.1 transcript:Potri.001G191800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191800.v4.1 MDSLGWDVDSQVLTNSSSLWSNQQHDGVDLGEDIFHQIQELQKTQTSAPQLNSGSERHQEVTRLVANTVLAKSSGAGTICNWGDASAQELYSSSLISKPFSVTCMADFSMGGQPRINTNGLQNSKACVSTGSLESLDCLLSATNSNTDTSVEDDGISMIFSDCRNLWNFAPNSSAAVSSGESENNTCNPGNKEMHCPVSELDETVSHCSSDQYGKNRDCSQTKPVSTKRSNDHCSELKMGLKHPFFDILQSECSNQEGGFRLISDNPPKSKKPRSDKRPSSSNINFQQPSSSISSSIEEPDPEAIAQMKEMIYRAAAFRPVNLGLEVAEKPKRKNVRISTDPQTVAARQRRERISDRIRVLQGMVPGGSKMDTASMLDEAANYLKFLRSQVKALENLGHKLDSVNCPQPTNIAFSSLPFNHSFPLQNHFPFQNPNHIHPSQG >Potri.013G026500.6.v4.1 pep chromosome:Pop_tri_v4:13:1714232:1717855:1 gene:Potri.013G026500.v4.1 transcript:Potri.013G026500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026500.v4.1 MAILLSLSPHHHHPPLNPRQNPNPYSPKPTPHATSLPSTISKRQFIFKTTSLCLISLATQHPLAQALAEPSPPLKSVLSILANTKSWFQFYGDGFAIRVPPQFEDIMEPEDYSAGLSLYGDKAKPKTFAARFASSDGSEVLNVVVRPSNQLKITFLEAKDITDLGSLKEAAKLFVPGGTTLFSARTLKIKEEEGYRTYYFYEFGRDDQHAALVAVVNSGKAIIAGATAPQSKWDEDGVKLRSAVISLTVL >Potri.013G026500.3.v4.1 pep chromosome:Pop_tri_v4:13:1714233:1717811:1 gene:Potri.013G026500.v4.1 transcript:Potri.013G026500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026500.v4.1 MAILLSLSPHHHHPPLNPRQNPNPYSPKPTPHATSLPSTISKRQFIFKTTSLCLISLATQHPLAQALAEPSPPLKSVLSILANTKSWFQFYGDGFAIRVPPQFEDIMEPEDYSAGLSLYGDKAKPKTFAARFASSDGSEVLNVVVRPSNQLKITFLEAKDITDLGSLKEAAKLFVPGGTTLFSARTLKIKEEEGYRTYYFYEFGRDDQHAALVAVVNSGKAIIAGATAPQSKWDEDGVKLRSAVISLTVL >Potri.017G040700.2.v4.1 pep chromosome:Pop_tri_v4:17:2694751:2695943:1 gene:Potri.017G040700.v4.1 transcript:Potri.017G040700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040700.v4.1 MMMLNKRKKQTQGRQKIEIKQIEEKSNLQVTFSKRRGGLVKKASELSLLCGAQVAILAFSPGKKVFAFGHRDVDMVLDRYLTESSTAGELGAASNDPQVQQWNKEYEEALKELEEEKKHVAMAEQWNKVCENNVNARFWWDEPIDDMELEELEEYVRAMEELKKNVAARANELTMANDHFGNQNMSHHLDLGVHGFSLENVLF >Potri.005G014300.1.v4.1 pep chromosome:Pop_tri_v4:5:1134039:1135262:-1 gene:Potri.005G014300.v4.1 transcript:Potri.005G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014300.v4.1 MVCQKEPLVQKVCDLYEQISSLESLKPSKDVDMLFTQLVLTCIPPNPIDVNKLCKKIQEMRSKLIRLCGEAEGHLESHFSAILGSYENPLDHLNVFPYYSNYLKLSHLEFNILKQHCTNVPSKVAFVGSGPLPLSSIVLACNHLTATSFHNYDVDPSANSKALQLVTSHPDLSSRMFFHTTDIMDVTSELQEFDVVFLAALVGMDKEEKVKVIDHLAKQMAPGAILMLRSAHGARAFLYPVIDPCDLRGFEVLSVFHPSDEVINSVVIARKYSMPINSIDHQQTGLGSMIVLPNKCCEILSFNPLSHVTMVEELAVEEQHS >Potri.006G120650.1.v4.1 pep chromosome:Pop_tri_v4:6:9505406:9505794:1 gene:Potri.006G120650.v4.1 transcript:Potri.006G120650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120650.v4.1 MFLLIQKWRGNKTGLSNVGFAIPLLAKSYYVSLTLNKSCSEIMILNSRAEEWSHMLHILTRPARLQPVVVMDLVFFFLIISLNGFLFLFFSLDLLL >Potri.005G240200.2.v4.1 pep chromosome:Pop_tri_v4:5:23698001:23698664:1 gene:Potri.005G240200.v4.1 transcript:Potri.005G240200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240200.v4.1 MTIGGVPAGRIVIELFADTTPRTAENFRALCTGEKGKGRSGKPLHYKGSTFHRVIPGFMCQGGDFTAGNGTGGESIYGAKFADENFIKKHTGPGILSMANSGPGTNGSQFFICTDKTEWLDGKHVVFGKVVEGMNVVKAIEKVGSSGGRTSRPVVVADCGQLS >Potri.005G240200.1.v4.1 pep chromosome:Pop_tri_v4:5:23697976:23698640:1 gene:Potri.005G240200.v4.1 transcript:Potri.005G240200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240200.v4.1 MANPKVYFDMTIGGVPAGRIVIELFADTTPRTAENFRALCTGEKGKGRSGKPLHYKGSTFHRVIPGFMCQGGDFTAGNGTGGESIYGAKFADENFIKKHTGPGILSMANSGPGTNGSQFFICTDKTEWLDGKHVVFGKVVEGMNVVKAIEKVGSSGGRTSRPVVVADCGQLS >Potri.001G342800.1.v4.1 pep chromosome:Pop_tri_v4:1:35358654:35362470:1 gene:Potri.001G342800.v4.1 transcript:Potri.001G342800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342800.v4.1 MAGKLMHAVQYSTYGGGAAGLKHVEVPVPSAKGDEVLLKLEATSLNPVDWKMQKGMLRPLLPRRFPYIPGTDVAGEVVEVGPGVTNFKTGDKVVAILSHLSGGGLAEFVVAKKSLPVARPPEVSAAEGAGLPVAGLTAHQALTQSAGVKLDGSGNQKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVLDYKTPEGAALKSPSGKKYDAVIHCAAGVPWSTFEPNLSANGKVIDITPGPSAFMTFAFKKLTFSKKQLVPLLLIPNGENLNYLVNLVKEGKLKTVIDSKHPLSRAEDAWAKSIDSHATGKIIVEP >Potri.019G023500.1.v4.1 pep chromosome:Pop_tri_v4:19:3497375:3498676:-1 gene:Potri.019G023500.v4.1 transcript:Potri.019G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023500.v4.1 MRRSKSAKQSHNPNITVRRSERLADNFKKLPDDLILKILFKIQDDTKTLIRCSTVCKNLHSLVSKIDTVSLKFLCPNEGDDDDDDDDDVLLCSQSHHHIPQVAFPALMKVFANLNFLEIKLCSFPSSSNLHVSRLNCMIGDCDSIHCELIFAIQVGVLSSTRRSRNIPLKLNGKFDTSFAETMMFFLKKMVPHWPKTLKKVVILSANMQGSGSRGKVFIGEEELDNFVDLISTSMVYESWLNWLNNPKNVTYWRKDAQNDEHSWLRENVWILYGLGFPWVKDRVTTDIVVMESVVKELLGAFE >Potri.001G459400.1.v4.1 pep chromosome:Pop_tri_v4:1:48526631:48527946:1 gene:Potri.001G459400.v4.1 transcript:Potri.001G459400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459400.v4.1 MSQNSADLPWKVRLFTSLISFTFKVMIRRSDGSLNRLLLNFLDYKTSPSPDKPIDGVTTTDFTIDEDRNLWFRLYNPVFRTSTTDNEVNIPVIFYFHGSGFVCMAANSKLFDDLCYRLARLLPAVIISVNYRLAPEHRYPCQYEDGFDVIKFIDISYLEVLPNHANLKHSFVAGDSAGGNLAHHMALKASKYELSNIKLNGVIAIQPFFGGEERTGSEIKLSRDPIVPMDTTDWMWRSFLPEGSNRDHQVSNVFGPNSVDISELEFPAVLVIIGGLDPLQDWQKRYCEGLKKSGKEVYLVEYDNAFHSFYLFPCVPEFSLFIKEVKDFMQKQMSR >Potri.009G125500.1.v4.1 pep chromosome:Pop_tri_v4:9:10430470:10434295:1 gene:Potri.009G125500.v4.1 transcript:Potri.009G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125500.v4.1 MAESPAGLTSQNWNPTQDQQQPTATPEQLIAKSIAPVKPQFLRPPPSRASQKDTTSSTVSDSNNDISKSINTNTTSVVAKEKKSKRQLKRERQQEKKSSVNLCPELAKTGDVNSCPYKNNCRFNHDLEAFKAQKPEDLEGECPFVNGEGSCCPYGLACRFYGTHKGRDGVRNGKKQISEINGLNKDVQKLLWKNKMKFLKADSVLKSLGLTGPGKSKVKKVDEEEVEKVVDDNDSHGTNDTNENGCGDGGNESAGKLDCAAEVLGGDDMDGVLTDEVRPQKKAKSAVEVNGCSGEEVNGLGIPEKDIENNCPLKTEPELVSDKLEVIADSVETDGSLKLHPREKKLIDFRGKLYLAPLTTVGNLPFRRVCKALGADVTCGEMAMCTNLLQGQASEWALLRRHSSEDLFGVQICGAYPDTVTRTVELIDQECTVDFIDINMGCPIDIVVNKGAGSSLLTKPMRMKSIIEATSGTVEKPITVKVRTGYFEGKSRIDSLIVDIGKWGASAVTIHGRSRQQRYSKLADWDYIYQCARKAPDSLQVLGNGDVFSFVDWNNHKSDCPELSSCMIARGALIKPWIFTEIKEQRHWDISSGERLNILKDYVRSGLEHWGSDTKGVETTRHFLLEWLSYTCRYVPVGLLDVIPQRLNWRPPSYYGRDDLETLMASDSAADWVRISEMLLGKVPDAFTFAPKHKSNAYDRAENG >Potri.003G114600.2.v4.1 pep chromosome:Pop_tri_v4:3:13667451:13669472:1 gene:Potri.003G114600.v4.1 transcript:Potri.003G114600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114600.v4.1 MSLLDTTRPSTPGKLKQEKSLLYLQTHRQRSLRLYSSLSKFALSSFFFLIIFLFFSLLSSPSSPSNRSKITNSLPGGSQWEKKVLKSARPKLRAGFTVFVTGAAGFVGTHVSVALKRRGDGVLGLDNFNHYYDVNLKRDRQKVLERSGVFVVEGDINDVKLLQKLFDVVYFTHVMHLAAQAGVRYAMQNPKSYVNSNIAGFVNLLEVCKSADPQPAIVWASSSSVYGLNKRVPFSEKDRTDQPASLYAATKKAGEALAHSYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKNILKGKEIGVYETADGKSVARDFTYIDDIVKGCLAALDTAKNSTGSGGKKRGPAQLRVFNLGNTSPVPVSKLVSILEKLLKVKAKKKVLPLPRNGDVEFTHANISSAQRELGYMPTTDLETGLKKFVRWYTGYFSGSKKKS >Potri.003G114600.3.v4.1 pep chromosome:Pop_tri_v4:3:13667446:13669255:1 gene:Potri.003G114600.v4.1 transcript:Potri.003G114600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114600.v4.1 MSLLDTTRPSTPGKLKQEKSLLYLQTHRQRSLRLYSSLSKFALSSFFFLIIFLFFSLLSSPSSPSNRSKITNSLPGGSQWEKKVLKSARPKLRAGFTVFVTGAAGFVGTHVSVALKRRGDGVLGLDNFNHYYDVNLKRDRQKVLERSGVFVVEGDINDVKLLQKLFDVVYFTHVMHLAAQAGVRYAMQNPKSYVNSNIAGFVNLLEVCKSADPQPAIVWASSSSVYGLNKRVPFSEKDRTDQPASLYAATKKAGEALAHSYNHIYGLSITGLRFFTVYGPWGRPDMAYFFFTKNILKGKEIGVYETADGKSVARDFTYIDDIVKGCLAALDTAKNSTGSGGKKRGPAQLRVFNLGNTSPVPVSKLVSILEKLLKVKAKKKVLPLPRNGDVEFTHANISSAQRELGYMPTTDLETGLKKFVRWYTGYFSGSKKKSW >Potri.006G196100.3.v4.1 pep chromosome:Pop_tri_v4:6:20430023:20436487:1 gene:Potri.006G196100.v4.1 transcript:Potri.006G196100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196100.v4.1 MGTNSLSSESITDLDQQIEQLMQCKPLSEPQVRTLCEKAKEILMQESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYHHRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPTIETLDNIRNFDRVQEVPHEGAMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHVNSLKLIARAHQLVMDGFNWAHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Potri.001G133200.1.v4.1 pep chromosome:Pop_tri_v4:1:10836212:10837981:-1 gene:Potri.001G133200.v4.1 transcript:Potri.001G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133200.v4.1 MEIKSKVLVIGGTGYLGKRLVKASLGQGHETYVLYRPEIGVDIEKVQMLLSFKEQGAHLVQGSFNDQRSLVDAVKLVDVVICAVSGVHIRSHQILLQLKLVDAIKEAGNVKRFLPSEFGTDPAKMENAMEPGRVTFDDKMVVRKAIEDAGIPFTYVSANCFAGYFLGGLCQPGHIIPSREHVSILGNGKERAIYVDEDDIAMYTIKTVDDPRTLNKTLYIRPPNNILSQREVVQIWEKLIGKELRKSTISSEEFLACMREQDYAEQVGLTHYYHVCYEGCLTNFEIGDEGEEASELYPEVKYTTVEKYMKRYL >Potri.002G016100.1.v4.1 pep chromosome:Pop_tri_v4:2:971109:976741:-1 gene:Potri.002G016100.v4.1 transcript:Potri.002G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016100.v4.1 MAAADNNPMTATSILHGDLELKIIEARRLPNMDLVSERLRRCFSAFDPCRHPFSKERKKQQNHRRKIITSDPYVTVCVSGARVARTRVISNTQNPVWNEHFKIPLAHPAEKIDFYVKDNDMFGAELIGTASVEVEKILSGETISAWFPIIGLYGKPPKTDCALHVEMRFTKCEQPDDKLGVENCYFPVRHGGNVTLYQDAHVPDSGLPEIELENGNVFRHGKCWEDICHAIVEAHHLVYIAGWSIFHKVKLVREPSKPLPRGGDLNLGELLKYKSQEGVRVLLLVWDDKTSHNKFFLRTNGVMQTHDEETRKFFKHSSVNCVLSPRYASSKLSIFRQQVIGTLYTHHQKCVLVDTQASGNNRKITAFIGGLDLCDGRYDTPEHRLFRGLDTVFQDDYHNPTFPAGTKGPRQPWHDLHCKIEGPAAYDVLTNFEQRWRKASKWSEFGRSFKRATHWRDDALIKLERISWILGPSPSVPNDDPTLWVSEEDDPENWHVQVFRSIDSGSLKGFPKDVYQAEKQNLVCAKNLVIDKSIQTAYIQAIRSAQHFIYIENQYFLGSSFAWSDYKNAGAENLIPMELALKIASKIRAKERFAVYVVIPMWPEGVPTSASVQEILFWQGQTMQMMYEVIANELKSMNLENSHPQDYLNFYCLGNREEVPGSNNSGDQTVSMSQKFQRFMIYVHAKGMVVDDEYVILGSANINQRSMAGSRDTEIAMGAYQPHHTWSNKKRHPLGQVYGYRMSLWAEHLGLVDNLFKEPESLDCVKSVNKIAEDNWKKFTAENFTLLQGHLLKYPVQVDGNGKVSPLPGQETFPDVGGKVLGVRTNLPDALTT >Potri.007G063900.1.v4.1 pep chromosome:Pop_tri_v4:7:7950619:7957916:-1 gene:Potri.007G063900.v4.1 transcript:Potri.007G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063900.v4.1 MSSSSSTAAVSRASPEDHFWQLTAEESSSSLSKTLPFSSGNPRIEETRGVMHLFSNDAVSDLPVRRKPLVAVIGVPNHMTYADFCQFCASFIDHILEMRIVRLDGMEDQYSILIRFDTQDSTDKFYLHFNGRQYNSLEEEVCQVLFTVDVQFTGYSGSLEHSQPSTTSTAEQPSCPVCLERLDQDMGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSICIVCQTSENLWICVLCGFVGCGRYKGGHAIQHWKETQHCYSLELDTQRVWDYVGDNYVHRLIQSKTDGKLVELNSPNVHAYDCCGGCDCADSGVSEALLNSKVEAIVNEYNELLATQLENQNLFFGTLLEEVEEETEREISKAVKKAIAQKLQKFQAKLDRCIKEKKFLDDLNENLVKNQEIWKAKISEIEEREKMALKIKDDKIQDLEEQLRDLMVSLEAGNTVEQLCISNELKDEAFLPILVESSSGKSPKGGKKANNQRKS >Potri.014G192701.3.v4.1 pep chromosome:Pop_tri_v4:14:17000717:17002765:1 gene:Potri.014G192701.v4.1 transcript:Potri.014G192701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192701.v4.1 MYPKIKVRKQEEDEESSPPNDHVSTPSLRVKSESPSVEGCGSHASEEENQSDSSPSMARFAKPYFVRNLKAQPFSASKGKLKDNRRIGENRKLSFGAKSALRPRAVLSSPDNDGIIGKRNKWKNERTLTLKSCNSELTKPAETKVIANQGKSESPLIIRKCFKVKVAYE >Potri.014G192701.2.v4.1 pep chromosome:Pop_tri_v4:14:17000829:17002365:1 gene:Potri.014G192701.v4.1 transcript:Potri.014G192701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192701.v4.1 MYPKIKVRKQEEDEESSPPNDHVSTPSLRVKSESPSVEGCGSHASEEENQSDSSPSMARFAKPYFVRNLKAQPFSASKGKLKDNRRIGENRKLSFGAKSALRPRAVLSSPDNDGIIGKRNKWKNERTLTLKSCNSELTKPAETKVIANQGKSESPLIIRKCFKVGQCASSNTGALKQKGLSKISSPV >Potri.014G192701.1.v4.1 pep chromosome:Pop_tri_v4:14:17000718:17002346:1 gene:Potri.014G192701.v4.1 transcript:Potri.014G192701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192701.v4.1 MYPKIKVRKQEEDEESSPPNDHVSTPSLRVKSESPSVEGCGSHASEEENQSDSSPSMARFAKPYFVRNLKAQPFSASKGKLKDNRRIGENRKLSFGAKSALRPRAVLSSPDNDGIIGKRNKWKNERTLTLKSCNSELTKPAETKVIANQGKSESPLIIRKCFKVGQCASSNTGALKQKGLSKISSPV >Potri.014G192701.5.v4.1 pep chromosome:Pop_tri_v4:14:17000829:17002765:1 gene:Potri.014G192701.v4.1 transcript:Potri.014G192701.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192701.v4.1 MYPKIKVRKQEEDEESSPPNDHVSTPSLRVKSESPSVEGCGSHASEEENQSDSSPSMARFAKPYFVRNLKAQPFSASKGKLKDNRRIGENRKLSFGAKSALRPRAVLSSPDNDGIIGKRNKWKNERTLTLKSCNSELTKPAETKVIANQGKSESPLIIRKCFKVKVAYE >Potri.006G270900.1.v4.1 pep chromosome:Pop_tri_v4:6:26409898:26414614:1 gene:Potri.006G270900.v4.1 transcript:Potri.006G270900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270900.v4.1 MAPRLDFSDWWGKDSKEGTPVVVKMENPNYSVVEINGPDSAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCVAWLATVFWALLGTIKKRLIFRQGVAVATEKLGKGKMVLKIIRVFLVASLAILAFEVVAYLKGWRYFESANLHIPRTLDLQGWLHVVYVAWLTFRADYIAPLIQALSQFCVVLFLIQSVDRLILCLGCFWIKYKKIKPRIEVDPFKSDDVEASGYEYPMVLVQIPMCNEREVYEQSISAVCQMDWPKDRILIQVLDDSNDESIQWLIKAEVAKWNQKGVNIIYRHRLIRTGYKAGNLKSAMGCDYVKDYEFVTIFDADFQPNPDFLKLTVPHFKGNPELGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGTFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSKMAFWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPMWVICYVPVLMSFLNILPTPKSIPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRSSESDLLAAAERDSKTMNQAQICRGASETELELLNQLKEQKEANPTPVKKVNKIYRKELALAFLLLTASVRSLLSAQGVHFYFLLFQGVTFLVVGLDLIGEQIS >Potri.001G003400.1.v4.1 pep chromosome:Pop_tri_v4:1:205946:208793:-1 gene:Potri.001G003400.v4.1 transcript:Potri.001G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003400.v4.1 MARKRKVESSAAIVDESDKVLYSAFRNSANELSQLYSQAISHNKLSFEAGQRHALEKLSNWMAVKQQGGIMVTTEDISTYLQSELNNISNASYDSHGGRNEVNSSITTPVIQSQDKNLCLSFDSEMDTTPEGSAH >Potri.011G105400.1.v4.1 pep chromosome:Pop_tri_v4:11:13407189:13411920:1 gene:Potri.011G105400.v4.1 transcript:Potri.011G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G105400.v4.1 MKIQCNVCEAAEAKVLCCADEAALCWTCDEKVHAANKLASKHQRIPLSTSSPQMPKCDICQETAGFFFCLEDRALLCRKCDVAIHTANTHVSVHQRFLLTGVKVGLEPTDPGASSSSGKSPSGEKKTLETKSRPVSRRGTLLPLANPCNQVSTVNVCGVGDFGPAKLPYSGGSATSSISQWHIDEFLDLPEFNQNYGYIDNGSSKADSGKRGDSDCSAILRSTEEEVDDEECLGQVPDSSRAVPQIPSPPTASGLYWPKSFHNHSETAIFVPDICCSVVQNCHYSEQRGTVSKRQRQL >Potri.011G105400.2.v4.1 pep chromosome:Pop_tri_v4:11:13407504:13411988:1 gene:Potri.011G105400.v4.1 transcript:Potri.011G105400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G105400.v4.1 MKIQCNVCEAAEAKVLCCADEAALCWTCDEKVHAANKLASKHQRIPLSTSSPQMPKCDICQETAGFFFCLEDRALLCRKCDVAIHTANTHVSVHQRFLLTGVKVGLEPTDPGASSSSGKSPSGEKKTLETKSRPVSRRGTLLPLANPCNQVSTVNVCGVGDFGPAKLPYSGGSATSSISQWHIDEFLDLPEFNQNYGYIDNGSSKVRIKIHKANRG >Potri.014G141800.9.v4.1 pep chromosome:Pop_tri_v4:14:9630661:9640783:1 gene:Potri.014G141800.v4.1 transcript:Potri.014G141800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141800.v4.1 MPNMETQNSNVSRAEEIKVLANEAFKAHKYGQAIDLYSQAIELNGDNAVYWANRAFAHSKLEEYGSAIQDASKATEIDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKKICPNDPDASKKLKECEKAVMKLKFEEAIAVPESQRRSVADSIDFHSIDVEPQYSGARIEGDIVTLDFVKKMMDDFKNQKCLHKRYAFQMVLQTREILQALPSLVDINVPEGKHFTVCGDVHGQFYDLLNIFELNGFPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMCPSAIYLSRGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINGKVFVVHGGLFSVDGVKLSDIKAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGKDVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPNVKPMAYANNFLRMFS >Potri.014G141800.8.v4.1 pep chromosome:Pop_tri_v4:14:9630872:9641313:1 gene:Potri.014G141800.v4.1 transcript:Potri.014G141800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141800.v4.1 MPNMETQNSNVSRAEEIKVLANEAFKAHKYGQAIDLYSQAIELNGDNAVYWANRAFAHSKLEEYGSAIQDASKATEIDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKKICPNDPDASKKLKECEKAVMKLKFEEAIAVPESQRRSVADSIDFHSIDVEPQYSGARIEGDIVTLDFVKKMMDDFKNQKCLHKRYAFQMVLQTREILQALPSLVDINVPEGKHFTVCGDVHGQFYDLLNIFELNGFPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMCPSAIYLSRGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINGKVFVVHGGLFSVDGVKLSDIKAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGKDVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPNVKPMAYANNFLRMFS >Potri.014G141800.6.v4.1 pep chromosome:Pop_tri_v4:14:9630661:9640742:1 gene:Potri.014G141800.v4.1 transcript:Potri.014G141800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141800.v4.1 MPNMETQNSNVSRAEEIKVLANEAFKAHKYGQAIDLYSQAIELNGDNAVYWANRAFAHSKLEEYGSAIQDASKATEIDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKKICPNDPDASKKLKECEKAVMKLKFEEAIAVPESQRRSVADSIDFHSIGTSPSSSSVPTQVAMAPVAVAVVAAAVMLVGTAKPVVVVAVLMVVLVVLGAYLWGSCRGSGVFTKRGIMDLDVEPQYSGARIEGDIVTLDFVKKMMDDFKNQKCLHKRYAFQMVLQTREILQALPSLVDINVPEGKHFTVCGDVHGQFYDLLNIFELNGFPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMCPSAIYLSRGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINGKVFVVHGGLFSVDGVKLSDIKAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGKDVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPNVKPMAYANNFLRMFS >Potri.014G141800.7.v4.1 pep chromosome:Pop_tri_v4:14:9630691:9641313:1 gene:Potri.014G141800.v4.1 transcript:Potri.014G141800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141800.v4.1 MPNMETQNSNVSRAEEIKVLANEAFKAHKYGQAIDLYSQAIELNGDNAVYWANRAFAHSKLEEYGSAIQDASKATEIDPKYSKGYYRRGAAYLAMGKFKDALKDFQQVKKICPNDPDASKKLKECEKAVMKLKFEEAIAVPESQRRSVADSIDFHSIDVEPQYSGARIEGDIVTLDFVKKMMDDFKNQKCLHKRYAFQMVLQTREILQALPSLVDINVPEGKHFTVCGDVHGQFYDLLNIFELNGFPSEENPYLFNGDFVDRGSFSLEVILTLFAFKCMCPSAIYLSRGNHESKSMNKIYGFEGEVRSKLSETFVELFAEVFCCLPLAHVINGKVFVVHGGLFSVDGVKLSDIKAIDRFCEPPEEGLMCELLWSDPQPFPGRGPSKRGVGLSFGKDVTRRFLQDNNLDLVVRSHEVKDEGYEIEHDGKLITVFSAPNYCDQMGNKGAFIRFEAPDLKPNIVTFSAVPHPNVKPMAYANNFLRMFS >Potri.007G067600.1.v4.1 pep chromosome:Pop_tri_v4:7:8693588:8697230:1 gene:Potri.007G067600.v4.1 transcript:Potri.007G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067600.v4.1 MGKGRAPCCDKSQVKRGPWSPAEDLRLIAFIQKHGHENWRALPKQAGLLRCGKSCRLRWINYLRPDVKRGNFSEVEEDTIIKLHQTLGNKWSKIASHLPGRTDNEIKNVWNTHLKKKLACKDDGEHSEGDESKGSSSTSSSSSSSSTIMSSGKRALEMELDEQKNQGFSTQKPRILENEEDSSPRGVSNNQFKPAMKPNELSSSSFSSNNSSITNSSQADVSEPDGEKTGSFFNFRGRYNVRNSLEEVNKPEEIVTEIPFESDYDFWNMLDSLSSFQTSGIQLQNVEAGQSSRFGDAYNMGEVENKKWLRYLENELGLDATKDENQNLSKNAAESTIVPENFQHDMPLKPAEVHPGTVENFHLWPSL >Potri.005G223200.1.v4.1 pep chromosome:Pop_tri_v4:5:22454645:22455908:1 gene:Potri.005G223200.v4.1 transcript:Potri.005G223200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G223200.v4.1 MESSLFYHDQYLNSDFSPECSFGSLDSFSWDELLFQSNSLPFNTNDSEEMVLFNALANGPPKESSESNSSSGIKEEEVTSNAKEEEEAKREKSYRGVRRRPWGKYAAEIRDSTRNGIRVWLGTFDSAEAAALAYDQAAFSMRGSMAVLNFPVEMVRESLQDMNYRCEDGCSPVVALKRKHSMRRKSKSWKTKVNQVPHSRPQNVVVLEDLGADYLEELLNSCDTSNSW >Potri.017G069300.2.v4.1 pep chromosome:Pop_tri_v4:17:5796652:5800001:1 gene:Potri.017G069300.v4.1 transcript:Potri.017G069300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069300.v4.1 MSMNNNSTPKSLGQSSSPLGNSGMVNPSMGANPSFSQSQGQMGAAFQGGQFQLSQAQATVQAHLKAQQAHAQAQAVHAAQIQAAHVQLQAQGISLNQTQNVGIGNLGSSSPSFSTPGNASAKRLPQKPLGRPPGVPISSMVSPLKPMDLSSAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKSPPCVQKTLRIYVFNTFANQTRTIPKKPNAEPPTWTLKVIGRILEDGLDPDQPGAVQKSNPLYPKFSSFFKRVTIQLDQRLYPDNHIIIWEHARSPAPHEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSPALMEVLGIEVETRPRIIAAIWHYVKARKLQNPDDPSFFICDAPLQKVFGESKMKFTMVSQRISPHLSPPQPIHLEHKIKLSGNSPAGTVCYDVLVDVPFPIQRELFALLANAEKNKEIDTCDEAICTAIRKIHEHRRRRAFFLGFSQSPVEFVNALIESQSKDLRLVAGEASRNAEKERRSDFFNQPWVEDAVIRYLNRKPAVGSDAPGST >Potri.017G069300.4.v4.1 pep chromosome:Pop_tri_v4:17:5796629:5799782:1 gene:Potri.017G069300.v4.1 transcript:Potri.017G069300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069300.v4.1 MSMNNNSTPKSLGQSSSPLGNSGMVNPSMGANPSFSQSQGQMGAAFQGGQFQLSQAQATVQAHLKAQQAHAQAQAVHAAQIQAAHVQLQAQGISLNQTQNVGIGNLGSSSPSFSTPGNASAKRLPQKPLGRPPGVPISSMVSPLKPMDLSSAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKSPPCVQKTLRIYVFNTFANQTRTIPKKPNAEPPTWTLKVIGRILEDGLDPDQPGAVQKSNPLYPKFSSFFKRVTIQLDQRLYPDNHIIIWEHARSPAPHEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSPALMEVLGIEVETRPRIIAAIWHYVKARKLQNPDDPSFFICDAPLQKVFGESKMKFTMVSQRISPHLSPPQPIHLEHKIKLSGNSPAGTVCYDVLVDVPFPIQRELFALLANAEKNKEIDTCDEAICTAIRKIHEHRRRRAFFLGFSQSPVEFVNALIESQSKDLRLVAGEASRNAEKERRSDFFNQPWVEDAVIRYLNRKPAVGSDAPGST >Potri.017G069300.5.v4.1 pep chromosome:Pop_tri_v4:17:5796668:5800004:1 gene:Potri.017G069300.v4.1 transcript:Potri.017G069300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069300.v4.1 MSMNNNSTPKSLGQSSSPLGNSGMVNPSMGANPSFSQSQGQMGAAFQGGQFQLSQAQATVQAHLKAQQAHAQAQAVHAAQIQAAHVQLQAQGISLNQTQNVGIGNLGSSSPSFSTPGNASAKRLPQKPLGRPPGVPISSMVSPLKPMDLSSAARRKKQKLPEKQLQDRVAAILPESALYTQLLEFEARVDAALARKKVDIQEALKSPPCVQKTLRIYVFNTFANQTRTIPKKPNAEPPTWTLKVIGRILEDGLDPDQPGAVQKSNPLYPKFSSFFKRVTIQLDQRLYPDNHIIIWEHARSPAPHEGFEVKRKGDKEFTVNIRLEMNYVPEKFKLSPALMEVLGIEVETRPRIIAAIWHYVKARKLQNPDDPSFFICDAPLQKVFGESKMKFTMVSQRISPHLSPPQPIHLEHKIKLSGNSPAGTVCYDVLVDVPFPIQRELFALLANAEKNKEIDTCDEAICTAIRKIHEHRRRRAFFLGFSQSPVEFVNALIESQSKDLRLVAGEASRNAEKERRSDFFNQPW >Potri.005G009001.1.v4.1 pep chromosome:Pop_tri_v4:5:768026:768799:1 gene:Potri.005G009001.v4.1 transcript:Potri.005G009001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009001.v4.1 MKANRSESVGVASLWLLELRWRWEAVDRSASLVEGDEEVAGCCCSAAAGGSFVASVLMRETVACGRWKREDLSAAGWKAVFSVAERSAAGRGKVWCVGGVRMCCWKRKMELLSWRRGEVVAEREAAVLVSQGRGRLAGSDL >Potri.005G009001.2.v4.1 pep chromosome:Pop_tri_v4:5:768138:768641:1 gene:Potri.005G009001.v4.1 transcript:Potri.005G009001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009001.v4.1 MKANRSESVGVASLWLLELRWRWEAVDRSASLVEGDEEVAGCCCSAAAGGSFVASVLMRETVACGRWKREDLSAAGWKAVFSVAERSAAGRGKVWCVGGVRMCCWKRKMELLSWRRGEPAGEEEEGKKI >Potri.017G100300.3.v4.1 pep chromosome:Pop_tri_v4:17:11195853:11205151:1 gene:Potri.017G100300.v4.1 transcript:Potri.017G100300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100300.v4.1 MLEAYDQQCDEAAKIFAEYHKRLHQYVNQARDAQRFSIDSSLEEVSSFSANSIKEAVYSTVKGTKSADDVILIETNWERNIRKACESLAVYMVERIRNSFPAYEGSGIHLNPQSEAAKLGMDFDGDIPDDVRTVIVNCLKNPPHLLRAITAYTLRLKTLVSREIEKIDVRADAELLRYKYENNRVMDVSSTDTNSPLHHQLYGNGTIGIDMPYKGSQNQLLERQKAHVQQFLATEDALNKAAEARDVGQNLLKRLHGTGDVVSSHSIGIGVTTQNMGSLRQFELEVWAKEREAAGLRASLNTLMSEIERLNKLCAERKEAEDSLRKKWKKIEEFDARRSELEAIYTALLKVIMEDAAAFWKQQPLVAREYASTTIIPACTIVAEIANSAKDLIDKEVNAFLRSPDNSLYMLPSTPQALLESMGSNGSTGPEAVAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLESLEFCLKLRGSEASVLEDLAKAINLVHIRHDLVESGHALLNHAYRSQQEYERTTNLCLNLATEQDKIVSEKWLPELKTSVLNAQKCLEDCKYVRGLLDEWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAFYDKELL >Potri.017G100300.5.v4.1 pep chromosome:Pop_tri_v4:17:11194342:11205194:1 gene:Potri.017G100300.v4.1 transcript:Potri.017G100300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100300.v4.1 MQGSSSAVAQPEAILEWLHKEMGYRPLGPQSAATSKSQLPSIDAIRKICRGNMIPIWGFLIKRVKSEKTVENIRKNILVHGGGGGESGGLVNVGKDEGRSKGGRRKEKVGGEGGGGSSTAESREVALQEREIAAKEVERLRSIVRRQRKDLRARMIEVSREEAERKRMLDERAKNRHKQVMLEAYDQQCDEAAKIFAEYHKRLHQYVNQARDAQRFSIDSSLEEVSSFSANSIKEAVYSTVKGTKSADDVILIETNWERNIRKACESLAVYMVERIRNSFPAYEGSGIHLNPQSEAAKLGMDFDGDIPDDVRTVIVNCLKNPPHLLRAITAYTLRLKTLVSREIEKIDVRADAELLRYKYENNRVMDVSSTDTNSPLHHQLYGNGTIGIDMPYKGSQNQLLERQKAHVQQFLATEDALNKAAEARDVGQNLLKRLHGTGDVVSSHSIGIGVTTQNMGSLRQFELEVWAKEREAAGLRASLNTLMSEIERLNKLCAERKEAEDSLRKKWKKIEEFDARRSELEAIYTALLKVIMEDAAAFWKQQPLVAREYASTTIIPACTIVAEIANSAKDLIDKEVNAFLRSPDNSLYMLPSTPQALLESMGSNGSTGPEAVAAAEKNAALLTARAGARDPSAIPSICRVSAALQYPAGLEGSDAGLASVLESLEFCLKLRGSEASVLEDLAKAINLVHIRHDLVESGHALLNHAYRSQQEYERTTNLCLNLATEQDKIVSEKWLPELKTSVLNAQKCLEDCKYVRGLLDEWWEQPASTVVDWVTVDGQNVAAWHNHVKQLLAFYDKELL >Potri.007G125700.2.v4.1 pep chromosome:Pop_tri_v4:7:14144403:14145572:-1 gene:Potri.007G125700.v4.1 transcript:Potri.007G125700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125700.v4.1 MKNNKTWESRFLGYKILVSNLLLLLAELKMKRKMGQVFGFITNRKSFSCHVMPDVVGFLAEKT >Potri.011G027501.1.v4.1 pep chromosome:Pop_tri_v4:11:2032060:2035486:1 gene:Potri.011G027501.v4.1 transcript:Potri.011G027501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027501.v4.1 MKIERVEEEGAAVYGLFLCRGDVSVKVCLECVNLLRNEVVQRCPIQKEAIIYYDLCFLRYSNSNIFSRFSQTSWVVLLNTQNIAVDVQFNKRVNDSISDAAKEAARAPSGEKKFAAKKVIYTWEESLYVLVQCTPDLSKYDCNRCLNLTISYISVWSKNAQGGRVLFPSCNSRFEIYSFYNETAVVPLPPPPLSPPPLSPPVVPLPPSPGSIADGKGDGSKSMRIKVGAGLSAVIVVLFFSACTYTMRRRTNLRTEEIGNIQEEQLLDLAGRATVGDDYPDKDIQGEVTSQDLPLIRLDVINEATKQFSDENKLGQGGFGPVYRGTLEDGKEVAVKRLSRTSGQGQREFLNEVVLIARLQHRNLVRLLGCCLEKNEKLLIYEYMPNKSLDVILFGSSNGVLLDWQRRLSIINGIARGLLYLHEDSRLRIIHRDLKTSNILLDYEMNPKISDFGMARIFGGNQSEANTNRIVGTYGYMAPEYAMAGLFSVKSDVFSFGVLLLEIISGKRNVGFHLLEEGESLLTFAWKLWSDGQGLELMDPMLEKSSVATEVLRCIHIGLLCVQEDPADRPTMSSVLHVLASDTITLPIPKQPAFSIGRFVAMEGQSSNQKVCSSNELTFSVSSPR >Potri.010G052800.1.v4.1 pep chromosome:Pop_tri_v4:10:8440856:8446554:-1 gene:Potri.010G052800.v4.1 transcript:Potri.010G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052800.v4.1 MASHSHFLLLYLFLMSSVICQVTEFISIDCGGTSNYTDPITGLAWVSDNGAIMNYGKSAEVEIPNGNMQYRRRRDFPIDSKKYCYTLGTKERRRYLVRATFQYGILGNGDAYPKFDLYLDATKWSTVVVLDASRVYVKEMIIRAPSSSIDVCICCASTGSPFISTLELRPLNLSMYATDFEDNFFLEVAARVNFGALSKDVIRYPDDPYDRIWDSDLEKRQNYLVGVAPGTVRINTSKYIDTRTREYPPVKVMQTAVVGTQGILSYRLNLDDFPANARAYAYFAEIEDLGANETRKFKLQQPYFPDYSNAVVNIAENANGSHTLYEPSYMNVTLDFVLSFSFVKTRDSTQGPLLNAIEISKYLKIEPRTDSQDVTVLNALRSLSAESAWTNEQGDPCVPAHWDWVNCTSTTPPRITKIALSGKNLKGEIPPEINNMETLTELWLDGNFLTGPIPSISNLVNLKIVHLENNKLSGQLPKYLGSLPDLQELYIQNNYFSGEIPSGLLTGKVIINYEHNPGLHKEAGKKKHSKLILGVSIGILAALLVVLIGSLLFLRNLQRKTSHQKTAVQGSSLRVSAKPSTAYSVSRGWHMMDEGVSYYIPLSEIEEATKNFSKKIGRGSFGTVYYGQMKEGKEVAVKIMGDSTTHMTQQFVTEVALLSRIHHRNLVPLIGYCEEENQRILVYEYMHNGTLRDHIHGSVNQKRLDWLARLQIAEDSAKGLEYLHTGCNPSIIHRDVKTSNILLDINMRAKVSDFGLSRQAEEDLTHVSSVARGTVGYLDPEYYANQQLTEKSDVYSFGVVLLELLSGKKPVSTEDFGAEMNIVHWARALIRKGDAMSIVDPVLIGNVKIESIWRIAEVAIQCVEQRAVSRPRMQEIILAIQEANKIEKGTYGSQKLPSGSSKAQSSRKTLLTSFLEIESQSPDLSNGCLVPAAR >Potri.019G131100.1.v4.1 pep chromosome:Pop_tri_v4:19:15352173:15353791:1 gene:Potri.019G131100.v4.1 transcript:Potri.019G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131100.v4.1 MNEEAGLQKPHFVLVHGACHGAWCWYKIRCLMETSGYKVTCLDLKSAGIDQSNPNTILTLDEYNAPLIDFLSNLPHDEKVILVGHSAGGLSLTDAIHRFPKRIHLAIYVAANMLKHGFSSDQDFKDGDPDVSEYGEIADLEYGMGLDEPPTSVIIKEEFRKRILYQMSPKEDSILASMLLRAGPVRAFKGARFEGGKDADSVPRVYIKTLHDHILRPVQQEAMIKRWQPCQVFELESDHSPFFSAPSLLFEVIVKAAATITCN >Potri.009G074400.1.v4.1 pep chromosome:Pop_tri_v4:9:7262894:7264922:-1 gene:Potri.009G074400.v4.1 transcript:Potri.009G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G074400.v4.1 MSVPNEDNMSPHTQLSSHFFGELLDSIIVDVASECHRIARLGLDRNLEEEEEELRLSAQARVTVADPSNSGETNGKYVVDIFGQTHPSVANEVFDCMNCGRPIVAGRFAPHLEKCMGKGRKARVKATRSSTAAQNRYSRGSPVSAHSSYSNSTGTNRLSNGTYNHTVEEYSNGTCEEP >Potri.019G040500.1.v4.1 pep chromosome:Pop_tri_v4:19:5571487:5573870:-1 gene:Potri.019G040500.v4.1 transcript:Potri.019G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040500.v4.1 MNAAATGINVQNFHIQDQEGKPPSIIPIKVQESSVQIETATVAGLLVQRLFRALFFVHILLIAILVICLAIRGLLSSHSHHFHPKKWYPPLLAATACAGIVAFTWQWFTFRNPSRALRAAFWLSPLLTCAVGVLFVLISSTASLTIGVIAIVLALILSLYACWVNPRFDYATKVLSVTAASPPAKTTTLINLSIILSILYSCFLVSGIGGATAVGTVIDTSFILVILASLAWSTQVMKNTLQVTIARIKYLHFASGADMDSRIALRDTIKYSMGSVCISSVLVPIITVIRGSARAISLIAGGTDEFLFSCANCYSAVAATLVNYGNRWGLVQVGVYNKGFVQASMDTWEMLRRVGLEPIIDRDLTGSFCFLCGMAGGAICTLVGGTWTFAVHKSYATEVSIYAFLIGYFMCRIAMAWQQSCVAAYYIAYTENPENPRLDPTILFRIQEFQRRGA >Potri.006G207100.1.v4.1 pep chromosome:Pop_tri_v4:6:21537105:21540476:-1 gene:Potri.006G207100.v4.1 transcript:Potri.006G207100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G207100.v4.1 MTTTGFISSVARRLEGKVALITGGASGIGECTAKVFAHHGAKVVVADIQDESGRSLAKALGPSNSTYVHCDVTDEAQVKNAVNAAVTTYGKLDIMFNNAGIADESKARIIDNEKVDFERVLQTNVTGVFLGIKHAARVMIPGRNGTIISTASVSSKVGAAASHAYCCSKHAVLGLTKNAAVELGQFGIRVNCLSPYALATPLAKQVIGLDDEQLENLMHAFGTLKGVTLQAEDVANAALYLASDEARYVSGHNLFIDGGFTIQNPSFRMFQYPE >Potri.008G095900.1.v4.1 pep chromosome:Pop_tri_v4:8:5976443:5978126:1 gene:Potri.008G095900.v4.1 transcript:Potri.008G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095900.v4.1 MPSISNAWIVTCILLSLPIHFTISAAVNPIPINGTCHDTCGTISVKYPFGSGFGCGHPDFARYVRCNAGTLEFSTGTGIYNVSDIDYSSGTLIIRDPFMSTCSSMQNSGSFSLDRASPFTLTGENIFVLLGCSTNSPLFDPAEDLCAMGSRSRVCRGLYSCKGVTGIGLPQNAPPSTCCVYESPIQLAGYTLDLPKLQCSSYTSVYSFGGSEGDPMKWKFGISLQYNDSYYSNVCKDCETSGGLCGFTGFDQSFACVCENGKNTSTSCFGQGYAWSGTRESKILNKLSFGGLLLLWLLISI >Potri.015G080400.2.v4.1 pep chromosome:Pop_tri_v4:15:10637962:10643924:-1 gene:Potri.015G080400.v4.1 transcript:Potri.015G080400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080400.v4.1 MGIEIGGSIEKVNGKEISYNEFVERYLAKNQPVVLTGLMDDWKACKDWVFDSGKPNLKFFSTHFGNSKVQVADCGTREFTDQKRVEMTVSEFIDHWIDAKECGGASNSFQEGNDKLVLYLKDWHFVTEYPEYVAYRTPLFFCDDWLNLYLDHYRMHNDSDTCQENDGISCSDYRFVYMGAKGSWTPLHADVFRSYSWSANVCGKKKWLFLPPSQCHLVFDRGFKSCVYDIFDDASETNFPGFKKALWLECSQEQNEIIFVPSGWYHQVHNMEDTISINHNWFNAYNLSWVLDLLSRDYKEAKEYIEDIRDICDDFEGLCQRNLAANTGMNFSDFFIFLSRFFSANILQLYCQLREEGISVWSSSKMAKHLVFNLASIRRIALKLTSMDVVAGNHGFFLDLMETLDDPNFLKLFIDVGRAYGKIHEQQNCSCDTKKAWMVEFLDYSSHIRNPEDFVKFIDYSVAKLSASFCEENLLLSGLNNWPLFEDQ >Potri.012G089900.1.v4.1 pep chromosome:Pop_tri_v4:12:11505083:11507274:1 gene:Potri.012G089900.v4.1 transcript:Potri.012G089900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089900.v4.1 MSLLQWLKECSKPTLFVVTIFLVVVLKFLMKDKLKKRKLNLPPSPAKLPIIGNLHQLGNMPHISLRGLAKKYGPIIFLQLGEIPTVVISSAGLAKEVLKTHDLVLSSRPQLFSAKHLLYGCTDIAFAPYGAYWRNIRKICILELLSAKRVRSYSYVREEEVARLIRRIAESYPGITNLSSMIALYANDVLCRVALGRDFSGGGEYDRHGFQKMLDNFQALLGGFSLGDYFPSMEFVHSLTGMKSKLQYTFRRFDQFFDEVIAEHRNSKGKQEEKKDLVDVLLDIQKDGSSEIPLTMDNIKAVILDMFAGGTDTTFITLDWAMTELIMNPHVMEKAQAEVRSVVGDRRVVQESDLPRLNYMKAVIKEILRLHPAAPVLLPRESLEDVIIDGYNIPAKTRIYVNVWGMGRDPELWENPETFEPERFMGSGIDFKGQDFELIPFGAGRRSCPAITFGIATVEIALVQLLHSFDWKLPPGLEAKDIDNTEAFGVSLHRTVPLHVIAKPHFN >Potri.012G089900.2.v4.1 pep chromosome:Pop_tri_v4:12:11505287:11520952:1 gene:Potri.012G089900.v4.1 transcript:Potri.012G089900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089900.v4.1 MSLLQWLKECSKPTLFVVTIFLVVVLKFLMKDKLKKRKLNLPPSPAKLPIIGNLHQLGNMPHISLRGLAKKYGPIIFLQLGEIPTVVISSAGLAKEVLKTHDLVLSSRPQLFSAKHLLYGCTDIAFAPYGAYWRNIRKICILELLSAKRVRSYSYVREEEVARLIRRIAESYPGITNLSSMIALYANDVLCRVALGRDFSGGGEYDRHGFQKMLDNFQALLGGFSLGDYFPSMEFVHSLTGMKSKLQYTFRRFDQFFDEVIAEHRNSKGKQEEKKDLVDVLLDIQKDGSSEIPLTMDNIKAVILDMFAGGTDTTFITLDWAMTELIMNPHVMEKAQAEVRSVVGDRRVVQESDLPRLNYMKAVIKEILRLHPAAPVLLPRESLEDVIIDGYNIPAKTRIYVNVWGMGRDPELWENPETFEPERFMGSGIDFKGQDFELIPFGAGRRSCPAITFGIATVEIALVQLLHSFDWKLPPGLEAKDIDNTEAFGVSLHRTVPLHVIAKPHFN >Potri.014G161100.1.v4.1 pep chromosome:Pop_tri_v4:14:11552852:11561682:1 gene:Potri.014G161100.v4.1 transcript:Potri.014G161100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161100.v4.1 MSIVAKETIEVIAQSIGISNLSEDVALTLAPDVEFRMRQIMQEAIKCMRHSKRTRLTTDDVDGALNLTNVEPIYGFASGGALQFKRAIGHRDLFYVDDKDIDFKDVIEAPLPKAPLDTAVVCHWLAIEGVQPAIPENAPLEVIAPPSDGKISEQNDEFPVDIKLPVKHVLSRELQLYFDKITDLTVRRSDSVLFKEALVSLATDSGLHPLIPYFTYFIADEVARGLNDYSLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVARKLGNRFADNHWELRDFTANLVASICKRFGHVYNSLQTRLTKTLLNALLDPKRSLTQHYGAIQGLAALGPNVVRLLLLPNLKPYLQLLEPEMLLEKQKNEMKRHEAWHVYGALLCAAGQSIYDRLKMFPALMSHPACAVLRTNEKVVTKRPENYQDKRKASMEHMEQPPPKKIATDGPVDMQVEPIAPVPLGDSKTGLSTSSEHTPNYSEAGSRNQKDKGDSQAIKTSAILSQVWKDDLNSGHLLVSLFELFGESILSFIPSPEMSLFL >Potri.014G161100.3.v4.1 pep chromosome:Pop_tri_v4:14:11552906:11561641:1 gene:Potri.014G161100.v4.1 transcript:Potri.014G161100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161100.v4.1 MSIVAKETIEVIAQSIGISNLSEDVALTLAPDVEFRMRQIMQEAIKCMRHSKRTRLTTDDVDGALNLTNVEPIYGFASGGALQFKRAIGHRDLFYVDDKDIDFKDVIEAPLPKAPLDTAVVCHWLAIEGVQPAIPENAPLEVIAPPSDGKISEQNDEFPVDIKLPVKHVLSRELQLYFDKITDLTVRRSDSVLFKEALVSLATDSGLHPLIPYFTYFIADEVARGLNDYSLLFALMRVVWSLLQNPHIHIEPYLHQLMPSVVTCLVARKLGNRFADNHWELRDFTANLVASICKRFGHVYNSLQTRLTKTLLNALLDPKRSLTQHYGAIQGLAALGPNVQVRLLLLPNLKPYLQLLEPEMLLEKQKNEMKRHEAWHVYGALLCAAGQSIYDRLKMFPALMSHPACAVLRTNEKVVTKRPENYQDKRKASMEHMEQPPPKKIATDGPVDMQVEPIAPVPLGDSKTGLSTSSEHTPNYSEAGSRNQKDKGDSQAIKTSAILSQVWKDDLNSGHLLVSLFELFGESILSFIPSPEMSLFL >Potri.007G051200.1.v4.1 pep chromosome:Pop_tri_v4:7:4978266:4980199:1 gene:Potri.007G051200.v4.1 transcript:Potri.007G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051200.v4.1 MGMIMSFMGKGLPIPSTQMPSYVMGSLYKQFVDKNIKTFDEFHVAVLEIFNTFNSSLPGKHYDVPSRKDVEAGYNDWRAAPELEKKEMFINFMKEKLNTGKLDDSTFIIGLATPPAAMAAKRAGENVPQLGFIKAIPDVIFVPSATILALVSAKLTKRMLQGSPIAS >Potri.008G199300.1.v4.1 pep chromosome:Pop_tri_v4:8:14141094:14144204:1 gene:Potri.008G199300.v4.1 transcript:Potri.008G199300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199300.v4.1 MEKRQHRRPPPPPPPLPFEIHTLDLEPDPPGHTQHMYKKGKKDMVFDSIPSFFNNIKSSNSDPYSEEYGNAVIVRAYPLGMARANSNFNVEDGRESGVEPHKGLEFDSADVAREFYNVYATRVGFRTRTGQLYRSRSDGSVSSRRFVCSKEGFQLSSRTGCPAFIRVQRRDSGKWVIDQMHKDHNHELGDVEESHPPVLQQKAPMGRKSSVEVSSRKKLKLLAEVDDGQPCSSGSISVKRVRTGADGQPLAEPYAGLVFTSADEAYNFYVRYADEAGFKTRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRQESGTWMVDRLQKDHNHDLEPHTGTHKKSSTASKKFIDEVNGGLDSLDLLEINNGVHFNSSQGNNIGSEWYRLLLDYFQSRQAEDTGFFYSVEVDNGVCMSIFWADGRSRFACSQFGDVIVVDTSYRKTNYLVPFATFVGVNHHKQPVLLGCALIANESKESFIWLFRTWLRAMSGCRPKSIIADQDMAIQQAIAHVFPGTRHRFSMWQIREKERENLRSMSTEFNYEYEKCIYESQTNAEFNTMWNALVNKYGLKENAWLKEMYEKRESWVPLYLRGTFFAGIPMNESMESFFGTFLNAETPLRDFIARYEQGLEQRREEERKEDFNSSNLQAYLQTKEPIEEQCRRLYTLRVFQIFQKELLQCYNYLGIKSYEEGTISRYSVRRCGNEIEKHMVTFSASNFDVSCSCQMFEFEGVLCRHVLRVFIMLDIREIPSCYLLHRWTRNAEHGIVCDVDSGVSFQELKALMVWSLRETACKYIESGTTSLEKYRLACDTMREGAKKICRHR >Potri.008G199300.2.v4.1 pep chromosome:Pop_tri_v4:8:14141114:14144092:1 gene:Potri.008G199300.v4.1 transcript:Potri.008G199300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199300.v4.1 MARANSNFNVEDGRESGVEPHKGLEFDSADVAREFYNVYATRVGFRTRTGQLYRSRSDGSVSSRRFVCSKEGFQLSSRTGCPAFIRVQRRDSGKWVIDQMHKDHNHELGDVEESHPPVLQQKAPMGRKSSVEVSSRKKLKLLAEVDDGQPCSSGSISVKRVRTGADGQPLAEPYAGLVFTSADEAYNFYVRYADEAGFKTRIGQLFRSKNDGSITSRRFVCSKEGFQHPSRVGCGAFMRIKRQESGTWMVDRLQKDHNHDLEPHTGTHKKSSTASKKFIDEVNGGLDSLDLLEINNGVHFNSSQGNNIGSEWYRLLLDYFQSRQAEDTGFFYSVEVDNGVCMSIFWADGRSRFACSQFGDVIVVDTSYRKTNYLVPFATFVGVNHHKQPVLLGCALIANESKESFIWLFRTWLRAMSGCRPKSIIADQDMAIQQAIAHVFPGTRHRFSMWQIREKERENLRSMSTEFNYEYEKCIYESQTNAEFNTMWNALVNKYGLKENAWLKEMYEKRESWVPLYLRGTFFAGIPMNESMESFFGTFLNAETPLRDFIARYEQGLEQRREEERKEDFNSSNLQAYLQTKEPIEEQCRRLYTLRVFQIFQKELLQCYNYLGIKSYEEGTISRYSVRRCGNEIEKHMVTFSASNFDVSCSCQMFEFEGVLCRHVLRVFIMLDIREIPSCYLLHRWTRNAEHGIVCDVDSGVSFQELKALMVWSLRETACKYIESGTTSLEKYRLACDTMREGAKKICRHR >Potri.019G010512.1.v4.1 pep chromosome:Pop_tri_v4:19:173545:173940:1 gene:Potri.019G010512.v4.1 transcript:Potri.019G010512.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010512.v4.1 MELQANQFILSQLLSSKSSGLSSRVKSKFIWTSISMTSHFHMPKLPFPSNFSTSNLVLDTGMYCGGILAFSANLSSGISAIKMSLDYKWTPEIVKTCTFMLKRAKTVTSVCCFTKWTPRLRPEWLKMLEKA >Potri.014G170700.1.v4.1 pep chromosome:Pop_tri_v4:14:12583328:12588179:1 gene:Potri.014G170700.v4.1 transcript:Potri.014G170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170700.v4.1 MQKTAQSWFTGGPTFNDQKSSSSSSPSLLSDWNAYAASQESDSSAPVFDLEAAVRTTSDKVSGTFNVVSKGVRDLPGSFQSSTINVPSGQSFVYFGILLAAGVFFVFIAFTMFLPVMVLVPQKFAICFTIGCALIVASFFALKGPKNQLAHMISKERLPFTLGFISTMVGTVYVSMVLHSYILSVLFSVLQVLALSYYAISYFPGGSTGLKFLSSTLTASILRCFGR >Potri.002G083300.1.v4.1 pep chromosome:Pop_tri_v4:2:5924097:5925867:1 gene:Potri.002G083300.v4.1 transcript:Potri.002G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083300.v4.1 MLSLSFCLRHPHRITIDTMARRTSQSQNEKNKAGTFFLATLILWFVSVLFEIIFNKRSELLWIVAGAVFFQIANWVVRSFISRDPLFVNTSVSLLHSTIISVSVVFILANQRLKKGPNGMFEHSQLVGGTWEWAYAALCFSCGYFAYDQLDMLHYRLYSGLIPSILVHHLILLVCFTLALYRNVTINYLILTLVCELHSIFLHVRKVRRMAGVRDAKSTIVRMEWVLNWLTFIFARSLSHILITIKLIADAPRFEKGVVLPLALFGMAGMNLINAGLGIDLFNAFTRERTPQKSSHHHGE >Potri.017G071800.2.v4.1 pep chromosome:Pop_tri_v4:17:7826479:7826928:1 gene:Potri.017G071800.v4.1 transcript:Potri.017G071800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071800.v4.1 MADRKQPHQVQVHGLRGQQQQGPSASKVLAVLTMLPVGGGLLALAGITLVGTLIGLTVTTPLFFLFSPVLVPAALLIGFAATSFLASGALGLTGFRSLSWVARYVQEATRTMPENLDQAKRCMQDMAGYVGQRAKEVGQEIQRKAHEGK >Potri.015G102401.1.v4.1 pep chromosome:Pop_tri_v4:15:12152555:12155762:-1 gene:Potri.015G102401.v4.1 transcript:Potri.015G102401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102401.v4.1 MASPREHIEHIRKTTFSIGGEKNPLAPMLDQAVKYLSAELYTKDVHFLMELIQNAEDNDYLEGVDYLEGVDPSLEFVITSRDITATGAPATLLIFNSEKGFSAKNIESICSVGNSTKKGNRKRGYIGEKGIGFKSVFLITPQPFIFSNGYQIRFNEKPCPHCNLGYVVPEWVEENPSLSDIKQIYGSNSTLPTTTIVLPLKPNKVKPVKQQLSSIHPEVLLFLSKIKSLSVREQNEDPRLNTVSAIAITKRTNFRARESMDAESYTLHLSAEENNTDEQDRECSYSVWKQKFPVKKKNKIEKRMGVKDWVITLAFPNGKRLRRGRSLPGIYAFLPTEMVTNLPFIIQADFILASSRETILLDDNWNQGILDCVPLAFINALVSLVKMREDAPVSSLPRLFQFLPIKSSHYPTLNAVSESIKVKLAEEEIVPSEPFTDQKFFHKPSEIGRIMPAFWSVLNKARKEGVRFDNPSSHGWHVLSSHFDKPEYDHILDFLGVGHVNNEWYARCIRSSNLLMGVSEDVYLQILLFVANNWRTKFCTTTMGDIPLIKYVDRDGSVSLCSINESAQKNSGRLLCRSHETHYTSWLIDWNKEFGFVGNRFFLPKSTQEAIYSFSKKEAILQWLRVEVKVSEIKLHV >Potri.003G105600.1.v4.1 pep chromosome:Pop_tri_v4:3:12874743:12881293:-1 gene:Potri.003G105600.v4.1 transcript:Potri.003G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105600.v4.1 MAGESRKWMILVATIWIQAFTGTNFDFSAYSSDLKSVLGISQVQLNYLAVASDLGKVFGWSSGLALMYFPLWVVLFMAAFMGFFSYGLQWLVIRNVISLPYILVFLLCLLAGCSICWFNTVCFVLCIKNFSANRPLALSLTIAFNGVSAALYTLAGNAIGSSSNAIYLLLNASIPLISSIAALIPILRQPSLDPLPPDGVRRDSIIFLILNFLSILTGIYLLLFGSNSSDETRARLLFGGAIFLLIFPLCIPGIVYAREWFHRTIHSSFSLHGSGFMLVDVEDLELHKELLTREASYHENETVYGNTRQKSGGEKDGCCDTMVKKDRLEMLGEEHPAWLLVRRLDFWLYYIAYFCGGTIGLVYSNNLGQIAESVGQSSNTTTLVTLYSSFSFFGRLLSAAPDYIRAKIYFARTGWLTIALVPTPIAFFLLAASGNGLALHIGTALVGLSSGFIFAAAVSITSELFGPNSIGVNHNILITNIPLGSLVYGVLAAVVYDSHASSSLNIITDSAVCMGRQCYYLTFLWWGCLSVLGLTSSLLLFLRTRHAYDQFEVKRISTSLLY >Potri.013G003600.2.v4.1 pep chromosome:Pop_tri_v4:13:252567:256911:-1 gene:Potri.013G003600.v4.1 transcript:Potri.013G003600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003600.v4.1 MPPLEPMCGDDLNIVDLITPEKPVLNTNSKLHSVQSRIKSYLPGKDVLERNSLDEGCEEIEGAAKKLSSASTSTLSDHDMNIVDLITPEKPVLKTKFETAFCAVKKLSLASTSTLSDHDLNIVDLITPENPDLETNSKMHSVQSRIKSYLQSIDVLERNSLSQKSSSMLVCDEGCEGIEGAIKKLSLASTSTLSDHDYGDPFAALLAVCGHEPDNIVKVGEGTYGEAFEAGNTACKIVPIDGDISVNGEVQKRSEELLEEVILSRTLNNIRSHDSDVDNACTTFIEILDLRVCEGPYDPALVRAWEYWDERHGSENDHPKEFPQKQCYVVFVLQHGGKDLESFVLLNFDEVHSLLVQVTAGLAIAEAAYEFEHRDLHWGNILLRRNDSTTVQFILEGKKMIFRTSGLLISIIDFTLSRINTGQDILFLDLTSDPYLFKGPKGDRQAETYRKMKEVTGDFWEGSFPKTNVLWLIYLVDILLLKRSFDRSSKNEGDLHSLKKRLSKYNSAKEAIILDPFFSNLLVM >Potri.003G168800.1.v4.1 pep chromosome:Pop_tri_v4:3:17747057:17754741:-1 gene:Potri.003G168800.v4.1 transcript:Potri.003G168800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168800.v4.1 MMDRIISSLIDFRAFANSFLSNYEPLALLLAPLLTLFTARILQSLCLLVHDNGLKPTILGFLITSIKMVPGVKGYIEAEKQKVVEKLQSGSKSKRDGWRSELPKEGLGAAVIEKLKQEKSNDVVWQGKCSGTVYIGGSESEGHFSLINEACSMFAHTNPLHMDVFQTIAQCEAEVVAMTAALLGSKNKSSGGEICGNMTSGGTESILLAVKSSRDYMKAKKGIKRPEMIIPESAHSAYDKAAQYFNIKLRRVPVNKNFQADVKAIRQQINKNTVLIVGSAPGFPHGIIDPIEELGELAYSYGICFHVDLCLGGFVLPFACKLGYPIPPFDFSVKGVTSISADVHKYGLAPKGTSVVLYRNHDIRKHQFVAVTEWSGGLYVSPTIAGSRPGGLIAGAWAALMALGLEGYLENTKAIMEVSKRIQKGIKEIPELFIIGRPDMTIVAFGSNDLDIFEVNDIMSSKGWHLNALQRPNSIHICITLQHAPVVEDFLRDLKESVQTVKENPGPINGGLAPIYGAAGKIPDRGMVQELLVNYMDSTC >Potri.010G181900.1.v4.1 pep chromosome:Pop_tri_v4:10:18033425:18037232:1 gene:Potri.010G181900.v4.1 transcript:Potri.010G181900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181900.v4.1 MDLVAKGDDLEKKAEKKLNGWGIFGSKYEDAADLFDKAANNFKLAKSWEKAGSTYVKLAQCHLKLDSKHEAASAYVDAAHCYKKTSTSEAISCLVQAVDMFCDIGRISMAARYLKEVAELYESDANIEKSMEFYHKAADFFQNEDVTTSANQCNQKVAEFAAQLEQYQTSIDIYEEIARQSLKNNLLKYGVKGHLLNAGICHLCKGDVVAITNALERYQEMDPTFSGTREYKLLADIAAAIDEEDVAKFTDVIKEFDSMTPLDSWKTTLLLRVKEKLKAKELEEDDLT >Potri.010G211400.1.v4.1 pep chromosome:Pop_tri_v4:10:19999221:20008245:1 gene:Potri.010G211400.v4.1 transcript:Potri.010G211400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211400.v4.1 MEITYASSSHRYLPTMSASSSSSLGSSMPNRPVKTIPLQHPNTTSSSSTPLPQAMFWRWTAKIKRTTPSQWIDTFLPCYRWIRTYKWREYLQPDLMAGLTVGIMLVPQAMSYAKLAGLHPIYGLYTGFIPIFVYAIFGSSRQLAIGPVALVSLLVSNVLGGMDLSDELYTELAILLAFMVGIMECIMAFLRLGWLIRFISHSVISGFTTASAIVIALSQAKYFLGYDVVRSSKIVPLIKSIISGAHKFSWPPFVMGSCILAILLVMKHLGKSRKRFRFLRPAGPLTAVVLGTVFVKIFHPSSISLVGDIPQGLPSFSIPKKFEYAKSLIPTAMLITGVAILESVGIAKALAAKNRYELDSSQELFGLGLANILGSFFSAYPSTGSFSRSAVNNDSGAKTGLAGIVAGTIMGCSLLFLTPLFEYIPQCGLAAIVISAVMGLVDYHEAIFLWHVDKKDFVLWIITSTTTLFLGIEIGVLVGVGVSLAFVIHESANPHIAVLGRLPGTTVYRNIQQYPEAYTYNGIVIVRIDAPIYFANISFIKDRLREYEADIDKSARHGPEVERIHFLILEMSPITYIDSSAVQALKDLQQEYKSRDIEICIANPNQDVLLTLTKAGIVELIGKEWYFVRVHDAVQVCLQHVQSLNQTPKNPDSFAEDKPSFFQRLSKRREEDLSIAELESGDKITEPHLEPLLSRKS >Potri.001G264400.1.v4.1 pep chromosome:Pop_tri_v4:1:27984228:27988621:-1 gene:Potri.001G264400.v4.1 transcript:Potri.001G264400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264400.v4.1 MEEIQEHESQTIGKDDEDEIVVEQAAGVHGDPVQHGDFPPKVDSAVEILQEKVTKQVVKEGHGQKPCKYATCFLHYRAWTESTQHKFEDTWHEQRPFEMVLGKEKKEMAGLAVGVSSMKAGERALLHVGWELGYGKEGSFSFPNVPPMADIIYEVELIGFDEVREGKARGDMTAEERIGAADRRKMDGNSLFKDEKLEEAMQQYEMAIAYLGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRHEEAIAQCTIVLAEDENNAKALFRRGKARAELGQTDAAREDFLKARKHAPEDKAITRELRLLDEHDKAIYKKQKEIYKGIFGPPPRPKPKPANLLTRMWQWLTLICQWLLSLIYRLFKRERRKAD >Potri.001G264400.8.v4.1 pep chromosome:Pop_tri_v4:1:27984673:27988606:-1 gene:Potri.001G264400.v4.1 transcript:Potri.001G264400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264400.v4.1 MIVHYRAWTESTQHKFEDTWHEQRPFEMVLGKEKKEMAGLAVGVSSMKAGERALLHVGWELGYGKEGSFSFPNVPPMADIIYEVELIGFDEVREGKARGDMTAEERIGAADRRKMDGNSLFKDEKLEEAMQQYEMAIAYLGDDFMFQLFGKYRDMALAVKNPCHLNMAACLIKLKRHEEAIAQCTIVLAEDENNAKALFRRGKARAELGQTDAAREDFLKARKHAPEDKAITRELRLLDEHDKAIYKKQKEIYKGIFGPPPRPKPKPANLLTRMWQWLTLICQWLLSLIYRLFKRERRKAD >Potri.015G103300.1.v4.1 pep chromosome:Pop_tri_v4:15:12216777:12221907:-1 gene:Potri.015G103300.v4.1 transcript:Potri.015G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103300.v4.1 MGCVLGKPASRDRQSREVSSDRDRSSDEPPVDVTGSVNAAVKVKREKATTSTQKQNAARHTGDFPAVDVQGTTERRRPRPEVSLCYQQGWPSWLMAVAGDAIGEWTPRRANTFEKLDKIGQGTYSNVYKARDLITGKIVALKKVRFDNLEPESVKFMAREILVLRRLDHPNVLKLEGLVTSRMSCSLYLVFEYMEHDLAGLAARRGVKFTEPQIKCYMKQLLSGIEHCHNHGVLHRDIKGSNLLIDNEGILKIADFGLATFYDPDRKVPMTSRVVTLWYRPPELLLGATYYGAGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPNATLFKPQQPYKRCIVETFKDFPTSALPLIETLLSVDPDDRVTATAALNSEFFTTEPYACEPSSLPKYPPSKELDVKLRDEEARRQRGLGGKGNAVDGARKTRIRDRAGWAIPAPEANAENPANLDRWRAMTQANAKSKSEKFPPPHQDAAVGHPMDASHKGGLVSFGTSDTSFCSSTFDSKSSSIKSAGAIGGPSRRRKTNKEDPQMAPPRKFIRPFNPSSVALSMNLLFKGKSEVFGSRR >Potri.015G020700.1.v4.1 pep chromosome:Pop_tri_v4:15:1472032:1480304:-1 gene:Potri.015G020700.v4.1 transcript:Potri.015G020700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020700.v4.1 MAITLQATLLCRPSFSLYSPSKRRSFHHPINSSLSLSKTPFSPSLNLRLRPFLLPCTLHPDNADPVSETVPPISNSNKTQEVVDVVESNESGRQEEEGQGGNLVEEKEGGGGVYDSNGRIRVAVFLMGLWTKMKNGFQKLLMLMGSYSSNWFSFSWWPFWKQEKKLEKLIAEAEAHPKDAEKQTALLVELNKHSPESVIKRFEQRDHAVDSKGVAEYLRALVVTNSIADYLPDEQSGKPSSLPALLQELKQRASGDTDKQFMNPGISEKQPLHVVMVDQKVSNKSRFAQELISTILFTVAVGLVWIMGAAALQKYIGSLGGIGASGVGSSSSYTPKELNKEVMPEKNVKTFKDVKGCDDAKQELEEVVEYLKNPTKFTRLGGKLPKGILLTGAPGTGKTLLAKAIAGEAGVPFFYRAGSEFEEMFVGVGARRVRSLFQAAKKKAPCIIFIDEIDAVGSTRKQWEGHTKKTLHQLLVEMDGFEQNEGIILMAATNLPDILDPALTRPGRFDRHIVVPNPDVKGRQEILELYLEDKPMADDVDVKTIARGTPGFNGADLANLVNIAAIKAAVEGAEKLTAAQLEFAKDRILMGTERKTMFISEESKKLTAYHESGHAIVAFNTEGAHPIHKATIMPRGSALGMVTQLPSSDETSISKKQLLARLDVCMGGRVAEELIFGQDHVTTGASSDLHTATELAQYMVSNCGMSDAIGPIHIKERPSSELQSRVDAEVMKLLKEAYDRVKALLKKHEMALHALANSLLEYETLSAEEIKRILLPYREGRQPEQQEAAQEEGELVLA >Potri.005G005300.1.v4.1 pep chromosome:Pop_tri_v4:5:399113:402436:-1 gene:Potri.005G005300.v4.1 transcript:Potri.005G005300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005300.v4.1 MAESRNANAKSSNGKPSGAVSPYAIDLDNFTKRLNLLYSHWKEHHNDLWGASDVLAIATPPASEDLRYLKSSALNIWLVGYEFPETIMVFLKKQIHFLCSQKKASLLEVVKKSAKEAVGLEVVIHVKTKSDDGSGLMDIIFHAVHAQSNSNGHDTPVIGHIARESPEGKLLETWDEKLKNANCELSDVTNGFSDLFAVKDSIELTNVRKAAFLTASVMKQFVVPKLEKVIDEEKKISHSSLMDDTEKAILEPARIKVKLKAENVDICYPPIFQSGLEFDLKPSAASNDENLYYDSTSVIICAIGSRYNSYCSNIARTFLIDANPLQSKAYEVLLKAHEAAISELKSGNKISAVYQAALSVVEKDAPELIANLTKTAGTGIGLEFRESGLSLNSKNDRTLRQGMVFNVSLGFQNLQAETKNPKTQKYSVLLADTVIVGEKIPDVVTSKSTKAVKDVAYSFNEDDQEEDQPKVKPELRGSKTILSKATLRSDNHEMSKEELRRQHQAELARQKNEETARRLAGGGSSATDNRGGAKTIGDLVAYKNVNDLPPPREFMIQVDQKNEAIILPIHGSMVPFHVATVKSVPHDANSLKFQGSIYLKEVSFRSKDSRHISEVVQQIKTLRRQVTSRESERAERATLVSQEKLQLSSTKFKPIKLLDLWVRPPFGGRGRKLTGSLEAHANGFRYSTSRPDERVDVMFGNIKHAFFQPAEKEMITLVHFHLHNHIMVGNKKTKDVQFYIEVIDVVQTIGGGKRSAYDPDEIEEEQRERDRKNKINMDFQNFVNRVNDVWGQPQFKALDLEFDQPLRELGFHGVPHKVSAFIVPTSSCLVELIETPCVVITLSEIEIVNLERVGLGQKNFDMTVVFKDFKRDVLRIDSIPSTSLDGIKEWLNTTDLKYYESRLNLNWRPILKTITDDPEKFIEDGGWEFLNMEVSDSDSENSVDSDQGYVPSDVQSDSGSDDEDDDSESLVESEDDEEEDSEEDSEEEEGKTWEELEREANSEEERKRRKIKALGKSREPARPPTRPPARLPARPPARPPARPPARPPARPLVRPPDRRNVSGSLPKRPKLR >Potri.013G007900.1.v4.1 pep chromosome:Pop_tri_v4:13:512839:513786:1 gene:Potri.013G007900.v4.1 transcript:Potri.013G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007900.v4.1 MMKTTVAVVFVLGLAFLDLQVDAKRLLLKEIKAEKTDDKPLSNVQQDGKLDAVNNAGTDVKPNNQPGNVGTYGNPVTGSVPAVDTKNDNATSSPSTSDNKGSTDDEANSSYGNYGNPSGSSTDTHHSFTNDCQPKKGC >Potri.001G417400.1.v4.1 pep chromosome:Pop_tri_v4:1:44564430:44572485:-1 gene:Potri.001G417400.v4.1 transcript:Potri.001G417400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417400.v4.1 MPKPNSLSNLFRAAFKTTNTSTASAGDRTRESFITNLESKTHSKKPPKPKTSSESEKQPKAKAKPQPPPSPAVNSSLHALKLEDSDYEESVANPTMQDITKIINDGVKINTPDSEEAEDEELVKKALEIPWLSRLKNNNIGMLRKDVSRERKQKWVFTYSQVNHINRIVDTCADKLGTDNAMEVFGKLGRETGLKEFNALMKKYIEQCRETDDESVAMKHISAVLQLFKSMKEQGFSIEEETYGPFLILLIDKGMVEEFHFFSDIIKDTNPSKNARLGYYDMLLYIGVNDEEKIQELCNYICIDDGDNNISLRENYLLALCESDQKNYLLQLLETMDITKFSSLDHLASIFKSLGRLSLESFAKKFLLVLKSCDYGAEDISTLIFSYATSIPNLVVEDVVSKFKTLHMIMKMSPSSTSYEKLVVYNCNLLKVHLALDIVDQMCKEGLTISINTIHSILNASEESFDFNLVRRIYSLIYHLDLTPNNETFRSMISLSVKMKDFEGAYGLLDDLKKLNLAPTASMYNAIMGGYFREKNIRGALMVLKQMKLADVKPDSSSYSYLISNCNNEEEIIKYYEEMKVAGIQVSKQIFMALINAYATCGQFEKAKQVLLDKEFPIKHLNEIRSVLVSALASHGQMTDALNLYEEMKQAGSNLEPKAVISLIEHVDSEGEQSRLLKLLEELDDHNYWVDGCFRVILYCIRNKDLRSAVDLLKQLKDRFSDDELAMEVLFDEVFSQVAETEPANVRIGMDLLQAIKDELGASPSRKCLDFLLTACVNAKDLGNSLLVWKEYQAAGLPYNVTSYLRMYQALLASGGHVSAKVMLNKIPKDDPHVRIVIQECQRTYIGHTYIKGEKKRIKEGRRKRSV >Potri.004G211100.1.v4.1 pep chromosome:Pop_tri_v4:4:21731526:21732414:1 gene:Potri.004G211100.v4.1 transcript:Potri.004G211100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211100.v4.1 MATSNSYFARQKYRFLSTDLNHHAQLSHDSPFELDESDIYHHTTTLSISPDFRKPVLSPRLVKKSTSAAAACRPTDSREKTGGTPSSLPVNIPDWSRILKNEYRRGSDVVDDRGDVDDDDDDVDGDDYFDGGVRVPPHELLVRQMARSRIASFSVHEGIGRTLKGRDLSRVRNAIWEKTGFQD >Potri.002G069400.1.v4.1 pep chromosome:Pop_tri_v4:2:4813185:4814344:-1 gene:Potri.002G069400.v4.1 transcript:Potri.002G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069400.v4.1 MASNQTSLNDAKFALPINDVEQQATLEIETEDFDYSKRAQWLRAAVLGANDGLVSTASLMMGVGAVKQDIKVMILTGFAGLVAGACSMAIGEFVSVHSQLDIELAQMKREKERRNNGGKEEQEEGENKESLPNPLQAAAASALAFSVGALVPLLAASFIRGYKVRLGVVVAAVTLALLIFGWLGAVLGKAPTVKSSLRVLVGGWLAMAITFGLTKLIGSSGL >Potri.010G230600.1.v4.1 pep chromosome:Pop_tri_v4:10:21297968:21298641:1 gene:Potri.010G230600.v4.1 transcript:Potri.010G230600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230600.v4.1 MAPKAAEKKPAEKKPAAAEKAPAEKKPRAEKKIPKEGAIDKKKKRSKKNVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.013G154300.2.v4.1 pep chromosome:Pop_tri_v4:13:14969336:14975768:1 gene:Potri.013G154300.v4.1 transcript:Potri.013G154300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154300.v4.1 MSPSLLTSSPSTSFLSPLPFKLTPSSLTLPKRHRFRVSYPRSSAAEYPSAITLESKPDDLFGGKRELSGAQSIVSNLSPTLRLASSALILAGALAAGYGLGTKFGGGSRNLALGGGAVAGAAVGAVVFSLNSAVPEIAAINLHNYVSGFDDPTKVSKEEIEGIAKKYGVSKQDEAFNAELCDLYCQFVSSVLPPGGEELRGNEVDTIINFKNALGMDDPDAASMHVELGRRIFRQRLETGDPDGDVEQRRAFQKLIYVSTLVFGEASSFLLPWKRVFKVTDSQVEIAIRDNAQRLYTSKLKSVGKDIDVEQLVNLRQAQISYQLSDKLAEDLFRQHTRKLIEENISAALDRLKSRTRTVQDVVKVVEELDKILAFNNKLISLKNHTDAASFACGVGPVSVLGGEYSSERKIDDLKLLYRAYITDALYGGRMEEHKLAALNQLKNIFGLGKREGESIRLDVTSKAYRKRLAQAVSSGDLEYADSKAAFLQNLCEELHFDPLKATEIHEEIYREKLQQCAADGELSDEDVKALTRLRVMLCISQQIIDAAHSDICGSLFEKVVKDAIASGVDGYDADVKKAVRKAAHGLRLTREAAMPIAGKAVRRIFLNYIKRARMAENRTEGAKELRKLIAFNSLVVTELVADIKGESSDAPPEEPSKVEENKVEEDEEWDDDEEWESLETLKKIRPGEEVAAKMGKPGQTEINLKDDLPERDRTDLYKTYLLYCLTGEVTRIPFGAQITTKKDDSEYLLLNQLGGILGLTVMEIVEVHRSLAEQTFRKQAEVILADGQLTKARIEQLNDLQKQVGLPPEYAQKVIKNITTTKMAAALETAINRGRLNMKQIRELKEASIDFNSMISENLRENLYKKTVDEIFSSGTGEFDEEEVYEKIPEDLNINAEKAKGVVHELARSRLSNSLVQAVALLRQRNQQGVVSTLNDLLACDKAVPSEPLTWEVPEELADLYTIHMKNNPAPEKLSRLQYLLGISDSTATALGEMKDRVPPVGAEEEKFVF >Potri.010G198600.1.v4.1 pep chromosome:Pop_tri_v4:10:19153122:19155383:-1 gene:Potri.010G198600.v4.1 transcript:Potri.010G198600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198600.v4.1 MSFTAILRKSASAATPLASRLVRVGQRDYHSALFSALKRQVNLPRKSPSFVPFLQRYCSAATETLRPSSTESLLRVIESEIKDAQENDDNDRVEEIPKDFPFKIDDNAGQQTVILTREYEGELVKVEVHMPDFVTGEENDVDDDKEQPVQSSIPLVVTVSKKSGTCLQFNCVAYADEITIDSISIGVPETSEDEMAFDGPNFHDLDENLKKGFHKYLEIRGIKASTTNFLHEYMISKDSREYMGWLSNLKQFIEA >Potri.002G100400.2.v4.1 pep chromosome:Pop_tri_v4:2:7320932:7324477:-1 gene:Potri.002G100400.v4.1 transcript:Potri.002G100400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100400.v4.1 MPCTQPTPNYTAPPESRGYLLAHTNGGLNQMRAGICDMVAIARIINATLVVPELDKKSYWQDSSNFSDVFNEDHFINALANDVKVIKKLPMEMGGATRADKYFKSWSGMDYYQGEIASMWADYKVILAAKTDSRLANNNLPADIQKLRCRACYEALCFAPQIEAMGKLLVDRMRSYGTYIALHLRYEKDILAFTGCTHGLSPDEADELKKIRDENDEWKVKDIDPREQRSKGFCPLTPKEAAIFLSALGYPSNTPIYIAAGEIYGGDSYMDDLRSRYPMLMSKEKLASIEELEPFANHSTQLAALDYILSVESDVFMPTYSGNMARAVEGHRRFLGHRRTISPDKKALVRLFDKIEQGIKKEGKLLSDKVIERHKKRQGSPRKRKGPIPGSKGMDRFRSEEAFYVNPLPDCLCAQSRNLNTSVS >Potri.002G100400.1.v4.1 pep chromosome:Pop_tri_v4:2:7320931:7325349:-1 gene:Potri.002G100400.v4.1 transcript:Potri.002G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100400.v4.1 MQMRRWKAVGLMRRILSCAICTIAMMSLLSVHLHIFPRHSKLPDPYKLPNPQIEIRDKILGTEQEQRWTSEFTPPNVFLGPLSSQQFPVWRTNCPRLWKPVPNRDYMPCTQPTPNYTAPPESRGYLLAHTNGGLNQMRAGICDMVAIARIINATLVVPELDKKSYWQDSSNFSDVFNEDHFINALANDVKVIKKLPMEMGGATRADKYFKSWSGMDYYQGEIASMWADYKVILAAKTDSRLANNNLPADIQKLRCRACYEALCFAPQIEAMGKLLVDRMRSYGTYIALHLRYEKDILAFTGCTHGLSPDEADELKKIRDENDEWKVKDIDPREQRSKGFCPLTPKEAAIFLSALGYPSNTPIYIAAGEIYGGDSYMDDLRSRYPMLMSKEKLASIEELEPFANHSTQLAALDYILSVESDVFMPTYSGNMARAVEGHRRFLGHRRTISPDKKALVRLFDKIEQGIKKEGKLLSDKVIERHKKRQGSPRKRKGPIPGSKGMDRFRSEEAFYVNPLPDCLCAQSRNLNTSVS >Potri.005G109900.3.v4.1 pep chromosome:Pop_tri_v4:5:7982464:7986417:-1 gene:Potri.005G109900.v4.1 transcript:Potri.005G109900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109900.v4.1 MSSGRKISLRSSDGESFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVETPKPEDRGTNSGDDELKNWDTEFVRVDQATLFDLILAANYLNIKGLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Potri.005G109900.4.v4.1 pep chromosome:Pop_tri_v4:5:7985977:7986342:-1 gene:Potri.005G109900.v4.1 transcript:Potri.005G109900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109900.v4.1 MSSGRKISLRSSDGESFEVDEAVALESQTIKHMIEDDCADNGIPLPNVTSKILAKVIEYCKKHVETPKPEDRGTNSGDDELKNWDTEFVRVDQATLFDLILVCLFFVRSVITFCEMLSFLN >Potri.006G218200.1.v4.1 pep chromosome:Pop_tri_v4:6:22339295:22340319:1 gene:Potri.006G218200.v4.1 transcript:Potri.006G218200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L13 MVRPGTSSSSVSSEQQSDRTHEPKYKGVRKRKWGKWVSEIRLPNSRERIWLGSYDTPEKAARAFDAALYCLRGSGAKFNFPDNPPDIVGGRSLTPQEVQEVAARFANEEPTSSTAMGGESSSHVENYTSSSSDCGAGQMDSDTIDWSFLNLLDSNEGASDFGLYHGLDHMGGDYYPPPTPPPPPDYNGDDNNGDETFSHQSFLWNF >Potri.002G030200.3.v4.1 pep chromosome:Pop_tri_v4:2:2008011:2011603:1 gene:Potri.002G030200.v4.1 transcript:Potri.002G030200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030200.v4.1 MENLVIIRSISKSPLTTQSKQESQSEFSQKPIKSSISFAKNPLPKFIDSHLDSLCKNGSLNDAVTVLDSVAQQGSKVTPRTYMNLLQSCIDTNSINLGRKFHARISVVQEKSPVIETKLVSMYAKCGYLRDARKVFDEMSERSLFTWSAMIGACCREKRWKEVVELYYMMMKDNVLPDGFLLPKILQAVGNCRDVKTGELLHSFVVRCGMGSSPRVNNSILAVYSKCGKLSLARRFFESMDERDIVAWNAMMSGYCLKGEVEEAHRLFDAMCEEGIEPGLVTWNILIAGYNQKGQCDVAMNLMKKMVSFGVSPDVVAWTSMISGFAQNNRNGQALDLYKEMILAGVEPNGVTITSALSACASLKVLNTGLGIHSLAVKMSFVNDVLVGNSLIDMYSKCGQLGAAQLVFDLMSEKDLYTWNSMIGGYCQAGYCGKAYVLFTKMQKSQVQPNVVTWNTMISGYIQSGDEDQAMDLFHRMEKEGEIKRDNASWNSLIAGFMQIRKKDKALGIFRQMQSFCISPNPVTILSMLPACASLVALKKVKEIHGCVLRRNLVSVLSISNSLIDTYAKSGKIEYSRAIFDRIPSKDFITVNSMITGYVLHGCSDSALGLLDQMRELGLKPNRGTLVNIILAHSLAGMVDEGRQVFSSMTEDFQIIPASEHYAAMVDLYGRSGRLKEAIELIDNMPIKPQSSVWYALLTACRNHGNSDLAIRARENLLDLEPWNSSIHQSILQSYAMHGKYEDAPKVKKLEKRNEVQKPKGQSWIEVNNTVHSFVAGDQSTSYSDLFSWVERISMEAKVHDLHCGCCIEEEEEEEKEEIVGIHSEKLALAFAIIRSPSAPQSIRIVKNLRTCADCHRMAKYISAKHGCEIYLSDSNFFHHFKSGCCSCGDYW >Potri.002G030200.1.v4.1 pep chromosome:Pop_tri_v4:2:2008007:2011659:1 gene:Potri.002G030200.v4.1 transcript:Potri.002G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030200.v4.1 MENLVIIRSISKSPLTTQSKQESQSEFSQKPIKSSISFAKNPLPKFIDSHLDSLCKNGSLNDAVTVLDSVAQQGSKVTPRTYMNLLQSCIDTNSINLGRKFHARISVVQEKSPVIETKLVSMYAKCGYLRDARKVFDEMSERSLFTWSAMIGACCREKRWKEVVELYYMMMKDNVLPDGFLLPKILQAVGNCRDVKTGELLHSFVVRCGMGSSPRVNNSILAVYSKCGKLSLARRFFESMDERDIVAWNAMMSGYCLKGEVEEAHRLFDAMCEEGIEPGLVTWNILIAGYNQKGQCDVAMNLMKKMVSFGVSPDVVAWTSMISGFAQNNRNGQALDLYKEMILAGVEPNGVTITSALSACASLKVLNTGLGIHSLAVKMSFVNDVLVGNSLIDMYSKCGQLGAAQLVFDLMSEKDLYTWNSMIGGYCQAGYCGKAYVLFTKMQKSQVQPNVVTWNTMISGYIQSGDEDQAMDLFHRMEKEGEIKRDNASWNSLIAGFMQIRKKDKALGIFRQMQSFCISPNPVTILSMLPACASLVALKKVKEIHGCVLRRNLVSVLSISNSLIDTYAKSGKIEYSRAIFDRIPSKDFITVNSMITGYVLHGCSDSALGLLDQMRELGLKPNRGTLVNIILAHSLAGMVDEGRQVFSSMTEDFQIIPASEHYAAMVDLYGRSGRLKEAIELIDNMPIKPQSSVWYALLTACRNHGNSDLAIRARENLLDLEPWNSSIHQSILQSYAMHGKYEDAPKVKKLEKRNEVQKPKGQSWIEVNNTVHSFVAGDQSTSYSDLFSWVERISMEAKVHDLHCGCCIEEEEEEEKEEIVGIHSEKLALAFAIIRSPSAPQSIRIVKNLRTCADCHRMAKYISAKHGCEIYLSDSNFFHHFKSGCCSCGDYW >Potri.001G362100.1.v4.1 pep chromosome:Pop_tri_v4:1:38045381:38065146:-1 gene:Potri.001G362100.v4.1 transcript:Potri.001G362100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G362100.v4.1 MVMEIAFESSILGRPIFISGTKTSSFLHYNRVSKIPFKVSQKSYQYPPRISSKLTDFRPYCSSILPRNRSKSSRNVSTDLGTDQNVNLELIKVLLKRGVVFGAMVCGVLVFGCRRVLASEGVVNAGYGVIGQSILLLRNAWPKISQLLRVFKEQGLILAALLGLSAFFSMAETSITTLWPWKVRELAEKESDDGVFKMLRSDVTRFLTTILIGTTVVNIGATALVTEAATTIFGEAGVSAATGVMTVAILLLTEITPKSIAVHNATEVARFVVRPVAWLSLVLYPVGRVVTYLSMGMLKMLGLKGRSEPYVTEDELKLMLRGAELSGAIEEEEQDMIENVLEIKDTHVREVMTPLVDVVAIDASGTLVDFHESWVTHQYSRVPVFEQRVDNIVGIAYAMDLLDYVQKGELLERTTVGDMAHKPTYFVPDSMSVWNLLREFRIRKVHMAVVLNEYGGTVGIVTLEDVVEEIVGEIFDENDSKEEIEKKTGYIVMRAEGIYDVDANTSIDQLSEDLNVKMPEGHQYETVSGFICEAFGYIPRTGETINVVLEKETQEDVDEHTEGKSDRQELKEKHQIYKLEILAGNARKVSAVRFERINNGEALLEANEVTRLVPRIMKRKWSSDEEPDGSDYDEDDEDSFQKRPEHSLSDSNVIAEHEEDNESPSGQ >Potri.011G098700.1.v4.1 pep chromosome:Pop_tri_v4:11:12770911:12773014:-1 gene:Potri.011G098700.v4.1 transcript:Potri.011G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098700.v4.1 MEMDIEGIDQISSLPDGLLSRIISHLSISESIRTSILSRRWKNVWKSSSCLHFDTNFLVKFCIDINNRDASFRDYNRKRLYDEISRATGIVERVIDSHETKLVSCSISHVEDNFKSGLVERLIKNLKEKKLIEELSLVCEQLFVSDRFQCNLPPGIFFCRTLHVLELKGYRLKDASPFESCCNLVTLRLNSVNLDDKTLSRVILNCMFLEDLCLCSCTGLKKIEIHDKNLKSLKLNGLDLEGIDIEAMGLSILVLNSVSCSVYMTINTPSLVEFGFCSDGGRLQKSTQIYSRIIDFLERCCGLLQQNPNNGFLKCSNPFGQLHTLSISLALDSQMEQHILDHIFRLCTHLQRLGISIPPNNRGPSDWELPYPTSMFWEKRELHDSITHSLKLVKIKGFCGKEEEIIFAKHLIRKAPMLRRFVIECGENCSETGARETLGLPLEPRASVNLSIVLKPKVDGSFQNWVSTLNKNPFC >Potri.015G054600.1.v4.1 pep chromosome:Pop_tri_v4:15:7464225:7466727:1 gene:Potri.015G054600.v4.1 transcript:Potri.015G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054600.v4.1 MISGRKAANAMGSKTARACDSCLRKRARWFCVADDAFLCQACDASVHSANQLASRHQRVRLETASSYRISSSLNTDQDYSPPAWHQGFTRKARTPRHNSNKSLLVQQLLKDDREKVLNPLPLVPEIGSEEEPNMAPDENEDDQLLCRVPVFDPFAAKMCDIVTSEDENMVVEVYGQEGACGLDNLPGFLPSDMDLAEFAADVENLLGREADEEYHDTKDLELLDCSKGEDEGQFCFADKVVKMKDEQEMETIIDCHFDQDFNMARESLLGWNFDYETLVDGDEEVEEKKVPVPETEMMNSTGYKEMKRNVSLRLDYESVIIAWANQGCPWTTGSRPELNPDDSWTDSMGACPKDVNNPYGGLGSHTRGGDGEREARVMRYKEKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRTSLMGTTDFP >Potri.005G060900.2.v4.1 pep chromosome:Pop_tri_v4:5:3841548:3843203:-1 gene:Potri.005G060900.v4.1 transcript:Potri.005G060900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060900.v4.1 MDFNQAKFNHTWNLDMSMEDQILHHDQLPFNSIWPSCPLQTQQTQIPASSQTPISTFLGDQICNNTEEEEDEPEEELGAMKEMMYRIAAMQPVEIDPATIRKPKRRNVRISDDPQSVAARLRRERISEKIRILQRLVPGGRKMDTASMLEEAIRYVKFLKRQIRLLQPNHHQQHQPCTTNGDWQIPYSNKPLDSITTTPSLLEPRAGGLGYILGGNTGGNPLCFNHEVISD >Potri.002G231400.1.v4.1 pep chromosome:Pop_tri_v4:2:22316729:22318102:1 gene:Potri.002G231400.v4.1 transcript:Potri.002G231400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231400.v4.1 MKLTELSLAPTQFVLEKSTNSSSSESENNPSRKRKFSSDQYLPKNGSPIQASVDLHVKDPLPLDWEQCLDLESGRMYYLNRKTLRKSWNWPRNQKLDLELNMSSTVVSNCLDQCSSSNNSLEASNKIHAPSNSNMVALACLNCHLLVILSKSSPSCPNCKYVHSLPILQSPLPKVSPSRSLSTLSLLN >Potri.010G019150.23.v4.1 pep chromosome:Pop_tri_v4:10:3034143:3060632:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.1.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060638:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.25.v4.1 pep chromosome:Pop_tri_v4:10:3034143:3060632:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.21.v4.1 pep chromosome:Pop_tri_v4:10:3034143:3060638:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.17.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060561:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.29.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060587:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALDHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.18.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060587:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.26.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060568:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.14.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060638:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.20.v4.1 pep chromosome:Pop_tri_v4:10:3034143:3060587:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.24.v4.1 pep chromosome:Pop_tri_v4:10:3034143:3060632:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.16.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060587:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.28.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060587:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALDHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.19.v4.1 pep chromosome:Pop_tri_v4:10:3034143:3060587:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.3.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060568:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.22.v4.1 pep chromosome:Pop_tri_v4:10:3034143:3060632:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.27.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060568:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.2.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060638:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.15.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060638:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALGKAPTSEINPSMLEIKTSHGPVEKMRELIRASTENPVMGSNMEVNHSVLDAGDRDNITSQRPAPEGLLGDGGDPPMVTLSVSDVTEHPRSDSGYRTQASKASPKFSPHVSLGNRTISIKPDYTDYFSLGTVTPVADHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.8.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060636:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALDHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.010G019150.7.v4.1 pep chromosome:Pop_tri_v4:10:3034138:3060638:-1 gene:Potri.010G019150.v4.1 transcript:Potri.010G019150.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019150.v4.1 MASSQSSQNVELEAAKFLHKLIQDSKDEPAKLATKLYVILQHMKSSGKEHSMPYQVISRAMETVINQHGLDIEALRSSRLPLTGGTQMGDSSTAQYGGSSQAVGVGKDSKAGLAENEISKVDPSASSRPPAGPSSAGHDYYQGSGTQRSSQSFDHESPSSLETRSANSQSQERGANQKDGKKAVAKRKRGDSSLHLEMHVENPQQLDPRNTIVNPRKGKMNKVDSPGSYAVRGGENTSFNKVPSSGQLEVSSSYVSAGQQQGGSLSSAHESLTSRCMWNQNKAGLPLERSQVPRFSSNAVSGNATAEIPLQQSAISSLGSSAFSKVHGGMPATSYPAGPMGEPGFAGLVQYGGSEHQKHGLAKGAVASSAEKTSEGFFSANRVDDFPTSLSTGKILENDGGSSNMFAESNKIIQGGRQSSNSELTMIRSTPPRDVGKSPVSQGSVSPGMPFNEQQLRQLRAQCLVFLAFRNVLPPKKLHLDIALGNVVPKDGGTLDGPRKELTDHKGKAQSSNEPTNIPELLMPCGRLNNAKEFDKVLPGLGGRFLDENCASKEADKLKMMEDKSGLPSDPSMLADERKYLYSTRKLDAEIQRQEAVESQAVFTTAMQQPDSARGGLPLSNPVDSMGNAFLQVGKTDHASSATFINKQAIPEAVSWTRIGSQSLPSGSIQLGLVPDRKDNAPSQFHILGNSNASEQDDDDKSAASTDSPPSPKYTMLEKWIMDQQRKKLLTEQGWVLKQQKTKQRIATCFDKLKETVSSSEDISAKTKIVIELKKLQLLELQRRLRSNFLNDFFKPITNDMDRLKSYKKHKHGRRIKQLERYEQKMKEERQKRIRERQKEFFAEIEVHKERLEDVFKIKRERWKGFNKYVKEFHKRKERTHREKIDRIQREKINLLKINDVEGYLRMVQDAKSDRVKQLLKETEKYLQKLGSKLQEAKSMASRFENDMDESRHAAVVEKNETSVENEDESDQAKHYMESNEKYYLMAHSVKESIAEQPTCLLGGKLREYQMNGLRWLVSLYNNHLNGILADEMGLGKTVQVISLICYLMETKNDRGPFLVVVPSSVLPGWETEINFWAPGIHKIVYSGPPEERRRLFKEKIVHQKFNVLLTTYEYLMNKHDRPKLSKIHWRYIIIDEGHRIKNASCKLNADLRHYQSSHRLLLTGTPLQNNLEELWALLNFLLPNIFNSAEDFSQWFNKPFESNGDNSADEALLSEEENLLIINRLHQVLRPFVLRRLKHKVENQLPEKIERLVRCEASAYQKLLMKRVEENLGSIGNSKARTVHNSVMELRNICNHPYLSQLHADEVDTLIPKHFLPPIIRLCGKLEMLDRLLPKLKATDHRVLFFSTMTRLLDVMEEYLTWKQYRYLRLDGHTSGGDRGSLIDRFNQQDSPYFIFLLSIRAGGVGVNLQAADTVIIFDTDWNPQVDLQAQARAHRIGQKRDVLVLRFETVQTVEEQVRASAEHKLGVANQSITAGFFDNNTSAEDRREYLESLLRECKKEEAAPVLDDDALNDLLARSESEIDVFESVDKQRRHQEMATWKSLLSGQGMDALEPLPPLPSRLVTDDDLKALYEAMKLYDMPKAGAESNAGAKRKGQHVGGLDAKHYGRGKRAREVRSYEEQWTEEEFEKMCQAESPDSPKVKEETGERNLPKEASGSLLAIGCTEPQAPPQLPPLPPPVEPLLLQQSKEVTPPSKRGRGRPRRATSDKSPAAMVLSVPPETGKVDVELQKGIESGSSKTSPLDSSPVPNLEGNSGATPHLGSRIAPSAQPTTPVSVALSSQITTAPLSVPLQSRGRGRKVQGAVQTPRRRGKNQVAVSPTTSSSAVPDPNINDQSQNVSVNPSVIAMGGTVSSAPMPQHPTNFPAAAAAAVEGISAATHHSGPGTALDSQPNPPNPSISPTIQSIVPSSSVPMQVKGQNRKTQSGTETTRRKGKKEVPVSPSVPDASDSQLSKSNPTLSQDKSGESGSKAIFMVSNQQNDALDRDVNQEQVSQEVGQDKKATELLDDVAQHRQPASTLTTHDGITRSMACAGSSGQIHGVDMHDVASVTKEVSAVNSSSKAKVLEVSGSESGVILSTPQLSKRFAEVVQNQSSEDNPSPVVYPATESLLHSATVEGVCKTVHQLAPKITSSSQPISSYPSVTPVFQSNTPEAMQVKRQGHKAPTRGEAPRRRGKKQGSISPAVDATIGQDPIVNPQMQMQNKSRDSLGSKVISLRSAQGNELKELKNVVQEAHIPSGLVGQDPKRKEASGILAVGRIQTADVTDVARVMKEIFSETCSSKNKIGDSSTVEVRSAPVSSKMSVEVAKNQSSEGKALSAVSILEATLPVMGSSNDDSKQPGSGDGVKMEGDHTPALDHSDSRNILSVADSVSRSSNKPSVKESLDSSLEIRDDEAKTHIQSGVDITKVEGEEVCKMQIDPAVSEASSLKYLSSSNKIEPNSSAAGASHRKDAFSQFGGIVLQNISPLRGNTYGPCENDLVGSSVAVEEPHKTEAGNKAEYSQVGAFVPKDLSENMVLPSSPLAREEEKDSRPFEQGLAGSSIEPETSKGFEAQMASKMDVSNANVIIPEIRPEHMVLPQSFLEAEENINGILENDAACCLVVPEGAKGSEVENDDQMGAQKVSDSVQEIVDPLPSSLVIEEDQVEGSSEKGALCFSVIVQNSGGSEAEAGKQLDASHAETLVRENVSENMVSPRSSLVSEAPVVEGSSEQDIFGFSVVLETSKGSATNNEVQVNPSQVDGVVPETKTGIWMQESEIARSSEKHQDDSSVAKQSKPSAIEEGSQMIVSEVGGIVHETSLENSCVPSVSETKAENANCLYEKSSHCVLLALEEAKQSETESSNQLAVSDFPMTGPENSLENICQSSCSLTMEADKIEGSSKKSSCDISVAMEESKKFEKENDESHVGSKECEESQVVGTSFEHTQVGSIGPEETSGNTDKSSYSSEMQEGKIEGSSQNIPEESNRSEAETDDQTQYGGMALANMSENIEGSYSSGMQEDKIKGSSLNVPEESNRLEAETDDQTQFGGMTLAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMALANMPEKIESISFSGMQEDKIEGSSLNVPESNRLEAETDDRTQFGGMALAKMSEKIEGSSLNVPEESNRSEAEIDDQAQCGGMAVANMPEKIEGLSSSGMQEDKIEGSSLNFPESNRLEAETDDQTQFCGMALAKMSEKIEGSCLNVSEESKRSEAETNDQAQCGGMAQANMPEKIEDVSFSGMQEDKIEGSSQNVPESNRSEAETDNQTQFGGMALAKMLEKIEGSSLNVQEESNRSEAETNDQAQCGGMALANMPEKIEDLSSSGMQEDKIKGSSLNVPEESKRQEAETVTDDETQCDGMAPANMLPSSSLLEEKTDVLSEKDPAE >Potri.016G130201.1.v4.1 pep chromosome:Pop_tri_v4:16:13334207:13335671:-1 gene:Potri.016G130201.v4.1 transcript:Potri.016G130201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G130201.v4.1 MPVVCRSSKGLNLVPEILLKSQVMNTRMEESTFQWGHMQLVCSEHEIMPTQYAFLALTWFYKLQEMHQILKEQHPSPVSQIYHRVHLSLR >Potri.003G012100.1.v4.1 pep chromosome:Pop_tri_v4:3:3253680:3255105:-1 gene:Potri.003G012100.v4.1 transcript:Potri.003G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G012100.v4.1 MDWFSWLSKTGLEPSLVYEYGLAFAHNELEEEDIAYFNHEFLQSMGVSIAKHRLEILKLARKEKGSSSRAMARVLVAIKRTKRSLAKYVRTWVHREESALVLVPKPGYGTRWRGAMLKRNKKLMTAKQSRLLLTNGSFSNTPMISAAPALDSFSSPVICDLNKEEKLENDDEGYWTTGVEEFRWDTMFQNLKPT >Potri.009G057100.3.v4.1 pep chromosome:Pop_tri_v4:9:6013965:6019158:1 gene:Potri.009G057100.v4.1 transcript:Potri.009G057100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057100.v4.1 MATTTTCTSTTSSFMLTYATSTVHPQDLTPSLLSFKPQIFSVCPLISDRKSSNRINFYSQTEAKSRPCRVVVAAALAAEAEVGEEVEETEGEGGGVATATVTPTKPKKGKAALPLKRDRTRSKRFLEIQKLRETKKEYDLGTAISMLKKTANTKFVESVEAHFRLNIDPKYNDQQLRATVNLPKGTGQTVKVAVLTQGEKFDEAKNAGADLVGGEDLIQQIKGGFMQFDKLIASPDMMPKVASLGKILGPRGLMPNPKAGTVTTDIPQAIAEFKRGKVEYRADKTGIVHIPFGKADFSEEDLLVNLLAAVKSAEANKPSGAKGVYWKSAHICSSMGPSIRLNTREMLEYKLPSNV >Potri.018G104000.2.v4.1 pep chromosome:Pop_tri_v4:18:12384640:12388851:-1 gene:Potri.018G104000.v4.1 transcript:Potri.018G104000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104000.v4.1 MAGITSASSSSCFPLVTRTTTTKTTHYALCLSSLDSKLFGLRLHNPNLSKPDSLPCSSPSPVTTARFGGPRSYDSRRPRKSDSDDDQALNISSIRSATVRLIDQQQNMVGVVTVRDAIQMAEDTELNLVIVSPDADPPVVRIMDYNKFRYEQQKKKRGQQKKSAASRMDLKELKMGYNIDQHDYDVRLRAARKFLKDGDKVKVIVNLKGRENEFRNNAIELLRRFQNDVGELATEESKNFRDRNAFITLVPNKALLQKAQEPPKKKDKSAADEVSAGV >Potri.003G215400.2.v4.1 pep chromosome:Pop_tri_v4:3:21146821:21149959:1 gene:Potri.003G215400.v4.1 transcript:Potri.003G215400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215400.v4.1 MAPGGIINKEEIESRIAYLDHDTGHPATSNAELRGSPFRKAATPSTGNPGKQSTSNMQDLLDCPVCFTIMYPPIFQCPNGHTLCSHCRARVKNSCPICRGELGNIRCLALEKIAESIELPCKYQSMGCCDIFPYYSKPKHEKNCKYRPYNCPYAGAECSVTGDIPLLVKHLRNEHKVDMHDGCTFNHRYVKSDPREIDNATWMLTVFNCFGRQFCLHFETFHLGMSPVYMAFLRFMGTEDEAREFSYSLEVGGNGRKLTWQGVPRSIRDSHQKVRDSQDGLIIQRNLALFFSGGERQELKLKVSGRIWKEQ >Potri.003G215400.3.v4.1 pep chromosome:Pop_tri_v4:3:21147564:21149891:1 gene:Potri.003G215400.v4.1 transcript:Potri.003G215400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215400.v4.1 MAPGGIINKEEIESRIAYLDHDTGHPATSNAELRGSPFRKAATPSTGNPGKQSTSNMQDLLDCPVCFTIMYPPIFQCPNGHTLCSHCRARVKNSCPICRGELGNIRCLALEKIAESIELPCKYQSMGCCDIFPYYSKPKHEKNCKYRPYNCPYAGAECSVTGDIPLLVKHLRNEHKVDMHDGCTFNHRYVKSDPREIDNATWMLTVFNCFGRQFCLHFETFHLGMSPVYMAFLRFMGTEDEAREFSYSLEVGGNGRKLTWQGVPRSIRDSHQKVRDSQDGLIIQRNLALFFSGGERQELKLKVSGRIWKEQ >Potri.004G191300.1.v4.1 pep chromosome:Pop_tri_v4:4:20333827:20341319:1 gene:Potri.004G191300.v4.1 transcript:Potri.004G191300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191300.v4.1 MRSLSFMCLPHELTQTQKTQSQKMEEEQIERETKSLVFAVNGQRFELSSVDPSMTLLEFLRTQTPFKGVKLGCGEGGCGACIVLLSKYDPVIDQVEDITVSSCLTLLCSVNGCAITTTEGLGNSKDGFHSIHQRFAGFHSSQCGFCTPGMCISLFGALVNAEKTDRPKPSPGFSKLTAVEAEKAIAGNLCRCTGYRSIADACKSFAADVDMEDLGLNCFWKKGESPDVKISRLPSYDHNNEICTFPEFLKCEIKSSFLLDSQKSSWYNPVSVKELQSLLKAIKGNNGARIKLVAGNTGMGYYKDLQHYDNYINLCYVPELSIIGKDHTGIEIGATVTISKAIKALKTESNGEFLSESEMVFKKIAVQMEKIATQFVRNTGSVGGNLMMAQKNCFPSDIATILLAAGSFVNIITDTMHEKLSLEDFLERPPLDSESILTSVKIPKWEPIKNDSSEKDCKMLFETYRAAPRPLGNALPYLNAAFLAEVCRWKSSGAITLNKCMLAFGAYGTRHSIRAREVEEFLTGKKLTLDVLYESIKLVGASVVPEDGTTSSAYRSSLAVGFLFDFLGPLIDNVAKISNHWLDNYGSAAIFTVDEVKQKHDQLDHVKVPTLLSLSKHVFEVTKEYHPVGEPVKKSGAALQASGEAIFVDDIPSPMNCLYGAFIYSTKPFAKVKSIKFKSKSLPFGVAALICFKDIPKDGENIGSKSIFGAEPLFADEMTRYAGERIALVVADTQKHADVASNLVVVDYDMENLEPPILTLEEAVKRSSFFEVPPFFYPKEVGDASKGMAEADHKILSAKMKLGSQYYFYMENQSALALPDEDNCLVVYSSSQCPEFSHSTIARCLGLPEHNVRVITRRVGGGFGGKALKSIPVATACALAAHTLQRPVRMYLNRKTDMIMAGGRHPMEITYSVGFKYSGKITALQLDILINAGISPDISPAMPHNMLGALKKYDWGALSFDIKICKTNHSSKSAMRGPGETQASFIAEAVIEHVASTLSMDVDSVRSINLHTYDSLKMFYVSSGEAHEYSLTSMWDKIAMSSNLNQRTEAVKEFNRSNVWKKRGISRVPVVHEVMVRPTPGKVGILSDGSVIVEVGGIELGQGLWTKVKQMAAFALNAIKCDGEGVLLDKIRVIQSDTLSLIQGGFTSGSTTSESSCEAVRLCCKTLVERLTPLKERLQVQMGSVRWEMLIPQAYLEALNLSANSFFVPDLNSMQYLNYGAAVSEVEVNLLTGETTILRSDIIYDCGKSLNPAVDLGQIEGAFVQGIGFLMLEDYTTNPDGLVVSDSTWSYKIPTIDTIPKQINVEIHNSGHHKNRVLSSKACGEPPLLLAASVYFAAQAAIKEARKQMRSWGCIEQPAFNFQVPAIMPTVKELCGLDSVERYLQWKIGSENSSKLD >Potri.009G065000.1.v4.1 pep chromosome:Pop_tri_v4:9:6577669:6579846:-1 gene:Potri.009G065000.v4.1 transcript:Potri.009G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065000.v4.1 MTVIQYFLAFFVLWFITIFLQYIFKRTGKKPAGYCPPPSPPTLPLIGHLHLLTPVAYKGFHALNNKYGPLLYLRLVTYPAVLVSSAPVATEIFRAQDVHFASRIKSPFEDNLLFGSSTSFFNAPYGDYWKFMKKICMTELLGTSQMKKLKNLRREEVVRFLSKMLEMGKKNEGADLSAEVLTLANNSTCRMIMSARCSGEDNQADKCRELVSESFDLAAKLAVCNLFGPLKRIGIWFLRKKIADVPRRYDELFENVMVEHEEKAKREGPHLENKDLMDILLEVYHDKNAEMRITRKQMKTFFLDLFTGGTSTTADAILWILGELVNHPAAFKKLREEIDSVVGTERLVDEADIPNLPYFQACVKEAMRLHPPVPLFDRVCREDCKLAGYDIPKGITMIMNAYSIMRDPKIWDNPNDFIPERFLKEEENTKGQNLQVYVPFGGGRRMCPGTNMSSSLINGSVTAMVQCFDWKVVGGDGPDGSKVNMDTKAGVTMSLDKPFLSNPVLHRNLFSA >Potri.006G162900.2.v4.1 pep chromosome:Pop_tri_v4:6:15644519:15648121:-1 gene:Potri.006G162900.v4.1 transcript:Potri.006G162900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G162900.v4.1 MEDENGLELSLGLGCGGSSSKSKGKNGSSSDTRMDKGDRGNKLVDDFINFLHGTPTQKQDSSARSQRSDSVKPQEKFFDDLTKNNAEADASINLNSRGLWVSSTNRSAENVEEKRPDLGNKRKLLFDELNNQKKHERDAHHADLHDKKSHILITTEDGSTAENEDVAESEVEGSTSRLASHHDNGAKQFIGVGGPPEATKEVRGFSDSSVVELQGQRRLNGSSENEFKQGNLNYGVPFSVQPVSIMNVPYSFPVNKSNSVGAPSTSGHPITGMIQVLPTSNGEQRTGNQSVNTGNLQVMFGYSPVQLPTLDKDNSWGLISHIQQFPASYAGRALSNAEKQNDGLKISQAMQAIARNLTEVEQHEGSTLGQAKGDGKQLIVEVGSSSHTEDDAKGSTMNLRPKDAPKQSTAETLCFDFSAIKPGIGPAMKIGGCGSYPNLPWVSTTLPGPNGRTISGVTYKYSANEIRIVCACHGSHMSPEEFVRHASEENVNPGNGNGLATFPGTNPAASTQS >Potri.006G162900.3.v4.1 pep chromosome:Pop_tri_v4:6:15644600:15648409:-1 gene:Potri.006G162900.v4.1 transcript:Potri.006G162900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G162900.v4.1 MEDENGLELSLGLGCGGSSSKSKGKNGSSSDTRMDKGDRGNKLVDDFINFLHGTPTQKQDSSARSQRSDSVKPQEKFFDDLTKNNAEADASINLNSRGLWVSSTNRSAENVEEKRPDLGNKRKLLFDELNNQKKHERDAHHADLHDKKSHILITTEDGSTAENEDVAESEVEGSTSRLASHHDNGAKQFIGVGGPPEATKEVRGFSDSSVVELQGQRRLNGSSENEFKQGNLNYGVPFSVQPVSIMNVPYSFPVNKSNSVGAPSTSGHPITGMIQVLPTSNGEQRTGNQSVNTGNLQVMFGYSPVQLPTLDKDNSWGLISHIQQFPASYAGRALSNAEKQNDGLKISQAMQAIARNLTEVEQHEGSTLGQAKGDGKQLIVEVGSSSHTEDDAKGSTMNLRPKDAPKQSTAETLCFDFSAIKPGIGPAMKIGGCGSYPNLPWVSTTLPGPNGRTISGVTYKYSANEIRIVCACHGSHMSPEEFVRHASEENVNPGNGNGLATFPGTNPAASTQS >Potri.006G162900.4.v4.1 pep chromosome:Pop_tri_v4:6:15644429:15648121:-1 gene:Potri.006G162900.v4.1 transcript:Potri.006G162900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G162900.v4.1 MEDENGLELSLGLGCGGSSSKSKGKNGSSSDTRMDKGDRGNKLVDDFINFLHGTPTQKQDSSARSQRSDSVKPQEKFFDDLTKNNAEADASINLNSRGLWVSSTNRSAENVEEKRPDLGNKRKLLFDELNNQKKHERDAHHADLHDKKSHILITTEDGSTAENEDVAESEVEGSTSRLASHHDNGAKQFIGVGGPPEATKEVRGFSDSSVVELQGQRRLNGSSENEFKQGNLNYGVPFSVQPVSIMNVPYSFPVNKSNSVGAPSTSGHPITGMIQVLPTSNGEQRTGNQSVNTGNLQVMFGYSPVQLPTLDKDNSWGLISHIQQFPASYAGRALSNAEKQNDGLKISQAMQAIARNLTEVEQHEGSTLGQAKGDGKQLIVEVGSSSHTEDDAKGSTMNLRPKDAPKQSTAETLCFDFSAIKPGIGPAMKIGGCGSYPNLPWVSTTLPGPNGRTISGVTYKYSANEIRIVCACHGSHMSPEEFVRHASEENVNPGNGNGLATFPGTNPAASTQS >Potri.006G162900.5.v4.1 pep chromosome:Pop_tri_v4:6:15644506:15648121:-1 gene:Potri.006G162900.v4.1 transcript:Potri.006G162900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G162900.v4.1 MEDENGLELSLGLGCGGSSSKSKGKNGSSSDTRMDKGDRGNKLVDDFINFLHGTPTQKQDSSARSQRSDSVKPQEKFFDDLTKNNAEADASINLNSRGLWVSSTNRSAENVEEKRPDLGNKRKLLFDELNNQKKHERDAHHADLHDKKSHILITTEDGSTAENEDVAESEVEGSTSRLASHHDNGAKQFIGVGGPPEATKEVRGFSDSSVVELQGQRRLNGSSENEFKQGNLNYGVPFSVQPVSIMNVPYSFPVNKSNSVGAPSTSGHPITGMIQVLPTSNGEQRTGNQSVNTGNLQVMFGYSPVQLPTLDKDNSWGLISHIQQFPASYAGRALSNAEKQNDGLKISQAMQAIARNLTEVEQHEGSTLGQAKGDGKQLIVEVGSSSHTEDDAKGSTMNLRPKDAPKQSTAETLCFDFSAIKPGIGPAMKIGGCGSYPNLPWVSTTLPGPNGRTISGVTYKYSANEIRIVCACHGSHMSPEEFVRHASEENVNPGNGNGLATFPGTNPAASTQS >Potri.005G189600.1.v4.1 pep chromosome:Pop_tri_v4:5:19730348:19732385:1 gene:Potri.005G189600.v4.1 transcript:Potri.005G189600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G189600.v4.1 MEANLCASLGLPSPNSQNHHNPAIQTDFTNLFAQFSSLILIKNPEKPTKTRNKTTLPFASNSLNSQIFAPKSPKWVQSTSRNSPKVQSLMKNLSVFERALIGAGGGGIAGAFTYVCLHPLDTIKTKLQTKGASQIYKNTLDAVIKTFQDKGILGFYSGVSAVIVGSTASSAVYFGTCEFGKSILSKFEKYPSVLIPPTAGAMGNIVSSAIMVPKELITQQMQAGAKGRSWEVLLRILEKDGILGLYAGYSATLLRNLPAGVLSYSSFEYLKAAVLTKTKKNSLLPIESVSCGALAGAISASLTTPLDVVKTRLMTQMNKDVVDKAAAVMYSGVSATVKQILTEEGWVGFTRGMGPRVVHSACFSALGYFAFETARLTILHRYLRHKELRELDAAPT >Potri.009G081500.1.v4.1 pep chromosome:Pop_tri_v4:9:7766648:7769218:1 gene:Potri.009G081500.v4.1 transcript:Potri.009G081500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081500.v4.1 MAIKSSCRYLTLISLIFTTLSFTAALFSAPDINSPPHPKAISDLKEAIVKGLGFQADEFKISGFDLRDALVGHSVAYEFDVEVDNKVFPFKLLEDVNRWEFVDLPIFRVEDPIRPGDENGLVEQKKDDNGSPVLAPFQLAGPMEIWIQDAKDMRISLPHDVDAGVLKKVILADGAVVTVKGARSVSLRHPFDLPLPLNRTQSGFASGLLALAEQLRRATRSEEAPPLSLRIVGPTSLTSPSPSSQSSNNRLKLKRLAPGLVELSLPAKSQPVDSLPAVDSERATTVLTPKHFTTMWPFVSVNGSNSNLVGFEKLLASVLGSRANKKGYFKLLKADVSAQTFVKIGFGVEKLLKEGDGLDLEAVPWWRTKPESVRMHFEVLAKVDGQKVVPERVVQVNPVIIEDTVAPHLLTGNVSMSRTPAVHPPSNPFTT >Potri.005G031850.1.v4.1 pep chromosome:Pop_tri_v4:5:2099735:2103817:-1 gene:Potri.005G031850.v4.1 transcript:Potri.005G031850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031850.v4.1 MDKLVTLNLKKEHSNLSNQVKTAKDSFLGPNILDTLQKLEAVFVQTKPIVASVLDSYNVCIFTYGQTGTGKTFTMEGSPENRGVNYRTLDELFGVSQERSGIMRYGLFVSMTEVYNEKIRDLLIDSSNQPPKKLEIKQTAEGTQEVPGLVETRVTGTEDVWDLLNSGSRARSVGSTRANEL >Potri.007G021400.2.v4.1 pep chromosome:Pop_tri_v4:7:1647768:1649653:1 gene:Potri.007G021400.v4.1 transcript:Potri.007G021400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G021400.v4.1 MITSTRAMKPIGTNQNMDVEKVFHMTGGTGDNSYAKNSSYQKKVSDMVKHITMEALQEVYLALAPKSLGIADLGCSSGSNSLSIIKDIVEAVEAASCKIMIPAPEFRVYLNDLPTNDFNSIFKSLPDFYRDLNKERSDGPPLLFIAGYPGSFYGRLFPNDCLHFVHSSYSLHWLSKVPPSLYDKQGKPINKGSIHISESSPPLVSQAYYAQFQEDFSLFLRSRSEELTTGGRMVLIMLGRIGPDHVDRGNSFYWELLSRSLAILATQGEIEKEDIDSYDVHFYAPTKDEMEAEIRREGSFELERFEMFETEKELYKVSENYGTEVAMTVRAIQESMLSHHFGEGILDALFEIYGRMVEEEMLKEEINPITFVVVLRKL >Potri.003G089300.2.v4.1 pep chromosome:Pop_tri_v4:3:11584798:11586294:-1 gene:Potri.003G089300.v4.1 transcript:Potri.003G089300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089300.v4.1 MALRLWASSTANALRISVASSTAHLSPSYSLSRCFSTVVDGLKYASSHEWVKHEGPVATIGITDHAQDHLGEVVFVDLPEPEGAVSQGKSFGAVESVKATSDINSPISGEIVEVNTKLSETPGLINKSPYEEGWMIKVKPSNPSELQSLLGPKEYTKFCEEEESH >Potri.006G038600.2.v4.1 pep chromosome:Pop_tri_v4:6:2547968:2551114:-1 gene:Potri.006G038600.v4.1 transcript:Potri.006G038600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038600.v4.1 MGQSLKKLAPGSEENKEREIGHIIESKYEKYFGEEANKKMHSAHDPQSSSHEPSKEEITSAPYFIRAVCEIVQEINISLKSTQLRLPDAENLREIYIKHSLDKGKHLEKDDFQKIIQEVIIHSGFTGFGSKDTFLFIFGIPLITYFIKQRVAPKSIPNEVFIPGVTSASVYLLAKLNKI >Potri.006G038600.1.v4.1 pep chromosome:Pop_tri_v4:6:2547967:2551114:-1 gene:Potri.006G038600.v4.1 transcript:Potri.006G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038600.v4.1 MGQSLKKLAPGLLSSYFKARALNFEGVDELLGLLSILSKEIGATERSEENKEREIGHIIESKYEKYFGEEANKKMHSAHDPQSSSHEPSKEEITSAPYFIRAVCEIVQEINISLKSTQLRLPDAENLREIYIKHSLDKGKHLEKDDFQKIIQEVIIHSGFTGFGSKDTFLFIFGIPLITYFIKQRVAPKSIPNEVFIPGVTSASVYLLAKLNKI >Potri.009G066400.2.v4.1 pep chromosome:Pop_tri_v4:9:6683838:6689132:-1 gene:Potri.009G066400.v4.1 transcript:Potri.009G066400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066400.v4.1 MDFQVVVLAGGTCKKLLPLVSKDVPKALLPVANRPVLSYVLEQLELSNLKDLIVVVEGEDAALHVGGWISNAYVDRLHVEVAAVPEDVGTAGALRAIAHHLTANDILVVSGDLVSDIPPGALAAVHRQHDAVVTTMLCSAPVSGPTESGSSGGKDKIKKPRRHNIIGLDPSKQFLLHIATGAEVEKEIRIQKSILRAVDQMEIRADLMDAHMYAFKRSVLQEVLDEKDKFRSLKEDMLPYLVRSQMKSEVLLNGAPQAKNGNEKVSSQNNQAVVSRILTNASTPSFHDLYASSNNDSSLVRRIHKCCAYIASESRYCQRLNSIQAFSDINRDVIGDASHLSGYSFSSHNNIIHPSAQLGSRTTVGPHCMLWEGSQMGDKCSVKRSVIGRHCRIGSNVKVVNSVIMNHVTIGDGCSIQGSVICSNAQLQERAVLKDCQVGAGFVVTAGSEHKGESLARK >Potri.006G283100.1.v4.1 pep chromosome:Pop_tri_v4:6:27269382:27271361:-1 gene:Potri.006G283100.v4.1 transcript:Potri.006G283100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283100.v4.1 MELPEEMSRLNSLQELVLGGCSNLDSLNMELEHHQWRSLLQSDEIVASTSHITSLPLKLFFPSRFSARKILRFTLFSLPRSLTRLDLSGTPIRFLPESIKDLGPLRHLYLRNCKMLQALLELPSHLWSLDVSFCYSLQRPANPNSSNKADGCDQFVEFQDWIKQELIQTFDSHVFRIMETVCAQIQPSRFQMTFVYGKFNFVNFVFDEDEMLRRFHEEEEEDKWLIQNEFTDNFSFKISSPPAHRICGFNLFTRFSVTSEYSCHEEVGIGIRNNISGQSLSRQGVFPACNMRRFREIQSLSHWKLGANDPTFDNGDDVSISVLPHDPSLSNWIFATYDNGDDMSISVLQHEPAIQIRTIGIQWLHEEEGNDNDIQSKNEDITSHCSSSSNSEVINAHNSSDEDDVLKVGIASHIFRNYYCLSHYNHDAMEARMWTFEKKVPEAIEQ >Potri.012G022500.2.v4.1 pep chromosome:Pop_tri_v4:12:2280288:2283773:1 gene:Potri.012G022500.v4.1 transcript:Potri.012G022500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022500.v4.1 MGKIGGSSWLSAVKRAFRSPSKENDKRSSRRREDHEQEEEEKKRGKRRWIFRKFSSQETVIHHCEANATNNITATTNAAAAVAPNNSVSSEAADAQQRHALAVAMATTAAAQAAVATAQAAVEVVRLTRPPLFVKQHFAAIAIQTAFRGYLAKRALKALKGLVKLQALVRGHNVRKRAKMTLQCMQVMARVQSRVCEQRRRLSYEGSANSISSDPNSLRGSNLAERRSTCWDGSSTADDWFHCNYHPKTLEEIQSMFQETKEVVALKREKALAYAFSQQIWRPGRDSYASEGEVEKNPRWLERRGTIKEREGRGIAFRDQYHSRDPVKTVEMDTSRPYSYSSPNAHKLHQHYHYQQHRPSSYSVTSPLQKNHNISQPTTPSLSKTRTLLQVHSSSPRFLRESRNRVMGETTPSATSKPNYMTATASANARIRSQSAPRQRASTPEREISGSAKKRLSFPVPDPANSNEGSMVNDYNLRSPSLKGIHGANMVMEQRSNMSSCYTDSIDDEVSPPSTNDLSRWLR >Potri.001G255300.1.v4.1 pep chromosome:Pop_tri_v4:1:27125012:27125730:-1 gene:Potri.001G255300.v4.1 transcript:Potri.001G255300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255300.v4.1 MDSSNGTSSNSFSGKNPSGLEALQKQHEEKTRKVEELKSQIVSVKLDLEKKRKDALEDKKEVFKSLSDKYNSLREEYNTLAERSSE >Potri.008G219100.1.v4.1 pep chromosome:Pop_tri_v4:8:18056348:18061189:1 gene:Potri.008G219100.v4.1 transcript:Potri.008G219100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219100.v4.1 MISNNKEEPSKYHTVQAERDLEANWEVDLSKKLEDYLLKICSGEIAGNEEDSNVNFAEAALLLQGSVQVYSRKVEYLYNLVLHALDFLSQKRQQEQSEGASVQPERSGSQAVSEEDTDQFWVSDDVPVEPRNYLDASTSKDASFYHFVKPPANLIVLEGDCLDTSGDGGELESYLLATNDLYQDFILLNPCDAIAVNDFLKADDTRKAQYGSYRGSSTRKTFQSPTRCSGGTAYKPSFGKNRDANPMQPPVAGCGFQANDYKTGPDPTVHDNFDSNHGFDMEDTHSEPDNEEDLDDDDDPWKPLNPHEPGNLKVKPFEKVKAFRRNGKKSAKHASITTLFPPARMHGPVSLDLTEMWEARQNELGKHRNTQPTPFYVKLRKSLTNEGHNIPDTFGTSGNDNEDNAYYTGFPDFGQHDDEIPEYKDEDFPPPREKHDDGATCFDTYKDFGHGDQSSQASLEDLCRSHLDALLANIAETEKQTELATRVSSWKQKIEQNLEEQDSHPPFNIHAYGERIVDKLSLEVDSKNVMAFTDVVKGQEKHDVARTFSALLQLVNNGEVDLERSQANGESFCYSAVNPFNVRLLGHKKDQEGRQFQMSKKRVKSPIRKRGPRPGKNGGTSRCTPEGKKRRRSRLVEPVDLHSTG >Potri.017G065550.1.v4.1 pep chromosome:Pop_tri_v4:17:7429283:7432876:-1 gene:Potri.017G065550.v4.1 transcript:Potri.017G065550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065550.v4.1 MTKIAVITENQQTIPQDSLHVPNGLIIRVRAKIKETFNWVIYDIWAKQALRIPSKVSDARKQERRALIPIDEIGVENEDENADEFDQASFDNRERFWPNYDMCDKKFRRDARGCEDWV >Potri.011G141300.1.v4.1 pep chromosome:Pop_tri_v4:11:17162078:17164970:1 gene:Potri.011G141300.v4.1 transcript:Potri.011G141300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141300.v4.1 MSFPRIPLSRVPSYLHNSNNCFHLLHPPFIPVSKTPSLPTYQTARTYTDFNSQTQTQPPLSLPSLIPSPPVNNPNATHRWKPMCLYHTHGKCTKIDDPVHVERFNHDCSRDFQVSAADFERKRPQDFDFFLVFDLEGKVEILEFPVLIIDAKTMGVVDLFHRFVRPTAMSEERVNEYIYNKYGKFGVDRVWHDTALPFNEVLQQFESWLTQHNLWEKTRGGRLNRAAFVTCGNWDVKTQVPHQCSVSKLKLPPYFMEWINLKDVYQNFYNPRNEARGMRTMMSQLKIPMVGSHHLGLDDTKNIARVLLRMLADGAVLPITARRKPESPGSVNFLYKNRI >Potri.004G135901.1.v4.1 pep chromosome:Pop_tri_v4:4:15734883:15736048:1 gene:Potri.004G135901.v4.1 transcript:Potri.004G135901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135901.v4.1 MAYVDDDGSSGSGDDVNMLDGHNKRQSVTPGGSGRGKRSRKATGDAIVDAMLEIAAASKMRAAAIMKNEDRFSISKCIKVLDEMQGVDQRIYFLALDLFENPNAREIFISLKSEKRLPWLQGKCNATPS >Potri.006G047500.1.v4.1 pep chromosome:Pop_tri_v4:6:3251036:3253499:-1 gene:Potri.006G047500.v4.1 transcript:Potri.006G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047500.v4.1 MTTCCCYKVFFFLVSLIFLILCSTFTVLAAASGRELIGFKPVTNDTIPFSYIEATGRGPSKWGQLDPKWKACGDGKLQSPIDLLDQNVKVLYGQEDQLRRDYKPANATIISRGRDILVAWKGDAGKISINGTDYNLQGSHWHVPAEHTFNFKKYDMELHIVHVNSLGATAVVGVLYKYGKPDPFLSKLFPYIKSVTKQEKSLGIFCPNEMVFESRKLYRYIGSLSVPPCNESVIWTVVKKVRTVSREQVQLLRDVVDDGYQANARPVQPLNGRAVNLFIRPSKNL >Potri.003G063400.1.v4.1 pep chromosome:Pop_tri_v4:3:9074165:9076039:1 gene:Potri.003G063400.v4.1 transcript:Potri.003G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063400.v4.1 MDRWEKPLRDDRYRHQRENPSFSSTLLDAIYRSIDENGSGKGEEEQLIYFRETMRKKHENNGIKDGEMTSLQRACMIDKWMEKKVSHEKVAVRRKSMADFENKSRKDVDSVLLNSSSTSSESSCGGGFSSSESESIYGVNSSRSSTTSYTMQRPKPIRTSISARPEKYQRREDHHQIDMYHNHERNYAPNQKAKHEGSFVKTKSKALKIYGDLKKVKQPISPGGRLASFLNSLFTAGNAKKAKISTSGGRYEERKLKSEQASTCSSASSFSRSCLSKTPSSRGGKLSSNNGAKRSVRFYPVSVIVDEDCRPCGHKSLYGGDCQELSSTLVATTVTADARNNVPTSGEELKFHVSNENRRIEEVARNLLKNYQRKKEEQFDHMSTDLCNDNNHEVMSSDEEEEEESDVASCASSDLFELDNLSAIGIERYREELPVYETTHLGTNRAIANGLIL >Potri.003G216000.1.v4.1 pep chromosome:Pop_tri_v4:3:21185869:21186644:1 gene:Potri.003G216000.v4.1 transcript:Potri.003G216000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216000.v4.1 MASAPKPRPTNFTLSEQWVLMAATIFVCGFLGYVVYDAVMATASEMLQRLLVISPLILIISVHWLSAGSQFSIPIPGSEPGAIHRAGGSPWGVAFVLLLLFFLISYQPSLLGLIF >Potri.008G210250.1.v4.1 pep chromosome:Pop_tri_v4:8:16180614:16181596:1 gene:Potri.008G210250.v4.1 transcript:Potri.008G210250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210250.v4.1 MLWLALIGMLSVVAVAEFGGNGDFTVRRWVYVDFGGTVMVDEWTQDYEYLEFISILGFFSYLLAYFISILGFFSY >Potri.002G007300.2.v4.1 pep chromosome:Pop_tri_v4:2:398183:400633:1 gene:Potri.002G007300.v4.1 transcript:Potri.002G007300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007300.v4.1 MAPASLLVLLFLATLSPFPNVSAVGVNYGTLGNNLPSPKKVAQLLQSTLIDKVKIYDTNPEILGAFSNTGIDLIVAVENYHVANISTDTSAADEWLTNRVVPFIPATSIVAIAVGNEYLTTDPDHLKPNALIQAMQNLHAVLVQRGLDRKIKVTTPHSMAVLASSFPPSASTFATTLMPVMTSIVGFLADTGAPFMVNAYPYFAYRDNPGMVDLEYALLGNASGVRDPKGYVYSNMLDAQVDAVRSAIIALGFGNRTVEMTISESGWPSKGESGDDAATPENAKTYNTRLIERAQSNKGTPMSPKKNIEIFVFALFNENKKEGGVSERNFGMFNGDGSKVYEVDLSCQFCSSNGGTLGFGEKMSSGVRGPSVWCVAKPHADEKVLQAVLDFCCGPGGVDCREIYESGDCFAPDKLHAHASYAMNVYYQIHGRNYWNCDFKGTGLVTFSDPSKNSRIFPSHFPTSEGVLVLVKTSFIKRVRSGWINP >Potri.002G007300.1.v4.1 pep chromosome:Pop_tri_v4:2:397579:400783:1 gene:Potri.002G007300.v4.1 transcript:Potri.002G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007300.v4.1 MAPASLLVLLFLATLSPFPNVSAVGVNYGTLGNNLPSPKKVAQLLQSTLIDKVKIYDTNPEILGAFSNTGIDLIVAVENYHVANISTDTSAADEWLTNRVVPFIPATSIVAIAVGNEYLTTDPDHLKPNALIQAMQNLHAVLVQRGLDRKIKVTTPHSMAVLASSFPPSASTFATTLMPVMTSIVGFLADTGAPFMVNAYPYFAYRDNPGMVDLEYALLGNASGVRDPKGYVYSNMLDAQVDAVRSAIIALGFGNRTVEMTISESGWPSKGESGDDAATPENAKTYNTRLIERAQSNKGTPMSPKKNIEIFVFALFNENKKEGGVSERNFGMFNGDGSKVYEVDLSCQFCSSNGGTLGFGEKMSSGVRGPSVWCVAKPHADEKVLQAVLDFCCGPGGVDCREIYESGDCFAPDKLHAHASYAMNVYYQIHGRNYWNCDFKGTGLVTFSDPSYGTCKYSQQ >Potri.007G081700.1.v4.1 pep chromosome:Pop_tri_v4:7:10626120:10628838:1 gene:Potri.007G081700.v4.1 transcript:Potri.007G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081700.v4.1 MFEFGDDLTIEGYRVSWLIWIQVLVLLLLIFLLFCFSFFPADLPDTTTTSSSASPSALGVFTPLNSHLDNQIRRHNDHVSNRLQHSQAQQNQSIKGEITTNTGRRIVSEDNIGNSSDFFCFHPCNYFRLAKLAFLKCFGLDFLSDNS >Potri.010G078900.3.v4.1 pep chromosome:Pop_tri_v4:10:10539696:10543088:1 gene:Potri.010G078900.v4.1 transcript:Potri.010G078900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078900.v4.1 MFVKLQLDARCFNILSSPRTSPITGASVSTNTGVSLSPLEKIETVKKRREQSLLEIPNRRIPKKFNTDHKQTPNKPSFNKNPDREPRSNSRNSTRVENKKSFGVGLERFDGNGSVDKAHTKCSKKWAYYGGCIPSILEALDTIKDLDEALKPWEDTLSNKERSIILKEQSSWERALEIFEWFKRKGCYELNVIHYNIMLRILGRARNWSHVECLCNEMRIKQILPVNSTYGTLIDVYSKGGLKEEALHWLKKMNDRGMVPDEVTMGIVIQMYKKAGEFQKAEEFFKNWTLGESIKHEGTSKASAGVQNGVQVSVSLSSYTYNTLIDTYGKAGQLKEASETFAKMLREGIVPTTVTFNTMIHICGNHGQLEEAGSLMQKMEELRCPPDTRTYNILISLHAKHDNISMAASYFKRMKEARLVPDHVSYRTLLYAFSIRHMVSDAEDLVSEMDEKGLEIDEYTQSALTRMYIEAGMLEKSWLWFRRFHLMGNMSSECYSASIDAYGERGHILEAEKVFMSCQEGKMLTVLVFNVMIKAYGLAQKYDKACQLFDSMESHGVLPDRCSYSSIIQILAGADLPDKARHYLKKMQEAGLVSDCISYCAVISSFVKFGKLEKAEGLYNEMIGFDVKPDVIVYGVLINAFADAGSVKEALGYVDAMKRAGLPGNTVIYNSLIKLYTKVGYLKEAEETYQLLQSSDSGPDAYSSNCMIDLYSEQSMVKQAEKIFESLKRKGNTNEFTFAMMLCMYKRLGRFEEATQIAKQMRDLGLLTDLLSYNNVLGLYALDGRFKEAVGTFKEMVEASVQPDDCTFKSLGIVLVKCGISKKAVSKLEATTKNDYQKGLQAWMLALSTVADIDDDYDE >Potri.001G429200.1.v4.1 pep chromosome:Pop_tri_v4:1:45253210:45256165:1 gene:Potri.001G429200.v4.1 transcript:Potri.001G429200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429200.v4.1 MDEVQEREKKDEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPQPELYPSLPMMADRDDTHEHDDC >Potri.018G004901.1.v4.1 pep chromosome:Pop_tri_v4:18:381901:385950:1 gene:Potri.018G004901.v4.1 transcript:Potri.018G004901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004901.v4.1 MAPRKKAEETKSTSSEKPATRRSARMTRSTAKRFNAKLTELPTESGKKRKQEKAAESKEKKVKTHVKEEAETKTSSSSEAEVEGKAEEVEEEEPEADEDGTKEEDAKEESPAGDAVTKTIVIEHCKQCNAFKTRAIQVKDGLLSAFPGISVLLNPEKPRRGCFEIREEGGETFISLQNMKRPFPPLKALDMDRVISDIIDRVRSSTNASG >Potri.001G275000.2.v4.1 pep chromosome:Pop_tri_v4:1:28859326:28859700:-1 gene:Potri.001G275000.v4.1 transcript:Potri.001G275000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275000.v4.1 MFLFRDMLMMLARNKKVDEANQVWRDLRREEVLFDQHTFGDIIRAFLDNGLPSKAMDIYEEMRQSPDPPISLPFRVILKGLIPFPELREEVKDDFLELFPDMIVYDPAEDLFEDQEREKDREDD >Potri.001G275000.1.v4.1 pep chromosome:Pop_tri_v4:1:28858851:28861802:-1 gene:Potri.001G275000.v4.1 transcript:Potri.001G275000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275000.v4.1 MWRLASNFLGKASLSRKPHSLTQKHQFLVFFRCIYNHSASRPSLSIWRRKKEMGKEGLMAAKELKRLQSNPVRLDRFITSNVSRLLKSDLLAVLAEFQRQDQVFLCMKLYDVVRKEIWYRPDMFLFRDMLMMLARNKKVDEANQVWRDLRREEVLFDQHTFGDIIRAFLDNGLPSKAMDIYEEMRQSPDPPISLPFRVILKGLIPFPELREEVKDDFLELFPDMIVYDPAEDLFEDQEREKDREDD >Potri.010G036100.1.v4.1 pep chromosome:Pop_tri_v4:10:6517221:6518207:1 gene:Potri.010G036100.v4.1 transcript:Potri.010G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036100.v4.1 MASPGHNLLILLLFFMSFPCSKSRLSVDYYNKTCPQFASIMEQIVSDKQIASPTTAAGVLRLFFHDCMVEGCDGSLLITSTSFNKAERDADIDQSIPGDAYDLVTRAKTALELQCPGIVSCADILATAARNLVTMVGGPYYHVRLGRKDGLVSNASLVQGNIAQPTMPLSDIISLFYSKGFSVQEMVALVGAHTIGFSHCKEFSNRLFNFSKTSETDPAYNPKYAEGLRKLCANYTKDPTMSAYNDVMTPGKFDNMYYKNLQRGLGLLSTDQALSVDRRTKPFVDLYAANETAFFEAFAHGMEKVSIYKIKTGKKGEVRHRCDQFNAA >Potri.018G032700.2.v4.1 pep chromosome:Pop_tri_v4:18:2403874:2405670:1 gene:Potri.018G032700.v4.1 transcript:Potri.018G032700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032700.v4.1 MADITYICKRTVVSTKPVQPGKHCSLSVLDRLMEQNHLRSVYYFRTPGGREPGELTKKLRESLSEMLTCFPIVTGRLLKDPKGHWLIKCNDAGVRMVEARIKGSVEDWLKSVDREKELMLVHWEEMYHKPYFWSTFYVQITEFGEGGLAIGLSCFHLLADPTCATMFVKAWADVTLTGKMLNPPLFHQLPPRRPGRKNPNHEPYMELINCYKPIADKTNLVSDTKHATIALAFSDPMVRACMANGQAMNAFDQSSPSPFEALAGLFWVCISKLKGAGDGLIDMSICLDMRNVLHLDNGFFGNCMVYNKVNSKSLKEHKLSDVAKAIGEVMAKMDNDGITDLIEWLEHNDYQSPPPMNGCELMCASLEAVDPYLAVFEEGFVPIRVSSYVEPVVGAGHVLVLPSPPCEGPLSRTVMVTLPEDEAARLCEDDLILHFSPTILMGVNN >Potri.001G087400.1.v4.1 pep chromosome:Pop_tri_v4:1:6957719:6963397:1 gene:Potri.001G087400.v4.1 transcript:Potri.001G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087400.v4.1 MRLLKVATCNLNQWAMDFDCNLKNIKESITQAKQAGAVIRLGPELEITGYGCEDHFLELDTINHGWECLKEILVGDWTDGILCSIGMPVIKGSERYNCQVLCFNRKIIMIRPKMWLANDGNYRELRWFTAWKHKDQLVDFQLPSEIAEAISQKSVHFGYGYVQFLDTAVAAEVCEELFTPIPPHAELALNGVEVFMNASGSHHQLRKLDVRLRAFIGATHTCGGVYMYSNHQGCDGGRLYYDGCSCVVVNGEVVAQGSQFSLRDSEVVLAQVDLDAVASLRGSISSFQEQASYKNTVSSVLVPYKLCQPFSMQMSLSSPLKINYHSPEEEIAFGPGCWLWDYLRRSGASGFLLPLSGGADSSSVAAIVGCMCQLVVKEIDNGDEQVKADAIRIGNYTDGQFPTDSKEFAKRIFYTVFMGSENSSEDTKKRAKELADEIGSWHLDVSIDGVVSALLSLFQTLTGKRPRYKVDGGSNIENLGLQNIQARIRMVLAFMLASLLPWVHSKPGFYLVLGSSNVDEGLRGYLTKYDCSSADINPIGSISKQDLRAFLRWAAIHLGYSSLAEIEAAPPTAELEPIRSNYSQLDEVDMGMTYEELSVYGRLRKIFRCGPVSMFKNLCYRWGSRLSPLEVADKVKHFFKYYSINRHKMTVLTPSYHAESYSPEDNRFDLRQFLYNARWPYQFRKMDELVKELDGNEVALGETRDEDKSRVNGVGMGIVAAGSGDPKSGL >Potri.019G024800.1.v4.1 pep chromosome:Pop_tri_v4:19:3623317:3625436:1 gene:Potri.019G024800.v4.1 transcript:Potri.019G024800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024800.v4.1 MSILYLSSTGLNFLSKSILFWDSLTISMATSSSACSSYWVVLGLAVVLAAIVHRADARAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPTRQATGRFSNGLNIPDIISEQMGSESPLPFLSPELRGQRLLVGANFASAGIGILNDTGVQFINIIRMYTQLEYFQQYQQRVGALIGAEQAKRLVNQALVLITVGGNDFVNNYYLVPSSARSRQYDLPDYVKYLISEYRELLMKLYNLGARRVLVTGTGPLGCVPAELATRSTNGGCSEELQRAAALYNPQLESMINDVNRKIGSNVFISANTHQMHTDFVSNPQAYGFTTSKIACCGQGSYNGLGLCTILSNLCPNRDVYAFWDPFHPSEKANRIIVQQIMTGSTQYMKPMNLSTIMALDSRS >Potri.019G024800.5.v4.1 pep chromosome:Pop_tri_v4:19:3623489:3625365:1 gene:Potri.019G024800.v4.1 transcript:Potri.019G024800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024800.v4.1 MATSSSACSSYWVVLGLAVVLAAIVHRADARAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPTRQATGRFSNGLNIPDIISEQMGSESPLPFLSPELRGQRLLVGANFASAGIGILNDTGVQFINIIRMYTQLEYFQQYQQRVGALIGAEQAKRLVNQALVLITVGGNDFVNNYYLVPSSARSRQYDLPDYVKYLISEYRELLMKLYNLGARRVLVTGTGPLGCVPAELATRSTNGGCSEELQRAAALYNPQLESMINDVNRKIGSNVFISANTHQMHTDFVSNPQAYALVLVNLAGFTTSKIACCGQGSYNGLGLCTILSNLCPNRDVYAFWDPFHPSEKANRIIVQQIMTGSTQYMKPMNLSTIMALDSRS >Potri.001G261104.1.v4.1 pep chromosome:Pop_tri_v4:1:27758212:27759448:1 gene:Potri.001G261104.v4.1 transcript:Potri.001G261104.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261104.v4.1 MGNRRPCLFLVFLVTLSRFVAQSHGVKNQGEALDNLYKAKFSRDYSIDTRPFEVIDTNQFEEMNTLLGKSKCHPRKEGSKEKDRIKRLPGQPHVRFSQYGGYITVNKTAGAAFYYYFVEADKHSKEHLPLLLWLNGGPGCSSLAYGAMQELGPFRVHSNAKTLYRNRYSWNNVANVLFVESPAGVGFSYSNSTWKTNGDRQTAAENYRFLVNWLERFPEYKNRDFYIAGESYAGHYAPQLARTVLHHNK >Potri.001G396900.1.v4.1 pep chromosome:Pop_tri_v4:1:42218497:42220953:-1 gene:Potri.001G396900.v4.1 transcript:Potri.001G396900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396900.v4.1 MVFSSNPLSLSVPDTTFDSWLRDSGYLEILDQHSSSSAAAAPATTSTITSTTTTATATGLFISFFSHILTLLSLFTLNPFSKLTTDDFSGQTPSWTRSFFADCGSYSFPSGSDQARLRVNENVKRYARNYASLFILFFACTLYQMPLALIGLISSLALWDVFKFCSDRWGWDRYPVLRQVMVRTAQCVTAVVLICLNVQMAFFCAVGISYTVMVLHAAFRKLTPAKQSARSR >Potri.004G036400.2.v4.1 pep chromosome:Pop_tri_v4:4:2860136:2864636:1 gene:Potri.004G036400.v4.1 transcript:Potri.004G036400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036400.v4.1 MPLNCSSLASRVPHILGGLKTTATTRVGGGGVLFNFFNKTHQNNSLCTASLPLNKRNDSQRFCLSSSSSSSVMEGIKDSGFSTQEIEKYSKELDIAVRAVQMACSLCQKVQESLISKTNSQVQAKDDNSPVTVADWSVQATVSWILSETLGSRNVVIVAEEDVQTLSKPDSAGLLEAVVQTVNDCLAEAPRFGLKAPGTILGSSEVLEAISRCNSAGGPSGRFWALDPVDGTLGFVRGDQYAVALALIEDGEVVLGVLGCPNYPMRKEWLSYHHRYHRIISKLTPPTSESWDKGCVIYARRGSGEAWMQPLIQGHKKLVWPNSATPVRVSTIENPALATFCEPVEKANSSHSFTAGLAHSVGLRKQPLRVYSMVKYAAIARGDAEVFMKFARAGYKEKIWDHAAGVVIIREAGGVVTDAGGCPLNFSKGMYLEGLDRGIIACAGIKLHEKIIKAVDASWNSSSL >Potri.005G126802.3.v4.1 pep chromosome:Pop_tri_v4:5:9543762:9544268:1 gene:Potri.005G126802.v4.1 transcript:Potri.005G126802.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126802.v4.1 MESKPPQDAQRKYRFMPEAPPRRVPKPEVKTEKVENVDTLQAMNLMKQFQKRSLKQKITNGKKGKSLS >Potri.005G126802.2.v4.1 pep chromosome:Pop_tri_v4:5:9543579:9544423:1 gene:Potri.005G126802.v4.1 transcript:Potri.005G126802.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126802.v4.1 MESKPPQDAQRKYRFMPEAPPRRVPKPEVKTEKVENVDTLQAMNLMKQFQKRSLKQKITNGKKGKSLS >Potri.005G126802.1.v4.1 pep chromosome:Pop_tri_v4:5:9543485:9544485:1 gene:Potri.005G126802.v4.1 transcript:Potri.005G126802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126802.v4.1 MESKPPQDAQRKYRFMPEAPPRRVPKPEVKTEKVENVDTLQAMNLMKQFQKRSLKQKITNGKKGKSLS >Potri.012G021300.3.v4.1 pep chromosome:Pop_tri_v4:12:2206055:2209160:-1 gene:Potri.012G021300.v4.1 transcript:Potri.012G021300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021300.v4.1 MLCSVQTSKSSSNWLDRLWSNRGFNNNNDNNPSVPNPSSSPTTNASNSVINSNSESTHSDSDQIKVTATTATATAREISSSDNKDLFFIMNNVLSDLFNMGGVSDPVEESSRLSRKKEKVPRKQTKPKFCFISGNNSGNDSLDCVRKDRNVLAATGSLNSDKNSNNVDCGVVDDDDDDEEDVEEDVEEEKGFGVGGDKELKGYSRSEVTVIDTSCQVWKFDKLVFRKKNVWKVRDKKGKSWVFGSKKRKGNDLESANGNGAKKKAKVSNLEVGSSKDVNDVQKQEDERREEEHKQMPEDLSQVPKKRFHFSRSPEKSIKSGSSVILIKTIPTSNKSGKNITKNRLKDNQRKNKT >Potri.012G021300.5.v4.1 pep chromosome:Pop_tri_v4:12:2205703:2209292:-1 gene:Potri.012G021300.v4.1 transcript:Potri.012G021300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021300.v4.1 MLCSVQTSKSSSNWLDRLWSNRGFNNNNDNNPSVPNPSSSPTTNASNSVINSNSESTHSDSDQIKVTATTATATAREISSSDNKDLFFIMNNVLSDLFNMGGVSDPVEESSRLSRKKEKVPRKQTKPKFCFISGNNSGNDSLDCVRKDRNVLAATGSLNSDKNSNNVDCGVVDDDDDDEEDVEEDVEEEKGFGVGGDKELKGYSRSEVTVIDTSCQVWKFDKLVFRKKNVWKVRDKKGKSWVFGSKKRKGNDLESANGNGAKKKAKVSNLEVGSSKDVNDVSLFVLVFFVWGRKILHTGFMFYCCITCFR >Potri.008G128600.1.v4.1 pep chromosome:Pop_tri_v4:8:8361432:8364799:1 gene:Potri.008G128600.v4.1 transcript:Potri.008G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128600.v4.1 MAGGEDTLVTATFLLDLVRQICSVGISVAGDKNKNDSVFRKDCMDLVRRVSLLTHFLEEINGQLGKLDALGSSSSSSKEMNWWSDLVAALQSAHNLVSLAGSFQSTNNSDGTAKKIVTLFHGVTWKLEKSLANVPYDQFDISEEVQEQVALVRAQLRRATERYGSMNSREVSFPFSQQIDKDVDQAQKGNRLTGSCHVENSGSVNHKVAENIETSVSGSNGSTDRTADLVASESNAVDLAKKYSLPNKRTEDLKKPDTLKIPHDFLCPISLELMRDPVIVATGQTYERSYIQRWIDTDNSTCPKTQQKLEHLTLTPNYVLRSLITQWCTEHKVEQPTGLANGRIKKSDGSFRVVSGDIAAIQATVRRLSSRSIEERRAAVSEIRSLSKRSTDNRILVAGAGAIPVLVNLLTSEDTSIQENAVTSILNLSIYEDNKGLIMLAGAVPSIVQVLRAGSVEARENAAATLFSLSLADENKIIIGASGAIPALVELLENGSTRGKKDAATALFNLCIYLGNKGRAVRAGIITALLKMLTDSRNRMIDEGLTILSVLASNQEAKVAIVKASTIPVLIDLLRTGMPRNKENAAAILLSLCKRDPENLACVSRLGAVIPLTELAKGGTERAKRKATSMLEHLRRLQQH >Potri.004G106200.1.v4.1 pep chromosome:Pop_tri_v4:4:9316341:9322017:-1 gene:Potri.004G106200.v4.1 transcript:Potri.004G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106200.v4.1 MVTPQQPKRRVAFILIDGLGDVSLPRLGYKTPLQAANVPNLDAIASGGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYRGRGAFESMGAGLAMSSGDIAFKSNFATLDEKTGIVTSRRADRHFEEEGPILCAALDGMRLPSFPEYEVRVRYATEHRCGVVVKGPKLSGNISATDPLKDNRLLLQAEALDSTDEARHTAAVVNELSREISRILVSHPLNAKRAAEGKNIANVVLLRGCGIRIEVPSFQDKHGLWACMVAPTKIIAGLGLSLDIDILEAPGATGDYRTLLTSKATVIAKALSAPLTPSPNVFVPGEDEHKPGRPDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDRTIGQLAKLLLQAESTGKFQYFICVTGDHSTPVEYGDHSFEPVPFSMCRLRDFVGAVGGESIIMETSLDPFPIPTVKAGEDLVEAEKVGKEGSSKQLKAFSGDSVCELSEIAAARGCLGRFPGGQMMGIIKAFLELNA >Potri.004G112700.1.v4.1 pep chromosome:Pop_tri_v4:4:10332515:10336082:-1 gene:Potri.004G112700.v4.1 transcript:Potri.004G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G112700.v4.1 MENIKVMGFTLFVFSVGLLHFISLVCGAPLAPALYVFGDSLFDSGNNNLLPTVSKANFKPYGVDFVRGDTGRFSNGRLVPDFIAEFLGLPYPPPSISIRISTPVTGLNYASASCGILPETGQFLGKCLSLDDQIDLFQHTVKSSLPEHFKGRPNEQSEHLSKSIFVVCIGSNDYMSNYLKPKTSDTSKHYSPQAFAQHLLDKLSAQFRRLHSLGARKVVMYEIGPIGCIPSMTRKNKHNGKCVEESNQLVAYFNDNLLGMLQNLTSTLPNSIFVRGHAHWLGYDAIINPSKYGLLDTSNPCCKTWANGTSACIPELKPCPNPNQHYFFDGYHLTETVYSVLAGACINDRSVCSPTLRELVQV >Potri.006G177125.1.v4.1 pep chromosome:Pop_tri_v4:6:18251093:18252174:1 gene:Potri.006G177125.v4.1 transcript:Potri.006G177125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177125.v4.1 MKLNELGRKKKEHGRRKIIIKIKIHARWQLVEIVGMRASDMRSKAFKVAVIVHASTRGNIHMEHTWMGPTAVQT >Potri.006G216300.1.v4.1 pep chromosome:Pop_tri_v4:6:22188263:22190201:1 gene:Potri.006G216300.v4.1 transcript:Potri.006G216300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216300.v4.1 MTAPGKEEKGWSESKKMEGRDSWRTLECLRGRLLAERQASKIAKEEAELMGNKLIELENKIREETKLRKKAEKKHKLLMKKLESLKISPTLEGSEKSSSSDTSGFSSTSSTSTSGHKDPEESESKPQSIIQALSQDMKDNGSETTTSNQNAGIVLDSIEESTGTQDTQNSNSGHNLKDYSLDKSSHESMACSQDSKADDQSSSSIKASVAEMETNAGIESDNEDYVDDSLALVPMSLPASTKKNELKIVNRSVIEVLDALRHAREQIQSSMENRHMIRVGPS >Potri.006G216300.2.v4.1 pep chromosome:Pop_tri_v4:6:22188493:22190253:1 gene:Potri.006G216300.v4.1 transcript:Potri.006G216300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216300.v4.1 MEGRDSWRTLECLRGRLLAERQASKIAKEEAELMGNKLIELENKIREETKLRKKAEKKHKLLMKKLESLKISPTLEGSEKSSSSDTSGFSSTSSTSTSGHKDPEESESKPQSIIQALSQDMKDNGSETTTSNQNAGIVLDSIEESTGTQDTQNSNSGHNLKDYSLDKSSHESMACSQDSKADDQSSSSIKASVAEMETNAGIESDNEDYVDDSLALVPMSLPASTKKNELKIVNRSVIEVLDALRHAREQIQSSMENRHMIRVGPS >Potri.010G025900.4.v4.1 pep chromosome:Pop_tri_v4:10:3790576:3791818:-1 gene:Potri.010G025900.v4.1 transcript:Potri.010G025900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025900.v4.1 MGDKKKKTFMFIRLVSAAGTGFFYVKRKSAKKALEKLEFRKYDPRVNRHVLFTEAKMK >Potri.014G133000.4.v4.1 pep chromosome:Pop_tri_v4:14:8917383:8925104:-1 gene:Potri.014G133000.v4.1 transcript:Potri.014G133000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133000.v4.1 MRLFPTCIFPPDDPSLLLDREIAYLSPLLAFNLGLHVSCLKSLVRRGDESLASLFEVDGETCCDEDVSANCEDSAISVGLEPLARLPRYASHLRVSFVKIPECGTLESLKGFSSIEAEERQEMIDLALQKYFEVDRCLARGDIFSVRIDWNCNSTVCIPCGQRSQDRSDNIIYFKVVAMEPSDEAVLRVNHTQTALVLGGTVPSSVPPDLLIDGPKGFAPLQGDTVKTLASILTPPLCPSALSSKFRVAVLLYGLAGCGKRTVVRHVARRLGIHVVEFSCHNLTASSDRKTSVALAQAFRTAQRYSPTILLLRHFDFFRNLMSHEGSPNDQVGLSSEVASVIREFTEPVSEDEDNYSGEKSNDYFLVKDTGKIRHQVLLVAAAESSEGLPPTVRRCFSHEISMGPLTEEHRAEMLSQSLQSGSNLLSNTGIEDAIKDMVGQTSGFMPRDLHALIADAGASLVSKVNVQVDKDEPKDLNSSLGGQSLQKNESSNYMPQAVEKEYLAKALDRSKKRNATALGTPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKELFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVREIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLEALTRKFTLHQDVSLYSIARKCPPNFTGADMYALCADAWFHAAKRKVLSSDPESPSTVDQADSVVVEYNDFIKVLVELSPSLSMAELKKYELLRDKFEGPSN >Potri.014G133000.1.v4.1 pep chromosome:Pop_tri_v4:14:8917322:8925397:-1 gene:Potri.014G133000.v4.1 transcript:Potri.014G133000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133000.v4.1 MVERRRKPLILSSTKILIGSVLRSSPLSSTSSEQNQLPLATDNISPSPSLQLLAGILRLSEDKLASSFDDSALLAISTSILKRLSVTSSSLVLIKNVEANIERIAQVVALDPPRNNENELKSNANLRISCTTMRLFPTCIFPPDDPSLLLDREIAYLSPLLAFNLGLHVSCLKSLVRRGDESLASLFEVDGETCCDEDVSANCEDSAISVGLEPLARLPRYASHLRVSFVKIPECGTLESLKGFSSIEAEERQEMIDLALQKYFEVDRCLARGDIFSVRIDWNCNSTVCIPCGQRSQDRSDNIIYFKVVAMEPSDEAVLRVNHTQTALVLGGTVPSSVPPDLLIDGPKGFAPLQGDTVKTLASILTPPLCPSALSSKFRVAVLLYGLAGCGKRTVVRHVARRLGIHVVEFSCHNLTASSDRKTSVALAQAFRTAQRYSPTILLLRHFDFFRNLMSHEGSPNDQVGLSSEVASVIREFTEPVSEDEDNYSGEKSNDYFLVKDTGKIRHQVLLVAAAESSEGLPPTVRRCFSHEISMGPLTEEHRAEMLSQSLQSGSNLLSNTGIEDAIKDMVGQTSGFMPRDLHALIADAGASLVSKVNVQVDKDEPKDLNSSLGGQSLQKNESSNYMPQAVEKEYLAKALDRSKKRNATALGTPKVPNVKWEDVGGLEDVKKSILDTVQLPLLHKELFSSGLRKRSGVLLYGPPGTGKTLLAKAVATECSLNFLSVKGPELINMYIGESEKNVREIFQKARSARPCVIFFDELDSLAPARGASGDSGGVMDRVVSQMLAEIDGLNDSTQDLFIIGASNRPDLIDPALLRPGRFDKLLYVGVNSDASYRERVLEALTRKFTLHQDVSLYSIARKCPPNFTGADMYALCADAWFHAAKRKVLSSDPESPSTVDQADSVVVEYNDFIKVLVELSPSLSMAELKKYELLRDKFEGPSN >Potri.001G027700.1.v4.1 pep chromosome:Pop_tri_v4:1:2099870:2103404:1 gene:Potri.001G027700.v4.1 transcript:Potri.001G027700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027700.v4.1 MLGLHNILFIAPPPSPFHHHQPPHIPSTHQIANTNDQCNIANNQESWTTLNKYQQKSSFLKRGDLNVVGDNDTGRNIGPARACRDCGNRAKKECQYRRCRTCCKSREYDCTTHMKSTWVSAARRRERLGCGGGGGGDSSASSGGGCVGGKRPRENVTATSNSFSTSNNNAAASVNFDTGSSYQDASFKLSLPGQVREPAVFRCVRVTAINSGEAEVAYQAKVNISGHVFKGILYDQGIDEKNLFPCVSKMQSGERTRDSTSPIVEPSVAYAATGNHRLLEGTNCTT >Potri.001G027700.2.v4.1 pep chromosome:Pop_tri_v4:1:2099848:2103347:1 gene:Potri.001G027700.v4.1 transcript:Potri.001G027700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027700.v4.1 MLGLHNILFIAPPPSPFHHHQPPHIPSTHQIANTNDQCNIANNQESWTTLNKYQQKSSFLKRGDLNVVGDNDTGRNIGPARACRDCGNRAKKECQYRRCRTCCKSREYDCTTHMKSTWVSAARRRERLGCGGGGGGDSSASSGGGCVGGKRPRENVTATSNSFSTSNNNAAASVNFDTGSSYQDASFKLSLPGQVREPAVFRCVRVTAINSGEAEVAYQAKVNISGHVFKGILYDQGIDEKNLFPCVSKMQSGERTRDSTSPIVEPSVAYAATGNHRLLEGNG >Potri.001G228000.1.v4.1 pep chromosome:Pop_tri_v4:1:24718601:24721722:-1 gene:Potri.001G228000.v4.1 transcript:Potri.001G228000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228000.v4.1 MEKQQQEEEPLSLNSDLKKQETVLCEECKEKPSKYKCPGCSVRSCSLDCVKAHKQRASCSGKRSQTHFVPLSQFDDNLLLSDYNLLEEIKRVADSARRTRTKLHPHPPYSRFPPHRQDLKRAAARRRTKLLFLPSGMSKREKNQTQYDPRKKSISWTIEWRFHSTDVVLHDHGVHEDTELFSVIEKHLKPGPWNHPLRQFCDQPLDSLKFFIRKYPKGPKSTFCEINTKASLRQQLANVVIMEHPVIHVFLPSHKYDFDVVKDVRLVNHRLDAKNSASNDCPSPKGIVFREEQIEDEANICSSDPQVYDLMKNEILSPSNQICHHNASVKALENIFDDSLAVREAAANGVHSSSKSEGTFENMEFDFDQGLMDAYSDLIAQINPDDFLDLEGVFSKEEEDRNDHFGSMGTFSVEEELEEGEIAE >Potri.005G253400.1.v4.1 pep chromosome:Pop_tri_v4:5:24565262:24569635:1 gene:Potri.005G253400.v4.1 transcript:Potri.005G253400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253400.v4.1 MAESWDGSYDHGSQSDESYQFERLHVEPIYDAFVCPLTKQVMSDPVTLENGHTFEREAIEKWFKECRESGRKLVCPLTQKELRSTELNPSMALRNTIEEWTARNEAVQLDTARRSLNPGTPESDVLHSLKYIQYMCHKSRSNKHAVRNADLIPMVVEMLKSTSRRVRCKALETLQIVVEDDADNKAILAEGDNVRTIVKFLSHEQSIEREEAVSLLLELSKSEALCEKIGSVNGAILILVGMISSKSENLSTVEKADKTLGNLEKCENNVRQMAENGRLRPLLNQILEGPPETKLSMASYLGELVMNNDVKVLVARTVGSSLINIMRSGDMQSREAALKALNQISFHEASAKVLIEAGILPPLVKDLFTVGTNQLPMRLKEVAATILANVVNSGDDFDLIPVGPDHHSLVSEDMVHNLLHLISNTGPAIECKLLQVLVGLTSSSSTVLNVVAAIKSSGAINSLVQFIEAPQRDLRVASIKLLQKVSPHMGQELADALCGVVGQLGSLFKVVAENIGITEEQAAAIGLLAELPERDLGLTRQMLDESSFPLIISRVVKIQQGEIRSARFMTPFFEGLVRVLSRVTFVLADEPDAIKLAREHNLAALFIQLLQSNGLDNVQMVSAMALENLAQESKNLTRLPELPPPNLCASIFSCFSKQPVISGSCRLHGGTCSLKETFCLLEGQAVEKLVALLDHTNEKVVEAALAAISTLLDDGVDIEQGVAVLCEAEGVRPILDVLLEKRTENLRRRAVWAAERLLRTDDIAYDVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGIYPNTGQTAF >Potri.005G253400.4.v4.1 pep chromosome:Pop_tri_v4:5:24567030:24569472:1 gene:Potri.005G253400.v4.1 transcript:Potri.005G253400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253400.v4.1 MISSKSENLSTVEKADKTLGNLEKCENNVRQMAENGRLRPLLNQILEGPPETKLSMASYLGELVMNNDVKVLVARTVGSSLINIMRSGDMQSREAALKALNQISFHEASAKVLIEAGILPPLVKDLFTVGTNQLPMRLKEVAATILANVVNSGDDFDLIPVGPDHHSLVSEDMVHNLLHLISNTGPAIECKLLQVLVGLTSSSSTVLNVVAAIKSSGAINSLVQFIEAPQRDLRVASIKLLQKVSPHMGQELADALCGVVGQLGSLFKVVAENIGITEEQAAAIGLLAELPERDLGLTRQMLDESSFPLIISRVVKIQQGEIRSARFMTPFFEGLVRVLSRVTFVLADEPDAIKLAREHNLAALFIQLLQSNGLDNVQMVSAMALENLAQESKNLTRLPELPPPNLCASIFSCFSKQPVISGSCRLHGGTCSLKETFCLLEGQAVEKLVALLDHTNEKVVEAALAAISTLLDDGVDIEQGVAVLCEAEGVRPILDVLLEKRTENLRRRAVWAAERLLRTDDIAYDVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGIYPNTGQTAF >Potri.005G253400.3.v4.1 pep chromosome:Pop_tri_v4:5:24565563:24569447:1 gene:Potri.005G253400.v4.1 transcript:Potri.005G253400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253400.v4.1 MHCLSPDNRIFLVLQAILAEGDNVRTIVKFLSHEQSIEREEAVSLLLELSKSEALCEKIGSVNGAILILVGMISSKSENLSTVEKADKTLGNLEKCENNVRQMAENGRLRPLLNQILEGPPETKLSMASYLGELVMNNDVKVLVARTVGSSLINIMRSGDMQSREAALKALNQISFHEASAKVLIEAGILPPLVKDLFTVGTNQLPMRLKEVAATILANVVNSGDDFDLIPVGPDHHSLVSEDMVHNLLHLISNTGPAIECKLLQVLVGLTSSSSTVLNVVAAIKSSGAINSLVQFIEAPQRDLRVASIKLLQKVSPHMGQELADALCGVVGQLGSLFKVVAENIGITEEQAAAIGLLAELPERDLGLTRQMLDESSFPLIISRVVKIQQGEIRSARFMTPFFEGLVRVLSRVTFVLADEPDAIKLAREHNLAALFIQLLQSNGLDNVQMVSAMALENLAQESKNLTRLPELPPPNLCASIFSCFSKQPVISGSCRLHGGTCSLKETFCLLEGQAVEKLVALLDHTNEKVVEAALAAISTLLDDGVDIEQGVAVLCEAEGVRPILDVLLEKRTENLRRRAVWAAERLLRTDDIAYDVSGDPNVSTALVDAFQHADYRTRQIAERALKHVDKIPNFSGIYPNTGQTAF >Potri.009G082300.1.v4.1 pep chromosome:Pop_tri_v4:9:7805734:7810584:-1 gene:Potri.009G082300.v4.1 transcript:Potri.009G082300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082300.v4.1 MAVEYKCCETDFFVNIVIIVLLVLFAGLMSGLTLGLMSMSIVDLEVLAKSGTPKNRKYAAKILPVVKNQHLLLCTLLICNATAMEALPIFLDSLVTAGVAIVISVTLILLFGEILPQSVCSRYGLAIGATVAPFVRLLVWICFPVAYPISKLLDFLLGHGHVALFRRAELKTLVNFHGNEAGKGGELTHDETTIIAGALELTEKTASDAMTPISETFAIDINDKLDRELMSLILEKGHSRVPVYYEQPTNIIGLILANNLLTIHPEDKVPVKNVTIRRIPRVPETLPLYDILNEFQKGHSHMAVVTRQCKKPEEQPISNAGDNPVKEVKVNIDGERPPKDKALKSKRPLQKWKSFPKSGNNSFRGSRSKKWTEDMNSNILHINGNPLPRLPEEEEAIGIITMEDVIEELLQEEIFDETDHRFEGHCLGEL >Potri.010G232000.2.v4.1 pep chromosome:Pop_tri_v4:10:21371267:21380631:-1 gene:Potri.010G232000.v4.1 transcript:Potri.010G232000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232000.v4.1 MNRNHHNPSELPDSPATNTGSEGVSDQNFHSISDRFLFKRNPNPSTNSPHKSSKSPPDRLRRWHHYTNKSNNRKGGWFSCIPFRGICLFYFVIFLAVFAFVLASILLQSSITGMVVFSKGWIDHRRSIREGLKSGTTLKFVPGLRSRLLLEGHGLDHARVLANRVGLRPPRLAVILGNMKKDPQSLMLLSVMKNLRKLGYALKIYALGNGETRTMWEDIGGQISVLRPKQYDLIDWSIFEGVMVDSLEAKEVVSSLSQEPFQSIPLVWIIQEDTLANRLPLYQDMNLQHLVSHWRSTFNRANVVVFPDFALPMLYSVLDTGNFFVIPGSPVDVWDAESYSKTHAKHQLRVDHGFSEDDLVVLVVGSSFFYDELSWDYTVALHTLGPVLAEYARSKDAEGSFKFVFLCGNSTDDDAFQEIVSRVGLHPSSVRHYGLNGDANSVLLAADIVLYGSSQDEQGFPPVLIRAMTFGIPVIAPDIPTMKKYVSDEAHGIFFSKYNPEALTRAFSLLISNGKLSKFAETVAFSGRLLAKNMLASECITGYARLLENMLSFPSDTLLPGPVSKLEQREWEWNLFNKELEQETDDLSGMYESLFSSRETSIVYSLEKEWSNLVNSTIISENGTEILVPDTPTESDWDVLMEIESFEEHERVVKEELEERMDKTRGLWDDIYRSARKSEKLKFESNERDEGELERTGQPVCIYEIYDGAGAWPLLHHGSLYRGLSLSTKARRSRSDDVDAVARLPLLNESYYQNILCEIGGMFSIAIRVDAIHKRPWIGFQSWHAAGRKVSLSFKAEKVLEEKTQEENKDVMYFWARLGMDGGVTGSNEELTFWSMCDVLNGGRCRTAFEDAFRQMYDLPSYLEALPPMPEDGGHWSALHSWVMPTPSFLEFIMFSRMFVDSLDALQSNSSQVNKCLLSSTELEEKHCYCRIMEVLVNVWAYHSARRMVYIDPHTGSVEEQHPIKQRKEIAWKKYFNLTVLKSMDEDLAEAADDGDHPRERWLWPLTGEVHWQGIYEREREERYRIKMDKKRKTREKLVERLKAGYKQKPLGG >Potri.010G232000.3.v4.1 pep chromosome:Pop_tri_v4:10:21372413:21380618:-1 gene:Potri.010G232000.v4.1 transcript:Potri.010G232000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232000.v4.1 MNRNHHNPSELPDSPATNTGSEGVSDQNFHSISDRFLFKRNPNPSTNSPHKSSKSPPDRLRRWHHYTNKSNNRKGGWFSCIPFRGICLFYFVIFLAVFAFVLASILLQSSITGMVVFSKGWIDHRRSIREGLKSGTTLKFVPGLRSRLLLEGHGLDHARVLANRVGLRPPRLAVILGNMKKDPQSLMLLSVMKNLRKLGYALKIYALGNGETRTMWEDIGGQISVLRPKQYDLIDWSIFEGVMVDSLEAKEVVSSLSQEPFQSIPLVWIIQEDTLANRLPLYQDMNLQHLVSHWRSTFNRANVVVFPDFALPMLYSVLDTGNFFVIPGSPVDVWDAESYSKTHAKHQLRVDHGFSEDDLVVLVVGSSFFYDELSWDYTVALHTLGPVLAEYARSKDAEGSFKFVFLCGNSTDDDAFQEIVSRVGLHPSSVRHYGLNGDANSVLLAADIVLYGSSQDEQGFPPVLIRAMTFGIPVIAPDIPTMKKYVSDEAHGIFFSKYNPEALTRAFSLLISNGKLSKFAETVAFSGRLLAKNMLASECITGYARLLENMLSFPSDTLLPGPVSKLEQREWEWNLFNKELEQETDDLSGMYESLFSSRETSIVYSLEKEWSNLVNSTIISENGTEILVPDTPTESDWDVLMEIESFEEHERVVKEELEERMDKTRGLWDDIYRSARKSEKLKFESNERDEGELERTGQPVCIYEIYDGAGAWPLLHHGSLYRGLSLSTKARRSRSDDVDAVARLPLLNESYYQNILCEIGGMFSIAIRVDAIHKRPWIGFQSWHAAGRKVSLSFKAEKVLEEKTQEENKDVMYFWARLGMDGGVTGSNEELTFWSMCDVLNGGRCRTAFEDAFRQMYDLPSYLEALPPMPEDGGHWSALHSWVMPTPSFLEFIMFSR >Potri.018G115000.1.v4.1 pep chromosome:Pop_tri_v4:18:13143323:13145798:1 gene:Potri.018G115000.v4.1 transcript:Potri.018G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115000.v4.1 MQSKTQILAPAFTLFPSLKPPNKTLTFHQFTVLAITFLAYASFHASRKPPSIVKGVLGPKIQLNSSTIESNLTSLESNGTGWAPFNGPKGTHRLGELDLAFLSAYSIGMYFAGHVGDRIDLRLFLVFGMVGSGLLTIIFGLGYWFNVHLLGYFVSVQILCGLFQSIGWPCVVAVVGNWFGKAKRGLIMGIWTSHTSVGNIIGSVVASGVLEFGWGWSFVVPGVLVILAGVLVFLFLVVNPEDIGFETPGKEIEMDVEVNGLENLEKVESEEAGLLGEENLDSTAAIGFLEAWRLPGVAPFAFCLFFSKLVAYTFLYWLPFYISHTDVAGVHLSHKTAGILSTVFDIGGVFGGVLAGFISDMIEARAVTSIVFLLLSIPALVLYRVYGSVSMLLNNALMFLSGLLVNGPYALITTAVAADLGTQDLIKGNSRALATVSAIIDGTGSVGAAVGPLLAGYISTRGWNSVFLMLIVSIFLASLFLIRVAKSEIKGMLNDGKWLSNNATAQ >Potri.011G067900.1.v4.1 pep chromosome:Pop_tri_v4:11:5929305:5933220:1 gene:Potri.011G067900.v4.1 transcript:Potri.011G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067900.v4.1 MEVFCRFLLVVSLFVFVESTCNSTDQELVSKAFGSVSGFNLSWFQHAGSTSNCSHPSVTEIRLPSRNLTGSISWHYLKNMSQLHIIDLSSNSLRGQVPAWFWSIKSLSDVNLSKNRLGGSIGFGINSSSSSMIKVLNLSTNRFTNLVKLSGFANLEVLDISHNDIGSLPSGFPNLTKLESLNISSCKILGNIRVISGLQSLKYLDVSNNNMSGKFPSDFPPLDGLMFLNVSLNNFSGLVGLDKYRKFGKSAFSHGGSLIFNTSKIPTNPIKKPRLNQTQLHKKTIKKYPPIYLHAKKTKPKSKTKTLVICVSATSSLVVVSIAICVFCMHRRRKIATRNKWAISKPVHLTFKMEKSGPFSFETESGSSWVADIKEPTSAPVIMPSKPLMNLTFKDLIVSTSHFGTDSLLAEGRCGPLYRAVLPGDLHVAIKVLENARDLDHDDAVALFEDISRLKHPNLLPLCGYCIAGKEKLVLYEFMFNGDLHRWLHELPTLKTNVEDWSTDTWENQNVHRFHVASPEEKTNWLTRHQIAVGVARGVAYLHHAGSTHGQLVASNILLSDSLEPRVADFGLRNVGSSNKSVGLEKQDCGFELDVYCFGVVLIELMTGKQGSEGNVEWVRRLVREGRGGDALDSRLRLGGDSVSEMVECLRVGYLCTAELPEKRPTMQQVLGLLKDIHPVLS >Potri.004G192500.3.v4.1 pep chromosome:Pop_tri_v4:4:20663796:20669040:-1 gene:Potri.004G192500.v4.1 transcript:Potri.004G192500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G192500.v4.1 MWVFLLMMSLLLCPVAASTARPDVKPGCQDKCGNVSVPYPFGILERSCAMNKHFFLNCSSGADGQPELLFARNFPAREISVLEGTLTASLYTAFTCYNETGSRTDNYPQSFTLGSGPFMLSDTRNVFTVIGCDTYAGMTNYEFTYGAACISLCTEDVNMSDGNPCSGSGCCQTPIPKGLKSLNYPLSSFYNYTNVSDFNLCGFAFLVDKKSFKISDWPLSRKPKYGKDAYTTDIVIEWVVKNETCEQAKANQSTYACGTNAKCTYPENIGQGYRCLCNEGFEGNPYLPEGCQVDIDECKVRGKNACQEGTCENVIGDYKCRCPRGKYGDGKTGCKGVGINTIIAVIGLALAVLLLLIGAWWMSKLIKRRKCIQLKKLFFKRNGGLLLQQQLSSSDGSVQKTKIFSSNELEKATDYFNENRILGHGGQGTVYKGMLADGSIVAVKKSTIVDEEKLEEFINEVVILSQINHRNVVRLLGCCLETDVPLLVYEFIPNGTLSHYLHEQNEDFTLSWESRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVSIDQTHLTTKVQGTFGYLDPEYFRTSQLTGKSDVYSFGVVLVELLSGKKPIFLTHSLKTMSLAEHFIELMEDSRLFDIIDAQVKGDCTEEEAIVIANLAKRCLNMNGRNRSTMREVAMELEGILLSRNGINIQQMVEVDNSSRSISCSSFEIGTDVPLDCKPLTSSETW >Potri.004G192500.1.v4.1 pep chromosome:Pop_tri_v4:4:20663796:20669040:-1 gene:Potri.004G192500.v4.1 transcript:Potri.004G192500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G192500.v4.1 MWVFLLMMSLLLCPVAASTARPDVKPGCQDKCGNVSVPYPFGILERSCAMNKHFFLNCSSGADGQPELLFARNFPAREISVLEGTLTASLYTAFTCYNETGSRTDNYPQSFTLGSGPFMLSDTRNVFTVIGCDTYAGMTNYEFTYGAACISLCTEDVNMSDGNPCSGSGCCQTPIPKGLKSLNYPLSSFYNYTNVSDFNLCGFAFLVDKKSFKISDWPLSRKPKYGKDAYTTDIVIEWVVKNETCEQAKANQSTYACGTNAKCTYPENIGQGYRCLCNEGFEGNPYLPEGCQDIDECKVRGKNACQEGTCENVIGDYKCRCPRGKYGDGKTGCKGVGINTIIAVIGLALAVLLLLIGAWWMSKLIKRRKCIQLKKLFFKRNGGLLLQQQLSSSDGSVQKTKIFSSNELEKATDYFNENRILGHGGQGTVYKGMLADGSIVAVKKSTIVDEEKLEEFINEVVILSQINHRNVVRLLGCCLETDVPLLVYEFIPNGTLSHYLHEQNEDFTLSWESRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVSIDQTHLTTKVQGTFGYLDPEYFRTSQLTGKSDVYSFGVVLVELLSGKKPIFLTHSLKTMSLAEHFIELMEDSRLFDIIDAQVKGDCTEEEAIVIANLAKRCLNMNGRNRSTMREVAMELEGILLSRNGINIQQMVEVDNSSRSISCSSFEIGTDVPLDCKPLTSSETW >Potri.004G222900.1.v4.1 pep chromosome:Pop_tri_v4:4:22737139:22765628:1 gene:Potri.004G222900.v4.1 transcript:Potri.004G222900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222900.v4.1 MAPKKPQRSSSNNKASSSKSNSSSNSGPRLQISAENENRLRRLLLNSTTTPPLQTTVTDNTNSLSKAQKAKKLKNIYEKLSCEGFSNDHIELALSSLKDNATFETALDWLCLNLAGNELPLKFSGGTSLNSDRGAVSVVSTAREDWVPYVDSSRRVEGEEEEQRVLVRTKARWDEEDGDGNVLDFRQPSQADWIRQYVEQQEEEESKTWEDDSVDGSFTNKDPQPRTYDVIAKEYHAVRLEATKAKEKGDKKSQEQAGHAIRKLKQEMSALGLSLDLLEQDFGHQHVSEDMFSTSTPCEHLEAITSLDVEGDSTIVESIVDENDLESSSSIGFPLNPVPSSVPLEGEIVSEESEDVEIGDFFIDDASSNDALPPGILELQKREKMRELCSEKNLEKLEGIWKKGDPQKIPKAVLHQLCQKSGWEAPKFNKVLERELGFSYAVSILRKASGRGKSRKAGGLISLQLPDQDDTFESAEDAQNRVAAFALHQLFPDLPIHLAIINPYSSLVLQWKQGETSKRVEDSVEDRRAGFVDLLLKADGSSSSAVDATTSSQETLKITDVEETKDSGADAKVERKKYAKDAESSYLRQEQESKRKLKKYKEMLCSRAALPIAGLKVDILQMLKENDVLVVCGETGSGKTTQVPQFILDDMIESGHGGNCNIICTQPRRIAAISVAERVADERCEPSPGAVGSLVGYQVRLDSARNEKTKLLFCTTGILLRKLAGDRSLSGITHVIVDEVHERSLLGDFLLIVLKNLIEKQSSQDTPKLKVILMSATVDSSLFSRYFGQCPVLTAQGRTHPVTNYFLEDIYEYINYNLASDAPAALRYETSAFDKSGPVDNHRGKKNLVLSAWGDDSQLSEDCINPHYISTSYQTYSEQTQKNLKRLNEEIIDYDLLEDLICYVDETCGEGAILIFLPGVSEIYMLLDRLVASYRFGGPSSDWVLPLHSSIASKDQKKVFLWPPENIRKVIIATNIAETSLTIDDVVYVIDCGKHKENRYNPQKKLTSMVEDWISQANARQRRGRAGRVKPGICFCLYTRHRFEKLMRPYQVPEMLRMPLVELSLQIKLLSLGHIKPFLSKALEPPREEAMTSAISLLYEVGALEGDEQLTPLGHHLAKLPVDVLIGKMLLYGAIFGCLSPILSISAFLSYKSPFVYPKDEKQNVERAKLALLADKIDGSNDSNYNDRLSDHLLMMVAYKKWEKILSERGFKAAQQFCATYFLSSSVMHMIRDMRTQFGTLLADIGLISIPKSYQVGRMKKENLDSWLSEKSQPFNMYSHHSSLVKAILCAGLYPNVAATELGITAATLNGLKQSSRPGKKDHPIWYDGRREVHIHPSSVNCNMKAFPHPFLVFLEKVETNKVFLRDTTIISPFSILLFGGEINIQHQTGLLTIDGWLKLKASAQYAVLFKELRSTLHALLKELIRKPENATLVDNEVVKSMIQLLLDEDKPQT >Potri.007G099100.2.v4.1 pep chromosome:Pop_tri_v4:7:12390324:12390959:-1 gene:Potri.007G099100.v4.1 transcript:Potri.007G099100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099100.v4.1 MASRSEILVFSKELTKTDVRKRMSIPTNKLPHLPQFQGACHAVVLEVEDERGQVWNMRCSIRKRKYLKPVISSSWVAFARSKNLGIGDKIFFYRGLNDEDGPSGVKYKIKVQKATKVFGAIVGYGSPVHAAI >Potri.017G137200.1.v4.1 pep chromosome:Pop_tri_v4:17:13846640:13849012:-1 gene:Potri.017G137200.v4.1 transcript:Potri.017G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137200.v4.1 MIPAISVLTNTPIPTNLTVISCPKSQKPPSKNPQNLNQTLKYLTKSGNLDEAIRLIESSPSKFTDPETYSQLLHSCISQKSLHHGQRVYKQLLKQEYSEKFLENHNLKGKLITLFSVCGELDEARIIFENAVENEGVPESVWVAMAIGYSKNGFLREALLVYVEMLWNCMEPGNFAFSTALKACADLRELWVGRGVHAQVVKSSEGPDQVVNNGLLRLYTQCECFNEVLKVFDQMPERNVASWNSLISGFVKEDKLGEALDVFRRMQREGMGFSWVTLTTILPICARVTALLSGKEIHAQIVKSARRPDVLVLNSLVDMYVKCGVVDYGRRLFDGMRSKDLTSWNTMLTGYAINGYMRVAMDLFNEMASCGIRPDDVTFIALLSGCSHAGLTEDGQKLFHRMEMDFGVSPSLEHYACLVDMLGRAGRIDAALVVVKNMPMKTSGSIWGSLLNSCRLHNEVPLAEAIANRLFELEPYNPGNYVMLSNIYANAGMWDSVNMVREMMQTRRIRKEAGCSWIQVKNKIHSFVAGGGFEFRNSDEYKKIWNKLREAMEEFGYIPNTDVVLHDVNEETKAMWVCGHSERLATVFSLIHTAAGMPIRITKNLRVCVDCHSWIKIVSRVTGRVIVLRDTNRFHHFKEGACSCNDYW >Potri.005G138000.1.v4.1 pep chromosome:Pop_tri_v4:5:10806396:10809658:-1 gene:Potri.005G138000.v4.1 transcript:Potri.005G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138000.v4.1 MASFYKGQNRKDKPRGRHHGLTQQKRQEIKEAFDLFDTDGSGTIDAKELNVAMRALGFEMNEEQINQMIADVDKDGSGAIDFDEFVHMMTAKIGERDTKEELSKAFRIIDHDKNGKISVGDIKQIAKELGESFTEREIQEMVEEADQDRDGEVGVDDFMRIMRRTTYGY >Potri.003G204400.3.v4.1 pep chromosome:Pop_tri_v4:3:20335512:20337076:-1 gene:Potri.003G204400.v4.1 transcript:Potri.003G204400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204400.v4.1 MKDYRMDDGEVELSDHVLLPDPGTSGSLQCSGSVDSLLDELLKNTRTCTHTHTCNPHGPDAIHTHTCYHTHTQVIASEEDDNPDNREHSRKRPAGNREAVRKYREKKKAHTAYLEEEVKKLHLLNQQLVRKIQRQAILEAEVSRLRSILVDLRGKIDTELGVFPFQNHCNTTTVLKEGECGAQSTGGLMNLQCQADLACFHTPTIVNCQANTNNTANAEGHAMDMVEAFLPSASQAH >Potri.001G276400.1.v4.1 pep chromosome:Pop_tri_v4:1:29017973:29018743:-1 gene:Potri.001G276400.v4.1 transcript:Potri.001G276400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276400.v4.1 MGNAVSPCFHQSSRASFVKLIFWEGTTRILTGSSKHIAGEIMFENPDMMVCHADSFFIGQPVPSLAIDDELMPGQTYFVLPLDRFAFNVLSASSLAAFSSSPKRTPINFGESPFQYLKGADGRVLIKVVPEFIARLVNKGGDQDQTGSTGPTNSFLCSTPELKKHYEQLVGSKEQTWSPKLDTISEYKLRYSPCRFIGLEWKQKEKA >Potri.018G149800.1.v4.1 pep chromosome:Pop_tri_v4:18:16091127:16096446:-1 gene:Potri.018G149800.v4.1 transcript:Potri.018G149800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149800.v4.1 MDENQHRLSPSPGETLTNRHNSTMDQSLSADDERIVSANPPSAESAIIKRPQSSLTAPPPPDLSIVPPPSPQFNVYDYSSHDQAMPPPYAGYGHGTGVWDGYSPHHHLNAADGLHLSSVIYNDNQSLTFHSGYGFNPDMGYGQYSPVATPLTPIVLDGQLYSPQQIPFSPFYNPNMPSSGPLGSSELTSSESNGENSFFGPGSGYMVQYGSFGGGNMPGAPGSGSLTSASAYPQPMGILGPYEHHAAQGYQQRPLHGYGYTSTSSVGHYSHGGSYQSTGFGGGSISYAGANDRTQVGFDKGRRRERDQGSIYSSNDLLGFDRNRGPRASKLKGKHTTEQLSSYGKSEGNSASSGVQLDLYNRPVFVTDYKNAKFFIIKSFSEDNVHKSIKYSIWASTPHGNKKIDAAYREAKEKEGNCPVFLLFSVNASGQFCGVAEMVGPVDFEKDADYWQQDRWNGQFPVQWHIIKDVPNSRFRHILLENNDHKPVTHSRDSQEVKLEQGIEMLKIFKDYDAPTSIIDDFGFYDQCERALKERKAIQQPSLKVDGPGLLADDTINQISDSFVQSLQLDDVNKELAAREEVVSLRPGMEVLPAINCNTSAELANDSNIEVSKAEDSNNHNLSPALKSKEGSHKYQD >Potri.001G443150.1.v4.1 pep chromosome:Pop_tri_v4:1:46788960:46789211:1 gene:Potri.001G443150.v4.1 transcript:Potri.001G443150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443150.v4.1 MEARNGFERDGRYIKEEGDMGYAWLPVCHKQRPIFCFRLAVAGGVRGAKHTLSFSICVYACVRALIILLLNFYVLLRCFYMSF >Potri.010G129300.1.v4.1 pep chromosome:Pop_tri_v4:10:14582709:14591801:-1 gene:Potri.010G129300.v4.1 transcript:Potri.010G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129300.v4.1 MSLLFFIFSLPLLLSPSLSFSFGNASYFIDCGGSTNTTDPFNTIWLSDRYFTGGAISVVSEPLHFRYPQEKNLRFFPLSSGKKNCYILPLPSGRYYFRTFTVYDNYDGKSHSPSFDASVEGTVVFSWRSPWPENLARDGAYSDLFAFVKDGEADVCFYSIATDPPVIGSLEVRQIDPSSYDSATIGDKFILVNYGRLSCGSNQWGPGFSNDTDDFGRAWQSDSVLRTLNTTKIIHSLSTRETITGTNQPPNFFPAKLYQTAVTGNGILEYALSVDAKLDYVLWFHFAEIDSSVKQKAERVFDVVVNEKNVKRVDVFEEVGSFAAYSLSYTVHNLSSTVLTVKFVPVIGAPIVSGIENYALIPNDLSTAPEQVVAMRALKESLRVPDRMGWNGDPCAPTSWDAWEGVTCHPNKDETALVISQIDLGSQGLKGSISEQITLLSNLVTLNLSTNSLGGTLPSGLGQQSLVRLDLSNNQFSGPIPESLALATHLQLVMLNGNSLEGRVPEELYSIGVHGGAIDLSGNKGLCGVPSLPQCSLFWDNGRLSTGGKIAIGLSCLVFVCLLVLGYLICIRRRRHDYEFAPPHDLMSMAAKRNRYQRQKSLMLLEMESQHAKGLPSPYGPQ >Potri.010G129300.4.v4.1 pep chromosome:Pop_tri_v4:10:14582669:14591799:-1 gene:Potri.010G129300.v4.1 transcript:Potri.010G129300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129300.v4.1 MSLLFFIFSLPLLLSPSLSFSFGNASYFIDCGGSTNTTDPFNTIWLSDRYFTGGAISVVSEPLHFRYPQEKNLRFFPLSSGKKNCYILPLPSGRYYFRTFTVYDNYDGKSHSPSFDASVEGTVVFSWRSPWPENLARDGAYSDLFAFVKDGEADVCFYSIATDPPVIGSLEVRQIDPSSYDSATIGDKFILVNYGRLSCGSNQWGPGFSNDTDDFGRAWQSDSVLRTLNTTKIIHSLSTRETITGTNQPPNFFPAKLYQTAVTGNGILEYALSVDAKLDYVLWFHFAEIDSSVKQKAERVFDVVVNEKNVKRVDVFEEVGSFAAYSLSYTVHNLSSTVLTVKFVPVIGAPIVSGIENYALIPNDLSTAPEQGTCVILE >Potri.008G175600.2.v4.1 pep chromosome:Pop_tri_v4:8:12169712:12171506:1 gene:Potri.008G175600.v4.1 transcript:Potri.008G175600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175600.v4.1 MTNEDRGLLIRNNVSNLGGRIVGFYFSGAWYGPCRSFTPLDDESFNTYFSKMPCLAIPFSDTETCKHLKEVFKVRGIPNLVILFDAHAKVSCDGGVRTIREHGIPVLDLEGKLVGLYFSAHGHRTCREFTPKLVEFYKVLKEKGENFETMPWLALPFKNRSCKKLAHHNWQDGKTLNPNVAEVIEDRGLEVYPFTPKKLDELAVIDKAKLEAVLLNGENDFVTDKSVSELVGKNILLHFSDQRCPPCRSFLPELIEAYHTIKAKDNAFEVIFISSDQMPWLALPFGDVRKQILVRKFKIQGIPAAVAIGPSGRTTIKEARMHLTAYRADAFPFTEEHLKQLEEKLEEQAKGWPEKVKHELHAEHELIRSKH >Potri.001G165600.1.v4.1 pep chromosome:Pop_tri_v4:1:14079194:14083677:1 gene:Potri.001G165600.v4.1 transcript:Potri.001G165600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165600.v4.1 MVKLTMIARVTDGLPLAEGLDDGRDVKDAEMYKQQVKALFKNLASGHNDASRMSVETGPYVFHYIIEGRVCYLTMCDRSYPKKLAFQYLEDLKNEFERVNGAQIETAARPYAFIKFDTFIQKTKKLYQDTRTQRNVAKLNDELYEVHQIMTRNVQEVLGVGEKLDQVSQMSSRLTSESRIYAEKARDLNRQALIRKWAPVAIVLGVVFLLFWVKTKLW >Potri.001G108800.1.v4.1 pep chromosome:Pop_tri_v4:1:8770822:8775249:-1 gene:Potri.001G108800.v4.1 transcript:Potri.001G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108800.v4.1 MGKASKWFRAVLGFKKPDPPLDHPQTTRSKDKRRWSFVKSRREKDHDHQQRQQDIEASKTGVLYGQEFEEDPNKHAVAVAAATAAVAEAAVAAAQAAAEVVRLTSSGRCVNNSVANVSGSLGLREDLAAVKIQAAFRGYLARRALRALKALVRLQALVRGHIERKRTAEWLHRMQALLRAQSRARSGRAQISESSHSSSKSSRFQHPGPPTPEKFEHAIRARSGKYEQSSILKRTGSKCKGRAIGDLDVAHLSLNWSERRMDDQTWDHQVPLAGTGTIDDDKSDKILEIDTGKPHITPKRRNLFHSSHLSLSDQYSHSFTTTKDSTAHQTVPSPSSCEVQSLSPLKFSHVVEEALCTAENSPQFYSASSRGGSSKRSPFTPSRSDGSRNFLIGYYGYPNYMCNTESSRAKARSLSAPKQRPQYERSSSTRRYSVLGCGEPRSSSAQHASALRASFSSKAYPGSGRLDKLGMPIGQGY >Potri.011G063600.2.v4.1 pep chromosome:Pop_tri_v4:11:5383350:5384514:1 gene:Potri.011G063600.v4.1 transcript:Potri.011G063600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063600.v4.1 MKNQKKKNTSQRPKRNLPKSFSSLHLRKPKSKFTISPKTKPLQNFVLKFPPSKIPPLCMPSPASPLSSPPLSRYPLPMAVLFLSQDDNKENIPPFFPKQATLITKSKSPLPTSNKRRVRRPLEDITTLFNREIYSTSALDNRIYIFHSLPSACKVRSGKRRAEDGVYPTCKKTTQLLHSSKNFR >Potri.006G089000.2.v4.1 pep chromosome:Pop_tri_v4:6:6762514:6763372:1 gene:Potri.006G089000.v4.1 transcript:Potri.006G089000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089000.v4.1 MRKDYSKAVEKAKKKLRSLIAKMNCAHLSLCLAWYSAGTFGVKTKTDGPFGTMRYSAELAHGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVSVAITGGPEVPFHPRSEPSIVL >Potri.006G089000.3.v4.1 pep chromosome:Pop_tri_v4:6:6762514:6763372:1 gene:Potri.006G089000.v4.1 transcript:Potri.006G089000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089000.v4.1 MNCAHLSLCLAWYSAGTFGVKTKTDGPFGTMRYSAELAHGANNGLDIAVRLLEPIKEQFPILSYADFYQLVLLALQSLVVLRFLSTLGVSPA >Potri.006G089000.1.v4.1 pep chromosome:Pop_tri_v4:6:6762472:6763433:1 gene:Potri.006G089000.v4.1 transcript:Potri.006G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G089000.v4.1 MNCAHLSLCLAWYSAGTFGVKTKTDGPFGTMRYSAELAHGANNGLDIAVRLLEPIKEQFPILSYADFYQLAGVVSVAITGGPEVPFHPRSEPSIVL >Potri.017G007400.1.v4.1 pep chromosome:Pop_tri_v4:17:549523:555957:-1 gene:Potri.017G007400.v4.1 transcript:Potri.017G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007400.v4.1 MAQQNQEEEEELVAVVESVPETLDQSPSSSDPSLSRNVSFSKLNAQAPAFVPTRPQPQPPPRLSVIPPPPPPPAGMMHMFPPSPFHVPIHGPVPLPHVIPVPNHHHHNNHHHHHNHPNQHQQQYVPVRKHNQLHNSKRVPQQFEEEVEVVVNKDAAASSDHAAKSDEAMNKLLNQVEYYFSDLNLATTDHLMRFITKDPEGYVPISVVASFKKVKAVVNNNSQLATILRNSTKLVVSEDGKKVRRQHPLTESDVEELQSRIVVAENLPEDHCHQNLMKIFSAVGSVKTIRTCPPQPSGGASSASRSGKADGMHFSNKLHAFVEYETVEIAEKAVAELNDEGNWRSGLKVRLMLKRGAQGKKGHDGQGHSEEDEVSAFEQMPNEKLTEDQAQQPDVHSHEHSGEDHANDKEGAQRKRNRGRGKGRGRGQYHHNNHHSNHGGTPPSNPVLGEHLTMAKQPPGPRMPDGTRGFAMGRGKLVAVNIA >Potri.015G098700.4.v4.1 pep chromosome:Pop_tri_v4:15:11897243:11903945:-1 gene:Potri.015G098700.v4.1 transcript:Potri.015G098700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098700.v4.1 MVDNFVMDIKDYATSISGCASPVGIIMFGDEDADQKPVMEKLDHAMSSDTIIIGDERAQFLYRNGVESRNDYESSEYFSAAVALVFARDRDKPCGTGEIQFHAALSSGVSAVGPRYKAVSVKKIVSGTGHTTWLTARREGEHEIQDGQRILDDINNELVNQVGHPDLYIGVTEQRRCFIGSQKSRVMTFLVFHGVMGGDQEYLFADGVGIRTGDYFQFYHPDPSAALSSCSNVSKNFRNLNLDWSSRNCLHARGVYDNVCNKELVGGFVFSCCGRGESFFERCNVDSSPFLDNFPGFPMAGIFCRGEIGRGFSVFNADEGQEERTSHCCLHVYSAVYLLVSYTPAHP >Potri.015G098700.1.v4.1 pep chromosome:Pop_tri_v4:15:11897067:11903945:-1 gene:Potri.015G098700.v4.1 transcript:Potri.015G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098700.v4.1 MTSFASINEDLILNILKRLPALSFASAACVSKSWNQICNQILYKPKFASAFSLNPDEKVALEEVVNKVLSEPIRPHFAIANVIGSGVDLSERLNFLATKLGFQTPIIVSCTSGIMGRDAVTDEHREVMLEEYWVDGESNPCNGIILTVGFLPGLKVDAIPLFQPRKGCRATMVDNFVMDIKDYATSISGCASPVGIIMFGDEDADQKPVMEKLDHAMSSDTIIIGDERAQFLYRNGVESRNDYESSEYFSAAVALVFARDRDKPCGTGEIQFHAALSSGVSAVGPRYKAVSVKKIVSGTGHTTWLTARREGEHEIQDGQRILDDINNELVNQVGHPDLYIGVTEQRRCFIGSQKSRVMTFLVFHGVMGGDQEYLFADGVGIRTGDYFQFYHPDPSAALSSCSNVSKNFRNLNLDWSSRNCLHARGVYDNVCNKELVGGFVFSCCGRGESFFERCNVDSSPFLDNFPGFPMAGIFCRGEIGRGFSVFNADEGQEERTSHCCLHVYSAVYLLVSYTPAHP >Potri.015G098700.3.v4.1 pep chromosome:Pop_tri_v4:15:11897067:11903945:-1 gene:Potri.015G098700.v4.1 transcript:Potri.015G098700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098700.v4.1 MVDNFVMDIKDYATSISGCASPVGIIMFGDEDADQKPVMEKLDHAMSSDTIIIGDERAQFLYRNGVESRNDYESSEYFSAAVALVFARDRDKPCGTGEIQFHAALSSGVSAVGPRYKAVSVKKIVSGTGHTTWLTARREGEHEIQDGQRILDDINNELVNQVGHPDLYIGVTEQRRCFIGSQKSRVMTFLVFHGVMGGDQEYLFADGVGIRTGDYFQFYHPDPSAALSSCSNVSKNFRNLNLDWSSRNCLHARGVYDNVCNKELVGGFVFSCCGRGESFFERCNVDSSPFLDNFPGFPMAGIFCRGEIGRGFSVFNADEGQEERTSHCCLHVYSAVYLLVSYTPAHP >Potri.001G060900.4.v4.1 pep chromosome:Pop_tri_v4:1:4655331:4657850:-1 gene:Potri.001G060900.v4.1 transcript:Potri.001G060900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060900.v4.1 MLWLYYAMLKNDEILLVTINSFGCVIETIYIAIYIAYATRESKVSTIKLLLSMNMGLFSLIILLTHFLASGSTRVKALGWLCVAFSVCVFAAPLNIVKQIIRTKSVEFMPFTLSFFLTLSAVIWFAYGLFIKDMCVALPNILGFVLGLLQMLLYGIYRNAEKKKIPAENLKSIVILGTLGASEVYPVDPQPDVSAAEHDQTEESKENEKSMEASHDDLQSNECAV >Potri.001G060900.1.v4.1 pep chromosome:Pop_tri_v4:1:4655317:4657850:-1 gene:Potri.001G060900.v4.1 transcript:Potri.001G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060900.v4.1 MAVMNMQHPLAFTFGILGNIISFMVYLAPVPTFIRILRKKSTEDFQSLPYLVALFSSMLWLYYAMLKNDEILLVTINSFGCVIETIYIAIYIAYATRESKVSTIKLLLSMNMGLFSLIILLTHFLASGSTRVKALGWLCVAFSVCVFAAPLNIVKQIIRTKSVEFMPFTLSFFLTLSAVIWFAYGLFIKDMCVALPNILGFVLGLLQMLLYGIYRNAEKKKIPAENLKSIVILGTLGASEVYPVDPQPDVSAAEHDQTEESKENEKSMEASHDDLQSNECAV >Potri.002G257300.1.v4.1 pep chromosome:Pop_tri_v4:2:24585104:24586947:-1 gene:Potri.002G257300.v4.1 transcript:Potri.002G257300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257300.v4.1 MGLFRKPSFLLSNTMFVIAVFGFLVTVVGAADHTTLVFKGCADQKFQDPSGIYAQNLRNLLNSLVSQSSQKIYFTATSGDGQNVITGLYQCRGDLSNNPCHACVSKVPDLIDKLCGKVVAARVQLSGCYLKYEVAGFKQVSGTELLYKVCGSTKASGTGFEDRRDAAFETMVDGVKNGSNGLFYTGEYQSVFVLGQCEGDLSSGDCGDCVNTAVQSVKSECGDSISGQLYLNKCYLSYSYYPNGVPSISSTSDVGTRQRTQRTVAIAVGGVAALGFGVVCLLFVRSIFKKRLGKHEGWH >Potri.007G130200.2.v4.1 pep chromosome:Pop_tri_v4:7:14435393:14436332:-1 gene:Potri.007G130200.v4.1 transcript:Potri.007G130200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130200.v4.1 MTNTEVCPVVASSLSGHGRQHSLRQFSDTWEDSYESNYRNARRAFLSSYHFSEENGIRDKLRRSVKEINEVVRGVVSDIREEICKRRIRIKVCRFSLGLPCLILSSVRCFAPWIGRRRELMQ >Potri.010G154700.2.v4.1 pep chromosome:Pop_tri_v4:10:22673380:22675623:-1 gene:Potri.010G154700.v4.1 transcript:Potri.010G154700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154700.v4.1 MSKIPHEIITDIFQQLPVKSLLRFRSLSKPICSLIDGPDFIKFHLYHSITAKSNHSVILKEWDLFTVDFDTLSDAVEVKHHPLYAAGGTEVIGSVNGLVFLRHSERNLAVYNLSTREWKKCFVVEIKPPRRDLITGYVYYGFGYDSVGDDYKVVRMAQFVREDEGGGGGGGYGDGGGGLGCEYEVRVYSLKNDKWKKIEDLPICLKLLSKQFFHVLHRRGYGVFAGHALHWIIPQRRQLGIRDCVLGFDIRNDKFFELPQPNYESKGMSFQVDVGVLEGNLCVMCNYEYVCVDVWVMREYGMKESWCKMFSVQGIKWIGAFMFLRPLIYSKDGGKVLLEVNDEKLVWYDWKNKHAKVVKIRGGPNSYGSEMYVESLVRINDGDRNGWKKQQEIDEEEEKRKADRKKRDNFLSVGFKLKL >Potri.010G154700.1.v4.1 pep chromosome:Pop_tri_v4:10:22673334:22675666:-1 gene:Potri.010G154700.v4.1 transcript:Potri.010G154700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154700.v4.1 MSKIPHEIITDIFQQLPVKSLLRFRSLSKPICSLIDGPDFIKFHLYHSITAKSNHSVILKEWDLFTVDFDTLSDAVEVKHHPLYAAGGTEVIGSVNGLVFLRHSERNLAVYNLSTREWKKCFVVEIKPPRRDLITGYVYYGFGYDSVGDDYKVVRMAQFVREDEGGGGGGGYGDGGGGLGCEYEVRVYSLKNDKWKKIEDLPICLKLLSKQFFHVLHRRGYGVFAGHALHWIIPQRRQLGIRDCVLGFDIRNDKFFELPQPNYESKGMSFQVDVGVLEGNLCVMCNYEYVCVDVWVMREYGMKESWCKMFSVQGIKWIGAFMFLRPLIYSKDGGKVLLEVNDEKLVWYDWKNKHAKVVKIRGGPNSYGSEMYVESLVRINDGDRNGWKKQQEIDEEEEKRKADRKKRDNFLSVGFKLKL >Potri.018G148900.1.v4.1 pep chromosome:Pop_tri_v4:18:16017896:16019331:1 gene:Potri.018G148900.v4.1 transcript:Potri.018G148900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148900.v4.1 MKKTVLKVNINCMKCQTEVLKTAAKLEGIDEIAVDIAKGTLTVIGVVDPVLVAKKLRKSGKMVEVVSVGPPKKEPDEEKVDYITVGFPSCCKECELVAFGFPPHYQAQICSIL >Potri.007G000400.8.v4.1 pep chromosome:Pop_tri_v4:7:20351:24824:1 gene:Potri.007G000400.v4.1 transcript:Potri.007G000400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000400.v4.1 MENCCSFMKLNLSSSITKPALFKTTNIFFSSLNDEKLPSTTLKTPLSVSPHRHKTAATQIFKTSFKANSSIANAEVPVEVEVEVEVEVAEGYSMTQFCDKVIDVFLNEKPRVKEWRKYLVFREEWNKYKESFYTRCKTRADRETDPTMKQRLISLASKVNKIDEDMEKHDKLLKEIQDNPTDLNAIVAKRRKDFTGDFFRYLALLSETCDSLEDRDGVARLVAKCMSAVSAFDNTLESLETLDAAQAKFDDILNSSSVDAACEKIKSLAKAKELDSSLILLINSAWAAAKESTSVKNGVKDIMYSLYKAMKSSLRSIAPKEIKLLKHLLNIADPEERFSALAIAFSPGDDHEAKDPYALYTTPKELHKWIKIMLDAYHLNKEDTDIKEAKQMSQPVIIQRLFILKETIEEEYLEKTTFQTRPEGDTKSED >Potri.007G000400.1.v4.1 pep chromosome:Pop_tri_v4:7:20365:24823:1 gene:Potri.007G000400.v4.1 transcript:Potri.007G000400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000400.v4.1 MENCCSFMKLNLSSSITKPALFKTTNIFFSSLNDEKLPSTTLKTPLSVSPHRHKTAATQIFKTSFKANSSIANAEVPVEVEVEVEVEVAEGYSMTQFCDKVIDVFLNEKPRVKEWRKYLVFREEWNKYKESFYTRCKTRADRETDPTMKQRLISLASKVNKIDEDMEKHDKLLKEIQDNPTDLNAIVAKRRKDFTGDFFRYLALLSETCDSLEDRDGVARLVAKCMSAVSAFDNTLESLETLDAAQAKFDDILNSSSVDAACEKIKSLAKAKELDSSLILLINSAWAAAKESTSVKNGVKDIMYSLYKAMKSSLRSIAPKEIKLLKHLLNIADPEERFSALAIAFSPGDDHEAKDPYALYTTPKELHKWIKIMLDAYHLNKEDTDIKEAKQMSQPVIIQRLFILKETIEEEYLEKTTFQTRPEGDTKSED >Potri.007G000400.7.v4.1 pep chromosome:Pop_tri_v4:7:20361:24792:1 gene:Potri.007G000400.v4.1 transcript:Potri.007G000400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000400.v4.1 MENCCSFMKLNLSSSITKPALFKTTNIFFSSLNDEKLPSTTLKTPLSVSPHRHKTAATQIFKTSFKANSSIANAEVPVEVEVEVEVEVAEGYSMTQFCDKVIDVFLNEKPRVKEWRKYLVFREEWNKYKESFYTRCKTRADRETDPTMKQRLISLASKVNKIDEDMEKHDKLLKEIQDNPTDLNAIVAKRRKDFTGDFFRYLALLSETCDSLEDRDGVARLVAKCMSAVSAFDNTLESLETLDAAQAKFDDILNSSSVDAACEKIKSLAKAKELDSSLILLINSAWAAAKESTSVKNGVKDIMYSLYKAMKSSLRSIAPKEIKLLKHLLNIADPEERFSALAIAFSPGDDHEAKDPYALYTTPKELHKWIKIMLDAYHLNKEDTDIKEAKQMSQPVIIQRLFILKETIEEEYLEKTTFQTRPEGDTKSED >Potri.018G021100.4.v4.1 pep chromosome:Pop_tri_v4:18:1511094:1528616:1 gene:Potri.018G021100.v4.1 transcript:Potri.018G021100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021100.v4.1 MSSLVERLRVRSERRPIYNLDESDDDADFVSGKAKKPQEKIERFVRDDAKEDSCQACGESENLLNCETCTYAYHPKCLLPPLKAPFPSNWRCPECVSPLNDIDKLLDTEMRPTVADDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWFPSLFVTTPYRVPEREFLKAFKSNPRLKTKVNNFNRQMASNNNSEDDFVAIRPEWTTVDRILACRGVEGEKEYLVKYKELPYDECYWEFESDVSTFQPEIERFNRIQSRSHKPSKQKSSLQDATDSKKKSKEFQQYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGISHHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYYPKKHKKIKKKKSGQVVTERKQDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFLSMKQYYSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDTNESFKQLVETSGKLQLLHKMMVRLKEQGHRVLIYSQFQHMLDLLEDYCTHKKWTYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIQRLLDREQIGDEETSLDDEEEDGFLKAFKVANFEYIDEAEAAAEKEAQKAAMETKTTISNSEKTNYWEDLLKDSYEVHKIEESNALGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGETTSSGIQTSGIQTLKRPYKKKGRVDNMEPIPLMEGEGRSFRVLGFNQNQRAAFVQILMRYGVGDYDWKEFAPRLKQKTYEEVENYGRLFLTHIAEDLSDSPNFSDGVPKEGLRIQDVLIRIAVLLLIRDKARFASENPGSLLYTDDIMVRYPGLKSGKFWKQEHDSLLLHAVLKHGYGRWQAIVDDKDLKVQEIICKELNLPFIRLPVLGQAASQAQNGSTSNMDNAEAPSTQTQANGTGNVAAADVAHGTTDVANQAQLYQDSSILFHFRDMQRRQVEFIKKRVLLLERGLYAEYQKEYFGGDIKANEITSEEADCETMAADRSSLGSIEISAQMIDQLPRMESIALEEISAAACDDNPDRLALPQLYNKMCTVLEQNIHESIQISLTNQPASLKLRQDLQPLETVYEQINQFLSPSQQKSSTSEQATLGSSKHVQAESQSSQADFHSPSDQLKENDDTTAATEVVEMKDATTEPKLQGTIALSNEELVKETSKSPSDSPPSACPVTPPKEPTCSPGTTEKDVGMVDMNNENDTQH >Potri.018G021100.1.v4.1 pep chromosome:Pop_tri_v4:18:1511112:1528734:1 gene:Potri.018G021100.v4.1 transcript:Potri.018G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021100.v4.1 MSSLVERLRVRSERRPIYNLDESDDDADFVSGKAKKPQEKIERFVRDDAKEDSCQACGESENLLNCETCTYAYHPKCLLPPLKAPFPSNWRCPECVSPLNDIDKLLDTEMRPTVADDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEREFLKAFKSNPRLKTKVNNFNRQMASNNNSEDDFVAIRPEWTTVDRILACRGVEGEKEYLVKYKELPYDECYWEFESDVSTFQPEIERFNRIQSRSHKPSKQKSSLQDATDSKKKSKEFQQYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGISHHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYYPKKHKKIKKKKSGQVVTERKQDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFLSMKQYYSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDTNESFKQLVETSGKLQLLHKMMVRLKEQGHRVLIYSQFQHMLDLLEDYCTHKKWTYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIQRLLDREQIGDEETSLDDEEEDGFLKAFKVANFEYIDEAEAAAEKEAQKAAMETKTTISNSEKTNYWEDLLKDSYEVHKIEESNALGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGETTSSGIQTSGIQTLKRPYKKKGRVDNMEPIPLMEGEGRSFRVLGFNQNQRAAFVQILMRYGVGDYDWKEFAPRLKQKTYEEVENYGRLFLTHIAEDLSDSPNFSDGVPKEGLRIQDVLIRIAVLLLIRDKARFASENPGSLLYTDDIMVRYPGLKSGKFWKQEHDSLLLHAVLKHGYGRWQAIVDDKDLKVQEIICKELNLPFIRLPVLGQAASQAQNGSTSNMDNAEAPSTQTQANGTGNVAAADVAHGTTDVANQAQLYQDSSILFHFRDMQRRQVEFIKKRVLLLERGLYAEYQKEYFGGDIKANEITSEEADCETMAADRSSLGSIEISAQMIDQLPRMESIALEEISAAACDDNPDRLALPQLYNKMCTVLEQNIHESIQISLTNQPASLKLRQDLQPLETVYEQINQFLSPSQQKSSTSEQATLGSSKHVQAESQSSQADFHSPSDQLKENDDTTAATEVVEMKDATTEPKLQGTIALSNEELVKETSKSPSDSPPSACPVTPPKEPTCSPGTTEKDVGMVDMNNENDTQH >Potri.018G021100.2.v4.1 pep chromosome:Pop_tri_v4:18:1510925:1528633:1 gene:Potri.018G021100.v4.1 transcript:Potri.018G021100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021100.v4.1 MTTPFKTEQCNKMNSKEMSSLVERLRVRSERRPIYNLDESDDDADFVSGKAKKPQEKIERFVRDDAKEDSCQACGESENLLNCETCTYAYHPKCLLPPLKAPFPSNWRCPECVSPLNDIDKLLDTEMRPTVADDSDASKLGSKQIFVKQYLVKWKGLSYLHCTWVPEREFLKAFKSNPRLKTKVNNFNRQMASNNNSEDDFVAIRPEWTTVDRILACRGVEGEKEYLVKYKELPYDECYWEFESDVSTFQPEIERFNRIQSRSHKPSKQKSSLQDATDSKKKSKEFQQYEHSPEFLSGGSLHPYQLEGLNFLRFSWSKQTHVILADEMGLGKTIQSIAFLASLFEEGISHHLVVAPLSTLRNWEREFATWAPQMNVVMYVGSAQARAVIREYEFYYPKKHKKIKKKKSGQVVTERKQDRIKFDVLLTSYEMINLDTTSLKPIKWECMIVDEGHRLKNKDSKLFLSMKQYYSNHRVLLTGTPLQNNLDELFMLMHFLDAGKFASLEEFQEEFKDINQEEQISRLHKMLAPHLLRRVKKDVMKELPPKKELILRVELSSKQKEYYKAILTRNYQILTRRGGAQISLINVVMELRKLCCHPYMLEGVEPDIEDTNESFKQLVETSGKLQLLHKMMVRLKEQGHRVLIYSQFQHMLDLLEDYCTHKKWTYERIDGKVGGAERQIRIDRFNAKNSSRFCFLLSTRAGGLGINLATADTVIIYDSDWNPHADLQAMARAHRLGQTNKVMIYRLITRGTIEERMMQMTKKKMVLEHLVVGRLKAQNINQEELDDIIRYGSKELFADENDEAGKSRQIHYDDAAIQRLLDREQIGDEETSLDDEEEDGFLKAFKVANFEYIDEAEAAAEKEAQKAAMETKTTISNSEKTNYWEDLLKDSYEVHKIEESNALGKGKRSRKQMVSVEEDDLAGLEDVSSDGEDDNYEAELTDGETTSSGIQTSGIQTLKRPYKKKGRVDNMEPIPLMEGEGRSFRVLGFNQNQRAAFVQILMRYGVGDYDWKEFAPRLKQKTYEEVENYGRLFLTHIAEDLSDSPNFSDGVPKEGLRIQDVLIRIAVLLLIRDKARFASENPGSLLYTDDIMVRYPGLKSGKFWKQEHDSLLLHAVLKHGYGRWQAIVDDKDLKVQEIICKELNLPFIRLPVLGQAASQAQNGSTSNMDNAEAPSTQTQANGTGNVAAADVAHGTTDVANQAQLYQDSSILFHFRDMQRRQVEFIKKRVLLLERGLYAEYQKEYFGGDIKANEITSEEADCETMAADRSSLGSIEISAQMIDQLPRMESIALEEISAAACDDNPDRLALPQLYNKMCTVLEQNIHESIQISLTNQPASLKLRQDLQPLETVYEQINQFLSPSQQKSSTSEQATLGSSKHVQAESQSSQADFHSPSDQLKENDDTTAATEVVEMKDATTEPKLQGTIALSNEELVKETSKSPSDSPPSACPVTPPKEPTCSPGTTEKDVGMVDMNNENDTQH >Potri.001G292600.2.v4.1 pep chromosome:Pop_tri_v4:1:30432015:30436960:-1 gene:Potri.001G292600.v4.1 transcript:Potri.001G292600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292600.v4.1 MAVGGAAKVGVFAPFPVKDQLPGVDFCVSSSPSWPEAILLGFQHYLVMLGTTVIIPSIFVLLISRFNTWFGTRLPVVIGGSYAFSIPTITISLSTNNSTNVIFLTPRQVKFSSLPHFLAKCVEIGILALLFVVFISQLYGHMPAVLTVAGAYNNKHPDTQLSSRVDRAGLISAAPWIKVPYPFQWGRPTFDAGDVFAMMAACFVAIVESTGTIIEVSRYGSATPLPPSVLSRGIGWLYSFQPIHENAGLLGLTRVGSRRVVQISAGFMLFFSVLSAENSGLFLLPIPLPIVAALYCVLFAYAVLQLNSFRTKFILGFSLFLGLPVPQYFKEYLLVSGRGPFNDIMQLIFSSPATVAILVACFLDCTHSLAHSTTRRDSGRHWWERFRYFSGRHWFFPSF >Potri.008G070900.1.v4.1 pep chromosome:Pop_tri_v4:8:4351076:4352364:1 gene:Potri.008G070900.v4.1 transcript:Potri.008G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070900.v4.1 MGSVNGGDHRIKGSWSPQEDATLIKLVEQHGPRNWSMISTGIPGRSGKSCRLRWCNQLSPEVQHRPFTPAEDAKIVQAHAIHGNKWATIARLLPGRTDNAIKNHWNSTLRRKRGSVSSASSESNSVFKRSTLEVSVVSESESDSGSKRQCLHASPGHNSVNGDVGVDGPETSLTLSPPGDGFVSMAVAEKLKEGVAVNGREKDLGESIMKDAEKIRCTEEMDEDCVRALIQKIIQEEVRIYFDRLKTRNGVTIGSLKDI >Potri.016G006600.1.v4.1 pep chromosome:Pop_tri_v4:16:324647:327923:-1 gene:Potri.016G006600.v4.1 transcript:Potri.016G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006600.v4.1 MGEEKKEEEKKEEAKEEEKKEEKKEEEPPEIVLKVDMHCEACARKVARALKGFEGVEEVTTDSKASKVVVKGKKADPIKVCERLRKKNGRKVELISPLPKPPEENKEENKDPPKEEEKKDEPPPVVTVVLNVRMHCEACAQSLQKRIRKIKGVESVETDLANGQVVVKGVVDPSKLVDDVYKKTGKQASIVKNEEKKEEEKKEEEKKEEKKEEKEGEKKEGEEEKEGDDKKPDIKKSEYWPSKYYSDQYAYAPEFFSDENPNACSIM >Potri.011G074826.1.v4.1 pep chromosome:Pop_tri_v4:11:14431122:14431229:-1 gene:Potri.011G074826.v4.1 transcript:Potri.011G074826.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074826.v4.1 MEALVYTFLLVSTLRIIFFAIFFREPPKVPTKKVK >Potri.014G195700.1.v4.1 pep chromosome:Pop_tri_v4:14:17561771:17567188:1 gene:Potri.014G195700.v4.1 transcript:Potri.014G195700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195700.v4.1 MRGLLNKLVSCSLSVAGKWQHQQLRRLNIHEYQGAELMGKYGVNVPKGVAVSSLDEVKKAIQDVFPGESELVVKSQVLAGGRGLGKFKNGFQGGVHIVKADKIEETAGKMLGQILVTKQTGSQGKIVSKVYLCEKLSLVNEMYFAIMLDRTSAGPLIIACKKGGTSIEDLAEKFPDLIIKVPIDVFKGITDEDAAKVVDGLAPKVADRNNSIEQVKKLYKLFCECDCTLLEINPIAETSDKQLVAADAKLNFDDNAAFRQKEIFALRDPTQEDPREVAAAMADLNYIGLDGEIGCMVNGAGLAMATMDIVKLHGGTPANFLDVGGNASEGQVVEAFKILTSDDKVKAILVNIFGGIMKCDVIASGIVNAAKQVALKVPVVVRLEGTNVDQGKRILKESGMALITAEDLDDAAKKAVKASAS >Potri.011G073416.1.v4.1 pep chromosome:Pop_tri_v4:11:7531583:7536104:1 gene:Potri.011G073416.v4.1 transcript:Potri.011G073416.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073416.v4.1 MFLACFKISESFSLPVSCRQIKNLFELLQPILQHRMLMMFQLCQVMVMISFSSSITLLASDQLHPGEVEALRQIGKTVNEDGQLSLKFVDSCQQKGVVETELNSAPPNLEGNSTIGCNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTCVQKIDFTRNYLYGTIPVEWASMKNLSFISLTANRLSGNIPGHLGSFTAITYLSLESNHFWCCPT >Potri.001G269850.1.v4.1 pep chromosome:Pop_tri_v4:1:28434738:28435736:-1 gene:Potri.001G269850.v4.1 transcript:Potri.001G269850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269850.v4.1 METSRSIEILNAIAPLPISFFLLKSFCSTYHNSRSISTTLFHYLNASLLLALNREIFAE >Potri.017G038601.1.v4.1 pep chromosome:Pop_tri_v4:17:2511155:2512060:1 gene:Potri.017G038601.v4.1 transcript:Potri.017G038601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G038601.v4.1 MFKWLKIFLQEFLKGELQRGQKLNISTSCNSSNPAKFLFKDEYVDVRGLEEEIV >Potri.010G120600.1.v4.1 pep chromosome:Pop_tri_v4:10:13864831:13866884:1 gene:Potri.010G120600.v4.1 transcript:Potri.010G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120600.v4.1 MSKGEMANSDLSMILPRVLIVSRRTVRKNKFVDFVGEYHLDLIVSYGAVPVIVPRVSGVHMLLESFEPIHGVLLCEGEDIDPSHYDAELSGFSPEELEEIRKVHVSDTSIDREKDTIELRLAKLCLERNIPYLGICRGSQVLNVACGGTLYQDVEKELSKKVPEEQRVVHMDYENYDGHRHVVKVLENTPLHQWFKDSLEEDKMEIMVNSYHHQGVRKLAQRFVPMAFAPDGLIEGFYDPDAYNPEEGKFIMGLQFHPERMRNEDSDDFDYPGCPSAYKEFAKAVIAYEKKLNRSECVLEAPKLNQELERKRRILVRSFSIARNMYSSGGGTGQESDLQVGAEFLEASTALSLQQEKRLKQMGATVRNASVYKERLTMNEERERLARAIMGKMSISQLSDLISFYHMMGNLCSEALERKLQDRVDEESDF >Potri.001G124150.1.v4.1 pep chromosome:Pop_tri_v4:1:10230714:10231653:1 gene:Potri.001G124150.v4.1 transcript:Potri.001G124150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124150.v4.1 MPPYQQQMTSVTVLAIALFTKLPQRAIRRARISLLEQRAGMLTFIRGSKSKEKRLKFFLFL >Potri.001G472400.1.v4.1 pep chromosome:Pop_tri_v4:1:49540769:49548432:-1 gene:Potri.001G472400.v4.1 transcript:Potri.001G472400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472400.v4.1 MLSIENPPVPDPSCSSSQLNSSDERAYQLPTSTNNKLPSPNLSEVVVVNLPNTNPSLHHHHHTPLPNFSIRDYVFKARSKDIKNSWPFSQNNLQLCLKHGVKDVLPKFQPHDTVRNQFFKRCTGETSSVEKENNFDKEASRPDNRVLLDSSDDAQLNNKLAESCVDISSCRSGEENDFPSTTTSEINSVPDNRQRRSPLETQSLAKAAVEVEAPVTHKTESTSRPLAKKCRLIVKFGGSSDRSSAEDIASNCTTTSETMASKVCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTSDSKPTRYRIKPRKNRLMVDIYATAQYCTLEDLDRRNGTSWATMSSLPAQETEKSDAPNEGKKQRVSPIHPEDAADVGPVYIDADGTKVRILSQFNDTPPVEKVSEDIGARREDIGAKKSLKGGKASKYISKKKKKRLAQKHQKYLRLASQSKKIFFHKAPCAQISGGQEEFNGEGKSCEKEQRMLKQINPNDGGTLRPWICSKRRGFPKKIPTQEDHQPVRCKWHLAQDLLVENDSLSERSRTQKSVILSDNPISSHRNIERTEKPFHKDQVNESMEHSPGRKMVTNLPVRDRINGKVDKLFPPMKLSKDGTSIRDTCLLRPPDSPRIKVSSLTKKTIYTDADTSNNSDTSPIASTKSSRSSRTVVSKALRFCSFRKSVLSVSSQSSVTESRPSEVRKWSTLDKSEDPSTTEIDEDAMGRHSEVDEQYDLMQDHTENVLEREEITDEVSLGGSSIRETRQEKRLSCSSERLEVLSLRSSKSTPRYGHDEEINVDSSARFDDDDYLRKIDPLESPGTQVRIHEDIVVEPSSKTLDGRTSTSGTSKSVDTGFYELGVSSKVPSKCLRSIEHYEGLSRQNDGSTGPTEPGFVHDQGMFSAAEAGNGMMGHNADMRVVELDSEAAKVDSFPEVDPILIPGPPGSFLPSPRDMGSEDFQGNSSLTSSQVQSSPDQYDVIDGDSSDSPLSAASTISNSMAGRPDFNYSEPPSSAGHYVFQDNMRSGLISAGIEPLAQNADAVPQAATTRVERATFLGEHVKLDGIPIEKESFGLKNDQPCCCQRKERFAESVALNHQESQLLRRRKTPSMTFPSVSKQMGCNSNPMPINLDVRPELVSLNSYSASGSEKMVLPLIKPPGDPIPLKDSPNNSAVRSLARADGDSASPSASNPILRLMGKNLMVVNKDDHVAMPIGQVQPCAQTINRTPHFPTISAVSPGNIQNQDSHSFHRVTPQGFAIFSRDPYYKTAVQRFDVGLSNSFGSHTDSKLPRAPSQLPAGMFCDQQNDGGFVTSMKPQQCKDDYNFSSSQNRLKRRLDAFPTCTMQKATETPDRQCKRADSSAHPVKEIIIIDDVPESQTVVISDITRYNEGWRERQAVPSGISVPTIPVYNMSNVNPFTCYQSQDHPPLGGTPLLHNGNFHATATRLVNTSPVRWGCPSEGPSVLQQNPFVAASNSSGHPRSASLYYSPSFS >Potri.001G472400.3.v4.1 pep chromosome:Pop_tri_v4:1:49540771:49548437:-1 gene:Potri.001G472400.v4.1 transcript:Potri.001G472400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472400.v4.1 MASKVCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTSDSKPTRYRIKPRKNRLMVDIYATAQYCTLEDLDRRNGTSWATMSSLPAQETEKSDAPNEGKKQRVSPIHPEDAADVGPVYIDADGTKVRILSQFNDTPPVEKVSEDIGARREDIGAKKSLKGGKASKYISKKKKKRLAQKHQKYLRLASQSKKIFFHKAPCAQISGGQEEFNGEGKSCEKEQRMLKQINPNDGGTLRPWICSKRRGFPKKIPTQEDHQPVRCKWHLAQDLLVENDSLSERSRTQKSVILSDNPISSHRNIERTEKPFHKDQVNESMEHSPGRKMVTNLPVRDRINGKVDKLFPPMKLSKDGTSIRDTCLLRPPDSPRIKVSSLTKKTIYTDADTSNNSDTSPIASTKSSRSSRTVVSKALRFCSFRKSVLSVSSQSSVTESRPSEVRKWSTLDKSEDPSTTEIDEDAMGRHSEVDEQYDLMQDHTENVLEREEITDEVSLGGSSIRETRQEKRLSCSSERLEVLSLRSSKSTPRYGHDEEINVDSSARFDDDDYLRKIDPLESPGTQVRIHEDIVVEPSSKTLDGRTSTSGTSKSVDTGFYELGVSSKVPSKCLRSIEHYEGLSRQNDGSTGPTEPGFVHDQGMFSAAEAGNGMMGHNADMRVVELDSEAAKVDSFPEVDPILIPGPPGSFLPSPRDMGSEDFQGNSSLTSSQVQSSPDQYDVIDGDSSDSPLSAASTISNSMAGRPDFNYSEPPSSAGHYVFQDNMRSGLISAGIEPLAQNADAVPQAATTRVERATFLGEHVKLDGIPIEKESFGLKNDQPCCCQRKERFAESVALNHQESQLLRRRKTPSMTFPSVSKQMGCNSNPMPINLDVRPELVSLNSYSASGSEKMVLPLIKPPGDPIPLKDSPNNSAVRSLARADGDSASPSASNPILRLMGKNLMVVNKDDHVAMPIGQVQPCAQTINRTPHFPTISAVSPGNIQNQDSHSFHRVTPQGFAIFSRDPYYKTAVQRFDVGLSNSFGSHTDSKLPRAPSQLPAGMFCDQQNDGGFVTSMKPQQCKDDYNFSSSQNRLKRRLDAFPTCTMQKATETPDRQCKRADSSAHPVKEIIIIDDVPESQTVVISDITRYNEGWRERQAVPSGISVPTIPVYNMSNVNPFTCYQSQDHPPLGGTPLLHNGNFHATATRLVNTSPVRWGCPSEGPSVLQQNPFVAASNSSGHPRSASLYYSPSFS >Potri.001G472400.2.v4.1 pep chromosome:Pop_tri_v4:1:49540775:49548486:-1 gene:Potri.001G472400.v4.1 transcript:Potri.001G472400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472400.v4.1 MLSIENPPVPDPSCSSSQLNSSDERAYQLPTSTNNKLPSPNLSEVVVVNLPNTNPSLHHHHHTPLPNFSIRDYVFKARSKDIKNSWPFSQNNLQLCLKHGVKDVLPKFQPHDTVRNQFFKRCTGETSSVEKENNFDKEASRPDNRVLLDSSDDAQLNNKLAESCVDISSCRSGEENDFPSTTTSEINSVPDNRQRRSPLETQSLAKAAVEVEAPVTHKTESTSRPLAKKCRLIVKFGGSSDRSSAEDIASNCTTTSETMASKVCPVCKTFSSSSNTTLNAHIDQCLSVESTPKWTSDSKPTRYRIKPRKNRLMVDIYATAQYCTLEDLDRRNGTSWATMSSLPAQETEKSDAPNEGKKQRVSPIHPEDAADVGPVYIDADGTKVRILSQFNDTPPVEKVSEDIGARREDIGAKKSLKGGKASKYISKKKKKRLAQKHQKYLRLASQSKKIFFHKAPCAQISGGQEEFNGEGKSCEKEQRMLKQINPNDGGTLRPWICSKRRGFPKKIPTQEDHQPVRCKWHLAQDLLVENDSLSERSRTQKSVILSDNPISSHRNIERTEKPFHKDQVNESMEHSPGRKMVTNLPVRDRINGKVDKLFPPMKLSKDGTSIRDTCLLRPPDSPRIKVSSLTKKTIYTDADTSNNSDTSPIASTKSSRSSRTVVSKALRFCSFRKSVLSVSSQSSVTESRPSEVRKWSTLDKSEDPSTTEIDEDAMGRHSEVDEQYDLMQDHTENVLEREEITDEVSLGGSSIRETRQEKRLSCSSERLEVLSLRSSKSTPRYGHDEEINVDSSARFDDDDYLRKIDPLESPGTQVRIHEDIVVEPSSKTLDGRTSTSGTSKSVDTGFYELGVSSKVPSKCLRSIEHYEGLSRQNDGSTGPTEPGFVHDQGMFSAAEAGNGMMGHNADMRVVELDSEAAKVDSFPEVDPILIPGPPGSFLPSPRDMGSEDFQGNSSLTSSQVQSSPDQYDVIDGDSSDSPLSAASTISNSMAGRPDFNYSEPPSSAGHYVFQDNMRSGLISAGIEPLAQNADAVPQAATTRVERATFLGEHVKLDGIPIEKESFGLKNDQPCCCQRKERFAESVALNHQESQLLRRRKTPSMTFPSVSKQMGCNSNPMPINLDVRPELVSLNSYSASGSEKMVLPLIKPPGDPIPLKDSPNNSAVRSLARADGDSASPSASNPILRLMGKNLMVVNKDDHVAMPIGQVQPCAQTINRTPHFPTISAVSPGNIQNQDSHSFHRVTPQGFAIFSRDPYYKTAVQRFDVGLSNSFGSHTDSKLPRAPSQLPAGMFCDQQNDGGFVTSMKPQQCKDDYNFSSSQNRLKRRLDAFPTCTMQKATETPDRQCKRADSSAHPVKEIIIIDDVPESQTVVISDITRYNEGWRERQAVPSGISVPTIPVYNMSNVNPFTCYQSQDHPPLGGTPLLHNGNFHATATRLVNTSPVRWGCPSEGPSVLQQNPFVAASNSSGHPRSASLYYSPSFS >Potri.017G085300.3.v4.1 pep chromosome:Pop_tri_v4:17:9822018:9823612:-1 gene:Potri.017G085300.v4.1 transcript:Potri.017G085300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085300.v4.1 MAPFGFLLVGFLSIVSSVHGYYGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGANTAALSTALFDNGLSCGACFEIRCVNDPKWCLRGSIVVTATNFCPPGGWCDPPNKHFDLSQPVFQHIAQYRAGIVPVIYRRIRCRKSGGIRFTINGHSYFNLVLITNVGGAGDVRSVAIKGSRTRWQAMSRNWGQNWQSNAYLDGQSLSFLVTTSDGRRVVSYNVAPAGWSFGQTYSGGQFRY >Potri.019G003942.1.v4.1 pep chromosome:Pop_tri_v4:19:63218:73117:-1 gene:Potri.019G003942.v4.1 transcript:Potri.019G003942.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003942.v4.1 MASTDSESPFSSSSSSSGHRWNYDVFLSFRGEDTRKNFTDHLYTALGNAGIHTFRDDNELPRGEEISPQLLKAIEGSRISIVVFSKHYASSRWCLDELVKIIECRQKIGQVVLPIFYDTEPSDVRKQTGSYAKAFDEHEERFKEEMEKVNKWRGALAQAGNLSGFGLNNEANGYEAEFIKRIVSDVACKLGNKTLHVAKHPVGIYSRVQCIISLLKGAKPDVGIVGIHGIAGIGKTTIAKAVFNKLYFGFEGSSFLSDVKEISDKPNGLVELQERLLHDILKPRVWKVSNVYEGMNLIKERLHRKKILVVFDDVDKREQLEALMGERCWFGAGSIIIVVTKNKHLLTEVGVDGMYHAKELDRDQSLELFSLHAFRETHPAKDYEELSEKVVDYCKGLPLALQILGSHLSIRDKAGWEIDIAHWRNIPHDDIQGKLRVSFDALNVDTSEIFLDIACYFVGGDKEYVADIVGARYDCHPEVAFRTLIGRSLITIDTWNSLWMHDTLRKMGREIIRQRSRNHPGNCSRIVLPKDAYNVLSKELGTDAVEGLALDVQESYSTKSFTKMRRLKLLQINRANLVGSYSLLPKELIWLCWSGCPLKSLPSDFHLNDLVILDMQESNVRKLWKGTKILNKLKILNLSFSKYLAKTPNFRGLSSLERLILTKCPSLVEVHQSIGNLKSLVLLSLDYCRSLKTLPESMGNLKSLQTLNLFTNGTAIKQLPASARFLKKLTKLSFGGYNKVFYSTDLPSKSWFSRFSSWLSPQSCSSSIDMLPASFISFSSLKELNLSYSGLSEATSSIDLGSLCFLENLNLSGHEFFNLPSSISRLSKLQFLTVERCSNLLSISELPSSVLFLSINDCTSIERVSAPLQHERLPLLDVKGCGNLIEIQGIECAGNNWSILNLNDCNNLSENYKMSFIQGLCRGKHYDICLAGGEIPEWFSHRGEGSSLSFRLPSVSVADGNKLQALLLWVVSASSALKTTNEATDQETSFLQFDMCVATFKNKSNGIELFETMAAVTFDRNSTKHSWIQRISLIGSEESLQGVEELEVNVKISFYDVPICRVEKCGAHLIMEKNKADSDQEIDIDALGSDDQLLESSLTRELQKWKITCCSKFG >Potri.008G035500.1.v4.1 pep chromosome:Pop_tri_v4:8:1934077:1937774:-1 gene:Potri.008G035500.v4.1 transcript:Potri.008G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035500.v4.1 MAASLSTLHLHHQLTFFRKPSLSLLNKSIRPTRITASLEFNITFAPPKPKPKLPANLQTDAASLSLPPGQLFIPWIVRGEDGNLKLQSNPPARLIHAIADAKTQPKKKKDKVKKESSGNVKAKLEAEPTRSKAARRFYNENFRDQAQRLSKVLAAAGVASRRSSEALIFEGKVTVNGSVCNTPQTRVDPGRDSIYVNGNRLPKKLPPKIYIALNKPKGYICSLGEKESKSVMCLLDDYFQSWDKRNPGLPKPRLFTVGRLDVATTGLIIVTNDGDFAQQIAHPSSNLSKEYIATVDGVVSKRHLFAVSEGTVIEGVRCVPDSVELLPQQPDRPRPRLRIVVHEGRNHEVRELVKNAGLEIHSLKRVRIGGFRLPSDLGLGKHAELKQTDLKTLGWKC >Potri.018G133500.1.v4.1 pep chromosome:Pop_tri_v4:18:14275729:14276502:-1 gene:Potri.018G133500.v4.1 transcript:Potri.018G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133500.v4.1 MAYVDHAFSITDEDLMVETSYTVNNKPPIKEIALAVALLVFGVVGIVLGTFMTYNRVGGDRGHGLFFAILGVVLFIPGFYYTRIAYFAYKGYKGFSFSNIPPV >Potri.018G133500.2.v4.1 pep chromosome:Pop_tri_v4:18:14274698:14276661:-1 gene:Potri.018G133500.v4.1 transcript:Potri.018G133500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133500.v4.1 MAYVDHAFSITDEDLMVETSYTVNNKPPIKEIALAVALLVFGVVGIVLGTFMTYNRVGGDRGHGLFFAILGVVLFIPGFYYTRIAYFAYKGYKGFSFSNIPPV >Potri.005G073733.1.v4.1 pep chromosome:Pop_tri_v4:5:4909384:4912043:1 gene:Potri.005G073733.v4.1 transcript:Potri.005G073733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073733.v4.1 MECVAQGIIETQATNIVDGIFNLPFPSILWFSSSVQIKRNGVVASKSSFCQLGDEVKVKKPYTKMACHWLCSRPMVGWSRSVAPVNRSLLKFPCSNQ >Potri.001G377032.1.v4.1 pep chromosome:Pop_tri_v4:1:39659429:39659833:-1 gene:Potri.001G377032.v4.1 transcript:Potri.001G377032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377032.v4.1 MRETEREREQGKGNERNREGTGEWGNERNREGNRPRGRGNRGNRPRGRGNKEQGTWEWERGIDREGEGTGGIDREGEGTRNREQGNGRGESTEKEREQGMGEGNRPRGRGNREQGNGRGESTEREGEWERGMEL >Potri.002G151200.1.v4.1 pep chromosome:Pop_tri_v4:2:11540543:11542335:1 gene:Potri.002G151200.v4.1 transcript:Potri.002G151200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151200.v4.1 MDCNGYHQENHDQNLFPKPKVLVLEPPPVFKYHEDKLSQKFHFLKAWDSPLPLDQFLTTHAHSVQAILSHGTCPVTNSTIRLLPSLGLIVTTSSGLNQIDLQECRRRGVSVAYAGSLFSADVADIAVGLLIDALRKISAGNRYVTQGLWANNGDFSLGSKLGGRKVGIVGLGSIGLEVGKRLEPFGCNILYSSRNKKSSVSYPYYSNVCELAANCEVLIICCELNDQTRHMINKEVLLALGKKGLIINVGRGAIIDEQEMVRCLMQGEIAGAGLDVFENEPHVPSELIALDNVVLSPHRAVHTEETLMALVELVIGNLEAFFSNKPLLSPVILDE >Potri.011G043700.1.v4.1 pep chromosome:Pop_tri_v4:11:3418820:3420571:1 gene:Potri.011G043700.v4.1 transcript:Potri.011G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043700.v4.1 MNDLFSSSFKKYTDLKQQAQIDDMEAGKEGMNLDRFFEDVENIKEDMKTVERLYRSLQEANEESKTVHNAKTMKNLRSRMDMDVEQVLKRVKIIKGKLEALDRSNAAHRNIPGCGPGSSTDRTRTSVVSGLGKKLKDLMDNFQDLRARMAAEYKETVERRYFTITGERASDETIENLISSGESESFMQKAIQEQGRGQILDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVEAQGHQLNDIESHVAHASSFVRRGTEQLQEAREHQKSSRKWTCIAIIAGVVLIVVMLLPFLPQILALL >Potri.001G145700.3.v4.1 pep chromosome:Pop_tri_v4:1:12013278:12016861:1 gene:Potri.001G145700.v4.1 transcript:Potri.001G145700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145700.v4.1 MGLELGKINIKRFADGEIYVQLQESVRGCDVFLLQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANIITEAGANRILACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTIYSSDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPVAEKNHFPQLTVLSVASLLGETIWRVHDDCSVSSIFQ >Potri.001G145700.1.v4.1 pep chromosome:Pop_tri_v4:1:12012426:12017251:1 gene:Potri.001G145700.v4.1 transcript:Potri.001G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145700.v4.1 MASSLAVQSSPTQTASFFMSSSSLSRRSFAHISMSFNGPRTRISPMNTIKCDISEPLNFGNGKPTIPVLNDRTLPKFLESDRVEKTVSRNNIRLKLFSGTANPSLSQEIARYMGLELGKINIKRFADGEIYVQLQESVRGCDVFLLQPTCPPANENLMELLIMIDACRRASAKNITAVIPYFGYARADRKTQGRESIAAKLVANIITEAGANRILACDLHSGQSMGYFDIPVDHVYGQPVILDYLASKTIYSSDLVVVSPDVGGVARARAFAKKLSDAPLAIVDKRRHGHNVAEVMNLIGDVKGKVAVMVDDMIDTAGTIAKGAALLHEEGAREVYACCTHAVFSPPAIERLSSGLFQEVIITNTIPVAEKNHFPQLTVLSVASLLGETIWRVHDDCSVSSIFQ >Potri.010G147900.2.v4.1 pep chromosome:Pop_tri_v4:10:15865082:15876453:-1 gene:Potri.010G147900.v4.1 transcript:Potri.010G147900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147900.v4.1 MNRHRGGDRYSDSDNDNDNYNYNTSSYNNTIDNQDSSYPNRRPSRFSDAPSRFSDSPINRYSDNGNSNNYTNYNLRSPNNYHGGGGGRRAFDSPPGGGVGGDGGFRPMGGGGDGGFRPMGGAGGGFVPNYQVPPPLSLPPQNISGRKRGFHGSSPDRFDGGGGRSGFAFAKLFVGSVPRTATEMDIRPLFEEHGNVIEVALIKDKRTGQQQGCCFIKYATSEEADRAIRALHNQRTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEKEVEEIFTPYGRVEDVYLMRDEMKQSRGCGFVKYSHRDMALAAINGLNGIYTMRGCEQPLTVRFADPKRPRPGGDSRGGPAFGSPGAGPRFQASGLRPPPNLGDPMGDHIPPNAWLPMSPQNMGPSSNAGVHGFGNQLPPRSGDLAMPLNQTVNQSLQHLPPASQQISPLQKPLQSPQHLPPSLQLHAQAASSYPQTQTSHVSQLQSSLATGQTPFSQALPSQHMIGFGRQLPASQTEIQQGISSTVALQAPHSMSVAINQQQLPAPVQQQLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQMMQPSNQSTLQQSPQAPRQQWPPQAVASTPLPVDLPPSTSAPTAGVMSQTVAPVKCNWTEHTSPEGFKYYYNGVTHESRWEKPEELKLFEQQQQLHQKPPVQLPQPQTNPQVLPTQQVPQTQQVHLQTQFRHQQQQPQPSFSSTEHGYTQLPAAASSVNDSTRFQGRPVAQDWMWRNKPAGT >Potri.010G147900.3.v4.1 pep chromosome:Pop_tri_v4:10:15865152:15876402:-1 gene:Potri.010G147900.v4.1 transcript:Potri.010G147900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147900.v4.1 MNRHRGGDRYSDSDNDNDNYNYNTSSYNNTIDNQDSSYPNRRPSRFSDAPSRFSDSPINRYSDNGNSNNYTNYNLRSPNNYHGGGGGRRAFDSPPGGGVGGDGGFRPMGGGGDGGFRPMGGAGGGFVPNYQVPPPLSLPPQNISGRKRGFHGSSPDRFDGGGGRSGFAFAKLFVGSVPRTATEMDIRPLFEEHGNVIEVALIKDKRTGQQQGCCFIKYATSEEADRAIRALHNQRTLPGGVGPIQVRYADGERERLGAVEYKLFVGSLNKQATEKEVEEIFTPYGRVEDVYLMRDEMKQSRGVPLTLTSCCGFVKYSHRDMALAAINGLNGIYTMRGCEQPLTVRFADPKRPRPGGDSRGGPAFGSPGAGPRFQASGLRPPPNLGDPMGDHIPPNAWLPMSPQNMGPSSNAGVHGFGNQLPPRSGDLAMPLNQTVNQSLQHLPPASQQISPLQKPLQSPQHLPPSLQLHAQAASSYPQTQTSHVSQLQSSLATGQTPFSQALPSQHMIGFGRQLPASQTEIQQGISSTVALQAPHSMSVAINQQQLPAPVQQQLLQPLQQSPSQLAQMLSQQTQTLQASFQSSQQAFSQLQQQLQMMQPSNQSTLQQSPQAPRQQWPPQAVASTPLPVDLPPSTSAPTAGVMSQTVAPVKCNWTEHTSPEGFKYYYNGVTHESRWEKPEELKLFEQQQQLHQKPPVQLPQPQTNPQVLPTQQVPQTQQVHLQTQFRHQQQQPQPSFSSTEHGYTQLPAAASSVNDSTRFQGRPVAQDWMWRNKPAGT >Potri.011G080400.2.v4.1 pep chromosome:Pop_tri_v4:11:8675084:8677533:-1 gene:Potri.011G080400.v4.1 transcript:Potri.011G080400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080400.v4.1 MRNSHSFASLSVISYYAVLLPFAACLSHEDVKGHKGAQHNFNHKLSPKLVSDINLHGVLLWASMGFLMPLGVITVRMSHREEGGRRKALVYLHFVLQILSVLLATAGAIMSIKSFENSFYNNHQRIGLGLYGAIWVQAVVGFLRPRRGNKRRRTWYIVHWILGTVISLVGIINIYTGISAYHKKMSRSTRLLAILFTAQVSFMAFFYLFQDKWEYMQKQGVILGNIEVPITPTVCNQQNDHNQKVLVPEPCGKRNSLKNLFD >Potri.011G080400.3.v4.1 pep chromosome:Pop_tri_v4:11:8675093:8677533:-1 gene:Potri.011G080400.v4.1 transcript:Potri.011G080400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080400.v4.1 MGFLMPLGVITVRMSHREEGGRRKALVYLHFVLQILSVLLATAGAIMSIKSFENSFYNNHQRIGLGLYGAIWVQAVVGFLRPRRGNKRRRTWYIVHWILGTVISLVGIINIYTGISAYHKKMSRSTRLLAILFTAQVSFMAFFYLFQDKWEYMQKQGVILGNIEVPITPTVCNQQNDHNQKVLVPEPCGKRNSLKNLFD >Potri.011G080400.5.v4.1 pep chromosome:Pop_tri_v4:11:8675134:8677409:-1 gene:Potri.011G080400.v4.1 transcript:Potri.011G080400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080400.v4.1 MGFLMPLGVITVRMSHREEGGRRKALVYLHFVLQILSVLLATAGAIMSIKSFENSFYNNHQRIGLGLYGAIWVQAVVGFLRPRRGNKRRRTWYIVHWILGTVISLVGIINIYTGISAYHKKMSRSTRLLAILFTAQVSFMAFFYLFQDKWEYMQKQGVILGNIEVPITPTVCNQQNDHNQKVLVPEPCGKRNSLKNLFD >Potri.011G080400.4.v4.1 pep chromosome:Pop_tri_v4:11:8675134:8677409:-1 gene:Potri.011G080400.v4.1 transcript:Potri.011G080400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080400.v4.1 MRNSHSFASLSVISYYAVLLPFAACLSHEDVKGHKGAQHNFNHKLLIWTTSSSHIQQLSPKLVSDINLHGVLLWASMGFLMPLGVITVRMSHREEGGRRKALVYLHFVLQILSVLLATAGAIMSIKSFENSFYNNHQRIGLGLYGAIWVQAVVGFLRPRRGNKRRRTWYIVHWILGTVISLVGIINIYTGISAYHKKMSRSTRLLAILFTAQVSFMAFFYLFQDKWEYMQKQGVILGNIEVPITPTVCNQQNDHNQKVLVPEPCGKRNSLKNLFD >Potri.008G192000.1.v4.1 pep chromosome:Pop_tri_v4:8:13496152:13498416:-1 gene:Potri.008G192000.v4.1 transcript:Potri.008G192000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192000.v4.1 MDSPQRRKRKQQARIYKPPYAKFLSVRSLTVCLSFFVFVLFISSDRLPIHKGVSFRPVLRTSTLSLLPVFLTGNGGAHATSKSQYPRLVVEGRVLMPDHLMLIVSTKLTPPVDNLDCVYYDLVLEQVVLKPVLSVDEYHQFKSIVRCHLPPLNFSASVNLRGRQRGRLGNVVVERRDWLLRLNQSVVPSWDRVVYEAVLDWNAHTRNVVVFAKGLNLKPHREADARKFRCHFSLTDFDQHHRGLFVLTTQAIAAAQETVRCLLPRSILNNPDKAKDIRVTVGRRGDGNVEGADDAPLPSIAKLQNVNSHQGKSNTGKYELCACTMLWNQASFLREWIIYHAWLGIERWFIYDNNSDDELQEVIDELNLHDYNITRHAWPWVKTQEAGFSHCALRAKHECKWLGLFDVDEFFYFPHDRGQDIPGPNSLRALVMNYTDSPTYAEIRTVCHSYGPSGLTSSPTQGVTVGYTCRLEAPERHKSIVRPELLHTTLLNAVHHFRLQDGYRYLDLPESKAIVNHYKYQAWDTFKAKFFRRVSTYVTNWQDDQNKGSKDRAPGLGTEAIEPHNWRLQFCEVWDTGLKDFVMANFADSASGFLPWERSLA >Potri.004G013500.1.v4.1 pep chromosome:Pop_tri_v4:4:834668:838173:-1 gene:Potri.004G013500.v4.1 transcript:Potri.004G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013500.v4.1 MSKSTSTSSSSASTTPSPSIKPESYAHSPVHYAVVLGDHTTLTRLLSTLPRLTDPTKIHTESDSLSQEQLVDKISSLVDRRDVPYRETPLHLAVRLNDLFAAKALAAAGADVSLQNSAGWNPLQEALCRRYSEIALILLRLHHRSAWSKWRRRLPRLTAVLRRMRDFYMEISFHFESSVIPFVNKLAPSDTYKIWKRDANLRADTTLAGFDGLKIQRADQSFLFLGDGEQTHSIPPGSLLVLNHDERKIFDAFESAGSPMSESDIAGFCSQTSVYRPGMDVTKAELISRTNWRRQEKTESVGEWKAKVYELNNVVFSFRSRKVSENDVAGSEQVLPLELDEDGDGFLVAENPSFLNFEFNNGNESKRRHSSFVREEREFVSVGRKSVDIYPSSTVAERRRVVAVPEKVKEKEYVRSLKPSVWLTEQFPLKIEELLPLLDILANKVKAVRRMRELLTTKFPAGTFPVKVAIPVVPTVRVVITFTKFVELPPTEQFYTPLSSPRHFGGLVGSRAGISDDDKKSDTHYSSLTPSSSSSSTSWLRRNSSQSASKQHHRHSSSVGRQQQQADPFAIPSGYTWTSVDDKSSKMKKSKSVRKSK >Potri.010G143600.1.v4.1 pep chromosome:Pop_tri_v4:10:15622352:15624537:-1 gene:Potri.010G143600.v4.1 transcript:Potri.010G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143600.v4.1 MEGMNRGKYEPDGWEEFGTGSNDCFSSDYVLPHKDSSEGGCRSSKFEQLEQQKEPFLDYRQFEDLELDVLCPLLPACPNQIAMLREIQEEIEDIVAPKMQNERPFSLSTLELLKDYTKGRRRLNVEPSDANLAGQKLSTEEIMRFAGVKFIQSSIQMAGVGSLLKYPYDLSFSGLSDEETKNVELAECMLASAEKVGNQQYDSARRLLNQCDLLSSNTGNPVQRVVYYFSEALRKRIDRETGKVASESLESDLFDVYEAVMIPNPIIQACYEGIPFYQVPHFAGTQAILENMAEAKRIHVIDLKISNGLQWTVLMHALASRNECPLELLKITAVGTNSKQHIEDTGNRLKSFAQTTNIPFSFKIVMVSSMLDLKEDLFELDADEQLAVYSEYALKSLIVQPNQLGHLMEVFRSINPCVLVMIEIEANHNSRVFVHRFIETLFYFSAYFDCVDACLEHNDPSSRMIIESIYLGEGIRNIVASEGEERKIRNVKIDVWRKFLAQFGMVETELSEASLHQANFVIKKFAFGSCCTFDTDGKSLLIGWKGTPILSLSTWKFMSQD >Potri.014G115500.1.v4.1 pep chromosome:Pop_tri_v4:14:7765404:7768135:-1 gene:Potri.014G115500.v4.1 transcript:Potri.014G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115500.v4.1 MVVEAEVVSVPVLDVQYFAAKGNSPTAAAVHEIEDVVTVSPSPRRLSQVRISDSVPADQLDIISSKKISDAEAIESAVLQFVPSIRSGSFADIGPRRYMEDEHIRIDDLSVQLGPVFKFPKPSAFYGVFDGHGGPEAAAYIRKNAMRIFFEDANFPQTSEVDNIFLEEVENSLRKAFHLADLALADDCSVNTSSGTTALTAFVFGRLLMVANAGDCRAVLCRKGEAIDMSQDHRPIYPSERRRVEELGGYIDDGYLNGVLSVSRALGDWDMKLPRGSPSPLIAEPEFRKFVLTEEDEFLIIGCDGIWDVMSSQHAVSLVRHGLRRHDDPEQCARDLVMEALRRNTFDNLTVIIVCFSSTDYQEPSPPTPRQRKQRCFSLSTEALCSLRNLLEGSANR >Potri.006G074400.6.v4.1 pep chromosome:Pop_tri_v4:6:5439075:5444196:-1 gene:Potri.006G074400.v4.1 transcript:Potri.006G074400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074400.v4.1 MLIIPGLVYGKLLGEVGKKIQEAYGVAGGIVEQAVSSIRTVYSYVAEERTAKDYKNALKPALELGIKQGLMKGMAIGTVGITFAVWALQGWYGSTLVINRGAKGGNVFTAGLCIIYGGLSLGGSLINVKYFIEANIAAARIFEMIHRVPGIDSADEQGKTISDVKGEVEFRDIEFEYPSRPGSLVLNKFNLKVMSGQTVGLVGTSGSGKSTVINLLERFYEPLRGDILLDGVDIKTLQLKWLRNQMGLVTQEPVLFATSIKENILFGKEDASMEEVIRAAKAANAHNFINKLSEKYNTLVGQMGTQMSEGQKQRISIARALLRNPKILLLDEATSALDSISEKAVQDALNQASIGRTTIIIAHHLSALRNADLIAVIQSGQVGEFGSHEQLIQNSSGTYAVMVKLQRTYMNDEVLLEAEDTEDGSAFPVHDGTIRVGETPDKSLSRNSSFGMITNQQQEDDSSPNLRQLISMTAPEWKSTLLGCVGALGYGLIPPLNSFCLGALLAVYFENDHTEIRSQTRIYCFAFLAFAVFTFLTNVIQHYYFGIMGEKLTERVREAVFEKILTFEIEWFDQENNSSGAICARLATDAVMVRTLVTDRLSLLTQAVSSATLAVVLALMLSWRLALVAIALEPGVIAAVYLREMTMRIMSKKILKAQSESSELASEAFGNHKIITAFGSQEKVLKLYDRTQVSSKKESNKQSWYAGVGLFVSQFLTSALIAVICWYGGKLLFQKKITYKHLFQIFFILVSTGRVIAETASMTADLSKGTSALKSVFQILQRETKMDPENPDGIKTEKINGEIEFKQVYFIYPARPKQIILRGLDLKIEAAKFVALVGRSGSGKSTIIRLIEGFYDTLSGSIEVDGIDIMRYNLRALRSHIALVSQEPTLFAGTIRDNIAYAKQNATEAEIIEASSIANAHEFISSLRDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDVNSEKLVQEALERTMSGRTSLVLAHRLSTIQKADKIVVIDKGRVIEEGNHSELLALGEKGAYYALVKLQQLSVI >Potri.006G074400.7.v4.1 pep chromosome:Pop_tri_v4:6:5439071:5442512:-1 gene:Potri.006G074400.v4.1 transcript:Potri.006G074400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074400.v4.1 MIHRVPGIDSADEQGKTISDVKGEVEFRDIEFEYPSRPGSLVLNKFNLKVMSGQTVGLVGTSGSGKSTVINLLERFYEPLRGDILLDGVDIKTLQLKWLRNQMGLVTQEPVLFATSIKENILFGKEDASMEEVIRAAKAANAHNFINKLSEKYNTLVGQMGTQMSEGQKQRISIARALLRNPKILLLDEATSALDSISEKAVQDALNQASIGRTTIIIAHHLSALRNADLIAVIQSGQVGEFGSHEQLIQNSSGTYAVMVKLQRTYMNDEVLLEAEDTEDGSAFPVHDGTIRVGETPDKSLSRNSSFGMITNQQQEDDSSPNLRQLISMTAPEWKSTLLGCVGALGYGLIPPLNSFCLGALLAVYFENDHTEIRSQTRIYCFAFLAFAVFTFLTNVIQHYYFGIMGEKLTERVREAVFEKILTFEIEWFDQENNSSGAICARLATDAVMVRTLVTDRLSLLTQAVSSATLAVVLALMLSWRLALVAIALEPGVIAAVYLREMTMRIMSKKILKAQSESSELASEAFGNHKIITAFGSQEKVLKLYDRTQVSSKKESNKQSWYAGVGLFVSQFLTSALIAVICWYGGKLLFQKKITYKHLFQIFFILVSTGRVIAETASMTADLSKGTSALKSVFQILQRETKMDPENPDGIKTEKINGEIEFKQVYFIYPARPKQIILRGLDLKIEAAKFVALVGRSGSGKSTIIRLIEGFYDTLSGSIEVDGIDIMRYNLRALRSHIALVSQEPTLFAGTIRDNIAYAKQNATEAEIIEASSIANAHEFISSLRDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDVNSEKLVQEALERTMSGRTSLVLAHRLSTIQKADKIVVIDKGRVIEEGNHSELLALGEKGAYYALVKLQQLSVI >Potri.006G074400.5.v4.1 pep chromosome:Pop_tri_v4:6:5439072:5444279:-1 gene:Potri.006G074400.v4.1 transcript:Potri.006G074400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074400.v4.1 MLIIPGLVYGKLLGEVGKKIQEAYGVAGGIVEQAVSSIRTVYSYVAEERTAKDYKNALKPALELGIKQGLMKGMAIGTVGITFAVWALQGWYGSTLVINRGAKGGNVFTAGLCIIYGGLSLGGSLINVKYFIEANIAAARIFEMIHRVPGIDSADEQGKTISDVKGEVEFRDIEFEYPSRPGSLVLNKFNLKVMSGQTVGLVGTSGSGKSTVINLLERFYEPLRGDILLDGVDIKTLQLKWLRNQMGLVTQEPVLFATSIKENILFGKEDASMEEVIRAAKAANAHNFINKLSEKYNTLVGQMGTQMSEGQKQRISIARALLRNPKILLLDEATSALDSISEKAVQDALNQASIGRTTIIIAHHLSALRNADLIAVIQSGQVGEFGSHEQLIQNSSGTYAVMVKLQRTYMNDEVLLEAEDTEDGSAFPVHDGTIRVGETPDKSLSRNSSFGMITNQQQEDDSSPNLRQLISMTAPEWKSTLLGCVGALGYGLIPPLNSFCLGALLAVYFENDHTEIRSQTRIYCFAFLAFAVFTFLTNVIQHYYFGIMGEKLTERVREAVFEKILTFEIEWFDQENNSSGAICARLATDAVMVRTLVTDRLSLLTQAVSSATLAVVLALMLSWRLALVAIALEPGVIAAVYLREMTMRIMSKKILKAQSESSELASEAFGNHKIITAFGSQEKVLKLYDRTQVSSKKESNKQSWYAGVGLFVSQFLTSALIAVICWYGGKLLFQKKITYKHLFQIFFILVSTGRVIAETASMTADLSKGTSALKSVFQILQRETKMDPENPDGIKTEKINGEIEFKQVYFIYPARPKQIILRGLDLKIEAAKFVALVGRSGSGKSTIIRLIEGFYDTLSGSIEVDGIDIMRYNLRALRSHIALVSQEPTLFAGTIRDNIAYAKQNATEAEIIEASSIANAHEFISSLRDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDVNSEKLVQEALERTMSGRTSLVLAHRLSTIQKADKIVVIDKGRVIEEGNHSELLALGEKGAYYALVKLQQLSVI >Potri.006G074400.4.v4.1 pep chromosome:Pop_tri_v4:6:5439069:5444419:-1 gene:Potri.006G074400.v4.1 transcript:Potri.006G074400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074400.v4.1 MAAELSRDEAKRKTSDASSGSLQTVLKQSDWMDMLLMALGSMGSVADGSSMAIIMIILCDLMNKYSGTSVTIEEINKFALTLTYVAVGVASASFLEGFCWARTAERQTFRLRRQYLQAVLRQDVGFFDTNQGASLASQVVSNISVNTLTIQGVLSEKIANFISNITTFITGQAAALYLSWRLAIVAIPALLMLIIPGLVYGKLLGEVGKKIQEAYGVAGGIVEQAVSSIRTVYSYVAEERTAKDYKNALKPALELGIKQGLMKGMAIGTVGITFAVWALQGWYGSTLVINRGAKGGNVFTAGLCIIYGGLSLGGSLINVKYFIEANIAAARIFEMIHRVPGIDSADEQGKTISDVKGEVEFRDIEFEYPSRPGSLVLNKFNLKVMSGQTVGLVGTSGSGKSTVINLLERFYEPLRGDILLDGVDIKTLQLKWLRNQMGLVTQEPVLFATSIKENILFGKEDASMEEVIRAAKAANAHNFINKLSEKYNTLVGQMGTQMSEGQKQRISIARALLRNPKILLLDEATSALDSISEKAVQDALNQASIGRTTIIIAHHLSALRNADLIAVIQSGQVGEFGSHEQLIQNSSGTYAVMVKLQRTYMNDEVLLEAEDTEDGSAFPVHDGTIRVGETPDKSLSRNSSFGMITNQQQEDDSSPNLRQLISMTAPEWKSTLLGCVGALGYGLIPPLNSFCLGALLAVYFENDHTEIRSQTRIYCFAFLAFAVFTFLTNVIQHYYFGIMGEKLTERVREAVFEKILTFEIEWFDQENNSSGAICARLATDAVMVRTLVTDRLSLLTQAVSSATLAVVLALMLSWRLALVAIALEPGVIAAVYLREMTMRIMSKKILKAQSESSELASEAFGNHKIITAFGSQEKVLKLYDRTQVSSKKESNKQSWYAGVGLFVSQFLTSALIAVICWYGGKLLFQKKITYKHLFQIFFILVSTGRVIAETASMTADLSKGTSALKSVFQILQRETKMDPENPDGIKTEKINGEIEFKQVYFIYPARPKQIILRGLDLKIEAAKFVALVGRSGSGKSTIIRLIEGFYDTLSGSIEVDGIDIMRYNLRALRSHIALVSQEPTLFAGTIRDNIAYAKQNATEAEIIEASSIANAHEFISSLRDGYETYCGERGVQLSGGQKQRIALARAILKNPAILLLDEATSALDVNSEKLVQEALERTMSGRTSLVLAHRLSTIQKADKIVVIDKGRVIEEGNHSELLALGEKGAYYALVKLQQLSVI >Potri.014G008400.1.v4.1 pep chromosome:Pop_tri_v4:14:548744:552228:1 gene:Potri.014G008400.v4.1 transcript:Potri.014G008400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G008400.v4.1 MSWLARSIANSLKFEDDDQQPDKNTTATTTSAPTTDNVNNNKDLSNSESDQQQSATPRGVKEDLTDLKKTLTRQLWGVASFLAPPPEPPLTENRSHTSDPDGSNDDDDDAALIAGIRSDFAEIGGRFKSGITKLSSNKTVSEFTKIASNLLQLGSESHEIGTTASAVGVTEEVVGFARDVAMHPETWLDFPLPDVQGFEDFDMSDAQQEHALAVEHLAPRLAALRIELCPGYMSEGCFWKIYFVLLHPRLSKHDAELLSTPQIVEARAMLSHELQNKAKAKSTPDWSGVDTSNVKADLPHEESLSVPSRAKSESVPIMTSGIEAVSPTLAAKTSDNEAAPSFVSVESETEKHPVESTQMQIIDKSVVEEGKVDQTKHQHSSSSSSSGILEEKFDDDGDDWLKDDSSEMIGVSGSSMPLGNDEDVSFSDLEEDDGDEPASYKKVASGSDCATKGSQDWVQLSRSSADSVKDIKPVSIKNAGSEKVSARNSENKESSDWLDVDDIDVI >Potri.016G040500.1.v4.1 pep chromosome:Pop_tri_v4:16:2516227:2522360:-1 gene:Potri.016G040500.v4.1 transcript:Potri.016G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040500.v4.1 MTLEDSLRTLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKYFCGPDPPSGLDPSGSRINLVGSPGSRSNVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGVRGCWHTHCTSAVDLALDTLAAARYFGVEQLAMLTQKQLASMVDNASIDDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSIARRSLMPHHHHHLHDLTAAADLEDQKIRRMKRALDSSDVELVKLMVMGEGLNLNEALALHYAVENCSREVVKALLELGAADVNYPAGPAGKTPLHIAAEMVSPDMVSVLLDHHADPNVRTVDGITPLDILRTLTSDFLFKGAVPGLVHIEPNKLRLCLELVQSAASVLSREEGSVNATTSNPIYPPMSDEHNSSHSSQRDHHAMSRHDPTMYRHHSHDF >Potri.016G131100.1.v4.1 pep chromosome:Pop_tri_v4:16:13453218:13454367:1 gene:Potri.016G131100.v4.1 transcript:Potri.016G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131100.v4.1 MATRQAPFNPVPAMRTYPPAEHPVVVIGPVQYLAQYPVELDVNSDFKVSDINGTLIFQVKSKLLSPHDRRFLKDAAGNTLVNLRQKIRTMHGRWEAFRGKSKEKNDLLFTAKKSKLFQFKTELDVFLGNNKGEVPDFKVKGGYSKSSCSILLGDSNTMLAQVHGRHALAIMPNVDYAFIVALLVVILDKINANDDGNGAINGFIIGFVLSS >Potri.006G178500.1.v4.1 pep chromosome:Pop_tri_v4:6:18471324:18473205:1 gene:Potri.006G178500.v4.1 transcript:Potri.006G178500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178500.v4.1 MPALEDELFPSTPGKFKIDRAHTMNRHFHRCFGSTSTMFLWALFLVALTASYLSFQSFVYTGSRYLTASWGGIQWEKQIRHSAQIHRSNGMSVLVTGAAGFVGSHVSLALKKRGDGVVGIDNFNNYYDPSLKRARKSLLNNQGIFIVEGDINDARLIAKLFDTVAFTHVMHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEACKSAYPQPSVVWASSSSVYGLNENVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDRADLARDFTFIDDIVKGCVGSLDTSGKSTGSGGKKRGPAPYRIFNLGNTSPVTVPTLVSLLERHLKVKAKRNFVDMPGNGDVPFTHANISLAHRELGYKPTTDLATGLKKFVKWYLSYYGYNHGKAVN >Potri.006G178500.2.v4.1 pep chromosome:Pop_tri_v4:6:18472159:18473234:1 gene:Potri.006G178500.v4.1 transcript:Potri.006G178500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178500.v4.1 MHLAAQAGVRYAMENPHSYVHSNIAGLVTLLEACKSAYPQPSVVWASSSSVYGLNENVPFSESDRTDQPASLYAATKKAGEEITHTYNHIYGLSITGLRFFTVYGPWGRPDMAYFSFTRNILQGKPITVYRGKDRADLARDFTFIDDIVKGCVGSLDTSGKSTGSGGKKRGPAPYRIFNLGNTSPVTVPTLVSLLERHLKVKAKRNFVDMPGNGDVPFTHANISLAHRELGYKPTTDLATGLKKFVKWYLSYYGYNHGKAVN >Potri.014G104500.15.v4.1 pep chromosome:Pop_tri_v4:14:6990680:6996280:-1 gene:Potri.014G104500.v4.1 transcript:Potri.014G104500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104500.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVEDQLDEDGEDDAGDPHAEENVEEEYEDSKTEGSQKDQSPEADRIVANTEPAEDEQKPPASVNEEEKEKHAQLLALPPHGSEVFIGGLPRDVIEDELRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVARKAIEELHSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGVEVIELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGTPPDHSAASSQVKALYVKNIPENTSTEQLKGLFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAVRDAEKYEIDGQVLEVVLAKPQADKKPDAAYPYNAGVHPNPVPLPAYSGFAGNPYGSLGTGFGVASSFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRVDRNNGPSGPGRAGNSSDDGNRGRRYRPY >Potri.014G104500.17.v4.1 pep chromosome:Pop_tri_v4:14:6990555:6996258:-1 gene:Potri.014G104500.v4.1 transcript:Potri.014G104500.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104500.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVEDQLDEDGEDDAGDPHAEENVEEEYEDSKTEGSQKDQSPEADRIVANTEPAEDEQKPPASVNEEEKEKHAQLLALPPHGSEVFIGGLPRDVIEDELRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVARKAIEELHSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGVEVIELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGTPPDHSAASSQVKALYVKNIPENTSTEQLKGLFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAVRDAEKYEIDGQVLEVVLAKPQADKKPDAAYPYNAGVHPNPVPLPAYSGFAGNPYGSLGTGFGVASSFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRVDRNNGPSGPGRAGNSSDDGNRGRRYRPY >Potri.014G104500.12.v4.1 pep chromosome:Pop_tri_v4:14:6990616:6996274:-1 gene:Potri.014G104500.v4.1 transcript:Potri.014G104500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104500.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVEDQLDEDGEDDAGDPHAEENVEEEYEDSKTEGSQKDQSPEADRIVANTEPAEDEQKPPASVNEEEKEKHAQLLALPPHGSEVFIGGLPRDVIEDELRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVARKAIEELHSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGVEVIELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGTPPDHSAASSQVKALYVKNIPENTSTEQLKGLFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAVRDAEKYEIDGQVLEVVLAKPQADKKPDAAYPYNAGVHPNPVPLPAYSGFAGNPYGSLGTGFGVASSFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRVDRNNGPSGPGRAGNSSDDGNRGRRYRPY >Potri.014G104500.16.v4.1 pep chromosome:Pop_tri_v4:14:6990854:6996276:-1 gene:Potri.014G104500.v4.1 transcript:Potri.014G104500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104500.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVEDQLDEDGEDDAGDPHAEENVEEEYEDSKTEGSQKDQSPEADRIVANTEPAEDEQKPPASVNEEEKEKHAQLLALPPHGSEVFIGGLPRDVIEDELRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVARKAIEELHSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGVEVIELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGTPPDHSAASSQVKALYVKNIPENTSTEQLKGLFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAVRDAEKYEIDGQVLEVVLAKPQADKKPDAAYPYNAGVHPNPVPLPAYSGFAGNPYGSLGTGFGVASSFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRVDRNNGPSGPGRAGNSSDDGNRGRRYRPY >Potri.014G104500.14.v4.1 pep chromosome:Pop_tri_v4:14:6990541:6996281:-1 gene:Potri.014G104500.v4.1 transcript:Potri.014G104500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104500.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVEDQLDEDGEDDAGDPHAEENVEEEYEDSKTEGSQKDQSPEADRIVANTEPAEDEQKPPASVNEEEKEKHAQLLALPPHGSEVFIGGLPRDVIEDELRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVARKAIEELHSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGVEVIELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGTPPDHSAASSQVKALYVKNIPENTSTEQLKGLFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAVRDAEKYEIDGQVLEVVLAKPQADKKPDAAYPYNAGVHPNPVPLPAYSGFAGNPYGSLGTGFGVASSFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRVDRNNGPSGPGRAGNSSDDGNRGRRYRPY >Potri.014G104500.13.v4.1 pep chromosome:Pop_tri_v4:14:6989936:6996282:-1 gene:Potri.014G104500.v4.1 transcript:Potri.014G104500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104500.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVEDQLDEDGEDDAGDPHAEENVEEEYEDSKTEGSQKDQSPEADRIVANTEPAEDEQKPPASVNEEEKEKHAQLLALPPHGSEVFIGGLPRDVIEDELRDLCEPIGEIFEIRLMKDKDSGESKGFAFVAFKSKEVARKAIEELHSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGVEVIELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGTPPDHSAASSQVKALYVKNIPENTSTEQLKGLFQRHGDVTKVVMPPGKAGKRDFGFIHYAERSSALKAVRDAEKYEIDGQVLEVVLAKPQADKKPDAAYPYNAGVHPNPVPLPAYSGFAGNPYGSLGTGFGVASSFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPRPRRVDRNNGPSGPGRAGNSSDDGNRGRRYRPY >Potri.003G158500.2.v4.1 pep chromosome:Pop_tri_v4:3:16925298:16930295:-1 gene:Potri.003G158500.v4.1 transcript:Potri.003G158500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158500.v4.1 MSVHNSSSVVFLTKSPSPAALLSPSCRLNMIDSRDNYFRTRNCKLIMHSIIAGSSGNGSGGSGPAGAGGGDGGVKKKGVPNSNYVVPLDNSLASAYTSCITRPLSEILRDLNKRIPDNIIKPPNSSSTLIPWFHANRMLSFYAPGWCGEIRDVIFAENGSVTVVYRVTIRGSDGEAHRESSGTVSSSDVAIEDPVAAAEEIAFCRACARFGLGLYLYHEEQIL >Potri.003G193100.5.v4.1 pep chromosome:Pop_tri_v4:3:19605616:19613600:1 gene:Potri.003G193100.v4.1 transcript:Potri.003G193100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193100.v4.1 MASLGFRPPQFSEDLAWLPPWLQHPQSESPSPEAEANQEFNGLINNGKDVEILSIEEQGRNYYCNNFHLFLSSGEDNNTQYSITPSPGNLLHLRLRLSSDSDLHSSQSQLLYGNERLHDSSKALPLKQVETSGGVGEAIQLKMDSVGGGVIPSLTSAPISMENADPRDFTSNSDSGKQYEERKGQNASCMMHDSRLISIPTTAENAGPQSATNYKDRGCQHEEKCNLICIKDADISDAVELSISASEALVIHKFVKTGSSSDALTKQAILEAALHIKQARLESSEDAFGCPSDEADEIDFLSDLDDSIMEDAYLDVGLSFSAHGDEHLHDLDVSQVEETPVLENHHLEKGSEHVQLLPQQNNADDDSDLGSNPSDAACLGDHILTQPAEKLSESSSGAKPPVDVNSFHACSAEKAEGAREVHYLIADRFKSRWFGGWALEEGDASAKLKQNSPKSIPKFFVGETSFLSESADVAPDENSFVQKHETRSNIGSQSSIPFEALHDKQEVISSDLSLVDPLCSVVPCSISLENAISPSVQNNRKVDAENCFNPKTDTGTENFQKTSHLKAEPVFMDSQTVPIIMGQCSNAPVRRRVASLRTYSTLSPNCDAVLEREGPCHNGRYSSGHVRNLLASHQEMGCIRLSDQRNSKGVLPFKSVFESTDGRDNEENQDVVRNLVAEITCQKRSHDQPTKDRTEMKVKPSVQRRSPLILNRRTRCRPQASELFTHNLTGEISPEQAVGQENIIKLHPSKNAEKIKLKWENSFGARNPVRKRVCFSEVEVDLYQNKDLRKPQTLHRNGSTIRADKKKNNGNTCSEVQPQDVKSSFTCQIKDAKRLIFHGLEFLLTGFSHKKEKEIIEIIQIYGGMIVLDIPPVPNSRLKRVSRSNLQHLPVVICSKKLQTTKFLYGCAMNALILKLKWLTDSVAAGSVVSPDKYMIISNQAYLKCTRIGKSVCCNHRKHIFDRVGIVLHGKHRFCTKLTVIVKHAHGQVFKTLQSLVESLDSEKISMGAIVTENETRELRHLRYCASERKIPMMPASWIAKSLHLGKLLPFIEDEATPSVIEVPKCTTSMDWSQEI >Potri.013G095100.2.v4.1 pep chromosome:Pop_tri_v4:13:9782080:9786368:-1 gene:Potri.013G095100.v4.1 transcript:Potri.013G095100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095100.v4.1 MSRSKSEPPSCCSTTASSTSRSPVASTELKLYQAFIFSVPIFFTFILLFLFYLFYLRRRRVDWASIRMQASLQDHTVDISRVEMGLKKELREMLPIIVYKESFSVRDTQCPVCLGDYQAEDKLQQIPACGHTFHMDCIDHWLANHITCPLCRLSLLPSVKAPSEPPRNQVETVQESSAAENGEEASVQPRPESCEESQATPLSQSMDEESGTLQNSAGEEQRSECADQGREFRFARNEAEEHENSRDSSAQCHA >Potri.013G095100.5.v4.1 pep chromosome:Pop_tri_v4:13:9782080:9786449:-1 gene:Potri.013G095100.v4.1 transcript:Potri.013G095100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095100.v4.1 MSRSKSEPPSCCSTTASSTSRSPVASTELKLYQAFIFSVPIFFTFILLFLFYLFYLRRRRVDWASIRMQASLQDHTVDISRVEMGLKKELREMLPIIVYKESFSVRDTQCPVCLGDYQAEDKLQQIPACGHTFHMDCIDHWLANHITCPLCRLSLLPSVKAPSEPPRNQVETVQESSAAENGEEASVQPRPESCEESQATPLSQSMDEESGTLQNSAGEEQRSECADQGREFRFARNEAEEHENSRDSSAAQCHA >Potri.014G138200.2.v4.1 pep chromosome:Pop_tri_v4:14:9402497:9408631:-1 gene:Potri.014G138200.v4.1 transcript:Potri.014G138200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138200.v4.1 MMVKLCLMASHGYPSAPAIVFHQDQKRVFKDCQPYLPSQGTRQEITRLNSLVLKLHQHEEPWRPMNRFCESNRFTEIDSTVRTPTLIDVQDARPDSVLFSFGIVEKCTRQEKILQFLMSESNKLERDGLDLSLLSELMGLQTVMFDAQQLSHSPLIYPSGQLDAPKSLVDFVADMVCSSKLTVLPDGRVLLTGSGTEMKDVLSTVAEFYLSKNSTMWKKQSMLIPKLTRFDTSKVDANITGSSFKARDASSATLKSPVKIKPSRKKKNNRKGGRERDLYKRNYFHACESLLSLMMDKRRGKTAVLLLKKSGPELPELLNQFSVGIAGAGLALLFSIICRVACGRVSFCASKLFSTSVGLGLVWLSWAVSKLKDTVVYISKHASKLGLKDEEIMGIVNESFRDIYFRAVTVMAVAVLRLV >Potri.012G123700.4.v4.1 pep chromosome:Pop_tri_v4:12:14072999:14075928:1 gene:Potri.012G123700.v4.1 transcript:Potri.012G123700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123700.v4.1 MASRLLWASRAASYLRISVSHRGFASVVKDLKYAESHEWVKVDGKTATVGITDHAQDHLGDVVYVELPEVGVTVNQGSGFGAVESVKATSDVYSPVSGDVVEVNEELNSSPGLVNSSPYEKGWIMKVEIKDDSELKNLKNSDEYAKFCEEEDAKH >Potri.002G239451.1.v4.1 pep chromosome:Pop_tri_v4:2:23187333:23188323:1 gene:Potri.002G239451.v4.1 transcript:Potri.002G239451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239451.v4.1 MRPISHLLLPFETHLWSRVKCELKNPEKDLDSFFSTLAKRLRENLMQSLHAYPCQCPRRVPPGKP >Potri.002G239451.2.v4.1 pep chromosome:Pop_tri_v4:2:23187520:23187865:1 gene:Potri.002G239451.v4.1 transcript:Potri.002G239451.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239451.v4.1 MRPISHLLLPFETHLWSRVKCELKNPEKDLDSFFSTLAKRLRENLMQSLHAYPCQCKVPPGKP >Potri.002G086000.1.v4.1 pep chromosome:Pop_tri_v4:2:6148804:6151388:-1 gene:Potri.002G086000.v4.1 transcript:Potri.002G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086000.v4.1 MRSQCIWTPCEVLTPLSFKPSTFFTFSFSKQLLLPDKSIAAISSTRRGISSYPSLMASSPSLKDAVSTQNESTQKTQQPLQQVAKRLEKFKTTIFTQMSSLAIKHGAINLGQGFPNFDGPEFVKEAAIQAIKDGKNQYARGYGVPDFSSAIAERFKKDTGLVVDPEKEITVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKCITLHPPDFAVPIDELKSAITQDTRAVLINTPHNPTGKMFSREELSTIASLCIENDVLVFTDEVYDKLAFELDHISMASLPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPESYFVELKRDYMAKKEILVEGLKAVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEGTLRAAVDRMKEKLKRK >Potri.002G086000.2.v4.1 pep chromosome:Pop_tri_v4:2:6148792:6151493:-1 gene:Potri.002G086000.v4.1 transcript:Potri.002G086000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086000.v4.1 MRSQCIWTPCEVLTPLSFKPSTFFTFSFSKQLLLPDKSIAAISSTRRGISSYPSLMASSPSLKDAVSTQNESTQKTQQPLQVAKRLEKFKTTIFTQMSSLAIKHGAINLGQGFPNFDGPEFVKEAAIQAIKDGKNQYARGYGVPDFSSAIAERFKKDTGLVVDPEKEITVTSGCTEAIAATMLGLINPGDEVILFAPFYDSYEATLSMAGAKIKCITLHPPDFAVPIDELKSAITQDTRAVLINTPHNPTGKMFSREELSTIASLCIENDVLVFTDEVYDKLAFELDHISMASLPGMYERTVTLNSLGKTFSLTGWKIGWAIAPPHLTWGVRQAHSFLTFATSTPMQWAAAVALRAPESYFVELKRDYMAKKEILVEGLKAVGFKVFPSSGTYFVVVDHTPFGLENDIAFCEYLIKEVGVVAIPTSVFYLNPEDGKNLVRFTFCKDEGTLRAAVDRMKEKLKRK >Potri.005G003400.4.v4.1 pep chromosome:Pop_tri_v4:5:298473:308374:1 gene:Potri.005G003400.v4.1 transcript:Potri.005G003400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003400.v4.1 MQLPPRHQLQHFKTLSLQRIFVLMMGSKTGEGSRVDLWSEIIAEEEGGGGRQSLQQQQQQPIYQRRRRQKTPQNQITSQDANLKQLELKDKDIRVSFGGGGRATKRVSWNRSLSTRGRISIAVAACVDNQPQQKQARKRGKPPVPKGKAVQPPNFEKEKQYFEEVDAFELLEESPSPKSSSTWATGNETDAVVIPHMSSRLEKWLIAKKLNYSCEPSSTLSKILETPTMPPLGPMSGNDFNIVDVITPEKAVFETNSKLHSVHSRIRSYLPSKGVLERNSLAQKSSSMFVGHEGCEDIEGAVKKLSLASTSSLSDHDYVDPVTALLAVCGQSVPSTLLEVFSKYCEPENIVKVGEGTYGEAFKAGNTVCKIVPIDGDLLVNGEVQKRSEELLEEVILSRTLNNLRSHDADFDNSCTTFIETLDLRVCQGPYDPSLVKAWEYWDEKHGSENDHPKEFPEKQCYVVFVLQHGGKDLESFVLSNFDEAQSLLVQVTAGLAVAEAAYEFEHRDLHWGNILLRRNESATVQFILEGKKMIFRTSGLLISIIDFTLSRINTGQDILFLDLTSDPYLFKGPKGDRQAETYRKMKEVTEDFWEGSFPKTNVLWLLYLVDILLLKKSFDRSSKNERDMRSFKKRLSKYNSAKEAIVSDPFFSNLLVV >Potri.005G003400.5.v4.1 pep chromosome:Pop_tri_v4:5:298473:309002:1 gene:Potri.005G003400.v4.1 transcript:Potri.005G003400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003400.v4.1 MQLPPRHQLQHFKTLSLQRIFVLMMGSKTGSRVDLWSEIIAEEEGGGGRQSLQQQQQQPIYQRRRRQKTPQNQITSQDANLKQLELKDKDIRVSFGGGGRATKRVSWNRSLSTRGRISIAVAACVDNQPQQKQARKRGKPPVPKGKAVQPPNFEKEKQYFEEVDAFELLEESPSPKSSSTWATGNETDAVVIPHMSSRLEKWLIAKKLNYSCEPSSTLSKILETPTMPPLGPMSGNDFNIVDVITPEKAVFETNSKLHSVHSRIRSYLPSKGVLERNSLAQKSSSMFVGHEGCEDIEGAVKKLSLASTSSLSDHDYVDPVTALLAVCGQSVPSTLLEVFSKYCEPENIVKVGEGTYGEAFKAGNTVCKIVPIDGDLLVNGEVQKRSEELLEEVILSRTLNNLRSHDADFDNSCTTFIETLDLRVCQGPYDPSLVKAWEYWDEKHGSENDHPKEFPEKQCYVVFVLQHGGKDLESFVLSNFDEAQSLLVQVTAGLAVAEAAYEFEHRDLHWGNILLRRNESATVQFILEGKKMIFRTSGLLISIIDFTLSRINTGQDILFLDLTSDPYLFKGPKGDRQAETYRKMKEVTEDFWEGSFPKTNVLWLLYLVDILLLKKSFDRSSKNERDMRSFKKRLSKYNSAKEAIVSDPFFSNLLVV >Potri.005G003400.3.v4.1 pep chromosome:Pop_tri_v4:5:298473:309002:1 gene:Potri.005G003400.v4.1 transcript:Potri.005G003400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003400.v4.1 MQLPPRHQLQHFKTLSLQRIFVLMMGSKTGEGSRVDLWSEIIAEEEGGGGRQSLQQQQQQPIYQRRRRQKTPQNQITSQDANLKQLELKDKDIRVSFGGGGRATKRVSWNRSLSTRGRISIAVAACVDNQPQQKQARKRGKPPVPKGKAVQPPNFEKEKQYFEEVDAFELLEESPSPKSSSTWATGNETDAVVIPHMSSRLEKWLIAKKLNYSCEPSSTLSKILETPTMPPLGPMSGNDFNIVDVITPEKAVFETNSKLHSVHSRIRSYLPSKGVLERNSLAQKSSSMFVGHEGCEDIEGAVKKLSLASTSSLSDHDYVDPVTALLAVCGQSVPSTLLEVFSKYCEPENIVKVGEGTYGEAFKAGNTVCKIVPIDGDLLVNGEVQKRSEELLEEVILSRTLNNLRSHDADFDNSCTTFIETLDLRVCQGPYDPSLVKAWEYWDEKHGSENDHPKEFPEKQCYVVFVLQHGGKDLESFVLSNFDEAQSLLVQVTAGLAVAEAAYEFEHRDLHWGNILLRRNESATVQFILEGKKMIFRTSGLLISIIDFTLSRINTGQDILFLDLTSDPYLFKGPKGDRQAETYRKMKEVTEDFWEGSFPKTNVLWLLYLVDILLLKKSFDRSSKNERDMRSFKKRLSKYNSAKEAIVSDPFFSNLLVV >Potri.015G105400.3.v4.1 pep chromosome:Pop_tri_v4:15:12426111:12430833:1 gene:Potri.015G105400.v4.1 transcript:Potri.015G105400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105400.v4.1 MGLICHKRNLNFLKITATLKARTQNRKANNFCSKTQNNSNIVNEITTFLNQKNWESLLPLVSNKLSPDVVHSVITKQVNDPKRLLDFFNWVQFQMGFSQKLQSFSILALILCNSRLFSRADSVVNQMIMMSSGGYSEILDSLIKSCKEFDLNNVNGNENSNNNDRGVVFELLIDGYKKKGLFDEAVSFFLGAKRNGFVVGLLCCNGLLSDLLKANKLELFWRFYNGMLEANVLHDVYTYTHLINAHFRAGNAKEGKRLLFEMEEKGCSPSLVTYNVVIGGLCRAGEVDEAFELKKLMDKKGLVADVFTYSILIDGFGKQKRCTEAKLMLEEMFSKGLKPGHVAYTALIDGFMRQGDSGEAFLVKEEMLARGVKLNLFTYNALVKGVCKFGDMEKADALLNEMIMVGIKPDTQTYNNMIEGYLKEQNTSRVKDLLSEMKKSNLVPTAYTCGMIINGLCRHGSIEDASRVFEIMVSLGVKPNAVIYTTLIKGHVQEGRFQEAVRILKVMDKKGVQPDVLCYNSVIIGLCKSRKMEEAKDYLVEMIERGLKPNVYTYGALIHGYCKSGEMQVADRYFKEMLGCGIAPNDVVCTALIDGYCKEGSTTEATSIFRCMLGRSVHPDVRTYSALIHGLLRNGKLQGAMELLSEFLEKGLVPDVFTYNSIISGFCKQGGIGKAFQLHEYMCQKGISPNIITYNALINGLCKAGEIERARELFDGIPGKGLAHNAVTYATIIDGYCKSGNLSKAFRLFDEMTLKGVPPDSFVYSALIDGCRKEGNTEKALSLFLESVQKGFASTSSLNALMDGFCKSGKVIEANQLLEDMVDKHVKPDHVTYTILIDYHCKTGFLKEAEQFFVDMQKRNLMPNALTYTALLSGYNMAGRRSEMFALFDEMIAKDIEPDGVTWSVMIDAHLKEGDHVKTLKLVDDMLKKGGNVSKNVCHVLIDPLCRKEHVSEVLKVLEKIEEQGLNLSLATCSTLVRCFHKAGKMDGAARVLKSMVRFKWVPDSTELNDLINVEQDSTDSENAGDFLKQMAWEVACQVRA >Potri.015G105400.6.v4.1 pep chromosome:Pop_tri_v4:15:12426093:12430834:1 gene:Potri.015G105400.v4.1 transcript:Potri.015G105400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105400.v4.1 MGLICHKRNLNFLKITATLKARTQNRKANNFCSKTQNNSNIVNEITTFLNQKNWESLLPLVSNKLSPDVVHSVITKQVNDPKRLLDFFNWVQFQMGFSQKLQSFSILALILCNSRLFSRADSVVNQMIMMSSGGYSEILDSLIKSCKEFDLNNVNGNENSNNNDRGVVFELLIDGYKKKGLFDEAVSFFLGAKRNGFVVGLLCCNGLLSDLLKANKLELFWRFYNGMLEANVLHDVYTYTHLINAHFRAGNAKEGKRLLFEMEEKGCSPSLVTYNVVIGGLCRAGEVDEAFELKKLMDKKGLVADVFTYSILIDGFGKQKRCTEAKLMLEEMFSKGLKPGHVAYTALIDGFMRQGDSGEAFLVKEEMLARGVKLNLFTYNALVKGVCKFGDMEKADALLNEMIMVGIKPDTQTYNNMIEGYLKEQNTSRVKDLLSEMKKSNLVPTAYTCGMIINGLCRHGSIEDASRVFEIMVSLGVKPNAVIYTTLIKGHVQEGRFQEAVRILKVMDKKGVQPDVLCYNSVIIGLCKSRKMEEAKDYLVEMIERGLKPNVYTYGALIHGYCKSGEMQVADRYFKEMLGCGIAPNDVVCTALIDGYCKEGSTTEATSIFRCMLGRSVHPDVRTYSALIHGLLRNGKLQGAMELLSEFLEKGLVPDVFTYNSIISGFCKQGGIGKAFQLHEYMCQKGISPNIITYNALINGLCKAGEIERARELFDGIPGKGLAHNAVTYATIIDGYCKSGNLSKAFRLFDEMTLKGVPPDSFVYSALIDGCRKEGNTEKALSLFLESVQKGFASTSSLNALMDGFCKSGKVIEANQLLEDMVDKHVKPDHVTYTILIDYHCKTGFLKEAEQFFVDMQKRNLMPNALTYTALLSGYNMAGRRSEMFALFDEMIAKDIEPDGVTWSVMIDAHLKEGDHVKTLKLVDDMLKKGGNVSKNVCHVLIDPLCRKEHVSEVLKVLEKIEEQGLNLSLATCSTLVRCFHKAGKMDGAARVLKSMVRFKWVPDSTELNDLINVEQDSTDSENAGDFLKQMAWEVACQVRA >Potri.008G018200.3.v4.1 pep chromosome:Pop_tri_v4:8:911883:914440:-1 gene:Potri.008G018200.v4.1 transcript:Potri.008G018200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018200.v4.1 MDTHDNQPHPATRSKPSKIYCLSIPILFLAISLSFFIKTSHYFKIQHLKSTLTSNPTYFQELLGFLYSTQNPTKTQQPNHISVPSHCVLWMAPFLSGGGYSSESWSYILALNENMNKKRFKLSIEQHGDLESLEFWEGLPQETKNLAVKLYQTECRVNETIVICHSEPGAWYPPLFQTRPCPPTGYENFNFVIGRTMFETDRVNAEHVKRCNRMDYVWVPTDFHVSTFVQSGVDPSKVVKVVQAVDVEFFDPLKYEPLDLVSIGNLVLGSGKKDLDSKMEFVFLSVFKWEYRKGWDVLLKAYLKEFSRIDGVALYLLTNPYHSDRDFGNKIVEFVEDTGIEEPVKGWALIYVIDTHIAQVDLPRMYKAAHAFVLPSRGEGWGRPLVEAMSMSLPVIATNWSGPTEYLTEENSYPLLVDRMSKVMEGPFEGHLWAEPSIDKLQVLMRHVITNVEEANMRGRRAREDMIRRFSPRIVSGVVTDLIENLLDRMI >Potri.007G116100.1.v4.1 pep chromosome:Pop_tri_v4:7:13574742:13576958:-1 gene:Potri.007G116100.v4.1 transcript:Potri.007G116100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116100.v4.1 MVSQTVDLLKNELPLEQESVVLPEDVVNGLVLVDIINGFCSVGAGNLAPREPNMQITGMINESARLARLFCDKKLPVLAFLDSHQPNKPEEPYPPHCIAGTDESKLVPALQWIENEPNVTIRRKDCFDGFLGSIEDDGSNVFVDWVKNNHIKAILVVGICTDICVLDFVCSTISARNRGFLAPLEDVIVYSRGCATFDVPLHVARNTKGALSHPQELMHHVGLYMAKERGAIIANEVSLVTPKKP >Potri.017G062000.1.v4.1 pep chromosome:Pop_tri_v4:17:5142245:5145503:1 gene:Potri.017G062000.v4.1 transcript:Potri.017G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G062000.v4.1 MGCGASMYPLAGREKKSVPEVVVYVPSMRIPAHSDLQRPLRGLIPQDLVDRLACLRNQIVLVAEDTGGSAVTELRRALEEYLSLLIGLTKKEHGLEGLVEFKWKNLETGRQENSVANSWFELLSVIHMIAMLTLSEANTLMIPKDRSGSGIRVVSSDCKRDAVDLLLKASGCLVFCVREILAHLPPDIKKTFSKDFQDGVLEAISIQALGQGTEIQLGFAVESQKASLSVKRRLACEQLIYFSQAYHFLSGLDMSNGHGKKHLCFIKWKFLEAKAAAYYYHGLILDKGTEPACHVSAVCCFLAAGGLLSESKKACLTFCLTAPVTRSPPLWGAMKHLHQKIPEVASRKSQMYGYLLEEEKALQALPDLPDFQLSLAPDDYVLPEIDPAWDRDKWGIHSQPLKEHLKDSEDETGT >Potri.002G085400.1.v4.1 pep chromosome:Pop_tri_v4:2:6094371:6097917:1 gene:Potri.002G085400.v4.1 transcript:Potri.002G085400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085400.v4.1 MINARHFPLLSFALLLLSLRLSLATSPPQQDPETPVARFQKYLRFNTAHPNPNYTAPVSFLTSLATSLGLKTQTLEFIPNKPTLLITWQGSNPSLHSLLFNSHLDSVPAEPSKWTHPPFSATLTPEGKIFARGAQDDKCIAIQYLEAIRNLKARNFVPTRTLHISFVPDEEIGGIDGSDKFVKSKEFKDLDVGFVLDEGQASVNDEFRVFYADRSPWNLIIKAKGVPGHGSRMYDNGAMENLMDSIEVINRFRDSQFDIVKAGKASNSEVISVNPVFLKAGIPSPTGFVMNMQPSEAEAGFDLRLPPTADPDLVKKRIAEEWAPAVRNMTYEIIEKGPLRDYMGRPLMTATDDSNPWWSVFKQAIAAAGGKLAKPEILSSTTDARFMRQLGIPAFGFSPMTNTPILLHDHNEFLKDTIFLKGIEVYEHIIHALSSFEEANSI >Potri.008G007100.3.v4.1 pep chromosome:Pop_tri_v4:8:325905:327582:1 gene:Potri.008G007100.v4.1 transcript:Potri.008G007100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G007100.v4.1 MVRCFYCLEWRANFLLEDKMGAVEFGALKYWLPQVNFTWTHVESLVLLNEASSTSTDNSSGNEATKEHSSHVAGLDKNKKEKKKKGMKIMEGPEIVDGENRVLEGGASSSSATSRDIVTNEGNGRSKEHDLGAKNRKQTVKKKNGKNNMESDDEANELPGKHNFHSLILVGLESESTVCYFRFYSIWAASSVKKQIIRRMKASSFYQTLHGWLCNNCIILKELVFLN >Potri.016G023500.1.v4.1 pep chromosome:Pop_tri_v4:16:1321057:1323875:1 gene:Potri.016G023500.v4.1 transcript:Potri.016G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023500.v4.1 MPIPSLSLLRHRTPTFLRHITTFSHHQNHHSLLITSSKHQNQPNLSRKPPPNNNLLKAKQILNSKKDFSTLSPILSPNHTPAELSDSQAIGTVAASQANFMRVIVTAGPSRSREIEDSSKNGSELLCVVRAVLKKIKRRVLVGDKVVVGSIDWVDRRGMIENVFQRSSEILDPPVANVDHLLVLFSLEQPRLDSFVLTRFLIEAESTGIPITLALNKVELVDQEVLSDWNSRLRSWGYEPLFCSVDSKMGLDTLASVLRDQTTVILGPSGVGKSSLINALRNKPNSHDEADNWFDPIMGSKWFEDQRVGEVSTRNGRGKHTTRNVSLLPLGGGGYVADTPGFSQPSLLKVTKQSLAQYFPEIRTVLSVNEPAKCTFKDCLHVGEPGCIVKGDWERYPLYFQLLDEIKIREEFQLRTFGTKREDNVRYKAGDMGVQQEEPRLEPKKHRRQSRKRINQSILDELNELANDEDLFDDPVLRAHKNGNF >Potri.016G023500.5.v4.1 pep chromosome:Pop_tri_v4:16:1321053:1323847:1 gene:Potri.016G023500.v4.1 transcript:Potri.016G023500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023500.v4.1 MPIPSLSLLRHRTPTFLRHITTFSHHQNHHSLLITSSKHQNQPNLSRKPPPNNNLLKAKQILNSKKDFSTLSPILSPNHTPAELSDSQAIGTVAASQANFMRVIVTAGPSRSREIEDSSKNGSELLCVVRAVLKKIKRRVLVGDKVVVGSIDWVDRRGMIENVFQRSSEILDPPVANVDHLLVLFSLEQPRLDSFVLTRFLIEAESTGIPITLALNKVELVDQEVLSDWNSRLRSWGYEPLFCSVDSKMGLDTLASVLRDQTTVILGPSGVGKSSLINALRNKPNSHDEADNWFDPVGLSRICACVNCACV >Potri.006G125500.1.v4.1 pep chromosome:Pop_tri_v4:6:10032862:10037823:1 gene:Potri.006G125500.v4.1 transcript:Potri.006G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125500.v4.1 MSNQAEAESSDSKGTKRDFSTAILERKKAPNRLVVDEAVNDDNSVVSLHPETMEKLQLFRGDTILIKGKKRKDTICIALADDSCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVLREDENRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMRLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTDEHFKTALGTSNPSALRETVVEVPNVSWEDIGGLETVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMSAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRFQIFKSCLRKSPVSKDVDLTALAKYTQGFSGADITEICQRACKYAIRENIEKDIERERRRSENPEAMEEDVEDEVSEIKASHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGSEFRFAEASAGATGSDPFAASAGGADEDDLYS >Potri.015G106000.6.v4.1 pep chromosome:Pop_tri_v4:15:12473349:12480741:1 gene:Potri.015G106000.v4.1 transcript:Potri.015G106000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106000.v4.1 MPFEVMDHRGSTAASSHYFDDIHFPAERQIGFWKPNVMPDHQVGMDGKIPIPSGNCVASFPLEKISPGGPILMHHAELSRSILARDQKEKSLISEGSANTSKHAWSSMDHHPKSWSSLSMQPASYSTGRSRVDISGTQWESSLFSSSFSEIFSRKLRSSRNDIQSHQPAKTITSSHEEEEPFESLEELEAKTIGNLLPTEDNLFSGVTTEFGRDAQINNLDDLEDFDLFGSGGGMELEGDVARGNSGLLRGVSNGQGDSNGSIVVGHPSRTLFVRNINSNVEVSELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMSALQNKPLKHRNLDIHYSIPKDNPSEKDMNQGTLVVFNLDSSVTIDELRQIFGVYGEIKEIRESPHKHHHKFVEYYDIRAAEAALSALNRSDVAGKQIKIESSHPGGTRSLMQQPEHEQAERNLLQSPFNDLSSGPLATFSPGVSASSYMANGSSQVLHSAIPSQLGAFAELHRSSSVSNNLPSPVTASAAKQFSIDEMKFGNKCIPSIHPHSLPEYHDSFANTIPYNSPSTIRDMPSSFTSKVTEGINSLHIRGVGSNGHMMELNGGVFGSPGTGSCTLPGHHYVWKNSKSGQQHPSNAMIWSNSPSFANGVHAHHVPHMPGFPRGHTVMLNSAPAPHHIGSAPAVNPSLWDRRQTFSGESPEASSFHLGALGSVGFPGSSPPHPMEIASHNIFSHGGSCMDMTKGTGLPASPQMCQMFPGRNPMISMPASFGSPNERVRNFSHRRIESNSNHSDKKQYELDIDCILRGDDNRTTLMIKNIPNKYTSKMLLAAIDEQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDPEPFPMGTHIRSRLGKPRSSGNEENHHSGSPSTLANGEDSPNGIHSPSGSD >Potri.015G106000.2.v4.1 pep chromosome:Pop_tri_v4:15:12472219:12480743:1 gene:Potri.015G106000.v4.1 transcript:Potri.015G106000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106000.v4.1 MDGKIPIPSGNCVASFPLEKISPGGPILMHHAELSRSILARDQKEKSLISEGSANTSKHAWSSMDHHPKSWSSLSMQPASYSTGRSRVDISGTQWESSLFSSSFSEIFSRKLRSSRNDIQSHQPAKTITSSHEEEEPFESLEELEAKTIGNLLPTEDNLFSGVTTEFGRDAQINNLDDLEDFDLFGSGGGMELEGDVARGNSGLLRGVSNGQGDSNGSIVVGHPSRTLFVRNINSNVEVSELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMSALQNKPLKHRNLDIHYSIPKDNPSEKDMNQGTLVVFNLDSSVTIDELRQIFGVYGEIKEIRESPHKHHHKFVEYYDIRAAEAALSALNRSDVAGKQIKIESSHPGGTRSLMQQPEHEQAERNLLQSPFNDLSSGPLATFSPGVSASSYMANGSSQVLHSAIPSQLGAFAELHRSSSVSNNLPSPVTASAAKQFSIDEMKFGNKCIPSIHPHSLPEYHDSFANTIPYNSPSTIRDMPSSFTSKVTEGINSLHIRGVGSNGHMMELNGGVFGSPGTGSCTLPGHHYVWKNSKSGQQHPSNAMIWSNSPSFANGVHAHHVPHMPGFPRGHTVMLNSAPAPHHIGSAPAVNPSLWDRRQTFSGESPEASSFHLGALGSVGFPGSSPPHPMEIASHNIFSHGGSCMDMTKGTGLPASPQMCQMFPGRNPMISMPASFGSPNERVRNFSHRRIESNSNHSDKKQYELDIDCILRGDDNRTTLMIKNIPNKYTSKMLLAAIDEQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDPEPFPMGTHIRSRLGKPRSSGNEENHHSGSPSTLANGEDSPNGIHSPSGSD >Potri.015G106000.8.v4.1 pep chromosome:Pop_tri_v4:15:12472272:12480547:1 gene:Potri.015G106000.v4.1 transcript:Potri.015G106000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106000.v4.1 MPFEVMDHRGSTAASSHYFDDIHFPAERQIGFWKPNVMPDHQVGMDGKIPIPSGNCVASFPLEKISPGGPILMHHAELSRSILARDQKEKSLISEGSANTSKHAWSSMDHHPKSWSSLSMQPASYSTGRSRVDISGTQWESSLFSSSFSEIFSRKLRSSRNDIQSHQPAKTITSSHEEEEPFESLEELEAKTIGNLLPTEDNLFSGVTTEFGRDAQINNLDDLEDFDLFGSGGGMELEGDVARGNSGLLRGVSNGQGDSNGSIVVGHPSRTLFVRNINSNVEVSELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMSALQNKPLKHRNLDIHYSIPKDNPSEKDMNQGTLVVFNLDSSVTIDELRQIFGVYGEIKEIRESPHKHHHKFVEYYDIRAAEAALSALNRSDVAGKQIKIESSHPGGTRSLMQQPEHEQAERNLLQSPFNDLSSGPLATFSPGVSASSYMANGSSQVLHSAIPSQLGAFAELHRSSSVSNNLPSPVTASAAKQFSIDEMKFGNKCIPSIHPHSLPEYHDSFANTIPYNSPSTIRDMPSSFTSKVTEGINSLHIRGVGSNGHMMELNGGVFGSPGTGSCTLPGHHYVWKNSKSGQQHPSNAMIWSNSPSFANGVHAHHVPHMPGFPRGHTVMLNSAPAPHHIGSAPAVNPSLWDRRQTFSGESPEASSFHLGALGSVGFPGSSPPHPMEIASHNIFSHGGSCMDMTKGTGLPASPQMCQMFPGRNPMISMPASFGSPNERVRNFSHRRIESNSNHSDKKQYELDIDCILRGDDNRTTLMIKNIPNKYTSKMLLAAIDEQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDPEPFPMGTHIRSRLGKPRSSGNEENHHSGSPSTLANGEDSPNGIHSPSGSD >Potri.015G106000.7.v4.1 pep chromosome:Pop_tri_v4:15:12472290:12480740:1 gene:Potri.015G106000.v4.1 transcript:Potri.015G106000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106000.v4.1 MPFEVMDHRGSTAASSHYFDDIHFPAERQIGFWKPNVMPDHQVGMDGKIPIPSGNCVASFPLEKISPGGPILMHHAELSRSILARDQKEKSLISEGSANTSKHAWSSMDHHPKSWSSLSMQPASYSTGRSRVDISGTQWESSLFSSSFSEIFSRKLRSSRNDIQSHQPAKTITSSHEEEEPFESLEELEAKTIGNLLPTEDNLFSGVTTEFGRDAQINNLDDLEDFDLFGSGGGMELEGDVARGNSGLLRGVSNGQGDSNGSIVVGHPSRTLFVRNINSNVEVSELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMSALQNKPLKHRNLDIHYSIPKDNPSEKDMNQGTLVVFNLDSSVTIDELRQIFGVYGEIKEIRESPHKHHHKFVEYYDIRAAEAALSALNRSDVAGKQIKIESSHPGGTRSLMQQPEHEQAERNLLQSPFNDLSSGPLATFSPGVSASSYMANGSSQVLHSAIPSQLGAFAELHRSSSVSNNLPSPVTASAAKQFSIDEMKFGNKCIPSIHPHSLPEYHDSFANTIPYNSPSTIRDMPSSFTSKVTEGINSLHIRGVGSNGHMMELNGGVFGSPGTGSCTLPGHHYVWKNSKSGQQHPSNAMIWSNSPSFANGVHAHHVPHMPGFPRGHTVMLNSAPAPHHIGSAPAVNPSLWDRRQTFSGESPEASSFHLGALGSVGFPGSSPPHPMEIASHNIFSHGGSCMDMTKGTGLPASPQMCQMFPGRNPMISMPASFGSPNERVRNFSHRRIESNSNHSDKKQYELDIDCILRGDDNRTTLMIKNIPNKYTSKMLLAAIDEQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDPEPFPMGTHIRSRLGKPRSSGNEENHHSGSPSTLANGEDSPNGIHSPSGSD >Potri.015G106000.1.v4.1 pep chromosome:Pop_tri_v4:15:12472166:12480743:1 gene:Potri.015G106000.v4.1 transcript:Potri.015G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106000.v4.1 MPFEVMDHRGSTAASSHYFDDIHFPAERQIGFWKPNVMPDHQVGMDGKIPIPSGNCVASFPLEKISPGGPILMHHAELSRSILARDQKEKSLISEGSANTSKHAWSSMDHHPKSWSSLSMQPASYSTGRSRVDISGTQWESSLFSSSFSEIFSRKLRSSRNDIQSHQPAKTITSSHEEEEPFESLEELEAKTIGNLLPTEDNLFSGVTTEFGRDAQINNLDDLEDFDLFGSGGGMELEGDVARGNSGLLRGVSNGQGDSNGSIVVGHPSRTLFVRNINSNVEVSELKALFEQYGDIRTLYTACKHRGFVMISYYDIRAARNAMSALQNKPLKHRNLDIHYSIPKDNPSEKDMNQGTLVVFNLDSSVTIDELRQIFGVYGEIKEIRESPHKHHHKFVEYYDIRAAEAALSALNRSDVAGKQIKIESSHPGGTRSLMQQPEHEQAERNLLQSPFNDLSSGPLATFSPGVSASSYMANGSSQVLHSAIPSQLGAFAELHRSSSVSNNLPSPVTASAAKQFSIDEMKFGNKCIPSIHPHSLPEYHDSFANTIPYNSPSTIRDMPSSFTSKVTEGINSLHIRGVGSNGHMMELNGGVFGSPGTGSCTLPGHHYVWKNSKSGQQHPSNAMIWSNSPSFANGVHAHHVPHMPGFPRGHTVMLNSAPAPHHIGSAPAVNPSLWDRRQTFSGESPEASSFHLGALGSVGFPGSSPPHPMEIASHNIFSHGGSCMDMTKGTGLPASPQMCQMFPGRNPMISMPASFGSPNERVRNFSHRRIESNSNHSDKKQYELDIDCILRGDDNRTTLMIKNIPNKYTSKMLLAAIDEQCRGTYDFIYLPIDFKNKCNVGYAFINMIDPQQIIPFHKAFNGKKWEKFNSEKVASLAYARIQGKTALIAHFQNSSLMNEDKRCRPILFHSDGPNAGDPEPFPMGTHIRSRLGKPRSSGNEENHHSGSPSTLANGEDSPNGIHSPSGSD >Potri.012G140700.2.v4.1 pep chromosome:Pop_tri_v4:12:15280827:15283572:1 gene:Potri.012G140700.v4.1 transcript:Potri.012G140700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140700.v4.1 MGRVPCCDKDEVKKGPWTPEEDQLLVQYIQKHGLGCWRSLPKNAGLLRCGKSCRLRWTNYLRPDIKRGPFSPAEEATIVHLHGMLGNKWAYMASQLPGRTDNEIKNFWNTHLKKRLTSIGPKLQINQSSSSSEPINIKCKSPSARHMVQWESARVEAEARLSKQSLLIKPTSTAKSRPDIFLQLWKSEVGETFRITEEKYGKTCESLVSDTPPSIKNESVFLDDTVSPKPFKTTTFMDTTQEQEDTCKPNEDVMTVSDSIGSNEFADSTDTALKLLLDFPGGNDMEFIGEESEFFQLP >Potri.001G240200.1.v4.1 pep chromosome:Pop_tri_v4:1:25771143:25772906:-1 gene:Potri.001G240200.v4.1 transcript:Potri.001G240200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240200.v4.1 MAESDPREPINEQAVVNMYNAMRAELNQIYSKITELEMDASEHSLVINAIEPLDQSRRCYRMIGGVLVERTVKEVLPAVQRNKEGIEEVIARLNEAAVKKKKEIADFEDKYKIRIRKADSEVKDDSSKKEGSSQGVLVGPAGSSE >Potri.002G078700.1.v4.1 pep chromosome:Pop_tri_v4:2:5496452:5503413:-1 gene:Potri.002G078700.v4.1 transcript:Potri.002G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078700.v4.1 MVLCLFHVPVIMNRLSPVTGILSSCSCSFKLNNRDTKLVGFGFELQRKERLKRKLKFVVSAELSKSFSVNLGLDSKNISQSHDLSQLPWIGPVPGDIAEVEAYCRIFRAAERLHAALMDTLCNPLTGECKISYDFPSEEKPLLEDKIVPVLGCILSLLNKGREDVLSGRSSIMNSFRVAEVSAMEGKLPPLAIFRSEMKRCCESLHVALENFLTPDDDRSLDVWRKLQRLKNVCYDSGFHRRDDYPCHMLFANWNAVYFSTSREDIISKNSEFAFWMGGQVTEEGLNWLLERGFKTIVDLRAEIIKDNFYKAAVDDAIAAGKVELIKIAVEDGTAPSMEQVEKFASLVSDSSKKPIYLHSKEGVRRTSAMVSRWRQYMTRSASLITTPRDKGLQDKNEKGGRQGPSLIGGGSHPRQENGSLSETLNKRHSSNGLSNGAVSPKDENGQSINETYNVHASVQDSIPLETVENKVGSVANISMEADPLKAQVPPCNFFSKAEMSKFFRSKKFKPPAYSNYQLKGFEKLHVSRTASVGTFQKVDGTDPESRFVEAKRSNGLVSGKMASSKPQSSPADSDKHLNGSSDASVGSGMGVFSGGERRFMTGNNVSTTVVENLTEHLACASIKDGGENNGVAYLSSSDDDLCTIEGNMCASATGVVRVQSRRKAEMFLVRTDGFSCTREQVTESSLAFTHPSTQQQMLMWKSMPKTVLLLKKLGQELLEEAKEVASFLYHQEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHEMVDFVACLGGDGVILHASNLFRGAFPPVVSFNLGSLGFLTSHYFEDYRQDLRQVIHGNNTLDGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTSAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKSDQTGDWFHSLVRCLNWNERLDQKAL >Potri.002G078700.8.v4.1 pep chromosome:Pop_tri_v4:2:5496504:5503225:-1 gene:Potri.002G078700.v4.1 transcript:Potri.002G078700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078700.v4.1 MDTLCNPLTGECKISYDFPSEEKPLLEDKIVPVLGCILSLLNKGREDVLSGRSSIMNSFRVAEVSAMEGKLPPLAIFRSEMKRCCESLHVALENFLTPDDDRSLDVWRKLQRLKNVCYDSGFHRRDDYPCHMLFANWNAVYFSTSREDIISKNSEFAFWMGGQVTEEGLNWLLERGFKTIVDLRAEIIKDNFYKAAVDDAIAAGKVELIKIAVEDGTAPSMEQVEKFASLVSDSSKKPIYLHSKEGVRRTSAMVSRWRQYMTRSASLITTPRDKGLQDKNEKGGRQGPSLIGGGSHPRQENGSLSETLNKRHSSNGLSNGAVSPKDENGQSINETYNVHASVQDSIPLETVENKVGSVANISMEADPLKAQVPPCNFFSKAEMSKFFRSKKFKPPAYSNYQLKGFEKLHVSRTASVGTFQKVDGTDPESRFVEAKRSNGLVSGKMASSKPQSSPADSDKHLNGSSDASVGSGMGVFSGGERRFMTGNNVSTTVVENLTEHLACASIKDGGENNGVAYLSSSDDDLCTIEGNMCASATGVVRVQSRRKAEMFLVRTDGFSCTREQVTESSLAFTHPSTQQQMLMWKSMPKTVLLLKKLGQELLEEAKEVASFLYHQEKMNVLVEPDVHDIFARIPGFGFVQTFYSQDTSDLHEMVDFVACLGGDGVILHASNLFRGAFPPVVSFNLGSLGFLTSHYFEDYRQDLRQVIHGNNTLDGVYITLRMRLRCEIFRNGKAVPGKVFDVLNEVVVDRGSNPYLSKIECYEHDRLITKVQGDGVIVATPTGSTAYSTSAGGSMVHPNVPCMLFTPICPHSLSFRPVILPDSARLELKIPEDARSNAWVSFDGKRRQQLSRGDSVRISMSQHPLPTVNKSDQTGDWFHSLVRCLNWNERLDQKAL >Potri.018G099400.7.v4.1 pep chromosome:Pop_tri_v4:18:11983881:11988837:-1 gene:Potri.018G099400.v4.1 transcript:Potri.018G099400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099400.v4.1 MAGPLSAWPWENLGVYKYLLYGPLLGKVLYTRTQEGSFKGDWCLHILIICIARALLHQLWNSFVNMLFLTRTRRINRQGYDFKQIDKEWDWDNFILLQALIASISCYIYPPFIVNVPLWNTKGFITILTLHVGISEPLYYWVHRCFHKGYLFTQYHSTHHSSPVLHPYTGANATFLEHLALSTVIGIPIIGSHMMGYGSRSIIYGYPLVFDFLRCLGHSNVEVVPLQLFETLPFLRYLLYTPTYHSLHHTDTGTNFCLFMPFFDAIWKTLNNKSWELHRKLSSDAGKDRRTIPDFVFLAHVVDVSSSIHAPFVIRSYASLPYQMRLFLLFCWPSAFIVMLMMWVWSKTFLVSFYNLRGRLHETWSVPRFGFQYFLPFAKEGINKHIEQAILRADRLGVKIISLAALNKNEALNGGGTLFVNKHPNLRVRVVHGNTLTAAVILNEIPEDVKEVFLTGATSKLGRAIALYLSQRRVRVLMLTSSTERFEKIQKEAPLEYQSYFVQVTKYQAARSCKTWIVGKWITPREQSWAPTGTHFHQFVVPPIFSFRRDCTYGDLAAMRLPDDVQGLGNCEYTMDRGVVHACHAGGVVHLLEGWTHHEVGALDVDRIDVVWNAALKHGLKPVSNSIKQNSM >Potri.002G219300.1.v4.1 pep chromosome:Pop_tri_v4:2:20967698:20979988:-1 gene:Potri.002G219300.v4.1 transcript:Potri.002G219300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219300.v4.1 MALQAGVSTSKVLILVGAGLTSSIILKNGRLSELIGQLQELLKGVDQVEIAPYKYDSALLAAQIRQLAQEIKELTLSSPVTIYNGNSASNGSFSSYLVPAAALGAMGYCYMWWKDWSFSDVMFVTKKNMANAVATVSKQMENVSETLASTKRHLTKRLENLDWKIEEQIETSKLIASDVDEMKSNLSQIGYDVESIHQMISGLEGKLELLESKQDTTNSGLWHLCQFAGGFKDGLGTKVYQDVGAKLANPPAMAYEERSLKGLQFIAETKESDKVEKPVENVKNDLHIYPGEKVKTVKTRVHRSYPVGFSLTRDIVGSGI >Potri.003G080600.2.v4.1 pep chromosome:Pop_tri_v4:3:10794277:10795606:-1 gene:Potri.003G080600.v4.1 transcript:Potri.003G080600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080600.v4.1 METDQTQQVSSALESIKQHLLGDLLSPVATSSASFYQFSTNSATSTSLQCETTSCSSSDFSTALPDFFGSLLEFTSVLSPSQENNTNIFEFEPKPEIIDLFTPTPLNSTSHINFQSSSSSTGSSGGSNFFEFESKPQIHQNFEFESKPQIVSQRSNSFFYEEPKPQVQTARKRSLKISLPSKKPEWIQFSSNPNPQTVEDNSGVAAQEIRHYRGVRRRPWGKYAAEIRDPNRRGSRVWLGTFDTDLEAAKAYDRAAFKLRGSKAILNFPLEAGRCEGESERKRSREGGVEEREDVKRVMTVVKREEPETEGDIPLTPSSWTAFWDGGDTKDVFNVPPLSPLSPHPPLGFPQLTVI >Potri.019G050100.2.v4.1 pep chromosome:Pop_tri_v4:19:8220496:8226095:1 gene:Potri.019G050100.v4.1 transcript:Potri.019G050100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050100.v4.1 MDLNWLSAILVGAGCLALGYCIGTRCPSFIFLRLTPKVVKDTKTNPSSHHGNKKNKSKEPFEIDKLADILDDFKMVLVVRNDLKMGKGKIAAQCSHATLGLYKKLLNRAPKALNRWEMCAQPKVVVKIESEEDMLVLQERAKSLKLPTHITIDAGRTQIAPNSRTVMAILGPVEVVDDVTGGLKLL >Potri.005G000166.1.v4.1 pep chromosome:Pop_tri_v4:5:104589:104879:-1 gene:Potri.005G000166.v4.1 transcript:Potri.005G000166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G000166.v4.1 MWSYWLCREGRYCYFNVYQQSKECNQNLERVAGCKFEFVNPPAIEEVLESSAEQMVATLDGVQPESSQFFAPSAQKLIEEQRRSALPTRLGHLSKN >Potri.001G315300.1.v4.1 pep chromosome:Pop_tri_v4:1:32603613:32605537:-1 gene:Potri.001G315300.v4.1 transcript:Potri.001G315300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315300.v4.1 MEDQFPKMETFMHKELAAQSSFHGMATGSRYFGDPTSWGALAGATCHVDVGNNGTKSPSQSSPERLFSSSESSSSADDLVSGTNLVGNIPGFGRQDYSKQNHESCFMSGLCSINSPGGSISDYIVKESSINPVNFSEYFPALNNQAQVSEPPSPSSLSNTSKFPNLTLFLQEPEAFIQTSFQTTWQGSKPKQAVDQLGKDQRCEPMSLFPNTSFSMPQLGQIHFQPSNDWLKINQTLASYSIKGFNDYWLRTTTTQPMKYTGRRLQNQHQKPSFSSASTSPGKLFRGVRQRHWGKWVTEIRLPRNRTRVWLGTFNTAEEAAIAYDTAAYMLRGDYAHLNFPDLKHQLKANSLNGTTAALLEAKLQLAISQGNNISVQKKHSDDPPRTSPDKIIHDMNATKPKGLSQNTTREELQFELEGKTGCHEVIHENQKGQEVVASDAEAVQLSRMPSLDMDMIWDSLLVSDS >Potri.006G230650.1.v4.1 pep chromosome:Pop_tri_v4:6:23413601:23415366:1 gene:Potri.006G230650.v4.1 transcript:Potri.006G230650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230650.v4.1 MNRSCNRENPHILIVMIAEKQIMKGGGDGKGREIMGPQKPAREMSDTAPEINLLPFFSLHVLVFTLVWLLSPQLESYRYAGQHCVHRDCLLLGDRYSANDKKSSLGLFSPCFPRMLFWCSKYRRVGLQLHGLCILLPSVLLLE >Potri.005G180300.1.v4.1 pep chromosome:Pop_tri_v4:5:18656679:18657477:-1 gene:Potri.005G180300.v4.1 transcript:Potri.005G180300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180300.v4.1 MENEERKLASRWVPCTQLEQVHPDNAIAMVLQEQERAFTILTTIESDSNKEESDEASSIDSNEGGNDYEFFQSLEFESEMEFQQEGQDSDSDEDMEEEEDEDEDEIDLDELSYEELIALGEFIGQEKRGLSVNEISTCLHPWKYRSLANKTGTDRCVICQMEYEEDESLVALSCDHPYHSECLTKWLQINKICPICSTEVSSPRNISRTD >Potri.005G082400.1.v4.1 pep chromosome:Pop_tri_v4:5:5617781:5621130:1 gene:Potri.005G082400.v4.1 transcript:Potri.005G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082400.v4.1 MLSPHSLQLSTLHSSSFTHNVSLIYNPTPSLPWHPMKYSPTQVSCVSTRPKKRPVPTDEKSEAQELVRVLVRSFSDKQPLVKTLNKYVKVMRTEHCFMLFEELGKTDKWLQCLEVFRWMQKQRWYVADNGCYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKAKSLTKALAYFEKMKSIERCQPNVVTYNIILRAFAQARNVNQVNALFKDLEESIVSPDIYTYNGVLDAYGKNGMIREMESVLSRMKIDQCKPDIITFNLLIDSYGKKQDFEKMEQVFKSLLRSKEKPTLPTFNSMIVNYGKARLKDKAESVFKKMADMRYTPSFITFESLIMMYGICDCVSKARDIFDDMVESGKEVKVSTLNAVLNVYCMNGLHMEAHILLENARSIGVPPNSSTYKLLYRAYTKAKMKELVQKLLKHMDKDGIIPNKRFFVDALGASKSSGASSDSTIPLKDSNSPQNVAKTLEE >Potri.005G082400.4.v4.1 pep chromosome:Pop_tri_v4:5:5617807:5621056:1 gene:Potri.005G082400.v4.1 transcript:Potri.005G082400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082400.v4.1 MLSPHSLQLSTLHSSSFTHNVSLIYNPTPSLPWHPMKYSPTQVSCVSTRPKKRPVPTDEKSEAQELVRVLVRSFSDKQPLVKTLNKYVKVMRTEHCFMLFEELGKTDKWLQCLEVFRWMQKQRWYVADNGCYSKLISVMGKKGQTRMAMWLFSEMRNSGCRPDTSVYNALITAHLHSKDKAKSLTKALAYFEKMKSIERCQPNVVTYNIILRAFAQARNVNQVNALFKDLEESIVSPDIYTYNGVLDAYGKNGMIREMESVLSRMKIDQCKPDIITFNLLIDSYGKKQDFEKMEQVFKSLLRSKEKPTLPTFNSMIVNYGKARLKDKAESVFKKMADMRYTPSFITFESLIMMYGICDCVSKARDIFDDMVESGKEVKVSTLNAVLNVYCMNGLHMEAHILLENARSIGVPPNSSTYKLLYRAYTKAKMKELVQKLLKHMDKDGIIPNKRFFVDALGASKSSGASSDSTIPLKDSNSPQNVAKTLEE >Potri.001G215000.2.v4.1 pep chromosome:Pop_tri_v4:1:22178487:22181164:1 gene:Potri.001G215000.v4.1 transcript:Potri.001G215000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215000.v4.1 MHRLIQKMRPYLLAWLRRSRSGPASLVRRLSYKDMKRATDGFRRIIYSNTHGAAYRARFQDGEVALVKEVKDLNQGKDKFFKEVQLLGQLHHRHLLALKGFSIGCKRLLVFDNIENGSLKEHLNDPLKTPLNWNTRLQIAIGVAAALEYLLLFSNPPIYHVSISASNVMLDENYTAKISDVGLLNSVGDYVTMPHSSNSEDCMDHACGNLTFQLGVLILELITGQSSEKGSTDLIQWIQESRFRSSIQKMIDPDLGNNYDSRELKNLLAVARLCIKSGDKPKFSIPQIFRYLQKVENTCE >Potri.017G106900.2.v4.1 pep chromosome:Pop_tri_v4:17:11607716:11610410:1 gene:Potri.017G106900.v4.1 transcript:Potri.017G106900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106900.v4.1 MAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVVRAKKEGDLPLYGFHDPESFVKSIQKPRVVIMLVKAGSPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSMMPGGSFDAFKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELRSVFSEWNKGELLSFLVEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDILTDQVVDKKQLIDDVRQALYASKICSYAQGMNLIRAKSMEKGWDLELGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCLAINSGISTPGMSSSLAYFDTFRRERLPANLVQAQRDYFGAHTYERVDVEGSFHTEWFKIARQLKN >Potri.017G106900.3.v4.1 pep chromosome:Pop_tri_v4:17:11607376:11610410:1 gene:Potri.017G106900.v4.1 transcript:Potri.017G106900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106900.v4.1 MAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVVRAKKEGDLPLYGFHDPESFVKSIQKPRVVIMLVKAGSPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSMMPGGSFDAFKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELRSVFSEWNKGELLSFLVEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDILTDQVVDKKQLIDDVRQALYASKICSYAQGMNLIRAKSMEKGWDLELGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCLAINSGISTPGMSSSLAYFDTFRRERLPANLVQAQRDYFGAHTYERVDVEGSFHTEWFKIARQLKN >Potri.017G106900.4.v4.1 pep chromosome:Pop_tri_v4:17:11607920:11610363:1 gene:Potri.017G106900.v4.1 transcript:Potri.017G106900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106900.v4.1 MAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVVRAKKEGDLPLYGFHDPESFVKSIQKPRVVIMLVKAGSPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSMMPGGSFDAFKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELRSVFSEWNKGELLSFLVEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDILTDQVVDKKQLIDDVRQALYASKICSYAQGMNLIRAKSMEKGWDLELGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCLAINSGISTPGMSSSLAYFDTFRRERLPANLVQAQRDYFGAHTYERVDVEGSFHTEWFKIARQLKN >Potri.017G106900.1.v4.1 pep chromosome:Pop_tri_v4:17:11607395:11610409:1 gene:Potri.017G106900.v4.1 transcript:Potri.017G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106900.v4.1 MAAPPKPTRIGLAGLAVMGQNLALNIAEKGFPISVYNRTTSKVDETVVRAKKEGDLPLYGFHDPESFVKSIQKPRVVIMLVKAGSPVDQTIKTLSAYLEKGDCIIDGGNEWYENTERREKAMAELGLLYLGMGVSGGEEGARNGPSMMPGGSFDAFKYIEDILLKVAAQVPDSGPCVTYIGKGGSGNFVKMVHNGIEYGDMQLIAEAYDVLKSVGKLSNDELRSVFSEWNKGELLSFLVEITADIFGIKDDKGEGYLVDKVLDKTGMKGTGKWTVQQAADLSVAAPTIASSLDARFLSGLKEERVEAAKVFKAGGFGDILTDQVVDKKQLIDDVRQALYASKICSYAQGMNLIRAKSMEKGWDLELGELARIWKGGCIIRAVFLDRIKKAYDRNPDLANLLVDPEFAKEIIERQSAWRRVVCLAINSGISTPGMSSSLAYFDTFRRERLPANLVQAQRDYFGAHTYERVDVEGSFHTEWFKIARQLKN >Potri.001G249800.1.v4.1 pep chromosome:Pop_tri_v4:1:26560878:26566237:-1 gene:Potri.001G249800.v4.1 transcript:Potri.001G249800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249800.v4.1 MNTTRALLYSFTDRPCRPLKSLPDISCPKRPREALNFRVRLRTDQRCCIFRVSVRNSGRGETGKVYADVKSDRYEILESVPESVKLEEDALDDAGLDSTVPWWEEFPKRWVIVILCFSAFLLCNMDRVNMSIAILPMSAEYNWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKRVLGFGVIWWSIATALTPVAAKAGLPFLLVVRAFMGIGEGVAMPAMNTILSKWVPVSERSRSLALVYSGMYLGSVTGLGFSPFLIHQFGWPSVFYSFGSLGTVWFAAWLSKAHSSPLDDPQLRPEEKKLIFANSSSKEPVKSIPWGLILSKGPVWALIVSHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLFCVLPWLTMAFSANLGGWIADTLVSKGLSVTTVRKIMQSIGFLGPAFFLTQLSHIDSPAMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVFGTASTGYILQHGSWDDVFKVSVGLYLVGTVVWNLFSTGEKILD >Potri.011G166400.1.v4.1 pep chromosome:Pop_tri_v4:11:19039543:19047116:1 gene:Potri.011G166400.v4.1 transcript:Potri.011G166400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166400.v4.1 MASRNHHQQQPSPSHSPPLDMHTFFMPTPTSPPPPQNPSPPLPPNLMVLPLQQIPSSSYPPPTGTQHFPFPFAPSYPPQQNPNPNNPPPLANMHPQRSLSYPTPPLTPNQQLQDRSGAEIMALLRPPQNQEPPPLPPPAQDLSGALSNNFMGPVRTPSCTSSKMPKGRRVVGEDVVYDVDVRLPGEAQPQLEVTPITKYISDPQLCLGRQIAVNKSYICYGLKQGTIRILNINTALRSLFRTQSQRVTCMAFFAEDVHLLASAGIDGRINVWKISEGPDEEDKPQITGKTIIAIQVVGEGEIKNPKVCWHCYKQEILVVGVGKRVLRIDTNKVGKGEVYSSEAPLQCPVDKLIDGIRFIGKHDGEVTALSMCQWMTTRLVSSSMDGTIKIWDDLKASPLVVLRPHDGQPVYSAMFLTATDQPDHIILVTAGPQIRELKIWVSASEEGWLLPNDSDLLNCTQTLELKSSAEPRVEEAFFNQVVALSQMGLILLANAKRNAIYAVRLDYGPNPASTRMDCISEFTVTMPILSLTGMSDVLHGQSVAQVYCVQTQAIQQYTLELCQCLPPLMENVGSERSDSSVMHDVPNADGYAALESRGSKYSDVLVSSASVDVATLQQDAPTSNMESRTIALASSTSDANIVCAPSPPHPRLTRDLTEFAVGGRFEPSPASSNQPAINHSVDQQMDPICSNSSNVPSLDSDLRNDERKIVQDNNSTTLNLPVTFKHPTHLITPSEILMGASSSEITKVNEGKSEVDSNFLDVVVNNDVANAEVEVKIVGETRSIQDGEFSLRGESKRPVFENKEKIFSSQASDLDIEMTRECCALPPETNIVEEQGQVDGVGVSESLSPPSNAGKDEVHDSTKDVSGKVSESSVSTVGPLSTTPSTKGKKQKGRNSQASGSSSQPPGTFNSADSLNEPVRASNLLAMDGAFSQILAMQQSINQLVITQKEMHKQMSNMVAVPVSKECRRLETALGRSIEKAIKANADAPCARFQEENAKNERLLQDHTQQITSLVLNFINKDLPAMLEKAPKKELASVVQGAVRTISPVIDKTVSSVIVESFQRGVGDKAVNQLEKSVNSKLEATVARQIQAQFQTSGKQALQDSLKAGLEASVIPAFEMSCKAMFDQVDAAFQRGMVEHTAAAQQHFEAAHSSLALTLRDSINSASSLTKTLSMELADSQRQLLAIAANSGATNPSATQPSDGPLASFQEKVETQLDPTKELKRLISECKYDEAFTIALQRSDVAIVSWLCSQVGLQGIMSLSPLPLSQGVLLSLLQQLACDINNDTPRKLEWMTAVAAAILPTDQMIVLYARPIVEQVVEIVNRARTSPAVTGAELASIRVLMHVINYLLVTCKR >Potri.007G101500.2.v4.1 pep chromosome:Pop_tri_v4:7:12554475:12557694:1 gene:Potri.007G101500.v4.1 transcript:Potri.007G101500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101500.v4.1 MGNLFVKKPKITDVDRAILSLKTQRRKLAQYQQQLETVIEAEKLAAKDLIREKRKDRALLALKKKKTQEELLKQVDTWLINVEQQLADIELASKQKAVFESLKSGTDAIKAIQSEVNLDDVQKLMDDTAEAKAYQDEINAILGEKLSAEDEEEILAEFEDLETQVAVQDLPEVPTIVVSEEQEQKLDLPDVPTKPPVLVDDAEISSAEVPTKRKVLEEPLPA >Potri.018G128000.3.v4.1 pep chromosome:Pop_tri_v4:18:13906450:13916073:1 gene:Potri.018G128000.v4.1 transcript:Potri.018G128000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128000.v4.1 MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFYFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKSPRSNPDIKTLFVDHSCGQPNGARAPSPVTNSLMGGVPKSGGFPSITGHGPFQPAPAALPSSLAGWMANPSASAGPLGLAPNNTAILKHPRTPPTNNPAIDYQTADSEHVLKRPRPFGLSDEVNLPINIMPMAYTSQNHGQNSYSSDDLPKNPVMALNQGSAVKSMDFHPVQQILLLVGTNMGEVMVWELGSRERIAGRNFKVWDLNARSRALQASLSNDYTASVNRVAWSPDGTLFGVAYSKHIMHVYSYHGGDDIRNHLEMDAHNGNVNDLAFAYPNKQLVVVTCGDDRTIRVWDAIVGTRLFNFVGHDAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDNAGSRVDYNAPGHSSTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGAVKRTYNGLAKRSGGVVKFDTTKNRFLAAGDDFMIKFWDMDNNNLLTTIDAEGGLPASPCIRFNKEGTLLAVSTNDNSIKILANSDGIRLLRTVESRTFDASRASSAAVAKAPAIGTFPPANATVGTSIDNQAAPAAPMVGMNSDNRSLVDVKPKIQDESIEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVSRLIFTNSGVAILALASNAVHKLWKWQRNDRNLPGKATASVPPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADSQLCVWSTDAWEKQASKFLQIPTGRVASSLADTRVQFHLDQIHLLAVHETQIAIFEAPKLECLKQWFPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRINSTAYLPPNPSLRVYPLVIAAHPSEPDQFALGLTDGGIHVLEPLESEGKWGTSPPVENGAGPSTASGAAGSEQAQR >Potri.018G128000.4.v4.1 pep chromosome:Pop_tri_v4:18:13906450:13915991:1 gene:Potri.018G128000.v4.1 transcript:Potri.018G128000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128000.v4.1 MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFYFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKSPRSNPDIKTLFVDHSCGQPNGARAPSPVTNSLMGGVPKSGGFPSITGHGPFQPAPAALPSSLAGWMANPSASAGPLGLAPNNTAAILKHPRTPPTNNPAIDYQTADSEHVLKRPRPFGLSDEVNLPINIMPMAYTSQNHGQNSYSSDDLPKNPVMALNQGSAVKSMDFHPVQQILLLVGTNMGEVMVWELGSRERIAGRNFKVWDLNARSRALQASLSNDYTASVNRVAWSPDGTLFGVAYSKHIMHVYSYHGGDDIRNHLEMDAHNGNVNDLAFAYPNKQLVVVTCGDDRTIRVWDAIVGTRLFNFVGHDAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDNAGSRVDYNAPGHSSTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGAVKRTYNGLAKRSGGVVKFDTTKNRFLAAGDDFMIKFWDMDNNNLLTTIDAEGGLPASPCIRFNKEGTLLAVSTNDNSIKILANSDGIRLLRTVESRTFDASRASSAAVAKAPAIGTFPPANATVGTSIDNQAAPAAPMVGMNSDNRSLVDVKPKIQDESIEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVSRLIFTNSGVAILALASNAVHKLWKWQRNDRNLPGKATASVPPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADSQLCVWSTDAWEKQASKFLQIPTGRVASSLADTRVQFHLDQIHLLAVHETQIAIFEAPKLECLKQWFPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRINSTAYLPPNPSLRVYPLVIAAHPSEPDQFALGLTDGGIHVLEPLESEGKWGTSPPVENGAGPSTASGAAGSEQAQR >Potri.018G128000.5.v4.1 pep chromosome:Pop_tri_v4:18:13906664:13916059:1 gene:Potri.018G128000.v4.1 transcript:Potri.018G128000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128000.v4.1 MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFYFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKSPRSNPDIKTLFVDHSCGQPNGARAPSPVTNSLMGGVPKSGGFPSITGHGPFQPAPAALPSSLAGWMANPSASAGPLGLAPNNTAILKHPRTPPTNNPAIDYQTADSEHVLKRPRPFGLSDEVNLPINIMPMAYTSQNHGQNSYSSDDLPKNPVMALNQGSAVKSMDFHPVQQILLLVGTNMGEVMVWELGSRERIAGRNFKVWDLNARSRALQASLSNDYTASVNRVAWSPDGTLFGVAYSKHIMHVYSYHGGDDIRNHLEMDAHNGNVNDLAFAYPNKQLVVVTCGDDRTIRVWDAIVGTRLFNFVGHDAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDNAGSRVDYNAPGHSSTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGAVKRTYNGLAKRSGGVVKFDTTKNRFLAAGDDFMIKFWDMDNNNLLTTIDAEGGLPASPCIRFNKEGTLLAVSTNDNSIKILANSDGIRLLRTVESRTFDASRASSAAVAKAPAIGTFPPANATVGTSIDNQAAPAAPMVGMNSDNRSLVDVKPKIQDESIEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVSRLIFTNSGVAILALASNAVHKLWKWQRNDRNLPGKATASVPPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADSQLCVWSTDAWEKQASKFLQIPTGRVASSLADTRVQFHLDQIHLLAVHETQIAIFEAPKLECLKQWFPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRINSTAYLPPNPSLRVYPLVIAAHPSEPDQFALGLTDGGIHVLEPLESEGKWGTSPPVENGAGPSTASGAAGSEQAQR >Potri.018G128000.1.v4.1 pep chromosome:Pop_tri_v4:18:13906494:13916073:1 gene:Potri.018G128000.v4.1 transcript:Potri.018G128000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128000.v4.1 MSSLSRELVFLILQFLEEEKFKETVHRLEQESGFYFNMRYFEEMVTNGEWDEVEKYLSGFTKVDDNRYSMKIFFEIRKQKYLEALDKRDRAKAVEILVKDLKVFAAFNEELFKEITQLLTLENFRDNEQLSKYGDTKSARGIMLGELKKLIEANPLFRDKLQFPTLKNSRLRTLINQSLNWQHQLCKSPRSNPDIKTLFVDHSCGQPNGARAPSPVTNSLMGGVPKSGGFPSITGHGPFQPAPAALPSSLAGWMANPSASAGPLGLAPNNTAAILKHPRTPPTNNPAIDYQTADSEHVLKRPRPFGLSDEVNLPINIMPMAYTSQNHGQNSYSSDDLPKNPVMALNQGSAVKSMDFHPVQQILLLVGTNMGEVMVWELGSRERIAGRNFKVWDLNARSRALQASLSNDYTASVNRVAWSPDGTLFGVAYSKHIMHVYSYHGGDDIRNHLEMDAHNGNVNDLAFAYPNKQLVVVTCGDDRTIRVWDAIVGTRLFNFVGHDAPVYSVCPHHKENIQFIFSTATDGKIKAWLYDNAGSRVDYNAPGHSSTTMAYSADGTRLFSCGTNKEGESHLVEWNESEGAVKRTYNGLAKRSGGVVKFDTTKNRFLAAGDDFMIKFWDMDNNNLLTTIDAEGGLPASPCIRFNKEGTLLAVSTNDNSIKILANSDGIRLLRTVESRTFDASRASSAAVAKAPAIGTFPPANATVGTSIDNQAAPAAPMVGMNSDNRSLVDVKPKIQDESIEKSRIWKLTEINEPSQCRSLRLPDSLTAMRVSRLIFTNSGVAILALASNAVHKLWKWQRNDRNLPGKATASVPPQLWQPSSGILMTNDISDTNPEDAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMTTFMAPPPAATFLAFHPQDNNIIAIGMEDSSIQIYNVRVDEVKTKLKGHQKRITGLAFSHSLNVLVSSGADSQLCVWSTDAWEKQASKFLQIPTGRVASSLADTRVQFHLDQIHLLAVHETQIAIFEAPKLECLKQWFPREASGPITHATYSCDSQSIYVSFEDGSVGVLTASTLRLRCRINSTAYLPPNPSLRVYPLVIAAHPSEPDQFALGLTDGGIHVLEPLESEGKWGTSPPVENGAGPSTASGAAGSEQAQR >Potri.006G276300.5.v4.1 pep chromosome:Pop_tri_v4:6:26762294:26769707:-1 gene:Potri.006G276300.v4.1 transcript:Potri.006G276300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276300.v4.1 MAPRKKAEETKTKPSPTPATRRSARMTRSAAKRLNARLTELPTDARKKRKQGKAEESKKKVKIETETVTATSTEAQAEVNTLEEEEEDDEDEDEDEANEEDAKEESTCAGDGVNKTIVIEHCKQCDAFKTRAMQVKDGLLSAFPGTRCCLILRSLEGDALKYRRRAGRHSSVYCLEKREQWLHERNLHAVSTLLSLREHEKTVSTSEGT >Potri.006G276300.2.v4.1 pep chromosome:Pop_tri_v4:6:26762706:26769591:-1 gene:Potri.006G276300.v4.1 transcript:Potri.006G276300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276300.v4.1 MAPRKKAEETKTKPSPTPATRRSARMTRSAAKRLNARLTELPTDARKKRKQGKAEESKKKVKIETETVTATSTEAQAEVNTLEEEEEDDEDEDEDEANEEDAKEESTCAGDGVNKTIVIEHCKQCDAFKTRAMQVKDGLLSAFPGTRCCLILRSLEGDALKYRRRAGRHSSVY >Potri.006G276300.6.v4.1 pep chromosome:Pop_tri_v4:6:26767339:26769591:-1 gene:Potri.006G276300.v4.1 transcript:Potri.006G276300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276300.v4.1 MAPRKKAEETKTKPSPTPATRRSARMTRSAAKRLNARLTELPTDARKKRKQGKAEESKKKVKIETETVTATSTEAQAEVNTLEEEEEDDEDEDEDEANEEDAKEESTCAGDGVNKTIVIEHCKQCDAFKTRAMQVKDGLLSAFPGTRCCLILRSLEGDALKYRRRAGRHSSVYW >Potri.015G147500.1.v4.1 pep chromosome:Pop_tri_v4:15:15135963:15139420:1 gene:Potri.015G147500.v4.1 transcript:Potri.015G147500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147500.v4.1 MAPPRGRGGSGGGFRGGRGDGGGRGRGRGFSGGRGGSGGRGSAMRGGGRGRGGGRGRGGGGMRGGSKVVVEPHRHEGVFIAKGKEDALVTKNMVPGETVYNEKKVSVQNEDGTKVEYRVWNPFRSKLAAAILGGVDDVWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKTGGHFVISIKANCIDSTVPAEAVFESEVKKMVQEQLKPSEQVTLEPFERDHACVVGGYRMPKKQKIAA >Potri.004G172700.2.v4.1 pep chromosome:Pop_tri_v4:4:18798584:18800966:1 gene:Potri.004G172700.v4.1 transcript:Potri.004G172700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172700.v4.1 MESKTGAYNRPHAVVIPIPFQSHIKAMLKLAKLLHHKGFYITFVNTEFNHNLFLRSRGPRSLDGLPDFRFETIPDGLPPSDVEAMTQDEASLFNSITKNFLAFFQHLLAKLRKNSLSSNSPSVTCIVSDGFMSSFTIKAAEEIGVPVVMSFTMSACGVMAFKQLNTLRVKGLTPLKDESYLHTTIDWIPGMKDTCLMDFPFARNTNPDNYAFRFLMDSVEGAVRASAIIVHTFDALEPDVLDGLSSIFPHVYAIGPYQLLLNQIPEDGLRSIGYSLRKEEGDCLQWLDTKEPKSVVYVNFGSLIVIKAEQLVEFAMGLANSKHPFLWIIRSDLVIGDAAILAAEFAGKNQEQCYIASWCQQEEVLNHPSVGVFLTHSGWNSTIESLAAGVPMICWPFFADQPMNCRYTCKEWGIGMKIDDIVKREEVEKLVRELMEGEKGVKMREKATDWKKLAEEAAGPDGSSSISIEKLVNEVLLSNN >Potri.004G172700.1.v4.1 pep chromosome:Pop_tri_v4:4:18798584:18800966:1 gene:Potri.004G172700.v4.1 transcript:Potri.004G172700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172700.v4.1 MESKTGAYNRPHAVVIPIPFQSHIKAMLKLAKLLHHKGFYITFVNTEFNHNLFLRSRGPRSLDGLPDFRFETIPDGLPPSDVEAMTQDEASLFNSITKNFLAFFQHLLAKLRKNSLSSNSPSVTCIVSDGFMSSFTIKAAEEIGVPVVMSFTMSACGVMAFKQLNTLRVKGLTPLKADESYLHTTIDWIPGMKDTCLMDFPFARNTNPDNYAFRFLMDSVEGAVRASAIIVHTFDALEPDVLDGLSSIFPHVYAIGPYQLLLNQIPEDGLRSIGYSLRKEEGDCLQWLDTKEPKSVVYVNFGSLIVIKAEQLVEFAMGLANSKHPFLWIIRSDLVIGDAAILAAEFAGKNQEQCYIASWCQQEEVLNHPSVGVFLTHSGWNSTIESLAAGVPMICWPFFADQPMNCRYTCKEWGIGMKIDDIVKREEVEKLVRELMEGEKGVKMREKATDWKKLAEEAAGPDGSSSISIEKLVNEVLLSNN >Potri.002G044800.1.v4.1 pep chromosome:Pop_tri_v4:2:2913557:2919167:1 gene:Potri.002G044800.v4.1 transcript:Potri.002G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G044800.v4.1 MHFENQPHIPPATPGGSTASFTSLDIPHRVKKNRGNYNCGRCGLPKKGHVCHLPPTSTTTTTPTQTPTDSSVSVSTSTSRPPPRQQYSNLRRALSFDDSDLRCDSPEVEIDESEMDLFVSGCGKLPVSCMWEILRRLPPAGLLAAGSVCKGWRETARRLWRAAEELRLRVPPRSQPGFVGSVLQKCPGLSRLNLRLESDLDSTMFACIAFSCPNLEAVEISTSETAVNRITGDELGRFVADKRCLTSLKMEGCSNLGGFVLCSSSLSTLWLSDLYCLSKMVFNCPNLKEISLDFSRQENDSTDIIAMVDGLGRSCPRLQNVHVASVRLSHAAVLALTAANLRGLRMLSLVLGTEITDASVVAISQSYSKLELLDLSGSSISDSGIGMICNVFPGTLSRLLLALCPNITSSGIQFATAQLPLLELMDCGMTICDLSSQYPTSDESGDFELQTTFKNKLHLIYQKVIIKHSRLKKLSLWGCSGLDALYLNCPELIDLNLNSCKNLHPERVLLQCPSLESVHASGCHNLLIEGIQSQVSNNFAAMENQFPNKRLADGSKRVRVPQFLSQQPYDEDKKRRRIASRPCNVLVD >Potri.004G111166.1.v4.1 pep chromosome:Pop_tri_v4:4:10149002:10149349:1 gene:Potri.004G111166.v4.1 transcript:Potri.004G111166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111166.v4.1 MWTCLSFLVSCLSMPCCEFFLLKICVDFQSRGWMSSPRLLWWYIVFCPLYLYCTHSRVDSGYLRVFESVVTVMDESAFQSKIHQSNVFLFCLLYLYCTHSRIDSEVFENVVAIAN >Potri.004G133600.7.v4.1 pep chromosome:Pop_tri_v4:4:15490351:15501142:-1 gene:Potri.004G133600.v4.1 transcript:Potri.004G133600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133600.v4.1 MDAFSVFTVLSLLSPIIVIYSACMQLQSTSEGGEGVIIIINKRKKMGDFVWMEEYTDGPPLFAPPSTSKRKARTPRKLEFTGWGSTLLIAFLQSIGTGTTNQISQYDVTAIINKYVNDRNLLHPTKKKRVLCDEWLLPLFGRKTINRIRIYDLLEPHFAENKVGSDDDFFDTTDDDEDNNAYQRHKCSASDRKCHSKKRVFEAPKSCFAAIVPDNIKLVYLKRLLVQDLAKNNPETFQSKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLVESSRANGINTEMLLEVSNYMKDVRISMLSDDNFSKEECEDLNQRVRDGSLKRPTIVELEEKAQVLHEDITKHWLRGELAFLQKLIDRANEKGWRRELFDYLERKKLLETPDEQTRLLLDIPKVIADEIEDEPAPEDFPVNIKQGNDGSPKSSLDGVLEIPNSDVASNKTSTWISFSKNSAGNQAVLATPKQSNRMDHQHFVEDQPKQLTNIIGESNDEMLPVNIEDNKHRQCSMDVLVIDLSDSEEDEGPRHRDNVQIIDCDPGSFLWHYLDPQGDVQGPFSLTLLKRWNDADYFLPGFKVWKTGQSQNEAVLLSDVLRSIIE >Potri.004G133600.8.v4.1 pep chromosome:Pop_tri_v4:4:15490308:15491372:-1 gene:Potri.004G133600.v4.1 transcript:Potri.004G133600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133600.v4.1 MLPVNIEDNKHRQCSMDVLVIDLSDSEEDEGPRHRDNVQIIDCDPGSFLWHYLDPQGDVQGPFSLTLLKRWNDADYFLPGFKVWKTGQSQNEAVLLSDVLRSIIE >Potri.004G133600.3.v4.1 pep chromosome:Pop_tri_v4:4:15490306:15501376:-1 gene:Potri.004G133600.v4.1 transcript:Potri.004G133600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133600.v4.1 MGDFVWMEEYTDGPPLFAPPSTSKRKARTPRKLEFTGWGSTLLIAFLQSIGTGTTNQISQYDVTAIINKYVNDRNLLHPTKKKRVLCDEWLLPLFGRKTINRIRIYDLLEPHFAENKVGSDDDFFDTTDDDEDNNAYQRHKCSASDRKCHSKKRVFEAPKSCFAAIVPDNIKLVYLKRLLVQDLAKNNPETFQSKIVGSFVRIKSDPNDYLQKNSHMLVQVTGLVESSRANGINTEMLLEVSNYMKDVRISMLSDDNFSKEECEDLNQRVRDGSLKRPTIVELEEKAQVLHEDITKHWLRGELAFLQKLIDRANEKGWRRELFDYLERKKLLETPDEQTRLLLDIPKVIADEIEDEPAPEDFPVNIKQGNDGSPKSSLDGVLEIPNSDVASNKTSTWISFSKNSAGNQAVLATPKQSNRMDHQHFVEDQPKQLTNIIGESNDEMLPVNIEDNKHRQCSMDVLVIDLSDSEEDEGPRHRDNVQIIDCDPGSFLWHYLDPQGDVQGPFSLTLLKRWNDADYFLPGFKVWKTGQSQNEAVLLSDVLRSIIE >Potri.009G101700.4.v4.1 pep chromosome:Pop_tri_v4:9:8992596:8996061:1 gene:Potri.009G101700.v4.1 transcript:Potri.009G101700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101700.v4.1 MEVASILICLFLSSLLSYPLLKKMRRPWRIPKQRAKLPPGSMGWPFVGETLQLYTQDPNVFFVTRQKRYGEVFKSHILGCPCVMLANPEGARFVLVTHAQLFKPTYPKSKEKMIGPSALFFHQGNYHNLIRKLVQSSLSPDTIRKLIPSIESVAISALESWSSGHIINTFHEMKKFSFDVGILSIFGHLNSNFRQMLNDNYHIVDKGYNSFPTKIPGTAYHKALSARKRLNQILSEIICERKEKGLLEKDFLGNLLNFKNEKGEILTEDQIADNIIGVLFAAQDTTASVLTWILKYLHDDQKLLEDVKAEQMAIYEANGRGEKPLTWAQTRNMPLTYRVILESLRMASIISFTFREAIVDVEYHGYLIPKGWKVLPLFRNIHHNPEFFPDPRIFDPSRFEVYM >Potri.009G101700.2.v4.1 pep chromosome:Pop_tri_v4:9:8992596:8996061:1 gene:Potri.009G101700.v4.1 transcript:Potri.009G101700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101700.v4.1 MEVASILICLFLSSLLSYPLLKKMRRPWRIPKQRAKLPPGSMGWPFVGETLQLYTQDPNVFFVTRQKRYGEVFKSHILGCPCVMLANPEGARFVLVTHAQLFKPTYPKSKEKMIGPSALFFHQGNYHNLIRKLVQSSLSPDTIRKLIPSIESVAISALESWSSGHIINTFHEMKKFSFDVGILSIFGHLNSNFRQMLNDNYHIVDKGYNSFPTKIPGTAYHKALSARKRLNQILSEIICERKEKGLLEKDFLGNLLNFKNEKGEILTEDQIADNIIGVLFAAQDTTASVLTWILKYLHDDQKLLEDVKAEQMAIYEANGRGEKPLTWAQTRNMPLTYRVILESLRMASIISFTFREAIVDVEYHGYLIPKGWKVLPLFRNIHHNPEFFPDPRIFDPSRFEIAPKPNTFMPFGNGVHACAGNEIAKLEMFVLIHHLVTRFRWEVVGSQNGIQYGPFPVPHQGLPARFWKEPVNLE >Potri.009G101700.3.v4.1 pep chromosome:Pop_tri_v4:9:8992596:8996061:1 gene:Potri.009G101700.v4.1 transcript:Potri.009G101700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101700.v4.1 MEVASILICLFLSSLLSYPLLKKMRRPWRIPKQRAKLPPGSMGWPFVGETLQLYTQDPNVFFVTRQKRYGEVFKSHILGCPCVMLANPEGARFVLVTHAQLFKPTYPKSKEKMIGPSALFFHQGNYHNLIRKLVQSSLSPDTIRKLIPSIESVAISALESWSSGHIINTFHEMKKFSFDVGILSIFGHLNSNFRQMLNDNYHIVDKGYNSFPTKIPGTAYHKALSARKRLNQILSEIICERKEKGLLEKDFLGNLLNFKNEKGEILTEDQIADNIIGVLFAAQDTTASVLTWILKYLHDDQKLLEDVKAEQMAIYEANGRGEKPLTWAQTRNMPLTYRVILESLRMASIISFTFREAIVDVEYHGYLIPKGWKVLPLFRNIHHNPEFFPDPRIFDPSRFEIAPKPNTFMPFGNGVHACAGNEIAKLEMFVLIHHLVTRFRWEVVGSQNGIQYGPFPVPHQGLPARFWKEPVNLE >Potri.017G045266.1.v4.1 pep chromosome:Pop_tri_v4:17:3185158:3186088:-1 gene:Potri.017G045266.v4.1 transcript:Potri.017G045266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045266.v4.1 MKILLICLFLIFVFSCPDFYSVVSYSSPCFENQPPLLKPPGPGLWQAQQALASIGAKSSLNSFRIEGART >Potri.014G035600.1.v4.1 pep chromosome:Pop_tri_v4:14:2243913:2246534:1 gene:Potri.014G035600.v4.1 transcript:Potri.014G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035600.v4.1 MINPYRIGFLIRNLSRFSLQRLAPFSSSSAANLRFSNSSTDEDELDEINDSAVYRHTISTQRPSTVEWKPSLVNLVRFIGTVDRSPVIYKTKGGGFGCYTLLYARDPHDSNRWFRILVETWCEMAKMCIQHVKPNDIIYVSGHLESYLSFDRTGNPSSSYKIIANELCYIAQHNQRSDCQSLEEPESETCLKFKEPESSACQKYTEPYSGAGTGMEKDKNHLCLWKAFFSSPHEWWDNRKFKKNSKLPDFKHKISGDALWLRPDDPLWIKTKLQLLDWKAGEHCEEERHKNHLYLWQVFFASPHEWWDNRKNKKNSASPDFKHKDTGEALWLSPNDPPWVKRQIQLLDLNMAVQHQERGAGSRVSHWVYDD >Potri.006G087300.2.v4.1 pep chromosome:Pop_tri_v4:6:6573328:6575516:-1 gene:Potri.006G087300.v4.1 transcript:Potri.006G087300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087300.v4.1 MDEDSGDPSEINSFQFKEAPNSKLFCLNKKRKLQDEQVGLPISKHKCWDHRLPLETSTIYEENQEEKDLITHIIKENAERRAIDEGSDPESAKDSNSFVGDSDSAMSVSGEAKLEMEVSKIWSPDRPSTSSYNWGNSLKDTQYSPDNAAAPRHAGKEELVFVEGKDDHCCHYDGLQVYQNLEEPILEIESPLNYSCSEFGTDNIEPCTDKEVDDILYSNELNLNGYVHSSEGWAVNQDAQSSPRKPTIDQEFEQYFSMLMM >Potri.011G063750.1.v4.1 pep chromosome:Pop_tri_v4:11:5402752:5403884:1 gene:Potri.011G063750.v4.1 transcript:Potri.011G063750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063750.v4.1 MATSAVLRVSMLILIILSANMINLEAVSYRGVESMPKRIDSSTLLQKLGYDMPKRNVTDASSSRISPGGPDPEHH >Potri.004G137900.2.v4.1 pep chromosome:Pop_tri_v4:4:15931127:15939707:1 gene:Potri.004G137900.v4.1 transcript:Potri.004G137900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137900.v4.1 MEFGECSSGSNSSSSNEAWAKLVPSDSRYSDVEIRSNEMVICSEITSTSLEKHEWCKITRNSDQSSAMMQNKSLNTILVDEATVQNEDDVVINCGSEIIPGPAREGYLSYRFKLMPRESFTRWLKVSIDAEHAKCSICLNVWHDVVTVAPCLHNFCNGCFSEWLRRSQERHASVLCPQCRAVVQFVGRNHFLHSIEEDVLQADSSLKQSDEEIILLDSYASIKSNLIIQTGKKHRRKRPHSILDAENEVADFPCPQCGTEYSGFHCNQNTIHLQCQACGGMMPSRADIGVPQHCLGCDRVFCGAYWHAQGVSRSDTHSICSHENFKPISEHAVSRIPFLAHEKNRHEQDITERCIGQMGKTLQDVISDWIGKLNNREIDRTRMPLNHAEMITVGTHVCNDCYDKLISFLLYWFRISMPRNLLPPEAANREDCWYGYACRTQHHNEDHARKRNHVCRPTRGNHA >Potri.010G127000.1.v4.1 pep chromosome:Pop_tri_v4:10:14422079:14425001:1 gene:Potri.010G127000.v4.1 transcript:Potri.010G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127000.v4.1 MGKKLDAILGRTFKAYKLKAVASLAISRVAIFKSQRQVRCNQARSDVVQLLEKGHHDRALHRVEYLIKDQNMLDVYVMMEGYCNLMVERLQLIEQERVCPDELKEAISSLLYASSRCGDFPELQEIRTAFTSRYGKEFVASAIELRNNCRVNPKMIQKLSTRQPDLEGRMKVLEEIASENSIILQLGESSSSTTEGNLKVNKQKQAQTEITSSGGTKLIDDLQMSPEEIEKDGLSDSVHARKKYRDVADAAQAAFESAANAAEAARAAVELSRSGPHEPDNQNSHNGGRNRSQKGEPIQIESRFKTQEIHQKNVVKEKARVTELKRLVSTSSLESEETMKVTAASLDAEDPTKQLEKDVVFDESDNESHELHFNSELEGEIPTTEDSNKKISKIIQGDLKVDSRHGYSTARATEGPGIQSSQRLNIEKGPISVRTRRVRGF >Potri.005G022700.1.v4.1 pep chromosome:Pop_tri_v4:5:1448451:1451624:1 gene:Potri.005G022700.v4.1 transcript:Potri.005G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022700.v4.1 MALANFLFILFFLPSLEALSNVTSSEEQTLNAQALIMQACSDVENQSSCLSNFQAELKKSGPTAHSILHAALRATLDEAMRAIDMITKFNALSVSYREQVAIEDCKELLDFSVSELAWSLKEMNNIRAGIKNVHYEGNLKAWLSAALSNPDTCLEGFEGTDGHLENFIRGSLKQVTQLIGNVLALYTQLHSLPFKPPRNDNGTTTNSGSDKFPEWMTEGDQELLKGSSLGMHIDAIVAGDGTGHYRTITEAINEAPSYSNRRYIIYVKKGVYRENIDMKRKKSNIMFVGDGIGQTVVTGNRNFMQGWTSFRTATVAVSGKGFIARDMTFRNTAGPLNHQAVALRVDSDQSAFYRCSMEGYQDTLYAHSLRQFYRECEIYGTIDYIFGNGAAVFQNCKIYTRVPLPLQKVTITAQGRKNPHQSTGFSIQDSYIFASQPTYLGRPWKQYSRTVFMNTYMSALVQPRGWLEWYGNFALGTLWYGEYRNHGPGALLSGRVKWPGYHIIQDVATAKFFTAAQFIDGLSWLPSTGVKFTAGLSN >Potri.011G157100.1.v4.1 pep chromosome:Pop_tri_v4:11:18331563:18335405:1 gene:Potri.011G157100.v4.1 transcript:Potri.011G157100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G157100.v4.1 MDNYQGDLTDILRASTGGALGQSDVPVSNWEFPSDPMNLASSSIMGDSRVNAFGDPFCNLRDPLLHELNVAASSYFSSRSSTDHMLSTTSVDQDHTSNNFVGANSATAGSCSNILAHQKVFEDHEMHKAAAAATTSRRNIFSRIQISPTTNPTKLPVSPCNSPVIAACSSPRGFRPSAMVSSDVINVNNSKGCLIDNAGSVQISSPTNLGIKRRKSQAKKVVCIPAPAAANSRSSGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRNDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPTKSNAASKSSTGAQAQKTANTKEDQKESSNDTSSPTDIIGGSSTASASVKEESDDIEKQMEMDDNEFSEGFSQSYRPSMPGQSDQDFFAELGEIDADPLDLLFTQGINGEEQKESKALDPFSIFDWSEDTSISFGEAKRGGFITRQ >Potri.012G102400.1.v4.1 pep chromosome:Pop_tri_v4:12:12491874:12493368:1 gene:Potri.012G102400.v4.1 transcript:Potri.012G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102400.v4.1 MEFSMLFITLLILQAIFPSQASIQAAPAKSDLFREYIGAEFNNVRFTDVPVNPNVEFHFILSFAIDYDTSSSPSPTNGKFNIFWDTDNLSPSQVSSIKNQHSNVKVALSLGGDSVANGYAYFNPSSVDSWVSNAVSSLTRIIQQYNLDGIDIDYEHFQADPDTFTECIGQLITALKRNGVISFASIAPFDDDQVQSHYLALWRKYGHQIDYVNFQFYAYDKGTSVAQFLNYFNTQSSNYNGGKVLVSFISDGSGGLSPANGFFTACSKLKSQNQLHGIFVWSADDSKAGGFRYEKQSQALLAIPH >Potri.001G401800.1.v4.1 pep chromosome:Pop_tri_v4:1:42773881:42775338:1 gene:Potri.001G401800.v4.1 transcript:Potri.001G401800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401800.v4.1 MKPFYLSCFILALLLLTSSAEMMEVMRDNNGRCAAVMDPDGCNLSSCRQRCLQQKNGNGVCLANLKGGSYQCVCYVNC >Potri.001G186900.1.v4.1 pep chromosome:Pop_tri_v4:1:16684754:16692393:1 gene:Potri.001G186900.v4.1 transcript:Potri.001G186900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186900.v4.1 MSHISNLRLLLSPLNPTLRFPFSSKHRPYSLLTILSSSSPYPKRRHRTTPNHPSLNFRSRSKTTSRETRDRDKGQSMDESGKENFGFNKKRAEGRDNPKRNLQLKVRKLNPINTISYVQILGTGMDTQDTSPSVLLFFDKQRFIFNAGEGLQRFCTEHKIKLSKIDHIFLSRVCSETAGGIPGLLLTLAGMGEEGMSVNIWGPSDLKYLVDAMKSFIPHAAMVHTKSFGSDNVGLVDANKFIDPIVLINDEVVKISAILLRPSQSQGSALKPGDMSVIYLCELREIMGKFDPEKAKALGLKPGPKYRELQSGRSVMSDLQSIMVHPSDVMDPSVPGPIVLLVDCPTESHVQELLSMESLNNYYVDFSGNPTQSGKTVNCIIHLSPASVTSSPTYQKWMKKFGSAQHIMAGHEMKNVEIPILKSSARIAARLNYLCPQFFPAPGFWSLTHLNNSRPDSILSGEGCVSKLCENTSAENLLKFTLRPHAHLGFDKSNIPSLMAPSEIINELLTEIPEIVDAAKHVRKFWSGPGGLEADINAIQGNKVFTEEPWLEENTLPSCLENIRRDDLEVVLLGTGSSQPSKYRNVTSIYINLFSKGSLLLDCGEGTLGQLKRRYGVEGADNAVRNLRCIWISHIHADHHTGLARILALRRDLLKGMTHEPVLVVGPWQLKKFLDAYQRLEDLDMQFIDCRSTTEASWVAFEDDSESKKDDLSLGSPNNFAEMKNPTLNTESNLFARGNRMQSYWKRPGSPVDNGMVFPSLKRLKEVLSEAGLEALISFPVVHCPQAFGIALKAAERINTVGKVIPGWKIVYSGDTRPCPELVEASRGATILIHEATFEDALVEEAIARNHSTTEEAIEVGNSAGAYRIILTHFSQRYPKIPVFDETHMHKTCIAFDMMSVNIADLPVLPRVLPYLKMLFRNEMVVDESDDVVDAASAVAN >Potri.008G115600.1.v4.1 pep chromosome:Pop_tri_v4:8:7369795:7370567:-1 gene:Potri.008G115600.v4.1 transcript:Potri.008G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115600.v4.1 MALKISHIPCALLCLYLLLLAFHELRNFKSKINNNKDQINNISSSSISHHPFHNRKVLVSKFDFTPFQKHRQQQHENPLPDEEVHKKAARSEIDPRYGVEKRLVPTGPNPLHH >Potri.008G115600.2.v4.1 pep chromosome:Pop_tri_v4:8:7368897:7370638:-1 gene:Potri.008G115600.v4.1 transcript:Potri.008G115600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115600.v4.1 MALKISHIPCALLCLYLLLLAFHELRNFKSKINNNKDQINNISSSSISHHPFHNRKVLVSKFDFTPFQKHRQQQHENPLPDEEVHKKAARSEIDPRYGVEKRLVPTGPNPLHH >Potri.001G216400.2.v4.1 pep chromosome:Pop_tri_v4:1:22385325:22389082:1 gene:Potri.001G216400.v4.1 transcript:Potri.001G216400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216400.v4.1 MGKGSYKKLKGSQNFRQRLILATLSATPIIIKGIRANDMLPGLRLHEVSLLRLLEKISDDCVVKINETGTMVQYKPGILMGGRHLVHDCGESRAIGYFLEPLLVLGLFSKKALSIRLKGITNDSNDPCVDTFRSTTLPLLKQFGVPSEGLELKIESRGAPPHGGGEVLLSIPNIQSLTQAVTWIDEGMVRRIRGVTFSTRVSSQFENTMIHAARGIFNHLLPDVHIFTDHKAGPQAGNSPGYGISLVAETTSGCFISANTAVSYARTEDGGMEDEKGELMPPEDVGEQIASVLLQEIEQGGVVDTTHQGLLFLLCALCPQDVSKIRVGKLIPHGIEVLRLIRDFLGVKFVIKPDPSTGTVILKCVGSGLKNLSRKSS >Potri.001G216400.1.v4.1 pep chromosome:Pop_tri_v4:1:22385341:22389080:1 gene:Potri.001G216400.v4.1 transcript:Potri.001G216400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216400.v4.1 MGKGSYKKLKGSQNFRQRLILATLSATPIIIKGIRANDMLPGLRLHEVSLLRLLEKISDDCVVKINETGTMVQYKPGILMGGRHLVHDCGESRAIGYFLEPLLVLGLFSKKALSIRLKGITNDSNDPCVDTFRSTTLPLLKQFGVPSEGLELKIESRGAPPHGGGEVLLSIPNIQSLTAVTWIDEGMVRRIRGVTFSTRVSSQFENTMIHAARGIFNHLLPDVHIFTDHKAGPQAGNSPGYGISLVAETTSGCFISANTAVSYARTEDGGMEDEKGELMPPEDVGEQIASVLLQEIEQGGVVDTTHQGLLFLLCALCPQDVSKIRVGKLIPHGIEVLRLIRDFLGVKFVIKPDPSTGTVILKCVGSGLKNLSRKSS >Potri.018G013600.1.v4.1 pep chromosome:Pop_tri_v4:18:908711:909492:1 gene:Potri.018G013600.v4.1 transcript:Potri.018G013600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013600.v4.1 MRHISHLDTTISTTKAAATSPSPPAVVQPRSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWRISGRLDSENEGNDLESGNEKEGKPENKVFEEKFLVIMAGNEKPTFLATPVCSKASSFVAKIDNQEEAKTGSTPTGHDDKVKNQEMIGDSHDQAATTTTEENTETQENQQAQEQN >Potri.010G207800.1.v4.1 pep chromosome:Pop_tri_v4:10:19776420:19777502:-1 gene:Potri.010G207800.v4.1 transcript:Potri.010G207800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207800.v4.1 MCTLEKRGDIYILTLTGSDEHRLNPTLIDSICSALRRVRAEPASPSSALVTIAEGRFFSNGFDLAWAQSSQPRRELMSAKLQLLVKELISLPMPTIASVTGHASAAGMILALSHDYVLMRKDRGFLYMSELDIGLVLPDWFMVLLKCKIGDARVRSEVVLTAAKLTAEMAAVRGIVHSAHDGAEETVEAAIRLGQELVKRGWDGNVYGKNRMVVLKEVLEKIGTVPGEAKTMSKL >Potri.015G138600.1.v4.1 pep chromosome:Pop_tri_v4:15:14644056:14646595:-1 gene:Potri.015G138600.v4.1 transcript:Potri.015G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138600.v4.1 MGAGSSSLALESEGGSGSSPSRPSLDDVPECCVSSIFMYLDPPEICKLAKLNKTFHGASLADFVWETKLPSNYKFLVEKVLGQSPESLSKKEIYARLCRPNCFDKGAKQVWLDKSSGKICVAVSYKALRITGIDDRRYWNHISSEESRFNTIAYLQQIWWFEVGGELEFEFPAGTYSLFFRLQLGKTSKKLGRRTCNVDRVHGWDIKPVRFQLSTSNGQQASSECYLHQQGNWGHYHAGDFVVYSSNTAPLKLRFSMMQIDCTHTKGGVCLDSVLICPSEFREKLKQF >Potri.015G062900.1.v4.1 pep chromosome:Pop_tri_v4:15:8798389:8802432:-1 gene:Potri.015G062900.v4.1 transcript:Potri.015G062900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062900.v4.1 MASNIGMMDSAYFVGRNEILTWINNRLQLNLSRIEEAASGAVQCQMMDMTYPGVVPMHKVNFDAKTEYDMIQNYKILQDIFNKLKIEKHLEVNRLVKGRPLDNLEFLQWLKRYCDSVNGGIMNENYNPLERRSKGGRDRNSRGSQKTTKSQQASNMHNSSSGYTVDLNKMSGQKQGRGSAVVGEANSSEEIQALSKEIADMKLSVDLLEKERDFYFAKLRDIEILCQTPELEDLPVVVAIKKILYAADTKESALEEAQEYLSEAICTGATEVESEV >Potri.018G017900.1.v4.1 pep chromosome:Pop_tri_v4:18:1221686:1224871:1 gene:Potri.018G017900.v4.1 transcript:Potri.018G017900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017900.v4.1 MKMEEIFAAEIAKSLLGKLGSFAVQEFRLAWGLEDDLARLEERLKAINVVLSDAEKQQSKNDRIRLWLHMLREVLYDAEDVLDEIECETLQRQVVKTKGSTSRKVRRFFSSSNKIALRLRMGHKIKSIIERLAEISSLKSDFNLSEQTIDCSHVLHDETEMNRSFESFSGLIGRDEDKERIINLLVAPFKVGDAHPLVLPIVGMGGLGKTSLAKSVCDAENVKSHFERKMEVCVSDDFSLKQVIQKIIKSATGERCADLDGGELIQKLEAILNGRKYLLLLDDVWNEDAQKWLLLKPLLSKGADGSKIIVTTRSQRVAEIMGTVNTAYNLSLLGQEDCLSLFYKCAFKEGQMELYPNLVGIGKEIVAKCKQVPLAVINMGTQLYGKTDETEWKSVRDSEKWEEEGDGILPALKISYQRLPTHLKRCLLYCSVFPKDYLFADLLLVQFWMAHGLILQSSNPNEKLEDVGLRYVRELISRCFFQDYEDRIVIAYFKMHDLMHDLASSLAQNEFSIISSQNHRFSKTTRHLSVIDSIFFFHRILPKFSNEFDHVRSILFADIIVGPTCKTDFEKCLSGFKHLRSLELMDDSEFKDFPERIGALKHLRYLHFFWNTEMTRLPKSLFKLQNLQALVVGAKGLEELPKDVRYMINLRFLFLVTQQKRLPEGGIGCLKFLQTLYIFLCQNLETLCEDMHGLKCLRKLFIVGCDSLISLPRSIQCLTTLEEFCILDCEKLDLMTIEEDKEKKIQPLSPPLRIVIFDNLPETLTLPEQLLQGSAESLQTFIIKNSPNIREMPECISNLNKLQNLEITRCPRLSKRCRRGTGEDWPKIKHIRRIEVDDDDSDEETSH >Potri.012G032200.1.v4.1 pep chromosome:Pop_tri_v4:12:867458:870362:-1 gene:Potri.012G032200.v4.1 transcript:Potri.012G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G032200.v4.1 MKWDMELEEIEAVLEKIWDLHDKLSDAIHSISRSHFLHSIKSLKTTTTTTNKKLNNENDVGFEDNNSNSNNRASGFVFFKDFRVDGNDLSTIQEAKSLNSIRTALENLEDQLEFFHTVQIHQRAEIDAAIARLEQSRIILAMRLAEHHGKKYKVIEEALAFVGDVHDASQFVSPENLYGSPTSPLGENLIRREGKRPNTVIKVLLSSFEFAKKSLKLDHVGGLLGNAALFTVSMIAMLHLHQVAHNHHPYKQEDLYSDRNGKKAFGLEGSSSNGSLDHLDVMLARG >Potri.011G165000.1.v4.1 pep chromosome:Pop_tri_v4:11:18966280:18968210:-1 gene:Potri.011G165000.v4.1 transcript:Potri.011G165000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165000.v4.1 MSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRLSSINVENIESNRQALRELLFKSGKALPYLSGVILFEETLYQKSSDGKPFVEVLQENNVIPGIKVDKGVVELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPTEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGAHDIKKCAAATETVLAAVYKALNDHHVLLEGTLLKPNMVTPGSDSPKVTPEVIAEFTVTALRRTVPPAVPGIVFLSGGQSEEEATLNLNAMNKLEVLKPWTLSFSFGRALQKSTLKTWAGKKENVEKAQEVFLVRCKGNSDATLGKYAGGGTGGLASESLFEKGYKY >Potri.016G131850.1.v4.1 pep chromosome:Pop_tri_v4:16:13587830:13589260:1 gene:Potri.016G131850.v4.1 transcript:Potri.016G131850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131850.v4.1 MATGQEPGNPVPAIRTYPPVEHPVVVIGPQYLAQYPVELAVSTKLWTLGENDFKVSDINGTLIFEVKSKILSLHDRRFLKDAAGNTLVNLSQKIMSMHRRWDAFRGESKEEKDLLFTTKKSKLFQFKTELDVFLGNNKGEVPDFKVKGGYSESSCSVLLGDSNTMLAQMHRRHTLASTILETDRFGVTVYPNVDYAFIVALVVILDEINADRSGED >Potri.003G072100.1.v4.1 pep chromosome:Pop_tri_v4:3:9981283:9983778:-1 gene:Potri.003G072100.v4.1 transcript:Potri.003G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072100.v4.1 MWWRSASFILDKQQSDTVPRQRNPPDTLTLPSPPPLSMADALQNPNPQNNISAYYQTRAAHNAVVTSDWLAQAQAAIGHDLDDAPETNVATVAGKPFSVIDEFNSWRKQPELAEAVAAIRALAAVIRNCQATTMMELEIELKKASDSLKSWDTTSISLTAGCDLFMRYVTRTSALEYEDFNSAKSRLIERAEKFGEISYKARRIIAMLSQDFIFDGCTILVHGFSRVVFEVLKTAAQSKKLFRVFCTEGRPDRTGLRLSNELAKLDVPVKLLIDSAVAYTMDEIDMVFVGADGVVESGGIINMMGTFQIALVAHSMNKPVYVAAESYKFARLYPLDQKDMVPALHPIDFGVPIPSKVEVETSARDYTPPQYLTLLFTDLGVLTPSVVSDELIQLYL >Potri.016G141400.1.v4.1 pep chromosome:Pop_tri_v4:16:14416611:14421801:-1 gene:Potri.016G141400.v4.1 transcript:Potri.016G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141400.v4.1 MKRGRMLREGDRRSPRISALDLWKAQPPRRTTVAKKTRLTRSITSTSTSTAAEVQDKELDQQKENQTEGPACMTRAKKKRKLKPPQDVASTPNSQQEPKSSDVSDDREISYEDHPISSDEPILQNKDSPKQKGQADSPDQPSSSPCTSWVPEKRILEHIIDVLQRRDTHEIFAEPVDPNEVEEYYEIIKEPMDFGTMRAKLHEGMYKSLEQFEHDVFLISGNAMHFNSSSTIYFRQARAIDELAKKVFHVLRTDPENFELEFLGTRRRNGRRPQHEAKGSNYSSSPKVATSSKSSNTAVHVSPKPTPCLTSCSSSLKRAIQLNSGCLGITTHSDATDDRVFFGSGVSKRSSDETDRRSTYKPWMSFLNENHPITSSIYSNSKPLVHVNQQDTSYSKSLLLFVKDLGPTAQMVARRKLNGWLNTAANFSTPGSNFWLQAPNCQNFAASASAQYPPTFDAPPSAACQNISQGERIDMFDANKGGRAYAGNKLSLHGTSSEVAPNHNCYSNFGAIKSEVSFANEMDVANVSKNEKPHQSQNRGLQQGSHSYVTDARDLNLLTADLSINDDDSAMWKVGKSKMDNKPPSLDLGFKDSESNALESRLSDSYSFSPSSWPLKTTGMSSFNRNMGNTRSGSTRILSTQCRGGDQAFSTQGPSHGLGGSSERIPALKLSEQPTPVSGQFIFDLPFLKTRLDQINSLGQNRFSQHGSGMQGPFPNRTGETYNDSRPHSSLNTQHANLALQL >Potri.016G141400.2.v4.1 pep chromosome:Pop_tri_v4:16:14416642:14421582:-1 gene:Potri.016G141400.v4.1 transcript:Potri.016G141400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141400.v4.1 MDFGTMRAKLHEGMYKSLEQFEHDVFLISGNAMHFNSSSTIYFRQARAIDELAKKVFHVLRTDPENFELEFLGTRRRNGRRPQHEAKGSNYSSSPKVATSSKSSNTAVHVSPKPTPCLTSCSSSLKRAIQLNSGCLGITTHSDATDDRVFFGSGVSKRSSDETDRRSTYKPWMSFLNENHPITSSIYSNSKPLVHVNQQDTSYSKSLLLFVKDLGPTAQMVARRKLNGWLNTAANFSTPGSNFWLQAPNCQNFAASASAQYPPTFDAPPSAACQNISQGERIDMFDANKGGRAYAGNKLSLHGTSSEVAPNHNCYSNFGAIKSEVSFANEMDVANVSKNEKPHQSQNRGLQQGSHSYVTDARDLNLLTADLSINDDDSAMWKVGKSKMDNKPPSLDLGFKDSESNALESRLSDSYSFSPSSWPLKTTGMSSFNRNMGNTRSGSTRILSTQCRGGDQAFSTQGPSHGLGGSSERIPALKLSEQPTPVSGQFIFDLPFLKTRLDQINSLGQNRFSQHGSGMQGPFPNRTGETYNDSRPHSSLNTQHANLALQL >Potri.012G045950.2.v4.1 pep chromosome:Pop_tri_v4:12:4200671:4201416:1 gene:Potri.012G045950.v4.1 transcript:Potri.012G045950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045950.v4.1 MMSIFMRPLFRILLFLILAAGVTLVIATKQPKFRASSMYSPQSNRPVQPSGPNPCSHLPGPGQCKPPK >Potri.003G087000.1.v4.1 pep chromosome:Pop_tri_v4:3:11356623:11358450:1 gene:Potri.003G087000.v4.1 transcript:Potri.003G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087000.v4.1 MAPSLRFLLFLSATLFFMQTLTEAATCSDCFIHSRAAYYPNSDENGTDTGRCGYGSFGATLNGGDVAAASDLYRDGVGCGACYQVKCTNSYYCTDNGVTIVITDQGSSDNTDFILSRRAFGRMAQTTDAAASLLALGVVDIEYRRVSCSYPYKNITIKIDENSNYPYYLGFVIWYQQGRSDITAVQLCETQNFVCKLLDRSYGSVWTTTSPPSGPLSLRMLFSDENGDETWVVPVNNIPNDWKAGETYDTGVQVNL >Potri.004G155866.1.v4.1 pep chromosome:Pop_tri_v4:4:17687607:17689635:-1 gene:Potri.004G155866.v4.1 transcript:Potri.004G155866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155866.v4.1 MSSSHQTPRNSSSSWTPRENKLFEKALALFDKDTPDRWQNIAKAVGGVKSAEEMKRHYEILIEDLKHIESGRVPIPNYKSSRSYSNTNEEERLLKYVKLQ >Potri.004G155866.2.v4.1 pep chromosome:Pop_tri_v4:4:17688902:17689431:-1 gene:Potri.004G155866.v4.1 transcript:Potri.004G155866.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155866.v4.1 MSSSHQTPRNSSSSWTPRENKLFEKALALFDKDTPDRWQNIAKAVGGVKSAEEMKRHYEILIEDLKHIESGRVPIPNYKSSRSYSNTNEEER >Potri.006G255400.3.v4.1 pep chromosome:Pop_tri_v4:6:25296669:25301402:1 gene:Potri.006G255400.v4.1 transcript:Potri.006G255400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255400.v4.1 MSPMASSSSSNSILPVSVSPLDDERQREKERLIKGDEKAFRGSSMTKRGAYAAISYMSCAVLLVLFNKAALSSYNFPSANVITLFQMICSCSFLYALRRWRIISFTDGGSLTTSDVNATFVPLETLMHTLPLALTYLLYMLVTMESVRGVNVPMYTTLRRTTVVFTMIMEYILAGQRYTRPIFGSVGLIVLGAFIAGARDLSFDFYGYAVVFAANFTTAIYLATISRIGKSSGLNSFGLMWCNGIICGPVLLFWTFIRGDLGMTMNFPYLFSLGFLAVLLLSCILAFFLNYSIFLNTTLNSALTQTICGNLKDLFTIALGWTIFGGLPFDFFNVIGQCLGFAGSGLYAYYKLIGR >Potri.006G237050.1.v4.1 pep chromosome:Pop_tri_v4:6:23905142:23906227:-1 gene:Potri.006G237050.v4.1 transcript:Potri.006G237050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237050.v4.1 MTTQSCPMLNISTEYWRPFNGGHTWLIFGIIFKHLITSAPFNGIIHHHLLIGPADLTADVSIVLEATYIDYRRHPKMTMFGLLLSLLAQLWPSLPIRPIRGLCFLPLKRGGVV >Potri.008G104600.2.v4.1 pep chromosome:Pop_tri_v4:8:6611445:6613800:-1 gene:Potri.008G104600.v4.1 transcript:Potri.008G104600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104600.v4.1 MDILLNKRGNAWIMSQVLTIFVLSRVSIANSTVPANFILGDSLVDAGNNNYIVSLSKANYIPNGIDFGRPTGRYTNGRTIADIIGQELGFEDFTPPFLAPTTVGSVVLRGVNYASGGGGILNYTGKIFGGRINLDAQIDNFANTRQGIIASIGAPATLSLLKMSLFAVVIGSNDFINNYLTPVVSAVEQKVVAPDVFVDTMVARFRLQLTRLYNLGARKILVANVGPFGCIPYQRDTNPAAGDNCVSFSNQIAQLYNTELRSLVTELGANLEGSNFVYADVYRIVNDILQNYRSYGFENANASCCYAAGHFGGLIPCGPSSKVCFDRSKYVFWDPYHPSDAANVIIAKRLLDGDSNDISPLNIRRLATI >Potri.008G104600.1.v4.1 pep chromosome:Pop_tri_v4:8:6611195:6613654:-1 gene:Potri.008G104600.v4.1 transcript:Potri.008G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104600.v4.1 MDILLNKRGNAWIMSQVLTIFVLSRVSIANSTVPANFILGDSLVDAGNNNYIVSLSKANYIPNGIDFGRPTGRYTNGRTIADIIGQELGFEDFTPPFLAPTTVGSVVLRGVNYASGGGGILNYTGKIFGGRINLDAQIDNFANTRQGIIASIGAPATLSLLKMSLFAVVIGSNDFINNYLTPVVSAVEQKVVAPDVFVDTMVARFRLQLTRLYNLGARKILVANVGPFGCIPYQRDTNPAAGDNCVSFSNQIAQLYNTELRSLVTELGANLEGSNFVYADVYRIVNDILQNYRSYGFENANASCCYAAGHFGGLIPCGPSSKVCFDRSKYVFWDPYHPSDAANVIIAKRLLDGDSNDISPLNIRRLATI >Potri.005G066300.1.v4.1 pep chromosome:Pop_tri_v4:5:4298830:4306835:1 gene:Potri.005G066300.v4.1 transcript:Potri.005G066300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066300.v4.1 MATLSLHLSRLARHHFHLSPLLFTPKHLSISFPRRRHTPAAFFPLCSSTTSSSSSRFSVSATTPASTESCSDGGGVISDEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNTSRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMAIDILLGKNDNVEGVHTFFGMNFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASEGLTENLQCLGFETDRLKTGTPARVDIRTVDFTGLEPQPGDKEVHWFSFDPDVQIEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFHDKESHQIFLEPEGRSVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAYQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQDKQARILEEKKRLKTVRISGGDLAADITRVSGQPVRDSSTLESLLKKPHVQYEVFDKHGFGNEQLSRAEKECVEIDIKYEGFIMRQQIQLQQMAHQQNRPLPEDLDYHAMITLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIIIETSRRKAQAQRRHEMLTSVMTETDREASAPSPKTITL >Potri.005G066300.7.v4.1 pep chromosome:Pop_tri_v4:5:4298830:4306795:1 gene:Potri.005G066300.v4.1 transcript:Potri.005G066300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066300.v4.1 MATLSLHLSRLARHHFHLSPLLFTPKHLSISFPRRRHTPAAFFPLCSSTTSSSSSRFSVSATTPASTESCSDGGGVISDEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNTSRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMAIDILLGKNDNVEGVHTFFGMNFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASEGLTENLQCLGFETDRLKTGTPARVDIRTVDFTGLEPQPGDKEVHWFSFDPDVQIEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFHDKESHQIFLEPEGRSVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAYQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQDKQARILEEKKRLKTVRISGLYSFIPTKLEVDKYFNCEIEI >Potri.005G066300.4.v4.1 pep chromosome:Pop_tri_v4:5:4298830:4306835:1 gene:Potri.005G066300.v4.1 transcript:Potri.005G066300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066300.v4.1 MEMKKIVESTPNLSIREAMAIDILLGKNDNVEGVHTFFGMNFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASEGLTENLQCLGFETDRLKTGTPARVDIRTVDFTGLEPQPGDKEVHWFSFDPDVQIEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFHDKESHQIFLEPEGRSVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAYQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQDKQARILEEKKRLKTVRISGGDLAADITRVSGQPVRDSSTLESLLKKPHVQYEVFDKHGFGNEQLSRAEKECVEIDIKYEGFIMRQQIQLQQMAHQQNRPLPEDLDYHAMITLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIIIETSRRKAQAQRRHEMLTSVMTETDREASAPSPKTITL >Potri.005G066300.6.v4.1 pep chromosome:Pop_tri_v4:5:4298830:4306945:1 gene:Potri.005G066300.v4.1 transcript:Potri.005G066300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066300.v4.1 MATLSLHLSRLARHHFHLSPLLFTPKHLSISFPRRRHTPAAFFPLCSSTTSSSSSRFSVSATTPASTESCSDGGGVISDEKYDVIVVGGGHAGCEAALASARLGAKTLLLTLNIDRIAWQPCNPAVGGPAKSQLVHEVDALGGEIGKVADRCYLQKRVLNTSRGPAVRALRAQTDKREYAMEMKKIVESTPNLSIREAMAIDILLGKNDNVEGVHTFFGMNFYAPSVVLTTGTFMSGKIWVGRTSMPAGRAGESASEGLTENLQCLGFETDRLKTGTPARVDIRTVDFTGLEPQPGDKEVHWFSFDPDVQIEREQMCCYLTRTTKITHQLIKENLHETPTYGGWVEAKGPRYCPSIEDKIVRFHDKESHQIFLEPEGRSVPELYVQGFSTGLPERLQLPLLRTLPGLENCSMLRPAYAVEYDFLPAYQCSRSLMTKKIDGLFFSGQINGTTGYEEAAAQGIISGINAARHSDGKPLIVLERESSYIGTLIDDLVTKDLREPYRMLTSRSEHRLLLRSDNADSRLTPLGREIGLIDDRRWKLYQDKQARILEEKKRLKTVRISGGDLAADITRVSGQPVRDSSTLESLLKKPHVQYEVFDKHGFGNEQLSRAEKECVEIDIKYEGFIMRQQIQLQQMAHQQNRPLPEDLDYHAMITLSLEAREKLSKVRPQTIGQASRVGGVSPADITALLIIIETSRRKAQAQRRHEMLTSVMTETDRESSAASPKTITL >Potri.006G052100.3.v4.1 pep chromosome:Pop_tri_v4:6:3601118:3611721:-1 gene:Potri.006G052100.v4.1 transcript:Potri.006G052100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052100.v4.1 MSAISGVISRQVMPACGSLCFFCPAMRARSRQPVKRYKKLIADIFPRNQEEGPNDRKIGKLCEYAAKNPLRIPKITSSLEQRCYKELRIENFQSAKIVMCIYRKLLITCKEQMPLFASSLLSIISTLLDQTRQDDIQVIGCETLFDFVNNQNDGTFMFNLEGFIPKLCQFTQEEGKDEREKSLCAAGLQALSSMIWFMGQHSHISVEFDNIVSVVLENYGGPKRISENLDTDKPGPQNRWVQEVLKNEGLATPLPEVITRVPSWRTIVNERGEVNMTAEEARNPCFWSRVCLHNMAKLGKEATTIRRVLESLFRYFDNGNLWSPENGLAFPVLKDMQFLMDNSGQHTHVLLSILIKHLDHKNVLKEPSMQLDIVEVTTALAEHAKVDPSLAIIGAVSDVMRHLRKSIHCSLDDANLGAEIKNWNKNFREVVDKCLTELAYKVGDAGPILDIMAVMLENISNVTVIARTTISTVYRTAQIVASLPNLSYQNKSFPETLFHQLLPAMVHPDHETRVGAHRIFSVVLVPSSVSPRPSSTNPGSNKGSDLSRTLSRTVSVFSSSAALFDKLRRDKTSTRENACQDNKNNVLEGEQINNGILARLKSSTSRVHSMKNSNVPSTSDENPVNILNKETEVGSLRLSSRQISLLLSSIWTQSISPANTPQNYEAIAHTYSLVLLFSRTKNSSDEALIRSFQLAFSLRNIALKQEESLSPSRRRSLFTLATSMILFSSKAFNIIPLIYCTKVVLTEKMVDPYLRLVEDRKLEAVATDSGHPAIVYGSKDDDSSALKSLSEIDVTGNQSREFFAAEIAKSLANLANSEVSAKREKLLDEFLPDDVCPLGAQLFMDTPNQIDQVDSKDNSLVEGTPLFTVDDVFLDSLEGQTTQTTEIVFQATNLLSVNQLLESVLETTHQVGRLSVTAPDVSYKEMAHHCETLQMGKQQKMSHVMSVQLRQESLMNVPFQKYDDKARKATNPFLDQNLIASPQIPPIGTVQMQCATVYQHQPNFFRLPASSPFDNFLKAAGC >Potri.016G122650.1.v4.1 pep chromosome:Pop_tri_v4:16:12740875:12743199:1 gene:Potri.016G122650.v4.1 transcript:Potri.016G122650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G122650.v4.1 MASTICLFLLYLFISMIVEAQSVEFIFNGFNASEKDLSIDRASIIKPSGLLRLTNKTQYAVGHAFYSKPIQMLDTSSNSSPNASSFSTTFVFQIVSPKGKGGFGLAFALAPSNQLPGADAEHYLGLFNSSNDGNSSNHIFAVEFDTVNGFNENSDTEGNHVGVNINSMRSNSSRAASYSKDDNSNLFEDLMLESGEPIQAWIEYDGVAKLVNVTIGPMGQGRPIRPLITATEDLSAVVKDNMYAGFSSSTGKKTSSHYILGWSFSTEGPAPQLDLSRLIPVAPISEESSSSFQPSEIAIIASLCGVTTILFAILFFHAVYRKWPQSEALEDWELDCPHRFRYQDLHTATKGFKKSEIIGAGGFGAVYKGRLPTNGNEVAVKRITTNSIQGLRGFTAEIESLGRLRHKNLVNLQGWCKRNNDLLVVYDYIPNGSLAGLLFSRGNNFVLSWEQRFNIVKGIAAGLLYLHEEWEQVVIHRDVKSSNVLIDAGMNGRLGDFGLARLYDHGTMSHTTNIVGTVGYIAPELTRTGQASTSSDVYAYGILLLEVACGRKPVETSNFILTDFVIECRQKGRVLDAADPELNSAFVVKEMEVVLGLGLLCSHHKPEARPTMREVIRYLNWEDKLPVIDDLGSSDSPCRSSRYMGEVSIEMMTSSIDAGR >Potri.010G070200.4.v4.1 pep chromosome:Pop_tri_v4:10:9817866:9827282:-1 gene:Potri.010G070200.v4.1 transcript:Potri.010G070200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070200.v4.1 MKSSTRLDSAIFQLTPTRTRCDLIICVNGKTEKIASGLAQPFLDHLKTAQDQLAKGGYSIILEPGTDAAWFTKGTIERFVRFVSTPEVLERVYNLESEILQIEKGIAIQSNNDIGLSSVEDNRAKPAECIEGSRPPTDSSEEKAIVLYKPGSHPPEANGSTVQEGNSKVQLLKVLETRKTSLQKEQGMAFARAVAAGFDIDHMAHLMSFAESFGALRLMDACVRFMELWKRKHETGQWVEIEAAEAMSSRTDFSAMNASGIDLSNTINKQWPETPDSNRKAGVDPNADERPPTDQQPSPGQQEYFQAQFPHPMFPPWPIHSPPGAVPVFPGYPMQGIAYYQNYPGNNPVFQPPYPSGEDPRIHAGQRMRQRRHSMDSNTETEAWEVDALRTGSQDEAELEKETSRGRGRGRKGSHSGKKKSGTVVIRNINYITSKRQDSSVSESRSASGSENDEEDEILSDTAPNVKHRNSLRSSKRKGSHTKSTDELKLSDMAGTSYGKEEEGGHWKAFQNYLLKDADEAERVVDQGMFAMEKNVRAKRRQNTMGDDPLVFDGRDPVDNQEGDVTVMQKISGNLTRMTKASKDELLLSIKMGQPNDDRRLINGQMDLQSAEIDGRRGQYRMNANDDFIIHGRENKSGYRSLASDPLAVNGFETAKNDLDRRSSVNMDDDSYIVSLRSTSLDQAGTEGRNTIDMDSEFPSTVQRTESLSNRSQVKYEPDDLSLMPERGTEKGSIGYDPALDYDMQASLHKKNNEAVAGQGSKKSDKDRKSKLIPDTSDRKKPAGPIRKGKPSKLSPLDEAKARAERLRTFKADLQKMKKEKEEEEIKRLEALKLERQKRIAARGSSTTAQSASQRTSKQLPIKLSPGSQRGSKFSDSEPGSSSPLQRFSIKTVSAGSGDSQKVSRSSKLSTGTTSTVGNRLTQSVSSLSEPKKDNSGVTPDSKASVARIRRLSEPKISSRDHTSSTKPRNSESVSKPKLSSGADSKKISALMNHDKSKVASLPELKTKTTKGHDVVPGNSAAKEIPQKMNKSKSISTSKSTELKQNGNKISHHSDGDDNPIIEKTVVLECEKPTIPSVHASEQNIEVQDGHSNNYKIPEKTETVVDYANFQAPVSPFTMDGIDRNHTEHQLPKHPGVHEAASEHASHAEKELPKLSSTHIAEKPYHAPYARVSFMEDPCTENSEHGKATPTSLQTHSAGAETIKAHVSDLKSLKLEQIPEVLEKPQTKESSKGFRRLLKFGRKSQTAGERNVELDNVSLNGSEMDDNAAFSSEVHTLKNLISQDETPTAGPNQKTSRHFSLLSPFRSKSGEKKMTT >Potri.010G070200.5.v4.1 pep chromosome:Pop_tri_v4:10:9818925:9827253:-1 gene:Potri.010G070200.v4.1 transcript:Potri.010G070200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070200.v4.1 MKSSTRLDSAIFQLTPTRTRCDLIICVNGKTEKIASGLAQPFLDHLKTAQDQLAKGGYSIILEPGTDAAWFTKGTIERFVRFVSTPEVLERVYNLESEILQIEKGIAIQSNNDIGLSSVEDNRAKPAECIEGSRPPTDSSEEKAIVLYKPGSHPPEANGSTVQEGNSKVQLLKVLETRKTSLQKEQGMAFARAVAAGFDIDHMAHLMSFAESFGALRLMDACVRFMELWKRKHETGQWVEIEAAEAMSSRTDFSAMNASGIDLSNTINKQWPETPDSNRKAGVDPNADERPPTDQQPSPGQQEYFQAQFPHPMFPPWPIHSPPGAVPVFPGYPMQGIAYYQNYPGNNPVFQPPYPSGEDPRIHAGQRMRQRRHSMDSNTETEAWEVDALRTGSQDEAELEKETSRGRGRGRKGSHSGKKKSGTVVIRNINYITSKRQDSSVSESRSASGSENDEEDEILSDTAPNVKHRNSLRSSKRKGSHTKSTDELKLSDMAGTSYGKEEEGGHWKAFQNYLLKDADEAERVVDQGMFAMEKNVRAKRRQNTMGDDPLVFDGRDPVDNQEGDVTVMQKISGNLTRMTKASKDELLLSIKMGQPNDDRRLINGQMDLQSAEIDGRRGQYRMNANDDFIIHGRENKSGYRSLASDPLAVNGFETAKNDLDRRSSVNMDDDSYIVSLRSTSLDQAGTEGRNTIDMDSEFPSTVQRTESLSNRSQVKYEPDDLSLMPERGTEKGSIGYDPALDYDMQASLHKKNNEAVAGQGSKKSDKDRKSKLIPDTSDRKKPAGPIRKGKPSKLSPLDEAKARAERLRTFKADLQKMKKEKEEEEIKRLEALKLERQKRIAARGSSTTAQSASQRTSKQLPIKLSPGSQRGSKFSDSEPGSSSPLQRFSIKTVSAGSGDSQKVSRSSKLSTGTTSTVGNRLTQSVSSLSEPKKDNSGVTPDSKASVARIRRLSEPKISSRDHTSSTKPRNSESVSKPKLSSGADSKKISALMNHDKSKVASLPELKTKTTKGHDVVPGNSAAKEIPQKMNKSKSISTSKSTELKQNGNKISHHSDGDDNPIIEKTVVLECEKPTIPSVHASEQNIEVQDGHSNNYKIPEKTETVVDYANFQAPVSPFTMDGIDRNHTEHQLPKHPGVHEAASEHASHAEKELPKLSSTHIAEKPYHAPYARVSFMEDPCTENSEHGKATPTSLQTHSAGAETIKAHVSDLKSLKLEQIPEVLEKPQTKESSKGFRRLLKFGRKSQTAGERNVELDNVSLNGSEMDDNAAFSSEVHTLKNLISQDETPTAGPNQKTSRHFSLLSPFRSKSGEKKMTT >Potri.010G070200.6.v4.1 pep chromosome:Pop_tri_v4:10:9818645:9827193:-1 gene:Potri.010G070200.v4.1 transcript:Potri.010G070200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070200.v4.1 MKSSTRLDSAIFQLTPTRTRCDLIICVNGKTEKIASGLAQPFLDHLKTAQDQLAKGGYSIILEPGTDAAWFTKGTIERFVRFVSTPEVLERVYNLESEILQIEKGIAIQSNNDIGLSSVEDNRAKPAECIEGSRPPTDSSEEKAIVLYKPGSHPPEANGSTVQEGNSKVQLLKVLETRKTSLQKEQGMAFARAVAAGFDIDHMAHLMSFAESFGALRLMDACVRFMELWKRKHETGQWVEIEAAEAMSSRTDFSAMNASGIDLSNTINKQWPETPDSNRKAGVDPNADERPPTDQQPSPGQQEYFQAQFPHPMFPPWPIHSPPGAVPVFPGYPMQGIAYYQNYPGNNPVFQPPYPSGEDPRIHAGQRMRQRRHSMDSNTETEAWEVDALRTGSQDEAELEKETSRGRGRGRKGSHSGKKKSGTVVIRNINYITSKRQDSSVSESRSASGSENDEEDEILSDTAPNVKHRNSLRSSKRKGSHTKSTDELKLSDMAGTSYGKEEEGGHWKAFQNYLLKDADEAERVVDQGMFAMEKNVRAKRRQNTMGDDPLVFDGRDPVDNQEGDVTVMQKISGNLTRMTKASKDELLLSIKMGQPNDDRRLINGQMDLQSAEIDGRRGQYRMNANDDFIIHGRENKSGYRSLASDPLAVNGFETAKNDLDRRSSVNMDDDSYIVSLRSTSLDQAGTEGRNTIDMDSEFPSTVQRTESLSNRSQVKYEPDDLSLMPERGTEKGSIGYDPALDYDMQASLHKKNNEAVAGQGSKKSDKDRKSKLIPDTSDRKKPAGPIRKGKPSKLSPLDEAKARAERLRTFKADLQKMKKEKEEEEIKRLEALKLERQKRIAARGSSTTAQSASQRTSKQLPIKLSPGSQRGSKFSDSEPGSSSPLQRFSIKTVSAGSGDSQKVSRSSKLSTGTTSTVGNRLTQSVSSLSEPKKDNSGVTPDSKASVARIRRLSEPKISSRDHTSSTKPRNSESVSKPKLSSGADSKKISALMNHDKSKVASLPELKTKTTKGHDVVPGNSAAKEIPQKMNKSKSISTSKSTELKQNGNKISHHSDGDDNPIIEKTVVLECEKPTIPSVHASEQNIEVQDGHSNNYKIPEKTETVVDYANFQAPVSPFTMDGIDRNHTEHQLPKHPGVHEAASEHASHAEKELPKLSSTHIAEKPYHAPYARVSFMEDPCTENSEHGKATPTSLQTHSAGAETIKAHVSDLKSLKLEQIPEVLEKPQTKESSKGFRRLLKFGRKSQTAGERNVELDNVSLNGSEMDDNAAFSSEVHTLKNLISQDETPTAGPNQKTSRHFSLLSPFRSKSGEKKMTT >Potri.010G070200.2.v4.1 pep chromosome:Pop_tri_v4:10:9817875:9823434:-1 gene:Potri.010G070200.v4.1 transcript:Potri.010G070200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G070200.v4.1 MFPPWPIHSPPGAVPVFPGYPMQGIAYYQNYPGNNPVFQPPYPSGEDPRIHAGQRMRQRRHSMDSNTETEAWEVDALRTGSQDEAELEKETSRGRGRGRKGSHSGKKKSGTVVIRNINYITSKRQDSSVSESRSASGSENDEEDEILSDTAPNVKHRNSLRSSKRKGSHTKSTDELKLSDMAGTSYGKEEEGGHWKAFQNYLLKDADEAERVVDQGMFAMEKNVRAKRRQNTMGDDPLVFDGRDPVDNQEGDVTVMQKISGNLTRMTKASKDELLLSIKMGQPNDDRRLINGQMDLQSAEIDGRRGQYRMNANDDFIIHGRENKSGYRSLASDPLAVNGFETAKNDLDRRSSVNMDDDSYIVSLRSTSLDQAGTEGRNTIDMDSEFPSTVQRTESLSNRSQVKYEPDDLSLMPERGTEKGSIGYDPALDYDMQASLHKKNNEAVAGQGSKKSDKDRKSKLIPDTSDRKKPAGPIRKGKPSKLSPLDEAKARAERLRTFKADLQKMKKEKEEEEIKRLEALKLERQKRIAARGSSTTAQSASQRTSKQLPIKLSPGSQRGSKFSDSEPGSSSPLQRFSIKTVSAGSGDSQKVSRSSKLSTGTTSTVGNRLTQSVSSLSEPKKDNSGVTPDSKASVARIRRLSEPKISSRDHTSSTKPRNSESVSKPKLSSGADSKKISALMNHDKSKVASLPELKTKTTKGHDVVPGNSAAKEIPQKMNKSKSISTSKSTELKQNGNKISHHSDGDDNPIIEKTVVLECEKPTIPSVHASEQNIEVQDGHSNNYKIPEKTETVVDYANFQAPVSPFTMDGIDRNHTEHQLPKHPGVHEAASEHASHAEKELPKLSSTHIAEKPYHAPYARVSFMEDPCTENSEHGKATPTSLQTHSAGAETIKAHVSDLKSLKLEQIPEVLEKPQTKESSKGFRRLLKFGRKSQTAGERNVELDNVSLNGSEMDDNAAFSSEVHTLKNLISQDETPTAGPNQKTSRHFSLLSPFRSKSGEKKMTT >Potri.009G163600.6.v4.1 pep chromosome:Pop_tri_v4:9:12558063:12560695:1 gene:Potri.009G163600.v4.1 transcript:Potri.009G163600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163600.v4.1 MSFFSKKPRSSSSSSSSSSYAPSAPSLPESYDQRGQAYSTSHQSNHSQQQQQSYYGQGNGGGSSYGHSGFPPGTSPDVIRSFEMVDRDRSGFIDENELQQAVSSGYQRFSIRTIRLLMFLFKNPHDPLRFGPKEFAALWGCLGQWRGIFERYDKDRSGKIDLFELRDALYSLGFAIPSSVLQVLISKYDDGSGRRIELNFDSFVECGMILKGLTEKFKEKDKRHTGTTTFNYDEFMSMVIPFLVSYD >Potri.007G042700.2.v4.1 pep chromosome:Pop_tri_v4:7:3641874:3642614:-1 gene:Potri.007G042700.v4.1 transcript:Potri.007G042700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042700.v4.1 MAIMCCFQLNPNREMTVEDFKAWLRQFEMDNDGRVSHEELKEAIQSLRVWFPWWKARQVMKVADTNHNGQIEGVEEIEKLVNYAQQHLHMKIHRSHGRW >Potri.010G196800.1.v4.1 pep chromosome:Pop_tri_v4:10:19043437:19047693:-1 gene:Potri.010G196800.v4.1 transcript:Potri.010G196800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G196800.v4.1 MANNFSFSCFLLISLTCSLFNGCHCSSDEDKKVYIVYMGDRPKSDISVSALHISMLQNVVGSGASDSLLYSYHRSFNGFVAKLTKEEKEKMAGLDGVVSVFPSQKKKLHTTRSWDFMGFPQNVTRATSESDIIVAMLDTGIWPESESFNGEGYGPPPSKWKGTCQASSNFTCNNKIIGARYYHSEGKVDPGDFASPRDSEGHGTHTASTAAGRLVSEASLLGLATGTARGGVPSARIAAYKICWSDGCSDADILAAFDDAIADGVDIISLSVGGWPMDYFEDSIAIGAFHSMKNGILTSNSAGNSGPDPESISNCSPWSLSVAASTMDRKFVTPVTLGNGAIYEGISINTFEPGNIVPPFIYGGDAPNKTAGYDGSESRYCPLDSLNSTVVEGKVVLCDQISGGEEARASHAVGSIMNGDDYSDVAFSFPLPVSYLSSSDGADLLKYLNSTSEPTATIMKSIETKDETAPFVVSFSSRGPNPITSDLLKPDLTAPGVDILAAWSEATTVTGSPGDTRVVKYNIISGTSMSCPHASGAAAYVKAFNPTWSPAAIKSALMTTASSMSSSINNDAEFAYGSGHINPAKAIDPGLVYDAGEIDYVRFLCGQGYNATQLLIITGDNSTCSAETNGTVWDLNYPSFALSAKSGLTITRIFHRTVTNVGSATSTYKSITNAPSGLNIQIEPDVLSFQSLGQQLSFCVTVEATLGQTVLSGSLVWDDEVHQVRSPVVANPSH >Potri.010G243002.1.v4.1 pep chromosome:Pop_tri_v4:10:21974026:21974502:1 gene:Potri.010G243002.v4.1 transcript:Potri.010G243002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243002.v4.1 MFDEAIDVLFQNSKVRGFVPRIWSCYCLTNLLIECRIVEMVVATYQHLQSIDSSPNDYTYTLAIEAFCVRGGSEEAVDVFWEIQEPGVTPNAFAHTTYIEGLCTHQGSDPGCKMLQGLTRVKIPIDVYVYTAVICGFCNEMKLKRQRVSCLTWKNKAL >Potri.008G053300.2.v4.1 pep chromosome:Pop_tri_v4:8:3140912:3143072:1 gene:Potri.008G053300.v4.1 transcript:Potri.008G053300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053300.v4.1 MFDDQDLGFFPNFLGIFIFVLVIAYHYVMADPKYEGN >Potri.009G167900.1.v4.1 pep chromosome:Pop_tri_v4:9:12802724:12805469:-1 gene:Potri.009G167900.v4.1 transcript:Potri.009G167900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167900.v4.1 MGFGRKKASSSAKTFPPREDTPLIAKSTPLSSQSKTFANVFIAIVGAGVLGLPYAFKRTGWLMSLIMLFSVAGLTHYCMMLLVNTRGKLQSFSGGFSKITSFGDVGFTVCGSIGRFVVDVMIVLSQAGFCIGYLIFIANTLANLFNSPSPNGLASQILALSMSAKSWYMWGCFPFQLGLNSIATLTHLAPLSIFADVVDLAAMGVVIVKDVFIMMENRAEVRAFGGLSVFFYGMGVAVYAFEGIGMVLPIESEMREREKFGRILGLSMGLISVIYGAFGVLGYFAFGNDTQDIITANLGPGLISLLVQLGLCINLFFTFPLMMNPVYEILERRFWGGRYCLWLRWVSVLLVTLVALMVPNFADFMSLVGSSVCCGLGFVLPALFHLLVFKEEMSWKGWSIDVGIVALGLVLAVSGTWYALMEIFAVKV >Potri.016G038000.1.v4.1 pep chromosome:Pop_tri_v4:16:2346194:2348557:1 gene:Potri.016G038000.v4.1 transcript:Potri.016G038000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038000.v4.1 MAITGDSLSQFHVLAVDDSLIDRKLIERLLKTSSYQVTTVDSGSKALKFLGLQEDEQSNPDTPYVSPNNHQEMEVNLIITDYCMPGMTGYDLLKKVKESSSLRNIPVVIMSSENVPSRITRCLEEGAEEFFLKPVRLSDLNRLKPHMMKTKIKNQKQEEQEELEIPAIQSEEQKQPVQQPSSQPQPESQPQPSALLLQPNNNKRKAMEEGLSPDRTRPRYNGITTMV >Potri.016G060550.1.v4.1 pep chromosome:Pop_tri_v4:16:4173346:4173819:-1 gene:Potri.016G060550.v4.1 transcript:Potri.016G060550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060550.v4.1 MKERNTTSTLRRVLVNCAAQAKEYGGCVAAKVPEIERDMCLKEFLALKNCMQNTIRGKA >Potri.001G291866.1.v4.1 pep chromosome:Pop_tri_v4:1:30380376:30382110:1 gene:Potri.001G291866.v4.1 transcript:Potri.001G291866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291866.v4.1 MRSRPQTPPLSKPEDDTDPMTRPAPASTTTSHNVPLPSSRKKGTGVRPWLLLDSTGQAQVVEVGKHAIMRPTGLPARDLRILDPLLSYPSTVLGRERAIVINLEHIKAIITAQEVLLLNSRDPPVTPFVEELQGRLMFHYHATKAQEGNGDHSKANPYHVEEPESNGLSPENFQKAFHIIRIATREQNRVSRIKMNQRFFHLSLLHWKHALRLHAVA >Potri.002G009000.2.v4.1 pep chromosome:Pop_tri_v4:2:528236:529729:-1 gene:Potri.002G009000.v4.1 transcript:Potri.002G009000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009000.v4.1 MKGGRSKSDTKSAKLSVNKKPTKGAAAAAAKKSGKAAKDPNKPKRPASAFFVFMEEFREQYKKEHPKNKSVAAVGKAGGDKWKSLSAAEKAPYVAKADKRKVEYEKKMKAYNKEQAEGPKEEEESEKSVSEVNDEDEDDEEGSAEEDDDDE >Potri.001G124800.1.v4.1 pep chromosome:Pop_tri_v4:1:10264269:10265930:1 gene:Potri.001G124800.v4.1 transcript:Potri.001G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124800.v4.1 MLIFGKMAPTSLTARFQQSVTPASLRAYLAEFISTFFYVFAVVGSAMASRKLLPDAAADPSSLVIVAIANAFALSSAVYIAANASGGHVNPAVTFGMAVGGHINVPTALFYWISQLLASVMASIFLKVTTVGQHVPTYTIAEEMTGFGASLLEGVMTFGLVYTVYAAGDPRRSSLGAIGPLAVGLMAGANVLAAGPFSGGSMNPACAFGSAVIAGKFKNQAVYWVGPLIGASVAGLLYDNVVFPTQAPDSVRRGVSEGVGV >Potri.001G025701.1.v4.1 pep chromosome:Pop_tri_v4:1:1924794:1930000:-1 gene:Potri.001G025701.v4.1 transcript:Potri.001G025701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025701.v4.1 MSSSGILALFIVCFCTSGRLWATATQVTYDNRAIIIDGKHRVLVSGSIHYPRSTTQMWPDLMKKSREGGLDAIETYVFWNAHEPARRQYDFSGNLDLVRFLKAIQDEGLYAVLRIGPYVCAEWNYGGFPVWLHNMPGIQMRTDNDVFKNEMQNFTTLIVDMVKRENLFASQGGPVILAQIENEYGNVMSSYGDEGKAYMNWCANMAQSLDIGVPWLMCQQDDAPEPMISTCNGWYCDQYQPRRSNIPKMWTENWTGWYKNWGGKDPHRTAEDLAFAVARFYQLGGTFQNYYMYHGGTNFGRSAGGPYITTSYDYDAPLDEYGNLNQPKWGHLKQLHDLLHSMEDILTTGNVSSVDLGDDSVWGTIYSNEGGSSCFLANVDQGTDKTINFQGINYTVPAWSVSILPDCQNVSYNTAKVSTQTSVMVKKANVAEDEPISLKWSWRPEMDDKTILLGKGDVTINQILDQKEAANDLSDYLFYMTSINLKKDDPVWSYNMTLRVKSSGQILHAFVNGELIGSQWSKNGGESFVFEQNVKLNHKKNTISLLSATVGFTNYGANFDLVPSGITGPVELIGYHGDDETVAKDLSSQKWSYKIGLEGLNQELYSSQSLKWQEDSFPVNRTLTWYKTVFKAPLGTDPVVVDLLGMGKGLAWVNGNSIGRYWPSFIAEGDCNLEPCDYRGSYDSSKCLSNCGHPTQRWYHVPRSFLNDTGDNTLVLFEEFGGNPSSVNFRTIAIGSACISAEENRKIELSCQGRPISAVKFASFGNPQGSCGSFVKGFCEGSKDALSVVEKACVGQESCTIDVSEDTFGSTTCGDDVIKTLSVEAIC >Potri.002G160000.1.v4.1 pep chromosome:Pop_tri_v4:2:12199376:12205736:-1 gene:Potri.002G160000.v4.1 transcript:Potri.002G160000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160000.v4.1 MAKKSQRHPVRYEREQSGCMWGLITMFDFRHGRSTQKLISDRRRGTRHAVGTGTPKNKVDNLSENCQGMIDGEESRKVTDDTSKLSVKKLIEEEMFGEQDIKKEINNPGVEPKQSNSENGDHRRRKSRTKSFDIHIEDHNVSESLESERPCLHNLEKQTTCSLDIGEIMEDFCRQIHQKSFGNVERDQLDEVHHQLNQKNPEFEEKLSEAIKLINEKLINWKHVAEDGEFHPSKELRDALQILVSDEELFPKLLQGPKSIMVKHVQSLWNAQVEKDEESKSLPGLNSSEQGLHGFRHSDEAIHGKQHKFFRRKTKSLEKNPSKENKASQASNRIVILKPGPTSLLPPKNESIIGSSRKSQFTIGDKVPNERFGSNFSLTEIRRKLKNAMGKERQDTSTDGTSKKFANKQQAVGNSEKGSKENLGRSSPSKDHFFIEKIARPPVVGKMREKTGKLKEYEISMECEAAIYPKHRASNIYIEAKKHLSEMLSTGQGDVDFSSEQVPKTLGRILSLPEYSLSPTGSPGKDWEQGFLTAQMRFSANDKFQKHEANVSHLGRIALNSEPQSSVSNDSTDCIEQASSNPNASASNELHDKEDKTLCSVGDEMPSEGEAEVVKETETAIDEESDVLDTLFEPSKSPLDGDGRNGDMSEVCDKKENSECLEHDSEEQPPTSPLTSPSTSSNTKKLDCLEGPSEIPERPSPISVLEPLFTEEDVSPASSRFEPVELTVQPSRIQFEEHESSAADRIPLKASLDDKESVFEYVKAVVQASGMKWDEFYMRSHSSEQLLDQSIFFEVEFFSNQLCCDKKLLFDSINEVLMEVYGRYFGCFSGLSFVQSNIRPVPDVKNGIYEVWEGVSWHLLPLPMPHTLDQLVKKDMAKTGTWMNLQYDIETILFEIGEDIFEDLMEEIVFGDLMEENLFIHANESLEGGNQLISAELKETESSMSS >Potri.007G139800.1.v4.1 pep chromosome:Pop_tri_v4:7:15025694:15030822:1 gene:Potri.007G139800.v4.1 transcript:Potri.007G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139800.v4.1 MDGGGTADTEMSDAPEQEQAPPQPMGGGGGGGGGGMENIPATLSHGGRFIQYNIFGNIFEVTAKYKPPIMPIGKGAYGIVCSALNSETNEHVAIKKIANAFDNKIDAKRTLREIKLLRHMDHENVVAIRDIIPPPQRESFNDVYIAYELMDTDLHQIIRSNQVLSEEHCQYFLYQILRGLKYIHSANVLHRDLKPSNLLLNANCDLKICDFGLARVTSETDFMTEYVVTRWYRAPELLLNSSDYTAAIDVWSVGCVFMELMDRKPLFPGRDHVHQLRLLMELIGTPSEAELGFLNENAKRYIRQLPLHRRQSFTEKFPTVHPAAIDLIEKMLTFDPRQRITVEEALAHPYLTSLHDISDEPICMTPFSFDFEQHALTEEQMKELIYREALAFNPEYQN >Potri.008G147200.2.v4.1 pep chromosome:Pop_tri_v4:8:10026783:10031296:1 gene:Potri.008G147200.v4.1 transcript:Potri.008G147200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147200.v4.1 MAEESFVGDLPVKRPREDEENGAFAATEAMETETNSSDYISSVIPGWFSEISPMWPGEAHSLKVEKILFQGKSDYQNVMVFQSSTYGKVLVLDGVIQLTERDECAYQEMITHLPLCSIVNPKKVLVIGGGDGGVLREVARHSSVEQIDICEIDKMVVDVSKKFFPDVAIGYEDPRVTLRVGDGVAFLKAVPEGTYDAIIVDSSDPIGPAQELFEKPFFESVAKALRPGGVVSTQAESIWLHMNIIEDIVANCRQIFKGSVNYAWTTVPTYPSGMIGFMLCSTEGPPVDFKHPVNPIDVDDSQRKSKPLKFYNSELHTAAFCLPSFAKKVIDSGAE >Potri.007G081650.1.v4.1 pep chromosome:Pop_tri_v4:7:10611285:10612139:-1 gene:Potri.007G081650.v4.1 transcript:Potri.007G081650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081650.v4.1 MHGTCKDKQTSLLTAETKRVRGMEKLAQDLKKGFLNLFEAIRGSRNSQGNENGEEAKSESVEGVTVQEEGIKVTARGPKLPDVPKGPPPKNA >Potri.006G256000.4.v4.1 pep chromosome:Pop_tri_v4:6:25337935:25343977:-1 gene:Potri.006G256000.v4.1 transcript:Potri.006G256000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256000.v4.1 MKLFTDLKKAFPRKNIPPAPPKGLLRLKSRALLEERRYSLEQWMTKLLSDIDLSRSVAVASYFELEAAARSSFQDGNQQSSEASPTGDGSTSSLQIPPNLSSSLATSSSIASDYGSDTAYETSDLGTPKLARDGNFGIGLGDLALDEDLTGPIEKLVKYGMTNIDEGLFMGQTILEQLEGFPRHTPHGGHMNNVIGKDGYNGNASKDSFLTGNGMELYSEPEPGKVFGHVRKLSCDSVESDGSSLRGSEISNSGIPNSSGDGYLDLPGVAEISSSTEILGNTEMQFSGDAHIVLPLDQRHKMNRVLLTMQRRLVTVKTDMEDLVSRLNQEIAVKDYLTTKVKDLEVEFETTKQKNKETMQQAILIERERLTQMQWDMEELRRKSLEMELKLKSKEGEQRSTEFQMAPTDHEKDIALEELDATRKQLEELSKRYEELEAKSKADFKFLAKEFKSLKSSQTTLKQELSQSLKEKSEVEKLLYEEREMKEHEKITRKKLLNDCSILYDQLQECNGNLSSEDNFIVNSSLGDALDLLTTSDDQISHLLAEAQLLFEDDKTTAPNKDTRATDDELREMLANIFTDNAKLRKQVNSIMRHALKMGSICRSSNDEAPSSNNHDVER >Potri.006G256000.1.v4.1 pep chromosome:Pop_tri_v4:6:25337818:25344043:-1 gene:Potri.006G256000.v4.1 transcript:Potri.006G256000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256000.v4.1 MMQRQRSPPKHRHDGTSPLPLGMDWSPPPRKWSGRETVWPHDPRTGWSYCVTIPSWAVLPKSRDSDPVVFYRVQVGVQSPEGATTIRGVLKRFNDFMKLFTDLKKAFPRKNIPPAPPKGLLRLKSRALLEERRYSLEQWMTKLLSDIDLSRSVAVASYFELEAAARSSFQDGNQQSSEASPTGDGSTSSLQIPPNLSSSLATSSSIASDYGSDTAYETSDLGTPKLARDGNFGIGLGDLALDEDLTGPIEKLVKYGMTNIDEGLFMGQTILEQLEGFPRHTPHGGHMNNVIGKDGYNGNASKDSFLTGNGMELYSEPEPGKVFGHVRKLSCDSVESDGSSLRGSEISNSGIPNSSGDGYLDLPGVAEISSSTEILGNTEMQFSGDAHIVLPLDQRHKMNRVLLTMQRRLVTVKTDMEDLVSRLNQEIAVKDYLTTKVKDLEVEFETTKQKNKETMQQAILIERERLTQMQWDMEELRRKSLEMELKLKSKEGEQRSTEFQMAPTDHEKDIALEELDATRKQLEELSKRYEELEAKSKADFKFLAKEFKSLKSSQTTLKQELSQSLKEKSEVEKLLYEEREMKEHEKITRKKLLNDCSILYDQLQECNGNLSSEDNFIVNSSLGDALDLLTTSDDQISHLLAEAQLLFEDDKTTAPNKDTRATDDELREMLANIFTDNAKLRKQVNSIMRHALKMGSICRSSNDEAPSSNNHDVER >Potri.006G256000.3.v4.1 pep chromosome:Pop_tri_v4:6:25337846:25343987:-1 gene:Potri.006G256000.v4.1 transcript:Potri.006G256000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256000.v4.1 MMQRQRSPPKHRHDGTSPLPLGMDWSPPPRKWFYRVQVGVQSPEGATTIRGVLKRFNDFMKLFTDLKKAFPRKNIPPAPPKGLLRLKSRALLEERRYSLEQWMTKLLSDIDLSRSVAVASYFELEAAARSSFQDGNQQSSEASPTGDGSTSSLQIPPNLSSSLATSSSIASDYGSDTAYETSDLGTPKLARDGNFGIGLGDLALDEDLTGPIEKLVKYGMTNIDEGLFMGQTILEQLEGFPRHTPHGGHMNNVIGKDGYNGNASKDSFLTGNGMELYSEPEPGKVFGHVRKLSCDSVESDGSSLRGSEISNSGIPNSSGDGYLDLPGVAEISSSTEILGNTEMQFSGDAHIVLPLDQRHKMNRVLLTMQRRLVTVKTDMEDLVSRLNQEIAVKDYLTTKVKDLEVEFETTKQKNKETMQQAILIERERLTQMQWDMEELRRKSLEMELKLKSKEGEQRSTEFQMAPTDHEKDIALEELDATRKQLEELSKRYEELEAKSKADFKFLAKEFKSLKSSQTTLKQELSQSLKEKSEVEKLLYEEREMKEHEKITRKKLLNDCSILYDQLQECNGNLSSEDNFIVNSSLGDALDLLTTSDDQISHLLAEAQLLFEDDKTTAPNKDTRATDDELREMLANIFTDNAKLRKQVNSIMRHALKMGSICRSSNDEAPSSNNHDVER >Potri.005G234800.1.v4.1 pep chromosome:Pop_tri_v4:5:23315999:23319352:1 gene:Potri.005G234800.v4.1 transcript:Potri.005G234800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234800.v4.1 MGKKKFIDKKKAATFQLFARDSSDPNFDGTDRVFVRVDNNPYTVESLLPGNKISSNSHFDDDPNSIFADAPDDMEDGGNGSFGNSDNFGGVFFGESSSEPFPEDVRREILELGFPDDGYNYLLHLREIKSTVNGSGFFQNPKAKLNQLPHDVKAYDASRLKVSELKSEDRNDKSIYHVASKSVGVRVQKAVDPEVAALLDDSDLSRFGSDVEDLEEDFVVRANLPEGEDDLDAGDLVEGSKVINEGINEYVNYGRENVVDRSGVEKAINAPVEVRGDFGDEKQRVRRPLDEQFDLLESQEYGTDGEGDEYDGYIAEEDEFLAGKLKHALNDHAVDDLELDEKYEVPADLLHGNDRPKDKELLESAAAVIRRCREYGKKYENEDEDKEVIIEEESSDESEKWDCETIVSTYSNLDNHPVKIGAPETARKKMLSKAVIGALNASSHVIALGGKEKLPVDFLPRRKPAAEKVKGAPSLQVEQQKRKQQGQETKDEKKERKAAVKEEKREARRVKKEIKGLYRCEAQRAQKAAAVAGPSSIHLV >Potri.009G042500.1.v4.1 pep chromosome:Pop_tri_v4:9:4955816:4959701:1 gene:Potri.009G042500.v4.1 transcript:Potri.009G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042500.v4.1 MDMAPWIRVLVLVACLFPASVESMVRHYKFNVVMKNSTKLCSTKPIVTVNGQFPGPTLVAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQPGQSFVYNFTITGQRGTLLWHAHILWLRATVHGAIVILPKRGVPYPFPTPRKEKVIILGEWWKSDVEAVINEATKSGIAPNVSDAHTINGHPGPVSACSSHGGYNLSVHPGKTYMLRIINAALNEELFFKIAGHQLTVVEVDATYVKPFKIDTVVIAPGQTTNVLVTANRGSGQYLVAASPFMDAPIAVDNVTATATLHYSGTLASTITTLTVPPAKNATPVATNFTNALRSLNSIKYPARVPLKIDHSLFFTVGLGVNPCATCINGSRVVADINNVTFVMPTIALLQAHVFNISGVFTDDFPANPPTPFNYTGTQPTNFQTVKGTKLYRLAYNNTVQLVLQDTGMLTPENHPVHLHGFNFFEVGRGVGNFDPNKDPKKFNLVDPVERNTIGVPAGGWTAIRFIADNPGVWFMHCHLEVHTTWGLKMAFVVDNGKGPNESVLPPPPDLPKC >Potri.001G204000.1.v4.1 pep chromosome:Pop_tri_v4:1:20643476:20656352:-1 gene:Potri.001G204000.v4.1 transcript:Potri.001G204000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204000.v4.1 MAFASMLCCVKNSDRKERGKKQLTWRIFSLKELHSATNNFNYDNKLGEGGFGSVYWGQLWDGSQIAVKRLKVWSNKGDMEFSVEVEILARVRHKNLLSLRGYCAEGQERLIVYDYMPNLSLLSHLHGQHSAECLLDWKRRMNIAIGSAAGITYLHHHATPHIIHRDIKASNVLLDSDFQAQVADFGFAKLIPDGATHVTTRVKGTLGYLAPEYAMLGKASESCDVYSFGILLLELATGKRPLEKMSPTVKRTITDWALPLACERKFSELADPKLNGKYDEEELRRVVFVSLVCTHTQPERRPTMLDVVELLKGESKEKLSELENDEMFKAPQAADFDDEEISIAENSSDFISEEKDVNREVKEIVQENTHNS >Potri.006G118500.1.v4.1 pep chromosome:Pop_tri_v4:6:9315348:9317838:1 gene:Potri.006G118500.v4.1 transcript:Potri.006G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118500.v4.1 MITADQHIATPPNFIVSCFCFLLLLSCFCSSQKTCPNCGSIQVPYPLSTYSTCGDPNYHLRCDSHSQKLYFDAMNGSSYLVLRIMASFQRMVVQPSPWVSASSACVTQDMVVSEGLRLNQTLPFNLTFSNTIFLYNCSPRLLLTPLNCTPSSLCHRYLESSGHVDKNRALKCAGSPDLSPCCTFVAGGMPSAYRIRLHNSGCKAFRSILSLDPEKPASQWEEGVEIQWASPPEPICNSKLDCSGPSKCLPAGRSGLSRCLCNRGYYWDRVRGTCFRNKHKSKAAGLLGLEVSIGVICFISLGAIIVLITVRKSSKRFNQAKLDKAREDMLKSSDSGKNARMFQLKEVKKATNGFSQDRILGSGGFGQVYKGELQDGTVVAVKSAKVGNLKSTQQVLNEVGILSQVNHKNLVRLLGCCVEGEQPLMIYEYISNGTLYDHLHGNGSSTFLGWRERLRIAWQTAEALAYLHSGTYTPIYHRDVKSTNILLDDEFNAKVSDFGLSRLARPGLSHVSTCAQGTLGYLDPEYYRNYQLTDKSDVYSYGVVLLELLTSQKAIDFSRDQDDVNLAIYVSQAAKNGAIMEVVDQRLTGTEPSSNVLNSVQLFSELAFACLREKKADRPSMREVVQQLERMVKIELEEISQGSEL >Potri.002G053100.1.v4.1 pep chromosome:Pop_tri_v4:2:3589549:3591420:1 gene:Potri.002G053100.v4.1 transcript:Potri.002G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053100.v4.1 MAASVDSPSPAAHLNKESTSLMVSSPLFSPDSDKRFWSALRSRMGTLLENRQRHVSIGQDQLNLDPSFGTYVRAGESDRAKRMKEDSLLLLRGFDSISQNLSQLSNNLDNALQGARHLAEPPTLREIFHSVLEDSEIKREDEEKLQNEEEGKKVLKRKFDPDDRSEDQENDFHKGNEQCLENKRLKRAKNLAVSMATKAAALARELKSIRSDLCFMQERCALLEEENRRIRDGFCEGTRPEEDDLMRLQMEALLAEKSRLANENANLKRENQCLHQLVEYHQITTQDLSASYEQVIRGMCLDFSSPSSSIMEDNEDDCEIAKTPQKNVFGFATSIDESCYEGGDE >Potri.002G194400.1.v4.1 pep chromosome:Pop_tri_v4:2:15724942:15727340:-1 gene:Potri.002G194400.v4.1 transcript:Potri.002G194400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194400.v4.1 MAKSPSRVIWTGSRAVRHLCVAAEAVPVAAEKRKRMYRRLSELGASGGSVSKTLNELILEGGKTSKINLTTCIKKLRKYGRFDHAIEVMEWMQKRKMNFSHVDHAVYLDLTAKTKGIAAAENYFDNLPPSVQNHVTYSTLLNCYCKERMSEKALTLFEKMDKMKLLSTSMPFSNLMTLHMRLGQPEKVLDIVQEMKQRGVSPGTFTYNIWMQSYGCLNDFEGVQRVLDEMKMDGKENFSWTTYSNLATIYVKAGLFDKAESALRKLEEQIECGRDCDFQKKRRHDADREAYHFLISLYAGTSNLSEVHRVWNSLKSSFRTTTNISYLNVLQALAKLKDVEGLLKCFKEWESSCHSYDMRLANVAIRACLEHDMYEEAASIFDEALKRTKGLFFKAREMFMVFFLKNHQPDLALKHMKAAFSEAKEIEWQPDQKTVSAFLNYFEDGKDVDGAERLCKIWKQINRLNSNAYILLLKTYTAAGRLAPEMRQRLEEDNIEINPELENLLERVSPK >Potri.006G014400.2.v4.1 pep chromosome:Pop_tri_v4:6:903118:906546:1 gene:Potri.006G014400.v4.1 transcript:Potri.006G014400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014400.v4.1 MAVVVDAMLQSLTQQVFTALQEHARFALDFKDQFELMKTRLDLTKALLADTENLKEKKKVVKAILISLRDLIYEADNIMTDCLVRDEYQKEASCTSLTLKKPLFWYQTGKKLKDVNAKLGEMEKSLGEHLKYQDQSDHGDNTAQSMKYTAQDYVPSEIIGLEEDLKRLKGWIGDTKDELLLVGIVGMGGLGKTTFAQKIFNDQHVAGRYDNMIWVSVSQVSSDMRIMRSMLEQLESHCSVSDEAQMLHKLNELLKGKTCLIVMDDVWKINQGWWNQFFSGLQSAVGESSCIIITTRNEEVLTSMGVDKSRVHRPKLLTANDSWLLFSKSAFSRCRDRKCPDAQFERVGKELLEKCGGLPLAIKAIAALLAPRSNSVRQWNEINENFHELTAGRNFNSVMASLQLSYDELPIHLKQCLLCFSVYPEDSEIQAEQLVHWWVAEGLIQGKGSKTAKELGFRYLSELVTRCLVEAVNRRGYDGRVYCCKMHDMVRELTTRIAEEESFGKFDEQGKQEMTANSRWLGFTSEMNPEPLKKSSKLRALLIMSTNDQVVFGRHFGLLGSLRVLDFSLTKLEKISTEELVEWISSLKRLAYLNLSGIVGLKEVPYSFRKLRNLQFLVFAGRNDLGKIDQITSLKKLVVLDLGSCFHYLPSGIERLSYLQELSGFKVASSSRSAASCKFCDLEKLVHLRVLRMSIGKDSEITENEREVLLKLKKLKVLAIDAQDCEDNIISTMLNILSPPPSLQELYLRRYHQGTLPTWINPERLSKLQYICIENGEIIDFQTSPLSVDGRDFPWNIEGLCFKVLRNLKLDWKNVEKDMPSLQYAEVSGCLNLINFPHPLDKLATWRKSED >Potri.006G014400.3.v4.1 pep chromosome:Pop_tri_v4:6:903203:906545:1 gene:Potri.006G014400.v4.1 transcript:Potri.006G014400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014400.v4.1 MAVVVDAMLQSLTQQVFTALQEHARFALDFKDQFELMKTRLDLTKALLADTENLKEKKKVVKAILISLRDLIYEADNIMTDCLVRDEYQKEASCTSLTLKKPLFWYQTGKKLKDVNAKLGEMEKSLGEHLKYQDQSDHGDNTAQSMKYTAQDYVPSEIIGLEEDLKRLKGWIGDTKDELLLVGIVGMGGLGKTTFAQKIFNDQHVAGRYDNMIWVSVSQVSSDMRIMRSMLEQLESHCSVSDEAQMLHKLNELLKGKTCLIVMDDVWKINQGWWNQFFSGLQSAVGESSCIIITTRNEEVLTSMGVDKSRVHRPKLLTANDSWLLFSKSAFSRCRDRKCPDAQFERVGKELLEKCGGLPLAIKAIAALLAPRSNSVRQWNEINENFHELTAGRNFNSVMASLQLSYDELPIHLKQCLLCFSVYPEDSEIQAEQLVHWWVAEGLIQGKGSKTAKELGFRYLSELVTRCLVEAVNRRGYDGRVYCCKMHDMVRELTTRIAEEESFGKFDEQGKQEMTANSRWLGFTSEMNPEPLKKSSKLRALLIMSTNDQVVFGRHFGLLGSLRVLDFSLTKLEKISTEELVEWISSLKRLAYLNLSGIVGLKEVPYSFRKLRNLQFLVFAGRNDLGKIDQITSLKKLVVLDLGSCFHYLPSGIERLSYLQELSGFKVASSSRSAASCKFCDLEKLVHLRVLRMSIGKDSEITENEREVLLKLKKLKVLAIDAQDCEDNIISTMLNILSPPPSLQELYLRRYHQGTLPTWINPERLSKLQYICIENGEIIDFQTSPLSVDGRDFPWNIEGLCFKVLRNLKLDWKNVEKDMPSLQYAEVSGCLNLINFPHPLDKLATWRKSED >Potri.006G014400.1.v4.1 pep chromosome:Pop_tri_v4:6:903023:906597:1 gene:Potri.006G014400.v4.1 transcript:Potri.006G014400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G014400.v4.1 MAVVVDAMLQSLTQQVFTALQEHARFALDFKDQFELMKTRLDLTKALLADTENLKEKKKVVKAILISLRDLIYEADNIMTDCLVRDEYQKEASCTSLTLKKPLFWYQTGKKLKDVNAKLGEMEKSLGEHLKYQDQSDHGDNTAQSMKYTAQDYVPSEIIGLEEDLKRLKGWIGDTKDELLLVGIVGMGGLGKTTFAQKIFNDQHVAGRYDNMIWVSVSQVSSDMRIMRSMLEQLESHCSVSDEAQMLHKLNELLKGKTCLIVMDDVWKINQGWWNQFFSGLQSAVGESSCIIITTRNEEVLTSMGVDKSRVHRPKLLTANDSWLLFSKSAFSRCRDRKCPDAQFERVGKELLEKCGGLPLAIKAIAALLAPRSNSVRQWNEINENFHELTAGRNFNSVMASLQLSYDELPIHLKQCLLCFSVYPEDSEIQAEQLVHWWVAEGLIQGKGSKTAKELGFRYLSELVTRCLVEAVNRRGYDGRVYCCKMHDMVRELTTRIAEEESFGKFDEQGKQEMTANSRWLGFTSEMNPEPLKKSSKLRALLIMSTNDQVVFGRHFGLLGSLRVLDFSLTKLEKISTEELVEWISSLKRLAYLNLSGIVGLKEVPYSFRKLRNLQFLVFAGRNDLGKIDQITSLKKLVVLDLGSCFHYLPSGIERLSYLQELSGFKVASSSRSAASCKFCDLEKLVHLRVLRMSIGKDSEITENEREVLLKLKKLKVLAIDAQDCEDNIISTMLNILSPPPSLQELYLRRYHQGTLPTWINPERLSKLQYICIENGEIIDFQTSPLSVDGRDFPWNIEGLCFKVLRNLKLDWKNVEKDMPSLQYAEVSGCLNLINFPHPLDKLATWRKSED >Potri.002G241100.4.v4.1 pep chromosome:Pop_tri_v4:2:23309119:23313420:-1 gene:Potri.002G241100.v4.1 transcript:Potri.002G241100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241100.v4.1 MYFQAQKRKLGLLENDGTMDSAKEQNTGDQNGGVEFKGITRNRENSDRAFYKELVKVVEASDVILEVLDARDPLGTRCVDMEKMVMKSGYDKHLVLLLNKIDLVPREAVEKWLKYLREEFPAVAFKCNTQEQRSNLGWKSSSKSAKTSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLKSVESDASVALRNCKRIEKLDDPVGPVKEILKLCPDRLLVTLYKIPSFDSVDDFLQKVATVRGRLKKGGIVDVDAAARIVLHDWNEGKIPYYTMPPARNQGEPSEAKIVSELGKEFNIDEVYNGESSFIGSLKSADDYNPVEVPPSCPLNFDEKLIEGDGDEVQPSTKGDESSKELVNNDDEPMESEDDNANKTKAKTEISRQNEKLYSAEGILNTKMKRAEKKRRKKAAKVDTMDGDYDFKVDYKKGSAMNVEDRSGKMDDDSPIPAEVPMSGIQCDDE >Potri.002G241100.1.v4.1 pep chromosome:Pop_tri_v4:2:23309122:23313431:-1 gene:Potri.002G241100.v4.1 transcript:Potri.002G241100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241100.v4.1 MVKKSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKSGLDKKRKVEKDPGIPNEWPFKEQELKALEARRARAIEELEQKKAARKERAQKRKLGLLENDGTMDSAKEQNTGDQNGGVEFKGITRNRENSDRAFYKELVKVVEASDVILEVLDARDPLGTRCVDMEKMVMKSGYDKHLVLLLNKIDLVPREAVEKWLKYLREEFPAVAFKCNTQEQRSNLGWKSSSKSAKTSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLKSVESDASVALRNCKRIEKLDDPVGPVKEILKLCPDRLLVTLYKIPSFDSVDDFLQKVATVRGRLKKGGIVDVDAAARIVLHDWNEGKIPYYTMPPARNQGEPSEAKIVSELGKEFNIDEVYNGESSFIGSLKSADDYNPVEVPPSCPLNFDEKLIEGDGDEVQPSTKGDESSKELVNNDDEPMESEDDNANKTKAKTEISRQNEKLYSAEGILNTKMKRAEKKRRKKAAKVDTMDGDYDFKVDYKKGSAMNVEDRSGKMDDDSPIPAEVPMSGIQCDDE >Potri.002G241100.3.v4.1 pep chromosome:Pop_tri_v4:2:23308984:23313437:-1 gene:Potri.002G241100.v4.1 transcript:Potri.002G241100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241100.v4.1 MVKKSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKSGLDKKRKVEKDPGIPNEWPFKEQELKALEARRARAIEELEQKKAARKERAQKRKLGLLENDGTMDSAKEQNTGDQNGGVEFKGITRNRENSDRAFYKELVKVVEASDVILEVLDARDPLGTRCVDMEKMVMKSGYDKHLVLLLNKIDLVPREAVEKWLKYLREEFPAVAFKCNTQEQRSNLGWKSSSKSAKTSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLKSVESDASVALRNCKRIEKLDDPVGPVKEILKLCPDRLLVTLYKIPSFDSVDDFLQKVATVRGRLKKGGIVDVDAAARIVLHDWNEGKIPYYTMPPARNQGEPSEAKIVSELGKEFNIDEVYNGESSFIGSLKSADDYNPVEVPPSCPLNFDEKLIEGDGDEVQPSTKGDESSKELVNNDDEPMESEDDNANKTKAKTEISRQNEKLYSAEGILNTKMKRAEKKRRKKAAKVDTMDGDYDFKVDYKKGSAMNVEDRSGKMDDDSPIPAEVPMSGIQCDDE >Potri.002G241100.2.v4.1 pep chromosome:Pop_tri_v4:2:23308984:23313480:-1 gene:Potri.002G241100.v4.1 transcript:Potri.002G241100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241100.v4.1 MVKKSKKSKSKRVSLKQKYKVIRKVKEHHKKKAKEAKKSGLDKKRKVEKDPGIPNEWPFKEQELKALEARRARAIEELEQKKAARKERAQKRKLGLLENDGTMDSAKEQNTGDQNGGVEFKGITRNRENSDRAFYKELVKVVEASDVILEVLDARDPLGTRCVDMEKMVMKSGYDKHLVLLLNKIDLVPREAVEKWLKYLREEFPAVAFKCNTQEQRSNLGWKSSSKSAKTSNLLQTSDCLGAETLIKLLKNYSRSHEIKKSITVGVIGLPNVGKSSLINSLKRSHVVNVGSTPGLTRSMQEVQLDKNVKLLDCPGVVMLKSVESDASVALRNCKRIEKLDDPVGPVKEILKLCPDRLLVTLYKIPSFDSVDDFLQKVATVRGRLKKGGIVDVDAAARIVLHDWNEGKIPYYTMPPARNQGEPSEAKIVSELGKEFNIDEVYNGESSFIGSLKSADDYNPVEVPPSCPLNFDEKLIEVCGDGDEVQPSTKGDESSKELVNNDDEPMESEDDNANKTKAKTEISRQNEKLYSAEGILNTKMKRAEKKRRKKAAKVDTMDGDYDFKVDYKKGSAMNVEDRSGKMDDDSPIPAEVPMSGIQCDDE >Potri.004G234300.6.v4.1 pep chromosome:Pop_tri_v4:4:23903502:23907086:-1 gene:Potri.004G234300.v4.1 transcript:Potri.004G234300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234300.v4.1 MQIELDNLIWERKELHGQLQTADKEIRILESMLAEVEEENDKAIAKIEFLEVELQDLKAENAQLKENLGKGCWSFKDHDHRDNGHNIIADNYGISSGIQSWKSDYKGTEILFQDLMIQREGWEGDCKSKAEFANCLKAESTDSVPIHQVTTGVFSRNLDINEALILSREAALSQSLFSAVLSLLVGMIIWEAEDPCMPLVVALFTVVGMSLKSVVQFFSTIKNKPASDAVALLSINWFILGTLTYPTLPRVAHILAPWALSLLDRTVSWLGISSC >Potri.004G234300.5.v4.1 pep chromosome:Pop_tri_v4:4:23903590:23907090:-1 gene:Potri.004G234300.v4.1 transcript:Potri.004G234300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234300.v4.1 MDPVVLFPESMDTALILVTKPFSLCKLAIMFPLKIMFIAIHTWIELLVVTINFHLNMFWKAMVCFVGLILFPGRVLTALPREKMLEDHLLRMQIELDNLIWERKELHGQLQTADKEIRILESMLAEVEEENDKAIAKIEFLEVELQDLKAENAQLKENLGKGCWSFKDHDHRDNGHNIIADNYGISSGIQSWKSDYKGTEILFQDLMIQREGWEGDCKSKAEFANCLKAESTDSVPIHQVTTGVFSRNLDINEALILSREAALSQSLFSAVLSLLVGMIIWEAEDPCMPLVVALFTVVGMSLKSVVQFFSTIKNKPASDAVALLSINWFILGTLTYPTLPRVAHILAPWALSLLDRTVSWLGISSC >Potri.004G234300.4.v4.1 pep chromosome:Pop_tri_v4:4:23903587:23906907:-1 gene:Potri.004G234300.v4.1 transcript:Potri.004G234300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234300.v4.1 MDPVVLFPESMDTALILVTKPFSLCKLAIMFPLKIMFIAIHTWIELLVVTINFHLNMFWKAMVCFVGLILFPGRVLTALPREKMLEDHLLRMQIELDNLIWERKELHGQLQTADKEIRILESMLAEVEEENDKAIAKIEFLEVELQDLKAENAQLKENLGKGCWSFKDHDHRDNGHNIIADNYGISSGIQSWKSDYKGTEILFQDLMIQREGWEGDCKSKAEFANCLKAESTDSVPIHQVTTGVFSRNLDINEALILSREAALSQSLFSAVLSLLVGMIIWEAEDPCMPLVVALFTVVGMSLKSVVQFFSTIKNKPASDAVALLSINWFILGTLTYPTLPRVAHILAPWALSLLDRTVSWLGISSC >Potri.001G154901.3.v4.1 pep chromosome:Pop_tri_v4:1:12977582:12980660:-1 gene:Potri.001G154901.v4.1 transcript:Potri.001G154901.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154901.v4.1 MSLFSLTRHFTFSIKPKTPKISTLHFATTSLGEKLDAHLQNSPNNVEKTLNSLAPIKLDTKYVNDIIHRWSLNNLQLGLRFFIWAGDQPNYRHNLYIYNKACSLFKIKQNPQVILDLIETYKLEKCVVCVDTFKVVLRLCKAGGLADEALMVLKKMPEFNIRPDTTAYNVVIRSLCEKGDVDMAKKLMGEMGLIDLYPDMITYVSMIKGFCDVGRLEEAFALFPVMSVHGCYPNVVAYSALLDGICRFGIVERAFELLAEMEKQGEGCCPNVITYTSVIQSFCEQGRTKDALSVLELMEVRGCAPNRVTASAWINGICTNGQLQDVYNFIKRIVAGGSVSIGDCYSSLVVCLIKIKKVEEAEKTFRRALSSGMKPDSLACSMMIREICSEKRVLDGFCLYEEVEKTGCLSSIDIDIYSILLAGLCQQGHSAEAARLARSMLEKRIPLRAPHVEKIVEHLKNFGGKELVAELVSMVR >Potri.010G057800.1.v4.1 pep chromosome:Pop_tri_v4:10:8844227:8846568:1 gene:Potri.010G057800.v4.1 transcript:Potri.010G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057800.v4.1 MSARRRPLHTCGVSFMEIARKAYTETQEFIGPLGLVTKKIIRLAPFASLLVYVLQYEYLFLAILSFVDDHIILALERKVEAIFPPSKYVFNMVDKLVQIVETLPAKFDFAVNKLPIIFHQIPILDWALSCAISWLNFWLSILTHWGSGTTHEKEIMVDINCNDCCIEQTNVQEADNNLVEFQNETKGCFGPMSATSGSETEASSPNAKRCTYKDALEKVVKSTYKDALEKGTSESTQSTEGSPKQMIRSTVSSEATSPKGEKNESTKEKECIADEETEEASASKEETGEGGDHTTVMEDDPVSLPTNKTPECINKGDPILALFESAWHI >Potri.001G328300.1.v4.1 pep chromosome:Pop_tri_v4:1:33765146:33765795:1 gene:Potri.001G328300.v4.1 transcript:Potri.001G328300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G328300.v4.1 MESNRKRKGFTKGKLMLFYRSSPKPSSNVQYSSKVKPSQTSPTTASVGYVNHDYMIAPQKQKVSFIVPAADNHRDKLSQFDKFFGVVGDVSVDTKATSYISSVQERFKLERINSERKQLEDKL >Potri.001G068200.1.v4.1 pep chromosome:Pop_tri_v4:1:5136193:5139728:1 gene:Potri.001G068200.v4.1 transcript:Potri.001G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068200.v4.1 MATISKLSNPSPAASLPAPSSSSSLPRVFLGFNTKTSFSKVASSSSGLSLTNTQPWRTSFVVRCSQSSGNGSPIKRTTLHDLYEREGQSPWYDNLCRPVTDLIPLIESGVRGVTSNPAIFQKAISSSNAYNDQFRELVQAGKDIETAYWELVVKDIQDACKLFEPIYDQTDGGDGYVSVEVSPRLADDTQGTVEAAKWLHKVVDRPNVYIKIPATAPCIPSIKEVISLGISVNVTLIFSLTRYEAVIDAYLDGLEASGLSDLSRVTSVASFFVSRVDTLIDKMLEKIGTPEALDLRGKAAVAQAGLAYKLYQKKFSGPRWEALVKKGAKKQRLLWASTSVKNPAYPDTLYVAPLIGPDTVSTMPDQALQAFVDHGSVARTIDSNVSEAEGIYNALEKLGIDWGYVGDQLEVEGVDSFKKSFDSLLDTLQEKANSLKLVSP >Potri.007G120500.1.v4.1 pep chromosome:Pop_tri_v4:7:13861258:13862250:-1 gene:Potri.007G120500.v4.1 transcript:Potri.007G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120500.v4.1 MSSSNFASFLCLLAFSLLVHTGFGADPLFHFCSTPENFTANGPYESNLNKLTSYLYYQAPSTGFGLGSIGQNPDQAYGLALCRGDASTSDCKTCVVEAGGEIRKRCPYNKAAIIWYDNCLVKYSNNGFFGQIDNGNKFYMWNVKVVSEPVTFNGKTKELLTQLANKVEATPKLYETGEMELGESTKLYGLVQCTRDLSSAVCKKCLDGIIGELPSCCDGKEGGRVVSGSCNFRYEIYPFVNA >Potri.012G086600.2.v4.1 pep chromosome:Pop_tri_v4:12:11206113:11206479:1 gene:Potri.012G086600.v4.1 transcript:Potri.012G086600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086600.v4.1 MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Potri.018G140200.1.v4.1 pep chromosome:Pop_tri_v4:18:14541914:14545751:-1 gene:Potri.018G140200.v4.1 transcript:Potri.018G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140200.v4.1 MKQENNKDNSKTQTLKPEQKTLLLHSIAKYLENTGGFSKTLKKFESEAKFEKDDLGGDSLIDLEEVFCKFLKTSDDTSKKLESNQVQDIQTNGVTKKKKKCDTDAIKNQLGAGDKVNNSESVEEIITNETVATEVRSKEKKEKKRNSDSHGQEEQDNVEALKEPADNGAGELPDKKRKDKRKKKSNLESESQDDNDGHHLAESVSVEEKSKDVASSKGNKVTDGETDNKPKDKKRKKDKLFDSTIGNGEQHILESKQGANADSILDNKNIKSERKKKRKDAVFSENLSAEMLDEGKSNSEKDDSKNLKEDVNDKENKSSKKRKRLSSEDDAPQPANETAIEESKRRKTESSEELKENVQANGNLEENGAKSAPQKSMMKEKNGSVEPKTVKHFQRVKVDEVVFSDERLKDNSYWAKDGAEDGYGAKAQEVLGQVRGRGFRHEKTKKKRGTYRGGQIDLQSHSFKFNYSDED >Potri.008G078200.4.v4.1 pep chromosome:Pop_tri_v4:8:4828401:4836931:1 gene:Potri.008G078200.v4.1 transcript:Potri.008G078200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078200.v4.1 MSSMKDFAMDHQTQQQQPYSGAGNGHDGSYNRVAEVGWFILGEDQQQVGPYTFSELSEHFLNGYLVESTLVWSEGRSEWQPLSSFPEFTSGISQQGSDYSTAALAYNDKEVEKLQESREAELEFVGLRNGSHSSNEQKAKHSTLVSPNTDEDEFEKWKREVEEAEAEAERLKNGSLSGNTGDDLGIDDPDRVLSPPDGEDEFTDDDGTTYKWDGSLRAWVPQDNPSSVSGRFGVEEMTFHEQEEVFLNVNAADATLKEEFNVTDEVVGSQLNNKRKLRDKQADKKDEQADKKEANKAPDSWFELKVNTHVYVTGLPDDVTAEEVVEVFSKCGIIKEDPETKKPRVKIYVDKETRRVKGDALVTYLKEPSVDLAVQILDGTPLRPGGTIPMSVSQAKFEQRGDRFISKQIDSKKKRKLKKVEDRILGWGGRDDAKVSIPATVVLRHLFTLSEMRADESLGSELEVDVREECVKLGPIDSIKVCENNPHGVVLVRFKDRNDARRCIELMNGRWFGGREIHASEDDGLINHASVRDLDEDAARLEQFGAELEAG >Potri.008G078200.5.v4.1 pep chromosome:Pop_tri_v4:8:4828393:4836934:1 gene:Potri.008G078200.v4.1 transcript:Potri.008G078200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078200.v4.1 MTFHEQEEVFLNVNAADATLKEEFNVTDEVVGSQLNNKRKLRDKQADKKDEQADKKEANKAPDSWFELKVNTHVYVTGLPDDVTAEEVVEVFSKCGIIKEDPETKKPRVKIYVDKETRRVKGDALVTYLKEPSVDLAVQILDGTPLRPGGTIPMSVSQAKFEQRGDRFISKQIDSKKKRKLKKVEDRILGWGGRDDAKVSIPATVVLRHLFTLSEMRADESLGSELEVDVREECVKLGPIDSIKVCENNPHGVVLVRFKDRNDARRCIELMNGRWFGGREIHASEDDGLINHASVRDLDEDAARLEQFGAELEAG >Potri.009G143700.1.v4.1 pep chromosome:Pop_tri_v4:9:11442868:11446037:1 gene:Potri.009G143700.v4.1 transcript:Potri.009G143700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143700.v4.1 MGLRNYHVEDNNLCFQAPNFIEWLKPSSTSPSPSSSSSSSSSLSSVSTQQVQLTNPMSILKLASFFPPQQQESTKETIQCLPLLNRLTEKKTLKEEEDMEMQESTVGVKEEKIEKVTVALHIGLPNSGDSGVETGVFDIKEEISMKKNFQGYSFNSESRFWIPTPAQILVGPMQFSCSICSKTFNRYNNMQMHMWGHGSEFRKGPDSLKGTQPAAMLRLPCYCCAQGCKNNINHPRAKPLKDFRTLQTHYKRKHGAKPFMCRKCSKAFAVKGDWRTHEKNCGKLWYCTCGSDFKHKRSLKDHIRSFGKGHSPHPSLEGFEDEKECITGSEDEFAH >Potri.019G023004.1.v4.1 pep chromosome:Pop_tri_v4:19:547224:550378:-1 gene:Potri.019G023004.v4.1 transcript:Potri.019G023004.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023004.v4.1 METQAHLTASSNRQNNSRPEANFPPSLWGCSFASFSFPQAEFESYSRKVEVLKEDVKDMLTASKKDPVEHIEFVNQLCRLGVSYHFDDEIENSLKEIFDDLPNLLEKHDFDLSTVSLLFRVFRQHGFKMPCVVFDKFKDTNGEFKKTIINDVKGILSLYEASFLSVHGEQVLDEALVFTKANLESLAMQSNPRIADHIRNALIRPFHKGVPRIEARKYISFYEEDESRNATLLKFAKIDFNRVQLIHRQELSILSRWWNDLNFSEEFPYARDRIVEIYFWANGIHFEPQYAFSRMMVTKYTKIVSLVDDTYDAYASSEEIQHFTNAIERCSMNAIDQLPADYMKVLYRALLNLFNETENDMGKQGRYYASYYVKEAFKELVRGYHAEEEWADKCHVPTFDEYVRNGLATSAYGVIMAASFLGMEEVAGGEEYEWLKSNPKIIKAGKMIGRLMNDIVGHEDEQKRGDCASGAECYMKQYDVSEKKAIEEIQKMDVNAWKDINEDCMRPTNAPMLLLQHFVNLIRVTDVIYGNDDDAYTIPSSLKDYVTLLYIEQVPMYE >Potri.005G106600.2.v4.1 pep chromosome:Pop_tri_v4:5:7694271:7695043:-1 gene:Potri.005G106600.v4.1 transcript:Potri.005G106600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106600.v4.1 MKKSLNGAHYKRKLTSSESIIPFSAFWLRSSVVSVLISLISDTWANGSHDIKFIFLGGGSITVACYWGFRESPLRCTIALAWRSPPTLV >Potri.009G154600.1.v4.1 pep chromosome:Pop_tri_v4:9:12096355:12100039:-1 gene:Potri.009G154600.v4.1 transcript:Potri.009G154600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154600.v4.1 MRLQRWVFLLMMLLLVAAITGATANPDVKDGCQERCGDVIVPYPFGIGEQRCAMNENFFLHCNSTDDGHHELWFGENIPARNISLLNGTVTVGIDPSLYCYNKSGRQSQLFNQSISLGSGPFTFSDSRNMFTAVGCDTIAMVTNMDATFGAACLSLCTRNVTMSKNNSCSGSGCCQTSIPKGLKSLDITIQSFNNHTDVFEFNPCGFAFLEDKDSLDLSDWPLSRTPKPNDTSNVVIEWVAQTETCEKAQANKSSYACGINTNCNYSDNGQGYRCACNEGFEGNPYLEKGCQDIDECKYPETYTCYGKCHNTIGDYECKCSLGMHGDGKVGCQGFAITTIIAVVGAIVSLVIICLLLFMILSKRRKDKNFRENGGMVLKHQRVRIFSEAELTKATNNYDDDKKLGEGGFGSVYKGVLADNTVVAVKKSKGVDKAQMNEDFQHEICVVSQVNHKNVVKLLGLCLETKVPLLVYEFISNGTLFKHIHDKRSQVLASWSNRLRIASEAALALDYLHSLADPPVIHGDVKSVNILLDDNYTAKVADFGASVLISPGQTNILATKIQGTFGYLDPEYLMTGNLTEKSDVYSFGVVLVELLTGEKPNSNAKSGKKRNFIQYFNSALENNDVFGILDFQAADEAEMDEIEAVAELAKRCLNSTGVNRPSMKEVSEELAKLKALNQKSWAQQNSDETEHLLGESSQSFRNNASPPTSQSQTVISLEIENYTDSI >Potri.019G120050.1.v4.1 pep chromosome:Pop_tri_v4:19:14604500:14607911:-1 gene:Potri.019G120050.v4.1 transcript:Potri.019G120050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120050.v4.1 MAGNLVKEDSSSVQDLQDPRITCSGHKRKKSTPEINKDYRERKKEEVEKLKAETEELKKSKFHLDGQAFQLRMDLKETREENINLKIVEKSQSDSILEIGKKLIALGEHHPKEIRALKEEHAQKMLERDRELNALKEELERQMQLCSSWHIDMGTENAGEMIMQH >Potri.019G120050.4.v4.1 pep chromosome:Pop_tri_v4:19:14604407:14607991:-1 gene:Potri.019G120050.v4.1 transcript:Potri.019G120050.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120050.v4.1 MAGNLVKEDSSSVQDLQDPRITCSGHKRKKSTPEINKDYRERKKEEVEKLKAETEELKKSKFHLDGQAFQLRMDLKETREENINLKIVEKSQSDSILEIGKKLIALGEHHPKEIRALKEEHAQKMLERDRELNALKEELERQMQLCSSWHIDMGTENAGEMIMQH >Potri.019G120050.3.v4.1 pep chromosome:Pop_tri_v4:19:14603498:14608073:-1 gene:Potri.019G120050.v4.1 transcript:Potri.019G120050.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120050.v4.1 MAGNLVKEDSSSVQDLQDPRITCSGHKRKKSTPEINKDYRERKKEEVEKLKAETEELKKSKFHLDGQAFQLRMDLKETREENINLKIVEKSQSDSILEIGKKLIALGEHHPKEIRALKEEHAQKMLERDRELNALKEELERQMQLCSSWHIDMGTENAGEMIMQH >Potri.019G120050.2.v4.1 pep chromosome:Pop_tri_v4:19:14603557:14607911:-1 gene:Potri.019G120050.v4.1 transcript:Potri.019G120050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120050.v4.1 MAGNLVKEDSSSVQDLQDPRITCSGHKRKKSTPEINKDYRERKKEEVEKLKAETEELKKSKFHLDGQAFQLRMDLKETREENINLKIVEKSQSDSILEIGKKLIALGEHHPKEIRALKEEHAQKMLERDRELNALKEELERQMQLCSSWHIDMGTENAGEMIKPMETPATAQATTYFFNTDHFASQLMA >Potri.006G230700.3.v4.1 pep chromosome:Pop_tri_v4:6:23415367:23418484:1 gene:Potri.006G230700.v4.1 transcript:Potri.006G230700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230700.v4.1 MHNIMENGLFGVPIDMAGRNSVATDRSSQRTPNSLVQFDSFNLNNHSQTVVGFTMLPTLQGEPINDLHASIHLANRSSVMNSEALVTSLGRNVVGDALPGCSRSISNTPFDEQFGGGTTISSSSLATLLATRSGLQENPNDLAISGPSSYPLDEFRSFVSNDCTNALSSSFASSLNYGCGEVFGNMNSKEDFDRFPAPLELGGRTSVRAGFQPYSSIGSLQLNSWVEPNGVNVSAGDPFASGKPTNELSLSLSTSQPSVIDRSIPDQCLEISCNHLTRHCLKETRSCSEQTSSSSKELSLSCHSYNAGQFSQVLVGSRYLHVIQEILAKIASYSLENVDQISSSTVWFKTGASTPFSSSYPTEGGMLSMGSDESPGVNGRFEVQMDPALQKRALEAKRTQLLTLLQVVDERYSQCLDEIHTVISAFHAATELDPQIHTRFALQTISFLCKRLRDRISNQILAMGAQLDSGDTIEIEGSFESSYLQKQWTLQQLKKKDHPLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAVKSGLTRSQVSNWFINARVRLWKPLIEEMYAEMNRRKAHQIEEGTYSNHRGQISISNPRFNVN >Potri.002G018700.1.v4.1 pep chromosome:Pop_tri_v4:2:1122651:1123729:-1 gene:Potri.002G018700.v4.1 transcript:Potri.002G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018700.v4.1 MSSSRKFTLKSSDGEAFEVDEAVALESQTIKHMIEEDCADNAIPLPNVTSKILSKVIEYCKKHVETPKSDDRPSSADDDLKSWDAEFVKVDQATLFDLILAANYLNIKNLLDLTCQTVADMIKGKTPEEIRKTFNIKNDFTPEEEEEVRRENQWAFE >Potri.008G037501.1.v4.1 pep chromosome:Pop_tri_v4:8:2060018:2061685:-1 gene:Potri.008G037501.v4.1 transcript:Potri.008G037501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037501.v4.1 MCKSKKSTNIINSTTTSRSMATESLKSTPPPSSSSSSSSTINPPSSTHKYPSTSTNKYPSTNYSPNYPSTSKSWSFSSKTSLSNLKDSLPENPHIYDFSEISKATNNFLQKPFSSSSSSVSWRCSLRGKELILFQRKFRRQIESPELQQRLLAICRSHHSSVIKLLGATTSGNYIYLAYEYVHGANLATCLRNPQNPSYTVLSSWLSRMQIATDIANGLDYIHHCSGLNSEFVHNHIKSLSISVTEDSLNAKICHFGTAELCGEIVGKEGSSSKNFGRSNSKVMKIEGTRGYMAPEFQASGLTTQKCDVYAFGVVILELVSGEEALRYVFDEGGGGYKRISVIERAREVMAVGGGELRKWVDKRMKDSYPVEVAEKMLLLGLECVDDDPEKRPDMGLVDGRVSRLYLESKNWAEKIGLPTDFSVSLAPR >Potri.001G420800.1.v4.1 pep chromosome:Pop_tri_v4:1:44931460:44935492:1 gene:Potri.001G420800.v4.1 transcript:Potri.001G420800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420800.v4.1 MVNAVNVYHHHQTPPILPLQCRSSAPPHFTRGVPGKLLLKCSFENTTSSDVAVRHVLSGFAASLIFLSQTNQVLAADVFQSHNICQLASAAENKITPLPLDDSSGGGMGKLMMMRAMTVKDFDPVRYSGRWFEVASLKGGFAGQGQGDCHCTQGVYTLDLETPAIQVDTFCVHGGPSGYITGIRGKVQCVSGDDLEKQATELEKQEMIAEKCYLRFPTLPFIPKEPYDVIATDYDNFALVSGAKDKSFIQIYSRTPNPGPAFIEKYKAYLANFGYDPSKIKDTPQDCEVMSNSKLAAMMSMPGMQKALTNEFPDLELRSSVQFNPFTSVFDTLKKLLELYFK >Potri.019G009900.1.v4.1 pep chromosome:Pop_tri_v4:19:1433864:1436853:-1 gene:Potri.019G009900.v4.1 transcript:Potri.019G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009900.v4.1 MGACATKPEVLKEGETPEPAKEEVVVATGGDVQVEDKVVAVDEEEKKVVFEKDIGDAGGDKVEETEIVDDNKRRSLSNLFKENEKGKEPKESDKAPAEQEKPETSETKKPTEPEVPKEEPSTVKEEPVVVIEPKDVNAPVKVETEKVTSEVKPETSETKKPTEPEVPEEESSTVKEEPVVVIEPKDVNAPVKVETEKVTSEVAAAAAEPKTTETLGEKKPVETETKTTETVGEKKPEETP >Potri.001G347800.1.v4.1 pep chromosome:Pop_tri_v4:1:35921941:35928796:-1 gene:Potri.001G347800.v4.1 transcript:Potri.001G347800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347800.v4.1 MQRVCSSGAAAQNIIITIKLGAMPGVAAAANTIRRNPNFFHFSSISRNYGNGISGGAFVKNRVTFSSPASHITRALSLRCFSSSSSSSSGFDRVQVQNPIVEMDGDEMARIIWKMIKDKLIYPYLDLDIKYFDLGILNRDATDDKVTVESALAALEYSVAVKCATITPDEARVKEFGLKSMWRSPNGTIRNILNGTVFREPILCRNIPRIVPGWKKPICIGRHAFGDQYCATDTIIPGPGKLKMVFVPEDGEVPVELDVYNFKGPGIALAMYNVDESIRNFAESSMSLAFAKKWPLYLSTKNTILKKYDGRFKDIFQEVYEEKWKQKFEENSIWYEHRLIDDMVAYALKSEGGYVWACKNYDGDVLSDLLAQGFGSLGLMTSVLLSSDGKTIEAEAAHGTVTRHFRLYQKGQETSTNSIASIFAWTRGLEHRAKLDNNERLLDFALKLEASCIGTVEAGEMTKDLAILTHGPRVSREFYLNTEEFIDAVARNLETKLQEPAVV >Potri.001G074300.1.v4.1 pep chromosome:Pop_tri_v4:1:5599249:5600622:1 gene:Potri.001G074300.v4.1 transcript:Potri.001G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074300.v4.1 MVPASDIVLPTSNNGTRETLEISDDLDFCMSTAEHFHELKFIAIEESKKLVRKESMQKEKQISVDPISLRESSRRESSFNFMLPLVSTTPTPDLLPPALPSKSQLITCSLPSSACSSPSISFTMLKKKWKNESQASPRQIDKMASRHSSAHAPLAAQQEIHLRRSKSCAEGRTAAPADGLDLWFTRPNACKSNNRPHGHFKTEASKEDLITGEKMDSIDDGFKCGVLCLYLPGFAKGKPVRPKKEEVKVDLGNVISRTVSLEKFECGSWASSAIINDHEDDSMNLYFDLPMELIQTNSNDATSPVAAAFVFDKDRKGVLKSCSTRAAPRKSHESFRHVRFSTSSSTSHPTSPTSCITPRLQKAREDFNAFLEAQGA >Potri.002G217000.1.v4.1 pep chromosome:Pop_tri_v4:2:20413559:20423776:1 gene:Potri.002G217000.v4.1 transcript:Potri.002G217000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217000.v4.1 MSGGGVRVSIPGNVRKTIQNIKEITGNHSDEEIYAMLKECSMDPNETAQKLLYQDPFHEVKRKRDRKKENMNNRESGDSRWRSGMQGRGSRGGMQGQGSRGGRPSFSPRHTYHDTGGGRNSAAGRDNGTNHAAEKGAGSSLLASEEKYKETTPSASSSAVVANGPTGVVSGNTSAMLASNLPTGSNQHEVTSSPIVGREAYHIDVDKAPTIAFGTGDACRESLPSSNNSSMSVIPASSSKICFSSSDPVLKLSNDSCPPGTVGTIKREVGNHQTAGESASEIGVPFMPGKMPSKNQGVGKNQLSDSSQPSFASIQGGSFSSRPSSNYSSRSQLIIGSQKVGSNMEWKPKATNPNVAQESGTAGLSDISNIPLESSGHSQASSGVLDSEEATAKLQKKLEELHLPQRQHVIIPHHIHVPESERNKLSFGSFDASFGVTSSYVSGAESNKSSTPVSETSQGIEEPMEEQAESNQNTPVTAEEGIYPDHPQSPSHVPGNLSAEGDASSNTVPDYESKQEAALLSGGHQYSVVHTSPGYSFGLVPPMLGSQIMPFENSESQARDVSRLPSFVVQQPFDPTSYYAQFYRSSADGDGRVSPFPAPGVASKYNGNVAVLPPHTSQPPQEGGNSLVLSTAGPTPLGTQAAGLMQSSIAMTQQPVPVFRPPTGLHTSHFPPNYIPYGHYISPIYVAPGMYQFLSNGTFLQQPQAGSVYPAPPSAAATGVKYSLPQFKPGSNTGNATHIGMPSGYGPYGSSPAGFNPNSAVTGGNSTTNDDLGASQFKESNIYITGQQSEGSAVWITTPGRDISSLPASTFYNLPPQGQHVAFGPTQASHGTYTNIYHPGQPVTAAAVHPLLQQSQAMGGAVDMLGPAASAYQQSQHQQINWPSNY >Potri.003G006300.1.v4.1 pep chromosome:Pop_tri_v4:3:678328:682635:-1 gene:Potri.003G006300.v4.1 transcript:Potri.003G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006300.v4.1 MASSTAQIHVLGGGGGIGGTSSIKPRFSFAPRSSVFFGQNLRGTTATSLKHTNNTSRRRYSTGPVRVVNEKVVGIDLGTTNSAVAAMEGGKPTIVTNAEGQRTTPSVVAYTKNGDRLVGQIAKRQAVVNPENTFFSVKRFIGRKMSEVDEESKQVSYRVVRDENGNVKLECPAIGKQFAAEEISAQVLRKLVDDASKFLNDKVTKAVVTVPAYFNDSQRTATKDAGRIAGLEVLRIINEPTAASLAYGFERKNNETILVFDLGGGTFDVSVLEVGDGVFEVLSTSGDTHLGGDDFDKRVVDWLAGDFKRDEGIDLLKDKQALQRLTETAEKAKMELSSLTQTNISLPFITATADGPKHIETTLTRAKFEELCSDLLDRLKTPVENSLRDAKLSFKDLDEVILVGGSTRIPAVQGLVKKLTGKDPNVTVNPDEVVALGAAVQAGVLSGDVSDIVLLDVTPLSIGLETLGGVMTKIIPRNTTLPTSKSEVFSTAADGQTSVEINVLQGEREFVRDNKSLGSFRLDGIPPAPRGVPQIEVKFDIDANGILSVTAIDKGTGKKQDITITGASTLPSDEVERMVSEADKFAKEDKEKRDAIDTKNQADSVVYQTEKQLKELGEKVPAPVKEKVEAKLQELKDAIAGGSTQVMKDAMTALNQEVMQLGQSLYNQPGAAPGAGPAPGGEAGPTDSSSKGPDGDVIDADFTDSK >Potri.005G172250.2.v4.1 pep chromosome:Pop_tri_v4:5:17699573:17699915:1 gene:Potri.005G172250.v4.1 transcript:Potri.005G172250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172250.v4.1 MIRTSNPHFPCSEDSASHSDEQLELLFFYFSVVLGFIYILSGKVAPWIGKGALFVYIIIVVAIVTFYFFRCYFLFVHIIFQIISLPTK >Potri.005G172250.1.v4.1 pep chromosome:Pop_tri_v4:5:17699544:17700042:1 gene:Potri.005G172250.v4.1 transcript:Potri.005G172250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172250.v4.1 MIRTSNPHFPCSEDSASHSDEQLELLFFYFSQGVRAIVVGIGKGALFVYIIIVVAIVTFYFFRCYFLFVHIIFQIISLPTK >Potri.018G058800.4.v4.1 pep chromosome:Pop_tri_v4:18:5943177:5945726:1 gene:Potri.018G058800.v4.1 transcript:Potri.018G058800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G058800.v4.1 MGRAPCCDKANVKRGPWSPEEDATLKSYLETHRGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPDIKHGGFTEEEDNIICALYSQMGSRWSLIASQLPGRTDNDVKNYWNTKLKRKILAGKINISIRNKSIDAPTSIANILTSPLLYGPKAETESTITISDYSLTQSSGTLPTLSDIGYGPFINCTRQNLSPDQFQFSNFPGVMDMSEFGATSMNSSHIVSPSQECIRAFQIPLRLLWITRVSHCLVMVVLRVLGC >Potri.001G375300.5.v4.1 pep chromosome:Pop_tri_v4:1:39398828:39405694:1 gene:Potri.001G375300.v4.1 transcript:Potri.001G375300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375300.v4.1 MEMRLISKTVCFILFLLLTVRINVKGRSLAHSSVELLVSDGINDVQENQSSILLLKGMDSSSEEKCEQLYGFLPCSSNIFGHLFLIAVYEYMLFHGEGYLASGGEKIFRILGPGVFGASAFQVLGALPESLILLASGLLNTREVAQEYVSTGVGLLAGTSILLLTMLWGTCVIAGSVQSSKPTISNTSSSRLLSWFTEFGVTTDLETSYTARIMGLSVLPFLILQIPKIFNSNSGEYLTILISLVVSVASLLIYFFYQIFEPWIQKRRLEYVKYDEALLRILQLVQERALGSILTGEGAPNINAIQRLFEEIDEDGDDCISPSEVRKLLLDIKSTGMNIDKDNASEELIKVLDLNDDKKITKEEFVHTFTKWLEETKYAMEKRYFTINSLKRTYQVFHPFVESKRKEREMKRNLMSEIVSHLQSVALGNLIKEDGTPDSPTIRRLFEEIDRDADNCISKDELKELMKKIEIGKISWDVDEAAEKIMEALDTSGDQMIDEKEFAEGIVSWLINTSENVTPVSSRSQDDNNRVVIKL >Potri.001G375300.4.v4.1 pep chromosome:Pop_tri_v4:1:39398828:39405695:1 gene:Potri.001G375300.v4.1 transcript:Potri.001G375300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375300.v4.1 MEMRLISKTVCFILFLLLTVRINVKGRSLAHSSVELLVSDGINDVQENQSSILLLKGMDSSSEEKCEQLYGFLPCSSNIFGHLFLIAVYEYMLFHGEGYLASGGEKIFRILGPGVFGASAFQVLGALPESLILLASGLLNTREVAQEYVSTGVGLLAGTSILLLTMLWGTCVIAGSVQSSKPTISNTSSSRLLSWFTEFGVTTDLETSYTARIMGLSVLPFLILQIPKIFNSNSGEYLTILISLVVSVASLLIYFFYQIFEPWIQKRRLEYVKYDEALLRILQLVQERALGSILTGEGAPNINAIQRLFEEIDEDGDDCISPSEVRKLLLDIKSTGMNIDKDNASEELIKVLDLNDDKKITKEEFVHTFTKWLEETKYAMEKRYFTINSLKRTYQVFHPFVESKRKEREMKRNLMSEIVSHLQSVALGNLIKEDGTPDSPTIRRLFEEIDRDADNCISKDELKELMKKIEIGKISWDVDEAAEKIMEALDTSGDQMIDEKEFAEGIVSWLINTSENVTPVSSRSQDDNNRNFSEDAGIPSFFVSFVLVPLATNARAATSAITTACRKKSITTSLTFSEIYGGVFMNNVLGCSVLLFLVYARGLTWEFSAEVLVVLITCAIMSLVVSFHSDFPLWTSFMAFLLYPFSLLLAYVFNDVLDYV >Potri.001G375300.3.v4.1 pep chromosome:Pop_tri_v4:1:39398828:39405695:1 gene:Potri.001G375300.v4.1 transcript:Potri.001G375300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375300.v4.1 MEMRLISKTVCFILFLLLTVRINVKGRSLAHSSVELLVSDGINDVQENQSSILLLKGMDSSSEEKCEQLYGFLPCSSNIFGHLFLIAVYEYMLFHGEGYLASGGEKIFRILGPGVFGASAFQVLGALPESLILLGVGLLAGTSILLLTMLWGTCVIAGSVQSSKPTISNTSSSRLLSWFTEFGVTTDLETSYTARIMGLSVLPFLILQIPKIFNSNSGEYLTILISLVVSVASLLIYFFYQIFEPWIQKRRLEYVKYDEALLRILQLVQERALGSILTGEGAPNINAIQRLFEEIDEDGDDCISPSEVRKLLLDIKSTGMNIDKDNASEELIKVLDLNDDKKITKEEFVHTFTKWLEETKYAMEKRYFTINSLKRTYQVFHPFVESKRKEREMKRNLMSEIVSHLQSVALGNLIKEDGTPDSPTIRRLFEEIDRDADNCISKDELKELMKKIEIGKISWDVDEAAEKIMEALDTSGDQMIDEKEFAEGIVSWLINTSENVTPVSSRSQDDNNRRTWEEVDKLLKDEKTNAVDKSSWAWFKAIMSMVLGVAILSVLAEPLIHSVQNFSEDAGIPSFFVSFVLVPLATNARAATSAITTACRKKSITTSLTFSEIYGGVFMNNVLGCSVLLFLVYARGLTWEFSAEVLVVLITCAIMSLVVSFHSDFPLWTSFMAFLLYPFSLLLAYVFNDVLDYV >Potri.011G161350.1.v4.1 pep chromosome:Pop_tri_v4:11:18646717:18650509:1 gene:Potri.011G161350.v4.1 transcript:Potri.011G161350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161350.v4.1 MAHFVATTALIPLSNTQHVINLKLTNNNYLFWRMQMKPYLIGQGVFLFVDGSTPYPSLHDLSNTVFVASATFNYGPSQTFLTWKQQDQLCCPLFQMMFFISRLIVRLLLMFGAHLNMLSPPHPNLESCNCMALFRIFDKMMILSPSTCNALKEEPQAWCNSSSRGARLNSMI >Potri.005G215551.1.v4.1 pep chromosome:Pop_tri_v4:5:21894868:21895191:1 gene:Potri.005G215551.v4.1 transcript:Potri.005G215551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215551.v4.1 MPGVRMRFLYHLRCQAHHAIRRRTTLEPAIYTHSMVSTRTCISRWEPHDEALLVGHQLQMVIVLERDINYKTILVCLYVSLACRERAGLLFSAWLDGLSFGYDQWPL >Potri.009G003300.1.v4.1 pep chromosome:Pop_tri_v4:9:917918:921778:-1 gene:Potri.009G003300.v4.1 transcript:Potri.009G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003300.v4.1 MENNKYLKLLLQQKKQKWLLLLILSSTILLLTTIASFSSSPQLLYKSHGNKYHFQQVPRFVEPKLKNSPSSPVHKVPRLAYLISGSAGDGVSLKRALKALYHPRNQYAVHLDLEATAEERLELARWVSEEKVFEEVGNVRVVVRSNLVTYRGLTMVSNTLHAAAILLKDIDDGESWDWFINLSASDYPLMTQDDILHTLFDIPRDLNFIEHTSDIGWKKDQRAKPVIIDPGLYSQPKSEVFWISEKRRLPTAYNLFTGSAWMMLSRPFVEYCLWGWDNLPRIVLMYYANFLSSPEGYFHTVICNADEFRNTTVNHDLHFISWDNPPKQHPHFLTVDDYESMVESNVPFARKFGKDDPVLDKIDSNLLGRRADGFVPGGWFTDEGNASTVLPRINLKNTTELKPGPGAQRLKRLMSSLLLADDFDSTHCKMMPAVSKMK >Potri.009G120700.2.v4.1 pep chromosome:Pop_tri_v4:9:10100289:10105154:1 gene:Potri.009G120700.v4.1 transcript:Potri.009G120700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120700.v4.1 METPLNILPLKRAAPNESRFNGNKRMKQMKEKVTDYSDPFAIHGLLDRLDSGRYGSVTDDIRSLFNRKAQMIHTFLVMYPELANESRGRGMSFSEEKCNVIDLDDGDDDEGGGGNVAAGRMPVVVIDSDDEVESNENRMAGHFQGIVLPKPEGQFLTDLMFSDHAERRIHGEVVSLTGEPDITKDKGVYVGVEEDEVDTGIEDDGLGDIWKEMSFALESSKDVVENPQPDENMEEDEDYCDHSFVLKDDIGYVCRICGVIEKAIDTIIEIQFNKVKRNTRTYMSESRNAKDRDSNGMVGVDLFEEDLTLTDIPAHPRHMKQMKPHQVEGFNFLRNNLVADNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILSTWKKEFQIWQIEDIPLYDFYSVKADSRHQQLEVLKQWLEHKSILFLGYKQFSSIVCDDGKNQVSVTCQEILLRRPSILILDEGHTPRNENTDVLQSLAKVQTPRKVVLSGTLYQNHVKEVFNVLNLVRPKFLRMDTSRGIVKRILSKVNIPGARKQFKAGADAAFYDLVEHTMQKDQDFKRKVTVIRDLREMTSKVLHYYKGDFLDELPGLVDFTVVLNLSSKQKHEVQKLKKFAGKFKRSSVGSAVYLHPKLHSFSENSAVTDDMMDNLLETLDVRDGAKAKFFLNILSLCESAGEKLLVFSQYLTPLKFLERLVMKVKGWILGKDIFVISGESSSDHREWSMDRFNNSIDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQTKKVYAYRLVAADSPEEEDHTTCFRKEAIAKMWFEWNEYCGYQDFEVETVELDDSGDCFLESLLVRDDVRLLYKR >Potri.009G120700.3.v4.1 pep chromosome:Pop_tri_v4:9:10100462:10105417:1 gene:Potri.009G120700.v4.1 transcript:Potri.009G120700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120700.v4.1 METPLNILPLKRAAPNESRFNGNKRMKQMKEKVTDYSDPFAIHGLLDRLDSGRYGSVTDDIRSLFNRKAQMIHTFLVMYPELANESRGRGMSFSEEKCNVIDLDDGDDDEGGGGNVAAGRMPVVVIDSDDEVESNENRMAGHFQGIVLPKPEGQFLTDLMFSDHAERRIHGEVVSLTGEPDITKDKGVYVGVEEDEVDTGIEDDGLGDIWKEMSFALESSKDVVENPQPDENMEEDEDYCDHSFVLKDDIGYVCRICGVIEKAIDTIIEIQFNKVKRNTRTYMSESRNAKDRDSNGMVGVDLFEEDLTLTDIPAHPRHMKQMKPHQVEGFNFLRNNLVADNPGGCILAHAPGSGKTFMIISFMQSFLAKYPHAKPLVVLPKGILSTWKKEFQIWQIEDIPLYDFYSVKADSRHQQLEVLKQWLEHKSILFLGYKQFSSIVCDDGKNQVSVTCQEILLRRPSILILDEGHTPRNENTDVLQSLAKVQTPRKVVLSGTLYQNHVKEVFNVLNLVRPKFLRMDTSRGIVKRILSKVNIPGARKQFKAGADAAFYDLVEHTMQKDQDFKRKVTVIRDLREMTSKVLHYYKGDFLDELPGLVDFTVVLNLSSKQKHEVQKLKKFAGKFKRSSVGSAVYLHPKLHSFSENSAVTDDMMDNLLETLDVRDGAKAKFFLNILSLCESAGEKLLVFSQYLTPLKFLERLVMKVKGWILGKDIFVISGESSSDHREWSMDRFNNSIDAKVFFGSIKACGEGISLVGASRIIILDVHLNPSVTRQAIGRAFRPGQTKKVYAYRLVAADSPEEEDHTTCFRKEAIAKMWFEWNEYCGYQDFEVETVELDDSGDCFLESLLVRDDVRLLYKR >Potri.008G025200.2.v4.1 pep chromosome:Pop_tri_v4:8:1271583:1272470:1 gene:Potri.008G025200.v4.1 transcript:Potri.008G025200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025200.v4.1 MSEGNHRDAPLVCPELDIYQFGYPRCDTADSMASSSSDSPFLCTNDDLSMLESGLYQQKYGDMSDKCYRNQDKAWESIDSRFLMLLGFFRELFFRRREVFKKLFPELHDEFLGMFKKIGNINLYGEKPGQLKTRALCLQRSLSVGSPRTPSRNGGESPLKLERFKVRTVVPGGGGQGDRGASN >Potri.018G123200.3.v4.1 pep chromosome:Pop_tri_v4:18:13549588:13551831:1 gene:Potri.018G123200.v4.1 transcript:Potri.018G123200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123200.v4.1 MHSILHVSYWNSCCTYPFFCFETSTVFGRAGHGDCCIAHRVFSGILRSDVMCTACGFTSTKYDPCVDISLDLEPYLGSSAKSMSLKTPSCNGEADCTNSSQNGGISTLIGCLDRFTRPERLGSDQKFFCQQCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFDGDEADASKEMSSEFELFAVVTHTGKLDSGHYVTYLRLSNQWYKCDDSWITQVNENIVRAAQGYMMFYVQKMLYYKAGEKQVAQ >Potri.018G123200.1.v4.1 pep chromosome:Pop_tri_v4:18:13547647:13552324:1 gene:Potri.018G123200.v4.1 transcript:Potri.018G123200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123200.v4.1 MSSKINHHHHINGHDSIPPPCPHLADFRSRNGTKPFHLLQNCLRIKPPHGRASIRRDPSEIPRCGACAVSSVPRIYACVTCATISCHAPPEPSHAAAHAASMAPGHEISVDVDRAELFCCACRDYVYDRDFDAAVVLSSKANNIHSNVNSDNLRKKRRIVEYRPWLPDIQERVLVNNNSSVLNFVEDRPWGLRGLNNLGNTCFMNSVLQALLHTPPLRNYFLSDRHNRYYCQQKKSGNENGVVGGKRSNGGDGNGGKGLRLCLACDMDAMFSAVFSGNPVPYSPAKFLYSWWQHAANLASYEQQDAHEFFISMLDGIHEKVEKDRHKTHGLGHGDCCIAHRVFSGILRSDVMCTACGFTSTKYDPCVDISLDLEPYLGSSAKSMSLKTPSCNGEADCTNSSQNGGISTLIGCLDRFTRPERLGSDQKFFCQQCQVRQESLKQMSIRKLPLVSCFHIKRFEHSSIRKMSRKVDRYLQFPFSLDMAPYLSSSILRSRFGNRIFPFDGDEADASKEMSSEFELFAVVTHTGKLDSGHYVTYLRLSNQWYKCDDSWITQVNENIVRAAQGYMMFYVQKMLYYKAGEKQVAQ >Potri.001G054000.1.v4.1 pep chromosome:Pop_tri_v4:1:4086854:4087843:-1 gene:Potri.001G054000.v4.1 transcript:Potri.001G054000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054000.v4.1 MFKLSSPCFFIVVLIATLHTVTCVAAINPAPAGEEPALELYMHDILGGSNPTARPITGLLGNIYSGQVPFARPLGFNPPNGVAIPNANGALPTVNGLNGIPLGTGLSGTTFASQNPNGQIQTQLGPDGLGLGFGTITVIDDILTNSPELGSQQLGKAQGVYVASSADGTTQMMAFTAMFEGGEFGDALNFYGIYKIGSTMSRLSVTGGTGKFKNAIGFAEIRGLIPSGQVGTDGAQTLLRITVHLKY >Potri.008G151700.1.v4.1 pep chromosome:Pop_tri_v4:8:10403256:10405641:-1 gene:Potri.008G151700.v4.1 transcript:Potri.008G151700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151700.v4.1 MDHCSKTSCNSSSPFDCLLFDLDDTLYSSKLGIAEALRKNIDDFLVERCGFSEEKAPSIRVELFKTYGSSLAGLRALGYGIDADDYHSFVHGRLPYDLIKPDSQLLNLLRSITQRKIIFTNSDRNHAIMALKRLGIEDCFDQIICFETMNPNLSKSSSPDEFPVLLKPSMDAMKIALRVADVDLRRTLFLDDNVRNVAAGKALGLRTALVGKTVKSKEADYVLEHIHNLAQVIPEIWARGTDSGDQRISRTRSEMDAVLTATTVGA >Potri.008G010900.1.v4.1 pep chromosome:Pop_tri_v4:8:540446:544419:1 gene:Potri.008G010900.v4.1 transcript:Potri.008G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010900.v4.1 MSASTVSITANPASARRRPVVVSDKKSPSNNIELVVPSERRINGGGGGDDIVTAAARRDLSHHSIRGDAVLEWTAKDIVQVKKTSSTISPRRGRKVAATKARRPLWMTLVRAFTKNFVFLLVLVGLVQLVRKLAVKSGDIDGASVGTQMGLREFDGRIAEMESMVKTAVKMIQVQVEVVDKKIESEVGGLRREMSRKIDDKGVILEGELRRLVERSEGLEKKIGELKAGDWLSKEDFEKFHEQFKKENGGEFGWSGVGLDDIMAYAREIVQKEIDKHAADGLGRVDYALATSGGMVVKHSDPYMAVRGANWFMKGGGVHPNADEMLKPSFGEPGKCFALKGSRGFVQFKLRSAIVPEAVTLEHIAKSVAYDRSTAPKDCQVSGWMQNPDLDSADDKEKMFLLTEFTYDLEKSNAQTFNVLDKTASGLVDTLRLDFTSNHGNPSLTCIYRLRVHGYEPDRASMTAMQP >Potri.001G367100.1.v4.1 pep chromosome:Pop_tri_v4:1:38330183:38331631:-1 gene:Potri.001G367100.v4.1 transcript:Potri.001G367100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367100.v4.1 MGNTPESQISSQYFFKPSLLCVSVLVASLVFTCFLLFGISTYLITISVLFLSTIFIVTFSKKKVAVVSNSAEAESPTCRPQSMLEKEVVEELNPEVEPIIHCDASQQSDVCDMHEYQVEPTDFPSDSDSSDDFSASENFELSWRCSENVGQSIAVSESSISENDVDEDGDGLIEISLPLNNSVDFDEESKKKSVSNLPESIFRQQGLMELFAEIAEVNEEENLIEIDLSMGSIKC >Potri.010G046400.1.v4.1 pep chromosome:Pop_tri_v4:10:7838841:7840093:1 gene:Potri.010G046400.v4.1 transcript:Potri.010G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046400.v4.1 MLSDLSRLDPISLLPKKSMVGPSLDTTKLHLKPPSSATPTIKFLCSYGGKIIPRFPDGKLRYHGGETRVLGVERSISFAELLLKLGELRGTSVNLRCQLPKEDLDALVSITSGEDLANLIEEYDRAAGAATPTASLKIRAFLSFPKKISSSSSSSSSSSSSSSSCSYGGPTIGSTSTAPWCYHHQISKPVAFSVRKTPPAPHQYEYGYHAHGNPSHVYLVHNGNHWQLQQTQLS >Potri.006G006000.1.v4.1 pep chromosome:Pop_tri_v4:6:412365:416412:-1 gene:Potri.006G006000.v4.1 transcript:Potri.006G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006000.v4.1 MATTESKDEKHTNGLGLDSSAEEQHERCPVEEVALVVPETDDPTLPVLTFRAWFLGLTSCIILIFLNTFFTYRTQPLTISAILMQIAVLPIGKFMAKTLPTRDYRILGWSFSLNPGPFNMKEHVIITIFANCGVSFGGGDAYSIGAITVMKAYYKQNLSFLCGLFIVLTTQILGYGWAGMLRRYLVYPVEMWWPSNLAQVSLFRALHEKDPKSKGLTRMQFFLIAMTASFLYYTVPGYLFPIMTFFSWVCWVWPHSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIVNVAVGFIMFIYIILPLCYWKYNTFDAWKFPIFSNQLFTSSGQKYETTKILTPDFQLNIPAYDSYSKLYLSPLFALSIGSGFARFTATLTHVALFNGRDIWRQSRRAVQNVKLDVHSKLMKAYKEVPDWWFYILLIGSVVLSLLMSFVWKETVQLRWWGMLFAFALAWLVTLPIGVIQATTNQQPGYDIIAQFMIGYVLPGKPIANLLFKIYGRISTIHALSFLADLKLGHYMKIPPRCMYVAQLVGTFVAGTLNLAVAWWMLENIENICDTDVLDSSSPWTCPKYRVTFDASVIWGLIGPRRLFGPGGLYRNLVWLFLIGAFLPVPFWVLSKMFPEKKWIALINIPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFRYRKRWWQKYNYVLSAALDAGTAFMGVLLFFAVQNSGKELKWWGTEVDHCPLASCPTAPGIVVKGCPVF >Potri.015G098650.1.v4.1 pep chromosome:Pop_tri_v4:15:11894292:11896539:1 gene:Potri.015G098650.v4.1 transcript:Potri.015G098650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098650.v4.1 MFPIRVELSRYQREQGTAWEFLGMSSMQSFYSIDKLTARSFNAHLGLPYEMSHFC >Potri.013G135800.1.v4.1 pep chromosome:Pop_tri_v4:13:14089984:14091211:-1 gene:Potri.013G135800.v4.1 transcript:Potri.013G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135800.v4.1 MGTAPEYQPNYVMLNYNNSSSVRPPPQRRNIPRYQSNHHHSHGGCLKCVCFCFCFLIVMIILLASVIAFIYMTLNPKMPEYNVASFDVNAFNMAPDFSLYTEFAVTVKANNPNTGISFIYGKESSVVVAYSDSTLCSGKLPAFHQPGVNTTMIQVVLKGKSEFGSGLQEVLMDNRETGKIPLLVMVNAPVSVVLKSFPLREVIVNVNCSLVVDNLSPNKRVRILSSEYAYAVNGMKLQM >Potri.016G080300.1.v4.1 pep chromosome:Pop_tri_v4:16:6172034:6173186:1 gene:Potri.016G080300.v4.1 transcript:Potri.016G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080300.v4.1 MENNFSLLREQKIIADDEELLSLSLSTGSRSLPLSQSSSQLMLGQPPTLPSQLFPPVKPPPPPPHILHMQPPANPSHQEAVIGQLHPHIRRSPSKILREGKSDTIPAPYPWATTRRAAVHSLEYLLANGLTIISGQMQCKKCDRQYEIEYDLQQKFMEVASFISANKDTMHDRAPSVWLNPTLPDCSFCNKRNCLKPIISKKRSINWLFLLLGQMLGCCQLKALKYFCKHTMNHRTGAKDRVLYLTYIDLCNQLDRSFDIKFST >Potri.004G046100.1.v4.1 pep chromosome:Pop_tri_v4:4:3635149:3636126:1 gene:Potri.004G046100.v4.1 transcript:Potri.004G046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046100.v4.1 MQRKMQGGSEDVKPNQERRLKSTQGDDQQQPQKCPRCESLNTKFCYYNNYSLSQPRYFCKTCKRYWTLGGTMRNVPVGGGCRKGKRAKTSSSSSGENSRLQQQLQQQSQLLQHNLATPQNILATTNSGNSVSPALRTKESGNLVSPPTPGMSSMGSYFPGDGFLTSLEAIQSLNNNQPPIQSFSFQPLNQPVNLGGDLGDTSNLGLLHGFSAVPAFGSQNQQQRQFYHVDNRGNKSVEHSFYPHDQESLIQSSRPAATSSHQQQNWHQGFISNSNPTVSDAVLWSISTSTTIGHPNSNINTGTAGSASLNPDQWHHDLPGNGPPS >Potri.002G259000.2.v4.1 pep chromosome:Pop_tri_v4:2:24722540:24728053:-1 gene:Potri.002G259000.v4.1 transcript:Potri.002G259000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259000.v4.1 MAPPLPPITISKIEKFFPYRQHKTRLDPSRPRALLSLPSITIPYKPPPPPLPLGSHPFKAEKKMAGSVKQSVSSMNPWVLHLQKLGLELKCPLCLELLKRPFLLPCDHIFCNSCLPKTIQFGSECPLCKAQYGDSDLRCLPLVENMVTIYRSLDAAFSASILQSRSETGGVLKQCPASKSSDSKDNKLVEAHWGNNSSSGQSKLMCNDVACAPSNCSVGKGVRKNVAMGNCNVPMHVKDKEYGVIGSVGGASNDKQNANSPPVGSQVRARGLHESKAFQMDLNQADQLSPRSPPSFGDNKDSENDSNDQGGDDSLQNYKAENLVQLNSDNKRRQERHSTSASETEEGHLRDSKRHKKLNYSPSDLGANNIGHIKPNAPPTENLITSSQLEIPANLNNSFNDCGFCHSSRITADTGPMLHFANGKPVEGVEATLSDTIHVHAVCIEWAPQVYFVGETVKNLKAELARGAKLKCSKCGLKGAALGCYLKSCKRSYHAPCAMEITKCRWDYENFLVLCSAHSSVKFPSEKSKAKKHNQKTSSVLTSVAPQQSNFWVGSCNGAKKWVFCGSALSSEEKCLLVKFGSMIGVPVNKFWASNVTHVIAATDSDGACTRTLKYLMAILNGKWVLTIDWIKACMESMHPVDEENYEIILDCHGSRDGPKNGRLSALNNAPKLFSGLSFCFVGDFVAGYKEGLQSLVIAAGGTLLKSEEELVEQRHEPLSPSTTLIVYNLDPPQGCKLGEEVSIIWQRTNEAQDLAAKVGSQVIGHTWLLESISSYKLQPFVNWDSIINSDS >Potri.014G128500.3.v4.1 pep chromosome:Pop_tri_v4:14:8628961:8631705:1 gene:Potri.014G128500.v4.1 transcript:Potri.014G128500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128500.v4.1 MDSKLRNPETPESISEEEKQQLAIVSSSPFATASLSLSLSLSTILPTHFLTQPKISALFSSPPTKAKIPTQATSLTHLSLFSSTSSPKRSFKSTISANPLQTPLTLGPRRPSDPSNAAGTRRACIVWFRNDLRVHDNECLNSASNDSMSVLPVYCFDPRDYGKSSSGFDKTGPYRANFLIESVSDLRKNLQARGSDLVVRVGRPETVLVELAKAIGADAVYAHREVSHDEVKAEDKIEEVMKDEGVEVKYFWGSTLYHLDDLPFKLEDMPSNYGGFKEKVQVLEIRKTVETLDELKGLPSRGDVEPGDIPNLLDLGLNPAQDGKATANASMVGGEAEALQRLQKFAAECQAQPPKGTNGSHDSIYGANFSCKISPWLTVGCISPRSMFDELKKTAARTISGASNCGGGGGGGSGSPDTGMNWLMFELLWRDFFRFITKKYSAPRKQLEATPATACTTGAFA >Potri.016G091800.10.v4.1 pep chromosome:Pop_tri_v4:16:7547733:7555172:-1 gene:Potri.016G091800.v4.1 transcript:Potri.016G091800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091800.v4.1 MCQLLNSVDGTLSLLNKLTFYAFPTKTSEFLHPKSSPYLGNKTAKRWRFRGVRSLSLQGGSEAADHVKSSNKFKTNCCDEGEMEPFPHVQTLRKFPKEELVGKVVMVRFDSTNLLREEEQDQSSQSVSSAVFTIKYLHEAGAKIILVSDWRKKTNSQLHDAETVADMLSSVIQHRVVTIKCDYSAVLLKMEVLKKADIFLLENLSEYKEEVANNSKFAELLSSGVDIFVNDSFSQSHKILASTVGIARFCSACIAGFHFEESLCQLKKVARTNKRPYVAIIGGGNLYDKASALHFLVSRCDGLVFVGMMSFQIMHALGLSVPSYLVEPGAYKAALDIIQIAHDRNIPILHPMDFWCMNEHLPEKMDIFPSHHILDGWLPVDLGPRSLDELNSLLVKCKKILWIGPVKFKFSGQCADGASKLAQALNDLRQRNCDITVAGNMACQAMVMESKSVLVNDMIENASVLWEFFKGRKLPGVMALDRAYPFEIDWKSAYCNPAQPLVVDIGSGSGLFLLGMARRRKDLNFLGLEINSKLVRRCMDSVHQYGIQNGYFIVTNATTTFRSIVSSYPGELVLVSIQCPNPDFNNPEHRWRMLQRSLIKAVVDLLALDGKVFLQSDLEAVALRMKELFLKIGKGKLNLWNDQYHARMNLGEWLEENPFGVMSDWEQHVIDRGDPMYRLMLSKSSGIE >Potri.014G130900.3.v4.1 pep chromosome:Pop_tri_v4:14:8762150:8767220:1 gene:Potri.014G130900.v4.1 transcript:Potri.014G130900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130900.v4.1 MEVMTHSSTFLLPSNHHSSSVTYALVVLNRPLPRFTPLLWNHAQVRVCADGGANRVFDEMPLLFPSDDALDVRQRYKPDIIKGDMDSVRTEVLDFYSNLGTKVVDESHDQDSTDLHKCVAYIRDLTPNLDKSNLCILVAGALGGRFDHEAGNMNVLYRFSTMRLILLSDDCLIYLLPSTHLHEIYIQSSVEGPHCGLIPIGMPSVSTTTTGLQWDLNNTEMRFGDVVSTSNLVRGEKITVQSSSDLLWTISIKV >Potri.012G111800.1.v4.1 pep chromosome:Pop_tri_v4:12:13134575:13141483:1 gene:Potri.012G111800.v4.1 transcript:Potri.012G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111800.v4.1 MKDSILPSNKKRTIERKENENLSSKSPILLKKPKQIAKAALIRLQTFNFPLISPVTGSPISSISLVPDRLYTIGRTGDFQFKNRCVSKQHCQILFDSYKRKIYIHDGVLLSKTVDNSGNDCVVSEFRRRLICCDDNELESERINEGLSFSVSLNGVFVNGVRVKKGMVRELCAGDEVLLVCGNEGNCSLGGRIGFLIKGVAFKEEVVTGPNEVRVERDWLFESIGQSQGLVSSGSGNKRVFAIRGDEIMVSDFDFQGRKCGGAIERSRFLLSQCRDVLHSDDPISYIMQCNLLNFEMDVPCVCIDKSNYSVDVAVSDRSKFPVQREKVVNGGVPLVRDEVQHHNLQIDQDIHTDRAKNERDHVCAGGGHLYQKDMSTVCFESFVAKNACKTSSLNTMGNESAPVANSFIQMNTWKNCCPPPGKKFYLNRLQFMDHGSFTHPNVISLPELLYPVESISRIFIATFTSDILWFLSHCEIPCHLPVTIACHNTERCWSSSPDNRTSVPYSDFPNLVVVFPPFPESIAFGQDRKRRGIACHHPKLLVLQREDSIRVIITSANLVSNQWNNVTNTVWWQDFPARSAPDPSPLFIRVSDGDANKDSRSDFAAQLAGFMACLVINVPSQAYWISELTKYDFEGANGHLVASVPGIHSRRSPNAYQLPSGSSGVQFLGSVEASVVGLSHLFHTAADRNGTQLKQLAAFLGKCCENVYGMSEIVLRRNLNVPADVNAVSILVPNPDQFSEGDCIQLGFLPRNVAKWVSPLWDSGFFRFSGYVYPKEALAAALGGSNRKVHLILHVAQGPCFPNMMSLMQTEHVLAFCSLVASIQRCTGIWRLEEVLGQYKWPDSQQSDFIYGSSSIGSVNAQFLAAFSAAAGKRSPELFDSEESDPEWGCWSASQELRNPSIKIIFPTIERVKNACNGISPSRRILCFSEKTWQRLRSVGILHDAIPHPYDRVGQPMHVKVARRRFQSKTNASSFGWVYCGSHNFSAAAWGRLISNPFGLKSKETGKTNTYLSSRLHVSNYELGIIFTFPPTETKGITNKDCTNLDDIVLPFAVPAPKYGPTDRPATARAMSEAVAELAGLERDRLIAEEMIEEIPDEEEEAVEATDYAAVEKEEEKAYAEMLWNQVDSSQRF >Potri.012G111800.2.v4.1 pep chromosome:Pop_tri_v4:12:13134523:13141498:1 gene:Potri.012G111800.v4.1 transcript:Potri.012G111800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111800.v4.1 MKDSILPSNKKRTIERKENENLSSKSPILLKKPKQIAKAALIRLQTFNFPLISPVTGSPISSISLVPDRLYTIGRTGDFQFKNRCVSKQHCQILFDSYKRKIYIHDGVLLSKTVDNSGNDCVVSEFRRRLICCDDNELESERINEGLSFSVSLNGVFVNGVRVKKGMVRELCAGDEVLLVCGNEGNCSLGGRIGFLIKGVAFKEEVVTGPNEVRVERDWLFESIGQSQGLVSSGSGNKRVFAIRGDEIMVSDFDFQGRKCGGAIERSRFLLSQCRDVLHSDDPISYIMQCNLLNFEMDVPCVCIDKSNYSVDVAVSDRSKFPVQREKVVNGGVPLVRDEVQHHNLQIDQDIHTDRAKNERDHVCAGGGHLYQKDMSTVCFESFVAKNACKTSSLNTMGNESAPVANSFIQMNTWKNCCPPPGKKFYLNRLQFMDHGSFTHPNVISLPELLYPVESISRIFIATFTSDILWFLSHCEIPCHLPVTIACHNTERCWSSSPDNRTSVPYSDFPNLVVVFPPFPESIAFGQDRKRRGIACHHPKLLVLQREDSIRVIITSANLVSNQWNNVTNTVWWQDFPARSAPDPSPLFIRVSDGDANKDSRSDFAAQLAGFMACLVINVPSQAYWISELTKYDFEGANGHLVASVPGIHSRRSPNAYQLPSGSSGVQFLGSVEASVVGLSHLFHTAADRNGTQLKQLAAFLGKCCENVYGMSEIVLRRNLNVPADVNAVSILVPNPDQFSEGDCIQLGFLPRNVAKWVSPLWDSGFFRFSGYVYPKEALAAALGGSNRKVHLILHVAQGPCFPNMMSLMQTEHVLAFCSLVASIQRCTGIWRLEEVLGQYKWPDSQQSDFIYGSSSIGSVNAQFLAAFSAAAGKRSPELFDSEESDPEWGCWSASQELRNPSIKIIFPTIERVKNACNGISPSRRILCFSEVARRRFQSKTNASSFGWVYCGSHNFSAAAWGRLISNPFGLKSKETGKTNTYLSSRLHVSNYELGIIFTFPPTETKGITNKDCTNLDDIVLPFAVPAPKYGPTDRPATARAMSEAVAELAGLERDRLIAEEMIEEIPDEEEEAVEATDYAAVEKEEEKAYAEMLWNQVDSSQRF >Potri.001G412400.4.v4.1 pep chromosome:Pop_tri_v4:1:43934075:43938920:-1 gene:Potri.001G412400.v4.1 transcript:Potri.001G412400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412400.v4.1 MVPVDDIPILVFSSTLLLIMTISSAVDTMNTTESIRDSEVMVSADGSFKLGFFSPGSSQNRYLGIWYNKISERTVVWVANREIPLTVSSGVLRVTHRGVLVLLNHNGNIIWSTNSSRSVRNPVAQLLDSGNLIVKDEGDGSMENLLWQSFDYPCDTLLPGMKLGRNTMTGLDRYLSSWKTPDHPSRGVFTYGLKAAGYPEKVLRANSLQMYRSGPWNGIRFSGCPQMQPNPVYTYGFVFTEKEMYYSYQLLDRSILSRVILTQNGNIQRFTWSSSAHSWVFYLTAQVDDCNRYALCGVYGSCHINDSPMCGCLRGFIPKVPKDWQMMNWLGGCERRTPLNCSTDGFRKYSGVKLPETANSWFSKSMNLEECKNMCTKNCSCIAYTNLDIREGGSGCLLWFSDLIDIRRLNENGQDIYIRMAASELGMLLLGLLLVLCFWKKKRQKNGIIERSSNKNSTEQDQELQMFDLGAMAIATENFSVTNKLGEGGFGPVYKGILKDGQEIAVKRLSRNSRQGPEEFKNEVKHIAKLQHRNLVKLLGCCIQEDERMLIYEFMPNRSLDSLIFGKTRSTQLDWPNRYHIIHGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARSFGENETEAITSRVVGTYGYISPEYAIDGLYSIKSDVFSFGVLVLEIVSGNRNRGFCHPDHDLNLLGHAWRLFQEGRHFELIPGPVEESYNLSEVLRSIHVGLLCVQCSPNDRPSMSSVVLMLCGEGALPQPKQPGFLMKGIWLKQIIPQDRIHLVR >Potri.001G412400.1.v4.1 pep chromosome:Pop_tri_v4:1:43934075:43938920:-1 gene:Potri.001G412400.v4.1 transcript:Potri.001G412400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412400.v4.1 MVPVDDIPILVFSSTLLLIMTISSAVDTMNTTESIRDSEVMVSADGSFKLGFFSPGSSQNRYLGIWYNKISERTVVWVANREIPLTVSSGVLRVTHRGVLVLLNHNGNIIWSTNSSRSVRNPVAQLLDSGNLIVKDEGDGSMENLLWQSFDYPCDTLLPGMKLGRNTMTGLDRYLSSWKTPDHPSRGVFTYGLKAAGYPEKVLRANSLQMYRSGPWNGIRFSGCPQMQPNPVYTYGFVFTEKEMYYSYQLLDRSILSRVILTQNGNIQRFTWSSSAHSWVFYLTAQVDDCNRYALCGVYGSCHINDSPMCGCLRGFIPKVPKDWQMMNWLGGCERRTPLNCSTDGFRKYSGVKLPETANSWFSKSMNLEECKNMCTKNCSCIAYTNLDIREGGSGCLLWFSDLIDIRRLNENGQDIYIRMAASELDHDNDTKNNYKSNKKKQMRIIVISTLPTGMLLLGLLLVLCFWKKKRQKNGIIERSSNKNSTEQDQELQMFDLGAMAIATENFSVTNKLGEGGFGPVYKGILKDGQEIAVKRLSRNSRQGPEEFKNEVKHIAKLQHRNLVKLLGCCIQEDERMLIYEFMPNRSLDSLIFGKTRSTQLDWPNRYHIIHGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARSFGENETEAITSRVVGTYGYISPEYAIDGLYSIKSDVFSFGVLVLEIVSGNRNRGFCHPDHDLNLLGHAWRLFQEGRHFELIPGPVEESYNLSEVLRSIHVGLLCVQCSPNDRPSMSSVVLMLCGEGALPQPKQPGFLMKGIWLKQIIPQDRIHLVR >Potri.001G412400.3.v4.1 pep chromosome:Pop_tri_v4:1:43934075:43938920:-1 gene:Potri.001G412400.v4.1 transcript:Potri.001G412400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412400.v4.1 MVPVDDIPILVFSSTLLLIMTISSAVDTMNTTESIRDSEVMVSADGSFKLGFFSPGSSQNRYLGIWYNKISERTVVWVANREIPLTVSSGVLRVTHRGVLVLLNHNGNIIWSTNSSRSVRNPVAQLLDSGNLIVKDEGDGSMENLLWQSFDYPCDTLLPGMKLGRNTMTGLDRYLSSWKTPDHPSRGVFTYGLKAAGYPEKVLRANSLQMYRSGPWNGIRFSGCPQMQPNPVYTYGFVFTEKEMYYSYQLLDRSILSRVILTQNGNIQRFTWSSSAHSWVFYLTAQVDDCNRYALCGVYGSCHINDSPMCGCLRGFIPKVPKDWQMMNWLGGCERRTPLNCSTDGFRKYSGVKLPETANSWFSKSMNLEECKNMCTKNCSCIAYTNLDIREGGSGCLLWFSDLIDIRRLNENGQDIYIRMAASELGIIERSSNKNSTEQDQELQMFDLGAMAIATENFSVTNKLGEGGFGPVYKGILKDGQEIAVKRLSRNSRQGPEEFKNEVKHIAKLQHRNLVKLLGCCIQEDERMLIYEFMPNRSLDSLIFGKTRSTQLDWPNRYHIIHGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARSFGENETEAITSRVVGTYCLQWLHIPRVCD >Potri.001G412400.2.v4.1 pep chromosome:Pop_tri_v4:1:43934075:43938920:-1 gene:Potri.001G412400.v4.1 transcript:Potri.001G412400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412400.v4.1 MVPVDDIPILVFSSTLLLIMTISSAVDTMNTTESIRDSEVMVSADGSFKLGFFSPGSSQNRYLGIWYNKISERTVVWVANREIPLTVSSGVLRVTHRGVLVLLNHNGNIIWSTNSSRSVRNPVAQLLDSGNLIVKDEGDGSMENLLWQSFDYPCDTLLPGMKLGRNTMTGLDRYLSSWKTPDHPSRGVFTYGLKAAGYPEKVLRANSLQMYRSGPWNGIRFSGCPQMQPNPVYTYGFVFTEKEMYYSYQLLDRSILSRVILTQNGNIQRFTWSSSAHSWVFYLTAQVDDCNRYALCGVYGSCHINDSPMCGCLRGFIPKVPKDWQMMNWLGGCERRTPLNCSTDGFRKYSGVKLPETANSWFSKSMNLEECKNMCTKNCSCIAYTNLDIREGGSGCLLWFSDLIDIRRLNENGQDIYIRMAASELGIIERSSNKNSTEQDQELQMFDLGAMAIATENFSVTNKLGEGGFGPVYKGILKDGQEIAVKRLSRNSRQGPEEFKNEVKHIAKLQHRNLVKLLGCCIQEDERMLIYEFMPNRSLDSLIFGKTRSTQLDWPNRYHIIHGIARGLLYLHQDSRLRIIHRDLKASNILLDNDMNPKISDFGLARSFGENETEAITSRVVGTYGYISPEYAIDGLYSIKSDVFSFGVLVLEIVSGNRNRGFCHPDHDLNLLGHAWRLFQEGRHFELIPGPVEESYNLSEVLRSIHVGLLCVQCSPNDRPSMSSVVLMLCGEGALPQPKQPGFLMKGIWLKQIIPQDRIHLVR >Potri.014G024400.1.v4.1 pep chromosome:Pop_tri_v4:14:1481284:1484899:1 gene:Potri.014G024400.v4.1 transcript:Potri.014G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024400.v4.1 MLLVLLSTSHFLLCFFITVASSTAPASNLPAPPDATALLAFKYKADLNKNLPFSQNTTFHFCQWPGVKCFQQKIIRLVLRDSDLGGIFAPKTLTFLDQLRVLGLQNNSLTGPIPYDLSKLTNLKSLFLDHNSFSGSFPPPLLSLHRLRTLDLSHNNLSGPIPSALISLDRLYYLRLDRNLFNGSIPPLNQSSLLTLNVSFNNLSGAIPVTPTLLRFDLSSFSSNPSLCGKIIHKECHPASPFFGPSPAAVTVAPPPAVVVSQNQALQGVDLAQSGQKTKHKKNVLIIGFSSGAFVLLGSVICFVIAAKKQKTQKKSTAATASAGIIGPTAESVAVMQIDRQENELEEKVKRVQGLHVGKSGSLAFCAGEAHLYSLDQLMRASAELLGRGTMGTTYKAVLDNRLIVCVKRLDASKLSDGSKEVFEPHMESVGGLRHPNLVPLRAYFQAREERLLIYDYQPNGSLFSLIHGSKSTRAKPLHWTSCLKIAEDVARGLSYIHQAWRLVHGNLKSSNVLLGPDFEACVSDYCLAVLANSPIDDEDDPDASAYKAPETRSSSQQATSKSDVYAFGVLLLELITGKPPSLLPLPQDVVNWVRSTRGNHQDDGAGEDNRLEMLLEVAIACSLTSPEQRPTMWQVLKMLQEIKETVLLEDSELDLQTGMP >Potri.002G261800.1.v4.1 pep chromosome:Pop_tri_v4:2:24933503:24935834:1 gene:Potri.002G261800.v4.1 transcript:Potri.002G261800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G261800.v4.1 MAVLPSAVAFLLLVSFSLTVNIANSQSFIGVNYGQVADNLPPPSATAKLLQSTSIQKVRLYGSDPAIIKALANTGIGIVIGTANGDIPALASDPSFAKNWINTNVLPFYPASKIILINVGNEVMTSGDQNLMNKLLPAMQNVQNALNDVSLGGEIKVSTVHSMGVLKQSEPPSSGSFDPSYEDLMKGLLGFNNATASPFAINPYPYFAYRSDTRPETLAFCLFQQNAGRVDGNTKIKYMNMFDAQVDAVFSALNSIGFKNVEIVVAETGWPYKGDDNEIGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKPDLTMAYNVGLSKSSQTPATPKTPTNPSPTSKKATWCVPKSGVSDAQLQDNLDYACGRGIDCSPIEPGGACFEPNTLASHAAYAMNLFYQASDKNPLNCDFSQSATLSSNNPSYNACTYPSGSGSN >Potri.002G261800.3.v4.1 pep chromosome:Pop_tri_v4:2:24933503:24935834:1 gene:Potri.002G261800.v4.1 transcript:Potri.002G261800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G261800.v4.1 MTSGDQNLMNKLLPAMQNVQNALNDVSLGGEIKVSTVHSMGVLKQSEPPSSGSFDPSYEDLMKGLLGFNNATASPFAINPYPYFAYRSDTRPETLAFCLFQQNAGRVDGNTKIKYMNMFDAQVDAVFSALNSIGFKNVEIVVAETGWPYKGDDNEIGPSIENAKAYNGNLIAHLRSMVGTPLMPGKSVDTYLFALYDEDLKPGPGSERSFGLFKPDLTMAYNVGLSKSSQTPATPKTPTNPSPTSKKATWCVPKSGVSDAQLQDNLDYACGRGIDCSPIEPGGACFEPNTLASHAAYAMNLFYQASDKNPLNCDFSQSATLSSNNPSYNACTYPSGSGSN >Potri.008G203300.2.v4.1 pep chromosome:Pop_tri_v4:8:14718480:14721410:1 gene:Potri.008G203300.v4.1 transcript:Potri.008G203300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203300.v4.1 MRAVFMKMKHLLEEITSAHVPKTAVLPPASSSSSSTDDQEMDSNSMPMKRHIFIKKSSFCSFLHGMDLNPARMVLAEMVGTFLLLFCVCGIVACTQILRGQVGLMEYASVAGLTIIVVIFSIGSISGAHVNPAVTIAFATFGHFPWSKVPLYILAQTVGSVSATYVGSSVYGVKTELMTTRPAIGCSSAFWVEFMATFMLMFLAASLTSQSRSACVRRIIESCKVIGPCNCFLGLQGYMGIHHCSNHWSCSWCSHVSSFTDSTPGMQCQFLS >Potri.008G203300.1.v4.1 pep chromosome:Pop_tri_v4:8:14718480:14721410:1 gene:Potri.008G203300.v4.1 transcript:Potri.008G203300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203300.v4.1 MRAVFMKMKHLLEEITSAHVPKTAVLPPASSSSSSTDDQEMDSNSMPMKRHIFIKKSSFCSFLHGMDLNPARMVLAEMVGTFLLLFCVCGIVACTQILRGQVGLMEYASVAGLTIIVVIFSIGSISGAHVNPAVTIAFATFGHFPWSKVPLYILAQTVGSVSATYVGSSVYGVKTELMTTRPAIGCSSAFWVEFMATFMLMFLAASLTSQSRSIGPLSGFLYGIAIGLAVLITGPVSGGSLNPARSLGPAIVSWDFKDIWVYITAPTIGAVAGALMFHLLRIRPQACSANSSPDDDLLVHSIAFTES >Potri.008G005101.1.v4.1 pep chromosome:Pop_tri_v4:8:212569:213616:1 gene:Potri.008G005101.v4.1 transcript:Potri.008G005101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005101.v4.1 MSSSTPNALDTYEGSSKLALVHALPLDTATSFNPINKLCPSTHANERFKFPAFLLSTLPFTTTTIKLSPNSIQPPLLRTPNPLIIIPHLLFRQPPSPPLMEYPALSTPSLNFSKSTIPSFPTGKYATSKASS >Potri.004G084050.1.v4.1 pep chromosome:Pop_tri_v4:4:6959728:6961107:-1 gene:Potri.004G084050.v4.1 transcript:Potri.004G084050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084050.v4.1 MHSNTKGFDLCGMPSLQWSTVSYYEAMFPPRLYIYCCCCCQAS >Potri.005G176466.1.v4.1 pep chromosome:Pop_tri_v4:5:18242048:18242837:-1 gene:Potri.005G176466.v4.1 transcript:Potri.005G176466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176466.v4.1 MFCLMYFRFDTISSFSLRKHKISIPKTGNLFKKLDSAENRGREIGTNSLAQKLIPSHHHVRISALSTLLEGPSSFDPIIHNMMWIFPVTEVHHPSAIAKLGTMFAEACHFATIRKQLEGNEIHVFWVS >Potri.005G140500.25.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVKTKQQKSKPKKTPHVKKQGKQANIVQFRAQLDALGLKIIEVTADGNCFFRGLADQLEGNEEEHGKYRSMVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.23.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVKTKQQKSKPKKTPHVKKQGKQANIVQFRAQLDALGLKIIEVTADGNCFFRGLADQLEGNEEEHGKYRSMVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.10.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVKTKQQKSKPKKTPHVKKQGKQANIVQFRAQLDALGLKIIEVTADGNCFFRGLADQLEGNEEEHGKYRSMVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.14.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.26.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVKTKQQKSKPKKTPHVKKQGKQANIVQFRAQLDALGLKIIEVTADGNCFFRGLADQLEGNEEEHGKYRSMVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENEDSKKQGLFLWIQKETQGILWSCEGKIVY >Potri.005G140500.29.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENEDSKKQGLFLWIQKETQGILWSCEGKIVY >Potri.005G140500.21.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.22.v4.1 pep chromosome:Pop_tri_v4:5:11138821:11144688:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVKTKQQKSKPKKTPHVKKQGKQANIVQFRAQLDALGLKIIEVTADGNCFFRGLADQLEGNEEEHGKYRSMVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYECQGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.27.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVKTKQQKSKPKKTPHVKKQGKQANIVQFRAQLDALGLKIIEVTADGNCFFRGLADQLEGNEEEHGKYRSMVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENEDSKKQGLFLWIQKETQGILWSCEGKIVY >Potri.005G140500.11.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVKTKQQKSKPKKTPHVKKQGKQANIVQFRAQLDALGLKIIEVTADGNCFFRGLADQLEGNEEEHGKYRSMVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.28.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENEDSKKQGLFLWIQKETQGILWSCEGKIVY >Potri.005G140500.20.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.24.v4.1 pep chromosome:Pop_tri_v4:5:11138816:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVKTKQQKSKPKKTPHVKKQGKQANIVQFRAQLDALGLKIIEVTADGNCFFRGLADQLEGNEEEHGKYRSMVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.005G140500.15.v4.1 pep chromosome:Pop_tri_v4:5:11138817:11144717:1 gene:Potri.005G140500.v4.1 transcript:Potri.005G140500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140500.v4.1 MVVQYIMNTREMFEPFIEDDVPFDEYCQLMEKDGTWAGHMELQAASLVTHSNICVHRYMSPRWYIRNFDQHGARMVHLSYHDEEHYNSVRSKDDPCNGPAQPIIIKVDADLSATSVQAKAVSSTKAGIAKDSFDAGSLKLVMAGSGCENAEKVKQVLLEVDGDVDAAIEFLIAEQESDSFSAENNSLCSDTNISYGDGVDGNCEQYKDEPVTKTNEQVSSNNRTKQTHDDSSSRENGKKIPRNKDCSCGSKKKHKAYCGAVKGRSSTKIADRKVDFRKGRKETKHNKKGHAESVPSSGSDGWLPDMGALCI >Potri.001G463100.2.v4.1 pep chromosome:Pop_tri_v4:1:48902137:48905091:1 gene:Potri.001G463100.v4.1 transcript:Potri.001G463100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463100.v4.1 MLRSISIDIDGNSAWVQAGATIGELYYRISEKSKNHGFPAGVCPSVGVGGHITGGGYGSMFRKYGLAADNVIDARIIDAQGRVLDRKVMGEDLFWAIRGGGGGSFGIISAWKVKLVPVPSTVTVFRVAKTLEQGATKLLYRWQQVADKLDDDLFLSVSVQLANAGKKGKKTMSTSYDAMFLGDTKRLLQVMQESFPELGLQQQDCIETSWINSVLYMSFFPNNTTPEILLQRNNLFKRYLKGKSDYVKEPIPETALEGLWERLFEEENPSMVLIPYGGMMNKISEYQIPYPHRKGNLFMIDYSTSWKDPSENAAKHIDWVKKIYEYMAPYVSMNPREAYGNYRDLDLGMNEKTNTSCEEASVWGTKYFKDNFYRLVQVKTRVDPDNFFRHEQSIPPGHISEKERLK >Potri.001G463100.1.v4.1 pep chromosome:Pop_tri_v4:1:48901934:48903621:1 gene:Potri.001G463100.v4.1 transcript:Potri.001G463100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463100.v4.1 MILSSYASLWIFGVLLLSPSLIISLPIQDSFLQCLQKNSDISFPFSTLLYSPANSSFISILQSSAQNLRFTLSLTPKPELIYKPVEESHIQAAVICSKQLGIHLRVRSGGHDREGLSYVSQIDTPFIVVDLDMLRSISIDIDGNSAWVQAGATIGELYYRISEKSKNHGFPAGVCPSVGVGGHITGGGYGSMFRKYGLAADNVIDARIIDAQGRVLDRKVMGEDLFWAIRGGGGGSFGIISAWKVKLVPVPSTVTVFRVAKTLEQGATKLLYRWQQVADKLDDDLFLSVSVQLANAGKKGKKTMSTSYDAMFLGDTKRLLQVMQESFPELGLQQQDCIETSWINSVLYMSFFPNNTTPEILLQRNNLFKRYLKGKSDYVKEPIPETALEGLWERLFEEENPSMVLIPYGGMMNKISEYQIPYPHRKGNLFMIDYSTSWKDPSENAAKHIDWVKKIYEYMAPYVSMNPREAYGNYRDLDLGMNEKTNTSCEEASVWGTKYFKDNFYRLVQVKTRVDPDNFFRHEQSIPPGHISEKERLK >Potri.015G078500.1.v4.1 pep chromosome:Pop_tri_v4:15:10474092:10479104:1 gene:Potri.015G078500.v4.1 transcript:Potri.015G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078500.v4.1 MAKPVEAEAAGMEALNRLKSIDPPLWLAPSSSLSQTARTACKHLFSSINPHVPKSPFDQLLIDGCDAEQIWQQIDLQSQPLLSSLRRQVLHFQKNPEEIKVNGDKVLQGKKKGVEDKEVKKVDEFDNSDDEDMYEIDDEDDSDDDADGGEEEESGEEEGEKGVIEDKFLKIKELEDFLEEEDAKEYGLDTRKNNKKKNLSDEEEDEEDEEDDDDEGEEEEDEDEDDEDKEDDELGVFGNGDDEDEDASKRARYEDFFGGKKRKILKKKTKEDSSSDDELDDEALNERKGRLSTHEKQLQKLQSEIEQMEKSNLEPKTWTMQGEVTAASRPKNSALEVDLDFEHNMRPAPVITEEVTATLEDMIKSRIIEGRFDDIRKAPSLHSKAPRELKELDENKSKKGLADVYEEEYVQKTNPAAAPLSFSDEQKKEASVLFKKLCLKLDALSHFHFAPKPVIEDMSIQANVPALAMEEIAPMAVSDAAMLAPEEIFSGKGNIKEEAELTKAERKRRRANKKRKFKAESVKRMPKKAQENTTLNHDDGKEES >Potri.018G000850.1.v4.1 pep chromosome:Pop_tri_v4:18:76395:77431:-1 gene:Potri.018G000850.v4.1 transcript:Potri.018G000850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000850.v4.1 MKLGLSRACLYSCCCTLIFSSSMPSLTTIKPKNPLVLRPPNYLVTSSDLKKWYGWAKNLASSVGSSFELSWLLEDSLENSSYSSSSSCFGKNENVIIWISLDDLYQLWKQRIEERRPFQCIVGCEHWRDSVLSVQEGVLIPRSETELIVDLASDVVSNNEKLGQGLLADVGTGSDAIAIGIRKLLGNQSQFQWQPLMFKGMGLQDVIEVRQESWFEPLKDVEGQIVGIVSNPPYIPSDNISGLQAEDGRHEPRLALDGGASGMDYLLHLYNMAAAIGEKQCEFLVDCMQNDILQEAFVI >Potri.004G189200.1.v4.1 pep chromosome:Pop_tri_v4:4:20174561:20177645:-1 gene:Potri.004G189200.v4.1 transcript:Potri.004G189200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189200.v4.1 MDYMEEKNIIVSDETPIVTTKDHAPPGSQVATGGSDPTLEPNNPGGGVVGGSGGSGSEGVVESTVKRKRGRPRKYDVDANLVSSPPPPQGLSSSLSSYEKRGRGRPRGSGKLQLLASLGGFAAETAGGSFTPHVVPVYTGEDIVSKIIELSQKGARAVCILSATGVVSSVIMRQPGPSGGILRYDGRFEILSLSGSFTFGETGGSNRKNGMLSVSLAKPDGRVFGGGVAGSLIAAGPIQLVIASFKQNIGKGIKRRQSADPPAAPSLPANSDVVRVPVKIAGTTDGEDNCTTPTSALSEPRNEEAGNTVISNQQANTDSQNSSGQNVLQSQKQILLVSPGYENL >Potri.004G189200.2.v4.1 pep chromosome:Pop_tri_v4:4:20174561:20177645:-1 gene:Potri.004G189200.v4.1 transcript:Potri.004G189200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189200.v4.1 MDYMEEKNIIVSDETPIVTTKDHAPPGSQVATGGSDPTLEPNNPGGGVVGGSGGSGSEGVVESTVKRKRGRPRKYDVDANLVSSPPPPQGLSSSLSSYEKRGRGRPRGSGKLQLLASLGGFAAETAGGSFTPHVVPVYTGEDIVSKIIELSQKGARAVCILSATGVVSSVIMRQPGPSGGILRYDGRFEILSLSGSFTFGETGGSNRKNGMLSVSLAKPDGRVFGGGVAGSLIAAGPIQFQAEYRQGD >Potri.004G189200.3.v4.1 pep chromosome:Pop_tri_v4:4:20174561:20177645:-1 gene:Potri.004G189200.v4.1 transcript:Potri.004G189200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189200.v4.1 MDYMEEKNIIVSDETPIVTTKDHAPPGSQVATGGSDPTLEPNNPGGGVVGGSGGSGSEGVVESTVKRKRGRPRKYDVDANLVSSPPPPQGLSSSLSSYEKRGRGRPRGSGKLQLLASLGGFAAETAGGSFTPHVVPVYTGEDIVSKIIELSQKGARAVCILSATGVVSSVIMRQPGPSGGILRYDGRFEILSLSGSFTFGETGGSNRKNGMLSVSLAKPDGRVFGGGVAGSLIAAGPIQVCSAYSFF >Potri.001G356400.3.v4.1 pep chromosome:Pop_tri_v4:1:37070256:37072346:-1 gene:Potri.001G356400.v4.1 transcript:Potri.001G356400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356400.v4.1 MEGVGSRLGRSSSRYGLSATAAVLNGPVRKWKRKWVHVSPSPTLNYRNNSHSNGHNNNNNNNNNGSRLLLCRWTPLPPSGATAATSSSEDPPKRKFRYTPIAVLEERSKVEKKVEQEVEKQLVGWLTSKDDRQNIGDGLKSEIQDSNMSHLDLDLDLRLKGLNNDLDSVGQSEEDQVKKASSGGFGRVVDPRN >Potri.016G102032.1.v4.1 pep chromosome:Pop_tri_v4:16:10363394:10365464:-1 gene:Potri.016G102032.v4.1 transcript:Potri.016G102032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102032.v4.1 MAKAIPFFELNTGAKIPSVGLGTGGADPGAVADAVTAAIKAGYRHIDCARLYCNEKEVGCALKKLFDNGVVKRGEVWITSKLWCNDHAPEDVPESLGKTLQDLQLDYVDLYLIHWPVSMKKGSEGYKPENLTQTNIPATWRAMEALYDSGKARAIGVSNFSSKKLGDLLAAARVPPAVNQVECHPVWQQPKLHEFCQSRGVHLSGYSPLGSPDAGTIKTQVLKNSILNTIAEKLGKSPAQVALRWGLQMGHSVLPKSTNEARIKENLDIFDWSIPEDLFAKLSGIEQERLVKGTVFVHETYGSYKTLDELWDGETSDA >Potri.016G102032.2.v4.1 pep chromosome:Pop_tri_v4:16:10363394:10365464:-1 gene:Potri.016G102032.v4.1 transcript:Potri.016G102032.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102032.v4.1 MKKGSEGYKPENLTQTNIPATWRAMEALYDSGKARAIGVSNFSSKKLGDLLAAARVPPAVNQVECHPVWQQPKLHEFCQSRGVHLSGYSPLGSPDAGTIKTQVLKNSILNTIAEKLGKSPAQVALRWGLQMGHSVLPKSTNEARIKENLDIFDWSIPEDLFAKLSGIEQERLVKGTVFVHETYGSYKTLDELWDGETSDA >Potri.006G202100.1.v4.1 pep chromosome:Pop_tri_v4:6:20945032:20947197:-1 gene:Potri.006G202100.v4.1 transcript:Potri.006G202100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202100.v4.1 MYEETACFETNNSIVEGGNDDGFCQVSPFMTGSSTTSSFEESFKLSMEELSNHYHQEESAAAASMEEIQLQHHMAFNNNCHHLMEQYPTNHHQVLSYDHPSNWDPNTIQFQEMHQVLDQNGNFNATANTPSSLLPDLLNLFNLPRCTSTSTLLPNSSISFTNPAHKTPSGFMGVDSTSVLFDSNPLAPQFRELVHSLPPHGYGLPAPLFGGGQGGDHVDGLSGGGLSYQDGGHGDGVFEFTAEMACIGKGIRKSGKVTKHFATERQRREHLNGKYTALRNLVPNPSKNDRASVVGDAINYIKELLRTVEELKLLVEKKRNGRERIKRRKPEEDGGVDVLENSNTKVEQDQSTYNNGSLRSSWLQRKSKHTEVDVRLIEDEVTIKLVQRKKVNCLLSVSKVLDELQLDLHHAAGGLIGDYYSFLFNTKINEGSCVYASGIANKLLEVVDRQYASSTSVPAASC >Potri.011G096100.1.v4.1 pep chromosome:Pop_tri_v4:11:12409424:12413450:-1 gene:Potri.011G096100.v4.1 transcript:Potri.011G096100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096100.v4.1 MGERKVLNKYYPPDFDPSKLPRIRRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTRCSAELAMKTDPQNSDYTVESGATRNFEPWRAEDEELDTEKKKRVAEEMGDAMKSLENRTLDSKREIDILAALDEMKSMKSRHATVSVDAMLEALQRTASEKERKLEEEDEALIKSLFQQRQTEVIRRISDEDSDDDEDFNMSSDKLKKRKVADEVSSKPTDSLAKASVSDSSNNSENSSASGTQNDGKPKFRSPLIRISVKKKPVAVSDRNKPEENKQVEQSTANDPSIGLQSLCQNYDSDDEDD >Potri.016G033200.2.v4.1 pep chromosome:Pop_tri_v4:16:1884410:1887592:1 gene:Potri.016G033200.v4.1 transcript:Potri.016G033200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033200.v4.1 MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYDDEEEEEGQYAEQM >Potri.016G033200.1.v4.1 pep chromosome:Pop_tri_v4:16:1884410:1887766:1 gene:Potri.016G033200.v4.1 transcript:Potri.016G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033200.v4.1 MREILHVQGGQCGNQIGSKFWEVVCDEHGIDPTGRYVGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPRGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYDDEEEEEGQYAEQM >Potri.006G135200.2.v4.1 pep chromosome:Pop_tri_v4:6:11141374:11145163:1 gene:Potri.006G135200.v4.1 transcript:Potri.006G135200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135200.v4.1 MEQQRNQKAVNQQQHEDHEEVQHGPFPVEQLQASGIASLDVKKLKDAGLCTVESVAFSPRKELLQIKGISEAKVDKIIEAASKLVPLGFTSASQLHAQRQEIIQITSGSRELDKILEGGVETGSITEMYGEFRSGKTQLCHTLCVTCQLPLDQGGGEGKAMYIDAEGTFRPQRLLQIADRFGLNGADVLENVAYARAYNTDHQSRLLLEAASMMVETRFALMIVDSATALYRTDFSGRGELSARQMHLAKFLRSLQKLADEFGVAVVITNQVVAQVDGSAIFAGPQIKPIGGNIMAHASTTRLALRKGRGEERICKVISSPCLAEAEARFQISAEGVTDVKD >Potri.003G091200.1.v4.1 pep chromosome:Pop_tri_v4:3:11733230:11734551:-1 gene:Potri.003G091200.v4.1 transcript:Potri.003G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091200.v4.1 MAAEIGLLSLTQLQNLPQSHQNQYQHQRNPSETPSFWMWNPKQTQEDDDSWEVRAFAEDTGNINGTTWPPRSYTCTFCRREFRSAQALGGHMNVHRRDRARLHQTQPGSTNPHSSTSSSSSSTLIIPTQEFPPNSGLCLLYQLPNPNGVFTPTNMTACSIDSSSTLISITPYPHNNLVGPSLNFPVAPPEISTSHCYSNIKAEPSASTDHSNGIKNNNCKELANEELDLELRLGHRSSS >Potri.001G248900.1.v4.1 pep chromosome:Pop_tri_v4:1:26501877:26504275:-1 gene:Potri.001G248900.v4.1 transcript:Potri.001G248900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248900.v4.1 MAGLSMAESGGGRDLPSKLTWQVFICCIIAASGGLMFGYDIGISGGVTSMDDFLEKFFPSVYLKKHEAREDNYCKYDNQFLQLFTSSLYLAAIVSSFIASFFCKKFGRKPTIQAASIFFLAGAVLNAVAVELGMLIAGRICLGVGVGFGNQAVPLFISEIAPAKYRGGLNICFQLLITIGILMANLINYATSKVHPYGWRISLGCAAVPAIILAIGSLVIMETPTSLLERGKNEEALRVLRKIRGVDNVDKEYAEILNAIELAKQVKHPFRNLMSRSNRPQLICGTVLQFFQQFTGINVVMFYAPVLFQTMGYGSDGSLLSAVVTDLVNVLSTLVAVFLVDIIGRRVLLIEACLQMLAAQSIMGRILAVHLKSANIMPKGSAKLVVILVCVFVSGFAWSWGPLGWLIPSEIFPLETRSAGFFFAVGMNMFCTFLVAQAFLTMLCHMRSGIFFFFAAWIVVMGIFAIFFLPETKGIPIDEMNERVWKKHWFWKRYYEDSDINKRSQEIQHKSEEESLE >Potri.014G175500.6.v4.1 pep chromosome:Pop_tri_v4:14:13099078:13105465:-1 gene:Potri.014G175500.v4.1 transcript:Potri.014G175500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175500.v4.1 MAQYLKLPIIFSRSACSSENSSLLLLSRSYHCHHYYASNLPPVRWVKPEMHCSPIRSFAAAPAKAGKFQLPKKKRRLDEICLERFQQYSRTFIQSWILQGKVFVDGKVANKAGTPVSEKSVVEIIAVVPKYVCRAGYKLEAAIEKLDVDVAGKVALDSGLSTGGFTDCLLQYGASFVYGVDVGYGQVADKIRRDERVCVIERTNLRYLSELPQKVDLVTLDLSFISILLVMPAVVNVMKEEATLVTLVKPQFEARRSQVGSGGIVRDSQVHQEVLEKITKGVEGFGFRSNGWIESPLKGAEGNIEFLVHFSRIHENSAE >Potri.004G073500.1.v4.1 pep chromosome:Pop_tri_v4:4:6134650:6136719:1 gene:Potri.004G073500.v4.1 transcript:Potri.004G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073500.v4.1 MIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMYYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGGRPFGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGEGGEKGGAPADYQPAFRGSGSGGRPGFGRGGGGYGAAQSSSPGFA >Potri.016G103400.1.v4.1 pep chromosome:Pop_tri_v4:16:10589127:10591219:1 gene:Potri.016G103400.v4.1 transcript:Potri.016G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103400.v4.1 MELLILSIFTFFFLSRAELPGTWDLLVPNAGISSMHTAVTRFNTVVLLDRTNTGPSRKMLRKGHCRLDPHDAVLKRDCYAHSVLFDLQTNQIRPLMILTDTWCSSGQFLHDGTLLQTGGDLDGFKKIRKFDPCDINGSCDWVELDDVELSEGRWYASNQILPDGSVIIIGGRGANTVEYYPPRNGAVLFPFLADVEDKQMDNLYPYVHLLPNSKLFVFANNKAVLFDHETNKVVKGFPPLDGGPRNYPSAGSSVMLALEGDYSTAVIVICGGAQYGAFIERSTDTPAHGSCGRIVATSPDPIWEMEDMPFGRIMGDMVMLPTGDALVINGAQAGTQGFEMASNPCLYPLLYRPGQPVGLRFMTLNPGTVPRLYHSTANLLPDGRVLVAGSNPHFFYKFEAEFPTELRIEAFSPEYLSPDRANLRPVIEEIPDTVRFGEAFDVFVSVTLPVVGLIEVNFASAPFATHSFSQGQRLVKLTITPSVPDSGNRYKIGCNAPPNGAVAPPGYYMVFAVNQGVPSVARWVHLVA >Potri.010G045000.1.v4.1 pep chromosome:Pop_tri_v4:10:7693259:7700143:-1 gene:Potri.010G045000.v4.1 transcript:Potri.010G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045000.v4.1 MAATPPLQSSSSSSTASRKNLSYYSYIYNNKCPKFPSLLFKTKSQTTISIRIRCDAQNWSAGYVSAATNHHLHSIQNSSPSPSSFSIPSASSSSSESSPSKRVCLFYCAETKPLAQRIAAESDSIELRSISWRTFDDGFPNLFIPNAQGIRGQHVAFLASFSSPKVIFEQLSIIYALPKLFISSFTLVLPFFPTGTSERMEDEGDVATAFTLARILSNIPTSRGGPTSLVTFDIHALQERFYFGDNILPCFESGIPLLKNRLQELPDSDKIAIAFPDDGAWKRFHKQLHHFPTIICAKVREGDQRIVRIKEGDPRGRHVVIVDDLVQSGGTLVECQKILANHGAAKISAYVTHGIFPNRSWERFESDNGGHPGAGLTYFWITDSCPLTVKEVMNKPPFEVLSLAGSIAAALQIGQLF >Potri.006G005400.1.v4.1 pep chromosome:Pop_tri_v4:6:381068:384530:1 gene:Potri.006G005400.v4.1 transcript:Potri.006G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005400.v4.1 MIRSLSTHSFLSSTIIFPLGRSTLLPSKVCKVYSFLHLGNWVRARIPVSTRAVSALMSSKSGMEQVLVENEVQQVRLLDSVNDDHGGVIVELSESMDSKVFASMLKASLALWRSQGKRGVWIKVPIELVNLVEAAVKEGFWFHHAEPKYLMLAFWIPEGAHTLPANASHRVGVGAFVMNKKREVLVVQEKSGLFRGTGVWKFPTGVVDEEEDICAAAMREVKEETAIDTEFVEVLAFRQSHKSFFDKSDLFFVCMLRPLTFDIEKQESEIEDAQWMPWDEYVAQPFVQKHELLKHLVGIWKAKEDEAYFGFSPVPITSRFPDQKSYLYVNDRDLKGSKVRMSQTA >Potri.004G159800.6.v4.1 pep chromosome:Pop_tri_v4:4:17992813:18000062:1 gene:Potri.004G159800.v4.1 transcript:Potri.004G159800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159800.v4.1 MAANSTTKWLFSLSVVLLTSLQLIQCNVTYDKKALIINGQRKVLFSGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNLHEPSPGNYNFDGRYDLVRFIKLVHEAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKSAMQKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKAFGSPGHAYMTWAAHMAISMDTGVPWVMCKEFDAPDPVINTCNGFYCDYFSPNKPYKPTMWTEAWTGWFTDFGGPNHQRPAEDLAFAVARFIQKGGSLVNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEKALLAADSTVTSLGSYEQAHVFSSDSGGCAAFLSNYNTKQAARVKFNNIQYSLPPWSISILPDCKNVVFNTAHVGVQTSQVHMLPTDSELLSWETFNEDISSVDDDKMITVAGLLEQLNITRDTSDYLWYTTSVHISSSESFLRGGRLPVLTVQSAGHALHVFINGELSGSAHGTREQRRFTFTEDMKFHAGKNRISLLSVAVGLPNNGPRFETWNTGILGPVTLHGLDEGQRDLTWQKWSYKVGLKGEDMNLRSRKSVSLVDWIQGSLMVGKQQPLTWYKAYFNSPKGDDPLALDMGSMGKGQVWINGHSIGRYWTLYAEGNCSGCSYSATFRPARCQLGCGQPTQKWYHVPRSWLKSTRNLLVLFEEIGGDASRISLVKRLVTSH >Potri.004G159800.8.v4.1 pep chromosome:Pop_tri_v4:4:17993348:18000038:1 gene:Potri.004G159800.v4.1 transcript:Potri.004G159800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159800.v4.1 MAANSTTKWLFSLSVVLLTSLQLIQCNVTYDKKALIINGQRKVLFSGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNLHEPSPGNYNFDGRYDLVRFIKLVHEAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKSAMQKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKAFGSPGHAYMTWAAHMAISMDTGVPWVMCKEFDAPDPVINTCNGFYCDYFSPNKPYKPTMWTEAWTGWFTDFGGPNHQRPAEDLAFAVARFIQKGGSLVNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEKALLAADSTVTSLGSYEQAHVFSSDSGGCAAFLSNYNTKQAARVKFNNIQYSLPPWSISILPDCKNVVFNTAHVGVQTSQVHMLPTDSELLSWETFNEDISSVDDDKMITVAGLLEQLNITRDTSDYLWYTTSVHISSSESFLRGGRLPVLTVQSAGHALHVFINGELSGSAHGTREQRRFTFTEDMKFHAGKNRISLLSVAVGLPNNGPRFETWNTGILGPVTLHGLDEGQRDLTWQKWSYKVGLKGEDMNLRSRKSVSLVDWIQGSLMVGKQQPLTWYKAYFNSPKGDDPLALDMGSMGKGQVWINGHSIGRYWTLYAEGNCSGCSYSATFRPARCQLGCGQPTQKWYHVPRSWLKSTRNLLVLFEEIGGDASRISLVKRLVTSH >Potri.004G159800.9.v4.1 pep chromosome:Pop_tri_v4:4:17992909:17999667:1 gene:Potri.004G159800.v4.1 transcript:Potri.004G159800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159800.v4.1 MAANSTTKWLFSLSVVLLTSLQLIQCNVTYDKKALIINGQRKVLFSGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNLHEPSPGNYNFDGRYDLVRFIKLVHEAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKSAMQKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKAFGSPGHAYMTWAAHMAISMDTGVPWVMCKEFDAPDPVINTCNGFYCDYFSPNKPYKPTMWTEAWTGWFTDFGGPNHQRPAEDLAFAVARFIQKGGSLVNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEKALLAADSTVTSLGSYEQAHVFSSDSGGCAAFLSNYNTKQAARVKFNNIQYSLPPWSISILPDCKNVVFNTAHVGVQTSQVHMLPTDSELLSWETFNEDISSVDDDKMITVAGLLEQLNITRDTSDYLWYTTSVHISSSESFLRGGRLPVLTVQSAGHALHVFINGELSGSAHGTREQRRFTFTEDMKFHAGKNRISLLSVAVGLPNNGPRFETWNTGILGPVTLHGLDEGQRDLTWQKWSYKVGLKGEDMNLRSRKSVSLVDWIQGSLMVGKQQPLTWYKAYFNSPKGDDPLALDMGSMGKGQVWINGHSIGRYWTLYAEGNCSGCSYSATFRPARCQLGCGQPTQKWYHVPRSWLKSTRNLLVLFEEIGGDASRISLVKRLVTSH >Potri.004G159800.10.v4.1 pep chromosome:Pop_tri_v4:4:17993217:17999665:1 gene:Potri.004G159800.v4.1 transcript:Potri.004G159800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159800.v4.1 MAANSTTKWLFSLSVVLLTSLQLIQCNVTYDKKALIINGQRKVLFSGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNLHEPSPGNYNFDGRYDLVRFIKLVHEAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKSAMQKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKAFGSPGHAYMTWAAHMAISMDTGVPWVMCKEFDAPDPVINTCNGFYCDYFSPNKPYKPTMWTEAWTGWFTDFGGPNHQRPAEDLAFAVARFIQKGGSLVNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEKALLAADSTVTSLGSYEQAHVFSSDSGGCAAFLSNYNTKQAARVKFNNIQYSLPPWSISILPDCKNVVFNTAHVGVQTSQVHMLPTDSELLSWETFNEDISSVDDDKMITVAGLLEQLNITRDTSDYLWYTTSVHISSSESFLRGGRLPVLTVQSAGHALHVFINGELSGSAHGTREQRRFTFTEDMKFHAGKNRISLLSVAVGLPNNGPRFETWNTGILGPVTLHGLDEGQRDLTWQKWSYKVGLKGEDMNLRSRKSVSLVDWIQGSLMVGKQQPLTWYKAYFNSPKGDDPLALDMGSMGKGQVWINGHSIGRYWTLYAEGNCSGCSYSATFRPARCQLGCGQPTQKWYHVPRSWLKSTRNLLVLFEEIGGDASRISLVKRLVTSH >Potri.004G159800.7.v4.1 pep chromosome:Pop_tri_v4:4:17993938:18000156:1 gene:Potri.004G159800.v4.1 transcript:Potri.004G159800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159800.v4.1 MAANSTTKWLFSLSVVLLTSLQLIQCNVTYDKKALIINGQRKVLFSGSIHYPRSTPEMWEGLIQKAKDGGLDVIDTYVFWNLHEPSPGNYNFDGRYDLVRFIKLVHEAGLYVHLRIGPYICAEWNFGGFPVWLKYVPGISFRTDNEPFKSAMQKFTQKIVQMMKDENLFESQGGPIILSQIENEYEPESKAFGSPGHAYMTWAAHMAISMDTGVPWVMCKEFDAPDPVINTCNGFYCDYFSPNKPYKPTMWTEAWTGWFTDFGGPNHQRPAEDLAFAVARFIQKGGSLVNYYMYHGGTNFGRTSGGPFITTSYDYDAPIDEYGLIRQPKYGHLKELHKAIKLCEKALLAADSTVTSLGSYEQAHVFSSDSGGCAAFLSNYNTKQAARVKFNNIQYSLPPWSISILPDCKNVVFNTAHVGVQTSQVHMLPTDSELLSWETFNEDISSVDDDKMITVAGLLEQLNITRDTSDYLWYTTSVHISSSESFLRGGRLPVLTVQSAGHALHVFINGELSGSAHGTREQRRFTFTEDMKFHAGKNRISLLSVAVGLPNNGPRFETWNTGILGPVTLHGLDEGQRDLTWQKWSYKVGLKGEDMNLRSRKSVSLVDWIQGSLMVGKQQPLTWYKAYFNSPKGDDPLALDMGSMGKGQVWINGHSIGRYWTLYAEGNCSGCSYSATFRPARCQLGCGQPTQKWYHVPRSWLKSTRNLLVLFEEIGGDASRISLVKRLVTSH >Potri.005G124700.6.v4.1 pep chromosome:Pop_tri_v4:5:9285196:9288670:-1 gene:Potri.005G124700.v4.1 transcript:Potri.005G124700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124700.v4.1 MDEIWERAVETALEGQTDKLAARTLTLDGAVKCIQGRLPAPSLFEKFVNLQHLSIANIGVSTLEQFPRLGNLEKLILSDNRISGGLEFLVEAGLDSLRDLDLSNNRIQYIEDLAPLAKLRLVSLDLYECPVTRLKDYRSRVFGLISSLKYLDKMDAEENERPESDDEEEDDDEEDEEDDPGSGEVDGEERPCMMNNGHSEVGEGIVDVDEEEESEADEEETETGREINGVSQNGFRVEVVDRDEEGEGGDDDDGDESGEEEIDEDDDEDDDEDDDVVEVHEIGDDSDENDDGVEYEEEDDDDGEDGEGEEDEEEVDNDEGDLGEPESTGRLTSTEGEIDGHEQGEDVGEEDDNGETGEEEQGVEVDGEFDDEEDGEEEDEDSEAGYLVQPVGQAEVLEAGGIDVEPGNEEDDPEGEEEVDDDEELQVLPSSSHLKRKRDEDEDDDGEDDDDDVVEYTTKSSKKHL >Potri.003G127200.1.v4.1 pep chromosome:Pop_tri_v4:3:14652950:14654889:1 gene:Potri.003G127200.v4.1 transcript:Potri.003G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127200.v4.1 MGKRGGGGASKKPPLNTLTASNKSFALSAKGGNHNPRSLLRLEHLQNLASWATEEASIPSLAAFFGRQFASSAEALGVPLDPSALFQCQRCGTFLRPGFNCTTQIEKNQSKARRRHKRFSTSTKNNVVYKCHHCLHINLKRGTPKGHMKEICPPKPKPQAKPTKSVLQKSANLEKGTSSKGEIVKIDGPALPAISLGTSMTNNPANPFPRIKTDEIYKIAETALPAISVDTSITDSPATPFPRVKTDEIIKIDETALPAISMSASITSSPATPLPSGRFSLLDATKKKRNRSAKKPEQSEGDSAAMDAENTVSTSSKRKRKSWTSLKEIVEKRAQ >Potri.017G003251.1.v4.1 pep chromosome:Pop_tri_v4:17:183051:184666:1 gene:Potri.017G003251.v4.1 transcript:Potri.017G003251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003251.v4.1 MKNNNNLTGHIPLEIGLLDRIKIFTVTNNRLSGPVPNFLRNIPADSFQNNTGLCGKPLKSCSSHQMKFDYSFKSGFVIGYIVFSTSVAIFFTSCCVPWISMLEKRVTRMSYADLNDATDNFSENNVIGQGMMGMLYKASLPNGYVVAVKKLHDSQFLEEQFISELKIHGSLRHIINSNQRFLVYNYMPNGNLYDWLHPMEEGQEKAMEWGVRVKVAVGLARGLAWLHQNCHTVKIIHLDISSKCILLDQNFQPKLSNFGEAMLMSSTCASSVNSEFWEMAFVKEDVHGFGVVLLEMITGVDPSNMTGSSNNVLNEWIGHLLSSSDFHGAIDKSLIGQGFDAEIVQLLKVACTCVDPIPDRRPIMLQVYEDIKAIRERCDLVDDSSMLTQPEICPATSEKSVEIEMAEFQ >Potri.002G039700.1.v4.1 pep chromosome:Pop_tri_v4:2:2612561:2613595:1 gene:Potri.002G039700.v4.1 transcript:Potri.002G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039700.v4.1 MAPAHRQYYIHAFRNQQNLIYQQPSPTSDHAFPLLAIAVLSIMGTAFLLVGYYVFVNKCCSNWNQFNLLRWFTVWRARRNEDSFIALSPTMWNRGLDESVIREIPTFQYRREEGRERSSCGCVVCLNEFQEQDMLRVLPNCSHAFHLDCIDIWFQSNANCPLCRTSISGSGTKYPVDRIIAPSSSPQGSQPYTDSLMGSDEDYVVIELGGEDDGALLPPRQHERNTSREVQMRLRSRSPMKMEQKLGKLKTRKQHHVSIMGDECIDVRGKDDQFSIQPLRRSFSLDSAVDRQLYSSVQAIIHQNIHHREISNTEESSNRVLRSVFPFVHVRGSRKAVRPVEFEI >Potri.012G134200.1.v4.1 pep chromosome:Pop_tri_v4:12:14938036:14938799:-1 gene:Potri.012G134200.v4.1 transcript:Potri.012G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134200.v4.1 MTRETSPGLKILWAWTIGTAAILVTSVVRTRLKDMEQMMNAEQQQQQEQEKQHQSTLSDAALLDSDEGIIREVRS >Potri.001G234700.1.v4.1 pep chromosome:Pop_tri_v4:1:25342508:25343657:1 gene:Potri.001G234700.v4.1 transcript:Potri.001G234700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234700.v4.1 MKMMDLFCASPASTAICSSLDHRSIVRHGTRPLDRKSSKSDYTPACSSELPPSPCSPYSRKSSAKQRDLYRKSSAEDSRSVISSVKQSYLRRKSSADISDLQSRPVPGSSSRHLLVSDTAPYIDWISESGHHEVPAMAPSTQHANPRLTNSLIDFPARRSSSLVCSRDWISEADNVSDTGNKPSTHMSSNDSPALRSSSSTCSRHQVVVLRVSIHCKGCEGKVRKHISKMEGVTSFSIDFATKKVTIIGDVTPLGVLASVSKVKNAQLWPSATTSPSPSPLP >Potri.012G108500.1.v4.1 pep chromosome:Pop_tri_v4:12:12958155:12960443:1 gene:Potri.012G108500.v4.1 transcript:Potri.012G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108500.v4.1 MDVMVSALAQVIGSSHNSSAQVQENPLTSTQSSTENDQTQPAVQDQGNARRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFETAEAAALAYDEAALRFKGSKAKLNFPERVPSGGTELGFFTRGQGLHTVTEPVPNHIMAPLARSQRSQEAYNPNNFQYPQFLGTTSGYGLSHVMPPAVPFGGETFLSPTSSSASSNSWPISSQQQQQQQEELLRLSMQFGSSYNSRYDPSKYKDEGL >Potri.012G004400.2.v4.1 pep chromosome:Pop_tri_v4:12:19142:23244:-1 gene:Potri.012G004400.v4.1 transcript:Potri.012G004400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004400.v4.1 MDMHGNSHPDSGKLEQIISQFLLKSLHIILDSRIPSLHPHDRSRELSSTSRVKKSDKWFNLVLGDRPAALDNLNFWHRNLTDPMIIDVLLVRQPSTPSTSMDNLYAGTSVETVIERWVVQYESLRVMPPQTGENSASYKKTYKKSIILLRSLYSHMRLLPAYRVFRQLSSSTQTYNFHIIYKVSSFCEPFSRADEEVMKELSFVPVEALPGRLCVSVTYRSTLSDFKLEPVTPMTPKIIMDYVGSPTTDPLRSLPSSEKGVGATSFLLRGMQPHVSSPFPRPHSWTSGFHRAAHMVNQPVGGSPPAYRTSRMPCDFPSPSNDIYGHRVQNYRPSTPQKANYHDEYQLSPPFSSSMSPSTPTHFYNGSPVLARDISETAPVSIPLPISGGRSSRYLSPNFSDSSRHSLPPLSPRSTRHDSSSQESPSGIRSIKKLEAIRFAELNSGNVNHYSGQKVVSALTNLFLSGFFSCLKTSAIKLYFDWCL >Potri.012G004400.1.v4.1 pep chromosome:Pop_tri_v4:12:19143:23586:-1 gene:Potri.012G004400.v4.1 transcript:Potri.012G004400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004400.v4.1 MDMHGNSHPDSGKLEQIISQFLLKSLHIILDSRIPSLHPHDRSRELSSTSRVKKSDKWFNLVLGDRPAALDNLNFWHRNLTDPMIIDVLLVRQPSTPSTSMDNLYAGTSVETVIERWVVQYESLRVMPPQTGENSASYKKTYKKSIILLRSLYSHMRLLPAYRVFRQLSSSTQTYNFHIIYKVSSFCEPFSRADEEVMKELSFVPVEALPGRLCVSVTYRSTLSDFKLEPVTPMTPKIIMDYVGSPTTDPLRSLPSSEKGVGATSFLLRGMQPHVSSPFPRPHSWTSGFHRAAHMVNQPVGGSPPAYRTSRMPCDFPSPSNDIYGHRVQNYRPSTPQKANYHDEYQLSPPFSSSMSPSTPTHFYNGSPVLARDISETAPVSIPLPISGGRSSRYLSPNFSDSSRHSLPPLSPRSTRHDSSSQESPSGIRSIKKLEAIRFAELNSGNVNHYSGQKLLKDSKDDSGRFSGLLSSSGSPRVGFSRSSSRLSFQDDLDDDDDFSCPFDVDDVDTSDSHASQNLYGKKNLESSSHTASIGKKSQDAAVGILVHMLRTAPPLRQDPSCYSSHSLRTNVEEGMSTASGFFLPRKTADALEELRSYREMKDLLLSKSGTRVVSKEEA >Potri.015G029200.3.v4.1 pep chromosome:Pop_tri_v4:15:2247233:2253125:1 gene:Potri.015G029200.v4.1 transcript:Potri.015G029200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029200.v4.1 MTGTGGDNMNGPVHSSSGLIHKPVVRITVLFLGVLAVSCLVLYKSANPFEFPPSSLGSSDSDSLSVLYHQTYKRKVDLELGRVLNKASMKGNTVILTTLNDAWAEPGSIFDLFLESFQIGEKTKELLNNLVIISLDQKAHARCLAIHPHCYALKTEGLNFTREASFMSEDYLKMMWRRIEFLNTVLEMGYSFVFTDADIMWLRNPFPRFYPRVDFQIACDKYYGNPKDKSNRPNGGFTYVRSNLRTILFYRFWFMSRKTYPGNHDQDVLNKIKNDRVLEKIELTMRFLDTAYFGGFCERSKDFNKVCTMHANCCYGLETKVHDLKIVLEDWKNYTSLPPNERASASPSWRAPQNCRT >Potri.015G029200.4.v4.1 pep chromosome:Pop_tri_v4:15:2251410:2252595:1 gene:Potri.015G029200.v4.1 transcript:Potri.015G029200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029200.v4.1 MPFQRKVDLELGRVLNKASMKGNTVILTTLNDAWAEPGSIFDLFLESFQIGEKTKELLNNLVIISLDQKAHARCLAIHPHCYALKTEGLNFTREASFMSEDYLKMMWRRIEFLNTVLEMGYSFVFTDADIMWLRNPFPRFYPRVDFQIACDKYYGNPKDKSNRPNGGFTYVRSNLRTILFYRFWFMSRKTYPGNHDQDVLNKIKNDRVLEKIELTMRFLDTAYFGGFCERSKDFNKVCTMHANCCYGLETKVHDLKIVLEDWKNYTSLPPNERASASPSWRAPQNCRT >Potri.007G065101.2.v4.1 pep chromosome:Pop_tri_v4:7:8124306:8126056:1 gene:Potri.007G065101.v4.1 transcript:Potri.007G065101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065101.v4.1 MENMLIYALLCSNNFMPPMESHMLRNACVQAIKEQVLPCVHTGLEVIVDLVPMAAINLSTTVVQMRPMFFRKDFNTDHLKCHLQIYLKNMLMLEGGAIREFVGNSMLVEVVVIGWDEMLRDDLCKGIFTMELEDEDEVVDDDGQANNDGELPSTLILKSLGKSVTVDLLDTLMIQFLSILKPLQIRSLWVS >Potri.009G095300.1.v4.1 pep chromosome:Pop_tri_v4:9:8598884:8600739:-1 gene:Potri.009G095300.v4.1 transcript:Potri.009G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095300.v4.1 MVSKSLGHLFLVSFPGQGHVNPLLRLGKILASKGFLVTFSTTETTGKEMREASDIIDKLTPFGDGFIRFEFFEDGWKEDEPRHQDLDQYLLQLELVGKQVIPQMIKKNAEQGRPVSCLINNPFIPWVTDVATGLGLPSAMLWVQSCACFASYYHYYHGAVPFPDEEHPEIDVQLPWMPLLKHDEVPSFLYPTTPYPFLRRAILGQYKNLDKPFCILMETFEELEPELIKHMSEIFPIKTVGPLFRNPKVLKTTVHGDFLKADDCIEWLDTKPPSSVIYVSFGSVVQLKQDQWNEMACGLLNSGVSFLLVMKPPHKDSGNDLLVLPDGFLEKAGDRGNVVQWSPQEKVLGHPSVACFVTHCGWNSTMEALTSGMPVVAFPQWGDQVTNAKYLVDILKVGVRLCRGEAENKLITRDEIEKCLLEATVGPKAVEMKQNAMKWKEAAEAAVAEGGSSDWNIRYFTDDIVKANESEIAGKCIGSNEFPVSVVVKSNEKVVELVG >Potri.006G139500.1.v4.1 pep chromosome:Pop_tri_v4:6:11674720:11680575:-1 gene:Potri.006G139500.v4.1 transcript:Potri.006G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139500.v4.1 MMVETIAPPPSSRFNYKRLELKRCLPAFLSSHKTLFALLWIAAFASVFLWKRNPVGAGLAVFWRGPLRPMPQLRPVAFNLTDFGAVGDGATVNTEAFERAVSAISKLSKRGGGQLNVPPGRWLTAPFNLTSHMTLFLAEGAVILGIQDEKYWPLMPALPSYGYGREHPGPRYGSLIHGQNLRDVVITGHNGTIDGQGQTWWKKYRQKLLNHTRGPLVQIMWSSDIVFTNITLRDSPFWTLHPYDCKNVTIRNVTILAPIFEAPNTDGIDPDSCEDMVIEDCYISVGDDAIAIKSGWDQYGIAYGRPSTNILIRNLVVRSMVSAGISIGSEMSGGVSNVTVENVLVWSSRRAVRIKTAPGRGGYVRHITYRNLTFDNVRVGIVIKTDYNEHPDEGYDPKAVPILGDISFTGIHGQGVRVPVRIHGSQEIPVRNVTFWDMSVGLTYKKKHIFQCAFVQGRVIGTVFPAPCENLDRYDEQGKLVKRSVSQNLTDIDYDF >Potri.005G078801.1.v4.1 pep chromosome:Pop_tri_v4:5:5361954:5362707:1 gene:Potri.005G078801.v4.1 transcript:Potri.005G078801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078801.v4.1 MEMFLQVNLNTASKFPIDMVQKTRSRQKSYKHKTNLCKNEVAFRKKINFYEVLSLGSHNAGFDEIKKAYRSMALQYHPDVCTPSAREESTKRFVELQKAYETLSDPVSRRMHDYELGLVNSGGFAFEGLPLEDRKNRFPREVWERQLHGLQQRSYARMERRNNKYMQS >Potri.001G031600.1.v4.1 pep chromosome:Pop_tri_v4:1:2360477:2361658:-1 gene:Potri.001G031600.v4.1 transcript:Potri.001G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031600.v4.1 MARYVIFPMAIFLAFCMLSCIAQQQPCATFKFSNNKQFSSCSDLPVLSSSLHWNYHPSSNRVDVAFRHTGVTDRRWIAWAINPTSGGMVGSQAIVSFPRTDGGLAVYTSPITSYGTRLEQGNLSFPVLDLSATNQNNEMIIYASLELHGNISTVNHLWQVGPMSENTPMMHSVAPSSPNVKSMGSLDFLSGRITTTRSSSSTLRNIHGILNTVSWGILMPIGAVIARYLKRFESADPLWFYLHVSCQLLAYILGGLAGFGSGIFLGARSHGIEHSSHKIIGIVLFCLATAQVFGGLVRPDKDSKYRPFFNWFHLLAGCSTLILGIFNIYKGFDILHAAKFWRLAYSGVILTLLLATLLLEICTRWCMPVAKRSMSDTVDKNTSTVVAVAAMEV >Potri.007G015300.1.v4.1 pep chromosome:Pop_tri_v4:7:1133063:1139116:1 gene:Potri.007G015300.v4.1 transcript:Potri.007G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015300.v4.1 MTTMKLVFISLLLLSTAPYASLALRTQVHSLSESSNSKNYLTTQELWFNQTLDHFSPFDHHKFPQRYYEFLDYFRISDGPIFLEICGESSCNGIVNDYISVLAKKFGAAVVSLEHRYYGRSLPFKSTTTENLRFLSSKQALFDLAVFRQYYQESLNLKLNRTSVENPWFVFGGSYAGALSAWFRLKFPHLTCGSLASSAVVLAIHNFTEFDQQIGESAGAECKATLQETTQLVEERLASNKQAVKALFDAAELEIDGDFLYFLADAAVIAFQYGNPDIVCSTLVKAKNNGDDLVEAYAKYVKEYYLGTFGSSVQTYNQKYLKDTSLNKHTGDRLWWFQVCTEVAYFQVAPSNDSIRSSKVDARYHLDLCKNVFGEGIYPEVDVTNIYYGGTNISGSKIVFANGSQDPWRHASKQTSSPDMPSFLISCHNCGHCTDIRGCPQTPLSLEGNARNCSSPEAVEKVRHQIIEKMDLWLSECRAGSWSSM >Potri.017G113300.1.v4.1 pep chromosome:Pop_tri_v4:17:12069956:12074031:-1 gene:Potri.017G113300.v4.1 transcript:Potri.017G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113300.v4.1 MSPTEPSREESVYMAKLAEQAERYEEMVEFMEKVAKTVDNEELTMEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTIIKEYRGKIEAELSKICDGILSLLETHLVPSASAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLSYKSAQDIALSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKDASKRESGDGPQ >Potri.017G113300.2.v4.1 pep chromosome:Pop_tri_v4:17:12070096:12073878:-1 gene:Potri.017G113300.v4.1 transcript:Potri.017G113300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113300.v4.1 MMSPTEPSREESVYMAKLAEQAERYEEMVEFMEKVAKTVDNEELTMEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTIIKEYRGKIEAELSKICDGILSLLETHLVPSASAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLSYKSAQDIALSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKDASKRESGDGPQ >Potri.017G113300.3.v4.1 pep chromosome:Pop_tri_v4:17:12070195:12073875:-1 gene:Potri.017G113300.v4.1 transcript:Potri.017G113300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113300.v4.1 MSPTEPSREESVYMAKLAEQAERYEEMVEFMEKVAKTVDNEELTMEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVTIIKEYRGKIEAELSKICDGILSLLETHLVPSASAAESKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLLSYKSAQDIALSELAPTHPIRLGLALNFSVFYYEILNSPDRACSLAKQAFDEAISELDTLGEESYKDSTLIMQLLRDNLTLWTSDITDEAGDEIKDASKRESGDGPQ >Potri.T125008.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:65292:70056:1 gene:Potri.T125008.v4.1 transcript:Potri.T125008.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125008.v4.1 MDTSRYMDKQITQLSKSQPFNFLDDDDEQEEEEENGDHGFEFNTFRSLSKSHNKVLDGTNLRGWSSMDCIDSAKFSTEKVGIFSNAALIFEIDVKMKEHADILLHSVECLSTRVSQLESRTHQIENVVDDLKESMDFNQGKTDGKLREIKNILVEVQGGVQDLRDKQDIAEAQLQLAKLQMSKNNQQVEKLNAIVKAGWSQEALSSVSQQSHQLLPIPGASPQLLAPSSSVTNLPPQIHPPATSVATASQLSTHLLQNQTAISSVPQQEPYNPALVPTPERIYQQYQVPPAQQSQLIPYYHQPYQPMPHFPQNLQLPELPQVHPASTMVNPQVPGHHSEEVPCTPSHSIFQPPGRSPPLQQYNTGSTQQIYPQLSNRNYVESNSASLLPQGLPLHGKTNITDSYRYGASPRNYGSSSIKPSQPFPSPTVLGGESNYTQLPTARILPHAIPTASNVDAGSGSGSGGSGNRRPVDDVIDNVAAMGFHRDLVRETVIKLMENGQSVDLNAVLDKLMNSG >Potri.T125008.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:65297:70057:1 gene:Potri.T125008.v4.1 transcript:Potri.T125008.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125008.v4.1 MDTSRYMDKQITQLSKSQPFNFLDDDDEQEEEEENGDHGFEFNTFRSLSKSHNKVLDGTNLRVQGGVQDLRDKQDIAEAQLQLAKLQMSKNNQQVEKLNAIVKAGWSQEALSSVSQQSHQLLPIPGASPQLLAPSSSVTNLPPQIHPPATSVATASQLSTHLLQNQTAISSVPQQEPYNPALVPTPERIYQQYQVPPAQQSQLIPYYHQPYQPMPHFPQNLQLPELPQVHPASTMVNPQVPGHHSEEVPCTPSHSIFQPPGRSPPLQQYNTGSTQQIYPQLSNRNYVESNSASLLPQGLPLHGKTNITDSYRYGASPRNYGSSSIKPSQPFPSPTVLGGESNYTQLPTARILPHAIPTASNVDAGSGSGSGGSGNRRPVDDVIDNVAAMGFHRDLVRETVIKLMENGQSVDLNAVLDKLMNSG >Potri.016G127800.1.v4.1 pep chromosome:Pop_tri_v4:16:13116280:13119955:-1 gene:Potri.016G127800.v4.1 transcript:Potri.016G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127800.v4.1 MNQNSTTELVCEAFEAHKMIRFKHDDENYFSFSPVLVQIGLVTLLKTFFQFLLMPFGQQRFVPEILGGIAISPSFLGHMERINKYLFAPRSVMILDTFEVFGLVFVLFLLSLRLDITVVKKCGKLAVVIGLASFLVPTVITTLIASYLRGFFKLELHEEVHVVAVIISTTSFHVVFSILEDLKLLNSELGRLALSSSMVSGLFSWSFIVFLANFKEASKLGSKKGIILAQITRIPMIMIIVFAFRPMMWWMVRRTPEGQPLKQSYILIISTMVLFCGFLGEINGHHFLFGPLVLGLATPDNPQLHSCLMEKIGTFVNSFLVPCFLVDVGRGINLFLATFKHVAFALMLVFISTLTKLSAIIATSLYYKMPFRDALSLGLILNCKGFVDALLYNAANKFEGLKTELFSILVVTAMLQSVFVTLLVRLLYDPSRRYIAYKPRTIQNTGLRSELQIVACLHQQDDVRSIINVLEATNPTRASPIAVYVLNLKKLIEGALPLFISHRLNNISSAEKIDIVSNAFYQFEQQNQGLVTVQCFTSFAPYATMHDDVCTMVLEKSTSLVIVPFQRYDSPSMRAVNRNILVKAPCSVALLVNRGNLDRYILSGRLTMKVCVVFIGGADDRETLAYAQRMSGHPNIRLIVLRLVSVDQTFTDLIEKRRNSNMINEFRLNNNDCPRVSYKEEMVRHGNDTVRLLGAMCNDFDLIMVGRRHDPDSTQLIGLSEWGEIDQDLGVIGDIMASKDFECKASILVVQQQASVVVEMIQNQKYVSIYNSDR >Potri.014G179200.3.v4.1 pep chromosome:Pop_tri_v4:14:13589695:13589931:-1 gene:Potri.014G179200.v4.1 transcript:Potri.014G179200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179200.v4.1 MEKISSGKEVHEKEVAARESLIDISDLLPENSNSTERPSEVKDYFDGTEKYRSELISISNSQSPDITGLPVPLGEPSD >Potri.005G184400.1.v4.1 pep chromosome:Pop_tri_v4:5:19138176:19140442:1 gene:Potri.005G184400.v4.1 transcript:Potri.005G184400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184400.v4.1 MDSPLKLQEQSKGFLFDSVLHKQAGFPKEFLWPDLVRAQQELSEPLVDLEGFFKGDEEATKQAANIIKDACSRHGFFQVINHGVDPNVIRDAEDYMDHFFRLPVSEKLKARRMPGSLCGYSGAHADRYASKLPWKETLSFRYHENSSDLVVLDFFKSALGNDFEQTGMVYQKYCEAMMDLSFAILELLAISLGVDRKLYRKFFEDGFSILRCNFYPPCQEPGNTLGTGPHCDSNSITILHQDQVGGLEIFTNNVWQTIPPLQGALIINIGDTFTALSNGKYKSCLHRAMVNKHEQRKSLAFFLSPREDKVVRPPQELVCSEGKRMYPDFTWLNLSRFVQNHYRADDSTLQNFTNWSQSVNL >Potri.007G119900.3.v4.1 pep chromosome:Pop_tri_v4:7:13795338:13799895:-1 gene:Potri.007G119900.v4.1 transcript:Potri.007G119900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119900.v4.1 MELRRLRAGYAIVYVILLCTLAMGSIEGKRRADMLFGNCDPELKEKMEEQAWIHCWKELVDRNGSFEDFDLDMLQEANFELKSRLLTKENIDKSLSVLSPKMKQELLDYCLREKNLHFSYHDDSSRHSFIKCVKFLLDLCNSHRGYLASNTHQHTKPSLTPSAPAAASPGYGSAPFNLAAVSSSSPRRLTLSFFEKKLYRLQDMSDSPPPPSPGKHSPPSSRFHKQVPPPPVHKGVDQNLIVAVAATAAGTFCFVATLFICWCCCRGSSNKIGPGGGKRDERPLLHFNLSNTTSQSSFSLGNSSSKDHSSNSGNTTFQSDLSTKYGNHDSSLADAPSVEAHAGEALPPLKPPPGRTPAPPPPRPPPPPPPPVAAPRPPVPPKVGRAPPVPPSKGKLKPSPLGPHRENPSEGDDLDSEEAPKAKLKPFFWDKVVANPDHSMVWDEISSGSFQFSEEMIESLFGYHSVDNNKNDRKRDPSEPSIQYIQIINPRKAQNLSILLRALNVTTEEVLNALQEGNELPVELLQTLLKMAPTSEEELKLRLYAGDISQLGPAERFLKVLVEIPFAFRRIEALIFMSALREEVSGLKESFATLEVACNKLRNSRLFLKLLEAVLKTGNRMNDGTYRGGAQAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGIRAVRTARPSLSFSSVKSDEYIDNANPASAEHYRNLGLLVVSGLSTELEDVRKAAIIDANILTSTVSKLNQSLTKTKAFLDSDLKSLGEDGEFYHALASFLERAESEMSSMSEEEKRITALVKSTADYFHGNAGMDEGLRLFTIVRDFLIMIDKTCREVRDDRSKRPITTAKKEVREVTATNSQKHENAIQKLFPAIVGRRTDDSSSDDESTSP >Potri.007G119900.4.v4.1 pep chromosome:Pop_tri_v4:7:13795362:13799783:-1 gene:Potri.007G119900.v4.1 transcript:Potri.007G119900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119900.v4.1 MELRRLRAGYAIVYVILLCTLAMGSIEGKRRADMLFGNCDPELKEKMEEQAWIHCWKELVDRNGSFEDFDLDMLQEANFELKSRLLTKENIDKSLSVLSPKMKQELLDYCLREKNLHFSYHDDSSRHSFIKCVKFLLDLCNSHRGYLASNTHQHTKPSLTPSAPAAASPGYGSAPFNLAAVSSSSPRRLTLSFFEKKLYRLQDMSDSPPPPSPGKHSPPSSRFHKQVPPPPVHKGVDQNLIVAVAATAAGTFCFVATLFICWCCCRGSSNKIGPGGGKRDERPLLHFNLSNTTSQSSFSLGNSSSKDHSSNSGNTTFQSDLSTKYGNHDSSLADAPSVEAHAGEALPPLKPPPGRTPAPPPPRPPPPPPPPVAAPRPPVPPKVGRAPPVPPSKGKLKPSPLGPHRENPSEGDDLDSEEAPKAKLKPFFWDKVVANPDHSMVWDEISSGSFQFSEEMIESLFGYHSVDNNKNDRKRDPSEPSIQYIQIINPRKAQNLSILLRALNVTTEEVLNALQEGNELPVELLQTLLKMAPTSEEELKLRLYAGDISQLGPAERFLKVLVEIPFAFRRIEAFKLDTLLKLSDVKGIDGKTTLLHFVVQEIIRSEGIRAVRTARPSLSFSSVKSDEYIDNANPASAEHYRNLGLLVVSGLSTELEDVRKAAIIDANILTSTVSKLNQSLTKTKAFLDSDLKSLGEDGEFYHALASFLERAESEMSSMSEEEKRITALVKSTADYFHGNAGMDEGLRLFTIVRDFLIMIDKTCREVRDDRSKRPITTAKKEVREVTATNSQKHENAIQKLFPAIVGRRTDDSSSDDESTSP >Potri.004G074700.1.v4.1 pep chromosome:Pop_tri_v4:4:6219361:6225609:1 gene:Potri.004G074700.v4.1 transcript:Potri.004G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074700.v4.1 MPNENNGNIDVALASFNQMLHRKPLPCIIQFNQLLSAIVKMRQYYDAVISLSKQMELAGLSPNTCTLNILINCFCQLQHVDLGFSVLAKGIKLGLQPTIVTFTTLINGLGKVGKFAQAVELFDDMVARGCQPDDYTYTTIINGLCKIGETALAAGLFKKMEEAGCQLNVVTYSTLIHSLCKYRRVNEALDIFSYMKAKDISPTIFTYTSLIQGLCNFSRWKEASALLNEMTSLNIMPNVVTFNVLVDTFCKEGKVLAAEGVLKTMTEMGVEPDVVTYNSLMYGYSMWTEVVEARKLFDVMITKGCKPDVFSYSILINGYCKAKRIDEAKQLFNEMIHQGSTPNNVSYNTLIHGLCQLGRLREAQDLFKNMHTNGNLPNLYTYAILLDGFCKQGYLGKAFRLFRAMQSTYLKPNLVMYNILVNAMCKSGNLKDARELFSELFVIGLQPNVQIYTTIINGLCKEGLLDEALEAFRNMEDDGCPPDEFSYNVIIRGFLQHKDESRAVHLIGEMRDRGFITDAGTTAW >Potri.016G008700.2.v4.1 pep chromosome:Pop_tri_v4:16:404242:409473:-1 gene:Potri.016G008700.v4.1 transcript:Potri.016G008700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G008700.v4.1 MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKTTAPNTVKRDKHEVAIANKEQTDPVKISLKDCLACSGCITSAETVMLEKQSLDDFLSNIDKGKAIIVSLSPQSRASLAVYFGISPLQVFKKLTTFFKSLGVKAVFDTSSSRDLTLIETCNEFVNRYKQSQLNNNEKSNSALPMLSSACPGWICYAEKQLGSYVLPFISSVKSPQQTIGATIKHHICQKMGLRPDEVYHVTVMPCYDKKLEAVRDDFVFEVEQEDANKNGLRIAEVDSVLTTGEVLDLIKFKAVDFETLDDSPLDKMLTNVSEEGYLYGVAGSSGGYADTVFRNAARMLYGREIEGPLAFKSLRNMDFREVTLEDA >Potri.016G008700.1.v4.1 pep chromosome:Pop_tri_v4:16:404242:409448:-1 gene:Potri.016G008700.v4.1 transcript:Potri.016G008700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G008700.v4.1 MSEKFSPTLRIGDLSDFIAPSQACVVSLKGLKTTAPNTVKRDKHEVAIANKEQTDPVKISLKDCLACSGCITSAETVMLEKQSLDDFLSNIDKGKAIIVSLSPQSRASLAVYFGISPLQVFKKLTTFFKSLGVKAVFDTSSSRDLTLIETCNEFVNRYKQSQLNNNEKSNSALPMLSSACPGWICYAEKQLGSYVLPFISSVKSPQQTIGATIKHHICQKMGLRPDEVYHVTVMPCYDKKLEAVRDDFVFEVEQEDANKNGLRIAEVDSVLTTGEVLDLIKFKAVDFETLDDSPLDKMLTNVSEEGYLYGVAGSSGGYADTVFRNAARMLYGREIEGPLAFKSLRNMDFREVTLEVDGKVVLKFALCYGFQNLQNIVRKVKIGKCDYHFVEVMACPSGCLNGGGQIKPKPQQSPKELLQSLETIYMENVLIKDPFENLLVKSLYDEWLEKPGSEKAKRHMHTEYHPMVKSVTAQLHNW >Potri.002G142800.1.v4.1 pep chromosome:Pop_tri_v4:2:10722512:10723893:1 gene:Potri.002G142800.v4.1 transcript:Potri.002G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142800.v4.1 MDVYGGVSTSAPDYFLIDDLLDFSNDDLLTSSTDHHHLPPPETSSIHHHHHFFPSPTTYINNTSSLSTDFTDHLSVPSDDVAELEWLSQFMEDSFTDFPSTINIPTDTSSRIKSCSKRSRTTTTATSSSADIETAVTGESRVKKENNGAPHSSAETEGGARRCTHCASEKTPQWRTGPLGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLTQHSNSHRKVLELRRQKEMMLRQQQQHGYQGYEVC >Potri.001G040756.1.v4.1 pep chromosome:Pop_tri_v4:1:2981153:2982241:-1 gene:Potri.001G040756.v4.1 transcript:Potri.001G040756.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040756.v4.1 MAVLGAATGLLLLLVGIWRLYKLVKKRKNIKLKKNFKRNGGLLLQQQLSSSDGSIQKTKMFTSKELEKATDRFNDNRILGQGGQGTVYKGMLADGMIVAVKKSKIVDEEKLEEFINEVVILSQLNHRNVVKLLGCCLETEVPLLVHEFIPNGNLFEYIHDQKEEFQFSWEMRLRIATEVARALSYLHSAASIPVYHRDIKSTNIMLDEKFRAKVSDFGTSRSIAIDQTHLTTHVQGTFGYLDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERPEDRRSLATHFILLMEENKIFDILDERLMGQDREEEVIAVANLARSCLNLNGRKRPTMREVAIELEQIRLSKGALHAQQSSKEL >Potri.019G069733.3.v4.1 pep chromosome:Pop_tri_v4:19:11034631:11037726:1 gene:Potri.019G069733.v4.1 transcript:Potri.019G069733.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069733.v4.1 MSDMASSSATAQQWKYDVFLSFRGKDTRDNFTSHLYDALCHKQIKTFIDNDLERGEEIEPTLLRTIEDSRISVVIFSKNYASSPWCVDELVKILECKRTCGQIVLPGFFFYHVDPSDVDEQRGSFGNAFAKLERNFKWKMDKVSSWRADLTNAAIISGWDSQVTRPESKLVSEIAEAVFNSISSQYESCCFITNVREKSEECGGLIRLREEFLSRVLEQENLRIDTPRSTHGIHFNQGKDPAQKSLNCSR >Potri.019G069733.2.v4.1 pep chromosome:Pop_tri_v4:19:11034631:11037726:1 gene:Potri.019G069733.v4.1 transcript:Potri.019G069733.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069733.v4.1 MSDMASSSATAQQWKYDVFLSFRGKDTRDNFTSHLYDALCHKQIKTFIDNDLERGEEIEPTLLRTIEDSRISVVIFSKNYASSPWCVDELVKILECKRTCGQIVLPGFFFYHVDPSDVDEQRGSFGNAFAKLERNFKWKMDKVSSWRADLTNAAIISGWDSQVTRPESKLVSEIAEAVFNSISSQYESCCFITNVREKSEECGGLIRLREEFLSRVLEQENLRIDTPRSTHGIHFNQGKDPAQKSLNCSR >Potri.019G069733.6.v4.1 pep chromosome:Pop_tri_v4:19:11034631:11037726:1 gene:Potri.019G069733.v4.1 transcript:Potri.019G069733.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069733.v4.1 MSDMASSSATAQQWKYDVFLSFRGKDTRDNFTSHLYDALCHKQIKTFIDNDLERGEEIEPTLLRTIEDSRISVVIFSKNYASSPWCVDELVKILECKRTCGQIVLPGFFFYHVDPSDVDEQRGSFGNAFAKLERNFKWKMDKVSSWRADLTNAAIISGWDSQVTRPESKLVSEIVQFILKKIEPHIIR >Potri.019G069733.1.v4.1 pep chromosome:Pop_tri_v4:19:11034631:11037726:1 gene:Potri.019G069733.v4.1 transcript:Potri.019G069733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069733.v4.1 MSDMASSSATAQQWKYDVFLSFRGKDTRDNFTSHLYDALCHKQIKTFIDNDLERGEEIEPTLLRTIEDSRISVVIFSKNYASSPWCVDELVKILECKRTCGQIVLPGFFFYHVDPSDVDEQRGSFGNAFAKLERNFKWKMDKVSSWRADLTNAAIISGWDSQVTRPESKLVSEIAEAVFNSISSQYESCCFITNVREKSEECGGLIRLREEFLSRVLEQENLRIDTPRSTHGIHFNQGKDPAQKSLNCSR >Potri.019G069733.5.v4.1 pep chromosome:Pop_tri_v4:19:11034631:11037726:1 gene:Potri.019G069733.v4.1 transcript:Potri.019G069733.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069733.v4.1 MSDMASSSATAQQWKYDVFLSFRGKDTRDNFTSHLYDALCHKQIKTFIDNDLERGEEIEPTLLRTIEDSRISVVIFSKNYASSPWCVDELVKILECKRTCGQIVLPGFFFYHVDPSDVDEQRGSFGNAFAKLERNFKWKMDKVSSWRADLTNAAIISGWDSQVTRPESKLVSEIVQFILKKIEPHIIR >Potri.019G069733.4.v4.1 pep chromosome:Pop_tri_v4:19:11034629:11037726:1 gene:Potri.019G069733.v4.1 transcript:Potri.019G069733.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069733.v4.1 MSDMASSSATAQQWKYDVFLSFRGKDTRDNFTSHLYDALCHKQIKTFIDNDLERGEEIEPTLLRTIEDSRISVVIFSKNYASSPWCVDELVKILECKRTCGQIVLPGFFFYHVDPSDVDEQRGSFGNAFAKLERNFKWKMDKVSSWRADLTNAAIISGWDSQVTRPESKLVSEIVQFILKKIEPHIIR >Potri.006G011701.19.v4.1 pep chromosome:Pop_tri_v4:6:781633:788312:-1 gene:Potri.006G011701.v4.1 transcript:Potri.006G011701.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011701.v4.1 MSLPQSPTADSLHQQRSSTVDHRVSSKRKLDDVDDNDAVFSDLISVRMRKDDTESSTGNNQEQQQQPPSISSTNQLSTRVSDASTSSSSTNFPSSAMGTTTPSPLRRSQSRLQFFIRMISDATHVVINANSSDSVKSLHEQIRAMTGIPVIEQRLIYLGKQLQYENKLSDYSIENDSILHLVGRMRSTRHPRTCQLINDMVSYICRICKSILPCGVHPYTSKHIKELMNEFFSLTPKDDNEDASGHLNVFLSNSAPAALVALYVSSIKGNKECAEGAIRHFLNSCRISLPKNLHLQCVPIVMEFCNLLRKVGSDDPLYVVCRSCLGSLLENGGGTCGWRYHGGEEGKGVVMQEIFPFVSELGSKLSKDLTGSVAESMGPSEAVVKDFSAFLIPLHSVISEQRGFGSPISMPLKKRAFNCPLYAKEIEQLHVIFIDLLDIMEKCLEKMQDSSNLKTNGEGELNHTGWSQYLFILKELNNIAKLYKGAEEKFWTVLRLRKASLCVLIVRYAKRTDDHQWLLQHKDVTDFDSRRHLAMMMFPELKEDYEDLHEMLIDRSQLLAESFEYIVHAESDTLHVGLFMEFKNEEATGPGVLREWFFLVTQAIFDPQNALFVACPSDRRRFYPNPDIRDADPCLYSSCKQILQMDPEFIDSDALGLTFVREVEELGSIKVVELCPGGKGIVVNSKNREKYVNLLIQHHFVTSISDPVSRFARGFSDILNPGEQKLFFRSLELEDLDWMLYGSESAICVEDWKAHTEYSSYKETDPQISWFWEIVGRMSADQRKVLLFFWTSVKYLPVEGFRGLASQLYIYKSTEPHDHLPSSHTCFYRLCFPPYPSMAIMQDRLRVITQEHVGCSFGTW >Potri.006G011701.18.v4.1 pep chromosome:Pop_tri_v4:6:781623:788322:-1 gene:Potri.006G011701.v4.1 transcript:Potri.006G011701.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011701.v4.1 MSLPQSPTADSLHQQRSSTVDHRVSSKRKLDDVDDNDAVFSDLISVRMRKDDTESSTGNNQEQQQQPPSISSTNQLSTRVSDASTSSSSTNFPSSAMGTTTPSPLRRSQSRLQFFIRMISDATHVVINANSSDSVKSLHEQIRAMTGIPVIEQRLIYLGKQLQYENKLSDYSIENDSILHLVGRMRSTRHPRTCQLINDMVSYICRICKSILPCGVHPYTSKHIKELMNEFFSLTPKDDNEDASGHLNVFLSNSAPAALVALYVSSIKGNKECAEGAIRHFLNSCRISLPKNLHLQCVPIVMEFCNLLRKVGSDDPLYVVCRSCLGSLLENGGGTCGWRYHGGEEGKGVVMQEIFPFVSELGSKLSKDLTGSVAESMGPSEAVVKDFSAFLIPLHSVISEQRGFGSPISMPLKKRAFNCPLYAKEIEQLHVIFIDLLDIMEKCLEKMQDSSNLKTNGEGELNHTGWSQYLFILKELNNIAKLYKGAEEKFWTVLRLRKASLCVLIVRYAKRTDDHQWLLQHKDVTDFDSRRHLAMMMFPELKEDYEDLHEMLIDRSQLLAESFEYIVHAESDTLHVGLFMEFKNEEATGPGVLREWFFLVTQAIFDPQNALFVACPSDRRRFYPNPASKVDPMHLDYFTFSGRVIALALMHKVQVGIVFDRVFFLQLAGMHISLEDIRDADPCLYSSCKQILQMDPEFIDSDALGLTFVREVEELGSIKVVELCPGGKGIVVNSKNREKYVNLLIQHHFVTSISDPVSRFARGFSDILNPGEQKLFFRSLELEDLDWMLYGSESAICVEDWKAHTEYSSYKETDPQISWFWEIVGRMSADQRKVLLFFWTSVKYLPVEGFRGLASQLYIYKSTEPHDHLPSSHTCFYRLCFPPYPSMAIMQDRLRVITQEHVGCSFGTW >Potri.001G010400.2.v4.1 pep chromosome:Pop_tri_v4:1:678962:682247:-1 gene:Potri.001G010400.v4.1 transcript:Potri.001G010400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010400.v4.1 MIIARNLPASKTKLKPLLSLSFLVQSPLTPSKTHDSYCNFYPQNPTKTNFLYFFSSKPTNPNPPKHQTFPSLHLTRDGNYDDATPPATVCPGCGVHMQYTNPKHPGFYKEPSVKDPDYKSDAHLVPISLESEFPGSLKRGFFVEPDSQNPDLDLAENESVLGKRPPVVCARCHSLRHYGKVKDPTVENLLPEFDFYHTVGRRLVSSSGARTVVLLVVDAVDFDGSFPKKVAKLVSDSIEENFTAWKEGKSGNVARIVLVVTKIDLLPSSLSPLGFEQWVRRRAREGGASVIKKVHLVSSVRNWGLKNLAEDVIELAGARGHVWAVGAQNAGKSTLLNAMAKCVGGNERKVSYLTEAPVPGTTLGIVRVEGVLPGQAKLFDTPGLLNPQQITTRLNREEQRLVHISKELKPRTYRIKEGHSVHIGGLMRLDIVELSVDSLYVSVWASPYLPLHMGKTENVSTMVEDHFGRQLQPPIGDKRVKDLGKWVRQEFHVSGNRWDSSSVDVAAAGLGWFGIGLKGEAILGVWTYEGVGVVLRDALIPYRAQSFEVTGFTVSKIVSKADQALNKSLSQSEKKRKQSNQEVAASAELLVSAIHEDANSC >Potri.014G083500.3.v4.1 pep chromosome:Pop_tri_v4:14:5355019:5358150:-1 gene:Potri.014G083500.v4.1 transcript:Potri.014G083500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083500.v4.1 MSCVALFHSLSVEESSTTLMDREGRMICLKDIVPAAENNINTQFIVLDKGKKILEGQNKTCLALVADETASVHFQFWGDECDAFEPGDIIHLANGIFSYNRNNSIVLRAGRRGAIKKVGEFTMVFVETPNMSEITWVPDPNRPNKYMQDSVISSHSRVFPPLP >Potri.013G121101.1.v4.1 pep chromosome:Pop_tri_v4:13:12976835:12979695:-1 gene:Potri.013G121101.v4.1 transcript:Potri.013G121101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121101.v4.1 MPSGLPWVLKPVKGDDNLFGSLLTSRYKKRQPSDKLDEIQEFIELVQPANMKGIVSSSSCYVDPLYYFSRLYGVNQPPKRGKKKKKARYQIISGLFFNVSYTTFISGLFQAGRVLEAKELFKDIRAQSHFPDLMTYSTLLDGLRKQGYLDQALGLFHDMQKSYLKSHDLVIYDIIIIAMCRSRKLKDALELFSELIQRVAA >Potri.004G161000.6.v4.1 pep chromosome:Pop_tri_v4:4:18068515:18070166:1 gene:Potri.004G161000.v4.1 transcript:Potri.004G161000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161000.v4.1 MVMKIRCDVCDNVEATVFCCADEAALCDGCDHRVHHANKLASKHSRFSLVHPSFKESPLCDICQERRALLFCQEDRAILCRECDLPIHKANEHTQKHNRFLLTGVKLSASSSLHTASSTSTNNFDSNINTTSNRNHQPYLKNSNEILSSPSVETASATTAYTFEENHVSDNGSISTSSISEYLETVPGWRIDDFLDPSFASNNGCN >Potri.004G161000.5.v4.1 pep chromosome:Pop_tri_v4:4:18068517:18070110:1 gene:Potri.004G161000.v4.1 transcript:Potri.004G161000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161000.v4.1 MVMKIRCDVCDNVEATVFCCADEAALCDGCDHRVHHANKLASKHSRFSLVHPSFKESPLCDICQERRALLFCQEDRAILCRECDLPIHKANEHTQKHNRFLLTGVKLSASSSLHTASSTSTNNFDSNINTTSNRNHQPYLKNSNEILSSPSVETASATTAYTFEENHVSDNGSISTSSISEYLETVPGWRIDDFLDPSFASNNGCN >Potri.004G161000.4.v4.1 pep chromosome:Pop_tri_v4:4:18068515:18070166:1 gene:Potri.004G161000.v4.1 transcript:Potri.004G161000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161000.v4.1 MVMKIRCDVCDNVEATVFCCADEAALCDGCDHRVHHANKLASKHSRFSLVHPSFKESPLCDICQERRALLFCQEDRAILCRECDLPIHKANEHTQKHNRFLLTGVKLSASSSLHTASSTSTNNFDSNINTTSNRNHQPYLKNSNEILSSPSVETASATTAYTFEENHTCDLF >Potri.006G101800.5.v4.1 pep chromosome:Pop_tri_v4:6:7834057:7838992:1 gene:Potri.006G101800.v4.1 transcript:Potri.006G101800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101800.v4.1 MSIPKNKDEVEQNPRSSYFNLPPLDVSVAFPQATPVSTFPPSVSDYYQFSDLLTVEDQALRMRVRECMEKEIAPMMTEYWEKAKFPFHAIPKLGALGIAGGTIKGYGCPGLSITASAIAMAEVARVDASCSTFILVHSLAMLTIAFCGSEEQKLKYLPSLAQFSTVACWALTEPDYGSDASGLKTTAIKVEGGWILEGKKRWIGNSTFADLLVVFARNTTTNQINGFIVKKDAPGLTVTKIENKIGLRIVQNGDIVMKRVFVPDEDRLPGVNSFQDTNKVLAVSRIMVAWQPIGISMGVYDMCHRYLKERKQFGAPLAAFQINQQKLVHMLGNVQAMVLVGWRLCKLYEKEKMTPGQASLAKAWISLKARETASIGRELLGGNGILADFLVAKALCDLEPIYTYEGTYDINSLITGREITGLASFKPAMLSKRSRM >Potri.006G101800.3.v4.1 pep chromosome:Pop_tri_v4:6:7833999:7839590:1 gene:Potri.006G101800.v4.1 transcript:Potri.006G101800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101800.v4.1 MSIPKNKADEVEQNPRSSYFNLPPLDVSVAFPQATPVSTFPPSVSDYYQFSDLLTVEDQALRMRVRECMEKEIAPMMTEYWEKAKFPFHAIPKLGALGIAGGTIKGYGCPGLSITASAIAMAEVARVDASCSTFILVHSLAMLTIAFCGSEEQKLKYLPSLAQFSTVACWALTEPDYGSDASGLKTTAIKVEGGWILEGKKRWIGNSTFADLLVVFARNTTTNQINGFIVKKDAPGLTVTKIENKIGLRIVQNGDIVMKRVFVPDEDRLPGVNSFQDTNKVLAVSRIMVAWQPIGISMGVYDMCHRYLKERKQFGAPLAAFQINQQKLVHMLGNVQAMVLVGWRLCKLYEKEKMTPGQASLAKAWISLKARETASIGRELLGGNGILADFLVAKALCDLEPIYTYEGTYDINSLITGREITGLASFKPAMLSKRSRM >Potri.006G101800.6.v4.1 pep chromosome:Pop_tri_v4:6:7834026:7838992:1 gene:Potri.006G101800.v4.1 transcript:Potri.006G101800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101800.v4.1 MSIPKNKDEVEQNPRSSYFNLPPLDVSVAFPQATPVSTFPPSVSDYYQFSDLLTVEDQALRMRVRECMEKEIAPMMTEYWEKAKFPFHAIPKLGALGIAGGTIKGYGCPGLSITASAIAMAEVARVDASCSTFILVHSLAMLTIAFCGSEEQKLKYLPSLAQFSTVACWALTEPDYGSDASGLKTTAIKVEGGWILEGKKRWIGNSTFADLLVVFARNTTTNQINGFIVKKDAPGLTVTKIENKIGLRIVQNGDIVMKRVFVPDEDRLPGVNSFQDTNKVQQ >Potri.003G192900.2.v4.1 pep chromosome:Pop_tri_v4:3:19589575:19591711:-1 gene:Potri.003G192900.v4.1 transcript:Potri.003G192900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192900.v4.1 MGVKVAVLPIVGMIMAECAQAGRMIAGKAAMSNGMSSFVFVLYSNTIASIILLPSSLIFHRPQERPPLTLSIVFGFFLLGLFGCLGQSFGYAGINLSSPALGTAMLNTVPGLTFVLAVIFRMEKVDCRSYSTLAKSMGTIISMGGAFVVTYYKGPLLLKALPSASNSSHQVLSQHSNWVLGGLLLAVDCTMASSWLIVQALILKKYPAKLIVVFFYFFFSTVLSSIVCLVMVKDPSAWSLKSNTRLVSVLFSGILGHAFQVGVTTWCLQKTGPVFVSIFAPLGIVITATASVVFFGDALNLGIVIGAVIIASGFYAVIWGKAQEATKKVEDEESFGPASSSQKVPLLQNRSNVDA >Potri.005G260300.1.v4.1 pep chromosome:Pop_tri_v4:5:24964185:24967280:-1 gene:Potri.005G260300.v4.1 transcript:Potri.005G260300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G260300.v4.1 MESENKKSAVSDVGAWAMNVISSVGIIMANKQLMSSSGYAFSFATTLTGFHFAVTALVGLVSNATGLSVSKHVPMWELFWFSIVANVSITGMNLSLMLNSVGFYQISKLSMIPVVCIMEWIIHSKQYSKEVKLSVLVVVIGVGVCTVTDVKVNAKGFICACLAVLSTSLQQITIGSLQKKYSIGSFELLSRTAPIQALSLLILGPFIDYYLNGKFITHYKISSGAILFIILSCSLAVFCNVSQYLCIGRFSATSFQVLGHMKTICVLTLGWLLFDSELTFKNIMGMVIAVVGMVVYSWAVEAEKSSNAKTVPHTKNSLTEEEIRLLKEGVESMPLKDLELSVSKG >Potri.011G162940.1.v4.1 pep chromosome:Pop_tri_v4:11:18771583:18773463:1 gene:Potri.011G162940.v4.1 transcript:Potri.011G162940.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162940.v4.1 MTSMSSSMLPFLICLLFSLSCVTSARPHEDFLQCLSLHSEDSTAISKVIYTPKNSSYSSILHFSIRNPRFNSSELKPFVIVTPTDASHIQAAIHCSQKHKLEIRIRSGGHDLEGLSYMSTVPFVIVDLINLRSITVDATNKTAWVQAGATIGELYYRIAEKSRTLAFPAGSCTTVGVGGHFSGGGYGTISRKYGLASDNVIDAQLIDAKGRILDRESMGEDLFWAIRGGGGQSFGVVIAWRIKLVEVPPKVTVFYAARTLEQNATKLIHRWQYVANQLPEDIIIDVLVNRVNSSEEGKSTIQAAFFSLFLGEVDQLLLLMQESFPELGLAKDECTEMSWIESVIYIGGFPSNASLNVLLDRTPQPPSLQFKAKSDYVQEPIPEIAFEGIWKRFFEKDIEVPVFYMVAYGGKMDEISESSTPFPHRAGNRYIVAPVVYWSEETKEASQRHLAWIRRLYRYMTPYVSKNPRAAYVNYRDLDLGVNNLGYTSYKQASIWGRKYFKNNFDRLVRVKTEVDPTNFFRNEQSIPPLSSW >Potri.001G022800.2.v4.1 pep chromosome:Pop_tri_v4:1:1770265:1772316:1 gene:Potri.001G022800.v4.1 transcript:Potri.001G022800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022800.v4.1 MPLGIKNLKRLRKLYDSVVSRKIGHGIEELMDLNFLCGTLCISRLENVVDHRRARQANLPEKQDLEALVLKWSSDITDSRNERIENDVLDMLQPHQGLKELTINSYSSTEFPSWVGDPSFSNMVLLSLENCENCTSVPALGLLKSLKDLSITGMSGLQSIGREIYGECCSNPFPSLETLYFKDMPGWNYWHANGEEQVEVFPRLHKLSLLNCSRVLGRLLYYLPSLKELVICESKCLSVSISSFPMLRNLDVDGCKELICRSTTQFSSLNSVVLSCISNFSFLTLGFMQGLAEFKNLKITGCQEITDFWQNGEEGQELKLGLPCSLEMLKLIDCESLQQPLILHGLRSLEELHIEKCAGLVSFVQTTLPCTLKRLCISYCDNLQYLLEEEKDANISSTSLLEYLDIRNYCPELGSIAETFCYMSPEQIEIKYCGKLACLPEGLNMLSHLQENTICNCSSILSFPEGGFPATSLRKLYMGWCEKLKALPERLRSLTSLVELDIHTRPSFVSFPQEGFPTNLTSLLITNLNFCKPLLDWGLHRLASLTRLFITAGCAHILSFPCEETGMMLSTSLSSMSINLTFLQELWISDCPMLKSLPENGLPSSLLELYIHDCPLLKQ >Potri.017G055600.3.v4.1 pep chromosome:Pop_tri_v4:17:4323289:4327922:1 gene:Potri.017G055600.v4.1 transcript:Potri.017G055600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055600.v4.1 MGKRANKKKARPPQKEKRVAGHSPNIVPEQANPNVEIVDGVTAVKERKLCSHFDKGFDANKLSDKIRSLDSLRCEDCREGVGDRKGAKGRGKQAKKKGSGSVDSKSQSKAIWVCLECGHLACGGVGLPTTAQSHAVRHSKQSRHPLVFQWENPQLQWCFPCNTLIPVEKTEGNGEKKDSVFEVVKTIKAQSFEQSSVDAVDVWIGRGSILSELNAEGTEATSSEGRSGHVVRGLVNLGNTCFFNSVMQNLLSMNKLRDYLNEEASLGPLSIALKKLFTDLQAEASLRNVINPKSFFGSVCSKAPQFRGYQQQDSHELLCCLLDGLSTEELIVRKRRNASKEDGIPPKHGPTFVDSAFGGRISSTVCCVECGHSSIMHEPFLDLSLPVPMKKPPIKKVQPVSRAKKTKLPPKRGGKVQPKVNKNMDSVPAQNISNPSVHSESSCQTQSSSDNTLAPDSTVPSTAVSESGIASQNSMAGIESDSKQAVETTMEQTASSFEDFWMDYVGPETTSDEHDLTSENNDLAAGWQCGDKFDIPNDGLMETCQASSIDGEPNQKPESSSVNPWEEEVPFQVQSSEVLLLPYREEGYTDGEIMKGEAEASSSFVGCEQDEAEFDGIGDLFNEPEVSAAPVAGPSLPNEVAGPVFIAGIGSESDPDEVDDTDSPVSIESCLSHFVKPELLSNDNAWECENCSKILQQQRLDAKKKQAKISSKTLLNGGETQIQSDSVSLYKDISYASEVRNFQNGDGIPNNLLNSTPEVFDSGNDSSNKKFIQAEIVQTEMEPFISQSEERKYEMNVSHSSGYYESCNGETLSGPPVDSCSVDETRGTGYTMAKDEQTGSNFSGTCESDVNEDEDKTSKKLNVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLCKLSGHVTFRDVLDLGPYMDPRCVDTERYVYRLLGVVEHLGTMRGGHYIAYVRGDERNKGKADKEQGGSVWYYASDAHVREVSLEEVLRCDAYLLFYEKVSN >Potri.017G055600.2.v4.1 pep chromosome:Pop_tri_v4:17:4323008:4327878:1 gene:Potri.017G055600.v4.1 transcript:Potri.017G055600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055600.v4.1 MGKRANKKKARPPQKEKRVAGHSPNIVPEQANPNVEIVDGVTAVKERKLCSHFDKGFDANKLSDKIRSLDSLRCEDCREGVGDRKGAKGRGKQAKKKGSGSVDSKSQSKAIWVCLECGHLACGGVGLPTTAQSHAVRHSKQSRHPLVFQWENPQLQWCFPCNTLIPVEKTEGNGEKKDSVFEVVKTIKAQSFEQSSVDAVDVWIGRGSILSELNAEGTEATSSEGRSGHVVRGLVNLGNTCFFNSVMQNLLSMNKLRDYLNEEASLGPLSIALKKLFTDLQAEASLRNVINPKSFFGSVCSKAPQFRGYQQQDSHELLCCLLDGLSTEELIVRKRRNASKEDGIPPKHGPTFVDSAFGGRISSTVCCVECGHSSIMHEPFLDLSLPVPMKKPPIKKVQPVSRAKKTKLPPKRGGKVQPKVNKNMDSVPAQNISNPSVHSESSCQTQSSSDNTLAPDSTVPSTAVSESGIASQNSMAGIESDSKQAVETTMEQTASSFEDFWMDYVGPETTSDEHDLTSENNDLAAGWQCGDKFDIPNDGLMETCQASSIDGEPNQKPESSSVNPWEEEVPFQVQSSEVLLLPYREEGYTDGEIMKGEAEASSSFVGCEQDEAEFDGIGDLFNEPEVSAAPVAGPSLPNEVAGPVFIAGIGSESDPDEVDDTDSPVSIESCLSHFVKPELLSNDNAWECENCSKILQQQRLDAKKKQAKISSKTLLNGGETQIQSDSVSLYKDISYASEVRNFQNGDGIPNNLLNSTPEVFDSGNDSSNKKFIQAEIVQTEMEPFISQSEERKYEMNVSHSSGYYESCNGETLSGPPVDSCSVDETRGTGYTMAKDEQTGSNFSGTCESDVNEDEDKTSKKLNVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLCKLSGHVTFRDVLDLGPYMDPRCVDTERYVYRLLGVVEHLGTMRGGHYIAYVRGDERNKGKADKEQGGSVWYYASDAHVREVSLEEVLRCDAYLLFYEKVSN >Potri.017G055600.1.v4.1 pep chromosome:Pop_tri_v4:17:4323046:4327876:1 gene:Potri.017G055600.v4.1 transcript:Potri.017G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055600.v4.1 MGKRANKKKARPPQKEKRVAGHSPNIVPEQANPNVEIVDGVTAVKERKLCSHFDKGFDANKLSDKIRSLDSLRCEDCREGVGDRKGAKGRGKQAKKKGSGSVDSKSQSKAIWVCLECGHLACGGVGLPTTAQSHAVRHSKQSRHPLVFQWENPQLQWCFPCNTLIPVEKTEGNGEKKDSVFEVVKTIKAQSFEQSSVDAVDVWIGRGSILSELNAEGTEATSSEGRSGHVVRGLVNLGNTCFFNSVMQNLLSMNKLRDYLNEEASLGPLSIALKKLFTDLQAEASLRNVINPKSFFGSVCSKAPQFRGYQQQDSHELLCCLLDGLSTEELIVRKRRNASKEDGIPPKHGPTFVDSAFGGRISSTVCCVECGHSSIMHEPFLDLSLPVPMKKPPIKKVQPVSRAKKTKLPPKRGGKVQPKVNKNMDSVPAQNISNPSVHSESSCQTQSSSDNTLAPDSTVPSTAVSESGIASQNSMAGIESDSKQAVETTMEQTASSFEDFWMDYVGPETTSDEHDLTSENNDLAAGWQCGDKFDIPNDGLMETCQASSIDGEPNQKPESSSVNPWEEEVPFQVQSSEVLLLPYREEGYTDGEIMKGEAEASSSFVGCEQDEAEFDGIGDLFNEPEVSAAPVAGPSLPNEVAGPVFIAGIGSESDPDEVDDTDSPVSIESCLSHFVKPELLSNDNAWECENCSKILQQQRLDAKKKQAKISSKTLLNGGETQIQSDSVSLYKDISYASEVRNFQNGDGIPNNLLNSTPEVFDSGNDSSNKKFIQAEIVQTEMEPFISQSEERKYEMNVSHSSGYYESCNGETLSGPPVDSCSVDETRGTGYTMAKDEQTGSNFSGTCESDVNEDEDKTSKKLNVKRDATKRVLIDKAPPILTIHLKRFSQDARGRLCKLSGHVTFRDVLDLGPYMDPRCVDTERYVYRLLGVVEHLGTMRGGHYIAYVRGDERNKGKADKEQGGSVWYYASDAHVREVSLEEVLRCDAYLLFYEKVSN >Potri.009G131000.2.v4.1 pep chromosome:Pop_tri_v4:9:10675523:10676497:1 gene:Potri.009G131000.v4.1 transcript:Potri.009G131000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G131000.v4.1 MAKATLVLLVISLVGVMQWAKSTNAESWASRLEAEKENVTNLQFYFHDILSGKNPTAIKVAQPSADNKSPTLFGSIMMADDPLTEGPDPNSKPVGRAQGIYGSAGQNELALIMAMNFAFTDGIYNGSCISLLGKNPAMNPVREMPIVGGTGLFRFARGYAVAQTYWLDLTTGDAIVGYNVTVVH >Potri.009G048400.1.v4.1 pep chromosome:Pop_tri_v4:9:5411746:5412930:-1 gene:Potri.009G048400.v4.1 transcript:Potri.009G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048400.v4.1 MKQKIVIKVTGKGPKSRSKALQIAVGLSGVESAGLGGQDKSQIEVVGDGVDAVQLTNLLRKKVGYAELASVEAVGEKKEEPAVQPVAWSVYGGGMPQTYIHPIHPHQDPSCSIM >Potri.002G162100.1.v4.1 pep chromosome:Pop_tri_v4:2:12409964:12414450:1 gene:Potri.002G162100.v4.1 transcript:Potri.002G162100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162100.v4.1 MAGLRLSMLKNSLCISINSLSWQSLQFCNVHGSTLRSAYAIINRGYYKDESRLAGNLTNFKVVRSDTCVNSQSYRIEPVRGFSSTSSNVLFRSAVPFISMRPELSYRSFSWSSGAKVDKPVGNEVPASSGGNDVDVSNSGAIVSDWINKVKEAWQSTVDAVTYSGQKAIETSDELTPYAQQLLDSHPYLKNVVVPVGSTLTGTVVAWVVMPRLLRRFHKYSLQTPAALLSGGISGEQIPYEKSILGALEDPLRYLITFMAFSQIAVMVAPTTIASQYIAQVWRGAAILSFVWFLHRWKTNVFNRVIASATVDQEKLLTLDRVSSVGLFVIGLMALAEACGVAVQSFLTVGGIGGVATAFAAKDVLGNVLSGLSMQFSKPFSLGDTIKAGSIEGQVVEMGLTTTSLLNVEQFPVLVPNSLFSSQVIVNKSRAQWRAVVSKIPVIVDDMEKIPQISNDIKSMLNSNPNVFLGKEAPYCYLSRIESSFAELTLGCNLKQMSKDELYTTEEDILLQSVRIIKERGAKLSSTWQDSTGQ >Potri.012G016000.2.v4.1 pep chromosome:Pop_tri_v4:12:1815181:1821797:-1 gene:Potri.012G016000.v4.1 transcript:Potri.012G016000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016000.v4.1 MQVDPKESVVVKDEMQNGVYPQAQTIIYNSKKLQDDLHVLGMKIKHHEDNIKLLKSHKYKLDDSILDLQVTLGKYHSSTQPNTENDAHSSNPSEEETTKQILRHEKSAAGILCQLKMHHDTQTSHLSLTKDVLGVVATLGKVDDDNLSRLFSEYLGVETMLAIVCKTYEGVKALETYDKDGQINKGSGFHAVGASIGKELDGRFLVICLENLRPYCGEFMVDDPQRRLDLLKPKLPNGECPPGFIGFAVNMINVEFTNLFLLTGSGHGLRETLFYNLFSHVQVYKTREDMAFSLPCISDGAISLDGGMIKGAGVFSLGNRNDVDVRFPKSSVTSTLPDNYIDTNKHLKEMKWKRETMLEDIKREQALLDTARQSFERKKEEFVKFLAQSSAYATRVQTGFTPR >Potri.012G016000.3.v4.1 pep chromosome:Pop_tri_v4:12:1815181:1821947:-1 gene:Potri.012G016000.v4.1 transcript:Potri.012G016000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016000.v4.1 MFQPSNHPQDASSSVLMQVDPKESVVVKDEMQNGVYPQAQTIIYNSKKLQDDLHVLGMKIKHHEDNIKLLKSHKYKLDDSILDLQVTLGKYHSSTQPNTENDAHSSNPSEEETTKQILRHEKSAAGILCQLKMHHDTQTSHLSLTKDVLGVVATLGKVDDDNLSRLFSEYLGVETMLAIVCKTYEGVKALETYDKDGQINKGSGFHAVGASIGKELDGRFLVICLENLRPYCGEFMVDDPQRRLDLLKPKLPNGECPPGFIGFAVNMINVEFTNLFLLTGSGHGLRETLFYNLFSHVQVYKTREDMAFSLPCISDGAISLDGGMIKGAGVFSLGNRNDVDVRFPKSSVTSTLPDNYIDTNKHLKEMKWKRETMLEDIKREQALLDTARQSFERKKEEFVKFLAQSSAYATRTGFTPR >Potri.012G016000.6.v4.1 pep chromosome:Pop_tri_v4:12:1815181:1821797:-1 gene:Potri.012G016000.v4.1 transcript:Potri.012G016000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016000.v4.1 MHHDTQTSHLSLTKDVLGVVATLGKVDDDNLSRLFSEYLGVETMLAIVCKTYEGVKALETYDKDGQINKGSGFHAVGASIGKELDGRFLVICLENLRPYCGEFMVDDPQRRLDLLKPKLPNGECPPGFIGFAVNMINVEFTNLFLLTGSGHGLRETLFYNLFSHVQVYKTREDMAFSLPCISDGAISLDGGMIKGAGVFSLGNRNDVDVRFPKSSVTSTLPDNYIDTNKHLKEMKWKRETMLEDIKREQALLDTARQSFERKKEEFVKFLAQSSAYATRVQTGFTPR >Potri.012G016000.5.v4.1 pep chromosome:Pop_tri_v4:12:1815181:1821948:-1 gene:Potri.012G016000.v4.1 transcript:Potri.012G016000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016000.v4.1 MHHDTQTSHLSLTKDVLGVVATLGKVDDDNLSRLFSEYLGVETMLAIVCKTYEGVKALETYDKDGQINKGSGFHAVGASIGKELDGRFLVICLENLRPYCGEFMVDDPQRRLDLLKPKLPNGECPPGFIGFAVNMINVEFTNLFLLTGSGHGLRETLFYNLFSHVQVYKTREDMAFSLPCISDGAISLDGGMIKGAGVFSLGNRNDVDVRFPKSSVTSTLPDNYIDTNKHLKEMKWKRETMLEDIKREQALLDTARQSFERKKEEFVKFLAQSSAYATRVQTGFTPR >Potri.012G016000.1.v4.1 pep chromosome:Pop_tri_v4:12:1815181:1821947:-1 gene:Potri.012G016000.v4.1 transcript:Potri.012G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016000.v4.1 MFQPSNHPQDASSSVLMQVDPKESVVVKDEMQNGVYPQAQTIIYNSKKLQDDLHVLGMKIKHHEDNIKLLKSHKYKLDDSILDLQVTLGKYHSSTQPNTENDAHSSNPSEEETTKQILRHEKSAAGILCQLKMHHDTQTSHLSLTKDVLGVVATLGKVDDDNLSRLFSEYLGVETMLAIVCKTYEGVKALETYDKDGQINKGSGFHAVGASIGKELDGRFLVICLENLRPYCGEFMVDDPQRRLDLLKPKLPNGECPPGFIGFAVNMINVEFTNLFLLTGSGHGLRETLFYNLFSHVQVYKTREDMAFSLPCISDGAISLDGGMIKGAGVFSLGNRNDVDVRFPKSSVTSTLPDNYIDTNKHLKEMKWKRETMLEDIKREQALLDTARQSFERKKEEFVKFLAQSSAYATRVQTGFTPR >Potri.012G016000.4.v4.1 pep chromosome:Pop_tri_v4:12:1815181:1821948:-1 gene:Potri.012G016000.v4.1 transcript:Potri.012G016000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016000.v4.1 MKIKHHEDNIKLLKSHKYKLDDSILDLQVTLGKYHSSTQPNTENDAHSSNPSEEETTKQILRHEKSAAGILCQLKMHHDTQTSHLSLTKDVLGVVATLGKVDDDNLSRLFSEYLGVETMLAIVCKTYEGVKALETYDKDGQINKGSGFHAVGASIGKELDGRFLVICLENLRPYCGEFMVDDPQRRLDLLKPKLPNGECPPGFIGFAVNMINVEFTNLFLLTGSGHGLRETLFYNLFSHVQVYKTREDMAFSLPCISDGAISLDGGMIKGAGVFSLGNRNDVDVRFPKSSVTSTLPDNYIDTNKHLKEMKWKRETMLEDIKREQALLDTARQSFERKKEEFVKFLAQSSAYATRVQTGFTPR >Potri.005G205900.2.v4.1 pep chromosome:Pop_tri_v4:5:21091571:21095479:1 gene:Potri.005G205900.v4.1 transcript:Potri.005G205900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205900.v4.1 MKTSRKNGVRQYNKSEHPRLRWTPELHEHFVEAVERLGGKYKATPRRILQMMGVKELKISHIKSHLQMYRSMKGPKNINVLIPMKKHLQAERTQLDDMGISSIFSSQRLLQGEYLMQMESEKSDSKHDIFSDESNGLQLTKEDGGDPEQQDSGTSFVGRTSMEENIDRLPAETCELSLSFTPSMSCCTAEERELWPLINDQQREYNSTTSFISRPDQFDCGSNHINLDLTIGT >Potri.009G144500.3.v4.1 pep chromosome:Pop_tri_v4:9:11476779:11481426:1 gene:Potri.009G144500.v4.1 transcript:Potri.009G144500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144500.v4.1 MRVDNFLAFLLFITVAQVHGATATEKTKHYIVYMGEHSHPNEESVINDNHEILASVTGSFDEAKEVALHHYTKSFRGFSAILTQEQAQQLAESDSVVSVFESRTNQLHTTHSWDFLGVNSPYANNQRPVTSSVSDVIVGVIDTGFWPESESFSDTGLGTVPVKFKGECVAGENFTSANCNRKVVGARFYFKGFEAENGPLEDFGGTFFRSARDSDGHGSHTASTIAGAVVSNVSLFGMARGTARGGAPYARLAIYKACWFNLCNDADILSAMDDAINDGVDILSLSFGANPPEPIYFESATSVGAFHAFRKGIVVSSSAGNSFSPKTAANVAPWILTVAASSLDREFDSNIYLGNSQILKGFSLNPLKMETSYGLIAGSDAAVPGVTAKNASFCKDNTLDPAKTKGKIVVCITEVLIDDPRKKAVAVQLGGGVGIILIDPIVKEIGFQSVIPSTLIGQEEAQQLQAYMQAQKNPTARIAPTVTVLNTKPAPEVTVFSSQGPNIITPDIIKPDITAPGLNILAAWSPVSTDDAAGRSVNYNIISGTSMSCPHVSAVAAILKSYRPSWSPAAIKSAIMTTAIVMDNTRKLIGRDPDDTQATPFDYGSGHINPLAALNPGLVYDFDSNDVINFLCSTGARPAQLKNLTGQPTYCPKQTKPSYDFNYPSIGVSNMNGSISVYRTVTYYGTGQTVYVAKVDYPPGVQVTVTPATLKFTKTGEKLSFKIDFKPLKTSDGNFVFGALTWSSGIHKVRSPIALNVLSL >Potri.018G088200.1.v4.1 pep chromosome:Pop_tri_v4:18:10689690:10693393:1 gene:Potri.018G088200.v4.1 transcript:Potri.018G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088200.v4.1 MLKINPIMFSLHFLALFLFFLDHANPQLHDQEQAILLRLKQYWQNPSSLDRWTPSSSSHCTWPGVACANNSITQLLLDNKDITGTIPPFISDLKNLKVLNFSNNSIIGKFPVAVYNFSKLEILDLSQNYFVGTIPDDIDSLSRLSYLNLCANNFTGNIPAAIGRIPELRTLYLHDNLFNGTFPAEIGNLSKLEELYMSHNGFLPSKLPSSFTQLKKLRELWIFEANLIGEIPQMIGEMVALEHLDLSKNELTGSIPNGLFMLKNLKFLFLYKNLLSGEIPQVVEALNSIVIDLSWNNLNGTIPVDFGKLDKLSGLSLSFNQLSGEIPESIGRLPALKDFALFSNNLSGPIPPDLGRYSALDGFQVASNRLTGNLPEYLCHGGSLTGVVAFDNKLGGELPKSLENCSSLLTVRISNNAFFGNIPVGLWTALNLQQLMINDNLFTGELPNEVSTSLSRLEISNNKFSGSISIEGNSWRNLVVFNASNNQFTGTIPLELTALPNLTVLLLDKNQLTGALPSDIISWKSLTTLNLSQNQLSGQIPEEIAILPHLLELDLSDNQFSGQIPPQLGLLRLTYLNLSSNHLVGKIPAEYENAAYSSSFLNNPGICASRPSLYLKVCISRPQKSSKTSTQLLALILSVLITAFLLALLFAFIIIRVHWKRNHRSDSEWKFINFHRLNFTESNILSGLTESNLIGSGGSGKVYRVAANGSSVVAVKRIWNNRPLEKKLEKEFLAEVEILSTIRHLNIVKLLCCIVNDNSKLLVYEYLVNHSLDQWLHTARRSNSASTSVNHVVLDWPKRLQIAVGAAQGLCYLHHDCSPPIVHRDVKSSNILLDSEFNAKIADFGLAKMLIKQEELATVSAVAGSFGYIAPEYAQTVRVNEKTDVYSFGVVLLELTTGKAANYGDEHTGLAKWALRHMQEGKTIVDALDDEIKEPCYVDEMSNVFLLGVFCTSEVPSARPHMKEVLQILLGRNHPLVYGVKNIGSEYDSTPLLKNSKREGQSESDSTLASDN >Potri.001G300400.2.v4.1 pep chromosome:Pop_tri_v4:1:31120758:31123842:1 gene:Potri.001G300400.v4.1 transcript:Potri.001G300400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G300400.v4.1 MGESVTPRRISVHQALGGGPVADVLLWKRWYASVGVLVSATTLWILFEKAGYNLLSFVANVLFLLVVILFFWAKSASLLNRPFPPLPNLEIPEEIVAKAAGIIHVYANYALSIAREIVIEKNFKVFLQVVSGFCVASYIGSLCNFLTLVYIGVLLSLSVPLVYDKYQHHIDEKICLAHKIIQEQYKKIHDAILKKIPLSSNKEKKTQ >Potri.007G004300.1.v4.1 pep chromosome:Pop_tri_v4:7:304113:306501:-1 gene:Potri.007G004300.v4.1 transcript:Potri.007G004300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004300.v4.1 MLTSLYISFLLPLLLLPSANSVYFQISQFNDTNMYYQGDAVPFGGHIEFNLVDYINRVGWATYPERVRLWDSSSGQLSDFTSHFSFTINTQGATNYGHGIAFFLAPVGFQIPPNSAGGFLGLFNTTTMKSPQSQIVSVEFDSYPNDGWDPKVGHVGINKNSISSAVYTPWNASFHSEDTAEAWITYNSTNQNLSVFWKYQTTSNPGENSSLFYIIDLSKFLPEWVTVGFSAATGSNVEQQRLLSWEFNSSLNVKDMKDKKSRIIIGVTVSVLVLIAGATTAVVILWRRKQMMTRKGATEIINVTSINEDLERGAGPRRFSYDDLVSATNNFSDQRKLGEGGFGAVYRGYLNDMDMEIAVKKISRSSRQGKKEYITEVKTISQLRHRNLVQLLGWCHDKGEFMVVYEFMSNGSLDSHLFGKKKMSPLSWAVRYKISLGLASALLYLHEEWERCVVHRDVKSSNIMLDSSFNVKLGDFGLARFMDHDEPGPTTTGLAGTFGYMAPEYISTRRASKESDVYSFGVVALEIATGRKANDLVDQNPEMSLVEWIWDLYGCGDLNLAVDKRLDIKDFDDEKQAECLMIVGLWCAHPDHNLRPSIRQAIHVLNLEAAIPDLPLKMPVPVFHVPLPLFTSSKPSSITNTSLEAGGR >Potri.006G221000.2.v4.1 pep chromosome:Pop_tri_v4:6:22623493:22628651:-1 gene:Potri.006G221000.v4.1 transcript:Potri.006G221000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221000.v4.1 MIAYLERFIHENDSESRPNDDEKDQLPNICHSLDLQGTIDCVATGLAGKDFGGMYTCEPLALIRPASADDVARVVRAAYRSPNLTVAARGNGHSINGQAMSDRGLVMDMRSTEGNHFEVVRMNGETFVDVSGGALWEDVLKRCVLEYKLAPRSWTDYLGLTVGGTLSNAGVSGQAFRFGPQTCNVAELDVVTGEGQLMTCNKNENSELFFGALGGLGQFGIVTRARVVVQSAPDMVRWIRVVYSEFEDFTRDAEWLVTRPEGESFDYVEGFVFVNSVDDPANGWPTVPLDPDQGFDPSRVPRTAGSVLYCLEAALHYQKTDHPSTVDKAVNSLLGRLGFIEDMKFQVDVSYVEFLLRVKHAEESARENGTWDAPHPWLNMFVSKRDVADFDRVVFKRMLKEGVGGPILVYPLLRSK >Potri.006G221000.1.v4.1 pep chromosome:Pop_tri_v4:6:22623865:22628783:-1 gene:Potri.006G221000.v4.1 transcript:Potri.006G221000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221000.v4.1 MIAYLERFIHENDSESRPNDDEKDQLPNICHSLDLQGTIDCVATGLAGKDFGGMYTCEPLALIRPASADDVARVVRAAYRSPNLTVAARGNGHSINGQAMSDRGLVMDMRSTEGNHFEVVRMNGETFVDVSGGALWEDVLKRCVLEYKLAPRSWTDYLGLTVGGTLSNAGVSGQAFRFGPQTCNVAELDVVTGEGQLMTCNKNENSELFFGALGGLGQFGIVTRARVVVQSAPDMVRWIRVVYSEFEDFTRDAEWLVTRPEGESFDYVEGFVFVNSVDDPANGWPTVPLDPDQGFDPSRVPRTAGSVLYCLEAALHYQKTDHPSTVDKAVNSLLGRLGFIEDMKFQVDVSYVEFLLRVKHAEESARENGTWDAPHPWLNMFVSKRDVADFDRVVFKRMLKEGVGGPILVYPLLRSKWDDRTSVVLPAEGEIFYLVALLRFTMPCPKAPSAEKLVSQNREIVQFCVKEGLDFKLYLPHYQSEEEWKRHFGSQWSRFVERKASFDPLAILAPGQKIFKRISQL >Potri.017G049200.1.v4.1 pep chromosome:Pop_tri_v4:17:3512920:3515908:1 gene:Potri.017G049200.v4.1 transcript:Potri.017G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G049200.v4.1 MLGREMMKKELTEEEKGAMEEVAIDTVREERHVAPAHYSMTIDSFSLLSDMVANSYLEQYESREFEASGYKWKLVLYPNGDKSRNGDGYISLYLVMADTTGFPAGWEINAIFKLFVYDQLQDKYLTFGDGRLRRFCAIKNKWGFPQMLPLSIFNNASNGYLIGDSCVFGAEVFVIKSEGKGERFSMIKDPSDGTFTWEVQYFSGLTGEFYYSKVYLAGGHEWKLKLFPKGHIKQRGKYLSLFLELDDCTKSHTGWKLFVEFTLRIKDQVQSHHHEKTIHKWFSASENNWGLVSFISLSDIKNPSNNFIVNDTLIVEGVLNRLSVLKDFA >Potri.013G101200.2.v4.1 pep chromosome:Pop_tri_v4:13:10960872:10962557:1 gene:Potri.013G101200.v4.1 transcript:Potri.013G101200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101200.v4.1 MEGRTRDGHPGISQPRYRGVRQRKWGKWVSEIREPGKKTRIWLGSYEMPEMAAAAYDVAALHLRGRGAQLNFPEMVDSLPRPASSSTEDVQMAAQEAALLFRRPIKCSEGVSGSSSGGGGSLGPVRVGLSPSQIQAINEAPLDSPKMWMELAGALLLDEPVAMGDDIDVELSDEWGEMEHEHDSIWDY >Potri.018G037800.1.v4.1 pep chromosome:Pop_tri_v4:18:3012307:3016653:1 gene:Potri.018G037800.v4.1 transcript:Potri.018G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037800.v4.1 MVFYFKARPEAGDYTIFMGLDKYENEELIKYGFPEDIWFHVDKMSSAHVYVRLHKGQTIDDISEGVLEDCVQLVKANSIQGNKVNNIDVVYTPWANLKKTASMDVGQVGFHNSKMVRTVRVEKRLNEVVNRLNKTKVERKPDLKAEREAVNAAERAERKLLLRDKKRREEMERLEKERQTEIRSYKGLMVAEKMTSNKQVASENKSLQELEDDFM >Potri.005G249700.1.v4.1 pep chromosome:Pop_tri_v4:5:24347266:24351713:-1 gene:Potri.005G249700.v4.1 transcript:Potri.005G249700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249700.v4.1 MAASSSGFTAHLGFKLSRFSSSPFHAYSHGLESRKKKRRGRLFLDGNGVRVSRISCCCSDSVVRRASGSGNSVEKPEEKRSHRSRFQAIPALPFPSHQSRFGTKQEKFYPRCTPRNTGPQSRDTPPKRDTGIANEKDMGINLLKENVSETGTNEDGSTWFRESGEDLGANGYRCRWTKMGGRSHDDSTQWEETWWEKSDWTGYKELGVEKSGRNAEGDSWWETWQEMLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGANKYGRLNEQSWWEKWGEHYDGRGSVTKWTDKWAETELGTKWGDKWEEKFFAGIGSRHGETWHVSPIGGRWSRTWGEEHFGNGKVHKYGKSTTSESWDIVVDEETYYEAEPHYGWADVVGDSSQLLSIEPRERPPGVYPNLDFGPSPPPPVDDLPGSPLPPSQ >Potri.005G249700.2.v4.1 pep chromosome:Pop_tri_v4:5:24347379:24351708:-1 gene:Potri.005G249700.v4.1 transcript:Potri.005G249700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249700.v4.1 MAASSSGFTAHLGFKLSRFSSSPFHAYSHGLESRKKKRRGRLFLDGNGVRVSRISCCCSDSVVRRASGSGNSVEKPEEKRSHRSRFQAIPALPFPSHQSRFGTKQEKFYPRCTPRNTGPQSRDTPPKRDTGIANEKDMGINLLKENVSETGTNEDGSTWFRESGEDLGANGYRCRWTKMGGRSHDDSTQWEETWWEKSDWTGYKELGVEKSGRNAEGDSWWETWQEMLHQDEWSNLARIERSAQKQAKSGTENAGWYEKWWEKYDAKGWTEKGANKYGRLNEQSWWEKWGEHYDGRGSVTKWFSHSPSYRPFGLQSAFSLLLILDICILIICHHPCRITNLLMS >Potri.008G002600.5.v4.1 pep chromosome:Pop_tri_v4:8:68167:74812:-1 gene:Potri.008G002600.v4.1 transcript:Potri.008G002600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002600.v4.1 MSSSSKSRNFRRRGDVDDEKTDANTNNTDTNAKATPSTTRKPPPPQSTKPKPKKLLSFAEDEEDEQAVTRIPSSKSKPKPKPKPTSSSSHKLTVSQDRLPPTTSYLTTASNVQPQAGTYTKEALLELQRNTRTLAKSTKTTTPASASEPKIILKGLLKPSFSPSPNPNPNYSSNHQQQDDADDQSEDENEDKDNGADDAQNRLASMGLGKSTSDDYSCFPDEDTIKKIRAKRERLRQSRAAAPDYISLDSGSNHQGGFSDEEPEFRTRIAMIGTMTKDTATHGGVFDAAADDDEDDDDDRSIKAKALAMMGTHHHHAVVDDGNVAAAASVVHDEEDEEDRIWEEEQFRKGLGKRMDDASAPIANRALASTAGAAASSTIPMQPQQRPTPGYGSIPSIGGAFGSSQGLDVLSIPQQADIAKKALQDNLRRLKESHGRTISLLSKTDENLSASLMNVTALEKSISAAGEKFIFMQKLRDFVSVICEFLQHKATLIEELEERMQKLHEEQASLILERRTADNEDEMMEVEAAVKAAMSVFSARGNSAATIDAAKSAAAAALVALKDQANLPVKLDEFGRDINLQKRMDMEKRAKARQRRKARFDSKRLSYMEVDSSDQKIEGELSTDESDSDSEKNAAYQSTRDLLLRTAEEIFSDASEEYSQLSVVKERFETWKKEYFASYRDAYMSLSAPAIFSPYVRLELLKWDPLHEDSDFFDMKWHSLLFNYGLPEDGSDLNPDDVDANLVPGLVEKIAIPILYHEIAHCWDMLSTQETKNAISATSLVINYVPATSEALSELLAAIRTRLADAVASTVVPTWSLLVLKAVPSAAQVAAYRFGMSVRLMRNICLWKDILALPVLEKLVLDELLCGKVLPHVRSIASNVHDAVTRTERIVASLSRAWAGPSATSDHSHKLQPLVDFILSIGMTLEKRHVSGVTETETSGLARRLKKMLVELNDYDNARDMARTFHLKEAL >Potri.008G002600.4.v4.1 pep chromosome:Pop_tri_v4:8:68397:74812:-1 gene:Potri.008G002600.v4.1 transcript:Potri.008G002600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002600.v4.1 MSSSSKSRNFRRRGDVDDEKTDANTNNTDTNAKATPSTTRKPPPPQSTKPKPKKLLSFAEDEEDEQAVTRIPSSKSKPKPKPKPTSSSSHKLTVSQDRLPPTTSYLTTASNVQPQAGTYTKEALLELQRNTRTLAKSTKTTTPASASEPKIILKGLLKPSFSPSPNPNPNYSSNHQQQDDADDQSEDENEDKDNGADDAQNRLASMGLGKSTSDDYSCFPDEDTIKKIRAKRERLRQSRAAAPDYISLDSGSNHQGGFSDEEPEFRTRIAMIGTMTKDTATHGGVFDAAADDDEDDDDDRSIKAKALAMMGTHHHHAVVDDGNVAAAASVVHDEEDEEDRIWEEEQFRKGLGKRMDDASAPIANRALASTAGAAASSTIPMQPQQRPTPGYGSIPSIGGAFGSSQGLDVLSIPQQADIAKKALQDNLRRLKESHGRTISLLSKTDENLSASLMNVTALEKSISAAGEKFIFMQKLRDFVSVICEFLQHKATLIEELEERMQKLHEEQASLILERRTADNEDEMMEVEAAVKAAMSVFSARGNSAATIDAAKSAAAAALVALKDQANLPVKLDEFGRDINLQKRMDMEKRAKARQRRKARFDSKRLSYMEVDSSDQKIEGELSTDESDSDSEKNAAYQSTRDLLLRTAEEIFSDASEEYSQLSVVKERFETWKKEYFASYRDAYMSLSAPAIFSPYVRLELLKWDPLHEDSDFFDMKWHSLLFNYGLPEDGSDLNPDDVDANLVPGLVEKIAIPILYHEIAHCWDMLSTQETKNAISATSLVINYVPATSEALSELLAAIRTRLADAVASTVVPTWSLLVLKAVPSAAQVAAYRFGMSVRLMRNICLWKDILALPVLEKLVLDELLCGKVLPHVRSIASNVHDAVTRTERIVASLSRAWAGPSATSDHSSHKLQPLVDFILSIGMTLEKRHVSGVTETETSGLARRLKKMLVELNDYDNARDMARTFHLKEAL >Potri.008G002600.2.v4.1 pep chromosome:Pop_tri_v4:8:68521:74680:-1 gene:Potri.008G002600.v4.1 transcript:Potri.008G002600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002600.v4.1 MSSSSKSRNFRRRGDVDDEKTDANTNNTDTNAKATPSTTRKPPPPQSTKPKPKKLLSFAEDEEDEQAVTRIPSSKSKPKPKPKPTSSSSHKLTVSQDRLPPTTSYLTTASNVQPQAGTYTKEALLELQRNTRTLAKSTKTTTPASASEPKIILKGLLKPSFSPSPNPNPNYSSNHQQQDDADDQSEDENEDKDNGADDAQNRLASMGLGKSTSDDYSCFPDEDTIKKIRAKRERLRQSRAAAPDYISLDSGSNHQGGFSDEEPEFRTRIAMIGTMTKDTATHGGVFDAAADDDEDDDDDRSIKAKALAMMGTHHHHAVVDDGNVAAAASVVHDEEDEEDRIWEEEQFRKGLGKRMDDASAPIANRALASTAGAAASSTIPMQPQQRPTPGYGSIPSIGGAFGSSQGLDVLSIPQQADIAKKALQDNLRRLKHKATLIEELEERMQKLHEEQASLILERRTADNEDEMMEVEAAVKAAMSVFSARGNSAATIDAAKSAAAAALVALKDQANLPVKLDEFGRDINLQKRMDMEKRAKARQRRKARFDSKRLSYMEVDSSDQKIEGELSTDESDSDSEKNAAYQSTRDLLLRTAEEIFSDASEEYSQLSVVKERFETWKKEYFASYRDAYMSLSAPAIFSPYVRLELLKWDPLHEDSDFFDMKWHSLLFNYGLPEDGSDLNPDDVDANLVPGLVEKIAIPILYHEIAHCWDMLSTQETKNAISATSLVINYVPATSEALSELLAAIRTRLADAVASTVVPTWSLLVLKAVPSAAQVAAYRFGMSVRLMRNICLWKDILALPVLEKLVLDELLCGKVLPHVRSIASNVHDAVTRTERIVASLSRAWAGPSATSDHSSHKLQPLVDFILSIGMTLEKRHVSGVTETETSGLARRLKKMLVELNDYDNARDMARTFHLKEAL >Potri.008G002600.3.v4.1 pep chromosome:Pop_tri_v4:8:68521:74676:-1 gene:Potri.008G002600.v4.1 transcript:Potri.008G002600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002600.v4.1 MSSSSKSRNFRRRGDVDDEKTDANTNNTDTNAKATPSTTRKPPPPQSTKPKPKKLLSFAEDEEDEQAVTRIPSSKSKPKPKPKPTSSSSHKLTVSQDRLPPTTSYLTTASNVQPQAGTYTKEALLELQRNTRTLAKSTKTTTPASASEPKIILKGLLKPSFSPSPNPNPNYSSNHQQQDDADDQSEDENEDKDNGADDAQNRLASMGLGKSTSDDYSCFPDEDTIKKIRAKRERLRQSRAAAPDYISLDSGSNHQGGFSDEEPEFRTRIAMIGTMTKDTATHGGVFDAAADDDEDDDDDRSIKAKALAMMGTHHHHAVVDDGNVAAAASVVHDEEDEEDRIWEEEQFRKGLGKRMDDASAPIANRALASTAGAAASSTIPMQPQQRPTPGYGSIPSIGGAFGSSQGLDVLSIPQQADIAKKALQDNLRRLKHKATLIEELEERMQKLHEEQASLILERRTADNEDEMMEVEAAVKAAMSVFSARGNSAATIDAAKSAAAAALVALKDQANLPVKLDEFGRDINLQKRMDMEKRAKARQRRKARFDSKRLSYMEVDSSDQKIEGELSTDESDSDSEKNAAYQSTRDLLLRTAEEIFSDASEEYSQLSVVKERFETWKKEYFASYRDAYMSLSAPAIFSPYVRLELLKWDPLHEDSDFFDMKWHSLLFNYGLPEDGSDLNPDDVDANLVPGLVEKIAIPILYHEIAHCWDMLSTQETKNAISATSLVINYVPATSEALSELLAAIRTRLADAVASTVVPTWSLLVLKAVPSAAQVAAYRFGMSVRLMRNICLWKDILALPVLEKLVLDELLCGKVLPHVRSIASNVHDAVTRTERIVASLSRAWAGPSATSDHSHKLQPLVDFILSIGMTLEKRHVSGVTETETSGLARRLKKMLVELNDYDNARDMARTFHLKEAL >Potri.010G087200.2.v4.1 pep chromosome:Pop_tri_v4:10:11279140:11280687:1 gene:Potri.010G087200.v4.1 transcript:Potri.010G087200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087200.v4.1 MLLFLLLHNLALLLSLSLSPNKISTVQRSSIFIPIAIIADTLQSPCLISSRGKMPTIFWKNILKCLPTITPSSHPLPSELQEHSDPLLSSATAAAPTTSVMIKNFNSLYDLSSASTSKSLSTPSTNSSSSSYSDPDTDSTPDFATIIASQRFFSSSPGRSNSIIESMQELHTPVSGGVAIKKYSLDPYIDFKNSMQEMIEAREIRDVRANWDYLHELISCYLKLNPKNTHKFIISAFADIIVCLLSSPSPEPDTHWKPEGLQQHKVSRLLV >Potri.006G093400.2.v4.1 pep chromosome:Pop_tri_v4:6:7097066:7097527:-1 gene:Potri.006G093400.v4.1 transcript:Potri.006G093400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093400.v4.1 MSIYGEVHNPFNNFVVWDPYHRDNHFGAPFAAPRPAFSYEATVPLVSTKIHWKETPEAHMFRVDLPGLTKDEVKVELEQGNVICVIGEKIIEKEEKADHSYHLERSGGKFVRSFRLPENSKAKNMKACMENGVLTITVPKKDMNKTSRLIHVG >Potri.012G015400.6.v4.1 pep chromosome:Pop_tri_v4:12:1785298:1787700:1 gene:Potri.012G015400.v4.1 transcript:Potri.012G015400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G015400.v4.1 MSFSKPTTFLAHLKTLTTKTPLHHRHASPSHTISVRFLSFSSPEEAAAERRRRKRRLRIEPPLSSLNRNQQQTQQIPKPIQNPNAPKLPEPISSLTGNRLNLHNKILTLIRENDLEEAALYTRHSVYSNCKPTICTVNAVLNAQLRQSKYSDLLSLHRFITQAGIAANVITHNLLFQTYLDCRKPDTALEYYKQMVNEAPLSPSPTTYRIMIKGLVDNGKLEKALELKDEMIAIKGFSPDPVIYHYLMVGCVRSGDSDSVFRLYEELKEKMGGEVVGNGVVVYGGLMKGYFMRGMKKEAMECYGEFLRENLRGKWSAVANNSVLDALCKNGEFDEALKLFERMLREHNPPKSLVVDLGSFNVMVDGYCLEGRYKDAIEVLLKMGDYRCNPDTLSFNNLIDQLCSNGMLVEAETVYGEMDGKGVKPDEYTYVLLMDTCIKENRIDDGAAYFRKMVESGSRPNLAVYNRLVDELVKVGKIDEAKSFYDMMVKKLKMDDESYKFMMKTMSDVGKLDEVLKMAEGIIDDEEAEFSEELQEFVKGELRKDGREEELTKLLEEKERQKAEAKAKEVEAAEASKRSAKAVINSLISPIGKKEAETESGANENAIEASLANKGHAEKGESVEEATIAEFSPTHVNTEEGVNKGDDSDETEATIAESSPTDANTGRSN >Potri.002G113400.1.v4.1 pep chromosome:Pop_tri_v4:2:8577020:8578475:-1 gene:Potri.002G113400.v4.1 transcript:Potri.002G113400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113400.v4.1 MMGFGTTDDLCNTGLGLGLGSFHAEQENCSQSDHLFQPIKKDKLTLKYDLLLPSLTLGPSEEVYRSITKKTDADLQPQASSLSAVSSFSNSSIKKEREFGIGEEVDVERISSRLSDEDEEGSPRKKLRLTKEQSVILEDNFKDHSTLNPKQKQVLAEQLNLRPRQVEVWFQNRRARSKLKQTEVDCELLKKCCETLTLENKRLQKELQELKSLKLASPVYMQLPAATLSMCPSCERICSGSDQGSSTSTFTVGPKPNFYNPDTHSSAAC >Potri.013G031400.3.v4.1 pep chromosome:Pop_tri_v4:13:2038111:2043292:1 gene:Potri.013G031400.v4.1 transcript:Potri.013G031400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031400.v4.1 MTQLLEILPKELKFIFEVKKQSSCSIRLTNNTFHNVAFKVKTTSPKKYCVRPNVGIVDPKSTFEFIVTMQAQKVAPHDMVCKDKFLIQSAIVPVGTTEKDITPSMFAKDNGNHVEEVKMRVALISPPESPVLSPINGVLKQGPFFEPSVLKDPVQNRVEILTPPQTIAKSSEFKMTNGHELNTANDVELKPKKDGIHDQELKPKTDGIHDQELKPKKDGIHQESKLSNETVWLPNIDDVVEKELKLEQDEELKQDKDASNNELSKLADDMESPSLKNEEVITVNAVQELKLTRDVEEMKSKLHVLELKLNEAEATISKLTEEKRQGNQEQKILQEELDVLRSRTSVKRVYVGFPLLFVLMTAFISIMLGYLLHR >Potri.013G031400.2.v4.1 pep chromosome:Pop_tri_v4:13:2038116:2043286:1 gene:Potri.013G031400.v4.1 transcript:Potri.013G031400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031400.v4.1 MTQLLEILPKELKFIFEVKKQSSCSIRLTNNTFHNVAFKVKTTSPKKYCVRPNVGIVDPKSTFEFIVTMQAQKVAPHDMVCKDKFLIQSAIVPVGTTEKDITPSMFAKDNGNHVEEVKMRVALISPPESPVLSPINGVLKQGPFFEPSVLKDPVQNRVEILTPPQTIAKSSEFKMTNGHELNTANDVELKPKKDGIHDQELKPKTDGIHDQELKPKKDGIHQESKLSNETVWLPNIDDVVEKELKLEQDEELKQDKDASNNELSKLADDMESPSLKNEEVITVNAVQELKLTRDVEEMKSKLHVLELKLNEAEATISKLTEEKRQGNQEQKILQEELDVLRSRTSVKRVYVGFPLLFVLMTAFISIMLGYLLHR >Potri.013G031400.1.v4.1 pep chromosome:Pop_tri_v4:13:2038131:2043285:1 gene:Potri.013G031400.v4.1 transcript:Potri.013G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031400.v4.1 MTQLLEILPKELKFIFEVKKQSSCSIRLTNNTFHNVAFKVKTTSPKKYCVRPNVGIVDPKSTFEFIVTMQAQKVAPHDMVCKDKFLIQSAIVPVGTTEKDITPSMFAKDNGNHVEEVKMRVALISPPESPVLSPINGVLKQGPFFEPSVLKDPVQNRVEILTPPQTIAKSSEFKMTNGHELNTANDVELKPKKDGIHDQELKPKTDGIHDQELKPKKDGIHQESKLSNETVWLPNIDDVVEKELKLEQDEELKQDKDASNNELSKLADDMESPSLKNEEVITVNAVQELKLTRDVEEMKSKLHVLELKLNEAEATISKLTEEKRQGNQEQKILQEELDVLRSRTSVKRVYVGFPLLFVLMTAFISIMLGYLLHR >Potri.013G031400.4.v4.1 pep chromosome:Pop_tri_v4:13:2038092:2043290:1 gene:Potri.013G031400.v4.1 transcript:Potri.013G031400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031400.v4.1 MTQLLEILPKELKFIFEVKKQSSCSIRLTNNTFHNVAFKVKTTSPKKYCVRPNVGIVDPKSTFEFIVTMQAQKVAPHDMVCKDKFLIQSAIVPVGTTEKDITPSMFAKDNGNHVEEVKMRVALISPPESPVLSPINGVLKQGPFFEPSVLKDPVQNRVEILTPPQTIAKSSEFKMTNGHELNTANDVELKPKKDGIHDQELKPKTDGIHDQELKPKKDGIHQESKLSNETVWLPNIDDVVEKELKLEQDEELKQDKDASNNELSKLADDMESPSLKNEEVITVNAVQELKLTRDVEEMKSKLHVLELKLNEAEATISKLTEEKRQGNQEQKILQEELDVLRSRTSVKRVYVGFPLLFVLMTAFISIMLGYLLHR >Potri.011G084300.2.v4.1 pep chromosome:Pop_tri_v4:11:9424133:9424312:1 gene:Potri.011G084300.v4.1 transcript:Potri.011G084300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G084300.v4.1 MAAVTSALIAIAGVVLGWIAIEIACKPCLEKGREAIDRSLNPDYDPDDDEIRVPINPPN >Potri.001G106100.1.v4.1 pep chromosome:Pop_tri_v4:1:8521649:8524529:-1 gene:Potri.001G106100.v4.1 transcript:Potri.001G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106100.v4.1 MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGRYQGDSALQIERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSTVCDIPPTGLTMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEFEDEEEAYGDEA >Potri.008G029500.1.v4.1 pep chromosome:Pop_tri_v4:8:1574516:1578005:1 gene:Potri.008G029500.v4.1 transcript:Potri.008G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029500.v4.1 MLKGGGFFPIMHAVKGVWVGQTFALAKCNDSGGKKSRIRRSKEERKGMVESFIKTYQNLNNGNFPSLNLTHKEVGGSFYTVREIVREIIQENRVLGPGNLSPEEQHNDQFVEQYPLGTISTEPQASLSTSPNGSPVPDQHDEGSSEEHLISELQVEPEQQGFDNGSHVIVKNEEADKPEVVEVQETEPLEIEKRMEEVAASDSKVTQMADVMVETFPLPPVTKPAGNLNGNCSNLREINGTCEEKNVEKVLLEPEHDPGNGISLPDRITSLNDSSLADDKEVEKSAVQLLEQSSDLVREQEVENFADLAMASSHASVTKGSILQDAEADMDVKLKSPHDDKTIAETKVASAQNAMQTKSLDSNDVTVSICPSIAKEIEIKDKVAVLHGRASQKGSSPTLNRINLESWGAASKNQTEPETNPLWAIFKSFLAAFVKFWSE >Potri.004G117800.1.v4.1 pep chromosome:Pop_tri_v4:4:11142940:11145942:1 gene:Potri.004G117800.v4.1 transcript:Potri.004G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117800.v4.1 MVEPATDTIAATKLVPLLKDELDIVIPTIRNLDFLEMWRPFFEPYHLIIVQDGDPSKIIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAINALEQHIKNILSPSTPFFFNTLYDPYREGADFVRGYPFSLREGARTAVSHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTIPKGTLFPMCGMNLCFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCTKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEEIIPFFQAATLPKDCTSVQKCYIELSKQVKEKLGKVDPYFDKLADAMVTWIEAWDELNPAGAPAKVSNGKA >Potri.001G280332.1.v4.1 pep chromosome:Pop_tri_v4:1:29401921:29402939:-1 gene:Potri.001G280332.v4.1 transcript:Potri.001G280332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280332.v4.1 MAEYLQSYRRSRGFFRNRDGQLSSHPDHSFSCISIEDFSDVSSSIPFSPVIHVDEPPSFPILVDDSPVTMFRPVEQKCSLISESDITLDDPVLMVNEIEDISDWLASESRLPALHNFPGDDAGSLLREVSIGTLMNQISLILPGIGMEFDNQLSILHLLKAYGEAEEMETKELAGKIMSQLKEKACPFGSTVERLAYYMIQALEGEVDFLWK >Potri.003G070000.4.v4.1 pep chromosome:Pop_tri_v4:3:9705276:9708624:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MNRDPGRGRGRGRDLGGGRGRDRGRGRGRDRGRGRGQWRSPGGGEHWVPNGRSSDPSSHSNYSVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.003G070000.11.v4.1 pep chromosome:Pop_tri_v4:3:9705276:9707049:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MGDLRILLPTVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.003G070000.5.v4.1 pep chromosome:Pop_tri_v4:3:9705249:9708624:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MNRDPGRGRGRGRDLGRGRGRDRGRGRGQWRSPGGGEHWVPNGRSSDPSSHSNYSVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.003G070000.3.v4.1 pep chromosome:Pop_tri_v4:3:9705276:9707048:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MNRDPGRGRGRGRDLGGGRGRDRGRGRGRDRGRGRGQWRSPGGGEHWVPNGRSSDPSSHSNYSVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.003G070000.9.v4.1 pep chromosome:Pop_tri_v4:3:9705276:9708624:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MGDLRILLPTVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.003G070000.10.v4.1 pep chromosome:Pop_tri_v4:3:9705276:9707050:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MGDLRILLPTVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.003G070000.7.v4.1 pep chromosome:Pop_tri_v4:3:9705276:9707050:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MNRDPGRGRGRGRDLGRGRGRDRGRGRGQWRSPGGGEHWVPNGRSSDPSSHSNYSVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.003G070000.6.v4.1 pep chromosome:Pop_tri_v4:3:9705250:9708624:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MNRDPGRGRGRGRDLGRGRGRDRGRGRGQWRSPGGGEHWVPNGRSSDPSSHSNYSVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.003G070000.8.v4.1 pep chromosome:Pop_tri_v4:3:9705249:9708624:1 gene:Potri.003G070000.v4.1 transcript:Potri.003G070000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070000.v4.1 MGDLRILLPTVGADESSSDEKPFSNRGRNSQRNSRGWFPSYPNSTGNIFWSSSDEKPFSNRGRNSQPNSRGWFPSYPNSAGNIFCQREPLENKSLEDSMSSTGRILHDRSAPSCSSIGSNQSDCSVASNSVNKGDSALMPAISGSRDMKSDIVSAPLEKGAKDGAMHLDFSLKLNSTVPLQNQNDSHLTMHKRL >Potri.013G148000.1.v4.1 pep chromosome:Pop_tri_v4:13:14473349:14474316:-1 gene:Potri.013G148000.v4.1 transcript:Potri.013G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148000.v4.1 MSSTAKAWAVATSIAAVEALKDQGFCGWNYTIRSLHQHAKNQVRSTSKTRKLSSPPSTLVSSKVRENHKAKQSEESMRKVMYLSSWGPY >Potri.013G010600.1.v4.1 pep chromosome:Pop_tri_v4:13:674722:675460:-1 gene:Potri.013G010600.v4.1 transcript:Potri.013G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010600.v4.1 MDEINVHDRSTVTSKYRPDYDFSRKHHHRYHDQHVAKRSSKSAKSWWNSPETKRKTRVARYKLYAVEGKVKSSIKKGLCWVKRTCYRIIHL >Potri.015G110300.1.v4.1 pep chromosome:Pop_tri_v4:15:12758339:12761472:1 gene:Potri.015G110300.v4.1 transcript:Potri.015G110300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110300.v4.1 MDQSKKRVVQLQPDWFSPRRLHDSPTQYEFPGDRFIPNRSLMNLDQAHCLLTNKIKEVHNPNFGKGYREMLRESLTLDSEGRPFRMLVFRGSPKSRRKWNHVIDEMRRDIDAEVLSNGIKQHESRRLPTKEVKVLDAPKIKDDYYVNLMDWGKNNVLAVALGSALYLWNAENQEVKKLLEVQGDNDYPTSVAWSEKATSLAIGCMKSKLQIWDPETSKCIRSLGGHQSRIAAIAWNGHTLTTGSRDRSIINHDVRVRNSPTSRMQAHTEEVCGLKWSSEGNVLASGGNESFIYIWEASKMSSSNFLHRFNAHNQAAVKALAWCPYQFNVLASGGGTGDGCIKIWNITGGTCTHSIETKAQICGLEWNRHHKEILSGHGYGNSGVQNHLCLWKYPSMTKVGEINRHGRRVINLSQSPDGLTVVSAGGDETLRFWEIFGPPRVENSASDFENLLSFKTSLIR >Potri.006G050000.3.v4.1 pep chromosome:Pop_tri_v4:6:3455845:3456419:-1 gene:Potri.006G050000.v4.1 transcript:Potri.006G050000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050000.v4.1 MEETETQQLSGTRFHFTQTSETCEATENQPLVMPMESEPPMLVAPNRY >Potri.006G050000.2.v4.1 pep chromosome:Pop_tri_v4:6:3455789:3466593:-1 gene:Potri.006G050000.v4.1 transcript:Potri.006G050000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050000.v4.1 MKHKKPEPEPETQLKNDTEICQQLLSRYSASTAPQHRHLLATAAALRSILTAESLPLTPSAYFAAAINNLSDSKTLDSTAIAALLSFVSIVVPLIEEKGIKDAKVKEAVAVLVEVAVEREGVGVGSLGCVVKCLGVMILGFCDLEEWDSVKAGFESLIKFSVDKRPKVRRSAQDCLEKVFKSFRSSSVVKEASKLVFSLFKNYMPVALTLSESRIFDESKEETLSKLEHLEVIHMLNLLKVTVPYLSVKISSKVLPELVKLLRSDFSVLTRQIFQNIEAFFVSSSDEVIGPQQENIIDSLSGYLSLGQKNPVDTVLSAATLLRTILNKLQAGGSSSWTSNGHKIFGSTAGLLTDEATASQASDIMKELINHYIDPKEVEINESQSLDDSSQESEEANMIKSTCAVLENILNSCDGIPNEHLLGVISVLFKKLGDISHIFMKNIVLKLADLMNDAGRDKPDTNHLQNCMGSAVVAIGPEKMLMLVPISIDPDSFTCSNIWLVPILKDHVVGASLGYYMEHIVPLAKSFKQAGQKVRKSVIGQDLQAHAHGLWGLLPAFCRYPVDTHKKFGALAELMITSLKKYSFMHQNIAVALQVLVNQNRSVMLSKSDGGASNDNAVKDSVLECQNVATYSKKTATKNIKALTSCSSKLLHALADLFVDSQSGKPSYIKDAIACLASISNSSVTQKVFMSLLKRFRFVTGEGEFQQPKSDGDELIEEEARSLNVQEKDVHRCVMMELASSLVVGAKTDFIDLIYNFVVFIFQATDVTGHCEAYHTLSRILQEHAWFCSSRFVELIDLLLGLKSPDDVATLKNRFACFHILIVHALEMTSEEKNTKAFLMLNEIILILKDAREEARKVAYDTLLFISSSLRNSSCATSREAYQRLISMITGYLSGSSPYITSGAVSALSVLVYNDTEICLKVPDLVPSLLSLLQNKALEVIKAVLGFTKVLVSCLQAKDLQNFLSDIIIGVLPWSSVSRNHFRSKVTVILEIMIRKCGSSAVELDIPEKHKSFFKTVLQNRHHKSTSKEAGTNDTEKTPADISPKRVEKPKNKESGSVPERTGSAHPGKRKREKKHNEKPPTSSKPGISTGDGSGREGAKRARHLEHEKSIKVRSEDGWKKRNFNKEQTGDGKRKMEHRNTNKKGEASFRGPSSASKLHKPQKAWKKQKPNN >Potri.001G082267.1.v4.1 pep chromosome:Pop_tri_v4:1:6522368:6522544:1 gene:Potri.001G082267.v4.1 transcript:Potri.001G082267.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082267.v4.1 MAYMMGNTQCLGDETSSLVKKSVINIAHGFSRQNCRSPSTAQGVPRTSLIEVGMSYYV >Potri.001G172300.1.v4.1 pep chromosome:Pop_tri_v4:1:14790523:14795553:-1 gene:Potri.001G172300.v4.1 transcript:Potri.001G172300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172300.v4.1 MEALQVISSATQIISSMVGAVSALDQASRNLDEAPKRIRSLEEFVYDLENLTRGIRQKHVYKLHNPQLDHQIQSLNALIERLRPNITKARRIVSRSRIKNLAKVVWSSMAGDPLSKLINTIRDDLNWWLESQRLTQHVQKVIESTAQDVPVRLKIKIEQGWPLSSKCHFVRNLLEQEDSHRVILIVGLSGIGKSCLARQVASNPPTKFVGGAVELGFGQWCSRNACNGNKDEYQRRLARKISNFLVQIGFWKKIKDENSGDLEYVCCILQEALYGKSIVILLDDVWEQDIVERFAKLYDNDCKYLVTTRNEAVCEITEAEKVELSKDDTREISKAILQYHSLLGMEELPGIAETLLERCGHHPLTVAVMGKALRKEVRAEKWEKAITNLSTFATCAPGPVSYVNEKEAESTLTIFGSFEFSLEAMPRDSKRLFIALASLSWAEPVPEACLEAVWSVIGDESLFPLIVCKLVEGSLLIKTDMDPLYLVHDMVSLYLASKADDSTEILLNEYSPDETAFICPWLLIFGKENVKKIAEERMEFLFNVLEGKQVVTTLEALIHALMASKSMSELEVSREKFSRILGPRIADLISTDSLSLIAVTTEAITNIFSKSDYCNYFPSLETTGAINRLATTLEYCEENPITQIHILIVLAKLAEFGSPGTVDKVLDSIPFNQLADLLSSSAEKWHESMFTVLNSLTKAGKSNAVERMFASGIEKKLIKLLENGSEVLQHHAIVTLKGFYEVARTPENVSLQPSNLNLLPWQVRHRLETFVLSDRTVPHSPKPLSFEDLVYKVLDGNKRQVLQAMQDLIPIIEKSADSRVREMILHSPLVNRLSELLQSRHSEHNSIRSESAFLLMKLAFSGGEPCIKKFLDHDIVPELVKMMQCNVVELQDSAYTALHQMLFSNGGILVLNNIFETGFVDRMVQSVDSKSIKTQEVNVHCILDLVELGNKSCLEQMLSLQVVEKLVKLEKNTGGSGETIVGFLKGMDKCKHLSMMERRVIKQQVVRKIRACLKGHKFETQILASVDACVSEGSKGSSSRYRK >Potri.014G110800.5.v4.1 pep chromosome:Pop_tri_v4:14:7414559:7416903:-1 gene:Potri.014G110800.v4.1 transcript:Potri.014G110800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110800.v4.1 MDTAERANGPKYECLLFDMDDTLYPMSLGLNLACRKNIEEFMLHKLHIEESEVPRMCLELYREHGTTMAGLKDLGYEFDDDEFHAFVHGRLPYETLKPDPVLRNLLLSLPQRKIIFTNADKAHAAEVLKRMGLEDCFEGVICYETLNPPLENANNMDALDNDAVIAGGEPEPSDFDGTAATGSKKILKNALDNGFSSKSRILCKPSLEAIEAAIQIANVDPKKTIFFDDSARNIASGKAAGLRTVIVGSSVLVPGADHGLRNIHNIKEAIPEIWEDEGEQSEQVIQSTAVETVVHA >Potri.012G131900.3.v4.1 pep chromosome:Pop_tri_v4:12:14657255:14659567:1 gene:Potri.012G131900.v4.1 transcript:Potri.012G131900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131900.v4.1 MPSRPAPPFLPPQPSPLPYHQRSSSLIPPLAAASTAAFSFLLLFVICFRKLTRKRTVPTDFSKPPHRFSYTTLRRATNKFSPSLRLGQGGFGSVYHGTLPNELNVAVKVMDSGSLQGEREFQNELLFASKLDSCYIVTALGFSYDRKHRSLLIVYELMQNGNLQDALLHRKCVELVDWKKRFSIAVDIAKGIEYLHSLDPPVIHGDIKPSNILLDQCFNAKVADFGLAWLKIDNSNQNDQNQCNQGQCEVKVEESDKINGGVELKKVELESNNGGEDYGSVVEETDSVTTGFDEFNLVVDQLPVCMTSPETLEAVSASPETGGVGVLLEGNLDVGSIEGGKELVNGEKNNGGGIQSESRKDWWLKQEKGGTTAENGGVKDYVMEWLGTEINKGRPKSDWIGASSSSNSQSVGKIVKKKNRKRLDWWVSLDDDKGEKVLKKEKRRPAREWWKEEYCEELEKKNKKKKKREMGMTSDDNNGGEDWWPRDEELYAERKKKRSKSRGSRGSIGSIEWFSGELFRGNQNSHDSLSGEIPESGGISSTPSMRGTVCYAAPEYGGGGNLSEKSDVYSFGVLLLVLIAGRRPLQVTTLPMSEFQRANLMHWARNLARSGKLLDLVDKSVQSLDREQATLCITIALLCLQKSPAHRPSMTEVVGMLTGESHVPQLPSEFSPSPPTRFPFKSKSHQKVR >Potri.013G077000.3.v4.1 pep chromosome:Pop_tri_v4:13:6514725:6525878:-1 gene:Potri.013G077000.v4.1 transcript:Potri.013G077000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077000.v4.1 MDPQELKQGIAELYDESSGVWEDIWGDHMHHGFYNPDDQVSGSGSDHRAAQIRMMQEALRFAGISEDPEKGPKTVVDVGCGIGGSSRYIARKFGAKCQGITLSPFQAQRANALAETEGLADKVSFQVADALEQPFPDGQFDLVWSMESGEHMPDKRKFVGELARVAAPGATIVIVTWCHRVLGPSEESLQPWEMKHLKKICDAYYLPDWCSTADYVNLLESLSLQDIKTADWSQYVAPFWPAVIRSALTWKGLTSLLRSGMKTIRGALVMPLMIEGYKKGLIKFAIITCRKPE >Potri.013G077000.1.v4.1 pep chromosome:Pop_tri_v4:13:6514604:6519394:-1 gene:Potri.013G077000.v4.1 transcript:Potri.013G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077000.v4.1 MTSMLLSSTSQTQIFHNIRAFQPIPHLHPYRTTCLAPLPCRSRSIRCAGSTAVADTGSTRELKQGIAELYDESSAVWEDIWGDHMHHGFYYPDEVVSGSDSDHRAAQFRMIQEALSFAGVSEDPEKGPKTVVDVGCGIGGSSRYIARKFGAKCQGITLSPFQAQRANALAETEGLADKVSFQVADALEQPFPDGQFDLVWSMESGEHMPDKRKFVGELARVAAPGATIVIVTWCHRVLGPSEESLQPWEMKHLKKICDAYYLPDWCSTADYVNLLESLSLQDIKTADWSQYVAPFWPAVIRSALTWKGLTSLLRSGMKTIRGALVMPLMIEGYKKGLIKFAIITCRKPE >Potri.008G163401.1.v4.1 pep chromosome:Pop_tri_v4:8:11245358:11247445:-1 gene:Potri.008G163401.v4.1 transcript:Potri.008G163401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163401.v4.1 MWVAYNENEGNMDRRNSSMLDYLAGQVFSYMGMKGYQLTMDIQKSTMCDMSTLLSELKCRLTRQAVLTIEDVLRHEKLPNRKTYFRYSRVFDPGYFSNLQTKKCPALVYLLAKTYKEISTSTSEASDPLSIYGIRNIGDGLKESLDEVSARLVDMISKSTVNHKSHHLQPPHHHQHQPFSPASSASNRLQHYSSSHTANTRKPTSRNPITRSITRHHPSV >Potri.009G052600.1.v4.1 pep chromosome:Pop_tri_v4:9:5717640:5722720:-1 gene:Potri.009G052600.v4.1 transcript:Potri.009G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052600.v4.1 MTTATSYGAAHSAVLKEGKKIQIGSYGGLRSRNSVGLSRRHVNLFSVSIARPNPLIRAVSTPVKPETETKRSKVEIIKEHSNFIRYPLNEELLTDAPNINESATQIIKFHGSYQQYNRDERGARSYSFMLRTKNPCGKVPNKLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSSIIHSMGSTLGACGDLNRNVLAPAAPFARKDYQFAQQTADNIAALLTPQSGFYYDMWVDGEKIMSAEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDLLTNDVGVVVVTDADGEPQGFNLFVGGGMGRTHRLETTFPRLAEPLGYVPKEDILCAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPSRELPEWEFKSYLGWHEQGDGGLFCGLHVDSGRIGGKMKATLREIIEKYNLDVRLTPNQNVILCGIRKAWKRPITTALAQAGLLQPKYVDPLNLTAMACPALPLCPLAITEAERGIPDILKRIRAVFEKVGLKYNESVVIRATGCPNGCARPYMAELGFVGDGPNSYQLWLGGTPNQTSLARTFMNKVKIHDLEKVLEPLFYNWKRKRQSKESFGDFTNRVGFETLQEWVEKWDGVVATRSTYNLRLFTDKDTYEKMDELAKLQNKTAHQLAMEVIRNYASAQQNGKGE >Potri.009G052600.5.v4.1 pep chromosome:Pop_tri_v4:9:5717785:5722759:-1 gene:Potri.009G052600.v4.1 transcript:Potri.009G052600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052600.v4.1 MTTATSYGAAHSAVLKEGKKIQIGSYGGLRSRNSVGLSRRHVNLFSVSIARPNPLIRAVSTPVKPETETKRSKVEIIKEHSNFIRYPLNEELLTDAPNINESATQIIKFHGSYQQYNRDERGARSYSFMLRTKNPCGKVPNKLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSSIIHSMGSTLGACGDLNRNVLAPAAPFARKDYQFAQQTADNIAALLTPQSGFYYDMWVDGEKIMSAEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDLLTNDVGVVVVTDADGEPQGFNLFVGGGMGRTHRLETTFPRLAEPLGYVPKEDILCAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPSRELPEWEFKSYLGWHEQGDGGLFCGLHVDSGRIGGKMKATLREIIEKYNLDVRLTPNQNVILCGIRKAWKRPITTALAQAGLLQPKYVDPLNLTAMACPALPLCPLAITEAERGIPDILKRIRAVFEKVGLKYNESVVIRATGCPNGCARPYMAELGFVGDGPNSYQLWLGGTPNQTSLARTFMNKVKIHDLEKVLEPLFYNWKRKRQSKESFGDFTNRVGFETLQEWVEKWDGVVATRSTYNLRLFTDKDTYEKMDELAKLQNKTAHQLAMEVIRNYASAQQNGKGE >Potri.009G052600.4.v4.1 pep chromosome:Pop_tri_v4:9:5716412:5722760:-1 gene:Potri.009G052600.v4.1 transcript:Potri.009G052600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052600.v4.1 MTTATSYGAAHSAVLKEGKKIQIGSYGGLRSRNSVGLSRRHVNLFSVSIARPNPLIRAVSTPVKPETETKRSKVEIIKEHSNFIRYPLNEELLTDAPNINESATQIIKFHGSYQQYNRDERGARSYSFMLRTKNPCGKVPNKLYLTMDDLADQFGIGTLRLTTRQTFQLHGVLKKNLKTVMSSIIHSMGSTLGACGDLNRNVLAPAAPFARKDYQFAQQTADNIAALLTPQSGFYYDMWVDGEKIMSAEPPEVVKARNDNSHGTNFPDSPEPIYGTQFLPRKFKIAVTVPTDNSVDLLTNDVGVVVVTDADGEPQGFNLFVGGGMGRTHRLETTFPRLAEPLGYVPKEDILCAVKAIVVTQRENGRRDDRKYSRMKYLISSWGIEKFRSVVEQYYGKKFEPSRELPEWEFKSYLGWHEQGDGGLFCGLHVDSGRIGGKMKATLREIIEKYNLDVRLTPNQNVILCGIRKAWKRPITTALAQAGLLQPKYVDPLNLTAMACPALPLCPLAITEAERGIPDILKRIRAVFEKVGLKYNESVVIRATGCPNGCARPYMAELGFVGDGPNSYQLWLGGTPNQTSLARTFMNKVKIHDLEKVLEPLFYNWKRKRQSKESFGDFTNRVGFETLQEWVEKWDGVVATRSTYNLRLFTDKDTYEKMDELAKLQNKTAHQLAMEVIRNYASAQQNGKGE >Potri.001G435200.1.v4.1 pep chromosome:Pop_tri_v4:1:46125078:46125737:-1 gene:Potri.001G435200.v4.1 transcript:Potri.001G435200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435200.v4.1 MSYKLGSALGFHDYDANSKFEVLSFIQISWLNAIREWQEEFVGNRNCREFVETITRDLLGGCVFVSSPRGEVKLCINLH >Potri.013G082100.1.v4.1 pep chromosome:Pop_tri_v4:13:7241197:7245558:-1 gene:Potri.013G082100.v4.1 transcript:Potri.013G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082100.v4.1 MASSLLRSLVSRSRSRSSNVGAALSTRNYSLVTNQISNHTAKWMQDTSKKSPMELINEIPPIKVEGRIVACEGDTDPALGHPIEFICLDLKEPAVCKYCGLRYVQAHHH >Potri.002G136500.2.v4.1 pep chromosome:Pop_tri_v4:2:10252870:10255676:-1 gene:Potri.002G136500.v4.1 transcript:Potri.002G136500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136500.v4.1 MAGRGETVKAENAEAEAIITRIEHKSRKIESLLKQGRPVEALKTVLEGSPPKTRDERCKSANWIVVHRALMAIKDVDALFSALDPEYYDILMKYLYRGLSTGDRPTCDQCLRIHEKLTEKAGMGCILRSLADTVNTV >Potri.016G057000.1.v4.1 pep chromosome:Pop_tri_v4:16:3851404:3854289:-1 gene:Potri.016G057000.v4.1 transcript:Potri.016G057000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057000.v4.1 MVHSYRYHDQLKKGDRQHVEEEEVLLSSLMCAASSGYFNRTRPKLFSLVILSLLSCCLILSPPLFCSSSTSSLSYSFDVESDGVATNVNAKAYLCSSISNGTICCDRSSMRSDVCVMKGDVRTHSASSSIFLFTSRNNNSVMNKVSSLVDKDEELHHEKIKPYTRKWETSVMDSIDELGLIAKTENSRINHHCDVMHDVPAVFFSTGGYTGNVYHEFNDGILPLYITSQHFKKKVVFVILDYHNWWIMKYGNILSLLSDYPAIDFSGDKKTHCFPEAIAGLRIHDELTVDPSLMQENKSIVDFRNFLDRAYWPRIKSMIKGEERGAQKKLELKAHSSKKNLKQVHEATLKKPKLVILSRNGSRAITNENLLVKMAEEIGFRVEVMRPEPTTELARIYRALNSSEVMIGVHGAAMTHFLFMKPGSVFIQVIPLGTEWAADAYYGEPARKLGLKYIGYQILPRESSLYDKYDKNDPVLRDPRSVSDKGWQYTKSIYLDNQNVRLNLGRFQRRLLRAYRYSIAKVNSRYHLQSQ >Potri.013G115900.1.v4.1 pep chromosome:Pop_tri_v4:13:12461873:12464510:-1 gene:Potri.013G115900.v4.1 transcript:Potri.013G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115900.v4.1 MASQVINSRLLLLTIPILACVFLCSCYARATDKNGARIRGMFVFGSSLVDNGNNNFLKNSMAKADFLPYGIDFPYGPSGRFTNGKNVIDLLCDQLKLPLVPAFTDPSTKGTKIIHGVNYASGASGILDDTGLLAGNVISLNQQVRNFEEVTLPVLEAEMGFQRRELLPKYLFVVGTGGNDYSFNYFLRQSNANVSLEAFTANLTRKLSGQLQKLYSLGGRKFALMAVNPIGCSPMVMANRRTRNGCIEGLNKAAHLFNAHLKSLVDVSKEQMPGSNVIFVNSYKMIRDIIKNPVSRGFKDTNSACCEVMSLNEGGNGILCKKEGQACEDRNIHVFFDGLHPTEAVNIQIATKAYNSNLTSEVYPINVKQLSML >Potri.003G013900.1.v4.1 pep chromosome:Pop_tri_v4:3:3084716:3085803:1 gene:Potri.003G013900.v4.1 transcript:Potri.003G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013900.v4.1 MPPQVQNHGLFLPILLSTNQSQARQQLLSQNMQNCMASNGVQSFAGLQATLPLVSGVTQTIPNTVVQDPNMQSIPGVSQNSVGNSMGQGNPSIMFANSQRQMPAFLDSTAQTGHAKGADWQEEIYHKVISVIYCSLDGVHVCHSLARFQWKKVSWDWSKEFYSAMVGIS >Potri.008G162300.1.v4.1 pep chromosome:Pop_tri_v4:8:11156051:11157213:1 gene:Potri.008G162300.v4.1 transcript:Potri.008G162300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162300.v4.1 MPSSATAAPSKAKANLSKSKKTAAALTSGGAKKPRAYPTYHEMVKEAIVALKERSGSSQIAIAKFIEEKHKSNLPVNFKKLLLVQLKKLVANGKLVKVKNSFKLPPKSSAKDAASVKKAAPANPKAEAKPKPEKAAKAEAVKSPAKKAVVGAKNKTPAKKAVKKTKSIKSPVKKAVKKTKK >Potri.005G117300.1.v4.1 pep chromosome:Pop_tri_v4:5:8568415:8577956:1 gene:Potri.005G117300.v4.1 transcript:Potri.005G117300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117300.v4.1 MRQQQEDYRPSIPDGTEEDAPKLFDNSLPISDPMSIDQCETIPQMDGSQLLGAPVTAATSDVDLSERESVHTVPVVEVTNDSKIAEIITGKRKRGRPPKIQGKLGPPAFSAQRKKKDEEDVCFICFDGGSLVLCDRRGCPKAYHAACIKRDEAFFRSKAKWNCGWHICSSCQKASHYMCYTCTYSLCKGCTKDADYLCVQGNKGFCGACMRTIMLIENIATGNQEMVQVDFDDTTSWEYLFKVYWIYLKAKLSLTVDELIKAKNPWKGDELPKAKNSWIGAGAMAHKQEPPGEFWHGNDNKGSFSNSYCGNVEAIHAKRRKMDRTKLHTEENSLFMEKSCVDKVTHLPEGTLWATKGLLEFVSHMKNGDMSVLSKFDVQSLLLEYVKRNNLRDPRQKSHIVCDSRLIKLFGKEHVGHFEMLKLLDYHFLVKEESPADDETAAMRISDAVGGQVEAVRNNDSQLMSGSDRRHKTRKRTDERGPQINSNPEEYAAIDVHNISLLYLKRSLMENLMDDAGKFHEKVVGSFVRIRTSGGDQKEDSYRLVQVVGTNKVAESYKFGTRTTDIMLEILNLDKKEVISIDGISNQEFSEDECKRLSQSIKCGLIKPFTVGEIQKRAMVIQDVKVCDHLEADILRLNHLRDRASEKGHRKELRECVEKLEILKSPEERQRRLLEIPYVHTDLNINSSYESEEDAGVSHKKIQGDHARTRNASAGRNGAEFNSSDIGNSPQNSAFSTEQSRDICTTFHVDRDGTTLVHERLSESMQSQGGEHIGLNGQNTSKNRAASTGLMTGDWNSEAAVQCGSDPGVASRNIPPPLSTGREQLVDIETDKLWHYQDPTGKTQGPFAMAQLRKWSTSGLFPHDLRVWKINEKPDDSILLTNALVGRFHKEPALSHNRSSLAQEATVSSDKDKMHEFGMNQSIDAVQLDNKNINNWKSVQNNASANCNDDDEFLGSNAWDAHSSSWTTSMNATIPNNGQAQLALQLLELSKGCKASSNQSNMCNSLSLFPSSGKLGETPSLQVKEEHEDEKRIYDLSDVNGNSLKTPEGKNNIGKSDDRQADSESYSNQSSGQNWRPPVKSSSGWDSNSTLVSGTKSVETSQKNEEMEFFDLPCPTPKQQLEDLQGQAVENNHTTSKLPVLDSGPCWSTASSLAVGGAQLAGVASEWGGYSPAPVKSVEEWDSNHVSTSSLKPTDGGSDHAATLTPDSGQLTHTPPTHPVIDAPDWQPIIPEPAEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKLCSAGELTRGYDDDCFSPVEEFSPAPDPGKSDAFSSTADIQIPSQLTVVSEALLLCHMPSRPTVIDKPLGVSLMPSQLTVANESHQISCTPSQSTITDEPLEKSQRPSQSTLTDEPLGLSQTDAPNPQKSFSEHSSTSPEVEGNMKPKDVSVNQRVRGSETQPPASSAGNQGESGSDIQPTTPSTVSELEAGSDLQQPSPSNKDASRGTVKGRVAQGNTNMVWGNGHGTIQQHAKTSAANSTGKSGSWGSQPRYGGDRFSGPRDHRNHFQSRERDSGFGRDRSSWNKQPLCGDGNGASTYRPPPKGQRVCKFYESGYCKKGASCSYWHP >Potri.005G117300.4.v4.1 pep chromosome:Pop_tri_v4:5:8573176:8577870:1 gene:Potri.005G117300.v4.1 transcript:Potri.005G117300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117300.v4.1 MVIQDVKVCDHLEADILRLNHLRDRASEKGHRKELRECVEKLEILKSPEERQRRLLEIPYVHTDLNINSSYESEEDAGVSHKKIQGDHARTRNASAGRNGAEFNSSDIGNSPQNSAFSTEQSRDICTTFHVDRDGTTLVHERLSESMQSQGGEHIGLNGQNTSKNRAASTGLMTGDWNSEAAVQCGSDPGVASRNIPPPLSTGREQLVDIETDKLWHYQDPTGKTQGPFAMAQLRKWSTSGLFPHDLRVWKINEKPDDSILLTNALVGRFHKEPALSHNRSSLAQEATVSSDKDKMHEFGMNQSIDAVQLDNKNINNWKSVQNNASANCNDDDEFLGSNAWDAHSSSWTTSMNATIPNNGQAQLALQLLELSKGCKASSNQSNMCNSLSLFPSSGKLGETPSLQVKEEHEDEKRIYDLSDVNGNSLKTPEGKNNIGKSDDRQADSESYSNQSSGQNWRPPVKSSSGWDSNSTLVSGTKSVETSQKNEEMEFFDLPCPTPKQQLEDLQGQAVENNHTTSKLPVLDSGPCWSTASSLAVGGAQLAGVASEWGGYSPAPVKSVEEWDSNHVSTSSLKPTDGGSDHAATLTPDSGQLTHTPPTHPVIDAPDWQPIIPEPAEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKLCSAGELTRGYDDDCFSPVEEFSPAPDPGKSDAFSSTADIQIPSQLTVVSEALLLCHMPSRPTVIDKPLGVSLMPSQLTVANESHQISCTPSQSTITDEPLEKSQRPSQSTLTDEPLGLSQTDAPNPQKSFSEHSSTSPEVEGNMKPKDVSVNQRVRGSETQPPASSAGNQGESGSDIQPTTPSTVSELEAGSDLQQPSPSNKDASRGTVKGRVAQGNTNMVWGNGHGTIQQHAKTSAANSTGKSGSWGSQPRYGGDRFSGPRDHRNHFQSRERDSGFGRDRSSWNKQPLCGDGNGASTYRPPPKGQRVCKFYESGYCKKGASCSYWHP >Potri.005G117300.3.v4.1 pep chromosome:Pop_tri_v4:5:8570693:8577897:1 gene:Potri.005G117300.v4.1 transcript:Potri.005G117300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117300.v4.1 MAHKQEPPGEFWHGNDNKGSFSNSYCGNVEAIHAKRRKMDRTKLHTEENSLFMEKSCVDKVTHLPEGTLWATKGLLEFVSHMKNGDMSVLSKFDVQSLLLEYVKRNNLRDPRQKSHIVCDSRLIKLFGKEHVGHFEMLKLLDYHFLVKEESPADDETAAMRISDAVGGQVEAVRNNDSQLMSGSDRRHKTRKRTDERGPQINSNPEEYAAIDVHNISLLYLKRSLMENLMDDAGKFHEKVVGSFVRIRTSGGDQKEDSYRLVQVVGTNKVAESYKFGTRTTDIMLEILNLDKKEVISIDGISNQEFSEDECKRLSQSIKCGLIKPFTVGEIQKRAMVIQDVKVCDHLEADILRLNHLRDRASEKGHRKELRECVEKLEILKSPEERQRRLLEIPYVHTDLNINSSYESEEDAGVSHKKIQGDHARTRNASAGRNGAEFNSSDIGNSPQNSAFSTEQSRDICTTFHVDRDGTTLVHERLSESMQSQGGEHIGLNGQNTSKNRAASTGLMTGDWNSEAAVQCGSDPGVASRNIPPPLSTGREQLVDIETDKLWHYQDPTGKTQGPFAMAQLRKWSTSGLFPHDLRVWKINEKPDDSILLTNALVGRFHKEPALSHNRSSLAQEATVSSDKDKMHEFGMNQSIDAVQLDNKNINNWKSVQNNASANCNDDDEFLGSNAWDAHSSSWTTSMNATIPNNGQAQLALQLLELSKGCKASSNQSNMCNSLSLFPSSGKLGETPSLQVKEEHEDEKRIYDLSDVNGNSLKTPEGKNNIGKSDDRQADSESYSNQSSGQNWRPPVKSSSGWDSNSTLVSGTKSVETSQKNEEMEFFDLPCPTPKQQLEDLQGQAVENNHTTSKLPVLDSGPCWSTASSLAVGGAQLAGVASEWGGYSPAPVKSVEEWDSNHVSTSSLKPTDGGSDHAATLTPDSGQLTHTPPTHPVIDAPDWQPIIPEPAEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKLCSAGELTRGYDDDCFSPVEEFSPAPDPGKSDAFSSTADIQIPSQLTVVSEALLLCHMPSRPTVIDKPLGVSLMPSQLTVANESHQISCTPSQSTITDEPLEKSQRPSQSTLTDEPLGLSQTDAPNPQKSFSEHSSTSPEVEGNMKPKDVSVNQRVRGSETQPPASSAGNQGESGSDIQPTTPSTVSELEAGSDLQQPSPSNKDASRGTVKGRVAQGNTNMVWGNGHGTIQQHAKTSAANSTGKSGSWGSQPRYGGDRFSGPRDHRNHFQSRERDSGFGRDRSSWNKQPLCGDGNGASTYRPPPKGQRVCKFYESGYCKKGASCSYWHP >Potri.017G091500.1.v4.1 pep chromosome:Pop_tri_v4:17:10426086:10427859:-1 gene:Potri.017G091500.v4.1 transcript:Potri.017G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091500.v4.1 MGNPHIVVIPYPAQGHVIPFMELSQCLAKQGFKITFVNTEYNHKRVLKALGENNYLGSEISLVSIPDGLEPWEDRNELGKLTKAIFQVMPGKLQQLINRINMSGEERITGIITDWSMGWALEVAEKMNIRRAIFWPASTAVLCSMLSISKLLNDGIIDNDGTPLKNQTIQLAPKMPVMDTANFAWACLRDFTTQKIIFDVMVKTIETVKVEDWIVSNSAYELEPGAFSFAPNIIPIGPRLASNRLGDQQGYFWPEDSTCLKWLDQQPPNSVVYIAFGSFTVFDQTQFQELALGLELSNRPFLWVVRPDITAETNDAYPEGFQERVANRGQIVGWAPQQKVLSHPSVLCFLSHCGWNSTMEGVSNGVPFLCWPYFADQFLNETYICDVWKVGLKLDKNQSGIVTGEEIKNKVEKVVGDEKFKARALELKRLAMQNVGEGGCSSNNFKNFVEWMKA >Potri.005G179000.1.v4.1 pep chromosome:Pop_tri_v4:5:18504046:18507989:-1 gene:Potri.005G179000.v4.1 transcript:Potri.005G179000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179000.v4.1 MMASKVSLWSCIFVFSLVVALSTGEDESKEYVLTLDHSNFTETVTKHDFVVVEFYAPWCGHCQNLAPEYEKAASILSSNDPQIVLAKVNADEKVNQEISEKYEVQGFPTIKILRKGGTSVNEYKGPRDADGIAEYLKKQTGPASAELKSADDATSFIGDNKVVIVGVFPKFSGEEFESFLAVADKLRSDYEFAHTLDAKHLPRGESSVSGPLVRLFKPFDELFVDSKDFNVDALEKFIEESSAPIVTVYDDEPSNHPYIVKYFDSPLDKAMLFLNFSGDSADSIKTNYQEVAEQHKGDGLIFLLGDLEASQSALQYFGLKEDQAPLLVIQTTDGKKYLKSNLESDHIAPWVKEYKEGKVPPFIKSEPIPEANEEPVKVVVADSLDDLVTKSGKNVLLEFYAPWCGHCQKLAPILEEIAVSYQSDADVLIAKLDATANDIPGDTYDVKGFPTVYFRSASGKLVQYEGDKTKQDIIDFIEKNRDKVAQQEPAKDEL >Potri.009G016900.1.v4.1 pep chromosome:Pop_tri_v4:9:2873260:2885226:-1 gene:Potri.009G016900.v4.1 transcript:Potri.009G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016900.v4.1 MDVEASLGATDHDPAVQDGTSSPTEMDGEQIGEQSPQLAGSQAASTAEVTGSSTTTTAPQQVVQAQQQSPVVGPRHAPTYSVVNAIIEKKEDGPGPRCGHTLTAVIAVGEEGTPGYIGPRLILFGGATALEGNSASTGTPSSAGSAGIRLAGATADVHCYDVLTNKWSRITPFGEPPTPRAAHVATAVGTMVVIQGGIGPAGLSAEDLHVLDLTQQRPRWHRVVVQGPGPGPRYGHVMALVGQRYLMAIGGNDGKRPLSDVWALDTAAKPYEWRKLEPEGEGPPPCMYATASARSDGLLLLCGGRDANSVPLASAYGLAKHRDGRWEWAIAPGVSPSPRYQHAAVFVNARLHVSGGALGGGRMVEDSSSVAVLDTAAGVWCDTKSVVTSPRTGRYSADAAGGDAAVELTRRCRHAAAAVSDLIFIYGGLRGGVLLDDLLVAEDLAAAETTSAASHAAAVAAASNVQAGRVPGRYGFVDERNRQTMPEAAPDGSVVLGSPVAPPVNGDMYTDISTENAMLPGPRRTNKGVEYLVEASAAEAEAISATLAAAKARQVNGEVELPDRDRGAEATPSGKQISTLIKPDSAGSNNIVPAGVRLHHRAVVVAAETGGALGGMVRQLSIDQFENEGRRVSYGTPESATAARKLLDRQMSINSVPKKVVVHLLKPRGWKPPVRRQFFLDCNEIADLCDSAERIFSSEPSVLQLKAPIKIFGDLHGQFGDLMRLFDEYGSPSTAGDIAYIDYLFLGDYVDRGQHSLETITLLLALKVEYPNNVHLIRGNHEAADINALFGFRIECIERMGERDGIWVWHRINRLFNWLPLAALIEKKIICMHGGIGRSINHVEQIESLQRPITMEAGSIVLMDLLWSDPTENDSVEGLRPNARGPGLVTFGPDRVMEFCNNNDLQLIVRAHECVMDGFERFAQGHLITLFSATNYCGTANNAGAILVLGRDLVVVPKLIHPLPPAISSPEASPERHIEDTWMQELNANRPPTPTRGRPQVITNDRGSLAWI >Potri.006G163100.1.v4.1 pep chromosome:Pop_tri_v4:6:15689628:15692512:-1 gene:Potri.006G163100.v4.1 transcript:Potri.006G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163100.v4.1 MALKLFPTPFPIFAPPSPNPSHRPSTEVHFSRWFNANADKFNQRYRSQQEIEEDISRRRRFTSANNIVTNYDPKNAAEVDISFFKSTGTPSSPSSPSIPGKKSKYSKPLKKTHPAFLPKITRVPLPRNNAKPPIDRKADIKLSEDGVSYVIDGAPFEFKYSYTETPKVKPLKLREAPYAPFGPITMPRPWTGRAPLPPSKKKLREFDSFVLPPPDKKGVKPVQAPGPFLPGAGPRYAKTREEILGDPLTQEEIQELVDGCLKAKRQLNMGRDGLTHNMLDNIHAHWKRRRVCKIKCKGVCTVDMDNVCQQLEERTGGKIIYRKGGVLYLFRGRNYNYRFRPRFPLMLWKPVTPVYPRLIQRAPEGLTLQEASGMRNKGRKLIPICKLGKNGVYRDLVRNVREAFEECELVRINCQGMNGSDFRKIGAKLRDLVPCVLISFECEHILMWRGRDWKSSFTKPVNDGDEAKNSSIDGATSATPLLEGLQNETFSVKDASTLNLKTSRMDAEDQGEDLSQKDIDETFAAKIFISTSTEIYESKTTPDNDDSSAVTKSEAMRIASGSEVILDDRGYIDEMLITTSVESDTTLERIGNMEKLQNVSEGSHVSELAKLNESYTQGVLELLKQAVEIGSAVVLDANLDADAVYQKAVAFAQSAPPGPVFRRQPRNTVVQKSEMQENGELEVKQVTSFSKMGGGSERKSSKVRRKYFNEQYVDSVPQGSLRVDELAKLLA >Potri.013G019300.3.v4.1 pep chromosome:Pop_tri_v4:13:1214982:1221110:-1 gene:Potri.013G019300.v4.1 transcript:Potri.013G019300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019300.v4.1 MEIESNQLDHDCNSTEESSVMQIVPPRSPEISGVCGHPIENPRVGDEYQAEVPSMISQSKHLQLLTIPSGSDGIFEASHSFLIGLPVPVMWVDNNKVNNGEDRGCGSLSHPGDAVLTDESSKSRKSKKHCTMKKEDSELNAELLDDGKELKPATFQSNVSGEDNLDQPCKRESYIPLPGLLHNPWKDADVDGFILGLYIFGKNLVQIKRFIDKEMGEILSFYYGKFYKSDAYRRWSDTRKTKRKKCVCGHRIFTGWRQQELFSRLDPHVPVHFRNTFQEVSLEFTKGKISLEDYVFNLKAIVGIQVFVEAVGIGKGKDDLTGLAMEPVKGNPLFPDCPVGKDCSSLTASDIIKLLTGGFRLSKGRCNDIFWEAVWPRLLARGWHSEQPKNQGYVDTSHSLVFLIPGIKKFSRRKLVKGNHYFDSVSDVLSKVASEPTLIELEAEETRGSICNEEDGWDIGVPSSLDDQSICQPRHYLKPQVSKRNLNHVKFTVVDSSLGGGKKLSKVKEMRYSLDDLKVMSLFTTLSSRTPRIFSESSPDKNDLDALGMSLDGEKKMNNVDCNEGSTSHACSSNSTKFTIVDTSLVHGGISVRPRELRCLPVEYGPASEMTNSTENEADSSDNSPVQHAPDAANRSDHRKGIIDRSIHDKSSELKGHRSRGTLKHQSSRRAKSRQSNNLVPLVKRRRLTACSDTEISNVIENFSGGIRSKQVGICCALKAPSAGGNAFKARGHRKKLSSTKPSVRGGPEEANGGGMLSANCFGMRKSRRENVEHQSPLLIDLNLPQIALASDNGDVVPMEVENIQRINANDTSFPSPLDNPNADALSTSVDLASAAEEPDMNSRRHSTRSRPMTIKALAALEYGFLEVKKTPKCTGVRTHKKSHFKVLSQVPQQSQSKIKSCNVGIGTGDPNEERDASGAFIVE >Potri.013G019300.2.v4.1 pep chromosome:Pop_tri_v4:13:1214451:1220985:-1 gene:Potri.013G019300.v4.1 transcript:Potri.013G019300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019300.v4.1 MEIESNQLDHDCNSTEESSVMQIVPPRSPEISGVCGHPIENPRVGDEYQAEVPSMISQSKHLQLLTIPSGSDGIFEASHSFLIGLPVPVMWVDNNKVNNGEDRGCGSLSHPGDAVLTDESSKSRKSKKHCTMKKEDSELNAELLDDGKELKPATFQSNVSGEDNLDQPCKRESYIPLPGLLHNPWKDADVDGFILGLYIFGKNLVQIKRFIDKEMGEILSFYYGKFYKSDAYRRWSDTRKTKRKKCVCGHRIFTGWRQQELFSRLDPHVPVHFRNTFQEVSLEFTKGKISLEDYVFNLKAIVGIQVFVEAVGIGKGKDDLTGLAMEPVKGNPLFPDCPVGKDCSSLTASDIIKLLTGGFRLSKGRCNDIFWEAVWPRLLARGWHSEQPKNQGYVDTSHSLVFLIPGIKKFSRRKLVKGNHYFDSVSDVLSKVASEPTLIELEAEETRGSICNEEDGWDIGVPSSLDDQSICQPRHYLKPQVSKRNLNHVKFTVVDSSLGGGKKLSKVKEMRYSLDDLKVMSLFTTLSSRTPRIFSESSPDKNDLDALGMSLDGEKKMNNVDCNEGSTSHACSSNSTKFTIVDTSLVHGGISVRPRELRCLPVEYGPASEMTNSTENEADSSDNSPVQHAPDAANRSDHRKGIIDRSIHDKSSELKGHRSRGTLKHQSSRRAKSRQSNNLVPLVKRRRLTACSDTEISNVIENFSGGIRSKQVGICCALKAPSAGGNAFKARGHRKKLSSTKPSVRGGPEEANGGGMLSANCFGMRKSRRENVEHQSPLLIDLNLPQIALASDNGDVVPMEVENIQRINANDTSFPSPLDNPNADALSTSVDLASAAEEPDMNSRRHSTRSRPMTIKALAALEYGFLEVKKTPKCTGVRTHKKSHFKVLSQVPQQSQSKIKSCNVGIGTGDPNEERDASGAFIVE >Potri.007G096900.1.v4.1 pep chromosome:Pop_tri_v4:7:12162485:12170423:1 gene:Potri.007G096900.v4.1 transcript:Potri.007G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096900.v4.1 MWVFYLISLPLTLGMVILTARYFAGPEVPRYVFFTVGYTWFCSLAIITLVPADIYTTKFDLDRGGISFYWIWSYWSTFLLTWAVVPLIQGFEDAGDFTVVERLKTSVRANLVFYLIVGAIGLFGIILLIIMHKIRIGNVLAFAMACSNTFGLVTGAFLLGFGLSEIPKGLWRNSDWSTRQKVLSHKIAKMAVRLDDAHQDLSNAIVVAQATSNQMSKRDPLRPYMDVIDNMLAQMFKQDPSFKPQGGRLGENDMDYDTDVRSMATLRRHLRGAREEYYRCRSEYMTYVMEALELEDTVKNYERGSSTGWQYISSFKPARTGKLGASFDTMEFLWRCILRKQLKKVLAVILCTMSAAILLAEATLLIGGVDLSLFSILINSVGKQELSMQVLAFVPLMYMCICTYYSLFKIGMLMFYSLTPRRTSSVSLLMICSMVARYAPPISYNFLNLINLDSKETIFEKRMGKIDKAVPFFGNDFNRIYPLIMVIYTLLVASNFFDRVIGFFGSLKRFRFQTEADDTDGFDPSGLIILQKERSWLEQGLKVGEHVVPLARNFNGVDMESGSNSIERTAVEMKATSSLGIEDRKGSQSKSLKEESQRYGTSREAVSNKYAAFREQNRQANMRLVEKNVAAAKVSLLDDEDSHSNNTTAGPPAGLASKWESMKNGFQSFKANIGAKKFLPLRQTQEPQLVSRNSSSQSLDEIFQRLKRPSADRGSLSDDDEDGHGTEIKISGPTR >Potri.006G036700.1.v4.1 pep chromosome:Pop_tri_v4:6:2364106:2364405:1 gene:Potri.006G036700.v4.1 transcript:Potri.006G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036700.v4.1 MINRVTRKKEMGVLRRELACLSLLLLLLLIMSLLETPCYAVGYGKFSSVKGGSSSELRNNPAMSNSVGGLKRNANKDGNEIFGADKRKVYTGPNPLHNR >Potri.010G039900.1.v4.1 pep chromosome:Pop_tri_v4:10:6977919:6991591:-1 gene:Potri.010G039900.v4.1 transcript:Potri.010G039900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039900.v4.1 MSGGWERLRGSRSRTTRDSSFHTPAPSRTVTLGRVQPQAPGHRTIYCNDRDANLPVRFKGNSISTTKYNFFTFVPKGLFEQFRRVANCYFLLISILSMTPISPVNPVTNVVPLSLVLLVSLIKEAFEDWKRFQNDMVINNSLIDVLQDDKWVAVPWKKLQVGDIVRVKKDGFFPADLLFLASTNADGVCYTETANLDGETNLKIRKALERTWDYLTPDKAAEFKGEMQCEQPNNSLYTFTGNLIFQKQTLPLTPNQILLRGCSLRNTEYIVGAVIFTGHETKVMMNSMNVPSKRSTLERKLDKLILALFATLFIMCLIGAIGSGIFINRKYYYLRLDKAVAAEFNPGNRFVVAALTLFTLITLYSTIIPISLYVSIEMIKFIQSTQFINKDLHMYHAETNTPASARTSNLNEELGQVEYIFSDKTGTLTRNLMEFFKCSIGGEVYGSGVTEIELGGAQRTGIKFQEVRKSSTAIQEKGFNFDDHRLMRGAWRNEPNSDTCKEFFRCLAICHTVLPEGDESPEKITYQAASPDEAALVTAAKNFGFFFYRRTPTMIHVRESHVEKMGKIQDVAYEILNVLEFNSTRKRQSVVCRYPNGRLVLYCKGADTVIYERLAAGNDDLKKVTRAHLEQFGSAGLRTLCLAYRDLSPETYESWNEKFIQAKSSLRDREKKLDEVAELVEKDLILIGSTAIEDKLQEGVPACIETLSRAGIKVWVLTGDKMETAINIAYACNLINNDMKQFIISSETDAIREVENRGDQVEIARFIKEEVKKELKKCLEEAQHYLRTVSGPKLALVIDGKCLMYALDPTLRVMLLNLSLNCHSVVCCRVSPLQKAQVTSLVKKGARKITLSIGDGANDVSMIQAAHIGIGISGLEGMQAVMASDFAIAQFRFLTDLLLVHGRWSYLRICKVITYFFYKNLTFTLTQFWFTFQTGFSGQRFYDDWFQSLYNVIFTALPVIIVGLFDKDVSASLSKKYPELYKEGIRNVFFKWRVVITWACFSVYQSLVFYHFVTISSASGKNSSGKIFGLWDISTMAFTCVVITVNLRLLMICNSITRWHYISVGGSILAWFMFIFIYSVLRENVFFVIYVLMSTIYFYLTVLLVPIVALLGDFIYQGIQRCFFPYDYQIVQEIHRHEPDDNTRAGLLEVASQLTPQEERSYAISQLPREISKHTGFAFDSPGYESFFAAQLGVYAPQKAWDVARRASMKSKPKMPKRN >Potri.001G399100.1.v4.1 pep chromosome:Pop_tri_v4:1:42470031:42471384:-1 gene:Potri.001G399100.v4.1 transcript:Potri.001G399100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399100.v4.1 MKTSTRFQETKNPSPINSPRSNGSNNGVQIHAPPLTPIPISRSDTNPYPTTFVQADTSTFKQVVQMLTGSTETAKQASSKTTQDPPTTPTQTSRSYNIPPIKNMPKRQQNSFKLYERRNNSFKNSLMINTLLPSFANNCNSSSVTGFSPRNKPEILSPSLLDFPRLTLSPVTPLNEDPFNKSSPSLGNSSEEERAIAEKGFYLHPSPISTPRDSEPQLLSLFPVTSPRVSGSSS >Potri.003G200300.5.v4.1 pep chromosome:Pop_tri_v4:3:20067581:20070135:-1 gene:Potri.003G200300.v4.1 transcript:Potri.003G200300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200300.v4.1 MFYNSWMSELDFCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETVTNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTGCLSETPKGKPNFSQRGSQLSSFLTNAQPVSQCKSNPCLDASEDASNNGPADEGAREVKASQ >Potri.003G200300.4.v4.1 pep chromosome:Pop_tri_v4:3:20067581:20070145:-1 gene:Potri.003G200300.v4.1 transcript:Potri.003G200300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200300.v4.1 MFYNSWMSELDFCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETVTNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTGCLSETPKGKPNFSQRGSQLSSFLTNAQPVSQCKSNPCLDASEDASNNGPADEGAREVKASQ >Potri.003G200300.2.v4.1 pep chromosome:Pop_tri_v4:3:20067580:20070224:-1 gene:Potri.003G200300.v4.1 transcript:Potri.003G200300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200300.v4.1 MTSIVHQLSYQSWMSELDFCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETVTNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTGCLSETPKGKPNFSQRGSQLSSFLTNAQPVSQCKSNPCLDASEDASNNGPADEGAREVKASQ >Potri.003G200300.3.v4.1 pep chromosome:Pop_tri_v4:3:20067581:20070224:-1 gene:Potri.003G200300.v4.1 transcript:Potri.003G200300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200300.v4.1 MTSIVHQLSYQSWMSELDFCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETVTNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTGCLSETPKGKPNFSQRGSQLSSFLTNAQPVSQCKSNPCLDASEDASNNGPADEGAREVKASQ >Potri.006G282900.1.v4.1 pep chromosome:Pop_tri_v4:6:27239343:27242392:-1 gene:Potri.006G282900.v4.1 transcript:Potri.006G282900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282900.v4.1 MAAGNYQESYSSRFPNCKYQVFLSFRGKDTRKNFTDHLYTALVQAGIHTFRDGNEIWRGENIDVELQKAIQQSKISIIVFSKDYASSRWCLDELVMIMERKRNADCIVLPVFYDVDPSQVGRQTGSFSAAFVEHEKSFNEEIERVNGWTIALKEVADLAGMVLGDGYEAPFVQSIVEKVLKNLDQKMFHVPPHFIGRDPLVQDINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVYNQNFYKFEGKSFLSNFRSKDIVCLQRQLLSDILKKTVDEINDEDEGILKIKDALCCRRTLIVLDDVHKRDQFNKIVGMQNWLCKGSKIIVTTRNKGLFLANDIKWFDCKVKLLDIEKSLELFSWNAFGQADPVDGFVEDSLRIVHHCNRLPLALRVIGSSLSGKGREIWERALQQMEVIPNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGIDVDDAVRILDGIDKGARFGIDNLINRCLVEINIDKRLWMHQLVRHMGREIARQESHKCQRIWCHEEAFTVLKGTTDAEKLRGLTLDMHALMKDNNAEVVCTSSIFCRKRHRLNFFQRWLSYVSDDGKLQTGQTSLFPIFSTDAFRKMPNVKFLQLNYTKFYGSFEHFPKNLILLFWHGFSSRCIPNHVCLEKLVVLDLSKSCLVDAWKGKPFFPKLKILDLRHSHDLIRTPDFS >Potri.002G092400.1.v4.1 pep chromosome:Pop_tri_v4:2:6684999:6689372:-1 gene:Potri.002G092400.v4.1 transcript:Potri.002G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092400.v4.1 MGSQPIQTFSVEERRRRHLNMEDATSQLEMAQWVLNSPDPPGLLQELGSSVREIIFPHGKKHTSSTARRKQQSRAMEFLQGVFPILRWGRDYKASMFKNDLMAGLTLASLSIPQSIGYANLAKLDPQYGLYTSVIPPLIYAIMGSSREIAIGPVAVVSMLLSSMIGEIQDPLADPVAYRNFVFTVTLFAGTFQAIFGLFRLGFLVDFLSHASIVGFMGGAAIVIGLQQLKGLLGISHFTTKTDVVSVLHSAFTSIDHPWSPLNFVLGCSFLIFLLIARFIGRRNKKLFWFPAIAPLVSVILSTLIVFLTKADKHGVKIVRHIKGGLNRSSVHDLQLSGPQVGQAAKIGLISAIVALTEAIAVGRSFASIKGYHIDGNKEMLALGFMNIAGSLSSCYVATGSFSRTAVNFSAGCQTLVSNIVMSITVLVSLEVFTRLLYYTPTAILASIILSALPGLIDIRGAYYIWKVDKLDFIACIGAFFGVLFASVEIGLLAAVTISFARILLNAIRPGIEALGRLPRADVYCDMNQYPMAVKTPGILAVRINSALLCFANANFIRERILRWVTEEVNEIKESTEGGIQAVILDMSNVMNIDTAGILALEELHKELLIHEAQLAIANPKWQVIHKLRLAKFIDRIGRGWIFLTVSEAVDACVSSKLTALANC >Potri.006G112400.1.v4.1 pep chromosome:Pop_tri_v4:6:8726268:8728687:-1 gene:Potri.006G112400.v4.1 transcript:Potri.006G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112400.v4.1 MANITYRDPELEESFSFSGCYDFNSPFQSIFFDESDDESYIEIALEPSLKNGHGGINCWADEEMELRISFSSSAPFQELSTKKISNEHESAATMPSSPSSTTFTMSSSSPSMESGQRDAQMGSKASSSTCRAQKAIKKKVQFPKVNSFLNMLKPSLTVSSEADDGNGRPANNNHLELVSSSTMKGSKATAMSSNGIVMKFLIKFRTLKIRTLLASFMKSCQVINSPQEPRNTGTHQKLMNPFDKWFAPGSSSSNVYSNNLFGDGERSGVLEMKMDTVRAVVETMSSSSSIGREDRKFRSCPSPTKSSPVHQELSSDDQNHKICAKDNSIQAAIAHCKRSFGPKIV >Potri.010G050501.1.v4.1 pep chromosome:Pop_tri_v4:10:8225244:8228639:1 gene:Potri.010G050501.v4.1 transcript:Potri.010G050501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G050501.v4.1 MTSMAVVPQKMRRERSMFSSSDDTAMMKQIQATHAPDGREFSVKPLLHIVEDIFLRATPALGMTSIVQQQGAHQAQLDELEEKALQNGFHETIEMLSYNINKISCEMSCKCSGGGDAHATTLAIFNLVSNYSWDEKVVLALAGFAVNYGEFWLVAQLYLTNPLAKAVALLKQLPDIIERADNMKPKFEALTSLIKAMMDVATCIVEFKELPSQYITPDTPEMLTATAHIPTAVYWTIRSIVACASQIMGLIGMGHEYIASTTEAWELSSLAHKVNNIHSHLMKQLTLCFHHIDEKRHIEAFQTLVSLFEAFHIDNMKILKALIYAKDDQLPLFDGSTKKRASLDVLRRRSVLLLISDLEISHEELSVLQQMYSEARELPGRPESQYEVVWLPVVDRSSPWSETKQKLFEDFQRIMPWYSVYQPSLLDVAVIRYIKEVWHFNKRPLLVVLDPQGRVVNPNAIHMMWIWGSLAFPFTSLREEALWKEETWKIELLADSIDPMIVSWIDQGKYICLYGGEDIEWIRKFTVTAKDVASRAGITLEMLYVGKSNPREKVRKNNSIITTEKLSHVLPDLTLIWFFWVRLESMWHSKVQHKRTVENDAIMQEIMTMLSFDGSDQGWAVISRGPADMAKAKGETILKSFVDFEIWRDGAQEKGFLPALIDNLLALHSPLHCNRLILPGATGSIPEKVVCAECGRPMEKFIMYRCCTD >Potri.014G063350.1.v4.1 pep chromosome:Pop_tri_v4:14:3992248:3993140:1 gene:Potri.014G063350.v4.1 transcript:Potri.014G063350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G063350.v4.1 MAESHPLNLGFQVDSIVAQHAIFALFVDTLSNQIQVKYQSMRVSPFDTHQRVMSTFLAALFIYATTSVAEVILRTQKSVHQRLVGNIRLFASALATILLLVTLSLIVSCIISVLWTCLFVKLAYESCQDLCQLLSQTTDEVLRTLKKLIATVRSPKEKPNNLVRSPF >Potri.005G211200.3.v4.1 pep chromosome:Pop_tri_v4:5:21541359:21541658:1 gene:Potri.005G211200.v4.1 transcript:Potri.005G211200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211200.v4.1 MIWLHRLCSLATMQYFSGSQSFLFQAKNFSIT >Potri.005G211200.1.v4.1 pep chromosome:Pop_tri_v4:5:21540239:21541676:1 gene:Potri.005G211200.v4.1 transcript:Potri.005G211200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211200.v4.1 MSLVANEEFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFANIVCKKADVDMNKRAGELSAEELDKLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Potri.019G091700.1.v4.1 pep chromosome:Pop_tri_v4:19:12956072:12958944:1 gene:Potri.019G091700.v4.1 transcript:Potri.019G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091700.v4.1 MASSPSLPQDPNPHSNSLSQNPNIPNPDQIQETLPDDDAQFPKTLTLEIPNPNPQEDDPTNQNHDDFEDLSTFSPATTAASRRGVGGRKGRKASKKRRVQVKKLEKKLETLSQSLKPIPFVPNKALDFASHETLLKKLGLWDFVHLQFDTLLRADLLAQLIAGYNPAMRGSYVNEIKIMVNRADLGRALKLPVKKDKGNVGDGASEVKESAESIGFIEELVSNWILLHEDTWMMPPDILNWIKLIKEGNFEKLDWAGMVWYMVEKELNAVPSLGNCYYASHLQCLIKCQREELLKEESVKIEIDAKEGEEEEGLKMEEGLKTEEVVKMDDDVKMVDEFRGESSVLEEHKIELSLGGMDNAGKEEGEKEGEGKEIVCDEDVMGFEQRKEEEDQGQWRKISMDGHFLQPCSLSQVGGMECEEERKQQVEVDGEEEGKGGEEGEEEEAEEEAREEVEEEELEEEAEEEDDDDVGFHISSKRSILEGVSSENLLEAMGAAQVPFSSGVQIHDNVSSGEFLVSRVNTETIPGGSSLFGNVGGSKRVIDHLESDIPHHSLGGGSKRMRSDGHWDTKPYSDFDMFEEEMQHMMGKARMMMEQKEQSCQQMSMHQQVLFDELQQRDNFIQQLQKAKMEEQRKSQLEVYRLERELYMMGNLLEGYRKALKETHKAFAEYRVKCQLPEEPIYKDTGSGGLVLSTTELEKQRLKQEEEERLNCVLLEKMVKEFEVECIPKFEGYEDTIKLLDDKLLLVEKKFNLLKEIFGERKALDMSECVATEDCIPAKESVPTDESVPAEEYVPAEESVPAEEGAPAEECDPMEECAPAEECDPMEECVPMEECVPIEG >Potri.011G059400.2.v4.1 pep chromosome:Pop_tri_v4:11:4981079:4982263:-1 gene:Potri.011G059400.v4.1 transcript:Potri.011G059400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059400.v4.1 MLSIDFHKEYLDLVLVPCGLLIMFAYHLFLLYRYHKHPGTTIFDLENEDKKLWVQRVLQGAESDINRAVNVISSDTNIATFLATVSLTLSSLIGIWLGSSSNNNILESKRIYGDTRPFTIFIKNVCLLVSFLIAFSCFVQAAKNLVHANYLLSSPDKKRAAKKIEFAVKKGGELSFFGLRALYFALNMLLWFFGPIPMFVASIVMVIVLYYHDIHTVLLHDLYCELHCQKARAQGASGSFSY >Potri.001G285600.3.v4.1 pep chromosome:Pop_tri_v4:1:29827157:29834456:1 gene:Potri.001G285600.v4.1 transcript:Potri.001G285600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285600.v4.1 MGSKKRSSNSAEEVEDSDNTNTKTENANLEDMNKENASSNLSRKKMKKDKNKENETLDGDASKAGLYNNNSSSLKPMERRKKRKALDKERQHATSEDKDGKTKKMDVDSKVTENKEQMGASSSGVLPEFHIGVFTELISADVSVREAAVERLVMELQKVQKAYENAENKVVVEDGLKLEAKKDDGLNDCAPSVRYAVRRLIRGASSSRECARQGFALGLAVLIGTIPSIKVDSVMNLIVDMLEVSSSMKGQDIRDCLLGRLFAYGALARSGRLVEVWISDHNTLFIKEFTNVLISLASKKRYLQEPAVAIILELVEKLPTEAVLNHVLEAPRLCEWFEGDADAGNPDALLLALRIQEKVSVDSEMFGKILPHPFSPSRLFASDHLSSIINCLKESTFCQPRIHGVWPVLVNILLPDVVMQAEDVVSASNSLKKHKKSRKSSSSEEEVVKIVQCFREVVIEGSLLLSSHDRKHLAFHILLLLLPRLPASFIPHVLSHKIVQCLMDILSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITRTKTVRALVTEFKTESGCMLFIQNLMNMFVDEGCSSEEPSDPSQTDDNSEMGSVEDKDSNGAMENSDFLKSWVVESLPSILKHLKLEPEAKFRVQREILKFLAVQGLFSASLGSEVTSFELKEKFKWPKAATSSAICRMCIEQIQSLLANAQKIEGLHSLASGLEHSDLGSYFMRFLSTLGNIPSVSLFRSLSDEDEKAFEKLQEMETRLSREEKNFVIGAEANKLHAMRYLLIQLLLQVLLRPGEFSEAASELIICCKKAFAASDLLDSSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPMRSAIEQVFKHFCNDVTNDGLLRMLRVIKKDLKPARHREEGSEDDEDFLGIEEEEEEEEEEEEEVDEAETGETGEDEEQTDDCEVVVEVEEAGKELPDDSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPAEPGVLMVYSNLAQAFVNPQTAEIGEQLGQRIWGILQKKIIKAKDFPKGDAVLLPNLESLLERNLKLASKPLKRKKSAGILSKKKQSAMWKRHKMIVSLAQDSTFWILKIIDARNFSESELKGVFDIFKGELARYFESKTSQIKSEFLKEIFRRRPWIGHHLLEFLLEICGSAKSEFRRVGALDLLMEILKSMVPSGNDESNRDASKKILKNHLQKLSHLIKELVTKMPEKQSRRAEVRKFCGKVFRYVSTYDLTKCFLKYLGPEAEAACESQLGELYLNFKEVES >Potri.001G285600.2.v4.1 pep chromosome:Pop_tri_v4:1:29827146:29834991:1 gene:Potri.001G285600.v4.1 transcript:Potri.001G285600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285600.v4.1 MGSKKRSSNSAEEVEDSDNTNTKTENANLEDMNKENASSNLSRKKMKKDKNKENETLDGDASKAGLYNNNSSSLKPMERRKKRKALDKERQHATSEDKDGKTKKMDVDSKVTENKEQMGASSSGVLPEFHIGVFTELISADVSVREAAVERLVMELQKVQKAYENAENKVVVEDGLKLEAKKDDGLNDCAPSVRYAVRRLIRGASSSRECARQGFALGLAVLIGTIPSIKVDSVMNLIVDMLEVSSSMKGQDIRDCLLGRLFAYGALARSGRLVEVWISDHNTLFIKEFTNVLISLASKKRYLQEPAVAIILELVEKLPTEAVLNHVLEAPRLCEWFEGDADAGNPDALLLALRIQEKVSVDSEMFGKILPHPFSPSRLFASDHLSSIINCLKESTFCQPRIHGVWPVLVNILLPDVVMQAEDVVSASNSLKKHKKSRKSSSSEEEVVKIVQCFREVVIEGSLLLSSHDRKHLAFHILLLLLPRLPASFIPHVLSHKIVQCLMDILSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITRTKTVRALVTEFKTESGCMLFIQNLMNMFVDEGCSSEEPSDPSQTDDNSEMGSVEDKDSNGAMENSDFLKSWVVESLPSILKHLKLEPEAKFRVQREILKFLAVQGLFSASLGSEVTSFELKEKFKWPKAATSSAICRMCIEQIQSLLANAQKIEGLHSLASGLEHSDLGSYFMRFLSTLGNIPSVSLFRSLSDEDEKAFEKLQEMETRLSREEKNFVIGAEANKLHAMRYLLIQLLLQVLLRPGEFSEAASELIICCKKAFAASDLLDSSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPMRSAIEQVFKHFCNDVTNDGLLRMLRVIKKDLKPARHREEGSEDDEDFLGIEEEEEEEEEEEEEVDEAETGETGEDEEQTDDCEVVVEVEEAGKELPDDSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPAEPGVLMVYSNLAQAFVNPQTAEIGEQLGQRIWGILQKKIIKAKDFPKGDAVLLPNLESLLERNLKLASKPLKRKKSAGILSKKKQSAMWKRHKMIVSLAQDSTFWILKIIDARNFSESELKGVFDIFKGELARYFESKTSQIKSEFLKEIFRRRPWIGHHLLEFLLEICGSAKSEFRRVGALDLLMEILKSMVPSGNDESNRDASKKILKNHLQKLSHLIKELVTKMPEKQSRRAEVRKFCGKVFRYVSTYDLTKCFLKYLGPEAEAACESQLGELYLNFKEVES >Potri.001G285600.4.v4.1 pep chromosome:Pop_tri_v4:1:29827153:29834290:1 gene:Potri.001G285600.v4.1 transcript:Potri.001G285600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285600.v4.1 MGSKKRSSNSAEEVEDSDNTNTKTENANLEDMNKENASSNLSRKKMKKDKNKENETLDGDASKAGLYNNNSSSLKPMERRKKRKALDKERQHATSEDKDGKTKKMDVDSKVTENKEQMGASSSGVLPEFHIGVFTELISADVSVREAAVERLVMELQKVQKAYENAENKVVVEDGLKLEAKKDDGLNDCAPSVRYAVRRLIRGASSSRECARQGFALGLAVLIGTIPSIKVDSVMNLIVDMLEVSSSMKGQDIRDCLLGRLFAYGALARSGRLVEVWISDHNTLFIKEFTNVLISLASKKRYLQEPAVAIILELVEKLPTEAVLNHVLEAPRLCEWFEGDADAGNPDALLLALRIQEKVSVDSEMFGKILPHPFSPSRLFASDHLSSIINCLKESTFCQPRIHGVWPVLVNILLPDVVMQAEDVVSASNSLKKHKKSRKSSSSEEEVVKIVQCFREVVIEGSLLLSSHDRKHLAFHILLLLLPRLPASFIPHVLSHKIVQCLMDILSTKDSWLYKVAQHFLKELSDWVGNDDVRRVAVIVALQRHSNARFDGITRTKTVRALVTEFKTESGCMLFIQNLMNMFVDEGCSSEEPSDPSQTDDNSEMGSVEDKDSNGAMENSDFLKSWVVESLPSILKHLKLEPEAKFRVQREILKFLAVQGLFSASLGSEVTSFELKEKFKWPKAATSSAICRMCIEQIQSLLANAQKIEGLHSLASGLEHSDLGSYFMRFLSTLGNIPSVSLFRSLSDEDEKAFEKLQEMETRLSREEKNFVIGAEANKLHAMRYLLIQLLLQVLLRPGEFSEAASELIICCKKAFAASDLLDSSGEEELDNDADPKLMDVLVDTFLSLLPQSSAPMRSAIEQVFKHFCNDVTNDGLLRMLRVIKKDLKPARHREEGSEDDEDFLGIEEEEEEEEEEEEEVDEAETGETGEDEEQTDDCEVVVEVEEAGKELPDDSDDSDGGMDDDAMFRMDAYLAQIFKDRKNQAGGETAQSQLVLFKLRVLSLLEVYLHENPGK >Potri.015G072400.1.v4.1 pep chromosome:Pop_tri_v4:15:9782575:9786080:1 gene:Potri.015G072400.v4.1 transcript:Potri.015G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072400.v4.1 MATFAGTTQKCKACDKTVYLVDQLTVDNKFYHKACFRCHHCKGTLKLSNYSSFEGVLYCKPHFDQLFKMTGSLDKSFEGTPKTVRGDRSADQVLSNSKVSSMFAGTQEKCVACNKTVYPLEKVAVDGTSYHKACFRCAHGGCVISPSNYVAHEHRLYCRHHHNQLFKEKGNFSQFGKQEHLTPVDETATAE >Potri.006G200000.1.v4.1 pep chromosome:Pop_tri_v4:6:20752450:20753492:1 gene:Potri.006G200000.v4.1 transcript:Potri.006G200000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200000.v4.1 MAGYLGIGFMAAFAVSGSVVLIARQLHKRLLSDFMKQMEFELRGSRRSCQDKKRVRFADDVMEPSSNNKEYRRRRIKITKVNNQVIENG >Potri.001G321400.1.v4.1 pep chromosome:Pop_tri_v4:1:33092767:33094567:-1 gene:Potri.001G321400.v4.1 transcript:Potri.001G321400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321400.v4.1 MSRHSTGCKSRFARKEKHEGKKKSFPGDLLTEALARVASTSFSDLFTAKLSCKEFLEAASEKYIFEHITIEKFPVIPWRISHSASCFLDSCKENGNPEALFRQGMFEFFSSKKPESGFQHLEKAAKKGHVEAIHTCGIIIVCHGGQFKQEGIELLSSSKSCKSRHWTIKECRNKIKGILQSMWIDKSEGDIGPKNHGRTCNCSKFTKRGWIDEEECTILAFGTMRQLCFVTF >Potri.007G118800.1.v4.1 pep chromosome:Pop_tri_v4:7:13719234:13722912:-1 gene:Potri.007G118800.v4.1 transcript:Potri.007G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G118800.v4.1 MAVCCDLEVDVNGEETFMVDKKVIASYCGRLRKLFGKSTGSARNLKVIFNDFPGGAGNFELLSRFCYNNGRIDITPSNISFLHSAAQFMEMNNSVSGTHNLLEETEKSLKGMNYWAWSELLITIKQCQDLLPFPNSSGILEKCVDSLIGRMATSSEPSPCPSTSSPDSSGVRFSCDTRSTESLKNSFSRATWWFEDLLVLSTNLVGMVIKSMVLRKFDHAIISRFLFYYQKSKCYTGTSDEKRNVVETVIDILYILDWNSVSFKSLFGILRVALNLNISKCSRTKLESMIGSQMDQATLDNLLIPSPYGMNYLYNVKLVLRFLKAFLHGGISQTSPTQLRKVASLMDLYIAEVAPDPCLKPSKFLALTMSLPDSARDSYDRIYRATDMYLQVHTGLSEEEKMKICCALNYEKLSAEACIHLSQNKRFPSKSAVQALMSQQVKLKSLLKATDKMKCYIDSPSGVSEIGRKGKKNEASEQIVLYAGKLDPPTNNEKLRAHLQGMQWRVTELEKICLKMQTQMTKIIKSRVSSHSTPRSLPKLCS >Potri.005G147801.1.v4.1 pep chromosome:Pop_tri_v4:5:12357889:12359921:1 gene:Potri.005G147801.v4.1 transcript:Potri.005G147801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147801.v4.1 MELCSATPCVSNHPKIPYHFRPKTSLTLQKTLISPTSRRLYSRIRATFSEEPNQYVKEDRNGAVAVEESPVLTEIETEEATAAEVSDEFFFNLFDPEEAFSVLFYASGALVAFWLVVAVVGAIDSIPLFPKLMEVVGLGYTTWFATRYLLFKKNRDELAAEVAEFKQQVLGSDDD >Potri.009G039950.1.v4.1 pep chromosome:Pop_tri_v4:9:4789725:4790796:1 gene:Potri.009G039950.v4.1 transcript:Potri.009G039950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039950.v4.1 MAFIAFLALSLLLNGALGELVCEQLPVDLCSYSIATSGKRCLLENYATKDGGVKYQCKTSEVVVGIVLNEWIEIDECITACGLSRNTVGISSDSLFQPQFFTKLCSKSCSQACPNIVDLYSNLALAEGVYLPNLCASPRRAMYQTRSNGDAAPAPVSVGALSPESAISGAADVACAPTSI >Potri.006G023151.1.v4.1 pep chromosome:Pop_tri_v4:6:1566106:1568323:-1 gene:Potri.006G023151.v4.1 transcript:Potri.006G023151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023151.v4.1 MIFNILADKPHAVCIPSPAQSHIKSMLKLSKLLHYKGFHITYVNTEFNHKRLLKSRGPDAMNGLPDFRFESIPDGLPPSNENETQDVAALCEAAKKNLLAPFNDLLDKLNDSASSNVPPVTCIVSDGFMPVAIDAAEMRQIPIALFFTISASSFMGFKQFQALREKGLTPLKDESFLTNGYLDKVVDWIPGMRDIRLRDLPSFVRTTDPNDFIFKYCLECTGRASEGSAVIFHTFDVLEQEVLNALYSMFPRVHAIGPLQLLLNQIQEVYLSSIGCNLWKEEVECLQWLDSNKPKSVIYVNFGSITTVTKEQLVEFGMGLAKSGHPFLWIIRPDMVTGDSAILPPEFTEETKERSFICSWCPQEEVLNHPSIGGFLTHSGWGSTIESISSGVPMLCWPFFADQQTNCRYTCSEWGIGMEIDNNVKRDKVEKLVRELMEGEKGKSMKKKAMEWKKLAEEASGPSGSSSMNLDKLVKEVLLS >Potri.006G023151.2.v4.1 pep chromosome:Pop_tri_v4:6:1566106:1568323:-1 gene:Potri.006G023151.v4.1 transcript:Potri.006G023151.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023151.v4.1 MIFNILADKPHAVCIPSPAQSHIKSMLKLSKLLHYKDGLPPSNENETQDVAALCEAAKKNLLAPFNDLLDKLNDSASSNVPPVTCIVSDGFMPVAIDAAEMRQIPIALFFTISASSFMGFKQFQALREKGLTPLKDESFLTNGYLDKVVDWIPGMRDIRLRDLPSFVRTTDPNDFIFKYCLECTGRASEGSAVIFHTFDVLEQEVLNALYSMFPRVHAIGPLQLLLNQIQEVYLSSIGCNLWKEEVECLQWLDSNKPKSVIYVNFGSITTVTKEQLVEFGMGLAKSGHPFLWIIRPDMVTGDSAILPPEFTEETKERSFICSWCPQEEVLNHPSIGGFLTHSGWGSTIESISSGVPMLCWPFFADQQTNCRYTCSEWGIGMEIDNNVKRDKVEKLVRELMEGEKGKSMKKKAMEWKKLAEEASGPSGSSSMNLDKLVKEVLLS >Potri.002G070401.1.v4.1 pep chromosome:Pop_tri_v4:2:4931972:4932205:-1 gene:Potri.002G070401.v4.1 transcript:Potri.002G070401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070401.v4.1 MGRSHLVLVLWLLLLAPFVSHTCGSRQTKIFKMIEPSSQNSSPGTFSGFFPKGMPIPPSGPSKKHNDIGLRSSEPLP >Potri.010G091000.1.v4.1 pep chromosome:Pop_tri_v4:10:11595099:11595826:1 gene:Potri.010G091000.v4.1 transcript:Potri.010G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091000.v4.1 MAAPKESVQCFGRKKTAVAVTHCKRGRGLIKINGCPIELVEPEILRFKAYEPILLLGRQRFAGVDMRIRVKGGGHTSQIYAIRQSIAKALVAFYQKYVDEQSKKEIKDILVRYDRTLLVADPRRCETKKFGGRGARARFQKSYR >Potri.019G014322.2.v4.1 pep chromosome:Pop_tri_v4:19:1966388:1969386:1 gene:Potri.019G014322.v4.1 transcript:Potri.019G014322.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014322.v4.1 MTGMRAQEDRVSEGALESRLRTEPVDRSLEQSNAVLGNMAGGAGRIQVGVQVMEQGPGEERIQSHLQAENGMENTCEGSFQHDAFETVPRTEQVQLLEPRGDSSQFCRDIGRCYDQPCAPSVNNDANRHDAQDMVRVRTQPVQEEEDVENSGRSIVQAGAGARSSESLKYNKTRGVPLPTSSTNPVGQEFEENSKVIWSLLMDGEVLSMGIYGMGGVGKSTILQHIYNELLQKPDICDHVWWVTVSQDFSINRLQNLIAKHLDLDLSREDDDLYRAAKLSEELMKKQKWILILDDLWNNFELHKVGIPEKLEGCKLIITTRSEMICHQMACQHKIKVKPLSNGEAWTLFMEKLGRDVALSPEVEGIAKVVVRECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLKESEFRDMDEKVFKLLRFSYDQLGDLALQQCLLYCALFPEDDDIEREELIGYLIDEGIIKGKRRREDAFDEGHTMLNKLEYVCLLERAQMMFGCRCVKMHDLIRDMAIKILLENSQGMVKAGAQLKELPDTEEWTEKLTRVSLMQNEIKEIPSSHSPRCPFLSTLLLCRNRWLGFISDSFFKQLHGLKVLDLFYTSIENLPDSVSDLVSLTALLLNDCKKLRHVPSLEKLTALKRLNLSRTALEKMPQGMECLTNLTYLRMNGCGEKEFPRGILPKLSHLQVLVLEDFFDGSYAPITVEGKEVGSLRNLESLECHFEGFSDFVEYVRSWDGILSLSTYRILVGMVGGFIGQHIEYFPSKTVGLGNLSINGDRDFQVKFLNGIQGLICGCIDARSLCDVFSLENATELKLISIWKCHNMEKLFPLVLLPNLVNLERIEVMFCEKMEEIIGTTDEESNTSNSIKEVILPKLRFLKLIGLPELKSICSAKLICNSIKHIIVRWCEKLKRIPICLPLLENGQPSPPPSLENIYSSPEEWWETVVEWEHPNAKDVLRPFVCY >Potri.019G014322.3.v4.1 pep chromosome:Pop_tri_v4:19:1966586:1969405:1 gene:Potri.019G014322.v4.1 transcript:Potri.019G014322.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014322.v4.1 MENTCEGSFQHDAFETVPRTEQVQLLEPRGDSSQFCRDIGRCYDQPCAPSVNNDANRHDAQDMVRVRTQPVQEEEDVENSGRSIVQAGAGARSSESLKYNKTRGVPLPTSSTNPVGQEFEENSKVIWSLLMDGEVLSMGIYGMGGVGKSTILQHIYNELLQKPDICDHVWWVTVSQDFSINRLQNLIAKHLDLDLSREDDDLYRAAKLSEELMKKQKWILILDDLWNNFELHKVGIPEKLEGCKLIITTRSEMICHQMACQHKIKVKPLSNGEAWTLFMEKLGRDVALSPEVEGIAKVVVRECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLKESEFRDMDEKVFKLLRFSYDQLGDLALQQCLLYCALFPEDDDIEREELIGYLIDEGIIKGKRRREDAFDEGHTMLNKLEYVCLLERAQMMFGCRCVKMHDLIRDMAIKILLENSQGMVKAGAQLKELPDTEEWTEKLTRVSLMQNEIKEIPSSHSPRCPFLSTLLLCRNRWLGFISDSFFKQLHGLKVLDLFYTSIENLPDSVSDLVSLTALLLNDCKKLRHVPSLEKLTALKRLNLSRTALEKMPQGMECLTNLTYLRMNGCGEKEFPRGILPKLSHLQVLVLEDFFDGSYAPITVEGKEVGSLRNLESLECHFEGFSDFVEYVRSWDGILSLSTYRILVGMVGGFIGQHIEYFPSKTVGLGNLSINGDRDFQVKFLNGIQGLICGCIDARSLCDVFSLENATELKLISIWKCHNMEKLFPLVLLPNLVNLERIEVMFCEKMEEIIGTTDEESNTSNSIKEVILPKLRFLKLIGLPELKSICSAKLICNSIKHIIVRWCEKLKRIPICLPLLENGQPSPPPSLENIYSSPEEWWETVVEWEHPNAKDVLRPFVCY >Potri.019G014322.1.v4.1 pep chromosome:Pop_tri_v4:19:1963484:1969373:1 gene:Potri.019G014322.v4.1 transcript:Potri.019G014322.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014322.v4.1 MEGVPPSCNEDDVNLTSNQFDFQSPEDSFNISEFLSTPPEFPGNQSFMLCNDHVGQNMVVDNNVINDSIQQTTDRFPPSFPQTMTTMGDLDGTSSSWINQNEPNWPQAPPRCQPNPNLFDPQCANPLRDQQWTHYQLPLSNQVPAMLPVPQPPMNQRHQNSFIHQPRPGHGYMTPNATTSQHGGFNQSGPSSPAPWSQMDNLQVRGLQNQIARPNASNTQQVETVGSKYPFRIHVEYRADGSMKCKFCQRTWANKTSISRFKWHLSGEEGRGVAICRGVTKEVKEAAFLAICGGHKRQKITASSVNVNDCGIPTCLQELNIENENTGGVGRVQREVQVVEPGVVEERISSHAIAGNDVVSMTGMRAQEDRVSEGALESRLRTEPVDRSLEQSNAVLGNMAGGAGRIQVGVQVMEQGPGEERIQSHLQAENGMENTCEGSFQHDAFETVPRTEQVQLLEPRGDSSQFCRDIGRCYDQPCAPSVNNDANRHDAQDMVRVRTQPVQEEEDVENSGRSIVQAGAGARSSESLKYNKTRGVPLPTSSTNPVGQEFEENSKVIWSLLMDGEVLSMGIYGMGGVGKSTILQHIYNELLQKPDICDHVWWVTVSQDFSINRLQNLIAKHLDLDLSREDDDLYRAAKLSEELMKKQKWILILDDLWNNFELHKVGIPEKLEGCKLIITTRSEMICHQMACQHKIKVKPLSNGEAWTLFMEKLGRDVALSPEVEGIAKVVVRECAGLPLGIITVAGSLRGVDDLHEWRNTLNKLKESEFRDMDEKVFKLLRFSYDQLGDLALQQCLLYCALFPEDDDIEREELIGYLIDEGIIKGKRRREDAFDEGHTMLNKLEYVCLLERAQMMFGCRCVKMHDLIRDMAIKILLENSQGMVKAGAQLKELPDTEEWTEKLTRVSLMQNEIKEIPSSHSPRCPFLSTLLLCRNRWLGFISDSFFKQLHGLKVLDLFYTSIENLPDSVSDLVSLTALLLNDCKKLRHVPSLEKLTALKRLNLSRTALEKMPQGMECLTNLTYLRMNGCGEKEFPRGILPKLSHLQVLVLEDFFDGSYAPITVEGKEVGSLRNLESLECHFEGFSDFVEYVRSWDGILSLSTYRILVGMVGGFIGQHIEYFPSKTVGLGNLSINGDRDFQVKFLNGIQGLICGCIDARSLCDVFSLENATELKLISIWKCHNMEKLFPLVLLPNLVNLERIEVMFCEKMEEIIGTTDEESNTSNSIKEVILPKLRFLKLIGLPELKSICSAKLICNSIKHIIVRWCEKLKRIPICLPLLENGQPSPPPSLENIYSSPEEWWETVVEWEHPNAKDVLRPFVCY >Potri.006G120800.3.v4.1 pep chromosome:Pop_tri_v4:6:9530872:9559826:-1 gene:Potri.006G120800.v4.1 transcript:Potri.006G120800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120800.v4.1 MASSSSGNSIAASEAVQVLVSSLADESPTVRQSSMASLKDIASLNPLLVLDCCYTVSRGGRRRFGNMAGVFQVMALGVSALNEGDVDPSFMAKLAKIATTEMISSKELNADWQRAAAGLLVSIGSHLPDLMIEEIFLHLSGPSSALPAMVQILADFASANAIQFIPRLKGVLSRVLPILGNVRDVHRPIFANAFRCWCQAAWQYNMDFPSLSPLDADVMSFLNSAFELLLRVWATSKDLKGPQLPCQLLRKARTSQLKNVRTSSVEALGQMFGLVTRTQLKTALPRLVPTILELYKKDQDIALLATCSLYNLLNASLLSETGPPLLDFEDLTVILSTLLPVVCFNNDIKENSDFSVGLKTYNEVQRCFLTVGLVYPDDLFTFLLNKCRLKEESLTFGALCVLKHLLPRSSEAWHSKRPLLVEAVKSLLDEQNFGVRKALSELIVVMASHCYLVGPSAELFIEYLVCHCALSDHNRNDPENSKVRIGAFCPTKLRAVCEKGLLLLTITIPEMEHILWPFLLKMIIPRSYTAATATVCRCISELCRNRSSNSNSMVSECKARADVPSPEELFARLLVLLHDPLSMEQLATQILTVLCYLAPLFPKNINLFWQDEIPKMKAYVSDTDDLKLDPSYQETWDDMIINFLAESLDVIQDTNWVISLGNAFTHQYELYTSDDEHSALLHRCLGMLLQKVDDRAYVRNKIDWMYKQASIANPANRLGLAKAMGLVAASHLDTVLEKLKVILDNVGQSIFQRLLSLFSDIYRTEESDDIHAALALMYGYAARYAPSTVIEARIDALLGTNMLSRLLHVRHPTAKQAVITAIDLLGRAVINAAESGASFPLKKRDQMLDYILTLMGRDDDGFVDSSLELLRTQALALSACTTLVSVEPKLTIETRNYIMKATLGFFALPNEPVDVVNPLIENLITLLCAILLTSGEDGRSRAEQLLHIMRHTDQYVSSSEEHQRKRGCLAVYEMLLKFRMLCITGHCALGCHGSCTHRKQTDRTLHSTISNLPSAFVLPSREALCLGERVIKYLPRCADTNSEVRKVSAQILDQLFSLALSLPKPSGFSLNVDIELPYSALSSLEDVIAILRSDASIDPSEVFNRIVSSICLLLTKDELVATLQGCSAAICDKIKPSAEGAIQAIIEFVMKRGKELSETDVSRTTQSLLSAVVHVTEKHLRLETLGAIASLAESTSSNIVFDEVLATAGKDVVTKDISRLRGGWPMQDAFYAFSQHAVLSFQFLEHLISFLNQTPVVKSDLEKGDNSSHLADGQIEDDILQAAMIALTAFFRGGGKVGKKAVEQSYASVVVALTLQFGSCHGLASSGQHEPLRALLTAFQAFCECVGDLEMGKILARDGEQNEKERWINLIGELAGSISIKRPKEVRTICVILTESLNRRQKFQREAAAAALSVFVPYSGGFDSLLEQMVEALCRHVSDESPTVRRLCLRGLVQIPSLHIYQHTIQILGIIVALLDDLDESVQLTAVSCLLMILESSPDDAVEPILLNLSVRLRNLQISMDVKMRADAFAAFGALSKYGVGAQREIFLEQIHAAIPRLVLHLHDDDLSVRQACRNTLKRLAHLMEMEESTALFNSHYFTSDHRSDYQDFVRDLTKQFIQHLPSRVDTYMASTIQAFDAPWPIIQANAIYLVSCLVSLSDDQRILALYQTQVFGTLMGKMSRSPDAIVRAACSSALGLLLKSTNSLVWRTARLDLK >Potri.006G120800.5.v4.1 pep chromosome:Pop_tri_v4:6:9530469:9559826:-1 gene:Potri.006G120800.v4.1 transcript:Potri.006G120800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120800.v4.1 MKAYVSDTDDLKLDPSYQETWDDMIINFLAESLDVIQDTNWVISLGNAFTHQYELYTSDDEHSALLHRCLGMLLQKVDDRAYVRNKIDWMYKQASIANPANRLGLAKAMGLVAASHLDTVLEKLKVILDNVGQSIFQRLLSLFSDIYRTEESDDIHAALALMYGYAARYAPSTVIEARIDALLGTNMLSRLLHVRHPTAKQAVITAIDLLGRAVINAAESGASFPLKKRDQMLDYILTLMGRDDDGFVDSSLELLRTQALALSACTTLVSVEPKLTIETRNYIMKATLGFFALPNEPVDVVNPLIENLITLLCAILLTSGEDGRSRAEQLLHIMRHTDQYVSSSEEHQRKRGCLAVYEMLLKFRMLCITGHCALGCHGSCTHRKQTDRTLHSTISNLPSAFVLPSREALCLGERVIKYLPRCADTNSEVRKVSAQILDQLFSLALSLPKPSGFSLNVDIELPYSALSSLEDVIAILRSDASIDPSEVFNRIVSSICLLLTKDELVATLQGCSAAICDKIKPSAEGAIQAIIEFVMKRGKELSETDVSRTTQSLLSAVVHVTEKHLRLETLGAIASLAESTSSNIVFDEVLATAGKDVVTKDISRLRGGWPMQDAFYAFSQHAVLSFQFLEHLISFLNQTPVVKSDLEKGDNSSHLADGQIEDDILQAAMIALTAFFRGGGKVGKKAVEQSYASVVVALTLQFGSCHGLASSGQHEPLRALLTAFQAFCECVGDLEMGKILARDGEQNEKERWINLIGELAGSISIKRPKEVRTICVILTESLNRRQKFQREAAAAALSVFVPYSGGFDSLLEQMVEALCRHVSDESPTVRRLCLRGLVQIPSLHIYQHTIQILGIIVALLDDLDESVQLTAVSCLLMILESSPDDAVEPILLNLSVRLRNLQISMDVKMRADAFAAFGALSKYGVGAQREIFLEQIHAAIPRLVLHLHDDDLSVRQACRNTLKRLAHLMEMEESTALFNSHYFTSDHRSDYQDFVRDLTKQFIQHLPSRVDTYMASTIQAFDAPWPIIQANAIYLVSCLVSLSDDQRILALYQTQVFGTLMGKMSRSPDAIVRAACSSALGLLLKSTNSLVWRTARLDLK >Potri.006G120800.1.v4.1 pep chromosome:Pop_tri_v4:6:9530455:9559831:-1 gene:Potri.006G120800.v4.1 transcript:Potri.006G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120800.v4.1 MASSSSGNSIAASEAVQVLVSSLADESPTVRQSSMASLKDIASLNPLLVLDCCYTVSRGGRRRFGNMAGVFQVMALGVSALNEGDVDPSFMAKLAKIATTEMISSKELNADWQRAAAGLLVSIGSHLPDLMIEEIFLHLSGPSSALPAMVQILADFASANAIQFIPRLKGVLSRVLPILGNVRDVHRPIFANAFRCWCQAAWQYNMDFPSLSPLDADVMSFLNSAFELLLRVWATSKDLKVRTSSVEALGQMFGLVTRTQLKTALPRLVPTILELYKKDQDIALLATCSLYNLLNASLLSETGPPLLDFEDLTVILSTLLPVVCFNNDIKENSDFSVGLKTYNEVQRCFLTVGLVYPDDLFTFLLNKCRLKEESLTFGALCVLKHLLPRSSEAWHSKRPLLVEAVKSLLDEQNFGVRKALSELIVVMASHCYLVGPSAELFIEYLVCHCALSDHNRNDPENSKVRIGAFCPTKLRAVCEKGLLLLTITIPEMEHILWPFLLKMIIPRSYTAATATVCRCISELCRNRSSNSNSMVSECKARADVPSPEELFARLLVLLHDPLSMEQLATQILTVLCYLAPLFPKNINLFWQDEIPKMKAYVSDTDDLKLDPSYQETWDDMIINFLAESLDVIQDTNWVISLGNAFTHQYELYTSDDEHSALLHRCLGMLLQKVDDRAYVRNKIDWMYKQASIANPANRLGLAKAMGLVAASHLDTVLEKLKVILDNVGQSIFQRLLSLFSDIYRTEESDDIHAALALMYGYAARYAPSTVIEARIDALLGTNMLSRLLHVRHPTAKQAVITAIDLLGRAVINAAESGASFPLKKRDQMLDYILTLMGRDDDGFVDSSLELLRTQALALSACTTLVSVEPKLTIETRNYIMKATLGFFALPNEPVDVVNPLIENLITLLCAILLTSGEDGRSRAEQLLHIMRHTDQYVSSSEEHQRKRGCLAVYEMLLKFRMLCITGHCALGCHGSCTHRKQTDRTLHSTISNLPSAFVLPSREALCLGERVIKYLPRCADTNSEVRKVSAQILDQLFSLALSLPKPSGFSLNVDIELPYSALSSLEDVIAILRSDASIDPSEVFNRIVSSICLLLTKDELVATLQGCSAAICDKIKPSAEGAIQAIIEFVMKRGKELSETDVSRTTQSLLSAVVHVTEKHLRLETLGAIASLAESTSSNIVFDEVLATAGKDVVTKDISRLRGGWPMQDAFYAFSQHAVLSFQFLEHLISFLNQTPVVKSDLEKGDNSSHLADGQIEDDILQAAMIALTAFFRGGGKVGKKAVEQSYASVVVALTLQFGSCHGLASSGQHEPLRALLTAFQAFCECVGDLEMGKILARDGEQNEKERWINLIGELAGSISIKRPKEVRTICVILTESLNRRQKFQREAAAAALSVFVPYSGGFDSLLEQMVEALCRHVSDESPTVRRLCLRGLVQIPSLHIYQHTIQILGIIVALLDDLDESVQLTAVSCLLMILESSPDDAVEPILLNLSVRLRNLQISMDVKMRADAFAAFGALSKYGVGAQREIFLEQIHAAIPRLVLHLHDDDLSVRQACRNTLKRLAHLMEMEESTALFNSHYFTSDHRSDYQDFVRDLTKQFIQHLPSRVDTYMASTIQAFDAPWPIIQANAIYLVSCLVSLSDDQRILALYQTQVFGTLMGKMSRSPDAIVRAACSSALGLLLKSTNSLVWRTARLDLK >Potri.003G045200.1.v4.1 pep chromosome:Pop_tri_v4:3:5167849:5175524:1 gene:Potri.003G045200.v4.1 transcript:Potri.003G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045200.v4.1 MSLFNWVSLGFFLYLLSPILSLNGSSDIPSRFLNYAKKEELFDWMVGVRRKIHENPELGFEEFETSKLVRAELDKIGVKYKHPLSVTGVVGFIGSGKPPFVALRADMDALAMQEMVEWEYKSKVPGKMHACGHDSHVAMLLGAAKILQDHREELKGTVVLIFQPAEEGGGGAKKMIDEGALENVNAIFGLHVANKLPIGEVASRHGPLLAGSGFFEAVISGKGGHAAIPQHSIDPILAASNVIVSLQHLVSREADPLDSQVVTVAKFQGGGAFNVIPDSVTIGGTFRAFLKESFMQLKQRIEEVVTGQAAVQRCKAVINFLENEKPFFPPTINDKYLHDYFRIVASDMLGIDKVKDMQPLMGSEDFAFYQEMIPGYFFFIGMQNETHKQLQSPHSPYFEINEDVLPYGAALHASLAARYLLEFQPEVTLPEENDHDEL >Potri.001G218450.1.v4.1 pep chromosome:Pop_tri_v4:1:22829673:22831285:1 gene:Potri.001G218450.v4.1 transcript:Potri.001G218450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218450.v4.1 MEMPRVAAALALALSLIFVNMASQCSGQLQFGFYNGKCKDRNGFQRNVEDIVKQKVKARFSTDTTIVAALLRMQFHDCFVNGCDASILLDVPNGEKTAPPNLSVRGYDFIEEIKTEIENTCPGVVSCADIIVMATRDAVVESDMKSRTGWYPVQTGRRDGRVSSAQNVKLPSPSIPIPQAIAAFNSKRLSTIDMVYLLGGGHSVGVAHCGLFQNRLYDFKNTGHPDPTMNTTLLKTLQTLCPQNSGSTNSANLDQDPLKSSSVDKSYYEQIRLGNGILEVDQQLALDSNTRFSVARIAESNDFSFQFGRAMIKLGAVDVKIGKDGEIRKRCAAVNSPNGNSGGNIFNIFG >Potri.010G223400.1.v4.1 pep chromosome:Pop_tri_v4:10:20778747:20785974:-1 gene:Potri.010G223400.v4.1 transcript:Potri.010G223400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223400.v4.1 MEGWLYVIRSNRFGLQFSRKRYFILQENYLKCYKTRPISQEEEPLRSANIDSYIRITDNGRESINRKVFFIFTLYNTLKDNDQLKLGASSSEDAGKWIRSLQNAVVKECPNPEKEFMSFSKKNWPPSRFGSSKRAGSKRSVGYYPFLQNEAVTSDVIAPSTWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMAVGVVDGTPEAIFRTLMSLGASRSEWDFCFYRGSVVEHLDGHTDILHKKLYSNWLPWGMRRRDLLLRRYWRREEDGTYVILYHSVIHKKCPPQKGYVRACLKSGGYVITPVNQGRESLVKHMLAIDWKFWKVYLRPPSARSITIRMLERIAALRELFRAKSGNHPSDFSSSDSSVKTMLPQDGMEDIESEDKGQQKFEQNADLKENEAEKTNSGRRSLMSLNDASDEFFDFPDSNEDIDFDLLENGWYPEKSQEQPTSHICQHRLSSAAVFVKKLHDLAVQKKGYIDFQELPKDENVATSYGNTLQKDSTYSLPCSWATAEPSTFLIRGENYLKDNQKIKAKGSLMQMVGADWLRSDHREDDLGSRPESIIQKYAAQGRPEFFFVINIQVPGATQYTIALYYMLKTPLEETPLLHSFVHGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEIHYFRGKNYLELDIDVGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNNEEELPEILLGTCRLNNLDVSKSVPV >Potri.010G223400.2.v4.1 pep chromosome:Pop_tri_v4:10:20778747:20785974:-1 gene:Potri.010G223400.v4.1 transcript:Potri.010G223400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223400.v4.1 MEGWLYVIRSNRFGLQFSRKRYFILQENYLKCYKTRPISQEEEPLRSANIDSYIRITDNGRESINRKVFFIFTLYNTLKDNDQLKLGASSSEDAGKWIRSLQNAVECPNPEKEFMSFSKKNWPPSRFGSSKRAGSKRSVGYYPFLQNEAVTSDVIAPSTWKIFGCQNGLRLFKEAKDWDSRGRHWDDHPAIMAVGVVDGTPEAIFRTLMSLGASRSEWDFCFYRGSVVEHLDGHTDILHKKLYSNWLPWGMRRRDLLLRRYWRREEDGTYVILYHSVIHKKCPPQKGYVRACLKSGGYVITPVNQGRESLVKHMLAIDWKFWKVYLRPPSARSITIRMLERIAALRELFRAKSGNHPSDFSSSDSSVKTMLPQDGMEDIESEDKGQQKFEQNADLKENEAEKTNSGRRSLMSLNDASDEFFDFPDSNEDIDFDLLENGWYPEKSQEQPTSHICQHRLSSAAVFVKKLHDLAVQKKGYIDFQELPKDENVATSYGNTLQKDSTYSLPCSWATAEPSTFLIRGENYLKDNQKIKAKGSLMQMVGADWLRSDHREDDLGSRPESIIQKYAAQGRPEFFFVINIQVPGATQYTIALYYMLKTPLEETPLLHSFVHGDDAFRNSRFKLIPYISKGSWIVKQSVGKKACLVGQALEIHYFRGKNYLELDIDVGSSTVARGVVSLVLGYLNNLVIEMAFLVQGNNEEELPEILLGTCRLNNLDVSKSVPV >Potri.007G067850.2.v4.1 pep chromosome:Pop_tri_v4:7:8818555:8820139:1 gene:Potri.007G067850.v4.1 transcript:Potri.007G067850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067850.v4.1 MSIWEGGDQLFYTNGRDRNHHHRSSQGTSPSTIVATTPHMDRDPILYPWIHGASWLLDRCCYKPRKVNVWAWRFSPLSRSKKMQVQVKCSSGAENCQEQACNKSIQLQEIFCITRRARIQHSCKIKSLNI >Potri.007G067850.3.v4.1 pep chromosome:Pop_tri_v4:7:8818555:8819465:1 gene:Potri.007G067850.v4.1 transcript:Potri.007G067850.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067850.v4.1 MSIWEGGDQLFYTNGRDRNHHHRSSQGTSPSTIVATTPHMDRDPILYPWIHGASWLLDRCCYKPRKVNVWAWRFSPLSRSKKMQVQVKCSSGAENCQEQVAVELQGTTKLHPSFFQTHL >Potri.008G126700.2.v4.1 pep chromosome:Pop_tri_v4:8:8255553:8258900:1 gene:Potri.008G126700.v4.1 transcript:Potri.008G126700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126700.v4.1 MTVLRRLAPNHLTTHLRHHRMRRLATVAAAVWQDTTVWTQAPLSGIEPAAESLFHVRIDVLDSPDLAASHTRAGQYLQLRVPDVEKPSFLAIASPPSDAASKGAFDFLVKSVAGSTAELLCGLKRGDVVELSQAMGRGFDIEQIEPAEKYPTVLIFATGSGISPIRSLIESGFNADKRSDVRLYYGARNVKRMAYQDRFKDWESSGVKIVPVLSQPDDNWTGESNYVQAAFSRAKQIYSPTGTGVVLCGQKQMTEHLCLTLETIDDLN >Potri.008G126700.1.v4.1 pep chromosome:Pop_tri_v4:8:8255387:8258958:1 gene:Potri.008G126700.v4.1 transcript:Potri.008G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126700.v4.1 MTVLRRLAPNHLTTHLRHHRMRRLATVAAAVWQDTTVWTQAPLSGIEPAAESLFHVRIDVLDSPDLAASHTRAGQYLQLRVPDVEKPSFLAIASPPSDAASKGAFDFLVKSVAGSTAELLCGLKRGDVVELSQAMGRGFDIEQIEPAEKYPTVLIFATGSGISPIRSLIESGFNADKRSDVRLYYGARNVKRMAYQDRFKDWESSGVKIVPVLSQPDDNWTGESNYVQAAFSRAKQIYSPTGTGVVLCGQKQMTEEITSILVSDGVSSEKILKNF >Potri.005G055900.2.v4.1 pep chromosome:Pop_tri_v4:5:3506561:3511361:-1 gene:Potri.005G055900.v4.1 transcript:Potri.005G055900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055900.v4.1 MGRELQRADMEKKPNGLAAKFHGVSHDKVHISPKLSKAVIEAKEYVEKETAEKSEKQDVLGVKSTNFDADPSDGKDEKPGAQKLSDDKNSSSPSQKIGVNGNKHAHRAHQTVPQQFALATDKHVGGNSSTNSNKTQSPVSMKNSQQNSPSTARKPLHPDNKKHHDEEDSWSVTSSTSASVRTVKSVTVGTAPTFRSSERAAKRKEYYSKLEEKHRALEKERSQAEARTKEEQEAAIKQLRKSMLYKANPVPSFYHEPPPPQVELKKLPLTRPQSPKLNRRKSCSDAVRTSQEEVGKHCARHRHSIGSHKDSTGANTAKAKVQISSQTANGIRKVKDRSKQDHVATKADPEKIAGPTNADISVQS >Potri.019G012300.3.v4.1 pep chromosome:Pop_tri_v4:19:1705671:1712213:1 gene:Potri.019G012300.v4.1 transcript:Potri.019G012300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012300.v4.1 MLLLQQTTLVPKLLLFSKSDFGFKPCSVSLSTRLSLMDKHTKSSSLKQFQSPVLACQASTDTHDTSFRKDDLPVHGVSEVIVGVLGGGQLGRMLCQAASEMAIKVMVLDPLTNCPASAIAYDHMVGSFDDSATVQEFAKRCGVLTVEIEHVDAATMEKLEQQGVDCQPKASTIRIIQDKYLQKVHFSRHGIPLPDFMQIDDLEGAKRAGDLFGYPLMLKSKRLAYDGRGNAVAKSEDELSSAVNALGGFDRGLYVEKWTSFVKELAVIVARGRDNSISCYPVVETIHKENICHVVKAPADIPWKIRKLATDVAHKAVSSLEGAGVFAVELFLTKDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVLGLPLGDPAMKTPAAIMYNILGEDEGEPGFHLAHQLIGRALNIQGASVHWYDKPEMRKQRKMGHITIAGPSMGIVEAQLKSMLKEEGSESQTAVKPRVGIIMGSDSDLPIMKDAARILTVFGVPHEVRIVSAHRTPEMMFSYALSAQDQGIQIIIAGAGGAAHLPGMVASLTPLPVIGVPVRASALDGMDSLLSIVQMPRGVPVATVAINNATNAGLLAVRMLGVGDTDLLARMSQYQEDTRDDVLKKAEKLQTDGWETYLNP >Potri.001G152000.1.v4.1 pep chromosome:Pop_tri_v4:1:12730247:12734531:-1 gene:Potri.001G152000.v4.1 transcript:Potri.001G152000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152000.v4.1 MSTGELLGIEPLELKFPFELKKQISCSLQLSNKTDNYVAFKVKTTNPKKYCVRPNAGIVLPRSTCDVIVTMQAQKEAPPDMQCKDKFLLQSVKANDGVTVKDINAEMFSKEAGHVVEECKLRVLYVSPPQPPSPVLEGSEEGSPPWGSVSDNGNVNGTDLSTGTRAFVEHLEPRDKPLEAGALISKLTDERNNAIQQNNKLRQELELLRCQGNKNRSGASLMFVIIIGLLGLLLGYLMKKT >Potri.001G381700.1.v4.1 pep chromosome:Pop_tri_v4:1:40168538:40171565:-1 gene:Potri.001G381700.v4.1 transcript:Potri.001G381700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G381700.v4.1 MASKPSSLGSSLLVPCVQELAKDLLVAVPPRYIRYDQEHPIIASHDPVSEVPVIDMQRLLDQETMDSELGRLHFACKTWGFFQLVNHCVSSSLLDKMKTQLQDFFNLPMEEKKRFWQYPGEIEGFGQAFVVSEEQKLDWGDLFFMVTQPANLRKPHLFPKLPLPFRDTLESYSLEVKNLASAILEQMGKALNIKAEEMRDFTEGIRQSMRMNYYPQCPQPEQVIGLTPHSDATGLTILLQVNEVEGLQLRKDGKWVPIKPLPNAFVVNVGDILEIVTNGAYRSIEHRATVNSKKERLSVASFHSPRFDGKVCPAPSLVTEQTPALFKEVPVKEYFKGLFSRELVGKSYLDTLRIQDGQA >Potri.019G089100.2.v4.1 pep chromosome:Pop_tri_v4:19:12784096:12786677:-1 gene:Potri.019G089100.v4.1 transcript:Potri.019G089100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089100.v4.1 MACLATFCSYALHSPKPPQPKSLRNTYSHNGLSLERRKPKLSGSTVLESRMPLKPVSASLHFGTFYSKKKKISPDGVQEEELLFETHFDASAPLPPLTIPTKPSESVQYPQEFLSRVMAMAAAVLKITDLLKNSIGSGGGGGGGGEKNVDGDGADADSPPPRAPSLGFLIRFASSEKISLSGYVKDTQFELHGNVSAFLFDASKFLKLVFFNPVVVQAIIATITKIRGGDGGGHGRRSSVGAAERSDCVIDFPLTVMHPREKLLTSLDLETVVFKKEKIILDGHDKALEAADNGAPTSHDLLGTSYRTQFKEPSKSVLK >Potri.008G161500.4.v4.1 pep chromosome:Pop_tri_v4:8:11109007:11115033:1 gene:Potri.008G161500.v4.1 transcript:Potri.008G161500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161500.v4.1 MMLGQVVKVQKERIAACMTCPLCNKLFREATTISECLHTFCRKCIYMKITDEELDSCPVCDIKLGCSPLEKLRADHSLQDLRARIFPSKRKKAREPATVSSVPEDVPSVPEAVMAVPEAVPVQESEHSVPLIGRRKERSLSSLVVSTPKISVKSVLTGKRSKSVARKRESPIPVEEQIKKMDDYYESLSSPETLRKIAQTKRQEIIVQIKKLDAQEEEAQALKTSIKEHGDKSKVIGAESNSTSSPSGSVKPRRLQAMRQKREGFNIPAQAAVDANSKCDRRFSPIWLSLVASDEQEGVAPLPQISSCYLRVKDGSLPVSHIKKYLVQKLGLVSEAEPVASTLQLHNLVDWWSQTASASERIQTTVGSSAKDFVMVLSYGRKVSLQKHLATAAEFHLLQQ >Potri.008G161500.7.v4.1 pep chromosome:Pop_tri_v4:8:11109007:11115033:1 gene:Potri.008G161500.v4.1 transcript:Potri.008G161500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161500.v4.1 MMLGQVVKVQKERIAACMTCPLCNKLFREATTISECLHTFCRKCIYMKITDEELDSCPVCDIKLGCSPLEKLRADHSLQDLRARIFPSKRKKAREPATVSSVPEDVPSVPEAVMAVPEAVPVQESEHSVPLIGRRKERSLSSLVVSTPKISVKSVLTGKRSKSVARKRESPIPVEEQIKKMDDYYESLSSPETLRKIAQTKRQEIIVQIKKLDAQEEEAQALKTSIKEHGDKSKVIGAESNSTSSPSGSVKPRRLQAMRQKREGFNIPAQAAVDANRKELRPCHRYLLAT >Potri.008G161500.5.v4.1 pep chromosome:Pop_tri_v4:8:11109007:11115033:1 gene:Potri.008G161500.v4.1 transcript:Potri.008G161500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161500.v4.1 MAVPEAVPVQESEHSVPLIGRRKERSLSSLVVSTPKISVKSVLTGKRSKSVARKRESPIPVEEQIKKMDDYYESLSSPETLRKIAQTKRQEIIVQIKKLDAQEEEAQALKTSIKEHGDKSKVIGAESNSTSSPSGSVKPRRLQAMRQKREGFNIPAQAAVDANSKCDRRFSPIWLSLVASDEQEGVAPLPQISSCYLRVKDGSLPVSHIKKYLVQKLGLVSEAEVDILLLGQPVASTLQLHNLVDWWSQTASASERIQTTVGSSAKDFVMVLSYGRKVSLQKHLATAAEFHLLQQ >Potri.008G161500.6.v4.1 pep chromosome:Pop_tri_v4:8:11109007:11115033:1 gene:Potri.008G161500.v4.1 transcript:Potri.008G161500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161500.v4.1 MMLGQVVKVQKERIAACMTCPLCNKLFREATTISECLHTFCRKCIYMKITDEELDSCPVCDIKLGCSPLEKLRADHSLQDLRARIFPSKRKKAREPATVSSVPEDVPSVPEAVMAVPEAVPVQESEHSVPLIGRRKERSLSSLVVSTPKISVKSVLTGKRSKSVARKRESPIPVEEQIKKMDDYYESLSSPETLRKIAQTKRQEIIVQIKKLDAQEEEAQALKTSIKEHGDKSKVIGAESNSTSSPSGSVKPRRLQAMRQKREGFNIPAQAAVDANRKELRPCHRYLLAT >Potri.008G161500.2.v4.1 pep chromosome:Pop_tri_v4:8:11109007:11115033:1 gene:Potri.008G161500.v4.1 transcript:Potri.008G161500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161500.v4.1 MMLGQVVKVQKERIAACMTCPLCNKLFREATTISECLHTFCRKCIYMKITDEELDSCPVCDIKLGCSPLEKLRADHSLQDLRARIFPSKRKKAREPATVSSVPEDVPSVPEAVMAVPEAVPVQESEHSVPLIGRRKERSLSSLVVSTPKISVKSVLTGKRSKSVARKRESPIPVEEQIKKMDDYYESLSSPETLRKIAQTKRQEIIVQIKKLDAQEEEAQALKTSIKEHGDKSKVIGAESNSTSSPSGSVKPRRLQAMRQKREGFNIPAQAAVDANSKCDRRFSPIWLSLVASDEQEGVAPLPQISSCYLRVKDGSLPVSHIKKYLVQKLGLVSEAEVDILLLGQPVASTLQLHNLVDWWSQTASASERIQTTVGSSAKDFVMVLSYGRKVSLQKHLATAAEFHLLQQ >Potri.001G418500.1.v4.1 pep chromosome:Pop_tri_v4:1:44663468:44665499:1 gene:Potri.001G418500.v4.1 transcript:Potri.001G418500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.001G418500.19.v4.1 pep chromosome:Pop_tri_v4:1:44663465:44665438:1 gene:Potri.001G418500.v4.1 transcript:Potri.001G418500.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.001G418500.20.v4.1 pep chromosome:Pop_tri_v4:1:44663470:44665434:1 gene:Potri.001G418500.v4.1 transcript:Potri.001G418500.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.001G418500.16.v4.1 pep chromosome:Pop_tri_v4:1:44663465:44665440:1 gene:Potri.001G418500.v4.1 transcript:Potri.001G418500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.001G418500.14.v4.1 pep chromosome:Pop_tri_v4:1:44663465:44665462:1 gene:Potri.001G418500.v4.1 transcript:Potri.001G418500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.001G418500.18.v4.1 pep chromosome:Pop_tri_v4:1:44663465:44665439:1 gene:Potri.001G418500.v4.1 transcript:Potri.001G418500.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.001G418500.15.v4.1 pep chromosome:Pop_tri_v4:1:44663470:44665462:1 gene:Potri.001G418500.v4.1 transcript:Potri.001G418500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.001G418500.17.v4.1 pep chromosome:Pop_tri_v4:1:44663465:44665440:1 gene:Potri.001G418500.v4.1 transcript:Potri.001G418500.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.008G185000.1.v4.1 pep chromosome:Pop_tri_v4:8:12840944:12845768:-1 gene:Potri.008G185000.v4.1 transcript:Potri.008G185000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185000.v4.1 MYQPLGVHRLIYRTRIASYVKAGLIDYAVKVFDEMTLSECRVFGIDYNRFIGVLIRHSRFDLAHHYYSKMAPLGFSLSSFTYSRFISGLCQTKEFTFIDNLLKDMEKLHCVPDIWAFNIYLNLLFRENREESALEVFGRMVERGREPDVVTFTIIIDGLCKMKKFDAAVQFWLNMLDKGIRPDNKACVALAVGLCDGGQVDLAYELIIGVISGGLSEVSTLVYNALISGFCRAGRIDKALAMVSFMSRTGCKPDLVTYNVLLNYCCNEFMFEEAVKLLKKMECSAIEPDVYSYNQLLKAHCKANHPDKAYLFMVTKMVPKGFCDVVSYNTIIKAFCSISNNRRAYKLFEEMGRKGIAPDVVTFTILIKAFLREGNSDMAKKLLDLMAGMGLLPDRIFYTTIIDHHCKSGKVEMAHSIFCDMVEKGITPDVVSYNALINGFCKSLRVGEVMHLYEQMLQRGSFPDEVTYKLIIGALVRENKLSDACRVWDQMMERGLTLDRGISEMLINAIQS >Potri.014G122400.1.v4.1 pep chromosome:Pop_tri_v4:14:8246991:8253569:-1 gene:Potri.014G122400.v4.1 transcript:Potri.014G122400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122400.v4.1 MLETDSPSKTFLGVRFVLVGFDPVNKSKVKSKLVGGGGIDAVQYSENCTHVIVDKIVYDDPVCVGARNDGKTVVTGLWVDHSFDIGMPVDATSIMYRPLRDLNGIPGAKNLIMCLTGYQRQDRDDIMTMVGLMGAQFSKPLVANKVTHLICYKFEGEKYLLANKMKKIKLVNHRWLEESLRNWELLPEDNYSKSGYELEMLEAEAKDSEDEAQGTSVKQPSYENADKSPQNLKAGTFKACEMPKTGEVQKISHNLSEPEGLSSVVNAKDILVTPGKRSRDDHASGFDNICVSEVPGHLDVGGFKGATSNDLPGTQGRTPLSTRTSKDLEFISRSVERPSHSDAKYSTTSYTRRTPQISPSSIFSGNSGNIRGSPKVLLGESINMSSAKAEYAKDTTSPSCAEIPRKGIELLYEEAPGSKKQKTDVSCSSSKSQKMNHDAQAYVTGSPSATYTSQGLEPTPLVDGPSRINNRSPLSNDGHSVHDMIGMNAQQNPHTNFSTAKSSKFRRNPFTEDHAFLENMILKTGENENTNKNTPQPSFRDLTKDILVSGHDSGGFVVERSEQVIAEAGEPQNWQQDGGGPFTHNKGLETDKSDMLSNLNVPQAGNDNSITKPARKKMIAKKTLGSRPKLTSNVSQKGSIYLNVTDAQNDPTVGMAKGKGRVENRSSTDATELEISPATVNAAEAQVMETERATKLGDKLGDNAVDKIGFADDETEAPEEEDECEILHNDEQIDVIDLSNKADNKTGMKLEADNSAANMSDGPAEGNNAIEIQERDGSALKEGFVKGKGSRGKKQPSGKTKTKTVTSVVKKAESKKVLDEEENLNGKNIEENAAEKESTEPCPAGQAKSKIVSRKKSKNPVEAEKENKPAVDGDQYASLDDKHVGETAANASKTPTKFNQKVSKSNPGSTPGREVTKQLKTEPLWFILSGNRMQRKEHQQVIRRLKGKFCRDSHQWSYQATHFIAPDPIRRTEKFFAAAASGRWILRSDYLNACSQAGRFLAEESYEWHKNSLSEDGTINLEAPRKWRLLRERTGHGAFYGMHIIIYGECITPPLDTLKRVVKAGDGTILATSPPYTRFLTSGVDYAIVSPGITRVDMWVQEFLRHKIPCIVADYLVEYVCKPGYSLERHVLYNTNDWAEKSFSNLLSKAEEIVEDCDSGDDIACEVCGSRERGEVMLICSDESGSVGCGVGMHIDCCDPPLESIPEEDWFCPKCSGSSKRTSPRKKRMKKALH >Potri.018G083000.4.v4.1 pep chromosome:Pop_tri_v4:18:10058329:10067558:-1 gene:Potri.018G083000.v4.1 transcript:Potri.018G083000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083000.v4.1 MGESEGSEFPPKKQQQQSENAYFQTKKLARQLDFTQGVLPDHTQSQPSPLQKPPLLVSPAAAQSQPQPHPQSQLQLQPQVAEIQVVPQQQTQQQQQPARAVKPESPKSIPIPNTELKDGTPKKQRQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREETGDGLVFVKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHGNNMAYIQQAANAAITGAIGSSGYASPPVSRKRKGQELFFGHTVKDQSFDRLGHFQQGSHTRPPAPSSSLPSNPIARAGNAITLGPSKITYRSLLADIIQPQDLKELCSVLVVLSGEAAKTFSDQRNSMEKRVEDQRETLLASSTQERLQSHKESDADKLLLMIVLVQTMLIK >Potri.018G083000.5.v4.1 pep chromosome:Pop_tri_v4:18:10058321:10067557:-1 gene:Potri.018G083000.v4.1 transcript:Potri.018G083000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083000.v4.1 MGESEGSEFPPKKQQQQSENAYFQTKKLARQLDFTQGVLPDHTQSQPSPLQKPPLLVSPAAAQSQPQPHPQSQLQLQPQVAEIQVVPQQQTQQQQQPARAVKPESPKSIPIPNTELKDGTPKKQRQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREETGDGLVFVKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHGNNMAYIQQAANAAITGAIGSSGYASPPVSRKRKGQELFFGHTVKDQSFDRLGHFQQGSHTRPPAPSSSLPSNPIARAGNAITLGPSKITYRSLLADIIQPQDLKELCSVLVVLSGEAAKTFSDQRNSMEKRVEDQRETLLASSTQERLQSHKESDADKLLLMIVLVQTMLIK >Potri.018G083000.3.v4.1 pep chromosome:Pop_tri_v4:18:10058840:10067584:-1 gene:Potri.018G083000.v4.1 transcript:Potri.018G083000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083000.v4.1 MGESEGSEFPPKKQQQQSENAYFQTKKLARQLDFTQGVLPDHTQSQPSPLQKPPLLVSPAAAQSQPQPHPQSQLQLQPQVAEIQVVPQQQTQQQQQPARAVKPESPKSIPIPNTELKDGTPKKQRQCNCKHSRCLKLYCECFASGTYCDGCNCVNCYNNVENEAARREAVEATLERNPNAFRPKIASSPHGTRDSREETGDGLVFVKHNKGCHCKKSGCLKKYCECFQANILCSENCKCMDCKNFEGSEERQALFHGDHGNNMAYIQQAANAAITGAIGSSGYASPPVSRKRKGQELFFGHTVKDQSFDRLGHFQQGSHTRPPAPSSSLPSNPIARAGNAITLGPSKITYRSLLADIIQPQDLKELCSVLVVLSGEAAKTFSDQRNSMEKRVEDQRETLLASSTQERLQSHKESDADKLLLMIVLVQTMLIK >Potri.013G104900.2.v4.1 pep chromosome:Pop_tri_v4:13:11386057:11396744:-1 gene:Potri.013G104900.v4.1 transcript:Potri.013G104900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104900.v4.1 MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVSLIVFSSRGRLYEYANNNIRSTIDRYKKVSSDSSNTASITEINAQYYQQESAKMRQQIQLLQNSNRHLMGEAVSNLSVKELKQLENRLERGMTRIRSKKHELLLAEIEYMQKREIELENESAYLRTKIAEVERLQQANMVTGEELNAIQALAASRNFFAPHFLEGGTAYPHTYNKKILHLG >Potri.T126906.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:262152:267089:-1 gene:Potri.T126906.v4.1 transcript:Potri.T126906.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126906.v4.1 MAIVSSIVANRSLDSAAREMYKLSALSALSTASFKRSTIERSSSSSSDPIKVSRRVNRSRIASMTFAAHITKSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEGKNKPILKEQTDLAVVGKNTAANSQLTEENGAFPNELANKTHRQKKILKGREKLEEKGELKSYQDFYGKWTSSGIPACQLKDHLAPQIKQSSPPSILSQQKQLQGSEQLQYQQISNPFSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQMQAMLAIQKQQQQLVHQKCSQENQIQHVEGAGPEVEDLSTLASFDPNSPIEQDDSNTVSLAVNDYSMEDTVLYMLQELLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPETPESPFSIKLPLSETPKGKPNFSQKGSQLSSLLTNAQPVSQCKSNPCLDASEDASNNGPADEGAREVKASQ >Potri.016G019000.1.v4.1 pep chromosome:Pop_tri_v4:16:1022316:1023105:-1 gene:Potri.016G019000.v4.1 transcript:Potri.016G019000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019000.v4.1 MVKFLKPNKAVIILQGRYAGRKAVIVKHFDDGTRDRPYGHCLVAGIKKYPSKVIKKDSTKKTAKKSRVKCFIKLVNYQHLMPTRYTLDVDLKDAVTADSLTTKDKKVTACKDTKARFEERFKTGKNRWFFTKLRF >Potri.004G158900.3.v4.1 pep chromosome:Pop_tri_v4:4:17939700:17945566:-1 gene:Potri.004G158900.v4.1 transcript:Potri.004G158900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158900.v4.1 MASLQHSQAIKGAKVLMVGAGGIGCELLKTLALSDFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPHINITPYHANAKDSNFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCVVWAKDLLFAKLFGDKNQDNDLNVRSNDAARSPEHAGDAFEWSGNEDLEQYGRGIYDHVFGYNIERALSNEETWKNRNKPRPIYCRDVLPDRMTQQNGNVDKTDDLSSASAMASLGLKNPQDIWCLMENTKVFLEALKLFFTNRRKEIGNLSFDKDDQLAVEFVTAAANIRAASFNIPLHSLFEAKGIAGNIVHAVATTNAIVAGLIVIEAIKVLKKDTESYRMTYCLEHPSKKMLLMPVEPFEPNKSCCVCSKTPLSLEINTHRSKLRDFVEKIVKAKLGMNSPLIMHATALLYEVGDDLEENEIANYTANLEKVLSELPPPVIDGTVLTVEDLQQEFTCNIHIKHREEFDEEKEPDGMVLSGWTQAPPEKKDGKTSIGNGASTSKSLPTKLGITEIDNEVKEISDGTVSPGMKRKLSEFSDGSTVDQSSDVDETRNNKKTQKHDDDDDLDLVMLDHWDSNMSEKQRSP >Potri.004G158900.1.v4.1 pep chromosome:Pop_tri_v4:4:17939545:17945734:-1 gene:Potri.004G158900.v4.1 transcript:Potri.004G158900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158900.v4.1 MASLQHSQAIKGAKVLMVGAGGIGCELLKTLALSDFQDIHIIDMDTIEVSNLNRQFLFRQSHVGQSKAKVARDAVLRFRPHINITPYHANAKDSNFNVDFFKQFNVVLNGLDNLDARRHVNRLCLAAEVPLVESGTTGFLGQVTVHVKGKTECYECQPKPAPKTYPVCTITSTPSKFVHCVVWAKDLLFAKLFGDKNQDNDLNVRSNDAARSPEHAGDAFEWSGNEDLEQYGRGIYDHVFGYNIERALSNEETWKNRNKPRPIYCRDVLPDRMTQQNGNVDKTDDLSSASAMASLGLKNPQDIWCLMENTKVFLEALKLFFTNRRKEIGNLSFDKDDQLAVEFVTAAANIRAASFNIPLHSLFEAKGIAGNIVHAVATTNAIVAGLIVIEAIKVLKKDTESYRMTYCLEHPSKKMLLMPVEPFEPNKSCCVCSKTPLSLEINTHRSKLRDFVEKIVKAKLGMNSPLIMHATALLYEVGDDLEENEIANYTANLEKVLSELPPPVIDGTVLTVEDLQQEFTCNIHIKHREEFDEEKEPDGMVLSGWTQAPPEKKDGKTSIGNGASTSKSLPTKLGITEIDNEVKEISDGTVSPGMKRKLSEFSDGSTVDQSSDVDETRNNKKTQKHDDDDDLDLVMLDHWDSNMSEKQRSP >Potri.005G159300.2.v4.1 pep chromosome:Pop_tri_v4:5:15346248:15350882:1 gene:Potri.005G159300.v4.1 transcript:Potri.005G159300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G159300.v4.1 MITNKKPRSSDTDSNYMRDDRTEMSEDDDRSPPSNSITHDSSPTRTCTPGPGSGSSSVPEYLAPYPDQVLENVLENVLWFLTSRKDRNAASLVCRSWYRVEALTRSDLFIGNCYAVSPKRAMSRFTRIRSVTLKGKPRFADFNLMPPNWGAHFAPWVSAMAMTYPWLEKVHLKRMSVTDDDLALLAESFSGFKELVLVCCDGFGTSGLAIVVSRCRQLKVLDLIESEVSDDEVDWISCFPDTETCLESLIFDCVDCPIDFDALERLVARSPSLKKLRLNRYVSIGQLYRLMVRAPHLTHLGTGSFSPSEDVAQVEQGPDYASAFAACKSLVCLSGFRELIPDYLPAINPVCANLTSLNFSYAEVSAEQLKPIISNCHKLQIFWVLDSICDEGLQAVAATCKELRELRVFPVDPREDIEGPVSEVGLQAISEGCRKLQSILYFCHRMTNAAVVAMSKNCPDLVVFRLCIMGRHQPDHVTGEPMDEGFGAIVKNCKKLTRLAVSGLLTDRAFAYIGKYGKIVRTLSVAFAGDSDMGLKYVLEGCPRLQKLEIRDSPFGDAALLSGLHHYYNMRFLWMSACKLSRQGCQQIAQALPRLVVEVIKHEDNVDVDEYVDTLYMYRSLEGPRDDAPIFVSIL >Potri.004G223100.1.v4.1 pep chromosome:Pop_tri_v4:4:22773317:22783986:-1 gene:Potri.004G223100.v4.1 transcript:Potri.004G223100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223100.v4.1 MEEDYRASSYDYDELFVKSLSLFSISQNHLLLLLLLLFIVLLLFLAFLVFFYNFLEIHFLADLLTAFRGDPVSLTYNPSSQLYKSVASKCSILHGRFLPTPWLSSPHLQTAFLSLVAKSPHVTYKRHMFFATDGGTIALDWLMSSDVKGASCTNDATRENDKTPILIVIPGLTSDSGSAYVKHLVDRMAKHGWNVVVSNHRGLGGISITSDCFYNAGWTEDVRKVIDHIHCQYPEAPLYAVGTSIGANILVKYLGEDGVNCLLVGAAAVCSPWDLLICDRYINRRLVQKLYDKVLTVGLQGYAQLHESILSRLTDWDGVKKSRSVRDFDNYATRILGKFETVDAYYRRSSCVNFVGNVSVPLLCISAMDDPVCTREAIPWDECRANENIILAVTQHGGHLAYYEGISADSMWWVRAVDEFFDVLQSSPLSNRRKKVEGPSLSIPPESSIDQGPYVNVSEDGMVTAVGNSQEDFIAEDECNEDVDHIRKDEDAILHEERSDLLTEKTHSKVDLNQPLDQNLNDLDVPVRRRMDQLLRLNRNSIWLLAYIAIVTTWPLVGSALLPFLKKRFKSIIPSALRRR >Potri.001G171500.2.v4.1 pep chromosome:Pop_tri_v4:1:14742832:14744679:-1 gene:Potri.001G171500.v4.1 transcript:Potri.001G171500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171500.v4.1 MVAYSQCEYSGLSPASIEAIEAARGDRKPWTGELARVWRKRGKCPFTPNETAFVLQALSIPTNTNMYLAAGDGLVEIEGLKPTYTNVVTKSALLRGEDLLNMHGNTRAALDYYVLINSDSYVATFFRNMDKMVAAMRAYEGLHNTLFLGRRAYVEMTSKGLDGKELLQALWVAHKEDFSMGRGSALPDCFCETVISLYFLLYMI >Potri.016G045800.5.v4.1 pep chromosome:Pop_tri_v4:16:2930674:2936343:-1 gene:Potri.016G045800.v4.1 transcript:Potri.016G045800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045800.v4.1 MAAMEIDTEKNLQRKQSTYQSLDETFEIQNETYRGQQYSQIYFARLHTMRTLLYSLVTHWKPHVPVCTVLELEEGKECIIVGTLYKHMKLKPCILDEYSKERSALPLVKPHNFMHPDDHLVLEDESGRVKLGGTVLSPSVYVTGVVVALHGKETGAGDFMVLDVLEAGLAPQIEPQLKSREDKYVVFISGVNAGSSLSNPLQFQLLVDHITGHLGDEKEQGIAAEIVHVVIAGNSVEIPLGLLNGQNLASKDQSRLSEPVQELDILLTQIAAGVPVDIMPGSNDPANFCLPQQPLNRWLFPGSRAYNTFRSCTNPHCFELAGVRILGTSGQNIDDLKKYSEGKDELEFMERTLKWRHLAPTTPNTLGCYPFTDRDPFLIESCPHVYFVGNQDKYGTRILKGSEGQLVRLISIPKFCNTGMVVVLNLRNLECHTLSFGTQFSL >Potri.006G143800.3.v4.1 pep chromosome:Pop_tri_v4:6:12134695:12136780:1 gene:Potri.006G143800.v4.1 transcript:Potri.006G143800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143800.v4.1 MEGDTFSGLGNGTQIDGKILQTFQKNFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSTSFTKSMDASSEGDSSGALKSDGKGGHKRNRPA >Potri.006G143800.4.v4.1 pep chromosome:Pop_tri_v4:6:12136187:12136531:1 gene:Potri.006G143800.v4.1 transcript:Potri.006G143800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G143800.v4.1 MEGDTFSGLGNGTQIDGKILQTFQKNFVQVQNILDQNRLLINEINQNHESKIPDNLSRNVGLIRELNNNIRRVVDLYADLSTSFTKSMDASSEGDSSGALKSDGKGGHKRNRPA >Potri.011G138100.1.v4.1 pep chromosome:Pop_tri_v4:11:16962054:16964521:-1 gene:Potri.011G138100.v4.1 transcript:Potri.011G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138100.v4.1 MHDFCFTIPYGLVIGIGGVIGYLRKGSVASLGGGVGTGLVLIFAGYLSLKAFSKGKNSFLGLAIETVCAAVLTFVMGQRYMQTSKIMPAGIVAGISALMTVFYLYKIATGGNHIPAKAE >Potri.006G079600.2.v4.1 pep chromosome:Pop_tri_v4:6:5924154:5928926:-1 gene:Potri.006G079600.v4.1 transcript:Potri.006G079600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079600.v4.1 MKGNKSRFGLRSRLMGICFSTDNDKIPQERQRLSSKGNQGHDYDHSPTISNAPEVVEIKRTRSIPSIHKNVTDLRNSPGYGNVDIFTYEEMTLATKHFRPDYILGEGGFGAVYKGVLDKSVRPGYKTTYVAIKELNPDGLQGDREWLAEVNYLGQLSHQNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCTLTWSKRMRIALDAAKGLAFLHGAERSVIYRDFKTSNILLDSDFNAKLSDFGLAKDGPMGDHTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLLGRRAMDKSRPSQEHNLVEWARPLLNHNKKVLRILDLRMEGQYSSRIAMKVANLASQCLSQNPKGRPLMNQVVELLESIQSKDEAAVLETSGRDVTLYEVPRRSPYTPEKGRNQTRSHDHREGEPSPYTPDEEGNRTKSLEHREGETPHTPSEKERNKTRSHDHREGEPRRRSKPANVGSRSEPPTESDLISAHVGMLNPMTETHLH >Potri.005G027800.2.v4.1 pep chromosome:Pop_tri_v4:5:1769930:1773329:1 gene:Potri.005G027800.v4.1 transcript:Potri.005G027800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027800.v4.1 MDVDMMLNEKLKMIDDAITKKKTIMDCHPEVPLTPTAKMNAYDSVYTLCNQKSRDYSNLLYEKYTNCLTEIIQERALPVLMDKHGTELLTEVMRLWSEYKEFASFLSKIFAYLDRYYIHRKGLLSLADSMRYYFCNLVCDKLFSKLQEAMMRLIIQEREGGQIDRNLLKNVSYLLFEVGGIGTINCYEKIEQIMLAEVAAHYSQLSLEWWFWGDSFSNYLRKVDWCLNQEEARAEVYPSQTTKTKILDVMKYVLLERNAKKWAQKQNAEGMAAEDQELLSKYASLKLE >Potri.004G137402.1.v4.1 pep chromosome:Pop_tri_v4:4:15900731:15903596:-1 gene:Potri.004G137402.v4.1 transcript:Potri.004G137402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137402.v4.1 MATFHSFAALLEVSLSQLGVHCLSDMSVVTISHDVLHWPGSKLLSLSAFMCLIYVRRGSAEANLANFLVWQLF >Potri.012G124500.1.v4.1 pep chromosome:Pop_tri_v4:12:14153759:14155894:-1 gene:Potri.012G124500.v4.1 transcript:Potri.012G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124500.v4.1 MANFVLRLPATSFRGFSISASSNGAVPGTSTRAQNGGPVILELPLDKIRRPLMRTRANDPNKVKELMDSIKEIGLQVPIDVLEVDGVYYGFSGCHRYEAHQRLGLPTIRCKIRRGTKETLRHHLR >Potri.019G079551.1.v4.1 pep chromosome:Pop_tri_v4:19:12034368:12039120:-1 gene:Potri.019G079551.v4.1 transcript:Potri.019G079551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079551.v4.1 MSTSNHAPELRTSLLYDIVDGSVDHKGRPVYRSNSGGWRSAGFIIGVEVAERFAYYGISSNLITYLTGPLGQSTATAAENVNLWAGTATLLPILGAFVADSFLGRYTTIIVASLIYILGLGLLTLSALLSTHTATDLPCSPPPFQLILFFFALYLVAIGESGHKPCAQAFGADQFDGHDPQESKAKSSFFNWWYCCKSGGTMVTLLILNYIQDNLNWGLGFGIPCAAMLISLVIFLLGSKMYRYSVKVDKKSAFLRIGSVFVSSIRNWRTTPSAIALEEEARGTRPHPSSEQYMFLNKALLAPNGSKEDGKVCSISDVEEAKALLRLVPIWTSCLVFAVVFAQRSTLFTKQAVTMDRSISHGIDFPAASLQFFMNLSIVLFIPIYDRVFVPLARALTRKSSGITMLQRIGTGIFLSVMTMVIAALVEMKRLKTAQEHGLVDLPDVTIPMSVWWLIPQYVLLGIAESFTMVGLQEFFYDQVPSDLRSVGISLNLSIFGTGNFLSSFLVSVIEKTTGGNGRYSWFDNNLNRAHLDYFYWLLAGMSVVQMAFYVYSAKSYIYNRRGAV >Potri.016G084400.1.v4.1 pep chromosome:Pop_tri_v4:16:6560767:6562845:1 gene:Potri.016G084400.v4.1 transcript:Potri.016G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084400.v4.1 MAAAARPLVSVQTLPCLNDMVTDSAATVALPDVMKASIRPDIVNYVHSNISKNSRQPYAVSKKAGHQTSAESWGTGRAVSRIPRVPGGGTHRAGQGAYGNMCRGGRMFAPTKIWRRWHRKINVNQKRYAVVSAIAASAIPSLVMARGHRVESVPEMPLIISDSAESIEKTSTAIKVLKEIGAFPDAEKAKDSHAIRPGKGKMRNRRYISRKGPLIVYGTEGAKMVKAFRNIPGVEVANVERLNLLKLAPGGHLGRFVIWTKSAIEKLDSIYGSFEKCSEKKKGYVLPRSKMVNADLARIINSDEVQSVVKPIKREVKRATLKKNPLKNLNVLLKLNPYAKTARRMALLAEAERVKSKKEKLDRKRKPVSKEELAAAKAAGKAWYKTMISDSDYTEFENFTKWLGVSQ >Potri.001G093300.2.v4.1 pep chromosome:Pop_tri_v4:1:7384121:7386301:-1 gene:Potri.001G093300.v4.1 transcript:Potri.001G093300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093300.v4.1 MAKRCTKVCVTGGSGYIGSWLVMKLLGKGYTVHVTLRSLGDKSKVGLLKSLPNADTKLVLFQADIYNPNEFEEAIQGCEFVFHVATPMQHDPKSIQYKDRVEATIAGVRAIADICVKSRTVKRLIYTASVVATSPLNEDGSGYKSCTDESCWTPSDLSLTYANDYVLEYTSAKTLAEKEVLSYNEIGDAKLEVVTLACGLVGGETILSHLPLSVQVIFSQISGNIFGYYQGLKFMEELLGSVPLVHIDDVCEAHIFCMEKPSMKGRFLCSAADPTVKEIKTHLEENHPEFMIEEKFREEPETRGIKCDSSKLIKMGFEYKFDMRKIIDDSLECGKRLGALQLVNQIQNSTT >Potri.002G052900.2.v4.1 pep chromosome:Pop_tri_v4:2:3581698:3584698:1 gene:Potri.002G052900.v4.1 transcript:Potri.002G052900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052900.v4.1 MDSATQTLQHRISVKLWPPGQSTRLMLVERMTKNFITPSFISRKYGLLSKEEAEEDAKKIEEVAFAAANQHYEKQPDGDGSSAVQIYAKESSRLMLEVLKRGPRIQEDEEVAATADKGAATLANVFDISGGRRAFISGEEAEEVLKPLKVPGNLYTRICFSNRSFGLEAAHVTEPILLSIRDQLTEVDLSDFVAGRSESEALEVMNIFSSALEGCNLRYLNLSNNALGEKGVRAFEKLLKTQNNLEELYLINDGISEEAARAVCELIPSTEKLKVLHFHNNMTGDEGAVAIAEMVKRSTALEDFRCSSTRVGLEGGVALAEALGSCNHLRKLDLRDNMFGVEAGIALSRSLFAFADLTEAYLSYLNLEDEGAEALANALKECAPSLEVLDMAGNDITAKGASSLAACIAAKQFLTKLNLSENELKDEGAILIAKAIEVGHGQLNEVDLSINAIRRAGARLLAQAVVDKPGFKSLNINGNYISDEGIDEVKDIFKGLPNVLENLDENDPDGEDLDEDAKEEDEDTDNEDELESKLKGLEIKHEE >Potri.002G052900.5.v4.1 pep chromosome:Pop_tri_v4:2:3581687:3584250:1 gene:Potri.002G052900.v4.1 transcript:Potri.002G052900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052900.v4.1 MDSATQTLQHRISVKLWPPGQSTRLMLVERMTKNFITPSFISRKYGLLSKEEAEEDAKKIEEVAFAAANQHYEKQPDGDGSSAVQIYAKESSRLMLEVLKRGPRIQEDEEVAATADKGAATLANVFDISGGRRAFISGEEAEEVLKPLKVPGNLYTRICFSNRSFGLEAAHVTEPILLSIRDQLTEVDLSDFVAGRSESEALEVMNIFSSALEGCNLRYLNLSNNALGEKGVRAFEKLLKTQNNLEELYLINDGISEEAARAVCELIPSTEKLKVLHFHNNMTGDEGAVAIAEMVKRSTALEDFRCSSTRVGLEGGVALAEALGSCNHLRKLDLRDNMFGVEAGIALSRSLFAFADLTEAYLSYLNLEDEGAEALANALKECAPSLEVLDMAGNDITAKGASSLAACIAAKQFLTKLNLSENELKDEGAILIAKAIEVGHGQLNEVDLSINAIRRAGARLLAQAVVDKPGFKSLNINGNYISDEGIDEVKDIFKGLPNVLENLDENDPDGEDLDEDAKEEDEDTDNEDELESKLKGLEIKHEE >Potri.002G052900.4.v4.1 pep chromosome:Pop_tri_v4:2:3581698:3584714:1 gene:Potri.002G052900.v4.1 transcript:Potri.002G052900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052900.v4.1 MIVVMVCMYVSRLLFDMDSATQTLQHRISVKLWPPGQSTRLMLVERMTKNFITPSFISRKYGLLSKEEAEEDAKKIEEVAFAAANQHYEKQPDGDGSSAVQIYAKESSRLMLEVLKRGPRIQEDEEVAATADKGAATLANVFDISGGRRAFISGEEAEEVLKPLKVPGNLYTRICFSNRSFGLEAAHVTEPILLSIRDQLTEVDLSDFVAGRSESEALEVMNIFSSALEGCNLRYLNLSNNALGEKGVRAFEKLLKTQNNLEELYLINDGISEEAARAVCELIPSTEKLKVLHFHNNMTGDEGAVAIAEMVKRSTALEDFRCSSTRVGLEGGVALAEALGSCNHLRKLDLRDNMFGVEAGIALSRSLFAFADLTEAYLSYLNLEDEGAEALANALKECAPSLEVLDMAGNDITAKGASSLAACIAAKQFLTKLNLSENELKDEGAILIAKAIEVGHGQLNEVDLSINAIRRAGARLLAQAVVDKPGFKSLNINGNYISDEGIDEVKDIFKGLPNVLENLDENDPDGEDLDEDAKEEDEDTDNEDELESKLKGLEIKHEE >Potri.015G039500.1.v4.1 pep chromosome:Pop_tri_v4:15:3513416:3514192:1 gene:Potri.015G039500.v4.1 transcript:Potri.015G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039500.v4.1 MATPISNPSPETTAPASSTTINLDDTAELRKVFNQFDTNGDGKISASELGEVLKSMGSTYTMEELHRVMEDVDTDKDGYIDLAEFAKLCRSSSAAAAASELRDAFDLYDQNGDGMISAAELHQVLNRLGMKCKVDECFQMIKNVDSDGDGCVNFEEFQKMMAANINNGSAVVAP >Potri.014G103700.2.v4.1 pep chromosome:Pop_tri_v4:14:6951000:6952650:1 gene:Potri.014G103700.v4.1 transcript:Potri.014G103700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103700.v4.1 MEGLIISPSSSSSLVSLSHETPPTLQQRLQFIVQSQPDRWSYAIFWQASKDDSGQIFLAWGDGHFQGSKDTSPKLSTTNNSRMSTSNSERKRVMKGIHSLLDECHDLDMSLMDDTDSTDTEWFYVMSLTRSFSPGDGILGKAYTTGSLIWLTGGHELQFYNCERVKEAQMHGIETLICIPTSCGVLELGSSCVIRENWGIVQQAKSLFVSDLNSCLVPKGPNNPCQEPIQFLDRNISLADGGIIAGLQEDDHTIEHGEKRTQERAETKKDNVNKLGQSYVDSEHSDSDFHFVAVNIERRIPKKRGRKPGLGRGAPLNHVEAERQRREKLNHRFYALRAVVPNVSRMDKASLLSDAVSYINEMKAKVDKLESKLQRESKKVKLEVADTMDNQSTTTSVDQAACRPNSNSGGAGLALEVEVKFVGNDAMIRVQSDNVNYPGSRLMSALRDLEFQVHHASMSSVNELMLQDVVVRVPDGLRTEEALKSALLGRLEQ >Potri.019G131600.1.v4.1 pep chromosome:Pop_tri_v4:19:15393858:15397088:-1 gene:Potri.019G131600.v4.1 transcript:Potri.019G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131600.v4.1 MASPVRSRLSLAMERTGKWVFSKDIPTDVVVVVCEASFSLHKFMLLAKSNYIRKLIFESKEPELARIDLSGIPGGPEIFVKAAKFCYGVNFEITVQNVAALRCAAEYLQMTDTYCDNNLAGRTEDFFAQVALSSLSGAIIVLKSCEDLLPLAEDVKIVQRCVDAISLKACNEANFPSRTPPNWWTEELSILDIEFIGRILSGMRKRAAKALTLASALITYTERNLRDLVRDHSGRCTKSSISDDSGMYARERELLQSIVSLLPSEKATLPINFLCCLLRTAIFVKASNSCKNELEKRISVILEHVTVDDLLVMSFTYNGEKLYDLDSIRSIISGFMEKEKNMAVFSGGDFEETCSAAMHRVAKTVDSYLGEIATYPELTISKFNGIATLVRKGARKVDDDLYRAIDIYLKAHPNLDEMEREKVCSVMDPLKLSYEARLHASQNKRLPVQIVLHTLYYDQLKLRSGADDQPDAAATRSQLQSDVSLVSENEALRSELTKMKLYISDMQKDKGSSAKSISAAAATTSGPRKHTFFSSMSKTLGKLNPFKHGSKDTSHIDDNIAVDITKPRRRRFSVS >Potri.004G005700.1.v4.1 pep chromosome:Pop_tri_v4:4:345390:349246:1 gene:Potri.004G005700.v4.1 transcript:Potri.004G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G005700.v4.1 MISTTMEEKPKEAKTPMTGFASINEDLFQNILKRIPALSFASAACVSKSWSRNCNQILYKPKLASAFSLNPVQKVALEEVVNKVLSEPIRPQFAIANVIGSGVDLSGILDFLAAKLGSKTPIIVSCAGGIMGRDAVTDEYKEVMIEDFWVDGASNSSFGIMLAVGFLPGLKVDAIPLLRPRKAQGVAMVDKFVMDIRNYAALVSDSTSPSLIIMFGSEKTDQKPVMEKLDHAMSRETIVVGDERAQFLYRSGIESRNVYYGSVDQYFSDAVALVFARDQNRPSGTGEIHFHSALSSGVSAIGPRFKAVSANEIESETGLSTWLSVRREGGQEILGGQRIIDDINNELGNQTKLFIGVSEQRKCFVGPEKPRQMRSLAFHEVMGGDVEHLFVDGVGIKTGDYFHLYHPDPSAALSSCSNISKNFRNLKLDWSFRSCQLHAARGVGEKEVIGGFVFACWGRGESFFGHSNVDSSPFLDNFPGVPMAGIFTYGEIGRGFSILNTDFESGQEDKTLCFCVHVYSTVYLLVSYTPAPIEH >Potri.014G020300.1.v4.1 pep chromosome:Pop_tri_v4:14:1231251:1235854:-1 gene:Potri.014G020300.v4.1 transcript:Potri.014G020300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G020300.v4.1 MDRHHQQQRLRFLAGTGPFSSETPNSRSTDQSRASPETSTHNPFPLESLFSRLGVSNDTQDLYPRFSSKIFDGSVVNLNSFDGFALSGVYQAGFSTTQNNDINTFGATRIQDFLRNPIIAGSNSDLRTNSSVYCGAYQNPDFNDRRGLVQRESNYRSINNGLVSKNQNSLIRRPLRLQDYLSWEDLSGKVVALAKDPYGCKFLQKLIESATREQIDMLFYEVIGYVGGLIVDPFGNYVVQKLVEVLSEEQRTGILRMLTRTDFQLVRICLDVHGTRVVQKLLNCITNPQQVSIVVSALSQGAVALITDSNGHHVIQHFVKHFSTEDNKYILKQVAENCFGIATNKSGCCVLQRCVEYSEGEARDRLLAEIIANALLLAEDHYGNYVVQHILKLKSPEITENLLAQFKGSYMALSYNKYGSNVVESCLLTTREEQSTQIILELLRNPLVSMLLVDPFGNFVIQKALSISQGQVQRYLVALIQRNAQKMRSNIYGQKVLVWLNKNMRPLQL >Potri.012G076000.1.v4.1 pep chromosome:Pop_tri_v4:12:9944206:9945417:1 gene:Potri.012G076000.v4.1 transcript:Potri.012G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G076000.v4.1 MSDHHASYSDYDSQSRSDDHLQTNLPALYSQPSLPSLPSLTSNPDHYQEILSTTHHHCLTTLKGHTSYISSLNLVGKFLYSGSGKEIRLWKGNSLDSEIDHENLSNNVVAVGKGAVKSLVVLEDKLFSAHQDHKIRVWKINNQESDKQKYTRLATLPTLGDRAFKSLLPKNQVQIRRHKTCTWVHHVDTVSAIALSSDESLLYSVSWDRTIKIWRTNNFKCLESVANAHDDAINAVALSNDDSVYTGSADKNIKVWRKSSKESKHSLVATLEKHNSGINALALSTDGSVLYSGACDRSIVVWGKDGGGNMVVVGALRGHSQSILCLAVVSDLLFSGSADKTIRIWRGSDKSYSCLAVLEGHRGPVKCLTATIDHDNTTDASYLLYSGSLDCDIRVWQINVPLL >Potri.002G016600.1.v4.1 pep chromosome:Pop_tri_v4:2:1011701:1013001:1 gene:Potri.002G016600.v4.1 transcript:Potri.002G016600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016600.v4.1 MAMKLQKWRSLSSSLYMINRSCVVFNQRLLHEGPDTLEELLDRHLVKKEKSFDEEEEEILNQRRLTSTRREALHLYRDILRATRYYMWPDSRGVLWRDVLRENARKEFEEARFEKDPEIVTRLLIGGREAVESAIDKLVEKQREQIEKERRGGGGNR >Potri.013G129800.2.v4.1 pep chromosome:Pop_tri_v4:13:13644312:13645404:-1 gene:Potri.013G129800.v4.1 transcript:Potri.013G129800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G129800.v4.1 MGVMVENFGGTVIMESSSNISSTKTERKIIEKNRRNQMKTLYSKLNSLLPNQNFKEPQPLPDQIDEAISHIKSLEEKLKKAKEKKEGLTSSRKRSYTCTYDPMPIASPKPPQLKIQELGSALEIVLTSGPDNQFLFYEIIRILHEEGVEVVSANFQVLGDSIFQVLHAQMKESDNGFGAAKVTERLNMFINGSTSEIELDLELWDFEIHPGISGELLTGLE >Potri.005G108700.2.v4.1 pep chromosome:Pop_tri_v4:5:7879834:7883748:1 gene:Potri.005G108700.v4.1 transcript:Potri.005G108700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108700.v4.1 MATLFSYAHSFHVRHHKFPFLFLLFLFVLIERCSGFGTFGFDIHHRFSDPVKGMFGVDGLLPVKDSVPYFQVMAQRDRVIHGRRLATSTGGDNKNNKTLLTFYYGNETYRIDGLGFLHYANVSVGTPSVSFLVALDTGSNLLWLPCDCSSCVHSLRSPSGTVDLNIYSPNTSSTSEKVPCNSTLCSQTQRDRCPSDQSNCPYQVVYLSNGTSTTGYFVQDLLHLISDDSQSKAVDAKITFGCGKVQTGSFLTGGAPNGLFGLGMSNISVPSTLAHNGYTSGSFSMCFSPNGIGRISFGDKGSTGQGETSFNQGQPRSSLYNISITQTSIGGQASDLVYSAIFDSGTSFTYLNDPAYTLIAESFNKLVKETRRSSTQVPFDYCYDISANQTELTIPAVTLVMSGGDYFNITDPIVLVQLADGSAVYCLGMIKSGDVNIIGQNFMTGHRIVFDRERMILGWKPSNCYDNMDTNTLAVSPNTAVPPATAVNPEAKQIPASSPPGGSHSPRSKPFNFTLMMTLALFFAIV >Potri.014G157200.1.v4.1 pep chromosome:Pop_tri_v4:14:11107065:11109149:-1 gene:Potri.014G157200.v4.1 transcript:Potri.014G157200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157200.v4.1 MEDNTAASNLDLNDTNNAALIDGFCEITSSSKQEALFFLESHQWDLDSAISTFMDSDSDPPLVTAIPPLPSHPVNSPPPSPPQSHSPSQSLSRSRSPSRAPNRPRSRDKKPSANRTRGGVRTLADLNRIPDGGSGSDDDDDEPQQYYAGGEKSGMLVQDPTKRHNVDAIFDQARNSGATADYLQPSSSSRSFPGTGRLLSGDTTVPPAPQPPAAVNHTVTLWRNGFTVDDDGPLRRFDDPANASFLESIKQSECPKELEPADRRAQVHLNLMRREENYSEPEKPQVPFQGVGRALGSTSTPTDPAASEPTVAVASLKAAPHPTPDLVIDSSSPTTLIQLRLADGTRMVPRFNLNHNVRDIRAFIEASRPGGASNYQLQIMGFPPKLLTDLDQTIEEAGIASSVVIQKF >Potri.007G067100.6.v4.1 pep chromosome:Pop_tri_v4:7:8607390:8612033:-1 gene:Potri.007G067100.v4.1 transcript:Potri.007G067100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067100.v4.1 MVNLMTSDSSHSGMNDGGLYRYSQEKLEEGGCWYFSRKEIEENSPSRQDGIDLKKEAYLRKSYCTFLQDFGMRLKVPQVTIATAIIFCHRFFLHQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKEIILHGERVVLATVGFDLNLLHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNQVPPSANSEAEGSIVGGASHRATSKASSSNEEHVAPNNHSQTGGISTRLGNSNPMSRPVHEQPLADNHVGPPRTSQNHGSYHGSAEMRSASDHNMDGEPKDDLPYEIETLPSQGNTREGQTSRRALDGLGNEDLERNVARSEIKDSGESKDKHFGRIAEHREGTFAQSPQDAIKKIDRDKVKAALEKRKRSRGDKTRKTNFLDDDDLIERELEDGIELAAESEKKKHDRRQSWSKPLDREEYENSHHGKNIDARDEKHHGMRGQLSQKPDLNNIEDGELPAPDDMDQGFPSPKLINRKHKASSPRDRKFEGKHRNDNVPGSHHYNHHDYTDDRNRMNRLGYMERDHKRHVPENHA >Potri.007G067100.1.v4.1 pep chromosome:Pop_tri_v4:7:8607387:8612072:-1 gene:Potri.007G067100.v4.1 transcript:Potri.007G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067100.v4.1 MVNLMTSDSSHSGMNDGGLYRYSQEKLEEGGCWYFSRKEIEENSPSRQDGIDLKKEAYLRKSYCTFLQDFGMRLKVPQVTIATAIIFCHRFFLHQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIIHKKDPEAVQRIKQKEVYEQQKEIILHGERVVLATVGFDLNLLHPYKPLVDAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNQVPPSANSEAEGSIVGGASHRATSKASSSNEEHVAPNNHSQTGGISTRLGNSNPMSRPVHEQPLADNHVGPPRTSQNHGSYHGSAEMRSASDHNMDGEPKDDLPYEIETLPSQGNTREGQTSRRALDGLGNEDLERNVARSEIKDSGESKDKHFGRIAEHREGTFAQSPQDAIKKIDRDKVKAALEKRKRSRGDKTRKTNFLDDDDLIERELEDGIELAAESEKKKHDRRQSWSKPLDREEYENSHHGKNIDARDEKHHGMRGQLSQKPDLNNIEDGELPAPDDMDQGFPSPKLINRKHKASSPRDRKFEGKHRNDNVPGSHHYNHHDYTDDRNRMNRLGYMERDHKRHVPENHA >Potri.003G137500.1.v4.1 pep chromosome:Pop_tri_v4:3:15466264:15470052:-1 gene:Potri.003G137500.v4.1 transcript:Potri.003G137500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137500.v4.1 MASEDVKASESAVSTIVNLAEEAKLAREGVKAPGTALLNICKSLFAGGVAGGVSRTAVAPLERLKILLQVQNPHSIKYNGTIQGLKYIWRTEGLRGMFKGNGTNCARIVPNSAVKFFSYEEASKGILWFYRRQTGNDDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDKSPRQYRGIAHALSTVLKEEGPRALYKGWLPSVIGVIPYVGLNFAVYESLKDWLLKTKPFGLVEDNELGVATRLACGAAAGTFGQTVAYPLDVIRRRMQMVGWKDAASVVTGDGRGKTALEYTGMVDAFRKTVRHEGFGALYKGLVPNSVKVIPSIAIAFVTYEMVKDVLRVETRISD >Potri.010G202700.1.v4.1 pep chromosome:Pop_tri_v4:10:19477241:19483716:-1 gene:Potri.010G202700.v4.1 transcript:Potri.010G202700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202700.v4.1 MDHSSDEEIDASGSDSEMDEYENEAYEELKDGKLRVKISDETFACPFCPQKKRQAYLYKDLLQHASGVGKSRSQKRSTKEKANHLALVKYLEKDLTAAGRTSKPVGETDPHSDCSHVEKMDVSQSEMDNCEDKSYEELKNGNHQVKISDETFTCPYCPTKKRKRDYAYQDLLQHATGVGKSLSEKRTAKEKADHLALVKYLEKDLAAAGSSSKPAGKTENLSSCSQNDKFVWPWTGIAVNLPTRRAEDGRFVGESGSKFRDELKSRGFNPTRVHPLWNFRGHSGTAVVEFNKDWPGLHNAISFEKAYEADQQGKKEWFASSGEKSGIYCWVARADDYNSNNIIGEHLRKIGDVRTISDLIEEEARKQDKLVFNLTNVIETKNRYLKEMELRCSETSASLNKLVQEKEKLLHAYNEEIRKIQTGARDHFQKILNDHEKIKLQLESHKKELEMRGSELEKREAKNESDRRSLSEEIEKNAVRNSSLELAALEQQKADEDVLKLAEDQKRQKEELHNRIIRLEKQLDAKQALELEIERLRGALNVMKHMEDDGDVEVLRKMDAIIKNLREKEGELNDLEALNQTLIVRERKSNDELQDARKELINGLKEISNRAHIGVKRMGELDSKPFLEAMKRKYNNEEAEDRASEICSLWEEYLKDPDWHPFKVVMVDGKHQEIIDEEDEKLSRLRDEMGDEACMSVTTSLIQVNEYNPSGRYIISELWNYKEGKKATLGEGVSFLLSRWKALKRKREG >Potri.010G202700.2.v4.1 pep chromosome:Pop_tri_v4:10:19477333:19483322:-1 gene:Potri.010G202700.v4.1 transcript:Potri.010G202700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202700.v4.1 MDHSSDEEIDASGSDSEMDEYENEAYEELKDGKLRVKISDETFACPFCPQKKRQAYLYKDLLQHASGVGKSRSQKRSTKEKANHLALVKYLEKDLTAAGRTSKPVGETDPHSDCSHVEKMDVSQSEMDNCEDKSYEELKNGNHQVKISDETFTCPYCPTKKRKRDYAYQDLLQHATGVGKSLSEKRTAKEKADHLALVKYLEKDLAAAGSSSKPAGKTENLSSCSQNDKFVWPWTGIAVNLPTRRAEDGRFVGESGSKFRDELKSRGFNPTRVHPLWNFRGHSGTAVVEFNKDWPGLHNAISFEKAYEADQQGKKEWFASSGEKSGIYCWVARADDYNSNNIIGEHLRKIGDVRTISDLIEEEARKQDKLVFNLTNVIETKNRYLKEMELRCSETSASLNKLVQEKEKLLHAYNEEIRKIQTGARDHFQKILNDHEKIKLQLESHKKELEMRGSELEKREAKNESDRRSLSEEIEKNAVRNSSLELAALEQQKADEDVLKLAEDQKRQKEELHNRIIRLEKQLDAKQALELEIERLRGALNVMKHMEDDGDVEVLRKMDAIIKNLREKEGELNDLEALNQTLIVRERKSNDELQDARKELINGLKEISNRAHIGVKRMGELDSKPFLEAMKRKYNNEEAEDRASEICSLWEEYLKDPDWHPFKVVMVDGKHQEIIDEEDEKLSRLRDEMGDEACMSVTTSLIQVNEYNPSGRYIISELWNYKEGKKATLGEGVSFLLSRWKALKRKREG >Potri.010G216200.3.v4.1 pep chromosome:Pop_tri_v4:10:20349716:20353516:1 gene:Potri.010G216200.v4.1 transcript:Potri.010G216200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216200.v4.1 MLDLNLGISSSDSCCEDNNKNNMMVIVDVENHQEEEEAASRTQQMEDSATSNSSITNTTEDENSSNNSNSAFIFDILKKDGNFTNTSAINASKETSRNCDFTTQQLFPESTGLELNFQPGLAVASAARPQWLKLSQMGSSPEAEPENVQQKQQQARKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFNSSDYEEDMKQMKNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAALEFNGREAVTNFEPSVYKGDVISDPNNGGSGHNLDLSLGISQPSNDPKGNDSVGDSHCRYGGCEIPRKERQVVEGSTAAAHMGLQTLHGSPMASKNLPAWSGIYPGLLSSYEERTTEKKVEAVSSPRFSSWPWLINGSNNVVATMSQLSVAASSGFSSTITASSATLPFNQQNHFASNLRLAASTTSSPNPSLYSYKR >Potri.012G120220.1.v4.1 pep chromosome:Pop_tri_v4:12:13801392:13804151:1 gene:Potri.012G120220.v4.1 transcript:Potri.012G120220.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120220.v4.1 MGLDGNSKAGITGTINQKKSETEVPNLTGKVHLLPCCIKYDGPSAVSHYFKPKPTGVEVEEMKVEEAHFRGRKLQGATLAIPNGYSGFVIGKKSLGKRKSSDMSEQNSNTWEITAKFENITYWNHDSLPSKVDAFVRSLHWLSVAEALHKPAAAEDLASASIALEKKR >Potri.002G173400.1.v4.1 pep chromosome:Pop_tri_v4:2:13357260:13363811:-1 gene:Potri.002G173400.v4.1 transcript:Potri.002G173400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173400.v4.1 MLGLVSAKTTPNATPLHNSFPQNDNHIHKNGNKTPSPLLKRTPSPSFSKPKIKPSKKTLQELRIDESSLDNPDLGPFLLKLAIDTIASGDNQNKALDYATRASISFEISSGPGLDLAMSLQVEAAIYCSRNRLENAIPVLERSIEVLDHKSGSDHAMAKFSGFMQLGDTYSMLGRVDRSISSYESGLKIQIETLGDLDPRVAESCRYLAEAYVQAMQFDEAEKLCQKSLEIHREHNAPASIEEAGDRRLIALIYEAKGEYECALEELVLASMVMIAAGQENEVAAIDVSIGNIYVFLCRFDEAIFSYQKALTVFKSTRGDEYSPVASVYNRLAEVYYKTGKLRESKSYCENALRIFSKPVTGIATEEIASGLAEISAIYEALNEHGEALKLLYMAMKLLKDTPGQRSMIAGIEAQMGLMFYKVGKYGEARSSFENAVAKLRASGDAKSVFFGILLNQLGLASVQLYRIHEAVELFEEAREILEQECGSCHSDTLGVYSNLAATYDALGRVEDAAVILEYILKLREEKLGTANPEVADEKKRLAVLLKEAGRARIRKGNSLVNLLDSSS >Potri.005G150425.1.v4.1 pep chromosome:Pop_tri_v4:5:12853422:12853526:1 gene:Potri.005G150425.v4.1 transcript:Potri.005G150425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150425.v4.1 MNVLLLHCLALATVEHNPSNCSFVNSHFSSLLTF >Potri.018G002000.2.v4.1 pep chromosome:Pop_tri_v4:18:138678:143498:-1 gene:Potri.018G002000.v4.1 transcript:Potri.018G002000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002000.v4.1 MDRQPPPPPHDYAAMAYAQQQQQQQPQYGYPPPPHQHQQYPPPPNPFMPPPHPSVQQYPYTQPPPHPHHLQHPPQQQQHPPPFAPHLPPHLIPPPFHTPNYDSPPPPVPPPSDPELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHAYYRYKLWLSTRGPLNPPFQASSMMHPPPNPMMNATVGPPPQMHQPSFPPFYDHHHQHTPQPFGVHSRSDFDQPSKSFKGLSGPLPPDVAVELSNVLNTLNGTKESIKGAKTWFMQRSPFAPALAEALRDRIFSLDDSERQLHIIYLANDILFDSLQRRINPHDLDNEALAFKPVLGSMLARIYHYPQNKDENQSRLQKILQFWASKEVYDQDTIYKLEGEMVSGPPVNSFPGPPKELSTGSTDSVPAAGFTQHATSHNAPQWPPDRQSVPDQEHLDKQMLPVMLPTLGNQQFIPNPVPAATFPGSLPINSSVLPAGQQPAPHLLQAPPANIAENLSPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTTIPPSNVPPSEILDRVSKFFKEIGEVNPSEGPMRADPKDEDDEYERESPIRKGGACIPPPPNLQVEPETGAYADGSVERKHGTGSGRLGLGATADPNEPSQYDDVYTSYRKQRSTNYHSSMSVRAATR >Potri.018G002000.1.v4.1 pep chromosome:Pop_tri_v4:18:138690:143464:-1 gene:Potri.018G002000.v4.1 transcript:Potri.018G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002000.v4.1 MDRQPPPPPHDYAAMAYAQQQQQQQPQYGYPPPPHQHQQYPPPPNPFMPPPHPSVQQYPYTQPPPHPHHLQHPPQQQQHPPPFAPHLPPHLIPPPFHTPNYDSPPPPVPPPSDPELQKRIDKLVEYATKNGPEFEVMIREKQQDNPAYSFLFGGEGHAYYRYKLWLSTRGPLNPPFQASSMMHPPPNPMMNATVGPPPQMHQPSFPPFYDHHHQHTPQPFGVHSRSDFDQPSKSFKGLSGPLPPDVAVELSNVLNTLNGTKESIKGAKTWFMQRSPFAPALAEALRDRIFSLDDSERQLHIIYLANDILFDSLQRRINPHDLDNEALAFKPVLGSMLARIYHYPQNKDENQSRLQKILQFWASKEVYDQDTIYKLEGEMVSGPPVNSFPGPPKELSTGSTDSVPAAGFTQHATSHNAPQWPPDRQSVPDQEHLDKQMLPVMLPTLGNQQFIPNPVPAATFPGSLPINSSVLPAGQQPAPHLLQAPPANIAENLSPYPLFPPGLIPGMVRKMQIGSGVPYSPLSPLDIPTTIPPSNVPPSEILDRVSKFFKEIGEVNPSEGPMRADPKDEDDEYERESPIRKGGACIPPPPNLQVEPETGAYADGSVERKHGTGSGRLGLGATADPNEPSQYDDVYTSYRKQRSTNYHSSMSVRAATR >Potri.010G183832.1.v4.1 pep chromosome:Pop_tri_v4:10:18141256:18145081:1 gene:Potri.010G183832.v4.1 transcript:Potri.010G183832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183832.v4.1 MHRKKAFECLWRNIAGSLVPALMEKASKKIGGCVISHTPGAGKTFLKIAFLVSYLKLFPGKRPLVLAPKTTLYTWYKEFIKWEIPVPVLLIHGTRSSRVFRQTPVALRGSCPRPSQDVVHILDCLEKMQKWHAQPSVLVMGYTSFLTLMREDSKYNRRKYMAKVLRESPGMLVLDEGHNPRSTKSRLRKVLMKVETDLRILLSGTLFQNNFCEYFNTLCLARPLFIREVLKALDPKFKRKKKGAQKARHFLESRARKFFIDNIASKINLDEAEEKMQGLNMLRNMTNGFIDVYEGTASDTLPGLQIYTIMINPTDIQHEILVKLHKIMEKCPGYPLEVELLITLASIHPSIINSSVCVKKFYEQEELMELEKLRFDCKKGSKVMFVLNLVYRVVKKDKVLIFCHNIAPIKLFLELFENVFRWQLGKEVLVLTGDLELFE >Potri.013G040201.1.v4.1 pep chromosome:Pop_tri_v4:13:2733498:2737046:-1 gene:Potri.013G040201.v4.1 transcript:Potri.013G040201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040201.v4.1 MTMRSRKTSDKITLDQEDLIPEPKRNQRRWRIAYTAIYFTRLLVSLSKKALVSQTKILRSLSYVAVDVHDDTFQHENKLVSLVNVDQRTLNDIIKEKNLESLNQLGGVIQVATILETDVKDGTREADAAFRRDVFGANRFNKPPSKSFLSFVLEACKDPTIIILLVCAIMSLVFGIKQDGLKNGWYDGGSIIVAVVLVIAVSAISNFKQSKQFEKLSDESRDITVQVVRDGRHNHISIFDVVAGDVVSLKIGDQIPADGLFLHGYSLKVDESSMTGESDHVEVNGSENPFLLSGTKVTDGFGFMLVTSVGMNTAWGEMMSSISRDLDEQTPLQARLNKLTSYIGKVGLTVAILVLAVMMIRYFTGNTRDDNGRKEYYGSKTKVSDVLDSVVSIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKKMMKDNAMVRKLSACETMGSATTICTDKTGTLTLNRMKVTEFCLGKETIEDNTEIESEVYQLLQEGVALNTTGTVNKSHANLVPEIIGSPTEKAILSWAVMDLGMDINETKGKCEIIHVETFNSEKKRSGVLMRKNSEKAIHTHWKGAAEMILAMCSNYYVRNGELRDLNDEEKVQFGAIIQSMAAKSLRCIAFAHKKVVEENSQASAKLEENGMTFMGLVGLKDPCRIGVKTAVESCKNAGVNVKMITGDNTHTARAIAIECGILNPEQDMKNGAVVEGVQFRNYSPEERMAKIDNIQVMARSSPFDKLLMVQCLKKKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVVTVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSSGKIPLTAVQLLWVNLIMDTLGALALATEQPTNDLMTRPPVGRSEPLITKIMWRNLLAQALYQVTILLTLQFKGKAIFGVDEEVKNTLIFNTFVLCQVFNEFNARKMEKMNIFKGIHKNKLFLAIIGITITLQVLMVELLKKFASTERLNWQQWGACIAIAVLSWPIGCLVKCIPVSCKRTQSH >Potri.013G040201.2.v4.1 pep chromosome:Pop_tri_v4:13:2733021:2737053:-1 gene:Potri.013G040201.v4.1 transcript:Potri.013G040201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040201.v4.1 MTMRSRKTSDKITLDQEDLIPEPKRNQRRWRIAYTAIYFTRLLVSLSKKALVSQTKILRSLSYVAVDVHDDTFQHENKLVSLVNVDQRTLNDIIKEKNLESLNQLGGVIQVATILETDVKDGTREADAAFRRDVFGANRFNKPPSKSFLSFVLEACKDPTIIILLVCAIMSLVFGIKQDGLKNGWYDGGSIIVAVVLVIAVSAISNFKQSKQFEKLSDESRDITVQVVRDGRHNHISIFDVVAGDVVSLKIGDQIPADGLFLHGYSLKVDESSMTGESDHVEVNGSENPFLLSGTKVTDGFGFMLVTSVGMNTAWGEMMSSISRDLDEQTPLQARLNKLTSYIGKVGLTVAILVLAVMMIRYFTGNTRDDNGRKEYYGSKTKVSDVLDSVVSIIAAAVTIVVVAIPEGLPLAVTLTLAYSMKKMMKDNAMVRKLSACETMGSATTICTDKTGTLTLNRMKVTEFCLGKETIEDNTEIESEVYQLLQEGVALNTTGTVNKSHANLVPEIIGSPTEKAILSWAVMDLGMDINETKGKCEIIHVETFNSEKKRSGVLMRKNSEKAIHTHWKGAAEMILAMCSNYYVRNGELRDLNDEEKVQFGAIIQSMAAKSLRCIAFAHKKVVEENSQASAKLEENGMTFMGLVGLKDPCRIGVKTAVESCKNAGVNVKMITGDNTHTARAIAIECGILNPEQDMKNGAVVEGVQFRNYSPEERMAKIDNIQVMARSSPFDKLLMVQCLKKKGHVVAVTGDGTNDAPALKEADIGLSMGIQGTEVAKESSDIVILDDNFTSVVTVLRWGRCVYNNIQKFIQFQLTVNVAALVINFVAAVSSGKIPLTAVQLLWVNLIMDTLGALALATEQPTNDLMTRPPVGRSEPLITKIMWRNLLAQALYQVTILLTLQFKGKAIFGVDEEVKNTLIFNTFVLCQVFNEFNARKMEKMNIFKGIHKNKLFLAIIGITITLQVLMVELLKKFASTERLNWQQWGACIAIAVLSWPIGCLVKCIPVSCKRTQSH >Potri.014G043300.9.v4.1 pep chromosome:Pop_tri_v4:14:2797883:2802838:-1 gene:Potri.014G043300.v4.1 transcript:Potri.014G043300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043300.v4.1 MGTAGDSEKKPTVVFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMQDSGNDKFLIDGFPRNEENRAAFEAVTKIEPAFVLFFDCPEEEMERRILSRNQGREDDNIETIRKRFKVFLESSLPVVEYYESKGKVQKVDAAKPIDEVFEVVKAIFTPKDEKVKQHCCAIL >Potri.014G043300.2.v4.1 pep chromosome:Pop_tri_v4:14:2798054:2802838:-1 gene:Potri.014G043300.v4.1 transcript:Potri.014G043300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043300.v4.1 MGTAGDSEKKPTVVFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMQDSGNDKFLIDGFPRNEENRAAFEAVTKIEPAFVLFFDCPEEEMERRILSRNQGREDDNIETIRKRFKVFLESSLPVVEYYESKGKVQKVDAAKPIDEVFEVVKAIFTPKDEKVAV >Potri.014G043300.7.v4.1 pep chromosome:Pop_tri_v4:14:2797883:2802838:-1 gene:Potri.014G043300.v4.1 transcript:Potri.014G043300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043300.v4.1 MGTAGDSEKKPTVVFVLGGPGSGKGTQCANIVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMQDSGNDKFLIDGFPRNEENRAAFEAVTKIEPAFVLFFDCPEEEMERRILSRNQGREDDNIETIRKRFKVFLESSLPVVEYYESKGKVQKVDAAKPIDEVFEVVKAIFTPKDEKVKQHCCAIL >Potri.019G014392.1.v4.1 pep chromosome:Pop_tri_v4:19:2391391:2401747:1 gene:Potri.019G014392.v4.1 transcript:Potri.019G014392.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014392.v4.1 MPEAGGSALLSPSLPFPAGCEEHHYLQNTKNASNSLLVLFSLDPVPSGLSRLDLLHCRSVSSGHLLLQVAGRWTMELLVCGRWLKETARWLAGRSCYRCGFLRVWPAAVDGDELPPRGEGSVSWAQGATAGLWAQPCVGRS >Potri.003G114100.1.v4.1 pep chromosome:Pop_tri_v4:3:13642104:13644159:1 gene:Potri.003G114100.v4.1 transcript:Potri.003G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114100.v4.1 MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKRGIDPVTHEPLHKEAKPEESSSSPADLLPESSNNNDMQEKDGIVINSDDNSRSPTENSCSTEDSLLLDSICNDEMLLNSLWMDEPPLVDASWNKIIPLAAENTNNDMGYPSWEDNYTGLSDCQDFGVHDFGFDCFDTIELSALNILEMELNR >Potri.012G088700.3.v4.1 pep chromosome:Pop_tri_v4:12:11409506:11415066:1 gene:Potri.012G088700.v4.1 transcript:Potri.012G088700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088700.v4.1 MAVKVASSSFSCIATPLSSPFKLRRSSQLSLSERPYNGLHYKLRNADVHSCHFIDDLPKLKGKFPRRQHIIFAASQDQSQYSEIKPDASEEEDDHPTAEALPTTSSSINHFPGTDGKPGLISFYNRPYKMVDEVIISNVQRNPSSLLWFIGPAVLVASFIFPSLYLRKILSAVFEDSLLTDFLILFFTEALFYCGVAVFLLLIDHLRRPVEPLSSVNNNRTLAPHLGQRISSVAALVLSLIIPMVTMGLVWPWTGPAASATLAPYLVGIVVQFAFEQYARYRKSPSWPVIPIIFQVYRLHQLNRAAQLVTALSFTVRGAEMTSHNLEISSSLGTLLNVLQFLGVICIWSLSSFLMKFFPPAARTAE >Potri.016G024700.2.v4.1 pep chromosome:Pop_tri_v4:16:1384799:1386756:1 gene:Potri.016G024700.v4.1 transcript:Potri.016G024700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024700.v4.1 MADQLTDDQISEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADGNGTIDFPEFLNLMARKMKDTDSEEELKEAFRVFDKDQNGFISAAELRHVMTNLGEKLTDEEVDEMIREADVDGDGQINYEEFVKVMMAK >Potri.006G023801.1.v4.1 pep chromosome:Pop_tri_v4:6:1609953:1610693:-1 gene:Potri.006G023801.v4.1 transcript:Potri.006G023801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023801.v4.1 MEKQKKEEAKQHSCNSRWSQGKGGEVWCNDAFPRLVQRPQEIALTGKMSKQCACFKEDQVSHPRLEVYEGCDHLAEKCRV >Potri.013G070600.3.v4.1 pep chromosome:Pop_tri_v4:13:5769356:5771336:1 gene:Potri.013G070600.v4.1 transcript:Potri.013G070600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070600.v4.1 MSLAASRVFKACRALLAPAKPATASKTTVAKPKPKPKPKAKATSTTPGTPRGILKPNPVSPVLGDFLGGVPESSRAEAVKKIWAHIKLHNLQNPTNKKEIICDAKLKAIFDGRDKVGFLDIGKLLSAHFPKAG >Potri.006G129200.1.v4.1 pep chromosome:Pop_tri_v4:6:10481366:10482420:1 gene:Potri.006G129200.v4.1 transcript:Potri.006G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129200.v4.1 MRKQLLSPFVPFLMFFLYGSTTVAQTPSPAPSGPTNITAILEKAGQFTTLIRLMKSTQEADQINTQLNNSNQGLTVFAPPDNAFTNLKAGTLNSLSDQQKVQLVQFHIIPNFFSMSSFQTVSNPLRTQAGNSADGEFPLNVTTSGNQVNITTGVNTATVANTIFTDGQLVVYQVDQVLLPLDLFGTAAAPAPAPSKPDKDVPAKAPAGSKEDASVDASGATIATVSVSVVLIAAISLKL >Potri.003G010000.6.v4.1 pep chromosome:Pop_tri_v4:3:935668:954204:1 gene:Potri.003G010000.v4.1 transcript:Potri.003G010000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010000.v4.1 MPAPCLRLKRHKRTNKSWADGKQKQKKRPRKEGKIDLEEDVAGDSQSLSMFEEDSVEEGNDNESDLQEHRTVGIVDADGDSDSEDIQEASNTDQEQELDVKSQTVAKAPASRSSFHSHLEYKLPETEVEDLLKKKWKYQWDVPAFGMPNCKWVGTGECFLEVANVNSDCGLKQRLYKHWLDVCRTSGNNDFHSSPQRFFFSLCNSYRDILHCNKKPFYRKGLKEDLAIMDAYIMHCLNHIFRTRDLVTKNDSKVGKHWENAKDELLSGDEFLDHGFTRPKVLILLPFRSIANRAVNRLIQLTPGAYKVNVEHMSRFSNEFGNHDDEDNVNTNELTGSVKNSNSQKSSKPPDHQALFDGNVDDKFMIGIKFTRKSIKLYSDFYSSDLIVASPLALLKKIEEAKRDKEKDVDYLSSIEVLIIDHADVIAMQNWAFLTSVLEQLNCIPSKQHGTDIMRIRKWYLDGHARFYRQTIVLGCYANPDINASFNRQCVNYQGKVKLICQYKGVLPKVSDQVRQIYQRFDADSVAEADNARLDYFVQKVFPKIKDSDEGGVMLFISSYYEYVRLRNFLKSQNASLCLLGDYAEPRDVTRMRNWFRNGEKKIMLYTERFHFYRRYKIGGVRNLIVYSLPERKEFFPEVVNMLEGADDMTCTVLFSQFDQLQLERIVGTASARRMITSEKGVFVFC >Potri.003G010000.8.v4.1 pep chromosome:Pop_tri_v4:3:935657:954204:1 gene:Potri.003G010000.v4.1 transcript:Potri.003G010000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010000.v4.1 MPAPCLTGLKRHKRTNKSWADGKQKQKKRPRKEGKIDLEEDVAGDSQSLSMFEEDSVEEGNDNESDLQEHRTVGIVDADGDSDSEDIQEASNTDQEQELDVKSQTVAKAPASRSSFHSHLEYKLPETEVEDLLKKKWKYQWDVPAFGMPNCKWVGTGECFLEVANVNSDCGLKQRLYKHWLDVCRTSGNNDFHSSPQRFFFSLCNSYRDILHCNKKPFYRKGLKEDLAIMDAYIMHCLNHIFRTRDLVTKNDSKVGKHWENAKDELLSGDEFLDHGFTRPKVLILLPFRSIANRAVNRLIQLTPGAYKVNVEHMSRFSNEFGNHDDEDNVNTNELTGSVKNSNSQKSSKPPDHQALFDGNVDDKFMIGIKFTRKSIKLYSDFYSSDLIVASPLALLKKIEEAKRDKEKDVDYLSSIEVLIIDHADVIAMQNWAFLTSVLEQLNCIPSKQHGTDIMRIRKWYLDGHARFYRQTIVLGCYANPDINASFNRQCVNYQGKVKLICQYKGVLPKVSDQVRQIYQRFDADSVAEADNARLDYFVQKVFPKIKDSDEGGVMLFISSYYEYVRLRNFLKSQNASLCLLGDYAEPRDVTRMRNWFRNGEKKIMLYTERFHFYRRYKIGGVRNLIVYSLPERKEFFPEVVNMLEGADDMTCTVLFSQFDQLQLERIVGTASARRMITSEKGVFVFC >Potri.003G010000.3.v4.1 pep chromosome:Pop_tri_v4:3:935673:954200:1 gene:Potri.003G010000.v4.1 transcript:Potri.003G010000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010000.v4.1 MPAPCLRLKRHKRTNKSWADGKQKQKKRPRKEGKIDLEEDVAGDSQSLSMFEEDSVEEGNDNESDLQEHRTVGIVDADGDSDSEDIQEASNTDQEQELDVKSQTVAKAPASRSSFHSHLEYKLPETEVEDLLKKKWKYQWDVPAFGMPNCKWVGTGECFLEVANVNSDCGLKQRLYKHWLDVCRTSGNNDFHSSPQRFFFSLCNSYRDILHCNKKPFYRKGLKEDLAIMDAYIMHCLNHIFRTRDLVTKNDSKVGKHWENAKDELLSGDEFLDHGFTRPKVLILLPFRSIANRAVNRLIQLTPGAYKVNVEHMSRFSNEFGNHDDEDNVNTNELTGSVKNSNSQKSSKPPDHQALFDGNVDDKFMIGIKFTRKSIKLYSDFYSSDLIVASPLALLKKIEEAKRDKEKDVDYLSSIEVLIIDHADVIAMQNWAFLTSVLEQLNCIPSKQHGTDIMRIRKWYLDGHARFYRQTIVLGCYANPDINASFNRQCVNYQGKVKLICQYKGVLPKVSDQVRQIYQRFDADSVAEADNARLDYFVQKVFPKIKDSDEGGVMLFISSYYEYVRLRNFLKSQNASLCLLGDYAEPRDVTRMRNWFRNGEKKIMLYTERFHFYRRYKIGGVRNLIVYSLPERKEFFPEVVNMLEGADDMTCTVLFSQFDQLQLERIVGTASARRMITSEKGVFVFC >Potri.003G010000.4.v4.1 pep chromosome:Pop_tri_v4:3:935628:954297:1 gene:Potri.003G010000.v4.1 transcript:Potri.003G010000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010000.v4.1 MPAPCLRLKRHKRTNKSWADGKQKQKKRPRKEGKIDLEEDVAGDSQSLSMFEEDSVEEGNDNESDLQEHRTVGIVDADGDSDSEDIQEASNTDQEQELDVKSQTVAKAPASRSSFHSHLEYKLPETEVEDLLKKKWKYQWDVPAFGMPNCKWVGTGECFLEVANVNSDCGLKQRLYKHWLDVCRTSGNNDFHSSPQRFFFSLCNSYRDILHCNKKPFYRKGLKEDLAIMDAYIMHCLNHIFRTRDLVTKNDSKVGKHWENAKDELLSGDEFLDHGFTRPKVLILLPFRSIANRAVNRLIQLTPGAYKVNVEHMSRFSNEFGNHDDEDNVNTNELTGSVKNSNSQKSSKPPDHQALFDGNVDDKFMIGIKFTRKSIKLYSDFYSSDLIVASPLALLKKIEEAKRDKEKDVDYLSSIEVLIIDHADVIAMQNWAFLTSVLEQLNCIPSKQHGTDIMRIRKWYLDGHARFYRQTIVLGCYANPDINASFNRQCVNYQGKVKLICQYKGVLPKVSDQVRQIYQRFDADSVAEADNARLDYFVQKVFPKIKDSDEGGVMLFISSYYEYVRLRNFLKSQNASLCLLGDYAEPRDVTRMRNWFRNGEKKIMLYTERFHFYRRYKIGGVRNLIVYSLPERKEFFPEVVNMLEGADDMTCTVLFSQFDQLQLERIVGTASARRMITSEKGVFVFC >Potri.004G177800.2.v4.1 pep chromosome:Pop_tri_v4:4:19265510:19267569:-1 gene:Potri.004G177800.v4.1 transcript:Potri.004G177800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177800.v4.1 MAIVTGFPKQFSGLVCPRNYKLSFGTQRCNEVKFSDYGAVRLECRRNPRGGLRYVPAKLSCNKHVLYAGRGPYQLSHEDDLPQKPFWLSFIKEAIWAWKALFVFLVEQPGQLKYIEWPGFQSTLRTAMLTLVLVALLIVALSSVDSVLCYVLALLLRRTP >Potri.007G118150.1.v4.1 pep chromosome:Pop_tri_v4:7:13672516:13673170:1 gene:Potri.007G118150.v4.1 transcript:Potri.007G118150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G118150.v4.1 MQRDFKVFVYPGGNPTTCYDPKDKLKSKYASEHYFFMNLIDSQFLTDDPQKAHLFFIPISCHRSGGKV >Potri.006G064900.1.v4.1 pep chromosome:Pop_tri_v4:6:4644492:4647384:1 gene:Potri.006G064900.v4.1 transcript:Potri.006G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064900.v4.1 MATFLSSPINCLLQSAFLSTLLLVCLSPFYQPINISSRLSSFYTPNFQPYPSTILLLPPPNTTLGVGQDAQITWKIKKIKTGFERIEDGLARARDAILRAIRSRNSSSYKKGSYVPRGVIYRNQYAFHQSHTEMEKRFKIWVYKEGELPVLHGGPVNNIYSVEGQFLDEIERGKSHFIARHPDEAHAFLLPLSVAYIMHYIYKPRVTFSRHQLQTLVTDYVRVIADKYTYWNRTNGADHFSISCHDWGPDISRTNPELFKYFIRALCNANTSEGFQPQRDVSVPEIFLHVGKLGLPREGAQPPSKRPILAFFAGGAHGRIRKVLLKRWKDKDGEIQVHEYVTQRKKNNNLYFKLMGQSKFCLCPSGHEVASPRVVTAIQLGCVPVIISDNYSLPFSDVLDWSKFSVNIPSEKIQEIKTILKGISHKRYLTMQRRVIQAQRHFTLNRPAKPYDMIHMILHSIWLRRLNHRMP >Potri.007G056400.4.v4.1 pep chromosome:Pop_tri_v4:7:5907889:5918141:1 gene:Potri.007G056400.v4.1 transcript:Potri.007G056400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056400.v4.1 MAEEGRHRNHSCSPTVSTGTPIMATPLRKVCNRILGFATSCRKNTAPYGRRIFHREVEQDEFQYGNTHCLSSYYSVFVVRLAIMAMLAILIGLLTILTWHFTRSYTKKSLDTLASGLRYELLQRPILRMWNILNSTAEITAAQVKLSEYVIGRYSKTTIQAEQVEVLYEVMRHVTWALFSSRKALNAITINYRNGFVQAFHRDHRSNNTFYIYSDLRNYSINAKGPSDANMFLSHQAWNDQSIHSNFSAIWYREPLDPTSGEKIGKASPIPPDDLINIAGLSQVPDGVASWHVAVSKYTDSPLLSAALPVWDAYNKSIVAVVGVTTALYSVGQLMRELVEVHKGYIYLTSQEGYLLATSTNAPLLTNSTRPNLIMAVDTEEPTIRMGARWLERVYGNKFPPGHVVHVENAKLGKQQCYIDSFFLNLKRLPIVGVIIIPRRYIMGKVDERAFKTLVILISASLCILVIGCVFILILTNGVSKEMKLRAELISHLDARRRAEASNNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYANVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLIDMFSVQCINHNVEAVLDLSDEMPKLVRGDSARVVQIFANLISNSIKFTTTGHIILRGWCENLNNTYNDTQFHLDQKKMRCAIKPKLRQHGNHLKKACKKENKMILWFEIDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNKMGGEIKVVKKNGPGTLMRLYLLLKTPTDGADLHCQVDFSSHNAVVLVALNGSMGRVIMSQWLREIGLTTLGVSEWNELTRVLRKLFHARRRENGFDVQCSMNEPLKSEVLNIEDMKDLFIIVVDVGLLDLSTDIWKEQINFLDNFSGKAKFAWMLNHDTSNAIKMELRKKGHLLMVNKPLYKAKMIHILETVIKEKDLEYQKKSSNAARAMAKDGDMHECLEIDSTHFDTTSSEESDTAEMGDSNSPSTFHLRDVRKEREEIACQSQCQTFKCLIELADVDAEAREDPGQNRPNLQGTQYGNDMLLCNKQVPFSTATRNESSKHDERNSETSSHKEQGNSYSNKAGNQQKALDGLRILLAEDTPVLQRVATIMLEKMGAKVITVGDGLQAVEALNCSLSEKDCRRESPGNDGNTGLQTDIQESQSYDLILMDCQMPKMDGYEATKAIRKSETGTDLHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTRRKA >Potri.007G056400.1.v4.1 pep chromosome:Pop_tri_v4:7:5907889:5918550:1 gene:Potri.007G056400.v4.1 transcript:Potri.007G056400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056400.v4.1 MAEEGRHRNHSCSPTVSTGTPIMATPLRKVCNRILGFATSCRKNTAPYGRRIFHREVEQDEFQYGNTHCLSSYYSVFVVRLAIMAMLAILIGLLTILTWHFTRSYTKKSLDTLASGLRYELLQRPILRMWNILNSTAEITAAQVKLSEYVIGRYSKTTIQAEQVELYEVMRHVTWALFSSRKALNAITINYRNGFVQAFHRDHRSNNTFYIYSDLRNYSINAKGPSDANMFLSHQAWNDQSIHSNFSAIWYREPLDPTSGEKIGKASPIPPDDLINIAGLSQVPDGVASWHVAVSKYTDSPLLSAALPVWDAYNKSIVAVVGVTTALYSVGQLMRELVEVHKGYIYLTSQEGYLLATSTNAPLLTNSTRPNLIMAVDTEEPTIRMGARWLERVYGNKFPPGHVVHVENAKLGKQQCYIDSFFLNLKRLPIVGVIIIPRRYIMGKVDERAFKTLVILISASLCILVIGCVFILILTNGVSKEMKLRAELISHLDARRRAEASNNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYANVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLIDMFSVQCINHNVEAVLDLSDEMPKLVRGDSARVVQIFANLISNSIKFTTTGHIILRGWCENLNNTYNDTQFHLDQKKMRCAIKPKLRQHGNHLKKACKKENKMILWFEIDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNKMGGEIKVVKKNGPGTLMRLYLLLKTPTDGADLHCQVDFSSHNAVVLVALNGSMGRVIMSQWLREIGLTTLGVSEWNELTRVLRKLFHARRRENGFDVQCSMNEPLKSEVLNIEDMKDLFIIVVDVGLLDLSTDIWKEQINFLDNFSGKAKFAWMLNHDTSNAIKMELRKKGHLLMVNKPLYKAKMIHILETVIKEKDLEYQKKSSNAARAMAKDGDMHECLEIDSTHFDTTSSEESDTAEMGDSNSPSTFHLRDVRKEREEIACQSQCQTFKCLIELADVDAEAREDPGQNRPNLQGTQYGNDMLLCNKQVPFSTATRNESSKHDERNSETSSHKEQGNSYSNKAGNQQKALDGLRILLAEDTPVLQRVATIMLEKMGAKVITVGDGLQAVEALNCSLSEKDCRRESPGNDGNTGLQTDIQESQSYDLILMDCQMPKMDGYEATKAIRKSETGTDLHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTRRKA >Potri.007G056400.5.v4.1 pep chromosome:Pop_tri_v4:7:5907747:5918065:1 gene:Potri.007G056400.v4.1 transcript:Potri.007G056400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056400.v4.1 MAMLAILIGLLTILTWHFTRSYTKKSLDTLASGLRYELLQRPILRMWNILNSTAEITAAQVKLSEYVIGRYSKTTIQAEQVELYEVMRHVTWALFSSRKALNAITINYRNGFVQAFHRDHRSNNTFYIYSDLRNYSINAKGPSDANMFLSHQAWNDQSIHSNFSAIWYREPLDPTSGEKIGKASPIPPDDLINIAGLSQVPDGVASWHVAVSKYTDSPLLSAALPVWDAYNKSIVAVVGVTTALYSVGQLMRELVEVHKGYIYLTSQEGYLLATSTNAPLLTNSTRPNLIMAVDTEEPTIRMGARWLERVYGNKFPPGHVVHVENAKLGKQQCYIDSFFLNLKRLPIVGVIIIPRRYIMGKVDERAFKTLVILISASLCILVIGCVFILILTNGVSKEMKLRAELISHLDARRRAEASNNYKSQFLANMSHELRTPMAAVIGLLDILICDDCLTNEQYANVTQIRKCSTALLRLLNNILDLSKVESGKLVLEDAEFDLGRELEGLIDMFSVQCINHNVEAVLDLSDEMPKLVRGDSARVVQIFANLISNSIKFTTTGHIILRGWCENLNNTYNDTQFHLDQKKMRCAIKPKLRQHGNHLKKACKKENKMILWFEIDDTGCGIDPSKWESVFESFEQADPSTTRLHGGTGLGLCIVRTLVNKMGGEIKVVKKNGPGTLMRLYLLLKTPTDGADLHCQVDFSSHNAVVLVALNGSMGRVIMSQWLREIGLTTLGVSEWNELTRVLRKLFHARRRENGFDVQCSMNEPLKSEVLNIEDMKDLFIIVVDVGLLDLSTDIWKEQINFLDNFSGKAKFAWMLNHDTSNAIKMELRKKGHLLMVNKPLYKAKMIHILETVIKEKDLEYQKKSSNAARAMAKDGDMHECLEIDSTHFDTTSSEESDTAEMGDSNSPSTFHLRDVRKEREEIACQSQCQTFKCLIELADVDAEAREDPGQNRPNLQGTQYGNDMLLCNKQVPFSTATRNESSKHDERNSETSSHKEQGNSYSNKAGNQQKALDGLRILLAEDTPVLQRVATIMLEKMGAKVITVGDGLQAVEALNCSLSEKDCRRESPGNDGNTGLQTDIQESQSYDLILMDCQMPKMDGYEATKAIRKSETGTDLHIPIVALTAHAMSSDEAKCLEVGMDAYLTKPIDYKLMVSTILSLTRRKA >Potri.010G153500.2.v4.1 pep chromosome:Pop_tri_v4:10:16276791:16279018:1 gene:Potri.010G153500.v4.1 transcript:Potri.010G153500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153500.v4.1 MAETFLFTSESVNEGHPDKLCDQISDAVLDACLAQDPDSKVACETCTKTNMVMVFGEITTKANVDYEKIVRDTCRNIGFTSDDVGLDADNCKVLVNIEQQSPDIAQGVHGHFSKSPEEIGAGDQGHMFGYATDETPELMPLSHVLATKLGARLTEVRKNGTCAWLRPDGKTQVTVEYYNENGARVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVVPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVASGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILQIVKESFDFRPGMISINLDLKRGGNNRFLKTAAYGHFGRDDPDFTWEVVKPLKWDNKVQA >Potri.010G198100.1.v4.1 pep chromosome:Pop_tri_v4:10:19121320:19122467:1 gene:Potri.010G198100.v4.1 transcript:Potri.010G198100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198100.v4.1 MASSSHRQRQLSLITPRDNHSHGCITQKMKTSTSIEDSKKKVTITTETTHKHRPISKGNRIALEQVVVNQKKKTTIDKQRLVVREEKHEVREKKIVSSYTTVEKEKKRIPHKHRSGGTLEVTFKTKF >Potri.010G230100.2.v4.1 pep chromosome:Pop_tri_v4:10:21257542:21259014:-1 gene:Potri.010G230100.v4.1 transcript:Potri.010G230100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230100.v4.1 MGIKTSDGLMLTDYIASFLHIRAPVIYAQRDKASKSELQDGMNFARGGSGVLDVSFNNYSMTLQVRNFKEQIAREVYTKADLGNSIALVSYTGNDYIYKSRSQKGTMNDVFDQTKEIVDLLTKNLREIRALGVKKIAIFGTPPRGCFPGMYSETLRRCDKTWNKASSTHNKLLKESLQILNKESNGAKFVYLDLYSAIESALFDENKETVGSENRFKACCFDAHMCGPIAQKICDQPALSIFWDAGHLSQNGANIVYSYLVPSLNKLL >Potri.007G028300.1.v4.1 pep chromosome:Pop_tri_v4:7:2156157:2160065:-1 gene:Potri.007G028300.v4.1 transcript:Potri.007G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028300.v4.1 MTTRIAPGVGANLLGQHSAERNQDATAYVGNLDPQVSEELLWELFVQAGPVVNVYVPKDRVTNLHQGYGFVEFRSEEDADYAIKVLNMIKLYGKPIRVNKASQDKKSLDVGANLFIGNLDPDVDEKLLHDTFSAFGVIVTNPKIMRDPETGNSRGFGFISYDSFEASDAAIEAMNGQYLCNRQITVSYAYKKDTKGERHGTPAERVLAASNPTTQKSRPHTLFASGPPTLASQANGAMVAPVPPRPFANGVVAPGPIPALRPPPPPNAAFAPMQVGGQSAWHGQPPQQGQPMPPPVMPAPPVQFRPPPPNMQQPPMQGAPMFPRPPPQPMAMGAQQQVWRQPPPPPQQFAGRPHMPQMLMQPPPPPNALPPPPPPSS >Potri.004G211600.1.v4.1 pep chromosome:Pop_tri_v4:4:21796346:21796567:1 gene:Potri.004G211600.v4.1 transcript:Potri.004G211600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211600.v4.1 MIEVVLNDRLGKKVRVKCNEDDTIGDLKKLVAAQTGTRPDKIRIQKWYTIYKDHITLKDYEIHDGMGLELYYN >Potri.018G080900.1.v4.1 pep chromosome:Pop_tri_v4:18:9884351:9887953:-1 gene:Potri.018G080900.v4.1 transcript:Potri.018G080900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G080900.v4.1 MVSSSLSIILSLPASSIPSNSTSDLYSTSTPLRSILEFKNNSKPIKTSPIFRLSLQQSSRILAFSSSNKNNNQDGSAEQFLENNSIADFMRFKKGSDRSSAELQTAVVSYKKRFPWSILYPFFQVDLVSTIHIADKEYFETIQKELEPYDRVLYEMVASRESLESRRNTAVAKRMKGSRSRGFNILGCIQRQMARILTLDFQLDYLDYQAENWYHADLDYETFKILQLEKGESFLTFARDMTLKSTKAMVQPAIPEDLGPWRSKLLWASRVLPMPLVGLFIIGTVCDTGSPASEYPELEALSRLDFGAAVKVFLAKRLTSEFTQVTADLEEESVIIGERNKAAVEALRRAINEGHNKIAILYGGGHMPDLGRRLREEFDLVPCGVQWITAWSIRNRVLNSNSLPFLRTMAEVLGWPLNRYQTLALLIFSSVLAIDLWFWELFFGSTVNWISQIASEVGQYVNNSRMIW >Potri.018G080900.4.v4.1 pep chromosome:Pop_tri_v4:18:9884420:9887945:-1 gene:Potri.018G080900.v4.1 transcript:Potri.018G080900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G080900.v4.1 MVASRESLESRRNTAVAKRMKGSRSRGFNILGCIQRQMARILTLDFQLDYLDYQAENWYHADLDYETFKILQLEKGESFLTFARDMTLKSTKAMVQPAIPEDLGPWRSKLLWASRVLPMPLVGLFIIGTVCDTGSPASEYPELEALSRLDFGAAVKVFLAKRLTSEFTQVTADLEEESVIIGERNKAAVEALRRAINEGHNKIAILYGGGHMPDLGRRLREEFDLVPCGVQWITAWSIRNRVLNSNSLPFLRTMAEVLGWPLNRYQTLALLIFSSVLAIDLWFWELFFGSTVNWISQIASEVGQYVNNSRMIW >Potri.015G054100.3.v4.1 pep chromosome:Pop_tri_v4:15:7198683:7200280:-1 gene:Potri.015G054100.v4.1 transcript:Potri.015G054100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054100.v4.1 SQLPTRNFPLSLELASLTARTGGSSTTSTKDQEENKRDGSGGDENVNGEVKNVSTSVGENYDGYFLPELPGDEPDFWEGPQWDGFGFFVQYMWAFGIVFALVACGIAVATYNGGAADFKETPAYKESIQSRDLLEEPEASNSDVFDSNPTEVAPSLE >Potri.013G003100.2.v4.1 pep chromosome:Pop_tri_v4:13:217707:220101:-1 gene:Potri.013G003100.v4.1 transcript:Potri.013G003100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003100.v4.1 MGEGGGGGAGAQYVNAKTSVWWDIENCAVPRGCDPHAIAQNISSALVEMNYRGPVSISAYGDTHGINSTAQQALSSTGIALNHVPASGVKDASDKKILVDMLFWAVDNPAPANYLLISGDRDFSNALHQLRMRRYNILLAQPKRASVPLVAAAKNVWLWTSLLAGGRPLPEGESQQLGSKNYTSSPGTTEIPVSGAAQTKEPVDSYSGKPYVANQNSPSTSRRDRGRANTIQRNPSQTNASKTTNTPFYPSAPPPMPARPYGTSYTSAPSTRVPAFGSWNNFRHPVSSCPQRRNAGLEHDPKKSKGFCAGKRPEPSKNKKKPEGENSKGSCARRGAEVKHDPQKKPRSENKKKPEGGNSKGSCGGKGPELKPDPSKKPEGENKKVASARKGVSMKKPVVPRSKRHVSVTKQDKGKKKPPAAKARVRK >Potri.003G105100.3.v4.1 pep chromosome:Pop_tri_v4:3:12852644:12856605:-1 gene:Potri.003G105100.v4.1 transcript:Potri.003G105100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105100.v4.1 MVIAYKKSKFQEHKLAPIEDWADLWRPELAGRISMVDSPREVVGSVLKYMGASYNTKNIDLQVPGGKNAVQQNLALLGKQVRLFDSMYYLKTFSMGDAWVAVGWSSDVLPIAKRMSNVAVVVPKSGTSLWADLWAIPAATKLETNQIGGRIRGPSPLIHQWIEFCLQAARALPFKQEVIPGATPSAIENSVIEVPKELTKGKPKLDTNLIAGVPPPEILTKCEFLEPLPDATLSDYKLLIRTMQIPDPGLIHRIQHYILSTIHTFRLKQHPKVA >Potri.003G105100.1.v4.1 pep chromosome:Pop_tri_v4:3:12852599:12856894:-1 gene:Potri.003G105100.v4.1 transcript:Potri.003G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105100.v4.1 MSFLCTTNLSLHPHPQFLYPNPNPKSPKFLLQFPAKPPILRFSGHRTISFRSPARPTTSSQHPFTWLQIAATSAVLFFGLNVQACLAASSPSLPANTIVAAQEESTVDQDDDGGVERNVDNVQMNEDKELNAAEFENWKLKTFALSVPLRIVALRGSVPPSWIKDFIQSQGRRLRFRVKYLGSLENIFSDISASFNKRNIGPMSTVASDIVSVGDSWLSFAIKNAIIEPVRGVEEQDWFKGLSDKWKVYLRRNHEGEIDPEGEIWAAPYRWGSMVIAYKKSKFQEHKLAPIEDWADLWRPELAGRISMVDSPREVVGSVLKYMGASYNTKNIDLQVPGGKNAVQQNLALLGKQVRLFDSMYYLKTFSMGDAWVAVGWSSDVLPIAKRMSNVAVVVPKSGTSLWADLWAIPAATKLETNQIGGRIRGPSPLIHQWIEFCLQAARALPFKQEVIPGATPSAIENSVIEVPKELTKGKPKLDTNLIAGVPPPEILTKCEFLEPLPDATLSDYKLLIRTMQIPDPGLIHRIQHYILSTIHTFRLKQHPKVA >Potri.006G244200.2.v4.1 pep chromosome:Pop_tri_v4:6:24517002:24517777:1 gene:Potri.006G244200.v4.1 transcript:Potri.006G244200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244200.v4.1 MALFKKWGGSGRETIYLGRTPGVKDGPKPRWQVFWRKINRGKKKIFNVSPVTSQASYDLDEYSQNFDQGTDWAEPEILSRSFSARYADPSRILQKSRTVR >Potri.002G190300.1.v4.1 pep chromosome:Pop_tri_v4:2:15212245:15215343:1 gene:Potri.002G190300.v4.1 transcript:Potri.002G190300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190300.v4.1 MTMSAAAATAITHFSTPTSLGHSQFSTTKNKSAAILSNSIPTCKITSPSSSISKVQYGYGYSKRNSVLTGFKPFSSPVMEWQDCKVKMEIDVPVGVAYNLYSDRESIPRWMPFISSVQVLKDKPDLSRWSLKYEALGQNIEYSWLARNMQPTPNQKIHWRSLEGLPNRGIVRFFPKGPSSCLVELTVSYEVPELLVPVATALQPLLESLLRGGLERFAKLAKNTLAD >Potri.002G238300.2.v4.1 pep chromosome:Pop_tri_v4:2:23079958:23083875:1 gene:Potri.002G238300.v4.1 transcript:Potri.002G238300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238300.v4.1 MERYEEEEYLACCGSTQFAKEMVKASPFASVQEAVSAARDIWFNKVDVNGWLEAFSAHPQIGQSPSPDHIAAAAQWSKGEQSTALATGTTSTLQELSDWNARYRHKFGFIFLICASGRSTAEILADLKKRYPNRPIVEFEIAAQEQMKVTELRLAKLFSSKIKSTSKGNEHATVSVKKAEDRVSIISGHLTTTSESSSGKASQISTRTRPPITTHVLDVSRGSPAAGVEVCLEIWKGTQPRPLFGEPDVGGWVFQGSSTTDADGRSGQLMNIVDVVNPGIYRISFNTGKYCPSGFFPCVSIVFEIKESQNREHFHVPLLFSPFSFTTYRGS >Potri.002G238300.6.v4.1 pep chromosome:Pop_tri_v4:2:23079952:23083770:1 gene:Potri.002G238300.v4.1 transcript:Potri.002G238300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238300.v4.1 MERYEEEEYLACCGSTQFAKEMVKASPFASVQEAVSAARDIWFNKVDVNGWLEAFSAHPQIGQSPSPDHIAAAAQWSKGEQSTALATGTTSTLQELSDWNARYRHKFGFIFLICASGRSTAEILADLKKRYPNRPIVEFEIAAQEQMKVTELRLAKLFSSKIKSTSKGNEHATVSVKKAEGGCIFAIFIVGFIYLEPSFFLSWKHHGFLSFFTACIPILAFSPFSCNTFLNSLCMCNANFPVNPFFTVPICYPQF >Potri.002G238300.4.v4.1 pep chromosome:Pop_tri_v4:2:23079952:23082731:1 gene:Potri.002G238300.v4.1 transcript:Potri.002G238300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238300.v4.1 MERYEEEEYLACCGSTQFAKEMVKASPFASVQEAVSAARDIWFNKVDVNGWLEAFSAHPQIGQSPSPDHIAAAAQWSKGEQSTALATGTTSTLQELSDWNARYRHKFGFIFLICASGRSTAEILADLKKRYPNRPIVEFEIAAQEQMKVTELRLAKLFSSKIKSTSKGNEHATVSVKKAEEDRVSIISGHLTTTSESSSGKASQISTRTRPPITTHVLDVSRGSPAAGVEVCLEIWKGTQPRPLFGEPDVGGWVFQGSSTTDADGRSGQLMNIVDVVNPGIYRISFNTGKYCPSGFFPCVSIVFEIKESQNREHFHVPLLFSPFSFTTYRGS >Potri.006G010300.1.v4.1 pep chromosome:Pop_tri_v4:6:680316:682020:-1 gene:Potri.006G010300.v4.1 transcript:Potri.006G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010300.v4.1 MEVQIISKEILKPSSSTPQHLRTYKLSVLDQLAPPIYIPIILFYSPASEHLCKNSDHLKESFSQTLTHFYPFAGRIKDDFSVDCNDDGAEFIEARVAGDISMVLEQADINQQQQLLPCSPYGKSSKLSTDQVTLAVQVNYFNCGGVAISICIWHAVADASTLATFVNCWAAISRDPNNVIDEVVFDCTTLFPPQDLSSFSLHSFVKEDVSSEIVMKRFLFDGSKVAALRDEVGNGPSLDRPSRFIAVSTLILTAMMTVTRENEAMQINAATIAVDLRRRLKPPVPKQSIGNIFQVTIAKWPESESNELSYNGLAGKLHESIRMMNDDFIRKFHAGGGYFNFLKRSGEEARKGSNVTVFGFSSWCNFPFYETDFGWGKPLWLSPALKLNRVAIFLDTKDGEGIEAWIGLSEEDMVKFEQDPGILTYASFSPSI >Potri.002G181800.2.v4.1 pep chromosome:Pop_tri_v4:2:14454681:14458301:-1 gene:Potri.002G181800.v4.1 transcript:Potri.002G181800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181800.v4.1 MAPVGYRFHPTEEEIICYYLKHKMNGRNSLVDDHIGEVDLYRRDPWELPVSARKKSDDRVWYYFCRLDYKHSNSKRASRETKNGFWKSTGKVRDIKAKRTNEVIGSKRTLVFQYRCPDSKKVVGTSWVIHEFHAKTTTPDQRALVLCKLKHKADDSAANLPDDEGEPIRVMGSNVENNAVLNNNQEVDAELPQSLFDSHDVGFNFPFALQAQNHVQNNNQEVDAELLQSLFDSHEAGFDFPFTLQAQNHVQNNNQEVDAEQLQSLFDSHEAGFDFPLALQPQNLVQNFLSDEEDIDFADSLLNDYPPTPRSSSNAYVLDTSDRETYLAYGESPNLYGGHGSSRAYQRKQRAHCDDTLLMGPSSMDSTTVTRHEQINSIQSDFPSIHKAQHAPIPHSFMEQEGISAKGDQFLGGISSTASKHKAREGARQVANIDLPKKVGIESVKDRKIVQVMNAKPAVKSRSNGSAGNEKWGRFIHLETTTSSHTSTPPSVYLFNLVVGLFLFIIMLREVLIVH >Potri.004G094500.3.v4.1 pep chromosome:Pop_tri_v4:4:8081585:8084448:-1 gene:Potri.004G094500.v4.1 transcript:Potri.004G094500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094500.v4.1 MIKRRFFKSEHGEKDEASSDSSSSSSDSEAGATEQSEDDVVADPEENSESEDDGTVAEPKVESESEASSSSSSSGYESEDSSANVIDGYSSDDETADDRETRTGIEILKKQSNATADEESLPDDIPDCILKCKSVYKCRICPRIICLTEETMRAHLSSKRHARSEKLMKENRLKVMLNSDGEIENLDEETHAERHARTVALAQGKSTKKNKGRQRQRKRLKKRKEGNAASMEKTTSKTKSPPKKRRKNEK >Potri.004G094500.1.v4.1 pep chromosome:Pop_tri_v4:4:8081506:8084415:-1 gene:Potri.004G094500.v4.1 transcript:Potri.004G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094500.v4.1 MIKRRFFKSEHGEKDEASSDSSSSSSDSEAGATEQSEDDVVADPEENSESEDDGTVAEPKVESESEASSSSSSSGYESEDSSANVIDGYSSDDRETRTGIEILKKQSNATADEESLPDDIPDCILKCKSVYKCRICPRIICLTEETMRAHLSSKRHARSEKLMKENRLKVMLNSDGEIENLDEETHAERHARTVALAQGKSTKKNKGRQRQRKRLKKRKEGNAASMEKTTSKTKSPPKKRRKNEK >Potri.001G073166.1.v4.1 pep chromosome:Pop_tri_v4:1:5499230:5499546:1 gene:Potri.001G073166.v4.1 transcript:Potri.001G073166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073166.v4.1 MRCASNDRSMGVVSMFGVAKIVFFKPFTIWVSKKDPAFTTHFQWGGTHAC >Potri.016G065850.1.v4.1 pep chromosome:Pop_tri_v4:16:4602082:4602806:1 gene:Potri.016G065850.v4.1 transcript:Potri.016G065850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065850.v4.1 MILDLPFIIFFSRSTTFSSTFQNPPTKLFFNRDDQECEQEREKERKKERKGVLVSPHNRHSPSHVNFTFQFIWAILCLVFYMEIHTWQQETGWRWTRSASPFFLIDPTHTYMIHGTSQLNDHPCRPYM >Potri.007G075300.1.v4.1 pep chromosome:Pop_tri_v4:7:9952260:9953754:1 gene:Potri.007G075300.v4.1 transcript:Potri.007G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075300.v4.1 MPTSLLIASLIYLLLIPKAAMRFTKQFQFGCLALLFILGAWPSKSTARTLLDAPMYERHEQWMTQYGRVYKDDTERATRYSIFKENVARIDAFNSQTGKSYKLGVNQFADLTNEEFKASRNRFKGHMCSPQAGPFRYENVSAVPSTMDWRKEGAVTPVKDQGQCGCCWAFSAVAAMEGINKLTTGKLISLSEQEVVDCDTKGEDQGCNGGLMDDAFKFIEQNKGLTTEANYPYKGTDGTCNTNKAAIHAAKITGFEDVPANSEAALMKAVAKQPVSVAIDAGGSDFQFYSSGIFTGSCDTQLDHGVTAVGYGVSDGSKYWLVKNSWGAQWGEEGYIRMQKDISAKEGLCGIAMQASYPTA >Potri.018G134700.1.v4.1 pep chromosome:Pop_tri_v4:18:14352130:14355227:-1 gene:Potri.018G134700.v4.1 transcript:Potri.018G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134700.v4.1 MQNFFLNLLLAVFFITFFISIIVYKHRSKFKHPNLPPGSSGLPYIGETLELLLTGCKGHPQKFFLDRKAKYASEVFKTNLFCQPAAVLCGAAGNRFLFSNKNKVLKAWYPDFVCKIFPSSVQRPLIEQVDRLRTLLPELLRPDALKRYVGIFDKAAGRHFASEWENKKVVVVFPLAKRFTFGLACSLFLSIEDPDHIAKLASPFNLVVSGIFSIPIDLPGTPLSRAIKASTIIRTELFAIIKQRKKDLAKGKASPKQDILSHMLACDEKGAFMSELDIADTILALLASAHESTSAACAFIVKYLAELPLIYNAVYKEQMKISETKAPGDDLLNWNDIQNMTYSWNVIREVLRLCPTFPNVREAIHDFDFNGFSIPKGWKVYWNANSTHRNPEYFPEPERFDPSRFEGTGPAPYTFVPFGGGPMMCPGQGFARLEMLIFMHNLVKRFKFDKFVAEEKIMFSPMPIPEKGVPIRLFPHRP >Potri.011G031000.1.v4.1 pep chromosome:Pop_tri_v4:11:2532052:2534499:-1 gene:Potri.011G031000.v4.1 transcript:Potri.011G031000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031000.v4.1 MVSPNENDNWVFDCGLIEDISVPGGDLLGLESLDETPNGSLWSSHNFTDSAFLSVEFNNSYENSDGHKESGSRKRVRPGSSNATGSKACREKMRRDRLNDRFTELGALLDPGRPPKVDKSAILVDAARMVTQLRDESQKLKESNVSLQEKIDELKAEKNELRDEKQRLKTEKENLERQVKALSTPPNFLPHPSAIPAPFSAPGQVVGSKLMPFVGYPGISMWQFMPPAVVDTSQDHVLRPPVA >Potri.015G001800.1.v4.1 pep chromosome:Pop_tri_v4:15:93529:94123:1 gene:Potri.015G001800.v4.1 transcript:Potri.015G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G001800.v4.1 MIYPKKKMMMMMMIPSTLLIHFLLIASIALFSAPTVRSDANPIRLPTLDHNNNNDDDDDACGEWSRRSPVSSCPVKCFRPDPVCGVDGVTYWCGCDDARCAGTKVTKKGFCEVGNNGAAAQALLLVHIVWLIVLGFSILFGLF >Potri.014G119200.1.v4.1 pep chromosome:Pop_tri_v4:14:8027002:8027891:1 gene:Potri.014G119200.v4.1 transcript:Potri.014G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119200.v4.1 MELNKFILLLLFSSPLLSFVAEAICVPRNVSNPEATGPAFVLGPALSFPSSPPVQAPLPPTDPSPSPQASSPSPPVSPTKSISILPSPASNYALTKICGLTDYPAECIATIAPYLTGRTDPISVLKMGMQALHKSFEEATAVATKLNKDPSSSAVVKDSLDTCLESFDSGMSDLNDALIAISSHDIGKLSTMLSATITYPDTCEEAFAERPGLDSPMKAMDRKLTTLASINLAISASLHWS >Potri.008G060400.1.v4.1 pep chromosome:Pop_tri_v4:8:3632885:3636462:-1 gene:Potri.008G060400.v4.1 transcript:Potri.008G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060400.v4.1 MDWGNVTAEDLIGALKEVDWTSPPRPLNEFFSRFTIPRSYSKWSSRLKCNSYYYRTNYFILILLILGVACILRPLAILATALSALSIAFLNDSFAATFSERVTRTVRKFSPHLAAKMRPRHMPVIRGRPSAKKSVYILGQPRLLFVLLFSAVSFVLWYASGSLLYISWALISGLLVIVLHASFKTPNLKARLNTFREEFRAVWRNYSDL >Potri.010G075133.1.v4.1 pep chromosome:Pop_tri_v4:10:10304231:10304993:-1 gene:Potri.010G075133.v4.1 transcript:Potri.010G075133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075133.v4.1 MAINRKSHATIFFTILFAILVTLSTIQSGAATRPMHGEQWLKKHFPRIESLQRGPVTPSAGSPCTHNTVGSGHCTMNEMNFVGRANHQPPPPFPSSVIDHSKASN >Potri.008G109400.2.v4.1 pep chromosome:Pop_tri_v4:8:6934965:6936825:-1 gene:Potri.008G109400.v4.1 transcript:Potri.008G109400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109400.v4.1 MYVTRPLSMYLRDPSALASPPPEGPNSGVLVIQDEEAVPTFCCGFFKSDRVSWGLPFPQNKNLTVRYTQQTGEHQDVDTNRVLFIPVLNQPLSSNQYYVVERKGKHKGEAYINSKEEDMKTCCFCSCISDLKPQPLDPRNIYQQFEIQHRKRGGFAAKSVRADGFPPNFLRRKGWRVYTSTSKEFQLNEAPGLNTALRARLPDFNFPLSQRCSTPVVAGKWYCPFMFIKEGTVLKDQMKYSTYYEMTLEQQWEQIFACENMYNEGNTVTVDVAVECEVVTVGGTEAVADQKDVVDGVMWFRSLNRVTGSETSVGLRMEIVERMKGVQGVGGASGDEREVRVKRKEVFDGKGKWRKFGCYVLVERFALKRMDGSLLLTYDFRHPHRIRSRWEL >Potri.006G207600.1.v4.1 pep chromosome:Pop_tri_v4:6:21567750:21568215:1 gene:Potri.006G207600.v4.1 transcript:Potri.006G207600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G207600.v4.1 MIWAYPPTRKQLAATVGLFLTGASLSVYGAYMSLANIAPQQARTKARSDYIKDRLRKMLDD >Potri.004G149332.1.v4.1 pep chromosome:Pop_tri_v4:4:17149666:17152046:1 gene:Potri.004G149332.v4.1 transcript:Potri.004G149332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149332.v4.1 MDVVPGSVGTSASFSLRLGQTIFSSASLLFMSLGVEFYTYTAFCYLVTIMGLTIPWSFTLAIVDGYSVLVKCPVRQPGILLIIVLGDWVLSTLTLAAACSTASVVDLLLHSDGSYCPPKFCSRYQISAAMAFLSWFLSVASSLFNLWLLPSL >Potri.004G014700.1.v4.1 pep chromosome:Pop_tri_v4:4:1019528:1022358:1 gene:Potri.004G014700.v4.1 transcript:Potri.004G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014700.v4.1 MSVIILLVHLSSFLVAHLFISGVVSTTFTITNKCNYTVWPGISSQSGSAALSTTGFSLEKNESKTLTAPDSWTGRFWGRTYCTEDSSGNFSCISGDCGSGKLECSGNGGALPVTLAEFSLRGFNGLDFFDVSLVDGFNLPLLVVPSRQNCTSTGCVADLNESCPSELRVTTSTEGKTAACMSACQVTKLSMFCCTSNATCKPSLYSQFFKNACPQAYSYAYDDQTSTFTCASTDYQVTFCPGNTTVITENNSRPSPGEIAPIPPPEIRPNTTSRRHWVPIIAGIVGGVLAIISFVVIIVWRVRLSKSNDTEEDVEDDYIKQVPGMPVRFSYEDLRVATNDFKETLGRGGFGSVFKGVLADGTGIAVKRLDKLGQGKRAFLAEVETIGSVHHFNLVRLIGFCAEKSYRLLVYEYMSNSSLDNWIFKKVQGSSLDWQTRKKIILDIAKGMAYLHEECRQTIMHLDIKPQNILLDPNFNAKISDFGLSKLIDREMSQVQLSMRGTPGYLAPEWHQELGRITVKVDVYSFGIVLLEVVCARRSVDHSQPESAFHLLRMLQNKAEYILKFLDEYMQSDRDEIIRMIKIAAWCLQDDPEKRPLMSTVVKVLEGVMEVDSNLVYKFSHALAPPPVADDYILSAPPPASVLSNPR >Potri.004G014700.4.v4.1 pep chromosome:Pop_tri_v4:4:1019614:1022343:1 gene:Potri.004G014700.v4.1 transcript:Potri.004G014700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014700.v4.1 MLLLAGVVSTTFTITNKCNYTVWPGISSQSGSAALSTTGFSLEKNESKTLTAPDSWTGRFWGRTYCTEDSSGNFSCISGDCGSGKLECSGNGGALPVTLAEFSLRGFNGLDFFDVSLVDGFNLPLLVVPSRQNCTSTGCVADLNESCPSELRVTTSTEGKTAACMSACQVTKLSMFCCTSNATCKPSLYSQFFKNACPQAYSYAYDDQTSTFTCASTDYQVTFCPGNTTVITENNSRPSPGEIAPIPPPEIRPNTTSRRHWVPIIAGIVGGVLAIISFVVIIVWRVRLSKSNDTEEDVEDDYIKQVPGMPVRFSYEDLRVATNDFKETLGRGGFGSVFKGVLADGTGIAVKRLDKLGQGKRAFLAEVETIGSVHHFNLVRLIGFCAEKSYRLLVYEYMSNSSLDNWIFKKVQGSSLDWQTRKKIILDIAKGMAYLHEECRQTIMHLDIKPQNILLDPNFNAKISDFGLSKLIDREMSQVQLSMRGTPGYLAPEWHQELGRITVKVDVYSFGIVLLEVVCARRSVDHSQPESAFHLLRMLQNKAEYILKFLDEYMQSDRDEIIRMIKIAAWCLQDDPEKRPLMSTVVKVLEGVMEVDSNLVYKFSHALAPPPVADDYILSAPPPASVLSNPR >Potri.004G014700.3.v4.1 pep chromosome:Pop_tri_v4:4:1019408:1022353:1 gene:Potri.004G014700.v4.1 transcript:Potri.004G014700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014700.v4.1 MSVIILLVHLSSFLVAHLFISAGVVSTTFTITNKCNYTVWPGISSQSGSAALSTTGFSLEKNESKTLTAPDSWTGRFWGRTYCTEDSSGNFSCISGDCGSGKLECSGNGGALPVTLAEFSLRGFNGLDFFDVSLVDGFNLPLLVVPSRQNCTSTGCVADLNESCPSELRVTTSTEGKTAACMSACQVTKLSMFCCTSNATCKPSLYSQFFKNACPQAYSYAYDDQTSTFTCASTDYQVTFCPGNTTVITENNSRPSPGEIAPIPPPEIRPNTTSRRHWVPIIAGIVGGVLAIISFVVIIVWRVRLSKSNDTEEDVEDDYIKQVPGMPVRFSYEDLRVATNDFKETLGRGGFGSVFKGVLADGTGIAVKRLDKLGQGKRAFLAEVETIGSVHHFNLVRLIGFCAEKSYRLLVYEYMSNSSLDNWIFKKVQGSSLDWQTRKKIILDIAKGMAYLHEECRQTIMHLDIKPQNILLDPNFNAKISDFGLSKLIDREMSQVQLSMRGTPGYLAPEWHQELGRITVKVDVYSFGIVLLEVVCARRSVDHSQPESAFHLLRMLQNKAEYILKFLDEYMQSDRDEIIRMIKIAAWCLQDDPEKRPLMSTVVKVLEGVMEVDSNLVYKFSHALAPPPVADDYILSAPPPASVLSNPR >Potri.003G110901.2.v4.1 pep chromosome:Pop_tri_v4:3:13330284:13333154:1 gene:Potri.003G110901.v4.1 transcript:Potri.003G110901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110901.v4.1 MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSSIYEEKKDEDGFLYVTYSGENTFGTQIPL >Potri.003G110901.1.v4.1 pep chromosome:Pop_tri_v4:3:13330318:13333156:1 gene:Potri.003G110901.v4.1 transcript:Potri.003G110901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110901.v4.1 MAKSYFKQEHDLEKRRAEAARIREKYPDRIPVIVEKAERSDIPNIDKKKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSSIYEEKKDEDGFLYVTYSGENTFGTQIPL >Potri.011G148400.4.v4.1 pep chromosome:Pop_tri_v4:11:17604937:17607140:-1 gene:Potri.011G148400.v4.1 transcript:Potri.011G148400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148400.v4.1 MQACAPYAAMLLVQFAYGGSNILMKIALEKGLNQIVFVVYRHVIAVILLGPFAYVIERKQRPSLSLSVIIKIFVLSSLGTTIHLNVYYAGLAYTSPTVASALSNVIPSLTFIMAVLLGMEKVKTESPRGWAKMLGTTICISGSLVFTFWKGGYLFKSFENRALINIYSTKGSAGEYMHAKENWIKGSALILTSHVAWSAWLILQAVVYKVYPARLSLNTLICFFASIQSSFLALFFARTTAIWKLDWNVQLLTIIYCGVVISALGYYLQTWCISHKGPVFVAMFSPLLVVIVGLFSAFAFAERLHLGSLIGTGLIVVGLYCVLWGKRQDNSAAQKPDEGRGLANGKTMEISINDYPLTNPDTSGRK >Potri.007G062482.1.v4.1 pep chromosome:Pop_tri_v4:7:7176897:7177058:-1 gene:Potri.007G062482.v4.1 transcript:Potri.007G062482.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062482.v4.1 MFFDTPRTWILYEPMDRNKSLLLAMTSSFITSSFLYPSPLFSVTHQMALSSYL >Potri.006G203900.1.v4.1 pep chromosome:Pop_tri_v4:6:21181247:21187290:1 gene:Potri.006G203900.v4.1 transcript:Potri.006G203900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203900.v4.1 MGVPQTMEALRERAEFIKESLQKSQTITDNMVSILGSFDHRLSALETAMRPTQIRTHSIRRAHENIDKTLKAAEVILSQFDLTRKAEAKILRGPHEDLESYLEAIDQLRSNVKFFSSNKSFKCSDGVLNHANQLLAKAISKLEEEFRKLLSNYSKPVEPDRLFECLPDSLRPSSSGSPRNHGDGSGKSLIDHQEKSLENAVYTLPILIPPRVLPLLHDLAQQMAQAGHQQQLFRIYRDTRASVLEQSLRKLGVERLGKDDVQKMQWEVLEAKIGNWIHYMRIAVKLLFAGEKKICDQILDGVDSLRDQCFAEVTVNSVSVLLSFGEAIAKSKRSPEKLFVLLDMYEIMRELHSETELLFGSKACIEMREAALSLTKRLAETVQETFVDFEEAVEKDATKTTVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFREFDASDPDALLASVTTRIMQALQNSLDGKSKQYRDPALTQLFLMNNIHYIVRSVQRSEAKDLLGDDWVQIHRRIVQQHANQYKRISWAKILQCLSVQGGASGGGSAMGADGSASGISRAMVKDRFKTFNAQFEELHQRQSQWTVPDSELRESLRLAVAEVLLPAYRSFQKRFGPMVENGKNPQKYIRYSPEVLDRMMNEFFEGKTWNEQKR >Potri.014G161600.2.v4.1 pep chromosome:Pop_tri_v4:14:11599652:11606140:1 gene:Potri.014G161600.v4.1 transcript:Potri.014G161600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161600.v4.1 MQSDSGKLFIGGISWDTDEERLKGYFRSFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAERVIKEKHSIDGRMVEAKKAVPRDDQNILNRNSGGSIHSSPGPGRTKKIFVGGLASTVTENDFKNYFDQFGTIIDVVVMYDHNTQRPRGFGFITFDSEEAVDKVLMRTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLNRVNSFLNGYTQGYTPGTVGGYGLRMDGRFSSVAGVRSGFPPFGSGYGMGMNFEPALSPSYGGNANFNSNLSYGRGMNPYYIGNSNSLARPVGYDGGNGGNTSFFSSATRNLWGNGGLNHNSNSTSSNAYMGSGTGSLGGSTFGNSGANWSSSSLPVQGGGNNVSNSNLSFGYGSGENSFGLGIGSYARNSGNNVGATISHAASNGSFDGAFADLYGGSSDYGDPAWQSSNSERDGSVSFGYGLGNATSDVPVKTSPGYVGGYSVNKRQSNRGIAT >Potri.014G161600.3.v4.1 pep chromosome:Pop_tri_v4:14:11599649:11606108:1 gene:Potri.014G161600.v4.1 transcript:Potri.014G161600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161600.v4.1 MQSDSGKLFIGGISWDTDEERLKGYFRSFGEVVEAVIMKDRTTGRARGFGFVVFADPAVAERVIKEKHSIDGRMVEAKKAVPRDDQNILNRNSGGSIHSSPGPGRTKKIFVGGLASTVTENDFKNYFDQFGTIIDVVVMYDHNTQRPRGFGFITFDSEEAVDKVLMRTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLNRVNSFLNGYTQGYTPGTVGGYGLRMDGRFSSVAGVRSGFPPFGSGYGMGMNFEPALSPSYGGNANFNSNLSYGRGMNPYYIGNSNSLARPVGYDGGNGGNTSFFSSATRNLWGNGGLNHNSNSTSSNAYMGSGTGSLGGSTFGNSGANWSSSSLPVQGGGNNVSNSNLSFGYGSGENSFGLGIGSYARNSGNNVGATISHAASNGSFDGAFADLYGGSSDYGDPAWQSSNSERDGSVSFGYGLGNATSDVPVKTSPGYVGGYSVNKRQSNRGIAT >Potri.011G044500.1.v4.1 pep chromosome:Pop_tri_v4:11:3476734:3479433:1 gene:Potri.011G044500.v4.1 transcript:Potri.011G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044500.v4.1 MDRIRGLLRLCALAFIFFAGLASISLPGALGWSKEGHIITCRIAQNLLGPEAAHAVENLLPHNLNGDLSALCIWPDQIRHWYRYRWTSPLHFIDTPDKACTFDYSRDCVKDACVDGAIQNFTSQLLHYRDGTADRRYNLTEALLFLSHFMGDIHQPMHVGFTSDEGGNTVELRWFRHKSNLHHVWDREIILTALKDFYDNDMVLLQEAIEGNFTDGIWFDDVASWKDCDDLLSCPDKYATESINMACKWGYKGVKEGVTLADDYFDSRMPIVMKRIAQGGVRLAMFLNRIFGDPEEGFASPT >Potri.005G096000.1.v4.1 pep chromosome:Pop_tri_v4:5:6787164:6788197:1 gene:Potri.005G096000.v4.1 transcript:Potri.005G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096000.v4.1 MYYLTLVAYYIYFNSSKVFYFLSLLVLALFYMFVLKQSVGDTQKFAAWVCMQEARDLFCLQFEESSTISAARHHSNVSCYRVSVLSGLIA >Potri.007G047800.1.v4.1 pep chromosome:Pop_tri_v4:7:4395176:4396669:-1 gene:Potri.007G047800.v4.1 transcript:Potri.007G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047800.v4.1 MEAGQPSGTLSVDDLSSLSSTPATTISRWSFVVSQRFQHFLDKTVPYILYRWIACLIVVLIYVIRVYLVQGFYIITYGLGIYLLNLLIGFLSPQIDPEIHDGPSLPTRGSDEFRPFVRRLLEFKFWYSITKAFCIAFVMTFFSVFDVPVFWPILLTYWVVLFVLTMRRQISHMIKYRYVPFSTGKQRYDGKKAPSTDSAL >Potri.001G070400.1.v4.1 pep chromosome:Pop_tri_v4:1:5309719:5311030:1 gene:Potri.001G070400.v4.1 transcript:Potri.001G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070400.v4.1 MPKGSFLSCGVERDHNSSVEKKAEHTMEGVSLELKVISCRDLKAFNFFQKLSVYVVVSVFNDEPRKNEQQRQKTAVDFLSCVLKKNEKQRQRLQGQKTPLNDEAQKNEQQQLLQRQKTPADREGGSNPEWNHMMEFDLNTTSLPGHGDHLFFKFELRCEGAIFGNKSIGEVCVPFKDLIEEFNGSVRFVSYQVRNSDGKPNGVLNLSYEVNEKVQKEGIESPKVDLPPGIRFSSPKKVRYPSVEVDVKSRNACLYPSLDDISFSSPSPGSGLPSMELSHPVKVRYTMPPPTFPLQLPPSVAVDHGVHQHQFPSPLTQSPGSYRYTTKTPEYGCGTCGYPRGWAIRDVMFS >Potri.001G070400.2.v4.1 pep chromosome:Pop_tri_v4:1:5309823:5311031:1 gene:Potri.001G070400.v4.1 transcript:Potri.001G070400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G070400.v4.1 MEGVSLELKVISCRDLKAFNFFQKLSVYVVVSVFNDEPRKNEQQRQKTAVDFLSCVLKKNEKQRQRLQGQKTPLNDEAQKNEQQQLLQRQKTPADREGGSNPEWNHMMEFDLNTTSLPGHGDHLFFKFELRCEGAIFGNKSIGEVCVPFKDLIEEFNGSVRFVSYQVRNSDGKPNGVLNLSYEVNEKVQKEGIESPKVDLPPGIRFSSPKKVRYPSVEVDVKSRNACLYPSLDDISFSSPSPGSGLPSMELSHPVKVRYTMPPPTFPLQLPPSVAVDHGVHQHQFPSPLTQSPGSYRYTTKTPEYGCGTCGYPRGWAIRDVMFS >Potri.013G082850.2.v4.1 pep chromosome:Pop_tri_v4:13:7181227:7182324:-1 gene:Potri.013G082850.v4.1 transcript:Potri.013G082850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082850.v4.1 MCAQPKVVVKIESEEDMLVLQDRAKSLNLPTHITIDAGRTQIAPSRMVYS >Potri.013G082850.1.v4.1 pep chromosome:Pop_tri_v4:13:7173683:7182324:-1 gene:Potri.013G082850.v4.1 transcript:Potri.013G082850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082850.v4.1 MCAQPKVVVKIESEEDMLVLQDRAKSLNLPTHITIDAGRTQIAPNSRTVMAILEKH >Potri.008G039200.2.v4.1 pep chromosome:Pop_tri_v4:8:2192836:2198724:1 gene:Potri.008G039200.v4.1 transcript:Potri.008G039200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039200.v4.1 MAAAPSTTAVPYLEKVDFMKLQNGSDIRGVAVAGVEGEPVTLTEPVTEAIAAAFSAWLLEMKRADASKPLRVSVGHDSRISAQVLQDAVSQGIAGAGLDVVQYGLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKIILERAADIYKSFTDQGLMKSKRKASESIKRVDYMTVYTSDLVKAVRKAAENIEKPLEGFHIVVDAGNGAGGFFAEKVLQPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLENKADLGIIFDTDVDRSAAVDSIGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAVRLNSVGEESHLAIETSGHGALRENHWLDDGAYLMVKVLNKLASARASGIAGGSKVLTDLVEGLQEPGVAVELRLKIDQNHPDLKGGSFREYGEAVLQLLENHIESDPKLQKAPVNYEGVRASGFGGWFLLRLSLHDPVLPLNIEATNHEDAVKLGLAVSSAVKEFHALDTSALDKFIQT >Potri.008G039200.1.v4.1 pep chromosome:Pop_tri_v4:8:2192856:2198657:1 gene:Potri.008G039200.v4.1 transcript:Potri.008G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039200.v4.1 MAAVCEKIVENVIVAKNRVLTTNQYNQRDCCSAAAPYNHRNFLSFHGGKKLLPKYHCGFVSRRNIYCNAAPSTTAVPYLEKVDFMKLQNGSDIRGVAVAGVEGEPVTLTEPVTEAIAAAFSAWLLEMKRADASKPLRVSVGHDSRISAQVLQDAVSQGIAGAGLDVVQYGLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKIILERAADIYKSFTDQGLMKSKRKASESIKRVDYMTVYTSDLVKAVRKAAENIEKPLEGFHIVVDAGNGAGGFFAEKVLQPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLENKADLGIIFDTDVDRSAAVDSIGREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIEKKLGGKHHRFKRGYKNVIDEAVRLNSVGEESHLAIETSGHGALRENHWLDDGAYLMVKVLNKLASARASGIAGGSKVLTDLVEGLQEPGVAVELRLKIDQNHPDLKGGSFREYGEAVLQLLENHIESDPKLQKAPVNYEGVRASGFGGWFLLRLSLHDPVLPLNIEATNHEDAVKLGLAVSSAVKEFHALDTSALDKFIQT >Potri.012G056300.2.v4.1 pep chromosome:Pop_tri_v4:12:5502262:5505049:-1 gene:Potri.012G056300.v4.1 transcript:Potri.012G056300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056300.v4.1 MEENLPPGFRFHPTDEELITCYLTRKVSDTSFTSKAMVDVDLNKCEPWDLPGKASMGEKEWYFFSLRDRKYPTGLRTNRATEAGYWKTTGKDKEIFRAGVLVGMKKTLVFYRGRAPRGEKSNWVMHEYRLDDKHPFKSTKEEWVVCRVFQKSTEVKKPQQEPSSQQSLGSPCDTNSIVNDKFGDIANSSSGFNNILAHSYNNESNVNVDSHMNWAAAREAATLPSLAWPSGLLSTNLTMNSLLLKALQLRSYQQREATTTNYSFLTNGIPHEYGAALGSNFQASSSKVLDSAPQPQQEQPFSLDSINW >Potri.015G012400.3.v4.1 pep chromosome:Pop_tri_v4:15:777797:784691:-1 gene:Potri.015G012400.v4.1 transcript:Potri.015G012400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012400.v4.1 MESLCIVGNGGVSTCQAFTRLDTTRVGFRPRAQLGFGCFVRERYKYGNLVIARSGRSEVGNSKDGNFAVENEKEEKRGGLILGPERDSSGSIIGFNLIPPSGDGEVLESHEDATTGGTEEAEDIEGVEKVQTGVTYNIVFVTSEAAPYSKTGGLGDVCGSLPIVLAARGHRVMVVSPRYLHGSPADKNFAGASELDCHIKVYCFGGEQEVAFFHEYREGVDWVFVDHPSYHRPGNPYGDSRGAFGDNQFRFALLCHAACEAPLVLPLGGYTYGEKCLFLVNDWHAGLVPVLLASKYRPYGVYKDARTILVIHNLAHQGVEPAATYTNLGLPSEWYGALGWVFPTWARTHALDTGEAVNLLKGAIVTVDRILTVSKGYAWEITTVEGGYGLHELLSSRRSVLNGITNGIDIYEWNPSSDKHIASNYSVDDLSGKVQCKIALQKELGLPIKPDCPLIGFIGRLDYQKGIDLIRWATPELLEDDVQFVMLGSGDPLYEDWMRATESTYKDKFRGWVGFNIPISHKITAGADILLMPSRFEPCGLNQLYAMRYGTVPVVHGTGGLRDTVQAFDPYSKGGLGEGTGWIFSPLSKESMLAALRVAIMTYRDHKSSWEGIMKRGMVKDSTWENAAVHYEQVFEWAFIDPPYIN >Potri.015G012400.8.v4.1 pep chromosome:Pop_tri_v4:15:777794:784796:-1 gene:Potri.015G012400.v4.1 transcript:Potri.015G012400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012400.v4.1 MESLCIVGNGGVSTCQAFTRLDTTRVGFRPRAQLGFGCFVRERYKYGNLVIARSGRSEVGNSKDGNFAVENEKEEKRGGLILGPERDSSGSIIGFNLIPPSGDGEVLESHEDATTGGTEEAEDIEGVEKVQTGVTYNIVFVTSEAAPYSKTGGLGDVCGSLPIVLAARGHRVMVVSPRYLHGSPADKNFAGASELDCHIKVYCFGGEQEVAFFHEYREGVDWVFVDHPSYHRPGNPYGDSRGAFGDNQFRFALLCHAACEAPLVLPLGGYTYGEKCLFLVNDWHAGLVPVLLASKYRPYGVYKDARTILVIHNLAHQGVEPAATYTNLGLPSEWYGALGWVFPTWARTHALDTGEAVNLLKGAIVTVDRILTVSKGYAWEITTVEGGYGLHELLSSRRSVLNGITNGIDIYEWNPSSDKHIASNYSVDDLSGKVQCKIALQKELGLPIKPDCPLIGFIGRLDYQKGIDLIRWATPELLEDDVQFVMLGSGDPLYEDWMRATESTYKDKFRGWVGFNIPISHKITAGADILLMPSRFEPCGLNQLYAMRYGTVPVVHGTGGLRDTVQAFDPYSKGGLGEGTGWIFSPLSKESMLAALRVAIMTYRDHKSSWEGIMKRGMVKDSTWENAAVHYEQVFEWAFIDPPYIN >Potri.001G342700.1.v4.1 pep chromosome:Pop_tri_v4:1:35345444:35351849:-1 gene:Potri.001G342700.v4.1 transcript:Potri.001G342700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342700.v4.1 MASSDIGLILENSKELDRLRKEQEDVLLDINKMHKKLQATPEVVEKPGDTSLAKLKTLYTQAKELSESEVSVSSALLTQLDALLPSGPPGQQRRRIEGNDQKRKRIKADSDISRLSPAVRSQLEACASLKGEQVAARVTGDNAEKDEWFVVKVIHFDRETKEFEVLDEEPGDDEESGGQRKYKLPMSCIIPFPKRNDPSSAPDFPPGRHVLAVYPGTTALYKATVVSPLRKRKIDDYLLEFDDDEEDGALPQRIVPFYKVVPLPEGHRQ >Potri.013G061800.6.v4.1 pep chromosome:Pop_tri_v4:13:4552874:4558733:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.013G061800.12.v4.1 pep chromosome:Pop_tri_v4:13:4553713:4558592:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.013G061800.2.v4.1 pep chromosome:Pop_tri_v4:13:4553610:4558719:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.013G061800.8.v4.1 pep chromosome:Pop_tri_v4:13:4553747:4558657:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.013G061800.13.v4.1 pep chromosome:Pop_tri_v4:13:4553746:4558592:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.013G061800.10.v4.1 pep chromosome:Pop_tri_v4:13:4553746:4558653:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.013G061800.9.v4.1 pep chromosome:Pop_tri_v4:13:4553747:4558655:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.013G061800.3.v4.1 pep chromosome:Pop_tri_v4:13:4553695:4558592:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.013G061800.11.v4.1 pep chromosome:Pop_tri_v4:13:4553746:4558602:-1 gene:Potri.013G061800.v4.1 transcript:Potri.013G061800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061800.v4.1 MASHIVGYPRMGPKRELKFALESFWDGKSSAEDLQKVAADLRESIWKQMTDAGIKYIPSNTFSYYDQMLDTTAMLGAVPPRYGWNGGEIGFDVYFSMARGNASVPAMEMTKWFDTNYHFIVPELGPDVNFSYASHKAVTEYKEAKALGVDTIPVLIGPVSYLLLSKPAKGVEKTFSLLSLLGKILPIYKEVISELKEAGASWIQFDEPTLVMDLESHKLEAFTEAYSELESTVSGLNVLIETYFADIPAKQYKTLTSLKGVTALGFDLVRGTKTLDLIKGEFPKGKYLFAGVVDGRNIWANDLAASLSTLVALEGIVGKDKLVVSTSCSLLHTAVDLVNETKLDKEIKSWLAFAAQKVVEVNALAKALAGEKDEEFFSANVAAHTSRKSSPRVNNEAVQKAAAALKGSDHRRVTNVSARLDAQQKKLNLPILPTTTIGSFPQTMELRKVRREYKAKKVSEVDYVEAIKKEISKVVKLQEEFDIDVLVHGEPERNDMVEYFGEQLSGFVFSANGWVQSYGSRCVKPPIIYGDVSRPKSMTVFWSALAQSMTSRPMKGMLTGPVTILNWSFVRNDQPRFETCYQIALAIKDEVEDLEKNGITVIQIDEAALREGLPLRKSEQAFYLDWAVHSFRITNCGVQDTTQIHTHMCYSNFNDIIHSIIDMDADVITIENSRSDEKLLSVFREGVKYGAGIGPGVYDIHSPRIPSTEEIADRINKMLAVLETNILWVNPDCGLKTRKYSEVKPALKNMVDAAKLLRTQLASAK >Potri.001G266900.1.v4.1 pep chromosome:Pop_tri_v4:1:28177894:28184201:-1 gene:Potri.001G266900.v4.1 transcript:Potri.001G266900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266900.v4.1 MSEESASQPAGLRKSKMPLSVFFRDARLFKKDELGSEIIRIALPAAMALAADPVASLIDTAFIGRLGPVEIAAVGVAIAIFNQASKVTIFPLVSITTSFVAEEDTVHRNTKIEAEKAEDMKKDAKSGEAKESVPNDEMLESLEKGSATNNEKNIENKYSLSEDDCKTITSRSSTHTDTESVNPEQKNERRHIPSASTALIVGGILGLVQAIFLIFGAKPLLHIMGVKSGSAMLNPARKYLTLRALGSPAVLLSLAMQGVFRGFKDTKTPLYATVIGDLTNIILDPIFIFVCRWGVSGAAIAHVVSQYLISVILLWRLMKKIDLLPPRVKDLQFSRFLKNGFLLLARVIAATICVTLAASRAARLGSTTMAAFQICLQVWLTSSLLADGFAVAGQAIIACAFAEKDYQKATTAATRVLQMSFILGIGLAVVVGLALHFGDIIFSKDPNVLRIIAIGIPFVAGTQPINSIAFVFDGVNFGASDFAYSSYSMVLVATASIAAIFVLSKTSGFVGIWVALTIFMGLRAFAGVWRMGTGTGPWRFLRGRLLS >Potri.015G136700.4.v4.1 pep chromosome:Pop_tri_v4:15:14551999:14558608:1 gene:Potri.015G136700.v4.1 transcript:Potri.015G136700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G136700.v4.1 MASAAPPLLLQTLPTRILAPSLHAPSKLKFKLPPSYPHLHQSRPFPPLLKRHRTATTITSAYVTGPASDPIVTEPDHKLDPTDNDSSVTEKVQSTELISWGLLWSLLAKHKVRLVVCAFTLVGCTSCTLSMPIFSGRFFEVLIGARPEPLWRLLSKMGVLYALEPIFTVIFVVNMNTVWEKVMATLRAQIFRRVLIQKVEFFDRYKVGELSALLMSDLGSFKDIVSENISRDRGFRAFSEVTGTICILFALAPQLAPILGVLMFAVSISVAVYKRSTVPVFKAHGKAQASISDCVTETFSAIRTVRSFGGEKRQMLNFGSQVLAYQRSGIKLGAFKSVNESLTRVAVYISLLTLYSLGGSRVKAGLLSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGALAAIERINSVLSGVEIDEALAYGLERQIQKKEVHDEKISLFLVNGYSGQNQIFNTHYMSALKSANTVCSFAGSGDVCLEDVHFSYPLRPDVEILNGLNLTLKCGTVTALVGSSGSGKSTIVQLLARFYEPTRGRITVSGEDVRTFEKTEWVEAVSIVNQEPVLFSVSVGENIAYGLPDDNVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAVSERLVQDALDQLMKGRTTLVIAHRLSTVQNANQIALCSGGRIAELGTHSELLDKKGQYASLVGTQRLAFE >Potri.015G136700.5.v4.1 pep chromosome:Pop_tri_v4:15:14551999:14558265:1 gene:Potri.015G136700.v4.1 transcript:Potri.015G136700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G136700.v4.1 MASAAPPLLLQTLPTRILAPSLHAPSKLKFKLPPSYPHLHQSRPFPPLLKRHRTATTITSAYVTGPASDPIVTEPDHKLDPTDNDSSVTEKVQSTELISWGLLWSLLAKHKVRLVVCAFTLVGCTSCTLSMPIFSGRFFEVLIGARPEPLWRLLSKMGVLYALEPIFTVIFVVNMNTVWEKVMATLRAQIFRRVLIQKVEFFDRYKVGELSALLMSDLGSFKDIVSENISRDRGFRAFSEVTGTICILFALAPQLAPILGVLMFAVSISVAVYKRSTVPVFKAHGKAQASISDCVTETFSAIRTVRSFGGEKRQMLNFGSQVLAYQRSGIKLGAFKSVNESLTRVAVYISLLTLYSLGGSRVKAGLLSVGTVASFIGYTFTLTFAVQGLVNTFGDLRGALAAIERINSVLSGVEIDEALAYGLERQIQKKEVHDEKISLFLVNGYSGQNQIFNTHYMSALKSANTVCSFAGSGDVCLEDVHFSYPLRPDVEILNGLNLTLKCGTVTALVGSSGSGKSTIVQLLARFYEPTRGRITVSGEDVRTFEKTEWVEAVSIVNQEPVLFSVSVGENIAYGLPDDNVSKDDIIKAAKAANAHEFIISLPQGYDTLVGERGGLLSGGQRQRIAIARALLKNAPILILDEATSALDAVSERLVQDALDQLMKGRTTLVIAHRLSTVQNANQIALCSGGRIAELGTHSELLDKKGQYASLVGTQRLAFE >Potri.017G040800.7.v4.1 pep chromosome:Pop_tri_v4:17:2718126:2721885:1 gene:Potri.017G040800.v4.1 transcript:Potri.017G040800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040800.v4.1 MTSIIYPTPGALREKMRLHHRHLLWELPLIYRGESALVKRVKHRVARSKAREPQAVAFEVSTAASEGGSKYDEKLLQNYVPLYVMLPLGVVTADNVFEGGEKLEKQLKDLRAAGIDGVMVDVWWGIIEAKGPKQYEWSAYRSLFELVNKCDLKIQAIMSFHQCGGNVGDVVYIPIPQWVRDIGETDPDIFYTNRSGNRNEEYLSLGVDHQPLFGGRTAIEMYSDYMKSFRENMADFLEAGQIIDIEVGCGAAGELRYPSYPETQGWVFPGIGEFQCYDKYLKAEFKEAAKNAGHPEWELPDDAGTYNDKPDSTEFFKQNGTYLTEKGKFFLTWYSNKLLMHGDDILDEANKAFVGCKVKLAAKVSGLHWWYKHHSHAAELTAGYYNLKDRDGYRPAARILSRHHAIMNFTCLEMRDSEQSAEAKSGPQELVQQVLSGAWREKIEVAGENALSRYDAEAYNQILLNARPNGVNKWGPPKLRMFGVTYLRLYDELFEEKNFNLFKTFVRKMHADQDYCPDPSKYGHEIGPLERSNPPIPVDDIIDATTPMKPFPWNKQTDMPVDGAGQFGLLGGLINGIKSIFFK >Potri.017G040800.1.v4.1 pep chromosome:Pop_tri_v4:17:2718994:2722080:1 gene:Potri.017G040800.v4.1 transcript:Potri.017G040800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040800.v4.1 MQGGSKYDEKLLQNYVPLYVMLPLGVVTADNVFEGGEKLEKQLKDLRAAGIDGVMVDVWWGIIEAKGPKQYEWSAYRSLFELVNKCDLKIQAIMSFHQCGGNVGDVVYIPIPQWVRDIGETDPDIFYTNRSGNRNEEYLSLGVDHQPLFGGRTAIEMYSDYMKSFRENMADFLEAGQIIDIEVGCGAAGELRYPSYPETQGWVFPGIGEFQCYDKYLKAEFKEAAKNAGHPEWELPDDAGTYNDKPDSTEFFKQNGTYLTEKGKFFLTWYSNKLLMHGDDILDEANKAFVGCKVKLAAKVSGLHWWYKHHSHAAELTAGYYNLKDRDGYRPAARILSRHHAIMNFTCLEMRDSEQSAEAKSGPQELVQQVLSGAWREKIEVAGENALSRYDAEAYNQILLNARPNGVNKWGPPKLRMFGVTYLRLYDELFEEKNFNLFKTFVRKMHADQDYCPDPSKYGHEIGPLERSNPPIPVDDIIDATTPMKPFPWNKQTDMPVDGAGQFGLLGGLINGIKSIFFK >Potri.008G094000.1.v4.1 pep chromosome:Pop_tri_v4:8:5863275:5868767:1 gene:Potri.008G094000.v4.1 transcript:Potri.008G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094000.v4.1 MDDTENPDPGTEFTSESSWTLGPDSDRANCFFSNHRENSILSEFGWNLQSDEPARFMDLDPVEAEERSDLAGNIEIQRLQGASPAGSGGGGADVSTSNYPSVSSSSSEDQPDKSTGSGGKPPEIPSTKVKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPAIVITTYEGQHCHHTVGFPRGGIISHEATFASHMTPPTSQFYYPGMQLPRENPPSTVVQSQPLPVGARECNTVPTRTPQLPTDEGLLGDIVPPGMR >Potri.008G094000.2.v4.1 pep chromosome:Pop_tri_v4:8:5863284:5868463:1 gene:Potri.008G094000.v4.1 transcript:Potri.008G094000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094000.v4.1 MDDTENPDPGTEFTSESSWTLGPDSDRANCFFSNHRENSILSEFGWNLQSDEPARFMDLDPVEAEERSDLAGNIEIQRLQGASPAGSGGGGADVSTSNYPSVSSSSSEDQPDKSTGSGGKPPEIPSTKVKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPAIVITTYEGQHCHHTVGFPRGGIISHEATFASHMTPPTSQFYYPGMQLPRENPPSTVVQSQPLPVGARECNTVPTRTPQLPTDEGLLGDIVPPGMR >Potri.001G285750.1.v4.1 pep chromosome:Pop_tri_v4:1:29845706:29846296:-1 gene:Potri.001G285750.v4.1 transcript:Potri.001G285750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285750.v4.1 MAPPQRQVGFLEGCLAALCCCCLLDECCCDPAVVFVT >Potri.001G425500.6.v4.1 pep chromosome:Pop_tri_v4:1:45618992:45624118:-1 gene:Potri.001G425500.v4.1 transcript:Potri.001G425500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425500.v4.1 MKALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAKESQLFPEVLMATVSQNPNVIGIQDRMADSLHLKFEKTSKEGRASELWQRLQGKKMLIILDDVWKHIDLKEIGIPFGDDHRGCKILLTTRVQGICFSMECQQKVLLRVLPEDEAWDLFRINAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSRVQWEVASKELKESQFVRMEQIDEQNNAYTCLKLSYDYLKYEETKSCFVLCCLFPEDYDIPIEDLTRYAVGCGLHQDTEPIEDARKRVSVAIENLKDCCMLLGTETEEHVRMHDLVRDFAIQIASSEEYGFMVKAGIGLKEWPMSIKSFEACTTISLMGNKLTELPEGLVCPRLKVLLLELDDGMNVPQRFFEGMKEIEVLSLEGECLSLQSLELLTKLQSLVLIRCGCKDLIWLRKLQRLKILVFIMCLSIEELPDEIGELKELRLLDVTGCRSLRRIPMNLIGRLKKLEELLIGKDSFKGWDDVGCDSTGGMNASLTELNSLSQLAVLSLRIPKVECIPRDFVFPSLLKYDLMLGNTTKYYSNGYPTSTRLILGGTSLNAKTFEQLFLHKLEFVEVRDCGDVFTLFPARLQQGLKNLRRVEIEDCKSVEEVFELGEEKELPLLSSLTELKLYRLPELKCIWKGPTRHVSLHSLAHLHLDSLDKMTFISTPSLAQSLSKLETLCISKSGELKHIIREEDGEREIIPESPCFPKLKTIIIEGCGKLEYVFRVSVSLTLQSLPQLKRLQVSDCGELKHIIREEDGEREIIPESPRFPKLKTLRISHCGKLEYVFPVSLSHNRDGIIKFPQLRELSLQLRSNYSFLGPRNFDVQLPLQKLAIKGHEEVGNWLAQLQQNGSVQRLEFVQVDDCGDVRAPFPAKLLRALNNLKEVIVGGCKSLEEVFELVEADEGSSEEKELPLLSSLTELQLYQLPELKCIWKGPPRHVSLQSLNLLFLTSLDKLTFIFTPSLARSLSKLERLYISK >Potri.003G174850.1.v4.1 pep chromosome:Pop_tri_v4:3:18215898:18216566:-1 gene:Potri.003G174850.v4.1 transcript:Potri.003G174850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174850.v4.1 MFKSCGFELLYLHERKGSVIVLHLRCYAVADKVSNLKTQRAKRREDESKRKEREKRGKGKKVERDRSCHVTTCDGNRH >Potri.009G159400.1.v4.1 pep chromosome:Pop_tri_v4:9:12359319:12360398:1 gene:Potri.009G159400.v4.1 transcript:Potri.009G159400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159400.v4.1 MTNPVRPGLINLILENRKRHCRAVRFYTVCFAFRQPYKVLWDGTFIHHLIANNIVPADTAISNIPCGPVKLFTTRCVLAELKRLGKSYTESLQAANRLMIARCDHEQMKSAEGCIVEIIGEYNPDHFLVGTQDTDMRKKFQEVTGVPLIFGLRNALFLEPPSAFQQQLAKNSEEQSHMSEFEAMF >Potri.002G126200.1.v4.1 pep chromosome:Pop_tri_v4:2:9596471:9597973:1 gene:Potri.002G126200.v4.1 transcript:Potri.002G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126200.v4.1 MATTELKSDAIFELLKRFLGTEEGIAVKNKVNLVYQFNISPKKIGIDEVIYTIDLKKGEVIKGQYEGGKPDATFSLKDEDFIKLANGKLNPQIAFMRGALKVKGSLSAAQKFTPDIFPKPAKL >Potri.018G056100.2.v4.1 pep chromosome:Pop_tri_v4:18:5531763:5535097:-1 gene:Potri.018G056100.v4.1 transcript:Potri.018G056100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056100.v4.1 MACVHDHSCEDHDCSSNWSLYKHIDLPKVSALNEAVPGSAKSVFKAWEHRLDSSAGHLESNEGDPELLVYIPFTSDVKIKSISIVGGADGTSPSKMRAFINRDGIDFSDAQSMQAVQEWDLVENLNGVLEFQTRYAKFQSVASITLHFPDNFGGDTSQIHYIGFKGEATQLKRDVVATIVYELMPNPSDHKTKAESGGGYSQVE >Potri.016G017300.1.v4.1 pep chromosome:Pop_tri_v4:16:908118:909883:1 gene:Potri.016G017300.v4.1 transcript:Potri.016G017300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017300.v4.1 MKKAELVFIPTPVISHLVSTVEVAKLLVDRDERLSITFLIMKLCSSSKIDSFIDSVRTASNRIRFIDLPQDEPGPNQPNKTFFSLSETQKPHVKEEVSKLVSQSESSPDTPTLAGFVLDMFCTSLIDVANEFGVPSYIFLTSGAAYLGLVFYIQALHGEQRVDPLEFKDSEAEVVMPCLANPFPARVLPSFLLNKEWLGSVLAQARRFRESKGIIVNTFEELESHAINSFSNGNTLPVYPVGPILNLSRDGHRDVESDEFKDIKQWLDDQPLSSVVYICFGSMGSFGVDQVKEIACGLEQSGHRFLWSLRQPPPKGKIEPPSDYTNPQGVLPEGFLDRTANTGKIIGWAPQTDILAHPSVGGFVSHCGWNSILESIWFGVPIAAWPLYAEQQLNAFQIIVELGLGVEIKMDYRKELKSDGNEINVISAGEIERGVRCLMELCDEKRERLKEMSGKGRKALENGGSSFTWLGRFIQDSVDHLP >Potri.004G068100.1.v4.1 pep chromosome:Pop_tri_v4:4:5786324:5788238:-1 gene:Potri.004G068100.v4.1 transcript:Potri.004G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068100.v4.1 MHLSKFISRPCFEPSGTSSSTDHHGDEVDTAGGSRTFANIIRDRVRSVGLSDSIKFEAKKIKEGGKKNIFKQKFEVREGERLLKASHCCLSTEAGPVAGLLFISTEKVAFCSQRSVTFKSPDELLEETDRKIEIPIRNIRGVDLNESQKKKMTIIIEDSSEFLFMDFLRYDKARQNLEEAILWQSCQAEGS >Potri.010G052700.1.v4.1 pep chromosome:Pop_tri_v4:10:8437611:8439947:-1 gene:Potri.010G052700.v4.1 transcript:Potri.010G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052700.v4.1 MEMMCYQLSNSSYQDSLKVLEADIQHANALAAAIPKDKGGARLRMKLAYNHWAPLFFFLLQRIDCSYFCLLPRYLNFFHVLVYKVYTDGRPGLSKHGRKATVQEFYGVILPYLQRLNSNLEEMGDVKGENYGMESLGKKKVEGDNRLANIDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTRSESCPFCRGSLKRVNSEDLWVLTCNSEVVDTKAISKEDLLRFYLYINSLPKDYPDALFLVYYEYLI >Potri.019G072300.13.v4.1 pep chromosome:Pop_tri_v4:19:11367524:11380870:-1 gene:Potri.019G072300.v4.1 transcript:Potri.019G072300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072300.v4.1 MADSKENPAISEQVLPDLLKNTPSNIARLEDVIEHCKGRQKYLAQTGSPSDGGDVRWYFCKVPLVENELAASVPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPSGWPTTSKKIDTQENADSPVGGRAAQLHEVEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGLGWLPLREDVAEQLEIAYQSQVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDNTWEAWLNIDASGFSSIITLSWNGIKLRRGYSASLSEKPTQDELRQKKEEEMDDYCSKVPVQHVVFMVHGIGQRLEKSNLVDDVSSFRHITTSLSEQHLTSYQQGVQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYRQDIINAVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQENLTSPFPMDWMYKEYSRSEESSLDTKRGTSTNLEDNISNAVKEAKKIVDPVEEKMMSARSTLVHENGLSDEFSTILSPIASELVGAASDSNFKQIRGKESPHEFVCDSSDVLSQERDHLCEAKEMKLDDPMSGVENRAVEGSENAGNKEKEINMLMKEIDSLKAKIAELEFKCGGGDASENGKATESMTKQPISKKLAVGLDEASKSYTPYIKYTKLEFKVDTFYAVGSPLGVFLSLHNVRIGLGKGKEYWAEENISEEMPACRQMLNIFHPFDPVAYRIEPLVCKEFISKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQAIINHLNVVKVKVLTVCQSKIADSEEAENVNEKEERTYGSIMMERLTGSEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDHDTALFILKHLYREIPEEPNLPAESSGGTSKDEIGSTGWYDQSETNEELPLTFSDRMMAKNFSKKANKYMKSPKC >Potri.019G072300.7.v4.1 pep chromosome:Pop_tri_v4:19:11367558:11380827:-1 gene:Potri.019G072300.v4.1 transcript:Potri.019G072300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072300.v4.1 MADSKENPAISEQVLPDLLKNTPSNIARLEDVIEHCKGRQKYLAQTGSPSDGGDVRWYFCKVPLVENELAASVPRTEIVGKSDYFRFGMRDSLAIEASFLQREEELLSSWWKEYAECSEGPSGWPTTSKKIDTQENADSPVGGRAAQLHEVEEERVGVPVKGGLYEVDLVKRHCFPVYWNGENRRVLRGHWFARKGGLGWLPLREDVAEQLEIAYQSQVWHRRTFQPSGLFAARVDLQGSTPGLHALFTGEDNTWEAWLNIDASGFSSIITLSWNGIKLRRGYSASLSEKPTQDELRQKKEEEMDDYCSKVPVQHVVFMVHGIGQRLEKSNLVDDVSSFRHITTSLSEQHLTSYQQGVQRVLFIPCQWRKGLKLSGEAAVEKITLDGVRGLRVMLSATVHDVLYYMSPIYRQDIINAVSNQLNRLYLKFLKRNPGYDGKVSLYGHSLGSVLSYDILCHQENLTSPFPMDWMYKEYSRSEESSLDTKRGTSTNLEDNISNAVKEAKKIVDPVEEKMMSARSTLVHENGLSDEFSTILSPIASELVGAASDSNFKQIRGKESPHEFVCDSSDVLSQERDHLCEAKEMKLDDPMSGVENRAVEGSENAGNKEKEINMLMKEIDSLKAKIAELEFKCGGGDASENGKATESMTKQPISKKLAVGLDEASKSYTPYIKYTKLEFKVDTFYAVGSPLGVFLSLHNVRIGLGKGKEYWAEENISEEMPACRQMLNIFHPFDPVAYRIEPLVCKEFISKRPVIIPYHKGGRRLHIGFQEFTEDLAARSQAIINHLNVVKVKVLTVCQSKIADSEEEAENVNEKEERTYGSIMMERLTGSEGRIDHMLQDKTFEHPYLQAIGAHTNYWRDHDTALFILKHLYREIPEEPNLPAESSGGTSKDEIGSTGWYDQSETNEELPLTFSDRMMAKNFSKKANKYMKSPKC >Potri.007G125800.4.v4.1 pep chromosome:Pop_tri_v4:7:14147567:14150417:-1 gene:Potri.007G125800.v4.1 transcript:Potri.007G125800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125800.v4.1 MISVFDFPPQISHTALLQGTKFIFGSPFVIAFLIYKWRRRHLSAYDTVEEFLQTHNNLMPVVRYSYSEIKKMTSGFKEKLGEGGFGCVYKGKLRSGHSAAIKLLGKSKANGQDFINEVATIGRIHHTNVVQLVGFYAEGSKRALVYDFMPNGSLNNFIFFQESSVSLSWEKLHEISLGVAHDIEYLHRGCEMQILHFDIKPHNILLDENFAPKVSDFGLARLCPTNESLKSLTAARGTIGYMAPELFYQNIGRVSYKADVYSFGMLLLEMAGRRKNWNALTENSNQIYWPDWVHDQVSNEKAIEIGDGGTEEEEKIVKKMIITGLWCIQMNPLNRPAMNEVVEMLEGDMESLQLPPRPVLYPDEKPMNTCGESSSMSYYFSESLSLIENAYN >Potri.007G125800.1.v4.1 pep chromosome:Pop_tri_v4:7:14147507:14150440:-1 gene:Potri.007G125800.v4.1 transcript:Potri.007G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125800.v4.1 MARFLFAGYIALLLLLLVFQNSNCKDTNFCAPSSCGNHNISYPFSINSDPLNCGKPNYTLHCEKNNSTVLYLDSRKYYVQAINYNNLTIRVVDPGVKENDCSSRPDFSLTYARLGNSRRAYTIFTFIFTGLDYIADDDYPYTWFQYKKTGWKSFPTYKPLPLSQMMIFINCTNPVDSPLYVGTGTCLNGEKSSNVSLSKRSYVNVGGMKASDLMKLCSLERMTLLPAKDYKNKSFKEIHSQLAYGFELSWHNSMCGSCAYGCYIYDSNQTGCADGLSWLELTVGVLQTVTIFIALLQGTKFIFGSPFVIAFLIYKWRRRHLSAYDTVEEFLQTHNNLMPVVRYSYSEIKKMTSGFKEKLGEGGFGCVYKGKLRSGHSAAIKLLGKSKANGQDFINEVATIGRIHHTNVVQLVGFYAEGSKRALVYDFMPNGSLNNFIFFQESSVSLSWEKLHEISLGVAHDIEYLHRGCEMQILHFDIKPHNILLDENFAPKVSDFGLARLCPTNESLKSLTAARGTIGYMAPELFYQNIGRVSYKADVYSFGMLLLEMAGRRKNWNALTENSNQIYWPDWVHDQVSNEKAIEIGDGGTEEEEKIVKKMIITGLWCIQMNPLNRPAMNEVVEMLEGDMESLQLPPRPVLYPDEKPMNTCGESSSMSYYFSESLSLIENAYN >Potri.007G125800.2.v4.1 pep chromosome:Pop_tri_v4:7:14147446:14150558:-1 gene:Potri.007G125800.v4.1 transcript:Potri.007G125800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125800.v4.1 MARFLFAGYIALLLLLLVFQNSNCKDTNFCAPSSCGNHNISYPFSINSDPLNCGKPNYTLHCEKNNSTVLYLDSRKYYVQAINYNNLTIRVVDPGVKENDCSSRPDFSLTYARLGWKSFPTYKPLPLSQMMIFINCTNPVDSPLYVGTGTCLNGEKSSNVSLSKRSYVNVGGMKASDLMKLCSLERMTLLPAKDYKNKSFKEIHSQLAYGFELSWHNSMCGSCAYGCYIYDSNQTGCADGLSWLELTVGVLQTVTIFIALLQGTKFIFGSPFVIAFLIYKWRRRHLSAYDTVEEFLQTHNNLMPVVRYSYSEIKKMTSGFKEKLGEGGFGCVYKGKLRSGHSAAIKLLGKSKANGQDFINEVATIGRIHHTNVVQLVGFYAEGSKRALVYDFMPNGSLNNFIFFQESSVSLSWEKLHEISLGVAHDIEYLHRGCEMQILHFDIKPHNILLDENFAPKVSDFGLARLCPTNESLKSLTAARGTIGYMAPELFYQNIGRVSYKADVYSFGMLLLEMAGRRKNWNALTENSNQIYWPDWVHDQVSNEKAIEIGDGGTEEEEKIVKKMIITGLWCIQMNPLNRPAMNEVVEMLEGDMESLQLPPRPVLYPDEKPMNTCGESSSMSYYFSESLSLIENAYN >Potri.007G125800.3.v4.1 pep chromosome:Pop_tri_v4:7:14147447:14150558:-1 gene:Potri.007G125800.v4.1 transcript:Potri.007G125800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125800.v4.1 MMIFINCTNPVDSPLYVGTGTCLNGEKSSNVSLSKRSYVNVGGMKASDLMKLCSLERMTLLPAKDYKNKSFKEIHSQLAYGFELSWHNSMCGSCAYGCYIYDSNQTGCADGLSWLELTVGVLQTVTIFIALLQGTKFIFGSPFVIAFLIYKWRRRHLSAYDTVEEFLQTHNNLMPVVRYSYSEIKKMTSGFKEKLGEGGFGCVYKGKLRSGHSAAIKLLGKSKANGQDFINEVATIGRIHHTNVVQLVGFYAEGSKRALVYDFMPNGSLNNFIFFQESSVSLSWEKLHEISLGVAHDIEYLHRGCEMQILHFDIKPHNILLDENFAPKVSDFGLARLCPTNESLKSLTAARGTIGYMAPELFYQNIGRVSYKADVYSFGMLLLEMAGRRKNWNALTENSNQIYWPDWVHDQVSNEKAIEIGDGGTEEEEKIVKKMIITGLWCIQMNPLNRPAMNEVVEMLEGDMESLQLPPRPVLYPDEKPMNTCGESSSMSYYFSESLSLIENAYN >Potri.018G014550.1.v4.1 pep chromosome:Pop_tri_v4:18:981482:982413:-1 gene:Potri.018G014550.v4.1 transcript:Potri.018G014550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014550.v4.1 MKEPRTKVPASVDTDFWVVFCRDESNGRTNYPLCVVPQLFFCFNSYSAFPCFLVFFLWVLLQFSLLVLYFFPPGSVFLLSFSAPCSGFSSPFCRETCPSTSPAFAGLLFKSRTGSWARDVVHDLLQISC >Potri.011G152600.1.v4.1 pep chromosome:Pop_tri_v4:11:17957971:17964724:-1 gene:Potri.011G152600.v4.1 transcript:Potri.011G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152600.v4.1 MKGGRSHRFQTHHQYESHEDWVDGSWTVDCVCGVNFDDGEEMVNCDDCGVWVHTRCSKYVKGEELFTCDKCKRRKNRGNSSNNDDSEETEVAQLLVELPTKTIRLENGGGGNVGPQRKGLRLWTEIPMEERVHVQGIPGGDPGLFSGVSKVFTPELWKCTGYVPKKFSFQYREFPCWDEKERKVEKRSEEENENENMVDKGAGVLFSLSKESVLGMPVADLGDRRGRDEGGGYERKVYSREMKKWESEDGEVRGATFAVKRERSVLRSVVAHSGKRKKEDLGMAKDRSVKKKARTAEKEVEAKKRVFHASKTAFTSTSDAKPLEFYEDRAPKSFKGELQGNKSKHLRDSGIQEQKSDSYIAVENGVEKPNLAVAEQSSEALSLDMSRPHSSTGAGLEEEKSSHDVVVAVESSPKESNVVASAPEHNDCGKQEGNNMLSGNLDDKVEGSTGRDVPALEEPASASPEVMGDQINDNGDAIPSSAQSNVKVEVDDDNSKGGLNRQSSHGDAKDARISYDNISENPKLNGAALGGSSNDHKIQEVGSNLEAVLLCNTGEANKLCDGPCQHKRAEGSIEMQQCLPEPKNTTETAEELSKAGETISSSPALPNQRKIVVCVAKASSVASTVMISQTPSSDNFKTSDTLNFSSNIMQQVIPDCNSSIKKDRATSEIVTEEERYDISKKTVKECPKSSVNSASKVLHSSKSSHTSVPKRTVSDSKDSMLHLSSKASSAQNSGDTAGSLQIESTSHAHSKALASGLPQRSEKFNQSNGQSSSKTSLALSMNPSAPTNSPAALSDEELALLLHQELNSSPRVPRVPRVRHAGGLPHSVSPTATSVLMKRTSSSGAKDHSLASRRKGKDTSKDGFRRFQEPDDEAKKTDRPSSSDQRRQDTGYKADSVSKRGDNGSPTAVNSVKNNIPPASTSTANSGPSSSTEVNDHHLSSRRNSPRNISDEETGTVRAPVHRTLPGLINEIMSKGRRMTYEELCNAVLPHWKNLRKHNGERYAYSSPSQAVLDCLRNRHEWARLVDRGPKTNSSRKKRKFDPDESEDNDYGKVRTAKGEGKNLESQREEVPKGKRKARKRRRLALQGRGIKDVRKRRKADTLTDDDSGLFSNSSNETLYSEDESQEGGAGLAGSEATASSDDTETS >Potri.015G063100.1.v4.1 pep chromosome:Pop_tri_v4:15:8809684:8814593:-1 gene:Potri.015G063100.v4.1 transcript:Potri.015G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063100.v4.1 MDASIQITLPPVSSLSSSTLSFLNSEQDLSRARSYIDELQSQCFDLDRTLIDLNSRLHSTLLSYASFSDGIHLLFDDATSKLTDLRSFTCPPPLSSSLSPSDGQGRREEILGEELPALAKEVARVETVRVYAETALKLDTLVGDIEDAVSSAMNKKLRKYSSTQSVEEMRLLAIERLGHSEDVLISVTETHPQWTSLVSAVDHRIDRALATLRPQAIADHRSLLGSLGWPPPLSTLTSSNLDAGKSAEVSNPLFTMQGLLKQQYCENFLALCHLQELQWRRKSRQLEGHNRKVALQQPLWAIEELVNPISIACQRHFSKWIDKPEFVFALVYKITRDYVDTMDELLQPLVDEARLAGYSCREEWISAMVTSLVTYLAKEIFPKYVAELDGESVSGVQSKARFSWLHLVDLMIAFDKQIQSLVTHSGISLSLQDDGNLQKISSLSVFCDRPDWLDIWAEIELNDTLEKLKPEVDDERNWTAKIEGALLSGFESYKSPAVSSAFVRRLLLVVDRCRSLPNAFLRSRFLKMAGGSITQRYLDCLLLRCQEAEGLTALTDDNGLIKVANSVNAAHYFESVLKERCEDTFFLELGFDHREQLGIGINDNSGLEGRIDGPVGCVFDEEIKKLENFRKEWVERISVAVLRGFDARCREYIKNRRQWQEKGEESWTISKTLVGALDYLQGKMAVAEENLNRIDFVGAWRSLAAGVDHLLFNGLFTSMVKFHDAGVERFNGDMEILFGVFRAWCLRPEAFFPKTSDGLKLLTMSEEQLRDTIAGGGKRMKENGIIHLNVAEAEKIQNKRVFMR >Potri.014G141700.1.v4.1 pep chromosome:Pop_tri_v4:14:9618320:9626957:-1 gene:Potri.014G141700.v4.1 transcript:Potri.014G141700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141700.v4.1 MAAVEVHQFAQCITCHAWSADRSMIAFCPNNNEVHIYRLSQDKWEKVHVLQKHDQIVCGIDWSARSNRIVTASHDRNSYVWNQEGSEWVPTLVILRLNRAALCVQWSPKENKFAVGSGAKTVCICYYEQDNNWWVSKLIRKRHDSSVTSVAWHPNNILLATTSTDGKCRVFSTFIKGVDTRDSKAGSVSDSKFGEQIVQLDLSFSWAFGVKWSPSGNTLAYVGHNSMIYFVDDVGPSPLAQNVAFRDLPLRDVLFVSEKMVIGVGFDCNPMVFAADERGIWSFIRFLGERKSTLSGSRYSSQFSEAFGKFYGQSKYGASNDGIEPSRSRGGIHENCISCIMSLTESGSSRKTRFSTSGLDGKVVVWDLENQEDLSGYL >Potri.008G083600.1.v4.1 pep chromosome:Pop_tri_v4:8:5231088:5233810:-1 gene:Potri.008G083600.v4.1 transcript:Potri.008G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083600.v4.1 MASRQSSPRTDSLDYRTELLSPAATGENVSTMTREPSWQLSMDKFQLPERRMDNHFGFGYFLKTLRRQKRISEYYRRQEKLVEGFHEVDSFIELGILPGSLSEDEMKQLARNERGAIYASNVANLVLFLAKVYASTESRSLAVIASTLDSFLDLLSGFILWFTAHTMRKPNQFQYPIGKQRMQPVGIVIFASVMATLGLQILFESGRELITRAQPERDPDKEKWMIGIMVSATVVKFVLTVYCRRFSNEIVRAYAQDHFFDVITNSIGLGTAVLAIKFYWWIDPIGAIVIALYTMGNWAKTVVENVWSLIGRTAPPEYLAKLTYLIWNHHKDIKHIETVRAYTFGCQYFVEVHIVLPQDMSLDQAHNIGETLEEKLEQLPEVERAFVHVDFDTTHHLEHKSKRP >Potri.005G165100.1.v4.1 pep chromosome:Pop_tri_v4:5:16419526:16419860:-1 gene:Potri.005G165100.v4.1 transcript:Potri.005G165100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G165100.v4.1 MWTCLLFRIFRWRTTPMVALGTFPIEKKSTRIEISLYCLARAIENFFNYMVDAGHLPQSNNLKRPDVVVFSASRHL >Potri.013G059000.1.v4.1 pep chromosome:Pop_tri_v4:13:4305066:4306170:1 gene:Potri.013G059000.v4.1 transcript:Potri.013G059000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059000.v4.1 MAGDITKEVVSSSLPLDGLVAIVTGASGGIGRAISIHLHSLGARVVINYASNSNQADLLASELNASAPSSHPQAIAIKADVSDPDQVKQLFSRTEEEFGSKLHILAHCAGVLDPKFPILANTTVEDWDKTFNVNTKGAFLCCREATNRLAHGGGGRIIMISTSLVGAPTPGYAAYTASKAAVETMTRIVAKELKGTGITANCVAPGPVATELFFAGKTEETLKRIADACPLNRLGEPEDISKVVGFIASDAGEWINGQVIRVNGGFVV >Potri.011G116900.1.v4.1 pep chromosome:Pop_tri_v4:11:14785966:14790278:-1 gene:Potri.011G116900.v4.1 transcript:Potri.011G116900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116900.v4.1 MVVVESAPMIFLRCAFFACFLCSSWGLKTIAQSCDPNDSLALKEFAGNLTNGSIITSWSNKADCCQWDGVVCGSNINGSIHRRVTMLILSRKGLQGLIPRSIGHLDQLKSLDLSCNHLQGGLPLELSSLKQMEVLDLSHNLLSGQVSGVLSGLISIQSLNISSNLFREDLFELGGYPNLVVFNISNNSFTGPVTSQICSSSKGIQIVDLSMNHLVGNLAGLYNCSKSLQQLHLDSNSLSGSLPDFIYSTLALEHFSISNNNFSGQLSKEVSKLSSLKTLVIYGNRFSGHIPNAFGNLTHLEHFVAHSNMLSGPLPSTLSFCSKLHILDLRNNSLTGPVDLNFAGMPSLCTLDLAANHFSGPLPNSLSDCRELEILSLAKNELTGKIPVSFAKLSSLLFLSLSNNSLVDLSGALTVLQHCQNLSTLILTKNFVGEEIPRNVSGFQNLMVLAFGNCALKGHIPVWLLSCRKLEVLDLSWNHLDGNIPSWIGQMENLFYLDLSNNSLTGEIPKSLTDLKSLISANSSSPHLTASAGIPLYVKRNQSASGLPYKQASSFPPSILLSNNRINGTIPPEVGRLKDLHVLDLSRNNITGTIPNSFSQMENLEILDFSSNNLHGSIPPSLEKLTFLSKFSVANNHLRGQIPTGGQFYSFPCSSFEGNPGLCGVIISPCNAITNTLKPGIPSGSERRFGRSNILSITITIGVGLALVLAIVLHKMSRRNVGDPIGDLEEEGSLPHRLSEALRSSKLVLFQNSDCKELSVADLLKSTNNFNQANIIGCGGFGLVYKANFPNDTKAAIKRLSGDCGQMEREFQAEVEALSRAQHKNLVSLQGYCRHGNYRLLIYSYMENGSLDYWLHESVDGTSVLKWEVRLKIAQGAACGLAYLHKVCEPHIVHRDVKSSNILLDENFEAHLADFGLSRLLRPYDTHVTTDLVGTLGYIPPEYSQTLMATCRGDVYSFGVVLLELLTGRRPVEVCKGKNCRDLVSWVFQMKSEKREAEIIDPAIWDKDHQKQLFEMLEIACRCLDPDPRKRPLIEEVVSWLVLDSKVLNNEL >Potri.001G022150.1.v4.1 pep chromosome:Pop_tri_v4:1:1726765:1730480:1 gene:Potri.001G022150.v4.1 transcript:Potri.001G022150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022150.v4.1 MRAFLFHAQNFTTMHIPQSAIPAIMNVFANLESFEINLCNYPSRTSHPSYRQNITKMKVKWDDEELHVPRSMMNELRILIRNSMGHHLEVPESSEEIFSFFFGRIILCFSYVDTHVCLLCETLNIT >Potri.008G087900.1.v4.1 pep chromosome:Pop_tri_v4:8:5480261:5481645:1 gene:Potri.008G087900.v4.1 transcript:Potri.008G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087900.v4.1 MLARMSGRILLNILFYLQTLLNLFFASVKTSLSPEKLKKQQGCVQYHDREHHEKLNQADIKKVMNRLGLVLHEDGLGGDEGFGADELLSLFEEEEPCSLEEVKEVFDVFDENKDGFIDARELNRVMCRLGLKEGMEVEECSRMIQAVGEDRKEKIDFNDFFRFMERCYY >Potri.006G101150.1.v4.1 pep chromosome:Pop_tri_v4:6:7733903:7734806:1 gene:Potri.006G101150.v4.1 transcript:Potri.006G101150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101150.v4.1 MNGAKGNGEGHRTYLVLRRRRRWWCCILCFTGSSASVRLSSLSLFLLSSSSGFYKPKNGLWCNVQLGNGM >Potri.017G064901.4.v4.1 pep chromosome:Pop_tri_v4:17:7481218:7484040:-1 gene:Potri.017G064901.v4.1 transcript:Potri.017G064901.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G064901.v4.1 MDRHHNEPNHEDEPNNAKFTRPLSGDGASTGKIFIGGLARERLLLVTQFIKHFGEYGEITDSVIMKDRKTEQPRGFGLVTYSDPSAVDQVIQDTHTINGKQVEIKRTIPKGAVGSKDFKTKKIFVGGIPAVATEDEFKEFFTQFGEVTEHQIMRDHSTNRSRGFRFITFDTE >Potri.006G019600.1.v4.1 pep chromosome:Pop_tri_v4:6:1269829:1270961:-1 gene:Potri.006G019600.v4.1 transcript:Potri.006G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019600.v4.1 MVETKVTEMVIKVVDLGCEKCHKKIKRVLCAIPQIQNQTYDKKENTVTITVVGFCPEKIKKKIYCKGGRTVKCVEIKPPPKPKPEPKPEPKPKPEPCPCCEKCRRGPCCHHYCMPTVPPYCPVPCRRAVCDIWEALLPIWVFVFYAVLSLCSPFGCFLPKCYILSVSKNPSPSIL >Potri.006G019600.3.v4.1 pep chromosome:Pop_tri_v4:6:1269829:1270672:-1 gene:Potri.006G019600.v4.1 transcript:Potri.006G019600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019600.v4.1 MVIKVVDLGCEKCHKKIKRVLCAIPQIQNQTYDKKENTVTITVVGFCPEKIKKKIYCKGGRTVKCVEIKPPPKPKPEPKPEPKPKPEPCPCCEKCRRGPCCHHYCMPTVPPYCPVPCRRAVCDIWEALLPIWVFVFYAVLSLCSPFGCFLPKCYILSVSKNPSPSIL >Potri.010G164500.1.v4.1 pep chromosome:Pop_tri_v4:10:16873980:16882342:1 gene:Potri.010G164500.v4.1 transcript:Potri.010G164500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164500.v4.1 MSSDTPSSAADTNTAGASSSSPSETMTDKQKEKARVSRTSLILWHAHQNDAAAVRKLLEEDPSLVRAMDYDSRTPLHVASLHGWVDVAKCLIEFGADVNAQDRWKNTPLADAEGAKKHNMIELLKSYGGLSYGQNGSHFEPKPVPPPLPNKCDWEIEPSELDFSNSNIIGKGSFGEILKANWRGTPVAVKRILPSLSDDRLVIQDFRHEVNLLVKLRHPNIVQFLGAVTDRKPLMLITEYLRGGDLHQYLKEKGALSPSTAINFALDIARGMAYLHNEPNVIVHRDLKPRNVLLVNSNADHLKVGDFGLSKLVKVQNSHDVYKMTGETGSYRYMAPEVFKHRKYDKKVDVFSFAMILYEMLEGEPPFSDFEPYEAAKYVAEGHRPPFRSKGFNISDLRELTDQCWAADMNRRPAFLEILKRLEKIKENLPTDHHWNLFNP >Potri.002G199600.1.v4.1 pep chromosome:Pop_tri_v4:2:16227599:16232788:1 gene:Potri.002G199600.v4.1 transcript:Potri.002G199600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G199600.v4.1 MSLRPNARVEVRRNRYKVAVDADEGRRRREDNMVEIRKSKREESLLKKRRGGLQAQQRQQQQQQVISSLNISSASDKPLDTLPAMIAGVWSEDKNSQLEGTTHFRKLLSIERCPPINEVIQSGVVPRFVEFLARDDFPQLQFEAAWALTNIASGTSENTRVVIDHGAIPIFVKLLSSPADDVREQAVWALGNVAGDSSKCRDLVLGHGALMPLLAQFNEQAKHSLLRNATWTLSNFCRGKPQPLFDQTKPALPALERLIHSNDEEVLTDACWALSYLSDGSNEKIQAVIEAGVCPRLVELLLHPSPTVLIPALRTVGNIVTGDDMQTQCMINHQALPCLLNLLTNNYKKSIKKEACWTISNVTAGNVNQIQAVLEAGIIGPLVQLLQNAEFEIKKEAAWAISNASSGGSHEQIKFLVNQGCIKPLCDLLICPDPRIVTVCLDGLENILKVGEAEKNLGSTGNANLYTQMIEDVEGLEKIENLQSHDNNEIYEKAVKILETYCVEDGDDFEAFPSGDASQSGFQFGGTGVPVPSNGFNFS >Potri.001G111500.1.v4.1 pep chromosome:Pop_tri_v4:1:9006020:9011105:1 gene:Potri.001G111500.v4.1 transcript:Potri.001G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111500.v4.1 MALGWCRFFGACFIGILFFHGFCACDRAPYYSFMLNATSAPTISYYDYIIVGGGTAGCPLAATLSQNASVLLLERGGSPYGNPNITNLAKFGAALSDPSPTSPSQRFISEDGVINARARVLGGGSCLNAGFYTRASPEYIRAAGWDGRLANESYQWVERRVAFEPQMGPWQSAVRDGLLEAGVLPNNGFTYDHIKGTKVGGTIFDRAGNRHTAADLLEYANPGGLTVLLHATVYKILFATKARPKPVAHGVVYRDASGAKHRAYLKRGLKNEIIISSGALGSPQLLMLSGVGPAQQLRAHNITVVLDQPMVGQLMSDNPMNAIFVPSPLPVEVSLIQVVGITQFGSYIEAASGENFGGSPQRDYGMFSPKIGQLSTVPPKQRTPEALAKAIELMNNLDQQAFQGGFILEKIMGPISTGHLELRTRHPEDNPSVTFNYFKEPQDLQRCVEGISTIEKVIDSRPFSKFRFDYLSVPQLLNMTASAPVNLLPRHYNSSQSLEDFCKDTVMTIWHYHGGCQAGSVVDHDYKVMGVDALRVIDGSTFNVSPGTNPQATVMMLGRYMGVNILKERLAKEDLAN >Potri.010G068400.14.v4.1 pep chromosome:Pop_tri_v4:10:9675424:9684544:1 gene:Potri.010G068400.v4.1 transcript:Potri.010G068400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068400.v4.1 MMSRSSRHKDKYGRSSEMSQDHQYEGTAARTRPFSFDEIMSIRKNKKASEILEGELKDILGGVINEKASDHRSERGNGHNEESSTGLRQHLSEEHGKASYRKKEDNVSMKEDYIAKGRDRDVRDSETKSKAKMNEDMRTEVKEKTNEKIHDRRKGDKRPSNISESEAVKKHSREVQKDRHVDKSRGKSERERKEKYRNGIDDKSRDRNAAKKHDLGKGHHLETSERKERKESSKSHHEELRLKRRRSRSREHEDRNRRSISLSPRAHKRGSYHKREHVELSSHSVKERSGRQQSDAENNQLTNSSSSRHQRRHGGFASGLGGYSPRKRKTEAAIKTPSPAKRSPEKKSAKWDLAPEETNNVFPAVILSNFQSPNQTASSNIHEVVSAVPVVSAPMKPLSGVSLSSLSTAMKVATESIQLTQATHPIRRLYMENIPASASEKAVMDCLNNFLISSGVHHIQGTQPCISCIRQKEKGQALVEFLTPEDASAALSFDGCSFSGSIIKVRRPKDFIEVATGELEKSAAAIDAIGDIVKDSPHKIFIGGISKVLSSKMLMEIASAFGPLKAYQFENSKDPDEPFAFLEYADESVTFKACAGLNGMKLGGQVITAIQAVPNASSSGSDGNSQFGQISQHAKALLEKPTEVLKLKNVFDSESLSSLSNTEVEEVLEDVRLECARFGSVKSINVVKYAAITISTSKSCEFNDDTVSAEATQSLGCDGTNPKTRNIRGSIDQKFMEGNGIGDDKPASDVMEDEPCQPGQVDSDMAVQDLACKSSSDSQEPPQDVSDSNVDKVTDDIEIEEVDVENKSTAGEDLNLKEVGDNKLMAGEELNLEEVSGDVEKAIVNDSMEMKPNSIEKGDCKEQDCNLGLIFERGCVFVEFRRTEAACMAAHCLHGRLFDDRAVVVEYFPLDIYLARFPK >Potri.010G068400.15.v4.1 pep chromosome:Pop_tri_v4:10:9676211:9684479:1 gene:Potri.010G068400.v4.1 transcript:Potri.010G068400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068400.v4.1 MMSRSSRHKDKYGRSSEMSQDHQYEGTAARTRPFSFDEIMSIRKNKKASEILEGELKDILGGVINEKASDHRSERGNGHNEESSTGLRQHLSEEHGKASYRKKEDNVSMKEDYIAKGRDRDVRDSETKSKAKMNEDMRTEVKEKTNEKIHDRRKGDKRPSNISESEAVKKHSREVQKDRHVDKSRGKSERERKEKYRNGIDDKSRDRNAAKKHDLGKGHHLETSERKERKESSKSHHEELRLKRRRSRSREHEDRNRRSISLSPRAHKRGSYHKREHVELSSHSVKERSGRQQSDAENNQLTNSSSSRHQRRHGGFASGLGGYSPRKRKTEAAIKTPSPAKRSPEKKSAKWDLAPEETNNVFPAVILSNFQSPNQTASSNIHEVVSAVPVVSAPMKPLSGVSLSSLSTAMKVATESIQLTQATHPIRRLYMENIPASASEKAVMDCLNNFLISSGVHHIQGTQPCISCIRQKEKGQALVEFLTPEDASAALSFDGCSFSGSIIKVRRPKDFIEVATGELEKSAAAIDAIGDIVKDSPHKIFIGGISKVLSSKMLMEIASAFGPLKAYQFENSKDPDEPFAFLEYADESVTFKACAGLNGMKLGGQVITAIQAVPNASSSGSDGNSQFGQISQHAKALLEKPTEVLKLKNVFDSESLSSLSNTEVEEVLEDVRLECARFGSVKSINVVKYAAITISTSKSCEFNDDTVSAEATQSLGCDGTNPKTRNIRGSIDQKFMEGNGIGDDKPASDVMEDEPCQPGQVDSDMAVQDLACKSSSDSQEPPQDVSDSNVDKVTDDIEIEEVDVENKSTAGEDLNLKEVGDNKLMAGEELNLEEVSGDVEKAIVNDSMEMKPNSIEKGDCKEQDCNLGLIFERGCVFVEFRRTEAACMAAHCLHGRLFDDRAVVVEYFPLDIYLARFPK >Potri.010G068400.17.v4.1 pep chromosome:Pop_tri_v4:10:9676626:9684406:1 gene:Potri.010G068400.v4.1 transcript:Potri.010G068400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068400.v4.1 MQTGELEKSAAAIDAIGDIVKDSPHKIFIGGISKVLSSKMLMEIASAFGPLKAYQFENSKDPDEPFAFLEYADESVTFKACAGLNGMKLGGQVITAIQAVPNASSSGSDGNSQFGQISQHAKALLEKPTEVLKLKNVFDSESLSSLSNTEVEEVLEDVRLECARFGSVKSINVVKYAAITISTSKSCEFNDDTVSAEATQSLGCDGTNPKTRNIRGSIDQKFMEGNGIGDDKPASDVMEDEPCQPGQVDSDMAVQDLACKSSSDSQEPPQDVSDSNVDKVTDDIEIEEVDVENKSTAGEDLNLKEVGDNKLMAGEELNLEEVSGDVEKAIVNDSMEMKPNSIEKGDCKEQDCNLGLIFERGCVFVEFRRTEAACMAAHCLHGRLFDDRAVVVEYFPLDIYLARFPK >Potri.010G068400.16.v4.1 pep chromosome:Pop_tri_v4:10:9676323:9684496:1 gene:Potri.010G068400.v4.1 transcript:Potri.010G068400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068400.v4.1 MSIRKNKKASEILEGELKDILGGVINEKASDHRSERGNGHNEESSTGLRQHLSEEHGKASYRKKEDNVSMKEDYIAKGRDRDVRDSETKSKAKMNEDMRTEVKEKTNEKIHDRRKGDKRPSNISESEAVKKHSREVQKDRHVDKSRGKSERERKEKYRNGIDDKSRDRNAAKKHDLGKGHHLETSERKERKESSKSHHEELRLKRRRSRSREHEDRNRRSISLSPRAHKRGSYHKREHVELSSHSVKERSGRQQSDAENNQLTNSSSSRHQRRHGGFASGLGGYSPRKRKTEAAIKTPSPAKRSPEKKSAKWDLAPEETNNVFPAVILSNFQSPNQTASSNIHEVVSAVPVVSAPMKPLSGVSLSSLSTAMKVATESIQLTQATHPIRRLYMENIPASASEKAVMDCLNNFLISSGVHHIQGTQPCISCIRQKEKGQALVEFLTPEDASAALSFDGCSFSGSIIKVRRPKDFIEVATGELEKSAAAIDAIGDIVKDSPHKIFIGGISKVLSSKMLMEIASAFGPLKAYQFENSKDPDEPFAFLEYADESVTFKACAGLNGMKLGGQVITAIQAVPNASSSGSDGNSQFGQISQHAKALLEKPTEVLKLKNVFDSESLSSLSNTEVEEVLEDVRLECARFGSVKSINVVKYAAITISTSKSCEFNDDTVSAEATQSLGCDGTNPKTRNIRGSIDQKFMEGNGIGDDKPASDVMEDEPCQPGQVDSDMAVQDLACKSSSDSQEPPQDVSDSNVDKVTDDIEIEEVDVENKSTAGEDLNLKEVGDNKLMAGEELNLEEVSGDVEKAIVNDSMEMKPNSIEKGDCKEQDCNLGLIFERGCVFVEFRRTEAACMAAHCLHGRLFDDRAVVVEYFPLDIYLARFPK >Potri.003G077600.21.v4.1 pep chromosome:Pop_tri_v4:3:10502256:10515057:-1 gene:Potri.003G077600.v4.1 transcript:Potri.003G077600.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077600.v4.1 MDYDDNDFQSHNLHLVGEGSNKFPPVLQPYALPKFDFDDSLHGSLRFDSLVETEVFLGIENNEDNQWIEDYSRGTSGIQFSSRAAESCSISRCNNVWSEATSSESVEMLLKSVGQEDNTPVQNNSRESDACDELGCILKHMEPSLKQENNTPPKVEVTANLQVKFLPGENVEDFSVLDNDAGGQQPLDGSSQDLKGDVSADSGLGPSVDPSAISIEARQPVIEGSLSIDGDSNNVNHRGDDDLVNGSLDDRLQKGPASGMQDGASVQIIATGNDESNVKDGPDNVNDTYDDSKVVLKTDTAENQKRKPILSQEGQMEDENPHSSAVESMEEANIIEINSINLGEPSCIIAKEHSCLPEDLVTSDQSRVDTVGGSMMAVEDNMIFERHEIEDSNGSQLDNKNLANKCEGSHLSVEGSEPSEVKVGGTSISDIGGFSSLAAGCSSTEVIGETHAEGHVSSSILAESLQICGENMVPADGKDTIELPSRNASPENDLIASRLQSDAASDNKSDGCRNANMVTCDAMDDVSAPSGDVTSMDAVIGHKDVKMSPLSGISSSPLDKEKEIADKISVEASLSDLKTSSQVIAGLDPVSVSEEDASSGAARQMLCESAEQSPLMVDASKTEGPQSEVSNKVSMKCTKDMEVCPVLGDSTANKGNDAEVPEKENDEKGSSKVLEPTVNNSEMLGPISSEREECQVDTSLKGQKENEAAIMCRDKSDGKIAVLSTNDCGSCADVGKPTSGSPIVIRAAGEFQSESDKDGAKCSVEQTSVVDSNASKALSCSQDPKQNDASKDERSFTFEVSPLANMPLKSADNKWQSFFNIPATKVSPIVNASPSASGVVQIDPKIAQDPSHGSPKVSDVATVRTGSKGTSERKTRRSSGKASGKESARKGNPTKETASVRLEKGEKMSNVSPGPSGISQHVQSNEMQCYGHVDSSTMKPFVLAPSSSNLPDLNSSVSPSLMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKSIWENALRSSIERLHGQKPHLTTLETPLLSRPGARAPDQAIKQSNVQSKVISSPIGRTSMGTPTIVNPMVPLSSPLWSVPNPSSDTFQSSSMPRGPFMDHQRALSPLHLHQTPQIRNFAGNPWISQSPFCGPWVTSPQTLALDTSGRFSAQLPITEPVQLTPVKDLSKPITSGAKHVSPGPVVQSGTSASVFTGNFPVPDAKKVTASSSQPLTDPKPRKRKKASVSESPSQNILHIHPRTESVPGPVTSYPSTSIAMTTPIVFVSKSPTEKFVTSVSPTPTDIRKQDQNAEQRNILSEETLDKVKAARVQAEDAANLAAAAVSQRQEIWNQLDKQRNSGLSPDVETKLASAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEAVVSGGYSNPSQDNAISVSEGMESLGRTTPDFVLKGDDGTNSSSSILVAAREAARRRVEAASAAAIRAENMDAIVKAAELAAEAVSQAGKIVSMGDPLSLNELVAAGPEGYWEVAQINNELGSKSNDIGRKTININTVGEGPDTSPVLGKKETQVNNYGKPPAPTEGSTVDHARLVDGFSNSGATTLKDAKGRKGYKVSESENGSRSLGTTVDYNCIKEGSHVEVFKDGNGYKAAWFSAKVMDLKDGKAYVSYTDLSSAEGSEKLKEWVALRGEGDEAPKIRIARPVTAMPFEGTRKRRRAAMVDYVWSVGDKVDAWIQDSWWEGVVTERSKKDETMLTVNFPVQGETSVVKAWHLRPSLLWEDEEWVEWSGSRAGTHSTNGGDTPQEKRPRVRGPVVDAKGKDKLPKGLDSVETDKPDEPTLLDLAAHEKLFNIGKSMKDGNRPDALRMARTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADRSSKNNEVNDPDKFAKYLLPQGSGSRGWKNTLKTESLEKRTAASKPKVLKSGKPQNVSGRTIAQKDNSLTTAVSASDGAATDHVAKNKASTSHVENTSEKHALTDFQPLSSSVGGAEGQIFSSSSLSSDTLSSKKMSTSTSNAKPPRGSKGKLAPADGKFGRIEEDKVLIGSSSKSTSDVAEPRRSNRRIQPTSRLLEGLQSSLMVTKIPSVSHDRSQKNRTAARGNNHG >Potri.003G077600.12.v4.1 pep chromosome:Pop_tri_v4:3:10501935:10515063:-1 gene:Potri.003G077600.v4.1 transcript:Potri.003G077600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077600.v4.1 MDYDDNDFQSHNLHLVGEGSNKFPPVLQPYALPKFDFDDSLHGSLRFDSLVETEVFLGIENNEDNQWIEDYSRGTSGIQFSSRAAESCSISRCNNVWSEATSSESVEMLLKSVGQEDNTPVQNNSRESDACDELGCILKHMEPSLKQENNTPPKVEVTANLQVKFLPGENVEDFSVLDNDAGGQQPLDGSSQDLKGDVSADSGLGPSVDPSAISIEARQPVIEGSLSIDGDSNNVNHRGDDDLVNGSLDDRLQKGPASGMQDGASVQIIATGNDESNVKDGPDNVNDTYDDSKVVLKTDTAENQKRKPILSQEGQMEDENPHSSAVESMEEANIIEINSINLGEPSCIIAKEHSCLPEDLVTSDQSRVDTVGGSMMAVEDNMIFERHEIEDSNGSQLDNKNLANKCEGSHLSVEGSEPSEVKVGGTSISDIGGFSSLAAGCSSTEVIGETHAEGHVSSSILAESLQICGENMVPADGKDTIELPSRNASPENDLIASRLQSDAASDNKSDGCRNANMVTCDAMDDVSAPSGDVTSMDAVIGHKDVKMSPLSGISSSPLDKEKEIADKISVEASLSDLKTSSQVIAGLDPVSVSEEDASSGAARQMLCESAEQSPLMVDASKTEGPQSEVSNKVSMKCTKDMEVCPVLGDSTANKGNDAEVPEKENDEKGSSKVLEPTVNNSEMLGPISSEREECQVDTSLKGQKENEAAIMCRDKSDGKIAVLSTNDCGSCADVGKPTSGSPIVIRAAGEFQSESDKDGAKCSVEQTSVVDSNASKALSCSQDPKQNDASKDERSFTFEVSPLANMPLKSADNKWQSFFNIPATKVSPIVNASPSASGVVQIDPKIAQDPSHGSPKVSDVATVRTGSKGTSERKTRRSSGKASGKESARKGNPTKETASVRLEKGEKMSNVSPGPSGISQHVQSNEMQCYGHVDSSTMKPFVLAPSSSNLPDLNSSVSPSLMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKSIWENALRSSIERLHGQKPHLTTLETPLLSRPGARAPDQAIKQSNVQSKVISSPIGRTSMGTPTIVNPMVPLSSPLWSVPNPSSDTFQSSSMPRGPFMDHQRALSPLHLHQTPQIRNFAGNPWISQSPFCGPWVTSPQTLALDTSGRFSAQLPITEPVQLTPVKDLSKPITSGAKHVSPGPVVQSGTSASVFTGNFPVPDAKKVTASSSQPLTDPKPRKRKKASVSESPSQNILHIHPRTESVPGPVTSYPSTSIAMTTPIVFVSKSPTEKFVTSVSPTPTDIRKQDQNAEQRNILSEETLDKVKAARVQAEDAANLAAAAVSQRQEIWNQLDKQRNSGLSPDVETKLASAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEAVVSGGYSNPSQDNAISVSEGMESLGRTTPDFVLKGDDGTNSSSSILVAAREAARRRVEAASAAAIRAENMDAIVKAAELAAEAVSQAGKIVSMGDPLSLNELVAAGPEGYWEVAQINNELGSKSNDIGRKTININTVGEGPDTSPVLGKKETQVNNYGKPPAPTEGSTVDHARLVDGFSNSGATTLKDAKGRKGYKVSESENGSRSLGTTVDYNCIKEGSHVEVFKDGNGYKAAWFSAKVMDLKDGKAYVSYTDLSSAEGSEKLKEWVALRGEGDEAPKIRIARPVTAMPFEGTRKRRRAAMVDYVWSVGDKVDAWIQDSWWEGVVTERSKKDETMLTVNFPVQGETSVVKAWHLRPSLLWEDEEWVEWSGSRAGTHSTNGGDTPQEKRPRVRGPVVDAKGKDKLPKGLDSVETDKPDEPTLLDLAAHEKLFNIGKSMKDGNRPDALRMARTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADRSSKNNEVNDPDKFAKYLLPQGSGSRGWKNTLKTESLEKRTAASKPKVLKSGKPQNVSGRTIAQKDNSLTTAVSASDGAATDHVAKNKASTSHVENTSEKHALTDFQPLSSSVGGAEGQIFSSSSLSSDTLSSKKMSTSTSNAKPPRGSKGKLAPADGKFGRIEEDKVLIGSSSKSTSDVAEPRRSNRRIQPTSRLLEGLQSSLMVTKIPSVSHDRSQKNRTAARGNNHG >Potri.003G077600.20.v4.1 pep chromosome:Pop_tri_v4:3:10502107:10515057:-1 gene:Potri.003G077600.v4.1 transcript:Potri.003G077600.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077600.v4.1 MDYDDNDFQSHNLHLVGEGSNKFPPVLQPYALPKFDFDDSLHGSLRFDSLVETEVFLGIENNEDNQWIEDYSRGTSGIQFSSRAAESCSISRCNNVWSEATSSESVEMLLKSVGQEDNTPVQNNSRESDACDELGCILKHMEPSLKQENNTPPKVEVTANLQVKFLPGENVEDFSVLDNDAGGQQPLDGSSQDLKGDVSADSGLGPSVDPSAISIEARQPVIEGSLSIDGDSNNVNHRGDDDLVNGSLDDRLQKGPASGMQDGASVQIIATGNDESNVKDGPDNVNDTYDDSKVVLKTDTAENQKRKPILSQEGQMEDENPHSSAVESMEEANIIEINSINLGEPSCIIAKEHSCLPEDLVTSDQSRVDTVGGSMMAVEDNMIFERHEIEDSNGSQLDNKNLANKCEGSHLSVEGSEPSEVKVGGTSISDIGGFSSLAAGCSSTEVIGETHAEGHVSSSILAESLQICGENMVPADGKDTIELPSRNASPENDLIASRLQSDAASDNKSDGCRNANMVTCDAMDDVSAPSGDVTSMDAVIGHKDVKMSPLSGISSSPLDKEKEIADKISVEASLSDLKTSSQVIAGLDPVSVSEEDASSGAARQMLCESAEQSPLMVDASKTEGPQSEVSNKVSMKCTKDMEVCPVLGDSTANKGNDAEVPEKENDEKGSSKVLEPTVNNSEMLGPISSEREECQVDTSLKGQKENEAAIMCRDKSDGKIAVLSTNDCGSCADVGKPTSGSPIVIRAAGEFQSESDKDGAKCSVEQTSVVDSNASKALSCSQDPKQNDASKDERSFTFEVSPLANMPLKSADNKWQSFFNIPATKVSPIVNASPSASGVVQIDPKIAQDPSHGSPKVSDVATVRTGSKGTSERKTRRSSGKASGKESARKGNPTKETASVRLEKGEKMSNVSPGPSGISQHVQSNEMQCYGHVDSSTMKPFVLAPSSSNLPDLNSSVSPSLMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKSIWENALRSSIERLHGQKPHLTTLETPLLSRPGARAPDQAIKQSNVQSKVISSPIGRTSMGTPTIVNPMVPLSSPLWSVPNPSSDTFQSSSMPRGPFMDHQRALSPLHLHQTPQIRNFAGNPWISQSPFCGPWVTSPQTLALDTSGRFSAQLPITEPVQLTPVKDLSKPITSGAKHVSPGPVVQSGTSASVFTGNFPVPDAKKVTASSSQPLTDPKPRKRKKASVSESPSQNILHIHPRTESVPGPVTSYPSTSIAMTTPIVFVSKSPTEKFVTSVSPTPTDIRKQDQNAEQRNILSEETLDKVKAARVQAEDAANLAAAAVSQRQEIWNQLDKQRNSGLSPDVETKLASAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEAVVSGGYSNPSQDNAISVSEGMESLGRTTPDFVLKGDDGTNSSSSILVAAREAARRRVEAASAAAIRAENMDAIVKAAELAAEAVSQAGKIVSMGDPLSLNELVAAGPEGYWEVAQINNELGSKSNDIGRKTININTVGEGPDTSPVLGKKETQVNNYGKPPAPTEGSTVDHARLVDGFSNSGATTLKDAKGRKGYKVSESENGSRSLGTTVDYNCIKEGSHVEVFKDGNGYKAAWFSAKVMDLKDGKAYVSYTDLSSAEGSEKLKEWVALRGEGDEAPKIRIARPVTAMPFEGTRKRRRAAMVDYVWSVGDKVDAWIQDSWWEGVVTERSKKDETMLTVNFPVQGETSVVKAWHLRPSLLWEDEEWVEWSGSRAGTHSTNGGDTPQEKRPRVRGPVVDAKGKDKLPKGLDSVETDKPDEPTLLDLAAHEKLFNIGKSMKDGNRPDALRMARTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADRSSKNNEVNDPDKFAKYLLPQGSGSRGWKNTLKTESLEKRTAASKPKVLKSGKPQNVSGRTIAQKDNSLTTAVSASDGAATDHVAKNKASTSHVENTSEKHALTDFQPLSSSVGGAEGQIFSSSSLSSDTLSSKKMSTSTSNAKPPRGSKGKLAPADGKFGRIEEDKVLIGSSSKSTSDVAEPRRSNRRIQPTSRLLEGLQSSLMVTKIPSVSHDRSQKNRTAARGNNHG >Potri.003G077600.22.v4.1 pep chromosome:Pop_tri_v4:3:10502251:10515023:-1 gene:Potri.003G077600.v4.1 transcript:Potri.003G077600.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077600.v4.1 MDYDDNDFQSHNLHLVGEGSNKFPPVLQPYALPKFDFDDSLHGSLRFDSLVETEVFLGIENNEDNQWIEDYSRGTSGIQFSSRAAESCSISRCNNVWSEATSSESVEMLLKSVGQEDNTPVQNNSRESDACDELGCILKHMEPSLKQENNTPPKVEVTANLQVKFLPGENVEDFSVLDNDAGGQQPLDGSSQDLKGDVSADSGLGPSVDPSAISIEARQPVIEGSLSIDGDSNNVNHRGDDDLVNGSLDDRLQKGPASGMQDGASVQIIATGNDESNVKDGPDNVNDTYDDSKVVLKTDTAENQKRKPILSQEGQMEDENPHSSAVESMEEANIIEINSINLGEPSCIIAKEHSCLPEDLVTSDQSRVDTVGGSMMAVEDNMIFERHEIEDSNGSQLDNKNLANKCEGSHLSVEGSEPSEVKVGGTSISDIGGFSSLAAGCSSTEVIGETHAEGHVSSSILAESLQICGENMVPADGKDTIELPSRNASPENDLIASRLQSDAASDNKSDGCRNANMVTCDAMDDVSAPSGDVTSMDAVIGHKDVKMSPLSGISSSPLDKEKEIADKISVEASLSDLKTSSQVIAGLDPVSVSEEDASSGAARQMLCESAEQSPLMVDASKTEGPQSEVSNKVSMKCTKDMEVCPVLGDSTANKGNDAEVPEKENDEKGSSKVLEPTVNNSEMLGPISSEREECQVDTSLKGQKENEAAIMCRDKSDGKIAVLSTNDCGSCADVGKPTSGSPIVIRAAGEFQSESDKDGAKCSVEQTSVVDSNASKALSCSQDPKQNDASKDERSFTFEVSPLANMPLKSADNKWQSFFNIPATKVSPIVNASPSASGVVQIDPKIAQDPSHGSPKVSDVATVRTGSKGTSERKTRRSSGKASGKESARKGNPTKETASVRLEKGEKMSNVSPGPSGISQHVQSNEMQCYGHVDSSTMKPFVLAPSSSNLPDLNSSVSPSLMFQQPFTDLQQVQLRAQIFVYGALIQGTAPDEAYMISAFGGSDGGKSIWENALRSSIERLHGQKPHLTTLETPLLSRPGARAPDQAIKQSNVQSKVISSPIGRTSMGTPTIVNPMVPLSSPLWSVPNPSSDTFQSSSMPRGPFMDHQRALSPLHLHQTPQIRNFAGNPWISQSPFCGPWVTSPQTLALDTSGRFSAQLPITEPVQLTPVKDLSKPITSGAKHVSPGPVVQSGTSASVFTGNFPVPDAKKVTASSSQPLTDPKPRKRKKASVSESPSQNILHIHPRTESVPGPVTSYPSTSIAMTTPIVFVSKSPTEKFVTSVSPTPTDIRKQDQNAEQRNILSEETLDKVKAARVQAEDAANLAAAAVSQRQEIWNQLDKQRNSGLSPDVETKLASAAVAIAAAAAVAKAAAAAANVASNAALQAKLMADEAVVSGGYSNPSQDNAISVSEGMESLGRTTPDFVLKGDDGTNSSSSILVAAREAARRRVEAASAAAIRAENMDAIVKAAELAAEAVSQAGKIVSMGDPLSLNELVAAGPEGYWEVAQINNELGSKSNDIGRKTININTVGEGPDTSPVLGKKETQVNNYGKPPAPTEGSTVDHARLVDGFSNSGATTLKDAKGRKGYKVSESENGSRSLGTTVDYNCIKEGSHVEVFKDGNGYKAAWFSAKVMDLKDGKAYVSYTDLSSAEGSEKLKEWVALRGEGDEAPKIRIARPVTAMPFEGTRKRRRAAMVDYVWSVGDKVDAWIQDSWWEGVVTERSKKDETMLTVNFPVQGETSVVKAWHLRPSLLWEDEEWVEWSGSRAGTHSTNGGDTPQEKRPRVRGPVVDAKGKDKLPKGLDSVETDKPDEPTLLDLAAHEKLFNIGKSMKDGNRPDALRMARTGLQKEGSRVIFGVPKPGKKRKFMEVSKHYVADRSSKNNEVNDPDKFAKYLLPQGSGSRGWKNTLKTESLEKRTAASKPKVLKSGKPQNVSGRTIAQKDNSLTTAVSASDGAATDHVAKNKASTSHVENTSEKHALTDFQPLSSSVGGAEGQIFSSSSLSSDTLSSKKMSTSTSNAKPPRGSKGKLAPADGKFGRIEEDKVLIGSSSKSTSDVAEPRRSNRRIQPTSRLLEGLQSSLMVTKIPSVSHDRSQKNRTAARGNNHG >Potri.014G096200.1.v4.1 pep chromosome:Pop_tri_v4:14:6295311:6298362:-1 gene:Potri.014G096200.v4.1 transcript:Potri.014G096200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096200.v4.1 MELSMEWEHKTLISELTQGKELAKQLSNHLNPSASLEARQFLVDKILSSYEKALSMLNWGALATDQPKPTIGTVEPLHSFANSSPRSEVSDQDCKEECNKDVYKKRKIQPRWTEQVKDCSGTGLEGPLDDGYSWRKYGQKDILGANFPRGYYRCTHRHSQGCLATKQVQRSDEDHSIFEVTYRGRHTCNQASPSPVASPSPKNDCSKQSKYHRKQQQQRQEKPKPTEEIFVNFGSDIVQVKNEDLGSKDDIFPSFSFPCTSFGNENEENNIFTESMMENNFLGSFSPTFISPATSESNYFSMSPCHMNSFGIGYQNVQTPESELTTEIISAPTSVTNSPIGDFDISIDNVDFDTTFPFDNPDFFA >Potri.006G241900.7.v4.1 pep chromosome:Pop_tri_v4:6:24347293:24352649:-1 gene:Potri.006G241900.v4.1 transcript:Potri.006G241900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241900.v4.1 MVFYFKARPEAGDYTIFMGLDKHENEELIKYGFPEDIWFHVDKMSSAHVYLRLHKGQTIDGISEGVLEDCVQLVKANSIQGNKVNNIDVVYTPWANLKKTASMDVGQVGFHNSKMVRTVRVEKRINEIVNRLNKTKVERKPDLKAEREAVNAAERAERKLHLRDKKRREEMERLEKERQAEMRSYKGLMVAEKMTSNKQIASENKSLQELEDDFM >Potri.019G052000.5.v4.1 pep chromosome:Pop_tri_v4:19:8619012:8629061:-1 gene:Potri.019G052000.v4.1 transcript:Potri.019G052000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052000.v4.1 MTEPESSRCRPEGTYDVFLSFRGKDTRKTFTNHLYTALVQAGIHTYRDDDELPRGEEISDHLLRAIQKSKISIPVFSKGYASSRWCLNELLEILKCKNRKTGQIVLPIFYDIDPSDVRKQNDSFAEAFVKHEKRFEEKLVKEWRKALEEAGNLSGWNLNAMANGYEAKFIKKIIKDVLNKLDPKYLYVPEHLVGMDRLAHDIFYFLSTATDDVRIAGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQLNGLALLQKQLLHDILKQDVANINNVDRGKVLIRERLCCKRVLVVADDVARQDQLNALMGQRSWFGPGSRVIMTTRDSNLLRKADRTYQIEELTRDQSLQLFSWHAFKDTKPAEDYIELSKDAVDYCGGLPLALEVIGACLSGEEKYIWKSEIDKLRRIPKHDIQGKLRISFDALDGEELQNAFLDIACFFIDIEKEYITKVLGARCSYDPEIDLKTLRKRSLIKVLGGTITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSAGSFAKMKRLNLLQINGVHLTGSLKLLSKVLMWICWHECPLKYFPSDITLDNLAVLDMQYSNLKELWKGEKILNKLKIINLSHSQNLVKTPNLHSSSLEKLILEGCSSLVKVHESIKNLTSLVFLNLEGCWRLKILPESIGNVKSLKSMNISGCSQLEKLPEHMDDMESLIELLADGIENEQFLSSIRQLKYIRRLSLRGYNFSQNSPSSTFWLSPSSTFWPPSISSFISASVLCLKRSLPKAFIDWRLVKSLELPDAGLSDHTTNCVDFRGLSSLEVLDLSRNKFSSLPSGIAFLPNLGSLIVVGCNNLVSIPDLPSNLGYLGATYCKSLERVRIPIASKKELYINLHESHSLEEIQGIEDQSNIFWYISVEDRSYSPNKLQKSVVEAMCNGGHIYHFHAERIPGEMPKWLSYRGEGCSLSFHIPPVFQGLVVWVVCPLQKSVHYYNKNTHIITIRNKSNGIQLFEYKRRAATGGLIRYISISEMAMEDYCGDDELELYIYSEPRGDAARIIKECGVHVIAKKSDSFEESEVGRNIVMSSPPPYHLLPHPHCGSITASTPKQWSDYLFAKLQKHDLNLMLHGGATRIGSSVGGGHETSGAGA >Potri.019G052000.1.v4.1 pep chromosome:Pop_tri_v4:19:8623309:8629061:-1 gene:Potri.019G052000.v4.1 transcript:Potri.019G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052000.v4.1 MTEPESSRCRPEGTYDVFLSFRGKDTRKTFTNHLYTALVQAGIHTYRDDDELPRGEEISDHLLRAIQKSKISIPVFSKGYASSRWCLNELLEILKCKNRKTGQIVLPIFYDIDPSDVRKQNDSFAEAFVKHEKRFEEKLVKEWRKALEEAGNLSGWNLNAMANGYEAKFIKKIIKDVLNKLDPKYLYVPEHLVGMDRLAHDIFYFLSTATDDVRIAGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQLNGLALLQKQLLHDILKQDVANINNVDRGKVLIRERLCCKRVLVVADDVARQDQLNALMGQRSWFGPGSRVIMTTRDSNLLRKADRTYQIEELTRDQSLQLFSWHAFKDTKPAEDYIELSKDAVDYCGGLPLALEVIGACLSGEEKYIWKSEIDKLRRIPKHDIQGKLRISFDALDGEELQNAFLDIACFFIDIEKEYITKVLGARCSYDPEIDLKTLRKRSLIKVLGGTITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSAGSFAKMKRLNLLQINGVHLTGSLKLLSKVLMWICWHECPLKYFPSDITLDNLAVLDMQYSNLKELWKGEKILNKLKIINLSHSQNLVKTPNLHSSSLEKLILEGCSSLVKVHESIKNLTSLVFLNLEGCWRLKILPESIGNVKSLKSMNISGCSQLEKLPEHMDDMESLIELLADGIENEQFLSSIRQLKYIRRLSLRGYNFSQNSPSSTFWLSPSSTFWPPSISSFISASVLCLKRSLPKAFIDWRLVKSLELPDAGLSDHTTNCVDFRGLSSLEVLDLSRNKFSSLPSGIAFLPNLGSLIVVGCNNLVSIPDLPSNLGYLGATYCKSLERVRIPIASKKELYINLHESHSLEEIQGIEDQSNIFWYISVEDRSYSPNKLQKSVVEAMCNGGHIYHFHAERIPGEMPKWLSYRGEGCSLSFHIPPVFQGLVVWVVCPLQKSVHYYNKNTHIITIRNKSNGIQLFEYKRRAATGGLIRYISISEMAMEDYCGDDELELYIYSEPRGDAARIIKECGVHVIAKKSDSFEESEVGRNIVMSSPPPYHLLPHPHCGSITASTPKQWSDYLFAKLQKHDLNLMLHGKNKYFIFNL >Potri.019G052000.4.v4.1 pep chromosome:Pop_tri_v4:19:8620548:8629109:-1 gene:Potri.019G052000.v4.1 transcript:Potri.019G052000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052000.v4.1 MTEPESSRCRPEGTYDVFLSFRGKDTRKTFTNHLYTALVQAGIHTYRDDDELPRGEEISDHLLRAIQKSKISIPVFSKGYASSRWCLNELLEILKCKNRKTGQIVLPIFYDIDPSDVRKQNDSFAEAFVKHEKRFEEKLVKEWRKALEEAGNLSGWNLNAMANGYEAKFIKKIIKDVLNKLDPKYLYVPEHLVGMDRLAHDIFYFLSTATDDVRIAGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQLNGLALLQKQLLHDILKQDVANINNVDRGKVLIRERLCCKRVLVVADDVARQDQLNALMGQRSWFGPGSRVIMTTRDSNLLRKADRTYQIEELTRDQSLQLFSWHAFKDTKPAEDYIELSKDAVDYCGGLPLALEVIGACLSGEEKYIWKSEIDKLRRIPKHDIQGKLRISFDALDGEELQNAFLDIACFFIDIEKEYITKVLGARCSYDPEIDLKTLRKRSLIKVLGGTITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSAGSFAKMKRLNLLQINGVHLTGSLKLLSKVLMWICWHECPLKYFPSDITLDNLAVLDMQYSNLKELWKGEKILNKLKIINLSHSQNLVKTPNLHSSSLEKLILEGCSSLVKVHESIKNLTSLVFLNLEGCWRLKILPESIGNVKSLKSMNISGCSQLEKLPEHMDDMESLIELLADGIENEQFLSSIRQLKYIRRLSLRGYNFSQNSPSSTFWLSPSSTFWPPSISSFISASVLCLKRSLPKAFIDWRLVKSLELPDAGLSDHTTNCVDFRGLSSLEVLDLSRNKFSSLPSGIAFLPNLGSLIVVGCNNLVSIPDLPSNLGYLGATYCKSLERVRIPIASKKELYINLHESHSLEEIQGIEDQSNIFWYISVEDRSYSPNKLQKSVVEAMCNGGHIYHFHAERIPGEMPKWLSYRGEGCSLSFHIPPVFQGLVVWVVCPLQKSVHYYNKNTHIITIRNKSNGIQLFEYKRRAATGGLIRYISISEMAMEDYCGDDELELYIYSEPRGDAARIIKECGVHVIAKKSDSFEESEVGRNIVMSSPPPYHLLPHPHCGSITASTPKQWSDYLFAKLQKHDLNLMLHGGATRIGSSVGGGHETSGAGPSNVQGDH >Potri.019G052000.2.v4.1 pep chromosome:Pop_tri_v4:19:8618948:8629061:-1 gene:Potri.019G052000.v4.1 transcript:Potri.019G052000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052000.v4.1 MTEPESSRCRPEGTYDVFLSFRGKDTRKTFTNHLYTALVQAGIHTYRDDDELPRGEEISDHLLRAIQKSKISIPVFSKGYASSRWCLNELLEILKCKNRKTGQIVLPIFYDIDPSDVRKQNDSFAEAFVKHEKRFEEKLVKEWRKALEEAGNLSGWNLNAMANGYEAKFIKKIIKDVLNKLDPKYLYVPEHLVGMDRLAHDIFYFLSTATDDVRIAGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQLNGLALLQKQLLHDILKQDVANINNVDRGKVLIRERLCCKRVLVVADDVARQDQLNALMGQRSWFGPGSRVIMTTRDSNLLRKADRTYQIEELTRDQSLQLFSWHAFKDTKPAEDYIELSKDAVDYCGGLPLALEVIGACLSGEEKYIWKSEIDKLRRIPKHDIQGKLRISFDALDGEELQNAFLDIACFFIDIEKEYITKVLGARCSYDPEIDLKTLRKRSLIKVLGGTITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSAGSFAKMKRLNLLQINGVHLTGSLKLLSKVLMWICWHECPLKYFPSDITLDNLAVLDMQYSNLKELWKGEKILNKLKIINLSHSQNLVKTPNLHSSSLEKLILEGCSSLVKVHESIKNLTSLVFLNLEGCWRLKILPESIGNVKSLKSMNISGCSQLEKLPEHMDDMESLIELLADGIENEQFLSSIRQLKYIRRLSLRGYNFSQNSPSSTFWLSPSSTFWPPSISSFISASVLCLKRSLPKAFIDWRLVKSLELPDAGLSDHTTNCVDFRGLSSLEVLDLSRNKFSSLPSGIAFLPNLGSLIVVGCNNLVSIPDLPSNLGYLGATYCKSLERVRIPIASKKELYINLHESHSLEEIQGIEDQSNIFWYISVEDRSYSPNKLQKSVVEAMCNGGHIYHFHAERIPGEMPKWLSYRGEGCSLSFHIPPVFQGLVVWVVCPLQKSVHYYNKNTHIITIRNKSNGIQLFEYKRRAATGGLIRYISISEMAMEDYCGDDELELYIYSEPRGDAARIIKECGVHVIAKKSDSFEESEVGRNIVMSSPPPYHLLPHPHCGSITASTPKQWSDYLFAKLQKHDLNLMLHGGATRIGSSVGGGHETSGAGGFTGGVQQQQGSAQIKHRWQILLIHVRSSVIDV >Potri.019G052000.3.v4.1 pep chromosome:Pop_tri_v4:19:8619000:8629109:-1 gene:Potri.019G052000.v4.1 transcript:Potri.019G052000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052000.v4.1 MTEPESSRCRPEGTYDVFLSFRGKDTRKTFTNHLYTALVQAGIHTYRDDDELPRGEEISDHLLRAIQKSKISIPVFSKGYASSRWCLNELLEILKCKNRKTGQIVLPIFYDIDPSDVRKQNDSFAEAFVKHEKRFEEKLVKEWRKALEEAGNLSGWNLNAMANGYEAKFIKKIIKDVLNKLDPKYLYVPEHLVGMDRLAHDIFYFLSTATDDVRIAGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQLNGLALLQKQLLHDILKQDVANINNVDRGKVLIRERLCCKRVLVVADDVARQDQLNALMGQRSWFGPGSRVIMTTRDSNLLRKADRTYQIEELTRDQSLQLFSWHAFKDTKPAEDYIELSKDAVDYCGGLPLALEVIGACLSGEEKYIWKSEIDKLRRIPKHDIQGKLRISFDALDGEELQNAFLDIACFFIDIEKEYITKVLGARCSYDPEIDLKTLRKRSLIKVLGGTITMHDLLRDMGREVVRETSPKEPGKRTRIWNQEDAWNVLEQQKGTDVVEGLALDVRASEAKSLSAGSFAKMKRLNLLQINGVHLTGSLKLLSKVLMWICWHECPLKYFPSDITLDNLAVLDMQYSNLKELWKGEKILNKLKIINLSHSQNLVKTPNLHSSSLEKLILEGCSSLVKVHESIKNLTSLVFLNLEGCWRLKILPESIGNVKSLKSMNISGCSQLEKLPEHMDDMESLIELLADGIENEQFLSSIRQLKYIRRLSLRGYNFSQNSPSSTFWLSPSSTFWPPSISSFISASVLCLKRSLPKAFIDWRLVKSLELPDAGLSDHTTNCVDFRGLSSLEVLDLSRNKFSSLPSGIAFLPNLGSLIVVGCNNLVSIPDLPSNLGYLGATYCKSLERVRIPIASKKELYINLHESHSLEEIQGIEDQSNIFWYISVEDRSYSPNKLQKSVVEAMCNGGHIYHFHAERIPGEMPKWLSYRGEGCSLSFHIPPVFQGLVVWVVCPLQKSVHYYNKNTHIITIRNKSNGIQLFEYKRRAATGGLIRYISISEMAMEDYCGDDELELYIYSEPRGDAARIIKECGVHVIAKKSDSFEESEVGRNIVMSSPPPYHLLPHPHCGSITASTPKQWSDYLFAKLQKHDLNLMLHGGATRIGSSVGGGHETSGAGGFTGGVQQQQGSAQIKHRWQVVVPCLYSP >Potri.013G017000.1.v4.1 pep chromosome:Pop_tri_v4:13:1056572:1061074:-1 gene:Potri.013G017000.v4.1 transcript:Potri.013G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017000.v4.1 MAEEECELNEKQKREIAKWFLLNSPAGEIQYIAKDLRSVLNDEKVYNEAASEAFPLYNKSHLICLELPNRSGDVLVTSYNELEENEFLDPRTAQVATVDHVKQVCTDVRPAADEELSSPYIEEFRCALDAEMLKYVAEAYPKGICSVYCVDGKDVEGPGSNFELVVVISAAKNSPQNFCNGSWRSVWNIEFKEDVQMLEVRGKLQVGAHYYEEGNVQLDAKHECKDATIFQAPDDCAISIANIIRHHEAEYLESLEASYSNLPDTTFKDLRRKLPVTRTQFPWHNTLQFSLTRDIQKELGIDK >Potri.014G170800.5.v4.1 pep chromosome:Pop_tri_v4:14:12588301:12592157:-1 gene:Potri.014G170800.v4.1 transcript:Potri.014G170800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170800.v4.1 MDELCEKVPPEEKPMDVKLAKLPSCLLVILIAVPVDVLLITAVALWKSPYMLFRGWKRLLEDLIGREGPFLETVCVPFAGLAIILWPLAVLGAVIGAIISSFFLALYSGVIVHQEDSLLKGLGYILALVSLFDEYVNDFLYLREGSCLPRPRYRKNANPKPGEDNSLKTRRENSLNAQLISQKSRTLKWEIQQYKAVQVWDWLFKACEVNGRILLRDGLINIQEIEECLVKGNCKKLSIKLPTWSVLHCLLVSAKSDSSGLVISDDVELERNNGPREKVFEWLIEPLLIMKEQIKNLKVEENEETCLKRLVIECENKRPEEWDGTGFPSNDNVRRAQLQAIIRRLQGIVASMSRFPTFRRRFRNMLKVLYVEAIQAGASASHIGVALKSKYTGKSLIESGSGEGRNETDEVVNEAYDNGDVV >Potri.014G170800.1.v4.1 pep chromosome:Pop_tri_v4:14:12588443:12592351:-1 gene:Potri.014G170800.v4.1 transcript:Potri.014G170800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170800.v4.1 MKVPVGFLATLWSFFSFLPFFFFLLILGLAKAAIIGPIALGIIVIGNSAVIIGLWIAHFLYTYYCVARTKRLGFVLKIAVLLLLPVPLVLWPVIGIGGSLLGGIGYGFFAPLLATFEAVGENVKDKFYHCFADGCLPTIKGTCTVVCDFTDFCFHSYFSYMDELCEKVPPEEKPMDVKLAKLPSCLLVILIAVPVDVLLITAVALWKSPYMLFRGWKRLLEDLIGREGPFLETVCVPFAGLAIILWPLAVLGAVIGAIISSFFLALYSGVIVHQEDSLLKGLGYILALVSLFDEYVNDFLYLREGSCLPRPRYRKNANPKPGEDNSLKTRRENSLNAQLISQKSRTLKWEIQQYKAVQVWDWLFKACEVNGRILLRDGLINIQEIEECLVKGNCKKLSIKLPTWSVLHCLLVSAKSDSSGLVISDDVELERNNGPREKVFEWLIEPLLIMKEQIKNLKVEENEETCLKRLVIECENKRPEEWDGTGFPSNDNVRRAQLQAIIRRLQGIVASMSRFPTFRRRFRNMLKVLYVEAIQAGASASHIGVALKSKYTGKSLIESGSGEGRNETDEVVNEAYDNGDVV >Potri.001G112300.1.v4.1 pep chromosome:Pop_tri_v4:1:9077060:9085061:-1 gene:Potri.001G112300.v4.1 transcript:Potri.001G112300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112300.v4.1 MASSPPSEESQNQNQDRQQVAAVKEQEKECLHKTKTIEFLGRTTPIVLQNDNGPCPLLAICNVLLLKNNLNLSPDSAEVSQEKLLSLVAERLIDSNSNVNNKDAGYVENQQQNIADAIDLLPRLATGIDVNLKFRRIDDFEFTRECAIFDLLDIPLYHGWIVDPLDYDTANAIGSKSYNTLMGELVALETRNMEDESKNVTREDFKSKTEEDCVDFVAATTATLGVPSPCLSKARSFDDSPRSASDHQNVRKGDLEEAAALLRVLKFSEAELPCSAGDSLVSDVNGRVLFVSSDESTSMKGAVTVTSLDTSEGPVGIDGSFNALSNDDNNNLTSLETTLPGEVTCSSLKTDLNVHFDHSTCTESGEHISCDDTIKNRSVDTGVEIQDAVSLSCAKSTASLNGDRVDILHYSQKVENQCTSITEVHEPADISNGRDKEDVPGSASPVLESNSSIGRMQNVDAPDTFTSSVDGSEPIYEGEECILDTGTSNYEEREPMYEGEVVLAEQADRTVRSKDEITPQQGELIGNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFRVESHSNDPWDEHNAVTSTADYLASIDSAAQAGLDINSDLQLAIALQQQEFEQQQQQPPPPPPPQRHNMLQASSTGGSRLITGPQVPRSSGKTTSSSSSRFDAKSKDKCSLM >Potri.001G112300.2.v4.1 pep chromosome:Pop_tri_v4:1:9077379:9085061:-1 gene:Potri.001G112300.v4.1 transcript:Potri.001G112300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112300.v4.1 MASSPPSEESQNQNQDRQQVAAVKEQEKECLHKTKTIEFLGRTTPIVLQNDNGPCPLLAICNVLLLKNNLNLSPDSAEVSQEKLLSLVAERLIDSNSNNKDAGYVENQQQNIADAIDLLPRLATGIDVNLKFRRIDDFEFTRECAIFDLLDIPLYHGWIVDPLDYDTANAIGSKSYNTLMGELVALETRNMEDESKNVTREDFKSKTEEDCVDFVAATTATLGVPSPCLSKARSFDDSPRSASDHQNVRKGDLEEAAALLRVLKFSEAELPCSAGDSLVSDVNGRVLFVSSDESTSMKGAVTVTSLDTSEGPVGIDGSFNALSNDDNNNLTSLETTLPGEVTCSSLKTDLNVHFDHSTCTESGEHISCDDTIKNRSVDTGVEIQDAVSLSCAKSTASLNGDRVDILHYSQKVENQCTSITEVHEPADISNGRDKEDVPGSASPVLESNSSIGRMQNVDAPDTFTSSVDGSEPIYEGEECILDTGTSNYEEREPMYEGEVVLAEQADRTVRSKDEITPQQGELIGNFLKNNASQLTFYGLFCLQDGLKERELCVFFRNNHFSTMFKYDGELYLLATDQGYINQPDLVWEKLNEVNGDTLFMTGNFKEFRVESHSNDPWDEHNAVTSTADYLASIDSAAQAGLDINSDLQLAIALQQQEFEQQQQQPPPPPPPQRHNMLQASSTGGSRLITGPQVPRSSGKTTSSSSSRFDAKSKDKCSLM >Potri.006G053900.6.v4.1 pep chromosome:Pop_tri_v4:6:3801429:3805522:1 gene:Potri.006G053900.v4.1 transcript:Potri.006G053900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053900.v4.1 MDMNHLTVETEDTFASLLELAATNDVEGFKRSIERDPSCVDQIGLWYGRQKGSKQMANEYRTPLMVAATYGSIGVIKVILSLSDADVNRSCGADKSTALHCAASGGAVNAVDVVKLLLAAGADANVVDANGHRPIDAIVVPPKFQEARLTLEELLSAEGYVIEHNLRVSMSNANSNSPPLSPSLEDMSLLSGSDSPMKSKLNEAPVHFVSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTSGATAMDFAAAMSLLPGSPSASVMSPQPFSPPMSPSANGLSHSSMAWLQPNVPALHLPGSNLQSSRLRSSLNARDIQADYNLLPDFDVQQQQLLSELSSLSQPSLSNNSLNRSGRLKTLAPSNLDVLFSAESLSPQYADQALASAVFSPSHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQQQHLHSLGSQELVSNTAAIVGSPVNSWSKWGSSNGKPDWTVSADDFGKLRRSNSFELGNGDEPDISWVQSLVKESPTEMKEKSTVHVSESITASASSSESSNINSHIEPVDLDHAVGSWVEQLHIDHLVVQQN >Potri.006G053900.4.v4.1 pep chromosome:Pop_tri_v4:6:3801258:3806404:1 gene:Potri.006G053900.v4.1 transcript:Potri.006G053900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053900.v4.1 MDMNHLTVETEDTFASLLELAATNDVEGFKRSIERDPSCVDQIGLWYGRQKGSKQMANEYRTPLMVAATYGSIGVIKVILSLSDADVNRSCGADKSTALHCAASGGAVNAVDVVKLLLAAGADANVVDANGHRPIDAIVVPPKFQEARLTLEELLSAEGYVIEHNLRVSMSNANSNSPPLSPSLEDMSLLSGSDSPMKSKLNEAPVHFVSEKKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSSTSGATAMDFAAAMSLLPGSPSASVMSPQPFSPPMSPSANGLSHSSMAWLQPNVPALHLPGSNLQSSRLRSSLNARDIQADYNLLPDFDVQQQQLLSELSSLSQPSLSNNSLNRSGRLKTLAPSNLDVLFSAESLSPQYADQALASAVFSPSHKSAVLNQFQQQQSMLSPINTNFSPKNVDHPLLQASFASGRMSPRNVEPISPMSSRVSMLAQREKQQQHLHSLGSQELVSNTAAIVGSPVNSWSKWGSSNGKPDWTVSADDFGKLRRSNSFELGNGDEPDISWVQSLVKESPTEMKEKSTVHVSESITASASSSESSNINSHIEPVDLDHAVGSWVEQLHIDHLVVQQN >Potri.003G073900.1.v4.1 pep chromosome:Pop_tri_v4:3:10156186:10156377:1 gene:Potri.003G073900.v4.1 transcript:Potri.003G073900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073900.v4.1 MAEIKLQVYNKSSGAKKAPATRRSKGHGFTNKCAALVKEQRARIYILRRCATMLLCWYIQGDD >Potri.006G057600.2.v4.1 pep chromosome:Pop_tri_v4:6:4077248:4080758:1 gene:Potri.006G057600.v4.1 transcript:Potri.006G057600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057600.v4.1 MDSSSSHNSSSGSLRFRSARSSLLANFTDNGVDNDSVLNFQDFEDKSAARVREEAVNYSCLPRSYPGLPPHYPRQSSATSSSAMDSSYGLIGSISIGHHEQVEKVDSNPARQNSSPAGLFGNLTVQNGLLSQISEIGSESMEAGSPDSGKLSSVSVDSRFYSSHGFPYGSWNDSHLSENFSSMKREQENGNLFSNAQNGELGNRAHVLSHHLSLPKTAMEMVAMEKFLHFQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVVYIKDLQKQYKTLSDNRANCKCLSKQKPVQNRIV >Potri.016G059300.3.v4.1 pep chromosome:Pop_tri_v4:16:4053235:4057588:-1 gene:Potri.016G059300.v4.1 transcript:Potri.016G059300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059300.v4.1 MADTTASKHLSSTNGGNIITDMKNLSSLLKTRTTVTFAYGFMLAFVAFTIFLAFSPSPNSSSPWFTNIFSSSASTSTASPDSSIFSYLLPNNTPTTTTTPRGQGHDSSSLPSQNTTRINNTLSQPPNTKAEAKVPPSAKNLTPSTVLQPHQTANSSIVIKEPDFANNQTQTAPNSNEGQVLKPNQTTVAVPSTTQLAANQSENTPTKSGPFVKKNSGDQEKGDAVKSVASNFTASHLKKQSNVTKQSNETDSGVDVKQGIDDLVNCDFFDGKWVKDDSYPLYRPGSCSLIDEQFNCILNGRPDKDYQKYKWRPKGCTLPRLNPGHMLDMLRGKRLVFVGDSLNRNMWESLVCILKSSVKDQSKVFEVNGRVHFRGEASYSFLFKDYNCTVEFFVSPFLVQEWELPEKDGSKKETLRLDLVGRSSSQYKGADVIVFNTGHWWTHDKTSKGKDYYQEGSHVYDELNVLEAFRKALTTWARWVDANVNPMKSLVLFRGYSASHFSGGQWNSGGQCDSEVEPIKNVTYLREYPPKMLVLEKVLRNMKTHVRYLNVTQMTDFRKDGHPSVYRKQNLSPVERKSPLLFQDCSHWCLPGVPDAWNEILYAELLVNEKKKQQTQKRQ >Potri.005G119000.1.v4.1 pep chromosome:Pop_tri_v4:5:8748120:8751852:1 gene:Potri.005G119000.v4.1 transcript:Potri.005G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119000.v4.1 MVTLSRKFSTATSDSLACISLKQFPQNPQKPTPFYQIQQQQPKQLESQIVTTLDGCKNLTQIKQVHARILLNGLDQSCYVLAKLIRTLTKLNIPVDPYPLSIFNRVNYPNPFLYNALIRGYLIEERLKESTEFYSLMRKEGVVPVSFTFTALFKACGAKMDVGLGRQIHGQTILVGGFGEDLHVGNSMIDMYIKCGLLECGRKVFDEMPNRDVISWTELISAYAKSGNMESAGELFDGLPVKDMVAWTVMVSGFAQNAKPREAIMFFEKMQEFGVETDEITLIGVISACAQLGAAKYADWIRDVAEKSEFGGKHSVVVGSALIDMYSKCGSVGDAYRVFQGMKERNVYSYSSMILGFAMHGRVHDAMKLFDEMVKTEIKPNRVTFIGVLTACSHAGMVEQGWQIFELMEKCYGIKPSADHYTCMVDLLGRAGRLQEAHELVKTMPIEPHGGVWGALLGACRIHKSPDIAAIAANHLFELEPYCIGNYILLANIYASCGRWNDVSTVRKLMRTRGLRKNPAFSWIESEKGMVHEFFSGDMTHPRSGEIKQALEDLLDRLEAKGYQPHLSSVSYDVNDEDKRRILMTHSEKLALAFGLISTIPGSKIRIVKNLRICEDCHSVICGASQITGREIIVRDIMRFHHFHDGICSCGNFW >Potri.005G145800.1.v4.1 pep chromosome:Pop_tri_v4:5:12017813:12020086:1 gene:Potri.005G145800.v4.1 transcript:Potri.005G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145800.v4.1 MGKFLKPCDKEDMRMAMLKHEETFKEQICELHRLYRIQKIMMRNIESSRPDERSRELWSYKNGFSFNQPNHARDMQQKSIGRLDLEWPSEDCVAESNADRVLELIEESEIQLTLGPSSYDRRKKPETPLTSDSGTSLSSSSTGSSHINRTSSLKHQKTSTKREECCELGIFQVPDMTLGYQNESKKGMGVEEQLRQERQKQPPWLCQVLSLNMA >Potri.005G145800.2.v4.1 pep chromosome:Pop_tri_v4:5:12019075:12020120:1 gene:Potri.005G145800.v4.1 transcript:Potri.005G145800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145800.v4.1 MGKFLKPCDKEDMRMAMLKHEETFKEQICELHRLYRIQKIMMRNIESSRPDERSRELWSYKNGFSFNQPNHARDMQQKSIGRLDLEWPSEDCVAESNADRVLELIEESEIQLTLGPSSYDRRKKPETPLTSDSGTSLSSSSTGSSHINRTSSLKHQKTSTKREECCELGIFQVPDMTLGYQNESKKGMGVEEQLRQERQKQPPWLCQVLSLNMA >Potri.009G038100.1.v4.1 pep chromosome:Pop_tri_v4:9:4693795:4695513:1 gene:Potri.009G038100.v4.1 transcript:Potri.009G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038100.v4.1 MGFTRILFLTLLFVWAADVQCQNVRIFNVKKYGAIPDGKTENSKAFLEAWKNACKWNGKAVVLVPAGTYLLDSVKFEGECKGYMIFKVKGNVMAASKLKDINQWITFKYVNGLTVAGRGTFDGQGYKVWPCKINGRCQTLPISLRLEFIRNGKLQNIRSINSQNAHVSIFASSNLNITNVKLSAPQDSPNTDGIKISSSEEIRITRTSISTGDDCVAILNGSKNTHISQVFCGPGHGISVGSMGGNTLIDNKDIVVGLAVTNSTFTNTSNGLRIKTWASRYEGLASGFTYEDIIMNDVEHPIIIDQQYCPSSSCDSKTASRIQIRDITYSNIRGTSKSKAAVTLNCSSIVPCKNIVLKDIRLVYTGNEGPASSICSNVHGYSYGLQNPPPCFRSA >Potri.001G189100.2.v4.1 pep chromosome:Pop_tri_v4:1:17042297:17049290:1 gene:Potri.001G189100.v4.1 transcript:Potri.001G189100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189100.v4.1 MPVLRSRVRRGRGGAAATPEPEKKQQEQKKNPVEVVEPIAKRTRRRRAAAEAGLATPKTNDKEHKTRNERVGVGGAVSGAVKEEEENRDLEVAREKKGVLGEKKPMDVLDSGGKSNDKPLAGGGDDEGTAAPIPDQVQVEDSPMYKVERKLGKGGFGQVYVGRRVSAVNTNDKAGAGAVEVALKFEHRSSKGCNYGPPHEWQVYETLGGSHGVPLVHYKGQQGDYYVMVMDMLGPSLWDVWNNNINSNLMSTEMVACIAIEALSILEKMHLRGYVHGDVKPENFLLGTPGTPDEKKLFLVDLGLATRWQDSSTGLHVEYDQKPDVFRGTVRYASVHAHLGRTGSRRDDLESLAYTLIFLLRGRLPWQGYQGENKGFVVCKKKMAITSEALCCFCPQPFKQFVEYVVNLKFDEEPNYAKCISLFDGVVGTNPDTRPLNTEGAQKLIYQVGHKRGRLTMEEDDEQPKKKVRMGMPATQWISVYNACRPMKQRYHYNVADARLGQHIEKGNEVGLFVSSVASYQNLWAIIMDAGTNYSAQVYELSPYFLRKEWIMEHWEKNYYISAIAGANNGSSLIVMSKGTSYMQQSYKISDSFPYKWINKKWREGFYVTAMATSGSRWGVVMSRGAGFAKQVVELDFLYPSEGIHRRWDSGYRITATAATWDQAAFVLSVPKRKLTDETQETLRTSAFPSTHVKEKWAKNLYIASMCYGRTTS >Potri.009G076900.1.v4.1 pep chromosome:Pop_tri_v4:9:7454136:7455346:1 gene:Potri.009G076900.v4.1 transcript:Potri.009G076900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076900.v4.1 MGGSSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQEIPFHQRVDAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQIQLAATQAEMNCIQMQQEPTLPTQLDQDEKALLLASSNNFNNIPQYFNFASSSNVIQDPLKRESLWT >Potri.008G224501.1.v4.1 pep chromosome:Pop_tri_v4:8:19123063:19124183:-1 gene:Potri.008G224501.v4.1 transcript:Potri.008G224501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224501.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQGGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSPSSAVRTSHVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQRAPGPVRGHPPKEQ >Potri.003G152400.9.v4.1 pep chromosome:Pop_tri_v4:3:16526497:16529491:-1 gene:Potri.003G152400.v4.1 transcript:Potri.003G152400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152400.v4.1 MVEISEGQKRIREGQKEVREQFQEINKEAAKLKEETNLITKQSAANQLRIDLMFQILRARAENDSAKDAFLTQALRELMTKQDMGKTRVCEQKQGQD >Potri.003G152400.8.v4.1 pep chromosome:Pop_tri_v4:3:16526497:16529496:-1 gene:Potri.003G152400.v4.1 transcript:Potri.003G152400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152400.v4.1 MVEISEGQKRIREGQKEVREQFQEINKEAAKLKEETNLITKQSAANQLRIDLMFQILRARAENDSAKDAFLTQALRELMTKQDMGKTRVCEQKQGQD >Potri.003G152400.3.v4.1 pep chromosome:Pop_tri_v4:3:16526497:16529491:-1 gene:Potri.003G152400.v4.1 transcript:Potri.003G152400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152400.v4.1 MDAKQVAERPYLGTTRKSLQKRKKSGEQISRRIKRIRADMVEISEGQKRIREGQKEVREQFQEINKEAAKLKEETNLITKQSAANQLRIDLMFQILRARAENDSAKDAFLTQALRELMTKQDMGKTRVCEQKQGQD >Potri.003G152400.6.v4.1 pep chromosome:Pop_tri_v4:3:16526497:16529491:-1 gene:Potri.003G152400.v4.1 transcript:Potri.003G152400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152400.v4.1 MDAKQVAERPYLGTTRKSLKRKKSGEQISRRIKRIRADMVEISEGQKRIREGQKEVREQFQEINKEAAKLKEETNLITKQSAANQLRIDLMFQILRARAENDSAKDAFLTQALRELMTKQDMGKTRVCEQKQGQD >Potri.014G029600.1.v4.1 pep chromosome:Pop_tri_v4:14:1867621:1871124:1 gene:Potri.014G029600.v4.1 transcript:Potri.014G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029600.v4.1 MGGSHSREDLELSDSDAESQQEEEDSYQDVSTETPEKSSSAGRNRPKTPSSFDEVESKLKALKLKYPSTTTQTQQSPNPNFKNGVKLYLHIGGNTPKAKWVTSEKLTTYSFIKTSKINGQNEEEEEEESESEEVAWWVLKVSTKIRAKVAVEMQLKTFKEQRRVDFVAEGVWAMKFFSDEDYKLFNSKYQDCLFENTFGYESNEANKVKVYGKDFVGWADPGKADDSMWEDAEDEFLKSPGSATPASGNQDLREEFEEAANGGIQSLALGALDNSFLVGDSGIQVVKNFSHGIHGKGVYVNFGSGNHSSGSNLVHSTPKKALLMRAETNMLLMSPMNEGKLHSTGLHQLDIETGKIITEWKFEKDGTDITMRDIANDSKGAQLDPSGSTFLGLDDNRLCRWDMRDRHGIVQNLASANTPVLNWTQGHQFSRGTNFQCFASTGDGSIVVGSLDGKIRLYSTNSMRQAKTAFPGLGSPITHVDVTFDGKWILGTTDTYLILICSLFTDKDGKTKTGFNGRMGNRIAAPRLLKLTPLDSHLAGVNKKFQKAQFSWVTENGKQERHLVATVGKFSVIWNFQQVKNGSHECYRNQEGLKSCYCYKIVLKDDSIVDSRFMHDKFAVSDSPEAPLVIATPMKVSSFSISSRR >Potri.011G098800.5.v4.1 pep chromosome:Pop_tri_v4:11:12777085:12780885:1 gene:Potri.011G098800.v4.1 transcript:Potri.011G098800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098800.v4.1 MLRWAWRVLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKENSDMLKEARTKPIGLSDALLPRVMPFLHQLVKDYGKNSFMWIGPKPRVNIMNPDQIRDVFMKINEYQKPSHPLLKPLACGLASHEGEKWAKHRKIINPAFHQEKLKLMIPAFYESCSGMINKWEKLVSVDEGSCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTDLTVHVIIKAFVIPGYRFLPIPTNRRLKAIDKEIKASLNALINKREKAMSAGEDAKNDLLGLLLESNFREIQEHGNTKSVRMSIEDVIDECKIFYFAGQETTSVLLTWTMVLLAQYPNWQARAREEVVQVFGNKKPDFDGLNHLKVVTMILNEVLRLYPPVIMLNRDVHEEIKLGNLLLPAGVQISLPTILLHQDHELWGDDASEFKPERFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRYSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.011G098800.4.v4.1 pep chromosome:Pop_tri_v4:11:12777085:12780975:1 gene:Potri.011G098800.v4.1 transcript:Potri.011G098800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098800.v4.1 MTVTVTSILVSIVYVAVLRWAWRVLNWVWFRPKKVERCLRQQGFAGKPYRLLFGDWKENSDMLKEARTKPIGLSDALLPRVMPFLHQLVKDYGKNSFMWIGPKPRVNIMNPDQIRDVFMKINEYQKPSHPLLKPLACGLASHEGEKWAKHRKIINPAFHQEKLKLMIPAFYESCSGMINKWEKLVSVDEGSCELDVWPDLQGLTCDAISRTSFGSNYEEGKRIFDLLKELTDLTVHVIIKAFVIPGYRFLPIPTNRRLKAIDKEIKASLNALINKREKAMSAGEDAKNDLLGLLLESNFREIQEHGNTKSVRMSIEDVIDECKIFYFAGQETTSVLLTWTMVLLAQYPNWQARAREEVVQVFGNKKPDFDGLNHLKVVTMILNEVLRLYPPVIMLNRDVHEEIKLGNLLLPAGVQISLPTILLHQDHELWGDDASEFKPERFAEGVSKATKSQVSFLPFGWGPRICVGQNFALIEAKMALAMVLQRYSFELSPSYIHAPRTVITLQPQHGAPMILRKL >Potri.013G130500.4.v4.1 pep chromosome:Pop_tri_v4:13:13697721:13700216:1 gene:Potri.013G130500.v4.1 transcript:Potri.013G130500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130500.v4.1 MDVSGGNFTTTTLVTEGELATDPINGNANDQPYIVKPCEDPDSRFRIEEAEILIRSLNERRLEVDKKIAERRSDREYIISRLKILHEREKRLEYDMVLRKKQQGHLQAALDKLSFTNSAYQDREINSCLVADDINCRNLNFKLLHGSKNLAAEKKLLREIKASEKGKTVSLWSSLKEIDSSICPLNYQLLRGCYNASTVGDKSILKEIQHLEIAKEKAIANATVKGKIWNSLGTKKALQEEIKFHAKELVDLRKQHLFLKASIKEVEEKLPAVEKRIISLERQLAHLRRQKDEAYEHLINHAD >Potri.013G130500.3.v4.1 pep chromosome:Pop_tri_v4:13:13697721:13700216:1 gene:Potri.013G130500.v4.1 transcript:Potri.013G130500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130500.v4.1 MDVSGGNFTTTTLVTEGELATDPINGNANDQPYIVKPCEDPDSRFRIEEAEILIRSLNERRLEVDKKIAERRSDREYIISRLKILHEREKRLEYDMVLRKKQQGHLQAALDKLSFTNSAYQDREINSCLVADDINCRNLNFKLLHGSKNLAAEKKLLREIKASEKGKTVSLWSSLKEIDSSICPLNYQLLRGCYNASTVGDKSILKEIQHLEIAKEKAIANATVKGKIWNSLGTKKALQEEIKFHAKELVDLRKQHLFLKASIKEVEEKLPAVEKRIISLERQLAHLRRQKDEAYEHLINHAD >Potri.013G130500.2.v4.1 pep chromosome:Pop_tri_v4:13:13697721:13700216:1 gene:Potri.013G130500.v4.1 transcript:Potri.013G130500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130500.v4.1 MDVSGGNFTTTTLVTEGELATDPINGNANDQPYIVKPCEDPDSRFRIEEAEILIRSLNERRLEVDKKIAERRSDREYIISRLKILHEREKRLEYDMVLRKKQQGHLQAALDKLSFTNSAYQDREINSCLVADDINCRNLNFKLLHGSKNLAAEKKLLREIKASEKGKTVSLWSSLKEIDSSICPLNYQLLRGCYNASTVGDKSILKEIQHLEIAKEKAIANATVKGKIWNSLGTKKALQEEIKFHAKELVDLRKQHLFLKASIKEVEEKLPAVEKRIISLERQLAHLRRQKDEAYEHLINHAD >Potri.013G128900.7.v4.1 pep chromosome:Pop_tri_v4:13:13587595:13601825:-1 gene:Potri.013G128900.v4.1 transcript:Potri.013G128900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128900.v4.1 MESKGSEIDEFEKALESALDGSTEEEEEDENEEGEYSDDDEEEEDDDDEEEEEEEENALDSMEQNQQFEYEALAEKKRKTLADAKGCLNDEVSEGSAKKARQEDMTGASLAEIEEIMNFGMRKKRRRRMPKRRGRRKGSKNKLSPEITRMLGDATLHYAHGNYEEALTVLSEVVKRAPLVADSYHTLGLVHKALGNTEKAMKFYRIAAFLRPKDSSLWKLLFSWHVEQGDIARAWKCLSKAISADPDDISLRSLHALFYDELGDHQRAAESYEQIVRICPEDVEAIKTAAKMHLNCGQIKRCVGILEDYLKGHPSEADLSVIILLADVFMEIDAHNNALQHIEHAQMIYYSGKELPLELMIKAGICHVFLGNIEKAEIHFSALQQENFSIHPEFITKVADAFMSTECFHSALKYYHMLELNVGADNEGEIHVKIAQCYLSLNDRAKAIMFFYKALPMLKDSIDARVALASLILEDAKEDEAISLLSPPKDLDSLDSNSYMQNPWWLDGKIKLKLCHIYKAKGMLEDFVNTISPLVRESLYVKTLRPKVKKRLTISVLRERISILNVQENDDVFGEVRPLASKSDLLRACRARKLLQKKEEQKAADKAAGIDLPSDYSDDESLLENRVSPLHDFLKDEAHHDLIIDLCKALQSLQRYSEALEIINLTLRLVSDKLPGDREEQLQSLLAQISFNATDPKHGFDYVRSAIQKQPHSIAAWNCYYKITSRLGKSHSKHAKFLRYMRNKHKRCVPPIVISAHQFTMLSHHQDAAREYLEAYKLMPECPLINLCAGTALINLTLGFRLQNKHQCLAQGLAFLYNNLQLTENSQEALYNIARAYHHVGLVSLAASYYEKVLAACEKDYPIPKLLNENSEMENMKPGYCDLRRESAYNLHLIYKNSGAFDLARQVLKNHCTF >Potri.013G128900.11.v4.1 pep chromosome:Pop_tri_v4:13:13587595:13601985:-1 gene:Potri.013G128900.v4.1 transcript:Potri.013G128900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128900.v4.1 MESKGSEIDEFEKALESALDGSTEEEEEDENEEGEYSDDDEEEEDDDDEEEEEEEENALDSMEQNQQFEYEALAEKKRKTLADAKGEGSAKKARQEDMTGASLAEIEEIMNFGMRKKRRRRMPKRRGRRKGSKNKLSPEITRMLGDATLHYAHGNYEEALTVLSEVVKRAPLVADSYHTLGLVHKALGNTEKAMKFYRIAAFLRPKDSSLWKLLFSWHVEQGDIARAWKCLSKAISADPDDISLRSLHALFYDELGDHQRAAESYEQIVRICPEDVEAIKTAAKMHLNCGQIKRCVGILEDYLKGHPSEADLSVIILLADVFMEIDAHNNALQHIEHAQMIYYSGKELPLELMIKAGICHVFLGNIEKAEIHFSALQQENFSIHPEFITKVADAFMSTECFHSALKYYHMLELNVGADNEGEIHVKIAQCYLSLNDRAKAIMFFYKALPMLKDSIDARVALASLILEDAKEDEAISLLSPPKDLDADSLDSNSYMQNPWWLDGKIKLKLCHIYKAKGMLEDFVNTISPLVRESLYVKTLRPKVKKRLTISVLRERISILNVQENDDVFGEVRPLASKSDLLRACRARKLLQKKEEQKAADKAAGIDLPSDYSDDESLLENRVSPLHDFLKDEAHHDLIIDLCKALQSLQRYSEALEIINLTLRLVSDKLPGDREEQLQSLLAQISFNATDPKHGFDYVRSAIQKQPHSIAAWNCYYKITSRLGKSHSKHAKFLRYMRNKHKRCVPPIVISAHQFTMLSHHQDAAREYLEAYKLMPECPLINLCAGTALINLTLGFRLQNKHQCLAQGLAFLYNNLQLTENSQEALYNIARAYHHVGLVSLAASYYEKVLAACEKDYPIPKLLNENSEMENMKPGYCDLRRESAYNLHLIYKNSGAFDLARQVLKNHCTF >Potri.013G128900.10.v4.1 pep chromosome:Pop_tri_v4:13:13587537:13602178:-1 gene:Potri.013G128900.v4.1 transcript:Potri.013G128900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128900.v4.1 MESKGSEIDEFEKALESALDGSTEEEEEDENEEGEYSDDDEEEEDDDDEEEEEEEENALDSMEQNQQFEYEALAEKKRKTLADAKGEGSAKKARQEDMTGASLAEIEEIMNFGMRKKRRRRMPKRRGRRKGSKNKLSPEITRMLGDATLHYAHGNYEEALTVLSEVVKRAPLVADSYHTLGLVHKALGNTEKAMKFYRIAAFLRPKDSSLWKLLFSWHVEQGDIARAWKCLSKAISADPDDISLRSLHALFYDELGDHQRAAESYEQIVRICPEDVEAIKTAAKMHLNCGQIKRCVGILEDYLKGHPSEADLSVIILLADVFMEIDAHNNALQHIEHAQMIYYSGKELPLELMIKAGICHVFLGNIEKAEIHFSALQQENFSIHPEFITKVADAFMSTECFHSALKYYHMLELNVGADNEGEIHVKIAQCYLSLNDRAKAIMFFYKALPMLKDSIDARVALASLILEDAKEDEAISLLSPPKDLDADSLDSNSYMQNPWWLDGKIKLKLCHIYKAKGMLEDFVNTISPLVRESLYVKTLRPKVKKRLTISVLRERISILNVQENDDVFGEVRPLASKSDLLRACRARKLLQKKEEQKAADKAAGIDLPSDYSDDESLLENRVSPLHDFLKDEAHHDLIIDLCKALQSLQRYSEALEIINLTLRLVSDKLPGDREEQLQSLLAQISFNATDPKHGFDYVRSAIQKQPHSIAAWNCYYKITSRLGKSHSKHAKFLRYMRNKHKRCVPPIVISAHQFTMLSHHQDAAREYLEAYKLMPECPLINLCAGTALINLTLGFRLQNKHQCLAQGLAFLYNNLQLTENSQEALYNIARAYHHVGLVSLAASYYEKVLAACEKDYPIPKLLNENSEMENMKPGYCDLRRESAYNLHLIYKNSGAFDLARQVLKNHCTF >Potri.013G128900.9.v4.1 pep chromosome:Pop_tri_v4:13:13587537:13602192:-1 gene:Potri.013G128900.v4.1 transcript:Potri.013G128900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128900.v4.1 MESKGSEIDEFEKALESALDGSTEEEEEDENEEGEYSDDDEEEEDDDDEEEEEEEENALDSMEQNQQFEYEALAEKKRKTLADAKGEGSAKKARQEDMTGASLAEIEEIMNFGMRKKRRRRMPKRRGRRKGSKNKLSPEITRMLGDATLHYAHGNYEEALTVLSEVVKRAPLVADSYHTLGLVHKALGNTEKAMKFYRIAAFLRPKDSSLWKLLFSWHVEQGDIARAWKCLSKAISADPDDISLRSLHALFYDELGDHQRAAESYEQIVRICPEDVEAIKTAAKMHLNCGQIKRCVGILEDYLKGHPSEADLSVIILLADVFMEIDAHNNALQHIEHAQMIYYSGKELPLELMIKAGICHVFLGNIEKAEIHFSALQQENFSIHPEFITKVADAFMSTECFHSALKYYHMLELNVGADNEGEIHVKIAQCYLSLNDRAKAIMFFYKALPMLKDSIDARVALASLILEDAKEDEAISLLSPPKDLDADSLDSNSYMQNPWWLDGKIKLKLCHIYKAKGMLEDFVNTISPLVRESLYVKTLRPKVKKRLTISVLRERISILNVQENDDVFGEVRPLASKSDLLRACRARKLLQKKEEQKAADKAAGIDLPSDYSDDESLLENRVSPLHDFLKDEAHHDLIIDLCKALQSLQRYSEALEIINLTLRLVSDKLPGDREEQLQSLLAQISFNATDPKHGFDYVRSAIQKQPHSIAAWNCYYKITSRLGKSHSKHAKFLRYMRNKHKRCVPPIVISAHQFTMLSHHQDAAREYLEAYKLMPECPLINLCAGTALINLTLGFRLQNKHQCLAQGLAFLYNNLQLTENSQEALYNIARAYHHVGLVSLAASYYEKVLAACEKDYPIPKLLNENSEMENMKPGYCDLRRESAYNLHLIYKNSGAFDLARQVLKNHCTF >Potri.013G128900.8.v4.1 pep chromosome:Pop_tri_v4:13:13587619:13602127:-1 gene:Potri.013G128900.v4.1 transcript:Potri.013G128900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128900.v4.1 MESKGSEIDEFEKALESALDGSTEEEEEDENEEGEYSDDDEEEEDDDDEEEEEEEENALDSMEQNQQFEYEALAEKKRKTLADAKGEGSAKKARQEDMTGASLAEIEEIMNFGMRKKRRRRMPKRRGRRKGSKNKLSPEITRMLGDATLHYAHGNYEEVKALTVLSEVVKRAPLVADSYHTLGLVHKALGNTEKAMKFYRIAAFLRPKDSSLWKLLFSWHVEQGDIARAWKCLSKAISADPDDISLRSLHALFYDELGDHQRAAESYEQIVRICPEDVEAIKTAAKMHLNCGQIKRCVGILEDYLKGHPSEADLSVIILLADVFMEIDAHNNALQHIEHAQMIYYSGKELPLELMIKAGICHVFLGNIEKAEIHFSALQQENFSIHPEFITKVADAFMSTECFHSALKYYHMLELNVGADNEGEIHVKIAQCYLSLNDRAKAIMFFYKALPMLKDSIDARVALASLILEDAKEDEAISLLSPPKDLDADSLDSNSYMQNPWWLDGKIKLKLCHIYKAKGMLEDFVNTISPLVRESLYVKTLRPKVKKRLTISVLRERISILNVQENDDVFGEVRPLASKSDLLRACRARKLLQKKEEQKAADKAAGIDLPSDYSDDESLLENRVSPLHDFLKDEAHHDLIIDLCKALQSLQRYSEALEIINLTLRLVSDKLPGDREEQLQSLLAQISFNATDPKHGFDYVRSAIQKQPHSIAAWNCYYKITSRLGKSHSKHAKFLRYMRNKHKRCVPPIVISAHQFTMLSHHQDAAREYLEAYKLMPECPLINLCAGTALINLTLGFRLQNKHQCLAQGLAFLYNNLQLTENSQEALYNIARAYHHVGLVSLAASYYEKVLAACEKDYPIPKLLNENSEMENMKPGYCDLRRESAYNLHLIYKNSGAFDLARQVLKNHCTF >Potri.013G128900.6.v4.1 pep chromosome:Pop_tri_v4:13:13587537:13602133:-1 gene:Potri.013G128900.v4.1 transcript:Potri.013G128900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128900.v4.1 MESKGSEIDEFEKALESALDGSTEEEEEDENEEGEYSDDDEEEEDDDDEEEEEEEENALDSMEQNQQFEYEALAEKKRKTLADAKGEGSAKKARQEDMTGASLAEIEEIMNFGMRKKRRRRMVLFLSCTFMQPKRRGRRKGSKNKLSPEITRMLGDATLHYAHGNYEEALTVLSEVVKRAPLVADSYHTLGLVHKALGNTEKAMKFYRIAAFLRPKDSSLWKLLFSWHVEQGDIARAWKCLSKAISADPDDISLRSLHALFYDELGDHQRAAESYEQIVRICPEDVEAIKTAAKMHLNCGQIKRCVGILEDYLKGHPSEADLSVIILLADVFMEIDAHNNALQHIEHAQMIYYSGKELPLELMIKAGICHVFLGNIEKAEIHFSALQQENFSIHPEFITKVADAFMSTECFHSALKYYHMLELNVGADNEGEIHVKIAQCYLSLNDRAKAIMFFYKALPMLKDSIDARVALASLILEDAKEDEAISLLSPPKDLDADSLDSNSYMQNPWWLDGKIKLKLCHIYKAKGMLEDFVNTISPLVRESLYVKTLRPKVKKRLTISVLRERISILNVQENDDVFGEVRPLASKSDLLRACRARKLLQKKEEQKAADKAAGIDLPSDYSDDESLLENRVSPLHDFLKDEAHHDLIIDLCKALQSLQRYSEALEIINLTLRLVSDKLPGDREEQLQSLLAQISFNATDPKHGFDYVRSAIQKQPHSIAAWNCYYKITSRLGKSHSKHAKFLRYMRNKHKRCVPPIVISAHQFTMLSHHQDAAREYLEAYKLMPECPLINLCAGTALINLTLGFRLQNKHQCLAQGLAFLYNNLQLTENSQEALYNIARAYHHVGLVSLAASYYEKVLAACEKDYPIPKLLNENSEMENMKPGYCDLRRESAYNLHLIYKNSGAFDLARQVLKNHCTF >Potri.013G128900.12.v4.1 pep chromosome:Pop_tri_v4:13:13587537:13602258:-1 gene:Potri.013G128900.v4.1 transcript:Potri.013G128900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128900.v4.1 MESKGSEIDEFEKALESALDGSTEEEEEDENEEGEYSDDDEEEEDDDDEEEEEEEENALDSMEQNQQFEYEALAEKKRKTLADAKGEGSAKKARQEDMTGASLAEIEEIMNFGMRKKRRRRMPKRRGRRKGSKNKLSPEITRMLGDATLHYAHGNYEEALTVLSEVVKRAPLVADSYHTLGLVHKALGNTEKAMKFYRIAAFLRPKDSSLWKLLFSWHVEQGDIARAWKCLSKAISADPDDISLRSLHALFYDELGDHQRAAESYEQIVRICPEDVEAIKTAAKMHLNCGQIKRCVGILEDYLKGHPSEADLSVIILLADVFMEIDAHNNALQHIEHAQMIYYSGKELPLELMIKAGICHVFLGNIEKAEIHFSALQQENFSIHPEFITKVADAFMSTECFHSALKYYHMLELNVGADNEGEIHVKIAQCYLSLNDRAKAIMFFYKALPMLKDSIDARVALASLILEDAKEDEAISLLSPPKDLDSLDSNSYMQNPWWLDGKIKLKLCHIYKAKGMLEDFVNTISPLVRESLYVKTLRPKVKKRLTISVLRERISILNVQENDDVFGEVRPLASKSDLLRACRARKLLQKKEEQKAADKAAGIDLPSDYSDDESLLENRVSPLHDFLKDEAHHDLIIDLCKALQSLQRYSEALEIINLTLRLVSDKLPGDREEQLQSLLAQISFNATDPKHGFDYVRSAIQKQPHSIAAWNCYYKITSRLGKSHSKHAKFLRYMRNKHKRCVPPIVISAHQFTMLSHHQDAAREYLEAYKLMPECPLINLCAGTALINLTLGFRLQNKHQCLAQGLAFLYNNLQLTENSQEALYNIARAYHHVGLVSLAASYYEKVLAACEKDYPIPKLLNENSEMENMKPGYCDLRRESAYNLHLIYKNSGAFDLARQVLKNHCTF >Potri.014G002500.2.v4.1 pep chromosome:Pop_tri_v4:14:159497:162755:1 gene:Potri.014G002500.v4.1 transcript:Potri.014G002500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G002500.v4.1 MQILREKNFKQTIPAVRVEDGEEVTYEKTTTALRRSANFFSALQASDGHWPAENSGVLFFLPPFVFCFYITGHLNTMFPPEYRKEIFRYIYNHQNEDGGWGLHIESHSNMFCTTFSYICLRMLGVGPDEEACARGRKWILDRGGVTSIPSWGKTWLSILGLFDWSGCNPIPPEFWILPTAFPVHPAKMWYYCRLVYMPMSYLYGKKFVGPITPLILSLREELYLQPYESVKWKQVRHLCAKEDLYYPHSLIQDFLWDSLYLMSESLLTRWPFNQLIRKKALEVTMKHIHYEDESSRYITIGCVEKVLCMLSCWVEDPDGVAFKRHLARVPDYLWVGEDGMKVQSFGSQLWDATFGFQALYTSELGEEIKPTLAKSLDFIKKCQVVDNPAGDFMGMYRHISTGSWTFSDQDHGWQLSDCTAEALKGPRGGLAGWEPIRGEMWLEKLNPMEFLENIVIEHDYVECTSSAIHGFVMFMKLYPGHRKKEIETFIARAVGYLEMIQMPDGSWYGNWGVCFIYSTWFALVGLATAGKTYYNNQAMRRGVDFLLRVQSPDGGWGESYLACPNKIYTPLEENRSTYVHTAWAMLGLIHAGQLLCFCIYIMLIFFRNFNSYPTPLHRAAKLFINSQAEDGSYPQQEITGVFKNNCMLHYPIYKNVFPLWALAEYRKNVPLPSKKL >Potri.014G002500.3.v4.1 pep chromosome:Pop_tri_v4:14:158605:162976:1 gene:Potri.014G002500.v4.1 transcript:Potri.014G002500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G002500.v4.1 MWRLKIAEKGSNPYIFTTNDFVGRQIWEYDPNAGTPEEREQVEEARRNFTKNRSEVKPSSDLLWQYQILREKNFKQTIPAVRVEDGEEVTYEKTTTALRRSANFFSALQASDGHWPAENSGVLFFLPPFVFCFYITGHLNTMFPPEYRKEIFRYIYNHQNEDGGWGLHIESHSNMFCTTFSYICLRMLGVGPDEEACARGRKWILDRGGVTSIPSWGKTWLSILGLFDWSGCNPIPPEFWILPTAFPVHPAKMWYYCRLVYMPMSYLYGKKFVGPITPLILSLREELYLQPYESVKWKQVRHLCAKEDLYYPHSLIQDFLWDSLYLMSESLLTRWPFNQLIRKKALEVTMKHIHYEDESSRYITIGCVEKVLCMLSCWVEDPDGVAFKRHLARVPDYLWVGEDGMKVQSFGSQLWDATFGFQALYTSELGEEIKPTLAKSLDFIKKCQVVDNPAGDFMGMYRHISTGSWTFSDQDHGWQLSDCTAEALKCVLFAQILPTQYIGEKLDPQMSCQYHSFTTGTKRWSCRLGAYSR >Potri.004G150200.2.v4.1 pep chromosome:Pop_tri_v4:4:17210896:17212896:-1 gene:Potri.004G150200.v4.1 transcript:Potri.004G150200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150200.v4.1 MQEESEPKLRNPEMEGSRKPTTEPPSTALAYQDPHYWNERFSKEEHYEWFKDYSHFRHLIQAHIPPTSSVLELGCGNSQLCEEMYRDGITEVTCIDLSAVAVEKMQKRLEAKGYKEIKVLEADMLDLPFNDECFDVVIEKGTMDVLFVNSGDPWNPRPETVAQVKAMLEGVHRVLKPDGIFISISFGQPHFRRPLFDAPDFTWSVEWSTFGDGFHYFFNVLRKGKRSSSDEGSSGKNEIPSICLFQEELEGEDFIFRTNIDENS >Potri.017G060100.3.v4.1 pep chromosome:Pop_tri_v4:17:4914595:4930367:-1 gene:Potri.017G060100.v4.1 transcript:Potri.017G060100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060100.v4.1 MTTATVLSVSSFPTNPMSTVSSTFCSHFGGTDFGKRHAAFQFLVPHYNFKNSKIFHKRFSNGLSSRRGFRLSCETKTEEIKIRRCSPYLERTLVSSNGALTSDDWRAVPDIWRSSAEKYGDRIAVVDPYHDPPTSLTYKQLEQEILDFSEGLRVIGVKPDEKLALFADNSYRWLVTDQGMMAMGAVDVVRGSRSSIEELLQIYIHSESVALAVDNPELFNRIVETFCSKAAPRFVILLWGEKSHLAINRMEGIPIFSYKEIIDLGQESCKAFSDSDDARQHYKYETISSDDIATLVYTSGTTGNPKGVMLTHKNLLHQINNLWDVVPAQPADRFLSMLPPWHAYERAAEYFIFTHGTEQVYTTVRNLKVDLQQYQPHYLITVPLVFETLYSGIQKQLSTSSALRKILAFMFIKISLTYMEMKRIYEGTYLVRSRKEPPYFVSMLDWLWARIIAAILLPVHMLAKKLVYSKIHSAIGISKAGVSGGGSLPSHVDKFFEAIGVVVQNGYGMTESSPVTAARRPSNNVLGSIGLPIRHTEFKIVDAETGEALPHGSKGIVKVRGPQVMKGYYKNPLATKQAVDEDGWLNSGDIGWIAPYHSRGRSRHCGGVIVLEGRAKDTIVLLTGENVEPLELEEAAMRSSLIQQIVVIGQVCFNDHFPQVLSTFGHISNLVLTYGFPFVSW >Potri.017G060100.1.v4.1 pep chromosome:Pop_tri_v4:17:4914592:4930370:-1 gene:Potri.017G060100.v4.1 transcript:Potri.017G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060100.v4.1 MTTATVLSVSSFPTNPMSTVSSTFCSHFGGTDFGKRHAAFQFLVPHYNFKNSKIFHKRFSNGLSSRRGFRLSCETKTEEIKIRRCSPYLERTLVSSNGALTSDDWRAVPDIWRSSAEKYGDRIAVVDPYHDPPTSLTYKQLEQEILDFSEGLRVIGVKPDEKLALFADNSYRWLVTDQGMMAMGAVDVVRGSRSSIEELLQIYIHSESVALAVDNPELFNRIVETFCSKAAPRFVILLWGEKSHLAINRMEGIPIFSYKEIIDLGQESCKAFSDSDDARQHYKYETISSDDIATLVYTSGTTGNPKGVMLTHKNLLHQINNLWDVVPAQPADRFLSMLPPWHAYERAAEYFIFTHGTEQVYTTVRNLKVDLQQYQPHYLITVPLVFETLYSGIQKQLSTSSALRKILAFMFIKISLTYMEMKRIYEGTYLVRSRKEPPYFVSMLDWLWARIIAAILLPVHMLAKKLVYSKIHSAIGISKAGVSGGGSLPSHVDKFFEAIGVVVQNGYGMTESSPVTAARRPSNNVLGSIGLPIRHTEFKIVDAETGEALPHGSKGIVKVRGPQVMKGYYKNPLATKQAVDEDGWLNSGDIGWIAPYHSRGRSRHCGGVIVLEGRAKDTIVLLTGENVEPLELEEAAMRSSLIQQIVVIGQDQRRLGAIVVPNKDEVLEAAKKWSIVDPDATELSKKQITSLLNEELRKWTSGCSFQIGPILVIDEPFTIDSGLMTPTMKIRRDKVVALYKEQIADLYK >Potri.013G041100.1.v4.1 pep chromosome:Pop_tri_v4:13:2816828:2819448:1 gene:Potri.013G041100.v4.1 transcript:Potri.013G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041100.v4.1 MKQVYLENGLMRLAHQVFEEMPSPDVVSFKITIVGYAKKGFGLEAMRLFHEMVGLGLEPDEFTMLGLLVFCGQLGDVKFGRAVHGWMERRMSTMSSDLILGYALMDIYVKCQELELASRTFGALKKKDVVSWNMIIAGCAEVGELEQAWMFFDQMPCRDIVSWNSLITGYACRGGFSMVKGLIIDLVMESVIPDTVSMISLVSAAAETGALDQGRWARG >Potri.006G172400.1.v4.1 pep chromosome:Pop_tri_v4:6:17746797:17747992:-1 gene:Potri.006G172400.v4.1 transcript:Potri.006G172400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G172400.v4.1 MHVKKVNCTEWEQIPVPKSVRAIVALNLHNYGSGRNPWGSPKRQYLEKVSHICPPSLFLTPVNFALVTP >Potri.015G020800.1.v4.1 pep chromosome:Pop_tri_v4:15:1485436:1493428:-1 gene:Potri.015G020800.v4.1 transcript:Potri.015G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020800.v4.1 MSSVSSQSQFRLTQPPSKVLHLRNLPWECTEEELVELGKPFGNVVNTKCNVGPNRNQAFIEFADLNQAIAMISYYASSSEPAQVRGKTVYLQYSNRQEIVNNKTTADAGGNVLLITIEGADARLVSIDVLHLVFSAFGFVHKITTFEKMDRFQALVQFSDVETASSAKNALDGRNIPSYLLPEHLGPCTLRIAYSGHTDLSVKFQSHRSRDYTNPNLPVAQSAIDANGMFSMGLDGKKLEPESNVLLASIENMQYAVTLDVLHMVFSSFGPVQKIAMFDKNSGLQALIQYPDVQTAVVAKEALEGHCIYDGGFCKLHLSYSRHNDLSIKVNNDRSRDYTIPNNVMVNPQPSILGQQPVATHGPPSHLYTGAQFAPTTEHSMIPQPSSGWATGFPPVPNSMPGQMNNNPYLPPGTMPPQMGHGMMQMPSHGGPPHTHAMPPYRPYHMQ >Potri.T010801.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:87682:89796:1 gene:Potri.T010801.v4.1 transcript:Potri.T010801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010801.v4.1 MKEAIVLYPAATSHQMISMVELAKLILQHHPNISITILVAIMPFDTSTISTYISSISQTSLPISFLSLPQPSEDPPGPAAAATLGKAAFDYIRLYTPKVLDALKTISLTSTVLAFIISTFGITYDTPIPTYLYFTSGASSFASILYLPTIHNQTTKSFKDLPNNTPLHFPGLPPIKPSHLPEPLLDRGHPAYQEFFSLGTLLPNLKGMILNTFEMLEPQAIKAITEGACVPKGSTPPLYCIGPRIVDAKQRGASDDALSKCLLWLDKQPSQSVVFLCFGRKGAFSAPQLKEIAFGLERSKQRFMWVVRNPPPNSDTERGLEELLPEGFLKRTKERGLVLKSWAPQAAILSHQAVGGFVTHCGWNSVLEAVTYGVPMLPWPLYAEQRLNSVVLAEEIKLTPMPFLTEDGKGGVVSSEEVERKVRELMGLEGKGFRESSSMMKIMAMAAWTNGGSSFTALSKLVASWKQEQS >Potri.017G071700.1.v4.1 pep chromosome:Pop_tri_v4:17:7814859:7816670:-1 gene:Potri.017G071700.v4.1 transcript:Potri.017G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071700.v4.1 MEGAEATRIMMGVNESTIKGYPHASISSRGAFDWTLQKIVRSNTSGFKLLFLHVQVPDEDGFDDMDSLYASPEDFKNMKHRDRTRGLHLLEYFVNRCHEIGVACEAWIKKGDPKEVICHEVKRVQPDLLVVGSRGLGPFQRVFVGTVSEFCQKHAECPVISIKRRADETPQDPVDD >Potri.003G168700.1.v4.1 pep chromosome:Pop_tri_v4:3:17741775:17744736:1 gene:Potri.003G168700.v4.1 transcript:Potri.003G168700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168700.v4.1 MKLLGWMHRKLRQNGSEPLKDFAIGNACNCLTGQPSLDDHQHYRKPNYGTRTFRQAQKEQLRNSFSGLEAARVEEEEKEEEGDYEEESSAAISELFHGFLAIGTFGSEPVNTDPSTPTFPISVENITEKETEVTENELKLINDELEKVLAEDCCNDSSGRNSYVSAGRSSHGSTITLSGKPMEGRDSNAVCPLQGYLFGSSIELSETTPVAKKEHRTSLGELFQKTKIAEENSGIKFEREEKRMEKEADKSAVNLMKKTLKKKMLNASSRSSTSAGGGTLDSASAETKLSKILHMFHRKVHPESSTSTRKAGKPQKNENKKSNNNGGQVLPNEDITIVPRTLSRRSTRRFKSQSNPPHFTFTGCESNESRECWIKTDADYLVLEL >Potri.004G027300.1.v4.1 pep chromosome:Pop_tri_v4:4:2086935:2090473:1 gene:Potri.004G027300.v4.1 transcript:Potri.004G027300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027300.v4.1 MGFVYCKFVAILLLLFVLLFCFDFGVAVDIITSSQFIKDPEAIVSARNIFKLGFFSPVNSTNRYVGIWYNDMPTVTTVWVANRNEPLNDSSGVLKIFQDGNLVVLNGQQEILWSSNVLAGVKDSRAQLTDEGNLVLLGKNNGNVIWESFQQPCNTLLPNMRVSANARTGESTVLTSWISPSDPSVGRFSVSMDPLRIPEVFVWNYKSPYWRSGPWNGQIFIGIPEMNSVYLDGFNLAKTADGAVSLSFTYVNQPNSNFVLRSDGKLIERAWKVENQDWFNIWNRPETECDIYGKCGAFGSCNAVNSPICSCLRGFVPKNPDEWNKGNWTSGCLRRTPLECTETQNIREVNPKDGFLKLEMIKVPDFSEWSSLYSELECRNECLSNCSCIAYSYYKGIGCMLWTRSLIDIQKFSVGGADLYLRLAYSELDTKKSVKIVISITVIFGTIAFSICAFLSWRWMVKHGERKRKSKEISLSKSEEPCRSSSYGNMIRNSGGKVKLQELPAVFSLQELENATNSFEISKKLGEGGFGPVYRGKLPDGQEIAVKRLSRASQQGLEEFMNEVSVISKLQHRNLVKLLAYCVEGEEKMLVYEYMPNKSLDAFLFDPAKQELLDWKKRFNIIEGVCRGLLYLHRDSRLRIIHRDLKASNILLDQELNAKISDFGMARTFGGSEDQADTTRVVGTYGYMAPEYAMEGRFSEKSDVYSFGVLLLEIISGRRNSSFYDNEKDLSFLGFAWKLWTEGKLSALADRVLSDPCFQDEIYRSIHVGLLCVQEFARDRPAVPTIISMLHSEIVDLPAPKKPALGFDMDSLQRSQTICSNDITITVIGGR >Potri.013G159901.1.v4.1 pep chromosome:Pop_tri_v4:13:15417621:15419005:-1 gene:Potri.013G159901.v4.1 transcript:Potri.013G159901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159901.v4.1 MDVDRALESTSHWQREHIWTQSGHHLQPRRIHVRMMDITLHCISARFALFNNCEALSMASWDPHVLVSRTACTAMYLSSRLRS >Potri.001G096000.1.v4.1 pep chromosome:Pop_tri_v4:1:7596631:7597873:-1 gene:Potri.001G096000.v4.1 transcript:Potri.001G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096000.v4.1 MSLNIIWLLLSLYFSPSVHSSDNYNTDTLDAFLQDSAFKSLVRHRPHTGALYKALLPANLSGVQVSIVRIRSRTLWNIGANFSNFQIPSRTMTLPHVRRLAIVYQDLGNWSSYYYSVPGYSMITPVVGFMVFNASNARAKRIGKVSLNTSGKAIVIRFANSTITESMISSGAKCVTFSASGTFHLGEINLLNECHSQDHGHFSIVVPQEERSGRDRRKQSLWYLWVIGFALGFSVVAFLGYFGMVSLKLLKTKKIQVMERQADEDLVLETIWVGTSKMPSATVTRTQPNLENGGF >Potri.015G044500.1.v4.1 pep chromosome:Pop_tri_v4:15:4326886:4327167:1 gene:Potri.015G044500.v4.1 transcript:Potri.015G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G044500.v4.1 MKTSYMVAYTLLVLLLAQEHVKVSAVTCSPAQLSSCVSAITSSTPPSKLCCSKIKEQKPCLCQYLKNPNLQKFINTPNARKVASTCGTPFPKC >Potri.005G070400.4.v4.1 pep chromosome:Pop_tri_v4:5:4572000:4577816:-1 gene:Potri.005G070400.v4.1 transcript:Potri.005G070400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070400.v4.1 MDRRSWLWRRKSSEKSPGETESSGSISSHSERFSDDQVYPTHNPQSPEVTSEALLTDEDIRDNVRTLTDKLSAALLNLSAKEELVKQHAKVAEEAVSGWEKAEKELSALKQQIEAGTKKNSGLEDRVSHLDAALKECVRQLRQSREEQERRINEAVTKKICERESTKSELEAQLIELQARLQTAKSDATVSADSELWQKLNAVEKENLSLKRELFSRAEEIQVRILERDLSTQAAETASKLQLESIKKLAKLEAECRKLKAIARKASAANDHKSLTASSICAESFTDSQSDNGERLLAIESDSCKRSGLEMNECDQICSDSWACAHATELDQSKKQRPIGRNVMAPSLEINLMDDFLEMERLAALLDTESGISYLEAGPVSDKGNGSGNPLKEELESIINRTTELEEKLDKMEEEKFKSEMALTECQRQLETLRSQLKEADAKMEELQDLLTLANESRQAREEEIMRSDSKRKETESQLRIAEAEIKTLLSKIVSLDAEVEKERALSAENAAKSQELEDELSKMKCEVELQHEIERKRIASFNEELKITQEKELAVAASKLAECQKTISSLGLQLKSLATLEDLLDSDKSSDVSSEESKDHENGERWRLDLGNQSSGRDSEAIQVTGGALRLKNGGDWESSLSLNSSFVSEKTRSGFGKFFPRGQSRAPNEN >Potri.005G070400.1.v4.1 pep chromosome:Pop_tri_v4:5:4572000:4577764:-1 gene:Potri.005G070400.v4.1 transcript:Potri.005G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070400.v4.1 MDRRSWLWRRKSSEKSPGETESSGSISSHSERFSDDQVYPTHNPQSPEVTSEALLTDEDIRDNVRTLTDKLSAALLNLSAKEELVKQHAKVAEEAVSGWEKAEKELSALKQQIEAGTKKNSGLEDRVSHLDAALKECVRQLRQSREEQERRINEAVTKKICERESTKSELEAQLIELQARLQTAKSDATVSADSELWQKLNAVEKENLSLKRELFSRAEEIQVRILERDLSTQAAETASKLQLESIKKLAKLEAECRKLKAIARKASAANDHKSLTASSICAESFTDSQSDNGERLLAIESDSCKRSGLEMNECDQICSDSWACAHATELDQSKKQRPIGRNVMAPSLEINLMDDFLEMERLAALLDTESGISYLEAGPVSDKGNGSGNPLKEELESIINRTTELEEKLDKMEEEKFKSEMALTECQRQLETLRSQLKEADAKMEELQDLLTLANESRQAREEEIMRSDSKRKETESQLRIAEAEIKTLLSKIVSLDAEVEKERALSAENAAKSQELEDELSKMKCEVELQHEIERKRIASFNEELKITQEKELAVAASKLAECQKTISSLGLQLKSLATLEDLLDSDKSSDVSSEESKDHENGERWRLDLGNQSSGRDSEAIQVTGGALRLKNGGDWESSLSLNSSFVSEKTRSGFGKFFPRGQSRAPNEN >Potri.002G147800.1.v4.1 pep chromosome:Pop_tri_v4:2:11091767:11094374:1 gene:Potri.002G147800.v4.1 transcript:Potri.002G147800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147800.v4.1 MEGYSGKGVVCVTGGTGFVASWLIMRLLEQGYTVRTTVRSNPTDGKQGIGYLTDLPGAKERLQIFNADLDRPDSFNEAIEGCTGVFHVAHPTGFTKEEAEEMVIKRATEGTIGVLQACLNSKTVKRVVYTSGISTVLFSGNGQQVADESAWTDIDYFRSLNVIGNPSLIAKTYTERAALEFAEQHGLDLVTLIPSLVFGPFICPKIPRSVHMGLAMVLGNRNHYRFLIKSNMVHIDDVAMAHIFLLENSNAKGRYLCSSNEVSLNEMFEFLSATYPDLQIPARESISSLKDIEGYKICSLSSKKLLDCGFKFKYGLGDMFDGAIQSCKEKGLF >Potri.010G143100.1.v4.1 pep chromosome:Pop_tri_v4:10:15586606:15587999:1 gene:Potri.010G143100.v4.1 transcript:Potri.010G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143100.v4.1 MGCQEELLIEKVCEIYDKLSRLENLNPSKQVNSLFTQLVHTCMSQCHIEITKLNERVQAIRCKLIKLCGKAEGLLEIHFATLIGSHDKPLNHIKIFPYYSNYLKLSQVEFSMLNKICSRVPKHIAFVGSGPLPLTSIILATNHLRTTCFHNFDIDPSANAKAIQLVSSDSELSKRMFFHTADIMNVSSSLKQYEVVFLAALVGMDREEKVRVIKHLADHIAPGTLLLMRSANGARAFLYPVIDPCDLQGFEILSVFHPSDDVINSVIIARKHSQG >Potri.003G166850.1.v4.1 pep chromosome:Pop_tri_v4:3:17608891:17609095:1 gene:Potri.003G166850.v4.1 transcript:Potri.003G166850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166850.v4.1 MFFFVIIIILLYVSTKRELNKGRENGKTKFTKKLASNSLQAAVWMDSPSKA >Potri.002G079900.1.v4.1 pep chromosome:Pop_tri_v4:2:5623672:5626236:1 gene:Potri.002G079900.v4.1 transcript:Potri.002G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079900.v4.1 MKPLKFLNLLLHFSLALFHATVAHEPPSGTSIIFATLGRLDYDFDIFTLPTGDPPTKTNELRITDGESVNFNGHFPSPSSSLLSLLTNKTLINPPGQQNPSPLNLIYVTERGGSSNIFYDAVYVDIPRSARSRSALEIPKRVQVPLLDGKGGISMKDKPTVSGDYLVYVSTHENPGEPRTSWAAVYATELTTGLTRRLTPHGIADFSPAVSPSGVYTAVASYGERGWSGEVEELSTDIYIFLTRDGTNRVKVVEHGGWPSWVDESTLYFHRRSVEDNWISVYKATLPNHGPISIESVIVERVTPPGLHAFTPATSPGNKKFIAVATRRPNSDYRHVELFDLVKNEFIQLTRLVSPQTHHLNPFISPDSARVGYHRCRGATSDKKSTHLLLENVKSPVHDISLFRIDGSFPSFSPGGDRIAYVDFPGLYVVDRDGSNRRQVFTGMAFSTAWNPARKGVVYTSAGPTFASESTEVDIISINVDDDLGQINSFKKLTSNGENNAFPAVSPDGKWVVFRSGRSGHKNLYIMDASEGENGGLYRLTEGPWSDTMCNWSPDGEWIAFASDRENPGSGSFELFLIHPNGTGLRKLVQSGSAGRANHPYFSPDGNSIVFTSDYGGISAEPISNPHHYQPYGEIFTVKLDGSDLKRLTHNSYEDGTPAWGPTYIKPEDVEWPGHGPQCSFEECHWLNKMPVLGDWAAPWDSTKPQCSSASP >Potri.004G143400.3.v4.1 pep chromosome:Pop_tri_v4:4:16632014:16637168:1 gene:Potri.004G143400.v4.1 transcript:Potri.004G143400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143400.v4.1 MQQGNGPDQNPSPQHQPQHPHQQQWVPHMQQQQQWMAAMQYPAAAMAMMQQQMMMYPHQQHHHYMAYYQQQQHQHQQYQNKQQQYHQKQQKQLQQQGSNEEAKTIWVGDLLHWMDEAYLHNCFSHTGEVSSVKIIRNKQTGQLEGYGFVEFYSRAAAEKVLQSYSGSMMPNTEQPFRLNWASFAGERRADPGSDLSIFVGDLAADVTDSMLQETFAGKYPSVKGAKVVIDSNTGRSKGYGFVRFGDENEKTRAMMEMNGAFCSSRPMRIGVATPKKPSAYQQQYSSQVLAGGHASNGAMAQGSQSDGDSNNTTIFVGGIDSDVTDEDLRQPFSQFGEVVSVKIPVGKGCAFVQFANRKNAEDALQSLNGTTIGKQTVRLSWGRTPANKQWRGDHGNQWHGGYFGGQGYAGYGYAMPPNQDPGMYVAAAASGVS >Potri.004G143400.1.v4.1 pep chromosome:Pop_tri_v4:4:16632018:16637264:1 gene:Potri.004G143400.v4.1 transcript:Potri.004G143400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143400.v4.1 MQQGNGPDQNPSPQHQPQHPHQQQWVPHMQQQQQWMAAMQYPAAAMAMMQQQMMMYPHQQHHHYMAYYQQQQHQHQQYQNKQQQYHQKQQKQLQQQGSNEEAKTIWVGDLLHWMDEAYLHNCFSHTGEVSSVKIIRNKQTGQLEGYGFVEFYSRAAAEKVLQSYSGSMMPNTEQPFRLNWASFAGERRADPGSDLSIFVGDLAADVTDSMLQETFAGKYPSVKGAKVVIDSNTGRSKGYGFVRFGDENEKTRAMMEMNGAFCSSRPMRIGVATPKKPSAYQQQYSSQALVLAGGHASNGAMAQGSQSDGDSNNTTIFVGGIDSDVTDEDLRQPFSQFGEVVSVKIPVGKGCAFVQFANRKNAEDALQSLNGTTIGKQTVRLSWGRTPANKQWRGDHGNQWHGGYFGGQGYAGYGYAMPPNQDPGMYVAAAASGVS >Potri.004G143400.4.v4.1 pep chromosome:Pop_tri_v4:4:16633690:16637266:1 gene:Potri.004G143400.v4.1 transcript:Potri.004G143400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143400.v4.1 MMPNTEQPFRLNWASFAGERRADPGSDLSIFVGDLAADVTDSMLQETFAGKYPSVKGAKVVIDSNTGRSKGYGFVRFGDENEKTRAMMEMNGAFCSSRPMRIGVATPKKPSAYQQQYSSQALVLAGGHASNGAMAQGSQSDGDSNNTTIFVGGIDSDVTDEDLRQPFSQFGEVVSVKIPVGKGCAFVQFANRKNAEDALQSLNGTTIGKQTVRLSWGRTPANKQWRGDHGNQWHGGYFGGQGYAGYGYAMPPNQDPGMYVAAAASGVS >Potri.014G045400.3.v4.1 pep chromosome:Pop_tri_v4:14:2926075:2927847:1 gene:Potri.014G045400.v4.1 transcript:Potri.014G045400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045400.v4.1 MGSIFVCIGFCPTTISSSLIVTDEPCFKVGSDRVQWVGDRIGARRSTGSWKNVWTSNFMKRWVGRRPWKGCSLAEMHTQNVHQALKSCGCVDMMGRKPVFDDVKIF >Potri.014G045400.5.v4.1 pep chromosome:Pop_tri_v4:14:2926075:2927848:1 gene:Potri.014G045400.v4.1 transcript:Potri.014G045400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045400.v4.1 MGSIFVCIGFCPTTISSSLIVTDEPCFKVGSDRVQWVGDRIGARRSTGSWKNVWTSNFMKRWVGRRPWKGCSLAEMHTQNVHQALKSCGCVDMMGRKPVFDDVKIF >Potri.014G045400.4.v4.1 pep chromosome:Pop_tri_v4:14:2926075:2927847:1 gene:Potri.014G045400.v4.1 transcript:Potri.014G045400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045400.v4.1 MKAELPQMKEKRSANSYTLMLAGARRSTGSWKNVWTSNFMKRWVGRRPWKGCSLAEMHTQNVHQALKSCGCVDMMGRKPVFDDVKIF >Potri.011G001800.1.v4.1 pep chromosome:Pop_tri_v4:11:104402:107413:-1 gene:Potri.011G001800.v4.1 transcript:Potri.011G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001800.v4.1 MDLHLKNLFGRFQEQFGSGPGLGPGSSTCLMKVEGVSPNFIKSIYKGSAALYRTEPWKRLRPWHLFGIRVGKDSDWSGKKQPFPCVQFIGGDGGDVGFYMFRSESDAKKMTGPRETIRVPNVELLRVSYEPERLMFPSNKRMIKSLSLEASGTDRFPVIDVARCMSSGELRFRNPTLEELRFVHAFMRAISLVHPLLQEDKDGAPKWSRMMYFETFIETVDVQWPPEMAKGHDLVAVTVSHPPGQAYEEKASSTASSTPTKYAEPPREEVFVDVRVNSNGVLRQCTLCEKEVHGDQSQCCAQCRAVVYCSSLCQKQHWKETHKSMCGLYKAMMEREEELAMKIFLFPCSADQPCKWLESLGIHQKGMWRRKCGCYAHCPLGLLPVKGGLWDSWGGLDDEEYPRDSPFHNHVRDGISSPILLSGWSEYYNLRSLPLSSPVADILSHPFTVYYILTALNISSKNLLLKGKEVILHYLGPEGELDWMPAFAEIGHLLNGSGNIHIVMVGPEVPTNLSGTTSGISSRVRVNLVRGNYQDEATYLPSPHIIVALNCGLESYSAWGGALELIKSRGVPAFFTEQSEILCANAKQVLRGAGLHITHPVTPNPFRSPIKTHCLSNNLPSYSNGFVLGVNT >Potri.004G106350.1.v4.1 pep chromosome:Pop_tri_v4:4:9343215:9344074:-1 gene:Potri.004G106350.v4.1 transcript:Potri.004G106350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106350.v4.1 MDFHSTSFQAGQAKGQAQEKTSQFMDKASNAAQSAMESCQETGQQIKAKAQGAAETVKSKVSANK >Potri.001G274900.2.v4.1 pep chromosome:Pop_tri_v4:1:28841086:28853812:1 gene:Potri.001G274900.v4.1 transcript:Potri.001G274900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274900.v4.1 MADTRSRNGKKIPIPKCPICQTVYNDHSHTPLLLQCGHSFCLNCLSRLLLSSSPCKPSQKSSLTCPKCRHVSPLGNSLLFLPKNFSLLSSLLPSSSASDSDSDSSDDESDVNPRRFHCSTTSGVGLAGNLCRDHELRIVKGISEVKWSGLLVKGKECKHKVVVRRVRVDDVADGNWVEKELDNLRRKVMWCRNVCGFYGVVKSGEDDLCVVMEKCFGSVKEQMEGNGGRLSLDQILRYGADIARGVIEIHAAGIVCLNLKPSNFLLDASGHVVVSDYGLPMILKKTSCSKGLLVPEADPLKTHWCIDCLSLSPYYSAPEAWEPLKKSLHLFRDGNIGISAKSDSWSFGCALVEMCTGFTPWAGLSAEEIYHAVVKEGRSPPQYEKVVGHGIPTELWKMIGECLQFKASNRPTFHAMLAVFLRHLQGIPRSPAIPNTEPANCSRIDMLEQSPTSVLDIFPVKSNHLHQLLSDGDVDGVRDLLAKSASGNDGNSVISLLEAHNADGQTALHLACRRGCLKLVGAILEYSDVDVDIHDKDGNPPIVFALAAGSPECVRSLIRRSDYATCRMSESIGRSVAHVCAYYGQPDCMLELLLAGADANAVDDDGESVLHVAITNKHTECAIVILENSGCRSMSFLNSKNMTPLHLCIEALNVTVVKRWLEVASEEEIAGAIDLPSSVGTALCMAAALRKDHETEGRELVRLLLAAGANPAAQDAENHQTALHTASAANDVELVKIILDAGVNANLRNVHGTIPLHLALAKGAKPCVELLLAAGADCNLQDEDGDNAFHIAAAAARLIRENLDCIILMLQCPNASIKIRNNSGKTLCDLLESLPREWISEELLEALANKGVSLYPTVFEVGDWVKFKRGMKNPTYGWQGATCGSVGFVQSIPENGSLTVSFCTGVAHVLANEVVKVIPFDSGQLVQLKPDVIEPRYALHEQLRHSQGTVLCIEDEGFIRIGFRGASKGWQADPADFQRFEEFKVGDWVCVRKTPEAVKHDFGIVTAGSIGIVHGIRSDSSLLIEFSCVPAPCIFEPEEVEPVVPFKIGELVCVKRSIAEPSFAWGGETHHSVGRIFDIKSNGLLIIEIQNRSIPWTADPSDMEKVEDFKVGDWVRVKASVPSPKYGWDDVTRTSIGIVHNLEDDGDMGVAFSFRSRPFLCSMTDMEKVSPFKVGQEIRVMPSITQPLLGWSNESPATFGTVARIDMDGTLNVRVARRASLWKVAPGDAERLSGLAVGDWVRVKQCLGSRPSYEWNSFRKENIAVVHSIQDSFHLDLVCCFRKGKLPAHCTEVEKVSHIKIGQHVRFRTGLVEPRWGWRGACHNSRGVVTAVNIDGEIRVSFSGLHNLWRGDPADFEIDQMFEVGEWVKIKDSATGWKSLGPGSLGVVQGVRHQDDGWDGTFLVGFCGEPELWAGPACELETVDKLAVGQKVKVKPHVKQPRFGWSGHTHESIGSISAIDLDGKLRIFTPAGSKVWMLDPSEVDVVEEEIIQIGDWVRVKSSIATPVYQWGEVTRDSIGVVHKMEEGELLVAFCFLDQLWVCKEWEMEKVRAFKVGDSVRFRERLVKPRWGWGMETCASKGQVVGVDANGKLRIKFKWREGRPWIGDPADIILDESSSFA >Potri.001G274900.6.v4.1 pep chromosome:Pop_tri_v4:1:28842486:28853784:1 gene:Potri.001G274900.v4.1 transcript:Potri.001G274900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274900.v4.1 MILKKTSCSKGLLVPEADPLKTHWCIDCLSLSPYYSAPEAWEPLKKSLHLFRDGNIGISAKSDSWSFGCALVEMCTGFTPWAGLSAEEIYHAVVKEGRSPPQYEKVVGHGIPTELWKMIGECLQFKASNRPTFHAMLAVFLRHLQGIPRSPAIPNTEPANCSRIDMLEQSPTSVLDIFPVKSNHLHQLLSDGDVDGVRDLLAKSASGNDGNSVISLLEAHNADGQTALHLACRRGCLKLVGAILEYSDVDVDIHDKDGNPPIVFALAAGSPECVRSLIRRSDYATCRMSESIGRSVAHVCAYYGQPDCMLELLLAGADANAVDDDGESVLHVAITNKHTECAIVILENSGCRSMSFLNSKNMTPLHLCIEALNVTVVKRWLEVASEEEIAGAIDLPSSVGTALCMAAALRKDHETEGRELVRLLLAAGANPAAQDAENHQTALHTASAANDVELVKIILDAGVNANLRNVHGTIPLHLALAKGAKPCVELLLAAGADCNLQDEDGDNAFHIAAAAARLIRENLDCIILMLQCPNASIKIRNNSGKTLCDLLESLPREWISEELLEALANKGVSLYPTVFEVGDWVKFKRGMKNPTYGWQGATCGSVGFVQSIPENGSLTVSFCTGVAHVLANEVVKVIPFDSGQLVQLKPDVIEPRYALHEQLRHSQGTVLCIEDEGFIRIGFRGASKGWQADPADFQRFEEFKVGDWVCVRKTPEAVKHDFGIVTAGSIGIVHGIRSDSSLLIEFSCVPAPCIFEPEEVEPVVPFKIGELVCVKRSIAEPSFAWGGETHHSVGRIFDIKSNGLLIIEIQNRSIPWTADPSDMEKVEDFKVGDWVRVKASVPSPKYGWDDVTRTSIGIVHNLEDDGDMGVAFSFRSRPFLCSMTDMEKVSPFKVGQEIRVMPSITQPLLGWSNESPATFGTVARIDMDGTLNVRVARRASLWKVAPGDAERLSGLAVGDWVRVKQCLGSRPSYEWNSFRKENIAVVHSIQDSFHLDLVCCFRKGKLPAHCTEVEKVSHIKIGQHVRFRTGLVEPRWGWRGACHNSRGVVTAVNIDGEIRVSFSGLHNLWRGDPADFEIDQMFEVGEWVKIKDSATGWKSLGPGSLGVVQGVRHQDDGWDGTFLVGFCGEPELWAGPACELETVDKLAVGQKVKVKPHVKQPRFGWSGHTHESIGSISAIDLDGKLRIFTPAGSKVWMLDPSEVDVVEEEIIQIGDWVRVKSSIATPVYQWGEVTRDSIGVVHKMEEGELLVAFCFLDQLWVCKEWEMEKVRAFKVGDSVRFRERLVKPRWGWGMETCASKGQVVGVDANGKLRIKFKWREGRPWIGDPADIILDESSSFA >Potri.001G274900.7.v4.1 pep chromosome:Pop_tri_v4:1:28841110:28853784:1 gene:Potri.001G274900.v4.1 transcript:Potri.001G274900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274900.v4.1 MSFFSLSSVKSREPANCSRIDMLEQSPTSVLDIFPVKSNHLHQLLSDGDVDGVRDLLAKSASGNDGNSVISLLEAHNADGQTALHLACRRGCLKLVGAILEYSDVDVDIHDKDGNPPIVFALAAGSPECVRSLIRRSDYATCRMSESIGRSVAHVCAYYGQPDCMLELLLAGADANAVDDDGESVLHVAITNKHTECAIVILENSGCRSMSFLNSKNMTPLHLCIEALNVTVVKRWLEVASEEEIAGAIDLPSSVGTALCMAAALRKDHETEGRELVRLLLAAGANPAAQDAENHQTALHTASAANDVELVKIILDAGVNANLRNVHGTIPLHLALAKGAKPCVELLLAAGADCNLQDEDGDNAFHIAAAAARLIRENLDCIILMLQCPNASIKIRNNSGKTLCDLLESLPREWISEELLEALANKGVSLYPTVFEVGDWVKFKRGMKNPTYGWQGATCGSVGFVQSIPENGSLTVSFCTGVAHVLANEVVKVIPFDSGQLVQLKPDVIEPRYALHEQLRHSQGTVLCIEDEGFIRIGFRGASKGWQADPADFQRFEEFKVGDWVCVRKTPEAVKHDFGIVTAGSIGIVHGIRSDSSLLIEFSCVPAPCIFEPEEVEPVVPFKIGELVCVKRSIAEPSFAWGGETHHSVGRIFDIKSNGLLIIEIQNRSIPWTADPSDMEKVEDFKVGDWVRVKASVPSPKYGWDDVTRTSIGIVHNLEDDGDMGVAFSFRSRPFLCSMTDMEKVSPFKVGQEIRVMPSITQPLLGWSNESPATFGTVARIDMDGTLNVRVARRASLWKVAPGDAERLSGLAVGDWVRVKQCLGSRPSYEWNSFRKENIAVVHSIQDSFHLDLVCCFRKGKLPAHCTEVEKVSHIKIGQHVRFRTGLVEPRWGWRGACHNSRGVVTAVNIDGEIRVSFSGLHNLWRGDPADFEIDQMFEVGEWVKIKDSATGWKSLGPGSLGVVQGVRHQDDGWDGTFLVGFCGEPELWAGPACELETVDKLAVGQKVKVKPHVKQPRFGWSGHTHESIGSISAIDLDGKLRIFTPAGSKVWMLDPSEVDVVEEEIIQIGDWVRVKSSIATPVYQWGEVTRDSIGVVHKMEEGELLVAFCFLDQLWVCKEWEMEKVRAFKVGDSVRFRERLVKPRWGWGMETCASKGQVVGVDANGKLRIKFKWREGRPWIGDPADIILDESSSFA >Potri.012G073300.1.v4.1 pep chromosome:Pop_tri_v4:12:9681819:9685367:1 gene:Potri.012G073300.v4.1 transcript:Potri.012G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073300.v4.1 MPSHVDLDRQIEHLMQCKPLAEAEVKALCEQARAVLVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISQQFNHTNGLALISRAHQLVMEGYNWSQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRRTPDYFL >Potri.012G073300.2.v4.1 pep chromosome:Pop_tri_v4:12:9681872:9685338:1 gene:Potri.012G073300.v4.1 transcript:Potri.012G073300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073300.v4.1 MPSHVDLDRQIEHLMQCKPLAEAEVKALCEQARAVLVEEWNVQPVKCPVTVCGDIHGQFHDLVELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRSLDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISQQFNHTNGLALISRAHQLVMEGYNWSQDKNVVTVFSAPNYCYRCGNMAAILEIGENMEQNFLQFDPAPRQIEPDTTRRTPDYFL >Potri.002G175300.4.v4.1 pep chromosome:Pop_tri_v4:2:13578162:13580657:-1 gene:Potri.002G175300.v4.1 transcript:Potri.002G175300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175300.v4.1 MSMKIFNWVQRRFHHGTIKDGLAGNVKKAESITKEADKQALLKQVALVDVLDGWKDGILTIGTLGLDPLIPFNQQNDQYFILESDEEGQEEEEDEGKEEEREIEQYSVDDDYYYDDNVEDEEVNPLIYATFDHSFEEIGSDSLHYDVITNSTTIAEHEQRKNKGERITLAELFLEDSDMKRKPDSVEVETEPGNKKPVARAKSSISFAKKLIRPHVVEDSRPIKKFNQLMRRMLKRKIHPEFDWKGNKTESK >Potri.002G175300.2.v4.1 pep chromosome:Pop_tri_v4:2:13579161:13580657:-1 gene:Potri.002G175300.v4.1 transcript:Potri.002G175300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175300.v4.1 MSMKIFNWVQRRFHHGTIKDGLAGNVKKAESITKEADKQALLKQVALVDVLDGWKDGILTIGTLGLDPLIPFNQQNDQYFILESDEEGQEEEEDEGKEEEREIEQYSVDDDYYYDDNVEDEEVNPLIYATFDHSFEEIGSDSLHYDVITNSTTIAEHEQRKNKGERITLAELFLEDSDMKRKPDSVEVETEPGNKKPVARAKSSISFAKKLIRPHVVEDSRPIKKFNQLMRRMLKRKIHPEFDWKGNKTESK >Potri.002G175300.3.v4.1 pep chromosome:Pop_tri_v4:2:13578162:13580657:-1 gene:Potri.002G175300.v4.1 transcript:Potri.002G175300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175300.v4.1 MSMKIFNWVQRRFHHGTIKDGLAGNVKKAESITKEADKQALLKQVALVDVLDGWKDGILTIGTLGLDPLIPFNQQNDQYFILESDEEGQEEEEDEGKEEEREIEQYSVDDDYYYDDNVEDEEVNPLIYATFDHSFEEIGSDSLHYDVITNSTTIAEHEQRKNKGERITLAELFLEDSDMKRKPDSVEVETEPGNKKPVARAKSSISFAKKLIRPHVVEDSRPIKKFNQLMRRMLKRKIHPEFDWKGNKTESK >Potri.006G156314.1.v4.1 pep chromosome:Pop_tri_v4:6:14884785:14898838:1 gene:Potri.006G156314.v4.1 transcript:Potri.006G156314.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G156314.v4.1 MPRQKSILSFFQKPSQPSQSSDAGERTDGRQAPLFSAKQHNQNVSQPAIHGPVDSSIEIRGTDTPPEKVPRQILPVNIKENEEAKVASLFSSIMHKFVKVDDREKPNGRNQVHSANVCSTSVTFTIPKELPQHGMDTLYSEKDNGFSSSGMVDQTSVLNIESVNDVPGPHTPGMRPLVPRLKRILEDVPKFEDKNGCSFLNSSKRVKPLQDPASLIKNHEEISNSTSKFEWLDPAQIRDANRRRPNDPLYDKKTLYLPPDALKKMSASQKQYWTVKSQYMDVLLFFKVGKFYELYELDAEIGHKELDWKMTLSGVGKCRQVGISESGIDDAVEKLVARGYKVGRVEQLETSDQAKSRGANSVIQRKLVQVVTPSTTTGHNMGPDAVHLLAIKEGNYGVDNGATAYGFAFVDCAALRVWVGSINDDASHAALGALLMQISPKEVIYENRELSRGAQKELRKYSLIGSTALQLSPVLPGTDFVDASEVKNLIQSKDYFKWSTNPWNHALDSIMHQDISLCALGGLIGHLSRLMFDDVLRNADILPYQVYKGCLRMDGQTLVNLEVFSNSADGGSSGTLFNYLDNCVTSSGKRLLRNWICHPLKHVEGINNRLDVIENLMARSEIMLVIAQYLRKLPDLERMLGRVKVSFQASGSLALPLISKKLLKQRVKVFGSLVKGLRNGMDLLLLLLKEEQLISSLSKNFKLPELLGSNGLEKFLVQFEAAVDSEFPNYQNRDVTDSEAGMLSVLIELFIEKAAQWGEVIHAINCIDVLRSFAVTASMSCGAMCRPVILPDSKSISFCEGEGGPVLKIKGLWHPFALGENGLPVPNDVFLGEDSDSQHPRTVLLTGPNMGGKSTLLRATCLAVILAQLGCFVPGEKCVLSLADIIFTRLGATDRIMTGESTFFIECTETASVLQNATQDSLVLLDELGRGTSTYDGYAIAYAVFRHLVEKINCRLLFATHYHPLTKEFASHPHVSLQYMACAFKSKPESYSKSDRDLVFLYRLASGACPGSYGLQVATMAGIPEHVVEAASHAGQLMKNSTGESFKSSERRSEFSTLHEEWLKTLVNVSRIRDCNFDDDDVYDTLFCLWHELKSSYESCSSKSR >Potri.015G031000.1.v4.1 pep chromosome:Pop_tri_v4:15:2374305:2375969:-1 gene:Potri.015G031000.v4.1 transcript:Potri.015G031000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031000.v4.1 MVFSWKRKRAAQEKLKKGEELVIPKHFLCPISLDLMKDPVTLSSGITYDRESIEAWLEGGSFTCPATNQVLRSLDQIPNHSLRRMIQDWGVANRNYGVERIPTPRVPVSGIQVSEVLLSLEDSVKSLNGLRCLELVHKIKKWGSESERNRRCVVANGAIRVLAAAFEAFAMDSFESNATLLEEILSNMNWMFPFFQHSLDTEAQARLGSQDSLRCLVWFLKCRDLSAKQDSMIALKELASSDQKHAEALATIEEVNEVLFRFIKEPICPTITKASLMVIFYLLSSPPSTSTKIKSEFVKMGLVSLLLEVTVDSEKSTSETALGVFDILCDCEEGKEEASRNALTCPVLVKKILRVSELATQFSVSAIWKLSKHEKEHEVNVLVEALQVGIFQKLVLLLQFGCGGETKEKATELLKLMNPYRAGLECIDSVDFKNVKRSF >Potri.019G065850.1.v4.1 pep chromosome:Pop_tri_v4:19:10560194:10561350:1 gene:Potri.019G065850.v4.1 transcript:Potri.019G065850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065850.v4.1 MPLLNSYCQVALLCLATETQIHVCNPSTHQLFELPVCGSKIVGLGTGKLDSRVQLVLLLRYLQHTQKTEQSARLGSRTFMEDFHHPVEMFSPPAFANETTYWKIDRSSNHYSSCILKTCCLF >Potri.009G163850.1.v4.1 pep chromosome:Pop_tri_v4:9:12581769:12582638:1 gene:Potri.009G163850.v4.1 transcript:Potri.009G163850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163850.v4.1 MAFIPSCVLGFSSHGLPTSSFNESFIRLFGNDHVIFLDDERKSVQISLDQSSGSGFASQLTYLYSYFSASIKLPGNYTAGVVVSYYTSNADEHTTNHDEIDFEFLGNTGGKPWTLQTNLYGNGSTGRGREERYTLWFDPTQDFHSYSILWTSTWIVYYVDDVPVREVQKIDAMGGDFPSKAMNLFATVWDGSSWATGGGQNKVDYKYAPFIAKYSSFVLYGCSANPAREESAAETCGNATDLNSFNGLTAERKGKMEKFRIEHLIYSYCNDRSRYPTPLPECNLRGKSS >Potri.018G114900.3.v4.1 pep chromosome:Pop_tri_v4:18:13135337:13140230:1 gene:Potri.018G114900.v4.1 transcript:Potri.018G114900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114900.v4.1 MDDNLYDEFGNYIGPEIESDRESDGEEEDEELPDKPHEDEEESDGEEAVHASNGWLTAPNDVDMDNQVVLAEDKKYYPTAEEVYGPGVETLVNDEDEQPLEQPIIKPVRNIKFEVGVKDSSTYVSSQFLVGLMSNPSLVRNVALVGHLQHGKTVFMDMLVEQTHHTPTFDINSEKHIRYTDTRIDEQERRISIKAVPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQEQLPIVVVINKVDRLITELKLPPKDAYHKLRHTIEVINNHISAVSSTAGNVQVIDPAAGNVCFAGATAGWSFTLHSFARLYLKLHGIPFDAEKFASRLWGDMYYNPEDRTFKKKPPASGAERSFVQFVLEPLYKIYSQVIGEHKKSVEATLAEFGVTLPNSAYKLNVRPLLRLACSQVFGSASGFTDMLVKHIPSAKVAAARKVDHTYTGPKDSMIYQAMLDCDPAGPLMVNVTKLYPKSDCSSFDAFGRVYSGKIMTGQSVKVLGEGYSPEDEEDMTVKEVTKLWVYQARYRLPISMAPPGSWVLIEGVDASIMKTATLCNVNYNEEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIENGVVSIDWNRKALGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCLTAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFSLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADIHQQMM >Potri.018G114900.1.v4.1 pep chromosome:Pop_tri_v4:18:13134652:13140231:1 gene:Potri.018G114900.v4.1 transcript:Potri.018G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114900.v4.1 MDDNLYDEFGNYIGPEIESDRESDGEEEDEELPDKPHEDEEESDGEEAVHASNGWLTAPNDVDMDNQVVLAEDKKYYPTAEEVYGPGVETLVNDEDEQPLEQPIIKPVRNIKFEVGVKDSSTYVSSQFLVGLMSNPSLVRNVALVGHLQHGKTVFMDMLVEQTHHTPTFDINSEKHIRYTDTRIDEQERRISIKAVPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLVVDAAEGVMVNTERAIRHAIQEQLPIVVVINKVDRLITELKLPPKDAYHKLRHTIEVINNHISAVSSTAGNVQVIDPAAGNVCFAGATAGWSFTLHSFARLYLKLHGIPFDAEKFASRLWGDMYYNPEDRTFKKKPPASGAERSFVQFVLEPLYKIYSQVIGEHKKSVEATLAEFGVTLPNSAYKLNVRPLLRLACSQVFGSASGFTDMLVKHIPSAKVAAARKVDHTYTGPKDSMIYQAMLDCDPAGPLMVNVTKLYPKSDCSSFDAFGRVYSGKIMTGQSVKVLGEGYSPEDEEDMTVKEVTKLWVYQARYRLPISMAPPGSWVLIEGVDASIMKTATLCNVNYNEEDVYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIENGVVSIDWNRKALGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCLTAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFSLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADIHQQMM >Potri.014G046000.3.v4.1 pep chromosome:Pop_tri_v4:14:2942175:2950956:1 gene:Potri.014G046000.v4.1 transcript:Potri.014G046000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046000.v4.1 MNRRVRRKVAKKSKEKVGVPGNPEIGDADLCPDSNEDVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKIWRVLGLSSCLWTSLDLRAHKCDPGMAVSLASRCVNLQKLRFRGAECADAIIHLQARNLREISGDYCRKITDATLSMIVARHEALETLQLGPDFCERISSDAIKATAFCCPKLKKLRLSGLRDVSAEVINALAKHCPNLIDIGLLDCLKVDEVALGNVVSVLFLSVAGTSNMKWGVVSHLWHKLPKLIGLDVSRTDIGPSAVSRLLSLSPSLKVLCAMNCPVLEEDNSFSVNKYKGKLLLALFTDIFKGLASLFADTTKTGKNVLLDWRNLKTKDKNLDEIMTWLEWILSHTLLRTAESNPQGLDAFWLKQGATILLSLMQSSQEEVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIQILAGLASSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGVKALVDLIFKWFSGGDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNTNNAAVGQEAGALEALVQLTRSLHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQSCGNASPGLQERAAGALWGLSVSEANSIAIGREGGVVPLIALARSETEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVDLCSSSASKMARFMAALALAYMFDRRMDEVAPIGTLTESTSKSANLDGARRMALKHIEAFVLTFSDPQAFATAAASSAPAALAQVTERARIQEAGHLRCSGAEIGRFVAMLRNPSSILKACAAFALLQFTIPGGRHALHHASLMQSAGAARVLRPAAAAATAPLEAKIFARIVLRNLEYHHIESSI >Potri.014G046000.1.v4.1 pep chromosome:Pop_tri_v4:14:2941925:2950967:1 gene:Potri.014G046000.v4.1 transcript:Potri.014G046000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046000.v4.1 MNRRVRRKVAKKSKEKVGVPGNPEIGDADLCPDSNEDVDWTSLPDDTVIQLFSCLNYRDRASLSSTCKIWRVLGLSSCLWTSLDLRAHKCDPGMAVSLASRCVNLQKLRFRGAECADAIIHLQARNLREISGDYCRKITDATLSMIVARHEALETLQLGPDFCERISSDAIKATAFCCPKLKKLRLSGLRDVSAEVINALAKHCPNLIDIGLLDCLKVDEVALGNVVSVLFLSVAGTSNMKWGVVSHLWHKLPKLIGLDVSRTDIGPSAVSRLLSLSPSLKVLCAMNCPVLEEDNSFSVNKYKGKLLLALFTDIFKGLASLFADTTKTGKNVLLDWRNLKTKDKNLDEIMTWLEWILSHTLLRTAESNPQGLDAFWLKQGATILLSLMQSSQEEVQERAATGLATFVVIDDENASIDCGRAEAVMRDGGIRLLLNLAKSWREGLQSEAAKAIANLSVNANVAKAVAEEGGIQILAGLASSMNRLVAEEAAGGLWNLSVGEEHKGAIAEAGGVKALVDLIFKWFSGGDGVLERAAGALANLAADDKCSMEVALAGGVHALVMLARNCKFEGVQEQAARALANLAAHGDSNTNNAAVGQEAGALEALVQLTRSLHEGVRQEAAGALWNLSFDDRNREAIAAAGGVEALVALAQSCGNASPGLQERAAGALWGLSVSEANSIAIGREGGVVPLIALARSETEDVHETAAGALWNLAFNPGNALRIVEEGGVPALVDLCSSSASKMARFMAALALAYMFDRRMDEVAPIGTLTESTSKSANLDGARRMALKHIEAFVLTFSDPQAFATAAASSAPAALAQVTERARIQEAGHLRCSGAEIGRFVAMLRNPSSILKACAAFALLQFTIPGGRHALHHASLMQSAGAARVLRPAAAAATAPLEAKIFARIVLRNLEYHHIESSI >Potri.007G081800.1.v4.1 pep chromosome:Pop_tri_v4:7:10633471:10635531:-1 gene:Potri.007G081800.v4.1 transcript:Potri.007G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081800.v4.1 MLLRALHFSNPSINHHRYTSSPATSTAHSRLFLYDLRLPIQRFSSITIQSHYNSTTTAVAESESLESSTSSEYIETVGLPSSSVLQLSQWNLTHRHVLVLNVIACAAAVSATWLFLSAIPTLLAFKTAAESLEKLMDVTREELPDTMAAVRLSGMEISDLTMELSDLGQEITQGVRSSTRAVRVAEERLRQLTNITPTASLQRVAILKNETGGPALARTARGMREGIVKGRSILQIFFTIIQFSRMAVNYFAKQNKK >Potri.010G023851.1.v4.1 pep chromosome:Pop_tri_v4:10:3488339:3488425:-1 gene:Potri.010G023851.v4.1 transcript:Potri.010G023851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023851.v4.1 MHANDFFYFLKIIFDISTSKRSKTYKPY >Potri.001G203601.1.v4.1 pep chromosome:Pop_tri_v4:1:20520900:20521597:-1 gene:Potri.001G203601.v4.1 transcript:Potri.001G203601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G203601.v4.1 MLGKASESCDVYSFGILLLELATGKRPLEKMSPTVKRTITDWALPLACERKFSELADPELNGKYDEEELRRVVFVSLVCAHTQPERRPTMLDVVELLKGESKEKLSKLENDEMFKAPQAADFDDKEISIAENSSDFISEEKDMNREVKEIVQENTHNS >Potri.010G183766.1.v4.1 pep chromosome:Pop_tri_v4:10:18138168:18141708:1 gene:Potri.010G183766.v4.1 transcript:Potri.010G183766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183766.v4.1 MKRKHLHQSKHPYNAHPKLSEPLNVQAKMGLSKRQIDAFEALYGGSWQSVELIEIRDGAMTLHFADSHHRIEEKGPFSNIRVKSRKSTLSDCTCFLRPGIDVCVLSFSERAKSSEEGNSEPVWVDARINSIKRKPHESQCSCQFFVNLYVNQGPLGSERATLSKETEAVGIDQISILQKLDNDPCEADNNRHETQFYRWEFCEDCSLVQRSKLFLGKNFS >Potri.017G076901.1.v4.1 pep chromosome:Pop_tri_v4:17:8564396:8566411:-1 gene:Potri.017G076901.v4.1 transcript:Potri.017G076901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076901.v4.1 MSLLGSFVFGRENDGLYGSRLLGRARMPVRGLASGRSWCLMRVTCFFLYFFSYDLCLSRLRRLLSSVK >Potri.010G176500.4.v4.1 pep chromosome:Pop_tri_v4:10:17651808:17658211:1 gene:Potri.010G176500.v4.1 transcript:Potri.010G176500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176500.v4.1 MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIQEWIERVAFIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYHVGPGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALEDNVKAKLSQFCHVAAENILTLYDVPNIWHIPLLLRDQKAHEAILKGLNLLGVAREPDLQEWTARTRVYDILHEPVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVEWVAAGDLEDVTAKEAPDVYKNAWDLLKGSDGVLVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSALGLHDANSTEFDPETSHPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPNCKSAKLYSNASFVDERHRHRYEVNPNMVPQFENAGLSFVGRDETGQRMEIIELPSHPYFVGTQFHPEFKSRPGKPSALFLGLIAAASGQLDAVLQNYGHENKLLPNGISNGKPSVKTYQNGNAIKSSNGSLNGVYSNGNGNGVHH >Potri.010G176500.5.v4.1 pep chromosome:Pop_tri_v4:10:17651808:17658199:1 gene:Potri.010G176500.v4.1 transcript:Potri.010G176500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176500.v4.1 MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIQEWIERVAFIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYHVGPGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALEDNVKAKLSQFCHVAAENILTLYDVPNIWHIPLLLRDQKAHEAILKGLNLLGVAREPDLQEWTARTRVYDILHEPVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVEWVAAGDLEDVTAKEAPDVYKNAWDLLKGSDGVLVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSALGLHDANSTEFDPETSHPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPNCKSAKLYSNASFVDERHRHRYEVNPNMVPQFENAGLSFVGRDETGQRMEIIELPSHPYFVGTQFHPEFKSRPGKPSALFLGLIAAASGQLDAVLQNYGHENKLLPNGISNGKPSVKTYQNGNAIKSSNGSLNGVYSNGNGNGVHH >Potri.010G176500.2.v4.1 pep chromosome:Pop_tri_v4:10:17651808:17658459:1 gene:Potri.010G176500.v4.1 transcript:Potri.010G176500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176500.v4.1 MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIQEWIERVAFIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYHVGPGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALEDNVKAKLSQFCHVAAENILTLYDVPNIWHIPLLLRDQKAHEAILKGLNLLGVAREPDLQEWTARTRVYDILHEPVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVEWVAAGDLEDVTAKEAPDVYKNAWDLLKGSDGVLVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSALGLHDANSTEFDPETSHPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPNCKSAKLYSNASFVDERHRHRYEVNPNMVPQFENAGLSFVGRDETGQRMEIIELPSHPYFVGTQFHPEFKSRPGKPSALFLGLIAAASGQLDAVLQNYGHENKLLPNGISNGKPSVKTYQNGNAIKSSNGSLNGVYSNGNGNGVHH >Potri.010G176500.3.v4.1 pep chromosome:Pop_tri_v4:10:17651806:17658276:1 gene:Potri.010G176500.v4.1 transcript:Potri.010G176500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176500.v4.1 MKYVLVTGGVVSGLGKGVTASSIGLLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDIKLTRDNNITTGKIYQSVLEKERRGDYLGKTVQVVPHITDAIQEWIERVAFIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYHVGPGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALEDNVKAKLSQFCHVAAENILTLYDVPNIWHIPLLLRDQKAHEAILKGLNLLGVAREPDLQEWTARTRVYDILHEPVRIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVEWVAAGDLEDVTAKEAPDVYKNAWDLLKGSDGVLVPGGFGDRGVQGKILAAKYARENKVPFLGICLGMQIAVIEFARSALGLHDANSTEFDPETSHPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPNCKSAKLYSNASFVDERHRHRYEVNPNMVPQFENAGLSFVGRDETGQRMEIIELPSHPYFVGTQFHPEFKSRPGKPSALFLGLIAAASGQLDAVLQNYGHENKLLPNGISNGKPSVKTYQNGNAIKSSNGSLNGVYSNGNGNGVHH >Potri.009G010300.1.v4.1 pep chromosome:Pop_tri_v4:9:1974215:1976506:-1 gene:Potri.009G010300.v4.1 transcript:Potri.009G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010300.v4.1 MYRIWFAAETMVFIWFLIFLFFNPINAQQYYDPSDCSENTSYPGSRYTCNHSYQHPCQTFLVYRASHYFKTISDVSQLFQLDPAELLHLNNLKSQLKVLEPGREVLVPIKCSCLGQFFQATFNYTVPENSTVELSDIACRIFEGLAKPGTLVEENASEGNNVEVGTKLHVPLKCACPDNSSNSSGVKYLVTYPLVEGDEPSILSEKFSITPVDLWVANNFQPWPTIYPNTTVLIPLKTDPVINFSIPRSPPPSPGFLPTILVQKTTNTKLRNLYIAGSVVGFILLLAALIVCGLHVKALRKFKVVKLQSFNTRSSQLSCPTPSSPRSGQLTGRSSATSCLSPDLLAGIKYSLRNYSIEDLKRATDDFSEERKIGDQAYKGLNMDNAEMMIKLMRFEQTRQVIDIHSKINHINILNLLGVCYGENDYSWSYLVFELPSNGCLRDLLSNSSNPLRWDKRTQIAFDIATALHYLHYCIFPTYAHLSVNSRNIFVTTDWRAKLTNIRTNPAVGSSRGNENIESVKGCVAPEYVVDGSVSEKVDIFAFGVVLLELISGKDDVDGKSFKECIAFLGGKTTEGGCFDGLRSFMDPCLKEDYPLAEALCVTVLAKACVEEDPLHRPSMDDILKVLVRMVR >Potri.007G090300.1.v4.1 pep chromosome:Pop_tri_v4:7:11533505:11542208:1 gene:Potri.007G090300.v4.1 transcript:Potri.007G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090300.v4.1 MGSAGSKVDKTEPLALCKERRKFIKQAIDSRYNLAAAHVSYINSLKNFGVALRRFAEAEVLIESSLSTTSATELDKSPSHSSYLSPSPSHNAEVSDSPLHFESPISPPVMNMSYMRAGGGGNAVTVKFNLNNSGGFVEDETLGFSMPMPPPPPPPFELAGSWDFFDPSDNGESFRFVRHSELDMDFDNMSGWSEFRGEKVGIEQSVVDAKGKWTKVGLDGKSQVHEETLTPGVEHKGVESSGNSLTQNGSYNSRVKGTAPSFELRGVEGSSRQEFVGQVRHVEEGQNASVSTLEQSGSRREKAVAVNNLSTEREDPSEFITHRAKDFLASVKDIEHRFFRASESGKEVSRMLEANNIRVGYSEAKGGSASAILVAVKFVCCRGKTALVSHEPVEHMTKIITWKRTTSSRSSSSRNPLVTATKDDASDSGSDFVEEFCMIAGSHSSTLDRLYAWERKLYDEIKASESIRREYDRRCDQLRHQFAKDQSANVIDKTRAVVKDLHSRIRVAIHSVDSISKRIEKMRDEELQPQLLELIQGLIRMWKTMLECHHAQYITISLAYHSRSITGTPQGNTRRQIMAQLQQEVECFGLSFANWVNSHASYVEALNGWLQNCILQPQERSKSRRSFSPRRLLAPPLFVLCRDWSAGIRGLPSEELNNAIKTLLSDLYHLMEQQEEQLHKEEKVVDVNNGESGEKENDRNDDLASNMYCIHASLTKVLDRLNKFSEASLKMYEDVRQKTEAARVAYLNCRPLRC >Potri.010G120100.1.v4.1 pep chromosome:Pop_tri_v4:10:13831824:13835096:-1 gene:Potri.010G120100.v4.1 transcript:Potri.010G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120100.v4.1 MFSLILLLLALFNCHSLVSCLNNEGYALLSFKQSIYEDPEGSLSNWNSSDDNPCSWNGVTCKDFKVMSVSIPKKRLYGFLPSALGSLSDLRHVNLRNNRFSGSLPAELFQAQGLQSLVLYGNSLSGSLPNQFGKLKYLQTLDLSQNFFNGSIPTSFVLCKRLRALDLSQNNLTGSLPVGFGASLVSLEKLDLSFNKFNGSIPSDMGNLSSLQGTADLSHNLFTGSIPASLGNLPEKVYIDLTYNNLSGPIPQTGALMNRGPTAFIGNPGLCGPPLKNPCSSDTDGAAAPSSIPFLPNNSPPQDSDNNGRKSEKGRGLSKTAVVAIIVSDVIGICLVGLLFSYCYSRVCQRSKDRDGNSYGFEKGGKKRRECFCFRKDESETLSENVEQYDLVPLDAQVAFDLDELLKASAFVLGKSGIGIVYKVVLEDGHTLAVRRLGEGGSQRFKEFQTEVEAIGKLRHPNIVILRAYYWSVDEKLLIYDYIPNGSLATALHGKPGMVSYTPLSWSDRLKIIKGIAKGLVYLHEFSPKKYVHGDLKPSNVLLGQNMEPHISDFGLGRLATIAGGSPTLESNRIASEKPQERQQKGAPSSEVATVSSTNLGSYYQAPEALKVLKPSQKWDVYSYGVILLEMITGRSSMVHVGTSEMYLVHWIQLCIEEQKPLADVLDPYLAPDVDKEEEIIAVLKIAMACVHSSPERRPTMRHVSDVFNRLAMSSD >Potri.001G394400.1.v4.1 pep chromosome:Pop_tri_v4:1:41821771:41826511:-1 gene:Potri.001G394400.v4.1 transcript:Potri.001G394400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394400.v4.1 MEITNVTEYEAIAKQKLPKMVYDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDMATTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKAADSGLASYVAGQIDRTLSWKDVEWLQTITKLPILVKGVLTAEDARLSVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLASEGETGVRKVLQMLREEFELTMALSGCRSLKEITRAHIVADWDHPLNRLAPRL >Potri.001G394400.7.v4.1 pep chromosome:Pop_tri_v4:1:41822147:41826317:-1 gene:Potri.001G394400.v4.1 transcript:Potri.001G394400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394400.v4.1 MEITNVTEYEAIAKQKLPKMVYDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDMATTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKAADSGLASYVAGQIDRTLSWKDVEWLQTITKLPILVKGVLTAEDARLSVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLASEGETGVRKVLQMLREEFELTMALSGCRSLKEITRAHIVADWDHPLNRLAPRL >Potri.001G394400.8.v4.1 pep chromosome:Pop_tri_v4:1:41822143:41826314:-1 gene:Potri.001G394400.v4.1 transcript:Potri.001G394400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394400.v4.1 MEITNVTEYEAIAKQKLPKMVYDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDMATTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKAADSGLASYVAGQIDRTLSWKDVEWLQTITKLPILVKGVLTAEDARLSVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLASEGETGVRKVLQMLREEFELTMALSGCRSLKEITRAHIVADWDHPLNRLAPRL >Potri.001G394400.6.v4.1 pep chromosome:Pop_tri_v4:1:41822158:41826365:-1 gene:Potri.001G394400.v4.1 transcript:Potri.001G394400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394400.v4.1 MEITNVTEYEAIAKQKLPKMVYDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDMATTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRREADIKNRFTLPPFLTLKNFEGLDLGKMDKAADSGLASYVAGQIDRTLSWKDVEWLQTITKLPILVKGVLTAEDARLSVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLASEGETGVRKVLQMLREEFELTMALSGCRSLKEITRAHIVADWDHPLNRLAPRL >Potri.010G024000.2.v4.1 pep chromosome:Pop_tri_v4:10:3509158:3511117:1 gene:Potri.010G024000.v4.1 transcript:Potri.010G024000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024000.v4.1 MLLLGLELANLILLSLFFSYHSSRKKMLGELVLTMSLKNEILVMMRCLVKWWAELNLSPEESLKRAPVVERYDRPMPKLRNTKPDSGRYEERPGSPGTLNVAQLRQIMLLYQGKADDHEGPMNAQQVAEKF >Potri.010G024000.3.v4.1 pep chromosome:Pop_tri_v4:10:3506587:3510073:1 gene:Potri.010G024000.v4.1 transcript:Potri.010G024000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024000.v4.1 MRTVLALMLGELVLTMSLKNEILVMMRCLVKWWAELNLSPEESLKRAPVVERYDRPMPKLRNTKPDSGRYEERPGSPGTLNVAQLRQIMLLYQGKADDHEGPMNAQQVAEKF >Potri.010G024000.4.v4.1 pep chromosome:Pop_tri_v4:10:3509047:3510073:1 gene:Potri.010G024000.v4.1 transcript:Potri.010G024000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024000.v4.1 MRCDMLGELVLTMSLKNEILVMMRCLVKWWAELNLSPEESLKRAPVVERYDRPMPKLRNTKPDSGRYEERPGSPGTLNVAQLRQIMLLYQGKADDHEGPMNAQQVAEKF >Potri.010G024000.5.v4.1 pep chromosome:Pop_tri_v4:10:3509586:3510073:1 gene:Potri.010G024000.v4.1 transcript:Potri.010G024000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024000.v4.1 MVGRIKSKPGGKLETGEAPVVERYDRPMPKLRNTKPDSGRYEERPGSPGTLNVAQLRQIMLLYQGKADDHEGPMNAQQVAEKF >Potri.004G034900.1.v4.1 pep chromosome:Pop_tri_v4:4:2732141:2745843:1 gene:Potri.004G034900.v4.1 transcript:Potri.004G034900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034900.v4.1 METDETDRVLPFQLQFDKPVASQVKIAEWNPEKDLLAMVTEDSKILLHRFNWQRLWTISPGRNITSLCWRPDGKAIAVGLEDGTIYLHDVENGKLLRSLKSHTVAVVCLNWEEEGQLIRDDSKNSSSYEDRTSRFFPPAPRVPRMPGVVSGDTGFMDDSEDSYRELSNSSYQRFNILCSGDKDGSICFSIFGIFPIGKINIHKFSVPTPFIDKQTPRQILNSSIYKVSLSKDLCRLIVMCSGELNENTESRESQMVKQGMHSLVLDTSIFWKRKSELHQLAQQASNIEDLTEVIRASLSVMCKQWSDAMHTFHEKFDSLSTLIIDHALDSTPQEEFLSLLGGARTSSAVHQFLVNSLGEVGVKRVLKVICGTAKELQRIVLDHLQPAAEIIGFRMGELRGLSRWRARYHGIGLDEMLINNATEKSGMILVQIERFMRVLSSVEQQFSNFFNWLLKCIKLLMQEPSDQLLPYNSELVVIFLKFLYDQDPVKQLLEVDHDIEVDLETMQRVKELVQFGGFSDCEYLQRTLAKEFQQMEDSFKEAFLMPFTTISRKMLCEDLLPLFPLPSSSSSASVSMAIPMSISYYEDASQAVSSNQTCQHSFVDYVCFQVPDEPFSDIANCIGVIRGFTHDLSSSKNGYTSLEAVLLYVPAGYECVDLSLYKDSQIVLLLNGASASSESSGDACMMIVQASELPFISISRFTDLNLWNLYQLKDSTVQLQMENEKVRNIPHSVIAPLAVSASRGVACVFAARKRALVYILEEDEEEVPDTE >Potri.004G120400.1.v4.1 pep chromosome:Pop_tri_v4:4:11441881:11444329:1 gene:Potri.004G120400.v4.1 transcript:Potri.004G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120400.v4.1 MADENAIPALKSSSSCASSESSARSGGVEKDDPANPFIEPNQAGVKSSRGPLFPAEVSSHNLVISTQLVPPPSPQSNVTPGYDPNRLSSSIFAKPSNPAEWSATSNESLFSIYMGNSSFSRDNAFMLYKSGELPKFEETSNPLPSLSPVIEVESNDRKNKNISIETEVKEKESSDSEEMEPKSTADINTKKEVLVATEKISCQEKMPPVEEVQVSFSSSNRSFQFPLFDSTSGRTTSFHEVTGKHPSEKHSQQEPPKPAETTPGTNPKAAGNSWFSCFSCCSLGC >Potri.006G004166.1.v4.1 pep chromosome:Pop_tri_v4:6:325109:327349:1 gene:Potri.006G004166.v4.1 transcript:Potri.006G004166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004166.v4.1 MIWVYRVRYLPVEGVLERWAWIGMFFAELWFSFYWFITHLVRWNPIFRYTFKDRLSQRYEKDLPRVDIFVCTADPEMEPPIMVINTVLSMMAYDYPPEKLSVYLSDDGVSELTFYAMLEASRFSKYWLPFCKKFNVEPRSPEAYFQIEPLDDPVKTKEWLFIKKLYGDMKNRIEVTSKQGQVPEKIRKEHKGFSEWDFVSSRHDHQTIVQILIDGRDPLAMDNEGQRLPTLVYLSREKRPQYPHNFKAGAMNALIRVSSRISNGPIIMNVDCDMHSNNSSSLRDALCFFMDEEKGHEIGYVQYPQSMMNVKKNDLYGNSLNVIFKMEFPGIDANGGPMYIGTGCFHRRVNLCERKH >Potri.001G292100.2.v4.1 pep chromosome:Pop_tri_v4:1:30408370:30410191:-1 gene:Potri.001G292100.v4.1 transcript:Potri.001G292100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292100.v4.1 MNFLASECSADCESGWTLYLEQSFLSNPNSKHRNKTNFVDAESAGFCRKGKNTREESEEDEEEEEEEEEEDLSMVSDASSGPPHFHEDESYFNCDNGHFYPSLKGTTLLNNGANYRQKKKEHSRQSRHRQDQELPPFLDDTASSPAFNFSKQNNFALSNSNQDSMESVFDHSQSFSATHFQGRSTYQDHIGYTHPSLSGNKLQNNQLSMFHNYI >Potri.018G021600.2.v4.1 pep chromosome:Pop_tri_v4:18:1556740:1560331:-1 gene:Potri.018G021600.v4.1 transcript:Potri.018G021600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021600.v4.1 MARLIRTATRTLFSSFSSSTSSLLQSRNAITSSFLFQSPRPYSADNKITKSPFEANILRIIDKEIDYQSEYAPPYQPETRFHSFTVEDRSGEQWMIMRGKYDDIEDVKLEVTMFDGYVTVPKLGDDASGEDVRLHISFIVDVSKGDGGENLEFLCSAWPDRLEIQKVYLLRGEKMPGRPYMGPDFRKLNKELQKRLREYLEARGVNDELSFFLHDYMLNKDRIELIQWLGKVKSFVEK >Potri.018G021600.1.v4.1 pep chromosome:Pop_tri_v4:18:1556452:1560331:-1 gene:Potri.018G021600.v4.1 transcript:Potri.018G021600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021600.v4.1 MARLIRTATRTLFSSFSSSTSSLLQSRNAITSSFLFQSPRPYSADNKITKSPFEANILRIIDKEIDYQSEYAPPYQPETRFHSFTVEDRSGEQWMIMRGKYDDIEDVKLEVTMFDGYVTVPKLGDDASGEDVRLHISFIVDVSKGDGGENLEFLCSAWPDRLEIQKVYLLRGEKMPGRPYMGPDFRKLNKELQKRLREYLEARGVNDELSFFLHDYMLNKDRIELIQWLGKVKSFVEK >Potri.002G128300.3.v4.1 pep chromosome:Pop_tri_v4:2:9713372:9715517:1 gene:Potri.002G128300.v4.1 transcript:Potri.002G128300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128300.v4.1 MDQAKKNHSLDALLSDICIATSAAPTYLPAHYFETKDEQTGEVREFNLIDGGVAANNPALIAISEVTKEIVKGSPDFFPIKPMDYGRFLLISLGTGSPKAQEKYKATEAAKWGLLGWLTSGGSTPVIDAFSHASADMVDLHISVVLQALHSENNYLRIQDDTLSREECSVDIATKTNLEDLVKVGERLLKKPVSRVNLESGLSEPSVKETNEEALARFAKILSQEKQLRRARSPHGKQSCKF >Potri.002G128300.2.v4.1 pep chromosome:Pop_tri_v4:2:9712410:9715517:1 gene:Potri.002G128300.v4.1 transcript:Potri.002G128300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128300.v4.1 MLTCPDENSRPMFAAKDIKDFYLNQCPKIFPQPSFGCRCSLFTQVKKVIKALTGPKYDGKYLHGLVKELLGNRRLHHTLTKVVIPTFDIKTFQPTIFSSFEAKKNHSLDALLSDICIATSAAPTYLPAHYFETKDEQTGEVREFNLIDGGVAANNPALIAISEVTKEIVKGSPDFFPIKPMDYGRFLLISLGTGSPKAQEKYKATEAAKWGLLGWLTSGGSTPVIDAFSHASADMVDLHISVVLQALHSENNYLRIQDDTLSREECSVDIATKTNLEDLVKVGERLLKKPVSRVNLESGLSEPSVKETNEEALARFAKILSQEKQLRRARSPHGKQSCKF >Potri.002G128300.1.v4.1 pep chromosome:Pop_tri_v4:2:9711139:9715517:1 gene:Potri.002G128300.v4.1 transcript:Potri.002G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128300.v4.1 MEGTDNVLLQPPTFGNLITVLSIDGGGIRGLIPGTIINFLESELQKLDGEDARIADYFDVIAGTSTGGLVTAMLTCPDENSRPMFAAKDIKDFYLNQCPKIFPQPSFGCRCSLFTQVKKVIKALTGPKYDGKYLHGLVKELLGNRRLHHTLTKVVIPTFDIKTFQPTIFSSFEAKKNHSLDALLSDICIATSAAPTYLPAHYFETKDEQTGEVREFNLIDGGVAANNPALIAISEVTKEIVKGSPDFFPIKPMDYGRFLLISLGTGSPKAQEKYKATEAAKWGLLGWLTSGGSTPVIDAFSHASADMVDLHISVVLQALHSENNYLRIQDDTLSREECSVDIATKTNLEDLVKVGERLLKKPVSRVNLESGLSEPSVKETNEEALARFAKILSQEKQLRRARSPHGKQSCKF >Potri.016G006100.1.v4.1 pep chromosome:Pop_tri_v4:16:298777:299847:-1 gene:Potri.016G006100.v4.1 transcript:Potri.016G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006100.v4.1 MADSDNESGEQNNSNTNYSTETSPREQDRLLPIANVSRIMKKALPANAKISKDAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEDYVEPLKIYLQKFREMEGEKTAAMGIVRQGDQRDGTAGDGGVVNSGNPGGGFGGGGGGNMYVGMQSSMAMMGHHHYQHRHPGNMYGPGGGASSGRPS >Potri.006G184300.1.v4.1 pep chromosome:Pop_tri_v4:6:19039444:19043048:-1 gene:Potri.006G184300.v4.1 transcript:Potri.006G184300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184300.v4.1 MSVSLTVMTFNLHEDQAEDSPNSWEKRKDLCISVITNYSPMILCTQQGVKTQLDYLQQCLPGYGQFGISRKGSQDASDEHCTIFYDKEKVELLEGGTFWLSESPSVPGSLSWGAAAPCIATWAIFQLKGIEPPGFSFQIVNTNMDEFSPRARRRSALLTWQHIASLPPSLPVVYCGGFNTQKESTTGRFLLGRSREHGVVGDMRDTWPNARVRKNHSLIHTYHGFKGDKQGALEFFKLILRALCLCWDRQTQDLHVDWILFRGRSLIPVLCEVVNDNIDGNYPSSHYPIFAEFMLPRSVRLLEPPPTQEENPVAV >Potri.019G050600.4.v4.1 pep chromosome:Pop_tri_v4:19:8345898:8351875:1 gene:Potri.019G050600.v4.1 transcript:Potri.019G050600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050600.v4.1 MSRVYVGNLDPRVSERDLEDEFRMYGVLRSVWVARRPPGYAFVEFDDRRDAIDAIRALDGKNGWRVELSHNSKGGGGRSGDGHGRGGGEDSKCYECGEPGHFARECRLRIGSRGLGSSRRRSPSPRRRGSPSYGSYSPRERRSPRRRSLSPRRGRSYSRSPPYRHGRRDSPYAIGD >Potri.019G050600.10.v4.1 pep chromosome:Pop_tri_v4:19:8345899:8351899:1 gene:Potri.019G050600.v4.1 transcript:Potri.019G050600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050600.v4.1 MSRVYVGNLDPRVSERDLEDEFRMYGVLRSVWVARRPPGYAFVEFDDRRDAIDAIRALDGKNGWRVELSHNSKGGGGRSGDGHGRGGGEDSKCYECGEPGHFARECRLRIGSRGLGSSRRRSPSPRRRGSPSYGYGRRSYSPRERRSPRRRSLSPRRGRSYSRSPPYRHGRRDSPYAIGD >Potri.009G064900.1.v4.1 pep chromosome:Pop_tri_v4:9:6564582:6568289:-1 gene:Potri.009G064900.v4.1 transcript:Potri.009G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064900.v4.1 MLGIGLVLVAFFVIYYTHLLIKWKYPKINGVRVQLPPGSMGLPIIGETIQLLIPSHNSIDIHPFVRKRIQRYGPIFRTNLVGRPIIVSADPEVNKYIFSQEGNLVEMWYLDSFAKLFAFEGESKVTAIGRVHRYLRGITLNHFGGESLREKMLPQIEVAVNNNLCQWSTQGPVEVKSAISRMIFNFTAKVAFGYDVENSKGEKIENLPNFIKSLMSFPLNIPGTTFHKCMKDKEKMSNMVRHIIKERFDSPDKRPGDFLDQAINDMASEKFLTVDFIAELAFGILFAAFESVSTTLTLAIKFLAENPLVLEELTAENEAVLKKRENPDSLLTWEEYKEMTFTQSVVNETLRLMNIPPGLLRKALKDINVKGYTIPAGWTIMLVTPIVHLNPETYKDPLKFNPWRWKDLDQVTLSKSFMPFGGGTRQCAGAEFSKVYMAAFLHVLVTKYRWTKVKGGRITRSPILLFPDGVHIQVSPKHD >Potri.001G048300.2.v4.1 pep chromosome:Pop_tri_v4:1:3544163:3550012:1 gene:Potri.001G048300.v4.1 transcript:Potri.001G048300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048300.v4.1 MADEGYDDIAAATTADGMVLSLQEIKNENVDLDRIPVSEVFQQLQCTKEGLSTEEGQKRLQIFGPNKLEEKKESKLLKFLGFMWNPLSWVMEGAAIVAIVLANGEGKPPDWQDFLGIVVLLLMNSTISFYEENSAGNAAAALMAGLALKTKVLRDGRWIEQEAAVLVPGDVISIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKKPGDEVFSGSTCKHGEIEAVVIATGVHSFFGKAAHLVDSTNKVGHFQKVLISIGNFCIISIVVGIIIEALVMWPIQRRKYRDGIDNILVLLIGGIPIAMPTVLSVTMAIGSHWLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNILTVDKSLVEVFVNDVDKDTLILLGARASRVENQDAIDACIVGMLADPEEARESITEVHFLPFNPVEKRTAITYIDSDGNWHRVSKGAPEQIIALCNLREDVERKANVIIDKFAERGLRSLAICRQIVPEKTKESPGGPWEFVGLLPLFDPPRHDSAETITRALNLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGLHPDDSTAALPVDELIEKADGFAGVFPEHKFEIVRRLQARKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIVSAVLTSRAIFQRMKNYTIYAVSITIRIVLGFLLLALIWKFDFSPFMILIIAILNDGTIMTISKDRVKPSPIPDSWKLKEIFATGVILGTYLALMTVLFFWVAHSSDFFSDKFGVRSIRNNRDELASAIYLQWRWDIACLQIANNLPLGDKQ >Potri.003G080100.1.v4.1 pep chromosome:Pop_tri_v4:3:10735666:10743704:-1 gene:Potri.003G080100.v4.1 transcript:Potri.003G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080100.v4.1 MFLAFFYLSLSSPSNSSSPELQLVSKVPGAAKKQNRNPTIYIMPFHCPCIVFLFIVLIFVIRPVAHSLPFIVLHGIGDQCKNRGVKHFTEELTSISGSPGYCLEIGDGAWDSWFMPLEEQTEIVCDKIKKMKELSKGYNIVGLSQGNLIGRGVVEFCDGGPPVKNFISLGGPHAGTASVPLCGSGIFCILADALMKSEIYSDYVQAHLAPSGYLKFPNDIPRYLEKCRFLPKLNNEIPEGRNTTYKERFSSLQNLVLIMFENDSILIPKETSWFGYYPDGAFKPIVPAKETKLYTEDWIGLRTLDEAGRVHFVNVSGGHLGISKSDLKKHVVPFLVDEASVKKYSNARVHRLPGTITQNYHRKQVAGSFNDGTPSVKDIFRELVGLEEENDSD >Potri.003G013156.1.v4.1 pep chromosome:Pop_tri_v4:3:3202124:3209695:-1 gene:Potri.003G013156.v4.1 transcript:Potri.003G013156.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013156.v4.1 MENEGFEVLSSKLRKLDLSGNRFNDKSILSCLTGLSTLESLDLSANGLTAGSGFNDLSSRLKKLENLDLGWNHCNDSIFPSLTVFSSLKSLDLSGNQLTRSGFEIISSHLWKLESLDLSRNQLTGSITSWCELKNLKQLDLSGNNLAGSLPDYLGNLSSLQLPLTYLTSLEFLSLSNNLFEVPTSMKPFMNHSSLKFFSSENNRLVTEPSAFDNLIPKFQLVFFRLSKTTKALNVEIPEFLYNQYDLLFLDLSHNNITGMFPSWLLKNNTRLELLYLSENAFVGTLQLQDHPYPNMIELDISNNNMSGQISKDICLIFPNLDTLRMAKNGFTGCIPSCLGNISSLKILDLSNNQLSTVKLEQLTTIFFGALTRHKGKGILPIIHLLFCSESRNMIQGPISFSYVFIFKTSQIWFLDLSNNNLGGKIPTSMFNSSTLEFLYLGALNLSNNQFSGMLPRCFVNSTCLTTIDLSKNHFKGPIPRDFCMLNQLEYLDLSENNLFGYIPSCFSPPPLIHVHLSENRLSNPLTYGFYNSSSLIMMDFRDNSFTGSIPNWIGNLSSLSVLLLRANHFDGELPVQLCLLEHLSILDVSQNQLSDPLPSCLGNLTFKESSQKAFAHLGVVFRSSPIEKAYYETMGPPLVDSMYNLRKGLWLNFTEVIKFTTKNIYYGYTGEILSYMSGIDLSNNNFIGAIPPEFGNLSKILSLNLLHNNLTGSILATFSNLKQIESLDLSYNNLNGIIPPQLTGITTLEVFSVVYNNLSGKTLERKYQFGTFDESCYEGNPFLCGPPLQNNCSEEAVSSQPVLNDEQGDDGFIDMEFFYISFGVCYTVVVMTIAAVLYINPYWRRMWLYFIEDCIDICYYFVVASFRKSSNNFRR >Potri.004G112800.1.v4.1 pep chromosome:Pop_tri_v4:4:10339926:10343323:1 gene:Potri.004G112800.v4.1 transcript:Potri.004G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G112800.v4.1 MAATSAATFSAGSTVAFGTKASQLPQSKPSAVRFKSQKSLATFSGLKAATFVKCESESSFLGKESSAALRGSVVPKAQKSSQKSQYHLNPQASYKVAILGAAGGIGQPLALLVKMSPLVSALHLYDIANVKGVAADISHCNTPAQVLDFTGASELPNSLKGVDVVVIPAGIPRKPGMTRDDLFNINASIVKNLVEAVADNCPDAFIHIISNPVNSTVPIAAEVLKKKGVYDPKKLFGVTTLDVVRANTFVAQKKNLKLIDVDVPVVGGHAGITILPLLSKTKPSVSFTDEEVQELTVRIQNAGTEVVQAKAGAGSATLSMAYAAARFVESSLRALDGDADVYECSFVQSDLTELPFFASRVKLGRKGVEALISSDLQGLTTYEQEALEALKPELKASIEKGIASAQKQPVGA >Potri.009G129200.1.v4.1 pep chromosome:Pop_tri_v4:9:10588312:10588980:1 gene:Potri.009G129200.v4.1 transcript:Potri.009G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129200.v4.1 MYDNRRRSDIIHDAFTLNPLPYPVLFIFAVISFFFWISWYLHHEDIVETAEKEGSWLIVVVPLVLIAIVRWFMTPWDRSRRANQQPSEGSSPWGVAAFIVLLLVLVRFQSTFLDSWLF >Potri.018G009300.1.v4.1 pep chromosome:Pop_tri_v4:18:670487:675373:-1 gene:Potri.018G009300.v4.1 transcript:Potri.018G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G009300.v4.1 MAPGLDFSDWWGKDRKKGSPVVVKMENPNYSVVEINGPDSAFRPVEKSRGKNAKQVTWVLLLKAHRAVGCVAWLATVFWALLGTIKKRLIFRQGVAVATEKLGKGKLVLKIIRVFLVTSLAILAFEVLAYLKGWRYFESANLHIPSTLDLQGLLHMVFVAWLTFRADYIAPVIQVLSQFCVVLFLIQSVDRLVLCLGCFWIKYKKIKPRIDGDPFKSDDVEAPGYEYPMVLVQIPMCNEREVYEQSISAVCQMDWPKDRILIQVLDDSNDESIQWLIKAEVTKWNQKGVNIIYRHRLIRTGYKAGNLKSAMSCDYVKDYDFVAIFDADFQPNPDFLKLTVPHFKNNPELGLVQARWAFVNKDENLLTRLQNINLCFHFEVEQQVNGAFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIFLNDVKVLCEVPESYEAYRKQQHRWHSGPMQLFRLCLPAIITSKIALWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFVPEAELPMWVICYVPVLMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSSYEWVVTKKAGRSSESDLLAAAERDSKTMQPQICRGASETELELLNQLKEQKETAPKPVKKVNKIYRKELALAFLLLTASVRSLLSAQGVHFYFLLFQGVTFLVVGLDLIGEQIS >Potri.008G007600.1.v4.1 pep chromosome:Pop_tri_v4:8:345392:349151:1 gene:Potri.008G007600.v4.1 transcript:Potri.008G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G007600.v4.1 MSSVLNHVLLLCWYFVSVYTVSGLNYDGSTLLSLLRQWNSVPPSITSSWNASDSTPCSWLGIGCDSRTHSVVSLNLSGYATSGQLGPEIGLLKHLKTIDLHTSNFSGDIPSQLGNCSLLEHLDLSINSFTGKIPDGFKYLQNLQYLSLSFNSLSGEIPESLTKLESLAELLLDHNSLEGRIPTGFSNCKNLDTLDLSFNSFSGGFPSDLGNFSSLAILAIINSHLRGAIPSSFGHLKKLSYLDLSQNQLSGRIPPELGDCESLTTLNLYTNQLEGEIPGELGRLSKLENLELFDNRLSGEIPISIWKIASLKSIYVYNNSLSGELPLEMTELRQLQNISLAQNQFYGVIPQTLGINSSLLWLDFFGNKFTGEIPPNLCYGQQLRILVMGSNQLQGCIPSDVGGCPTLWRLTLEENNLSGTLPQFAENPILLYMDISKNNITGPIPPSIGNCSGLTFIRLSMNKLTGSIPSELGNLINLLVVDLSSNQLEGSLPSQLSRCYKLGEFDVGFNSLNGTIPSSLRNWTSLSTLVLSENHFTGGIPPFLPELGMLTELQLGGNILGGVIPSSIGSVRSLKYALNLSSNGFVGKLPSGLGNLKMLERLDISNNNLTGTLAILDYILSWDKVNVSNNHFTGAIPETLMDLLNYSPSSFLGNPGLCVMCSPSSRIACPKNRNFLPCDSQTSNQNGLSKVAIVMIALAPVAAVSVLLGVVYLFIRRRRYNQDVEITSLDGPSSLLNKVLEVTENLNDRHIIGRGAHGTVYKASLGGDKIFAVKKIVFAGHKERNKSMVREIQTIGKIKHRNLIKLEEFWFQKDYGLILYTYMQNGSLYDVLHGTRAPPILDWEMRYKIAIGIAHGLEYIHYDCDPPIVHRDIKPENILLDSDMEPHISDFGIAKLMDQSSASAQSLSVAGTIGYIAPENAFTTIKMKESDVYSYGVVLLVLITRKKALDPSFTEGTAIVGWVRSVWNITEDINRIADSSLGEEFLSSYSIKDQVINVLLMALRCTEEEPSKRPSMRDVVRQLVKANDRRRRR >Potri.010G082200.2.v4.1 pep chromosome:Pop_tri_v4:10:10974129:10975272:1 gene:Potri.010G082200.v4.1 transcript:Potri.010G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082200.v4.1 MSLLRPRHHLSTLTAFTFLVFFSASATTVLLSQLGSGSLQEGGEDKGKGSGLGAFPRVLTQKRHGGPGSSPPSCRSKCDKCSPCEPVHVPIQHGWRMPLEYYPEAWRWSFGNVSCCRGEVTIPRGQDTSLSLSLSP >Potri.019G024700.1.v4.1 pep chromosome:Pop_tri_v4:19:3618972:3621039:1 gene:Potri.019G024700.v4.1 transcript:Potri.019G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024700.v4.1 MASSSIVGVIFSLVLALKYVALQAEARAFFVFGDSLVDSGNNNYLATTARADSPPYGIDYPSHRPTGRFSNGLNIPDLISKRIGSESVLPYLSPELRGQRLLNGANFASAGIGILNDTGVQFINIIRMYRQLEYFQEYQRRARALVGVDQTERLVKGALVLITVGGNDFVNNYYLIPYSARSRQFSVPNYVKYLISEYEKILMKLYKLGARRVLVTGTGPMGCVPAELAMRSTNGGCSAELQRAASLFNPQLVQMLKGLNKKIGNTVFIAANTQQSHLDFISNPQAYGFTTAKTACCGQGPYNGLGLCTAASNLCPNRDLYAFWDAFHPSEKANRLIVEQIYSGTTKYMDPMNLSTIMTLDARA >Potri.018G105900.5.v4.1 pep chromosome:Pop_tri_v4:18:12490758:12494752:1 gene:Potri.018G105900.v4.1 transcript:Potri.018G105900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105900.v4.1 MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGAASYTLKWTFHNELGLVFVAVYQRILHLLYVDELLEMVKREFSEIYDPKRVEYFDFDETFRQLRKEARAEELRKVKPMGNDGRKQQVVKKGSGGFDGGNKKNKSDAKEADGDDGKGRKLENGHSNGNHNVVGEGNRGMGLANGKENARSNNGAFDVSKLQKLKSKGVKKTTDTSVVVSKDSKADPKKKITKKNRVWDDSPKVAKLDFTDPVEENGNENIQVVAADQGESMMDKEEIISSDSEEDEEDEEVGKDSKPDAKKKGWFSSMFQSIAGKANLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAREQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKAIIKTLLK >Potri.018G105900.2.v4.1 pep chromosome:Pop_tri_v4:18:12490754:12495047:1 gene:Potri.018G105900.v4.1 transcript:Potri.018G105900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105900.v4.1 MLEQLLIFTRGGLILWTCKELGNALKGSPIDTLIRSCLLEERSGAASYNYDAPGAASYTLKWTFHNELGLVFVAVYQRILHLLYVDELLEMVKREFSEIYDPKRVEYFDFDETFRQLRKEARAEELRKVKPMGNDGRKQQVVKKGSGGFDGGNKKNKSDAKEADGDDGKGRKLENGHSNGNHNVVGEGNRGMGLANGKENARSNNGAFDVSKLQKLKSKGVKKTTDTSVVVSKDSKADPKKKITKKNRVWDDSPKVAKLDFTDPVEENGNENIQVVAADQGESMMDKEEIISSDSEEDEEDEEVGKDSKPDAKKKGWFSSMFQSIAGKANLEKADLEPALKALKDRLMTKNVAEEIAEKLCESVAASLEGKKLASFTRISSTVQAAMEEALVRILTPRRSIDILRDVHAAREQRKPYVVVFVGVNGVGKSTNLAKVAYWLLQHKVSVMMAACDTFRSGAVEQLRTHARRLQIPIFEKGYEKDPAVVAKEAIQEATRNGSDVVLVDTAGRMQDNEPLMRALSKLINLNNPDLVLFVGEALVGNDAVDQLSKFNQKLADLSTSPNPRLIDGILLTKFDTIDDKVGAALSMVYISGSPVMFVGCGQSYTDLKKLNVKAIIKTLLK >Potri.008G003900.1.v4.1 pep chromosome:Pop_tri_v4:8:159552:159962:-1 gene:Potri.008G003900.v4.1 transcript:Potri.008G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003900.v4.1 MDHPKKCSKISDIVRPQQILKKWKRAANAPKNNHNHNTSISSNASKSIKFIKRTLISFTDSSSAAAAASNDVVPKGYLAICVGKEMKRYIIPTEYLGHQAFGILLREAEEEFGFQQEGVLKIPCEVPVFEKILKVV >Potri.006G239200.3.v4.1 pep chromosome:Pop_tri_v4:6:24123252:24131366:1 gene:Potri.006G239200.v4.1 transcript:Potri.006G239200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239200.v4.1 MTNTSTMAFHVNCSVQRRRPSLFQNIDRFKTSLSSSYKPLLKELKQLSLQLEVSQIMKNASVKLLDAFVDSMFQFADQPILPSQSNFAPVDELNEPFVITSIEGKIPDDFPEGVYIRNGANPLFGGLKSTTSMFGKTGHIWIEGEGMLHALYFDKDSGIGSWTVLYNSRHVETETFKVEKKRNKPSFLPAIEGSSPAILIACLLNMTLGDWDINGAWHRPFNSHPKTAPGTGELVVFGVDAIKPYMEVGVVSADGKRLVHKVDLKLNRCPLSHDMGVTERYNVIMDFPLTIDLHRLIKGGPLIKFDNEDYARIGIMPRYGDVDSVRWFEVEPNCTFHILNCFEEGVEVVVRGCRSLESIISKSYGMDLEESEWVSGRLRSKEHVEQNTTPSSNDELLFSRSYEWRLNMETGEVKERNLTGTELCMEFPMINPSLNDLKNKFGYTQIVHEPASSSSGMPKFGGLAKLYFDETSSKEGEQSEGHIKVEYHEFEGNTFCTGSAFVPKEGGLEEDDAWLDHHFCS >Potri.003G184000.1.v4.1 pep chromosome:Pop_tri_v4:3:18887639:18890134:1 gene:Potri.003G184000.v4.1 transcript:Potri.003G184000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184000.v4.1 MERKAIGIDLGTSYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRGFSAPSVQRDMKLWPFKVIPGPGCKPMIVVKHKGEEKQFSAEEISSMVLMKMKEIAEAYLGHSVKNAVVTVPAYFNDLQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDRKESRRGEQNVLIFDLGGGTLDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFFAEFKRKHKKDISGNPRALRRLRTSCERAKRTLSSTTQTTIEIDSLFEGIDFYTKITRARFEELNTDLFRKCTERVEKCLRDSKIEKSHVHEVVLVGGSTRVLRVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLHVLDVTPLSLWIKTAGDVKTVLIPRNTKIPTKKELIVSTCSDNQPRVLIQVYKRESARTKYNDLLGKLELTGIPPAPRGVPQINVCFDIDANGILNVSAEDRTAGVKNKITITNYKGRLSKDEIERMVQETEKYKAEDGEVKKKVDAKNSLENYVYNMRNTVKDEKFAGKLDRAGKQKIEKAIDETIQWLERNQLAEVDEFADKQRELEGLCNPIIAKMYQGAASDVSMGGGAEMPNGGYGKPSSGGSGAGPKIEEVD >Potri.015G099900.1.v4.1 pep chromosome:Pop_tri_v4:15:11983736:11986842:1 gene:Potri.015G099900.v4.1 transcript:Potri.015G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099900.v4.1 MDLIHKVLNIVLPPITLILLLLFLPSFLVSKFISRIKRSINSEKVAGKVVLITGASSGIGEYLAYEYARRGACLALAARRQERLRAVAGKARALGSPDVIVIPTDISKVEDSERFINEAVNHFGKLDHLVNNAGVVQIDMFEDCKQISDFATLTDTNFWGSVYTTHFAIPHLRKSKGRIVGISSIAGWFTVPRMSFYCASKAAITSFYETLRAEFGSDIGITIVTPGVVESEMSQGDFLSKAQIDFVPAESTERCAKAIVDSACRGDRYLTEPSWARMTFLLKVLCPEVLEWLFHMVLVAKSSKKSN >Potri.014G098300.2.v4.1 pep chromosome:Pop_tri_v4:14:6435765:6439969:-1 gene:Potri.014G098300.v4.1 transcript:Potri.014G098300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098300.v4.1 MEEESSPRNIEKMKMRKRLRRESTICSCKSPSLQNQNSRCSFNWYEVDLWTEVAKFLDGKSLVKLAATCRWFHSVIMHDSVWKFACLRDLQVPAPCHVAFKWIKLYGSLADGSHSYKFRDNEKHIDWMRIGAFFFDSQVALLSERLSLPLKIINKDNVEKALESSGACLLSNIKKGIWIADLQLVRCPVCELDTCEGTMQTLEVRNMELFLCDGYQNASWDYELIGSYKINKSVDAASGGIFDLKHIKDRAMAGVFNLKSWAGKPSDMQPKAMITFHSVAIRTNLQENQAGLLTKYYTMRAGPEGEVVSIRISQQLA >Potri.014G098300.3.v4.1 pep chromosome:Pop_tri_v4:14:6435765:6439969:-1 gene:Potri.014G098300.v4.1 transcript:Potri.014G098300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098300.v4.1 MEEESSPRNIEKMKMRKRLRRESTICSCKSPSLQNQNSRCSFNWYEVDLWTEVAKFLDGKSLVKLAATCRWFHSVIMHDSVWKFACLRDLQVPAPCHVAFKWIKLYGSLADGSHSYKFRDNEKHIDWMRIGAFFFDSQVALLSERLSLPLKIINKDNVEKALESSGACLLSNIKKGIWIADLQLVRCPVCELDTCEGTMQTLEVRNMELFLCDGYQNASWDYELIGSYKINKSVDAASGGIFDLKHIKDRAMAGVFNLKSWAGKPSDMQPKAMITFHSVAIRTNLQENQGLLTKYYTMRAGPEGEVVSIRISQQLA >Potri.002G167100.2.v4.1 pep chromosome:Pop_tri_v4:2:12790188:12794100:1 gene:Potri.002G167100.v4.1 transcript:Potri.002G167100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167100.v4.1 MCFTMGNIEEGKSSTSDKSSPAPPDQTNIHVYPDGAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGLPQPMMPPYGAPYATVYSHGVYAHPAVPIVSHPHGPGIVSSPAAGTLLSAETPTKSSGNTDRGLVNKLKGFDGLAMSIGNGNAETVEGGGRLSQSVEIEVSSDGIDGNTTRGKKRSREGTPTVATGGDTKMESHSSPLPREVNASTDNVLRAAVAPGMTTALELRNPPSVNAAKTSPTTIPQSGVVLPSEAWLQNELELKREKRKQSNRESARRSRLRKQAEAEELAHKVEVLTTENMALQSEISQFTEKSEKLRLENAALTEKLKNARLGHAQEMILNIDEHRAPAVSTENLLSRVNNSAFEEERDLYERNSNSGAKLHQLLDASPRADAVAAG >Potri.002G167100.6.v4.1 pep chromosome:Pop_tri_v4:2:12789114:12794063:1 gene:Potri.002G167100.v4.1 transcript:Potri.002G167100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167100.v4.1 MCFTMGNIEEGKSSTSDKSSPAPPDQTNIHVYPDGAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGLPQPMMPPYGAPYATVYSHGVYAHPAVPIVSHPHGPGIVSSPAAGTLLSAETPTKSSGNTDRGLVNKLKGFDGLAMSIGNGNAETVEGGGRLSQSVEIEVSSDGIDGNTTRGKKRSREGTPTVATGGDTKMESHSSPLPREVNASTDNVLRAAVAPGMTTALELRNPPSVNAAKTSPTTIPQSGVVLPSEAWLQNELELKREKRKQSNRESARRSRLRKQAEAEELAHKVEVLTTENMALQSEISQFTEKSEKLRLENAALTEKLKNARLGHAQEMILNIDEHRAPAVSTENLLSRVNNSAFEEERDLYERNSNSGAKLHQLLDASPRADAVAAG >Potri.002G167100.4.v4.1 pep chromosome:Pop_tri_v4:2:12789013:12794060:1 gene:Potri.002G167100.v4.1 transcript:Potri.002G167100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167100.v4.1 MCFTMGNIEEGKSSTSDKSSPAPPDQTNIHVYPDGAAMQAYYGPRVALPPYYNSAVASGHAPHPYMWGLPQPMMPPYGAPYATVYSHGVYAHPAVPIVSHPHGPGIVSSPAAGTLLSAETPTKSSGNTDRGLVNKLKGFDGLAMSIGNGNAETVEGGGRLSQSVEIEVSSDGIDGNTTRGKKRSREGTPTVATGGDTKMESHSSPLPREVNASTDNVLRAAVAPGMTTALELRNPPSVNAAKTSPTTIPQSGVVLPSEAWLQNELELKREKRKQSNRESARRSRLRKQAEAEELAHKVEVLTTENMALQSEISQFTEKSEKLRLENAALTEKLKNARLGHAQEMILNIDEHRAPAVSTENLLSRVNNSAFEEERDLYERNSNSGAKLHQLLDASPRADAVAAG >Potri.001G108700.1.v4.1 pep chromosome:Pop_tri_v4:1:8762721:8765819:1 gene:Potri.001G108700.v4.1 transcript:Potri.001G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108700.v4.1 MTSGDLERGTKNRGNSSNFPSYYVETAEKQWTSWLIPMFVVANIVVFIVVMYVNDCPKKNLGSERSCVAKFLGRFSFQPLKENPLFGPSSATLEKMGALEWNKIVRGDQGWRLITCMWLHAGVIHVLANMLSLVFIGIRLEQQFGFVRVGLIYLVSGFGGSIFSSLFIQRNISVGASGALFGLLGAMLSELLTNWTIYSNKIAALLTLMVIIAINLAVGILPHVDNFAHIGGFFTGFLLGFVLLLRPQFGWVESQHFRADAHVKSKHKAYQYMFLLAAAVLLIVGFTFGLVMLFKGENGNDHCSWCHYLSCVPTSKWKCENR >Potri.003G027933.1.v4.1 pep chromosome:Pop_tri_v4:3:2934808:2937320:-1 gene:Potri.003G027933.v4.1 transcript:Potri.003G027933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G027933.v4.1 MTQETKKMMAIIDNFSGFEIISSHLRKLENLDLSYNIFNDNILSHLRGFSYLKSLNLSGDMLLGSTTVYGLRKSDFLQSLPSLKILSLKDTNLNQGTFFNSSTLEELYLDRTSLLINFFHNIGALPALQVLSIGECDLYVTLPAQGKLTNIITSSIKDSFNTILTV >Potri.018G149700.1.v4.1 pep chromosome:Pop_tri_v4:18:16078698:16084396:-1 gene:Potri.018G149700.v4.1 transcript:Potri.018G149700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149700.v4.1 MEYKKELERHLLNNDVDALKVDDPFTNASFWSKITFRWLNPLFSKGYREKLKASDIPTFPRSAMADKGYSLLEESLEKDKTETPSIGNAIFRSVLGSLALNAMFAGVYVMASYTGPFLIANFIQLLSSKDDDSSLYGFVLASVIFVAKTAESLSQRHWYFGAYQIGIKIRADILALLHKKLLRVKSDGERNGKIINYINTDTEKVVEFIQRFQEVWLLPVQVMLSLFILIKHLGWIPSILAVLSTVLIMASNTPLSNFQNRLHSRIMEAKDCRIKATSETLKGMKILKLHAWEPTFLDKLLLLRETERGWLVKFLYAKSALVFLYWTSPVLISLMTFGVSAILDRKLSSGSIFSALATLQMLHEPIYNMPELISAVAHAKISITRLQEFLREENQEQSKVNNLPQQNHSVINITTGEYAWETSNTNILQPTVTIREDIRIMERNKVAICGSVGSGKSSLLFSIIREIPRISGSGIEVVGSRAYVSQTPWIQSGTIRDNILFGNNMKKAFYKNVIEACALQEDLERLIHKDLTVVGERGITLSGGQKQRIQLARAIYSDADVYLLDDPFSAVDAHTKAHLFKHCLMGLLSDKTVIYVTHQLEFLAASDLVLVMKDGNIVQSGAYKDLAVETQGELRRLMVAHCESLRQLSSTEGNSITSESYLENQNQESRETNKEQVSNGQSVPVAKKEERGSGRVSWKVYSSFITAAYKGAFVPVLLLFHIFFQALQMGSNYWIAWATEQEGRVSKRQFIVIFALISGASSLFVLARVLLLTAITIKTAQRLFTGMITSIFQAPMSFFDTTSSSQILDRSSTDQATVDTDISYRVAGLVFALIQLISVIALLSNVAWPVFLLFLASFTISVWYQVYYLETARELARMAGIQKAPILHHFSESVSGVVTIRCFSQEEKFYTTNVNLINDFSRIAFFNSATMEWLCVRINFLFNLGFFAVLVILVSTSSSVTNPSLAGLAVTYGLNINVLQAWVIWNVCNVENKMISVERILQFSRIPSEATPVIEDKRPRPEWPEIGCIEFRILQVRYRPDLPLVLKGITCTFPGEKKIGIVGRTGSGKSTLIQALFRLVDPSQGQILIDGLDISTIGLQDLRSKLSIIPQDPTLFQGTIRNNVDPLEQHNDMEIWEVLRKCHLGNTVEQDQRGLEAPVAEEGQNWSLGQRQLICLARILLHKRKVLVLDEATASIDMDTDNIIQKTVSNETKQCTVITIAHRITSVINSDLVLLLDDGNAVECAAPSQLMRDSSSAFSKLVKEFSGNSS >Potri.012G090400.2.v4.1 pep chromosome:Pop_tri_v4:12:11547735:11551786:1 gene:Potri.012G090400.v4.1 transcript:Potri.012G090400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090400.v4.1 MASNSMQITPAMNQVIPNELWVSLESNGSTMMKAVRASHAPDGRKVDVKPMLRIIDNILLRAAPAIVEGTYEDKGLLEEEIFSRSDVDAKLKTLASIIKKVSSELFSHNNLILSGTFKANSNVNQTIIPYGMDCKCTCSGGRDVHATIMMLLDTLSSYSWDAKVVLTVAAFIVNYGHEFLLFTSSEAKNSALAQSLAFLKQLRDITDHAKFSKAKIDAITKLIKGIMAVTKYIVELHNLPPESIATDEAPMSNAMAYIPKAAYWTMLSVVICASYIASLSGSEETTELLDLSRLASEGSKMLVILKSYKTIYKEYEDEKCKTAYWDLVKTFSSTHSDNMTVLKTLLLAKDGKQHLVGHTSKRIHIEELREKHVFLLASGLDISKEEIDILGKLYQEAKSKGEVQYEVVWIPVVEKTISWDQEKQQKFEQILAMMPWYAMPEPSKIKAEVIKYIKNEWRFENKMILMPLDRQGKVGSLNALDMLLVRGNKAFSSIYNEKEESIWEAQQTWTLELLLGGIDNNFSLVLDWTKQGTIVCLYGGEDIEWIREFTKATKDAVKNISSGTSFELVYVGKNNAIKEVENIIKTIDDENLSRYWNDLSSIWFFWTRLESMLYLKMQQCKNLKNDRIMQEVTTLLSYDDSDRGWALFCKGSEMALAQGDVALKSMKEFSTERKDADLFQAVSNYIKKSQKIQRCSRIILSGDRQEIPSKKLSSDGCECSMEKCFVYRCCADK >Potri.016G110400.2.v4.1 pep chromosome:Pop_tri_v4:16:11291935:11294710:-1 gene:Potri.016G110400.v4.1 transcript:Potri.016G110400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110400.v4.1 MAEKSTNEHILEQLKHGFAHFELVSSPLPSISTSKPHSFPPPFFSANTHRFFARIGPSLGSHSMKKVEHYSVQKVTGDGRCLFRSLVKGMAFNKGISLNPREERNNADELRMAVKEVICDSKEERKQYEEAVIAITVDESLKRYCQRIQRPDFWGGESELLVLSRLCNQPIIVYIPEHEHASGGWGSGFIPIAEYGAEFQKGSWKGKPRKAVRLLYSGKNHYDLLV >Potri.016G110400.3.v4.1 pep chromosome:Pop_tri_v4:16:11291990:11294710:-1 gene:Potri.016G110400.v4.1 transcript:Potri.016G110400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110400.v4.1 MKKVEHYSVQKVTGDGRCLFRSLVKGMAFNKGISLNPREERNNADELRMAVKEVICDSKEERKQYEEAVIAITVDESLKRYCQRIQRPDFWGGESELLVLSRLCNQPIIVYIPEHEHASGGWGSGFIPIAEYGAEFQKGSWKGKPRKAVRLLYSGKNHYDLLV >Potri.010G163800.1.v4.1 pep chromosome:Pop_tri_v4:10:16831229:16835669:-1 gene:Potri.010G163800.v4.1 transcript:Potri.010G163800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163800.v4.1 MEETEESSGNKKCTTKTRTFRGRTNTHKHHYHQYQYQYQYHYHYQHQLLQYSNQYGFFNHNQYPGYYPALLPLPPPIPLQLALTPPLPQNHSFISKTQLQKPLCKLNNPPPPPPSPAPPTSSDTKGPAVTTSPAFEGLQQQKNRPLKGDGGRKVMSATTQSLVVARRPDSGGVEGSVITLLANHFPVQFDSSQRIFHYNVEISPNPSREVARMIKQKLVKENSAVLSGALPAYDGRKSLYSPVEFQKDRLEFYVSLPIPTTKSSLPFGEFNFLQEKHQQLKLFRINIKLVSKLDGKELSRYLSKEGDDWIPLPQDYLHALDVVLRESPMERCLPVGRSLYSSSMGGTKEIGGGAVALRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLEFLRDLPQRKKRSLVGEERKEVEKALKNIRIFVCHRETVQRYRVFGLTEEATENLWFSDRDGKNLRLLNYFKDHYNYDIQFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTARILKMGCQRPKERKAIIDGVMRGSVGPTSGSQGREFKLHISREMTRLSGRILQPPKLRLGDGGHVRDLIPSRHDCQWNLLDSHVFEGTRIQRWALISFGGTLDQKSSIPKFINQLSQRCEQLGIFLNKNTMIKPQYEPTQVLNNVSLLESKLKKIHSAASNNLQLLICVMEKKHKGYADLKRIAETSVGVVTQCCLYLNLGKLSSQFLANLALKINAKVGGCTVALYNSLPSQIPRLLRSNEPVIFMGADVTHPHPLDDISPSVAAVVGSMNWPAANKYVSRMRSQTHRQEIIQDLGEMVKELLDDFYQELNELPKRIIFFRDGVSETQFYKVLKEELQAIREACSRFPGYRPPITFAVVQKRHHTRLFPNETDPSSTQNQFSDENIPPGTVVDTVITHPREFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPVSLVPPAYYAHLAAYRGRLYLERSECMASIRNASTISRAAPPKAAPLPKLSENLKKLMFYC >Potri.010G163800.2.v4.1 pep chromosome:Pop_tri_v4:10:16831367:16835530:-1 gene:Potri.010G163800.v4.1 transcript:Potri.010G163800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163800.v4.1 MSATTQSLVVARRPDSGGVEGSVITLLANHFPVQFDSSQRIFHYNVEISPNPSREVARMIKQKLVKENSAVLSGALPAYDGRKSLYSPVEFQKDRLEFYVSLPIPTTKSSLPFGEFNFLQEKHQQLKLFRINIKLVSKLDGKELSRYLSKEGDDWIPLPQDYLHALDVVLRESPMERCLPVGRSLYSSSMGGTKEIGGGAVALRGFFQSLRPTQQGLALNVDFSVTAFHESIGVIPYLQKRLEFLRDLPQRKKRSLVGEERKEVEKALKNIRIFVCHRETVQRYRVFGLTEEATENLWFSDRDGKNLRLLNYFKDHYNYDIQFRNLPCLQISRSKPCYLPMELCMICEGQKFLGKLSDDQTARILKMGCQRPKERKAIIDGVMRGSVGPTSGSQGREFKLHISREMTRLSGRILQPPKLRLGDGGHVRDLIPSRHDCQWNLLDSHVFEGTRIQRWALISFGGTLDQKSSIPKFINQLSQRCEQLGIFLNKNTMIKPQYEPTQVLNNVSLLESKLKKIHSAASNNLQLLICVMEKKHKGYADLKRIAETSVGVVTQCCLYLNLGKLSSQFLANLALKINAKVGGCTVALYNSLPSQIPRLLRSNEPVIFMGADVTHPHPLDDISPSVAAVVGSMNWPAANKYVSRMRSQTHRQEIIQDLGEMVKELLDDFYQELNELPKRIIFFRDGVSETQFYKVLKEELQAIREACSRFPGYRPPITFAVVQKRHHTRLFPNETDPSSTQNQFSDENIPPGTVVDTVITHPREFDFYLCSHWGVKGTSRPTHYHVLWDENQFTSDELQKLVYNLCYTFVRCTKPVSLVPPAYYAHLAAYRGRLYLERSECMASIRNASTISRAAPPKAAPLPKLSENLKKLMFYC >Potri.008G044200.1.v4.1 pep chromosome:Pop_tri_v4:8:2503647:2504970:1 gene:Potri.008G044200.v4.1 transcript:Potri.008G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044200.v4.1 MSSKTLQASKIYRDLLKSVNKHIGKEGYKNHFGDYITQEFRKNCNVLDQSSVQQKLKLARDYTFLLNSVQHHKELLFSYNIAVDRSDEMKKTLRKSAGSVGLQLPEVYQP >Potri.008G210300.1.v4.1 pep chromosome:Pop_tri_v4:8:16190039:16191061:1 gene:Potri.008G210300.v4.1 transcript:Potri.008G210300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210300.v4.1 MVDNVGAGASSDDGGVKLEQDRLLPIANVGRIMKQILPANAKISKEAKETMQECASEFISFVTGEASEKCRKERRKTVNGDDVCWAMGALGFDDYAGPLRRYLQRYREIEGDRANQEKPANANNTSIADQEKEAPPSSSSSYRNNQGIRM >Potri.011G039500.1.v4.1 pep chromosome:Pop_tri_v4:11:3058077:3058752:1 gene:Potri.011G039500.v4.1 transcript:Potri.011G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039500.v4.1 MASRNGIVFEDFFPAMVEKLGAEGFMKELSNGFQLLVDEDKGLITFESLKRNSALLGLQDMSDDEVKCMVREGDLDGDGALNEMEFCTLMFRLSPGLMMNSTEWLVEAIISEM >Potri.016G131600.1.v4.1 pep chromosome:Pop_tri_v4:16:13570658:13571604:1 gene:Potri.016G131600.v4.1 transcript:Potri.016G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131600.v4.1 MATGQAPRNPIPAMRTYPPVEHPVVVIGPQYLAQYPVELAVNDFKVSDINGTLVFKVKFKLSSINRLFLNDAAGNTLVNLRKKTMTMHGRWEAFRGESKEENDLLFTAKKSKTEVDVFLGNNKGEVPDFKVKEGYSKSSRSILLRDSNTMIAQVHGRDTLAIMPNVDYAFIVALLVVILEGINPDDHREGAALKGINGVIA >Potri.003G197900.1.v4.1 pep chromosome:Pop_tri_v4:3:19985286:19993677:-1 gene:Potri.003G197900.v4.1 transcript:Potri.003G197900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197900.v4.1 MNYGDPQQHQHQQPQQQQQHQHQHQHQHQHQQPQQQHQHQQPQHQHQHQHQHQQPQHHHQQHMQQQPPPVGDFHRGQPPPMMRQPSASSTTLNPLDYHHHPQAAPGPPPPKYEANHGDGYGGKRMRKLTQRRAVDYTSTVVRYIQTRMWQWDLRDRTVLQHTPAAAIDVLPPVAYSDNPSTSFATKFVHTSLNKNRCSINRVLWTPNGKRLITGSQSGEFTLWNGQSFNFEMILQAHDQAIRSMVWSHNDNWMVSGDDGGSIKYWQSNMNNVKVNKSAHKESVRDLSFCRTDLKFCSCSDDTTVKVWDFARCHEERSLTGHGWDVKSVDWHPTKSLLVSGGKDNLVKLWDAKSGRELCSFHGHKNTVLCVKWNQNGNWVLTASKDQIIKLYDLRAMKELESFRGHRKDVTALAWHPFHEEYFVSGSYDGSIFHWLVGHETPQVEVSSAHDNSVWDLSWHPIGYLLCSGSNDHTTKFWCRNRPGDTARDKFNVGQNQGYGEQNPALGGRFPGNFHVPEPPITPGPFAPGLTRNEGTIPGVGAAMPLSITSLDASQGEQRQPLPVSMPFGGPPLPPGPHPSLRAANQQQGYQQSPQQQQQPLPQHMPPLPMPPPNMQQLLPPSHVSLLSHPHLPRPPQMPPHGMPSPIPSSMPGSLPSSMPGLMGMQGTMSQMVPLLPQGHFMGMNPMHSGSLPTSAAPPVGGGFPNGLPNMQGPSNATGGQMYPQGGPFNHPQGGQMPRMPGFNPYQSGNQSGMPPPLPPGLPPHSQTPQ >Potri.002G155000.3.v4.1 pep chromosome:Pop_tri_v4:2:11835492:11842291:1 gene:Potri.002G155000.v4.1 transcript:Potri.002G155000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155000.v4.1 MNVMRRLKSIASGRTSISSDPGGDAGTKRAKIDQEIERKVDEESYLVERSVTDQEQHMASTSQENAASTSNITSVTRTEKSGYDQLPKEMHQMKIRDEKTTSHDEKEVEAAIVSGNGTETGQIIATTVGGQDGQPKQTISYVAERMVGTGSFGVVYQAKCLETGEAVAIKKVLQDKRYKNRELQVMRLLDHPNVVQLKHCFYSTTEKDELYLNLVLEYISETVHRVSRHFNRMNHQHMPIIYVQLYTYQICRALNYLHHVLGVCHRDIKPHNLLVNPHTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGASEYTSAIDIWSVGCVLAELLLGQPLFPGESSVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNMRCTALEACAHPFFDDLRHANACLPNGRALPPLFNFTAQELAGASTELRQRLIPDHV >Potri.002G155000.2.v4.1 pep chromosome:Pop_tri_v4:2:11835470:11842296:1 gene:Potri.002G155000.v4.1 transcript:Potri.002G155000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155000.v4.1 MNVMRRLKSIASGRTSISSDPGGDAGTKRAKIDQEIERKVDEESYLVERSVTDQEQHMASTSQENAASTSNITSVTRTEKSGYDQLPKEMHQMKIRDEKTTSHDEKEVEAAIVSGNGTETGQIIATTVGGQDGQPKQTISYVAERMVGTGSFGVVYQAKCLETGEAVAIKKVLQDKRYKNRELQVMRLLDHPNVVQLKHCFYSTTEKDELYLNLVLEYISETVHRVSRHFNRMNHQHMPIIYVQLYTYQICRALNYLHHVLGVCHRDIKPHNLLVNPHTHQLKICDFGSAKMLVPGEPNISYICSRYYRAPELIFGASEYTSAIDIWSVGCVLAELLLGQPLFPGESSVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNMRCTALEACAHPFFDDLRHANACLPNGRALPPLFNFTAQELAGASTELRQRLIPDHV >Potri.005G093300.2.v4.1 pep chromosome:Pop_tri_v4:5:6547010:6559349:1 gene:Potri.005G093300.v4.1 transcript:Potri.005G093300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093300.v4.1 MYAGIIKCRNPRWGSFFHPSKHFIRPNCQDRSMSCSIVARGPFLHAGFIKRKLLYSLSSRSIAFRNSDGGLLRRSNTCWTDIQFRACSSGSDGRNTSEDKHAPVKDGASSDNEKTRQETVSEDAKHCDAHARLGEQDQKEWLHNEKLAIEAKKKESPSLTRREKFKNEFLRRIVPWEKLHVSWDNFPYYINEHTKNTLVECVASHLKHKKCTTSYGARLTSSSGRILLQSVPGTELYRERTVKALAQDLQVPLLVLDSGVLAHYDFGDDECVSDDSAEAVEDGISESEVEDENDAVNEEEWTSSVEAKSDFSDDDAVDVEATAEAALKKLLPFSLQEFEKRVSGECDSSSEPSKNEAEDTSETLKKPLNKGDRVKYVGPSIRIEADDRPLSSGQRGEVYEMNGDQVAVILDIGNDNKSNEGEKDEKLQEQPAKAPVCWIDAKDIEHDPDTETEDCYIAMEVLCEVLCSMQPIIVYFADSSQWLSRAVPKSNHKDFVSKVQEMFDQLPGPVVLICGQNKAETGSKEKERFTMVLPNLGHLAKLPLSLTHLTEGLKGAKRSNENDIYKLFTNILCLNPPKEEDLLRTFNKQVEEDRKIVISRSNLNELHKVLEENEMSCMDLLHVNTDGLILTKRKAEKVIGWAKNHYLSSCPLPCIKGDRLSLPRKSLEIAIVRLKEQETISEKPSQNLKNVAMDEYESNFVSAVVAPGEIGVKFNDVGALEDVKKALNELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAEANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGMRSKDSQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAENRMKILRIILSRENLEPDFQFDKLANATEGYSGSDLKNLCIAAAYRPVEELLEEEKGGKNGAAPALRTLNLNDFIQSKAKVGPSVSFDAASMNELRKWNEQYGEGGSRKQSPFGF >Potri.005G093300.1.v4.1 pep chromosome:Pop_tri_v4:5:6546545:6559355:1 gene:Potri.005G093300.v4.1 transcript:Potri.005G093300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093300.v4.1 MYAGIIKCRNPRWGSFFHPSKHFIRPNCQDRSMSCSIVARGPFLHAGFIKRKLLYSLSSRSIAFRNSDGGLLRRSNTCWTDIQFRACSSGSDGRNTSEDKHAPVKDGASSDNEKTRQETVSEDAKHCDAHARLGEQDQKEWLHNEKLAIEAKKKESPSLTRREKFKNEFLRRIVPWEKLHVSWDNFPYYINEHTKNTLVECVASHLKHKKCTTSYGARLTSSSGRILLQSVPGTELYRERTVKALAQDLQVPLLVLDSGVLAHYDFGDDECVSDDSAEAVEDGISESEVEDENDAVNEEEWTSSVEAKSDFSDDDAVDVEATAEAALKKLLPFSLQEFEKRVSGECDSSSEPSKNEAEDTSETLKKPLNKGDRVKYVGPSIRIEADDRPLSSGQRGEVYEMNGDQVAVILDIGNDNKSNEGEKDEKLQEQPAKAPVCWIDAKDIEHDPDTETEDCYIAMEVLCEVLCSMQPIIVYFADSSQWLSRAVPKSNHKDFVSKVQEMFDQLPGPVVLICGQNKAETGSKEKERFTMVLPNLGHLAKLPLSLTHLTEGLKGAKRSNENDIYKLFTNILCLNPPKEEDLLRTFNKQVEEDRKIVISRSNLNELHKVLEENEMSCMDLLHVNTDGLILTKRKAEKVIGWAKNHYLSSCPLPCIKGDRLSLPRKSLEIAIVRLKEQETISEKPSQNLKNVAMDEYESNFVSAVVAPGEIGVKFNDVGALEDVKKALNELVILPMRRPELFSRGNLLRPCKGILLFGPPGTGKTLLAKALATEAEANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGMRSKDSQRILILGATNRPFDLDDAVIRRLPRRILVDLPDAENRMKILRIILSRENLEPDFQFDKLANATEGYSGSDLKNLCIAAAYRPVEELLEEEKGGKNGAAPALRTLNLNDFIQSKAKVGPSVSFDAASMNELRKWNEQYGEGGSRKQSPFGF >Potri.017G128400.1.v4.1 pep chromosome:Pop_tri_v4:17:13186228:13186686:-1 gene:Potri.017G128400.v4.1 transcript:Potri.017G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128400.v4.1 MARSFIHVTSILVCLITLAISPAQFVAQTTVPSQNTEYYSPPPPYSTPGVCPYPCRPPPIPTTICPPPPPPPYLPQLPPPLPFYPSPGGYVPNIPPFWNYAPPPPNPILPYFPWYYMYPPPPPDYSLAMSPKGIPMAMIPCIIMVSLFISWF >Potri.019G084400.1.v4.1 pep chromosome:Pop_tri_v4:19:12395064:12396813:-1 gene:Potri.019G084400.v4.1 transcript:Potri.019G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G084400.v4.1 MNTFSSLLIDIKNLESSLAKNSLSLQWCLEATNLLKKMHFQFLEIFQKSEVPLFWDGGNYLDEYMEESLNILDFCNALRSAISTMDRYRLIVDVAVRRFSDEGYLGSAMNMTEIEKLEREWQKLYGFENWKIVNLYKTGRPKTKTKDDEFCASYAVRRTMNTVCSLLFSAIFYPAPIEKDEEVYRDFSQLKLFPSSLRKLVCCFSEERRGFNDNSRPVLVETKMVGSAVEDFKVQILKGVALNKEKLGKSIDSLQKSSLALKEGLEMFDSVVNELFQEVVKGRNEILAMVASS >Potri.003G193600.2.v4.1 pep chromosome:Pop_tri_v4:3:19653105:19656366:-1 gene:Potri.003G193600.v4.1 transcript:Potri.003G193600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193600.v4.1 MWSLRRASAHSRRINRSWLGCFRARTAKLDRICHFASDRAGTCTLENVILGRYNLLNELHRKPPISEKLYVGSCGYCSKAAMGNDNENDEIGGGFLEFETGGMDGIIEESNAEEVDEESGDKKEALELLNTITETPNIVDFLSKFTEEGNELSRGEIYLIMDHLRKKQLYWRALQFSEWLERSKQTDFTERDYACHLDCIAKVLGLWKAEKFIEKIPESFRGKLVYQTLLASCVSVLNIKKAESVFRKMRDLGLPITVEACEQMIIIYKRLEKKKIPNILLMMKDQNIKPSFLTYKLLIDAKCQFNDTTGMEKLVEAMRNEGMELDVFALAVIARHYISVGLKDKADLILKQIEKRKQKGGGLGARRSLLSLYASLGNADEVGRIWKECKADPKQSECIAAIRAWGKLGKVEEAEAVSEMMLQTWKNPTFGYYTSLLNVYIDNNLTSKGKDLVEQMGDIGSWAGPLTWDALVRLYIKSGDVEKAHSILLKVARMKRKRPLYTTCIAVMEHYAKRGDIHNTEKLFQSMRELGYTARFKPFEILVDAYINAKTPIYGLRARMKADNLYPKKEFAGKMALVDCFRGLNYQICLIKSSIVPDVAVSFPS >Potri.001G027800.2.v4.1 pep chromosome:Pop_tri_v4:1:2103789:2104336:1 gene:Potri.001G027800.v4.1 transcript:Potri.001G027800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027800.v4.1 MEALRLLPNLSTNGFSFRSSIPVTACFVYESICLFRIVKSQRAFKRYHGDFDFCPKINQFKAVRVFYLSHYGNDQRAGLHGMVYTTGTVTWRISKAAREVFDTHRYDSAFINGSLHWFAKSDLGNLTCTSILKPSSLDHSRCLLLMLVGVVCGS >Potri.018G013850.1.v4.1 pep chromosome:Pop_tri_v4:18:928026:928274:-1 gene:Potri.018G013850.v4.1 transcript:Potri.018G013850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013850.v4.1 MIDSSQQLKKRKHTHTHRGREREADKESKSVAMVKGQEELV >Potri.001G271200.3.v4.1 pep chromosome:Pop_tri_v4:1:28585541:28598613:1 gene:Potri.001G271200.v4.1 transcript:Potri.001G271200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271200.v4.1 MAGKSNKGRNRRGSNNTTNSLEPVASSNAPVKDDITASEAVVATLNEVSAGSESTNGSSEIKESETANSASEAKQGDLHLYPVSVKSQSGEKLELQLNPGDSVMDVRQFLLDAPETCFYTCYDLLLHTKDGSTHQLEDYNEISEVADITSGGCSLEMVTAPYDDRSIRAHVHHTRELLSLSTLHASLSTSLALEYETAQNKAPGSDTGKTEVPELDGMGFMEDVAGSVGKLLSFPTKEIKCVDSIVFSSFNPPPSHRRLVGDLIYLDAVTLEGNRYCVTGTIKMFYVNSSTGNVLDPRPSKATSEATTLVGLLQKISPTFKRAFREILERKGSAHPFENVQSLLPPNSWLGLYPVPDHRPDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHSTPQERILRDRALYKVTSDFVDAAIKGAIGVIGRCIPPINPTDPECFHMYVHNNIFFSFAVDSDLEQLSKKCNSDASSKTENTSSSIKSSEKATTNGVKCDGSTAEVMELPLESSEPQLAESEQATYASANNDLKGTKSYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYTRPGSRFCILRPELITAFCQAEAVARSKSRPKSEGGVQVAADSTEVAGADKQVKSEEAAVPINNQEIAKEGKADTVEESAPPPAGSSESLEEILFNPNVFTEFKLSGNPEEIAVDEENVKKVSSYLANTVLPKFVQDLCTLEVSPMDGQTLTEALHAHGINVRYMGKVAEGTKHLPHLWDLCSNEIIVRSAKHLLKDLLRDTDDNHLGPAISHFYNCFFGSCQAVGLKVSTNNSPSRATKKEQASNHSSRKSSRGQTRWKGASARKNQSSYMNVSSETLWSDLQELAKLKYEFELPEDARLQVKKVSVIRNLCQKVGITIAARKYDLHTAMPFQMSDILNLQPVVKHSVPLCSEAKDLVETGKVQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMSTFKARELQMNAQKQKGQTLNATSSQKAIDILKANPDLLHAFQAAAAAGGSGSGSSSSSINKSLNAAIVGEALPRGRGVDERAARAAAEARKKAAARGLLIRPHGVPVQALPPFTQLLNIINSGATPDSINNDEAGGVNNEANGQSSNDPVDKQKDQTSGKDQAPIGLGKGLKSLDAKKEKAKAKVAA >Potri.001G271200.2.v4.1 pep chromosome:Pop_tri_v4:1:28585613:28598589:1 gene:Potri.001G271200.v4.1 transcript:Potri.001G271200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271200.v4.1 MAGKSNKGRNRRGSNNTTNSLEPVASSNAPVKDDITASEAVVATLNEVSAGSESTNGSSEIKESETANSASEAKQGDLHLYPVSVKSQSGEKLELQLNPGDSVMDVRQFLLDAPETCFYTCYDLLLHTKDGSTHQLEDYNEISEVADITSGGCSLEMVTAPYDDRSIRAHVHHTRELLSLSTLHASLSTSLALEYETAQNKAPGSDTGKTEVPELDGMGFMEDVAGSVGKLLSFPTKEIKCVDSIVFSSFNPPPSHRRLVGDLIYLDAVTLEGNRYCVTGTIKMFYVNSSTGNVLDPRPSKATSEATTLVGLLQKISPTFKRAFREILERKGSAHPFENVQSLLPPNSWLGLYPVPDHRPDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHSTPQERILRDRALYKVTSDFVDAAIKGAIGVIGRCIPPINPTDPECFHMYVHNNIFFSFAVDSDLEQLSKKCNSDASSKTENTSSSIKSSEKATTNGVKCDGSTAEVMELPLESSEPQLAESEQATYASANNDLKGTKSYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYTRPGSRFCILRPELITAFCQAEAVARSKSRPKSEGGVQVAADSTEVAGADKQVKSEEAAVPINNQEIAKEGKADTVEESAPPPAGSSESLEEILFNPNVFTEFKLSGNPEEIAVDEENVKKVSSYLANTVLPKFVQDLCTLEVSPMDGQTLTEALHAHGINVRYMGKVAEGTKHLPHLWDLCSNEIIVRSAKHLLKDLLRDTDDNHLGPAISHFYNCFFGSCQAVGLKVSTNNSPSRATKKEQASNHSSRKSSRGQTRWKGASARKNQSSYMNVSSETLWSDLQELAKLKYEFELPEDARLQVKKVSVIRNLCQKVGITIAARKYDLHTAMPFQMSDILNLQPVVKHSVPLCSEAKDLVETGKVQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMSTFKARELQMNAQKQKGQTLNATSSQKAIDILKANPDLLHAFQAAAAAGGSGSGSSSSSINKSLNAAIVGEALPRGRGVDERAARAAAEARKKAAARGLLIRPHGVPVQALPPFTQLLNIINSGATPDSINNDEAGGVNNEANGQSSNDPVDKQKDQTSGKDQAPIGLGKGLKSLDAKKEKAKAKVAA >Potri.001G271200.4.v4.1 pep chromosome:Pop_tri_v4:1:28585544:28598620:1 gene:Potri.001G271200.v4.1 transcript:Potri.001G271200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271200.v4.1 MAGKSNKGRNRRGSNNTTNSLEPVASSNAPVKDDITASEAVVATLNEVSAGSESTNGSSEIKESETANSASEAKQGDLHLYPVSVKSQSGEKLELQLNPGDSVMDVRQFLLDAPETCFYTCYDLLLHTKDGSTHQLEDYNEISEVADITSGGCSLEMVTAPYDDRSIRAHVHHTRELLSLSTLHASLSTSLALEYETAQNKAPGSDTGKTEVPELDGMGFMEDVAGSVGKLLSFPTKEIKCVDSIVFSSFNPPPSHRRLVGDLIYLDAVTLEGNRYCVTGTIKMFYVNSSTGNVLDPRPSKATSEATTLVGLLQKISPTFKRAFREILERKGSAHPFENVQSLLPPNSWLGLYPVPDHRPDAARAEDALTLSYGSELIGMQRDWNEELQSCREFPHSTPQERILRDRALYKVTSDFVDAAIKGAIGVIGRCIPPINPTDPECFHMYVHNNIFFSFAVDSDLEQLSKKCNSDASSKTENTSSSIKSSEKATTNGVKCDGSTAEVMELPLESSEPQLAESEQATYASANNDLKGTKSYQEADVPGLYNLAMAIIDYRGHRVVAQSVLPGILQGDKSDSLLYGSVDNGKKICWNEDFHSKVVEAAKRLHLKEHTVLDGSGNAFKLAAPVECKGIVGSDDRHYLLDLMRVTPRDANYTRPGSRFCILRPELITAFCQAEAVARSKSRPKSEGGVQVAADSTEVAGADKQVKSEEAAVPINNQEIAKEGKADTVEESAPPPAGSSESLEEILFNPNVFTEFKLSGNPEEIAVDEENVKKVSSYLANTVLPKFVQDLCTLEVSPMDGQTLTEALHAHGINVRYMGKVAEGTKHLPHLWDLCSNEIIVRSAKHLLKDLLRDTDDNHLGPAISHFYNCFFGSCQAVGLKVSTNNSPSRATKKEQASNHSSRKSSRGQTRWKGASARKNQSSYMNVSSETLWSDLQELAKLKYEFELPEDARLQVKKVSVIRNLCQKVGITIAARKYDLHTAMPFQMSDILNLQPVVKHSVPLCSEAKDLVETGKVQLAEGMLSEAYTLFSEAFSILQQVTGPMHREVANCCRYLAMVLYHAGDMAGAIIQQHKELIINERCLGLDHPDTAHSYGNMALFYHGLNQTELALRHMSRALLLLSLSSGPDHPDVAATFINVAMMYQDIGKMNTALRYLQEALKKNERLLGEEHIQTAVCYHALAIAFNCMGAFKLSHQHEKKTYDILVKQLGEEDSRTRDSQNWMSTFKARELQMNAQKQKGQTLNATSSQKAIDILKANPDLLHAFQAAAAAGGSGSGSSSSSINKSLNAAIVGEALPRGRGVDERAARAAAEARKKAAARGLLIRPHGVPVQALPPFTQLLNIINSGATPDSINNDEAGGVNNEANGQSSNDPVDKQKDQTSGKDQAPIGLGKGLKSLDAKKEKAKAKVAA >Potri.014G195800.1.v4.1 pep chromosome:Pop_tri_v4:14:17595337:17600971:1 gene:Potri.014G195800.v4.1 transcript:Potri.014G195800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195800.v4.1 MAMAGLYRRILPSPPAIDFASAEGKQLFMEAIQNGTMEGFYRLISYFQTQSEPAYCGLASLSMVLNALAIDPGRKWKGPWRWFDESMLDCCEPLEQVKAKGISFGKLVCLAHCAGVKVEAYRTNQSTIEDFRVHIMRCSTSDDCHLISSYHRGTFKQTGTGHFSPIGGYHAGRDMALILDVARFKYPPHWVPLTLLWEAMDRTDETTAQRRGFMLISRPHTEPGLLYTLSCKHESWVGVAKYLMDDVPLLLKSEDVKDINKVLHVVFLSLPSSFGDFIKWVAEIRRQEDGGQSLSQEEKGRLSVKDEVLKQVQETDLFKHVVAFLSSSNSCCRNVPILDQEDDLPDIAASVCCQGAEILAGKSASVEGYFCKEKCVKCLKANGDKPITLISGTVVDGISEQGLDVLVPSSQTKMICCGCGPSSHIGMHPAGNDVLTALLLALPPVTWSGIKDEKLLQEIDALVSTEHLPILLQEEVLHLRRQLHLLKRCQENRVDQDLGAPLS >Potri.014G195800.6.v4.1 pep chromosome:Pop_tri_v4:14:17595315:17600954:1 gene:Potri.014G195800.v4.1 transcript:Potri.014G195800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195800.v4.1 MALILDVARFKYPPHWVPLTLLWEAMDRTDETTAQRRGFMLISRPHTEPGLLYTLSCKHESWVGVAKYLMDDVPLLLKSEDVKDINKVLHVVFLSLPSSFGDFIKWVAEIRRQEDGGQSLSQEEKGRLSVKDEVLKQVQETDLFKHVVAFLSSSNSCCRNVPILDQEDDLPDIAASVCCQGAEILAGKSASVEGYFCKEKCVKCLKANGDKPITLISGTVVDGISEQGLDVLVPSSQTKMICCGCGPSSHIGMHPAGNDVLTALLLALPPVTWSGIKDEKLLQEIDALVSTEHLPILLQEEVLHLRRQLHLLKRCQENRVDQDLGAPLS >Potri.004G093800.1.v4.1 pep chromosome:Pop_tri_v4:4:8019262:8020999:1 gene:Potri.004G093800.v4.1 transcript:Potri.004G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093800.v4.1 MNILEVCHVPPFSSSFKSTPTEFSLPLNFSDIFNLKFPPVESIFFYKLGESTNTFFKTEILPKIKHSLSITLFHFLPLAGYLSWPQNSKKPIITYNTADDGVLLTVAESNEDFDHLYSEVRYASESHPYLAPLFVSDTKASILSFQITLFPNKGFTISYTLNHAVLDGRSISLFMNSWAYICRNLDENVKISPSSLPEELIPSFDRTVIPGSEGLEMRYLNYWLGLKLPGSDANPRSLEPIPFPVPADVVRATFGFSREDIKKLGERVLSKLENGNQSKPFSTYVLAYAYTLVCMVKAKGLKNNNKVKFGLTTDCRPRLNPPLSRNYIGNCVTSCDVLVEAEHLLKETGVVYAAKRLNEMIEGLENGVLELAKGRVPFMDVEPGVRIILVAGTNRFGKYGADFGWGKPTNVEITTIDVGESLSMMESRDESGGVEIGLVLKKHEMEIFDSLFVHGLKVLRSSL >Potri.011G020800.1.v4.1 pep chromosome:Pop_tri_v4:11:1584307:1584852:1 gene:Potri.011G020800.v4.1 transcript:Potri.011G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G020800.v4.1 MSQLGVLLQEPEREAQTFLALLRDHMNGTADSSRRRLRMSLKERLGLKGLGCCGATWGFRATTITNDDLDHEQEDMELVMVNSGQEGTQERVSCPVCLDPTQQYSSGMNLAAALKIISWSPPMLLMIAQAQAPIYQTYMIAQAQVKE >Potri.013G134433.1.v4.1 pep chromosome:Pop_tri_v4:13:13999470:14000906:-1 gene:Potri.013G134433.v4.1 transcript:Potri.013G134433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134433.v4.1 MALLDQSFFHYSLLAYYLLGLPTFIALRFFQFPYGKHNRLGWGPTIPPPLAWFIMESPSILLPLILFPLGQHFTNPKALVLMSPYLLHYFHRTFIYPLRIYQSTSQQNTKTTIGFPLILAFNGFLTQALNTYIQARWVSHYKSDYDSDGGLFWWKFFGGLVVFLWGMRINMWADTALLVLKRESGGYKVPRGGWFELVTCPNYFGEIVEWLGWAVMTWSWAGFGFFLYTCSNLVPRACANHKWYLQKFGEDYPKNRKAVIPFLV >Potri.006G283600.1.v4.1 pep chromosome:Pop_tri_v4:6:27376516:27378772:-1 gene:Potri.006G283600.v4.1 transcript:Potri.006G283600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283600.v4.1 MHNKLCKSCCTDSMVSRKRHMLNFFQQWLSDFSDGGKLQTSLFPILSTDAFRKMTDVKFLQLNYTNFHGSFERFPKNLIWLCWHGLSSRSIPNHVCLEKLVVLDLSRSCIVDAWKGKLFLPKLKVLDLRHSRDLIRTPDFSGLPAHEKLILEDCVRLVQIHNSIGDLQKLLILNLRNCTSLMELPEELSRFNSLQELVLDGCSNLDSMNMELEHHQGRKLLQSDGIVASASYITSLPLKLFFPSRFSARKMLRFTLFSLPRFLESLDLSGTPIRFFPESIKDLGLLRVLILRNCKMLQALPELPSHLDSLDVSFCYSLQSLANRHRWILADGCDHLAEFQDRIKQELIQKFDSHMFRIMETVCAQIQTSRFEVLFDHGKFNVYVFDEDEELRVFYEEEEEDKWLIQNEFVDITFHSKYPHLGRSGYVDLICSQGVV >Potri.019G075301.1.v4.1 pep chromosome:Pop_tri_v4:19:11626156:11626678:-1 gene:Potri.019G075301.v4.1 transcript:Potri.019G075301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075301.v4.1 MKVGKDYIQCSWETTSPFWPLVHLDFLQTALSPLKGNLFPQDLRIYDFVPFIIVMCCRPMKSHPLNPVRPGQRRSWVILIRCNPPTTLFFFSMALLLCLLPLCL >Potri.002G097400.1.v4.1 pep chromosome:Pop_tri_v4:2:7104880:7107032:1 gene:Potri.002G097400.v4.1 transcript:Potri.002G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097400.v4.1 MSRCFSFTGTKNWCFRSTFTRSGLRSEITDLKDGTVMHCWVPKTRKDSRPDLLLIHGLGANALWQWGDVIQDFVPYFNVYVPDLVFFGDSYTTRPERTESFQAQCLMRVMEAHSVQKFSLVGLSYGGFVGYSMAAQFAEAVERVVICCSGICMEEKDLIEGVFAVSDLEEAGRILVPQSPDKLRELVGYTFFRPPPVRLIPSCFLADFIDAMCGEYVEEKRDLIRAVPKDRKLSDIPKLTQPTLIIWGEHDRVFPLELGHRLKRHLGDNAHLTIVKNTGHAFNVERPKEFIKLLKSFLVDLQPPPGSPVSIQSKLQNT >Potri.015G098800.1.v4.1 pep chromosome:Pop_tri_v4:15:11905315:11911622:-1 gene:Potri.015G098800.v4.1 transcript:Potri.015G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098800.v4.1 MRFAYRSTTQMAKQLSGRMRCGFSLVTEEIMQNILSRLPALAFAYAACVNKRWYKICSQILKRPKLASALSLNPSLHDAVEEVIEQVLSEPIRPHFAIACISKEFNLELTHGLIIKKLGSSIPIITNIASGIIGVDGIADELYEEKWETTTAGPNSQDSDRVDRGLVLLVGFLPGLKIGTIPLLRPMQESNTLVDKFVMDILHYTSAVSDCPAPTGIIIFGDKTTDMKPIVSKMDCAMPEETVIVGDASANFIFRNGDNSLNHLAHTCYFQAVALVFARDRYKPEGIGEIQFHVTMSKGVMPFGPTLEAASVLQKDSECSWISAKLKGQNGIVAAGEILNDLKQQFRDANKSADIYIGVTKETISTNDSGIWTPGRCLDFYEVRGGGGRYFNVNGVGIQPGDSFLFYQSDSETASSTCDHAFNKLLALKAELKSKNYLHLSKFADKDDKKEVLGGLIFSCYRRGESFFGDPFVDSYPFCDSFPTAPVAGLFCRGEIGRGPESLMNEEYEDVNSPRCCLHVYSTIYLVMSYLPPSVEN >Potri.005G046200.2.v4.1 pep chromosome:Pop_tri_v4:5:2926184:2929253:-1 gene:Potri.005G046200.v4.1 transcript:Potri.005G046200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046200.v4.1 MLMFMQKNAFKASASSSIWVVQQHMEMGFYLFLPDFPSFLFFNHHHITTSTCTKKRSLPQNNGGFLSNNSISIDDALASFYRMLRMNPRPSVVEFGRFLGSIAKKKQYSTVVSLCNQMDLFGVTHNVYSLNILINCLCRLNHVVFAISVLGKMFKLGIQPDAITFNTLINGRCIEGEIKEAVGLFNEMVRRGHQPDVISYSTVINGLCKSGNTSMALQLLRKMEEKGCKPNLVAYTTIIDSLCKDTLVNDAMDLLSEMVDRGIPPDVVTYSTILHGFCSLGHLNEATILFNEMVGRNVMPNTVTFTILVDGLCKEGMVSEARCVFEAMTKKGAEPNAYTYNALMDGYCLNNQMDEAQKVLDIMVDKGCAPVVHSYNILINGYCKRRRLDEAKSLLVEMSEKELTPDTVTYSTLMQGLCQVGRPQEALNLFKEMCSSGLLPDLMTYSTLLDGLCKHGHLDEALKLLKSMQESKIEPDIVLYNILIEGMFIAGKLEVAKELFSKLFADGIQPTIRTYTIMIKGLLKEGLSDEAYELFRKMEDDGFLPNSCSYNVIIQGFLQNQDSSTAIRLIDEMVGKRFSADSSTFQMLLDLESHDEIISRFMRGSSQGRKMK >Potri.005G046200.1.v4.1 pep chromosome:Pop_tri_v4:5:2926198:2929232:-1 gene:Potri.005G046200.v4.1 transcript:Potri.005G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046200.v4.1 MLMFMQKNAFKASASSSIWVVQQHMEMGFYLFLPDFPSFLFFNHHHITTSTCTKKRSLPQNNGGFLSNNSISIDDALASFYRMLRMNPRPSVVEFGRFLGSIAKKKQYSTVVSLCNQMDLFGVTHNVYSLNILINCLCRLNHVVFAISVLGKMFKLGIQPDAITFNTLINGRCIEGEIKEAVGLFNEMVRRGHQPDVISYSTVINGLCKSGNTSMALQLLRKMEEKGCKPNLVAYTTIIDSLCKDTLVNDAMDLLSEMVDRGIPPDVVTYSTILHGFCSLGHLNEATILFNEMVGRNVMPNTVTFTILVDGLCKEGMVSEARCVFEAMTKKGAEPNAYTYNALMDGYCLNNQMDEAQKVLDIMVDKGCAPVVHSYNILINGYCKRRRLDEAKSLLVEMSEKELTPDTVTYSTLMQGLCQVGRPQEALNLFKEMCSSGLLPDLMTYSTLLDGLCKHGHLDEALKLLKSMQESKIEPDIVLYNILIEGMFIAGKLEVAKELFSKLFADGIQPTIRTYTIMIKGLLKEGLSDEAYELFRKMEDDGFLPNSCSYNVIIQGFLQNQDSSTAIRLIDEMVGKRFSADSSTFQMLLDLESHDEIISRFMRGSSQGRKMK >Potri.006G117400.1.v4.1 pep chromosome:Pop_tri_v4:6:9191613:9199788:1 gene:Potri.006G117400.v4.1 transcript:Potri.006G117400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117400.v4.1 MHSNHLLLEEPIRMASILEPSKSSFFPAMTKIVGTLGPKSRSVEVISGCLKAGMSVARFDFSWGNPEYHQGTLENLKAAVKTTKKLCAVMLDTVGPELQVVNKSGNPISLLADGFVILTPDQEQEASSKVLPINFDGLSKAVKHGDTIFVGQYLFTGSETTSVWLEVSEVKGNDVVCVIKNSATLAGSLFTLHASQIRIELPTLSDKDKEVISTWGVQNKIDFLSLSYTRHAEDVRNAREYLSKLGDLYQTQIFAKIENIEGLTHFDEILQEADGIILSRGNLGIDLPPEKVFLFQKAALYKCNMAGKPAVVTRVVDSMTDNLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPIETISTVGKICAEAEKVFNQDLYFKKTVKYVGEPMTHLESIASSAVRAAIKVKSSVIICFTSSGRAARLIAKYRPTMPVLSVVIPRLKTNQLKWSFSGAFEARQSLIVRGLFPMLADPRHPAESTSATNESILKVALDHGKASGVIKSHDRVVICQKVGDASVVKIIELED >Potri.006G076900.2.v4.1 pep chromosome:Pop_tri_v4:6:5697403:5705272:-1 gene:Potri.006G076900.v4.1 transcript:Potri.006G076900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076900.v4.1 MAAELEKSNKAATKKLQKSYFDVLGLCCSSEVPLIENILKSLDGVKDFSVIVPTRTVIVFHDDLLISQLQIVKALNQARLEANVRAYGETKHQKKWPSPYAMACGVLLLLSLLKYVYHPLRWFAIGAVAVGILPICLKAVASLRNFRLDTNVLMLIAVIGTIAMDDYIEAGTIVFLFTIAEWLESRASHKASAVMSSLMSIAPQKAVIAETGEEVDADEVKLNTVLAVKAGEVIPIDGVVVDGNCEVDEKTLTGESFPVPKQVDSTVWAGTINLNGYMSVRTTALAEDCVVAKMAKLVEEAQNSKSKTQRFIDKFAQYYTPAVIIISASVAVIPLALRLHDRNRWFRLALVVLVSACPCALILSTPVATFCALTKAASAGLLIKGGDYLETLGKIKVMAFDKTGTITRGEFVVTDFQPLCNDISVDTLLYWVSSIESKSSHPMAAALIDYGKMHSIEPQPEKVEEFQNFPGEGIQGKIEGKDIYIGNRKIAHRASGTVPTLEGDKKTGKSVGYVYCGATLAGIFSLSDSCRTGVAEAIKELKSLGIKTAMLTGDSEAAAMYAHEQLEHALEVVHAELLPEDKATIIKELKKEGPTAMIGDGLNDAPALATADIGISMGISGSALATETGHVILMSNDLRKVPKAIRLGRKSHRKVIENVIMSMTTKSAILALAFAGHPLVWAAVLADVGTCLLVILNSMLLLRGTHAGKCSKSSGASHSHKHGTKNSSHNHRNCCSSQNVKKVECGAQKCCSSPKVEQVQSGALNSTCASRCCSSPKVEKGPSGSQNSSCTSGVCSSPKVEKVHSGAQNSSCASGCCSSQKVEKVQLAAQNSNCASGCCSSQKAEVKLVAQNPSCDSGCCSRPKVEKVQSEDQNSNCASRCCSSQKAEVKLVAQNPSCDSGCCSRPKVEKVQSEDQNSNCASRCCSSQKVVKEHCVAQSSSLASGCQSSQHSISSCRNQECADSAKSHDARVATNASGVHEAKHHDHSCFNTVNPNTEANHPRSHDCSSPPKLKHLCHNSVETQGKCSDGDGLHKEKHCHRSHGEPAATHHGIHHHSSHSSHDLEGSQKTTDNTTNCCSKSSCKNTIDIPKNEESLGEIVESSCSPQHQHQELHQELKKCCTGCAPPHTVIEIEPTTMHACMSMEKREIGGCCQSYMKECCSKHGHFATGFGGGLSEITIEQV >Potri.006G076900.1.v4.1 pep chromosome:Pop_tri_v4:6:5697370:5705437:-1 gene:Potri.006G076900.v4.1 transcript:Potri.006G076900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076900.v4.1 MAAELEKSNKAATKKLQKSYFDVLGLCCSSEVPLIENILKSLDGVKDFSVIVPTRTVIVFHDDLLISQLQIVKALNQARLEANVRAYGETKHQKKWPSPYAMACGVLLLLSLLKYVYHPLRWFAIGAVAVGILPICLKAVASLRNFRLDTNVLMLIAVIGTIAMDDYIEAGTIVFLFTIAEWLESRASHKASAVMSSLMSIAPQKAVIAETGEEVDADEVKLNTVLAVKAGEVIPIDGVVVDGNCEVDEKTLTGESFPVPKQVDSTVWAGTINLNGYMSVRTTALAEDCVVAKMAKLVEEAQNSKSKTQRFIDKFAQYYTPAVIIISASVAVIPLALRLHDRNRWFRLALVVLVSACPCALILSTPVATFCALTKAASAGLLIKGGDYLETLGKIKVMAFDKTGTITRGEFVVTDFQPLCNDISVDTLLYWVSSIESKSSHPMAAALIDYGKMHSIEPQPEKVEEFQNFPGEGIQGKIEGKDIYIGNRKIAHRASGTVPTLEGDKKTGKSVGYVYCGATLAGIFSLSDSCRTGVAEAIKELKSLGIKTAMLTGDSEAAAMYAHEQLEHALEVVHAELLPEDKATIIKELKKEGPTAMIGDGLNDAPALATADIGISMGISGSALATETGHVILMSNDLRKVPKAIRLGRKSHRKVIENVIMSMTTKSAILALAFAGHPLVWAAVLADVGTCLLVILNSMLLLRGTHAGKCSKSSGASHSHKHGTKNSSHNHRNCCSSQNVKKVECGAQKCCSSPKVEQVQSGALNSTCASRCCSSPKVEKGPSGSQNSSCTSGVCSSPKVEKVHSGAQNSSCASGCCSSQKVEKVQLAAQNSNCASGCCSSQKAEVKLVAQNPSCDSGCCSRPKVEKVQSEDQNSNCASRCCSSQKAEVKLVAQNPSCDSGCCSRPKVEKVQSEDQNSNCASRCCSSQKVVKEHCVAQSSSLASGCQSSQHSISSCRNQECADSAKSHDARVATNASGVHEAKHHDHSCFNTVNPNTEANHPRSHDCSSPPKLKHLCHNSVETQGKCSDGDGLHKEKHCHRSHGEPAATHHGIHHHSSHSSHDLEGSQKTTDNTTNCCSKSSCKNTIDIPKNEESLGEIVESSCSPQHQHQELHQELKKCCTGCAPPHTVIEIEPTTMHACMSMEKREIGGCCQSYMKECCSKHGHFATGFGGGLSEITIEQV >Potri.018G000600.1.v4.1 pep chromosome:Pop_tri_v4:18:67292:69209:-1 gene:Potri.018G000600.v4.1 transcript:Potri.018G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000600.v4.1 MAVTMKHMALAITALGVISFLLGVVAENKKPAAGTAITGKGVVICKYPSDPTVALGFLSVGFLLAASVVGYLSLFYPYKGKRVPNSALFQSTSFTIFFNVAVFTAGLAAALILWPTIQEHSHLSRTVHHDPDYQCPTAKTGLLGGGAFVSLDSALFWLVALMLADNAREDFFDETEKDVKGELNSEVLEGSA >Potri.018G000600.3.v4.1 pep chromosome:Pop_tri_v4:18:67372:69209:-1 gene:Potri.018G000600.v4.1 transcript:Potri.018G000600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000600.v4.1 MAVTMKHMALAITALGVISFLLGVVAENKKPAAGTAITGKGVVICKYPSDPTVALGFLSVGFLLAASVVGYLSLFYPYKGKRVPNSALFQSTSFTIFFNVAVFTAGLAAALILWPTIQEHSHLSRTVHHDPDYQCPTAKTGLLGGGAFVSLDSALFWLVALMLADNAREDFFDETEKDVKGELNSEVLEGSA >Potri.010G079700.1.v4.1 pep chromosome:Pop_tri_v4:10:10641945:10643991:1 gene:Potri.010G079700.v4.1 transcript:Potri.010G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079700.v4.1 MADEKKQNLENKPVLSPPLPERKKNTSPNFLLSVELKYVKLGYHYLVYNAMYLMLMPVLCVIFAHLSTFTVDELWNQLKFNFVTVVLSSTSIVFTATLYFMSRPRKVYLVDFSCYKPGPAHKVSRELFMQLSAASEVFTEQSLAFQKKILEKSGYGEMTYAPKGLMRVPPDQSMAESWRESEMVMFGAIDDLLAKTMVKPRDIGILVVNSSLFNPTPSLSARVVNHYKLRGNILSYNLGGMGCSAGLISIDLAKDLLQVHPNSYALVVSTENITRNWYFGNDRSMLVTNCLFRMGAAAVLLSNRTFDRRRSKYQLIRTVRTHKGADDKSFNCVLQREDLDTQRVGVSLSKDLMAIAGEALETNITTLGPLVLPVSEQLLFFVTLVAKKIFKMKIKPYIPDFKLAFEHFCIHAGGRGVLDELEKNLELTEWHMEPSRMTLYRFGNTSSSSLWYELAYSEAKGRIKKGDRIWQIGFGSGFKCNSAVWRAIRAIDPAKEKNPWMDEIDDFPVRVPRVAPLVY >Potri.001G135975.1.v4.1 pep chromosome:Pop_tri_v4:1:11062330:11063406:-1 gene:Potri.001G135975.v4.1 transcript:Potri.001G135975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135975.v4.1 MGKEESPQITRRVTRLSSSTAVTSNEVETEKITKPYKPTLNDFVFGGEQLSFNDLLSSFPARGNQIRELLRLLGPVNSPMLPLFVYGDPSTGKTSTILQIFSYLNRPFVYASCRTCYSLQILFESVLNQLLLHKKNAANGYSSTKRCIKPSDFVNFVREDLTSVIEKLRSLKKLGSNKSAGKPNGNMLYLIFDNLELIREWDKSSSALPFMFNLYDVLKMHEVGLIFISNTSSDTYYSNMGYTEHVPVYFPEYTEDDLRQILM >Potri.004G031200.1.v4.1 pep chromosome:Pop_tri_v4:4:2416538:2417433:1 gene:Potri.004G031200.v4.1 transcript:Potri.004G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031200.v4.1 MAKNHGILVCLLIIALDVVAGILGIEADMAQNKVKHLKMWVFECRDPSYQAFKLGLAAILFLPLAHIIANLLGGCTCMWSKEDFGKASATRKLAVASLFFSWIILAIGFTMLVIGTMANSKSRKSCGLSYHHILSIGGILCFIHGIFAVAYYVSATASARDHSRHRTPATSQA >Potri.016G081801.1.v4.1 pep chromosome:Pop_tri_v4:16:6306533:6306883:1 gene:Potri.016G081801.v4.1 transcript:Potri.016G081801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G081801.v4.1 MGGIGEVNTNLDSPLVIVGMMTTGMAVDCTAEKNAFKVKNRETYSGHYESEETQFSYYDNWSGYESWFDERKEDTNYDDKHEPRYAYSYSQDEMGSICEGFFGYLPCLTQTFSSFW >Potri.013G051500.1.v4.1 pep chromosome:Pop_tri_v4:13:3683669:3687678:1 gene:Potri.013G051500.v4.1 transcript:Potri.013G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051500.v4.1 MNDADVSKQIQQMVRFIRQEAEEKANEILVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVQVRKKIEYSMQLNASRIKVLQAQDDMVNSMKDGAGKELLNVSQNQHHYKNLLKDLIVQSLLRLKEPAVLLRCRKDDHNLVESVLHSAKDEYAEKANVFPPEIIVDHNIYLPPAPSHHNAHGLSCSGGVVLASRDGKIVFENSLDARLDVAFRKKLPEIRKLLVGQAV >Potri.016G071500.1.v4.1 pep chromosome:Pop_tri_v4:16:5207224:5208029:1 gene:Potri.016G071500.v4.1 transcript:Potri.016G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071500.v4.1 MSSKDCGSHGHRRRKIFRRIFAGILIFLLIVLIIILLVWAILRPSKPKFILQDATVYAFNVSSPNVLTSNFQVTLSSRNPNDKVGIYYDKLDVYATYRNQQITLRTSIPTSYQGHKEIDVWSPFIYGSAVPVSPYNSVALSQDQATGTVLLMIKIDGRVRFKVGTFISAKYHLNVRCPAYIQFGSRTSGIIVGENAIKYQLVTRCSVSL >Potri.001G145800.1.v4.1 pep chromosome:Pop_tri_v4:1:12023580:12025367:-1 gene:Potri.001G145800.v4.1 transcript:Potri.001G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX1 MSCDFSRNPFTMVFITLLVLVLQSLSTFGDEQLLVRDYYKETCPMVEEIVRYNLQFAVLKNPRMAASLLRLHFHDCFVMGCDASVLLDSYGGMVSEKQAGPNVNSLRGFEVIDRIKYQLEEACPLIVSCADILAIAARDAVAVRGGPGWEVYLGRKDSLKASFDGANQFIPAPNSSLETLIANFKQHGLDIGDLVALSGSHTMGKARCLSFRQQIHDESAEEHYDKYKRYTPFRRILRSICPKTGKDNQLAPLDFETPARFDNHYFLNILEGRGLLGSDNVLVTEDHEGEIRKQVWAYASDQKLFFASFANSMIKMGNINVLYGNEGEVRKNCRFVNT >Potri.006G038100.1.v4.1 pep chromosome:Pop_tri_v4:6:2497186:2497392:1 gene:Potri.006G038100.v4.1 transcript:Potri.006G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038100.v4.1 MVSYCRTSCFIMVMVVLTISILVLPLVLPPLPPPPLILLFVPLMILSLLVLLALRSSQMPNMDTTSAV >Potri.011G043800.2.v4.1 pep chromosome:Pop_tri_v4:11:3421149:3423048:-1 gene:Potri.011G043800.v4.1 transcript:Potri.011G043800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043800.v4.1 MEVKLWNDKREREMYDNFAELYAIIKATEKLEKGYVRDIISSSQYETECQKLIAHFKTLASTFKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASSTTSAAVVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLSILPPDFEGKTKMKEWILRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >Potri.011G043800.4.v4.1 pep chromosome:Pop_tri_v4:11:3421150:3423019:-1 gene:Potri.011G043800.v4.1 transcript:Potri.011G043800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043800.v4.1 MEVKLWNDKREREMYDNFAELYAIIKATEKLEKGYVRDIISSSQYETECQKLIAHFKTLASTFKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASSTTSAAVVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLSILPPDFEGKTKMKEWILRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >Potri.011G043800.1.v4.1 pep chromosome:Pop_tri_v4:11:3421209:3422883:-1 gene:Potri.011G043800.v4.1 transcript:Potri.011G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043800.v4.1 MEVKLWNDKREREMYDNFAELYAIIKATEKLEKGYVRDIISSSQYETECQKLIAHFKTLASTFKDTVPSIERFADTYKMDCPAAINRLVTSGVPATVEHRAAAAASSTTSAAVVAECVQNFITAMDSLKLNMVAVDQVHPLLSDLSASLNKLSILPPDFEGKTKMKEWILRLSKMGAADELTEQQARQLHFDLESSYNSFMAALPSAGT >Potri.019G059300.2.v4.1 pep chromosome:Pop_tri_v4:19:9846682:9849479:1 gene:Potri.019G059300.v4.1 transcript:Potri.019G059300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059300.v4.1 MFPSKIIQKSTKKQQETMDDTISLILHGCKLAKDLELSLANSASQPETLSRSCEEIIRVFANAKERLHVHQLGTTFYPHPMLFREPQDLQQQQNIDPILQEWLGTSCTSAETLFHQTQGVMAESALGLIESKIGGGIQMGSGGLAIESGSRSDVQAVDASDSGRGSSSSSKRPRIRNDDAEKRTVRVPAQQFGNTEIPPEDGFSWRKYGQKEILGSKFPRAYYRCTNQNLYHCPAKKQVQRLDDDPCRLEVVYRGEHTCHLSATAPSAPPPAPGITQEMAQTMIGQPQPSTATSLGRWVLEFRLGPGGGAGSSSSSSMAAGSSGGAAGPSTVGRYGKEADYPITDMADAMFNSGSSSTNSMELIFPSISEEKRDQPSDKNN >Potri.001G119000.5.v4.1 pep chromosome:Pop_tri_v4:1:9674125:9674469:-1 gene:Potri.001G119000.v4.1 transcript:Potri.001G119000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119000.v4.1 MASSLKISILAMMVVVFFSSATTLTRAQDQSTSCASKLVPCQAYLSTTTQPPDSCCNSIKEAVANELPCLCKLYNDPNLFQSLGINVTQAVMLSQRCGVTTNLTSCSGIVDSEF >Potri.001G119000.1.v4.1 pep chromosome:Pop_tri_v4:1:9672566:9674560:-1 gene:Potri.001G119000.v4.1 transcript:Potri.001G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119000.v4.1 MASSLKISILAMMVVVFFSSATTLTRAQDQSTSCASKLVPCQAYLSTTTQPPDSCCNSIKEAVANELPCLCKLYNDPNLFQSLGINVTQAVMLSQRCGVTTNLTSCSASAPTPAGSAVPGNDGDNGGSRMSLSTGLSGLLVLLVASLLH >Potri.001G119000.2.v4.1 pep chromosome:Pop_tri_v4:1:9672566:9674495:-1 gene:Potri.001G119000.v4.1 transcript:Potri.001G119000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119000.v4.1 MASSLKISILAMMVVVFFSSATTLTRAQDQSTSCASKLVPCQAYLSTTTQPPDSCCNSIKEAVANELPCLCKLYNDPNLFQSLGINVTQAVMLSQRCGVTTNLTSCSASAPTPAGSVPGNDGDNGGSRMSLSTGLSGLLVLLVASLLH >Potri.004G101400.1.v4.1 pep chromosome:Pop_tri_v4:4:8849669:8851334:-1 gene:Potri.004G101400.v4.1 transcript:Potri.004G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101400.v4.1 MRRKKMAAISFDPRINRQTSKNSHGVIIEEIEGLIRVYKDGHIERPPIIPNVPCNVATVNDVTAKDVVIDKFTNLWARIYVSNRSGTLPLLVYFHGGGFCVGSAAWSGYHEFLANLASKASCIILSINYRLAPENRLPTAYDDGIDAFTWVKQQALNGSLEHKWWLSRCNFSSMFLAGDSAGANIAYNVATRLESRYNPESMTKPSCFKGIILIQPFFGGEARTLSEKNMTQPANSALTLSASDTYWRLSLPLGSNRDHPYCNPLANGASKLRDLRLPTIMVGISELDILKDRNSEFCSALTRAGKRVETVTYKGVGHAFQILHNSHLSHTRVQEMASHIKTFINQ >Potri.003G056900.1.v4.1 pep chromosome:Pop_tri_v4:3:8353120:8357629:1 gene:Potri.003G056900.v4.1 transcript:Potri.003G056900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056900.v4.1 MAQPLGLEITELRLGLPGSDDGHKNDKKRVFSEVSGEANSTTDDRKVQTKSQVVGWPPVCSYRKNISFNERDRHHETSKIYVKVSMDGAPFLRKIDLGMHKEYSDLVVALERLFGCYGIGKALKDEYVPIYEDKDGDWMLVGDVPWEMFFESCKRLRIMKSSEAKGFGLQPRGALKGISKDDRH >Potri.009G057600.1.v4.1 pep chromosome:Pop_tri_v4:9:6042558:6047545:1 gene:Potri.009G057600.v4.1 transcript:Potri.009G057600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057600.v4.1 MSGEGKVVSVTGASGYIASWLVKLLLERGYTVKASVRDPNDAKKTEHLLALDGAKERLQLFKADLLDEGSFDPVVEGCECVFHTASPFYFTVNDPQAELVDPALKGTVNVLRSCTKIPSIKRVVITSSMAAVVFNGKSLAPDVVVDETWFSDSDFCEKSKLWYHLSKTLAEEAAWKFTKENGIDMVTLNPGLVIGPLLQPTLNQSAESVLDLINGAKSYPNTTYRWVDVRDVANAHIYALENPSANGRYCLVGTVIHSSEAVKILSKLYPDLTIPKQCADDKPPMPKYQVSKERAASLGVKYTPLEASLKDTIESLKEKNFVSF >Potri.013G087100.1.v4.1 pep chromosome:Pop_tri_v4:13:8164180:8167199:-1 gene:Potri.013G087100.v4.1 transcript:Potri.013G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087100.v4.1 MASVFLYHVVGDLTVGKPEMVEFYETETVESAIRAIGESTECGIPVWKRKSHVSMIETSEMRQQRFVGILNSLDIVAFLASTECLEDQDKAIKTSVSQVVVPNASLLKQVDPATRLIDALEMMKQGVRRLLVPKSMVWKGMSKRFSFLYNGKWLKNADASNNSSNNNLTINTNRPSSSSGTSNRNKFCCLSREDVIRFLIGCLGALAPLPLSSISSLGVINPNYTSVEASLPAFEATRKLHGDPSEVAVVEPIPDGQCKIIGEISASRLWKCDYLAAAWALANLSAGQFVMGVEDNETARSLLDFAVNSAVGDESTANGIGSTRLREFSSRSIGFNPGSSIRMGRSMYRGRSAPLTCKITSSLAAVMAQMLSHRATHVWVIEDDSDDILVGVVGYADILAAVTKQPASVTVNRPEGGFTTEFQN >Potri.013G087100.2.v4.1 pep chromosome:Pop_tri_v4:13:8164165:8165274:-1 gene:Potri.013G087100.v4.1 transcript:Potri.013G087100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G087100.v4.1 MSKRFSFLYNGKWLKNADASNNSSNNNLTINTNRPSSSSGTSNRNKFCCLSREDVIRFLIGCLGALAPLPLSSISSLGVINPNYTSVEASLPAFEATRKLHGDPSEVAVVEPIPDGQCKIIGEISASRLWKCDYLAAAWALANLSAGQFVMGVEDNETARSLLDFAVNSAVGDESTANGIGSTRLREFSSRSIGFNPGSSIRMGRSMYRGRSAPLTCKITSSLAAVMAQMLSHRATHVWVIEDDSDDILVGVVGYADILAAVTKQPASVTVNRPEGGFTTEFQN >Potri.010G101100.1.v4.1 pep chromosome:Pop_tri_v4:10:12390829:12394606:1 gene:Potri.010G101100.v4.1 transcript:Potri.010G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101100.v4.1 MESNPVQLFHHLALALLLFMFSVSVSVTEQGLVPSIRTDAAALLSFKKMIQNDPQGVLSGWQINRSPCVWYGVSCTLGRVTHLDLTGCSLAGIISFDPLSSLDMLSALNLSLNLFTVSSTSLLHLPYALQQLQLCYTGLEGPVPENFFSKNPNLVYANLSHNNLSELLPDDLLLNSDKVQTLDLSYNNFTGSFSGLKIENSCNSLSQLDLSGNHLMDSIPPTLSNCTNLKNLNLSFNMLTGEIPRSFGKLSSLQRLDLSHNHITGWIPSELGNACNSLLELKISYNNISGPVPVSLSPCSLLQTLDLSNNNISGPFPDSILQNLASLERLLLSYNLISGSFPASISYCKSLKIVDLSSNRFSGTIPPDICPGAASLEELRLPDNLIIGEIPAQLSQCSKLKTLDFSINFLNGSIPAELGKLENLEQLIAWYNSLEGKIPPELGKCRNLKDLILNNNNLSGIIPVELFRCTNLEWISLTSNQFTGEIPREFGLLSRLAVLQLANNSLSGEIPTELGNCSSLVWLDLNSNKLTGEIPPRLGRQLGAKALSGILSGNTLVFVRNVGNSCKGVGGLLEFAGIKAERLLQVPTFKTCDFTIMYSGAVLSRFTQYQTLEYLDLSYNELRGKIPDEIGDMMALQVLELSHNQLSGEIPASLGQLKNLGVFDASHNRLQGQIPDSFSNLSFLVQIDLSSNELTGEIPQRGQLSTLPATQYANNPGLCGVPLTPCGSGNSHTASNPPSDGGRGGRKTAAASWANSIVLGILISIASLCILIVWAIAVRVRHKEAEEVKMLKSLQASYAATTWKIDKEKEPLSINVATFQRHLRKLKFSQLIEATNGFSAASLIGCGGFGEVFKATLKDGSSVAIKKLIRLSCQGDREFMAEMETLGKIKHRNLVPLLGYCKIGEERLLVYEFMEFGSLDEMLHGRGRARDRRILTWDERKKIARGAAKGLCFLHHNCIPHIIHRDMKSSNVLLDNEMEARVSDFGMARLISALDTHLSVSTLAGTPGYVPPEYYQSFRCTAKGDVYSFGVVLLELLTGKRPTDKDDFGDTNLVGWVKMKVREGKQMEVIDPELLSVTKGTDEAEAEEVKEMTRYLEISLQCVDDFPSKRASMLQVVAMLRELMPGSASGSSNSG >Potri.018G111200.1.v4.1 pep chromosome:Pop_tri_v4:18:12869156:12874791:1 gene:Potri.018G111200.v4.1 transcript:Potri.018G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111200.v4.1 MESSRGRRVEKRGYEQGVDDEADNLPESKKAKLPALASVIVEALKVDSLQRLCSSLEPLFRRIVSEEVERALTRLGPAKLAGGSSPPKLPGPNGNDLQLHFRTRMPPHLFTGGKVEGEQGATIHVVLLDASTGTVMQTGPESAAKLNVVVLEGDFNDEADEGWTAEHFESHEVKEREGKRPLLTGDLQVSLKEGVGTLGDLTFTDNSSWIRSRKFRLGVKVSPGYCEGIRVREAKTEAFAVKDHRGELYKKHYPPALQDEVWRLDRIAKDGALHKKLVMADITTVEDFLRVLFRDSQKLRNILGSGMSNRMWENTVEHAKTCVLGGKLYVYYAAGTHSTGVVFNNVYEPRGLISDGQFLSLDSLNHNQKISVDSLVKRAYENWHHVVEYDGKVLNSLASNKGGKGASAAPIVDNSYERNQYITSDQNKQQFIPSEPSPQYQAIINHPSVPQLIKFPFVRSDQNAAMTLNNPQAALSGGTDYMSVGTPAGDTYFPGDWSRPRTGNGLEDFFTEEIRVRSSEMLESDDMQRLLKTLGMGGVGMGPGFVQPDEPCYSYSVQAYEPQMDQTYAQERGKGSKAVVGWLKLKAALRWGIFVRKKAAERRAQLVELD >Potri.001G177300.5.v4.1 pep chromosome:Pop_tri_v4:1:15384754:15388527:1 gene:Potri.001G177300.v4.1 transcript:Potri.001G177300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177300.v4.1 MDTHFFSKSGSGYSWIQGSSVHQNSTSSNKRMQGPLCSVFPSTPAQVSPVEDLYEFICSGPLISKLGLTSERIADSIDKWLSHGSRLCRLFQLNELYLTAPQKARLYHYYIPVFVWCEDKISKHVSQFKDSEHIPPLVIGFSAPQGCGKTTLVFALSYLFQTTGRKSATLSIDDFYLTAEGQANLREANPGNALLEFRGNAGSHDLPFSIETLSALSKLKKAGMNMKLPRYDKSAYSGRGDRADPSTWPEVEAPLTVVLFEGWMLGFKPLPVEVVQAVDPQVNFIYKCLGILFIQLEIVNKNLEAYYDAWDKFVKAWVVIKIQDPSCVNQWRLQAEIAMREAGNPGMTDEEVKDFVSRYLPAYKAYLPTLYAEGPRGSHPENLLLIEIDEGRNPILGN >Potri.001G177300.4.v4.1 pep chromosome:Pop_tri_v4:1:15384754:15388527:1 gene:Potri.001G177300.v4.1 transcript:Potri.001G177300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177300.v4.1 MDTHFFSKSGSGYSWIQGSSVHQNSTSSNKRMQGPLCSVFPSTPAQVSPVEDLYEFICSGPLISKLGLTSERIADSIDKWLSHGSRLCRLFQLNELYLTAPQKARLYHYYIPVFVWCEDKISKHVSQFKDSEHIPPLVIGFSAPQGCGKTTLVFALSYLFQTTGRKSATLSIDDFYLTAEGQANLREANPGNALLEFRGNAGSHDLPFSIETLSALSKLKKAGMNMKLPRYDKSAYSGRGDRADPSTWPEVEAPLTVVLFEGWMLGFKPLPVEVVQAVDPQLEIVNKNLEAYYDAWDKFVKAWVVIKIQDPSCVNQWRLQAEIAMREAGNPGMTDEEVKDFVSRYLPAYKAYLPTLYAEGPRGSHPENLLLIEIDEGRNPILGN >Potri.013G072000.4.v4.1 pep chromosome:Pop_tri_v4:13:5905256:5907037:1 gene:Potri.013G072000.v4.1 transcript:Potri.013G072000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G072000.v4.1 MEDLLDFFVIEKLNPDGKKYDKVSRIVARSEKGDLYMVLDVHTEIYPIEEKSRYLVLLTETLNTDGTPVDPRNAQGKQPSKEDKFEYVTHGKLYKIDKEGSGADFKLEIYISFGGLQLLLRGSPSSLARFQLDKNYFMLMRKM >Potri.003G175432.1.v4.1 pep chromosome:Pop_tri_v4:3:18253690:18255823:1 gene:Potri.003G175432.v4.1 transcript:Potri.003G175432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175432.v4.1 MRAEVYLSIATMKCNRGRDGYIKELSFYVNTQLIRIPNCQNRQFFPKRSFQLVTLFKNSNTTFSHAGKPKLDQGVYLYSKLLSLWKLQDLCYSTCLHGHCAIIPGKRTCFHHSSAMTIVERDFTQRCRELFLESFLDSSSDPCTG >Potri.009G053900.1.v4.1 pep chromosome:Pop_tri_v4:9:5801883:5804890:-1 gene:Potri.009G053900.v4.1 transcript:Potri.009G053900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MYB21 MRKPEASGKNNVNNINKFRKGLWSPEEDDKLMNYMLNNGQGCWSDVARNAGLQRCGKSCRLRWINYLRPDLKRGAFSPQEEEMIIHLHSLLGNRWSQIAARLPGRTDNEIKNFWNSTIKKRLKNLQSSNASPNTSDSSSEPSKDVMGGLMSTMQEQGIFSMNMDPSMSSSSSLATSMKAMILNTMMDPLLPMLDYDHGLNMYGGASGYESITAPPCMAQVGVLNSGDHGFYGEGIFEGINVEIPPLESVSCMEENAKTQNIQDNNTDKYSYSSPVNSLYHKNCNITSNNKTDSIAADQMGNLWHGSEELKVGEWDLEELMKDVSAFPFLDFQ >Potri.005G112300.1.v4.1 pep chromosome:Pop_tri_v4:5:8134172:8138214:1 gene:Potri.005G112300.v4.1 transcript:Potri.005G112300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112300.v4.1 MGCCYSRIEREEMVSRCKARKRYMKQYVKARQSLSASHAMYIRSLRSTGSALLQFSSNESDHHLRLPPIHPSPPPLPPTPPPPPPPPMSPSSDTWTTTTTTTAASPLPPPPPPPPVAGSGWDFWDPFVPPPPISVEGQEWEEVTTTITTSEMVAVAPPSVASRFPKENASGSGSELAMVVSRNSKDLVEIIKEVDEYFLKAADAGGQLSRVLEVSSPNLSARQGKGGRVYNYGCNLTSPSSWTWGSSPKLDGFGKMSEEMVVSHVGSGGVAHVSHCSTVERLYAWEKKLFIEVKNAESLKIEHEKKVALLRKLEARRADYVKTEKIKKEVEKLESQMIVATQGIETTSAEIIKLRETELYPQLLELVKGLMCMWRGMYESHQVQTHIVQQLKYLNAIPSTEPTSEIHRQSTLQLELEVQQWHHSFCNVVKAQRDYIQSLTGWLRLSLFQFSKNPISRTSQESRIYSLCEEWNHAVDRIPDKVASEGIKSFITVIHAIVVQQAEEHKQKKKSESAFKEFEKKVAELRALESKYGPYSMPETSSTIRIKDPVTEKRAKVEILRARAEEEKSKHEKLISVTRSMTSNNLQMGFPHAFQAMVGFSSVCMNVFESVYNQAKNADQEHDVKREELDLG >Potri.008G108201.1.v4.1 pep chromosome:Pop_tri_v4:8:6859403:6863067:1 gene:Potri.008G108201.v4.1 transcript:Potri.008G108201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108201.v4.1 MDSRREEMADCNRNEDFYGSQEIQVASMTKKYGGLAPKKKPLISKDHERAFFDSADWALCKQAAGVNQTSTVAIETLRPKLQRTPHHTLPPRRPACTSGSNADLD >Potri.008G108201.5.v4.1 pep chromosome:Pop_tri_v4:8:6861431:6862847:1 gene:Potri.008G108201.v4.1 transcript:Potri.008G108201.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108201.v4.1 MTKKYGGLAPKKKPLISKDHERAFFDSADWALCKQAAGVNQTSTVAIETLRPKLQRTPHHTLPPRRPACTSGSNADLD >Potri.010G218300.2.v4.1 pep chromosome:Pop_tri_v4:10:20468526:20469263:-1 gene:Potri.010G218300.v4.1 transcript:Potri.010G218300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218300.v4.1 MVAKEMSLRQLQEDSELQDFDDEAETLSLCDLPLYNCASDWDDFSKEDQNLETSFDQDFFEFFSEDFAASAYPSDNIIFCGKLIPYRGETVEEKAENLAGSTKKAKDSKKSFRFPWKSSSFNKPRTTPSKQLQEKSDKALQVPLSENHGLATRKCDDKNDFSMKKVSILVTPVKPRWYFSAFGVGRFPMEMELSDIKTRQNKKSPSKMFQSEKGIEMSSKKRGKGLWSLLRVLRHNSHHSCAMAN >Potri.007G099600.4.v4.1 pep chromosome:Pop_tri_v4:7:12424075:12427574:-1 gene:Potri.007G099600.v4.1 transcript:Potri.007G099600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099600.v4.1 MDPMESPGGQPSPVPRKKMTKQLTGKRDDTPLHSAARAGNLGAVMEILTGTGEEELKELLEKQNQSGETALYVAAEYGYVDVVREMIKYYDLADAGIKARNGFDAFHVAAKQGDIEILRVLMEAHPELSMTVDLSNTTALHTAATQGHIEIVNFLLDAGSSLSTIDKSNGKTALHSAARNGHVEVVRALLTMEPGIATRIDKKGQTALHMAVKGQNIEVVEELIVADPSAVNRIDTKGNTPLHIATRKGRAQIVKLLLRHSRTDVKAVNRTTETALDTAEKTGHPEIAVILQEHGVQSAKTIQPLEINPARELKQTVSDVKHEVHHQLEHTRQTRKRVKGIAKRLNKMHVEGLNNAINSTTVVAVLIASVAFAAIYTVPGQYVDDPNEIPPGQSLGEANIAPKVPFIIFFIFDSTALFISLAVVVVQTSVVAIESKAKKKMMAVINKLMWIACTLVSVAFLALSFVVVGEREKWLAIGVTIVGASIMATTFGTMCYWVIKHRIEASNMKNIRRSSLGSRSRSFSVSLVSDTEIVNSDYKKMYAI >Potri.007G099600.2.v4.1 pep chromosome:Pop_tri_v4:7:12424074:12427386:-1 gene:Potri.007G099600.v4.1 transcript:Potri.007G099600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099600.v4.1 MDPMESPGGQPSPVPRKKMTKQLTGKRDDTPLHSAARAGNLGAVMEILTGTGEEELKELLEKQNQSGETALYVAAEYGYVDVVREMIKYYDLADAGIKARNGFDAFHVAAKQGDIEILRVLMEAHPELSMTVDLSNTTALHTAATQGHIEIVNFLLDAGSSLSTIDKSNGKTALHSAARNGHVEVVRALLTMEPGIATRIDKKGQTALHMAVKGQNIEVVEELIVADPSAVNRIDTKGNTPLHIATRKGRAQIVKLLLRHSRTDVKAVNRTTETALDTAEKTGHPEIAVILQEHGVQSAKTIQPLEINPARELKQTVSDVKHEVHHQLEHTRQTRKRVKGIAKRLNKMHVEGLNNAINSTTVVAVLIASVAFAAIYTVPGQYVDDPNEIPPGQSLGEANIAPKVPFIIFFIFDSTALFISLAVVVVQTSVVAIESKAKKKMMAVINKLMWIACTLVSVAFLALSFVVVGEREKWLAIGVTIVGASIMATTFGTMCYWVIKHRIEASNMKNIRRSSLGSRSRSFSVSLVSDTEIVNSDYKKMYAI >Potri.019G079000.1.v4.1 pep chromosome:Pop_tri_v4:19:11965964:11968149:1 gene:Potri.019G079000.v4.1 transcript:Potri.019G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079000.v4.1 MGFVSISSFAFSITTTTTGTTRKPSLHHHYSHSNRLHFLSKCSPLLPRKCSVNLLRSCRGNTACRASSSLLKIEDDIDDEACELVSGLEISIGEGDDSIDAYLLKAMKNNNGTGILLLSDIFGFEDSSTRDFAYRVACNGYNVLIPDLFRGDPWTKDQPMTLLEKWITKQEPQRVAKDIDTSAKWMVDEFLAAGISKKLGIIGFCFGGGRVIDALSRDQGALFGVGVSFYGTRMNPSLASSIKVPVLFISGDNDPLCTVSVLKDFEKSIGQGSKVVIFEGRGHGFAHRPNSPEEDKDADEAFTIIRKWLHDGLVLQN >Potri.008G175100.1.v4.1 pep chromosome:Pop_tri_v4:8:12135919:12136939:1 gene:Potri.008G175100.v4.1 transcript:Potri.008G175100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175100.v4.1 MAEVVKLLGAWSSPFVFRVIWALKIKGIPYEFIEEDVTNKSPLLLKNNPVLKKIPVLLHGEKPVCESMIIVEYIDEIWPQNPLLPNDPYERALARFWVKFTDDKCSSVWQISLAQGEELEKRVKETVDLLQTVEEHGLGEKKFFGGDNVGIADIAFGAVIHWLKIIEEIVGIKVFEAHKFPRLHEWIENFKQLPAVKENLPDWDWIVSYFKSHREERLASA >Potri.018G073100.4.v4.1 pep chromosome:Pop_tri_v4:18:9003034:9007338:1 gene:Potri.018G073100.v4.1 transcript:Potri.018G073100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G073100.v4.1 MDGLEESSSKAAEAVLEILRTRGWSLGGIDQLNALIIIHSALSDDGDPCTVANAVESELLNMDLRSIGLKSLPDPNLLNKTSYLQGPKILQISAVRDISVSSIEGFPNSSKRRLLKLGLTDGHNEITAIEYSHIPSIPNDIAPGSKVRLDNKAPLHNCIVCLNPKVITVIGGIVQSLHEEWQMNQKYSGFSRSSLRLSQETDNGGPPPFEKLQIGAPSRRSSRQVIRSVSYEEKANIPDYFKSTSNSTVQISTETAGNTKVLPLDAQRKADSVDDKVRVSSLRTSYKENPRNLQWRSKEDYNGSTSKSNVPTVAKTSGNAELRPMDSEQKVDDDKEKTVSLNESLEQKPNDSSARQKEVIETVPVQNQAASQKLLLKMNHHPNQGDRHSKGQKYRGKNKQEESQVFTLEEWEKSNAGRLTKNDFPDTSCDEDLASKLQDQLDVEDFHVHRRTHNKVVDDIRMSMFSYERDGNKVHEMEHGGRGRGRGRGGGRGRGGGRRRYN >Potri.009G019600.1.v4.1 pep chromosome:Pop_tri_v4:9:3185543:3186504:-1 gene:Potri.009G019600.v4.1 transcript:Potri.009G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G019600.v4.1 MSKEVEDCGHHDAENKHHRHIFIGILAVIITILVVIFLVWIVLQPHNPRFILQDTTIYGLNLSDPNFLSSNMQVTISTKNPNDKIGIYYEKLDIYASYHNQQITLATELPPTYQGHNDVSVWSPFLYGDAVPVSPYLAVSINQDVNAGVLLFNIKINGKLKWKVGSWLSGRYRIFVNCPAYITLGSRSNGINVGTGIKYQIVQHCHVDV >Potri.014G127400.1.v4.1 pep chromosome:Pop_tri_v4:14:8555114:8558290:1 gene:Potri.014G127400.v4.1 transcript:Potri.014G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127400.v4.1 MLPSKARRKVAPANGDADNSADKQDQLLLSSAVCNGEDLGPFVRKAFASGKPETLLHNLRHFARSKESEIEEVCKAHYQDFILAVDDLRSLLSDVDSLKSALSDSNSKLQSVARPLLTSLDSYLEAQTGSNNVNLALSLIFSCIKLLELCSRCNFHLSGGNFYMALKCVDSIETDFLDKTPSSTLKRMLEKKIPEIRSHIERKVSKEFGDWLVDIRVACRNLGQLAIGQASAARQREEDLRIKQRQAEEQSRLSLRDCVYALQEEEEDDGLSGVIGDDGNSNGGGNGLLGFDLTPLYRAYHIHQTLGLEDRFKQYYFENRKLQLTSDFQVSSMTPFLESHQTFFAQIAGFFIVEDQILRTGGRLISRMEVENLWETAVSKMCSVLEDQFSRMQTANHLLLIKDYVSLLGVTLRRYGYPVDSLLDVLSKHRDKYHELLLSDCRRQIAEALSADKFEQMLMKKEYEYSMNVLSFQLQTSDIVPAFPYVAPFSSTVPDCCRIVRSFIEDSVSFMSYGGQLEFFDVIKKYLDRLLSEVLDEALLKLINTSVHGVSQAMQVAANMAVLERACDFFFRHSAQLSGIPLRMAERGRRRFPLNNARDAAEEMLSGLLKQKVDGFMMLIENVNWMADEPTQGGNEYVNEVMIYLETLVSTAQQILPTPVLKRVLQEVLSHISEMVVGALLGDSVKRFNVNAIMGIDVDIRLLESFADNQASLFSEGDANQLKTALAEARQLVNLLLSNHPENFLNPVIRERSYNMLDHRKVMTISEKLRDPSDRLFGTFGSRGARQNPKKKSLDALIKKLRDVS >Potri.002G103132.2.v4.1 pep chromosome:Pop_tri_v4:2:7547050:7550170:-1 gene:Potri.002G103132.v4.1 transcript:Potri.002G103132.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103132.v4.1 MHQGCVNSIAWNSKGSLLISGSDDIRVNIWSYTGRKLLLSIDTGHSANIFCTKLVPETSDELVVSGAGDAEVCLFNFSHLSGRGPDDNSIAPSALYQCHTRRVKTLAVEVGNPNVVWSASEDGTLRQHDFREGAACPPGGSYPHECRNILSGAKRSLADPPKQTLALKSCDISTSRPHLLLVGGSDAFARLYDRRMLPPLTSHRKRMNPPPCANYFCPMHLSEHLGGLLFDILWEMLQN >Potri.011G063100.1.v4.1 pep chromosome:Pop_tri_v4:11:5337650:5338330:-1 gene:Potri.011G063100.v4.1 transcript:Potri.011G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063100.v4.1 MGGSDDDDHKKRVSQKVMLAMFLCSFGFVLLLVLLHLYSRHRLRSQRRRHAIFFHGLGRQTTPDIEESIEPPKRGLDPLVISSLPLFTYKLADQTDHGEPVECSICLGTIVEGDTVRVLPNCKHTFHVECIDMWLGSHSTCPICRTDAEPMIQPAGEKAMNSGAEPSAPPIEENMVHGGDQIEKEGGSDLRVTSFRGIPTRERSSRTRSCRDEIGAEDDEYYVIGK >Potri.015G116900.1.v4.1 pep chromosome:Pop_tri_v4:15:13141419:13142913:1 gene:Potri.015G116900.v4.1 transcript:Potri.015G116900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116900.v4.1 MRRNPMAGSASITSHTMDDDLHMKLLYLENRVAFARLFFPTEAKLAMDIAHAAPTPEFAGLAASKGSDGNLRGDDLDETPIMQNIRLRSRMEALMKTVEMGRRYFPNCSEVLDKFMEDDLPDLFYHEKGTPDEQRIKRTRFMELKEDVQGAFIRDKAEINTTNC >Potri.002G025800.1.v4.1 pep chromosome:Pop_tri_v4:2:1720192:1722592:1 gene:Potri.002G025800.v4.1 transcript:Potri.002G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025800.v4.1 MALLDFLILSVPIFLLFLLIKRNKTTKKACLPPGPDGLPFIGNLHQLGNSNLHQYLWKLSQKHGPLVYLRLGFKPALIVSSAKMAREILKTHDLEFCSRPALTVMKKLSYNGLDLALAPYGAYWREVKKICVVRVFSSIRAQSFRPIREDEVSRMIENISKSALASKPFNLTEELVSLTSTTICRVAFGKRYEIGGSDKNRFLELLHEIQAMVSSFFLSDYFPCLGWLVDKLTGLSYRLEKSFKEFDAFFKGIIDDKLDPNRPKPEREDTILDFLLQIYKDGSFKVQLTLDHIKAILMDIFLAGTDTSAVTMNWAMTFLMKNPKAMRKAQEEVRNLFGNKGFVHEDDVQQLPYLKAVVKETMRLQPTAPLLIPRETTKECCVGGYEIPAKTLVYVSAWAVGRDPEAWENPYEFNPDRFLGSSIDLKGNDFELIPFGAGRRICPGIFIALATVELSLANLLHKFDWEMPSGVEDIDMDDVLPGLVPHMRDALCLVPKFVCDGETGHKGTAVHDY >Potri.016G072300.2.v4.1 pep chromosome:Pop_tri_v4:16:5368965:5375074:1 gene:Potri.016G072300.v4.1 transcript:Potri.016G072300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072300.v4.1 MSGGAKASTRPAATAPPSGSILPPLARHLAFATMKPPFLPPDDYHRFSSPSTSRVAADRDAEAIVVRSPRLKRKSGLADNGVSSSNHVSSPGCSNISNSPFRTPASAKGGRTYNNSKTSKGNGAGPQTPVSKADCSSPLTPAGSCRYDSSLGLLTKRFVDLFKNADDGILDLNIAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGIDASRPRQVEGDATLLQEEIEKLTMEEHKLDDQIREMQERLRDMSEEENNQKWLFVTEEDIKSLPCFLNETLIAIKAPHGTTLEVLDPDEAVDCPQRRYRIILRSSMGPIDVYLVSQFEEMNSVDASAFPHTSSSASHGNPVTEMTTGVRTEKRADPLAQQAPTTFSDPNATQDLGGMMKIVPSDINNDSDYWLLSDADISITDMWKTDSNIEWTDFGVADVPTPRTQTL >Potri.016G072300.1.v4.1 pep chromosome:Pop_tri_v4:16:5368824:5376011:1 gene:Potri.016G072300.v4.1 transcript:Potri.016G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072300.v4.1 MSGGAKASTRPAATAPPSGSILPPLARHLAFATMKPPFLPPDDYHRFSSPSTSRVAADRDAEAIVVRSPRLKRKSGLADNGVSSSNHVSSPGCSNISNSPFRTPASAKGGRTYNNSKTSKGNGAGPQTPVSKADCSSPLTPAGSCRYDSSLGLLTKRFVDLFKNADDGILDLNIAAETLEVQKRRIYDITNVLEGIGLIEKTLKNRIRWKGIDASRPRQVEGDATLLQEEIEKLTMEEHKLDDQIREMQERLRDMSEEENNQKWLFVTEEDIKSLPCFLNETLIAIKAPHGTTLEVLDPDEAVDCPQRRYRIILRSSMGPIDVYLVSQFEEMNSVDASAFPHTSSSASHGNPVTEMTTGVRTEKRADPLAQQAPTTFSDPNATQDLGGMMKIVPSDINNDSDYWLLSDADISITDMWKTDSANIEWTDFGVADVPTPRTQTL >Potri.007G062850.1.v4.1 pep chromosome:Pop_tri_v4:7:7655278:7656296:-1 gene:Potri.007G062850.v4.1 transcript:Potri.007G062850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062850.v4.1 MLHIFCDICIKAIDMGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAFAWAPSSGVPAGSGVDPGTSNADIADDGLEEGSGDSEEDVIPDFQTDMARMVGGIHMSSSTNTKSGEKRKERDHYDVRGRKKKTSGIGVKLLTRCNHLLESMSTKSDSTSVNMDREGCSIPEVMAELHSIPGVSVDDDFHDFATEYLSLRRKREMWSSMGDMQQKLRWLQRMYERSKRA >Potri.012G008845.1.v4.1 pep chromosome:Pop_tri_v4:12:353973:354077:1 gene:Potri.012G008845.v4.1 transcript:Potri.012G008845.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G008845.v4.1 MLFEFYVIVFLLQDLQQELSAKFVISIPIISQVC >Potri.004G224550.1.v4.1 pep chromosome:Pop_tri_v4:4:22922858:22923253:-1 gene:Potri.004G224550.v4.1 transcript:Potri.004G224550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224550.v4.1 MQEESECSKALEEESKCSKRKARAQRRSRKKVRAQRRARREKARAQRRARREARAQRRARREKARAQRRARREKARAQRRARREARAQRRARREKARAQRRARREARAQRRARREKARAQRRARRCSRKGR >Potri.019G017300.1.v4.1 pep chromosome:Pop_tri_v4:19:2795346:2795863:-1 gene:Potri.019G017300.v4.1 transcript:Potri.019G017300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017300.v4.1 MESLHKPVTNINGHILLLFLQQPKMGAISSLLCFFLIHFLLLSSHSSYICRAFEWEAPSRSSSDAISSNDFPNYKFRVWRRRIPSPPPPRVNPPLHFSPPPPQAPPPPPY >Potri.001G157000.1.v4.1 pep chromosome:Pop_tri_v4:1:13202109:13203631:-1 gene:Potri.001G157000.v4.1 transcript:Potri.001G157000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157000.v4.1 MVKIAFGSLGDSFSVGSLKAYLSEFIATLLFVFAGVGSAIAYSKLTTDAALDPPGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITILTGLLYWIAQCLGSIAACLLLKFATSAESIPTHGVASGMSAVEGVVMEIVITFALVYTVYATAADPKKGSIGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQNWIYWLGPLIGGGLAGLVYGDIFIGSYTAAPVSEDYA >Potri.013G052300.1.v4.1 pep chromosome:Pop_tri_v4:13:3751246:3752330:-1 gene:Potri.013G052300.v4.1 transcript:Potri.013G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052300.v4.1 MTSSIPNAQTVLLVHFLATFVFLAFAASFAFASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLATENDFFFPGLNIARNTSNPVGSVVTPANVAQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILTVLEGTLYVGFVTSNPDNRLITKVLNAGDVFVFPVGLIHFQFNVGKTKASAIGALSSQNPGVITITNAVFGSTPPIRSDVLAKAFQVDKNLVDYLQKQFWYDNN >Potri.012G058200.2.v4.1 pep chromosome:Pop_tri_v4:12:5741420:5745875:1 gene:Potri.012G058200.v4.1 transcript:Potri.012G058200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058200.v4.1 MEPMDIVGKSKEDASLPKATMTKIIKEMLPPDVRVARDTQDLLIECCVEFINLVSSESNEVCSREDKRTIAPEHVLKALQVLGFGEYIEDVYTAYEQHKLETMHDSLKGGGKWSTGAAMTEEEAAAAQQRMFDEARARMNGVVSAPKQPEANQSLES >Potri.010G227301.1.v4.1 pep chromosome:Pop_tri_v4:10:21071843:21074271:-1 gene:Potri.010G227301.v4.1 transcript:Potri.010G227301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227301.v4.1 MSTGCSGSDNDAKKIKKMCETSTRLAGKLVIGDILGPFKKIYSSSSGRKLVGVLKYYDCLVERIIKEHEEKAKEGFERGDRKDLTGILLEIFKDPAAEIRLSKNDIKSFLLDMFFAVTDTTSVALEWAMAELINNPEIFKKLRDEISAVVGPNRLVKESDVPNLPYLRAIIKETLRLHPPAPHP >Potri.007G026200.2.v4.1 pep chromosome:Pop_tri_v4:7:1982945:1984305:-1 gene:Potri.007G026200.v4.1 transcript:Potri.007G026200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026200.v4.1 MMLVLVFDLFMRMMYKIYIGTRRPRGQGLVYYLCHPTFAMTGALAWQVNHYLNKIIIFVFGGEIARGFPGFSPLVSVSRFLFSLPYNPWLKRGPDSS >Potri.007G026200.3.v4.1 pep chromosome:Pop_tri_v4:7:1984006:1984263:-1 gene:Potri.007G026200.v4.1 transcript:Potri.007G026200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026200.v4.1 MMYKIYIGTRRPRGQGLVYYLCHPTFAMTGALAWQVNHYLNKIIIFVFGGEIARGFPGFSPLVSVSRFLFSLPYNPWLKRGPDSS >Potri.007G026200.1.v4.1 pep chromosome:Pop_tri_v4:7:1983046:1984412:-1 gene:Potri.007G026200.v4.1 transcript:Potri.007G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026200.v4.1 MCNLLVEKDRKEMMLVLVFDLFMRMMYKIYIGTRRPRGQGLVYYLCHPTFAMTGALAWQVNHYLNKIIIFVFGGEIARGFPGFSPLVSVSRFLFSLPYNPWLKRGPDSS >Potri.008G041900.2.v4.1 pep chromosome:Pop_tri_v4:8:2364414:2372386:1 gene:Potri.008G041900.v4.1 transcript:Potri.008G041900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041900.v4.1 MPPEPLPWDRKDFFKERKHERSETTSSSFGGGSTSRWKDFSYSSSSHYGSSRDFNRWGPHDFRRPPGHGKQGGWHMLAEESGHLYAPYRSSDKMLEDENCRPFLRGDGRYVRNNRGYFSQRDWRGGHSWEMSNGSSNMPVRQHDVSNDHMSVDEMLMFPPSQPAHSDFVDSWDQHQLKDQQDNNKMGGVNGLGTGQRGDRENSLDWKPLKWTRSGSLSSRGSGLSHSSSSKSLGGADSNEGKAELQPKNATPVHSLSGDVAACVTSAALSEEISSRKKARLGWGEGLAKYEKKKVEGPETSDNKDGAVVSANNVESIHYQTSNLAEKSHGVMGFSDCASPATPSSVACSSSPGLEEKTFVKSTNADNVVSNSCGSPSVGSQSQIEGLCFNLEKMDVSSVANLGSSLSELLQSDDPSSVDSSFVRSTAMNKLLAWKGDISKSLELTESEIDSLENELKSMRFESGNRCPCPAASSPRPFDSDAKPCNVQGVASNSVPRPSPLQVASCGDGIVEKVSFCNGELEEAHADVKEDDIDSPGTATSKLVEPVFLARADSSTVTVKDDFDAIQSARMNLKGVVPCADEEVTGIFTCKEDLPSGDVISDTYGEDNLCNLILASNKQSASRASEVFNKLLPSEQCRFDFSGVINGSSWQSDALVVENFAMRKRLLRFKERAVTLKFKAFHHLWKEDMRLLSIRKHRAKSHKKCEQSLRTTQSGFQKHRSSIRARFSSPAGNLNLVPTTEILNFTSKLLADSQLKLYRNALKMPALILDKKEKIVSRFISSNGLVEDPCAVEKERAMINPWTSDEKEIFMHKLATFGKDFRKIAAFLDHKSTADCVEFYYKNHKSDCFEKTKKSKQTKSSTNYLVASSTKWNRELNAASLDIFGAVMAAGADHAMNSRRLCSSRIFSSGYRNSKITEGCDDGILEGSSILDVLGSERETVAADVLAGICGSMSSEAMSSCITTSVDLVEGYRERKCQKVDSVAKPPLTSDVTRNFDEETCSDESCEEMDPTDWTDEEKSMFIQAVSSYGKDFAMISHFVRTRTRDQCKVFFSKARKCLGLDLMHPGHRNFGTPVSDVGNGGGSDTEDACAIETGSAISSDKLDSKIDEDLPPSVMNTEHNESDAEERIRLHSDLDGTEDNNASGILDHNDSKIVDKMVSDPAEAGKRADLALVVDSKVLNSVNQLESLQAQKVLIVSINAESERDQAADKTVSVAEAGPVVGTVDASTSNANTAVELKAVAEVSNDVTGQELLLPEKSLCSSSGLMQDSTSNASHHRVNMDSCSDISRCSENIHQVSVHLESVEKPPVISLPQENDLSIMNSVVQDSVVIQYEKKHEQLQECRDEQGKTSFCRDDYFQHLSGHPLMSQNDSSQILRGYPLQIPTKKEMNGDNYARPLSEARSFPNSEKNVTSEKNVTSQFEAEDCYLQKCSGSKSQHSVSELPFLSQRFEHGSDCPRDHSRRSSDMEKPCRNGDVKLFGKILSNPLQKQNSIAHENGEKEAPHLKPAGKSATFKLTGHHPTEGNMAFLKCDRNNQLGPENFPLSHGFWDENRTQTGLPDSAALLAKYPAAFSNYPVPSSKMPQQTLQSVVKSNECNQSGLSVFPSRDVSGTNGVVDYQLYRSHDSTGVQPFAVDMKQREDIFVEMQRLNGQQARGMVGMNVVEKGAILVGGPCTGVSDPVVAIKRHYAKTDQYGGQNGTVFREEESWRGKGDLGR >Potri.008G041900.3.v4.1 pep chromosome:Pop_tri_v4:8:2364378:2372383:1 gene:Potri.008G041900.v4.1 transcript:Potri.008G041900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041900.v4.1 MPPEPLPWDRKDFFKERKHERSETTSSSFGGGSTSRWKDFSYSSSSHYGSSRDFNRWGPHDFRRPPGHGKQGGWHMLAEESGHLYAPYRSSDKMLEDENCRPFLRGDGRYVRNNRGYFSQRDWRGGHSWEMSNGSSNMPVRQHDHQLKDQQDNNKMGGVNGLGTGQRGDRENSLDWKPLKWTRSGSLSSRGSGLSHSSSSKSLGGADSNEGKAELQPKNATPVHSLSGDVAACVTSAALSEEISSRKKARLGWGEGLAKYEKKKVEGPETSDNKDGAVVSANNVESIHYQTSNLAEKSHGVMGFSDCASPATPSSVACSSSPGLEEKTFVKSTNADNVVSNSCGSPSVGSQSQIEGLCFNLEKMDVSSVANLGSSLSELLQSDDPSSVDSSFVRSTAMNKLLAWKGDISKSLELTESEIDSLENELKSMRFESGNRCPCPAASSPRPFDSDAKPCNVQGVASNSVPRPSPLQVASCGDGIVEKVSFCNGELEEAHADVKEDDIDSPGTATSKLVEPVFLARADSSTVTVKDDFDAIQSARMNLKGVVPCADEEVTGIFTCKEDLPSGDVISDTYGEDNLCNLILASNKQSASRASEVFNKLLPSEQCRFDFSGVINGSSWQSDALVVENFAMRKRLLRFKERAVTLKFKAFHHLWKEDMRLLSIRKHRAKSHKKCEQSLRTTQSGFQKHRSSIRARFSSPAGNLNLVPTTEILNFTSKLLADSQLKLYRNALKMPALILDKKEKIVSRFISSNGLVEDPCAVEKERAMINPWTSDEKEIFMHKLATFGKDFRKIAAFLDHKSTADCVEFYYKNHKSDCFEKTKKSKQTKSSTNYLVASSTKWNRELNAASLDIFGAVMAAGADHAMNSRRLCSSRIFSSGYRNSKITEGCDDGILEGSSILDVLGSERETVAADVLAGICGSMSSEAMSSCITTSVDLVEGYRERKCQKVDSVAKPPLTSDVTRNFDEETCSDESCEEMDPTDWTDEEKSMFIQAVSSYGKDFAMISHFVRTRTRDQCKVFFSKARKCLGLDLMHPGHRNFGTPVSDVGNGGGSDTEDACAIETGSAISSDKLDSKIDEDLPPSVMNTEHNESDAEERIRLHSDLDGTEDNNASGILDHNDSKIVDKMVSDPAEAGKRADLALVVDSKVLNSVNQLESLQAQKVLIVSINAESERDQAADKTVSVAEAGPVVGTVDASTSNANTAVELKAVAEVSNDVTGQELLLPEKSLCSSSGLMQDSTSNASHHRVNMDSCSDISRCSENIHQVSVHLESVEKPPVISLPQENDLSIMNSVVQDSVVIQYEKKHEQLQECRDEQGKTSFCRDDYFQHLSGHPLMSQNDSSQILRGYPLQIPTKKEMNGDNYARPLSEARSFPNSEKNVTSEKNVTSQFEAEDCYLQKCSGSKSQHSVSELPFLSQRFEHGSDCPRDHSRRSSDMEKPCRNGDVKLFGKILSNPLQKQNSIAHENGEKEAPHLKPAGKSATFKLTGHHPTEGNMAFLKCDRNNQLGPENFPLSHGFWDENRTQTGLPDSAALLAKYPAAFSNYPVPSSKMPQQTLQSVVKSNECNQSGLSVFPSRDVSGTNGVVDYQLYRSHDSTGVQPFAVDMKQREDIFVEMQRLNGQQARGMVGMNVVEKGAILVGGPCTGVSDPVVAIKRHYAKTDQYGGQNGTVFREEESWRGKGDLGR >Potri.008G041900.1.v4.1 pep chromosome:Pop_tri_v4:8:2364344:2372391:1 gene:Potri.008G041900.v4.1 transcript:Potri.008G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041900.v4.1 MPPEPLPWDRKDFFKERKHERSETTSSSFGGGSTSRWKDFSYSSSSHYGSSRDFNRWGPHDFRRPPGHGKQGGWHMLAEESGHLYAPYRSSDKMLEDENCRPFLRGDGRYVRNNRGYFSQRDWRGGHSWEMSNGSSNMPVRQHDVSNDHMSVDEMLMFPPSQPAHSDFVDSWDQHQLKDQQDNNKMGGVNGLGTGQRGDRENSLDWKPLKWTRSGSLSSRGSGLSHSSSSKSLGGADSNEGKAELQPKNATPVHSLSGDVAACVTSAALSEEISSRKKARLGWGEGLAKYEKKKVEGPETSDNKDGAVVSANNVESIHYQTSNLAEKSHGVMGFSDCASPATPSSVACSSSPGLEEKTFVKSTNADNVVSNSCGSPSVGSQSQIEGLCFNLEKMDVSSVANLGSSLSELLQSDDPSSVDSSFVRSTAMNKLLAWKGDISKSLELTESEIDSLENELKSMRFESGNRCPCPAASSPRPFDSDAKPCNVQGVASNSVPRPSPLQVASCGDGIVEKVSFCNGELEEAHADVKEDDIDSPGTATSKLVEPVFLARADSSTVTVKDDFDAIQSARMNLKGVVPCADEEVTGIFTCKEDLPSGDVISDTYGEDNLCNLILASNKQSASRASEVFNKLLPSEQCRFDFSGVINGSSWQSDALVVENFAMRKRLLRFKERAVTLKFKAFHHLWKEDMRLLSIRKHRAKSHKKCEQSLRTTQSGFQKHRSSIRARFSSPAGNLNLVPTTEILNFTSKLLADSQLKLYRNALKMPALILDKKEKIVSRFISSNGLVEDPCAVEKERAMINPWTSDEKEIFMHKLATFGKDFRKIAAFLDHKSTADCVEFYYKNHKSDCFEKTKKSKQTKSSTNYLVASSTKWNRELNAASLDIFGAVMAAGADHAMNSRRLCSSRIFSSGYRNSKITEGCDDGILEGSSILDVLGSERETVAADVLAGICGSMSSEAMSSCITTSVDLVEGYRERKCQKVDSVAKPPLTSDVTRNFDEETCSDESCEEMDPTDWTDEEKSMFIQAVSSYGKDFAMISHFVRTRTRDQCKVFFSKARKCLGLDLMHPGHRNFGTPVSDVGNGGGSDTEDACAIETGSAISSDKLDSKIDEDLPPSVMNTEHNESDAEERIRLHSDLDGTEDNNASGILDHNDSKIVDKMVSDPAEAGKRADLALVVDSKVLNSVNQLESLQAQKVLIVSINAESERDQAADKTVSVAEAGPVVGTVDASTSNANTAVELKAVAEVSNDVTGQELLLPEKSLCSSSGLMQDSTSNASHHRVNMDSCSDISRCSENIHQVSVHLESVEKPPVISLPQENDLSIMNSVVQDSVVIQYEKKHEQLQECRDEQGKTSFCRDDYFQHLSGHPLMSQNDSSQILRGYPLQIPTKKEMNGDNYARPLSEARSFPNSEKNVTSEKNVTSQFEAEDCYLQKCSGSKSQHSVSELPFLSQRFEHGSDCPRDHSRRSSDMEKPCRNGDVKLFGKILSNPLQKQNSIAHENGEKEAPHLKPAGKSATFKLTGHHPTEGNMAFLKCDRNNQLGPENFPLSHGFWDENRTQTGLPDSAALLAKYPAAFSNYPVPSSKMPQQTLQSVVKSNECNQSGLSVFPSRDVSGTNGVVDYQLYRSHDSTGVQPFAVDMKQREDIFVEMQRLNGQQARGMVGMNVVEKGAILVGGPCTGVSDPVVAIKRHYAKTDQYGGQNGTVFREEESWRGKGDLGR >Potri.006G159400.1.v4.1 pep chromosome:Pop_tri_v4:6:14298046:14299240:-1 gene:Potri.006G159400.v4.1 transcript:Potri.006G159400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G159400.v4.1 MTSKTSSVPYTSLPSHPDSYPQNVIVLSYYHRPPNHILRRCLLFTTAILLLSAAAYLLYPSDPAIQLSRIKLNHIRVNSSPELTLDVSFSLTIKVENRDFFSLDYDSLVVSVGYRGRELGFVNSKGGKIRARRSSYVDARLDLNGLEVIKDVFYLIQDLARGVIIFDTDTQVKGDLGLLLFKIPINGRVSCQVFVNTNNQTVEHQDCYPQ >Potri.006G159400.2.v4.1 pep chromosome:Pop_tri_v4:6:14298629:14299240:-1 gene:Potri.006G159400.v4.1 transcript:Potri.006G159400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G159400.v4.1 MTSKTSSVPYTSLPSHPDSYPQNVIVLSYYHRPPNHILRRCLLFTTAILLLSAAAYLLYPSDPAIQLSRIKLNHIRVNSSPELTLDVSFSLTIKVENRDFFSLDYDSLVVSVGYRGRELGFVNSKGGKIRARRSSYVDARLDLNGLEVIKDVFYLIQDLARGVIIFDTDTQVKGDLGLLLFKIPINVSHFFLILISSSCCFSK >Potri.005G191700.3.v4.1 pep chromosome:Pop_tri_v4:5:19903730:19905806:1 gene:Potri.005G191700.v4.1 transcript:Potri.005G191700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191700.v4.1 MEGNLSQGGMIPGGAPFGGPDLQGSMRVHHQGQHPHIVHHHQHPIHRQGSSTHPSVHDGFPLTMGAMHKSDQNMSMTDYNKGDGRKNSVSDEDEPSYTEEGADGHSDVRRGKKGTPWHRVKWTDKMVRLLITAVSYIGEDATSDCGGGMRRRFTVLQKKGKWKSVSKVMAERGFHVSPQQCEDKFNDLNKRYKRLNDMLGRGTSCQVVENPALLDVIDYLTEKDKDDVRKILSSKHLFYEEMCSYHNGNRLHLPHDPALQRSLQLALRSRDDHDNDDVRRNQLDDLDEDDQEIETDDHDEFGENHASHGDSRGIHGVLGGSAKRPRPGQGHEDVYFGNSSQDPNKGSSYSHPQIAQVDMNQVSPESSRASWLQKQWMESRTLELEEQNLKIQQEMLELEKQRFKWQRFSKKRDRELEKLRMENERMKLENERMTLELKRKEMGADFN >Potri.018G046500.1.v4.1 pep chromosome:Pop_tri_v4:18:4006159:4007358:-1 gene:Potri.018G046500.v4.1 transcript:Potri.018G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G046500.v4.1 MEQSPPLIAKKACNMLRLIFLMIQKGMLKSKVMLDLHFLMRRGKILGKALNDIMVQQHNTLSCISHDVHMSFISPREYEFSCSGSPAYKFYSYKQPYYQARRRSLHAHYKHTRFQAPSHDVDDVVSSNGGGDVAVEASPSVGSARWFGTWSPLVRQVRITDSPFTMRDADEDCHVDTEAEKFIEMFYKELRLQKRMAAHDRAAV >Potri.002G078800.4.v4.1 pep chromosome:Pop_tri_v4:2:5509252:5516515:-1 gene:Potri.002G078800.v4.1 transcript:Potri.002G078800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078800.v4.1 MDEGSSRGGGEGGGESSSSRVTPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKVNNRSAPAAPDPPPVNAHPNLESRIAPPQVTENEVKDDPPPNRFSAVIEKIERLYTGKDSSDEEDLMDAPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGELERINEPPVVPNEKPKKRRRKDLLKAPNDSDDGHMSNKLAKLGKSAAEKMAPPPGKNSLNLSQNLTMISEQYENVKFQNQSNSPGISSKKKPAETKMKLDPSLSVKVLNGDAYASLEETTDNEKPKTGCLLPKNLTSKPKDASGFSESSNQKYHEKSAYVQPKSQSAKTVDHCDDLEPSVRLIEKNGVRELPDLNLNISDSKIYTQAARTSHVHRKDGSSVRPKSSMLEKAIRELEKMVAESRPPAVENQDTDASAQAIKRRLPTEIKLKLAKVARLAASQGKLSKELLNRLMSILGHLIQLRTLKRNLKIMINTGLSAKQEKADRFQQIKKEVAEMIMTRIPSVESNALVQQAGASDDFQEMVSDERGGLKKKFSMDAVLEDKICDLYDLFVEGLDEDSGPQVRKLYVELAQFWPSGLMDNHGIKRAICRAKERRRVMYIRNKVCKSLISNTPPNP >Potri.002G078800.1.v4.1 pep chromosome:Pop_tri_v4:2:5509215:5516533:-1 gene:Potri.002G078800.v4.1 transcript:Potri.002G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078800.v4.1 MDEGSSRGGGEGGGESSSSRVTPSYVKLGDRQIFTVELRPGETTFVSWKKLMKDANKVNNRSAPAAPDPPPVNAHPNLESRIAPPQVTENEVKDDPPPNRFSAVIEKIERLYTGKDSSDEEDLMDAPDDDQYDTEDSFIDDAELDEYFEVDNSAIKHDGFFVNRGELERINEPPVVPNEKPKKRRRKDLLKAPNDSDDGHMSNKLAKLGKSAAEKMAPPPGKNSLNLSQNLTMISEQYENVKFQNQSNSPGISSKKKPAETKMKLDPSLSVKVLNGDAYASLEETTDNEKPKTGCLLPKNLTSKPKDASGFSESSNQKYHEKSAYVQPKSQSAKTVDHCDDLEPSVRLIEKNGVRELPDLNLNISDSKIYTQAARTSHVHRKDGSSVRPKSSMLEKAIRELEKMVAESRPPAVENQDTDASAQAIKRRLPTEIKLKLAKVARLAASQGKLSKELLNRLMSILGHLIQLRTLKRNLKIMINTGLSAKQEKADRFQQIKKEVAEMIMTRIPSVESNALVQQAGASDDFQEMVSDERGGLKKKFSMDAVLEDKICDLYDLFVEGLDEDSGPQVRKLYVELAQFWPSGLMDNHGIKRAICRAKERRRVMYIRNKDQDKIKSKKMFTPKQEEGVRIESGSVAQPQHVQERLATDMVGPVLALARKPVPNSIAAAVQFPSPSANGLVLDKLKQEKPKGSSSNSMDGAKMGVDGALPKKKVRRKPEQELDGTHPRSEKLHPQSSGERHKSLKHASGLPQKLNLQSSAPPSLEPSS >Potri.006G042873.1.v4.1 pep chromosome:Pop_tri_v4:6:2883510:2885196:1 gene:Potri.006G042873.v4.1 transcript:Potri.006G042873.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042873.v4.1 MRKMTTEMKEETGGNYQQKELKVPRVPSTFRKIEQNKKCNDPSMVSLGPYHHGKQELKEMEELKFPMARQFVKDCEMPFEAMWSKVKELMIGASKCYVEDIIAKLNKDDHFNQMMFLDGCFILQFLICFMKKPENLKISSHDAVLVTKDLFLLENQLPFSVLKSLMSFRYKKSDQGGGEGMKLFADFFKHIRAIPPRRKSCRDKISNFFGKLVPKSLSSLSGLSGDQHEPAHLLEFFHMQFVGHQINLSDHTSQTSWQEDDTRTIWYRYYPAEELRDIGIHFKPSKTSHFTDVQFKPTWLAGRLYIYIPPLSIDDSTKSLLLNLVAYEATLDTSKDWITSYVCFMDSLIDHPEDVKELRSQGILLTTLGSDKQVAELFNEIANNIVPNPYAFIKVKSAIESHYKNTFKRWILHYKGPIYGIIIKYSFLYGIIVTALRAYVSATPPKTFGICRIPDTNVTHYP >Potri.002G236200.2.v4.1 pep chromosome:Pop_tri_v4:2:22929475:22930767:1 gene:Potri.002G236200.v4.1 transcript:Potri.002G236200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236200.v4.1 MQLSSQGATHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWSQQHIIFLVDNFPIRVFKNAESIGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWTKAPFTAYYRNFKADACTWSYGTSSCGSRSSSSFSDRAWHTNALDAPSRRRLRWVQKYFMIYNYCADLKRFPQGLPPECRRSRF >Potri.002G236200.1.v4.1 pep chromosome:Pop_tri_v4:2:22928680:22930848:1 gene:Potri.002G236200.v4.1 transcript:Potri.002G236200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236200.v4.1 MGLFCNGFSLFLIVCSMVVVASGSFYQDFDLTWGDHRAKIFNGGQLLSLSLDKVSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGATHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWSQQHIIFLVDNFPIRVFKNAESIGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWTKAPFTAYYRNFKADACTWSYGTSSCGSRSSSSFSDRAWHTNALDAPSRRRLRWVQKYFMIYNYCADLKRFPQGLPPECRRSRF >Potri.012G140800.1.v4.1 pep chromosome:Pop_tri_v4:12:15283828:15285704:-1 gene:Potri.012G140800.v4.1 transcript:Potri.012G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140800.v4.1 MAEEEPKKVETETPSETPPPPPPPLAEPEPEVKAQKDVAEEKTVISTPPLVEEKVEESKAVAVVEKASESAEEKTEGSVNRDAVLARVVTEKRISLIKAWEESEKSKAENKAHKKLSSIASWENSKKASVEAELKKIEEKLEKKKAEYVEKMKNKIAVIHKEAEEKKAIVEAKRGEDLLKAEEIAAKYRATGTTPKKLFKMFLG >Potri.005G226050.1.v4.1 pep chromosome:Pop_tri_v4:5:22692307:22695328:1 gene:Potri.005G226050.v4.1 transcript:Potri.005G226050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226050.v4.1 MEWISHKKKKKKKKWRRRSCDATSCTRTSCLTNIIGSETSICKTLPVLRMLLSAQNSSSPSSSSSS >Potri.011G136700.4.v4.1 pep chromosome:Pop_tri_v4:11:16888121:16894927:1 gene:Potri.011G136700.v4.1 transcript:Potri.011G136700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136700.v4.1 MSSQAPKSSKPSKPQNQNQPTSRSSSLSTHLAMVELKQRIITSLSKLADRDTHQIALEDLRTITETISSDALPLLLNSLYDSLSESSNSKPSVKKESLHLLSLACQSHRDLTVPHLTKIISCIVKRLKDSDSSVRDACRDAIGVLSGLYLKGNGSCGDSNGAGPMVGLFVRPLFEAMGEQNKVVQSGAAICMEKMVECASVDGDNGGGNVPIGAFYKLCPRICKLLNGQIFQAKAALLGVVTSLSQLGSIAPQGLEPLLQSIHDCLRSTDWATRKAAADALTALALHSSSLIADGVAISTLTVLEACRFDKIKPVRDSMTEALQLWKKIAGKGEGVPDDQKSSSQDGERHDSAELSDKNSNPSDRRKESFSRDASNGTSPTKDSALKSKGGSIPDKAVVILKKKAPALTDKELNPEFFQKFEKRGSGDFPVEVIVPRRCLNSSNSNNEEESEPNDSESRGRSNRMGNSQSDDIHGAFNNKFRTMERGVTGKDLRTRAFDDERLDINQRESSGSRAGFSKSDGQSEGSFVNNKGNWLAIQRQLLQLERQQAHVMNMLQDFMGGSHNSMVTLENRVRGLERVVEDLAHDLTISSGRRGNSFAMGFEGSSNRPSGKYNSFSDYSSTKYNGRVPSGERFSQLDVTAPGMRGRGSHWRSDASDAWDFPTYGASRNGQAGSRRAPGGGSLDVRSPRSEHESDQLGSRRAWDKGAGPVRHGEGPSARSVWQASKDEATLEAIRVAGEDSGLARTARVAIPEMTAEALGDDDFGQERNPIWTSWSNAMDALKMGDMDTAFAEVVSTGDDLLLVKLMDKSGPVVDQLSNETACEVLNAIGQFLMEQNLFDICLSWIQQVWVSLH >Potri.011G136700.3.v4.1 pep chromosome:Pop_tri_v4:11:16888160:16895054:1 gene:Potri.011G136700.v4.1 transcript:Potri.011G136700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136700.v4.1 MSSQAPKSSKPSKPQNQNQPTSRSSSLSTHLAMVELKQRIITSLSKLADRDTHQIALEDLRTITETISSDALPLLLNSLYDSLSESSNSKPSVKKESLHLLSLACQSHRDLTVPHLTKIISCIVKRLKDSDSSVRDACRDAIGVLSGLYLKGNGSCGDSNGAGPMVGLFVRPLFEAMGEQNKVVQSGAAICMEKMVECASVDGDNGGGNVPIGAFYKLCPRICKLLNGQIFQAKAALLGVVTSLSQLGSIAPQGLEPLLQSIHDCLRSTDWATRKAAADALTALALHSSSLIADGVAISTLTVLEACRFDKIKPVRDSMTEALQLWKKIAGKGEGVPDDQKSSSQDGERHDSAELSDKNSNPSDRRKESFSRDASNGTSPTKDSALKSKGGSIPDKAVVILKKKAPALTDKELNPEFFQKFEKRGSGDFPVEVIVPRRCLNSSNSNNEEESEPNDSESRGRSNRMGNSQSDDIHGAFNNKFRTMERGVTGKDLRTRAFDDERLDINQRESSGSRAGFSKSDGQSEGSFVNNKGNWLAIQRQLLQLERQQAHVMNMLQDFMGGSHNSMVTLENRVRGLERVVEDLAHDLTISSGRRGNSFAMGFEGSSNRPSGKYNSFSDYSSTKYNGRVPSGERFSQLDVTAPGMRGRGSHWRSDASDAWDFPTYGASRNGQAGSRRAPGGGSLDVRSPRSEHESDQLGSRRAWDKGAGPVRHGEGPSARSVWQASKDEATLEAIRVAGEDSGLARTARVAIPEMTAEALGDDDFGQERNPIWTSWSNAMDALKMGDMDTAFAEVVSTGDDLLLVKLMDKSGPVVDQLSNETACEVLNAIGQFLMEQNLFDICLSWIQQLAEIVLENGSDVFGIPMELKKDLLLNLHEASTSMEPPEDWEGGAPDQLLLQMASAWGIEIQQFEK >Potri.002G044700.1.v4.1 pep chromosome:Pop_tri_v4:2:2903686:2906999:-1 gene:Potri.002G044700.v4.1 transcript:Potri.002G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G044700.v4.1 MANLSLPNTLQLPFKQDIFQSKRFSPAHLPRQNSQLGVSKSIEFERKTSTSTAIPRVLSKASESLTSTITKHEKEEDYNTNTNTKEPERKLADVWREIQGKDDWVGLLDPMDPLLRSELIRYGEMAQACYDAFDFDPFSKYCGSCRFMSHRFFESLGMTRHGYEVTRYLYGTSNINLPNFFKKSRWPKVWSNVANWIGYVAVSNDETTKRLGRRDITVAWRGTVTRLEWIADLMDFLKPVNGNKIPCPDPTVKVESGFLDLYTDKDENCRFCKYSAREQILAEVKRLTEMYADEEMSITITGHSLGGALAMLSAYDIVETGLHVMQDSRALPVSVFSFSGPRVGNVRFKKRIESLGVKVLRVVNVQDVVPKSPGLFFNEQVPPMLMKLTEGLPWCYSHVGVELALDHKNSPFLKQTGDPVCAHNLEALLHLLDGYHGKGQRFVLASGRDPALVNKACDFLKDHYLVPPNWRQDENKGMVRNGDGRWVQPDRPKLDDHPVDTHHHLRKLGLASEH >Potri.011G110300.1.v4.1 pep chromosome:Pop_tri_v4:11:14037943:14039486:1 gene:Potri.011G110300.v4.1 transcript:Potri.011G110300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110300.v4.1 MERPWFFSLLLLFSIYNLAQSTVPPSSRFKEVNTGDFAEALSEYSSDFRGLDLSVSIFQLCFYNTTPNAFTLAIRMGTRRSPAMRRFVWEANRGNPVGEDATLTFGEDGNLILADADGRVAWQTNTANRGVVGSQMLTNGNMVLHDSKGNFIWQSFDYPTDTLLVGQPLRVGGVTRLVSRASDKQNTNGAYSLVLEPERLAMYYKSPNSPKPYVYYTFSKQKGRLQYVRLSKTPNSQALSLEFSTGARTLLSRPKFNSTMSFLRLGVDGNLRVYTFNDKLTSASWEVTFTLFSRDARIWESECQLPQKCGKFGLCEDSRCVSCPLPTGFRKWTKKCEPVKSSVCNKNFYYYKLEGVDHSMSKYGDGSGPMKKTDCEKKCSGDCKCSGYFYNTKTSMCWIAYDLQTLTKVANPTHVGYVKVPNHQ >Potri.004G172800.1.v4.1 pep chromosome:Pop_tri_v4:4:18809008:18813757:-1 gene:Potri.004G172800.v4.1 transcript:Potri.004G172800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172800.v4.1 MAADKVVETAIVGNYVEMETEGKPNDMKTRFSKFLWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMVSGICFQLFYGLLGSWTAYLISILYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKYWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLIMTTYTAWYLTIASLLHGQVEGVKHSGPTKMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSGAAVYWAFGDMLLNHSNAFALLPRSSSRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSSVGSLLVSFTVYIIPALAHMFTFKSSAARENAVEQPSKYTGRWVGAYMINTFVVVWVLIVGFGFGGWASMTNFIHQIDTFGLFTKCYQCPPQTLPPPLPHLNATAAPPPLHHPQNHTRTP >Potri.009G050000.1.v4.1 pep chromosome:Pop_tri_v4:9:5517301:5522735:-1 gene:Potri.009G050000.v4.1 transcript:Potri.009G050000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050000.v4.1 MGKLAAGLAAAAAVAACAVAGVVVGRRVRSRRKWKRVVGVLRELEEACETPVGRLRQVVDAMAVEMHAGLASEGGSKLKMLLTFVDHLPTGSEIGTYYALDLGGTNFRVLRVQLGGRRSSILSQDVERRPIPRHLMTSTSEDLFDFIASTLKQFVEKEESGSEPSSVRARELGLTFSFPVKQLSIRSGILMKWTKGFAIEDMVGKEVVGLLEAALVRSGLDMRVAVLVNDTVGTLALGHYDDADTVAAVIIGTGTNACYLERADAIIKCQGLLTTSGCMVVNMEWGNFWSSHLPRTSYDIDLDLESPNPNDQGFEKMISGMYLGDIVRRVILRMSQDSDIFGPVSSRLSIPFILQTPLLAAMHEDDSPELKEVDKILKETLEISEVSLKVRKLVVRVCDVVTRRAARLAAAGIVGILKKIGRDGSGGVTGGRSRSDVKMRRTVVAIEGGLYTSYTMFREYLHEALNEILGEDVAHHVILKVTEDGSGTGGALLAAAYSSGSVDNVQSL >Potri.019G004102.1.v4.1 pep chromosome:Pop_tri_v4:19:977609:977704:1 gene:Potri.019G004102.v4.1 transcript:Potri.019G004102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G004102.v4.1 MSKYMPMMFFHFLKIIFDISTSKQSKTYKPY >Potri.005G106100.1.v4.1 pep chromosome:Pop_tri_v4:5:7631198:7632431:1 gene:Potri.005G106100.v4.1 transcript:Potri.005G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106100.v4.1 MGSVSLVSAALRRLEGKVALITGGSSGIGESTARLFVKHGAKVVIADIQDELGHSVCKELEPEPASFIHCDVTQEKDVENAVNTAVSKYGKLDIMFNNAGTGGTPKTNILENDKAEFEKIICANLVGAFLGTKHAARVMIPARRGSIITTASVCAIIGGGSSHAYTSSKHGVLGLMRNTAVELGQYGIRVNCVSPYAVPTPLFKNFFKMNDDEVSCIYSNLKEAVLEAEDIAEAALYLGSDESKYVSGHNLVVDGGFTIVNPGFCMFPRSS >Potri.018G007000.2.v4.1 pep chromosome:Pop_tri_v4:18:537792:538719:1 gene:Potri.018G007000.v4.1 transcript:Potri.018G007000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007000.v4.1 MEVRTLFSCGALGTFLLFFCSFPLLALSDSAHENSPKLLSRRLLTTTPRSIVEQYLVPHNLEREKLGLPPLRWSKKLANFASSWAHQRQEDCALIHSNSDYGENLFWGSGKDWKAGDAVAAWAEEKGDYNYKTNTCAHNKDCLHYTQIVWRQSLKVGCARVACRSGDTFITCNYDPHGNVIGQKPF >Potri.015G084300.1.v4.1 pep chromosome:Pop_tri_v4:15:10907751:10911649:1 gene:Potri.015G084300.v4.1 transcript:Potri.015G084300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084300.v4.1 MMMMDRRFSRESSRESKGSITLQKKIVESQRPNKTKNVPDLTDFMNDMFFGTVDNDKRSYDLTGGHSEDEEESFDDSTRSNSSKLTQEWLEEARRMVASSPSRCESPSRLVGSPRFAAVPARLSSASILDRRDPLSRSARRHRSKEAFSGEILTKSAKHSRNKSESLVETPAEPSPASQFQGWFSNILKPPSNFNAPVPDPSSPHLSNDRSSPLLDPPALNLPPRQSSYRKSRFQTEPPATTAQGIPATSRRTFKPAPILRQDIHLLSPPKNLIESAQRRSISSNKCSKPETQPLSPPKNLMESSHRRSISKSTCSIEKVAPKPLVSGCKKEEEGEEDFSLNGFLIEEKTKIEKILNRELDSKAKIILSGPSNSTSSMVAAICYALLLANRMTKNKGNSDREGTVVFPVMNTRRGRMWKQRQAAWLFQHVGLDATSLLFADEVDLESLMMEGKLNILVVGQDILRTNGEVGSQCTILTDNYCEDAYDLLQTPVLKKLLLAGILLDTQNLNASATCSMTRDAEAVQLLLVGSTPKYRNALFDQLMQDQRDSSFIEALRHNYGKPPSETEHIGGRDNGAQMDHRGTESRPTPVSRHEAIPQNSDKNSNNVRNGKPNNTTKQLLQQHRQKQVLMPLAERTSSSWQSGLVLVNKDHKNVDL >Potri.015G084300.3.v4.1 pep chromosome:Pop_tri_v4:15:10907751:10911649:1 gene:Potri.015G084300.v4.1 transcript:Potri.015G084300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084300.v4.1 MMMMDRRFSRESSRESKGSITLQKKIVESQRPNKTKNVPDLTDFMNDMFFGTVDNDKRSYDLTGGHSEDEEESFDDSTRSNSSKLTQEWLEEARRMVASSPSRCESPSRLVGSPRFAAVPARLSSASILDRRDPLSRSARRHRSKEAFSGEILTKSAKHSRNKSESLVETPAEPSPASQFQGWFSNILKPPSNFNAPVPDPSSPHLSNDRSSPLLDPPALNLPPRQSSYRKSRFQTEPPATTAQGIPATSRRTFKPAPILRQDIHLLSPPKNLIESAQRRSISSNKCSKPETQPLSPPKNLMESSHRRSISKSTCSIEKVAPKPLVSGCKKEEEGEEDFSLNGFLIEEKTKIEKILNRELDSKAKIILSGPSNSTSSMVAAICYALLLANRMTKNKGNSDREGTVVFPVMNTRRGRMWKQRQAAWLFQHVGLDATSLLFADEVDLESLMMEGKLNILVVGQDILRTNGEVGSQCTILTDNYCEDAYDLLQTPVLKKLLLAGILLDTQNLNASATCSMTRDAEAVQLLLVGSTPKYRNALFDQLMQDQRDSSFIEALRHNYGKPPSETEHIGGRDNGAQMDHRGTESRPTPVSRHEAIPQNSDKNSNNVRNGKPNNTTKQHRQKQVLMPLAERTSSSWQSGLVLVNKDHKNVDL >Potri.015G084300.2.v4.1 pep chromosome:Pop_tri_v4:15:10907750:10911566:1 gene:Potri.015G084300.v4.1 transcript:Potri.015G084300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084300.v4.1 MMMMDRRFSRESSRESKGSITLQKKIVESQRPNKTKNVPDLTDFMNDMFFGTVDNDKRSYDLTGGHSEDEEESFDDSTRSNSSKLTQEWLEEARRMVASSPSRCESPSRLVGSPRFAAVPARLSSASILDRRDPLSRSARRHRSKEAFSGEILTKSAKHSRNKSESLVETPAEPSPASQFQGWFSNILKPPSNFNAPVPDPSSPHLSNDRSSPLLDPPALNLPPRQSSYRKSRFQTEPPATTAQGIPATSRRTFKPAPILRQDIHLLSPPKNLIESAQRRSISSNKCSKPETQPLSPPKNLMESSHRRSISKSTCSIEKVAPKPLVSGCKKEEEGEEDFSLNGFLIEEKTKIEKILNRELDSKAKIILSGPSNSTSSMVAAICYALLLANRMTKNKGNSDREGTVVFPVMNTRRGRMWKQRQAAWLFQHVGLDATSLLFADEVDLESLMMEGKLNILVVGQDILRTNGEVGSQCTILTDNYCEDAYDLLQTPVLKKLLLAGILLDTQNLNASATCSMTRDAEAVQLLLVGSTPKYRNALFDQLMQDQRDSSFIEALRHNYGKPPSETEHIGGRDNGAQMDHRGTESRPTPVSRHEAIPQNSDKNSNNVRNGKPNNTTKQVIFNPF >Potri.001G351200.2.v4.1 pep chromosome:Pop_tri_v4:1:36408488:36411618:1 gene:Potri.001G351200.v4.1 transcript:Potri.001G351200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351200.v4.1 MGDEEKQAPATLEISMENGNLKEEKTTSNDEPEIKYGGIKAMPFVIGNETFEKLGTVGSSTNLAVYLTTVFNMKSVKATTLLNVFNGTSNLAPLIGAYLSDTYFGRYWTLGFASVFSFLGMVVLMLTAAISTMHPPKCAPGAVCAGPTSWQLAFLLSGFAFLVIGAGGIRPCNLAFGADQFNPNTESGKRGITSFFNWYYFTFTFAVMISVTGIVYVQSNVSWAIGLGIPAFLMLLSCVMFFVGTRIYVIVKPQGSPITSIVQVLVAATKKRGLKVPDNSAMSLFNYIPAKSINSKLPSTEQFRCLDKAAIITDENQINLDGTAANPWKLCSVQQVEEVKCLLRIIPVWSTSILYQIPLLQQQTYAVFQALQMDRRLGSTSFKVPAATYIIFTMLTLTIWIPLYDRIIVPFLQRLTGKDGGITLLQRMGIGMILAILCTVVSGLVEENRRHIALTRPPLGQTNKGGSISSMSGMWLIPQLALSGLSEGFNYIAQIEFYYKQFPENMRSIAGSAFFAGGALANYLSGVLVSIVHQITSGSKTGDWLDDDLNKGKLDYFYYVIAGLGILNFGYFLLCAKWYRYKDADDSTVGMATKGI >Potri.001G249700.1.v4.1 pep chromosome:Pop_tri_v4:1:26558788:26560720:1 gene:Potri.001G249700.v4.1 transcript:Potri.001G249700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249700.v4.1 METLPLFFTLAAATLAYLLWFYLLSRKLTGPRVWPLVGSLPYLFMNRRRIHDWIAGNLRATGGAGTYQTCTIALPFFARKQGFYTVTCHPKNLEHILRTRFDNYPKGPDWQTAFHDLLGQGIFNTDGETWLIQRKTAALEFTTRTLRQAMARWVNQTIKNRLWNILDKAATEKLSVDLQDLLLRLTFDNICGLTFGKDPVTLSLEMSDNPFSIAFDTATEATLQRLLYPGFLWRLEKLLGIGAEKRLKRSLEVVETYMDDAIAARKENPSDDLLSRFMKKRDVDGNHFPISVLQRIALNFVLAGRDTSSVALSWFFWLVMNHPEVEAKIIKEISTILTETRGNDHQKWLGEPLDFDEADKLVYLKAALAETLRLYPSVPQDFKYVVADDVLPDGTYVPAGSTVTYSIYSVGRMKSIWGEDCQEFKPERWLSPEEDRFDSPKDGYKFVAFNAGPRTCLGKDLAYLQMKSVASAVLLRYRLSLVPGHCIEQKMSLTLFMKNGLRVFLHPRNLA >Potri.009G093400.2.v4.1 pep chromosome:Pop_tri_v4:9:8496585:8498962:-1 gene:Potri.009G093400.v4.1 transcript:Potri.009G093400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093400.v4.1 MAWRSGGSLSRSLMSAARAPLPRSSAPLPRLRPPSSSAPRLQSRRLSFAPSRNLGELGCMQSFLPLVSAGNLTSRLNANLRAFCELSHGT >Potri.009G093400.3.v4.1 pep chromosome:Pop_tri_v4:9:8498189:8498926:-1 gene:Potri.009G093400.v4.1 transcript:Potri.009G093400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093400.v4.1 MAWRSGGSLSRSLMSAARAPLPRSSAPLPRLRPPSSSAPRLQSRRLSFAPSRNLGELGCMQSFLPLVSAGNLTSRLNANLRAFCELSHGTFCRSCPDR >Potri.009G093400.1.v4.1 pep chromosome:Pop_tri_v4:9:8496592:8498960:-1 gene:Potri.009G093400.v4.1 transcript:Potri.009G093400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093400.v4.1 MAWRSGGSLSRSLMSAARAPLPRSSAPLPRLRPPSSSAPRLQSRRLSFAPSRNLGELGCMQSFLPLVSAGNLTSRLNANLRAFCELSHGRNGKDG >Potri.002G177032.1.v4.1 pep chromosome:Pop_tri_v4:2:13800660:13800887:-1 gene:Potri.002G177032.v4.1 transcript:Potri.002G177032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177032.v4.1 MMMLTPMLSGIVTPKAPASDKSRFFVFGRIFSGKVPTGLKVGIMGPNHVPGEKKDLYVKSVQRTVIWMRKRQETV >Potri.017G115400.1.v4.1 pep chromosome:Pop_tri_v4:17:12270987:12273224:1 gene:Potri.017G115400.v4.1 transcript:Potri.017G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115400.v4.1 MYTTKKKIQKDKDAEPTEFEETVAQALFDLENSNSDLKSELKDLFINSAVQIDVAGNRKAIVIYVPYRLRKSYRKVHLRLVRELEKKFSGKDVVLLATRRIVRPPKKGSAVQRPRSRTLTAVHEAMLEDLVYPAEIVGKRTRYRIDGSKISKIFLDPKERNNTEYKLESYAGVYRKLTGKDVVFDFPVTEA >Potri.007G013400.1.v4.1 pep chromosome:Pop_tri_v4:7:1023055:1026144:1 gene:Potri.007G013400.v4.1 transcript:Potri.007G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013400.v4.1 MASSASGTQVRASHILIKHEGSRRKASWKDPEGRVIKNTTRDSAISELKAIREDIVSGKAKFEDVASRISDCSSAKRGGDLGPFGRGQMQKPFEETTFSLKVGEISDIVDTDSGVHIILRTG >Potri.009G154100.1.v4.1 pep chromosome:Pop_tri_v4:9:12077588:12080744:-1 gene:Potri.009G154100.v4.1 transcript:Potri.009G154100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154100.v4.1 MILQRWVFLLMMLLLVAATTANPDVRDGCQERCGDVIVPYPFGIGERRCAMNENFFLDCNSTDDGHHELWFGENMPARNISLLNGTVTAGVYISFDCYHKSGLQDRLFNSYMILGSGPFTFSDSRNMFTAVGCDTVATVTNEEVTFGAACLSLCTRNVTMSKNNSCSGSGCCQTSIPKGLKSLNITIQSTDNHKNVSEFNPCGFAFLEDKDSLDLSDWPLSRTPTDFETSNVVIEWVAQTEKCEKAQANKSSYACGINTNCYYSDNGQGYRCACNAGFEGNPYLEQGCQDIDECKDPKKYTCHGKCHNTIGDYECKCSLGMHGDGKIGCQGFAITTIIAVVGAIVSLVIICLLLFMILSKRRKDKNFRENGGTVLKHQRVRIFSEAELTKATNNYDDDKKIGEGGFGSVYKGILADNTVVAVKKSKGVDKAQMNEDFQHEICVVSQVNHKNVVKLLGLFLETKVPLLVYEFISNGTLFKHIHDKRSQVLASWSNRLRIASEAALALDYLHSLADPPVIHGDVKSVNILLDDNYTAKVADFGASVLISPGQTNILATKIQGTFGYLDPEYLLTGNLTEKSDVYSFGVVLVELLTGEKPNSNAKSGNKRNFIQYFNSALENNDLFGILDFQAADEAEMDEIEAVAELAKRCLNSIGVNRPSMKEVSEELAKLKALNQKSWAQQNSDETEHLLGEPSQSFRNNASPPTSQSQTVISFEIENYTDSI >Potri.T045100.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:459966:460582:-1 gene:Potri.T045100.v4.1 transcript:Potri.T045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045100.v4.1 MTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGDKGYLSFEHKTWAPYQTKMIDLTLLGPEEINWRNSYHGRCRDILAPYLDESEMAWLNKATEPIGV >Potri.002G104300.2.v4.1 pep chromosome:Pop_tri_v4:2:7643326:7655993:1 gene:Potri.002G104300.v4.1 transcript:Potri.002G104300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104300.v4.1 MTNNKNTESSKGDGGPPPPPPPPAPGQLENMRFHGGNVIFKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSNPSAIPQKGSEVNLTLGGIDLNNSGSVVVRADKKLLTVLFPDGRDGRAFTLKAETSEDLYGWKTALENALAQAPSTSLVMGQNGIFRDDQADGADGSLEQLKDKHPVKSLVIGRPILLAIEDVDGSPSFLEKALRFIEDHGIKVEGILRQAADVDDVEHRIKEYEQGTTEFSPKEDAHVIADCVKYFLRELPSSPVPTSCCNALLEAWRTDRGSRVNAMRVAVCETFPEPNRRLLQRILLMMQTVASHKAVNRMSTSAVAACMAPLLLRPLLAGDCEIESNFNVGGDGSIQLLKAAAAANHAQAIVITLLEEYEKIFSEGSMSPGLYSDSDECGSEDEEVTDDDESYVDDATEESDVYTDADNDNASSGTCTQSGDSCEDDPSDHEGSDDLSSGFKYPEAEVDNDLKVEQKLSSHPVQASLPDTPDQSNYSLAMLPDKSDDLSVDVSTETSLENKIADYNASPNAKKTTTISNGLVRGKRPTVWGRTAAKKNLSMESIDYPIEEDVEIQKLEATKIEMQNIISEEVKGNAILQANLEKQTKVFHEYRLALQQDVARLQEQLQKEREKRKFLEAGLNTSKGPLQVPVTIDEKMKAELEEITQAEADVANLKQKVDDLHAQLNQQCDERSGSMHGLGNQPMNASLHKAKGNGEEKDAEATASSQFGRSASKDTCMDGAETQQLDSKHCGNSRPISSTNSKRSGARSEGMNSTTSALTRLTTRLNFLKERRSQIANELQNMDKGRGSDLKLEKGRGSEIYSVQNLEKGKGLECLGEEEGKPLQNSEKSTTSDSQSLQDLDGGQYSEDRHLRSLERGKSDGHVSYNAGRGKPLVAPRINSR >Potri.013G150400.2.v4.1 pep chromosome:Pop_tri_v4:13:14677446:14679626:1 gene:Potri.013G150400.v4.1 transcript:Potri.013G150400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150400.v4.1 MASELQFSVRRLSTLLKHCFPSPSSFLVRSRSLLIQSSRTINHHSSSHSPPLSRTFYSSPLLNLNSTDTEGPAAIDYRSLLQEDEFHSLADSTIHDLQEKFEEYGDSVQIDGFDIDYGNEVLTLKLGDLGTYVLNKQTPNRQLWLSSPVSGPSRFDWDRSDQAWVYRRTKANLLNVLESEMGQLFGEPIKLA >Potri.010G207700.2.v4.1 pep chromosome:Pop_tri_v4:10:19766697:19774243:1 gene:Potri.010G207700.v4.1 transcript:Potri.010G207700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207700.v4.1 MGIPADDVVLIQQGNSPHDPTIVTVNCPDKSGLGCDLCRIILEFGLHITRADFQTDGKWCYIVLWVVQLQHSNLLRLDWDSLKNRLLRVSPPCLTPLYYDQKLNGSSAAPSVYLLKFCCVDRKGLLHDVTEVLTELEFTIQRLKVMTTPDGKVVDLFFITDGRELLHTKKRRDDTCRYLYDVFREYCIGCELQLAGPECDTQRNLSSLPLVVAEELFSCELSEKESCMQALRTATTSPKKAIVTVDNLLSPAHTLLQIQCVDQKGLFYDILRISKDLNIQVAYGRFSSSIKGYRNMDLFVQQTDGKKILDPKLLDNLCSRLKEEMLHPLRVIITNRGPDTELLVANPVELCGKGRPRVFYDVTLALKKLGICIFSAEIGRHSTQDRQWEVYRFLLVENGEFPLASGQARNQMAAGIRRTLMGW >Potri.010G139550.1.v4.1 pep chromosome:Pop_tri_v4:10:15354631:15356546:-1 gene:Potri.010G139550.v4.1 transcript:Potri.010G139550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139550.v4.1 MNQVWWTPICINSSLHAQGVRGPSYRFLQETIPKLISSVEVKLERRKNHDDREIAVFQDFKVLTSEIISRTAFGCRNLYEIRIPGIRKYFKTR >Potri.002G209244.1.v4.1 pep chromosome:Pop_tri_v4:2:18425364:18425858:-1 gene:Potri.002G209244.v4.1 transcript:Potri.002G209244.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209244.v4.1 MGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMRKLDRVLVNEKWNLNFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSTFQIE >Potri.006G144500.1.v4.1 pep chromosome:Pop_tri_v4:6:12240313:12246665:1 gene:Potri.006G144500.v4.1 transcript:Potri.006G144500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144500.v4.1 MWNVFLLLLLLQLLFFFSSRISTVTASVSYDHKAVIINGQRRILISGSIHYPRSTPEMWPDLIQKAKDGGVDVIQTYVFWNGHEPSPGNYYFEDRYDLVKFIKLVQQAGLYLHLRIGPYICAEWNFGGFPVWLKYVPGIEFRTDNGPFKAAMQKFTEKIVGMMKSEKLFENQGGPIILSQIENEYGPVEWEIGAPGKAYTKWAADMAVKLGTGVPWIMCKQEDAPDPMIDTCNGFYCENFKPNKDYKPKIWTEAWTGWYTEFGGAVPHRPAEDMAFSVARFIQNGGSYINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEFGLPREPKWGHLRDLHKAIKLCEPALVSVDPTVTSLGSNQEAHVFKSKSVCAAFLANYDTKYSVKVTFGNGQYELPPWSVSILPDCKTAVYNTARLGSQSSQMKMVPASSSFSWQSYNEETASADDDDTTTMNGLWEQINVTRDATDYLWYLTDVKIDADEGFLKSGQNPLLTIFSAGHALHVFINGQLAGTAYGGLSNPKLTFSQNIKLTEGINKISLLSVAVGLPNVGLHFETWNAGVLGPITLKGLNEGTRDLSGQKWSYKIGLKGESLSLHTASGSESVEWVEGSLLAQKQALTWYKTAFDAPQGNDPLALDMSSMGKGQMWINGQNIGRHWPGYIAHGSCGDCNYAGTFDDKKCRTNCGEPSQRWYHVPRSWLKPSGNLLAVFEEWGGDPTGISFVKRTTASVCADIFEGQPALKNWQAIASGKVISPQPKAHLWCPTGQKISQIKFASFGMPQGTCGSFREGSCHAHKSYDAFERNCVGKQSCSVTVAPEVFGGDPCPDSAKKLSVEAVCS >Potri.004G169500.4.v4.1 pep chromosome:Pop_tri_v4:4:18679354:18681932:1 gene:Potri.004G169500.v4.1 transcript:Potri.004G169500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169500.v4.1 MSYPDSPVSFMGSQASYQSGSDAIDVWPQFVTNNNEQFEQQQQHQPPYKRPRNSEDNSNQSMSSRVPPTNSLPVHKGTTNIFFKTRVCAKFKTGTCRNGENCNFAHGMQDLRQPPPNWKELVSVGVSSEDDRSTATNREDDLRIIHKMKLCKKFYNGEECPYGDRCNFLHEDPANFREDTGRFRESSAISIGTTDHLMGQGSGIFNAAEVNRPANNAVSDAPRSNLIKPVYWKTKLCTKWEMTGQCPFGEKCHFAHGLAGAFCCLSCISLLYLTCLPLQ >Potri.004G169500.3.v4.1 pep chromosome:Pop_tri_v4:4:18679410:18681932:1 gene:Potri.004G169500.v4.1 transcript:Potri.004G169500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169500.v4.1 MSYPDSPVSFMGSQASYQSGSDAIDVWPQFVTNNNEQFEQQQQHQPPYKRPRNSEDNSNQSMSSRVPPTNSLPVHKGTTNIFFKTRVCAKFKTGTCRNGENCNFAHGMQDLRQPPPNWKELVSVGVSSEDDRSTATNREDDLRIIHKMKLCKKFYNGEECPYGDRCNFLHEDPANFREDTGRFRESSAISIGTTDHLMGQGSGIFNAAEVNRPANNAVSDAPRSNLIKPVYWKTKLCTKWEMTGQCPFGEKCHFAHGLAELQVPGVRTEVDAGNAGSNVTKAPTPVLPNNMSPSMTVNVPSLVEEKGTKCLLKWKGQKKINRIYADWLDDLSLVHNSTNQVQS >Potri.017G116000.3.v4.1 pep chromosome:Pop_tri_v4:17:12323986:12329709:1 gene:Potri.017G116000.v4.1 transcript:Potri.017G116000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116000.v4.1 MVVQKHEMRAPGLVARLMGLDSLPAAHRDKHKKVSNSVDCDVTEEKFVNKCRSGSDRDGLNVVKGSEKVESRPQKLQKTGQFERRAVTRFGAEALQMKGVLSRSRKHHHPKLAPPVKSPRNSSSKNASRTSRLIDAATRILEPGLQATNRAKSALTYSSSVNYCPRDEVLAEEIGIMLPNIVKQQDIEDCNEGAGKSFIGQTSYKNCGNLFDEPNLKEQQFVCPSTGSNYLSSHESEMTKPRLPTSTPDQERNVIYQRHWDQQSIAVKKQDNTRVPSQTITVIKPLSQEGQSQRQSRSQQCRPQQQESSFITFKQRIQTQNEIFVSRNRTPSRAKINNLRSKGASSSANAISGATDFVALNRRIISRGRPRASTLADNSIIDKDRKVCSRRDDSMSQLRSPVRKRRTVSVNAQVESTGFANPMSTRQRNTKSDIVSRKEVASSSRSMDCACIKNGSLNGECNKNNCCREDDVASFTFNSPLRHKNFVSLGLKERSHQIDKNASYQRRRLVLDENDGKTSLQNKLPLKGDALSTILEQKLKELASQEEDELISGGSHLKKSTAMILQELIFALTADQPMSPHAHVFNADKTCEKEGKIRRNSVGISLDGDHLSPGSVLEASFSNDSCFSSSLDDSSGCRMLLDSMDYSYDQPQPVVTHADLLDCATSLIPGRTGSRIATDLLNHVSRILQSINLAGGGLTGNQLSHAKEVILNTELLFGNATLCNSDRMKRFLIGSLLLDGHEALAGAMWKNLNCLLGFEDSKDGIQLRRFLLDCEIECLDSKYSRYCYSGFKAWKRVPSCMNVEMLIQEIGEEVRRWSDFAGMIPDEIIDWEMSHSLGKWTDFEIEGFETGAEIDWDILQTLVEEIAVDLWEWCRVDSF >Potri.017G116000.1.v4.1 pep chromosome:Pop_tri_v4:17:12323026:12329659:1 gene:Potri.017G116000.v4.1 transcript:Potri.017G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116000.v4.1 MVFEIWVCLVLKESDFWFLGEMNETAGTCLAITEKKAHRPGGCVGIFFQLFDWNRRFAKKKLFSRKLLPAARAKQPSKKFGGDEKRPKTKLHLIADENKGGFPNVKKNENCNGDMVVQKHEMRAPGLVARLMGLDSLPAAHRDKHKKVSNSVDCDVTEEKFVNKCRSGSDRDGLNVVKGSEKVESRPQKLQKTGQFERRAVTRFGAEALQMKGVLSRSRKHHHPKLAPPVKSPRNSSSKNASRTSRLIDAATRILEPGLQATNRAKSALTYSSSVNYCPRDEVLAEEIGIMLPNIVKQQDIEDCNEGAGKSFIGQTSYKNCGNLFDEPNLKEQQFVCPSTGSNYLSSHESEMTKPRLPTSTPDQERNVIYQRHWDQQSIAVKKQDNTRVPSQTITVIKPLSQEGQSQRQSRSQQCRPQQQESSFITFKQRIQTQNEIFVSRNRTPSRAKINNLRSKGASSSANAISGATDFVALNRRIISRGRPRASTLADNSIIDKDRKVCSRRDDSMSQLRSPVRKRRTVSVNAQVESTGFANPMSTRQRNTKSDIVSRKEVASSSRSMDCACIKNGSLNGECNKNNCCREDDVASFTFNSPLRHKNFVSLGLKERSHQIDKNASYQRRRLVLDENDGKTSLQNKLPLKGDALSTILEQKLKELASQEEDELISGGSHLKKSTAMILQELIFALTADQPMSPHAHVFNADKTCEKEGKIRRNSVGISLDGDHLSPGSVLEASFSNDSCFSSSLDDSSGCRMLLDSMDYSYDQPQPVVTHADLLDCATSLIPGRTGSRIATDLLNHVSRILQSINLAGGGLTGNQLSHAKEVILNTELLFGNATLCNSDRMKRFLIGSLLLDGHEALAGAMWKNLNCLLGFEDSKDGIQLRRFLLDCEIECLDSKYSRYCYSGFKAWKRVPSCMNVEMLIQEIGEEVRRWSDFAGMIPDEIIDWEMSHSLGKWTDFEIEGFETGAEIDWDILQTLVEEIAVDLWEWCRVDSF >Potri.014G152200.1.v4.1 pep chromosome:Pop_tri_v4:14:10645960:10650931:-1 gene:Potri.014G152200.v4.1 transcript:Potri.014G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152200.v4.1 MDVSLNIAGGGCATRVFLMMKRVLLLLLLLITGICVPMEVVFGQAAANGNGTSVSSSSSPRPSVANIGSLFTFDSVIGRAAGPAIAAAVDDVNSDPTVLPGTRLNLISHNTNCSGFLGTVEEQIRCSCPLVIKAKFRNALQLMENRVVAVIGPQSSGIAHIISHVVNELHVPLLSFAATDPSLSALQYPYFLRTTQNDYFQMYAIADLVTSYGWREVIAIFVDDDCGRNGISILGDALAKKRAKIAYKAALTPGVPRSQISDLLLEVNQMESRVYVVHVNPDSGLSIFSVAKSLHMMTKGYVWIATDWLPSVLDSLEPDDTDTMNLLQGVVSLRHHNPETDLKRSFMSRWSNLNHKKSIGASGFNSYALYAYDTVWLAARALDVFLNEGGNLSHSTDPKLSDTKGSAMNLASLRVFDGGQQFLQTLLRMNFSGPSGQIQFDLDRNLVRPAYDVLNIGGTGSRRIGYWSNYSGLSTISPEVLYTKPRNNSSSNQHLSSVIWPGETSLVPRGWVFPENGKPLRIAVPNRISYQQFVAKDKNPPGVRGYCIDVFEAAINLLPYPVPRTYMLHGDGKRNPEYNEIVQAVAQDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEQKSSPWAFLKPFTIQMWLVTGAFFLFVGAVVWILEHRMNREFRGPPSQQIMTIFWFSFSTMFFSHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLVSSNEPIGIQDGSFARNYLMDELNIAGSRLVILKSQQEYSTALQLGPKNGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHGDCMEQINEIDDSRLSLTSFWGLFLICGISCFIALTTFCCKVIFQFRRFTPEGGEEAEVDEIQPGRPRRSLHSTSFKDLIDFVDRKEAEIKEMLKRKSSTDIKRQASPSSDVHANSPA >Potri.014G152200.4.v4.1 pep chromosome:Pop_tri_v4:14:10645899:10650973:-1 gene:Potri.014G152200.v4.1 transcript:Potri.014G152200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152200.v4.1 MDVSLNIAGGGCATRVFLMMKRVLLLLLLLITGICVPMEVVFGQAAANGNGTSVSSSSSPRPSVANIGSLFTFDSVIGRAAGPAIAAAVDDVNSDPTVLPGTRLNLISHNTNCSGFLGTVEALQLMENRVVAVIGPQSSGIAHIISHVVNELHVPLLSFAATDPSLSALQYPYFLRTTQNDYFQMYAIADLVTSYGWREVIAIFVDDDCGRNGISILGDALAKKRAKIAYKAALTPGVPRSQISDLLLEVNQMESRVYVVHVNPDSGLSIFSVAKSLHMMTKGYVWIATDWLPSVLDSLEPDDTDTMNLLQGVVSLRHHNPETDLKRSFMSRWSNLNHKKSIGASGFNSYALYAYDTVWLAARALDVFLNEGGNLSHSTDPKLSDTKGSAMNLASLRVFDGGQQFLQTLLRMNFSGPSGQIQFDLDRNLVRPAYDVLNIGGTGSRRIGYWSNYSGLSTISPEVLYTKPRNNSSSNQHLSSVIWPGETSLVPRGWVFPENGKPLRIAVPNRISYQQFVAKDKNPPGVRGYCIDVFEAAINLLPYPVPRTYMLHGDGKRNPEYNEIVQAVAQDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEQKSSPWAFLKPFTIQMWLVTGAFFLFVGAVVWILEHRMNREFRGPPSQQIMTIFWFSFSTMFFSHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLVSSNEPIGIQDGSFARNYLMDELNIAGSRLVILKSQQEYSTALQLGPKNGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHGDCMEQINEIDDSRLSLTSFWGLFLICGISCFIALTTFCCKVIFQFRRFTPEGGEEAEVDEIQPGRPRRSLHSTSFKDLIDFVDRKEAEIKEMLKRKSSTDIKRQASPSSDVHANSPA >Potri.014G152200.2.v4.1 pep chromosome:Pop_tri_v4:14:10645961:10651384:-1 gene:Potri.014G152200.v4.1 transcript:Potri.014G152200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152200.v4.1 MDVSLNIAGGGCATRVFLMMKRVLLLLLLLITGICVPMEVVFGQAAANGNGTSVSSSSSPRPSVANIGSLFTFDSVIGRAAGPAIAAAVDDVNSDPTVLPGTRLNLISHNTNCSGFLGTVEALQLMENRVVAVIGPQSSGIAHIISHVVNELHVPLLSFAATDPSLSALQYPYFLRTTQNDYFQMYAIADLVTSYGWREVIAIFVDDDCGRNGISILGDALAKKRAKIAYKAALTPGVPRSQISDLLLEVNQMESRVYVVHVNPDSGLSIFSVAKSLHMMTKGYVWIATDWLPSVLDSLEPDDTDTMNLLQGVVSLRHHNPETDLKRSFMSRWSNLNHKKSIGASGFNSYALYAYDTVWLAARALDVFLNEGGNLSHSTDPKLSDTKGSAMNLASLRVFDGGQQFLQTLLRMNFSGPSGQIQFDLDRNLVRPAYDVLNIGGTGSRRIGYWSNYSGLSTISPEVLYTKPRNNSSSNQHLSSVIWPGETSLVPRGWVFPENGKPLRIAVPNRISYQQFVAKDKNPPGVRGYCIDVFEAAINLLPYPVPRTYMLHGDGKRNPEYNEIVQAVAQDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEQKSSPWAFLKPFTIQMWLVTGAFFLFVGAVVWILEHRMNREFRGPPSQQIMTIFWFSFSTMFFSHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLVSSNEPIGIQDGSFARNYLMDELNIAGSRLVILKSQQEYSTALQLGPKNGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHGDCMEQINEIDDSRLSLTSFWGLFLICGISCFIALTTFCCKVIFQFRRFTPEGGEEAEVDEIQPGRPRRSLHSTSFKDLIDFVDRKEAEIKEMLKRKSSTDIKRQASPSSDVHANSPA >Potri.014G152200.3.v4.1 pep chromosome:Pop_tri_v4:14:10645995:10651155:-1 gene:Potri.014G152200.v4.1 transcript:Potri.014G152200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152200.v4.1 MDVSLNIAGGGCATRVFLMMKRVLLLLLLLITGICVPMEVVFGQAAANGNGTSVSSSSSPRPSVANIGSLFTFDSVIGRAAGPAIAAAVDDVNSDPTVLPGTRLNLISHNTNCSGFLGTVEALQLMENRVVAVIGPQSSGIAHIISHVVNELHVPLLSFAATDPSLSALQYPYFLRTTQNDYFQMYAIADLVTSYGWREVIAIFVDDDCGRNGISILGDALAKKRAKIAYKAALTPGVPRSQISDLLLEVNQMESRVYVVHVNPDSGLSIFSVAKSLHMMTKGYVWIATDWLPSVLDSLEPDDTDTMNLLQGVVSLRHHNPETDLKRSFMSRWSNLNHKKSIGASGFNSYALYAYDTVWLAARALDVFLNEGGNLSHSTDPKLSDTKGSAMNLASLRVFDGGQQFLQTLLRMNFSGPSGQIQFDLDRNLVRPAYDVLNIGGTGSRRIGYWSNYSGLSTISPEVLYTKPRNNSSSNQHLSSVIWPGETSLVPRGWVFPENGKPLRIAVPNRISYQQFVAKDKNPPGVRGYCIDVFEAAINLLPYPVPRTYMLHGDGKRNPEYNEIVQAVAQDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEQKSSPWAFLKPFTIQMWLVTGAFFLFVGAVVWILEHRMNREFRGPPSQQIMTIFWFSFSTMFFSHRENTVSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLVSSNEPIGIQDGSFARNYLMDELNIAGSRLVILKSQQEYSTALQLGPKNGGVAAIVDELPYIELFLSSTNCKFRTVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHGDCMEQINEIDDSRLSLTSFWGLFLICGISCFIALTTFCCKVIFQFRRFTPEGGEEAEVDEIQPGRPRRSLHSTSFKDLIDFVDRKEAEIKEMLKRKSSTDIKRQASPSSDVHANSPA >Potri.006G048400.7.v4.1 pep chromosome:Pop_tri_v4:6:3320559:3324951:-1 gene:Potri.006G048400.v4.1 transcript:Potri.006G048400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048400.v4.1 MNRTITNIKSASPSLSLTRKGLDAFLVWLFMRISPNVSFSFSGDAFFIILKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLEAGQSVEMGTIHAGDFMRRFCSNLGMSNHTVKAATEAVKTSEQFDIRRSPISIAAAVIYIITQLSDDKKPLRDISLATGVAEGTIRNSYKDLYPHVSKIIPAWYANEEDLKNLSSP >Potri.006G048400.1.v4.1 pep chromosome:Pop_tri_v4:6:3320554:3325026:-1 gene:Potri.006G048400.v4.1 transcript:Potri.006G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048400.v4.1 MGDAFCSDCKKHTEVVCDHSAGDTVCSECGLVLESHSIDETSEWRIFANESGDNDPVRVGGPTNPLLTDGGLSTVIAKPNGASGDFLSTSLGRWQNRGSNPDRGLILAFKTIATMSDRLGLVATIKDRANEIYKKVEDQKSSRGRNQDALLAACLYIACRQEDKPRTVKEICSVANGATKKEIGRAKEYIVKQLGLEAGQSVEMGTIHAGDFMRRFCSNLGMSNHTVKAATEAVKTSEQFDIRRSPISIAAAVIYIITQLSDDKKPLRDISLATGVAEGTIRNSYKDLYPHVSKIIPAWYANEEDLKNLSSP >Potri.001G401700.1.v4.1 pep chromosome:Pop_tri_v4:1:42769790:42771411:1 gene:Potri.001G401700.v4.1 transcript:Potri.001G401700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401700.v4.1 MGPFVTLSVLVCQFLFFVCLLSLKGINGGWLNAHATFYGANQSPATLGGACGYDNTFHAGFGVNTAAVSGALFRGGEACGACYQLMCNYRADPKWCLRRAVITVTATNFCPPNNNGGWCDPPRQHFDMSMPAFFRIARQGNEGIVPVLYRRVSCTRRGGVRFTLRGQSNFNLVMISNVGGSGDIKAAWVRGSRARSWVPLHRNWGANWQSSFDLRNQKLSFKLTLVDGKTLEFFNVVPSTWMFGQTFSSRSQFS >Potri.006G131000.1.v4.1 pep chromosome:Pop_tri_v4:6:10682360:10686399:1 gene:Potri.006G131000.v4.1 transcript:Potri.006G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GT43A MGSLERSKKKVQLWKKAIVHFGLCFVMGFFTGFAPGGKASIFSSHVVASNKSQPVEMLHQQVASTPHASNVNRSLIAESPVPTPLSSKESEPAKFLEKEEEPKPKLLPRRLAIIVTPISTEDPYQGVFLRRLANTIRLVPPPLLWIVVEGQSDSDEVSEILRKTGIMYRHLVIKENFTDPEAELDHQRNVALRHIEQHRLSGIVHFAGLSNVYDLGFFDELRQIEVFGTWPVALLSANKNKVTIEGPVCDSSQVIGWHLKKMNNETDKRPPIHISSFGFNSSILWDPERWGRPSSVQQTSQNSIKFVKQAALEDETELKGIPPEDCSKIMLWRLNLPVSKSPSYHLSTTGSTDASRRKI >Potri.002G241600.1.v4.1 pep chromosome:Pop_tri_v4:2:23372901:23375981:1 gene:Potri.002G241600.v4.1 transcript:Potri.002G241600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241600.v4.1 MGCFCCFSSNENNVDRRRNGSRRSAQPPAYPPRNDHNNIAPQPENCKPRPPPESNDNKDARDHKEAANGSSNVIAAQTFTFRELAMATKNFRRECLIGEGGFGRVYKGKLDKGGQVVAVKQLDRNGLQGNREFLVEVLMLSLLHHQNLVNLVGYCADGDQRLLVYEYMPKGSLEDHLLDLTPEQKPLDWFSRMKIALGAAKGLEYLHDKANPPVIYRDLKSSNILLDKFFNAKLSDFGLAKLGPVGEKLHVSSRVMGTYGYCAPEYQRTGQLTVKSDVYSFGVVFLELITGKRVIDTTRQNNEQNLVAWAQPVFKEPSRYPELADPLLQGDFPVRGLNQAVAVAAMCLQEEPLVRPLISDVVSALGLLGDGLEGGAEPAASFPSPPTMETLDSAKERQLAVDEAIEWGSNSRRLASQSPRATVS >Potri.005G022800.1.v4.1 pep chromosome:Pop_tri_v4:5:1453557:1455547:1 gene:Potri.005G022800.v4.1 transcript:Potri.005G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022800.v4.1 MQDYKQFNDLYKAPFQHFHSQNLVSAMASKLITLCTTSLLLLLLCSPSLANYSFSSIFNTPETICENTRFPHFCKSSLPHNKPGTIHDYAKISFQQSLSHAQRFLWLVQHYSRLPSTLYKSTILALEDCLFLAQENIDYLSYVMETLKSSADDALQGYQAEDLQTLLSATLTNQETCLDGLQYRSSSSSIKNALLVPISNGTMHYSVALALFTRGWAHSTMKGRYLTERKHVFSDLEDGASKGLPLMMSSKDKQIYESVSGRRVLKTSNLTGVLVSKVVVVDPYGSGKFRTITEAVAAAPNNTFASNGYYVIYVVAGVYKEYVSIPKSKKYLMMIGAGINQTVITGNRSVDDGWTTFNSATFAVLGQGFVAVNITFRNTAEAIKHQAVAVRSGADMSTFYKCSFEGYQDTLYTHSLRQFYRDCDIYGTIDYIFGNAAVVLQNCNIYSRLPLDNQFNTLTAQGRTDPNQNTGTSIQNCTIKAAKDLASSNSSTKTYLGRPWKEYSVTVIMQSFIDSVIDPAGWSAWSGEFALSTLYYAEFNNTGPGSNTTNRVQWPGYHVISGTEAANFTVSNFIAGGFWLPGTGVPYVGGLQ >Potri.001G260300.1.v4.1 pep chromosome:Pop_tri_v4:1:27647779:27648892:1 gene:Potri.001G260300.v4.1 transcript:Potri.001G260300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G260300.v4.1 MKPVKQHLWSITVGPLTFRSVKLKASSSKPPPPQQQQPSSSSSLPRSRKTRAKSSSNSKSRSWSVYLILSTNHPIKTYVGVTTNFSRRLKQHNGKLKGGAKASRAGRPWICACIIRGFNDRSEACKFESKWKSFSRKFPRKRIDDDQMKLEQEGLAPTPAT >Potri.001G260300.2.v4.1 pep chromosome:Pop_tri_v4:1:27647943:27648892:1 gene:Potri.001G260300.v4.1 transcript:Potri.001G260300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G260300.v4.1 LSQTFRSVKLKASSSKPPPPQQQQPSSSSSLPRSRKTRAKSSSNSKSRSWSVYLILSTNHPIKTYVGVTTNFSRRLKQHNGKLKGGAKASRAGRPWICACIIRGFNDRSEACKFESKWKSFSRKFPRKRIDDDQMKLEQEGLAPTPAT >Potri.010G041200.13.v4.1 pep chromosome:Pop_tri_v4:10:7220836:7224774:1 gene:Potri.010G041200.v4.1 transcript:Potri.010G041200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041200.v4.1 MTQTDSKCEHITVHTVTQTSPCPILSCVEKAPKYAHVSLIPVADSDQSSCNQPSASGVLQDVHISPLLMEDFLELARENTEKDLETCGVLGAFLTHPSQSCFMSSIDLHTHFSYQAMVPEAFAIVMAPTDQSRSYGIFRLSDPGGMSVLKECEESGFHPHGEPADGSPIYEHCANVFTNTNLRFEIFDLR >Potri.010G041200.12.v4.1 pep chromosome:Pop_tri_v4:10:7220884:7224807:1 gene:Potri.010G041200.v4.1 transcript:Potri.010G041200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041200.v4.1 MTQTDSKCEHITVHTVTQTSPCPILSCVEKAPKYAHVSLIPVADSDQSSCNQPSASGVLQDVHIEHGTYYVTTLIIPKQDSTSSSCEALKEEEFFAIQNERSLFPVGWIHTHPSQSCFMSSIDLHTHFSYQAMVPEAFAIVMAPTDQSRSYGIFRLSDPGGMSVLKECEESGFHPHGEPADGSPIYEHCANVFTNTNLRFEIFDLR >Potri.006G175087.1.v4.1 pep chromosome:Pop_tri_v4:6:17915186:17915479:1 gene:Potri.006G175087.v4.1 transcript:Potri.006G175087.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175087.v4.1 MSDCEVSNLGHQDPNFTWHKHIHGVRYLAKRLDKALASLDWQTSFPKAYVETLCRIHSDHNPIILPWEIARLTCERSFRFEAAWTTHSDYQDIVCSA >Potri.009G101800.1.v4.1 pep chromosome:Pop_tri_v4:9:9000384:9004145:1 gene:Potri.009G101800.v4.1 transcript:Potri.009G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101800.v4.1 MDSILSHNGSANPSHFLLSICFAFIFFPARFLLDRFLFRRLAIWLLHSKARAIPSRIDEATIVKCSESMWKLTYYATVEICALKITCNEPWFRDTEEYFRGWPHQELRFPVTVFYMCECGFYIYSIAALLMWETRRKDFAVMMSHHVITVILIGYSYITRFFRIGMIILALHDASDVFLEAAKVFKYSGKELRASVFFGLFAVSWLILRLIFFPFWVIRATSYELVKFLDLSLAYDKLVYYVFNTMLLMLLVFHIYWWILIYSMIMRQLRNRGRVGEDIRSDSEDDE >Potri.014G134601.2.v4.1 pep chromosome:Pop_tri_v4:14:9020685:9028372:1 gene:Potri.014G134601.v4.1 transcript:Potri.014G134601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G134601.v4.1 MTESLEPQHHQQQEPKQQTTTIMNPKPQQKRLCDYCNDTTALLYCRADSAKLCLSCDHEVHSTNQLFSKHTRSLLCDVCHTSPVSIFCETEHSVFCQNCDLERHNLSSFPSTHNRRPIEGFTGCPSGNELMEILGFEDMGLKQSMLFSEETDGFMGSGLDDGYSDLFVWDSTAVSIDDFIMSSDSGPNLQALGVPPLPKENGEAANQVSFPSTLPGSNFEESRAVPEKEFNISDSASHINDGHEAEPQPSTIGTLPVLPNDGTHELSSQERDSAISRYKEKKQTRRYDKRIRYESRKVRADSRTRIKGRFAKLDH >Potri.010G253200.1.v4.1 pep chromosome:Pop_tri_v4:10:22483474:22488364:1 gene:Potri.010G253200.v4.1 transcript:Potri.010G253200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G253200.v4.1 MAETKTVHSPLVTYFSMLSLLTLCPPFVILLWYTMVHADGSVCQTWDYLKQHGLQGFINIWPRPTAIAWKIIACYAAFEAALQLLLPGKKVEGPISPEGNRPVYKANGVAAYVVTLVTYLSLWWFGIFNPSIVYDNLGEIFSALIFGSLVFCVFLYIKGHLAPSSTDSGSSGNMIIDFYWGLELYPRIGKNFDIKVFTNCRFGMMSWAVLALTYCIKQYEQNGKVADSMLVNTILMLVYVTKFFWWEAGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGLQLALYILAAGILSIYVNYDCDRQRQEFRRTNGKCLVWGRAPSKIVASYTTTSGQTKISLLLTSGWWGLSRHFHYVPEILAAFFWTVPALFNHFLPYFYVIFLTILLFDRAKRDDDRCRSKYGKYWKLYCEKVRYRIVPGIY >Potri.003G095000.2.v4.1 pep chromosome:Pop_tri_v4:3:12107444:12113506:1 gene:Potri.003G095000.v4.1 transcript:Potri.003G095000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095000.v4.1 MDGTINSASGGDSSISGGGAQAASVPMASQSNAPPPFLSKTYDMVDDPATDAVVSWSSTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRIISRRKPAHGHANQQPQQPHGQNSSVAACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDSQLQTMVQRLQGMEQRQQQMMSFLAKAMNSPGFLAQFVQQQNDNNRRITEANKKRRLKQEDVPENEGSGSHDGQIVKYQPLMNEAAQAMLRQIMKMDASSKLESYDNNLGAKDSGSSSSHTSGVTLQEVLPTSGQSAHIPAAFGISRQGPSAPISEIQSSLQIASSEKVTASQFPDISMPVGAQGATSIPIPQADVIMPHVSQMLEMVPENVADIPCEDYMEPETCNDGFIDLASLGINGIPIDIDNISPDPDIDALLDNSSFWDDLLVQSPEHEDIESSSVEGKANGNDVQPNANGWDKAQHVDQLTEQMELLTSDKKL >Potri.003G095000.1.v4.1 pep chromosome:Pop_tri_v4:3:12107421:12113578:1 gene:Potri.003G095000.v4.1 transcript:Potri.003G095000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095000.v4.1 MDGTINSASGGDSSISGGGAQAASVPMASQSNAPPPFLSKTYDMVDDPATDAVVSWSSTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRIISRRKPAHGHANQQPQQPHGQNSSVAACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDSQLQTMVQRLQGMEQRQQQMMSFLAKAMNSPGFLAQFVQQQNDNNRRITEANKKRRLKQEDVPENEGSGSHDGQIVKYQPLMNEAAQAMLRQIMKMDASSKLESYDNNLGAKDSGSSSSHTSGVTLQEVLPTSGQSAHIPAAFGISRQGPSAPISEIQSSLQIASSEKVTASQFPDISMPVGAQGATSIPIPQADVIMPHVSQMLEMVPENVADIPCEDYMEPETCNDGFIDLASLGINGIPIDIDNISPDPDIDALLDNSSFWDDLLVQSPEHEDIESSSVEGKANGNDVQPNANGWDKAQHVDQLTEQMELLTSDKKL >Potri.007G102250.1.v4.1 pep chromosome:Pop_tri_v4:7:12594915:12609866:-1 gene:Potri.007G102250.v4.1 transcript:Potri.007G102250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102250.v4.1 MGSSSSLFLFFTLFTTLQSPILAAKKSYVVYLGRQSYASEPSTTDLDRVTDAHHELLGSCMKSKEKAKQAIFYSYTRYINGFAAVLEDEEAAEISKHPEVVSVSRNQISQLHTTNSWGFLGLERNGEIPANSMWLKARFGEDVIIGTLDSGVWPESESFNDEGMGPVPSKWKGYCDPNDGIKCNRKLIGARYFSKGYEAAETLDSSYHTARDYDGHGTHTLSTAGGRFVSGANLLGSAYGTAKGGSPKSRVASYKVCWPRCSDADVLAGYEAAIHDGVDILSVSLGSGPREYFTHGNAIGAFLAVERGILVVASAGNEGPDPGIVGNVAPWILTVACSTISRDFTSNVILGNNKQYKVRSGVSFNTNTQPAGKSYPLINSVDAKAANVSSNQAKYCSIGSLDPLKVKGKIVYCTRNEDPDIVEKSLVVAQAGGVGVILANQFITEQILPLAHFVPTSFVSADDGLSILTYVYGTKSPVAYISGATEVGTVAAPVMADFSSPGPNFITPEILKPDITAPGVNILAAFTGASGPADVRGDRRRVHFNFLSGTSMACPHVSGIAGLLKTIHPDWSPAAIKSAIMTTATTISNVKQPIANASLLEANPLNYGAGHVWPSRAMDPGLVYDLTTKNYVNFLCSIGYNSTQLSLFIGKPYICPSHNNGLLDFNYPSITVPNLSSKATLSRTLKNVGTPSLYRVNIRAPGGISVKVEPRSLKFDKINEEKMFKVTLEAKKGFKSNDYVFGEITWSDGKHHVRSPVVVKKMAVAA >Potri.007G102250.3.v4.1 pep chromosome:Pop_tri_v4:7:12606089:12610126:-1 gene:Potri.007G102250.v4.1 transcript:Potri.007G102250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102250.v4.1 MGSSSSLFLFFTLFTTLQSPILAAKKSYVVYLGRQSYASEPSTTDLDRVTDAHHELLGSCMKSKEKAKQAIFYSYTRYINGFAAVLEDEEAAEISKHPEVVSVSRNQISQLHTTNSWGFLGLERNGEIPANSMWLKARFGEDVIIGTLDSGVWPESESFNDEGMGPVPSKWKGYCDPNDGIKCNRKLIGARYFSKGYEAAETLDSSYHTARDYDGHGTHTLSTAGGRFVSGANLLGSAYGTAKGGSPKSRVASYKVCWPRCSDADVLAGYEAAIHDGVDILSVSLGSGPREYFTHGNAIGAFLAVERGILVVASAGNEGPDPGIVGNVAPWILTVACSTISRDFTSNVILGNNKQYKGVSFNTNTQPAGKSYPLINSVDAKAANVSSNQAKYCSIGSLDPLKVKGKIVYCTRNEDPDIVEKSLVVAQAGGVGVILANQFITEQILPLAHFVPTSFVSADDGLSILTYVYGTKSPVAYISGATEVGTVAAPVMADFSSPGPNFITPEILKPDITAPGVNILAAFTGASGPADVRGDRRRVHFNFLSGTSMACPHVSGIAGLLKTIHPDWSPAAIKSAIMTTATTISNVKQPIANASLLEANPLNYGAGHVWPSRAMDPGLVYDLTTKNYVNFLCSIGYNSTQLSLFIGKPYICQPHNNGLLDFNYPSITVPNLSGNKTTLSRTLKNVGTPSLYRVNIRAPGGISVKVEPRSLKFDKINEEKMFKVTLEAKKGFKSNDYVFGEITWSDENHHVRSPVVVKKMAVAA >Potri.007G102250.2.v4.1 pep chromosome:Pop_tri_v4:7:12594915:12609866:-1 gene:Potri.007G102250.v4.1 transcript:Potri.007G102250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102250.v4.1 MGSSSSLFLFFTLFTTLQSPILAAKKSYVVYLGRQSYASEPSTTDLDRVTDAHHELLGSCMKSKEKAKQAIFYSYTRYINGFAAVLEDEEAAEISKHPEVVSVSRNQISQLHTTNSWGFLGLERNGEIPANSMWLKARFGEDVIIGTLDSGVWPESESFNDEGMGPVPSKWKGYCDPNDGIKCNRKLIGARYFSKGYEAAETLDSSYHTARDYDGHGTHTLSTAGGRFVSGANLLGSAYGTAKGGSPKSRVASYKVCWPRCSDADVLAGYEAAIHDGVDILSVSLGSGPREYFTHGNAIGAFLAVERGILVVASAGNEGPDPGIVGNVAPWILTVACSTISRDFTSNVILGNNKQYKVRSGVSFNTNTQPAGKSYPLINSVDAKAANVSSNQAKYCSIGSLDPLKVKGKIVYCTRNEDPDIVEKSLVVAQAGGVGVILANQFITEQILPLAHFVPTSFVSADDGLSILTYVYGTKSPVAYISGATEVGTVAAPVMADFSSPGPNFITPEILKPDITAPGVNILAAFTGASGPADVPGDRRRVHFNFKSGTSMACPHVSGIGGLLKTIHPDWSPAAIKSAIMTTATTISNVRQPIANASLLEANPLNYGAGHVWPSRAMDPGLVYDLTTKDYVHFLCSIGYNSTQLSLFIGKPYICPSHNNGLLDFNYPSITVPNLSSKATLSRTLKNVGTPSLYRVNIRAPGGISVKVEPRSLKFDKINEEKMFKVTLEAKKGFKSNDYVFGEITWSDGKHHVRSPVVVKKMAVAA >Potri.002G057900.4.v4.1 pep chromosome:Pop_tri_v4:2:3976537:3980780:1 gene:Potri.002G057900.v4.1 transcript:Potri.002G057900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057900.v4.1 MGFLMPVGVIAIRMSHREACGRRLKILFYVHSISQMLSVLLSTAGAVMSIKNFNNSFDNHHQRIGVGLYGMVWLQALIGFLRPRRGSKGRGLWFFVHWITGTAVSLLGIVNVYTGLQAYHQKTSRRIHIWTIVFTTEVSFIIFFYLFQDKWDYIHKQGVILEPLRPTHQVISPGEKQKGSTTESC >Potri.002G057900.3.v4.1 pep chromosome:Pop_tri_v4:2:3977405:3980742:1 gene:Potri.002G057900.v4.1 transcript:Potri.002G057900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057900.v4.1 MGFLMPVGVIAIRMSHREACGRRLKILFYVHSISQQMLSVLLSTAGAVMSIKNFNNSFDNHHQRIGVGLYGMVWLQALIGFLRPRRGSKGRGLWFFVHWITGTAVSLLGIVNVYTGLQAYHQKTSRRIHIWTIVFTTEVSFIIFFYLFQDKWDYIHKQGVILEPLRPTHQVISPGEKQKGSTTESC >Potri.007G116750.1.v4.1 pep chromosome:Pop_tri_v4:7:13616814:13617317:1 gene:Potri.007G116750.v4.1 transcript:Potri.007G116750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116750.v4.1 MLSGCPFTRSLFVLVELLHLHCLLIIHNNRYDDRIRSEKTNPNFLR >Potri.006G202600.1.v4.1 pep chromosome:Pop_tri_v4:6:21000489:21002234:-1 gene:Potri.006G202600.v4.1 transcript:Potri.006G202600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202600.v4.1 MEDIEVPSFFLCPISLQIMKDPVIVPTGITYDRESIEKWLFSGKNDTCPITKLVISGCEVTPNHTLRRLIQSWCTLNASYGIERIPTPKPPINKTQVAKLLNDAKSPQQQVKCIRKLRSIANENETNKRCMEAAGAVEFLVSVVNNFNSLSFEETSDDGFEIARPGDEALSILYGLEISESGLKNLIMGINGELIIETLTKVMQGGNYESRAYAVLLLKSMLEVADPLKLISLKHELFSEIVQVLRDQISHKASNASLQLLINLCPWGRNRIKAIEAKAVSVLIDLLLDSSERRTCEMVLMVLDLLCQCAEGRAELLGHGAGLAVVSKKILRVSQVASERAVRIILSISKYSTTTSVLQEMLQIGIVAKLCLVLQVDCGSKTKDKAREVLKIHARVWKSSRCIPANLLSSYPA >Potri.011G096600.2.v4.1 pep chromosome:Pop_tri_v4:11:12473836:12476512:-1 gene:Potri.011G096600.v4.1 transcript:Potri.011G096600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096600.v4.1 MGESHHQAATSSRLGISNTVGEIVEVQGGLIVRPTGRKDRHSKVCTAKGPRDRRVRLSAHTAIQFYDVQDRLGYDRPSKAVDWLIKKAKTSIDELAELPAWDPTTAGFTPKTSKTATRSTKQQNICDEKEYGLSVENVATSKIAEVQNTQQQMAENPNSSLGFLPPSLESDVIADTIKTFFPILGASTETSSPTIQFQNYPPDLMSRTSSQSQDLRLSLLSFQGPILLQHQAHHHGHQAQSEHQVFSGTTAHHVGFDCSSGGWSEQHYPQEITRFQRNLVAWNAADAGSGGGGSAGLIFNAPLPPPQTMPPSPLVQPFFGQNQFFSQRGTLQSSNTPSFRAWIDPAITPDHHLQLRQQQQQQQTAISGIGFTASDGGFSGFRVPTRIQGEEEERDGIHNKPSSASSDSRS >Potri.005G010400.1.v4.1 pep chromosome:Pop_tri_v4:5:889134:892897:1 gene:Potri.005G010400.v4.1 transcript:Potri.005G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010400.v4.1 MDPSQPPGENYANPKTCFFHVLFKAGALAFYILSALFFNSFVIIFVVTVLLAALDFWVVKNVSGRILVGLRWWNEINDLGESVWKFESLDQESLARMNKKDSWLFWWTLYITAVAWIVLGIFSLIRFQADYCLIVGVCLTLSIANIVGFTKCRKDAKKQFQQFASQTIASRVSSTIQSAFSVV >Potri.010G196700.1.v4.1 pep chromosome:Pop_tri_v4:10:19033604:19036688:-1 gene:Potri.010G196700.v4.1 transcript:Potri.010G196700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G196700.v4.1 MAGLDGVVSVFPSQKKKLHTTRSWDFMGFPKNVTRATSESDIIVAMLDTGIWPESESFNDEGYGPPPSKWKGTCQASSNFTCNNKIIGARYYHSEGKVEPGDFASPRDSEGHGTHTASTAAGRLVSEASQLGLATGTARGGVPSARIAVYKICWSDGCSDADILAAFDDAIADGVDIISLSVGGWPMDYFEDSIAIGAFHSMKNGILTSNSAGNSGPDPESISNCSPWSLSVAASTMDRKFVTPVMLGNGAIYEGISINTFEPGNIMPPFIYGGDAPNKTAGYNGSESRYCPLDSLNSTVVEGKVVLCDQISGGEEARASHAVGSIMNGDDYSDVAFSFPLPVSYLSSSDGADLLKYLNSTSEPTATIMKSIEIKDETAPFVVSFSSRGPNPITSDLLKPDLTAPGVHILAAWSEATTVTGSPGDTRVVKYNIISGTSMSCPHASGAAAYVKAFNPSWSPAAIKSALMTTASSMSSSINNDAEFAYGSGHINPAKAIDPGLVYDAGEIDYVRFLCGQGYNATQLLLITGDNSTCSAETNGTVWDLNYPSFALSAKSGKTITRVFHRTVTNVGSATSTYKSITNAPSGLNIQIEPDVLSFQSLGQQLSFCVTVEATLGKTVLSGSLVWEDGVHQVRSPVVANPSH >Potri.007G042800.2.v4.1 pep chromosome:Pop_tri_v4:7:3645584:3646310:1 gene:Potri.007G042800.v4.1 transcript:Potri.007G042800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042800.v4.1 MHPPVYQDNPKYAPQGYPMNISTRQPYPPYISSATATATRWSTGLCHCCDDPANCLVTCMCPCVTFEQIAEVVNKGSISYAASGAVYGLLLGFTGLSCLYSCFYRSRLRGQYDLEEAPCVDCLVHFFYEPCALCQEYRELRNRGFDMGIGWHANMDRQNRGITVAPPVVGGGMSR >Potri.002G019900.1.v4.1 pep chromosome:Pop_tri_v4:2:1221035:1224963:1 gene:Potri.002G019900.v4.1 transcript:Potri.002G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019900.v4.1 MGTLLCFLLPFLVLLFTACSGYSELEVLLKLKSSMYGHNGTGLEDWVASPTSPSAHCFFSGVTCDESSRVVSLNLSFRHLPGSIPPEIGLLNKLVNLTLANDNLTGELPAEIAMLKSLRILNISGNAIGGNFSGKITPGMTQLEVLDIYNNNCSGPLPIEIANLKKLKHLHLGGNFFSGKIPEEYSEIMSLEFLGLNGNDLSGKVPSSLSKLKNLKSLCIGYYNHYEGGIPPEFGSLSNLELLDMGSCNLNGEIPSTLGQLTHLHSLFLQFNNLTGYIPSELSGLISLKSLDLSINNLTGEIPESFSALKNLTLLNLFQNKLHGPIPDFVGDFPNLEVLQVWGNNFTFELPKQLGRNGKLMYLDVSYNHLTGLVPRDLCKGGKLKTLILMNNFFIGSLPEEIGQCKSLLKIRIICNLFTGTIPAGIFNLPLVTQIELSHNYFSGELPPEISGDALGSLSVSDNRITGRIPRAIGNLKSLQFLSLEMNRLSGEIPDEIFSLEILSKISIRANNISGEIPASMFHCTSLTSVDFSQNSISGEIPKEITKLKDLSILDLSRNQLTGQLPSEIRYMTSLTTLNLSYNNLFGRIPSVGQFLAFNDSSFLGNPNLCVARNDSCSFGGHGHRRSFNTSKLMITVIALVTALLLIAVTVYRLRKKNLQKSRAWKLTAFQRLDFKAEDVLECLKEENIIGKGGAGIVYRGSMPEGIDHVAIKRLVGRGTGRNDHGFSAEIQTLGRIRHRNIVRLLGYVSNKDTNLLLYEYMPNGSLGELLHGSKGGHLQWETRYRIAVEAAKGLCYLHHDCSPLIIHRDVKSNNILLDSDFEAHVADFGLAKFLQDAGASECMSSIAGSYGYIAPEYAYTLKVDEKSDVYSCGVVLLELIAGRKPVGEFGDGVDIVRWVRKTTSELSQPSDAASVLAVVDPRLSGYPLTGAIHLFKIAMLCVKDESSNRPTMREVVHMLTNPPQSASSLLTL >Potri.003G131300.2.v4.1 pep chromosome:Pop_tri_v4:3:14949376:14953553:1 gene:Potri.003G131300.v4.1 transcript:Potri.003G131300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131300.v4.1 MAREPCEDKSRNMVSSGSFCYPDVSSSNPTIQTHLVNQIQGYESNPEIFNLTTGMEMIGFSKNLQHQQSDSNSVMWKGFFNKPANNHHPGGPSSSKTIHESTSGDFYQHEFSKPDFSTGISEASNENLMVGADHSAPWQENRLLVDDSSLTCVFPCEGNERPSQGLSLSLCPSNPSSIGLHSFELRHTPTHQNQDNSQEEMRFFGKSPANIQQQMMQDGFLKAANLHHQAQFQLRNSKYLGPAQDLLNEFCNIRTKQGDALKQKPHKPKQLDDDQNGSSSRKQSLESLEFIELQKRKTKMLSMLEEVDRRYRHYCDQMKTMVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPVAPGTTKGETPRLKILDQALRQQRAFQQMSMMESHPWRPQRGLPERSVSFLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDKNMASSDGVTDLDENINGRPNQNPSSTDQKPTPDHLIRIDSECLSSIISNPDKNGTNKSTISFQSHHLQHQQQSFGNFGSMDLDFSSYNHHAAGGVSYANDSASNQNFNGGGGVSLTLGLQQHGGSGVSLAFSPASQSSLFYPRDHIEDCQPVQYSLLDGEGQNLPYRNLMGAQLLHDMAG >Potri.003G131300.6.v4.1 pep chromosome:Pop_tri_v4:3:14949531:14953689:1 gene:Potri.003G131300.v4.1 transcript:Potri.003G131300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131300.v4.1 MAREPCEDKSRNMVSSGSFCYPDVSSSNPTIQTHLVNQIQGYESNPEIFNLTTGMEMIGFSKNLQHQQSDSNSVMWKGFFNKPANNHHPGGPSSSKTIHESTSGDFYQHEFSKPDFSTGISEASNENLMVGADHSAPWQENRLLVDDSSLTCVFPCEGNERPSQGLSLSLCPSNPSSIGLHSFELRHTPTHQNQDNSQEEMRFFGKSPANIQQQMMQDGFLKAANLHHQAQFQLRNSKYLGPAQDLLNEFCNIRTKQGDALKQKPHKPKQLDDDQNGSSSRKQSLESLEFIELQKRKTKMLSMLEEVDRRYRHYCDQMKTMVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPVAPGTTKGETPRLKILDQALRQQRAFQQMSMMESHPWRPQRGLPERSVSFLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDKNMASSDGVTDLDENINGRPNQNPSSTDQKPTPDHLIRIDSECLSSIISNPDKNGTNKSTISFQSHHLQHQQQSFGNFGSMDLDFSSYNHHAAGGVSYANDSASNQNFNGGGGVSLTLGLQQHGGSGVSLAFSPASQSSLFYPRDHIEDCQPVQYSLLDGEGQNLPYRNLMGAQLLHDMAG >Potri.003G131300.1.v4.1 pep chromosome:Pop_tri_v4:3:14949581:14953528:1 gene:Potri.003G131300.v4.1 transcript:Potri.003G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131300.v4.1 MAREPCEDKSRNMVSSGSFCYPDVSSSNPTIQTHLVNQIQGYESNPEIFNLTTGMEMIGFSKNLQHQQSDSNSVMWKGFFNKPANNHHPGGPSSSKTIHESTSGDFYQHEFSKPDFSTGISEASNENLMVGADHSAPWQENRLLVDDSSLTCVFPCEGNERPSQGLSLSLCPSNPSSIGLHSFELRHTPTHQNQDNSQEEMRFFGKSPANIQQQMMQDGFLKAANLHHQAQFQLRNSKYLGPAQDLLNEFCNIRTKQGDALKQKPHKPKQLDDDQNGSSSRKQSLESLEFIELQKRKTKMLSMLEEVDRRYRHYCDQMKTMVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPVAPGTTKGETPRLKILDQALRQQRAFQQMSMMESHPWRPQRGLPERSVSFLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDKNMASSDGVTDLDENINGRPNQNPSSTDQKPTPDHLIRIDSECLSSIISNPDKNGTNKSTISFQSHHLQHQQQSFGNFGSMDLDFSSYNHHAAGGVSYANDSASNQNFNGGGGVSLTLGLQQHGGSGVSLAFSPASQSSLFYPRDHIEDCQPVQYSLLDGEGQNLPYRNLMGAQLLHDMAG >Potri.003G131300.7.v4.1 pep chromosome:Pop_tri_v4:3:14949570:14953728:1 gene:Potri.003G131300.v4.1 transcript:Potri.003G131300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131300.v4.1 MAREPCEDKSRNMVSSGSFCYPDVSSSNPTIQTHLVNQIQGYESNPEIFNLTTGMEMIGFSKNLQHQQSDSNSVMWKGFFNKPANNHHPGGPSSSKTIHESTSGDFYQHEFSKPDFSTGISEASNENLMVGADHSAPWQENRLLVDDSSLTCVFPCEGNERPSQGLSLSLCPSNPSSIGLHSFELRHTPTHQNQDNSQEEMRFFGKSPANIQQQMMQDGFLKAANLHHQAQFQLRNSKYLGPAQDLLNEFCNIRTKQGDALKQKPHKPKQLDDDQNGSSSRKQSLESLEFIELQKRKTKMLSMLEEVDRRYRHYCDQMKTMVSSFEAVAGTGAASVYSALASKAMSRHFRCLRDGIVAQIHATKKAMGEKDPVAPGTTKGETPRLKILDQALRQQRAFQQMSMMESHPWRPQRGLPERSVSFLRAWLFEHFLHPYPSDVDKHILARQTGLSRSQVSNWFINARVRLWKPMVEEMYLEETKEQDKNMASSDGVTDLDENINGRPNQNPSSTDQKPTPDHLIRIDSECLSSIISNPDKNGTNKSTISFQSHHLQHQQQSFGNFGSMDLDFSSYNHHAAGGVSYANDSASNQNFNGGGGVSLTLGLQQHGGSGVSLAFSPASQSSLFYPRDHIEDCQPVQYSLLDGEGQNLPYRNLMGAQLLHDMAG >Potri.001G214900.1.v4.1 pep chromosome:Pop_tri_v4:1:22175483:22177450:1 gene:Potri.001G214900.v4.1 transcript:Potri.001G214900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G214900.v4.1 MGRGKLTMELIRNERSRMITYHKRKKGLTKKAREFQILCGVDACVIILGPKLNNHPVDVETWPTDRIEVRRIINRFRSEGTDRKKTQDLSYFFEARKKKLDDEIAKLRKACMEAKFPAWDNRLNLLSLEQLRVLAGVFESKLDVARGWIMKLKGNPFLMEDSKSGINAAGSISDKSSFLASSTLANALLPKNIELEALNHQPFSCAKPIDMPLATCYPSHQLQQMLPFNVNPINSPMLMMMNHEDFGQFGGLSSSSTIKSTVQYNYDPATEMIGNMMFNNPGWELSASYHGPSRQPIFPYRQGPMTQNVSSQLCIPQFSYFFDVNELEMNRTENFG >Potri.006G276250.1.v4.1 pep chromosome:Pop_tri_v4:6:26760595:26762121:-1 gene:Potri.006G276250.v4.1 transcript:Potri.006G276250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276250.v4.1 MQANNPITTVAPATCIREEAAFAGATAGASSATSVSASGDSAGTSATGPPAATGPSAATGPSAGALVGVDGPSLDGAGALEGDLAGDLAGVLAVGGAAGGVLVLGAGAGAFLGTAAVPGGGGGAMFSIPGVSIGSLTCSTEML >Potri.009G139500.6.v4.1 pep chromosome:Pop_tri_v4:9:11181124:11184830:-1 gene:Potri.009G139500.v4.1 transcript:Potri.009G139500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139500.v4.1 MFDVSLFLRHNFALVWALVSEKLTSLEIGYVSSVMVTELVGPSLGPHQSPNHVRPSILPGIQKLCLSVDYITDTMVSTISKGLMSLTHLDLRDAPLIEPTITFDLTNSGLQQINQHGKLKHLSLVRSQEFAITYFRRVNDLGMLLMADKCENMESICLGGFCRVTDTGFKTILHSCSSLYKLQVSYGIHLTDLVFHDISATSLSLIHVSLRWCNLLTNHAIKNLVLNTRLRVLDLRDCKHFGDEALRAISALLELKILLLDGSNISDFGLSYLRGIINSLVSLSVRGCKRLTDKCISALFEGSSKLKLQQLDLSNLPNLSDNGVLALAKCRVPISELRMRQCPLIGDTSVMALASMRVDEDRLHGCSLRLLDLYNCGGITQLSFRWLKKPYFPRLRCLGVTGSASRDIIDALARSRPFLHVACHAEELGSNQWDNLHGLYMHDNDEVDELEQWLLEEEGGYNDEEMMDADDDAELLDHLAL >Potri.009G139500.5.v4.1 pep chromosome:Pop_tri_v4:9:11181139:11184828:-1 gene:Potri.009G139500.v4.1 transcript:Potri.009G139500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139500.v4.1 MAKKCLALILMFDVSLFLRHNFALVWALVSEKLTSLEIGYVSSVMVTELVGPSLGPHQSPNHVRPSILPGIQKLCLSVDYITDTMVSTISKGLMSLTHLDLRDAPLIEPTITFDLTNSGLQQINQHGKLKHLSLVRSQEFAITYFRRVNDLGMLLMADKCENMESICLGGFCRVTDTGFKTILHSCSSLYKLQVSYGIHLTDLVFHDISATSLSLIHVSLRWCNLLTNHAIKNLVLNTRLRVLDLRDCKHFGDEALRAISALLELKILLLDGSNISDFGLSYLRGIINSLVSLSVRGCKRLTDKCISALFEGSSKLKLQQLDLSNLPNLSDNGVLALAKCRVPISELRMRQCPLIGDTSVMALASMRVDEDRLHGCSLRLLDLYNCGGITQLSFRWLKKPYFPRLRCLGVTGSASRDIIDALARSRPFLHVACHAEELGSNQWDNLHGLYMHDNDEVDELEQWLLEEEGGYNDEEMMDADDDAELLDHLAL >Potri.009G139500.7.v4.1 pep chromosome:Pop_tri_v4:9:11181139:11183339:-1 gene:Potri.009G139500.v4.1 transcript:Potri.009G139500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139500.v4.1 MFDVSLFLRHNFALVWALVSEKLTSLEIGYVSSVMVTELVGPSLGPHQSPNHVRPSILPGIQKLCLSVDYITDTMVSTISKGLMSLTHLDLRDAPLIEPTITFDLTNSGLQQINQHGKLKHLSLVRSQEFAITYFRRVNDLGMLLMADKCENMESICLGGFCRVTDTGFKTILHSCSSLYKLQVSYGIHLTDLVFHDISATSLSLIHVSLRWCNLLTNHAIKNLVLNTRLRVLDLRDCKHFGDEALRAISALLELKILLLDGSNISDFGLSYLRGIINSLVSLSVRGCKRLTDKCISALFEGSSKLKLQQLDLSNLPNLSDNGVLALAKCRVPISELRMRQCPLIGDTSVMALASMRVDEDRLHGCSLRLLDLYNCGGITQLSFRWLKKPYFPRLRCLGVTGSASRDIIDALARSRPFLHVACHAEELGSNQWDNLHGLYMHDNDEVDELEQWLLEEEGGYNDEEMMDADDDAELLDHLAL >Potri.009G139500.1.v4.1 pep chromosome:Pop_tri_v4:9:11181115:11184830:-1 gene:Potri.009G139500.v4.1 transcript:Potri.009G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139500.v4.1 MADPDEEEEGSLDQLPATVLETIVSKLDVASICSVSSTCKTFNACASHILTFIPSFDLLDIAPSIDLLRPLLPPNPYLKSLKLDCGRLDDSAINVVVRDSLHELYLHNCADFSGKLLSEIGGKCADLRYLYLGSVAEKRGRAIHISDLEELLRGCTRLEALILMFDVSLFLRHNFALVWALVSEKLTSLEIGYVSSVMVTELVGPSLGPHQSPNHVRPSILPGIQKLCLSVDYITDTMVSTISKGLMSLTHLDLRDAPLIEPTITFDLTNSGLQQINQHGKLKHLSLVRSQEFAITYFRRVNDLGMLLMADKCENMESICLGGFCRVTDTGFKTILHSCSSLYKLQVSYGIHLTDLVFHDISATSLSLIHVSLRWCNLLTNHAIKNLVLNTRLRVLDLRDCKHFGDEALRAISALLELKILLLDGSNISDFGLSYLRGIINSLVSLSVRGCKRLTDKCISALFEGSSKLKLQQLDLSNLPNLSDNGVLALAKCRVPISELRMRQCPLIGDTSVMALASMRVDEDRLHGCSLRLLDLYNCGGITQLSFRWLKKPYFPRLRCLGVTGSASRDIIDALARSRPFLHVACHAEELGSNQWDNLHGLYMHDNDEVDELEQWLLEEEGGYNDEEMMDADDDAELLDHLAL >Potri.009G139500.4.v4.1 pep chromosome:Pop_tri_v4:9:11181124:11184828:-1 gene:Potri.009G139500.v4.1 transcript:Potri.009G139500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139500.v4.1 MAKKCLALILMFDVSLFLRHNFALVWALVSEKLTSLEIGYVSSVMVTELVGPSLGPHQSPNHVRPSILPGIQKLCLSVDYITDTMVSTISKGLMSLTHLDLRDAPLIEPTITFDLTNSGLQQINQHGKLKHLSLVRSQEFAITYFRRVNDLGMLLMADKCENMESICLGGFCRVTDTGFKTILHSCSSLYKLQVSYGIHLTDLVFHDISATSLSLIHVSLRWCNLLTNHAIKNLVLNTRLRVLDLRDCKHFGDEALRAISALLELKILLLDGSNISDFGLSYLRGIINSLVSLSVRGCKRLTDKCISALFEGSSKLKLQQLDLSNLPNLSDNGVLALAKCRVPISELRMRQCPLIGDTSVMALASMRVDEDRLHGCSLRLLDLYNCGGITQLSFRWLKKPYFPRLRCLGVTGSASRDIIDALARSRPFLHVACHAEELGSNQWDNLHGLYMHDNDEVDELEQWLLEEEGGYNDEEMMDADDDAELLDHLAL >Potri.006G095300.1.v4.1 pep chromosome:Pop_tri_v4:6:7226932:7230870:-1 gene:Potri.006G095300.v4.1 transcript:Potri.006G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095300.v4.1 MSSGGPTATSSTNASPRSHPGPTTTRRRVDAIAADRTSNFSDFNHDFSDEEDSLSGSSTTGGPHHFHHNYHCHNHSHRHHPLIKYLLLRRKFFFFVPESWLLGVEDLTATISRGLRSGKNMGRRIFGVLMVMAVLSVFLKVSFWSQTERNIHENSNLVIFRHFKDDWARAQRSIIEHHPSISTPLLHKLPPIPKIWMKPNSDDFYQCIPPPRNQIRARKTNGYLLVHANGGLNQMRTGICDMVAAAMLMNATLVLPALDRESFWTDPSTFKDIFDWRHFMEALKDDIDVVEYLPSQYAAKKPHEKAPVSWSKANYYRVEMATLLKKYKVLRFTHSDSRLANNGLAAHIQRLRCRANYKALRYAKEIEDLGKKLVDRLRNKSEPYVALHLRYEKDMLAFTGCSHNLTAEEAEELRVMRYKTSHWKEKEIDSKTRRLQGGCPMTPREAAIFLKAMGYPSSTAIYIVAGPSYGSGSMAPFLAEFPNVFSHFNLATSEELEPIKPYQNRLAALDYIVALESDVFIYTYHGNMAKAVQGHRRFEGFRKTINPDKRNFVVLIDQLDDGALSWEEFSLQVQSLHSDRIGAPYQRQAGSSPKEEENFYANPLPGCVCNISQEIFVSPKLVQTVKPSNRAALNR >Potri.018G107901.1.v4.1 pep chromosome:Pop_tri_v4:18:12645840:12649535:1 gene:Potri.018G107901.v4.1 transcript:Potri.018G107901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107901.v4.1 MFLCNPHTSYTSACSLDFNKFPYEAHSECLGVANVKDSTMQSCCHSALQSLFQAMAIRANKSRSIFLELNEAQDCTKTFQNLHNRTNLSTCELQDFISSSKTPNLCSKDVDSLINLLGVERFSALQSNCNNLSTSNYNDDACYSCVMSYRSSLQALKQSGDSPNGEYCGEALLLSLLSTATDSANSIPRIFSCLWGEIEGNLLESKKLLSAIIVAAIFVLLAPILYKLTRKQLQHACDKDIGENLSVLKKKQEEESVSYLNCCDLYVFSQDEVAKATNSFGNSNFIGEGVLGKTYIGMMPSGMRVAIKRLKEGVEVCHFLDEICEKAKIRHPNLVGIIGYCNKGDQSLVYEYCLNGDLATWLLGDRMTSILTWQQRMNISIGIARGLWYLHHNPLKKICHGDMKLTKILLNEKLEAKISDFNLSRYKSKEKTGQEKIANDVFNFGILLLQIMTGRKSTSIAEARDAVLRKGSLSGLADPRLNGAYDSAAFQNAMSIAVHCTNPSERERPNMEEVLQKLEQIQTQILVRTNCKDVIY >Potri.010G116500.5.v4.1 pep chromosome:Pop_tri_v4:10:13579748:13581340:-1 gene:Potri.010G116500.v4.1 transcript:Potri.010G116500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116500.v4.1 MSVKLSPSTLLSLSPPLLHAHHSPSLISMSILRRLAPNHLTTHLRHHHRRRLATVAAAVRQDTTIWTQAPLSEIEPAAESLFHVSIDVSDYPDLAASHTRAGQYLQLRVPDVEKPSFLAIASPPSYAAEKGAFEFLVKSVAGSTAELLCGLKKGDVVELSQATGRGFEIDQIEPAEKYPTVLIFATGSGISPIRSLIESGFSADKRSDVRLYYGARNIKRMAYQDRFKDWESSGVKIVPVLSQPDGRWTGETGYVQAAFAMAKQIYSPTGTGAVLCGQKQMTEVCYFIYLSTVMFSHNLSEHIFFLQL >Potri.010G116500.2.v4.1 pep chromosome:Pop_tri_v4:10:13576826:13581479:-1 gene:Potri.010G116500.v4.1 transcript:Potri.010G116500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116500.v4.1 MSVKLSPSTLLSLSPPLLHAHHSPSLISMSILRRLAPNHLTTHLRHHHRRRLATVAAAVRQDTTIWTQAPLSEIEPAAESLFHVSIDVSDYPDLAASHTRAGQYLQLRVPDVEKPSFLAIASPPSYAAEKGAFEFLVKSVAGSTAELLCGLKKGDVVELSQATGRGFEIDQIEPAEKYPTVLIFATGSGISPIRSLIESGFSADKRSDVRLYYGARNIKRMAYQDRFKDWESSGVKIVPVLSQPDGRWTGETGYVQAAFAMAKQIYSPTGTGAVLCGQKQMTEEITSILVSDGVSIEKILKNF >Potri.004G046600.3.v4.1 pep chromosome:Pop_tri_v4:4:3692031:3693950:-1 gene:Potri.004G046600.v4.1 transcript:Potri.004G046600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046600.v4.1 MVQNQIDEKSLMESTGKLMERPSEEVLSQPQQQQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVRRPASAVEGANNSVSSANPNPQTPIDHISPTSNHINPLFYGLTSSNPSEMDLPFPGRFNSRVYSAVSGYHDLQPQLNALALGFSSGIMSANDANGFNPTKQIQDVVTSNSLLSSYSNLFGSSTTSTNTTSPTMASLLASSFNQQKSTRAPNLYQNLTVPFEDLQMSGNSESGVGMKGVKIEHDQNRSNWNVPCQNQIEQVGFSDPSMYWNTTNSVGSWHDPANMGSSVLL >Potri.004G046600.2.v4.1 pep chromosome:Pop_tri_v4:4:3691942:3694176:-1 gene:Potri.004G046600.v4.1 transcript:Potri.004G046600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046600.v4.1 MLGNCEKMVVISSTTNEWPQNQIDEKSLMESTGKLMERPSEEVLSQPQQQQQQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVRRPASAVEGANNSVSSANPNPQTPIDHISPTSNHINPLFYGLTSSNPSEMDLPFPGRFNSRVYSAVSGYHDLQPQLNALALGFSSGIMSANDANGFNPTKQIQDVVTSNSLLSSYSNLFGSSTTSTNTTSPTMASLLASSFNQQKSTRAPNLYQNLTVPFEDLQMSGNSESGVGMKGVKIEHDQNRSNWNVPCQNQIEQVGFSDPSMYWNTTNSVGSWHDPANMGSSVLL >Potri.011G164900.3.v4.1 pep chromosome:Pop_tri_v4:11:18957224:18960037:-1 gene:Potri.011G164900.v4.1 transcript:Potri.011G164900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164900.v4.1 MQSWFSSSGEDQQQEKPASSLLADWNSYAATSNSNESSTGLASIGSFDLEAAVRSANDTVSGTFNVVSKGVSGNFQSATSNIPSGKALMYFGLFLATGVFFVFTSFALFLPVIVLVPQKFAICFTLGCGFIIASFFALKGPKNQLAHMSSKERLPFTLGFIGSMVGTLYVSMVLHSYFLSVLFSVIQVLALAYYAISYFPGGSAGLKFLSSSLTSSVMRRFGS >Potri.001G150600.1.v4.1 pep chromosome:Pop_tri_v4:1:12579772:12581861:1 gene:Potri.001G150600.v4.1 transcript:Potri.001G150600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150600.v4.1 METTPCATPKRVPPPCWTRDESLALIKAYCDKWYSVNRGNLRAADWEAVAVAVPPKSSLQCRHKIEKLRKRYRVEKQKCLEYPGQFFSSWDLFPLLDSLEIGSLGSKGDQEIDKGNDVGDGFRVKTLGDRYLLTTQKNGKTNGDLDPDEDFGLDPDLAFRAAKYSKVHGGFNVHGDSESGFGFKSVANKNLVSVAFGPKDYVGVNGKLTANVGLNCDNGGEFGYKVEKTRGGRKVMPQGVRLPDYEMIANNYGSYVRDFTKGVHSYGGFPLKSLGDGNYNTIDGKPTPDFCDNDDHVEIDYRNDKRDEFGKKVTNGWSYSPTGARPKNCGTIDGNSRSNARSRAVNGSVNVGMNGIGDGVKRETDPISLLVSAIEQATESFVKVEMMKMDMASEIEKMRMEMGLKHNQMILESQQRIVDTLAKAMLEKKKEREKVEVRPPNSSRNGFSQVAAVDFERIGKSIVKEGEACFT >Potri.005G234700.1.v4.1 pep chromosome:Pop_tri_v4:5:23310033:23313029:-1 gene:Potri.005G234700.v4.1 transcript:Potri.005G234700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234700.v4.1 MSSRSRSSSRSQGMGRSRSRSRSPRDRRFRSQRNSYRDGPYRRETHRERDRDRGFSQTNLCHNCKRAGHFARECPNAAVCNNCGLPGHVASECTTQLQCWNCREPGHVASNCPNEGICHACGRSGHRAKDCPNPEPSPGDVRLCNNCYKPGHFAADCTNDKACKNCRKTGHMARDCQNEPVCNLCNISGHVARQCTRGNSFPDRGGWGRNSSYRDVICRTCNQVGHMSRDCIGPMIICHNCGGRGHRAIECPSGRIAFRRY >Potri.009G070300.1.v4.1 pep chromosome:Pop_tri_v4:9:6942045:6943324:-1 gene:Potri.009G070300.v4.1 transcript:Potri.009G070300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070300.v4.1 MADHFGGAISLSRELSHTSDDSSSDHSPRSVPTLSATPVTADSPSRLGRPHNNTSPDDHIHHNNIQSVQIQRKPRGRPPGSKNRPKPPIIITKDCESSMKPAILEISAGSDVIETIVNFARRNHAGISVISATGSVANVTLRHPVSHTPSLSLHGPFNLLALFGSVVGSLATNKASCASSPPGSAVHSCSSFGISLAGAQGQVFGGIVAGKVIAATQVVVVAATFLNPTFHRLPGENDEADQETKPSVGGGGGGGGGGPASESCVSTGMSMAVYGVANPTPVNCQMSPPEIMHWPGPPSRPSY >Potri.007G139700.2.v4.1 pep chromosome:Pop_tri_v4:7:15019845:15023480:1 gene:Potri.007G139700.v4.1 transcript:Potri.007G139700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139700.v4.1 MELTITQPDDWHLHLRDGELLQAVAPHSANFFGRAIVMPNLKPPITSTAVAAAYRESILKALPADSDFSPLMTLYLTDTTSPREIKLARESGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMAEQNMPLLVHGEVTDPNVDVFDREKVFIDTILQPLIQRLPQLKVVMEHITTMEAVRFVESCSYGSVAATVTPQHLLLNRNAIFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGNKKFFLGTDSAPHEKRRKECPCGCAGIYNAPVAISLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIKLTRTPWKVPESFSFSFGDIVPMSAGETLEWQPSSI >Potri.007G139700.1.v4.1 pep chromosome:Pop_tri_v4:7:15020316:15023565:1 gene:Potri.007G139700.v4.1 transcript:Potri.007G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139700.v4.1 MLAFGTIKTTVLSFKVLKLPSSKIEGSRQLKCKASKMELTITQPDDWHLHLRDGELLQAVAPHSANFFGRAIVMPNLKPPITSTAVAAAYRESILKALPADSDFSPLMTLYLTDTTSPREIKLARESGVVFAVKLYPAGATTNSQDGVTDLFGKCLPVLEEMAEQNMPLLVHGEVTDPNVDVFDREKVFIDTILQPLIQRLPQLKVVMEHITTMEAVRFVESCSYGSVAATVTPQHLLLNRNAIFQGGLQPHNYCLPVLKREIHRQAIVSAVTSGNKKFFLGTDSAPHEKRRKECPCGCAGIYNAPVAISLYAKVFEEAGALDKLEAFTSFNGPDFYGLPRNTSKIKLTRTPWKVPESFSFSFGDIVPMSAGETLEWQPSSI >Potri.001G124700.2.v4.1 pep chromosome:Pop_tri_v4:1:10261011:10263349:1 gene:Potri.001G124700.v4.1 transcript:Potri.001G124700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124700.v4.1 MDRPQSEIGRRWRMAKNKERVQCLPPVPPMVRPPPPNPVKLAAIAVNLNIRLRSADMPDAMQERAFRCTRTLLDATSLDSKKPNPSHIAMCLKKEFDEIYGLAWHCVVGKSFGSFITCSSGGFVYFSVDNLSILLFKTEVQPVKKPPPLRKLDA >Potri.008G021000.1.v4.1 pep chromosome:Pop_tri_v4:8:1043381:1045880:-1 gene:Potri.008G021000.v4.1 transcript:Potri.008G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021000.v4.1 MPCTFDYNNGGFFKTNCQVTFHRSFFLQVLSVRDTKVTGLFLRDDQGDYSGLVSGVGCMAYQATHPPPPKLCGAPGGPPVTAPRIKLRDGRHLSYKEHGFPREKAKAKIILVHGFATTKHDIMSMTDLVPHVVEELGLYFVSFDRPGYGESDPDPKRTPKSIALDIEELADHLGLGSKFYVMGFSMGGQVVWGCLKYIPHRLAGATLIAPVVNYWWPGFPANLSTEAYYRQIPQEQWMLSVAHHAPWLTYWWNTQKLFPASAVIARKPEIFSRQDLELASMAAEKSEDRPPATPQGEFESLHRDYNVGFGKWEFDPMELENPFPNNEGSIHLWQGDEDVLVPVSLQRYIAQRIPWINYHELPGAGHLFLAIPRNFEQIVKAPFPGKK >Potri.001G367600.3.v4.1 pep chromosome:Pop_tri_v4:1:38375828:38376953:1 gene:Potri.001G367600.v4.1 transcript:Potri.001G367600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367600.v4.1 MLQHMHSVLLLLVFAFCISNSNFTVNGDNAPAPSPTSSSIPKSPSSSSPSPSTTSPPSSPSKSPATSPSTSPPTLPVSPSKSPATSPSPSTSLPTIPVSPSKSPATSPSPSTSLPTIPVSPSKSPATSRSSSTSPPSPTVSPPTPSPNAAAPSGSPPVSSPAVSTPVTAPTLAPLGAPPMSTEGPVTAATPEASASIPSSSATPAEAPMVFPSSSSPPSPSTESSMSPETAKVPSVNDESGSRSLYEVGVILSGLVGGAALALAI >Potri.005G171500.1.v4.1 pep chromosome:Pop_tri_v4:5:17578779:17584222:1 gene:Potri.005G171500.v4.1 transcript:Potri.005G171500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171500.v4.1 MENSDISLGLHDFLERMRHPSAADFVKSIKSFIVSFSNHAPDPERDSALVQEFLANMETAFKAHPLWAGCSEEELESAGEGLEKYVMTKLSSRVFASVPDDVEVDKQLSEKISLIQQFIRPENLDIKPAFQNETSWLLAQKELQKVNLYRAPRDKLVCILNCCKVINNLLFNASMASNENPPGADEFLPVLIYVTIKANPPQLHSNLLYIQRYRCQSRLVGEAAYFLTNILSAESFISNIDAKSLSMEESEFEKNMELARDLTGLSTDLNGLSTQSDQNAGNNSRAELMESKHRALSSKKERDLSIGSRSSEVTSMSKDLQYAKDESPMEKISSLSDIENKGATLLLKEDLTSQVLREYPYLFASVGDLTINDVEDLLNNYKQLVFKYVCLSKGLGVANPSLPLTSSQTQVHGDVKTVNYDQNTTAVEANKESRKHTGMTDGLNMVSLVDEENVELKPRNNQDSTAGEPNDESQTHTSMTDGSNMLSLVGEEILVSKPKQNEAMVPQYEGKDENLNDSHWDMPRDEEKHESS >Potri.019G045800.1.v4.1 pep chromosome:Pop_tri_v4:19:6452938:6459755:1 gene:Potri.019G045800.v4.1 transcript:Potri.019G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045800.v4.1 MAISSSHSLLPPFPSIISPHKRHHFPTLNTLRCAPRKDLLYSKRQCSIIVHAKAKTKAKEKEKEKEKEKEKAKAPPLPSLHASATVETLEKEEFDAVNIAEDVTQLIGRTPMIYLNKVTQGCVANIAAKLESMEPCRSVKDRIGYSMVSEAEESGAISPGKSILVEPTSGNTGLGIAFVAATKGYKLIITMPASIGLERRVLLRAFGAEIVLTDPEKGLKGAVDKAEEIVLSTPNAYMFQQFENVANTKIHFETTGPEIWEDTLGSVDMLVAGMGTGGTVTGTGRFLKMMNKEIKVVGVEPAERNIISGENSGYVPNILDVKLLDEVVKVTNGEAVEMARRLALEEGLLVGISSGAAAAAAISLGRRPENAGKLITVIFPSFGERYIPTVLFHSIYEEVRNMEQR >Potri.019G045800.4.v4.1 pep chromosome:Pop_tri_v4:19:6452892:6456278:1 gene:Potri.019G045800.v4.1 transcript:Potri.019G045800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045800.v4.1 MAISSSHSLLPPFPSIISPHKRHHFPTLNTLRCAPRKDLLYSKRQCSIIVHAKAKTKAKEKEKEKEKEKEKAKAPPLPSLHASATVETLEKEEFDAVNIAEDVTQLIGRTPMIYLNKVTQGCVANIAAKLESMEPCRSVKDRIGYSMVSEAEESGAISPGKSILVEPTSGNTGLGIAFVAATKGYKLIITMPASIGLERRVLLRAFGAEIVLTDPEKGLKGAVDKAEEIVLSTPNAYMFQQFENVANTKIHFETTGPEIWEDTLGSVDMLVAGMGTGGTVTGTGRFLKMMNKEIKVFVTSYCFSPFDNLCIAHRVYI >Potri.015G138100.2.v4.1 pep chromosome:Pop_tri_v4:15:14616811:14617153:-1 gene:Potri.015G138100.v4.1 transcript:Potri.015G138100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138100.v4.1 MEISSSTQATESSSSDIVSRARKLLFRRMLVGIKDGRFFLGSFHCIDKQGNIILQDAVEYRNTHRSSPSPMEQRCLGLILIPSSCRTSCHVDCSIEEQLSLLKFQ >Potri.015G138100.1.v4.1 pep chromosome:Pop_tri_v4:15:14616833:14617162:-1 gene:Potri.015G138100.v4.1 transcript:Potri.015G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138100.v4.1 MEEEMEISSSTQATESSSSDIVSRARKLLFRRMLVGIKDGRFFLGSFHCIDKQGNIILQDAVEYRNTHRSSPSPMEQRCLGLILIPSSCRTSCHVDCSIEEQLSLLKFQ >Potri.017G099000.1.v4.1 pep chromosome:Pop_tri_v4:17:11095937:11100122:1 gene:Potri.017G099000.v4.1 transcript:Potri.017G099000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099000.v4.1 MAVLLMVLVAVLFLFCISSALLRLNEVRYRKKGLPPGTMGWPVFGETTEFLKQGPNFMKNQRARYGSIFKSHILGCPTIVSMDPELNRYILMNEGKGLVPGYPQSMLDILGNRNIAAVHGSTHKYMRGALLSLISPTMIREQLLPTIDEFMRTHLSYWDTKIIDIQQMTKEMALLSALKQIAGTDSCSISQAFMPEFFRLVLGTLSLPIDLPGTNYRQGVQARKNIVRMLRQLIDGRRASKLYHQDMLGRLMRTEENKFKLTDEEIIDQIITILYSGYETVSTTSMMAVKYLHDHPRVLQELRKEHFAIREKKRPEDPIDLNDLKSMRFTRAVIFETSRLATIVNGVLRKTTKEMELNRFVIPKGWRIYVYTREINYDPYLYPDPLSFNPWRWLDKSLESQNYLFIFGGGTRQCPGKELGIAEISTFLHYFVTRYRWEEVGGDSLMKFPRVEAPNGLHIRVSSH >Potri.009G079600.4.v4.1 pep chromosome:Pop_tri_v4:9:7636777:7641384:1 gene:Potri.009G079600.v4.1 transcript:Potri.009G079600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079600.v4.1 MHIDREEPVGNVEAEEMDGDLKEDTPLGDIPLYRHRFLGMVRQKAYIFDGDGNYYNKDWDFAEPKENEFCWYHVELPKINQKLPLFAQSLIDILCPPLKLQDILSLVSNGPLCSHVNGALVFRVNSPGPPTSNYTFRLAARVTENSVITVSLGRVPRLGFSPTSKSLLSEIPSVETYSSGGPPSHNGGDQKEGSGFVIREHVLEFLLTMNHSEEADNPVPESVSNLVVHIIDTHVDHLQDVTTKLEMELDSVELELDKGGFALKKQMLDDRRFPNMHLNLQRILQVIAHGEQVFPRVKEKCSSKKWFCSEDINSLEELIGRLRRLKESVGFIANRVTAIQAGLDSWQAEQINKKLYYLSFLSIIFLPLSIITGAHNSLWHECGRSSMDRAKEPKGERWFPQCDVSLRGNASSRSSMLPFPSSLHPYSCLATKDGFEKKLVSQ >Potri.009G079600.1.v4.1 pep chromosome:Pop_tri_v4:9:7636711:7641434:1 gene:Potri.009G079600.v4.1 transcript:Potri.009G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079600.v4.1 MHIDREEPVGNVEAEEMDGDLKEDTPLGDIPLYRHRFLGMVRQKAYIFDGDGNYYNKDWDFAEPKENEFCWYHVELPKINQKLPLFAQSLIDILCPPLKLQDILSLVSNGPLCSHVNGALVFRVNSPGPPTSNYTFRLAARVTENSVITVSLGRVPRLGFSPTSKSLLSEIPSVETYSSGGPPSHNGGDQKEGSGFVIREHVLEFLLTMNHSEEADNPVPESVSNLVVHIIDTHVDHLQDVTTKLEMELDSVELELDKGGFALKKQMLDDRRFPNMHLNLQRILQVIAHGEQVFPRVKEKCSSKKWFCSEDINSLEELIGRLRRLKESVGFIANRVTAIQAGLDSWQAEQINKKLYYLSFLSIIFLPLSIITGVFGMNVGGVPWTEQKNPKEKDGFRNVMFLCVGMLVLVLLCFLFPALYTHIAAWRRRMALKRSWSLNRKSFLKRTVPVKERGGYIRL >Potri.009G143800.1.v4.1 pep chromosome:Pop_tri_v4:9:11451904:11453157:1 gene:Potri.009G143800.v4.1 transcript:Potri.009G143800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143800.v4.1 MSKNVVAQSPLQRNSLASLDQKLAMAKRCSHEGVVAGAKAAALATIATAIPTLASARMLPWARANLNPTAQALIISTVAGAAYFIVADKTVLATARKNSFKSRSSSVEA >Potri.010G003200.1.v4.1 pep chromosome:Pop_tri_v4:10:308204:310164:1 gene:Potri.010G003200.v4.1 transcript:Potri.010G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003200.v4.1 MEVSRVISRQSPCFSSDSGFGNHQTRCEAFSRSRRIVNGFGVASKVHTGFRDKGHLKYYYGSEGLVVRCGGKKKDKETSTKKKLKLLKELSVVPHNDSVDDVQANLIAEATQLLMKQLGQLRAEEKELKRKKKEEKAKLKAVKMKTMLDCESSSSSESSDSECGEVIDMKRLRNEAVAEPIIGELQSVAQEEPTSILPALLTQESNVTEINGYHDHGLGIHGEECGGARSTSCSNAIRVSCNPTSSSMMSGTSDKRIEVCMGNKCKKSGGVALLEEFEKAVGIGGAVVGCKCMGKCRDGPNVRILKSGNEGVDDSVRIPAANPLCIGVGLEDVDVIVANFFGKELSVALAT >Potri.008G115100.1.v4.1 pep chromosome:Pop_tri_v4:8:7340747:7341709:-1 gene:Potri.008G115100.v4.1 transcript:Potri.008G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115100.v4.1 MATKTKESSIQDKTFSGVGNLVKLLPTGTVFMFQFLNPVLTNNGQCHTVNKYLSGILMGLCGFSCCFSCFTDSYRGSDGWTHYGIATMKGLRPSSDSSAGSSVDLSSYKLRVGDFAHAFFSLIVFAVLSLLDRNTVKCFNPSFESTEKVLLMVLPPAIGAVSGTVFMLFPNKRHGIGYPSSDSSQDSY >Potri.001G159300.1.v4.1 pep chromosome:Pop_tri_v4:1:13476725:13477871:1 gene:Potri.001G159300.v4.1 transcript:Potri.001G159300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159300.v4.1 MAQISPSPTLSPTMSTPPCQDQSQEPTMDFNVMVIVAAMICALVCALGLNSMLQCVFQCTRRAVTEPAEWISSRRRNSGLKKKEMVALPTSTYAHQGSPSSASGCAICLADFTDGDKIRVLPKCNHRFHADCIDKWLLSHSSCPTCRHRLKSNESVPSLEQIVTA >Potri.008G082900.2.v4.1 pep chromosome:Pop_tri_v4:8:5193290:5195495:-1 gene:Potri.008G082900.v4.1 transcript:Potri.008G082900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G082900.v4.1 MEISKGCKRVCILLLYLTIAATPFTLCNARRSVRLFKGPVHARSSVNRHAKKLKVTEHFDLASLLLQKETMDSSNAGPYVSSPFTLPPYDSLGPISLPDNAPPFCIYPPNTPQPPSTTIPTPAGYVPSSSPPPFSYLPPALPILNPPPSPTGVVPSPPEFTPIPNPPEIVPSPPGVTPSTPEVVPGPPVNIPGTPEVAVPSPPGTIPGTPESVPSPTIYVPSPPEAEPGPPYYEPSPPSYIPSPPIFVPSPTGFVPSPRIFHPPVVYPPPTGPPSPRTSPYTALWCVAKPSVPDPIIQEAMNYACGSGADCDSIQPSGSCFEPNTLFAHASYAFNSYWQRTKVAGGTCSFGGTAMLVTVDPSYDGCQFMYA >Potri.002G203600.3.v4.1 pep chromosome:Pop_tri_v4:2:16806315:16809877:1 gene:Potri.002G203600.v4.1 transcript:Potri.002G203600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203600.v4.1 MSDLKTKKKKKELKKALHAVFSQFGKILEILAFKTLKHKGQAWVVFEDVQSASNAMRQMQSFPFYDKPMRIQYAKTKSDIVAKADGTFVPREKRRRHEEKGKKKKDQHDTNQVGVGLTPAYGGAYGTTPPLSQIPYLGGVKSMVPEAPAPPNNILFIQNLPNETTTMMLQMLFQQYAGFKEVRMVETKPGIAFVEYGDEMQSTVAMHALQGFKLQQNSMLITYAKK >Potri.002G203600.1.v4.1 pep chromosome:Pop_tri_v4:2:16806290:16809875:1 gene:Potri.002G203600.v4.1 transcript:Potri.002G203600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203600.v4.1 MALLERKDMADVNNNINNNSSTGTEVSSNMTIYINNLNEKIKIDELKKALHAVFSQFGKILEILAFKTLKHKGQAWVVFEDVQSASNAMRQMQSFPFYDKPMRIQYAKTKSDIVAKADGTFVPREKRRRHEEKGKKKKDQHDTNQVGVGLTPAYGGAYGTTPPLSQIPYLGGVKSMVPEAPAPPNNILFIQNLPNETTTMMLQMLFQQYAGFKEVRMVETKPGIAFVEYGDEMQSTVAMHALQGFKLQQNSMLITYAKK >Potri.001G354900.5.v4.1 pep chromosome:Pop_tri_v4:1:36840040:36846824:-1 gene:Potri.001G354900.v4.1 transcript:Potri.001G354900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354900.v4.1 MREDTEGASTNSIANGQKTTNGEDQKVAFHKLFTFADRLDVVLMIVGTLSAIANGLAQPLMTLIFGQLINSFGSSDRSNVVKEVSKVALNFVYLAIGSGIASLLQVSSWMVTGERQSTRIRSLYLKTILRQDIGFFDSETSTGEVIGRMSGDTILIQDAMGEKVGKFIQLLATFFGGFAIGFIKGWLLALVLLSSIPPLVIAGGVMALIMTKMSSRGQVAYAEAGNIVEQTVGAIRTVASFTGEKHAIEKYNSKLKIAYNSAAQQGLASGLGLGTMLFIVFGTYALAIWYGSKLIVEKGYNGGQVMTVIISIMTGGMSLGQTSPCLNAFASGQAAAYKMFETIERKPKIDPYDTSGMVVEDLDGEIELRDVYFRYPARPEVQIFSGFSLQVPSGTTTALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKLKLSWIREKIGLVSQEPILFATSIKENIAYGKENATDQEIRTAIQLANAAKFIDKMPEGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQDALVKIMCNRTTLVVAHRLTTIRNADMIAVVHLGKIVEKGSHEELTKDPEGAYSQLIRLQGGAMDSEESQDIDADMSRSSLDRDRSISSPRSQKHSVQGSISRGSSGSRRSFTLNTVGFGMPGPTSVHDDEFEQNNERNVKPKEVSIKRLAYLNKPELPVLFLGTVAAVIHGVIFPVFGLLLSKAINMFYEPPKEIRKDSKFWAVLYLGLGFITFAALPLQYYLFGIAGGKLIERIRSKTFEKVVHQEISWFDDPTNSSGAIGARLSTDASTVRRLVGDSLSLIVQNISTILSALVIAFSANWMLTLIIIAISPLLFIQGYMQAKFMKGFSADSKMMYEQASQVANDAVGSIRTVASFCAEKKVMELYQKKCEGPTKQGVRLGFVSGIGYGLSFFILYCTNAFCFYIGAIFVQNGKTTFADVFRVFFALTIGALGVSQSSGLAPDTAKAKDSAASIFAILDRKPKIDSSRDEGLTLPHVNGDIEIEHVSFKYPMRPHVQIFRDMSLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGHVYLDSVEIKKFKLNWLRQQMGLVSQEPILFNETIRANIAYGKHGEIAEEEIIEATRASNAHNFISTLPQGYDTKVGERGIQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLATIKGADVIAVVKNGAIAEKGKHDVLMKITDGAYASLVALHMSAT >Potri.001G354900.1.v4.1 pep chromosome:Pop_tri_v4:1:36840032:36847141:-1 gene:Potri.001G354900.v4.1 transcript:Potri.001G354900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354900.v4.1 MREDTEGASTNSIANGQKTTNGEDQKVAFHKLFTFADRLDVVLMIVGTLSAIANGLAQPLMTLIFGQLINSFGSSDRSNVVKEVSKVALNFVYLAIGSGIASLLQVSSWMVTGERQSTRIRSLYLKTILRQDIGFFDSETSTGEVIGRMSGDTILIQDAMGEKVGKFIQLLATFFGGFAIGFIKGWLLALVLLSSIPPLVIAGGVMALIMTKMSSRGQVAYAEAGNIVEQTVGAIRTVASFTGEKHAIEKYNSKLKIAYNSAAQQGLASGLGLGTMLFIVFGTYALAIWYGSKLIVEKGYNGGQVMTVIISIMTGGMSLGQTSPCLNAFASGQAAAYKMFETIERKPKIDPYDTSGMVVEDLDGEIELRDVYFRYPARPEVQIFSGFSLQVPSGTTTALVGQSGSGKSTVISLVERFYDPDSGEVLIDGVDLKKLKLSWIREKIGLVSQEPILFATSIKENIAYGKENATDQEIRTAIQLANAAKFIDKMPEGLDTMVGEHGTQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQDALVKIMCNRTTLVVAHRLTTIRNADMIAVVHLGKIVEKGSHEELTKDPEGAYSQLIRLQGGAMDSEESQDIDADMSRSSLDRDRSISSPRSQKHSVQGSISRGSSGSRRSFTLNTVGFGMPGPTSVHDDEFEQNNERNVKPKEVSIKRLAYLNKPELPVLFLGTVAAVIHGVIFPVFGLLLSKAINMFYEPPKEIRKDSKFWAVLYLGLGFITFAALPLQYYLFGIAGGKLIERIRSKTFEKVVHQEISWFDDPTNSSGAIGARLSTDASTVRRLVGDSLSLIVQNISTILSALVIAFSANWMLTLIIIAISPLLFIQGYMQAKFMKGFSADSKMMYEQASQVANDAVGSIRTVASFCAEKKVMELYQKKCEGPTKQGVRLGFVSGIGYGLSFFILYCTNAFCFYIGAIFVQNGKTTFADVFRVFFALTIGALGVSQSSGLAPDTAKAKDSAASIFAILDRKPKIDSSRDEGLTLPHVNGDIEIEHVSFKYPMRPHVQIFRDMSLSIPSGKTVALVGESGSGKSTVISLIERFYDPDSGHVYLDSVEIKKFKLNWLRQQMGLVSQEPILFNETIRANIAYGKHGEIAEEEIIEATRASNAHNFISTLPQGYDTKVGERGIQLSGGQKQRIAIARAILKNPKILLLDEATSALDAESERIVQEALDRVMVNRTTVVVAHRLATIKGADVIAVVKNGAIAEKGKHDVLMKITDGAYASLVALHMSAT >Potri.001G055900.7.v4.1 pep chromosome:Pop_tri_v4:1:4203862:4210181:1 gene:Potri.001G055900.v4.1 transcript:Potri.001G055900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055900.v4.1 MEWNGKPHLQWDWESLIMFNGITTENSKQLSPTDLETDGEKGTDSGFFYSSGSASRSGGSSSDLELASFSKCSKSASINSSSAGEVKTSKFTLEASKANPSDYNKKEIGKAKTASMSSTIEAAGGSGDQLLGLKLGKRIYFEDACAGNNVQSSSFSTVPVPSFTSAKKLKSTIQSQRAPCCQVEGCNLDLSSAKDYHRKHRVCESHSKCQKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNSRVSSSLYDERQQMSLAWDRAPLVHARPNANLTWEGTYISKFTITKDYIAKPAEIGGNDGQFHLPGFDLTNGIDIQHHHKSNSSLPSKGLEEYIIPSKAEAAPESHRALSLLSNNSWGSREPQSISFEQPVHTNHTTQSVLQVIPQNSPLASSEYWRTEQPSTDSQVHTLTSH >Potri.001G055900.8.v4.1 pep chromosome:Pop_tri_v4:1:4203799:4209743:1 gene:Potri.001G055900.v4.1 transcript:Potri.001G055900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055900.v4.1 MEWNGKPHLQWDWESLIMFNGITTENSKQLSPTDLETDGEKGTDSGFFYSSGSASRSGGSSSDLELASFSKCSKSASINSSSAGEVKTSKFTLEASKANPSDYNKKEIGKAKTASMSSTIEAAGGSGDQLLGLKLGKRIYFEDACAGNNVQSSSFSTVPVPSFTSAKKLKSTIQSQRAPCCQVEGCNLDLSSAKDYHRKHRVCESHSKCQKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNSRVSSSLYDERQQMSLAWDRAPLVHARPNANLTWEGTYISKFTITKDYIAKPAEIGGNDGQFHLPGFDLTNGIDIQHHHKSNSSLPSKGLEEYIIPSKAEAAPESHRALSLLSNNSWGSREPQSISFEQPVHTNHTTQSVLQVIPQNSPLASSEYWRTEQPSTDSQVHTLTSH >Potri.001G055900.9.v4.1 pep chromosome:Pop_tri_v4:1:4203799:4209719:1 gene:Potri.001G055900.v4.1 transcript:Potri.001G055900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055900.v4.1 MEWNGKPHLQWDWESLIMFNGITTENSKQLSPTDLETDGEKGTDSGFFYSSGSASRSGGSSSDLELASFSKCSKSASINSSSAGEVKTSKFTLEASKANPSDYNKKEIGKAKTASMSSTIEAAGGSGDQLLGLKLGKRIYFEDACAGNNVQSSSFSTVPVPSFTSAKKLKSTIQSQRAPCCQVEGCNLDLSSAKDYHRKHRVCESHSKCQKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNSRVSSSLYDERQQMSLAWDRAPLVHARPNANLTWEGTYISKFTITKDYIAKPAEIGGNDGQFHLPGFDLTNGIDIQHHHKSNSSLPSKGKGTAAEILNQGLFCFHFEKQALQLLH >Potri.001G055900.6.v4.1 pep chromosome:Pop_tri_v4:1:4203007:4209749:1 gene:Potri.001G055900.v4.1 transcript:Potri.001G055900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055900.v4.1 MEWNGKPHLQWDWESLIMFNGITTENSKQLSPTDLETDGEKGTDSGFFYSSGSASRSGGSSSDLELASFSKCSKSASINSSSAGEVKTSKFTLEASKANPSDYNKKEIGKAKTASMSSTIEAAGGSGDQLLGLKLGKRIYFEDACAGNNVQSSSFSTVPVPSFTSAKKLKSTIQSQRAPCCQVEGCNLDLSSAKDYHRKHRVCESHSKCQKVIVAGLERRFCQQCSRFHGLSEFDEKKKSCRRRLSDHNARRRKQPGSVHLNSRVSSSLYDERQQMSLAWDRAPLVHARPNANLTWEGTYISKFTITKDYIAKPAEIGGNDGQFHLPGFDLTNGIDIQHHHKSNSSLPSKGKGTAAEILNQGLEEYIIPSKAEAAPESHRALSLLSNNSWGSREPQSISFEQPVHTNHTTQSVLQVIPQNSPLASSEYWRTEQPSTDSQVHTLTSH >Potri.016G012300.3.v4.1 pep chromosome:Pop_tri_v4:16:646920:648595:-1 gene:Potri.016G012300.v4.1 transcript:Potri.016G012300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012300.v4.1 MSKPIGSEWSVMLISILFLLNYLLLWQLGSGASFDDRIEEVVGSGSLPDDEVSALRLLSKNLLSKDTMQLTLTYPICSPEKHAEIRCDYCHSIKNQSVCSVTIINLPSKNLDGSIDPSIDLFEKLEALNLFNNQLSGEIPATLGNLQYLKILYVKALIPCSIFINDGMHRLCLFLNHGTMTVLNIRNLSSNSLTGSIPPNLTKLHNLEYL >Potri.016G012300.2.v4.1 pep chromosome:Pop_tri_v4:16:646920:648607:-1 gene:Potri.016G012300.v4.1 transcript:Potri.016G012300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012300.v4.1 MSKPIGSEWSVMLISILFLLNYLLLWQLGSGASFDDRIEEVVGSGSLPDDEVSALRLLSKNLLSKDTMQLTLTYPICSPEKHAEIRCDYCHSIKNQSVCSVTIINLPSKNLDGSIDPSIDLFEKLEALNLFNNQLSGEIPATLGNLQYLKILNLSSNSLTGSIPPNLTKLHNLEYL >Potri.016G012300.4.v4.1 pep chromosome:Pop_tri_v4:16:646920:648607:-1 gene:Potri.016G012300.v4.1 transcript:Potri.016G012300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012300.v4.1 MSKPIGSEWSVMLISILFLLNYLLLWQLGSGASFDDRIEEVVGSGSLPDDEVSALRLLSKNLLSKDTMQLTLTYPICSPEKHAEIRCDYCHSIKNQSVCSVTIINLPSKNLDGSIDPSIDLFEKLEAL >Potri.001G443700.3.v4.1 pep chromosome:Pop_tri_v4:1:46878238:46885831:1 gene:Potri.001G443700.v4.1 transcript:Potri.001G443700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443700.v4.1 MAIESVGGSILSKIAELLVEPTIRQFRYMFCFNNFVQEFNEQKKNLALTLDRLQNAVEVAERNAEEIEKDVNKWLEDAKNEIEGVNRLENEKGKNSKCFNWCPNWIPQFKKFPKVSHKAPLQDIEFLPSKGFTPSESSKEAFEQIMKALKDDSANMIGLYGMGGVGKTTLVKEVGRKAKELQLFNEVLIATVSQNPNVTDMQDQMADSLGLHFDEKSKEGRAGRLWQRLQGKKMLIILDDVWKDIDLKEIGIPFGDAHRCCKIVITTRLENICSYMECQQKVFLRVLSEEEAWALFKINAGLRDEDSDLNRVAKEVARECQGFLEHEWEVASEELKKSQSRHVETFDDRRNAYACLKLSYDYLKHEETKLCFLLCCLFSEDYNIPIEELTRYAVGYGLYQDVKFIEGARKRVYMEIENLKACCMLLGTETEEYVQMHDLVRDVAIQIASSEKYRFMVKAGFGLKEWPMSNRRFEGCTVISLMGNKLAELPQGLVCPQLKVLLLELDGGLNVPERFFEGMKEIEVLSLKGGCLSLQSLEFSTNLQSLLLVECICKDLVSLRNLQRLKILVFMWCDSIKELPDEMGELKELRLLDVTGCMYVASIPVNLIGRLKMLEELLIWDGSFTGWDSTGGMNARVTELNSLSHLAVLSLTIPKVECIPRDFVFPRLLKYDIVLGNGYSITAYPISTRLYLGDISATSLNAKTFEQLFPTVSQIGFSNVERLENIDFLQRLEHVEVAACGDIRTLFPAKWRQALKNLRSVEINHCNSLEEIFELGEADEGSSEEKELPLLSSLTELQLSWLPELKWIWKGPSRHFSLQSLNHLELWYLSKLTFIFTPSLAQSLIHLETLRIEYCRGLKHLIREKDDEREIIPESLRFPKLKTLSIIQLKVLDISTCEELEQIIAKDNDDENLQILSRSDLQSSCFPNLCRLEIERCNKLKSLFPVAMASGLPKLQILKVSQCSQLLGVFGQDDHASPFNAEKEMVLPDMLELLLENLPSIVCFSPGCYDFLFPRLKTLKVYECPKLTTKFSTTTNGSMSVQSEVLLIGLDFLPLF >Potri.005G152000.2.v4.1 pep chromosome:Pop_tri_v4:5:12966298:12967560:-1 gene:Potri.005G152000.v4.1 transcript:Potri.005G152000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G152000.v4.1 MVTRNSNWSTKILRYGTGYVKGKILSPLKRPTQGRVRCSCSVWVKTIMVIEQSLTVENPTCCSLWCGRSGVACRCCQREACGAGPVKDAALCSCINGGPLDVPGVEELLRLVQLRSEGEDDGDGDGGLPDCCCFPLCFCFSVSSLVFLSCVVALCYCWETKAKTMMMVGDVCSAERGGQGWCGGGFGLKPERKRKAMEGLLWLFFL >Potri.010G057700.7.v4.1 pep chromosome:Pop_tri_v4:10:8834525:8841149:1 gene:Potri.010G057700.v4.1 transcript:Potri.010G057700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057700.v4.1 MKGMMMKLRLPHLLTTTTTNDGSSHSSLPVSSSELESRRGSPGQSDKKGSGTANIGTRRIDGEPALLSGVAPSNLEAKSAAQEFKHLNGGLGEFQTKQDADRKPWRHEINGLENATTDNLKDDLMDVKEKRELDDKGQGTVILELKKPALEEKFPGKLPEDASKKQAKLRSNTLALNRTAIGVQGTTRRDKLKHLKSVQLQFNSAEGEDPFINSQFIEKAKKINISENVNKGAKGYPRSEREKSTKGSYDNQGESNSEVEILKEELSRAAAKDGLAEQGNSKIKFQLMEKEKEIDLPENVNKVDMSYEQSKREEETKGNFSGNKVELESKVEMLEEELMEAAAVEVGLYSVVAEHGSSINKVLAPARRLSRFYLYACEAGSWAKRANAARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVSQAVEKLQLASVPSSINNGGPKGRQESTLTEGEKTNKTESLDEWAEPQPYIAALEKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSNSRKTHAKRHGLGDQEQGNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVSRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDSDSSEEKDELCSIRRVSETSFKAFQLLNALSDLMMLPFEMLADRSTRKEVCPSFDAPMIKRVLNNFVPDEFNPDPIPETIFEALDSEDFAEAGEESITNFPCIAVPTIYSPPPAASLTNIIGEVGSQTLQRSGSAMLRKSYTSDDELDELDSPMTSIIENSKVFPSSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.010G057700.10.v4.1 pep chromosome:Pop_tri_v4:10:8834543:8841138:1 gene:Potri.010G057700.v4.1 transcript:Potri.010G057700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057700.v4.1 MKGMMMKLRLPHLLTTTTTNDGSSHSSLPVSSSELESRRGSPGQSDKKGSGTANIGTRRIDGEPALLSGVAPSNLEAKSAAQEFKHLNGGLGEFQTKQDADRKPWRHEINGLENATTDNLKDDLMDVKEKRELDDKGQGTVILELKKPALEEKFPGKLPEDASKKQAKLRSNTLALNRTAIGVQGTTRRDKLKHLKSVQLQFNSAEGEDPFINSQFIEKAKKINISENVNKGAKGYPRSEREKSTKGSYDNQGESNSEVEILKEELSRAAAKDGLAEQGNSKIKFQLMEKEKEIDLPENVNKVDMSYEQSKREEETKGNFSGNKVELESKVEMLEEELMEAAAVEVGLYSVVAEHGSSINKVLAPARRLSRFYLYACEAGSWAKRANAARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVSQAVEKLQLASVPSSINNGGPKGRQESTLTEGEKTNKTESLDEWAEPQPYIAALEKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSNSRKTHAKRHGLGDQEQGNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVSRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDSDSSEEKDELCSIRRVSETSFKAFQLLNALSDLMMLPFEMLADRSTRKEVCPSFDAPMIKRVLNNFVPDEFNPDPIPETIFEALDSEDFAEAGEESITNFPCIAVPTIYSPPPAASLTNIIGEVGSQTLQRSGSAMLRKSYTSDDELDELDSPMTSIIENSKVFPSSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.010G057700.11.v4.1 pep chromosome:Pop_tri_v4:10:8834513:8841149:1 gene:Potri.010G057700.v4.1 transcript:Potri.010G057700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057700.v4.1 MKGMMMKLRLPHLLTTTTTNDGSSHSSLPVSSSELESRRGSPGQSDKGSGTANIGTRRIDGEPALLSGVAPSNLEAKSAAQEFKHLNGGLGEFQTKQDADRKPWRHEINGLENATTDNLKDDLMDVKEKRELDDKGQGTVILELKKPALEEKFPGKLPEDASKKQAKLRSNTLALNRTAIGVQGTTRRDKLKHLKSVQLQFNSAEGEDPFINSQFIEKAKKINISENVNKGAKGYPRSEREKSTKGSYDNQGESNSEVEILKEELSRAAAKDGLAEQGNSKIKFQLMEKEKEIDLPENVNKVDMSYEQSKREEETKGNFSGNKVELESKVEMLEEELMEAAAVEVGLYSVVAEHGSSINKVLAPARRLSRFYLYACEAGSWAKRANAARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVSQAVEKLQLASVPSSINNGGPKGRQESTLTEGEKTNKTESLDEWAEPQPYIAALEKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSNSRKTHAKRHGLGDQEQGNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVSRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDSDSSEEKDELCSIRRVSETSFKAFQLLNALSDLMMLPFEMLADRSTRKEVCPSFDAPMIKRVLNNFVPDEFNPDPIPETIFEALDSEDFAEAGEESITNFPCIAVPTIYSPPPAASLTNIIGEVGSQTLQRSGSAMLRKSYTSDDELDELDSPMTSIIENSKVFPSSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.010G057700.8.v4.1 pep chromosome:Pop_tri_v4:10:8834410:8841163:1 gene:Potri.010G057700.v4.1 transcript:Potri.010G057700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057700.v4.1 MKGMMMKLRLPHLLTTTTTNDGSSHSSLPVSSSELESRRGSPGQSDKKGSGTANIGTRRIDGEPALLSGVAPSNLEAKSAAQEFKHLNGGLGEFQTKQDADRKPWRHEINGLENATTDNLKDDLMDVKEKRELDDKGQGTVILELKKPALEEKFPGKLPEDASKKQAKLRSNTLALNRTAIGVQGTTRRDKLKHLKSVQLQFNSAEGEDPFINSQFIEKAKKINISENVNKGAKGYPRSEREKSTKGSYDNQGESNSEVEILKEELSRAAAKDGLAEQGNSKIKFQLMEKEKEIDLPENVNKVDMSYEQSKREEETKGNFSGNKVELESKVEMLEEELMEAAAVEVGLYSVVAEHGSSINKVLAPARRLSRFYLYACEAGSWAKRANAARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVSQAVEKLQLASVPSSINNGGPKGRQESTLTEGEKTNKTESLDEWAEPQPYIAALEKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSNSRKTHAKRHGLGDQEQGNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVSRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDSDSSEEKDELCSIRRVSETSFKAFQLLNALSDLMMLPFEMLADRSTRKEVCPSFDAPMIKRVLNNFVPDEFNPDPIPETIFEALDSEDFAEAGEESITNFPCIAVPTIYSPPPAASLTNIIGEVGSQTLQRSGSAMLRKSYTSDDELDELDSPMTSIIENSKVFPSSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.010G057700.9.v4.1 pep chromosome:Pop_tri_v4:10:8834517:8841144:1 gene:Potri.010G057700.v4.1 transcript:Potri.010G057700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057700.v4.1 MKGMMMKLRLPHLLTTTTTNDGSSHSSLPVSSSELESRRGSPGQSDKKGSGTANIGTRRIDGEPALLSGVAPSNLEAKSAAQEFKHLNGGLGEFQTKQDADRKPWRHEINGLENATTDNLKDDLMDVKEKRELDDKGQGTVILELKKPALEEKFPGKLPEDASKKQAKLRSNTLALNRTAIGVQGTTRRDKLKHLKSVQLQFNSAEGEDPFINSQFIEKAKKINISENVNKGAKGYPRSEREKSTKGSYDNQGESNSEVEILKEELSRAAAKDGLAEQGNSKIKFQLMEKEKEIDLPENVNKVDMSYEQSKREEETKGNFSGNKVELESKVEMLEEELMEAAAVEVGLYSVVAEHGSSINKVLAPARRLSRFYLYACEAGSWAKRANAARAIISGLILVSKACGNDVPRLTFWLSNSIVLRAIVSQAVEKLQLASVPSSINNGGPKGRQESTLTEGEKTNKTESLDEWAEPQPYIAALEKVEAWIFSRIVESVWWQTLTPHMQSTAVKSSNSRKTHAKRHGLGDQEQGNFAIDLWKKAFRDACERLCPVRAGGHECGCLPVLSRLVMEQLVSRLDVAMFNAILRESAEEMPTDPVSDPISDPKVLPIPAGNSSFGAGAQLKNAVGNWSRWLTDLFGIDDSDSSEEKDELCSIRRVSETSFKAFQLLNALSDLMMLPFEMLADRSTRKEVCPSFDAPMIKRVLNNFVPDEFNPDPIPETIFEALDSEDFAEAGEESITNFPCIAVPTIYSPPPAASLTNIIGEVGSQTLQRSGSAMLRKSYTSDDELDELDSPMTSIIENSKVFPSSTAWNWMQKGKAGRKVVRYQLLREVWKDGE >Potri.014G035100.1.v4.1 pep chromosome:Pop_tri_v4:14:2216865:2218516:1 gene:Potri.014G035100.v4.1 transcript:Potri.014G035100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035100.v4.1 MEAVNMCSTSSSDSSSSESSFNGNKTPRSTNKSERIKGPWSAEEDRILTRLVEQHGPRNWSLISRYIKGRSGKSCRLRWCNQLSPNVQHRPFSPVEDETILAAHARFGNRWATIARLLPGRTDNAVKNHWNSTLKRRARERQSQMNLEGNFDSNYGNNNNEGIAIDINVASGSMPDGIMREEEDALTTLTLAPPGIGGGVSGNSGGMVAERRPESLPAGFWDVMRDVIAREVRDYVSSTLSESSSGFH >Potri.004G133100.2.v4.1 pep chromosome:Pop_tri_v4:4:15413137:15413768:1 gene:Potri.004G133100.v4.1 transcript:Potri.004G133100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133100.v4.1 MQILWPDSGWYAIPLTSLVESSQVKKVHQKARLCLHPDKLQQRGATLPQKYVAEKTFSILLDAWAAFVSQDFCLTRDDSGSFLYSFENQQEKLACSIG >Potri.003G146800.1.v4.1 pep chromosome:Pop_tri_v4:3:16119161:16121776:-1 gene:Potri.003G146800.v4.1 transcript:Potri.003G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146800.v4.1 METQIDSFWVLALVSKCKAFSSQDPIFLLLSLFLAWLAIALCYWVYPGGPAWGKYWLKRATCSKAKMIPGPRGFPVIGSMNLMVNLAHHKLAAAAKTLKAKRLMAFSMGETRVIITCNPDVAKDILNSSVFADRPVKESAYQLMFNRAIGFAPYGVYWRTLRRIAATHLLCPKQISSTEPQRLDIASQMVSVMACQGGDYFRVRDILRKASLNNMMCSVFGRKYDLGTSNNEIEELGGLVDEGYDLLGKLNWSDHLPWLANFDLQKIRFRCSNLVPKVNRFVNRVIQEHREDQSGQRRNDFVDVLLSLHGPDKLSDHDMVAVLWEMIFRGTDTVAVLIEWILARMILHPDIQSKVHDELDQVAGRSRPLMEADIRSMVYLPAVVKEVLRLHPPGPLLSWARLAITDTDVDGYDVPAGTTAMVNMWAITRDPQVWVDPLKFSPERFLSKEVTADVEFSVSGSDLRLAPFGSGRRTCPGRTLGLATVSFWVGSLLHEFEWARCGHEPVDLSEVLRLSCEMAKPLTVKVNPRRR >Potri.011G157600.1.v4.1 pep chromosome:Pop_tri_v4:11:18353634:18355373:-1 gene:Potri.011G157600.v4.1 transcript:Potri.011G157600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G157600.v4.1 MASPRFLLLLFTTLSLTVSSAISGSVVEDLANLQPPSDFNTTIMKNCQHNPSLRYCNSSSMDLKEIFKFTIVASHLCNESKNPNCVHSFDNIDLRNRPKMAPLYLSYSFFWKYCPLTILSIDLSNISLKGSFPKEVICCDQIQALDLSLNGLTGEFPIESFAPLTNLTFLNLSYNYFSESKISDSQFFKRFNSSSFIHSGILPSDRNYTIKAIFLLVGFPICVILMAVCFGWLCFQRPDYLPRMFQRKHKFTPSMLRAATNGFSRKNQMVRSEGVEIYKGTLRDSTQVRIEIYRGCISREKRKEFVEECKVLVELCHKNLVQVLGWCSNRNQRAIVTEWTDGETIEMWLSGSAPPWKQRLKMLIGVVDGMRYLQEHWPEVVYDLRINSVLLSDNHEPLLSRFQVGDQYSNNKKIHKFGIFLLEIITNRRSQEFERGEAGLIEYVRDNYPKNLHKVIDARMKLPENMFDQAKHGIELGLMCTDQSISKHPSLNQISHMINKVYESCLELAPQNHKRSHGDGGKGHKHVQ >Potri.016G080250.1.v4.1 pep chromosome:Pop_tri_v4:16:6161250:6161873:-1 gene:Potri.016G080250.v4.1 transcript:Potri.016G080250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080250.v4.1 MTMEGAMKPLVVAFMVLLAMMAFAFSSTNTRTLPSPPPPPKSNIDLSSQQESSAFKFMPNSRHPPSPKSGVDLLSQQESSALNKIPA >Potri.019G077300.2.v4.1 pep chromosome:Pop_tri_v4:19:11816566:11820852:-1 gene:Potri.019G077300.v4.1 transcript:Potri.019G077300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077300.v4.1 MGGSAMDDDWEFASPSNGARTIVLVGRTGNGKSATGNSILGRKAFKSRASSSGVTSSCELQRTVLRDGQIINVIDTPGLFDFSAGSEFVGREIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKILDYMIVVFTGGDELEDNDETLEDYLGRECPQPLKEVLTLCENRRVLFNNKTKDVLKGVEQVQELLSLVNRVIEQNGGQPYSDELFAEIQKGEMNFRGQQEEVDSLKGNFSIGEISELQEQMKRQYEDQLKRVTDMVEMKLKEATGNLERRLAEEQAARLRAEESAQLEQRKSNEEIRMLRERLEKAHEELRNKGGCAIL >Potri.019G077300.6.v4.1 pep chromosome:Pop_tri_v4:19:11816578:11820847:-1 gene:Potri.019G077300.v4.1 transcript:Potri.019G077300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077300.v4.1 MGGSAMDDDWEFASPSNGARTIVLVGRTGNGKSATGNSILGRKAFKSRASSSGVTSSCELQRTVLRDGQIINVIDTPGLFDFSAGSEFVGREIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKILDYMIVVFTGGDELEDNDETLEDYLGRECPQPLKEVLTLCENRRVLFNNKTKDVLKGVEQVQELLSLVNRVIEQNGGQPYSDELFAEIQKGEMNFRGQQEEVDSLKGNFSIGEISELQEQMKRQYEDQLKRVTDMVEMKLKEATGNLERRLAEEQAARLRAEESAQLEQRKSNEEIRMLRERLEKAHEELRNKGGCAIL >Potri.019G077300.7.v4.1 pep chromosome:Pop_tri_v4:19:11816578:11820435:-1 gene:Potri.019G077300.v4.1 transcript:Potri.019G077300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077300.v4.1 MGGSAMDDDWEFASPSNGARTIVLVGRTGNGKSATGNSILGRKAFKSRASSSGVTSSCELQRTVLRDGQIINVIDTPGLFDFSAGSEFVGREIVKCINMAKDGIHAVLVVFSVRTRFSQEEEAALRSLQTLFGSKILDYMIVVFTGGDELEDNDETLEDYLGRECPQPLKEVLTLCENRRVLFNNKTKDVLKGVEQVQELLSLVNRVIEQNGGQPYSDELFAEIQKGEMNFRGQQEEVDSLKGNFSIGEISELQEQMKRQYEDQLKRVTDMVEMKLKEATGNLERRLAEEQAARLRAEESAQLEQRKSNEEIRMLRERLEKAHEELRNKGGCAIL >Potri.003G119400.3.v4.1 pep chromosome:Pop_tri_v4:3:14078951:14080230:1 gene:Potri.003G119400.v4.1 transcript:Potri.003G119400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119400.v4.1 MVHTVHLPANFSGIKVDAVRFRCGSLRRYGAQVKEFHLGIGVTLQPCAERLVVIRQNLDLNWSPIYYANYDLSGYQLVSPILGLLAYNFGSDVNVSDSFEIGIHAGEKPITIDFSNASTTANVSGVRPLCASFENDGRVIIKVPESLFVCVAKRHGHYGLVIKSPPAPPPSMQMIKRKISLWKVVVGSSVGTALGVFLLGMLFVAMFVQVKKKARMEEMERRAYEEEALQVSMVGHVRAPTATVTRTTPIIEHGSRPYPS >Potri.003G119400.2.v4.1 pep chromosome:Pop_tri_v4:3:14078722:14081553:1 gene:Potri.003G119400.v4.1 transcript:Potri.003G119400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119400.v4.1 MGSPFSLTLIILLSLTSVRVEAQGIKSARLLDLLIRDYTLKSSGVRFKTGMVHTVHLPANFSGIKVDAVRFRCGSLRRYGAQVKEFHLGIGVTLQPCAERLVVIRQNLDLNWSPIYYANYDLSGYQLVSPILGLLAYNFGSDVNVSDSFEIGIHAGEKPITIDFSNASTTANVSGVRPLCASFENDGRVIIKVPESLFVCVAKRHGHYGLVIKSPPAPPPSMQMIKRKISLWKVVVGSSVGTALGVFLLGMLFVAMFVQVKKKARMEEMERRAYEEEALQVSMVGHVRAPTATVTRTTPIIEHGIISE >Potri.001G454600.2.v4.1 pep chromosome:Pop_tri_v4:1:48098494:48103885:-1 gene:Potri.001G454600.v4.1 transcript:Potri.001G454600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454600.v4.1 MDFSSASASPLCRSPPRTRSRRWRQSRTCTAVVVVAALVITTTAWLSLVFSGTTARWCWHRLKNWEGSLHTLPWKPQSQNPNGVEEEDKDLSLEGIAPSLPLGRNRSLSEEERRRDGAGLALNHIVFGIAGSSQLWKRRKEFIRLWWRKDSSMRGHVWVEEQVDDKEWDESLPRIMISEDTSRFRYTNPTGHPSGLRIARIVLETFRLGLSDVRWFVLGDDDTIFSVDNLVDVLSKYDCNEMVYIGGPSESHSANTYFSHNMAYGGGGIAISYPLAKALYSVLDDCLERYHRLYGSDDRLLACISELGVPLSREHGFHQWDIRGSAHGLLSAHPISPFVSIHHVEVVEPIYPGMSSLESLKLFTKAMKVDLMSFLQRSICYDHARRLTFSVSLGYAIQVFPSIVLPRVLERSEMTFSAWNKIHNLNEFDLDTRDPSKSVCKSPVLFFLEDVERQGNTTLGTYVRAGRLKDDLKRKVLCFPRSAPLPYVGRIQALGYPLKNWHLSPRRLCCKLNQTSDELLTISVRQCGKGSFGSFADSV >Potri.001G454600.1.v4.1 pep chromosome:Pop_tri_v4:1:48100313:48103872:-1 gene:Potri.001G454600.v4.1 transcript:Potri.001G454600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454600.v4.1 MDFSSASASPLCRSPPRTRSRRWRQSRTCTAVVVVAALVITTTAWLSLVFSGTTARWCWHRLKNWEGSLHTLPWKPQSQNPNGVEEEDKDLSLEGIAPSLPLGRNRSLSEEERRRDGAGLALNHIVFGIAGSSQLWKRRKEFIRLWWRKDSSMRGHVWVEEQVDDKEWDESLPRIMISEDTSRFRYTNPTGHPSGLRIARIVLETFRLGLSDVRWFVLGDDDTIFSVDNLVDVLSKYDCNEMVYIGGPSESHSANTYFSHNMAYGGGGIAISYPLAKALYSVLDDCLERYHRLYGSDDRLLACISELGVPLSREHGFHQWDIRGSAHGLLSAHPISPFVSIHHVEVVEPIYPGMSSLESLKLFTKAMKVDLMSFLQRSICYDHARRLTFSVSLGYAIQVFPSIVLPRVLERSEMTFSAWNKIHNLNEFDLDTRDPSKSVCKSPVLFFLEDVERQGNTTLGTYVRAGRLKDDLKRKVLCFPRSAPLPYVGRIQALGYPLKNWHLSPRRLCCKLNQTSDELLTISVRQCGKGSFGSFADSV >Potri.009G120800.4.v4.1 pep chromosome:Pop_tri_v4:9:10105348:10111938:-1 gene:Potri.009G120800.v4.1 transcript:Potri.009G120800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120800.v4.1 MATYYTSSNNQRDAAAMVYLPGSYPEAPVLHGNMMMYMNSGSYSDNLAGNSHHQNNCIEFQSVENSANPQQQEILSNLGGSRIGEHDFGAWRDGRNEMLVMQSVSGASSILHGAQSLQGQGLSLSLGTQIPSGTQMPSIPYRNTNPGLTSFLSPNFSISGEGGGRNGSSRDEQSRNIEYLTPVFSGGNHDVNKGDLSPYGMNSVGRTIPNSKYLKAAQQLLDEVVNVQKALKQPDKEKNQTTSEHGLNRAKEGDSGSKNGASNPQESTNSPSELSHAERQELQNKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYIALALQTISQHFRCLRDAITGQIRATRNNLGEQETSENSKGVGISRLRYVDQQLRQHRALQQLGMMKQHAWRPQRGLPESSVSILRAWLFEHFLHP >Potri.009G120800.1.v4.1 pep chromosome:Pop_tri_v4:9:10103236:10111900:-1 gene:Potri.009G120800.v4.1 transcript:Potri.009G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120800.v4.1 MATYYTSSNNQRDAAAMVYLPGSYPEAPVLHGNMMMYMNSGSYSDNLAGNSHHQNNCIEFQSVENSANPQQQEILSNLGGSRIGEHDFGAWRDGRNEMLVMQSVSGASSILHGAQSLQGQGLSLSLGTQIPSGTQMPSIPYRNTNPGLTSFLSPNFSISGEGGGRNGSSRDEQSRNIEYLTPVFSGGNHDVNKGDLSPYGMNSVGRTIPNSKYLKAAQQLLDEVVNVQKALKQPDKEKNQTTSEHGLNRAKEGDSGSKNGASNPQESTNSPSELSHAERQELQNKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYIALALQTISQHFRCLRDAITGQIRATRNNLGEQETSENSKGVGISRLRYVDQQLRQHRALQQLGMMKQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEELGDAEMDSNSSSENAAKATKGDMGTSEEKGEEFQQSASSTATGRCSGGQLMDSKSDHVSEVEMAGTTARSNFHNGTRGETFTEYGLLKLREDQRPSMEDCSLFSDAMAHSEGGGDRFMAAAAAAYQMSEVRRFGNGSGVSLTLGLQHCEGGSLPMSGTTHHGFVSVRGDDDIYNAASSVGAGPTDFECLNPGNRQHRFSSSHVFHDFVA >Potri.009G120800.3.v4.1 pep chromosome:Pop_tri_v4:9:10105954:10110906:-1 gene:Potri.009G120800.v4.1 transcript:Potri.009G120800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120800.v4.1 MATYYTSSNNQRDAAAMVYLPGSYPEAPVLHGNMMMYMNSGSYSDNLAGNSHHQNNCIEFQSVENSANPQQQEILSNLGGSRIGEHDFGAWRDGRNEMLVMQSVSGASSILHGAQSLQGQGLSLSLGTQIPSGTQMPSIPYRNTNPGLTSFLSPNFSISGEGGGRNGSSRDEQSRNIEYLTPVFSGGNHDVNKGDLSPYGMNSVGRTIPNSKYLKAAQQLLDEVVNVQKALKQPDKEKNQTTSEHGLNRAKEGDSGSKNGASNPQESTNSPSELSHAERQELQNKLTKLLSMLDEVDRRYKQYYHQMQIVVSSFDVIAGCGAAKPYIALALQTISQHFRCLRDAITGQIRATRNNLGEQETSENSKGVGISRLRYVDQQLRQHRALQQLGMMKQHAWRPQRGLPESSVSILRAWLFEHFLHPYPKDSDKIMLARQTGLTRSQVSNWFINARVRLWKPMVEEMYKEELGDAEMDSNSSSENAAKATKGDMGTSEEKGEEFQQSASSTATGRCSGGQLMDSKSDHVSEVEMAGTTARSNFHNGTRGETFTEYGLLKLREDQRPSMEDCSLFSDAMAHSEGGGDRFMAAAAAAYQMSEVRRFGNGSGVSLTLGLQHCEGGSLPMSGTTHHGFVSVRGDDDIYNAASSVGAGPTDFECLNPGNRQHRFSSSHVFHDFVA >Potri.010G044900.2.v4.1 pep chromosome:Pop_tri_v4:10:7685359:7692976:1 gene:Potri.010G044900.v4.1 transcript:Potri.010G044900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044900.v4.1 MKSLLSPQPSLPTHITEPRTNLSRSKVTSSLRFDRDRWIGRKKCGLTLSAVLDPATIDQFGISESEFVNPAVSTSYRSSKLPKPNQTVLDAQARVCTGPTQTKPLNEDQAFKVFDTILRSARGELKDEEQVSKAQLGAFFAAMTIRANAFPEATQWSEGEKHAVSNFWPHLVRALPSDVIFIADPEGSIMGAGSSIGPQYVGNGTSDMRLVGALREVLAGGHLGYEEVQGVLRDVLPFTFEDNKCSSGVSETLLSAFLIGQRMNRETDRELKAYCLAFDDQLGLAPVADVRSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGITEEQMLKFMGANTRLTPLQAKELLEDEELGFAYISQREACPSLYSLIGLREHIKKRPPLATTEKVQQFVKARGREAIVTGFYHEGYEEPLLMLMKRRGVHSGLVVKGEEGALSMTTKFRSANASKGLPVNYCSGFRSLGMDSAFELDGVSRQNFKTEVNAKDFGFEPTDTPRTDRSVSKNIELGLGALHGQKGPAYDRIVLNAGMVDHLLGCDGAEDVSTALDRAREAIDSGKALKKLLNYIKVSQKMR >Potri.010G044900.3.v4.1 pep chromosome:Pop_tri_v4:10:7685428:7692975:1 gene:Potri.010G044900.v4.1 transcript:Potri.010G044900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044900.v4.1 MKSLLSPQPSLPTHITEPRTNLSRSKVTSSLRFDRDRWIGRKKCGLTLSAVLDPATIDQFGISESEFVNPAVSTSYRSSKLPKPNQTVLDAQARVCTGPTQTKPLNEDQAFKVFDTILRSARGELKDEEQVSKAQLGAFFAAMTIRANAFPEATQWSEGEKHAVSNFWPHLVRALPSDVIFIADPEGSIMGAGSSIGPQYVGNGTSDMRLVGALREVLAGGHLGYEEVQGVLRDVLPFTFEDNKCSSGVSETLLSAFLIGQRMNRETDRELKAYCLAFDDQLGLAPVADVRSLTHYGEPYDGNTRFFRSTLFVAAVRSCYGESSLLHGVEWMPPKGGITEEQMLKFMGANTRLTPLQAKELLEDEELGFAYISQREACPSLYSLIGLREHIKKRPPLATTEKVQQFVKARGREAIVTGFYHEGYEEPLLMLMKRRGVHSGLVVKGEEGALSMTTKFRSANASKGLPVNYCSGFRSLGMDSAFELDGVSRQNFKTEVNAKDFGFEPTDTPRTDRSVS >Potri.001G147801.2.v4.1 pep chromosome:Pop_tri_v4:1:12191826:12196026:-1 gene:Potri.001G147801.v4.1 transcript:Potri.001G147801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147801.v4.1 MHRRLAIFTHKIFINTNPIPTTTATGTTFLYTQSNPLNPRTNGLAFFRLLSFTPVHRRAPDPDDPSNLMKEDGVSVCSQMWIENFREPDRILSNLTAYLRRFELWVLAYQKVCADDMGAYMPRSAIQRSALEDLLALKNAVLDNRFKWGARLDFFIKSPKDKTEYQSLSKRKIKAILTTTQPAAFQDKIVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLKVIRRNFAGYLWYMRGDLSTVLDGMKVGLVISALMRDVRDKKVIDLIKTALTTPVITSRVEEPKKKTKRKYQKKRVLAEDEPKPDPYWLDTFFGFAPEEAEKVPSWGHCGILSPLLANICLDELDRWMEGKIKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYVRHGGHILIGMRGPRADAATLRKQLIEFVDQKYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPDYHNLLRMGLAESIDGLQYTRMSHVPETDYTPFPSNWIPDHEKALLEYIRLDDPKTLEDQRFTMREQGLVSPQDYISMLVWNYKRNAISMDQLSLIKSGGNKAEREQRLLLGSDRDSYDQRSKEEEEHEEEFDVAEI >Potri.001G147801.1.v4.1 pep chromosome:Pop_tri_v4:1:12191372:12195923:-1 gene:Potri.001G147801.v4.1 transcript:Potri.001G147801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147801.v4.1 MHRRLAIFTHKIFINTNPIPTTTATGTTFLYTQSNPLNPRTNGLAFFRLLSFTPVHRRAPDPDDPSNLMKEDGVSVCSQMWIENFREPDRILSNLTAYLRRFELWVLAYQKVCADDMGAYMPRSAIQRSALEDLLALKNAVLDNRFKWGARLDFFIKSPKDKTEYQSLSKRKIKAILTTTQPAAFQDKIVQEVLFMILEPIYEARFSQKSFAFRPGRNAHTVLKVIRRNFAGYLWYMRGDLSTVLDGMKVGLVISALMRDVRDKKVIDLIKTALTTPVITSRVEEPKKKTKRKYQKKRVLAEDEPKPDPYWLDTFFGFAPEEAEKVPSWGHCGILSPLLANICLDELDRWMEGKIKEFYRPSKSDVIWNSPEGEAEQGNTSWPEFVPTSGPDKTRKMDYVRHGGHILIGMRGPRADAATLRKQLIEFVDQKYMLKLDNESLPIEHITKGIMFLDHVLCRRVVYPTLRYTATGGKIISEKGVGTLLSVTASLKQCIKQFRKLNFLKGDRDPDPQPCFRMFHATQAHTNAQMNKFLSTMVEWYRYADNRKKIVNFCSYIIRGSLAKLYAAKYKLRSRAKVYKIGSRNLSRPLKEKKGSSPDYHNLLRMGLAESIDGLQYTRMSHVPETDYTPFPSNWIPDHEKALLEYIRLDDPKTLEDQRFTMREQGLVSPQDYISMLVWNYKRNAISMDQLSLIKSGGNKAEREQRLLLGSDRDSYDQRSKEEEEHEEEFDVAEI >Potri.004G066700.1.v4.1 pep chromosome:Pop_tri_v4:4:5717283:5719702:-1 gene:Potri.004G066700.v4.1 transcript:Potri.004G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066700.v4.1 MAGREVREYTNLTDPKDKKSLGKGGRDKVIDDEDITFHRMVAKMQEVAGERGGYLHGRGALDSDDLLYLKEQMEAEEDAERLLRRTEKRAFAAFKKAASLADSSPASVPLSFRVEPKPKSGIRQQDLLKKVVEVKPKRPKVSNSSDGNYSSPISSDRASDNKIKVNQEKKEHIPASSKPEGETNVKNEAEENPVKSLLGLAYASSDEEED >Potri.001G347700.2.v4.1 pep chromosome:Pop_tri_v4:1:35917352:35918838:-1 gene:Potri.001G347700.v4.1 transcript:Potri.001G347700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX52 MAMNKAKELVSTNPVVVFSKTSCPFCVKVKQLLNQLGAKYTTVELDTEKDGGEIQSALHEWTGQRTVPNVFIGGNHIGGCDKTTGMHQEGKLVPLLADAGAVASASASA >Potri.002G018800.1.v4.1 pep chromosome:Pop_tri_v4:2:1128298:1130567:-1 gene:Potri.002G018800.v4.1 transcript:Potri.002G018800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018800.v4.1 MHKQGTIRDAKNIFLLLRQPNHIRRDRESQGRSSKTMKFLLLRLTSCCFYPTLLLFLTVVCSVKSSVKLPPNVTVPALLLFGDSIVDAGNNNNIKTLVKCNFPPYGKDFEGGVPTGRFCNGKVPSDIIAKELGIKDTLPAYLDPTVLPQDLVTGVTFASGGSGFDPLTPKLVSVISLSDQLKYLKEYIGKLEAMIGEEKTKFILKNSLFFVVAGSDDIANTYFTIRARKSQYDVPAYTDLMANSASTFAQELYELGARRIGFFSTPPIGCVPSQRTLAGGAERKCAENLNEAAKLFNSKLSKKLDSLGSSLPNGRFVYIDVYNLLLDLIQNPKKYGFQVVDKGCCGTGDLEVSILCNQYTPVKCANVSDHIFWDSYHPTESAYKALVSPLLGENLNKFF >Potri.006G230800.2.v4.1 pep chromosome:Pop_tri_v4:6:23421822:23425579:-1 gene:Potri.006G230800.v4.1 transcript:Potri.006G230800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230800.v4.1 MGDSSDSVSIDMETPSLSGKEYVVETCCGYVSVSVYGDQDKPALVTYPDLALNHISCFQGLFFCPEACSLLLHNFCIYHISPPGHELGAATISPDDPLLSVDDLADQIADVLNYFGLDAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCNAPSWTEWLYNKVLSNLLYYYGMCGVVKELLLKRYFSKEARGSAQVPESDVVQACRRLLDERQGLNVWRFLEAMNGRQDISDGLRKLRCRSLIYVGESSPFHFEALDMNSKLDRRCSALVEVQACGSMVTEEQPHAMLIPLEYFLMGYGMYRPPKLSVSPRSPLSPICISPELLSPESMGLKLKPIKTRISLEV >Potri.006G230800.1.v4.1 pep chromosome:Pop_tri_v4:6:23421806:23425425:-1 gene:Potri.006G230800.v4.1 transcript:Potri.006G230800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230800.v4.1 MGDSSDSVSIDMETPSLSGKEYVVETCCGYVSVSVYGDQDKPALVTYPDLALNHISCFQGLFFCPEACSLLLHNFCIYHISPPGHELGAATISPDDPLLSVDDLADQIADVLNYFGLDAVMCMGVTAGAYILTLFAMKYRQRVLGLILISPLCNAPSWTEWLYNKVLSNLLYYYGMCGVVKELLLKRYFSKQEARGSAQVPESDVVQACRRLLDERQGLNVWRFLEAMNGRQDISDGLRKLRCRSLIYVGESSPFHFEALDMNSKLDRRCSALVEVQACGSMVTEEQPHAMLIPLEYFLMGYGMYRPPKLSVSPRSPLSPICISPELLSPESMGLKLKPIKTRISLEV >Potri.013G085101.5.v4.1 pep chromosome:Pop_tri_v4:13:7768840:7777125:1 gene:Potri.013G085101.v4.1 transcript:Potri.013G085101.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085101.v4.1 MSPQFYDKYFEDYFSKLSLSQLPQSQPLREIHFIKYPTSNAAGTVIFKIHHSIGDGYSLVGALLSCLQRADNPSLPLTLPSVQPRVDTSGDHGTIFKTVPKIFSLLFYTVSDFLGSLMKSSLVEDDLSPIRSGDIGIEFRPIALASMTFSLGQIKQIKATLGVTINDVITGAIFLGTRLYMQEMSKGSSNHSNCTALVLLNTRMFRSYQSIKEMVKPKAESPWGNHFAFLHVQLPELVASTELNPIEFVRKSQQIIKRKRSSWAVHLTAAFVETVKKLKGHEVAAQCIHKTLLNASVLITNMIGPVEKMCLANHPIKDMFFVLAGNPQSLTITIVSYMDNLRVTVGAEKGFVDVQKLKSCIEEAFQMILKSAAGEIQQMK >Potri.013G085101.4.v4.1 pep chromosome:Pop_tri_v4:13:7768659:7777125:1 gene:Potri.013G085101.v4.1 transcript:Potri.013G085101.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085101.v4.1 MSPQFYDKYFEDYFSKLSLSQLPQSQPLREIHFIKYPTSNAAGTVIFKIHHSIGDGYSLVGALLSCLQRADNPSLPLTLPSVQPRVDTSGDHGTIFKTVPKIFSLLFYTVSDFLGSLMKSSLVEDDLSPIRSGDIGIEFRPIALASMTFSLGQIKQIKATLGVTINDVITGAIFLGTRLYMQEMSKGSSNHSNCTALVLLNTRMFRSYQSIKEMVKPKAESPWGNHFAFLHVQLPELVASTELNPIEFVRKSQQIIKRKRSSWAVHLTAAFVETVKKLKGHEVAAQCIHKTLLNASVLITNMIGPVEKMCLANHPIKDMFFVLAGNPQSLTITIVSYMDNLRVTVGAEKGFVDVQKLKSCIEEAFQMILKSAAGEIQQMK >Potri.013G085101.2.v4.1 pep chromosome:Pop_tri_v4:13:7767878:7777125:1 gene:Potri.013G085101.v4.1 transcript:Potri.013G085101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085101.v4.1 MVSEKEEDALEPVSPTGQYFNSSVLNVSVLGVLETEVPIDDSKTIPFLMDVFLPINPRFSSIMVIDENGEKRWKKVEVKFKDHVYVPIFPAEMSPQFYDKYFEDYFSKLSLSQLPQSQPLREIHFIKYPTSNAAGTVIFKIHHSIGDGYSLVGALLSCLQRADNPSLPLTLPSVQPRVDTSGDHGTIFKTVPKIFSLLFYTVSDFLGSLMKSSLVEDDLSPIRSGDIGIEFRPIALASMTFSLGQIKQIKATLGVTINDVITGAIFLGTRLYMQEMSKGSSNHSNCTALVLLNTRMFRSYQSIKEMVKPKAESPWGNHFAFLHVQLPELVASTELNPIEFVRKSQQIIKRKRSSWAVHLTAAFVETVKKLKGHEVAAQCIHKTLLNASVLITNMIGPVEKMCLANHPIKDMFFVLAGNPQSLTITIVSYMDNLRVTVGAEKGFVDVQKLKSCIEEAFQMILKSAAGEIQQMK >Potri.013G085101.3.v4.1 pep chromosome:Pop_tri_v4:13:7767876:7777125:1 gene:Potri.013G085101.v4.1 transcript:Potri.013G085101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G085101.v4.1 MSPQFYDKYFEDYFSKLSLSQLPQSQPLREIHFIKYPTSNAAGTVIFKIHHSIGDGYSLVGALLSCLQRADNPSLPLTLPSVQPRVDTSGDHGTIFKTVPKIFSLLFYTVSDFLGSLMKSSLVEDDLSPIRSGDIGIEFRPIALASMTFSLGQIKQIKATLGVTINDVITGAIFLGTRLYMQEMSKGSSNHSNCTALVLLNTRMFRSYQSIKEMVKPKAESPWGNHFAFLHVQLPELVASTELNPIEFVRKSQQIIKRKRSSWAVHLTAAFVETVKKLKGHEVAAQCIHKTLLNASVLITNMIGPVEKMCLANHPIKDMFFVLAGNPQSLTITIVSYMDNLRVTVGAEKGFVDVQKLKSCIEEAFQMILKSAAGEIQQMK >Potri.004G021500.7.v4.1 pep chromosome:Pop_tri_v4:4:1528782:1530175:-1 gene:Potri.004G021500.v4.1 transcript:Potri.004G021500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G021500.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.006G198800.1.v4.1 pep chromosome:Pop_tri_v4:6:20645235:20649162:1 gene:Potri.006G198800.v4.1 transcript:Potri.006G198800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198800.v4.1 MGSLPHVVEDCGGVVQLFSDGTIYRSKDIGFPMPIINDESVLFKDCLFDKTYNLHLRLYKPTSISLSSPTKKLSIILYLHGGGFCVGTREWPNCHNCCLKLASGLNALVVAPDYRLAPEHRLPAAMEDGLSALQWLQAQVLSDKGDAWVNGGKVDYDQVFVLGDSSGGNIAHHLAVQIGVGSTRLAPVRVRGYILLAPFFGGVARTKSEEGPSEQLLNLEILDRFWRLSMPAGASRDHPLANPFGPGSLNLELVALDPIMVIVGGCELLRDRGEDYARRLKEMGKKIEYVEFEGKQHGFFTNDPYSEASEEVIQVMKKFVIENSS >Potri.006G198800.2.v4.1 pep chromosome:Pop_tri_v4:6:20645364:20646237:1 gene:Potri.006G198800.v4.1 transcript:Potri.006G198800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198800.v4.1 MGSLPHVVEDCGGVVQLFSDGTIYRSKDIGFPMPIINDESVLFKDCLFDKTYNLHLRLYKPTSISLSSPTKKLSIILYLHGGGFCVGTREWPNCHNCCLKLASGLNALVVAPDYRLAPEHRLPAAMEDGLSALQWLQAQVLSDKGDAWVNGGKVDYDQVFVLGDSSGGNIAHHLAVQIGVGSTRLAPVRVRGYILLAPFFGGVARTKSEEGPSEQLLNLEILDRYVNTL >Potri.001G420700.1.v4.1 pep chromosome:Pop_tri_v4:1:44907746:44910626:-1 gene:Potri.001G420700.v4.1 transcript:Potri.001G420700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420700.v4.1 MSKIVHEKMTQLCVKIAHGNSIKWSILERVTVFKQFFHFIWDKILACSIGKPARYRRLTYRSCSPPPEAIEAGVETSLEAASCRGFSKDSDLVSLKISLLGDCQIGKTSFVIKYVGDEQEKKCLEMTGLSLMDKTLFVQGARISFSIWDVAGDSSSLDHVPIACKDAVAILFMFDLTSRCTLNNVVEWYNQARKWNQTAIPILIGTKFDDFVQLPPNLQWTIVTQARAYAKAMKATLFFSSSKHNINVNKIFKFIMAKLFNLPWTVERNLTVGEPIIDF >Potri.018G062400.1.v4.1 pep chromosome:Pop_tri_v4:18:7284870:7288756:-1 gene:Potri.018G062400.v4.1 transcript:Potri.018G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062400.v4.1 MAPATTSVPSLPSPCPKSPREYPDLYGKRREMAKVQMLEREIGFLEEELKSIQGLQPASTSCKEVTDFVMANSDPLIPTSRKKRRSSRFWKWLWGIRCFNFSWICCCCSSGCSLHQECPSCCECNLCNCSSCISCPTLKWRWCCSCPRPRSHCCRKISCSRNCCCICQLPACPDCSCSGWTCSCPECPKVRLCCNCKNTCCNPCLFF >Potri.011G072400.1.v4.1 pep chromosome:Pop_tri_v4:11:6516581:6519612:1 gene:Potri.011G072400.v4.1 transcript:Potri.011G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072400.v4.1 MVTFRFHQYQVVGRALPTQSDEHPKIYRMKLWATNEVRAKSKFWYFLRKLKKVKKSNGQVLAINEIFEKNPTTIKNYGIWLRYQSRTGYHNMYKEYRDTTLNGAVEQMYTEMASRHRVRFPCIQIIKTATIPAKLCKRESTKQFHNSKIKFPLVFKKVRPPSRKLKTTYKASRPNLFM >Potri.014G026900.1.v4.1 pep chromosome:Pop_tri_v4:14:1659845:1660763:-1 gene:Potri.014G026900.v4.1 transcript:Potri.014G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G026900.v4.1 MRVSALSLIAASLTFLIVVKAQVDLKDFIQLTSEDLEWPSALSVYDELSDNEDEEYGGGSHRRSLHGRAKHYYVSYGALSANRVPCPARSGRSYYTHYCFRSRGQANPYTRGCSCITHCRR >Potri.017G068748.2.v4.1 pep chromosome:Pop_tri_v4:17:5641821:5643382:1 gene:Potri.017G068748.v4.1 transcript:Potri.017G068748.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068748.v4.1 MDPKGSNSKNPHELPTFFTLTHTHTSPSPSPHTPPQPHHQQPQHLHNQNQLQPNMGENKAAEIKDFQIVVADKEEQKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGGAISQQGASLSAGLHQKIDDIGESSSRRTSWAMLGGNLGRPHQVTSAGLWPPVGGYGFQSSSNTTGPSTTNIVSEGGGGSSYLQKNGFSGFDMPGNNIGPMSLTSILGVGSQQLPGLELGLSQDGHIGVLSPQALSQIYQQMGQARVQQHQQQNPSKDDSQGSGQ >Potri.017G068748.1.v4.1 pep chromosome:Pop_tri_v4:17:5641688:5643667:1 gene:Potri.017G068748.v4.1 transcript:Potri.017G068748.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068748.v4.1 MDPKGSNSKNPHELPTFFTLTHTHTSPSPSPHTPPQPHHQQPQHLHNQNQLQPNMGENKAAEIKDFQIVVADKEEQKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGGAISQQGASLSAGLHQKIDDIGESSSRRTSWAMLGGNLGRPHQVTSAGLWPPVGGYGFQSSSNTTGPSTTNIVSEGGGGSSYLQKNGFSGFDMPGNNIGPMSLTSILGVGSQQLPGLELGLSQDGHIGVLSPQALSQIYQQMGQARVQQHQQQNPSKDDSQGSGQ >Potri.015G059400.1.v4.1 pep chromosome:Pop_tri_v4:15:8266912:8276085:-1 gene:Potri.015G059400.v4.1 transcript:Potri.015G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059400.v4.1 MRWERVLQQQQQQGVQIEELNGPGKRWGHTCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTVNQTWSQPVLNGTPPVPRDSHTCTTVGDNLYVFGGTDGKNPLNDLHILDTSSHTWITPNVRGDGPEAREGHGAALVGKRLFIYGGCGKSSDNYHEVYYEDLYILNTETFVWKQAITTGTPPSARDSHTCSSWRDKIIVIGGEDGHDYYLSDVHILDTETLVWKELNTLGQKLPPRAGHSTVAFGKNLFVFGGFTDAQNLYDDFYMLDVETGVWTKVMTTGDGPSARFSVAGDCLDPLKGGVLVFIGGCNKSLEALEDMYYLHTGHTRVQDEWRLEKLSLKKQLKLKCQEQNLNSSVRDKVLVQIDTNADIHHTLPSYAQPGRENFPLNQRQLHQGKKSFQAKVTESLPHGYTIETTVDGKPLRGILFSNKPSSPQIVNHNNSRKRASAEIGVVLNGDYNSKPKTSKNLKQDAVENMQPDNAHEKESTAQEPKTEASAVPDLKNLASSNDHQSHEAPQNAEPPVVPTLNLNDDMISDAPNSDTEFSKEKCTPGEDSTTWLLNQDGQTDTKC >Potri.001G211200.4.v4.1 pep chromosome:Pop_tri_v4:1:21566995:21577586:-1 gene:Potri.001G211200.v4.1 transcript:Potri.001G211200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211200.v4.1 MVLLRRLLDIEDSESIDEKPLSNGDVYIGSFKGSLPHGKGKYIWSDGTVYEGDWEEGKITGKGQILWSSGAIYEGDFSGGYLHGIGTFTGLDGSEYRGAWRMNIQHGLGMKRYSNLDIYEGSWKEGMNEGCGRYSWNSGNMYIGNWKGGKMCGRGVMKWENGDVFDGFWLNGLRHGSGVYRFSDGGYYFGMWSMGLKDGKGTFHPAGTKHPSLRKWGSSIGCDGIGRNLLSHSSSINSEEVRVPKPNFLGSLSGKMSISKILKDPVRLSQRNALLDEKWSLCNPSGEFIYREPSCTLSQTSEEGQSGVQNNSTVVYEREYLQGVLKNEKVRGTELSRKTKQRKKFHVKEAKRKSCVDIFEGQRSYYLMLNLQLGIRYTVGKITPVPMREVRDSDFGDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAADYMMSICGDDGLRELSSSGKSGSIFYLSHDDRFVIKTLKKFELKTLLQMLPNYYIHVGKYENTLITKFFGVHRITLRGGRKVRFVVMGNMFCTELRIHRRFDLKGSTQGRYTDEDKVGENTTLKDLDLEYEFLMDKLLRKSLFKQLSLDCSFLESQQIIDYSLLLGLHFRAPEQLRSILEPPATMLNDAGLPADGINSQGHLSTPPKGLLLVTHEPGFVSTAPGPHIRGNTLKAYSLGEREVDLLLPGTGRLRVQLGVNMPAQASHKLTRDEVDSAEIELFEVYDVVLYMGVIDILQEYNVKKKVEHACKSLKFDPLSISAVEPKLYAKRFLNFLHKVFPEQP >Potri.001G211200.1.v4.1 pep chromosome:Pop_tri_v4:1:21566984:21577714:-1 gene:Potri.001G211200.v4.1 transcript:Potri.001G211200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211200.v4.1 MVLLRRLLDIEDSESIDEKPLSNGDVYIGSFKGSLPHGKGKYIWSDGTVYEGDWEEGKITGKGQILWSSGAIYEGDFSGGYLHGIGTFTGLDGSEYRGAWRMNIQHGLGMKRYSNLDIYEGSWKEGMNEGCGRYSWNSGNMYIGNWKGGKMCGRGVMKWENGDVFDGFWLNGLRHGSGVYRFSDGGYYFGMWSMGLKDGKGTFHPAGTKHPSLRKWGSSIGCDGIGRNLLSHSSSINSEEVRVPKPNFLGSLSGKMSISKILKDPVRLSQRNALLDEKWSLCNPSGEFIYREPSCTLSQTSEEGQSGVQNNSTVVYEREYLQGVLKNEKVRGTELSRKTKQRKKFHVKEAKRKSCVDIFEGQRSYYLMLNLQLGIRYTVGKITPVPMREVRDSDFGDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAADYMMSICGDDGLRELSSSGKSGSIFYLSHDDRFVIKTLKKFELKTLLQMLPNYYIHVGKYENTLITKFFGVHRITLRGGRKVRFVVMGNMFCTELRIHRRFDLKGSTQGRYTDEDKVGENTTLKDLDLEYEFLMDKLLRKSLFKQLSLDCSFLESQQIIDYSLLLGLHFRAPEQLRSILEPPATMLNDAGLPADGINSQGHLSTPPKGLLLVTHEPGFVSTAPGPHIRGNTLKAYSLGEREVDLLLPGTGRLRVQLGVNMPAQASHKLTRDEVDSAEIELFEVYDVVLYMGVIDILQEYNVKKKVEHACKSLKFDPLSISAVEPKLYAKRFLNFLHKVFPEQP >Potri.001G211200.5.v4.1 pep chromosome:Pop_tri_v4:1:21567105:21576495:-1 gene:Potri.001G211200.v4.1 transcript:Potri.001G211200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211200.v4.1 MVLLRRLLDIEDSESIDEKPLSNGDVYIGSFKGSLPHGKGKYIWSDGTVYEGDWEEGKITGKGQILWSSGAIYEGDFSGGYLHGIGTFTGLDGSEYRGAWRMNIQHGLGMKRYSNLDIYEGSWKEGMNEGCGRYSWNSGNMYIGNWKGGKMCGRGVMKWENGDVFDGFWLNGLRHGSGVYRFSDGGYYFGMWSMGLKDGKGTFHPAGTKHPSLRKWGSSIGCDGIGRNLLSHSSSINSEEVRVPKPNFLGSLSGKMSISKILKDPVRLSQRNALLDEKWSLCNPSGEFIYREPSCTLSQTSEEGQSGVQNNSTVVYEREYLQGVLKNEKVRGTELSRKTKQRKKFHVKEAKRKSCVDIFEGQRSYYLMLNLQLGIRYTVGKITPVPMREVRDSDFGDRARIRMYFPRKGSQFTPPHYSIDFYWKDYCPMVFRNLREMFKLDAADYMMSICGDDGLRELSSSGKSGSIFYLSHDDRFVIKTLKKFELKTLLQMLPNYYIHVGKYENTLITKFFGVHRITLRGGRKVRFVVMGNMFCTELRIHRRFDLKGSTQGRYTDEDKVGENTTLKDLDLEYEFLMDKLLRKSLFKQLSLDCSFLESQQIIDYSLLLGLHFRAPEQLRSILEPPATMLNDAGLPADGINSQGHLSTPPKGLLLVTHEPGFVSTAPGPHIRGNTLKAYSLGEREVDLLLPGTGRLRVQLGVNMPAQASHKLTRDEVDSAEIELFEVYDVVLYMGVIDILQEYNVKKKVEHACKSLKFDPLSISAVEPKLYAKRFLNFLHKVFPEQP >Potri.016G091700.1.v4.1 pep chromosome:Pop_tri_v4:16:7533644:7539616:-1 gene:Potri.016G091700.v4.1 transcript:Potri.016G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091700.v4.1 MEAQVSLDSERQLLQNGSIQDGDSGVTSSTPDCVETRQPEKRPTRQWAAWTRQEEESFFTALRQVGKNFEKITHHVQSKNKDQVRHYYYRLVRRMNKLLGPGLCLDAKNSKDTNAAMLRWWSLLEKYSCKASKLHLKPRRFKIFIEALENQLLKDQRKNVRKRSSQGENGSPTTPSIITNQNRASVHDTRTVKLVIVDSQNIQKLGGKGSLKRNVNMGVVRNNNKGDSTAMKPARQRRKPVSSAAYKKWEKAAIAGVSLVADAAEHLERTATDKEDEHDQGKKGLDPVEKLLPHFHPSLRCVESNALTNMKLKLQLFPIDDGTRRALEMDKHNPHLELTLSTRKKISSVLEHLNRKWGDSTVASGELMLFPYSVNRENLVSYQRWTQDSLVSAADVYFSIGSPPVFRLRYGWFFNVNFASVTLQAPSTYSCLPVGGNEKDKTMDSVPTAEPSTSDQFEKPENPCRDCPTSENNNHASILHSAGVTNENNEFVATGPRNNLVKSFDPAANISLHRKETDDRTNTQQSEDVDGLRLSNVNPLSAGEWADSLTNVSIGDLLSELPHVANHNCIESLIVQSNQCLQEIPFSCDSFDAAIAAHISRHQGKMGFHSAVASYTSSIWDGEETCDAFAFQKNHSLRKEVTTSSAVASPRVSKQMDRTSSITSSAFLEELPVIEGPNDYPTGGEPMDECSSDSQVVSNQVKDFNGLTDIYWPDSLGLLDLDIPSSKYHTEDLILSDSLGGLNHLIASSLDAFQNCSFFGLNKKDSISTVEARETTSFSDFKISGGV >Potri.006G240450.1.v4.1 pep chromosome:Pop_tri_v4:6:24239283:24241061:-1 gene:Potri.006G240450.v4.1 transcript:Potri.006G240450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240450.v4.1 MHTRRLHLVSICFYAARNCMFMVHLWRCVSIRLHGEVSREISHLGTPRTCTYCSFLLTLFDHQLSLSLSLSHPHARLLGRYRSSENGWTWLVKDEDIN >Potri.013G135700.2.v4.1 pep chromosome:Pop_tri_v4:13:14082665:14085641:-1 gene:Potri.013G135700.v4.1 transcript:Potri.013G135700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G135700.v4.1 MFFTLFGWRKASKCKRLMKQVQYRINLLKTKRHSIVRQLREDVAQLIKAGYENIAFSRAELLFKDENIVAIYELLDGFCEFIVANLSYIRWNKDCPNDVNEAVSTLIFASARCGDIPELRAVRKIFGQHYGQRFEKTALELLPGNLVNFQVKERLSILSVPDDVKQRLVDKIAKDYCLRPEILALEYASELQQQEEEHKDVQNDGSKIEESKREVLDGNDLEGKAIYIDSRSTSRSSTTSTHSCQNSHTSSGSINSSIAQQSSPDTLESPMCNKAEKADNFAGLHSPSKFKVVALSTEESQVASSDYSTTSKHKEERKMAGTSSESLPQLPEEMIVYLDDVEEVQSITKEGSCQDKRIFKFKSSRFLPKKEKVEDSCDVKHMDQYESGSEKSSSSSSRRNTNVKRSRRRSISQEHSSAEDIQCMLYYNKPSKRYTTDPKHKSQLPSKHQKNTAIGKSEETCDAEKRQKQPHYSNHCCLNHPCYFCTNDGRVAHEVPPWNQKRLLTVVHHCDCPTIGESDAEMERYAFPHQPRRKSCEFGASACDFFTSQDWQPDQQRKRNSTCSNVSSAYPCPKPTSSLTGKEPKAPYLRAMTMPQERSKYSNRENVERCSSFPIESPIHVHPKLPDCDDIAAKFTALKREHAKNTHA >Potri.003G033700.1.v4.1 pep chromosome:Pop_tri_v4:3:3811714:3820582:1 gene:Potri.003G033700.v4.1 transcript:Potri.003G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033700.v4.1 MLTVSVKWLKEVFPKVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWATVGVKEGQKMMMMGTADEIVKAPEKGPIFMEDLPEEEQVVALGHTAGLFNLGNTCYMNSTVQCLHSVPDLKSALSNYPSQKSSDLDQTSHMLTAATRELFNELDKSVKPVAPMQFWMVLRKKYPQFGQLHNGVYMQQDAEECWTQLLYTLSQSLRSPSPSQNPDTIKELFGIELVSRVHCQESGEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASPSLGRSAIYLKESCINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLQLDVYDFCSDDLRKNLEAPRQILRDEEGKKAGLKAKVKSSSSKDNDAKMTDVEGPSNESVKSSNSTSGEGGSSDKESHLTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEYDDDNPIPQREEDITKLSGGGDWHMAYICMYKARAFPV >Potri.003G033700.4.v4.1 pep chromosome:Pop_tri_v4:3:3811607:3820391:1 gene:Potri.003G033700.v4.1 transcript:Potri.003G033700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033700.v4.1 MLTVSVKWLKEVFPKVEIDTSQPPYVFKCQLYDLTGVPPERQKIMVKGGLLKDDADWATVGVKEGQKMMMMGTADEIVKAPEKGPIFMEDLPEEEQVVALGHTAGLFNLGNTCYMNSTVQCLHSVPDLKSALSNYPSQKSSDLDQTSHMLTAATRELFNELDKSVKPVAPMQFWMVLRKKYPQFGQLHNGVYMQQDAEECWTQLLYTLSQSLRSPSPSQNPDTIKELFGIELVSRVHCQESGEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASPSLGRSAIYLKESCINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLQLDVYDFCSDDLRKNLEAPRQILRDEEGKKAGLKAKVKSSSSKDNDAKMTDVEGPSNESVKSSNSTSGEDKESHLTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEYDDDNPIPQREEDITKLSGGGDWHMAYICMYKARAFPV >Potri.004G022300.1.v4.1 pep chromosome:Pop_tri_v4:4:1557710:1558171:-1 gene:Potri.004G022300.v4.1 transcript:Potri.004G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022300.v4.1 MCFLQTLKVKVVIDGTRFPIELPNDATVQDLKEAVHRMFNFYAVENQELLFNGLLLLNYIKLETYEVVDDSEIILQIFFTVGIIGKNPDGQYHRYEVRAHRSNTVQDLKLKLHMYHGLDITNMNLQMRPEYYLQDRTFLWANEISSSTDIYIV >Potri.010G099500.1.v4.1 pep chromosome:Pop_tri_v4:10:12264834:12270617:1 gene:Potri.010G099500.v4.1 transcript:Potri.010G099500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099500.v4.1 MAALSVNIEVGEEEKEKMESKSEAAAEKERMKPWEQHAGVINMPRFDYNAPSALLHHSHSGFLITCSIKREKSATKEAMSILEKYVESYNSGSSESLERSDENKSAKKRRILTDETSAKCDEDVKSESIIDEISGGPAKDDCQSLSKADAPVERGFVLSLVKLTGSGLVLLTFPRENPSVTADVVSNIFQCLESGILKSPLWCHRIFPIQATCLLIEKELRAVVSKLVLQFINDKQNKLARPIKFAVGYNRRGIEETCMKNLKDNPKDSDPFPMLDRSKCFDVVASAIKDAVPESAVDLKSPELSVLVELLPLSGVPNGSLVAAVSVLPQNLVSVKPRLCIKPLISDANARNRS >Potri.010G099500.8.v4.1 pep chromosome:Pop_tri_v4:10:12264834:12270649:1 gene:Potri.010G099500.v4.1 transcript:Potri.010G099500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099500.v4.1 MAALSVNIEVGEEEKEKMESKSEAAAEKERMKPWEQHAGVINMPRFDYNAPSALLHHSHSGFLITCSIKREKSATKEAMSILEKYVESYNSGSSESLERSDENKSAKKRRILTDETSAKCDEDVKSESIIDEISGGPAKDDCQSLSKADAPVERGFVLSLVKLTGSGLVLLTFPRENPSVTADVVSNIFQCLESGILKSPLWCHRIFPIQATCLLIEKELRAVVSKLVLQFINDKQNKLARPIKFAVGYNRRGIEETCMKNLKDNPKDSDPFPMLDRSKCFDVVASAIKDAVPESAVDLKSPELSVLVELLPLSGVPNGSLVAAVSVLPQNLVSVKPRLCIKPLISDANARNRS >Potri.019G014340.1.v4.1 pep chromosome:Pop_tri_v4:19:2156080:2162135:1 gene:Potri.019G014340.v4.1 transcript:Potri.019G014340.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014340.v4.1 MEGVPPSFIEDDVNLTSNQFDFQSQEDSFNISELLLSPPEVPGNQSFMLCNDHAGQNMVVDNNVINDSIQQTTDQFPPSFPQTMTTMGDLDGTSSSWIKQNEPNWPQAPPRCQPNSNLFDPQCANPFRDQQWTNYQLPMSNQVPAMLPGPQPPMNQRHQNSFIHQPRPGHGYMTPNATTSQHGGFNQSGPSSPAPWIQTFCNEGQVDQAAVIPNIDNMQQENFVPRSQMDNLQVRGLQNQIARPNASNTQQVETVGSKYPFRIHVEYRADGSMKCKFCQHTWANKTSISRFKWHLSGEEGRGVAICRGVTKEVKEAAFLAIYGGHKRQKITASSVNVNDCGIPTCLQEQNIENENTGGIVGRVQREVQVVEPGVVEERISSHAIAGNDVVSMTGMRAQEDRVSEGALESRLRTEPVDRSLEQSNAVLGNVAGGARRIQVGVQGMEQDPGEERIQSHLQAENGMENTGEGSFQHDAFETVPRTEKVQTLEPRGDSSQFCHGIGRCYDQPCAPSVNNDVTSHDEQHMVRVRTQPVQEEEDVENSGRSVVQAGAGARSSESLKYNKTRGVPLPTSSTKPVGQAFEENTKVIWSLLMDDKVPTIGIYGMGGVGKTTILKHIHNELLQRPDIYDHVWWVTVSQDFNINRLQNFIATQLHLNLSREDDDLHRAVKLSEELKRKQKWILILDDLWNNFELEEVGIPEKLKGCKLIMTTRSKTVCHQMACHRKIKVKLLSEREAWTLFMEKLGRAMALLPEVEGIAKAVARECAGLPLGIIAVAGSLRGVDDPHEWRNTLNKLRESEFRDIDKKVFKLLRFSYDRLGDLALQQCLLYCALFPEDDDIERKELIGYLIDEGIIKGKRSRGDAFDEGHTMLNRLEYVCLLESAQMDYDDIRRVKMHDLIRDMAIQILQDESQVMVKAGAQLKELPDAEEWTENLTRVSLMQNQIKEIPSSYSPRCPYLSTLLLCQNRWLRFIADSFFKQLHGLKVLNLAGTGIQNLPDSVSDLVSLTALLLKGCENLRHVPSFEKLGELKRLDLSRTALEKMPQGMECLTNLRYLRMNGCGEKEFPSGILPKLSQLQVFVLEELKGISYAPITVKGKELGSLRNLETLECHFEGLPDFVEYLRSRDGIQSLSTYKILVGEVLRCIEQLIGDFPSKTVGVGNLSIHRDGDFQVKFLNGIQGLHCECIDARSLCDVLSLENATELERIRIGKCDSMESLVSSSWLCSAPPPGMFSGLKKFYCYGCNSMKKLFPLVLLPNLVNLERIYVSECEKMEEIIGTTDEESSTSNSITEVILPKLRTLRLEWLPQLKSICSAKLIRNSLKQITVMHCEKLKRMPICLPLLENGQPSPPPSLKKIKAYPKEWWETVVEWEHPNAKDVLRSLVKFW >Potri.001G269600.1.v4.1 pep chromosome:Pop_tri_v4:1:28419868:28421667:1 gene:Potri.001G269600.v4.1 transcript:Potri.001G269600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269600.v4.1 MPEKSGKGRKEEVITREYTINLHKRLHGCTFKKKAPKAIKEIRKFAQKAMKTTDVRVDVKLNKHVWSRGIRSVPRRVRVRVARKRNDEEDAKEEFYSLVTVSELPPEGFKGLGTKVIDDEEE >Potri.010G225300.3.v4.1 pep chromosome:Pop_tri_v4:10:20913834:20916764:1 gene:Potri.010G225300.v4.1 transcript:Potri.010G225300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225300.v4.1 MKCFHFSSREKNEEPKTVTKSVSVQSTSSTSMSMSTDRDLRKSGSELNSQNVSDFSTESSTKNSFANSFASLCQRQSNLKAFTFSELKTATKNFSRSVMIGEGGFGGVYRGVIRSMEDSSKKIDIAVKQLSRRGLQGHKEWVTEVNVLGIVEHPNLVKLVGYCAEDDERGIQRLLVYEFMPNRSVQDHLSSKFQKALPWATRVKIAQDAARGLAYLHEGMDFQIIFRDFKSSNILLDDQWNAKLSDFGLARLGPSDGLSHVSTAVVGTIGYAAPEYIQTGRLTSKSDVWSFGVFLYELITGRRPLDRNRPKNEQNLLEWVRSHLSDVRKFRLIMDPKLEGKYNIKAAQKLASVANRCLVRQAKSRPKMSDILDMINKIVDTTDNGSPLPCTKSLAPKDAPEGPGRERMKRRFLDSIIGDQKGCWSAWRTWRTKLVRTR >Potri.009G036700.4.v4.1 pep chromosome:Pop_tri_v4:9:4609971:4617416:-1 gene:Potri.009G036700.v4.1 transcript:Potri.009G036700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036700.v4.1 MYNIPTGSSDYPTPVSDAGGSTDGKAGRPSPFMQPPTWMHQRPPLSVDVNVAYVEGRDEVDRGTSHQALTQDFFMPSGKRKREDFAPKYNNGGFIPQQDGAGDSASEVSQVSQGNDPLGRCDTSTTKNKEILARVSRSYLKIPQVDGPIPDPYDDVLSTPNIYNYQGVANEDYNIANTPAPNDPLASTPAVVSQNDVADDDDDDEPLNEDDDDDEDLDDVDQGEELNTPQHLVLAQFDKVTRTKSRWKCILKDGIMHINNKDILFNKATGEFDF >Potri.009G036700.1.v4.1 pep chromosome:Pop_tri_v4:9:4609971:4617416:-1 gene:Potri.009G036700.v4.1 transcript:Potri.009G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036700.v4.1 MASSDATSPVYINVIEDVINKVRDEFINNGGPGETVLYELQGLWETKMMQAGAICGTIDRSSANKLPVPGGPITPVHDLNVPYEGTEEYETPTAEILFPPTPMQTPMQTPLPGSAQTPLPGSAQTPLPGNAQTPLPGSVDNSSMYNIPTGSSDYPTPVSDAGGSTDGKAGRPSPFMQPPTWMHQRPPLSVDVNVAYVEGRDEVDRGTSHQALTQDFFMPSGKRKREDFAPKYNNGGFIPQQDGAGDSASEVSQVSQGNDPLGRCDTSTTKNKEILARVSRSYLKIPQVDGPIPDPYDDVLSTPNIYNYQGVANEDYNIANTPAPNDPLASTPAVVSQNDVADDDDDDEPLNEDDDDDEDLDDVDQGEELNTPQHLVLAQFDKVTRTKSRWKCILKDGIMHINNKDILFNKATGEFDF >Potri.009G036700.3.v4.1 pep chromosome:Pop_tri_v4:9:4610019:4617416:-1 gene:Potri.009G036700.v4.1 transcript:Potri.009G036700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036700.v4.1 MASSDATSPVYINVIEDVINKVRDEFINNGGPGETVLYELQGLWETKMMQAGAICGTIDRSSANKLPVPGGPITPVHDLNVPYEGTEEYETPTAEILFPPTPMQTPMQTPLPGSAQTPLPGSAQTPLPGNAQTPLPGSVDNSSMYNIPTGSSDYPTPVSDAGGSTDGKAGRPSPFMQPPTWMHQRPPLSVDVNVAYVEGRDEVDRGTSHQALTQVSQGNDPLGRCDTSTTKNKEILARVSRSYLKIPQVDGPIPDPYDDVLSTPNIYNYQGVANEDYNIANTPAPNDPLASTPAVVSQNDVADDDDDDEPLNEDDDDDEDLDDVDQGEELNTPQHLVLAQFDKVTRTKSRWKCILKDGIMHINNKDILFNKATGEFDF >Potri.008G151800.1.v4.1 pep chromosome:Pop_tri_v4:8:10418069:10422053:-1 gene:Potri.008G151800.v4.1 transcript:Potri.008G151800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151800.v4.1 MGEISCSNGIGNGNGVNGKTHSSLNGYRKSCWYEEEIEENLRWCFALNSILHTGASRYQDIALLDTKPFGKALIIDGKLQSAEVDEFIYHESLVHPALLHHSSPKTVFIMGGGEGSTAREILRHKTAVKVVMCDIDEEVVDFCKAYLVVNREAFCDPRLEVIINDARAELEIGKECYDVIIGDLADPIEGGPCYKLYTKSFYEHTVKPKLNRGGIFVTQAGPAGIFSHTEVFSCICNTLKQVFKYVVPYSAHIPSFADTWGWVMASDTPFTLSADESDSRIKQRIKGENRYLDGKTFSSASTLSKAVRKSLDNETHVYTEGTARFIYGHGSVQKQNQA >Potri.018G124832.1.v4.1 pep chromosome:Pop_tri_v4:18:13663454:13665666:1 gene:Potri.018G124832.v4.1 transcript:Potri.018G124832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124832.v4.1 MAVFNLDKLCLILPAFLFLLLLFPLGGQHHLTLLFSSFNPIKANSSTTPLEAPVLDAFASTSINTSNEDEPKTQKKRSSLERVEEGLSKARAAIQEAIRSKNYTSHKKETFIPKGSVYWNSHAFHQSHIEMVKRFKVWPYKEGERPLVHDGPLNNIYSIEGHFIDEVESKGSPFRAQDPDEAHVFFLPVSVASIVHFIYLPITAAADYSRDRLRRVVTDYVHIVAKKYPYWNRSNGADHFMVSCHDWAPDVSIANSELFNKFIRVLCNANISVGFRPPRDVPLPEIYLPFSGLGTTHMGQAPNNRPILAFFEGRAHGYIRQVLFKHWKNKDNEVQVHELLPKGNNYTRLMGQSKFCLCPSGFEVASPRVVEAIYQGCVPVIISNNYSLPFSDVLNWSQFSVQIPVEKIPEIKMILQRISNSKYLRMHERVKRVQRHFVLNRPAKPFDVIHMVLHSLWLRRLNFRLSD >Potri.002G196900.1.v4.1 pep chromosome:Pop_tri_v4:2:15951144:15952055:-1 gene:Potri.002G196900.v4.1 transcript:Potri.002G196900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196900.v4.1 MGNYITYPNSSNSAAGTGRVILWDGKVFEFDTPLTAAELMLEYPQQVVVEFRSDFTEKRPAPLPADKRLDLKKVYLMLPIKRGKPASLSSGEARRVLLSANSVLRSRSLLSSSRFFPLFARICPSGTGEEQIFVMQKKECHVEEKPAMENYDSELTEISESRPEYLSRQLSGKGTWKPSLDTIKEKKVEKKTPHWLF >Potri.008G169000.1.v4.1 pep chromosome:Pop_tri_v4:8:11670141:11674648:-1 gene:Potri.008G169000.v4.1 transcript:Potri.008G169000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169000.v4.1 MAPTPSSSSSKSNHPHLLKTPQSKHRLNFSSTRTPSLNPSPNPNSTVKETPQDHPIEVISRIRDYPDKKEKPNSILQINPDNQTLRVRADIGYRDFSLDGVSFSEEEDLDSFYKKFVESRITGVKLGAKCTIMMYGPTGSGKSHTMFGCSKQPGIVYRSLKDILGGGEEGSEGGDGEEIRISTFVQVTVLEIYNEEIYDLLSTNSGGGLGIGWPKGGSGSKVRLEVMGKKAKNATFISGNEAGKISKEIQKVEKRRIIKSTLCNERSSRSHCMIILDVPTVGGRLMLVDMAGSENIDQAGQSGFEAKMQTAKINQGNIALKRVVESIANGDSHVPFRDSKLTMLLQDSFEDDKSKILMILCASPDPKEIHKTICTLEYGAKAKCIVRGPHTPIKDKVGAGDSSAVILGSRIAAMDQFIYKLQMESKLREKERNEAHKQLMKKEEEVAALRALIEEKGSSASEEEINIKVSERTEELKLQLEKKLDECQRMAEEFVELERRRMEEKILQQQQEVEMLRRRLEEIEFELCHSKGENSGVNGPRDIDGSGFARRLMGVYADEDPGMVKSMDLDMGDQDVFVRDVKLVGTSAHQSSNIGIQSLSGYPHLSTLNQVVDHGVFAPTYGDKVCLSTVFEEEEVEEEEHKVEDEEVEKEVIEVKRIVDVSSPVINFGAGSLMSSPLKFEALNDGSEDRHSVSGPVNEHENSKDPASSRLLRIQNIFTLCGNNRELCQQFRTPPPAKKRVADTDSQTPPILTDGEDYALKSSNKENSPPLQKNVPVMECRKNLSDMIASKENYNPLIGSNDSQIEVHVKWEASKGNNGKFITTLKVLKDATLADLRKLIEIYLAADNQAFTFLVLGDPGGAPVPREKESTVQAIKLPICNNQSYGYLACLRPAKGTQGSNHLPATPLPLTPVENKLPLTPMPCLSQQAVDLSPKLAAHLNSTPFITLRRR >Potri.006G016900.1.v4.1 pep chromosome:Pop_tri_v4:6:1050522:1053674:1 gene:Potri.006G016900.v4.1 transcript:Potri.006G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016900.v4.1 MSYLGVGVSPGNVPVYHGMNLKVIDRRVRLAELVLRCVICALGVLAAVLVGTDTQVKEIFSIQKKARFTDMKALVFLVVANGIAAAYSLVQGVRCVVGMVKGSVLFSKPLAWVIFSGDQMMAYLTLSAVAAAVQSASFAKLGQPDLQWMKICNMYGKFCNQVGEGIASALLVSVSMVVLSCISSFSLFRLYGGNKGKDGARW >Potri.009G163100.3.v4.1 pep chromosome:Pop_tri_v4:9:12531297:12534577:-1 gene:Potri.009G163100.v4.1 transcript:Potri.009G163100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163100.v4.1 MATAIGSAARRVVLRRFSSGGKVLGEEEKAAENVYIKKVEQEKLEKLARKGPKPEETTASGSGAAPADVKASTAASSTPPGSSTEKVSTDKYRNYAVVAGTITALGALGWYLKSGGKKQEEVRD >Potri.019G065100.1.v4.1 pep chromosome:Pop_tri_v4:19:10521919:10523498:1 gene:Potri.019G065100.v4.1 transcript:Potri.019G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065100.v4.1 MAKLAVPLLIFFFLLSCIPSQAAPPLQTPLQTPIQKDHSTSQYIITAYLKTPLMPTKLVLDLGATYSWVNCDDYISSTYQHVPCNSSIFYSLSAYGCEDICDGPPGPNCANNSFIFLLDGPLETVDYKKVNSLNDALVDYLALLNNLGSLSSIDNFIFSCARTGFLKGLAKGVTGLASLGNSNLSIPVQINKAFSSSPNCFAMCLSGSISQPGVALFGSKGPYNFLHGIDLSKSLLYTPLIFNPFGRDSDPYTQRSPEYYVGLTSIKVNGEMVAFNKALLAFNDRGYGGTRISTLVPYTKLQSSIYKAFTLAFLKEAASSAFNLTTTKPVKPFRVCYPARAVKTTQMGPAVPIIELVLDRQDVVWKIFGSNSMVRVTKKSVDLWCLGFVDGGIDGPSIMIGGLQLEDNLLQFDLQSQKLGFSSSILSKGTNCADYEFPTRKV >Potri.014G084800.4.v4.1 pep chromosome:Pop_tri_v4:14:5449699:5454483:-1 gene:Potri.014G084800.v4.1 transcript:Potri.014G084800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084800.v4.1 MKLKINKACDLSSISVLPPQSRRSSSIPAGPQQASQLRSQQSQQSFSQGFSSQHGIFSQISQTSLDEALTIDQRFSSQEQENSVKKPSCLPVISYKREESQLPVSRSSCNLVRKWSAARVPDHKCQINEELQHRIGMMETSLTKFGMILDSVQSDVMQVKKGIKEASLETEGMRQKLIVLDTSLQLMNKGQEDVKFSLEGSLKSVSEQLSKDRYQDKLQQIFSALSTLPKQTETFLYKLQNELCTTFKKEIQMACSLKTPLNQKCPSITVLSPKVTSHHVTPQRKPEPVKNPTLLPKVAGQAETVPMVEMGGWKSVKVKQHTFTQAASLREQKRTRVSSDQQEEQSRVIIDSDEEIDGGFSCLLDVKETGIRNPIIGESKEDTARILRKARRQKRKYCNPIIIN >Potri.014G084800.1.v4.1 pep chromosome:Pop_tri_v4:14:5449699:5454483:-1 gene:Potri.014G084800.v4.1 transcript:Potri.014G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084800.v4.1 MKLKINKACDLSSISVLPPQSRRSSSIPAGPQQASQLRSQQSQQSFSQGFSSQHGIFSQISQTSLDEALTIDQRFSSQEQENSVKKPSCLPVISYKREESQLPVSRSSCNLVRKWSAARVPDHKCQINEELQHRIGMMETSLTKFGMILDSVQSDVMQVKKGIKEASLETEGMRQKLIVLDTSLQLMNKGQEDVKFSLEGSLKSVSEQLSKDRYQDKLQQIFSALSTLPKQTETFLYKLQNELCTTFKKEIQQMACSLKTPLNQKCPSITVLSPKVTSHHVTPQRKPEPVKNPTLLPKVAGQAETVPMVEMGGWKSVKVKQHTFTQAASLREQKRTRVSSDQQEEQSRVIIDSDEEIDGGFSCLLDVKETGIRNPIIGESKEDTARILRKARRQKRKYCNPIIIN >Potri.001G179000.7.v4.1 pep chromosome:Pop_tri_v4:1:15628353:15635769:1 gene:Potri.001G179000.v4.1 transcript:Potri.001G179000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179000.v4.1 MEEGKSRGDLHVNVLKQTPSRQGGSFKSTTLSGRSTPRNSPTHRLLHSSRTPRREGRGSGGIQWFRSNRLIYWLLLITLWTYLGFYVQSRWAHGDNKDEFLGFGGKSSNGLLDAEQHTRRDLLANDSLVVVNNGTNKIQVRNAKKIDVVLAKKGNGVSSNRRATPKKKKSKRGGRRSRAKAHDKQKATVVVESDDVEVAEPDVPKNNASYGLLVGPFGPIEDRILEWSPEKRSGTCDRKGAFARLVWSRKFVLIFHELSMTGAPLSMLELATEFLSCGATVSAVVLSKKGGLMPELARRRIKVLEDRADLSFKTAMKADLVIAGSAVCTSWIDQYIARFPAGGSQVVWWIMENRREYFDRSKIILNRVKMLVFLSESQMKQWQTWCEEENIRLRSPPAVVQLSVNDELAFVAGIACSLNTPTSSSEKMLEKRQLLRESVRKEMGLTDNDMLVMSLSSINAGKGQLLLLESANLVIEPDPSPKITNSVDKGNQSTLAAKHHLRALLAKPENLVRFSNEFSRYSESSTRLAEPNKTNSQVTSVSNSIDYNVAGNLDRSHRKRKLLADSEGTHEQALKVLIGSVGSKSNKVPYVKEILRFISQHSNLSKSVLWTSATTRVASLYSAADVYITNSQGLGETFGRVTIEAMAFGLPVLGTDAGGTQEIVEHNITGLLHPVGRPGSRVLAQNIELLLKNPSVRKQMGIKGRKKVEKMYLKRHMYKKIWEVLYKCMRVK >Potri.001G179000.9.v4.1 pep chromosome:Pop_tri_v4:1:15628419:15635767:1 gene:Potri.001G179000.v4.1 transcript:Potri.001G179000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179000.v4.1 MEEGKSRGDLHVNVLKQTPSRQGGSFKSTTLSGRSTPRNSPTHRLLHSSRTPRREGRGSGGIQWFRSNRLIYWLLLITLWTYLGFYVQSRWAHGDNKDEFLGFGGKSSNGLLDAEQHTRRDLLANDSLVVVNNGTNKIQVRNAKKIDVVLAKKGNGVSSNRRATPKKKKSKRGGRRSRAKAHDKQKATVVVESDDVEVAEPDVPKNNASYGLLVGPFGPIEDRILEWSPEKRSGTCDRKGAFARLVWSRKFVLIFHELSMTGAPLSMLELATEFLSCGATVSAVVLSKKGGLMPELARRRIKVLEDRADLSFKTAMKADLVIAGSAVCTSWIDQYIARFPAGGSQVVWWIMENRREYFDRSKIILNRVKMLVFLSESQMKQWQTWCEEENIRLRSPPAVVQLSVNDELAFVAGIACSLNTPTSSSEKMLEKRQLLRESVRKEMGLTDNDMLVMSLSSINAGKGQLLLLESANLVIEPDPSPKITNSVDKGNQSTLAAKHHLRALLAKPENLVRFSNEFSRYSESSTRLAEPNKTNSQVTSVSNSIDYNVAGNLDRSHRKRKLLADSEGTHEQALKVLIGSVGSKSNKVPYVKEILRFISQHSNLSKSVLWTSATTRVASLYSAADVYITNSQGLGETFGRVTIEAMAFGLPVLGTDAGGTQEIVEHNITGLLHPVGRPGSRVLAQNIELLLKNPSVRKQMGIKGRKKVEKMYLKRHMYKKIWEVLYKCMRVK >Potri.011G119600.2.v4.1 pep chromosome:Pop_tri_v4:11:15051789:15055490:-1 gene:Potri.011G119600.v4.1 transcript:Potri.011G119600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119600.v4.1 MGSLNVEKKWGFPLVISSLICLFLLATCFNMGLVSSLHTINQIFNIFPFRTNQTTQGYAEKKVSLSPSPPLPSKIPRFAYLVSGSKGDLEKLWRTLHSLYHPRNEYVVHLDLESSAEERLELASRVEKHPIFSKVGNVYMISKANMVTYRGPSMVSNTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLIHTFSTVNRNLNFIEHTSQLGWKAEKRAMPLIVDPGLYSTAKADIYGATPQRSLPTAFKLFTGSAWMVLTRSFVEYLIWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVSHDLHYIAWDNPPKQHPHTLTINDTNEMIASGAAFARKFKGDDPVLDKIDKDLLHRKNGSFTPGGWCSGSPKCSEVGNLDNIKPGPGASRLKRLISRVALFTTLKQNQCK >Potri.011G119600.4.v4.1 pep chromosome:Pop_tri_v4:11:15051791:15055302:-1 gene:Potri.011G119600.v4.1 transcript:Potri.011G119600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119600.v4.1 MGSLNVEKKWGFPLVISSLICLFLLATCFNMGLVSSLHTINQIFNIFPFRTNQTTQGYAEKKVSLSPSPPLPSKIPRFAYLVSGSKGDLEKLWRTLHSLYHPRNEYVVHLDLESSAEERLELASRVEKHPIFSKVGNVYMISKANMVTYRGPSMVSNTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLIHTFSTVNRNLNFIEHTSQLGWKAEKRAMPLIVDPGLYSTAKADIYGATPQRSLPTAFKLFTGSAWMVLTRSFVEYLIWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVSHDLHYIAWDNPPKQHPHTLTINDTNEMIASGAAFARKFKGDDPVLDKIDKDLLHRKNGSFTPGGWCSGSPKCSEVGNLDNIKPGPGASRLKRLISRVALFTTLKQNQCK >Potri.011G119600.5.v4.1 pep chromosome:Pop_tri_v4:11:15051732:15055302:-1 gene:Potri.011G119600.v4.1 transcript:Potri.011G119600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119600.v4.1 MGSLNVEKKWGFPLVISSLICLFLLATCFNMGLVSSLHTINQIFNIFPFRTNQTTQGYAEKKVSLSPSPPLPSKIPRFAYLVSGSKGDLEKLWRTLHSLYHPRNEYVVHLDLESSAEERLELASRVEKHPIFSKVGNVYMISKANMVTYRGPSMVSNTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLIHTFSTVNRNLNFIEHTSQLGWKAEKRAMPLIVDPGLYSTAKADIYGATPQRSLPTAFKLFTG >Potri.011G119600.1.v4.1 pep chromosome:Pop_tri_v4:11:15051847:15055302:-1 gene:Potri.011G119600.v4.1 transcript:Potri.011G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119600.v4.1 MGSLNVEKKWGFPLVISSLICLFLLATCFNMGLVSSLHTINQIFNIFPFRTNQTTQGYAEKKVSLSPSPPLPSKIPRFAYLVSGSKGDLEKLWRTLHSLYHPRNEYVVHLDLESSAEERLELASRVEKHPIFSKVGNVYMISKANMVTYRGPSMVSNTLHACAILLKRSKDWDWFINLSASDYPLVTQDDLIHTFSTVNRNLNFIEHTSQLGWKAEKRAMPLIVDPGLYSTAKADIYGATPQRSLPTAFKLFTGSAWMVLTRSFVEYLIWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNVPEFAQTAVSHDLHYIAWDNPPKQHPHTLTINDTNEMIASGAAFARKFKGDDPVLDKIDKDLLHRKNGSFTPGGWCSGSPKCSEVGNLDNIKPGPGASRLKRLISRVALFTTLKQNQCK >Potri.016G011500.1.v4.1 pep chromosome:Pop_tri_v4:16:585646:586189:1 gene:Potri.016G011500.v4.1 transcript:Potri.016G011500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011500.v4.1 MLMEKILLETGSWQRQNRHLSCLLESLPWLGCFRFRIMGSGPCGRVGINLLILHHSSGNAFFFFTLYIKICDDGDGVLGIS >Potri.007G036500.1.v4.1 pep chromosome:Pop_tri_v4:7:2862581:2864391:-1 gene:Potri.007G036500.v4.1 transcript:Potri.007G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036500.v4.1 MADKSKILLIGGTGYIGKFIVEASIKEGHPTFVLVRESTLSLSSPAKSIVINNFNNLGVNFLIGDLLDHESLVKAIKQVDVVISSIAHDQVDNQVNIIAAIKESGNIKRFIPSEFTNDVDRAHIVEPATGLAASKAKIRRAIGAEGIPYTHVWHLILSLASSIRSTAVTTTSNQPGATASHGDRLVILGDGNVKVVFNKEEDIATYTIKAVDDPRTVNKILYIKPPANIISSNELVSLWEKKIGKTIERVYVPEEQILKNIQETSDFLRKLTLAICHSWFVNGDQTNFEIEPSFGVEASELYPDVKYTTVDEYLNQFV >Potri.019G057000.1.v4.1 pep chromosome:Pop_tri_v4:19:9581109:9582948:-1 gene:Potri.019G057000.v4.1 transcript:Potri.019G057000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057000.v4.1 MTFKRRNGGRNKHGRGHTKFIRCSNCGKCCPKDKAIKRFLVRNIVEQAAVRDVQESCVYDGYVLPKLYVKMQYCVSCAIHSRVVRVRSRSERRNREPPQRFIRRRDDMPKPGQPGQPGQAPRPAGGAPAART >Potri.013G012000.1.v4.1 pep chromosome:Pop_tri_v4:13:775048:778815:-1 gene:Potri.013G012000.v4.1 transcript:Potri.013G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012000.v4.1 MANRTDPTAKNIRGTNPQNLVEKILRSKIYQHTYWKEQCFGLTAETLVDKAMELDHLGGTYGGNRKPTPFMCLVMKMLQIQPEKDIVVEFIKNEDYKYVRVLGAFYLRLTGTDIDVYRYLEPLYNDYRKLRKKLTDGKFALTHMDEVIDELLTKDYSCDIAMPRIKKRWTLETLAALEPRKSVLEDDFEEEEEREENEQLEGLDNGADERDYYHERSPARERERDRDRDRDRRRDSHRHRDRDYDRDYDKDYDRERGRGRERDRDRDRDRYRLRDERDYGHDREREREREGRERERRDRDRGRRRSHSRSRSRSRDRKRHAHSSSPKRRGDGAEEPKKKKKKEKKERKDDGTDHPDPEIAEANKLRAALGLKPLK >Potri.003G072600.1.v4.1 pep chromosome:Pop_tri_v4:3:10035792:10040897:-1 gene:Potri.003G072600.v4.1 transcript:Potri.003G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072600.v4.1 MRRSVIGSAKALSNRSCQNHWNVLLLRPLASSPSRFLSSTSVLDSPPPPPSSMLPVSLDNINPKVLKCEYAVRGEIVTLAQALQEELKSKPGSHPFDEILYCNIGNPQSLGQQPITFFREVLALCDHPSILDRSETQGLFSADAIERAWQILDQIPGRATGAYSHSQGIKGLRDTIAAGIEARDGFPADPNDIFLTDGASPAVHMMMQLLIRSEKDGILCPIPQYPLYSASIALHGGALVPYYLDEATGWGLEVSELKKQLADAKSKGITPRALVVINPGNPTGQVLAEDNQRGIVEFCKQEGLVLLADEVYQENVYVPEKKFDSFKKVARSMGYGEKDISLVSFQSVSKGYYGECGKRGGYMEVTGFGPEIREQIYKVASVNLCSNISGQILASLVMSPPKVGDESYESYSAEKDGILSSLARRAKTLEDAFNNLEGVTCNKAEGAMYLFPCICLPEKAIKAAEAAKTAPDNFYCRRLLNATGIVFVPGSGFGQLPGTWHFRCTILPQEDKIPAVVSRLTEFHKSFMDEFRD >Potri.017G031900.2.v4.1 pep chromosome:Pop_tri_v4:17:2181644:2181856:1 gene:Potri.017G031900.v4.1 transcript:Potri.017G031900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031900.v4.1 MRHLPKHPNIASFKEAYEDRDAVRLVMELCKGGEFFYRIVSKRNCTDRAAAMVTKTILESVKVLPFYRLG >Potri.018G086300.1.v4.1 pep chromosome:Pop_tri_v4:18:10401051:10410160:1 gene:Potri.018G086300.v4.1 transcript:Potri.018G086300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086300.v4.1 MEAILVDCVNHSLRHFMHRNAIFMCERLCAEFPSETNLQLLAGCYLQNNQAYSAYHILKGTQMAQSRYLFAISCFQMDLLNEAEAALCPTNEPGLEVPNGAPGHYLLGLIYRYTDRRKSAIHHFKQALSIDPLFWAAYEELCILGAAEEAAAVFDEAAALCIQKQHMNHASASQNLSISNEDRNLVSARNFGLEDGSPRQSKHPQGNNLRDIPGNYHGATTLGGSASQPSNGGLPNLSFYNTPSPMATQLSSVAPPPLCRNMQPNGSNPSMPGFDNSARSTLNSNMQAPRRKFVDEGKLRKISGRLFSDSGPRRSTRLAAEAGSNQNTSSTLVAGNGTNNSPKYLGGSKFSSMAIRSVTVRKGQSWVNENYDEGIRNEAFDDSRANNTSSNCSLSLTGDSRSLETEVATMPVGGVIASPSCILSGALEILGLLRTLGEGYRLSCMYRCQDALDVYMKLPHKHYNTGWVLCQVGKAYVELVDYLEADRAFSLARRASPYSLEGLDVYSTVLYHLKEDMKLSYLAQELISTDRLAPQSWCAIGNCYSLQKDHETALKNFQRAVQLDSRFAYAHTLCGHEYVALEDFENGIKSYQSALRIDARHYNSWHGLGMVYLRQEKNEFSEHHFRMAFQINPCSSVIMSYLGTALHALKRNEEALEMMERAILADKKNPLPMYQKANILVSLESFDEALEVLEELKEYAPRESSVYALMGKIYKRRNMHEKAMFHFGLALDLKPSATDVATIKAAIEKLHVPDELEDSL >Potri.006G052600.1.v4.1 pep chromosome:Pop_tri_v4:6:3637310:3643743:-1 gene:Potri.006G052600.v4.1 transcript:Potri.006G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052600.v4.1 MDLEGDATGPKKIQVCQICSDDIGKTVDGEPFVACHVCSFPVCRPCYEYERKDGNQSCPQCKTKYKRHKGSPPIQGEDANSDEVENKSNHHTSGVQDEKQKIERMMAWDSSSGRKEHLATTNYDRDVSLNHIPYLAGRRSVSGDLSAASPERYSLASPESGIRATMRDPTRDSGSLGFGNVAWRERIDGWKMKPEKNTAPMSVSNAPSEGRGGGDFDASTDVLMDDSLLNDEARQPLSRKVSIPSSRINPYRMVIVLRLVVLCIFLHYRLTNPVRDAYALWLISVICEIWFAISWILDQFPKWLPVNRETYLDRLSLRYEKEGEPSQLAAVDIFVSTVDPLKEPPLVTANTVLSILAVDYPVDKVSCYVSDDGAAMLTFEAISETSEFARKWVPFCKKYDIEPRAPEWYFAQKIDYLKDKVHPAFVKERRAMKREYEEFKVRVNGFVSKAQKVPDEGWVMQDGTPWPGNNTRDHPGMIQVFLGHSGGLDTEGNELPRLVYVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFLLNLDCDHYINNSKALREAMCFLMDPNLGRTVCYVQFPQRFDGIDRNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPLKPKHKKPGFLSSCFGGSRKKSSGSGRKESKKKSSKHVDPALPVFNLEDIEEGVEGTGFDDEKSLLMSQMTLEKRFGQSTVFVASTLMENGGVPGSATPESLLKEAIHVISCGYEDKTDWGSEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEILLSRHCPIWYGYSGRLKWLERFAYINTTIYPITAIPLLAYCTLPAVCLLTGKFIIPQISNIASIWFISLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFTELYMFKWTTLLIPPTTLLIINLVGVVAGVSYAINSGYQSWGPLFGKLFFAFWVIIHLYPFLKGLMGRQNRTPTIIVVWSVLLASIFSLLWVRVDPFTTRVTGPDVEQCGINC >Potri.017G152080.1.v4.1 pep chromosome:Pop_tri_v4:17:14976280:14977636:1 gene:Potri.017G152080.v4.1 transcript:Potri.017G152080.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152080.v4.1 MPNLRVFSIQESGLGNDEDDDLSFLYTLSNSSKLEVLAIDGNNFGGVLPGIISNFSTKLKKMTFGRNQIQGSIPDGIGNLISLDTLGLERNHLTGSIPNSIGKLQNLVEFVLSENKLSGSIPSSLGNITSLMQINFHQNSLQGSIPASLGNCRNLLLLGLSQNNLSGPIPKEVLSISSLSMRLGYQGKFEHLSLDGNLFQGPISESLRSLRALQDLNLSHNNLTGQIPKFLGDFKLLQSLDLSFNDLEGEVPMHGVFENTSAVSIAGNKNLCGGILQLNLPTCRSKSTKPKSSTKLTLIVAIPCGFIGLIFIASFLFLCCLKKSLRKTKNELSCEMPFRTVAYKDLLQATNGFSSGNLVGAGSFGSVYKGVLAVDGVIVAVKVFNLLREGASKSFMRECAALLNIRHRNLVKVLFACAGVDV >Potri.002G147150.1.v4.1 pep chromosome:Pop_tri_v4:2:11070705:11073647:-1 gene:Potri.002G147150.v4.1 transcript:Potri.002G147150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147150.v4.1 MQRERQGRNDFFAMGDPFGNFRGFGLMPSLFGGRDPFDDSLFTLPFGSISTSRDVLQTDRAKALVIEELASDDEGEKEDVQTGDAKSDHQNNIGSSKEPSVEHPDERENKSVNYRSDHNRTEGTKPQIRSSIFQTCKATYGGMYGAYYTSTRTRRTGGDGVNSDRSDIRTSA >Potri.005G172300.1.v4.1 pep chromosome:Pop_tri_v4:5:17750727:17751699:1 gene:Potri.005G172300.v4.1 transcript:Potri.005G172300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172300.v4.1 MAKVNKVRCKADSRRQRVMPYVLSPCSRKAGKDCHLKKKHLKASEKKDWEGATCSVFLEHPHNAVLLLCSSYNKGCRPYMCATSRRFSNCLEQYKKSHTKVTPTEGVRQENSSMDHSSFSLHAEPTYERVEVPELLCPICRGQVKGWTVVEPARKLLNAKKRTCMPDKCSFAGTYKQLRKHVKAKHPLARPRAVDPVLEEKWKKLECERERNDGALVLGDYVIEPGYSVHNEYDSDSDSDSDSSLDDGFLSLGSFDRGQSSGTRFRNEYHQDYESLDEEDYGMRRSGAMGSAAISGLGFHRILLERPRRRWYR >Potri.008G104100.3.v4.1 pep chromosome:Pop_tri_v4:8:6579451:6579910:-1 gene:Potri.008G104100.v4.1 transcript:Potri.008G104100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104100.v4.1 MVVNLRTIATEYLKTPLGEPVKGKNKTTAKLWLEKFYQKTTNLPEPFLHELVERLEKYLDRLEEQLVDLSSLLYDHRLQEAHLNSTEVLQSALFTHQQASFDLFNPPLDDYLSLVILLQTVYML >Potri.002G261700.1.v4.1 pep chromosome:Pop_tri_v4:2:24927694:24932425:1 gene:Potri.002G261700.v4.1 transcript:Potri.002G261700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G261700.v4.1 MAKRSEFAQKLLDDLRLRKERMAVSQSSKGSKSAAPDVYANSKQTHRGSMEMKTHKSNGIRSGSAHNKTSGSNRTLSRGEASTEIVPYGKGRSSKQIGDLSMALAFALENGGKLRRMDSSGNSSMLGFLHQIGRRSVEVGRMERSGIDRHDSSSNRFPTLSHIHIKEISKGAQKLHQILRACSNGLNFESYSIEIGKELLKGAMDLEESLRMLVNLQEASEYMITPQSKTRITLLDEDDDDDEDNFVRTAEHKQLALPRFSFDKPSRNSHHIQEVARTDLRQRLMALTYSSEATNFNHDKHILRTSNSASHKKSSSHGSTSKTSAALSEQKNQSSSSKSKPEKVRIPNVIAKLMGLEEVPENADSKHAKKESSPKQKTERNVTNKSAEGSTTRERGTKDAENSVFTVRKQKQMQPNQIKMLQDPKNALKAEKNLPNHHASFEMTMHDGKPPKKEVEGMKSERGSNKANVKMDRHQSNNIQMSQSTGKRKTVQDNTKTREQKGKERGETRELIRKPELHQMASLAQNGAGSALTMQWQIEHNASILETEKRDENRYIYNDQPKSSNDTGFRQPQTFQNFEQEDIKHHAGEREWQIARQKIQDRTQKASTEVMSKNTTAPMNDNVNFQKRHSQTNQATPSSRSSKESVDGVPSKGFPTGRQHEDLVYGISSNNIQASLKDSKTMNSDQHFSPIDLKHDMMKKISNPTMQEKQAHPPATQNVMNTKGPKAKTPRRIDELVTRKSGTPHSLARPQKHQTSASQEGKQKRREKLGGSKVEQVRAIRSREAEARIVKSSKSMEIIQQSDVLEDLHSKVEQASNYYCPVEDKSQILKGPNILVQTDSCQSTVSTVTNEQQGQKLGRDQQQSHNFVLDSLNGTRQNSKDIVDPSKMEKQKASKLVAPEPLSESENHLKQILIRSQLFLNTVEALFKLDIPLGILRTGSEEYYHDEESKLILDCGYEIMKRKGKKEELSVHPLMEISTTCVKVKSLDKLIKELHKDLEKLKFYGRNGNAECLVEDYLPKMVECDVYSWDLDVNCMWDFGWDKMFGFLEKDDVVRDVEKSMLNGLLDEVTRDLLPVF >Potri.015G023600.2.v4.1 pep chromosome:Pop_tri_v4:15:1753197:1755852:1 gene:Potri.015G023600.v4.1 transcript:Potri.015G023600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023600.v4.1 MDYDFRNRTSSPYDTPSTMHRSSTPSTAPQPSHPMYGPPSLYPTVNQPGHTVIPHAPRHHSFTQQAPSSPSSGLGIRVMIKPEYRITPPPQLTPQIVEIPRSSFQFDFELERQILAEAEKDSPNWSRLLGLENSPPKPLQSTSSIGPTADPVVRKYISMGLNRDAVPLAVANYGDNPPKVQEFVNGYTLLQEMGFPSNKVAEALLMYDNNTDEALAHFLNSS >Potri.005G125600.2.v4.1 pep chromosome:Pop_tri_v4:5:9363670:9367039:1 gene:Potri.005G125600.v4.1 transcript:Potri.005G125600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125600.v4.1 MPVDLDNSPAASGEASVSSSGYQPSQQQTPSKTVAIAKKKRNLPGMPVDPEAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSNEVKKRVYVCPETTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALADESARAQTQTPNPNPNHNPESDPKVQVDSSPPPAPLPPPVAPDLGPGLSQAPLGLAQSTGMTPSPVLAIQSPELPDNPTQIIEEAPARAPMPATVTAGFNGSCSSSTSSSSNGSSTSSVFASLFASSTASGSLQTPQTPAFTDLIHAMAHPDRPTDLAPSSSAEPISLCLSTNHGSSIFGTAGQERRQYAPPPQPAISATALLQKAAQMGAAATNASLLRGLGIVSSSPSSTQQDNTQWGHRQMEPENASLAAGLGLGLPCDGGSGLNELMMGTPSIFGPKHATLDFLELGMAAGGNPSGGLSALITSIGGGLDVAAAAASFGGGEFSGKDMGRS >Potri.005G125600.1.v4.1 pep chromosome:Pop_tri_v4:5:9363617:9367027:1 gene:Potri.005G125600.v4.1 transcript:Potri.005G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125600.v4.1 MPVDLDNSPAASGEASVSSSGYQPSQQQTPSKTVAIAKKKRNLPGMPDPEAEVIALSPKTLLATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLRQRSSNEVKKRVYVCPETTCVHHDPSRALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHSKICGTREYKCDCGTLFSRRDSFITHRAFCDALADESARAQTQTPNPNPNHNPESDPKVQVDSSPPPAPLPPPVAPDLGPGLSQAPLGLAQSTGMTPSPVLAIQSPELPDNPTQIIEEAPARAPMPATVTAGFNGSCSSSTSSSSNGSSTSSVFASLFASSTASGSLQTPQTPAFTDLIHAMAHPDRPTDLAPSSSAEPISLCLSTNHGSSIFGTAGQERRQYAPPPQPAISATALLQKAAQMGAAATNASLLRGLGIVSSSPSSTQQDNTQWGHRQMEPENASLAAGLGLGLPCDGGSGLNELMMGTPSIFGPKHATLDFLELGMAAGGNPSGGLSALITSIGGGLDVAAAAASFGGGEFSGKDMGRS >Potri.014G016400.3.v4.1 pep chromosome:Pop_tri_v4:14:972578:974897:1 gene:Potri.014G016400.v4.1 transcript:Potri.014G016400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016400.v4.1 MEKENSGSFNYTGRSFSKLSVNDDSSAFSDCNSDRSGEFQTASSQNRLLLLACAAENPDDLIRQLVSDLESCSIDEQKQAAMEIRLLAKNKPEDRLKIAKAGAIKPLISLISSSDSQLQEYGVTAILNLSLCDENKELIASSGAIKPLVRALRTGTPTAKENAACALLRLSQMEENKVAIGRSGAIPLLVNLLETGAFRGKKDAATALYSLCSAKENKIRAVQAGIMKPLVELMADFGSNMVDKSAFVLSLLITVPEAKTAVVEEAGIPVLVEIIEVGSQRQKEIAVSILLQICEDNLVFRAMVAREGAIPALVALTQSGTNRAKQKAETLIDLLRQPRSSNAAAARTSEVLG >Potri.001G120550.1.v4.1 pep chromosome:Pop_tri_v4:1:9829497:9829704:-1 gene:Potri.001G120550.v4.1 transcript:Potri.001G120550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120550.v4.1 MLCSFGDDKFSGSACLMNSFPSSSDKKTLKRWFFIDKRVG >Potri.004G095550.2.v4.1 pep chromosome:Pop_tri_v4:4:8201383:8202273:-1 gene:Potri.004G095550.v4.1 transcript:Potri.004G095550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095550.v4.1 MKNLEISRCNFEYPLDLHVHRASLSSGFSTLFHVTVSAEHLQALSMKLDSTSWWRESIFFKVLPRDLMSLQDVTIKFLRFDSFSNADVCNRILRIARYAKVIQMSFEIIEVLSKKDRLPI >Potri.004G095550.1.v4.1 pep chromosome:Pop_tri_v4:4:8201383:8202273:-1 gene:Potri.004G095550.v4.1 transcript:Potri.004G095550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095550.v4.1 MKNLEISRCNFEYPLDLHVHRASLSSGFSTLFHVTVSAEHLQALSMKLDSTSWWRESIFFKVLPRDLMSLQDVTIKFLRFDSFSNADVCNRILRIARYAKVIQMSFEIIELVELLPLAVENYQSQHGNSETLLSGDQLMKLKIKLLKNE >Potri.014G120201.1.v4.1 pep chromosome:Pop_tri_v4:14:8077579:8079561:-1 gene:Potri.014G120201.v4.1 transcript:Potri.014G120201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120201.v4.1 MGYREKNSVVEEIIEHKKTPVTFLNITIFSGFWIDCHPSIYAGKRSSIQDCSHRCVPGVPDTWNEFLYFHLLSKRGVTSWLVHSFSFFCILNL >Potri.013G000700.3.v4.1 pep chromosome:Pop_tri_v4:13:50720:58146:-1 gene:Potri.013G000700.v4.1 transcript:Potri.013G000700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000700.v4.1 MGIKGLTKLLADNAPKAMKEQKFESYFGRKIAIDASMSIYQFLIVVGRSGTEMLTNEAGEVTSHLQGMFNRTIRLLEAGIKPLYVFDGKPPDLKKQELAKRYSKRADATEDLAAAVESGVKEDIEKFSKRTVKVTKQHNDDCKRLLKLMGVPVIEAPSEAEAQCATLCKSGKVYAVASEDMDSLTFGAPIFLRHLMDPSSRKIPVMEFEIPKILEGLNLSMDQFIDLCILSGCDYCDSIRGIGGQTALKLIRQHGSIESILENINKERYQIPEDWPYQEARQLFKEPAVLTDEEQLDVKWTSPDEEGLITFLVNENGFNSDRVTKAIEKIKAAKNKSSQGRLESFFKPVANTSQPVKRKEAEKTSKETSAKKSKGGSGKKK >Potri.018G012050.1.v4.1 pep chromosome:Pop_tri_v4:18:775344:781416:1 gene:Potri.018G012050.v4.1 transcript:Potri.018G012050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012050.v4.1 MQLKAILTSKHSKSRTTPTFTPTYAVEFWANPISMNNIFKERNKKIRTCIEGGYAEKARNGLYLAFGVLLPLLNNEKKQQPKNQHTSSPFIDMSWDIS >Potri.014G132700.2.v4.1 pep chromosome:Pop_tri_v4:14:8877394:8880435:1 gene:Potri.014G132700.v4.1 transcript:Potri.014G132700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132700.v4.1 MSNITGDEGSFSSGNTGEKVQQLQEKQQQQAQNHFHGSNSSGPSAATSSNGCISKQPHQPVKKKRNLPGPPDKLVDYCLSLNLSYPTAEVVALPPTTHMETNRFVCEICNKGFQRDQNLQFHRRGHNPPWKLWQRTATEVRKRVYLTGIKKHFSRNNGEKKWKCDKCSKKYAVQSDWKAHQRTCGTREYKCDCGTIFSRRDCFITHRAFCDALAEENSKVNQGVMANMGSNLQNQMSEPMSSHSMPLSTDTSTSIGMPYFNSFDPKNSLKYLPQELVPIPSKSMNMAGGMFSSSSGTLFGSPRSISSTSSLQLSSKGSSGLHYLQDNKNGCQISASAHMSATAMLKEAAQMGATASNSINSPVMQKSFASSMAGPHDQLSSIKPPTYGRIQQHNTSYDHLPLHASGQTSMAGINGVGGFSNQPLQRGPQETSQIPDTSGTVNSAMNDMEMFTGVFMSNDQNPGFIRNMEQEDSGGSSLIHGRNPLMERNPTGPSRFAGTSIEGGDMMTRDFRGVGGARPTNLHDQRQQHQQRLEMEGMSQQQRMPMMNPFQQQPSLRESAMEKPSIWDV >Potri.003G064200.1.v4.1 pep chromosome:Pop_tri_v4:3:9167676:9170316:-1 gene:Potri.003G064200.v4.1 transcript:Potri.003G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064200.v4.1 MTIKMPHFPGQLKKLWSKWEIVGMVLSSLFLQILLIMFGSRRKRITRIWIRILVWSSYLLADMVATVALGVLSRSQLGEMGDIQFAEENSSLRAFWAPFLLVHLGGPDTITAFSIEDNELWLRHLLNLLVQGSVAFYVVLQSWDFSVLSFITIFMLFSGIAKYGERTWALRSSSTENIRNSLLSTPPRLRLDTKSIMVVPRGHHIPDQTNYLHQAYYLFKMSLYLFENLILSLTELKDSHSIISCQSSKDAFKLVDLELGFIFDRLYTKAPIVYSRKGVFLRFLTFSSSFITLVSFSFFVDKHRCSPINVATTYLLLAGALGLEVYAMLQLVFSDWTMIWLTRFTSTPSNPIGNAIYSLRAYFANEKRWSGSMAQCNLISSSFAIKTIKSLSKFMGIDQETLKHLHVSWEHTNDNLKDLIFENLLEKGNEIIEHLQDKENRRTRLLAQRGDGVLEKMKRLEEFRWCTTSVEFNQSLFIWHIATDLCYYVDQKFHSKQRMSKLLSDYMFYLLVVKPNMLPKRISDSGSRYRDASDEVERFFQVRKKEPSNGTAREARMTLFQAKQEELEDAIAIPIDTTPTIPGAYGSSKYLLVHGCKLAQQLQKLEAEKWKVISEVWVEMLTYAAGHCEWRDHAQQLKSGGELLTHVRLLMAHLGLSQQYQEILLS >Potri.003G064200.2.v4.1 pep chromosome:Pop_tri_v4:3:9167676:9170316:-1 gene:Potri.003G064200.v4.1 transcript:Potri.003G064200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064200.v4.1 MWRSMTIKMPHFPGQLKKLWSKWEIVGMVLSSLFLQILLIMFGSRRKRITRIWIRILVWSSYLLADMVATVALGVLSRSQLGEMGDIQFAEENSSLRAFWAPFLLVHLGGPDTITAFSIEDNELWLRHLLNLLVQGSVAFYVVLQSWDFSVLSFITIFMLFSGIAKYGERTWALRSSSTENIRNSLLSTPPRLRLDTKSIMVVPRGHHIPDQTNYLHQAYYLFKMSLYLFENLILSLTELKDSHSIISCQSSKDAFKLVDLELGFIFDRLYTKAPIVYSRKGVFLRFLTFSSSFITLVSFSFFVDKHRCSPINVATTYLLLAGALGLEVYAMLQLVFSDWTMIWLTRFTSTPSNPIGNAIYSLRAYFANEKRWSGSMAQCNLISSSFAIKTIKSLSKFMGIDQETLKHLHVSWEHTNDNLKDLIFENLLEKGNEIIEHLQDKENRRTRLLAQRGDGVLEKMKRLEEFRWCTTSVEFNQSLFIWHIATDLCYYVDQKFHSKQRMSKLLSDYMFYLLVVKPNMLPKRISDSGSRYRDASDEVERFFQVRKKEPSNGTAREARMTLFQAKQEELEDAIAIPIDTTPTIPGAYGSSKYLLVHGCKLAQQLQKLEAEKWKVISEVWVEMLTYAAGHCEWRDHAQQLKSGGELLTHVRLLMAHLGLSQQYQEILLS >Potri.004G028600.2.v4.1 pep chromosome:Pop_tri_v4:4:2198084:2206669:1 gene:Potri.004G028600.v4.1 transcript:Potri.004G028600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028600.v4.1 MNPIERFLSALFLFLVFSSCLSIDIIAPNQSIKDGDVLVSSGSQSYELGFFSSGIDSTRRYVGIWYRKVSERTVVWVANRDNPINGTSGVLAINKQGNLVIYENNRSSVPVWSTNVAASSMTNCTAQLQDSGNLVLVQQDSKRVLWQSFDHATDTLLPGMKLGLDLKIGLNRSLSSWKSKDDPGTGTIVLGIDPSGFPQLFLYKSQTRRWRVGPWTGLRWSGVPQMATTYIFGNTFVSSVDEVSYSYSINNPSLISRMVVNESGVVQRLTWNDPDKQWFGIWYAPKEPCDTYGQCGPNSNCDPYQTNNFMCKCLRGFEPKSPQEWYLRDGSRGCVRKPNVSTCHGGEGFVKLARVKVPDTSMASANMSLRLKECARECLRNCSCTAYASADERGLGCLRWYGDLVDTRTFSDVGQEIYIRVDRAELAKYEKSGPLANKGIQAILIVSVDVPLFLIILLVCWFVKKRRKARDRKRRNEFPLSLTSRSNSWRDLPIKEFEEGTTSSDLPLFDLSVVAAATNNFSGANKLGEGGFGSVYKGLLHDGKEIAVKRLAKYSGQGINEFRNEVELIAKLQHRNLVRILGCCIQGREKMLIYEYLPNKSLDSFIFNEPRRSQLDWSTRHNIICGIARGILYLHEDSRLRIIHRDLKASNVLLDASMNPKISDFGMARIFGVDQIEANTNRVVGTYGYMSPEYAMQGLFSVKSDVYSFGVLLLEVITGRKNINFYDESNSSNLVGYVWDLWSEGRALELVDTLMGDSYPEDQVLRCIQIGLLCVQESAMDRPSMSNVVFMLSNDTTLPSPKQPAFILKKSYNSGDPSTSEGSHSINEVTITMLRPR >Potri.001G099800.1.v4.1 pep chromosome:Pop_tri_v4:1:7968193:7970344:1 gene:Potri.001G099800.v4.1 transcript:Potri.001G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099800.v4.1 MGHHSCCNQQKVKRGLWSPEEDEKLIRYITTHGYGCWSEVPEKAGLQRCGKSCRLRWINYLRPDIRRGRFTPEEEKLIISLHGVVGNRWAHIASHLPGRTDNEIKNYWNSWIKKKIRKPSTSPTITNATTGTDHSNINYASNQLDLLHQDLTTRTQIQETLFSSSAPLFMFDTSTSLDGIADSSVRGEVFPDSASLNMETWNSNQHHQVQAALPPQATFSIGMDTNYLPPLIDNMMPIEMQSCSMNEEGEISLECLQRQELNIEWVESQQCSNFLFWDNVEESVLGGEEIAPNSSNMGAATLSSFPSSL >Potri.003G129900.3.v4.1 pep chromosome:Pop_tri_v4:3:14850409:14852613:-1 gene:Potri.003G129900.v4.1 transcript:Potri.003G129900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129900.v4.1 MGGCCCGSANGAADQFNNAPPFFYYPRTSEEHVSLSSHQAPGSVLQSTGLLVDTNLDTSVPDAYRPPPAPIPFDAAVGRPQTPGRLREVRGDKNHGALQTTTSASGQENTALNTREPLAKCEDAKDLDCKVQINSEPGSAKELEIELSKLVEPLVSATEEEEEEEEEDCPICLEEYDIENPKLTTKCEHHYHLSCILEWMERSESCPVCDKEMIFDPPID >Potri.003G129900.2.v4.1 pep chromosome:Pop_tri_v4:3:14850514:14853095:-1 gene:Potri.003G129900.v4.1 transcript:Potri.003G129900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129900.v4.1 MGGCCCGSANGAADQFNNAPPFFYYPRTSEEHVSLSSHQAPGSVLQSTGLLVDTNLDTSVPDAYRPPPAPIPFDAAVGRPQTPGRLREVRGDKNHGALQTTTSASGQENTALNTREPLAKCEDAKDLDCKVQINSEPGSAKELEIELSKLVEPLVSATEEEEEEEEEDCPICLEEYDIENPKLTTKCEHHYHLSCILEWMERSESCPVCDKEMIFDPPID >Potri.019G126300.1.v4.1 pep chromosome:Pop_tri_v4:19:14966395:14968993:-1 gene:Potri.019G126300.v4.1 transcript:Potri.019G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126300.v4.1 MASWAPVYPAVVPIPVDLFVSKKHPGLNGDLGFADSLGNIVFKVNFDKSSKSSFKRVLLDASGNPLITMFRDGKGSWQGFKGGDNREKDLIFRVKRTVKKLTRTELEVFLVGEISRESTPDFKVKGFPFQRSCTIYRSDSIVAQTSLMYKLHQIYARRSKYRLTIFPGSVDHSLIASLIVIFLYGQ >Potri.019G126300.2.v4.1 pep chromosome:Pop_tri_v4:19:14966395:14968993:-1 gene:Potri.019G126300.v4.1 transcript:Potri.019G126300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126300.v4.1 MASWAPVYPAVVPIPVDLFVSKKHPGLNGDLGFADSLGNIVFKVNFDKSSKSSFKRVLLDASGNPLITMFRDGKGSWQGFKGGDNREKDLIFRVKRTVKKLTRTELEVFLVGEISRESTPDFKTSLMYKLHQIYARRSKYRLTIFPGSVDHSLIASLIVIFLYGQ >Potri.008G012700.1.v4.1 pep chromosome:Pop_tri_v4:8:652039:653401:1 gene:Potri.008G012700.v4.1 transcript:Potri.008G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012700.v4.1 MASMLSSVIFPPPPSLIISVMSVVSLVAIAGLGISEILGKHLQYSRFWNLNSEKSTRMQIKLSSRTGMLVFYVPAFLSGVASFVLYPNHDLRLFLVKVTLTIHFFKRTIEVLFVHKYSTSGVVLDSAILISSSYFSATSSMIHGQYLTQGFPEPQVDLKYPGVLLFLLGIFGNLYHHLLLASLRTKSDKEYKIPKGGLFGLVICPHYLFEVLGFIGILFISQTLYPLCFTVGTIVYLMGRSYATRRWYLSKFEDFPKDVKALIPYVF >Potri.008G006200.1.v4.1 pep chromosome:Pop_tri_v4:8:291197:295374:1 gene:Potri.008G006200.v4.1 transcript:Potri.008G006200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006200.v4.1 MVRSSGKQKSTKLVIVCVVLLGFGLIGDYLWASSPHFASSSYISNRVPPKYPQSNVIIPKQEPHLADTKPQKIKVDGVHDRSLSATFADLPAPELKWEKMANAPVPRLDGAAIQIKDLLYVFAGYGTIDFVHSHVDIYNFTGNTWGGRFDMPKEMAHSHLGMVTDGRYIYVVTGQYGPQCRGPTARNFVLDTETRKWQDLPPLPVPRYAPATQLWRGRLHVMGGSKENRHTPALEHWSLAVKDGKALEKEWRTEIPIPRGGPHRACVVVNDRLLIIGGQEGDFMAKPGSPIFKCSRRNEIVYDEVYMLDDEMKWKPLSPMPKADSHIEFAWAIVNNSIVIAGGTTEKHPITKKMVLVGEVFQFNLDTLAWSVIGKLPYRVKTTLVGFWNGWLYFTSGQRDRGPDDPAPKKVIADMWRTKLKLNS >Potri.010G233700.2.v4.1 pep chromosome:Pop_tri_v4:10:21484420:21487752:-1 gene:Potri.010G233700.v4.1 transcript:Potri.010G233700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233700.v4.1 MVAETWFRGLWKISQKHEPGPQKAVVGVLAFEVTSLMSKLVHLWQSLSDKQVIRLREEIANSEGIKKLIAEDDDFIGRLICLEMMESMVHVAKPVARLGNKCSDPSLKGFEHLFDEMIKIHADPYGWGFTCKKMDKKVKKMERFISVNATLYQEIEMLADLEQTVRRMKGSNPQPDNLLDYQKKLVWKQQEVKNLREISLWNRTYDYTVRLLVRSLFTIYSRISHVFGINRTAYSGQSKALNSDYIYQSQSVSALLQSSVHPSEDSTLPRFSSAPLGKFTANSGPISKSNKNNSYSGPLGGSITKSGPISGKNRNVNFFSGPLGGATTKSGPISGIAKAGKKFWRTPQSPAFLGRKPPSKPNRLTQVGPFKGCMAASNTSPVANCYLNLSDVHSRTLNGAKESNADHLPPGSASHTGPSIFSSQRKLLQALPETLGGAALALHYANVIVVIEKLAASPHLIGHDARDDLYNMLPASVRTALRERLKPYSKSLCSSVYDTVLAGEWTEAMASILEWLAPLAHNMIRWQSERSYEQQTFVSRTNVLLVQTLYFANQEKTEAAITELLVGLNYIWRFGRGLNAQALQEDASSIMFDEYLEVEK >Potri.010G233700.1.v4.1 pep chromosome:Pop_tri_v4:10:21483840:21487774:-1 gene:Potri.010G233700.v4.1 transcript:Potri.010G233700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233700.v4.1 MVAETWFRGLWKISQKHEPGPQKAVVGVLAFEVTSLMSKLVHLWQSLSDKQVIRLREEIANSEGIKKLIAEDDDFIGRLICLEMMESMVHVAKPVARLGNKCSDPSLKGFEHLFDEMIKIHADPYGWGFTCKKMDKKVKKMERFISVNATLYQEIEMLADLEQTVRRMKGSNPQPDNLLDYQKKLVWKQQEVKNLREISLWNRTYDYTVRLLVRSLFTIYSRISHVFGINRTAYSGQSKALNSDYIYQSQSVSALLQSSVHPSEDSTLPRFSSAPLGKFTANSGPISKSNKNNSYSGPLGGSITKSGPISGKNRNVNFFSGPLGGATTKSGPISGIAKAGKKFWRTPQSPAFLGRKPPSKPNRLTQVGPFKGCMAASNTSPVANCYLNLSDVHSRTLNGAKESNADHLPPGSASHTGPSIFSSQRKLLQALPETLGGAALALHYANVIVVIEKLAASPHLIGHDARDDLYNMLPASVRTALRERLKPYSKSLCSSVYDTVLAGEWTEAMASILEWLAPLAHNMIRWQSERSYEQQTFVSRTNVLLVQTLYFANQEKTEAAITELLVGLNYIWRFGRGLNAQALQEDASSIMFDEYLEVEK >Potri.005G067700.1.v4.1 pep chromosome:Pop_tri_v4:5:4390627:4394232:-1 gene:Potri.005G067700.v4.1 transcript:Potri.005G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067700.v4.1 MGNIFVKKPKITDVDRAILSLKTQRRKLAQYQQQLEAVIEAEKQAAKDLIREKRKDRALLALKKKKTQEELLKQVDTWLINVEQQLADIELASKQKAVFESLKSGTDAIKAIQSEVNLDDVQKLMDDTAEAKAYQDEINAILGEKLSAEDEEEILAEFENLEAQMAVQEWPEVPTKVLSEEQEQKLDLPDVPTKPPVLVDDAETASAEVSTRRKVLEESLPA >Potri.007G108100.1.v4.1 pep chromosome:Pop_tri_v4:7:13060435:13061305:1 gene:Potri.007G108100.v4.1 transcript:Potri.007G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108100.v4.1 MAPTNNYDYNFPYFPLPPPHNNPPSPPKVAPPHSSPSPPNVSPPHNFPPPHITPPSPKVPPPPHHPITPPPTHPFHPPPPHHIPPPPHVIPPPPPTPGHHSTVIIVVFVSLGGLFFLAFLSVALCCFIKKKKKKTVQKTEILEFDEHTKVQEAIVPGPHGEKITVLNIEEDVHLVEEIKKNEKLTEGSHIKSAHDRPLYSDIATPSSQYNQHHLEHKV >Potri.010G162000.3.v4.1 pep chromosome:Pop_tri_v4:10:16707846:16713530:-1 gene:Potri.010G162000.v4.1 transcript:Potri.010G162000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162000.v4.1 MGTKVQCESYFPGYFPMRDLNEDSNSCSWPLFYGDKTFTNGQYYNDYLPRVVADAYPANDKDVVKRTMLKHEAIFRKQLEDLHRLYRIQRDLMDEIKRKELLKNRIPVETSFSSSPLASQVTSEDAQKWHILSFPMANSICARPSVLGVEDIHSPLSSMKGSSAQASPLPSQNGGASKDVEILESRPSKLRRRMFDLQLPADEYIDTEEEEKLRDENVSGISSYLPSRNHKIAPQNEIILFLGNGGKNNSQVDASRSESCLRSPINVGDLNKPVEVEEANASAHVDPLGCASSQAGSQGHELASKPKQELLGFPKEISANFHYRGDNETLNIPHMQNNANGKCWFPCALDSGHSKNNLKSVSPDLQPEKPTSSQPIQVLFSKTREPPTFFLADQGKIDQLRQRTACGLELSERNHEIANSNYSESVIASHRPSPYPIGPPSDVGKPWCQSVSSWEMPAVSLSQKSMSVQMHPYLNSSATLSRSSQSSTQSHGYFGDQRNYNSNSTSNPSFASEMPNRNGFYHGSSSGSKEPSVRLASGNYDYWNCASTNNGASEHFINHSSAKFNKSPNCMDLKSARDVNLNALDSSSNKVGIEVIVLDRKHEDHLAALPWLKAKPACKYEGTVGMDLNAGESTFLQSSLNQLSDKSEIGKGPNQIAASNMKSTKCSNVVETSCIQGSDSSCRKILGFPIFEKPRIPKTEFSSFPSSSLALPQLSEEVEDSKKNMVLDINLPCDPAVPDLAQQTAEEVAVVAKEADTKVANFRFHIDLNSCISDDETSMLSSVPGSSAKVVAGIDLEAPAVPESEENTFSREEKAHELPLQSTEHKAESLTDELIRIAADAIVAISSSGYQNHLDDATCNPPEVSMTDPLHWFVEIVSSCGEDLESKFDAVLRAKDGEDNMETSWEFIDYFESMTLRLMETKEEDYMPKPLVPENLKLEDTGTTTVPTRSRRGQGRRGRQRRDFQRDILPGLGSLSRHEVTEDLQTFGGMMRATGHPWHSGLTRRNSTRNGCARGRRRTQVSPMPLVAASPPCTPLVQQLHNIEVGLEDRNLTGWGKTTRRPRRQRCPAGNPPSHPLT >Potri.010G162000.2.v4.1 pep chromosome:Pop_tri_v4:10:16707846:16713873:-1 gene:Potri.010G162000.v4.1 transcript:Potri.010G162000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162000.v4.1 MGTKVQCESYFPGYFPMRDLNEDSNSCSWPLFYGDKTFTNGQYYNDYLPRVVADAYPANDKDVVKRTMLKHEAIFRKQLEDLHRLYRIQRDLMDEIKRKELLKNRIPVETSFSSSPLASQVTSEDAQKWHILSFPMANSICARPSVLGVEDIHSPLSSMKGSSAQASPLPSQNGGASKDVEILESRPSKLRRRMFDLQLPADEYIDTEEEEKLRDENVSGISSYLPSRNHKIAPQNEIILFLGNGGKNNSQVDASRSESCLRSPINVGDLNKPVEVEEANASAHVDPLGCASSQAGSQGHELASKPKQELLGFPKEISANFHYRGDNETLNIPHMQNNANGKCWFPCALDSGHSKNNLKSVSPDLQPEKPTSSQPIQVLFSKTREPPTFFLADQGKIDQLRQRTACGLELSERNHEIANSNYSESVIASHRPSPYPIGPPSDVGKPWCQSVSSWEMPAVSLSQKSMSVQMHPYLNSSATLSRSSQSSTQSHGYFGDQRNYNSNSTSNPSFASEMPNRNGFYHGSSSGSKEPSVRLASGNYDYWNCASTNNGASEHFINHSSAKFNKSPNCMDLKSARDVNLNALDSSSNKVGIEVIVLDRKHEDHLAALPWLKAKPACKYEGTVGMDLNAGESTFLQSSLNQLSDKSEIGKGPNQIAASNMKSTKCSNVVETSCIQGSDSSCRKILGFPIFEKPRIPKTEFSSFPSSSLALPQLSEEVEDSKKNMVLDINLPCDPAVPDLAQQTAEEVAVVAKEADTKVANFRFHIDLNSCISDDETSMLSSVPGSSAKVVAGIDLEAPAVPESEENTFSREEKAHELPLQSTEHKAESLTDELIRIAADAIVAISSSGYQNHLDDATCNPPEVSMTDPLHWFVEIVSSCGEDLESKFDAVLRAKDGEDNMETSWEFIDYFESMTLRLMETKEEDYMPKPLVPENLKLEDTGTTTVPTRSRRGQGRRGRQRRDFQRDILPGLGSLSRHEVTEDLQTFGGMMRATGHPWHSGLTRRNSTRNGCARGRRRTQVSPMPLVAASPPCTPLVQQLHNIEVGLEDRNLTGWGKTTRRPRRQRCPAGNPPSHPLT >Potri.010G162000.4.v4.1 pep chromosome:Pop_tri_v4:10:16707819:16711522:-1 gene:Potri.010G162000.v4.1 transcript:Potri.010G162000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162000.v4.1 MDEIKRKELLKNRIPVETSFSSSPLASQVTSEDAQKWHILSFPMANSICARPSVLGVEDIHSPLSSMKGSSAQASPLPSQNGGASKDVEILESRPSKLRRRMFDLQLPADEYIDTEEEEKLRDENVSGISSYLPSRNHKIAPQNEIILFLGNGGKNNSQVDASRSESCLRSPINVGDLNKPVEVEEANASAHVDPLGCASSQAGSQGHELASKPKQELLGFPKEISANFHYRGDNETLNIPHMQNNANGKCWFPCALDSGHSKNNLKSVSPDLQPEKPTSSQPIQVLFSKTREPPTFFLADQGKIDQLRQRTACGLELSERNHEIANSNYSESVIASHRPSPYPIGPPSDVGKPWCQSVSSWEMPAVSLSQKSMSVQMHPYLNSSATLSRSSQSSTQSHGYFGDQRNYNSNSTSNPSFASEMPNRNGFYHGSSSGSKEPSVRLASGNYDYWNCASTNNGASEHFINHSSAKFNKSPNCMDLKSARDVNLNALDSSSNKVGIEVIVLDRKHEDHLAALPWLKAKPACKYEGTVGMDLNAGESTFLQSSLNQLSDKSEIGKGPNQIAASNMKSTKCSNVVETSCIQGSDSSCRKILGFPIFEKPRIPKTEFSSFPSSSLALPQLSEEVEDSKKNMVLDINLPCDPAVPDLAQQTAEEVAVVAKEADTKVANFRFHIDLNSCISDDETSMLSSVPGSSAKVVAGIDLEAPAVPESEENTFSREEKAHELPLQSTEHKAESLTDELIRIAADAIVAISSSGYQNHLDDATCNPPEVSMTDPLHWFVEIVSSCGEDLESKFDAVLRAKDGEDNMETSWEFIDYFESMTLRLMETKEEDYMPKPLVPENLKLEDTGTTTVPTRSRRGQGRRGRQRRDFQRDILPGLGSLSRHEVTEDLQTFGGMMRATGHPWHSGLTRRNSTRNGCARGRRRTQVSPMPLVAASPPCTPLVQQLHNIEVGLEDRNLTGWGKTTRRPRRQRCPAGNPPSHPLT >Potri.015G040600.3.v4.1 pep chromosome:Pop_tri_v4:15:3664676:3668307:1 gene:Potri.015G040600.v4.1 transcript:Potri.015G040600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040600.v4.1 MEAVRKHYGILLASLAIIAAALPCCSSETTRRFQFNVEWKKVTRLCTTKQLLMVNGQYPGPTIAVHEGDNVEIKVKNRIAQNTTLHWHGVRQLRTGWADGPAYITQCPIRGGQSYTYKFTVTGQRGTLLWHAHYAWQRASVYGALIIYPRIPYPFSHPIQAEIPIIFGEWWNGDPDEIEKTMLLTGGGPDSSNAYTINGLPGPLYPCSNQDTFIQTVEYGKTYLLRIINAALTNELFFAIAKHTLTVVEVDAVYTKPFATTSIMIAPGQTTTVLMTANQVPDFTGMFVMAARPYLTSVFPFNNSTTIGFLRYKNARTWKGKSPVDPSSLRLHNLPAMEDTAFATKFSDKIKSLASPQYPCNVPKTIDKRVITTISLNLQDCPENKTCLGLKGKSFFASMNNQSFVRPSISILESYYKNLTTSSFSSDFPQKPPNNFDYTGGHPLTQNMNTKFGTKLLVLPYGTNIEIVLQDTSFLNLENHPIHVHGHNFFIVGSGFGNFNEARDPKRYNLVDPPERNTVAVPSGGWAAIRIKADNPGVWFVHCHLEQHTSWGLATGFIVQNGQGPSQSLLPPPHDLPSC >Potri.015G040600.2.v4.1 pep chromosome:Pop_tri_v4:15:3664637:3668375:1 gene:Potri.015G040600.v4.1 transcript:Potri.015G040600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040600.v4.1 MEAVRKHYGILLASLAIIAAALPCCSSETTRRFQFNVEWKKVTRLCTTKQLLMVNGQYPGPTIAVHEGDNVEIKVKNRIAQNTTLHWHGVRQLRTGWADGPAYITQCPIRGGQSYTYKFTVTGQRGTLLWHAHYAWQRASVYGALIIYPRIPYPFSHPIQAEIPIIFGEWWNGDPDEIEKTMLLTGGGPDSSNAYTINGLPGPLYPCSNQDTFIQTVEYGKTYLLRIINAALTNELFFAIAKHTLTVVEVDAVYTKPFATTSIMIAPGQTTTVLMTANQVPDFTGMFVMAARPYLTSVFPFNNSTTIGFLRYKNARTWKGKSPVDPSSLRLHNLPAMEDTAFATKFSDKIKSLASPQYPCNVPKTIDKRVITTISLNLQDCPENKTCLGLKGKSFFASMNNQSFVRPSISILESYYKNLTTSSFSSDFPQKPPNNFDYTGGHPLTQNMNTKFGTKLLVLPYGTNIEIVLQDTSFLNLENHPIHVHGHNFFIVGSGFGNFNEARDPKRYNLVDPPERNTVAVPSGGWAAIRIKADNPGVWFVHCHLEQHTSWGLATGFIVQNGQGPSQSLLPPPHDLPSC >Potri.015G118000.3.v4.1 pep chromosome:Pop_tri_v4:15:13231775:13232958:-1 gene:Potri.015G118000.v4.1 transcript:Potri.015G118000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118000.v4.1 MGFFFHRDLVKANQANMNLYNTQMDSGLVFNEPVPETLMSFYQSSLGCDPISAAKASNKDDSSLTYNVPAVAAPRKRARDSINDDNFDAFHASQKTKVSPLSSFIDHDILFQIQQQQSEIDRFIDDHNQKVRMELEERKKRQSRMLVSAIQEGMIKKVKEKDEEIQRMGKINWFLQEKAKSLYVENQIWRDLAQANEATANSLRSNLEQVLAHASGGAATLADDAESSCCGSSDHGRCTLAGGEEGAVKDKMVVVKDNLNHNRMCRKCGERESSVLLLPCRHLCLCTLCGSNLIGSCPVCDSVMTASVHVNMS >Potri.015G118000.1.v4.1 pep chromosome:Pop_tri_v4:15:13231527:13233180:-1 gene:Potri.015G118000.v4.1 transcript:Potri.015G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118000.v4.1 MAVETPHMSLNFPSQLIINRDLVKANQANMNLYNTQMDSGLVFNEPVPETLMSFYQSSLGCDPISAAKASNKDDSSLTYNVPAVAAPRKRARDSINDDNFDAFHASQKTKVSPLSSFIDHDILFQIQQQQSEIDRFIDDHNQKVRMELEERKKRQSRMLVSAIQEGMIKKVKEKDEEIQRMGKINWFLQEKAKSLYVENQIWRDLAQANEATANSLRSNLEQVLAHASGGAATLADDAESSCCGSSDHGRCTLAGGEEGAVKDKMVVVKDNLNHNRMCRKCGERESSVLLLPCRHLCLCTLCGSNLIGSCPVCDSVMTASVHVNMS >Potri.007G117200.1.v4.1 pep chromosome:Pop_tri_v4:7:13641807:13644402:1 gene:Potri.007G117200.v4.1 transcript:Potri.007G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117200.v4.1 MEKMVNRSHVLVVPLPGAGHINPMLQFSRRLVSKGLKVTFVITEFISKSRQLGSSIGSIQLDTISDGYDDGFNQAGSREPYLSSLHDVGPKTLSDLIKRYQTSSIPIHAVIYEPFLAWALDVAKDFGLFAAAFFTHACAVDYIFYNVYHEVLRVPVSSTPVLIEGLPLLLELQDLPTFVVLPDSYPANVKMTMSQFANLDKADWILINTFYKLECEVVDTMSKVCPLLTIGPTIPSIYLDKSIEDEDDYGISLCEIDASLSINWLSSKPTASVVYVSFGSCATLSSKQMEEIAWGLKRSNFHFLWVVMDSEKEKIPEGFVEEVENKGLVVNWSPQVKVLANEAVGCFFTHCGWNSTIEALSLGVPMVTMPGWSDQQTNSKLVEDAWKVGVRAKVDEHGIVRREEIALCIKEVMEGDTGREMKMNSKKWKELAIEAASEGGTSDTNINELVAMLRSTK >Potri.003G151850.1.v4.1 pep chromosome:Pop_tri_v4:3:16470411:16470730:-1 gene:Potri.003G151850.v4.1 transcript:Potri.003G151850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151850.v4.1 MVGMTSNCLHGRELDDTTSARCLKASLLFGCHFFLFFLDWATWDHPTWKKPFAAWFPYATVERLWYQLEELGRAPMVPA >Potri.005G178600.1.v4.1 pep chromosome:Pop_tri_v4:5:18447367:18450842:-1 gene:Potri.005G178600.v4.1 transcript:Potri.005G178600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178600.v4.1 MTSDYALQVPDELETALQLKTVQYLVAQRPWLDLYGVNVRPVAPYGSVVRMVNVDPALIHRCLPDELLFEVFSRMAPYDLGRAACVCRKWRYTLRNPIFWRNACLKAWQLSGMVENYKILQSKYESSWRKMWLLRPRIRTDGLYVSRNTYIRAGVREWTVTNPVHLVCYYRYMRFFPSGRFLYKTSGQAVKDVVKCMNFRASKTDVFIGRHTLTDDEVEAAFMYPGLRPTMWRACLRLRGTTLGANNRMDILSLSTSKVNSDGVIEPEEDILGRVEWQEDDISRVSHNRGLAPFTFVPFEEVETSVLNLPVEKMDYYVPG >Potri.018G033000.1.v4.1 pep chromosome:Pop_tri_v4:18:2431267:2434418:-1 gene:Potri.018G033000.v4.1 transcript:Potri.018G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033000.v4.1 MEGNNGNSSENSSRPPIPLSASTTTTSCRQCFSIDRVPVNCKKTLVRHKSLVKTKTLDISVKPQLGAENHDADFIPIIRSGAWADIGFRSSMEDVFLCADNFMSDYGLKNAIDGPNSFYGVFDGHGGKHAADFASYHLPRFIAEDEDFPMEVERVVASAFLQTDSAFEKACSLDAALASGTTALAALVVGRLLVVANAGDCRAVLCRRGNAIDMSNDHKPMCSKERKRIEASGGYIYDGYLNGLLNVARALGDWHMEGLKGNGSDGGPLSAEPELMTRQLTEEDEFLIIGCDGIWDVFRSQNAVDFARRRLQEHNDPVMCSKDLVDEALKRKSGDNLAVIVVCFQSEPPRNLVAPRPRVHRSISAEGLRELQSFLDDLAK >Potri.002G072200.2.v4.1 pep chromosome:Pop_tri_v4:2:5064324:5071211:-1 gene:Potri.002G072200.v4.1 transcript:Potri.002G072200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072200.v4.1 MITAGDFYKVMCAMVPLYFAMLVAYGSVKRYKIFTPEQCSGINRFVAVFAVPVLSFHFIAQNNPYQMDTRFIMADTLSKVLVLVLLSIWVIFFNGGLDWLITLFSIATLPNTLVMGIPLLKAMYGDFTQSLMVQVVVLQCIIWYTLLLFLFEYRAATLLIKTQFPGPKAASISKIELDNDVISLDGRDPLRTESETDGNGRLRVRIRRSTSSAPDSALSSSICLTPRPSNLSNAEVFSVSTPAPLQEYHGYNGRFSHGPNNEIMLCNGDLGFGYHRSGTSPRLSGYASSDAYSLQPTPRTSNFNEWDLTNATNTPFWARSPVAGKISRHPSPAVPELRLVWGESPVMCPNGGGKEIAEKEISFRDSCKMPAPEETNSKEAVTSQEMPHAIVMLRLILVVVGRKLSRNPNTYSSVLGLLWSLVSFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIVCGKKRATMAMAIRFICGPVVMSTASLAVGMRGERLRAAIVQAALSQGIVPFVFAREYGLHPDIMSTG >Potri.002G072200.3.v4.1 pep chromosome:Pop_tri_v4:2:5065218:5071211:-1 gene:Potri.002G072200.v4.1 transcript:Potri.002G072200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072200.v4.1 MITAGDFYKVMCAMVPLYFAMLVAYGSVKRYKIFTPEQCSGINRFVAVFAVPVLSFHFIAQNNPYQMDTRFIMADTLSKVLVLVLLSIWVIFFNGGLDWLITLFSIATLPNTLVMGIPLLKAMYGDFTQSLMVQVVVLQCIIWYTLLLFLFEYRAATLLIKTQFPGPKAASISKIELDNDVISLDGRDPLRTESETDGNGRLRVRIRRSTSSAPDSALSSSICLTPRPSNLSNAEVFSVSTPAPLQEYHGYNGRFSHGPNNEIMLCNGDLGFGYHRSGTSPRLSGYASSDAYSLQPTPRTSNFNEWDLTNATNTPFWARSPVAGKISRHPSPAVPELRLVWGESPVMCPNGGGKEIAEKEISFRDSCKMPAPEETNSKEAVTSQEMPHAIVMLRLILVVVGRKLSRNPNTYSSVLGLLWSLVSFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIVCGKKRATMAMAIRFICGPVVMSTASLAVGMRGERLRAAIVQAALSQGIVPFVFAREYGLHPDIMSTG >Potri.002G072200.1.v4.1 pep chromosome:Pop_tri_v4:2:5064240:5071373:-1 gene:Potri.002G072200.v4.1 transcript:Potri.002G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072200.v4.1 MITAGDFYKVMCAMVPLYFAMLVAYGSVKRYKIFTPEQCSGINRFVAVFAVPVLSFHFIAQNNPYQMDTRFIMADTLSKVLVLVLLSIWVIFFNGGLDWLITLFSIATLPNTLVMGIPLLKAMYGDFTQSLMVQVVVLQCIIWYTLLLFLFEYRAATLLIKTQFPGPKAASISKIELDNDVISLDGRDPLRTESETDGNGRLRVRIRRSTSSAPDSALSSSICLTPRPSNLSNAEVFSVSTPAPLQEYHGYNGRFSHGPNNEIMLCNGDLGFGYHRSGTSPRLSGYASSDAYSLQPTPRTSNFNEWDLTNATNTPFWARSPVAGKISRHPSPAVPELRLVWGESPVMCPNGGGKEIAEKEISFRDSCKMPAPEETNSKEAVTSQEMPHAIVMLRLILVVVGRKLSRNPNTYSSVLGLLWSLVSFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIVCGKKRATMAMAIRFICGPVVMSTASLAVGMRGERLRAAIVQAALSQGIVPFVFAREYGLHPDIMSTGVIFGMLVSLPVTLLYYIFIGL >Potri.002G072200.4.v4.1 pep chromosome:Pop_tri_v4:2:5064310:5070480:-1 gene:Potri.002G072200.v4.1 transcript:Potri.002G072200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072200.v4.1 MYTLLLFLFEYRAATLLIKTQFPGPKAASISKIELDNDVISLDGRDPLRTESETDGNGRLRVRIRRSTSSAPDSALSSSICLTPRPSNLSNAEVFSVSTPAPLQEYHGYNGRFSHGPNNEIMLCNGDLGFGYHRSGTSPRLSGYASSDAYSLQPTPRTSNFNEWDLTNATNTPFWARSPVAGKISRHPSPAVPELRLVWGESPVMCPNGGGKEIAEKEISFRDSCKMPAPEETNSKEAVTSQEMPHAIVMLRLILVVVGRKLSRNPNTYSSVLGLLWSLVSFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIVCGKKRATMAMAIRFICGPVVMSTASLAVGMRGERLRAAIVQAALSQGIVPFVFAREYGLHPDIMSTGVIFGMLVSLPVTLLYYIFIGL >Potri.001G288301.1.v4.1 pep chromosome:Pop_tri_v4:1:30050461:30051147:1 gene:Potri.001G288301.v4.1 transcript:Potri.001G288301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288301.v4.1 MEISKNSLAIAFLIALAIIIPLSLAQDSPQDYVNAHNNARAQVGVGNIVWDTNVAAYASNYIKRLTGDCRLVHSGGPYGENLAGGSGDLTGSAAVKLWVDEKPKYDYNSNSCVGGECRHYTQVVWRNSVRLGCAKARCSNGGTVISCNYSPPGNYVGQRPY >Potri.003G078300.3.v4.1 pep chromosome:Pop_tri_v4:3:10557821:10564060:-1 gene:Potri.003G078300.v4.1 transcript:Potri.003G078300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078300.v4.1 MRGYKRKPTSFRGQNSKTVHHLSFNHPSSNHQLHCELPQNPNQNNHPCQQKNSTTMKFRYSNKLPIFAHSLRLSHQHHQRRQFFTSLLKRVQTASQVRASFNGSSGNPRGNIFLVPGATVATLLMLGALHARRLYDDKKVEEAREKGIEFEFQPDHKASFLRMLPLRSISRLFGSLTSVELPVWMRPHVYRAWARAFHSNLEEVALPLENYASLREFFVRTLKEGSRPIDPDPHCLVSPVDGTVLRFGELKGSGTMIEQVKGFSYSVSSLLGASSLLPVITEGDMHKESSEQQGNLKEKNKKSWWRFSLASPKIRDTVSACPAKGLYYCVIYLSPGDYHLIHSPADWNVLVRRHFSGHLFPVNERATRTIRNLYVQNERVVLEGLWEGGFMAIAAIGATNIGSIKLFIEPELQTNQRRQKILNSEPPDERVYALEGVGKSLKKGAEVAAFNLGSTVVLVFQAPTLKMLQNRDSSSEFRFSIRRGDRVRVGEALGRWHDL >Potri.004G075600.1.v4.1 pep chromosome:Pop_tri_v4:4:6294313:6302661:-1 gene:Potri.004G075600.v4.1 transcript:Potri.004G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075600.v4.1 MEGRDNKEQIVDVRSVVEAISTADNNDINVPLYEVESLCMRCRENGTTRFLLTLIPHFRKILLSAFECPHCGERNNEVQFAGEIQPRGCCYSLDIPSGDQKILNRQVVKSESATIKIPELDFEIPPEAQRGSLSTIEGILVRAADELQALQEERKKVDPKTAEAIDQFLLKLRACAAGDSSFKFILDDPAGNSFIENLFAPSPDPSLNIKFYERTPEQQVALGYQVGQSQMGVPGDGPSSEVTSNVSDQMRREPHGSVGAAAGHRAIAQSNSAEIAEAIFRYSAPEEVMTFPSTCGSCASSCETRMFVTNIPYFQEVIVMASTCDSCGYRNSELKPGGRIPEKGKTITLCVKNANDLSRDVIKSDTAGVKVPELDLELASGTLGGIVTTVEGLVTKISESIERIHGFTFGDSLDGSKKSKWQDFKLRLNKLLNVEEPWTLILDDALANSFIAPATDNIKDDHQLSYEEYERSWEQNEELGLNDIDTSSADAAYDSAQTTIKEKTRE >Potri.003G219700.1.v4.1 pep chromosome:Pop_tri_v4:3:21421408:21424834:-1 gene:Potri.003G219700.v4.1 transcript:Potri.003G219700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G219700.v4.1 MASTTSLTLPSTKLELPFLSPQRKNCSRSFSTTTTVSSPVFRPPPFTNKIHFHSNSSSVSHSISKIPGLQSNGFLVHSSSSDYSVNNSQIDVENSSSSNDGANETQVQSSIWSWKGYSIRYQYAGNRGPALVLVHGFGANSDHWRKNTPVLAKSHRVYSIDLIGYGYSDKPNPREFGDKSFYTFETWATQLNDFCVDVVKDEAFFICNSIGGVVGLQAAVIDSQICKGIMLLNISLRLLHIKKQPWFGRPFIRSFQSLLRNTALGKSFFKLVASSESVRSILCQCYHDTSQVTEELVQKILLPGLEPGAADVFLEFICYSGGPLPEELLPQVKCPVLIVWGDKDPWEPIELGRGFINFDSVEDFVTLPNVGHCPQDEAPHLVNPLVESFVARHSTPAASVSTVI >Potri.016G064800.3.v4.1 pep chromosome:Pop_tri_v4:16:4524858:4534499:1 gene:Potri.016G064800.v4.1 transcript:Potri.016G064800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064800.v4.1 MAFTCWVSRLRSSYSKTITNLRTSFFHHLRTRGANTTAITSPYHFSKQNPFRFTCSNSLLPITLAGSLAIHFQSPPPLCDASLDSPSRGIGGKGSTEFVVQGSQKHLPQELIEELKAICQDNMSMDYDERYFHGKPQHSFHKAVNIPDVVVFPRSEEEVSNIVKSCDKHKVPIVPYGGATSIEGHTLSPHGGICIDMSLMQNVKALHVEDMDVVVEPGIGWMELNEYLEPHGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLPNGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQHSVVAMCNFPTVKDAADVAIATMLSGIQVSRVELMDEVQVRAINIANDKNLPEFPTLMFEFIGTEAYAREQTLIVQKIISEHRGSDFVFAEDPEAKKELWKIRKEALWACFAMEPKYEAMISDVCVPLSHLAELISRSKKELDASPLVCTVIAHAGDGNFHTVILFDPNQEDHRREAERLNHFMVHSALSMEGTCTGEHGVGTGKMKYLEKELGVEALKTMKRIKNALDPNNIMNPGKLIPPHVCF >Potri.016G064800.2.v4.1 pep chromosome:Pop_tri_v4:16:4524875:4534498:1 gene:Potri.016G064800.v4.1 transcript:Potri.016G064800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064800.v4.1 MAFTCWVSRLRSSYSKTITNLRTSFFHHLRTRGANTTAITSPYHFSKQNPFRFTCSNSLLPITLAGSLAIHFQSPPPLCDASLDSPGIGGKGSTEFVVQGSQKHLPQELIEELKAICQDNMSMDYDERYFHGKPQHSFHKAVNIPDVVVFPRSEEEVSNIVKSCDKHKVPIVPYGGATSIEGHTLSPHGGICIDMSLMQNVKALHVEDMDVVVEPGIGWMELNEYLEPHGLFFPLDPGPGATIGGMCATRCSGSLAVRYGTMRDNVISLKVVLPNGDVVKTASRARKSAAGYDLTRLVIGSEGTLGVITEVTLRLQKIPQHSVVAMCNFPTVKDAADVAIATMLSGIQVSRVELMDEVQVRAINIANDKNLPEFPTLMFEFIGTEAYAREQTLIVQKIISEHRGSDFVFAEDPEAKKELWKIRKEALWACFAMEPKYEAMISDVCVPLSHLAELISRSKKELDASPLVCTVIAHAGDGNFHTVILFDPNQEDHRREAERLNHFMVHSALSMEGTCTGEHGVGTGKMKYLEKELGVEALKTMKRIKNALDPNNIMNPGKLIPPHVCF >Potri.014G049800.7.v4.1 pep chromosome:Pop_tri_v4:14:3212001:3217460:1 gene:Potri.014G049800.v4.1 transcript:Potri.014G049800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049800.v4.1 MDDRGGSFVAVRRISQGLERGNNTCHSSSAEVVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPPQEECLQRLQSRIDVAYDSSVPEHQEALKALWNAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPTSFQDLLQKREGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRTLVGATFLKFLEEDDSAFDRLYCITFKLMDHEWLDMRASYMDFNAVMKSTRRQLERELLSEDITRLEELPSYTLLTR >Potri.004G113000.1.v4.1 pep chromosome:Pop_tri_v4:4:10373433:10373835:-1 gene:Potri.004G113000.v4.1 transcript:Potri.004G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113000.v4.1 MGSKKRDGISWRAAFSRWVFSFLRGSSRTDDKPRSPSNSAPFRPEEAMVAAAKHFSSAHKKWSQKKNRTALKLFVTQRNSSGSASPCWVMLQGTDMMIDPSTMTVLLTWQLWQGKLIVLEV >Potri.014G015000.1.v4.1 pep chromosome:Pop_tri_v4:14:877606:880859:-1 gene:Potri.014G015000.v4.1 transcript:Potri.014G015000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015000.v4.1 MEKNHRVKRADLHGHLLLVISSLCKKSHLGFGFQKRGLIMNISASQYSGSGCESGWTSYLYQSSISKNQYQGFGGFVDGDFARVEDEQEEDLSMVSDASSGPPHSCEDGEFCCDKLAKKSKNKKKSREHGRSQQYSYLDDTASSPALSKKVNNECSTEHVPEFSQGFSATHFKGKSSLKKHLGFFKSSHAEKAASKEPGGFQARKWNDKL >Potri.008G092500.4.v4.1 pep chromosome:Pop_tri_v4:8:5763999:5766183:-1 gene:Potri.008G092500.v4.1 transcript:Potri.008G092500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092500.v4.1 MEKALTKVNSLKVGSLWISKKAKEEFSNITEDINTISNTVEEKARWVFNKLKGKPLKSLPDLLREYNLPPGLFPQNITCYEFDESKAKLIVYLSSACEVSFKDSSVIRYAPRVKTILTRGKLTGIEGMKTKVLVWVKVTSVAVESYKSDKVWFTAGVKKSRPKIAYDVPQAAIRVEEF >Potri.001G331700.1.v4.1 pep chromosome:Pop_tri_v4:1:34037326:34042220:1 gene:Potri.001G331700.v4.1 transcript:Potri.001G331700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331700.v4.1 MEADSSQLAEATAGHNLEVGEEGRDPESNTLHQPLLKRNRTLSSNPLALVGAKVSHIESLDYEINENDLFKHDWRSRSKVQVLQYIFWKWTLAFLVGLLTGLIATFINLAVENIAGYKILAVVHFIENKRYLTGLVYFTGANLLLTLFASVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGVTTLIVKIFGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVILRTFIEICNSGKCGLFGKGGLIMFDVSDVVVTYHVMDVIPITIIGILGGILGSLYNYLLHKVLVVYNLINQKGRIHKLLLALTVSIFTSVCLYGLPFLAKCQPCDPSVQEICPTNSRSGNFKQFNCPDGHYNDLATLLFTTNDDAVRNIFSSNALKEFQPASLLIFFVLYCILGLFTFGIAVPSGLFLPIILMGSAYGRLLGIAMGSYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLISKTVGDSFNPSIYEIILDLKGLPFLDANPEPWMRNLTVAELADAKPPVVTLCGVEKVSRIVEVLENTTHNGFPVVDEGVVPLMGLATGATELHGLILRAHLVQVLKKKWFLPEKRRTEEWEVREKFDWVELAERDGTIEEVAVTRNEMEMYVDLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHMLILPKYQAAGVPPVVGILTRQDLRAHNILLAFPHLQGSKSREKKH >Potri.001G331700.2.v4.1 pep chromosome:Pop_tri_v4:1:34037326:34042193:1 gene:Potri.001G331700.v4.1 transcript:Potri.001G331700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331700.v4.1 MEADSSQLAEATAGHNLEVGEEGRDPESNTLHQPLLKRNRTLSSNPLALVGAKVSHIESLDYEINENDLFKHDWRSRSKVQVLQYIFWKWTLAFLVGLLTGLIATFINLAVENIAGYKILAVVHFIENKRYLTGLVYFTGANLLLTLFASVLCVCFAPTAAGPGIPEIKAYLNGVDTPNMFGVTTLIVKIFGSIGAVSAGLDLGKEGPLVHIGSCIASLLGQGGPDNYRLKWRWLRYFNNDRDRRDIITCGASSGVCAAFRSPVGGVLFALEEVATWWRSALLWRTFFSTAVVVVILRTFIEICNSGKCGLFGKGGLIMFDVSDVVVTYHVMDVIPITIIGILGGILGSLYNYLLHKVLVVYNLINQKGRIHKLLLALTVSIFTSVCLYGLPFLAKCQPCDPSVQEICPTNSRSGNFKQFNCPDGHYNDLATLLFTTNDDAVRNIFSSNALKEFQPASLLIFFVLYCILGLFTFGIAVPSGLFLPIILMGSAYGRLLGIAMGSYTKIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMIVLLISKTVGDSFNPSIYEIILDLKGLPFLDANPEPWMRNLTVAELADAKPPVVTLCGVEKVSRIVEVLENTTHNGFPVVDEGVVPLMGLATGATELHGLILRAHLVQVLKKKWFLPEKRRTEEWEVREKFDWVELAERDGTIEEVAVTRNEMEMYVDLHPLTNTTPYTVVESMSVAKAMVLFRQVGLRHMLILPKYQAAGVPPVVGILTRQDLRAHNILLAFPHLQGSKSREKKH >Potri.008G080800.8.v4.1 pep chromosome:Pop_tri_v4:8:5023035:5027210:1 gene:Potri.008G080800.v4.1 transcript:Potri.008G080800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080800.v4.1 MAAHSQKQQQQSRKPTEEMTRNLKVDPSLEFSDSNTVPSNDGSPSDATSCISSAGDATGSVKEGGDMDQESMTTDQTFMMYPAGSYYGYYYPGYDATFGGGSNDQLYYAAGDGTELQYTATQADNGSLIYFMPGIHPAYSPYVPVSMIGVDGQFIAQPPYSPTSVFQPSIPSPGYIPNPVPYGEYLPYLWDPSVFAGDGTYGNGYSGILEIPSSKPNMSSPSQARGPPKKALPSDLSKSLETKNSLPTLDVSSGHGMSNQLKSVGKASQHASPFPSDVMGKGYFPFAKFPPYNPGKGAMLYPNSTTKVNARGWGGSEKPKTRSKTNGIDDALPNEQNYGPRTTNAKGSVVCGGNAAGSLAPDGNGNSNSIASVISRDQYNLPDFPTKYNHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQQKIAEKGNSCPVFLFFSVNASGQFCGVAEMVGRVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVINSRDTQEVKFPQGIEILNIFKNYVTKTSILDDFDFYESRQKVMQEKRPRPFIPHSDHKQKTDDLIPGFQSMDISATKNMVYTQVGDNTKE >Potri.008G080800.10.v4.1 pep chromosome:Pop_tri_v4:8:5023053:5027198:1 gene:Potri.008G080800.v4.1 transcript:Potri.008G080800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080800.v4.1 MTRNLKVDPSLEFSDSNTVPSNDGSPSDATSCISSAGDATGSVKEGGDMDQESMTTDQTFMMYPAGSYYGYYYPGYDATFGGGSNDQLYYAAGDGTELQYTATQADNGSLIYFMPGIHPAYSPYVPVSMIGVDGQFIAQPPYSPTSVFQPSIPSPGYIPNPVPYGEYLPYLWDPSVFAGDGTYGNGYSGILEIPSSKPNMSSPSQARGPPKKALPSDLSKSLETKNSLPTLDVSSGHGMSNQLKSVGKASQHASPFPSDVMGKGYFPFAKFPPYNPGKGAMLYPNSTTKVNARGWGGSEKPKTRSKTNGIDDALPNEQNYGPRTTNAKGSVVCGGNAAGSLAPDGNGNSNSIASVISRDQYNLPDFPTKYNHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQQKIAEKGNSCPVFLFFSVNASGQFCGVAEMVGRVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVINSRDTQEVKFPQGIEILNIFKNYVTKTSILDDFDFYESRQKVMQEKRPRPFIPHSDHKQQKTDDLIPGFQSMDISATKNMVYTQVGDNTKE >Potri.008G080800.7.v4.1 pep chromosome:Pop_tri_v4:8:5023064:5027283:1 gene:Potri.008G080800.v4.1 transcript:Potri.008G080800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080800.v4.1 MAAHSQKQQQQSRKPTEEMTRNLKVDPSLEFSDSNTVPSNDGSPSDATSCISSAGDATGSVKEGGDMDQESMTTDQTFMMYPAGSYYGYYYPGYDATFGGGSNDQLYYAAGDGTELQYTATQADNGSLIYFMPGIHPAYSPYVPVSMIGVDGQFIAQPPYSPTSVFQPSIPSPGYIPNPVPYGEYLPYLWDPSVFAGDGTYGNGYSGILEIPSSKPNMSSPSQARGPPKKALPSDLSKSLETKNSLPTLDVSSGHGMSNQLKSVGKASQHASPFPSDVMGKGYFPFAKFPPYNPGKGAMLYPNSTTKVNARGWGGSEKPKTRSKTNGIDDALPNEQNYGPRTTNAKGSVVCGGNAAGSLAPDGNGNSNSIASVISRDQYNLPDFPTKYNHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQQKIAEKGNSCPVFLFFSVNASGQFCGVAEMVGRVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVINSRDTQEVKFPQGIEILNIFKNYVTKTSILDDFDFYESRQKVMQEKRPRPFIPHSDHKQQKTDDLIPGFQSMDISATKNMVYTQVGDNTKE >Potri.008G080800.9.v4.1 pep chromosome:Pop_tri_v4:8:5023053:5027261:1 gene:Potri.008G080800.v4.1 transcript:Potri.008G080800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080800.v4.1 MTRNLKVDPSLEFSDSNTVPSNDGSPSDATSCISSAGDATGSVKEGGDMDQESMTTDQTFMMYPAGSYYGYYYPGYDATFGGGSNDQLYYAAGDGTELQYTATQADNGSLIYFMPGIHPAYSPYVPVSMIGVDGQFIAQPPYSPTSVFQPSIPSPGYIPNPVPYGEYLPYLWDPSVFAGDGTYGNGYSGILEIPSSKPNMSSPSQARGPPKKALPSDLSKSLETKNSLPTLDVSSGHGMSNQLKSVGKASQHASPFPSDVMGKGYFPFAKFPPYNPGKGAMLYPNSTTKVNARGWGGSEKPKTRSKTNGIDDALPNEQNYGPRTTNAKGSVVCGGNAAGSLAPDGNGNSNSIASVISRDQYNLPDFPTKYNHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQQKIAEKGNSCPVFLFFSVNASGQFCGVAEMVGRVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVINSRDTQEVKFPQGIEILNIFKNYVTKTSILDDFDFYESRQKVMQEKRPRPFIPHSDHKQQKTDDLIPGFQSMDISATKNMVYTQVGDNTKE >Potri.008G080800.3.v4.1 pep chromosome:Pop_tri_v4:8:5023053:5027211:1 gene:Potri.008G080800.v4.1 transcript:Potri.008G080800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080800.v4.1 MTRNLKVDPSLEFSDSNTVPSNDGSPSDATSCISSAGDATGSVKEGGDMDQESMTTDQTFMMYPAGSYYGYYYPGYDATFGGGSNDQLYYAAGDGTELQYTATQADNGSLIYFMPGIHPAYSPYVPVSMIGVDGQFIAQPPYSPTSVFQPSIPSPGYIPNPVPYGEYLPYLWDPSVFAGDGTYGNGYSGILEIPSSKPNMSSPSQARGPPKKALPSDLSKSLETKNSLPTLDVSSGHGMSNQLKSVGKASQHASPFPSDVMGKGYFPFAKFPPYNPGKGAMLYPNSTTKVNARGWGGSEKPKTRSKTNGIDDALPNEQNYGPRTTNAKGSVVCGGNAAGSLAPDGNGNSNSIASVISRDQYNLPDFPTKYNHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQQKIAEKGNSCPVFLFFSVNASGQFCGVAEMVGRVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVINSRDTQEVKFPQGIEILNIFKNYVTKTSILDDFDFYESRQKVMQEKRPRPFIPHSDHKQKTDDLIPGFQSMDISATKNMVYTQVGDNTKE >Potri.008G080800.11.v4.1 pep chromosome:Pop_tri_v4:8:5023033:5027211:1 gene:Potri.008G080800.v4.1 transcript:Potri.008G080800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080800.v4.1 MTRNLKVDPSLEFSDSNTVPSNDGSPSDATSCISSAGDATGSVKEGGDMDQESMTTDQTFMMYPAGSYYGYYYPGYDATFGGGSNDQLYYAAGDGTELQYTATQADNGSLIYFMPGIHPAYSPYVPVSMIGVDGQFIAQPPYSPTSVFQPSIPSPGYIPNPVPYGEYLPYLWDPSVFAGDGTYGNGYSGILEIPSSKPNMSSPSQARGPPKKALPSDLSKSLETKNSLPTLDVSSGHGMSNQLKSVGKASQHASPFPSDVMGKGYFPFAKFPPYNPGKGAMLYPNSTTKVNARGWGGSEKPKTRSKTNGIDDALPNEQNYGPRTTNAKGSVVCGGNAAGSLAPDGNGNSNSIASVISRDQYNLPDFPTKYNHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQQKIAEKGNSCPVFLFFSVNASGQFCGVAEMVGRVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVINSRDTQEVKFPQGIEILNIFKNYVTKTSILDDFDFYESRQKVMQEKRPRPFIPHSDHKQKTDDLIPGFQSMDISATKNMVYTQVGDNTKE >Potri.008G080800.5.v4.1 pep chromosome:Pop_tri_v4:8:5022954:5027217:1 gene:Potri.008G080800.v4.1 transcript:Potri.008G080800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080800.v4.1 MAAHSQKQQQQSRKPTEEMTRNLKVDPSLEFSDSNTVPSNDGSPSDATSCISSAGDATGSVKEGGDMDQESMTTDQTFMMYPAGSYYGYYYPGYDATFGGGSNDQLYYAAGDGTELQYTATQADNGSLIYFMPGIHPAYSPYVPVSMIGVDGQFIAQPPYSPTSVFQPSIPSPGYIPNPVPYGEYLPYLWDPSVFAGDGTYGNGYSGILEIPSSKPNMSSPSQARGPPKKALPSDLSKSLETKNSLPTLDVSSGHGMSNQLKSVGKASQHASPFPSDVMGKGYFPFAKFPPYNPGKGAMLYPNSTTKVNARGWGGSEKPKTRSKTNGIDDALPNEQNYGPRTTNAKGSVVCGGNAAGSLAPDGNGNSNSIASVISRDQYNLPDFPTKYNHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQQKIAEKGNSCPVFLFFSVNASGQFCGVAEMVGRVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVINSRDTQEVKFPQGIEILNIFKNYVTKTSILDDFDFYESRQKVMQEKRPRPFIPHSDHKQKTDDLIPGFQSMDISATKNMVYTQVGDNTKE >Potri.008G080800.1.v4.1 pep chromosome:Pop_tri_v4:8:5023034:5027266:1 gene:Potri.008G080800.v4.1 transcript:Potri.008G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080800.v4.1 MAAHSQKQQQQSRKPTEEMTRNLKVDPSLEFSDSNTVPSNDGSPSDATSCISSAGDATGSVKEGGDMDQESMTTDQTFMMYPAGSYYGYYYPGYDATFGGGSNDQLYYAAGDGTELQYTATQADNGSLIYFMPGIHPAYSPYVPVSMIGVDGQFIAQPPYSPTSVFQPSIPSPGYIPNPVPYGEYLPYLWDPSVFAGDGTYGNGYSGILEIPSSKPNMSSPSQARGPPKKALPSDLSKSLETKNSLPTLDVSSGHGMSNQLKSVGKASQHASPFPSDVMGKGYFPFAKFPPYNPGKGAMLYPNSTTKVNARGWGGSEKPKTRSKTNGIDDALPNEQNYGPRTTNAKGSVVCGGNAAGSLAPDGNGNSNSIASVISRDQYNLPDFPTKYNHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLDSAYQDAQQKIAEKGNSCPVFLFFSVNASGQFCGVAEMVGRVDFNKNMDFWQQDKWNGYFPVKWHIIKDVPNPQLRHIILENNENKPVINSRDTQEVKFPQGIEILNIFKNYVTKTSILDDFDFYESRQKVMQEKRPRPFIPHSDHKQQKTDDLIPGFQSMDISATKNMVYTQVGDNTKE >Potri.015G092200.1.v4.1 pep chromosome:Pop_tri_v4:15:11507539:11511162:-1 gene:Potri.015G092200.v4.1 transcript:Potri.015G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092200.v4.1 MAVAYPQTDYSSSTTQIPLIYEDQGDEAHLSKSLNHLETFLRVFGFCQYSFLSLILSWLSFLLLGIALPAVMIEYLSHCTDCKKYQISSFEIQVLVFQSLVATISLACISHNLRKYGIRKFLFVDRFHGHMAQYRDEYVKKINGFFRLLAVWLLPFLVLKTIREAVRVIYAPRHSWGQSVGILIALVVSWTYSITIYLSGCTLFNLVCNFQVIHFENYGKLLERDLHVMQYIEEHIRLTHYLSKISHRFRIFFVLELLVVTASQVVALFQTTWHSEIINLVNGGDFVISSIVELVGLIISLQAAAKITHRAQGTGSVAAKWHSLVTCASDDTSQVEIGTNSGGSEAANPSNLLHINYSESDLEAVDYVPVPTTTQLASYMSSYHKRQSFVMYLQSNPGGFTVFGWRVDRTLINTIFFLEISLVLFVLGKTVTLYHS >Potri.005G254200.1.v4.1 pep chromosome:Pop_tri_v4:5:24606003:24607971:-1 gene:Potri.005G254200.v4.1 transcript:Potri.005G254200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254200.v4.1 MAAATAILWNPLILTRNPQGLHLSPALSSSSSIKPLDKRALSGGPGKLRITNSITRSSLTVQAAANAAYSDGGRRPSNASIFVGGFVLGGLIVGALGCVYAPQISKALAGTDRKDLMRKLPKFIYDEEKALEKTRKILAEKIEQLNSAIDDVSSQLRSEDAPNGASVHSDDIEAAI >Potri.006G277700.1.v4.1 pep chromosome:Pop_tri_v4:6:26844860:26847012:-1 gene:Potri.006G277700.v4.1 transcript:Potri.006G277700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277700.v4.1 MPMYQPNSHGNVKLDMGSGGQVLDLQTTIKGGILGGVGGGLVCTGVKEKLDLRKMVGELESIQVPSVFICPISLDPMQEPVTLCTGQTYERSNILKWFSLGHCTCPTTMQELWDDTVTPNRTLQQLIHSWFSSKYLAMKKRSEDVLGKAVELLDSLKKVKGQARVQTLKQLRHVVVAHSMAKKTVMDKGGAALVSSLLGPFTTHAVGSEAIGILVNLELDLPSKANLRQPAKISLIVDVLNEGSIETKINCTKLIEMLIEGKDSGSENVSSLSLLAGLLRLVKDKRHPNGVLAGLGLLNTICSDESLRSSVVSIGAVPPLVELLPSLNNECLELALYILEVLSTVPEGRLALKDCANTIPNVVKLMMSKSESCTQLALSILWAVCKLALEECAALAVEAGLAAKLLLVIQSGCNPVLKQRSVELLKLCSLNYTATIFISKCKLTRTIQ >Potri.016G140000.11.v4.1 pep chromosome:Pop_tri_v4:16:14308907:14312329:1 gene:Potri.016G140000.v4.1 transcript:Potri.016G140000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140000.v4.1 MLILNVLNVVARPSILPNFSAQPSNHQFNYINYRLANTGRRKLKVSRLRSNFWDSIRSGFLKDNSTQVVESPSTLEEEEEPQPEEFVLVEKTEEDGVVEQIIFSSGGDVDIYDLQTLCDKVGWPRRPLSKLDAALKNSYMVATLHSIRKSPGSEGNDQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKTLVEKIIRALLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPKY >Potri.016G140000.7.v4.1 pep chromosome:Pop_tri_v4:16:14308907:14312293:1 gene:Potri.016G140000.v4.1 transcript:Potri.016G140000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140000.v4.1 MLILNVLNVVARPSILPNFSAQPSNHQFNYINYRLANTGRRKLKVSRLRSNFWDSIRSGFLKDNSTQVVESPSTLEEEEEPQPEEFVLVEKTEEDGVVEQIIFSSGGDVDIYDLQTLCDKCTWRSYLTEGNDQKKLIGMARATSDHAFNATIWDVLVDPSYQGQGLGKTLVEKIIRALLQRDIGNITLFADSQVVEFYRNLGFEPDPEGIKGMFWYPKY >Potri.008G091300.1.v4.1 pep chromosome:Pop_tri_v4:8:5703754:5705277:1 gene:Potri.008G091300.v4.1 transcript:Potri.008G091300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091300.v4.1 MARKRKAGEGEEERVSNDGNVGWDQMMEEAESLHGVRRARKRYLGVRQRPSGRWVAEIKDTIQKIRVWLGTYDTAEEAARAYDEAACLLRGANTRTNFWPCSPSSHSKPALPPKIVNLLLLRIKARHNSLTQTTTFPVNQQEQEAREQENQFDPFFEMPGDISIVENSDTANSTADTMTISDHTSGFFESRYITEDHGSTSTFEVDDSWSNVDGSGQGGQEEGRGEEEIDMGLIDFQFDDALGSSFYHSPFDIAQEMMEPMEQEHHGDEPPMIREIMKRWKHERKFSASLYAYNGVSECLRLAFRPGMPAVANGGYELPSNLGINSNNNEEEKKRDDMGKDAKEEVQEEVEIPQTPTEKGSSSSSSSLSKEDGELSLWSSLDLPPICFNNI >Potri.016G018600.1.v4.1 pep chromosome:Pop_tri_v4:16:982333:983767:1 gene:Potri.016G018600.v4.1 transcript:Potri.016G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL18 MQDSQPTKTSSPNISSSSGSSGSRTASASASAAVIAGAVAPRVSGSQRAFRGVRRRSSGKWVSEIREPKKPNRIWLGTFPNPEMAAVAYDVAALALKGQNADLNFPNSAASLPVPASTSPRDIQAAAASAAAAIGAAKDALGIPNMGDTNQMEQEITPTVDDQFVDEDLIFDMPNVLVNMAEGMLLSPPRLDIAGDETTTAYDNTGDQNLWKFP >Potri.008G070501.1.v4.1 pep chromosome:Pop_tri_v4:8:4325323:4326903:-1 gene:Potri.008G070501.v4.1 transcript:Potri.008G070501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070501.v4.1 MTCRKSFSPSAILFFLQVNFVRFMLEPTPVQSILILPSTLEPLMLDNNLRSVN >Potri.017G054700.2.v4.1 pep chromosome:Pop_tri_v4:17:4204937:4207778:1 gene:Potri.017G054700.v4.1 transcript:Potri.017G054700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054700.v4.1 MPSDNKNWVCIHVRAGTYREKVKIPYNKPYIILRGEGKRRTKIVWDDHFSTAQSPTFVSLADNIVVRSITFVNSYNFPHDNNPRLPAVAAMITGDKTAFYQCGFAGVQDTLWDEAGRHYFKRCTIQGAVDFIFGSGQSIYEGCSIQVLEGGFITAQGRTNPSDANGFVFKGCNVFGKSSVYLGRPWRGYSRVLFYKSNFSNIVDPEGWNAWNFVGHENHITFAEYGNFGPGAEISKRVSWANKLSPQSLEELTSMSFINAENWIEKQPI >Potri.014G004000.2.v4.1 pep chromosome:Pop_tri_v4:14:320434:325897:-1 gene:Potri.014G004000.v4.1 transcript:Potri.014G004000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G004000.v4.1 MLGSVITARDHWLKHGGLILPSNATLYMAPVTHPDRYGESIDFWQNVNGINMSAMLPLAKQCAFEEPSVESISGENVLTWPHVVKHEDFYTIAIDELESVTTRYKFRSMMRAPLHGFAFWFNVEFGWPAASPIIPQASVLPTAPSSNPSMDGSKRKKRTNQNEALVLSTAPEDPPTHWQNTLIYSYDPIDVEQDQLIEGSATITQSKENL >Potri.006G078000.1.v4.1 pep chromosome:Pop_tri_v4:6:5798502:5806706:1 gene:Potri.006G078000.v4.1 transcript:Potri.006G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078000.v4.1 MEDTLTPAKRKELEKEEEVQEEEKAEIAGTPQKQDSALKKRILTRTCVHEVAVPHGYESNKDETFHGTLSNPLYNGEMAKSYAFELDPFQKVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFREKQRVIYTSPLKALSNQKYRELQQEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEILKEVAWIIFDEIHYMKDRERGVVWEESIIFMPQVIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPVGGAGLYLVVDESEQFREDNFMKLQDTFSKQKAGEGNKSANAKASGRISKGGNASGGSDIYKIVKMIMERKFQPVIVFSFSRREVEQHAMSMSKLDFNTQEEKDIVEQVFNNAILCLNEEDRNLPAIELMLPLLKRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDERMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIRNSFHQFQYEKALPDIGEKVSKLEEEAAVLDASGEAEVAGYHNLKLEMAQLEKKMMKEITRPERILYYLCTGRLIKVREGGTDWGWGVVVNVVKKPTAGLGTLPSKGAGYIVDTLLHCSPGPSESGSRPRPCPPRPGEKGEMHVVPVQLPLICALSKVRISIPADLRPLEARQSILLAVQELGNRFPEGLPKLNPVKDMKIEDPEIVELVNQIEELEQKLHAHPLNKSQDINQMKSFHRKAEVNHEIQQLKSKMRDSQLQKFREELKNRSRVLKRLGHIDADGVVQVKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIHLRTELAKPLQQLQESARKIAEIQYECKLDINVDEYVESTVRPFLVDVVYCWSKGASFSEVIQMTDIFEGSIIRSARRLDEFLNQLRAAAQAVGEVSLESKFAAASESLRRGIMFANSLYL >Potri.006G078000.5.v4.1 pep chromosome:Pop_tri_v4:6:5798502:5806705:1 gene:Potri.006G078000.v4.1 transcript:Potri.006G078000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078000.v4.1 MEDTLTPAKRKELEKEEEVQEEEKAEIAGTPQKQDSALKKRILTRTCVHEVAVPHGYESNKDETFHGTLSNPLYNGEMAKSYAFELDPFQKVSVACLERNESVLVSAHTSAGKTAVAEYAIAMAFREKQRVIYTSPLKALSNQKYRELQQEFQDVGLMTGDVTLSPNASCLVMTTEILRGMLYRGSEILKEVAWIIFDEIHYMKDRERGVVWEESIIFMPQVIKMVFLSATMSNATEFAEWICHLHKQPCHVVYTDFRPTPLQHYVFPVGGAGLYLVVDESEQFREDNFMKLQDTFSKQKAGEGNKSANAKASGRISKGGNASGGSDIYKIVKMIMERKFQPVIVFSFSRREVEQHAMSMSKLDFNTQEEKDIVEQVFNNAILCLNEEDRNLPAIELMLPLLKRGIAVHHSGLLPVIKELVELLFQEGLVKALFATETFAMGLNMPAKTVVFTAVKKWDGDSHRYIGSGEYIQMSGRAGRRGKDERGICIIMIDERMEMNTLKDMVLGKPAPLVSTFRLSYYSILNLMSRAEGQFTAEHVIRNSFHQFQYEKALPDIGEKVSKLEEEAAVLDASGEAEVAGYHNLKLEMAQLEKKMMKEITRPERILYYLCTGRLIKVREGGTDWGWGVVVNVVKKPTAGLGTLPSKGAGYIVDTLLHCSPGPSESGSRPRPCPPRPGEKGEMHVVPVQLPLICALSKVRISIPADLRPLEARQSILLAVQELGNRFPEGLPKLNPVKDMKIEDPEIVELVNQIEELEQKLHAHPLNKSQDINQMKSFHRKAEVNHEIQQLKSKMRDSQLQKFREELKNRSRVLKRLGHIDADGVVQVKGRAACLIDTGDELLVTELMFNGTFNDLDHHQVAALASCFIPVDKSSEQIHLRTELAKPLQQLQESARKIAEIQYECKLDINVDEYVESTVRPFLVDVVYCWSKGASFSEVIQMTDIFEGSIIRSARRLDEFLNQLRAAAQAVGEVSLESKFAAASESLRRGIMFANSLYL >Potri.014G100100.6.v4.1 pep chromosome:Pop_tri_v4:14:6602936:6608035:1 gene:Potri.014G100100.v4.1 transcript:Potri.014G100100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100100.v4.1 MAHAERGDDLYSELWKACAGPLVDVPKAGERVFYFPQGHMEQLEASTNQELNQQIPRFNLPPKILCRVVNIQLLAEQDTDEVYAQITLHPEVDQTRPTSPDPCPPEPAKQTVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMSQATPTQELAARDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGDNGELRVGLRRVARQQCSIPSSVISSQSMHLGVLATASHAVLTHTLFVVYYKPRTNQYIIGLNKYLEAVKNGFSVGMRFKMRFEGEDTPERRFTGTIVGVGDISPEWSGSIWRSLKIQWDEPATIQRPERVSPWDIEPFAAPASPNLTQQVVKSKRPRSVDIPTSEITTNPAASAFWYHGPTQSRELVQRGSITEVQSSESHVWSMRQKEIDSNLNNNGSCNSRARPEGIWPSSSHMNVSLNFFPDSAADNKCAKTQSIISGFASSISRQSNGLINEQVERGRKFENSVGCRLFGIDLTSNSGIAAVPEKEPAYPIVDYNGTQGLVPASSEAEKAQTMDVSMSSKEQKQVVPDTLAKESQSKQGSTTSTRTRTKVQMQGVAVGRALDLTVLKGYKDLINELEKMFETGGELSTREKWAVVFTDNEGDMMLVGDDPWPEFCKMVKKIFIYSSEEVKKMSTRCKLPASSFEGEGTVVSMESEHKSDA >Potri.014G100100.5.v4.1 pep chromosome:Pop_tri_v4:14:6603041:6608015:1 gene:Potri.014G100100.v4.1 transcript:Potri.014G100100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100100.v4.1 MAHAERAGDDLYSELWKACAGPLVDVPKAGERVFYFPQGHMEQLEASTNQELNQQIPRFNLPPKILCRVVNIQLLAEQDTDEVYAQITLHPEVDQTRPTSPDPCPPEPAKQTVHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMSQATPTQELAARDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGDNGELRVGLRRVARQQCSIPSSVISSQSMHLGVLATASHAVLTHTLFVVYYKPRTNQYIIGLNKYLEAVKNGFSVGMRFKMRFEGEDTPERRFTGTIVGVGDISPEWSGSIWRSLKIQWDEPATIQRPERVSPWDIEPFAAPASPNLTQQVVKSKRPRSVDIPTSEITTNPAASAFWYHGPTQSRELVQRGSITEVQSSESHVWSMRQKEIDSNLNNNGSCNSRARPEGIWPSSSHMNVSLNFFPDSAADNKCAKTQSIISGFASSISRQSNGLINEQVERGRKFENSVGCRLFGIDLTSNSGIAAVPEKEPAYPIVDYNGTQGLVPASSEAEKAQTMDVSMSSKEQKQVVPDTLAKESQSKQGSTTSTRTRTKVQMQGVAVGRALDLTVLKGYKDLINELEKMFETGGELSTREKWAVVFTDNEGDMMLVGDDPWPEFCKMVKKIFIYSSEEVKKMSTRCKLPASSFEGEGTVVSMESEHKSDA >Potri.013G032100.1.v4.1 pep chromosome:Pop_tri_v4:13:2068411:2077261:-1 gene:Potri.013G032100.v4.1 transcript:Potri.013G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032100.v4.1 MEESNSNNHIANNVARAIAAALDWNSTPDARKAAVSFLESIKAGDVRILASSSFVLVKKDWSSEIRLHAFKMLQHLVRLRWEELSPTERRNFANAAVELMAEIANSCEEWVLKSQTAALVAEIVRREGLELWKELLPSLVSLSSQGPIQAELVSMTLRWLPEDITVHNEDLEGDRRRLLLRGLTQSLPEMLPLLYTLLERHFGAALSEAGRQQLDIAKQHAATVTATLNAVNAYAEWAPLQDLAKYGIIYGCGVMLSSPDFRLHACEFFKLVSQRKRPADASASEFDSAMRNIFQIMMNVSRDILYKTVSSAGVMDESEFEFAEYICESMVSLGSFNFQCISGDNTILSLYLQQMLGFFQHFKLALHYQSLLFWLVLMRDLMSKPKVTAYSADGSAFNSAGSSSGQVDDEKRRTLSLVDDDICVVILDISFQRLLKKEKVFSGNSFSPGTLELWSDDFEGKGDFGQYRSKLTELMRLVASFKPLIAGAKISERILSIIKSIPNSQIPVQDLAVMESMQVALENVVNAVFDGSNGYAAVSSEVHLALCRVFEDLLQQLLSLKWTEPTLVEILGHYLDALGPFLKYFPDAVGGVINKLFELLMSIPFVVKDPSVSSARHARLQICTSFIRIAKSADKSVLPHMKGIADTMAYMQREGSLLRGEHNLLGEAFLVMASAAGTQQQQEVLAWLLEPLSQQWTQLEWQNNYLSEPLGLIRLCSETAFMWSIFHTVTFFEKALKRSGIRKGSLNLQSISTASTIHPMASHLSWMLPPLLKLLRAVHSLWSASISQMLPGDIKAAMTMGNAERYSLLGEGNPKLSKGSLNFIDGSHIDTSREGHTETNEADIRNWLKGIRDSGYNVLGLSMTIGDPFFKCLDVHSVGVALLENIQSMEFRHTRQLVHSALIPLVKHCPMEMWEVWLEKLLHPLFIHVQQALTFSWSSLLHEGKAKVPDVLGILAEADLKAEVMEEKLLRDLTREMCVLLSTIASPGLNTGLPTLEQSGHAIRVDASSLKELDAFASNSMVGFLLKHNGLAVPALQICLEAFTWTDGEAVSKVLSFCASVILLAISANNVQLREFVSKDLFSAIIKGLALESNAFISADLVGFCREIFMHLCDRDPAPRQVLLSLPCIKPQDLVAFEEALTKTASPKEQKQHMKSLLLLATGNMLKALAAQKSVNIITNVTMRPRSSVNAPETRIDEGDTIGLAAIL >Potri.014G069100.1.v4.1 pep chromosome:Pop_tri_v4:14:4368096:4371676:-1 gene:Potri.014G069100.v4.1 transcript:Potri.014G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069100.v4.1 MQREGQGRNDLFAAGDPFGNFSRFGMIPSLFGGRDPFDDPFFTRPFGSMLESGMSDPPSSTSREVSQTDRAKALVIEELSSDDEGEKEDAQTGDEKSDYQKHIGSNKEPSVEHPDDYPDERENKTVNCRSDYNRTEGTEPWVRSSSFQTCKVTYGGIDGAYYTSTRTRRTGSDGVVVEESKEADKTTGQATHRISRGINDKGHSVTRKLNSDGKVDTTQTLHNLNEDELTGFEETWKLKGQLPTGWSDHFDMHGNRGSRGSEQKGMGSWRVWALPSTRQAWNAGGMESAPEPGTNALGGRTKKVVRINIE >Potri.016G044100.1.v4.1 pep chromosome:Pop_tri_v4:16:2792814:2795448:-1 gene:Potri.016G044100.v4.1 transcript:Potri.016G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044100.v4.1 MGSPPSASLKQNNLIFLVFIMILFFCSIPDKANLCSNQSSVNPSGVPYKSSSNISSLETLQLDGYFSFDHIDYAAKDFGNRYHFLPLAVLHPNSVSDISNTIKHIFKMGSTSKLTVAARGHSHSLQGQAQAHQGIVINMESLQGPEMQIHTGELPYVDASGGDLWINILHETLKYGLAPKSWTDYLHLTVGGTLSNAGISGQAFKHGPQINNIYQLEVVTGKGEVVTCTEKQNAELFYSVLGGLGQFGIITRARISLEPAPKMVKWIRVLYDEFSKFSNDQERLISSKDSFDYIEGLVIINRTGLLNNWRSSFNPKDPLQASRFTSEGKTLYCLEIAKYFSPDESDIMNQKTESLLSELSYISSTLFLSEVSYVEFLDRVHLSEIKLRSKGLWEIPHPWMNLLIPRTNIIEFAQEVFGNILTGNSNGPILIYPVNKSKWNNRTSLITPDEETFYQVAFLSSAMPSSTGRDGLFHILAQNQRILDFCSKAGLGAKQYMPHYSTQEEWQAHFGPQWEVFVKRKSTYDPLAILAPGQRIFRRK >Potri.002G186500.1.v4.1 pep chromosome:Pop_tri_v4:2:14830996:14832310:-1 gene:Potri.002G186500.v4.1 transcript:Potri.002G186500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186500.v4.1 MASPAYTLLSFTFYTIFLSSGSYKAEAWRFNDISSLVSKGLFDSIFLHKDNNACPAKDFYTYSSFIQASRSFPRFGRTGSSIKRKREIAAFLAQISHETTGGWPTAPDGPFAWGLCFKEEVSPQGNYCNSSNTRWPCSPGKSYKGRGPIQLSWNYNYGPAGKALGFDGLNNPDIVSNNSLIAFKTALWFWMTKQSPKPSCHNVMIGKYKPTAAGVAANRTAGYGLVTNIINGGLECGIPNDARVNDRIGFFQRYAALFNVSTGPNLDCENQKPFT >Potri.019G054500.5.v4.1 pep chromosome:Pop_tri_v4:19:9202657:9213740:-1 gene:Potri.019G054500.v4.1 transcript:Potri.019G054500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054500.v4.1 MCGIFAYLNYNVNRERRFILQILFNGLRRLEYRGYDSAGVSIDHNQPLVFRQEGNIESLVKSVYQEADAMGLDLEESFCVHAGIAHTRWATHGEPAPRNSHPQTSGDGNEFLVVHNGVITNYQVLKETLIRHGFTFESETDTEVIPKLAKFVFDKANEGEGSHTVTFSEVVLEVMRHLEGAYALIFKSLHYPNELIACKRGSPLLLGVKELNEEFNSGPTFHDSKFLSNNDHPKELFLSSDAHAIVEHTKKVLVIEDGEVVHLKDGNVSILKFDNDKGRHGGSLSRPASVQRALSVLEMEVEQINKGNYEHYMQKEIHEQPESLKTTMRGRLIRGGSCKAKTVLLGGLKDHFKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLNALEYALENGALCVGITNTVGSAIARRTHCGVHINAGAEIGVASTKAYTSQIVVMAMLALAIGGDAISSQTRREAIIDGLFDLPNKVREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLTIIVIATRDSCFSKQQSVIQQLHARKGRLIVMCSKGDAVSACPGGFGRVIEVPQVEDCLQPVINIVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >Potri.019G054500.4.v4.1 pep chromosome:Pop_tri_v4:19:9202839:9213340:-1 gene:Potri.019G054500.v4.1 transcript:Potri.019G054500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054500.v4.1 MCGIFAYLNYNVNRERRFILQILFNGLRRLEYRGYDSAGVSIDHNQPLVFRQEGNIESLVKSVYQEADAMGLDLEESFCVHAGIAHTRWATHGEPAPRNSHPQTSGDGNEFLVVHNGVITNYQVLKETLIRHGFTFESETDTEVIPKLAKFVFDKANEGEGSSHTVTFSEVVLEVMRHLEGAYALIFKSLHYPNELIACKRGSPLLLGVKELNEEFNSGPTFHDSKFLSNNDHPKELFLSSDAHAIVEHTKKVLVIEDGEVVHLKDGNVSILKFDNDKGRHGGSLSRPASVQRALSVLEMEVEQINKGNYEHYMQKEIHEQPESLKTTMRGRLIRGGSCKAKTVLLGGLKDHFKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLNALEYALENGALCVGITNTVGSAIARRTHCGVHINAGAEIGVASTKAYTSQIVVMAMLALAIGGDAISSQTRREAIIDGLFDLPNKVREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLTIIVIATRDSCFSKQQSVIQQLHARKGRLIVMCSKGDAVSACPGGFGRVIEVPQVEDCLQPVINIVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >Potri.019G054500.6.v4.1 pep chromosome:Pop_tri_v4:19:9203387:9213392:-1 gene:Potri.019G054500.v4.1 transcript:Potri.019G054500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054500.v4.1 MCGIFAYLNYNVNRERRFILQILFNGLRRLEYRGYDSAGVSIDHNQPLVFRQEGNIESLVKSVYQEADAMGLDLEESFCVHAGIAHTRWATHGEPAPRNSHPQTSGDGNEFLVVHNGVITNYQVLKETLIRHGFTFESETDTEVIPKLAKFVFDKANEGEGSHTVTFSEVVLEVMRHLEGAYALIFKSLHYPNELIACKRGSPLLLGVKELNEEFNSGPTFHDSKFLSNNDHPKELFLSSDAHAIVEHTKKVLVIEDGEVVHLKDGNVSILKFDNDKGRHGGSLSRPASVQRALSVLEMEVEQINKGNYEHYMQKEIHEQPESLKTTMRGRLIRGGSCKAKTVLLGGLKDHFKTIRRSRRIVFIGCGTSYNAALAARPILEELSGVPVTMEIASDLLDRQGPIYREDTAVFVSQSGETADTLNALEYALENGALCVGITNTVGSAIARRTHCGVHINAGAEIGVASTKAYTSQIVVMAMLALAIGGDAISSQTRREAIIDGLFDLPNKVREVLKLDQEMKDLAKLLIAEQSLLVFGRGYNYATALEGALKVKEVALMHSEGMLAGEMKHGPLALVDENLTIIVIATRDSCFSKQQSVIQQLHARKGRLIVMCSKGDAVSACPGGFGRVIEVPQVEDCLQPVINIVPLQLLAYHLTVLRGYNVDQPRNLAKSVTTQ >Potri.010G185300.1.v4.1 pep chromosome:Pop_tri_v4:10:18255757:18261892:1 gene:Potri.010G185300.v4.1 transcript:Potri.010G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185300.v4.1 MEIKNWKFNRLGVVILFLLYQNLILCSSLNEEGMALLRLRERIVSDPYGALNSWKMDFGEIDHCSWFGVECSHDGKVVVLNLKDLCLEGMLAPEITNLVHIKSIILRNNSFTGIIPEGTGELKELEVLDFGYNNFSGPLPLDLGSNLSLAILLLDNNEQLSSLSPEIQHLDMLSEFQVDKNQLSNAVKGSSCNKRSITWNAAHTENAVHRRQMQNNHKLLPSTPPSPKPATPTVTSPPPPTMTSPAPTPSEPFGTSSAPDGSASPSISAPAPSNSSVPRVAFESSPSKKHQGPIIAGAVGGTLVILVSIISIYICKINKVSVNPWATGLSGQLQKAFVTGVPKLKRSELEAGCEDFSNVIGSSPIGTLYKGTLSSGVEIAVLAVASVAVTSAKDWSRTLQVQFRQKIEKLSKVNHKNFVNLLGYCEEEEPFTRMMVFEYAPNGTLFEHLHVKESEHLDWGMRLRIAMGMAYCLEHMHQLNPPIAHNNLSSSDISLTEDYASKIADFTFSNNIIATEMELSSKKLPDLPLACLENNVYNFGVLLFEMVTGRLPYSVDNVSLEDWASDYLRGYQPLKEMIDPTLDSFDEEKLERIGEVIQSCVHRDPKRRPTMRNVTARLREITALTPDAAIPKLSPLWWAELEILSTEAS >Potri.T056064.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:20083:22474:1 gene:Potri.T056064.v4.1 transcript:Potri.T056064.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T056064.v4.1 MSNYTVESTKVETSDGAKLHTRLFKPMEEGKITDNLVVVLVHPFSILGGCQAFLKGIAAGLAGKGYKTVTFDMRGAGKSTGRPSLTGFAEIKDVIAVCKWVCENLSSDRILLVGSSAGAPIAGSAVDEIKEVIGYVSIGYPFGMFASILFGRHHKGILKSPKPKLFVMGTRDGFTSVKQLQNKLSSAAGRVETHLIEGASHFQMEGAEFDNQMVNLILTFTSSL >Potri.018G133901.1.v4.1 pep chromosome:Pop_tri_v4:18:14289510:14291649:1 gene:Potri.018G133901.v4.1 transcript:Potri.018G133901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133901.v4.1 METLYFILLLFVSIILSLIAIIYKHRYQDKLPNLPPGNLGLPFVGESLDFLSKGWKGCPENFIFDRIWKYSSETFKTNLFLQPVVMLNGVAGNKFLFSNENRLVETWWPEFVNKIFPSAVEKSPKEEAKRMRRLFPPFLKPEALRRYIGTMDMVTKRHFALEWGNKAEVVVFPLAKSYTFELACRLFLSIEDPSHIARFSHPFNHITSGIFTIPIAFPGTPFNRAIKATKLIRIELLAIIRQRKKDLAEGKASPTQDILSHMLLSNDADGQYMNEVEIADKIIALLLGAHDSTGTACTFVVKYLAEMPHIYEAVYKEQAEIIKSKAPGELLNWVDIQKMKYSWNVACETLRLSPPFIGNFKEAIKDFTFNGFAIPKGWKLYWSASSTHKNPEYFSEPEKFDPSRFEGKGPAPYTFIPFGGGPMMCPGNEYARLEILVFMHNLVKRFKFERLILDEKIVFDPTPKPEMGLPVRLIPHKA >Potri.015G006500.1.v4.1 pep chromosome:Pop_tri_v4:15:384801:387848:-1 gene:Potri.015G006500.v4.1 transcript:Potri.015G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006500.v4.1 MGYVLRVRLASFFAGAATASFAGLYLLYKDFKVAHDAISQQVESVHESLDRRISNLEKLKQNEASQPLQAAE >Potri.009G122900.2.v4.1 pep chromosome:Pop_tri_v4:9:10255020:10260458:-1 gene:Potri.009G122900.v4.1 transcript:Potri.009G122900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G122900.v4.1 MQIKTLIHSSTIPFQNPNFKKHPQPSILLKNPPIHLLQSPKVPAKLNILTPRNHLYGLKANLSSQSRELFDTNNEIEGENDSKTGSILEEEEIKVDMNREGLENQSLWSQIKEIVLFTGPATGLWLCGPLMSLIDTVVIGQGSYIELAALGPATVLCDYMSYVFMFLSIATSNMVATYLARRDKNQVQHQISILLFVGMTCGLLMLLFTRLFGSWALTAFSGPKNAQILPAANTYVQIRGLAWPAVLVGWVAQSASLGMKDSWGPLKALAVSSVVNGVGDVVLCSFLGYGIAGAAWATMVSQVIAAYMMIEALNKKGYNAFSISVPTPDEILTVIGLAAPVFVTMISKVAFYSLMIYFATSMGTHSVAAHQVMLQIMGMCTVMGEPLSQTAQSFMPELIYGVNRSLEKARRLLKSLVTIGATMGLLLGTIGTFAPWLFPNIFTRDQKVIQEMYKVLLPFFMAIAVTPSIHCLEGTLLAGRDLRFLSFSMTGCFSLGAIVLMLFSRRGYGLPGCWYALVGFQWARFFLSLRRLLSPDGILFSEDLSRYKMEKLKVT >Potri.002G040550.1.v4.1 pep chromosome:Pop_tri_v4:2:2647505:2647621:1 gene:Potri.002G040550.v4.1 transcript:Potri.002G040550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040550.v4.1 MSEGMGRDRLLLATVGPPIKARAGLRRKQAGRGSYRGS >Potri.010G089900.1.v4.1 pep chromosome:Pop_tri_v4:10:11504219:11506627:1 gene:Potri.010G089900.v4.1 transcript:Potri.010G089900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089900.v4.1 MALVMRAVALLTVMTLMLELIHAAVYKVGDSAGWTASGNIDYKQWSATKTFQVGDVILFEYNAQFHNVMRVTHAMYKACNTSAPMATYTTGNDSITIKTRRHHFFFCGVPGHCQAGQKVDINVLRSDERAQTPASSSMSSPPVPSAKVAGPASSNALSLKALRSPFGSFGLAMAVLATFFYINLA >Potri.007G145600.1.v4.1 pep chromosome:Pop_tri_v4:7:15427645:15428789:1 gene:Potri.007G145600.v4.1 transcript:Potri.007G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145600.v4.1 MDRCCLSVVLLVLALTRSRVDGLKSDNLCRQCSKRDTNKCPASEAYPHMTAFDDTLIAGALQSDYVDANDRGVYSVPDIKGGTSSKYNAYFGWESTSGSGSGFHRFRNYMDRCSGGQSYLTVDKKGKVSLRSLKSLQSLGEADWKSINPPKRLNHREFRFWVNRITGKCLTVFEEKKDKRTVGVSECKLDGSNTYQLFAFRFHYHKAFCSCGVHNE >Potri.017G043600.1.v4.1 pep chromosome:Pop_tri_v4:17:3053667:3055138:1 gene:Potri.017G043600.v4.1 transcript:Potri.017G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043600.v4.1 MISAKKLIKLARKWQKLAAIRRKRITLPQPIERTDTSSCSTSSTTQKGHFVVYSTDQKRFSLPLEYLHNNIVRELLEIAEEELGSPSDGPLTFPCDSDLMKYVVSLIENHISADVEKALLMSIARSHCSMSLDPHHEVPSHQIPICSF >Potri.006G232900.2.v4.1 pep chromosome:Pop_tri_v4:6:23564519:23568739:1 gene:Potri.006G232900.v4.1 transcript:Potri.006G232900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232900.v4.1 MTRLIAGVIFLLISFCGIAVGVRDIVGDVLRLPSEASRFFRPGKFNDDNSDDDSSGTRWAILLAGSNGYWNYRHQADVCHAYQLLRQGGLKEENIIVFMYDDIADNPENPRPGVIINNPQGEDVYKGVPKDYTGPDVTVGNFFAAILGNKTALTGGSGKVIDSGPNDHIFIYYTDHGGPGVLGMPTNPYLYADDLIDVLKKKHASGTYKSLVFYLEACESGSIFEGLLPQGLNIYATTASNAEESSWGTYCPGEYPSPPPEYETCLGDLYSVAWMEDSDIHNLRTETLHQQYELVKRRTSYDNSPYGSHVMQYGDVGLSKDDLFQYMGTNPANDNYTFVEENSLRPHSKVVNQRDADLVHFWTKYRKAPEGSSRKVEAQKQFVEAMSHRMHIDHSIKLIGKLLFGIEKASEVLNTVRPAGQPLVDDWVCLKTLVRTFETHCGSISQYGMKHMRSLANLCNAGIVKEQMAEASAQACVSFPSGSWSSLHKGFSA >Potri.007G040900.3.v4.1 pep chromosome:Pop_tri_v4:7:3386742:3389653:1 gene:Potri.007G040900.v4.1 transcript:Potri.007G040900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040900.v4.1 MRSMTSSTSNKGIAAIVGVGPKLGRSIARKFAHEGYTVAILARDLGRLSRFADEIAREEKAQVFAIRIDCSDSRSVREAFEGVLSLGFVEVLVYNAYQPAVSPQPTNFTDICIDSFVKSLAISSVGAFLCAQQVLPGMVERRRGTILFTGCSASLNGIACLSELCCGKFALRALSQCLAREFQSQGVHVAHVIIDGVIGPPTPRGPPSSQRTSAGEQQQHGMAGGGGEMMMDPDSLAQTYWHLHVQGRTAWTQEIDLRPSNSINPRSC >Potri.018G004500.2.v4.1 pep chromosome:Pop_tri_v4:18:354963:356449:1 gene:Potri.018G004500.v4.1 transcript:Potri.018G004500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004500.v4.1 MADWDECLKWIAMSAPETEPGQEQLYHYLSFGWLCGGIIEHASGKKFQEILEEAIVRPLNIEGELYVGIPPGAESRLASLTLDEEDFSKLSKIASRPELPSTFQPENISQLVTAVPALFNMLNVRRAIIPGANGHCSARALARYYAALVDGGLVPPPHSSLSKPPLGTHPHILKFPSEITSKKQKGKKSKAAGSTSKKKGNGYELKMNHSKDFKDGGESNSDGYTRLANDSAGGSCSDASPPKGFAASENPRQNNAIRIFNNPEFMMSSWAWVNTGI >Potri.010G088800.1.v4.1 pep chromosome:Pop_tri_v4:10:11385958:11390776:1 gene:Potri.010G088800.v4.1 transcript:Potri.010G088800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088800.v4.1 MALIPNSLIFLLLSTLLIIIPTHQRQHPLDSLTPAEFSKIRAIVHSSYPIPSHTTAFHYVGLEDPNKLTVLSWLKDPTTKTPPRQAFVIARINQTTHEITVDLTINKIVSDKLYDGYGYPLLTFEEQIAANALPLKYAPFLESIRKRGLKIEEVVCGGFTVGWYGEERRKKRIVRVMCYYLDGTVNAYMRPVEGVTVTVDLEETKIIGFKDRLTVPIPKGDGTDYRGSKQNPPFLAQLKGITMVQPDGPSFTIDGHRIRWANWDFHLSFDSRAGPIISLASIFDLQKQKFRQVLYKGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPFRDCPENAVFMDAYFAGQNGMPVNMPDVFCIFEKYAGDITWRHTETLKPGEEIREVRPEVTLVVRMVSTVGNYDYINDWEFKQSGSIKVTVGLTGLLEVRGSVYTHNDQIKEEVYGTLLAENTVGAHHDHFLTYHLDLDVDGDANSLVKSNLQMTRVADQMSPRKSYWRVVSETAKTESDARIRLGVEQADLLVVNPNKRTDLGNSIGYRLIPGSLTHPVLSDDDYSQIRGAFTKYNVWVTPYNKSEKWAGGLYADQSRGDDTLARWSLRNRGIENKDIVLWYTLGFHHVPYQEDFPVMPTISSGFELRPANFFESNPVLKVKLPPPVNWSNCSRKYV >Potri.010G088800.2.v4.1 pep chromosome:Pop_tri_v4:10:11385958:11390776:1 gene:Potri.010G088800.v4.1 transcript:Potri.010G088800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088800.v4.1 MALIPNSLIFLLLSTLLIIIPTHQRQHPLDSLTPAEFSKIRAIVHSSYPIPSHTTAFHYVGLEDPNKLTVLSWLKDPTTKTPPRQAFVIARINQTTHEITVDLTINKIVSDKLYDGYGYPLLTFEEQIAANALPLKYAPFLESIRKRGLKIEEVVCGGFTVGWYGEERRKKRIVRVMCYYLDGTVNAYMRPVEGVTVTVDLEETKIIGFKDRLTVPIPKGDGTDYRGSKQNPPFLAQLKGITMVQPDGPSFTIDGHRIRWANWDFHLSFDSRAGPIISLASIFDLQKQKFRQVLYKGFVSELFVPYMDLTEEWYYRTFFDAGEYGYGLCAVPLEPFRDCPENAVFMDAYFAGQNGMPVNMPDVFCIFEKYAGDITWRHTETLKPGEEIREVRPEVTLVVRMVSTVGNYDYINDWEFKQSGSIKVTVGLTGLLEVRGSVYTHNDQIKEEVYGTLLAENTVGAHHDHFLTYHLDLDVDGDANSLVKSNLQMTRVADQMSPRKSYWRVVSETAKTESDARIRLGVEQADLLVVNPNKRTDLGNSIGYRLIPGSLTHPVLSDDDYSQIRGAFTKYNVWVTPYNKSEKWAGGLYADQSRGDDTLARWSLRYSYGTGG >Potri.015G113200.3.v4.1 pep chromosome:Pop_tri_v4:15:12921203:12926103:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQIEG >Potri.015G113200.9.v4.1 pep chromosome:Pop_tri_v4:15:12921218:12926103:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQ >Potri.015G113200.4.v4.1 pep chromosome:Pop_tri_v4:15:12921203:12926103:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQIEG >Potri.015G113200.11.v4.1 pep chromosome:Pop_tri_v4:15:12921233:12926103:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQ >Potri.015G113200.5.v4.1 pep chromosome:Pop_tri_v4:15:12921203:12926103:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQIEG >Potri.015G113200.6.v4.1 pep chromosome:Pop_tri_v4:15:12921203:12926103:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQIEG >Potri.015G113200.8.v4.1 pep chromosome:Pop_tri_v4:15:12921218:12926103:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQ >Potri.015G113200.10.v4.1 pep chromosome:Pop_tri_v4:15:12921218:12926103:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQ >Potri.015G113200.7.v4.1 pep chromosome:Pop_tri_v4:15:12921286:12925960:-1 gene:Potri.015G113200.v4.1 transcript:Potri.015G113200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113200.v4.1 MAMSTETAMAIKSYQNQAEALVKNYLLADPFIPYTSVLGGIFACKVAYDLTQLISTFYIKTYNGLTKIQRIEWNNRGMSTIHAVFITAMSLYFVFWSDLFSDHQHTGIVTLRSSQFSIVGLGVSVGYFFADLGMIFLYYPTLGGKEYVIHHSLSTIAVAYSMLSGEMQLYTYMCLISEVTTPEINMRWYLDTAGHKRSAAYLINGLAIFLAWLMARILLFLYMFHHIYLHYDQVIQMSLFGCFLVFLVPAVLFIMNLMWFGKIIKGLKKTLAKRQIEG >Potri.001G149400.1.v4.1 pep chromosome:Pop_tri_v4:1:12484844:12489252:-1 gene:Potri.001G149400.v4.1 transcript:Potri.001G149400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149400.v4.1 MHQETLVTKQSRRSRGDKGDEGRRHIISSGFTPLFDPSIHKKQRQIQRKTQSQSNPQNQPLLQIQIPPPTSPNPPLLTHQPDFQSYHEQEQTLFSNPSATQQPLISTPHKRLTIMAQSPPSSTSHSPTLSSLHGNPSQSNSHKKTIWNTITIPLTTKLSIKTLAQKVLFHFSHARLLCVHLTVLILIVLPSFYFFLSNHRRLFIINLLFILAFSITLLACLNLVLPRLPSLRLLVARSLPNKFKPTPLPTKATKPVVWSIGSKPKIQNKPYSGSWVQGYSNGDVYEGEFHKGKCSGSGVYYYYMSGRYEGDWVDEKYDGYGVETWAKGSRYRGQYRQGLRHGIGVYRFYTGDVYAGEWCNGQCHGCGIHTCEDGSKYIGEFKWGVKHGLGHYHFRNGDTYSGEYFADKMHGFGVYQFGNGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGILDVSTVENGKPESPNAISHPKVVNAVQEAKRAAEKACDAARIEERVNKTIAYANKAANAARVAAVKAVQRQMHHQNSDDVPTPFV >Potri.005G015200.1.v4.1 pep chromosome:Pop_tri_v4:5:1213567:1215341:-1 gene:Potri.005G015200.v4.1 transcript:Potri.005G015200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G015200.v4.1 MADEMGAPKDRKILVAVDESEESMHALSWCLENVLFCSNSKDTLILLYAIPPRAVYPTFDNTGYVFSSDFLAMMLKYNNDAAGFVTEKAKRKCKEQVQDVKVETRIEHGDPRDVICAVAEKLHVDVVVMGSHGHGLIKRAFLGSVSNHCVQNVKCPVLIVKKPKPDCRSK >Potri.016G007350.1.v4.1 pep chromosome:Pop_tri_v4:16:358019:358318:1 gene:Potri.016G007350.v4.1 transcript:Potri.016G007350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007350.v4.1 MPCPCLIFSPEGFLRLCLPYKCPQVGFQGPTHSHYCTDFVHSGSCILSFKKLFSLRVIVKLKLLDTRIIGNNFSLAVLSSFAERWKWSPSSSHSNPFSH >Potri.013G066500.3.v4.1 pep chromosome:Pop_tri_v4:13:4943889:4947280:-1 gene:Potri.013G066500.v4.1 transcript:Potri.013G066500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066500.v4.1 MAETCTRKLIVEVCNARNLMPKDGQGTASAFATVDFDGQRRRTKTKLRDLNPEWDEKLEFLVHDTDSMATETLEISLYNDKKTGKRSTFLGKVRIAGSAFVKSGGETLVYYPLEKRSVFSQIKGELGLKVYYIDEDPPAPPAEQKPEEKAPETEENKPAEEAKPEEEKKEEEKKEEPKTESNKEAKKEEEKPSPPPQEENPKKPEEAAPPVKVENPPLAESEKKPSKEEKEKAEIVKRSEVTISDLELRSLASDRGRSAYDLVDRMPFLYVRVVKAKTANNESKSPVYAKLMIGTHSIKTKSQSDKDWDKVFAFDKEGLNSTSLEVSVWTEEKKENEEATQECSLGTVSFDLQEVPKRVPPDSPLAPQWYALESENSAGNEVMLAVWIGTQADEAFQEAWQSDSGGLLPETRAKVYLSPKLWYLRLTVIQTQDLHLGSAKARNPELYVKAQLGAQLFKTGRTSVGSTSASSANPTWNEDLVFVAAEPFEPFLTVTVEDVTNGQSVGHAKIHVASIERRTDDRTELKSRWFNLVGDDTKPYTGRIHVRVCLEGGYHVLDEAAHVTSDVRAAAKQLAKAPIGLLEVGIRGATNLLPVKTRDGTRGTTDPYVVAKYGPKWVRTRTILDRFNPRWNEQYTWDVYDPCTVLTIGVFDNGRYKHDEAAGKQGKDVRVGKVRIRLSTLDTNRVYLNQYSLTVLLPSGAKKMGEIEIAVRFSCSSWLSLIQAYTSPMLPRMHYVKPLGPAQQDILRHTAMRLVTARLTRSEPPLGQEVVQFMLDSDTHMWSMRRSKANWFRVVGCLTHVATLARWIEGIRTWVHPPTTILMHVLLVAVVLCPHLVLPTIFMYAFLILVFRFRYRQRVPLNIDSRLSYVDMVGLDELDEEFDGFPSTRSQDVVRIRYDRLRALAGRAQTLLGDFAAHGERLEALWNWRDPRATGIFVVFCLVASLVFYVIPFKVFVLGFGFYYLRHPRFRDDMPSVPVSFFRRLPSFSDQIL >Potri.006G111100.1.v4.1 pep chromosome:Pop_tri_v4:6:8633627:8643018:1 gene:Potri.006G111100.v4.1 transcript:Potri.006G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111100.v4.1 MLQLRTCECVFVLSYCYLVLLTVAQVSSPSEVNALLAVKNSLIDPMKHLSNWNKGDPCAFNWTGVFCSDSTGTDGYLHVQELQLMNMNLSGSLAPELGQLSQLKILDFMWNELTGSIPREIGSLSSLKLLLLNGNKLSGSLPDELSYLSKLDRLQVDQNNISGPLPKSFANMSSVRHLHLNNNSISGQIPPELYKLSTLFHLLLDNNNLSGYLPPELSKLPEIRIIQLDNNNFNGSGIPATYGNLSRLAKLSLRNCSLHGAIPDLSSIPNLYYLDLSENNLSGSVPSKLSDSMRTIDLSENHLSGSIPGSFSNLPFLQRLSLENNLLNGSVPTDIWQNVTFTKSARLTIDLRNNSLSTISGALNPPDNVTLRLGGNPICKSANIANITQFCGSEAGGDRNAERSRKSTMTCPVQACPIDNFFEYVPASPLPCFCASPLKVGYRLKSPSFSYFDPYVLPFELYVTSSLNLNPYQLAIDSYFWEEGPRLRMHLNLFPPANNMHSNTFNVSEVRRIRGIFTSWQFPGDGFFGPYELLNFTLVGPYAGMHFDRKGKSISKGVLVAIILGAIACAIAISSVLTFLIAGRYARNLRKLSRRHLSSKASMKIDGVKGFTFKEMALATDNFNSSTQVGRGGYGKVYRGILSDNSIVAIKRSEEGSLQGQKEFLTEIKLLSRLHHRNLVSLVGYCDEEEQMLVYEFMPNGTLRDWLSDKGKGTLKFGTRLSIALGSAKGILYLHTEAQPPVFHRDIKATNILLDSILNAKVADFGLSLLAPVLDDEGNLPNHVSTVVRGTPGYLDPEYFLTHKLTDKSDVYSLGIVFLELLTGMQPISHGKNIVREVNMAYQSGIMFSIIDNRMGAYPSECVERFVVLALDCCHDKQDKRPSMQDVVRELETILKMMPETDAIYTESTPTYSGKSTPTYSGKSASSSSFYSSRDPYGSSSLLGSDLTSGVVPTITPH >Potri.013G021700.1.v4.1 pep chromosome:Pop_tri_v4:13:1405728:1410521:-1 gene:Potri.013G021700.v4.1 transcript:Potri.013G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021700.v4.1 METPKTQQQYINDLSISRIREKQRQELENLTLATQPIKTLTYFVLAIVQCLQRLFAKSGWLLLLISAFIGSIGILVITTGSPYQEHVHEVVNYLRFGLWWLALGVASSIGLGSGLHTFVLYLGPHIALFTIKAVQCGRVDIKSSVYDTIQLYSGPSWLDRNCTAFGPPMYSSLEGSRIPLTSILPQIQLEAVLWGIGTALGELPPYFISRAASMSGSKLEVMKEFESFSAEDHNTVMATHMKQIKNWLLSHSQYLNFFSILVLASVPNPLFDLAGILCGQFGVPFWKFFLATLIGKAIIKTHIQTAFIISVCNHQLLDLIENELIRMLSFVPGLATVLPKLIAKLQIIRNKYMAPTPPVSNVEVKKWDFSFASIWNTVIWLMLISFFFKIVRETAQSFLKERHEKELTLAKSSSASSPSSSKNT >Potri.002G248500.1.v4.1 pep chromosome:Pop_tri_v4:2:23872526:23875634:-1 gene:Potri.002G248500.v4.1 transcript:Potri.002G248500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248500.v4.1 MESEYFFNAGVPPQALLFEPTSTSSSMPVWQSLSSPMEMQDNCSARRLFNSNWEKSTDHSPHFESSLSSMVSSPGVSNCNVSSESFMVRELIGNLGNIDNSNNPGEISPHSQPMLAASYITAANNSANTSCYTTPLNSPPKLNMPVMDQFSKEHLNIPSLGKPMGLNSSVAEFTADPGFAERAAKFSCFGSRSFNGRISQLGLNNAEMANGCNPLMGNGKLARVASSPLLKAVGSQKGNKSTPLQDRSELTNSQESSVSEQIPSGEAGLKASNELNSRKRKALSKGKAKQSASNPPASATKDAETDDNSNTKRIKPNEGEENENSPVKAEEEPKGSGDDIQNKANSRPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLASVNTRLDFNMDTLISKDIFQSSQPLPHPIFPLDSSAPAAIFSHQQQQNPPLHSNISNGAVTHCSVDPLDTTGLCQTLNAQLPPLDGFTQNAHQYPTFCEDDLQTIVQMGYGQNPNLETFLPQNFHGSNQVSHMKIEL >Potri.006G208300.2.v4.1 pep chromosome:Pop_tri_v4:6:21621813:21634567:-1 gene:Potri.006G208300.v4.1 transcript:Potri.006G208300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208300.v4.1 MEVKLQHSSFLNSSSSNPCLSRNSIVSSISCKRVAHLDYLLINWGNSRKRYPMKHTLWRNGNHSLDYQSIGYKKLNLTHMKTRRTGHLFPLASGDDGVTVNGTPSASANSDVEDMRVQLNQSLQGEDSGDKLVQSLHDAARVFEVAIKEQGLLSKFSWLSTAWLGIDRNAWVKTLCYQASVCSLLQAAHEISSRGDSRDRDVNIFVQRSLLRQSAPLESLIRDKLSAKQPEAYEWFWSKQVPIVVTSFLNYLEEDPRFTAATAVFGKGMSSSPGNGSDVSLLLLALTCNAAIMKLGPTKVSCPQFFSMISDITGRLMDMLVDFIPVRQAYHSIKHIGLRREFLVHFGPRAVACRVQNDCGSEEVIFWINLVQKQLQRAIDRERMWSRLTTSESIEVLEKDLAVFGFFIALGRSTQSFLSANGFDILDDPIEGFIRYLVGGSVLYYPQLSSISSYQLYVEVVCEELDWLPFYPGNIGTPNLSHGHKNKQKDPPNAEAIPQVLYVCSHWIQSFIKYSKWLENPSNVKAARFLSRGHNKLIECMEELGMSRRMTESNINYSVEITGPAINLTTGKETDSFNKALESVEGALVRLEKLLKELHVSSSNSGKEHLKAACSDLEKIRKLKKEAEFLEASFRAKAASLQQGEDESSLQTSISEQQQYFKGKGRKNANVRLDRSKSKFQGAWNLLARSPTKKPGPDAAVVDASGDANFGQTTSTGIGESESNEIHRFELLRNELMELEKRVRRSTDQYENEEDIKVTDGDEAASSQLIQVEMSENVIEKSIVKLKETSTDVLQGTQLLGIDVAAAMGFLKRVLIGDELTEKEKKVLLRTLTDLASVVPIGVLMLLPVTAVGHAAMLAAIQRYVPALIPSTYGAERLDLLRQLEKVKEMETSELDAKENGEILS >Potri.019G063500.2.v4.1 pep chromosome:Pop_tri_v4:19:10387093:10392361:1 gene:Potri.019G063500.v4.1 transcript:Potri.019G063500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063500.v4.1 MADSRQPLLSPRGNQNESDDQLLSLTRSITNNSFASSFVADADDIQPINSVRDFFREFCRESVKLWFLAAPAIFTTVCQYSLGAITQVFAGHVGTLALAAVSIENSVIAGFSFGLMLGMGSALETLCGQAFGAGQLDMLGIYMQRSWLILNTTALLLSLVYIFSAQLLKLIGQTASISKAAGMFSIWMLPQLFAYAFNFPMAKFLQSQSKIMVMAVISVVVLILHTVFSWLLMIKLKWGLVGAAVVLNASWVIIDISQFVYIISGTCGRAWSGFSWKAFQNLWSFVRLSLASAVMLCLEVWYFMALILFAGYLKNAEVAVDALSICMNILGWTVMVALGMNAAISVRVSNELGAAHPRTAKFALVVAVVSSFIIGLILAAILLIFRKSYPSLFSSDLDVQELVQDLTPLLALCIVIDNVQPVLSGVAIGAGWQAVVAYVNIACYYIFGIPLGLILGFKVKLGVKGIWYGMLSGTVLQTIILFLIIYKTNWNKEASIAEDRIRKWGGDTDIKENSVKRLTATET >Potri.006G267201.5.v4.1 pep chromosome:Pop_tri_v4:6:26190921:26196071:1 gene:Potri.006G267201.v4.1 transcript:Potri.006G267201.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267201.v4.1 MQTSDHKAPHVPPDKIGLEAQNQSKVKDEPKSGRYKYSDKGPPSRQTSNHRAPHVLSEEIGLEAQNRFEGKAEPKSRRYKYSARGAPPKQTSDHRAPHIPPDKIGLEAQNQSEVKDEPKSGRYRYSDKGAPSRHTSDHKAPHVSPDEIGLETQNRFEMKVKPKSGLYKYSASWPPRHTSDHRTPHVPPDEIALEPQNRSQMKVELKSGRYKDSDMGVPPRHTSNHRAPHMSPDEIDLEALNRCEVKVEPLEKIALQVQNQSEVKVEPKLGPYICSVGWPPRHTSDHKTPRVPPDEIALEPQNQFEVKIESKLQLSAQDQINEKTREWALLITSVLLEAMSAVFDQVGYALMGMVISFLALFLSSLDLICKARKEGVQRSQPFGGLLQYFGLAAAVWQCFYSTIEYLYTRKNQQNPIKMCLLPFIFALCVLIFRLIKCRT >Potri.004G107100.1.v4.1 pep chromosome:Pop_tri_v4:4:9433032:9447048:1 gene:Potri.004G107100.v4.1 transcript:Potri.004G107100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G107100.v4.1 MADNTQKMSYQAGETKGQAQEKASNLMDRADNAAQSAKESVQEAGQQVREKAQGAVEGVKNATGMNK >Potri.001G302201.1.v4.1 pep chromosome:Pop_tri_v4:1:31272423:31273752:1 gene:Potri.001G302201.v4.1 transcript:Potri.001G302201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302201.v4.1 MVSKFSVFLILFIEKILAVSVFFFSCFNRLVSLGSIMMCEVELKLHQNSVVVNCSESQSLVLNIEGLDFGSWLVHGICMECTERSICCLIKGSLIIKETIQ >Potri.017G125900.1.v4.1 pep chromosome:Pop_tri_v4:17:13024006:13026724:1 gene:Potri.017G125900.v4.1 transcript:Potri.017G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125900.v4.1 MVSSFGVRKGAWTEEEDILLRKCVEKYGEGRWCQIPLKAGLNRCRKSCRMRWLNYLKPNVKRGQFSVGEVDLIIRLHKLLGNRWSLIAGRLPGRTANDVKNYWNTNLRKKVVSSTREAQTEPEPKAITKANIIKPRPHKFKSLCWLGGKGIPFFNGGFQYGYDLCKPCSTSALSPSDIIEVESMWGESLLDDKEINISNNKRCLGSGSEADREPINSLFVEDNAPEGILIADVFCCEQGQHCWSDLSFDADLWNLVNT >Potri.007G023900.1.v4.1 pep chromosome:Pop_tri_v4:7:1835353:1840184:1 gene:Potri.007G023900.v4.1 transcript:Potri.007G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023900.v4.1 MSASRLSVAAVAAAAAAVSTFQSRAYADSSFRFNPFSSSPSQKQQQQQEEDQTANPKSDAKPEPEETKGAGFDPEALERGAKALREINSSPHAKQVFDVMRKQEQSRLAEVAAEKSHYEVIQAQIDIDRQRKLHEEQRNLIQQQAQAKAQMLRYEDELARKRMQTDHEAQKRHNVELVKMQEESSIRKEQARRATEEQIQAQQRQTEKERAEIERETIRVKAMAEAEGRAHEAKLTEEHNRRMLVERINGEREKWLAAINTTFSHIEGGFRTLLTDRNKLIMTVGGATALAAGIYTTREGSRVIWGYINRILGQPSLIRESSMSRLPFSRVISQAKNKAMKYSTAAGTASPLESKNGFRNIILHPSLHRRIEHLARATANTKTHQAPFRNMMFYGPPGTGKTMVAREIARKSGLDYAMMTGGDVAPLGAEAVTKIHEIFDWAKKSKKGLLLFIDEADAFLSERNSTHMSEAQRSALNALLFRTGDQSRDIVLVLATNRPGDLDSAVTDRIDEVIEFPLPGEEERFELLNLYLRNYLSNEGDSGSSKGSLFKKKTQKITIKDISEDVIREAAKKTEGFSGREIAKLMAGVQAAVYGRPDCDCVLDSQLFREIVDYKVAEHHQRLKLAAEGDHPV >Potri.010G121025.1.v4.1 pep chromosome:Pop_tri_v4:10:13915276:13915893:1 gene:Potri.010G121025.v4.1 transcript:Potri.010G121025.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121025.v4.1 MAPVPLLVFFALFHPLLICASREDGLAFKKCPPFNCGKLGEIRFPFTNNTSPEICGPYVVDGCNDDSQRIQLVRGGKWFELHTISQANTISITDEELRGHLNSSSCDSFNNLSLPASRPNLYIREISNLTLFKCDLSVNFPHRLEFNYTGCKNFSMYYNRNTSLPSPPPTCSILQLPVNKAESYGDIFRLLTATFSIEVFVSHHC >Potri.007G027600.1.v4.1 pep chromosome:Pop_tri_v4:7:2112470:2116752:-1 gene:Potri.007G027600.v4.1 transcript:Potri.007G027600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027600.v4.1 MKCPYCSATQGRCATTTTTNRCITECTSCGRVVEERQFHPHHLFHLRAQDTPLCLVTSDLPTLHHHHQNEEDPFEPTGFITSFSTWSLEPNPVSLRSSLSFSGHLAELERTIELSASTPASSSNVVVDNLRAYMQIIDVASILGLDCDISDHAFQLFRDCCSATCLRNRSVEALATAALVQAIREAQEPRTLQEISIAANVPQKEIGKYIKILGEALQLSQPINSNSISVHMPRFCTLLQLNKSAQELATHIGEVVINKCFCTRRNPISISAAAIYLACQLEDKRKTQAEICKVTGLTEVTLRKVYKELLENWGDLLPKNYTPAVPPEKAFPTTTITSGRSSAPKIDPVELVSSSSEKDKQLESKSNKPSELARGKEDAENNGNSRGIQPPPWQNFRQPWLQFVTSGVRMVGDTNQNLARVDINESQPRRQEFEEKADKQKMDKDPTASAWPNQLSSSPASGASTISWPFRSPTLSGPSPIVQPPPKLTPGYAELKGIGSQNGGKTGNNSGDNK >Potri.010G037200.2.v4.1 pep chromosome:Pop_tri_v4:10:6663717:6664842:-1 gene:Potri.010G037200.v4.1 transcript:Potri.010G037200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G037200.v4.1 MPRCSMRLVQWKKNVKKASSLVFKKPDSLSVGRNLEETKTESKRERERERDTVLMEEAKGVVKHVLLAKFKEGIPSDEIEKLIKGYANLVNLIEPMKAFEWGTDVSIENMHQGFTHIFESTCESKEGIAEYIGHPAHVDFGGLFLPALEKVIVFDYKPTVARF >Potri.002G233900.1.v4.1 pep chromosome:Pop_tri_v4:2:22689646:22692011:-1 gene:Potri.002G233900.v4.1 transcript:Potri.002G233900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233900.v4.1 MALYLSSPSQTGCFLKYTFLPSSTPSELHANPSLTCRKPRYKRLSISCSAPKIVRDVSLDKHVVRQSRIRFVQKLKTLLLSKPKHYIPLHILSKCRSYLSLTKPCSIRSMIYRYPSIFEIFPMPTPPLPLNATKPYYQLCVRLTPAAAALAAQELRLQSEISTDLANKLQKLLMLSSHRRLVLSKLVHLAPDLGLPANFRSRLCNDHPDKFRTVDTSYGRALELVSWDEQLAIPLPAPKVSRELIVDRPLKFKQLTLRKGLNLKRRHRDFLVKLGDLPDVCPYNTCLEEFAKESVAAEKRACAVVREVLAMTVEKRTLIDHLTHFRKEFGLSNKLRGMIIRHPELFYVSLKGLRDSVFLVEGFDENGKLLENDRTSVIKDQLMKLVSEAKRLRRERRKRGKYGNDTGDSNDVDENDELLDAFDDYDDGFENVFDSEDSGFDYEFIDKDDEYNEFDGYGENGELWTADTSFDGTSDGGGSREPW >Potri.017G029300.1.v4.1 pep chromosome:Pop_tri_v4:17:1993675:1995882:-1 gene:Potri.017G029300.v4.1 transcript:Potri.017G029300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029300.v4.1 MRVEIVSRKFITPSSSTPPQLRSCEISALDQLLPPVYVGLTYFYAADENIQGAKHSERGKQLEESLSKILTLYYPVAGRYDEEKLLIDCNDKGVEYLEAEVSGRLSQILNGELQPEQLNQFLPYPVASPTSPLVSVQVSTFECGGLAVGLRISHKICDAATLTSFVNGWATTSRVGIDEVPRPSFALPSLFPTRETTQILKATPVKNGARVVTRTFVFSRSAVANLQVIARTRDDDLEGGKGQPSRVQVVTAVVWRALIKVAKLKHGYLRPSALIHGVEMRKRSALPLPPNCFGNMLRVSMARFEADESKMGLPDLVIPVRQAIRDAVSDCRNAISYDDLLLGAAGSFSEWNEEASKGEIDVYTFTSWCGFPIYEMDFGWGKPAWVSSPHKPVPVVNLLDTKDGGVEVWMTAEEKGMILFQQDPEIIALTDSRLKNNV >Potri.017G063700.1.v4.1 pep chromosome:Pop_tri_v4:17:5379866:5382850:-1 gene:Potri.017G063700.v4.1 transcript:Potri.017G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063700.v4.1 MFKNQLQELAQRSCFNLPAYACIREGPDHAPRFKASVNFNGEIFESPSYCTTLRQAEHAAAEVALNVLSSRGPARSLTARVLDETGIYKNLLQETAHRAGLNLPAYTTVRSGPGHVPVFTCTVELAGMNFTGEPAKTKKQAEKNAAIAAWSALKRMPNLDSLSSRETDTREEQDQAVVARVLSNFRSKDEVKHAKNRDHNQARRRMVRGHRDSICASSSSTSNNSLQYPHWRLLDLILDSASDGSTQKQNSSFMSLLPPPPPRTTSKILPPTSHIDNPSHFILNRPIPLQVKGKSQVQAPEIPVALEEHLKDEEEWLGTKSDVIKKPIEKEGTSNSNPSSLYASSSIYRPFPFSNTGKPVTSLLDNTSQHESTHISSRIFGSPNPSPMASTSIHTPSNTHIPRPMFTGGFNPHRIAPAVQIRSVIPVCAAPPSPIRPPQSPSATNAPPRPATTGVAEVSMFKKTESNQTQLSSTEFKNKLQLSSSKK >Potri.018G087800.2.v4.1 pep chromosome:Pop_tri_v4:18:10559991:10562181:-1 gene:Potri.018G087800.v4.1 transcript:Potri.018G087800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087800.v4.1 MEMGGIARARSISGGFRIKTVVHCSARSFSRSFSQRVDEGSGVVRVGKSLPWLNSKKVKEAKGLEIGASGKSSNSYRGESVKRLEKVSGDSSWVNSRKRLEKGTVAETGYSSWEESKKRLEEVAVGKTERSSWEDIAEAASGRENIHKVWNKESTRRMVGAAKNKPSGYGYNEREDGVEGGEAEEDLEVVDDPRWDKIKNRFRGRVGVRGQTEKPDFQRWNRQDNWGRKTWKEATEFTVPKIVGEGVFGVGPVLAALSTGRREFYALYIQEGLDLSGNNRKRKDKKGFEKVLRMAKKIGLSTKEVSKHDLNMIVDNRPHQGLVLDASPLEMVKIQELDAVLPDEEKGSLWVALDEVTDPQNLGAIIRSAYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLVSSAENGWRVLGGSVSSKAVSLSEVLPGQPTILVLGSEGTGLRPLVERSCTQLVRIPGNIPVDATVGGGDEVKDEEINLECSSAEFRSFLAVESLNVSVAAGVLLHHLIGNNNENRSIGHTSTVVLE >Potri.018G087800.3.v4.1 pep chromosome:Pop_tri_v4:18:10559431:10562157:-1 gene:Potri.018G087800.v4.1 transcript:Potri.018G087800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087800.v4.1 MSAMYSNLAKGQLFPLATRVSLKPRCLKPQFLPIGCHSKPSLNPLCAHFTTLSHGFGHKAHKVRNFVRPTKTNAEFQWKDMEMGGIARARSISGGFRIKTVVHCSARSFSRSFSQRVDEGSGVVRVGKSLPWLNSKKVKEAKGLEIGASGKSSNSYRGESVKRLEKVSGDSSWVNSRKRLEKGTVAETGYSSWEESKKRLEEVAVGKTERSSWEDIAEAASGRENIHKVWNKESTRRMVGAAKNKPSGYGYNEREDGVEGGEAEEDLEVVDDPRWDKIKNRFRGRVGVRGQTEKPDFQRWNRQDNWGRKTWKEATEFTVPKIVGEGVFGVGPVLAALSTGRREFYALYIQEGLDLSGNNRKRKDKKGFEKVLRMAKKIGLSTKEVSKHDLNMIVDNRPHQGLVLDASPLEMVKIQELDAVLPDEEKGSLWVALDEVTDPQNLGAIIRSAYFFGASGVVLCAKNSAPLSGVVSKASAGSLELMELRYCKNMMQFLVSSAENGWRVLGGSVSSKAVSLSEVLPGQPTILVLGSEGTGLRPLVERSCTQLVRIPGNIPVDATVGGGDEVKDEEINLECSSAEFRSFLAVESLNVSVAAGVLLHHLIGNNNENRSIGHTSTVVLE >Potri.002G237600.1.v4.1 pep chromosome:Pop_tri_v4:2:23004647:23009009:-1 gene:Potri.002G237600.v4.1 transcript:Potri.002G237600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237600.v4.1 MSRTLAAILGGAAGVMALAGVVIFVLWCLYHKKTVSRTSETGSSETSIQVGRHAGIELSSQEARRFEMEELGLATKGFSEKNLIGLGKFGEVYKGLLNNGMIVAIKKRPGAPSPEFVDEVRYLSSIQHRNLVTLLGYCQENNLQFLVYEYISSGSVSNHLYGVGQTLEGKLEFKNRLPIALGAAKGLAHLHSQTPRLLHKNFKTANVLVDENFIAKVADAGLRNFLGRVDIAGPSTQVTADEIFLAPEVREFRQFSEKSDVFSFGVFLLELLSGKEATEPSPETSQNLVEWVQNTQDYTNFSSIVDHRLGSSFTAEGMEEFIQLMLQCVEPSSDRRPLMSYVVMELDRILEKERNLTTVMGEGTPTVTLGSQLFRATR >Potri.010G107400.1.v4.1 pep chromosome:Pop_tri_v4:10:12855222:12857905:1 gene:Potri.010G107400.v4.1 transcript:Potri.010G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107400.v4.1 MNKLFQFRHEYLSFSIKSCYKNPKFLLPISHLHSFSKTQDLDFIFEEVEVLDSSKPTNQKNQLPSKTNEPSDDSHPSERMESTVQISHPWPEWVDLMELLLKRGYFEAGGNPVGNKELGSKDASCIRTACLNFARDRFGLIRYFSRKDIGVIAGCGCPSIDRKVVNSGKRLRAHVGINEGHVCSSCNLRGDCERAYVKAREDEGGRTVDVMRILLTYGLDYVSGTVENKPCQKNKMVKESVRRLLKELVGLSNDELVSDLPNGKPLKRGLPLPNNSTTQDKGPINVPMKPGDWLCPECNFLNFARNVRCLRCDGLHHERLKHLCEDQDHLPLKKGDWICAICNFLNFAKNTRCLQCKEKPPKRHLNPGEWECESCNYINFRRNMVCLKCDHRRPKASNCLKSSTELEHGKGGNPEQSRLKFLHSGNEAADHNFVGVHGTHHNRGADVWRFVEEEREDNHSISSNEGVRFVDFPIAGGKSSLSQNAQKRERWKLEMLERSKGGVHVEEDEKEFEYANTQRRFKYLESTDDEDMAEWFGHGGKKET >Potri.006G147000.1.v4.1 pep chromosome:Pop_tri_v4:6:12580459:12584614:-1 gene:Potri.006G147000.v4.1 transcript:Potri.006G147000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147000.v4.1 MTVVAVAVTGSEGVGGRGSRRAVRWAAENLSAKAKRLTLVHIVPRITCIPSPCGGDGIVIEELDENVVALYLDEMKMKLEDDIFLPFKKLCKSRVEKVETVVIEDDNPATGLLRYVRESGIDSLVLGSCSSNCFLRKLKGPGVPTTVLKCAPETCNVHVVSRRKIISISATSSASIDSKVEGTFESSSVTNLSHLDPHMGLSMTLDVGSCNSLASRESDQAEVEQLQLELQNTIMMYKRACEELVHTQNKVQLLSLECLEEENKVNAALEREETLKRIAAEEKARYLQAIEEVEEAKDLLAKEANGRQIAERNALNESLEKQKIVDAVFSNDRRYKRYTKDEIELATGFFSDSNVIGEGSYGKVYKCNLDHTPVAVKVFCPDAVNKKQEFLREVEVLTQLHHPHLVLLVGACPDNGCLAYEYLENGSLEESIFCRNGKQSLPWFVRFRIVFEVACGLAFLHNSKPDPIVHRDLKPGNILLDRNYVSKIGDVGLAKLISDVVPDNMTEYRDSILAGTLNYMDPEYQRTGTVRPKSDLYAFGVTVLQVLTARPPGGLILTVENAIMNGSFTDILDKSVKDWPLAETEELAKIALKCSSLRCRDRPDLDAEVLPVLRRLVDVAAASVEVERGNIYAPSHYFCPILQDLMDDPYIAADGFTYEHRAIKAWLDRHNISPVTKLRFQHSILTPNHTLRSAIQEWRSRVIMQVP >Potri.006G147000.3.v4.1 pep chromosome:Pop_tri_v4:6:12580408:12584589:-1 gene:Potri.006G147000.v4.1 transcript:Potri.006G147000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147000.v4.1 MTVVAVAVTGSEGVGGRGSRRAVRWAAENLSAKAKRLTLVHIVPRITCIPSPCGGDGIVIEELDENVVALYLDEMKMKLEDDIFLPFKKLCKSRVEKVKWVLIFSLFLIFVFGVLNSNAFQVETVVIEDDNPATGLLRYVRESGIDSLVLGSCSSNCFLRKLKGPGVPTTVLKCAPETCNVHVVSRRKIISISATSSASIDSKVEGTFESSSVTNLSHLDPHMGLSMTLDVGSCNSLASRESDQAEVEQLQLELQNTIMMYKRACEELVHTQNKVQLLSLECLEEENKVNAALEREETLKRIAAEEKARYLQAIEEVEEAKDLLAKEANGRQIAERNALNESLEKQKIVDAVFSNDRRYKRYTKDEIELATGFFSDSNVIGEGSYGKVYKCNLDHTPVAVKVFCPDAVNKKQEFLREVEVLTQLHHPHLVLLVGACPDNGCLAYEYLENGSLEESIFCRNGKQSLPWFVRFRIVFEVACGLAFLHNSKPDPIVHRDLKPGNILLDRNYVSKIGDVGLAKLISDVVPDNMTEYRDSILAGTLNYMDPEYQRTGTVRPKSDLYAFGVTVLQVLTARPPGGLILTVENAIMNGSFTDILDKSVKDWPLAETEELAKIALKCSSLRCRDRPDLDAEVLPVLRRLVDVAAASVEVERGNIYAPSHYFCPILQDLMDDPYIAADGFTYEHRAIKAWLDRHNISPVTKLRFQHSILTPNHTLRSAIQEWRSRVIMQVP >Potri.001G116800.2.v4.1 pep chromosome:Pop_tri_v4:1:9494374:9497884:1 gene:Potri.001G116800.v4.1 transcript:Potri.001G116800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116800.v4.1 MASLSSPPTQNTIVQPGRIRVIKEGSRGQVGGGPVVYWMFRDQRLQDNWALIHAVDQANRSNVPVAVAFNLFDQFLGAKARQLGFMLRGLCQLQSHIEETLQIPFFLFLGEAEETIPAFLKDCGASLLVTDFSPLRQFRTCQDEICKRVSDSVTIHEVDAHNVVPIWVASEKLEYSARTLRGKINKLLPEYLIDFPMLQLPKNKWVAATKQSIDWNDLIDNVLRKGAEVPEIKWCEPGEDAAMEVLMGSKDGFLTQRLKNYSTDRNNPLKPKGLSGLSPYLHFGQISAQRCALEARKVRNLSPQSADAFLEELIVRRELADNFCFYQPNYDSIHGAWEWARKTLADHASDKREHIYSKEQLEKAQTADPLWNASQLEMVCHGKMHGFMRMYWAKKILEWTRGPEEALAISIYLNDKYEIDGRDPGGYVGCMWSICGIHDQGWKERPIFGKIRYMNYAGCKRKFNVDGYITYVKRIVGDIKKRKAENELHKTMKELPS >Potri.013G081400.1.v4.1 pep chromosome:Pop_tri_v4:13:7300174:7304713:1 gene:Potri.013G081400.v4.1 transcript:Potri.013G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081400.v4.1 MEESPGTAADGGIGPIVWVRRRNGSWWPGHIMEADELAEYNLTSPRTGTPVKLLGRDDASVDWYNLEKSKRVKAFRCAEFSDCIKRAESALGMPIKKREKYARREDAILHALELEKRLLIKQGKLGVAQGSPRSKSSGSVKSELGAFSEGLGSNIGKPEDVKWNLPSREVDTEIPGSPLPLKAKDGDQTVLEDHSEEMLRTRGSQDFRLRTSLKRKLSPSVDLDGSWRRPIADHKYEDPPNSAPLVEITAHANGLEKMGDIFQAKRRGCSNLPADSSDSTDDKELPPSQNKMSSSCFEDRVRSHAGSSNEEESSSGSMEDIESDFSGSNSSESVSDSSQTEPDMDKEMVVFSDVAVPIEAEQDVLGQPDAPAQHASTCSDESDGSMSSDDMDNLYHDDLFLANEAVSKWQLKGKRNIRYLTQKPVNMEYGKGSNGASHGTFYRMKGSISSQRAYGSHDANLGRKYIGSKPNCPGNRGYSYSSRLASRDQNNTGRNMIEWEGMGLEDQHTFRRHWEDRGEHSNPIFAGHHHFGGRARSMLIDVDLKVKSSYQKDRVPIVSLISKFDGKAIIGHPILIEAVEDGSSETYFPLNDYYSNEAVDHDESTSLPPAWRTARRTNSRVPRPHLSSVLGVDAGAEDIPFMDQERTVPFRKSSAGSFSYKANLVRKSLPHISRSSADKKLPRKLPKKVSLPSNQKTRTLSSIGIKQNSVSKPMHDTSNGQMDGLIKPETSAPTTVACIPVKLVFSRLLEKINRPPSKTAIKVVVLNMDRDRQPS >Potri.016G128200.1.v4.1 pep chromosome:Pop_tri_v4:16:13145883:13148428:1 gene:Potri.016G128200.v4.1 transcript:Potri.016G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128200.v4.1 MSNSEHTPPKKDVESPATDNTTPAAETGTGFGVAPITRRWRREDMLKRGSLALRGLALLFSLLAFIIMASNKHGDWKDFDKYEEYRYLLAIAILSTFYTAGQVLRHVQELSTGKQMLEKRTSAMFDFFGDQIVAYLLVSSASSAVPLTNRMREGADNIFTDSSAAAISMGFFAFISLALSALISGYKLSTQSYI >Potri.017G001600.1.v4.1 pep chromosome:Pop_tri_v4:17:97035:99766:-1 gene:Potri.017G001600.v4.1 transcript:Potri.017G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001600.v4.1 MKGLLNTFPQRSFLKPFIFSPKTSYNLPVMKVGTGFMYGRLEVGFSRGTKTNCVYLSRDSVVSSEGVVDSDKDKEDEDWKLEFLGELDPLGCQASKKRKKQQNSGLLKDTDGMDWCLRARKVALKSIEARGLSQRMEDLINVKKKKKKRNKKKLVGKVKKVKDFEEDDLDFDLDEGVELEEGDADLKRMVSMLGDGMFQERKEKTMEEFLQRLSQFSGPSDRKKEINLNRAIVEAQTAEEVLEITAEMIMAVGKGLSPSPLSPLNIATALHRIAKNMEKVSMMNTRRLAFARQKEVSMLVGIAMTALPECSAQGISNISWALSKIGGELLYLSEMDRVAEVALTKVGEFNSQNVANVAGALASMQHSAPDLFSALSKRGSEIIHTFQEQELAQVLWAFASLYEPADSLLDALDTVFKNANQLECSLKTKTSYSDEERSNEDSGDLDAEGPLRSPVLSFNRDQLGNIAWSYAVIGQLDRIFFSNVWRTLSHFEEQRLSEQYREDIMFASQAHLVNQCLKLEYPHLRLSLGDNLEEKIARAGKTKRFNQKTTSSFQKEVARLLVSTGLDWVREYVVDGYTVDAVVVDKKIALEIDGPTHFSRNTGMPLGHTMLKRRYIAAAGWNVVSLSHQEWEEIEGSYEQQEYLREILKEHIGGDSSSCSKDE >Potri.003G175800.1.v4.1 pep chromosome:Pop_tri_v4:3:18276969:18280559:1 gene:Potri.003G175800.v4.1 transcript:Potri.003G175800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175800.v4.1 MEMAAAKAASGVVVSRPLTFVTGNAKKLEEVRAILGQTVPFQSLKLDLPELQGEPEEISKEKARLAAVEVKGPVLVEDTCLCFNALKGLPGPYIKWFLQKIGHEGLNNLLMAYEDKSAYALCAYSFALGPDAEPITFLGKTLGKIVAARGPNDFGWDSIFQPDGYEQTYAEMPKDEKNKISHRSRALDLVKSHFAEAGYIFETNDSKKSEL >Potri.013G073800.2.v4.1 pep chromosome:Pop_tri_v4:13:6152933:6156338:-1 gene:Potri.013G073800.v4.1 transcript:Potri.013G073800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073800.v4.1 MTMKLFDAHCHLQDPRILNKTPQLIATALDTGVVRFAVNGVSEKDWNLVKEMGESYPSVIPCFGLHPWFIEERTPNWFNTLKEFFQITPSAAVGEIGLDKGSHGKKIDFNDQVQVFRRQLELAKELNRPVSVHCVHAFGDLLEIMKSTGPFPAGVILHSFLGSAEMVPEFAKLGAYFSFSGFLMSMKKEKATKMLKAVSSDRILLETDAPDALPNGKFGSLFLVDGGIFHPEGENSSSNADSLHDGDSHPSKDASALPRETPNHPANIHNVLSYVASLLDITKQELAELSYANAVRLFSYEGSKLLEK >Potri.013G073800.4.v4.1 pep chromosome:Pop_tri_v4:13:6152756:6156270:-1 gene:Potri.013G073800.v4.1 transcript:Potri.013G073800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073800.v4.1 MTMKLFDAHCHLQDPRILNKTPQLIATALDTGVVRFAVNGVSEKDWNLVKEMGESYPSVIPCFGLHPWFIEERTPNWFNTLKEFFQITPSAAVGEIGLDKGSHGKKIDFNDQVQVFRRQLELAKELNRPVSVHCVHAFGDLLEIMKSTGPFPAGVILHSFLGSAEMVPEFAKLGAYFSFSGFLMSMKKEKATKMLKAVSSDRILLETDAPDALPNGKFGSLFLVDGGIFHPEGENSSSNADSLHDGDSHPSKDASALPRETPNHPANIHNVLSYVASLLDITKQELAELSYANAFRNKQVCP >Potri.016G053800.1.v4.1 pep chromosome:Pop_tri_v4:16:3543194:3547306:1 gene:Potri.016G053800.v4.1 transcript:Potri.016G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053800.v4.1 MLKQGNFLFSSPFLLCVFLWFFLIHIVVSHIPLGSKLSVEENNLWVSSNGDFAVGFVNHSEQPSQCSVGIRFNSKSIPFPKQTVVWVAGADVTVGNKSFFQLSQNGELVLVDSLREVTVWTSNTSQLAVASALLRNDGNLVLLNRKKDVVWQSFDNPSDTLLPGQNLPVHKTLRAASRNSVSSYYSLHMNASGQLQLKWESDVIYWSRGNPSSLNLGVVLTSGGVLQLVDHNLNPVWSVFGEDHNDSVNFRLLKLDIDGNLRIYSWVEATGSWRSVWQAVENQCNVFATCGGHGICVFNTSGSPECRCPFKTTSSSNLKCFALNCDSNHSMDTYEHTFLYGIYPPNESITITSLQQCRELCMQDPACTAATFTNDGTAQCRMTTSPYFSGHQNPSLSSISFVKTCSDPIAVNPHNSGSSPSLSPVKRSHGLCLSCQIGGAASGTLLLFVVVQLGIGYFIYRRRNHILRKAALAYTGRNSKGVMMLPFTEIKDITGNFKHQIGPGMYRGALSNQQPVAVKDLDETIEERKFRAAVSKIGSIHHKNLVKLNGYCCELGHRYLVYEYVKNGSLDKCIEDDELNQRLTWRRRVDICLTVAKAICYLHAGCREFISHGNLKCSNVVLDKNYEAKVSEYGLEMVRPEESYGGEKDVADFGKMVLILITGRPEVKDLWEWTYEEWIQGHPERVIDKRLDDGVDLKELERVLRIAFWCLQSDEQMRPSMSEVVKVLEGSLTVDPPRPPFSQRLSEEESLESGSKPQSPMEP >Potri.009G098601.2.v4.1 pep chromosome:Pop_tri_v4:9:8802576:8804928:1 gene:Potri.009G098601.v4.1 transcript:Potri.009G098601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098601.v4.1 MGTASLCSSILLFTLIFHSCLHYNAVVGTEREALEIIIGGGGGGYSPAPSPENENCPPPPPPEPLCPPPSSPPPPPPPPPPPPPPPPPPPPPPPPPPPRPPPPPPPPPPPPPPPPPPPRPPSPPPPRPRPRPRPRPRPKPPRFVSKNIERDYYTIQRFKKLITTDPMGITKKWVGNDVCNYPGFKCATVPDQKVTALAAADFNGYSFGGPDLQLTGFLDRLLDLSIFHANSNNFTGPVPKSIGTSRVRYLFEVDFSNNKYSGGFPMSVLQATNLTFLDIRFNSFSGSVPAEVFTLDLDVLFINNNKFSQQLPGNLGSTPVLYLTLANNKFSGPIPRSIGNARNLLEVLFLNNELEGCLPYEIGKLDKTVVFDVGSNKLTGPIPHSFACLKKMEILNLAVNKFYGPVPEMVCDLPRLANLSLSYNYFTQVGPECRKLIKKRILDVRMNCILDLPGQRSAADCAKFFSIKRTCPNERSLSYIPCRKGGYSSSLETSDQQSMAPAAAPITYNALKPHKLRL >Potri.014G121100.1.v4.1 pep chromosome:Pop_tri_v4:14:8134399:8148263:1 gene:Potri.014G121100.v4.1 transcript:Potri.014G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121100.v4.1 MDIWNRSSSGGASSIGKPLLDFSNTVMEKAHFEQQGRVRVNEKKIDVDHREIYFLIMHFLSSGPFHRTFRQFQDEVLGHELLPRRYHAWFSRSGAHNGNDNNDGVSLPLSYDKLVERYPHVEKDHLVKLLKQLLLNTDSMFGEAGRNTLNAAHVPTILGSGSFSLLDCEKSMHKQAMPLPANLRWPHMQIDQVHGLSLRELGGGFAKHHRAPSIRYASYAIAKPLTMVQKMQNTKKLRGHRTAVYCAIFDRSGRYVITGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVSSNNALVASASNDFVIRVWRLPDGMPISVLQGHTGAVTAIAFSPRLGSVYHLLSSSDDGTCRVWDARYSHCSPRIYVPKPSDALTGKSSGTFSNGPSSSNGPQSNQILCCAYNANGTAFVTGSSDTYARVWNACKSNTDESEQPIHEMDVLSGHENDVNYVQFSGCAVAPRSSMSDTLKEDSVPKFKTSWFCHDKIVTCSRDGSAIIWRPISRRSHGKSVRWTMSYHLKVPPPPLPPQPLRGGPRQRILPTPRGVNMIVWSLDKRFVLAAVMDCRICVWNAADSSLVHSLTGHTESSYVLDVHPFNPRIAMSAGYDGQMIVWDIWEGIPIRTYEIGHVKLIDGKFSPDGTSVVLSDDVGQIYLLNTGQGESQKDAKYDQFFLGDYRPLIRDAAGNVLDQETQLAPHRRNIEDPLCDSSMIPYPEPYQTMFQQRRLGALGVEWRPSSIKFAVGPDIGLGQDYQMPPLEDLERMFDPLPEFMDAIYWEPENEVISDNTDSEYNVAEECTSEEEQGSLCFSSPSDPNCSTGDTDAEHSKKDSIRRSRRRKHKTEAELMTSSGRRLKKRNMDERDGSLSGSNGGKKLKGVQKVSKRKSSKAKSSRPQRVAARNARNMLSKITGTSTDEDDDDSEDDTSNCESGLQDLTVQNNRGDGYLQNAQEKCTKEDKLVLVEDMAKPPELPESQSVLGNRKKIVLKFSLRDSKKPVSPEESRLNGENHIDFVNLSSGPIEENNIKISSEDPGASSSNVSGFGLSQYHTRGDLTGASTASSNEICNEGDKNWSRSDKHSCCDPVDISEVFGTNHSQELKVDPPPKITRLKIKTKAISKDSSSPSKLKYSRTGGDLTSNGGDVMSETPSYLGQDKISGVPERGGESLGRSISLHGVNKREKTHKARSDLKGFDSVIKENSSPANDHCDSGTDLSEAENGDAIRRTRSMKMKATQREPSAQNHNLGVKMGHELVGMSKNAAGDEFLSEEWVSSSKTAVRPRSAKNKRGKYSDNDTRFIRRESNQPIRKLSWLSLSKHEDGYRYIPQLGDEVVYLRQGHQEYIDLYSLREKGPWSLIKGRLSAVEICKVEDLDYAIVPGSGDSCCKITLGFVDPSSVAFGKAFKLTLPELIDFPDFIVEKTRYDASINRDWNTRDRCEVWWRNENGEGGEWWEGDIVSVQAKSVDFPDSPWERYEVIYTSDPTLHKHSPWELHDLGIPWEHPHIDFDITNRLLSLFNKLELSAKKNQDSYGIQKLNEASHKWDFFNRFSVPLCPEIIRSRLESNYYRSLEAVKHDIQVMMKNAQDFFELSAELSHKMKRLSEWFTRKLSKMLEVQ >Potri.003G185501.1.v4.1 pep chromosome:Pop_tri_v4:3:18971272:18974019:1 gene:Potri.003G185501.v4.1 transcript:Potri.003G185501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185501.v4.1 MATHTLTATLCNSSLIKSNSKFTFFGTRIITRTQKTQTLAMATAPTAAKVAPAVIVGGGRVGRALQEMGSGQDLLVKRGDPVPLDFEGPILVCTRNDDLDAVLEVTPKSRWSDLVFFQNGMLEPWFQSKGLGDADQVLAYFAVSKLGEPPIDGKTDTNPEGLTAAYGKWASAVAARLHAGGLTCKVLDKETFQKQMLEKLIWISAFMLVGARHPGATVGAVEKEFRSEVSSLIAELASAAAAEKGIVFEEAIEERLCAYSRAVAHFPTAVKEFKWRNGWFYSLSDKAVAEGKPDPCPLHTAWLKELKVV >Potri.006G155800.2.v4.1 pep chromosome:Pop_tri_v4:6:14782544:14786303:-1 gene:Potri.006G155800.v4.1 transcript:Potri.006G155800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155800.v4.1 MVAAAAASSFFPVPSPSGDAKASKFGSVSASLGGIKTKSASSGALQVNTNAQAPPKINGPPVGLTASVETLKNEDVVSSPAPRTFINQLPDWSMLLAAITTMFLAAEKQWMMLDWKPKRPDMLIDPFGIGRIVQDGLVFRQNFSIRSYEIGADRTASIETLMNHLQETALNHVKTAGLLGDGFGATPEMSKRNLIWVVTRMQILVDRYPTWGDVVQVDTWVSASGKNGMRRDWLLRDAKTGETLTRASSVWVMMNKVTRRLSKIPEEVRGEIEPHFLTSDPVVNEDSRKLPKIDDNTADYICESLTPRWNDLDVNQHVNNVKYIGWILESAPPPIMESHELAAITLEYRRECGRDSVLQSLTAVSDTGIGNLGSPGEVEFQHLLRFEEGAEIVRGRTEWRPKHADNFGIMGQIPAVSA >Potri.018G095700.2.v4.1 pep chromosome:Pop_tri_v4:18:11545815:11552365:1 gene:Potri.018G095700.v4.1 transcript:Potri.018G095700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095700.v4.1 MSGLRRPWSDNSPLLSSRKEKQHTSPVSCSSPSSPSSVEMSTAAATTTPRRSIFLGVDVGTGSARAGLFDESGKLLGSASSPIQIWKEGDCVEQSSTDIWHAICAAVKSACSLANVSGNEVTGMGFAATCSLVAVDADGSPVTVSWSGDSRRNVIVWMDHRAVKQAEKINSSNSPVLQYCGGALSPEMEPPKLLWVKENLPESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQLNGKDSRNMETCGWDDDFWEEIGLGDLVDGHHAKIGRSVAFPGHPLGSGLTPTAAQELGLVAGTPVGTSLIDAHAGGVGIMESVPELGSEAKENDNEAICHRMALICGTSTCHMAVSRNKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIENHAASPRLANRAASLKISMFELLSNTIKTMIDELKSPFLAALTEHIHVLPDFHGNRSPIADPKSKGIVFGLTLETSERQLALLYLATVQGIAYGTRHIVEHCNAHGHKIDTLLACGGLSKNSLYIQEHADILGCPIVLPRESESVLLGAAILGAVASKKYSSLTEAMKALNAAGQVIHPSKNPKVKKYHDAKYRIFHDLYEQQLSQRSIMAQALE >Potri.018G095700.3.v4.1 pep chromosome:Pop_tri_v4:18:11545961:11552357:1 gene:Potri.018G095700.v4.1 transcript:Potri.018G095700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095700.v4.1 MSGLRRPWSDNSPLLSSRKEKQHTSPVSCSSPSSPSSVEMSTAAATTTPRRSIFLGVDVGTGSARAGLFDESGKLLGSASSPIQIWKEGDCVEQSSTDIWHAICAAVKSACSLANVSGNEVTGMGFAATCSLVAVDADGSPVTVSWSGDSRRNVIVWMDHRAVKQAEKINSSNSPVLQYCGGALSPEMEPPKLLWVKENLPESWSMVFRWMDLSDWLSYRATGDDTRSLCTTVCKWTYLGHAHMQQLNGKDSRNMETCGWDDDFWEEIGLGDLVDGHHAKIGRSVAFPGHPLGSGLTPTAAQELGLVAGTPVGTSLIDAHAGGVGIMESVPELGSEAKAICHRMALICGTSTCHMAVSRNKLFIPGVWGPFWSAMIPEYWLTEGGQSATGALLDYIIENHAASPRLANRAASLKISMFELLSNTIKTMIDELKSPFLAALTEHIHVLPDFHGNRSPIADPKSKGIVFGLTLETSERQLALLYLATVQGIAYGTRHIVEHCNAHGHKIDTLLACGGLSKNSLYIQEHADILGCPIVLPRESESVLLGAAILGAVASKKYSSLTEAMKALNAAGQVIHPSKNPKVKKYHDAKYRIFHDLYEQQLSQRSIMAQALE >Potri.017G110200.1.v4.1 pep chromosome:Pop_tri_v4:17:11786941:11789025:1 gene:Potri.017G110200.v4.1 transcript:Potri.017G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110200.v4.1 MQSLLFYFTMKFNDIEFSNGKNTQHSAPKKLLLLALSLVFLTIVPLYLLTTSRSPLPSPKIDISDLRNIGIVKECDIFSGKWIPYPNGPYYTDATCSLIIDQHNCMKFGRPDTEFMKWRWRPEKCELPFFNAKQFLELVRGKMMAFVGDSVGRNQMQSLLCLLSSVTHPEDISHRYTADTTYFKRWFYADYGFTLATLWSPFLVKSRDADPNGHSLNSLMSLYLDQADEAWASQIENFDYVIISAGQWFFRPLIYYINGQIVGCHNCYMENITAVTKYYGYRMAFRTAFETLRRLKNYKGITFLRTFSPSHFENGAWNEGGNCIRTMPFTSEEMKFDGYYLEFYLTQVEELRKAQKEGRKGGLKFELLATTEAMLLRPDGHPNYYGRSPHSNVTVADCVHWCLPGPIDTWNEFLLYMMRKEAWRSFYEKLQKTIS >Potri.008G193700.2.v4.1 pep chromosome:Pop_tri_v4:8:13654068:13661980:1 gene:Potri.008G193700.v4.1 transcript:Potri.008G193700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193700.v4.1 MGFTSALSLYSLSHPKVSAGAAHSHSHPLKHRLQSEANPLTVRRRCLRFRSVVVKAAAASGRGRRVYSQSQPPLINAPVKQVASFIIPAGVFVAVTFVLWKLVEKLLMPKPARSSLSAAENKSPEKGMKWSFAPGTNLLSGFTAKVDRESKQKLNEFAKQLRAFRSVDMSGCNFGDEGLFFLAESLAYNQILEEVSFAANGITAEGTKAFDRVLQSNISLKTLNISGNPIGDEGAKILCEILVDNVGIEKLQLNSADLGDEGAKAIADLLKKSSTLRVVELNNNMIDYSGFTSLAGALLENNAVRSIYLNGNYGGALGANALAKGLEGNKSLRELHLQGNSIGDEGVRALMSGLSSTKAKLTHLDIGNNSISAKGAFHVAEYVKKSKSLFWLNMYMNDIGDEGAEKIADALKQNRSIAIIDLGGNNIHAKGISEIAQVLKDNTVITTLEIGYNPFGPDGMKVLSEILKFHGNVKALKLGWCQIGAKGSEYIADTLKYNNTISILDLRGNGLRDEGAVCLARSLKVVNEVLTELDLGFNEIRDDGAFAIAQALKSNEDVKITSLNLGNNFLTKFGQSALTDARDHVYEMNEKEVNIVF >Potri.019G076800.3.v4.1 pep chromosome:Pop_tri_v4:19:11760221:11764095:-1 gene:Potri.019G076800.v4.1 transcript:Potri.019G076800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076800.v4.1 MARGKVQLRRIENHVHRQVTFCKRRAGLLKKAKELSVLCDAEIGVVIFSAHGKLYELATKGTMQGLIERYMKSSRGAQPEPAAMETQPAPDLDTKEEINMLKQEIEVLQKGLRYMFGARAAAEMSLDELLVLEKHLEIWIYQIRSTKMDIMFKEIQQLRNKVEENAEITNFVSVTSDFPHPLTIQNEIIFQY >Potri.019G076800.1.v4.1 pep chromosome:Pop_tri_v4:19:11760221:11764095:-1 gene:Potri.019G076800.v4.1 transcript:Potri.019G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076800.v4.1 MARGKVQLRRIENHVHRQVTFCKRRAGLLKKAKELSVLCDAEIGVVIFSAHGKLYELATKGTMQGLIERYMKSSRGAQPEPAAMETQPAPDLDTKEEINMLKQEIEVLQKGLRYMFGARAAAEMSLDELLVLEKHLEIWIYQIRSTKMDIMFKEIQQLRNKEEILTAANQHLHNKVEENAEITNFVSVTSDFPHPLTIQNEIIFQY >Potri.005G047600.1.v4.1 pep chromosome:Pop_tri_v4:5:3015948:3025536:-1 gene:Potri.005G047600.v4.1 transcript:Potri.005G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047600.v4.1 MKHIFKKLHIGSNNESSPNRTSNDTATSASTPPPPPPSCSSDHRAAGTGNSPANPPSTSPSPATTAQPLAFGNRTDYFASEEDFQVQLALAISASNSEFRDDTEKDQIRAATLLSLGGGNNRIDVGREKGEGKVEDLSRYYWEYNVLDYGERVMDGFYDVFCTSSAVQGKMPSLMDLETNAGGSGFEAVIVNRKVDPALEELMQIAQCIALDWLATDVTILVQQLAELVTGHMGGPVKDANLILAKWMDRSTELRTSLQTSVLPIGSINIGLSRHRALLFKVLADTIKLPCRLVKGSHYTGIEDDAVNIIKLEDEREFLVDLMAAPGTLIPADVPSAKDTTFKIPAPRSNETGVVFARSKPLTGEGTSQNSSVDGISPLDRILCSENAESLPSFSGSSNNAGVGSSGVSNKTAPTNQLGNIASTAFGTSVYKGSRGVHAIGDGLRMNVNVVPYVPNTSEDSKNLFADLNPFQIKGTGKSFMHNKPAENKINEFQGRKNNPVPSPPAPLMWKNRFAYNEVPRRKDNDNVEGLYPRINREPNNYNHSSLASTSLSEKVYPQGFKSSSNLNTSNRESDTRNSASSASSELSSYTNQGYSLPSVEEVNSNFEEKLWDAKNLQNDMEASVKESEDNEIGFHDRRKCIHDRFMGTNLKLKGPESPGTSVDSSTHRVDRILDDVDVGDEICWEDLVFGERIGLGSYGEVYHADWNGTEVAVKKFLDQDFSGAALDEFKREVRIMRRLRHPNVVLFMGAVTRPPNLSIITEFLPRGSLYRILHRPQCQIDEKRRIKMALDVARGMNCLHASTPTIVHRDLKSPNLLVDENWTVKVCDFGLSRLKHNTFLSSKSTAGTPEWMAPEVLRNEPSNEKCDVYSFGVILWELATLKSPWSGMNPMQVVGAVGFQNRRLEIPKEVDPLVARIIWECWQTDPNLRPSFAELAVALMPLQRLVVPSHLRSI >Potri.003G147300.1.v4.1 pep chromosome:Pop_tri_v4:3:16158006:16160025:1 gene:Potri.003G147300.v4.1 transcript:Potri.003G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G147300.v4.1 MEEILSPSSSSSLISFAQETSSTLQQRLQFFLHSRPEWWVYSIFWQASKDASGRPVLSWGDGHFRGNKKYSSKVSNKQNHPKFGFNIERKSLFNEDMDLERLVDGDVAEWYYTASVTRVFAVGDGILGRAFTSGSSIWLTGDRELQIFECERVTEARMHGIQTFVCVSTPSGVLELGSPVFISEDWSLLQLAKSIFGAEINANPVPKQSNHESQPQISNCNVSNLLDIGLFSSPQTERTSSLENKKEVFGQGRSSSDSGRSDSDAGFRENHIGFKKRGRKPGGKESPLNHVEAERQRRERLNHRFYALRSVVPNVSKMDRASLLADAVNYIKELKRKVNELEANLQVVSKKSKISSCANIYDNQSTSTSTMVNHIRPPPNYMSNNAVEVDVKILGSEGLIRVQSPDINYPAARLMDALRELEFPVHHLSVTRVKELVLQDVVIRFDDGLVTEEAMRAAIFQRMQN >Potri.011G042000.1.v4.1 pep chromosome:Pop_tri_v4:11:3263452:3263676:1 gene:Potri.011G042000.v4.1 transcript:Potri.011G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042000.v4.1 MSYSSRNCMEASLAGVEGQPSHGSKSNSTFRSWSSRKKSYFSGGNGGRDGESKRKQAEDSFQRVMYLNCWTQGC >Potri.005G043900.10.v4.1 pep chromosome:Pop_tri_v4:5:2769162:2773506:-1 gene:Potri.005G043900.v4.1 transcript:Potri.005G043900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043900.v4.1 MNSKKFIEEFELKEKQFDERCKEVESERKKLVEKHELKEKQLLEQQKEVELENKKIKKFFEELELKEKQLLEQQKEVELENKKIKKFFEELESKEKQVEERRLVAELGNKKFVGEVELKEKQLEERCTVIESEKKKLEEQSKEIELKEKHLEEQLKEVELANKRFFEQAKELELKEKHLLEGFKELEMEILVKLKEENSKEWRRELELKEINFGQQVRERYDEIELKEKKVEEEFREVALREERVEKRFREVEEKERRVRELFKEVRVKDDEFRERRKGVEVKGREVEERIKEIGFKDRKVEERLKEIGFKDRKVEERLKEIGFKDRKVEERLKEIGFKERKVGERLKEVGLKDRMVEERLKEVGLKDRKVEQRLKDLGLKGREVEERVKEIALMEKNVGKRSEEVELNRRKLEEGFRKLELKSREVEEIIEGVELKEKILEERCRRFDFKGKQIEEVQLKEKELEEKLREVEMENKKCLERIKEFELKEKQVADACNARVKSETVDYSMDANLHFSVKMDGKALQILLNKRCKHDEKMKNEVSIALGLSSDPAKLVLDAMEGFYPPHLREGDVEFKEVVVKRSCNLLLEQLMKISPTIKPHVRKEATKLAFLWMTKMTVDGFHNMDVLGFFYLLAAYGLASAFDSDELISRLVIIARNKQTPEFFRVLELGDKIPGFIQILILKKQPMEAIRFIFAFEMVNQFPPGPILRDYLSGSKIAARKIKRSSNSIKGLVESVKRRVADLMVVLKCVEDYKLETVFSPNTLKQQIKDVQRQLSIGKTNLPNLGSNSTQPNLSENKRLAPKAAASAFVLASKSVSATKPALNSTMAACTAPITVTSLSPTVAYIASPVTVTSLAPTTSAIANPVVPIIVTSPSTTAAAAVTPIAVASPATTSSITASTTRSAVVSPSSSSASPCGSIPKTEPQYQGGNKRCQAQYQGSDKHPQEQHQSGNKRPRIAKSPEVPLRAPSLQNTGFAQLVPVPHQRAEGPFINQNPSGGHYNCAGYRPPNPQLSSHYNYGYPSYHQNTFGKPGYDSAAPFQQP >Potri.015G145900.3.v4.1 pep chromosome:Pop_tri_v4:15:15037661:15042212:1 gene:Potri.015G145900.v4.1 transcript:Potri.015G145900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145900.v4.1 MSILCGGPLHEGVCCLACARWAWKRCLHTAGQDSETWGLATAEEFEPVPRLCRYILAVYEDDPQHPLWEPPGGYGINPDWLILRRTYEDNHGRAPPYILYLDHDHADIVLAIKGLKFSKESDYAVLLDNKLGKRKIDGGYVHNGLLKAAGWFLDVEGDILKELVEKYPNYTLTFTGHSLGSGVAAMLTLLVVLHRDKLGNIDRRRIRCYAVAPARCMSLNLAVRYADVINSVVLQDDFLPRIATPLEDIFKYLFCLPCLLCLRCMRDTCLLDEKVIKDPRRLYAPGRLYHIVERKTYRLGRFPPVVRTAVPVDGRFEHIVFSCNATSDHSIIWIEREAQRAMDVMVEKDDIMEIPAKQRMERQETLAREHREEYRAALQRAVTLPVPHAYSSSKYGTFNEMADSHRWSGESSFGSSKTRENWDELIERLFDKDESGHMVLKKSKRDD >Potri.015G145900.2.v4.1 pep chromosome:Pop_tri_v4:15:15037661:15042212:1 gene:Potri.015G145900.v4.1 transcript:Potri.015G145900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145900.v4.1 MSILCGGPLHEGVCCLACARWAWKRCLHTAGQDSETWGLATAEEFEPVPRLCRYILAVYEDDPQHPLWEPPGGYGINPDWLILRRTYEDNHGRAPPYILYLDHDHADIVLAIKGLKFSKESDYAVLLDNKLGKRKIDGGYVHNGLLKAAGWFLDVEGDILKELVEKYPNYTLTFTGHSLGSGVAAMLTLLVVLHRDKLGNIDRRRIRCYAVAPARCMSLNLAVRYADVINSVVLQDDFLPRIATPLEDIFKYLFCLPCLLCLRCMRDTCLLDEKVIKDPRRLYAPGRLYHIVERKTYRLGRFPPVVRTAVPVDGRFEHIVFSCNATSDHSIIWIEREAQRAMDVMVEKDDIMEIPAKQRMERQETLAREHREEYRAALQRAVTLPVPHAYSSSKYGTFNEMADSHRWSGESSFGSSKTRENWDELIERLFDKDESGHMVLKKSKRDD >Potri.005G251900.1.v4.1 pep chromosome:Pop_tri_v4:5:24487132:24490377:-1 gene:Potri.005G251900.v4.1 transcript:Potri.005G251900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251900.v4.1 MGNCWGSTPVTNHNSPSATKPSTPKTSANHSKENGLGGRSSSDRKEKESVKINGMYVKNKDKDRTAIIPPRGQVMAILGPKLFTLAELKSATRNFRPDTVLGEGGFGRVFKGWVDEKTYAPAKVGCGMAVAVKKSNPDSSQGLQEWQSEVKLLGKFSHPNLVRLLGYCWEENQFLLVYEYMQKGSLEKHLFRKGAEPLPWNVRIKIAIGAAEGLAFLHTSEKSVIYRDFKTSNILLDGAFNAKLSDFGLAKFGPLNGNSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLLELLTGLKALDTNRPIWQQNLVEFARPSLSDKRKLKKIMDPRLEEQYPIKAAVQAAELILQCLESDPKSRPSMEKVLETLKKIDDTKDVSKDSKGSAKKKATKRQEEHYRHQSPLQSRHGGTGNNGRAHSSAYVGSYQSTGARRPLYFANHL >Potri.006G073200.4.v4.1 pep chromosome:Pop_tri_v4:6:5355140:5357869:1 gene:Potri.006G073200.v4.1 transcript:Potri.006G073200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073200.v4.1 MGGEEVKVAVPESVLKKQKRNEEWALAKKEEKLAIKKKNAENRKLIYSRAKQYAQQYDEEQKELIRLKREARLKGGFYVNPEAKLLFIVRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTFGYPNLKSVKELIYKRGYGKLNQQRIPLTDNSIVEQGLGKHGIICMEDLIHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >Potri.006G073200.5.v4.1 pep chromosome:Pop_tri_v4:6:5355315:5357866:1 gene:Potri.006G073200.v4.1 transcript:Potri.006G073200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073200.v4.1 MGGEEVKVAVPESVLKKQKRNEEWALAKKEEKLAIKKKNAENRKLIYSRAKQYAQQYDEEQKELIRLKREARLKGGFYVNPEAKLLFIVRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTFGYPNLKSVKELIYKRGYGKLNQQRIPLTDNSIVEQGLGKHGIICMEDLIHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDYINELIRRMN >Potri.003G128800.3.v4.1 pep chromosome:Pop_tri_v4:3:14757519:14760410:1 gene:Potri.003G128800.v4.1 transcript:Potri.003G128800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128800.v4.1 MGFFGKKGPSGFSSSSTAEEVTEGIDGSGLTAIVTGASSGIGTETARVLALRGVHVVMAVRNVDSGNKVREEIHKEIPSAKVEVMELDLCSMSSVREFASKYNSSGFPLNILINNAGIMASPYLLSKDNIELQFATNYLSHFLLTNLLLDNMKNTARESNREGRIVNLSSSAHRHPFPGGIRFDTINDEAGYGSIKAYGQSKLATLLHANELARRFKEEGVNITANSLHPGGIHTNLFRYHTVLSGFASTIGRFMFKTVPQGAATTCYVALHPQVNGVSGKYFEDCNISKSTAYGQDAELAKKLWEFSLPLTNP >Potri.014G033500.2.v4.1 pep chromosome:Pop_tri_v4:14:2072917:2075272:1 gene:Potri.014G033500.v4.1 transcript:Potri.014G033500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G033500.v4.1 MPLNSSSISHIETLRSPIQPPTFGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGVDARLADYFDVISGTSTGGLVTAMLTAPNEQNRPLFAAKDINDFYLENCPKIFPQDGSPLASAGKLIKSLTGPQYDGKFLHSIVKEKLGDKRLHQTMTNIVIPTFDIKRLQPTIFSSYQVKNDPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVREFNLIDGGVAANNPTLVAMSEVSKEITRKNPDFFPTAPMDYGRFLVLSLGTGTAKSEEKYDADEAAKWGVLGWLTSDNSTPLVDVFTEASGDMVHLHISTVFQALHCEENYIRIQDDTLTGTLSSMDVATKENLENLVKVGEKFLKKPVSVKMAELKESLRREMKNAEKAE >Potri.015G109700.5.v4.1 pep chromosome:Pop_tri_v4:15:12718679:12725337:1 gene:Potri.015G109700.v4.1 transcript:Potri.015G109700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109700.v4.1 MVLVCFVLDLCNLSPPLLKNLKQSLLHLANFYAVSSPSRRQSHSLIDKIGLCYLLKHRTSLSHELKIGYSPRGNFNLRDFHHAVNNVPTDSFLPEINDSGSLRSFDVKLSSILSDQVLYSWGGKDIMRKVIVLSSCVPDNIDTELKLTLMDAADKCVSVEFVLFEQSSSHLGNFQENINSFMRSISDLDNFSFGTYLADSRVFHSLVKRWLQELKDDMEEPLQARFIFKSNLTGSLNQIFCSLSTSVCQIIDGFSACETCRCHGSVLDNRIKDKNVGASCPITGRDLEISDVIENSVQVGDKTVLFMPSFQSSMKLKQVSSPIDFNIIERANLSSLSEGLIIGSSYFVTPSACYEIETSDEMDRPELNAQIFQGICSVLHSMDQGLLCSSCCNVETMREAAFHCYYLLQPSDNGPMLLRRLAGSEEVLPVPDANRFLDSPVNKEIQNSIQASLMKMELRDYNPVIHERGFHQKLNLLVKESLQFGPLPPKLDETTSELNSNEPDSSEVIVLDAIDLEDETPLLDLTNRDDKTTASIAEEWEQLVVKEVPKTFSPTCVSKPKMDQSVLSSPDSNRQLDAKTSRILERLELPRQLKSKTVSPTIISSQTPVRTKKPLIPFQPTNAINQSPTSSQLLKPNFQRLKRKHK >Potri.015G109700.4.v4.1 pep chromosome:Pop_tri_v4:15:12718679:12725317:1 gene:Potri.015G109700.v4.1 transcript:Potri.015G109700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109700.v4.1 MVLVCFVLDLCNLSPPLLKNLKQSLLHLANFYAVSSPSRRQSHSLIDKIGLCYLLKHRTSLSHELKIGYSPRGNFNLRDFHHAVNNVPTDSFLPEINDSGSLRSFDVKLSSILSDQVLYSWGGKDIMRKVIVLSSCVPDNIDTELKLTLMDAADKCVSVEFVLFEQSSSHLGNFQENINSFMRSISDLDNFSFGTYLADSRVFHSLVKRWLQELKDDMEEPLQARFIFKSNLTGSLNQIFCSLSTSVCQIIDGFSACETCRCHGSVLDNRIKDKNVGASCPITGRDLEISDVIENSVQVGDKTVLFMPSFQSSMKLKQVSSPIDFNIIERANLSSLSEGLIIGSSYFVTPSACYEIETSDEMDRPELNAQIFQGICSVLHSMDQGLLCSSCCNVETMREAAFHCYYLLQPSDNGPMLLRRLAGSEEVLPVPDANRFLDSPVNKEIQNSIQASLMKMELRDYNPVIHERGFHQKLNLLVKESLQFGPLPPKLDETTSELNSNEPDSSEVIVLDAIDLEDETPLLDLTNRDDKTTASIAEEWEQLVVKEVPKTFSPTCVSKPKMDQSVLSSPDSNRQLDAKTSRILERLELPRQLKSKTVSPTIISSQTPVRTKKPLIPFQPTNAINQSPTSSQLLKPNFQRLKRKHK >Potri.015G109700.3.v4.1 pep chromosome:Pop_tri_v4:15:12718679:12727091:1 gene:Potri.015G109700.v4.1 transcript:Potri.015G109700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109700.v4.1 MVLVCFVLDLCNLSPPLLKNLKQSLLHLANFYAVSSPSRRQSHSLIDKIGLCYLLKHRTSLSHELKIGYSPRGNFNLRDFHHAVNNVPTDSFLPEINDSGSLRSFDVKLSSILSDQVLYSWGGKDIMRKVIVLSSCVPDNIDTELKLTLMDAADKCVSVEFVLFEQSSSHLGNFQENINSFMRSISDLDNFSFGTYLADSRVFHSLVKRWLQELKDDMEEPLQARFIFKSNLTGSLNQIFCSLSTSVCQIIDGFSACETCRCHGSVLDNRIKDKNVGASCPITGRDLEISDVIENSVQVGDKTVLFMPSFQSSMKLKQVSSPIDFNIIERANLSSLSEGLIIGSSYFVTPSACYEIETSDEMDRPELNAQIFQGICSVLHSMDQGLLCSSCCNVETMREAAFHCYYLLQPSDNGPMLLRRLAGSEEVLPVPDANRFLDSPVNKEIQNSIQASLMKMELRDYNPVIHERGFHQKLNLLVKESLQFGPLPPKLDETTSELNSNEPDSSEVIVLDAIDLEDETPLLDLTNRDDKTTASIAEEWEQLVVKEVPKTFSPTCVSKPKMDQSVLSSPDSNRQLDAKTSRILERLELPRQLKSKTVSPTIISSQTPVRTKKPLIPFQPTNAINQSPTSSQLLKPNFQRLKRKHK >Potri.004G135500.1.v4.1 pep chromosome:Pop_tri_v4:4:15668605:15677013:-1 gene:Potri.004G135500.v4.1 transcript:Potri.004G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135500.v4.1 MGGACNLASSPQLLLQVSIICSITLISFGLAASASAKLHSQEVRVLREIGKKLGKKDWDFNKDPCSGEGNWSILDERKGFENSVTCDCSFNNNSSCHLVSIALKSQNLSGIIPPEFSKFRYLKQLDLSRNLFTGVIPPQWGTLRLEEFSVMGNRLSGPFPKVLTNMTTLRNLSIEGNHFSGPIPPEIGRLINLQKLVFSSNALTGNLPAELGKLVNLTDVRINDNNFSGKLPTFISKWTKVQKLHLQGTSLKGPIPSSIASLTKLSDLRISDLTGRGSPFPPLSDMESMKTLILRNCLIYGEIPEYVGQMEKLKHLDVSFNNLRGEIPSTFIQLARIDFLYLTGNKLTGSVPPWLLERNKNVDLSYNNFTWQSSSPDECARGSVNIVESFSPSTIKSSKAHSCLKQNFPCSASRNQQHYTLHINCGGNEITVDGNTTYQDDKEPRGASMFYSHPSQEWAFSSTGNFMDDDSEADAYTKTNKSAISNVSATIAQLYTTARVSPLSLTYYGLCLMNGNYTVKLHFAEIIFTNDSSLTSLGKRIFDVYIQGKLVLKDFNIEDEAGGVAIPLVKTFIAAVTHNTLKIRLYWAGRGTTGIPLRGIYGPLISAISVDPNFKPPSNGSKRNVVIIVTGAVAGAIFLAFLVLGVMWRNGWLCGKAAADKELKGLDLQTGLFTLRQMKAATNNFDAENKVGEGGFGSVYKGSLSDGTVIAVKLLSSKSKQGNREFVNEIGMISALQHPNLVKLYGCCVEGNQLMIVYEYMENNCLSRALLGKESKFRMKLDWPTRQKICLGVAKGLMYLHEESIIKIVHRDIKTSNVLLDKELNAKISDFGLAKLNEDDDTHISTRIAGTIGYMAPEYAMRGYLTNKADVYSFGVVALEIVSGKSNTNYRPKEEFVYLLDWAYVLQERGSLLELVDPELGSEYSSEEAMVMLNVALLCTNASPTLRPTMSQVVSMLEGRTPVQDLLSDPGFSAINTKYKAIRNHFWQNPSQTYSMSINESYRTDSTSSGVEPEDAGRLLRVSSVKSNS >Potri.003G053200.8.v4.1 pep chromosome:Pop_tri_v4:3:7812986:7821437:-1 gene:Potri.003G053200.v4.1 transcript:Potri.003G053200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053200.v4.1 MNARMSTQRQVIVRDLVEEAKKRIVMLVICVVGLSYLMSLTSASVWVNLPAAASLIILLRYFSLDYEMRKKAAVYNNKPASTTSSTLSQNKSLEFTRVVEKSDWRRKVNSPVVEDAIDHFTRRLVSEWVTDLWYSRLTPDKEGPEELVQIMNGVLGEFSSRMRNVNLIDLLTRDFINLICTHLELFRAIQAKMEKRQSSVLTIEQRDKELRHVLAAENKLHPALFSTEAEHKVLQHLMDGLISFTFKPADLQCSFFRYVVRELLACAVMRPVLNLASPRFINERIESVVISKANQRVAAAQETSHFKPNGSSRISSNHFSRFLDPTDTGVELVQLKTDQCRGGPDAPEKDKVNGSHISKDPLLYIDTQSSRTWSSLPMNSQIINEEGMQRHFSGGEWGERLDMMSRRKTAVLAPENFENMWTKGRNYRKKEGENRLIEQVPQNSSASKYVTSDHSKRASNSKKDGVTKLDAPLAHNAQSVGTEQSTVENPLHHTDQNMSNYPLFSSHKDGIRSLMRVDEIESGSTSSYTSEEEDANSVTGLDSPGTKVWDGKTNRNLAVSHIHHPLENPDGHREKKTGRGLAHYQRLSRHQSGSKRSRPSTQKVHVWQEIERKSFLSGDGQDVLSLKGHTKADDFSDDSEVESLDRVYSGATACSSATSVSIPENHTSNVNSFKHSLMVDSIYKLRCEVSTFRGVT >Potri.003G053200.1.v4.1 pep chromosome:Pop_tri_v4:3:7812987:7821441:-1 gene:Potri.003G053200.v4.1 transcript:Potri.003G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053200.v4.1 MNARMSTQRQVIVRDLVEEAKKRIVMLVICVVGLSYLMSLTSASVWVNLPAAASLIILLRYFSLDYEMRKKAAVYNNKPASTTSSTLSQNKSLEFTRVVEKSDWRRKVNSPVVEDAIDHFTRRLVSEWVTDLWYSRLTPDKEGPEELVQIMNGVLGEFSSRMRNVNLIDLLTRDFINLICTHLELFRAIQAKMEKRQSSVLTIEQRDKELRHVLAAENKLHPALFSTEAEHKVLQHLMDGLISFTFKPADLQCSFFRYVVRELLACAVMRPVLNLASPRFINERIESVVISKANQRVAAAQETSHFKPNGSSRISSNHFSRFLDPTDTGVELVQLKTDQCRGGPDAPEKDKVNGSHISKDPLLYIDTQSSRTWSSLPMNSQIINEEGMQRHFSGGEWGERLDMMSRRKTAVLAPENFENMWTKGRNYRKKEGENRLIEQVPQNSSASKYVTSDHSKRASNSKKDGVTKLDAPLAHNAQSVGTEQSTVENPLHHTDQNMSNYPLFSSHKDGIRSLMRVDEIESGSTSSYTSEEEDANSVTGLDSPGTKVWDGKTNRNLAVSHIHHPLENPDGHREKKTGRGLAHYQRLSRHQSGSKRSRPSTQKVHVWQEIERKSFLSGDGQDVLSLKGHTKADDFSDDSEVESLDRVYSGATACSSATSVSIPENHTSNVNSFKHSLMVDSIYKLRCEVLGANIVKSGSKTFAVYSISVTDVNNNSWSIKRRFRHFEELHRRLKEYPEYSLHLPPKHFLSTGLDVPVIQERCKLLDIYLKKLLLLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDKPSEKSKRVSNFIGPAINYLSARKEQLSAECKESILQTKHNLGAVGARMISKDTPRSPVKSIKESGRSLKDPGSDSDMQKNVSSARNLEENVRVGDSLEEMSASIHDTASDHMLPTEWVPANLTVPILDLVDVIFQLQDGGWIRRQAFWVAKQILQLGMGDALDDWLIEKIQLLRRGSVVASGIKRVEQILWPDGIFITKHPKRRPPPQQPTEVSSPKLISPHGQQPMEVSSPRLNDEQQQQDAVRRAKFVYELMIDNAPAAVVGLVGRKEYEQRAKDLYFFLQSSVCTKQLAFDLLELLLLTAFPELDSVFRQLRVEKHKFGEFKPN >Potri.003G053200.5.v4.1 pep chromosome:Pop_tri_v4:3:7813101:7821437:-1 gene:Potri.003G053200.v4.1 transcript:Potri.003G053200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053200.v4.1 MRKKAAVYNNKPASTTSSTLSQNKSLEFTRVVEKSDWRRKVNSPVVEDAIDHFTRRLVSEWVTDLWYSRLTPDKEGPEELVQIMNGVLGEFSSRMRNVNLIDLLTRDFINLICTHLELFRAIQAKMEKRQSSVLTIEQRDKELRHVLAAENKLHPALFSTEAEHKVLQHLMDGLISFTFKPADLQCSFFRYVVRELLACAVMRPVLNLASPRFINERIESVVISKANQRVAAAQETSHFKPNGSSRISSNHFSRFLDPTDTGVELVQLKTDQCRGGPDAPEKDKVNGSHISKDPLLYIDTQSSRTWSSLPMNSQIINEEGMQRHFSGGEWGERLDMMSRRKTAVLAPENFENMWTKGRNYRKKEGENRLIEQVPQNSSASKYVTSDHSKRASNSKKDGVTKLDAPLAHNAQSVGTEQSTVENPLHHTDQNMSNYPLFSSHKDGIRSLMRVDEIESGSTSSYTSEEEDANSVTGLDSPGTKVWDGKTNRNLAVSHIHHPLENPDGHREKKTGRGLAHYQRLSRHQSGSKRSRPSTQKVHVWQEIERKSFLSGDGQDVLSLKGHTKADDFSDDSEVESLDRVYSGATACSSATSVSIPENHTSNVNSFKHSLMVDSIYKLRCEVLGANIVKSGSKTFAVYSISVTDVNNNSWSIKRRFRHFEELHRRLKEYPEYSLHLPPKHFLSTGLDVPVIQERCKLLDIYLKKLLLLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDKPSEKSKRVSNFIGPAINYLSARKEQLSAECKESILQTKHNLGAVGARMISKDTPRSPVKSIKESGRSLKDPGSDSDMQKNVSSARNLEENVRVGDSLEEMSASIHDTASDHMLPTEWVPANLTVPILDLVDVIFQLQDGGWIRRQAFWVAKQILQLGMGDALDDWLIEKIQLLRRGSVVASGIKRVEQILWPDGIFITKHPKRRPPPQQPTEVSSPKLISPHGQQPMEVSSPRLNDEQQQQDAVRRAKFVYELMIDNAPAAVVGLVGRKEYEQRAKDLYFFLQSSVCTKQLAFDLLELLLLTAFPELDSVFRQLRVEKHKFGEFKPN >Potri.003G053200.7.v4.1 pep chromosome:Pop_tri_v4:3:7813117:7821437:-1 gene:Potri.003G053200.v4.1 transcript:Potri.003G053200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053200.v4.1 MNARMSTQRQVIVRDLVEEAKKRIVMLVICVVGLSYLMSLTSASVWVNLPAAASLIILLRYFSLDYEMRKKAAVYNNKPASTTSSTLSQNKSLEFTRVVEKSDWRRKVNSPVVEDAIDHFTRRLVSEWVTDLWYSRLTPDKEGPEELVQIMNGVLGEFSSRMRNVNLIDLLTRDFINLICTHLELFRAIQAKMEKRQSSVLTIEQRDKELRHVLAAENKLHPALFSTEAEHKVLQHLMDGLISFTFKPADLQCSFFRYVVRELLACAVMRPVLNLASPRFINERIESVVISKANQRVAAAQETSHFKPNGSSRISSNHFSRFLDPTDTGVELVQLKTDQCRGGPDAPEKDKVNGSHISKDPLLYIDTQSSRTWSSLPMNSQIINEEGMQRHFSGGEWGERLDMMSRRKTAVLAPENFENMWTKGRNYRKKEGENRLIEQVPQNSSASKYVTSDHSKRASNSKKDGVTKLDAPLAHNAQSVGTEQSTVENPLHHTDQNMSNYPLFSSHKDGIRSLMRVDEIESGSTSSYTSEEEDANSVTGLDSPGTKVWDGKTNRNLAVSHIHHPLENPDGHREKKTGRGLAHYQRLSRHQSGSKRSRPSTQKVHVWQEIERKSFLSGDGQDVLSLKGHTKADDFSDDSEVESLDRVYSGATACSSATSVSIPENHTSNVNSFKHSLMVDSIYKLRCEVLGANIVKSGSKTFAVYSISVTDVNNNSWSIKRRFRHFEELHRRLKEYPEYSLHLPPKHFLSTGLDVPVIQERCKLLDIYLKKLLLLPTISGSIEVWDFLSVDSQTYVFSNSFSIIETLSVDLDDKPSEKSKRVSNFIGPAINYLSARKEQLSAECKESILQTKHNLGAVGARMISKDTPRSPVKSIKESGRSLKDPGSDSDMQKNVSSARNLEENVRVGDSLEEMSASIHDTASDHMLPTEWVPANLTVPILDLVDVIFQLQDGGWIRYSIYGYVELWWFVLSLYASYLLSTK >Potri.001G224400.2.v4.1 pep chromosome:Pop_tri_v4:1:24215989:24217501:1 gene:Potri.001G224400.v4.1 transcript:Potri.001G224400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224400.v4.1 MEGKKEIDGAKEKPASTSFSLHWSQWQLIDSILPTGGFAHSFGIEAAIQARVILNPEDFQTYVIHVLENTGSLLLPYVYSAAMCPDLDNWRKLDRMLDATLTNEVSRKASVSQGSALMRVAAAVFTEIPSLKIMREMSLGSGIVAFHHAPVFGIVCGLLGMDSETSQRAYMFITLRDAFSAATRLNLVGPLGAAVLQHQVSIAAETMLKRWMNREVEDACQTAPLLDTLQGCHGYLFSRLFCS >Potri.013G155200.1.v4.1 pep chromosome:Pop_tri_v4:13:15050002:15051649:-1 gene:Potri.013G155200.v4.1 transcript:Potri.013G155200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155200.v4.1 MLNFFLVSPHLFFTFYNPNFVSSFYLFSNQREGKVNQLRQISERERKMGNYRFRLSDMIPNAWFYKLKDMSKSRKHYTSRASKKKPPPGTVTSQKPNISHQRYSYYFTTEPERAEKLDYYSPANPKASDTHFPDPPRKSSNRRNKRKTIYKPSPKLVSTFSADCSCRVTVNSNLTGFIPGDSPDCSSSPVESSYDELDFLSESDEDDGFLVPDSIDHHLASWSSSCNCNVSSSTTDIIIDMNEESYERKIKEVEGFGRIPELNLPPILTKPEKFNDNEVTKFRRSSSKLEEVKAHRSLSVKIVKEKSIRTYKEKKMNPPTRKSSVNSAKGIKLRANTPRIASRKIQGCSRKSVSLSTNKTLSESFAVVKFSVDPQRDFKDSMVEMIVENNIRGSKDLEDLLACYLSLNSKEYHYIIVKAFEQIWFDMTDLHL >Potri.015G060700.3.v4.1 pep chromosome:Pop_tri_v4:15:8480357:8481923:1 gene:Potri.015G060700.v4.1 transcript:Potri.015G060700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060700.v4.1 MAPSRRRLTKFSVGRSIARVGIRSPSHRSKPTSSSSEGDSKMEFLGSGKESFCGDGFGNGNKVMVVVDTSREAMGALEWALSHTVQNQDTIVLLYVSKPSKQGPESSLKLNLRAHETLHSMKNMCQRRRPGVQVAVAVHEGKERGPIIVEEAKQRSVSLLVMGQRKRSIMWRLIERWAGKGNRGGSGAVGYCIQNASCMTIAVRRKGKKLGGYLITTKRHKNFWLLA >Potri.001G081600.1.v4.1 pep chromosome:Pop_tri_v4:1:6471785:6478661:-1 gene:Potri.001G081600.v4.1 transcript:Potri.001G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081600.v4.1 MAGALPGESSHCGNSESGPSRSSQDRPEEGGRWYFSRKEIEENSPSRRDNIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIMHKKDPAATQRIKQKEVYEQQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKTHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGSAGGGSGHRLPAKTPAVSEDHVSKQTSSQAASEPLCQDDNVALPRNAHNQNNDNGSGETDSVITDHKVEVETRDREHVSHKEITREDPNKSRYGAEQLGEEDQERTAGRNDGADAGEWRDDAVSHKSTSLGGRNLDLREGIVDQSPKDAIKMIDKDKLKAALEKRKKSRGETMRKKDIMDEDDLIERELEDGVELAAEDEKIKQERRQSWSKTENPDDINDHAEIGDGHVIMKGQSTRGLEAEYAEEGEMLDDASPILNDRKRKGSPAERQSDGKRRHDYNRDTIEDGHKMGKSGYAEREHRRHPQDNHL >Potri.001G081600.2.v4.1 pep chromosome:Pop_tri_v4:1:6471710:6478721:-1 gene:Potri.001G081600.v4.1 transcript:Potri.001G081600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081600.v4.1 MAGALPGESSHCGNSESGPSRSSQDRPEEGGRWYFSRKEIEENSPSRRDNIDLKKETYLRKSYCTFLQDLGMRLKVLMCMVILSSWLILRALSLFKKNLFTRPQVTIATAIIFCHRFFIRQSHAKNDRRTIATVCMFLAGKVEETPRPLKDVILVSYEIMHKKDPAATQRIKQKEVYEQQKELILLGERVVLATLGFDLNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKTHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPPSQGSEVEGSAGGGSGHRLPAKTPAVSEDHVSKQTSSQAASEPLCQDDNVALPRNAHNQNNDNGSGETDSVITDHKVEVETRDREHVSHKEITREDPNKSRYGAEQLGEEDQERTAGRNDGADAGEWRDDAVSHKSTSLGGRNLDLREGIVDQSPKDAIKMIDKDKLKAALEKRKKSRGETMRKKDIMDEDDLIERELEDGVELAAEDEKIKQERRQSWSKTENPDDINDHAEIGDGHVIMKGQSTRGLEAEYAEEGEMLDDASPILNDRKRKGSPAERQSDGKRRHDYNRDTIEDGHKMGKSGYAEREHRRHPQDNHL >Potri.002G029400.1.v4.1 pep chromosome:Pop_tri_v4:2:1977922:1978922:-1 gene:Potri.002G029400.v4.1 transcript:Potri.002G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G029400.v4.1 MGGMSKSIMSGFGEKKQFKKPEQASSRKGCMRGKGGPENALCTYKGVRQRTWGKWVAEIREPNRGARLWLGTYDTSHEAAMAYDAAARKLYGPEAKLNLPELQVNSSQFPASPANSQVIQMTNQPSHLIQNSSPTSTYSSNIPNMESNEAKPILYNHNPIMSFSNESVDSNGMEVENDANFGRSGDVIKEFSANLNVNMTFNDSIWAEAALSINFPVMDDPGIFASNLMEESGGDTMQTPWCM >Potri.005G134400.1.v4.1 pep chromosome:Pop_tri_v4:5:10318045:10323560:-1 gene:Potri.005G134400.v4.1 transcript:Potri.005G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134400.v4.1 MDRSAMTVGPGMDMPIMHDSDRYDLVRDIGSGNFGVARLMRDKVTKELVAVKYIERGDKIDENVQREIINHRSLRHPNIVRFKEVILTPTHLAIVMEYAAGGELFERICKSGRFSEDEARFFFQQLISGVSYCHAMQVCHRDLKLENTLLDDSPAPRLKICDFGYSKSSVLHSQPKSTVGTPAYIAPEVLLRQEYDGKVADVWSCGVTLYVMLVGSYPFEDPAEPKDFRKTIQRVINVQYSIPDSILITPECCHLISRIFDADPATRITIPEIRNHEWFLKNLPADLMDEKTMGSQFEEPDQPMQSVDAVMQIVSEATVPAVGVHGLNRCMMDNLDMDDDMDGLDSESELDIDSSGEIVYAL >Potri.018G015500.1.v4.1 pep chromosome:Pop_tri_v4:18:1077359:1080007:1 gene:Potri.018G015500.v4.1 transcript:Potri.018G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX89 METNPVPFSARPHLCSFLVLGLLYAVVVASPASASLFFNFYGASCPTAELIVSNTVRSASSSDPTIPGKLLRLVFHDCFVEGCDASVLLQGNGTERSDPGNRSLGGFQVIDSAKRMLEIFCPGTVSCADVVALAARDAVAITGGPQLQIPTGRRDGRLSAAANVRPNIIDTTFTMNEMINIFTAKGLSLEDLVVLSGAHTIGSAHCSAFSDRFQEDSKGKLTLIDTSLDRNYANELMQRCPVDASASITVVNDPETSSSFDNQYYRNLVAHKGLFQSDSVLLDDKRTRNLVQDFANDQEKFFQSWSQSFLKLTSIGVKTGEEGEIRQSCSMTSG >Potri.018G015500.2.v4.1 pep chromosome:Pop_tri_v4:18:1078332:1080008:1 gene:Potri.018G015500.v4.1 transcript:Potri.018G015500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX89 MDSWLQGCDASVLLQGNGTERSDPGNRSLGGFQVIDSAKRMLEIFCPGTVSCADVVALAARDAVAITGGPQLQIPTGRRDGRLSAAANVRPNIIDTTFTMNEMINIFTAKGLSLEDLVVLSGAHTIGSAHCSAFSDRFQEDSKGKLTLIDTSLDRNYANELMQRCPVDASASITVVNDPETSSSFDNQYYRNLVAHKGLFQSDSVLLDDKRTRNLVQDFANDQEKFFQSWSQSFLKLTSIGVKTGEEGEIRQSCSMTSG >Potri.018G015500.3.v4.1 pep chromosome:Pop_tri_v4:18:1077363:1079991:1 gene:Potri.018G015500.v4.1 transcript:Potri.018G015500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX89 MDSWLQGCDASVLLQGNGTERSDPGNRSLGGFQVIDSAKRMLEIFCPGTVSCADVVALAARDAVAITGGPQLQIPTGRRDGRLSAAANVRPNIIDTTFTMNEMINIFTAKGLSLEDLVVLSGAHTIGSAHCSAFSDRFQEDSKGKLTLIDTSLDRNYANELMQRCPVDASASITVVNDPETSSSFDNQYYRNLVAHKGLFQSDSVLLDDKRTRNLVQDFANDQEKFFQSWSQSFLKLTSIGVKTGEEGEIRQSCSMTSG >Potri.008G113500.1.v4.1 pep chromosome:Pop_tri_v4:8:7227704:7227853:1 gene:Potri.008G113500.v4.1 transcript:Potri.008G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113500.v4.1 MVLPMGLSVQCIMDLVVAGISLVIGLGFFAFIASILCSAVFIYNVKHVS >Potri.001G322100.1.v4.1 pep chromosome:Pop_tri_v4:1:33161115:33164224:1 gene:Potri.001G322100.v4.1 transcript:Potri.001G322100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322100.v4.1 MKIPYTISLQLLTISFKIHKNHFSTTAAATNTNLTTLFNKYFDRTDVYSWNSLIAELARGGDSCESLRAFSWMRKLDIKPNRSTFPCAIKSCSALFDLNSGKQAHQQALVFGFESDLFVSSALIDMYSKCGKLSNARVLFDEIPRRNIVTWTSLITGYVQNDDAHEALMVFKEFLFEKSEGNGEEVGTSVDSVAMISVLSACSRVSNKAVSEGVHGVAIKVGLDKVMGVENTLLDAYAKCGEVSLSRKVFDDMAEKDVVSWNSMIAVYAQNGLSTDAFEVFHGMLKAGGGKYNEVTLSTLLLACAHEGALRVGMCLHDQVIKMGYVNNVIMATSIIDMYCKCGQAEMARNAFDGMKEKNVRSWTAMIAGYGMHGFAREALDVFYQMIWAGVKPNYITFISVLAACSHAGFLEEGWRWFNAMSHEYNVEPGVEHYGCMVDLLGRAGYIKEAYNLIKSMKVRRDFVLWGSLLAACRIHKDVELAEISARELFKLDPSNCGYYVLLANIYADAGRWKDVERMRILVKDRGLVKPPGYSLVELKGRVHVFLVGDKEHPQHEKIYKYLEELSVKLQEAGYVPNMASVLHDVDEEEKEMIVRVHSEKLAVAFGVMNSVPGSTIHVIKNLRVCGDCHTVIKLISKIVSREIIVRDAKRFHHFKDGLCSCGDYW >Potri.009G056200.2.v4.1 pep chromosome:Pop_tri_v4:9:5970303:5973104:-1 gene:Potri.009G056200.v4.1 transcript:Potri.009G056200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056200.v4.1 MFKRNNSQLIKASKQNMTTHRQGKSICCCLLKPPSSDMDTKNEEISTRSRDLRNSTCGEIRYHRSGGKITPTTSILDGSNVYGREKDIEVVLGWLLKGEAAINGRVFVVAIGGKEGVGKTTLAQLVYNDDTVVTAFDLRAWVFDNSKDFDVVSITRTILLSVTDACNFSDNLDLLQVKLRESNNLDLLQVKLREKLSGKSCLIVLDHVCDLDSERWDLLCQPFAGSEVKIVVTTRNNSVPPIMAAMISTHQLEVLSDVDCLSMLVDHAKAKSNFDTDPKLQAIMEKIARKCKGLPRAAKHFGGRLLSTHYTEWEKI >Potri.015G071100.2.v4.1 pep chromosome:Pop_tri_v4:15:9666685:9668461:1 gene:Potri.015G071100.v4.1 transcript:Potri.015G071100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071100.v4.1 MKSKHHGFRDNSLHIERERGREGEREISLRNWHAISWIFLQQYSPTSIIMPSSSSSPFSLSLHHHHHYPLITSTTSSTHHSFITYHQLHIPKPKRGKAEEEEEEEEEEEEEEEGKGRFSLLRKINQLPFHLEISLL >Potri.005G020900.5.v4.1 pep chromosome:Pop_tri_v4:5:1327790:1329567:1 gene:Potri.005G020900.v4.1 transcript:Potri.005G020900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020900.v4.1 MCLFVCKTYFDLLIAFIVGMFRLLSYVFGQKLDIVFYFIFCCSIPSSIYCVSCTYFLHQDHLAFVLSSLIGVYMQRKRKSRRGGPHSTLSLLRKELREGNLQSLLGGSSCIVSSSNSAPDPLLSSFILPMADDLTSSQPSFLSETSVAKKSSVGNVSERNKKSPPMSIKDKEEKAKRSEFVQGLLLSTILDDIL >Potri.005G020900.1.v4.1 pep chromosome:Pop_tri_v4:5:1325235:1329881:1 gene:Potri.005G020900.v4.1 transcript:Potri.005G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020900.v4.1 MDADSWSARLSSASKRYQSALQSRSDMFMGFEEIDGDDDIREEFPCPFCSEYFDIVGLCCHIDDEHTMESKNGVCPICAMRVSVDMVAHITLQHGNIFKMQRKRKSRRGGPHSTLSLLRKELREGNLQSLLGGSSCIVSSSNSAPDPLLSSFILPMADDLTSSQPSFLSETSVAKKSSVGNVSERNKKSPPMSIKDKEEKAKRSEFVQGLLLSTILDDIL >Potri.010G094300.1.v4.1 pep chromosome:Pop_tri_v4:10:11837009:11842902:-1 gene:Potri.010G094300.v4.1 transcript:Potri.010G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094300.v4.1 MDLESGVFQNHVKKESWKTVLTLAYQSLGVVYGDLSTSPLYVYKSTFAEDIQHSETNEEIYGVLSFVFWTLTLVPLLKYVFIVLKADDNGEGGTFALYSLLCRHARINSLPNCQVADEELYEYKKDAANTCLTPTTAFGLRLKSTLEKHRVLQRFLLLLALIGTCMVIGDGVLTPALSVFSAVSGLELSMAKEHHKYVEVPVACTILICLFALQHYGTHRVGFLFAPVVLMWLLCISAIGIYNIIHWNPHVYQALSPYYMYKFLRKTQRGGWMSLGGILLCITGSEAMFADLGHFSQLSIQIAFTSLVYPSLILAYMGQAAYLSQHHVIDNDYHIGFYVSVPGKLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPRVKIVHTSSKIHGQIYIPEINWTLMLLCLAVTIGFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHKTVFLAICFVCFFGTIEALYFSASLIKFLEGAWVPIALSFIFLIVMCVWHYGTLKAYEFDVQNKVSINWLLSLGPSLGIVRVRGIGLIHTELVSGIPAIFSHFVTNLPAFHQVLVFLCIKSVPVPHVRAKERFLIGHIGPREYRLYRCIVRYGYRDVHKDDMEFEKDLVCSIAEYIRTGNAEPNGARDEMESEDDKMTVVGTCCTHTDGIQLREDDVDKIESAGTSELREIRSPPVMQPRKRVRFIVPDSPKINRGAREELHELMEAREAGIAYILGHCYVRAKQGSSMLKKLVVNYGYEFLRRNSRAPAYALSVPHASTLEVGMVYRV >Potri.009G088100.1.v4.1 pep chromosome:Pop_tri_v4:9:8176470:8179588:-1 gene:Potri.009G088100.v4.1 transcript:Potri.009G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G088100.v4.1 MNASGFKPALPGISSSKRLGFFSFSGGNQPLDLKPRGVCLIKAAMEETRTLKLDVNGKVEDKLPNGHAGKNHNRLSTMGNSTNIKWHECPVEKIDRQKLLKQKGCVVWITGLSGSGKSTVACALSHMLYQRGSLSYILDGDNIRHGLNRDLSFKAEDRAENIRRVGEVAKLFADAGFICIACLISPYRRDRAECRAMLPSDDFVEVFMDVPLQVCENRDPKGLYKLARAGKIKGFTGVDDPYEPPLDAEIVLQCNTGDCSTPCDMAEKVISYLEVKGYLQA >Potri.019G016110.1.v4.1 pep chromosome:Pop_tri_v4:19:2561291:2561987:-1 gene:Potri.019G016110.v4.1 transcript:Potri.019G016110.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016110.v4.1 MEARFYALTIVLVLAVFAPAAMTCYGRDISIHNFYKIENSRMVLQRRIPDGDSPYYRRRGDTAATRVSPPVAAREKGQGLPPNSPPGVIH >Potri.017G146400.4.v4.1 pep chromosome:Pop_tri_v4:17:14644394:14646266:1 gene:Potri.017G146400.v4.1 transcript:Potri.017G146400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146400.v4.1 MNTSSMDAADRISQLPDHIKHRIFSFLSTPEVVRLSVLSKPWHQVFTSFPISEFSCPSFFTKKSDRSYEFCNFVYKSLLRQCRLYRSIPRFQLSVSTRDLCRPFPYCKFINRCIELSTQKGVKELSIYFCMPLYHRLPEAMLSVKELVVCKLAGCLLKGNVNWPSLRVLSLKKVEICDQSIIDNLIFTCPFIEKLALIECNGLRYLHLSGLRKLKKVKVKSQISPPLEKIEIDVVSLQTFSYSASYKEKTDIDLTSCKNLEVFKYKHGMITEDLIQGLNCNFPALKVLVLHGYRHHIQRIEISIPLLEKLNLSLPDLSAEEAIINAPRLRSFKCYMENIPPLFSLNQTSLQEVALKLSLDLIYFQQRESFREDFMEIIESFNQIKLVTLRFTYYSSSVINKIVSKVSNPVLLDIRHLKLKTYIDGTEKVNVALVDDLFCICRPESLLLVSGCGGNDAFMKILCKKLVRRVKHKNYSAAAHVKCWQRDLKGVKIEHFGRNGYKKVVTCHAFLDSFQILEPKQKIRFVFEW >Potri.002G230000.2.v4.1 pep chromosome:Pop_tri_v4:2:22079335:22084778:1 gene:Potri.002G230000.v4.1 transcript:Potri.002G230000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230000.v4.1 MAMSLLNISGGRASMKRMLLLMLIAGICVPIEVVFGQAAAKGNGTAVSSSSSSRPSVANIGTLYTYDSVIGKAAGPAIAAAVDDVNSDPTILPGTRLNLISHNTNCSGFLATVEVLQLMVNDVVAVIGPQSSGVAHIISHVVNELHVTLLSFAATDPTLSALQYPYFLRTTQNDYFQMYAIADIVTYFGWREVIAIFVDDDYGRSGISILGDALAMKRAKISYKAALAPRASRSQISDLLLKVNQMESRVYVVHVNPDSGLSLFSTAKSLHMMTKGYVWIATDWLPSVLDALEPDDTDTMNLLQGVIALRHHTQDTDLKKKFMSKWSSLNHKNSIGASGFNSYALYAYDTVWLAARALDVFLNEGRNLSYSSDPKLNDTNGSALNLSSMRIFDGGQEFLQTLLRMNFTGLSGQIQFDMDKNLVHPAYDVLNIGGTGSRRIGYWSDYSGLSTVTPEVLYTKPKNTSASSQHLYSAIWPGETSLVPRGWVFPENGKPLRIAVPNRISYVQFVSKDRNPPGVRGYCIDVFEAAINLLPYPVPHMYVLHGNGKRNPVYNEIVQAVAEDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEVQSSPWAFLKPFTFQMWLVTGAFFLLVGAVVWILEHRINHEFRGSPRQQLMTIFWFSFSTMFFSHRENTLSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLAAGNEPIGVQDGSFARNYLIDELNIAESRLVILKSQEEYSTFLQLGPNRGGVAAIVDELPYIELFLSASNCAFKIVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHADCSAQGNEIDENHLSLKSFWGLFLICGIACSISLVVFFCNIICQYRRFTPEDGEEAEVDEIQPPRPQRSVCSTSLKKLIGFIDRKEEAINEMIKPKSTDIKRQGSPSSDGHTISSA >Potri.002G230000.9.v4.1 pep chromosome:Pop_tri_v4:2:22079554:22084684:1 gene:Potri.002G230000.v4.1 transcript:Potri.002G230000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230000.v4.1 MAMSLLNISGGRASMKRMLLLMLIAGICVPIEVVFGQAAAKGNGTAVSSSSSSRPSVANIGTLYTYDSVIGKAAGPAIAAAVDDVNSDPTILPGTRLNLISHNTNCSGFLATVEVLQLMVNDVVAVIGPQSSGVAHIISHVVNELHVTLLSFAATDPTLSALQYPYFLRTTQNDYFQMYAIADIVTYFGWREVIAIFVDDDYGRSGISILGDALAMKRAKISYKAALAPRASRSQISDLLLKVNQMESRVYVVHVNPDSGLSLFSTAKSLHMMTKGYVWIATDWLPSVLDALEPDDTDTMNLLQGVIALRHHTQDTDLKKKFMSKWSSLNHKNSIGASGFNSYALYAYDTVWLAARALDVFLNEGRNLSYSSDPKLNDTNGSALNLSSMRIFDGGQEFLQTLLRMNFTGLSGQIQFDMDKNLVHPAYDVLNIGGTGSRRIGYWSDYSGLSTVTPEVLYTKPKNTSASSQHLYSAIWPGETSLVPRGWVFPENGKPLRIAVPNRISYVQFVSKDRNPPGVRGYCIDVFEAAINLLPYPVPHMYVLHGNGKRNPVYNEIVQAVAEDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEVQSSPWAFLKPFTFQMWLVTGAFFLLVGAVVWILEHRINHEFRGSPRQQLMTIFWFSFSTMFFSHRENTLSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLAAGNEPIGVQDGSFARNYLIDELNIAESRLVILKSQEEYSTFLQLGPNRGGVAAIVDELPYIELFLSASNCAFKIVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHADCSAQGNEIDENHLSLKSFWGLFLICGIACSISLVVFFCNIICQYRRFTPEDGEEAEVDEIQPPRPQRSVCSTSLKKLIGFIDRKEEAINEMIKPKSTDIKRQGSPSSDGHTISSA >Potri.002G230000.8.v4.1 pep chromosome:Pop_tri_v4:2:22079340:22084782:1 gene:Potri.002G230000.v4.1 transcript:Potri.002G230000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230000.v4.1 MAMSLLNISGGRASMKRMLLLMLIAGICVPIEVVFGQAAAKGNGTAVSSSSSSRPSVANIGTLYTYDSVIGKAAGPAIAAAVDDVNSDPTILPGTRLNLISHNTNCSGFLATVEVLQLMVNDVVAVIGPQSSGVAHIISHVVNELHVTLLSFAATDPTLSALQYPYFLRTTQNDYFQMYAIADIVTYFGWREVIAIFVDDDYGRSGISILGDALAMKRAKISYKAALAPRASRSQISDLLLKVNQMESRVYVVHVNPDSGLSLFSTAKSLHMMTKGYVWIATDWLPSVLDALEPDDTDTMNLLQGVIALRHHTQDTDLKKKFMSKWSSLNHKNSIGASGFNSYALYAYDTVWLAARALDVFLNEGRNLSYSSDPKLNDTNGSALNLSSMRIFDGGQEFLQTLLRMNFTGLSGQIQFDMDKNLVHPAYDVLNIGGTGSRRIGYWSDYSGLSTVTPEVLYTKPKNTSASSQHLYSAIWPGETSLVPRGWVFPENGKPLRIAVPNRISYVQFVSKDRNPPGVRGYCIDVFEAAINLLPYPVPHMYVLHGNGKRNPVYNEIVQAVAEDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEVQSSPWAFLKPFTFQMWLVTGAFFLLVGAVVWILEHRINHEFRGSPRQQLMTIFWFSFSTMFFSHRENTLSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLAAGNEPIGVQDGSFARNYLIDELNIAESRLVILKSQEEYSTFLQLGPNRGGVAAIVDELPYIELFLSASNCAFKIVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHADCSAQGNEIDENHLSLKSFWGLFLICGIACSISLVVFFCNIICQYRRFTPEDGEEAEVDEIQPPRPQRSVCSTSLKKLIGFIDRKEEAINEMIKPKSTDIKRQGSPSSDGHTISSA >Potri.002G230000.3.v4.1 pep chromosome:Pop_tri_v4:2:22079363:22084746:1 gene:Potri.002G230000.v4.1 transcript:Potri.002G230000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230000.v4.1 MAMSLLNISGGRASMKRMLLLMLIAGICVPIEVVFGQAAAKGNGTAVSSSSSSRPSVANIGTLYTYDSVIGKAAGPAIAAAVDDVNSDPTILPGTRLNLISHNTNCSGFLATVEVLQLMVNDVVAVIGPQSSGVAHIISHVVNELHVTLLSFAATDPTLSALQYPYFLRTTQNDYFQMYAIADIVTYFGWREVIAIFVDDDYGRSGISILGDALAMKRAKISYKAALAPRASRSQISDLLLKVNQMESRVYVVHVNPDSGLSLFSTAKSLHMMTKGYVWIATDWLPSVLDALEPDDTDTMNLLQGVIALRHHTQDTDLKKKFMSKWSSLNHKNSIGASGFNSYALYAYDTVWLAARALDVFLNEGRNLSYSSDPKLNDTNGSALNLSSMRIFDGGQEFLQTLLRMNFTGLSGQIQFDMDKNLVHPAYDVLNIGGTGSRRIGYWSDYSGLSTVTPEVLYTKPKNTSASSQHLYSAIWPGETSLVPRGWVFPENGKPLRIAVPNRISYVQFVSKDRNPPGVRGYCIDVFEAAINLLPYPVPHMYVLHGNGKRNPVYNEIVQAVAEDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEVQSSPWAFLKPFTFQMWLVTGAFFLLVGAVVWILEHRINHEFRGSPRQQLMTIFWFSFSTMFFSHRENTLSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLAAGNEPIGVQDGSFARNYLIDELNIAESRLVILKSQEEYSTFLQLGPNRGGVAAIVDELPYIELFLSASNCAFKIVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHADCSAQGNEIDENHLSLKSFWGLFLICGIACSISLVVFFCNIICQYRRFTPEDGEEAEVDEIQPPRPQRSVCSTSLKKLIGFIDRKEEAINEMIKPKSTDIKRQGSPSSDGHTISSA >Potri.002G230000.7.v4.1 pep chromosome:Pop_tri_v4:2:22079594:22084783:1 gene:Potri.002G230000.v4.1 transcript:Potri.002G230000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230000.v4.1 MAMSLLNISGGRASMKRMLLLMLIAGICVPIEVVFGQAAAKGNGTAVSSSSSSRPSVANIGTLYTYDSVIGKAAGPAIAAAVDDVNSDPTILPGTRLNLISHNTNCSGFLATVEVLQLMVNDVVAVIGPQSSGVAHIISHVVNELHVTLLSFAATDPTLSALQYPYFLRTTQNDYFQMYAIADIVTYFGWREVIAIFVDDDYGRSGISILGDALAMKRAKISYKAALAPRASRSQISDLLLKVNQMESRVYVVHVNPDSGLSLFSTAKSLHMMTKGYVWIATDWLPSVLDALEPDDTDTMNLLQGVIALRHHTQDTDLKKKFMSKWSSLNHKNSIGASGFNSYALYAYDTVWLAARALDVFLNEGRNLSYSSDPKLNDTNGSALNLSSMRIFDGGQEFLQTLLRMNFTGLSGQIQFDMDKNLVHPAYDVLNIGGTGSRRIGYWSDYSGLSTVTPEVLYTKPKNTSASSQHLYSAIWPGETSLVPRGWVFPENGKPLRIAVPNRISYVQFVSKDRNPPGVRGYCIDVFEAAINLLPYPVPHMYVLHGNGKRNPVYNEIVQAVAEDRYDAAVGDVTIVTNRTKIVDFTQPFMESGLVVVAPVKEVQSSPWAFLKPFTFQMWLVTGAFFLLVGAVVWILEHRINHEFRGSPRQQLMTIFWFSFSTMFFSHRENTLSTLGRFVLIIWLFVVLIINSSYTASLTSILTVQQLTSRIEGIDSLAAGNEPIGVQDGSFARNYLIDELNIAESRLVILKSQEEYSTFLQLGPNRGGVAAIVDELPYIELFLSASNCAFKIVGQEFTKSGWGFAFQRDSPLAVDLSTAILQLSENGDLQKIHNKWLTHADCSAQGNEIDENHLSLKSFWGLFLICGIACSISLVVFFCNIICQYRRFTPEDGEEAEVDEIQPPRPQRSVCSTSLKKLIGFIDRKEEAINEMIKPKSTDIKRQGSPSSDGHTISSA >Potri.006G018000.1.v4.1 pep chromosome:Pop_tri_v4:6:1121146:1125558:1 gene:Potri.006G018000.v4.1 transcript:Potri.006G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018000.v4.1 MVGYGNEEQVLGGVGSEDLSLSHPDPIVLELNRLQNLLKEKGRELGAAQGEIKALRATEALKDKAIEEFRNGVGKLEEKLGVMENLVESKNLEIRKITNEKKDALAAQYAAEATLRRVHANQKDDDSPPIESVIAPLEAEIKMYKNEIAVLQEDKKAMERLTKSKESALLEAEGILRSALERALIVEEVQNQNYELKRQIEICQEENRILEKTNRQKVLEVEKLSQTIHELEEAILAAGAAANTIRDYRRQISELNEEKRILERELARARVSANRVATVVANEWKDENDKVMPVKQWLEERRMLQAEMQRLKDKLAISERTANAEAQLKEKLKLRLKTLEEGLQHVSSFSVNPNASCGSPKPGKTSNILGFLTSNGGIRKRSASQPRGSTISRSSPFQQPNIETENANAAGIQNRADCFKKKSGSGENLLRKGMWVSRSKVVDSGGKENAEVKTNTDSYIDKHKNNDTTSSAETKNKVGGNEDLQNEGGTISSSEDVVSGFLYDRLQKEVINLRKYCETKESNLNAKDQEIQMLMKKVDALTKSIEVESRKVKREAAAREKEAVSAKPNKPKRFGV >Potri.002G198000.1.v4.1 pep chromosome:Pop_tri_v4:2:16092849:16097640:-1 gene:Potri.002G198000.v4.1 transcript:Potri.002G198000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198000.v4.1 MANKLLFLLCVVALSHYALVAIAGKSYYEVLQVPKGASDEQIKRAYRKLALKYHPDKNQGNEEANLRFAEISNAYEVLSDSEKRNIYDRHGEEGLKQHMASGGRGGGGGMNFQDIFSQFFGGGQMEEEEKIVKGDDVIVELDATLEDLYMGGSLKVWREKNVIKPAPGKRRCNCRNEVYHKQIGPGMFQQMTEQVCEQCQNVKHEREGYFLTVDIEKGMQDGQEVVFYEDGEPIIDGEPGDLKFRIRTAPHDVFRREGNDLHTTVTITLVQALVGFKKTVKHLDEHLVDISSKGITKPKEVRRFKGEGMPLHFSTKNGDLYITFEVLFPASLSEDQKTKIKEVLG >Potri.006G140600.1.v4.1 pep chromosome:Pop_tri_v4:6:11800758:11801503:1 gene:Potri.006G140600.v4.1 transcript:Potri.006G140600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140600.v4.1 MAVASSDTQSPPPAKSSPQLEVESVKCHSCGFTEDCTPPQISRVRERHQGRWICGLCVEAVKDEVLRSDRLISTEEALNRHITFCKDFRSSSTDPLNQTEHPILVMSRILRRSLDSPRALRSDSSSALPAVDKIDGSSLVQSGICFSALSR >Potri.014G159900.1.v4.1 pep chromosome:Pop_tri_v4:14:11413392:11416023:1 gene:Potri.014G159900.v4.1 transcript:Potri.014G159900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159900.v4.1 MAEILATQILAILFCLSAAAAAAGTKFYSFNSQDGTSVSSLNANDGTCKSVVEPQGYACQEHTVTTKDGYILSLQRMPSGLSGQAADKPPVLLQHGLMMDGVTWLMNLPDESLAFILADNGYDVWIANSRGTRFSRGHASLHPNDSVYWDWTWDELAAYDLPATFQYVHDQTGQNLHYVGHSQGTLIAFAAFSQEKLLNMLRSAVLLSPIAYLNQMPSPLARGAADIFLAEDLYWLGLHEFVPRGQAANKLLEDICSKPGTNCSDFMTVFTGPNCCLNSSRTNEFLDHEPQSTATKNMIHLAQMIRTGTIAMYDYGNENDNMDHYGQPTPPVYNMTSIPNDLPLFLGYGGKDYLSDVKDVQILLDNLKDHDGDKLVVQYTDEYAHADFVFGVNANQIVYDPLIAFFKIN >Potri.006G217700.1.v4.1 pep chromosome:Pop_tri_v4:6:22296133:22300818:1 gene:Potri.006G217700.v4.1 transcript:Potri.006G217700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217700.v4.1 MELATSLCYTSPNNLTADYNNNPRYPSKTKFNPPFYPWVPTRRSKTSGSSSLSRMVSNGYAGRSSSNFRYGDGNTVITNTNYKQRQKYTQMESCLVIPPPKGRKPRAIIKFLGGAFIGAVPEVTYSCLIELLAKDGYVVILVPYNVTFDHSKAADQVYERFNACLDLLLQSGLPHDGLTASELVGLPLFSVGHSNGALLQVLTGSYFCEKIPKANAIISFNNRPATEAVPYFEQLGPLVNQMMPVFEASPMYAMARSASGDAWKVLLDTAGTIIPDSEQEALISLTKFVDQLPSVFGQVTEGISEFKPTPSENRDCCRNSYNVQHTLLVKFNSDAIDETDVLEETLKPRVESIGGTIEKVQLSGSHITPCIQEPKWQAGYVYTPVDAIAQGLKTLSLNETKVLSRTICDWLRCFEDLSA >Potri.016G078600.1.v4.1 pep chromosome:Pop_tri_v4:16:5961917:5971724:1 gene:Potri.016G078600.v4.1 transcript:Potri.016G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078600.v4.1 MEAVQLSTVASRHFSTPSLSKNNKTLSYYSSCPGCSTKSFCSSWTGSSNNVTSLSSRNSFTKEMWRWVTCKSVVTFRREMGGGVVKAEMFGQLTSGLESAWNKLKGEEVLTKENIVEPMRDIRRALLEADVSLPVVRRFVQSVSDQAVGVGLVRGVKPDQQLVKIVHDELVKLMGGEVSELVFAKSAPTVILLAGLQGVGKTTVCAKLANYLKKQGKSCMLIAGDVYRPAAIDQLVILGEQVGVPVYTEGTDVKPSEIARKGLSEAKKKNIDVVIVDTAGRLQIDKGMMDELKDVKLILNPTEVLLVVDAMTGQEAAALVTTFNLEIGITGAILTKLDGDSRGGAALSVKEVSGKPIKLVGRGERMEDLEPFYPNRMAGRILGMGDVLSFVEKAQEVMRQEDAEELQKKIMSANFDFNDFLKQTRAVAKMGSMTRVIGMIPGMAKVTPGQVREAEKNLKIMEAMIEVMTPEEREKPELLAESPERRKRVAQASGKTEHQVSQLVAQLFQMRVRMKNLMGVMEGGSIPSLSNLEDALKTEQKAPPGTARRRRKTESSKRFVDSVSSRPGPHGFGSSN >Potri.001G139100.1.v4.1 pep chromosome:Pop_tri_v4:1:11331547:11334571:1 gene:Potri.001G139100.v4.1 transcript:Potri.001G139100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139100.v4.1 MSSSAIEADTSDLVCQLDNVQGIVDALSTVRWKRHQDAVVELSEHGIVLIVEETGCLQAKVYLQKELFIRYEYTAQGRPRFGLSLGLFVDCLNTFSVPGHSSTIEIRYPGPDMQLLIKSADSLDDCIYAEIRTRIPETISWDYNFEPAGSTPLSFTVKSAALKEAIDDLEWPGSCIQIILKPVPPSVTFRGEGHGDLQIDFMYYANTDLLIAFHCDHQVSYRYKYKFLRATTSNMPSSVTKDNRGSKLTIGRGGMLKVQHLVSVARPSTSHQHIDSAGYQQPSRIAYIEFFVKPEEDEDTVND >Potri.010G007987.1.v4.1 pep chromosome:Pop_tri_v4:10:1523364:1523843:-1 gene:Potri.010G007987.v4.1 transcript:Potri.010G007987.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007987.v4.1 MADRLVKIGQEGFAAIDEHFARARSRRPPVMKVPYAHPTYYYVPATEVIDSNEAARRYKGRVYVDYPKGKPVPF >Potri.001G000450.1.v4.1 pep chromosome:Pop_tri_v4:1:14683:14997:-1 gene:Potri.001G000450.v4.1 transcript:Potri.001G000450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000450.v4.1 MLQKQQDYPFRLCSLNIPGVCGYHLTALYVGIEKGKHYLCKSWPGLSSLPRRQLFEQQPYKALSASATKAAGISATFAVNYTKSLFKWLISCKYLGCFWRWCCD >Potri.005G164800.1.v4.1 pep chromosome:Pop_tri_v4:5:16363565:16364349:1 gene:Potri.005G164800.v4.1 transcript:Potri.005G164800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164800.v4.1 MLRSFTFSLCFLLASDSPPSTLLGNYLNLGSPWNLRYWRDDSKNGRDWSADRLDLALLSWSGPSLLSLGFSIKFRFHIFIVTVKSDLCYKALSWFEL >Potri.014G055300.2.v4.1 pep chromosome:Pop_tri_v4:14:3520779:3537996:1 gene:Potri.014G055300.v4.1 transcript:Potri.014G055300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055300.v4.1 MGGGGGGHSPFDLFKSFFSRGGFGGGGSSIGRRLKQGEDRVHSLRVSLEDSYNGTSMKLSRNILCAKCKGKGSKSGASGTCHGCQDSGMKVPIQQIGLGMVQQMQQHVCPECRGSGELISEKDKCPLCRGNKVTQEKMILVFKSSQEPAFLRFLFSVPANCLTQLQGDVVAVLQLKEHPNFERKLDDLYVKRTINLTEALCGYQFSLTHLDGRQLLIKSNPGKIIKPGQNKAINDEGMPHYLRPFMKGKLYIRFNVEFPDSGSLSPQQCEETIMHDVNMEEEKRHKQQQHQQQEAYDEDEEPSTS >Potri.014G055300.3.v4.1 pep chromosome:Pop_tri_v4:14:3520779:3537996:1 gene:Potri.014G055300.v4.1 transcript:Potri.014G055300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055300.v4.1 MKLSRNILCAKCKGKGSKSGASGTCHGCQDSGMKVPIQQIGLGMVQQMQQHVCPECRGSGELISEKDKCPLCRGNKVTQEKMILVFKSSQEPAFLRFLFSVPANCLTQLQGDVVAVLQLKEHPNFERKLDDLYVKRTINLTEALCGYQFSLTHLDGRQLLIKSNPGKIIKPGQNKAINDEGMPHYLRPFMKGKLYIRFNVEFPDSGSLSPQQCEETIMHDVNMEEEKRHKQQQHQQQEAYDEDEEPSTS >Potri.007G020000.1.v4.1 pep chromosome:Pop_tri_v4:7:1520405:1520530:-1 gene:Potri.007G020000.v4.1 transcript:Potri.007G020000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020000.v4.1 MTPVLCEILLSGFTINSTLRRGTHLVQSFSVVFLYWFYVFS >Potri.004G153300.1.v4.1 pep chromosome:Pop_tri_v4:4:17427049:17432481:1 gene:Potri.004G153300.v4.1 transcript:Potri.004G153300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153300.v4.1 MEEKPAGSFADSPASFEPATSRRRAGGHKRKASLSNSLSSPLSSKRLTREKAGFSNLSIHNGPLTRARQIPYILASSAPSAGVKIEQKVVAAVPDAAAVVEEERRSRVEELQAEIEAEFEVIRSRDSNAHVVPSHCGWFSWTQIHSLEERLLPSFFNGKSQSRTPDTYLDIRNWIMKKFHANPNILIELKDLSELEVSDSEARQEVLEFLDYWGLINFHPLQLDSVTNADGDGAAKKDLSLEKLFRFEAIQTCPPVVTKPNFTAPTTPSRLFPESAIAEELAKLEGPSVEYHCNSCSADCSRKRYHCQKEADYDLCADCFNNRKFGSNMSSSDFILMEPAEAAGVSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDAFFDCANDMDGTSKETADADATIEDTSAPKDVHDTSESKTGADEDQHLTVPMEASKPEDTSGVKVCQGGDVINGQETSKSEDVSGVKAGEEIGENVALRALTEAFEAVGYSPTPENRLSFSEVGNPVMAVASFLARLVGPDVATASACSALKSLSSNSPGMQLASRHCFLLEDPPDERKKPSCSDCVATEMADQDALKDKQEGKSQKGNSPTSGIDNKDLSDDYSDKKVEDSIPEEKKPLDSSKGEFPDKVDVVNGGEMVVTHEEVEPGRSKESSNSELPKDHTPSVVKESDEIPPKSGCPPSSGKEPLEVTSAEEHSQLTEVAKDVDMVSNLKPPEKNGHSQSFASMSVDEPSQAVDVSKDVDMVSDSLPADNNGSQQPVKSNATGEQSQTTEATADVDMSSSQPSEVNEPSDPKVESGATADEVPKDSKKEKPDSEVIKDDNNIDKLKRAAVSALSAAAVKAKLLANQEEDQIRELAASLIEKQLHKLETKLAFFNEMDSVIMRVREQLDRSRQRLYQERAQIIAARLGLPPSSRAMPQSLPSNRIAMNFANTFPRPPMNMATQRPPISTPMGTLANTPPGTFVSTTTAAGNSIRPSSQEKISSIGTK >Potri.004G153300.5.v4.1 pep chromosome:Pop_tri_v4:4:17427829:17432485:1 gene:Potri.004G153300.v4.1 transcript:Potri.004G153300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153300.v4.1 MKKFHANPNILIELKDLSELEVSDSEARQEVLEFLDYWGLINFHPLQLDSVTNADGDGAAKKDLSLEKLFRFEAIQTCPPVVTKPNFTAPTTPSRLFPESAIAEELAKLEGPSVEYHCNSCSADCSRKRYHCQKEADYDLCADCFNNRKFGSNMSSSDFILMEPAEAAGVSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDAFFDCANDMDGTSKETADADATIEDTSAPKDVHDTSESKTGADEDQHLTVPMEASKPEDTSGVKVCQGGDVINGQETSKSEDVSGVKAGEEIGENVALRALTEAFEAVGYSPTPENRLSFSEVGNPVMAVASFLARLVGPDVATASACSALKSLSSNSPGMQLASRHCFLLEDPPDERKKPSCSDCVATEMADQDALKDKQEGKSQKGNSPTSGIDNKDLSDDYSDKKVEDSIPEEKKPLDSSKGEFPDKVDVVNGGEMVVTHEEVEPGRSKESSNSELPKDHTPSVVKESDEIPPKSGCPPSSGKEPLEVTSAEEHSQLTEVAKDVDMVSNLKPPEKNGHSQSFASMSVDEPSQAVDVSKDVDMVSDSLPADNNGSQQPVKSNATGEQSQTTEATADVDMSSSQPSEVNEPSDPKVESGATADEVPKDSKKEKPDSEVIKDDNNIDKLKRAAVSALSAAAVKAKLLANQEEDQIRELAASLIEKQLHKLETKLAFFNEMDSVIMRVREQLDRSRQRLYQERAQIIAARLGLPPSSRAMPQSLPSNRIAMNFANTFPRPPMNMATQRPPISTPMGTLANTPPGTFVSTTTAAGNSIRPSSQEKISSIGTK >Potri.004G153300.4.v4.1 pep chromosome:Pop_tri_v4:4:17427049:17431949:1 gene:Potri.004G153300.v4.1 transcript:Potri.004G153300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153300.v4.1 MEEKPAGSFADSPASFEPATSRRRAGGHKRKASLSNSLSSPLSSKRLTREKAGFSNLSIHNGPLTRARQIPYILASSAPSAGVKIEQKVVAAVPDAAAVVEEERRSRVEELQAEIEAEFEVIRSRDSNAHVVPSHCGWFSWTQIHSLEERLLPSFFNGKSQSRTPDTYLDIRNWIMKKFHANPNILIELKDLSELEVSDSEARQEVLEFLDYWGLINFHPLQLDSVTNADGDGAAKKDLSLEKLFRFEAIQTCPPVVTKPNFTAPTTPSRLFPESAIAEELAKLEGPSVEYHCNSCSADCSRKRYHCQKEADYDLCADCFNNRKFGSNMSSSDFILMEPAEAAGVSGGKWTDQETLLLLEALELYKENWNEIAEHVATKTKAQCILHFVQMPIEDAFFDCANDMDGTSKETADADATIEDTSAPKDVHDTSESKTGADEDQHLTVPMEASKPEDTSGVKVCQGGDVINGQETSKSEDVSGVKAGEEIGENVALRALTEAFEAVGYSPTPENRLSFSEVGNPVMAVASFLARLVGPDVATASACSALKSLSSNSPGMQLASRHCFLLEDPPDERKKPSCSDCVATEMADQDALKDKQEGKSQKGNSPTSGIDNKDLSDDYSDKKVEDSIPEEKKPLDSSKGEFPDKVDVVNGGEMVVTHEEVEPGRSKESSNSELPKDHTPSVVKESDEIPPKSGCPPSSGKEPLEVTSAEEHSQLTEVAKDVDMVSNLKPPEKNGHSQSFASMSVDEPSQAVDVSKDVDMVSDSLPADNNGSQQPVKSNATGEQSQTTEATADVDMSSSQPSEVNEPSDPKVESGATADEVPKDSKKEKPDSEVIKDDNNIDKLKRAAVSALSAAAVKAKLLANQEEDQIRELAASLIEKQLHKLETKLAFFNEMDSVIMRVREQLDRSRQRLYQERAQIIAARLGLPPSSRAMPQSLPSNRIAMNFANTFPRPPMNMATQRPPISTPMGTLANTPPGTFVSTTTAAGNSIRPSSQEKISSIGTK >Potri.005G229100.1.v4.1 pep chromosome:Pop_tri_v4:5:22877436:22880849:1 gene:Potri.005G229100.v4.1 transcript:Potri.005G229100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229100.v4.1 MGAEALPRNDEIAAAAVSSHPLILGLQPAALVDHVAPVDWSLLDQIPGDRGGSMPVAIEELDHILEAVKAHKLASPDELSPMKTMAGGSVANTIRGLSAGFGVSCGIIGACGDDEQGKLFVSNMSFSRVNLSRLRMKQGHTAQCICMVDELANRTMRPCLSSAVKIQADELTKEDFKGSKWLVLRYAIFNLDVIQAAIRIAKQEGLLVSLDLASFEMVRNFRSSLQQLLESGDIDLCFANEDEAMELLRGEQTSDPEAAVEFLAKHCKWAVVTLGANGCIARHGKEIVRVPAIGEAKATDATGAGDLFAGGFLYGLIKGLSLEECCKAGACSGGSVIRALGGEVTPENWQWMYKQMQIKGLPLPDNRN >Potri.012G067500.1.v4.1 pep chromosome:Pop_tri_v4:12:8752120:8755141:1 gene:Potri.012G067500.v4.1 transcript:Potri.012G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067500.v4.1 MVSPLSLSKKVCVIGAGPSGLVAARELRKEGHNVVVLEQRYDVGGQWLYEPNVESEDPLGKKKFLQVHSSIYASLRLISPREIMGFTDFPFLVKKGRDTRRFPGHRELWLYLKDFCEHFGLREMIRFNTSVEYVRMLEYDEIGKDLKWMVKSRDKSSEEMAVEEVFDAVVVATGHYSHPRLPTIKGMDTWKRKQMHSHIYRVPEPFRNEVVVVVGNSLSGQDISMELVEVAKEVHLSAKSLNITEGLSKVISKHENLHLHPQIETLQEDGRVVFVDGSWLIADTILHCTGYSYTFPFLDTKGMVAVDDDRVGPLYEHTFPPALAPSLSFVGIPRKIIGFPFFEAQAKWIAQLLSGKRTLPSREEMMHSIEEFYRSRDAAAIPKHYTHDIGDFEYCDRYGDHIGFPHLEEWRKQLCLSALVNADANLEAYRDSWEDHELLQEALQSPHFTQLGAEDLTF >Potri.018G052350.1.v4.1 pep chromosome:Pop_tri_v4:18:5117430:5121444:-1 gene:Potri.018G052350.v4.1 transcript:Potri.018G052350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G052350.v4.1 MKAFNLMRGEEDDDQSPVVMERETAVTSNRKAMTEEVIDCWINIWDMQSRDAAIPDVILRFKISQAAVSSNHRKDRALSNWKREAKPIGCCCGEGPNKYIGPTEDQCFLLPWSQQTDSNINNQARLHPKEEGNKWFSAPDGSVQQLNDDHRILLTQKLSMEVFVTFIITT >Potri.006G127900.1.v4.1 pep chromosome:Pop_tri_v4:6:10367344:10368607:-1 gene:Potri.006G127900.v4.1 transcript:Potri.006G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127900.v4.1 MASRNLFNARANNNYPTPGSGNNPITGHDDVFELDEADVWDSNVAPLLESKKTIPSSRCSKRALRKFDHMAKDGTPVTCASLPVNIPDWSKIYNDHQKKEDIEGSVHPVDDDTDYDNDGDDDDDDQDGRVPPHEYLARRRGASFSVHEGIGRTLKGRDLRQVRNAIWKRVGFED >Potri.006G123600.2.v4.1 pep chromosome:Pop_tri_v4:6:9851589:9859045:1 gene:Potri.006G123600.v4.1 transcript:Potri.006G123600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123600.v4.1 MAIKSQLISGLTLPLPHPPSTSSSSNNNSNSNNNTILSLVKRRNLKTSFFNGGVEALKITRIRTGLSTRSHCYGHGGGALGTRMNLFDRFARVVKSYANAIVSSFEDPEKILEQTVLEMNDDLTKMRQATAQVLASQKRLENKYNATQQASEEWYRKAQLALQKGEEDLAREALKRRKTYADNANSFKAQLDQQRSVVENLISNTRLLESKIQEAKSKKDTLKARAQSAKTATKVNEMLGNVNTSNALSAFEKMEEKVLAMESEAEALGQLTTNELDGKFALLEGSSVDDDLENLKKELAGSSKKGELPPGRTVVTNSNNPFRDPDIEMELNELRQKRNNF >Potri.016G025600.4.v4.1 pep chromosome:Pop_tri_v4:16:1436108:1437017:1 gene:Potri.016G025600.v4.1 transcript:Potri.016G025600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025600.v4.1 MHAQGCSPDLVTYSILLDGLSKQGCLDQALELFQEMQNNYLNPDLVIYNILIDAMCKSGKLEDARELFLKLIVKGLLPDVRSWTSIISGLCREGLLDEAYKAFRQMERDGCPPDCCSYNVIVRGFLQSNSASRAEQLFQEMFDRGFSADALTRTLAADLLSKDDNLGLKRLLGESECCQGEKVM >Potri.016G025600.3.v4.1 pep chromosome:Pop_tri_v4:16:1427009:1436995:1 gene:Potri.016G025600.v4.1 transcript:Potri.016G025600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025600.v4.1 MMMSMAMALKQQRVLMAISSPSASTLLGFHSQRSQAETGMIFFLHTSSSRSGRPKYQKGCSFTNIEDALCCFNHMVHMHPLPRIIEFNDFLSALVRIKHYGTVLYLSKQIELLQIERDVCHFSILINCFCRLQRVDFGFSVLGKIIKLGFEPSVMVFSTLINGLCIKGKIARAVEFFDEMVERGYPPNLHTYTTIIKGLCKIGETPVAVGLLKKMDNAGCQPNVVTYSTLIDSLCKDRLVKEALDIFSEMKSKGIQPNVVTYNSLMHGLCNSDQQEEASALFNEMMSFNILPDVVTFNILVDTFCKKGKISEAQGIVKTMIEKGVEPNTVTYSSLMNGYLLQNRVFEARKVFNAMITRGCIPDVLSYNILINGCCKAQRIDEAKQIFDEMSFRGLIPNTATYNTLISGLSQAGRIFEAKELFKNMHVQGCMPNVLSYNILINGSCKALRIDEAKQLFDEMSFRGLIPNTASYNTLISGLFQVGRILEAKELFKDMHAQGCSPDLVTYSILLDGLSKQGCLDQALELFQEMQNNYLNPDLVIYNILIDAMCKSGKLEDARELFLKLIVKGLLPDVRSWTSIISGLCREGLLDEAYKAFRQMERDGCPPDCCSYNVIVRGFLQSNSASRAEQLFQEMFDRGFSADALTRTLAADLLSKDDNLGLKRLLGESECCQGEKVM >Potri.016G025600.2.v4.1 pep chromosome:Pop_tri_v4:16:1434525:1441376:1 gene:Potri.016G025600.v4.1 transcript:Potri.016G025600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025600.v4.1 MMMSMAMALKQQRVLMAISSPSASTLLGFHSQRSQAETGMIFFLHTSSSRSGRPKYQKGCSFTNIEDALCCFNHMVHMHPLPRIIEFNDFLSALVRIKHYGTVLYLSKQIELLQIERDVCHFSILINCFCRLQRVDFGFSVLGKIIKLGFEPSVMVFSTLINGLCIKGKIARAVEFFDEMVERGYPPNLHTYTTIIKGLCKIGETPVAVGLLKKMDNAGCQPNVVTYSTLIDSLCKDRLVKEALDIFSEMKSKGIQPNVVTYNSLMHGLCNSDQQEEASALFNEMMSFNILPDVVTFNILVDTFCKKGKISEAQGIVKTMIEKGVEPNTVTYSSLMNGYLLQNRVFEARKVFNAMITRGCIPDVLSYNILINGCCKAQRIDEAKQIFDEMSFRGLIPNTATYNTLISGLSQAGRIFEAKELFKNMHVQGCMPNVLSYNILINGSCKALRIDEAKQLFDEMSFRGLIPNTASYNTLISGLFQVGRILEAKELFKDMHAQGCSPDLVTYSILLDGLSKQGCLDQALELFQEMQNNYLNPDLVIYNILIDAMCKSGKLEDARELFLKLIVKGLLPDVRSWTSIISGLCREGLLDEAYKAFRQMERDGCPPDCCSYNVIVRGFLQSNSASRAEQLFQEMFDRGFSADALTRTLAADLLSKDDNLGLKRLLGESECCQGEKEIISSSTMP >Potri.001G366200.1.v4.1 pep chromosome:Pop_tri_v4:1:38248940:38252070:-1 gene:Potri.001G366200.v4.1 transcript:Potri.001G366200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366200.v4.1 MSRSLLFLSLFLSLLLLTAGHSGHNDDDEADADADGDATKINLRSTSLILVKIWCLILIFIGTFIGAVSPYFLKWNEGFLVLGTQFASGVFLGTALMHFLSEASKSFEDLTEKEYPFAFMLACAGYLLTMLADSIISYVYSKDVASQVNVGDLELQGGVLQGKRSHTSSFQSHFQMHNGTDLASAQSTLPTASSFGDTILLIFALCFHSVFEGIAIGVEKTKANAWRALWTISLHKIFAAIAMGISLLRTIPDHPFVSCVAYAFVFAISSPIGVAIGIIIDTTTQGLVADWIFAISMGLACGVFIYVSINHLSTKGYLPQRSVLVDTPLYKFLAVSLGIGVVAVVMIWGENKGR >Potri.001G026300.1.v4.1 pep chromosome:Pop_tri_v4:1:1969682:1972585:-1 gene:Potri.001G026300.v4.1 transcript:Potri.001G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026300.v4.1 MITFLPYINTSVSTPILTLAHSFPRFSHRHHRHKMSSMESLILQLHEISAVKFGSFKLKSGITSPVYIDLRLIISYPSLLRHISQTLITSIPTTTTFDLICGVPYTALPIATTVSISNDIPMVMRRKEVKDYGTSKAIEGNYQKDQACLIIEDLVTSGASVLETAAPLRAAGLKVTDAVVLIDREQGGRENLEENGIKLHAIIKLSEMVRVLRDKGKLEEETEKGVLRFLEENRRVGVVKAVEKSVRVKSLGFEERAKLAKNEMGKRLFEVMVKKESNLCVAADVGTAKELLELAEKVGQEICLLKTHVDILPDFTPDFGAKLRAIADKYNFLIFEDRKFADIGNTVTMQYEGGIFRILDWADIVNCHIISGPGIVDGLKLKGLPRGKGLLLLAEMSSAGNFAKGDYTAAAVKIAEEHSDFVMGFISVNPASWPGAPVNPAFIQATPGVQMVTGGDALGQQYNTPYSVIYDRGSDIIIVGRGIIKAANPAEAAREYRLQGWDAYLAKCT >Potri.017G145000.6.v4.1 pep chromosome:Pop_tri_v4:17:14532361:14537812:1 gene:Potri.017G145000.v4.1 transcript:Potri.017G145000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145000.v4.1 MLPEAETKQITNEDVKNWLQQATDAVYEAGNLLDEIVHEARRAELKSSSQSYLDKIENVFTSLCTSNENNKEGTMVENSVKIFEKLEMLAKEGENRHNLLRSDGHSYIDNGVYGRDYDKETIMPLLLSEDAKGKSLEAIVLEGIGGIGKTTFAKLVYLDMKVQECFDLKAWVYVSQEFDAVRIVVDILKNIGSFRGVTMDANELQKELKKRLTRKKLLLVLDEVWKADDQHAQWNFLVTALESAAKGSKIIITTREMSPTVASVLPSVTPFNLKEISDADCWALFLEHAFCGEESQARLSFDINFRSKVLGRCGGIPLRAKMIGNHLRCKPDLYWEEISSRWDLQPVIIPATMKLRYYRFPSNLKQCFVYCSLFPKDHSFKRETIVLLWLANGFLGGDKGKKEVGYGYFQKLVAYSFFQQKNDDTSAFVMNDLVHSWAKFLRGDFSVSLDDGDSHIFSKARHLWCGSKRGYEDLKNLVGDGFHSFRTILPPSNESFWGDVNDEKLKAFNRLRVLSLSNINQLPDVFCWLKHLRHLEISGESIKSLPETLCMTFTLQTLILRQCPNLAELPANIVKLTELCHLDIRETGLQQTPPQMGKLTELETLTDFFVGQQNGCRIDELGELRYLLGELRIWNLQNVSHGLNASEANLKGKDLKKLELRWSGRRDTDDSLTEEDVLEALWPSAKVEDLSIIGYGGKNLPGWVGHTSFEKMVDLRLSGCKYCVSLPSLGQLESLKTLLIEDFYNLEYVGDEFYGSPTTEGNPFQSLESLTFERMLQWREWKSKGRAFRSLQVLCIRKCPSLTTLPSDLPSLVELEIAKCKLVGPPSGWSPAPISKIILANDFHKVQLEKLSSDSQSLTVDSFHAFDFIRQEIEEWGYACSTLQKIDITRYPLEFFPLNLFPQLKQLEISRCPKLKSLCGPEELLGDSMSTESFCSGCPTLETLALSNCSNFESLFCSLPSLVNLKIVSCGKLQSLPGMDFSASSEPLAGNSNWNSEACMYPELKILSLERCSNFESLFCSLPSLVNLKIVACGKLQSFPGMDFSASLEPLAGNSNWNSEVCEYPNLKLLSLEHCSNLKTVHCLLPSLENLELYNCGQLEPFLGMGLSSSFGLSSPSKLKSLVIRGCRKLLAGCDKWGMGRFPSLSTLSLDYSDEVECSLKQRTFLPAPFTFLSICDLPNLTSLELQGFTFLYGLELEDCPKLQSLSEGSLPYDLFSLHIRSCPLMEQRCQQDTGEDWPKISHVPNIKIGYHNFNVKSRRL >Potri.017G145000.3.v4.1 pep chromosome:Pop_tri_v4:17:14532119:14537440:1 gene:Potri.017G145000.v4.1 transcript:Potri.017G145000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145000.v4.1 MAFLGEIGSAFLKSAVDLLVHKFNSPEVQRFFQRQKLLEGRLPFKLTTSLKSVNSILLDAERKQTTKEGVKDWLDDVQHVVHEAEDLVDVISCQARGSKLKPGYFNTEDEIINNLKDILERLRGLEERARTLCLIKSFKGSLPCLSFVEAEFGSEFLSSTIVLLVQQLGSPIEFRGSFRRQILIEGLFEKLKEPLNTIKIMLPEAETKQITNEDVKNWLQQATDAVYEAGNLLDEIVHEARRAELKSSSQSYLDKIENVFTSLCTSNENNKEGTMVENSVKIFEKLEMLAKEGENRHNLLRSDGHSYIDNGVYGRDYDKETIMPLLLSEDAKGKSLEAIVLEGIGGIGKTTFAKLVYLDMKVQECFDLKAWVYVSQEFDAVRIVVDILKNIGSFRGVTMDANELQKELKKRLTRKKLLLVLDEVWKADDQHAQWNFLVTALESAAKGSKIIITTREMSPTVASVLPSVTPFNLKEISDADCWALFLEHAFCGEESQARLSFDINFRSKVLGRCGGIPLRAKMIGNHLRCKPDLYWEEISSRWDLQPVIIPATMKLRYYRFPSNLKQCFVYCSLFPKDHSFKRETIVLLWLANGFLGGDKGKKEVGYGYFQKLVAYSFFQQKNDDTSAFVMNDLVHSWAKFLRGDFSVSLDDGDSHIFSKARHLWCGSKRGYEDLKNLVGDGFHSFRTILPPSNESFWGDVNDEKLKAFNRLRVLSLSNINQLPDVFCWLKHLRHLEISGESIKSLPETLCMTFTLQTLILRQCPNLAELPANIVKLTELCHLDIRETGLQQTPPQMGKLTELETLTDFFVGQQNGCRIDELGELRYLLGELRIWNLQNVSHGLNASEANLKGKDLKKLELRWSGRRDTDDSLTEEDVLEALWPSAKVEDLSIIGYGGKNLPGWVGHTSFEKMVDLRLSGCKYCVSLPSLGQLESLKTLLIEDFYNLEYVGDEFYGSPTTEGNPFQSLESLTFERMLQWREWKSKGRAFRSLQVLCIRKCPSLTTLPSDLPSLVELEIAKCKLVGPPSGWSPAPISKIILANDFHKVQLEKLSSDSQSLTVDSFHAFDFIRQEIEEWGYACSTLQKIDITRYPLEFFPLNLFPQLKQLEISRCPKLKSLCGPEELLGDSMSTESFCSGCPTLETLALSNCSNFESLFCSLPSLVNLKIVSCGKLQSLPGMDFSASSEPLAGNSNWNSEACMYPELKILSLERCSNFESLFCSLPSLVNLKIVACGKLQSFPGMDFSASLEPLAGNSNWNSEVCEYPNLKLLSLEHCSNLKTVHCLLPSLENLELYNCGQLEPFLGMGLSSSFGLSSPSKLKSLVIRGCRKLLAGCDKWGMGRFPSLSTLSLDYSDEVECSLKQRTFLPAPFTFLSICDLPNLTSLELQGFTFLYGLELEDCPKLQSLSEGSLPYDLFSLHIRSCPLMEQRCQQDTGEDWPKISHVPNIKIGYHNFNVKSRRL >Potri.004G201700.1.v4.1 pep chromosome:Pop_tri_v4:4:21150015:21152424:-1 gene:Potri.004G201700.v4.1 transcript:Potri.004G201700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201700.v4.1 MATRIGSAARRLAFRRFSSGGKVLSEEEKAAENVYIKKVEKEKLEKLARKAETTASGSGGASTDIKASTAASPTPPGVSTEKVSTDKYRNYAVVAGTVTVFGALGWYLKSGGKKQEEVRD >Potri.017G119800.1.v4.1 pep chromosome:Pop_tri_v4:17:12590360:12591085:1 gene:Potri.017G119800.v4.1 transcript:Potri.017G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119800.v4.1 MELAPRSFYGSWKRCWRRKRYQRFDGAITARKNIKVTRFGGSPRRVWKIKAVPKLRILKSIASPLKLMSKLKNAYINMMLGMAGNVDGTNVFGNRRVPRGRQVKAPNYPSEAFEKRLVYEIYKNLLATRELSTVKISN >Potri.014G076400.12.v4.1 pep chromosome:Pop_tri_v4:14:4942677:4945864:-1 gene:Potri.014G076400.v4.1 transcript:Potri.014G076400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076400.v4.1 MSTLDATRAELALVVLYLNKAEARDKICRAIQYGSKFLSDGQAGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPQGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERVELIGRISLFCWMGSSICTTLVEVGELGRLSASMKKLEKELKEGEKNHNEQYRAKLKKSNERSLALVKSAMDIVVAVGLLQLAPKKVTPRVTGGFGFVSSLISCYQLLPSPQKSKTT >Potri.014G076400.11.v4.1 pep chromosome:Pop_tri_v4:14:4942610:4945849:-1 gene:Potri.014G076400.v4.1 transcript:Potri.014G076400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076400.v4.1 MSTLDATRAELALVVLYLNKAEARDKICRAIQYGSKFLSDGQAGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPQGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERVELIGRISLFCWMGSSICTTLVEVGELGRLSASMKKLEKELKEGEKNHNEQYRAKLKKSNERSLALVKSAMDIVVAVGLLQLAPKKVTPRVTGGFGFVSSLISCYQLLPSPQKSKTT >Potri.014G076400.10.v4.1 pep chromosome:Pop_tri_v4:14:4942393:4945710:-1 gene:Potri.014G076400.v4.1 transcript:Potri.014G076400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076400.v4.1 MSTLDATRAELALVVLYLNKAEARDKICRAIQYGSKFLSDGQAGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVPQGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERVELIGRISLFCWMGSSICTTLVEVGELGRLSASMKKLEKELKEGEKNHNEQYRAKLKKSNERSLALVKSAMDIVVAVGLLQLAPKKVTPRVTGGFGFVSSLISCYQLLPSPQKSKTT >Potri.011G022900.1.v4.1 pep chromosome:Pop_tri_v4:11:1712456:1713572:-1 gene:Potri.011G022900.v4.1 transcript:Potri.011G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022900.v4.1 MKKLYRKGTVHPSPPIISDHLSFLPATILTLTAALSPEDREVLAYLISCSSSNNILCSNWSYMNSRKSSSRQKTTSYTKNSSSSNNDHPPMFNCDCFRCYMSYWIRWDSSPNRQLIHEIIDAFEDWLLKQGKSSSSSGKKNKKDRKRKGNSQGSGELIKRVELRMKHRMDESNSVDENRSGGGGGEVAAAAAAAGGGGGCGEEEVTDKGSVRRFVSFIGERIWGVLG >Potri.005G023000.3.v4.1 pep chromosome:Pop_tri_v4:5:1462942:1465203:1 gene:Potri.005G023000.v4.1 transcript:Potri.005G023000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023000.v4.1 MAASSSLSSDSSSSSRNRRRHRNRRDRDSLKIRKKSSSNHKSQSKRRRKHHHRHSSSSDSYDSSSDSYSSSDSEHETSSRSKKLKKNDRSKKNKEMDRSKSHHHKRQKQKVKEQQDERNSSPVQLSKFLGRDKEDGVRRSAVSGKKILLKLEKSKEDKAAENKRNELLKFLNASCD >Potri.005G023000.2.v4.1 pep chromosome:Pop_tri_v4:5:1463036:1465226:1 gene:Potri.005G023000.v4.1 transcript:Potri.005G023000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023000.v4.1 MAASSSLSSDSSSSSRNRRRHRNRRDRDSLKIRKKSSSNHKSQSKRRRKHHHRHSSSSDSYDSSSDSYSSSDSEHETSSRSKKLKKNDRSKKNKEMDRSKSHHHKRQKQKVKEQDERNSSPVQLSKFLGRDKEDGVRRSAVSGKKILLKLEKSKEDKAAENKRNELLKFLNASCD >Potri.005G023000.4.v4.1 pep chromosome:Pop_tri_v4:5:1462983:1465174:1 gene:Potri.005G023000.v4.1 transcript:Potri.005G023000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023000.v4.1 MAASSSLSSDSSSSSRNRRRHRNRRDRDSLKIRKKSSSNHKSQSKRRRKHHHRHSSSSDSYDSSSDSYSSSDSEHETSSRSKKLKKNDRSKKNKEMDRSKSHHHKRQKQKVKEVGL >Potri.015G125000.3.v4.1 pep chromosome:Pop_tri_v4:15:13775868:13779052:-1 gene:Potri.015G125000.v4.1 transcript:Potri.015G125000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125000.v4.1 MSRIKLERSPSISSCFPERFSGPSMEISTSTSGRGYTTTSSYSHQVPRFMPSLPETAVDKHLVEEKQELLKVLPGSAAPAVASLNSQHSVSIDITDHESSVPSPAAAQLQKENAIGSLQRMQSLFNTFPNSAPATLYSERTVTIDLTRQQSVSAPASIDLYSKSAAAPLPAPPTISHGLVKGNRSTTGLTLYAPLYQAAMKGDWEKADEFFKSHPGAINVRITKEMDTVLHIAAGAKHTKFVEEVVKSMTGTDLTLRNKYNNTALCYAAASGVTKIAEMMVSKNRNLPMMRNNRGVTPLYIAALFGHKDMVWYLYSVTSDEYLTRDDYIGLLIATISTDLFDVALSIIQHQPELAIQRDLNGETALHVLARKSSAFASKSGLGFWHRFIYPWIFVEVPTKCSCPSSIFQIHRHLSNQESLSLVGQLFRAIQMNVPGIKAVYDKKLMHTQVLELVKLSWEQVLLLDDCQIAELLASPSQPLFVAAEFGIVEFITALIRSYPDLIWKVNEQSRSIFHIAVAHRQEKIFSLINDIGAHKDMITAYKDINNANILHLAGMIAPRDKLNVISGAALQMQRELLWFKVLTEPTTLSYFYFEATGLFISSFGFLCNIFRKLRRMCSLH >Potri.015G125000.2.v4.1 pep chromosome:Pop_tri_v4:15:13775677:13779083:-1 gene:Potri.015G125000.v4.1 transcript:Potri.015G125000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125000.v4.1 MSRIKLERSPSISSCFPERFSGPSMEISTSTSGRGYTTTSSYSHQVPRFMPSLPETAVDKHLVEEKQELLKVLPGSAAPAVASLNSQHSVSIDITDHESSVPSPAAAQLQKENAIGSLQRMQSLFNTFPNSAPATLYSERTVTIDLTRQQSVSAPASIDLYSKSAAAPLPAPPTISHGLVKGNRSTTGLTLYAPLYQAAMKGDWEKADEFFKSHPGAINVRITKEMDTVLHIAAGAKHTKFVEEVVKSMTGTDLTLRNKYNNTALCYAAASGVTKIAEMMVSKNRNLPMMRNNRGVTPLYIAALFGHKDMVWYLYSVTSDEYLTRDDYIGLLIATISTDLFDVALSIIQHQPELAIQRDLNGETALHVLARKSSAFASKSGLGFWHRFIYPWIFVEVPTKCSCPSSIFQIHRHLSNQESLSLVGQLFRAIQMNVPGIKAVYDKKLMHTQVLELVKLSWEQVLLLDDCQIAELLASPSQPLFVAAEFGIVEFITALIRSYPDLIWKVNEQSRSIFHIAVAHRQEKIFSLINDIGAHKDMITAYKDINNANILHLAGMIAPRDKLNVISGAALQMQRELLWFKEVEKNVQPSLKEMRDKNGRTPRMLFTEEHRGLVKEGEKWMKNTASSCMLLATLITTVMFAAIFTVPGGNDNSKGTPLVLASTSFIVFAVADAFALFSSVTSILMFLSILTSRYAEEDFVESLPKRLVVGLATLFCSIAAMLVAFAATFCIVLDHRLAWIVVPISLGSSVPVTLFAFLQFPLFVDMIHSSYGAGIFARKSTDMLY >Potri.006G106500.4.v4.1 pep chromosome:Pop_tri_v4:6:8205919:8211008:1 gene:Potri.006G106500.v4.1 transcript:Potri.006G106500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106500.v4.1 MVKNCGDYVHFQIAEKNVLGEMVKIIKKKTDMHVRDKILALLDSWQEAFGGPGGKHPQYYWAYEELRRAGVEFPQRSLDAAPIFTPPATNPTLRLTQPGYGMPSNSSRRLDETMAAEIECLSLSGLDSMWDVMELLNDMLQAVNPGNHEAIKDEVIVDLVDRCRSNQKKLMQMLATTGDEELLGKGLELNDSMQILLAKHDAIASGSPMPTQVTSLCAKPSEGWSSDIKPTEARDASPRSTTNSTTPVPNATRSAIDEEDEEEDGFTQLARRHSKTRSMSSQSSCGTNGALVPLDAGVPIASTSSPCNALALTDPPAPVRTMKEQDMIDFLSLTLSTSTSPHTPPVSKTMPQIHVSSNTQGYPYVSQTYPVNHGPIPYNSYVVPWAQPQTQQHQVRPPSQPQLQHQTQQHQLPPPSQPLQQLQPQPQQQAVPQPQLYLQPQSQPQLQPQFQLQHPRYSSCYPPPPWAATTGNLNNQNHMSATNNMFSSPRANKTASYTPVQAARPVQQFNSFPTKAGNGSTMNGDPWMSSTSRIPAAPGQKQSFVPPYRLFEDLNVLGNADGRYKMTSSRPPSLG >Potri.006G106500.1.v4.1 pep chromosome:Pop_tri_v4:6:8206050:8212200:1 gene:Potri.006G106500.v4.1 transcript:Potri.006G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106500.v4.1 MALAMGMGLGSSSSATVAVDKATSDLLIGPDWTMNIDICDSVNSHHWQAKDVVKALKKRLQHKSPKVQLLALTLLETMVKNCGDYVHFQIAEKNVLGEMVKIIKKKTDMHVRDKILALLDSWQEAFGGPGGKHPQYYWAYEELRRAGVEFPQRSLDAAPIFTPPATNPTLRLTQPGYGMPSNSSRRLDETMAAEIECLSLSGLDSMWDVMELLNDMLQAVNPGNHEAIKDEVIVDLVDRCRSNQKKLMQMLATTGDEELLGKGLELNDSMQILLAKHDAIASGSPMPTQVTSLCAKPSEGWSSDIKPTEARDASPRSTTNSTTPVPNATRSAIDEEDEEEDGFTQLARRHSKTRSMSSQSSCGTNGALVPLDAGVPIASTSSPCNALALTDPPAPVRTMKEQDMIDFLSLTLSTSTSPHTPPVSKTMPQIHVSSNTQGYPYVSQTYPVNHGPIPYNSYVVPWAQPQTQQHQVRPPSQPQLQHQTQQHQLPPPSQPLQQLQPQPQQQAVPQPQLYLQPQSQPQLQPQFQLQHPRYSSCYPPPPWAATTGNLNNQNHMSATNNMFSSPRANKTASYTPVQAARPVQQFNSFPTKAGNGSTMNGDPWMSSTSRIPAAPGQKQSFVPPYRLFEDLNVLGNADGRYKMTSSRPPSLG >Potri.002G146300.1.v4.1 pep chromosome:Pop_tri_v4:2:11022927:11027281:1 gene:Potri.002G146300.v4.1 transcript:Potri.002G146300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146300.v4.1 MASTSSLTLSQALLARAVSHNAIDNLRDSRLSLVSLPAFSGLKSTTCTATRATTTTSRRRRVSSRQVRAAAVETLDATTETSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKSFRQWGSRTPGHPENFETPGVEVTTGPLGQGIANAVGLALAEKHLAARYNKPDNEIVDHYTYVILGDGCQMEGISNEACSLAAHWGLGKLIAFYDDNHISIDGDTEIAFTEDVDKRFEGLGWHVIWVKNGNTGYDEIRAAIKEAKSVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRQNLGWPYEPFHVPEDVKQHWSRHTPAGAAFEAEWNAKFAEYEKKYSEEAAELKSIANGELPAGWEKALPTYTPESPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGAICNGIALHCPGLIPYCATFFVFTDYMRAAMRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNRKRPSILALSRQKLPQLPGTSIEGVEKGGYIISDNSSSNKPDVILIGTGSELEIAAKAAEELRKEGKAVRVVSFVSWELFDEQSDAYKESVLPAAVEARVSIEAGSTFGWEKIVGDKGKAIGIDRFGASAPAGKIYKEYGITTEAVIAAAKEVS >Potri.001G154500.1.v4.1 pep chromosome:Pop_tri_v4:1:12953418:12955244:1 gene:Potri.001G154500.v4.1 transcript:Potri.001G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154500.v4.1 MDACMFYILFFSMFLTIKALESNCPTVKCSHDGPDIRFPFRVAGRQPQHCGQPGFDLVCKENTTMIDFPSYGPLVVKSISYDFRKLSLLDPKNCVHEVFLNLNPSGTPFQYYYLLKNFTYLNCSTRLSPSLNEVSCLSDSRNHVYTVESSFHMPFSCRQLKTIPIPFSYSPYLADNSFGLGLTWSLPGCEDCESRGGSCVFQSKEGLKTGCPSIAQDKGFSIVHLLSSGTGSMILIILVFVFVMTTMISIKVYFRQKLKVDEEAENENLL >Potri.001G058400.1.v4.1 pep chromosome:Pop_tri_v4:1:4422645:4429297:-1 gene:Potri.001G058400.v4.1 transcript:Potri.001G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G058400.v4.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNRGKLYEFCSSSSMLKTLERYQKCNYGAPEPNVSAREALELSSQQEYLKLKARYEALQRTQRNLLGEELGPLSSKELESLERQLDMSLKQIRSTRTQYMLDQLHDLQHKEHMLTAANKSLKERLMEGYQLNSLQLNPSAEDVEYARQQAQPQGDGFFHALECEPTLQIGYQPENITMVTAGPSMTTYMPGWLA >Potri.016G101900.1.v4.1 pep chromosome:Pop_tri_v4:16:10355958:10356257:1 gene:Potri.016G101900.v4.1 transcript:Potri.016G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101900.v4.1 MEGLIPFVYRAIMQFNNGKEAGPLGSWFSESPSASYMRLPGDSGRFQKSDLRILGSDHGFSNSSTSSNTHSSTTQIIVSTGAQAPLNCRLTSRRVVSQS >Potri.014G028000.5.v4.1 pep chromosome:Pop_tri_v4:14:1756921:1761966:-1 gene:Potri.014G028000.v4.1 transcript:Potri.014G028000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028000.v4.1 MEIEGGGGAAIRALGSFFKLTEVYIWNDGSTETREISLLPESTKSSRDLDDISFSIPASTIEISEILENEQLIKQMDALGLPFSFLSNKETSNKMKKSKRRGARLKHSRSHKEAKEEALEFTQVSGLEIVSPSVLNDSTSNSLCCMSMMGQSESCFYDVAVGIDETECPTGDSASSTKIISGAVREKNLGGTSHCLSNAGHEYESVPHNDVMSKDDDNGGQSLSNSDGAPPGVCCLTDAGVNHGEKEIANRLMEYECLEESSAANHHEEGDKFCNDSGTEHLGISEPAEFSQSSEVVGHGEIDSYNYYGDFGDWGVYWDSLYMRYYFHNVKTDTCTWYPPPGMEHLASGDITDELNEATAEVTEMDGGPSFSCSSLNYHETSEGPPNDESCLNKSSDEVSMEIGVATGNSMPGLTIVPANGSFEHADECLVNGSCDDEVALHLPSAVQECMDGLMSTVTEEVTEESDIYLEIADPATDNLDIQLNPAIRKPKKKEKKTRVHKKSSMNSEDLQSEQMFEEFSSNIAKYWCQRYTLFSRFDDGIRMDEEGWFSVTPEPIAKHHALRCMGDTIIDCFTGVGGNAIQFAQRYKHVIAIDIDPKKIDYAFHNASIYGVSDQIDFIEGDFFALASKLKADSVFLSPPWGGPAYSKVKTYNIKTMLKPRDGYSLFNTAKQIGHRIIMFLPRNIDLNQLAELCLTSNPPWSLEVEKNFMNGKLKAITAYFSNTVIEGQ >Potri.014G028000.4.v4.1 pep chromosome:Pop_tri_v4:14:1756881:1761965:-1 gene:Potri.014G028000.v4.1 transcript:Potri.014G028000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028000.v4.1 MGIDETECPTGDSASSTKIISGAVREKNLGGTSHCLSNAGHEYESVPHNDVMSKDDDNGGQSLSNSDGAPPGVCCLTDAGVNHGEKEIANRLMEYECLEESSAANHHEEGDKFCNDSGTEHLGISEPAEFSQSSEVVGHGEIDSYNYYGDFGDWGVYWDSLYMRYYFHNVKTDTCTWYPPPGMEHLASGDITDELNEATAEVTEMDGGPSFSCSSLNYHETSEGPPNDESCLNKSSDEVSMEIGVATGNSMPGLTIVPANGSFEHADECLVNGSCDDEVALHLPSAVQECMDGLMSTVTEEVTEESDIYLEIADPATDNLDIQLNPAIRKPKKKEKKTRVHKKSSMNSEDLQSEQMFEEFSSNIAKYWCQRYTLFSRFDDGIRMDEEGWFSVTPEPIAKHHALRCMGDTIIDCFTGVGGNAIQFAQRYKHVIAIDIDPKKIDYAFHNASIYGVSDQIDFIEGDFFALASKLKADSVFLSPPWGGPAYSKVKTYNIKTMLKPRDGYSLFNTAKQIGHRIIMFLPRNIDLNQLAELCLTSNPPWSLEVEKNFMNGKLKAITAYFSNTVIEGQ >Potri.015G023750.1.v4.1 pep chromosome:Pop_tri_v4:15:1766173:1767086:1 gene:Potri.015G023750.v4.1 transcript:Potri.015G023750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023750.v4.1 MGWRPPSQEGSNLTQKVLTHSLAKQLLVLLLDLLILLVAWRLAFHSLRISSSQGRVLFFMQNSKVDGANLMGGRTQESDARIEVCG >Potri.001G062700.2.v4.1 pep chromosome:Pop_tri_v4:1:4858046:4866943:1 gene:Potri.001G062700.v4.1 transcript:Potri.001G062700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062700.v4.1 MTMVPARSLSKQQQQQHQLCYGSYFGGGTSAYNESFGLMSRLEGYDSCVSEACLLGSDLVVANIPMAEDESRTNSVNNEAGSSSKDVQEERDEGWLQLSIGGQTTTTTTPTSHESKHYHHHHHQQQQQLVLDPTTRRGGLIELDLLPGSISSISHQARPLSTPMFHVPDFRTPPRPVMNIAASHATNFGTTSLFFQHHPAATSSTYPLHQEINWPFRPMLHNIATASSSYSPSSSSSSLMPLGSYFSRPFQVNSGMDVAGPSSDFRVIDPPRRPHSGIWFLLQASQNQTKEPFLPQISKSYLRIKDGRMTVRLLMKYLVNKLGLDSESEQIEITCRGQQLVPFLTLQHVRDNIWSPRDALTLLPESSTTDHVMVLHYGRSA >Potri.001G062700.1.v4.1 pep chromosome:Pop_tri_v4:1:4858046:4866977:1 gene:Potri.001G062700.v4.1 transcript:Potri.001G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062700.v4.1 MTMVPARSLSKQQQQQHQLCYGSYFGGGTSAYNESFGLMSRLEGYDSCVSEACLLGSDLVVANIPMAEDESRTNSVNNEAGSSSKDVQEERDEGWLQLSIGGQTTTTTTPTSHESKHYHHHHHQQQQQLVLDPTTRRGGLIELDLLPGSISSISHQARPLSTPMFHVPDFRTPPRPVMNIAASHATNFGTTSLFFQHHPAATSSTYPLHQEINWPFRPMLHNIATASSSYSPSSSSSSLMPLGSYFSRPFQVNSGMDVAGPSSDFRVIDPPRRPHSGIWFLLQASQNQTKEPFLPQISKSYLRIKDGRMTVRLLMKYLVNKLGLDSESEIEITCRGQQLVPFLTLQHVRDNIWSPRDALTLLPESSTTDHVMVLHYGRSA >Potri.008G072700.4.v4.1 pep chromosome:Pop_tri_v4:8:4482532:4485892:-1 gene:Potri.008G072700.v4.1 transcript:Potri.008G072700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072700.v4.1 MLLKAAPAFSLLNTRGDSLGPLFSSASSLSNNNLAVSPSILRSKTGSGFLVCASKGATNKSLIGVVFKPFEEVKKELNLVPTLPHVSLARQKFTDESEAAINQQINVEYNVSYVYHAMFAYFDRDNVALKGLANFFKESSIEEREHAEKLMEYQNKRGGKVKLHSILMPLSEFDHTEKGDALYAMELALCLEKLTNEKLLNLHSVADRNNDVQLVDFVESEFLAEQVESIKKISEYVSQLRRVGKGHGVWHFDQMLLRAEEAVA >Potri.019G000657.1.v4.1 pep chromosome:Pop_tri_v4:19:5443:6903:-1 gene:Potri.019G000657.v4.1 transcript:Potri.019G000657.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G000657.v4.1 MLPASFTSFSSLKELNLSYSGLSEATSSIDLGSLCFLENLNLSGHEFFNLPSSISRLSKLQFLTVERCSNLLSISELPSSVLFLSINDCTSIERVRAPLQHERLPLLNVKGCRNLIEIQGMECAGNNWSILNLNGCNNLSENYKMSLIQGLCKGKHYDICLDGGEIPEWFSHRGEGSALSFHFSVPDGNKLQALLLWVVLSASTNEATRESSFLQFDMCVATFKNKSNGIELFETMAAVTFDRTITKHSWIQHIPLIGLEESLQGVEELEVNVKISLYDVPKCWVEKCGVHLIMEKNKADSDQEIDINALGSDDQLLESSLTRVAEMENHRLQ >Potri.005G118600.1.v4.1 pep chromosome:Pop_tri_v4:5:8728585:8731457:1 gene:Potri.005G118600.v4.1 transcript:Potri.005G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118600.v4.1 MTSSSTTTRKALSKIACNRLQKELVEWQVNPPTGFKHKVTDNLQRWVIEVIGAPGTLYANETYQLQVDFPEHYPMEAPQVIFLHPAPLHPHIYSNGHICLDILYDSWSPAMTVSSVCISILSMLSSSTVKQRPEDNDRYVKNCRNGRSPKETRWWFHDDKV >Potri.017G149301.1.v4.1 pep chromosome:Pop_tri_v4:17:14819519:14820363:-1 gene:Potri.017G149301.v4.1 transcript:Potri.017G149301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G149301.v4.1 MAHQLVCRNVFKEKLSPMIQIKVSICSSTEQSIHQQERILNNNCTIKRGTPAQNAAKSSQKQQQAESYSNRKTKLHLNPHGSSPDSFDSNSNCQTNALAGHYTQVL >Potri.005G040000.2.v4.1 pep chromosome:Pop_tri_v4:5:2592298:2597658:-1 gene:Potri.005G040000.v4.1 transcript:Potri.005G040000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040000.v4.1 MGGSEQARRPLLVADQEDPHYHQSSNGGVDGNSTATGFLVFSTIVAATCSFTSGYCIGYSSPAEYGVLADLSLSMAEYSVFGSMLAVGGMIGALMSGKTADYFGHRTTMWIINVFFILGWLAIAFTKVSWLLDLGRLLQGIGIALTSYVGNIFIAEITPKNLRGGLMTFNPWMTGSGVAIVYLIGSVVKWRGLALIGSIPCLLQILCLFFIPESPRWLLKNGRKKEFEGVLQRLRGKKADISPEAAEIKEYAEFIQLLSENKILDLFQKKYARPIIVAVGLMTLTQFSGLPGYTFYMTNIFVLAGISSKAGYVTLAIVKILSTTMAIFLIDKFGRRTLLMVSAAGTCLGSLLTGFSFSLQDHHYWISSLALMGVSVYFVSFNLGISGIPWIIMSEIFPVNVKGSAGSLCNLIYWFSSWVVSYTFNFLLEWSSTGTFIIFAGVSAFGFLFTVMLVPETKGRSLEEIQASVTNVLH >Potri.005G040000.1.v4.1 pep chromosome:Pop_tri_v4:5:2592289:2596593:-1 gene:Potri.005G040000.v4.1 transcript:Potri.005G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040000.v4.1 MGGSEQARRPLLVADQEDPHYHQSSNGGVDGNSTATGFLVFSTIVAATCSFTSGYCIGYSSPAEYGVLADLSLSMAEYSVFGSMLAVGGMIGALMSGKTADYFGHRTTMWIINVFFILGWLAIAFTKVSWLLDLGRLLQGIGIALTSYVGNIFIAEITPKNLRGGLMTFNPWMTGSGVAIVYLIGSVVKWRGLALIGSIPCLLQILCLFFIPESPRWLLKNGRKKEFEGVLQRLRGKKADISPEAAEIKEYAEFIQLLSENKILDLFQKKYARPIIVAVGLMTLTQFSGLPGYTFYMTNIFVLAGISSKAGYVTLAIVKILSTTMAIFLIDKFGRRTLLMVSAAGTCLGSLLTGFSFSLQQDHHYWISSLALMGVSVYFVSFNLGISGIPWIIMSEIFPVNVKGSAGSLCNLIYWFSSWVVSYTFNFLLEWSSTGTFIIFAGVSAFGFLFTVMLVPETKGRSLEEIQASVTNVLH >Potri.018G135600.3.v4.1 pep chromosome:Pop_tri_v4:18:15584539:15587373:1 gene:Potri.018G135600.v4.1 transcript:Potri.018G135600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135600.v4.1 MFCQKTIKVEPLSVEEAWALFMKVLGCIPPEVEETAKSIASECAGLPLGIITMAATMRGVVDVREWRNALEELRESKVRKDDMEPDVFYVLRFSYNHLSDSALQQCFLYCALFPEDFEILREDLIAYLIDEGVIKGLKTREAEFNNGHSMLNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGARLREVPGAEEWTENLTRVSLMHNQIEEIPSTHSPRCPSLSTLLLCDNSELQFIADSFFEQLHWLKVLDLSRTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTWALEKIPQGMECLGNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLQEWIPFTEDIVSHYVPVTVKGKEVAWLRKLESLECHFEGYSDYVEYLKSRDETKSLTTYQILVGPRDKYRYGYDYNYGYDYNYGYDGCRRKTIVWGNLSIDRDGGFQVMFPKDIQQLTIYNNDDATSLCDVSSQIKYATDLEAINISYCNSMESLVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLEEITVKGCEKMEEIIDGTRPDEEGVMGEETSSSNIEFKLPKLRNMELRGLPELKSICSAKLICDSIEEIVVRYCEKIEEILSGTRSDEEGVKGEESNSCSITDLNLTKLRGLTLIELPELESICSAKLICDSLKEIAVYNCKKLKRMPICLPLLENGQPSPPPSLEEIYIDSEEWWESVVEWEHPNAKDVLLPFVRVYGG >Potri.018G135600.2.v4.1 pep chromosome:Pop_tri_v4:18:15583163:15587360:1 gene:Potri.018G135600.v4.1 transcript:Potri.018G135600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135600.v4.1 MVQSTDPFWHHVEEMKCMYCGRQFPNDTSISRIKWHLSGEKGRGVAICERVPKQVQEAAFLAMHGANKRHKGIASSSNFNDNAISTTPQEQNNEVDNLAGDGGTTQAADRMGHPLGRSVEEFSRWLMEDETENGTGGVVQPGAGASSSGGLTGNTNETPGDPLPTSLHVEVDNVAPQGQHLERVTGQPVVRGSSHERPLVNHDEPQEDQLCPPLVNMVGDPGQPVVRDSSREVLQRNGDESGRDVFLTEELTGGEFENNKNAIWSWVMNDEASSSIGIYGMGGVGKTTLLTHIYNQLLQERGTFPHVHWITVSQDFSVSKLQNLIAEDIHLDLSNEDNERKRAAKLSKAFIKKQRWVLILDDLWDCFDYNKVGIPIRVKGCKLILTTRSFGVCQRMFCQKTIKVEPLSVEEAWALFMKVLGCIPPEVEETAKSIASECAGLPLGIITMAATMRGVVDVREWRNALEELRESKVRKDDMEPDVFYVLRFSYNHLSDSALQQCFLYCALFPEDFEILREDLIAYLIDEGVIKGLKTREAEFNNGHSMLNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGARLREVPGAEEWTENLTRVSLMHNQIEEIPSTHSPRCPSLSTLLLCDNSELQFIADSFFEQLHWLKVLDLSRTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTWALEKIPQGMECLGNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLQEWIPFTEDIVSHYVPVTVKGKEVAWLRKLESLECHFEGYSDYVEYLKSRDETKSLTTYQILVGPRDKYRYGYDYNYGYDYNYGYDGCRRKTIVWGNLSIDRDGGFQVMFPKDIQQLTIYNNDDATSLCDVSSQIKYATDLEAINISYCNSMESLVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLEEITVKGCEKMEEIIDGTRPDEEGVMGEETSSSNIEFKLPKLRNMELRGLPELKSICSAKLICDSIEEIVVRYCEKIEEILSGTRSDEEGVKGEESNSCSITDLNLTKLRGLTLIELPELESICSAKLICDSLKEIAVYNCKKLKRMPICLPLLENGQPSPPPSLEEIYIDSEEWWESVVEWEHPNAKDVLLPFVRVYGG >Potri.018G135600.4.v4.1 pep chromosome:Pop_tri_v4:18:15585011:15587401:1 gene:Potri.018G135600.v4.1 transcript:Potri.018G135600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135600.v4.1 MEPDVFYVLRFSYNHLSDSALQQCFLYCALFPEDFEILREDLIAYLIDEGVIKGLKTREAEFNNGHSMLNKLERVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGARLREVPGAEEWTENLTRVSLMHNQIEEIPSTHSPRCPSLSTLLLCDNSELQFIADSFFEQLHWLKVLDLSRTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTWALEKIPQGMECLGNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLQEWIPFTEDIVSHYVPVTVKGKEVAWLRKLESLECHFEGYSDYVEYLKSRDETKSLTTYQILVGPRDKYRYGYDYNYGYDYNYGYDGCRRKTIVWGNLSIDRDGGFQVMFPKDIQQLTIYNNDDATSLCDVSSQIKYATDLEAINISYCNSMESLVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLEEITVKGCEKMEEIIDGTRPDEEGVMGEETSSSNIEFKLPKLRNMELRGLPELKSICSAKLICDSIEEIVVRYCEKIEEILSGTRSDEEGVKGEESNSCSITDLNLTKLRGLTLIELPELESICSAKLICDSLKEIAVYNCKKLKRMPICLPLLENGQPSPPPSLEEIYIDSEEWWESVVEWEHPNAKDVLLPFVRVYGG >Potri.010G212600.1.v4.1 pep chromosome:Pop_tri_v4:10:20088615:20091479:1 gene:Potri.010G212600.v4.1 transcript:Potri.010G212600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212600.v4.1 MILCTLFFIIFCLCKLVFLKRESCCYMLAYECYKAPEDRKLDTETSAKIVFRNKNLGIEEYKFLLHTIVSSGIGEGTYVPRNIISGQEDSSTLKVSITEMDDLIFDTLDKLFAKTGISPSEIDILVVNVSLFSPAPSLAARVVNRYKMRSNVKTFNLSGMGCSASVVSIDLVQHLFKSHKNAFAVVVSTESIGPNWYQGKEKSMMLSNCLFRSGGCSMLFTNNSALKRQAIFRLKHLVRTHLGSKDESYGCCTQTVDDLGYKGFLLTKSLKKSAAQALALNLRVLAPKMLPVSELIRYVYVSLREKKTKSTSIQEMGAGLNLKTGVDHLCIHPGGRAIIDEVGKSLGLSNYDLEPTRMALHRFGNTSAGGLWYVLAYMEAKQRLKKGNKILMISLGAGFKCNNCVWEVMRDLEDVNVWKDSIDQYPPETTVNPFIEKYSWINEEYLSFVRFH >Potri.006G111850.1.v4.1 pep chromosome:Pop_tri_v4:6:8687967:8688744:-1 gene:Potri.006G111850.v4.1 transcript:Potri.006G111850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111850.v4.1 MLSASHLSSLKSVSFSSMFSVSHLSSQTAREYAKKGLRIPVLRLSYYKILKFQNPGRKDTKSRSILIEGV >Potri.002G006700.1.v4.1 pep chromosome:Pop_tri_v4:2:371294:375899:-1 gene:Potri.002G006700.v4.1 transcript:Potri.002G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006700.v4.1 MAGGEAPGARDLEQTPTWAVSVVCAAMIIVSIILDKVLHRTGQWFQKKHNTALFDALEKVKGELMVLGFISLTLTFSQSYIAGICIPLRYANTMLPCPAKGQKGQLGSRGENHRRLLWSQHRFLAGDSGSKECKDGSVPLISVDGLHQLHIFIFFLAVFHVVYSAITMMLGKLKIRNWKDWERESWREHDAMNDPARFRLTHETSFVRGHTSFWTKTPILFYSLCFYRQFFRSVRKSDYLTMRHGFISVHLAPGSKFNFQKYIKKTLEDDFKVVVGISPLLWASAILNLLSNVHGWKAQFCVSFLPLFVTLAVGTKLQAIIAQMAIEIKERHAVVQGIPLVQVSDRNFWFSWPELVLYLIHFVLFQNAFELTYFLWAWYEFGKESCLNQDTVLIFVRVTFGVGAQVLCSYATLPLYALVTQMGSTMKRSIFDQQTSKALKSWHQKAVKKTNEGKPDQLPTKTLGGSPDALVHSPSPTRPRPNKFGIEGPDFSDIEAEAASHDQHTANITATVDVELSVNHQHRPSDNSSPFVQRDLLS >Potri.002G012200.1.v4.1 pep chromosome:Pop_tri_v4:2:750400:751534:1 gene:Potri.002G012200.v4.1 transcript:Potri.002G012200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012200.v4.1 MATCHVRSISWPSSSHPLSVSVEDQLDRLRSSQTPSTSVYQKARGLRVLYECVDDFLQLPLTQQTLSNEQQKERAEEVLNGSLLLLDVCSNTKDVFSSMKECFKRLESSLRRRKGGESGIASEIEAYVVSRKQLNKTTRKCFRNLKSMEKHNTSAVDAVGMLRDVKEIALEIFQSLLSLVSQPKTTSSSHGWSVVSKLFQSKRASCEGKATEWEKIDAELLVLKSGKDINPIQVKNILKELELLESSIHEAEEELEAVYRKLLKTRVSILNILSH >Potri.008G037900.1.v4.1 pep chromosome:Pop_tri_v4:8:2085863:2086435:1 gene:Potri.008G037900.v4.1 transcript:Potri.008G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037900.v4.1 MALKMIKKGRFLKHCSCKCINLGTNWFMKHATCNHFQDWESRSLLPEDDYCIIPKDVPKGHLAVYVGEDCKRYVIKVTLLKHPLFKALLDRTEEVFGFTTGSKLCIPCNESMFKSILHCVDSHQDRGFWLCF >Potri.006G192200.4.v4.1 pep chromosome:Pop_tri_v4:6:19923348:19929773:-1 gene:Potri.006G192200.v4.1 transcript:Potri.006G192200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192200.v4.1 MASSSSSSSSSLIQGGSLPSFSAIRSRHSSSAHSFLRLTKLPDSGRRSSGYRRMACTAMVQQAVYGSPAIYAKEMERLSAKESLLLAFKDSGSFEALVTGQTTDMQRIDVNERINGLERLNPTPRPTTSPFLEGRWNFEWFGAGSPGLFAARFIFERFPKNFANLSKMDMVIKDGNAKVTANMKLLYSIESKFILLSKLTVEGPLRMKEEYVEGILETPTIIEETVPEQLKGAFGQAVHTVQQLPVPIRDSFSSGLKIPLSKHFDVWIIFSLSYLRILLPSQQRRTGYL >Potri.006G192200.1.v4.1 pep chromosome:Pop_tri_v4:6:19924276:19929771:-1 gene:Potri.006G192200.v4.1 transcript:Potri.006G192200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192200.v4.1 MASSSSSSSSSLIQGGSLPSFSAIRSRHSSSAHSFLRLTKLPDSGRRSSGYRRMACTAMVQQAVYGSPAIYAKEMERLSAKESLLLAFKDSGSFEALVTGQTTDMQRIDVNERINGLERLNPTPRPTTSPFLEGRWNFEWFGAGSPGLFAARFIFERFPKNFANLSKMDMVIKDGNAKVTANMKLLYSIESKFILLSKLTVEGPLRMKEEYVEGILETPTIIEETVPEQLKGAFGQAVHTVQQLPVPIRDSFSSGLKIPLTSTFQRLFMISYLDDEILIVRDAAGVPEVLTRLDAPASPMAEPTAEYES >Potri.014G075000.4.v4.1 pep chromosome:Pop_tri_v4:14:4819614:4823151:-1 gene:Potri.014G075000.v4.1 transcript:Potri.014G075000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075000.v4.1 MYPPNGSLSSPQSGGVDTSPLLTSSVANHLLRIRRPPQLRGAAARLLRLASSRGMMLREPSVRVRENAAEQLEERQSGWGYSKPIVLIDVVRNLAFVIIAVGVLGLSLEEKPKVPLRVWIVGYGLQCLCHVVCVVVEYRKRRNLGFEESGILSSGSGDSLGFGTHRSGNDGEDTSRVPKRVESASTMVSVIWWVIGFYWVTAAGRQDLEENSPQLYWLCVTFLAFDALFVIICAAAACLIGIAVCCCLPCIIGILYAMTDPQEGATAEEIDRLPKYKFCRAEAFEKVDGENPEICGGMMTECDNDTPIERAVSHEDAECCICLSAYENGSELRELPCNHHFHCMCIDKWLCINATCPLCKFNIVKAGNRSGNEEA >Potri.014G075000.5.v4.1 pep chromosome:Pop_tri_v4:14:4819689:4823122:-1 gene:Potri.014G075000.v4.1 transcript:Potri.014G075000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075000.v4.1 MYPPNGSLSSPQSGGVDTSPLLTSSVANHLLRIRRPPQLRGAAARLLRLASSRGMMLREPSVRVRENAAEQLEERQSGWGYSKPIVLIDVVRNLAFVIIAVGVLGLSLEEKPKVPLRVWIVGYGLQCLCHVVCVVVEYRKRRNLGFEESGILSSGSGDSLGFGTHRSGNDGEDTSRVPKRVESASTMVSVIWWVIGFYWVTAAGRQDLEENSPQLYWLCVTFLAFDALFVIICAAAACLIGIAVCCCLPCIIGILYAMTDPEGATAEEIDRLPKYKFCRAEAFEKVDGENPEICGGMMTECDNDTPIERAVSHEDAECCICLSAYENGSELRELPCNHHFHCMCIDKWLCINATCPLCKFNIVKAGNRSGNEEA >Potri.014G113600.1.v4.1 pep chromosome:Pop_tri_v4:14:7648189:7650230:-1 gene:Potri.014G113600.v4.1 transcript:Potri.014G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113600.v4.1 MPMVFSVIRSSRGLIRPLERTPSGILDLSVIDRLPVLRCNARTLHVFRHGPEAAQVIKEALSKALVPYYPLAGWLKESSQGQLQIECSAQGVWFVEASANCTLDTVSYFDNVMSIPYDDLLPDYVPETEGTEPLVQMQVTQFECGGFVIGLIFCHAICDGLGAAQFLNAVGENARGLEHPSTTPVWCRDFCPLPPQQEKMNTLPKLPPAMPNYRLQHANIDISLDQIKQVKTEFHDSTSQMCSTFEAVAATFWKFRTLAVNFEQNTEVKLVFFANCRQLLEPPLPKGFYGNCFFPVTITTSSETLAQASNVEVIKLIQEAKGNLPVEFSKYLKGDYMKNGEDPFAPPLIYTTLFISEWGRLGFNQVDYGWGPPVHIVPIQGSSIIPVGIVGSLPLPKKGIRLMTWCVEEVHRQPFIDQMVKVI >Potri.004G015600.1.v4.1 pep chromosome:Pop_tri_v4:4:1111312:1120898:1 gene:Potri.004G015600.v4.1 transcript:Potri.004G015600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015600.v4.1 MWKLEVAEGHDAWLLSTNDFVGRQVWEFDPDLGTPEEHAEVEKARENFSQNRFQVKASSDVLKNLQLIKENGIDLSIPSVRLGDREEITCEKAETTLRKAVRFTSALQARDGHWPFEFSALLFEQPFLVIALYITGTLNTILSPEHKREMVRYIYNHQNEDGGWGFHIESHSMMLCTALNYVSLRLLGEEPEGGKDGVVAKARKWILDHGGVTMISIWGKYFLSFIGLYEWSGCNPVPPECLLLPSFLPFSTGNLWCYFRTVYIPLAYLYGKKFVAPITDLVILLREELYIQPYGEVDWTKARISCLKKDLYKPHSLVENVLSSVLYYFAEPLSTHWPFSKLREKALAKAMRLIRYEDEHTGYLTHASVEKSLNMIANWAEDPNQDSLKRHLATVPDYLWVAEDGMKVQNMGSQLWDSVFATQAIIASNLTDEYGSTLRKAFNFIKLSQIRENPPGDFQSTYHQICKGAWTLTVKDQGWQVSDCTAEALKTLLLLSQMPADIVRDTIEVEQLYEAVDFLLTLQSENGGFSAWEPATSPQWMEMFNPTETFGGVMVETEYVDCTASVTQALALFSHLHPEYRGKEIETSVVKATHYIENAQMADGSWYGNWGICYTYAAYIVLVALAAVGKTHRNSEVVCKGCDFLLSKQLKSGGWGESYLSCRNSEYTPLDGNRSNLVQTAWTMVGLIRAGQAERDATPLHQAARLLINSQLESGEFPQQEITGASVKTSMLHYASYRNIFPLWALGEYRKHVLLPKQNT >Potri.004G015600.2.v4.1 pep chromosome:Pop_tri_v4:4:1111312:1120898:1 gene:Potri.004G015600.v4.1 transcript:Potri.004G015600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015600.v4.1 MWKLEVAEGHDAWLLSTNDFVGRQVWEFDPDLGTPEEHAEVEKARENFSQNRFQVKASSDVLKNLQLIKENGIDLSIPSVRLGDREEITCEKAETTLRKAVRFTSALQARDGHWPFEFSALLFEQPFLVIALYITGTLNTILSPEHKREMVRYIYNHQNEDGGWGFHIESHSMMLCTALNYVSLRLLGEEPEGGKDGVVAKARKWILDHGGVTMISIWGKYFLSFIGLYEWSGCNPVPPECLLLPSFLPFSTGNLWCYFRTVYIPLAYLYGKKFVAPITDLVILLREELYIQPYGEVDWTKARISCLKKDLYKPHSLVENVLSSVLYYFAEPLSTHWPFSKLREKALAKAMRLIRYEDEHTGYLTHASVEKSLNMIANWAEDPNQDSLKRHLATVPDYLWVAEDGMKVQNMGSQLWDSVFATQAIIASNLTDEYGSTLRKAFNFIKLSQVEFLSCRLYKPYNLIRENPPGDFQSTYHQICKGAWTLTVKDQGWQVSDCTAEALKTLLLLSQMPADIVRDTIEVEQLYEAVDFLLTLQSENGGFSAWEPATSPQWMEMFNPTETFGGVMVETEYVDCTASVTQALALFSHLHPEYRGKEIETSVVKATHYIENAQMADGSWYGNWGICYTYAAYIVLVALAAVGKTHRNSEVVCKGCDFLLSKQLKSGGWGESYLSCRNSEYTPLDGNRSNLVQTAWTMVGLIRAGQAERDATPLHQAARLLINSQLESGEFPQQEITGASVKTSMLHYASYRNIFPLWALGEYRKHVLLPKQNT >Potri.006G259700.3.v4.1 pep chromosome:Pop_tri_v4:6:25602151:25606186:-1 gene:Potri.006G259700.v4.1 transcript:Potri.006G259700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259700.v4.1 MMEEWVTAAMADETVVAKLLLRLKQSQATASASAVPAVIPLRWGMRLPRSRPGTMTATNSSSLRCDVVLKSKEGGGGDSSTRCSPTTPLSWSGGGDGGASPSGTGDGFEETSRRHLSSSPPPPGVRSKGAGIGETTSNIVKRSRKKKTFSELKEEETQLVKEGVYLKKEISTVRATFKEERARNENLKRIKIDLNLHYGDELEASTSNGIPSTLPTRAKGDSHLQSSSSETDKAISNHDRSFLLPDLNMMPSDEGDTGTETL >Potri.005G144100.1.v4.1 pep chromosome:Pop_tri_v4:5:11621448:11624384:1 gene:Potri.005G144100.v4.1 transcript:Potri.005G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144100.v4.1 MEFSLHPLFLLLLLSLLSSSVSYNPPVKYFMNCGSGTDVDDLDGYPRTFVGDDNSNSSFSVGKSKSIQNENPLPGISLLYHTARIYTKLTPYMLDITQNGSYLVRLHFFPFSFKRTHLADALFDVSASNFSLLTDFRVPNSTTEFPVIKEFFLTIAAGKFKIYFKPAEETSYAFVNAIEAFLLPPNFFLDNASATPPLRTEDGLLRTLYRINVGGPEVNDTLWRNWVPDDDYLTFGGSGANRIFGGELHEASQGLIVQEIAPDSVYKTYKEASVDNKGASNFPNITWRFNVSKKARHLVRLHFCDFFSDSPGTVKFDLYISTNFSHEIDPNPNGVSEMASPFFYDFVVPSDDSGYMSFRIAPGNNSIKKVAFLNGLEIMEFVGNTTIVVPVDEHEPKKHLARTIGSAGGGTLVLVLILLFSLCLRLKRPKPVKAEFLYGKGRSPSWITEKTENASSNFTNLNLKLKMSLAEILAATHNFNPKLLIGEGGFGKVYKGTLETGMKVAVKRSDSSHGQGLPEFRTEVMVLSKIQHRHLVSLVGYCDEGSEMILVFEFLEKGTLRDHLYSTKECSKNPSAKTELNWKQRLEICIGSAKGLHYLHTGPDGGIFHRDVKSTNILLDEHYVAKVADFGLSQQGMPDPDHISMGFKGTFGYLDPEYLRTFQLTNKSDVYSFGVVLLEVLCARLPVVDSQQKEEINLAEWGMFWQKEGQLEKIIDPLLAGHINPNSLRKFGEIAEKCLKPQGADRPNMIDVCWDLEYAMQLQQTAVHREAHEDTTTTGVSSDSALPLMQNMSSNMFPVDDFSDTTDTVMYPN >Potri.005G144100.2.v4.1 pep chromosome:Pop_tri_v4:5:11621387:11624363:1 gene:Potri.005G144100.v4.1 transcript:Potri.005G144100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144100.v4.1 MEFSLHPLFLLLLLSLLSSSVSYNPPVKYFMNCGSGTDVDDLDGYPRTFVGDDNSNSSFSVGKSKSIQNENPLPGISLLYHTARIYTKLTPYMLDITQNGSYLVRLHFFPFSFKRTHLADALFDVSASNFSLLTDFRVPNSTTEFPVIKEFFLTIAAGKFKIYFKPAEETSYAFVNAIEAFLLPPNFFLDNASATPPLRTEDGLLRTLYRINVGGPEVNDTLWRNWVPDDDYLTFGGSGANRIFGGELHEASQGLIVQEIAPDSVYKTYKEASVDNKGASNFPNITWRFNVSKKARHLVRLHFCDFFSDSPGTVKFDLYISTNFSHEIDPNPNGVSEMASPFFYDFVVPSDDSGYMSFRIAPGNNSIKKVAFLNGLEIMEFVGNTTIVVPVDEHEPKKHLARTIGSAGGGTLVLVLILLFSLCLRLKRPKPVKAEFLYGKGRSPSWITEKTENASSNFTNLNLKLKMSLAEILAATHNFNPKLLIGEGGFGKVYKGTLETGMKVAVKRSDSSHGQGLPEFRTEVMVLSKIQHRHLVSLVGYCDEGSEMILVFEFLEKGTLRDHLYSTKECSKNPSAKTELNWKQRLEICIGSAKGLHYLHTGPDGGIFHRDVKSTNILLDEHYVAKVADFGLSQQGMPDPDHISMGFKVLCARLPVVDSQQKEEINLAEWGMFWQKEGQLEKIIDPLLAGHINPNSLRKFGEIAEKCLKPQGADRPNMIDVCWDLEYAMQLQQTAVHREAHEDTTTTGVSSDSALPLMQNMSSNMFPVDDFSDTTDTVMYPN >Potri.003G084333.1.v4.1 pep chromosome:Pop_tri_v4:3:11091782:11094239:1 gene:Potri.003G084333.v4.1 transcript:Potri.003G084333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084333.v4.1 MHGKLNMITQHSNFESDTQFQSQLVRLKTISNFSIRHLPSPTSARSMATRKNSDPSFSSSSSAPQWKYDVFLSFRGEDTRKKFTDHLYTALIHAGIHTFRDNDELPRGEDISSIISRPIQESRIAIVVFSKGYASSTWCLGELSEILACKSAIGQLAVPIFYDIDPSDVRKQTASFAEAFKRHEERFKENIEMVNKWRKVLVEAANLSGWHLQEMENGHEAKFIEKMVEDVLHKLNCKYLTVASYPVGIDSRVKDVVSMLSVYTDDVRTVGIYGMGGIGKTTIAKAVFNELCNEFEGSCCLLNIKEISEQPSGLVQLQEQLISDLIQSKTFKINNVDRGSALIKERLCHKRVLVVLDDLDQLKQLGALMGERNWFGLGSRVIITTRDEHLLTQLQVHNKYLVEELNHDESLQLFIAHAFKENRPTEEFLGISKGVVQYVGGLPLALEVLGSYLCKRSIGEWRSAVRN >Potri.014G117900.2.v4.1 pep chromosome:Pop_tri_v4:14:7942543:7946484:-1 gene:Potri.014G117900.v4.1 transcript:Potri.014G117900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117900.v4.1 MMGTERKRKVSLFDVVDEASVSAKLLKSNGATNNNNNEGSSSINRWNGKPYSQRYYEILEKRKNLPVWHQKEDFLQVLKKNQVLVLVGETGSGKTTQIPQFVLEAVELESSDRRRKMMIGCTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSGARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLIKEVLKNRPDLKLVVMSATLEAEKFQGYFCEAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHLCEPHGDILVFLTGEEEIEDACRKITKEIGNLGDQVGPVKIVPLYSTLPPAMQQKIFEPAPPPLQEGGPSGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNQDLQPQTFPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNMTKLGEIMSEFPLDPQLSKMLVVSPEFNCSNEILSISAMLSGIFCNHVYFILSGLESGLNDLRGVDAIGLQYYQMHPSFMSCVQPVFCALC >Potri.014G117900.3.v4.1 pep chromosome:Pop_tri_v4:14:7942548:7946484:-1 gene:Potri.014G117900.v4.1 transcript:Potri.014G117900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117900.v4.1 MMGTERKRKVSLFDVVDEASVSAKLLKSNGATNNNNNEGSSSINRWNGKPYSQRYYEILEKRKNLPVWHQKEDFLQVLKKNQVLVLVGETGSGKTTQIPQFVLEAVELESSDRRRKMMIGCTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSGARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLIKEVLKNRPDLKLVVMSATLEAEKFQGYFCEAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHLCEPHGDILVFLTGEEEIEDACRKITKEIGNLGDQVGPVKIVPLYSTLPPAMQQKIFEPAPPPLQEGGPSGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNQDLQPQTFPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNMTKLGEIMSEFPLDPQLSKMLVVSPEFNCSNEILSISAMLSVSQYYLMKQMVSSRLFASADLPWASSVVTKFFL >Potri.014G117900.1.v4.1 pep chromosome:Pop_tri_v4:14:7942543:7946536:-1 gene:Potri.014G117900.v4.1 transcript:Potri.014G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117900.v4.1 MMGTERKRKVSLFDVVDEASVSAKLLKSNGATNNNNNEGSSSINRWNGKPYSQRYYEILEKRKNLPVWHQKEDFLQVLKKNQVLVLVGETGSGKTTQIPQFVLEAVELESSDRRRKMMIGCTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSGARTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLATDVLFGLIKEVLKNRPDLKLVVMSATLEAEKFQGYFCEAPLMKVPGRLHPVEIFYTQEPERDYLEAAIRTVVQIHLCEPHGDILVFLTGEEEIEDACRKITKEIGNLGDQVGPVKIVPLYSTLPPAMQQKIFEPAPPPLQEGGPSGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTERSFNQDLQPQTFPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNMTKLGEIMSEFPLDPQLSKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAFKQNNEDPSWCYENFINHRALKAADNVRQQLVRIMARFNLRLCSTDFNSRDYYINIRKAILAGYFMQVAHLERSGHYLTVKDNQAVHLHPSNCLDHKPEWVIYNEYVLTSRNYIRTVLDIRGEWLVDIASHYYDLDNFPQCEAKRVLEKLYKKREREREDNKNRK >Potri.008G193650.2.v4.1 pep chromosome:Pop_tri_v4:8:13651409:13652064:-1 gene:Potri.008G193650.v4.1 transcript:Potri.008G193650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193650.v4.1 MNSSRMAVSMLAACVAVLVMASAAAADEGHVHTPGMKMPPAAAPSSGTLASPSMVIGIALAFIASLVVVRERV >Potri.008G193650.1.v4.1 pep chromosome:Pop_tri_v4:8:13651409:13652064:-1 gene:Potri.008G193650.v4.1 transcript:Potri.008G193650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193650.v4.1 MNSSRMAVSMLAACVAVLVMASAAAADEGHVHTPGMKMPPAAAPSSGTLASPSMVIGIALAFIASLVVVRERV >Potri.013G013800.2.v4.1 pep chromosome:Pop_tri_v4:13:896974:899660:1 gene:Potri.013G013800.v4.1 transcript:Potri.013G013800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013800.v4.1 MYAPKDKKIKTAKLVAILNAGFLGVVIAITLLAMHGSLQTTFVGVLCAALTIGMYAAPLSAMKRVMRTKSVQYMPFFLSFFLFLNGGVWSVYAVLVKDYYIGVPNVVGFVLGSAQLILYIIYRNKSAAMIEEKGPVHIEAKEGVEMPAKGDNDEEAGNLKSRSLAEGKAKSLPKPSVERQHSLQKLTKTLSIGAYELLQHSSWANDAV >Potri.013G013800.3.v4.1 pep chromosome:Pop_tri_v4:13:897331:899660:1 gene:Potri.013G013800.v4.1 transcript:Potri.013G013800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013800.v4.1 MYAPKDKKIKTAKLVAILNAGFLGVVIAITLLAMHGSLQTTFVGVLCAALTIGMYAAPLSAMKRVMRTKSVQYMPFFLSFFLFLNGGVWSVYAVLVKDYYIGVPNVVGFVLGSAQLILYIIYRNKSAAMIEEKGPVHIEAKEGVEMPAKGDNDEEAGNLKSRSLAEGKAKSLPKPSVERQHSLQKLTKTLSIGAYELLQHSSWANDAV >Potri.013G013800.1.v4.1 pep chromosome:Pop_tri_v4:13:896220:899665:1 gene:Potri.013G013800.v4.1 transcript:Potri.013G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013800.v4.1 MAKISFFIGIVGNIISLLVFTSPIKTFWKVVKRKSTENYKGAPYITTLLSTSLWAFYGLLKPDILVVTVNGAGAIFQLTYVTLFLMYAPKDKKIKTAKLVAILNAGFLGVVIAITLLAMHGSLQTTFVGVLCAALTIGMYAAPLSAMKRVMRTKSVQYMPFFLSFFLFLNGGVWSVYAVLVKDYYIGVPNVVGFVLGSAQLILYIIYRNKSAAMIEEKGPVHIEAKEGVEMPAKGDNDEEAGNLKSRSLAEGKAKSLPKPSVERQHSLQKLTKTLSIGAYELLQHSSWANDAV >Potri.003G115800.5.v4.1 pep chromosome:Pop_tri_v4:3:13749032:13761283:1 gene:Potri.003G115800.v4.1 transcript:Potri.003G115800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115800.v4.1 MSFSDSDSSSAYGGDYRNFRQISRERLLHEMLRSAKTGNSKSTWKVLIMDRLTVKIMSYSCKMADITQEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMAGKSPLYKKAFVFFSSPISRELVSHIKKDSSVLTRIGALREMNLEYFAIDSQGFITDNERALEELFGDDEDSHKGDACLNVMASRIATVFASLREFPFVRFRAARSLDVTTMTTSRDLIPTKLAARIWDSLTQYKQKIENFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPGKAGGPPEKKEVLLEEHDPVWLELRHAHIAFASERLHEKMTNFVSKNKAAKIQHGSRDGGELSTRDLQQMVQALPQYSEQIDKLSLHVEIAGKINRIIRELGLRELGQLEQDLVFGDAGMKDVIKFLTTKEDTTRENKLRLLMILAAVFPEKLEGERGLNIMKLARLPQDDMNAVNNMRLLAGASDTKKRSTGAFSLKFDIHKKKRAARKDRTGEEETTWQLSRFYPMIEELIDKLNKGELSKDEYPCMNDPSPSFHGTSQSTPMHHVPAPHSMRSKRTPTWARPRNSDDGYSSDSILRHASSDFKKMGQRIFVFIVGGATRSELRVCHKLTSKLQREVILGSSSLDDPPQFMTKLKLLTANELSLDDLQI >Potri.003G115800.7.v4.1 pep chromosome:Pop_tri_v4:3:13749028:13760860:1 gene:Potri.003G115800.v4.1 transcript:Potri.003G115800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115800.v4.1 MSFSDSDSSSAYGGDYRNFRQISRERLLHEMLRSAKTGNSKSTWKVLIMDRLTVKIMSYSCKMADITQEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMAGKSPLYKKAFVFFSSPISRELVSHIKKDSSVLTRIGALREMNLEYFAIDSQGFITDNERALEELFGDDEDSHKGDACLNVMASRIATVFASLREFPFVRFRAARSLDVTTMTTSRDLIPTKLAARIWDSLTQYKQKIENFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPGKAGGPPEKKEVLLEEHDPVWLELRHAHIAFASERLHEKMTNFVSKNKAAKIQHGSRDGGELSTRDLQQMVQALPQYSEQIDKLSLHVEIAGKINRIIRELGLRELGQLEQDLVFGDAGMKDVIKFLTTKEDTTRENKLRLLMILAAVFPEKLEGERGLNIMKLARLPQDDMNAVNNMRLLAGASDTKKRSTGAFSLKFDIHKKRAARKDRTGEEETTWQLSRFYPMIEELIDKLNKGELSKDEYPCMNDPSPSFHGTSQSTPMHHVPAPHSMRSKRTPTWARPRNSDDGYSSDSILRHASSDFKKMGQRIFVFIVGGATRSELRVCHKLTSKLQREVILGSSSLDDPPQFMTKLKLLTANELSLDDLQI >Potri.003G115800.6.v4.1 pep chromosome:Pop_tri_v4:3:13748879:13760870:1 gene:Potri.003G115800.v4.1 transcript:Potri.003G115800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115800.v4.1 MSFSDSDSSSAYGGDYRNFRQISRERLLHEMLRSAKTGNSKSTWKVLIMDRLTVKIMSYSCKMADITQEGVSLVEDIYRRRQPLPSMDAIYFIQPTKENVIMFLSDMAGKSPLYKKAFVFFSSPISRELVSHIKKDSSVLTRIGALREMNLEYFAIDSQGFITDNERALEELFGDDEDSHKGDACLNVMASRIATVFASLREFPFVRFRAARSLDVTTMTTSRDLIPTKLAARIWDSLTQYKQKIENFPQTETCELLILDRSIDQIAPVIHEWTYDAMCHDLLNMEGNKYVHEVPGKAGGPPEKKEVLLEEHDPVWLELRHAHIAFASERLHEKMTNFVSKNKAAKIQHGSRDGGELSTRDLQQMVQALPQYSEQIDKLSLHVEIAGKINRIIRELGLRELGQLEQDLVFGDAGMKDVIKFLTTKEDTTRENKLRLLMILAAVFPEKLEGERGLNIMKLARLPQDDMNAVNNMRLLAGASDTKKRSTGAFSLKFDIHKKKRAARKDRTGEEETTWQLSRFYPMIEELIDKLNKGELSKDEYPCMNDPSPSFHGTSQSTPMHHVPAPHSMRSKRTPTWARPRNSDDGYSSDSILRHASSDFKKMGQRIFVFIVGGATRSELRVCHKLTSKLQREVILGSSSLDDPPQFMTKLKLLTANELSLDDLQI >Potri.003G149000.1.v4.1 pep chromosome:Pop_tri_v4:3:16264242:16267132:-1 gene:Potri.003G149000.v4.1 transcript:Potri.003G149000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149000.v4.1 MKEGSRKQGAPSPCAACKLLRRRCAQDCVFAPYFPADEPQKFANVHKVFGASNVNKMLQELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLALAQAEVVHLRVRQTATLSNHGPPPASPGNSGSPSSRLMGSQMKPIFDMEMVDHTSLGESMWSC >Potri.003G149000.2.v4.1 pep chromosome:Pop_tri_v4:3:16264546:16267127:-1 gene:Potri.003G149000.v4.1 transcript:Potri.003G149000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G149000.v4.1 MRNGHHDFITQDSGSCSHFTELPVHQRGDAVSSMVYEANARVRDPVYGCVGAISSLQQQIDVLQTQLALAQAEVVHLRVRQTATLSNHGPPPASPGNSGSPSSRLMGSQMKPIFDMEMVDHTSLGESMWSC >Potri.004G051700.1.v4.1 pep chromosome:Pop_tri_v4:4:4191669:4192820:1 gene:Potri.004G051700.v4.1 transcript:Potri.004G051700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051700.v4.1 MVLTSQSHDLPLNENDSQDMVIYHMISEISAPNSSSNNTLPRSHINTSGMLQPARTAVAKKHYRGVRRRPWGKYAAEIRDSRRRGARIWLGTFETAEEAALAYDRAAFNMRGSKALLNFPAEVVAAATSAQNFQPILSSTRSSKNALDTSFSCRTISIAASQPESQSSKSGEPRHKGPDIVEN >Potri.007G001000.1.v4.1 pep chromosome:Pop_tri_v4:7:66722:69262:-1 gene:Potri.007G001000.v4.1 transcript:Potri.007G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001000.v4.1 MQILGWVSKLLLLSFFLAVVSPLSLAQTITPLQTSVCSEADRVALLGFKARILKDATDILSSWIGKDCCGGDWEGVQCNPATGRVTDLVLQGPARDSGIYMRGTLSPSLGSLAFLEVMVISGMKHIAGPIPESFSSLTHLTQLVLEDNSLEGNIPPGLGRLPLLNILSLNGNHLRGQIPPSLGNFKKLQQLSLARNLLSGPIPITFQNFLSLQSLDLSFNLLSGLIPDILGHFQNLTFIDLSNNQLSGLLPPSLFSLVKLQDLSLDHNQLTGRIPNQIAGLKSLTHLSLSSNRLTGQIPSSISSLQNLWYLNLSRNGLSDPFPVIEGRGLPSLLSIDLSYNHLSLGTVPAWIKDRQLSDVHLAGCKLEGNLPKFTRPDSLSSLDLSDNFLVDGIAGFFTNMSNLQKLKLSNNQLKFDLFDIKLPDGISSIELQSNQLSGFLSRILNNRTSSFLEVLDVSGNQISGTMPEFIEGLSLKVLNIGSNKITGQFPGSISNLKELERMDISRNQITGTIPTTLGLLSNLQWLDLSINRLTGKIPASLLGITNLRHASFRANRLCGEIPQGRPYNIFPAGAYAHNLCLCGKPLPLCRTKK >Potri.002G089650.1.v4.1 pep chromosome:Pop_tri_v4:2:6482006:6483213:-1 gene:Potri.002G089650.v4.1 transcript:Potri.002G089650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089650.v4.1 MGNGYNHHLHHQNIHPQHKSTFLPMLCSRPTIKDVTLPKWEDRSMSISSSDPLSPKIGCMGQVKRNNKIVGFPTSNKFTVTTKNYNGANVKYSRLKRIFSGKNILTSATVSIATSTAHRRREVMMNGANGAKIDDTKENSVSVSIENMDPPLPVVKRVQQPTEDGEANSLWKRRSGGLALKNLQLQEIQLNRSSLAPTTV >Potri.019G098001.1.v4.1 pep chromosome:Pop_tri_v4:19:13517553:13522403:-1 gene:Potri.019G098001.v4.1 transcript:Potri.019G098001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098001.v4.1 MLPVCSATQCCSSHSQITFHGGLQPFCSVWKDFESRCYVVDMVLLGMSNITHHQRISFKTQAIKSFYSNFIESFAFINDHSCPNELGNINCNFYKDCDSSVGAIDELHPHPMGGGKLKFLESYNISDVEEGPLNSADQFADNTDSLIRLVEPEITSTIDMTPVNPSLGSDSLEMDNDSLSSAKAGFNDFLGEVRDSINTSVNNGGNVVQSSLDTITSSITSIKEGASEAVDGALSKVFSTFDQTEELAAKD >Potri.002G131800.1.v4.1 pep chromosome:Pop_tri_v4:2:9963603:9963821:-1 gene:Potri.002G131800.v4.1 transcript:Potri.002G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G131800.v4.1 MLNGRSFSFLTYYSSSPLGIFLRFLLFCLPQFFQLDNSNFVPFLFCFQKLQIPKIFVHNCFCILITILNLTC >Potri.017G140601.1.v4.1 pep chromosome:Pop_tri_v4:17:14129911:14135604:-1 gene:Potri.017G140601.v4.1 transcript:Potri.017G140601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140601.v4.1 MEKTAAKTEEVAGRSLLDLVFSWSIRDVLNRNLYKNQVKRIPETFTSMSHYMKSFIPGLIEETRADLCSNMMKVSQGPTREIFSIERSKEYKPPKDLFYKIWLKRMGITGNGKGIYEPEVGDLIALTDARPKDIADLNRPGINYLLAYVHRLPNGLDDDDNHEMLSILTSKPIQFELENKQNKRESVIAGQEIQKKSRATVFVVYLANMTTNVRIWRSLNSDLQGGNTNVIQNVLETSSPDSQDCSHCLSEVNRSAAFSGMEETIISSYNLNESQENAIVSCIGLSECQHQSTVKLIWGPPGTGKTKTVGLLLFSLLKLKCRTLTCAPTNIAVLQVTSGLLKLVTDSLEYDTYGLGDIVLFGNGERMKISENDDLEDIFLDHRVEVLYHCFAPSTGWKHTVDSMINLLEDPEHQYRRYFEIMKKENVGGGQDDGMIEFEEMNRNKEKDEVVSEQNQKGRNSRKVMKKILVQALKDNKKTEKKKQKVSYYQDKLPKCVGKGDQYGKENREDDILPFEEFVKKRFKILSEKLDILIVGLYTHLPTSVISLEVVKNMIKALDSLSRLKTLLNGVSIGDEGLKLVLNDFEDEESSACQFSWLATKRKDCIQILNSLPRSFDVPNIFKSYQVRNFCLENACLVFCTASSSAKLHTKGMKPIKLLVVDEAAQLKECESTIPLQLSGLRHAVLIGDERQLPAMVQSQISEKAEFGRSLFERLVILGHEKHLLNMQYRMHPSISLFPNKVFYDGLIQNASTVKERNYQKRFLQGNMYGPYSFINLANGEEQFNDDCSTKNLVEVAVVSELVASLFKGFTKARKGMSVGIISPYRAQVYAIQEKIGKTYSAYSDFAVNIRSVDGFQGGEEDVIIISTVRCNANGKIGFLSNRQRVNVALTRARYCLWILGNGATLVNSNSIWKKLVTDAKERRCFYNAEDDKSLSKAIVDALVNVNSLLFRNARWKFCFSNDFRKSILKVRNEARQEVISLLAKLSSGWRQYPEERNIVVRHGTSSVLLEQYRVNDQLRLIWTVDIIKENSNHTQILKVWDVLPLPDLPKLPRRLDDVFGNYTVDKMNRCKHRCIEGNLVVPMRWPMDFDGAAARSIPEIDHVKLPSQPLSSLLVRTPQVKTRVPYRRLPEYIEKDDKRNLRISLFGWELICVYFLVFILIICVIRF >Potri.005G201250.1.v4.1 pep chromosome:Pop_tri_v4:5:20698807:20700053:1 gene:Potri.005G201250.v4.1 transcript:Potri.005G201250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201250.v4.1 MSFSCPSKLPSTLLFASLLACLLGASMGGNFFQDFDITWGDQRAKILNGGQLLTLSLDKDSGSGFRSKNEYLFGRIDMQIKLVSGNSAGTVTTYYLSSQGPTHDEIDFEFLGNVTGEPYTLHTNVFSQGKGNKEQQFYLWFDPTKAFHTYSIVWNQQRIIFLVDNIPIRVFHNSESIGVPFPTKQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFKASACVSSPGSSCTSTSPNSLQDSTWQVQALDASGRNRLRWVQQKYMIYNYCTDLKRFPQGIPAECKRSRFL >Potri.017G096800.1.v4.1 pep chromosome:Pop_tri_v4:17:11019488:11022091:1 gene:Potri.017G096800.v4.1 transcript:Potri.017G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096800.v4.1 MFSYSNLFHKRNSILMSIFIALYLLPPLHLFLYVTATKDYSLPYSPTDLILLNCGASSNLSSPDGRSWDGDSRSKFAASKPPEASSVFEASNHDPSVNQVPYMTARIFHSKFTYTFPVLPGPKFVRLYFYPASYSNLDISTSYFSISANNYQLLNNFSASLTVSAIIPPVDYFTKEFIITVRDNQKLELTFIPSPASFSFINGIEIVSMPDSFYARGHDNPLTYVGPDVHTFLDNTTALETVYRLNVGGQDIGSTGDTGMYRTWHQDSEYLFGQAGNTPYLPGVKIKYTTKTPAYSAPVMVYSTMRSMGREPRLNMNYNLTWIFPVDAGFLYLLRLHFCETRMEFKNENQQVFLIFINNQTAEHDADVIHMSGGNGIPVYKDYIVLVPPGSQSKQELWLELHPNMELKPTYADAILNGLEIFKLNTTDGNLAGFNPDPTVAPPPAEQHPERRTGKRSSIIMVIGIVGGSIGTVFACSLILYFFAFKQKRVKDPSKSEEKSSWTIISQTSKSTTTISSSLPTNLCRRFTFVEIKDATRNFDDQNIIGSGGFGTVYKGYIEYGTVAIKRLDSSSKQGTREFRTEIQMLSNLRHLHLVSLIGYCDDHGEMILVYDYISRGTLREHLYKTKSSPLPWKQRLEICIGAAKGLRYLHSGAKHTIIHRDVKSTNILLDENWVARVSDFGLSKLGPTSTSQTHVSTVVRGSIGYVDPEYYRRQHLTEKSDVYSFGVVLFEVLCARPPVIPSLPKDQASLADWARKCYQRGTLDQIVDPHLKGEVAPVSLNKFAEIANSCLHGQGIERPKMGDVVWGLEFALQLQQTAEKNANSVDGPNLHCS >Potri.010G226801.1.v4.1 pep chromosome:Pop_tri_v4:10:21033603:21034376:1 gene:Potri.010G226801.v4.1 transcript:Potri.010G226801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226801.v4.1 MVAALSSHHHTFFRKPSLYHLNKPLRSKRITSSLEFNITFAPPKPEFQIHRQPPKRPRFPLSPSMPALHSPDNPRRRWKPQAPFSPSGAFPPRSHRCENTTKEKDRGGEEGEWWKCEDQAGSGALAF >Potri.008G146100.1.v4.1 pep chromosome:Pop_tri_v4:8:9939309:9942321:-1 gene:Potri.008G146100.v4.1 transcript:Potri.008G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XGOAT1 MGSPFKDHHHHHHPFSLAKKLIPWTFYAMIPLVLFRLYFYPYPLHNITTPILTSSSSSVSSSTPFVAEETSCDYTTGKWVRDKRGPLYNGSACGTIKEGQNCIAHGRPDMGYLYWRWKPKHCKLPRFEPNTFLQLLRNKHLAFVGDSMARNQLESLLCMLSSASAPNLVYRDGDDNKFRRWYFESHNINISVYWSPFLVKGVEKSNTGPNHNQLYLDHVDERWAADMNGIDMIVLSIGHWFLHPAVYYEGDQVLGCHYCPGLNHTEIGFYDVLRKAIKTTLKALIDRKGANSNGINAFVTTFSPAHFEGDWDKLGACPKTKPYKEGDKALEGMDADMRQIEVEEVEAAKMNSTQLEKFRLEALDVTSLSLMRPDGHPGPYMHPFPFANGVTERVQNDCVHWCLPGPIDTWNEILLEVIKKWDYESRREE >Potri.009G134300.9.v4.1 pep chromosome:Pop_tri_v4:9:10876749:10886904:1 gene:Potri.009G134300.v4.1 transcript:Potri.009G134300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134300.v4.1 METRSRKRAEASSSAATNTTGTTTRSNKRSRTNAATATATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESEKGKEKEHEVRVSRENREITNNLDSGNDNNNPNVDDDDDDDSEGGGIAAFHQNLTSASSALQGLLRKLGAGLDDLLPSPVMGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKEILSGSGVSANSPVPPALSRPADQIFEIVNLANELLPPLPQGTISLPTSSSMLVKGSVVKKCPSSSSGKQDDINGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGSSVNSPVRHKCLSVIGKLMHFSNAEMIQSLLSMTNISSFLAGVLAWKDPHVLVPALQVAEILMEKLPGTFSKIFVREGVVYAVDQLILAGNPNTAPTHGSSAEKDNESVPGTSSRSRRYKRRSGSSNPEANSSEESKNPISANAGSPPSSIEIPMVNSNLRMAVSACAKAFRDKYFPSDPGAAEDGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASRLIDSSTNKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNFFSCGYSTKEKISEANLPKLRQQALRRFKSFAILALPSSIDEGGAAPMAVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRAQGEKALRDYSSNVVLIDPLASLAAVEEFLWPRVQRSETGHKASASAGNSESGNAQPGAGASSPSTSIPASATRRHSSRSRSSVNIGDSARKEPIPEKSTSTSTSKGKGKAVLKPPLEETKGPQTRNAARRRAAIDKDAQMKPVHGDSSSEDEELDISPVEIDDALVIEDDDISDDDDDDDDDHEDVLRDDSLPVCMPEKVHDVKLGAASEDSNVAPPASDSQSNPASGSSSRAVAVRGSDSTDFRSGSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAAGKQLNRHLTIYQAIQRQLVLEEDDEDRYGGRDFISSDGSRLWSDIYTLTYQRADGQADRASVGGPSSSASKSIKGGSSNSNSDTQVHRMSLLDSILQADLPCDLEKSNPTYNILALLRILEGLNQLAPRLRVQLVSDNFSEGKISSLDELMTATGVRVPAEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSANEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVTLGMWRSNSAAEKPSMEIDGDDDKNGKSNNESGTAVAADLVQTPLGLFPRPWPPTASASEGSQIYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDILSFDAEFGKTLQELHALVCRKHYLESIGSDHEAIADLHFHGTPIEDLCLDFTLPGYPDYILKPGDETVDINNLEEFISLVVDATVKTGITRQMEAFREGFNQVFDISSLQIFTPQELDYLLCGRRELWEPDTLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPDQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMPNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMYKKLLYAISEGQGSFDLS >Potri.009G134300.12.v4.1 pep chromosome:Pop_tri_v4:9:10878133:10886760:1 gene:Potri.009G134300.v4.1 transcript:Potri.009G134300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134300.v4.1 MAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKEILSGSGVSANSPVPPALSRPADQIFEIVNLANELLPPLPQGTISLPTSSSMLVKGSVVKKCPSSSSGKQDDINGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGSSVNSPVRHKCLSVIGKLMHFSNAEMIQSLLSMTNISSFLAGVLAWKDPHVLVPALQVAEILMEKLPGTFSKIFVREGVVYAVDQLILAGNPNTAPTHGSSAEKDNESVPGTSSRSRRYKRRSGSSNPEANSSEESKNPISANAGSPPSSIEIPMVNSNLRMAVSACAKAFRDKYFPSDPGAAEDGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASRLIDSSTNKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNFFSCGYSTKEKISEANLPKLRQQALRRFKSFAILALPSSIDEGGAAPMAVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRAQGEKALRDYSSNVVLIDPLASLAAVEEFLWPRVQRSETGHKASASAGNSESGNAQPGAGASSPSTSIPASATRRHSSRSRSSVNIGDSARKEPIPEKSTSTSTSKGKGKAVLKPPLEETKGPQTRNAARRRAAIDKDAQMKPVHGDSSSEDEELDISPVEIDDALVIEDDDISDDDDDDDDDHEDVLRDDSLPVCMPEKVHDVKLGAASEDSNVAPPASDSQSNPASGSSSRAVAVRGSDSTDFRSGSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAAGKQLNRHLTIYQAIQRQLVLEEDDEDRYGGRDFISSDGSRLWSDIYTLTYQRADGQADRASVGGPSSSASKSIKGGSSNSNSDTQVHRMSLLDSILQADLPCDLEKSNPTYNILALLRILEGLNQLAPRLRVQLVSDNFSEGKISSLDELMTATGVRVPAEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSANEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVTLGMWRSNSAAEKPSMEIDGDDDKNGKSNNESGTAVAADLVQTPLGLFPRPWPPTASASEGSQIYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDILSFDAEFGKTLQELHALVCRKHYLESIGSDHEAIADLHFHGTPIEDLCLDFTLPGYPDYILKPGDETVDINNLEEFISLVVDATVKTGITRQMEAFREGFNQVFDISSLQIFTPQELDYLLCGRRELWEPDTLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPDQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMPNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMYKKLLYAISEGQGSFDLS >Potri.009G134300.5.v4.1 pep chromosome:Pop_tri_v4:9:10876601:10886872:1 gene:Potri.009G134300.v4.1 transcript:Potri.009G134300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134300.v4.1 METRSRKRAEASSSAATNTTGTTTRSNKRSRTNAATATATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESEKGKEKEHEVRVSRENREITNNLDSGNDNNNPNVDDDDDDDSEGGGIAAFHQNLTSASSALQGLLRKLGAGLDDLLPSPVMGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKEILSGSGVSANSPVPPALSRPADQIFEIVNLANELLPPLPQGTISLPTSSSMLVKGSVVKKCPSSSSGKQDDINGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGSSVNSPVRHKCLSVIGKLMHFSNAEMIQSLLSMTNISSFLAGVLAWKDPHVLVPALQVAEILMEKLPGTFSKIFVREGVVYAVDQLILAGNPNTAPTHGSSAEKDNESVPGTSSRSRRYKRRSGSSNPEANSSEESKNPISANAGSPPSSIEIPMVNSNLRMAVSACAKAFRDKYFPSDPGAAEDGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASRLIDSSTNKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNFFSCGYSTKEKISEANLPKLRQQALRRFKSFAILALPSSIDEGGAAPMAVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRAQGEKALRDYSSNVVLIDPLASLAAVEEFLWPRVQRSETGHKASASAGNSESGNAQPGAGASSPSTSIPASATRRHSSRSRSSVNIGDSARKEPIPEKSTSTSTSKGKGKAVLKPPLEETKGPQTRNAARRRAAIDKDAQMKPVHGDSSSEDEELDISPVEIDDALVIEDDDISDDDDDDDDDHEDVLRDDSLPVCMPEKVHDVKLGAASEDSNVAPPASDSQSNPASGSSSRAVAVRGSDSTDFRSGSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAAGKQLNRHLTIYQAIQRQLVLEEDDEDRYGGRDFISSDGSRLWSDIYTLTYQRADGQADRASVGGPSSSASKSIKGGSSNSNSDTQVHRMSLLDSILQADLPCDLEKSNPTYNILALLRILEGLNQLAPRLRVQLVSDNFSEGKISSLDELMTATGVRVPAEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSANEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVTLGMWRSNSAAEKPSMEIDGDDDKNGKSNNESGTAVAADLVQTPLGLFPRPWPPTASASEGSQIYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDILSFDAEFGKTLQELHALVCRKHYLESIGSDHEAIADLHFHGTPIEDLCLDFTLPGYPDYILKPGDETVDINNLEEFISLVVDATVKTGITRQMEAFREGFNQVFDISSLQIFTPQELDYLLCGRRELWEPDTLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPDQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMPNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMYKKLLYAISEGQGSFDLS >Potri.009G134300.10.v4.1 pep chromosome:Pop_tri_v4:9:10876701:10886851:1 gene:Potri.009G134300.v4.1 transcript:Potri.009G134300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134300.v4.1 METRSRKRAEASSSAATNTTGTTTRSNKRSRTNAATATATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESEKGKEKEHEVRVSRENREITNNLDSGNDNNNPNVDDDDDDDSEGGGIAAFHQNLTSASSALQGLLRKLGAGLDDLLPSPVMGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKEILSGSGVSANSPVPPALSRPADQIFEIVNLANELLPPLPQGTISLPTSSSMLVKGSVVKKCPSSSSGKQDDINGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGSSVNSPVRHKCLSVIGKLMHFSNAEMIQSLLSMTNISSFLAGVLAWKDPHVLVPALQVAEILMEKLPGTFSKIFVREGVVYAVDQLILAGNPNTAPTHGSSAEKDNESVPGTSSRSRRYKRRSGSSNPEANSSEESKNPISANAGSPPSSIEIPMVNSNLRMAVSACAKAFRDKYFPSDPGAAEDGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASRLIDSSTNKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNFFSCGYSTKEKISEANLPKLRQQALRRFKSFAILALPSSIDEGGAAPMAVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRAQGEKALRDYSSNVVLIDPLASLAAVEEFLWPRVQRSETGHKASASAGNSESGNAQPGAGASSPSTSIPASATRRHSSRSRSSVNIGDSARKEPIPEKSTSTSTSKGKGKAVLKPPLEETKGPQTRNAARRRAAIDKDAQMKPVHGDSSSEDEELDISPVEIDDALVIEDDDISDDDDDDDDDHEDVLRDDSLPVCMPEKVHDVKLGAASEDSNVAPPASDSQSNPASGSSSRAVAVRGSDSTDFRSGSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAAGKQLNRHLTIYQAIQRQLVLEEDDEDRYGGRDFISSDGSRLWSDIYTLTYQRADGQADRASVGGPSSSASKSIKGGSSNSNSDTQVHRMSLLDSILQADLPCDLEKSNPTYNILALLRILEGLNQLAPRLRVQLVSDNFSEGKISSLDELMTATGVRVPAEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSANEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVTLGMWRSNSAAEKPSMEIDGDDDKNGKSNNESGTAVAADLVQTPLGLFPRPWPPTASASEGSQIYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDILSFDAEFGKTLQELHALVCRKHYLESIGSDHEAIADLHFHGTPIEDLCLDFTLPGYPDYILKPGDETVDINNLEEFISLVVDATVKTGITRQMEAFREGFNQVFDISSLQIFTPQELDYLLCGRRELWEPDTLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPDQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMPNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMYKKLLYAISEGQGSFDLS >Potri.009G134300.11.v4.1 pep chromosome:Pop_tri_v4:9:10876589:10886762:1 gene:Potri.009G134300.v4.1 transcript:Potri.009G134300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134300.v4.1 METRSRKRAEASSSAATNTTGTTTRSNKRSRTNAATATATTTTATATRSRSTRAHPLPMDSTPVESSSSSRSRRNRNNNSNSESEKGKEKEHEVRVSRENREITNNLDSGNDNNNPNVDDDDDDDSEGGGIAAFHQNLTSASSALQGLLRKLGAGLDDLLPSPVMGSGSSSHQSGRLKKILSGLRADGEEGKQVEALTQLCEMLSIGTEESLSTFSVDSFVPVLVGLLNNESNPDIMLLAARAITHLCDVLPSSCAAVVHYGAVSCFVARLITIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDAADFVMEAVPLLTNLLQYHDAKVLEHASVCLTRIAEAFASSPDKLDELCNHGLVTQAASLISTSSSGGGQASLSTPTYTGLIRLLSTCASGSPLGAKTLLLLGVSGILKEILSGSGVSANSPVPPALSRPADQIFEIVNLANELLPPLPQGTISLPTSSSMLVKGSVVKKCPSSSSGKQDDINGNVPEVSAREKLLNDQPELLQQFGMDLLPVLIQIYGSSVNSPVRHKCLSVIGKLMHFSNAEMIQSLLSMTNISSFLAGVLAWKDPHVLVPALQVAEILMEKLPGTFSKIFVREGVVYAVDQLILAGNPNTAPTHGSSAEKDNESVPGTSSRSRRYKRRSGSSNPEANSSEESKNPISANAGSPPSSIEIPMVNSNLRMAVSACAKAFRDKYFPSDPGAAEDGVTDDLLHLKNLCTKLNAGVDDQKTKAKGKSKASASRLIDSSTNKEEYLIGVISEMLAELGKGDGVSTFEFIGSGVVATLLNFFSCGYSTKEKISEANLPKLRQQALRRFKSFAILALPSSIDEGGAAPMAVLVQKLQNALSSLERFPVVLSHSSRSSSGGARLSSGLSALSQPFKLRLCRAQGEKALRDYSSNVVLIDPLASLAAVEEFLWPRVQRSETGHKASASAGNSESGNAQPGAGASSPSTSIPASATRRHSSRSRSSVNIGDSARKEPIPEKSTSTSTSKGKGKAVLKPPLEETKGPQTRNAARRRAAIDKDAQMKPVHGDSSSEDEELDISPVEIDDALVIEDDDISDDDDDDDDDHEDVLRDDSLPVCMPEKVHDVKLGAASEDSNVAPPASDSQSNPASGSSSRAVAVRGSDSTDFRSGSSYGSRGAMSFAAAAMAGLGSANGRGIRGGRDRQGRPLFGSSSDPPKLIFTAAGKQLNRHLTIYQAIQRQLVLEEDDEDRYGGRDFISSDGSRLWSDIYTLTYQRADGQADRASVGGPSSSASKSIKGGSSNSNSDTQVHRMSLLDSILQADLPCDLEKSNPTYNILALLRILEGLNQLAPRLRVQLVSDNFSEGKISSLDELMTATGVRVPAEEFINSKLTPKLARQIQDALALCSGSLPSWCYQLTKACPFLFPFETRRQYFYSTAFGLSRALYRLQQQQGADGHGSANEREVRVGRLQRQKVRVSRNRILDSAAKVMEMYSSQKAVLEVEYFGEVGTGLGPTLEFYTLLSHDLQKVTLGMWRSNSAAEKPSMEIDGDDDKNGKSNNESGTAVAADLVQTPLGLFPRPWPPTASASEGSQIYKTIEYFRLVGRVMAKALQDGRLLDLPLSMAFYKLVLGQELDLYDILSFDAEFGKTLQELHALVCRKHYLESIGSDHEAIADLHFHGTPIEDLCLDFTLPGYPDYILKPGDETVDINNLEEFISLVVDATVKTGITRQMEAFREGFNQVFDISSLQIFTPQELDYLLCGRRELWEPDTLVDHIKFDHGYTAKSPAIVNLLEIMGEFTPDQQRAFCQFVTGAPRLPPGGLAVLNPKLTIVRKHSSSAGNAMPNGTGPSESADDDLPSVMTCANYLKLPPYSTKEVMYKKLLYAISEGQGSFDLS >Potri.018G124400.3.v4.1 pep chromosome:Pop_tri_v4:18:13621396:13625560:-1 gene:Potri.018G124400.v4.1 transcript:Potri.018G124400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124400.v4.1 MGLLLQMLMVLVMMVSLQGWLPLGCLEEERIALLHLKDALNHPNGTSLPSWRKGDADCCSWELIECNSSSTGRVTMLNLGSVRNQELGDWYLNASLFLPFQQLNTLSLSDNRIAGLVEKKGSYEQQRLSNLEHLNLELNHFDNSILSFLEGFSSLKSLYLGYNKLEGLIDLKESLSSLEDLDLSGNNINKLIASRGLSNLSALRLENITTYESSFQLLQSLGAFPNLTTLYLSYNDFRGRISALQNLSSLQSLYLDRCSLNEHSLRIFGALPSLKHLSLQELSDTLPSIDFLFLKNLEYLDLSYNTLNNSIFQAIGTMTSLKILRLQSCGLDGRIPTAQGFLNLKNLEFLDLSFNTLDNTIFQTIGLCDLNHLQVLNMYGNDLSGFLPPCLANLTSLQQLNLSYNHLKIPISLSPLYNLSKLKYFDGSSNEIYAKEDDHNLSPKFQLEYLSLSGRRQGARAFPKFLYHQFNLQYVDLTNIQIKGKFLNWLIENNTYLQDLYLENCSLSGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGAYLPRLEVLLMSDNGFNGSIPSSLGNINSLQVLDLSNNVLTGRILSNNSLQRQIPGWIGNMSSLEFLDLSRNNFSGPLPYRFGTSSKLRYVYLSRNKLQGPIAMAFYDSSKIFALDLSHNDLTGRIPEWIDRLSNLRFLLLSYNNLEGEIPIQLCRLDQLTLIDLSHNHLSGNILSWMISTHHFPQQNNSRDSVSSSQQSFEFTMKNASLSYRGDIIQYITGIDFSCNNFTGEIPHEIGNLSMIMALNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFFLEVFNVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICVTAMPPSPTPTPIPISTNKNNEDNGGFIDMKVFYVTFGAAYIMVLLMMSVILCINSYWQQQAWFYFIEVSINNCYYFIVDNLPILSKFGFS >Potri.006G265200.1.v4.1 pep chromosome:Pop_tri_v4:6:26086420:26088273:-1 gene:Potri.006G265200.v4.1 transcript:Potri.006G265200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265200.v4.1 MAGLAYNCVLPSPEMRQWNEIIKKHVLNGDAVQAMVTYVNVQEIGFHADNFTFPILLKAAGSWSSPCIGLALHGQTIKAGFSSHVFVQTALLNMYRSHSCVADACKVFEKMPVKDVVAWNSILDAYASTDQMDDALKVFNSMPLKDLSSFNIMISGYSSIGKTLSARSIFDNMAEKDIVSWNSMISAYIQGEDMERACDLFREMPAKNIITWNTMVKGFLQNQLYGEVLDLFDEMKTTNCLPDYLTVTGVLSACAHSGSLKKGTEVHIYAIDNGLASSPHVTTALIDMYAKCGSIQQGLQVFYKSQVKDIYCWNALISGLALHGHGYAALNIFNKMRKNHTRPDDITFIGLLSACSHSGLVQEGSQLFYSMQKEFGISPKIEHYGCMVDLLSRARHLDCALQLIKTMPFKPGEAILGALLSACIVHQDLEVGERVVKLVSSRGNYLSDGELMMFSNLYASCGQWEEANKWREMMNDTGIVKTAGFSVVEVNGKFHKFLAG >Potri.001G042600.1.v4.1 pep chromosome:Pop_tri_v4:1:3095686:3098098:-1 gene:Potri.001G042600.v4.1 transcript:Potri.001G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042600.v4.1 MASKTEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPLNTVFDAKRLIGRRFSEPSVQSDMKHWPFKVIPGPGDKPMIVVKYKGEEKQFAAEEISSMVLTKMREIAEAYLGHSIKNAVVTVPAYFNDSQRQATKDAGAISGLNVLRIINEPTAAAIAYGLDKKASRSGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVGEFKRKHKKDISGNPRALRRLRTACERAKRTLSSTTQTTIEIDSLYEGIDFYSTITRARFEELNMDLFRKCMEPVEKCLRDSKIDKSQVHEVVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNERVQDLLLLDVTPLSLGIETAGGVMTTLIPRNTTIPTKKEQIFSTYSDNQPGVLIQVYEGERARTKDNNLLGKFELAGIPPAPRGVPQINVCFDIDANGILNVSAEDKTAGVKNKITITNDKGRLSKDEIERLVQEAEKYKAEDEEVKKKVDAKNSLENYAYNMRNTVKDEKFAGKLGPADKQKIEKAIDETIEWLERNQLAEVDEFADKQKELEDLCNPIIAKMYQGAGGDMPMGGGAGMPNSGYGNTSSGGSGAGPKIEEVD >Potri.010G223766.1.v4.1 pep chromosome:Pop_tri_v4:10:20803061:20808423:-1 gene:Potri.010G223766.v4.1 transcript:Potri.010G223766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223766.v4.1 MGSKWRKAKLALGLNLCVYVPRTLDDSAAPSSERLSDAALLSPKNWDSRPMTPTPSSHGLRLAKSGSKSSKQTCSICLAKMKQGDGHAIFTAECSHSFHFHCISSNVKHGNQLCPVCRAKWKEIPFQAPTLDPLPGRASAGWPQTDALMTMVHRLPPPPRRDLNRRHVSLLQAPEPSVFDDDESLDLQPASSERSSGNKNDAGHNPAKTVEIKTYPEVSAASCSNSYDNFTVLVHIKAAATVGRLNPRGNQASLPQLSQTPRAPVDLVTVLDISGSMAGTKLALLKRAMGFVIQNLGSNDRLSVIAFSSTARRLFPLRRMSDTGRQHALQAVNALVANGGTNIAEGLRKGAKVMEDRREKNPVASIILLSDGQDTYTVSGNGGNQPQPNYQLLLPVSIHGGDNAGFQIPVHAFGFGADHDASSMHSISEISGGTFSFIETEAVIQDAFAQCIGGLLSVVVQELQVGVECMHPSVHLGSLKAGSYPSRVMVDARSGFIDVGDLYADEERDFLVSVNVPAEPSRNQTSLLKVRCAYRDPLTKEMATLESEEIKLERPEISGEAIVSIEVDRQRNRFQAAEAMSRARTTAERGDLAGAASILENCRMLLSETVSAKFHDRLCIGLDAELKEMQERMASRHVYEASGRAYILSGLSSHSWQRATVRGDSTDGSSLVQSYQTPSMTEMLARSQATFLGSPSTQRLVQPSWSFGSQPKPR >Potri.018G030900.1.v4.1 pep chromosome:Pop_tri_v4:18:2280316:2283081:1 gene:Potri.018G030900.v4.1 transcript:Potri.018G030900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G030900.v4.1 MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGNCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVETPALAPPEVPIDLVAQAQHEAELAAAASQPLPDDDDDAFE >Potri.018G028500.2.v4.1 pep chromosome:Pop_tri_v4:18:2109480:2110659:-1 gene:Potri.018G028500.v4.1 transcript:Potri.018G028500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028500.v4.1 MASCSPFVLPIRAKQQDSGIHGTNSGMQEIKILGVHDIFHNSSTARRCCVRVKAAPGNRNTKPNSMICADCDGNGAVLCSQCKGSGVNSADLFNGRFKAGDSCWLCGGRKEMLCGNCNGAGFIGGFMSTFDE >Potri.019G049400.2.v4.1 pep chromosome:Pop_tri_v4:19:8132060:8139062:1 gene:Potri.019G049400.v4.1 transcript:Potri.019G049400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049400.v4.1 MKIIILESPFLPPPPNSKTKPKPKSINPNKVPIKSSIHPDPWSLSDGNNISKPKPKSKNPKNPLSDDNARRMIIARARYLSLLRKHQGPQAQTPKWIKRTPEQMVMYLEDDRNGHLYGKHVVAAIKRVRGLAGKKNEERDMRLLMSGFVGKLSFREMCVVLKEQKGWREARDFFSWMKLQLSYHPSVIVYTILLRIYGQVGKIKLAEQTFLEMLEVGCEPDEVACGTMLCSYARWGHHKAMFSFYSAIKERGIVVSIAVYNFMLSSLQKKSLHGKVIVLWRQMVDKRVAPNNFTYTVVISSLVKEGLHKEAFKTFNEMRTMGLVPEEVIYSLLITVSTKNSNWHEALKLYEDMRSHRIVPSKFTCASLLTMYYKIKDYSKALSLFIQMQSKNIAADEVIYGLLIRIYGKLGLYEDAQKTFEETERSGLLSNEKTYLAMAQVHLSSGNFEKALSVIEVMKSRNIWLSRFAYIVLLQCYCMKEDLDSAEVTFQALSKIGCPDAGSCSDIINLYVRLGLTEKAKDFIVHIRKDLVDFDEELFNTVIKVFCKEGMLKDAEQLVYEMGTNASFKDNRFFKTFSNVMYGENKELENIMVSADTTALGLILSLYLENGNFNKTEEFLKLILEAGSGLSVVSQLVNSFIREGDLFKAEAVNGQLIKLGSKLEDETIASLISAYGRQNKLKQAQEVFAAVADSPILGNPIINSMIDACVKCGKFEEAYLLYEEVAQRGHNLGAVGIGMVVNALTNSGKHPEAENIICRSIQDRMELDTVAYNIFIKAMLEAGRLHFATSIYEHMLLLGFTPSIQTYNTMISVYGRGRKLDKAVEVFNTACSLGVSLDEKAYMNMIYYYGKAGKRHEASLLFAKMQEEGIKPGVVSYNVMAKVYAMSGLYHEVEELFKVMERDGCPPDSFTYLSLVQAYSESSKCLEAEETINAMQKKGIPPSCAHFKHLLYALVKAGLMVEAERVYMELLSAGLNPDLVCCRAMLRGYMDYGHVEKGIKFYEQIRELVKADRFIMSAAVHLYKSAGKKLEAEVLFESMKSLRISFLNELEVGLKIQCPSHVSQVTDQELSVI >Potri.019G049400.7.v4.1 pep chromosome:Pop_tri_v4:19:8132081:8139063:1 gene:Potri.019G049400.v4.1 transcript:Potri.019G049400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049400.v4.1 MLEVGCEPDEVACGTMLCSYARWGHHKAMFSFYSAIKERGIVVSIAVYNFMLSSLQKKSLHGKVIVLWRQMVDKRVAPNNFTYTVVISSLVKEGLHKEAFKTFNEMRTMGLVPEEVIYSLLITVSTKNSNWHEALKLYEDMRSHRIVPSKFTCASLLTMYYKIKDYSKALSLFIQMQSKNIAADEVIYGLLIRIYGKLGLYEDAQKTFEETERSGLLSNEKTYLAMAQVHLSSGNFEKALSVIEVMKSRNIWLSRFAYIVLLQCYCMKEDLDSAEVTFQALSKIGCPDAGSCSDIINLYVRLGLTEKAKDFIVHIRKDLVDFDEELFNTVIKVFCKEGMLKDAEQLVYEMGTNASFKDNRFFKTFSNVMYGENKELENIMVSADTTALGLILSLYLENGNFNKTEEFLKLILEAGSGLSVVSQLVNSFIREGDLFKAEAVNGQLIKLGSKLEDETIASLISAYGRQNKLKQAQEVFAAVADSPILGNPIINSMIDACVKCGKFEEAYLLYEEVAQRGHNLGAVGIGMVVNALTNSGKHPEAENIICRSIQDRMELDTVAYNIFIKAMLEAGRLHFATSIYEHMLLLGFTPSIQTYNTMISVYGRGRKLDKAVEVFNTACSLGVSLDEKAYMNMIYYYGKAGKRHEASLLFAKMQEEGIKPGVVSYNVMAKVYAMSGLYHEVEELFKVMERDGCPPDSFTYLSLVQAYSESSKCLEAEETINAMQKKGIPPSCAHFKHLLYALVKAGLMVEAERVYMELLSAGLNPDLVCCRAMLRGYMDYGHVEKGIKFYEQIRELVKADRFIMSAAVHLYKSAGKKLEAEVLFESMKSLRISFLNELEVGLKIQCPSHVSQVTDQGTI >Potri.019G049400.1.v4.1 pep chromosome:Pop_tri_v4:19:8132013:8139063:1 gene:Potri.019G049400.v4.1 transcript:Potri.019G049400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049400.v4.1 MKIIILESPFLPPPPNSKTKPKPKSINPNKVPIKSSIHPDPWSLSDGNNISKPKPKSKNPKNPLSDDNARRMIIARARYLSLLRKHQGPQAQTPKWIKRTPEQMVMYLEDDRNGHLYGKHVVAAIKRVRGLAGKKNEERDMRLLMSGFVGKLSFREMCVVLKEQKGWREARDFFSWMKLQLSYHPSVIVYTILLRIYGQVGKIKLAEQTFLEMLEVGCEPDEVACGTMLCSYARWGHHKAMFSFYSAIKERGIVVSIAVYNFMLSSLQKKSLHGKVIVLWRQMVDKRVAPNNFTYTVVISSLVKEGLHKEAFKTFNEMRTMGLVPEEVIYSLLITVSTKNSNWHEALKLYEDMRSHRIVPSKFTCASLLTMYYKIKDYSKALSLFIQMQSKNIAADEVIYGLLIRIYGKLGLYEDAQKTFEETERSGLLSNEKTYLAMAQVHLSSGNFEKALSVIEVMKSRNIWLSRFAYIVLLQCYCMKEDLDSAEVTFQALSKIGCPDAGSCSDIINLYVRLGLTEKAKDFIVHIRKDLVDFDEELFNTVIKVFCKEGMLKDAEQLVYEMGTNASFKDNRFFKTFSNVMYGENKELENIMVSADTTALGLILSLYLENGNFNKTEEFLKLILEAGSGLSVVSQLVNSFIREGDLFKAEAVNGQLIKLGSKLEDETIASLISAYGRQNKLKQAQEVFAAVADSPILGNPIINSMIDACVKCGKFEEAYLLYEEVAQRGHNLGAVGIGMVVNALTNSGKHPEAENIICRSIQDRMELDTVAYNIFIKAMLEAGRLHFATSIYEHMLLLGFTPSIQTYNTMISVYGRGRKLDKAVEVFNTACSLGVSLDEKAYMNMIYYYGKAGKRHEASLLFAKMQEEGIKPGVVSYNVMAKVYAMSGLYHEVEELFKVMERDGCPPDSFTYLSLVQAYSESSKCLEAEETINAMQKKGIPPSCAHFKHLLYALVKAGLMVEAERVYMELLSAGLNPDLVCCRAMLRGYMDYGHVEKGIKFYEQIRELVKADRFIMSAAVHLYKSAGKKLEAEVLFESMKSLRISFLNELEVGLKIQCPSHVSQVTDQGTI >Potri.019G049400.4.v4.1 pep chromosome:Pop_tri_v4:19:8132063:8139047:1 gene:Potri.019G049400.v4.1 transcript:Potri.019G049400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049400.v4.1 MLEVGCEPDEVACGTMLCSYARWGHHKAMFSFYSAIKERGIVVSIAVYNFMLSSLQKKSLHGKVIVLWRQMVDKRVAPNNFTYTVVISSLVKEGLHKEAFKTFNEMRTMGLVPEEVIYSLLITVSTKNSNWHEALKLYEDMRSHRIVPSKFTCASLLTMYYKIKDYSKALSLFIQMQSKNIAADEVIYGLLIRIYGKLGLYEDAQKTFEETERSGLLSNEKTYLAMAQVHLSSGNFEKALSVIEVMKSRNIWLSRFAYIVLLQCYCMKEDLDSAEVTFQALSKIGCPDAGSCSDIINLYVRLGLTEKAKDFIVHIRKDLVDFDEELFNTVIKVFCKEGMLKDAEQLVYEMGTNASFKDNRFFKTFSNVMYGENKELENIMVSADTTALGLILSLYLENGNFNKTEEFLKLILEAGSGLSVVSQLVNSFIREGDLFKAEAVNGQLIKLGSKLEDETIASLISAYGRQNKLKQAQEVFAAVADSPILGNPIINSMIDACVKCGKFEEAYLLYEEVAQRGHNLGAVGIGMVVNALTNSGKHPEAENIICRSIQDRMELDTVAYNIFIKAMLEAGRLHFATSIYEHMLLLGFTPSIQTYNTMISVYGRGRKLDKAVEVFNTACSLGVSLDEKAYMNMIYYYGKAGKRHEASLLFAKMQEEGIKPGVVSYNVMAKVYAMSGLYHEVEELFKVMERDGCPPDSFTYLSLVQAYSESSKCLEAEETINAMQKKGIPPSCAHFKHLLYALVKAGLMVEAERVYMELLSAGLNPDLVCCRAMLRGYMDYGHVEKGIKFYEQIRELVKADRFIMSAAVHLYKSAGKKLEAEVLFESMKSLRISFLNELEVGLKIQCPSHVSQVTDQGTI >Potri.011G162828.1.v4.1 pep chromosome:Pop_tri_v4:11:18729230:18731215:1 gene:Potri.011G162828.v4.1 transcript:Potri.011G162828.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162828.v4.1 MTSLSSSMLPFLLILLFPFSWGTSAHTHEDFLQCLSLYSEDSAATSKVIYTPNNTSYATVLQFSIRNLRFNSSKLTPVVIVTPTILSHIQATIHCSQKHNLQIRIRSGGHDYEGLSYMSVLPFVILDLINLRKITVDLSTKTAWVQAGATLGELYYSIAEKSRTLAFPAGACHTVGVGGQFSGGGYGGLLRKYGLAADNVIDAELIDANGRVLDRESMGEDLFWAIRGGGGNSFGVVTAWKVNLVEVPPTVTVFAVPKVLKENATKLIHRWQYVANKLPEDIVIAAYVNRINSSQGGNPTIQATFTSLFLGGVDRLLPLMQESFPELGLVKDDCIELSWIEFALFLAGFPSNASLDVLLDRTPQSITSFKAKSDYVKQPLPETTLEGMWETFFEKDIESPSLFMVPYGGKMEEISESSIPFPHRAGNLYKIHYYVAWTEEGKEASERHISWIRRLYSYMTPYVSKNPREAYVNYRDLDLGINNLAGNTSYKQASIWGRKYFKNNFDKLVRIKTEVDPANFFRNEQSILLI >Potri.012G095200.1.v4.1 pep chromosome:Pop_tri_v4:12:11959181:11964307:1 gene:Potri.012G095200.v4.1 transcript:Potri.012G095200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095200.v4.1 MQKMQENSVASELINFLNASPTAFHAVEEAKRRLRNAGYEQVSERQDWDLEAGKRYFFTRNHSTIVAFAIGNKFEAGNGFYIVGAHTDSPCLKLKPVSKVTKGGYLKVGVQTYGSGLWHTWFDRDLTVAGRVIVKEEKDGSVSYSHRLVRIEEPILRVPTIAIHLDRNVNSDGFKVNTETQLLPVLATSIKTELNKAVAESGPVKSEEIQVDGKKSDIGMINSKHHSLVLEMIANQIGCKVDDICDFELQVCDTQPSVIAGAAKEFIFSGRLDNLCSSFCSLKALIDATSTESDLKDESGVRMVALFDHEEVGSDSAQGAGSPVMLDALSRITSSFDSDSKLLPKAIQMSYLVSADMAHALHPNYMDKHEDNHQPKLHGGLVIKHNANQRYATNAVTSFLFREIATKHNLPTQDFVVRNDMACGSTIGPILASGVGIRTVDVGAPQLSMHSIREMCAVDDVKHSYEHFKAFFQEFSDLDAKITVDM >Potri.006G013200.2.v4.1 pep chromosome:Pop_tri_v4:6:805740:807975:-1 gene:Potri.006G013200.v4.1 transcript:Potri.006G013200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013200.v4.1 MSRIPHEVIHDILLQLPVKSLIRFRSLSKPICSLIDGPDFINLHLNHSITTKSNHSIILKEWDLFAVDFDALSDAVEVKHHPLYSGGGTEVIGSVNGLVFLRRSETNIAVYNLSTRECKKCYVAETEIPRRDMTTGYVYYGFGYDSYGDDYKVVRMAQFVREDGGGDGGGLGCEYEVKVYSLKNDKWKKIEGLPIRLRLLSKPFFHILNRRGYGVFAGHALHWIVPQRRELGIRDCVLGFDIRDDKFFELPQPDYENKGMNFHVDVGVLEGNLCVMCNYEHVCVDVWVMKEYGVKESWCKMFSVHAIKWISAFMFLRPLVYSKGGDMVLLEVNGEKLLWYDWKNKHAKVVRVRGGPSSFGSEMYVESLIRINDGDRIGWKKQQELDEEEEEKRKTNRNKRDDFLSVGFKLKL >Potri.006G013200.3.v4.1 pep chromosome:Pop_tri_v4:6:805740:807975:-1 gene:Potri.006G013200.v4.1 transcript:Potri.006G013200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013200.v4.1 MSRIPHEVIHDILLQLPVKSLIRFRSLSKPICSLIDGPDFINLHLNHSITTKSNHSIILKEWDLFAVDFDALSDAVEVKHHPLYSGGGTEVIGSVNGLVFLRRSETNIAVYNLSTRECKKCYVAETEIPRRDMTTGYVYYGFGYDSYGDDYKVVRMAQFVREDGGGDGGGLGCEYEVKVYSLKNDKWKKIEGLPIRLRLLSKPFFHILNRRGYGVFAGHALHWIVPQRRELGIRDCVLGFDIRDDKFFELPQPDYENKGMNFHVDVGVLEGNLCVMCNYEHVCVDVWVMKEYGVKESWCKMFSVHAIKWISAFMFLRPLVYSKGGDMVLLEVNGEKLLWYDWKNKHAKVVRVRGGPSSFGSEMYVESLIRINDGDRIGWKKQQELDEEEEEKRKTNRNKRDDFLSVGFKLKL >Potri.010G027500.1.v4.1 pep chromosome:Pop_tri_v4:10:3988666:3989286:1 gene:Potri.010G027500.v4.1 transcript:Potri.010G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027500.v4.1 MGTEVARPQDCLIERIRVSPCRRRNYYYGNGNVSNPNAYSTNSYCNNSNPRFNRKPTAVRFERSGQRKKQPEPSISKKSGTVDDLKIPRNNKVMEKVTILRRGESLDTKIKSSDTASLKKEQGNGGDFVVASTDRLGPDPKVVSKQIRIVDLRSPVNGKCDMYAGSAFAVSPAPSSLPLPSFSKKKQVSIDDSATRDLRRLLRLEC >Potri.016G115200.1.v4.1 pep chromosome:Pop_tri_v4:16:11964722:11966147:-1 gene:Potri.016G115200.v4.1 transcript:Potri.016G115200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115200.v4.1 MAATTAAAATSSFIGTRVPDVYSNAGRIQARFGFGKKAPKKSIKTIPDRPLWYPGAKAPEYLDGSLVGDYGFDPFGLGRPAEYLQFELDSLDQNLAKNLAGDIIGTRTEVSDVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSITTLILIEVLVIGYIEFQRNAELDPEKRLYPGGNFFDPLGLAADPEKKATLQLAEIKHARLAMVAFLGFAVQAWVTGKGPLNNWATHLSDPLHTTIIDTLSS >Potri.017G127901.1.v4.1 pep chromosome:Pop_tri_v4:17:13140259:13140713:1 gene:Potri.017G127901.v4.1 transcript:Potri.017G127901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G127901.v4.1 MRNEGGYEVMKKVIEKLGLGLKERIAAPGEGDEQRLTARLETADINTFKVLLIDGASTCVGCGVEKEGKESFEDRRHASNMNPYLVEAMRMSSNPSYEILFIYSSSSLFNKPRKDLYQ >Potri.004G220700.2.v4.1 pep chromosome:Pop_tri_v4:4:22630036:22631260:1 gene:Potri.004G220700.v4.1 transcript:Potri.004G220700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G220700.v4.1 MALVFSKFLTADDIENGLSIPGCSLGPLPFQEGQSMNMHVHDGNGHEWIFSCTIKRNESTGHFLSVGWNKFVRERDLRVDDKVTIHEEAMKNQAMGTWIKVEVKRKIRLFGEDVWADV >Potri.006G251751.1.v4.1 pep chromosome:Pop_tri_v4:6:25041279:25041584:1 gene:Potri.006G251751.v4.1 transcript:Potri.006G251751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251751.v4.1 MATLQRSTAFRRQGSSGVVWEDKCFLKEDKVDYKELRPCQSARVVGVTDPGNLKAAAPAFPRSYSTAPMNQSSKAFGKPLGIPKSKSIRKKLLSNLLFSNK >Potri.008G089200.1.v4.1 pep chromosome:Pop_tri_v4:8:5579025:5581086:1 gene:Potri.008G089200.v4.1 transcript:Potri.008G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089200.v4.1 MVKSQCFEKVGLKKGPWTPEEDQKLLDYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFNLQEEQSIIRLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLDKMGIDPVTHKPKADSFGSGSGHSKGAASLSHMAQWESARLEAEARLVRESKLTVPNPPKNVLGSAVSAQVSNKGSAAPTARPQCLDVLKAWQGVVFSMFSVGSRDSLESPTSTLNFSENALAMIPLIGVQKNPTTTLAFATNNATLNRGATASEFDRGNQLECFEKLKETAQVTRNLDSSMAIHDISPYASDHNAWFVDSSANENAPMGNIIDGFSEILVCNSLDPNPTCSGENINDCYAGSLEDNYWNSLLNLVDASPTGSSVF >Potri.003G198401.1.v4.1 pep chromosome:Pop_tri_v4:3:20013747:20019733:-1 gene:Potri.003G198401.v4.1 transcript:Potri.003G198401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G198401.v4.1 MHAPGSNIDFLLKSIFIRGFCGSLHLVLLLALSVSYVCKKLSRRGDGEGSKETLKIKRRFMWYKQTMVCCLGVSVFNFILCLLSYFYLYGNVWSDGEAMTLLDFGLRTLSWGALVVYLHTQFFNSGEKMFPLLLRVWWGFYLAISCYCFFVDVFLHHKHVSLEIEWYLVSDVVSVFTGLFLCYVGSLRSDIQDVLEEPLLNGDSSSIDNLENRGADTVTPFGNAGLFSILTFSWMNSLIAAGNKKTLDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRVTRFKFAKALFLLVWKEILWTALLALIHTLSSYVGPYLIDVFVQCLDGRGEFKNQGYILASAFVVAKLAECLAQRHLRFRLQQIGTRLRAVTATMIYNKSLTISSHSKQGHSSGQIINIMTIDANRLGIFSWYMHDPWLVILQVCLALLILYRNLGLGSVAGFVATVIVMSLNYPFGRLEEKFQDKLMESKDKRMKATTEILRNMRILKLQGWEMKFLSKILELRKVETRWLKKYLYTSEVITVVAWVTPTVVAVATFGTCMLMGVPLDSGKVLSALATFEILQSPIYNLPNTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPVGSSDTAIEIVDGNFSWDFSSPCATLKDINFKVFNGMKVAVCGTVGSGKSSLLSSILGELPKISGTLKLCGTKAYVAQSPWIQSGKIEENILFGKEMDRERYEKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLSTADLILVMKDGRIAQAGKYDDILNSGSDFTVLVGAHKAALSVLDSRQAGPVSENESVRDNNGGENSTDGIVHNEGNKDSQIGKADEVAEPQAQLIQEEEREKGRVGFQIYWKYITTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPVSKDVKPVVSGSRLLIVYVSLVIGSSFGILARVMLLVTAGYKTATLLFNKLHLCIFRAPMSFFDATPSGRIINRASTDQSALEMEIPYIIGELAIQAITLLGIIAVMSQVAWQVFMVSIPVIAACIWYQQYYIPSARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFEEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSITFAFCLFLLVSFPEKINPAIAGLAVTYALGLHMAQYVLIWCFCNCENKLISVERILQYISIPAEPPLVIEANRPGHSWPSHGEIDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHFSDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDSPTRLLENKSSSFSQLVAEYTVRSNTSFEKSAGIN >Potri.011G065500.1.v4.1 pep chromosome:Pop_tri_v4:11:5599052:5603008:-1 gene:Potri.011G065500.v4.1 transcript:Potri.011G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065500.v4.1 MVSQALMLDAEKGELLKAPARIGKMGISEAKAFAALKSHSEAERRRRERINAHLATLRGLVPCTEKMDKATLLAAVISQVKEHKKNALEACKGLLVPMDDDEVKVETYFDGTLHFKASICCDYRPELLSDLRNAIDALPLKTVSAEISTLGSRLKNEFVLTNRRNKNALDDAGAIQLLTNSIHQTLTSVMEKGSASPKYSPRTKLPNKRRRVTFFDSSSSSS >Potri.013G160350.1.v4.1 pep chromosome:Pop_tri_v4:13:15461147:15461467:-1 gene:Potri.013G160350.v4.1 transcript:Potri.013G160350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160350.v4.1 MRLLLFLFNNFLFFDVPFHKTTLMSSRPRLLSTKTVQVQSNPPHVAMVTLLDHSVLEDSDELDDWDMQHLIDHYQEDEVVDFDTISKLSNTYTYNNVVNKVCFVHS >Potri.019G001550.1.v4.1 pep chromosome:Pop_tri_v4:19:779118:779354:1 gene:Potri.019G001550.v4.1 transcript:Potri.019G001550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001550.v4.1 MIYMILSDKKILVIYRLEKPCCPCAFGLSLAAYTGITASVMMDRITVYTLLNILKACCTWTTGPVELKFKKFALDSGV >Potri.015G011100.1.v4.1 pep chromosome:Pop_tri_v4:15:714124:719387:-1 gene:Potri.015G011100.v4.1 transcript:Potri.015G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G011100.v4.1 MERSRSKRNYYYDHQDYDNDNLNNNNNNNMVRTKPRYNNNNNYYRQRGQGGNNMFNNNNNRFSRTQQQQQPPQQQQDPSSTLMVTTSYRILCHDMKAGGVIGKSGSIIKSIRQHTGAWINVHELIPGDEERIIEISDTRRRDPEGRMPSFSPAQEALFLIHDRILENDLQYGVVSSGAGGFEEDEYVGRGGNRVATRLVVSRMHVGCLLGKGGKIIEQMRMETKTQIRILPRDHTLPRCVSVSEEIVQVIGDVNAVKNAVAIISSRLRESQHRDRSHFHGRVQSPERFLDDDYVPHMNTRRSSMDGPPSFGSRLSGPNYRNNNYSSRAPGLPVDAGADPNADSAQPFYVEDLVFRILCPIDKVNRVVGESDGLVDLLQNEIGVDVKVADPVSGSDEQIITICSEEGPDDELFPAQEALLHIQTRIVDLVADKDNIITTRLLVPSSEIGCLEGRDGSLSEMESLTGATIEILPKEMLPTYLSGTDELVQIQGEVKAARDALVEVTSRLRSYLYKDVFQKDLPPTVSAPGPAGTAGGLQAASSNNPTPAREGHSGGDPPATYQNVQSASTPQPSKDARGSSAETVKQNESEHREDLPPPTMNRIPVTLVTRSILEVVIPEPAVPKLITKSKNKLAQISELSGANVTLVEDRPDVTEKIIQISGTPEQAERAQSLLQGFILSIQEDGP >Potri.014G092600.3.v4.1 pep chromosome:Pop_tri_v4:14:6007264:6009489:-1 gene:Potri.014G092600.v4.1 transcript:Potri.014G092600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092600.v4.1 MGRAKKGPKFAKMKKMITSKAIKQHKEEVLNPKKKDLSLEKLPRNVPQVSSALYFSYNTALGPPYRVLVDTNFINFSIQNKLDLEKAMLDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCIHKGTYADDCIVERVTKHKCYIVATCDRDLKRRIRKIPGVPIMYITQHKYSIERLPEATVGGAPRY >Potri.004G047566.1.v4.1 pep chromosome:Pop_tri_v4:4:3791673:3792408:-1 gene:Potri.004G047566.v4.1 transcript:Potri.004G047566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047566.v4.1 MQTVLPSKRRRGGGGGGLFNFGGKERERERGEEERQGKGGCNGEAGRVFAVGLDAEGNGSYRCRFCDNPLALGFFRTRCLWGASLLPVVI >Potri.008G173500.2.v4.1 pep chromosome:Pop_tri_v4:8:11985744:11987633:1 gene:Potri.008G173500.v4.1 transcript:Potri.008G173500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G173500.v4.1 MREAISLRSSRYDHFVTLVSSAKDLLDLLHLSLTHKSLKLTQQCHARVLSLGLTQHPFLATELVSACASVYLWTSLINGCRGVLLDDYTLATMSKVFGEIGDLNSGKLIHGKIFKIGFVLDFIVANSLMSMYSKCGEFGECLKLFDEMPERNVGDLNFDKDMSDFVKDMQIEGLKPDVITVSSLLSLRDGDMVKRNYGRELRGFIFHLGSCLIDMYSRCNKVDVGRRVFDSMKGGNVYTWTAMINGYVKNGSLEEGLVLFQEIQVRDEVELIKVSLVSVLPACSAVSGLIGVKQIHGYAIKKEFNDDVSLCNALIGTYSKCGSLDHAKQVFEHGSFHRDPISWSSMISGYGLQGKGEEAIFVYNKMLHLGNKSDMITIVGVLSACGRSGLVDEGLRIYKSTINEYRIKPTVEICASLDFIKSMPMEPSPRVWGVLVSASIIHGKAEMQDLACRFLIQFEPESPPTYVSLSNLHASSRRWDVVSEVRTMMKERCLTKTTGCSWISINNAHSFFLCC >Potri.018G075500.1.v4.1 pep chromosome:Pop_tri_v4:18:9398602:9402662:1 gene:Potri.018G075500.v4.1 transcript:Potri.018G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075500.v4.1 MDYIEDDPRYPPKSYSLNRSKKHPLYPQRQTPTLHYNYDYDYDYDYLDVDEDGDELDDEFLDKNDSSYNPGGGYARKFQRNEEAFERYPKRQRLKNPVSNYEFAPRNPRLLAYGDGNSAVEWSEHEKFVLLEVWGDKFLQLGRNSLRSEDWVDVAEKVSETSKIERNEAQCRQMMDVLKRRYKKEKAKGGNFSKWAYFNKMDMLMKQESGTVGGFSLACGVDSGEYVFMDTRVYLDRANMNDEMRDSPCESEEEEEEEEGGGSAGNDGMKGLRVLAESVQKFGEIYGKIESSKREQMMELERMRIEFQMDLELQKKQILDRAQAEIAKIREEDDDEEDEDNSDDDDVSGGNISK >Potri.018G075500.4.v4.1 pep chromosome:Pop_tri_v4:18:9398626:9399902:1 gene:Potri.018G075500.v4.1 transcript:Potri.018G075500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075500.v4.1 MDYIEDDPRYPPKSYSLNRSKKHPLYPQRQTPTLHYNYDYDYDYDYLDVDEDGDELDDEFLDKNDSSYNPGGGYARKFQRNEEAFERYPKRQRLKNPVSNYEFAPRNPRLLAYGDGNSAVEWSEHEKFVLLEVWGDKFLQLGRNSLRSEDWVDVAEKVSETSKIERNEAQCRQMMDVLKRRYKKEKAKGGNFSKWAYFNKMDMLMKQESGTVGGFSLACGVDSGEYVFMDTRVYLDRANMNDEMRDSPCESEEEEEEEEGGGSAGNDGMKGLRVLAESVQKFGEIYGKIESSKREQMMELERMRIEFQMDLELQKKQILDRAQAEIAKIREEDDDEEDEDNSDDDDVSGGNISK >Potri.018G075500.3.v4.1 pep chromosome:Pop_tri_v4:18:9398539:9402607:1 gene:Potri.018G075500.v4.1 transcript:Potri.018G075500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075500.v4.1 MDYIEDDPRYPPKSYSLNRSKKHPLYPQRQTPTLHYNYDYDYDYDYLDVDEDGDELDDEFLDKNDSSYNPGGGYARKFQRNEEAFERYPKRQRLKNPVSNYEFAPRNPRLLAYGDGNSAVEWSEHEKFVLLEVWGDKFLQLGRNSLRSEDWVDVAEKVSETSKIERNEAQCRQMMDVLKRRYKKEKAKGGNFSKWAYFNKMDMLMKQESGTVGGFSLACGVDSGEYVFMDTRVYLDRANMNDEMRDSPCESEEEEEEEEGGGSAGNDGMKGLRVLAESVQKFGEIYGKIESSKREQMMELERMRIEFQMDLELQKKQILDRAQAEIAKIREEDDDEEDEDNSDDDDVSGGNISK >Potri.017G118900.6.v4.1 pep chromosome:Pop_tri_v4:17:12543938:12546802:1 gene:Potri.017G118900.v4.1 transcript:Potri.017G118900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118900.v4.1 MAKRDLVRYKENRRAAESAKVKAESELSEAKRTVKELVLQIEKSNLKVKAQVRDMERLNKLSKRQDMALIVGSDESHQYAEVIRELEGVKQELSKLKLEMASVLEAKTRAEKEIATSISKLSSNMSHAEALRKKIDEANEEQVLVELAQIEALKEFGEIQAQREKEAREFSSAMQETKNKRKNVKEEISSSTDLESKLAVTLYDVNLIQHELKLAKDKDAKVQRNDSMKHLGGSFREGKQLEDSSLLKSITEELQAAKKELASTREEGFQFMTSMDIVRNELKHVTEETVQLKKVKEKADITAQNLNSKLLRAKSKLETATAVEEKARSTLSSLSVTLEQLKTEAEVARKEKKLICEETAKIKAEIRNTDSQIDLTEEKLQYAIQELDAVKKSESSALQNLKNVIENSMRSRASASQHSSSITISKFEYEYLTGHAAMAEEIADKKVAAAHAWIEALKASEKEILMKIELAHGDIRETRVEEEKEIYRTESSLSAKRMVEGELPKWRQVSKKNTEAENQQQPLPRKSMKANGNLTPSRRSKLRNAGSPSVRMTPRITPRSTSIAIRKKRTIVPNLAKLFIGKKS >Potri.017G118900.5.v4.1 pep chromosome:Pop_tri_v4:17:12543932:12546464:1 gene:Potri.017G118900.v4.1 transcript:Potri.017G118900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118900.v4.1 MDRRVFDDRRRIGTVKAAVNMYGERILESSSSSLKTPAQMDLPESSSRAKELHMAKRDLVRYKENRRAAESAKVKAESELSEAKRTVKELVLQIEKSNLKVKAQVRDMERLNKLSKRQDMALIVGSDESHQYAEVIRELEGVKQELSKLKLEMASVLEAKTRAEKEIATSISKLSSNMSHAEALRKKIDEANEEQVLVELAQIEALKEFGEIQAQREKEAREFSSAMQETKNKRKNVKEEISSSTDLESKLAVTLYDVNLIQHELKLAKDKDAKVQRNDSMKHLGGSFREGKQLEDSSLLKSITEELQAAKKELASTREEGFQFMTSMDIVRNELKHVTEETVQLKKVKEKADITAQNLNSKLLRAKSKLETATAVEEKARSTLSSLSVTLEQLKTEAEVARKEKKLICEETAKIKAEIRNTDSQIDLTEEKLQYAIQELDAVKKSESSALQNLKNVIENSMRSRASASQHSSSITISKFEYEYLTGHAAMAEEIADKKVAAAHAWIEALKASEKEILMKIELAHGDIRETRVEEEKEIYRTESSLSAKRMVEGELPKWRQVSKKNTEAENQQQPLPRKSMKANGNLTPSRRSKLRNAGSPSVRMTPRITPRSTSIAIRKKRTIVPNLAKLFIGKKS >Potri.017G118900.4.v4.1 pep chromosome:Pop_tri_v4:17:12543880:12546420:1 gene:Potri.017G118900.v4.1 transcript:Potri.017G118900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118900.v4.1 MDRRVFDDRRRIGTVKAAVNMYGERILESSSSSLKTPAQMDLPEKSSSRAKELHMAKRDLVRYKENRRAAESAKVKAESELSEAKRTVKELVLQIEKSNLKVKAQVRDMERLNKLSKRQDMALIVGSDESHQYAEVIRELEGVKQELSKLKLEMASVLEAKTRAEKEIATSISKLSSNMSHAEALRKKIDEANEEQVLVELAQIEALKEFGEIQAQREKEAREFSSAMQETKNKRKNVKEEISSSTDLESKLAVTLYDVNLIQHELKLAKDKDAKVQRNDSMKHLGGSFREGKQLEDSSLLKSITEELQAAKKELASTREEGFQFMTSMDIVRNELKHVTEETVQLKKVKEKADITAQNLNSKLLRAKSKLETATAVEEKARSTLSSLSVTLEQLKTEAEVARKEKKLICEETAKIKAEIRNTDSQIDLTEEKLQYAIQELDAVKKSESSALQNLKNVIENSMRSRASASQHSSSITISKFEYEYLTGHAAMAEEIADKKVAAAHAWIEALKASEKEILMKIELAHGDIRETRVEEEKEIYRTESSLSAKRMVEGELPKWRQVSKKNTEAENQQQPLPRKSMKANGNLTPSRRSKLRNAGSPSVRMTPRITPRSTSIAIRKKRTIVPNLAKLFIGKKS >Potri.006G160700.1.v4.1 pep chromosome:Pop_tri_v4:6:14534087:14536416:-1 gene:Potri.006G160700.v4.1 transcript:Potri.006G160700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160700.v4.1 MSSLRTLLAALFIFAVAFDPSAVNAKFSNSMYFYWGAHHSAILGNGDDLQLVLDQTSGSGIKSKRPFLFGSIQMLIKLVPGNSAGTVTAYYVSSSGDRHDEIDFEFLGNASGQPYTIHTNIYTQGNGSREQQFRPWFDPTADFHNYTIHWNPTEVVWYVDSVPIRVFRNYENEGIAYPNKQGMRVYSSLWNADIWATQGGRVKIDWKVAPFIARYRSFRARACKWNGPVSISQCASNTAANWWTSPTYSKLSNAKFGQLTWVRDNYMIYDYCKDTKRFNGKTPPECFKPQF >Potri.003G187500.1.v4.1 pep chromosome:Pop_tri_v4:3:19228704:19230612:-1 gene:Potri.003G187500.v4.1 transcript:Potri.003G187500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G187500.v4.1 MLHKMIFPVRRVWLAVSARLRARKNGAGLLELHNDVQTCGYEDVQVMWEILRRSESELMASLPKRKQRPFWRVFVWSNHSAASSFSANHS >Potri.006G157801.1.v4.1 pep chromosome:Pop_tri_v4:6:14137846:14138397:1 gene:Potri.006G157801.v4.1 transcript:Potri.006G157801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157801.v4.1 MEGHRVHKILVDSGSLVNILLAEVMTKMGIDASRMTLVLTPLIGIEGSAVLVKGAIGFTVTMGTAPHCVTLQRTFMVIDTHLSYNAIIWRSLLHQISAVVRTKYLTLKFPTVKGVVVVKGNQEASREYANTCLKGKNALLINRLQAYEEKPEVIIEAAEELVEVRLGSTNKEVTRVGCTLNSQ >Potri.016G034400.7.v4.1 pep chromosome:Pop_tri_v4:16:1984965:1988350:1 gene:Potri.016G034400.v4.1 transcript:Potri.016G034400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034400.v4.1 MGAHQWIAMAVLCATFFQICRAVDSSADDKLLSLPGQPRVSFQQYAGYVTVDENQDRALFYYFVEAETDPASKPLVLWLNGGPGCSSVGAGAFSEHGPFRPSGGGSLVRNDYSWNKEANMLYLESPAGVGFSYSANQSFYDLVNDTITVQDNFVFLQNWFLKFPEYKNRDLFITGESYAGHYVPQLADLIVKSGLKFNLKGIALGNPLLEFSTDFNSEGDFYWSHGLISNPTYELLSAVCNTSQLWRERIGNSLSASCSKVNDQLNAEIPDAIDKYDVTANVCLSFGASLLGVQNNPLTPRFRLFSSAESLQEALSQQKAQENIDPCVQEETFVYLNRKDVQESFHAKLVGTPKWTFCSG >Potri.016G034400.5.v4.1 pep chromosome:Pop_tri_v4:16:1984424:1988350:1 gene:Potri.016G034400.v4.1 transcript:Potri.016G034400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034400.v4.1 MGAHQWIAMAVLCATFFQICRAVDSSADDKLLSLPGQPRVSFQQYAGYVTVDENQDRALFYYFVEAETDPASKPLVLWLNGGPGCSSVGAGAFSEHGPFRPSGGGSLVRNDYSWNKEANMLYLESPAGVGFSYSANQSFYDLVNDTITVQDNFVFLQNWFLKFPEYKNRDLFITGESYAGHYVPQLADLIVKSGLKFNLKGIALGNPLLEFSTDFNSEGDFYWSHGLISNPTYELLSAVCNTSQLWRERIGNSLSASCSKVNDQLNAEIPDAIDKYDVTANVCLSFGASLLGVQNNPLTPRFRLFSSAESLQEALSQQKAQENIDPCVQEETFVYLNRKDVQESFHAKLVGTPKWTFCSGVVNYDLRNLEIPTIDVVGSLVNSGVRVLVYSGDQDSVIPFTGSRTLVEGLAKKLGLNATVPYTPWFEDKQVGGWTQVYGNILTFSTIRGGSHMAPFSSPGRSLALFAAFLSGKPLV >Potri.011G156200.1.v4.1 pep chromosome:Pop_tri_v4:11:18273365:18275148:-1 gene:Potri.011G156200.v4.1 transcript:Potri.011G156200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156200.v4.1 MVIKSSAEVQESNYDKESQLKAFDDTRTGVKGLIDNGITKIPKIFVHDKRSDVSSDSDQSAAVPLIDFEGIDEDRSQRAKVVEGVRDACAEWGFFQVVNHGIPVSVLEEMIGGVARFHEQDSEVKKEWYSRDYTRKVLYNSNFDLYQAPAANWRDTLSCVMAPRQPNPHDLPDVCRDIMNDYSNKVMVLAQRLFELLSEALGLDPNYLKDIHCAEGLFFLGHYYPACPEPDLTFGTSSHSDSSFLTVLVQDQIGGLQVLHENQWVDLNPIPGALVINLGDMLQLISNDRFISVQHRVLARTVGPRISVACFIRQHLPPENASRLYGPIKELLSEESPAIYRETTVKDLVTHYYAKGLDGISALEHFKL >Potri.016G138500.1.v4.1 pep chromosome:Pop_tri_v4:16:14192999:14195988:1 gene:Potri.016G138500.v4.1 transcript:Potri.016G138500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138500.v4.1 MASLISPVTPAPQQNPKIICFSTFKPNFHNDISSFTNRLKGNSGRCKAFFGNIPDDLLENTLQLDQFSVFQSGLVQFQSVTEELSDTQKWGLLVFAGVAWIYLTARPGILIGAIDAYLLAPLQLGLDSLSGRRNLKRSDFLVGDKLGEGSFGVVYSGVVVPRNATVDEKVPKTGTGRALQLSTRFKEKVILKKVKVGVTGAEQFGEYEEWFNYRLSRAAPETCAKFLGSFVAESDRTSSQFTKGGKWLVWKFEGDQTLGDYMKDRNFPFNLESIMFGRVLQGVDSVKRSALIIKQIMRQIITSLKKIHDTGIVHRDVKPANIVVTKKGKIKLIDFGAATDLRIGKNYIPDQSLLDPDYCPPELFVLPEETPSPPPEPVAALLSPILWQLNSPDLFDSYSAGIVLLQMAIPSLRPVSGLKNFNTEIKKARYDLNIWRESTRLRPDLTILELDSGRGWDLATKLISERGYLGRGRLSAAAALRHPYFLLGGDQAATVLSKLSLTK >Potri.006G171100.1.v4.1 pep chromosome:Pop_tri_v4:6:17320669:17323493:-1 gene:Potri.006G171100.v4.1 transcript:Potri.006G171100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171100.v4.1 MSEARNDLKHIAVLAFPVATHGPPLLSLVRRLSASASYAKFSFFSTKESNSKLFSKEDGLENIKPYNVSDGLPENYNFAGNLDEVMNYFFKATPGNFKQAMEVAVKEVGKDFTCIMSDAFLWFAADFAQELHVPWVPLWTSSSRSLLLVLETDLVHQKMRSIINEPEDRTIDILPGFSELRGSDIPKELFLDVKESQFAAMLCKMGLALPQAAVVASNSFEELDPDAVILFKSRLPKFLNIGPFVLTSPDPFMSDPHGCLEWLDKQKQESVVYISFGSVITLPPQELAELVEALKECKLPFLWSFRGNPKEELPEEFLERTKEKGKVVSWTPQLKVLRHKAIGVFVTHSGWNSVLDSIAGCVPMICRPFFGDQTVNTRTIEAVWGTGLEIEGGRITKGGLMKALRLIMSTDEGNKMRKKLQHLQGLALDAVQSSGSSTKNFETLLEVVAK >Potri.016G120900.1.v4.1 pep chromosome:Pop_tri_v4:16:12575622:12580245:1 gene:Potri.016G120900.v4.1 transcript:Potri.016G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120900.v4.1 MAEAIATAATTSLSVKPSNLHSQLFLSSTSFPIIRLNSLKNPNFRPLVVSSTSIESAATQKPSSKTSFLDQREGSRYLHFVKYHGLGNDFILVDNRDSSEPKITPEQAAKLCDRNFGIGADGVIFALPGINGTDYTMRIFNSDGSEPEMCGNGVRCFARFIAEIENLHGKKLSFSVHTGAGLIVPEIQEDGKVKVDMGEPVLKAADVPTKLAANKNDAVVKSELVVDGVTWNVTCVSMGNPHCVTFGVKGGQDLQVDSLNLAQIGPKFEHHEVFPARTNTEFVQVCSPSHLKMRVWERGAGATLACGTGACATVVAAVLEGNAGRNCTVDLPGGPLEIEWREEDNHVYMTGPAEMVFDGSVPLRYLLE >Potri.013G042400.1.v4.1 pep chromosome:Pop_tri_v4:13:2919769:2921431:-1 gene:Potri.013G042400.v4.1 transcript:Potri.013G042400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042400.v4.1 MINGNEIKAKNQTLLSSSSSSLPSLNSSDSEDLERMPLAPLIWKNKKRLSKQLSMCETQRDRAWERRRRQILMQEGRKNKLLDSDDLTDEDLNELKGCIELGFGFKEEEGQQLANTLPALDLYFAVNRQLSPSPVSTPHSGESPSSSAMGTRSSSFGSPKGDPDWKICSPGDDPKQVKTKLRHWAQAVACSVRQSY >Potri.002G256700.1.v4.1 pep chromosome:Pop_tri_v4:2:24545887:24548601:-1 gene:Potri.002G256700.v4.1 transcript:Potri.002G256700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256700.v4.1 MLARRFISLFKNSPSSQTSSGGKYLDEGKSNKSFGRKAVTFVLVTVTGGVALSALDDLAIYHGCSSKAMEKASNSQAIKDAIGEPIVKGPWYNASLAVAHKRQSVSCTFPVSGPHGNGVFQLKAVRNGDDSWFSFFLPRDWEILIMEALLHIPSNEERQQTMRISLSDSFSSPACNTCTECPQQESQNPEKN >Potri.004G178200.1.v4.1 pep chromosome:Pop_tri_v4:4:19284500:19287222:-1 gene:Potri.004G178200.v4.1 transcript:Potri.004G178200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178200.v4.1 MASALIHCSLYNAYKNASPEQNKPPKAAQFKRKTTRKSPFIKRAQSKTSFKPLARPNDLNITRDLCGFVESGLMGNALDMFEKMNHSDTFIWNVIIRGYTNNGLFQEAIDFYYRMECEGIRSDNFTFPFVIKACGELLALMVGQKVHGKLIKIGFDLDVYVCNFLIDMYLKIGFIELAEKVFDEMPVRDLVSWNSMVSGYQIDGDGLSSLMCFKEMLRLGNKADRFGMISALGACSIEHCLRSGMEIHCQVIRSELELDIMVQTSLIDMYGKCGKVDYAERVFNRIYSKNIVAWNAMIGGYALNNYFFESFACLKRMQEDDKVIPDVITMINLLPSCSQSGALLEGKSIHGFAIRKMFLPYLVLETALVDMYGKCGELKLAEHVFNQMNEKNMVSWNTMVAAYVQNEQYKEALKMFQHILNEPLKPDAITIASVLPAVAELASRSEGKQIHSYIMKLGLGSNTFISNAIVYMYAKCGDLQTAREFFDGMVCKDVVSWNTMIMAYAIHGFGRTSIQFFSEMRGKGFKPNGSTFVSLLTACSISGLIDEGWGFFNSMKVEYGIDPGIEHYGCMLDLLGRNGNLDEAKCFIEEMPLVPTARIWGSLLAASRNHNDVVLAELAARHILSLKHDNTGCYVLLSNMYAEAGRWEDVDRIKYLMKEQGLVKTVGCSMVDINGRSESFINQDRSHAHTNLIYDVLDILLKKIGEDIYLHSLTKFRPLDVAKKRGNSPEYHSVKLAICFGLISTAIGNPVIVRKNTRICDDCHRAAKKISQVTKREIVVGDAKVFHHFRDGCCSCRDYW >Potri.015G089500.3.v4.1 pep chromosome:Pop_tri_v4:15:11298343:11301353:-1 gene:Potri.015G089500.v4.1 transcript:Potri.015G089500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089500.v4.1 MSRVTNNWERLVGATLKRELGQGHERTSSGIAGAVPPSLARTTIIDAILQAADEIQDEDPNVARILCEQAYSMAQNLDPSSDGRGVLQFKTGLMSAITQKLARRDGARIDRHRDIEHLWEFYQHYKRRHRVDDIQREEQKFRESGNFSTVNLGEFELRSLEMKKVFATLRALVEVMEALSKDADPHGAGRHIMEELQRIKTVGELTSYNIVPLDAPSSSNATGVFPEGCST >Potri.015G089500.2.v4.1 pep chromosome:Pop_tri_v4:15:11298342:11301375:-1 gene:Potri.015G089500.v4.1 transcript:Potri.015G089500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089500.v4.1 MSRVTNNWERLVGATLKRELGQGHERTSSGIAGAVPPSLARTTIIDAILQAADEIQDEDPNVARILCEQAYSMAQNLDPSSDGRGVLQFKTGLMSAITQKLARRDGARIDRHRDIEHLWEFYQHYKRRHRVDDIQREEQKFRESGNFSTVNLGEFELRSLEMKKVFATLRALVEVMEALSKDADPHGAGRHIMEELQRIKTVGELTSYNIVPLDAPSSSNATGVFPEPL >Potri.015G089500.1.v4.1 pep chromosome:Pop_tri_v4:15:11298343:11301353:-1 gene:Potri.015G089500.v4.1 transcript:Potri.015G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089500.v4.1 MSRVTNNWERLVGATLKRELGQGHERTSSGIAGAVPPSLARTTIIDAILQAADEIQDEDPNVARILCEQAYSMAQNLDPSSDGRGVLQFKTGLMSAITQKLARRDGARIDRHRDIEHLWEFYQHYKRRHRVDDIQREEQKFRESGNFSTVNLGEFELRSLEMKKVFATLRALVEVMEALSKDADPHGAGRHIMEELQRIKTVGELTSYNIVPLDAPSSSNATGVFPEVS >Potri.003G151100.1.v4.1 pep chromosome:Pop_tri_v4:3:16402121:16409628:-1 gene:Potri.003G151100.v4.1 transcript:Potri.003G151100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151100.v4.1 MDLSLAASPSSFDLLLLNSHKFSLFQFKPHFSLKSKNPPTKSLFWRQNRPFRVRALNDDGFVLEDVPHLTDFLPHLPSYPNPLHHSHAYAIVKQTFVGPDDAVAQNIVVHKDSPRGVHFRRAGPREKVYFMPDEVRACIVTCGGLCPGINTVIREIVCGLNYMYGVDDVLGIMGGYRGFYSKNTINLTPKVVNDIHKRGGTFLCTSRGGHDANKIVDNIQDRRINQVYIIGGDGTQRGAALIYKEVEKRGLQVAVAGIPKTIDNDIAVIDKSFGFDTAVEEAQRAINAAHVEVESVENGVGIVKLMGRYSGFIAMFATLASRDVDCCLIPESPFYLEGPGGLFEFIEQRIKENGHMVIVIAEGAGQEYVAQAMQAADERDASGNRLLLDVGLWLTQKVKDHFTKVKKMEINMKYIDPTYMIRAIPSNASDNIYCSLLAQSAVHGAMAGYTGFTVGPVNSRHAYIPIARVTEAQNTVKVTGRMWARLLASTNQPSFLNSNEMLPI >Potri.014G040200.1.v4.1 pep chromosome:Pop_tri_v4:14:2599636:2603563:1 gene:Potri.014G040200.v4.1 transcript:Potri.014G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040200.v4.1 MLRHSPIPSPSPLLSSLRKSIHWKPRHESNLSRPELHERISRLLILRRFDALENLNFHFSDSLVDSILVKLKLNPEACLNFFQLAAKQPNFTPSVKSYCKLVHILSRARMYDETRSYLNELASLCKNNYTSFLVLDELVRVYKDFKFSPLVFDMILKVYAEKGMVKNALHVFDNMGKYGRKPSLRSCNSLLSNLVKRGESYSAVLVYDQMRRLDIVPDVFTCAIMVNAYCKAGKVERAVEFVREMEKLGFELNAVSYNSLVDGYVSLGDIEGAKGVLKFMSEKGVMRNKVTLTLLIKGYCKQCKVEEAEKVLREMEKEDGVVVDEYAYGALIDGYCKVGKMGDAIRVRDEMLKVGLKMNLFVCNSLINGYCKNGQVHEGERLLMCMRKLDLKPDSYSYCTLVDGYCRDGLSSKAFNVCDQMLRKGIEPTVVTYNTLLKGLCRFGDYKDALRLWHLMLQRGVTPNEVGYCTLLDGLFKMGDFSRALTLWDDILARGINKSIYAFNTMINGLCKMGEMDGAKETFKRMEELGCKPDGITYRTLSDGYCKVGNVEEAFKIKEKMEKEEIFPSIEMYNSLIVGLFTSKKISKLIDLLAEMDTRGLSPNVVTYGALIAGWCDQGRLDKAFSAYFEMIGKGFAPNVIICSKIVSSLYRLGRIDEANMLLQKMVDFDLVLDHRCLEDFQNADIRKLDCWKIADTLDESAIKFSLPNNVVYNIAMAGLCKSGKVNDARRFFLGLSHGSFTPDNFTYCTLIHGFSAAGYVNEAFNLRDEMVNKGLVPNITTYNALLNGLCKSGYLDRARRLFDKLHLKGLIPNVVTYNILIDGYCKSGSPREALDLRGKMLKEGISPSIITYSSLINGFCKQSDVEEAMKLLNEMKASNVDQTIATFSKLVEGCIQHGDVKKMSKLHNMMHMACPSAGITSHKQMELSELSNAKETLDSYTISEAAC >Potri.001G388001.1.v4.1 pep chromosome:Pop_tri_v4:1:40729046:40730379:-1 gene:Potri.001G388001.v4.1 transcript:Potri.001G388001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G388001.v4.1 MELFVETHVRSQDRQKGVQQYVDNCAQHFVETYNSRLRERYGDDPLTQPNFDPDLWMEVGSFGGPDKNRVYGLSNTTAEKLRSARSVSTVGSSPSVSSTQSKEFLALKQQYERLSTDYAQLNQMVMEIRSKMGDDPCAASFWPYGSGNNQPPPPPPPAPPLF >Potri.002G122600.1.v4.1 pep chromosome:Pop_tri_v4:2:9271972:9273261:-1 gene:Potri.002G122600.v4.1 transcript:Potri.002G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122600.v4.1 MASTRKDVDRIKGPWSPEEDEALQRLVQTYGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFSAEEDDAIIRAHARFGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSMSDDLNDDAHQQPLKRSASLGAGSGLYLNPSSPSGSDLSDSSVPGVNSSPVYRPLARTASLVPPSLSIDVSSPTADPPTSLCLSLPGSNTCETPGSGSGSGSRADSQTQTPVAPPPAAVTVQQQQQIPAAQENVEFGYEKQFFSSEFLAVMQEMIRKEVRSYMSGIKQNGLCLGTEAIRSAVVKRIGISRIE >Potri.005G153800.1.v4.1 pep chromosome:Pop_tri_v4:5:13978459:13986485:-1 gene:Potri.005G153800.v4.1 transcript:Potri.005G153800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G153800.v4.1 MSSGGSNLARFVQSVLGISFGDSLSDSVVVIITTSFAALVGLVVLVLKRSSDRSKDVKPLVVPKSLSIKDEEDESEALGGKTKVTIFYGTQTGTAEGFAKALAEEVKARYEKAAVKVFDLDDYAMEDDQYEEKLKKETLALFMVATYGDGEPTDNAARFYKWFTEGNERGIWLQQLSYGVFGLGNRQYEHFNKIAKVLDDLLYEQGGKRLVPVGLGDDDQCIEDDFSAWKEFLWPELDQLLRDEDDVNAPSTPYTAAIPEYRLVIHDPSIISVEDKFSNLANGNVSFDIHHPCRVNVAVQKELHKAESDRSCIHLEFDITGTGITYETGDHLGVYAENSDETVEEAGKLLDKPLDLLFSIHADNEDGTAIGSSLPPPFPGPCTLHTALACYADLLSPPKKAALLALAAHASEPSEADRLKFLSSPQGKNEYSHWVMASQRSLLEVMAEFPSSKPPLGIFFAAVAPRLQPRYYSISSSPRYTPNRVHVTCALVYGPTPTGRIHKGVCSTWMKNAVPLEKSYECSWAPIFTRTSNFKLPADPSTPIIMVGPGTGLAPFRGFLQERIALKEDGVKLGPALLFFGCRNRRMDFIYEDELNNFVEQGVISELIVAFSREGPQKEYVQHKMVDRAAEIWTIISQGGYFYVCGDAKGMARDVHRTLHTIVQEQGGLDSSKTESMVKKLQMEGRYLRDVW >Potri.003G224000.1.v4.1 pep chromosome:Pop_tri_v4:3:21665615:21670610:1 gene:Potri.003G224000.v4.1 transcript:Potri.003G224000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G224000.v4.1 MEGNSGGVGGGGSGSGGGGGGGGGGGGGNDVELMCKTLQVEHKLFYFDLKENPRGRYLKISEKTSATRSTIIVPFSGISWFLDLFNYYVNNSADDQDLFSKELQLDTKVFYFDIGENRRGRFLKVSEASVSRNRSTIIVPAGSSRDEGWAAFRNILAEINEASRLFMLPNQQSSETSERLVGLSDDVGAGFISGHSSQSVTTSELNVDRSVELPPQDEIGNMAVSKVIRVDQKRFFFDLGSNNRGHFLRISEVAGNDRSSIILPLSGLKQFHEIVGHFVEITKDRIEGMTGANVRTVDPPQR >Potri.004G164300.1.v4.1 pep chromosome:Pop_tri_v4:4:18389018:18389807:1 gene:Potri.004G164300.v4.1 transcript:Potri.004G164300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164300.v4.1 MSKCNKIRHIVRIQQMLKRWRRKARVTGGATSSRTAAPSDVPAGHVAVCVGASCKRFVVRATYLNHPIFKNLLVEAEEVYGFKTAGPLAIPCDEAVFEEILRVVSRSDPSKMGRFFNLEDLKRCCHVGMRKNIKLLGESRPLLHG >Potri.002G109500.5.v4.1 pep chromosome:Pop_tri_v4:2:8151964:8154347:-1 gene:Potri.002G109500.v4.1 transcript:Potri.002G109500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G109500.v4.1 MDLIVPTSHTHEGLRSFQYFNRYTTRRSSFAGARIRGNDGSSRKVHVGFRKLRKSRVFAVSGVETFRSNGKLQNLDKPLNGHMGNGHVSSSSIEEFESNNHLRKLVRNGELEEGFRFLENMVYRGEIPDIIASTSLIRGFCKIGKTRKATRIMEIIEDSGAVPDVITYNVLISGYCKAGEIDNALRVLDRMSVAPDVVTYNTILRTLCDSGKLKQAMEVLDRQLEKECYPDVITYTILIEATCAESGVGQAMKLLDEMGSRGCKPDVVTYNVLVNGMCKEGRLDEAIKFLNSMPSYGSQPNVITHNIILRSMCSTGRWMDAEKLLTEMVRKGCSPSVVTFNILINFLCRKGLLGRAIDILEKMPTHGCTPNSLSYNPLLHGFCKEKKMDRAIQYLEIMVSRGCYPDIVTYNTMLTALCKDGKVDAAVELLNQLSSKGCSPVLITYNTVIDGLSKVGKTDQAVELLHEMRGKGLKPDVITYSSLIAGLSREGKVEEAIKFFHDVEGFGVKPNAFTYNSIMFGLCKAQQTDRAIDFLAYMISKGCKPTEVSYTILIEGIANEGLAKEALELLNELCSRGVVKKSSAEQVVVRL >Potri.002G060500.1.v4.1 pep chromosome:Pop_tri_v4:2:4180898:4182214:-1 gene:Potri.002G060500.v4.1 transcript:Potri.002G060500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060500.v4.1 MSFVRSRKLSSMLLFTLLFACLMGASKGGNFFQDFDITWGDQRAKILNGGQLLTLSLDKASGSGFQSKNEYLFGQIDMQIKLVAGNSAGTVTAYYLSSQGSTHDEIDFEFLGNATGEPYILHTNVFSQGKGNREQQFYLWFDPTKAFHTYSIVWNQQRIIFLVDNIPIRVFHNLESIGVPFPNKQAMRIHSSLWNADDWATRGGLVKTDWTQAPFTASYRNFKANACIWSSASPCTSTSPNSVQDNAWQVQALDAPGRNRLRWVQQKYMIYNYCTDLKRFPQGLPPECKRSRFL >Potri.005G028200.1.v4.1 pep chromosome:Pop_tri_v4:5:1798831:1800584:1 gene:Potri.005G028200.v4.1 transcript:Potri.005G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G028200.v4.1 MEVQITSRKLIKPSVQTPPHLQILKLSILDQYPYYVPNIFYYTNANHEIENINTQKLVEQLEKSLLEVLTLFYPLAGRFIKDKLIVDCNDDGVEFLEAKADGDLTQILQQEPKPYELLRRLVPSLAESATSPLLAIQVNIFKCGGLAIGVLNSHRIAGRWTMSRFINAWATTHFHDQGISKVIPPTFVSPFIFPDSSRLRFPVPPPRMASKKIVTKIFRFDREALKKLKSEVISDADSGVKHHPSRVEVFSALIWKALISVAKEKHGYLRSSSMSLPFNLRGKVGVPLDNQCGNLCRPIIARFDAKNQSKLVLSELVSLIGDAKRRASSECVNAINIPEMFSMVTNSFAEMFEELNKSEVDIFRFTSWCRVGLYEVNFGWGKPAWVSLVPPNIELTILNDTKSGDGIEAWLNLHEKDMIQLQQDPDIMAFTS >Potri.017G027000.3.v4.1 pep chromosome:Pop_tri_v4:17:1781907:1787320:1 gene:Potri.017G027000.v4.1 transcript:Potri.017G027000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027000.v4.1 MGLDSVGDLALNIILTKLGPKETAKVSCVSKRFKDLASEESLWSLFCLQDLDLSAPLDHHGNPLRSFKATYKLWREAFCMYPWSLVKRVKSCWDRLKSWLTTNFPEVKATLGRGASEGEIQELERILKVKLPLPTRLLYRFHDGQNLTGENLNTDAAACLLGLIGGYCFYDHLVNVYLLPLHEVILETREIVRHLDLPNGSQFIVVAASSSNIGKFFFLNCSDGQLYVGTQNLLTIGEMIPCVPQTLISPVHDFNIDQQQDAMLLWLEEHGHRLHNGMIKLRDEGNIKSISLFPEESPLCSTAVTNGVKVRASAIFVPEAVDLSRKYLFAYSIRMSLPPEGCIISGMRFSSCQLHLRHWVISADDTVVSNVNAEAVIGKFPLLLPGEKEFVYESCTPLRSPTGSVEGSFTFVPGRLIDPKGMPFEAEVARFPLQLPDYIF >Potri.017G027000.2.v4.1 pep chromosome:Pop_tri_v4:17:1781938:1787343:1 gene:Potri.017G027000.v4.1 transcript:Potri.017G027000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027000.v4.1 MGLDSVGDLALNIILTKLGPKETAKVSCVSKRFKDLASEESLWSLFCLQDLDLSAPLDHHGNPLRSFKATYKLWREAFCMYPWSLVKRVKSCWDRLKSWLTTNFPEVKATLGRGASEGEIQELERILKVKLPLPTRLLYRFHDGQNLTGENLNTDAAACLLGLIGGYCFYDHLVNVYLLPLHEVILETREIVRHLDLPNGSQFIVVAASSSNIGKFFFLNCSDGQLYVGTQNLLTIGEMIPCVPQTLISPVHDFNIDQQQDAMLLWLEEHGHRLHNGMIKLRDEGNIKSISLFPEESPLCSTAVTNGVKVRASAIFVPEAVDLSRKYLFAYSIRMSLPPEGCIISGMRFSSCQLHLRHWVISADDTVVSNVNAEAVIGKFPLLLPGEKEFVYESCTPLRSPTGSVEGSFTFVPGRYSAWIHDIL >Potri.017G027000.6.v4.1 pep chromosome:Pop_tri_v4:17:1781981:1787264:1 gene:Potri.017G027000.v4.1 transcript:Potri.017G027000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027000.v4.1 MGLDSVGDLALNIILTKLGPKETAKVSCVSKRFKDLASEESLWSLFCLQDLDLSAPLDHHGNPLRSFKATYKLWREAFCMYPWSLVKRVKSCWDRLKSWLTTNFPEVKATLGRGASEGEIQELERILKVKLPLPTRLLYRFHDGQNLTGENLNTDAAACLLGLIGGYCFYDHLVNVYLLPLHEVILETREIVRHLDLPNGSQFIVVAASSSNIGKFFFLNCSDGQLYVGTQNLLTIGEMIPCVPQTLISPVHDFNIDQQQDAMLLWLEEHGHRLHNGMIKLRDEGNIKSISLFPEESPLCSTAVTNGVKVRASAIFVPEAVDLSRKYLFAYSIRMSLPPEGCIISGMRFSSCQLHLRHWVISADDTVVSNVNAEAVIGKFPLLLPGEKEFVYESCTPLRSPTGSVEGSFTFVPGRLIDPKGMPFEAEVARFPLQLPDYIF >Potri.017G027000.5.v4.1 pep chromosome:Pop_tri_v4:17:1781942:1787300:1 gene:Potri.017G027000.v4.1 transcript:Potri.017G027000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027000.v4.1 MGLDSVGDLALNIILTKLGPKETAKVSCVSKRFKDLASEESLWSLFCLQDLDLSAPLDHHGNPLRSFKATYKLWREAFCMYPWSLVKRVKSCWDRLKSWLTTNFPEVKATLGRGASEGEIQELERILKVKLPLPTRLLYRFHDGQNLTGENLNTDAAACLLGLIGGYCFYDHLVNVYLLPLHEVILETREIVRHLDLPNGSQFIVVAASSSNIGKFFFLNCSDGQLYVGTQNLLTIGEMIPCVPQTLISPVHDFNIDQQQDAMLLWLEEHGHRLHNGMIKLRDEGNIKSISLFPEESPLCSTAVTNGVKVRASAIFVPEAVDLSRKYLFAYSIRMSLPPEGCIISGMRFSSCQLHLRHWVISADDTVVSNVNAEAVIGKFPLLLPGEKEFVYESCTPLRSPTGSVEGSFTFVPGRLIDPKGMPFEAEVARFPLQLPDYIF >Potri.017G027000.4.v4.1 pep chromosome:Pop_tri_v4:17:1781932:1787304:1 gene:Potri.017G027000.v4.1 transcript:Potri.017G027000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027000.v4.1 MGLDSVGDLALNIILTKLGPKETAKVSCVSKRFKDLASEESLWSLFCLQDLDLSAPLDHHGNPLRSFKATYKLWREAFCMYPWSLVKRVKSCWDRLKSWLTTNFPEVKATLGRGASEGEIQELERILKVKLPLPTRLLYRFHDGQNLTGENLNTDAAACLLGLIGGYCFYDHLVNVYLLPLHEVILETREIVRHLDLPNGSQFIVVAASSSNIGKFFFLNCSDGQLYVGTQNLLTIGEMIPCVPQTLISPVHDFNIDQQQDAMLLWLEEHGHRLHNGMIKLRDEGNIKSISLFPEESPLCSTAVTNGVKVRASAIFVPEAVDLSRKYLFAYSIRMSLPPEGCIISGMRFSSCQLHLRHWVISADDTVVSNVNAEAVIGKFPLLLPGEKEFVYESCTPLRSPTGSVEGSFTFVPGRLIDPKGMPFEAEVARFPLQLPDYIF >Potri.019G112201.1.v4.1 pep chromosome:Pop_tri_v4:19:13360316:13361930:1 gene:Potri.019G112201.v4.1 transcript:Potri.019G112201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G112201.v4.1 MVISKNASKFSSSREFELLAACDAQASSASSPTFSAGNGDAISGAIYPATQNIPGYNTGDLVMPESAMSCFGGAIHVPDIIESTVGLLPAADVTFHQQQIGDSSEDVRIHYSQYFHSPYNYTILVF >Potri.006G045900.1.v4.1 pep chromosome:Pop_tri_v4:6:3106623:3109134:-1 gene:Potri.006G045900.v4.1 transcript:Potri.006G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045900.v4.1 MNNRRRHRGEIYQHQEVQRTTRSRSRKPPHHGSWQPTVPSWEKRFCYSVGSIPWRKLLETKKLMYLYENVVKWNDSAGEEAFHNAKNRFWAEINGLPCNISLPDPDIYIDEIDWNSSVDPELLLDLEREPKDHDEITKGEEVVIIGSSLLLNQSFSCAGWGGAEEEFQKVPDSALDPGHWDFNHKVTSDENPWGRNVTHPNEAMNDDGWDCWNDSFGWGNNEWDVNNDGKNVNDGTGGDWGTLDGYNQSREGTGWQMSSYKNLQVSW >Potri.009G142000.2.v4.1 pep chromosome:Pop_tri_v4:9:11325499:11327635:-1 gene:Potri.009G142000.v4.1 transcript:Potri.009G142000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142000.v4.1 MSVWAFTFLSLFLSLSVRGSAEQCGRQAGDALCPGGLCCSSHGWCGTTDDYCGIGCQSQCDGGGGGDGYLSDIIPESMFDDMLKYRNDPQCPAVGFYTYNAFISAAKEFPDFGNTGDDLMRKREIAAFLGQTSHETNGWWPAAQGDPYDWGYCHIREINCQDYCEPSSKYQCVAGKQYCGRGPIQLSWNYNYGLCGDDLNLPLLQDPELVETDPFIAFKTALWFWMTPQSPKPSCHAVITESWTPSAADSEAGRVPGYGVITNIINGGIECGQGGPNNANENRIGFYKTYCDSLGTTYDSNLDCYQQRPFGYGLLGLKDTM >Potri.008G166800.2.v4.1 pep chromosome:Pop_tri_v4:8:11522309:11525135:-1 gene:Potri.008G166800.v4.1 transcript:Potri.008G166800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166800.v4.1 MAKEPVRVLVTGAAGQIGYALVPMIARGVMLGPDQPVILHMLDIPPAAEALNGVKMELVDAAFPLLKGVVATTDVVEACTGVNIAVMVGGFPRKEGMERKDVMSKNVSIYKSQASALEKHAAANCKVLVVANPANTNALILKEFAPSISEKNITCLTRLDHNRALGQISERLSVQVCDVKNVIIWGNHSSSQYPDVNHATVKTPAGEKPVRELVKDDEWLNAEFITTVQQRGAAIIKARKLSSALSAASSACDHIRDWVLGTPEGTWVSMGVYSDGSYNVPAGLIYSFPVTCQNGEWKIVQGLSIDEFSRKKLDLTADELSEEKALAYSCLS >Potri.014G023200.2.v4.1 pep chromosome:Pop_tri_v4:14:1408930:1413569:-1 gene:Potri.014G023200.v4.1 transcript:Potri.014G023200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G023200.v4.1 MAASALLSQLLRLRLHHHHRFATGLLVPLRRSWCSNAEYIRMEEDDISVLIDTVSSLCEAAKYSSWEEQNYRQWKDEEAEIWRDIEPIAHLAKEILHSNRYKDGEQLTDEDEKAVAGRLLVYHPNCDDKVGCGLDSIAVDRHSHFKTSRCLFVVRSDGGWIDFSYRKCLRAYIQFKYPTHAERFIKEHFKHGLMMVTTRAIT >Potri.001G176800.2.v4.1 pep chromosome:Pop_tri_v4:1:15322869:15325097:-1 gene:Potri.001G176800.v4.1 transcript:Potri.001G176800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G176800.v4.1 MCVCVSDLFSPKKFRLFVQYCVESFICFGYGITCGLNVLIDYILMAVMIFSLLFMCTGDVCALINCGHGTCKASNASLLGFECECNSGWKKEIGPLTFPSCVIPNCTIDLGCGNGASPPPAASQPPPFNLSNPCNLVWCGDGTCVANGTGHICQCNQGSSNLLNETDLACFKQCSFGEDCIGLLLGMLLSPPSVQLPPPTPVPPPPPPSSSASPKTNGPTGSTGATSSLRNLHASSMILLAATLLTWL >Potri.001G176800.1.v4.1 pep chromosome:Pop_tri_v4:1:15322869:15325213:-1 gene:Potri.001G176800.v4.1 transcript:Potri.001G176800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G176800.v4.1 MGTFKIHLLLFFLMAVTVSSTLQGDVCALINCGHGTCKASNASLLGFECECNSGWKKEIGPLTFPSCVIPNCTIDLGCGNGASPPPAASQPPPFNLSNPCNLVWCGDGTCVANGTGHICQCNQGSSNLLNETDLACFKQCSFGEDCIGLLLGMLLSPPSVQLPPPTPVPPPPPPSSSASPKTNGPTGSTGATSSLRNLHASSMILLAATLLTWL >Potri.013G103701.1.v4.1 pep chromosome:Pop_tri_v4:13:11274923:11276884:1 gene:Potri.013G103701.v4.1 transcript:Potri.013G103701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103701.v4.1 MENEMSKILIFGGTGYIGKYMVKASVSMGHKTYVYARPITTQSSPAKIGIHKEFQAMGVTIVQGEFDEQEKIVSVLRHVDVVISTVAYPQVLDQLKIIEAIKVAGNIKRFFPSDFGVEEDRVTPLPPFEAFLDKKRKIRRATEEAGIPYTFVSANCFGAYFVNVLLRPHEQPQDIPVYGSGEAKAVMNYEEDIAMYTIKMADDPETCNRVVIYRPQKNIVSQLELISLWEKKTGKTFNRIYVPEDEIVKLSETLPHPQNIPVSILHSLFVKGDMMGFELGEDDLEASGLYPDLEFRTIDQLLDIFLTSPPDPAAAAFE >Potri.003G104800.2.v4.1 pep chromosome:Pop_tri_v4:3:12829553:12830748:-1 gene:Potri.003G104800.v4.1 transcript:Potri.003G104800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104800.v4.1 MILFFPSILKSNTLQENERCGNNIEISAGSTSNGASVSTGHSTEAVAPASQTNRVLLNTSQAANPDLALLDGSTPASKVGSSWKDINLYENCIEKQINSDNGAAVMNFGGVQLPGNTSSPVPPCRRRPCYGWISSDEDDDDADLDLIELTPAPLPAVVAKLLSGIDMPRTRKGRWDATPEDM >Potri.011G125551.1.v4.1 pep chromosome:Pop_tri_v4:11:15943235:15943396:-1 gene:Potri.011G125551.v4.1 transcript:Potri.011G125551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125551.v4.1 MRRRNPQQLLHHNFSWTGILVNGGLHFFSSFIIRRATTSIVGTVLLLDKKLLG >Potri.001G090200.8.v4.1 pep chromosome:Pop_tri_v4:1:7135429:7139479:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKEEFQLALFSNSSKQNIFSDRVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVELKEMVLAILSELDSTLTDDAVDSIVDKI >Potri.001G090200.12.v4.1 pep chromosome:Pop_tri_v4:1:7135428:7139480:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKEEFQLALFSNSSKQNIFSDRVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVEVSTQQLSPCPRWLFKSIIKCASN >Potri.001G090200.6.v4.1 pep chromosome:Pop_tri_v4:1:7135429:7139479:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKEEFQLALFSNSSKQNIFSDRVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVELKEMVLAILSELDSTLTDDAVDSIVDKTMIEADLNGDGRIDPEEWKDFVTKNPSLLKNMTLPYLKELTLAFPSFVLNTEVPDYK >Potri.001G090200.14.v4.1 pep chromosome:Pop_tri_v4:1:7135429:7139479:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVELKEMVLAILSELDSTLTDDAVDSIVDKTMIEADLNGDGRIDPEEWKDFVTKNPSLLKNMTLPYLKELTLAFPSFVLNTEVPDYK >Potri.001G090200.10.v4.1 pep chromosome:Pop_tri_v4:1:7135428:7139480:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKEEFQLALFSNSSKQNIFSDRVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVEVSTQQLSPCPRWLFKSIIKCASN >Potri.001G090200.13.v4.1 pep chromosome:Pop_tri_v4:1:7136049:7139479:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKEEFQLALFSNSSKQNIFSDRVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVELKEMVLAILSELDSTLTDDAVDSIVDKTMIEADLNGDGRIDPEEWKDFVTKNPSLLKNMTLPYLKELTLAFPSFVLNTEVPDYK >Potri.001G090200.9.v4.1 pep chromosome:Pop_tri_v4:1:7135429:7139479:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKEEFQLALFSNSSKQNIFSDRVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVELKEMVLAILSELDSTLTDDAVDSIVDKI >Potri.001G090200.5.v4.1 pep chromosome:Pop_tri_v4:1:7135429:7139479:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKEEFQLALFSNSSKQNIFSDRVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVELKEMVLAILSELDSTLTDDAVDSIVDKTMIEADLNGDGRIDPEEWKDFVTKNPSLLKNMTLPYLKELTLAFPSFVLNTEVPDYK >Potri.001G090200.4.v4.1 pep chromosome:Pop_tri_v4:1:7135429:7139479:1 gene:Potri.001G090200.v4.1 transcript:Potri.001G090200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090200.v4.1 MDAFKGCFCSTKTSKLPRDEDPIILASETPFTVNEIEALHDLFKKLSSTIVDDDLIHKEEFQLALFSNSSKQNIFSDRVFDLFDAKHNGVIKFGGFVRSLSVFHPNASEEDKITFAFRLYDLRQTGYIERVELKEMVLAILSELDSTLTDDAVDSIVDKTMIEADLNGDGRIDPEEWKDFVTKNPSLLKNMTLPYLKELTLAFPSFVLNTEVPDYK >Potri.012G038700.1.v4.1 pep chromosome:Pop_tri_v4:12:3448964:3463834:-1 gene:Potri.012G038700.v4.1 transcript:Potri.012G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038700.v4.1 MADHEKDDVAMLSDTHPKLVDEEVDSRFSPFLSRTQSASISIPVNSMESYGFETNLVGYTGPLRSERKAPLVQMSGPLYINRNTENLFLANHGVTAHKKVEPKPERYLSFKGMDPNDWDDMYTTTNAHLMRSGQLGMCNDPYCTTCPSLIHSKDSRFRSVLYGDAKGWARRFNDVINLYIPRVMNPHTQVVQKWNKFFVISCLVAIFVDPLFFFLLWVQQENNCIVIDWPLAKTIVVFRSLTDLIYLLNILLQFRLAYVAPESRVVGAGELVDHPKKIAKHYLRGCFFIDLFAVLPLPQIIILVVLPKGLGISGANNAKNLLRAAVLVQYIPRLWRFTPLLIGQSPSGFIFETALANFFINLFTYILSGHIVGSCWYLFGLQRVNQCLRDACHYTSFRDECMEFIDCGSGNANEEYGSHLNWANWTQNPNASNCFQTGGPPNGFDYGIYTKAVNLTGKNIIIRYIYSLFWGFQQISTLAGNQVPSYFVWEVLFTMAIIGLGLLLFAFLIGNIQNFLQSLGRRRSEMSLRRRDVEQWMRHRRLPQELRRRVLEAERYHWAATRGVNEGMLMENLPEDLQRDIRRHLFELVRKVWIFNLMDDHVLDAVREKLKQKIYIKGSEIFYVGGLVEKMVFIVRGKLESIGHDGTVVALCEGNVCGEELLTWFLEHSSVSKDGRRIKTPGQRLISSRTVRCLTNVEAFSLSAADLQEVTSVFARNLRHPLVQGAIRYQSPYWRARAATLIQVAWRYRQKRLKHSKSSQSNHSSNSRV >Potri.001G144100.1.v4.1 pep chromosome:Pop_tri_v4:1:11864218:11866824:-1 gene:Potri.001G144100.v4.1 transcript:Potri.001G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144100.v4.1 MAVKTSKKQDPSPAFLETVDEIMRLYKSLPSRPSIEEVEAAISVIKTVNNEEQARLDDIAELDCPQDVPQELFSVLQQARKTVVLFQSHEQRKEALYLVEVDKMFENFDGLIQRVSLLVSGDTHKEKLISVSESVEKTEKESVVSDESLIKKREDGESDKDGFKDLVKSSSTKAAFFSGEVNSEKLSLMKVAAVIEKSANTGAVVLDLRGKLMDQIEWLPLSIGKLLFITELDLSENRIMALPSTINGLKALTKLDVHSNQLINLPGSFGELINLTDLDLRANRLRSLPASFVKLTKLENLDLSSNQFTQLPETVGSLTSLKILNVDTNELEEVPYTIGSCTSLVELRLDFNELRALPEAIGKLDCLEILALHYNRIRGLPTTMGHLSNLRELDVSFNELESIPENLCFAENLKKLNVANNFADLRSLPRNIGNLELLEELDISDDQIRVLPDSFRLLSKLRVFRADETPLEIPPRQVTILGAQAVVQFMADLVNKRDANTQLSKKKKKKGFWHRVISILWPFRSS >Potri.001G144100.2.v4.1 pep chromosome:Pop_tri_v4:1:11863888:11866829:-1 gene:Potri.001G144100.v4.1 transcript:Potri.001G144100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144100.v4.1 MLWYSWFFFYNSLIFVFKSFLFLNSTCGYWSITGEVNSEKLSLMKVAAVIEKSANTGAVVLDLRGKLMDQIEWLPLSIGKLLFITELDLSENRIMALPSTINGLKALTKLDVHSNQLINLPGSFGELINLTDLDLRANRLRSLPASFVKLTKLENLDLSSNQFTQLPETVGSLTSLKILNVDTNELEEVPYTIGSCTSLVELRLDFNELRALPEAIGKLDCLEILALHYNRIRGLPTTMGHLSNLRELDVSFNELESIPENLCFAENLKKLNVANNFADLRSLPRNIGNLELLEELDISDDQIRVLPDSFRLLSKLRVFRADETPLEIPPRQVTILGAQAVVQFMADLVNKRDANTQLSKKKKKKGFWHRVISILWPFRSS >Potri.004G191742.1.v4.1 pep chromosome:Pop_tri_v4:4:20405036:20405312:1 gene:Potri.004G191742.v4.1 transcript:Potri.004G191742.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191742.v4.1 MSSTTTAQSLPLLAFISLLLLSPALTFDADHRDLNVRLIGVHGSSFDFANGS >Potri.008G097500.1.v4.1 pep chromosome:Pop_tri_v4:8:6071162:6072697:-1 gene:Potri.008G097500.v4.1 transcript:Potri.008G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097500.v4.1 MAAQQQVQKNTLYVGGLAEEVNEAILHATFIPFGDIKDVKTPLDQATQKHRSFGFVTFLEREDAASAMDNMDGAELYGRVLTVNYALPEKIKGGEQGWAAQPIWADADTWFERQQQEEEMQRMQAENRAAMEAAEELHRKKMAEEREGEKEDEGQVKDDPMARAEAEVLKQNIS >Potri.010G018050.1.v4.1 pep chromosome:Pop_tri_v4:10:2900592:2902329:-1 gene:Potri.010G018050.v4.1 transcript:Potri.010G018050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018050.v4.1 MLVYEFLPNKSLDFYIFDETHSLLLDWTKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYKNRGFSHPDHHLNLIGHAWILFKQGRSLELAAGSGVETPYLSEVLRSIHVGLLCVQENTEDRPNISHVVLMLGNEDELPQPKQPGFFTERDLDEASYSSSQNKPPSANGCSISMLEAR >Potri.011G126400.1.v4.1 pep chromosome:Pop_tri_v4:11:15676804:15677587:-1 gene:Potri.011G126400.v4.1 transcript:Potri.011G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126400.v4.1 MLEGKAVIGETDMLQTMQQDALDLAAKALDFFDATDATDIARFIKQEFDRMYGPGWHCVVGRDFGSFVTHCFGCFIYFQVGSLSILLFRGSASYPEPEKNQFEPLEPLGTLDTMKA >Potri.001G471600.1.v4.1 pep chromosome:Pop_tri_v4:1:49463107:49465034:1 gene:Potri.001G471600.v4.1 transcript:Potri.001G471600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471600.v4.1 MASFLTKSPLPMDRNLLLTTSTRAPATIISLRTCHDFQEVKQLHAQFVVSGLLGYHPLCARRLLEAYVTMSQIYYAFSIFERIPSPDVFVYNTMIRGLTMGKFLHDSLLLYKEFLFGYLVPDNFTYTFVLKACSHLKAPFEGKQVHCQIIKAGIVPDTHIHSSLIHMYTNSGSIDDAERVLGEFSEENTLAKNAMISGYLTEGRVDKARKMFDDMAAKDAASWSALITGYTKNGMHTEALALFQDMMVSHILPNEAALVSLLSACGQLGTLHQGRWIHAYIDKTRVLMSTKLTTALIDMYAKSGSIECGYGLFQKMARRDVVTWGVMISAFAIHGHASKCFQLFDEMLADGIRPNKVIFVAILSACSHAGCVEEGRQYFSQMEHGFGIKPSIEHYGCMVDLLGRAGLLADAEQLILSMPKQPNSIIWGSLLGACRTHNDLKRGTWAFENLMELEPTSGDRHKLAGLMFANAGEKEEAAKIRKMIHEKEMVTTCGSSFIEVEGAVHEFTVGYTVHNKARDI >Potri.004G156000.2.v4.1 pep chromosome:Pop_tri_v4:4:17734028:17736979:1 gene:Potri.004G156000.v4.1 transcript:Potri.004G156000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156000.v4.1 MINKALLSAGGENMLHCTDMTVLDRQRARIKWQQEQQQHQVQLPQQEISYFSELSRVFQQAGFHEGGLSEVVTRSVKPDPGFVDNRWHNDHVVGFGVGPPYTNGLGFELNYGAISRTSSCPPAVAAAATATATVRGAESVVSDKISSGVGRESSKKRKFDKVVAEEDSRDKRIKGRAEEGESNTSEKNNNKSSSSNNNSNKNNNSNKDNSAETSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNNITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNIDNLFAKEAFPACSTNFPAIGMSPDMTNAAYLQFNPAQQQLVSCCGLDMGINPPDMGLRRTTSTPVSIPETFLDSSCFTQIQAPPTWDADLPNLYNVAFDQGRQTTFPVQPFSGSVEASNLKMEM >Potri.007G106700.1.v4.1 pep chromosome:Pop_tri_v4:7:12946394:12949688:-1 gene:Potri.007G106700.v4.1 transcript:Potri.007G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106700.v4.1 MPIELPRGLPFSVDTWSPNSKRKRHHFLTHAHKDHTSGILTHSCYPIYATHLTKLLVLQNYPQLEGSLFVGIEVGESVVFNDPDGEFKVTAFDANHCPGAVMFLFEGNFGNILHTGDCRLTPEGVRCLPEKYISKKGKEPRCQLDYVFLDCTFGKFTQKLPSKHSAIQQVLNCIWKHPAATVVYLTCDLLGQEDVLAAVSETFGSKIFVDEVANTESFRALTLTVPEILTQDPSSRFHMFDGFPKLYERAAKKIAEAQANLQPEPLIIRPSAQWYACEEGYSETESQRKLRFNEAVRDPNGVWHVCYSMHSSRGELEWALQLLVPKWVVSTTPSCLAMELDYVKKHCSGTKLTLDDRLWKLLDINVEASSETEVTARVLDYPSVVEQPIQISAQSQSSPVKVTSRRLLSLSPPSKRPEVTLFGRARLGIPDSGFPAEEKIESIKDSSQVVAHKMDQDVSFENEVEVKCENILESKLDTELKCENKLDTTKQCGESVKKEVLKFSVRSPIGSSQNFSETLRKLYRSMNVPVPRPLPSLSELMNSKKGTKRRFES >Potri.007G106700.3.v4.1 pep chromosome:Pop_tri_v4:7:12946429:12948954:-1 gene:Potri.007G106700.v4.1 transcript:Potri.007G106700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106700.v4.1 MFLFEGNFGNILHTGDCRLTPEGVRCLPEKYISKKGKEPRCQLDYVFLDCTFGKFTQKLPSKHSAIQQVLNCIWKHPAATVVYLTCDLLGQEDVLAAVSETFGSKIFVDEVANTESFRALTLTVPEILTQDPSSRFHMFDGFPKLYERAAKKIAEAQANLQPEPLIIRPSAQWYACEEGYSETESQRKLRFNEAVRDPNGVWHVCYSMHSSRGELEWALQLLVPKWVVSTTPSCLAMELDYVKKHCSGTKLTLDDRLWKLLDINVEASSETEVTARVLDYPSVVEQPIQISAQSQSSPVKVTSRRLLSLSPPSKRPEVTLFGRARLGIPDSGFPAEEKIESIKDSSQVVAHKMDQDVSFENEVEVKCENILESKLDTELKCENKLDTTKQCGESVKKEVLKFSVRSPIGSSQNFSETLRKLYRSMNVPVPRPLPSLSELMNSKKGTKRRFES >Potri.001G408001.1.v4.1 pep chromosome:Pop_tri_v4:1:43543241:43550366:1 gene:Potri.001G408001.v4.1 transcript:Potri.001G408001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408001.v4.1 MGWKDPYGGSQWTVRQKAYVESLKLDTMFTPQIVVQGRTQCVGNEEEALLSSIVNAPRFPAPTFQATFQRPTPDCLQVSLSGALRTKADNDGVNVMVALYDSGLVTDCPRGENKGRVLSNDYVVRKLEKLCTVKDISAKKTVSGTVNFALWEGFNGSKCGLAVFVEDSSHQIFGSQSIQFPVTI >Potri.001G408001.2.v4.1 pep chromosome:Pop_tri_v4:1:43543241:43550366:1 gene:Potri.001G408001.v4.1 transcript:Potri.001G408001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408001.v4.1 MKRRLCCLPLSMHQGFLLPLFRFEPCGCSYDSHWRITWSLTLGPVGLIEATFQRPTPDCLQVSLSGALRTKADNDGVNVMVALYDSGLVTDCPRGENKGRVLSNDYVVRKLEKLCTVKDISAKKTVSGTVNFALWEGFNGSKCGLAVFVEDSSHQIFGSQSIQFPVTI >Potri.002G077200.15.v4.1 pep chromosome:Pop_tri_v4:2:5392754:5396746:-1 gene:Potri.002G077200.v4.1 transcript:Potri.002G077200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077200.v4.1 MSIAKPLKKLVSAVILDLDGTLLHTDGIVSDVLKVFVVKYGKQWDGRETQKIVGKTPLEAAAAVVEDYELPCSTDDFLTQITPLLYDQWCSIKALPGANRLIKHLSSHNVPMALASNSPRANIESKISYHQGWKGSFSVIIAGDEVRTGKPSPEIFLEAAKRLNVKPSSCLVIEDSLPGVTGGKAAGMEVVAVPSIPKSHLYTEADEVINSLLDLQPELWGLPPFEDWMEGTLPIEPWHIGGPVVKGFGRGSKVLGIPTANLSTKGYSALLSEHPSGVYFGWAGLSSQGLYKMVMSIGWNPYFNNTEKTIEPWLLHEFDGDFYGEELRLVVVGYIRPEANFSSLESLIAKIHEDRRIAERALDIPTYSKYRDDPYLKGSSL >Potri.001G040000.1.v4.1 pep chromosome:Pop_tri_v4:1:2874385:2878150:-1 gene:Potri.001G040000.v4.1 transcript:Potri.001G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040000.v4.1 MNLFRSDLESKLSKRKEKKRGEMVWKLVFQLMLMMLMFQIAATAAPIARSGCPDRCGDISIPYPFGIRKDCYMNEWFAIECNATVNPARAFISRINMELLNISAERATVTVKSPIISSNCTGREDGVPLNLTGTPFVFSRNDNVFIAVGCNTQALMTGITPKLIGCVSTCSDVKSKKFCQASPPSFLQVFNPKLEATDDNQDREGCKLAFLVNQTWFESNISDPFTLQYRDYVPAELGWTMSWNDDDPVYCRGYYNRSFGSECECDGGYEGIPYLGCIDVDECKESKHSCRGLLKCVNTRGYFNCEINKLYIALIVIGAVVLALSLLMGIWWLYKLVKKWKKIELKKKFFKRNGGLLLQQELLAAEGWVQKTKIYSSKELEVATDRFNVNRILGQGGQGTVYKGMLADGRIVAVKKSMVVDEGKLEEFINEVVVLSQINHRNVVKLLGCCLETEVPLLVYEFIPNGNLYKYIHDQNEDFLLSWEMRLQIAIEVAGALSYLHSATSIPIYHRDIKSTNILLDEKYRAKVSDFGSSRSISIDQTHLTTLVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELISGQKPIFSVSQTETRSLATHFIMLMEDNRLCDVLDARVKEGCQNEEVISVANLAKRCLNLNGKNRPTMREVTSELERIIGLSQKLLNIQENCKISENTMDDASNDWDAVSTSITGDFDTGRTPSSDGEPQINITAC >Potri.014G194100.5.v4.1 pep chromosome:Pop_tri_v4:14:17226758:17232042:-1 gene:Potri.014G194100.v4.1 transcript:Potri.014G194100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194100.v4.1 MENSPTTIGSKGLIKQHEFVRVIIQSLYSLGYRKSGSCLESESGVSYKSMNLELLESQILEGDWDGCINTLCAIQELADETRASVLFLVFKQCLLEYLIGGDDTLALSVLRNQVPALRMGKENVHNLAYSIICLKEMELSKADDNVIHGQRKNLLTELGTLLPPPTVLPERRLEHLVETAITAQIDSCDYHNSVEPISLYEDHNCSRDQFPTETIQTLTEHENEVWFVQFSNNGEYLASSSSDCTAIIWKVLEDGRLSFKHMLRSHLTPVSFVAWSPDDTKLLTCGNVEVLKLWDVETGTCKHTFGDHGFVVSSCAWFPDSKRFVCGSSDPEKGICMWDCDGNEIKAWRGTRMPKVLDLAVTPDGENLISVMSDLEIRILNVRTNAEQVIPEEQPITSLSVSADSKFFIVNLNSQEIHLWDVAGKWKKPLKYIGHQQHKYVIRSCFGGLDSSFIASGSENSQVYIWNRRNSRPIEVLSGHLMTVNCVCWNPKRHQMLASASDDHTIRIWGPSRSKNIQR >Potri.014G194100.4.v4.1 pep chromosome:Pop_tri_v4:14:17226244:17232187:-1 gene:Potri.014G194100.v4.1 transcript:Potri.014G194100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194100.v4.1 MENSPTTIGSKGLIKQHEFVRVIIQSLYSLGYRKSGSCLESESGVSYKSMNLELLESQILEGDWDGCINTLCAIQELADETRASVLFLVFKQCLLEYLIGGDDTLALSVLRNQVPALRMGKENVHNLAYSIICLKEMELSKADDNVIHGQRKNLLTELGTLLPPPTVLPERRLEHLVETAITAQIDSCDYHNSVEPISLYEDHNCSRDQFPTETIQTLTEHENEVWFVQFSNNGEYLASSSSDCTAIIWKVLEDGRLSFKHMLRSHLTPVSFVAWSPDDTKLLTCGNVEVLKLWDVETGTCKHTFGDHGFVVSSCAWFPDSKRFVCGSSDPEKGICMWDCDGNEIKAWRGTRMPKVLDLAVTPDGENLISVMSDLEIRILNVRTNAEQVIPEEQPITSLSVSADSKFFIVNLNSQEIHLWDVAGKWKKPLKYIGHQQHKYVIRSCFGGLDSSFIASGSENSQVYIWNRRNSRPIEVLSGHLMTVNCVCWNPKRHQMLASASDDHTIRIWGPSRSKNIQR >Potri.004G155400.3.v4.1 pep chromosome:Pop_tri_v4:4:17621462:17622533:1 gene:Potri.004G155400.v4.1 transcript:Potri.004G155400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155400.v4.1 MASSSVSSRGSGSWTAQQNKAFERALAVYDRDTPDRWHNVARAVGGKTAEEVKRHYEILVEDVKHIESGRVPFPNYRTTGANGHSKTG >Potri.010G086600.6.v4.1 pep chromosome:Pop_tri_v4:10:11254321:11260512:-1 gene:Potri.010G086600.v4.1 transcript:Potri.010G086600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086600.v4.1 MEIDKESNRSTPRERENILNLRPVAPDECGEGLPYAPEDWPNLGDTWTWRVGRRVAITGHYLDRYLYLPIRLCHLENTTRKKHGFASKLSVERYIRSVFPNADIGKFFASFSWKIPSKQSSINGNVEGHFFFPVPSEETAELFLSDSQHDSAGCKAGNKMCTSLGLQTENQPMAAMSCDICCSEPGFCGYCCCILCCKTISSKHGGYSYIKCEAIVSEGHICGHVAHMNCALRTYMAGTVGGSIGLDAEYYCRRCDARTDLVPHVTRLLRTCETVDSQDEIEKILNLGFCILRGSQRADAKGLLKRIEVAITKLKCGTILEDIWKVEGEVSAILPAVSPNGNAMLEVSNHQDILDFRKSSPDVSMSSDSQTESLKLEDEINQVLQALQVSQEAEYKIAEERLYAQKKYLQNLYQQLDKERSELARRTLITNPDDLLSAVLSRVDQIKREVTKLKDMEEVANGFGRTSKDILKEHFGLEVEK >Potri.010G086600.8.v4.1 pep chromosome:Pop_tri_v4:10:11258498:11258872:-1 gene:Potri.010G086600.v4.1 transcript:Potri.010G086600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086600.v4.1 MEIDKESNRSTPRERENILNLRPVAPDECGEGLPYAPEDWPNLGDTWTWRVGRRVAITGHYLDRYLYLPIRLCHLENTTRKKHGFASKLSVERYIRSVFPNADIGKFFASFSWKIPSKQSSING >Potri.010G086600.4.v4.1 pep chromosome:Pop_tri_v4:10:11254312:11260506:-1 gene:Potri.010G086600.v4.1 transcript:Potri.010G086600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086600.v4.1 MSPSNGSPESGTPFEVELMEIDKESNRSTPRERENILNLRPVAPDECGEGLPYAPEDWPNLGDTWTWRVGRRVAITGHYLDRYLYLPIRLCHLENTTRKKHGFASKLSVERYIRSVFPNADIGKFFASFSWKIPSKQSSINGNVEGHFFFPVPSEETAELFLSDSQHDSAGCKAGNKMCTSLGLQTENQPMAAMSCDICCSEPGFCGYCCCILCCKTISSKHGGYSYIKCEAIVSEGHICGHVAHMNCALRTYMAGTVGGSIGLDAEYYCRRCDARTDLVPHVTRLLRTCETVDSQDEIEKILNLGFCILRGSQRADAKGLLKRIEVAITKLKCGTILEDIWKVEGEVSAILPAVSPNGNAMLEVSNHQDILDFRKSSPDVSMSSDSQTESLKLEDEINQVLQALQVSQEAEYKIAEERLYAQKKYLQNLYQQLDKERSELARRTLITNPDDLLSAVLSRVDQIKREVTKLKDMEEVANGFGRTSKDILKEHFGLEVEK >Potri.010G086600.1.v4.1 pep chromosome:Pop_tri_v4:10:11254312:11260512:-1 gene:Potri.010G086600.v4.1 transcript:Potri.010G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086600.v4.1 MEIDKESNRSTPRERENILNLRPVAPDECGEGLPYAPEDWPNLGDTWTWRVGRRVAITGHYLDRYLYLPIRLCHLENTTRKKHGFASKLSVERYIRSVFPNADIGKFFASFSWKIPSKQSSINGNVEGHFFFPVPSEETAELFLSDSQHDSAGCKAGNKMCTSLGLQTENQPMAAMSCDICCSEPGFCGYCCCILCCKTISSKHGGYSYIKCEAIVSEGHICGHVAHMNCALRTYMAGTVGGSIGLDAEYYCRRCDARTDLVPHVTRLLRTCETVDSQDEIEKILNLGFCILRGSQRADAKGLLKRIEVAITKLKCGTILEDIWKVEGEVSAILPAVSPNGNAMLEVSNHQDILDFRKSSPDVSMSSDSQTESLKLEDEINQVLQALQVSQEAEYKIAEERLYAQKKYLQNLYQQLDKERSELARRTLITNPDDLLSAVLSRVDQIKREVTKLKDMEEVANGFGRTSKDILKEHFGLEVEK >Potri.010G086600.7.v4.1 pep chromosome:Pop_tri_v4:10:11254179:11260558:-1 gene:Potri.010G086600.v4.1 transcript:Potri.010G086600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086600.v4.1 MEIDKESNRSTPRERENILNLRPVAPDECGEGLPYAPEDWPNLGDTWTWRVGRRVAITGHYLDRYLYLPIRLCHLENTTRKKHGFASKLSVERYIRSVFPNADIGKFFASFSWKIPSKQSSINGNVEGHFFFPVPSEETAELFLSDSQHDSAGCKAGNKMCTSLGLQTENQPMAAMSCDICCSEPGFCGYCCCILCCKTISSKHGGYSYIKCEAIVSEGHICGHVAHMNCALRTYMAGTVGGSIGLDAEYYCRRCDARTDLVPHVTRLLRTCETVDSQDEIEKILNLGFCILRGSQRADAKGLLKRIEVAITKVKLVFSRQENLWLL >Potri.010G086600.5.v4.1 pep chromosome:Pop_tri_v4:10:11254515:11260521:-1 gene:Potri.010G086600.v4.1 transcript:Potri.010G086600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086600.v4.1 MEIDKESNRSTPRERENILNLRPVAPDECGEGLPYAPEDWPNLGDTWTWRVGRRVAITGHYLDRYLYLPIRLCHLENTTRKKHGFASKLSVERYIRSVFPNADIGKFFASFSWKIPSKQSSINGNVEGHFFFPVPSEETAELFLSDSQHDSAGCKAGNKMCTSLGLQTENQPMAAMSCDICCSEPGFCGYCCCILCCKTISSKHGGYSYIKCEAIVSEGHICGHVAHMNCALRTYMAGTVGGSIGLDAEYYCRRCDARTDLVPHVTRLLRTCETVDSQDEIEKILNLGFCILRGSQRADAKGLLKRIEVAITKLKCGTILEDIWKVEGEVSAILPAVSPNGNAMLEVSNHQDILDFRKSSPDVSMSSDSQTESLKLEDEINQVLQALQVSQEAEYKIAEERLYAQKKYLQNLYQQLDKERSELARRTLITNPDDLLSAVLSRVDQIKREVTKLKDMEEVANGFGRTSKDILKEHFGLEVEK >Potri.005G062700.6.v4.1 pep chromosome:Pop_tri_v4:5:3990515:4008794:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTKKESELVQEIVDYIRKELKPLSSSNFGNLVEIGSCIGKVDDNEYFM >Potri.005G062700.12.v4.1 pep chromosome:Pop_tri_v4:5:4002777:4006850:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTKTKRLLA >Potri.005G062700.13.v4.1 pep chromosome:Pop_tri_v4:5:4002777:4006850:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTKTKRLLA >Potri.005G062700.10.v4.1 pep chromosome:Pop_tri_v4:5:4002765:4004723:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTK >Potri.005G062700.7.v4.1 pep chromosome:Pop_tri_v4:5:4002535:4008794:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTKKESELVQEIVDYIRKELKPLSSSNFGNLVEIGSCIGKVDDNEYFM >Potri.005G062700.9.v4.1 pep chromosome:Pop_tri_v4:5:4002778:4012306:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTKNPKIGNNIYHHVRRHCARQVWPRPAWLLAQPDNHAN >Potri.005G062700.3.v4.1 pep chromosome:Pop_tri_v4:5:4002535:4008794:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTKKESELVQEIVDYIRKELKPLSSSNFGNLVEIGSCIGKVDDNEYFM >Potri.005G062700.11.v4.1 pep chromosome:Pop_tri_v4:5:4002764:4004723:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTK >Potri.005G062700.4.v4.1 pep chromosome:Pop_tri_v4:5:3990515:4008794:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTKKESELVQEIVDYIRKELKPLSSSNFGNLVEIGSCIGKVDDNEYFM >Potri.005G062700.5.v4.1 pep chromosome:Pop_tri_v4:5:3990515:4008794:1 gene:Potri.005G062700.v4.1 transcript:Potri.005G062700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062700.v4.1 MASSSSAVTPLWKYDVFLSFRGADVRHNFLRHLYDALDQNEIETFIDYKLGAGEEISRILLEKIEQSNVSIVIFSKNYADSPWCLEELEKILECRQTLQQIVIPVFYHVDPTHVRELSNSYGNALSEHQKKISSDKVDNWKRVLIEIADLEGWHFNDTKKESELVQEIVDYIRKELKPLSSSNFGNLVEIGSCIGKVDDNEYFM >Potri.010G167000.5.v4.1 pep chromosome:Pop_tri_v4:10:16993641:16995868:1 gene:Potri.010G167000.v4.1 transcript:Potri.010G167000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167000.v4.1 MSSKSIEDVKEQEFSNDAAENPVENDGDQKELDQNGENPMLSPEQEEEIIKKKYGGMLSKKKPLISKDHERAFFDSADWALGKQGAQKPKGPLEALRPKLQPTPQHQMRSRRSAYAPADDDDAEDGSNHASPEHENCESQGGDDKNSAPKDQTCNGGDDKNSAPGVQTCNA >Potri.013G005500.7.v4.1 pep chromosome:Pop_tri_v4:13:366108:369728:1 gene:Potri.013G005500.v4.1 transcript:Potri.013G005500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005500.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKVGS >Potri.013G005500.8.v4.1 pep chromosome:Pop_tri_v4:13:366197:369831:1 gene:Potri.013G005500.v4.1 transcript:Potri.013G005500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005500.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Potri.013G005500.3.v4.1 pep chromosome:Pop_tri_v4:13:366108:369921:1 gene:Potri.013G005500.v4.1 transcript:Potri.013G005500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005500.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Potri.013G005500.9.v4.1 pep chromosome:Pop_tri_v4:13:366108:369798:1 gene:Potri.013G005500.v4.1 transcript:Potri.013G005500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005500.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHW >Potri.003G103500.1.v4.1 pep chromosome:Pop_tri_v4:3:12749405:12750669:-1 gene:Potri.003G103500.v4.1 transcript:Potri.003G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103500.v4.1 MYFFTPKNRKYRNGSRPNRAADGGYWKATGKDKTVKYRGTPVGKRKTLEFYTGKALQGEKTDWKMHEFTVNDPAPIHQVRNTRDYMRLDDWVLCQIYKKSKKPKDVRNRQRDEENSTLTAMDNVDYVNNHDEDRTDLPVMDAGYVGEMDNVGADAVFTHDYSQQQIASSEFPADFNLHAASYSGMFPSLAETCGDPASVFSNSDNLSTLDDLLNPANFHWNIDDPFFDLHTSVPNNIPGNPVPAPGNIANPASPCNLPAANHVPSSRYLPSAYLAPPGHQILQPVSQLQQQMLCQKTACSNE >Potri.001G186050.1.v4.1 pep chromosome:Pop_tri_v4:1:16599689:16600803:1 gene:Potri.001G186050.v4.1 transcript:Potri.001G186050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186050.v4.1 MKLKGIARIRMCFKYSEAFQLELEGQKHTERDPFTIPSFLLQSSPNSKVDSETGHAAWGATVIQKRESLRARSLVRMDSSSSKHINIEHFLDFLFDLISFTRLIKHIKD >Potri.005G058400.1.v4.1 pep chromosome:Pop_tri_v4:5:3698624:3699953:1 gene:Potri.005G058400.v4.1 transcript:Potri.005G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058400.v4.1 MALHASMNMSTMSTTRAGVLCSNHVACSKEKLKLPTGRGLRRSSSLSFPSSFSSSYASVKNHKSTIVCKAQEAVGVVQVVTDSSWDSLVIGCEIPVLVEFWAPWCGPCRMITPVIDELAAEYAGKIACFKVNTDDCPNIASQYAIRSIPTVLMFKNGEKKEGVIGAVPKATLAAAIEKYVEA >Potri.019G101900.1.v4.1 pep chromosome:Pop_tri_v4:19:13780664:13783496:1 gene:Potri.019G101900.v4.1 transcript:Potri.019G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101900.v4.1 MQLLGLYVVVFLKCFLGVFGQQVHPQHNVADLHWKPATATWYGSPDGDGSDGGACGYGSLVDVKPFRARVGAVSPVLFKNGEGCGACYKVRCLDKSICSRRAVTIIVTDECPGGYCSNGNTHFDLSGAAFGRMAISGENGQLRNRGEIPVIYRRTPCKYPGKNIAFHVNEGSTDYWLSILVEFEDGDGDVGSMHIREAGGTEWLEMNHVWGATWCIIRGPLKGPFSVKLTTLTTGRTLSAREVIPRNWAPKATYTSRLNFFH >Potri.015G022900.1.v4.1 pep chromosome:Pop_tri_v4:15:1690198:1692517:1 gene:Potri.015G022900.v4.1 transcript:Potri.015G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022900.v4.1 MEVEEIEAVLEKIWDLHDKLSDAIHSISRSHFLSSIKSLKTNNKKLNSESDVGFEENNNSRASGFVFVKDFGVHDNDLSAIQEAKSLNSIRTALENLEDQLEFFHTVQIHQRAERDAAIARLEQSRIILAVRLAEHHGKKYKVIEEALAFVGDVNDASRFVSRENLYGSPTSPSGENLVRHEGKGPNTVIKVLLSSFEFAKKSLKLDLMGGILGNAALFTVSMIAMLHLHQVACKDHPNKQEDLLYSNRNGKKVSGLEGSSSNGSLNHLDVLLARG >Potri.001G371900.1.v4.1 pep chromosome:Pop_tri_v4:1:38936936:38940919:1 gene:Potri.001G371900.v4.1 transcript:Potri.001G371900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371900.v4.1 MAHLAALSQVSFAVPAGSDTTLRRSAFKIQNISVGDKSWHPASSLDLKSRNTGLKKRLLVCMSVQQASRSKVAVSPLGLEDAKEPPLNTYKPKEPYTATIVSVERIVGPNAPGETCHVVIDHGGNVPYWEGQSYGVIPPGENPKKPGAPHNVRLYSIASTRYGDSFDGKTASLCVRRAVYYDPETGKEDPSKSGVCSNFLCNSKPGDKVQITGPSGKIMLLPEDDPNATHIMIATGTGVAPFRGYLRRMFMEAVPTYKFGGLAWLFLGVANNDSLLYDEEFAKYLQDNPDHFRYDKALSREQKNKSGGKMYVQDKIEEYSDEIFKRLDDGAHIYFCGLKGMMPGIQDTLKKVAEQRGEKWDEKLSQLKKKKQWHVEVY >Potri.001G023000.3.v4.1 pep chromosome:Pop_tri_v4:1:1786657:1787761:-1 gene:Potri.001G023000.v4.1 transcript:Potri.001G023000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023000.v4.1 MLQVVLFQRWRSLRPLLTMQHSHLLRYLLPLRMIKGKSPEIPPLCKIYFLGQCICASVHMGGENATKVCLCILSLQTCAS >Potri.001G023000.1.v4.1 pep chromosome:Pop_tri_v4:1:1786623:1791575:-1 gene:Potri.001G023000.v4.1 transcript:Potri.001G023000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023000.v4.1 MSESKSNPQSSLRCHHCAGPLTKEMETSQWTVRPLIRDSFSMIGSAVGGTTSAFYGFNHVMPVVRRWVKGPMWLHFFIGAPPVIVFSSACAGLAGGAVPALAQLASSSYHAAFSSPSLPPSSQDDKRQKSRNSSTL >Potri.011G125000.4.v4.1 pep chromosome:Pop_tri_v4:11:15830470:15834479:1 gene:Potri.011G125000.v4.1 transcript:Potri.011G125000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125000.v4.1 MERFRVIVLCTFLLISDVKISAISDTLAPGQSIKDGESVVSADGSFGLGFFSPGSSSNRYLGIWYNKITPGTVVWVANREQPLLNRLGVLNVTGQGVLVLFNGTNYAVWSSNVSRTAQNPVVQLLDSGNLAVKDGNDNNPDNFLWQSFDYPSETLLPGMKWGKNLVTGLDRYISSWKSADDPARGDFTFRLDPRGYNQMLLMRGLEILYRTGIWNGFRWGGVPETISNTVYGEQFVSTATESYYTFDLLNSSVPSRLVINPSGTPQRLTWITQTNLWGSYSVVQIDQCDTYTLCRANGICSNSNGAVCSCLESFIPRTPESWNKQDWSGGCVRRTQLGCKNGDGFLQLTGVKLPDMSDSWVNTSMSLVECRNMCLSNCSCVAYGNSDIRRGASGCYLWFDDLRDTKHLPLGGQDLYIRMAASELSSYEKKSSSKRKRRRIIIGTLISAVVLLVLGFMLYMRRRRKTRQAYTSSIRIDNLKDESGRKDDMELPAFDFITIKNATDYFSYNNKLGEGGFGSVYKGTLTDGQEIAVKRLSKNSGQGLKEFKNEVILIAKLQHRNLVKLLGCCIEGDERMLIYEYMPNKSLDNFIFDKKSRNLLDWQTHMNIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDNSMNPKISDFGMARIFGGDQIEANTNRIVGTYGYISPEYAVDGLFSIKSDVFSFGVLVLEIVSGKKNRGFYHPDHNHNLLGHAWKLWNEGRPLELMNITIDDSSSLSEILRHIQVGLLCVQQRPDDRPSMSTVVVMLSSEISLPQPKQPGFYTERNFPEPETSSSSIRSASRNDISFTVFEPR >Potri.011G125000.2.v4.1 pep chromosome:Pop_tri_v4:11:15830428:15834545:1 gene:Potri.011G125000.v4.1 transcript:Potri.011G125000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125000.v4.1 MERFRVIVLCTFLLISDVKISAISDTLAPGQSIKDGESVVSADGSFGLGFFSPGSSSNRYLGIWYNKITPGTVVWVANREQPLLNRLGVLNVTGQGVLVLFNGTNYAVWSSNVSRTAQNPVVQLLDSGNLAVKDGNDNNPDNFLWQSFDYPSETLLPGMKWGKNLVTGLDRYISSWKSADDPARGDFTFRLDPRGYNQMLLMRGLEILYRTGIWNGFRWGGVPETISNTVYGEQFVSTATESYYTFDLLNSSVPSRLVINPSGTPQRLTWITQTNLWGSYSVVQIDQCDTYTLCRANGICSNSNGAVCSCLESFIPRTPESWNKQDWSGGCVRRTQLGCKNGDGFLQLTGVKLPDMSDSWVNTSMSLVECRNMCLSNCSCVAYGNSDIRRGASGCYLWFDDLRDTKHLPLGGQDLYIRMAASELSSYEKKSSSKRKRRRIIIGTLISAVVLLVLGFMLYMRRRRKTRQAYTSSIRIDNLKDESGRKDDMELPAFDFITIKNATDYFSYNNKLGEGGFGSVYKGTLTDGQEIAVKRLSKNSGQGLKEFKNEVILIAKLQHRNLVKLLGCCIEGDERMLIYEYMPNKSLDNFIFDKKSRNLLDWQTHMNIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDNSMNPKISDFGMARIFGGDQIEANTNRIVGTYGYISPEYAVDGLFSIKSDVFSFGVLVLEIVSGKKNRGFYHPDHNHNLLGHAWKLWNEGRPLELMNITIDDSSSLSEILRHIQVGLLCVQQRPDDRPSMSTVVVMLSSEISLPQPKQPGFYTERNFPEPETSSSSIRSASRNDISFTVFEPR >Potri.011G125000.3.v4.1 pep chromosome:Pop_tri_v4:11:15830460:15834560:1 gene:Potri.011G125000.v4.1 transcript:Potri.011G125000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125000.v4.1 MERFRVIVLCTFLLISDVKISAISDTLAPGQSIKDGESVVSADGSFGLGFFSPGSSSNRYLGIWYNKITPGTVVWVANREQPLLNRLGVLNVTGQGVLVLFNGTNYAVWSSNVSRTAQNPVVQLLDSGNLAVKDGNDNNPDNFLWQSFDYPSETLLPGMKWGKNLVTGLDRYISSWKSADDPARGDFTFRLDPRGYNQMLLMRGLEILYRTGIWNGFRWGGVPETISNTVYGEQFVSTATESYYTFDLLNSSVPSRLVINPSGTPQRLTWITQTNLWGSYSVVQIDQCDTYTLCRANGICSNSNGAVCSCLESFIPRTPESWNKQDWSGGCVRRTQLGCKNGDGFLQLTGVKLPDMSDSWVNTSMSLVECRNMCLSNCSCVAYGNSDIRRGASGCYLWFDDLRDTKHLPLGGQDLYIRMAASELSSYEKKSSSKRKRRRIIIGTLISAVVLLVLGFMLYMRRRRKTRQAYTSSIRIDNLKDESGRKDDMELPAFDFITIKNATDYFSYNNKLGEGGFGSVYKGTLTDGQEIAVKRLSKNSGQGLKEFKNEVILIAKLQHRNLVKLLGCCIEGDERMLIYEYMPNKSLDNFIFDKKSRNLLDWQTHMNIIGGIARGLLYLHQDSRLRIIHRDLKASNVLLDNSMNPKISDFGMARIFGGDQIEANTNRIVGTYGYISPEYAVDGLFSIKSDVFSFGVLVLEIVSGKKNRGFYHPDHNHNLLGHAWKLWNEGRPLELMNITIDDSSSLSEILRHIQVGLLCVQQRPDDRPSMSTVVVMLSSEISLPQPKQPGFYTERNFPEPETSSSSIRSASRNDISFTVFEPR >Potri.014G137700.2.v4.1 pep chromosome:Pop_tri_v4:14:9362779:9368682:-1 gene:Potri.014G137700.v4.1 transcript:Potri.014G137700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137700.v4.1 MAAGAVSPTDHQGTPPVVPVPMMMADTIAKDAILAWFRGEFAAANAIIDALCSHLAQLDAGSEYEAVFAAIHGRRLNWIPVLQMQKYHSIADVALELKRVAETKLEAASFGNVETDKFSDEKVKISVENGHVAQEEEEDSPDSDITDSGSQEVKPASENNDDICANHEDCGLRPTQIKLTKGFTAKEHVKGHTVNVVKGLKLYESIFTDSELSKLTDFVDELRVAGQNGELSGETFILFNKQVKGNKKELIQLGVPIFGHIKEEAASNNPSSNIEPIPALLQGVIDHLVQWQLIPEYKKPNGCIIHFFDEEEYSQPFLKPPHLDQPISTLILSESTMAFGRILGSDSDGNYRGSLSLLLKKGSLLVMRGNSADMAGHAMCPSSNKRASITFFRVRPESKQGQSPPTSPMNGAMTLWQPSIPSPYPVPNGALDGYEPMDSMPPNWGVLSSPVVMLAPMRPMVLSPKRMPNGGTGVFLPWAVGSRKPAKHLPPRAQRGRLLALSSPVETHVAASASDTGISIEGKSA >Potri.007G074070.2.v4.1 pep chromosome:Pop_tri_v4:7:9691278:9695170:-1 gene:Potri.007G074070.v4.1 transcript:Potri.007G074070.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074070.v4.1 MLSGCLEFLKCVLCCVEEHEEDLLEVLGSRSTSNSLDVGSRFTRSVGRVSDRLLGSNNYTYAPIYSCDPPTSSPAVPQSSSRFLSPSSIPTPSWPNPPTSSSNQPRSLHIPATFSSRPSPPAPAPSTTSSNASPQATTLSFTSSPFPPPLSASSSKSSHKTHPTAFHPSPSPKPLPPFLKPGSSSSKPSPSSPKASTSSPGPSSSSSKQPPSFKPTLSQVSPDSIKEQTKVSYMWVQKGMSPIYAIPKDIEDLIKRDKVPGVLNKPLSLSTYKDYFAALLYAEDFYIEKWSEFKLENITLKLQRAEIIKKSRRNEYRNETYEKDDKIFVEFEIDSCCERRPFLLSRDFAFARPSGQMTEPCQGIIYRVERSTRVVVEFGKDFLLQHHSTRKYDVSFSFNRVCLKRAHHAIEAASDPLFKSFLFPDGVSKKIFPISTPLHFCNHKLDVYQSSAVREILSFQGPPPYIVEGPLCLNKFSELSRTGLVIQEAVLQIYQSSSKLRILICAPINRTCDLLMQSLNNDIPKSDMFRANATFREIDGVPIDILPSCAYKGECFTCPSLQELRKFRVILSTFVSSFRLRNEGIAAGHFSHIFLVDASSATEPEAMVPLANLASEETAVIVTGAPGNHSGWVRSNIARKNGLMTSYFERLRHSKPYRSLLPKFITQLADPQKESVGDYSYASVSYD >Potri.007G074070.3.v4.1 pep chromosome:Pop_tri_v4:7:9691280:9695165:-1 gene:Potri.007G074070.v4.1 transcript:Potri.007G074070.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074070.v4.1 MLSGCLEFLKCVLCCVEEHEEDLLEVLGSRSTSNSLDVGSRFTRSVGRVSDRLLGSNNYTYAPIYSCDPPTSSPAVPQSSSRFLSPSSIPTPSWPNPPTSSSNQPRSLHIPATFSSRPSPPAPAPSTTSSNASPQATTLSFTSSPFPPPLSASSSKSSHKTHPTAFHPSPSPKPLPPFLKPGSSSSKPSPSSPKASTSSPGPSSSSSKQPPSFKPTLSQVSPDSIKEQTKVSYMWVQKGMSPIYAIPKDIEDLIKRDKVPGVLNKPLSLSTYKDYFAALLYAEDFYIEKWSEFKLENITLKLQRAEIIKKSRRNEYRNETYEKDDKIFVEFEIDSCCERRPFLLSRDFAFARPSGQMTEPCQGIIYRVERSTRVVVEFGKDFLLQHHSTRKYDVSFSFNRVCLKRAHHAIEAASDPLFKSFLFPDGVSKKIFPISTPLHFCNHKLDVYQSSAVREILSFQGPPPYIVEGPLCLNKFSELSRTGLVIQEAVLQIYQSSSKLRILICAPINRTCDLLMQSLNNDIPKSDMFRANATFREIDGVPIDILPSCAYKGECFTCPSLQELRKFRVILSTFVSSFRLRNEGIAAGHFSHIFLVDASSATEPEAMVPLANLASEETAVIVTGAPGNHSGWVRSNIARKNGLMTSYFERLRHSKPYRSLLPKFITQLADPQKESVGDYSYASVSYD >Potri.007G074070.1.v4.1 pep chromosome:Pop_tri_v4:7:9691364:9695255:-1 gene:Potri.007G074070.v4.1 transcript:Potri.007G074070.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074070.v4.1 MLSGCLEFLKCVLCCVEEHEEDLLEVLGSRSTSNSLDVGSRFTRSVGRVSDRLLGSNNYTYAPIYSCDPPTSSPAVPQSSSRFLSPSSIPTPSWPNPPTSSSNQPRSLHIPATFSSRPSPPAPAPSTTSSNASPQATTLSFTSSPFPPPLSASSSKSSHKTHPTAFHPSPSPKPLPPFLKPGSSSSKPSPSSPKASTSSPGPSSSSSKQPPSFKPTLSQVSPDSIKEQTKVSYMWVQKGMSPIYAIPKDIEDLIKRDKVPGVLNKPLSLSTYKDYFAALLYAEDFYIEKWSEFKLENITLKLQRAEIIKKSRRNEYRNETYEKDDKIFVEFEIDSCCERRPFLLSRDFAFARPSGQMTEPCQGIIYRVERSTRVVVEFGKDFLLQHHSTRKYDVSFSFNRVCLKRAHHAIEAASDPLFKSFLFPDGVSKKIFPISTPLHFCNHKLDVYQSSAVREILSFQGPPPYIVEGPLCLNKFSELSRTGLVIQEAVLQIYQSSSKLRILICAPINRTCDLLMQSLNNDIPKSDMFRANATFREIDGVPIDILPSCAYKGECFTCPSLQELRKFRVILSTFVSSFRLRNEGIAAGHFSHIFLVDASSATEPEAMVPLANLASEETAVIVTGAPGNHSGWVRSNIARKNGLMTSYFERLRHSKPYRSLLPKFITQLADPQKESVGDYSYASVSYD >Potri.009G106500.3.v4.1 pep chromosome:Pop_tri_v4:9:9275673:9278736:-1 gene:Potri.009G106500.v4.1 transcript:Potri.009G106500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106500.v4.1 MAQAQPEEEMSKEKEMKETTRPKNDSGSVSFKFNAQAPEFLPRSHTAATQMPISGYFYPYFNYLGATAAGGSDWFFVGNQDHAAYLISNDPNLAAMPNGPTKNSDVLTDDLRKKIIKQVEYQFSDMSLLANESMSKHINKDPEGYVPISVIASTKKMRSLVIDNDSLAQALKSSSKLSLTEDGKKIKRKIPFTDKDREELQSRIVVVENLPEDHSHQNVQKIFSVVGSVKTIRICHPHESNSSGAKNDFFVTNKLHALVELETRKIAEKAAEKLNDERNWRKGLRVRLLLRCSPKSVLPRGRRSEFDIWDEEDSPHYESTVDTFKPNNSESVTESHIVQEHQRRHGRQKAMARAKAVVKSIVAEVCLLL >Potri.009G106500.2.v4.1 pep chromosome:Pop_tri_v4:9:9275673:9278736:-1 gene:Potri.009G106500.v4.1 transcript:Potri.009G106500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106500.v4.1 MAQAQPEEEMSKEKEMKETTRPKNDSGSVSFKFNAQAPEFLPRSHTAATQMPISDHAAYLISNDPNLAAMPNGPTKNSDVLTDDLRKKIIKQVEYQFSDMSLLANESMSKHINKDPEGYVPISVIASTKKMRSLVIDNDSLAQALKSSSKLSLTEDGKKIKRKIPFTDKDREELQSRIVVVENLPEDHSHQNVQKIFSVVGSVKTIRICHPHESNSSGAKNDFFVTNKLHALVELETRKIAEKAAEKLNDERNWRKGLRVRLLLRCSPKSVLPRGRRSEFDIWDEEDSPHYESTVDTFKPNNSESVTESHAEDSSGASKKAWAAKGHGKGKGRGQINCSRGLLAPVKCASTPQREASAKHASKSPRMPDGTKGFNVGRGKPLITSALTSSMME >Potri.009G106500.4.v4.1 pep chromosome:Pop_tri_v4:9:9275673:9278736:-1 gene:Potri.009G106500.v4.1 transcript:Potri.009G106500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106500.v4.1 MAQAQPEEEMSKEKEMKETTRPKNDSGSVSFKFNAQAPEFLPRSHTAATQMPISDHAAYLISNDPNLAAMPNGPTKNSDVLTDDLRKKIIKQVEYQFSDMSLLANESMSKHINKDPEGYVPISVIASTKKMRSLVIDNDSLAQALKSSSKLSLTEDGKKIKRKIPFTDKDREELQSRIVVVENLPEDHSHQNVQKIFSVVGSVKTIRICHPHESNSSGAKNDFFVTNKLHALVELETRKIAEKAAEKLNDERNWRKGLRVRLLLRCSPKSVLPRGRRSEFDIWDEEDSPHYESTVDTFKPNNSESVTESHIVQEHQRRHGRQKAMARAKAVVKSIVAEVCLLL >Potri.009G106500.1.v4.1 pep chromosome:Pop_tri_v4:9:9275673:9278735:-1 gene:Potri.009G106500.v4.1 transcript:Potri.009G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106500.v4.1 MAQAQPEEEMSKEKEMKETTRPKNDSGSVSFKFNAQAPEFLPRSHTAATQMPISGYFYPYFNYLGATAAGGSDWFFVGNQDHAAYLISNDPNLAAMPNGPTKNSDVLTDDLRKKIIKQVEYQFSDMSLLANESMSKHINKDPEGYVPISVIASTKKMRSLVIDNDSLAQALKSSSKLSLTEDGKKIKRKIPFTDKDREELQSRIVVVENLPEDHSHQNVQKIFSVVGSVKTIRICHPHESNSSGAKNDFFVTNKLHALVELETRKIAEKAAEKLNDERNWRKGLRVRLLLRCSPKSVLPRGRRSEFDIWDEEDSPHYESTVDTFKPNNSESVTESHAEDSSGASKKAWAAKGHGKGKGRGQINCSRGLLAPVKCASTPQREASAKHASKSPRMPDGTKGFNVGRGKPLITSALTSSMME >Potri.014G090000.2.v4.1 pep chromosome:Pop_tri_v4:14:5847380:5847694:1 gene:Potri.014G090000.v4.1 transcript:Potri.014G090000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090000.v4.1 MMIPSLERETLKVNTSPVNKDEETEMTMDCKTPTGLRHKIAETTWDCKTPTGEEHKIPILNTCPPAPGPRWLLDSLSEKKKASKMNSDAEPKVIMLQPFKASNS >Potri.006G233600.1.v4.1 pep chromosome:Pop_tri_v4:6:23620851:23623701:1 gene:Potri.006G233600.v4.1 transcript:Potri.006G233600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233600.v4.1 MAGSFCSRGLPLEGMVILLGIIIFGFGIGECNLMPGKKILEVREKIKHLKSNTVSRLQRGDGDIIDCIDIYKQPAFDHPALRNHTIQMAPSYDPNIEETTTKANRLQNQDSSMNLASRLWQKSGSCPKGTIPVRRLPQKVPLKTNSLEDYGRKKPCSSPPLTRINKDISSNLQQSNRSVAILLTEGYSYSGVKGDIKVWNPHVESDDEYSTSQVSLKSGPYYDFESVEAGWAVNPSVYGDRKTRLFVYWTADASKKTGCFDLTCPGFVQTSSEIALGAAIYPLSVPSGLPYQITLFIFKDPNTGNWWVQYGEKINLGYWPPDLFAWLRGNAETAEWGGEVYSSKLEHPPHTKTAMGNGQFPDYVSGNSGCIKRMRIRENSLVLKFPEWVSTFLHEYRCYDAEYIGDYIEDPEFYYGGPGQNPLCP >Potri.012G045000.3.v4.1 pep chromosome:Pop_tri_v4:12:4091083:4095597:1 gene:Potri.012G045000.v4.1 transcript:Potri.012G045000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045000.v4.1 MSDSGECYDSKRPIEDDDDDIVESDIDLDNTDVVEPDNDPPQKMGDPAVEVTEEKRDAAQTEKAKAMDAISEGNLDEAIDHLTEAIMLNPISAILYATRASVFVKLKKPHAAIRDADAALAINPDSAKGYKVRGMARAMLGQWEQAASELQMASKLDYDDEIGSVLKKVEPNARKIEEHRIKYERLQKERELRKAERERKQEAEPQEREALSALNEGQVIGIHSARELDPKLNAASKTSRLAILYFTATWCGPCRMISPIFTSLAAKYPKVVFLKVDIDEARDVASSWNISSVPTFYFTKNGKEIDKVVGADKNGLERKIEQHAG >Potri.012G045000.2.v4.1 pep chromosome:Pop_tri_v4:12:4091023:4095542:1 gene:Potri.012G045000.v4.1 transcript:Potri.012G045000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045000.v4.1 MSDSGECYDSKRPIEDDDDDIVESDIDLDNTDVVEPDNDPPQKMGDPAVEVTEEKRDAAQTEKAKAMDAISEGNLDEAIDHLTEAIMLNPISAILYATRASVFVKLKKPHAAIRDADAALAINPDSAKGYKVRGMARAMLGQWEQAASELQMASKLDYDDEIGSVLKKVEPNARKIEEHRIKYERLQKERELRKAERERKQEAEPQEREALSALNEGQVIGIHSARELDPKLNAASKTSRLAILYFTATWCGPCRMISPIFTSLAAKYPKVVFLKVDIDEARDVASSWNISSVPTFYFTKNGKEIDKVVGADKNGLERKIEQHAG >Potri.002G143000.7.v4.1 pep chromosome:Pop_tri_v4:2:10741367:10761155:1 gene:Potri.002G143000.v4.1 transcript:Potri.002G143000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143000.v4.1 MFLQRSEGLSKHVDNFMQMLSLMQSKDVVPFVLTPLISDELREANFLRNMDLIHGSTDSEFDAILAEMEEEMSLGDIVKELGYGCTFDVLHCKEILSPFLPLTEVTISKILGTIARNLTGLEDNQSTFSTFGLALGCNITTDLPQLSSWDIDVLVKTIKQLAPGTNWIQVIENLDHEGFYIPNEEAFSFLMSVYRQTCQDPFPLHAIYGSLWKNTEGQLSFLKHAVLAPPEVFTFAHSGRQLNYIDALHGHKLQVGHSNHAWVCLDLLDMLCQLAERGHASSVRSMLEYPLKHCPELLLLGMSHINTAYSLLQYEVSFMVFPLIIKSAAGSGMMLYLWHLNPNLVLRGFVDAHNVEPNIMTEILDACQELKILSSVLDMIPFPSGIRLAALASRKELIDLEKWLGNNLITYKDSFFEECLKFLKEIQPGGSQDFAAKPIHPQSTIVNLYSETGSSFLKVLKAHTSLVISTQLSEEMERLHVTVMDSNPRLQNGSSADSSTPDGFSDDVEAEANSYFHQMFSGHLTIDSMVQMLARFKESSVKREQLIFECMIGNLFEEYRFFPKYPERQLKIAALLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALENFVDRLIEWPQYCNHILQISHLRGTHADLVAFIERALARISSGHLESDGINNASAAHHHGLSQAASVNGESNSINIQQAGQQLSSTLHLQQRHESSLDDRLKASAAPFNETKQFASAAPFNESKQFLSSSVQSSVAPSSDVSSIQKGTVTSSALLSSPGSVRPFRVVTSTRFGSALNIETLMAAAERRETPIEAPGSEIHDKVSFMINNISVANVEPKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIMEAYEKGLMIAVIPFTSKVLEPCQNSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDIAPTSLLKDRKREIEGNPDFSNKDIGASQPQMLPEVKSGIISPLNHVELPLEGASPPNSGVHAHMLSQYTSPVHLSSGTLMEDEKLAALGLSDQLPSAQGLFQATPSQSTFPASQLPTAIPNIGTHVIINQKLNSWGLHVHFQRLVPTVMDRAIKDIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRSSISSQLRNSVQGFSLTSEILEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDTEIAQQLLRRKHRDGVGPAFFDANMYTQSSMGVVPEALRPKPGHLTPSQQRVYEDFVRLPWQNQSSQSSHVIPTGSASSGATGLASAYGSVSLDVASEAIESNSAALLSSASIHSATADGVIQQSSENNSTSASISATTSSELSPVESSDVVKEAGVSSQPSSSSVASERVGSSIADASLNTRDALDKYQIIAQKLETLVTSDSREAEIQGVVAEVPEIISRCVSRDEAALAVAQKVFKGLYENASNSFHVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLMRSELLNLAEYNVHMAKLIDGGRNKVATDFAVSLIQALIVEESSVISELHNLVDALAKLAAKPGSSESLQQLIEIARNPGVNAAALTSPALGKEDKTRQSRDKPSNQLIASREEYSNIEPVEPDGFHEQVSMLFAEWYRICELPGANDAASNHYILQLHQNGLLKGDETTDRFFRVLMELSVAHCLSSEVINSSVLQSPHQMQNLSFLAIDIYAKLVIAILKVEQGSSKLFPLSKILSITVRFIQKDSEEKKNSFNARPYFRLFISWLQDLLSPEPVVDGVNFQILTAFAGAFHNLQPLKVPGFSYVWLSLVSHRSFMPRLLIGNAQKGWPYVQRLLVDLFQFLEPYLRNAELAVPVHLLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPLNMRLPDPSTPNLKIDLLPEIREPPHILSEVDAALKVKQMKADVDEYLKTRQQGSSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYAGMQAIQQLQARTSHGQSAGNTVPLAVFLVDAALDIYQTLILDLDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDDSMVSGWVSESAH >Potri.002G143000.5.v4.1 pep chromosome:Pop_tri_v4:2:10740290:10761154:1 gene:Potri.002G143000.v4.1 transcript:Potri.002G143000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143000.v4.1 MIELSSTVPSQIRFLLHSLNEANVDSVFRDLCQFMEYELEGSILTLQTCLDYLKTDLKNMHLEPVLASVFKFVLDKPNFATVFCQSLKSTEITEDFLEKLSNLLKLSVAEKIGTGLALSESENADTRMFAKKFCLAKIEELCANPVPMNSVEQIQNIVMFLQRSEGLSKHVDNFMQMLSLMQSKDVVPFVLTPLISDELREANFLRNMDLIHGSTDSEFDAILAEMEEEMSLGDIVKELGYGCTFDVLHCKEILSPFLPLTEVTISKILGTIARNLTGLEDNQSTFSTFGLALGCNITTDLPQLSSWDIDVLVKTIKQLAPGTNWIQVIENLDHEGFYIPNEEAFSFLMSVYRQTCQDPFPLHAIYGSLWKNTEGQLSFLKHAVLAPPEVFTFAHSGRQLNYIDALHGHKLQVGHSNHAWVCLDLLDMLCQLAERGHASSVRSMLEYPLKHCPELLLLGMSHINTAYSLLQYEVSFMVFPLIIKSAAGSGMMLYLWHLNPNLVLRGFVDAHNVEPNIMTEILDACQELKILSSVLDMIPFPSGIRLAALASRKELIDLEKWLGNNLITYKDSFFEECLKFLKEIQPGGSQDFAAKPIHPQSTIVNLYSETGSSFLKVLKAHTSLVISTQLSEEMERLHVTVMDSNPRLQNGSSADSSTPDGFSDDVEAEANSYFHQMFSGHLTIDSMVQMLARFKESSVKREQLIFECMIGNLFEEYRFFPKYPERQLKIAALLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALENFVDRLIEWPQYCNHILQISHLRGTHADLVAFIERALARISSGHLESDGINNASAAHHHGLSQAASVNGESNSINIQQAGQQLSSTLHLQQRHESSLDDRLKASAAPFNETKQFASAAPFNESKQFLSSSVQSSVAPSSDVSSIQKGTVTSSALLSSPGSVRPFRVVTSTRFGSALNIETLMAAAERRETPIEAPGSEIHDKVSFMINNISVANVEPKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIMEAYEKGLMIAVIPFTSKVLEPCQNSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDIAPTSLLKDRKREIEGNPDFSNKDIGASQPQMLPEVKSGIISPLNHVELPLEGASPPNSGVHAHMLSQYTSPVHLSSGTLMEDEKLAALGLSDQLPSAQGLFQATPSQSTFPASQLPTAIPNIGTHVIINQKLNSWGLHVHFQRLVPTVMDRAIKDIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRSSISSQLRNSVQGFSLTSEILEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDTEIAQQLLRRKHRDGVGPAFFDANMYTQSSMGVVPEALRPKPGHLTPSQQRVYEDFVRLPWQNQSSQSSHVIPTGSASSGATGLASAYGSVSLDVASEAIESNSAALLSSASIHSATADGVIQQSSENNSTSASISATTSSELSPVESSDVVKEAGVSSQPSSSSVASERVGSSIADASLNTRDALDKYQIIAQKLETLVTSDSREAEIQGVVAEVPEIISRCVSRDEAALAVAQKVFKGLYENASNSFHVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLMRSELLNLAEYNVHMAKLIDGGRNKVATDFAVSLIQALIVEESSVISELHNLVDALAKLAAKPGSSESLQQLIEIARNPGVNAAALTSPALGKEDKTRQSRDKPSNQLIASREEYSNIEPVEPDGFHEQVSMLFAEWYRICELPGANDAASNHYILQLHQNGLLKGDETTDRFFRVLMELSVAHCLSSEVINSSVLQSPHQMQNLSFLAIDIYAKLVIAILKVEQGSSKLFPLSKILSITVRFIQKDSEEKKNSFNARPYFRLFISWLQDLLSPEPVVDGVNFQILTAFAGAFHNLQPLKVPGFSYVWLSLVSHRSFMPRLLIGNAQKGWPYVQRLLVDLFQFLEPYLRNAELAVPVHLLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPLNMRLPDPSTPNLKIDLLPEIREPPHILSEVDAALKVKQMKADVDEYLKTRQQGSSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYAGMQAIQQLQARTSHGQSAGNTVPLAVFLVDAALDIYQTLILDLDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDDSMVSGWVSESAH >Potri.002G143000.8.v4.1 pep chromosome:Pop_tri_v4:2:10743750:10761126:1 gene:Potri.002G143000.v4.1 transcript:Potri.002G143000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143000.v4.1 MVFPLIIKSAAGSGMMLYLWHLNPNLVLRGFVDAHNVEPNIMTEILDACQELKILSSVLDMIPFPSGIRLAALASRKELIDLEKWLGNNLITYKDSFFEECLKFLKEIQPGGSQDFAAKPIHPQSTIVNLYSETGSSFLKVLKAHTSLVISTQLSEEMERLHVTVMDSNPRLQNGSSADSSTPDGFSDDVEAEANSYFHQMFSGHLTIDSMVQMLARFKESSVKREQLIFECMIGNLFEEYRFFPKYPERQLKIAALLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALENFVDRLIEWPQYCNHILQISHLRGTHADLVAFIERALARISSGHLESDGINNASAAHHHGLSQAASVNGESNSINIQQAGQQLSSTLHLQQRHESSLDDRLKASAAPFNETKQFASAAPFNESKQFLSSSVQSSVAPSSDVSSIQKGTVTSSALLSSPGSVRPFRVVTSTRFGSALNIETLMAAAERRETPIEAPGSEIHDKVSFMINNISVANVEPKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIMEAYEKGLMIAVIPFTSKVLEPCQNSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDIAPTSLLKDRKREIEGNPDFSNKDIGASQPQMLPEVKSGIISPLNHVELPLEGASPPNSGVHAHMLSQYTSPVHLSSGTLMEDEKLAALGLSDQLPSAQGLFQATPSQSTFPASQLPTAIPNIGTHVIINQKLNSWGLHVHFQRLVPTVMDRAIKDIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRSSISSQLRNSVQGFSLTSEILEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDTEIAQQLLRRKHRDGVGPAFFDANMYTQSSMGVVPEALRPKPGHLTPSQQRVYEDFVRLPWQNQSSQSSHVIPTGSASSGATGLASAYGSVSLDVASEAIESNSAALLSSASIHSATADGVIQQSSENNSTSASISATTSSELSPVESSDVVKEAGVSSQPSSSSVASERVGSSIADASLNTRDALDKYQIIAQKLETLVTSDSREAEIQGVVAEVPEIISRCVSRDEAALAVAQKVFKGLYENASNSFHVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLMRSELLNLAEYNVHMAKLIDGGRNKVATDFAVSLIQALIVEESSVISELHNLVDALAKLAAKPGSSESLQQLIEIARNPGVNAAALTSPALGKEDKTRQSRDKPSNQLIASREEYSNIEPVEPDGFHEQVSMLFAEWYRICELPGANDAASNHYILQLHQNGLLKGDETTDRFFRVLMELSVAHCLSSEVINSSVLQSPHQMQNLSFLAIDIYAKLVIAILKVEQGSSKLFPLSKILSITVRFIQKDSEEKKNSFNARPYFRLFISWLQDLLSPEPVVDGVNFQILTAFAGAFHNLQPLKVPGFSYVWLSLVSHRSFMPRLLIGNAQKGWPYVQRLLVDLFQFLEPYLRNAELAVPVHLLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPLNMRLPDPSTPNLKIDLLPEIREPPHILSEVDAALKVKQMKADVDEYLKTRQQGSSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYAGMQVCLFC >Potri.002G143000.6.v4.1 pep chromosome:Pop_tri_v4:2:10740433:10761154:1 gene:Potri.002G143000.v4.1 transcript:Potri.002G143000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143000.v4.1 MIELSSTVPSQIRFLLHSLNEANVDSVFRDLCQFMEYELEGSILTLQTCLDYLKTDLKNMHLEPVLASVFKFVLDKPNFATVFCQSLKSTEITEDFLEKLSNLLKLSVAEKIGTGLALSESENADTRMFAKKFCLAKIEELCANPVPMNSVEQIQNIVMFLQRSEGLSKHVDNFMQMLSLMQSKDVVPFVLTPLISDELREANFLRNMDLIHGSTDSEFDAILAEMEEEMSLGDIVKELGYGCTFDVLHCKEILSPFLPLTEVTISKILGTIARNLTGLEDNQSTFSTFGLALGCNITTDLPQLSSWDIDVLVKTIKQLAPGTNWIQVIENLDHEGFYIPNEEAFSFLMSVYRQTCQDPFPLHAIYGSLWKNTEGQLSFLKHAVLAPPEVFTFAHSGRQLNYIDALHGHKLQVGHSNHAWVCLDLLDMLCQLAERGHASSVRSMLEYPLKHCPELLLLGMSHINTAYSLLQYEVSFMVFPLIIKSAAGSGMMLYLWHLNPNLVLRGFVDAHNVEPNIMTEILDACQELKILSSVLDMIPFPSGIRLAALASRKELIDLEKWLGNNLITYKDSFFEECLKFLKEIQPGGSQDFAAKPIHPQSTIVNLYSETGSSFLKVLKAHTSLVISTQLSEEMERLHVTVMDSNPRLQNGSSADSSTPDGFSDDVEAEANSYFHQMFSGHLTIDSMVQMLARFKESSVKREQLIFECMIGNLFEEYRFFPKYPERQLKIAALLFGSVIKHQLVTHLTLGIALRGVLDALRKPPDSKMFVFGTKALENFVDRLIEWPQYCNHILQISHLRGTHADLVAFIERALARISSGHLESDGINNASAAHHHGLSQAASVNGESNSINIQQAGQQLSSTLHLQQRHESSLDDRLKASAAPFNETKQFASAAPFNESKQFLSSSVQSSVAPSSDVSSIQKGTVTSSALLSSPGSVRPFRVVTSTRFGSALNIETLMAAAERRETPIEAPGSEIHDKVSFMINNISVANVEPKAKEFTEILKEQYYPWFAQYMVMKRASIEPNFHDLYLKFLDKVNSKALNKEIVQATYENCKVLLGSELIKSSSEERSLLKNLGSWLGKLTIGRNQVLRAREIDPKSLIMEAYEKGLMIAVIPFTSKVLEPCQNSLAYQPPNPWTMGILGLLAEIYSMPNLKMNLKFDIEVLFKNLGVDMKDIAPTSLLKDRKREIEGNPDFSNKDIGASQPQMLPEVKSGIISPLNHVELPLEGASPPNSGVHAHMLSQYTSPVHLSSGTLMEDEKLAALGLSDQLPSAQGLFQATPSQSTFPASQLPTAIPNIGTHVIINQKLNSWGLHVHFQRLVPTVMDRAIKDIVSGIVQRSVSIATQTTKELVLKDYAMESDETRIYNAAHLMVASLAGSLAHVTCKEPLRSSISSQLRNSVQGFSLTSEILEHAVQLVTNDNLDLGCAVIEQAATDKAIQTIDTEIAQQLLRRKHRDGVGPAFFDANMYTQSSMGVVPEALRPKPGHLTPSQQRVYEDFVRLPWQNQSSQSSHVIPTGSASSGATGLASAYGSVSLDVASEAIESNSAALLSSASIHSATADGVIQQSSENNSTSASISATTSSELSPVESSDVVKEAGVSSQPSSSSVASERVGSSIADASLNTRDALDKYQIIAQKLETLVTSDSREAEIQGVVAEVPEIISRCVSRDEAALAVAQKVFKGLYENASNSFHVNACLAILAAIRDVCKLVVKELTSWVIYSDEERKFNKDITLGLMRSELLNLAEYNVHMAKLIDGGRNKVATDFAVSLIQALIVEESSVISELHNLVDALAKLAAKPGSSESLQQLIEIARNPGVNAAALTSPALGKEDKTRQSRDKPSNQLIASREEYSNIEPVEPDGFHEQVSMLFAEWYRICELPGANDAASNHYILQLHQNGLLKGDETTDRFFRVLMELSVAHCLSSEVINSSVLQSPHQMQNLSFLAIDIYAKLVIAILKVEQGSSKLFPLSKILSITVRFIQKDSEEKKNSFNARPYFRLFISWLQDLLSPEPVVDGVNFQILTAFAGAFHNLQPLKVPGFSYVWLSLVSHRSFMPRLLIGNAQKGWPYVQRLLVDLFQFLEPYLRNAELAVPVHLLYKGTLRVLLVLLHDFPEFLCDYHFTFCDVIPPSCIQMRNIILSAFPLNMRLPDPSTPNLKIDLLPEIREPPHILSEVDAALKVKQMKADVDEYLKTRQQGSSFLTELKQRLLLSPSEAASAGTRYNVPLINSLVLYAGMQAIQQLQARTSHGQSAGNTVPLAVFLVDAALDIYQTLILDLDTEGRYLFLNAVANQLRYPNNHTHYFSFVLLYLFAESNQQEIIQEQITRVLLERLIVNRPHPWGLLITFIELIKNPRYNFWNRSFIRCAPEIEKLFESVARSCGGLKPMDDSMVSGWVSESAH >Potri.004G012601.1.v4.1 pep chromosome:Pop_tri_v4:4:775776:778486:1 gene:Potri.004G012601.v4.1 transcript:Potri.004G012601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012601.v4.1 MWTELSATISSLAVAFFMFEKYLNFFPYTIRWYAERNFRKVVNFVNPYVEISFHEFTSERLKRSDAFFAIQNYLGTISTENARRLKADVVKDSQSVVLSMDANEEVTDVFNGVRVWWASGKIPPQSKSISLFPGSEEKRYYKLTFHKHYREIITKSYVQHVLKKGKEIAVKNRQRMLYTNNPSKDWHGWKSTKWGNIVFEHPSTFDTLAMDTAKKEEIKKDLIKFSKGKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMANLLDYDVYDLELTTIKDNSELRKLLIETKGKSIIVIEDIDCSLDLTGQRKKRKEKDDDEADKEKDPISKMKREAEEESGSGSKVTLSGLLNVIDGIWSACGGERIIIFTTNYVDKLDPALIRRGRMDKHIVMSYCCFEAFKVLAKNYLDIESHELFGKIEELFVETKMSPADVADNLMPKSDEQDEETCLKRLVEALEASKEEARKKSEEEAMLKTKDGVVTEG >Potri.004G012601.2.v4.1 pep chromosome:Pop_tri_v4:4:775777:778442:1 gene:Potri.004G012601.v4.1 transcript:Potri.004G012601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012601.v4.1 MWTELSATISSLAVAFFMFEKYLNFFPYTIRWYAERNFRKVVNFVNPYVEISFHEFTSERLKRSDAFFAIQNYLGTISTENARRLKADVVKDSQSVVLSMDANEEVTDVFNGVRVWWASGKIPPQSKSISLFPGSEEKRYYKLTFHKHYREIITKSYVQHVLKKGKEIAVKNRQRMLYTNNPSKDWHGWKSTKWGNIVFEHPSTFDTLAMDTAKKEEIKKDLIKFSKGKDYYAKIGKAWKRGYLLYGPPGTGKSSMIAAMANLLDYDVYDLELTTIKDNSELRKLLIETKGKSIIVIEDIDCSLDLTGQRKKRKEKDDDEADKEKDPISKMKREAEEESGSGSKVTLSGLLNVIDGIWSACGGERIIIFTTNYVDKLDPALIRRGRMDKHIVMSYCCFEAFKVLAKNYLDIESHELFGKIEELFVETKMSPADVADNLMPKSDEQDEETCLKRLVEALEASKEEARKKSEEEAMLKTKDGVVTEG >Potri.015G085350.1.v4.1 pep chromosome:Pop_tri_v4:15:10996246:10998938:1 gene:Potri.015G085350.v4.1 transcript:Potri.015G085350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085350.v4.1 MISLSKFIFSCIIIFLVKPTLSQQSYDSSNCDSLSQVQGSNYLCSPRISDCGAYIVYRAQENFDTISLISSLFNLNQSILLFVNKMAEDDSNWSLPLGQDIIIPITCHCLGGFSKFIFMYNMSKQDSFASVACKIFAGLVKVQSLIEENADFDGHDVPVGSLINVPIRCACPGSPQTRNGVKYLVTYPILEKDIIEGIASKFGLPEKDTRDANNLRQYEAIFPLTTLLVPAKDVPEVRWRNGSLFQEAHLLLEQ >Potri.017G042900.2.v4.1 pep chromosome:Pop_tri_v4:17:3011129:3013895:-1 gene:Potri.017G042900.v4.1 transcript:Potri.017G042900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042900.v4.1 MDCVVQNEIILSSLKNSGKVAVMASEEDDAPVWINDDGPFVVVTDPLDGSRNIDASIPTGTIFGIYRRLVELDHLPQEEKATLNSLQSGAKLVAAGYVLYSSATILCTSFGSGTHAFTLDHSTGDFIQTHIDIKIPPRGQIYSVNDARYFDWPEGLRQYIDTVRQGKGRYPKKYSARYICSLVADFHRTLLYGGVAMNPRNHLRLVYEANPLSFLVEQAGGRGSDGKSRILSMQPVKLHQRLPLFLGSLEDMEELESYGDVQQKVNPGYEV >Potri.017G042900.1.v4.1 pep chromosome:Pop_tri_v4:17:3011125:3013929:-1 gene:Potri.017G042900.v4.1 transcript:Potri.017G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042900.v4.1 MQSSTIPTFPTSPFPPKTLLFSSKTQSFPSKSSLLAQSCHLFRPKMASLSGFIVRSQTGTSSSGEKGFSTLNEYMGKGGIDVGDELVVLYDHIQYASKRIAALVASPFNSSLSKQSNFAGGDSGSGRDAPKPLDIVSNEIILSSLKNSGKVAVMASEEDDAPVWINDDGPFVVVTDPLDGSRNIDASIPTGTIFGIYRRLVELDHLPQEEKATLNSLQSGAKLVAAGYVLYSSATILCTSFGSGTHAFTLDHSTGDFIQTHIDIKIPPRGQIYSVNDARYFDWPEGLRQYIDTVRQGKGRYPKKYSARYICSLVADFHRTLLYGGVAMNPRNHLRLVYEANPLSFLVEQAGGRGSDGKSRILSMQPVKLHQRLPLFLGSLEDMEELESYGDVQQKVNPGYEV >Potri.003G126600.6.v4.1 pep chromosome:Pop_tri_v4:3:14599253:14615513:1 gene:Potri.003G126600.v4.1 transcript:Potri.003G126600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126600.v4.1 MGKGKPRAVEKGVLGQNLSLFSSSSSASSSSGSLHVPSAPVYYPNEEEFKDPLEYIYKIRPEAEPYGICKIVPPNNWKPPFALNLENFSFPTKTQAIHQLQVRPASCDSKTFELEYNRFLEEHCGKKLKRRVIFEGDELDLCKLFNGVKRFGGYDKVVKEKKWGEVSRFVRSGRKITECAKHVLCQLYQEHLYDYEEYYNRLNKGVARGCKRGVRKSKKSDDRMEFSRSKRRRKNSDGEKVKVCNKVEEEEEHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDTFGFVPGKRFTVEAFRRLADRAKRRWFGSGSTSRVQMEKKFWEIVEGSAGDVEVMYGSDLDTSVYGSGFPRVNDQRPESVEANLWDEYCGSPWNLNNLPKLKGSMLQAVHHNITGVMVPWLYVGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEAGAFEKVMRSSLPDLFDAQPDLLFQLVTMLNPSVLQDNRVPVYTVLQEPGNFVITFPRSYHGGFNFGLNCAEAVNFAPADWLPYGGFGAELYKNYHKTAVLSHEELLCVVAKQGDFDSKASPHIKKEMLRIYTEEKSWRERIWRSGIIKSSPMPLRKCPEYVGTEEDPACIICKQYLYLSAVVCHCRPSAFVCLEHWERICECKSRRRRLLYRHTLAELSDLVLASDSDRFEERSPSNDLRRQISCSNELNVLTKKVKGGHVSLAELAEQWLSRAKKFFQHPYLGDACATLLKEAEQFLWAGSEMDPVRDMVKSLNAAQMWAGGIRDCLFKVQNWSSGHSCDLERVPLEYIAELLNNDPVPCNEPGHLMLKERADEAWRLAQEIDSALSSCSEISVLESLYSRFSDLPIYIKESKKLSKKLSSAKIWIDSAKKCISETQSAAVDIDILYKLKSEMSELQIQLPETELLLDLVRKAESCQSQCKEILKAPFSLKNVEVLLQEFKNFTVNIPELMLLKQCHINAVSWISRCNDVLVNLHEREDQDKVVNELNCLLKDAASLRIQVDELPLVELELKKACCRVKVLKARDMKMPLDFIQELMMEAFVLQIEKEKLFVDLSGVIAAVRCWEERATKLLAQEAQMLDFEDIIRTSADIPVLLPLLDDIKDAVAMAKSWLENSAPFLVSSSSMVSGSVSSLKLEVLKELVSHSKLLKISLDERRMLEMVLKNCDEWQQDANSALQDARCILSTDDIDDGKNGCLFGKVEHLAAKMESITKAGLSLNFDFAEIPKLQNACSMLRWCSRALSFCTCAPSLEDVESLMEAAENLSVIGVSGTLWSALIDGVKWLRKALGVISLPGNFERFKLSDAEVVLAESQSIQISFPLMVNQLVNAIHKHKLWLEQAERFFSLNSEERSWSLILELKELGKASAFSCSELDLVLYEVEKVEKWKQQFVEIIGRFVDDRNSLSDALQKVKQSLDISLNIYGKSWSAKARILCMCYTGYNEEIFFSLVQCARTATICGALIQHKSIQIMLKFLFAIIANFLMMGQYPKMEVVP >Potri.003G126600.7.v4.1 pep chromosome:Pop_tri_v4:3:14599284:14615543:1 gene:Potri.003G126600.v4.1 transcript:Potri.003G126600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126600.v4.1 MGKGKPRAVEKGVLGQNLSLFSSSSSASSSSGSLHVPSAPVYYPNEEEFKDPLEYIYKIRPEAEPYGICKIVPPNNWKPPFALNLENFSFPTKTQAIHQLQVRPASCDSKTFELEYNRFLEEHCGKKLKRRVIFEGDELDLCKLFNGVKRFGGYDKVVKEKKWGEVSRFVRSGRKITECAKHVLCQLYQEHLYDYEEYYNRLNKGVARGCKRGVRKSKKSDDRMEFSRSKRRRKNSDGEKVKVCNKVEEEEEHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDTFGFVPGKRFTVEAFRRLADRAKRRWFGSGSTSRVQMEKKFWEIVEGSAGDVEVMYGSDLDTSVYGSGFPRVNDQRPESVEANLWDEYCGSPWNLNNLPKLKGSMLQAVHHNITGVMVPWLYVGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEAGAFEKVMRSSLPDLFDAQPDLLFQLVTMLNPSVLQDNRVPVYTVLQEPGNFVITFPRSYHGGFNFGLNCAEAVNFAPADWLPYGGFGAELYKNYHKTAVLSHEELLCVVAKGDFDSKASPHIKKEMLRIYTEEKSWRERIWRSGIIKSSPMPLRKCPEYVGTEEDPACIICKQYLYLSAVVCHCRPSAFVCLEHWERICECKSRRRRLLYRHTLAELSDLVLASDSDRFEERSPSNDLRRQISCSNELNVLTKKVKGGHVSLAELAEQWLSRAKKFFQHPYLGDACATLLKEAEQFLWAGSEMDPVRDMVKSLNAAQMWAGGIRDCLFKVQNWSSGHSCDLERVPLEYIAELLNNDPVPCNEPGHLMLKERADEAWRLAQEIDSALSSCSEISVLESLYSRFSDLPIYIKESKKLSKKLSSAKIWIDSAKKCISETQSAAVDIDILYKLKSEMSELQIQLPETELLLDLVRKAESCQSQCKEILKAPFSLKNVEVLLQEFKNFTVNIPELMLLKQCHINAVSWISRCNDVLVNLHEREDQDKVVNELNCLLKDAASLRIQVDELPLVELELKKACCRVKVLKARDMKMPLDFIQELMMEAFVLQIEKEKLFVDLSGVIAAVRCWEERATKLLAQEAQMLDFEDIIRTSADIPVLLPLLDDIKDAVAMAKSWLENSAPFLVSSSSMVSGSVSSLKLEVLKELVSHSKLLKISLDERRMLEMVLKNCDEWQQDANSALQDARCILSTDDIDDGKNGCLFGKVEHLAAKMESITKAGLSLNFDFAEIPKLQNACSMLRWCSRALSFCTCAPSLEDVESLMEAAENLSVIGVSGTLWSALIDGVKWLRKALGVISLPGNFERFKLSDAEVVLAESQSIQISFPLMVNQLVNAIHKHKLWLEQAERFFSLNSEERSWSLILELKELGKASAFSCSELDLVLYEVEKVEKWKQQFVEIIGRFVDDRNSLSDALQKVKQSLDISLNIYGKSWSAKARILCMCYTGYNEEIFFSLVQCARTATICGALIQHKSIQIMLKFLFAIIANFLMMGQYPKMEVVP >Potri.003G126600.1.v4.1 pep chromosome:Pop_tri_v4:3:14599276:14615479:1 gene:Potri.003G126600.v4.1 transcript:Potri.003G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126600.v4.1 MGKGKPRAVEKGVLGQNLSLFSSSSSASSSSGSLHVPSAPVYYPNEEEFKDPLEYIYKIRPEAEPYGICKIVPPNNWKPPFALNLENFSFPTKTQAIHQLQVRPASCDSKTFELEYNRFLEEHCGKKLKRRVIFEGDELDLCKLFNGVKRFGGYDKVVKEKKWGEVSRFVRSGRKITECAKHVLCQLYQEHLYDYEEYYNRLNKGVARGCKRGVRKSKKSDDRMEFSRSKRRRKNSDGEKVKVCNKVEEEEEHDQICEQCRSGLHGEVMLLCDRCNKGWHIYCLSPPLKQVPPGNWYCFECLNSDKDTFGFVPGKRFTVEAFRRLADRAKRRWFGSGSTSRVQMEKKFWEIVEGSAGDVEVMYGSDLDTSVYGSGFPRVNDQRPESVEANLWDEYCGSPWNLNNLPKLKGSMLQAVHHNITGVMVPWLYVGMLFSSFCWHFEDHCFYSMNYLHWGEPKCWYSVPGSEAGAFEKVMRSSLPDLFDAQPDLLFQLVTMLNPSVLQDNRVPVYTVLQEPGNFVITFPRSYHGGFNFGLNCAEAVNFAPADWLPYGGFGAELYKNYHKTAVLSHEELLCVVAKGDFDSKASPHIKKEMLRIYTEEKSWRERIWRSGIIKSSPMPLRKCPEYVGTEEDPACIICKQYLYLSAVVCHCRPSAFVCLEHWERICECKSRRRRLLYRHTLAELSDLVLASDSDRFEERSPSNDLRRQISCSNELNVLTKKVKGGHVSLAELAEQWLSRAKKFFQHPYLGDACATLLKEAEQFLWAGSEMDPVRDMVKSLNAAQMWAGGIRDCLFKVQNWSSGHSCDLERVPLEYIAELLNNDPVPCNEPGHLMLKERADEAWRLAQEIDSALSSCSEISVLESLYSRFSDLPIYIKESKKLSKKLSSAKIWIDSAKKCISETQSAAVDIDILYKLKSEMSELQIQLPETELLLDLVRKAESCQSQCKEILKAPFSLKNVEVLLQEFKNFTVNIPELMLLKQCHINAVSWISRCNDVLVNLHEREDQDKVVNELNCLLKDAASLRIQVDELPLVELELKKACCRVKVLKARDMKMPLDFIQELMMEAFVLQIEKEKLFVDLSGVIAAVRCWEERATKLLAQEAQMLDFEDIIRTSADIPVLLPLLDDIKDAVAMAKSWLENSAPFLVSSSSMVSGSVSSLKLEVLKELVSHSKLLKISLDERRMLEMVLKNCDEWQQDANSALQDARCILSTDDIDDGKNGCLFGKVEHLAAKMESITKAGLSLNFDFAEIPKLQNACSMLRWCSRALSFCTCAPSLEDVESLMEAAENLSVIGVSGTLWSALIDGVKWLRKALGVISLPGNFERFKLSDAEVVLAESQSIQISFPLMVNQLVNAIHKHKLWLEQAERFFSLNSEERSWSLILELKELGKASAFSCSELDLVLYEVEKVEKWKQQFVEIIGRFVDDRNSLSDALQKVKQSLDISLNIYGKSWSAKARILCMCYTGYNEEIFFSLVQCARTATICGALIQHKSIQIMLKFLFAIIANFLMMGQYPKMEVVPWIEEKDLLQQIVDQAHECKKCLREILDFALSYLDKDLTVVCEKLTIALKATEVAGVCDNQDKCDLELASARNSWRVRVKRLLEDAQKPTMQHIQRHMKEGLAMSIPPEDYIWQKLAELKDIGLQWADHAKKVDNTLPVVFKFCYCSIIWCFLFCGQVATDSGALGLDKVFELISEGENLPIYLEKELKLLRARSMLYCICRKPFDSRVKVACKLCGEWYHIDCIKLLTPPKIYFCAACEPQTEGLSVSLLADHERSTSAKSVEPKTPSPRHTKSRKKPGETESNVMQKMLAFENHSNVFIHSSGIDQLGWQNRKPLRRAAKKRTELKILSQFFHR >Potri.006G237900.4.v4.1 pep chromosome:Pop_tri_v4:6:23998913:24002498:-1 gene:Potri.006G237900.v4.1 transcript:Potri.006G237900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237900.v4.1 MWGVSKNPSQLSNKKQEKGGLAESFRPENFIPGLIIGFIFGLFLDLSNPSKNQTKKKNFLPGKSQQQSLEDGDKELKMVFVVRQDLKMGAGKIASQCAHAATGMYAELIYSHRILLRQWEQSGQPKIVVTCKNQQEMNKIREAAENIGLPTFAVADAGRTQVSAGSKTVLAVGPGPKESVDSVTGKLRLL >Potri.019G098550.1.v4.1 pep chromosome:Pop_tri_v4:19:13541328:13542675:1 gene:Potri.019G098550.v4.1 transcript:Potri.019G098550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098550.v4.1 MHLKIQSGDKIPYDRIQMVLPGSEIPEWFSDKGIGSSLTIQLPTNCHQLKGIAFCLVFLLPLPSHEMLYEFDDHPEVRVYFDCHVKSKKGEHDGDDEEVFVSKKSYSIFNFLKTCDSDHMFLHYELELVNHFRKYSGNEVTCKFYHEVDNGSTKVGHEIRKPCELKSCGVYLHFDENLQAGTLLRIFLNKQKFRRKLREK >Potri.005G026300.1.v4.1 pep chromosome:Pop_tri_v4:5:1663043:1667102:1 gene:Potri.005G026300.v4.1 transcript:Potri.005G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026300.v4.1 MGCAPQILHMIFIATIFMLVLSQSAHLLISDASVDAQGCFKAPGLPRVDFVEESTEDVNDEKRIEKVSGEDENEGKEALIVQKFRALLGLKSSKRRSSSVEFVSPAPSPPPTIEAEPPAFAPAPAPAPKLPVHVHSYSPPHHNQQASPPHKIWREHEDKSRLETILVAVLGSIGAAFLFCVLGLIWFSGKFMEQRKKSARKTSKQRKRGRSRGESKYVSSQRSPSKVILNPALDLLYLNSLEKDLEQQTTYLNRIPETVNTLSNHNTPKSTIHERQESKQELVMKSDSDNASSSSRREIKHVHDNLESVMYESDGGNSSSGDKIIPAECHSSDDESFHSFVDSRSSNARLSNASAGSLNDISEISPSNALKIMPSPPPAPKNPNIQEETLVHDKNFTTQSPSLPLPPPPPPPSPPLTLAQRMCIPPSSTSLQSTRMASEASSSSTLPNLSPPRKPGASSGSNRTPRNDLPTSPQKSPKPTGALLSIPPPPCPPPFRKGNNSSANGPPPPPCPPPFLKGNNGSATGPPPSPSDQLSQYTPLGKDGAPLTKLKPLHWDKVRAAPDKSMVWDKIRSSSFELDEEMIESLFGYNFQSTEKNDEAKSKTPSPSKHVLEPKRLQNITILSKAINATAEQVCGALMRGDGLCLQQLEALAKMVPTEEEEAKLFGYKGNINELGSAEKFVRVVLSIPFAFQRVEAMLYRETFEDEVVHLRNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRVSDSIMGRINQKNKTKTVEEREEDYRRMGLDLVSGLSTELYNVRKTATIDLDVLASSVSNLSDGMEKLKQLVTKDSLTDEKSRNFVHTTKSFLNYAARNLKELHEDEGRVMLHVREITEYFHGYVSREESNPLRIFVIVRDFLGMLDHVCKELKSLKVPSSPNPLAPFR >Potri.019G053150.1.v4.1 pep chromosome:Pop_tri_v4:19:8901142:8901873:1 gene:Potri.019G053150.v4.1 transcript:Potri.019G053150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053150.v4.1 MWCLLGRQNDGASLFALDTILAVFESASVWWTLAKLDWNDICVVSEACAHTAGLLVRRQQNLFDMLWREQVKVVKQYIGPKILFFFTIGPSLLDIFVLMINFILFTFQSLDLEREERKMQ >Potri.013G118400.1.v4.1 pep chromosome:Pop_tri_v4:13:12651541:12652691:1 gene:Potri.013G118400.v4.1 transcript:Potri.013G118400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118400.v4.1 MVSICRRLCFFAFILILGMWAYEVASRELQEPSMSARHEQWMATFGKVYADAAETERRFEIFKDNVEYIESFNTTGNKPYKLSVNKFADQTNEELKVARNGYRRPLQTRPMKVTSFKYENVTAVPATMDWRKKGAVTLIKDQGQCGSCWAFSTVAATEGINQLTTGKLVSLSEQELVDCDIQGEDQGCEGGLMEDGFEFIIKNHGITTEANYPYQAADGTCNSKMEASRIAKITGYESVPANSEAALLKAVANQPISVSIDAGGSDFQFYSSGDFTGQCGTELDHGVTAVGYGEISDGTKYWLVKNSWGTSWGEEGYIRMQRDTEAEEGLCGIAMDSSYPTA >Potri.009G123600.1.v4.1 pep chromosome:Pop_tri_v4:9:10302210:10305064:1 gene:Potri.009G123600.v4.1 transcript:Potri.009G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123600.v4.1 MDSPLLQSLQSPSTLFILASLFVSLILWFLIRKKLPYPPGPKGYPIIGNLGMVDQLTHRGLASLSKRYGGLCHLQMGGLHVVAVSTPEIAREVLQAQDVVFANRPANVAIVYLTYDRADMAFANYGPFWRQTRKICVMKLFSRKRAESWASVRDEVEFTVRRVSEKTGEPVNIGELVFALTRSITYKAAFGSSSNEGQEEFMEILQEFSKLFGAFNVADFFPWLGWVNAQDFNKRLAKARNSLDGFIDTIIDEHIAKKNNRKSLNAKDENEEVDSDMVDELLAFYSEDASKNDFDESRSTVKFNKDHIKALIMDVMFGGTETVASAIEWAIAELMKSPEDLKKVHQELMDVVGLNRTVHESDLEKLIYLKCAMKETLRLHPPIPLLLHETAKDTVLNGYRIPARSRVMINAWAIGRDPNAWEDPDKFNPSRFLDGKAPDFRGMDFEFLPFGSGRRSCPGMQLGLYALELAVAHLLHCFNWELPHGMKPAELDMNDVFGLTAPRAVRLVAVPTYRLNCPL >Potri.019G025600.2.v4.1 pep chromosome:Pop_tri_v4:19:3705917:3717062:1 gene:Potri.019G025600.v4.1 transcript:Potri.019G025600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025600.v4.1 MISLQSGGRPAAFGSARDDAVGGFQLNLEPSASSSAPLSLLPFKGRDSHHEVVDEEAHLEQAHKLYKSGNYKQALEHSSAVYERSPQRTDNLLLLGAIYYQLQDYDMCIAKNEEALRLQPRFAECYGNMANAWKEKGDIDRAIRYYLVSIELRPNFADAWSNLASAYMRKGRLNEASQCCRQALALNPLLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPSFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPKFPDAYLNLGNVYKALGMPQEAIMCYQRAVQTRPNYAMAFGNLASTCYERGQVELAILHYKQAIACDQRFLEAYNNLGNALKDVGRVDEALQCYNQCLSIQPNHPQALTNLGNIYMEWNMSAAAASCYKATLAVTTGLSAPFNNLAVIYKQQGNYSDAISCYNEVLRIEPMAADGLVNRGNTYKEIGRVSEAIQDYIHAINIRPTMAEAHANLASAYKDSGHVEAAIKSYRQALLLRPDFPEATCNLLHTLQCVCCWEDRDKMFDEVEGIIRRQISMSVLPSVQPFHAIAYPIDPVLALEISHKYAAHCSIIASRFALSPFKHPAPLPVKHERGSGRLRIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRQRTQFEAEHFIDVSAMSSDMIAKLINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPTCQHKRLDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGVNPDQIIFTDVAMKQEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTMPLEKMATRVAGSLCLATGLGDEMIVSSMKEYEERAVSLALNKPKLQSLTNRLKAFRMTCPLFDTKRWVRNLERAYFKMWNIHCSGQQPHHFKVAENDFDCPYDR >Potri.019G025600.7.v4.1 pep chromosome:Pop_tri_v4:19:3705932:3717015:1 gene:Potri.019G025600.v4.1 transcript:Potri.019G025600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025600.v4.1 MISLQSGGRPAAFGSARDDAVGGFQLNLEPSASSSAPLSLLPFKGRDSHHEVVDEEAHLEQAHKLYKSGNYKQALEHSSAVYERSPQRTDNLLLLGAIYYQLQDYDMCIAKNEEALRLQPRFAECYGNMANAWKEKGDIDRAIRYYLVSIELRPNFADAWSNLASAYMRKGRLNEASQCCRQALALNPLLVDAHSNLGNLMKAQGLVQEAYSCYLEALRIQPSFAIAWSNLAGLFMESGDLNRALQYYKEAVKLKPKFPDAYLNLGNVYKALGMPQEAIMCYQRAVQTRPNYAMAFGNLASTCYERGQVELAILHYKQAIACDQRFLEAYNNLGNALKDVGRVDEALQCYNQCLSIQPNHPQALTNLGNIYMEWNMSAAAASCYKATLAVTTGLSAPFNNLAVIYKQQGNYSDAISCYNEVLRIEPMAADGLVNRGNTYKEIGRVSEAIQDYIHAINIRPTMAEAHANLASAYKDSGHVEAAIKSYRQALLLRPDFPEATCNLLHTLQCVCCWEDRDKMFDEVEGIIRRQISMSVLPSVQPFHAIAYPIDPVLALEISHKYAAHCSIIASRFALSPFKHPAPLPVKHERGSGRLRIGYVSSDFGNHPLSHLMGSVFGMHNRENVEVFCYALSPNDGTEWRQRTQFEAEHFIDVSAMSSDMIAKLINEDKIQILINLNGYTKGARNEIFAMQPAPIQVSYMGFPGTTGATYIDYLVTDEFVSPTRFSHIYSEKLVHLPHCYFVNDYKQKNLDVLDPTCQHKRLDYGLPEDKFIFACFNQLYKMDPEIFNTWCNILKRVPNSALWLLRFPAAGEMRLRAYAVAQGVNPDQIIFTDVAMKQEHIRRSALADLFLDTPLCNAHTTGTDILWAGLPMVTMPLEKMATRVAGSLCLATGLGDEMIVSSMKEYEERAVSLALNKPKLQSLTNRLKAFRMTCPLFDTKRWVRNLERAYFKMWNIHCSGQQPHHFKVAENDFDCPYDR >Potri.013G014500.1.v4.1 pep chromosome:Pop_tri_v4:13:931375:933785:1 gene:Potri.013G014500.v4.1 transcript:Potri.013G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014500.v4.1 MESLSLIIGALGNIISVLLFLSPVGVFRRILKHRSTEEFESLPYICTLLNSSLWTYYGIIKTGEFLVATINGFGVVVEIVLLTLFLVFAPPRIRAKTAMLIGILDVGFLAAAILVCQLLLQGDMKIDIIGFLGAGLNVVMYGSPLAAMKTVVRTKSVEYMPFLLSLFVFLNGGVWTCYAVLKKDWFLGVANVAGFFLGAAQLILYAIYWKPKSSKNTASKDSEHGSQHEHLLPSSSHFRENNEA >Potri.009G127900.2.v4.1 pep chromosome:Pop_tri_v4:9:10524620:10526231:-1 gene:Potri.009G127900.v4.1 transcript:Potri.009G127900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127900.v4.1 MAGYTEGDEENLFRANKIQRFATTPTAEVVKNEKRMKKQKSTKLSEILGLEDLVSLTVWRASVAELIGTAVLVFTLDTIVISTIRIETKIPNLILSILAAIIITILILATFPISGGHINPLVTFAALLTGLVSLSKAIIYILAQCVGGIFGALALKAVVNREIQQTFSLGGCTLTVVAPGPDGQTVIGLETSQALWLEIICGFVFLFASVWMAFDQRQAKALGRVNVFIIIGIVVGLLVYISTTVTATKGYAGAGLNPARCLGPAIVRGGHLWDGHWVFWVGPGIACVLFALYTKLIPPQLSHTIE >Potri.017G098750.1.v4.1 pep chromosome:Pop_tri_v4:17:11054189:11054680:1 gene:Potri.017G098750.v4.1 transcript:Potri.017G098750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098750.v4.1 MKSLSITLILLVVLLINCGNEQMLVTAQCEKVLHGGGCKPDECSKGCQAMYGAAAMGLCFFFQKPNDTCLCRYHC >Potri.001G229100.1.v4.1 pep chromosome:Pop_tri_v4:1:24837625:24841316:1 gene:Potri.001G229100.v4.1 transcript:Potri.001G229100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229100.v4.1 MCKKQARKAKSYQLFSFLIKSPMATFHCLSSSYYHYKNCNTGFVDFRFKRSSFVVRCERASCCNQGSSCVERDEKRRLRILIAGGGIGGLVLALAAKHRGYDVKVFEKDLSAVRGEGRHRGPIQLLSSALAVLQVIDGNVAKQIMDAGCVTGDRINGVADGVSGKWFIKFNLLNHAMKRGLPVTSVICRMALQDILLNGVGLDIVRNKSKVVDFMQDSNKVTVILEDGQHYDGDVLVGADGIWSKVRSKLFGQEDAKYSDYTCYSGLANFVPHYVDSIGYRVFLGLNQYFVASDVGNGKMQWYAFHKEPPNNTDPPRGKKKRLLNLFGHWCKEVVELISETQEDMILRRDIYDRDMIYTWGIGRVTLLGDAAHPMQPNLGQGGCMAIEDCYQLILELDKVVKSGLDFQQSNEISTMLRRYEKKRMFRISTVHAASRMASKALTAYRPYMEFGSGSLSYISSPKITKPSVLVVRAFLQIFMPQFMIWMMAGHGFW >Potri.008G088401.1.v4.1 pep chromosome:Pop_tri_v4:8:5534449:5535172:-1 gene:Potri.008G088401.v4.1 transcript:Potri.008G088401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088401.v4.1 MEGPSRCHFYQHNTIIAKGMIPFKAKELWHVGPCVWKQLPRPRTWKGVLVEFVKRKWGLLFI >Potri.001G164800.6.v4.1 pep chromosome:Pop_tri_v4:1:14011577:14012039:1 gene:Potri.001G164800.v4.1 transcript:Potri.001G164800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164800.v4.1 MGFLHKLWDETLAGPMPDTGLGKLRKYNSFPVRLSPPVNASSANSNGEMNVTRSITIIRTNSSNLRNLSVDPGSAPESPAPPSTPGTPLTLRCKLGRCVFMLIWAFGHVCMQLGHRAGISEE >Potri.001G164800.2.v4.1 pep chromosome:Pop_tri_v4:1:14011577:14012062:1 gene:Potri.001G164800.v4.1 transcript:Potri.001G164800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164800.v4.1 MGFLHKLWDETLAGPMPDTGLGKLRKYNSFPVRLSPPVNASSANSNGEMNVTRSITIIRTNSSNLRNLSVDPGSAPESPAPPSTPGTPLTPGTPRGDFRRMTSRKSSV >Potri.010G111800.3.v4.1 pep chromosome:Pop_tri_v4:10:13172764:13178516:1 gene:Potri.010G111800.v4.1 transcript:Potri.010G111800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111800.v4.1 MGKSREADPQSLQKYGVPLYSAAWLPYKELRSKLQPRDDHVDSSKQQEQQQEEEEEIPKPSASSHEHYVVLAGGGGEGSSGIPNAVLLSCFDFSSNSLASQPVAKLGLGSQLPYRMVVHPGGDGVICAFPSSCRFFDWDEVKDNEDHKLGLKPSKKVLTQLEDVGQQLALAFNSDSSVLAVGGEDGNLRVFKWPGMEIILNETQAHASLKDLCFSPDGKFLVSMGQRDLGRVWDVTSSTAVASLPKENDEIFASCRFSQRSDQAQVLYIAAITDKGSSIVTWNTSSWKRMSSKHVAREPVSSFNVSPDGKLLAMGMTQGDVLLVNSTNLRVQTLVRKAHLGIVTALAFSHDSRALVSASMDSSARVTLIEDNRSGGSSMQIIIFIIILAIAAYFLKNEVLPFLV >Potri.010G111800.2.v4.1 pep chromosome:Pop_tri_v4:10:13172512:13178526:1 gene:Potri.010G111800.v4.1 transcript:Potri.010G111800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111800.v4.1 MGKSREADPQSLQKYGVPLYSAAWLPYKELRSKLQPRDDHVDSSKQQEQQQEEEEEIPKPSASSHEHYVVLAGGGGEGSSGIPNAVLLSCFDFSSNSLASQPVAKLGLGSQLPYRMVVHPGGDGVICAFPSSCRFFDWDEVKDNEDHKLGLKPSKKVLTQLEDVGQQLALAFNSDSSVLAVGGEDGNLRVFKWPGMEIILNETQAHASLKDLCFSPDGKFLVSMGQRDLGRVWDVTSSTAVASLPKENDEIFASCRFSQRSDQAQVLYIAAITDKGSSIVTWNTSSWKRMSSKHVAREPVSSFNVSPDGKLLAMGMTQGDVLLVNSTNLRVQTLVRKAHLGIVTALAFSHDSRALVSASMDSSARVTLIEDNRSAAGGSSMQIIIFIIILAIAAYFLKNEVLPFLV >Potri.008G174800.1.v4.1 pep chromosome:Pop_tri_v4:8:12090615:12102015:-1 gene:Potri.008G174800.v4.1 transcript:Potri.008G174800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174800.v4.1 MASAQVLPSSRKLEHLEAGKRRLEEFRKKKAAERAKKVASTNQPHALDVSLDQKQSLETEHVQLTDSDGVGMSDGPGRNVEPTGVSMNNGNPIDITERVEKSSSQNADPNIPSLSAYSTFFSGITQKHTNNYDSNRHDASGFVGSPNVRYGQETEKMNNDSGLYSRSKEESPYGISSDNYIASSFHGISSESSLYGRELFQSKVDNTSLKDSVVINDSSRFPTSLPSSASFEQQAFKPSYSSTLANAALQTSESTGFKSDAKISSNHVPQYSVTSESNNRRSRPSFLDSLNVSRSSSQSSFRHSEPEKSFIINTSKSNGIDALGSSAFQKLPVETETARIFSELMPSSMPSSFDHATPFSVSSTNGVTNANENSMERNHEFYLPKQNEDFSGLEQHIEDLTQEKFSLQRALEASRALAVSLAAENSSLTDSYNQQRGVVNQLKSDMEQLQEEIKAHLVELESAKIACANAQLECNAADERAKLLASEVIGLEEKALRLRSSELKLERQLESSQTEITSYKKKMSSLEKDRQDLQSTIDALQEEKKLLQSKLHKASVTEKSACVSRSAEKKNVATSTEDLGDIPETSGQETHDAASFPGSDASDFLNLPGSGQLNLEASSVYIPPDQMRMIQNINTIISELALEKELMQALTSESSQCSKLKDLNDELSRKLEIQTQRLELLTAQSMASENIPARLPDSHTVQDSTAYADEGDEVVERVLGWIMKLFPGGPSRRRTSKLL >Potri.009G170201.1.v4.1 pep chromosome:Pop_tri_v4:9:12933872:12934619:1 gene:Potri.009G170201.v4.1 transcript:Potri.009G170201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170201.v4.1 MKISYKEITHPIHPHHKIKLEYTEIPYSLYSCHQKCCAGQFDLHKACAVPPPIVNIPFYRNCNFEFHHHPPGEEKRICDASQLYLCRKLSGACHRCGGKGHGWSYRSRCKTYNLHLSCVKELLVESWEAMYLKVDENKVREMQSRIPSLKGTLANHHGGIRGGKVTRCCQMAGGAIRLIVSAILGDPTSIIAAVVGGFISK >Potri.004G081200.1.v4.1 pep chromosome:Pop_tri_v4:4:6695922:6708564:1 gene:Potri.004G081200.v4.1 transcript:Potri.004G081200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081200.v4.1 MASPPQPPPMTNAFALTPHKVSVCLLLQTYALPAQTTPPFPFSSVSQHNRLGLYLLALTKSYDDILEPKLEELLNQLKEISGSLGHWLIDHLTSRLSSLSAPDDLFSFFTEMRGILGGLDSVVMEDNQVILDPNSNLGLFLRRCILTFNLLSFEGLCHLLTNIGSYCKEAMSSCMPYETRLLDESSNDLETLSEYENMDLENFMFGKVNEEIEARKQASERVPFHLHGPKALSGLVEDIEVVADPSSKHGDKCGETSAYVHPPGNELRDVDPYGEIFLRTNWQVQGYLMEQADAIEKHDSSFSLNSFELVLRQIKKLAPELHRVHFLRYLNSLYHDDYFAALDNLHRYFDYSAGAEGFDSAPSSSGSNSSGRYEIGLIYLGMMHLHFGHPKQALQVLTEAVRFSQQQSNESCLAYTLAAICNVLSEFGCSSSAGVLGTSFSPITSMDTSLSVGQQLFVLLRESLKRAESLKLKRLVASNHLALAKFDLLHVQRPLLSFGPKASMKLRTFPINVCKELRLCSHLISEFGSESSTMTTDGVFSTTWLNNLPKSMDSPLLPQENAHRNNCDAHRFFTQLSSVPKSVLQLLGSSYIMRSTAWEMYGSAPLARINSLVYATCFADASSSSDAASVHAKLIQHLAVFRGYKEAFAALKVAEEKFLTVSKSVILLVKLQLLHECALHRGNLKLAQQVCDELGVLASSVSGVDKDLKTEASLRHARTLLAANQFSQAAAVAHSLFCMCYKFNMQVQNATVLLLLAEIHKKSGNAVLGLPYALASLSFCQSFNLDLLKASATLTLAELWLSLGSNHAKRALTLIHGALPMILGHGGLELQARAQITEAKCYLSDPSYSVFEDSEVVLDLLRQASDELQVLEYHELAAEAFYLMAHVFDKLGQLERREEAAASFKEHMMALENPQDEDDPLLNML >Potri.012G046400.4.v4.1 pep chromosome:Pop_tri_v4:12:4235985:4243185:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQRSYNERLSLKLGRIPYWCFPWCSQITEVDYDHMPFVTLMDVLTYSEATARFKCIIRVVAAFPWRAEDFSHHGTYRIRLTIEDPTARIHAFIYAEDGEKFFDGNPSIDVLTRKRDKLLGVAANNDGKGTNPASRNPPWVQCCLKSYYLDKNDIWGSRQYRIFGTKLAEGA >Potri.012G046400.5.v4.1 pep chromosome:Pop_tri_v4:12:4235986:4243186:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MGERDDYKFLKIKDAISAINQKVNLIGVVIELGFPKTTRGTGRTYGRYQKIIVFMVGGRLMNFSNFFCSVKIVDESYPKPGIPVNFFMAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQRSYNERLSLKLGRIPYWCFPWCSQITEVDYDHMPFVTLMDVLTYSEATARFKCIIRVVAAFPWRAEDFSHHGTYRIRLTIEDPTARIHAFIYAEDGEKFFDGNPSIDVLTRKRDKLLGVAANNDGKGTNPASRNPPWVQCCLKSYYLDKNDIWGSRQYRIFGTKLAEGA >Potri.012G046400.1.v4.1 pep chromosome:Pop_tri_v4:12:4235986:4243185:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MGERDDYKFLKIKDAISAINQKVNLIGVVIELGFPKTTRGTDFFCSVKIVDESYPKPGIPVNFFMAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQRSYNERLSLKLGRIPYWCFPWCSQITEVDYDHMPFVTLMDVLTYSEATARFKCIIRVVAAFPWRAEDFSHHGTYRIRLTIEDPTARIHAFIYAEDGEKFFDGNPSIDVLTRKRDKLLGVAANNDGKGTNPASRNPPWVQCCLKSYYLDKNDIWGSRQYRIFGTKLAEGA >Potri.012G046400.9.v4.1 pep chromosome:Pop_tri_v4:12:4235986:4243185:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MGERDDYKFLKIKDAISAINQKVNLIGVVIELGFPKTTRGTDFFCSVKIVDESYPKPGIPVNFFMAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQSKVIQ >Potri.012G046400.10.v4.1 pep chromosome:Pop_tri_v4:12:4235985:4243186:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQRSYNERLSLKLGRIPYWCFPWCSQITGNC >Potri.012G046400.7.v4.1 pep chromosome:Pop_tri_v4:12:4236742:4243080:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MGERDDYKFLKIKDAISAINQKVNLIGVVIELGFPKTTRGTDFFCSVKIVDESYPKPGIPVNFFMAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQRSYNERLSLKLGRIPYWCFPWCSQITGLTLLTSYMISVFASFSHFLYA >Potri.012G046400.6.v4.1 pep chromosome:Pop_tri_v4:12:4235986:4243186:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MGERDDYKFLKIKDAISAINQKVNLIGVVIELGFPKTTRGTGRTYGRYQKIIVFMVGGRLMNFSNFFCSVKIVDESYPKPGIPVNFFMAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQRSYNERLSLKLGRIPYWCFPWCSQITGNC >Potri.012G046400.2.v4.1 pep chromosome:Pop_tri_v4:12:4235986:4243186:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MGERDDYKFLKIKDAISAINQKVNLIGVVIELGFPKTTRGTDFFCSVKIVDESYPKPGIPVNFFMAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQRSYNERLSLKLGRIPYWCFPWCSQITGNC >Potri.012G046400.8.v4.1 pep chromosome:Pop_tri_v4:12:4236733:4243071:-1 gene:Potri.012G046400.v4.1 transcript:Potri.012G046400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046400.v4.1 MGERDDYKFLKIKDAISAINQKVNLIGVVIELGFPKTTRGTDFFCSVKIVDESYPKPGIPVNFFMAHMENLPTVGSPGDIIQLSRVVMKTHNDGVYALFNKKFSSFALYEGKNGEDFLPYQCSLFRPRDHDSKFIAGMRKWLVDFHVDEGVNTFSFLREMREGQHANLVCKILHVCEIAKNEWMALVWDGTDSPPISINTNPEHKMDEQLPLQLEPSPPLSRDLLRTFPTVGTILRVIIDKVNQKHVLHLLNTGEWVKFISILCEVHAGLWCGVLTPFTKLRYLSNEDHFVLACQRYLYVCLYNTYFKYKCN >Potri.019G078600.1.v4.1 pep chromosome:Pop_tri_v4:19:11934419:11936701:-1 gene:Potri.019G078600.v4.1 transcript:Potri.019G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078600.v4.1 MVLTFLHLAILGLSLVFIIFFHRRKLSGFRLPPGNTGWPIIGETLEFAMNHRRGCPEKFVIDRMCKFSPEVFKTSLFGEKIVVFCGASGNKFLFTSHNKYVTSWWPPSMAKVWFFPENQENTVEVCNKMRSVLPEFLKPDALQDYIPVMDSMAKEQLETDWSPHKQVQVFSLSKKYTFASACKVFMNLIDPEQLTRLSNPFTHIVAGLISIPIKIPGTAFSRGVEGGKIAREELLAIIRQRKRELLENKELKSIDLLTRLLLASYENGETNDEKQIANKIIGLLVASHDTMSTALTCILNYLAEYPQVYEDVLQEQMEIAKSKNPGELLNWDDVKKMKYSWCVACEAMRLSPPVPGTFREAITDFTYAGFTIPKGWKAFWTTYSTNRNPKYFPDPEKFDPSRFEGRGPAPYSFVPFGGGPRMCPGKEYARLATLVFMHNVVTKFKWRKVNPDEKIIYNPTPTPENGLLIHLEIINS >Potri.015G097200.1.v4.1 pep chromosome:Pop_tri_v4:15:11813571:11816016:-1 gene:Potri.015G097200.v4.1 transcript:Potri.015G097200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097200.v4.1 MAAAAAATSTSTTPIRKPSSIYPLPISKFTLPFAFNPKKPCSLHISNSLSKPASTTTASPATTEKFSFSRFAPDQPRKGADILVEALEREGVKDVFAYPGGASMEIHQALTRSNIIRNVLPRHEQGGVFAAEGYARASGLPGVCIATSGPGATNLVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIVREAFFLATSGRPGPVLIDIPKDIQQQLAVPNWDVPMKLPGYLSRLPKNPNELHLEQIVRLISESKKPVLYVGGGCLNSSEELRRFVELTGIPVASTLMGLGAFPVGDELSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCGDVKVALQGMNKILESRGAKGKMDFRAWREELNEQKMNNPLSFKTFGEAIPPQYAIQVLDELTDGNAIVSTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPDAIVVDIDGDGSFIMNVQELATIRVENLPIKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGDPSNESEIFPNMLKFADACGIPAARVTRKDDLRAAIQKMLDTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVIIEGDGRRKY >Potri.007G098000.2.v4.1 pep chromosome:Pop_tri_v4:7:12300816:12304697:1 gene:Potri.007G098000.v4.1 transcript:Potri.007G098000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098000.v4.1 MATKLKKGMPVVKAWLVISESGQSSIEEIGKHSMMKRTGLPARDLRALDPVLSYPSSILGRERAIVVSLEHIRAIITSKEVLLINYNNPLVVQFVQDLQHRIVFGNNNVTSPHQAMDHTGKEMEDAAEVTWGSPSLNTLHSSAKSLSKRRAPTCNFVNMKSQEIEGEGANSTINVSVAAGSKALPFEFKALEACLESACRCLETEVRDELENLLDDDNDMAEMYLTEKVVARAVDQISTIEEVYDGEREVDDESVDDCSETSTSVKPDIEELEMLLEAYFAQIDGILQKLSGMSEYVDDTEDFINIMLDDKQNQLLQMGVILSAANMILNAGIAVVGFFGMNIHVTLFDGKPIQFWETVIGTCGGCIALFLVLLGWGKREKILAL >Potri.007G098000.1.v4.1 pep chromosome:Pop_tri_v4:7:12300816:12304697:1 gene:Potri.007G098000.v4.1 transcript:Potri.007G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098000.v4.1 MATKLKKGMPVVKAWLVISESGQSSIEEIGKHSMMKRTGLPARDLRALDPVLSYPSSILGRERAIVVSLEHIRAIITSKEVLLINYNNPLVVQFVQDLQHRIVFGNNNVTSPHQAMDHTGKEMEDAAEVTWGSPSLNTLHSSAKSLSKRRAPTCNFVNMKSQEIEGEGANSTINVSVAAGSKALPFEFKALEACLESACRCLETETRTLEEEAYPALDELTSKISTLNLERVRQIKSRLVALSGRVQKVRDELENLLDDDNDMAEMYLTEKVVARAVDQISTIEEVYDGEREVDDESVDDCSETSTSVKPDIEELEMLLEAYFAQIDGILQKLSGMSEYVDDTEDFINIMLDDKQNQLLQMGVILSAANMILNAGIAVVGFFGMNIHVTLFDGKPIQFWETVIGTCGGCIALFLVLLGWGKREKILAL >Potri.005G139100.2.v4.1 pep chromosome:Pop_tri_v4:5:10954436:10955235:1 gene:Potri.005G139100.v4.1 transcript:Potri.005G139100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139100.v4.1 MAASTLQYHFAFFIFFLVIASFSPRIQAQVDETSLKAMRDALEWPMSMYYDESSGLNDGLVGFDDGVVDDEESSRRSLFWRRTHYYISYGALSANRIPCPARSGRSYYSHNCFASRAPVNPYSRGCSRIARCRR >Potri.011G158300.1.v4.1 pep chromosome:Pop_tri_v4:11:18398737:18400638:1 gene:Potri.011G158300.v4.1 transcript:Potri.011G158300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158300.v4.1 MAAAPELSYETFYLSLLSHSDPSYPISKAIYNPDNPSYSSILQAYIRNLRFNTSTTPKPLFILTALHESHVQAAVFCAKKHGLQMKIRSGGHDYEGTSYVSDVPFFILDMCNLRSIDVDIENETAWVQTGATLGEVFYSIAEKSSTHGFPAGVCPTVGVGGHLTGAGYGNLMRKYGLSVDNITDAILVDAEGRILDRKSMGEDLFWAIRGGGASFGVVVSYKLNLVRVAEVVTVFRVERTLEENATDIVFQWQHVAHKIDEDLFIRLLLDVVKDSPSGEKTVRASFIGFFLGDSERLLSITAESFPELGLLKSDCIETSWLESILFWADFPVGTPIDVMLSRTPPSLVYLKRKSDYVQEPISKDDLEGIWKKMIELEVPKMGFNPYGGKMSEISAAATPFPHRAGNLWKIQYQINWNEEGTEAVEYHLDLARQLYRYMTPFVSKNPRAAFLNYKDLDLGINHHGKASYIEGSAYGIKYFKGNFKRLVQIKTKVDPGNFFRHEQSVPTFPLIERM >Potri.009G140600.1.v4.1 pep chromosome:Pop_tri_v4:9:11256804:11259200:1 gene:Potri.009G140600.v4.1 transcript:Potri.009G140600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140600.v4.1 MHTKTDSDGTSLDTSWLPRSPRRPVYYVQSPSNHDVEKMSYGSSPTVSPPHLYYHCSPIHHSRESSTSRFSNSLKMPRSLSAWKHIRIDDRDGDDHDGDDDDEKDGGGGARKVRLYFIGLLFFVLLFTVFCLILWGASKAYKPEISVKSMVFENFYVQAGNDQTGVPTDMLSLNSTVKIHYRNPATFFAVHVTSTPLEIHYFQLKLASGQMKKFSQPRKSRRTVVTVVHSSQVPLYGGVPHLADAREHVNKVAVPLNLTFSLRSRAYILGRLVKSKFYNRVRCTVTLTGKKLGKPHNLTEACVYL >Potri.002G024100.3.v4.1 pep chromosome:Pop_tri_v4:2:1510934:1519590:-1 gene:Potri.002G024100.v4.1 transcript:Potri.002G024100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024100.v4.1 MGVPAFYRWLAEKYPLVVVDVIEEEPVVIEGVKIPVDTSRPNPNNIEYDNLYLDMNGIVHPCFHPEDRPSPTSFDEVFQCMFDYIDRLFVVVRPRKLLYMAIGEWSCTSYEMNQQRSRRFRAAKDAADAAAEEERLREEFEREGRKLPPKESSQTFDSNVITPGTEFMAVLLNYDPGWKKIKAILSDANVPGEGEHKIMSYIRLQSNLPGYNPNTRHCLYGLDADLIMLSLATHEVLFSILREIVFTPGQQDKCFLCGQMGHLAADCEGKAKRKAGEFDEKGNDVAVPKKPYQFLNIWILREYLEYEFRIPNPPFEIDLERIVDDFIFICFFVGNDFLPHMPTLEIREGAINLLMAVYKKEFRVLDGYLTDGSKPNLNRVEHFIQPVGSYEDKIFQKRARLHQRQAERIKREKAQARRGDDAEPQNQPESLVAVARFHGSRLASAPTPSPYQDDGTHSQTSDGKRSSVRRHKVARLSSTANIGAAIVEAENSLEIEVHENQEELKTKLKELLREKSDVFNSKNHGEDKVKLGEPGWKERYYEQKFSAKTLEEMEDIRRDVVLRYTEGLCWVMHYYYEGVCSWQWFYPYHYAPFASDLKDLGQLDISFELGSPFKPFNQLLGVFPAASSHALPVHYRKLMTDPSSPFIDFYPTDFEVDMNGKRYAWQGIAKLPLIDESRLLAEVQKIEHTLTEEEARRNSMMFDMHFVSSSHSLSESINLLDNHCKQLTDKERAEVKERINPELSDGMNGYLSPCAGDTHPPIFRSPVVSMEDILANEVICVIYRLPDPHTHITRPPAGVIFPKKTVDQGDLKPEPILWHEDSGRRPWENDCSLRPTTLFYSNGLHNHGHYGTLQPEIDYSHAGYPRSASPHMPTHYDHGYGSAGANPSYRRSRPQNERENQMSGPHPRHVYHPPEFHQNGRPRYPHGPVEHTSTGTSTYAYQGGYDAYQSYQSPGAGSHQQWGGRFPPPANHSNPSSNRFSALDHRGNKMPPQHGGANRRPQPPPGSANRRPHPPAGYGRQ >Potri.004G176300.1.v4.1 pep chromosome:Pop_tri_v4:4:19137628:19139552:-1 gene:Potri.004G176300.v4.1 transcript:Potri.004G176300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176300.v4.1 MSKEVSEVGQTHGKDYVDPPPAPLLDLGELKLWSFYRALIAEFIATLLFLYVTVATVIGHKSNKDPCDGVGLLGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLIRAVAYMVAQCLGAICGVGLVKAFMKKNYNSLGGGANTVAMGYNTGTALGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNNEKAWDDHWIFWVGPFVGALAAAAYHQYILRAAAIKALGSFRSNPAN >Potri.006G272700.2.v4.1 pep chromosome:Pop_tri_v4:6:26532254:26535458:1 gene:Potri.006G272700.v4.1 transcript:Potri.006G272700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272700.v4.1 MSSDPAPYAPRKILITGAAGFIASHVIRRLIKDYPDYKIVALDKLDYCSNLKNLATCRGSPNFKFVKGDIACADLVNHLLIAEDIDTIMHFAAQTHVDNSFGNSFEFTTNNIYGTHVLLEACKVTKKIKRFIHVSTDEVYGETDMETDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPIITTRGNNVYGPNQYPEKLIPKFILLALKGEQLPIHGDGSNVRSFLYCEDVAEAFDVILHKGAIGHVYNIGTKKERRVLDVAEDICKLYGLDPEKSINYVQDRPFNDHRYFLDDQKLKKLGWQESTPWEEGLKMTMEWYTKNPDWWDDVSAALHPHPRLSMIAQSNDDSWFSQKGLISDAKEAGKSDGSSGLKFLIYGKTGWIGGLLGKLCKDGGIAFEYGQGRLEDRKSILKDIKNINPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCKEHNLLMMNFATGCIFEYDQDHQEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLREYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVVSHNEILEMYRDYIDPEFKWMNFDLEEQAKVIVAPRSNNELDGTKLKNEFPETLSIKESILEYVFKPNKKT >Potri.006G272700.3.v4.1 pep chromosome:Pop_tri_v4:6:26532482:26535088:1 gene:Potri.006G272700.v4.1 transcript:Potri.006G272700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272700.v4.1 MSSDPAPYAPRKILITGAAGFIASHVIRRLIKDYPDYKIVALDKLDYCSNLKNLATCRGSPNFKFVKGDIACADLVNHLLIAEDIDTIMHFAAQTHVDNSFGNSFEFTTNNIYGTHVLLEACKVTKKIKRFIHVSTDEVYGETDMETDIGNPEASQLLPTNPYSATKAGAEMLVMAYHRSYGLPIITTRGNNVYGPNQYPEKLIPKFILLALKGEQLPIHGDGSNVRSFLYCEDVAEAFDVILHKGAIGHVYNIGTKKERRVLDVAEDICKLYGLDPEKSINYVQDRPFNDHRYFLDDQKLKKLGWQESTPWEEGLKMTMEWYTKNPDWWDDVSAALHPHPRLSMIAQSNDDSWFSQKGLISDAKEAGKSDGSSGLKFLIYGKTGWIGGLLGKLCKDGGIAFEYGQGRLEDRKSILKDIKNINPTHVFNAAGVTGRPNVDWCESHKVETIRTNVVGTLTLADVCKEHNLLMMNFATGCIFEYDQDHQEGSGIGFKEEDKPNFTGSFYSKTKAMVEELLREYENVCTLRVRMPISSDLSNPRNFITKITRYDKVVNIPNSMTVLDELLPISIEMAKRNCRGIWNFTNPGVVSHNEILEMYRDYIDPEFKWMNFDLEEQAKVIVAPRSNNELDGTKLKNEFPETLSIKESILEYVFKPNKKT >Potri.006G088100.1.v4.1 pep chromosome:Pop_tri_v4:6:6637205:6638379:-1 gene:Potri.006G088100.v4.1 transcript:Potri.006G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088100.v4.1 MSLLSFSSVLRLSLLLYLLASFPTTNGEQLILVNNCNESLWPGVLGGAGHSTPKDGGFLLGCGEEVVIDVPDKWSGRIWGRQGCSFDNDGKGSCDTGDCSGLLRCQGTGGTPPATMVEMTLGSSTSPLHFYDVSLVDGFNLPVSMAPVGGGIGCGVASCEVDLNICCPSALEVRSNGKIVGCKSACLAMQSAKYCCTGDYANPKTCKPTLFAHLFKAICPKAYSYAFDDSSSLNKCRASRYVITFCPPK >Potri.T011501.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:58003:58823:-1 gene:Potri.T011501.v4.1 transcript:Potri.T011501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011501.v4.1 MEWLHLGMVLIMEEETLGLGKDHSKVYWTDGKNIAGYYLRLDWEIEQQRNCFLTLAADRTRMPLNLAEMITVGTHVCK >Potri.T011501.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:57801:60500:-1 gene:Potri.T011501.v4.1 transcript:Potri.T011501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011501.v4.1 MEWLHLGMVLIMEEETLGLGKGKDHSKVYWTDGKNIAGYYLRLDWEIEQQRNCFLTLAADRTRMPLNLAEMITVGTHVCKVGSCLCFLNLPRTSEILGDNSMLT >Potri.T011501.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:57801:60500:-1 gene:Potri.T011501.v4.1 transcript:Potri.T011501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011501.v4.1 MEWLHLGMVLIMEEETLGLGKDHSKVYWTDGKNIAGYYLRLDWEIEQQRNCFLTLAADRTRMPLNLAEMITVGTHVCKVGSCLCFLNLPRTSEILGDNSMLT >Potri.018G082800.2.v4.1 pep chromosome:Pop_tri_v4:18:10039946:10042238:-1 gene:Potri.018G082800.v4.1 transcript:Potri.018G082800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082800.v4.1 MASTKVVSRLSTRLQPFLFKLNKKSLSAELSSLKSSSLPTPVSVPATTRRLSRSSRLPLQLSCVESMLPLHSAVASAKLISSLSSESDSWALVPQGVSMPL >Potri.008G213200.2.v4.1 pep chromosome:Pop_tri_v4:8:17332187:17333997:-1 gene:Potri.008G213200.v4.1 transcript:Potri.008G213200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213200.v4.1 MAILGSGSCTLLRLTPSNHDKRRIATFATQSPPRNDSAVNWVEATGGFFEKDSRPIMLFDGVCNLCNGGVRFVRDNDRNRRIRYEALQSEAGRKLLRRSGRAPDDISSVVLVERDRSHIKSEAVLKIMEYIDLPFPQLAFFLQIVPLFLRDFVYDNVANNRYTIFGRSESCEIYYD >Potri.005G208400.1.v4.1 pep chromosome:Pop_tri_v4:5:21262363:21263143:-1 gene:Potri.005G208400.v4.1 transcript:Potri.005G208400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G208400.v4.1 MKRTRNMGFPPKLALVFIGFFGWCVIATSVPDTNVTTVLCNSGVYSKGDPFGTSLAYVLAEIEAVTPTSKNYDYFNISPYPNAVAYGHAACNQNLTSSDCSSCLGTAKTAMFASCQSRIGARSVLHDCTIRYEQYPFSD >Potri.003G134000.3.v4.1 pep chromosome:Pop_tri_v4:3:15207690:15212590:-1 gene:Potri.003G134000.v4.1 transcript:Potri.003G134000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134000.v4.1 MGNCNSLPSSSSTTTTTTDHHNTPPNGVIKVLPPTATPPPRSQHHHHSSASGSTAVGRVLGRPREDVRNTYIFGRELGRGQFGVTYLVTHKETKQHFACKSIASRKLINRDDIEDVRREVQIMHHLTGHRNIVELKGAYEDQHSVNLIMELCEGGELFDRIIAKGHYSERAAANLCRQIVTVVHNCHTMGVIHRDLKPENFLFLSTHEDSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRNYGAEVDIWSAGVILYILLSGVPPFWGDTEQVIFDSILRGHIDFSSDPWPSISSSAKDLVKQMVRADPKERISAVEVLNHPWMREDGASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIMGLKEMFKSMDTDNNGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDKDKSGYITMEELEQALVKYNMGDTKTIKEIIAEVDTDHDGRINYEEFVAMMRKGNPELAPTRRRK >Potri.003G134000.5.v4.1 pep chromosome:Pop_tri_v4:3:15206455:15212635:-1 gene:Potri.003G134000.v4.1 transcript:Potri.003G134000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134000.v4.1 MGNCNSLPSSSSTTTTTTDHHNTPPNGVIKVLPPTATPPPRSQHHHHSSASGSTAVGRVLGRPREDVRNTYIFGRELGRGQFGVTYLVTHKETKQHFACKSIASRKLINRDDIEDVRREVQIMHHLTGHRNIVELKGAYEDQHSVNLIMELCEGGELFDRIIAKGHYSERAAANLCRQIVTVVHNCHTMGVIHRDLKPENFLFLSTHEDSPLKATDFGLSVFFKPGDVFKDLVGSAYYVAPEVLRRNYGAEVDIWSAGVILYILLSGVPPFWGDTEQVIFDSILRGHIDFSSDPWPSISSSAKDLVKQMVRADPKERISAVEVLNHPWMREDGASDKPLDIAVLTRMKQFRAMNKLKKVALKVIAENLSEEEIMGLKEMFKSMDTDNNGTITFEELKAGLPKLGTKLSESEVRQLMEAADVDGNGTIDYIEFITATMHMNRMEREDHLYKAFEYFDKDKSGYITMEELEQALVKYNMGDTKTIKEIIAEVDTDHDGRINYEEFVAMMRKGNPELAPTRRRK >Potri.002G232600.2.v4.1 pep chromosome:Pop_tri_v4:2:22507194:22519792:-1 gene:Potri.002G232600.v4.1 transcript:Potri.002G232600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G232600.v4.1 MDIPSLAVVLQAALSPNPDERKDAEQRLDQFQYTPQHLVRLLQIIVDNNCNMAVRQVASIHFKNFIAKNWAPHEPGELPKISASDKAMVRDHILVFLVRVPPLLRVQLGECLKTMIHADYPEQWPHLLDWIKLNLQDQQVYGALFVLRILSRKYEFKSDEERTPVYRIVEETFSHLLNLFNKLVQIPNPSLEVADLIKLICKIFWSSIYLEIPKQLLDPNVFNAWMVLFLNVLERPVPVEGQPVDPELRKSWGWWKVKKWTVHILNRLYTRFGDLKLQNPENKAFAQMFQNNFAAKILECHLNLLNVIRAGGYLPDRVINLILQYLSNSISKNSMYNLLQPRLDILLFEIVFPLMCFNDNDQKLWDEDPHEYVRKGYDIIEDLYSPRTASMDFVSELVRKRGKENLQKFILFIVEIFKRYDEAPVEYKPYRQKDGALLAIGALCDKLKQTDPYKSELERMLVQHVFPEFSSPAGHLRAKAAWVAGQYAHINFSDQNNFRKSLHSVVSGLRDPELPVRVDSVFALRCFVEACKDLNEIRPILPQLLDEFFKLMNEVENEDLVFTLETIVDKFGEEMAPYALGLCQNLAAAFWRCMNTAEADDEADDPGALAAVGCLRAISTILESVSRLPDLFVQVEPTLLPIMRRMLTTDGQEVFEEVLEIVSYMTFFSPIISTEMWSLWPLMIEALAEWAIDFFPNILVPLDNYISRGTAHFLACRELDYQQSLWNMISSIMADGNLEDSDIEPAPKLIEVVFQNCKGQVDQWVEPYMRITVQRLRRTDKLYLKCLLMQVVADALYYNAALTLSILHRLGVATEIFTLWFQMLEQVKKSGVRANFKREHDKKVCCLGLTSLLALPADQLPGDALGRVFRATLDLLVQYKDQLAEAAKEEEAEDLDDMDGFQTDDEDDDADESDKEMGVDAEDGDEAESIKLQKLAAQAKSFRPHDDDDDDSDDDYSDDEDLQSPIDEVDPFIFFVDTIKAMQASDPLRFQNLTQTLDFHFQALANGVAEHAEQRRVVIEKEKLEKASTAGAS >Potri.001G259904.1.v4.1 pep chromosome:Pop_tri_v4:1:27615524:27617372:1 gene:Potri.001G259904.v4.1 transcript:Potri.001G259904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259904.v4.1 MASPSRRHLVHAMVFGLLAINVAANIYDNEEPPRPPHDHEDPPLPYNHKNSLFLPSGLLHRGTPPPPPPRSPPPPNKKKTPPPPPKKKKTQSPPPPAKSPPPPPPAKSPPLPSASPPTSSSAPILPPLPSKISPVTPPSKVPPSPSPASNLSPSPPYTSPASPPRIPPIPSPSPTPNLSPSHPYTPPISSPKVSPSPSPTPNLSPSHPYTPPTSPPRISPIPSLSLTPNLSPLHPYTPPTSSPKVSRFPSPSPTPNLSPSHPYTPPTSPPRISPIPSPSPSPSPSPTPNLSPSHPYTPPTSSPKVSPSPSPTPNLSPSHPYTPPTYPPKISPFPSPRYTSPPPPPPILKSPPPPRFTLPPFFPFKSPPPPSPSPPPHILNSPPPPRFTLPPFFPIKSPPPPSPSSPSTNPQISTSSEVYISSLLPL >Potri.009G045900.1.v4.1 pep chromosome:Pop_tri_v4:9:5199583:5200533:-1 gene:Potri.009G045900.v4.1 transcript:Potri.009G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045900.v4.1 MEAKKTRGRQKVEMKRIVNEDDRLVTFSKRRSGIYKKLSELITLTGTEAAFLVFSPGGKPFSFGHPSIENVLDRFLENPSNADGTHELVEEYRRARIEELTQKYDEMQQQLDDDKEKGSKLKDKIQGNERGDWWNAPVEELNLQELIELEKKFEGLRMTLHSKMKDSSNGASSSHAPEVGNRSASHANDSNVASHGSNWGV >Potri.010G102400.1.v4.1 pep chromosome:Pop_tri_v4:10:12501479:12503604:1 gene:Potri.010G102400.v4.1 transcript:Potri.010G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102400.v4.1 MDSGRAIYRQSASRLTVVAHLFGILAFILMLVWLLHYRGGIEYHSDNPDRVFNAHPFFMFCGFIFLVGEAMMAYKTVLSRGIVKKSIHMILHLIALCLGIVGICAVFKYHDMIHAEDVYSLHSWIGLVTFCLFCLQWVLGFSAFMFPKAAPSTRASMLPWHVSGGRALLYMAICAALTGLMEKSTFLNLKNHREARLINFTGLFVLLFGIFVDLSVALARYV >Potri.006G142000.1.v4.1 pep chromosome:Pop_tri_v4:6:11966612:11972176:1 gene:Potri.006G142000.v4.1 transcript:Potri.006G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142000.v4.1 MDSGSNGEEPTSWEELYNINFIPSELFLKFRKEIEGIRVGVNLEFYNAPINEFQGKIVLKPLSPERRWKFTYEAIHQDVRILSKKIPVTKFLNLQVGIGHNFQLHSTGWNWKLTTCLGGDGISRIQNKTSFGLFPGMDLRFGWRADYVIPEITGALGTGEPLFNMNSGRLQASLDRVEAILTHPSRPVLDEKNFILNRTWQEKDAQHAWDLKDPDQ >Potri.003G141500.2.v4.1 pep chromosome:Pop_tri_v4:3:15730066:15733248:1 gene:Potri.003G141500.v4.1 transcript:Potri.003G141500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141500.v4.1 MKKTRQGFSVSNATVCQNNKIKNGHSRRRSLYELRFSLLFLLCYLLFLFCARLSIGLGNQGNLTLDDSSIPCSSDLKDTLCGDTYSRDANRSNNCTNGILLGVNLSTSNNNATVHTASRNQRCPLPETNRFEEVILSALGYGSSGLIMKNPEEVKAVKPKELPSGRLQHLTYLNFDEYRNLTKQEKGKVMPKQLANITHRLEPDGKEYNYASVTKGAKVLVYNKEAKGACNILGKDHDKYLRNPCLTREKFVVIELSEETLVDVVKIANFEHYSSNFKDFELSGSLTYPTRTWTQLGNFVAANVKHIQDFKLPEPKWVRYLKLNLLSHYGSEFYCTLSVVEVYGVDAIEQMLEDFFVPSEEPLPNELPEPNSTAAPPSKPELSLADKEDSGKVHNGSDNAGMETENIHGIQQSNPSVKKNPESINMIANPVTGVRQLLISRKPGDTVLKILMQKVKSLELSLTMLEEYIKEMNQRKGDILPKLDQELFRISLLVEKSRTEIRDLMEWKENTDKVLMEFESWKAGVSSSMDAMVRENTRLRLDVEKVANDQANLESKELAVLARSLVFVCFSIAMLVSAKVSKFLRAASCLGKACRTRRGWIMILVSSTMIIFVTLLSS >Potri.001G028200.1.v4.1 pep chromosome:Pop_tri_v4:1:2141029:2144085:1 gene:Potri.001G028200.v4.1 transcript:Potri.001G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028200.v4.1 MKAYLFSLAFLFLSLVQGLNTRGQGTTVKVFHVYSPQSPFRPSKPVSWEDSVLQMLAEDQARLQFLSSLVGRKSWVPIASGRQIVQSPTYIVKANVGTPAQTFLMALDTSNDAAWIPCNGCVGCSSTVFNSVTSTTFKTLGCDAPQCKQVPNPTCGGSTCTWNTTYGGSTILSNLTRDTIALSTDIVPGYTFGCIQKTTGSSVPPQGLLGLGRGPLSFLSQTQDLYKSTFSYCLPSFRTLNFSGTLRLGPAGQPLRIKTTPLLKNPRRSSLYYVNLIGIRVGRKIVDIPASALAFNPTTGAGTIFDSGTVFTRLVAPVYTAVRDEFRKRVGNAIVSSLGGFDTCYTGPIVAPTMTFMFSGMNVTLPPDNLLIRSTAGSTSCLAMAAAPDNVNSVLNVIANMQQQNHRILFDVPNSRIGVAREPCS >Potri.001G368300.2.v4.1 pep chromosome:Pop_tri_v4:1:38498020:38500470:1 gene:Potri.001G368300.v4.1 transcript:Potri.001G368300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368300.v4.1 MSPRKIHFCIFLFVLFSFKDPPFVLASTRNVSLEFPSFNLRNLTLLGDSYLRNGVIGLTRDVTVPSSSSGTVIYNNPISFFDQESNTTASFSTRFSFSIRSANENSFGDGLSFFLSQDNQTLGSPGGYLGLVNSSQLTKNKFVAIEFDTRLDAHFNDPNDHHIGLDIGSLNSIKTADPILQDIDLKSGDLITAWIDYKNDLRVLKVYMSYSSLKPINSLLTVHIDLSEYLKGDMYVGFSGSTEGSTELHLVTNWSFRISGFLPLSPNSDPYNVSDSSVTVTTPVIPISNAANKRHKSLGFGLGITGPAIFCTFLVAFGYISFRKWQKIERVKSLKAELMTGPKEFSYKELKLATRGFQSSRIIGRGAFGNVYKAFFKSSGTIAAVKRSKHSHEGKTEFLAELSIIACLRHKNLIPLQGWCVEKGEVLLVYEFMPYGSLDRMLYEEGSEREIFLNWAHRQKTAVGLASSLTYLHHECEQKVIHRDIKTSNIMLDGNFNARLGDFGLARIMEHDKSPVSTLTAGTMGYLAPEYLHCGKATEKTDVFSYGVVILELACGKRPIEKEPVGQKMVNLVDWVWGLYGEGKIIEAADSRLNGEFEEEEMRKLLLVGLSCANPDDTGRPTMRRVLQILNGEAEPIAVPRKKPSLTFSCGLAFTLENIVSDCD >Potri.007G009500.1.v4.1 pep chromosome:Pop_tri_v4:7:736345:738513:-1 gene:Potri.007G009500.v4.1 transcript:Potri.007G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009500.v4.1 MPDPIESYKPYTLTQTLQGHKSSISSVKFSSDGRFLGSSSADKTIKTYSLSPSSPPTSPITPLHDFHGHEQGVSDLAFSSDSRFIVSASDDKTLRLWDVTTGSTIKTLHGHTNYVFCVSFNPNSSMIVSGSFDETVRIWDVKSGKCLKVLPAHSDPVTCVDFNRDGSLIVSSSYDGLCRIWDSGTGHCIKTLIDDENPPVSFVKFSPNGNFILVGTLDNNLRLWNFSTGKFLKTYTGHANTKYCISPAFSITNGMYIVGGSEDNCMYLWELQSRKIVQKLEGHTDTVISVACHPTQNMIASGAIGSDKTVKIWTQEK >Potri.004G102100.5.v4.1 pep chromosome:Pop_tri_v4:4:8959450:8964919:1 gene:Potri.004G102100.v4.1 transcript:Potri.004G102100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102100.v4.1 MTAIISSPAATATTRTAIFNYDVQFGRFCNNKNNNKGCSFLSVHSFSPPKLSPKQPHRVKLVFLTKAADSSQASPSSTATASKTIITDDEFSLAKVSFGVIGLGFGISLLSYGFGAYFNILPGSEWSAIMLTYGFPLAIIGMALKYAELKPVPCLTYSDAEMLREKCATPILKQVRNDVIRYRYGDEQHLDEALKRIFQYGLGGGIPRRNAPILQMIREEVTEDGKYCLVLVFESKALQLSDFEKRQGKFTSFFGPDITAEIGKGENNLYEVRLVSNLNANASPS >Potri.012G127000.1.v4.1 pep chromosome:Pop_tri_v4:12:14319886:14320210:1 gene:Potri.012G127000.v4.1 transcript:Potri.012G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127000.v4.1 MGFSKTATFSGWLLILIGSVSFVGFLSAAVISKLLPLSDSPIISAIQNDRYYCFLVPLTLPILIIAVYSHWLSMKLFKHA >Potri.003G030100.1.v4.1 pep chromosome:Pop_tri_v4:3:3350378:3357862:1 gene:Potri.003G030100.v4.1 transcript:Potri.003G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030100.v4.1 MTMASLSSLSVDIATTFASLTSRHPTTLRSTILPFLLKPQRTHHPVLKTTPPFHRRRFSVSATATTSAPQTDDSDLTTKIPPDNRIPATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKTAGAEDIIMLNNGCLCCTVRGDLVRMIADLVKRKKDKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAPLHLDEVKPKGVVNEAVEQIAYADRVIVNKTDLVGEQEIASLVQRIRNINRMANLKRTQYGKVDLDYVLGIGGFDLERIESAVSDEDRKEDHASHDHDHDHHHHHHHDEHDHEHDHHDDHHSHDHTHDPGVSSVSIVCEGSLDLEKANFWLGTLLMERSEDIYRMKGLLSVQGMDERFVFQGVHDIFQGSPDRLWGPNEPRTNKIVFIGKNLDAQELEKGFKACLL >Potri.004G235200.3.v4.1 pep chromosome:Pop_tri_v4:4:23961856:23969722:1 gene:Potri.004G235200.v4.1 transcript:Potri.004G235200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235200.v4.1 MEQLKTIGRELAMGSQGGFGQSKEFLDLVKSIGEARSKAEEDRIVLREIESLKRRIVEPGIPKRKMKEYIIRLVYVEMLGHDASFGYIHAVKMTHDDNLVLKRTGYLAVTLFLNEDHDLIILIVNTIQKDLKSDNYLVVCAALNAVCKLINEETIPAVLPQVVELLGHSKEAVRKKAIMALHRFYHKSPSSVSHLLSNFRKKLCDSDPGVMGATLCPLFDLITIDANSYKDLVVSFVSILKQVAERRLPKVYDYHQLPAPFIQIRLLKILALLGSGDKQASEHMYTVVGDIFGKCDSSSNIGNAVLYECICCVSSIHPNPKLLEAAADVIARFLKSDSHNLKYMGIDALGRLIKLSPEIAEQHQLAVIDCLEDPDDTLKRKTFELLYKMTKSSNVEVIVDRMIDYMISINDNHYKTEIASRCVELAEQFAPSNHWFIQTMNKVFEHAGDLVNIKVAHNLMRLIAEGFGEDDDTADSQLRSSAVESYLHIIGEPKLPSVFLHVICWVLGEYGTADGKFSASYVTGKLCDVAESYSSDETVKAYAVTALMKIYAFEIAAGRKLDILPECQSLIEELSASHSTDLQQRAYELQAVIGLDVRAIGSIMPSDASCEDIEVDKCLSFLDGYVQQSLEKGAQPYIPENERSGMVNISNFRNQDQLEVASHGLRFEAYELPKPSVQSWTPPMSVASSTELVPVPEPSYYRETPQTASVPSSSDTGPSGLKLRLDGVQKKWGRPTYSSSSASTSNSSSLKAVNGVTQVDGVSTGNSKTHETSYDSRRPQVEISEEKQKLAASLFGGSSKTERRSSTGHKVAKASSHAAEKLHTPKSTAISSADNAVEKPNLVQPPPDLLDLGEPIVTSSAPSVDPFRQLEGLLDATQVPGTLGGTKAPDFMALYAETPASGQSAGVSHPLSLIRDEINLVPGLSNASSNTVHGGATAANPSQISKGPNVKDALEKDALVRQMGVTPSGQNPNLFKDLFG >Potri.007G022600.3.v4.1 pep chromosome:Pop_tri_v4:7:1725142:1731723:-1 gene:Potri.007G022600.v4.1 transcript:Potri.007G022600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022600.v4.1 MEFNISYHKATQSSNKDMPNGITYVTQPLDVPGYAFMVDRTMSLPKSDDEHPPLQVSDDGHLNIDFTGEMLGAKKLRKTMSHPANGSADDLVFGNEVRPHKEYVRNGSLPNETFVTISHVSLKTHPSQLPPPSRPPPALDVKKRDSCKSTPNCQSAASSGSAGDSSPPYFDVEVDASSSAAASAAAIKEAMEKAQVKLKSAKELMDRKRGGFQNHTKLGSKNDRKDREGRVVKIVDVSGSTKYEGVQGTCESEENGMDDRQKVKIADSLEGKRHQNAAKMSSDEKLGRESLSSQGSDKIDEASEWKEATQFFELVRTNVPRKVIDLSNNDNIFPQNTNIHEQGQKVKKVAMEASQQQLENGKKVQAVTADHELEEYAKNTKVSKPARDLGGSNGRSEAAKVAHREKGLEKKVQVAQEVLRVEDEDKLGMDKQSLETDKRRTRADGSQKHELMGEVPRAQSKHEAKQTAEDKEKEPWLKEAVRNAENEKLFIHKKEGGERRQRSTFEKEENEKKLKAALEQLENERRLKKALEQKEKEKRIKEARVREETEKKQGEAYETHEEEKRLRAALEQEENERRLKEALVKEEYERRLKEIHEKEEYERRLREAADREENERRQRRIREREENEKRLNKALEKEENERRIRENEGRLREAHQREEKEKRLKEARQREENEKRLKEAIEHENKKKQREANEKEGNEKKCKEVFENEGIGDTLEQETTEKQLEETNEQDESGKLRETPEGEVSEPGTCTSEEMGDASKETCNLENTEVKLKDGSENDKPGILNEMGENCRVVKQACKTEVNTNLGSTRLAGKHEGRNGKQVVTEEIAHEEIGKVPPELKISDKEEAVETVSTQAGGKTKVSGLAQGNLEHENNVVEDDAVSVYGDERTRKAGEAGNGTGRKSIEKTKKASQVESDIANQGKEFAQDRSDRRKNIPQAVAMNHEDRKENFMSTGAVKKSVETGRKIEAAQPANLEAKGSTPGSTQQLNTSERKVKNLNKTLSSEEKEVERMRREKELEMERLRKLEEEREREKEREKDRMAVDRAALDARERVHFEARDRAERAAVERAITEARERLEKACAEAREKSLTDNRSLEARLRERAAVERAAAEARERAFGKVMSERTAFEARERVERSVPDKFSASSRNGGMGPSSSPSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGSDSGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWSKFNSEER >Potri.007G022600.2.v4.1 pep chromosome:Pop_tri_v4:7:1725142:1731957:-1 gene:Potri.007G022600.v4.1 transcript:Potri.007G022600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022600.v4.1 MENLPHSQHPNMLSKKLFTNPSKTVYDDVFGGPPRFGVAPTLSPRVEDYSEIFGGFHAPRGASSSIPVLDLPLVDNEAAEDVFFDVRSCSGFDYNEVFGGFNGSDFAVSFEELMMKQSDGRDFSSDEAWTPEDPEYLSEDSDNYTKNQCLSNGDSHESIDGIMEFNISYHKATQSSNKDMPNGITYVTQPLDVPGYAFMVDRTMSLPKSDDEHPPLQVSDDGHLNIDFTGEMLGAKKLRKTMSHPANGSADDLVFGNEVRPHKEYVRNGSLPNETFVTISHVSLKTHPSQLPPPSRPPPALDVKKRDSCKSTPNCQSAASSGSAGDSSPPYFDVEVDASSSAAASAAAIKEAMEKAQVKLKSAKELMDRKRGGFQNHTKLGSKNDRKDREGRVVKIVDVSGSTKYEGVQGTCESEENGMDDRQKVKIADSLEGKRHQNAAKMSSDEKLGRESLSSQGSDKIDEASEWKEATQFFELNTNIHEQGQKVKKVAMEASQQQLENGKKVQAVTADHELEEYAKNTKVSKPARDLGGSNGRSEAAKVAHREKGLEKKVQVAQEVLRVEDEDKLGMDKQSLETDKRRTRADGSQKHELMGEVPRAQSKHEAKQTAEDKEKEPWLKEAVRNAENEKLFIHKKEGGERRQRSTFEKEENEKKLKAALEQLENERRLKKALEQKEKEKRIKEARVREETEKKQGEAYETHEEEKRLRAALEQEENERRLKEALVKEEYERRLKEIHEKEEYERRLREAADREENERRQRRIREREENEKRLNKALEKEENERRIRENEGRLREAHQREEKEKRLKEARQREENEKRLKEAIEHENKKKQREANEKEGNEKKCKEVFENEGIGDTLEQETTEKQLEETNEQDESGKLRETPEGEVSEPGTCTSEEMGDASKETCNLENTEVKLKDGSENDKPGILNEMGENCRVVKQACKTEVNTNLGSTRLAGKHEGRNGKQVVTEEIAHEEIGKVPPELKISDKEEAVETVSTQAGGKTKVSGLAQGNLEHENNVVEDDAVSVYGDERTRKAGEAGNGTGRKSIEKTKKASQVESDIANQGKEFAQDRSDRRKNIPQAVAMNHEDRKENFMSTGAVKKSVETGRKIEAAQPANLEAKGSTPGSTQQLNTSERKVKNLNKTLSSEEKEVERMRREKELEMERLRKLEEEREREKEREKDRMAVDRAALDARERVHFEARDRAERAAVERAITEARERLEKACAEAREKSLTDNRSLEARLRERAAVERAAAEARERAFGKVMSERTAFEARERVERSVPDKFSASSRNGGMGPSSSPSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGSDSGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWSKFNSEER >Potri.007G022600.1.v4.1 pep chromosome:Pop_tri_v4:7:1725118:1731957:-1 gene:Potri.007G022600.v4.1 transcript:Potri.007G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022600.v4.1 MENLPHSQHPNMLSKKLFTNPSKTVYDDVFGGPPRFGVAPTLSPRVEDYSEIFGGFHAPRGASSSIPVLDLPLVDNEAAEDVFFDVRSCSGFDYNEVFGGFNGSDFAVSFEELMMKQSDGRDFSSDEAWTPEDPEYLSEDSDNYTKNQCLSNGDSHESIDGIMEFNISYHKATQSSNKDMPNGITYVTQPLDVPGYAFMVDRTMSLPKSDDEHPPLQVSDDGHLNIDFTGEMLGAKKLRKTMSHPANGSADDLVFGNEVRPHKEYVRNGSLPNETFVTISHVSLKTHPSQLPPPSRPPPALDVKKRDSCKSTPNCQSAASSGSAGDSSPPYFDVEVDASSSAAASAAAIKEAMEKAQVKLKSAKELMDRKRGGFQNHTKLGSKNDRKDREGRVVKIVDVSGSTKYEGVQGTCESEENGMDDRQKVKIADSLEGKRHQNAAKMSSDEKLGRESLSSQGSDKIDEASEWKEATQFFELVRTNVPRKVIDLSNNDNIFPQNTNIHEQGQKVKKVAMEASQQQLENGKKVQAVTADHELEEYAKNTKVSKPARDLGGSNGRSEAAKVAHREKGLEKKVQVAQEVLRVEDEDKLGMDKQSLETDKRRTRADGSQKHELMGEVPRAQSKHEAKQTAEDKEKEPWLKEAVRNAENEKLFIHKKEGGERRQRSTFEKEENEKKLKAALEQLENERRLKKALEQKEKEKRIKEARVREETEKKQGEAYETHEEEKRLRAALEQEENERRLKEALVKEEYERRLKEIHEKEEYERRLREAADREENERRQRRIREREENEKRLNKALEKEENERRIRENEGRLREAHQREEKEKRLKEARQREENEKRLKEAIEHENKKKQREANEKEGNEKKCKEVFENEGIGDTLEQETTEKQLEETNEQDESGKLRETPEGEVSEPGTCTSEEMGDASKETCNLENTEVKLKDGSENDKPGILNEMGENCRVVKQACKTEVNTNLGSTRLAGKHEGRNGKQVVTEEIAHEEIGKVPPELKISDKEEAVETVSTQAGGKTKVSGLAQGNLEHENNVVEDDAVSVYGDERTRKAGEAGNGTGRKSIEKTKKASQVESDIANQGKEFAQDRSDRRKNIPQAVAMNHEDRKENFMSTGAVKKSVETGRKIEAAQPANLEAKGSTPGSTQQLNTSERKVKNLNKTLSSEEKEVERMRREKELEMERLRKLEEEREREKEREKDRMAVDRAALDARERVHFEARDRAERAAVERAITEARERLEKACAEAREKSLTDNRSLEARLRERAAVERAAAEARERAFGKVMSERTAFEARERVERSVPDKFSASSRNGGMGPSSSPSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGSDSGWQPIPLTEVITSAAVKKAYRKATLCVHPDKLQQRGASIQQKYICEKVFDLLKEAWSKFNSEER >Potri.007G022600.4.v4.1 pep chromosome:Pop_tri_v4:7:1725142:1731723:-1 gene:Potri.007G022600.v4.1 transcript:Potri.007G022600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022600.v4.1 MEFNISYHKATQSSNKDMPNGITYVTQPLDVPGYAFMVDRTMSLPKSDDEHPPLQVSDDGHLNIDFTGEMLGAKKLRKTMSHPANGSADDLVFGNEVRPHKEYVRNGSLPNETFVTISHVSLKTHPSQLPPPSRPPPALDVKKRDSCKSTPNCQSAASSGSAGDSSPPYFDVEVDASSSAAASAAAIKEAMEKAQVKLKSAKELMDRKRGGFQNHTKLGSKNDRKDREGRVVKIVDVSGSTKYEGVQGTCESEENGMDDRQKVKIADSLEGKRHQNAAKMSSDEKLGRESLSSQGSDKIDEASEWKEATQFFELVRTNVPRKVIDLSNNDNIFPQNTNIHEQGQKVKKVAMEASQQQLENGKKVQAVTADHELEEYAKNTKVSKPARDLGGSNGRSEAAKVAHREKGLEKKVQVAQEVLRVEDEDKLGMDKQSLETDKRRTRADGSQKHELMGEVPRAQSKHEAKQTAEDKEKEPWLKEAVRNAENEKLFIHKKEGGERRQRSTFEKEENEKKLKAALEQLENERRLKKALEQKEKEKRIKEARVREETEKKQGEAYETHEEEKRLRAALEQEENERRLKEALVKEEYERRLKEIHEKEEYERRLREAADREENERRQRRIREREENEKRLNKALEKEENERRIRENEGRLREAHQREEKEKRLKEARQREENEKRLKEAIEHENKKKQREANEKEGNEKKCKEVFENEGIGDTLEQETTEKQLEETNEQDESGKLRETPEGEVSEPGTCTSEEMGDASKETCNLENTEVKLKDGSENDKPGILNEMGENCRVVKQACKTEVNTNLGSTRLAGKHEGRNGKQVVTEEIAHEEIGKVPPELKISDKEEAVETVSTQAGGKTKVSGLAQGNLEHENNVVEDDAVSVYGDERTRKAGEAGNGTGRKSIEKTKKASQVESDIANQGKEFAQDRSDRRKNIPQAVAMNHEDRKENFMSTGAVKKSVETGRKIEAAQPANLEAKGSTPGSTQQLNTSERKVKNLNKTLSSEEKEVERMRREKELEMERLRKLEEEREREKEREKDRMAVDRAALDARERVHFEARDRAERAAVERAITEARERLEKACAEAREKSLTDNRSLEARLRERAAVERAAAEARERAFGKVMSERTAFEARERVERSVPDKFSASSRNGGMGPSSSPSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNVMIFHEEFFELSNLLCISHAYLTYNSNLIFLAEISRNSGC >Potri.013G146900.1.v4.1 pep chromosome:Pop_tri_v4:13:14401552:14405771:1 gene:Potri.013G146900.v4.1 transcript:Potri.013G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146900.v4.1 MSRPVTTLSSPTLKIPSFSRLSLQTHKSQTISCHSLAIKARPLCSHNQTLKRFGLFLDSRDRKLGFRVNAENGEGESERDGVFDDGERGESTMPERFRYLTKEAPDPPVRWPWFVALGFLIYAWRAVLFELGNWKRGVIAIIGFVGYLLKLLLAVVFHFIGDPITSLIRCIETAIYTFQAFYSGIVRSAPVPELTLIIMLASAVLAIGEAAAPNSVSRQPYLLTVSGLIGYAAVRNYISEPLFWTLLLGLYAFSRFIKKRDDVTSALPAAAVLAAIGEPWVRVLVMVSYLALAISYHSKQLAKGKEEVEVVTADTGSRVPVPLLCAALAIGIHVAAKWAGYRHLTWMLV >Potri.009G149300.6.v4.1 pep chromosome:Pop_tri_v4:9:11804873:11806543:-1 gene:Potri.009G149300.v4.1 transcript:Potri.009G149300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149300.v4.1 MDSTPRRSGGGVFEGIYKLIMRRNSIYVTFVIAGAFAGERAVDYGVRKLWEYNNIGKRYEDIPVLGQRPTEE >Potri.009G149300.5.v4.1 pep chromosome:Pop_tri_v4:9:11804684:11806766:-1 gene:Potri.009G149300.v4.1 transcript:Potri.009G149300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149300.v4.1 MDSTPRRSGGGVFEGIYKLIMRRNSIYVTFVIAGAFAGERAVDYGVRKLWEYNNIGKRYEDIPVLGQRPTEE >Potri.001G153800.1.v4.1 pep chromosome:Pop_tri_v4:1:12870487:12873629:1 gene:Potri.001G153800.v4.1 transcript:Potri.001G153800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153800.v4.1 MASFAILPFLILPLQLDLSMAIVTPSSSLTTNGNTWLSPSGDFAFGFRQLGNSNLFLLAIWFDIIPARTIVWHSNGNNPLPRGSKVELTSSNLVLTNPKGLIIWQANPATPVISAAMLDTGNFVLKGNDSSTYIWETFKNPTDTILPTQTLDLGSKLFSRLTETNYSKGRFELNFSNGSLELNPIAWPSEFQYDHYYSSNTYNADPYESGYRLVFNESANVYIVKLNGEIAQFPDWNRINYTGDNYYRATLGFDGVFTQYSLPKNSTTNQGWWPVQSIPLDMCTAIFNDIGSGPCGFNSYCSIQENRKPTCDCPPGYVFLDPNNRLGGCKPTFPQGCGLDDGRGDPEELYEIRQFDNVNWPLNDYERLSPYNQTQCEKSCLYDCSCAVAIFDGRQCWKKRLPLSNGRYMRTGFSKTLFKVRKEVPPSGYCNVGSDKEKPVLLGALLGSSAFLNVILLVVTFLILFRRRERKVKKAGPDSSIYFSTLRSFTYKELEEATDGFMEELGRGSFGIVYKGFMRSSSGNAIAVKKLDKLAQEREREFRTEVSAIGETHHKNLVRLLGYCDEGSHRLLIYEFMSNGTLANFLFTLPRPDWHQRVKIALGVARGLLYLHGECEFPIIHCDIKPQNILLDDSFSARISDFGLAKLLLSNQTRTRTMIRGTRGYVAPEWFKNVPVTAKVDVYSFGVLLLEIICCRRSVVMDLEEGEEERAILTDWAYDCYIGGRIYHLVDNDKVAMDDKERLKKWVEVSMWCIQEEPSKRPTMKMVLEMLEGFLDVPPLQSPFPLSSSGELIRI >Potri.008G024300.9.v4.1 pep chromosome:Pop_tri_v4:8:1223402:1228189:1 gene:Potri.008G024300.v4.1 transcript:Potri.008G024300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024300.v4.1 MPSVGLRRTTRVFSVVKGVDGARVLRSGRRLWPESGDGKLRRSSDGDELYQTIIKNTNNHIKNQNSNSNLKYKENNGWTHDVKLKKDRGIVIAIAAPKKIKRVKSEKEKFGIVYSRKRKRLGGEKSENPEDKKFGIQFSRRQRRREGSESQESLVCTPQLVALVEGCSSSNGWLSCFLSSVLGHAMRVSLSLSELADFLLSDPISSVFASNGLHFVRDLPSDRIGICKFFETRQLLPMFSVDFSAIPSCFAFMHLSLFVKFRCLSLIPVNNSVDGDDDDDEIMSESKGDQSCTSTKTDFTQKITVVPKTDSYGCRVVLHPSVRASKLTGRNTQHRNGLNSRGIQKRRSSLRRGRPRNSSIGGLHKANGALVSDLISSRKIGIPFSSVVSKEKLRRSIQSSPAASIKELNCAAVGVKKGMNLSSCSANILITETDRCYRIEGATVMLEFTDSKEWVLVVKKNGLTRYSHLAQKIMRTCVSNRFTHDIIWNGDDNWKLEFPNRQDWFIFKELYKECSDHNVPASVSKAIPVPGVRGVLDNGDCGSAPFSRPYAYISSNNDEVARALSRSTASYDMDSEDEEWLKKYNKEFLAESDHLSEDNFELMIDALERSYFCDPDDFTDESAAAKYCKDFGRRELAKAVYGYWMKKRKQKRSPLLRVFQGHQAKKTPLIPKPVLRKRRSFKRPPSQFGRGKQPSLLQAMAAEKDALHSALRKVEEARNSVKRSVEAAMLKRQKAQLLMKNADLATFKAAMALKIAEAALAASSTDVAVTHFCD >Potri.008G024300.8.v4.1 pep chromosome:Pop_tri_v4:8:1223656:1229496:1 gene:Potri.008G024300.v4.1 transcript:Potri.008G024300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024300.v4.1 MPSVGLRRTTRVFSVVKGVDGARVLRSGRRLWPESGDGKLRRSSDGDELYQTIIKNTNNHIKNQNSNSNLKYKENNGWTHDVKLKKDRGIVIAIAAPKKIKRVKSEKEKFGIVYSRKRKRLGGEKSENPEDKKFGIQFSRRQRRREGSESQESLVCTPQLVALVEGCSSSNGWLSCFLSSVLGHAMRVSLSLSELADFLLSDPISSVFASNGLHFVRDLPSDRIGICKFFETRQLLPMFSVDFSAIPSCFAFMHLSLFVKFRCLSLIPVNNSVDGDDDDDEIMSESKGDQSCTSTKTDFTQKITVVPKTDSYGCRVVLHPSVRASKLTGRNTQHRNGLNSRGIQKRRSSLRRGRPRNSSIGGLHKANGALVSDLISSRKIGIPFSSVVSKEKLRRSIQSSPAASIKELNCAAVGVKKGMNLSSCSANILITETDRCYRIEGATVMLEFTDSKEWVLVVKKNGLTRYSHLAQKIMRTCVSNRFTHDIIWNGDDNWKLEFPNRQDWFIFKELYKECSDHNVPASVSKAIPVPGVRGVLDNGDCGSAPFSRPYAYISSNNDEVARALSRSTASYDMDSEDEEWLKKYNKEFLAESDHLSEDNFELMIDALERSYFCDPDDFTDESAAAKYCKDFGRRELAKAVYGYWMKKRKQKRSPLLRVFQGHQAKKTPLIPKPVLRKRRSFKRPPSQFGRGKQPSLLQAMAAEKDALHSALRKVEEARNSVKRSVEAAMLKRQKAQLLMKNADLATFKAAMALKIAEAALAASSTDVAVTHFCD >Potri.008G024300.7.v4.1 pep chromosome:Pop_tri_v4:8:1223656:1229464:1 gene:Potri.008G024300.v4.1 transcript:Potri.008G024300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024300.v4.1 MPSVGLRRTTRVFSVVKGVDGARVLRSGRRLWPESGDGKLRRSSDGDELYQTIIKNTNNHIKNQNSNSNLKYKENNGWTHDVKLKKDRGIVIAIAAPKKIKRVKSEKEKFGIVYSRKRKRLGGEKSENPEDKKFGIQFSRRQRRREGSESQESLVCTPQLVALVEGCSSSNGWLSCFLSSVLGHAMRVSLSLSELADFLLSDPISSVFASNGLHFVRDLPSDRIGICKFFETRQLLPMFSVDFSAIPSCFAFMHLSLFVKFRCLSLIPVNNSVDGDDDDDEIMSESKGDQSCTSTKTDFTQKITVVPKTDSYGCRVVLHPSVRASKLTGRNTQHRNGLNSRGIQKRRSSLRRGRPRNSSIGGLHKANGALVSDLISSRKIGIPFSSVVSKEKLRRSIQSSPAASIKELNCAAVGVKKGMNLSSCSANILITETDRCYRIEGATVMLEFTDSKEWVLVVKKNGLTRYSHLAQKIMRTCVSNRFTHDIIWNGDDNWKLEFPNRQDWFIFKELYKECSDHNVPASVSKAIPVPGVRGVLDNGDCGSAPFSRPYAYISSNNDEVARALSRSTASYDMDSEDEEWLKKYNKEFLAESDHLSEDNFELMIDALERSYFCDPDDFTDESAAAKYCKDFGRRELAKAVYGYWMKKRKQKRSPLLRVFQGHQAKKTPLIPKPVLRKRRSFKRPPSQFGRGKQPSLLQAMAAEKDALHSALRKVEEARNSVKRSVEAAMLKRQKAQLLMKNADLATFKAAMALKIAEAALAASSTDVAVTHFCD >Potri.004G126740.1.v4.1 pep chromosome:Pop_tri_v4:4:12729966:12735390:-1 gene:Potri.004G126740.v4.1 transcript:Potri.004G126740.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126740.v4.1 MDARDSTSNRDVSSSGGSGTTTGVGGGEEDPILSVTATLAKDAWLHFNSRRFNECLEVLYQLKQKKEDDPKVLRNIAIAEYSRDGYPDPKKLLEVLNNIEVLHNIAIAEYSRDGYPDPKKLLEVLNNIEIATLEENNQLMTPPENQMATLEDNNHLITTPEYQLATLEENNQLITTPEYQMETFEENNHSMPAPETQPNKRRKRKSMVWEHFTIETVSADSRRAFCKQCKQSFAYSTGSKVAGTSHLKRHIAKGTCLALLRNQGNQQTPGTPGMNGNGSMSDPPRRHYRSHSSAYISFDSDRCRPEIARMMIIHDYPLHMVEHSGFVTFLKTLEPRFDMVSFNIVQGDCVSSYLREKQNVMKFIEGLPGRVCLTLDVWTSSQSLGYVFITGHFIDGYWKPQRRILNVVMEPNPNSDAALSHAVATCLSDWSLEGKLFSITFNHPVGEPGLQNLRSLLSVKNPLIINGQLILGNCSARTLSNFAKEVLWAGREIIKKVRYSVKYVKTSEFHEQKFLELKEQLQVPSEKDLSLDNQAQWNTTYQMLVAASELKEVFSCLDTSDPDYKEAPSMEDWKRVDILCTYLKPLFDAANFLASRTNPNQKTFFHEVWKMHELYHSITSHGDPFVISLAEIMQEKIDKYLKECILSLAIAVVLDPRFRMKLIEFSFVKFYGKEASKYIKIVDDALHELFLEYAALPFPLTPAHAEDGNFENMKTEEISYNELTDFDAYVETTSQNKKSELEQYLEESLLPRFQEMDVLKWWEENKLQYPVLSTMARDILTMQVSTADPDSVFDTEIKELDEYRSSLRPETVEALVCAKDWLQYRSATEVSNALVKVEDLRS >Potri.004G126740.2.v4.1 pep chromosome:Pop_tri_v4:4:12730157:12735253:-1 gene:Potri.004G126740.v4.1 transcript:Potri.004G126740.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126740.v4.1 MDARDSTSNRDVSSSGGSGTTTGVGGGEEDPILSVTATLAKDAWLHFNSRRFNECLEVLYQLKQKKEDDPKIATLEENNQLMTPPENQMATLEDNNHLITTPEYQLATLEENNQLITTPEYQMETFEENNHSMPAPETQPNKRRKRKSMVWEHFTIETVSADSRRAFCKQCKQSFAYSTGSKVAGTSHLKRHIAKGTCLALLRNQGNQQTPGTPGMNGNGSMSDPPRRHYRSHSSAYISFDSDRCRPEIARMMIIHDYPLHMVEHSGFVTFLKTLEPRFDMVSFNIVQGDCVSSYLREKQNVMKFIEGLPGRVCLTLDVWTSSQSLGYVFITGHFIDGYWKPQRRILNVVMEPNPNSDAALSHAVATCLSDWSLEGKLFSITFNHPVGEPGLQNLRSLLSVKNPLIINGQLILGNCSARTLSNFAKEVLWAGREIIKKVRYSVKYVKTSEFHEQKFLELKEQLQVPSEKDLSLDNQAQWNTTYQMLVAASELKEVFSCLDTSDPDYKEAPSMEDWKRVDILCTYLKPLFDAANFLASRTNPNQKTFFHEVWKMHELYHSITSHGDPFVISLAEIMQEKIDKYLKECILSLAIAVVLDPRFRMKLIEFSFVKFYGKEASKYIKIVDDALHELFLEYAALPFPLTPAHAEDGNFENMKTEEISYNELTDFDAYVETTSQNKKSELEQYLEESLLPRFQEMDVLKWWEENKLQYPVLSTMARDILTMQVSTADPDSVFDTEIKELDEYRSSLRPETVEALVCAKDWLQYRSATEVSNALVKVEDLRS >Potri.004G126740.3.v4.1 pep chromosome:Pop_tri_v4:4:12730175:12735257:-1 gene:Potri.004G126740.v4.1 transcript:Potri.004G126740.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G126740.v4.1 MTPPENQMATLEDNNHLITTPEYQLATLEENNQLITTPEYQMETFEENNHSMPAPETQPNKRRKRKSMVWEHFTIETVSADSRRAFCKQCKQSFAYSTGSKVAGTSHLKRHIAKGTCLALLRNQGNQQTPGTPGMNGNGSMSDPPRRHYRSHSSAYISFDSDRCRPEIARMMIIHDYPLHMVEHSGFVTFLKTLEPRFDMVSFNIVQGDCVSSYLREKQNVMKFIEGLPGRVCLTLDVWTSSQSLGYVFITGHFIDGYWKPQRRILNVVMEPNPNSDAALSHAVATCLSDWSLEGKLFSITFNHPVGEPGLQNLRSLLSVKNPLIINGQLILGNCSARTLSNFAKEVLWAGREIIKKVRYSVKYVKTSEFHEQKFLELKEQLQVPSEKDLSLDNQAQWNTTYQMLVAASELKEVFSCLDTSDPDYKEAPSMEDWKRVDILCTYLKPLFDAANFLASRTNPNQKTFFHEVWKMHELYHSITSHGDPFVISLAEIMQEKIDKYLKECILSLAIAVVLDPRFRMKLIEFSFVKFYGKEASKYIKIVDDALHELFLEYAALPFPLTPAHAEDGNFENMKTEEISYNELTDFDAYVETTSQNKKSELEQYLEESLLPRFQEMDVLKWWEENKLQYPVLSTMARDILTMQVSTADPDSVFDTEIKELDEYRSSLRPETVEALVCAKDWLQYRSATEVSNALVKVEDLRS >Potri.018G100801.1.v4.1 pep chromosome:Pop_tri_v4:18:12087354:12088458:-1 gene:Potri.018G100801.v4.1 transcript:Potri.018G100801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100801.v4.1 MYICFLKGELYSLHFLLQVLVLMLLIAYMALPNVLLKRIIGRHALDHLADINFLPFSAKL >Potri.011G089500.3.v4.1 pep chromosome:Pop_tri_v4:11:11466654:11482845:-1 gene:Potri.011G089500.v4.1 transcript:Potri.011G089500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089500.v4.1 MEEIDETEAQPQVYMACILHGHRVGISYYDSSIRQLHVLEVWEDGSSDFPLIDLVKYQAKPLNIYTSTKCEESFLSALQRSDGTTEAPMVKLVKSSIFSYEQAWHRLIYLRVTGMDDGLNIKERVSYLSSMMDIGSDVQVRASGGLLAILESERIVDTLEQKEYGNASITIDSIVEISLNKFLKLDAAAHEALQIFQIDKHPSHMGIGRAKEGFSVFGMMNKCVTPIGKHLLRNWFLRPLLDVENLNGRLNAISFFLCSEELMVSLRETLKSVKDIKRILRKFDSPSSLSTVGDWTAFLKSVCALLHLGKIFEVGISENLQEHAKYLNLNIVEQACSCITTELAYVYELVIGVIDVNRSKEKGYGTIVKEGFCDELDELRQIYEELPDFLHKVTLLELAQLPHLGKEKLVPCIVYIHQIGYLLCIFEEKLEETSLLKPQDFEFMFFDVDGETKRYFYRTPKTRELDELLGDIYHKILDMERAITRDLVSHVLLFSDHLLKAINFAAELDCILSLAIVAHQNNYVRPILTEENLLDIQNGRHVLQEMTVDTFIPNDTKILHDERIIIITGPNYSGKSIYIKQVALIVFLSHIGSFVPADAATVGLTDRIFCATGSKLMTAEQSTFMIDLHQVGLMLRQTTSRSLCLLDEFGKGTLTEDGIGLLGGTVNYFVACDDPPKVLMSTHLTELFNDGCLPESEKVKFFTMSLLRPDNNSTDIKDIVFLYRLVPGHALLSYGLHCALLAGVPEEVIKRAAFILDTVGSSNYVERLCNENLSAQDQLNKETVDRMLEFDVFKGDINLFFQDIFPC >Potri.019G120900.3.v4.1 pep chromosome:Pop_tri_v4:19:14737824:14738854:-1 gene:Potri.019G120900.v4.1 transcript:Potri.019G120900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120900.v4.1 MKPQYLLSSFSIFLLFLHCPNTFAQSPAAAPAQAPAVVASPPAATPTQAAAPHGITNVTKILEKAGHFTIFIRLLRSTQDENRLFSALNDSSTGLTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVVPNSYLLPSSRP >Potri.001G374200.4.v4.1 pep chromosome:Pop_tri_v4:1:39233966:39236668:1 gene:Potri.001G374200.v4.1 transcript:Potri.001G374200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374200.v4.1 MAQLPPKIPAVTQNWPSFSHQTMSNYFSNAAATMENHQPCWVDEFLDFTSTRRGSHRRSISDCITFIETTNMPSLVEDQCHDNNNSSSCNNNHSSSNALISANLGFDKLDDDQLMSMFSHDMSLSLPPSSSNPSTPSDQNSKNDEKPSKPTDQHHHQEEGNTEQQQAAVAKTEPGEVESSCKQEPHQPPLPTSSNNGDTINDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHHQNLKKMNSSGHAAEAAREQSPNHEAIQCSEN >Potri.001G374200.3.v4.1 pep chromosome:Pop_tri_v4:1:39233971:39236667:1 gene:Potri.001G374200.v4.1 transcript:Potri.001G374200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374200.v4.1 MAQLPPKIPAVTQNWPSFSHQTMSNYFSNAAATMENHQPCWVDEFLDFTSTRRGSHRRSISDCITFIETTNMPSLVEDQCHDNNNSSSCNNNHSSSNALISANLGFDKLDDDQLMSMFSHDMSLSLPPSSSNPSTPSDQNSKNDEKPSKPTDQHHHQEEGNTEQQQAAVAKTEPGEVESSCKQEPHQPPLPTSSNNGDTINDPKRVKRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHHQNLKKMNSSGHAAEAAREQSPNHEAIQCSEN >Potri.001G374200.2.v4.1 pep chromosome:Pop_tri_v4:1:39234167:39236663:1 gene:Potri.001G374200.v4.1 transcript:Potri.001G374200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374200.v4.1 MAQLPPKIPAVTQNWPSFSHQTMSNYFSNAAATMENHQPCWVDEFLDFTSTRRGSHRRSISDCITFIETTNMPSLVEDQCHDNNNSSSCNNNHSSSNALISANLGFDKLDDDQLMSMFSHDMSLSLPPSSSNPSTPSDQNSKNDEKPSKPTDQHHHQEEGNTEQQQAAVAKTEPGEVESSCKQEPHQPPLPTSSNNGDTINDPKRVKSRILANRQSAQRSRVRKLQYISELERSVTTLQTEVSALSPRVAFLDHQRLILNVDNSALKQRIAALAQDKIFKDAHQEALKKEIERLRQVYHHQNLKKMNSSGHAAEAAREQSPNHEAIQCSEN >Potri.019G034400.1.v4.1 pep chromosome:Pop_tri_v4:19:4716351:4720648:-1 gene:Potri.019G034400.v4.1 transcript:Potri.019G034400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034400.v4.1 MSSQGQNTGRRPELRHDPVTNRWVIFSPARAKRPTDLKSKSPQNSNPNDNSSCPFCIGNEHECAPEIFRVPSDPNWKLRVIENLYPALNRNLEGPCDEKQDMGVPGLAMGGFGFHDVVIENPVHSVHLCDMEARDIGQVLLAYKKRIQQIATVESIKYVQVFKNHGASAGASMSHSHSQILALPIIPSSVSARFEGMNEYFEKTGKCSLCEVQAKELLIDESTHFISIVPFAATFPFEIWIIPRAHSSHFHEFDCEKMVDLGGLLKLMLRKMSLQLNNPPFNFMIQTSPLQVKESELFYSHWFLQIIPQLSGVGGFEIGTGCYINPVFPEDAAKVMREVNLPVEG >Potri.011G041112.1.v4.1 pep chromosome:Pop_tri_v4:11:3194561:3197290:1 gene:Potri.011G041112.v4.1 transcript:Potri.011G041112.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041112.v4.1 MHSKWFLELTSATRIPDSKSSVTPLFYCSSVKGLAMTIAYGNHAPTPTRPGGKHKGIIVCWFLGLGSLVSWNSMLTIGDYYYCLFPKYHPSRVLTLVYQPFALGTMAILAYNEAKINTRKRNIAGYILFAASTLMLMVVDLATSGGGGIGPFIGICAIVAAFGVADAHVQGGMVGDMAFMCPEFMQSFFAGLAASGALTYALRLITKALLFLGISIFLEFLCVLLYAYLFPKLPIVKYYRSKAASEGSKTVSADLAAAGIQTPANQEQAADVAKPPERLSNKQLLFQNIDYALDLYLIYVLTLSIFPGFVFENTGKHKLSNKWYPLVLIAMYNVLDLISRYIPLVPSLKLESRNGLLIAVLSRFLLIPAFYFTAKYGDQGWMIFLVSFLGLTNGYLTVCVLTIAPRGYTGPEANALGNLLVLFLLGGIFSGVALDWLWLIGKKKAF >Potri.004G026800.1.v4.1 pep chromosome:Pop_tri_v4:4:2043956:2046532:-1 gene:Potri.004G026800.v4.1 transcript:Potri.004G026800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G026800.v4.1 MPQRHSKNNNDLAFFTYDEKRKLGYGTQKERLGKDSIKPFDACCLCLKPFIDPMSCQKGHVFCKECILECLLAQKKDIQRKLAAHELQKKQEKDEEKEKFMLQKARELDAFDQQNHGAVPQYSDRNHSQDKPGFHGANSVKVTSYEEEALRTMKAFWLPSATPDAPVKVDAPSTSTVCPEGKEKLKLKSLFPVYFTEDTSEKKSSSSLDKTYICPSCKVTLTNTLSLVALSSCGHVFCKKCSDKFMAVDKVCLVCTKGCKERNLVNLGKGGTGFAGHGDHLMATEFKHLGSGSGLGLVRPAAKS >Potri.012G006501.1.v4.1 pep chromosome:Pop_tri_v4:12:264142:265138:1 gene:Potri.012G006501.v4.1 transcript:Potri.012G006501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006501.v4.1 MEVKEEEGTIKTAVIEVESSPSPDGTPIRPIFCLNKTNVENLRKLEETEDCFILDFDPSEIDTSIRRFSTLSVYSFSDDDGDGGDLSVVAEKGQVACRDYPHSRHLCIKFPFDKTPHESYCELCYCYVCDCAAPCKDWKDSESAHCNASEKIGDWKEQRWLKRKEEIKLPNS >Potri.009G160700.2.v4.1 pep chromosome:Pop_tri_v4:9:12435925:12436362:1 gene:Potri.009G160700.v4.1 transcript:Potri.009G160700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160700.v4.1 MNLSSERPVSVQRHWLTGEVVVKFSNIMAGDGREKEYVECGVMVCCGEKEGGEVEVREVSMVMEDMEGKNLTGKDGLVILQEAMERGERRKGKGGKEGKGRYEEFVERKKERKERMKKLEKALDMACIATGIAVFVSFWTFILFG >Potri.009G160700.1.v4.1 pep chromosome:Pop_tri_v4:9:12435251:12436443:1 gene:Potri.009G160700.v4.1 transcript:Potri.009G160700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160700.v4.1 MVALPTPPPPPPPPPTTTIQGGTISTLHPDILQTHILTLLDGPTLAATACASSELHALSTEDKLWQKICTSTWPSINDPIVRSIIPTFPSGHLSFFSDSYPLLHHNHHSSSFPTTSTECFVSAVDIYYKNVPIFSKVETTETFSDWFKSSPFRLDLLEPKEFVQTWIQNQPSEKELPVEQLEENITLSWILIDPKGRRAMNLSSERPVSVQRHWLTGEVVVKFSNIMAGDGREKEYVECGVMVCCGEKEGGEVEVREVSMVMEDMEGKNLTGKDGLVILQEAMERGERRKGKGGKEGKGRYEEFVERKKERKERMKKLEKALDMACIATGIAVFVSFWTFILFG >Potri.012G018900.2.v4.1 pep chromosome:Pop_tri_v4:12:2039166:2042169:1 gene:Potri.012G018900.v4.1 transcript:Potri.012G018900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018900.v4.1 MECYGEGRRLRGKVAATEAEQPRNHVLQSRNPRNTNMVMLRMMAICRGLGGVLSPILTSYIYSSRPATISVSGMTCGKRARPELEGLKQHERETQEASVDISGQKKTKLIKSENQEQEDEMSAVKMGVPRSGNLRHEWEGRFKTELPEVETAGTGTSNTVVSPETLKKMGAYDEVNNTASDPEEVEADGDILSTLSGPPQVPDASGLTAELGQAYALAIPAVKNALISFLITKNSEDLAFMITQANSAFASLYALNADCGSLYNNVRSYIRHCSEFSTAQKELQENMEAVELVSSYENISDQSTEAARAVSEIEANLEKAKEYLAPLEARYQETKALLDKLEAELGQRRTEVAYLEAEKTQQEEAMAETEIKHHTIAAQAKEAKETLKSILRRLEAATAAMEETRALLSTS >Potri.012G018900.3.v4.1 pep chromosome:Pop_tri_v4:12:2039166:2042169:1 gene:Potri.012G018900.v4.1 transcript:Potri.012G018900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018900.v4.1 MECYGEGRRLRGKVAATEAEQPRNHVLQSRNPRNTNMGMTCGKRARPELEGLKQHERETQEASVDISGQKKTKLIKSENQEQEDEMSAVKMGVPRSGNLRHEWEGRFKTELPEVETAGTGTSNTVVSPETLKKMGAYDEVNNTASDPEEVEADGDILSTLSGPPQVPDASGLTAELGQAYALAIPAVKNALISFLITKNSEDLAFMITQANSAFASLYALNADCGSLYNNVRSYIRHCSEFSTAQKELQENMEAVELVSSYENISDQSTEAARAVSEIEANLEKAKEYLAPLEARYQETKALLDKLEAELGQRRTEVAYLEAEKTQQEEAMAETEIKHHTIAAQAKEAKETLKSILRRLEAATAAMEETRALLSTS >Potri.012G018900.4.v4.1 pep chromosome:Pop_tri_v4:12:2039166:2042169:1 gene:Potri.012G018900.v4.1 transcript:Potri.012G018900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018900.v4.1 MTCGKRARPELEGLKQHERETQEASVDISGQKKTKLIKSENQEQEDEMSAVKMGVPRSGNLRHEWEGRFKTELPEVETAGTGTSNTVVSPETLKKMGAYDEVNNTASDPEEVEADGDILSTLSGPPQVPDASGLTAELGQAYALAIPAVKNALISFLITKNSEDLAFMITQANSAFASLYALNADCGSLYNNVRSYIRHCSEFSTAQKELQENMEAVELVSSYENISDQSTEAARAVSEIEANLEKAKEYLAPLEARYQETKALLDKLEAELGQRRTEVAYLEAEKTQQEEAMAETEIKHHTIAAQAKEAKETLKSILRRLEAATAAMEETRALLSTS >Potri.008G065200.1.v4.1 pep chromosome:Pop_tri_v4:8:3939341:3943987:-1 gene:Potri.008G065200.v4.1 transcript:Potri.008G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065200.v4.1 MDVIKTQQISARPIEKVVVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDATNSYAVPFEEDDKDPRIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLEIHGLFNDYVPNPVLVIIDVQPEELGIPTKAYYAVEEVKENATQKSQKVFVHVQSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLKEIRGYLDLVIEEKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLINNKMLNKEHEKAEDSKPVALPPVAGS >Potri.009G009700.2.v4.1 pep chromosome:Pop_tri_v4:9:1896253:1897265:1 gene:Potri.009G009700.v4.1 transcript:Potri.009G009700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009700.v4.1 MNKLTLPFLQLICINGKCNDDPHVGTHTCTGERPSPPSNIDCKPSGTLRCEGKSFPRFTCSPPVTSFTKASLTLNDFSEGEEVGAPSECDENFHEKTERVVALSTGWYAGGSRCGKMIKITARNGRSVLAKVVDECDSKNGCDSEHAGLPPCRNNIVDGSDAVWEALQLNKDLGVVDVTWSLA >Potri.002G050800.1.v4.1 pep chromosome:Pop_tri_v4:2:3416352:3418799:1 gene:Potri.002G050800.v4.1 transcript:Potri.002G050800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050800.v4.1 MLRNRSRAVTSKQTLMTDHNSAQSTSNQNCTKPTTSFLVSPRFKAFTFKGLPEAEPVMSPTSILDTTKPFFPFKTPFSNVINQPKSPKVFSEYKHSWDKSDSKGIGLALIDDTPSCGKVSIKENENHFSKPSNRTVLFGTKLRVQIPPQPNSILFPVESPKSPGDFGIETSRNSQFSASPSVHSGIQSKDSPRVFTGCISISEMELSEDYTCVITHGPNPTTTHIFDNCVVENCYSLSDMSKSEPRSFLSSCYTCKKNLQQKNDIYIYRGEKAFCSQECRYQEMLLDEIET >Potri.011G072766.1.v4.1 pep chromosome:Pop_tri_v4:11:6844936:6846620:-1 gene:Potri.011G072766.v4.1 transcript:Potri.011G072766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072766.v4.1 MTFGRALFRHENNQLNLDWPTRLKICIGIARGLAFLHEESKLKIAHRDIKATNVLLDGNLNPKISDFGLARLDEEEKSHISARVAGTIGYMAPEYALWGYLTDKADAYSFGVVALEIISGKNNNNYMPSNSSCVCLLDWACHLQQSGSFIETLGSEVHIEEAETCVQMRPLHLDLQCLR >Potri.001G327100.1.v4.1 pep chromosome:Pop_tri_v4:1:33626109:33630810:-1 gene:Potri.001G327100.v4.1 transcript:Potri.001G327100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G327100.v4.1 MDPKGSNSKNPHELPTFLTHTHPSPPHPPPQPHLQQPQQLHSQNQQQPNMGDNKPAEIKDFQIVVADKEEQKKQLAPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGTGTIPASALAAAGGAISQQGASLSAGLHQKIDDLGGSSSSRASWAMLGGNLGRPHHVTTAGLWPPVGGYGFQSSSNSTGPSTTNIGTEAAAAGGSSYLQKLGFPGFDLPGNNMGPMSFTSILGGGTQQLPGLELGLSQDGHIGVLSPQALNQIYQQMGHARVHQQQHQQQNPSKDDSQGSGQ >Potri.015G065500.1.v4.1 pep chromosome:Pop_tri_v4:15:9111983:9114699:-1 gene:Potri.015G065500.v4.1 transcript:Potri.015G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065500.v4.1 MGMQVRASYHHHQNACMPPTLPVPSTALSLCSSSLSPFIRSSGNKINLERLSPGLSFFSSKITRTKHGIAASSNVSAPLWDSWKPDNTPASSPSFSDIFWPSAGAFAAMAIFGKMDQILAPKGISMTIAPLGAVSAVLFVTPSSPGARKYNMFMAQIGCAAIGVIAFSLFGPSWLARSVALAASIAFMVYTRSTHPPAASLPLLFIDGARFHHLNFWYALFPGAAGCIILCLIQEIVCYLKDNLKF >Potri.004G097000.1.v4.1 pep chromosome:Pop_tri_v4:4:8350036:8356807:1 gene:Potri.004G097000.v4.1 transcript:Potri.004G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097000.v4.1 MHPLSTAAATSFLSTLLLFLHLTASSPSNYMINLSNCNQNFSCGALTNIAYPFTGGQRPPHCGPPEFWLTCYGNSQTTLTVKSLAYRVTQLDQTNQTLRLSPLDFYADRPCTYPSTSTTFDNGIFSLASNHETLTLFYGCKNLSDSVEEKFKLLCGMSGDSEEGFFMIGDHPSMNSCQTSFQVPFLRSRAQQLQAEGSSLLGEVLKEGFDVLYSDPYSADCQKCSKHSGRQCGFDGKVHICICNDQLCTGTGSSRFGKGLKIAIAISATVATIIAFSIIAIAMTFKLKNSQNVDKFEKFMMDHHRLTPRRYSYSDIKKITNSFTNILGQGGFGNVYKGKLTDGRLVAVKVLKDSKGDGEEFMNEVASISRTSHVNIVTLLGFCYEKTKRALIYEFMTKGSLDKFISYEGTPDTNFGLEWERFYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDEDFVPKISDFGLAKLCKSKESKVSMIGARGTVGYIAPEVFCRNFGGVSYKSDVYSYGMMVLEMVGERKKNYTGSSETSEMYFPDWFYKYLEPGEITLLHGGISEEEEEIIKKMIVVGLWCIQTIPSDRPSMTKVVEMFEGSLHSLQIPLKPLLSSPKRSAQDHSSTISSLPTVSSQGGGVNKLSVDESDL >Potri.005G163500.1.v4.1 pep chromosome:Pop_tri_v4:5:16125136:16126311:-1 gene:Potri.005G163500.v4.1 transcript:Potri.005G163500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163500.v4.1 MASFLSSHSLLQLVLLISIIQFSSAARTFSVSDQSQDPLLFQYHNGPLLTGEISINLIWYGKFKPSQRAIVSDFIASVSSRRPTTAQPSVATWWKATEKYYNLVKTKKTSPLLLSVGAQILDERYSLGKSLSSKQIVQLASKGGQKGAINVVLTSSDVAVEGFCSSKCGTHGSSLSAKKINGKRSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTATNPFENGYFQGPKEAPLEAASACPAVYGKGAYPGYAGDLLVDSTTGASYNAHGVNGRKYVLPALFDPSTSTCSTLI >Potri.001G440100.4.v4.1 pep chromosome:Pop_tri_v4:1:46582296:46585298:1 gene:Potri.001G440100.v4.1 transcript:Potri.001G440100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440100.v4.1 MLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAAAASGPGLGRAAGRGIPTAPLIQAQPGLAGPVRGVGGPSPGMMQPQFMRPPVPQHSAPPMTYPASSAPPPGGAPVVRPPGQMPPGPYPGQGPPMGRGPPPPGPLQFSARPPQGFPMPPQFAQRPMGMPPQGQAPMMRGPPAPPRPGMPAPPPPRPGMPPPPGGHVPVFGPPRPGMPPPPNAQQQQNQQQ >Potri.001G440100.2.v4.1 pep chromosome:Pop_tri_v4:1:46581460:46585301:1 gene:Potri.001G440100.v4.1 transcript:Potri.001G440100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440100.v4.1 MSMSKSSKMLQYINYRMRVTIQDGRQLVGKFMAFDRHMNLVLGDCEEFRKLPPAKGKKNNEEREDRRTLGLVLLRGEEVISMTVEGPPPPEESRAKAVSAAAASGPGLGRAAGRGIPTAPLIQAQPGLAGPVRGVGGPSPGMMQPQFMRPPVPQHSAPPMTYPASSAPPPGGAPVVRPPGQMPPGPYPGQGPPMGRGPPPPGPLQFSARPPQGFPMPPQFAQRPMGMPPQGQAPMMRGPPAPPRPGMPAPPPPRPGMPPPPGGHVPVFGPPRPGMPPPPNAQQQQNQQQ >Potri.010G009750.1.v4.1 pep chromosome:Pop_tri_v4:10:988763:992115:-1 gene:Potri.010G009750.v4.1 transcript:Potri.010G009750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G009750.v4.1 MGFPPISSLSFILFLFHFHSTISSSHFCALHQSPSLLQFKESFSIYSSASIRCHHPKTESWKEGTDCCLWDGVTCDLKTGHVTGLDLACSMLYGTLHSNSTLFSLHHLQKLDLSDNHFNSSHISSRFGHREAISFDKLVRNLTKLRELDLSWVHMSLVVPSSLMNLSSSLSSLKLNGCGLQGKLISLMGKFKHLQGNSRVTSFSSELGNLTQLIYLDLSINNLSDLLNNQLIGPIHSQLKTLSNLQYLYLYVNLFNGTIPSFLSALPSLYYLDLSNNHLHGTIPSLVFKQQNLEVLILASNSGLTGEISSSICKLRFLQVLDLSNNSLSGSPPQCLGNFSNMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNELEGKIPPSIINCTMILDISDNDFSGSLPTGYFNSLEAMMASDQNMIYMNATNYSSYVYSIEMTWKGVEIEFPKIQSTIRILDLSKNNFTGEIPKVIGKLKALQQLNLSHNSLTGQIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQFEGRIPSGEQFNTFTVTSFEGNLGLCGFQVLKECYGDEAPSFLPSSFDEGDDSTLFGDGFGWKALTMGYGCGFVFGVATGYDVFRTKKPSWFFRMVEGIWNLKSKKTKKNVGRCGARRN >Potri.018G151900.2.v4.1 pep chromosome:Pop_tri_v4:18:16200699:16204594:1 gene:Potri.018G151900.v4.1 transcript:Potri.018G151900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151900.v4.1 MMIHIIRRGSARSTISTVFDEVANAIHGANMQWRARSYAASVPSHMPQSHKAQKRVSKDDRRAMVESYVNKYRETHAGKFPSISDARKQVGGNYYFIRKIVQELEYKSKISSSNSGNKKKELPIVSEPLVKVKNMSTGGAMSDMRTQCDPRAVPLNDVGDTSYRYLEVEGGLQTCEKVVSQEFGNPISLEHSDTVGTQAASHIRKHETKNVSHPGLVEAENDQEKLSAFKRVMDADHSKHNEGSPYLYKHEKDISSTHTDGAELPKKSTVWGSLKSFADGLVSMWRKM >Potri.018G151900.1.v4.1 pep chromosome:Pop_tri_v4:18:16200651:16204607:1 gene:Potri.018G151900.v4.1 transcript:Potri.018G151900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151900.v4.1 MMIHIIRRGSARSTISTVFDEVANAIHGANMQWRARSYAASVPSHMPQSHKAQKRVSKDDRRAMVESYVNKYRETHAGKFPSISDARKQVGGNYYFIRKIVQELEYKSKISSSNSGNKKKELPIVSEPLVKVKNMSTGGAMSDMRTQCDPRAVPLNDVGDTSYRYLEVEGGLQTCEKVVSQEFGNPISLEHSDTVGTQAAASHIRKHETKNVSHPGLVEAENDQEKLSAFKRVMDADHSKHNEGSPYLYKHEKDISSTHTDGAELPKKSTVWGSLKSFADGLVSMWRKM >Potri.012G023601.1.v4.1 pep chromosome:Pop_tri_v4:12:2367145:2369842:-1 gene:Potri.012G023601.v4.1 transcript:Potri.012G023601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023601.v4.1 MVAGCLLVRKEACLLRGMLFGSRPGHPMSWNLKYHVLGPGFIPLNYCFQICMIRSFWINFEIWREVQKEMIKELSKFSLQNLKEQNPFEDRGFFYMLLLNGGGWICRVCRYFSAFFTFPNVLLPVHCILIKLIREYIHLHFSGRQAAALKI >Potri.012G027901.1.v4.1 pep chromosome:Pop_tri_v4:12:2734538:2736517:1 gene:Potri.012G027901.v4.1 transcript:Potri.012G027901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027901.v4.1 MLQFCIFIFESIGGLESIKQALYELVILPLRKSEHFSHGKLLGPQKGVLLYGPPGTGKTMLAKAIVRDSRAVFINVRISNLMSKWFGDAQKLVAAVFSLAYKLQPAFIFTNQ >Potri.008G156500.1.v4.1 pep chromosome:Pop_tri_v4:8:10711614:10716955:1 gene:Potri.008G156500.v4.1 transcript:Potri.008G156500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156500.v4.1 MAEAEKGSATTGSNEGNGKEEISLKDKGNEFFKAGNYLKAAALYTQAIKLDPSNPTLYSNRAAAFLQLVKLNKALADAETTITLNPQWEKGYFRKGCVLEAMEQYDNALATFQIALQYNPRSTEVSRKIKRISQLAKDKKRAQEVESMRSNVNMEKHLETLKSEMSEMLGSEECFKEMFSFLVETMETAVKSWHEASKVDPRVYFLLDKEKTLTDKYAPVVNIDKAFESPHTHGNCFSFLRQYAEDSFSKAACVVVPKNIISYPQVWKGQGSRKWRHGQHDGIFVQYESPLMRKIWFIASSNEKGQTLCRDPEILDISAHEVLPRLFKEKMPNP >Potri.007G093200.10.v4.1 pep chromosome:Pop_tri_v4:7:11865445:11866355:-1 gene:Potri.007G093200.v4.1 transcript:Potri.007G093200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093200.v4.1 MLSEESKTTSQFTSQLAFLSFVELPLPPKKQMCLDAQFSCHNFIDLQMESEDSYSPCVVDIDIEMETIAKPKSGGITVGSIENEDPLTGVVQRQANLKTCGRFMQLFTNHGSSLLKLISKDKSFTERVHDTPNNRWRRYKRASSFDSRKIVLLFSIM >Potri.007G093200.1.v4.1 pep chromosome:Pop_tri_v4:7:11863185:11868485:-1 gene:Potri.007G093200.v4.1 transcript:Potri.007G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093200.v4.1 MATDSFTKESEYFPAANFDGSCDLPVGDSYFQSLKPSFDEVTQHCSLDALPIIIDEASFPVEEKCAFRNSHGQDVFDFSMLSEESKTTSQFTSQLAFLSFVELPLPPKKQMCLDAQFSCHNFIDLQMESEDSYSPCVVDIDIEMETIAKPKSGGITVGSIENEDPLTGVVQRQANLKTCGRFMQLFTNHGSSLLKLISKDKSFTERVHDTPNNRWRRYKRASSFDSRKIVLLFSIMSSLGTLILIYLTLRVRQTVDGYVNV >Potri.016G049400.1.v4.1 pep chromosome:Pop_tri_v4:16:3212604:3213795:1 gene:Potri.016G049400.v4.1 transcript:Potri.016G049400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049400.v4.1 MKTLFLSLVISTLLSLSLSKELCNSHDKKVLLQIKKHFGDPYLLASWKSDTDCCKAWYQVECDSTSNRIISLTIFAGNLSGQIPAAVGDLPYLQTLVFRKLTDVTGPIQPAIAKLVHLNFLRLDRLNLTGTVPGFLSKLKKLTFLDLSFNGLSGSIPSSLALLPNLGALHLDRNRLTGSIPESFGTFKGSVPDLYLSHNQLTGEIPASLGNMDFSVIDLSRNKLVGDASMLFGLNKTTRNVYLSRNILNFNLSNVTFPSGLENLDISHNKIFGSIPPQMTQIPLQSLNMSYNRLCGQIPVGGKLQSFDNFTYFHNRCLCGVPLENCK >Potri.010G210000.1.v4.1 pep chromosome:Pop_tri_v4:10:19919569:19921681:1 gene:Potri.010G210000.v4.1 transcript:Potri.010G210000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210000.v4.1 MAISSLSLSWASTTLSQKLSVPGSNEILPRVAAFSGNNSVTCTAEATFNEESNCKRRLLLLGVGALTTSLVPANFLFAEEIPKNYTSFVDFEDGYSYYYPSDWIDFDFRGHDSAFKDRTKQLQNVRVRFIPTEKKDIHELGPMEEAIYFLVKHRYAAPNQMPTIYSMQEKTVEGKNYYTFEYELTSPNYSSVSFATIVIANGRFYTLIVGANERRWRRYRSQLKVVADSFKVLDI >Potri.004G218500.1.v4.1 pep chromosome:Pop_tri_v4:4:22447177:22456405:-1 gene:Potri.004G218500.v4.1 transcript:Potri.004G218500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MGGEDVLIRQGRSLVETPTYSVATVITVLVFVCFLFQRSIYRFGKWLEKTRRKALFVSLEKIKEELMLLGLISLLLAQCARSISEICVNSSLFSSKFYLCSEEDYGITQKVLFENPSPFLNESHIPPKRITTQISHQCGEGREPFVSLEGLEQLHRFLFVLGITHVLYSCLTVGLAMSKIYSWRKWENQTNLVADGNLQAKKNKVMRRQSTFVFHHTSHPWSRSRVLIWMLCFFRQFKSSIKKSDYLALRLGFITKHKLPISYDFHKYMVRSMEDEFHGILGISWPLWGYAIICIFINIHGLNIYFWLSFIPAILVMLVGTKLQHVVSTLALEIGEQTGPSIGNQVKPRDGLFWFGKPDISLRLIQFIIFQNAFEMATFIWSLWGFKKRSCFMKNHLMIIARLASGVLVQIWCSYSTVPLNVIITQMGSRFRKALVAESVRDSLHSWCKRVKERSKRDSAALSVATRSVCSLDTTIDEQDEITVASGTLSRSSSLGSLNEVTVAPPHEQEEAEEDAETSNPHQDHHQLSLRIEEYLNDTTLQPPPIIDEEDDDLGDEEGSRAETLIELFQRT >Potri.004G084900.1.v4.1 pep chromosome:Pop_tri_v4:4:7025883:7028710:1 gene:Potri.004G084900.v4.1 transcript:Potri.004G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084900.v4.1 MGGSPDLDLLRFLVLILLSRAHAYAVAETTTSTAATCPMDFSYVLRIPWNRSLCQNFQPPDENDNTNTTSKPQCCQSLLSLFGVAVAQHLKETSLFRLPNLATSNSCLRDYQSKLNSFSLANNLVSYCLDPLQFVTKPNICARIQSLQDWVARLGKSTSLDTACKSDLTDNTACDGCVRAGYKVQSTLLSIDSNQTHATSCFYFAILYAAGIVNEFGPESDGAVSCILGLELNSRVGSASKAHSALVFGLTGAGVALLVMFSLLGLYFWYDKKWRRKKNSGFGFDLDEQQGSRPKLRPNTGSIWFKIQDLEKATHNFSQNNFIGRGGFGFVYKGTLSDGTVVAIKRVIESDFQGAAEFCNEVEIISNLKHRNLVPLRGCCVIDDDDGNNDERGNQRYLVYDYMSNGNLDDHLFPSSGNQIGKQPLSWPQRKSIILDVAKGLAYLHYGVKPAIYHRDIKGTNILLDADMRARVADFGLVKQSKEGQSHLTTRVAGTHGYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSGGSPRALLITDWAWSLVKAGKVEEALDASLLRCGDSSNSNPKGIMERFVLVGILCAHVMVALRPTILVALKMLEGDIEVPPIPDRPMPLGHPSFHADGNNFSISPALSGDQNYFLETCLGKH >Potri.006G134300.3.v4.1 pep chromosome:Pop_tri_v4:6:11016845:11029339:-1 gene:Potri.006G134300.v4.1 transcript:Potri.006G134300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134300.v4.1 MLGNGVVGILSESVNKWERRTPLTPSHCARLLHSGKDKTGVARIIVQPSTKRIHHDAMYEDVGCEISDDLSECGLIVGIKQPKLDMILHDRAYAFFSHTHKAQKENMPLLDKVLAQRVSLYDYELIVGDHGKRLLAFGKFAGRAGFIDFLGGLGKRYLSLGYSTPFLSLGEAYMYSSLAAATAAVISVGEEIATFGLPSGICPLVFIFTGSGNGNVSHGAQEIFKLLPHTFVDPSRLPELFAQGRDVIPPEKASKRVFQVYGCVVTCQDMVEHLDSSKTFDKTDYYAHPEHYKPIFHEKIAPYASVIVNCMYWEKRFPRLLSTQQLQDLTRRGCPLIGIADITCDIEGSLEFINQTTSIDSPFVRYDPLNDSYHHGMEGDGVIFSSIDILPTQFAKEASQHFGDILSQFIGSLASTTDITKLPSHLRNACIAHGGALAPLFEYIPRMRKSDSEDIAESHTNLKSSKYKFSILVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSATAMSYSDLEVGAHDRAVLNQIIDSLTSLANPDESNGTLNKEGNRISLKVGKVQQNDMNKGNDTKRKAAVLIIGAGRVCRPAVELLTSNENTSSREWYKACLNTDFEGQNVVEVVVASLYLKDAEEIIDGIPNASAVQLDVKDDESLCKYISQVEVVVSLLPPSCHVIIANACIKLKKHLVTASYVDDSMSFLHEEAKAADITILGEMGLDPGIDHMMAMKMINNVRVRKGRIKSFTSYCGGLPSPAAANNPLAYKFSWSPAGAIRSGRNPATYKNHGEIVHVDGEKLYDSAFRYRIPNFPAFALECLPNRNSLVYGKLYGIEDEASTIFRGTLRYEGFGEIMGTLASIGLFNTESHLVLRHGQRPSFKRFLCELLNIVSEIPDGVPLGEKHISERIVALGHCKEQGTAVRTARTIIYLGLHEQTEIPVSCQSAFDVTCYRMEERLAYSSTEQDMVLLHHEMEVEFPDSQATENHKGTLLEFGRTGNGKTTTAMALTVGIPVAIGALLLLENKINTRGVLRPFEPEVYVPALDILQAYGIKVMEKVE >Potri.006G134300.5.v4.1 pep chromosome:Pop_tri_v4:6:11016915:11029140:-1 gene:Potri.006G134300.v4.1 transcript:Potri.006G134300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134300.v4.1 MLGNGVVGILSESVNKWERRTPLTPSHCARLLHSGKDKTGVARIIVQPSTKRIHHDAMYEDVGCEISDDLSECGLIVGIKQPKLDMILHDRAYAFFSHTHKAQKENMPLLDKVLAQRVSLYDYELIVGDHGKRLLAFGKFAGRAGFIDFLGGLGKRYLSLGYSTPFLSLGEAYMYSSLAAATAAVISVGEEIATFGLPSGICPLVFIFTGSGNGNVSHGAQEIFKLLPHTFVDPSRLPELFAQGRDVIPPEKASKRVFQVYGCVVTCQDMVEHLDSSKTFDKTDYYAHPEHYKPIFHEKIAPYASVIVNCMYWEKRFPRLLSTQQLQDLTRRGCPLIGIADITCDIEGSLEFINQTTSIDSPFVRYDPLNDSYHHGMEGDGVIFSSIDILPTQFAKEASQHFGDILSQFIGSLASTTDITKLPSHLRNACIAHGGALAPLFEYIPRMRKSDSEDIAESHTNLKSSKYKFSILVSLSGHLFDQFLINEALDIIEAAGGSFHLVKCQVGQSATAMSYSDLEVGAHDRAVLNQIIDSLTSLANPDESNGTLNKEGNRISLKVGKVQQNDMNKGNDTKRKAAVLIIGAGRVCRPAVELLTSNENTSSREWYKACLNTDFEGQNVVEVVVASLYLKDAEEIIDGIPNASAVQLDVKDDESLCKYISQVEVVVSLLPPSCHVIIANACIKLKKHLVTASYVDDSMSFLHEEAKAADITILGEMGLDPGIDHMMAMKMINNVRVRKGRIKSFTSYCGGLPSPAAANNPLAYKFSWSPAGAIRSGRNPATYKNHGEIVHVDGEKLYDSAFRYRIPNFPAFALECLPNRNSLVYGKLYGIEDEASTIFRGTLRYEGFGEIMGTLASIGLFNTESHLVLRHGQRPSFKRFLCELLNIVSEIPDGVPLGEKHISERIVALGHCKEQGTAVRTARTIIYLGLHEQTEIPVSCQSAFDVTCYRMEERLAYSSTEQDMVLLHHEMEVEFPDSQATENHKGTLLEFGRTGNGKTTTAMALTVGIPVAIGALLLLENKINTRGVLRPFEPEVYVPALDILQAYGIKVMEKVE >Potri.T124304.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:88:4991:-1 gene:Potri.T124304.v4.1 transcript:Potri.T124304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124304.v4.1 MEGEEDYGFSEEEDYYYADDGDSHDGLADNDDSALQWAPPKGSTTKVITKESLLAAQREDLRRVMDLLSLKEHHARTLLIHYRWDVEKLLAVLVEKGKSCLFTEAGVTVVENVDDEVPPSSSSTITCDICIEDATADNATRMECGHCFCNNCWTEHFIIKINEGQSRRIQCMAHRCSAICDEAIVRNLVSRRHPNLAEKFDRFLLESYIEDNKMVKWCPSIPHCGKAIRVEEDEFCEVECSCGLQFCFSCLSEAHSPCSCLMWERWSKKCHDESETVNWITVHTKPCPKCYKPVEKNGGCNLVSCICGQSFCWVCGGATGRDHTWSRIAGHSCGRYKEDREKQTERAKRDLYRYMHYHNRFKAHTDSFKLESKLKESILDKVSVAEERELRLKDFSWVTNGLNRLFRSRRVLSYSYPFAFYMFGEEFFKDEMTDEEREIKKNLFEDQQQQLESNVEKLSMFLEEPFQQFSQDKVMDIRMRVINLSVTIDTLCQKMYECIESDLLGSLHLGTHHIAPYKSKGIERASELSSCWNTKLGSTDEYLPSGGTSEHDRPSGSGSSDDSGCSYRKRAKKEGGGFFDLNLPAEIVDRN >Potri.016G016800.3.v4.1 pep chromosome:Pop_tri_v4:16:876194:877282:1 gene:Potri.016G016800.v4.1 transcript:Potri.016G016800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016800.v4.1 MLYLQALHDEQKADLPEYKDSDAELEIPSLVNRLPAKLLPSMVFKRESLPHFIGAARRLKHARGILINTFKELESHAINSLSNGEIPPVYPLGPIVRCKGNSYDVGSNIINDYTDIMQWLDDQPPCSVVFLCFGSWGSFSVGQVKEIAYALEQCGHRFLWCLRKPPCKGKIESPSDYVNFQEILPEGFLDRSDKIGKVIKWAPQVEILGHKAVGGFVSHCGWNSTLESILSGVPMATWPLYGEQQFNAFEMVIELGLAVEIKIDSRRDFSKDGIIVSSDDIKRGLKLVMEPDNEIRKKVKEMSQLSRKALMEDGSSYSALAHLIEDIMGNYK >Potri.016G016800.2.v4.1 pep chromosome:Pop_tri_v4:16:876098:877268:1 gene:Potri.016G016800.v4.1 transcript:Potri.016G016800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016800.v4.1 MNDLAAEFGVPWYVFSASGAAFIGSMLYLQALHDEQKADLPEYKDSDAELEIPSLVNRLPAKLLPSMVFKRESLPHFIGAARRLKHARGILINTFKELESHAINSLSNGEIPPVYPLGPIVRCKGNSYDVGSNIINDYTDIMQWLDDQPPCSVVFLCFGSWGSFSVGQVKEIAYALEQCGHRFLWCLRKPPCKGKIESPSDYVNFQEILPEGFLDRSDKIGKVIKWAPQVEILGHKAVGGFVSHCGWNSTLESILSGVPMATWPLYGEQQFNAFEMVIELGLAVEIKIDSRRDFSKDGIIVSSDDIKRGLKLVMEPDNEIRKKVKEMSQLSRKALMEDGSSYSALAHLIEDIMGNYK >Potri.016G016800.1.v4.1 pep chromosome:Pop_tri_v4:16:875694:877258:1 gene:Potri.016G016800.v4.1 transcript:Potri.016G016800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016800.v4.1 MNNSLATKYFLGMKKVEVVFIPLPAMGHIIAAVEMAKLIVESDDRISASVLMMKPALDSTTTKYTESLTASTLPNRMRVVDLPSLEHTGVHNTSASWMASLAEAQKPHVKEFVSKIKAQSELSPHDSPQLAGFVLDTFVLGMNDLAAEFGVPWYVFSASGAAFIGSMLYLQALHDEQKADLPEYKDSDAELEIPSLVNRLPAKLLPSMVFKRESLPHFIGAARRLKHARGILINTFKELESHAINSLSNGEIPPVYPLGPIVRCKGNSYDVGSNIINDYTDIMQWLDDQPPCSVVFLCFGSWGSFSVGQVKEIAYALEQCGHRFLWCLRKPPCKGKIESPSDYVNFQEILPEGFLDRSDKIGKVIKWAPQVEILGHKAVGGFVSHCGWNSTLESILSGVPMATWPLYGEQQFNAFEMVIELGLAVEIKIDSRRDFSKDGIIVSSDDIKRGLKLVMEPDNEIRKKVKEMSQLSRKALMEDGSSYSALAHLIEDIMGNYK >Potri.002G148200.2.v4.1 pep chromosome:Pop_tri_v4:2:11145890:11151549:-1 gene:Potri.002G148200.v4.1 transcript:Potri.002G148200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148200.v4.1 MDMLIKKYQATNKGKKRLCLITNALCPVKYPYEGTREDQVNTIAAQMSARGVKMESIIARGRLNGGGNQRIMEENDQLLNLFSEKTSAKAVYVETPTALLGALRTRNISPVTIFRGDLELSSKMKIKVWVYKKTIEERFPTLKKYSDKAPPTNRFATHEVKLDYEYKSVEDPSKVVPPEQRIKGYRYGPQVVPISSAEWDVVKFKPEKSAKLLGFADASNIMRHYYMKDVNIFIPEPGNTCAAFAVSALARAMKEMNNVAILRFVWRQHQRNAVVGVLTPNISEKDGTPDSFYFNVLPFAEDVREFQFPSFSNFPASWLPNEQQQEAADNLVKMLDLAPSGKQEALLPDFTPNPILERFYHHLELKSKHSDAAVPPLDETLKTITEPDPDLLSANKNVVDAFCKSFELKEHPRQKKSSKQLLREKPPGLDEEEGYEGATNALSPKPVKIKKIGDSSPVQDFEVMMSGRDSPDWVGKVIKDMKNKI >Potri.007G031800.1.v4.1 pep chromosome:Pop_tri_v4:7:2447858:2451770:-1 gene:Potri.007G031800.v4.1 transcript:Potri.007G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031800.v4.1 MSLAYCVKEQKPCVRWVEKYFKDCLCSLKDEFSFTFGLISLVCWGVAEIPQIITNFRTKSSHGVSLAFLLTWVAGDIFNLVGCLLEPATLPTQYYTAVLYTTSTVVLVLQGLYYDHVYRWCRCRKTKDNQQVDDDQDPLKPKSADRSGISIPKASPRATPRRDFYYMSARSLAGSNTPPFRSYLRAAKSGPSAVGLDNESSSDDEAAAPVSVSNTVSQPRPIPRSAGYGTFLATSLNLPLQSKALTQAYIGYTGRRLLHEGGGTDHSAFGQWLGWLMAAIYMGGRIPQIWLNIKRGSVEGLNPLMFVFALVANLTYVLSIVARTTEWDSIKPNMPWLLDAAVCVALDFFIILQYIYYRYFLEKRVDHEECYYGDYVDASKAAIS >Potri.002G045600.1.v4.1 pep chromosome:Pop_tri_v4:2:2959411:2959825:-1 gene:Potri.002G045600.v4.1 transcript:Potri.002G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045600.v4.1 MSSRYRPLSKPISLLKSITNKPSLQPKSTPSFLPTRPPLTFSRPVPQPGDIQSLLPLHSAVSSARPVPSLGNT >Potri.012G079800.1.v4.1 pep chromosome:Pop_tri_v4:12:10432620:10434768:1 gene:Potri.012G079800.v4.1 transcript:Potri.012G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079800.v4.1 METARSDSKPKTQVPRSSSSNSDSQSQSPYRFQSPHRSDYGDPLESPPYASPSASPEKSLSPLQNSMAVVAVDTHKSTQYSPAPSSFPPDNLSAPPELVLNKVARERVADGVVRKVGTASVARRSRKEEKMRTGELGFRVSEIIMCLISFAVMAADKIQGWSGDSFYRYIEYRYCLAVNVIGFVYAGFQAYDLSYQLATGKHVIRHHLRQHFNFFMDQILAYLLVSASSSAATRVDDWQSNWGKDEFTEMATASVVMAFLAFIAYAGSSIISGYNLYNRDAI >Potri.012G143600.5.v4.1 pep chromosome:Pop_tri_v4:12:15458553:15461420:1 gene:Potri.012G143600.v4.1 transcript:Potri.012G143600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143600.v4.1 MWVLGASSGIGTETARVLALRGVHVIMGVRNMASGRDVKDAMIKEIPTAKVDVMELDLSALASVRKFASDFNSSGRPLNLLINNAGIMATPFMLSKDNIELQFATNHLGHFLLTNLLLDTMKKTARESEIEGRIVNVSSEFHRYPYPEGIRFDNINDQSGYKRFLAYGQSKLANVLHANELTRRFKEDGVNITANSLHPGVIATNLFRHNMSLANDNPIRVFLKSLAGLVLKNVQQGAATTCYVALNPQVKGVSGEYFSGCNLAAACSESRDAELAKKLWDFSMDLVQ >Potri.012G143600.4.v4.1 pep chromosome:Pop_tri_v4:12:15458551:15461482:1 gene:Potri.012G143600.v4.1 transcript:Potri.012G143600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143600.v4.1 MAIAFLCSTTVRTIQERLLAAAAAAAVLSLHALLIMWFFNRNGSSGFSSSSTAEEVTRGVDASGLTAIVTGASSGIGTETARVLALRGVHVIMGVRNMASGRDVKDAMIKEIPTAKVDVMELDLSALASVRKFASDFNSSGRPLNLLINNAGIMATPFMLSKDNIELQFATNHLGHFLLTNLLLDTMKKTARESEIEGRIVNVSSEFHRYPYPEGIRFDNINDQSGYKRFLAYGQSKLANVLHANELTRRFKEDGVNITANSLHPGVIATNLFRHNMSLANDNPIRVFLKSLAGLVLKNVQQGAATTCYVALNPQVKGVSGEYFSGCNLAAACSESRDAELAKKLWDFSMDLVQ >Potri.012G143600.6.v4.1 pep chromosome:Pop_tri_v4:12:15458551:15461488:1 gene:Potri.012G143600.v4.1 transcript:Potri.012G143600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143600.v4.1 MAIAFLCSTTVRTIQERLLAAAAAAAVLSLHALLIMWFFNRNGSSGFSSSSTAEEVTRGVDASGLTAIVTGASSGIGTETARVLALRGVHVIMGVRNMASGRDVKDAMIKEIPTAKVDVMELDLSALASVRKFASDFNSSGRPLNLLINNAGIMATPFMLSKDNIELQFATNHLGHFLLTNLLLDTMKKTARESEIEGRIVNVSSEFHRYPYPEGIRFDNINDQSG >Potri.013G126000.2.v4.1 pep chromosome:Pop_tri_v4:13:13369903:13370507:-1 gene:Potri.013G126000.v4.1 transcript:Potri.013G126000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126000.v4.1 MFLNLLPQENIDGNPVPTVIFKGHPSIFHAFVISVVFAFTGSFCSLMIDKKPKVSRFFAYLSVISMASVFSTVVFPLFLDSFKWGFQGKKQVMVE >Potri.013G126000.1.v4.1 pep chromosome:Pop_tri_v4:13:13369930:13370565:-1 gene:Potri.013G126000.v4.1 transcript:Potri.013G126000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126000.v4.1 MATKINHQISPNSGPTELQLKSILIITFTTGMFLNLLPQENIDGNPVPTVIFKGHPSIFHAFVISVVFAFTGSFCSLMIDKKPKVSRFFAYLSVISMASVFSTVVFPLFLDSFKWGFQGKKQVMVE >Potri.017G056201.1.v4.1 pep chromosome:Pop_tri_v4:17:4382153:4385793:-1 gene:Potri.017G056201.v4.1 transcript:Potri.017G056201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G056201.v4.1 MEDIRDLRDEFSGRLKNVPDHVLARLKATLEGHCDLIHGFNVFLPPSHRFSVVDDDEDDDSEAAEGHSDLFRCIVPLCGDDADLLECFYRVMRACEAPSSVASRSPDSRDKDVKNSGAVLIKAGEERKKQHPEKIEVAQGNDKERNFVRGFAVKPGDHDPSTSPLEIKSNESEKIMLECEDNRYEMDMLISWFSSAVEYAEELEKGIDDNEMEKGNRNFYGVLNVYMVIRVLRYFTY >Potri.010G245200.1.v4.1 pep chromosome:Pop_tri_v4:10:22080299:22081448:1 gene:Potri.010G245200.v4.1 transcript:Potri.010G245200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245200.v4.1 MASMLSRLIIFPTPPSMIVPVMSVVSLVAIAGLGVSEILGKHLQYSKFWNLNSAKSSRKQIQLSSRTGMLVLYVPAFLSGVASFVLYPNHDLRLFLVKATLTIHFFKRVIEVLCVHKYSTSGVVLDSAILISSSYFSATSTMIYGQYLTQGFPEPQLDLKYPGILLFMLGTFGNFYHHQVLASLRTNDDKEYKIPKGGLFDLVICPHYLFEVLGFIGIFFISQTLYSFCFTLGTIVYLIGRSYATRRWYLSKFEDFPKDVKALIPFVF >Potri.009G086700.1.v4.1 pep chromosome:Pop_tri_v4:9:8086411:8088508:-1 gene:Potri.009G086700.v4.1 transcript:Potri.009G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086700.v4.1 MSHPHSPDHCASAVNSLYCGEDASEVVQQDADTWISSYHQSPSPSTIPSPPSDENTITKFIDSESQFMPLSDYLHRCRHRSIDTTARQDSINWILKVHAHYAFRPLTALLSVNYFDRFLSSYSLPENGWPYQILSVACLSLAAKMEEPDVPLLLDLQVLEPGFIFEPKNIQKMELRVMAYLNWRLRSVTPFDYLDYFISKLPSCSSTNPDNFSRLLKDSSDLILNTTRVIDFLGFTPSTVAAAAAISAAGKSYDTIPWEAGDGQFFHERVNKEMVRSCHQLMEEYLIDTCPLSRHKVLSAEASVEPASPVGVLDAAAACGSCDTRSENPISASSQAPETEPIIKRLRSSASDVQEP >Potri.003G185050.1.v4.1 pep chromosome:Pop_tri_v4:3:18940976:18946709:-1 gene:Potri.003G185050.v4.1 transcript:Potri.003G185050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185050.v4.1 MVQLMSSLGEKTAVVKLEAAEDSLEDQLSPLFKRSKLDHPSSQVMNPDGVAFNPLGEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPGSVAADKLKASNFPASFLKIGNWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRQHFLHCQQGFMGKHFEKLVQCDPRLNFLSQQQEIVLESPYFEQRVSAFSDLHESGKGLDSEVEDKTTVFSLREAGQPSGVLLSSLNNECQGFLCQTPENISHETSSTSFGMETHVFEEIRSNRTGEQKLPSNWDQIKVPGLHPSMSMSDLVNHIGHCISEQMTSGNSILCGGDIKSSDILDEITQYLLGDSQVTSASDEQSLMTRVNSLCCLLQKDPGTAWDLQGKCRDNLDMDHGGRVDETNSVSASECQSKLAEDFPAPEGEASNVTCCKQAPAMTRKDSVGELMLNLPRIASLPHFLFNV >Potri.003G185050.3.v4.1 pep chromosome:Pop_tri_v4:3:18941406:18946703:-1 gene:Potri.003G185050.v4.1 transcript:Potri.003G185050.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185050.v4.1 MVQLMSSLGEKTAVVKLEAAEDSLEDQLSPLFKRSKLDHPSSQVMNPDGVAFNPLGEPSPIGLTLKKSPSFLDLIQMKLSQQNTSNTMLSKKPGSVAADKLKASNFPASFLKIGNWEYKSRYEGDLVAKCYFAKHKLVWEVLDGGLKNKIEIQWSDIVAIKANFPDDGPETLDVVLARQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRQHFLHCQQGFMGKHFEKLVQCDPRLNFLSQQQEIVLESPYFEQRVSAFSDLHESGKGLDSEVEDKTTVFSLREAGQPSGVLLSSLNNECQGFLCQTPENISHETSSTSFGKIIILKLNILMKMMIRSYGKNMPAYYIYTLCHCHLG >Potri.003G185050.2.v4.1 pep chromosome:Pop_tri_v4:3:18941395:18946703:-1 gene:Potri.003G185050.v4.1 transcript:Potri.003G185050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185050.v4.1 MSLFIVSDARAHLILIMIVVMEGCMCLVQLARQPLFFRETNPQPRKHTLWQATSDFTGGQASIHRQHFLHCQQGFMGKHFEKLVQCDPRLNFLSQQQEIVLESPYFEQRVSAFSDLHESGKGLDSEVEDKTTVFSLREAGQPSGVLLSSLNNECQGFLCQTPENISHETSSTSFGMETHVFEEIRSNRTGEQKLPSNWDQIKVPGLHPSMSMSDLVNHIGHCISEQMTSGNSILCGGDIKSSDILDEITQYLLGDSQVTSASDEQSLMTRVNSLCCLLQKDPGTAWDLQGKCRDNLDMDHGGRVDETNSVSASECQSKLAEDFPAPEGEASNVTCCKQAPAMTRKDSVGELMLNLPRIASLPHFLFNV >Potri.011G006500.3.v4.1 pep chromosome:Pop_tri_v4:11:533469:533957:1 gene:Potri.011G006500.v4.1 transcript:Potri.011G006500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006500.v4.1 MGKRHPTLIISLVMTLDLDLVLVVELVLVVELEREGLVVGEGAGGGGGGGGGGGGGGGGGGKKGGGSGFGFGSGFGSGGGGGGGGGGGGGGGGGGGDSQGGGKGGGYGGGFGGGFGGARP >Potri.013G074500.1.v4.1 pep chromosome:Pop_tri_v4:13:6276398:6279479:-1 gene:Potri.013G074500.v4.1 transcript:Potri.013G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G074500.v4.1 MASSPASLVFKVHRREPELIKPAKPTPHEFKLLSDIDDQEGLRFHIPVIQFYRHNPSVQGKDPVKVIREAIAKTLVFYYPFAGRLREGQNRKLMVECTGEGILFIEADADVTLEQFGDALQPPFPCLEELIFDVPGSSGVLNCPLLLIQVTRLKCGGFIFGLRLNHTMSDASGIVQFMAAVGEMARGATTPSVPAVWERHVLNARNPPRVTCIHREYEEVADTNGTIIPLDDMAHRSFFFGPSEISALRKLIPPHLSRCSTFEILTACLWKCRTIALQPDPTEEMRIICIVNAREKFNPPLPTGYYGNGFAFPVAVATAGELSEKPFGYALELVRKAKADVTEEYMRSVASLMVTKGRPHFTVVRAYLVSDLRSAGFEVVDFGWGNAIYGGAAKGGVGAIPGVASFLIPFKNKKGENGIVVPFCLPAPAMERFVEELDGMLKGQLQSGQTHSKFIASSL >Potri.006G122900.1.v4.1 pep chromosome:Pop_tri_v4:6:9761896:9764286:-1 gene:Potri.006G122900.v4.1 transcript:Potri.006G122900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122900.v4.1 MALLTLFILILIAPSSSNAQWPPSPGYWPSSRFRSMSFYQGYRNLWGYSHQRVDPNALTIWLDSTSGSGFKSVKPFRSGYFGASVKLQPGYTAGVITAFYLSNNEAHPGFHDEVDIEFLGTTFGKPYTLQTNVYIRGSGDGRIIGREMKFHLWFDPTKNFHHYAILWSPKEIIFLVDDVPIRRYPRKSDATFPLRPMWVYGSIWDASSWATEEGKYKADYRYQPFVASYTNFKAAGCSAYSPAWCRPVSASPFRSGGLTRQQYRTMRWVQRYHMVYNYCKDYKRDHSLTPECWG >Potri.007G061400.6.v4.1 pep chromosome:Pop_tri_v4:7:6701364:6704245:-1 gene:Potri.007G061400.v4.1 transcript:Potri.007G061400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061400.v4.1 MEVYPCPSRFSMSSLSSFGNFVEKVKELCNLAVSAIIGNIFSAIFTFFFALVWKFLLKELALSNAVGALLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETNFEEITNIFNTGGSKGLPGDSLEKIPKIKITSNNNGDATGEKVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLKHASCPLCRRDQ >Potri.007G061400.8.v4.1 pep chromosome:Pop_tri_v4:7:6702096:6704192:-1 gene:Potri.007G061400.v4.1 transcript:Potri.007G061400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061400.v4.1 MTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETNFEEITNIFNTGGSKGLPGDSLEKIPKIKITSNNNGDATGEKVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLKHASCPLCRRDQ >Potri.007G061400.7.v4.1 pep chromosome:Pop_tri_v4:7:6702098:6704192:-1 gene:Potri.007G061400.v4.1 transcript:Potri.007G061400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061400.v4.1 MVVRCRVGALLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETNFEEITNIFNTGGSKGLPGDSLEKIPKIKITSNNNGDATGEKVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLKHASCPLCRRDQ >Potri.007G061400.3.v4.1 pep chromosome:Pop_tri_v4:7:6700785:6704245:-1 gene:Potri.007G061400.v4.1 transcript:Potri.007G061400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061400.v4.1 MEVYPCPSRFSMSSLSSFGNFVEKVKELCNLAVSAIIGNIFSAIFTFFFALVWKFLLKELALSNAVGALLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETNFEEITNIFNTGGSKGLPGDSLEKIPKIKITSNNNGDATGEKVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLKHASCPLCRRDQ >Potri.007G061400.4.v4.1 pep chromosome:Pop_tri_v4:7:6702076:6704245:-1 gene:Potri.007G061400.v4.1 transcript:Potri.007G061400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061400.v4.1 MEVYPCPSRFSMSSLSSFGNFVEKVKELCNLAVSAIIGNIFSAIFTFFFALVWKFLLKELALSNAVGALLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETNFEEITNIFNTGGSKGLPGDSLEKIPKIKITSNNNGDATGEKVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLKHASCPLCRRDQ >Potri.007G061400.1.v4.1 pep chromosome:Pop_tri_v4:7:6702074:6704245:-1 gene:Potri.007G061400.v4.1 transcript:Potri.007G061400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061400.v4.1 MEVYPCPSRFSMSSLSSFGNFVEKVKELCNLAVSAIIGNIFSAIFTFFFALVGALLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETNFEEITNIFNTGGSKGLPGDSLEKIPKIKITSNNNGDATGEKVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLKHASCPLCRRDQ >Potri.007G061400.5.v4.1 pep chromosome:Pop_tri_v4:7:6701364:6704245:-1 gene:Potri.007G061400.v4.1 transcript:Potri.007G061400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061400.v4.1 MEVYPCPSRFSMSSLSSFGNFVEKVKELCNLAVSAIIGNIFSAIFTFFFALVGALLGAMTGALIGQETESGFVRGAAVGAISGAVFSIEVFESSLVLWQSDESGIGCVLYLIDVIASLLSGRLVRERIGPAMLSAVQSQMGAVETNFEEITNIFNTGGSKGLPGDSLEKIPKIKITSNNNGDATGEKVACSVCLQDFQLGETVRSLPHCHHMFHLPCIDKWLLKHASCPLCRRDQ >Potri.013G045454.1.v4.1 pep chromosome:Pop_tri_v4:13:3184498:3185069:-1 gene:Potri.013G045454.v4.1 transcript:Potri.013G045454.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045454.v4.1 MGGIAYLLSFILIHELLLSSHISNTGKASAWEAPSWSSPDAISSNEISYYKLKGVSRRTKPSPPPPRVNAPIYYRSPLPPQPRRYSSPPPPPPPPC >Potri.004G042200.1.v4.1 pep chromosome:Pop_tri_v4:4:3356098:3360459:1 gene:Potri.004G042200.v4.1 transcript:Potri.004G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G042200.v4.1 MGGSVKDVKSKAELDNITKSEEAVIIHFWATWCDASKQMDQVFSHLSTDFPKTHFLRVEAEEQPEISEAFSVSSVPYFVFLKDGKTVDTLEGADPSSLANKVAKVAGSANPGEPAAPASLGMAAGPTVLETVKEFTKENGSSQQANQVQPGLSDALKNQLQQLIGSHPVMLFMKGNAEAPKCGFSRKVVDILKGENVKFGTFDILSDIEVREGLKLFSNWPTFPQLYCKGELLGGCDIVIAMHESGELKEVFRDHGIDTIGSNEAKVSGSENGKGGIAQSTGLSMTLTSRLESLVNSSPVMLFMKGKPTEPKCGFSGKVVEILREEKVKFETFDILTDEEVRQGLKVYSNWSSYPQLYIKGELIGGSDIVLEMQKSGELKKVLIEKGIVQKETIEDRIKSLITSSPVILFMKGTPDAPRCGFSSKVVNALKEEGVSFESFDILSDEEVRQGLKVFSNWPTFPQLYYKGELIGGCDIIMELRDNGELKSTLSE >Potri.003G083700.1.v4.1 pep chromosome:Pop_tri_v4:3:11034763:11037912:1 gene:Potri.003G083700.v4.1 transcript:Potri.003G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083700.v4.1 MATIRSTPTNVSFSNYLQSLSQTPHRLRKRMLATWTPDQELNQVRLRSGADMMRKLKWYDLIALGIGGMLGVGVFVTTGLVAHQISGPSVFISYIIAGISALLSSLCYTEFSVQIPVAGGAFSYLRVTFGEFVGYFAGANILMEYVLSNAAVARTFTEYLCHAVGENPNSWRFEVDGLVEGYNKLDFPAVALILLLTLCLCHSTKESSVLNLVMTVFHLIFFGFIIIAGFYKGSAKNLVEPGGLAPFGVKGILDGAATVYFSYIGYDSVSTMAEEIRNPAKSLPVGIVGSVLIVTGLYCLVALSLCFLVPYNMIDKDASFSVAFQKIGWKWAGNVVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWLAKVNPSTGTPLNATLFLGLCTASIALFTELHIIIDIISISTLLVFYLVANALIYRRYVIVSHNPPSQTLLFLFLLSSCSIGFSMSWKLEEQWWVLPVFGGFMITITAFFQYMVPSICQTNEEWSVPFMPWPAVASIFLNVFLVTSLKIPSFQRFGIWACLITLFYVLYGVHSTYRAEEMEVGVGDISHPSTQQSKLDIQLL >Potri.010G171800.1.v4.1 pep chromosome:Pop_tri_v4:10:17314448:17315241:1 gene:Potri.010G171800.v4.1 transcript:Potri.010G171800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171800.v4.1 MNQAVVFVEYLFCTLRKTHMILQFLKDFCFTLLVYSMLIAFLVDAWLEEELDSLQIGVQRHGQGNQEAILGGCTRLSAKVEER >Potri.013G119175.1.v4.1 pep chromosome:Pop_tri_v4:13:12745598:12746706:1 gene:Potri.013G119175.v4.1 transcript:Potri.013G119175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119175.v4.1 MASFRLTFLLLVLVMTSYLLSTTHEARTAPGNCHKDSDCIGACGKCSYCSCELKTNVCECSNFQQKLIPAEAILGGSS >Potri.009G140750.1.v4.1 pep chromosome:Pop_tri_v4:9:11259697:11260672:-1 gene:Potri.009G140750.v4.1 transcript:Potri.009G140750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140750.v4.1 MSLSVWGLLFLIVKIEGSHLPHPTRQQRNLEKRKPRRRRNRANLGDYREDNVGILSRVGDRYLQMDGS >Potri.019G044100.2.v4.1 pep chromosome:Pop_tri_v4:19:6127165:6132943:-1 gene:Potri.019G044100.v4.1 transcript:Potri.019G044100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044100.v4.1 MLPLSFFFSLAWNVMLFSNGSSSSTPFPLIIIIMFFVIFFLFLGKLNQMYCDFLSFPFLFFPTSLCCRLVWLLGKCGSRRKLIWEICLVTSLMMLLGDKSPFSQLNLQRDTMDRGEETARIQYLAEIAKFLGITSTLDTEVIQGQGSYEDRTEMLRLIVDLVEASRYADNLEWSVDEQVAKDIQLVDSIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELETKLAEQSKILLNLQQKVDDLASKHAYNPDEEYAEVESQLQAHLESFLEIARSFNVIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLWKFLGNLRNLRDSHSALAVGSSETKAAPVPRIISECESALTFLNRDLGILSASIVREQGDNVSL >Potri.019G044100.1.v4.1 pep chromosome:Pop_tri_v4:19:6127165:6132943:-1 gene:Potri.019G044100.v4.1 transcript:Potri.019G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044100.v4.1 MAAKQMEEIQRKLGMLNYPRANAPAQFLLFAGMERYALLEWLFFKLLGDKSPFSQLNLQRDTMDRGEETARIQYLAEIAKFLGITSTLDTEVIQGQGSYEDRTEMLRLIVDLVEASRYADNLEWSVDEQVAKDIQLVDSIAEKQALIFSEECKLFPADVQIQSIYPLPDVSELETKLAEQSKILLNLQQKVDDLASKHAYNPDEEYAEVESQLQAHLESFLEIARSFNVIYTKEIRPWTHMMEVPQLHGFGPAANRLLEAYKMLWKFLGNLRNLRDSHSALAVGSSETKAAPVPRIISECESALTFLNRDLGILSASIVREQGDNVSL >Potri.012G062500.1.v4.1 pep chromosome:Pop_tri_v4:12:6749968:6753810:-1 gene:Potri.012G062500.v4.1 transcript:Potri.012G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062500.v4.1 MGPKSKSEKFSAEFVMGGAAAIVSKSAAAPIERVKLLLQNQGEMIKRGQLKTPYTGIRDCFKRVFREEGLFSFWRGNQANIIRYFPTQAFNFAFKGYFKGLFGCSKEKDGYIKWFTANVASGSAAGATTSLFLYHLDYARTRLGTDARECPINGQHQFRGLFDVYRKTLSSDGILGLYRGFGVSIMGISLYRGMYFGIYDTMKPIILVGSFEGNFFASFLLGWSITTVSGVCAYPFDTLRRRMMLTSGNPVKYRNSMHAFHEIIRLEGFQALYRGVTANMLAGVAGAGVLAGYDQLHRIAVKYGYVLEPRQNTMK >Potri.012G062500.4.v4.1 pep chromosome:Pop_tri_v4:12:6749993:6753617:-1 gene:Potri.012G062500.v4.1 transcript:Potri.012G062500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062500.v4.1 MGPKSKSEKFSAEFVMGGAAAIVSKSAAAPIERVKLLLQNQGEMIKRGQLKTPYTGIRDCFKRVFREEGLFSFWRDIKDNVETFLTTKPNILHFCTAFNFAFKGYFKGLFGCSKEKDGYIKWFTANVASGSAAGATTSLFLYHLDYARTRLGTDARECPINGQHQFRGLFDVYRKTLSSDGILGLYRGFGVSIMGISLYRGMYFGIYDTMKPIILVGSFEGNFFASFLLGWSITTVSGVCAYPFDTLRRRMMLTSGNPVKYRNSMHAFHEIIRLEGFQALYRGVTANMLAGVAGAGVLAGYDQLHRIAVKYGYVLEPRQNTMK >Potri.012G062500.3.v4.1 pep chromosome:Pop_tri_v4:12:6749965:6753810:-1 gene:Potri.012G062500.v4.1 transcript:Potri.012G062500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062500.v4.1 MGPKSKSEKFSAEFVMGGAAAIVSKSAAAPIERVKLLLQNQGEMIKRGQLKTPYTGIRDCFKRVFREEGLFSFWRGNQANIIRYFPTQAFNFAFKGYFKGLFGCSKEKDGYIKWFTANVASGSAAGATTSLFLYHLDYARTRLGTDARECPINGQHQFRGLFDVYRKTLSSDGILGLYRGFGVSIMGISLYRGMYFGIYDTMKPIILVGSFEGNFFASFLLGWSITTVSGVCAYPFDTLRRRMMLTSGNPVKYRNSMHAFHEIIRLEGFQALYRGVTANMLAGVAGAGVLAGYDQLHRIAVKYGYVLEPRQNTMK >Potri.002G059200.2.v4.1 pep chromosome:Pop_tri_v4:2:4085097:4086982:-1 gene:Potri.002G059200.v4.1 transcript:Potri.002G059200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059200.v4.1 MDEPFLSKTIAEEISRPSPRREHPSNYLDLGQSLRQSTAHLVTNDVIIPIITTPNTSSFVNLIANLNKEKTRLAHRSHSAPSVFTDSKESFTDSFDPRQAPKSTPLIVRQAFIAVFLYILVVVLIFLVGGRFKGTETIKPVDALYFTVVTLCTIGYGDIVPDTIFTKLFTCVFVLVGFGFIDILLNGLVTYICDRQEAVLLSTMDESKSITMVQAYMIDKAKGRMRIRMKVGMASAVVIVCIAVGTISARYLEKLDWVDSFYLSVTSVTTVGYGDFAFSTITGRCFAIIWLSVSTLAVARAFLYLTELRIDKRNRRIAKWILHKKMTLGDLVAADLDNDGSISKSEFVIYKLKEMGMIAEKDMLQICNQFDSLVSTSCGKITLADLMQRD >Potri.019G024466.1.v4.1 pep chromosome:Pop_tri_v4:19:3595725:3596442:-1 gene:Potri.019G024466.v4.1 transcript:Potri.019G024466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024466.v4.1 MIRLSFCLLSFSMSSLQFARTVLHSQIYIPSYFFHQNACLYSYIVTYKF >Potri.018G036300.1.v4.1 pep chromosome:Pop_tri_v4:18:2797224:2798107:-1 gene:Potri.018G036300.v4.1 transcript:Potri.018G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036300.v4.1 MFHKWGGSGRETVCSCRTRSVKEGPKPRWQVFWRKTNGGKKRILKASLVTSVQASYDPDEYSQNFDQGTDWTKPEDLSRSFSARYADPSRVLQKSNTVR >Potri.017G081900.5.v4.1 pep chromosome:Pop_tri_v4:17:9200664:9205570:-1 gene:Potri.017G081900.v4.1 transcript:Potri.017G081900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081900.v4.1 MAGGPFTSLFRIFASRNNVHSARVLLSNQNFRSMRQFNNWVLETHQFSTSFDVPCRQFSTSLVDNIHSHSPLKNPQFTIFNPADARPFSSSVDDEDGNRVKLNENKSLDSVENGGLEGVDGNSVGAGDDNESCVCDSMVIEEKQSDGVEGGNNVVGKKNLAFRDPVELYRELLTAEKNDNLKRSDWDTLQEIFSCFSKSGWAANQALGIYIGKSYFHTAVNRFRNFFFKKCSAELAMHLVSLGASDKAVRFLFPIFVEYCIEEFPDEIKRFRNMISSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQKFMEAKKGIYCSPLRLLAMEVFDKVNALGVYCSLYTGQEKKHVPFSNHIACTVEMVSTEELYDVAVIDEIQMMADSCRGYAWTRALLGLKADEIHLCGDPSVLDIVKNICSETGDELYEQHYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKMAIEKHTNHRCCVIYGALPPETRRQQANLFNDQDNEYDVLVASDAVGMGLNLNIRRVVFNSLSKYNGDKIVPVPPSQVKQIAGRAGRRGSRYPDGLTTTLQLEDLDYLIDCLKQPFENVKKVGLFPFFEQVELFAGQLPDISFAHLLEKFGENCRLDGSYFLCRHDHIKKVANMLEKVQGLSLEDRFNFCFAPVNFRDPKAMYHLHRFAALYSIKVPVSIAMGMPKGSARNDAELQDLETKHQVLSVYLWLSQHFKKEIFPYKKKAEEMAIDIADLLGQSLIKACWKPESRQGGNPRPQQKEDGHERHKGDGYRRPNSLVKIYEKKRQEKELLQGHSQKVVV >Potri.017G081900.4.v4.1 pep chromosome:Pop_tri_v4:17:9200664:9205880:-1 gene:Potri.017G081900.v4.1 transcript:Potri.017G081900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081900.v4.1 MAGGPFTSLFRIFASRNNVHSARVLLSNQNFRSMRQFNNWVLETHQFSTSFDVPCRQFSTSLVDNIHSHSPLKNPQFTIFNPADARPFSSSVDDEDGNRVKLNENKSLDSVENGGLEGVDGNSVGAGDDNESCVCDSMVIEEKQSDGVEGGNNVVGKKNLAFRDPVELYRELLTAEKNDNLKRSDWDTLQEIFSCFSKSGWAANQALGIYIGKSYFHTAVNRFRNFFFKKCSAELAMHLVSLGASDKAVRFLFPIFVEYCIEEFPDEIKRFRNMISSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQKFMEAKKGIYCSPLRLLAMEVFDKVNALGVYCSLYTGQEKKHVPFSNHIACTVEMVSTEELYDVAVIDEIQMMADSCRGYAWTRALLGLKADEIHLCGDPSVLDIVKNICSETGDELYEQHYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKMAIEKHTNHRCCVIYGALPPETRRQQANLFNDQDNEYDVLVASDAVGMGLNLNIRRVVFNSLSKYNGDKIVPVPPSQVKQIAGRAGRRGSRYPDGLTTTLQLEDLDYLIDCLKQPFENVKKVGLFPFFEQVELFAGQLPDISFAHLLEKFGENCRLDGSYFLCRHDHIKKVANMLEKVQGLSLEDRFNFCFAPVNFRDPKAMYHLHRFAALYSIKVPVSIAMGMPKGSARNDAELQDLETKHQVLSVYLWLSQHFKKEIFPYKKKAEEMAIDIADLLGQSLIKACWKPESRQGGNPRPQQKEDGHERHKGDGYRRPNSLVKIYEKKRQEKELLQGHSQKVVV >Potri.017G081900.3.v4.1 pep chromosome:Pop_tri_v4:17:9199771:9205631:-1 gene:Potri.017G081900.v4.1 transcript:Potri.017G081900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081900.v4.1 MAGGPFTSLFRIFASRNNVHSARVLLSNQNFRSMRQFNNWVLETHQFSTSFDVPCRQFSTSLVDNIHSHSPLKNPQFTIFNPADARPFSSSVDDEDGNRVKLNENKSLDSVENGGLEGVDGNSVGAGDDNESCVCDSMVIEEKQSDGVEGGNNVVGKKNLAFRDPVELYRELLTAEKNDNLKRSDWDTLQEIFSCFSKSGWAANQALGIYIGKSYFHTAVNRFRNFFFKKCSAELAMHLVSLGASDKAVRFLFPIFVEYCIEEFPDEIKRFRNMISSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQKFMEAKKGIYCSPLRLLAMEVFDKVNALGVYCSLYTGQEKKHVPFSNHIACTVEMVSTEELYDVAVIDEIQMMADSCRGYAWTRALLGLKADEIHLCGDPSVLDIVKNICSETGDELYEQHYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKMAIEKHTNHRCCVIYGALPPETRRQQANLFNDQDNEYDVLVASDAVGMGLNLNIRRVVFNSLSKYNGDKIVPVPPSQVKQIAGRAGRRGSRYPDGLTTTLQLEDLDYLIDCLKQPFENVKKVGLFPFFEQVELFAGQLPDISFAHLLEKFGENCRLDGSYFLCRHDHIKKVANMLEKVQGLSLEDRFNFCFAPVNFRDPKAMYHLHRFAALYSIKVPVSIAMGMPKGSARNDAELQDLETKHQVLSVYLWLSQHFKKEIFPYKKKAEEMAIDIADLLGQSLIKACWKPESRQGGNPRPQQKEDGHERHKGDGYRRPNSLVKIYEKKRQEKELLQGHSQKVVV >Potri.017G081900.6.v4.1 pep chromosome:Pop_tri_v4:17:9200506:9205556:-1 gene:Potri.017G081900.v4.1 transcript:Potri.017G081900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081900.v4.1 MAGGPFTSLFRIFASRNNVHSARVLLSNQNFRSMRQFNNWVLETHQFSTSFDVPCRQFSTSLVDNIHSHSPLKNPQFTIFNPADARPFSSSVDDEDGNRVKLNENKSLDSVENGGLEGVDGNSVGAGDDNESCVCDSMVIEEKQSDGVEGGNNVVGKKNLAFRDPVELYRELLTAEKNDNLKRSDWDTLQEIFSCFSKSGWAANQALGIYIGKSYFHTAVNRFRNFFFKKCSAELAMHLVSLGASDKAVRFLFPIFVEYCIEEFPDEIKRFRNMISSADLTKPHTWFPFARAMKRKIIYHCGPTNSGKTYNALQKFMEAKKGIYCSPLRLLAMEVFDKVNALGVYCSLYTGQEKKHVPFSNHIACTVEMVSTEELYDVAVIDEIQMMADSCRGYAWTRALLGLKADEIHLCGDPSVLDIVKNICSETGDELYEQHYERFKPLVVEAKTLLGDLKNVRSGDCIVAFSRREIFEVKMAIEKHTNHRCCVIYGALPPETRRQQANLFNDQDNEYDVLVASDAVGMGLNLNIRRVVFNSLSKYNGDKIVPVPPSQVKQIAGRAGRRGSRYPDGLTTTLQLEDLDYLIDCLKQPFENVKKVGLFPFFEQVELFAGQLPDISFAHLLEKFGENCRLDGSYFLCRHDHIKKVANMLEKVQGLSLEDRFNFCFAPVNFRDPKAMYHLHRFAALYSIKVPVSIAMGMPKGSARNDAELQDLETKHQVLSVYLWLSQHFKKEIFPYKKKAEEMAIDIADLLGQSLIKACWKPESRQGGNPRPQQKEDGHERHKGDGYRRPNSLVKIYEKKRQEKELLQGHSQKVVV >Potri.013G094650.4.v4.1 pep chromosome:Pop_tri_v4:13:9751252:9759917:1 gene:Potri.013G094650.v4.1 transcript:Potri.013G094650.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094650.v4.1 MLPLKINNLNKNSKYNDKKKCNVQGCAYSNLPSASILSLLDPPLPRPRPLDPSLSFCISKPLPLPPIPNFVFQKKIKQNQVKRGNYISNSISFFISISPVEIAIKFVPFWCFEVKKALGSNWAVFSFEWLYFLMKRNRFEGNLDSVVVDMNAYITELQGTHKALVGNSNPPKFVVTVLYMCPVKALFSKFQMP >Potri.013G094650.1.v4.1 pep chromosome:Pop_tri_v4:13:9751252:9759917:1 gene:Potri.013G094650.v4.1 transcript:Potri.013G094650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094650.v4.1 MLPLKINNLNKNSKYNDKKKCNVQGCAYSNLPSASILSLLDPPLPRPRPLDPSLSFCISKPLPLPPIPNFVFQKKIKQNQVKRGNYISNSISFFISISPVEIAIKFVPFWCFEWQVKKALGSNWAVFSFEWLYFLMKRNRFEGNLDSVVVDMNAYITELQGTHKQALVGNSNPPKFVVTVLYMCPVKALFSKFQMP >Potri.013G094650.2.v4.1 pep chromosome:Pop_tri_v4:13:9751252:9759917:1 gene:Potri.013G094650.v4.1 transcript:Potri.013G094650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094650.v4.1 MLPLKINNLNKNSKYNDKKKCNVQGCAYSNLPSASILSLLDPPLPRPRPLDPSLSFCISKPLPLPPIPNFVFQKKIKQNQVKRGNYISNSISFFISISPVEIAIKFVPFWCFEWQVKKALGSNWAVFSFEWLYFLMKRNRFEGNLDSVVVDMNAYITELQGTHKALVGNSNPPKFVVTVLYMCPVKALFSKFQMP >Potri.013G094650.3.v4.1 pep chromosome:Pop_tri_v4:13:9751252:9759917:1 gene:Potri.013G094650.v4.1 transcript:Potri.013G094650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094650.v4.1 MLPLKINNLNKNSKYNDKKKCNVQGCAYSNLPSASILSLLDPPLPRPRPLDPSLSFCISKPLPLPPIPNFVFQKKIKQNQVKRGNYISNSISFFISISPVEIAIKFVPFWCFEVKKALGSNWAVFSFEWLYFLMKRNRFEGNLDSVVVDMNAYITELQGTHKQALVGNSNPPKFVVTVLYMCPVKALFSKFQMP >Potri.010G165001.1.v4.1 pep chromosome:Pop_tri_v4:10:16900115:16901821:-1 gene:Potri.010G165001.v4.1 transcript:Potri.010G165001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165001.v4.1 MVLKRILEEILLYKQSRQPSTPLRSLHHKLTLHRPFSGLNLQSTDLSSKGDIEDQVIDVNALPQDGCRKFYTTQFLRESEEGGELEVKFFKKLDEQLNKFNTFYKDKLDEMKHLC >Potri.010G165001.2.v4.1 pep chromosome:Pop_tri_v4:10:16901352:16901699:-1 gene:Potri.010G165001.v4.1 transcript:Potri.010G165001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165001.v4.1 MVLKRILEEILLYKQSRQPSTPLRSLHHKLTLHRPFSGLNLQSTDLSSKGDIEDQVIDVNALPQDGCRKFYTTQFLRESEEGGELEVKFFKKLDEQLNKFNTFYKDKLDEMKHLC >Potri.008G114800.4.v4.1 pep chromosome:Pop_tri_v4:8:7310523:7317370:-1 gene:Potri.008G114800.v4.1 transcript:Potri.008G114800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114800.v4.1 MGLLLIEKKEWTSKYEELRQAWAETEEILKREQAAHLIALSEVEKRQENLRKALSVEKQCVGELEKALHDLQEEHVLIKKVSDSKLADAKALAAGNEEKSLEVEEKMRVAESKLAEVNMKSSELDMKLNQLEARENLLQRERLSFNTEREAHKATFYKQREDLQEWEKKLRQGEESLCELRRTLNQREEKASEDERVLKKKERDLEEAEKKIDISFAKLKEREVDVNNRLLGLVTKEKEADSLRSTLEIKEKELLALEDKLSARERVEVQELLDEHRTILDAKIQEADLELTEKRKNLEEELRSKADGVRLLETEIFHREEKLGKRELALDRKSDRMKDKEKDLDAKLKVVKEKDKSMKAEQKQLELQKKQLLSDEVSVQLLEDDCEKLRAEIAQQELQIGEESESIKITNNERLEYLRLQAELKQELEKCRRQAEFLLKEAEELEQERERSEKEREVLEEKRAQINKEQKDIVEERERLEKMKYAGGESLKKEENDMQEYAQRELEAIRLEKESFEARKRHEQLVLSEKAENVHIQMVQDFESERCNFETGLINRQEEMEKALRGRERAFEVLKERELNTINNLKEVARREREEIESERRAMDKERQEVVKNKEKLEEQQYGIKKDIDELGMLSNKLRKQREQVIRERNYFLSFVEKHKSCTNCGDVTREFVLSDLQPPEMEERETLPSPKISDEFFRNNEGGADASDILNIKRPLSEDLGSNSQGRMSWLRKCTSKIFSISPTRKIQHVSAPAFEGGFPSSPVRADMEERVEGSAVQKAITSSSIPVDQAQVSFGTADDTVDIQHPQSDGIKRDAGGGYSVSVDDQSYMDSKTQDLPEDSELSELKNRRHKPGRRQKSGPGRTRSIKAVVEDAKLFLGESLKETEYNSSVQPNDISRNSDESRGINVTKKSDVARKRQRLPTEREQDAGDSEGHSESVTTGGRRKRQQIVAPEEPTPGQKRYNLRRHKIAGLTAATQASSDLMKGEKTADGAAAVEPIRNPETASGLSLGVTSENNKSTDVVQVTTLKSVELSQDKVVRFQTTDVDYQAEAAKSVGITELSEEVNGIPDFEDEAENGSTVHEDEDDYDEDELQHPGEVSMGKKIWTFFTT >Potri.008G114800.5.v4.1 pep chromosome:Pop_tri_v4:8:7310159:7314490:-1 gene:Potri.008G114800.v4.1 transcript:Potri.008G114800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114800.v4.1 MKDKEKDLDAKLKVVKEKDKSMKAEQKQLELQKKQLLSDEVSVQLLEDDCEKLRAEIAQQELQIGEESESIKITNNERLEYLRLQAELKQELEKCRRQAEFLLKEAEELEQERERSEKEREVLEEKRAQINKEQKDIVEERERLEKMKYAGGESLKKEENDMQEYAQRELEAIRLEKESFEARKRHEQLVLSEKAENVHIQMVQDFESERCNFETGLINRQEEMEKALRGRERAFEVLKERELNTINNLKEVARREREEIESERRAMDKERQEVVKNKEKLEEQQYGIKKDIDELGMLSNKLRKQREQVIRERNYFLSFVEKHKSCTNCGDVTREFVLSDLQPPEMEERETLPSPKISDEFFRNNEGGADASDILNIKRPLSEDLGSNSQGRMSWLRKCTSKIFSISPTRKIQHVSAPAFEGGFPSSPVRADMEERVEGSAVQKAITSSSIPVDQAQVSFGTADDTVDIQHPQSDGIKRDAGGGYSVSVDDQSYMDSKTQDLPEDSELSELKNRRHKPGRRQKSGPGRTRSIKAVVEDAKLFLGESLKETEYNSSVQPNDISRNSDESRGINVTKKSDVARKRQRLPTEREQDAGDSEGHSESVTTGGRRKRQQIVAPEEPTPGQKRYNLRRHKIAGLTAATQASSDLMKGEKTADGAAAVEPIRNPETASGLSLGVTSENNKSTDVVQVTTLKSVELSQDKVVRFQTTDVDYQAEAAKSVGITELSEEVNGIPDFEDEAENGSTVHEDEDDYDEDELQHPGEVSMGKKIWTFFTT >Potri.008G114800.1.v4.1 pep chromosome:Pop_tri_v4:8:7310407:7319178:-1 gene:Potri.008G114800.v4.1 transcript:Potri.008G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114800.v4.1 MFTPQRRPSPAITLTPRSEMHRSGGANAGATSTGIGAKGKALALIDGALPPPPPVGSLSVNAGELDTEDVEAWRRFREVGLLDEAAMERRDREALLEKASRLEKELFDYQYNMGLLLIEKKEWTSKYEELRQAWAETEEILKREQAAHLIALSEVEKRQENLRKALSVEKQCVGELEKALHDLQEEHVLIKKVSDSKLADAKALAAGNEEKSLEVEEKMRVAESKLAEVNMKSSELDMKLNQLEARENLLQRERLSFNTEREAHKATFYKQREDLQEWEKKLRQGEESLCELRRTLNQREEKASEDERVLKKKERDLEEAEKKIDISFAKLKEREVDVNNRLLGLVTKEKEADSLRSTLEIKEKELLALEDKLSARERVEVQELLDEHRTILDAKIQEADLELTEKRKNLEEELRSKADGVRLLETEIFHREEKLGKRELALDRKSDRMKDKEKDLDAKLKVVKEKDKSMKAEQKQLELQKKQLLSDEVSVQLLEDDCEKLRAEIAQQELQIGEESESIKITNNERLEYLRLQAELKQELEKCRRQAEFLLKEAEELEQERERSEKEREVLEEKRAQINKEQKDIVEERERLEKMKYAGGESLKKEENDMQEYAQRELEAIRLEKESFEARKRHEQLVLSEKAENVHIQMVQDFESERCNFETGLINRQEEMEKALRGRERAFEVLKERELNTINNLKEVARREREEIESERRAMDKERQEVVKNKEKLEEQQYGIKKDIDELGMLSNKLRKQREQVIRERNYFLSFVEKHKSCTNCGDVTREFVLSDLQPPEMEERETLPSPKISDEFFRNNEGGADASDILNIKRPLSEDLGSNSQGRMSWLRKCTSKIFSISPTRKIQHVSAPAFEGGFPSSPVRADMEERVEGSAVQKAITSSSIPVDQAQVSFGTADDTVDIQHPQSDGIKRDAGGGYSVSVDDQSYMDSKTQDLPEDSELSELKNRRHKPGRRQKSGPGRTRSIKAVVEDAKLFLGESLKETEYNSSVQPNDISRNSDESRGINVTKKSDVARKRQRLPTEREQDAGDSEGHSESVTTGGRRKRQQIVAPEEPTPGQKRYNLRRHKIAGLTAATQASSDLMKGEKTADGAAAVEPIRNPETASGLSLGVTSENNKSTDVVQVTTLKSVELSQDKVVRFQTTDVDYQAEAAKSVGITELSEEVNGIPDFEDEAENGSTVHEDEDDYDEDELQHPGEVSMGKKIWTFFTT >Potri.017G098600.1.v4.1 pep chromosome:Pop_tri_v4:17:11038918:11042151:1 gene:Potri.017G098600.v4.1 transcript:Potri.017G098600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098600.v4.1 MSFLSKSTVLLLFVLCCTSFTSTEAYDPLDPNGNITIKWDVVNWTPVGYVAVVTIYNYQQYRHIEAPGWLLGWTWANNEVIWSMSGGQTTEQGNCSRFKGNIPRCCKKSPTVVDLSPRTPHNQKIANCCKGGLISSMAQDPANAASSFQLSVGSAGNTNKTVRIPKNFTMNTPGPGYTCGPAKIVRPSKFLSADKRRITQALMTWNITCTYSHFLTHKAPTCCVSLSSFNNKAIAPCPASSCGRRKNNTVSRGCVDPKSPHVPGHKNIITPLVQCTSHMCPIKIQWHLKLNYKDYWRVTIIITNLNYHMNYTQWNLIVQHPNFDNLTQVFGSKYKALTSFSTTNDTAMLWGIKHYNDVLMQAGRSGKVHLELLFRKDKATFTSKKGWAFPQRIYFNGDSCVLPPPDAYPLVA >Potri.009G078000.1.v4.1 pep chromosome:Pop_tri_v4:9:7518504:7522359:1 gene:Potri.009G078000.v4.1 transcript:Potri.009G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078000.v4.1 MVRFVQLYHPLPDHARWRHAEQYSRDLLQTTEEVDESSSTTPHHVFICRRTHPSHCLGIFHGENPLNCSFNIILFDLVLMILITTMLRLLLKPLKQPRIVSEIIAGIFVGPSVLGKSKKLTEQVLPDNAQFVVANLGAIGFAYFLFVTSVETDFSVLRKVGKKHVYSAVIGVFFPITTVCIVAYCFKSSIHGNLAKPVGIGSVASSVSVTVFPVIYLILKELRLLSSEVGRMAMATAMVSDVMGIILVFAFVAVKHGQHSGADALWFMISVIVLMAFAIIVIRSVLLWVVEKTPEGKPVDRSYVVLILLGVLVMTFFSDMFGLVFLHASLLLGIVMPDGPPLGATMVQRSRTIVMELLMPFTFAILGLNVDVFAMANYGWSNLEPLFAMVIAGYLSKLIATSAVALFFGVPFKESFTLGLMMNLRGLYEVTIFLKWLDEGILETPTYTLMLLLTTLMTGTCSALICFIYDPTKQYMTNKRRTIQHTPPGTELSILVGIHDEECVAGLINLLETSHPTMTSPFAVYAIHLFELVGRAFPVFIDHDKPERPPKYINYKKIHNALKLYQKPRSEYVKLRSYTVAAVKRTMHQDICDLALTYKATLILLPFCNKRLDNLAGSEIVRHVYGMQSINSRVLANSPCSIGILVDKGYTHNPIAMQYYHQLFFRRCVVLFLGGADSREALAYADRMATNPEVSLTAIRFLSYNNIGDDEMEKKLDDGVVTWFWVKNEGNSRVAYREVVVRNGEETLAAIQALDNDTNELWIVGRKQGINQVLLEGLSKLSENPELGVIGDYVASTDFGSTASVLVVHQQIMRG >Potri.009G078000.2.v4.1 pep chromosome:Pop_tri_v4:9:7518574:7522133:1 gene:Potri.009G078000.v4.1 transcript:Potri.009G078000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078000.v4.1 MVRFVQLYHPLPDHARWRHAEQYSRDLLQTTEEVDESSSTTPHHVFICRRTHPSHCLGIFHGENPLNCSFNIILFDLVLMILITTMLRLLLKPLKQPRIVSEIIAGIFVGPSVLGKSKKLTEQVLPDNAQFVVANLGAIGFAYFLFVTSVETDFSVLRKVGKKHVYSAVIGVFFPITTVCIVAYCFKSSIHGNLAKPVGIGSVASSVSVTVFPVIYLILKELRLLSSEVGRMAMATAMVSDVMGIILVFAFVAVKHGQHSGADALWFMISVIVLMAFAIIVIRSVLLWVVEKTPEGKPVDRSYVVLILLGVLVMTFFSDMFGLVFLHASLLLGIVMPDGPPLGATMVQRSRTIVMELLMPFTFAILGLNVDVFAMANYGWSNLEPLFAMVIAGYLSKLIATSAVALFFGVPFKESFTLGLMMNLRGLYEVTIFLKWLDEGILETPTYTLMLLLTTLMTGTCSALICFIYDPTKQYMTNKRRTIQHTPPGTELSILVGIHDEECVAGLINLLETSHPTMTSPFAVYAIHLFELVGRAFPVFIDHDKPERPPKYINYKKIHNALKLYQKPRSEYVKLRSYTVAAVKRTMHQDICDLALTYKATLILLPFCNKRLDNLAGSEIVRHVYGMQSINSRVLANSPCSIGILVDKGYTHNPIAMQYYHQLFFRRCVVLFLGGADSREALAYADRMATNPEVSLTAIRFLSYNNIGDDEMEKKLDDGVVTWFWVKNEGNSRVAYREVVVRNGEETLAAIQALDNDTNELWIVGRKQGINQVLLEGLSKLSENPELGVIGDYVASTDFGSTASVLVVHQQIMRG >Potri.019G018600.1.v4.1 pep chromosome:Pop_tri_v4:19:3059724:3061306:1 gene:Potri.019G018600.v4.1 transcript:Potri.019G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018600.v4.1 MDLASPSTNPTYASPIITSPTNTTTANTTATSTLATSISPPSTPSRYENQKRRDWNTFCQYLRNHRPPLTLPMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGLPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGARSVRIYLREVRDFQAKARGVSYDKKRKRPKAKVAAAAAVTAAAAADPSTG >Potri.006G212700.1.v4.1 pep chromosome:Pop_tri_v4:6:21922289:21923960:-1 gene:Potri.006G212700.v4.1 transcript:Potri.006G212700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX35 MGCVSSKLFKKELRQEIKFNNGGRCVNHVVSLTSSTYGALKLDCNNQQPPQQEQKQEPIKEIAEESKIMQQRSPTKEEPEVINTWELMGDLEEGVPALNQTKRSPKSRVLRRGFADLDVRSPLKFLNQIGSPRKAKTFGGKENKVKRSSDFSPKPVLRASNSSGNSSKAVLRLSYPVKGSPVGDKTESFRSESGVSPKRRRSFSPLFDPELVALYEKELSEEEEQIKRIILPSSRTKKVKDLRDLESILQSFEQKCPPGGENKVVIYTTTLRGIRKTFEDCNTARSIIESHHIHIVERDVSMDSGFKEELRRLMGTKEVKVPLVFVKGRLIGGADQVVKLEEEGKLEILFDGIPRGLAGGCEGCAGVRFMMCVQCNGSCKVLDEMQKKMVRCGECNENGLIQCPICC >Potri.006G206200.1.v4.1 pep chromosome:Pop_tri_v4:6:21442068:21449143:1 gene:Potri.006G206200.v4.1 transcript:Potri.006G206200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G206200.v4.1 MGNSQSPPADPRFSSATRAFTQKDLEDLNSLFVSLAAQSKSNNEYISLSVFQAYFGLKSSLGERLFDLVTQQRKDNKLTFHDLVIAKSVYEKGTRDDIEEFIYQLLNVTGDGVVGRCDIESVLAAILRSIFSLEASNPGLNSHREIINVFLSSAKFSKVVEGAAEKSMSFEDFRSWCALLPSVRKFLGSLLIPPDAGRLGSQVPQLVHGDNINPDLILLREEYAWHIGGALPHHELVEWKLLYHSAINGMSFNTFLGSTSNGEGPTILIIKDKDGYIYGGYASQPWERHGDFYGDLKSFLFQLYPKASIFKPTGANNNVQWCAANFSSESIPNGIGFGGRVNHFGLFLSASFDVGQTFTCTTFGSPCLSKTNRIFPEVIECWGIVQNGAQQEKLDAAKGTVLERFKEDRHMLNMVGLANSSE >Potri.011G092200.1.v4.1 pep chromosome:Pop_tri_v4:11:11871196:11877707:-1 gene:Potri.011G092200.v4.1 transcript:Potri.011G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G092200.v4.1 MSDLDRQIEQLKKCEPLKESEVKALCLKAMEILVEESNVQRVDAPVTICGDIHGQFYDMKELFKVGGDCPKTNYLFLGDFVDRGFYSVETFLLLLALKVRYPDRITLIRGNHESRQITQVYGFYDECLRKYGSVNVWRYCTDIFDYLSLSALIENKVFSVHGGLSPAISTLDQIRTIDRKQEVPHDGAMCDLLWSDPEDIVDGWGLSPRGAGFLFGGSVVTSFTHSNNIDYICRAHQLVMEGYKWMFRNQIVTVWSAPNYCYRCGNVAAILELDENLNKQFRVFDAAPQESRGAPSKKPAPDYFL >Potri.002G050150.1.v4.1 pep chromosome:Pop_tri_v4:2:3386802:3387464:-1 gene:Potri.002G050150.v4.1 transcript:Potri.002G050150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050150.v4.1 MANKLVAVFLMCIVAAAAMHLTTANKVDDHYAACFNDCEDKCKSEGNGYSFCEMKCDADCVAKETLLNFKDLHFK >Potri.014G034800.1.v4.1 pep chromosome:Pop_tri_v4:14:2185969:2190255:1 gene:Potri.014G034800.v4.1 transcript:Potri.014G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034800.v4.1 MNTRVRTRLHSMKAPMKHEKEKVGMQGSKPNVAKKAANKRQASSRERKIALQEDVDKLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRTTLELLAEVAVLEEEVVRLEEQVVHFRQDLYQEAVYMSSSKRNVESVSDLYHLYPNKNPKPDQSKSLAQNVDESATSTIRHLPSLSADGTGKENAFSTANSRKNSKGSSINKAQTSRNMVKRPSEDNRPAEKKLDSHKSQLECRVPDQENAEARSHVTASEGVTGDASPNKLSEDILKCLSSIFVRMSSMKNRRTADNLSFLSTLVSQENEEEAECQDPYGICSEFGKRDIGPYKRLFSIESGTINPNRTSNSLFLLHRLELLFGKLASVNLQNLTHQKKLAFWINIYNSCMMNAFLEHGIPESPETVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALCCGSWSSPAVRVYTAAQVENELEEAKRDYLQAAIGITTSKFAIPKLLDWYLLDFAKDLESLLDWICLQLPSELGKEAINCLEKGKNEPHSHFVQVMPYEFGFRYLLYTK >Potri.014G034800.3.v4.1 pep chromosome:Pop_tri_v4:14:2185968:2190251:1 gene:Potri.014G034800.v4.1 transcript:Potri.014G034800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034800.v4.1 MNTRVRTRLHSMKAPMKHEKEKVGMQGSKPNVAKKAANKRQASSRERKIALQEDVDKLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRTTLELLAEVAVLEEEVVRLEEQVVHFRQDLYQEAVYMSSSKRNVESVSDLYHLYPNKNPKPDQSKSLAQNVDESATSTIRHLPSLSDGTGKENAFSTANSRKNSKGSSINKAQTSRNMVKRPSEDNRPAEKKLDSHKSQLECRVPDQENAEARSHVTASEGVTGDASPNKLSEDILKCLSSIFVRMSSMKNRRTADNLSFLSTLVSQENEEEAECQDPYGICSEFGKRDIGPYKRLFSIESGTINPNRTSNSLFLLHRLELLFGKLASVNLQNLTHQKKLAFWINIYNSCMMNAFLEHGIPESPETVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALCCGSWSSPAVRVYTAAQVENELEEAKRDYLQAAIGITTSKFAIPKLLDWYLLDFAKDLESLLDWICLQLPSELGKEAINCLEKGKNEPHSHFVQVMPYEFGFRYLLYTK >Potri.004G183100.1.v4.1 pep chromosome:Pop_tri_v4:4:19701084:19704188:-1 gene:Potri.004G183100.v4.1 transcript:Potri.004G183100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183100.v4.1 MACKLPAQLATPGKLHHHCQFTITSAFIPLSWRRTLSPEPLLSLSTSFPSGKCNGKQLVTCSAIGTAEATGAVNLGPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGAIVVNADLSKPETIPATMVGVHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFLQDSGLPHVIIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRIAYMDTQDIARLTLVALRNEKINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRVTRQLTRLFEWTNDVADRLAFSEVLTSDVVFSVPMNETYSLLGVEQKDIVTLEKYLQDYFTNILKKLKDLKAQSKQSDIYF >Potri.001G469200.1.v4.1 pep chromosome:Pop_tri_v4:1:49317403:49319080:1 gene:Potri.001G469200.v4.1 transcript:Potri.001G469200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469200.v4.1 MQSSKAPAPMEVEIAPREQKSEGTLPAKPKFEPLKAHEMSDGRVQFRKVSVPSHRYSPLKKAWMEIYTPVYEQMKIDIRMNLKARKVELKTRSDTPDVSNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFQNIKVARDSLCSLILGSPAGKVYSKLRQVTARLAERF >Potri.008G225000.1.v4.1 pep chromosome:Pop_tri_v4:8:18592734:18596894:1 gene:Potri.008G225000.v4.1 transcript:Potri.008G225000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G225000.v4.1 MASKTHVPVSATQGTTPSLLSSTGFFPIRAPVTVDGFQSDGYKTDSSESNISGSSCVDSEFASGEEDFDTASERPFLGDPDEENSEEGGFGGRYRVSWPFAGDPDEESLVLAEEEESSVTDEFGTVVELDNANSNGVRPIAQLSLDDDELYEVAGDEGMMNGVEDGRLSDVVKVPSFGVQEREDTVLRVKALGTEEEKEGELLVQSNSSSVDNQSGLLMERDDGPDNVVLGPKDAAVMNGSVNDSGSVNLVEDNSHVVTVIQPINLLVNDESPETSEGDRMEDLRESYTTSHDIKDEANLDSETIGGQEGLLSDDHIEELIFGGSGTTKHIMNGLPQNLAFSSPPGIEAYHDHPQTIDAQITMDSDEDTDSDEEADVVKEPVGKQLFDYDALAALLKAATGAELDGGRIAISSVDGSGLFSLERPAGSGFPFHSRRPAPPPDVLKCTLSEEEEKILEKIHNIRVKFLRLVQRLGQSPEDSIVESVLHRLDPGEGKRFSRVFSLENAKSMATQLEAEGKDDLDFSLNILVLGKTGVGKSATINSIFGEKRVEINAFAPATTRVNEIVGTINGVKIRIIDTPGLMSSVKEEATNRKILASIKKSINKFPPDAVLYVDRLDTHDRDRNDLLLLRSLSRTLTSSIWNGAIVTLTHAASPPPDGPSGSSLGFDVYVAQRSRVIQQAIIQSVGDPHLMHPSMKRPVSLVENHSLCQKNENRENVLPNGQSWRPQLLLLCYSLKVLSEASSVSKPEDVIDDKKPFGLRLRALPLPHMVSSLLQSRPHLKLPTDLSGEDIDSDMDLVDLSDSDGEDEDEYDQLPPFKPLRKSQVQKLSKEQRKAYFEEYDYRVKLLQKKEWRDELKRLKEIKKRGKNSRNDYHDIGEDVDQEDEGPTPVPVPLPDFVLPHSFDSDNPSYRYRVLEPASQFLVRPVLDARGWDHDCGYDGVSIESNLAVAGRFPGAFTVQITKDKKDFNIQLDSSICAKHGESGSTMAGFDIQTIGRQLAYILRSETKLKKFKLNKTSAGISITLLGENVVTGLKIEDQIAVGKRLALVGNAGTVRSGGDTAYGANLEVHLKSKDFPIEQDQSTLGLSLMKWRGDLGLMAHLQCQFSVGRNSKMAIRVGMNNKLSGQISIKTKSSELQAALIVIVPVAVSILRSIYPGSNAGSSNKLDY >Potri.014G112900.2.v4.1 pep chromosome:Pop_tri_v4:14:7599466:7602424:1 gene:Potri.014G112900.v4.1 transcript:Potri.014G112900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112900.v4.1 MEEELRLPVRLMNFVSEEQLDEAKKSRGERVEDGTAQRDRPLFEILKENKDKKDAEFNERLKHRPPKALDEDETEFLDNLEMSKKEYEQQIADEEARQLRSFQAAVAAQSFSVDDLKETPLVPPVPEQKSIGRKNPPARPLSMIIKVKPQAKKAKMDLGNVEEHLEIGKTPDFNMEKSSDTVKVPSNDVAKTGLVSYSDESEDDD >Potri.018G109201.2.v4.1 pep chromosome:Pop_tri_v4:18:12717718:12729067:-1 gene:Potri.018G109201.v4.1 transcript:Potri.018G109201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109201.v4.1 MEDETVSLISNGETSVVEEFSDSNSSTHPFPASKRARSGSNISASKFKGVVPLPNGHWGCQIYANHQRIWLGTFKSEKEAAMAYDSAAIKLRSGDSRRNFPLTDVTVEEPKFRSYFSTEVVLNMIKDGTYQCKFANFTRTCSQSVETELSLKLMMPQSNQGLTCKQLFQKELTPSDVGKLNRIVIPKKYAIKYFPHISESAEEVDGVMLAFYDKSMKLWKFRYCYWKSSQSYVFTRGWNRFVKEKKLKANDTISFSLCERGETVDSAAQTFNMIDVNNRENSSSISELSSQSIASKVELQLNPGPLIARDSTVKKKERMRGANKRTQNAEKVGFKLFGIQII >Potri.019G064800.3.v4.1 pep chromosome:Pop_tri_v4:19:10490622:10491122:1 gene:Potri.019G064800.v4.1 transcript:Potri.019G064800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064800.v4.1 MGPAVPIIELVLDRQDVVWKMFGSNSMVRVTKKSVDVWCLGFVDGGIDGPSIMIGGLQLEDNLLQFDLQSQKLGFSSSILSKGTNCADYEFPTRKV >Potri.019G064800.2.v4.1 pep chromosome:Pop_tri_v4:19:10489391:10490974:1 gene:Potri.019G064800.v4.1 transcript:Potri.019G064800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064800.v4.1 MKTHSQNATSCFFIRVKSITVGKIPKRYAVMCSTQRDLEMHRRQNRTCTYFPSPPLQTPLQTPIQKDHSTSQYIITAYLKTPLMPTKLVLDLGATYSWVNCDDYISSTYQHVPCNSSISNSLSGYGCEDICDGPPGPNCANNSFLFIPNNPIKPVDYKKVNGLNDALVDYLALLNNLGSLSSIDNFIFSCARTGFLKGLAKGVTGLASLGNSNLSIPVQINKAFSSSPNCFAMCLSGSISQPGVALFGSKGPYNFLHGIDLSKSLLYTPLIFNPFGKDFDPYSHRSPEYYVGLTSIKVNGKMVAFNKALISTVVPYTKLQSSIYKAFTLAFLKEAASSAFNLTTTKPVKPFRVCYPAGAVKTTQMGPAVPIIELVLDRQDVVWKMFGSNSMVRVTKKSVDVWCLGFVDGGIDGPSIMIGGLQLEDNLLQFDLQSQKLGFSSSILSKGTNCADYEFPTRKV >Potri.006G235901.1.v4.1 pep chromosome:Pop_tri_v4:6:23807625:23809566:-1 gene:Potri.006G235901.v4.1 transcript:Potri.006G235901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235901.v4.1 MQCVNLVPILAPFQTGRWMMMANQEETGIMWTASAHIITTIIGSGVLSLAWGMAQLGWIAGIGILLTFSVITYYTSSLLADCYRFPKPISGK >Potri.010G217600.1.v4.1 pep chromosome:Pop_tri_v4:10:20416315:20419299:1 gene:Potri.010G217600.v4.1 transcript:Potri.010G217600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217600.v4.1 METPPRDPQNSKPQSEQDLLGTLTKILTSENVSFESLKPYIPHLSTNPSLLISLLNSKTLIQNPNTLLQFYTYLPPSITHHSPLPLLSLLPSILRYRHFYAAKSLLSSFIPVDKSSSLHYLLLHPQKTNNPVSCLHISKPLLDISIGAYVACGRPHQAAQIFNRMKRLGMQPTLLTCNTLLNALVRFPSSHSIRLSKAVFTDFIKIGVKINTNSFNILIHGSCMENRFGEAIRVLGKMRDYGCPPDNITYNTILDGLCKKGRLNEARDLLLDMKNKGLFPNRTTFNILVVGCCRLGWLKEAANVIELMSQNSVVPDAWTYNVMISGFCKQGRIAEAMRLREEMENLKLSPDVVTYNTLINGCFEHGSSEEGFKLIEEMEGRGMKPNSVTYNVMVKWFVKKGKMDEVDKTVRKMEESGCLPDIVTYNTLISWHCKVGKMDEAFRLMDEMGRKGLKMDDVTLNTMLRALCRERKLDEAHDLLCSARRRGYFVDEVSYGTLIIGYFKHEKASQALRLWDEMKEKEIIPSIITYNSMIAGLCQMGKTNQAIDKLDELLESGLVPDEITYNTIIHGYCQEGQVEKAFQFHNKMVEKNFKPDVVTCNTLLCGLCKEGMLEKALKLFNTWISKGKDVDAVSYNTIILSLCKEKRFGEAFDLLEEMEEKKLGPDCYTYNAILGGLTDAGRMKDAEEFISKIAEKGKSENQFLELGKRQDARTSEIPQEPHPNAIAYSNKINELCSQGRYKDAMKIFHESTQKNIILLKSTYIDLMDGLIKRRKSTSKGMLSS >Potri.010G217600.2.v4.1 pep chromosome:Pop_tri_v4:10:20417128:20419308:1 gene:Potri.010G217600.v4.1 transcript:Potri.010G217600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217600.v4.1 MRDYGCPPDNITYNTILDGLCKKGRLNEARDLLLDMKNKGLFPNRTTFNILVVGCCRLGWLKEAANVIELMSQNSVVPDAWTYNVMISGFCKQGRIAEAMRLREEMENLKLSPDVVTYNTLINGCFEHGSSEEGFKLIEEMEGRGMKPNSVTYNVMVKWFVKKGKMDEVDKTVRKMEESGCLPDIVTYNTLISWHCKVGKMDEAFRLMDEMGRKGLKMDDVTLNTMLRALCRERKLDEAHDLLCSARRRGYFVDEVSYGTLIIGYFKHEKASQALRLWDEMKEKEIIPSIITYNSMIAGLCQMGKTNQAIDKLDELLESGLVPDEITYNTIIHGYCQEGQVEKAFQFHNKMVEKNFKPDVVTCNTLLCGLCKEGMLEKALKLFNTWISKGKDVDAVSYNTIILSLCKEKRFGEAFDLLEEMEEKKLGPDCYTYNAILGGLTDAGRMKDAEEFISKIAEKGKSENQFLELGKRQDARTSEIPQEPHPNAIAYSNKINELCSQGRYKDAMKIFHESTQKNIILLKSTYIDLMDGLIKRRKSTSKGMLSS >Potri.007G062162.1.v4.1 pep chromosome:Pop_tri_v4:7:7042638:7042739:-1 gene:Potri.007G062162.v4.1 transcript:Potri.007G062162.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062162.v4.1 MAPCALISYLDSDLRALPKCFKEGYPDVGLLLA >Potri.014G156600.1.v4.1 pep chromosome:Pop_tri_v4:14:11056097:11056321:1 gene:Potri.014G156600.v4.1 transcript:Potri.014G156600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156600.v4.1 MKLFHLFLLLALLIFFSSTPRSSHAARRSFSAPSTSQQVFRSPFRASPFAERAKEFESQKRKVPTGSNPLHNKR >Potri.018G003800.2.v4.1 pep chromosome:Pop_tri_v4:18:291668:295647:-1 gene:Potri.018G003800.v4.1 transcript:Potri.018G003800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003800.v4.1 MQNPISDKGKKQIGKEKDMEGDAKEETLPPGFRFHPTDEELITYYLQNKISDADFSCRAIGDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSQLVGMKKTLVFYRGRAPRGEKTNWVMHEYRVHAKAGFRASKQDEWVVCRIFQKSAGAKKYPSNQSRAGNPLYNLEIGPSVMPSQMMQAADNFQLPIGRNYMMSNAELQAELARVFRAGGSTGINLPMQSPLNNSYSVGGGAGGCFTVSGLNLNLGGATSQPVLRPMPPPVMNQHDVTPSMMTSTSSYAVEQAAYGAEMNNANGPSNRFMGMQQCMDLENYWPAY >Potri.018G003800.6.v4.1 pep chromosome:Pop_tri_v4:18:291670:295216:-1 gene:Potri.018G003800.v4.1 transcript:Potri.018G003800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003800.v4.1 MEGDAKEETLPPGFRFHPTDEELITYYLQNKISDADFSCRAIGDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSQLVGMKKTLVFYRGRAPRGEKTNWVMHEYRVHAKAGFRASKQDEWVVCRIFQKSAGAKKYPSNQSRAGNPLYNLEIGPSVMPSQMMQAADNFQLPIGRNYMMSNAELQAELARVFRAGGSTGINLPMQSPLNNSYSVGGGAGGCFTVSGLNLNLGGATSQPVLRPMPPPVMNQHDVTPSMMTSTSSYAVEQAAYGAEMNNANGPSNRFMGMQQCMDLENYWPAY >Potri.018G003800.1.v4.1 pep chromosome:Pop_tri_v4:18:291668:295647:-1 gene:Potri.018G003800.v4.1 transcript:Potri.018G003800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003800.v4.1 MQNPISDKGKKQIGKEKDMEGDAKEETLPPGFRFHPTDEELITYYLQNKISDADFSCRAIGDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSQLVGMKKTLVFYRGRAPRGEKTNWVMHEYRVHAKAGFRASKDEWVVCRIFQKSAGAKKYPSNQSRAGNPLYNLEIGPSVMPSQMMQAADNFQLPIGRNYMMSNAELQAELARVFRAGGSTGINLPMQSPLNNSYSVGGGAGGCFTVSGLNLNLGGATSQPVLRPMPPPVMNQHDVTPSMMTSTSSYAVEQAAYGAEMNNANGPSNRFMGMQQCMDLENYWPAY >Potri.018G003800.4.v4.1 pep chromosome:Pop_tri_v4:18:291671:295501:-1 gene:Potri.018G003800.v4.1 transcript:Potri.018G003800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003800.v4.1 MNPISDKGKKQIGKEKDMEGDAKEETLPPGFRFHPTDEELITYYLQNKISDADFSCRAIGDVDLNKCEPWDLPGKAKMGEKEWYFFSLRDRKYPTGVRTNRATNTGYWKTTGKDKEIFNSVTSQLVGMKKTLVFYRGRAPRGEKTNWVMHEYRVHAKAGFRASKDEWVVCRIFQKSAGAKKYPSNQSRAGNPLYNLEIGPSVMPSQMMQAADNFQLPIGRNYMMSNAELQAELARVFRAGGSTGINLPMQSPLNNSYSVGGGAGGCFTVSGLNLNLGGATSQPVLRPMPPPVMNQHDVTPSMMTSTSSYAVEQAAYGAEMNNANGPSNRFMGMQQCMDLENYWPAY >Potri.010G140700.1.v4.1 pep chromosome:Pop_tri_v4:10:15404944:15406161:1 gene:Potri.010G140700.v4.1 transcript:Potri.010G140700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140700.v4.1 MSASKNTNLGDPQKKPPSSTLKLLGFSVKRYQEELPATTPFNIEYIKKFECQYCHRGFANSQALGGHQNAHKRERQRAKRALYFFKDHQHQRIKPAGPIINAHAARSGPLICASGSRSIKAAAGVARFECPAQSPSLLMLDHHIDPRPLVGPVGAGAISATSLIAEDEGAGVDLHLRLAPLHP >Potri.008G168600.4.v4.1 pep chromosome:Pop_tri_v4:8:11653682:11655370:-1 gene:Potri.008G168600.v4.1 transcript:Potri.008G168600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168600.v4.1 MVRSQMNFKRLSLTDIKIEINRVPKKKALIEAMEKADVKNKWEKSSWGRRLIVQQRRAALNDFDRFKLMLAKIKRGGLIRQELAKLKKENAA >Potri.008G168600.3.v4.1 pep chromosome:Pop_tri_v4:8:11653572:11655374:-1 gene:Potri.008G168600.v4.1 transcript:Potri.008G168600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168600.v4.1 MPFKRYVEIGRVALVNYGKEYGRLVVIVDVIDQNRALVDAPDMVRSQMNFKRLSLTDIKIEINRVPKKKALIEAMEKADVKNKWEKSSWGRRLIVQQRRAALNDFDRFKLMLAKIKRGGLIRQELAKLKKENAA >Potri.012G061300.1.v4.1 pep chromosome:Pop_tri_v4:12:6275340:6284304:1 gene:Potri.012G061300.v4.1 transcript:Potri.012G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061300.v4.1 MYGGDEVSAIVIDLGSHTCKAGYAGEDAPKAVFPSVVGSIDQMDVDDMTSNEKNAAVDSKNNVKDSEKGKGKRKLFVGSQALGFRRDHMEVLSPFKDGIVADWDIVDSIWDHAFRECLLIDPKEHPMLLAEPSSNSQQQRERTAELMFEKYTTPALFLAKNAVLTSFASGRATSLVVDSGGGSTTIAPVHDGYVIQKAVAFSPIGGELLTDCLMKSLESKGVMIKPRYSFKRKEIQPGVFQSVDLDFTNTTDSYRLYSQRMIASDIKECVCRAPDTPYDESSYSNIPMTPYELPDGQTIEIGADRFKIPDILFNPSLVQTIPGMDNFVEIAPSVRGLPQMVIESINKCDVDIRRELFSSILLAGGTASMQQLKERLEKDLLEESPQAARVKVLASGNATERRFSVWIGGSILASLGSFQQMWFSKAEYEEHGASYVQRKCP >Potri.008G105800.1.v4.1 pep chromosome:Pop_tri_v4:8:6687698:6690768:1 gene:Potri.008G105800.v4.1 transcript:Potri.008G105800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105800.v4.1 MARIKPQALLNQSKKKKGPARISATTIFLCNLVVLVIILSLVATYRHWSQRSKNQPGSGLSTFEDTADSFADSKKYDLPGYAILNTSKGYITVELYKDGSPMIVDKFLDLCQKGYFKGIPFHHVIKHYVIQAGHSQGLGAAEDWTTKGKLHSRLATSPKHEAFMIGTSKTRDSTGFELFITTAPIPDLNDKILVFGRAVKGEDVVQEIEEVDTDEHYRPKSPVGIIGVTLKREI >Potri.008G105800.2.v4.1 pep chromosome:Pop_tri_v4:8:6687565:6690890:1 gene:Potri.008G105800.v4.1 transcript:Potri.008G105800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105800.v4.1 MARIKPQALLNQSKKKKGPARISATTIFLCNLVVLVIILSLVATYRHWSQRSKNQPGSGLSTFEDTADSFADSKKYDLPGYAILNTSKGYITVELYKDGSPMIVDKFLDLCQKGYFKGIPFHHVIKHYVIQAGHSQGLGAAEDWTTKGKLHSRLATSPKHEAFMIGTSKTRDSTGFELFITTAPIPDLNDKILVFGRAVKGEDVVQEIEEVDTDEHYRPKSPVGIIGVTLKREI >Potri.008G105800.3.v4.1 pep chromosome:Pop_tri_v4:8:6687836:6690848:1 gene:Potri.008G105800.v4.1 transcript:Potri.008G105800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105800.v4.1 MARIKPQALLNQSKKKKGPARISATTIFLCNLVVLVIILSLVATYRHWSQRSKNQPGSGLSTFEDTADSFADSKKYDLPGYAILNTSKGYITVELYKDGSPMIVDKFLDLCQKGYFKGIPFHHVIKHYVIQAGHSQGLGAAEDWTTKGKLHSRLATSPKHEAFMIGTSKTRDSTGFELFITTAPIPDLNDKILVFGRAVKGEDVVQEIEEVDTDEHYRPKSPVGIIGVTLKREI >Potri.002G017200.2.v4.1 pep chromosome:Pop_tri_v4:2:1041587:1047390:-1 gene:Potri.002G017200.v4.1 transcript:Potri.002G017200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017200.v4.1 MKPMSLEENTGKLQASEDEVNGDHSQKISSSRFSSLLATKDRDYLLSQDGTQVKVSDLEGKVLGLYFSANWYVPCRSFTTQVLVGAYEHLKSKGSNFEIVFISSDEDLDAFNNYRANMPWLSIPFSDLETKRALNSKFEIEAIPFLVILQPEDNKYEATIHDGVELLNRFGVQAFPFTKERLEELEMEEKEKRESQTLINLLTNHDRDYLLGHPAAKQVPVASLVGKTLGLYFSAQWCLPGVKFTPKLISIYQKIKQMVVHKGNEDDFEIVFVSSDRDQAAFDSYFNSMPWLTLPFGDPANKILAKHFDVKGIPCLVILGPDGKTVTKHGRNLINLYKENAYPFTEAQVDLLEKQIDEEAKSLPKSKYHAGHRHELGLVSEGTGGGPFICCDCDEQGSGWAYLCLECGYEVHTKCVRAVDRGSMVDS >Potri.006G197200.3.v4.1 pep chromosome:Pop_tri_v4:6:20493532:20504892:-1 gene:Potri.006G197200.v4.1 transcript:Potri.006G197200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G197200.v4.1 MAVSEEECSNAKVRSSSSPSSSSSSAPSNGVHYLAKSVLRGSAVLHAIYGHFRSSFSYDIVFGKETSIELAIIGEDGIVQAICEQPLFGTIKDMAVVPWNDKFHAQTPRVQGKDHLVVISDSGKLTFLTFCNEMHRFFPLTHIQLSNPGNSRHQLGRMLAIDSSGCFVATSAYEDQLALFSLSASGGSEIIDERILYPPENEGNANVARSIQRPLTSGTIWSMCFISRDSSHPSKEHNPVLAIILNRRGALLNELLLLRWDIRDHAISYISQFVESGPLAHDIVEVPHSNGFALMFRVGDVLLMDLRDALHPRCVCRTSLNYFPNAVEEQNFVEDSRVTDFDEDGSFNVAARALLELQDYDPMCIDGEGSNVKSTLKHACSWSWEPDNDKNPRMVFCADTGEFFMIEISYDGEDLKVNLSDCLYKDLSCKTLLWVDDGFLAALVEMGDGIVLKMENESLQYISPIQNVAPILDMSIVDYHDEERDQMFACCGVAPEGSLRIIRSGIIVEKLLKTAPIYQGITGTWTVGMKVADLHHSFLVLSFVEETRVLSVGLSFTDVTDLVGFQPDVCTLACGLVGDGLLVQIHQTAVRLCLPTRAAHPEGIPLSSPVCSSWFPANMGINLGAVGHDLIVVSTSNPCFLYILGVRCLSPFHYEIFEMQHLRLLNELSCISIPQKYFERRRSSFMNHAVGSCAAALPVGVDTGNTFVIGTHKPSVEVVSFVPGDGLRIIASGTISLTSSLGTTVSGCIPQDVRLVLADRFYVLSGLRNGMLLRFEWPSASSMFSVEIPSHGCSIGSCMLSSDTAISNTAAISLEPKMLAVDSIDNTMDDLPINLQLIATRRIGITPVFLVPLSDSLDSDMIALSDRPWLLHAARHSLSYTSISFQPSTHATPVCSVECPKGILFVADNSLHLVEMVHSTRLNVQKFHLGGTPRKVQYHSESKLLLVMRTELSNDNDTCSSDICCVDPLSGSTVSSFKLERGETGKSMELVKIGNEQVLVIGTSLSSGPAIMPSGEAESTKGRVIVLCLENLQNSDSGSMTFCSKAGSSSQRTSPFREIVGYAAEQLSSSSLCSSPDDTSCDGVKLEETETWQLRFVSATTLPGMVLAICPYLDRFFLASAGNSFYVCGFANDNKRVKKFAVGRTRFMIMSLTAYHTRIAVGDCRDGILFYAYHVESKKLEQLYCDPSQRLVAGCVLMDVDTAVVSDRKGSIAVLSRSDRFECTGSPECNLTLNCAYYMGEIAMSIRKGSFTYKLPADDILTGCDGVITKMDASNNTIVASTLLGSIIVFIPLSREEFELLQAVQSRLVVHPLTAPVLGNDHHEFRSRENPVGVPKILDGDMLAQFLELTSSQQEAVLSLPLGPPDTIKTNLKPFSTLPISISQVVQLLERVHYALN >Potri.016G039100.1.v4.1 pep chromosome:Pop_tri_v4:16:2401015:2404367:1 gene:Potri.016G039100.v4.1 transcript:Potri.016G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039100.v4.1 MSETHLSEIRVDPLINKEEGIHNNQPQELKMDETLGNYSLKKNERSSWFSSIMTEVKDTKNNQPPGPKIPKVSNKLRNKNEKCYSPGMVSIGPYHYPDKNLREADHHNNLREADNNLTEADHHNNLKEAEILKFSMARQFIVDSGKSIELVYREVEEGIQTAREFYNKIDIIGYDDEQFTKMIFLDGCFILQFIHCVTHNYENLEMTDRQVAAVKRDLLLLENQIPFQVLKSLTSLRYEKDGTNHGMKHVNDFLFFHILNPKDQPLRTRKLLWSTVCLLLLFLPIALPFVLSRTFIGHNHDLVFLSMVPPFAMMQLCVLIPAWLLWLLLRKGRKASGYDSQWQPDEEPAHLLQLLYFLFVGPHNIKGKSSSRRCGHCLSYLATCLKKFIPRKYKTVVAQGRRGHYLYYSAKELKKVGIHFMSSQTIALMDVKFKSSYFHGTLKLPSITIDESTRSMLFNLVAFETSAALNPLRVTSYICFMDSLIDDADDVKELRSKGIIVNFHGPDQQVADLFNHMGRFLEPDTSVYNDVKREINEQCESIVKKWVAQWHQTYFQSPWAFIAVAAAAVGLALTATQTYYAMHPRDPPKTNSTECANAPT >Potri.010G213900.1.v4.1 pep chromosome:Pop_tri_v4:10:20189822:20190915:-1 gene:Potri.010G213900.v4.1 transcript:Potri.010G213900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213900.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.001G178600.2.v4.1 pep chromosome:Pop_tri_v4:1:15584336:15584398:-1 gene:Potri.001G178600.v4.1 transcript:Potri.001G178600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178600.v4.1 MQLSCFLFLQPLKKSFNLIS >Potri.001G178600.1.v4.1 pep chromosome:Pop_tri_v4:1:15584013:15585185:-1 gene:Potri.001G178600.v4.1 transcript:Potri.001G178600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178600.v4.1 MLLGTHSELQIQLCLHLLLLIPWNKGWYIPGMFFCMKVQSQNLSYPSSQASTDTLEQGIVYTRTFYCMKVESQNLSYPSSQASTLFSLL >Potri.010G183400.1.v4.1 pep chromosome:Pop_tri_v4:10:18111401:18114779:1 gene:Potri.010G183400.v4.1 transcript:Potri.010G183400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183400.v4.1 MENQNKSLNSFYNYPFQNLADSCCMSNKKKEKWKIMSQLQNPFSLYTHIFLLLQLLLISAFQPVSSQVWDGVIVTQADFQALQAFKHELVDPKGVLRSWNDSGYGACSGGWIGIKCAQGQVIVIQLPWKGLGGRITEKIGQLQELRKLSLHDNVIGGSIPQELGFLPNLRGVQLFNNRLSGSIPPSLGSCPLLQTLDLSNNLLTGSIPFSLANSTKLFRLNLSHNSLSGLIPVSLTSSSSLIFLDLQYNNLSGAIPNSWGATQKKSNFLPLQHLSLSHNFFSGSIPASLGKLRELQDIYVSHNQINGAIPVEIGGLSRLRTLDLSNNAINGSLSDSLSNVSSLVLLNLENNDLDNQIPEAIGRLHNLSVLNLKGNQFSGHIPATIGNISTLTQLDVSENKLSGEIPDSLADLNNLISFNVSYNNLSGPVPIPLSQKFNSSSFVGNIQLCGYSGTAPCPSHAPSPSVPVPPPEKPKKHHRKLSTKDIILIAAGALLVVMLIICCILLCCLIRKRAASKSNNGQATTRAAAARGEKGVPPAAGEVESGGEAGGKLVHFDGPMVFTADDLLCATAEIMGKSTYGTVYRATLEDGNQVAVKRLREKITKGQREFESEVNVLGKIRHPNLLALRAYYLGPKGEKLLVFDYIPKGSLATFLHARGPDTLIDWPTRMKIAQGMTRGLFYLHNNENIIHGNLTSSNVLLDERTNAKIADYGLSRLMTAAASTNVIATASVLGYRAPELSKLKKANTKTDVYSLGVIILELLTGKSPGEAMNGVDLPQWVASIVKEEWTNEVFDLELMKDASIIGDELLNTLKLALHCVDPSPSARPEVQLVLQQLEEIRPETAASPGPSGDDGAGVPSTSD >Potri.009G058300.1.v4.1 pep chromosome:Pop_tri_v4:9:6106710:6110301:-1 gene:Potri.009G058300.v4.1 transcript:Potri.009G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058300.v4.1 MQPQPLSFTNMSWSLSISTPPSKNLFAGSNGNQDPQFLTIQSSKWCPDPTSTGLQRQSKKELSRILRTEAAIKAIEQKANSKKYNNLWPKAVLEALDDAIKENQWESALKIFELLRKQHWYEPRTKTYTKLLMMLGKCRQPEEGSLLFEVMLSDGLKPTIDVYTALVSAYGKSGQLDKAFSIVVEMKAISECKPDVYTYSILINCCIKLHRFELIRGILAEMSYLGIECSSVTYNTIIDGYGKAKMFEEMENTLTDMIESGSSVPDLFTFNSIIGAYGSSGRIDKMEKWYTEFQLMGLRQDIKTFNILIRSYGKAGMYGKMRSVLEFMENRFFSPSIVTHNIIIETFGKAGDIETMEEYFSKMKHLGIKPNTVTYCSLVSAYSKAGHIMKVDSILRQVENSDVILDTPFFNCVISAYGRAGDIEKMSELFLGMEGRKCKPESITFATMIQAYNAQGMIEAAQGMENMMIATRKNSGTRLIGA >Potri.009G058300.2.v4.1 pep chromosome:Pop_tri_v4:9:6106601:6110198:-1 gene:Potri.009G058300.v4.1 transcript:Potri.009G058300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058300.v4.1 MMLGKCRQPEEGSLLFEVMLSDGLKPTIDVYTALVSAYGKSGQLDKAFSIVVEMKAISECKPDVYTYSILINCCIKLHRFELIRGILAEMSYLGIECSSVTYNTIIDGYGKAKMFEEMENTLTDMIESGSSVPDLFTFNSIIGAYGSSGRIDKMEKWYTEFQLMGLRQDIKTFNILIRSYGKAGMYGKMRSVLEFMENRFFSPSIVTHNIIIETFGKAGDIETMEEYFSKMKHLGIKPNTVTYCSLVSAYSKAGHIMKVDSILRQVENSDVILDTPFFNCVISAYGRAGDIEKMSELFLGMEGRKCKPESITFATMIQAYNAQGMIEAAQGMENMMIATRKNSGTRLIGA >Potri.011G118000.1.v4.1 pep chromosome:Pop_tri_v4:11:14902429:14914734:-1 gene:Potri.011G118000.v4.1 transcript:Potri.011G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118000.v4.1 MSRGTDRLIKSVKQFADLQYKLFTARYGQQVIDIFEFPIKLVLSPFTLAFDIAGSAPRGFGVPELVSKLSYASIFVVATLGTYDIALEMGKKVICQRNCQTCNGWQALRCHMCKGAGKVHYQVKNYTLRSGEKATAECIADAIADNRAELVHLPSSMDLNMPLPSKDCPTCDGTGVMSCPECKNKLQVRISADDIMEPPWQAYNVLSKMEYPYEHIVDSMKDPSIAAFWLFSFPEIMGGFNYDGDIKQKIWWQYKESMRYDQLRDMVAKRKPGWEHLQEALISIDPIRARDDPIVVKNVPYYKAKKALEAEVMKLDPPSRPQNWGELDLPLNVSSWSEEDLKKPEKFYEMTVLLNAQREIADKILDAQWETKWRQEKLNELLEEKVRPYIQNINSGALPRPIIIQPQNQDKKRRQRRWWFF >Potri.006G280800.1.v4.1 pep chromosome:Pop_tri_v4:6:27023191:27026832:-1 gene:Potri.006G280800.v4.1 transcript:Potri.006G280800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280800.v4.1 MPRRTRNSDKLSSSSSSATTLKPPQDSSARKPDFHNNGLSPQKHNPTEKRSLVCGIPCSGSTKFPISRYNRVREVSTPRRSLRLSLLQSPNANQLVSNKISNCKPDDRRVVSSNEVKGEVEMKKVSTRRRSLRLSLLQGTNANQLVSNKTSDCKSDDTRVLSSNEVKGEVEMKTSNRSCVITFGFKAAKARVSAIDVCETNEQEINNNNRKRKRTDEANKRAVHEWTKEQEMVLQRAYFTTKPTPHFWKKVSKLVPGKSAQDCFEKVNSDHMTPPQALRRSRAKRINSSPLESSSLSASKILHPSGPKSKRLRCEQKDHLAHKNVRELLQNQNRMDRDYEADFFSIFEPDMNPSTQDSQLAVKISTPEHSKVKQGFLHKFHEKSSSGHKKPLSRLSSCGINIVSPPVLKQVKNRALHEKYIDQLHCREARRKAAYARAGKSAGKKNSGEINVQKIDVVRAAKNSLVSDVRDALNHLHDLQANASSSSDFDDDGVGSDDDGGESGL >Potri.010G065900.1.v4.1 pep chromosome:Pop_tri_v4:10:9439392:9443331:1 gene:Potri.010G065900.v4.1 transcript:Potri.010G065900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065900.v4.1 MSAFKEKVSNQLSRLFAGSPNSSSPDNSQARPCSKGEKSSSYFPFAIPSLSFVGSRSNKHQDELKPIQSLPVRWNGKDLEQREEYSDRYHECSTICETEEFQKSCKDDKEYNAAFENNQTVKSHDGNDDAAPARSSSDSDLFEEANNQTPRKPFPCLMDESTFISSELYEFLLSSLPNIVKGCQWTLLYSTLKHGISLHTLIRKSAAVSGPCLLITGDRQGAVFGGLLECPLKPTAKRKYQGTNQSFVFTTIYGEPRIFRPTGANRYYYLCLNDILALGGGGNFALCMDGDLLNGTSGPCQTFGNLCLAHNPEFELKNVELWGFTHASKYLA >Potri.001G067700.2.v4.1 pep chromosome:Pop_tri_v4:1:5092729:5097370:-1 gene:Potri.001G067700.v4.1 transcript:Potri.001G067700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067700.v4.1 MELPCDGAGICMACKNKPPNEETLNCKTCATPWHATCLVYPPQELADTLQWECPDCSMINPPSTSAVAAGRSEEAGELIASIRKIEDDKSLTEQEKAKKRQKLLSGATAGPSPSDGDEKKEKNDVLDILDKELTCSFCMQMLDRPVTTPCGHNFCLKCFQRWIGQGKRTCAYCRVQIPPKMASQPRINSTLVIAIRMARMSRSSNAGGAPKVYHFVQNQNRPDKAYTTERAKRAGKANACSGKIFVTVPPDHFGPIPAENDPERNMGVLVGEIWEDRLACRQWGAHLPHVAGIAGQSTHGSQSVALSGGYLDDEDHGEWFLYTGSGGRDLSGNKRTNKDQSFDQKFDKMNEALRVSCLKGYPVRVVRSHKEKRSSYAPETGVRYDGVYRIEKCWRKNGIQGFKVCRYLFVRCDNEPAPWTSDVQGDRPRALPVIKELKNAIDITERKGSPSWDYDEEKSCWMWKKPPPVSKKRVADSGDPEDSKVMRTIKRQRQNVSVREKLLKEFSCQICRKVMVYPITTPCAHNFCKACLEGAFAGQSFTRQRGQGRRTLRVQKNVMKCPSCIIDIADFLQNPQVNRELMGVIESLQRQAEEEKMDENSEGCSEEIDNAIEQPDLVSDAVNDPIAEEPKDDSQKA >Potri.002G008100.1.v4.1 pep chromosome:Pop_tri_v4:2:488890:492288:-1 gene:Potri.002G008100.v4.1 transcript:Potri.002G008100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008100.v4.1 MASIDYEPKLLAILSTSIFLSSIFVSSTGLVAALDDSALLASERKALVESGWWSDYSNLTSHRCNWTGIVCDGAGSITKISPPPEFLKVGNKFGKMNFSCFSNLVRLHLANHELSGSIPPQISILPQLRYLNLSSNNLAGELPSSLGNLSRLVELDFSSNNLTNSIPPELGNLKNLVTLSLSDNIFSGPIPSALCHLENLRHLFMDHNSLEGALPREIGNMKNLEILDVSYNTLNGPIPRTMGSLAKLRSLILSRNAIDGSIPLEIGNLTNLEDLNLCSNILVGSIPSTMGVLPNLISLFLCENHIHGSIPLKIGNLTNLEYLVLGSNILVGSIPSTLGLLSNLIFVDLSVNQINGPIPLKIGNLTKLQYLNLDDNKITGLIPFSLGNLRNLTTLYLSHNQINGSIPLEIQNLTKLEELYLYSNNISGSIPTTMGRLTSLRFLSLYDNQINGSIPLEIQNLTKLEELYLYSNNISGSIPTIMGSLRKLNLSRNQMNGPISSSLNNCNNLTLLDLSGNNLSEEIPYNLYNLTSLQKANFSYNNLSGPVPLNLQPPFDFYFTCDLLLHGHITNDSATFKATAFEGNRYLHPDFSNCSLPSKTNRMIHSIKILLPITAISLCLLCLGCFYLSRCKATQPEPTSLKNGDLFSIWNYDGRIAYEDIIAATENFDLRYCIGTGGYGNVYRAQLPSGKLVALKKLHRREAEEPAFDKSLKNEVELLTQIRHRSIVKLYGFCLHQRCMFLVYEYMEKGSLFCALRNDVGAVELKWMKRAHIIKDIAHALSYLHHDCNPPIVHRDISSSNVLLNSVSKSFVADFGVARLLDPDSSNHTVLAGTYGYIAPELAYTMVVTEKCDVYSFGAVALETLMGRHPGDILSSSARAITLKEVLDPRLSPPTDEIVIQNICIIATLAFSCLHSNPKSRPSMKFVSQEFLSPKRSLAGLEISLLELRTLGMHANGGDITVPS >Potri.016G126300.1.v4.1 pep chromosome:Pop_tri_v4:16:12969081:12972509:-1 gene:Potri.016G126300.v4.1 transcript:Potri.016G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126300.v4.1 MENTCHSRDKCFLCAHLCLFLLVFLPQFASSQKGDGVAVTQSDYRSLRAIKNELIDFKGFLRSWNDSGYGACSGRWVGIKCVKGQVIAIQLPWKGLGGRISEKIGQLQALRKISLHDNVLGGTVPSSLGFLRNLRGVYLFNNRLSGSIPPSLGNCPVLQSLDVSNNSLIGTIPPSLTNSTKLYRLNLSFNSLMGSIPVGLTQSPSLIFLAIQHNNLTGPIPDSWGSKGNYSSLLQFLTLDHNRISGTIPVSLSKLALLQEISLSHNQLSGAIPYEMGSLSRLQKLDISNNAFSGSIPFSFSNLTSLFSMNLEGNRLDNQIPEGFDRLHNLSMLNLKNNRFKGPIPASIGNISSINQLDLAQNNFSGEIPASLARLANLTYFNVSYNNLSGSVPSSIAKKFNSSSFVGNLQLCGYSISTPCPSPPPEILPAPTKGSPKHHHRKLSTKDIILIAAGILLVVLLLLCSILLCCLMKKRSASKEKSGKTTTRGLPGKGEKTGAVAGPEVESGGEMGGKLVHFDGPFLFTADDLLCATAEIMGKSTYGTAYKATLEDGNQVAVKRLREKTTKGQREFETEAAALGKIRHPNLLALRAYYLGPKGEKLLVFDYMHKGSLASYLHARGPETTVNWPTRMNIAIGVARGLNHLHSQENIIHGNLTSSNVLLDEQTNAHIADFGLSRLMTAAANTNVIATAGTLGYRAPELSKLKNASTKTDVYSLGVIILELLTGKSPGEPMNGMDLPQWVASIVKEEWTNEVFDLEIMRDAQTIGDDELLNTLKLALHCVDPTPAARPEAEQVVQQLEEIKPELAAAAAAAAADEGAEVPPTTE >Potri.001G268000.1.v4.1 pep chromosome:Pop_tri_v4:1:28312406:28313267:1 gene:Potri.001G268000.v4.1 transcript:Potri.001G268000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268000.v4.1 MAMTYPLSQTLDHTPPNVLKIRSSSEIDGTKPHITQLRTKKRCLRCNTLYLDKDNSPIACSFHGHTTGEKGLFSLSPPHQGIDGEWSDRSGVIVYKWNDKNNRPNTGSVNWKKRWSCCAEYDENASPCRRGWHVSYDDGFTLY >Potri.005G235600.1.v4.1 pep chromosome:Pop_tri_v4:5:23375812:23377599:1 gene:Potri.005G235600.v4.1 transcript:Potri.005G235600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235600.v4.1 MHRYTWILFFLFLQLFFAVQPCHRVVTSAAGRGRWQLLQKNIGIVAMHMQLLNNDRVVIYDRTDYGKSNISLPHGKCRNDSWELVIKYDCTAHSVEYDVLANKFRPLMVQTNVWCSSGAVVPDGSLIQTGGFNDGQRKVRTFYPCNGDCDWVETGDGLKARRWYATNHILPDGKQIIIGGRRQFNYEFYPKTSSPDVYSLPFLLQTNDRGSENNLYPFVFLNSDGNLFIFANNRAILFDYKTGKVVKTYPAIPGGDPRSYPSTGSAVLLPLKNLDAATIEAEVLVCGGAPKGSFEMATKRKSAERKFLKALDTCGRIKINEPSPQWVMETMPCARVMGDMTLLPNGVVLIINGAGDGTAGWENGRSPALNPVLYWPDGAAGSRFEPLNPSTIPRMYHSAAILLRDGRVLVGGSNPHSGYEFTRVLFPTELSLEAFSPPYLDSKNKYLRPKIVSSTASKGKYIGYGQKLWVRFKVIGKLKADMVSVTMVAPAFNTHSFSMNHRLLALRNEKVTYVRTSIYDIQVTTPSSGYLAPSGYYILYVVHQYIPSIGIWVKLYI >Potri.008G077700.1.v4.1 pep chromosome:Pop_tri_v4:8:4804415:4808618:1 gene:Potri.008G077700.v4.1 transcript:Potri.008G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077700.v4.1 MSRDRDPLSVGRVIGDVLDPFTKSISLRVTYSSREVNNGCELKPSQVANQPRVDIGGEDLRTFYTLVMVDPDAPSPSDPSLREYLHWLVTDIPATTGASFGHETVCYESPRPTMGIHRFVFVLFRQLGRQTVYAPGWRQNFNTRDFAEVYNLGSPVAAVYFNCQRESGSGGRRR >Potri.006G270501.1.v4.1 pep chromosome:Pop_tri_v4:6:27506069:27506707:1 gene:Potri.006G270501.v4.1 transcript:Potri.006G270501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270501.v4.1 MFLISLSGMILFTVSCDGSLQNNNREHIQLKQSVRLVGLEESHIDKRALAEQGMERCVGPSLEVVLLCMVLNHKVMLLN >Potri.005G099800.1.v4.1 pep chromosome:Pop_tri_v4:5:7197129:7206895:1 gene:Potri.005G099800.v4.1 transcript:Potri.005G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099800.v4.1 MSTEESSSVGEMLESKTEDSPLKLEKQLAGDVHINGNVLKENGLCDDGNVLEESTNEQLLLMVIELKFQNEFFKSQFEGLKSQQEAEESGQESGESADVKELREKIQSLNRELNEEKQTRGAAEIALEHLREEYSDTDAKAQELSLKLAEAQQKLDREIKDREEKYTELDSKFQRLHKRAKQRIQEVQKEKDDLEARFRDANEGAKQASSEQSSLKQELARTRQQANEALKAMDAERQQLRSANNKLRDNIEELRCSLQPKESALEALQQTLLEKEQMLEDMRGMLQSAEEKKQASMTELSAKHQKSIENLEGQIADALTDRNKAAETISKLQVLVAEKESKIAEMDAASSGETARLRAALESVKGELAHQKHEHEKEKESWEAASQALKTKLEIAERNYIRAEIEATKMKSQLELEVSVQSQMLSKKDAELLAVKEEINRLESEFSSYKVRAHTLLQKKDAELAAAMDSEQLKAIEEALKEAETEVSLASVERDKALQDLQEALANHDKELATRDAALSSAMQQIKSLETKLDSANVHLQSEKETWKINLQNLEESWRLRCEALKAEKEVPSGQDIQRELEELELQYKKLKEEHDSFRELADRMMEEKDKEISKLVDSNRNLHQSMESRPRVDHSDDSITAMHKQDGANLSTSIAEQQILLLARQQAQREEELAQSQRHILALQEEIEELERENRLHSQQEAMLKTELRNMERTQKRDGVDLTYLKNVILKLLETGEVEALLPVVAMLLQFSPEEVQKCQAYRASTDVPPSPASDTPGSGLSLFSRFSFS >Potri.011G027900.3.v4.1 pep chromosome:Pop_tri_v4:11:2083075:2097392:1 gene:Potri.011G027900.v4.1 transcript:Potri.011G027900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027900.v4.1 MLLLLYFSIANLLNLAYADPPYSFCSSTSPYAANSPFQNNLKSLMSYLASNASVSNQYHAYAGNDPDRVYAHYMCYNYITFEKCSACIDAASQDIMQLCPNIRDAAVWEELCQLRFSNQNFLGLLDFSGNIPLSNRKTIKNPEQLVSAVNENFSNLTKKAAFVPARNMYATRKLTLSDIDTLYALGQCSTDLSSHDCNTCLQVAIQNISTCCYISRGARLLSRSCYFRYELYPFYEGTADSDEEKGHHAFLQELRKSSESTFAEGNKVSSEELPWMMDLSVIRAATDNFSVSNKLGQGGFGSVYKGILSDGSEVAVKRLSRSSEQGEKEFKNEVLLIMKLQHKNLIRLLGFCVEGEEKLLVYEFMPNSSLDVFLFDPTKRAKLDWSSRIDIINGIAKGMLYLHEDSRLRIIHRDLKASNVLLDNEMNPKISDFGMARIFSSNEDEAKTARIVGTYGYMAPEYAMKGLYSTKSDVFSFGVLLLEIISGRKKAVYHQLKCAPSLLAYVSLIYYLKYNGN >Potri.011G027900.2.v4.1 pep chromosome:Pop_tri_v4:11:2083075:2098070:1 gene:Potri.011G027900.v4.1 transcript:Potri.011G027900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027900.v4.1 MLLLLYFSIANLLNLAYADPPYSFCSSTSPYAANSPFQNNLKSLMSYLASNASVSNQYHAYAGNDPDRVYAHYMCYNYITFEKCSACIDAASQDIMQLCPNIRDAAVWEELCQLRFSNQNFLGLLDFSGNIPLSNRKTIKNPEQLVSAVNENFSNLTKKAAFVPARNMYATRKLTLSDIDTLYALGQCSTDLSSHDCNTCLQVAIQNISTCCYISRGARLLSRSCYFRYELYPFYEGTADSDEEKGHHAFLQELRKSSESTFAEGNKVSSEELPWMMDLSVIRAATDNFSVSNKLGQGGFGSVYKGILSDGSEVAVKRLSRSSEQGEKEFKNEVLLIMKLQHKNLIRLLGFCVEGEEKLLVYEFMPNSSLDVFLFDPTKRAKLDWSSRIDIINGIAKGMLYLHEDSRLRIIHRDLKASNVLLDNEMNPKISDFGMARIFSSNEDEAKTARIVGTYGYMAPEYAMKGLYSTKSDVFSFGVLLLEIISGRKKAVYHQLKCAPSLLAYAWQLWNEGNKAELIDPMLSDSCNADEFSRYMHIGLLCVQEDASDRPTMSSVVLMLKSQNSFLPQPERPAFVGRFMDNLEATASNFSVNEMTLSDVGPR >Potri.019G014304.1.v4.1 pep chromosome:Pop_tri_v4:19:1867639:1871846:1 gene:Potri.019G014304.v4.1 transcript:Potri.019G014304.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014304.v4.1 MGRSDDPFWNEVEDMNDGSKKCKFCGHLFANGTSISRIKWHLSGERGHGVGICGQVPKEVQEAAFLAMHVGNKRHKSIASSSNVDISTCPQEQDNAVLGNLAQGVGRERIHSRLEAANGMENTGEGSFQHVDRSVSPWRLGVDAHENRGEATQRTDLADQFADSTWVQIHSALSKAQKLNEISTYLMQEDEDVERLHDAFETVPRTEQVQHLERGSSCERPSINQADEPRGDSSQFCRDIGKCYDQPCAPSVNDDVTRHDALDMVRVRTEPVEEKVVETSGRSAIQAGAGARSSEGLKYNKTRGVPLPTSSTKPMGQVFKENTKVLWSLLMDGKVSTIGIYGMGGVGKSTILQHIYNELLQKPDICNYIWWVTVSQDFSINRLQNLIAKHLDLDLSRENDELHRAAKLLEELRKKQKWILILDDLWNNFELHEVGIPISLKGCKLILTTRSETICHRIACHHKIQVKPLCEGEAWILFKENLGCDIALSSEVGGIAKDIARESEVEGIAKDIARECAGLPLGIITVARSLRGVDDLHQWRNTLNKLKESEFRDMKVFKLLRLSYDRLGDLALQQCLLYCALFPEDHRIEREELIGYLIDVGIIKGMRSRKYAFDEGHTMLNRLEHVCLLERAQMMGSPRRVKMHDLIRDMAIQILLENSRGMVKAGAQLKELPDAEEWTENLTIVSLMQNEYEEIPTGHSPRCPYLSTLLLCQNRWLGFIADSFFKQLHGLKVLDLSCTGIENLPDSVSDLVSLTALLLSHCDKLKHVPSLKKLTALKRLNLSWTTLEKMPQGMECLTNLRYLRMTGCGEKEFPSGILPKLSHLQDFVLEEFMVRGDPPITVKGKEVGSLRNLESLECHFEGFSDFMEYLRSRYGIQSLSTYKILVGMVNAHYWAQINNFPSKTVGLGNLSINGDGDFQVKFLNGIQGLVCECIDARSLCDVLSLENATELEVITIYGCGSMESLVSSSWFCYAPPRLPSCNGTFSGLKEFSCRRCKSMKKLFPLVLLPNLVNLEVISVCFCEKMEEIIGTTDEESITSNSITEFILPKLRTLELLGLPELKSICSAKLICNALEDICVIDCKELKRMPICLPLLENGQPSPPPSLKNILASPRQWWESVVEWEHPNAKDVLRPFIPFL >Potri.010G123700.1.v4.1 pep chromosome:Pop_tri_v4:10:14133664:14134845:-1 gene:Potri.010G123700.v4.1 transcript:Potri.010G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123700.v4.1 MSSAKYHYGQSNINGQRPSPLKINKESHLIRKSSSSSSASTSNSSSSVSIIDPPVFGVKQQQQHQKNQPVIIYTHSPKVIHTQAKDFMALVQNLTGLSRSNNQEMAPPAQQGQDHQGVVSKGLKAGRGNGNGRKHVGHGDNDSSSISTEENCHGAAAAAGGVDIVVSPFLKPPNAPYFADVPLFTPTSSDFFFSPRPVFRCPDSVFASPKMGNPISPSVLEFIKGLPEY >Potri.010G169300.1.v4.1 pep chromosome:Pop_tri_v4:10:17143746:17144027:1 gene:Potri.010G169300.v4.1 transcript:Potri.010G169300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169300.v4.1 MVFCSRRVLILLICIGFIAVQPRKLYGLTSVEVILRHDRKAHGTLPHSQRSLKDVDMQGMDTKKSAQANKTFDPSQTSKRRVRRGSDPIHNRS >Potri.011G023600.1.v4.1 pep chromosome:Pop_tri_v4:11:1756622:1758677:1 gene:Potri.011G023600.v4.1 transcript:Potri.011G023600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023600.v4.1 MPSALMQTHDREPPCFQRESNHLLITTDPKPRLRWTLELHERFVDAVTLLGGPDKATPKAIMRIMGVKGLTLYHLKSHLQKFRLGKQPQNYLNEQAIRDATGHLKNLQDAATARIFGDGLNKNIHRNEVLGTQIQAQRTLDEQLKVKHHLQKRIDAQRKYMQTILENAYRTVSAENRLFDDQRVVSEMGNMKEIVSASNFPPIQDLQTYGDHSHDGFLPTDDSMSSCTIPMISYDNMQLQHITLAPCLASEEELHQMSYN >Potri.001G125600.1.v4.1 pep chromosome:Pop_tri_v4:1:10315239:10316373:1 gene:Potri.001G125600.v4.1 transcript:Potri.001G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125600.v4.1 MDVRQNSVYSAKLAEQAKRYNEMLDHMKYIAKLDVELTTEERNLLRIGCKNVMGPRRESWRMLSSIEEKEQAKGNQVNAKRIEEYRRKVESELTSICNDIIQLIDDHLLPSTSQCESCVFYHRMKGDYYRYLAEFKVGIEMEKAASESMKAYDIGIKAASKLAPTNLVRLSLALNFSVLLYDIMKFPEKAFFHAKNAYDEAIPILDNLNKESQKDSMLILEILLDNVRLWSYDILEN >Potri.004G090600.1.v4.1 pep chromosome:Pop_tri_v4:4:7720053:7721421:-1 gene:Potri.004G090600.v4.1 transcript:Potri.004G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090600.v4.1 MAFSSTISFYDNSLLLKKPINGLINNQPRTPLGHLKFVPLKIQATYVASPSFKQSFQSQEVGNKSQKVPLPAFEFKEYMINKANQVNKALDEAVPLQHPQKINEAMRYSLLAGGKRVRPILCIASCELVGGDEGLAMPAACALEMIHTMSLIHDDLPCMDNDDLRRGKPTSHKIFGEDTAVLAGDALLSLAFEHVARNTKNVSSDRVVQAIAELGSTVGSKGLVAGQIVDIDSEGKEVSLSTLEYIHVHKTAKLLEAAVVCGAIMGGADATSVERLRKYARSIGLLFQVVDDILDVTKSSEELGKTAGKDLASDKATYPKLMGIDEAKKFAAQLVDEANQGLAFFDHVKAAPLYHFANYIATRQN >Potri.017G152800.1.v4.1 pep chromosome:Pop_tri_v4:17:15053292:15055442:1 gene:Potri.017G152800.v4.1 transcript:Potri.017G152800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152800.v4.1 MASGQKPKGLNKSCFLLIVIAGMERFAFKGVASNLVTYLTDVVKMSNSAAAKTVNNWCGFTSMLPLLVASLADSWDRYSTILTSSFIYVVGLVALTSTALSWARHPTNKISSSYLFWSLCLISLGQGGYNPSLQAFGADQIANDDELPSTKDEQKSNKKSLFFQWWYFGVCGGSLAGVTVMSYIQDTFGWVLGFAIPTIAMGASILLFWCGSRIYAYKQDDAISERPSRDIVRSIKEALSKLMNSRITLPNNNPGVVELELQEKPLCQNSGNAKGLKEEPCSGINYLVENGKVVLRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNVGSSFKIPPATLQSAITVSIILLMPFYDALLIPFTRLITRDKKGISVTQRMGIGMVLSIIAMVIAALVETKRLEISRKMEVLDPKLETEVPLSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPVRMRTMGIALYTSVFGVGSFLSALLISLVEYFTSSRGKGRSWFSDDMREARFDKYYWLLALLSVLSLVFYVIFCKCFVSRSSDLDNEN >Potri.017G152800.2.v4.1 pep chromosome:Pop_tri_v4:17:15053313:15055504:1 gene:Potri.017G152800.v4.1 transcript:Potri.017G152800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152800.v4.1 MGSGLVALTSTALSWARHPTNKISSSYLFWSLCLISLGQGGYNPSLQAFGADQIANDDELPSTKDEQKSNKKSLFFQWWYFGVCGGSLAGVTVMSYIQDTFGWVLGFAIPTIAMGASILLFWCGSRIYAYKQDDAISERPSRDIVRSIKEALSKLMNSRITLPNNNPGVVELELQEKPLCQNSGNAKGLKEEPCSGINYLVENGKVVLRLLPIWTMLLMFAVIFQQPATFFTKQGMTMKRNVGSSFKIPPATLQSAITVSIILLMPFYDALLIPFTRLITRDKKGISVTQRMGIGMVLSIIAMVIAALVETKRLEISRKMEVLDPKLETEVPLSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPVRMRTMGIALYTSVFGVGSFLSALLISLVEYFTSSRGKGRSWFSDDMREARFDKYYWLLALLSVLSLVFYVIFCKCFVSRSSDLDNEN >Potri.002G200150.2.v4.1 pep chromosome:Pop_tri_v4:2:16304711:16305520:-1 gene:Potri.002G200150.v4.1 transcript:Potri.002G200150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200150.v4.1 MMAVVLPFPLVLLFLFHVSWLRCELGRLFVVLHRHISEFLTSILTEKDQRRQGWVVSVPAKEKGREKLRGKCKEWI >Potri.002G200150.1.v4.1 pep chromosome:Pop_tri_v4:2:16300493:16305777:-1 gene:Potri.002G200150.v4.1 transcript:Potri.002G200150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200150.v4.1 MMAVVLPFPLVLLFLFHVSWLRCELGRLFVVLHRHISEFLTSILTEKDQRRQGWVVSVPAKEKGREKLRGKCKEWI >Potri.006G056200.1.v4.1 pep chromosome:Pop_tri_v4:6:3967156:3967571:1 gene:Potri.006G056200.v4.1 transcript:Potri.006G056200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056200.v4.1 MELKKISCAVLVVAASMSAALAADEISAPAPSPTSGASATLPVVGSLIGASLVSFFAYYLQ >Potri.001G038500.2.v4.1 pep chromosome:Pop_tri_v4:1:2859933:2860673:1 gene:Potri.001G038500.v4.1 transcript:Potri.001G038500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038500.v4.1 MKWNVDLLKIIQLGMTLSDSHGNLPSFGTEFHYAWQFNFRDFNIKHDHHNEESIGLLERQGIDLKKNREKGIDSSDFGRLILSSGLVSNNSSITWITFHGAYDFGFLIKILTKRELPSDMRSFLGMMRFFFGVRVYDTKFMMGCISGLHGGLERVAMLLGVERITGRRHQAGSDSLLTLQTFVRFKESCAKIDLEKLNGYEGMMFGLCEGWLGFTYAPDTFMGSTLV >Potri.011G071100.1.v4.1 pep chromosome:Pop_tri_v4:11:6310411:6314608:-1 gene:Potri.011G071100.v4.1 transcript:Potri.011G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071100.v4.1 MMPIMRVLAFQISRFLLFGGFLCCQAIVHHTFVVKDVPYTRLCSTKNIMTVNGQFPGPTLYVTKGETIIVDVINKSPHNITIHWHGVKQPKYPWSDGPEYITQCPIQPGGKFSQRVIFSEEEGTLWWHAHSDWTRATVYGAIVIYPNEGTKYPFLAPHADVPIILGEWWKKDIFDIFDQFRASGADPNVSDAYTINGQPGDLYPCSKSDTFKLSVDYGKTYLLRLINAALQDILFFSITDHQVTVVGTDAGYTKPLKVDYVAISPGQTIDVLLEANQPLDHYYMAAKVYSSANGVQYDNTTTTAIVQYNGNYTPSSTSSLPYLFYFNDTTALVNFTGRLRSLADNNHPIHVPLSISTTLFFTVSVNRFTCANTSCGATQSRLAASVNNISFQTPTRMDILRAYYNQINGVYGDHFPDIPPLFFNFTADSIPLIYETPSKGTEVKVLEYNSTVEIVFQGTNVAAGTDHPMHIHGTSFYVVGWGFGNFDKDKDPLRYNLVDPPLQNTIAVPKNGWSVIRFKATNPGVWFMHCHLERHLSWGMEMTFIIKNGRGKKAQMLPPPPYMPPC >Potri.001G020900.1.v4.1 pep chromosome:Pop_tri_v4:1:1618466:1621181:-1 gene:Potri.001G020900.v4.1 transcript:Potri.001G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020900.v4.1 MRYPPVSILKQTNMLFVRSFLILFLSCMTITINLCFSSNPSSLRTLSVDGHFSFDEVHHAAKDFGNRFQLLPLAVLYPKSVSDIATTIRHIWQMGPDSELTVAARGHSHSLQGQAQAHQGVVINMESLQVHKMHVYSGNYPYVDASGGELWMDILRECLKYGLAPKSWTDYLHLTVGGTLSNAGVSGQAFRHGPQISNVNQLEVVTGKGEVLNCSEKQNSDLFHGVLGGLGQFGIITRARISLEPAPDMVKWIRVLYSDFTTFVTDQERLIGAESTFDYIEGFVIINRTSLLNNWRSSFDPQDPVQASQFQSDGRTLYCLELAKYFNRDRIDALNEEVGNLLSQLSYMASTLFLTEVSYLEFLDRVHVSEVKLRSKGLWEVPHPWLNLLIPKSKINDFADEVFGNILTDTSNGPILIYPVNKSKWDNRTSAVLPEEDIFYLVAFLNSAMPSSMGTDGLEHILTQNKRILEFCETARLGMKQYLPHYNTQGEWRAHFGPRWEVFAQRKSTYDPLAILAPGQRIFQKGISFS >Potri.003G058551.1.v4.1 pep chromosome:Pop_tri_v4:3:8534204:8534854:1 gene:Potri.003G058551.v4.1 transcript:Potri.003G058551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058551.v4.1 MPPSLFEPKAQDKGTNAQGALESNNGYHKLLYHTSSWAHYMWLVTLDIISLRNRDQEVIFSHTLREGNLVTEFFLAKLGSLKEVSPPGLTRMVLDGDLVGVSVGRKMGVI >Potri.001G134400.1.v4.1 pep chromosome:Pop_tri_v4:1:10920436:10923925:-1 gene:Potri.001G134400.v4.1 transcript:Potri.001G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134400.v4.1 MTEGLVTFLLSKLADFIQEEERLLTGVKAEAEYIRDELEFMVVFLRAADAMEEKDDGLKVLVQKVRDVAYDMEDTLDHFRLRLTHDHGDKFCSSVQTISNSIITLKARRQIASKIQALKSRVINISEAHRRYLIRNNIMEPSSSSTHTPRVARPGNIVEEANIVGIEKPKKLLIGWLVRGRSEREVISVVGMGGLGKTTLVRKVYHDADVKKHFQFRVWITLSPSFKEEDLLKDIIQQLFRVLQKNVPQGMDNDRLKTAINRFLQKKRYLIVLDDVWHADAWDAFEPVFPNNSRGSHILLTTRKTEVALTACIEFPDKVYNLDPLSPEESWTLFCKMVFQNSHCPEHLKNVSERILGRCEGLPLAIEAMSGVLATRDRSKIDEWEKVCLSLGAGFEDNNRMRNALKILSLSYYDLPYYLKSCLLYFSMFPEGIPIQRMRLIRLWIAEGFVKGREGMTSEEVAEDFLNELIKRSLVQVVEATSYGQVKTCRIHDLLREILITKAKEQDFVAIAKEQNMIWSEKVRRVSIHNDMPSMRRIHVASRLRSLLVFWGKDYFPGPPKFISPSRSRLLTVLDMEGTPLKEFPNEVVSLIFLKYLSLRNTKVNSVPSSISKLQNLESLDLKHAQVTELPVDILKLQKLRHLLVYRYETHESDDQIRNKHGFKAPAQIGNLLSVQKLCFLEADQGQKLMSELGRLIHLRRLGILKFRKEDGKDLCSSIDKLTNLRALSVTSITESEVIDLEYLSSPPQFLQRLYLTGRLERLPDWILSLDSLVKLVLKWSRLREDPLLFLQNLPNLVHLELIQVYSGEALHFSNEGFEKLKVLGLNKLERLKSITVQKGALPSLQKLVVQGCKLLQKVPSGIKHLAKLKTLDFFDMPYDFVKRLRPDGTAQRAGRTFLQKSVVQFIKIVQILPFDAPNDFIRRLHPDGDGEDYHEVRNVPEIYCTYQKNGNWEIYYNYARPDILLKRQPDWKP >Potri.003G181300.2.v4.1 pep chromosome:Pop_tri_v4:3:18683062:18693302:1 gene:Potri.003G181300.v4.1 transcript:Potri.003G181300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181300.v4.1 MPNSETELEERLKEAGNSLLNPPSSVDDLLDSLDKLERLLTNVEQAPSRSMQDALLPPMKALISSAILRHLDEDVRVAVASCMSEITRITAPDAPYNDDLMKEIFQLTVASFEKLSHESGHCYTKAVSILENVARVRSCLMMLDLELDELILDMFQYFLKFIRSNHPQIAILAMETIMTLVIDESEEISVELLTLLLVSVKKQNQSFSPIAWKLGERVITNCAAKIKPYLKEAVQSTGIPLDEYAPIVASIFQDESHTLECDYNNHSGEPLGSSPNAACRKEVFEGKDVIPKSIARNGTASIRNAGTVKKDNASKMLEPCSHTEHSKSTNAQDKAEPEVMLEMEPKAVPSKRGWKPNSLMNPEEGYDPWFSTGRKTTKLLREKLQDKGNDDLPSETPDSKKVALSLMHVKVTKPTRFTPKTVQISRSSSLTPQQDITAGSHFKRGRPKKKGNSMSEDADPSPSLLSKRESMSAQVEEKAPEFDDASLRKQSKERSDSEAKKQKRLRKNELPSKTPKKISLSSGRVVSSKKSVVLSEPEEKPVHQPVVIAVRRFNKHRTAVPTGTKKKSLDVNSDEDVGEAFRDKKIKSLDMDGSYLEETPQPKLKRKRTPRKEVFSGTPDLGEQLVGNKIKVWWPMDKRFYEGVVDSYDPIKKKHKVLYADGDEEKLNLKKQRWEFIEDGIFPVQGHEIDVPKPGTSSDILQKVNSETKSESKKKSKAVSSSKRSRAASISKTTARRFAGKSAYGAVHDEPIRVDKPADHDTSGPGSGSEDGKNTPGPGGSSKDDGENSTVKLKIHDPQRIDNNSKQAMPETVNTSDNGSPKAGTVFCSFNSEQTMPVGATLSKDESSRGGDESHGSDGPKREQQGEASSSLSPETD >Potri.003G181300.1.v4.1 pep chromosome:Pop_tri_v4:3:18683043:18693210:1 gene:Potri.003G181300.v4.1 transcript:Potri.003G181300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181300.v4.1 MPNSETELEERLKEAGNSLLNPPSSVDDLLDSLDKLERLLTNVEQAPSRSMQDALLPPMKALISSAILRHLDEDVRVAVASCMSEITRITAPDAPYNDDLMKEIFQLTVASFEKLSHESGHCYTKAVSILENVARVRSCLMMLDLELDELILDMFQYFLKFIRSNHPQIAILAMETIMTLVIDESEEISVELLTLLLVSVKKQNQSFSPIAWKLGERVITNCAAKIKPYLKEAVQSTGIPLDEYAPIVASIFQDESHTLECDYNNHSGEPLGSSPNAACRKEVFEGKDVIPKSIARNGTASIRNAGTVKKDNASKMLEPCSHTEHSKSTNAQDKAEPEVMLEMEPKAVPSKRGWKPNSLMNPEEGYDPWFSTGRKTTKLLREKLQDKGNDDLPSETPDSKKVALSLMHVKVTKPTRFTPKTVQISRSSSLTPQQDITAGSHFKRGRPKKKGNSMSEDADPSPSLLSKRESMSAQVEEKAPEFDDASLRKQSKERSDSEAKKQKRLRKNELPSKTPKKISLSSGRVVSSKKSVVLSEPEEKPVHQPVVIAVRRFNKHRTAVPTGTKKKSLDVNSDEDVGEAFRDKIKSLDMDGSYLEETPQPKLKRKRTPRKEVFSGTPDLGEQLVGNKIKVWWPMDKRFYEGVVDSYDPIKKKHKVLYADGDEEKLNLKKQRWEFIEDGIFPVQGHEIDVPKPGTSSDILQKVNSETKSESKKKSKAVSSSKRSRAASISKTTARRFAGKSAYGAVHDEPIRVDKPADHDTSGPGSGSEDGKNTPGPGGSSKDDGENSTVKLKIHDPQRIDNNSKQAMPETVNTSDNGSPKAGTVFCSFNSEQTMPVGATLSKDESSRGGDESHGSDGPKREQQGEASSSLSPETD >Potri.014G093900.3.v4.1 pep chromosome:Pop_tri_v4:14:6093444:6095940:-1 gene:Potri.014G093900.v4.1 transcript:Potri.014G093900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093900.v4.1 MASTVIAAAASSSMAATAIRATRCYALPYLPPRSSSSSQSFPIKQVSLTASESRRFAPFQTRASSSEESPVDANEIFTDLKEKWDAVENKSTVIIYGGGAVVAVWLSSILIGAVNSVPLLPKILELVGLGYTGWFVYRYLLFKSSRKELATDIESLKKKIAGTE >Potri.004G195900.2.v4.1 pep chromosome:Pop_tri_v4:4:20827690:20828780:1 gene:Potri.004G195900.v4.1 transcript:Potri.004G195900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G195900.v4.1 MSEDSSSSEETNYSPTTSISQDTFTTETTTITAFNVPPETFPSSSSTHLAIQSLSSILTTLPPPLLSSQDQDPAFSLLHDPDISSQVSSLLRLPDSGAGDNSLCRWFYDTFQSSEPQLQLVVLRFLPIIAGLYLSRVALKKPLAGFEAVLLALYAHETTSRAGHPAKDNKAAELNLAVISPSLEPHGTVRSTKRARIVGVALELYYSKISLMPVGSKIEFFEFFKVWSGQDDDAGRDCETRDDQENVGKESVTKEGRIPLPCEILQPVLRILGHCLLGPKKDKELIGAACAACRSLYSRSLHDINPQAILATRSLLRLSEKTWDPKNNVDHTEIPMNNVIAL >Potri.004G225500.1.v4.1 pep chromosome:Pop_tri_v4:4:22954273:22959648:-1 gene:Potri.004G225500.v4.1 transcript:Potri.004G225500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225500.v4.1 MSCATLSLPPSLKLLNSCFQPSNKKSCFLSSSFSSVSFVGPLTSYGNKSSWDSCHVIKGLRSFPIVFKCVAQKSELSVSVGAGSNIASEKRLVVLVIGGGGREHALCYALQRSPSCDAVFCAPGNAGISNSGNATCIPELDISDSSAVISFCRKWGVGLVVVGPEAPLVSGLANDLVKAGIPTFGPSAEAAALEGSKNFMKNLCDKYKIPTAKYQTFTDPSSAKQYIEDQGAPIVIKADGLAAGKGVIVAMTLEEAYEAVDSMLVKSVFGSAGCCVVVEEFLEGEEASFFALVDGENAIPLESAQDHKRVGDGDTGPNTGGMGAYSPAPVLTKELQSVVMQTIIHPTVKGMAAEGCKFVGVLYAGLMIEKKTGLPKLIEYNVRFGDPECQVLMVRLESDLAQVLLAASRGELSGVSLKWSPGSAMVVVMASKGYPGSYEKGTVIQNLEEAENVAPTVKIFHAGTALNSDGNFIATGGRVLGVTATGRDLEEARDRAYQAVEEINWPGGFYRRDIGWRALPQKQLAPKK >Potri.007G129400.1.v4.1 pep chromosome:Pop_tri_v4:7:14396232:14396986:-1 gene:Potri.007G129400.v4.1 transcript:Potri.007G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129400.v4.1 MKRMTALGFEGSANKIGVGVDTLDGTILSNPRHTYITPAGQGFLPRETAQHHLQHVLPLIKSALETAGITSDEIDCLCYTKGPGMGAPLQVSAVVVRVLSQLWKKPIVAVNHCVAHIEMGRIVTGADDPVIKPLMGFDS >Potri.003G190800.2.v4.1 pep chromosome:Pop_tri_v4:3:19425787:19429098:-1 gene:Potri.003G190800.v4.1 transcript:Potri.003G190800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190800.v4.1 MTAQTQEELLAAQLEVQKILEADKPEVEDEEDDDEDDEDDEDDKDEDEAEGQQDGDVGGRSKQSRSEKKSRKAMLKLGMKTIPGVSRVTVKKSKNILFVISKPDVFKSPTSDTYVIFGEAKIEDLSSQLQTQAAEQFKAPDLSHVISKPETSAIAQDDEEVDETGVEPKDIELVMTQAGVSRSKAVKALKAADGDIVSAIMELTN >Potri.005G081600.2.v4.1 pep chromosome:Pop_tri_v4:5:5557066:5560290:1 gene:Potri.005G081600.v4.1 transcript:Potri.005G081600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081600.v4.1 MAKTEKTKKLKTAKKPETTTKQKPLKKPKLKIRKTKPLPSDPADLSTLLEPYTKDQLIDLITNSAIKNPSLYSLIHQHADRDVTHRNVFVHGFSWDTTRQDLVSAFAAFGEIEECNVVIDKATGKAKGYGFVLFKSRKAAISALKETKRMVNDRMASCQLASVGSANAASAAKGKELEGGVRKIFVSNVGMSTDKEKLRAFFEKFGEIENGPIGFDKETGKSRGYALFVYKTVEGAIKALEEPHKIFEGQQLRCSVATEGKNKTNQNVAQGMQQVVQQQPVHQQPQGQFLAAAQNLALFGQHPGFNPLYSALLGSTGVGGGMISPVIGQSMVPTATSQVGGLGVGSQSTLEAYRAAQVLQHVYSSTTQIGQTGMGRGQGAGGSFTGYPKYM >Potri.003G076200.5.v4.1 pep chromosome:Pop_tri_v4:3:10354883:10359063:-1 gene:Potri.003G076200.v4.1 transcript:Potri.003G076200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076200.v4.1 MFKQKETSREIIIMRGSGEGEESSDSKRSQLGKVRTKSIHPPIESQWLLHPPEEIKPSSSLPKPGIKSMLNYPRKIRNSLKKLGRGKSLRIVLEGVHDPKYEQLVDSLREQLFVEGHLMERQTDYHSLLRFLRMRDFDLSKAKDTFVQYLAWREEYGVDEILKEFKFEEYAEVKKRYPHGYHGVDRNGRPIYIERLGMVDLNALLQATTVDRFVRYHVSEQEKTLNIRFPACSIAAKRHIASITSILDVKGVGMSNFSKTARSLFMEIQKIDSNYYPEILNRLFIVNAGNGFKMLWKALGAFLDARTLAKIHVLGYNYLSNLLEVIDQSNLPSFLGGDCTCSDYGGCLFSDKGPWQNPEILEMLQSTSIMEEIYDSEADSDVASEEAMETSQNEDCGDGGNTEAQKIHALEVALMDSNKEIQALKAALDNTKAVLERLEQHIKALRV >Potri.014G097600.2.v4.1 pep chromosome:Pop_tri_v4:14:6381851:6392316:1 gene:Potri.014G097600.v4.1 transcript:Potri.014G097600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097600.v4.1 MGEHEGWAQPPSGLIPNGLLPEEAASVIRVLDLDRWSKAEERTAELIDCIQPNQPSEELRNAVADYVQRLILKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNPNLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWNNFCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVLPAGQDNKGQPFLSKHFNVIDPLRINNNLGRSVSKGNFFRIRGAFAFGAKRLARLLDGPTEDLCFEVNQFFLNTWERHGGGHRPDAPRNRLSRLRLSNHDHLHVPENLGNNSSSKPSGCEAQVDGAQGMHSVPSQHDNYSLESTCKGSQVPKVSRTQSQKTYANTNSTRTTPDQSRGESTSNQNMHIDKSQRSAKPDNFITDFQGRYLFARTRSSPELAETYGEISSQGRRNEVQESRKGQASSARLDRSRWKNLKSDNLSNHAISSTDDPSSVRHAISRESLDPAAASNRYRNDSGLGAMGEEFVSVLGTQGLQQEEQDLVNVMASSTGLGFNGQVHIPMNMAPGHVSLPIPPSVLASLGYGQRNMGGMVPTNIPFIDTPWGSNMQFPEGLVSSPLTHYFPGIELASNQEESIEPGSENFAPMEMNVRETDHDFWHEQERGSTSGFDLDNGSFEMHQSDDLQPSSSSYNSVSSSRRGGSGNSLRVHQKFTRETRGSAREELTDALTYQENRGTEEYLDNRSASSRSFPTVRSKTSSESSWEGSSAKVSKPVKERRGRKMASSALQSSVYGKGKSASEHSSNQTDDDNKDWNTLSTMGAEPERSVGSQSESSDSLHVSRHQVPGYESAQPSESESLIPIAPVLLGPGSRQRSTDDSGAVPLTFYPTGPPVPFVTMLPLYSFPAETGTSGASTDQFRSEEGHDNSDSGQNLETSEGLDQSEVVGTSSSLRMAASVEPLEHKSDILNSDFASHLQNLQFGRLCQNTRNPAPVVYPSPVMVPPVYLQGCFPWDGPGRPFSNNMNLFTQLMSYGPRIVPGAPLQSASNRPVGVYQHYVDEMPRYRGGTGTYLPNPKVSVRDRHATNMRKGNYNYNRSDHHSDREGNWNNNSRARAAGRRGNSRSQAEKSNSRPDQLAAGESRVERTLSLHRHDTFSLYQSQNGPIHTNSTQSGSANVAYSMYPLPSLNPGGMSSNEPTISSVVMLYPYDHNTGYGSAEHLGFGSPRPVGFSGVNETLHLNERSQSGGGFGDQRFHGSFAQWPSPDQPSSPHVQRGI >Potri.014G097600.1.v4.1 pep chromosome:Pop_tri_v4:14:6381851:6392180:1 gene:Potri.014G097600.v4.1 transcript:Potri.014G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097600.v4.1 MGEHEGWAQPPSGLIPNGLLPEEAASVIRVLDLDRWSKAEERTAELIDCIQPNQPSEELRNAVADYVQRLILKCFPCQVFTFGSVPLKTYLPDGDIDLTAFSKNPNLKDTWAHQVRDMLENEEKNENAEFRVKEVQYIQAEVKIIKCLVDNIVVDISFNQLGGLCTLCFLEEVDNLINQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNSFAGPLEVLYRFLEFFSKFDWNNFCVSLWGPVPISSLPDVTAEPPRKDGGELLLSKLFLEACSAVYAVLPAGQDNKGQPFLSKHFNVIDPLRINNNLGRSVSKGNFFRIRGAFAFGAKRLARLLDGPTEDLCFEVNQFFLNTWERHGGGHRPDAPRNRLSRLRLSNHDHLHVPENLGNNSSSKPSGCEAQVDGAQGMHSVPSQHDNYSLESTCKGSQVPKVSRTQSQKTYANTNSTRTTPDQSRGESTSNQNMHIDKSQRSAKPDNFITDFQGRYLFARTRSSPELAETYGEISSQGRRNEVQESRKGQASSARLDRSRWKNLKSDNLSNHAISSTDDPSSVRHAISRESLDPAAASNRYRNDSGLGAMGEEFVSVLGTQGLQQEEQDLVNVMASSTGLGFNGQVHIPMNMAPGHVSLPIPPSVLASLGYGQRNMGGMVPTNIPFIDTPWGSNMQFPEGLVSSPLTHYFPGIELASNQEESIEPGSENFAPMEMNVRETDHDFWHEQERGSTSGFDLDNGSFEMHQSDDLQPSSSSYNSVSSSRRGGSGNSLRVHQKFTRETRGSAREELTDALTYQENRGTEEYLDNRSASSRSFPTVRSKTSSESSWEGSSAKVSKPVKERRGRKMASSALQSSVYGKGKSASEHSSNQTDDDNKDWNTLSTMGAEPERSVGSQSESSDSLHVSRHQVPGYESAQPSESESLIPIAPVLLGPGSRQRSTDDSGAVPLTFYPTGPPVPFVTMLPLYSFPAETGTSGASTDQFRSEEGHDNSDSGQNLETSEGLDQSEVVGTSSSLRMAASVEPLEHKSDILNSDFASHLQNLQFGRLCQNTRNPAPVVYPSPVMVPPVYLQGCFPWDGPGRPFSNNMNLFTQLMSYGPRIVPGAPLQSASNRPVGVYQHYVDEMPRYRGGTGTYLPNPKVSVRDRHATNMRKGNYNYNRSDHHSDREGNWNNNSRARAAGRRGNSRSQAEKSNSRPDQLAAEHLGFGSPRPVGFSGVNETLHLNERSQSGGGFGDQRFHGSFAQWPSPDQPSSPHVQRGI >Potri.014G097600.4.v4.1 pep chromosome:Pop_tri_v4:14:6382059:6392465:1 gene:Potri.014G097600.v4.1 transcript:Potri.014G097600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097600.v4.1 MGEEFVSVLGTQGLQQEEQDLVNVMASSTGLGFNGQVHIPMNMAPGHVSLPIPPSVLASLGYGQRNMGGMVPTNIPFIDTPWGSNMQFPEGLVSSPLTHYFPGIELASNQEESIEPGSENFAPMEMNVRETDHDFWHEQERGSTSGFDLDNGSFEMHQSDDLQPSSSSYNSVSSSRRGGSGNSLRVHQKFTRETRGSAREELTDALTYQENRGTEEYLDNRSASSRSFPTVRSKTSSESSWEGSSAKVSKPVKERRGRKMASSALQSSVYGKGKSASEHSSNQTDDDNKDWNTLSTMGAEPERSVGSQSESSDSLHVSRHQVPGYESAQPSESESLIPIAPVLLGPGSRQRSTDDSGAVPLTFYPTGPPVPFVTMLPLYSFPAETGTSGASTDQFRSEEGHDNSDSGQNLETSEGLDQSEVVGTSSSLRMAASVEPLEHKSDILNSDFASHLQNLQFGRLCQNTRNPAPVVYPSPVMVPPVYLQGCFPWDGPGRPFSNNMNLFTQLMSYGPRIVPGAPLQSASNRPVGVYQHYVDEMPRYRGGTGTYLPNPKVSVRDRHATNMRKGNYNYNRSDHHSDREGNWNNNSRARAAGRRGNSRSQAEKSNSRPDQLAAGESRVERTLSLHRHDTFSLYQSQNGPIHTNSTQSGSANVAYSMYPLPSLNPGGMSSNEPTISSVVMLYPYDHNTGYGSAEHLGFGSPRPVGFSGVNETLHLNERSQSGGGFGDQRFHGSFAQWPSPDQPSSPHVQRGI >Potri.001G004200.3.v4.1 pep chromosome:Pop_tri_v4:1:263101:279470:-1 gene:Potri.001G004200.v4.1 transcript:Potri.001G004200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004200.v4.1 MWTNVFKIGGLHHISWFQFLPNESDLNSLPDKSVKVEQKDVATCLVILAHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRYSSVVEKAQAAVSRLRVVASGIWVAPGDSEEVAAALSQSLRNYIERALARHSYMRFGDVFLKYHPSQSEGLSSKGQPTVEFIFSASEETIFVHVIISAKHIRALSNGDVERVLKHSSTNSSFRLPVIASPHGIRGSLTGCCPSDLVKQVYLSSGKFRTLNGYIGLPYHVSQGPGCQLRGQNCYVEITLGCPRSDSDKALQTNSQSTKNSSKNYIVESIAARRGDQKVSPDHLSAHEKAFIYPAEAVVVPVLQKSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDTDFLEGSWIESNGVRMQHGYNSSSNSNSSSISSISSGSSDSDYKMTTGELEADADSLSCRQSGLSSNDQMENDGLKLGSKRPRTGMTEPFGQAGMVKNVHMQDFGSVEVNDSAITGIANDQIGSRWDWDDDRGAGMDIQALLSEFGDFGDFFENDDLPFGEPPGTAESQALMFSGPDCGEVANSPIGVMDVVDQMLPPVAFPSFESFNPSPAVVIDESASKSQEDTHGTLALIPVNCTPSSSSGEFDHLIKAEALMTFAPEYGAVETPTSEFSSSIFRSPYCPKSRQVESSNSSSNNYAYGATPPSSPCFEGSNEKTGIQVNLKTGPGRNDTKKYYTLVEGGKVPLDRRTLTSNESRPTSEAMMPSPLLNSNSSNTVKSAQRKMSDGTLGAESFLVSMKTVLATEVECIMFQASMCRMRHTLLSPGNPTSVNLHRLSGSTGLNQVHGDASTMTDNISGRHEVKKKESIPVRIAGDMDGGVLDGHLSSPVGVWRSVGVPKLTKHTSSSNIEVSVSLPHHSFSEEGVLSYRQRQQPLQELLDGMALLVQQATSFVDVALDADCGDGPYGWLALQEHWRRGFSCGPSMIHAGCGGTLASCHFLDIAGVELVDPLSADIHSSAVTTLLQSEIKIALKSAFGNLEGPLCVTDWCKGHIQSGDGATTCDGSSGESTLSECKDSSTVTLSVGEPMSPALSSAAGSSSLKASSTPDGAKVDETSQRKSNQEIEPELLPRIKPTVFVLPLPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPITYCVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNQMEMDAGKSLSSGFVLLDCPQSMKIESSNASLVGSISDYFLSLSNGWDLASYLKSLSKAVKALKIGPSLSTNPKEGNSSPCMVIYVVCPFPEPAAVLQTVIESSVSIGSIIPPANRERRSMLLAQVGKALSSLAAVDEASASNVLVLSGFSIPKLVLQIVTVDAIFRVTSPALNELIILKETAFTVYNKARRISKGSSNDVQPSTSSRSHLTQMSSVPAMWNSLPRETDIDSRLRSGTWDNSWQTARAGGLTCDPNRNGDFSLQDEIRYMFEPLFILSEPGSLDHAVAPTIIGNMVSESSKLQSDDTGGSFMQSASSAGSVDSGSSSQHDGSEPDGYGSSYQKTLPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQACSSPDTGGVKPRDFVITRIGNFFELEYIEWQKAIYSVGGSEVKKWPLQLRRSMPDGMAAGANGASLQQQEMGLIQERTLPSSPSPLYSPHSKASGYMKGGLGQTSSRKQLIGGHAAVDNSRGMLQWMLSISFVTISIDHSLHLLLQADTPSPGGSGSGVGTSIYLEGITPVKSLGSTSASYILIPSPSMRFLPSTPLQHPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSVRRDYKSNSREEWPSVLSVSLIDYYGGNNMTQDKMFRRIMKQGGRTLGVDGKDFEIRTQVILESVAAELQALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELSSQPGRSQV >Potri.001G004200.1.v4.1 pep chromosome:Pop_tri_v4:1:263085:279300:-1 gene:Potri.001G004200.v4.1 transcript:Potri.001G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004200.v4.1 MWTNVFKIGGLHHISWFQFLPNESDLNSLPDKSVKVEQKDVATCLVILAHLQLQKEGFLSTWTNSFVGPWDPSQGLHNPDEKIKLWLFLPGRYSSVVEKAQAAVSRLRVVASGIWVAPGDSEEVAAALSQSLRNYIERALARHSYMRFGDVFLKYHPSQSEGLSSKGQPTVEFIFSASEETIFVHVIISAKHIRALSNGDVERVLKHSSTNSSFRLPVIASPHGIRGSLTGCCPSDLVKQVYLSSGKFRTLNGYIGLPYHVSQGPGCQLRGQNCYVEITLGCPRSDSDKALQTNSQSTKNSSKNYIVESIAARRGDQKVSPDHLSAHEKAFIYPAEAVVVPVLQKSFARSSLKRFWLQNWIGPSLPGSSFFMHCGGDTDFLEGSWIESNGVRMQHGYNSSSNSNSSSISSISSGSSDSDYKMTTGELEADADSLSCRQSGLSSNDQMENDGLKLGSKRPRTGMTEPFGQAGMVKNVHMQDFGSVEVNDSAITGIANDQIGSRWDWDDDRGAGMDIQALLSEFGDFGDFFENDDLPFGEPPGTAESQALMFSGPDCGEVANSPIGVMDVVDQMLPPVAFPSFESFNPSPAVVIDESASKSQEDTHGTLALIPVNCTPSSSSGEFDHLIKAEALMTFAPEYGAVETPTSEFSSSIFRSPYCPKSRQVESSNSSSNNYAYGATPPSSPCFEGSNEKTGIQVNLKTGPGRNDTKKYYTLVEGGKVPLDRRTLTSNESRPTSEAMMPSPLLNSNSSNTVKSAQRKMSDGTLGAESFLVSMKTVLATEVECIMFQASMCRMRHTLLSPGNPTSVNLHRLSGSTGLNQVHGDASTMTDNISGRHEVKKKESIPVRIAGDMDGGVLDGHLSSPVGVWRSVGVPKLTKHTSSSNIEVSVSLPHHSFSEEGVLSYRQRQQPLQELLDGMALLVQQATSFVDVALDADCGDGPYGWLALQEHWRRGFSCGPSMIHAGCGGTLASCHFLDIAGVELVDPLSADIHSSAVTTLLQSEIKIALKSAFGNLEGPLCVTDWCKGHIQSGDGATTCDGSSGESTLSECKDSSTVTLSVGEPMSPALSSAAGSSSLKASSTPDGAKVDETSQRKSNQEIEPELLPRIKPTVFVLPLPAILVGYQDDWLKTSASSLQLWEKAPFEPYASPKPITYCVVCPDIDPLTSAAADFFQQLGTVYETCKLGTHSPQSLGNQMEMDAGKSLSSGFVLLDCPQSMKIESSNASLVGSISDYFLSLSNGWDLASYLKSLSKAVKALKIGPSLSTNPKEGNSSPCMVIYVVCPFPEPAAVLQTVIESSVSIGSIIPPANRERRSMLLAQVGKALSSLAAVDEASASNVLVLSGFSIPKLVLQIVTVDAIFRVTSPALNELIILKETAFTVYNKARRISKGSSNDVQPSTSSRSHLTQMSSVPAMWNSLPRETDIDSRLRSGTWDNSWQTARAGGLTCDPNRNGDFSLQDEIRYMFEPLFILSEPGSLDHAVAPTIIGNMVSESSKLQSDDTGGSFMQSASSAGSVDSGSSSQHDGSEPDGYGSSYQKTLPSLHCCYGWTEDWRWLVCIWTDARGELLDSHIFPFGGISSRQDTKGLQCLFVQVLQQGCQILQACSSPDTGGVKPRDFVITRIGNFFELEYIEWQKAIYSVGGSEVKKWPLQLRRSMPDGMAAGANGASLQQQEMGLIQERTLPSSPSPLYSPHSKASGYMKGGLGQTSSRKQLIGGHAAVDNSRGMLQWMLSISFVTISIDHSLHLLLQADTPSPGGSGSGVGTSIYLEGITPVKSLGSTSASYILIPSPSMRFLPSTPLQHPTCLTAESPPLAHLLHSKGSAIPLSTGFVVSKAVPSVRRDYKSNSREEWPSVLSVSLIDYYGGNNMTQDKMFRRIMKQGGRTLGVDGKDFEIRTQVILESVAAELQALSWMTVSPAYLERRTALPFHCDMVLRLRRLLHFADKELSSQPGRSQV >Potri.004G099300.1.v4.1 pep chromosome:Pop_tri_v4:4:8570383:8575940:-1 gene:Potri.004G099300.v4.1 transcript:Potri.004G099300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099300.v4.1 MAAFSLLSLFHPNPSIPKMTTKPLAVLNKAYKMQVPYELKQGQTRIFHQLPSGLNMEVIEQKGRVLADKENNRRPGNSEKNPPLVFVHGSYHAAWCWAEHWLPFFSGFGFDSYAVSLLGQGESDAPASPVAGSLQTHAGDVADFIQKKLTFPPVLLGHSFGGLIIQCYIANIRNKQTLEKKMLYPDLAGAVLVCSVPPSGNSGLVWRYLFSKPVAAFKVTRSLAAKAFQTDLSLCKETFFTSTMEDHLVKRYQALMKESSRMPLFDLRKLNSSLPVPSVLKSSIEVLVLGANDDFIVDTEGLNETGRFYGVSPICVEGVAHDMMLDCSWEKGARAILSWLNCLSR >Potri.005G098900.1.v4.1 pep chromosome:Pop_tri_v4:5:7102556:7107227:1 gene:Potri.005G098900.v4.1 transcript:Potri.005G098900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098900.v4.1 MRGAVLVALAATVGNLLQGWDNSTIAGSIPYIKEELNLQSQPAVEGLIVAMSIIGGTTITTFSGTVSDIFGRRPMLIMSSILYLLSSIIILWAPNVYVLLLARLLDGFGVGLAVTLVPLYISETAPSEMRGQLNTLPQFMGSGGMFLSYCMVFFMSMMDSPSWRLMLGTLSIPAVIYLALTLFFLPESPRWLVSKGKMIEAKQVLQRLRGREDVSGELALLLEGLGVGTETTIEEYIIGPANEITGETDAKEHVKLYGPEEGVSWIAKPVTAGFSSLGMLSRNGSLVNQTVPLMDPLVTLFGSVHENMPTTGSTRSLLFPNTASMVSVGENQGRNEQWDEEGDKDGEDSYPEASRADSDDNLRSPLLSHQHSSMEKGISHWRNSSLVNSGEEGAMGIGGGWQLAYKWSEKIGKDGSKEGGLQRIYLHQEGTIGSQKHSVTSSAGIDIPEDEFVQAAALVSQPAVCSKDILGQASEGLAAIHPSEIAAKGPSCGDLFEPGVKRALIVGVGLQILQQVAGINGVLYYTPQILEQAGVVVLLSSLGLSSASASYLMSILTTFLMLPCIFLAMRLMDVSGRRSILLYTIPILVASLVAFVLGSIVNMDSSLKAVISTGSVMIYLSCFVMGFGVIPNILCAEIFPTRVRGICITICSLTYWIGNITITYSLPVMLNFFGLSGVFTIYAIGCAVSWIFVFLKVPETKGMPLEVITEFFAVGSKND >Potri.001G305200.2.v4.1 pep chromosome:Pop_tri_v4:1:31507275:31510552:1 gene:Potri.001G305200.v4.1 transcript:Potri.001G305200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305200.v4.1 MRAGIKRTGIPYTQISGGPQELSTYKFFVGQLGELDYIQFMEDSCRNRSVGLGNLRINRDVEFQVMFPDNIEELVIRYCNDASSLCDVSSPIKYAASLECLNIWDCNGMESSVSSSWLCPASLPLPSYNGIFSGLKELCWSIKNLFPLVLLPNLVNLEVISVSYCEKIEEIIGTRDEENSSSNDHSISEFVDLSKLRTLRLMDLPQLKSICCAKLACHSLEEIELRCCSELKRITICLQLLDNRQPSPHSLKKIKVYKKKWLESVVEWEHPNAKDVLRPFVILEVPWL >Potri.001G305200.3.v4.1 pep chromosome:Pop_tri_v4:1:31507275:31510552:1 gene:Potri.001G305200.v4.1 transcript:Potri.001G305200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305200.v4.1 MRAGIKRTGIPYTQISGGPQELSTYKFFVGQLGELDYIQFMEDSCRNRSVGLGNLRINRDVEFQVMFPDNIEELVIRYCNDASSLCDVSSPIKYAASLECLNIWDCNGMESSVSSSWLCPASLPLPSYNGIFSGLKELCWSIKNLFPLVLLPNLVNLEVISVSYCEKIEEIIGTRDEENSSSNDHSISEFVDLSKLRTLRLMDLPQLKSICCAKLACHSLEEIELRCCSELKRITICLQLLDNRQPSPHSLKKIKVYKKKWLESVVEWEHPNAKDVLRPFVILEVPWL >Potri.010G022500.1.v4.1 pep chromosome:Pop_tri_v4:10:3306562:3313038:-1 gene:Potri.010G022500.v4.1 transcript:Potri.010G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022500.v4.1 MDRYGRGQEGSQSDPAQEWTGSGQETGLEEGVWQLEIGETESEYPERPNEQDCMYYLRTGFCGYGARCRYNHPRDRTAVLGAARAGGGEFPERVGQPLCQYYMRTGTCKFGASCKYNHPKQGGSSVRPVSLNYYGYPLRPGERECTYYIKTGQCKFGATCKFHHPQPANMQIPAQSLAPQVASVPAHTLYPTMQSPSVPSSQQYGVMVARPPLLPGSYVQGPYGPVLLSPSLVPYPSWSPYPAPVSPVASPNAQPAVGSGSVYGISPLSPSAPAYTGAYQSIPTAKGPSSSSQKEHVFPERPGQPECQYYMKTGDCKFESSCRYHHPPELVTSKTNVVLSPMGLPLRPGAPTCTHYTQHGQCKFGPACKFDHPMGTLSYSPSASSLADMPVAPYPVGSSIGTLAPSSSSSDMRSKPSKDSSSTRLSSSTSTPSGSVGSKYSKSGPASHSSVQKSGP >Potri.011G109700.1.v4.1 pep chromosome:Pop_tri_v4:11:13920889:13923035:1 gene:Potri.011G109700.v4.1 transcript:Potri.011G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109700.v4.1 MYSVVSPEKTALQKAFFLFSSQGKEKKRKKRVNNNQSMAASLFHLPFLFVFLLHPLSSTANIHKTNNLLKSHLFTEPTSITKTHPAHKPLNDTPPTVFFEVTKPIDVPNTKPCKHFILQHDFASTYGKPPVLVNYTPPSHCPSQDFSKIVLEWKATCKGRQFDRIFGVWLGGVELLRSCTAEPRATGIVWTVRKDITRYYSLLVKNETQEFAVYMGNIVDSTYTGIYHVNVSIYFYPAEKKLSHSDHGFNNLASGRDSKADLILPISRNFPLNDGFWFEIQNSTDSEAKEFKIPQNVYRAVLEVYVSFHENDEFWYGNYPNEYIIANNLTGFPGNGPFREVVVSLDGEIVGAVWPFTVVFTGGINPLLWRPITAIGSFDLPSYDIEITPFLGNILDGKTHKLGFSVTNALNVWFIDANLHLWLDHRSTITEGKLLKHESKPLALSLVSNFTGLNGKFLTSARRFISSNGWVKSSHGNITTRFNQHFGYRNLMEMGKDGDLQIVNQTIKFTDNVSFRKPSSNVKAFKSLKNFEFDMYSDYMDQGNGTSLSVANVTLGFNEKNVKHAGLGFASNILRNLQNGQGVMVVKNNLVQSGMGSTQQEYTYNGSGFCYFRNISSSNYTILDDNVGNTCSERNHSHLGFGLGRWWQFPARRASLASELLNKYHDGV >Potri.005G224400.1.v4.1 pep chromosome:Pop_tri_v4:5:22541034:22542708:1 gene:Potri.005G224400.v4.1 transcript:Potri.005G224400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224400.v4.1 MTKLVALLLLSLLAYAAVASTEMQQREAQQCQLRKISTSKPSHRMRSQGGVTEIWDPEEDQFQCAGFAPMRDTIQTNSLSLPKFFSAPRLVYIEQGRGVMGVSYPGCPETYHNDQQFSRDRGQGQRGMSGDQHQKVHRIRRGDVIAVPAGAAHWCYNDGNEELIAVSVLDLNNQANQLDQNLRGFMLAGGQSSHGQERYERASRRYAGQSERSHEETFQNIFRGFDEELMAEAFNVPRETVRRMRQDSNRGLIVKCREDMRIMSPDQEEEEQSESSPRDGLEETFCNMKIKQNIELQRETGVYTKQGGRINIANQQKLPILQFIDMSAERGHLMPNALYTPHWSMTDNRVVYALRGELNAQVVDERGNTIMNERVRQGDMFVIPQFYATLMRAGNNGFEWVSFKSSSQPIKSPMAGSISVMRAMPIDVISNAYQISPREAEQLKMNRDPQSMLLSPTRTSS >Potri.003G002650.1.v4.1 pep chromosome:Pop_tri_v4:3:449507:451621:-1 gene:Potri.003G002650.v4.1 transcript:Potri.003G002650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G002650.v4.1 MTQAGQYTSMSKKNKRLVLAIFASFLLVATIIAIAIGVNSHKNSTKNDAAHALLMASCNSTRYPDLCYSAATSFPDASGGDPKAVILNNINATIDAINSKKIKANSILSTKDLTEQQKTALKDCRQNYDSSLADLEKVWGALTRNPNNQLLQQQSYADQLTTQVTSCKTNEDSCLDGFSHKSLLRELREWFHDPSKDDAGNMCSNTLALIKKLIENTKAIANRLKTTSRKLKEEDDSAEGWPEWLSVTDRRLFQSSLLTPDVVVAADGSGKYRTVSAAVAAAPKHSAKRYIIKIKAGVYRENVEVPSEKTNIMFLGDGRKKTIITASRNVVDGGTTYHSATVAVVGQGFLARDITFQNTAGASKYQAVALRVESDFAAFYKCGMLAYQNTLYVHSNRQFFTNCYIAGTVDFIFGNSAAVFQDCDIRARRPNPGQTITITAQGRSDPNQNTGIVIQKSRIGATPDLQHARSNFSAYLGRPWKEYSRTVIMQSSISDVISPAGWREWKGRFALNTLHFAEYENSGAGAGTAGRVPWKGYKVITDATEAQAFTARNFITGSSWLKSTTFPFSLGL >Potri.009G073200.2.v4.1 pep chromosome:Pop_tri_v4:9:7166218:7168745:1 gene:Potri.009G073200.v4.1 transcript:Potri.009G073200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073200.v4.1 MVSWVRGKCVGKGSFGSVNLAFNKQTGAVFAVKTASEANQVQALDNEIKILSSLSSPFIVKFLGDDVSFENSRACRNLHMEYLPGGTVADLASSTQRFADVNEETVRSFTYCIVSALKYIHSRGIVHCDVKGRNILLGHSFDSVKLADFGSAIDATSGEPLLPRGSPLWMAPEVIKREYQGPESDVWSLGCTIIEMVTGKPAWEDHGVDSLSRIGFSNELPELPGQLSVLGRDFLKKCLEREPSKRWSCDQLLEHPFLASVSPNLLGNELSPRCVLDWFNSDFEEDIDVMERGMSSSFENIEVAARNRIGKLVASGGVNWETKDGWVEVRSCCGHRGRGEEEELGTSSVYSSDSAWISEEGCIKWEVCNSIGGELHVDGSNRSISCGICWCGAVCSGRKGGGGLSCHYGSQKVELSVEGCELLVLGVELLF >Potri.009G073200.3.v4.1 pep chromosome:Pop_tri_v4:9:7166286:7168271:1 gene:Potri.009G073200.v4.1 transcript:Potri.009G073200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073200.v4.1 MVSWVRGKCVGKGSFGSVNLAFNKQTGAVFAVKTASEANQVQALDNEIKILSSLSSPFIVKFLGDDVSFENSRACRNLHMEYLPGGTVADLASSTQRFADVNEETVRSFTYCIVSALKYIHSRGIVHCDVKGRNILLGHSFDSVKLADFGSAIDATSGEPLLPRGSPLWMAPEVIKREYQGPESDVWSLGCTIIEMVTGKPAWEDHGVDSLSRIGFSNELPELPGQLSVLGRDFLKKCLEREPSKRWSCDQLLEHPFLASVSPNLLGNELSPRCVLDWFNSDFEEDIDVMERGMSSSFENIEVAARNRIGKLVASGGVNWETKDGWVEVRSCCGHRGRGEEEELGTSSVYSSDSAWISEEGCIKWEVCNSIGGELHVDGSNRSISCGICWCGAVCSGRKGGGGLSCHYGSQKVELSVEGCELLVLVGIYHILLCNLLLYLLQFMRTIRHNFILYSKLLLLGLVHACECVYIQLCFQSSYGVVLLFTGCRTTILTYSNTTA >Potri.010G160600.2.v4.1 pep chromosome:Pop_tri_v4:10:16650669:16652104:1 gene:Potri.010G160600.v4.1 transcript:Potri.010G160600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160600.v4.1 MRKVMPSTKVAPFVLRRNYICSCVSCLALKPLLFYPHPPSLSHFSPSHLFNIRHLLLTSISISLPTMKNPLSSTISFSSQYRLLILTLLLFFVISTATRIPNYASLDTSSRNHRDSFKIQRYSPSSFPRKSTSSYWCNQFQRMKGGLHLGPPPPPPPPSEIDPIYGVEKRLVPSGPNPLHN >Potri.018G070700.2.v4.1 pep chromosome:Pop_tri_v4:18:8522802:8535048:-1 gene:Potri.018G070700.v4.1 transcript:Potri.018G070700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070700.v4.1 MSFAGPSLASGGKTVRRAIEFGRTYVVKPKGKHLATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPVTVFGGFPSTAWFDVGDLSEDAPDDTEGLDAAAAHVANLLSTEPFDIRLGIGGFSMGAATAMYSATCFAAGKYSDGSAYPANLSAIVGLSGWLPCSKTLSKKIGGDETARRAASLPILLCHGKGDDVVPYKFGEKSSRVLVSTGFQDATFKAYNGLGHYTIPEEMDEVCAWLTSKLGLGGRSP >Potri.018G070700.3.v4.1 pep chromosome:Pop_tri_v4:18:8522801:8535268:-1 gene:Potri.018G070700.v4.1 transcript:Potri.018G070700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070700.v4.1 MSFAGPSLASGGKTVRRAIEFGRTYVVKPKGKHLATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPVTVFGGFPSTAWFDVGDLSEDAPDDTEGLDAAAAHVANLLSTEPFDIRLGIGGFSMGAATAMYSATCFAAGKYSDGSAYPANLSAIVGLSGWLPCSKTLSKKIGGDETARRAASLPILLCHGKGDDVVPYKFGEKSSRVLVSTGFQDATFKAYNGLGHYTIPEEMDEVCAWLTSKLGLGGRSP >Potri.018G070700.1.v4.1 pep chromosome:Pop_tri_v4:18:8522550:8535277:-1 gene:Potri.018G070700.v4.1 transcript:Potri.018G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070700.v4.1 MSFAGPSLASGGKTVRRAIEFGRTYVVKPKGKHLATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPVTVFGGFPSTAWFDVGDLSEDAPDDTEGLDAAAAHVANLLSTEPFDIRLGIGGFSMGAATAMYSATCFAAGKYSDGSAYPANLSAIVGLSGWLPCSKTLSKKIGGDETARRAASLPILLCHGKGDDVVPYKFGEKSSRVLVSTGFQDATFKAYNGLGHYTIPEEMDEVCAWLTSKLGLGGRSP >Potri.018G070700.4.v4.1 pep chromosome:Pop_tri_v4:18:8522800:8535100:-1 gene:Potri.018G070700.v4.1 transcript:Potri.018G070700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070700.v4.1 MSFAGPSLASGGKTVRRAIEFGRTYVVKPKGKHLATVVWLHGLGDNGSSWSQLLETLPLPNIKWICPTAPTQPVTVFGGFPSTAWFDVGDLSEDAPDDTEGLDAAAAHVANLLSTEPFDIRLGIGGFSMGAATAMYSATCFAAGKYSDGSAYPANLSAIVGLSGWLPCSKTLSKKIGGDETARRAASLPILLCHGKGDDVVPYKFGEKSSRVLVSTGFQDATFKAYNGLGHYTIPEEMDEVCAWLTSKLGLGGRSP >Potri.013G056800.1.v4.1 pep chromosome:Pop_tri_v4:13:4163638:4167492:-1 gene:Potri.013G056800.v4.1 transcript:Potri.013G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056800.v4.1 MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGTMPSDTSVGVEHDSFNTFFSETGSGKHVPRAIFVDLEPSVIDEVRSGTYRQLFHPEQLISGKEDAANNFARGHYTVGREIVELCLDRVRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIFPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYNNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQLSVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNSAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDYKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDEEGEEEDY >Potri.012G082350.1.v4.1 pep chromosome:Pop_tri_v4:12:10766082:10767905:1 gene:Potri.012G082350.v4.1 transcript:Potri.012G082350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082350.v4.1 MAVKVKRGGMEKPVMLGHIKAKRRADNLHLQADQDAQQGPLCLVHLNKPCSTFLTIDASLASVPSEHANTKIDLHNSALY >Potri.001G251900.5.v4.1 pep chromosome:Pop_tri_v4:1:26811047:26814982:1 gene:Potri.001G251900.v4.1 transcript:Potri.001G251900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251900.v4.1 MEEPQRNEQHQLVPATSSFASTYVDGPSTSSVSASHLVVEENQQENDESVHIEGNSGGHHHDQEQEREHPSSAVSYRLNISMSNAAANQMRDDVWSCLAVLIAFWFFASLTIILGYYGSVSLELGPNCSRLVQPNPLFVQSLKAGELGKPKPGPILYGFYKPPPLDVEITWTQQHDAVVPKDFHKEWALFLNKGSKVDISYSIKSLGATPLSLVIAQGTESLIEWIDDPSYPNTTLSWNIINGSGNIQQEIPTSSSYYIAVGNFNSEEVKVELKFIVKSLIYDISQAYYSCPLSNHLCSLQLFLMGTTTAVLTSPGPAEGASDEDWYVKLSYGPRWIIYIIGSGVMTVLLLLALRLCNMFRPPGRDGYQAGEIESERTPLLSQKDDDSSSWGSSYDSNSHEEEDLEKWLAVNCIEGKSVAEGENLRRLCVICFDAPRDCFFLPCGHCAACFTCGTRIAEEVGVCPICRRSLKKVRKIFTV >Potri.015G042000.1.v4.1 pep chromosome:Pop_tri_v4:15:3902955:3904571:-1 gene:Potri.015G042000.v4.1 transcript:Potri.015G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042000.v4.1 MAGAVKLIGSYTSLFCTRVEWALKLKGVQYEYVEDDVFNKSPLLLKHNPVHKKVPVLVHDDKPIPESLVILQYIDETWNDNNLLPQDSYERAMAHFWAKFTEEKCLMETFTAAYKEGEEKERAIESAQESFAFLEKLIQGKKFFSGDDKIGYLDLAMGWIPLCLDIIEEVGDMKLVDAEKFPSLLEWAQNFTEIPLIKERLPPRDALFNYFHKIVGLNRSKNH >Potri.001G043900.1.v4.1 pep chromosome:Pop_tri_v4:1:3167874:3172904:1 gene:Potri.001G043900.v4.1 transcript:Potri.001G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043900.v4.1 MFDCGYKSQYIGGQKEKFVRLDDFDSLSVTSSNKGMKKLRFNVDGINITGHEKKNASKSFRHGMKKGSKGLVTIGRSLKTGVARAVFLEDLKVSEKMIFDPQHKSLLLWNRLLVMSCILSVSIDPLFFYLPVFNYQMTCLGMDTKLAAAITTMRTTLDVFYLIRIALQFRTAYVAPSSRVFGRGELVIDPAQIASRYLSRYFIVDFLSVLPLPQIVVWKYLTNKKKGSEVLATKQALLIIVFSQYIPRFGRFFPLTSDLKKSAGSFAESALAGAAYYLLWYLLASHIAGAFWYLLAIERKGTCWREACRLSGKCNVDFLYCGNKLLRGYHDWRRISDKVLGNKCDVDKDDNSRFNYGIYFQAMSSDIVSSRKFVSKFFYCLWWGLQNLSTLGQGLQTSTYPLEVIFSILLAISGLILFALLIGNMQTYLQSLSVRLEEMRIKGRDSEQWMHHRLLPHDLRERVRKYDQYKWFETRGVDEENLVQNLPKDLRRDIKRHLCLNLVRRVPLFANMDERLLDAICERLKPSLYTEETYIVREGDPVDKMLFIIRGRLESVTTDGGRSGFFNRGVLKEGDFCGEELLTWALDPKSLGNLPLSTRTVWALTEVEAFALEAEELKFVASQFRRLHSRQVQHTFRFYSQQWRTWAACFIQTAWRRYSRRKAAELRRLEEEEEEDVDYDEEDEDDGDDDDERALVGELGSARLRTTIFASRFAANALRGHKLRGSNSRGLKKLQKPPEPDFNEE >Potri.011G145900.5.v4.1 pep chromosome:Pop_tri_v4:11:17445391:17448434:-1 gene:Potri.011G145900.v4.1 transcript:Potri.011G145900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145900.v4.1 MENHKQEEQEQGELTTFKARELFSSNIILSLIAVAIWLGSIHFVVLLVIFSLLFLSFSKCLLVFGLLLLLVFVPIDDDNKLGRRLCGYICRYACCYFPVTLHVEDINAFHPDRAYVFGYEPHSVWPIGVVALADHTGFMPLPKVKVLASSAVFLMPFLRHIWTWCGLTSATKKNFTSLLSAGYSCIVNPGGVQETFYMEHDNEIAFLKSRRGFIKIAMENGAPLVPVFCFGQSKVYKWWKPSGKLFLKISRAIKFTPVVFGGIFGTPLPFQRPMHIVVGRPIELKQNLQPTVEEVWCHLNLQSDESVVTGNGEHIHFV >Potri.011G145900.1.v4.1 pep chromosome:Pop_tri_v4:11:17444681:17448434:-1 gene:Potri.011G145900.v4.1 transcript:Potri.011G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145900.v4.1 MENHKQEEQEQGELTTFKARELFSSNIILSLIAVAIWLGSIHFVVLLVIFSLLFLSFSKCLLVFGLLLLLVFVPIDDDNKLGRRLCGYICRYACCYFPVTLHVEDINAFHPDRAYVFGYEPHSVWPIGVVALADHTGFMPLPKVKVLASSAVFLMPFLRHIWTWCGLTSATKKNFTSLLSAGYSCIVNPGGVQETFYMEHDNEIAFLKSRRGFIKIAMENGAPLVPVFCFGQSKVYKWWKPSGKLFLKISRAIKFTPVVFGGIFGTPLPFQRPMHIVVGRPIELKQNLQPTVEELAEVHSQFVAALEDLFKRHRARVGFADLELKVL >Potri.002G144101.1.v4.1 pep chromosome:Pop_tri_v4:2:10861142:10862956:-1 gene:Potri.002G144101.v4.1 transcript:Potri.002G144101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144101.v4.1 MVHRDPSLLARGCSHLVACWAIKAPQVQIEGGVPSRLKLELMITQKAAICSYSKATIIAKSHSFHKRTLMV >Potri.016G076800.1.v4.1 pep chromosome:Pop_tri_v4:16:5790642:5791849:1 gene:Potri.016G076800.v4.1 transcript:Potri.016G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076800.v4.1 MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRAKRELWRVQYALSRIRNAARMLLTLDEKNSRRIFEGEALLRRMNRYGLLEESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIKQKHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKSAAKKASGGDGDEEDEE >Potri.001G047600.1.v4.1 pep chromosome:Pop_tri_v4:1:3499819:3502367:-1 gene:Potri.001G047600.v4.1 transcript:Potri.001G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047600.v4.1 MAVARLIRPLRKALINPPQTLIPLQNPISHLLYNNKMGEEINPFLISQTHNSIRRNYMSETRKSAFKDNLLRLVRNEIQYELDRSPPKQPPTKYESFTIEDLPGEQWIRLKRKFRENEEIKVEATMFDGAVPIPKSDVPGIKEDMLLHITLIINILKGDGDVLEILCSAWPDSIEITKLFIRRSNKTSHQVYDGPEFKELDDELQDTLYEFLEARGINDEMAIFLHEYIRNKGKTEFIRWMGTVKSYIESK >Potri.011G049300.1.v4.1 pep chromosome:Pop_tri_v4:11:3909860:3918810:-1 gene:Potri.011G049300.v4.1 transcript:Potri.011G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049300.v4.1 MIVRTYGRRNRDGGSLTSTYSDSLDDDVADHNYSFSDSFSLSQETTQSNQDFFSHNFPFSSQESTSYSLDLDPYNFDDNPIPNGVVPRKSKKPRRSKSKSERNGIGNSNLLTSSTTLMEAQEFGEMMEHVDEVNFALDGLKKGQPLRIKRASLLSLLGICGTQQQRRLLRAQGMAKTIIDAILGLSFDDSTSNLAAAALFYVLTSDGQDEHILESPTCIRFLIKLLKPIISTATEDKTRNIGSKLLALRKDSDILRDTSKLADSSSTAIAAKVQEILVNCKDMKSHSGDDSRTERPELTPKWIALLSMEKACLSKISFEDTSGMVRKTGGGFKEKLREHGGLDAVFEVTMNCHSVIERWTKHNSSSIQDTKDDMRHLSLVLLLKCLKIMENATFLSTDNQTHLLGMRGNSDSHGHRLSFTKIIISIIKILSSLHLLKSSPAASIDGNHCSLSERSDNASDLALIDDDRVDSNGVICISSSTDCCNEERTSSGKRLNVSQNSIARLSLSASSSETATRFMKNTCQLKMRVPSMPSSCSETLRSYDSNRSRTKFGLVEKTNCTKDACSDLLDDSQDPYAFDEDDFQPSKWDLLSGKRKISRTHNGRVTPKEVENGCQYKLVSQEESSNGGNGLHKSSNREHHDSQKSSYCNVPDEEHSSLLADCLLTAIKVLMNLTNDNPIGCQQIAACGGLETMSSLIAGHFPLFSSSISFFGEMQEDSSSIPLENQNDIHLTDQELDLLVAILGLLVNLVEKDGDNRSRLAATSISLSSSEGSEDESRKDVIPLLCSIFLANQGAGDAAGEGNIVSWNDEAAVLQGEKEAEKMIVEAYSALLLAFLSTESKSIHDSIADCLPNHNLAILVPVLERFVAFHLTLNMISPETHKAVSEVIESCRIR >Potri.011G049300.3.v4.1 pep chromosome:Pop_tri_v4:11:3909964:3918792:-1 gene:Potri.011G049300.v4.1 transcript:Potri.011G049300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049300.v4.1 MIVRTYGRRNRDGGSLTSTYSDSLDDDVADHNYSFSDSFSLSQETTQSNQDFFSHNFPFSSQESTSYSLDLDPYNFDDNPIPNGVVPRKSKKPRRSKSKSERNGIGNSNLLTSSTTLMEAQEFGEMMEHVDEVNFALDGLKKGQPLRIKRASLLSLLGICGTQQQRRLLRAQGMAKTIIDAILGLSFDDSTSNLAAAALFYVLTSDGQDEHILESPTCIRFLIKLLKPIISTATEDKTRNIGSKLLALRKDSDILRDTSKLADSSSTAIAAKVQEILVNCKDMKSHSGDDSRTERPELTPKWIALLSMEKACLSKISFEDTSGMVRKTGGGFKEKLREHGGLDAVFEVTMNCHSVIERWTKHNSSSIQDTKDDMRHLSLVLLLKCLKIMENATFLSTDNQTHLLGMRGNSDSHGHRLSFTKIIISIIKILSSLHLLKSSPAASIDGNHCSLSERSDNASDLALIDDDRAVDSNGVICISSSTDCCNEERTSSGKRLNVSQNSIARLSLSASSSETATRFMKNTCQLKMRVPSMPSSCSETLRSYDSNRSRTKFGLVEKTNCTKDACSDLLDDSQDPYAFDEDDFQPSKWDLLSGKRKISRTHNGRVTPKEVENGCQYKLVSQEESSNGGNGLHKSSNREHHDSQKSSYCNVPDEEHSSLLADCLLTAIKVLMNLTNDNPIGCQQIAACGGLETMSSLIAGHFPLFSSSISFFGEMQEDSSSIPLENQNDIHLTDQELDLLVAILGLLVNLVEKDGDNRSRLAATSISLSSSEGSEDESRKDVIPLLCSIFLANQGAGDAAGEGNIVSWNDEAAVLQGEKEAEKMIVEAYSALLLAFLSTESKSIHDSIADCLPNHNLAILVPVLERFVAFHLTLNMISPETHKAVSEVIESCRIR >Potri.019G053800.2.v4.1 pep chromosome:Pop_tri_v4:19:9077813:9079333:1 gene:Potri.019G053800.v4.1 transcript:Potri.019G053800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053800.v4.1 MALVTTAEVCDANPQLIVSGELRALQPIFQIYGRRQVFSGPVVTLKVFEDNVLIREFLEEKGNGRVLVVDGGGSLRCAILGGNPVVQAQNNGWAGIVVNGCIRDVDEIMGCDIGVRALASHPMKANKKGIGEKHVPITIGGTRISDGEWLYADTDGILISRTELAV >Potri.009G155800.1.v4.1 pep chromosome:Pop_tri_v4:9:12160151:12161492:1 gene:Potri.009G155800.v4.1 transcript:Potri.009G155800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155800.v4.1 MSNVTTGAAAAEQPYEVDECRGVLRVYSDGSIWRSSEPSFKVPVHDDGSVVWKDAFFDSTHDLHLRLYKPASPSSTKLPIFYYIHGGGFCIGSRAWPNCQNYCFKLALDLQAVIISPDYRLAPENRLPAAIEDGYMAVKWLQAQAMSEEPDTWLTDVADFSKVFISGDSAGGNIAHNLAVRLGAGSPELAPVLVRGYVLLAPFFGGTVKSKSEAEGPKEAFLNWELINRFWRLSIPIGDTTDHPLVNPFGPQSRSLEPLELDPILVVMGGSDLLKDRAKDYAERLQEWGKDIQYVEYEGQQHGFFTINPNSEPATKLMQIIKTFIVEKST >Potri.010G147050.1.v4.1 pep chromosome:Pop_tri_v4:10:15804444:15808045:-1 gene:Potri.010G147050.v4.1 transcript:Potri.010G147050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G147050.v4.1 MALDSRTNSRSTLISSTAVELLKRAWRHEKSAPEILQFKASLVQRIKEHIELVIEKYLFYILKTDEYLNRLSKQEQMFARRCTDDLGRHLDDTVLAKLPDNYPSMLKQSITSEEDDMDVPTKNCYREFVYLPRLDTFVICEAKQYLCSLDFEPGYSMKITEMERDLLTFVCYKFIKKPLENGKIGLM >Potri.019G128200.1.v4.1 pep chromosome:Pop_tri_v4:19:15101010:15102926:-1 gene:Potri.019G128200.v4.1 transcript:Potri.019G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128200.v4.1 MECLKRKRSLIFNNKPSLFHYVSFTIFFFFLSFVALFSPFTSNTTCLGIRCPLSEKADHFYFQEFEKTRGYDEQMEVSSSMARRFLSGPGSSPPRCTSKCGNCTPCKPVHVAMPPGTPVTTEYYPEAWRCKCGNKLYMP >Potri.005G006701.1.v4.1 pep chromosome:Pop_tri_v4:5:488293:493780:1 gene:Potri.005G006701.v4.1 transcript:Potri.005G006701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006701.v4.1 MMMKKMWVWMLLTLLTYGRCYGCLEEERIGLLEIKALINPNSIFGHLGDWTANKEDIANCCDWSMIECDSTTRRVIHLSLAGARDFRLGDWVLNASLFLPFKELQSLDLNKNRLVGCSENQGFEVLSSKLRKLNALDLSGNRFSNNFISCFNGLSSLRSLDLSSNLLKGSADFYGFKVLSSRLKKLESLRLKMNGYNDSIFSTLTGFSSLKSLDLSYNQLTGSAGFNAFQLQPMRLGKLENLYLSRNQLNSNISSILSGLLSLKSLDLSYNMLRGSSINGFDALPSKLRELESLDLSYNRFNDSDLSYLCEFPSLKSLNLSGNMFLGSTTINGLRKLEVLSLNELTISGSTLLQSLGALPSLKTLSLKETNLSGTSISQGSFFNSTTLEELILDGSSFPTNYLQNIGAFSALKILSFSGCDLNSTLPAKDWCELKNLEQLDISWNNLKGSLPDCFRNLTSLQLLDVSGNRFVGNIASGPLTNLKSLEFLSLSNNHFEVPLSFNSFMNHSKLKFFICDHNTLIGDKAGFQNFIPKFQLMFFSLSSRTSKALNADIPNFLYSQYDLRILDLSQNNFNGMFPSWLLKNNTRLEQLYLRENSFVGPLILQNQPNPYMNVIDISNNNIHGQIPRNMCLVLPNVSKLRMHMNGLIGSLPSCFGNMSSLEYMDLSDNQLSRVKLEQLKSSWFVKLSNNNLGEQLPPSIFNSSAFLYLYLDGNNFTGQISGFPPPNWIRLSALDISNNQLSGMLPTWMGNFSYLQAIDLSRNHFEGPIPRDFCDLGNLKYLDMSENYLFGSVPSCFSPSTIKHIHLSKNQLSGPLTNAFYNSSSLVTLDLNYNNFMGPISNWFGNLSVLSVLLLKANHFAGEFPTQLCLLEHLSILDVSQNKLSGLLPSCIANYLFKQNLEKAVAVHQRIYQLESTSKMYSETSLLLGRIDNLKNSFRVVNIEEAIEFTTKRMSYGYKGNILNFMTGFDLSSNRFSGEIPLEMGNLRELHALNLSHNNLTGCIPATFSNLKQIESLDLSYNNLNGGIPPELAVLNNLAVFSVAHNNLSGRTQEMKAQFWTFDESSYEGNPLLCGPLLQKKCDGEESPSQPTPNDEREDDGFIDMYVFHVSFGVCFIIGVLTIAAVLYINPLWRRRWFHFIEDCIDTCYYFLVINFRKFSNFRRS >Potri.017G084200.1.v4.1 pep chromosome:Pop_tri_v4:17:9682157:9685214:-1 gene:Potri.017G084200.v4.1 transcript:Potri.017G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084200.v4.1 MALLASCWLIVESFVIADGFLKWFYLSFYIHPIFLFVCQIFLWLKLLQKCFLVAIFYLSHVGLCVYIFFKDCVVYIFSFGRCSNIEDVEEIESFGPLKSFKNQVVISYSCSSIAPLPCQLQVFKLNKNWSTEEKDSGGDAIPCKDENMEQQALFVGEDESMINGHLSSISFSSRFSTTAESLNKDDDLSSVSSSNSPAAGDLDMTQYSSLVDSSNSLAVEREFTANWSREEDHQDPFYKKYTERMRWFDVLNDERTSGISAILNRQVGNIPSSFERMKLPADMSVPFVPWSKMEKRKLLKSLESDFELVYVAQSCLSWEALHHQYRKVEALASSSSQNGVFYDDVPGEFQKFQVLLERFMEDERCELGKRDWNYVRGRFSLKSLLQVPMVSGFHEQENEEIKREAINVKEVMEAIERGILAYWVFIKTDGRKPWWKLRSSLWTWPTVEDPRDVGLQADLTRKLQKKELWLKESQGKLKCWFRRAVNPTLEESQKKEMLFAMIDLKLISRVLQMSVLSTSQLKWCQEKLEKIEFKEGKIARACTSGPLFPP >Potri.012G101600.4.v4.1 pep chromosome:Pop_tri_v4:12:12453802:12455157:1 gene:Potri.012G101600.v4.1 transcript:Potri.012G101600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101600.v4.1 MGRLLTFFTHVHTISGPVLMLLYPLYASVVAIESPSREDDKQWLAYWILYSFLTLTEMLLQSLLEWIPIWYSLKLVLAAWLVLPQFKGAAFVYERYVREHITKFIGVQDHQFSHPEPPIASGSGGKGKKKSVQFATPD >Potri.008G008300.1.v4.1 pep chromosome:Pop_tri_v4:8:399675:403617:-1 gene:Potri.008G008300.v4.1 transcript:Potri.008G008300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008300.v4.1 MGVDLRQVVAGVLTLTMFVMLGNMIKRDHFDSVEGKFPGVRDVEFDSEKVSDQGLVTFSKKSTNGPWIESGQELKPCWKESTLDEVEPKGFVTLSLTNGPEYHVSQIADAVVVARYIGATLVLPDIRGSKPGDERKFEEIYDVDKFVKSLDGVVKVVKGLPDDVSIRDFAVVKVPNRISDDHIAEQIKPVFKTNSNIRLATFFPSVNMRKTTKTSASDSVACLAMFGTLQLQPEVNEVVDSMIERLRTLSRKSNGQFIAVDLRVEILEKKGCHGSSSAGTKSCFSAQEIAIFLRKMGFDKDTTIYLTQPRWDESLDVLKDIFPKTYTKESIMPADKKAKFLESEDSEFEKVIDFYMCSQSDVFVPAISGLFYANVAGKRIASGKTQILVPSDIPGSSAAVTSHFSPYISKKNHMAHSCYCK >Potri.005G116800.2.v4.1 pep chromosome:Pop_tri_v4:5:8507533:8511860:-1 gene:Potri.005G116800.v4.1 transcript:Potri.005G116800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116800.v4.1 MMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCWIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKTHWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTKSIEGWDSSYFYEESSGVSSVVDPIDYIARQPQGFLAHNFLCKQEIEGDKLSFMHSENFVQLPQLKSPSEPLIKRPTSSMSLISENNNSNNEEVEQNGLSNNNTQKVTDWRALDKFVASQLSQEERYDGDGVSSFVGADNNSDLPFLLLQSGRDDGNKFNGFLGSSSDCDIGICIFEK >Potri.005G116800.5.v4.1 pep chromosome:Pop_tri_v4:5:8507566:8511516:-1 gene:Potri.005G116800.v4.1 transcript:Potri.005G116800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116800.v4.1 MVRARFCIVRHRLCSSKSALKTSSTVVMMESMESCVPPGFRFHPTDEELVGYYLRKKVASQKIDLDVIRDIDLYRIEPWDLQERCWIGYEEQNEWYFFSHKDKKYPTGTRTNRATMAGFWKATGRDKAVYDKTKLIGMRKTLVFYKGRAPNGQKTHWIMHEYRLESDENGPPQEEGWVVCRAFKKRTTGQTKSIEGWDSSYFYEESSGVSSVVDPIDYIARQPQGFLAHNFLCKQEIEGDKLSFMHSENFVQLPQLKSPSEPLIKRPTSSMSLISENNNSNNEEVEQNGLSNNNTQKVTDWRALDKFVASQLSQEERYDGDGVSSFVGADNNSDLPFLLLQSGRDDGNKFNGFLGSSSDCDIGICIFEK >Potri.009G147000.7.v4.1 pep chromosome:Pop_tri_v4:9:11631958:11633969:1 gene:Potri.009G147000.v4.1 transcript:Potri.009G147000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147000.v4.1 MPKVRTNRVKYPEGWELIEPTLRELDGKMREAELDPHDGKRKCEALWPIFKITHQKSRYVYDLYYRRSEISKELYEFCLDQGYGDRNLIAKWKKPGYERLCCLRCIQPRDHNFGTTCVCRVPKHLREEKVVECVHCGCGGCASGD >Potri.009G147000.6.v4.1 pep chromosome:Pop_tri_v4:9:11632319:11633692:1 gene:Potri.009G147000.v4.1 transcript:Potri.009G147000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147000.v4.1 MPKVRTNRVKYPEGWELIEPTLRELDGKMREAELDPHDGKRKCEALWPIFKITHQKSRYVYDLYYRRSEISKELYEFCLDQGYGDRNLIAKWKKPGYERLCCLRCIQPRDHNFGTTCVCRVPKHLREEKVVECVHCGCGGCASGD >Potri.010G250300.1.v4.1 pep chromosome:Pop_tri_v4:10:22338495:22340185:-1 gene:Potri.010G250300.v4.1 transcript:Potri.010G250300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250300.v4.1 MVATPLNVIGILREAITILARNGKFMLQVMLTILFPFSLIGLLHYLLAGFLIERVEDSYEKNSPLGQKDVRTLIGLELAFFAAFFFVCFFGIMLTIHASASSYLGKNMGLNDLISSIHYAWKKPLITWLCVSLFTLTYAVLAIVLIKLVSLLDPNSYAIYLWGWFLTILAALFYLYLDASWTLALVISVLENDSCGTKGLKRSEKLIRGRKIQGFLLMFILTALVVPIYVLLYVTATDDDDDDELGPFAQFAFRFVATVLFCLSKFFVSVVFTVFYYECKQSEGERVVMELGVGYSLAPHKLQVEF >Potri.012G129300.2.v4.1 pep chromosome:Pop_tri_v4:12:14469902:14472853:-1 gene:Potri.012G129300.v4.1 transcript:Potri.012G129300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129300.v4.1 MDVAASEFYNNDDNTYDLNFKEENNDGSQKISGDSLKNVYKSCATDYPIVTIEDPFDQDDWEHYAKMTSEIGEQVQFVGDDLLVTNPKVNQIGSVTESIEAVKMSKRAGWGVMASHRSVETEDTIIADLSVGLATGQIQTGAPCRSERLAQYNHVDGNVFQHTQLKMRNLTKYDFSYHTQLKMRISQSILPKFKATQYAGQGERKNYPVVSS >Potri.016G142600.1.v4.1 pep chromosome:Pop_tri_v4:16:14481126:14485058:1 gene:Potri.016G142600.v4.1 transcript:Potri.016G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142600.v4.1 MMMEGSGRRISAANPRPCSGRRILAAKKRGRSDGFLNSVKKLQRREISSKSDRSFSITNAQERFRNMRLMEEYDTHDPKGHCSMVLPFLMKRTKVIEIVAARDIVFALAHSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDTDVQEIKISPGIMLLILNRSSGYVPLKILSIEDGTILKDFKHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRHTGIREVSRTDFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDQWMEGNAGSINISNILTGKCLAKINATNGSPKDEECSSSTGSSKQSRLMMRSTVAAALEDITALFYDEERNEIYTGNRHGLVHVWSN >Potri.016G142600.2.v4.1 pep chromosome:Pop_tri_v4:16:14481126:14485058:1 gene:Potri.016G142600.v4.1 transcript:Potri.016G142600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142600.v4.1 MMMEGSGRRISAANPRPCSGRRILAAKKRGRSDGFLNSVKKLQRREISSKSDRSFSITNAQERFRNMRLMEEYDTHDPKGHCSMVLPFLMKRTKVIEIVAARDIVFALAHSGVCAAFSRETNQRICFLNVSPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGKPDAGFPLFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSISDTDVQEIKISPGIMLLILNRSSGYVPLKILSIEDGTILKDFKHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRHTGIREVSRTDFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDQWMEGNGNFFYHHFGWQILMLKYSWSILDEV >Potri.001G263200.1.v4.1 pep chromosome:Pop_tri_v4:1:27876633:27878746:1 gene:Potri.001G263200.v4.1 transcript:Potri.001G263200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G263200.v4.1 MEMSPLTQVITKKTRSKKTRRAWKLKLNITWQAIKKAVNGHIKKRPTGLRLHLSCAGSLRQLSTNRIHQESDVKPHKDNKSKKKACHPNKTLSHLFHVPYTALDFVDLGDHMTPTMSPKQNISAMWQEIHGSSNWDNLLDPLHPNLRREILKYGEFVEATYDAFDFDPLSEYCGSCRYNRHKIFETLGLTKHGYKVKRYIYALSHVDVPQWLKRSHAMWSKDSNWMGYVAVSRKEESHRIGRRDIMVAWRGTIAPSEWFSDLRTGLELIDNTNVKVQEGFLGIYKSKDESTRYNKLSASEQVMQEVVRLVNFYRGKGEEVSLTVTGHSLGGALALLNAYEAKTVIPDLFVSVISFGAPRVGNIAFKEKLNELGVKTLRVVVKQDIVPKLPGLLNRMLNKFHGLTGKLNWVYRHVGTQLKLDAFTSPYLKHESDLSGCHNLELYLHLIDGFLSSTSKHRWNARRDLALVNKGSDMLIEDLRIPEFWYDFPYKGLMLNKYGRWVKPGRQPEDIPSPLGVETPLKHGGPRPF >Potri.019G022338.1.v4.1 pep chromosome:Pop_tri_v4:19:479950:483696:1 gene:Potri.019G022338.v4.1 transcript:Potri.019G022338.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G022338.v4.1 MAPTHLDSSFSTLPTLASPSRPLVESVSSKNSRSVPTKGRCKVTTGDDDQIVRRSANYQTSMWDYDFVQSLTSKYKGEPYIARSEKLKANIRMMLANASKPLDQLELIDTLERLGLSYHFVDEIKSILKSLFDENHIENTETVHDLYATALEFRLLRQRGYHVPQEVFNHFKDEQGNFRACIHDDLKGMLNLYEASYFLVDGENILEDARDFTTKNLENYVKKCNTTEYLSELVSHALELPLAWRMLRLEAHWFINLYETKTDMEPVLLELAKLDFNMVQAVYQEDLKDSSRWWKMTGRGEKLDFARDRLVVYLLWSVGIIFEPQFGNIRRMITKLNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNFMDHLPDYMKLCFFALFNSINEIAYDILRDQGVDNLPYLKKTWADLCKSHLLEAKWYYSGYTPTLQEYLDNAWISVGAPLAIVHAYFYASNPTTKEASHFMEEYPDIIRWSSIILRLADDLGTSSDEMKRGDVSKSIQCYMYESEASEEEARDHIRKLISNAWKKINAYQFSDPHISQTIIGVVVNLARAAQCIYQYGDGHAIEHLETKDRVMSLLIKPL >Potri.002G127600.1.v4.1 pep chromosome:Pop_tri_v4:2:9663477:9667104:-1 gene:Potri.002G127600.v4.1 transcript:Potri.002G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127600.v4.1 MKEMLGFGGRYYWGRREMEREGIVVVFAWMSSQERHVKSYVDLYGSLGWNSLVCHSQFLNMFFPEKAETLAFDILNELLEELKIRPYPIVFVPFSDGPKACLYKVLQIIEGKCEVQLNPDDCQLVRDCISGHIYDSSPVDFTSDLGRRFVVHPSVLKMSRPPRILSWMANGISSSLDALFLNRFESQRAEYWQTLYSSVSMGGPYLILCSENDDLAPYQVICNFAQRLKELGGDVKLVKMNGSPHVGHYRLYPVDYKASVTELLCKAAAIFSQRIQRLEGEKMGFEGTHDQISEPISDLRKATVNPQHSFRGVTFAPSDHFFMPSSVEYYEGRDVESLQDEHKESLVQLRSPPTINPHGVLSQILFDVCVPKNVEGWDLRSSASLNRHPLNPSRRHAPFNPMKCIRRSRL >Potri.019G117201.1.v4.1 pep chromosome:Pop_tri_v4:19:14373351:14373617:-1 gene:Potri.019G117201.v4.1 transcript:Potri.019G117201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117201.v4.1 MSSASKAWLVAAAVGGVEALKDQGFCRWNYTLRSLHQHAKNHVRSASQAKKLSSSSSAMISNKVKEVKAKQSEESLRKVMYLSCWGPY >Potri.016G068066.1.v4.1 pep chromosome:Pop_tri_v4:16:4815154:4815892:-1 gene:Potri.016G068066.v4.1 transcript:Potri.016G068066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068066.v4.1 MIGCNNAGNSFDTWLPRGLGFNPGGNTSAGNNFDLGLAQGNDTRSDSTGGNNFDQWLPHFENMGDNSAAVNNVHPWLAAGGDIGGESLGLGLLPGTRFSGSSTGENPFHGLHGNMHHLGNSGAADSIDGGSDLGHPGFFGTGSSDLRLPFDVTKNWLNDNFNP >Potri.013G047400.1.v4.1 pep chromosome:Pop_tri_v4:13:3369076:3375171:1 gene:Potri.013G047400.v4.1 transcript:Potri.013G047400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047400.v4.1 MKVVALVSGGKDSCYAMMKSIQYGHEIVALANLMPADDSVDELDSFMYQTVGHQIIVSYAECMGLPLFRRRIQGSTRHQTLNYKTTPGDEVEDMFILLNEVKRQIPSITAVSSGAIASDYQRLRVESVCSRLGLVSLAYLWKQDQSLLLQEMITNGILAITVKVAAIGLDPAKHLGKEIAFLTAHLHKLKELYGINVCGEGGEYETLTLDCPLFVNARIVLDEFQTVLHSPGSIASVGVIHPLAFHLENKDTVISLDNDKASDSSLEKKGSVFVVQGDFPQRSQATCQSNSETTNLVEVRDDRIYISKTKKDNIFSICCWLQDSCKTSAGSHEDLAVVLKHIESQLAGYGFGWEHVLYIHLYIADMNEFATVNETYVRFITQEKCPFGVPSRSTIELPLLQASLGRAYIEVLVANDNSKNVLHVQSISSWAPSCIGPYSQATLHKEILHMAGQLGLDPPTMMLCNGGPSAELEQALGNSEAVANVFNCSVSTSAIVLTIYCSADTPLPERLKIQEKQDSFLKQMRLLQLDKGSKCKILDPIFLYVLVPDLPKRAFVEVKPILFVPEDAETAVTSVQNPSSFTVANRWGFQHAQWHDSCIQKCVVSGKICAIILSITENIVVKICSESLGVNDEDVDHQNSVSKGHMERVLRFCVYLLDKVIMENGFSWEDTMNLRTYFPTASGIPLETLSLALKNAMNELAEMDQRVQVGKEPIYNIVPVLAAGSSAASMNNIITCELFARKS >Potri.006G181000.1.v4.1 pep chromosome:Pop_tri_v4:6:18740395:18741408:1 gene:Potri.006G181000.v4.1 transcript:Potri.006G181000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181000.v4.1 MAAKKINKTSSSSSSTAPVKKPRRDAKWRAMMKRRNAIMKRGTCFEILKEAAAGCSLETSHGVTWARCCLLGKGGYGSVFLAKRKTTTSDGDLPDKIAVKSASLENASTLKHEKRVLCDLKASPNVIKCYGDEITDTGCDGEKIYNLLLEFCCGRSLHRQIKLSGSGLPESDVRKYTRDVVKGLKYVHCRGYIHCDVKPGNILLVPGTEERSGGFVAKIADFGLAMSIYENQNWGDDLIGTYPYMSPELVKEKRYDYGVDIWALGCTVVEMLSGKPVWPRMDVPGYLYTIGDSQDLPQIPSSISDEAKDFLGKCLVRNAAQRWSADELLEHPFLSVG >Potri.006G165500.3.v4.1 pep chromosome:Pop_tri_v4:6:16224345:16227629:-1 gene:Potri.006G165500.v4.1 transcript:Potri.006G165500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165500.v4.1 MGTKLEYAINLLATSHNSSSVSVRCVDDLEYLQTRGLSKDLQVSGIDKFCSSMDRMPEKHNIDFIKKTMQLHDDIFKQQVRELHRLYSVQKMLMDELNKNEIKQDRKYWTPMTSSYTNYSQFANRPKSTEQTTCDYSFLVQRPRDDPSSRERSGSCSDETMRLTRGFDLERLAEHISTGVGAVDENQAGPSTCAPQKGKMSIDGSDEDSEVELTLSIGGSTTSKKISKNYQTLELDSPASFISERGEDYSTPTTPMSSSSATCGQDRKRPHWLFQKV >Potri.006G165500.2.v4.1 pep chromosome:Pop_tri_v4:6:16224454:16227641:-1 gene:Potri.006G165500.v4.1 transcript:Potri.006G165500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165500.v4.1 MGTKLEYAINLLATSHNSSSVSVRCVDDLEYLQTRGLSKDLQVSGIDKFCSSMDRMPEKHNIDFIKKTMQLHDDIFKQQWNLQVRELHRLYSVQKMLMDELNKNEIKQDRKYWTPMTSSYTNYSQFANRPKSTEQTTCDYSFLVQRPRDDPSSRERSGSCSDETMRLTRGFDLERLAEHISTGVGAVDENQAGPSTCAPQKGKMSIDGSDEDSEVELTLSIGGSTTSKKISKNYQTLELDSPASFISERGEDYSTPTTPMSSSSATCGQDRKRPHWLFQKV >Potri.006G165500.1.v4.1 pep chromosome:Pop_tri_v4:6:16224445:16227655:-1 gene:Potri.006G165500.v4.1 transcript:Potri.006G165500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165500.v4.1 MGTKLEYAINLLATSHNSSSVSVRCVDDLEYLQTRGLSKDLQVSGIDKFCSSMDRMPEKHNIDFIKKTMQLHDDIFKQQVRELHRLYSVQKMLMDELNKNEIKQDRKYWTPMTSSYTNYSQFANRPKSTEQTTCDYSFLVQRPRDDPSSRERSGSCSDETMRLTRGFDLERLAEHISTGVGAVDENQAGPSTCAPQKGKMSIDGSDEDSEVELTLSIGGSTTSKKISKNYQTLELDSPASFISERGEDYSTPTTPMSSSSATCGQDRKRPHWLFQKV >Potri.016G063500.3.v4.1 pep chromosome:Pop_tri_v4:16:4434582:4439262:-1 gene:Potri.016G063500.v4.1 transcript:Potri.016G063500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063500.v4.1 MSSRFWTQGGSDSDEEESENDEDEVEKEEAGESTAQSAQNRYLRETASDSDDSDDKKRVVRSAKDKRFEELSATVDQMKNAMKINDWVSLQESFDKINKQLEKVMRVMESEKAPALYIKALVMLEDFLNQALANKEAKKKMSSSNAKALNAMKQKLKKNNKQYEDQINKYREHPESEEEPEAEEEDESDLEFEEDPSKIAMSNEEDEDNVDDQTEKDGGWEKMMSKKDKLMDKQFAKDPSEITWDIVNKKFKEIVAARGRRGTGRFEQVEQLTFLTKVAKTPAQKLEILFSVVSAQFDVSPGLSGHMPINVWKNCVQNMLIILDILEQYPSIVVDDTVEPDENETQKGGDHNGPIRIWGNLVAFLERIDSEFFKSLQCIDPHTHEYVERLQDEPMFLVLAQNAQEYLEHVGDLKAAAKVALKRVELIYYKPQEVYDAMRKLVEQTEDGGNGEEPKVEGSRGSSAFVVTSELVPRKPTFPENSRAMMDALVSLIYKSGDERTKARAMLCDIYHHALLDELSTSRDLLLMSHLQDNIQHMDISSQILFNRAMAQLGLCAFRVGMITEAHGCLFELYSGGRVKELLAQGVSQSRYHEKTQEQERLERRRQMPYHMHINLELLESVHLICAMLLEVPNMAANIYDAKRKVISKTFRRLLEVTERQTFTGPPENVRDHVMAATRALTKGDFQKAFDVINSLDVWKLLRDRDGVLEMLKAKIKEEALRTHLFSYSSSYDSVGLDLLSKMFDLSPIQTQIIVSKMMINEELHASWDQPTQCIVFYDIERTRLQALAFQLTEKLSILVESNERAIETRIGGGGLDLPQRRREGQDFANAAASGGKWQEKSSFIQGRPGYSRSGYSGGGRSQDLGQVVGGGHSRGAGHLRAGGGYSGGSRYQDGSSRMVNLQRGARA >Potri.001G314200.1.v4.1 pep chromosome:Pop_tri_v4:1:32503872:32505683:1 gene:Potri.001G314200.v4.1 transcript:Potri.001G314200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314200.v4.1 MGGDAKVFTFEDVTVHNKPKDCWLIINGKVYDVTKFMEDHPGGDEVLLSSTGQDATDDFEDVGHSDSAREMMAEYCIGDIDASTVPKKTKYKTPQQPHYNQDKTSEFIIKILQFLVPLAILGVAFGIRLYTKST >Potri.018G041800.1.v4.1 pep chromosome:Pop_tri_v4:18:3325637:3328665:-1 gene:Potri.018G041800.v4.1 transcript:Potri.018G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G041800.v4.1 MDCPSEHGQMITEPLLSNRKGGIRTLPFILANEAFESLANYGLFPNLILYLTREYRIDAAKGAHVLFLLSSAINFTPILGAFLADTYVGRYRMIGFGCMASLLGMVLLWLTTFPEARPPPCVQFSYDCKSATTLQLLLLYTAFCFLAIGAGGIRSSSLAFGADQLGTSNSLEHARIRESFFSWYYGIVAASVFLGMTFVVYIQDNIGWMVGFGVPVVLMILSSLSFFVASPSYVKSKPKASWITGLAQVVVGSIRNRRIKLSSQATDKVDYHTTGSMLLVPSEKLRFLNKACIIRNPQEDLTPDGKASDPWSLCTVDQVEDLKALIKVIPIWSAGMLKSVNVSQGSFLVLQASTMNRHITSKFEVPAASFPSIVVLVITTWVVLYDRIIIPLVSKVSGKIVCLNLKQRMGIGILLSTISMAALAIAESFRRATAIKEGFPDNPNAELHISAMWLLPYFVLSGLAEAFNAIGQNEFFYTELPKSMSSVASTLQGLGLSAASLVSSFIVSAVRDFTKGEAQESWVSSNINKGHYDYYYWILTILSLVNFIYYLVCSKTYGPCRQEKWFNR >Potri.009G111100.8.v4.1 pep chromosome:Pop_tri_v4:9:9507293:9511144:-1 gene:Potri.009G111100.v4.1 transcript:Potri.009G111100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111100.v4.1 MLLRCLLLSIMISSVLFIDSDPCQRKFDVAKQEPNSIFEDFCHLKSKKMGQLEDCVEVKKRQFPNTEVQNSLKQEILDLQDRLQDQVLVRRALEKALSSRSFSHDIMTDKSIPKPAKDLMKEIAVLELEVVYMERYLLSLYRKTFDQQASSLSTKDEREDERFKMSSDAHRGMFPAVPRNDIVSVKDNSTDNASHLTSLTKECNGTWGLEKLLDSSIHRCHSSISQRSIGTSPPTRSIVRAIDSYHSLPLSMLEQAWNGTSNATSLADHLGTSIRYGVVETPNWLSEEMIRRISAIYCELADPPLINPDYASSRISVSSSPNEFPSQGHGDIWSPQYGNFSSFNSSIDNPFHIGASKEFSGPYCSMAKVQHICRDSQKLRDIQHKLQDFRSLVSQLEGVNPRKMKHEEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVNVDMIQSYILGCRLLRPGQWLWQLFSSKTKFKVGDGRKAYSIDHPEPRLYFALCAGSYSDPAVRVYTPKRVFEDLEAAKEEYIQSTFIVHKEKKLHIPKIVESFAKDLELCPAGLMEMIEHLLPHCLRKRIQECRHRKLGKNIEWIPHNFAFRYLLSKELA >Potri.009G111100.12.v4.1 pep chromosome:Pop_tri_v4:9:9507363:9509321:-1 gene:Potri.009G111100.v4.1 transcript:Potri.009G111100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111100.v4.1 MIRRISAIYCELADPPLINPDYASSRISVSSSPNEFPSQGHGDIWSPQYGNFSSFNSSIDNPFHIGASKEFSGPYCSMAKVQHICRDSQKLRDIQHKLQDFRSLVSQLEGVNPRKMKHEEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVNVDMIQSYILGCRLLRPGQWLWQLFSSKTKFKVGDGRKAYSIDHPEPRLYFALCAGSYSDPAVRVYTPKRVFEDLEAAKEEYIQSTFIVHKEKKLHIPKIVESFAKDLELCPAGLMEMIEHLLPHCLRKRIQECRHRKLGKNIEWIPHNFAFRYLLSKELA >Potri.009G111100.7.v4.1 pep chromosome:Pop_tri_v4:9:9507237:9511620:-1 gene:Potri.009G111100.v4.1 transcript:Potri.009G111100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111100.v4.1 MHVVKCRAGSTFKQFKSMEVRVSRHKHSNSDPCQRKFDVAKQEPNSIFEDFCHLKSKKMGQLEDCVEVKKRQFPNTEVQNSLKQEILDLQDRLQDQVLVRRALEKALSSRSFSHDIMTDKSIPKPAKDLMKEIAVLELEVVYMERYLLSLYRKTFDQQASSLSTKDEREDERFKMSSDAHRGMFPAVPRNDIVSVKDNSTDNASHLTSLTKECNGTWGLEKLLDSSIHRCHSSISQRSIGTSPPTRSIVRAIDSYHSLPLSMLEQAWNGTSNATSLADHLGTSIRYGVVETPNWLSEEMIRRISAIYCELADPPLINPDYASSRISVSSSPNEFPSQGHGDIWSPQYGNFSSFNSSIDNPFHIGASKEFSGPYCSMAKVQHICRDSQKLRDIQHKLQDFRSLVSQLEGVNPRKMKHEEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVNVDMIQSYILGCRLLRPGQWLWQLFSSKTKFKVGDGRKAYSIDHPEPRLYFALCAGSYSDPAVRVYTPKRVFEDLEAAKEEYIQSTFIVHKEKKLHIPKIVESFAKDLELCPAGLMEMIEHLLPHCLRKRIQECRHRKLGKNIEWIPHNFAFRYLLSKELA >Potri.009G111100.10.v4.1 pep chromosome:Pop_tri_v4:9:9507245:9511515:-1 gene:Potri.009G111100.v4.1 transcript:Potri.009G111100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111100.v4.1 MGQLEDCVEVKKRQFPNTEVQNSLKQEILDLQDRLQDQVLVRRALEKALSSRSFSHDIMTDKSIPKPAKDLMKEIAVLELEVVYMERYLLSLYRKTFDQQASSLSTKDEREDERFKMSSDAHRGMFPAVPRNDIVSVKDNSTDNASHLTSLTKECNGTWGLEKLLDSSIHRCHSSISQRSIGTSPPTRSIVRAIDSYHSLPLSMLEQAWNGTSNATSLADHLGTSIRYGVVETPNWLSEEMIRRISAIYCELADPPLINPDYASSRISVSSSPNEFPSQGHGDIWSPQYGNFSSFNSSIDNPFHIGASKEFSGPYCSMAKVQHICRDSQKLRDIQHKLQDFRSLVSQLEGVNPRKMKHEEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVNVDMIQSYILGCRLLRPGQWLWQLFSSKTKFKVGDGRKAYSIDHPEPRLYFALCAGSYSDPAVRVYTPKRVFEDLEAAKEEYIQSTFIVHKEKKLHIPKIVESFAKDLELCPAGLMEMIEHLLPHCLRKRIQECRHRKLGKNIEWIPHNFAFRYLLSKELA >Potri.009G111100.9.v4.1 pep chromosome:Pop_tri_v4:9:9507230:9511575:-1 gene:Potri.009G111100.v4.1 transcript:Potri.009G111100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111100.v4.1 MGQLEDCVEVKKRQFPNTEVQNSLKQEILDLQDRLQDQVLVRRALEKALSSRSFSHDIMTDKSIPKPAKDLMKEIAVLELEVVYMERYLLSLYRKTFDQQASSLSTKDEREDERFKMSSDAHRGMFPAVPRNDIVSVKDNSTDNASHLTSLTKECNGTWGLEKLLDSSIHRCHSSISQRSIGTSPPTRSIVRAIDSYHSLPLSMLEQAWNGTSNATSLADHLGTSIRYGVVETPNWLSEEMIRRISAIYCELADPPLINPDYASSRISVSSSPNEFPSQGHGDIWSPQYGNFSSFNSSIDNPFHIGASKEFSGPYCSMAKVQHICRDSQKLRDIQHKLQDFRSLVSQLEGVNPRKMKHEEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVNVDMIQSYILGCRLLRPGQWLWQLFSSKTKFKVGDGRKAYSIDHPEPRLYFALCAGSYSDPAVRVYTPKRVFEDLEAAKEEYIQSTFIVHKEKKLHIPKIVESFAKDLELCPAGLMEMIEHLLPHCLRKRIQECRHRKLGKNIEWIPHNFAFRYLLSKELA >Potri.009G111100.1.v4.1 pep chromosome:Pop_tri_v4:9:9507332:9511376:-1 gene:Potri.009G111100.v4.1 transcript:Potri.009G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111100.v4.1 MDHVLGDPCQRKFDVAKQEPNSIFEDFCHLKSKKMGQLEDCVEVKKRQFPNTEVQNSLKQEILDLQDRLQDQVLVRRALEKALSSRSFSHDIMTDKSIPKPAKDLMKEIAVLELEVVYMERYLLSLYRKTFDQQASSLSTKDEREDERFKMSSDAHRGMFPAVPRNDIVSVKDNSTDNASHLTSLTKECNGTWGLEKLLDSSIHRCHSSISQRSIGTSPPTRSIVRAIDSYHSLPLSMLEQAWNGTSNATSLADHLGTSIRYGVVETPNWLSEEMIRRISAIYCELADPPLINPDYASSRISVSSSPNEFPSQGHGDIWSPQYGNFSSFNSSIDNPFHIGASKEFSGPYCSMAKVQHICRDSQKLRDIQHKLQDFRSLVSQLEGVNPRKMKHEEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVNVDMIQSYILGCRLLRPGQWLWQLFSSKTKFKVGDGRKAYSIDHPEPRLYFALCAGSYSDPAVRVYTPKRVFEDLEAAKEEYIQSTFIVHKEKKLHIPKIVESFAKDLELCPAGLMEMIEHLLPHCLRKRIQECRHRKLGKNIEWIPHNFAFRYLLSKELA >Potri.009G111100.4.v4.1 pep chromosome:Pop_tri_v4:9:9507344:9511726:-1 gene:Potri.009G111100.v4.1 transcript:Potri.009G111100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111100.v4.1 MGQLEDCVEVKKRQFPNTEVQNSLKQEILDLQDRLQDQVLVRRALEKALSSRSFSHDIMTDKSIPKPAKDLMKEIAVLELEVVYMERYLLSLYRKTFDQQASSLSTKDEREDERFKMSSDAHRGMFPAVPRNDIVSVKDNSTDNASHLTSLTKECNGTWGLEKLLDSSIHRCHSSISQRSIGTSPPTRSIVRAIDSYHSLPLSMLEQAWNGTSNATSLADHLGTSIRYGVVETPNWLSEEMIRRISAIYCELADPPLINPDYASSRISVSSSPNEFPSQGHGDIWSPQYGNFSSFNSSIDNPFHIGASKEFSGPYCSMAKVQHICRDSQKLRDIQHKLQDFRSLVSQLEGVNPRKMKHEEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVNVDMIQSYILGCRLLRPGQWLWQLFSSKTKFKVGDGRKAYSIDHPEPRLYFALCAGSYSDPAVRVYTPKRVFEDLEAAKEEYIQSTFIVHKEKKLHIPKIVESFAKDLELCPAGLMEMIEHLLPHCLRKRIQECRHRKLGKNIEWIPHNFAFRYLLSKELA >Potri.009G111100.11.v4.1 pep chromosome:Pop_tri_v4:9:9507295:9511532:-1 gene:Potri.009G111100.v4.1 transcript:Potri.009G111100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111100.v4.1 MQILDLQDRLQDQVLVRRALEKALSSRSFSHDIMTDKSIPKPAKDLMKEIAVLELEVVYMERYLLSLYRKTFDQQASSLSTKDEREDERFKMSSDAHRGMFPAVPRNDIVSVKDNSTDNASHLTSLTKECNGTWGLEKLLDSSIHRCHSSISQRSIGTSPPTRSIVRAIDSYHSLPLSMLEQAWNGTSNATSLADHLGTSIRYGVVETPNWLSEEMIRRISAIYCELADPPLINPDYASSRISVSSSPNEFPSQGHGDIWSPQYGNFSSFNSSIDNPFHIGASKEFSGPYCSMAKVQHICRDSQKLRDIQHKLQDFRSLVSQLEGVNPRKMKHEEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVNVDMIQSYILGCRLLRPGQWLWQLFSSKTKFKVGDGRKAYSIDHPEPRLYFALCAGSYSDPAVRVYTPKRVFEDLEAAKEEYIQSTFIVHKEKKLHIPKIVESFAKDLELCPAGLMEMIEHLLPHCLRKRIQECRHRKLGKNIEWIPHNFAFRYLLSKELA >Potri.005G149400.2.v4.1 pep chromosome:Pop_tri_v4:5:12666112:12669428:-1 gene:Potri.005G149400.v4.1 transcript:Potri.005G149400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G149400.v4.1 MSSWKELRVADTIYEEEYEHSSSSPSLSLSDLSSPPTPLRSRVESWSLATGRKADVLIYVMGTCFHLHKDPLTSRSSYLRRQLAGLSEITLTLNITPETFALAADFCYGTHVVITPFNVVPLRIAAELLGMTETNGKHEENLNQITETYFLRAITVERELARVIFCSCLRLLPEAETTAFLVSRCVEALNSTGESDEMLDGCVDDVINLATDDFQIVGECMQCRFESHDALYRIVDLYIQEHSSTITEEQKIEICNFIDCDKLSTQCLIHAVQNPRLPLRFIVRAMLVEQLNTRRTVLTTPTTTNHHYTRSHQTRGSITLGSILRRDAAVREAAQLKVEMDSTNSRIESLEKDLSRMKKLLRKSEKKRSLMEKKPLQKSEVETSAVMKEKGSKLLLESEEEIGRVLEYSSLHKISHKSVMEERSLAKSSSRSASFHHELRDANKIEKGERGSASFSGFRLHFGREKMEGSSRSKFTGNECPRTSKNNINGKGLIDRLKRTLWVSKSDSKCNSRSKYTNTNDGNGEN >Potri.012G036100.7.v4.1 pep chromosome:Pop_tri_v4:12:3257395:3259973:1 gene:Potri.012G036100.v4.1 transcript:Potri.012G036100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036100.v4.1 MLKGRGLYLGCCYVSDLLMDARDKLLFEPEYAGNVREKIPPKSSLRIPWAWLSGALCLLQEVGEEKLVLDIGRAALQHPDAKPYSHDVLLSMALAECAIAKIGFERNKVSLGFEALARARCLLSCKISLGKMALLSQIEESLEELAPACTLELLGMLHSPENAERRRGAIAALRELLRQGLDVETSCRVQDWPCFLSQALNRLMATEIVDLIMRNLYEIYAFFFMIKLMVKSRAFKKTSKMAFKLVL >Potri.002G140900.1.v4.1 pep chromosome:Pop_tri_v4:2:10576939:10578826:1 gene:Potri.002G140900.v4.1 transcript:Potri.002G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140900.v4.1 MPSIRKMEGFKKEEIRKGPWKAEEDEVLIMHVKKYGPRDWSSIRSKGLLQRTGKSCRLRWVNKLRPNLKHGCKFSAEEERVVIDLQAEFGNKWARIATYLPGRTDNDVKNFWSSRQKRLARILQTSGTPSSSNSRPQKSKYEVPVFQDVPTLEAPMFSSSMEEESSSKACSSSYLDNPEHIRMMPLPSLVKTDFPDGYANLGQYEPLSTIPFPQIPQPQPGLLFSPESHELLARLDDPYFLNVLGTADAPELGDAAQFSLGPPLFDPVSSCMNSATDVKNHVTPDTLFDEFPSDMFDHIEPLPSPSEW >Potri.003G182500.1.v4.1 pep chromosome:Pop_tri_v4:3:18782988:18786611:1 gene:Potri.003G182500.v4.1 transcript:Potri.003G182500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182500.v4.1 MSLKIAADNFPPLLVGETENFVVVREYDEGRDKVAVEEMEKRCEIGQRGKPSLVTDLMGDPICRIRHFPSHVMLVAECGEGGEMAGVIRACIKTVTRGSSGYVKLAYILGLRVSPSHRRLGIGIKLVQEIEKRCKQQGAEYSYMATDCTNESSINLFTRKCCYTKFRTLTMLVQPVHAHYKPLGSGIATIRLTPKLAETIYSRVFVDAEFFAKDIGTILSSKLNLGTFMAVPKNCLPQWDPKTGILPSNFAILSVWNTKEVFKLQVKGVSKLTHACCTGSRLLDAWMPWLRLPSFPDVFRQFGVYFLYGLHMEGKNAPRLMKALCAFAHNMARDDDGCGAVVAEVGQRDPVREVIPHWRKFSWAEDLWCIKKLADEKPDVDREFEPPDWMKRGSSSPVIFVDPRDS >Potri.012G078900.2.v4.1 pep chromosome:Pop_tri_v4:12:10311470:10314696:1 gene:Potri.012G078900.v4.1 transcript:Potri.012G078900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078900.v4.1 MILKFDQTDRRILTFPAVHPCEGISPATLLSSLIDLSQNICNYQSKLFATQRKNARETIRQIGLLLLFFEEIRDRGLVLSDSALLCFSELHHAFQKVQFLLEDCTREGARLWILMKCQLVATHFRAPIRAIATALDVLPLNLIDVGGEVKELVGLIAKQARKGKLEPDPVDEWASKQVFSVLDHFQMGVEPDSSLMKRVLENLEIRNWNDCNKEIKFLEEQIGYQCSDCDEREVPFLSSLLGLMSYCRGVIFETWNNRNNDQSDARHSIEALSCINREDFRCPISLELMTDPVTVSTGQTYDRSSIERWLKAGNMTCPKTGERLTSTELVPNTTLRKLIQQFCAEVGICASKSGSRSRDVARTISPGSPAAAEAMKFLSGFLARRLVSGSSEQKTKAAYEIRLLAKSNIFNRSCLIEAGTILPLINLLSSSLDHYTQETALSALLKLSKHTCGKKEIIESGGLKPILAVLKRGLSLEAKQMAAATIFYLASVKSYGKLIGETPEVVPALVELIKDGTTCGKKNGVVAIFGLLLHPANHQRVLASGSVPLLMDMLSSSNNIELIADSLAVLAIIAESVDGTLAILQTSALSTIPRILRSLPSRTAREYCVTVLLSLCKNGGAEAIAILAKDHNLMSSLYSLLTDGTSHGSSKARALIRILHKFHETSSSGMTASAVPCERPVHVR >Potri.008G012800.1.v4.1 pep chromosome:Pop_tri_v4:8:656658:657961:1 gene:Potri.008G012800.v4.1 transcript:Potri.008G012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012800.v4.1 MAILVSILSRVAFPPPVTCLLVRVMSVAFLLFSVTGVFEGLGKNFQYSKFFNLNVHKSTSKQIRLSSRIAMLIVYTPAFLYAIASFWQLPNVGLRSLLVKPALTLHFFKRILEVLFVHKYSGGMAVDTVIIITLGYFTTTSITIYALSLTQGFPEPPVDLKYPGVVLFLVGIVGNFYHHHLLSSLRTKNDNGYKVPKGGLFGLVTCPHYFFEILIFLGISFISQTLYTFASTISTICYLMGRSYATRRWYLTKFDDFPKDVKAIIPYVF >Potri.008G012800.2.v4.1 pep chromosome:Pop_tri_v4:8:655950:657962:1 gene:Potri.008G012800.v4.1 transcript:Potri.008G012800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012800.v4.1 MLSRVIFPTPNPLFITIMSMTSLSFSVIGLFEGLGKNFKYSKFSNFNVEKSTSKQNNTVCGRDGMLVVYIPAFLSAVAFSWLFPNVGLRFLLVKSALTIHFFKRILEVLFVHKYSGGMAVDTVIIITLGYFTTTSITIYALSLTQGFPEPPVDLKYPGVVLFLVGIVGNFYHHHLLSSLRTKNDNGYKVPKGGLFGLVTCPHYFFEILIFLGISFISQTLYTFASTISTICYLMGRSYATRRWYLTKFDDFPKDVKAIIPYVF >Potri.012G068400.1.v4.1 pep chromosome:Pop_tri_v4:12:8922074:8924048:-1 gene:Potri.012G068400.v4.1 transcript:Potri.012G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068400.v4.1 MHCFWKSRWAGFLFQNIKTNKSKPPLYSTKQQHNQLPLELQEACKVISSWIGGLDDLELSLNQFKGQLTYPLVTQIINSCKHEAPSRRILRFFLWSNKVLDSEKLKDDDFNHVIRVLAEKKDHTGMRILISDLRKEGRVMDPQTFALVAETLVKLGREDEALGIFKNLEKFKCPQDGFAVTAIISALCAKGHAKKAQGVFSHHKNNKISGLEPCVVYRCLLYGWSVQENVKEARKIIQEMKGDGLIPDLFCYNTFLKCLCERNLKRNPSGLVPEALNVMMEMRSYRIEPNSISYNTLLSSLGRARRVKESYRMLETMKTTGCAPDWVSYFLVAKVMYLTGRFGKGNEIVDEMIGQGLLPDRKFYYNLIGVLCGVERVSYALELFERMKTSSLGGYGPVYDILIPKLCKGGDFERGRELWEEATAMGVSFSCSSDVLDPSITEVFKPRRKVEEDVKLEKFSRNEIPRLEPKSRRERGKVKKKIRGK >Potri.005G067800.7.v4.1 pep chromosome:Pop_tri_v4:5:4394565:4409688:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.13.v4.1 pep chromosome:Pop_tri_v4:5:4394563:4409790:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MIPNWNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLASSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.8.v4.1 pep chromosome:Pop_tri_v4:5:4394565:4409688:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLASSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.2.v4.1 pep chromosome:Pop_tri_v4:5:4394563:4409790:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.15.v4.1 pep chromosome:Pop_tri_v4:5:4394572:4409721:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MIPNWNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGRGIFA >Potri.005G067800.4.v4.1 pep chromosome:Pop_tri_v4:5:4394545:4409790:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.22.v4.1 pep chromosome:Pop_tri_v4:5:4394572:4409721:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGRGIFA >Potri.005G067800.27.v4.1 pep chromosome:Pop_tri_v4:5:4394579:4409574:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGRGIFA >Potri.005G067800.11.v4.1 pep chromosome:Pop_tri_v4:5:4394579:4409574:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.3.v4.1 pep chromosome:Pop_tri_v4:5:4394563:4409790:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLASSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.9.v4.1 pep chromosome:Pop_tri_v4:5:4394546:4409550:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.24.v4.1 pep chromosome:Pop_tri_v4:5:4394572:4409721:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGRGIFA >Potri.005G067800.26.v4.1 pep chromosome:Pop_tri_v4:5:4394579:4409574:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGRGIFA >Potri.005G067800.14.v4.1 pep chromosome:Pop_tri_v4:5:4394545:4409790:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MIPNWNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.10.v4.1 pep chromosome:Pop_tri_v4:5:4394546:4409550:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLASSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.12.v4.1 pep chromosome:Pop_tri_v4:5:4394563:4409790:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MIPNWNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.6.v4.1 pep chromosome:Pop_tri_v4:5:4394579:4409574:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.25.v4.1 pep chromosome:Pop_tri_v4:5:4394572:4409721:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLASSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGRGIFA >Potri.005G067800.1.v4.1 pep chromosome:Pop_tri_v4:5:4394580:4409552:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLAQSSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.5.v4.1 pep chromosome:Pop_tri_v4:5:4394545:4409790:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLASSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGNCVINK >Potri.005G067800.23.v4.1 pep chromosome:Pop_tri_v4:5:4394572:4409721:1 gene:Potri.005G067800.v4.1 transcript:Potri.005G067800.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067800.v4.1 MEAGHAKEGDTSSPPRQRFYIELKPGETTIVSWKKLLKDAAANKANPSHSNNQTAAAAAGASTSAFVMEPASVETAQQEAQFALGQLTKPIPKNAPSSSRLGSVIEKIEQLYVGNQSSDEEELDGAPDEDHYDTKDSFIDDTELDEYFEVYESTTKHNGFFVNKGKLEHMNRPISSSHFQPKKRKNNMQKAREEKDGDCVRDKHAKLGQGRMNVATGNIPMDEPFPTNTQNLAVNGEHHHDGKLHSLMHPIFSDKKVAGTDIRSEYSSYPGITNRDDSISNTGQIDTEKQMNGVLQPGNLGRIVKDTSELSRVAYQKYQENNAPGQSAPQSKRLASETSSSPKVSPRNKKGRHELPDLNLPHYPVQAEKKTATMHPKDVSSLQPKGSMVERAIRDLEKVVAESRPRNTDAQDADTSSMSIKRRLPFEVKQKLAKVARLASSQGKISEELIGHLMTILGHLIQLRTLKKNLREMVETGLTAKQEKADRFQKIKKEVMEMIELKLFKQRDKAVVDFQKGIIHGEKGTINRKYVMDDKMEDKICDLYDLFVQGMDEDKGPQIRKLYAEVAEMWPNGAMDNHGIKIAICRAKERRRIFYNNEKVQEKARIERLSRQWKGNNVGGKATSNARAKCEQGGVSASAAIAQYLSGPARPIPSFLKSRSDDPPKQEKLEKMTFPMLKEHMKQQKREFDRGLKKSSPKVDRKSHMSHMQDVGLQDGRGIFA >Potri.009G051400.1.v4.1 pep chromosome:Pop_tri_v4:9:5633961:5640427:-1 gene:Potri.009G051400.v4.1 transcript:Potri.009G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051400.v4.1 MDSLQATYREEEEEEEQQQPNNSITNHSPPTPPPPPPPPPTTTSSSSPIELEEHQNGTDPHQGDTEITPINNTTANQQPQQEDAFVSASESLSESDHPTTSNDTEKPTPKSPKIEDTEIEDDEDEEDPPPKKQKQLSSLTQKQEPPEPATTAEIENDNGASEFKKPTKITPTTTKKKKSKKKNNNNVWVTRSTRKGKKKSKPNPQNTPSEDTVLITPAPRFPDKSDDSPDLKICLSKVYKAEKVELSEDRLSAGSAKGYRMVRATRGVCEGAWYFEIKVVTLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVIGFYINLPEGGLYTPKPAHLVWYKGQRYVCAPDAKEDPPKIVPGSEISFFRNGVCQGVAFKDLFGGCYYPAASMYTLPNQPNCVVKFNFGPDFEFFPEDFGGRQVPKPMIDVPYHGFDNRAENGVSDEKKH >Potri.019G023022.1.v4.1 pep chromosome:Pop_tri_v4:19:671415:678197:1 gene:Potri.019G023022.v4.1 transcript:Potri.019G023022.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023022.v4.1 MAAPPPNLVPVAYQGGSPSVPDWLNKGDNAWQMISATLVGLQSVPGLVILYGSIVKKKWAVNSAFMAFYAFAAVVICWVVWAYKMSFGDKLLPFWGKAGPALGQKFLIKQAALPETTQFYDNGDVETAMATPLYPMASMVWFQCVFAAITLILLAGSVLGRMNFKAWMAFVPLWLTFSYTVGAFSLWGGGFLFHWGVMDYSGGYVIHLSSGIAGLTTAFWVGPRSSKDRERFPPNNVLLMLAGAGLLWMGWAGFNGGDPYTANIDSSMAVLNTNICAATSLLVWTWLDVIFFKKPSVIGAVQGMITGLVCITPGAGLVQGWAAIIMGILSGSVPWFTMMIVHKRWTLLQKIDDTLGVFHTHAVAGLLGGVLTGLFAEPELCALFLPVTKSRGGVYGGSGGIQILKQLVGGAFIIGWNVVVTSIICVVINFVIPLRMSEEQLLIGDDAVHGEEAYALWGDGEKYDVTKHGDITEHHMETRTSTGATQVM >Potri.015G108900.3.v4.1 pep chromosome:Pop_tri_v4:15:12664658:12668791:1 gene:Potri.015G108900.v4.1 transcript:Potri.015G108900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108900.v4.1 MCCVIFFLFLKIFTASEAFTSPFTLNFTPVFFHLISPSSFFFIGIEIDDEELAHFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCHVDIGEQAVIPEGISKQVHRSKYFIAGGIAGAASRTATAPLDRLKVFLQIQTSCARLAPIINKIWKEEGFLGFFRGNGLNVVKVAPESAIKFYAYEMLKDVIGDFKGGDKVDIGPGGRLLAGGMAGAVAQTAIYPMDLVKTRLQTGVCEGGKAPKLGVLMKDIWVLEGPRAFYRGLVPSLLGIIPYAGIDLAAYETLKDMSKTYILQDSAPGPLVQLCCGTISGALGATCVYPLQVIRTRMQAQPPNDARPYKGMSDVFWRTFQNEGCRGFYKGIFPNLLKVVPAASITYMVYEAMKKSLELD >Potri.015G108900.2.v4.1 pep chromosome:Pop_tri_v4:15:12664679:12668827:1 gene:Potri.015G108900.v4.1 transcript:Potri.015G108900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108900.v4.1 METDTNPNPNQTGCCNPVKKPGPVSLDHVLLALRETKEERDVRIRSLFSFFDAANLGYLDYAQIEAGLSGLQIPAEYKYAKDLLKVCDANRDGRVDYQEFRRYMDDKEMELYRIFQAIDVEHNGCILPEELWDALVKDGIEIDDEELAHFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCHVDIGEQAVIPEGISKQVHRSKYFIAGGIAGAASRTATAPLDRLKVFLQIQTSCARLAPIINKIWKEEGFLGFFRGNGLNVVKVAPESAIKFYAYEMLKDVIGDFKGGDKVDIGPGGRLLAGGMAGAVAQTAIYPMDLVKTRLQTGVCEGGKAPKLGVLMKDIWVLEGPRAFYRGLVPSLLGIIPYAGIDLAAYETLKDMSKTYILQDSAPGPLVQLCCGTISGALGATCVYPLQVIRTRMQAQPPNDARPYKGMSDVFWRTFQNEGCRGFYKGIFPNLLKVVPAASITYMVYEAMKKSLELD >Potri.001G393200.9.v4.1 pep chromosome:Pop_tri_v4:1:41681891:41685295:1 gene:Potri.001G393200.v4.1 transcript:Potri.001G393200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393200.v4.1 MTCFFSFCKGKGVSRATRQTLEADDEVSGSQNICCYTHRELQMATENFNSANKVGEGGFGSVYKGILKDGTVAAIKVLSAESRQGLREFLTEIKVIADIEHNNLVKLYGYCADGNHRILVYGYLENNSLAQTLLGGGHSSIKFSWSTRRKICVGVARGLAFLHEEVQPHIVHRDIKASNILLDSELEPKISDFGLAKLFPSHLTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRSNTNRRLPTEEQCLLKRGFFP >Potri.001G393200.4.v4.1 pep chromosome:Pop_tri_v4:1:41682555:41686418:1 gene:Potri.001G393200.v4.1 transcript:Potri.001G393200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393200.v4.1 MVQFNSGFNAIIVAIIIRLTKAFIPFPFRSVWLSKNRLMGKEFYNDMIYGLFLQGWDSILVEAFIRLNNLVMTCFFSFCKGKGVSRATRQTLEADDEVSGSQNICCYTHRELQMATENFNSANKVGEGGFGSVYKGILKDGTVAAIKVLSAESRQGLREFLTEIKVIADIEHNNLVKLYGYCADGNHRILVYGYLENNSLAQTLLGGGHSSIKFSWSTRRKICVGVARGLAFLHEEVQPHIVHRDIKASNILLDSELEPKISDFGLAKLFPSHLTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRSNTNRRLPTEEQCLLKRVWVFYEKGELVNLVDTSLGRDYDAEEACKYLKIGLLCTQEVSKLRPLMSTVVSMLMGEMDVKDKISRPGLLSEFRSLKGDKKQKDRDQNDKWETKKSSPNSSKLEDSSSSSGMATSYASMTFNSIYDRSN >Potri.001G393200.5.v4.1 pep chromosome:Pop_tri_v4:1:41681857:41686417:1 gene:Potri.001G393200.v4.1 transcript:Potri.001G393200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393200.v4.1 MTCFFSFCKGKGVSRATRQTLEADDEVSGSQNICCYTHRELQMATENFNSANKVGEGGFGSVYKGILKDGTVAAIKVLSAESRQGLREFLTEIKVIADIEHNNLVKLYGYCADGNHRILVYGYLENNSLAQTLLGGGHSSIKFSWSTRRKICVGVARGLAFLHEEVQPHIVHRDIKASNILLDSELEPKISDFGLAKLFPSHLTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRSNTNRRLPTEEQCLLKRVWVFYEKGELVNLVDTSLGRDYDAEEACKYLKIGLLCTQEVSKLRPLMSTVVSMLMGEMDVKDKISRPGLLSEFRSLKGDKKQKDRDQNDKWETKKSSPNSSKLEDSSSSSGMATSYASMTFNSIYDRSN >Potri.001G393200.6.v4.1 pep chromosome:Pop_tri_v4:1:41682143:41686417:1 gene:Potri.001G393200.v4.1 transcript:Potri.001G393200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393200.v4.1 MTCFFSFCKGKGVSRATRQTLEADDEVSGSQNICCYTHRELQMATENFNSANKVGEGGFGSVYKGILKDGTVAAIKVLSAESRQGLREFLTEIKVIADIEHNNLVKLYGYCADGNHRILVYGYLENNSLAQTLLGGGHSSIKFSWSTRRKICVGVARGLAFLHEEVQPHIVHRDIKASNILLDSELEPKISDFGLAKLFPSHLTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRSNTNRRLPTEEQCLLKRVWVFYEKGELVNLVDTSLGRDYDAEEACKYLKIGLLCTQEVSKLRPLMSTVVSMLMGEMDVKDKISRPGLLSEFRSLKGDKKQKDRDQNDKWETKKSSPNSSKLEDSSSSSGMATSYASMTFNSIYDRSN >Potri.001G393200.8.v4.1 pep chromosome:Pop_tri_v4:1:41682143:41686368:1 gene:Potri.001G393200.v4.1 transcript:Potri.001G393200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393200.v4.1 MTCFFSFCKGKGVSRATRQTLEADDEVSGSQNICCYTHRELQMATENFNSANKVGEGGFGSVYKGILKDGTVAAIKVLSAESRQGLREFLTEIKVIADIEHNNLVKLYGYCADGNHRILVYGYLENNSLAQTLLGGGHSSIKFSWSTRRKICVGVARGLAFLHEEVQPHIVHRDIKASNILLDSELEPKISDFGLAKLFPSHLTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRSNTNRRLPTEEQCLLKRGFFP >Potri.001G393200.10.v4.1 pep chromosome:Pop_tri_v4:1:41682142:41685295:1 gene:Potri.001G393200.v4.1 transcript:Potri.001G393200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393200.v4.1 MTCFFSFCKGKGVSRATRQTLEADDEVSGSQNICCYTHRELQMATENFNSANKVGEGGFGSVYKGILKDGTVAAIKVLSAESRQGLREFLTEIKVIADIEHNNLVKLYGYCADGNHRILVYGYLENNSLAQTLLGGGHSSIKFSWSTRRKICVGVARGLAFLHEEVQPHIVHRDIKASNILLDSELEPKISDFGLAKLFPSHLTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRSNTNRRLPTEEQCLLKRGFFP >Potri.001G393200.7.v4.1 pep chromosome:Pop_tri_v4:1:41682555:41685295:1 gene:Potri.001G393200.v4.1 transcript:Potri.001G393200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393200.v4.1 MVQFNSGFNAIIVAIIIRLTKAFIPFPFRSVWLSKNRLMGKEFYNDMIYGLFLQGWDSILVEAFIRLNNLVMTCFFSFCKGKGVSRATRQTLEADDEVSGSQNICCYTHRELQMATENFNSANKVGEGGFGSVYKGILKDGTVAAIKVLSAESRQGLREFLTEIKVIADIEHNNLVKLYGYCADGNHRILVYGYLENNSLAQTLLGGGHSSIKFSWSTRRKICVGVARGLAFLHEEVQPHIVHRDIKASNILLDSELEPKISDFGLAKLFPSHLTHISTRVAGTTGYLAPEYAIRGQLTRKADIYSFGVLLLEIVSGRSNTNRRLPTEEQCLLKRGFFP >Potri.T044800.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:414075:418382:1 gene:Potri.T044800.v4.1 transcript:Potri.T044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T044800.v4.1 MVQSNDPFWHHVEEMKCMYCGRQFPNDTSISRIKWHLSGEKGHGVAKCGQVPKQVQEAAFLAMHGGKKRHKSIASSSNVNDNAILTTPQEQNNEVENLAGDAGRTQAPDTMGQALELSWVEINEMLMEHDIENGTGGVVQPGGGACSSGGLTGNTNETTGDPLPTSSTKLVGRAFEENMNVICSWLLDDEVSTIGIYGMGGVGKTTMLQHIHNELLERQDISHCVYWVTVSQDFSIKRLQTLIAKCLGFNLSSEDDELHRAVKLSKELKKKQKWILILDDLWNTFELHKVGIPVPVKGCKLIMTTRSKRVCQQMDIKHKIKVKPLSKTEAWTLFMEKLGHDRALSPEVERIAVDIARECAGLPLGIITMAGTMRAVVDICEWKNALEELEESKVRKDDMEPDVFHRLRFSYNHLSDSAMQQCFLYCALFPKDFEIPRDDLIAYLIDIGVIEELNSREAEFNKGHSMLNKLEDVCLLESAKKMFDDCRSVKMNGLIRDMAIQIQQENSQGMFKADSQLKELPDAKGWTENFTRVSLMHNQIKEILSCHSPWCPSLSILLLCKNSKLQFIADSFFEKLHGLKVLDLSYTNITKLPDSVSELVSLTALLLIKCRKLRHVPSLKKLWELKRLDLSGTWALEKMPRGMECLCNLRYLRMNGCGEKEFPSELLLKLSRLQVFVLEEELIDGRYAPITVKGKEVGCLRKLESLKCHFEGHSDYVEYLKSRDETQSLSTYQIRVGLLANYHHCGRSKTIVLGNLSINRDEGFQVMFPKDIQKLIIDNYDDARSLYDVSSLIKYATKVEVIRIWNCNSMESLVSSSWFCSAPLPLPSYSGIFSGLKEFYCYGCTSMKKLFPLVLLPNLANLENIAIYNCDKMEEIIGGTRSDEEGVMGEESSSSEFKLPKLRILSLFDLPELKSICSAKLICDSLKEMKVINCQKLKKMPIGLSLLENGQGIFT >Potri.002G237100.1.v4.1 pep chromosome:Pop_tri_v4:2:22973442:22978122:-1 gene:Potri.002G237100.v4.1 transcript:Potri.002G237100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237100.v4.1 MKVKAPPFRANQLKTLHGASSRQTLQTCIDARIVKTGFDPITSRFNFMIKDLSERGQLCQARQLLDQMPNRNSFSIDIIISGYVKSGNLTVARRIFDDTDERTVVAWTTMIGAYSKSNRFGDAFKLFAEMHRSGSQPDYVTYITLLTGCNDLEVAKELYQAHAQIVKLGHHLNHRVCNTLLDSYFKTGGLDSARRLFLEMCGWDSVSFNVMITGYANNGLNEEAIELFVEMQNLGFKPSDFTFAAVISASVGLDDTAFGQQIHGFVVKTSFIRNVFVGNAFLDFYSKHDCVNEVRKLFNEMPELDGVSYNVIITAYAWVGKVKESIDLFQELQFTTFDRKNFPFPTMLSIAASSLDLQMGRQLHAQVVVSMADPDFRISNSLVDMYAKCGKFEEADRIFLRLSSRSTVPWTAMISANVQRGLHENGLKLFYEMRRANVSADQATFACVLKASANLASILLGKQLHSCVIRSGFMNVYSGCALVDMYANCASIKDAIKTFEEMSERNVVTWNALLSAYAQNGDGKGTLKSFEEMIMSGYQPDSVSFLCILTACSHCRLVEEGLKYFNDMSGVYNLAPKREHYTAMVDALCRSGRFDEAEKLMGQMPFELDEIVWTSVLNSCRIHKNYALARKAAGQLFNMKVLRDAAPYVTMSNIFAEAGQWDSVVKVKKAMRDRGVRKLPAYSWVEIKHKVHVFSANDDKHPQQLEILRKIEMLAEQMEKEGYDPDISCAHQNVDKESKIDSLKYHSERLAIAFALINTPEGSPILVMKNLRACTDCHAAIKVISKIVGREITVRDSNRFHHFRDGSCSCGDYW >Potri.001G099700.1.v4.1 pep chromosome:Pop_tri_v4:1:7947766:7949353:-1 gene:Potri.001G099700.v4.1 transcript:Potri.001G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099700.v4.1 MPATEHQQSFLGRISIRRNQVIAMDGNHEQELEVLELFQRHTGDRFADLLSHPEDYPFSGEPFLSISWLRKLVDVLLCCEAEFKAVLIMGRDPSQILKPPLDRLLIDFMERAVKVLDICNAVSSGVDSIRQCQKLAEIVVSALEQKPIGDGQVKRARKALSALMSALTIDDKENNHSRYAERTWSFGRRGSNSGGNHKDRATHGSFRSSSMVLAKNWSAAKQIQAMCSNLAVPRGGESTGLAQPVYIMSNVMVFVMWALVAAIPCQERSGLSTHFQIPKQFVWAHSIIGMQEKIGEEWKKKEKKGSAGLLEEMQRMEKLGQSLIEFADGFQFPAEAEKLEEVAARVAELAEICQRMEEGLMPLQQQIRELFHRIVRSRTEILDLLDNGKASQPII >Potri.014G060300.10.v4.1 pep chromosome:Pop_tri_v4:14:3872955:3875329:1 gene:Potri.014G060300.v4.1 transcript:Potri.014G060300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060300.v4.1 MTKSRFKQEHDFEKRRAETARIREKYPDRIPVIVEKAERSDIPNIDKIKYLVPADLTVGQFVYVIRKRIKLSAEKAIFIFVDNVLPPTGAIMSSIYDEKKDEDAFLYVTYSGENTFGCQMLP >Potri.006G182201.1.v4.1 pep chromosome:Pop_tri_v4:6:18889178:18890860:1 gene:Potri.006G182201.v4.1 transcript:Potri.006G182201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182201.v4.1 MASSEPATDTKTASSPTDDQSLKLAAKERKQELLRLREDLREAEDASQCDLFPQTALCKCYFFDNLGKLSPKPVGDGSDRRFNDILRRRFLRQVRIKERRKRINNSNIKIRFSDIYSKNEAEQLRAAVDFLVELCDTTSPGRLTFFCCYFRKSQNLHISS >Potri.014G132800.3.v4.1 pep chromosome:Pop_tri_v4:14:8883311:8891510:-1 gene:Potri.014G132800.v4.1 transcript:Potri.014G132800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132800.v4.1 MEKAIRVNAHKEPQNGVLTTSDALMPIDLVPLNSVDPNQVPLNYVCSNPAMLNSVSPNPIHLNSAGSISAPLNSVSSISAPLNSVSPNPFHLESVSSNPVPLISVSPNPVPLNHASLYPVPLDSVARNPVPLNSAGPSRVDEAPSCSTQDDMLPGLDELDKHFVENIFGLLRKEETFTGQVKLMEWILQIHTLSVLNWFLFNGGVMILVTWLSQAAAEEQTSVLIVTLNVFCHLPLHKAPPEHMSAILRGVNRLRFYRTSDISNRARVLLSRWSKVFARSQAMKKPYGVNFSTDAQDMILKQSIDEIMGNELWQSDISNPDGVPALSLESSENIRKIESSQALKLLPASTDDPSRKHILGAPSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVSTGRPMSADDIQKAKMRALFMQNKHGKTGLSSNGNTGMKNGPSSMSASLSLVSKIHIRPKIEEYKKPVMPPLEVSCKVEGSLNPKKEIDSKEAMWGVCIEVKIPWKTPPEIKLNVLWRVGTGENGKEVDVQKNRNRREVETIYQTVQELPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADGAEIQFSLTEHVNTVVAPSPAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKAGGAGLAGSLNGLVGKVGEKVEVSLPSPTPSSNPGTSGWRSEGDKNPFSQQASSGNRVAYTDPGVPTVAPLAENTSSVQRQNQATNIRTPQQQASRPILSQHHPFSLSQTSIIVPENRQPPMVLQSQQSYPTNSSMLHTPSSEIVFTMKNLPVNTPSLPNPSAAIGPSMWVETMNNVKPAPSISLTSNPPERWPVPFPRSTSAVPAPTQLQSHINEPPTVHSSWPHTGDVGPMRDSWRVRQSLVSNSPSHVNQNNYVPPYGGPVQPQLRSGPPRERNEYLGDEGIESWSPENSRFESQEYMSGRNYSGARTNSGWDYLPDNRSRQRNSSGYRDRNRNGNRRRH >Potri.014G132800.6.v4.1 pep chromosome:Pop_tri_v4:14:8884834:8892603:-1 gene:Potri.014G132800.v4.1 transcript:Potri.014G132800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132800.v4.1 MKKPYGVNFSTDAQDMILKQSIDEIMGNELWQSDISNPDGVPALSLESSENIRKIESSQALKLLPASTDDPSRKHILGAPSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVSTGRPMSADDIQKAKMRALFMQNKHGKTGLSSNGNTGMKNGPSSMSASLSLVSKIHIRPKIEEYKKPVMPPLEVSCKVEGSLNPKKEIDSKEAMWGVCIEVKIPWKTPPEIKLNVLWRVGTGENGKEVDVQKNRNRREVETIYQTVQELPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADGAEIQFSLTEHVNTVVAPSPAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKAGGAGLAGSLNGLVGKVGEKVEVSLPSPTPSSNPGTVRDLGQYCKFCYKSLNCCQLPMGRAICSYNLVNI >Potri.014G132800.5.v4.1 pep chromosome:Pop_tri_v4:14:8883337:8892568:-1 gene:Potri.014G132800.v4.1 transcript:Potri.014G132800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132800.v4.1 MKKPYGVNFSTDAQDMILKQSIDEIMGNELWQSDISNPDGVPALSLESSENIRKIESSQALKLLPASTDDPSRKHILGAPSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVSTGRPMSADDIQKAKMRALFMQNKHGKTGLSSNGNTGMKNGPSSMSASLSLVSKIHIRPKIEEYKKPVMPPLEVSCKVEGSLNPKKEIDSKEAMWGVCIEVKIPWKTPPEIKLNVLWRVGTGENGKEVDVQKNRNRREVETIYQTVQELPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADGAEIQFSLTEHVNTVVAPSPAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKAGGAGLAGSLNGLVGKVGEKVEVSLPSPTPSSNPGTSGWRSEGDKNPFSQQASSGNRVAYTDPGVPTVAPLAENTSSVQRQNQATNIRTPQQQASRPILSQHHPFSLSQTSIIVPENRQPPMVLQSQQSYPTNSSMLHTPSSEIVFTMKNLPVNTPSLPNPSAAIGPSMWVETMNNVKPAPSISLTSNPPERWPVPFPRSTSAVPAPTQLQSHINEPPTVHSSWPHTGDVGPMRDSWRVRQSLVSNSPSHVNQNNYVPPYGGPVQPQLRSGPPRERNEYLGDEGIESWSPENSRFESQEYMSGRNYSGARTNSGWDYLPDNRSRQRNSSGYRDRNRNGNRRRH >Potri.014G132800.1.v4.1 pep chromosome:Pop_tri_v4:14:8883316:8892620:-1 gene:Potri.014G132800.v4.1 transcript:Potri.014G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132800.v4.1 MEDLTETEIGSSVESFQKFLDSQREVFHNQIDHLRRIVVTQCKLTGVNPLSQEMAAGALSIKIGKRPRDLINPKAVKYMQEVFTIKDAFSKKESRDISVQFGATVTQVRDFFASQRTRVRKLIRLSMEKAIRVNAHKEPQNGVLTTSDALMPIDLVPLNSVDPNQVPLNYVCSNPAMLNSVSPNPIHLNSAGSISAPLNSVSSISAPLNSVSPNPFHLESVSSNPVPLISVSPNPVPLNHASLYPVPLDSVARNPVPLNSAGPSRVDEAPSCSTQDDMLPGLDELDKHFVENIFGLLRKEETFTGQVKLMEWILQIHTLSVLNWFLFNGGVMILVTWLSQAAAEEQTSVLIVTLNVFCHLPLHKAPPEHMSAILRGVNRLRFYRTSDISNRARVLLSRWSKVFARSQAMKKPYGVNFSTDAQDMILKQSIDEIMGNELWQSDISNPDGVPALSLESSENIRKIESSQALKLLPASTDDPSRKHILGAPSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVSTGRPMSADDIQKAKMRALFMQNKHGKTGLSSNGNTGMKNGPSSMSASLSLVSKIHIRPKIEEYKKPVMPPLEVSCKVEGSLNPKKEIDSKEAMWGVCIEVKIPWKTPPEIKLNVLWRVGTGENGKEVDVQKNRNRREVETIYQTVQELPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADGAEIQFSLTEHVNTVVAPSPAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKAGGAGLAGSLNGLVGKVGEKVEVSLPSPTPSSNPGTSGWRSEGDKNPFSQQASSGNRVAYTDPGVPTVAPLAENTSSVQRQNQATNIRTPQQQASRPILSQHHPFSLSQTSIIVPENRQPPMVLQSQQSYPTNSSMLHTPSSEIVFTMKNLPVNTPSLPNPSAAIGPSMWVETMNNVKPAPSISLTSNPPERWPVPFPRSTSAVPAPTQLQSHINEPPTVHSSWPHTGDVGPMRDSWRVRQSLVSNSPSHVNQNNYVPPYGGPVQPQLRSGPPRERNEYLGDEGIESWSPENSRFESQEYMSGRNYSGARTNSGWDYLPDNRSRQRNSSGYRDRNRNGNRRRH >Potri.014G132800.4.v4.1 pep chromosome:Pop_tri_v4:14:8884869:8892626:-1 gene:Potri.014G132800.v4.1 transcript:Potri.014G132800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132800.v4.1 MEDLTETEIGSSVESFQKFLDSQREVFHNQIDHLRRIVVTQCKLTGVNPLSQEMAAGALSIKIGKRPRDLINPKAVKYMQEVFTIKDAFSKKESRDISVQFGATVTQVRDFFASQRTRVRKLIRLSMEKAIRVNAHKEPQNGVLTTSDALMPIDLVPLNSVDPNQVPLNYVCSNPAMLNSVSPNPIHLNSAGSISAPLNSVSSISAPLNSVSPNPFHLESVSSNPVPLISVSPNPVPLNHASLYPVPLDSVARNPVPLNSAGPSRVDEAPSCSTQDDMLPGLDELDKHFVENIFGLLRKEETFTGQVKLMEWILQIHTLSVLNWFLFNGGVMILVTWLSQAAAEEQTSVLIVTLNVFCHLPLHKAPPEHMSAILRGVNRLRFYRTSDISNRARVLLSRWSKVFARSQAMKKPYGVNFSTDAQDMILKQSIDEIMGNELWQSDISNPDGVPALSLESSENIRKIESSQALKLLPASTDDPSRKHILGAPSSHTRERRKVQLVEQPGQKTAGRSPQATKAAPVSTGRPMSADDIQKAKMRALFMQNKHGKTGLSSNGNTGMKNGPSSMSASLSLVSKIHIRPKIEEYKKPVMPPLEVSCKVEGSLNPKKEIDSKEAMWGVCIEVKIPWKTPPEIKLNVLWRVGTGENGKEVDVQKNRNRREVETIYQTVQELPSNPKEPWDLEMDYDDTLTPEIPIEQPPDADGAEIQFSLTEHVNTVVAPSPAPSLPQVGGGSATEPDLELLAVLLKNPELVFALTSGQAGNLSSEETVKLLDMIKAGGAGLAGSLNGLVGKVGEKVEVSLPSPTPSSNPGTVRDLGQYCKFCYKSLNCCQLPMGRAICSYNLVNI >Potri.004G107600.2.v4.1 pep chromosome:Pop_tri_v4:4:9503842:9504818:1 gene:Potri.004G107600.v4.1 transcript:Potri.004G107600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G107600.v4.1 MADNTQKMSYQAGETKGQAQEKASNLMDRADNAAQSAKESVQEAGQQVRAKTQEAVEGVKNATGMNK >Potri.012G052700.1.v4.1 pep chromosome:Pop_tri_v4:12:4672297:4674744:-1 gene:Potri.012G052700.v4.1 transcript:Potri.012G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052700.v4.1 MATETLILRGTMRAHTDQVTAIATPIDNTDMIVSASRDKSIILWHLTKDEKTYGVARRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGVSARRFVGHTKDVLSVAFSFDNRQIVSASRDKTIKLWNTLGECKYTIQEAESHTDWVSCVRFSPSTLQPTIVSASWDKTVKVWNLTNCKLRSTLAGHSGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKKLYSLDAGAVINALCFSPNRYWLCAATENSIKIWDLESKMVVDDLKVDLKAEAEKSEGTTATAVSTKKKIYCTSLNWSADGSTLFSGYTDGVIRVWGVGRY >Potri.010G197300.1.v4.1 pep chromosome:Pop_tri_v4:10:19064572:19070764:1 gene:Potri.010G197300.v4.1 transcript:Potri.010G197300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197300.v4.1 MAQNFEPFHVPQQNRKNKLRVTTQTNQEQQNPPTPLFSRQAPMNPSQSSSFSSLQTLKDMNYQPLTSQGLSLCLSFQLDNQRYNAVSVSGDYTKQNGEMRSSVVPLGPFTGYASILTSSRFLKPAQQILDEICGVINCANANFPLDGLGESEITRESIAFLSGGVEHQWKNSKLILMLDEVYRRYKLYCQQMQSVVASFETVAGLGNAAPYVCYAIKIVLKHFTSLKNALLDKIQFTGRTFADSIVTKEKSPRYGKTERGIGNQNPTLNLNFIQHSVWRSHRGLPDHAVAVLKTWLFEHFLHPYPTDSEKQALAQQTGLSRTQVSNWFINARVRLWKPMVEEVHMLESQQTQAPSETVNQGANMPSDLPLKKQSRATSHQNTNQTTRSRLLNELPDVSKQRQDPVNIYGNNFSGNYHTAGVSGSKGVSLALGLPQNNGIDLSWPLPMSIPHHVNVEMIGMMDSAPATGFELEKQHFGKE >Potri.014G005900.2.v4.1 pep chromosome:Pop_tri_v4:14:511174:513102:1 gene:Potri.014G005900.v4.1 transcript:Potri.014G005900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005900.v4.1 MSVSTDVSSTPINLYCNETPGVALCSSSDDDISETSSLSSTEFPVDIDESYIDNILLSELHQMPATELITRFLEISQVGSAHQDALNWMLKAHASYRFKPETAYLSVSYFHCFILSRPLQHGKGWPLQLLAVACLSLAAKMEETRVPSLLDIQLLEPRFLFKPSTVQRMELLVMSCLKWRLHIITPFSFLHYFVAKLPHLSPRSKNFILTHSSDLIISTCRGNGERMLQPY >Potri.014G005900.1.v4.1 pep chromosome:Pop_tri_v4:14:511174:513102:1 gene:Potri.014G005900.v4.1 transcript:Potri.014G005900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005900.v4.1 MSVSTDVSSTPINLYCNETPGVALCSSSDDDISETSSLSSTEFPVDIDESYIDNILLSELHQMPATELITRFLEISQVGSAHQDALNWMLKAHASYRFKPETAYLSVSYFHCFILSRPLQHGKGWPLQLLAVACLSLAAKMEETRVPSLLDIQLLEPRFLFKPSTVQRMELLVMSCLKWRLHIITPFSFLHYFVAKLPHLSPRSKNFILTHSSDLIISTCRVIKILAYTPSTIAAAAVLWVTNQTIDGPKLECFHSRMDKEMVRGCYNLIRQSMPQRCRGEVLDAAMPGNCHAKRCCGKGFKSSQDMSPNKC >Potri.001G019500.1.v4.1 pep chromosome:Pop_tri_v4:1:1437224:1439971:1 gene:Potri.001G019500.v4.1 transcript:Potri.001G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019500.v4.1 MTVYYVTKVRSHHFIPKPLLNPFFSCLSLHSHSFSTHKSNPTSWNTTHTYVLSNPLLSLLENCKSFSQLKQIQAQMILTGLILDGFASSRLISFCAISESRNLDYCIKILNNLQNPNVFSWNAVIRGCVESENPQKGLVLYKRMLTRAGCRPDNYTYSFLFKVCANLVLSYMGFEILGQVLKMGFDKDMYLYNGIIHMLVSVGESGLAHKVFDEGCVRDLVSWNSLINGYVRRRQPREAMGIYQQMITEQVKPDEVTMIGVVSACAQLESLKLGREIHRYIEESGLNLKISLVNALMDMYVKCGDLEAGKVLFDNMRKKTVVSWTTMIVGYAKNGLLDMAGKLFHDMPEKNVVAWNAMIGSCVQANLSFEALELFREMQLSNMKPDKVTMLHCLSACSQLGALDTGMWTHNYIKKHNLSLDVALGTALIDMYAKCGNMTKALQVFNEMPRRNSLTWTAIIGGLALYGNVNDAIFYFSKMIDSGLMPDEITFLGVLTACCHGGLVEEGRKYFDQMKSRFNLSPQPKHYSCMVNLLGRAGLLEEAEELIKTMPMEADAMVWGALFFACGIHRNLLIGERAASKLLDLDPHDSGIYVLLANMYREAGKWEEAQNIRKMMMERGVEKTPGSSSIEVNGIINEFIVRDKSHPQSEQIYECFNLINKTIGVCYV >Potri.002G091600.1.v4.1 pep chromosome:Pop_tri_v4:2:6629332:6629977:1 gene:Potri.002G091600.v4.1 transcript:Potri.002G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091600.v4.1 MAAIDTGLAQAYVMRKLHKEKMEKLEQAEKGIFAGTEKKGENPRGCFFWGSKKSHPAKVDCEEKHARENKNLVFN >Potri.006G111600.3.v4.1 pep chromosome:Pop_tri_v4:6:8666896:8669725:-1 gene:Potri.006G111600.v4.1 transcript:Potri.006G111600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111600.v4.1 MSSSTKILLLFFIVGPILSTAKTPHVIHFRSPNLYPESLTYDPSAQHFIVGSLHHRTINSVSDAGVTETIISDPSLPPSTSILGLAVDKLNNRLLAVIHSFDSLHPFNGLAAYDLRSRQRLFLSLLPSTPSDEDGRSPMANAVAFDFKGNAYVTNSEGNFIWKVSPEGEASIFSTSPVFTQFPVDRDSPLSSFGLNGIAYVSKGYLLVVQTNTGKLFKVDADDGTARNVLLSEDLPEGDGIAIRGDGVVLVVSNKKLWFLKSDDSWGEGVVYDKIDLDGERFPTSVVVGREDRAYVLYGCVVEGLSGKGGRELFDIEEVRSEKESEDEKIWVYVLIGLGLAIFFIWRFQMKQLIRNMDKKAN >Potri.006G111600.4.v4.1 pep chromosome:Pop_tri_v4:6:8667265:8669722:-1 gene:Potri.006G111600.v4.1 transcript:Potri.006G111600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111600.v4.1 MSSSTKILLLFFIVGPILSTAKTPHVIHFRSPNLYPESLTYDPSAQHFIVGSLHHRTINSVSDAGVTETIISDPSLPPSTSILGLAVDKLNNRLLAVIHSFDSLHPFNGLAAYDLRSRQRLFLSLLPSTPSDEDGRSPMANAVAFDFKGNAYVTNSEGNFIWKVSPEGEASIFSTSPVFTQFPVDRDSPLSSFGLNGIAYVSKGYLLVVQTNTGKLFKVDADDGTARNVLLSEDLPEGDGIAIRGDGVVLVVSNKKLWFLKSDDSWGEGVVYDKIDLDGERFPTSVVVGREDRAYVLYGCVVEGLSGKGGRELFDIEEVRSEKESEDEKIWVYVLIGLGLAIFFIWRFQMKQLIRNMDKKAN >Potri.001G331800.2.v4.1 pep chromosome:Pop_tri_v4:1:34047928:34050251:1 gene:Potri.001G331800.v4.1 transcript:Potri.001G331800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331800.v4.1 MSGSGQRLNVVPTVTVLGVVKARLVGATRGHALLKKKSDALTVQFRQILKKIVSTKESMGDKMKASSFALTEAKYVAGENIKHTVLENVQTATLKVRSRQENVAGVKLPKFDYFHEGETKNDLTGLARGGQQVQACRAAYVKAIEVLVELASLQTSFMTLDTAIKTTNRRVNALENVVKPRLENTITYIKGELDELEREDFFRLKKIQGFKKREIERQLAAAKQFAEGQVAEKVSLQKGISLNSAQNMLSASEKDEDIIF >Potri.010G212850.1.v4.1 pep chromosome:Pop_tri_v4:10:20111351:20111887:-1 gene:Potri.010G212850.v4.1 transcript:Potri.010G212850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212850.v4.1 MAIRIMKRKDAHISIHYTRRFSSKKQRERQTQESSKSSKTRKGRETDELLINYTMRVHNKTWAAFEFEFG >Potri.011G131051.1.v4.1 pep chromosome:Pop_tri_v4:11:16479891:16481917:1 gene:Potri.011G131051.v4.1 transcript:Potri.011G131051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131051.v4.1 MLLSLPVFLTILLVISILWTWTKLIKSNKSSSNPPPGPWKLPFIGNLHQLVHPLPHHRLRDLAKKFGPVMQLQVGEVSTVIISSSEAAKEVLKTHEINFVERPHLVAASVLFYNRKDIAFAPYGEYWRQLRKISILELLSAKRVRSFKSIREEEVSNLITSIYSKEGSPINLSRMIFSLENGITARTSIGKKCKNQEAFLPIVAELTEALGGFNMIDIFPSSKFIYMVSRVRSRLERMHREADEILESIISERRANSALASKMDKNEKDDLLGVLLNLQDHGNLEFQLTTSAIKAIILEMFAAGGDTSSTALEWAMSELVKNPRVMEKAQKEVRQVFNDIGTIPDEASLHDLKF >Potri.013G151000.1.v4.1 pep chromosome:Pop_tri_v4:13:14723147:14723792:1 gene:Potri.013G151000.v4.1 transcript:Potri.013G151000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151000.v4.1 MAGVEKRADFEDLLPVMANKLGGEGLINELCNGFQLLMDKDRGVITMESLRRNSSFLGLQDLSDDELASMVKEGDLDGDGALNQMEFCVLMFRLSPELMQESRFWLEEAFEEELKSDGL >Potri.014G049700.1.v4.1 pep chromosome:Pop_tri_v4:14:3198329:3200642:1 gene:Potri.014G049700.v4.1 transcript:Potri.014G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049700.v4.1 MAAMEEDNGEFYLRYYVGHRGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIISESEIMKEDDNNWPEPDRVGRQELEIVMGNEHISFTTSKIGSLVDVHSSQDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >Potri.006G000200.1.v4.1 pep chromosome:Pop_tri_v4:6:28316:33637:-1 gene:Potri.006G000200.v4.1 transcript:Potri.006G000200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000200.v4.1 MALKFLNKKGWHTGSLRNIENVWKAEQKHDAEQKKLEELRKQIQDERERSEFRLLQEQAGLVPKQERLEFLYDSGLAVGKTSGSSSGGGVGVAFKALEESIPGSTTSSSNNNNAPSSSAQQSSAPGALFEDKPHSSNDAWRKLHSDPLLLIRQREQEALARVKNNPIQMAMIRKSVEASKEKEMNHDRKEHQKKHSHSKGKHHKHSSKLQSDSENVSGEGERRRKTSDHKHSSSKRQSDSEDVRVEGEKRRKTSDRRSSKYDEHHYKAQVDSDGESSERENQRGRNSYRGSKYRERSPRGYSHPKAGKNDGQDTHRKNHGKSMNERYSLEGRTDFDADRKGRDANSSREARSYASSESVRYDSNYKRRNVASKLTEEERLAKLREMQVDAELHEEQRWKRLRKAEEDDAREATHTSMLGGRNFLDAAHKSVYGAEKGGSSTIEESVRRRAHYSQGRTEVGDGNAFRR >Potri.006G169200.1.v4.1 pep chromosome:Pop_tri_v4:6:16968639:16972490:-1 gene:Potri.006G169200.v4.1 transcript:Potri.006G169200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G169200.v4.1 MSHNSDTIPLHASSQSDIDEIENLINSSIQSSPSTVLPARPPSPPRIPVSSSPFIQSNLPPPPAQAQLPTLQKPPSAVPSAPLPPLPPSTGNSAGGNIAATGFGSLPNTLTEPVWDTIKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLVPLDIGAFICMLKDNVIIKVIVVFVTLAWSSWAAYPFMSSAVNPRRKALALYPVFLMYVSVGFLIIAID >Potri.004G144200.1.v4.1 pep chromosome:Pop_tri_v4:4:16694951:16696226:-1 gene:Potri.004G144200.v4.1 transcript:Potri.004G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144200.v4.1 MSINGRRTESYIIFIISPKGIIHLFIFLKNRRDEEKKRLLSSLEVSMAENLAPLSACILRVDVCCCSKCSTKVKKRLQKINGVNFVDINTKKGLVMVSGLVDPSTLQRAITKTGKKAEVLAYEKDPIQAKKKLDQFIRNMQKEHNIRDEENCCCCKVSKDDDKPVTMPYYGMGSNTAPPAWYGQRNDDPIFYGAGYHVLPPRYPTPMAPYNYTGRPYGYHGHRPPIYATLPLQPALPPPAPIHARLPLQKTLPPPPPPRPQIPLSNLHAVYGGQKDVPAGNSIYHAFSDDNAAACSIM >Potri.002G088900.1.v4.1 pep chromosome:Pop_tri_v4:2:6421758:6428244:-1 gene:Potri.002G088900.v4.1 transcript:Potri.002G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088900.v4.1 MQHLSQFLTRKKKTSSMDPKNRRRPRLERRNALRHVAYDATSSSSSLDDSSSSLLSQSLDLPDRTSFRIEGTEGEFDRIFRSLGLSGPEDFSIPEAAWEAMKVRSASDILPRSRLFGSDSPKAAEEAKVVVEGTKSELHARVLNSVRVRDSSTQSTQNEPAELNKFRDADNRCLPVGIKGLRPPLLKPPPSMTLPVIDKECSTWDLFRDFAPENDRVVNNNIESTYDDGEEEKEERREQVINADADNKREEEENLLRIGETVVLSESCSFSTSNDDDSSSSTTEPMSNISPNVRFRRAITYWEKGELLGRGSFGSVYEGISDDGFFFAVKEVSLLDQGSKGKQSIYQLEQEIALLSRFEHENIVQYYGTDKDESKLYIFLELVTKGSLQKLYQRYNLRDSQVSSYTRQILHGLKYLHDQNVVHRDIKCANLLVDANGSVKLADFGLAKATKLNDVKSCKGTAFWMAPEVVNNKNQGYGLPADIWSLGCTVLEMLTRQIPYSELESMQALFRIGRGVPPLVPDSLSNDAREFILQCIQVNPNDRPTAAVLLDHPFVKKLLPTSSGSASPYIGRRS >Potri.006G130400.1.v4.1 pep chromosome:Pop_tri_v4:6:10627965:10629408:-1 gene:Potri.006G130400.v4.1 transcript:Potri.006G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130400.v4.1 MELKYSTATTFLLCLLLVTPCISRGGSDAAESEVYEIDYRGPETHSSVMPPPGHSHGRPWIHQDTVKKSHKPQGFRGGNNGEQADKIHG >Potri.002G214500.3.v4.1 pep chromosome:Pop_tri_v4:2:19986570:19998070:-1 gene:Potri.002G214500.v4.1 transcript:Potri.002G214500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214500.v4.1 MGYICDFCGEQRSMVYCRSDAACLCLSCDQIVHSANALSKRHSRTLLCERCNSQPALVRRVEERISLCQNCDWMGYGSSTSASTHKRQTINCYFGCPSVSELSSKWPFILDSPSGGGSTCEQELGLMSIAENSTKNTWGPTENTICHNASGIVGVNDRCEIAKSGVWHEASSVPESSSVPKNLDQPTRSPNSSLPKLYCPGTKCPARYEDADLYEDFNMAEMDLNLENYEELFGVTLNNSEELFENGGIDSLFGTKDMPIVDSNCQGAVAAEGSSVGLVNTIQPTCSNAPSTDSMISSKTEPILCFTAKQGHSSLSFSGLTGESNAGDYQDCGASSMLLMGEPSWCPPCLESPLPSANRSDAVKRYMEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPLGQTRSF >Potri.002G214500.1.v4.1 pep chromosome:Pop_tri_v4:2:19986585:19998116:-1 gene:Potri.002G214500.v4.1 transcript:Potri.002G214500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214500.v4.1 MGYICDFCGEQRSMVYCRSDAACLCLSCDQIVHSANALSKRHSRTLLCERCNSQPALVRRVEERISLCQNCDWMGYGSSTSASTHKRQTINCYFGCPSVSELSSKWPFILDSPSGGGSTCEQELGLMSIAENSTKNTWGPTENTICHNASGIVGVNDRCEIAKSGVWHEASSVPESSSVPKNLDQPTRSPNSSLPKLYCPGTKCPARYEDADLYEDFNMAEMDLNLENYEELFGVTLNNSEELFENGGIDSLFGTKDMPIVDSNCQGAVAAEGSSVGLVNTIQPTCSNAPSTDSMISSKTEPILCFTAKQGHSSLSFSGLTGESNAGDYQDCGASSMLLMGEPSWCPPCLESPLPSANRSDAVKRYMEKKKTRKFEKKVRYASRKARADVRRRVKGRFVKAGDAYDYDPLGQTRSF >Potri.006G024900.7.v4.1 pep chromosome:Pop_tri_v4:6:1658682:1661301:1 gene:Potri.006G024900.v4.1 transcript:Potri.006G024900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024900.v4.1 MKGESSYVPPRYIPLDQSDLETESVPRNEERSGAASVVGDGPAQWSSGICACCDDMQSCCIGLFCPCYLFGKNAEFLGSGTLIGSCATHFILWALVNTVCCCMTDGILLGLPGCFVACYACGYRRVLREKYNLQEAPCGDLVTHFFCHLCANCQEYREIRERSGDSNSPDLKLAVVTAPPVQTMESGNTE >Potri.006G024900.6.v4.1 pep chromosome:Pop_tri_v4:6:1658753:1661324:1 gene:Potri.006G024900.v4.1 transcript:Potri.006G024900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024900.v4.1 MKGESSYVPPRYIPLDQSDLETESVPRNEERSGAASVVGDGPAQWSSGICACCDDMQSCCIGLFCPCYLFGKNAEFLGSGTLIGSCATHFILWALVNTVCCCMTDGILLGLPGCFVACYACGYRRVLREKYNLQEAPCGDLVTHFFCHLCANCQEYREIRERSGDSNSPDLKLAVVTAPPVQTMESGNTE >Potri.003G151750.1.v4.1 pep chromosome:Pop_tri_v4:3:16462057:16464801:1 gene:Potri.003G151750.v4.1 transcript:Potri.003G151750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151750.v4.1 MFLATFKFFFQTIRKHGVWRMNPNLRSTHRHGWLLLSALLLLSPPFLLSVFFIIKLFDFSSSMQLPHLAFVCCSIPGSRWTGMFVEEVQLAFGREAQDGPRGAQTTITEHIATESAHSAGQAFFCNPSACILKFGCSVKRKKRTPTYIS >Potri.007G068300.1.v4.1 pep chromosome:Pop_tri_v4:7:8895611:8896634:1 gene:Potri.007G068300.v4.1 transcript:Potri.007G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068300.v4.1 MASFIHRVLFLVLLAALSPVLFGTHNLVKADDNLIKLQCRHAETPTLCIQCLKSDPRAPHADKVGIATIVINCLSSHSKTLASNMTKLASKEEDKKLKSACHGCSKGYVKANKNLLKAVSLLKIGDYDKANSGVKSALQYELFCRETFEESKWKLPSLVVYEMRVYEALSEAALRIVDRF >Potri.001G309500.1.v4.1 pep chromosome:Pop_tri_v4:1:31980665:31984611:-1 gene:Potri.001G309500.v4.1 transcript:Potri.001G309500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309500.v4.1 MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Potri.001G309500.8.v4.1 pep chromosome:Pop_tri_v4:1:31981518:31984467:-1 gene:Potri.001G309500.v4.1 transcript:Potri.001G309500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309500.v4.1 MADAEDIQPLVCDNGTGMVKAGFAGDDAPRAVFPSIVGRPRHTGVMVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Potri.001G309500.3.v4.1 pep chromosome:Pop_tri_v4:1:31981469:31983245:-1 gene:Potri.001G309500.v4.1 transcript:Potri.001G309500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309500.v4.1 MVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPIYEGYALPHAILRLDLAGRDLTDALMKILTERGYMFTTTAEREIVRDMKEKLAYVALDYEQELETAKSSSSVEKNYELPDGQVITIGAERFRCPEVLFQPSLIGMEAAGIHETTYNSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Potri.002G205500.10.v4.1 pep chromosome:Pop_tri_v4:2:17051855:17059811:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.7.v4.1 pep chromosome:Pop_tri_v4:2:17051770:17060102:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.6.v4.1 pep chromosome:Pop_tri_v4:2:17051795:17060434:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.8.v4.1 pep chromosome:Pop_tri_v4:2:17051802:17060100:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.2.v4.1 pep chromosome:Pop_tri_v4:2:17051857:17059808:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.4.v4.1 pep chromosome:Pop_tri_v4:2:17051818:17059816:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.11.v4.1 pep chromosome:Pop_tri_v4:2:17051773:17059810:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.1.v4.1 pep chromosome:Pop_tri_v4:2:17051773:17059816:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.5.v4.1 pep chromosome:Pop_tri_v4:2:17051795:17060602:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.002G205500.9.v4.1 pep chromosome:Pop_tri_v4:2:17051855:17059895:-1 gene:Potri.002G205500.v4.1 transcript:Potri.002G205500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205500.v4.1 MSYSTWITSLSCSSSVVLPSGDTSIPMIFQWLRFIFFSPCPQRALLSSVDLLFLLALLGFAAQKLYSRFTSSGRSISDINKPLIGNGNSRVLQITTSIWFKLSLIVSVLLALCYIAVSILAFSQSSRLPYWNVLDGVFWLVQAITHAVIAILIIHEKRFQATTHPLSLRIYWVANFITTGLFMLSGIIRLVALDHNLIFDDIFSVVAFTFSIVLFAVAIRGSTGITVIRESEAVMHDDTKLQEPLLEKSNVTGFATASIISKCLWLWMNPLLRKGYKSPLKIDDVPTLSLQDRAEKMSQLYESKWPKPHEKSNNPVRTTLLRCFWKEIAFTAFLAILRLCVMYVGPMLIQSFVDYTAGKRTSPFEGYYLVLTLLVAKFVEVLTVHQFNFNSQKLGMLIRCSLITSLYKKGLRLSCSARQAHGVGQIVNYMAVDAQQLSDMMLQLHSIWLMPLQLGVGLVLLYNVLGASTITAFLGILSVILFAIFGTKRNNRFQRNVMVNRDSRMKATNEMLNYMRVIKFQAWEEHFNKRIQNFRESEFGWISKFLYSISGNIIVMWSAPLLVSTLTFGTALLLGVPLDAGTVFTTTSVFKILQEPIRTFPQSMISLSQAMVSLSRLDRYMISKELVEESVERVDGCDDRIAVQIKDGVFSWDDETEDDVLKNINLEIKKGELTAIVGTVGSGKSSLLASILGEMHKISGKVRVCGTTAYVAQTSWIQNSTIEENILFGLPMNREKYKEVIRVCCLEKDLEMMEFGDQTEIGERGINLSGGQKQRIQLARAVYQDCDIYLLDDVFSAVDAHTGTDIFKECVRGALKGKTILLVTHQVDFLHNVDLISVMRDGQIVQSGKYNDLLVSGLDFGALVAAHDTSMELVEASSEISSENSPRPPKSPRGPSKLGEANGENKLLDHPKSDKGTSKLIEEEERATGNIGLHVYKQYCTEAFGWWGIVVAMLLSLVWQASQMAGDYWLAYETAEERAAMFKPSLFISVYGIIAAVSVVFLAMRSLFVTLMGLKTAQKLFGGILHSILHAPMSFFDTTPSGRILSRASSDQTNVDIFLPFMLALTIAMYISVLGIIIIICQYTWPTVFLVIPLGWLNFWFRGYFLATSRELTRLDSITKAPVIHHFSESISGVMTIRSFRKQDSFCQENVNRVNANLRMDFHNNGSNEWLGLRLEMIGSFILCASAMFLILLPSSIVKPENVGLSLSYGLSLNSVLFWSIYFSCFVENRMVSVERIKQFTNIASEAAWKIKDRVLPPNWPAHGNVDLKDLQVRYRPNTPLVLKGITLSIQGGEKIGVVGRTGSGKSTMIQVFFRLVEPTGGKIIIDGIDICMLGLHDLRSRFGIIPQEPVLFEGTVRSNVDPVGQHTDEDIWRSLERCQLKDAVASKPEKLDSPVIDNGDNWSVGQRQLLCLGRVMLKHSRLLFMDEATASVDSQTDAAIQKIIREEFADCTIISIAHRIPTVMDCDRVLVVDAGRAKEFDKPSRLLERPSLFGALVQEYATRSAGL >Potri.001G081100.1.v4.1 pep chromosome:Pop_tri_v4:1:6430825:6434100:1 gene:Potri.001G081100.v4.1 transcript:Potri.001G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081100.v4.1 MASSYLPSAYSATQRRSSQTSDNPITAGPLYPGLVPAPNPRPIFQFLAFGLFILLGLLQLLPATHFRDPFDPSRNWVPLHSNLASPLREFDARNGDGGGDDGMVHVVSWMDCLDLRVLAVLANSTLSSSSYPELVSFHFFIPGGNEDKVPFYKLKVLFPHSNLEIHGQEEVKEIVRIAFSDEQYAKPRYEEIVPFIIPTVHQFLSKFIYVSANVIMKARVEELIGVDLDDYAIATAEDCSQRLKTYVNSEVLDAIQRSVSKPWVSETPYAKDTCLPDLSVLVINARKLGKDIVETVLWWSKALNLRERTDQKNLALALALYNRYLKLSSSWLVKDITSPEVNNSMIIYYDGPKTSCIKSISGAASEYSHGNVWTQYLPSISDRILGS >Potri.007G007201.1.v4.1 pep chromosome:Pop_tri_v4:7:500444:501214:1 gene:Potri.007G007201.v4.1 transcript:Potri.007G007201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007201.v4.1 MFDQLPFPMDCLYAGPERKACDVLGLYYGFGRTFFNPASVFSRSHALRKAVKNNTIEATLDDRSGVLQQHYLPSL >Potri.001G322600.1.v4.1 pep chromosome:Pop_tri_v4:1:33184271:33184954:-1 gene:Potri.001G322600.v4.1 transcript:Potri.001G322600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322600.v4.1 MPSAIVEFNLVNVLRRYMLAPGVGSDSHYKIYLPEVRKMGTSRTVEAPCSDEANSFLCKKSVEALAYMGIPKTKHKEIIAAIVSEKRRLITRGGRDGSAVYVVVDVEAIVDREDVYDERIACEENLKAMEEAVQRLKHRNHYGGACTEGLEMKAVKEGNITSKSYGECVVCKEELKFGKAAQMPCSHVYHRDCISRWFKTRDICPLCRYRIPTVTADAQSGGGMLHH >Potri.006G245300.1.v4.1 pep chromosome:Pop_tri_v4:6:24603202:24605857:-1 gene:Potri.006G245300.v4.1 transcript:Potri.006G245300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245300.v4.1 MATLTLPNIFFSSLSPSIHKPPTLNPKTSHSVLRPHWIIDLLKSCSNIREFSPIHAHLITANLIHDPEITSQVLAFLLSVNNLDCAHQILSYSHEPESIIWNTLLENKLKEGCPQEVLECYYHMVTQGVLLDISTFHFLIHACCKNFDVKLGSEVHGRILKCGFGRNKSLNNNLMGLYSKCGKLKEVCQLFEKMTHRDVISWNTMISCYVLKGMYREALDLFDEMLVSGVLPDEITMVSLVSTCAKLKDLEMGKRLHLYIVDNKLWIRGSLLNCLVDMYSKCGKMDEAHGLLSRCDESEVDVVLWTTLVSGYVKSNKIDKARQLFDKMNERSLVSWTTMMSGYVQGGYYCESLELFQQMRFENVIPDEVALVTVLSACVHLEDFDLGRSVHAFIVTYGMLVDGFLGNALLDLYAKCGKLDEALRTFEQLPCKSAASWNSMLDGFCRSGGVDKARDFFNKIPEKDIVSWNTMVNAYVKHDLFNESFEIFCKMQSSNVKPDKTTLISLLSSCAKVGALNHGIWVNVYIEKNEIGIDAMLGTALIDMYGKCGCVEMAYEIFTQIIEKNVFVWTAMMAAYAMEGQALEAIDLYLEMEERGVKPDHVTFIALLAACSHGGLVDEGYKYFNKLRSFYNIIPTIHHYGCMVDLLGRVGHLEETVKFIERMPIEPDVSIWSSLMRACRSHHNVELAEQAFKQLIEIDPTNNGAHVLLSNIYADAGRWDDVSKVRTKLHETGVPKQPGFTMIEQNGVVHEFVASNLVSADILCMLQDIERRLLVKQELSDTTSQHSERLAVAFGLINNQENSPIRVVNSVRMCRDCHSVMKLISQAYDREIVIRDNYRFHRFTDGHCSCKDYW >Potri.005G011000.1.v4.1 pep chromosome:Pop_tri_v4:5:920230:924013:-1 gene:Potri.005G011000.v4.1 transcript:Potri.005G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011000.v4.1 MKLSRLNYLSKPFKIPTFTLKPTLTLPILETHLQKCQNIKQFNQILSQMILSGFFKDSFAASRLLKFSTELPFININQSYQIFSHIENPNGFICNTMMKGYMQRNSPCKAIWVYKFMLESNVAADNYTYPILFQSCSIRLAEFDGKCIQDHVLKVGFDSDVYIQNTLINMYAVCGNLSDARKVFDGSSVLDMVSWNSMLAGYVLVGNVEEAKDVYDRMPERNVIASNSMIVLFGKKGNVEEACKLFNEMKQKDLVSWSALISCYEQNEMYEEALILFKEMNANGIMVDEVVVLSVLSACSRLLVVITGKLVHGLVVKVGIETYVNLQNALIHMYSSCEEVVTAQKLFSESCCLDQISWNSMISGYVKCGEIEKARALFDSMPDKDNVSWSAMISGYAQQDRFTETLVLFQEMQIEGTKPDETILVSVISACTHLAALDQGKWIHAYIRKNGLKINIILGTTLINMYMKLGCVEDALEVFKGLEEKGVSTWNALILGLAMNGLVDKSLKTFSEMKEHGVTPNEITFVAVLGACRHMGLVDEGHRHFNSMIQEHKIGPNIKHYGCMVDLLGRAGMLKEAEELIESMPMAPDVSTWGALLGACKKYGDNETGERIGRKLVELHPDHDGFNVLLSNIYASKGNWVDVLEVRGMMRQHGVVKTPGCSMIEAHGRVHEFLAGDKTHPQNEHIEHMLDEMAKKLKLEGYAPDTREVSLDIDEEEKETTLFRHSEKLAIAFGLIAIDPPTPIRIVKNLRICNDCHTAAKLISKAFNREIVVRDRHRFHHFKQGSCSCMDYW >Potri.017G054800.1.v4.1 pep chromosome:Pop_tri_v4:17:4223359:4227988:1 gene:Potri.017G054800.v4.1 transcript:Potri.017G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054800.v4.1 MYSAIHSLPLDGHGDFQASLDGINLPGDACLVLTTDPKPRLRWTAELHERFVDAVTQLGGPDKATPKTIMRTMGVKGLTLYHLKSHLQKYRLGKQSCKESTDNSKDVGIAPSVAESQDTGSSTSASSRMIAQDLNDGYQVTEALRVQMEVQRRLHEQLEVQHHLQLRIEAQGKYLQSILEKACKALNDQAVATAGLEAAREELSELAIKVSNECAGIAPLDTMKMPSLSELAAALGNRNASNVPARIGDCSVESCLTSTSSPVSPMGVGSQVASTKKRSRPVLGNGDSLPFEGNFRQEVEWTMSNIVDE >Potri.001G057500.7.v4.1 pep chromosome:Pop_tri_v4:1:4355852:4356196:1 gene:Potri.001G057500.v4.1 transcript:Potri.001G057500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057500.v4.1 MDPDQVAKAFVEHYYSTFDANRAGLANLYQDGSMLTFEGQKTQGSQNIVAKLIALPFQQCKHLITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQVFLFNVFLRCAWIDL >Potri.001G057500.6.v4.1 pep chromosome:Pop_tri_v4:1:4355747:4357842:1 gene:Potri.001G057500.v4.1 transcript:Potri.001G057500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057500.v4.1 MDPDQVAKAFVEHYYSTFDANRAGLANLYQDGSMLTFEGQKTQGSQNIVAKLIALPFQQCKHLITTVDCQPSGPAGGMLVFVSGNLQLAGEQHALKFSQMFHLMPTPQGSFYVFNDIFRLNYA >Potri.004G229200.1.v4.1 pep chromosome:Pop_tri_v4:4:23262772:23267031:-1 gene:Potri.004G229200.v4.1 transcript:Potri.004G229200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229200.v4.1 MQGEEVSIEELASNLSTYKEQLHQVRQLLVDDPGNSEYVDMEKELIEVIALTEELLETAKQNEISGSHLGTGASASPGYAEPQEADQHEKFPIGSKVQAVWSEDGEWYDATVEDLTPNGYYVTFDGWGNREEVDPDNVRPVEFNALLEAEKVAEATKQAIKRKIAQAASVDFQSRTLPAKLRIEPDDSEDVKAAKRKKIHSFKSKMRFEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPNGKVGVTGSGKGLTEFQKREKHLHLKDGSVEIDD >Potri.004G229200.2.v4.1 pep chromosome:Pop_tri_v4:4:23262876:23267176:-1 gene:Potri.004G229200.v4.1 transcript:Potri.004G229200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229200.v4.1 MQVIALTEELLETAKQNEISGSHLGTGASASPGYAEPQEADQHEKFPIGSKVQAVWSEDGEWYDATVEDLTPNGYYVTFDGWGNREEVDPDNVRPVEFNALLEAEKVAEATKQAIKRKIAQAASVDFQSRTLPAKLRIEPDDSEDVKAAKRKKIHSFKSKMRFEQLEVAQNKRQNAWQQFQTTKGKTKKVGFFSGRKRESIFKSPDDPNGKVGVTGSGKGLTEFQKREKHLHLKDGSVEIDD >Potri.006G277800.9.v4.1 pep chromosome:Pop_tri_v4:6:26854788:26856736:-1 gene:Potri.006G277800.v4.1 transcript:Potri.006G277800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277800.v4.1 MEEQKAGGSDGMKKSESELADFSAEIYGFFSDIFSGDLSSFPVNKTRDIVNGFSTCGGLTESCFLWSQNTNPKNSSVSVSTDAQSSLCVGSPMSANKPRVKDSQTRVAASVSSPDQSDEDGLSEQSTNPHDIKRIRRMVSNRESARRSRKRKQAHLSDLEVQVDHMTGENASLFKQLSDATQQFRTAETNRRVLNSDVEALRAKVKLAEDMVARGSLTWR >Potri.006G277800.6.v4.1 pep chromosome:Pop_tri_v4:6:26854325:26856846:-1 gene:Potri.006G277800.v4.1 transcript:Potri.006G277800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277800.v4.1 MEEQKAGGSDGMKKSESELADFSAEIYGFFSDIFSGDLSSFPVNKTRDIVNGFSTCGGLTESCFLWSQNTNPKNSSVSVSTDAQSSLCVGSPMSANKPRVKDSQTRVAASVSSPDQSDEDGLSEQSTNPHDIKRIRRMVSNRESARRSRKRKQAHLSDLEVQVDHMTGENASLFKQLSDATQQFRTAETNRRVLNSDVEALRAKVKLAEDMVARGSLTCNNLNQFLQSHLTSPQLLNNHNLHLMPNVSPTITIQGDEAYAGMSVSGQNSGLGLGSADISNGNLNNGILSDAASCITNIWS >Potri.006G277800.10.v4.1 pep chromosome:Pop_tri_v4:6:26854892:26856786:-1 gene:Potri.006G277800.v4.1 transcript:Potri.006G277800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277800.v4.1 MEEQKAGGSDGMKKSESELADFSAEIYGFFSDIFSGDLSSFPVNKTRDIVNGFSTCGGLTESCFLWSQNTNPKNSSVSVSTDAQSSLCVGSPMSANKPRVKDSQTRVAASVSSPDQSDEDGLSEQSTNPHDIKRIRRMVSNRESARRSRKRKQAHLSDLEVQVDHMTGENASLFKQLSDATQQFRTAETNRRVLNSDVEALRAKVCLLLEHKAGLLYDK >Potri.008G043400.6.v4.1 pep chromosome:Pop_tri_v4:8:2448855:2454914:-1 gene:Potri.008G043400.v4.1 transcript:Potri.008G043400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043400.v4.1 MGKLGKKARKFAKKNLQSVLKRQRKVKSFFKKKASKRDGRAQAEDSDGEREEKYSGRNNEVEDFKDISLDIVFGEDDSDMDGDDSDSDGYLSEDTSCSYNGETRIENHLEEYNGGGDLSVQNKEIHLELAKKMKRLNNLKAKDPEFAKFLESSKEHLQTLRDEENYSDEEESDEDGRESTNKMGNLSSSTVDSLCELVKEQNNVPAFVRLLNGYRAACHYGSESPTIVEDSHTFCKILTFMLHEADNIFRKILGISGSNDRKEAILELKNTSKWKTLKPVVKSYLRSTLFLLNEVTDSQILAFALTRLKASIVFFAAFPPLLGRLIKISVHLWATGKGTLSACSLLIIKDVAVVFNSNCFETCMIKAYKAFIDHCKFVDPVLFKHQQFLKSSFIELCSQDLQKAYSKAVVSIQQLAKILQLGLRTKKEAVKKICSWQYANCVDLWVAFISLNIHDYDLQPLLYTIIQIINGVAVLFPGPRYMPLRVKCIQWLNTLSESSGVFIPITSLVLDILEYKIGKESSKPGKDFSFSSAVKLPKHWLKSRNFQDECVFSAIELLAVHFAQWSYHISFPDLATIPLIYLRKFYETTTIESLRRVVKRFIDQVEQNIEFVRKKRDEVTFSPNDQQSVESFLQLEKCGGNAPFTKYYTSVIEKAGSRNLLMNGKISSLEQKKSKGKRQQTPKNAIKVDLAVNAEGNSH >Potri.008G043400.7.v4.1 pep chromosome:Pop_tri_v4:8:2448855:2454591:-1 gene:Potri.008G043400.v4.1 transcript:Potri.008G043400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043400.v4.1 MGKLGKKARKFAKKNLQSVLKRQRKVKSFFKKKASKRDGRAQAEDSDGEREEKYSGRNNEVEDFKDISLDIVFGEDDSDMDGDDSDSDGYLSEDTSCSYNGETRIENHLEEYNGGGDLSVQNKEIHLELAKKMKRLNNLKAKDPEFAKFLESSKEHLQTLRDEENYSDEEESDEDGRESTNKMGNLSSSTVDSLCELVKEQNNVPAFVRLLNGYRAACHYGSESPTIVEDSHTFCKILTFMLHEADNIFRKILGISGSNDRKEAILELKNTSKWKTLKPVVKSYLRSTLFLLNEVTDSQILAFALTRLKASIVFFAAFPPLLGRLIKISVHLWATGKGTLSACSLLIIKDVAVVFNSNCFETCMIKAYKAFIDHCKFVDPVLFKHQQFLKSSFIELCSQDLQKAYSKAVVSIQQLAKILQLGLRTKKEAVKKICSWQYANCVDLWVAFISLNIHDYDLQPLLYTIIQIINGVAVLFPGPRYMPLRVKCIQWLNTLSESSGVFIPITSLVLDILEYKIGKESSKPGKDFSFSSAVKLPKHWLKSRNFQDECVFSAIELLAVHFAQWSYHISFPDLATIPLIYLRKFYETTTIESLRRVVKRFIDQVEQNIEFVRKKRDEVTFSPNDQQSVESFLQLEKCGGNAPFTKYYTSVIEKAGSRNLLMNGKISSLEQKKSKGKRQQTPKNAIKVDLAVNAEGNSH >Potri.008G043400.1.v4.1 pep chromosome:Pop_tri_v4:8:2448855:2454634:-1 gene:Potri.008G043400.v4.1 transcript:Potri.008G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043400.v4.1 MGKLGKKARKFAKKNLQSVLKRQRKVKSFFKKKASKRDGRAQAEDSDGEREEKYSGRNNEVEDFKDISLDIVFGEDDSDMDGDDSDSDGYLSEDTSCSYNGETRIENHLEEYNGGGDLSVQNKEIHLELAKKMKRLNNLKAKDPEFAKFLESSKEHLQTLRDEENQYSDEEESDEDGRESTNKMGNLSSSTVDSLCELVKEQNNVPAFVRLLNGYRAACHYGSESPTIVEDSHTFCKILTFMLHEADNIFRKILGISGSNDRKEAILELKNTSKWKTLKPVVKSYLRSTLFLLNEVTDSQILAFALTRLKASIVFFAAFPPLLGRLIKISVHLWATGKGTLSACSLLIIKDVAVVFNSNCFETCMIKAYKAFIDHCKFVDPVLFKHQQFLKSSFIELCSQDLQKAYSKAVVSIQQLAKILQLGLRTKKEAVKKICSWQYANCVDLWVAFISLNIHDYDLQPLLYTIIQIINGVAVLFPGPRYMPLRVKCIQWLNTLSESSGVFIPITSLVLDILEYKIGKESSKPGKDFSFSSAVKLPKHWLKSRNFQDECVFSAIELLAVHFAQWSYHISFPDLATIPLIYLRKFYETTTIESLRRVVKRFIDQVEQNIEFVRKKRDEVTFSPNDQQSVESFLQLEKCGGNAPFTKYYTSVIEKAGSRNLLMNGKISSLEQKKSKGKRQQTPKNAIKVDLAVNAEGNSH >Potri.008G080700.1.v4.1 pep chromosome:Pop_tri_v4:8:5015912:5017088:-1 gene:Potri.008G080700.v4.1 transcript:Potri.008G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080700.v4.1 MNKAGGIAMGGGGGVGSGPTTAAAAAAAQKQKALMQRVETDIAHIVDNFTHLVNVARINDTPVRNSQEAFMMEMRAARMVQAADSLLKLVSELKQTAIFSGFASLNDHVDQRIGEFTQLAEKTDSMLARIGEEAAASLKELETHYYSSAQRTSQTLEP >Potri.019G083200.2.v4.1 pep chromosome:Pop_tri_v4:19:12319689:12324690:-1 gene:Potri.019G083200.v4.1 transcript:Potri.019G083200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083200.v4.1 MNEETSRAPPPQQRPSSAAPPASRARPTTRKPATSVLPHQTPRLRDHYLFGKKLGQGQFGITYLCTHKASSALYACKSISKRKLLCREDYEDVYREIQIMHHLSGQPNVVQIKDTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLTKNIIGVVEYCHCLGVMHRDLKPENFLFDKPGDDAKLKTTDFGLSVFYKPGQYFYDVVGSPYYVAPEVLLKHYGPQADVWSAGVILYILLSGVPPFWAETDSGIFRQILQGKLDLESDPWPNISESAKDLIRKMLDRDPKQRITAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKHGLKRVGSQMTETEIKDLMDAADIDNSGTIDYGEFLAATLHLNKMEREDNLVAAFSYFDKDGSGYITIDELQQACKDFGLGDVHLDETIKEIDQDNDGRIDYGEFAAMMRKGDGGVGRTRTMRSNLNFNLADALGVENATSDAK >Potri.019G083200.3.v4.1 pep chromosome:Pop_tri_v4:19:12319689:12324690:-1 gene:Potri.019G083200.v4.1 transcript:Potri.019G083200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083200.v4.1 MNEETSRAPPPQQRPSSAAPPASRARPTTRKPATSVLPHQTPRLRDHYLFGKKLGQGQFGITYLCTHKASSALYACKSISKRKLLCREDYEDVYREIQIMHHLSGQPNVVQIKDTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLTKNIIGVVEYCHCLGVMHRDLKPENFLFDKPGDDAKLKTTDFGLSVFYKPGQYFYDVVGSPYYVAPEVLLKHYGPQADVWSAGVILYILLSGVPPFWAETDSGIFRQILQGKLDLESDPWPNISESAKDLIRKMLDRDPKQRITAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKHFSAMNKLKKMALRADIDNSGTIDYGEFLAATLHLNKMEREDNLVAAFSYFDKDGSGYITIDELQQACKDFGLGDVHLDETIKEIDQDNDGRIDYGEFAAMMRKGDGGVGRTRTMRSNLNFNLADALGVENATSDAK >Potri.019G083200.1.v4.1 pep chromosome:Pop_tri_v4:19:12319689:12324720:-1 gene:Potri.019G083200.v4.1 transcript:Potri.019G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083200.v4.1 MNEETSRAPPPQQRPSSAAPPASRARPTTRKPATSVLPHQTPRLRDHYLFGKKLGQGQFGITYLCTHKASSALYACKSISKRKLLCREDYEDVYREIQIMHHLSGQPNVVQIKDTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLTKNIIGVVEYCHCLGVMHRDLKPENFLFDKPGDDAKLKTTDFGLSVFYKPGQYFYDVVGSPYYVAPEVLLKHYGPQADVWSAGVILYILLSGVPPFWAETDSGIFRQILQGKLDLESDPWPNISESAKDLIRKMLDRDPKQRITAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKHFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKHGLKRVGSQMTETEIKDLMDAADIDNSGTIDYGEFLAATLHLNKMEREDNLVAAFSYFDKDGSGYITIDELQQACKDFGLGDVHLDETIKEIDQDNDGRIDYGEFAAMMRKGDGGVGRTRTMRSNLNFNLADALGVENATSDAK >Potri.019G083200.4.v4.1 pep chromosome:Pop_tri_v4:19:12322114:12324721:-1 gene:Potri.019G083200.v4.1 transcript:Potri.019G083200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083200.v4.1 MNEETSRAPPPQQRPSSAAPPASRARPTTRKPATSVLPHQTPRLRDHYLFGKKLGQGQFGITYLCTHKASSALYACKSISKRKLLCREDYEDVYREIQIMHHLSGQPNVVQIKDTYEDSMFVHLVMELCAGGELFDRIVAKGHYSEKEAAKLTKNIIGVVEYCHCLGVMHRDLKPENFLFDKPGDDAKLKTTDFGLSVFYKPGQYFYDVVGSPYYVAPEVLLKHYGPQADVWSAGVILYILLSGVPPFWAETDSGIFRQILQGKLDLESDPWPNISESAKDLIRKMLDRDPKQRITAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKHFSAMNKLKKMALRHTKWKAAKAVE >Potri.013G051901.1.v4.1 pep chromosome:Pop_tri_v4:13:3716505:3717548:-1 gene:Potri.013G051901.v4.1 transcript:Potri.013G051901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051901.v4.1 MKRVYFLATFVFLAFAASFAFASDPSPLQDFCVAINDTKDGVFVNGKFCKDPKLATENDFFFPGLNIARNTSNPVGSVVTPANVAQIPGLNTLGISLVRIDYAPYGGLNPPHTHPRATEILTVLEELCMLALSHRTLIIVSSPKS >Potri.001G422900.1.v4.1 pep chromosome:Pop_tri_v4:1:45169592:45170492:-1 gene:Potri.001G422900.v4.1 transcript:Potri.001G422900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422900.v4.1 MAAHGQQNGFVQRLELVQVDDCGDVLTPFPAKLLRALKNLRRVNISNCKSLEEVFELGEADEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNNLTFIFTPSLARSLSKLEVLFINNCGELKHIIREEDGEREIIPESPGQDGQASPINVEKEIVLPNLKELSLKQLSSIVRFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVSEVDEDSSINREWNRYNGWKEDGDSCL >Potri.014G014900.1.v4.1 pep chromosome:Pop_tri_v4:14:872447:877714:1 gene:Potri.014G014900.v4.1 transcript:Potri.014G014900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014900.v4.1 MLGAAYRNSSIEWRPSPVVSLATSADESQVAAAREDGSLEIWLVSPGAVGWHNQLTIHGNPNSRVSSLAWCRAGSKGLPCGRLFSSSIDGSVSEWDLFHLKQKNVLESIGVSIWQMAVAPSSNSAIHTEHKPPHLGNGYLNGRHKGGEESEYSSESEDDSDLDEQREQIVVEDPCLAIACDDGCVRIYTVPESDGLTYNKTLPRVSGRVLSVTWSPDASRIYSGSSDGFIRCWDAKLGNEIYRITAGLGGLGSGPDLCIWSLLALRCGTLVSADSTGAVQFWDSQHGTLLQAHTSHKGDVNALAAAPSHNRVFSAGSDGQVILYKLSSETVESGNDISSSKMLKKWIYVGYVRAHTHDVRALTVAVPISREDPLADDKIKRIRHKKKPIDFSYSKWAHLGVPMLISAGDDTKLFAYSAQEFTKFSPHDICPAPQRVPIQLALNTVFNQNCLLLVQSSSWLDILCVQTKGGSMTGPGPSRGRATTDILARIKTKGSRKIICSTISNAGVLFAYSDHVKPNLFELKKDVRKSAWTVNKKPLPQKLPYAHSMVFSADSSRLMIAGHDRRIYVVDVCSTELVHTFTPRCEGNDEELPPNEPPITKMFTSCDGQWLSAINCFGDIYVFNLETQRQHWFIARLDGASVTAGGFPPQKNNVLVVTTSSNQVYAFDVEAKQLGEWSTRHTFVLPRRYQEFPGEVIGLSFLPMSSPPSVIIYSARAMCLIDFGMPVDREEDSDLVNGQHSPLKKLQTTTMNGGLKRRLKEYQPETKLRKNFEILAFRDPVLFIGHLSENSILIMDKPWMDVVKTFDAQPVHRHIFGT >Potri.005G047100.1.v4.1 pep chromosome:Pop_tri_v4:5:2984854:2985896:1 gene:Potri.005G047100.v4.1 transcript:Potri.005G047100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047100.v4.1 MNPRPSFVECGKFLGSIAKKKQYSTVVSLCNQMNLFGVTHNVYSLNILINCLCRFNHVHFAVSILGKTVKLGMQPNDITFNTLNNGLCIEGEIKEAVGLFNEMVRRRHEPDVISYTTVINGLCKTGNTSMAVHVYKMEQNGCKPDVVTYNTIMVSPCKDRLVNDAMEFLSEMVDRSIPLQHCSKKWLVGMLCQIQ >Potri.006G086800.1.v4.1 pep chromosome:Pop_tri_v4:6:6514101:6517388:-1 gene:Potri.006G086800.v4.1 transcript:Potri.006G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086800.v4.1 MTTTVTVTRVPLIFSGHFLNNKNGRASQSAVHLLGSISNSNTSSASRSSFSSSLTATTRIRRAGFAVLASNSMAPSIVLVTGAGGRTGSIVYKKLKERSEQYVARGLVRTEESKEKIGGAEDVFVGDIRESKSIVPAIQGIDSLIILTSAVPKMKPGSDPSKGRPEFYFEDGAFPEQVDWIGQKNQIDAAKAAGVKQIVLVGSMGGTNLNHPLNSLGNGNILVWKRKAEQYLADSGVPYTILRAGGLQDKEGGVRELLVGKDDELLQTETRTIARADVAEVCIQALQYEEAQFKAFDLASKPEGTGTPANDFKALFSQVTARF >Potri.008G020400.1.v4.1 pep chromosome:Pop_tri_v4:8:1010227:1011749:1 gene:Potri.008G020400.v4.1 transcript:Potri.008G020400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020400.v4.1 MAATRASKLFAAAAESVGSSARKQVLDLTDTAATRIRHLLQQRQRPFLRLGVKARGCNGLSYTLNYADEKGRFDELVADKGVKILIDPKALMHVIGTKMDFVDDKLRSEFVFINPNSQGQCGCGESFMTTSSSETAKRGGS >Potri.017G001100.1.v4.1 pep chromosome:Pop_tri_v4:17:68970:75287:-1 gene:Potri.017G001100.v4.1 transcript:Potri.017G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001100.v4.1 MKLDVEVLRYLSKDDFRVLTAVEMGMRNHEIVPAELIDRIASLKHGGTYKVLKNLLKHKLVHHDSSKYDGFRLTYLGYDFLAIKTLVNRGVISSVGRKLGTGKESDIYEVAAEDGTVLAMKLHRLGRVSFRAVKSKRDYLRHRSSFNWLYLSRLAALKEFAFMKALEEHGFPVPNAVDCNRHCVIMSLVQGYPLVQVKELQNPETIFETVLGVIVRLAEHGLIHCDFNEFNIMIDDDEKVTVIDFPQMVSVSHRNAQMYFDRDVECIFKFFQKRFNLSFQVSTDDNEGSDADTDETGWPSFSSISKSSGFLDKELAASGFSRKDQEDIEKFIEEDIDDTDSDREESEDKQFVESTEANVKGLSSLPLEELEEQTSNSDEDGVEVKQQSCEAGQDNRAEIQDDSDKEEDNQSAIENDAELNKSLNKQRKRAVAAARGGRRSFASRNSYKDKGGKSSQNSRIQKQLCSW >Potri.013G149100.2.v4.1 pep chromosome:Pop_tri_v4:13:14564990:14566093:-1 gene:Potri.013G149100.v4.1 transcript:Potri.013G149100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149100.v4.1 MNYLRPDVKRGNFSEEEDNLIIQMHEELGNKWSIISGKLPGRTDNEIKNHWHTNLSKRVKQNQPVSSEVMNKEQSSESSQSEVIQTKKSETDSVSVNTPSESDHQQKNVENFPSSQEISCSEFSSMSNDSVSGMNSVAEDSFSSMEIFQDSGSDFWNLPFLADNNYNQDGYESLFLTEEGYMSFYASNYDDDGTYWIQQVLQELEGSN >Potri.013G149100.3.v4.1 pep chromosome:Pop_tri_v4:13:14564990:14566371:-1 gene:Potri.013G149100.v4.1 transcript:Potri.013G149100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149100.v4.1 MHATSSIWSIISGKLPGRTDNEIKNHWHTNLSKRVKQNQPVSSEVMNKEQSSESSQSEVIQTKKSETDSVSVNTPSESDHQQKNVENFPSSQEISCSEFSSMSNDSVSGMNSVAEDSFSSMEIFQDSGSDFWNLPFLADNNYNQDGYESLFLTEEGYMSFYASNYDDDGTYWIQQVLQELEGSN >Potri.013G149100.1.v4.1 pep chromosome:Pop_tri_v4:13:14564990:14566396:-1 gene:Potri.013G149100.v4.1 transcript:Potri.013G149100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149100.v4.1 MVKSASIDKNGIRKGSWSIEEDEKLRVYIQKYGHWNWRQLPKFAGLSRCGKSCRLRWMNYLRPDVKRGNFSEEEDNLIIQMHEELGNKWSIISGKLPGRTDNEIKNHWHTNLSKRVKQNQPVSSEVMNKEQSSESSQSEVIQTKKSETDSVSVNTPSESDHQQKNVENFPSSQEISCSEFSSMSNDSVSGMNSVAEDSFSSMEIFQDSGSDFWNLPFLADNNYNQDGYESLFLTEEGYMSFYASNYDDDGTYWIQQVLQELEGSN >Potri.008G134900.1.v4.1 pep chromosome:Pop_tri_v4:8:8968834:8972887:-1 gene:Potri.008G134900.v4.1 transcript:Potri.008G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134900.v4.1 MSTENEPHAPLLRPRQDPPTSPTSRQTTLSALLGRATGRRGPSMLVRETAARELDERRADWGYSKPVVSLDMMWNAAFVVVSVTMLLVTVKERPNTPIRIWICGYALQCLVHVVLVWLEYRRRNTRRERDIESQQQSTEEENVPESDEEDDRASFISPRSSVTKRCESVNTMVSFLWWMVGFYWVVSGGDVLLQNAPHLYWLAVVFLAFDVFFAIFCVVLACLIGIALCCCLPCIIAILYAVAGQEGASEADLIQLPKYKFQMIRNEEKPGIEAGKMVPVETSSRFLGTERILLPEDAECCICLSPYEDGAELHALPCNHHFHATCIVKWLKMNATCPLCKFNILKGNEQA >Potri.019G026860.1.v4.1 pep chromosome:Pop_tri_v4:19:3903734:3905256:1 gene:Potri.019G026860.v4.1 transcript:Potri.019G026860.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G026860.v4.1 MEGIRRAAVTYYENLPEEKKRYARFIFDEMDENRDGQIDLDEYVEYLKKDNNTVFTDPSMFRALDKDGDGSLDFEETITLYYIMESGRALFCKTCNTFLAEVYFSCFQCFCLDESASTYDLCCDCYGGKKFTDHHDDAIFCDNYTLLGKSRSLALEAPVQKRREVLEKIETIVQVAGLVVGCAAIASSCGCSIM >Potri.019G030300.2.v4.1 pep chromosome:Pop_tri_v4:19:4258242:4262460:1 gene:Potri.019G030300.v4.1 transcript:Potri.019G030300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030300.v4.1 MAYIPPHKRYSKDVRRASPIPETLHRQFKRNMNLRASTSHLDKSGKIVYADRCISKWFAVGLDDDDNFPPHIHLEPISLEYVERKSGEKPLVLVNSVVTEEDSKLERNCSRSPWEIIAEEVQQELLSSFEILRNEMDDQGSERVKPTLVARLGKFLFHGSRSMALESVDKIQVEEAILRQLRRSLYTNIPSSYMENIIDGVVPVIGVDFEEEKDVYHVKLSDNTRPDATISCKCSVLENKKLLLYKVELNPVRQMVIDVSCLDKNLDLRLMLSTKRILTTLTDDEMNSIRDLINSAVLDSDMKGGLRWPLGKASSGGRYSVTGAWHTVTKAYKSSSFRLKVRDADRFDFRSGAGEAAREIYLKLKRIVSEIQEPGAESDSISKMLEDSLRLIWDKFLCCERFLT >Potri.004G075100.4.v4.1 pep chromosome:Pop_tri_v4:4:6244704:6252156:-1 gene:Potri.004G075100.v4.1 transcript:Potri.004G075100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075100.v4.1 MTFKQNFKLPLTKKTKILLLTATTGTAALTLYTNTTTTDSFTNKITSPIHAVPRSSRAISTIALTIADYKLSLRNLPVNSDSYYQKLSEVHLRSAKRILKLCEENKGFYVKAGQFVASLKQVPQEYSLMLSSLQDQAVPCSFKDIKQVLQSNLGRDLKNIFLSFDEQPVAAASIAQVHHAILKDHQEVAVKVQYPGLESQMKIDITTMSFLSKSVAWLFPDYRFNWLVTEFREVISSELDFIQEARNSEKTGKNFKNNKFVRIPRVFWELTTCQVLTMQFCWGHKVDDVEFMKETRINPIKVAKALVEIFAEMIFVHGFVHGDPHPGNILVSPEGPNGFTLVILDHGIYKQLDEGFRQDYCQLWKAMILQDTHKIQQLGKGLGVGKYAKYLPVIFLGRTMNSKAILGKGMSDEEKSSLKQELNYLKVDDLFSFMESLPPDFLTILRTDGLLRSVIRKLGAPQNVRLLSYVKYAIRGLSPKLSPESDSSMKVTFSRLRTNASYLQLRLFLAGLQLLFWMEKVKQFLCTLYRKLLLKCLPVSCFYCIIGGRGQPVLRSEAVLDRHNDSLDMTCQVRAKAMINNRGCTNGSNETSSMQINHNRECFLVGQRSILCLLR >Potri.004G075100.1.v4.1 pep chromosome:Pop_tri_v4:4:6245734:6252169:-1 gene:Potri.004G075100.v4.1 transcript:Potri.004G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075100.v4.1 MTFKQNFKLPLTKKTKILLLTATTGTAALTLYTNTTTTDSFTNKITSPIHAVPRSSRAISTIALTIADYKLSLRNLPVNSDSYYQKLSEVHLRSAKRILKLCEENKGFYVKAGQFVASLKQVPQEYSLMLSSLQDQAVPCSFKDIKQVLQSNLGRDLKNIFLSFDEQPVAAASIAQVHHAILKDHQEVAVKVQYPGLESQMKIDITTMSFLSKSVAWLFPDYRFNWLVTEFREVISSELDFIQEARNSEKTGKNFKNNKFVRIPRVFWELTTCQVLTMQFCWGHKVDDVEFMKETRINPIKVAKALVEIFAEMIFVHGFVHGDPHPGNILVSPEGPNGFTLVILDHGIYKQLDEGFRQDYCQLWKAMILQDTHKIQQLGKGLGVGKYAKYLPVIFLGRTMNSKAILGKGMSDEEKSSLKQELNYLKVDDLFSFMESLPPDFLTILRTDGLLRSVIRKLGAPQNVRLLSYVKYAIRGLSPKLSPESDSSMKVTFSRLRTNASYLQLRLFLAGLQLLFWMEKVKQFLCTLYRKLLLKCLPGKHDNCPI >Potri.004G075100.5.v4.1 pep chromosome:Pop_tri_v4:4:6244704:6252156:-1 gene:Potri.004G075100.v4.1 transcript:Potri.004G075100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075100.v4.1 MLSSLQDQAVPCSFKDIKQVLQSNLGRDLKNIFLSFDEQPVAAASIAQVHHAILKDHQEVAVKVQYPGLESQMKIDITTMSFLSKSVAWLFPDYRFNWLVTEFREVISSELDFIQEARNSEKTGKNFKNNKFVRIPRVFWELTTCQVLTMQFCWGHKVDDVEFMKETRINPIKVAKALVEIFAEMIFVHGFVHGDPHPGNILVSPEGPNGFTLVILDHGIYKQLDEGFRQDYCQLWKAMILQDTHKIQQLGKGLGVGKYAKYLPVIFLGRTMNSKAILGKGMSDEEKSSLKQELNYLKVDDLFSFMESLPPDFLTILRTDGLLRSVIRKLGAPQNVRLLSYVKYAIRGLSPKLSPESDSSMKVTFSRLRTNASYLQLRLFLAGLQLLFWMEKVKQFLCTLYRKLLLKCLPVSCFYCIIGGRGQPVLRSEAVLDRHNDSLDMTCQVRAKAMINNRGCTNGSNETSSMQINHNRECFLVGQRSILCLLR >Potri.004G075100.7.v4.1 pep chromosome:Pop_tri_v4:4:6245911:6250713:-1 gene:Potri.004G075100.v4.1 transcript:Potri.004G075100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075100.v4.1 MWNIPFQTFFLNVQYPGLESQMKIDITTMSFLSKSVAWLFPDYRFNWLVTEFREVISSELDFIQEARNSEKTGKNFKNNKFVRIPRVFWELTTCQVLTMQFCWGHKVDDVEFMKETRINPIKVAKALVEIFAEMIFVHGFVHGDPHPGNILVSPEGPNGFTLVILDHGIYKQLDEGFRQDYCQLWKAMILQDTHKIQQLGKGLGVGKYAKYLPVIFLGRTMNSKAILGKGMSDEEKSSLKQELNYLKVDDLFSFMESLPPDFLTILRTDGLLRSVIRKLGAPQNVRLLSYVKYAIRGLSPKLSPESDSSMKVTFSRLRTNASYLQLRLFLAGLQLLFWMEKVKQFLCTLYRKLLLKCLPGKHDNCPI >Potri.004G075100.6.v4.1 pep chromosome:Pop_tri_v4:4:6245734:6252157:-1 gene:Potri.004G075100.v4.1 transcript:Potri.004G075100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075100.v4.1 MLSSLQDQAVPCSFKDIKQVLQSNLGRDLKNIFLSFDEQPVAAASIAQVHHAILKDHQEVAVKVQYPGLESQMKIDITTMSFLSKSVAWLFPDYRFNWLVTEFREVISSELDFIQEARNSEKTGKNFKNNKFVRIPRVFWELTTCQVLTMQFCWGHKVDDVEFMKETRINPIKVAKALVEIFAEMIFVHGFVHGDPHPGNILVSPEGPNGFTLVILDHGIYKQLDEGFRQDYCQLWKAMILQDTHKIQQLGKGLGVGKYAKYLPVIFLGRTMNSKAILGKGMSDEEKSSLKQELNYLKVDDLFSFMESLPPDFLTILRTDGLLRSVIRKLGAPQNVRLLSYVKYAIRGLSPKLSPESDSSMKVTFSRLRTNASYLQLRLFLAGLQLLFWMEKVKQFLCTLYRKLLLKCLPGKHDNCPI >Potri.013G021800.1.v4.1 pep chromosome:Pop_tri_v4:13:1413988:1414470:1 gene:Potri.013G021800.v4.1 transcript:Potri.013G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021800.v4.1 MEEEQFVRFKKMKKQEEVGPVRLGPKTFVSAVEMFDFFYNFLHFWPPNLNVNKFEHMVLVELLMQDHLEPEKKIGCGIQAFQLRFRPMWKTRCIFLIWDDEFVDDFSFWKRTDNILPLPEDMRIKSDASLSSGGGKSRKRKGGGVRIGEHNHGRGGKSRN >Potri.006G250200.1.v4.1 pep chromosome:Pop_tri_v4:6:24955027:24958061:-1 gene:Potri.006G250200.v4.1 transcript:Potri.006G250200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250200.v4.1 MAKGIADDNTRRIAPRSNLTYVLNGKLKHLISLTAKDPQVYIYGAASLSSLSFQLSSRFLFKPLPSLAHINCERKKEMALKLTIEQEFSQLSERVKSLDLHPTRPWILTSLYSGTVCIWNYQTQTMEKSFKVTESPVRSAKFIARKQWIVTGSDDRFIRVYNYETTELVKEFEAHSDYIRGVLVHPTLPCVLSSSDDILIKMWNWEKGWECAQTFEGHSHYVMQVVFDPKDTSIFASASLDATVKIWNLNSPTPVATLNGHSKGVNCIDFFMRGDKLYLLTGSDDFTAKVWHYETKSCVHTLEGHTHNITSCCVHPRLPIIITTSEDNTIRLWDATTYRLENTLDYGLQRVWAVGCKQESCQVAFGCDNGTTMLKVAVADAGTTQ >Potri.001G196500.1.v4.1 pep chromosome:Pop_tri_v4:1:18976083:18979479:-1 gene:Potri.001G196500.v4.1 transcript:Potri.001G196500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196500.v4.1 MSMDYDYPLQERQYGDNVISFNGRDEDNRQQQRQEEGYYEEEEEQQQQEGEIGSGGIERNQSMNHHYHHHNRDSSSAGKLFVGGVSWETTEETFTNYFSKYGEVMDSVIMTDRHSGRPRGFGFVTFADPVVADRVLEEDHVIDGRAVEVKRTVPREDMEVRVTRTKKIFVGGIPPSLTEDELKEYFSVYGSIVDHQIMLDHKTGRSRGFGFVTFDSEDAVERIFSEGRTHELGGKQVEIKKAEPKRTGGDHGNATKSYAGFRNGAGGFGAGNSSAGRYGRKMGREYGGYSGYDGYSGYGSYGGSYPPGSTAGFYGGYGAYGYGFGFGGPMMYGGGVYGGSGYGTPSAYNNAAEYGGDKGYGRTGDGDSFGSGKRYGNGDAVSGVYGSTKGYGGSANGGAAVTGRFHPYRK >Potri.001G226900.1.v4.1 pep chromosome:Pop_tri_v4:1:24561637:24565339:1 gene:Potri.001G226900.v4.1 transcript:Potri.001G226900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G226900.v4.1 MALVYKLQAFSSFSVSAQNYNKVTSLITNPICSLHYKSSPFTEKHSIERYQRDRWLYQSQVELSQCQSSSCSLPSDSESIREDDIALQLPELKKLLEVLKRKRESCCGNDGDGEKCGPGNVFLVGTGPGDPELLTVKAVKVVQKADILLYDRLVSNDVLELVGPDARLLYVGKTAGYHSRTQEEIHELLLSFAEAGATVVRLKGGDPLVFGRGGEEMDFLQQQGIQVKVIPGITSASGIAAELGIPLTHRGVANSVRFLTGHSRNGGTDPLFVAESSADPDSTLVVYMGLSTLPALALKLMQHGLPANTPAAAVERGTTPQQRMVFAELNDLADKVAREELVSPTLIIIGKVVALSPLWPLSSKEASCLMEAV >Potri.009G037300.1.v4.1 pep chromosome:Pop_tri_v4:9:4649640:4651709:1 gene:Potri.009G037300.v4.1 transcript:Potri.009G037300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037300.v4.1 MADICCGVARENEASSTPCEPTSRAARRRRMEIRRFKFVPGVASTETEADDIGAHKKQKLQLNESVSSPFSRDCQNAVENFFSDHRSTDEKKLLENGKSSELKISRQYSLNLTLSPSILSTLSIDPPELFPKFGVASVCGRRRDMEDAVAIHPSFCRKDHETTTELHYFGVYDGHGCSHVAVKCKERMHELVKEEVESKEEWKSAMERSFRRMDKEVIAWNQGMEIRANCRCEMQTPECDAVGSTAVVAVVTPDKIIVANCGDSRAVLCRNGKPLPLSSDHKPDRPDELNRIQNAGGRVIYWDGPRILGVLAMSRAIGDNYLKPYVSCEPEVTIMDRTAEDDCLILASDGLWDVVSNETACGVARMCLRAKEHAPPPCPPRLVENNEVLGITTSSSSSGSGEMSDKACSDASMLLTKLALARHSTDNVSVVVVDLRKDT >Potri.005G178100.3.v4.1 pep chromosome:Pop_tri_v4:5:18408452:18412514:1 gene:Potri.005G178100.v4.1 transcript:Potri.005G178100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178100.v4.1 MEKRKWLWKRKSSERSSGETDSSGSITSHSERFSDDQQDPSKASTTDSAQSPEVTSKTVTRDEDVNDSDKSLTEKLSAALVNVSAKDDLVKQHAKVAEEAVAGWEKAENEVMALKKQIEVANQQKSALEDRVSHLDGALKDCVRQLRQAREEQEEKLHEAVVQKSLEWESIKSELENQFIELKTKEAAANSESPALIVDELCQKLEYLEQENATLKVELLSQSEELEARTVERDLSTQAAETASKQHLESIKKVVRLEAECRRLKAMACKSSSVNDHKTSAASSVYVESFTDSQSDSGEKLNAVVLDARKVSCSGPYKSEQICSDSWASALISEVDQFKNEKSINRNLPASPVEIDLMDDFLEMERLAALPENEAGTDNSRAEDAAKQSIDAESSLRAEREFIIKRSAELEEKLQKMEEEKFVLEEKLRKMEGETFVLEEKLEEIKAERDELEMALTESQDKNEASQLQLREAQQKLVELQEELSMANESKQQIESRLVSMEVEARTMSAKVNSLEGEIEKERVLSTGIAAKYQELEENLSRKKQEEELQQTVSSSVEQQIKQQDLDVAAKKHAECQETIASLGKQLKSLATLEDFLIDTASIPEFSAGGSAIPKVMENLGSDTPMKRFHLREIPVL >Potri.005G178100.2.v4.1 pep chromosome:Pop_tri_v4:5:18408447:18412573:1 gene:Potri.005G178100.v4.1 transcript:Potri.005G178100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178100.v4.1 MEKRKWLWKRKSSERSSGETDSSGSITSHSERFSDDQQDPSKASTTDSAQSPEVTSKTVTRDEDVNDSDKSLTEKLSAALVNVSAKDDLVKQHAKVAEEAVAGWEKAENEVMALKKQIEVANQQKSALEDRVSHLDGALKDCVRQLRQAREEQEEKLHEAVVQKSLEWESIKSELENQFIELKTKEAAANSESPALIVDELCQKLEYLEQENATLKVELLSQSEELEARTVERDLSTQAAETASKQHLESIKKVVRLEAECRRLKAMACKSSSVNDHKTSAASSVYVESFTDSQSDSGEKLNAVVLDARKVSCSGPYKSEQICSDSWASALISEVDQFKNEKSINRNLPASPVEIDLMDDFLEMERLAALPENEAGTDNSRAEDAAKQSIDAESSLRAEREFIIKRSAELEEKLQKMEEEKFVLEEKLRKMEGETFVLEEKLEEIKAERDELEMALTESQDKNEASQLQLREAQQKLVELQEELSMANESKQQIESRLVSMEVEARTMSAKVNSLEGEIEKERVLSTGIAAKYQELEENLSRKKQEEELQQTVSSSVEQQIKQDLDVAAKKHAECQETIASLGKQLKSLATLEDFLIDTASIPEFSAGGSAIPKVMENLGSDTPMKRFHLREIPVL >Potri.005G178100.1.v4.1 pep chromosome:Pop_tri_v4:5:18408289:18412576:1 gene:Potri.005G178100.v4.1 transcript:Potri.005G178100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G178100.v4.1 MEKRKWLWKRKSSERSSGETDSSGSITSHSERFSDDQDPSKASTTDSAQSPEVTSKTVTRDEDVNDSDKSLTEKLSAALVNVSAKDDLVKQHAKVAEEAVAGWEKAENEVMALKKQIEVANQQKSALEDRVSHLDGALKDCVRQLRQAREEQEEKLHEAVVQKSLEWESIKSELENQFIELKTKEAAANSESPALIVDELCQKLEYLEQENATLKVELLSQSEELEARTVERDLSTQAAETASKQHLESIKKVVRLEAECRRLKAMACKSSSVNDHKTSAASSVYVESFTDSQSDSGEKLNAVVLDARKVSCSGPYKSEQICSDSWASALISEVDQFKNEKSINRNLPASPVEIDLMDDFLEMERLAALPENEAGTDNSRAEDAAKQSIDAESSLRAEREFIIKRSAELEEKLQKMEEEKFVLEEKLRKMEGETFVLEEKLEEIKAERDELEMALTESQDKNEASQLQLREAQQKLVELQEELSMANESKQQIESRLVSMEVEARTMSAKVNSLEGEIEKERVLSTGIAAKYQELEENLSRKKQEEELQQTVSSSVEQQIKQDLDVAAKKHAECQETIASLGKQLKSLATLEDFLIDTASIPEFSAGGSAIPKVMENLGSDTPMKRFHLREIPVL >Potri.019G039600.1.v4.1 pep chromosome:Pop_tri_v4:19:5469136:5478480:1 gene:Potri.019G039600.v4.1 transcript:Potri.019G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039600.v4.1 MGVADMQSNGNGNEGFSFRSCVFRRKQVDSHRVSSHSGGQTQQLAKILSVVDIIAIGVGATIGAGVYILVGTVAREQTGPALTVSFFIAGIAAALSAFCYAELVCRCPSAGSAYHYTYICIGEGAAWLVGWALILEYTIGGSAIARGLTPNLALFFGGQDNLPSYLARHSIPGLGIVVDPCAAVLILVVTLLLCIGIKESSFAQTVVTTVNVFGLLFIIIVGGYLAFKTEWIGYELPSGYFPFGVNGMLAGSAVVFFSFIGFDVVASTAEEVKNPQRDLPLGIGVALSICCILYMLVSVVIVGLVPYYALDPDTPISSAFASHGMQWAVYIITTGAVTALCASLMGSLLPQPRMFMAMARDGLLPSFFSDISERTQVPVKSTVIIGILAAALAFVMDVSQLAGMVSVGTLLAFTSVAVSVLILRYVPPNEVPLHPSLQQLIDSPSLQFNSDSQDIAYQNPKGSLSNYDLSQHLLDNIGTSIGCTLLPKHMAQGEQNEQKRRKIAAWNIALVCVGVFVLASAASVENIPSILRFTLCTVGGAIFLCSLIVLACLAQDNARHSFGHSGGFVCPFVPFLPVACILVNTYLLVNLGAGTWFRVSIWLLIGALVYLFYGRTHSSLKNAVYVPTAHAEEIYRTSSDLLA >Potri.015G131500.2.v4.1 pep chromosome:Pop_tri_v4:15:14151640:14152084:1 gene:Potri.015G131500.v4.1 transcript:Potri.015G131500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131500.v4.1 MDSQDNLTRTLCAKGCGFFGSPENKNLCSKCYKDYLKEENQAVVSDETASTASTTASTSTVLKNRCECCNKKVGLMGFKCRCGKTFCGVHRYAKVHSCTFDFKTYDRQNLAKQNPLVAGDKLHTRI >Potri.015G040100.2.v4.1 pep chromosome:Pop_tri_v4:15:3554060:3565152:-1 gene:Potri.015G040100.v4.1 transcript:Potri.015G040100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040100.v4.1 MKNFLKKLHIMPNQSEDAEGSNSSRGHKSTNGSSPDNKSLHSRSQENKPFSGLSNWLSSVANRKSPSPPSSSNVTRGEKVEQPESISSSGFDVVSEAARRDSGSTTSRDPDIEEEYQIQLALELSASEDPEAVQIEAVKQISLGSCAPENTPAEVIAYRYWNYNALSYDDKVLDGFYDLYGIMTESTTDRMPPLVDLQGTPVSDGVTWEAVLVNRAADASLLKLEQKALEMTVKSRSECQIFIGSALVGRLAVLVSDYMGGSVGDPSNLSRAWRSLSYSLKATLGSMVLPLGSLTIGLPRHRALMFKVLADSVGIPCRLVKGHLYTGSDDVAMNFVKLDDGREYIVDLTADPGTLIPSDAAGSHIEYDETFFSSSPLSRDIDSSHIASSSSGHTSSFEEHSELGTLEKQSRLRNIAAVGNQSDGRSESHEGASLTRPSKSGEESTMSSDDFGKTSNAEKVPVRELPGRPIYPYAHARSPSWTEGVSSPAARRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYAEQLDLSTAETKSPTVDKVDHKQRTEIRSVKDQDDLVPARFLPPLPPHRLPYKASSPGNPPDQSKPVEGSGVNHPFDTREITGLPIPLQSEVTPVKYVKKVPVAAAAAAAAAVVASSMVVAAAKSGTDSNLELPVAAAATATAAAVVATTAAVNKQYEQGARSDGDADSAGYEPRGSGDKGSGGRSSEGHGSGGQECDALGANSEGERISDRSVGNDSSKSDAAMDDVAECEIPWDEISLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQDITGESLAEFRSEVRIMKRVRHPNVVLFMGAVTRAPNLSIVTEFLPRGSLYRLLHRPNNQLDERRRLRMAFDAARGMNYLHNCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRMKHSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELSTLQQPWGGMNPMQVVGAVGFQHRRLDIPNDMDPTIADIIRNCWKTDPKLRPTFAEIMAALKPLQKPITGPQVPRPNASLRSGREKVQLFQEAEDQAG >Potri.001G465500.3.v4.1 pep chromosome:Pop_tri_v4:1:49069795:49076731:-1 gene:Potri.001G465500.v4.1 transcript:Potri.001G465500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465500.v4.1 MEIGVKKTVFSPKAIIHQKFGNKACYKVEEVKEESAQNGCPGLAIPQKGPFLFRCRLELPEFTVVSDICRKKKDAEQSAADLALKKLGNNPADENPSEKDPCDALIDRIKYLFTDEFLSSLHPLSGHLRAALQRKGGLYGLIPASVIAACDTKTSNLCKLLNTEVESKPFLALSSIMRAIPRLSGSVVTSKGQLSIQKQNPYPTEIIESSDIQQSGSPENILVKAIQIPASLDETIQPVTLDISSSGYYLDVIAQKLGVTDASKVLLSRIIGKASSETRLYFAASESLVMELLSDHANLKDFHVEGLLNARANYFCGQEIYGDAIMASVGYTWRSKELFHEDVSLQSYYRMLISKIPSGNYKLSREAIFAAELPSVFTTKTNWRGSFPREILCTFCRQHQLSEPIFSTTSIPLKASCKLPRSQKKLKVTEAAELATEYTNGGSLNADDGETVGLESSFRCKVKVFSKGQDLIIECSPKEIYKKQTDAIQSASLKVLSWLNAYFKDLGMPLEKLKCSADALDISLSSENFLKEFALCQSLHNVQQSRCQGSKLPESKSTNMEYTLSGQDVCLPNIEGSYSGVCPSNGSLLCISYTVSLVTEGGHTKELIESKDEFEFEIGNGTVVSTLEGVVTQMSVGQCAHFNMNLPPQEFILAAVDDPARILSLLSSVCFLEYHVTLLRVTEPPEERMEQALFSPPLSKQRVEYAVQHIKKSSATTLVDFGCGSGSLLDSLLDYPTSLEKIVGADLSKKSLSRAAKILHTKLSAKSDTGIKSAILYDGSITEFDSRLCGFDIGTCLEVIEHMEEEQACLFGDIALSYFRPKVLIVSTPNYEYNVILQGSSPTTQEEDPDEKSQSQSCKFRNHDHKFEWTREQFNHWASDLAKRHHYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLPTQENSSEHCKVIWEWNGDDRSAPSSTRN >Potri.001G465500.1.v4.1 pep chromosome:Pop_tri_v4:1:49069745:49076731:-1 gene:Potri.001G465500.v4.1 transcript:Potri.001G465500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465500.v4.1 MEIGVKKTVFSPKAIIHQKFGNKACYKVEEVKEESAQNGCPGLAIPQKGPFLFRCRLELPEFTVVSDICRKKKDAEQSAADLALKKLGNNPADENPSEKDPCDALIDRIKYLFTDEFLSSLHPLSGHLRAALQRKGGLYGLIPASVIAACDTKTSNLCKLLNTEVESKPFLALSSIMRAIPRLSGSVVTSKGQLSIQKQNPYPTEIIESSDIQQSGSPENILVKAIQIPASLDETIQPVTLDISSSGYYLDVIAQKLGVTDASKVLLSRIIGKASSETRLYFAASESLVMELLSDHANLKDFHVEGLLNARANYFCGQEIYGDAIMASVGYTWRSKELFHEDVSLQSYYRMLISKIPSGNYKLSREAIFAAELPSVFTTKTNWRGSFPREILCTFCRQHQLSEPIFSTTSIPLKASCKLPRSQKKLKVTEAAELATEYTNGGSLNADDGETVGLESSFRCKVKVFSKGQDLIIECSPKEIYKKQTDAIQSASLKVLSWLNAYFKDLGMPLEKLKCSADALDISLSSENFLKEFALCQSLHNVQQSRCQGSKLPESKSTNMEYTLSGQDVCLPNIEGSYSGVCPSNGSLLCISYTVSLVTEGGHTKELIESKDEFEFEIGNGTVVSTLEGVVTQMSVGQCAHFNMNLPPQEFILAAVDDPARILSLLSSEVCFLEYHVTLLRVTEPPEERMEQALFSPPLSKQRVEYAVQHIKKSSATTLVDFGCGSGSLLDSLLDYPTSLEKIVGADLSKKSLSRAAKILHTKLSAKSDTGIKSAILYDGSITEFDSRLCGFDIGTCLEVIEHMEEEQACLFGDIALSYFRPKVLIVSTPNYEYNVILQGSSPTTQEEDPDEKSQSQSCKFRNHDHKFEWTREQFNHWASDLAKRHHYSVEFSGVGGSGDVEPGFASQIAVFKQESLLDEDDLPTQENSSEHCKVIWEWNGDDRSAPSSTRN >Potri.005G144750.1.v4.1 pep chromosome:Pop_tri_v4:5:11716271:11716750:-1 gene:Potri.005G144750.v4.1 transcript:Potri.005G144750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144750.v4.1 MPATTSFPSPLQVSASSAPATNHISQLHYHSSTASPPTSLPPVNNRVSCPLPIPVTTSFPFPLQVSASFAPATNHSSQLHYHSSSASLHLRLYHRSTTGPAGLSPH >Potri.005G136900.1.v4.1 pep chromosome:Pop_tri_v4:5:10660201:10665015:-1 gene:Potri.005G136900.v4.1 transcript:Potri.005G136900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136900.v4.1 MAEYICLLNKDTIVIKPAKKTPILLRMIVLMFAMVCGVYICSVCLKQTSIHSKIKFQDIQVVERLSPDDDHGNLQISSVHYPNPETFSRAECAHNPVRYFAILSMQRSGSGWFETLLNSHVNVSSNGEIFSVLDRRRNISSITRTLDKVYNLDWFTSASKNECSAAVGFKWMLNQGVMQHHKEISDYFNRRGVFAIFLFRRNLLRRMVSVLANSYDRHAKLLNGTHKSHVHSTEEAKTLAKYKPMINSTLLISDLKEVEITAAKALEYFNSTRHIVLYYEDLIKNPAKLKDVQAFLGLPLMELMSRQVKIHKGPLSDHVKNWEDVNKTLNGTAYESFLQADY >Potri.002G166200.1.v4.1 pep chromosome:Pop_tri_v4:2:12708789:12711996:-1 gene:Potri.002G166200.v4.1 transcript:Potri.002G166200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166200.v4.1 MGRAKNGPKFAKMKKMITSRAIKQHKEEVLNPKKRDLYLEKLPRNVPQVSSALFFSYNTALGPPYRVLVDTNFINFSIQNKLDLEKAMLDCLYAKCTPCITDCVMAELEKLGQKYRVALRIAKDPRFERLPCVHKGTYADDCIVERVTKHKCYVVATCDRDLKRRIRKIPGVPIMYITQHKYSIERLPEATVGGAPRY >Potri.010G075400.1.v4.1 pep chromosome:Pop_tri_v4:10:10334573:10335103:-1 gene:Potri.010G075400.v4.1 transcript:Potri.010G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075400.v4.1 MSSDTTCQGKSSWPELLGAEGKVAAATIERENPLVEAIIVLDGSEVSLDFRCDRVWVWVDERGIVIEVPRIG >Potri.001G102200.5.v4.1 pep chromosome:Pop_tri_v4:1:8253951:8257135:1 gene:Potri.001G102200.v4.1 transcript:Potri.001G102200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102200.v4.1 MRGFNGESRAANNTLETINAAATAIASAENRVPQATVQRRWGSCWSIYLCFGYQKHKKQIGHAVLFPEPSAPGNGAPASENPTQAPVVTLPFAAPPSSPASFFQSEPPSVTQSPAGLVSLTSISASMYSPSGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLDPSLRNGDTGLRFPFDFQSYQFHPGSPVGQLISPSSGISGSGTSSPFPDGEFAVGGAHFPEFRIGEPPKLLNLDKLSTCEWGSYQGSGALTPESVRRGSPNFLLHRQFSDVPSRPRSGNGHKNGQVVNHRVSFELTAEDASRCVEEKPAFSIKTVPEYVENGTQAKEEKNSGESIQSFECRVGVTSNDSPEMASTDGEAAPQHRKQQSITLGSVKEFNFDNADEGDSRKPSSSNWWANGSVIGKEGETTKNWSFFPMVQSGVS >Potri.001G102200.1.v4.1 pep chromosome:Pop_tri_v4:1:8253948:8257226:1 gene:Potri.001G102200.v4.1 transcript:Potri.001G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102200.v4.1 MRGFNGESRAANNTLETINAAATAIASAENRVPQATVQKRRWGSCWSIYLCFGYQKHKKQIGHAVLFPEPSAPGNGAPASENPTQAPVVTLPFAAPPSSPASFFQSEPPSVTQSPAGLVSLTSISASMYSPSGPASIFAIGPYAHETQLVSPPVFSTFTTEPSTAPFTPPPESVHLTTPSSPEVPFAQFLDPSLRNGDTGLRFPFDFQSYQFHPGSPVGQLISPSSGISGSGTSSPFPDGEFAVGGAHFPEFRIGEPPKLLNLDKLSTCEWGSYQGSGALTPESVRRGSPNFLLHRQFSDVPSRPRSGNGHKNGQVVNHRVSFELTAEDASRCVEEKPAFSIKTVPEYVENGTQAKEEKNSGESIQSFECRVGVTSNDSPEMASTDGEAAPQHRKQQSITLGSVKEFNFDNADEGDSRKPSSSNWWANGSVIGKEGETTKNWSFFPMVQSGVS >Potri.001G235100.1.v4.1 pep chromosome:Pop_tri_v4:1:25360397:25361011:-1 gene:Potri.001G235100.v4.1 transcript:Potri.001G235100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235100.v4.1 MSSSPTPAPGHEFERQSHRNPFVLVPVALVCIIILLWLIYCKILKRIWCAVVSVTFSTNQVDRRHLNEIFFEDPSMHFQCHGLEPSMTRALPIAQFEKKNKEESSPSNNECAVCLGEFQEGEWLKHLPNCCHVFHTACIDTWFQSHTNCPLCRSHVFNLSPCRDFSVSTHTLLDIPTREELSQEREANYLALRSQILQNLALGP >Potri.011G050500.1.v4.1 pep chromosome:Pop_tri_v4:11:4046860:4049135:1 gene:Potri.011G050500.v4.1 transcript:Potri.011G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050500.v4.1 MAVSILSNEVSDLCLGKPALSSLSASATVGDALSALKRSGDLFLSVWSCDHLHHCNSPISIQVDFEECKCVGKVCLVDVICFLSVEENLKNPGKALQEPVSVLLNSKVPGLVRHLEPHASLLEAIDAILGGALNLVIPLRNPFTRKKLVYKSAANSTLHNNREYCWLAQEDIIRYLLNSIGLFSPTPNHTIESLGLIYSESFFTVHYDDPASSALPLISQSLIKQTSVAILDTDGKLIGEISPFTLNFCDETVAAAIATLSAGELMAYIDCRDPPEDLLRLVKERLEERNLGPALDLIEEESGISSLSSYSSSSDEEFGMGRSGGVSGHSAGVRGTAQTTVCYPWSSLVAVMIQALSHRVSCTWVIEEDGTLLGVVTFAGMIKVLRERLKSMA >Potri.001G398000.2.v4.1 pep chromosome:Pop_tri_v4:1:42342730:42345561:-1 gene:Potri.001G398000.v4.1 transcript:Potri.001G398000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398000.v4.1 MEENHHQKTSSREYIFSFPSTPGTLDQDSDFEFGCLTPDSPSCDPNKNSPADHLFFNGRLLPHSFPVLRKQQPTTMLLIDNIYRATSRASSVSCKDSLMSSRSNSTNSSRSSVSSARTSSSDNSERRRLYNNITSTKTPLASKVVMAQLYGSSQRWQHIMPVPTAVLKREDSRRKSGGILVKEGLISNKKQVKKGKRERSGLWRRFFMSFLVACRECHAMEPSTRDDMLQENIKL >Potri.004G141900.1.v4.1 pep chromosome:Pop_tri_v4:4:16455017:16457898:-1 gene:Potri.004G141900.v4.1 transcript:Potri.004G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141900.v4.1 MISREKNYVMERAEEIQAYLGNKFLTCVKPMLPSHVSGGFWLGLPRKFYHLHLPNHDAIIILVDETEKEYQVVYIAERTGLSGGWRGFSIEHRLLEQDALVFQLIEPDKLKIYIVRANRLSETDGALGLMHLSHAKRTDFGKQRASRSARMLPLSLKMLAKIDICLSLGCLQFCPLNHSNKGQIDGSSAVVFSNLIYQRCFS >Potri.004G141900.2.v4.1 pep chromosome:Pop_tri_v4:4:16455017:16457898:-1 gene:Potri.004G141900.v4.1 transcript:Potri.004G141900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141900.v4.1 MISREKNYVMERAEEIQAYLGNKFLTCVKPMLPSHVSGGFWLGLPRKFYHLHLPNHDAIIILVDETEKEYQVVYIAERTGLSGGWRGFSIEHRLLEQDALVFQLIEPDKLKIYIVRANRLSETDGALGLMHLSHAKRTDFGQNVPEAFSDGSSPID >Potri.004G141900.3.v4.1 pep chromosome:Pop_tri_v4:4:16455017:16457898:-1 gene:Potri.004G141900.v4.1 transcript:Potri.004G141900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141900.v4.1 MISREKNYVMERAEEIQAYLGNKFLTCVKPMLPSHVSGGFWLGLPRKFYHLHLPNHDAIIILVDETEKEYQVVYIAERTGLSGGWRGFSIEHRLLEQDALVFQLIEPDKLKIYIVRANRLSETDGALGLMHLSHAKRTDFGQNVPEAFSDGSSPID >Potri.011G124156.1.v4.1 pep chromosome:Pop_tri_v4:11:15464618:15466057:-1 gene:Potri.011G124156.v4.1 transcript:Potri.011G124156.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124156.v4.1 MDVSIISRELIKPSSPSIHHLLPFKLSLLDQLLPTTYVPMVFFYPRNNNQDFKGLQISIQLKRSLSQTLSTFYPLSGRVRNNSIIDNYEKGAPFVETRVKGSLFDFLIQPQLNSLNKFLPCQPFGYQSDPEATPQVAIQVNTFDCGGTALGLCFSHKIIDVATAIAFLDSWAANTRGHYLEQINPALFEASSRFPPQNKFLVQFPLWVAENYLFKEGNVTKRFVFDADAIATLRAKAKSKRVPNPSRTETLTAFIWKSCTEACRSLCALPRPSVSLHAVNIRQRTKPSFSRYSIGNLWWRSLTACELADTKIELNDLVSLTRESFTNINDDLLNDFQGENGLQGITESLLKQLVGIGSRNPEIFLFSSWLNFDLNDVDFGWGKPIWVGLTGEVGRPSGWANATFFKQTGRNNEIEVWMTLNEKIMSVVERNPEFLQFSTPNPSIFMPHVSS >Potri.004G028100.1.v4.1 pep chromosome:Pop_tri_v4:4:2144798:2146858:-1 gene:Potri.004G028100.v4.1 transcript:Potri.004G028100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028100.v4.1 MCDFNLQEFQIPAANNLEDESIDFSLLRLDPSTNNHHHSTPCTTCGCSASSSANKRRSPDHNPQDQLTKKPRKLFVEPHETTTTNDNNNVPNSVSGFSKILQPICSTDPVLRRCLSDPFAPPNVANLVAQSPPGSSKKGSASLPPKPPLRRSVSDLSPNKSLSRSSSSNGSSSFKWLKKMRDSMKEINQWWDEIMPDTDDFFAEPCDFEEEAEKLGPEDNPTDATEKSDSMKDYEESVCVEKSGDCFIVHFKCPCGKRYQILLSGGNCYYKIM >Potri.010G059401.3.v4.1 pep chromosome:Pop_tri_v4:10:8948700:8951154:-1 gene:Potri.010G059401.v4.1 transcript:Potri.010G059401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059401.v4.1 MPWLAIPFSDTETRKRLKEVFKVRGIPNLVIFDTNGKVSCDNGVSTVKEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPVLDLEGKLVGLYFSIHAHRMCREFTPKLVELYKRLKEKGENFEVVLISLNSEEKHFKESFETMPWLALPFKDKSCEKLARYFELRTIPNLVIIGQDGKTLNPNVAELIEDHGIEAYPFTPEKLEELAEIEKAKLESQTLESVLVNGENDFVIDKSGSKVRVSDLVGKNILLYFSAQWCPPCRAFLPKLIEAYHTIKAKDNAFEVIFISSDRDQSTFDEFYSEMPWLALPFGDERKQILSRKFKIQGIPAAVAIGPSGRTITKEARMHLTSYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRKVYICNGCRGTGHSWSFYCKQCDFDLHPKCALKEDEDTGSEKGKEGRICHGDVCRRA >Potri.010G059401.2.v4.1 pep chromosome:Pop_tri_v4:10:8948338:8951249:-1 gene:Potri.010G059401.v4.1 transcript:Potri.010G059401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059401.v4.1 MATEDVSLDLSKLLSSEERDFLIRNNGDQVKVSNLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEQLSSKGDFEVVFISSDRDDESFNTYFSEMPWLAIPFSDTETRKRLKEVFKVRGIPNLVIFDTNGKVSCDNGVSTVKEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPVLDLEGKLVGLYFSIHAHRMCREFTPKLVELYKRLKEKGENFEVVLISLNSEEKHFKESFETMPWLALPFKDKSCEKLARYFELRTIPNLVIIGQDGKTLNPNVAELIEDHGIEAYPFTPEKLEELAEIEKAKLESQTLESVLVNGENDFVIDKSGSKVRVSDLVGKNILLYFSAQWCPPCRAFLPKLIEAYHTIKAKDNAFEVIFISSDRDQSTFDEFYSEMPWLALPFGDERKQILSRKFKIQGIPAAVAIGPSGRTITKEARMHLTSYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRKVYICNGCRGTGHSWSFYCKQCDFDLHPKCALKEDEDTGSEKGKEGRICHGDVCRRA >Potri.018G087700.1.v4.1 pep chromosome:Pop_tri_v4:18:10545874:10552455:-1 gene:Potri.018G087700.v4.1 transcript:Potri.018G087700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087700.v4.1 MSEAMDSVLFSLSKAFCSPLAVFVQIQGCVICLTLAFGWACAAYVRNREIRRIKDSMRAGNSLAFLCQDINELEHSYQANLPRVSVVMPLKGFGEHNLHNWRSQVISLYGGPLEFLFVVESTEDPAYHAVSRLISDIKDNIDARVVVAGLSTTCSQKIHNQLIGVEQMHKDSKYVLFLDDDIRLHPGSIGALTAEMEKNPEIFIQTGYPLDLPSGSLGSYCIYEYHMPCSMGFATGGKTFFLWGGCMMMHADDFRYDCCGVVSGLRDGGYSDDMTLAAVAGAHKKLITSPPVAVFPHPLSSDLSFSRYWNYLRKQTFVLESYMFKVNWIMNRALFSSHCYLSWGFVMPYLMALTHVAAALRIYIQGYAREETTFVSNGLLLVSCLAACTFIELFSMWNLTRIEVQLCNILSPEAPRLSLATYNWVLVFIAMLVDNFLYPISAFRSHFSQSINWSGVRYYLKDGKINKIERSKDKGPKYTDLAWKHLYGKKAAPPKPSFLGGLLRSLEQWQQPKKFDV >Potri.017G063800.1.v4.1 pep chromosome:Pop_tri_v4:17:5389209:5400657:-1 gene:Potri.017G063800.v4.1 transcript:Potri.017G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G063800.v4.1 MYVVPPPKGSSDTGSSDLRVYQTWKGSNIFFLQGRFIFGPDVRSLALTTLLIVVPVAVFCIFVARKLMDDFSDDWGISIMVIAVVFTIYDLVLLLLTSGRDPGIIPRNAYPPEPDGFYGSADVGSGQTPQLRLPRIKEVEFNGMTVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFSTTLLCIYVFSFCWVYIRKIMGSENSLIWKAMIKTPASIVLIVYTFISMWFVGGLTAFHLYLICTNQTTYENFRYRYDRHANPFYKGVVENLKEIFCSSIPPSKNNFRAKVPGEPALPARTLGRGFMSPNMGKAVGDMEMGRKTVWGDMNAMTDGEGQLADNDRLNIKDGELDELSLDIRTTVDEVGDRGGIHPRRSSWGRKSGSWEMSPEVLALAARVGESNRMGGGSSSGSLTTENQQS >Potri.014G146500.1.v4.1 pep chromosome:Pop_tri_v4:14:10041121:10043365:-1 gene:Potri.014G146500.v4.1 transcript:Potri.014G146500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146500.v4.1 MIVCIGGGDGERDASPPQPQSIRNAGISLADVLVPRGSPSSTHVVIVMDALRGFSWEPFLWALDHVTGTGYTITLLGVMPWIPLALSLKTWLDVWTFDLGDWSALKGRGEWKNDQKSQKIRGIIQLCDQKGVVPCMKVAMGHPLKLVVLEQTTSLHATFVVIDRHLKKNKAFYADRLPSNAVIMNSDGGVDMLKIRSTDLSCSTPEQSPATLNIPLPPQIMISEELSVLLRSRIHQQAADNDHQKDN >Potri.006G021200.4.v4.1 pep chromosome:Pop_tri_v4:6:1431438:1436468:1 gene:Potri.006G021200.v4.1 transcript:Potri.006G021200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021200.v4.1 MEGETQVSSEVPVVKGDPDVADLIKLTNGDLTHVEKEGRKEEDETDGEFIKVEKESLDVKDGGSHTAEVKSAGEADKPSVVERSLSGSTRELLEAQEKLKELELELERVSAALKHSESENTLLKDDVLLANEKLDESGKKYGELEISHKKLQEQIIEAEEKFSAQLHTLQEALQAKETKHKELVEVKESFDGITLELENSRKKMQELEHELEVSSGEAKKFEELHKESGLHAESETQRALEFERLLEAAKLSAKEMENQMATLQEEVKGLYEKVAGNLKVEGALKSTTAELSAANEELAASKSQQLDIEQRLSSKEALIGELTQELDLKKASESQVKEDFLALENLLTATKEDLQAKVSEMEGMKLRLQEEINTRESVEAGLKTHEAQVATVQEELAKVLKEKEALEAAMADLTSNAAQMKELCGELEEKLKTSDENFCKADSLLSQALSNSAELEQKLKFLEDLHSESGAAAATASQKNLELEDLIRASNEAAEEAKSQLRELEIRFVAAEKKNVELEQQLNLVELKSSDAERQVREFSEKISELSTTLKEVEGEKNQLSAQMEEYQEKISHLESSLNQSSSRNSELEEELKIAKEKCAGHEDRAKMHYQRSLELEDLFQTSHSRLEDAGKKASEFVLLLEAEKYRIKELEEQNSAFEKKCVDAEADSRKYLDKISELASEIEAYQAKSSSLEVSLQMAGEKETELTELLNLVTDEKKRLEEASSSSNEKLSEAENLVGVLRNELIVMQEKLESIENDLKAAGLKESDIMVKLKSAEEQLEQQEKLLEEATSRKSELESLHEALTRDSEIKLQEALTNFTNRDSEAKSLFEKLNTLEDQVKEYKEQITEVTGRSALLKEELDLCLLKMVALETSNEELKSQIVEAETKFSNSFSENELLVETNNQLKSKIDELQELLNSAVSEKEATSQQLASHASTITELTDKHSRAIELHSATESRMMHAETQLQEAIQSLTLKDVETRDLNEKLKALEGQVKLYEEQAHEASTISESRKGELEETLLKVTHLETVLEELKTKSGHFEKESGVLAEDNLKLTQELASYESKLRDLEAKLSTILSEKDGTIEQLHISKKAFEDLRQQLTDEGQKLQSQISSVLEESNLLNETYQHEKKELQSVIIQLEEELKGQKANEDAMKSEIESLKAEVAEKSALQTSLEELEKQLTTAAVELKEQKEANSQKLEKEAALKKSFADLEAKNKEVSHLENQVKELEQKLQEADAKLLEKGDGSSPAEQKGVEIKSRDISAAISTPTKRKSKKKLEAASAQASSSSETHTQTADVSPAMNFKFILGVALVSIIIGVILGKRY >Potri.006G021200.1.v4.1 pep chromosome:Pop_tri_v4:6:1428147:1436469:1 gene:Potri.006G021200.v4.1 transcript:Potri.006G021200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021200.v4.1 MEGETQVSSEVPVVKGDPDVADLIKLTNGDLTHVEKEGRKEEDETDGEFIKVEKESLDVKDGGSHTAEVKSAGEADKPSVVERSLSGSTRELLEAQEKLKELELELERVSAALKHSESENTLLKDDVLLANEKLDESGKKYGELEISHKKLQEQIIEAEEKFSAQLHTLQEALQAKETKHKELVEVKESFDGITLELENSRKKMQELEHELEVSSGEAKKFEELHKESGLHAESETQRALEFERLLEAAKLSAKEMENQMATLQEEVKGLYEKVAGNLKVEGALKSTTAELSAANEELAASKSQQLDIEQRLSSKEALIGELTQELDLKKASESQVKEDFLALENLLTATKEDLQAKVSEMEGMKLRLQEEINTRESVEAGLKTHEAQVATVQEELAKVLKEKEALEAAMADLTSNAAQMKELCGELEEKLKTSDENFCKADSLLSQALSNSAELEQKLKFLEDLHSESGAAAATASQKNLELEDLIRASNEAAEEAKSQLRELEIRFVAAEKKNVELEQQLNLVELKSSDAERQVREFSEKISELSTTLKEVEGEKNQLSAQMEEYQEKISHLESSLNQSSSRNSELEEELKIAKEKCAGHEDRAKMHYQRSLELEDLFQTSHSRLEDAGKKASEFVLLLEAEKYRIKELEEQNSAFEKKCVDAEADSRKYLDKISELASEIEAYQAKSSSLEVSLQMAGEKETELTELLNLVTDEKKRLEEASSSSNEKLSEAENLVGVLRNELIVMQEKLESIENDLKAAGLKESDIMVKLKSAEEQLEQQEKLLEEATSRKSELESLHEALTRDSEIKLQEALTNFTNRDSEAKSLFEKLNTLEDQVKEYKEQITEVTGRSALLKEELDLCLLKMVALETSNEELKSQIVEAETKFSNSFSENELLVETNNQLKSKIDELQELLNSAVSEKEATSQQLASHASTITELTDKHSRAIELHSATESRMMHAETQLQEAIQSLTLKDVETRDLNEKLKALEGQVKLYEEQAHEASTISESRKGELEETLLKVTHLETVLEELKTKSGHFEKESGVLAEDNLKLTQELASYESKLRDLEAKLSTILSEKDGTIEQLHISKKAFEDLRQQLTDEGQKLQSQISSVLEESNLLNETYQHEKKELQSVIIQLEEELKGQKANEDAMKSEIESLKAEVAEKSALQTSLEELEKQLTTAAVELKEQKEANSQKLEKEAALKKSFADLEAKNKEVSHLENQVKELEQKLQEADAKLLEKQGDGSSPAEQKGVEIKSRDISAAISTPTKRKSKKKLEAASAQASSSSETHTQTADVSPAMNFKFILGVALVSIIIGVILGKRY >Potri.006G021200.7.v4.1 pep chromosome:Pop_tri_v4:6:1431370:1436450:1 gene:Potri.006G021200.v4.1 transcript:Potri.006G021200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021200.v4.1 MEGETQVSSEVPVVKGDPDVADLIKLTNGDLTHVEKEGRKEEDETDGEFIKVEKESLDVKDGGSHTAEVKSAGEADKPSVVERSLSGSTRELLEAQEKLKELELELERVSAALKHSESENTLLKDDVLLANEKLDESGKKYGELEISHKKLQEQIIEAEEKFSAQLHTLQEALQAKETKHKELVEVKESFDGITLELENSRKKMQELEHELEVSSGEAKKFEELHKESGLHAESETQRALEFERLLEAAKLSAKEMENQMATLQEEVKGLYEKVAGNLKVEGALKSTTAELSAANEELAASKSQQLDIEQRLSSKEALIGELTQELDLKKASESQVKEDFLALENLLTATKEDLQAKVSEMEGMKLRLQEEINTRESVEAGLKTHEAQVATVQEELAKVLKEKEALEAAMADLTSNAAQMKELCGELEEKLKTSDENFCKADSLLSQALSNSAELEQKLKFLEDLHSESGAAAATASQKNLELEDLIRASNEAAEEAKSQLRELEIRFVAAEKKNVELEQQLNLVELKSSDAERQVREFSEKISELSTTLKEVEGEKNQLSAQMEEYQEKISHLESSLNQSSSRNSELEEELKIAKEKCAGHEDRAKMHYQRSLELEDLFQTSHSRLEDAGKKASEFVLLLEAEKYRIKELEEQNSAFEKKCVDAEADSRKYLDKISELASEIEAYQAKSSSLEVSLQMAGEKETELTELLNLVTDEKKRLEEASSSSNEKLSEAENLVGVLRNELIVMQEKLESIENDLKAAGLKESDIMVKLKSAEEQLEQQEKLLEEATSRKSELESLHEALTRDSEIKLQEALTNFTNRDSEAKSLFEKLNTLEDQVKEYKEQITEVTGRSALLKEELDLCLLKMVALETSNEELKSQIVEAETKFSNSFSENELLVETNNQLKSKIDELQELLNSAVSEKEATSQQLASHASTITELTDKHSRAIELHSATESRMMHAETQLQEAIQSLTLKDVETRDLNEKLKALEGQVKLYEEQAHEASTISESRKGELEETLLKVTHLETVLEELKTKSGHFEKESGVLAEDNLKLTQELASYESKLRDLEAKLSTILSEKDGTIEQLHISKKAFEDLRQQLTDEGQKLQSQISSVLEESNLLNETYQHEKKELQSVIIQLEEELKGQKANEDAMKSEIESLKAEVAEKSALQTSLEELEKQLTTAAVELKEQKEANSQKLEKEAALKKSFADLEAKNKEVSHLENQVKELEQKLQEADAKLLEKGDGSSPAEQKGVEIKSRDISAAISTPTKRKSKKKLEAASAQASSSSETHTQTADVSPAMNFKFILGVALVSIIIGVILGKRY >Potri.006G021200.2.v4.1 pep chromosome:Pop_tri_v4:6:1428147:1436525:1 gene:Potri.006G021200.v4.1 transcript:Potri.006G021200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021200.v4.1 MEGETQVSSEVPVVKGDPDVADLIKLTNGDLTHVEKEGRKEEDETDGEFIKVEKESLDVKDGGSHTAEVKSAGEADKPSVVERSLSGSTRELLEAQEKLKELELELERVSAALKHSESENTLLKDDVLLANEKLDESGKKYGELEISHKKLQEQIIEAEEKFSAQLHTLQEALQAKETKHKELVEVKESFDGITLELENSRKKMQELEHELEVSSGEAKKFEELHKESGLHAESETQRALEFERLLEAAKLSAKEMENQMATLQEEVKGLYEKVAGNLKVEGALKSTTAELSAANEELAASKSQQLDIEQRLSSKEALIGELTQELDLKKASESQVKEDFLALENLLTATKEDLQAKVSEMEGMKLRLQEEINTRESVEAGLKTHEAQVATVQEELAKVLKEKEALEAAMADLTSNAAQMKELCGELEEKLKTSDENFCKADSLLSQALSNSAELEQKLKFLEDLHSESGAAAATASQKNLELEDLIRASNEAAEEAKSQLRELEIRFVAAEKKNVELEQQLNLVELKSSDAERQVREFSEKISELSTTLKEVEGEKNQLSAQMEEYQEKISHLESSLNQSSSRNSELEEELKIAKEKCAGHEDRAKMHYQRSLELEDLFQTSHSRLEDAGKKASEFVLLLEAEKYRIKELEEQNSAFEKKCVDAEADSRKYLDKISELASEIEAYQAKSSSLEVSLQMAGEKETELTELLNLVTDEKKRLEEASSSSNEKLSEAENLVGVLRNELIVMQEKLESIENDLKAAGLKESDIMVKLKSAEEQLEQQEKLLEEATSRKSELESLHEALTRDSEIKLQEALTNFTNRDSEAKSLFEKLNTLEDQVKEYKEQITEVTGRSALLKEELDLCLLKMVALETSNEELKSQIVEAETKFSNSFSENELLVETNNQLKSKIDELQELLNSAVSEKEATSQQLASHASTITELTDKHSRAIELHSATESRMMHAETQLQEAIQSLTLKDVETRDLNEKLKALEGQVKLYEEQAHEASTISESRKGELEETLLKVTHLETVLEELKTKSGHFEKESGVLAEDNLKLTQELASYESKLRDLEAKLSTILSEKDGTIEQLHISKKAFEDLRQQLTDEGQKLQSQISSVLEESNLLNETYQHEKKELQSVIIQLEEELKGQKANEDAMKSEIESLKAEVAEKSALQTSLEELEKQLTTAAVELKEQKEANSQKLEKEAALKKSFADLEAKNKEVSHLENQVKELEQKLQEADAKLLEKGDGSSPAEQKGVEIKSRDISAAISTPTKRKSKKKLEAASAQASSSSETHTQTADVSPAMNFKFILGVALVSIIIGVILGKRY >Potri.001G032400.1.v4.1 pep chromosome:Pop_tri_v4:1:2412537:2413829:-1 gene:Potri.001G032400.v4.1 transcript:Potri.001G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032400.v4.1 MVYQKKIVEEVSGWLRIFDDGWVDRTWIGPPQVKFMAEPVPPHEEFIEGVAIRDVTIDENSGLSVRIYLPQHEPDHYTDNSDKLPLIVHFHGGGFCISQADWYMYYYIYSRLARSAPAIVVSVYLRLAPEHRLPAAIDDGFSALMWLRALAQGQESYEPWLNNHGDFNRVFLIGDSSGGNLVHHVAARAGQVDLSPMRLAGGIPVHPGFVRSERSKSEMEQPESPFLTLDMVDRFLKLALPKGCTKDHPFTCPMGHAAPPLDSLNLPPFLLCVAEADLIRDTEMEYYEAMKKANKDVELLINPGVGHSFYLNKIAVDMDPHTAAQTTGLLEGIVEFIKKH >Potri.010G076000.2.v4.1 pep chromosome:Pop_tri_v4:10:10349212:10351708:-1 gene:Potri.010G076000.v4.1 transcript:Potri.010G076000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076000.v4.1 MGKSKEVKTVYETENNLAMDVLDRGIETECKAVKAVWSRRSTHIFFDICIQAVQMGLRPGTHFSKHGWRYVIGQFEKESGQSFTKKQLKNKWDGIKKDWKIWKSLLGQENELGWDPNKQTVAASDDWWDEKIKAMPAAEKFRNSGIEPDLCSKYDFMFTGSSAWPTSSAVFMDEEENDGNLMQPNVNIGPAVHVEGSGDLGDEPTFVESLDEMLTAACVDRDSLQIEEQGNDKKQKRVDESGTQSVKKGRNQSGGTVKLSRKLDRLMATVESGGSVTSRRRDAQGCSIIEVMDELHSMPDIVKGSGLHVFASEFFLLRTRREMWFAMREPKAKINWLKTMYDKSVANQ >Potri.010G230433.1.v4.1 pep chromosome:Pop_tri_v4:10:21278798:21280102:1 gene:Potri.010G230433.v4.1 transcript:Potri.010G230433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230433.v4.1 MALRVVGAAFLVLLIVDLTFAARILKATGGGGGGGGQGGGGGGGSASGLGSGYGSGSGGGEGYGGGSGGSGGGGGGGKGGGGGGGSGGKGYGSGYGSGSGSGYGSGGGVGGGGGGGGGGGGSGSGSGSGYGSGSGSGYGRGGGGGVGGGGGGFGPGSGYGSGSGYGEGYGSGYGEGGYGLP >Potri.003G223601.7.v4.1 pep chromosome:Pop_tri_v4:3:21648543:21651567:1 gene:Potri.003G223601.v4.1 transcript:Potri.003G223601.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223601.v4.1 MSEAMNYQTISSNDLARLVLAEGGWSNLLYYKKKVQQSLDLAVVFVGRGLFSTDISASKNADPALVNFLKSNFSMAFPYVAASEEAMENSLVSGFAEACGQDLGISNVSFSESCSVEGESFQKLAKLHAVNDYLASRMEKRLSGQPNLVVFCYGGSNSMKELDQPQSESEMFSELINSVEMLGGKYVVLYVSDPFRSIQLPYHQELERFLAEGAGGNASLNSTHCDEVCQIKSSFLEGVLVGIGLLIILMSCL >Potri.010G008009.1.v4.1 pep chromosome:Pop_tri_v4:10:1625425:1625935:1 gene:Potri.010G008009.v4.1 transcript:Potri.010G008009.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G008009.v4.1 MARQADRLAKIGLEGFAAIDEHFGRAKRRPPVLKVPYGHPTYYYANQIPATEVIDSNEAAQRYKGRVYLDYPKGKPVPF >Potri.010G056300.1.v4.1 pep chromosome:Pop_tri_v4:10:8691402:8693004:-1 gene:Potri.010G056300.v4.1 transcript:Potri.010G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056300.v4.1 MSVPMRIEIMQRETIKPSSPTPLHLRSLKLSLLDQFMPVGHIPLQLFYPRNGNDTDHLAKATERSLLLKTSLSEALTHFYPFAGRLKDNSSIECDDHGAEYIEARIHCILSDILKKPDTEVLKQLMPAAVSEPATARDSQLIVQASFFDCGGLAIGVNLSHKVADAATLTSFIKCWAATARRSSTEVVISPVFMGASIFPQMDLPISMLPVDLIQGESVMKRFVFEAPKITALKAKAISASVPDPTRVESVTALIWKCAMSASRSNLGVPRKAVLSLGVNIRKRLVPTLPDNYGGNYVGSISARIEDHDDLELQGIVSRIRKDLIEFGENYAKITQGDDTSLAICKAVEEFGKMAMSKDIDSYNGTSWCRFELYDADFGWGKPTWLSNVFTIELKNIMCLMDTRDGDGIEACISLSREDMALFESNKELLEFAAANPSVSV >Potri.002G131650.1.v4.1 pep chromosome:Pop_tri_v4:2:9960861:9961136:-1 gene:Potri.002G131650.v4.1 transcript:Potri.002G131650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G131650.v4.1 MQLRIISRALCRVLFYCFIVTWAGLISFLLLWVFGCLLEFAFLCMLLCVWLYACNSACGHYAWLAAGLKPGVRRRDAYDDIIAFDHAACII >Potri.016G007200.1.v4.1 pep chromosome:Pop_tri_v4:16:351060:352615:-1 gene:Potri.016G007200.v4.1 transcript:Potri.016G007200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007200.v4.1 MASDDATQSLLRDEKNALQRRRFRQPMNVNVTEIKHPEQDGNSSLKWLESFLENQDCSFKKVFLVLAIYLGVGTLCLSLVMNQIEGKKTNGIVDAVYFSVVTMTTVGYGDLVPHTTLAKLLSCVYVFAGMALGGIILSKAADYIVEKQEILLVRAMHMNEKTGLSEILEEVETHKVKYKFLLALILLFLLMIVGTIFLYLVESFEFVDAFYCVCSSITTLGYGDDSFSTRAGRVFAVFWILCSTICLAQFFLYLAELYTEKRQRLLVKRVLARNVTASDLEEADIDHDKTVSAAEFIVYTLKEMGKISQEDISLVMERFRKLDVDQSGTLTESDIIPSQSS >Potri.002G089800.2.v4.1 pep chromosome:Pop_tri_v4:2:6493322:6497369:-1 gene:Potri.002G089800.v4.1 transcript:Potri.002G089800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089800.v4.1 MKGRVTTKASAPAKWIPFLCVFCFALGILFSNRLWDSSAEPNGQQLLSQRRHEQELQVINGDSTTNKKLSQNKDVMDEVLKTHEVIQSLDKSIAVLQTQLASKSSQEMSLKSSAPVPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLVQLEREKGIIVRFMIGHSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYVKVDDDVHVNLGMLASTLARHRSKPRVYIGCMKSGPVLSQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKELATYISLNQPILHKYANEDVSLGAWFIGLEVEHIDDHSMCCGTPPDCAWKAQAGDVCIASFDWSCSGICKSVERIKFVHEKCGEGDGSVWSALF >Potri.002G089800.1.v4.1 pep chromosome:Pop_tri_v4:2:6493124:6497370:-1 gene:Potri.002G089800.v4.1 transcript:Potri.002G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089800.v4.1 MKGRVTTKASAPAKWIPFLCVFCFALGILFSNRLWDSSAEPNGQQLLSQRRHEQELQVINGDSTTNKKLSQNKDVMDEVLKTHEVIQPTRSLDKSIAVLQTQLASKSSQEMSLKSSAPVPRQKVFMVIGINTAFSSRKRRDSVRETWMPQGEKLVQLEREKGIIVRFMIGHSATSNSILDRAIDSEDAQHKDFLRLEHVEGYHELSAKTKIFFSTAVAKWDAEFYVKVDDDVHVNLGMLASTLARHRSKPRVYIGCMKSGPVLSQKNVKYHEPEYWKFGEEGNKYFRHATGQIYAISKELATYISLNQPILHKYANEDVSLGAWFIGLEVEHIDDHSMCCGTPPDCAWKAQAGDVCIASFDWSCSGICKSVERIKFVHEKCGEGDGSVWSALF >Potri.016G137400.2.v4.1 pep chromosome:Pop_tri_v4:16:14102646:14104684:1 gene:Potri.016G137400.v4.1 transcript:Potri.016G137400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137400.v4.1 MLLRMGHVPTLIVSSAEAASEIMKTHDIVFANRPQTTAASIFFHGCVDVGFAPFGEYWRKVKKISVQELLGPKTVQSFHHVREEEAAGLIDKIRFACHSGTSVNISEMLISVSSDIVSRCVLGRKADKEGGNSKFGELTRTFMVQLTAFSFGDLFPYLGWMDTLTGLIPRLKATSRALDSFLDQVIEEHRSLESDGDRCAQTDFLQALLQLQKNGKLDVQLTRDNIIAVVLDMFVGGTDTSSTMMEWAIAELVRNQTIMRKAQEEVRRIVGKKSKVEANDIEEMGYLKCIIKETLRLHPAAPLLVPRETSASFELGGYYIPPKTRVLVNAFAIQRDPSFWDRPDEFLPERFENNPVDFKGQDFQFIPFGSGRRGCPGALFGVTAVEFMIANLLYWFDWRLPDGATQEELDMSEICGMTAYKKTPLLLVPSLYSP >Potri.003G073050.1.v4.1 pep chromosome:Pop_tri_v4:3:10090222:10090903:-1 gene:Potri.003G073050.v4.1 transcript:Potri.003G073050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073050.v4.1 MKSYSRALAALALLLSLLLSASLNKAQAEGRSLAPPSTTSVSSKASTSQAFRDLQANKNPFKKIGSSFRRIPPSNSNPRQNKCKPQLGD >Potri.008G193800.2.v4.1 pep chromosome:Pop_tri_v4:8:13665077:13670024:-1 gene:Potri.008G193800.v4.1 transcript:Potri.008G193800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193800.v4.1 MLHHRSPASMLHSSYKILAPSSLPPRQPKPLSQLKPSFSFLSSPLRIRLFFLNPRAIRGFATAVVAETKAAETKTAETFFSDHAVSWASLGLSHPLSRALSNTGFSRPSLVQAAAIPSILSGKDVVIAAETGSGKTHSYLVPLINNRLSASASQQGLTPTPSGLSLVLCPNVLLCDQVVRMASGLCDDDGHPLLKVAAVCGRQGWPVNQPDIIVSTPAALLNNIDPKKQSRSSFIRGVKYVVFDEADMLLCGGFQNQVIRLINMLRFDEKQLSRANKSAVEVPQGIGSDSLERFSSEDVEDQQESVLEEDEEDQQESVLEEDEDFVAEFEVEDIKEEIEAGSIDRKDWRRVRKNYERSKQYIFVAATLPVNGKKTAGAMLKRMFPDANWISGTYLHCHNPRLERKWVEVTVDTQLHALIEAVKQGFRSDMLDYGAGVSRTMVFANTVEAVEAVAKILGKAGIECFRYHKDSSLEERAKTLVDFREKGGVFVCTDAAARGVDIPDVSHVIQADFATSAVDFLHRVGRTARAGHHGLVTSLYTESNRDLVDAIRQAEKLGQPVETAFSRKRSFRNKLKKRGFSKLIDKSTVALTSA >Potri.008G193800.1.v4.1 pep chromosome:Pop_tri_v4:8:13665156:13669939:-1 gene:Potri.008G193800.v4.1 transcript:Potri.008G193800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193800.v4.1 MLHHRSPASMLHSSYKILAPSSLPPRQPKPLSQLKPSFSFLSSPLRIRLFFLNPRAIRGFATAVVAETKAAETKTAETFFSDHAVSWASLGLSHPLSRALSNTGFSRPSLVQAAAIPSILSGKDVVIAAETGSGKTHSYLVPLINNRLSASASQQGLTPTPSGLSLVLCPNVLLCDQVVRMASGLCDDDGHPLLKVAAVCGRQGWPVNQPDIIVSTPAALLNNIDPKKQSRSSFIRGVKYVVFDEADMLLCGGFQNQVIRLINMLRFDEKQLSRANKSAVEVPQGIGSDSLERFSSEDVEDQQESVLEEDEEDQQESVLEEDEDFVAEFEVEDIKEEIEAGSIDRKDWRRVRKNYERSKQYIFVAATLPVNGKKTAGAMLKRMFPDANWISGTYLHCHNPRLERKWVEVTVDTQLHALIEAVKQGFRSDMLDYGAGVSRTMVFANTVEAVEAVAKILGKAGIECFRYHKDSSLEERAKTLVDFREKGGVFVCTDAAARGVDIPDVSHVIQADFATSAVDFLHRVGRTARAGHHGLVTSLYTESNRDLVDAIRQAEKLGQPVETAFSRKRSFRNKLKKRAGFSKLIDKSTVALTSA >Potri.018G095800.1.v4.1 pep chromosome:Pop_tri_v4:18:11565187:11573907:1 gene:Potri.018G095800.v4.1 transcript:Potri.018G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095800.v4.1 MCNKRIECLNKTVNPVDNQQAFHFMDTPMSTTNSTAGSNPGSNDDTPRVKLLCSFLGSIMPRPQDGKLRYVGGETRIVSLPMDISYEELMSKMRELYDGAMVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLGSGDGFTRLRIFLFLNTDPDGSAHYVDGDGRESERRYVDALNNLNDGPDFRRQHADSPLIGLVDDIHLQEQFFNGLGLEGGLLSQRSGEMPISQHNLHHVTIAPRYNEMESSWSPAYYSPGHHGHHDPRSLSEFPNSPPSSRYRMQFGDLPDKGMDRMLEECARSQLNQHPPYDHQPQYSENVVWMPAGGVCGHKGGFPGNLPHGPGNFEGNIVCEHCRGPFPRNQLHFEQPSMGNGVPQVANPGADCPPNRETFMLNADAKAHHPVYPRELNDPRAVYNDTQGHDRGWIVQHQLSPCTDEARTHISGATRFNDQYIVDGPGMNYPLGHGNLVDGHHMSSHHQAGPELGNDVFHDQGAVAVHNLHVSPPEERSVQHGNFPCAYGPENLHSLPHGHAHPQTLRRNVQNPVHGTPYEASSAGLQINGAVNPSFLSGSQRNGIGIDSQQPWVESSQKMLVFDGTTSLEYSYGHMLKLNPNTYGLENKQSFPPEPIRPTLPHEMLNSSANIAASGYNPELCNNTVTKASKMEGKIVLGIENHANGVGKVENLDVPNVPCPEQDMIDDINGQAAFPEFLNSNFLRLVEESGDTVKAGEKDPSAVLGEPNLSISCMSFLPDLIASVKKAALEEAEEVKARVEENADPAKNDLVSGEIDEKEPEAVNTHEEAELSSDNENINNKIEPTKAEAEAIERGLQTIKNDDLEEIRVLGCGTYGAVHHGKWKGSDVAIKRIKASCFAGRPAERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKNHMVTEKIDVYSFGIVMWELLTGEEPYANKHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWSSDPSERPSFSEISRRLRNMAAPINVK >Potri.018G095800.7.v4.1 pep chromosome:Pop_tri_v4:18:11565194:11573883:1 gene:Potri.018G095800.v4.1 transcript:Potri.018G095800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095800.v4.1 MCNKRIECLNKTVNPVDNQQAFHFMDTPMSTTNSTAGSNPGSNDDTPRVKLLCSFLGSIMPRPQDGKLRYVGGETRIVSLPMDISYEELMSKMRELYDGAMVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLGSGDGFTRLRIFLFLNTDPDGSAHYVDGDGRESERRYVDALNNLNDGPDFRRQHADSPLIGLVDDIHLQEQFFNGLGLEGGLLSQRSGEMPISQHNLHHVTIAPRYNEMESSWSPAYYSPGHHGHHDPRSLSEFPNSPPSSRYRMQFGDLPDKGMDRMLEECARSQLNQHPPYDHQPQYSENVVWMPAGGVCGHKGGFPGNLPHGPGNFEGNIVCEHCRGPFPRNQLHFEQPSMGNGVPQVANPGADCPPNRETFMLNADAKAHHPVYPRELNDPRAVYNDTQGHDRGWIVQHQLSPCTDEARTHISGATRFNDQYIVDGPGMNYPLGHGNLVDGHHMSSHHQAGPELGNDVFHDQGAVAVHNLHVSPPEERSVQHGNFPCAYGPENLHSLPHGHAHPQTLRRNVQNPVHGTPYEASSAGLQINGAVNPSFLSGSQRNGIGIDSQQPWVESSQKMLVFDGTTSLEYSYGHMLKLNPNTYGLENKQSFPPEPIRPTLPHEMLNSSANIAASGYNPELCNNTVTKASKMEGKIVLGIENHANGVGKVENLDVPNVPCPEQDMIDDINGQAAFPEFLNSNFLRLVEESGDTVKAGEKDPSAVLGEPNLSISCMSFLPDLIASVKKAALEEAEEVKARVEENADPAKNDLVSGEIDEKEPEAVNTHEEAELSSDNENINNKIEPTKAEAEAIERGLQTIKNDDLEEIRVLGCGTYGAVHHGKWKGSDVAIKRIKASCFAGRPAERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKNHMVTEKIDVYSFGIVMWELLTGEEPYANKHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWSSDPSERPSFSEISRRLRNMAAPINVK >Potri.018G095800.9.v4.1 pep chromosome:Pop_tri_v4:18:11565383:11573908:1 gene:Potri.018G095800.v4.1 transcript:Potri.018G095800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095800.v4.1 MCNKRIECLNKTVNPVDNQQAFHFMDTPMSTTNSTAGSNPGSNDDTPRVKLLCSFLGSIMPRPQDGKLRYVGGETRIVSLPMDISYEELMSKMRELYDGAMVLKYQQPDEDLDALVSVVNDDDVINMMEEYEKLGSGDGFTRLRIFLFLNTDPDGSAHYVDGDGRESERRYVDALNNLNDGPDFRRQHADSPLIGLVDDIHLQEQFFNGLGLEGGLLSQRSGEMPISQHNLHHVTIAPRYNEMESSWSPAYYSPGHHGHHDPRSLSEFPNSPPSSRYRMQFGDLPDKGMDRMLEECARSQLNQHPPYDHQPQYSENVVWMPAGGVCGHKGGFPGNLPHGPGNFEGNIVCEHCRGPFPRNQLHFEQPSMGNGVPQVANPGADCPPNRETFMLNADAKAHHPVYPRELNDPRAVYNDTQGHDRGWIVQHQLSPCTDEARTHISGATRFNDQYIVDGPGMNYPLGHGNLVDGHHMSSHHQAGPELGNDVFHDQGAVAVHNLHVSPPEERSVQHGNFPCAYGPENLHSLPHGHAHPQTLRRNVQNPVHGTPYEASSAGLQINGAVNPSFLSGSQRNGIGIDSQQPWVESSQKMLVFDGTTSLEYSYGHMLKLNPNTYGLENKQSFPPEPIRPTLPHEMLNSSANIAASGYNPELCNNTVTKASKMEGKIVLGIENHANGVGKVENLDVPNVPCPEQDMIDDINGQAAFPEFLNSNFLRLVEESGDTVKAGEKDPSAVLGEPNLSISCMSFLPDLIASVKKAALEEAEEVKARVEENADPAKNDLVSGEIDEKEPEAVNTHEEAELSSDNENINNKIEPTKAEAEAIERGLQTIKNDDLEEIRVLGCGTYGAVHHGKWKGSDVAIKRIKASCFAGRPAERERLIADFWKEALILSSLHHPNVVSFYGIVRDGPDGSLATVTEFMVNGSLKQFLQKKDRTIDRRKRLIIAMDAAFGMEYLHGKNIVHFDLKCENLLVNMRDPQRPVCKIGDLGLSKVKQHTLVSGGVRGTLPWMAPELLSGKNHMVTEKIDVYSFGIVMWELLTGEEPYANKHCASIIGGIVNNTLRPQIPTWCDPEWKSLMESCWSSDPSERPSFSEISRRLRNMAAPINVK >Potri.017G043100.9.v4.1 pep chromosome:Pop_tri_v4:17:3022328:3029916:-1 gene:Potri.017G043100.v4.1 transcript:Potri.017G043100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043100.v4.1 MASISPPSPYKLTFTTRKRLPYPSPKPQFPSRYPLSRLLSDSTLCRCTDSSNNPSIQWRWDAALQAVFKNAIKSFDSYMNPTKKGVGNKGVMEGETGEEEEEDDGTVWDWDRWRLHFDQVDEQQRLVSLLKSQLGNAVNREDYEDAARLKVAIAAAASNDTVGRVMSQLNRALAQERYLEAAFLRDNAGAGLVGWWSGISEDVDDPYGLIIRITAEHGRYVARSYSPRQLATAAVGVPLFEIFLTTNKKGEYNEQAVYLKRKGLFQDPSTLPSKASGATSRLNPPGPTEDKSDLFVVSTEEVDDADDTEDGSDLAEGLPGFQNILRDMVPGVKVKVLKVTTPAKVDKDFISKVIEQIIDEEDDEKDIELESEEAEDDGKGESDQERDEIEMDAGRGIIDDENQSEIAVKVVVGGLAQKLSGSVPAKGSIRVPAKLDRKGRKSFSFSIEKEVNQQNAKELASADRKAKLRGQRSVDHVMFDLAKFIGSEKIPLKVLKDVGELISLTLSQAQNRQPLSGSTTFHRIEISTSPDPLNGLYIGAHGLYTSEVIHLQRKFGQWQEDHGTKESSNLEFYEYVEAVKLTGDPYVPAGQVAFRAKVGKRYQLPHRGIIPEEFGVIARYKGQGKLAEPGFRNHRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNRLRLQQ >Potri.017G043100.4.v4.1 pep chromosome:Pop_tri_v4:17:3022229:3029953:-1 gene:Potri.017G043100.v4.1 transcript:Potri.017G043100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043100.v4.1 MASISPPSPYKLTFTTRKRLPYPSPKPQFPSRYPLSRLLSDSTLCRCTDSSNNPSIQWRWDAALQAVFKNAIKSFDSYMNPTKKGVGNKGVMEGETGEEEEEDDGTVWDWDRWRLHFDQVDEQQRLVSLLKSQLGNAVNREDYEDAARLKVAIAAAASNDTVGRVMSQLNRALAQERYLEAAFLRDNAGAGLVGWWSGISEDVDDPYGLIIRITAEHGRYVARSYSPRQLATAAVGVPLFEIFLTTNKKGEYNEQAVYLKRKGLFQDPSTLPSKASGATSRLNPPGPTEDKSDLFVVSTEEVDDADDTEDGSDLAEGLPGFQNILRDMVPGVKVKVLKVTTPAKVDKDFISKVIEQIIDEEDDEKDIELESEEAEDDGKGESDQERDEIEMDAGRGIIDDENQSEIAVKVVVGGLAQKLSGSVPAKGSIRVPAKLDRKGRKSFSFSIEKEVNQQNAKELASADRKAKLRGQRSVDHVMFDLAKFIGSEKIPLKVLKDVGELISLTLSQAQNRQPLSGSTTFHRIEISTSPDPLNGLYIGAHGLYTSEVIHLQRKFGQWQEDHGTKESSNLEFYEYVEAVKLTGDPYVPAGQVAFRAKVGKRYQLPHRGIIPEEFGVIARYKGQGKLAEPGFRNHRWVDGELVILDGKYIKGGPVVGFVYWAPEYHFLVFFNRLRLQQ >Potri.007G145100.2.v4.1 pep chromosome:Pop_tri_v4:7:15395075:15401044:1 gene:Potri.007G145100.v4.1 transcript:Potri.007G145100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145100.v4.1 MPNSSLSLFSVYLPLPLEQHPNVLSSFLLLRILSFRLILLLLLLLLLLLLLPPPPPFNISNFSFEYLLISDLVNIYIYICTPPSSWSSMDHDRNNDIFEDEDKEALQGLSPVPPPPRNIHSYSQQLRATSSGPTAKRHDKGRKHSLDDIPMPVVSESFFDVSSSDDEFFLHSSSPSNTTRSRGASGEDFFVGADDDQLKQFQPLPEFIGNGSGTGIFKYPARSAMHGGRPPCLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWSFENAYEAGRGLGGRVRRGDEDAAPFYESANTSPTLCLMADSANRLVWSGHKDGKIRSWKMDQHLDDANSHFKEGLSWQAHKGPVLSIVMSSYGDLWSGSENGVIRIWQWDVIEKSLSLSSEEKHMAALLVERACIDLRSQVTIYGACSISSSDVKCLLADNVRAKIWCAQPLSFSIWDARTKELVKVFNTEGQIENRAELPSVQQQDQPVEDEMKVKFVHPSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVDDTKRTEALVLTIDGMIWTGCSDGLLVQWDGNGNRLQEFSHHSSAVQCFCTFGTLIYVGYVSGMIQVLDLEGKMISAWVAHSNPVLKMAVGNRYVFSLANHGGIRGWSIASPGPIDSIIRSEVASRELEYTRRNSFRILVGTWNVGQGRASQDALKAWLGSAASDVGIIVVGLQEVEMGAGFLAMSAAKETVGLEGSAIGHWWLDNIGKALDEGKTFERMGSRQLAGLLISLWVRKNLRKHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVLDRIMCFVNCHLAAHLEAVNRRNADFDHIFRNMAFGRSSNVTAAAGVSTASHTVKGTHAASTTHEESRLDLAEADMVVFLGDFNYRLFGISYDEARDFVSQRSFDWLREKDQLRAEMQAGKVFQGMREAVIAFPPTYKFARHQPGLAGYDSGEKKRIPAWCDRIIYRDNRSAPVSDCSLDCPVVSSIIQYEACMDVIESDHKPVRCKFHVQVAHVDRSVRRQEFGEVIRSNKKVRSILEQLPHVPDTAISTNNIRLQSQDTVVFRITNKDVKEKAIFRITCEGVCTVSDDREENTYHSRGLFGFPRWLEVTPAAGIIKQDHFVEVAVHHEDFRSLEELVDGIPQNWWSEDTRDKEATLSVNIQGSNRTETQSHQIVVRHCYSAKTVRIDSKSNNSWKGKRGSVHRSDLRQLSGSSDMVDDFRNF >Potri.007G145100.3.v4.1 pep chromosome:Pop_tri_v4:7:15395075:15399472:1 gene:Potri.007G145100.v4.1 transcript:Potri.007G145100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145100.v4.1 MPNSSLSLFSVYLPLPLEQHPNVLSSFLLLRILSFRLILLLLLLLLLLLLLPPPPPFNISNFSFEYLLISDLVNIYIYICTPPSSWSSMDHDRNNDIFEDEDKEALQGLSPVPPPPRNIHSYSQQLRATSSGPTAKRHDKGRKHSLDDIPMPVVSESFFDVSSSDDEFFLHSSSPSNTTRSRGASGEDFFVGADDDQLKQFQPLPEFIGNGSGTGIFKYPARSAMHGGRPPCLELRPHPLRETQVGKFLRNIACTDTQLWAGQECGVRFWSFENAYEAGRGLGGRVRRGDEDAAPFYESANTSPTLCLMADSANRLVWSGHKDGKIRSWKMDQHLDDANSHFKEGLSWQAHKGPVLSIVMSSYGDLWSGSENGVIRIWQWDVIEKSLSLSSEEKHMAALLVERACIDLRSQVTIYGACSISSSDVKCLLADNVRAKIWCAQPLSFSIWDARTKELVKVFNTEGQIENRAELPSVQQQDQPVEDEMKVKFVHPSKKEKSGGFLQRSRNAIMGAADAVRRVATRGAGAFVDDTKRTEALVLTIDGMIWTGCSDGLLVQWDGNGNRLQEFSHHSSAVQCFCTFGTLIYVGYVSGMIQVLDLEGKMISAWVAHSNPVLKMAVGNRYVFSLANHGGIRGWSIASPGPIDSIIRSEVASRELEYTRRNSFRILVGTWNVGQGRASQDALKAWLGSAASDVGIIVVGLQEVEMGAGFLAMSAAKETVGLEGSAIGHWWLDNIGKALDEGKTFERMGSRQLAGLLISLWVRKNLRKHVGDVDAAAVPCGFGRAIGNKGGVGLRIRVLDRIMCFVNCHLAAHLEAVNRRNADFDHIFRNMAFGRSSNVTAAAGVSTASHTVKGTHAASTTHEESRLDLAEADMVVFLGDFNYRLFGISYDEARDFVSQRSFDWLREKDQLRAEMQAGKVFQGMREAVIAFPPTYKFARHQPGLAGYDSGEKKRIPAWCDRIIYRDNRSAPVSDCSLDCPVVSSIIQ >Potri.003G218900.2.v4.1 pep chromosome:Pop_tri_v4:3:21370190:21375551:1 gene:Potri.003G218900.v4.1 transcript:Potri.003G218900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218900.v4.1 MVGVRTPYVCIPSLVSETQFYKPKLMSFQFNPIHSSSFSRIHQKTSSKSKLFTTISSSPSSVPTVTPEPELEVDSQGEKFDWYAQWYPIMLVCDLDKRVPHAKRVMGLDIVLWWDRNENTWKVFGDACPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKFIPQASPDGPPVHTFKKACVAVYPSTVHHDIVWFWPNSDPQYKDIITKKQPPTIPELDDPSFIKLTGCRDIPYGYEILIENLMDPAHVTYAHYGIIRTRPPKVKADREGGCPVELSVKKLGIDGFLGDQDQYGTNKFIAPCVSYAYSSPVVDKGEGIVSSDGTEKPPSRQQKAVLVFFCIPVSPGRSRLIWVFPQNFGIWIFKVFPRFLTHLGVNIVVDSDLYLLHLEERKIMDIGLANWQKACFVPTKSDAFVVGFRRWLKKYAGGQVDWRGKYSGALPPTPPREELMDRYWSHVVSCRSCSAAYNRFNALEVVLQVASLASIGIATATKQNVKVMVAVAVLCFAGSKWLAHFIYKNFHYHDYKHALH >Potri.003G206501.2.v4.1 pep chromosome:Pop_tri_v4:3:20560290:20561788:-1 gene:Potri.003G206501.v4.1 transcript:Potri.003G206501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206501.v4.1 MIDQSHGNVGEEENSQLPLDINKLAKSLRADMEMLHSFSDQCCIYRVPIRLRESNEKIFTPQVVNLGPLHHGKEELKAMEEHKILYLQDFLKWSTASMEDVIKVVEERETRLRNCYAETIDLGREDFVKMILLDASFIIMVLLKDCCEGFESSNDRIFNKPWMLSDISLDMCLIENQLPFFILEDLFKASNITKCSPAEEEYSVIELAHKFFEKRWDSWLKKAILEEINSSEVAHFVDFIRKCQKPSESDKTDKELETINVPSITELDEAGVKFSSFEQGKSLLDMKFDRGILEMPLLKIDDNTEILFRNIQAFEQCHCDEYYIANYISMINFLVITPKDVEILVRNGIIENWIHDYEAVTTLLHNISKENALSADDFIFASLVEDLNAYCRRRWNKWKATLKQEYFHTPWAIISLIAALVLLILTMVQTVCSLIQL >Potri.003G206501.1.v4.1 pep chromosome:Pop_tri_v4:3:20560300:20564800:-1 gene:Potri.003G206501.v4.1 transcript:Potri.003G206501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206501.v4.1 MEYVGTSVDDQQCKPSSGFKHKMENGGTSSTNQQMIDQSHGNVGEEENSQLPLDINKLAKSLRADMEMLHSFSDQCCIYRVPIRLRESNEKIFTPQVVNLGPLHHGKEELKAMEEHKILYLQDFLKWSTASMEDVIKVVEERETRLRNCYAETIDLGREDFVKMILLDASFIIMVLLKDCCEGFESSNDRIFNKPWMLSDISLDMCLIENQLPFFILEDLFKASNITKCSPAEEEYSVIELAHKFFEKRWDSWLKKAILEEINSSEVAHFVDFIRKCQKPSESDKTDKELETINVPSITELDEAGVKFSSFEQGKSLLDMKFDRGILEMPLLKIDDNTEILFRNIQAFEQCHCDEYYIANYISMINFLVITPKDVEILVRNGIIENWIHDYEAVTTLLHNISKENALSADDFIFASLVEDLNAYCRRRWNKWKATLKQEYFHTPWAIISLIAALVLLILTMVQTVCSLIQL >Potri.006G155700.5.v4.1 pep chromosome:Pop_tri_v4:6:14770885:14777211:1 gene:Potri.006G155700.v4.1 transcript:Potri.006G155700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155700.v4.1 MAAAAVDEFVKGNIHPNGVAVLTLDRPKALNAMNLDMDIKYKTFMDEWESDPRVKCVLVEGSSPRAFCAGGDIKAITSKRQLSDMIKVFTAEYSLICKISEYKKPYVSLMDGVTMGFGIGLSGHGRYRIVTERTVLAMPENGIGLFPDVGFSYIAAKSPGEGSVGNYLALTGKRISTPSDALFVGLGSHYVPSGNLVQLKEALLQITFSNDPHQDINALLAEYGHDPESESQLKSLLPRIISTFGPNKSVEEIIEKLKSHQLSADRKVVEWANDALQGIGKGAPFSLCLTQKYFSRVAFAFGKISNELSTLSGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQKPKWNPSSLEEVDQSEIESLFKPLSPEAELTA >Potri.006G155700.4.v4.1 pep chromosome:Pop_tri_v4:6:14770873:14777184:1 gene:Potri.006G155700.v4.1 transcript:Potri.006G155700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155700.v4.1 MAAAAVDEFVKGNIHPNGVAVLTLDRPKALNAMNLDMDIKYKTFMDEWESDPRVKCVLVEGSSPRAFCAGMDIKGVVAEIQKDKNTPVVQKVFTAEYSLICKISEYKKPYVSLMDGVTMGFGIGLSGHGRYRIVTERTVLAMPENGIGLFPDVGFSYIAAKSPGEGSVGNYLALTGKRISTPSDALFVGLGSHYVPSGNLVQLKEALLQITFSNDPHQDINALLAEYGHDPESESQLKSLLPRIISTFGPNKSVEEIIEKLKSHQLSADRKVVEWANDALQGIGKGAPFSLCLTQKYFSRVAFAFGKISNELSTLSGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQKPKWNPSSLEEVDQSEIESLFKPLSPEAELTA >Potri.006G155700.6.v4.1 pep chromosome:Pop_tri_v4:6:14773071:14777168:1 gene:Potri.006G155700.v4.1 transcript:Potri.006G155700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G155700.v4.1 MDGVTMGFGIGLSGHGRYRIVTERTVLAMPENGIGLFPDVGFSYIAAKSPGEGSVGNYLALTGKRISTPSDALFVGLGSHYVPSGNLVQLKEALLQITFSNDPHQDINALLAEYGHDPESESQLKSLLPRIISTFGPNKSVEEIIEKLKSHQLSADRKVVEWANDALQGIGKGAPFSLCLTQKYFSRVAFAFGKISNELSTLSGVMKTEYRIALRSSLRNDFAEGVRAVLVDKDQKPKWNPSSLEEVDQSEIESLFKPLSPEAELTA >Potri.016G053700.3.v4.1 pep chromosome:Pop_tri_v4:16:3523493:3528462:-1 gene:Potri.016G053700.v4.1 transcript:Potri.016G053700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053700.v4.1 MCCRLERLKLAATPTPPTIYFDKSRPSNIDMNHLTIKTEDAFASLLELAANNDVEGFKKSIECDPSCADEIGLWYGRQKGSKQMVNDLRTPLMVAATYGSIDVIKVILSLSDVDVSRSCGADKSTALHCAASGGAVNAVDVVKLLLAAGADCNLVDANGHRPIDVINVPPKLQDARLILEEFLAADGSLVEHEHNLRVSIATMNSNSPPLSPSRENGSPLSGSDSPMKSKLYEAPVSFVSERKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSGTSGAAAMDFASAMNLLPGSPSAASIMSPSSFTPPMSPSGNGMSHSSLAWPQPNVPALLLPGSNMQSSRLRSSFNARDIPADYSFLPEFDVQQQQLLSELSSLTQPSLSNNSLNRSGRLKALTPSNLDDYFSAESSSPRHADQALASAVFSPTHNSAFLNQFQQQQSMLSPINTNFSPKNGDHPLLQASFASGRMSPRSVESISPMSSRASMLAQREKQLQQLRSLSSRELGSNAAAIVGSPVNTWSKWGSSNGKPDWTVSTDELGKLRRSNSFELGNGDEPDLSWVQSLVKESPTEMKEKLSMPVSGSVAASASSSESSNVNSQIEPIDLDHVVGSWVELLQIDQLVAQQN >Potri.016G053700.6.v4.1 pep chromosome:Pop_tri_v4:16:3524055:3526400:-1 gene:Potri.016G053700.v4.1 transcript:Potri.016G053700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053700.v4.1 MVAATYGSIDVIKVILSLSDVDVSRSCGADKSTALHCAASGGAVNAVDVVKLLLAAGADCNLVDANGHRPIDVINVPPKLQDARLILEEFLAADGSLVEHEHNLRVSIATMNSNSPPLSPSRENGSPLSGSDSPMKSKLYEAPVSFVSERKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSGTSGAAAMDFASAMNLLPGSPSAASIMSPSSFTPPMSPSGNGMSHSSLAWPQPNVPALLLPGSNMQSSRLRSSFNARDIPADYSFLPEFDVQQQQLLSELSSLTQPSLSNNSLNRSGRLKALTPSNLDDYFSAESSSPRHADQALASAVFSPTHNSAFLNQFQQQQSMLSPINTNFSPKNGDHPLLQASFASGRMSPRSVESISPMSSRASMLAQREKQLQQLRSLSSRELGSNAAAIVGSPVNTWSKWGSSNGKPDWTVSTDELGKLRRSNSFELGNGDEPDLSWVQSLVKESPTEMKEKLSMPVSGSVAASASSSESSNVNSQIEPIDLDHVVGSWVELLQIDQLVAQQN >Potri.016G053700.7.v4.1 pep chromosome:Pop_tri_v4:16:3523520:3526034:-1 gene:Potri.016G053700.v4.1 transcript:Potri.016G053700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053700.v4.1 MKSKLYEAPVSFVSERKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSGTSGAAAMDFASAMNLLPGSPSAASIMSPSSFTPPMSPSGNGMSHSSLAWPQPNVPALLLPGSNMQSSRLRSSFNARDIPADYSFLPEFDVQQQQLLSELSSLTQPSLSNNSLNRSGRLKALTPSNLDDYFSAESSSPRHADQALASAVFSPTHNSAFLNQFQQQQSMLSPINTNFSPKNGDHPLLQASFASGRMSPRSVESISPMSSRASMLAQREKQLQQLRSLSSRELGSNAAAIVGSPVNTWSKWGSSNGKPDWTVSTDELGKLRRSNSFELGNGDEPDLSWVQSLVKESPTEMKEKLSMPVSGSVAASASSSESSNVNSQIEPIDLDHVVGSWVELLQIDQLVAQQN >Potri.016G053700.5.v4.1 pep chromosome:Pop_tri_v4:16:3524113:3526612:-1 gene:Potri.016G053700.v4.1 transcript:Potri.016G053700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053700.v4.1 MNHLTIKTEDAFASLLELAANNDVEGFKKSIECDPSCADEIGLWYGRQKGSKQMVNDLRTPLMVAATYGSIDVIKVILSLSDVDVSRSCGADKSTALHCAASGGAVNAVDVVKLLLAAGADCNLVDANGHRPIDVINVPPKLQDARLILEEFLAADGSLVEHEHNLRVSIATMNSNSPPLSPSRENGSPLSGSDSPMKSKLYEAPVSFVSERKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSGTSGAAAMDFASAMNLLPGSPSAASIMSPSSFTPPMSPSGNGMSHSSLAWPQPNVPALLLPGSNMQSSRLRSSFNARDIPADYSFLPEFDVQQQQLLSELSSLTQPSLSNNSLNRSGRLKALTPSNLDDYFSAESSSPRHADQALASAVFSPTHNSAFLNQFQQQQSMLSPINTNFSPKNGDHPLLQASFASGRMSPRSVESISPMSSRASMLAQREKQLQQLRSLSSRELGSNAAAIVGSPVNTWSKWGSSNGKPDWTVSTDELGKLRRSNSFELGNGDEPDLSWVQSLVKESPTEMKEKLSMPVSGSVAASASSSESSNVNSQIEPIDLDHVVGSWVELLQIDQLVAQQN >Potri.016G053700.4.v4.1 pep chromosome:Pop_tri_v4:16:3524156:3526696:-1 gene:Potri.016G053700.v4.1 transcript:Potri.016G053700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053700.v4.1 MCCRLERLKLAATPTPPTIYFDKSRPSNIDMNHLTIKTEDAFASLLELAANNDVEGFKKSIECDPSCADEIGLWYGRQKGSKQMVNDLRTPLMVAATYGSIDVIKVILSLSDVDVSRSCGADKSTALHCAASGGAVNAVDVVKLLLAAGADCNLVDANGHRPIDVINVPPKLQDARLILEEFLAADGSLVEHEHNLRVSIATMNSNSPPLSPSRENGSPLSGSDSPMKSKLYEAPVSFVSERKEYPVDPSLPDIKNSIYSTDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTNCARRVCFFAHTVEELRPLYVSTGSAVPSPRSGTSGAAAMDFASAMNLLPGSPSAASIMSPSSFTPPMSPSGNGMSHSSLAWPQPNVPALLLPGSNMQSSRLRSSFNARDIPADYSFLPEFDVQQQQLLSELSSLTQPSLSNNSLNRSGRLKALTPSNLDDYFSAESSSPRHADQALASAVFSPTHNSAFLNQFQQQQSMLSPINTNFSPKNGDHPLLQASFASGRMSPRSVESISPMSSRASMLAQREKQLQQLRSLSSRELGSNAAAIVGSPVNTWSKWGSSNGKPDWTVSTDELGKLRRSNSFELGNGDEPDLSWVQSLVKESPTEMKEKLSMPVSGSVAASASSSESSNVNSQIEPIDLDHVVGSWVELLQIDQLVAQQN >Potri.008G099201.1.v4.1 pep chromosome:Pop_tri_v4:8:6205356:6205901:-1 gene:Potri.008G099201.v4.1 transcript:Potri.008G099201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099201.v4.1 MLRHHSCSPKVNDNYNPATWMLEVNSASMESELGLDFAELHKESLCTWRHLSGPPPRSRDQQFSTE >Potri.013G073700.1.v4.1 pep chromosome:Pop_tri_v4:13:6149964:6152628:-1 gene:Potri.013G073700.v4.1 transcript:Potri.013G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073700.v4.1 MASRDVSSWLSKSPSPSEVQLHVHGMPFSVDKDLLSARSAKIAALLKENPPEKLAFLLRDIPADPETFELVARFCHGYELILSTENVIPLISLAYYLEMTESHSDNNLLDKTLAFFEDKVLPSWNETIKALRSASSIIQQVLHSGLVDACLESLIYKALADPRLLGEPIKNSTCNEDSEDEEVFKPNARRKLFSPDWKSEDLTTLSLQLYNPLIQAMNQHGVPPEYVAASLCQYVKKWANSGENETIYKRGVIEAVERLLPPEKGILPCTLLFEMLRLAICLECSNDCRSGFEIRIGKQLDQAEVKDLLIPSQGYSKEIQYDIECVRRILKHFYANYSSTDVSGIISVAELIEEFLMEVASDIDLRIDTFVSLAEMSLAASLGTQRNSDGIYRAINIYLDKHRYLTELEREEVCRVLDCQKMSPEACEHAAKNERLPVRFVVQVLFVAQLQLRDTITKEVQVFDDKLRREVVEDEENEVKMGFDEEEVRVEMEKMSIKVMELERECCIMRKEIEHGCTHQKSKKGKVSMWREMKRKLGCVSSTHDCNCQVKKKKKKY >Potri.003G175700.1.v4.1 pep chromosome:Pop_tri_v4:3:18266685:18270944:-1 gene:Potri.003G175700.v4.1 transcript:Potri.003G175700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175700.v4.1 MFQRAQREREREVEVAKPKDANPRRKFWKLRQMSIPSSRQNLLFSSPPPPPTSLSFFILNTLLSALLFASAAAIPNHEASILFSWLHSSPSIPSSLSNWNNLDSTPCKWTSITCSPQGFVTEINIQSVPLQIPFSLNLSSFHFLSKLVISDANITGTIPVDIGDCLSLKFIDLSSNSLVGTIPASIGKLQNLENLILNSNQLTGKIPVELCSCFRLKNLLLFDNRLAGYIPPELGKLSSLQVLRAGGNKDIIGKVPDELADCSKLTVLGLADTRISGSLPVSLGKLSKLQTLSIYTTMLSGEIPPDLGNCSELVNLFLYENSLSGSIPPEIGKLHKLEQLLLWQNSLIGAIPEEIGNCTSLKMIDLSLNSLSGTIPISIGRLFQLEEFMISDNNVSGSIPSDLSNATNLLQLQLDTNQISGLIPPELGMLSKLTVFFAWQNQLEGSIPSSLASCSSLQALDLSHNSLTGSIPPGLFQLQNLTKLLMISNDISGALPPEIGNCSSLVRLRLGNNRIAGTIPKEIGGLGILNFLDLSSNRLSGPVPDEIGSCTELQMIDLSNNILQGPLPNSLSSLTGLQVLDVSANQFTGQIPASFGRLTSLNKLMLSRNSFSGSIPLSLGLSSSLQLLDLSSNGLTGSIPMELGQIETLEIALNLSCNRLTGPIPPQISSLTMLSILDLSHNKLEGHLSPLAELDNLVSLNISYNAFIGYLPDNKLFRQLSPTDLVGNQGLCSSIRDSCFLKDADRTGLPRNENDTRQSRKLKLALALLITLTVAMVIMGAIAIMRARRTIRDDDDSELGDSWPWQFTPFQKLNFSVDQVLRCLVDTNVIGKGCSGVVYRADMDNGEVIAVKKLWPNTMAASNGCNDEKCSVRDSFSTEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHEKTGNALEWELRYQILLGAAQGLAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRQKRGGIEVLDPSLLPRPASEIEEMMQALGIALLCVNSSPDERPNMKDVAAMLKEIKHEREEYAKVDVLLKGSPATDNQENKKSSGVVPATSSSKPVTQSLYPKSKNSSFSVSSLLYSSSSNSKTGFK >Potri.009G076050.1.v4.1 pep chromosome:Pop_tri_v4:9:7398288:7398946:1 gene:Potri.009G076050.v4.1 transcript:Potri.009G076050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076050.v4.1 MAYHADGSCQSSSETGRLVLKLIIDKIKDNIWSVQHSSHERLCQVRNAVDQEKR >Potri.008G131200.1.v4.1 pep chromosome:Pop_tri_v4:8:8629396:8630590:-1 gene:Potri.008G131200.v4.1 transcript:Potri.008G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131200.v4.1 MALHGKIETTLELKSSAEKFYKVWRSQSFHVPKHASKHIQGVDIHAGDWETAGSIRIWQYTIGGKAGVFKEEVSFDDENKIITLNGLEGDVMKIYKVYRPVWQLTPKGSGCLAKLTIEYEKLHPEVPVPEIYVDLMVHMTKDIDEALSTE >Potri.016G044600.2.v4.1 pep chromosome:Pop_tri_v4:16:2852355:2855177:1 gene:Potri.016G044600.v4.1 transcript:Potri.016G044600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044600.v4.1 MASLCLALAQHLSFPALSSERVRDMEVEHFSHPDHPLILMNQVLEFSCELVICSGCEGPIWGPCYSCTSCYFFLHKKCAELPREIKRRIHRRHPLHLLAKSPYKGNYLCNRCTKTFNSFVYHCSYCKFDLDIKCAFEPGFFEVDSQFAHKDHPLILNEEKEYHDEGVMCSVCKEPMSGPSYSCTSCNVFLHKKCAELPPEIKRHIHPEHPLRLLPNHHMICGFCKETCYESFVYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLMNPLSFKSISFTCHACGTGGDDSPFMCTMCQLVVHEECISLPGTLKTALHHHPRIIHAYQHQQCIESINKYCGICCREVDTEYGVYYCPDCDFVAHVNCSREYGDSATETGGENEEEQSVTVDDQFMEPSFRVVREIKHGEERIIEEIEHFSHQHNLILNDKVDDDLKCDGCMLPISTPFYSCARCNFFLDKTCIELPKKKKWQYHENQLILSWSRREHFLYNCVVCNQYFRGLRYTCDVCRLCIDVRCFKSLKDSFKHGGHEHPLYLPADRKKILRCTIGGRGVPPWVADDGEIIPHCSGCCVSEESKVFFKCAVCDFKLGMKCATLPYKARHEYDDHPLFLTYINANDYQPSCIICEEDRDPKLWFYRCEECDFDAHPECALGKYPFFKPGGVLTYPKHPHPLALVIKTEDYRPQACDTCGLPCDDLALECTDPNCSFIVHRDRWECYESLW >Potri.016G044600.6.v4.1 pep chromosome:Pop_tri_v4:16:2852473:2855175:1 gene:Potri.016G044600.v4.1 transcript:Potri.016G044600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044600.v4.1 MASLCLALAQHLSFPALSSERVRDMEVEHFSHPDHPLILMNQVLEFSCELVICSGCEGPIWGPCYSCTSCYFFLHKKCAELPREIKRRIHRRHPLHLLAKSPYKGNYLCNRCTKTFNSFVYHCSYCKFDLDIKCAFEPGFFEVDSQFAHKDHPLILNEEKEYHDEGVMCSVCKEPMSGPSYSCTSCNVFLHKKCAELPPEIKRHIHPEHPLRLLPNHHMICGFCKETCYESFVYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLMNPLSFKSISFTCHACGTGGDDSPFMCTMCQLVVHEECISLPGTLKTALHHHPRIIHAYQHQQCIESINKYCGICCREVDTEYGVYYCPDCDFVAHVNCSREYGDSATETGGENEEEQSVTVDDQFMEPSFRVVREIKHGEERIIEEIEHFSHQHNLILNDKVDDDLKCDGCMLPISTPFYSCARCNFFLDKTCIELPKKKKWQYHENQLILSWSRREHFLYNCVVCNQYFRGLRYTCDVCRLCIDVRCFKSLKDSFKHGGHEHPLYLPADRKKILRCTIGGRGVPPWVADDGEIIPHCSGCCVSEESKVFFKCAVCDFKLGMKCATLPYKARHEYDDHPLFLTYINANDYQPSCIICEEDRDPKLWFYRCEECDFDAHPECALGKYPFFKPGGVLTYPKHPHPLALVIKTEDYRPQACDTCGLPCDDLALECTDPNCSFIVHRDRWECYESLW >Potri.017G076500.1.v4.1 pep chromosome:Pop_tri_v4:17:8510609:8513798:-1 gene:Potri.017G076500.v4.1 transcript:Potri.017G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G076500.v4.1 MATIFQGLGGGAATAFTNSFDSKKLLLPSTRRSLSERKVSFSVVRSDGTVNLNLGGSNARARRVDQLITNAVATKADSSAASSTSKPGHELLLFEALREGLEEEMDRDPHVCVMGEDVGHYGGSYKVTKGLADKYGDLRVLDTPIAENSFTGMGIGAAMTGLRPIIEGMNMGFLLLAFNQISNNCGMLHYTSGGQFTIPVVIRGPGGVGRQLGAEHSQRLESYFQSIPGIQMVACSTPYNAKGLMKAAIRSENPVILFEHVLLYNLKERIPDEEYICNLEEAEMVRPGEHVTILTYSRMRYHVMQAAKTLVNKGYDPEVIDIRSLKPFDLHTIGNSVKKTHRVLIVEECMRTGGIGASLTAAITENFHDYLDAPIVCLSSQDVPTPYAGTLEEWTVVQPAQIVTAVEQLCQ >Potri.014G069600.1.v4.1 pep chromosome:Pop_tri_v4:14:4406901:4410409:-1 gene:Potri.014G069600.v4.1 transcript:Potri.014G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069600.v4.1 MNSSPKLVLYNFSHSSCSWRVRFALNLKGLDYEYKAVNLAKGEQFRTEFEQLNPLRYVPVLVDGDVVVSDSLAILLYLEEKYPQRALLPDDPRRKALNLQVASIVCSSIQPLHMLALVKRIEEKVGPEEGLLWAQSSIEKGFFALEQLVKDFATRFATGEALYMADVFLAPQIATVVMRFNIDMSNFPILSRVYESYKTVPEFRASSPEAQPDAGS >Potri.013G032600.3.v4.1 pep chromosome:Pop_tri_v4:13:2109552:2112031:-1 gene:Potri.013G032600.v4.1 transcript:Potri.013G032600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032600.v4.1 MMMRKSPFAASASCVSQLLQQQQMEMGTFPKFPSFLFFIHQHFTASTASTTSISPSSITDGGFCSDYSNPNSVADAVASFNQLLSIRPVPSIVEFNKLLGSIVKNKHYSTVISFFKQMDLSNIRPNVATLTILINCFCHSNHHHIPFAFSVFGKMFKLGLQPTLVTFNTLLSGLCSKAKIMDAVKLFDEMVKMGHEPDVITYSTIINGLCKMGNTTMALQLLKKMEEKGCKPNVVAYNTIIDSLCKDRLVTEAMDFFSEMVKEGIPPDVFTYSSILHGFCNLGRVNEATSLFKQMVERNVIPNKVTFTILIDGLCKKRMISEAWRVFETMTEKGLEPDVYTYNALMDGYCSRSQMDEAQKLFNIMDRKGCAPNVRSYNILINGHCKSGRIDEAKGLLAEMSHKSLTPDIFTYSTLMRGFCQVGRPQEAQELLKEMCSYGLLPNLITYSIVLDGLCKHGHLDEAFELLKAMQESKIEPNIFIYTILIEGMCTFGKLEAARELFSNLFVKGIQPTVVTYTVMISGLLKGGLSNEACELFREMAVNGCLPNSCTYNVIIQGFLRNGDTPNAVRLIEEMVGKGFSADSSTFRMLSDLESSDEIISQFMRGSSQDRKTK >Potri.014G100600.1.v4.1 pep chromosome:Pop_tri_v4:14:6643812:6646977:1 gene:Potri.014G100600.v4.1 transcript:Potri.014G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100600.v4.1 MANVVTSFMLWLCLISYAYTTIHAAPEWPRGRSTRFYDFKIQTMTVNKLCNSKQIVTVNNMFPGPVVYAQQGDRLIVKVSNESPYNATIHWHGVRQILSCWFDGPSYITQCPIQPGQTFTYEFTLVGQKGTFFWHAHVSWLRATVYGALVVYPKPGVPYPFKYPYEEHIVILGEYWLQDIVHLERQVVASGGGPPPANAYTINGHPGPNYNCSATDVYKIDVLPGKTYLLRLINAGLNMENFFAIANHKLTIVEADAEYTKPFTTDRVMLGPGQTMIVLVTADQTIGKYSMAMGPYASGQNVAFQNISAIAYFQYVGAMPNSLSLPARLPSFNDNLAVKTVMDGLRGLNTSDVPKEIDTNLFLTIGMNVNKCRSKTPQQNCQGLNNGTMAASMNNISFIKPTVSVLEAYYKGIDGFFTDNFPGAPFRFYDFVNGAPNNAPNDTSSMNGTRVKVLEYGTRVQMILQDTGTVTTENHPIHLHGYSFYVVGYGAGNYNPQTANLNLVDPPYMNTIGVPVGGWAAIRFVADNPGVWFMHCHLDIHQSWGLGTVFIVKNGNGHLETLPHPPADLPRC >Potri.007G071900.1.v4.1 pep chromosome:Pop_tri_v4:7:9378979:9380339:-1 gene:Potri.007G071900.v4.1 transcript:Potri.007G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071900.v4.1 MEPAPCQPKPKKTRTKFTSFLGCFGFSRKAIPSPTKKPTKKKQTGLFSWSWVCVKNSGAKTVPIDSTVPGSDRTSGASKPKRQKPLTKHETPRQTPARVSSDRAPKEMPGETWYRSSEQDIILENGKLSDHVETTKDATCKKRLSFCRKVDAIRTGTNQPGSPEVKEKPIRTVSIITRSESSPSQPVERPATKTPNTAARSRFTIKRPRKENDHQNGKKSDHPFVGMSIIIMTLVLMLAWGKLCAILCTSAWFYFVPRLRSEDSVSNGLISAESFYDSEEYKKKVVMDGFLERSRRSIS >Potri.015G132300.1.v4.1 pep chromosome:Pop_tri_v4:15:14198676:14201796:-1 gene:Potri.015G132300.v4.1 transcript:Potri.015G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132300.v4.1 MDQTEVPKLRCQRIGCDATFTEDNNPDGSCTYHDSGPFFHDGMKEWSCCKKRSHDFSLFLEIPGCKTGKHTTEKPVLAKATPTPTPKNPFSPPTAAPATNSSSKESCPRCKQGFFCSDHGSQAQPKAAPAKSYASAPAKKVVDINQPQTCKNQGCGQTFKEKDNHETACNYHPGPAVFHDRMRGWKCCDIHVKEFDEFMSVPPCSKGWHDADPAS >Potri.015G060800.2.v4.1 pep chromosome:Pop_tri_v4:15:8488397:8493113:1 gene:Potri.015G060800.v4.1 transcript:Potri.015G060800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060800.v4.1 MRRRPAEYRRPVRRRLSQWIWALIGMFLIAGLVLFVFLHNHHEDQVNQPIMGEHAIKRGGFNFTKEILNASSFSRQLAEQMTLAKAYVIIAKEHNNLHLAWELSKKIRSCQLLLSKAAMRGEPITVEEAEPIISSLSYLIFKAQDAHYDIATTMMTMKSHIQALEERTNAATVQSTLFGQLVAEVLPKSLHCLKVKLINDWLKQLPLQNHAEEKRNSPRVVDNNLYHFCIFSDNILATSVVVNSTVCNADHPKQLVFHIVTNGISYGSMQAWFLTNDFKGATVEVQNIEEFSWLNASYAPVIKQIIHQDSRAYYFGADQDMKVEPKLRNPKYLSLLNHLRFYIPEIYPLLEKIVFLDDDVVVQKDLTRLFSLDLHGNVNGAVETCLETFHRYYKYINFSNPIISSKFDPQACGWAFGMNIFDLIAWRKENVTAQYHYWQEQNADQTLWKLGTLPPALLAFYGLTEPLDRRWHVLGLGYDMNIDDRLIDSAAVIHFNGNMKPWLKLAISRYKPLWERYVNQSHPYYQDCVTS >Potri.017G124000.1.v4.1 pep chromosome:Pop_tri_v4:17:12842366:12843280:-1 gene:Potri.017G124000.v4.1 transcript:Potri.017G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124000.v4.1 MGRTRKIPMAKRETAEQRSVTFTKRRQGLFNKAADLCRICDAQIAIMVSSTGSKEKVYTFGHSSIDAVFDRFLDNFTAAPEAVAYEAGIKSASNSLYEEIKALEGDVNTLMQNKKRNVGGVLWDSLEEIEQSSTSVEELQDVVDTLESLLGQAKNKLMNNATENLGISIAVEPKSDDFLALEPKPRDDSSSSLGGDQIGQNSAIIGDNGANYSDSYWNADGSTTDSGMEFPVEVDLDLIWNLLESSDFSSCSDKVISINNSSDCTTSGTASESASGYCLTDQLGLPLLTAGANQFEDWTNYYQC >Potri.015G109800.2.v4.1 pep chromosome:Pop_tri_v4:15:12724702:12730877:-1 gene:Potri.015G109800.v4.1 transcript:Potri.015G109800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109800.v4.1 MQDTGREDHHSDTELSGSERVAYSGPLSGPLNKRPGKKSARFNIPETTTSKDEQYVEITLDVRNDSVAVHSVKPSHGVKEDPEMTLLAKGPDKRSVSNVVRTASARIRQVSHEIKRLASFSKRPPPGRLDRSKSAAAHALKGLKFISKTDGGAGWAAVEKRFDEITASTEGLLPRARFCECIGMKESKEFAGELFSSLARKRNIQCDSINKAELREFWEQISNQSFDSRLQTFFDMVDKDADGRITEEEVREIITLSASANKLSNIQKQAEEYAALIMEELDPENHGYIMIENLEMLLLQGPSQSAGGESRNLSRMLSQKLKPTLDSNPLNRWGRGTRYFLLDNWQRVWVMALWIAVMASLFAYKYVQYRRRAAYVVMGHCVCMAKGAAETLKLNMALILLPVCRNTLTWLRNKTKLGVAVPFDDNLNFHKVIAVGVAVGVGIHGISHLACDFPRLLRASEEKWELMQQFFGDQPSSYWHFVKSKEGVTGILMVVLMAIAFTLATPWFRRNKLNLPTWLKKLTGFNAFWYSHHLFVIVYTLLIVHGYYLYLTHEWYKKTTWMYLTVPVMLYGGERFIRALRSSIKAVTIQKVAIYPGNVLALHMSKPQGFRYKSGQYMFVNCSAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTLQLRTVFSEACQPPPNGKSGLLRADCFQGHSPNFPRVLIDGPYGAPAQDYKKYEVLLLVGLGIGATPMISIVKDIASNIRAMEEEEKALENGTDGAGNSPLTRTPSPNAHKRKESFKTRRAYFYWVTREQGSFDWFKGVMNEVAELDHNHVIELHNYCTSVYEEGDARSALIAMLQSINHAKNGVDIVSGTRVKSHFAKPDWRNVYKRTALNHPDSRVGVFYCGAPALTKELRQLALDFSHKTSTKFDFHKENF >Potri.019G088000.2.v4.1 pep chromosome:Pop_tri_v4:19:12675510:12676461:-1 gene:Potri.019G088000.v4.1 transcript:Potri.019G088000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088000.v4.1 MENFPPLLYRNPKRSSRQSSRYLGVRRRPWGRYAAEIRNPYTKERHWLGTFDTAEEAAVAYDLSSISFSGIERARTNFYYPFFAHPSPSQEAPPPPLPPPEMEKGDQLGMEDVGTTQDDESIVIASILQSFCQSTSYSFHPQI >Potri.011G139000.1.v4.1 pep chromosome:Pop_tri_v4:11:17019276:17020630:1 gene:Potri.011G139000.v4.1 transcript:Potri.011G139000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139000.v4.1 MLLTRGINHHPYFDDVDEYYLGLSKETEYEKEASNPLEKEFGGPSKLVTGAERYQLLKTLTSRVFVPKKIRGSGRLGFTSMKTQCPDQKAESTTISIDEFVNPLGTILVPKKRRASRPKLVRKNNHCIRFDQEDQYLLLRTEIKSSQEKKTAGRKRVLKSQGIDVVDDLRKRSSKKMKKMGKRVDFKKLGLYPPPDFSSQIKSAISYQEGRDSEIKLRIMKQIFKTDMDKHQERFSMPLNQIKDGHEFLNKMEMEEVCQSESKSTEVKLVELGLEGGNVHQSTMRLRRWQMNNTVSYVLTSNWNDVLDRNAGALKVDDIVQVYSFRRDQKLCLVLVKVMDADDQSRQWPREQ >Potri.003G128700.1.v4.1 pep chromosome:Pop_tri_v4:3:14752585:14756641:1 gene:Potri.003G128700.v4.1 transcript:Potri.003G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128700.v4.1 MWPFWWKGPSGFSPRSTAEQVTQGIDATGLTAIVTGASSGIGTETTRVLALRCAHVVMAVRNVESGRKVKEEILKEFPTAKIDVMHLDLNSMESVRKFASEFISLGLPLNLLINNAGIMAAPFMLSQDNIEMQFATNHVGHFLLTDLVLDTMKKTALESDREGRIVIVSSEAHRFAYSEGIRFDKINDESGYNSIWAYGQSKLANVLHANELTRRLKEEGVNITANSLHPGSIMTNLLRFHSVINSVVTMVGRFALKNVQQGAATTCYVALHPQVKGVSGEYFMDSNLSKASSLAKDEELAKKLWDFSLSLTNPK >Potri.004G067300.1.v4.1 pep chromosome:Pop_tri_v4:4:5741422:5743491:1 gene:Potri.004G067300.v4.1 transcript:Potri.004G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067300.v4.1 MGLEEEESIEQAAAARRERLKALKAAQELLNTPDADSAKTADDDDDEAAEESNPSMKFRNYVPQDKELQEGKLAPPVLPKFEDPVAAAPPPSEKEEDPFLNIAPKKPNWDLRRDVQNKLDKLERRTQKAIYKLMEEQEKEKQLSENGGNGVVED >Potri.017G029250.1.v4.1 pep chromosome:Pop_tri_v4:17:1991730:1992470:1 gene:Potri.017G029250.v4.1 transcript:Potri.017G029250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029250.v4.1 MARSNNVSFAVLLFLGITLIASPILAIENEDESTEAGYIDDKNLAASPESSTEVLPSFLKNCANTISKSAGDKVFNYIFGDEKNLDYDTCSEVTGSGKECNDALVKYAAEGPMFKANYDFYLKRGDDLYNICLAVFVD >Potri.016G018100.19.v4.1 pep chromosome:Pop_tri_v4:16:945613:949108:-1 gene:Potri.016G018100.v4.1 transcript:Potri.016G018100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018100.v4.1 MEIDLELPSSDQEKLESGANTNECIMDSASELRGIDEAASSCLVEEVVEACGLNAIEGVIDGGDKVEERGVGVDGVGEGGISVPQNGLKFETKEAAYAFYRDYALSVGFGITIKASRRSKKNGKFIDVKIACSRFGSKRESSVTVNPRSCTKTDCKAGMHMKRTEDEKWVIYGFVKEHNHEICKEDYDNATGRRNKQSGAVARPKKGLQLALDEDDVKVMLEYFMCMQAENSTFFYAIDLDHEKRMRNVFWIDAKGRHDYHSFCDVVFFDTFYVSSKYKLPFVPIIGVNNHFQFVLLGCALIGEHSASSFLWLMHTWLKAVGGQAPKVIITDQERFLNEAVVDVFPDTLHYYSLWHVFSKIPENLSPVMNQSEIFMLKFNKCIYQSQTDEQFEKRWWKMVDRFELREDEWVHSLYENRIKWVPTFIRDISLAGMSTTERSGSVASFFDKYIHREAVFKEFMEQYKAFLEDGYEMEAKAEFETQNKQPALRSLSSFEKQASTLYTDAIFKKFQVEVLGVVSCHLKKESEDEATINFRVDDFEERQNFLVSWNKSTMDICCICRSFEYRGFLCKHAILVLQMSGVSNIPSRYILKRWTKGAKINQAVDKVSKSLHYRVQRFNDLCKQAIKLGKEGSLSKEAYDIAVRTLEEVLENCVGLNNSVKSVLEPNTLDVLGFPGFEEENCDNCFAKSSKKKRTYKKKKVYSEAGGIKIGLQESYQQMDQINSRAHHTDNCYIPQQDTQEELGSRAPNLEGYYGSQEGAPGVGQLNSISPFRDGYYSNQQGLPVLGQLHLIPTHVSHFGAPLSMQGLGQLGFRAPTMQTSFSNLPDLPVSSTQFNGIATKHLHDKHLSS >Potri.016G018100.16.v4.1 pep chromosome:Pop_tri_v4:16:945610:949593:-1 gene:Potri.016G018100.v4.1 transcript:Potri.016G018100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018100.v4.1 MEIDLELPSSDQEKLESGANTNECIMDSASELRGIDEAASSCLVEEVVEACGLNAIEGVIDGGDKVEERGVGVDGVGEGGISVPQNGLKFETKEAAYAFYRDYALSVGFGITIKASRRSKKNGKFIDVKIACSRFGSKRESSVTVNPRSCTKTDCKAGMHMKRTEDEKWVIYGFVKEHNHEICKEDYDNATGRRNKQSGAVARPKKGLQLALDEDDVKVMLEYFMCMQAENSTFFYAIDLDHEKRMRNVFWIDAKGRHDYHSFCDVVFFDTFYVSSKYKLPFVPIIGVNNHFQFVLLGCALIGEHSASSFLWLMHTWLKAVGGQAPKVIITDQERFLNEAVVDVFPDTLHYYSLWHVFSKIPENLSPVMNQSEIFMLKFNKCIYQSQTDEQFEKRWWKMVDRFELREDEWVHSLYENRIKWVPTFIRDISLAGMSTTERSGSVASFFDKYIHREAVFKEFMEQYKAFLEDGYEMEAKAEFETQNKQPALRSLSSFEKQASTLYTDAIFKKFQVEVLGVVSCHLKKESEDEATINFRVDDFEERQNFLVSWNKSTMDICCICRSFEYRGFLCKHAILVLQMSGVSNIPSRYILKRWTKGAKINQAVDKVSKSLHYRVQRFNDLCKQAIKLGKEGSLSKEAYDIAVRTLEEVLENCVGLNNSVKSVLEPNTLDVLGFPGFEEENCDNCFAKSSKKKRTYKKKKVYSEAGGIKIGLQESYQQMDQINSRAHHTDNCYIPQQDTQEELGSRAPNLEGYYGSQEGAPGVGQLNSISPFRDGYYSNQQGLPVLGQLHLIPTHVSHFGAPLSMQGLGQLGFRAPTMQTSFSNLPDLPVSSTQFNGIATKHLHDKHLSS >Potri.016G018100.10.v4.1 pep chromosome:Pop_tri_v4:16:945608:949595:-1 gene:Potri.016G018100.v4.1 transcript:Potri.016G018100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018100.v4.1 MEIDLELPSSDQEKLESGANTNECIMDSASELRGIDEAASSCLVEEVVEACGLNAIEGVIDGGDKVEERGVGVDGVGEGGISVPQNGLKFETKEAAYAFYRDYALSVGFGITIKASRRSKKNGKFIDVKIACSRFGSKRESSVTVNPRSCTKTDCKAGMHMKRTEDEKWVIYGFVKEHNHEICKEDYDNATGRRNKQSGAVARPKKGLQLALDEDDVKVMLEYFMCMQAENSTFFYAIDLDHEKRMRNVFWIDAKGRHDYHSFCDVVFFDTFYVSSKYKLPFVPIIGVNNHFQFVLLGCALIGEHSASSFLWLMHTWLKAVGGQAPKVIITDQERFLNEAVVDVFPDTLHYYSLWHVFSKIPENLSPVMNQSEIFMLKFNKCIYQSQTDEQFEKRWWKMVDRFELREDEWVHSLYENRIKWVPTFIRDISLAGMSTTERSGSVASFFDKYIHREAVFKEFMEQYKAFLEDGYEMEAKAEFETQNKQPALRSLSSFEKQASTLYTDAIFKKFQVEVLGVVSCHLKKESEDEATINFRVDDFEERQNFLVSWNKSTMDICCICRSFEYRGFLCKHAILVLQMSGVSNIPSRYILKRWTKGAKINQAVDKVSKSLHYRVQRFNDLCKQAIKLGKEGSLSKEAYDIAVRTLEEVLENCVGLNNSVKSVLEPNTLDVLGFPGFEEENCDNCFAKSSKKKRTYKKKKVYSEAGGIKIGLQESYQQMDQINSRAHHTDNCYIPQQDTQEVELGSRAPNLEGYYGSQEGAPGVGQLNSISPFRDGYYSNQQGLPVLGQLHLIPTHVSHFGAPLSMQGLGQLGFRAPTMQTSFSNLPDLEQPVSSTQFNGIATKHLHDKHLSS >Potri.016G018100.14.v4.1 pep chromosome:Pop_tri_v4:16:945609:949601:-1 gene:Potri.016G018100.v4.1 transcript:Potri.016G018100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018100.v4.1 MEIDLELPSSDQEKLESGANTNECIMDSASELRGIDEAASSCLVEEVVEACGLNAIEGVIDGGDKVEERGVGVDGVGEGGISVPQNGLKFETKEAAYAFYRDYALSVGFGITIKASRRSKKNGKFIDVKIACSRFGSKRESSVTVNPRSCTKTDCKAGMHMKRTEDEKWVIYGFVKEHNHEICKEDYDNATGRRNKQSGAVARPKKGLQLALDEDDVKVMLEYFMCMQAENSTFFYAIDLDHEKRMRNVFWIDAKGRHDYHSFCDVVFFDTFYVSSKYKLPFVPIIGVNNHFQFVLLGCALIGEHSASSFLWLMHTWLKAVGGQAPKVIITDQERFLNEAVVDVFPDTLHYYSLWHVFSKIPENLSPVMNQSEIFMLKFNKCIYQSQTDEQFEKRWWKMVDRFELREDEWVHSLYENRIKWVPTFIRDISLAGMSTTERSGSVASFFDKYIHREAVFKEFMEQYKAFLEDGYEMEAKAEFETQNKQPALRSLSSFEKQASTLYTDAIFKKFQVEVLGVVSCHLKKESEDEATINFRVDDFEERQNFLVSWNKSTMDICCICRSFEYRGFLCKHAILVLQMSGVSNIPSRYILKRWTKGAKINQAVDKVSKSLHYRVQRFNDLCKQAIKLGKEGSLSKEAYDIAVRTLEEVLENCVGLNNSVKSVLEPNTLDVLGFPGFEEENCDNCFAKSSKKKRTYKKKKVYSEAGGIKIGLQESYQQMDQINSRAHHTDNCYIPQQDTQEVELGSRAPNLEGYYGSQEGAPGVGQLNSISPFRDGYYSNQQGLPVLGQLHLIPTHVSHFGAPLSMQGLGQLGFRAPTMQTSFSNLPDLPVSSTQFNGIATKHLHDKHLSS >Potri.016G018100.11.v4.1 pep chromosome:Pop_tri_v4:16:945613:949589:-1 gene:Potri.016G018100.v4.1 transcript:Potri.016G018100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018100.v4.1 MEIDLELPSSDQEKLESGANTNECIMDSASELRGIDEAASSCLVEEVVEACGLNAIEGVIDGGDKVEERGVGVDGVGEGGISVPQNGLKFETKEAAYAFYRDYALSVGFGITIKASRRSKKNGKFIDVKIACSRFGSKRESSVTVNPRSCTKTDCKAGMHMKRTEDEKWVIYGFVKEHNHEICKEDYDNATGRRNKQSGAVARPKKGLQLALDEDDVKVMLEYFMCMQAENSTFFYAIDLDHEKRMRNVFWIDAKGRHDYHSFCDVVFFDTFYVSSKYKLPFVPIIGVNNHFQFVLLGCALIGEHSASSFLWLMHTWLKAVGGQAPKVIITDQERFLNEAVVDVFPDTLHYYSLWHVFSKIPENLSPVMNQSEIFMLKFNKCIYQSQTDEQFEKRWWKMVDRFELREDEWVHSLYENRIKWVPTFIRDISLAGMSTTERSGSVASFFDKYIHREAVFKEFMEQYKAFLEDGYEMEAKAEFETQNKQPALRSLSSFEKQASTLYTDAIFKKFQVEVLGVVSCHLKKESEDEATINFRVDDFEERQNFLVSWNKSTMDICCICRSFEYRGFLCKHAILVLQMSGVSNIPSRYILKRWTKGAKINQAVDKVSKSLHYRVQRFNDLCKQAIKLGKEGSLSKEAYDIAVRTLEEVLENCVGLNNSVKSVLEPNTLDVLGFPGFEEENCDNCFAKSSKKKRTYKKKKVYSEAGGIKIGLQESYQQMDQINSRAHHTDNCYIPQQDTQEVELGSRAPNLEGYYGSQEGAPGVGQLNSISPFRDGYYSNQQGLPVLGQLHLIPTHVSHFGAPLSMQGLGQLGFRAPTMQTSFSNLPDLEQPVSSTQFNGIATKHLHDKHLSS >Potri.016G018100.18.v4.1 pep chromosome:Pop_tri_v4:16:945609:949678:-1 gene:Potri.016G018100.v4.1 transcript:Potri.016G018100.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018100.v4.1 MEIDLELPSSDQEKLESGANTNECIMDSASELRGIDEAASSCLVEEVVEACGLNAIEGVIDGGDKVEERGVGVDGVGEGGISVPQNGLKFETKEAAYAFYRDYALSVGFGITIKASRRSKKNGKFIDVKIACSRFGSKRESSVTVNPRSCTKTDCKAGMHMKRTEDEKWVIYGFVKEHNHEICKEDYDNATGRRNKQSGAVARPKKGLQLALDEDDVKVMLEYFMCMQAENSTFFYAIDLDHEKRMRNVFWIDAKGRHDYHSFCDVVFFDTFYVSSKYKLPFVPIIGVNNHFQFVLLGCALIGEHSASSFLWLMHTWLKAVGGQAPKVIITDQERFLNEAVVDVFPDTLHYYSLWHVFSKIPENLSPVMNQSEIFMLKFNKCIYQSQTDEQFEKRWWKMVDRFELREDEWVHSLYENRIKWVPTFIRDISLAGMSTTERSGSVASFFDKYIHREAVFKEFMEQYKAFLEDGYEMEAKAEFETQNKQPALRSLSSFEKQASTLYTDAIFKKFQVEVLGVVSCHLKKESEDEATINFRVDDFEERQNFLVSWNKSTMDICCICRSFEYRGFLCKHAILVLQMSGVSNIPSRYILKRWTKGAKINQAVDKVSKSLHYRVQRFNDLCKQAIKLGKEGSLSKEAYDIAVRTLEEVLENCVGLNNSVKSVLEPNTLDVLGFPGFEEENCDNCFAKSSKKKRTYKKKKVYSEAGGIKIGLQESYQQMDQINSRAHHTDNCYIPQQDTQEELGSRAPNLEGYYGSQEGAPGVGQLNSISPFRDGYYSNQQGLPVLGQLHLIPTHVSHFGAPLSMQGLGQLGFRAPTMQTSFSNLPDLEQPVSSTQFNGIATKHLHDKHLSS >Potri.016G018100.13.v4.1 pep chromosome:Pop_tri_v4:16:945613:949595:-1 gene:Potri.016G018100.v4.1 transcript:Potri.016G018100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018100.v4.1 MEIDLELPSSDQEKLESGANTNECIMDSASELRGIDEAASSCLVEEVVEACGLNAIEGVIDGGDKVEERGVGVDGVGEGGISVPQNGLKFETKEAAYAFYRDYALSVGFGITIKASRRSKKNGKFIDVKIACSRFGSKRESSVTVNPRSCTKTDCKAGMHMKRTEDEKWVIYGFVKEHNHEICKEDYDNATGRRNKQSGAVARPKKGLQLALDEDDVKVMLEYFMCMQAENSTFFYAIDLDHEKRMRNVFWIDAKGRHDYHSFCDVVFFDTFYVSSKYKLPFVPIIGVNNHFQFVLLGCALIGEHSASSFLWLMHTWLKAVGGQAPKVIITDQERFLNEAVVDVFPDTLHYYSLWHVFSKIPENLSPVMNQSEIFMLKFNKCIYQSQTDEQFEKRWWKMVDRFELREDEWVHSLYENRIKWVPTFIRDISLAGMSTTERSGSVASFFDKYIHREAVFKEFMEQYKAFLEDGYEMEAKAEFETQNKQPALRSLSSFEKQASTLYTDAIFKKFQVEVLGVVSCHLKKESEDEATINFRVDDFEERQNFLVSWNKSTMDICCICRSFEYRGFLCKHAILVLQMSGVSNIPSRYILKRWTKGAKINQAVDKVSKSLHYRVQRFNDLCKQAIKLGKEGSLSKEAYDIAVRTLEEVLENCVGLNNSVKSVLEPNTLDVLGFPGFEEENCDNCFAKSSKKKRTYKKKKVYSEAGGIKIGLQESYQQMDQINSRAHHTDNCYIPQQDTQEELGSRAPNLEGYYGSQEGAPGVGQLNSISPFRDGYYSNQQGLPVLGQLHLIPTHVSHFGAPLSMQGLGQLGFRAPTMQTSFSNLPDLEQPVSSTQFNGIATKHLHDKHLSS >Potri.002G055500.1.v4.1 pep chromosome:Pop_tri_v4:2:3783809:3787271:-1 gene:Potri.002G055500.v4.1 transcript:Potri.002G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055500.v4.1 MAMAMAMNVSSACFCVSSNRRSVNRVRAVASEDFAASKIKEDKVQLGGSDLEVTRLGIGAWSWGDTSYWNNFEWDDMKMKAAKAAFDTSVDCGITFFDTAEVYGSRFSFGAINSETLLGRFIKDRKVKDPEVEVAVATKYAALPWRLGRQSVLTALKESLNRLGLSSVELYQLHWPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYSESRLRAAYEKLKKRGIPLASNQVNYSLIYRAPEENGVKAACDELGVTLIAYSPIAQGVLTGKYTPENPPTGPRGQIYTPEFLTKLQPLLNSIKEIGQNYSKTPTQVVLNWLVAQENVVPIPGAKNAEQAEEFAGALGWRLTSDEINELRSLALEIKPVIGFPVEKL >Potri.013G111300.1.v4.1 pep chromosome:Pop_tri_v4:13:11977074:11983943:1 gene:Potri.013G111300.v4.1 transcript:Potri.013G111300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111300.v4.1 MRAFLNTVKLDPLRLFFSPSLLNRRKTNFVSLPSFSYPSKTLTRIQTPGVFYCKEMATVSSEAAARAVIEVKDKIELNEVEKQIFDRLVNTLRHFNLNTELRVAGGWVRDKLLGKECCDIDIAIDNMLGSEFVDKVREYLLSTGEEAHGVGVIPRNPDQSKHLETARMRLLDLWIDFVNLRSEDYSENSRIPTMTFGTAKEDAYRRDLTINSLFYNINTSSVEDLTGRGIEDLKSGKIVTPLPPKDTFLDDPLRVLRAIRFGARFGFVLEEELKEAAACDDVKNALKAKISKERIGTEIDLMISGNQPVKAMTYIADLTLFWVVFNLHPKVEPTVSEECQGLCVAYLDAAWNLIHLIGGSSFTGEQRRLCLYAALFLPLRNTIYKDEKGKKVPVVNYIFRDSLKQKASDPETVIKIHRSLEKFLTLIPLLTSNDDTQPAEVDMGMELFDVPITSKLRVATGFLLREVKEFWRVGLLISTMLYPSDTDSTQDFLEKKFELDRRKDLFKAAEDAIVNLGLERVWDLKPLVNGKDIMTVLQLKSGGPVVKEWQQKLLAWQFAHPAGTVEECLDWMKETHLKRAKME >Potri.013G111300.2.v4.1 pep chromosome:Pop_tri_v4:13:11977291:11983913:1 gene:Potri.013G111300.v4.1 transcript:Potri.013G111300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111300.v4.1 MRAFLNTVKLDPLRLFFSPSLLNRRKTNFVSLPSFSYPSKTLTRIQTPGVFYCKEMATVSSEAAARAVIEVKDKIELNEVEKQIFDRLVNTLRHFNLNTELRVAGGWVRDKLLGKECCDIDIAIDNMLGSEFVDKVREYLLSTGEEAHGVGVIPRNPDQSKHLETARMRLLDLWIDFVNLRSEDYSENSRIPTMTFGTAKEDAYRRDLTINSLFYNINTSSVEDLTGRGIEDLKSGKIVTPLPPKDTFLDDPLRVLRAIRFGARFGFVLEEELKEAAACDDVKNALKAKISKERIGTEIDLMISGNQPVKAMTYIADLTLFWVVFNLHPKVEPTVSEECQGYDKI >Potri.005G164700.4.v4.1 pep chromosome:Pop_tri_v4:5:16359252:16363203:-1 gene:Potri.005G164700.v4.1 transcript:Potri.005G164700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164700.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSSLLEVVKSTGATQLLFNHLYDPLSLVRDHRAKEILTAQGITVRSFNADLLYEPWDVNDAQGRPFTTFDTFWERCLSMPFDPEAPLLPPKRIISGDVSRCPSVTLVFEDESEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSMNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNRAGEESVNLFIKSIGLREYSRYLSFNHPCTHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWAAGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDSREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPFAFPEDIHMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSDVRNSTGDGRAEVPRDVNVNQEPRRDALNQGFVQTVRNNTALSPFNISRGLTNVEDSTAESSSSGRRERDGGIVPVWSPPTSSYSEQFVGDDNGIGATSSYLQRHPQSHQIINWRRLSQTG >Potri.005G164700.1.v4.1 pep chromosome:Pop_tri_v4:5:16358329:16363213:-1 gene:Potri.005G164700.v4.1 transcript:Potri.005G164700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164700.v4.1 MSGGGCSIVWFRRDLRVEDNPALAAGVRAGAVVAVFVWAPEEEGHYYPGRVSRWWLKQSLAHLDSSLRSLGTSLVTKRSTDSVSSLLEVVKSTGATQLLFNHLYDPLSLVRDHRAKEILTAQGITVRSFNADLLYEPWDVNDAQGRPFTTFDTFWERCLSMPFDPEAPLLPPKRIISGDVSRCPSVTLVFEDESEKGSNALLARAWSPGWSNADRALTTFINGPLIEYSMNRRKADSATTSFLSPHLHFGEVSVRKVFHLVRIKQVLWANEGNRAGEESVNLFIKSIGLREYSRYLSFNHPCTHERPLLGHLKFFPWVVDEGYFKAWRQGRTGYPLVDAGMRELWAAGWLHDRIRVVVASFFVKVLQLPWRWGMKYFWDTLLDADLESDALGWQYITGTLPDSREFDRIDNPQFEGYKFDPNGEYVRRWLPELARLPTEWIHHPWNAPESVLQAAGIELGSNYPLPIVGIDAAKVRLEEALSEMWQQEAASRAAIENGTEEGLGDSSESAPFAFPEDIHMEENHEPVRNNPPATNRRYEDQMVPSMTSSFLRIEDEETSDVRNSTGDGRAEVPRDVNVNQEPRRDALNQGFVQTVRNNTALSPFNISRGLTNVEDSTAESSSSGRRERDGGIVPVWSPPTSSYSEQFVGDDNGIGATSSYLQRHPQSHQIINWRRLSQTG >Potri.005G071000.2.v4.1 pep chromosome:Pop_tri_v4:5:4628303:4638352:-1 gene:Potri.005G071000.v4.1 transcript:Potri.005G071000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071000.v4.1 MRKNSVLIGGGLGYCYKRWMSSSSSKGRKRSAAVWGNGDYGRLGYGNLDSMWRPKLMNSSSFHNSNLKSISCGGAHTLFLTETGRVYATGLNDFGQLGVSNNTTYCMEPLEVSGLKKEIVQISAGYHHSCAITVDGELYTWGKNSNGQLGLGKKAENVVPVPTKVECLSGINIKMVALASEHSIAVTDGGQALSWGGGGSGRLGHGHQSSLLGFFRSSSEYTPRHIKKLEGVKVKNIAAGLLHSACIDENGSVYIFGEKAVDKLAFGDANNATTPSMIGKLPYSQEVACGGYHTCVITSGGELYTWGSNENGCLGNGSIDVLHIPERVEGPFLRSPVEKVSCGWKHTAAISGNVFTWGWGGSHGTFSEDGLSSGGQLGHGDDFDYVNPTLVAFEKKMKALEVSCGFNHTGAILESVDA >Potri.005G071000.6.v4.1 pep chromosome:Pop_tri_v4:5:4628269:4638413:-1 gene:Potri.005G071000.v4.1 transcript:Potri.005G071000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071000.v4.1 MRKNSVLIGGGLGYCYKRWMSSSSSKGRKRSAAVWGNGDYGRLGYGNLDSMWRPKLMNSSSFHNSNLKSISCGGAHTLFLTETGRVYATGLNDFGQLGVSNNTTYLDGELYTWGKNSNGQLGLGKKAENVVPVPTKVECLSGINIKMVALASEHSIAVTDGGQALSWGGGGSGRLGHGHQSSLLGFFRSSSEYTPRHIKKLEGVKVKNIAAGLLHSACIDENGSVYIFGEKAVDKLAFGDANNATTPSMIGKLPYSQEVACGGYHTCVITSGGELYTWGSNENGCLGNGSIDVLHIPERVEGPFLRSPVEKVSCGWKHTAAISEGNVFTWGWGGSHGTFSEDGLSSGGQLGHGDDFDYVNPTLVAFEKKMKALEVSCGFNHTGAILESVDA >Potri.005G071000.1.v4.1 pep chromosome:Pop_tri_v4:5:4628265:4638393:-1 gene:Potri.005G071000.v4.1 transcript:Potri.005G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071000.v4.1 MRKNSVLIGGGLGYCYKRWMSSSSSKGRKRSAAVWGNGDYGRLGYGNLDSMWRPKLMNSSSFHNSNLKSISCGGAHTLFLTETGRVYATGLNDFGQLGVSNNTTYCMEPLEVSGLKKEIVQISAGYHHSCAITVDGELYTWGKNSNGQLGLGKKAENVVPVPTKVECLSGINIKMVALASEHSIAVTDGGQALSWGGGGSGRLGHGHQSSLLGFFRSSSEYTPRHIKKLEGVKVKNIAAGLLHSACIDENGSVYIFGEKAVDKLAFGDANNATTPSMIGKLPYSQEVACGGYHTCVITSGGELYTWGSNENGCLGNGSIDVLHIPERVEGPFLRSPVEKVSCGWKHTAAISEGNVFTWGWGGSHGTFSEDGLSSGGQLGHGDDFDYVNPTLVAFEKKMKALEVSCGFNHTGAILESVDA >Potri.002G235101.1.v4.1 pep chromosome:Pop_tri_v4:2:22818406:22819168:-1 gene:Potri.002G235101.v4.1 transcript:Potri.002G235101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235101.v4.1 MAEVKLLQKNITESPAKKKRHGFTRKCASLVQEQRARIYVLRRCATMLLCWYIQGDD >Potri.002G033300.2.v4.1 pep chromosome:Pop_tri_v4:2:2208563:2209060:-1 gene:Potri.002G033300.v4.1 transcript:Potri.002G033300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033300.v4.1 MALSLTNTFLQTKPISLPLPPIKKVKVSAAGNYGLQVTCRKKEIHPEFYQDAKVYCNGELVLTTGGTKQEYVVDVWSGNHPFYLGNRSGVLVDADQVEKFRKKYEGLSQIMEIPVLKGEVILPSRKKSSAGKGGKKK >Potri.019G119500.4.v4.1 pep chromosome:Pop_tri_v4:19:14539259:14542483:-1 gene:Potri.019G119500.v4.1 transcript:Potri.019G119500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119500.v4.1 MVRFSCFSARIHSHKSKKTAQPSDEARHKSLEDLSQIQALPLTKSSSLILPKAQAGNQISNGVRDATRADVSVEQSWNSDKTENKMGDKNDMGAHQTRLIRKSQSLGSGLCHEGRVLCDNDTEEIDQGVYSDSLDQNGLLRPDGSKDSGISTTSEHEKALQLGSFNGSYGFVKKECIFSIDNRHYSEKEGPENSDTPFSGDGGNLSGNQSPHSPPMFEKSCSFSDMGPYALTSHRHSYEYLAPQSRSSEDLHALGMRLTTISIQGGETQKMKEQGRDDNMPNTEENNIGSCIDEGFESYNYSALAQNWIMPVMDEVNLAKDLQGESSTQQWEELPSKDFKMKRIKDWVNNLQHFGPLEETNGLPGTDDPVKGDSNDLTSAKVDNKDTAGIEAAKRYISSLSVSATTAHLSNHELAVIPFLGVFGSLRMLNLSGNSIVRITAGALPRGLHMLNLSRNNISTIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAANKISEVEGLHRLLKLSVLDLRFNKISTTKCLGQLAANYSSLQAISLEGNSAQKNVGDEQLKKYLQGLLPHLIYFNRQSIKASTLKDTADRSVRLGISSHQFDRGLRSDNKAVRKASHGLGGARPLPSSTHARKSQPVISTKRSSGRHLRSQPSGTQATTSLRHHDLGSKLLNFRSESSSVHRSRSEGTLGAF >Potri.019G119500.5.v4.1 pep chromosome:Pop_tri_v4:19:14539275:14543301:-1 gene:Potri.019G119500.v4.1 transcript:Potri.019G119500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119500.v4.1 MGDKNDMGAHQTRLIRKSQSLGSGLCHEGRVLCDNDTEEIDQGVYSDSLDQNGLLRPDGSKDSGISTTSEHEKALQLGSFNGSYGFVKKECIFSIDNRHYSEKEGPENSDTPFSGDGGNLSGNQSPHSPPMFEKSCSFSDMGPYALTSHRHSYEYLAPQSRSSEDLHALGMRLTTISIQGGETQKMKEQGRDDNMPNTEENNIGSCIDEGFESYNYSALAQNWIMPVMDEVNLAKDLQGESSTQQWEELPSKDFKMKRIKDWVNNLQHFGPLEETNGLPGTDDPVKGDSNDLTSAKVDNKDTAGIEAAKRYISSLSVSATTAHLSNHELAVIPFLGVFGSLRMLNLSGNSIVRITAGALPRGLHMLNLSRNNISTIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAANKISEVEGLHRLLKLSVLDLRFNKISTTKCLGQLAANYSSLQAISLEGNSAQKNVGDEQLKKYLQGLLPHLIYFNRQSIKASTLKDTADRSVRLGISSHQFDRGLRSDNKAVRKASHGLGGARPLPSSTHARKSQPVISTKRSSGRHLRSQPSGTQATTSLRHHDLGSKLLNFRSESSSVHRSRSEGTLGAF >Potri.019G119500.2.v4.1 pep chromosome:Pop_tri_v4:19:14539103:14543316:-1 gene:Potri.019G119500.v4.1 transcript:Potri.019G119500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119500.v4.1 MVRFSCFSARIHSHKSKKTAQPSDEARHKSLEDLSQIQALPLTKSSSLILPKAQAGNQISNGVRDATRADVSVEQSWNSDKTENKMGDKNDMGAHQTRLIRKSQSLGSGLCHEGRVLCDNDTEEIDQGVYSDSLDQNGLLRPDGSKDSGISTTSEHEKALQLGSFNGSYGFVKKECIFSIDNRHYSEKEGPENSDTPFSGDGGNLSGNQSPHSPPMFEKSCSFSDMGPYALTSHRHSYEYLAPQSRSSEDLHALGMRLTTISIQGGETQKMKEQGRDDNMPNTEENNIGSCIDEGFESYNYSALAQNWIMPVMDEVNLAKDLQGESSTQQWEELPSKDFKMKRIKDWVNNLQHFGPLEETNGLPGTDDPVKGDSNDLTSAKVDNKDTAGIEAAKRYISSLSVSATTAHLSNHELAVIPFLGVFGSLRMLNLSGNSIVRITAGALPRGLHMLNLSRNNISTIEGLRELTRLRVLDLSYNRIFRIGHGLASCSSLKELYLAANKISEVEGLHRLLKLSVLDLRFNKISTTKCLGQLAANYSSLQAISLEGNSAQKNVGDEQLKKYLQGLLPHLIYFNRQSIKASTLKDTADRSVRLGISSHQFDRGLRSDNKAVRKASHGLGGARPLPSSTHARKSQPVISTKRSSGRHLRSQPSGTQATTSLRHHDLGSKLLNFRSESSSVHRSRSEGTLGAF >Potri.003G108301.1.v4.1 pep chromosome:Pop_tri_v4:3:13116289:13117844:-1 gene:Potri.003G108301.v4.1 transcript:Potri.003G108301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108301.v4.1 MALIPKSSQSTHAPQPRNSSSHPCMIIIYKHIHTPMFSSNVLCITRTMASKKFLCFWNAFLIVILLFSSAMGSRDLSEIARPRMILEKASRTQRGLPRDGNYLVDPPPAH >Potri.006G217800.1.v4.1 pep chromosome:Pop_tri_v4:6:22300748:22302039:-1 gene:Potri.006G217800.v4.1 transcript:Potri.006G217800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217800.v4.1 MASFLAAPACPLVALPTTTRASFSTVQQKSFSVNGGPLFFGLKHAQNIQFSKAASISSTRSRCFKATISCSAQPETLETVQSTIAKQLSVEVSTVTPETKFADLGADSLDTVEIMMALEEQFGVSIGEGGAENIATVQDAADLIEKVKAAA >Potri.015G030400.1.v4.1 pep chromosome:Pop_tri_v4:15:2326245:2330044:-1 gene:Potri.015G030400.v4.1 transcript:Potri.015G030400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030400.v4.1 MAAAAKERIEGTKRNSFGEASDCCSNGNEQSEIVLQLNELNSFGSDNIPKVRKPYTITKQREKWTEEEHQRFLEALKLYGRGWRKIQEHVGTKTAVQIRSHAQKIFSKVVWESSGGNESSLKPIEIPPPRPKRKPAHPYPRKSVDIRKGTPASSQLDGSPSPNSSASEKDNLSPTSVLSALASDTLGTALSEQHNACSSPTSCTTDMHSISLPPSVKEAEHLTSNSSREEDKETFSLIEMSCSPLEKFLSKKFELGSDDTVCAEGDATKEASSTSIKLFGMTVMVAASHKKSPPGAEFVLSMTSNENQDNADTDKEKPAQTLLLKQSDTELSLGMENSDQNQLPSPASVFECTELQRGTANYFATNPSIPWWFLGSGVSFLPPTSYDHTSTPTPTPSYMAERSEEKEILNERSCTGSNAGPVGELENVEKSLDVVDSQCRQLPYKRCLGERDVKSTVIVYEERERQRARVCS >Potri.013G099450.1.v4.1 pep chromosome:Pop_tri_v4:13:10432741:10434356:1 gene:Potri.013G099450.v4.1 transcript:Potri.013G099450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099450.v4.1 MKAFWPFLSPLPVPQPRDNNLPKKDPTEVTQVNHLSACNTLAIPQPMNSQPSLIKSPITHLLTQPLVAPLNPSPAPQLDPQPSHIKPTTHHIALIQHHTNPPTTKVMAQTNQVLTPQPWKATTLPSLTPYLV >Potri.001G246000.1.v4.1 pep chromosome:Pop_tri_v4:1:26287244:26288023:-1 gene:Potri.001G246000.v4.1 transcript:Potri.001G246000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246000.v4.1 MDHGHDMPGTGGMAAPPPMNNTGMMHHHKMMMMHMTFFWGKSAEIVFSSWPGSFEKRPYMYFVALLFVFVLSILVEWLSHCRLIKPGSGPVAAGLVQTLLHALRVGVAYMVMLAVMSFNGGVFLVAVAGQTLGFFFFGSRVFKETHPPTETSDLPPMSC >Potri.015G100200.3.v4.1 pep chromosome:Pop_tri_v4:15:11993138:11999124:-1 gene:Potri.015G100200.v4.1 transcript:Potri.015G100200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100200.v4.1 MTRRRLRLSSYSDDDDDEEEQQNHQQDYQMESHQLPNPNPNLPEQPVPLPISSDDAEFADASDNLTPPSPPYPPSVAPPISGCPIGDFLLRTGLSLKREWLDSCLRQIDNSLDIVSKAKICFGQFLISDMNHCGAGVLPPNVDSMHLADLPGPFVLQVDEIVNISCPLKGRYQDANAGVKRCLKLSMTDGVQRVFGMEYRSIKDLKVLAPPGFKVAICNVHIRRGLLMLVPEALAILGGVVEELDAARQRLADEINKPPRGRRSRTGEVPPLATRATQAAWAPNGVNIPSSTNNSGNVPGHTNTSADDLQNTNGSGNALGRTNSSVKVVQHTDRSTLRVATPFQEDGRGANLVVSGTDTSQRTVEECAVPMSAETTMCNLSSSIVSDVGEMHINSPISGVNSVSNQHSNGTLEQEDVCMIDEFEHPLILSRDREIPFTYLASLSAKWAAMKEKEPSVRGKIKCFLTGVKGFQYKQRTTYELQVYVDDGSLISEILIDHNVVLKGIGCSPVEVTTALSSSDKKRAGEMKETLRQFQMFLVNFEGTMLIEINGASKLPVALEMNQGCPPSDAWLLMRRLKSFSSSLTPQHSSMGPIDISP >Potri.015G100200.4.v4.1 pep chromosome:Pop_tri_v4:15:11993802:11999186:-1 gene:Potri.015G100200.v4.1 transcript:Potri.015G100200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100200.v4.1 MTRRRLRLSSYSDDDDDEEEQQNHQQDYQMESHQLPNPNPNLPEQPVPLPISSDDAEFADASDNLTPPSPPYPPSVAPPISGCPIGDFLLRTGLSLKREWLDSCLRQIDNSLDIVSKAKICFGQFLISDMNHCGAGVLPPNVDSMHLADLPGPFVLQVDEIVNISCPLKGRYQDANAGVKRCLKLSMTDGVQRVFGMEYRSIKDLKVLAPPGFKVAICNVHIRRGLLMLVPEALAILGGVVEELDAARQRLADEINKPPRGRRSRTGEVPPLATRATQAAWAPNGVNIPSSTNNSGNVPGHTNTSADDLQNTNGSGNALGRTNSSVKVVQHTDRSTLRVATPFQEDGRGANLVVSGTDTSQRTVEECAVPMSAETTMCNLSSSIVSDVGEMHINSPISGVNSVSNQHSNGTLEQEDVCMIDEFEHPLILSRDREIPFTYLASLSAKWAAMKEKEPSVRGKIKCFLTGVKGFQYKQRTTYELQVYVDDGSLISEILIDHNVVLKGIGCSPVEVTTALSSSDKKRAGEMKETLRQFQMFLVNFEGTMLIEINGASKLPVALEMNQGCPPSDAWLLMRRLKSFSSSLTPQHSSMGPIDISP >Potri.010G254400.3.v4.1 pep chromosome:Pop_tri_v4:10:22559170:22563218:1 gene:Potri.010G254400.v4.1 transcript:Potri.010G254400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254400.v4.1 MGKKQKEDASGAPSKAKAGNKDAKKEKLSVTAMLASMDQKHDKPKKGSSSTVTSSKPKPKSAPSYTDGIDLPPSDDEEPNGLEEEQQQNDPNKRPSQRRSELKPLDVAISDKELKKREKKEVLAAHAIEHARQEALKDDHDAFTVVIGSRASVLDGEDEGDANVKDITIENFSVSARGKELLKNASVKIAHGRRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVIGDDKTALQAVVSANEELVKLREEVASLQKSDGPAEGENNGDDYDEDDAGERLAELYEKLQLMGSDAAESQASKILAGLGFTKDMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNDIIHLHDQKLDSYRGNFDDFEVGYEQRRKETNKKFEIYDKQMKAAKRSGNRVQQEKVKDRAKFAAAKEAGKNKGKAKVDEDQAPPEAPRKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFKLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRGKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSEIWVVEDGTVTAFPGTFEEYKEELQKEIKAEVDD >Potri.010G254400.2.v4.1 pep chromosome:Pop_tri_v4:10:22559170:22563247:1 gene:Potri.010G254400.v4.1 transcript:Potri.010G254400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254400.v4.1 MGKKQKEDASGAPSKAKAGNKDAKKEKLSVTAMLASMDQKHDKPKKGSSSTVTSSKPKPKSAPSYTDGIDLPPSDDEEPNGLEEEQQQNDPNKRPSQRRSELKPLDVAISDKELKKREKKEVLAAHAIEHARQEALKDDHDAFTVVIGSRASVLDGEDEGDANVKDITIENFSVSARGKELLKNASVKIAHGRRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVIGDDKTALQAVVSANEELVKLREEVASLQKSDGPAEGENNGDDYDEDDAGERLAELYEKLQLMGSDAAESQASKILAGLGFTKDMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNDIIHLHDQKLDSYRGNFDDFEVGYEQRRKETNKKFEIYDKQMKAAKRSGNRVQQEKVKDRAKFAAAKEAGKNKGKAKVDEDQAPPEAPRKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFKLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRGKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSEIWVVEDGTVTAFPGTFEEYKEELQKEIKAEVDD >Potri.010G254400.1.v4.1 pep chromosome:Pop_tri_v4:10:22559171:22563191:1 gene:Potri.010G254400.v4.1 transcript:Potri.010G254400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254400.v4.1 MGKKQKEDASGAPSKAKAGNKDAKKEKLSVTAMLASMDQKHDKPKKGSSSTVTSSKPKPKSAPSYTDGIDLPPSDDEEPNGLEEEQQQNDPNKRPSQRRSELKPLDVAISDKELKKREKKEVLAAHAIEHARQEALKDDHDAFTVVIGSRASVLDGEDEGDANVKDITIENFSVSARGKELLKNASVKIAHGRRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVIGDDKTALQAVVSANEELVKLREEVASLQKSDGPAEGENNGDDYDEDDAGERLAELYEKLQLMGSDAAESQASKILAGLGFTKDMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNDIIHLHDQKLDSYRGNFDDFEVGYEQRRKETNKKFEIYDKQMKAAKRSGNRVQQEKVKDRAKFAAAKEAGKNKGKAKVDEDQAPPEAPRKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFKLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRGKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSEIWVVEDGTVTAFPGTFEEYKEELQKEIKAEVDD >Potri.010G254400.4.v4.1 pep chromosome:Pop_tri_v4:10:22559171:22563211:1 gene:Potri.010G254400.v4.1 transcript:Potri.010G254400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254400.v4.1 MGKKQKEDASGAPSKAKAGNKDAKKEKLSVTAMLASMDQKHDKPKKGSSSTVTSSKPKPKSAPSYTDGIDLPPSDDEEPNGLEEEQQQNDPNKRPSQRRSELKPLDVAISDKELKKREKKEVLAAHAIEHARQEALKDDHDAFTVVIGSRASVLDGEDEGDANVKDITIENFSVSARGKELLKNASVKIAHGRRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVIGDDKTALQAVVSANEELVKLREEVASLQKSDGPAEGENNGDDYDEDDAGERLAELYEKLQLMGSDAAESQASKILAGLGFTKDMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTLVVVSHDRDFLNTVCNDIIHLHDQKLDSYRGNFDDFEVGYEQRRKETNKKFEIYDKQMKAAKRSGNRVQQEKVKDRAKFAAAKEAGKNKGKAKVDEDQAPPEAPRKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNREDFKLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPTEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLRLHPDQEGLSKQEAVRGKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALADALDEFTGGVVLVSHDSRLISRVCEDEEKSEIWVVEDGTVTAFPGTFEEYKEELQKEIKAEVDD >Potri.015G069200.2.v4.1 pep chromosome:Pop_tri_v4:15:9472888:9476832:-1 gene:Potri.015G069200.v4.1 transcript:Potri.015G069200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069200.v4.1 MAQKLKLKVLTLITKLSDRDTYKIASTELEKIAGSLDSTTLPTFLSCILSTDANDKPLVRKQCLHLLSTLSALYSNSLSNSLPKILSYITRRLRDPDSSVIRSQCLTAITSLASNVTKLPFSTAFLKQLSESVFTEQELNAQIGSALCLAAAIDAAPDPEPGRLGKMLVPRMERLVRSEGYKARFAGLVVVGSVIGVGGARGIGGGIGGLVKCLVGFLSSEDWNSRKAAAEALRKLAVVERDGVAEFKSECLKVFENRRFDKVKAAREVMNETIEAWKQVPDVSEEASPPPRSLASSREDASDKRHWSGSKNSCAAGSEATQMGKKSILAMRTTPPDGSLAATARKRSPLKSTEKKTSLAMYGKVDQKKLVDWKVEISVPNSISSTAAGENDRNEKNANVSERRFAKPETKRALFSKNSDEKTLKFGGFKSGSRVAPCYEESPQSTVVASSGTENQHSNHKEPEDLSLIRNQLVQIERQQSSLLDLLQSFIGSSQNGMRSLETRVHGLELALDEISYDLAVSSRGMTNRDSNRTTCCLLPGADFLSSKFWRKTDGRYSNSRISSSRGTSLSAAVRHRADRNGQSETSNLGNQRLRLQGGAGFIVNPLAEIHGGARVNSEVTQH >Potri.014G074900.1.v4.1 pep chromosome:Pop_tri_v4:14:4809539:4812813:-1 gene:Potri.014G074900.v4.1 transcript:Potri.014G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074900.v4.1 MGGASLTELSFPQNDFLEPLFTASKSSDLKETLEILIAIAKTDDGRADLASKNILPVVLQLITHLLNDPFDHEYLSLSLRLMRNLCAGEVANQKSFIQLNGVGIFLTVLRSKKVASSEPDHGIIRMGLQVLANVSLAGKEHQQAIWGGLFHDELYMLAKVRSQGTCDPLCMIIYACCDGSPELVLQLCGNQGLPIVVEIIRTASLVGFGEEWLKLLLSRICLEDIYFPQLFSRIYSVCSYCENGEEISLSSNPFFTEQAYLLNIVSEILNERLKEITILNDFALCIFGIFKKSVEAFEFGSRAESRLPTGFAVIDVLGYSLTILRDICANNGGVGKEDLVDVVDSLLSSGLLDLLLCLLRDLEPPKIIRKAMNQAGNQEATTSYFPKVCPYKGFRRDLVAVIGNCAYRRKHVQDDIRQKNGMLLMLQQCVTDEDNPFLREWGIWSMRNLLEGNSENQQAVAELELQGSVDMPELAGLGLKVEVDQNTRSAKLVNIS >Potri.014G074900.2.v4.1 pep chromosome:Pop_tri_v4:14:4809868:4812813:-1 gene:Potri.014G074900.v4.1 transcript:Potri.014G074900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074900.v4.1 MGLQVLANVSLAGKEHQQAIWGGLFHDELYMLAKVRSQGTCDPLCMIIYACCDGSPELVLQLCGNQGLPIVVEIIRTASLVGFGEEWLKLLLSRICLEDIYFPQLFSRIYSVCSYCENGEEISLSSNPFFTEQAYLLNIVSEILNERLKEITILNDFALCIFGIFKKSVEAFEFGSRAESRLPTGFAVIDVLGYSLTILRDICANNGGVGKEDLVDVVDSLLSSGLLDLLLCLLRDLEPPKIIRKAMNQAGNQEATTSYFPKVCPYKGFRRDLVAVIGNCAYRRKHVQDDIRQKNGMLLMLQQCVTDEDNPFLREWGIWSMRNLLEGNSENQQAVAELELQGSVDMPELAGLGLKVEVDQNTRSAKLVNIS >Potri.014G074900.6.v4.1 pep chromosome:Pop_tri_v4:14:4809909:4812793:-1 gene:Potri.014G074900.v4.1 transcript:Potri.014G074900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074900.v4.1 MGGASLTELSFPQNDFLEPLFTASKSSDLKETLEILIAIAKTDDGRADLASKNILPVVLQLITHLLNDPFDHEYLSLSLRLMRNLCAGEVANQKSFIQLNGVGIFLTVLRSKKVASSEPDHGIIRMGLQVLANVSLAGKEHQQAIWGGLFHDELYMLAKVRSQGTCDPLCMIIYACCDGSPELVLQLCGNQGLPIVVEIIRTASLG >Potri.006G008900.2.v4.1 pep chromosome:Pop_tri_v4:6:608898:609802:1 gene:Potri.006G008900.v4.1 transcript:Potri.006G008900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008900.v4.1 MGYKMSKSLNSEQNPGAGHSHGCGSSIIELLKPECLKVKEFQSKRQGHQEQKKGTKVSKLTLQDWLLTSPNLKAENLKGGELGAVKHGSKRVHPSRGRESFSKDRLLVLDHVADKEDYSTVSSVSLLSRTQSGKLQKRVSFKLPEEADIILFYSPQDSLESPQDCSM >Potri.004G201800.2.v4.1 pep chromosome:Pop_tri_v4:4:21156739:21165282:1 gene:Potri.004G201800.v4.1 transcript:Potri.004G201800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201800.v4.1 MAVRATMSRFPMEEDVQESSGLPWGITVTPFASKDENGLSPVYGSNGDLLPRCENCYAYFNTYCELDQWAWNCSLCGTLNGLDSQAIARYSHPQSCAEMMSSFVDLELPMEGSDEEMMQACPVYVAAVDLSSSEEFLELTKSALQAALEALAPGSLFGLATFSHKMGLYDVQGPIPVVKNVFIPPDMEGTLPTELEDVMPLSQFLAPVETCKDRITAALDTLRPTTSWERTTGAGQGLDGVLMGGRGFGVAMEALLKYLGSEYGNTFALARVFAFISGPPDYGAGQLDTRRYGEQYASKGEDADRALLPEQTPFYKDLAAVAVQAGVCVDIFAVTNEYTDLASLKFLSIESGGTLFLYSNTDDSTLPQDMYRMLSRPYAFGCILRLRTSSEFKPGHSYGHFFPDPQYENVQHIICCDSFATYAYDFDFTSATGFSRYASEQPVLQIAFQYTVVVPPEELSSPRLVSASRGKHLLKRRLRIRTLQFGTARNMNELYDNVDSEVVLSILVHKVILASLEQGVREGRMLLHDWLVILTAQYNDASKIVQFKNGGSLTAQVDVAFSQCQQLQPLPRLVFALLRNPLLRFHEEGVHPDYRIYLQCLFSALEPSSLQRAIYPVLTSYSTPDKQAYPRHSLSRAALITSDSPIFFLDAFTTLIVFYSSTADPALPFPPPQDCLLRSTINKLKQERSITPKLIFIRGGQDDASVFENYLIEEQHVDGSGFASVMGFVSFLEDVIQSVLEYMK >Potri.011G151400.1.v4.1 pep chromosome:Pop_tri_v4:11:17846453:17851846:1 gene:Potri.011G151400.v4.1 transcript:Potri.011G151400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151400.v4.1 MGISRPHKSSNGVSTRWVFLFCIASFFLGVLVVNRFWDIPDPGQMDDEASSLKKDQLTAEHPPVDCQKQENAVQAGDILSQVSQTHDVIMALDKTISSMEVQLASARAAKGDNENVSPMVIKSGNEHLKERPKVFFVMGIITAFSSRRRRDSIRETWMPKGEELKKLETEKGIIIRFVIGHSASPGGVLDRAIEAEDEQYKDFLRLNHVEGYHELSSKTQIYFSTAVAMWDADFYIKVDDDVHINLGMVGSTLARHRSKPRVYIGCMKSGPVLAQKGVKYHEPEYWKFGEEGNKYFRHATGQIYAISKDLATYISVNRHILHRYANEDVSLGSWFIGLDVEHIDDRSLCCGTAPDCEWKAQAGNPCAASFDWSCSGICKSVERMEEVHQRCGEGDEAIWHTSF >Potri.017G119700.1.v4.1 pep chromosome:Pop_tri_v4:17:12579159:12579891:-1 gene:Potri.017G119700.v4.1 transcript:Potri.017G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119700.v4.1 MKPKSKIQTKTKNSNSLWKWNTNDSKRKNGNQFPVPEFLQDGYKKVEETILGPGGGVGIGCGIGAGFGLVGGLGYGSWPWNNTKLVFGVGMGCGVGFGFGYGNGLGHGFSLDSLESYFEKAGF >Potri.008G146600.1.v4.1 pep chromosome:Pop_tri_v4:8:9984845:9988570:-1 gene:Potri.008G146600.v4.1 transcript:Potri.008G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD1 MSYSDCLSDLLCGEDSSDIFSGESPECSSDLESHDFVEESSIAGFIEDERNFVPGYDYFSRFQSQSLDASAREQSVAWILKVQACYGFQPLTAYLSVNYLDRFLYSRRLPQTDGWPLQLLSVACLSLAAKMEEPLVPSLLDLQVEGAKYIFEPRTIRRMELLVLGVLDWRLRSITPFSFTGFFACKLDPAGAYTGFLISRATEIILSNIKEASFLEYRPSSIAAAAILCAANDIPNLSLVNPEHAESWCDGLSKDKIISCYRLMQDLVLDDSRRKSTKVLLPRLRVTIRARMRSSGSDSSSSSSSSSYKKRKLNNCLWVVDDDKGNSE >Potri.002G080200.1.v4.1 pep chromosome:Pop_tri_v4:2:5657273:5659704:1 gene:Potri.002G080200.v4.1 transcript:Potri.002G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080200.v4.1 MAIEADDSFKKPGAVPFKWEIRPGVPKIQQKQLQEKQQKKPPTLPSPSPPFSHRQQKKELSPPTLPSTSPPFSHPSPSTAPLVQKQKLKPPPAGSIFLPPSEPCARSFRPVLRSLSERWRFEQPVRVRPECVSAGCFPSPLLRRKQSKRRTAFSIAKPGSEPDYTSDLDTLSRWSISSRKSLSSFRDSPASSFSSYHSSPRPVSDAEWAGFGLF >Potri.001G042100.1.v4.1 pep chromosome:Pop_tri_v4:1:3062360:3063423:-1 gene:Potri.001G042100.v4.1 transcript:Potri.001G042100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042100.v4.1 MMTTPMTNVLFLALALAFIGLSAIDSAQARTLPDRFGLKVAKTLATPPPPCMVQSTRDYQKYLTSPPPTTSYDQETLSSPPRPDSTKGQLDIESPCSNEESPCINDGCISMITDFERPIPSSNPPPKQHTPTVPLLRPKSPPRQYLVSARNHHP >Potri.007G036901.1.v4.1 pep chromosome:Pop_tri_v4:7:2896187:2903394:1 gene:Potri.007G036901.v4.1 transcript:Potri.007G036901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036901.v4.1 MKGFDTPIDPITRKKELCLYGTTEFNNASCGRAIGDILRSNFKGAWHSWEKVDPMCRDELFKEFKARIALNQQLTRARKKAMSKENTTNIIDCLDKGPAWINNDDWNQMIKDVWSTPEFQRRSESARRNRLTKTDGKISTHSGGTVSFASYRANMQEEAGGKEPPWDDVFSALHQSIKQSGSFVDNKSKKVVENYKMEMISKYGTDRENHPSFDGAAWCVASGGVTKGRVCGAPRMPKSKVSTSSSSHSYSVESSYPSSSYRALQKEIKDKEEEIKKKDDFILEMKRQMDSMKEYLVNNLEYHGGTSNIDQGMPPPLTPSIPPPMAPQIMTPMGPASQPIFRPTP >Potri.007G014200.9.v4.1 pep chromosome:Pop_tri_v4:7:1058201:1059665:1 gene:Potri.007G014200.v4.1 transcript:Potri.007G014200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014200.v4.1 MTATEEAETEAPVVEQPTATEEPKVEENPVKGKRPRTPREKKPRQPKPKPAAHPPYFQMIKEAILALNDESGSSPYAIAKYMEEKHKAVLPANFKKILGLQLKNSATGGKLIKIRASYKLPEAKKTKEVKPTTRKTRSVNKAEASAKKVAGAKKAKKSAAAKPKQPKSIKSPAAKRAKK >Potri.007G014200.10.v4.1 pep chromosome:Pop_tri_v4:7:1058201:1059327:1 gene:Potri.007G014200.v4.1 transcript:Potri.007G014200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014200.v4.1 MTATEEAETEAPVVEQPTATEEPKVEENPVKGKRPRTPREKKPRQPKPKPAAHPPYFQMIKEAILALNDESGSSPYAIAKYMEEKHKAVLPANFKKILGLQLKNSATGGKLIKIRASYKLPEAKKTKEVKPTTRKTRSVNKAEASAKKVAGAKKAKKSAAAKPKQPKSIKSPAAKRAKK >Potri.013G110651.1.v4.1 pep chromosome:Pop_tri_v4:13:11885390:11885796:1 gene:Potri.013G110651.v4.1 transcript:Potri.013G110651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110651.v4.1 MDPPHPLMEGHVEAGKAILHLTALFSFYRLPISMREYNVIDHARTSAGMGQKWLQKLITKFSWLFYSFF >Potri.010G131900.1.v4.1 pep chromosome:Pop_tri_v4:10:14815333:14819529:1 gene:Potri.010G131900.v4.1 transcript:Potri.010G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131900.v4.1 MMPPEQENTNISTTGNMGPSNVMLTNWTETVTVHAEPSVSSSINNTSSCSQDQVLQGQQEPALSRFTILRASLRPVTLEFADVAYSVSLSTKGTWFTSSEPKSTRTVLNGASGIVRPGELLAMLGPSGSGKTTLLTALAGRLPGKVSGTITYNGQAFSSSMKRRTGFVTQDDVLYPHLTVLETLTYAALLRLPKKLTRQEKIEQAELIIMELGLTRCRNSVVGGPLFRGISGGERKRVSIGLEMLVNPSLLLLDEPTSGLDSTTAQRIVATLRGLARGGRTVVTTIHQPSSRLYRMFDKVVVLSEGCPIYSGQAGRVMEYFKSLGYNPGFNFMNPADFLLDLANGLVPDTRSQDDQLEFHGRLDHHDDQNSAKQSLISSYKNNLYPLLISEIHRNAQDPVLPSSSLRGSEVQWTTSCWQQFKVLLRRGLQERKHESYSGLRIFQVISVSILSGLLWWHSDTSHIQDQVGLLFFFSIFWGFFPLFNAIFAFPQERPMLIKERSSGMYRLSSYYFARMAGDLPMELVMPTIFVTVTYWMGGLKPSLITFVLTLLVILFNVLVSQGLGLALGAILMDVKQATTLASVTMLVFLLAGGYYIQHIPPFIAWLKYISFSHYCYKLLVGVQYSATEVYDCGLQMHCRVMDFPAIKYLGLDNMWWDAAALTIMLVGYRLLAYVALRMGQPH >Potri.008G176201.1.v4.1 pep chromosome:Pop_tri_v4:8:12192182:12193568:1 gene:Potri.008G176201.v4.1 transcript:Potri.008G176201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176201.v4.1 MCDITNNGKAGFKSYKEKGEDDPENLWFYMGMGSGFIVGFWAVCGSLAIKKSWRHAYFKFLDGVEDKLFMFITHSSLAIKMKMARN >Potri.009G094200.2.v4.1 pep chromosome:Pop_tri_v4:9:8533061:8534268:1 gene:Potri.009G094200.v4.1 transcript:Potri.009G094200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094200.v4.1 MQKALGVYGQVLRLVRRLPKDSRPYYAKYARENFVNYRDVEANDTQFLDELFLRAYNHSLWVLNKYSVDESAATKLKEICCG >Potri.004G121000.1.v4.1 pep chromosome:Pop_tri_v4:4:11540030:11541981:-1 gene:Potri.004G121000.v4.1 transcript:Potri.004G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121000.v4.1 MAMPGYLFRFSTNAALMSSNLPFGSSISSSSKVGFKGHTCVGSTSWSCSDTKCYRNQSFGITGHNELITESVRQEAEGFLLNAMNMSFFERLNLAWKILFPSFATRSKSNANIAKQRLKMILFSDRCAVTDEAKQKIVSNVMNTLSDFVEIDSQDKVQLSVSTDSDLGTIYSITVPVRRVKPGYHDEEDLEANIQYKGKGT >Potri.005G171901.1.v4.1 pep chromosome:Pop_tri_v4:5:17653850:17655905:1 gene:Potri.005G171901.v4.1 transcript:Potri.005G171901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171901.v4.1 MDLPELWAIFGPGVAGAVFGAGWWFWIDAVVCGSVTVSVVHYLPGIFASIASLMFNCVRKEDIDYSPYEEGEWRLKLWLFFAYVVSFVSLAASVGLLIQDSIVKTGPSVWTGTAGVLQCVFVLISGLICWTSYSE >Potri.008G072800.1.v4.1 pep chromosome:Pop_tri_v4:8:4499845:4501041:1 gene:Potri.008G072800.v4.1 transcript:Potri.008G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072800.v4.1 MGGNSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKVFGASNVSKMLQELPVHQRADAVSSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQHEPVMPTPQMDPEDKSFLLQNSLPQYLNFGSSSNVIQYDSLKRETIFEDMIS >Potri.010G199000.2.v4.1 pep chromosome:Pop_tri_v4:10:19174218:19176025:1 gene:Potri.010G199000.v4.1 transcript:Potri.010G199000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199000.v4.1 MSVVDKSAKPAKMRWGELDEEDGEDLDFLLPPKQVIGPDENGIKKVIEYKFNDDGNKVKITTTTRVRKLAKARLSKRALERRNWSKFGDAIHEDVGSRLTMVSTEEILLERPRAHGAKADETKIAGDNLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLAQPPETFIDKPPATETAMAATGATKGAYVPPSMRAGAERTVGSDMRRRNEENSVRVTNLSEDTREPDLLELFRTFGQVSRVYVAIDQKTGVSRGFGFVNFVSKEDAERAINKLNGYGYDNLILRVEWATPRTN >Potri.007G135400.1.v4.1 pep chromosome:Pop_tri_v4:7:14756321:14760060:-1 gene:Potri.007G135400.v4.1 transcript:Potri.007G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135400.v4.1 MSFYLPLPPKFFQDYCNWPPLLYPSKPLSQKNQTLKHFPTTFPLFLPLKNMFFHLSLLFLSLLFTSNSLNQDGLFLQQVKLGLSDPSRALSSWNDRDDTPCGWYGVTCDESTQRVTSLNLSNLGLMGPFPYFLCRLTNLTSVNLLNNSINSSLTSDIAACQSLEALNLSENLLVGSLPESLSELKNLKELNLASNNFSGSIPAKFGEFQKLEWISLAENLLTGTVPSVLGNISTLQYLLLSYNPFAPGQIPSQLSNLTNLVELWLAGCNLVGSIPESLGKLSRLTNLDLSLNRLTGSIPSSLTWLKSVEQIELYNNTLSGELPLGFSNLTLLRRFDVSTNELTGTIPNELTQLELESLHLFENRFEGTLPESIAKSPNLYDLKLFNNKFTGELPSQLGLNSPLKWLDVSYNGFSGAIPESLCAKGELEDLILIYNSFSGKIPESLGKCNSLGRVRLRNNRFNGIVPGEFWGLPRVYLFELEGNSFSGKVSNRIASAYNLSVLKISKNQFSGNLPAEIGFLDKLIEFSASDNLFTGPIPGSLVNLSNLSTLVLDDNELSGGIPSGIQGWKSLNELRLANNRLSGSIPNEIGSLQVLNYLDLSGNHFSGKIPIQLDDLKLNLLNLSNNMLSGALPPLYAKEMYRSSFVGNPGLCGDLEDLCPQEGDPKKQSYLWILRSIFILAGIVFVVGVVWFYFKYQNLKKAKRVVIASKWRSFHKIGFSEFEILDYLKEDNVIGSGGSGKVYKAVLSNGETVAVKKISGESKKKDTSRSSIKDEFEAEVETLGNIRHKNIVRLWCCCNAGDCKLLVYEYMPNGSLGDLLHSSKGGLLDWPTRYKIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDAEFGARVADFGVAKVFQGVNKGTESMSVIAGSCGYIAPEYAYTVRVNEKSDIYSFGVVILELVTGRLPIDPEFGEKDLVKWVCTTLVDQNGMDLVIDPKLDSRYKDEISEVLDVGLRCTSSLPIDRPSMRRVVKMLQEAGMGNKPKANKSDGKLSRFYYEVVSDQARIV >Potri.001G062800.2.v4.1 pep chromosome:Pop_tri_v4:1:4867095:4871854:-1 gene:Potri.001G062800.v4.1 transcript:Potri.001G062800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062800.v4.1 MAAELLPPDGPITAAAATTNTTASAAIDPTTTATTTALALAESENHGPPPPIPKRQRRPSVRLGEIGDHHHQTAHDTHVRRSTKQLQQHHTWRLPKDSSKSIKARSLTHLVNGNSNEIEEHELPNNNQNGDLNLLEFGHGRKAKRGTTKRVRSNWISSNSRIDEGDTNLENSNGEEGFVREFDLDSDSPLKDQSPVHSVENVALDFWQGNRRTGTGSGRVRVTESRENEGIEMENDDNSERKWEGVRTWLIELGLSRYAPVFEIHEVDDQVLPLLTLEDLKDMGINAVGSRRKLYSAIQKLRKGFQITCVRRTWICSGFSNKLPPCYALVGVHCYLLHGRGVVDCTLNCSV >Potri.001G062800.6.v4.1 pep chromosome:Pop_tri_v4:1:4870653:4871729:-1 gene:Potri.001G062800.v4.1 transcript:Potri.001G062800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062800.v4.1 MENDDNSERKWEGVRTWLIELGLSRYAPVFEIHEVDDQVLPLLTLEDLKDMGINAVGSRRKLYSAIQKLRKGFQ >Potri.001G062800.1.v4.1 pep chromosome:Pop_tri_v4:1:4870696:4871779:-1 gene:Potri.001G062800.v4.1 transcript:Potri.001G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062800.v4.1 MAAELLPPDGPITAAAATTNTTASAAIDPTTTATTTALALAESENHGPPPPIPKRQRRPSVRLGEIGDHHHQTAHDTHVRRSTKQLQQHHTWRLPKDSSKSIKARSLTHLVNGNSNEIEEHELPNNNQNGDLNLLEFGHGRKAKRGTTKRVRSNWISSNSRIDEGDTNLENSNGEEGFVREFDLDSDSPLKDQSPVHSVENVALDFWQGNRRTGTGSGRVRVTESRENEGIEMENDDNSERKWEGVRTWLIELGLSRYAPVFEIHEVDDQVLPLLTLEDLKDMGINAVGSRRKLYSAIQKLRKGFQ >Potri.017G033400.1.v4.1 pep chromosome:Pop_tri_v4:17:2307284:2310591:1 gene:Potri.017G033400.v4.1 transcript:Potri.017G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G033400.v4.1 MSGRSINSVFYADAYHPIQAGSIDGTDTAPHDNAVYRALLCSSAGLYDPFGDPKAIGDPYCTIFVGRLSHLTTEDTLRKAMSKYGKVKNLRLVRHIVTGASRGYAFVEFETEREMRRAYKDGQHSYIDDSEIIVDYNRQQLMPGWIPRRLGGGLGGRKESGQLRFGGRERPFRAPLQTIPYDDLKRLGIPPPPEGRYMSHYEVPSPPRRKRSSRDKEESSHRRSSIDKEAEESSNIRSYRDKEEHYGERHSVEKEEHRHKGSSMDRSERSHRRISPERGGYHHKRSSLDREEHYEKRSPVDMEEPSHKKLRDREERTHKRSSRDREEGSRKRHKHGSSSDKRRKEDA >Potri.010G094250.1.v4.1 pep chromosome:Pop_tri_v4:10:11834571:11835845:-1 gene:Potri.010G094250.v4.1 transcript:Potri.010G094250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094250.v4.1 MFLCKKGRVIDGKWKCLKLSSWSWLSGQENMVSIILQHFATYFEHLHRIVHFGRIHAWLPVELSTLRSQSVILHVSSSVVVSFYREPQNVLGGEGIIVEDCGGGHVLDILHGDFQFQAAHISSSTFLI >Potri.013G133550.1.v4.1 pep chromosome:Pop_tri_v4:13:13942980:13945300:1 gene:Potri.013G133550.v4.1 transcript:Potri.013G133550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133550.v4.1 MTDLWDQLALTESAELKACGAYIERREQQRLVQFLTALRNDFEGLRGSILHRSPLPSVDSVVSELLAEEIRLQSYSEKGILSASNPSVLAVPSKPFSNHQNKPYTRVGFDECSFCKHKGHWKAKCPKLRQQNQAWKSGSQSQSNVHRPPQGYKPPHHNTAAVASPGSITDPNTLAEQFQKFLSLQPQAMSASSIGQLPHSSSGSAVSEADWDRP >Potri.013G133550.2.v4.1 pep chromosome:Pop_tri_v4:13:13942979:13945300:1 gene:Potri.013G133550.v4.1 transcript:Potri.013G133550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133550.v4.1 MTDLWDQLALTESAELKACGAYIERREQQRLVQFLTALRNDFEGLRGSILHRSPLPSVDSVVSELLAEEIRLQSYSEKGILSASNPSVLAVPSKPFSNHQNKPYTRVGFDECSFCKHKGHWKAKCPKLRQQNQAWKSGSQSQSNVHRPPQGYKPPHHNTAAVASPGSITDPNTLAEQFQKFLSLQPQAMSASSIGSAVSEADWDRP >Potri.001G298300.1.v4.1 pep chromosome:Pop_tri_v4:1:30932413:30935282:1 gene:Potri.001G298300.v4.1 transcript:Potri.001G298300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298300.v4.1 MAVDNALSSPLGPPACEKDAKALQFIEEMTRKTDSVQEDVLAKILIQNAEVEYLKRFNLDGATDRETFKSKLPTIRYEDLQPEIQRIANGDRSSILSTHPISEFLTSSGTSAGERKLMPTIKEELDRRQLLYSLLMPVMNLYVPGLDKGKGLYFLFVKSETRTPGGLLARPVLTSYYKSDHFKTRPYDPYNVYTSPNEAILCADSFQSMYTQMLCGLLEREQVLRVGAVFASGLLRAIRFLQLHWRELADDIESGMLNKEITDPSVKDCMVKILKPNPKLAEFVRMECSKENWEGIITRIWPNTKYLDVIVTGAMAQYIPTLDYYSGGLPLACTMYASSECYFGLNLNPMCKPSEVCYTIMPNMAYFEFLPHDPNGFTHDSTPKLVDLADVELGKEYELVITTYAGLYRYQVGDILRVTGFHNSAPQFHFVRRKNVLLSIDSDKTDEAELQKAVENASQLLREFNTSVVEYTSYADTKTIPGHYVIYWELLVKDSANSPSDEVLNQCCLAMEECLNSVYRQGRVADYSIGPLEIRVVKNGTFEELMDYAISRGASINQYKVPRCVNFTPIMELLDSRVVSKHFSPALPYWTPERRR >Potri.014G168232.1.v4.1 pep chromosome:Pop_tri_v4:14:12272374:12273199:1 gene:Potri.014G168232.v4.1 transcript:Potri.014G168232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168232.v4.1 MIPGGCMVLTIYGRDENNDSSVKHSPTIWEFFGMMLNDMVLEGLIEESKLDSFNIPFYGALAEEVRDVIQAEGSFTIKRLESFHVSWDASIDDRYRDTMDKYTKGKFVAKRMRAIMESILARHFGDEIVDVLFQRFSIKIGEYMETVNGEYNNHVVSMAKA >Potri.014G107000.3.v4.1 pep chromosome:Pop_tri_v4:14:7187611:7193206:-1 gene:Potri.014G107000.v4.1 transcript:Potri.014G107000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107000.v4.1 MRQLAVGLQVLQEKHLIHRDLKPQNLLLSSNDLTPQLKIGDFGFARSLASSDLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILFQLVTGKPPFDGNSQYQLFQNILTSTELRFPQGALEELHPDCVDLCRGLLRRNPVERLTFKEFFNHKFLGEPRLLVSAKSSPLPQVKSVAGQFDASASDTRSQLEHDNLTVLEKVCDRNESVHGSLPNIVHDRMGKSADGSQSLSDQLRADLMESIEKDYVIVNRHFASMENFSYYLETSLHDSSTSKASIYLPQKNNQDTVVATQTKEFTGSSVGSANNPEVHGSEPLSASCVPTILREAQRLPILHPSIKLQFLNQYAQEISELAQEKYDAGMFLESFSVELVVLAIWKRALEICEHWVASTGGSKLHESSSANESALVYGGTNLTPPAIGKLDFIEPSSACKWAEKGFILAFDHAEKLSNSLRDMDAAAEMPDAMELIFQKALAVGTSGAVDEYMENKGGADNSYSKAMLLLHFIMEEATSLPLNPPFSLTPASRKRVKSYILNLQSRRSRFSMLQPFPEQSSDSLTK >Potri.014G107000.2.v4.1 pep chromosome:Pop_tri_v4:14:7187638:7193176:-1 gene:Potri.014G107000.v4.1 transcript:Potri.014G107000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107000.v4.1 MEPNQTRLVGDYILGSRIGRGSFAVVWRSIHRFSGLQVAVKEIDKKLLTPKVSENLLKEISILSTINHPNIIRFFESIETEDRIFLVLEYCEGGDLAFYIQRHGKVTEAVARHFMRQLAVGLQVLQEKHLIHRDLKPQNLLLSSNDLTPQLKIGDFGFARSLASSDLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILFQLVTGKPPFDGNSQYQLFQNILTSTELRFPQGALEELHPDCVDLCRGLLRRNPVERLTFKEFFNHKFLGEPRLLVSAKSSPLPQVKSVAGQFDASASDTRSQLEHDNLTVLEKVCDRNESVHGSLPNIVHDRMGKSADGSQSLSDQLRADLMESIEKDYVIVNRHFASMENFSYYLETSLHDSSTSKASIYLPQKNNQDTVVATQTKEFTGSSVGSANNPEVHGSEPLSASCVPTILREAQRLPILHPSIKLQFLNQYAQEISELAQEKYDAGMFLESFSVELVVLAIWKRALEICEHWVASTGGSKLHESSSANESALVYGGTNLTPPAIGKLDFIEPSSACKWAEKGFILAFDHAEKLSNSLRDMDAAAEMPDAMELIFQKALAVGTSGAVDEYMENKGGADNSYSKAMLLLHFIMEEATSLPLNPPFSLTPASRKRVKSYILNLQSRRSRFSMLQPFPEQSSDSLTK >Potri.014G107000.1.v4.1 pep chromosome:Pop_tri_v4:14:7187637:7193206:-1 gene:Potri.014G107000.v4.1 transcript:Potri.014G107000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107000.v4.1 MEPNQTRLVGDYILGSRIGRGSFAVVWRSIHRFSGLQVAVKEIDKKLLTPKVSENLLKEISILSTINHPNIIRFFESIETEDRIFLVLEYCEGGDLAFYIQRHGKVTEAVARHFMRQLAVGLQVLQEKHLIHRDLKPQNLLLSSNDLTPQLKIGDFGFARSLASSDLADTLCGSPLYMAPEIIQNKKYDAKADLWSVGAILFQLVTGKPPFDGNSQYQLFQNILTSTELRFPQGALEELHPDCVDLCRGLLRRNPVERLTFKEFFNHKFLGEPRLLVSAKSSPLPQVKSVAGQFDASASDTRSQLEHDNLTVLEKVCDRNESVHGSLPNIVHDRMGKSADGSQSLSDQLRVADLMESIEKDYVIVNRHFASMENFSYYLETSLHDSSTSKASIYLPQKNNQDTVVATQTKEFTGSSVGSANNPEVHGSEPLSASCVPTILREAQRLPILHPSIKLQFLNQYAQEISELAQEKYDAGMFLESFSVELVVLAIWKRALEICEHWVASTGGSKLHESSSANESALVYGGTNLTPPAIGKLDFIEPSSACKWAEKGFILAFDHAEKLSNSLRDMDAAAEMPDAMELIFQKALAVGTSGAVDEYMENKGGADNSYSKAMLLLHFIMEEATSLPLNPPFSLTPASRKRVKSYILNLQSRRSRFSMLQPFPEQSSDSLTK >Potri.T085000.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:146690:153904:1 gene:Potri.T085000.v4.1 transcript:Potri.T085000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085000.v4.1 MDFQLSYCFFFLLLLLQLLPFSINGQTYRNISLGSSLTAARDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDLVQGGSRVQLTTDGELVLNDQSGRQIWSPVFGGSGAAYGAMLDTGNFVVASQAAANLWQSFDEPTDTLLPSQNMNSGAQLIAPYLEKNYSEGRYKFILQADGNLILYTTHYPLTTSNFAYWSTQSSNGSGYRVVFNQSGYMYLVARDGTMLNSVFSNSVSMQDLYLRATLDYDGVLRQYVYPKTASSSRSRAMAWTTLSNSIPSNICLRITGQEGGGACGFNSYCRLADDQRPSCKCPPGYTFFDPNDERKGCKKNFISQDCDHPSQEIDSFEIKEMPNTNWPFNDYEMFGSVDEDWCRQACLSDCYCAVAIFNTAGQCWMKRVPLSNGVTDPSVGGKALIKVRKGNSTAGSSAKKCDRSNLITTGSVLLGISIFLIVLSLLGIYVFFSRWNQQQQTMIPQHRVKPDIMNMQNFTYSELEKATGGFKEELGSGAFGTVYKGVLANEDKPLNAVKKLDQMAGEGDREFNTEVKVIGKTNHKNLVQLVGFCNEGQHRLLVYEYMSNGSLANFLFGDSRPNWYRRTQIAFDIARGLLYLHEECSSQIIHCDIKPQNILLDKSFVEVCFKMLRISDFGLAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPVTTKVDTYSFGILLLELVCCRKNFEINAIQEHKIVLADWACDCLKEGKLDLLVEEDEEATEDMKTVERFVMVAIWCIQDDPSLRPGMKKVVQMLEGAVQVSIPPDFSSFISII >Potri.T085000.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:146690:153901:1 gene:Potri.T085000.v4.1 transcript:Potri.T085000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085000.v4.1 MDFQLSYCFFFLLLLLQLLPFSINGQTYRNISLGSSLTAARDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDLVQGGSRVQLTTDGELVLNDQSGRQIWSPVFGGSGAAYGAMLDTGNFVVASQAAANLWQSFDEPTDTLLPSQNMNSGAQLIAPYLEKNYSEGRYKFILQADGNLILYTTHYPLTTSNFAYWSTQSSNGSGYRVVFNQSGYMYLVARDGTMLNSVFSNSVSMQDLYLRATLDYDGVLRQYVYPKTASSSRSRAMAWTTLSNSIPSNICLRITGQEGGGACGFNSYCRLADDQRPSCKCPPGYTFFDPNDERKGCKKNFISQDCDHPSQEIDSFEIKEMPNTNWPFNDYEMFGSVDEDWCRQACLSDCYCAVAIFNTAGQCWMKRVPLSNGVTDPSVGGKALIKVRKGNSTAGSSAKKCDRSNLITTGSVLLGISIFLIVLSLLGIYVFFSRWNQQQQTMIPQHRVKPDIMNMQNFTYSELEKATGGFKEELGSGAFGTVYKGVLANEDKPLNAVKKLDQMAGEGDREFNTEVKVIGKTNHKNLVQLVGFCNEGQHRLLVYEYMSNGSLANFLFGDSRPNWYRRTQIAFDIARGLLYLHEECSSQIIHCDIKPQNILLDKSFVARISDFGLAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPVTTKVDTYSFGILLLELVCCRKNFEINAIQEHKIVLADWACDCLKEGKLDLLVEEDEEATEDMKTVERFVMVAIWCIQDDPSLRPGMKKVVQMLEGAVQVSIPPDFSSFISII >Potri.005G051300.1.v4.1 pep chromosome:Pop_tri_v4:5:3242669:3246893:-1 gene:Potri.005G051300.v4.1 transcript:Potri.005G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051300.v4.1 MVTKSEETELNRLESQVDNGGGGAWEYLCLVRKLKVRRSDKVLKHGLSILNDSKKRSSLGSEEWTLYEEVAIAAMDCQSLEVAKDCVNVLRKKFPESKRVGRLEALLLEAKGSWGEAEKAYSSLLEDNPFDQVVHKRRVALAKAQGNLSGAIEWLNKYLETFMADHDAWRELAEIYVSLQMYKQAAFCYEELILSQPTVPLFHLAYADVLYTLGGLENLQTARKYYSSTIDLTGGKNTRALFGICLCTSAIAQFSKGRNKEDKDCPDLQSLATAALEKEYKQRASGKLTVLTSAFKSLKVSS >Potri.016G111000.2.v4.1 pep chromosome:Pop_tri_v4:16:11368763:11370859:-1 gene:Potri.016G111000.v4.1 transcript:Potri.016G111000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G111000.v4.1 MAWGVALWSLATLLTPWAANHSTVALLAVRAFFGLAEGVALPSMSTLSSRWFPTHERASAVGISMAGFHLGNVIGLLLTPIMLSTVGISGPFILFSSLGLLWLTRWANGVTSDPRDSPFVSKSELRLIQAGKTDSPASDGQFPPLRLLLSKAPSWAIIFANITNNWGYFVLLSWMPIYFNTVFNVNLKQAAWFSAVPWGTMAVSGYVAGALSDSLIKAGYSLTIVRKIMQSIGFIGPGVSLLCLNYAKTPVTAAALMTIALSLSSFSQAGFLLNMQDIAPQYAGFLHGIANSAGTLAAIISTIGTGYFVQWLGSFQAFLTVTAGLYFVTAIFWNLYATGERVF >Potri.016G111000.1.v4.1 pep chromosome:Pop_tri_v4:16:11368707:11373895:-1 gene:Potri.016G111000.v4.1 transcript:Potri.016G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G111000.v4.1 MAAKSALHSSSPNTSSFLNSKSNLVHFRRTQLRFEPRIPSKPIKWESCSRTREQSREKVKQGGRLFTVRCTAEGIERGMLIGGGRGREEAVASKMLDIPERFKVVALVACVMCLCNADRVVMSVTVVPLATKFGWSSSFLGIVQSSFLWGYIFSSVIGGVLVDRYGGKRVMAWGVALWSLATLLTPWAANHSTVALLAVRAFFGLAEGVALPSMSTLSSRWFPTHERASAVGISMAGFHLGNVIGLLLTPIMLSTVGISGPFILFSSLGLLWLTRWANGVTSDPRDSPFVSKSELRLIQAGKTDSPASDGQFPPLRLLLSKAPSWAIIFANITNNWGYFVLLSWMPIYFNTVFNVNLKQAAWFSAVPWGTMAVSGYVAGALSDSLIKAGYSLTIVRKIMQSIGFIGPGVSLLCLNYAKTPVTAAALMTIALSLSSFSQAGFLLNMQDIAPQYAGFLHGIANSAGTLAAIISTIGTGYFVQWLGSFQAFLTVTAGLYFVTAIFWNLYATGERVF >Potri.017G144900.1.v4.1 pep chromosome:Pop_tri_v4:17:14524483:14528889:1 gene:Potri.017G144900.v4.1 transcript:Potri.017G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144900.v4.1 MLLCRRSTIFVPSFIAKTSPNLFSYFTKKTHALSLFKNSPIHCNHSYLFGKPIKDSNFIGAHDLFVNKKAKFCNLAISGTSRRNEKAVGGNVMSLREKKKARREAPEGVLKFKLDTCSKRGDVVEGLRLYDEARKNGVELNQHHYNVLLYLCSQNGGENVSDLRKQGFEIFQQMIIDKVPPNEATFTNAARLASAMEDPEMAFDLVKQMKGFGILPKLRSYGPPLFGFCKKGMADKAYEVDAHMIEYGVVAEEPELSALLKVSADVNNADKVYELLHRLRTSVRQATESTVGIIEDWFKSKHAAKTGKENWDVRKVKEGVARGGGGWHGQGWLGCGQWRVVRTQMDKEGVCGSCGERLACIDIDPRETEDFAISLSKLALGREVKADFIRFQDWLQRHGPFDAVVDGANLSLINQQTFSFSQLNSVVHRLHDMSPSKKLPLVILHKSRVTGGPAQSPYNKKLLERWKNSGALYVTPAGSNDDWYWLYAAVCYKCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLSVSRSGIALQMPPPYSIVIQESENGSWHVPTTTNDDLETPRQWLCATRPIKS >Potri.018G052200.1.v4.1 pep chromosome:Pop_tri_v4:18:5110374:5113840:1 gene:Potri.018G052200.v4.1 transcript:Potri.018G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G052200.v4.1 MDENMSNLTSASGEVSASSGSRIETGAIYPQHSFDSTNQPPPKKKKSFPGNPDPDAEVIALSPNSLQATNRFICEICNKGFKRDQNLQLHRRGHNLPWKLKQRTNKEVKKKVYVCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCNCGTLFSRRDSFITHRAFCDALAEESVRAITVNPILSSQQPGSSASHLINLQALSVKREHDQNQHHFNPRPEYSIPPWLVFPPIGDAGTGPPQINLSSQLFPENFNQSFLQHGNPSTNPTVLPPFQSASTVSPHMSATALLQKAAQMGVTMSKPSPPAAAAAILRPHQGHMSNLNPGFSSTLPAVTSGLFLSSREGMGSGFGHGLVSFENKAAAVTSGIMEHLAASDAGGPSLVHDMMSSLSSASGFDGSSFDNEDFNGMLNPKRDGGNFQEILSKSTESQFRRSDHDVRTSAVGSPHGGGNDDLTRDFLGLKAFPHKDWLDHINPSTYGQRNQNLPPPWQG >Potri.008G100500.1.v4.1 pep chromosome:Pop_tri_v4:8:6267021:6269860:-1 gene:Potri.008G100500.v4.1 transcript:Potri.008G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100500.v4.1 MEIIRGFFAICIGVSLLLYNSSNVEGRYHYHKPKNKSSNNIGSPVSPPPDDTPDPQNPIAPSSPSNPPSIPSDPYPKDPGNTSSGCIFNVMDYGAVGDGSTDDTDAFRQAWKEACGVESGVILAPSGHSFMITSTIFTGPCKPGLVFQVDGYLMPPDGPDCWPEKDSTKQWLVFYRLDGMTLTGKGTIEGNGEKWWDLPCKPHRSPNGSTSKGPCDSPALIRFFMSSNLAVSGLRIQNSPQFHMKFDGCEGVLIEKLSISSPKLSPNTDGIHIENTKAVGIYDSSISNGDDCISIGTGCSNVDIDGLTCGPSHGISIGSLGVHHSQACVRNITVRNSVIKESDNGLRIKTWQGGTGCVSDINFENIQMENVRNCMNIDQYYCLSKACLNETSAVYVTGVTYRNIKGTYDVRTPPIHFACSDTVACTDIILSEVELLPEEGELMDDPFCWNAYGTQETVTIPPINCLREGQPESQGEVSSVGC >Potri.008G069500.1.v4.1 pep chromosome:Pop_tri_v4:8:4244822:4247142:1 gene:Potri.008G069500.v4.1 transcript:Potri.008G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069500.v4.1 MLAGLATGGHLQLKFVNLQRSHVHGLAGSELNFSIITSHSRSKVNCISTPPKKAAFTHEEHEKKCPLVKMCGITSVRDASMAAEVGANFIGMILSPNSKRSISLSVAKEISKVAREYEAAPVGVFVDDDADTILRAADAADLEFVQLHGKGSRAAFHDLMGKNRIVYVLHANENGNLLNQISDEECSLVDWILVDSATGGSGKGFNWTGFELPPIKSKNGWLLAGGIKPENVSEALSLLKPHGVDVSSGICGSDGINKDRSRIVSFMNAVHSVRY >Potri.003G151600.1.v4.1 pep chromosome:Pop_tri_v4:3:16448078:16453177:1 gene:Potri.003G151600.v4.1 transcript:Potri.003G151600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151600.v4.1 MLARCSRAAASHFFHQLRNNNNSKDAAFKFISHQSYAKAATAAAMAASPILSSQKVFPSNSVVNLDKMFRSKPCSLALAPDSPLRIEEPEYEGIRRFVLKLLLFYSKQSKSIRGANVVYRRIVSQVDRPAIYEVFNLEKTFKMTFSLLVLHMWLCLRRLKQEGKEGVEFGQYIYEIYNHDVELRVSKAGVNLLLTKWMKELEKILYGNIVAYDAAMLPEAKPDELPKVIWRNVFSDDGTTEPDDTASQAIQAMARYVRHEASCLSLTDKEAMFSGNFMFTSLENLSSHARR >Potri.002G006800.2.v4.1 pep chromosome:Pop_tri_v4:2:376061:377397:1 gene:Potri.002G006800.v4.1 transcript:Potri.002G006800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006800.v4.1 MPPGLKDQDYEGESKPDEGELHVCNMKPEKSKKTGMPPGIMMVPIKIQKLMPWIVHLKTLASSNTCVHIPFIPALAPLRSSNPSMYLCAQYEEVVLSKNLASLTRHAWSAYRL >Potri.004G021901.1.v4.1 pep chromosome:Pop_tri_v4:4:1543947:1545654:1 gene:Potri.004G021901.v4.1 transcript:Potri.004G021901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G021901.v4.1 MTVTLTANIGVKSRRRSTRPAHFLSSSATTLKPTCCHYSSASQSLPPLPASTFKQWRGKPSGGSKGGVLLLLLPTFK >Potri.001G183200.1.v4.1 pep chromosome:Pop_tri_v4:1:16191433:16192858:-1 gene:Potri.001G183200.v4.1 transcript:Potri.001G183200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183200.v4.1 MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARKRKYNWSEKAIRRKTTGTGRMRYLRNVPRRFKSGFREGTQAEPRKKGAAASA >Potri.013G148950.1.v4.1 pep chromosome:Pop_tri_v4:13:14541443:14543690:-1 gene:Potri.013G148950.v4.1 transcript:Potri.013G148950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148950.v4.1 MQIFFWNSCGLGMSAKRVAVKKMILHHNIDICILLETKRRIYTPSIVYAMWNDPNVKWHSVDSVNNAGGILVIWYEENFKVDNIECSGQWNAIFGSHVKTNFACAIIGVYAGCLVAERRVLWGEISILQVAIAIPLFIVGDFYENLHGDRSSAYLNAVGSKDFHSFISHCNLVEYPLNGHRYTRFRGQSMSHIDKALAALECHLQFPDLALLRYPRGLSDHYQKLLGLHNKIWGWKSFRFLNCWADQP >Potri.011G085200.3.v4.1 pep chromosome:Pop_tri_v4:11:9769206:9776395:-1 gene:Potri.011G085200.v4.1 transcript:Potri.011G085200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085200.v4.1 MADEPSLTRWSFQFGRKKVAAATAQTSSESQQNGQTTAVASNGNGHVKNLSDMAVYEQYRNQDRSSTLHSNGVLPNGINEIPQKPLIPAFDSSETRALAESLCRDIIRGSPNVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFELARHHAPSTIFLDEIDAIISQRGEASSEHEASRRLKTELLIQMDGLTRTKELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRTMFEELLPSQPDEDMLPYDLLVERTEGFSGSDIRLLCKEAAMQPLRRLMTLLEDREEIVPDDELPKVGPLRSEDIETALKNTRPSAHLHAHRHEKFNSDYGSQILQ >Potri.011G085200.2.v4.1 pep chromosome:Pop_tri_v4:11:9769088:9776395:-1 gene:Potri.011G085200.v4.1 transcript:Potri.011G085200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085200.v4.1 MADEPSLTRWSFQDFKIFYDDKFGRKKVAAATAQTSSESQQNGQTTAVASNGNGHVKNLSDMAVYEQYRNQDRSSTLHSNGVLPNGINEIPQKPLIPAFDSSETRALAESLCRDIIRGSPNVKWESIKGLENAKRLLKEAVVMPIKYPKYFTGLLSPWKGILLFGPPGTGKTMLAKAVATECKTTFFNISASSVVSKWRGDSEKLIKVLFELARHHAPSTIFLDEIDAIISQRGEASSEHEASRRLKTELLIQMDGLTRTKELVFVLAATNLPWELDAAMLRRLEKRILVPLPEPEARRTMFEELLPSQPDEDMLPYDLLVERTEGFSGSDIRLLCKEAAMQPLRRLMTLLEDREEIVPDDELPKVGPLRSEDIETALKNTRPSAHLHAHRHEKFNSDYGSQILQ >Potri.013G112500.6.v4.1 pep chromosome:Pop_tri_v4:13:12117150:12123015:-1 gene:Potri.013G112500.v4.1 transcript:Potri.013G112500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G112500.v4.1 MNEETTRPPPPSTAEPAPAPRARPTIRKPTTSVLPHQTPRLRDHYLLGKKLGQGQFGTTYLCTHKASNNLYACKSIPKRKLLCKEDYEDVYREIQIMHHLSGQPNVVQIKDTYEDPMFVHLVMELCEGGELFDRIVERGQYSEKEAANLIKNIIGVVEYCHSLGVMHRDLKPENFLFDKPGDDAKLKTTDFGLSVFYKPGQYFYDVVGSPYYVAPEVLLKYYGPQADVWSAGVILYILLSGVPPFWAETESGIFRQILQGKLDLESDPWPNISESAKDLVRKMLERDPRQRITAHEVLCNPWIVDDRVAPDKPLDSAVLSRLKQFSAMNKLKKMALRVIAERLSEEEIGGLKELFKMIDTDNSGTITFEELKHGLKRVGSQMTEAEIKTLMDAADIDNSGTIDYGEFLAATLHLNKMDREDNLVAAFSYFDKDGSGYITIDELQQACKDFGLGDVHLDETIKEIDLDNDGRIDYGEFAAMMRKGDGGVGRTRTMRNNLNFNLADAFGVDALGMKDATSDAD >Potri.017G117230.1.v4.1 pep chromosome:Pop_tri_v4:17:12443112:12444296:-1 gene:Potri.017G117230.v4.1 transcript:Potri.017G117230.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117230.v4.1 MLPLPMMTSDISYPFWGSNWPAYCGYPKLELNCRNQDLEITIKQLTYKVLHIQKPVTDSQRFPVRLCRKHLPHTPQHHLDSQFFELHI >Potri.007G136000.1.v4.1 pep chromosome:Pop_tri_v4:7:14805052:14806270:-1 gene:Potri.007G136000.v4.1 transcript:Potri.007G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G136000.v4.1 MTSHSRAAVDAIFAESFGFNSPNQNTRGTELSINIQGDGIVRSFEKSVVVSAPGKDPAIKHTLSCSLEELYQGATKRVKITRQVADRRGLTREIEEILTIDTKPGWKKGTEITFEEKGNERPNVTPADVVFIVDEKPHSEFTRDGNDLIVTRRISVTEAFTGYTGRLITLDGRNLTLPINDVIHPNYQKVVPNEGMPILGDPSKRGVLKIKFDIRFPTRINALQKAGMRRLFGP >Potri.009G150500.2.v4.1 pep chromosome:Pop_tri_v4:9:11892518:11896677:-1 gene:Potri.009G150500.v4.1 transcript:Potri.009G150500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150500.v4.1 MAPAGKVTGFHREGNGWFCNAGLPSDITVVVDGIKFHLHKFPLVSKCGKIARICEESSEKALLTVLEEFPGGPDTFLIAVKFCYGLRMELTPRNIVMVYCAADYLQMMDEYGEDNLLSKSENFFHKNVLHNWKDCILALQSSDPVIARAEKLQIIRKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSAESDWWFEDISYLSVGLFERLINTMETRGIRPEILVGAIMYYGRKYLPGLGRWKSGQSGKTRTVASFSLTPAVVDQKVLIETIEKLLPEKKGKSFCCFLLGLLRVALILGVSQMCKDSLERRIGMQLEVATLDSLLIPAYSDSDTLYDVDCVERIIHHFMSSESRTTSFSPPSLDPETSPASEPLRKVAKLIDNYIAEVASDVNLKPRKIRFLAEALPESSRPLHDGLYRALDIYFKAHPWLSEKEKEELCNTIDYQKLSIDACAHASQNARLPLRVVLQVLFFEQMQLRTALAGCLNVLDTESAPAGPMTVPSEMAGQIVQRDGWITVVRENQVLKGDMESMRSRVGELEEEFNKIKQEMKKVTKSHSSLSSPRLVARKIGCKLIPRSTDAQPDPVDSAGPTPRASVEQARRSHHSRHRKSFSLF >Potri.009G150500.1.v4.1 pep chromosome:Pop_tri_v4:9:11892518:11896677:-1 gene:Potri.009G150500.v4.1 transcript:Potri.009G150500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150500.v4.1 MAPAGKVTGFHREGNGWFCNAGLPSDITVVVDGIKFHLHKFPLVSKCGKIARICEESSEKALLTVLEEFPGGPDTFLIAVKFCYGLRMELTPRNIVMVYCAADYLQMMDEYGEDNLLSKSENFFHKNVLHNWKDCILALQSSDPVIARAEKLQIIRKCLSALSMMVCTDPSLFGWPMMMYGSLQSPGGSILWNGINTGARIRSAESDWWFEDISYLSVGLFERLINTMETRGIRPEILVGAIMYYGRKYLPGLGRWKSGQSGKTRTVASFSLTPAVVDQKVLIETIEKLLPEKKGKSFCCFLLGLLRVALILGVSQMCKDSLERRIGMQLEVATLDSLLIPAYSDSDTLYDVDCVERIIHHFMSSESRTTSFSPPSLDPETSPASEPLRKVAKLIDNYIAEVASDVNLKPRKIRFLAEALPESSRPLHDGLYRALDIYFKAHPWLSEKEKEELCNTIDYQKLSIDACAHASQNARLPLRVVLQVLFFEQMQLRTALAGCLNVLDTESAPAGPMTVPSEMAGQIVQRDGWITVVRENQVLKGDMESMRSRVGELEEEFNKIKQEMKKVTKSHSSLSSPRLVARKIGCKLIPRSTDAQPDPVDSAGPTPRASVEQARRSHHSRHRKSFSLF >Potri.002G247400.1.v4.1 pep chromosome:Pop_tri_v4:2:23778890:23784186:-1 gene:Potri.002G247400.v4.1 transcript:Potri.002G247400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G247400.v4.1 MGGGGGEDMPLGFTPAEESSVEQNSLQSSSSPPGSFSFQRFQEKNEGGTMDGECGSVYEGSRIPSSFLELQANQKKASTAYREVLQSYDQLKDRSKSLEEGKSKILSYTPGGWMENVIGMKLSDFDVPNTTVLLVIGPKGSGKSSLINRISKVFEDDKFASERAQVSYNSSAADGTYFLQEYMIPRSSSSFCLYDTRGLSYDSYDSANMLKNWITKGVHHRELIIRPSDNSHLRNQMKCKARGNGCQSKETRMVTFVIFVVDGLAVLKSMDNLVDEGKKYTQMIAKTFDCPYISFNDDKPVVVVTHGDLLSLNDRARVRVHLGELLGIPPAKQIFDIPESHDPVTELTIVNMLHYSLEHADKNLPHKRQIAKKVRSLSLSLYISLFFILAIAIISIYIPPLLIQHPPIPKAHADLPQSDALVDPPLSEAPVNVDPRNSEALLDPPKSNVQVDPPKSEALAESPRSKVHADPPMSEVPVNVDPPKSEARRLDTPKAEALRLDTPKSKARVDPPKSKARVDPPKSKGLANSHQSDDQIVDWPSVRHLWLDEN >Potri.019G016700.2.v4.1 pep chromosome:Pop_tri_v4:19:2724896:2728066:1 gene:Potri.019G016700.v4.1 transcript:Potri.019G016700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016700.v4.1 MENTNQQNNYRGKADFPPSLWGCSFASFSFPQTEFESYSRQVEELKENVKDMLMASKRDPVEHIEFINLLCRLGVSYHFDKEIENNLKEIFDDLPNLLEKHEFDLHTLSLLFRVLRQHGFKMLCVVFDKFKDTNGEFKKTIIDDVKGILSLYEASFLSVHGEQILDDALVFTKANLESLAMQSSPRLADHIRNALIRPFHKGVPRIEARKYISFYEEDESRNDTLLKFAKIDFNRVQLIHHQELSILSRWWNDLNFAEELPYARDRIVEVYVWANGVHFKPQYAFSRMMVTKYIKILSLVDDTYDAYASFEEIQHFTNAIERCSMNAIDELPADYMKVLYRAVLNLFNETENDMGKQGRSYASYYLKEEFKEVVRGYHAEAEWADKCHVPTFDEYVRNGLATSTYGVIMAASFLGMEEVAGGEEYEWLKSNPKIIKAGKTIGRLRNDIVGHEDEQKRGDCASGVECYMKQYDVSEKKAIEEIQKMDSDAWKDINEDCMRPTNAPMLLLQHFVNLARVTDVIYENDDDSYTIPLGLKDYVALLYIEQVPLYE >Potri.008G122300.1.v4.1 pep chromosome:Pop_tri_v4:8:7954970:7955758:1 gene:Potri.008G122300.v4.1 transcript:Potri.008G122300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G122300.v4.1 MAIDVCSEISSAGISPRISFSHDLNQTTDAVSIEDHYHRRLDSSLLDSDFDFCFGNSFVQELSSADELFSNGKILPVEIKKHIISSKDTDQLKSLISQPQRNSSETTEKKQLKEFLSMSLDADEKPASKSFWQFKRSNSLNCDSTRSKGLIRSLHFLSRSNSTGSAPNPPKQAMLSKETQKPQLQKQASVPSRKSSVPSSAAFYSYNSQQKPPLLRKCGSYGNGVRISPVLNIPPPYISRGTVNLFGLGSLFCNGKVKKKKR >Potri.013G098066.1.v4.1 pep chromosome:Pop_tri_v4:13:10270229:10270687:1 gene:Potri.013G098066.v4.1 transcript:Potri.013G098066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098066.v4.1 MASAFNQSSSTSGPVGSGQSTTMASIPTHQMLNHTLPVKLDRSNYVLWRSQIDNVVFANGFEDFIDGTAICPEKELSPGVINPSFVAWRRQDRTILSGYTHPSHLLSWHRSLATIHLTLPGMLWRKLFHLPQKPESCNYGLNYNPRRKDLCP >Potri.004G231551.1.v4.1 pep chromosome:Pop_tri_v4:4:23574999:23577745:-1 gene:Potri.004G231551.v4.1 transcript:Potri.004G231551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231551.v4.1 MSILKDAWQNTPRNWVGADPCGGKWEGISCYNSRVTSITLAAVGLTGELSGDISSLSELEVLDLSYNTGLSGTLPASIVNLKKLKNLKLVGCSFNGPIPELIGSLQLLESLDLNSNRFTGQIPHSIGNLSKLFLLDLSYNQLDGAIPVSSGTTSGLNMLVNTKHFHLGRNRLSGTIPKELFRSDMTLIHVLLHDNNLTESIPSTLGLVQTLEAVRFEGNSLTGPVPPNLNNLTTVKTLILSNNKFTGPVPNLTGMAYLSYLDLSNNIFDASDFPSSFSNLRALTTLMMENTGLEGPIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQREAIDMRNNLISSYSETPELSQPESRIHDRHIGKVPLQGSIPMRTQTYTQTYPNSIRVQRSINNKINFII >Potri.018G107500.1.v4.1 pep chromosome:Pop_tri_v4:18:12605352:12614050:-1 gene:Potri.018G107500.v4.1 transcript:Potri.018G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107500.v4.1 MRTEAELKMESGGNIENGSKDDSTLKRRIKFQLATKQYIGFKNNTSDFKIETLNPGYNSRKRPFAFEHHHPGQSVKKVDGSDFVENGLDPELCFGISFRKIGAGLENLGNTCFLNSVVQCLTYTEPLAAYLQSGKHQNSCHVAGFCALCAIQKHVSRALQSSGRSLVPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESPAAYEKSLVHKIFGGHLRSQVECQQCSYCSNKFDPFLDLSLEIAKADTLPVALRNFTAAEVLDGGEKQYQCQRCKQKVRAKKRLTVHKAPHVLTIHLKRFHAHDPGRKVDKKVIFDRSLDIKPFVSGSYEGELKYSLYGVLVHYGHNTHSGHYVCFVRTSSNMWHLLNDNQVRQVSEKTVLEQKAYMLFYVRDRKNVARKPFDVAQKESMKANLGSNFANLVAKQFSKEHVDSGLIGNRLESTNSSAAVNKKDASSIVPSSEIYPKDAPFQQNNRQKLLKVHPALETSSAPLTFPSKGAYLANSELRECLPPSTPSMNSNNVTPKPEETSTITEAKTSDCNVPSNSSSCLKNSAIDKLVRNEIPQKINAGLNVGVSSQVPCWDFCDKTSGEVPRLAPSAGSTDQTFDKTVTVKSPNKPSCESDQGGDIPIKSSAGKTPSDKAVEGGQQTARQPVEASILIASIPPVMQNECLHSKAPACTPKKKLEKKLLKRRMHLGSNLFRVSLGVHMRKKHKKRKCLALETNNLFKENLLEQLENDGCSSRLGPSTSKISTGLLASMTSRRKTAKSGSRKGNDTRKSSDPGMGVIHGESMERNSVSGTLLTMDKQRQKSSISISEVNGGDAREPDCTENSKRDASQNGIMNALSGGAKVTVAPWDGIELPPQIVESNGVENLSIGYVANEWDEEYDRGKRKKLRQSQHNFDGPNLFQALATKNTQVKKAKMDRSRSENQPFRI >Potri.016G025100.5.v4.1 pep chromosome:Pop_tri_v4:16:1403130:1408331:-1 gene:Potri.016G025100.v4.1 transcript:Potri.016G025100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025100.v4.1 MNPNLGSSSSTAASPARGEGRGGGGENGGMDKGYKPKRLYQVWRGSNRFFCGGRLIFGPDVASIFLSMLLIAAPAIGFCIKVYNKILDKGTKNPARWYPVFFVGSILTVLDLLFLFLTSSRDPGIVRRNTKPPESDETGDVTPSMEWVNGRTPYLRLPRTKDVMVNGHAVKVKYCDTCLLYRPPRASHCSICNNCVQRFDHHCPWVGQCIGIRNYRFFFMFISTATILCLFVFGFSWVFILDGKSNVWEAISHDVLADFLIVYCFIAVWFVGGLTAFHSYLISTNQTTYENFRYRYDKKENPYNRGVIRNIREIFFSKIPPSMNKFRSFVDEDEYMAVGSLTLNLGDNLVSSKEKIDIEMGAKVAGASNYSLPEILRDLDYDDDSDDNLKMEEDGRPGMDPFSHGELDLKGSVQTSIVGDGSIESVQGPDAFDGVRESARSSRESVQISIAGDGAGEPAQSSIADNGVIESLQSSIAEDGVLIKKSTVEDGTNLAKGTNNNHNCHQTTAPDLQV >Potri.004G051800.1.v4.1 pep chromosome:Pop_tri_v4:4:4196891:4198074:-1 gene:Potri.004G051800.v4.1 transcript:Potri.004G051800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051800.v4.1 MTIQSDHRAASEGILENVWASYIGEDRGDIGISNSEQEVSKSWQDLPSLDRRDESMEALQRLPSLGRWISMGAETWEELLDGIIPEINDTCNDSAENKGPSSLSSKASAVKVEKVTTRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFETAEEAALAYDKAALRIRGPKTYLNFPLETVAKAMCIDCSKNDSNVLSTTNSQGNNTSCTFPGSSDKVSVIHRKRASRDWEVKSESTMMEQPGLKRTARVEELYANDVVEFQDLGSDYLDSLLSSF >Potri.006G258900.1.v4.1 pep chromosome:Pop_tri_v4:6:25539257:25541212:-1 gene:Potri.006G258900.v4.1 transcript:Potri.006G258900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258900.v4.1 MLYKVASPSEYLVITGVGISDIKLAKKGWILPGQSCSVFDVSPVNYTFEVQAMSAEKLPFVLPAVFTIGPRVDDEQSLFRYAKLISPHDKLSNHVKELVQGIIEGETRVLAASMTMEEIFKGTKDFKQEVFEKVQLELNQFGLLIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARIDVAEAKMKGEIGSKQREGRTQQNAAKIDAETKIIATQRQGDGKKEEIKVKTEVKIYENHREAEVAEANADLAKKKAGWSMEAQVAEVEATKAVSLRDAELQMEVERMNALTRTEKLKAEFLSKASVEYETKVQEANWELYRKQKAAEAILYEKEKEADAQKAIADATFYSRQQVADGELYAKQKEAEGLVALAQAQGVYLRTLLDALGGNYAALRDYLMINSGMYREIAKINSDAVQGLQPKISIWTNGNSGETNDGAGAGNAMKEVAGVYKMLPPLFQTVQEQTGMLPPAWMGSLTDSSNSNVK >Potri.006G123100.1.v4.1 pep chromosome:Pop_tri_v4:6:9801053:9802177:1 gene:Potri.006G123100.v4.1 transcript:Potri.006G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123100.v4.1 MEDNTKKGLDGTGLELPVNLHGNLKSASSDQSHLQILHDIKSSNTPAVINYGASWCRVCSQILPAFCQLSNNFPKLSFVYADIDECPETTQHIRYTPTFHFYRDGERVDEMFGAGEERLHDRLWLHS >Potri.003G115700.1.v4.1 pep chromosome:Pop_tri_v4:3:13735379:13737395:1 gene:Potri.003G115700.v4.1 transcript:Potri.003G115700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115700.v4.1 MAISSPPPPPSKSPSSPPPPPTVVLPLQSPHITPIQECEREEQYDEFSEEGSQTTTSKATPVNFIDKRATPKHHPTPLREKNGKPSAKKRHGDSNDRDGGGGGGGGGGGSISCNKCRPHAREKISVVPLDTNGLNKHSSIASPNWIFKSIFSSLTRKSPKSTGDVSIAREEQWKIAIAELSHKLIQATRKRDEALLETSRLKYSMAELEKKLNKLEIYCHNLKSGLDECSSSNPLYQIGKGYNTHQYQQNGLMGVSEKVIEQFLISVSEARSSVRLLSRSLTMQLRHMGVKVYERISVLLQPYDIKISFSKNPKGVLFYLEALLNKAFFEDFESAGFQKTSVNQILNPIDRCEANYASFNVLRDLTWEEVLNQGTRHFSEEFSKFCDRKMSEIVAMLGWNRAWPEPLLQAFFGASKNIWLVHLLANSVHPGFPIFRVDKGVNFDSIYMEDMDGDRARKLVPTMVRIMVAPGFYVYDNVVKCKVLCRYCNHSVNND >Potri.013G013700.3.v4.1 pep chromosome:Pop_tri_v4:13:890816:893632:-1 gene:Potri.013G013700.v4.1 transcript:Potri.013G013700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013700.v4.1 MFKKFSSDEVSSQNQVKASVQRKIRQSIADEYPGLEPVLDDLLPKKSPLIVVKCQNHLNLVVVNNVPLFFNIRDGPYMPTLRLLHQYPNIMKKLQVDRGAIKFVLSGANIMCPGLTSPGGALDDEVDAETPVAIMAEGKQHALAIGFTKMSAKDIKTINKGIGVDNMHYLNDGLWKMERLD >Potri.010G004400.2.v4.1 pep chromosome:Pop_tri_v4:10:401405:405629:-1 gene:Potri.010G004400.v4.1 transcript:Potri.010G004400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004400.v4.1 MMTLYLWLGFMYGLMMCSLTWKCVNCFEFEHSQPLPLQDWNNNVTYKAAAEAFAYAYARRTPPLMVGLTLVNAAASKGAVCLDGSLPGYHIHRGYGSGANSWLVQLEGGGWCNSIRKCVFSKKTRHGSSHYMEKQIPFEGILSNKAEENPDFYNWNRVKVRYCDGGSFSGDSQNEAAQLYFRGQRIWSVVMEDLMSKGMRYANQALLSGCSAGGLASILHCDEFRHLFPRTARVKCLSDAGLFLDVPDISGWRTLRYMFAGVVTLQGMQKNLPQGCTKRFNPIMCFFPQRSIASVRTPLFLVNTAYDTWQIQVSLAPASADHHGNWNGCRKNYARCTGSQISFLQGFRNQMLYAVRGFSRLKKNGLFINSCFAHCQTERQDTWFSPGSPHIKSKGIAESVGNWYFDRAVIMAIDCPYPCDHTCHHLVFK >Potri.019G096500.2.v4.1 pep chromosome:Pop_tri_v4:19:13433974:13434268:-1 gene:Potri.019G096500.v4.1 transcript:Potri.019G096500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G096500.v4.1 MAGFSSLAPKTKNLVVAGGLSAFVFGVYFYTMRAVGGTDELQTAIDKFEQQKSKEESEATIPSKP >Potri.005G202500.1.v4.1 pep chromosome:Pop_tri_v4:5:20788985:20789871:-1 gene:Potri.005G202500.v4.1 transcript:Potri.005G202500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202500.v4.1 MSKISLEDYLHFFLSHKQFGLSPNFLNQIIRMHGFKKIDKKVLIDAVDKIDLENLSRSTVKEKNEISSCTLMNMEDIVADMKKLDWQECRVTSIQSLNAKSDLQGVAGGGGKRKRKRKRGSSSARGAAAAIDALSTTTALV >Potri.004G100000.2.v4.1 pep chromosome:Pop_tri_v4:4:8647104:8648787:-1 gene:Potri.004G100000.v4.1 transcript:Potri.004G100000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MASSSMISSAAVATVNRTPVQANMVAPFNGLKSAAAFPVSTRKANDITSIASNGGRVQCMQVWPPTGLKKFETLSYLPDLTEEELAKEIDYLLRSKWVPCLEFELEKGWVYREHHSSPGYYDGRYWTMWKLPMFGCTEASQVLLELEEAKKAYPNAFIRIIGFDNTRQVQCISFIAAKPKGV >Potri.017G008901.1.v4.1 pep chromosome:Pop_tri_v4:17:624932:626521:1 gene:Potri.017G008901.v4.1 transcript:Potri.017G008901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G008901.v4.1 MEGHSYVMLNPPDYMMDTSSCKNGSGTAYISSPSCVNMEGHSYVMVDGDIINDVPDLCRINLIYSVPKNMRNKSYTDVHDILVYGFELSWFSFCCDYGKENRCNLDEATVKNHSCFYDYTYAYDKIYSSLLDLFLEGIRWILCRINYGGFYCQYRMTTLSVLLSFLMLFLPTILAFIVIYHVLLFPCELPSPNFTNL >Potri.011G137300.1.v4.1 pep chromosome:Pop_tri_v4:11:16923173:16927254:1 gene:Potri.011G137300.v4.1 transcript:Potri.011G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137300.v4.1 MIEWVKQLNKAFGASFVWLICLIYFTQGFRSFVWTAVSYQLKDNLKLSPSASQFVSSIAFFPWSIKPLYGILSDCIPIKGRKRIPYLVIATLLSLVPWPMLGIDSNLRSSQWHLTVLLTVQNLGSAMADVVVDAMIAEAVRSERASFAGDLQSISWLSMAVGGIWGSLLGGYALTNLQIDKIFLLFSVLPAIQLLSCGLVGENSADSKVSHDSANSSNSHPVNGNGNILDEDNILLKKSSASATRRKRSQKNGNKRASMRTKSLIPEKGNSLISHWFHSLKTATYSLLRAFRQPVILRPMAWFFLAQITVPNLSTVMFYYQTEVLNLDASFLGTARVVGWLGLMLGTFTYNRYLKTMKLRKILLWAHVGLSLLTLLDVILVSRVNLAYGVSDKIMVVFGSALSDAVNQFKLMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFVGAGLASILNLSSGSFDNLGLGIAIQVLCTFIPIAFLFLIPKEATGISA >Potri.011G137300.4.v4.1 pep chromosome:Pop_tri_v4:11:16923178:16927288:1 gene:Potri.011G137300.v4.1 transcript:Potri.011G137300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137300.v4.1 MIEWVKQLNKAFGASFVWLICLIYFTQGFRSFVWTAVSYQLKDNLKLSPSASQFVSSIAFFPWSIKPLYGILSDCIPIKGRKRIPYLVIATLLSLVPWPMLGIDSNLRSSQWHLTVLLTVQNLGSAMADVVVDAMIAEAVRSERASFAGDLQSISWLSMAVGGIWGSLLGGYALTNLQIDKIFLLFSVLPAIQLLSCGLVGENSADSKVSHDSANSSNSHPVNGNGNILDEDNILLKKSSASATRRKRSQKNGNKRASMRTKSLIPEKGNSLISHWFHSLKTATYSLLRAFRQPVILRPDQWLGFS >Potri.010G108500.6.v4.1 pep chromosome:Pop_tri_v4:10:12932743:12938712:1 gene:Potri.010G108500.v4.1 transcript:Potri.010G108500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108500.v4.1 MALLLLLFLLMVPVVSADEDAFIGVNIGTALSDMPSPTQVVALLKAQNIRHVRLYDADRAMLHALANTGIRVTVSVPNEQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEILTTLPNAAPVLVSALKFIHSALVASNLDGQIKVSTPQSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYFMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAIVDAAYFSMSYLNFTKIPIFVTESGWPSKGDSSEPDATLDNANTYNSNLIRHVLNNTGTPKHPGIVVSTYIYELYNEDSRPGPVSEKNWGLFDANGMPVYTLHLTGAGTLLANDTTNQTFCVAKEGADPKMLQAALDWACGPGKVDCSFLLQGQPCYEPDNVVAHSTYAFNAYFQKMAKSPGTCDFKGVATITTSDPSKQL >Potri.010G108500.1.v4.1 pep chromosome:Pop_tri_v4:10:12932596:12938454:1 gene:Potri.010G108500.v4.1 transcript:Potri.010G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108500.v4.1 MALLLLLFLLMVPVVSADEDAFIGVNIGTALSDMPSPTQVVALLKAQNIRHVRLYDADRAMLHALANTGIRVTVSVPNEQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEILTTLPNAAPVLVSALKFIHSALVASNLDGQIKVSTPQSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYFMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAIVDAAYFSMSYLNFTKIPIFVTESGWPSKGDSSEPDATLDNANTYNSNLIRHVLNNTGTPKHPGIVVSTYIYELYNEDSRPGPVSEKNWGLFDANGMPVYTLHLTGAGTLLANDTTNQTFCVAKEGADPKMLQAALDWACGPGKVDCSFLLQGQPCYEPDNVVAHSTYAFNAYFQKMAKSPGTCDFKGVATITTSDPSHGSCIFPGSAGRNGTFPNTTSLAPSSNSSTSGCHSVYFYGAGSFTTSVIIGVLLMSMVCL >Potri.010G108500.4.v4.1 pep chromosome:Pop_tri_v4:10:12935261:12938713:1 gene:Potri.010G108500.v4.1 transcript:Potri.010G108500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108500.v4.1 MPSPTQVVALLKAQNIRHVRLYDADRAMLHALANTGIRVTVSVPNEQLLGIGQSNATAANWVARNVIAHVPATNITAIAVGSEILTTLPNAAPVLVSALKFIHSALVASNLDGQIKVSTPQSSSIILDSFPPSQAFFNRSWDPVMVPLLKFLQSTGSYFMLNVYPYYDYMQSNGVIPLDYALFRPLPPNKEAVDANTLLHYTNVFDAIVDAAYFSMSYLNFTKIPIFVTESGWPSKGDSSEPDATLDNANTYNSNLIRHVLNNTGTPKHPGIVVSTYIYELYNEDSRPGPVSEKNWGLFDANGMPVYTLHLTGAGTLLANDTTNQTFCVAKEGADPKMLQAALDWACGPGKVDCSFLLQGQPCYEPDNVVAHSTYAFNAYFQKMAKSPGTCDFKGVATITTSDPSHGSCIFPGSAGRNGTFPNTTSLAPSSNSSTSGCHSVYFYGQTLFPVVQCQMVLLHMFL >Potri.005G229600.2.v4.1 pep chromosome:Pop_tri_v4:5:22910048:22913101:1 gene:Potri.005G229600.v4.1 transcript:Potri.005G229600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229600.v4.1 MRHWGLIFLVILLIQEQGIFLQVEADDGFIRTKGVQFLLNGSPFYANGFNGYWLMYFATDTSQRDKVTSVFQDAKQHGLTLARTWAFNDGQDRALQVSPGHYNEQTFQGLDFVISEAKKNGIKLILSLVNNYENFGGRKQYVNWASSQGQSISSLDDFYTNSVVKGYYKNHIKTVLTRRNSITGVAYKDEPTIMAWELMNEPRCASDPSGRTIQAWITEMASYLKSIDGNHLLEVGLEGFYGPSSSEKQQSNPNFQVGTDFIANNQIPDIDFATVHSYPDQWLPDSSEESQESFLNSWLNNHIQDSQNILRKPVLFAEFGKSLRTSNDNQRDRLFNTVYSAIYSSASSGGAAAGGMFWQLLTEGMDSFRDGYEVVFSQNPSTTSVIVDQSQKLNRIRKMYARLRNIEKWKRAKDIRNNGN >Potri.010G134200.7.v4.1 pep chromosome:Pop_tri_v4:10:14999751:15002179:-1 gene:Potri.010G134200.v4.1 transcript:Potri.010G134200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134200.v4.1 MGDVEDPDLISDLPQSILESILTRLPIRDAVRTSILSSKWRYRWTTLTHLVFDDKCIRMCSDRPVVENCMVNFITRALFLHQGPIHKFQLSTSYLQCCPDIDQWILFLSRSDIKELVLELGEGEWFRVPSCLFNCKKLTCLELFRCEFDPPPTFKGFLCLRSLSLHQVLVAPEAIESLISGCPLLESLALSYFDSLALNIRAPNLKYLCLEGEFTDICLENTPLLVAMSVAMYMNDEMAECFEQSSKCNFIKFLGGVPRLERLAGHIYFTKVTPCHILIWCMNLQCSLSLLLCFGK >Potri.010G134200.4.v4.1 pep chromosome:Pop_tri_v4:10:14999750:15002192:-1 gene:Potri.010G134200.v4.1 transcript:Potri.010G134200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134200.v4.1 MGDVEDPDLISDLPQSILESILTRLPIRDAVRTSILSSKWRYRWTTLTHLVFDDKCIRMCSDRPVVENCMVNFITRALFLHQGPIHKFQLSTSYLQCCPDIDQWILFLSRSDIKELVLELGEGEWFRVPSCLFNCKKLTCLELFRCEFDPPPTFKGFLCLRSLSLHQVLVAPEAIESLISGCPLLESLALSYFDSLALNIRAPNLKYLCLEGEFTDICLENTPLLVAMSVAMYMNDEMAECFEQSSKCNFIKFLGGVPRLERLAGHIYFTKYLSIGDYPGSLAITYSHLKIIELYQVSFEDMKEIRVVLRLIMNSPNLKELRISGSSTAVASVEAPNLEFWAKECPKDCTFKQLKVVKMTDMSGVPHEMEFMKFLLANSPVLETMSITPCVYVMDGRLNMLIQLVRFRRASAEAEIIFTR >Potri.010G134200.2.v4.1 pep chromosome:Pop_tri_v4:10:14999734:15002307:-1 gene:Potri.010G134200.v4.1 transcript:Potri.010G134200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134200.v4.1 MGDVEDPDLISDLPQSILESILTRLPIRDAVRTSILSSKWRYRWTTLTHLVFDDKCIRMCSDRPVVENCMVNFITRALFLHQGPIHKFQLSTSYLQCCPDIDQWILFLSRSDIKELVLELGEGEWFRVPSCLFNCKKLTCLELFRCEFDPPPTFKGFLCLRSLSLHQVLVAPEAIESLISGCPLLESLALSYFDSLALNIRAPNLKYLCLEGEFTDICLENTPLLVAMSVAMYMNDEMAECFEQSSKCNFIKFLGGVPRLERLAGHIYFTKYLSIGDYPGSLAITYSHLKIIELYQVSFEDMKEIRVVLRLIMNSPNLKELRISGSSTAVASVEAPNLEFWAKECPKDCTFKQLKVVKMTDMSGVPHEMEFMKFLLANSPVLETMSITPCVYVMDGRLNMLIQLVRFRRASAEAEIIFTR >Potri.T124507.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:20081:22293:1 gene:Potri.T124507.v4.1 transcript:Potri.T124507.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124507.v4.1 MAVFNLDKLCLILPAFLFLLLLFPLGGQHHLTLLFSSFNPIKANSSTTPLEAPVLDAFASTSINTSNEDEPKTQKKRSSLERVEEGLSKARAAIQEAIRSKNYTSHKKETFIPKGSVYWNSHAFHQSHIEMVKRFKVWPYKEGERPLVHDGPLNNIYSIEGHFIDEVESKGSPFRAQDPDEAHVFFLPVSVASIVHFIYLPITAAADYSRDRLRRVVTDYVHIVAKKYPYWNRSNGADHFMVSCHDWAPDVSIANSELFNKFIRVLCNANISTGFRPPRDVLLPEIYLPFSGLGTTHMGQAPNNRPILAFFEGRAHGYIRQVLFKHWKNKDNEVQVHELLPKGKNYTRLMGQSKFCLCPSGFEVASPRVVEAIYQGCVPVIISNNYSLPFSDVLNWSQFSVQIPVEKIPEIKMILQRISNSKYLRMHERVKRVQRHFVLNRPAKPFDVIHMVLHSLWLRRLNFRLSD >Potri.007G011900.7.v4.1 pep chromosome:Pop_tri_v4:7:938210:943840:1 gene:Potri.007G011900.v4.1 transcript:Potri.007G011900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011900.v4.1 MKKKKKITGSSTVAVKKAVIAVTSCLQDYPPPCEKDEVGLGLGAVRRRRSGSSGDPNAEFSPHSCSLLPTYSENIETDGDHKKPNEQSQVQFRMICSHGAAGIIIGKGGSVVRALQNQTGASIIFAPPITNSDDRLVTVSALENLESSHSPAQNALLLVFDRSIEHDIERARSLGLIEEITVTATLLLPSNRVSCLIGRGGRVDSEMIETTGADIQIMQADQFFDFASKNDAVVQITGEEKNVQNALFQVTCKLRGNLLPTEMLNGLRAGSPYRRAGEITMLHQSAGESLDSNQETSFGKRVDQITGSSSVAIKKAVIDVTSCLQDCPPYEKDEVDLSLGAGRRRRSGSSGEPMCSLLPTYSENIATDGDHKKPNEQSQVQFRMICSHGAAGSIIGTGGSIVRALQNQTGASISFAPPITNSDDRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNKVSCLIGRGGRVDSEMIETTGADIQILQGDQIFDFASKNDVVVQITGEEKNVQNALFQVTCKLRGNLLPTEMLNGLRAGSPYRRAGEITMLHQSAGESLDSNQETSFEKRLDQVRDTPSSLLQLPQITGSSSVAIKKAVIDVTSCLQDCPPYEKDEVDLSLGAGRRRRSGSSGEPMCSLLPTYSENIATDGDHKKPNEQSQVQFRMICSHGAAGRIIGTGGSVVRALQNQTGASIIFARPITNSDDRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNKVYCLIGRGGRVDSEMIETTGADIQIMQGDQFFDLASKNDAVVQITGEHKNVQNALFQVTCKLRENLLPTKMLNGLRAGSPYRRAGESTMLHQSAGSVSRRMSRFQSGNRFGRKSGSST >Potri.007G011900.5.v4.1 pep chromosome:Pop_tri_v4:7:937717:944080:1 gene:Potri.007G011900.v4.1 transcript:Potri.007G011900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011900.v4.1 MQQQQQKHYRHKGPPRQPLIELQPGQVAFRVVCHVSKIGGLISHSSSVISPIWLEAGCLVHCEEAVKGSEHRVIVVVGSASPEKKIAVGEGETVEVSGAQEAVVRVLERMWRVDGKKDGGEYEGYCGLLANTSQIGAVVGREGRNIKRMKRTSGAHIWILPAPLCGLKEDQLIQITGSSTVAVKKAVIAVTSCLQDYPPPCEKDEVGLGLGAVRRRRSGSSGDPNAEFSPHSCSLLPTYSENIETDGDHKKPNEQSQVQFRMICSHGAAGIIIGKGGSVVRALQNQTGASIIFAPPITNSDDRLVTVSALENLESSHSPAQNALLLVFDRSIEHDIERARSLGLIEEITVTATLLLPSNRVSCLIGRGGRVDSEMIETTGADIQIMQADQFFDFASKNDAVVQITGEEKNVQNALFQVTCKLRGNLLPTEMLNGLRAGSPYRRAGEITMLHQSAGESLDSNQETSFGKRVDQITGSSSVAIKKAVIDVTSCLQDCPPYEKDEVDLSLGAGRRRRSGSSGEPMCSLLPTYSENIATDGDHKKPNEQSQVQFRMICSHGAAGSIIGTGGSIVRALQNQTGASISFAPPITNSDDRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNKVSCLIGRGGRVDSEMIETTGADIQILQGDQIFDFASKNDVVVQITGEEKNVQNALFQVTCKLRGNLLPTEMLNGLRAGSPYRRAGEITMLHQSAGESLDSNQETSFEKRLDQITGSSSVAIKKAVIDVTSCLQDCPPYEKDEVDLSLGAGRRRRSGSSGEPMCSLLPTYSENIATDGDHKKPNEQSQVQFRMICSHGAAGRIIGTGGSVVRALQNQTGASIIFARPITNSDDRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNKVYCLIGRGGRVDSEMIETTGADIQIMQGDQFFDLASKNDAVVQITGEHKNVQNALFQVTCKLRENLLPTKMLNGLRAGSPYRRAGESTMLHQSAGSVSRRMSRFQSGNRFGRKSGSST >Potri.007G011900.4.v4.1 pep chromosome:Pop_tri_v4:7:937608:943837:1 gene:Potri.007G011900.v4.1 transcript:Potri.007G011900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011900.v4.1 MQQQQQKHYRHKGPPRQPLIELQPGQVAFRVVCHVSKIGGLISHSSSVISPIWLEAGCLVHCEEAVKGSEHRVIVVVGSASPEKKIAVGEGETVEVSGAQEAVVRVLERMWRVDGKKDGGEYEGYCGLLANTSQIGAVVGREGRNIKRMKRTSGAHIWILPAPLCGLKEDQLIQITGSSTVAVKKAVIAVTSCLQDYPPPCEKDEVGLGLGAVRRRRSGSSGDPNAEFSPHSCSLLPTYSENIETDGDHKKPNEQSQVQFRMICSHGAAGIIIGKGGSVVRALQNQTGASIIFAPPITNSDDRLVTVSALENLESSHSPAQNALLLVFDRSIEHDIERARSLGLIEEITVTATLLLPSNRVSCLIGRGGRVDSEMIETTGADIQIMQADQFFDFASKNDAVVQITGEEKNVQNALFQVTCKLRGNLLPTEMLNGLRAGSPYRRAGEITMLHQSAGESLDSNQETSFGKRVDQVRDTPSSFLQLPQITGSSSVAIKKAVIDVTSCLQDCPPYEKDEVDLSLGAGRRRRSGSSGEPMCSLLPTYSENIATDGDHKKPNEQSQVQFRMICSHGAAGSIIGTGGSIVRALQNQTGASISFAPPITNSDDRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNKVSCLIGRGGRVDSEMIETTGADIQILQGDQIFDFASKNDVVVQITGEEKNVQNALFQVTCKLRGNLLPTEMLNGLRAGSPYRRAGEITMLHQSAGESLDSNQETSFEKRLDQVRDTPSSLLQLPQITGSSSVAIKKAVIDVTSCLQDCPPYEKDEVDLSLGAGRRRRSGSSGEPMCSLLPTYSENIATDGDHKKPNEQSQVQFRMICSHGAAGRIIGTGGSVVRALQNQTGASIIFARPITNSDDRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNKVYCLIGRGGRVDSEMIETTGADIQIMQGDQFFDLASKNDAVVQITGEHKNVQNALFQVTCKLRENLLPTKMLNGLRAGSPYRRAGESTMLHQSAGSVSRRMSRFQSGNRFGRKSGSST >Potri.007G011900.6.v4.1 pep chromosome:Pop_tri_v4:7:937711:943832:1 gene:Potri.007G011900.v4.1 transcript:Potri.007G011900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011900.v4.1 MQQQQQKHYRHKGPPRQPLIELQPGQVAFRVVCHVSKIGGLISHSSSVISPIWLEAGCLVHCEEAVKGSEHRVIVVVGSASPEKKIAVGEGETVEVSGAQEAVVRVLERMWRVDGKKDGGEYEGYCGLLANTSQIGAVVGREGRNIKRMKRTSGAHIWILPAPLCGLKEDQLIQITGSSTVAVKKAVIAVTSCLQDYPPPCEKDEVGLGLGAVRRRRSGSSGDPNAEFSPHSCSLLPTYSENIETDGDHKKPNEQSQVQFRMICSHGAAGIIIGKGGSVVRALQNQTGASIIFAPPITNSDDRLVTVSALENLESSHSPAQNALLLVFDRSIEHDIERARSLGLIEEITVTATLLLPSNRVSCLIGRGGRVDSEMIETTGADIQIMQADQFFDFASKNDAVVQITGEEKNVQNALFQVTCKLRGNLLPTEMLNGLRAGSPYRRAGEITMLHQSAGESLDSNQETSFGKRVDQVRDTPSSFLQLPQITGSSSVAIKKAVIDVTSCLQDCPPYEKDEVDLSLGAGRRRRSGSSGEPMCSLLPTYSENIATDGDHKKPNEQSQVQFRMICSHGAAGSIIGTGGSIVRALQNQTGASISFAPPITNSDDRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNKVSCLIGRGGRVDSEMIETTGADIQILQGDQIFDFASKNDVVVQITGEEKNVQNALFQVTCKLRGNLLPTEMLNGLRAGSPYRRAGEITMLHQSAGESLDSNQETSFEKRLDQVRDTPSSLLQLPQITGSSSVAIKKAVIDVTSCLQDCPPYEKDEVDLSLGAGRRRRSGSSGEPMCSLLPTYSENIATDGDHKKPNEQSQVQFRMICSHGAAGRIIGTGGSVVRALQNQTGASIIFARPITNSDDRLVTVSALENLESSHSPAQNALLLVFARSIEHDIERARSLGLIEEITVTATLLLPSNKVYCLIGRGGRVDSEMIETTGADIQIMQGDQFFDLASKNDAVVQLSAPLPPRTINTSSSPVVVII >Potri.014G135530.3.v4.1 pep chromosome:Pop_tri_v4:14:9115958:9121068:1 gene:Potri.014G135530.v4.1 transcript:Potri.014G135530.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135530.v4.1 MDGSHPHSSPRNSEEKQKWPVSSSQMVSHFATSGLSVAVATAITHPLDVLKVRLQMQLVGRRGPLTGMGQVAVQVLKKEGPKALYLGLMPALIRSVLYGGLRLGLYEPSKYACNLAFGSTNILLKIASGAFSGAVATALTNPVEVLKVRLQMNSNQRQGGPMAEMRTIVSEEGIRALWKGVGPAMVRAAALTASQLATYDETKQVLIRWTPLDEGFHLHLLSSTVAGTVSTLVTAPMDMIKTRLMLQRESKTVGNYKNGFHCAYQVMLKEGPRALYKGGFAIFARLGPQTTITFILCEELRKLAGLDAI >Potri.014G135530.2.v4.1 pep chromosome:Pop_tri_v4:14:9115958:9121112:1 gene:Potri.014G135530.v4.1 transcript:Potri.014G135530.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135530.v4.1 MDGSHPHSSPRNSDSFVVKVAEEKQKWPVSSSQMVSHFATSGLSVAVATAITHPLDVLKVRLQMQLVGRRGPLTGMGQVAVQVLKKEGPKALYLGLMPALIRSVLYGGLRLGLYEPSKYACNLAFGSTNILLKIASGAFSGAVATALTNPVEVLKVRLQMNSNQRQGGPMAEMRTIVSEEGIRALWKGVGPAMVRAAALTASQLATYDETKQVLIRWTPLDEGFHLHLLSSTVAGTVSTLVTAPMDMIKTRLMLQRESKTVGNYKNGFHCAYQVMLKEGPRALYKGGFAIFARLGPQTTITFILCEELRKLAGLDAI >Potri.014G135530.1.v4.1 pep chromosome:Pop_tri_v4:14:9115958:9121068:1 gene:Potri.014G135530.v4.1 transcript:Potri.014G135530.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135530.v4.1 MDGSHPHSSPRNSDSFVVKVAEEKQKWPVSSSQMVSHFATSGLSVAVATAITHPLDVLKVRLQMQLVGRRGPLTGMGQVAVQVLKKEGPKALYLGLMPALIRSVLYGGLRLGLYEPSKYACNLAFGSTNILLKIASGAFSGAVATALTNPVEVLKVRLQMNSNQRQGGPMAEMRTIVSEEGIRALWKGVGPAMVRAAALTASQLATYDETKQVLIRWTPLDEGFHLHLLSSTVAGTVSTLVTAPMDMIKTRLMLQRESKTVGNYKNGFHCAYQVMLKEGPRALYKGGFAIFARLGPQTTITFILCEELRKLAGLDAI >Potri.014G135530.4.v4.1 pep chromosome:Pop_tri_v4:14:9115944:9120594:1 gene:Potri.014G135530.v4.1 transcript:Potri.014G135530.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135530.v4.1 MDGSHPHSSPRNSDSFVVKVAEEKQKWPVSSSQMVSHFATSGLSVAVATAITHPLDVLKVRLQMQLVGRRGPLTGMGQVAVQVLKKEGPKALYLGLMPALIRSVLYGGLRLGLYEPSKYACNLAFGSTNILLKIASGAFSGAVATALTNPVEVLKVRLQMNSNQRQGGPMAEMRTIVSEEGIRALWKGVGPAMVRAAALTASQLATYDETKQVLIRWTPLDEGFHLHLLSSTVAGTVSTLVTAPMDMIKTRLMLQRESKTVGNYKNGFHCAYQVMLKEGPRALYKG >Potri.016G036900.13.v4.1 pep chromosome:Pop_tri_v4:16:2206052:2222719:1 gene:Potri.016G036900.v4.1 transcript:Potri.016G036900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036900.v4.1 MASLQSPVVSPIPQLVNATRPNSLNKNLLFVDFVGLYCKSKRTRRRIGLSSSFSRFSIKKNSSPVHAILSVDRQNISPQYPPPPDLKPQVANLEDIISERGACGVGFIANLENKPSHAIVKDALTALGCMEHRGGCGADNDSGDGSGLMTSIPWELFDKWAESEGIGSFDKSHTGVGMVFFPKDDNLVKEAKKAIVNIFEQEGLEVLGWRSVPVNTSVVGFYAKETMPNIQQVFVKVIKEENVDDIERELYICRKLIERAANSANWGNELYFCSLSNQTIVYKGMLRSEVLGMFYSDLQNDIYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRETSLKSSVWHGRENEIRPYGNPKASDSANLDSAAELLIRSGRAPEEALMILVPEAYKNHPTLTIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTVDNFVYVASEVGVVPMDESKVTMKGRLGPGMMIAVDLPGGQVYENTEVKKRVALLNPYGKWVKENLRSLKPANFLSATVMDNEVTLNRQQAFGYSSEDVQMVIENMASQGKEPTFCMGDDIPLAILSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENASQVILSSPVLNEGELELLLKDPYLKPQVLPTFFDIRKGVEGSLEKTLIKLCEAADEAVRNGSQLLVLSDRSDELEPTRPAIPILLAVGAVHQHLIQNGLRMSTSIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLNKRTVNLMMNGKMPTVTIEQAQKNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGKEIVDLAFCGSVSNIGGATFDELARETLSFWVKAFSQATAKRLENYGFIQFRPGGEYHGNNPEMSKLLHKAVRQKSENAFSIYQQHLSNRPVNVLRDLLEFKSDRAPIPVGKVEPATSIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWSPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTESHQTLIENGLRERVILRVDGGFKSGVDVMMAAVMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGIPGDLVNFFLYVAEEIRGMLAQLGYQKLDDIIGHTDLLRPRDISLVKTQHLDLSCIMSSVGLPKLRSTDIRNQDVHTNGPVLDDVVLADPEILDAINNEKVVNKTIKIYNVDRAVCGRIAGVVAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRLIGEANDYVGKGMAGGELVVTPVENTGFVPEDAAIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKNVAAGMTGGLAYILDEDDTLMPKVNKEIVKVQRVTASVGQMQLKSLIEAHVEKTGSSKGAAILKEWDTNLPLFWQLVPPSEEDTPEACAAYEANSAGQVTSLQSA >Potri.016G036900.12.v4.1 pep chromosome:Pop_tri_v4:16:2205959:2223030:1 gene:Potri.016G036900.v4.1 transcript:Potri.016G036900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036900.v4.1 MASLQSPVVSPIPQLVNATRPNSLNKNLLFVDFVGLYCKSKRTRRRIGLSSSFSRFSIKKNSSPVHAILSVDRQNISPQYPPPPDLKPQVANLEDIISERGACGVGFIANLENKPSHAIVKDALTALGCMEHRGGCGADNDSGDGSGLMTSIPWELFDKWAESEGIGSFDKSHTGVGMVFFPKDDNLVKEAKKAIVNIFEQEGLEVLGWRSVPVNTSVVGFYAKETMPNIQQVFVKVIKEENVDDIERELYICRKLIERAANSANWGNELYFCSLSNQTIVYKGMLRSEVLGMFYSDLQNDIYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRETSLKSSVWHGRENEIRPYGNPKASDSANLDSAAELLIRSGRAPEEALMILVPEAYKNHPTLTIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTVDNFVYVASEVGVVPMDESKVTMKGRLGPGMMIAVDLPGGQVYENTEVKKRVALLNPYGKWVKENLRSLKPANFLSATVMDNEVTLNRQQAFGYSSEDVQMVIENMASQGKEPTFCMGDDIPLAILSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENASQVILSSPVLNEGELELLLKDPYLKPQVLPTFFDIRKGVEGSLEKTLIKLCEAADEAVRNGSQLLVLSDRSDELEPTRPAIPILLAVGAVHQHLIQNGLRMSTSIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLNKRTVNLMMNGKMPTVTIEQAQKNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGKEIVDLAFCGSVSNIGGATFDELARETLSFWVKAFSQATAKRLENYGFIQFRPGGEYHGNNPEMSKLLHKAVRQKSENAFSIYQQHLSNRPVNVLRDLLEFKSDRAPIPVGKVEPATSIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWSPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTESHQTLIENGLRERVILRVDGGFKSGVDVMMAAVMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGIPGDLVNFFLYVAEEIRGMLAQLGYQKLDDIIGHTDLLRPRDISLVKTQHLDLSCIMSSVGLPKLRSTDIRNQDVHTNGPVLDDVVLADPEILDAINNEKVVNKTIKIYNVDRAVCGRIAGVVAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRLIGEANDYVGKGMAGGELVVTPVENTGFVPEDAAIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLMPKVNKEIVKVQRVTASVGQMQLKSLIEAHVEKTGSSKGAAILKEWDTNLPLFWQLVPPSEEDTPEACAAYEANSAGQVTSLQSA >Potri.016G036900.2.v4.1 pep chromosome:Pop_tri_v4:16:2206119:2222882:1 gene:Potri.016G036900.v4.1 transcript:Potri.016G036900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036900.v4.1 MASLQSPVVSPIPQLVNATRPNSLNKNLLFVDFVGLYCKSKRTRRRIGLSSSFSRFSIKKNSSPVHAILSVDRQNISPQYPPPPDLKPQVANLEDIISERGACGVGFIANLENKPSHAIVKDALTALGCMEHRGGCGADNDSGDGSGLMTSIPWELFDKWAESEGIGSFDKSHTGVGMVFFPKDDNLVKEAKKAIVNIFEQEGLEVLGWRSVPVNTSVVGFYAKETMPNIQQVFVKVIKEENVDDIERELYICRKLIERAANSANWGNELYFCSLSNQTIVYKGMLRSEVLGMFYSDLQNDIYKSPFAIYHRRYSTNTSPRWPLAQPMRFLGHNGEINTIQGNLNWMQSRETSLKSSVWHGRENEIRPYGNPKASDSANLDSAAELLIRSGRAPEEALMILVPEAYKNHPTLTIKYPEVVDFYDYYKGQMEAWDGPALLLFSDGKTVGACLDRNGLRPARYWRTVDNFVYVASEVGVVPMDESKVTMKGRLGPGMMIAVDLPGGQVYENTEVKKRVALLNPYGKWVKENLRSLKPANFLSATVMDNEVTLNRQQAFGYSSEDVQMVIENMASQGKEPTFCMGDDIPLAILSQKPHMLYDYFKQRFAQVTNPAIDPLREGLVMSLEVNIGKRRNILEVGPENASQVILSSPVLNEGELELLLKDPYLKPQVLPTFFDIRKGVEGSLEKTLIKLCEAADEAVRNGSQLLVLSDRSDELEPTRPAIPILLAVGAVHQHLIQNGLRMSTSIVADTAQCFSTHQFACLIGYGASAICPYLALETCRQWRLNKRTVNLMMNGKMPTVTIEQAQKNFCKAVKSGLLKILSKMGISLLSSYCGAQIFEIYGLGKEIVDLAFCGSVSNIGGATFDELARETLSFWVKAFSQATAKRLENYGFIQFRPGGEYHGNNPEMSKLLHKAVRQKSENAFSIYQQHLSNRPVNVLRDLLEFKSDRAPIPVGKVEPATSIVQRFCTGGMSLGAISRETHEAIAIAMNRLGGKSNSGEGGEDPIRWSPLTDVVDGYSPTLPHLKGLQNGDTATSAIKQVASGRFGVTPTFLVNADQLEIKIAQGAKPGEGGQLPGKKVSAYIARLRNSKPGVPLISPPPHHDIYSIEDLAQLIYDLHQVNPKAKVSVKLVAEAGIGTVASGVAKGNADIIQISGHDGGTGASPISSIKHAGGPWELGLTESHQTLIENGLRERVILRVDGGFKSGVDVMMAAVMGADEYGFGSVAMIATGCVMARICHTNNCPVGVASQREELRARFPGIPGDLVNFFLYVAEEIRGMLAQLGYQKLDDIIGHTDLLRPRDISLVKTQHLDLSCIMSSVGLPKLRSTDIRNQDVHTNGPVLDDVVLADPEILDAINNEKVVNKTIKIYNVDRAVCGRIAGVVAKKYGDTGFAGQLNITFTGSAGQSFACFLTPGMNIRLIGEANDYVGKGMAGGELVVTPVENTGFVPEDAAIVGNTCLYGATGGQVFVRGKAGERFAVRNSLAQAVVEGTGDHCCEYMTGGCVVVLGKVGRNVAAGMTGGLAYILDEDDTLMPKVNKEIVKVQRVTASVGQMQLKSLIEAHVEKTGSSKGAAILKEWDTNLPLFWQLVPPSEEDTPEACAAYEANSAGQVTSLQSA >Potri.009G088600.1.v4.1 pep chromosome:Pop_tri_v4:9:8199312:8201639:1 gene:Potri.009G088600.v4.1 transcript:Potri.009G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G088600.v4.1 MSRRERGSDSRRHRSGFDREPSPKRSRRDGKPETERVTIDSNLDQKNRRRLQDALPLETPSGPDSAKVESGGVSKETDKKPNEHGEGSKHSSKPTEVPWSRSFFQHDERGNAGQGGRSLGRRSATERRFRDSKDDRGERTENKAATYDSRQRDEKPRGKGDDKSVWGHDGFLKMEAEPPPPVRKRPAFQEKKIPAETDNPDKATVEPARSNHSHRPLSISERREERDRNPRYVDRPERPAAGNREVKKSDLASRERFGGDGGGNYRGRERFSDRQSYRPSGTRGEKWKHDLFDDADRSPTKKNEEDQIAKIEALLAS >Potri.003G195500.1.v4.1 pep chromosome:Pop_tri_v4:3:19777759:19781840:-1 gene:Potri.003G195500.v4.1 transcript:Potri.003G195500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195500.v4.1 MTSQSLNTQSSFSFATMKAYLFSLAFLFCSLAQGLSTKGLGTTVKVFHVYSSQSPFRPSKPISWEESVLQMMAKDQARLQFLSSLVAKKSVVPIASGRGVIQSPSYIVKAKVGTPPQTLLMALDNSYDAAWIPCKGCVGCSSTVFNTVKSTTFKTLGCGAPQCKQVPNPICGGSTCTWNTTYGSSTILSNLTRDTIALSMDPVPYYAFGCIQKATGSSVPPQGLLGFGRGPLSFLSQTQNLYKSTFSYCLPSFRTLNFSGSLRLGPVGQPPRIKTTPLLKNPRRSSLYYVKLNGIRVGRKIVDIPRSALAFNPTTGAGTIFDSGTVFTRLVAPAYIAVRNEFRKRVGNATVSSLGGFDTCYSVPIVPPTITFMFSGMNVTMPPENLLIHSTAGVTSCLAMAAAPDNVNSVLNVIASMQQQNHRILFDVPNSRLGVAREQCS >Potri.003G195500.2.v4.1 pep chromosome:Pop_tri_v4:3:19779056:19779530:-1 gene:Potri.003G195500.v4.1 transcript:Potri.003G195500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195500.v4.1 MCPIQGLVWLVSNVLDFGSFSFSFLGLIPSIAWWNIWTLFRTCH >Potri.013G058100.1.v4.1 pep chromosome:Pop_tri_v4:13:4244551:4247869:1 gene:Potri.013G058100.v4.1 transcript:Potri.013G058100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058100.v4.1 MQARLLLVGPIPTTIDASASFSSSSSIITGGRNSLIGSWIYHPNLDNKSRHRKNNKWGSTVVVASSSSSWAAINGGEQDHYAVLGLERTATSADIKKAYRFLARKYHPDVSKHSQAGELFKSIRHAYEILSNEVTRTQYDRVLRFQEETGRSYSKNQYYAPEVENWVRIYRWAEMKRKTRSERYREHYNVSEDPSFYSETEEEAEEGSLDQERGPFSEVLRSAFISLFLLHTFGSLLSLAFSSLMALFDRQLDAGYKIGYLIAWILGGRGGISLVLCLQFASWACGKRSSSMVALVVVAMWVGSNLARFAPLPQGALVTLLYMSIKLQADLN >Potri.013G093500.7.v4.1 pep chromosome:Pop_tri_v4:13:9665932:9668749:1 gene:Potri.013G093500.v4.1 transcript:Potri.013G093500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G093500.v4.1 MLTLSPKAPFLYKPQNPNPNPKIQHYKPQINGIYCKSELQSERGLEFETGETFFRHESAKGRDLGVLAASLYNQSKGKLRVLDALCGCGIRSLRYLMEAKADFVLANDANDEHRRVILENLKRVDRGFGDERKWVVTHLDANRVLSECYLQKDFFDLIDIDSFGSDISLFLRSAMNTLSFDGLLYVTSTDGHSSGGHHPDHSLAAYGAYVRPMPYSNEVGLRMLIGGAVREASVLGYYITPLFSYYSYHGPVFRVMLRVNRGKLLENRHYGFITYCNNCGNSQEFSWAELGQISCSCSGSHFSIWCMTS >Potri.013G093500.5.v4.1 pep chromosome:Pop_tri_v4:13:9665824:9668768:1 gene:Potri.013G093500.v4.1 transcript:Potri.013G093500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G093500.v4.1 MLTLSPKAPFLYKPQNPNPNPKIQHYKPQINGIYCKSELQSERGLEFETGETFFRHESAKGRDLGVLAASLYNQSKGKLRVLDALCGCGIRSLRYLMEAKADFVLANDANDEHRRVILENLKRVDRGFGDERKWVVTHLDANRVLSECYLQKDFFDLIDIDSFGSDISLFLRSAMNTLSFDGLLYVTSTDGHSSGGHHPDHSLAAYGAYVRPMPYSNEVGLRMLIGGAVREASVLGYYITPLFSYYSYHGPVFRVMLRVNRGKLLENRHYGFITYCNNCGNSQEFSWAELGQISCSCSGSHGSRSLVISGPLWTGPLHSAAYISKMINLAEEWGWIGNGAETDLEKLLKQMLDESDPRLKSGYIKMDEVASRAKINSPPLRTMMSAMQKEGYATSRSHIASNAIKTDCPMSVCIRISKELQGVDSFSV >Potri.013G093500.6.v4.1 pep chromosome:Pop_tri_v4:13:9665932:9668765:1 gene:Potri.013G093500.v4.1 transcript:Potri.013G093500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G093500.v4.1 MLTLSPKAPFLYKPQNPNPNPKIQHYKPQINGIYCKSELQSERGLEFETGETFFRHESAKGRDLGVLAASLYNQSKGKLRVLDALCGCGIRSLRYLMEAKADFVLANDANDEHRRVILENLKRVDRGFGDERKWVVTHLDANRVLSECYLQKDFFDLIDIDSFGSDISLFLRSAMNTLSFDGLLYVTSTDGHSSGGHHPDQVMLRVNRGKLLENRHYGFITYCNNCGNSQEFSWAELGQISCSCSGSHGSRSLVISGPLWTGPLHSAAYISKMINLAEEWGWIGNGAETDLEKLLKQMLDESDPRLKSGYIKMDEVASRAKINSPPLRTMMSAMQKEGYATSRSHIASNAIKTDCPMSVCIRISKELQGVDSFSV >Potri.014G071200.1.v4.1 pep chromosome:Pop_tri_v4:14:4538148:4538920:-1 gene:Potri.014G071200.v4.1 transcript:Potri.014G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G071200.v4.1 MCTGTCSKLSPCSPLYIALSNQQPSKGHNLRYHRLNRRRRLSKGKRVALVLVKKEMEIKNLKLYMENKSIIEENEKLRKKAFLLHQENQALLYQLQKKRSNPRHDHLAHSNN >Potri.007G124600.2.v4.1 pep chromosome:Pop_tri_v4:7:14098729:14102416:-1 gene:Potri.007G124600.v4.1 transcript:Potri.007G124600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124600.v4.1 MASINTLPYSSPPHFFPKPSSSLYTPPQNSFSTKRRRSRKSKTLTNNPNKPSSLDSDYYITLNNNSQNLKLVLNITQISKLPSSRFHQFLSLGQEAVDDLKTLVSLDENNRVVLSCQKSTLQFAGTVLLSGFLLISSIRVLFKLGLGFKRKFGAGKNPNFVVRRDRSLGGKEVIVAVDDQQREESKRPKRLANPVEISGLVDGLGFERGDWTRYRVGSQQKLPKWWPDSGSFSGRVVGPDQEEYQREANRLIRAITDYRTRGKDVMEHDIIQLRRICRTSGVRASFSTTNTRDAFYRASIDVVLNVCSSAPSYSTSVEIAGEDPRHFIAGLAENIGLESIRAARMVSAAVAARTRSCFLQAWALEVQGKHSEAVYELSKICLVLQTFPPEESSPEMEMVARGLARNLKVEQRELLMNMFMGVCSEESQRSAADALGLMPSPEGVGDQ >Potri.016G078100.1.v4.1 pep chromosome:Pop_tri_v4:16:5907962:5909936:1 gene:Potri.016G078100.v4.1 transcript:Potri.016G078100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078100.v4.1 MSRSGQPPDLKKYMDKKLQIKLNANRMVVGTLRGFDQFMNLVVDNTVDVNGDEKTDIGMVVIRGNSVVTVEALEPVTRTQ >Potri.001G139600.4.v4.1 pep chromosome:Pop_tri_v4:1:11399441:11404907:1 gene:Potri.001G139600.v4.1 transcript:Potri.001G139600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139600.v4.1 MLNVVISGLSLGMAAPDVSSFLRATTAAYPIFEMIERNTLSNTSKKSIKKLEKVDGHIEFKDVCFGYPSRPDVTIFDKFCLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLFGQILLDGNDIRDLDLKWLRKQIGLVNQEPALFAATIRENILYGKDDATLEEITRAATLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIALSRAIVKNPCILLLDEATSALDAESEKSVQEALDRAMLGRTTVVVAHRLSTIRNADVIAVVQEGKIVEIGSHEELISNPQSTYASLVHLQEAASSGGHPSLGPTLGPPLSMKYSQDTRSSFGASFRSDKDSISRAGAGALEPMRTKNVSLKRLYSMVGPDWIYGIVGTIGAFVAGSLMPLFALGVTQALVAFYMDWDTTRHEVKKIAILFCCGAVISVIFYGIEHLSFGIMGERLTLRVREMMFSAILRNEIGWFDDFNNTSSMLTSRLESDATLLRTIVVDRSTVLLHNVGLVVTSFVIAFILNWRITLVVIATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYARELVEPSKNSFTRGQIAGIFYGICQFFIFSSYGLALWYGSVLMEKELAGFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMAASVFEILDRKTQVMGDVGEELKNVKGTIELRGVQFSYPSRPDTLIFMDFDLRVRSGKSMALVGQSGSGKSSVLSLILRFYDPTAGKVMIDGIDIRKLKVKSLRKHIGLVQQEPALFATTIYENILYGKEGASETELIEAAKLANAHGFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMRNRTTVMVAHRLSTIKDADQISVIQGGKIIEQGTHSSLIENKDGSYFKLFRLQQQQGLEQNHDNNEHI >Potri.001G139600.7.v4.1 pep chromosome:Pop_tri_v4:1:11397993:11404906:1 gene:Potri.001G139600.v4.1 transcript:Potri.001G139600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139600.v4.1 MHTGERQAAKMRMAYLKSMLSQDISLFDTEASTGEVIAAITSDIIVVQDAISEKVGNFMHYVSRFLGGFIIGFVRIWQISLVTLSIVPLIALAGGIYAYITIGLIAKVRKSYVKAGQIAEEVIGNVRTVQAFAGEEKAVRSYVDALRNTYQYGRKAGLAKGLGLGTLHCVLFLSWALLVWYTSIVVHKNIANGADSFTTMLNVVISGLSLGMAAPDVSSFLRATTAAYPIFEMIERNTLSNTSKKSIKKLEKVDGHIEFKDVCFGYPSRPDVTIFDKFCLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLFGQILLDGNDIRDLDLKWLRKQIGLVNQEPALFAATIRENILYGKDDATLEEITRAATLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIALSRAIVKNPCILLLDEATSALDAESEKSVQEALDRAMLGRTTVVVAHRLSTIRNADVIAVVQEGKIVEIGSHEELISNPQSTYASLVHLQEAASSGGHPSLGPTLGPPLSMKYSQDTRSSFGASFRSDKDSISRAGAGALEPMRTKNVSLKRLYSMVGPDWIYGIVGTIGAFVAGSLMPLFALGVTQALVAFYMDWDTTRHEVKKIAILFCCGAVISVIFYGIEHLSFGIMGERLTLRVREMMFSAILRNEIGWFDDFNNTSSMLTSRLESDATLLRTIVVDRSTVLLHNVGLVVTSFVIAFILNWRITLVVIATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYARELVEPSKNSFTRGQIAGIFYGICQFFIFSSYGLALWYGSVLMEKELAGFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMAASVFEILDRKTQVMGDVGEELKNVKGTIELRGVQFSYPSRPDTLIFMDFDLRVRSGKSMALVGQSGSGKSSVLSLILRFYDPTAGKVMIDGIDIRKLKVKSLRKHIGLVQQEPALFATTIYENILYGKEGASETELIEAAKLANAHGFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMRNRTTVMVAHRLSTIKDADQISVIQGGKIIEQGTHSSLIENKDGSYFKLFRLQQQQGLEQNHDNNEHI >Potri.001G139600.5.v4.1 pep chromosome:Pop_tri_v4:1:11397772:11404946:1 gene:Potri.001G139600.v4.1 transcript:Potri.001G139600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139600.v4.1 MHTGERQAAKMRMAYLKSMLSQDISLFDTEASTGEVIAAITSDIIVVQDAISEKVGNFMHYVSRFLGGFIIGFVRIWQISLVTLSIVPLIALAGGIYAYITIGLIAKVRKSYVKAGQIAEEVIGNVRTVQAFAGEEKAVRSYVDALRNTYQYGRKAGLAKGLGLGTLHCVLFLSWALLVWYTSIVVHKNIANGADSFTTMLNVVISGLSLGMAAPDVSSFLRATTAAYPIFEMIERNTLSNTSKKSIKKLEKVDGHIEFKDVCFGYPSRPDVTIFDKFCLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLFGQILLDGNDIRDLDLKWLRKQIGLVNQEPALFAATIRENILYGKDDATLEEITRAATLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIALSRAIVKNPCILLLDEATSALDAESEKSVQEALDRAMLGRTTVVVAHRLSTIRNADVIAVVQEGKIVEIGSHEELISNPQSTYASLVHLQEAASSGGHPSLGPTLGPPLSMKYSQDTRSSFGASFRSDKDSISRAGAGALEPMRTKNVSLKRLYSMVGPDWIYGIVGTIGAFVAGSLMPLFALGVTQALVAFYMDWDTTRHEVKKIAILFCCGAVISVIFYGIEHLSFGIMGERLTLRVREMMFSAILRNEIGWFDDFNNTSSMLTSRLESDATLLRTIVVDRSTVLLHNVGLVVTSFVIAFILNWRITLVVIATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYARELVEPSKNSFTRGQIAGIFYGICQFFIFSSYGLALWYGSVLMEKELAGFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMAASVFEILDRKTQVMGDVGEELKNVKGTIELRGVQFSYPSRPDTLIFMDFDLRVRSGKSMALVGQSGSGKSSVLSLILRFYDPTAGKVMIDGIDIRKLKVKSLRKHIGLVQQEPALFATTIYENILYGKEGASETELIEAAKLANAHGFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMRNRTTVMVAHRLSTIKDADQISVIQGGKIIEQGTHSSLIENKDGSYFKLFRLQQQQGLEQNHDNNEHI >Potri.001G139600.6.v4.1 pep chromosome:Pop_tri_v4:1:11397733:11404906:1 gene:Potri.001G139600.v4.1 transcript:Potri.001G139600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139600.v4.1 MHTGERQAAKMRMAYLKSMLSQDISLFDTEASTGEVIAAITSDIIVVQDAISEKVGNFMHYVSRFLGGFIIGFVRIWQISLVTLSIVPLIALAGGIYAYITIGLIAKVRKSYVKAGQIAEEVIGNVRTVQAFAGEEKAVRSYVDALRNTYQYGRKAGLAKGLGLGTLHCVLFLSWALLVWYTSIVVHKNIANGADSFTTMLNVVISGLSLGMAAPDVSSFLRATTAAYPIFEMIERNTLSNTSKKSIKKLEKVDGHIEFKDVCFGYPSRPDVTIFDKFCLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLFGQILLDGNDIRDLDLKWLRKQIGLVNQEPALFAATIRENILYGKDDATLEEITRAATLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIALSRAIVKNPCILLLDEATSALDAESEKSVQEALDRAMLGRTTVVVAHRLSTIRNADVIAVVQEGKIVEIGSHEELISNPQSTYASLVHLQEAASSGGHPSLGPTLGPPLSMKYSQDTRSSFGASFRSDKDSISRAGAGALEPMRTKNVSLKRLYSMVGPDWIYGIVGTIGAFVAGSLMPLFALGVTQALVAFYMDWDTTRHEVKKIAILFCCGAVISVIFYGIEHLSFGIMGERLTLRVREMMFSAILRNEIGWFDDFNNTSSMLTSRLESDATLLRTIVVDRSTVLLHNVGLVVTSFVIAFILNWRITLVVIATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYARELVEPSKNSFTRGQIAGIFYGICQFFIFSSYGLALWYGSVLMEKELAGFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMAASVFEILDRKTQVMGDVGEELKNVKGTIELRGVQFSYPSRPDTLIFMDFDLRVRSGKSMALVGQSGSGKSSVLSLILRFYDPTAGKVMIDGIDIRKLKVKSLRKHIGLVQQEPALFATTIYENILYGKEGASETELIEAAKLANAHGFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMRNRTTVMVAHRLSTIKDADQISVIQGGKIIEQGTHSSLIENKDGSYFKLFRLQQQQGLEQNHDNNEHI >Potri.001G139600.2.v4.1 pep chromosome:Pop_tri_v4:1:11397030:11405000:1 gene:Potri.001G139600.v4.1 transcript:Potri.001G139600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139600.v4.1 MSSQSNIEEADDVETKRQEEKKKQQKVPFWKLFAFADFYDCLLMGLGSLGACVHGASVPVFFIFFGKLINIIGMAYLFPKEASHKVAKYSLDFVYLSAVILFASWIEVACWMHTGERQAAKMRMAYLKSMLSQDISLFDTEASTGEVIAAITSDIIVVQDAISEKVGNFMHYVSRFLGGFIIGFVRIWQISLVTLSIVPLIALAGGIYAYITIGLIAKVRKSYVKAGQIAEEVIGNVRTVQAFAGEEKAVRSYVDALRNTYQYGRKAGLAKGLGLGTLHCVLFLSWALLVWYTSIVVHKNIANGADSFTTMLNVVISGLSLGMAAPDVSSFLRATTAAYPIFEMIERNTLSNTSKKSIKKLEKVDGHIEFKDVCFGYPSRPDVTIFDKFCLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLFGQILLDGNDIRDLDLKWLRKQIGLVNQEPALFAATIRENILYGKDDATLEEITRAATLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIALSRAIVKNPCILLLDEATSALDAESEKSVQEALDRAMLGRTTVVVAHRLSTIRNADVIAVVQEGKIVEIGSHEELISNPQSTYASLVHLQEAASSGGHPSLGPTLGPPLSMKYSQDTRSSFGASFRSDKDSISRAGAGALEPMRTKNVSLKRLYSMVGPDWIYGIVGTIGAFVAGSLMPLFALGVTQALVAFYMDWDTTRHEVKKIAILFCCGAVISVIFYGIEHLSFGIMGERLTLRVREMMFSAILRNEIGWFDDFNNTSSMLTSRLESDATLLRTIVVDRSTVLLHNVGLVVTSFVIAFILNWRITLVVIATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYARELVEPSKNSFTRGQIAGIFYGICQFFIFSSYGLALWYGSVLMEKELAGFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMAASVFEILDRKTQVMGDVGEELKNVKGTIELRGVQFSYPSRPDTLIFMDFDLRVRSGKSMALVGQSGSGKSSVLSLILRFYDPTAGKVMIDGIDIRKLKVKSLRKHIGLVQQEPALFATTIYENILYGKEGASETELIEAAKLANAHGFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMRNRTTVMVAHRLSTIKDADQISVIQGGKIIEQGTHSSLIENKDGSYFKLFRLQQQQGLEQNHDNNEHI >Potri.001G139600.3.v4.1 pep chromosome:Pop_tri_v4:1:11398991:11404906:1 gene:Potri.001G139600.v4.1 transcript:Potri.001G139600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139600.v4.1 MMQVGNFMHYVSRFLGGFIIGFVRIWQISLVTLSIVPLIALAGGIYAYITIGLIAKVRKSYVKAGQIAEEVIGNVRTVQAFAGEEKAVRSYVDALRNTYQYGRKAGLAKGLGLGTLHCVLFLSWALLVWYTSIVVHKNIANGADSFTTMLNVVISGLSLGMAAPDVSSFLRATTAAYPIFEMIERNTLSNTSKKSIKKLEKVDGHIEFKDVCFGYPSRPDVTIFDKFCLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLFGQILLDGNDIRDLDLKWLRKQIGLVNQEPALFAATIRENILYGKDDATLEEITRAATLSEAMSFINNLPDRFETQVGERGIQLSGGQKQRIALSRAIVKNPCILLLDEATSALDAESEKSVQEALDRAMLGRTTVVVAHRLSTIRNADVIAVVQEGKIVEIGSHEELISNPQSTYASLVHLQEAASSGGHPSLGPTLGPPLSMKYSQDTRSSFGASFRSDKDSISRAGAGALEPMRTKNVSLKRLYSMVGPDWIYGIVGTIGAFVAGSLMPLFALGVTQALVAFYMDWDTTRHEVKKIAILFCCGAVISVIFYGIEHLSFGIMGERLTLRVREMMFSAILRNEIGWFDDFNNTSSMLTSRLESDATLLRTIVVDRSTVLLHNVGLVVTSFVIAFILNWRITLVVIATYPLIISGHISEKLFMKGYGGNLSKAYLKANMLAGEAVSNIRTVAAFCAEEKILDLYARELVEPSKNSFTRGQIAGIFYGICQFFIFSSYGLALWYGSVLMEKELAGFKSIMKSFMVLIVTALAMGETLALAPDLLKGNQMAASVFEILDRKTQVMGDVGEELKNVKGTIELRGVQFSYPSRPDTLIFMDFDLRVRSGKSMALVGQSGSGKSSVLSLILRFYDPTAGKVMIDGIDIRKLKVKSLRKHIGLVQQEPALFATTIYENILYGKEGASETELIEAAKLANAHGFISSLPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERIVQQALDRLMRNRTTVMVAHRLSTIKDADQISVIQGGKIIEQGTHSSLIENKDGSYFKLFRLQQQQGLEQNHDNNEHI >Potri.004G004100.1.v4.1 pep chromosome:Pop_tri_v4:4:256501:257211:-1 gene:Potri.004G004100.v4.1 transcript:Potri.004G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G004100.v4.1 MADAADRTRQNRAEENRLKHACDAGMVSGEIPSDSEDSNNPPSSDSVSSVSPPRLADLAANFRVFSETMARMDLAEMEMIKAREASRLEAEKRRMELEAELTRMMLQTRLRIASIVAGKGMGSKRKRAGEEDEEMPISSREGALLLNLLQCNNFF >Potri.006G031500.1.v4.1 pep chromosome:Pop_tri_v4:6:1958050:1958921:1 gene:Potri.006G031500.v4.1 transcript:Potri.006G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031500.v4.1 MAEKNNIEKTSKSHDSSQDPPLLATSSSQKSKKEEQETIRESKRRKKCPTALDKIEELAPSNPNFSFTFDTQFGGNSQEPTPKFGSFNLVASTQERVDDTGHCFHQSLEDEEGGHQEEEVDRQQGGVSEDGVVNVSKMLRRSIDGIREIK >Potri.006G140100.3.v4.1 pep chromosome:Pop_tri_v4:6:11719665:11721920:-1 gene:Potri.006G140100.v4.1 transcript:Potri.006G140100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140100.v4.1 MKIVVWMQNKFYRRHDSKKPNSISVDYHIRQESRKEEFIDWPNELLAIGTFGNKSIKEEFKHNHFSQNLPQELTPEEVGKLQNELSILLHKQGGSTDGAESETANFFNSQTSMEDDSTNSDGCSDESNNKDCCVQANTEVVTSRRKDIWADNSAAINKKSLSFLLKKMFICGGGFSPTPSLKNQVPESRMEKILRAILHKKIYPQNPSPKSSRNKYLENKQKPKSAEEDGIDEKADEGSKWVKTDSELLEI >Potri.006G140100.2.v4.1 pep chromosome:Pop_tri_v4:6:11719665:11721920:-1 gene:Potri.006G140100.v4.1 transcript:Potri.006G140100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140100.v4.1 MKIVVWMQNKFYRRHDSKKPNSISVDYHIRQESRKEEFIDWPNELLAIGTFGNKSIKEEFKHNHFSQNLPQELTPEEVGKLQNELSILLHKQGGSTDGAESETANFFNSQTSMEDDSTNSDGCSDESNNKDCCVQANTEVVTSRRKDIWADNSAAINKKSLSFLLKKMFICGGGFSPTPSLKNQVPESRMEKILRAILHKKIYPQNPSPKSSRNKYLENKQKPKSAEEDGIDEKADEGSKWVKTDSEYIVLEI >Potri.003G210200.2.v4.1 pep chromosome:Pop_tri_v4:3:20820255:20823071:1 gene:Potri.003G210200.v4.1 transcript:Potri.003G210200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210200.v4.1 MPCLLRVKTTTTSHISSFFTCSHSILNKDKSTLDFGCLPSSISPAIFLVLTSLGTISSAYLKSNPTIRHSVLKSHSVPCLSSQNWSNFVLFFGLYALFFMAAAAVQSLQNHDNTNQEVGRQEIQAAIAKAVELRALHAALMLGNSPANLRLPSSSPVSRSVPYFSAQDYPVFTPSYEDEPLPGHHQILTKSRTLSGSWDEFGLEAGSGLESVLSDYKKEDSSSRKGIPSGMSNLESNICPAEDLKSVTSSSANNITVLRASPGAECHKSCRRNSLGDFKTISSCNRCKPATITTESEKVTRNSKHSNIVVPLTDSHSSLQSQPKNRGVMSWLFPKLKKKHKNESSPNRTESEEVSQILKDLGMLSIETLKRELMEANEHRDAALMEVAEMKSSLGDLRHKLEYLESYCEELKKALRQSTLAKDSLVVEKLGNFPNRGKSTDGNGENLMPVSEDAMVEGFLQIVSEARLSVKQFCKTLVGQIEETDSTLMDNLNLLLQPHKLSLNSRYSKAVLYHLEAVINQSLYQDFENSVFQKNGSPKNLDPNQDRQAQFSSFVALRNLSWSEVLRKGTKYYSEEFSKFCDQKMSSIITTMNWTRPWPEQLLQAFFVAAKCIWLLHLLAFSFNPPLGILRVEENRSFDSHFMEDMFTDRQRSLGPSRVKIMVMPGFYVQDRVLRCKVLCRHKSVP >Potri.003G210200.3.v4.1 pep chromosome:Pop_tri_v4:3:20822188:20823073:1 gene:Potri.003G210200.v4.1 transcript:Potri.003G210200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G210200.v4.1 MDNLNLLLQPHKLSLNSRYSKAVLYHLEAVINQSLYQDFENSVFQKNGSPKNLDPNQDRQAQFSSFVALRNLSWSEVLRKGTKYYSEEFSKFCDQKMSSIITTMNWTRPWPEQLLQAFFVAAKCIWLLHLLAFSFNPPLGILRVEENRSFDSHFMEDMFTDRQRSLGPSRVKIMVMPGFYVQDRVLRCKVLCRHKSVP >Potri.004G015100.1.v4.1 pep chromosome:Pop_tri_v4:4:1044576:1045001:1 gene:Potri.004G015100.v4.1 transcript:Potri.004G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015100.v4.1 MACSGHTSDNTNQDTKAAKSNQDSNLQDFSEDEENLIARMFGLVGKRWSLIAGRIPGRTAEEIEKYWTSKYRSSKER >Potri.014G113100.1.v4.1 pep chromosome:Pop_tri_v4:14:7614726:7620917:-1 gene:Potri.014G113100.v4.1 transcript:Potri.014G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113100.v4.1 MAPENGRNGESMDEATTSKRQEGKEKSSGPNKELEKQERSKEDEKTKTVPFPKLFSFADSTDTVLMIIGSIGAVGNGISLPLMSILLGDVINSFGQNQHNENVVHLVSKVSLKFVYLAVGSGVGSFLQVACWMVTGERQAARIRGTYLKTILRQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLLSTFFGGFAIAFVQGWLLTLVMLSSIPLIVIAGAAMSIMISRKASLGQTAYAKAAIVVEQTLGSIRTVASFTCEEQAISNYQKFLITAYKSGVQEGFAAGLGIGIVMLVIFSSYALAIWFGGKLIVEKGYTGGTVINVIVALLIGSTSLGQASPCMSAFVAGQAAASKMFQTISREPKIDAYEMRGKILKDINGDIELRDVYFSYPARPDDQIFSGLSLLVPSGITAALVGQSGSGKSTVISLLERFYDPQAGEVLIDGINLKEFQLKWIREKIGLVSQEPVLFTSSIRDNIAYGKDGATTEEIRAVAELANAAKFIDKLPQGLDTMVGEHGTQMSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTLIVAHRLSTVRNVDLISVIHHGKIVEKGSHSELLKDPEGAYSQLIRLQEVNKESEHETEDHKSDITMESFRQSSPRISLERSLSRGSSGAGNISPFSVSLGLHTAGFSVPDTDNAPGEVEASSHKPKTPDGLIRRLAYLNKPEIPVLIAGAIAAILNGVIFPIFGVLLSNVIKTFFEPPHELRKDSKFWALMFMTLGLASFLVFPTQTYLFSVAGGKLIQRIRSICFEKVVHMEVGWFDEPEHSSGVIGARLSADAATVRALVGDSLAQMVQNIASATAGLVIAFTACWQLALIILVLIPLVGLNGIIQIKFMKGFSADAKMMYEEASQVANDAVGSIRTVASFCAEEKVMQLYKKKCEGPMETGIKQGLICGTGFGVSFFLLFSVYATSFYAGAQLVQHGKTTFTEVFRVFFALTMAAIGISQTSSFGPDSSSAKTAAASIFSIIDRKSKMDASDESGTKLDSVRGEIELHHISFKYPTRPDIQIFRDLSLVIHSGKTVALVGESGSGKSTVISLLQRFYDPHSGHITLDGVDIQSLQLKWLRQQMGLVSQEPVLFNDTIRANIAYGKQGKATETEILAASELANAHNFISSLQQGYDTIVGERGVQLSGGQKQRVAIARAIVKSPRVLLLDEATSALDAESERTVQDALDRVVVNRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHDTLIHIKDGFYASLVALHMTASTA >Potri.006G032300.1.v4.1 pep chromosome:Pop_tri_v4:6:2031149:2032422:1 gene:Potri.006G032300.v4.1 transcript:Potri.006G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032300.v4.1 MASSENLASLEPWNMMFRPNFPDFWLPEAYARDTETLTKALQKSLFNNIDDTPTDTNTDDNSTNSLSMNETFSSNSFNPFTSLIETAPPTPTASNVSGSDPETAGATKRQRNLVPGATGKVSKRKTRASKRSQTTFITADPANFRQMVQQVTGVRFGNSQVSMVPVLKPEPQRPGGRLLVGSGYLPTLDTSAFLLDHHQQQLQHHVVTGSTSGSSTGPDFGPGPNLFTQPMVGDVGVHSSSGLDFGTFSSFPTLESWKVV >Potri.004G182900.9.v4.1 pep chromosome:Pop_tri_v4:4:19677616:19684096:-1 gene:Potri.004G182900.v4.1 transcript:Potri.004G182900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182900.v4.1 MGCTSSKLEDLPAVALCRDRCAFLDEAIHQRYALAEAHVAYIQSLKRIGNSLYVFIEKENFTAGGGGRPMSPKLNLPPNKKSEDLKVVGSSSPKKGHHLSHSSSGSHLHFHSDGDDEDDDDVLHLHRSDNSSPLHGHGEGSGGDDGGGGHIPYMSSDYMNMDQDSYPGGGGTFFHTNYMKNKGATPSVIYEQRPVSSETVHFGESSSPAYYNNYSNSGYAMSNSNPYGYSGYPNYGGGGGGYNGSQNQYGSSSSQPPPEVPSSSKPPPPPPPPPPPGASAWDFLNLFEGYDRNYPQYTPSRDSKELREEEGIPDLEDEDYQHEFVKEVHVDKKYMDGAKKFSQSPVMDDGDGKVEGDTEASASLYQTRPSVATEEDRVAYEVHVVDKKIVDNERSEQRSNAGFKGRGGGPLEVAVEIKIQFERASECGNEIAKMLEVGKLPYQRKHAVSKMLRVTPPLSVVSSQPSTSGSAVAGPPSLEIDEELMVRSKNLSSTLQKLYLWEKKLYQEVKVEEKMRVAHEKKCRKLKRLDERGAEVDKVDATRTLIRSLSTKIRIAIQVVDKISVTINKIRDEELWPQLNELIQGLTRMWKSMLECHHIQCQAIREARGLGPLGSGEKPSDDHLDVTLQLGHELLSWTSSFSSWIGAQRGYVRALNNWLVKCLLYEPEETPDGIVPFSPGRMGAPPVFVICNQWAQAMDRISGKEVINAMRIFTTSVFQLREHDKLEMRQRLVTDKDLERKVRNLDREDQKIQKEIQALDKKIVLVAGDGNSLSVTGNIVYQSDTSNSSLQGSLQCIFEAMERFMADSMKAYEELLQRSEEERRA >Potri.004G182900.8.v4.1 pep chromosome:Pop_tri_v4:4:19677064:19684240:-1 gene:Potri.004G182900.v4.1 transcript:Potri.004G182900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182900.v4.1 MGCTSSKLEDLPAVALCRDRCAFLDEAIHQRYALAEAHVAYIQSLKRIGNSLYVFIEKENFTAGGGGRPMSPKLNLPPNKKSEDLKVVGSSSPKKGHHLSHSSSGSHLHFHSDGDDEDDDDVLHLHRSDNSSPLHGHGEGSGGDDGGGGHIPYMSSDYMNMDQDSYPGGGGTFFHTNYMKNKGATPSVIYEQRPVSSETVHFGESSSPAYYNNYSNSGYAMSNSNPYGYSGYPNYGGGGGGYNGSQNQYGSSSSQPPPEVPSSSKPPPPPPPPPPPGASAWDFLNLFEGYDRNYPQYTPSRDSKELREEEGIPDLEDEDYQHEFVKEVHVDKKYMDGAKKFSQSPVMDDGDGKVEGDTEASASLYQTRPSVATEEDRVAYEVHVVDKKIVDNERSEQRSNAGFKGRGGGPLEVAVEIKIQFERASECGNEIAKMLEVGKLPYQRKHAVSKMLRVTPPLSVVSSQPSTSGSAVAGPPSLEIDEELMVRSKNLSSTLQKLYLWEKKLYQEVKVEEKMRVAHEKKCRKLKRLDERGAEVDKVDATRTLIRSLSTKIRIAIQVVDKISVTINKIRDEELWPQLNELIQGLTRMWKSMLECHHIQCQAIREARGLGPLGSGEKPSDDHLDVTLQLGHELLSWTSSFSSWIGAQRGYVRALNNWLVKCLLYEPEETPDGIVPFSPGRMGAPPVFVICNQWAQAMDRISGKEVINAMRIFTTSVFQLREHDKLEMRQRLVTDKDLERKVRNLDREDQKIQKEIQALDKKIVLVAGDGNSLSVTGNIVYQSDTSNSSLQGSLQCIFEAMERFMADSMKAYEELLQRSEEERRA >Potri.004G182900.6.v4.1 pep chromosome:Pop_tri_v4:4:19677541:19684181:-1 gene:Potri.004G182900.v4.1 transcript:Potri.004G182900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182900.v4.1 MGCTSSKLEDLPAVALCRDRCAFLDEAIHQRYALAEAHVAYIQSLKRIGNSLYVFIEKENFTAGGGGRPMSPKLNLPPNKKSEDLKVVGSSSPKKGHHLSHSSSGSHLHFHSDGDDEDDDDVLHLHRSDNSSPLHGHGEGSGGDDGGGGHIPYMSSDYMNMDQDSYPGGGGTFFHTNYMKNKGATPSVIYEQRPVSSETVHFGESSSPAYYNNYSNSGYAMSNSNPYGYSGYPNYGGGGGGYNGSQNQYGSSSSQPPPEVPSSSKPPPPPPPPPPPGASAWDFLNLFEGYDRNYPQYTPSRDSKELREEEGIPDLEDEDYQHEFVKEVHVDKKYMDGAKKFSQSPVMDDGDGKVEGDTEASASLYQTRPSVATEEDRVAYEVHVVDKKIVDNERSEQRSNAGFKGRGGGPLEVAVEIKIQFERASECGNEIAKMLEVGKLPYQRKHAVSKMLRVTPPLSVVSSQPSTSGSAVAGPPSLEIDEELMVRSKNLSSTLQKLYLWEKKLYQEVKVEEKMRVAHEKKCRKLKRLDERGAEVDKVDATRTLIRSLSTKIRIAIQVVDKISVTINKIRDEELWPQLNELIQGLTRMWKSMLECHHIQCQAIREARGLGPLGSGEKPSDDHLDVTLQLGHELLSWTSSFSSWIGAQRGYVRALNNWLVKCLLYEPEETPDGIVPFSPGRMGAPPVFVICNQWAQAMDRISGKEVINAMRIFTTSVFQLREHDKLEMRQRLVTDKDLERKVRNLDREDQKIQKEIQALDKKIVLVAGDGNSLSVTGNIVYQSDTSNSSLQGSLQCIFEAMERFMADSMKAYEELLQRSEEERRA >Potri.004G182900.5.v4.1 pep chromosome:Pop_tri_v4:4:19677540:19684174:-1 gene:Potri.004G182900.v4.1 transcript:Potri.004G182900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182900.v4.1 MGCTSSKLEDLPAVALCRDRCAFLDEAIHQRYALAEAHVAYIQSLKRIGNSLYVFIEKENFTAGGGGRPMSPKLNLPPNKKSEDLKVVGSSSPKKGHHLSHSSSGSHLHFHSDGDDEDDDDVLHLHRSDNSSPLHGHGEGSGGDDGGGGHIPYMSSDYMNMDQDSYPGGGGTFFHTNYMKNKGATPSVIYEQRPVSSETVHFGESSSPAYYNNYSNSGYAMSNSNPYGYSGYPNYGGGGGGYNGSQNQYGSSSSQPPPEVPSSSKPPPPPPPPPPPGASAWDFLNLFEGYDRNYPQYTPSRDSKELREEEGIPDLEDEDYQHEFVKEVHVDKKYMDGAKKFSQSPVMDDGDGKVEGDTEASASLYQTRPSVATEEDRVAYEVHVVDKKIVDNERSEQRSNAGFKGRGGGPLEVAVEIKIQFERASECGNEIAKMLEVGKLPYQRKHAVSKMLRVTPPLSVVSSQPSTSGSAVAGPPSLEIDEELMVRSKNLSSTLQKLYLWEKKLYQEVKVEEKMRVAHEKKCRKLKRLDERGAEVDKVDATRTLIRSLSTKIRIAIQVVDKISVTINKIRDEELWPQLNELIQGLTRMWKSMLECHHIQCQAIREARGLGPLGSGEKPSDDHLDVTLQLGHELLSWTSSFSSWIGAQRGYVRALNNWLVKCLLYEPEETPDGIVPFSPGRMGAPPVFVICNQWAQAMDRISGKEVINAMRIFTTSVFQLREHDKLEMRQRLVTDKDLERKVRNLDREDQKIQKEIQALDKKIVLVAGDGNSLSVTGNIVYQSDTSNSSLQGSLQCIFEAMERFMADSMKAYEELLQRSEEERRA >Potri.005G144600.1.v4.1 pep chromosome:Pop_tri_v4:5:11692404:11698555:1 gene:Potri.005G144600.v4.1 transcript:Potri.005G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144600.v4.1 MARLIQLTILFIQFFYYYYITTTTHGVSSNGTTFLLDSSRSAMILPLFLSPPNPCTKFSSTRRLLQRSNANALPNAHMRLHDDLLINGYYTTRLWIGTPPQRFALIVDTGSSVTYVPCSSCEQCGRHQDPKFQPDLSSTYQSVKCNIDCNCDDEKQQCVYERQYAEMSTSSGVLGEDIISFGNLSALAPQRAVFGCENMETGDLYSQHADGIMGMGRGDLSIVDHLVDKGVINDSFSLCYGGMGIGGGAMVLGGISPPSNMVFSQSDPVRSPYYNIDLKEIHVAGKPLPLNPTVFDGKHGTILDSGTTYAYLPEAAFVSFKDAIMKELHSLKPIRGPDPNYNDICFSGAGSDISQLSSSFPAVEMVFGNGQKLLLSPENYLFRHSKVHGAYCLGIFQNGKDPTTLLGGIVVRNTLVLYDRENSKIGFWKTNCSELWERLNVDGAPPPAPSSSNGNNSNTEMPPSVAPSDQKHYGLPDEKKIGQITFEMMLNVNYSDLKLHISELAESIAQELGINSSQVYILNSMEKGNASYIEWAVVPSGSADCISNVTALSIIARVAEYHLHLPDTFGSYHLINWEIKASAKRTWWQQHFLLVVLASAVTFIFGLLALGIWFIWRHRQRALNPYKPVDAVVTEQELQPL >Potri.018G097801.1.v4.1 pep chromosome:Pop_tri_v4:18:11860986:11861456:-1 gene:Potri.018G097801.v4.1 transcript:Potri.018G097801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097801.v4.1 MGSVGRGGRPSSLTSRIGSYSGNIKFTHCLVPFHSTLNISSKMYSGDGSEIIGKGVVSTPLVRKKNRAYYYVALEGISVRGKFLTYSSSGTISKGNVFSDTATPPTILPKDFYNRLEQEVKNSIPVTPYRDSQLRTQLCYRGNTTTINAPYINSPF >Potri.010G212100.2.v4.1 pep chromosome:Pop_tri_v4:10:20044455:20050014:1 gene:Potri.010G212100.v4.1 transcript:Potri.010G212100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212100.v4.1 MYLYSLTLQRATGIISAINGNFSGGKAQEIVVARGKVLDLLRPDENGKLQTVLSVEIFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKERNVLDKIHQETFGKSGCRRIVPGQYLAVDPKGRAVMIGACEKQKLVYVLNRDTVARLTISSPLEAHKSHTICYSVCGVDCGFDNPIFAAIELDYSEADQDSTGQSASEAQKNLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGVLVCVENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVMLDHENDKVKELKIKYFDTIPVTSSMCVLKSGFLFAASEFGNHALYQFQAIGEEEDVEASSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPIMDMKVANLFDEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKKNIYDEFDAYIVVSFNNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQIHPNGIRHIREDGRINEWRTPAKRTIVKVGSNRLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRVLSLDPDDCMQILSVQSVSAPPESLLFLEVQASIGGEDGADHPASLFLNAGLQTGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFSINVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVSVAGDALRIFTIERLGETFNETAIPLRYTPRKFVLQPKRKLLVIIESDQGAYTAEEREAAKKECFEASGMGENGSASAEQMENGDDDDKDDPLSDEQYGYPKAESDKWVSCIRVLDPRSAATTCLLELQDNEAAFSLCTVNFHDKEHGTLLAVGTAKGLQFWPKRSLVTGFIHIYKFVDDGKSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKKRLLRKCENKLFPNTIVSIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDSVPRWLTSSYHVDFDSMAGADKFGNIYFARLPQDVSEEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHIGDVVNSLQKASLIPGGGECIIYGTVMGSVGALLPFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMSYRSAYFPVKDVIDGDLCEQFPTLPLDAQRKIADELDRTPGEILKKLEEVRNKII >Potri.005G118100.1.v4.1 pep chromosome:Pop_tri_v4:5:8655092:8659360:-1 gene:Potri.005G118100.v4.1 transcript:Potri.005G118100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118100.v4.1 MARPRSSKRNSSSSSYTSTITTIAFIALCVIGVWMLNSNSKVTPQTTNHATKSTTTNIAADVDVSSSTEVENTESSNKKDTPIYEDNPGDLPDDAIKSDEPKSNNDNDNKEESKDGKQIDDGDSKADQESSSQDLKGEGSGEEQQQQEERQNQISEESSDTQNQTPDQTTQESSQSEGSQETNANLEQETNANQEQEQITVPETDDSSSEASTNQNEGQDQKRQQLQQQDVANSSKDLQDSQNPESKKDQQQQESTGLNQNDQESNQNEKSYEDQQQRQQQENSGLNENTQDSNQNEKSYEEQQQEQRQEDAGVQNSSQESQNEVSEEDKKKRMQQQQQQQQTSHHQEAEKESQVDSNTNQETKQDSSSGESAFPGSENPGIPKESKESKKSWSTQAAESENQKERRKEESDGNDSMYGYTWQLCNVTAGPDYIPCLDNEKALRQLHTTGHFEHRERHCPEVGPTCLVPPSEGYKRPITWPQSRDKIWYHNVPHTKLAEVKGHQNWIKVTGEFLTFPGGGTQFIHGALHYIDFVQQAVPKIKWGKHTRVILDVGCGVASFGGYIFERDVLTMSFAPKDEHEAQVQFALERGIPAISAVMGSQRLPFPSRVFDLIHCARCRVPWHAEGGKLLLELNRVLRPGGYFVWSATPVYQKLPEDVEIWQAMSALTASMCWELVTIQNDKLNGIGAAIYRKPTTNNCYDQRKKNSPPMCKSDDDANAAWYVPLQACMHRVPVSKTERGAKWPEDWPQRLQTPPYWLNSSQMGIYGKPAPQDFATDYEHWKHVVSNSYMKALGISWSNVRNVMDMRAVYGGFAAALKDLKIWVFNVVNTDSPDTLPIIYERGLFGIYHDWCESFSSYPRTYDLLHADHLFSKLKKRCQLAPLLAEVDRIVRPGGKLIVRDESSAIGEVENLLKSLHWEVHLTFSKDQEGLLSAQKGDWRPQTYAALS >Potri.008G224156.1.v4.1 pep chromosome:Pop_tri_v4:8:18992711:18993831:-1 gene:Potri.008G224156.v4.1 transcript:Potri.008G224156.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224156.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSPSSAVRTSHVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQGAPGPVRGHPPKEQ >Potri.010G015400.2.v4.1 pep chromosome:Pop_tri_v4:10:2558394:2562889:-1 gene:Potri.010G015400.v4.1 transcript:Potri.010G015400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G015400.v4.1 MKVGRNIKTGMEWYVTSWKSPDDPSRGNITGILVPEGYPELLLLEDSKPKHRAGPWNGLQFSGMPQVRPNPVYIFEFVYNDKEIYYTEHFHNSSRHWRVVLSQSGDIQHLLWIERTQSWFLYETANTENCETYALCGANGICSINNSPVCNCLNGFVPKVPRDWDKTDWPSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMDLEECMNTCLKNCSCTAFTNLDIRDGGSGCLLWFNDLIDMRTFFHNEQDIFIRMAASELDNGDSARVNTKSKVKKRIVVTTVLSTGILFIGLCLVLYVWKKKPKKTKVMLTGKMQRRSNNNDMKEELELPFFNMDELASATNNFSDSNKLGEGGFGPVYKGTLTDGQEIAVKRLSKNSRQGLEEFKNEVQHIVKLQHRNLVRLLGCCIQSDETMLVYEFLPNKSLDFYIFDETHSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGEYETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYRNRGFSHPDHHFNLIGHAWILFKQGRPLELAAGSGVETPYLSEVLRSIHVGLLCVQENTEDRPNISHVVLMLGNEDELPQPKQPGFFTERDLDEASYSSSQNKPPSANECSISMLEAR >Potri.006G160800.1.v4.1 pep chromosome:Pop_tri_v4:6:14541811:14544351:-1 gene:Potri.006G160800.v4.1 transcript:Potri.006G160800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160800.v4.1 MAIVFKPLFVLPLFFLSSYAQLWLRPDAYVLLNKKKILGPHELFNDPFGDALDYKRKKITDDFGTAPLSDFEKPSVLPNYVGLPNEPKGRWELVTVNSGVSAMHAILLPRVNKVLMYDATIWKKSEIRLPTGHCRLLNQTTGEKDCYCHSVLFDVATTALTPLQLHTDTWCSSGGLSVDGNLVGTGGFQGGANTVRYLETCKGCNWREFPTALADRRWYSTQAELPDGGFIVVGGREAFSYEYIPREGDSNAKSYFFDFLKKTSDRDENNLYPFVHLSTDGNLFIFANNRAVLLNPKSNKVVREFPALPGGHRSYPATGMSALLPIKLHSKNNDVIPAEVLVCGGSGHKDAYTQASRDIFYTALQDCGRIRITDKKPVWKREIMPSPRVMGDMVILPTGDILMLNGAKRGCSGWGFAREPNLAPAIYYPKAKLGNRFKQLKASIIPRMYHSSSVVLPDGKVLVAGSNTNNGYVYNAMFPTELRVEKFSPPYLDPSVAVHRPVIVTDKAPEKISYDETFQLQIKSTAVKVEKKDIKVTMYAPAFTTHGVSMNQRLLDLGLEDVIAENAFLGIHTITVVSPPSGKVAPPGYYMLFVVHQGVPSVSTWVQIK >Potri.001G188000.1.v4.1 pep chromosome:Pop_tri_v4:1:16834449:16837259:-1 gene:Potri.001G188000.v4.1 transcript:Potri.001G188000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G188000.v4.1 MMMNGQKMAAAEVAVQLPESKMVTENIGGAAAAMRPFGRKAEVMNVLLRVLCMVTSVAALSSMVTAQQSSTVSIYGFMLPIQSKWSFSHSFEYVVGVSAVVAAHSLLQLLISVSRLLRKSPVIQSRSHAWLVFAGDQVFAYAMISAGAAASGVTNLNRTGIRHTALPNFCKPLQSFCDHVAVSIFFTFLSCFLLAASAVQEVIWLSRSKY >Potri.005G201300.2.v4.1 pep chromosome:Pop_tri_v4:5:20700995:20704177:1 gene:Potri.005G201300.v4.1 transcript:Potri.005G201300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201300.v4.1 MEEASNGKNETKIVERQEVIEEVEVVDSGDKFLKEVVIDSTAESVKETIDENNSSLEGSNSSKEEAKAKEKTVQVEDSEILEVADKEVINLAVGSTESVEEKLAETIEALVEKYENSDVAEVEVKETKEKVSVPLNETGVFPSVVTDQNDAEVPEVVLNATDGSSLAVTDEVSKGIEENVLQSLDENNVAPPALTEAVSKGIEDEKLAALKENTGESSGNVDNETVESFESTTVVGSSDAFPESTGDPPIISLQQRNLCPSWRNCCGLFEALRRSNR >Potri.005G201300.4.v4.1 pep chromosome:Pop_tri_v4:5:20700810:20704179:1 gene:Potri.005G201300.v4.1 transcript:Potri.005G201300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201300.v4.1 MEEASNGKNETKIVERQEVIEEVEVVDSGDKFLKEVVIDSTAESVKETIDENNSSLEGSNSSKEEAKAKEKTVQVEDSEILEVADKEVINLAVGSTESVEEKLAETIEALVEKYENSDVAEVEVKETKEKVSVPLNETGVFPSVVTDQNDAEVPEVVLNATDGSSLAVTDEVSKGIEENVLQSLDENNVAPPALTEAVSKGIEDEKLAALKENTGESSGNVDNETVESFESTTVVGSSDAFPESTGDPPIISLQQRNLCPSWRNCCGLFEALRRSNR >Potri.006G009800.1.v4.1 pep chromosome:Pop_tri_v4:6:644177:645538:-1 gene:Potri.006G009800.v4.1 transcript:Potri.006G009800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009800.v4.1 MGGGLPNFLLVWILVLALLCYCHKIGKLIDKGTTRLFAILPVICIFLVLPLYISVFNLRALSSFFLSWLANFKLLLFALDQGPLSPQPVPLSLPHFIALACLPIKIQQNPPPNLSPHSQELVNVNDNQDLEKLVNVEDNDTPSQEVPRKGLSTPLKYFIKFLLLVLFGYMYTKEEYIHSKIIFLVYVIHIYIGLEFILAMVGAVARAFLGIELEPQFDEPYLASSLQDFWGKRWNLMVTSVLHPAVFNPVRSLFSRFMTKKWTLLPAAIASFLVSGIMHELIFYHIGRRKPTWEVTCFFLLHGVCLTIEIVIKRELNCSWGLPRVVAAPLVVGFVVATAVWLFMPTVVRCKIDVEARMEIIAFINFVKGVYIYLKNVL >Potri.012G038800.1.v4.1 pep chromosome:Pop_tri_v4:12:3465692:3467895:1 gene:Potri.012G038800.v4.1 transcript:Potri.012G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038800.v4.1 MESPLVLGATVAAAAWSGRFLIGAWQVFKARPVVPRVQRFYKGGFEQEMTRREAALILGVRESAVMEKIKEAHRRVMVANHPDAGGSHYLASKINEAKEVMSGKTKVGASIF >Potri.005G037400.1.v4.1 pep chromosome:Pop_tri_v4:5:2409943:2413652:1 gene:Potri.005G037400.v4.1 transcript:Potri.005G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037400.v4.1 MYDKLIVPWLGYPIFFRLFSSFSSALRNQKVLALALRFRCVAVLVFLLSSPSALPVVKVKAAALDLFTDKEALLSLKSQVTTDPSNTLSSWNNSSSPCNWTRVSCNQVNQRVIGLDLSGLRLTGSISPNIGNLSLLRSLHLQGNQFTGLIPDQIGALSRLSVLNISFNSINGPIPLNTTMCLNLQILDLMQNEISGAIPEELSSLKNLEILNLGGNKLWGTIPPSFANISSLLTLNLATNNLGGMIPDDFGRFKNLKHLDLSINNLTGNVPLSLYNISSLVFLAVASNQLRGEIPVDVGDRLPNLLNFNFCINKFTGSIPWSLHNLTNIQSIRMAHNLLSGYVPSGLRNLPELQMYNIGYNQIKSSGDEGLNFLTSFINSSYLNFLAVDGNLLEGLIPESIGNLSSSLRSLYLGGNRIYGRIPASIRHLRSLALLNISYNYVSGEIPPEIGELTNLQELHLAANKISGRIPNSLGKLQKLNEIDLSSNDLVGSLPTTFVNFQQLVSMDLSSNRLNGSIPKAIFSLSSLSATLNLSSNQLTGSFPQEIKGLENVAAVDFSHNHLSGSIPDTIGSWKSLEKLFMDNNMFSGAIPATLGDVKGLEILDLSSNQISGIIPNNLGNLQALYLLNLSFNDLEGLLPKEGAFRNLSRIHVEGNSKLCLDLTCWNNQHRKRTSTAIYIVIASIATVAVCSVIAVLLCVRKRKGKIMPRADSIKLQHPTITYRELREATGSFDTGNLIGKGSFGSVYKGELGDGTVVAVKVLDIEKYGSWKSFLAECEALKNVRHRNLIKLVTSCSSIDVKGFQFVALVYDYMHNGSLEEWIKGSKRRSDGSLLNILERLNVAIDVACAVDYLHHDCEIPVVHCDLKPSNVLFDKDMTAKVGDFGLAKLLAERGADQQPITCTGGLRGSIGYIPPEYGLGLRPSTSGDVYSYGVMLLELFTGKSPTHEIFSGGFSIIKWVESVFPASIEQVVDPELLLSIQDFQHDAQSISPEKQHECLITILGVGLSCTVDSPDRRTNMRDSLLKLKTARDTLLKPPLDHKPDLDLEAGIGNSSMKSF >Potri.003G104700.1.v4.1 pep chromosome:Pop_tri_v4:3:12823903:12829210:1 gene:Potri.003G104700.v4.1 transcript:Potri.003G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104700.v4.1 MDREESENGREDMLIDGQKQGNVSVPSEFNVNYLKLYYAKLFPYADMFKWMSYGNDGKHPACDQSYFSRREFSFTLENDIYLRYQSFNNVVQLENSIKEKCPFKIDIGPVYSVDPAKKNAYAQSGDNVFTPVERELIFDIDITDYDDVRYCCSGADVCLECWPLMTVAIKVIDTALRDDFGFNHILWVYSGRRGVHCWVCDGKARRLTNEQRAAVADYFRVYKGNENSSKKVSLTGPALHPFLVRSYSEVLERFFETKLLLSQNIFSTEDRYEKILEMIPDKSATSELRGKWQTKKGSKEDINVVRWEQLKNTLQSGKYKAQGLRRCVEEIVFSFTYPRLDMEVSRHMNHLLKAPFCVHPKTGRVCVPIDPNHCDEFDPTTVPTLSQLFEELNIGGTRAEDENEWDRTSLGQSISFFRSSFLQPLLKSCKEEMESSYKAKLQQSKSPLSW >Potri.005G107200.1.v4.1 pep chromosome:Pop_tri_v4:5:7719794:7721266:-1 gene:Potri.005G107200.v4.1 transcript:Potri.005G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107200.v4.1 MELLGSSTQEWLTVGGTLAGQEIFTNFLTSVMIHATWPLILNEWVQQKLKATKILESTIWFLSVKEKRK >Potri.005G107200.2.v4.1 pep chromosome:Pop_tri_v4:5:7720152:7720389:-1 gene:Potri.005G107200.v4.1 transcript:Potri.005G107200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107200.v4.1 MDCSSTQEWLTVGGTLAGQEIFTNFLTSVMIHATWPLILNEWVQQKLKATKILESTIWFLSVKEKRK >Potri.017G108000.1.v4.1 pep chromosome:Pop_tri_v4:17:11665045:11669074:-1 gene:Potri.017G108000.v4.1 transcript:Potri.017G108000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108000.v4.1 MTAMAMRRREVGLYFVACLWLLTTVNGLLTPKGVNYEVQALMGIKASLDDPHGVLENWDGDAVDPCSWTMVTCSSESLVIGLGTPSQNLSGTLSPTIGNLTNLQTVLLQSNNITGPIPAEIGKLSKLHTLDLSDNFFTGKIPSSLGHLRNLEYMRLNNNSLSGEFPMSLANMTQLVLLDLSFNNLSGPVPRFPTKTFSISGNPLICPTGSEPECYGTTLMPISMNLNNTPTAQPADRPKSHQIALAFGSSVGSVSLIILVFGLFLWWRQRNNQPTFFDVKDRQHEEVSLGNLRKFQFRELQISTNNFSNKNILGKGGFGNVYKGILHDGTVVAVKRLKDGSASGGEIQFQTEVEMISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLEMLVDKDLKGNYDRIELEEMVQVALLCTQYLPSQRPKMYEVVKMLEGDGLAESWEASQRAEATKSKPHEFSSSDRYSDLTDDSSLLVQAMELSGPR >Potri.017G108000.2.v4.1 pep chromosome:Pop_tri_v4:17:11665043:11668679:-1 gene:Potri.017G108000.v4.1 transcript:Potri.017G108000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108000.v4.1 MTAMAMRRREVGLYFVACLWLLTTVNGLLTPKGVNYEVQALMGIKASLDDPHGVLENWDGDAVDPCSWTMVTCSSESLVIGLGTPSQNLSGTLSPTIGNLTNLQTVLLQSNNITGPIPAEIGKLSKLHTLDLSDNFFTGKIPSSLGHLRNLEYMRLNNNSLSGEFPMSLANMTQLVLLDLSFNNLSGPVPRFPTKTFSISGNPLICPTGSEPECYGTTLMPISMNLNNTPTQPADRPKSHQIALAFGSSVGSVSLIILVFGLFLWWRQRNNQPTFFDVKDRQHEEVSLGNLRKFQFRELQISTNNFSNKNILGKGGFGNVYKGILHDGTVVAVKRLKDGSASGGEIQFQTEVEMISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVASRLKGKPVLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSDKTDVFGFGILLLELITGQRALEFGKAANQKGAMLDWVKKIHQEKKLEMLVDKDLKGNYDRIELEEMVQVALLCTQYLPSQRPKMYEVVKMLEGDGLAESWEASQRAEATKSKPHEFSSSDRYSDLTDDSSLLVQAMELSGPR >Potri.014G158700.2.v4.1 pep chromosome:Pop_tri_v4:14:11220916:11224949:-1 gene:Potri.014G158700.v4.1 transcript:Potri.014G158700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158700.v4.1 MHPTTTNEPPQTLLDLITDVLSLLLLSTITVQSFIGRWQVLRTKLTSLQSSLSSLSESPLWSQNPLLHTLLPSLLSTLQRLLALSRQCSSTSSLPGGKLLFQSDLDIASSSLSNHLHDLDLLLRSGVLHHSNAIILSHPGPGSDKEDLVFFIHDLFTRLQVGGVEFKRKALESLLQILNTDKKSASLVVKEGNIGYLTGLLDFNDQPLIREQAVSAVSILAASNDESRKIIFEEGGLGHLLRILETGSMPLKEKAAIAIEAITGDPDNGWAISAYGGVSVLIEACRCGSQATQTHAVGAIRNVAGVEDIKMALAEEGVVPVIIHLIVSGSSAAQEKAANTIAILASSGGYFRDLIIQEKGLQRLMHLIQDLSSSDTIEHVLRAISSLSVSDSTAQVLSSSTALIIHLGEFIKHGNMTLQKISASLLANLSISDRNKRAIASCMGSLVKLMESPKPVGLQEAGALALVSLLTARWNKKELVRDEKSLMKVVQMLDPKYELIDKKFPVMLVNALLSGRSSGCRKRLLDAGACQHLQKLAEMEVSGAKKALQRLSGISLKSMFSRTWRE >Potri.014G158700.1.v4.1 pep chromosome:Pop_tri_v4:14:11220900:11225222:-1 gene:Potri.014G158700.v4.1 transcript:Potri.014G158700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158700.v4.1 MHPTTTNEPPQTLLDLITDVLSLLLLSTITVQSFIGRWQVLRTKLTSLQSSLSSLSESPLWSQNPLLHTLLPSLLSTLQRLLALSRQCSSTSSLPGGKLLFQSDLDIASSSLSNHLHDLDLLLRSGVLHHSNAIILSHPGPGSDKEDLVFFIHDLFTRLQVGGVEFKRKALESLLQILNTDKKSASLVVKEGNIGYLTGLLDFNDQPLIREQAVSAVSILAASNDESRKIIFEEGGLGHLLRILETGSMPLKEKAAIAIEAITGDPDNGWAISAYGGVSVLIEACRCGSQATQTHAVGAIRNVAGVEDIKMALAEEGVVPVIIHLIVSGSSAAQEKAANTIAILASSGGYFRDLIIQEKGLQRLMHLIQDLSSSDTIEHVLRAISSLSVSDSTAQVLSSSTALIIHLGEFIKHGNMTLQKISASLLANLSISDRNKRAIASCMGSLVKLMESPKPVGLQEAGALALVSLLTARWNKKELVRDEKSLMKVVQMLDPKYELIDKKFPVMLVNALLSGRSSGCRKRLLDAGACQHLQKLAEMEVSGAKKALQRLSGISLKSMFSRTWRE >Potri.008G166700.1.v4.1 pep chromosome:Pop_tri_v4:8:11515421:11517680:1 gene:Potri.008G166700.v4.1 transcript:Potri.008G166700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166700.v4.1 MVRAPCCEKMGLKRGPWTPEEDQILISYVQKYGHSNWRALPKQAGLQRCGKSCRLRWVNYLRPDIKRGNFSKEEEEAIIKLHEILGNRWSAIASRLPGRTDNEIKNVWHTHLLKRLKQNGEPKSQQHIRIPDCHLNDNKLSQSANSTIPSLSGCKSIEYAQISPQPSSSDHSSVTDTSVTTSETNNTGLIKVENIDSSEIYPVIDEDFWSEPEMVENSGMPSSNFLDDSQFPFPSPDTMERAGCYGYGPKVDDNMEFWYNLFIKSGGIEELPVQYLQRYSYLADERI >Potri.002G081101.1.v4.1 pep chromosome:Pop_tri_v4:2:5730190:5730929:1 gene:Potri.002G081101.v4.1 transcript:Potri.002G081101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081101.v4.1 MLETGSQKAREGAAHILWNLCCHSEDIRACVENAGAGNNLFCHNGRRYHNDSSDKMKRLFWSPINTAAPPISFACYNYFLF >Potri.008G121500.1.v4.1 pep chromosome:Pop_tri_v4:8:7900917:7903269:1 gene:Potri.008G121500.v4.1 transcript:Potri.008G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121500.v4.1 MKIPFPKSTNQPLYTALKTQPLHPINISIPFNKPRPPPIAVRMDTDSSTTTSTAVYRHKKDKILVIMGATGCGKTRVSIDLATRFQSEIINSDKMQVYEGLDITTNKITIQDRLGVPHHLLGEFDPDDGELTPSEYRLAGGLAISGIVSRQNLPIVVGGSNSLIHALVVDRFNPELNVFDGCNPVSTQLRYNCCFLWVDVSLPVLCDYLCKRVDEMLDSGMLDELSEYYGSVDAASQIGLRKAIGVPEFDRYFKKYPPGSGCGRGIGVEWDRVRRGVYEVCVREIKENTCQLAKRQIGKILRLKGAGWDLKRVDATESFREVMTVTSDDHIKKRKKKRWMEVWGRDVMEPSMKIVKRFLEEE >Potri.014G162400.1.v4.1 pep chromosome:Pop_tri_v4:14:11679158:11679890:-1 gene:Potri.014G162400.v4.1 transcript:Potri.014G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162400.v4.1 MWRKLARLRSNVQNIRKSPRVADESMFGVMNGAEFPILVRDMNRTQSWNALSALLRIVLAPFSIPSCFSSQPHVHGADGLWVTGEFAQLSEMNHLMVNDSMRYAILM >Potri.013G160200.1.v4.1 pep chromosome:Pop_tri_v4:13:15449111:15450211:-1 gene:Potri.013G160200.v4.1 transcript:Potri.013G160200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160200.v4.1 MGGSVSCPCASGESGRHVLVIKQNGQVMKIKAGTHVKEILASNPRNSILRCRSDQSLLVLPDSIELRSNGLYFLVEEGQRAIDKDTFDGLMRLARSRGAAGPKSTHHKKMPSDCSPGTNDNEDIGPRLNWGQIAVLNACDVEYQKTSSWRPALHPIPELRSLEEDR >Potri.014G163301.1.v4.1 pep chromosome:Pop_tri_v4:14:11768646:11769289:1 gene:Potri.014G163301.v4.1 transcript:Potri.014G163301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163301.v4.1 MNLGGIARQFTAGTKRSFYLSGSAVANGKQIEDWTVNTPPIYIWSRADWTAWRIPIAREHGHVSKEQDDYLSGDEYYVMVSLIHLAFLGVIADV >Potri.014G163301.2.v4.1 pep chromosome:Pop_tri_v4:14:11768614:11769346:1 gene:Potri.014G163301.v4.1 transcript:Potri.014G163301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G163301.v4.1 MNLGGIARQFTAAVANGKQIEDWTVNTPPIYIWSRADWTAWRIPIAREHGHVSKEQDDYLSGDEYYVMVSLIHLAFLGVIADV >Potri.013G009200.1.v4.1 pep chromosome:Pop_tri_v4:13:608222:612223:-1 gene:Potri.013G009200.v4.1 transcript:Potri.013G009200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009200.v4.1 MSSLIVKRSSSRFSHVDFSKFQIFLSLNQNHKVLVSGLPPVLIKTIKNPSWSYFSVSRYHNFAHLSGKDKVLASGFGVLKSLFSTQAAAELSTSDGITVDGIVASNWTILDESESDWKSHAAAIAQSIQVIKRRLQWKKLMVRLDLLSVQLNKPDLWDDPVHAGKISREHGSLMAKMKKVRTLEQELLEHIDMIKLAREEDDNELESEMLKALLQMRRNSNEKEQEALLSGEQDSCPCYIEVQAGAGGTESMDWAKMVMQMYKSWAQRHGYGVTVVDEMPGEIAGIKRATIKVDGEYAFGYAKAEAGVHRLVRISPFDSGKRRHTSFAAVAVIPILRDGFTHVPINESDLRIERFRAGGAGGQHVNTTESAVRIVHIPTGITATCQNERSQHMNKDSAMAVLQSRLDQREMARQAQMNAYHTQSLTDIGWGNQIRSYVLHPYRMVKDLRTNYEVTNPDSVLEGEIDGFILSYLSALLDKDEDCRNLSA >Potri.015G055700.2.v4.1 pep chromosome:Pop_tri_v4:15:7679040:7680594:1 gene:Potri.015G055700.v4.1 transcript:Potri.015G055700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055700.v4.1 MARISNGVFTFINSLILILGLVSIAASAYLMMHHSSSLCQKALQLPLFLLGVSLFVVSLLGLMGSLCEKTFWIKIHSCFNFLLIVGLICFTVFAFIVTNKGAGKALSRIGYREYRLGDYSNWLKNHFVNQNNWDEIRSCLMDAHVCQSLDIHSDVNQQVADFYKTKLSPVQSGCCKPPADCGFEYKNATFWIVPESGPAVQDSDCTTWSNNQNKHCYDCNSCKAGFLANIKKEWRILAIVLIFITVFLIILYSLGCCAIRSIHQSHSKYSKFGP >Potri.017G141200.1.v4.1 pep chromosome:Pop_tri_v4:17:14172379:14174625:1 gene:Potri.017G141200.v4.1 transcript:Potri.017G141200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141200.v4.1 MSASRYLKASKKTISLLDQKGLTISQLKQIQSHLTVTATLKDPYAAAKIISLHAHSNARSSLFYAERLFLCLQNKSTFIWNTMMQAFVEKNEAVRAFSLYKHMLESNYLPNNFTFSFVIRACIDVFNLQMGLCFHGQVVKFGWESYDFVQNGLIHLYANCGFMDLARNMFDMSIKRDVVTWTCLISGYLNSGQVLIARELFDRMPEKNPVSWGALIAGYVRIGFFKEALEVFYDMQVSGFRLNRASIVGALTACAFLGALDQGRWIHAYVKRHHMSLDRMLGTALIDMYAKCGCIEMACSVFDEMDDRDVYAFTCLISGLANHDKSEAAIDLFNRMQDEGVVPNEVTFVCVLNACSRMGMVDEGLRIFESMSNRYVIEPQIQHYGCLVDLLGRAGKIEEAKQVVREMPLQPDSYTLGALLDACRVHGDVQLGEEMVDRLVQRCLDHGGVHVLLSNMYASADKWEDVSKIRKKMEDKNIRKLPGCSSIEVNGTVCEFVTGDRSYALEEDIMFLLFGIDKQLKSLFLDDDEHYNVTMEQVPS >Potri.005G153700.1.v4.1 pep chromosome:Pop_tri_v4:5:13242893:13243955:-1 gene:Potri.005G153700.v4.1 transcript:Potri.005G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G153700.v4.1 MEGLESFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQNDHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTITASDEWWKQKIQEIRGAKKFKHVSIEPSLKNKFDRMYSNIVATKAYAWAPSSGVPAGSDVDPGTSNADIGYDGLEEGSGDSEEDVIPDFQTDMARMVGGINMSSSSNTKSGGKRKERDHYDVRGGKKKTAGIGVQLLSRCNHLLESMSTKSDSTSLNMDREGCSIREVMAELHSIPGVSIEDEFHDFATEYLSLRRKREMWASMGDKQQKLRWLQRMYARTKRA >Potri.002G036000.6.v4.1 pep chromosome:Pop_tri_v4:2:2370789:2377436:-1 gene:Potri.002G036000.v4.1 transcript:Potri.002G036000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036000.v4.1 MEKTKIDHMVGGKFKLGRKIGSGSFGELFLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEAEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGASSRGRHSSGKPGHAGPSAERPAERVSVGKEIRERFSGAVEAFSTKRVSGSSQHDNSRNKGLDNTFSFQPPEPEKGRNSSRYGSNSRKAVISNGRPSSSGEPSEGRSGRLLSSGGRLTSAQRIQPGYELKSSQTRPAAARGTHEDTFRSFEFLSIRK >Potri.002G036000.3.v4.1 pep chromosome:Pop_tri_v4:2:2370650:2377537:-1 gene:Potri.002G036000.v4.1 transcript:Potri.002G036000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036000.v4.1 MEKTKIDHMVGGKFKLGRKIGSGSFGELFLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEAEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGASSRGRHSSGKPGHAGPSAERPAERVSVGKEIRERFSGAVEAFSTKRVSGSSQHDNSRNKGLDNPPEPEKGRNSSRYGSNSRKAVISNGRPSSSGEPSEGRSGRLLSSGGRLTSAQRIQPGYELKSSQTRPAAARGTHEDTFRSFEFLSIRK >Potri.002G036000.7.v4.1 pep chromosome:Pop_tri_v4:2:2370747:2377344:-1 gene:Potri.002G036000.v4.1 transcript:Potri.002G036000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036000.v4.1 MEKTKIDHMVGGKFKLGRKIGSGSFGELFLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEAEYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYAIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEVLCKSYPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGASSRGRHSSGKPGHAGPSAERPAERVSVGKEIRERFSGAVEAFSTKRVSGSSQHDNSRNKGLDNVSPYLYVTNHIFLLESKLSRHVAFEILIPSFVSLCFSASKISTSRLICCLV >Potri.008G216501.22.v4.1 pep chromosome:Pop_tri_v4:8:16441498:16443228:1 gene:Potri.008G216501.v4.1 transcript:Potri.008G216501.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216501.v4.1 MRSTTEVMGIDFLVAIAFAKAQIIAGQKLPLSGTVFLSLNDLTKSHRERLAKAFLGLRFRIVSTSGTAHFLELKGISVDRVLKMHEGQPHAGDLANGHIQLMVITSSGDSLDQIDGQQLRMALAYRVPIITTVSGALATSTAIEKLKSCTEVRALQDFFNVDLQKDNSKNLLSASSSL >Potri.009G141201.1.v4.1 pep chromosome:Pop_tri_v4:9:11276661:11277313:-1 gene:Potri.009G141201.v4.1 transcript:Potri.009G141201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141201.v4.1 MISAKKLVKLARKWQKLAAISRKRLTFPQTISSLDSDDCSTSSTAEKGHFVVYTTDKKRFVLPLDYLNNEIVKELFNLAEEEFGLTSNGPLALPCDAAFMEYAITMIKKNVAKDVEKALLITLASNRCSSSLNFHRDVTNQQLSILSF >Potri.005G183200.1.v4.1 pep chromosome:Pop_tri_v4:5:18979604:18980047:1 gene:Potri.005G183200.v4.1 transcript:Potri.005G183200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183200.v4.1 MDTWLAYAPVKNNPEDAAKATEVPNVLKRGYCRPSDFRVHSGDCIHHLPTLFFWRQLLAAQFGGGN >Potri.007G106800.1.v4.1 pep chromosome:Pop_tri_v4:7:12953145:12958678:-1 gene:Potri.007G106800.v4.1 transcript:Potri.007G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106800.v4.1 MPAWWSKRSSKSKEGSNQENSHGKNDKKICSITENKRKSSLDEAALRKNSPRGSKEFAGSGGSSGFSGFESDAAEKRGLPLPEPCVSDLGAGGGIGGAAGGVGFGPGSGSVSSVSSSGSSGVEDHDNNQGSSSLFGGYRLSVDHRGHGGGSRSPVPGSRSPVPGSRTTSRPTSPLHPLLGGMSLDSLTGRLEDAKSQWHPLPLPPGSPTSPPSLPGTRTTGATDNSTLSKWKKGKLLGRGTFGHVYLGFNSRSGQMCAIKEVKVISDDSTSKECLKQLKQEIDLLSQLSHANIVRYYGSELSEETLSVYLEYVSGGSIHKLLQEYGAFTEPVIQNYTRQILSGLAYLHGRNTVHRDIKGANILVDPNGEIKLVDFGMAKHIMTCSSMLSFKGSPYWMAPEVVMNTNGYSLAVDVWSLGCTILEMATSKPPWSQYEGVAAIFKIGNSKDMPDIPDYISNDAKSFIKLCLQRDPLARPTASQLLDHPFIRDQSTTRVANINITRDAFPRTFDGSRTPPALELHSNRTNANSCDGDFAMKPVVNNIRALKNPRDDGRMITSMPVSPCSSPLRQHGPAHRSSYLSPPHPAFAMMGQSSYNLSDFSLYPIRQGPYTHDLFFDTPPLKFQTPGTSPRTRPI >Potri.012G044900.3.v4.1 pep chromosome:Pop_tri_v4:12:4082946:4087416:1 gene:Potri.012G044900.v4.1 transcript:Potri.012G044900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044900.v4.1 MERDFLGLGTINNPLTIKEATTDTPNKDSVAMRGSGMQWSFSNKVSAIPQFLSFKSSLEDKPRKAVHDPVASSSGLMSISTADAFDSNQKTYSGLVQKNMAIDKQAGNHYPVTTYGTQQFDAYSVNRPQDTRMFSISGQQNQTITVSMSSPILQSHFPPTGHNMLSNSIVPKPLGGVPVITPTSALPTLSSIIGTTDLRDGTKSSGAPAQLTIFYAGSVCVYDDVSPEKAQAIMFLAGNGGSSGTPNKPISTPQAQAPIRRPPVSDIFAGNKSNTTAPISCIPSPISVTSSNTNDLATVKPVVSLASSVKQTEPPKPLNSPGPTSATLVPAVAVPQARKASLARFLEKRKERVTQTSPYNVSKRSPESGSHGCDGASLSMNFSSSYPLPASN >Potri.012G044900.11.v4.1 pep chromosome:Pop_tri_v4:12:4083025:4087403:1 gene:Potri.012G044900.v4.1 transcript:Potri.012G044900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044900.v4.1 MRGSGMQWSFSNKVSAIPQFLSFKSSLEDKPRKAVHDPVASSSGLMSISTADAFDSNQKTYSGLVQKNMAIDKQAGNHYPVTTYGTQQFDAYSVNRPQDTRMFSISGQQNQTITVSMSSPILQSHFPPTGHNMLSNSIVPKPLGGVPVITPTSALPTLSSIIGTTDLRDGTKSSGAPAQLTIFYAGSVCVYDDVSPEKAQAIMFLAGNGGSSGTPNKPISTPQAQAPIRRPPVSDIFAGNKSNTTAPISCIPSPISVTSSNTNDLATVKPVVSLASSVKQTEPPKPLNSPGPTSATLVPAVAVPQARKASLARFLEKRKERVTQTSPYNVSKRSPESGSHGCDGASLSMNFSSSYPLPASN >Potri.012G044900.1.v4.1 pep chromosome:Pop_tri_v4:12:4083025:4087217:1 gene:Potri.012G044900.v4.1 transcript:Potri.012G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044900.v4.1 MERDFLGLGTINNPLTIKEATTDTPNKDSAMRGSGMQWSFSNKVSAIPQFLSFKSSLEDKPRKAVHDPVASSSGLMSISTADAFDSNQKTYSGLVQKNMAIDKQAGNHYPVTTYGTQQFDAYSVNRPQDTRMFSISGQQNQTITVSMSSPILQSHFPPTGHNMLSNSIVPKPLGGVPVITPTSALPTLSSIIGTTDLRDGTKSSGAPAQLTIFYAGSVCVYDDVSPEKAQAIMFLAGNGGSSGTPNKPISTPQAQAPIRRPPVSDIFAGNKSNTTAPISCIPSPISVTSSNTNDLATVKPVVSLASSVKQTEPPKPLNSPGPTSATLVPAVAVPQARKASLARFLEKRKERVTQTSPYNVSKRSPESGSHGCDGASLSMNFSSSYPLPASN >Potri.004G019733.1.v4.1 pep chromosome:Pop_tri_v4:4:1405561:1408058:-1 gene:Potri.004G019733.v4.1 transcript:Potri.004G019733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019733.v4.1 MVNVRITGMFIPAIQGGRIIDGSNGDVAVDHYNHDLVPTDMIKYVVGLIKKAATMLSSSCRS >Potri.006G254450.1.v4.1 pep chromosome:Pop_tri_v4:6:25218294:25219000:1 gene:Potri.006G254450.v4.1 transcript:Potri.006G254450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254450.v4.1 MPDKPRDFIDASKKIKTPSIKPLQNSNNRFIKGYEGSETRRPGNVNRGIRPETVRSISPRIIL >Potri.001G051300.5.v4.1 pep chromosome:Pop_tri_v4:1:3864833:3869277:1 gene:Potri.001G051300.v4.1 transcript:Potri.001G051300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051300.v4.1 MEEASNNDSVQDHQMSNGGRNIRSRTASGSNNGPLLETSPLKSTLKKTTNAIVGENQARKDHQKRKVSWPDIAHGTDIAHVLEFESSTSDDGELEGVRNSCVCTIQ >Potri.001G051300.1.v4.1 pep chromosome:Pop_tri_v4:1:3864833:3868061:1 gene:Potri.001G051300.v4.1 transcript:Potri.001G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051300.v4.1 MEEASNNDSVQDHQMSNGGRNIRSRTASGSNNGPLLETSPLKSTLKKTTNAIVGENQARKDHQKRKVSWPDIAHGTDIAHVLEFESSTSDDGELEGVRNSCVCTIQ >Potri.001G051300.4.v4.1 pep chromosome:Pop_tri_v4:1:3864833:3869277:1 gene:Potri.001G051300.v4.1 transcript:Potri.001G051300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051300.v4.1 MEEASNNDSVQDHQMSNGGRNIRSRTASGSNNGPLLETSPLKSTLKKTTNAIVGENQARKDHQKRKVSWPDIAHGTDIAHVLEFESSTSDDGELEGVRNSCVCTIQ >Potri.001G051300.3.v4.1 pep chromosome:Pop_tri_v4:1:3864577:3868061:1 gene:Potri.001G051300.v4.1 transcript:Potri.001G051300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051300.v4.1 MEEASNNDSVQDHQMSNGGRNIRSRTASGSNNGPLLETSPLKSTLKKTTNAIVGENQARKDHQKRKVSWPDIAHGTDIAHVLEFESSTSDDGELEGVRNSCVCTIQ >Potri.001G051300.6.v4.1 pep chromosome:Pop_tri_v4:1:3865442:3866130:1 gene:Potri.001G051300.v4.1 transcript:Potri.001G051300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051300.v4.1 MEEASNNDSVQDHQMSNGGRNIRSRTASGSNNGPLLETSPLKSTLKKTTNAIVGENQARKDHQKRKVSWPDIAHGTDIAHVLEFESSTSDDGELEGVRNSCVCTIQ >Potri.018G003650.1.v4.1 pep chromosome:Pop_tri_v4:18:276949:277737:1 gene:Potri.018G003650.v4.1 transcript:Potri.018G003650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003650.v4.1 MTCRQQVRAKLRFWKFKDIFTFPAGKLSLATLYSLLLMQELLGNKSKNKLVQINAWLEEYYQEAQKCILERTGKEIQHRNQIMIKKCEGYNNYAMLKVKVPTCV >Potri.016G036116.1.v4.1 pep chromosome:Pop_tri_v4:16:2139597:2140001:1 gene:Potri.016G036116.v4.1 transcript:Potri.016G036116.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036116.v4.1 MNPILHDFMNLWQVKKQITLDEALPTMVDLLLPKQQVEEHIIPHLSAQSRDILRSRRRTKKVDIPVYDDDIGEGFEGSTELELEKSGGEYFLANWTHVIMRRRAMRGRQIQAGDYLVMNFRSDGKFHISIEKGF >Potri.001G243300.1.v4.1 pep chromosome:Pop_tri_v4:1:26094519:26096129:-1 gene:Potri.001G243300.v4.1 transcript:Potri.001G243300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243300.v4.1 MASTTATSYWCYRCARFVTVSPRNDDEEQNHANYITCPHCDGGFVEEIQSNNDNRRNRSRVSNFIRNRRNAGDRSPYNPVIVLRGTSPAVAADDNDEGSAYEFYYDDGTGSGLRPVPETMSEFLMVSGFDRLLDQLSQIEINSLGRSAPNPPASKAVVESMPSVEINETHVVSETYCAVCKEAFEIGDEAREMPCKHIYHSDCILPWLAMRNSCPVCRHELPVDNSDEEEAEGEVVGLTIWRLPGGGFAVGRFSGGRRGGEREFPGVFTEMDGGGNGGLSGNRRPRDWDSWVTRSRGRGDSNGSGGFRRVFRGLGSFFRRIRPNSSSRMHDVSGTESIERSYSSHVTRRRRGLDLGVEEGADRW >Potri.005G079500.1.v4.1 pep chromosome:Pop_tri_v4:5:5400754:5402476:1 gene:Potri.005G079500.v4.1 transcript:Potri.005G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079500.v4.1 MESSPKLSILLILSLYIIISSTSIDGVETTTFSSNLSPQSPQPQISTSDHFHDHSFSSHTNLLAPILSHLGFTQLAMAVPSLPADSTTTAWSGPSTLFAPSDSSLRTCFSCSIPDLLHEHIVPGLFSIDYLRKLAFGTKIETLSPGRCITVTSTSLKNDSATPSTVKVFIGGVEITHPDLFNNGVLIIHGIQGYIAPLSPFSCDFERLSSLSFPFQEGVTPHVTSTTHQQGIGTLVQPAIMRLMLRDAMLRLRSNGFTILSLAMRVKYPELTNLVNMTVFALDDVSIFSGSHGYISSVRFHIVPNHYLSTADLERLPVGATLPTLERGQALVVTSAGGLTGFNTAVPMRINYVRVKVPDVMRNLKIVVHAVYLPFPRIHPTSAAAFDEMMGIGGEGQNIVAAEDGACSAVFEEDGSCGTVPPMPAQVKPSVVVRSDEDHHGL >Potri.004G102600.1.v4.1 pep chromosome:Pop_tri_v4:4:9008118:9009568:1 gene:Potri.004G102600.v4.1 transcript:Potri.004G102600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102600.v4.1 MKERQRWQPEEDAVLRAYVKQYGPKEWNLISQRVEATGKTLNRDPKSCLERWKNYLKPGIKKGSLIPEEQTLVISLQAKYGNKWKTIASEVPGRTAKRLSKWWEVFKERQSKSKSKSLLHHHHCTEYSNQNHHLEDNIPFTGDKTSGQGNYDHILETFAEKYVQPKIFNQFQPFTTSLSTMIPPMPEPDPVLSLGSVWMNPGSHISSSTSTTVSATPSPYVSLSLSPSDRGMDPDPTRLMPGQQMGTLVQYCKELEEGRQNCLQHKREATWRLSRLEQQLESEKARKRREKAKEIEAKIRCLREEEESFTSKIESEYEEQLSTWRRDAERKEAKLVEAWCSKHVKFVKRVGVHFGGGAARD >Potri.T013500.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:297305:298476:-1 gene:Potri.T013500.v4.1 transcript:Potri.T013500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013500.v4.1 MVYFLVLRSSFFFGCTSMKKLFPLVFLPDLEVIEVSNCEKMEEIIEIRSDDEGLIGELELPKLRDLKLIELPELKSIFSEKLICHSLRVIHVRNCAKLKRMPICRPLLENGQLSPPPSLREIYIEPEEWWETELKWEQSNAKNVLRHLVKFKEVRADGEERPLI >Potri.019G014378.1.v4.1 pep chromosome:Pop_tri_v4:19:2329341:2333904:1 gene:Potri.019G014378.v4.1 transcript:Potri.019G014378.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014378.v4.1 MDSKHIFGGVVAAFLFGFVVLYSSRRRENIKASEKNRSKKSLKSSGNGVCRSNFAGNTDVIIVGAGVAGSALAYALAKDGWRVQVIERDLAEPDRIVGEVLHAGGCIKLAELGLQDCLDGIDSQIVFSFAAVHKDGKRTAISYPANASGRGFHNGRFIQKLREKAASLPNVKLDQGTVTSLVEENGTIKGVLYKTKAGQELVASASLTIVCDGCFSNLRRNLCNPKIEVPSYFVGLVLENYNLPYANRAYFILKDTIVIAYPISSNEIRCLVDVPGSKQPPISNGEMASYLKTVVAPQMPPELYNAFICAIDKGNIRTMPNRIMPASPYPTPGAFLIGDSLNMRHAVTGGGMTVGLSDVVLLRDLLRPLNDLSDGASICKYLESFYILRKPTAFAINTLASTLHTVFSSSDQDPSRKEMKEAFFNYLSLGGVFSEGLMALLSGLNPDPLSLVFHCFAMLAYAVGRLLLPFPTPKRMCIAAKLILVGSGIIFPILKAEGIRATFLPATMPAYYRTPPVQSTDDRETGK >Potri.005G130300.2.v4.1 pep chromosome:Pop_tri_v4:5:10004747:10006104:-1 gene:Potri.005G130300.v4.1 transcript:Potri.005G130300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130300.v4.1 MGEHATYPQWLLHLIETEFYELCENHSDPNRAKHCNFFCVDCTKSPPFCDHCNSNNVHKGHQVIQVYRSSYSPGIKIPVIRTLFDISEIQPYSINRNSIIYIQQRTSKENSNGSVINQSQRPLSNHNYSETNHKRKRRCESCQWELTTLEDSSHNYKFCSVECKVKGSKPSRQIEDDIEVFGSINGCDEQYCYAGRKRRKSIPRRSPLS >Potri.012G057700.3.v4.1 pep chromosome:Pop_tri_v4:12:5680999:5685050:-1 gene:Potri.012G057700.v4.1 transcript:Potri.012G057700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G057700.v4.1 MVCSLFLSNSSTATTISSPLVLSHKLCTQEHTKKGIYFSTPISRSPRIGLASIKCAVDAPYEGNIPKFPRMNVWDPYKRLGISPYASEEEIWSSRNFLMQQYAGHETSEESIEAAFEKLLMTSFRERKKTKINLKTRLKKKVEESPPWVKNLLDFVELPPVEVIFRRLFLFAFMGGWSIMNSAEGGPAFQVAVSLAACIYFLNEKTKSLGRAFIIGLGALAAGWVCGSVCVPVIPTVIIPPTWTLELMTSLVSYLFLFLGCTFLK >Potri.012G057700.1.v4.1 pep chromosome:Pop_tri_v4:12:5680959:5685057:-1 gene:Potri.012G057700.v4.1 transcript:Potri.012G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G057700.v4.1 MVCSLFLSNSSTATTISSPLVLSHKLRCTQEHTKKGIYFSTPISRSPRIGLASIKCAVDAPYEGNIPKFPRMNVWDPYKRLGISPYASEEEIWSSRNFLMQQYAGHETSEESIEAAFEKLLMTSFRERKKTKINLKTRLKKKVEESPPWVKNLLDFVELPPVEVIFRRLFLFAFMGGWSIMNSAEGGPAFQVAVSLAACIYFLNEKTKSLGRAFIIGLGALAAGWVCGSVCVPVIPTVIIPPTWTLELMTSLVSYLFLFLGCTFLK >Potri.001G283208.1.v4.1 pep chromosome:Pop_tri_v4:1:29702358:29704825:-1 gene:Potri.001G283208.v4.1 transcript:Potri.001G283208.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283208.v4.1 MGKPPVPFLPFVFSTLFTLIIPSASGLSFNFTSFIVGADQNISYEEAYPADGAIQLTKNLRNANMNSSSGRATYYKPMQLWDEASGNLTDFTTHFSFSIDSQRRTAYGDGLAFFLAPEGSKLPSNLSEGAGLGLTRRDQLLNTTANHFVAVEFDIYPNYFDPPGEHVGIDINSMQSVNNITWPCDISGGRITEAWISYNSSTHNLSVAFTGYRNNTVEMQFLSQIVSLRDYLPERVSFGFSASTGSASALHTLYSWDFSSSLEIDDNVTNPIDPATNPLDPAAASPPNGGSLRNRKKNRTGLAVGLGVGGGAIVVGAALVGIVIKFMRGHEEDEGDGHVLEEYMDDEFERGTGPKKFSYQELARATNNFKDEEKLGEGGFGGVYKGFLKGIDSFVAVKRVSRGSKQGIKEYAAEVKIISRLRHRNLVQLIGWCHERKELLLVYEFMPHGSLDSHLFKETSLLTWEVRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIMLDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECAMTGKASRESDVYSFGIVALEIACGRKPINPKASNEDQVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIVGLSCAHPDEHLRPSIRQALHVLNFDAPLPILPSKMPVPSYFAPPISASSLSIMSYGLTDSEGGMNKSSSYSYNTNSSQFTASSSASSASAMLLHEG >Potri.007G022100.3.v4.1 pep chromosome:Pop_tri_v4:7:1699835:1703861:-1 gene:Potri.007G022100.v4.1 transcript:Potri.007G022100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022100.v4.1 MPHFTALALDRLLEPGASKSVDMPVPSSNNKYPVPKPKPKPPPPELKPPLPNSNLERRNSTSVIERKGNRPQISPGLYATPESTPLPDSPTSFPPSPYIINHKRRGPRLSKSFSDDDVASRKKKLEKLEVNGNVNNGENKVVDSSNGHSVTFFIPSSVEGELVNDVNGCPGKEDVVNGVRDCPIEVGRVNGSHGGERGSSSVQLGTGDTRKDLSLEKDMLKPIEQNVERNGDSDDFFDPQDSMSYTSNTDVEDTTAVESSMKLTAALPVGEFYDAWEELSSESGQQPSPSPHHNGAELREMRLSLLMEIEKRKQAEEAMDNMQSQWQRIRQELALVGLSLPACPVDVPESDQPSDVNPVEEICQQIYLARFVSESIGRGIAKAEAEIEMEAQVEAKNFEIARLLDRLHYYEAVNRELSQWNQEVIETARRNRQIRKRRQKWVWGSIAAAITLGMTTLAWSYLPAMSGSSSSSDSHAPEHDDTAN >Potri.010G192300.1.v4.1 pep chromosome:Pop_tri_v4:10:18742581:18743701:-1 gene:Potri.010G192300.v4.1 transcript:Potri.010G192300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192300.v4.1 MRRGCFVKNLIAFVGVVISVCCLLVIMVSVLQLPEVSLRNEVTGPNRTIRIRKVSKDEEIGRFGEMMIEMLPEDLAFTVFVPSEKAFQRDLRLRLNDSLVAEKRNDTYAVVSRILGFSAVPQTLSSATVSSSKEVFYDSLSGFTLYISKDLDGMLVVNRIRSEKVDLRRGQIVVHIMDGVIMDAEFEQAVQPDYTEED >Potri.012G133400.3.v4.1 pep chromosome:Pop_tri_v4:12:14831542:14834052:1 gene:Potri.012G133400.v4.1 transcript:Potri.012G133400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133400.v4.1 MMKMKTAKATTGLSHMNGGSAGGGGELEVRPGGMLVQKRDPDSDRTSIPPPTIRIKVKYGSAYHEINISSQATFGELKKMLSAPTGLHHQDQKLIYKDKERDSKAFLDISGVKDRSKMVLVEDPISQEKRFLEMRKNAKMEKASKFISEISLEVDRLAGQVSAFESVITKGGKVAEKSVLNLIELLMSQLLKLDGIMVDGDVKLQRKIQVQRVQKYVETLDMLKIKNSMPNGNADEIKDSMPIGNGHHAPMQQQHKHSNGQKIASIQKRQPRYTNGHTLIPIEEEEEQRHPFEHLSIHQQQQPSRHSASGEVVVTTQWETFDSTPALEPVPSISTSSTATKTSAPQPKFPWDFFN >Potri.013G104501.1.v4.1 pep chromosome:Pop_tri_v4:13:11367151:11368023:1 gene:Potri.013G104501.v4.1 transcript:Potri.013G104501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104501.v4.1 MLAFFKCGQMFHFFNYWLTTRIYLLKKFVLKELSEATKETPISISTPLMEFVRQKRADKGGNKGSAVVKGGKRAGSASPTNSGSSSTKRGSATKKVFSRIIATICFKQTLSVLGIKCACCTLFFCHDLVCS >Potri.008G017800.1.v4.1 pep chromosome:Pop_tri_v4:8:894349:901069:-1 gene:Potri.008G017800.v4.1 transcript:Potri.008G017800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017800.v4.1 MVVAVSGMDSLGERAAKMKEALQKSQTITDSVVSILGSFDSRLSVLETAMRPTQIRTHAIRKAHENIDKTLKAAEVILTQFDASRQAEAKILKGPHEDLESYLEAIDQLRSNIHFFSGNKGFKSSDAVLNNANSLLAKAISKLEDEFKQLLASYSKPVEPDRLFECLPESLRPSSESPGNLSSGRNHHHEHQNGASETGGFKHLTLIPPRILPLLHDLAQQMVQAGNQQQLLRIYRDTRSSVMDESLRKLGVEKLSKEDVQKMQWEVLEAKIGNWIHFMRIAVKVLFVGERRVCDQIFEGFDTLLDQCFAECTASSVSMLLSFGDAIARSKRSPEKLFVLLDMYEIMRELHSEIEAVFGGKACNEIRESTFGLTKRLAQTAQETFGDFEEAVEKDATKTAVLDGTVHPLTSYVINYVKFLFDYQSTLKQLFQEFESSGETSSQLATITMRIMQALQTNLDGKSKQYRDPALTHLFLMNNIHYMVRSVRRSEAKDLLGDDWVQRHRRVVQQHANQYKRNAWSKILQCLSTQGLTSSSVGGGSAVSGEGGSGSGASRGLIKDRFKTFNIQFEELHQKQSQWTVPDTELRESLRLAVAEVLLPAYRSFIKRFGPLVESGKNPQKFIRYTAEDLERMLGEFFEGKTLNEPRR >Potri.001G406500.1.v4.1 pep chromosome:Pop_tri_v4:1:43379492:43382342:-1 gene:Potri.001G406500.v4.1 transcript:Potri.001G406500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406500.v4.1 MDKQQKLLFHIFLCFSFLLLLSENTRVNGSSHHHHHHHHRHRPLFNFRPSKLFVFGDSYADTGNNRNSLASSWKVPYGITFPGKPAGRFSDGRVLTDFIAKSLGIKSPIPYRWRNVGIEHWKNGMNFAYGGTGVFPTLAPDPNMTTQIDFFQDIINKKIYSGSDLCSSMALVSVAGNDYSTYATTNGSPQGWQPFIIEVVNQLVTNMKRIHGMGVNKVVVTALQPLGCLPRSTFTSSFQQCNGTENELVGFHNLLLQQAVTKLNNETKDSTFAILDLYKAFMAVFKIQGKNPGSSKFGNPLKPCCTGISTEYGCGSVDANGVKKYVVCDDPETAFFWDTAHPTQEGWRAVYSALLQEQ >Potri.008G161901.2.v4.1 pep chromosome:Pop_tri_v4:8:11133130:11133860:-1 gene:Potri.008G161901.v4.1 transcript:Potri.008G161901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161901.v4.1 MIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Potri.005G062800.1.v4.1 pep chromosome:Pop_tri_v4:5:4014214:4022021:1 gene:Potri.005G062800.v4.1 transcript:Potri.005G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G062800.v4.1 MDLPALFRAAAPPSIPPLHSAPSPRSNYVIEKDNNIAIEGRNLNFSIKTRKGDLVPILKDCSLSIPSGQLWMLLGPNGCGKSTLLKILAGLLNPTSGTLYLKRPKSFVFQNPDHQVVMPTVEADVSFGLGKLNLTEDEVKCTVSKALDAVGMSAYMQRPVQTLSGGQKQRVAIAGALAEACKVLLLDELTTFLDETDQVGVIKAVKNSLNASDDVTALWVTHRLEELEYADGALYMENGKVVKQGDGSSIMNFIEARQSSYINRINS >Potri.002G232100.1.v4.1 pep chromosome:Pop_tri_v4:2:22426742:22435261:-1 gene:Potri.002G232100.v4.1 transcript:Potri.002G232100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G232100.v4.1 MASSMKIVFGLLAFVTAGMIVGAFFQLAFILKLEDSYGTKFPSFKRVRKLQSDAYLQLPRGISHWDNDTEAAVLRIGYVKPEIISWSPRIIVLHDFLSSEECDYLRALAKPRLRISTVVDVKTGKGIESKVRTSSGMFLSSEEKTYQVVQAIEKRISVYSQVPIENGELIQVLRYEKNQYYKPHHDYFSDTFNLKRGGQRVATMLMYLSDNVEGGETYFPMAGSGKCSCGGKVVDGLSVKPIKGNAVLFWSMGLDGQSDPSSIHGGCEVLSGVKWSATKWMRQRATF >Potri.001G220300.1.v4.1 pep chromosome:Pop_tri_v4:1:22985614:22991557:1 gene:Potri.001G220300.v4.1 transcript:Potri.001G220300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220300.v4.1 MAPALSLRPCISASSSNWSQPKMHANPFIANIKIHRRLEVVSMGMLSPRKFLQKRRKVEVFKDASDEADQKNWRRLMKQIEDTGSAVSVLRRERIKKDGLPRDLVLGTLVRFKQLKKWDLVSEILEWLQSQHWWDFNEMDFLMLITAYGKLGDFNGAEMVLRSMNGNGYVPNVVSHTALMEAYGRGGRYNNAEAIFRRMQTSGPEPSALTYQIILKTFVEGNKFKEAEEVFETLLNKENSPLEPDQKMFHMMIYMQKKAGNYEKARKVFALMAERGVPQSTVTYNSLMSFETNYKEVSKIYDQMQRSGLRPDVVSYALLIKAYGRARREEEALAVFEEMLDAGVRPSHKAYNILLDAFAISGMVEQARVVFKSMRRDRCTPDLCSYTTMLSAYVNASDMEGAENFFKRLRQDGLKPNVVTYGALIKGHAKVNNLEKMMEIYEEMQLNSIKANQTILTTIMDAYGKNKDFGSAVIWYKEMEHHGVPPDQKAQNILLSLAKTQDEQKEASQLVGYPDDCGIQSINGASRFADDDGSDDETDDEDDYDEADKNDDEDDCDGVDDTAKTVSYSKECEDLIFLDGDNQHNLEALHALTVIDL >Potri.012G003200.1.v4.1 pep chromosome:Pop_tri_v4:12:1243598:1244716:1 gene:Potri.012G003200.v4.1 transcript:Potri.012G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003200.v4.1 MGKIHHVNVIRLVGYCADGFRRALVYDYLPNESLEKFVSSEHGETSSLSWEKLQDIALGMAKGIEYLHQGCDQRILHFDIKPHNILLDDHFNPKISDFGLAKLCSKDQSAVSMTTARGTMGYIAPEVFSRNFGHVSYKSDVYSFGMVLLEMVGGRKTIDDKVENSNQIYFPEWVYNSLDKGEELRIRIEKEGDAQIAKKLTLVGLWCIQWHPVDCPSMNTVVQMLEGEGDKLTMPPSPFASAGPGRMHANMPGRPHYQALEVISETE >Potri.013G138201.1.v4.1 pep chromosome:Pop_tri_v4:13:15625986:15627518:-1 gene:Potri.013G138201.v4.1 transcript:Potri.013G138201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:matK MKIEKSQRNLEIDRSRKNDFLYPLIFREYIYTFAHDRDLNRSILLENVGYDNKYSLLIVKRLITRMYQQNHLIISANDSNQNTFFRYNKNLYFQMISEGFAVIVEIPFSLRLVSSLESSEIVKSHNLRSIHSIFPFLEGKFPHLNYLSEGLIPYPIHLEKLVQILRYWVKDPSSLHLLRLFLHEYWNLNSLIIPKKSISFFVKKNQRFFLFLYNSHVYEYESVFFFLCKQSFHFRLTFYQVFLERIYFYGKIEHFVEVFTKDWGDSLCLLKDPFIHYIRYQGKSIFVSKDTPLLMKKWKYYLVNLCQCHFDVCFQPQKIHINPFSLYKHSFALLGYLSSSSVRLNLSVVRSQMLENAFLMDNIMNKLDTTVSIIPLIGSLAKMKFCNAVGHPISKPTWADFSDSDIIDRFVRICRNLSHYYSGSSRKKSLYRIKYILRLSCVKTLARKHKSTVRIFLKRLGSELLEEFFTEEEQILFLIFPRASSISQKLYRGRVWYLDIICINELSNHE >Potri.001G455150.1.v4.1 pep chromosome:Pop_tri_v4:1:48154999:48156545:1 gene:Potri.001G455150.v4.1 transcript:Potri.001G455150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455150.v4.1 MITPIEYLNNWSSINQAGGGSDSNARSLKPFPSKEVPPNSVRATFKFSREDIKKLRKRILSQLDKVSDKKDTEAIHLSSFAITLSYALVCLVKARGLKSDEKIKFGIAADCRARVDPPIPTNYFGNCVLLYVAVLAGSAMQDDGFVFVAQKVSEVIKGIEKAALEGAKEKVKKLMAIEPAAIPVGVAGSARFEVYGVDFGWGRPKNVEVTSIDRTGAVSMAESKSESGGVEIGLVLKKEVMEIFENLFVSGLKHV >Potri.008G059800.2.v4.1 pep chromosome:Pop_tri_v4:8:3582048:3588214:1 gene:Potri.008G059800.v4.1 transcript:Potri.008G059800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059800.v4.1 MNGERKEEGVVNMDVHNEKLVYMWGYLPGALPQRSPILSPLAVRSTVYAWKDVCGGGCGFAMAISESGKLITWGSTDDLGQSYVTSGKHGETPEAFPLPTEASIVKAAAGWAHCVAATGNGEVYTWGWKECIPSGKVFSDPSGAGGMEKDVFERQNLFFTEQGSPRSQGPRSSGGSGTLSGLDGRGSKDESTKRRRISSAKQAAESSSTGDETPSAFPCLVTLNPGVRIVTVSAGGRHTLTLSDIGQVWGWGYGGEGQLGLGSRIRMVSSPHPIPCIDSSYGKERDAVLSRGGMTSEGQGFRVPGTYVKGIACGGRHSAVITDAGALLTFGWGLYGQCGQGSTDDELSPTCVSSLLGIRIEGVAAGLWHTVCVSADGDVYTFGGNQFGQLGTGGDQAETLPRLLEAPSLENIHAKTISCGARHSTIISEDGKVFCWGWNKYGQLGLGDVIDRNVPSQVTIDGCVPKNVACGWWHTLLLAESPT >Potri.002G114200.1.v4.1 pep chromosome:Pop_tri_v4:2:8659307:8662788:-1 gene:Potri.002G114200.v4.1 transcript:Potri.002G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114200.v4.1 MAPSFDWWAKDSHKGTPVVVKMENPNWSMVELEGPSEEDFLITDSPSRLGRDKSRNKNAKQLTWVLLLKAHKAAGCLTSIATTMLSLGSAIKRRIHSGRTDTETTDIDRENENPTVKTRFYTSIKIFLWMSVLLLGFEIAAYFKGWHFGAPHLQLQYLLATPFGFKDIFDSLYSRWVLFRVEYLAPPLQFLANACIVLFLIQSIDRLVLCLGCFWIRFKNIKPIPKQDAVADLESGENGFFPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKSKFLIQILDDSDDPTTQLLIKEEVHKWQQEGARILYRHRVIRDGYKAGNLKSAMNCSYVKDYEYVAIFDADFQPTPDFLKKTVPHFKDNEEIGLVQARWSFVNKDENLLTRLQNINLAFHFEVEQQVNGTFINFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLHGWKFIFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPDIIRSKISIWKKFNMIFLFFLLRKLILPFYSFTLFCIILPMTMFIPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMISGLFQLGSAYEWVVTKKSGRSSEGDLVSLVQKETKHQRGSSEPNLDELKEEIMQQDQKAKKKRKHNRIYMKELALAFLLLTASARSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVQ >Potri.011G008420.1.v4.1 pep chromosome:Pop_tri_v4:11:691866:696255:-1 gene:Potri.011G008420.v4.1 transcript:Potri.011G008420.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008420.v4.1 MQNWLCKGSKIIVTTRNKGLLSANDKWVKCKVEPLDNGKSLELFSWHAFGQAYPVEGFVEDSWKIVNHCNGLPLALRVIGSSLSGKSREVWESALHEMEVIPNCEVQKILRISYDSLDDEYQKNLFLDIACFFNGMDYNYAVRILDGLGIGARFRIDNLIDRCLVEIVEINSDKRLWMHQLVRDMGREISRQESPQCQRIWHHMEAFTVLKETSDAEKLRGLTIDMHALMEDNCTKAICGDSMVRHKHNFFQHYRLPTFPSREWLSDLFFGEQVQSGQASLLPVLSTDALRKMQNVKFLQLNYLKFHGSYEHFPKNLVWLSWHGFSLRSIPNQLCLEKLVVLDLSRSSLVDAWKGKLSLPKLKILDLRHSHGLIRTPDLSGLPRLENLILEDCLHLAQIHDSIGDLHCLMMLNLKNCKSLVELPEEMSRLNSLQELYLNGCSNLDSLNMELEHHQGHRLLQSDGFVASTSYISSLSLKLLFPSRFSARKTSRFTLPHSLTRLDLSGTPIRFLPESIKDLSPLIALTLRNCKMLQTLPELPSNLSSLDVSSSYSLQTVPNLIPWTVVYDCDQLVDIQDSMKLELIQKADSHMFRIMETVNAQIQPWIFQVTVFKGVFNVVVADEDGMFKFYEEEEEEWIIQKEFVGCLSFQIASPAAHRIYGFNLFTRFCTTSETLLCGSVYLEIRNNTSGRSLFCPASFFPSGYKHGNAVLQSLSHWKLGGDDPTFDNGDDVSISVLPLDPTIQIMMVGVLWLHEEEGNGDDDDIQSKNKVITSQSSSSSYREVITTHNSSDDDDDDDDDVHLANVETASHIFRNYSCAARYNPVLRVIILATWKYVRGYLKRKGYWKKKGGIFV >Potri.001G340900.1.v4.1 pep chromosome:Pop_tri_v4:1:35111100:35112462:-1 gene:Potri.001G340900.v4.1 transcript:Potri.001G340900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340900.v4.1 MLAIHPKPKPRLRSWHPPIVANLQTISSLPFKSRVFPATKTTSTLNESNETERSSAGKIKRLVLSKEGRTKLNSYPDREFYSYPRFVTHVDDGFISTLTNLYQEMLRPDSEILDLMSSWVSHLPKQAVYKRIVGHGLNAQELARNPRLDYFFVKDLNQDQKLELESSSFDAVLCTVSVQYLQQPEKVFAEVFRVLRPGGVFIVSFSNRLFYEKAISAWRDGTGYSRIQLVVQYFQCVEGFTQAEIIRKLPAAAAGPQQEKSPFSWIMRLLGLVSGSDPFYAVVAYKNFKPVYE >Potri.004G231000.1.v4.1 pep chromosome:Pop_tri_v4:4:23460544:23462585:1 gene:Potri.004G231000.v4.1 transcript:Potri.004G231000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231000.v4.1 MNSTGPTPCSRSWSISEDSLRRYVHFASESCIQELLSASDSNRFGNVGNDGWKILTDLDNGVEISKRRSGSFHTFRSRWLLRSVSPQQFITVANAIDAAKQWEQDLVEARYIKDLEDNLSIIRLRFGENSKPLFRNREFIVYERRETMEDGTLVVAVASLPKEIAAGLHPKQNNAIRGLLLQSGWVVEKLEDDSCMVTYVVQLDPAGWLPKCFVNRLNTKLVMIIENLKKLAQGCPADGDK >Potri.010G191500.2.v4.1 pep chromosome:Pop_tri_v4:10:18690563:18693470:1 gene:Potri.010G191500.v4.1 transcript:Potri.010G191500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191500.v4.1 MSSRKEGGPSRSRDLRSHSQIESPLCHFFKIVFPSTLKDKKLRIPRKFVEKFGEGLSDIAKVAVPNGNEWQVGITKEHNNIWFDEGWQEFVEHHSIGSGYLVVFRYRGDSNFSVLIFDMTACEIQYRRMRPTGGEGMNDAEKCSFYDEDEMKDEGSVESLDTHYCRALKSRVFNLNAREGGSSKGRGPSSETTVKNEMTYIDDTSESRRGKSLKKHRMSAPHGETKAKKSKSKSRLGENELLPECEAIEFVPRGFAKASEKSKRAIHAARMFKPKSPSFMVMLRRYNFYNHFLYVPLEFAQRHLSDAPRCIKLQVSDGREWPIQINRNQCRYLSISKGWNEFSQENNLKEGDVCVFELINKEKFVLKVAIFHELEDNVPSD >Potri.010G191500.3.v4.1 pep chromosome:Pop_tri_v4:10:18690563:18693470:1 gene:Potri.010G191500.v4.1 transcript:Potri.010G191500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191500.v4.1 MSSRKEGGPSRSRDLRSHSQIESPLCHFFKIVFPSTLKDKKLRIPRKFVEKFGEGLSDIAKVAVPNGNEWQVGITKEHNNIWFDEGWQEFVEHHSIGSGYLVVFRYRGDSNFSVLIFDMTACEIQYRRMRPTGGEGMNDAEKCSFYDEDEMKDEGSVESLDTHYCRALKSRVFNLNAREGGSSKGRGPSSETTVKNEMTYIDDTSESRRGKSLKKHRMSAPHGETKAKKSKSKSRLGENELLPECEAIEFVPRGFAKASEKSKRAIHAARMFKPKSPSFMVMLRRYNFYNHFLYVPLEFAQRHLSDAPRCIKLQVSDGREWPIQINRNQCRYLSISKGWNEFSQENNLKEGDVCVFELINKEKFVLKVAIFHELEDNVPSD >Potri.010G191500.4.v4.1 pep chromosome:Pop_tri_v4:10:18690563:18693470:1 gene:Potri.010G191500.v4.1 transcript:Potri.010G191500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191500.v4.1 MSSRKEGGPSRSRDLRSHSQIESPLCHFFKIVFPSTLKDKKLRIPRKFVEKFGEGLSDIAKVAVPNGNEWQVGITKEHNNIWFDEGWQEFVEHHSIGSGYLVVFRYRGDSNFSVLIFDMTACEIQYRRMRPTGGEGMNDAEKCSFYDEDEMKDEGSVESLDTHYCRALKSRVFNLNAREGGSSKGRGPSSETTVKNEMTYIDDTSESRRGKSLKKHRMSAPHGETKAKKSKSKSRLGENELLPECEAIEFVPRGFAKASEKSKRAIHAARMFKPKSPSFMVMLRRYNFYNHFLYVPLEFAQRHLSDAPRCIKLQVSDGREWPIQINRNQCRYLSISKGWNEFSQENNLKEGDVCVFELINKEKFVLKVAIFHELEDNVPSD >Potri.010G221900.9.v4.1 pep chromosome:Pop_tri_v4:10:20682864:20685939:1 gene:Potri.010G221900.v4.1 transcript:Potri.010G221900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221900.v4.1 MDTQCMPSSSSLYSKSHKNVTVIPPLIRRQQKNTTVYFSRGYLACACRWQQERREITTERPLVTKAKKVPVELSEEPAKVTGKKGTVAGAVALIIGTSIGTGILALPQKASPAGVIPSSICMIVCWGFLLIEALLLVEINVGLRRKQGKNEDESELEVISIRTMAQETLGDWGGTLATITYVFLGYTSMIAYSSKSGEILFHLVNLPESVSSCLFTTIFTVLVSVGGTQATDQVNQWLTASMIGLLLAIEVIAVAFGGWSGLEGSGDWGKVPATIPVMIFALVYHDLAPVLCAYLGGDLKRLRTSVLLGSIVPLLALLVWDALALGLSAKADQVVDPVLLMSERWSGVSYMVEAFSLLAVATSLIGTLLGFLEFLKEQLKNLSRVSKATRTLQILQEPIGLGEWWERNKISFTAKAMAVAPTLVVSTIVPDAFSAATDIAGGYCMTILYGVLPPAMAWAMYNKEREDSSQNELSRVRPALLGVGILASGIVVEQILQDFSALHH >Potri.016G138232.1.v4.1 pep chromosome:Pop_tri_v4:16:14176559:14177065:1 gene:Potri.016G138232.v4.1 transcript:Potri.016G138232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138232.v4.1 MNEGIFPDKLLHKSRDSSDFSRPMLGGIIPVYWLCDKFSPIKPGRLVTLSGISPLKLLHERSECSLLAKIVRSNINR >Potri.012G019700.1.v4.1 pep chromosome:Pop_tri_v4:12:2093657:2096970:1 gene:Potri.012G019700.v4.1 transcript:Potri.012G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019700.v4.1 MAGANEVQAMEIEDEEECPVKQVDLTVLKTDDPTLPVLTFRMWVLGLGSCVLLSFVNQFFWYRTQPMFISSISAQIAVVPLGHLMAKKLTTRKFFEGTSWEFTMNPGPFNIKEHVLITIFANSGAGTVYATHILTAVKLYYKRKLTFVPALFVMITTQVLGFGWAGLFRKYLVEPGEMWWPSNLVQVSLFRALHEKEKRLKGYTSRTQFFLLVIICSFAYYVLPGYLFTMLTSFSWVCWLGSKSVLVQQLGSGLQGLGIGAVGFDWATVSAYLGSPLASPWFATANVAVGFSLIMYVMTPICYWLNVYNAKNFPLYSNELFTEKGEEYDILNIINSKFHLDRDAYAKFGPIHMSTFFSMTYGLGFATLSATVMHVLLFNGSDLWKQSKRAFGGRRKIDIHTRLMKKYKSVPTWWFYIILVINIAAIIFACEHYNESLQLPWWGVLLACAIAFFFTLPIGIISATTNQQPGLNVITEYIIGYMYPERPVANMCFKVYGYISMTQALTFLADFKLGHYMKIPPRSMFMAQVVGTLVAVLVYLGTAWWMMDAIPNLCSQDNGPWKCPMDRVFFDASVIWGLVGPRRIFGNLGEYGGVNWFFLGGAVAPLIVWIFHKAFPRKEWISLIHMPVLLGATSMMPPASAVNYTSWIVVGFLSGYVLFRYRTEWWKRYNYVLSGGLDAGTAFMTLLIFFALGYWDVDLTWWGSNSSNPEGCLLASCPTAKGIIVDGCPVL >Potri.005G245400.1.v4.1 pep chromosome:Pop_tri_v4:5:24045141:24046956:-1 gene:Potri.005G245400.v4.1 transcript:Potri.005G245400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245400.v4.1 MALLKTKLRFPHSLSPHLLKPFSSSPLTTSDSNLLEDDSNDDEEHTIKTPKTPLSPEETFVAEKLHSLIKDHYRNNPNKTLPPDPTYTIPSLSLDFSQTISTVHSISPSIIRHVIEQSGGVRHGIPVPQVLAFFNWASYQDGFDKSPEAFNEMVDFAGKVRMFDLAWHVIDLMKARDVEITVETFSILMRRYVRAGLAAEAIHAFNRMEDYNCKPDKIAFSILISILCRKRRASEAQEFFDSLKDKFEPDVIVYTNLVRGWCRAGNISEAERVFGEMKVAGIKPNVYTYSIVIDSLCRCGQITRAHDVFAEMLDAGCQPNSITYNSLMRIHVKAGRTEKVLQVYNQMKRLGCEPDTVTYNFLIETHCKDENLEDAIKVIGLMAKKGCAPNASTFNTLFGCIAKLGDVNAAHRMYKKMKELNCEANTVTYNTLMKMFVASKSTDMVLKLKTEMDENKIEPNVNTYKVLITMYCGMGHWNNAYKFFREMIDEKCLRPSLPVYDMVLQQLRKAGQLKKHEELVEKMVDRGFVTRPL >Potri.005G245400.2.v4.1 pep chromosome:Pop_tri_v4:5:24045085:24046519:-1 gene:Potri.005G245400.v4.1 transcript:Potri.005G245400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245400.v4.1 MVDFAGKVRMFDLAWHVIDLMKARDVEITVETFSILMRRYVRAGLAAEAIHAFNRMEDYNCKPDKIAFSILISILCRKRRASEAQEFFDSLKDKFEPDVIVYTNLVRGWCRAGNISEAERVFGEMKVAGIKPNVYTYSIVIDSLCRCGQITRAHDVFAEMLDAGCQPNSITYNSLMRIHVKAGRTEKVLQVYNQMKRLGCEPDTVTYNFLIETHCKDENLEDAIKVIGLMAKKGCAPNASTFNTLFGCIAKLGDVNAAHRMYKKMKELNCEANTVTYNTLMKMFVASKSTDMVLKLKTEMDENKIEPNVNTYKVLITMYCGMGHWNNAYKFFREMIDEKCLRPSLPVYDMVLQQLRKAGQLKKHEELVEKMVDRGFVTRPL >Potri.001G423600.2.v4.1 pep chromosome:Pop_tri_v4:1:45115733:45128269:-1 gene:Potri.001G423600.v4.1 transcript:Potri.001G423600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423600.v4.1 MDGLYKELNSKDGKREKAVLLLMASIVRRGSGLASEVAKTFDFKLQGFLKLAEYKKRQQNDKRKKKSTRKAFVGFAMSFLEVGKPGLLRWVLQQKEMYSGVLRGLGSDDDETLIYVLSTLRDRVLIEQSLVPPGLRSVLFGNVTLEQLVGISGKENGGDAAELAHNVLVMVCTDPSNGLMPDLNRHPSPLKGNPKRLLGLMKKLKAVNIDYHRDLLLAIVKGRPSFGSAYLEEFPYNLEDYASPSWFSTVSLAAKLVSSVGVGLHFGFLDSQSNDPPSFDSMDVKSIINCISPPPFSRSVINKGLLHSDFLVKNGTLRLLMEGLKLLNSFFRSINLSCSRKQKNLHSWASLKQEIQNEIRTLLPDPQVLLTLLSSFGSHARTDEKCLKRKADEENFAEQGGKRIKKLKTDAVDEEMDIIVAGISSVPDIPLPGEGESVAEAEAPEEPDSGKDFINVILQLWGSDLCSEPVITLKDAEIFFHSKLLDALKIYLLTMPTALEGSFEFFMNLLSNPLALPNNLQGSLLSLLVEYIKRSPTSGIAIRTPSLMYKQLQTFINLLIFSPIDDIKVQAYNLARAAMSSTGAFDRNLKEIDAWFFFLPGYTAVRSSFEVQGIEVLQSLSSAVISFLCDAISTIGNNLFKYWDALRNYNHSLKEFKDASLDFSPFIICILQKCVRLLGSESGTFSLPEKSIISVYVCSTLKYLLQTQVDAGLLSALIRSVLSEGLTDHCPSIDDSETLFCEWRPLRNLLLFAESVLNKQACCQFFNDQEAMPTVGSFTNTLDEVRNIVESGHGGEIAGISKALSSSIICTTSNELLKNFPSVLITFQRLRVPESFLSSIIFLEHSFLAGVLKLWPEMFFSGLEMVISMINSQGTIGDASAKETAQHVDFDVSESAAAVSFSLFLRQVPFHLLFPAIMSINAPSLVESLNIKDLLLARLSESSTDSVISHLRLILFWFHQIWSSYRIKPLTELERLAEICYVLVKHILAQPLASKLNSPMNAGVPLSADNIGEVAETIFCHPAVVASLVHPLHCHGDFTEGKLGESLEEILCFSGQTVHKIDHHVLDMLTATFDDLFLLSGGQHRSTLEFDDCASKLIVKAFNTLLQRLYLEVRDKFDQCTSTEDPLPLLPLFYALHALNRFISPFELLKLVHWMFGRVDASGLNVQKHFGLSALSVGLCIAADAFDILSAYLQQPMTRNVPFYMLWKSEEKFFDVNLIEEIYVQVCKFATDFNQDFAHVCLLKAVNAVYSQKYMQHGILHPLSLVLPRIIRSTPLEILSQCIYRTNMTKTKLLSLLVEMSPLHLSVFGHLFFGILDEDFNLKIKTVEKTRDSALSNTDFVMLLPAALSYLNSILMKFEKQQYKQFTNIPSFYSKLLLKGFLHWKSFVSGYVFQESYNDFLPSSIEELLNLVDSSLLGKAICMLRQYFSISVDMKLKERLKLFNSILSCSDTHVELLDCEVGEMEFCSHNQSLNLVNRVVAKISFCRMLLFPKDNQIVSLPKEAVENLQEVSLEKVSNKEGQSRMRLLKILVDTWQFMVKKFPSVSNGSTKEKISNCLQLYRYLELFIFRTIFELAMEMREDLILLESVPFLEQLTRSSLLYRFEDPTTMKILRGILVLLSEGKFSCALYLQLLVSHSQFSSTIQSITESFGCQTGAFVKPMSSILRSPVILRTKSSDDLQTTELHMKQLEIVKLLRTLLQLKPRQSSFDSGNDIGINLKELHLLLLSSYGATLSETDFEIYNLMLEIESIDNSVVDVVADMDYLWGTAVLKISKERVLDQETYDVVTNTEAVKEHRRSQFRENLPVDPKMCVTTALHFPYDRTVTDGSFSLDRLQLDNLKDIYERHVPGVENIQLYDPVFILRFSIHALSMGYIEAVEFAGLGLLAVAFVSMSSPDVGMRKLGYELIGKYKNVLENCQKTKDVMRLRLLLTYLQNGISEPWQRIPSVLALFAAESSLILLDPSHDHYTTLSKHLMHSSKVNMKSIPLFHVFFLSNAVNFRMERLWMLRLACGGLNLDDDTQIFIRNSTIETLLSFYSSPLSDNESKEIILEIVKKAAKLPRMVRYLVEHCGLFPWLSSVLSVYKGMLHENERIFFSQLLVVVIEVVNDVVSSRNIVEWLQNYALEQLMELATYLYKLLVAGSKLIKENVTLVNSVLHIMLTTLKISQKRKIYQPHFTLTFEGLFQIYQALDVFNTSRPSASSELGLKTILMGFPRVDILHMNQEKLSSFLLWAVSTAMKSDSSQIINVKDTRANLTINSEETPSEESLVSKLLRWLVASVILGKLSRKLDVNAELSEKSSFKTLQNLLENVEKGCGESNRLGFDCEEVLALSIFYLQQLLGMNFTVLPSVVSSLSLLLLRKKSKFSDFALGYRTSTLSLWSKIRCPAEANPAWRWSFYQPWKDPSCELSESQRMYEQHACQSLLVIITNVLGKKSSDDTRVLSLEDVENSGLFKWERTIAEIEL >Potri.001G423600.1.v4.1 pep chromosome:Pop_tri_v4:1:45115736:45128778:-1 gene:Potri.001G423600.v4.1 transcript:Potri.001G423600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423600.v4.1 MDDPSSASEDNVVMGDENDSEVEVEESAVPKFEIKANFQAKLSELLHRINSNEIKLCKDGTKEFIKLLKSESGGELLRVYVQISSSFTELLSAWKLRAGKNGISYVMSLISVIFSHSEGKYSANDRERIFVSRALDKFARLIVQEKMDGLYKELNSKDGKREKAVLLLMASIVRRGSGLASEVAKTFDFKLQGFLKLAEYKKRQQNDKRKKKSTRKAFVGFAMSFLEVGKPGLLRWVLQQKEMYSGVLRGLGSDDDETLIYVLSTLRDRVLIEQSLVPPGLRSVLFGNVTLEQLVGISGKENGGDAAELAHNVLVMVCTDPSNGLMPDLNRHPSPLKGNPKRLLGLMKKLKAVNIDYHRDLLLAIVKGRPSFGSAYLEEFPYNLEDYASPSWFSTVSLAAKLVSSVGVGLHFGFLDSQSNDPPSFDSMDVKSIINCISPPPFSRSVINKGLLHSDFLVKNGTLRLLMEGLKLLNSFFRSINLSCSRKQKNLHSWASLKQEIQNEIRTLLPDPQVLLTLLSSFGSHARTDEKCLKRKADEENFAEQGGKRIKKLKTDAVDEEMDIIVAGISSVPDIPLPGEGESVAEAEAPEEPDSGKDFINVILQLWGSDLCSEPVITLKDAEIFFHSKLLDALKIYLLTMPTALEGSFEFFMNLLSNPLALPNNLQGSLLSLLVEYIKRSPTSGIAIRTPSLMYKQLQTFINLLIFSPIDDIKVQAYNLARAAMSSTGAFDRNLKEIDAWFFFLPGYTAVRSSFEVQGIEVLQSLSSAVISFLCDAISTIGNNLFKYWDALRNYNHSLKEFKDASLDFSPFIICILQKCVRLLGSESGTFSLPEKSIISVYVCSTLKYLLQTQVDAGLLSALIRSVLSEGLTDHCPSIDDSETLFCEWRPLRNLLLFAESVLNKQACCQFFNDQEAMPTVGSFTNTLDEVRNIVESGHGGEIAGISKALSSSIICTTSNELLKNFPSVLITFQRLRVPESFLSSIIFLEHSFLAGVLKLWPEMFFSGLEMVISMINSQGTIGDASAKETAQHVDFDVSESAAAVSFSLFLRQVPFHLLFPAIMSINAPSLVESLNIKDLLLARLSESSTDSVISHLRLILFWFHQIWSSYRIKPLTELERLAEICYVLVKHILAQPLASKLNSPMNAGVPLSADNIGEVAETIFCHPAVVASLVHPLHCHGDFTEGKLGESLEEILCFSGQTVHKIDHHVLDMLTATFDDLFLLSGGQHRSTLEFDDCASKLIVKAFNTLLQRLYLEVRDKFDQCTSTEDPLPLLPLFYALHALNRFISPFELLKLVHWMFGRVDASGLNVQKHFGLSALSVGLCIAADAFDILSAYLQQPMTRNVPFYMLWKSEEKFFDVNLIEEIYVQVCKFATDFNQDFAHVCLLKAVNAVYSQKYMQHGILHPLSLVLPRIIRSTPLEILSQCIYRTNMTKTKLLSLLVEMSPLHLSVFGHLFFGILDEDFNLKIKTVEKTRDSALSNTDFVMLLPAALSYLNSILMKFEKQQYKQFTNIPSFYSKLLLKGFLHWKSFVSGYVFQESYNDFLPSSIEELLNLVDSSLLGKAICMLRQYFSISVDMKLKERLKLFNSILSCSDTHVELLDCEVGEMEFCSHNQSLNLVNRVVAKISFCRMLLFPKDNQIVSLPKEAVENLQEVSLEKVSNKEGQSRMRLLKILVDTWQFMVKKFPSVSNGSTKEKISNCLQLYRYLELFIFRTIFELAMEMREDLILLESVPFLEQLTRSSLLYRFEDPTTMKILRGILVLLSEGKFSCALYLQLLVSHSQFSSTIQSITESFGCQTGAFVKPMSSILRSPVILRTKSSDDLQTTELHMKQLEIVKLLRTLLQLKPRQSSFDSGNDIGINLKELHLLLLSSYGATLSETDFEIYNLMLEIESIDNSVVDVVADMDYLWGTAVLKISKERVLDQETYDVVTNTEAVKEHRRSQFRENLPVDPKMCVTTALHFPYDRTVTDGSFSLDRLQLDNLKDIYERHVPGVENIQLYDPVFILRFSIHALSMGYIEAVEFAGLGLLAVAFVSMSSPDVGMRKLGYELIGKYKNVLENCQKTKDVMRLRLLLTYLQNGISEPWQRIPSVLALFAAESSLILLDPSHDHYTTLSKHLMHSSKVNMKSIPLFHVFFLSNAVNFRMERLWMLRLACGGLNLDDDTQIFIRNSTIETLLSFYSSPLSDNESKEIILEIVKKAAKLPRMVRYLVEHCGLFPWLSSVLSVYKGMLHENERIFFSQLLVVVIEVVNDVVSSRNIVEWLQNYALEQLMELATYLYKLLVAGSKLIKENVTLVNSVLHIMLTTLKISQKRKIYQPHFTLTFEGLFQIYQALDVFNTSRPSASSELGLKTILMGFPRVDILHMNQEKLSSFLLWAVSTAMKSDSSQIINVKDTRANLTINSEETPSEESLVSKLLRWLVASVILGKLSRKLDVNAELSEKSSFKTLQNLLENVEKGCGESNRLGFDCEEVLALSIFYLQQLLGMNFTVLPSVVSSLSLLLLRKKSKFSDFALGYRTSTLSLWSKIRCPAEANPAWRWSFYQPWKDPSCELSESQRMYEQHACQSLLVIITNVLGKKSSDDTRVLSLEDVENSGLFKWERTIAEIEL >Potri.013G094800.3.v4.1 pep chromosome:Pop_tri_v4:13:9753518:9763560:1 gene:Potri.013G094800.v4.1 transcript:Potri.013G094800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094800.v4.1 MKNNMMGMWKIIHIPDKPPIPPNQQPTVNVLASVIDPKHANTLIRRLNQIAPFENLCHVKRIRKKHLEGGKTQLSVILCLASEDNSLLNSLPQDVQELSNSYQLSPFVTKVCKYAATSKEEWEEQCKLWPTSYHPPTYNIDGITGFSAEDSLSVFSFMKVAIELAKSGDGLIVNAAVIVDPSVQQIITNGRDEIFSWHAPTNKTCIRNDCIEQSTTLISHQSNGAASLITEVLPNASPGEPESLSYVVSCLNPWKWSEQRSDTANSCYWHPLRHAAVVAIESSADRDRRLFPGLGDAEEKSFETSQSSYVGSPVKRQKTSVANVEKKEADPPDMPSNSVPERPYLCTGYDIYLVWEPCIMCAMALVHQRIRRIFYAFPNPITGALGSVHRLQGEKSLNHHYAVFRICVPEEVLDIAGNSKSC >Potri.013G094800.4.v4.1 pep chromosome:Pop_tri_v4:13:9753176:9763559:1 gene:Potri.013G094800.v4.1 transcript:Potri.013G094800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094800.v4.1 MKNNMMGMWKIIHIPDKPPIPPNQQPTVNVLASVIDPKHANTLIRRLNQIAPFENLCHVKRIRKKHLEGGKTQLSVILCLASEDNSLLNSLPQDVQELSNSYQLSPFVTKVCKYAATSKEEWEEQCKLWPTSYHPPTYNIDGITGFSAEDSLSVFSFMKVAIELAKSGDGLIVNAAVIVDPSVQQIITNGRDEIFSWHAPTNKTCIRNDCIEQSTTLISHQSNGAASLITEVLPNASPGEPESLSYVVSCLNPWKWSEQRSDTANSCYWHPLRHAAVVAIESSADRDRRLFPGLGDAEEKSFETSQSSYVGSPVKRQKTSVANVEKKEADPPDMPSNSVPERPYLCTGYDIYLVWEPCIMCAMALVHQRIRRIFYAFPNPITGALGSVHRLQGEKSLNHHYAVFRICVPEEVLDIAGNSKSC >Potri.013G094800.5.v4.1 pep chromosome:Pop_tri_v4:13:9753564:9763559:1 gene:Potri.013G094800.v4.1 transcript:Potri.013G094800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094800.v4.1 MKNNMMGMWKIIHIPDKPPIPPNQQPTVNVLASVIDPKHANTLIRRLNQIAPFENLCHVKRIRKKHLEGGKTQLSVILCLASEDNSLLNSLPQDVQELSNSYQLSPFVTKVCKYAATSKEEWEEQCKLWPTSYHPPTYNIDGITGFSAEDSLSVFSFMKVAIELAKSGDGLIVNAAVIVDPSVQQIITNGRDEIFSWHAPTNKTCIRNDCIEQSTTLISHQSNGAASLITEVLPNASPGEPESLSYVVSCLNPWKWSEQRSDTANSCYWHPLRHAAVVAIESSADRDRRLFPGLGDAEEKSFETSQSSYVGSPVKRQKTSVANVEKKEADPPDMPSNSVPERPYLCTGYDIYLVWEPCIMCAMALVHQRIRRIFYAFPNPITGALGSVHRLQGEKSLNHHYAVFRICVPEEVLDIAGNSKSC >Potri.013G094800.6.v4.1 pep chromosome:Pop_tri_v4:13:9760864:9763669:1 gene:Potri.013G094800.v4.1 transcript:Potri.013G094800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094800.v4.1 MIKGERNMNFELHGRYLQHHTNQSLVKESFIEKEAKTHKLPPNYCITTIPYQKKSNIDGITGFSAEDSLSVFSFMKVAIELAKSGDGLIVNAAVIVDPSVQQIITNGRDEIFSWHAPTNKTCIRNDCIEQSTTLISHQSNGAASLITEVLPNASPGEPESLSYVVSCLNPWKWSEQRSDTANSCYWHPLRHAAVVAIESSADRDRRLFPGLGDAEEKSFETSQSSYVGSPVKRQKTSVANVEKKEADPPDMPSNSVPERPYLCTGYDIYLVWEPCIMCAMALVHQRIRRIFYAFPNPITGALGSVHRLQGEKSLNHHYAVFRICVPEEVLDIAGNSKSC >Potri.013G094800.2.v4.1 pep chromosome:Pop_tri_v4:13:9753207:9763563:1 gene:Potri.013G094800.v4.1 transcript:Potri.013G094800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094800.v4.1 MKNNMMGMWKIIHIPDKPPIPPNQQPTVNVLASVIDPKHANTLIRRLNQIAPFENLCHVKRIRKKHLEGGKTQLSVILCLASEDNSLLNSLPQDVQELSNSYQLSPFVTKVCKYAATSKEEWEEQCKLWPTSYHPPTYNIDGITGFSAEDSLSVFSFMKVAIELAKSGDGLIVNAAVIVDPSVQQIITNGRDEIFSWHAPTNKTCIRNDCIEQSTTLISHQSNGAASLITEVLPNASPGEPESLSYVVSCLNPWKWSEQRSDTANSCYWHPLRHAAVVAIESSADRDRRLFPGLGDAEEKSFETSQSSYVGSPVKRQKTSVANVEKKEADPPDMPSNSVPERPYLCTGYDIYLVWEPCIMCAMALVHQRIRRIFYAFPNPITGALGSVHRLQGEKSLNHHYAVFRICVPEEVLDIAGNSKSC >Potri.013G094800.7.v4.1 pep chromosome:Pop_tri_v4:13:9761106:9763559:1 gene:Potri.013G094800.v4.1 transcript:Potri.013G094800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G094800.v4.1 MKVAIELAKSGDGLIVNAAVIVDPSVQQIITNGRDEIFSWHAPTNKTCIRNDCIEQSTTLISHQSNGAASLITEVLPNASPGEPESLSYVVSCLNPWKWSEQRSDTANSCYWHPLRHAAVVAIESSADRDRRLFPGLGDAEEKSFETSQSSYVGSPVKRQKTSVANVEKKEADPPDMPSNSVPERPYLCTGYDIYLVWEPCIMCAMALVHQRIRRIFYAFPNPITGALGSVHRLQGEKSLNHHYAVFRICVPEEVLDIAGNSKSC >Potri.006G272800.1.v4.1 pep chromosome:Pop_tri_v4:6:26539556:26541079:1 gene:Potri.006G272800.v4.1 transcript:Potri.006G272800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272800.v4.1 MECSSHQFDVIVVGAGIMGSSTAYQLAKRGQKTLLLEQFDFLHHRGSSHGESRTLRAAYTEDYYCDMVKESSQIWEQAQSEIGYKVYFKAQQFDMSPSDNKSLLSIISSCEKKSIPYRVLDRQQVSDRFSGLINLPEDWFGVLTDVGGVIKPTKAVSMFQALAFQRGAVLRDNMEVKNVVKDEVKGGVNVETADGEKFWGKKCVITAGAWVRKLVKTVGGLELPIQALETTVCYWRIKEGHEAKFAIGSDFPTFVSYGEPYVFGTPSLEFPGLIKISVNGGYPCDPDKRPWDPAGISLDSLKEWIKGRFSGLVDYGGPVATQSCMYSMTPDEDFVLDFLGGEFGKDVVIGGGFSGHGFKMAPVVGRVLADLLLSGEAKGVEMKYFRAQRFQDNPKGNVKGL >Potri.011G069200.2.v4.1 pep chromosome:Pop_tri_v4:11:6061890:6063707:1 gene:Potri.011G069200.v4.1 transcript:Potri.011G069200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069200.v4.1 MQASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIHQRVTKDDAMKWFQVKYEGVILNKSQNI >Potri.011G069200.1.v4.1 pep chromosome:Pop_tri_v4:11:6061890:6063912:1 gene:Potri.011G069200.v4.1 transcript:Potri.011G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069200.v4.1 MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIHQRVTKDDAMKWFQVKYEGVILNKSQNI >Potri.001G052500.1.v4.1 pep chromosome:Pop_tri_v4:1:3979439:3983403:1 gene:Potri.001G052500.v4.1 transcript:Potri.001G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G052500.v4.1 MSMPSSRQNLLLFSSSTSSSSSSSSSSLTFFILNTLFSTLLFTSAAAIPNHEASILFSWLHPSPSISSSFSNWNNLDSTPCKWTSITCSPQDFVTEINIQSVPLQIPFSLNLSSFQSLSKLIISDANITGTIPVDIGDCMSLKFIDLSSNSLVGTIPASIGKLQNLEDLIFNSNQLTGKIPVEISNCIRLKNLLLFDNRLVGYIPPELGKLFSLKVLRAGGNKDIIGKVPDELGDCSNLTVLGLADTRISGSLPVSLGKLSKLQSLSIYTTMLSGEIPPDLGNCSELVNLFLYENSLSGSIPPEIGKLHKLEQLLLWKNSLVGPIPEEIGNCTSLKMIDLSLNSLSGTIPVSIGGLFQLVEFMISNNNFSGSIPSNISNATNLMQLQLDTNQISGLIPPELGMLSKLTVFFAWQNQLEGSIPSSLASCSNLQALDLSHNSLTGSIPPGLFQLQNLTKLLLISNDISGALPPEIGNCSSLVRLRLGNNRIAGTIPKEIGGLGILNFLDLSSNRLSGPVPDEIGNCTELQMIDLSNNILQGPLSNSLSSLTGLQVLDASTNQFTGQIPASFGRLMSLNKLILSRNSFSGSIPLSLGLSSSLQLLDLSSNGLTGSIPMELGHIETLEIALNLSSNGLTGPIPPQISALTRLSILDLSHNKLEGQLSPLAGLDNLVSLNISYNNFTGYLPDNKLFRQLSPTDLAGNQGLCSSIQDSCFLNDVDRAGLPRNENDLRRSRRLKLALALLITLTVAMVIMGTIAIIRARRTIRDDDDDSELGDSWPWQFTPFQKLNFSVDQVLRCLVDTNVIGKGCSGVVYRADMDNGEVIAVKKLWPNAMAAANGCDDEKCGVRDSFSTEVKTLGSIRHKNIVRFLGCCWNRNTRLLMYDYMPNGSLGSLLHERTGNALQWELRYQILLGAAQGVAYLHHDCVPPIVHRDIKANNILIGLEFEPYIADFGLAKLVDDGDFARSSNTVAGSYGYIAPEYGYMMKITEKSDVYSYGVVVLEVLTGKQPIDPTIPDGLHVVDWVRQKRGGIEVLDPSLLSRPASEIEEMMQALGIALLCVNSSPDERPNMKDVAAMLKEIKHEREEYAKVDVLLKGSPAPDNQENKKSSGVPATSSSKAATQSLFPKSNNSSFSAFSSLYSSSSNSKTGFK >Potri.002G200700.2.v4.1 pep chromosome:Pop_tri_v4:2:16363369:16364564:1 gene:Potri.002G200700.v4.1 transcript:Potri.002G200700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200700.v4.1 MLHIFCDICIKAIDIGMRPNTHFDKTRIWNKLVSETGVGWNSELGTISASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATRDDVDPGTSNANIDCAGLEEGSGDSKEDVIPDFQTDMSSTVATQKAVAKKKARDPYEVRGRKKKTYGIGVQLLSRCNQLLESMSTKSDSTFVHMDREGYSIREVMAELHSIPGVSIEDEFHDFATEYLSLRRKREMWASMGDKQQKLRWLQRMYACTKRA >Potri.017G139900.2.v4.1 pep chromosome:Pop_tri_v4:17:14080363:14080734:-1 gene:Potri.017G139900.v4.1 transcript:Potri.017G139900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139900.v4.1 MPEPDDVSQDQIDQRFPKTKMEWGFIESLSHDAFRDPSNGFLVNDLCIFAVEVFAIKSSGSVESFIRQRT >Potri.005G246000.2.v4.1 pep chromosome:Pop_tri_v4:5:24076659:24082828:1 gene:Potri.005G246000.v4.1 transcript:Potri.005G246000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246000.v4.1 MEAADDNPKTATSILHGDLDLRIIEARRLPNMDLVSDRLRRCFTVFDSCRDPCSKERKEQQHRRHKIITSDPYVMVGVSGARVARTRVISNNQNPIWNEHFKIPLAHPAEKIEFYVKDDDMFGAEFIGIASVEVEKILSGETIKDWFPIIGLYGKPPKTGCALHVEMKFTKCEQIDNKLGVENCYFPVRHGGNVTLYQDAHVPDSSLPEIELENGNLFRHEKCWEDICHAIVEAHHLVYIVGWSIYHKVRLVREPSKPLPRGGDLNLGELLKYKSQEGVRVLLLVWDDKTSHNKFFIRTTGVMQTHDEETRKFFKHSSVNCVLSPRYPGSKLSFFKQQVVGTLYTHHQKCVIVDAQASGNNRKITAFIGGLDLCDGRYDTPEHRLFQDLDTVFQDDYHNPTFPAGTMGPRQPWHDLHCKIEGPAAYDVLTNFEQRWRKASKWSEFGRSFKRSTPWRDDSLIKLERISWIHGPSPSVPSDDPKLWVSNEDDPENWHVQVFRSIDSGSLKGFPKDVYRAEKQNLVCAKNMVIDKSIQTAYVQAIRLAQHFIYIENQYFLGSSFAWSEYKNAGAENLIPMELALKIASKIRAKERFAVYVVIPMWPEGAPTSASVQEILFWQGQTMQMMYEVIAKELKSMNLENSHPQDYLNFYCLGNREQVPGSDKSCDQTVSMSQKFQRFMVYVHAKGMIVDDEYIILGSANINERSMAGSRDTEIAMGAYQPHHTWSNKKRHPLGQVYGYRMSLWAEHLGLVDNLFKEPGSLDCVKSVNKIAEDNWKKFTAEDFTLLQGHLLKYPVEVDGNGKVSPLPGQETFPDVGGKVLGARTNLPDALTT >Potri.018G079200.2.v4.1 pep chromosome:Pop_tri_v4:18:9693542:9693793:1 gene:Potri.018G079200.v4.1 transcript:Potri.018G079200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079200.v4.1 MASHGKLSISTSPESGNGERSSAVASSSPKGQCLCSPTTHQGSFRCRLHRAPRSSAAPMMKRSKSMPPKDAPTSLSPKSVEST >Potri.011G030400.1.v4.1 pep chromosome:Pop_tri_v4:11:2484929:2486308:-1 gene:Potri.011G030400.v4.1 transcript:Potri.011G030400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030400.v4.1 MAMLPSKLLFFFSPVFIHLLVLTMAQTNLLQHFCIENHGNFSANSDYKSNLDRLISSFSSDTNNDYGFYTGSFGENIDKAYAISLCRGDKKPETCRSCIKNSSQVLSQLCPNQKEAYIWYDDCMLRYANHTIFNSMEFGPYFWMYNPVNVTDENEFNEVLNALLGRLINFAALGDSRRKFAAGNATAEKSQQTMYALVQCTPDLTQQQCSDCLNQAIKLIPTCCSKRQGGRVVSPSCHFRYEKDPFYDLASTSPLPP >Potri.017G035300.2.v4.1 pep chromosome:Pop_tri_v4:17:2333067:2337361:1 gene:Potri.017G035300.v4.1 transcript:Potri.017G035300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G035300.v4.1 MAGGSDPFQSYFEKVDGGLLKCIFCEKTLAGSTSTTRMKYHLARVGGGGVKICEKVTPDVQRAAFDKLPDRMRGSMPSSSNNIIVTADSDPAQDLEMQQQGQSLLDDFSWMDSLTWEEIVLPEETMVPSMHMPDAPETGLGIEPAVQAFETDMNNITSSLTRDVELSSGIESRELMQAVTERGSCSKMPVDKSVPSSSNNEGINAASTALRGLEMEQEEQPLSDERGRKGFLIGGETELVEEPRAPVVLMPDEPETRQRTEQAHQSFEMNLNNISSSSMRDFELRIARLILRPELMQSVVERRPSSKSPVHKKRRTGRYVLPTTKLVVGQAFERNMKGVCSWLLNDEVLCIGIYGMGGIGKTTLATHIHNQLQEKPDIFPRVCWISVPQEFSVHALQDLIAEAFGLYLGNRKDVVNRAGELWTTLSVTKCVLIIDNLWNHFPLDKVGIPLKTDGCKLILTTRSLDTCRKMDCQRIIKVEPLSEDEAWDLFIDRLGHGVTLCPEMKKTAVSIVKKCSGLPLGIMTMAGSMKGVDDVSQWRDALREPASSESGTCDTETDVFQILKFSYVQLKHKAIKDCFLYCALFPKDKKIRREDLIEYLIDEGIVKKMGSRQAQFDRGHTMLNQLENASLLEGSRDEENYRYVKMHDLIWDMAVKIMNESGSDMVQAGAQLTELPDGRWWRDNLSRVSLVENRIENIPTDFSPMCPRLSTLLLCRNYKLNLVEDSFFQHLIGLKVLDLSDTDIEKLPDSICHLTSLTALLLGWCAKLSYVPSLAKLKAMEKLDLSCTGLEDLPEGMERLEDLRYLNLDGSGVRVLRSGILPQLSKLQFLKLHQKAEVVLSIRGDEISRLYHLETLECNFRDLDDFGFFQSGRRVSQIACKVTVGRPSFSSLEDLNYTRSKSGLIKEAWFYDLMIDNAVCLFPRFFTKVVIVRCQNMRSLCPSYEIKVLSGLEILHLDGLMILETLFEAEPSELRTAGVFYNLREIVIHKCHRIKVLLPWFLSTLRLEVIVVEDCYNMQEIMGSGEVLVHGEEHSSPFGSFDTTLRVLVLKKLPNLKSIYSGRLICNSLEEITVGDCPQLTRIPITIFRSLKKIEVDPESLLNTVENVS >Potri.016G119500.2.v4.1 pep chromosome:Pop_tri_v4:16:12446019:12449163:1 gene:Potri.016G119500.v4.1 transcript:Potri.016G119500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119500.v4.1 MSQESSNDKKPTIPPYMKAISGSLGGAVEASCLQPIDVIKTRLQLDRSGNYKGIIHCGSTIVKTEGVRALWKGLTPFATHLTLKYALRMGSNAVFQSAFKDSETGKLSNQGRLMSGFGAGVLEALAIVTPFEVVKIRLQQQKGLSHELLKYKGPIHCARTIIREEGFLGLWAGAAPTVMRNGTNQAAMFTAKNAFDVLLWKKHEGDGRVLQPWQSMISGFLAGTAGPVCTGPFDVVKTRLMAQSREGGELKYKGMVHAIRTIYAEEGLLALWKGLLPRLMRIPPGQAIMWAVADQIIGLYERRYLHIASS >Potri.010G050900.1.v4.1 pep chromosome:Pop_tri_v4:10:8251890:8253723:-1 gene:Potri.010G050900.v4.1 transcript:Potri.010G050900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G050900.v4.1 MRVRSWLASTCATNSTLDSTFSFSNNQSQPPKHLASDTSSSSNSTPSTTSTATSSVSLQSNLSLQTLPSVPSLQKITPETLNVSSLCINSLKPQKNHLPITCLVVQDNLLYAASSHEINVYDRTNLSLVDSFNDKDSSLGSVKSVSFCDGKIFTAHQDCKIRAWKISSTTKHHKLVTVLPTLNDRLRRFVLPKNYVNVRRHKKLLWIKHADAVTGLAVNDNNGLIYSVSWDKSLKIWRASDLQCLESIKAHEDAVNAVAVSVDGMVYTGSADCRIRVWGKPLNEKRHVLVATLEKHKSAVNALALKDDGSVLFSGACDRSILVWEREDSANHMVVTGALRGHNKAILSLINVSDLLLSGSADRTVRIWSEGHDGKYICLSVLDGHRKPVKTLAAVRDNDNDVVSVFSGTLDGEIKKWQLSVSPCSQDIAKMNL >Potri.012G099500.2.v4.1 pep chromosome:Pop_tri_v4:12:12263167:12266330:-1 gene:Potri.012G099500.v4.1 transcript:Potri.012G099500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099500.v4.1 MGYSTQREGTNLEGSSKIGSAKESSMADKDQTTNDPTQSRERSSLEAESTKKAGQNDVLNKEEDSEVKGLPEPELETVHSGDVEGDTEESKIDVSQEQRFLGKIHFPTPKLNLSNAVRKSNQDVLKTRSGLMAPSGQHERANLEAISPKIDSTQESSELSGGIKSLALSAQHQTTKFQIKKRVDFSQIHADRNGSEEGEDSGNEMLAELETGEEEALTLSGYSGDNEEETENESKEDDSEDEFLGTENIEESDPKFEELLQTLESDTETTEQEQQTGSEEGVVVKKTTLLERIAYSSSNNLEQEEGSDGAGDILTNIEGLEEEIQKIDLESGSEDDSYQLKKEGGDVKLMTKDEKDQNRPKKSLMEWLRGYVELDEDWETVKEIKAEVVRLATELFHLLVNLMFSLPEIDLVDTMFQGLFNSLKYQCKDENSKVIHRILVLLEFSDEEVKRLKRLKQVDIPEEYSDTDQDDEFRGIELRENLIEGSHVDQLILQFFDPILCLESTLLREGEPDKGMLVKDLLSALKNDLDRCEKELKTIASRIDELKAEYKCILGPEDHNTYEMMKLKINELWSQGFESDEDESFKLNFQAQGNS >Potri.011G011600.2.v4.1 pep chromosome:Pop_tri_v4:11:1069353:1074024:1 gene:Potri.011G011600.v4.1 transcript:Potri.011G011600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G011600.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGAETRKNITDHLYKALIQAGIHTFRDVDEIRRGENKDFELQMAIQQSKISIIVFSKDYALSSWCLDELVMIMERKRNDDCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEARFVQSIVENVSKNLDPKIFYVPLHFIGRDALVQDINSWLQDGSHGVTIALLYGIGGVGKTAIAKSVFNQNYYKFEEKSFLSNFRSKDIVCLQRQLLFDILNKTIEINDHDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIIGMQNWLCKGSKIIVTTRNKGMFSANDIQQIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEGSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALKQMEMIPNFDVQKVLQISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDRGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTVLKGTTDAEKLRGLSIDMHALMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPEEMSRMNSLQELVLDSCSNLNGLNMELEHHQGRKLLQSDGIVASTSFITSLPLKLFFPSRFSTRKMLRFTSFSLPRFLESLDLSGTPIRFLPESIKDLVLLRHLNLRNCKMLEAVPEFPSHLDSLDVSLCYSLQKLANPNSWTTEGCDHLVELQDRIKQELIQKFNSHMFRIMETVCAQIQQTLRFQIAFMDGIFNVVVSVFDKDEMLRRFYEEEEEDKWLIQNEFVGNFSLKISSSPPAHRIWGFNLFISCVTSAYRGFSNVYIEIRNNTSGRSLLCQAFVFPMRYKRDVREIQSLLHTKLGGNDPTFDNGDDVSISVRSHDPAIQIRTVGVQWLHEEEGKDEVINAHNSSDDDDDAAHVAKVEIASHIVRNYNCSFHCKHRARSSTVWNFAKKGLELVFS >Potri.011G011600.3.v4.1 pep chromosome:Pop_tri_v4:11:1069477:1082752:1 gene:Potri.011G011600.v4.1 transcript:Potri.011G011600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G011600.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGAETRKNITDHLYKALIQAGIHTFRDVDEIRRGENKDFELQMAIQQSKISIIVFSKDYALSSWCLDELVMIMERKRNDDCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEARFVQSIVENVSKNLDPKIFYVPLHFIGRDALVQDINSWLQDGSHGVTIALLYGIGGVGKTAIAKSVFNQNYYKFEEKSFLSNFRSKDIVCLQRQLLFDILNKTIEINDHDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIIGMQNWLCKGSKIIVTTRNKGMFSANDIQQIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEGSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALKQMEMIPNFDVQKVLQISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDRGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWHHGDAFTVLKGTTDAEKLRGLSIDMHALMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPEEMSRMNSLQELVLDSCSNLNGLNMELEHHQGRKLLQSDGIVASTSFITSLPLKLFFPSRFSTRKMLRFTSFSLPRFLESLDLSGTPIRFLPESIKDLVLLRHLNLRNCKMLEAVPEFPSHLDSLDVSLCYSLQKLANPNSWTTEGCDHLVELQDRIKQELIQKFNSHMFRIMETVCAQIQQTLRFQVLPHPFYCFGNLHLNTFLLLIL >Potri.001G323900.2.v4.1 pep chromosome:Pop_tri_v4:1:33299976:33301178:-1 gene:Potri.001G323900.v4.1 transcript:Potri.001G323900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323900.v4.1 MKDETGDDLLTISDNSSKKTIDTEAMTYQNLDYSLEERRKIKHELQEESIMMSTKRKITTQNRKWVPRKVCFLNSFCDLQRN >Potri.001G071000.1.v4.1 pep chromosome:Pop_tri_v4:1:5372569:5375237:1 gene:Potri.001G071000.v4.1 transcript:Potri.001G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071000.v4.1 MAASLWTLFLGMLFMVSGTMGAPPRKPVDVPFGRNYVPTWAFDHIKYFNGGSEIQLQLDNYTGTGFQSKGSYLFGHFSMQMKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTIRYHSYSVLWNSYLVVFFVDDVPIRVFKNCKDLGVKFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYKSFHIDGCEASVEAKFCATQGTRWWDQKEFQDLDALQYRRLRWVRQKYTIYNYCTDRSRYPSLPPECKRDRDI >Potri.001G071000.2.v4.1 pep chromosome:Pop_tri_v4:1:5372739:5375217:1 gene:Potri.001G071000.v4.1 transcript:Potri.001G071000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071000.v4.1 MCRDMAASLWTLFLGMLFMVSGTMGAPPRKPVDVPFGRNYVPTWAFDHIKYFNGGSEIQLQLDNYTGTGFQSKGSYLFGHFSMQMKLVPGDSAGTVTAFYLSSQNSEHDEIDFEFLGNRTGQPYILQTNVFTGGKGDREQRIYLWFDPTIRYHSYSVLWNSYLVVFFVDDVPIRVFKNCKDLGVKFPFNQPMKIYSSLWNADDWATRGGLEKTDWSKAPFIASYKSFHIDGCEASVEAKFCATQGTRWWDQKEFQDLDALQYRRLRWVRQKYTIYNYCTDRSRYPSLPPECKRDRDI >Potri.001G164700.2.v4.1 pep chromosome:Pop_tri_v4:1:13999496:14004485:1 gene:Potri.001G164700.v4.1 transcript:Potri.001G164700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164700.v4.1 MENGGEEKGKSNDFYQVLGLNKDCTATELRNAYKKLALKWHPDRCSASENSRFVDEAKKKFQTIQQAYSVLSDTNKRFLYDVGVYDSEDDENGMGGFMNEMAAMMSQTKPHENVEESFEELQGLFEEIFQEDLDSFGIGCQATTCVSYSESSNSNDKRVSVDMNLKKTKVDDSSGFNSHVEKFCLGVEHQQSFKKGKGVRGGVQGGTGGRERKGRKQEVSSGYDVSSHDHGISAS >Potri.008G085500.3.v4.1 pep chromosome:Pop_tri_v4:8:5344952:5348917:1 gene:Potri.008G085500.v4.1 transcript:Potri.008G085500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085500.v4.1 MTENVSTSNLLKQTETFLDGMIYWSWQDTVSCLKSCELFLTNADSSGLVEKLIFSLLGKIAYNTDIATLAASSSSSSSSPETASRFRFSSPSKTTPESTKPRSSSKQWWFDDLIILPPMIIERVIKNMGAYGTDNDSLILTKSLLHFLKMAVLQCKRGAKRAALYSRSAYAGLADTAVHGVISMSCSFSCRGLFSVLRIVSGFGLSKDCRAKLEMLIGGMLDQATLDDLLVSGHDRGVFDVNLVLRLMRIFVHSDLLSSQKLKKVGTLIDKYLCEISPDQNLKTSKVLGVAESLPDSARDSFDGVYKAIDIYLESHPTLPFEERSRLCRCLNYEKMSLEACKDLAKNPRIPPDIAVQALKLQHSKISKGEYSACVKDVKGPSMINSSGMVLYNGDGESLSPENVQDTRMNMQWRVMELEKACREMKRRMPKLVGHDVNVMRGTTPHYSRSPLPKLC >Potri.008G085500.1.v4.1 pep chromosome:Pop_tri_v4:8:5344456:5348798:1 gene:Potri.008G085500.v4.1 transcript:Potri.008G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085500.v4.1 MIFLVCCHHISLEHLSVSGKHKFLGYIRCKEAMPQLCDLAINVNGQQTFFINQKVLSAYSEKLKKIVRREKRKSHIKNSIIEIDDFPGGPDGFELVSRFCYNHGEIKITVSNVALLHFCAVFLGMTENVSTSNLLKQTETFLDGMIYWSWQDTVSCLKSCELFLTNADSSGLVEKLIFSLLGKIAYNTDIATLAASSSSSSSSPETASRFRFSSPSKTTPESTKPRSSSKQWWFDDLIILPPMIIERVIKNMGAYGTDNDSLILTKSLLHFLKMAVLQCKRGAKRAALYSRSAYAGLADTAVHGVISMSCSFSCRGLFSVLRIVSGFGLSKDCRAKLEMLIGGMLDQATLDDLLVSGHDRGVFDVNLVLRLMRIFVHSDLLSSQKLKKVGTLIDKYLCEISPDQNLKTSKVLGVAESLPDSARDSFDGVYKAIDIYLESHPTLPFEERSRLCRCLNYEKMSLEACKDLAKNPRIPPDIAVQALKLQHSKISKGEYSACVKDVKGPSMINSSGMVLYNGDGESLSPENVQDTRMNMQWRVMELEKACREMKRRMPKLVGHDVNVMRGTTPHYSRSPLPKLC >Potri.010G111700.3.v4.1 pep chromosome:Pop_tri_v4:10:13165207:13171573:1 gene:Potri.010G111700.v4.1 transcript:Potri.010G111700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111700.v4.1 MEPNASNSLQPDHCLEITPMEVHKVVPPPHRSTIQKLKSRLKETFFPDDPLRQFKGQPLGKKWILAAKYFFPILQWGPNYSFKLFKSDIVSGLTIASLAIPQGISYAKLASLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLKQEVSPTNDPLLFLQLAFSSTFFAGLFQASLGLLRLGFIIDFLSKATLIGFMAGAAIIVSLQQLKSLLGITHFTKQMELVPVLSSVFHNTNEWSWQTVLMGFCFLVFLLLARHVSMKKPKLFWVSAGAPLVSVILSTVLVFAFKAQRHGISVIGKLQEGLNPPSWNMLHFHGSYLGLVVKTGLVTGIISLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNVIGSATSCYVTTGAFSRSAVNHNAGAKTAVSNIIMSVTVMVTLLFLMPLFQYTPNVVLGAIIVTAVIGLIDIPAACQIWKIDKFDFVVMLCAFFGVILVSVQDGLAIAVGISIFKILLQVTRPKTLVLGNIPGTDIFRNLHHYKEAMRIPGFLILSIEAPINFANTTYLKERILRWIDEYETEEDTKRQSSIHFLILDLSAVSSIDTSGVSLLKDLKKALENTGAELVLVNPGGEVLEKLQRADDVRDVMSPDALYLTVGEAVAALSSTMKGRSSNHV >Potri.008G061000.1.v4.1 pep chromosome:Pop_tri_v4:8:3668080:3671871:-1 gene:Potri.008G061000.v4.1 transcript:Potri.008G061000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G061000.v4.1 MAQNFEPFHVPQQNRKNKLRVTTQTNQEQQNPPTPLFSRQTFTSPSQSSSFFSLQTLKDMNYQPLSSQGLSLSLSFQLDNQRHNAVSVSGDSLKQNGEMKSSVVPFGPFTGYASILKTSRFLKPAQQILDDICGMINCANANFPLDGLNESEITREKNAFLSDRVEHQCKNSKLILMLDEVYRRYKLYCQHMQSVVASFETVAGLGNAAPYVCYATKIVLKHFNSLKNALLDKIQFTGKNFDDSSVTKEKNPRHGTTERGLRNQNPTLNLNFIQHPVWRSQRGLPDHAVAVLKTWLFEHFLHPYPTDSEKQALAQQTGLSRTQVSNWFINARVRLWKPMVEEVHMLESQQTQAPFDTVSQSANIASDLPLEKQPRSTQHQNAYQTKRLRNELPDVSKQRQEPRNICVNNLSGNYHSAGVSGSKGVSLALGLPQNNRTDQSWPLPMSIPHHVNLEMISMMDSAPGTGFELQKQHFGKD >Potri.001G440600.1.v4.1 pep chromosome:Pop_tri_v4:1:46624312:46628529:1 gene:Potri.001G440600.v4.1 transcript:Potri.001G440600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440600.v4.1 MPVSDPDKLITKADKLTKLSLTRWSADWRNATLLYEEAASLFRVAKKNEKAKEAFEKASKGQEMLSSPWDAAKHMESAAALAKELGNWNEVTDFYRRASELYMECGRPQPASDALAKAARALEDAMPEAAVQMYNDASAILEEDGKEQMAFDLYRAATSVYVKLEKYSDAASSLLQLGLAADKCNATNSQCKAYLGAIIVYLYAHDFKQAEKCYNDCSQVDAFLRSDQNRCASKLLSAYTEGDIEEIKRVVQSSTVSNLDHVVIKLARKLPTGDVSALKTDVGKEEEEPLDENDLT >Potri.012G041200.1.v4.1 pep chromosome:Pop_tri_v4:12:3674159:3676934:1 gene:Potri.012G041200.v4.1 transcript:Potri.012G041200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041200.v4.1 MLFRHILLHHSRRFSSSAAITPPLITPTLLKQCNSVSQVNLIHQQTLVQGLITHFSTNLISTYIAISSPSHALSLLQNLTPSPSAVYFWNALIRCSIRPGLLNHSLALFRNMWRLGWTPDNYTFPLVFKACGDLMCCRLGASIHGVVFLTGFESNVFVCNAIVAMYGRCGELDCARKLFDEMCERRVYDLVSWNSIVAVYVQRGDCKNALRLFDRMCKLGDIDMRPDVVSLVNVLPACASMGAWLHGKAVHGIAVRSGSFEDLFVGNALVDMYAKCGMVDEASKVFDRIKEKDVVSWNAMVNGYSQIGRFEDALGLFEKMREENIELNVVSWSAVIAGFAQRGLGCETLDVFREMQVCGSKPNEVTLVSLLSGCASVGALLHGKETHCYAIKCMLNFEGSDLEDDLMVINALIDMYAKCKSINVARTMFDSIEPKDKDVVSWTVMIGGYAQHGEANDALELFSWIFKQDGLVKPNCFTISCALIACARLAALRLGRQIHAYILRNHFDSAFLYVANCLIDMYAKSGDIDVARFVFDNLKQKNFVSWTSLMTGYGMHGRGKEALEVFDEMRRVGLQSDGVTLLVVLYACSHSGMIDQGIKFFNSMSKEFGVIPGQEHYACMVDLLGRAGRLNEAMELIEGMQMEPSSIVWVALLSGCRIHANVELGEHAAKQLLELNSENDGSYTLLSNIYANARRWKDVARVRSLMKNSGIRKRPGCSWVQGKKGTTTFYVADKTHPQSKQIYEILRGLTQRIKVLGYVPETSFALHDVDDEEKVDLLFEHSEKLALAYGILISAPGAPIRITKNLRVCGDCHNAITYISMIIDHEIILRDSSRFHHFKKGSCSCSGYW >Potri.002G207050.1.v4.1 pep chromosome:Pop_tri_v4:2:18078070:18090006:-1 gene:Potri.002G207050.v4.1 transcript:Potri.002G207050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207050.v4.1 MRKGETGKKRSVAGEAKTSGSHEVGVLETTKWVKNGLPPPPHNPQENKNDLYTELWYACAGPLVYVPRVGDKVFYFPQGHLEQVAAFLNEDSKTAMPIYDLPYKILCKVVHVQLKAEAKTDEVFAHITLLPVAEGDELSSNKDGESLLLHRKTRVLSFTKKLTPSDTSTQGGFSVPKRHAEESLPPLDKSQQPPAQELLAKDLHGSEWRFRHIYRGQPKRHLLTGGWSTFISSKRVVAGDSFIFLRGESGELRVGVRRAMKLENNLSANVVTAHSMQLGILSSASHAISTGSIFTIFFHPWTSPAEFIIPFDQYMKSAEIEYSIGTRFIMQFEGEECTEQSTFRIERCEGTVVGAEDVDHIRWPNSEWRILKVKWDAASDAFVHPERVSPWNIEPIEPIRKKHASLVHQGKKACIADKSLPRFLISVKDGLLHSSVEYANRSHLKVFQGQVDRDTGANKFGAFKQPTIAHLLSPPNPEWNFSPIGKDNQEQFWMHGPVYPCPSSTVSFPGGNIARLGNPNSWCSTPSSYGVHDNAVGSRSLSVPFVSHNSGSQKWRGFELKHANEVPLAAPHSGGSSYMLFGVNLENNPPELPSPQVATSVVLENHNYVPLTSQSSVSEPSKSTSGVNSDKQCRNCSSAAIPSCTKVLKYGTVLGRSVDLTQFDGYSELICELDLMFDFQESLIDGTSGWCVAYSDNEGDMIQIADCPWQEFLSAVHRIFICPKEETGKLNPVSPNPSPSE >Potri.004G086400.4.v4.1 pep chromosome:Pop_tri_v4:4:7258049:7262363:1 gene:Potri.004G086400.v4.1 transcript:Potri.004G086400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086400.v4.1 MLDMDAYYNICIIFLAEAFIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYDADRGMLVALANTGIQVMVSVPNEQLLGIGQSNSTAANWVSHNVVAHYPATNITAICVGSEVFTAVPNAASVLVNAMKFIQSALVASNLDRQIKVSTPLSSSIILDSFPPSQAFFNKTWNPVLIPMLNFLQSTGSHLMLNIYPYYDYMQSNGVIPLDYALLKPLAPNKEAVDANTLVHYSNVFDAMIDATYFAMDFLNFTNVPVMVTETGWPSKGDSNEPDATLDNANTYNSNLIRHVLNKTGTPKHPGIAVSTYIYELYNEDLKPGPVSEKNWGLFNANGEPVYILHLTGSGLVLANDTTNQTYCTAKQGADPKMLQAALDWACGPGKVDCSAMLQGEPCYQPDNVIAHATYAFNSYYNQMGKAPGTCDFNGVAAITTTNPSKIVL >Potri.004G086400.1.v4.1 pep chromosome:Pop_tri_v4:4:7257863:7262399:1 gene:Potri.004G086400.v4.1 transcript:Potri.004G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086400.v4.1 MFWSMESVFVLILLLSAAVSSFVAADDEAFIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYDADRGMLVALANTGIQVMVSVPNEQLLGIGQSNSTAANWVSHNVVAHYPATNITAICVGSEVFTAVPNAASVLVNAMKFIQSALVASNLDRQIKVSTPLSSSIILDSFPPSQAFFNKTWNPVLIPMLNFLQSTGSHLMLNIYPYYDYMQSNGVIPLDYALLKPLAPNKEAVDANTLVHYSNVFDAMIDATYFAMDFLNFTNVPVMVTETGWPSKGDSNEPDATLDNANTYNSNLIRHVLNKTGTPKHPGIAVSTYIYELYNEDLKPGPVSEKNWGLFNANGEPVYILHLTGSGLVLANDTTNQTYCTAKQGADPKMLQAALDWACGPGKVDCSAMLQGEPCYQPDNVIAHATYAFNSYYNQMGKAPGTCDFNGVAAITTTNPSHGTCVFPGSTGRMNGTMVNITAPSMNSTSAAPSARDLYNLGSTNFLVLLRVLICSIVFL >Potri.004G086400.2.v4.1 pep chromosome:Pop_tri_v4:4:7258047:7262366:1 gene:Potri.004G086400.v4.1 transcript:Potri.004G086400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086400.v4.1 MLDMDAYYNICIIFLAEAFIGVNIGTDLSDMPHPTQVVALLKAQQIRHVRLYDADRGMLVALANTGIQVMVSVPNEQLLGIGQSNSTAANWVSHNVVAHYPATNITAICVGSEVFTAVPNAASVLVNAMKFIQSALVASNLDRQIKVSTPLSSSIILDSFPPSQAFFNKTWNPVLIPMLNFLQSTGSHLMLNIYPYYDYMQSNGVIPLDYALLKPLAPNKEAVDANTLVHYSNVFDAMIDATYFAMDFLNFTNVPVMVTETGWPSKGDSNEPDATLDNANTYNSNLIRHVLNKTGTPKHPGIAVSTYIYELYNEDLKPGPVSEKNWGLFNANGEPVYILHLTGSGLVLANDTTNQTYCTAKQGADPKMLQAALDWACGPGKVDCSAMLQGEPCYQPDNVIAHATYAFNSYYNQMGKAPGTCDFNGVAAITTTNPSHGTCVFPGSTGRMNGTMVNITAPSMNSTSAAPSARDLYNLGSTNFLVLLRVLICSIVFL >Potri.007G062242.3.v4.1 pep chromosome:Pop_tri_v4:7:7072815:7074189:-1 gene:Potri.007G062242.v4.1 transcript:Potri.007G062242.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atp1 MGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKKVCGSLKLELAQYREVAAFAQFGSDLDPATQALLNRGARLTEVLKQPQYTPLPIEKEILVIYAAVNGFCDRMPLDRISQYERIITNSIKPELLEELKSGLTDEKKRELDTFLQESALTLI >Potri.007G062242.2.v4.1 pep chromosome:Pop_tri_v4:7:7072816:7074564:-1 gene:Potri.007G062242.v4.1 transcript:Potri.007G062242.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atp1 MLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESEKLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKKVCGSLKLELAQYREVAAFAQFGSDLDPATQALLNRGARLTEVLKQPQYTPLPIEKEILVIYAAVNGFCDRMPLDRISQYERIITNSIKPELLEELKSGLTDEKKRELDTFLQESALTLI >Potri.007G062242.1.v4.1 pep chromosome:Pop_tri_v4:7:7072863:7074818:-1 gene:Potri.007G062242.v4.1 transcript:Potri.007G062242.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atp1 MEFSSRASELATLLESKMTHFSTNLKLDEIGRVVSVGDGIARVYGLNEIQAGEMVEFASGVKGIALNLENENVGIVVFGSDTAIKEGDLVKRTGSIVNVPAGKAMLGRVVDALGVPIDGRGALSDHERRRVEVKAPGIIERKSVHEPMQTGLKAVDSLVPIGRGQRELIIGDRQTGKTAIAIDTILNQKQMNSRATSESEKLYCVYVAIGQKRSTVAQLVQILSEANALEYSILVAATASDPAPLQFLAPYSGCAMGEYFRDNGMHALIIYDDLSKQAVAYRQMSLLLRRPPGREAYPGDVFYLHSRLLERAAKRSDQTGAGSLTALPVIETQAGDVSAYIPTNVISITDGQICLETELFYRGIRPAINVGLSVSRVGSAAQLKAMKKVCGSLKLELAQYREVAAFAQFGSDLDPATQALLNRGARLTEVLKQPQYTPLPIEKEILVIYAAVNGFCDRMPLDRISQYERIITNSIKPELLEELKSGLTDEKKRELDTFLQESALTLI >Potri.010G155600.1.v4.1 pep chromosome:Pop_tri_v4:10:22730169:22740668:-1 gene:Potri.010G155600.v4.1 transcript:Potri.010G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155600.v4.1 MAKLYLKLFPKLVSSLAVLLLCQFGYVACQPTGFSDSRLPADEERALEDLMTTLGFQQISRSSCDNNFQGMITCNCTYENSTVCHVTGLDLSNLELDGQIQAEALTSLVFLKRIDLSKNQLDGSIPVTMGNLPSLTSLDLSTNFFKGSIPSSLVNLSSLQYLRLSRNFLNGSIPSSLGNLSSLKYLNLKYNMLSGQIPKELGNLSNLQLMYLGSNELTGQLPPELGRLRSLGYLDLNSNKLSGELPGNYASFTSELERFSVAGNRLTGQVPSFIANWTELYYLSLSGNDFEGRQLPLELLFNMSKLKYLFLSDVRSSAGFPFPKNANMTEIRRLVIRNCSISGEIPPDIGDWSSLKYLDLSFNSLTGGIPDSMKKLNLSKMFLTGNMLSGTVPPWLPHKIEDKADLSYNDFDDGPKQGEGKLNIQPNRNYIRDFIKQCRGKPKYDSLYINCGGGETVVDGKVFEADSTTSNYHSAPRKNWAYSCSGDFGSKTYDSSDYIKNEECGVCDSAGTQLYNSSRLCPLSLTYYGFCLFKGYYTVKLYFAETVYQNDEDYSNSGKRVFDVYIQGKRELKDFNIKENATGTNKTWTASFTAYVGDDHLLNIHFFWAGKGSFQVPGFSYSTDALSLNGPLVSGISVTANFKVGTGLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQMIDATRKFSPKMEIGRGRFGIVYKAELPNEIKLAVKKISPHSKQQGKDELQREIFNLKSLHHENLVQLLDGYSNKGLHLLVYDYMHKGSLHQPNSTTKLDWRARFDICLGIARGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLHDKGRILELVDKKLASSYNRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLEGEKRIDEISECDTPSTNIGGLCGACSRVLEIEPIS >Potri.010G155600.4.v4.1 pep chromosome:Pop_tri_v4:10:22730153:22740668:-1 gene:Potri.010G155600.v4.1 transcript:Potri.010G155600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155600.v4.1 MAKLYLKLFPKLVSSLAVLLLCQFGYVACQPTGFSDSRLPADEERALEDLMTTLGFQQISRSSCDNNFQGMITCNCTYENSTVCHVTGLDLSNLELDGQIQAEALTSLVFLKRIDLSKNQLDGSIPVTMGNLPSLTSLDLSTNFFKGSIPSSLVNLSSLQYLRLSRNFLNGSIPSSLGNLSSLKYLNLKYNMLSGQIPKELGNLSNLQLMYLGSNELTGQLPPELGRLRSLGYLDLNSNKLSGELPGNYASFTSELERFSVAGNRLTGQVPSFIANWTELYYLSLSGNDFEGRQLPLELLFNMSKLKYLFLSDVRSSAGFPFPKNANMTEIRRLVIRNCSISGEIPPDIGDWSSLKYLDLSFNSLTGGIPDSMKKLNLSKMFLTGNMLSGTVPPWLPHKIEDKADLSYNDFDDGPKQGEGKLNISQPNRNYIRDFIKQCRGKPKYDSLYINCGGGETVVDGKVFEADSTTSNYHSAPRKNWAYSCSGDFGSKTYDSSDYIKNEECGVCDSAGTQLYNSSRLCPLSLTYYGFCLFKGYYTVKLYFAETVYQNDEDYSNSGKRVFDVYIQGKRELKDFNIKENATGTNKTWTASFTAYVGDDHLLNIHFFWAGKGSFQVPGFSYSTDALSLNGPLVSGISVTANFKVGTGLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQMIDATRKFSPKMEIGRGRFGIVYKAELPNEIKLAVKKISPHSKQQGKDELQREIFNLKSLHHENLVQLLDGYSNKGLHLLVYDYMHKGSLHRALFEPNSTTKLDWRARFDICLGIARGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLHDKGRILELVDKKLASSYNRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLEGEKRIDEISECDTPSTNIGGLCGACSRVLEIEPIS >Potri.010G155600.2.v4.1 pep chromosome:Pop_tri_v4:10:22729198:22740669:-1 gene:Potri.010G155600.v4.1 transcript:Potri.010G155600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155600.v4.1 MAKLYLKLFPKLVSSLAVLLLCQFGYVACQPTGFSDSRLPADEERALEDLMTTLGFQQISRSSCDNNFQGMITCNCTYENSTVCHVTGLDLSNLELDGQIQAEALTSLVFLKRIDLSKNQLDGSIPVTMGNLPSLTSLDLSTNFFKGSIPSSLVNLSSLQYLRLSRNFLNGSIPSSLGNLSSLKYLNLKYNMLSGQIPKELGNLSNLQLMYLGSNELTGQLPPELGRLRSLGYLDLNSNKLSGELPGNYASFTSELERFSVAGNRLTGQVPSFIANWTELYYLSLSGNDFEGRQLPLELLFNMSKLKYLFLSDVRSSAGFPFPKNANMTEIRRLVIRNCSISGEIPPDIGDWSSLKYLDLSFNSLTGGIPDSMKKLNLSKMFLTGNMLSGTVPPWLPHKIEDKADLSYNDFDDGPKQGEGKLNIQPNRNYIRDFIKQCRGKPKYDSLYINCGGGETVVDGKVFEADSTTSNYHSAPRKNWAYSCSGDFGSKTYDSSDYIKNEECGVCDSAGTQLYNSSRLCPLSLTYYGFCLFKGYYTVKLYFAETVYQNDEDYSNSGKRVFDVYIQGKRELKDFNIKENATGTNKTWTASFTAYVGDDHLLNIHFFWAGKGSFQVPGFSYSTDALSLNGPLVSGISVTANFKVGTGLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEITIEVQGKSFTLKQMIDATRKFSPKMEIGRGRFGIVYKAELPNEIKLAVKKISPHSKQQGKDELQREIFNLKSLHHENLVQLLDGYSNKGLHLLVYDYMHKGSLHRALFEPNSTTKLDWRARFDICLGIARGLKYLHEEKRFKIVHGNIKPSNIMLDNSLTAKLSDFGLATLCDEEDPFMAIKAKGSRVYMAPEYSMGKAITVKADVYSFGVVLLEIVSGKVSADYTPNQEAEFLLDKAGVLHDKGRILELVDKKLASSYNRKQALTVLLLAMKCVNLSPTLRPKMSEVVSVLEGEKRIDEISECDTPSTNIGGLCGACSRVLEIEPIS >Potri.T125306.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:97929:100518:1 gene:Potri.T125306.v4.1 transcript:Potri.T125306.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125306.v4.1 MTASAKLLALDKPSQNENWTVVMPRRGKKRIHSLRHNTPEAEQQPWVPTELESDPEREAKLIQKMEFCIKKVESSRFYQNFSEQVENPEILDSFHRVLGFELKMPMVIYGIGSIESYETPRFQLSLAILMKRKFCWIGDVEVFDPILSATESRVLESLGCSVLSVNEQGRRRATKPMLFYMPHCEAGLYNNLLQANWELELLNHIVLFGNSFEMYEFFSEIKNSIVVESTMHVLAARKFADEYVIKTASDDYFAAFHDSSWHFFSPALDTELLLVKN >Potri.T125306.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:97930:100560:1 gene:Potri.T125306.v4.1 transcript:Potri.T125306.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125306.v4.1 MTASAKLLALDKPSQNENWTVVMPRRGKKRIHSLRHNTPEAEQQPWVPTELESDPEREAKLIQKMEFCIKKVESSRFYQNFSEQVENPEILDSFHRVLGFELKMPMVIYGIGSIESYETPRFQLSLAILMKRKFCWIGDVEVFDPILSATESRVLESLGCSVLSVNEQGRRRATKPMLFYMPHCEAGLYNNLLQANWELELLNHIVLFGNSFEMYEFFSEIKNSIVVESTMHVLAARKFADEYVIKTASDDYFAAFHDSSWHFFSPALDTELLLVKN >Potri.T125306.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:97930:100560:1 gene:Potri.T125306.v4.1 transcript:Potri.T125306.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125306.v4.1 MEFFMSGGRRRESYCSVMTASAKLLALDKPSQNENWTVVMPRRGKKRIHSLRHNTPEAEQQPWVPTELESDPEREAKLIQKMEFCIKKVESSRFYQNFSEQVENPEILDSFHRVLGFELKMPMVIYGIGSIESYETPRFQLSLAILMKRKFCWIGDVEVFDPILSATESRVLESLGCSVLSVNEQGRRRATKPMLFYMPHCEAGLYNNLLQANWELELLNHIVLFGNSFEMYEFFSEIKNSIVVESTMHVLAARKFADEYVIKTASDDYFAAFHDSSWHFFSPALDTELLLVKN >Potri.T125306.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:97932:100513:1 gene:Potri.T125306.v4.1 transcript:Potri.T125306.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125306.v4.1 MTASAKLLALDKPSQNENWTVVMPRRGKKRIHSLRHNTPEAEQQPWVPTELESDPEREAKLIQKMEFCIKKVESSRFYQNFSEQVENPEILDSFHRVLGFELKMPMVIYGIGSIESYETPRFQLSLAILMKRKFCWIGDVEVFDPILSATESRVLESLGCSVLSVNEQGRRRATKPMLFYMPHCEAGLYNNLLQANWELELLNHIVLFGNSFEMYEFFSEIKNSIVVESTMHVLAARKFADEYVIKTASDDYFAAFHDSSWHFFSPALDTELLLVKN >Potri.T125306.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:97916:100126:1 gene:Potri.T125306.v4.1 transcript:Potri.T125306.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125306.v4.1 MTASAKLLALDKPSQNENWTVVMPRRGKKRIHSLRHNTPEAEQQPWVPTELESDPEREAKLIQKMEFCIKKVESSRFYQNFSEQVENPEILDSFHRVLGFELKMPMVIYGIGSIESYETPRFQLSLAILMKRKFCWIGDVEVFDPILSATESRVLESLGCSVLSVNEQGRRRATKPMLFYMPHCEAGLYNNLLQANWELELLNHIVLFGNSFEMYEFFSEIKNSIVVESTMHVLAARKFADEYVIKTASDDYFAAFHDSSWHFFSPALDTELLLVKN >Potri.001G107200.1.v4.1 pep chromosome:Pop_tri_v4:1:8606905:8611329:1 gene:Potri.001G107200.v4.1 transcript:Potri.001G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107200.v4.1 MGVGTSNFVIRWINFLTMLLAIAVIIFGVWMSTHHDSCRRSLTLPVLGLGAVIFVISIIGFLGALKSNSILLWIYLVMLCIILVAILVFTVLAFIITNNGSGHSVPGLRYKEYQLQDYSSWFLKQLNNTKNWNRLKSCLVKTDDCNNLPRKYKTIKQYKSAKLTAIEAGCCRPPSVCGYPAVNASYYDLSFHPISSNKDCKLYKNSRATKCYNCDSCKAGVAQYMKTEWRVVAIFNVILFVVLSMIYFVGCCARRSAARSHSKA >Potri.014G194600.8.v4.1 pep chromosome:Pop_tri_v4:14:17307427:17312489:-1 gene:Potri.014G194600.v4.1 transcript:Potri.014G194600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194600.v4.1 MGLDTLPHQQPIAATRRSHSRGYSRCSLSHSGILVESWDEDHSFLDKQRPCEGHLCQEQSEYKDVYKIWQQSKNTILRDSSPQKGNHNGSKMMALVRLKFMEAKRLSTDEKGCQSKEFQDALEGSNKDLFLKFLQEPNSLFSQHLHDLQCMPPSPETRRITVLRPSKERFAGSGKKSDKLTKKQSHTGQAIGWEKSNLGYSSAFSNQKIDEYAAQPTRIVVLKPSQRKIHDVKALVSPPSSPPRMLHCEDFYDEPEDFEGQESREVAKKITRNMRENLMGHQRNGTRLSSVYSNGYIGDDSSVNKSENDCAVGNLSDTEILSLTSRHLWDYTNRFDNPYSSSSFSCASCSPESSVCREAKKQLSKRWVMMALNGRAQEQKTARRISSTLGEMLAVSDAKKFVRSKEEVSNKEQEPRGSTSCIPSHLNKEDSTPDSPRSLLRSKSVPVSSMLYDARLKDEVSHPDAGKTEVLKELTKAKIMKSSLKGKISSLFFSRNKKPSKDKSVACQSKDESQSAILGSPVPLTEKVRDDAAQCCNNCGCEKRLSPVLHGSASIAYPDLISMGTNQGIVSHEGGVAVTKPLVPVTMNENQDQPRPISVLEPPFEKDDNTILEASGSIKPGYRGIEVPLKSNLIDKSPPIESVARNLSWDDSRAETASPYPLKSSPSPAPSGAEEDEKDWFFLVQTLLTTAGLDSDLQLDSFFARWHSPGSPLDPSLRDKYANLNDKELLLEAKRRQRRSNRKLVFDSVNAALVEITGHGSDRSTKAVTCSGVQNWLVEGAQPQIVDYLWAQLKSWLCSDVRCTFGDGGDSNGLVVEMVVGKGWVDKMRVELDSLGNEIEGKLLDELVEEAVFDLTGRV >Potri.014G194600.13.v4.1 pep chromosome:Pop_tri_v4:14:17307072:17312507:-1 gene:Potri.014G194600.v4.1 transcript:Potri.014G194600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194600.v4.1 MGLDTLPHQQPIAATRRSHSRGYSRCSLSHSGILVESWDEDHSFLDKQRPCEGHLCQEQSEYKDVYKIWQQSKNTILRDSSPQKGNHNGSKMMALVRLKFMEAKRLSTDEKGCQSKEFQDALEGSNKDLFLKFLQEPNSLFSQHLHDLQCMPPSPETRRITVLRPSKERFAGSGKKSDKLTKKQSHTGQAIGWEKSNLGYSSAFSNQKIDEYAAQPTRIVVLKPSQRKIHDVKALVSPPSSPPRMLHCEDFYDEPEDFEGQESREVAKKITRNMRENLMGHQRNGTRLSSVYSNGYIGDDSSVNKSENDCAVGNLSDTEILSLTSRHLWDYTNRFDNPYSSSSFSCASCSPESSVCREAKKQLSKRWVMMALNGRAQEQKTARRISSTLGEMLAVSDAKKFVRSKEEVSNKEQEPRGSTSCIPSHLNKEDSTPDSPRSLLRSKSVPVSSMLYDARLKDEVSHPDAGKTEVLKELTKAKIMKSSLKGKISSLFFSRNKKPSKDKSVACQSKDESQSAILGSPVPLTEKVRDDAAQCCNNCGCEKRLSPVLHGSASIAYPDLISMGTNQGIVSHEGGVAVTKPLVPVTMNENQDQPRPISVLEPPFEKDDNTILEASGSIKPGYRGIEVPLKSNLIDKSPPIESVARNLSWDDSRAETASPYPLKSSPSPAPSGAEEDEKDWFFLVQTLLTTAGLDSDLQLDSFFARWHSPGSPLDPSLRDKYANLNDKELLLEAKRRQRRSNRKLVFDSVNAALVEITGHGSDRSTKAVTCSGVQNWLVEGAQPQIVDYLWAQLKSWLCSDVRCTFGDGGDSNGLVVEMVVGKGWVDKMRVELDSLGNEIEGKLLDELVEEAVFDLTGRV >Potri.005G176700.1.v4.1 pep chromosome:Pop_tri_v4:5:18259209:18262397:-1 gene:Potri.005G176700.v4.1 transcript:Potri.005G176700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176700.v4.1 MPNPRFITFILIFVNVVALVTPKSTIEPCSNSDSCNALLAYTLYTDLKVSEVASLFQIDPVALLTANAIDISYPDVENHILPSQLFLKIPITCSCVDGIRKSVSTHYKTRPSDTLSTIADSIYAGLVSADQIKEANSIDDPSVLDVGQSLVVPLPCTCFNGTDNSLPAIYLSYVVKEVDTLAAIAARYATTLTDLMNVNAMGSVAIMAGDILAVPLPACASKFPRYAFDFGLIVPNGSYAISASHCVQCSCGPGNLNLYCMPASLAVSCSSMQCRNSNLMLGNVTWQQSSAGCKVTSCSYGGYVNGTIIATLSTSLQPRCPGLQQFPPLVAPPTTVIKDSTFAPAPAPQSDGSSTSTPTPKTGIVPTTRSLPGLPPASGPSGSISSSFSADPSSTLVIAAVLFLFAMASIPL >Potri.017G138800.1.v4.1 pep chromosome:Pop_tri_v4:17:14005168:14006771:-1 gene:Potri.017G138800.v4.1 transcript:Potri.017G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138800.v4.1 MVVKVYGPAMAVCPQRVMACLLEKGVEFDLVHVDLDSGEQKLPEFLLKQPFGQVPVVEDGDFKLFESRAIIRYYAAKYEDRGPNLLGNTLEEKALVDQWLEIEAHNFNDLVFNIVFQVVILPRIGQQGDSELVRTYEEKLEKVLDVYEQRLSKSKYLAGDSFTLADLSHLPATRYLVNEAGLGHLVKDRKKLNAWWEDISSRPAWKKLINLAGF >Potri.018G040301.4.v4.1 pep chromosome:Pop_tri_v4:18:3204424:3206815:-1 gene:Potri.018G040301.v4.1 transcript:Potri.018G040301.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040301.v4.1 MENTIAEEKMILIPKDKDRKKGGLRTMPFIIVNEAFERVASYGLMPNMIFYLMNNYRLEAANGSTILFLWSAMSNGLSNFGAFLSDSFMGRYLVISLGSFCSLLGMILLWLTAMIPQLQPPPCDRFTETCSSATAGQMAILFFSFGLISIGAGCIRPCSNAFGADQLDNEENPNNESVLQSFFNWYYAATGLSTIIAFTVIVYIQDNLGWKVGFAVPAILMFLSALTFLVGSSQYIKVKASSSLFTGFVQVVVAAFRNRKLNLSHGSIEQHYHSDDSEFQVPTDNLSTLCRCLNRTCIIIDPDRDVNLDGSASNPWRLCTVDQVESLKALLRVIPIWTTGIMMHINLNQNSFATLQANTMDRNILNFELPAGSLNVFLVLTLTIWLTFYDRILLPLLARFTGRPRGGLSPKVRIGIGLLVPVAARAMSAVVETIRRKTAIEEGLEDEPDGVVNVSSVAFATNNPAWIG >Potri.018G040301.5.v4.1 pep chromosome:Pop_tri_v4:18:3204490:3206793:-1 gene:Potri.018G040301.v4.1 transcript:Potri.018G040301.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040301.v4.1 MENTIAEEKMILIPKDKDRKKGGLRTMPFIIVNEAFERVASYGLMPNMIFYLMNNYRLEAANGSTILFLWSAMSNGLSNFGAFLSDSFMGRYLVISLGSFCSLLGMILLWLTAMIPQLQPPPCDRFTETCSSATAGQMAILFFSFGLISIGAGCIRPCSNAFGADQLDNEENPNNESVLQSFFNWYYAATGLSTIIAFTVIVYIQDNLGWKVGFAVPAILMFLSALTFLVGSSQYIKVKASSSLFTGFVQVVVAAFRNRKLNLSHGSIEQHYHSDDSEFQVPTDNLRCLNRTCIIIDPDRDVNLDGSASNPWRLCTVDQVESLKALLRVIPIWTTGIMMHINLNQNSFATLQANTMDRNILNFELPAGSLNVFLVLTLTIWLTFYDRILLPLLARFTGRPRGGLSPKVRIGIGLLVPVAARAMSAVVETIRRKTAIEEGLEDEPDGVVNVSSVAFATNNPAWIG >Potri.018G040301.3.v4.1 pep chromosome:Pop_tri_v4:18:3204493:3206798:-1 gene:Potri.018G040301.v4.1 transcript:Potri.018G040301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040301.v4.1 MENTIAEEKMILIPKDKDRKKGGLRTMPFIIVNEAFERVASYGLMPNMIFYLMNNYRLEAANGSTILFLWSAMSNGLSNFGAFLSDSFMGRYLVISLGSFCSLLGMILLWLTAMIPQLQPPPCDRFTETCSSATAGQMAILFFSFGLISIGAGCIRPCSNAFGADQLDNEENPNNESVLQSFFNWYYAATGLSTIIAFTVIVYIQDNLGWKVGFAVPAILMFLSALTFLVGSSQYIKVKASSSLFTGFVQVVVAAFRNRKLNLSHGSIEQHYHSDDSEFQVPTDNLRYTSVNTMMTRSFASMLDYPNHLSLTFLSTLCRCLNRTCIIIDPDRDVNLDGSASNPWRLCTVDQVESLKALLRVIPIWTTGIMMHINLNQNSFATLQANTMDRNILNFELPAGSLNVFLVLTLTIWLTFYDRILLPLLARFTGRPRGGLSPKVRIGIGLLVPVAARAMSAVVETIRRKTAIEEGLEDEPDGVVNVSSVAFATNNPAWIG >Potri.010G086100.1.v4.1 pep chromosome:Pop_tri_v4:10:11239251:11240877:1 gene:Potri.010G086100.v4.1 transcript:Potri.010G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086100.v4.1 MKAYSASTSQSSVKSSATNPNTTAMEERDSCYFPGCRKDANCNCDICLASINATLDLMPVTIQKSSLTKLSTSRANVECTPPSFDTSIISTPRSISRPKMDSPALKSTARLTLNQKKVKKKKERSFGSCGVLFWLVLGSSLLFRVETGFSWGVYRVLRPVFSSDMVRSIGERSWAVQDLNRRLRFLQSELKGFVANGKVSNCSFMDSIWEINQDGLLLNSRCVLYKSAMEEVSIWGWPLQTAGLLKTEFSSRSFTVLSGRVTEWSDGRIGYSIRKANTSWVHRNWAASVVQLDPNTWILEYERSLILNSSTLFSAVAEIFKYRMSRAMKSMNPVFWLFSDFEQQYRVFTAKDRVNIIPT >Potri.018G082700.3.v4.1 pep chromosome:Pop_tri_v4:18:10034059:10034643:1 gene:Potri.018G082700.v4.1 transcript:Potri.018G082700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082700.v4.1 MIEYHITLLCSQINLAFLHHSRFFMARLIALPLILILLSLSHGSSDARKIPCPEKGNVLLPENLVLNVLPRGPAPPSSPSGGGNPIPILAGTGTILGSHPSHGEGN >Potri.001G037400.1.v4.1 pep chromosome:Pop_tri_v4:1:2720142:2721986:1 gene:Potri.001G037400.v4.1 transcript:Potri.001G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037400.v4.1 MDLWHKMIFPVRRVWLSVSARVKARKNGANLLKLHNDVQTCGYEDVQVMWEILRRSESELMASHPKRKQRPFWRVFVWSNHSAASSFSANHS >Potri.005G188000.1.v4.1 pep chromosome:Pop_tri_v4:5:19550366:19556771:1 gene:Potri.005G188000.v4.1 transcript:Potri.005G188000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188000.v4.1 MVLVSAARDYVNRMLQDISGMKVLILDSQTVSIVSVVYSQSELLQKEVFLVELVDSISKSKESMSHLKAVYFLRPTLENIQHLRRQLANPRFGESHLFFSNMLKDTQIHILADSDEQEVVQQVQEYYADFVAIDPYHFTLNIPSNHMYMLPAVVDPPGLQQFCDRIVDGISTVFLALKRRPVIRYQRTSDIAKRIAQETSKLMYQQESGLFDFRRTEISPLLLIVDRRDDPVTPLLNQWTYQAMVHELIGIHDNKVDLSGTGKLPKDQQEVVLSSEQDAFFKANMYENFGDIGMSIKRMVDDFQQVAKSNQNIQTIEDMAKFVDSYPEYRKMHGNVSKHVTLVTEMSKIVGERRLMLVSEREQDLACNGGQVAAFEAVTNLLNNESVSDIDRLHLVMLYALRYEKESPVQLMQLFNKLASQSPKYKPGLVQFLLKQAGVDKRAGDLYGNRDLLNIARNMARGLKGVENVYTQHQPLLFQTMESIIKGRLRDVDYPFVGNHFQQGRPQDVVIFIVGGTTYEESRSVALQNASNSGTRFILGGSVVLNSKRFLKDLEEAQRIAKSSTNVV >Potri.009G009800.2.v4.1 pep chromosome:Pop_tri_v4:9:1916556:1921419:1 gene:Potri.009G009800.v4.1 transcript:Potri.009G009800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009800.v4.1 METRSFRPESHVAQQSRRDKLRGQQSLTSVQYLDDYPNSLERISVSPGLSPDLVHVRNNRNDNTIYDSTMFSSEILNFATSSHVLSAPKVSIVDQELGAVPLNRPILAEDSSFTGGSVGGERNQKPMFVGEVLSNNARVSNISTSRQYLMPGYNGNQNVQLPSTLRNTFGEISSEDSIKQLRVMQVPSLPPYQNAAQDVIPSGCFRPRMNERILHPSFVTESTASHFDNNGSTWMSRPLENYHHWSTGELGLVERTSDQEMMTITSDANTQGLSLSLSSINPPSKVEVTHFGEEYASEHLQLKVADRVSQESHQDSKFSKSSSLCALPKPSIITKSCGKSIHDIVGTSTHALRNTGPLGPFTGYATILKSSKFLKPAQQLLEEFSSRTGPKLTRIFEMSEDQVTAPALADIVNEANENSGTNAKNYSGIPSSTFYCSNKASGGDDVGGSGGSCGSYGPEYQQKKAKLLFLQEEVCRRYKQYHQQMQMVASSFESVASLSAATPYVSLALKTVSSNFRSLKHGISDQLKLVTKALGDDLFSRNTVAVGSKGDTITSRSIYMDQSIQKNKSGGVSVGYHEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATRTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGLAEISGKNDGNSPEGNIQSNDEQTSNKLGKNSMLNKQLECSGIGSSGSSGEQLDEEQWSEGKRSRVEFQVPTTMDGSLMNFLPYQRSGIDNGGAVSLTLGLRQGIESAQHQIQLQQHNGQFKQSFGGQMIHDFVG >Potri.009G009800.6.v4.1 pep chromosome:Pop_tri_v4:9:1916458:1921404:1 gene:Potri.009G009800.v4.1 transcript:Potri.009G009800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009800.v4.1 METRSFRPESHVAQQSRRDKLRGQQSLTSVQYLDDYPNSLERISVSPGLSPDLVHVRNNRNDNTIYDSTMFSSEILNFATSSHVLSAPKVSIVDQELGAVPLNRPILAEDSSFTGMTSHPVLSNFNASHKASSCDPQGCGNWRSLDSQQSYDLMVNYAGGSVGGERNQKPMFVGEVLSNNARVSNISTSRQYLMPGYNGNQNVQLPSTLRNTFGEISSEDSIKQLRVMQVPSLPPYQNAAQDVIPSGCFRPRMNERILHPSFVTESTASHFDNNGSTWMSRPLENYHHWSTGELGLVERTSDQEMMTITSDANTQGLSLSLSSINPPSKVEVTHFGEEYASEHLQLKVADRVSQESHQDSKFSKSSSLCALPKPSIITKSCGKSIHDIVGTSTHALRNTGPLGPFTGYATILKSSKFLKPAQQLLEEFSSRTGPKLTRIFEMSEDQVTAPALADIVNEANENSGTNAKNYSGIPSSTFYCSNKASGGDDVGGSGGSCGSYGPEYQQKKAKLLFLQEEVCRRYKQYHQQMQMVASSFESVASLSAATPYVSLALKTVSSNFRSLKHGISDQLKLVTKALGDDLFSRNTVAVGSKGDTITSRSIYMDQSIQKNKSGGVSVGYHEPQQHIWRPQRGLPERSVAILRAWLFEHFLHP >Potri.009G009800.7.v4.1 pep chromosome:Pop_tri_v4:9:1917666:1921488:1 gene:Potri.009G009800.v4.1 transcript:Potri.009G009800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009800.v4.1 METRSFRPESHVAQQSRRDKLRGQQSLTSVQYLDDYPNSLERISVSPGLSPDLVHVRNNRNDNTIYDSTMFSSEILNFATSSHVLSAPKVSIVDQELGAVPLNRPILAEDSSFTGMTSHPVLSNFNASHKASSCDPQGCGNWRSLDSQQSYDLMVNYAGGSVGGERNQKPMFVGEVLSNNARVSNISTSRQYLMPGYNGNQNVQLPSTLRNTFGEISSEDSIKQLRVMQVPSLPPYQNAAQDVIPSGCFRPRMNERILHPSFVTESTASHFDNNGSTWMSRPLENYHHWSTGELGLVERTSDQEMMTITSDANTQGLSLSLSSINPPSKVEVTHFGEEYASEHLQLKVADRVSQESHQDSKFSKSSSLCALPKPSIITKSCGKSIHDIVGTSTHALRNTGPLGPFTGYATILKSSKFLKPAQQLLEEFSSRTGPKLTRIFEMSEDQVTAPALADIVNEANENSGTNAKNYSGIPSSTFYCSNKASGGDDVGGSGGSCGSYGPEYQQKKAKLLFLQEEVCRRYKQYHQQMQMVASSFESVASLSAATPYVSLALKTVSSNFRSLKHGISDQLKLVTKALGDDLFSRNTVAVGSKGDTITSRSIYMDQSIQKNKSGGVSVGYHEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATRTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGLAEISGKNDGNSPEGNIQSNDEQTSNKLGKNSMLNKQLECSGIGSSGSSGEQLDEEQWSEGKRSRVEFQVPTTMDGSLMNFLPYQRSGIDNGGAVSLTLGLRQGIESAQHQIQLQQHNGQFKQSFGGQMIHDFVG >Potri.009G009800.1.v4.1 pep chromosome:Pop_tri_v4:9:1916533:1921469:1 gene:Potri.009G009800.v4.1 transcript:Potri.009G009800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009800.v4.1 METRSFRPESHVAQQSRRDKLRGQQSLTSVQYLDDYPNSLERISVSPGLSPDLVHVRNNRNDNTIYDSTMFSSEILNFATSSHVLSAPKVSIVDQELGAVPLNRPILAEDSSFTGMTSHPVLSNFNASHKASSCDPQGCGNWRSLDSQQSYDLMVNYAGGSVGGERNQKPMFVGEVLSNNARVSNISTSRQYLMPGYNGNQNVQLPSTLRNTFGEISSEDSIKQLRVMQVPSLPPYQNAAQDVIPSGCFRPRMNERILHPSFVTESTASHFDNNGSTWMSRPLENYHHWSTGELGLVERTSDQEMMTITSDANTQGLSLSLSSINPPSKVEVTHFGEEYASEHLQLKVADRVSQESHQDSKFSKSSSLCALPKPSIITKSCGKSIHDIVGTSTHALRNTGPLGPFTGYATILKSSKFLKPAQQLLEEFSSRTGPKLTRIFEMSEDQVTAPALADIVNEANENSGTNAKNYSGIPSSTFYCSNKASGGDDVGGSGGSCGSYGPEYQQKKAKLLFLQEEVCRRYKQYHQQMQMVASSFESVASLSAATPYVSLALKTVSSNFRSLKHGISDQLKLVTKALGDDLFSRNTVAVGSKGDTITSRSIYMDQSIQKNKSGGVSVGYHEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATRTGLSRNQVSNWFINARVRVWKPMVEEIHMLETKGLAEISGKNDGNSPEGNIQSNDEQTSNKLGKNSMLNKQLECSGIGSSGSSGEQLDEEQWSEGKRSRVEFQVPTTMDGSLMNFLPYQRSGIDNGGAVSLTLGLRQGIESAQHQIQLQQHNGQFKQSFGGQMIHDFVG >Potri.009G009800.4.v4.1 pep chromosome:Pop_tri_v4:9:1916504:1921315:1 gene:Potri.009G009800.v4.1 transcript:Potri.009G009800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009800.v4.1 METRSFRPESHVAQQSRRDKLRGQQSLTSVQYLDDYPNSLERISVSPGLSPDLVHVRNNRNDNTIYDSTMFSSEILNFATSSHVLSAPKVSIVDQELGAVPLNRPILAEDSSFTGMTSHPVLSNFNASHKASSCDPQGCGNWRSLDSQQSYDLMVNYAGGSVGGERNQKPMFVGEVLSNNARVSNISTSRQYLMPGYNGNQNVQLPSTLRNTFGEISSEDSIKQLRVMQVPSLPPYQNAAQDVIPSGCFRPRMNERILHPSFVTESTASHFDNNGSTWMSRPLENYHHWSTGELGLVERTSDQEMMTITSDANTQGLSLSLSSINPPSKVEVTHFGEEYASEHLQLKVADRVSQESHQDSKFSKSSSLCALPKPSIITKSCGKSIHDIVGTSTHALRNTGPLGPFTGYATILKSSKFLKPAQQLLEEFSSRTGPKLTRIFEMSEDQVTAPALADIVNEANENSGTNAKNYSGIPSSTFYCSNKASGGDDVGGSGGSCGSYGPEYQQKKAKLLFLQEEVCRRYKQYHQQMQMVASSFESVASLSAATPYVSLALKTVSSNFRSLKHGISDQLKLVTKALGDDLFSRNTVAVGSKGDTITSRSIYMDQSIQKNKSGGVSVGYHEPQQHIWRPQRGLPERSVAILRAWLFEHFLHPYPTDTDKHMLATRTGLSRNQCRCQIGSLMPAFACGNLWLRKYICLKQKAWQKFLERMMEILQRVISSQMMNKPQTNLVKIPC >Potri.001G201300.2.v4.1 pep chromosome:Pop_tri_v4:1:20113148:20115112:-1 gene:Potri.001G201300.v4.1 transcript:Potri.001G201300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201300.v4.1 MERESLLNGNNSVDLVKIGVCYMLQSVMAETALIALTSRFFFLVKTSPLLDANMLEYLAGTDNRFPMGRLNRLEKAAAENMDGGDAEDTESEDDDDDEDDEDDDDDDGEDESEDEDDSDDEPFGDGESDDDDDDDSDEYDDEDDDDGDEEDEEDEESEEEDDKEMQAQPPSERKK >Potri.015G072732.1.v4.1 pep chromosome:Pop_tri_v4:15:9822177:9822876:-1 gene:Potri.015G072732.v4.1 transcript:Potri.015G072732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072732.v4.1 MVPVLVPAKLLGCFWATLLCLLYKGRCGYAWRELRCSQPSVMLLFMFLLHTSTHSIKLASYCSQTPLSFRYS >Potri.018G041650.1.v4.1 pep chromosome:Pop_tri_v4:18:3297401:3299490:-1 gene:Potri.018G041650.v4.1 transcript:Potri.018G041650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G041650.v4.1 MERQSTSRNKHKGERAGGHTERESQENRENRTQQGEQKQNNRKRKSKEHEGSLKTGASPSACRFVQSLCKSIIPSPASPWPASDPKLELKSLPKHLKCVYLGEDETLPMIIVNDQTEVCEEKLVRVV >Potri.001G471100.10.v4.1 pep chromosome:Pop_tri_v4:1:49429988:49430297:-1 gene:Potri.001G471100.v4.1 transcript:Potri.001G471100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471100.v4.1 MTTKMRRTECMQILPSPALYLTNHDDLELTFTDVKVPIYISASLSLQ >Potri.001G471100.2.v4.1 pep chromosome:Pop_tri_v4:1:49429987:49433236:-1 gene:Potri.001G471100.v4.1 transcript:Potri.001G471100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471100.v4.1 MGGAVGKAGSPQKAWIPETKIETKVVEAMRRRETHGSSIKSFNSIILKFPKIDESFRKCKATFEQFDEDSNGSIDKEELRKCFHKLETAFTDEEINDLFETCDVNEDMGMKFNEFIVLLCLVYLLKDDPAIPQAKSRIGMPDLEATFETLVGAFVFLDKNKDGYVSKSEMVQAINESGERSSGRIAMKRFEEMDWDRNGMVNFKEFLFAFTNWVGIDDNEDEED >Potri.004G183750.1.v4.1 pep chromosome:Pop_tri_v4:4:19742802:19746287:-1 gene:Potri.004G183750.v4.1 transcript:Potri.004G183750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183750.v4.1 MLLDEPGGVASILEKLLRSKNKDRALVAFQIAFDLVENEDQTFLLYVRDLFSMCQPSDSTQNDHATDPREGMYAERSYLLIPKTIKQPIEKSGSVCHNATLYANAIMHAGTAVGTFVRENLDLLRKRGNWAQFSAIAGLGVIYRDNREQLQLQQGESQMSPYVFESPSGVSCIAYTKGGALCAVDLYYANHGEEIKQCIRDSLRGTNVEVVQHGACLGLGLAALGTAGENIYDDIKNVLHADSAVAGEAAGLQAIYLLVLVRHNMRRLLVYGREEEADTN >Potri.009G160800.1.v4.1 pep chromosome:Pop_tri_v4:9:12438019:12438785:1 gene:Potri.009G160800.v4.1 transcript:Potri.009G160800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160800.v4.1 MAATFASSSAVTGLGSSSLSSPSRASSPKKICLSSGFVKSPVAARSPLRLAGTHGGKFMCFERDWLRKDLNVIGFGLIGWLAPSSIPAIGGKSLSGLFFESIGTELAHFPTPPPLTSQFWLWLVTWHLGLFICLTLGQIGFKGRTDEYF >Potri.001G118100.2.v4.1 pep chromosome:Pop_tri_v4:1:9600144:9601882:-1 gene:Potri.001G118100.v4.1 transcript:Potri.001G118100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118100.v4.1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEFVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIETWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Potri.001G118100.3.v4.1 pep chromosome:Pop_tri_v4:1:9600143:9601882:-1 gene:Potri.001G118100.v4.1 transcript:Potri.001G118100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118100.v4.1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEFVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIETWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Potri.005G010133.8.v4.1 pep chromosome:Pop_tri_v4:5:867649:875369:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTKLRLKSDCEKEIQEVVAQIRRTYDFKLKDLEYEFLRKKKEMDDNQSKVLMNKILAEAFRTKCKDNRASRQQEMTSGVMQQLLQPSQPSTQRPSIVTGPYSTGLPAVSLQTTPTSSLPAPPVQAVHCSALFSATPTRPPHISSISPTTSNLQVGTEIRAPAPHLQHFRPSASMSATSLSAFPSGILQHIPTTSPTLSEFPSLAPATVQQPGPRIMTNLLKSMGVFPSRTSFPRPESLMDVDNQTSTEIPSVAPATVQQSGPQIMTNLLESMGIFPSRTSLSRPESLLDVDNQTSTDATQPCSFPPLTDLNYNINPLVQESEVVCLSDDD >Potri.005G010133.6.v4.1 pep chromosome:Pop_tri_v4:5:867650:875511:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTKLRLKSDCEKEIQEVVAQIRRTYDFKLKDLEYEFLRKKKEMDDNQSKVLMNKILAEAFRTKCKDNRASRQQEMTSGVMQQLLQPSQPSTQRPSIVTGPYSTGLPAVSLQTTPTSSLPAPPVQAVHCSALFSATPTRPPHISSISPTTSNLQVGTEIRAPAPHLQHFRPSASMSATSLSAFPSGILQHIPTTSPTLSEFPSLAPATVQQPGPRIMTNLLKSMGVFPSRTSFPRPESLMDVDNQTSTEIPSVAPATVQQSGPQIMTNLLESMGIFPSRTSLSRPESLLDVDNQTSTDATQPCSFPPLTDLNYNINPLVQESEVVCLSDDD >Potri.005G010133.12.v4.1 pep chromosome:Pop_tri_v4:5:867649:875370:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTVCFLLT >Potri.005G010133.7.v4.1 pep chromosome:Pop_tri_v4:5:867650:875390:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTKLRLKSDCEKEIQEVVAQIRRTYDFKLKDLEYEFLRKKKEMDDNQSKVLMNKILAEAFRTKCKDNRASRQQEMTSGVMQQLLQPSQPSTQRPSIVTGPYSTGLPAVSLQTTPTSSLPAPPVQAVHCSALFSATPTRPPHISSISPTTSNLQVGTEIRAPAPHLQHFRPSASMSATSLSAFPSGILQHIPTTSPTLSEFPSLAPATVQQPGPRIMTNLLKSMGVFPSRTSFPRPESLMDVDNQTSTEIPSVAPATVQQSGPQIMTNLLESMGIFPSRTSLSRPESLLDVDNQTSTDATQPCSFPPLTDLNYNINPLVQESEVVCLSDDD >Potri.005G010133.13.v4.1 pep chromosome:Pop_tri_v4:5:867649:875363:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTVCFLLT >Potri.005G010133.10.v4.1 pep chromosome:Pop_tri_v4:5:867649:875497:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTKLRLKSDCEKEIQEVVAQIRRTYDFKLKDLEYEFLRKKKEMDDNQSKVLMNKILAEAFRTKCKDNRASRQQEMTSGVMQQLLQPSQPSTQRPSIVTGPYSTGLPAVSLQTTPTSSLPAPPVQAVHCSALFSATPTRPPHISSISPTTSNLQVGTEIRAPAPHLQHFRPSASMSATSLSAFPSGILQHIPTTSPTLSEFPSLAPATVQQPGPRIMTNLLKSMGVFPSRTSFPRPESLMDVDNQTSTEIPSVAPATVQQSGPQIMTNLLESMGIFPSRTSLSRPESLLDVDNQTSTDATQPCSFPPLTDLNYNINPLVQESEVVCLSDDD >Potri.005G010133.9.v4.1 pep chromosome:Pop_tri_v4:5:867653:875368:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTKLRLKSDCEKEIQEVVAQIRRTYDFKLKDLEYEFLRKKKEMDDNQSKVLMNKILAEAFRTKCKDNRASRQQEMTSGVMQQLLQPSQPSTQRPSIVTGPYSTGLPAVSLQTTPTSSLPAPPVQAVHCSALFSATPTRPPHISSISPTTSNLQVGTEIRAPAPHLQHFRPSASMSATSLSAFPSGILQHIPTTSPTLSEFPSLAPATVQQPGPRIMTNLLKSMGVFPSRTSFPRPESLMDVDNQTSTEIPSVAPATVQQSGPQIMTNLLESMGIFPSRTSLSRPESLLDVDNQTSTDATQPCSFPPLTDLNYNINPLVQESEVVCLSDDD >Potri.005G010133.5.v4.1 pep chromosome:Pop_tri_v4:5:867707:875574:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTKLRLKSDCEKEIQEVVAQIRRTYDFKLKDLEYEFLRKKKEMDDNQSKVLMNKILAEAFRTKCKDNRASRQQEMTSGVMQQLLQPSQPSTQRPSIVTGPYSTGLPAVSLQTTPTSSLPAPPVQAVHCSALFSATPTRPPHISSISPTTSNLQVGTEIRAPAPHLQHFRPSASMSATSLSAFPSGILQHIPTTSPTLSEFPSLAPATVQQPGPRIMTNLLKSMGVFPSRTSFPRPESLMDVDNQTSTEIPSVAPATVQQSGPQIMTNLLESMGIFPSRTSLSRPESLLDVDNQTSTDATQPCSFPPLTDLNYNINPLVQESEVVCLSDDD >Potri.005G010133.11.v4.1 pep chromosome:Pop_tri_v4:5:868320:875373:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTVCFLLT >Potri.005G010133.4.v4.1 pep chromosome:Pop_tri_v4:5:867650:875499:1 gene:Potri.005G010133.v4.1 transcript:Potri.005G010133.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010133.v4.1 MGNDTKVSRKGKDEESNDIKGRNIGNRSSSSLGAANDTFGLRKSARETSFKKNLTPSPSSSRKSERIEKQTPPAIPSVTRKSERLVEKQSLPTPSRRPEKGKNQSSSSSSGSTKCGKSSGSLIMKEKHKKEKSVKQLETEEVGNNDKPVIKTVLVGIKRMDARAYRELFKQKQKKAKLEGSEDLIVNRTPGVDTEVKSGCKVMSSKQKRSIDDLNFDATEMVSNEDGDAAPYECGRTDSVDSCSERQRLKKRNKVSNNNTDSPSLKAALIGTSGAPVHKMSQVMPSSVGLLNTTDANHVSNFSQLTSKLSQVLKADMVGYNGGRNLHDDSEKSLHLFLKPEIAKLCEILQLPENVKVMVEQFREYVLNNHHVSREPPSLLQGFLISLCWTAASMLKHKLDHKESLALAKEHLNFSCKKDEADFVYSKLRCLRKLFLYRTGTCKVAGSPKASGFSLEDFGQNQSNGRSSLSTPSNKQKVRMEVENLRSGQEFSINQVLSHLELAQKDYSKSIKDIEKKCDKQMRKLLQRQQEEREEFEKKYEQDKAELEHKQRTEAAVIRLHSNSSVDKLKMLDNVYAKEFEKLKRQMDMRLNNLLKLQLATRNKLQERKAQWIEGVKSWAHAELISKPPANESGYDQENTVTLNSCSREQTPKRVQSMPDGDVPLEVTETVSSNEDVLPGVMAASKPMSDGAASSMLDQEVPLEVPQTASARDVSEDVVSVNSSPCEEQIPDLKITLGIPEANSCNDGPENSIHKSSSEDGSGRVALMVPDREFPLGVTEIVSSTGGMENSALSPSPSEGQTSARTTSCIDGREVLLEVPETAPPEAEEAVNTALDKDGVASMELGNAIEVDKQNGAVCILNQESHRDVAAVNLQNGESLLEVSENNRVNQSDEVVPSGVCETPVVGSGTTGQEKSRVCVTTLACGTGVDQQAGVLPSGGFETATVAEVGSGPTSREIDRMPAVASDSSQPTEPFRLQDRAAQFCDNWIAFQQSDASASQPVVVSNQSPNDAPVREHTLHLLPSIDSPTSSQLTTSFAQHVPIDLIAVGGPQTHISNMRTEPVTSRISNHSATAPAVRMPVSTSQDPLQNELDRIRTETDQIIKIHEDTKLRLKSDCEKEIQEVVAQIRRTYDFKLKDLEYEFLRKKKEMDDNQSKVLMNKILAEAFRTKCKDNRASRQQEMTSGVMQQLLQPSQPSTQRPSIVTGPYSTGLPAVSLQTTPTSSLPAPPVQAVHCSALFSATPTRPPHISSISPTTSNLQVGTEIRAPAPHLQHFRPSASMSATSLSAFPSGILQHIPTTSPTLSEFPSLAPATVQQPGPRIMTNLLKSMGVFPSRTSFPRPESLMDVDNQTSTEIPSVAPATVQQSGPQIMTNLLESMGIFPSRTSLSRPESLLDVDNQTSTDATQPCSFPPLTDLNYNINPLVQESEVVCLSDDD >Potri.006G039000.4.v4.1 pep chromosome:Pop_tri_v4:6:2584546:2591239:1 gene:Potri.006G039000.v4.1 transcript:Potri.006G039000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039000.v4.1 MGSRTHNNFNNNNNNNKAVTEMPSYVPQLSTSNPIGTEANNIHPSRVSDFATFEQSLGFRIDDAVDLSRNSIFNQLKSSSQAIGADVQFGTLNKSLASSDINLSAAIVGSQTLPLQKEVQVNPVSIHSNHPENWGETNMAEASPRTDTSTDDTDEKNQRFERGQLVAIAASDSSDKSKERAGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISSSGDQTHSMSGNGALAFDAEYARWLEEHNRQISELRAAVNSHAGDTELRTIVDNVASHFSDVFRLKGTAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMEALQQSLAETLANGNPGSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLEQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPQE >Potri.006G039000.1.v4.1 pep chromosome:Pop_tri_v4:6:2584539:2591292:1 gene:Potri.006G039000.v4.1 transcript:Potri.006G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039000.v4.1 MGSRTHNNFNNNNNNNKAVTEMPSYVPQLSTSNPIGTEANNIHPSRVSDFATFEQSLGFRIDDAVDLSRNSIFNQLKSSSQAIGADVQFGTLNKSLASSDINLSAAIVGSQTLPLQKEVQVNPVSIHSNHPENWGETNMAEASPRTDTSTDDTDEKNQRFERGQLVAIAASDSSDKSKERAGDQKTLRRLAQNREAARKSRLRKKAYVQQLESSRLKLTQLEQELQKARQQGIFISSSGDQTHSMSGNGALAFDAEYARWLEEHNRQISELRAAVNSHAGDTELRTIVDNVASHFSDVFRLKGTAAKADVFHILSGMWKTPAERCFMWIGGFRSSELLKLLVNQLEPLTEQQLMGIYNLQQSSQQAEDALSQGMEALQQSLAETLANGNPGSSGSSGNVANYMGQMAMAMGKLGTLEGFLRQADNLRQQTLEQMHRILTTRQSARALLAIHDYFSRLRALSSLWLARPQE >Potri.004G026700.1.v4.1 pep chromosome:Pop_tri_v4:4:2038838:2041337:-1 gene:Potri.004G026700.v4.1 transcript:Potri.004G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G026700.v4.1 MGDLEKQVEVNRRKEEDEEEKLLMEGMSVLDFDMLCSTVAMQTQGKYWVKLESKEEEEEGDLRHYNNGVGGGGGGFRMWEGEVLDCFDDRRIAIESLCCPCYRFGKNMRRTGFGSCFLQGVVYYAFAFSALLSFVAFIVTKHLYFLYFSVAITFFIGMYLGFFRTQMKKKFNIRGSDSSLDDCVYHLICSCCSLSQESRTLEMNNVQDGIWHGRGDTICVGSYSEGNKVFLELHPPSAVAAKSPDICSMQKSTDLSDQSST >Potri.010G007976.1.v4.1 pep chromosome:Pop_tri_v4:10:1509032:1509532:-1 gene:Potri.010G007976.v4.1 transcript:Potri.010G007976.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007976.v4.1 MARQADRLVKIGQEGFAAIDEHFGRAKRRPPVMKVPYAHPTYYYVHQANQIPATKLIDSNEAAQRYNGKVYIDYPKGKPVPF >Potri.004G061500.1.v4.1 pep chromosome:Pop_tri_v4:4:5145172:5150061:1 gene:Potri.004G061500.v4.1 transcript:Potri.004G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061500.v4.1 MVSRSYTNLLDLASGNFPAMGQPRERKQLPRVMTVPGVISELDDDAASDVPSSVVQDRMIIVANQLPVKAKRMPDNKGWSFSWDEDSLLLHLKDGLPEDMEVLYVGSLRADVDLSEQDDVSQVLLDRFNCVPAFLPPDILSKFYHGFCKQHLWPLFHYMLPFSGNHGGRFDRSLWEAYVAANKIFSHKVIEVINPEEDYVWIHDYHLMVLPTFLRRRFNALRMGFFLHCPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGMEYYGRTVGIKIMPVGIHMGQIESVLKLADKEWRVGELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQLLKQHQKWRGRAVLVQITNPARGRGRDVEELQAEIQESCRRINETFGRPGYEPVVFIDRPVSLSEKAAYFTIAECVVVAAVRDGMNLTPYEYVVCRQGVSGSESSAESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAINEAISIADSEKQLRHEKHYRYVSTHDVAYWSRSFYQDMERTCKDHFIRRCWGIGLSFGFRVVALDRNFKKLNIDHIESAYIKSKKRAILLDYDGTVMPQTSINKTPSSEVISMINTLCSDVKNTVFVVSGRGRDSLGKWLAPCKKLGIASEHGYFVRWSADDVWENCGQSSDFGWIQIAEPVMKLYTEATDGSSIETKESALVWHHRDADPGFGAAQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGISKGSVAEKIFTSMAESGRQADFVLCIGDDRSDEDMFESIDNAIASGILNSSKSVFACTVGQKPSKAKYYLDDTADVINMLETLAEASDPSPSAGNSP >Potri.004G061500.3.v4.1 pep chromosome:Pop_tri_v4:4:5145251:5150035:1 gene:Potri.004G061500.v4.1 transcript:Potri.004G061500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061500.v4.1 MVSRSYTNLLDLASGNFPAMGQPRERKQLPRVMTVPGVISELDDDAASDVPSSVVQDRMIIVANQLPVKAKRMPDNKGWSFSWDEDSLLLHLKDGLPEDMEVLYVGSLRADVDLSEQDDVSQVLLDRFNCVPAFLPPDILSKFYHGFCKQHLWPLFHYMLPFSGNHGGRFDRSLWEAYVAANKIFSHKVIEVINPEEDYVWIHDYHLMVLPTFLRRRFNALRMGFFLHCPFPSSEIYRTLPVREEILKALLNSDLIGFHTFDYARHFLSCCSRMLGLEYQSKRGYIGMEYYGRTVGIKIMPVGIHMGQIESVLKLADKEWRVGELKQQFEGKTVLLGVDDMDIFKGVNLKLLAMEQLLKQHQKWRGRAVLVQITNPARGRGRDVEELQAEIQESCRRINETFGRPGYEPVVFIDRPVSLSEKAAYFTIAECVVVAAVRDGMNLTPYEYVVCRQGVSGSESSAESSGPKKSMLVVSEFIGCSPSLSGAIRVNPWNIEATAEAINEAISIADSEKQLRHEKHYRYVSTHDVAYWSRSFYQDMERTCKDHFIRRCWGIGLSFGFRVVALDRNFKKLNIDHIESAYIKSKKRAILLDYDGTVMPQTSINKTPSSEVISMINTLCSDVKNTVFVVSGRGRDSLGKWLAPCKKLGIASEHGYFVRWSADDVWENCGQSSDFGWIQIAEPVMKLYTEATDGSSIETKESALVWHHRDADPGFGAAQAKEMLDHLESVLANEPVAVKSGQFIVEVKPQGISKGSVAEKIFTSMAESGRQADFVLCIGDDRSDEDMFESIDNAIASGILNSSKSVFACTVGQKPSKAKYYLDDTADVINMLETLAEASDPSPSAGNSP >Potri.018G022400.1.v4.1 pep chromosome:Pop_tri_v4:18:1631961:1633205:1 gene:Potri.018G022400.v4.1 transcript:Potri.018G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022400.v4.1 MGVLVVNPQDCLKNPLQSQPQRMRFTRNPNLNNPRPNRAQPNRRKWSPNSSPPPRAAVPKNNSSNLVMGQVKILKRGKEDLIEPGKKDETPRGSHNTEAVKSEDLGFFSTNRLGPDAVLVPTQVRLTESKDIVNGFYAGSAFITSPPPSSLPLPGFFTKKTNNPVAVDASSEIMKLLGLSL >Potri.014G183000.1.v4.1 pep chromosome:Pop_tri_v4:14:14461979:14463529:-1 gene:Potri.014G183000.v4.1 transcript:Potri.014G183000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G183000.v4.1 MVVLPYTVAFLLLSCLQTEKIANSQSFIGINYGQVADNLPPPPSTAKLLQSTSIQKVQLYGSDPAIIKALANTGIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPDSNIILITVGNEVMTSNDQNLVNKLLPAMQNVQNALNDASLGGKIKVCTVHPMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFVINPYPYFAYRSDTRPETLAFCLFQPNAGRMDGNTKIKYMNMFDAQTESLKATPQPPPATAATTTSTGTNNNDSSTSTSTSTGTGTSTSTSSSTNTISI >Potri.004G085000.1.v4.1 pep chromosome:Pop_tri_v4:4:7049379:7051046:1 gene:Potri.004G085000.v4.1 transcript:Potri.004G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085000.v4.1 MASPPLFKETMLPLLLLFTSLFLSTQETELKNDSLSFSFPLTSLPRSPQTSPSFYSSFISQSKKTPALKSAASPYNYRSRFKYSMILLVSLPIGTPPQSQQMILDTGSQLSWIQCHKKVPRKPPPSTVFDPSLSSSFSVLPCNHPLCKPRIPDFTLPTSCDLNRLCHYSYFYADGTLAEGNLVREKITFSTSQSTPPLILGCAEDASDDKGILGMNLGRLSFASQAKITKFSYCVPTRQVRPGFTPTGSFYLGENPNSAGFQYISLLTFSQSQRMPNLDPLAHTVALQGIRIGNKKLNIPVSAFRADPSGAGQSMIDSGSEFTYLVDVAYNKVREEVVRLAGPRLKKGYVYSGVSDMCFDGNAMEIGRLIGNMVFEFDKGVEIVIEKGRVLADVGGGVHCVGIGRSEMLGAASNIIGNFHQQNLWVEFDIANRRVGFGKADCSRSV >Potri.003G172651.1.v4.1 pep chromosome:Pop_tri_v4:3:18079883:18082488:-1 gene:Potri.003G172651.v4.1 transcript:Potri.003G172651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172651.v4.1 METPIPCSAEEEHIFRSQHPAVPVPDITLNDFVLQDAELYADKVAFVEAVTGKTYICRGSRVPIIVLSEMCISTAMNWNELLDAADRSGDILACEEVHQSDLCALPFSSGTTGMSKGVMLTHRNLVANLCSSLFSEDPEMVGHVATLGLIPFFHIYGITGICCATLRNKGKVVVMGRTFLNALITQEVSFAPIVPPIGLQAQTAAAPLAPELLAAFENKFPGVQVQEAYGLTEHSCITLTHGDPSKGHGIAKKNSVGFLLPHLEIKLIDPETGRKEETGRSIDTDGWLRTGDIGFLDNDGDIFIVDRMKELIKYKGFQVRSNSLTFLFWTYCYARIIKQCPPWGNNARASFNIPKPPSGK >Potri.009G032300.3.v4.1 pep chromosome:Pop_tri_v4:9:4309424:4314912:-1 gene:Potri.009G032300.v4.1 transcript:Potri.009G032300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032300.v4.1 MAGTCTYISRHVIELSSYNRASRSGREGASFCWARLSAMSSNGVSRTRKVALAPEGPSCLFVGPIETASQETLEALYRQARDAYYSGKPLIIDDMFDRVELKLRCYGSKCVVKYPRCSIRRQSTYSDAEADISQAFALASIWILFLTVGCSACALPIIYTIGLAYQDAFGSVISHGSQTPIIGFLATVNGILFMAVSALIGYPIASASVKVLQGLWRNDLVALKGACPNCGEEVFAFVKSDQSNNSTHRADCHVCESLLEFRTEVEQTISRVGRRWVFGRIYLVSRRHQRLK >Potri.014G066900.1.v4.1 pep chromosome:Pop_tri_v4:14:4208399:4209617:1 gene:Potri.014G066900.v4.1 transcript:Potri.014G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066900.v4.1 MRKIRGFKIGKRLVRISTWIFRRTRIHPPGYNLLGQSESTCRSKPKSISKIINWGRRLTKGAKSLCGAKPGSGYIPMGHELVCDKPVTVPKGHLAVYVGQKDGDFHRVLVPVIYFNHPLFGELLREAEEEYGFNQQGGITIPCRFSEFESVQTRIKAGSGGKPTWKRNHY >Potri.008G058900.1.v4.1 pep chromosome:Pop_tri_v4:8:3520099:3526113:-1 gene:Potri.008G058900.v4.1 transcript:Potri.008G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058900.v4.1 MSQTRLQPNHDPAKKLGLFALPEKTCEVISYLTRMSLLFCLISSISLVLYTTFFSSNQTQFWPPFPHPTRIETQLRSSQPTNIFHILFCIGGSAATWHDRSRYSSTWWVPNVTRGFVWLDQETNSTQTNKNVPAVMVSSPEWTRFKFSSSRSAVRIARVISDSVKLRLPRVRWFVMGDDDTVYYTDNLVSVLSRYDHNQMWYIGGNSESVEQDVMHSYDMAFGGGGFALSYPLAERLVSMLDGCLDRYYYFYGSDQRIWACISEIGVPLTREPGFHQFDIRGSVYGLLAAHPLAPLVSLHHLDNLEPLFPDHSRIDSLKTINRAYQADPPRIFQQTFCHDYKRKWSISIAWGYTVQLYTLLLPAKDLQTPVRTFKTWRSWSDGPFTFNTRPTEADHCKQPVVFMLEQAKEVGINGSLTSYTRIVQKPGKTCNTASYAQAMSVQRILVSSLKVGPDYWKKAPRRYCCELMNKGSIKKSSMQIRIRKCRNWETVTSR >Potri.009G140100.1.v4.1 pep chromosome:Pop_tri_v4:9:11217819:11221304:-1 gene:Potri.009G140100.v4.1 transcript:Potri.009G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140100.v4.1 MGSVSSDDDGGGSERCGSYSLSADVSESESCTSSSNFSCRRGLGRDGGCASSSMTSSPRPVAGGFCFPAPVMVPVIGGKDVVVWGSKNEKRRETDLSEVEMMKERFAKLLLGEDMSGGGKGVCTALAISNAITNLSATVFGELWRLEPLLPQKKSMWKREMEWLLCVSDSIVELVPSMQQFPGGGTYEVMATRPRSDLYVNLPALKKLDAMLISMLDGFCETEFWYVDRGIVVADGGDCDAYPSGISGGRPSIRQEEKWWLPCPKVPPNGLSDDARKRLQQCRDCTNQILKAAMAINSSVLVEMEIPTAYMETLPKNGKPCLGDIIYRYITAEQFSPDRLLDCLDLSTEHHTLEIANRIEAAVHVWKQKDHKKHTHNMRIKHSSWGGKVKGFVTDSHKNQILAQRAETLLQSLRLRFPGLPQTTLDMNKIQYNKDVGQSILESYSRVMESLAFNIMARIDDVIYVDDAIKQCAAAESMSLFNRGGLGGLPIQKRMSPSPFSIQQSPFASPFATPTYCASTPVSGSPERVPSSLKRGKPKEAPDRKLEKPLSSDFETVWTYTGNLSSRRVSGDAPERD >Potri.010G224200.1.v4.1 pep chromosome:Pop_tri_v4:10:20842343:20845894:1 gene:Potri.010G224200.v4.1 transcript:Potri.010G224200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224200.v4.1 MEFCQDSRNGNGSLGFNTNDPLNWGMAAESLKGSHLDEVKRMIEEYRKPVVRLGGETLTIGQVTAIASRDVGVMVELSEEARAGVKASSDWVMDSMSKGTDSYGVTTGFGATSHRRTKQGGELQKELIRFLNAGIFGNGTESSHTLPCSATRAAMLVRTNTLLQGYSGIRFEMLEAITKLLNHNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKAVGPNGEPLSPAEAFTQAGIDGGFFELQPKEGLALVNGTAVGSGLASMVLFETNVLAILSEVLSAIFAEVMQGKPEFTDHLTHRLKHHPGQIEAAAIMEHILDGSSYVKEAQKLNEIDPLQKPKKDRYALQTSPQWLGPLIEVIRTSTKMIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNTRLAIASIGKLMFAQFSELVNDFYNNGLPSNLTGGRNPSLDYGFKGAEIAMASYCSELQFLANPVTNHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSTTFLVGLCQAVDLRHIEENLKSTVKNTVSQVAKRVLAMGFNGELHPSRFCEKDLLKVVDREHVFSYIDDPCSATYPLMQKLRQVLVEHALVNGERERNSTTTILQKIGSFEEELKTLLPKEVESARLEVENGNPAIPNRIKECRSYPLYKFVREELGTSLLTGEKVKSPGEEFDKVFTAICAGKLIDPLLECLKEWDGAPLPIC >Potri.019G078100.1.v4.1 pep chromosome:Pop_tri_v4:19:11868166:11872218:-1 gene:Potri.019G078100.v4.1 transcript:Potri.019G078100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078100.v4.1 MKVSGNIKRFIPSDFGIEEDRVSPLPPFQAFLDKKRKVRRAVEAAGIPYTFVAANCCGAYFINILLRPQAQPRDITVYGDGQAKAVLNYEEDVAMYTIKVADDARTLNRVVTYQPPKNIVSQLELISLWEKKTGQNFIRVHLSEEELVKLSESLPPPQNIPVSILHSVFIKGDMTGYELGDDDLEASQLYLDYEYTAIDKLLDIFLIDPPEPAMGAFE >Potri.009G031500.1.v4.1 pep chromosome:Pop_tri_v4:9:4228145:4231399:-1 gene:Potri.009G031500.v4.1 transcript:Potri.009G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031500.v4.1 MKCFHFSNGERREDEDAPVSKVSRVSWARSLSVASSSLDTRRSELDSDSYCYSSRDLSDSVGLFELLSQRRANDLRVFGFSELKSATRGFSRGLLIGEGGFGCVYRGVVKVPADHESGSKMDVAIKQLNRHGFQGHREWINEVNFLGVVKHPNLVKLVGYCAEDDERGIQRLLVYELMHNKSLEDHLLARVLPITLPWMTRLKIAQDAARGLAYLHEEMDFQLIFRDFKASNVLLDDDFNAKLSDFGLARQGPPEGLGHVSTSVVGTVGYAAPEYVQTGRLTAKSDVWSFGVVMYELITGRRAVERNLPRGEQKLLEWVKPFVSDSKKFHLIVDPRLEGQCCIKSAQKLAALANRCLAKQPKSRPKMSEAVEILGNIISETSSQDEGIPEPVSEIEEVKEEATGETGAESTKPGNSYRKKVFYIREMVNLRNRSTGKLDWRNWTPRLVRT >Potri.010G200900.1.v4.1 pep chromosome:Pop_tri_v4:10:19316038:19317909:1 gene:Potri.010G200900.v4.1 transcript:Potri.010G200900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200900.v4.1 MDPKVENGAMQGDEVPTWGKSLPVPSVQEMVRKDHQFLSERYIQEHKDRAVATNLSPTTSEIPIINFSLLINGDKDERRKLDTACKEWGFFQITNHGVPEKVLKKMKAAVAAFYELPLEEKSKYAMAADDIQGYGQVYVVSEHQKLDWCDIMVLMTLPPEYKKMKYWPVAISEAVQEYTTETLKLAEEIFANISLLMGMDKDALKRLHGKIMKQAIRMNYYPTCSRPDLVLGVSPHSDTSTITLLLQDDDITGLQIRHREGWVPVKPIPNAIVANIGDVIEGWSNGVYKSIEHRAVTNVTAARMSVATFVIPDDDVELGPVETMVDDYNRPVMYKSIKYGDYLRYTFSKKMDGKANTELLKAGNESI >Potri.006G088600.1.v4.1 pep chromosome:Pop_tri_v4:6:6669298:6671687:-1 gene:Potri.006G088600.v4.1 transcript:Potri.006G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088600.v4.1 MLFRIVLMVRVLFSLAIAASQELNFNFNFTYSGFRSTNLSLDGLAELTSNGLLRLTNETKQRTSHAFYPNPVTFKNSINSTAFTFSTTFVFAIIPEYPTLGGHGIAFVIAPTRGLPGALPSQYLGLFNKTNNGNQTNHVVAVELDTIYSSEFNDIDDNHVGIDINGLESERSASAGYYSQLNGKLTNLTLISGHPMQVWMEYDGKEKQLDVTIAPIDVDKPSRPLLTLSCDLSPILNSSMYIGFSSSTGSVFTSHYVLGWSFKMNGLAEALHISRLPKLPRVGPKKTSKFLTIELPVLCLSLVLVAVSSTSYAIRRTRKFAEVLEDWELDYGPHRFKYKDLYTATKGFRDEELLGSGGFGRVYKGVLPTSKIQIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRRGELLLVYDYMPNGSLDKYLYDQPTVALNWSQRFRVINGVASGLFYLHEEWEQVVIHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTSTDVFAFGAFLLEVASGRRPIQPTEDIILVDWVFSRWLGGEILEARDPNLGTEYIAEEMELVLKLGLMCSHSEPAARPSMRQVVQFLEGDVPLPDISPLCLSASGLTFSHREGFDEFANSYPSSMDQAFGHSSSVTESLLSGGR >Potri.004G062300.1.v4.1 pep chromosome:Pop_tri_v4:4:5267763:5269979:-1 gene:Potri.004G062300.v4.1 transcript:Potri.004G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062300.v4.1 MDESQGSPNSLPPFLAKTYEMVDDPSTDPIVSWSQSNKSFTVWDPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPFLMKNIHRRKPVHSHSLQNLQGQGSNLLTDSERQSMKDDIERLKRDKEALILELQMQEQERKGFEMQIEGLKEKLQQMERRQQTMVSFVTRVMPKPGLALNLMPQLEGHDRKRRLPRIGCLHSEASSNEDNQTVTSQALSRENADGNSFALLNMEQFDQLESSLTFWENMVNDVVQTHSHNTKTIEMDESTSGAESPAISCAQLIFDFRPKTPGIDMNSEPSIAVVPEPVSPKEQPAGTAPAVATGVNDVFWEQFLTENPGSTDTQEVQSERKDSDGRKNEIKPGDPRKFWWDMRNVNNLTEQMGHLTPAERT >Potri.019G036900.1.v4.1 pep chromosome:Pop_tri_v4:19:5122993:5126033:-1 gene:Potri.019G036900.v4.1 transcript:Potri.019G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036900.v4.1 MSIKRDPEKVVSTLKNRLYQAIDLTTGGIDERMKENELVLKFRSAETKFEELKNLLSRHKRWEDTVVRKFNVLRLKIYKTLSLAKNQADGARQNANTIKDHLHWVDRKLDKLNRRLKDSESSYSESSDSESRVKINPYKEALERKVLKEWKEQEVERIILESSAMLNLQASYHNLERLDLKLCFLFLSVFPEEAVIKKRPLIYWWMGEGLITANEKKTAEEEGESVFQELIELDLILPYHERPDKPSPVVNACTMHPWIRHMAISLAQKADLFGFDSSGTPSYVNDRSRRACLVLSSDTSGSTPNEENLLTVFNVSKRYLNFSFDWLLKLRKVAVLQLGRWHYVPVLQIKVENEKLGLWHHSPVHHIEVENEVFLKGLWAQKHLKYLCLRGISLITTLPSSIGELFSLEILDLKACHNLEELPSEIGSLTSLTHLDVSDCPFLESMPKELQKLTRLQVLKGFVIGNSKRTPCKIADLADMKELKRLSIYIGNEAVVKEGELAKLKAIEKLRCLTMWWGVKVSLKTSEGKAEEKSPKLTALTDLSFPPGLEKLDLRGIPQENPLKELKPGSLNQLKKLYIRGGKLQKLNHGEKDDHVWEVKILRLRYLKDFKIDKKSLKQAFPKLDYLDVICDQSGDQKTKYKEDFVLRNRNEIGEYFKEKGNSEEEQKNAMPAENEICKEGKSAESVLINKGKGKEIMSGSAIEEASSSRDHHHSQ >Potri.019G036900.2.v4.1 pep chromosome:Pop_tri_v4:19:5123016:5126041:-1 gene:Potri.019G036900.v4.1 transcript:Potri.019G036900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036900.v4.1 MSIKRDPEKVVSTLKNRLYQAIDLTTGGIDERMKENELVLKFRSAETKFEELKNLLSRHKRWEDTVVRKFNVLRLKIYKTLSLAKNQADGARQNANTIKDHLHWVDRKLDKLNRRLKDSESSYSESSDSESRVKINPYKEALERKVLKEWKEQEVERIILESSAMLNLQASYHNLERLDLKLCFLFLSVFPEEAVIKKRPLIYWWMGEGLITANEKKTAEEEGESVFQELIELDLILPYHERPDKPSPVVNACTMHPWIRHMAISLAQKADLFGFDSSGTPSYVNDRSRRACLVLSSDTSGSTPNEENLLTVFNVSKRYLNFSFDWLLKLRKVAVLQLGRWHYVPVLQIKVENEKLGLWHHSPVHHIEVENEVFLKGLWAQKHLKYLCLRGISLITTLPSSIGELFSLEILDLKACHNLEELPSEIGSLTSLTHLDVSDCPFLESMPKELQKLTRLQVLKGFVIGNSKRTPCKIADLADMKELKRLSIYIGNEAVVKEGELAKLKAIEKLRCLTMWWGVKVSLKTSEGKAEEKSPKLTALTDLSFPPGLEKLDLRGIPQENPLKELKPGSLNQLKKLYIRGGKLQKLNHGEKDDHVWEVKILRLRYLKDFKIDKKSLKQAFPKLDYLDVICDQSGDQKTKYKEDFVLRNRNEIGEYFKEKGNSEEEQKNAMPAENEICKEGKSAESVLINKGKGKEIMSGSAIEEASSSRDHHHSQ >Potri.002G111900.1.v4.1 pep chromosome:Pop_tri_v4:2:8451672:8455213:1 gene:Potri.002G111900.v4.1 transcript:Potri.002G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111900.v4.1 MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPSDKTVGGGDDAFNTFFSETGAGKHVPRAIFVDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFNAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEITNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESPDGEDGDEGDEY >Potri.001G229600.1.v4.1 pep chromosome:Pop_tri_v4:1:24881713:24887262:1 gene:Potri.001G229600.v4.1 transcript:Potri.001G229600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229600.v4.1 MDLDLNQEPLYPSNDSLLGLASIRDELENTHGHIEERLRQLEAVTFRARQRQRWRQSHFTPQTVNVSVEPATVNVRSDGGLLIGEASVATEERRDEMNKFGKRKSTYLIAKALGRNGNGKKARTDRRSVFDCNICLDMAQDPILTSCGHLFCWPCFYQLSYVYSNVKECPVCVEEVTDTSIIPIYGNGNSYDNKKLKLKESGLKVPPRPSAQRVESVRQQLINHGAFSSSIEERMRYIGNVLIAMGEIPPSEGLDGVPLESDRISFLANRTSTSQALPSIGADSSQHHRSVQVSRLLFQGAASLSSFSSAVNSAMESAMESTERLFEDLGAILHSHRGRRNHQQSSRPADRDSFSSIAAVIQPDSQNPDTVADADSTLPQSASSSRPDDVVTVSQLESHRTGTAIESNFSVPVSSSSRRRNLVFRLSEVDNSVETNSSSSRRRIEVPRASGADNGHNRECRRRSLN >Potri.006G091900.1.v4.1 pep chromosome:Pop_tri_v4:6:6978161:6981924:-1 gene:Potri.006G091900.v4.1 transcript:Potri.006G091900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091900.v4.1 MNMEARVGAVVEGGQRALNSAAAAAHGSILDAGARRFLQQQHNNSHQQHSQLGTIQQLLAGGIAGAFSKTCTAPLARLTILFQVQGMHSDVTALSKASIWREASRVMNEEGFRAFWKGNLVTIAHRLPYSSVSFYAYERYKSLLQSVLGVENHGGNGTADLAVHFIGGGMAGITAASATYPLDLVRTRLAAQRNTIYYRGILHAFHTICREEGFLGLYKGLGATLLGVGPSIAISFSVYESLRSFWQSKRPNDSTVMASLACGSLSGIASSTATFPLDLVRRRMQLEGAGGRARVYTTGLFGAFAHIIQTEGLRGMYRGILPEYYKVVPGVGIVFMTYETLKMLLSCTPSNY >Potri.003G002800.1.v4.1 pep chromosome:Pop_tri_v4:3:470188:472350:-1 gene:Potri.003G002800.v4.1 transcript:Potri.003G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G002800.v4.1 MTQAGQYISMSKKNKRLVLAIFASFLLVATIIAIAIGVNSRKNPTKNDAAHALLMASCNSTRYPDLCYSAATSFPDASRGDPKAVILNNINATIDAINSKKIEADKILSTKQLTQQQKTALEDCRQNYDSSLADLEKVWGGLKRNPNNGLLQQKSYAEDLTTKVSSCKSNEDSCIDGFSHSWLSRKLRDIFRDPSEDDAGKMCSNTLALIKKLIEDTKAIANRLKTTSRKLKEEDDIDEGWPKWLSVTDRRLFQSSLLTPDVVVAADGSGKYRTVSAAVAAAPKHSGKRYIIKIKAGVYRENVEVPSEKTNIMFLGDGRKKTIITASRNVVDGGTTYHSATVAVVGQGFLARDITFQNTAGASKYQAVALRVESDFAAFYKCGMLAYQNTLYVHSNRQFFTNCYIAGTVDFIFGNSAAVFQDCDIHARRPNPGQTITITAQGRSDPNQNTGIVIQKSRIGATPDLQHARSNFSAYLGRPWKEYSRTVIMQSSISDVISPAGWREWKGRFALNTLHFAEYENSGAGAGTAGRVPWKGYKVITDATEAQAFTARNFITGSSWLKSTTFPFSLGL >Potri.011G072866.1.v4.1 pep chromosome:Pop_tri_v4:11:6924745:6942772:-1 gene:Potri.011G072866.v4.1 transcript:Potri.011G072866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072866.v4.1 MQKLIPANFRHNMFMMFQLCQVMVMMISFSSSITLLASELHPGEVEALRQIGKKVNEDGQLSLNFDDRCQQEGVVKAEPTSGNSTIECNCSITDDNYCHITLFLLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKYLSFISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKSLILSGNKLVGTLPETLAQIKDLTDFRVSDNNLNGTVPEFMGNWTQLKKLVLYATGLQGPIPPKIFRLEKLSDLRIADMPGPEFQLPNVTIRRDTLVLRNINLTGTIPENAWKVETTLDLTFNKLVGEIPPNTIRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNRKILYEGDQAGGSNAAATSYNRPGSNWGFSSTGDFMDDGDFYDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTDEKLYNKVASRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTIPFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEEASKKPIVIGVITSAAFLIFMVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHALQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEVTSDPSIYGDDLHSKRLKGHYQQVTDQSLKSTQDLFPPSDKSWIGNSSTSAHDLYPINPESISLNLSETSSLI >Potri.011G072866.3.v4.1 pep chromosome:Pop_tri_v4:11:6924755:6942777:-1 gene:Potri.011G072866.v4.1 transcript:Potri.011G072866.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072866.v4.1 MQKLIPANFRHNMFMMFQLCQVMVMMISFSSSITLLASELHPGEVEALRQIGKKVNEDGQLSLNFDDRCQQEGVVKAEPTSGNSTIECNCSITDDNYCHITLFLLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKYLSFMSLESNQFSGVVPPELGKLVNLKSLILSGNKLVGTLPETLAQIKDLTDFRVSDNNLNGTVPEFMGNWTQLKKLVLYATGLQGPIPPKIFRLEKLSDLRIADMPGPEFQLPNVTIRRDTLVLRNINLTGTIPENAWKVETTLDLTFNKLVGEIPPNTIRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNRKILYEGDQAGGSNAAATSYNRPGSNWGFSSTGDFMDDGDFYDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTDEKLYNKVASRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTIPFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEEASKKPIVIGVITSAAFLIFMVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHALQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEVTSDPSIYGDDLHSKRLKGHYQQVTDQSLKSTQDLFPPSDKSWIGNSSTSAHDLYPINPESISLNLSETSSLI >Potri.011G072866.2.v4.1 pep chromosome:Pop_tri_v4:11:6924747:6942777:-1 gene:Potri.011G072866.v4.1 transcript:Potri.011G072866.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072866.v4.1 MQKLIPANFRHNMFMMFQLCQVMVMMISFSSSITLLASELHPGEVEALRQIGKKVNEDGQLSLNFDDRCQQEGVVKAEPTSGNSTIECNCSITDDNYCHITLFLLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKYLSFISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKSLILSGNKLVGTLPETLAQIKDLTDFRVSDNNLNGTVPEFMGNWTQLKKLVLYATGLQGPIPPKIFRLEKLSDLRIADMPGPEFQLPNVTIRRDTLVLRNINLTGTIPENAWKVETTLDLTFNKLVGEIPPNTIRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNRKILYEGDQAGGSNAAATSYNRPGSNWGFSSTGDFMDDGDFYDNKYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTDEKLYNKVASRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTIPFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEEASKKPIVIGVITSAAFLIFMVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHALQKKGNLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEVTSDPSIYGDDLHSKRLKGHYQQVTDQSLKSTQDLFPPSDKSWIGNSSTSAHDLYPINPESISLNLSETSSLI >Potri.013G033900.1.v4.1 pep chromosome:Pop_tri_v4:13:2197593:2201831:1 gene:Potri.013G033900.v4.1 transcript:Potri.013G033900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033900.v4.1 MFIPSEMEQISLPLDNVKEKLEPMEPNQDYIDAFQLESELTDSPPLPNSTIYTDVNVVPEHEKGELGHSISNLEGEIAGLKQKERLLDKKRREALNKILDIKGSIRVFCRVRPFLLSDRRRIHEPISIGLEKVVVKSVGIRKEYRYDKVFHQAATQEDVFVEVEPILRSALDGHNVCILAYGQTGTGKTFTMDGTNNQAGIIPRAIEELFRQASLDNSSITFSMSMLEVYMGNLRDLLAPKVASRTHEVAAKCLNIQTDPKGMVEVEGLTQVQIPDTAKAKWWYAKGRRARSTSWTNVNETSSRSHCLMRITISRHGDDSKAKAQVSKLWMVDLGGSERLLKTGATGQTLDEGRAINLSLSALGDVIAALRRKRGHVPYRNSKLTQILKDSLGHSSKVLMLVHISPCEEDVGETICSLSFANRARAIETYRDLTEDINMQRQKRILELEVEMRESEEECQKVRYQVQKAEFLLSENRELLSTTYHVPDDKENAPISPKEDYKEVNSTSRVTDKGIRRNMTSPFPRFMTSTVASRQRQSAAEKLVVGRARSLRSATRSSLQFSASQSFSYSEFRFRAILKESNRKSRYGETKPLLPESPKCNGPEMKMASLPRSKTVISSDPNLRTTLSRHRRRMSDLI >Potri.009G044000.4.v4.1 pep chromosome:Pop_tri_v4:9:5057440:5060278:1 gene:Potri.009G044000.v4.1 transcript:Potri.009G044000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044000.v4.1 MSDFKLDVVLGQDFPEPGFRPEKGKTISGAEKINAAVYKIFSVNFAGRRAKSDGFFRGNGADSDSLGLPNGGDGKREHGSGKKYGPLISGTAYCISSCGMILLNKIALSTYNFNAGISLMFYQNLISCLVVAVLSLSGVVSVEKLNWKLVRVWIPVNVIFVGMLVSGMYSLKYINIAMVTILKNVTNIITAIGELYIFRKHQNQKVWTAMFLMIISAISGGITDLSFDSMGYTWQIMNCILTACYSLTLRKVMDTAKQLTRSGSLNEISMVLLNNLLSLPFGIILILLFDEWEYIITTDVIKLPMFWVVATASGLLGLAISFTSLWFLHQTGPTTYR >Potri.009G044000.1.v4.1 pep chromosome:Pop_tri_v4:9:5056819:5060293:1 gene:Potri.009G044000.v4.1 transcript:Potri.009G044000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044000.v4.1 MSDFKLDVVLGQDFPEPGFRPEKGKTISGAEKINAAVYKIFSVNFAGRRAKSDGFFRGNGADSDSLGLPNGGDGKREHGSGKKYGPLISGTAYCISSCGMILLNKIALSTYNFNAGISLMFYQNLISCLVVAVLSLSGVVSVEKLNWKLVRVWIPVNVIFVGMLVSGMYSLKYINIAMVTILKNVTNIITAIGELYIFRKHQNQKVWTAMFLMIISAISGGITDLSFDSMGYTWQIMNCILTACYSLTLRKVMDTAKQLTRSGSLNEISMVLLNNLLSLPFGIILILLFDEWEYIITTDVIKLPMFWVVATASGLLGLAISFTSLWFLHQTGPTTYSLVGSLNKIPLSFAGLVLFKVPLSLPNLFSIFFGLFAGIFFARAKMS >Potri.009G044000.3.v4.1 pep chromosome:Pop_tri_v4:9:5057272:5060278:1 gene:Potri.009G044000.v4.1 transcript:Potri.009G044000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044000.v4.1 MSDFKLDVVLGQDFPEPGFRPEKGKTISGAEKINAAVYKIFSVNFAGRRAKSDGFFRGNGADSDSLGLPNGGDGKREHGSGKKYGPLISGTAYCISSCGMILLNKIALSTYNFNAGISLMFYQNLISCLVVAVLSLSGVVSVEKLNWKLVRVWIPVNVIFVGMLVSGMYSLKYINIAMVTILKNVTNIITAIGELYIFRKHQNQKVWTAMFLMIISAISGGITDLSFDSMGYTWQIMNCILTACYSLTLRKVMDTAKQLTRSGSLNEISMVLLNNLLSLPFGIILILLFDEWEYIITTDVIKLPMFWVVATASGLLGLAISFTSLWFLHQTGPTTYRFHSVYQTFSVYFSVYLLEYSLPGLKCPDPRIRFLVILLNNYISSQN >Potri.009G044000.2.v4.1 pep chromosome:Pop_tri_v4:9:5057118:5060390:1 gene:Potri.009G044000.v4.1 transcript:Potri.009G044000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044000.v4.1 MSDFKLDVVLGQDFPEPGFRPEKGKTISGAEKINAAVYKIFSVNFAGRRAKSDGFFRGNGADSDSLGLPNGGDGKREHGSGKKYGPLISGTAYCISSCGMILLNKIALSTYNFNAGISLMFYQNLISCLVVAVLSLSGVVSVEKLNWKLVRVWIPVNVIFVGMLVSGMYSLKYINIAMVTILKNVTNIITAIGELYIFRKHQNQKVWTAMFLMIISAISGGITDLSFDSMGYTWQIMNCILTACYSLTLRKVMDTAKQLTRSGSLNEISMVLLNNLLSLPFGIILILLFDEWEYIITTDVIKLPMFWVVATASGLLGLAISFTSLWFLHQTGPTTYSLQSSSEEKELCFF >Potri.016G096500.10.v4.1 pep chromosome:Pop_tri_v4:16:9581014:9593788:1 gene:Potri.016G096500.v4.1 transcript:Potri.016G096500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096500.v4.1 MSEMDQLKILHSSKAIPPVAWALANTICLVTGDENDYVEPGGLNQGLDYAVYVHVVIILAENLLSWLDGGGGTEKENQYAQVIAETSAEPFGKALCEIETTCALKMTYVSLLRPVCQQWHLTKLLAMSKMDANSNGDETLPTKTLKYSGKLNLLGIAYFYSCMLRIFAILNPTVGSLPVLNMLSFTPGFPVTLWEVLENLLFPGHGGISVVNDFHTRKVSANKNDGFLKKQQKQPSKDGGNKLVNVLHKLTGKSQAGVDHGDSVNGNPSAQVGDDLHDAWDVELLRCGPQKISREMSCLLHLFCGTYSHLLLVLDDIEFYEKQVPFMLEQQQRIASVLNTLAYNGLAHSISQQDRPLMDSAIRCLHLMYERDCRHQFCPPVLWLSPARKSRAPIAVAARTHEAMSANIKSDDALTVPSMGSVITVTPHVYPFEERVQMFREFINMDKVSRKMAGEFTGPGSRAVEIVVRRSHIVEDGFQQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKSAFSPEHGLFSQTSTSERHLIPNPTAKYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNVLYVKHYDGDVKDLSLDFTVTEELFGKRHVIELKPGGKDVCVSNENKMQYVHAMADYKLNRQILPFSNAFYRGLADLISPSWLKLFNASEFNQLLSGGDLDIDVDDLRNYTRYTGGYSEGSRTIKLFWEVIKGFEPNERCMLLKFVTSCSRAPLLGFKHLQPSFTIHKVSCDASLWATIGGQDVERLPSASTCYNTLKLPTYKRASTLRAKILYAINSNTGFELS >Potri.016G096500.7.v4.1 pep chromosome:Pop_tri_v4:16:9581035:9593668:1 gene:Potri.016G096500.v4.1 transcript:Potri.016G096500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096500.v4.1 MDETPSRKHQVSLRGASAREISRDALLQKVSHERELRNYARRATASAIFIQRVWRRFIVTKKVAAELQLEWEAEAALVKNDLTIMGGSWISTRVLRPFLFFVHCLSTRHHKIRDADIPCLHTCFKLLLESINSTDLGNNFCALALGTPEERRTWTYQSQKLVSLCSIILANCDKSHQRAQDIMVLTSLAMRLLVVLTDQKCWKSITNNSPKDADVAWKDLVRFMARPKSGLYLSIRRYINNLDIHFCPQTSTLAQTDDRFLITASAITLALRPFNVTNFDFIGPDVVDINSAPAQYYLFLLTIPWLTQRLPAVLLPALKHKSILSPCFQTLLILRDNILKEMSEMDQLKILHSSKAIPPVAWALANTICLVTGDENDYVEPGGLNQGLDYAVYVHVVIILAENLLSWLDGGGGTEKENQYAQVIAETSAEPFGKALCEIETTCALKMTYVSLLRPVCQQWHLTKLLAMSKMDANSNGDETLPTKTLKYSGKLNLLGIAYFYSCMLRIFAILNPTVGSLPVLNMLSFTPGFPVTLWEVLENLLFPGHGGISVVNDFHTRKVSANKNDGFLKKQQKQPSKDGGNKLVNVLHKLTGKSQAGVDHGDSVNGNPSAQVGDDLHDAWDVELLRCGPQKISREMSCLLHLFCGTYSHLLLVLDDIEFYEKQVPFMLEQQQRIASVLNTLAYNGLAHSISQQDRPLMDSAIRCLHLMYERDCRHQFCPPVLWLSPARKSRAPIAVAARTHEAMSANIKSDDALTVPSMGSVITVTPHVYPFEERVQMFREFINMDKVSRKMAGEFTGPGSRAVEIVVRRSHIVEDGFQQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKSAFSPEHGLFSQTSTSERHLIPNPTAKYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNVLYVKHYDGDVKDLSLDFTVTEELFGKRHVIELKPGGKDVCVSNENKMQYVHAMADYKLNRQILPFSNAFYRGLADLISPSWLKLFNASEFNQLLSGGDLDIDVDDLRNYTRYTGGYSEGSRTIKLFWEVIKGFEPNERCMLLKFVTSCSRAPLLGFKHLQPSFTIHKVSCDASLWATIGGQDVERLPSASTCYNTLKLPTYKRASTLRAKILYAINSNTGFELS >Potri.016G096500.9.v4.1 pep chromosome:Pop_tri_v4:16:9582016:9593793:1 gene:Potri.016G096500.v4.1 transcript:Potri.016G096500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096500.v4.1 MVLTSLAMRLLVVLTDQKCWKSITNNSPKDADVAWKDLVRFMARPKSGLYLSIRRYINNLDIHFCPQTSTLAQTDDRFLITASAITLALRPFNVTNFDFIGPDVVDINSAPAQYYLFLLTIPWLTQRLPAVLLPALKHKSILSPCFQTLLILRDNILKEMSEMDQLKILHSSKAIPPVAWALANTICLVTGDENDYVEPGGLNQGLDYAVYVHVVIILAENLLSWLDGGGGTEKENQYAQVIAETSAEPFGKALCEIETTCALKMTYVSLLRPVCQQWHLTKLLAMSKMDANSNGDETLPTKTLKYSGKLNLLGIAYFYSCMLRIFAILNPTVGSLPVLNMLSFTPGFPVTLWEVLENLLFPGHGGISVVNDFHTRKVSANKNDGFLKKQQKQPSKDGGNKLVNVLHKLTGKSQAGVDHGDSVNGNPSAQVGDDLHDAWDVELLRCGPQKISREMSCLLHLFCGTYSHLLLVLDDIEFYEKQVPFMLEQQQRIASVLNTLAYNGLAHSISQQDRPLMDSAIRCLHLMYERDCRHQFCPPVLWLSPARKSRAPIAVAARTHEAMSANIKSDDALTVPSMGSVITVTPHVYPFEERVQMFREFINMDKVSRKMAGEFTGPGSRAVEIVVRRSHIVEDGFQQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKSAFSPEHGLFSQTSTSERHLIPNPTAKYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNVLYVKHYDGDVKDLSLDFTVTEELFGKRHVIELKPGGKDVCVSNENKMQYVHAMADYKLNRQILPFSNAFYRGLADLISPSWLKLFNASEFNQLLSGGDLDIDVDDLRNYTRYTGGYSEGSRTIKLFWEVIKGFEPNERCMLLKFVTSCSRAPLLGFKHLQPSFTIHKVSCDASLWATIGGQDVERLPSASTCYNTLKLPTYKRASTLRAKILYAINSNTGFELS >Potri.016G096500.8.v4.1 pep chromosome:Pop_tri_v4:16:9581014:9593791:1 gene:Potri.016G096500.v4.1 transcript:Potri.016G096500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096500.v4.1 MDETPSRKHQVSLRGASAREISRDALLQKVSHERELRNYARRATASAIFIQRVWRRFIVTKKVAAELQLEWEAEAALVKNDLTIMGGSWISTRVLRPFLFFVHCLSTRHHKIRDADIPCLHTCFKLLLESINSTDLGNNFCALALGTPEERRTWTYQSQKLVSLCSIILANCDKSHQRAQDIMVLTSLAMRLLVVLTDQKCWKSITNNSPKDADVAWKDLVRFMARPKSGLYLSIRRYINNLDIHFCPQTSTLAQTDDRFLITASAITLALRPFNVTNFDFIGPDVVDINSAPAQYYLFLLTIPWLTQRLPAVLLPALKHKSILSPCFQTLLILRDNILKEMSEMDQLKILHSSKAIPPVAWALANTICLVTGDENDYVEPGGLNQGLDYAVYVHVVIILAENLLSWLDGGGGTEKENQYAQVIAETSAEPFGKALCEIETTCALKMTYVSLLRPVCQQWHLTKLLAMSKMDANSNGDETLPTKTLKYSGKLNLLGIAYFYSCMLRIFAILNPTVGSLPVLNMLSFTPGFPVTLWEVLENLLFPGHGGISVVNDFHTRKVSANKNDGFLKKQQKQPSKDGGNKLVNVLHKLTGKSQAGVDHGDSVNGNPSAQVGDDLHDAWDVELLRCGPQKISREMSCLLHLFCGTYSHLLLVLDDIEFYEKQVPFMLEQQQRIASVLNTLAYNGLAHSISQQDRPLMDSAIRCLHLMYERDCRHQFCPPVLWLSPARKSRAPIAVAARTHEAMSANIKSDDALTVPSMGSVITVTPHVYPFEERVQMFREFINMDKVSRKMAGEFTGPGSRAVEIVVRRSHIVEDGFQQLNSLGSRLKSSIHVSFVSECGLPEAGLDYGGLSKEFLTDISKSAFSPEHGLFSQTSTSERHLIPNPTAKYLENGIQMIEFLGRVVGKALYEGILLDYSFSHVFVQKLLGRYSFLDELSTLDPELYRNVLYVKHYDGDVKDLSLDFTVTEELFGKRHVIELKPGGKDVCVSNENKMQYVHAMADYKLNRQILPFSNAFYRGLADLISPSWLKLFNASEFNQLLSGGDLDIDVDDLRNYTRYTGGYSEGSRTIKLFWEVIKGFEPNERCMLLKFVTSCSRAPLLGFKHLQPSFTIHKVSCDASLWATIGGQDVERLPSASTCYNTLKLPTYKRASTLRAKILYAINSNTGFELS >Potri.019G045466.1.v4.1 pep chromosome:Pop_tri_v4:19:6384564:6385414:-1 gene:Potri.019G045466.v4.1 transcript:Potri.019G045466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045466.v4.1 MVVLGSLLHRVLCFCSCSLSSLCLSLPPAFGSSSGFYSQRTRVFLVSQRASRWRGLLAAIRSLLDLESAPLSLPTSPSFIITEYQLLQTMKWWKRRRLGFFRFGH >Potri.010G013300.3.v4.1 pep chromosome:Pop_tri_v4:10:2292284:2299214:-1 gene:Potri.010G013300.v4.1 transcript:Potri.010G013300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013300.v4.1 MEEEEDPTVCALITDLEETLNTQNQSQSLPFTLSTLQNLQSLLDSNDPQILSQFLSSLSSKSFSLSSLLPSLTSAMDSAPTHLSLLSSKIYLSLILFPNSPVFTLFNPISFLALLRSLRRAVKSPRCCPQEGNSSGVAKKRKGRKRGGIVACNNGGGDGDGGESEGGGEGFFDVRVFLCVIERLVFVLDLIHLDRFPDSLKCLVQTIVEILVLATSREMGGGFERLAGLCSKILCQVLKSEHGEEGETAAEVLKALAPLILMGKSQARSFALGFVKGLMVGAGKTSDGVKKGVVNLPRYLAQKAPEKAEPRGFAVEAIIEIVRVMDVEHQVGFAEYVVKMTQGKASLRLLGVDLILNLMMLLKDPFIGVGLDCKVKDSWGFKCVEALIQRCSDSSSGIRARALSNLAQLVGFLSSDDKNHDVLKEVTGFGEVEVEVGVNDILRKRCMDEKANVRKAALVLVTKLSAILGGNFDGVVLKTMGMACSDPLVSIRKAAISALSEAFRTFSDESVIMEWLHSVPRLITDNESSIQEECENLFMELVLDRISRAGPEGTIRNQTTFSDSNVKAKDIEREIGLLFPGILVLLKEICNGEVTPWVKKICTSLGKKKRLRPKIAIALQYIIKTSESYWVSNSMPIEKWTAPPGAWFLLSEVSAYLSKAVDWEFLHHHWQLLDKYRAVGEFKSPCPKEFMHEDEDGIESSSVEWVSDRVFLLQTISNVSVELPPEPAAELAHNLLIRIEEFSMHSTEVNAHVKALRTLCKRKALDADEAESLVIKWVQQLLSKASRILEKYITGDSETNKGDAFFTPPRSATRKGKRAAALSRLLSEAVTAVYSIGFLVIICPSADTSTIIPLLHTIITSGNSDPKLSKLPGPQVSLKQTAPSLYIQAWLTMGKICLADEELAKRYIPLFVQELEKSDSAALRNNLVVMMADFCIRYTALVDCYISKITKCLRDPCELVRRQTFILLSRLLQRDYVKWRGVLFLRFLLSLVDESETIRQLADFLFGNILKVKAPLLAYNSFVEAIFVLNDCDAHNGHCGSKSSQTESHLFSIRGNDENSRSKRMHIYVSLLKQMAPEHLLATFAKLCAEILAAASDGMLKLEDVRGQSVLQDAFQILACKEIRIPSGRGSQTDAGDVEEESGDGGVSAAAAKRGAITQAVKKGLIQNTIPIFIELKRLLESKNSPLTGSLMECLRIILKDYKNEIDEILVADKQLQKELIYDMQKYETSKAKSAAAVAVASMQNHSSFLSPGASKTAGGTKAQDNLNENPQSDSRVASAMANAVAEARVRSVLREVNRGIATPPLSSISRPKLKPNQDGTGARTDRPPHVLESLRRRQSFYSDDEN >Potri.017G044550.1.v4.1 pep chromosome:Pop_tri_v4:17:3138245:3139213:-1 gene:Potri.017G044550.v4.1 transcript:Potri.017G044550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044550.v4.1 MELLLVFLESKPFFKESGGWPERTTAPNSMLVFNAQLFIFQPIAPFNSITTQILEPCNIHLQFLQSVMCFLSFFFFVRFSRGMLNFYSICLLILYLIWDF >Potri.019G025100.1.v4.1 pep chromosome:Pop_tri_v4:19:3646437:3654302:1 gene:Potri.019G025100.v4.1 transcript:Potri.019G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025100.v4.1 MFLLSLQTPLPFKPRHSLPSKNGVVYASTSATAPKKSRRKKPPKQKNDNGSPLSVVVSAEEKNLRFAFMEELMHRARNRDSNGVSDVIYDMIAAGLSPGPRSFHGLIVAHTLNGDHEGAMQSLRRELSAGHRPLHETCIALIRLFGSKGFGTRGLELLAAMEKLNYDIRRAWILLVEELVKGRFMEDANRVFLKGANGGLRATDELYDLMIEEDCKVGDHSNALDIAYAMEEAGRMATTFHFNCLLSVQATCGIPEISFATFENMEYGEDYMKPDTESYNWVIQAYTRAESYDRVQDVAELLGMMVEDHKRIQPNVKTYALLVECFSKYCVVREAIRHFRALRKFEGGTKALHNEGKFGDPLSLYLRALCREGRIVDLLEALEAMAEDNQPIPPRAMILSRKYRTLVSSWIEPLQEEAELGYEIDYVARYVAEGGLTGERKRWVPRRGKTPLDPDCDGFIYSNPMETSLKQRCLEDWKAHHRKLLKMLRNEGLAALGDASESDYLRAEERLRKIIRGPDRNVLKPKAASKMIVSELKDELEAQGLPIDGTRNVLYQRVQKARRINRSRGRPLWVPPVEEEEEEVDEEVDELISRIQLHEGDTEFWKRRFLGEGFNGNHVKPVDMETSELPDELDEDEDDDDDDVEDVAKEVEDEEADEEGEVEVEVEQTESQDAERIVKAKEAEAKKPLQMIGVQLLKDSDQTTRMSKKSRRRAARLAVADDDDDDWFPEDILEAFKEMRNRKVFDVEDMYLIADAWGWTWEREIKKRPLQRWSQEWEVELAIQLMLKVIELGGTPTIGDCAMILRAAIRAPMPSAFLKILQTTHSLGYQFGSSLYDEIISLCVDLGELDAAIAIVADLETAGIAVPDQTLDRVISAKQAPESAAEETLS >Potri.009G123100.1.v4.1 pep chromosome:Pop_tri_v4:9:10270837:10276723:1 gene:Potri.009G123100.v4.1 transcript:Potri.009G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123100.v4.1 MGSLGAILKHPADLYPLLKLKMAAKHAAKQIPSEPHWAFCYSMLHRVSRSFAFVIQQLGTELRNAVCIFYLVLRALDTVEDDTSIPTDVKVPILIAFHRHIYDRNWHFSCGTNDYRVLMDQFHDVSTAFLELEKGYQEAIEDITKRMGAGMAKFICKEVETIDDYDEYCHYVAGLVGLGLSKLFHASELEDLASDSISNSMGLFLQKTNIIRDYLEDINEIPMSRMFWPREIWSKYVNKLEDLKYEENSVEAVQCLNDMVTNSLIHVDDCLKYMSALREPAIFRFCAIPQVMAIGTLAMCYNNINVFRGVVKMRRGLTAQIFHRTKTMADVYGAFFDFSCMLKSKVDRNDPNATKTLSSLEAVQKTCRESGALNKRKSYILRNELKYNSVLIVLLFIILSIIFAYLSANRSSY >Potri.007G031700.1.v4.1 pep chromosome:Pop_tri_v4:7:2439502:2441695:1 gene:Potri.007G031700.v4.1 transcript:Potri.007G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031700.v4.1 MFKLGLKSPPPSSSPHHLLPILLLSIIIINSTATATANGKGFKEAPQFYNSPSCPSISTQDMCSQQAVHVAMTLDFPYLRGTMAAIFSILQHSSCPENIRFHFISSPSSTHLHETITSSFPYLRSQIYSFDTNPVSGLISTSIRSALDSPLNYARNYLANILPPCVPKVVYLDSDLVLVDDIASLAATPLGTGTVLAAPEYCNANFTTYFTPTFWANPMLSLTFSGRNACYFNTGVMVIDLERWREGDYTTKIVEWMELQKRMRIYELGSLPPFLLVFAGNIAAVDHKWNQHGLGGDNFRGLCRNLHPGPVSLLHWSGKGKPWVRLDANRPCPLDALWAPYDLLRTPFALES >Potri.014G166001.1.v4.1 pep chromosome:Pop_tri_v4:14:12044844:12048314:1 gene:Potri.014G166001.v4.1 transcript:Potri.014G166001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166001.v4.1 MTTCLHLRRFSTFNRNNPIPHRTIPEPKGQDLDFVNVVHSHLIHSDWDKLNKLSTHFTPFRVNHILLKIQKDHVLSLEFFNSLKTLNPISLTLETHSIILHILTKKSKFKSAQSILRTLLASRSIDLPGKLFDTLLFSYRMCDSSPRVFDSLFKTYAHMNKFRNATDVFSRMKDYGFLPTVESCNAYLSSLLDFHRVDIALTFYREMRRCRISPNSYTFNLVLSALCKSGKLEKAVEVLREMESVGITPNVVSYNTLIAGHCNKGLLSIATKLKNLMGKNGLEPNVVTFNSLIHGFCKEGKLHEANRFFSEMKVMNVTPNTVTYNTLINGYGQVGNSNMAGKVYEEMMRNGVKADILTYNALILGLCKEGKTKKAAFLVKELDKENLVPNASTYSALISGQCARKNSDRAFQLYKSMVRSGCHPNEQTFKMLTSAFVKNEDFEGAFNVLMDMFARSMASDSNTLLEIYDGLCQCGKENLAMKLCHEMEARRLIPEGFDRAEPFNSMTEKENRTFG >Potri.003G126100.1.v4.1 pep chromosome:Pop_tri_v4:3:14562458:14564835:1 gene:Potri.003G126100.v4.1 transcript:Potri.003G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126100.v4.1 MLTSRSRILSQKYLNFASLSASFLLSKQSSFNSKQTLLPSLHNSPVSLYSQSIKAGVSRRLLGSVRFNHSMASQSSPQSAHDFTVKDAKGNDVDLSIYKGKVLLIVNVASQCGLTDSNYTELTQLYAKYKDQGLEILAFPCNQFGSQEPGSSEEIVEFACTRFKAEYPIFDKVEVNGNNAAPIYKYLKSSKGGLFGDNIKWNFSKFLVDKEGKVVDRYAPTTSPLSIEKEVKKLLGIA >Potri.006G150500.1.v4.1 pep chromosome:Pop_tri_v4:6:13117556:13122742:-1 gene:Potri.006G150500.v4.1 transcript:Potri.006G150500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150500.v4.1 MSRMVNLLVLPHPQQRLPVLASLYPCRLPTIHTRSANSVSESQRKWNIGFKAPRRLVLGLGASFWSQFMSMAGRARSKHLIALAKQEVVIEEVLKNVDWPQQFPFKEEDFQRFDESPDSLFYDAPRFVTHIDDPAIAALTKYYSKVFPPSNTPGVCILDMCSSWVSHFPKGYKQYSIVGLGMNEEELKRNPVLTEYAVQDLNLNPKLPFEDNSFDVITNAVSVDYLAKPIDVFKEMCRVLKPGGLAIMSFSNRCFWTKAISIWTSTGDADHVMIVGSYFHYSGGFEPPQAVDISPNPGRSDPMYVVYSRKASTA >Potri.001G296200.2.v4.1 pep chromosome:Pop_tri_v4:1:30723627:30725900:1 gene:Potri.001G296200.v4.1 transcript:Potri.001G296200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296200.v4.1 MTLSNQTSMRIDTLELKSLIVKKIGQQRADKYFYQLTRLLSSKVTKCEFDKFCVGIIGRENIPIHNRFIRSILKNACCAKVLPSKGFRRGGSNLTVATANGYQKNSLQLLYGDALPSSPRKGRSPVSRDRKFGDHPNPPDPLEKPQSVACEELNAGAQEQQSATELLSLGSRPPVEVVSVEEGEEVEQMTGSPCVQSRSPVTAPLGISMNFSGSRKALSNASLSSDYLKGTCLRSGGLPDSRSLRHRLERKLEMEGISMSLDCVNLLNNGLDAYVKRLIEPCMALAGSRHGNEYLKRASGQFVPGLNGALPGKYIHRETESVYASMLDFRVAMESNPQILGEDWPTQLEKISLLGFEE >Potri.001G296200.1.v4.1 pep chromosome:Pop_tri_v4:1:30723626:30725903:1 gene:Potri.001G296200.v4.1 transcript:Potri.001G296200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296200.v4.1 MTLSNQTSMRIDTLELKSLIVKKIGQQRADKYFYQLTRLLSSKVTKCEFDKFCVGIIGRENIPIHNRFIRSILKNACCAKVLPSKGFRRGGSNLTVATANGYQKNSLQLLYGDALPSSPRKGRSPVSRDRKFGDHPNPPDPLEKPQSVACEELNAGAQEQQSATELLSLGSRPPVEVVSVEEGEEVEQMTGSPCVQSRSPVTAPLGISMNFSGSRKALSNASLSSDYLKGTCLRSGGLPDSRSLRHRLERKLEMEGISMSLDCVNLLNNGLDAYVKRLIEPCMALAGSRHGNEYLKRASGQFVPGLNGALPGKYIHRETESVYASMLDFRVAMESNPQILGEDWPTQLEKISLLGFEE >Potri.006G233100.1.v4.1 pep chromosome:Pop_tri_v4:6:23577870:23585656:1 gene:Potri.006G233100.v4.1 transcript:Potri.006G233100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233100.v4.1 MEKDSLRSNNNGSTSNTTTHSSAQRTSLDPNGQTTSSDFVLQWGNRKRLRCMKVQVKDVSTALVHKTTVRVDRRVVRADNIDTSDHQPRSTNNSSSNNNNPTNHSNGYFNLRQRPPTPPPPPPQRSLRNSENSSVMRGGQGNGGGLRRIASPDKGAHDERSNHHHHHHHHSSNNHENHNHNNKPAASSDSKKGGRSSSGGSGEPPPPPPPPVWPPKFVIALTNKEKEEDFFAFKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKITKKRPRGLKAMGNVDSDSD >Potri.006G233100.4.v4.1 pep chromosome:Pop_tri_v4:6:23582653:23583072:1 gene:Potri.006G233100.v4.1 transcript:Potri.006G233100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233100.v4.1 MRGGQGNGGGLRRIASPDKGAHDERSNHHHHHHHHSSNNHENHNHNNKPAASSDSKKGGRSSSGGSGEPPPPPPPPVWPPKFVIALTNKEKEEDFFAFKGSKLPQRPKKRAKFIQRTLNVRPFYFLVFLFQVFILAVYV >Potri.006G233100.3.v4.1 pep chromosome:Pop_tri_v4:6:23577863:23585595:1 gene:Potri.006G233100.v4.1 transcript:Potri.006G233100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233100.v4.1 MEKDSLRSNNNGSTSNTTTHSSAQRTSLDPNGQTTSSDFVLQWGNRKRLRCMKVQVKDVSTALVHKTTVRVDRRVVRADNIDTSDHQPRSTNNSSSNNNNPTNHSNGYFNLRQRPPTPPPPPPQRSLRNSENSSVMRGGQGNGGGLRRIASPDKGAHDERSNHHHHHHHHSSNNHENHNHNNKPAASSDSKKGGRSSSGGSGEPPPPPPPPVWPPKFVIALTNKEKEEDFFAFKGSKLPQRPKKRAKFIQRTLNVRPFYFLVFLFQVFILAVYV >Potri.006G233100.2.v4.1 pep chromosome:Pop_tri_v4:6:23578360:23585593:1 gene:Potri.006G233100.v4.1 transcript:Potri.006G233100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233100.v4.1 MEKDSLRSNNNGSTSNTTTHSSAQRTSLDPNGQTTSSDFVLQWGNRKRLRCMKVQVKDVSTALVHKTTVRVDRRVVRADNIDTSDHQPRSTNNSSSNNNNPTNHSNGYFNLRQRPPTPPPPPPQRSLRNSENSSVMRGGQGNGGGLRRIASPDKGAHDERSNHHHHHHHHSSNNHENHNHNNKPAASSDSKKGGRSSSGGSGEPPPPPPPPVWPPKFVIALTNKEKEEDFFAFKGSKLPQRPKKRAKFIQRTLNLVSPGAWLCDLTLERYEVREKKITKKRPRGLKAMGNVDSDSD >Potri.016G016700.1.v4.1 pep chromosome:Pop_tri_v4:16:872527:874247:1 gene:Potri.016G016700.v4.1 transcript:Potri.016G016700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016700.v4.1 MKKAEVVLIPIPAMGHIVAVVEIAKLLVQRDDRLYTTVLVMHPTLDPSTTKYNESLAASTLPDRMRVINLPRVESITSDTKANNWLSTLIEGQKPHVKEYVSKTRTQFELSLDAPRIAGFIFDSFATGLKEVANEFGFPWYAFSAPGAAFLGCELHLQALHDEQGVDLTEFKNSDVELKIPSLANPLPAKLLPSVLFQKDSLANFLEQARALAEARGILVNTFFEFESNAVNSLSNGKTPPIYPVGPIVKHETDCHDVGWDGSKKHRDRDIMEWLDDQPPSSVMFLCFGSHGSFREKQVKEIACALENSGHRFLWSLRKPPPREKWEPPSDYANFQEILPKEFLNRTAKIGKVIGWAPQVDILAHQAIGMFASHCGWNSILESIRFGVPIVAWPLYAEQQFNAFQMVIELGLAVEIKMDYRRDFHGDNEIIVGADGIMKAIKHVMEQGKEIRKKAKEMSRISEKTLMPGGCSYSSLGRLVDDIIDNQP >Potri.016G002200.2.v4.1 pep chromosome:Pop_tri_v4:16:112076:114742:-1 gene:Potri.016G002200.v4.1 transcript:Potri.016G002200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002200.v4.1 MSYAYLFKYIIIGDTGVGKSCLLLQFTDKRFQPVHDLTIGVEFGARMITIDNKPIKLQIWDTAGQESFRSITRSYYRGAAGALLVYDITRRETFNHLASWLEDARQHANANMTIMLIGNKSDLAHRRAVSTEEGEQFAKEHGLIFMEASAKTAQNVEEAFIKTAATIYKKIQDGVFDVSNESYGIKVGYGGIPGSSGGRDGASAQAGGCCS >Potri.008G209800.1.v4.1 pep chromosome:Pop_tri_v4:8:16079842:16081188:-1 gene:Potri.008G209800.v4.1 transcript:Potri.008G209800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G209800.v4.1 MGERTLEINVISARGLKDVNYISKMDVYAVVSISGDDSKQKPKQKTKTPVDRAGGKNPTWNFPIKFTIPQTPLAENRLNLVCNLKCERALGDKDVGEVNVPVKELLDSAGDGKSMKFVSYQVRKPSGKPKGEVSFSFKFSGIEKVVVPEVSTAAAAKATNSSSQPVTAYAAVPVVGPSSVPYAGPGSYPPPPPGYEYPPPPPGYGYPPPPPYGGYAPPPQPGYGYPPPPGYGSQPAQGGYGYPPVQGGYGYPPQVQGQQPQKNKFGMGLGAGLLGGAVGGLLIGDMVSDAGFDDGGGFDF >Potri.003G191301.4.v4.1 pep chromosome:Pop_tri_v4:3:19461985:19468948:1 gene:Potri.003G191301.v4.1 transcript:Potri.003G191301.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191301.v4.1 MMEIFKAAKERLGFNFRRCTTILVLSFIVLAVLVRVFVSYQDSAFDLIGGLAKAKVSPANTQDVAALGFASINDSSQPTSLPDQKVLNEQLPPRFGNGSISKNESSQPTSIRDDTLVNGLLAPGSGDGSSSKNDSSQPTSEPDDKPLSSQPTSKPDDKLLGQPLAPGFVEELGLKTDSSQPTKMANDKLLDGLLSPGFDEKSCLSRYRSFLYRKASQHKPSPYLLSKLREYEDLHKRCGPYTRSYNETLKRLKSSHTGSTGGCNYIVWLGSNGMGNRIISMASTFLYALLANRVLLVDHGRDMTHLFCEPFPNTSWILPMDFPIKKQFHTLKQRHDRFRDISESSPPSFVYVNIPHGTYDFDELFLCEQSRALLERVPWFILSSDQYFAPSFFLSPSFKQEVSKLFPEKETIFHQLGRYLFHPSNQVWRVITKFYQTNLAKAEQRIGLQIRVFNRKTSPVQVVMGQILSCMLKKKLLPEVDTQNAVRSSPPNNSSKTILITSLYSDYYENMSAMYQSKPTVTGEAIRVCQPSHEEYQHKADNLHNMKAWAEIYLLSLSDVLVTSAGSTFGYAAQGLGGLKPWMLIRPKNKMVPDPPCRQDKSMDPCFHYAPSYECKAKHKTDSSTVVPYVRHCADRQAGVKLFNDHKRL >Potri.003G191301.1.v4.1 pep chromosome:Pop_tri_v4:3:19461938:19469068:1 gene:Potri.003G191301.v4.1 transcript:Potri.003G191301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191301.v4.1 MMEIFKAAKERLGFNFRRCTTILVLSFIVLAVLVRVFVSYQDSAFDLIGGLAKAKVSPANTQDVAALGFASINDSSQPTSLPDQKVLNEQLPPRFGNGSISKNESSQPTSIRDDTLVNGLLAPGSGDGSSSKNDSSQPTSEPDDKPLSSQPTSKPDDKLLGQPLAPGFVEELGLKTDSSQPTKMANDKLLDGLLSPGFDEKSCLSRYRSFLYRKASQHKPSPYLLSKLREYEDLHKRCGPYTRSYNETLKRLKSSHTGSTGGCNYIVWLGSNGMGNRIISMASTFLYALLANRVLLVDHGRDMTHLFCEPFPNTSWILPMDFPIKKQFHTLKQRHDRFRDISESSPPSFVYVNIPHGTYDFDELFLCEQSRALLERVPWFILSSDQYFAPSFFLSPSFKQEVSKLFPEKETIFHQLGRYLFHPSNQVWRVITKFYQTNLAKAEQRIGLQIRVFNRKTSPVQVVMGQILSCMLKKKLLPEVDTQNAVRSSPPNNSSKTILITSLYSDYYENMSAMYQSKPTVTGEAIRVCQPSHEEYQHKADNLHNMKAWAEIYLLSLSDVLVTSAGSTFGYAAQGLGGLKPWMLIRPKNKMVPDPPCRQDKSMDPCFHYAPSYECKAKHKTDSSTVVPYVRHCADRQAGVKLFNDHKRL >Potri.003G170500.1.v4.1 pep chromosome:Pop_tri_v4:3:17906301:17907035:-1 gene:Potri.003G170500.v4.1 transcript:Potri.003G170500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170500.v4.1 MSDWGPVFMAVVLFILLTPGLLFQVPGRHRSIEFGNFQTSGASIMVHTLLYFALICVFLLAVKVHLYLG >Potri.011G121200.2.v4.1 pep chromosome:Pop_tri_v4:11:15176724:15178866:1 gene:Potri.011G121200.v4.1 transcript:Potri.011G121200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121200.v4.1 MSDYLSEDLIQEILYKLPIKSLLRCTSLCKSWNSLIKSPTFIFKHLQHTISSTDRQNLFLLRLCSREREEQYSLRLDNQDFNEHMQLHFPFKSSESYFHVIGSSNGLICLANIFRSLIVSFILWNPLIQKYMIVKPRILGPVYSFVGFGYDSRANDYKLIRMVSFQKSKFRSENFPEIALYSLNEGSWRGIPQTGPLRYDTDQRVSSAFVNGVVHWIAYRADQHEGVSNVVLGFDMSDEIFLEIALPSCLANVRPSCMSLMVYKESSISVCQASFLSSVQFHIWVMKEYGVVESWTKLVLTLAAQGEGVPRALGIRKEELLMEKKRGWIVSGDLESQLVRDLRIWGEPSRTFIGSYLESLVLLDKSNATSYGYNSSGLDAC >Potri.013G048500.4.v4.1 pep chromosome:Pop_tri_v4:13:3466257:3479215:-1 gene:Potri.013G048500.v4.1 transcript:Potri.013G048500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048500.v4.1 MEQLMSESNSVFPESDASEPRRSKRPNESEDLSINNKKIRTVIIDSDNEADILEDKSVHGIKVEDQSTLLENIGDPSAGCNPSQGSSEKFQCTACDKVAVEVHSHPLLKVIVCKDCKFLMEEKMHVKDPDCSECYCGWCGKNNDLVSCRSCRTLFCTACIKRNIGEEYLYKVPVSGWQCCCCSPSLLQRLTSQLEKAMGSGDIMVSSSDSDSDSSDTNDGVTISSKRKKQKKIRRIIDDAELGEETKRKIAIEKERQERLKSLKVKFSDKSKMMNFASCSGNLPEGASVEVIGDATTGYIVNVAREKGEEAVRIPPSLSSKLKAHQVAGIRFLWENIIQSIRKVKSGDNGLGCILAHTMGLGKTFQVIAFLYTAMRGVDLGLRTALIVTPVNVLHNWRKEFMKWTPSEVKPIRVFMLEDVSRERRVELLAKWRAKGGVFLIGYSAFRNLSLGKNVKERNMAREMCSALQDGPDILVCDEAHIIKNTRAETTQALKLVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTVDDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVVAVKLSPLQRKLYKRFLDVHGFTNGRASNEKTSKSFFAGYQALAQIWNHPGILQLRKGREYVGNVENFLADDCSSDENVDYNTIVEEKSRNPNDFIQGKNDDGFFQKDWWNDLLLENNYKEVDYSGKMVLLLDILVMSSDVGDKTLVFTQSIPTLDLIELYLSRLPRLGKKGKFWRKGKDWYRLDGRTESSERQRLVERFNDPKNKRVKCTLISTRAGSLGINLYAANRVVIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVYRTISREEMLHLFEFGDDENSDTLIDIGQEYRQADTRNISSQTANSLKQNASRSHGSCASDKVMESLVGKHRQRWIFDYHEHETLLQENEEEKLTKEEQDMAWEVYKRSLEWEEVQRVSLDDSTFERKPPMSNGASSAPDASSIPVPSMARPASEASNGAPSQSILRSRMVQRKCTNLSHLLTLRSQGTKAGCTTICGECAQEISWEDLKREGKAAR >Potri.013G048500.2.v4.1 pep chromosome:Pop_tri_v4:13:3466688:3484758:-1 gene:Potri.013G048500.v4.1 transcript:Potri.013G048500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048500.v4.1 MEDKHEEVEDIESGLSDSFIDDDDEDSNNDDDNEPSTSGQDDGTRIQEPLTDQEVEELVAEFLEVESKAAEAQEALEKESLAKVESDVREELARSLQGDDLEAAVEDEMATFREEWENVLDELETESYHLLEQLDGTGIELPNLYKWIESQAPNSCCTEAWKRRAHWVGTQMTKETTDTVADAEKYLQIHRPVRRKHGKLLEEGASGFLQKKLAMDGSEAIAENREVDWASMKKLFSTSSSEDVASFGSKHWASVYLANTPQEAALMGLKFPGVNEVEEIEDIDGNSTDPFVAEAIANEKELVLSEEQRKNYRKVKEEDDAKIDQKLQLRLKQRRRLKRCKQGVSSVVQEMGTNMAESLPLDDNHHEVTCQDLKKDVCENSGDLDMEQLMSESNSVFPESDASEPRRSKRPNESEDLSINNKKIRTVIIDSDNEADILEDKSVHGIKVEDQSTLLENIGDPSAGCNPSQGSSEKFQCTACDKVAVEVHSHPLLKVIVCKDCKFLMEEKMHVKDPDCSECYCGWCGKNNDLVSCRSCRTLFCTACIKRNIGEEYLYKVPVSGWQCCCCSPSLLQRLTSQLEKAMGSGDIMVSSSDSDSDSSDTNDGVTISSKRKKQKKIRRIIDDAELGEETKRKIAIEKERQERLKSLKVKFSDKSKMMNFASCSGNLPEGASVEVIGDATTGYIVNVAREKGEEAVRIPPSLSSKLKAHQVAGIRFLWENIIQSIRKVKSGDNGLGCILAHTMGLGKTFQVIAFLYTAMRGVDLGLRTALIVTPVNVLHNWRKEFMKWTPSEVKPIRVFMLEDVSRERRVELLAKWRAKGGVFLIGYSAFRNLSLGKNVKERNMAREMCSALQDGPDILVCDEAHIIKNTRAETTQALKLVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTVDDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVVAVKLSPLQRKLYKRFLDVHGFTNGRASNEKTSKSFFAGYQALAQIWNHPGILQLRKGREYVGNVENFLADDCSSDENVDYNTIVEEKSRNPNDFIQGKNDDGFFQKDWWNDLLLENNYKEVDYSGKMVLLLDILVMSSDVGDKTLVFTQSIPTLDLIELYLSRLPRLGKKGKFWRKGKDWYRLDGRTESSERQRLVERFNDPKNKRVKCTLISTRAGSLGINLYAANRVVIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVYRTISREEMLHLFEFGDDENSDTLIDIGQEYRQADTRNISSQTANSLKQNASRSHGSCASDKVMESLVGKHRQRWIFDYHEHETLLQENEEEKLTKEEQDMAWEVYKRSLEWEEVQRVSLDDSTFERKPPMSNGASSAPDASSIPVPSMARPASEASNGAPSQSILRSRMVQRKCTNLSHLLTLRSQGTKAGCTTICGECAQEISWEDLKREGKAAR >Potri.013G048500.3.v4.1 pep chromosome:Pop_tri_v4:13:3466682:3484814:-1 gene:Potri.013G048500.v4.1 transcript:Potri.013G048500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048500.v4.1 MEDKHEEVEDIESGLSDSFIDDDDEDSNNDDDNEPSTSGQDDGTRIQEPLTDQEVEELVAEFLEVESKAAEAQEALEKESLAKVESDVREELARSLQGDDLEAAVEDEMATFREEWENVLDELETESYHLLEQLDGTGIELPNLYKWIESQAPNSCCTEAWKRRAHWVGTQMTKETTDTVADAEKYLQIHRPVRRKHGKLLEEGASGFLQKKLAMDGSEAIAENREVDWASMKKLFSTSSSEDVASFGSKHWASVYLANTPQEAALMGLKFPGVNEVEEIEDIDGNSTDPFVAEAIANEKELVLSEEQRKNYRKVKEEDDAKIDQKLQLRLKQRRRLKRCKQGVSSVVQEMGTNMAESLPLDDNHHEVTCQDLKKDVCENSGDLDMEQLMSESNSVFPESDASEPRRSKRPNESEDLSINNKKIRTVIIDSDNEADILEDKSVHGIKVEDQSTLLENIGDPSAGCNPSQGSSEKFQCTACDKVAVEVHSHPLLKVIVCKDCKFLMEEKMHVKDPDCSECYCGWCGKNNDLVSCRSCRTLFCTACIKRNIGEEYLYKVPVSGWQCCCCSPSLLQRLTSQLEKAMGSGDIMVSSSDSDSDSSDTNDGVTISSKRKKQKKIRRIIDDAELGEETKRKIAIEKERQERLKSLKVKFSDKSKMMNFASCSGNLPEGASVEVIGDATTGYIVNVAREKGEEAVRIPPSLSSKLKAHQVAGIRFLWENIIQSIRKVKSGDNGLGCILAHTMGLGKTFQVIAFLYTAMRGVDLGLRTALIVTPVNVLHNWRKEFMKWTPSEVKPIRVFMLEDVSRERRVELLAKWRAKGGVFLIGYSAFRNLSLGKNVKERNMAREMCSALQDGPDILVCDEAHIIKNTRAETTQALKLVKCQRRIALTGSPLQNNLMEYYCMVDFVREGFLGSSHEFRNRFQNPIENGQHTNSTVDDVKIMNQRSHILYEQLKGFVQRMDMSVVKKDLPPKTVFVVAVKLSPLQRKLYKRFLDVHGFTNGRASNEKTSKSFFAGYQALAQIWNHPGILQLRKGREYVGNVENFLADDCSSDENVDYNTIVEEKSRNPNDFIQGKNDDGFFQKDWWNDLLLENNYKEVDYSGKMVLLLDILVMSSDVGDKTLVFTQSIPTLDLIELYLSRLPRLGKKGKFWRKGKDWYRLDGRTESSERQRLVERFNDPKNKRVKCTLISTRAGSLGINLYAANRVVIVDGSWNPTYDLQAIYRAWRYGQTKPVFAYRLMAHGTMEEKIYKRQVTKEGLAARVVDRQQVYRTISREEMLHLFEFGDDENSDTLIDIGQEYRQADTRNISSQTANSLKQNASRSHGSCASDKVMESLVGKHRQRWIFDYHEHETLLQENEEEKLTKEEQDMAWEVYKRSLEWEEVQRVSLDDSTFERKPPMSNGASSAPDASSIPVPSMARPASEASNGAPSQSILRSRMVQRKCTNLSHLLTLRSQGTKAGCTTICGECAQEISWEDLKREGKAAR >Potri.003G083800.11.v4.1 pep chromosome:Pop_tri_v4:3:11046941:11048760:-1 gene:Potri.003G083800.v4.1 transcript:Potri.003G083800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083800.v4.1 MSTAPSSDFTTKRVPPPCWTQEESLALIKAYRDKWYSVNRGNLRVADWEAVAAAVPLKSSLQCRHKIEKLRKRYRAEKQKCLKHPGRFFSSWDLFPLLDSMEIGSLGSKVEQEIDKGNDAGDGICVKTLGDRYLLTAQKNGKINGDLDPDEVFDLDPDFALRARKYSKVDGGFSVSKDSGSGFGVSSVAFRPNDYVGVNGNIKANVGFNCDHGGEVGYKMEKTQGDRRFMPQGVRLPDHGMMADHYGSYNSDFSKGVDVYEGFPLKSLGDRNLPMQGLNPKNYKKIDRKPTPDFCDDDHVEIDYRIDKRDRFGKKVNDGWSSSFPGFIPNNCGNIDGKSRSDSRSRALKGSVNVGTNGIGDGVRREADSVALLVSAIEQATESFVKVEMMKMDMAMEIEKMRMEMGLKHNQMILESQQQIVDALAKAMLEKKKRRKVEVLSSNSNRNGYTQVAAVDCESIGKSSIVKESEACVS >Potri.016G030300.1.v4.1 pep chromosome:Pop_tri_v4:16:1692898:1695641:-1 gene:Potri.016G030300.v4.1 transcript:Potri.016G030300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G030300.v4.1 MILSKVSKHHCLLQFRIHINPQETNLPKIPFGPFNLFIQRRWKKPANTAQTRLESRTIDSKLNRLTTYLKKLKTILKIYELMSNRKRGPFVSLQLMSRWTNIVGLNVGMGEFVHKHPHVFDVFTHPVRRNLCCRISGKFKGLVSEEEGLVKDCELECAKRVKRLLMMSKNGRLHVHALRLIRRELGLPEDFRESVLGKYKEDFRLVDLEIVELVDKDENFGVAEVEKWREKEYREKWLSEFETKFAFPINFPTGFKIERGFREKLKNWQMLPYLKPYEGNEVVQVGACGGKNRYEKRAVAMIHELLSLTVEKMVEVERLAHFKKDFGMEVNVRELLLKNPGIFYISTKGSTHTVILREAYSKGCLIEPNPIYVVRRKMLDLVLLGCRNTRELQAEEEIKNGSDSLIRKAFAGNTTVGEWVVPILESFDNVNDHDDPGEIIDASEEFDGC >Potri.013G138612.2.v4.1 pep chromosome:Pop_tri_v4:13:15621654:15621935:1 gene:Potri.013G138612.v4.1 transcript:Potri.013G138612.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpl23 MDGIKYAVVTDKSIRLLLKNQYTSNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKGRRMRPIMGHTMHYRRMIITLQPGYSIPPLRKKRT >Potri.001G283500.2.v4.1 pep chromosome:Pop_tri_v4:1:23328707:23329427:-1 gene:Potri.001G283500.v4.1 transcript:Potri.001G283500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283500.v4.1 MVQWVWGLYGEGKLLEAVEYPRLCGDFNKTQMERLMIVGLSCAHPEHRRPSIRQALHVLNFDAPLPILPSKMPVPSYFAPPIPASSLSIMSYGLADSEGGMNKSSSYSYNTNSSQFTTSSSASSASAMLPHEG >Potri.012G101100.1.v4.1 pep chromosome:Pop_tri_v4:12:12402768:12406203:-1 gene:Potri.012G101100.v4.1 transcript:Potri.012G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101100.v4.1 MAQPSSHVTAPLKDELDIVIPTIRNLDFLEQWRPFFQPYHLIIVQDGDPSKNILVPDGFDCELYNRNDVNRVLGPKSSCISFKDSACRCFGFLVSKKKYIFTIDDDCFVGKDPSGKEINALAQHIQNLLTPSTPFFFNTLYDPFREGVDFVRGYPFSLRGGVPTAISHGLWLNIPDYDAPTQLVKPLERNTRYVDAVLTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCAKVICDHLSLGVKTGLPYIWHSKASNPFVNLKKEYKGIYWQEDIIPFFQSVVLPKDCTTVQQCYLELSKLVKEKLSPVDPYFNKLGDAMVTWIEAWDLLNSPAQEAAAPVDGVKKN >Potri.014G180900.1.v4.1 pep chromosome:Pop_tri_v4:14:14074530:14076972:-1 gene:Potri.014G180900.v4.1 transcript:Potri.014G180900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180900.v4.1 MANTHQGKLFLFLYLLSISCSPSRILADSSSVSTQQELEHDINHARYQEQESDTRIKLNQQEVLLHKLEQLVRNLSEIVARLEPKLSELPKVASIGREQNQEAERIDQGKFDGKRLVQKVEQEGFEVKTTRDGERVRTGSVTKYSPLWSERFQFVSAVKLDSDATCIHVLPFRDYEGLSKYVAVGDDRGRVYVFLRNGDVAVEFYTMSSSPITTMVSYLSAFKNQSTVVTGHQNGAILMHKLHYVSNGEEWSTLSMENVGKFAFHEDWDQRSPISILEVHHVGRSRYILSLDVRGMIRVFRENGTVHGSAMPTSRPLAFLKQRLLFLTESGAGSLDLRSMKVRESECEGLDHSVARYYVFDATERSKAYGFTSEGELIQVLLLGDIMNFKCRVRSKRKFDMEEPLALQSIKGYLFVVNEEKVFVYNVSSQHYVRVGGPRLLFSAGLDEIKSSFLNYQLTNAPIERRRVMPLIASDREKIVVLGLGSGYVGMYRSNLPIFKGEFNTMLWTSPVLLFVLFLFGAWQFFAKKKEALTSWGPDDPFSSASATIGAPVGSSASADRSYVDSSSRSTDMMELRAGGLIGPTRRYPSPSRYPGGATSSFRPGSADANTRPSSIDPNYRASSELTFRGPALESTGFPIRRENLFVNNQVVDDVN >Potri.009G086800.1.v4.1 pep chromosome:Pop_tri_v4:9:8091807:8098207:-1 gene:Potri.009G086800.v4.1 transcript:Potri.009G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086800.v4.1 MAVGGAAKVDEFVPFPVKDQHPGVDFCVSSSPPWPEAILLGFQHYLVMLGTSVIIPSIVVPLMGGGNVEKAEMINTLVFVAGINTLLQTWLGTRLPVVIGGSYAFIIPTITIALSTNSSTNVIFLSPRQRFKQSMRAVQGAIIIASFFQMIIGFLGFWRIFARFLSPLAAVPLVILTGLGLYARGFSQLAKCVEIGLPALLLVVFISQYVPHMMKSWSSMYSRYAVLFSVAVVWAYAAVLTVAGAYNNKPPNTQLSCRVDRSGLIGAAPWIKFPYPFQWGGPTFNAGNVFSMMAACLVAVIESTGTIIATYQYGSATHLPPSVFGRGIGWLGIGTLLDGLFGTGNGSTASVENAGLVGLTRVGSRRVIQISAGFMLLFSVLGKFGAVLASIPLPIMAALYCVLFAYVASAGLGLLQFCNLNSFRTKFILGFSLFLGLSVPQYFNEYLLVSGRGPVHTGATWFNDAIQVIFSSPATVAIIVAFFLDCTHSRGHSTTRRDSGRHWWAKFRYFSQDTRTEEFYALPWNLNRFFPSF >Potri.008G224900.3.v4.1 pep chromosome:Pop_tri_v4:8:18599595:18605253:1 gene:Potri.008G224900.v4.1 transcript:Potri.008G224900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224900.v4.1 MSELEQRLAFSCPSGTEVSHDHSLKIDEKITADSDEEADTVQEQMGKELVDSPPAARVSHDYSNQLIIADPDEEADIVKEKNREESFDSPPGRIDEQNIAAAGVSHDYSNQQIIADPDEEADIVTEKSREESFNSPLRRIDEQIIAAAGASHDYSNQQITADLDEEAGMVKEKSREELFDSPSGRIDEQIIAAAGVSRDFSNQQITADPDEETDIIKEKSREESFDSPLGRFDEQIVADADEEGKIVTEKMGNELFDSPSWADISHDRSRRTNEQIITDSDEDADIVEEQMGRELADMVKEQMEKELFDSLSGLQVSHDHSQSIHEQIIADSDEEADSINEKIGEELFDASSGRIDGQVITDSDEEGDMDTEQIGNDLLESDALAALLKAASSAGMDGGRVAITSADGSRVFSLERLVGSDSPFRIVRPAPLSETVEDVAKNDLNEEDKKVIEKIQQIAVKFLRLVQRLGQSPEDSIVAQVLHRLVVATRAHVNQEFSLENAEKMAMQLEAEGKDDLDFSLSILVLGKTGVGKSATINSIFGEKKVEINAFEPATTMLKEVVGIVDGVKIRIIDTPGLRSSVKEEAINRKILASIKTSINKFPPDVILYTDRLDTHSLDLNDLPMLRLLTKSLTSSIWKNSVVTLTHATSPPPDGPSGSPLSFEMFVGQRSHAIQQAISQAVGDLRLIHPRMMHPVSLVENHPLCQKNENSEYILPNGQSWRPQLLLLCYSLKILSEASSIAKPRDPFDHKKPFGFRLRSLPLPHLVSSLLQSRPHPKLTADQGGDDIDSDIDMVDLSDSDEEIEDEYDQLPPFKPLKKSHVAKLTKEQRKAYLEEYDYRVKLLQKKQWREEVKMLKGMKKKGKDGYDGIGEDVDQEDVGPATVPVAMPDFVLPPSFDSDNPSYRYRALEPTSQFLMRPVLDSHGWDHDCGYDGVSLERNLAVAGQFPGAFAVQITKDKKDFNIHLDSSVCAKHGENGSTMAGFDIQNVGRQLAYILRSETKFKNFKMNKTSAGISFTVLGENVATGLKIEDQIAVAKRLALVGAAGAVRSGGDTAYGANFEVCLKSKDFPIEKDQSTLGLSLMKWRGDLGLMANLQSQFSIGRNSKMAVRVGMNNKRSGQVTIKTSSSEMQVALIAIVPIVTSLLRSIYSGYAASNSHTLDY >Potri.008G224900.2.v4.1 pep chromosome:Pop_tri_v4:8:18599789:18605005:1 gene:Potri.008G224900.v4.1 transcript:Potri.008G224900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224900.v4.1 MSELEQRLAFSCPSGTEVSHDHSLKIDEKITADSDEEADTVQEQMGKELVDSPPAARVSHDYSNQLIIADPDEEADIVKEKNREESFDSPPGRIDEQNIAAAGVSHDYSNQQIIADPDEEADIVTEKSREESFNSPLRRIDEQIIAAAGASHDYSNQQITADLDEEAGMVKEKSREELFDSPSGRIDEQIIAAAGVSRDFSNQQITADPDEETDIIKEKSREESFDSPLGRFDEQIVADADEEGKIVTEKMGNELFDSPSWADISHDRSRRTNEQIITDSDEDADIVEEQMGRELADMVKEQMEKELFDSLSGLQVSHDHSQSIHEQIIADSDEEADSINEKIGEELFDASSGRIDGQVITDSDEEGDMDTEQIGNDLLESDALAALLKAASSAGMDGGRVAITSADGSRVFSLERLVGSDSPFRIVRPAPLSETVEDVAKNDLNEEDKKVIEKIQQIAVKFLRLVQRLGQSPEDSIVAQVLHRLVVATRAHVNQEFSLENAEKMAMQLEAEGKDDLDFSLSILVLGKTGVGKSATINSIFGEKKVEINAFEPATTMLKEVVGIVDGVKIRIIDTPGLRSSVKEEAINRKILASIKTSINKFPPDVILYTDRLDTHSLDLNDLPMLRLLTKSLTSSIWKNSVVTLTHATSPPPDGPSGSPLSFEMFVGQRSHAIQQAISQAVGDLRLIHPRMMHPVSLVENHPLCQKNENSEYILPNGQSWRPQLLLLCYSLKILSEASSIAKPRDPFDHKKPFGFRLRSLPLPHLVSSLLQSRPHPKLTADQGGDDIDSDIDMVDLSDSDEEIEDEYDQLPPFKPLKKSHVAKLTKEQRKAYLEEYDYRVKLLQKKQWREEVKMLKGMKKKGKDGYDGIGEDVDQEDVGPATVPVAMPDFVLPPSFDSDNPSYRYRALEPTSQFLMRPVLDSHGWDHDCGYDGVSLERNLAVAGQFPGAFAVQITKDKKDFNIHLDSSVCAKHGENGSTMAGFDIQNVGRQLAYILRSETKFKNFKMNKTSAGISFTVLGENVATGLKIEDQIAVAKRLALVGAAGAVRSGGDTAYGANFEVCLKSKDFPIEKDQSTLGLSLMKWRGDLGLMANLQSQFSIGRNSKMAVRVGMNNKRSGQVTIKTSSSEMQVALIAIVPIVTSLLRSIYSGYAASNSHTLDY >Potri.008G224900.6.v4.1 pep chromosome:Pop_tri_v4:8:18599426:18604823:1 gene:Potri.008G224900.v4.1 transcript:Potri.008G224900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224900.v4.1 MSELEQRLAFSCPSGTEVSHDHSLKIDEKITADSDEEADTVQEQMGKELVDSPPAARVSHDYSNQLIIADPDEEADIVTEKSREESFNSPLRRIDEQIIAAAGASHDYSNQQITADLDEEAGMVKEKSREELFDSPSGRIDEQIIAAAGVSRDFSNQQITADPDEETDIIKEKSREESFDSPLGRFDEQIVADADEEGKIVTEKMGNELFDSPSWADISHDRSRRTNEQIITDSDEDADIVEEQMGRELADMVKEQMEKELFDSLSGLQVSHDHSQSIHEQIIADSDEEADSINEKIGEELFDASSGRIDGQVITDSDEEGDMDTEQIGNDLLESDALAALLKAASSAGMDGGRVAITSADGSRVFSLERLVGSDSPFRIVRPAPLSETVEDVAKNDLNEEDKKVIEKIQQIAVKFLRLVQRLGQSPEDSIVAQVLHRLVVATRAHVNQEFSLENAEKMAMQLEAEGKDDLDFSLSILVLGKTGVGKSATINSIFGEKKVEINAFEPATTMLKEVVGIVDGVKIRIIDTPGLRSSVKEEAINRKILASIKTSINKFPPDVILYTDRLDTHSLDLNDLPMLRLLTKSLTSSIWKNSVVTLTHATSPPPDGPSGSPLSFEMFVGQRSHAIQQAISQAVGDLRLIHPRMMHPVSLVENHPLCQKNENSEYILPNGQSWRPQLLLLCYSLKILSEASSIAKPRDPFDHKKPFGFRLRSLPLPHLVSSLLQSRPHPKLTADQGGDDIDSDIDMVDLSDSDEEIEDEYDQLPPFKPLKKSHVAKLTKEQRKAYLEEYDYRVKLLQKKQWREEVKMLKGMKKKGKDGYDGIGEDVDQEDVGPATVPVAMPDFVLPPSFDSDNPSYRYRALEPTSQFLMRPVLDSHGWDHDCGYDGVSLERNLAVAGQFPGAFAVQITKDKKDFNIHLDSSVCAKHGENGSTMAGFDIQNVGRQLAYILRSETKFKNFKMNKTSAGISFTVLGENVATGLKIEDQIAVAKRLALVGAAGAVRSGGDTAYGANFEVCLKSKDFPIEKDQSTLGLSLMKWRGDLGLMANLQSQFSIGRNSKMAVRVGMNNKRSGQVTIKTSSSEMQVALIAIVPIVTSLLRSIYSGYAASNSHTLDY >Potri.008G224900.1.v4.1 pep chromosome:Pop_tri_v4:8:18599705:18604804:1 gene:Potri.008G224900.v4.1 transcript:Potri.008G224900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224900.v4.1 MSELEQRLAFSCPSGTEVSHDHSLKIDEKITADSDEEADTVQEQMGKELVDSPPAARVSHDYSNQLIIADPDEEADIVKEKNREESFDSPPGRIDEQNIAAAGVSHDYSNQQIIADPDEEADIVTEKSREESFNSPLRRIDEQIIAAAGASHDYSNQQITADLDEEAGMVKEKSREELFDSPSGRIDEQIIAAAGVSRDFSNQQITADPDEETDIIKEKSREESFDSPLGRFDEQIVADADEEGKIVTEKMGNELFDSPSWADISHDRSRRTNEQIITDSDEDADIVEEQMGRELADMVKEQMEKELFDSLSGLQVSHDHSQSIHEQIIADSDEEADSINEKIGEELFDASSGRIDGQVITDSDEEGDMDTEQIGNDLLESDALAALLKAASSAGMDGGRVAITSADGSRVFSLERLVGSDSPFRIVRPAPLSETVEDVAKNDLNEEDKKVIEKIQQIAVKFLRLVQRLGQSPEDSIVAQVLHRLVVATRAHVNQEFSLENAEKMAMQLEAEGKDDLDFSLSILVLGKTGVGKSATINSIFGEKKVEINAFEPATTMLKEVVGIVDGVKIRIIDTPGLRSSVKEEAINRKILASIKTSINKFPPDVILYTDRLDTHSLDLNDLPMLRLLTKSLTSSIWKNSVVTLTHATSPPPDGPSGSPLSFEMFVGQRSHAIQQAISQAVGDLRLIHPRMMHPVSLVENHPLCQKNENSEYILPNGQSWRPQLLLLCYSLKILSEASSIAKPRDPFDHKKPFGFRLRSLPLPHLVSSLLQSRPHPKLTADQGGDDIDSDIDMVDLSDSDEEIEDEYDQLPPFKPLKKSHVAKLTKEQRKAYLEEYDYRVKLLQKKQWREEVKMLKGMKKKGKDGYDGIGEDVDQEDVGPATVPVAMPDFVLPPSFDSDNPSYRYRALEPTSQFLMRPVLDSHGWDHDCGYDGVSLERNLAVAGQFPGAFAVQITKDKKDFNIHLDSSVCAKHGENGSTMAGFDIQNVGRQLAYILRSETKFKNFKMNKTSAGISFTVLGENVATGLKIEDQIAVAKRLALVGAAGAVRSGGDTAYGANFEVCLKSKDFPIEKDQSTLGLSLMKWRGDLGLMANLQSQFSIGRNSKMAVRVGMNNKRSGQVTIKTSSSEMQVALIAIVPIVTSLLRSIYSGYAASNSHTLDY >Potri.008G224900.5.v4.1 pep chromosome:Pop_tri_v4:8:18599640:18604765:1 gene:Potri.008G224900.v4.1 transcript:Potri.008G224900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224900.v4.1 MSELEQRLAFSCPSGTEVSHDHSLKIDEKITADSDEEADTVQEQMGKELVDSPPAARVSHDYSNQLIIADPDEEADIVKEKNREESFDSPPGRIDEQNIAAAGVSHDYSNQQIIADPDEEADIVTEKSREESFNSPLRRIDEQIIAAAGASHDYSNQQITADLDEEAGMVKEKSREELFDSPSGRIDEQIIAAAGVSRDFSNQQITADPDEETDIIKEKSREESFDSPLGRFDEQIVADADEEGKIVTEKMGNELFDSPSWADISHDRSRRTNEQIITDSDEDADIVEEQMGRELADMVKEQMEKELFDSLSGLQVSHDHSQSIHEQIIADSDEEADSINEKIGEELFDASSGRIDGQVITDSDEEGDMDTEQIGNDLLESDALAALLKAASSAGMDGGRVAITSADGSRVFSLERLVGSDSPFRIVRPAPLSETVEDVAKNDLNEEDKKVIEKIQQIAVKFLRLVQRLGQSPEDSIVAQVLHRLVVATRAHVNQEFSLENAEKMAMQLEAEGKDDLDFSLSILVLGKTGVGKSATINSIFGEKKVEINAFEPATTMLKEVVGIVDGVKIRIIDTPGLRSSVKEEAINRKILASIKTSINKFPPDVILYTDRLDTHSLDLNDLPMLRLLTKSLTSSIWKNSVVTLTHATSPPPDGPSGSPLSFEMFVGQRSHAIQQAISQAVGDLRLIHPRMMHPVSLVENHPLCQKNENSEYILPNGQSWRPQLLLLCYSLKILSEASSIAKPRDPFDHKKPFGFRLRSLPLPHLVSSLLQSRPHPKLTADQGGDDIDSDIDMVDLSDSDEEIEDEYDQLPPFKPLKKSHVAKLTKEQRKAYLEEYDYRVKLLQKKQWREEVKMLKGMKKKGKDGYDGIGEDVDQEDVGPATVPVAMPDFVLPPSFDSDNPSYRYRALEPTSQFLMRPVLDSHGWDHDCGYDGVSLERNLAVAGQFPGAFAVQITKDKKDFNIHLDSSVCAKHGENGSTMAGFDIQNVGRQLAYILRSETKFKNFKMNKTSAGISFTVLGENVATGLKIEDQIAVAKRLALVGAAGAVRSGGDTAYGANFEVCLKSKDFPIEKDQSTLGLSLMKWRGDLGLMANLQSQFSIGRNSKMAVRVGMNNKRSGQVTIKTSSSEMQVALIAIVPIVTSLLRSIYSGYAASNSHTLDY >Potri.008G224900.4.v4.1 pep chromosome:Pop_tri_v4:8:18599450:18604810:1 gene:Potri.008G224900.v4.1 transcript:Potri.008G224900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224900.v4.1 MSELEQRLAFSCPSGTEVSHDHSLKIDEKITADSDEEADTVQEQMGKELVDSPPAARVSHDYSNQLIIADPDEEADIVKEKNREESFDSPPGRIDEQNIAAAGVSHDYSNQQIIADPDEEADIVTEKSREESFNSPLRRIDEQIIAAAGASHDYSNQQITADLDEEAGMVKEKSREELFDSPSGRIDEQIIAAAGVSRDFSNQQITADPDEETDIIKEKSREESFDSPLGRFDEQIVADADEEGKIVTEKMGNELFDSPSWADISHDRSRRTNEQIITDSDEDADIVEEQMGRELADMVKEQMEKELFDSLSGLQVSHDHSQSIHEQIIADSDEEADSINEKIGEELFDASSGRIDGQVITDSDEEGDMDTEQIGNDLLESDALAALLKAASSAGMDGGRVAITSADGSRVFSLERLVGSDSPFRIVRPAPLSETVEDVAKNDLNEEDKKVIEKIQQIAVKFLRLVQRLGQSPEDSIVAQVLHRLVVATRAHVNQEFSLENAEKMAMQLEAEGKDDLDFSLSILVLGKTGVGKSATINSIFGEKKVEINAFEPATTMLKEVVGIVDGVKIRIIDTPGLRSSVKEEAINRKILASIKTSINKFPPDVILYTDRLDTHSLDLNDLPMLRLLTKSLTSSIWKNSVVTLTHATSPPPDGPSGSPLSFEMFVGQRSHAIQQAISQAVGDLRLIHPRMMHPVSLVENHPLCQKNENSEYILPNGQSWRPQLLLLCYSLKILSEASSIAKPRDPFDHKKPFGFRLRSLPLPHLVSSLLQSRPHPKLTADQGGDDIDSDIDMVDLSDSDEEIEDEYDQLPPFKPLKKSHVAKLTKEQRKAYLEEYDYRVKLLQKKQWREEVKMLKGMKKKGKDGYDGIGEDVDQEDVGPATVPVAMPDFVLPPSFDSDNPSYRYRALEPTSQFLMRPVLDSHGWDHDCGYDGVSLERNLAVAGQFPGAFAVQITKDKKDFNIHLDSSVCAKHGENGSTMAGFDIQNVGRQLAYILRSETKFKNFKMNKTSAGISFTVLGENVATGLKIEDQIAVAKRLALVGAAGAVRSGGDTAYGANFEVCLKSKDFPIEKDQSTLGLSLMKWRGDLGLMANLQSQFSIGRNSKMAVRVGMNNKRSGQVTIKTSSSEMQVALIAIVPIVTSLLRSIYSGYAASNSHTLDY >Potri.001G047100.1.v4.1 pep chromosome:Pop_tri_v4:1:3468072:3473086:-1 gene:Potri.001G047100.v4.1 transcript:Potri.001G047100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047100.v4.1 MEVTTTSSWDALRKQARKLEAQLDEQMNSYRKLASSKGSTKVDSAENDPESGIDRLLKQLQQVNSQMQAWVSSGGSEMVSHTLTRHQEILQDLTQEFHRLRSGMRAKQEHALLLEDFREFDRTRLDLEDGVGSADQALLREHASISRNTGQMDNVISQAQATLGSLVLQRSTFGGINSKLSNVSSRLPTVNQILSAIKRRKSMDSIILSLVASVCTFLIFIYWVTK >Potri.012G079700.1.v4.1 pep chromosome:Pop_tri_v4:12:10423816:10430986:1 gene:Potri.012G079700.v4.1 transcript:Potri.012G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079700.v4.1 MMVGNNVCGMGQSITTVPQLRPFCCIDFSAHKSTNFSFLFGWGRSSALLNNNRNSSFSLNKTTRKNSNLHCCRCNNSNNTLEWDWNRWNRHFSEIEQAESFASVLKFQLEDAIENEDFQEAAKLKIAIAEATSKDNVAHIMSELKNAVEEERYHDASKLCKYTGSGLVGWWVGYSSDSDDPFGRLVRITPGVGRFVGRSYTPRQLVSSSLGTPLFEIFVVKDAEEKYAMQVVCLQRTKGRSTNSTSSRSKSTQVPSISEDKNASVVDVQENDIKTERSEGKSINIEEATEEGIKSVINFLKDKIPGLKVKVVNINTTDEVMEDSDFVKQLMQEDDDKTGSSENSEEHASDLEEIQPDEVALEGSSDSSEDGKDLDMKLFIGGVVHNNEDTPSKDEYVRLPAEIKDMERDSFVLHVQRSLDSGSKESKASKVKVAAITAKGISELMPSDVAKAFWGADKVSSKVSRNVREIVKLAVSQAQKQSSLSEYTTFSRVTTSNSSLDPFDGLYVGAFGPYGTEIVQLRRKYGHWNIEDDQSSDVEFFEYVEAVKLTGDLNVPAGQVTFRARIGKGSRNSNRGKYPDELGVVASYKGQGRIAEFGFKNPQWVDGELLQLNGRGIGPYVKGADLGFLYVVPEQSFLVLFNRLKLPD >Potri.008G098400.2.v4.1 pep chromosome:Pop_tri_v4:8:6143134:6149966:1 gene:Potri.008G098400.v4.1 transcript:Potri.008G098400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G098400.v4.1 MGRGRVELKRIENKINRQVTFAKRRNGLLKKAYELSVLCDAEVALIIFSNSGKLFEFCSSSNMATTIEKYQRFSYGALEGGQSEKETQNNYQEYLKLKTRVDVLQRSQRNLLGEDLGNLGTMELDQLENQLDSSLKQIRSRKGQFVLDELSELQRKEELLLETNNALKRKLEETSAAIRLSWKVGEQRVPYSFQPVQPYDPIEPLQYNSTFQFGYNPAETDQATVTSSSQNVNGFIPGWML >Potri.014G034700.2.v4.1 pep chromosome:Pop_tri_v4:14:2168518:2174526:1 gene:Potri.014G034700.v4.1 transcript:Potri.014G034700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034700.v4.1 MAPPVSPFSLAPSLSSSSSCLQLHRRFFSPSLRTSASASSTSNSAPKVVVTREGGKNGKLIKALAKYGISCLELPLIQHTQGPDSDKLSSVLCDSAFDWIIVTSPEAGSVFLEAWKVAGTPKVKLGVVGAGTASIFEEVMQSSERSLDVAFTPSKATGKVLASELPRNGNKRCTVLYPASAKASNEIEQGLYNRGFEVVRLNTYTTVPVGHVDEMVLKQAISAPVVAVASPSSVRAWANLIPESEEWNNSVACIGETTGSAAKRLGFKKVYFPRQPGLEGWVDSILEALRAHNDS >Potri.014G034700.3.v4.1 pep chromosome:Pop_tri_v4:14:2168503:2174527:1 gene:Potri.014G034700.v4.1 transcript:Potri.014G034700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034700.v4.1 MAPPVSPFSLAPSLSSSSSCLQLHRRFFSPSLRTSASASSTSNSAPKVVVTREGGKNGKLIKALAKYGISCLELPLIQHTQGPDSDKLSSVLCDSAFDWIIVTSPEAGSVFLEAWKVAGTPKVKLGVVGAGTASIFEEVMQSSERSLDVAFTPSKATGKVLASELPRNGNKRCTVLYPASAKASNEIEQGLYNRGFEVVRLNTYTTVPVGHVDEMVLKQAISAPVVAVASPSSVRAWANLIPESEEWNNSVACIGETTGSAAKRLGFKKVYFPRQPGLEGWVDSILEALRAHNDS >Potri.003G046101.1.v4.1 pep chromosome:Pop_tri_v4:3:5403589:5404178:1 gene:Potri.003G046101.v4.1 transcript:Potri.003G046101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046101.v4.1 MFRCSMQRLSAAINRLSRWNWKQCIEIFHLERRVAAIMWWWFGSRESVSFSFLFFLFSIRDSSLVYENLKKIPTS >Potri.006G185200.1.v4.1 pep chromosome:Pop_tri_v4:6:19138665:19148084:-1 gene:Potri.006G185200.v4.1 transcript:Potri.006G185200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185200.v4.1 MEDILISSSETEMRIESGVDIENGYKVDCTLKRSAKFQLATKHYSGFKNSTADFKIETLNPDNNSRKRPFGFDHHHPGHSGKRVDGSDFVENGLDPELCFGITFRRIGAGLENLGNTCFLNSVVQCLTYTEPLAAYLQSGKHQNSCHVAGFCALCAIQKHVSRALQSSGRSLVPKDLVSNLRCISRNFRNARQEDAHEYMVNLLESMHKCCLPSGVPSESPAAYETSLVHKIFGGSLCSQVECQQCSYCSNKFDPFLDLSLEIAKADTLPALLRNFTAAEMLDGGEKHYQCQRCKQKVRAKKWLTVHKAPHVLTIHLKRFHAHDPGRKVDRKVIFDRSLDMKPFVSGSYEGDLKYSLYGVLVHYGHNTHSGHYVCFVRTSSGIWHLLNDNQVRQVSEKAVLEQKAYMLFYVRDRKTIVPRKPVDVVHKESMKATFGNNFADLVAKQFSNECVGGGLIGNRLEATDSPAAMNKKDASSVVTSSEIHPKDTSFQQSSRQTLLKVDSSLETSSAPLSTDPSKLANSHLGECLPPSTASLNSNNVGPKLENASVITEAKTSDCKEPFSSSSGPQSSAIDKLVTRETSQKINGDQNVGVSSQAPYEDSCGKTVGEVPRLAPSEGSTDKAFDKSNTVKSPNKPGCESDQGGDIPIESAAWKTPSDKAGEGGQYIVHQLVEGLIPTAFVPSVIQNECLQSKAPDCLPKKKLKNKRRMHLGTNLFKVSLGLQKRKKHKKSNCHTSKTSNLIKENLQEQPENDVFSSELGPSTSKISSTVLLASMNSRRKMAKSGSRKGDNVRNCRDMGVVDVESVERISPSSAVLAMDEQRRKISISISEVNQGDPREPDCSENSKRYASQNRMMGVITGGVKETVSPWDGIAMPPQIVESNGVENLSTGYVADEWDEEYDRGKRKKPRQSMHNFDGPNLLQAFATKKTQVKKAKIDRSRSGNQPFRI >Potri.006G212800.1.v4.1 pep chromosome:Pop_tri_v4:6:21934210:21935710:1 gene:Potri.006G212800.v4.1 transcript:Potri.006G212800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212800.v4.1 MPQVDLETLVSACAGGSCDRKVACETIAASSTTTTNHSQPPLDSSDLAEVPPDFPPESFWLSKDAELDWFSTNAYYERKDSTKGNSNSTNLNPNIIPNPNPSNSQRFSSLHTKASIIGLPKTQKSTFVVDKKNRRNCKPGNTRLFPKRSGSTGKSDSTTMVEPSSPKVSCMGRVRSKKDRNRRLRKQQQEQRSFQSIGKKESTKRDKKEKKSFFASFKAIFRSKSNNKDISLKSGNVTSHGGSVSESYALKKSSDIRDRLPASDRDAPPRRSVGMEPVVGLGGMTRFASGRRSESWSVEIDVV >Potri.002G156866.1.v4.1 pep chromosome:Pop_tri_v4:2:11950011:11951900:1 gene:Potri.002G156866.v4.1 transcript:Potri.002G156866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156866.v4.1 MQVNNAAIRGTTIDSDASAASKITGTEDDLQNVWKKVSIQNYELAEECLNTNCCGAKRTAEALIPLLQLSDSPRIVNVSSFLSMLKNIPNEWAKGVFSDVDTFTEERIDELLSVFLKDFKEDSLETKGWPASLSAYALSKAAMNAHTRIVAKKHPNFCINCICPGFVKTDMSNNTGTLSVDEAAVYPVKLALLPDGGPSGLFFILDKLSCF >Potri.005G057500.1.v4.1 pep chromosome:Pop_tri_v4:5:3602959:3604496:1 gene:Potri.005G057500.v4.1 transcript:Potri.005G057500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057500.v4.1 MVRDVNLYVTVPNLFRCPISLDVMKSPVSLCTGVTYDRTSIQRWLDSGNNTCPATMQVLNSKEFVPNRTLQRLIQIWSDSVQTQNDLRVDSAAHSVVTEEEVEVLVKEMRAQKEKVDHLSKFICFAKESEENCEFLAKFDGFVEMLVGFLDGDKDIDFLERVVRVFAMILNKVGDYKALRLLILKQNNGGSHDCLSSLLLVLKQGRSVNSRVGVIKIIEAITLDAESKQMLSEKEGFLLELVKLISLEKDPSLIEASLSCLTAISMSKRVKIKLINLKIIAELRKLLTGGQNASVSIIEKALKLLEMVASFREGRAEFCNDAACVEAVMNKVLKVSSEATEHAVMILWSACYLFRDRVAKDAVVKSNGLTKILLLMQSNCSPGVRQMSGDLLKIFRVNSKSDYCLSSYETKTTHIMPF >Potri.010G160100.5.v4.1 pep chromosome:Pop_tri_v4:10:16608443:16616589:-1 gene:Potri.010G160100.v4.1 transcript:Potri.010G160100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160100.v4.1 MDDRDNLDPGTEFTSESSWTLGHDSDSVNYFFSNDRENSILSEFGWNLQSDEPSRIKDLDRIEAEERSDSAGNLEIQRSSAAGPARSSGRGSRDVSTTNYPSVSSSSSEDPPEKSTDSGGKPPEIPSTVKKKGQKRIRQPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTNSKCTVKKRVERSSEDPTIVITTYEGQHCHHTVGFPRGGIICHQATFSSHMTPPMSQFIYPGMQLPRENPPSTVVQSRPLPVEARECSTVSSTPTPQLATDGLLGDIVPPGMR >Potri.004G009400.2.v4.1 pep chromosome:Pop_tri_v4:4:545879:547150:-1 gene:Potri.004G009400.v4.1 transcript:Potri.004G009400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009400.v4.1 MASLQVSGMVVSGSSSSFCSHKNLVRATINGQKIRIGPLSLPRLSSKDFIEELSIRSAGYGIPITTQMEKGSGPKTSSSDPVVVAKLHALMEAVADRIEMHKNIGEQRDNWNHLLLSSIHAMTLTAATMCGLAAASASGEPLAGLKVSSTILYLAATGMLAIMNKIQPSQLAEEQRNAARLFNQLHGQIQTLLSVGSPTVSDVNEATENVLALDKAYPLPLLGAMLERYPSSVEPAVWWPQQRRKQAKGLGRKIEGNGWNRELEDEMREIVGVLKRKDKADYLRLSGKALKAHKMLAFSGPLLTGLGALGSAFVGTSNPWAVILGVAGGALASVVNAMEHGGQVGMIFEMYRSNAGFFKLMEESIESNINETNVWGRENGQVYEMKVALQLGRSLSDLRNLAASSSLRNIEEDTEEEFGSKLF >Potri.010G064000.1.v4.1 pep chromosome:Pop_tri_v4:10:9282287:9283812:-1 gene:Potri.010G064000.v4.1 transcript:Potri.010G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064000.v4.1 MSLGVMAGQLRWGGLIEEGWRKGPWTAEEDRLLVEYVRLHGEGRWNSVARLAGLKRNGKSCRLRWVNYLRPDLKRGQITPHEERIIVELHARWGNRWSTIARSLPGRTDNEIKNYWRTHFKKKAKLSPDNSDKARTHLLKRQQFQQQQQQLQQQQQQIQHQQLLQLNQLDMKMIMSLLDENENKAPHTPQMSQEMAPHAVYPNTTEEHGLLYNMFNASVPEASNEDILWDGLWNLDDVHGNFGVACATSRASMHNLVSPFC >Potri.001G011000.1.v4.1 pep chromosome:Pop_tri_v4:1:706661:708472:-1 gene:Potri.001G011000.v4.1 transcript:Potri.001G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G011000.v4.1 MHLSKAIVAAFFSVVLLRGTLAYGQLTPTFYDQTCPNVSSIIRNVITETLVSDPRIGASLIRLHFHDCFVNGCDGSLLLDNTDTIVSEKEAGGNNNSARGFEVVDTMKALLESACPATVSCADILTIAAEESVVLAGGPNWTVPLGRRDSTTASRDAANAFLPAPSLTLDQLRESFTNVSLNNNSDLVALSGAHTFGRAKCSTLDFRLYDFNSTGAPDPSLDTTLLAALQELCPPGGNRSVITDLDPTTPDVFDSNYYSNLQGNRGLLQTDQELFSTPGADDVIALVNAFSANQTAFFESFVESMIRMGNLSPLTGTEGEIRLNCRVVNANLAGPDSMLVSSI >Potri.008G114700.1.v4.1 pep chromosome:Pop_tri_v4:8:7303906:7306750:-1 gene:Potri.008G114700.v4.1 transcript:Potri.008G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114700.v4.1 MMKTFNINSRVILVLCIMSLGVWCYGEDDYNDAVPMEEGEKTALYSAIQGFVGNWWNGSDLYPDPCGWTPVQGVSCDLFDGLWYVTALSIGPILDNYLDCAPIVEFRPQLFELKHLKSLTFFSCFVSPHEHPIIIPSKNWEKLAGDLETLEFRSNPGLIGKVPSSFGSLIRLQSLVLLENGLTGELPRNVGNLTKLKRLVLAANWFYGRIPDNFGGLNELLILDLSRNLLSGSLPLTLGGLNSLLKLDLSNNQLVGSLPTVMCYMKNLTLLDLRNNRFSGGLTKSLQEMYSLEEMALSNNPIGGDLQGLEWHSLQNLVVLDLSNMGLTGEIPESIAELKRLRFLGLRGNRLTGNLSPKLATLPCVSALYLDGNNLTGELKFSGWFYGKMGRRFGAWNNPNLCYPVGLMSTGHAPYGVKPCQQEVSLVEASTNSKLGDGNFNKNSRLMASLGFPSYVIDGFWRFYLVQVFMMVQLLNLSL >Potri.004G160400.3.v4.1 pep chromosome:Pop_tri_v4:4:18032669:18040194:-1 gene:Potri.004G160400.v4.1 transcript:Potri.004G160400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160400.v4.1 MARKLRFFKEQMEKAGVTPSTKPMTQTEIDVDDLEVKLGEFEAELVEMNTNDEKLQRSYNELVEYKLVLNKAGGFFSSAFSSATAQQKEIESQQTGEESLDTPLLQDREISIESSKQVKLGFITGLVSKEKSMLFERIIFRATRGNVYTRQAAVEEPVIDPVSGEKVEKNVFVVFYSGEKAKTKILRICEAFGANRYSFTEDFGKQVQMISEVSGRLAELRTAIDAGLLQKSKLLQTIGDQFVQWNTLARKEKSIYHTMNMLSLDVTKKCLVAEGWSPVFATNLIQDALQKAAFDSNSQVGAIFQVLHTSESPPTYFHTNKFTSAFQDIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLAALVFIIREKKLSGQKLGDITEMTFGGRYVILMMALFSIYTGIIYNEFFSVPFELFAPSAYACRDLSCRDATTVGLIKARPTYPFGVDPVWHGSRSELPFLNSLKMKMSILIGVTQMNLGIILSYFNAAYFRNSLNVWFQFIPQIIFLNSLFGYLSLLIILKWCTGSQADLYHVMIYMFLSPTDELGENQLFPQQKTVQLVLLLLALVSVPWMLLPKPFLLKMQHQARQGESYMPLQSTEESLQLEANHDSHGHEEFEFSEVFVHQMIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNIIILAVGAILFIFVTVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFASVNDEVE >Potri.004G160400.4.v4.1 pep chromosome:Pop_tri_v4:4:18032705:18039642:-1 gene:Potri.004G160400.v4.1 transcript:Potri.004G160400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160400.v4.1 MARKLRFFKEQMEKAGVTPSTKPMTQTEIDVDDLEVKLGEFEAELVEMNTNDEKLQRSYNELVEYKLVLNKAGGFFSSAFSSATAQQKEIESQQTGEESLDTPLLQDREISIESSKQVKLGFITGLVSKEKSMLFERIIFRATRGNVYTRQAAVEEPVIDPVSGEKVEKNVFVVFYSGEKAKTKILRICEAFGANRYSFTEDFGKQVQMISEVSGRLAELRTAIDAGLLQKSKLLQTIGDQFVQWNTLARKEKSIYHTMNMLSLDVTKKCLVAEGWSPVFATNLIQDALQKAAFDSNSQVGAIFQVLHTSESPPTYFHTNKFTSAFQDIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLAALVFIIREKKLSGQKLGDITEMTFGGRYVILMMALFSIYTGIIYNEFFSVPFELFAPSAYACRDLSCRDATTVGLIKARPTYPFGVDPVWHGSRSELPFLNSLKMKMSILIGVTQMNLGIILSYFNAAYFRNSLNVWFQFIPQIIFLNSLFGYLSLLIILKWCTGSQADLYHVMIYMFLSPTDELGENQLFPQQKTVQLVLLLLALVSVPWMLLPKPFLLKMQHQARQGESYMPLQSTEESLQLEANHDSHGHEEFEFSEVFVHQMIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNIIILAVGAILFIFVTVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFASVNDEVE >Potri.004G160400.1.v4.1 pep chromosome:Pop_tri_v4:4:18032625:18040194:-1 gene:Potri.004G160400.v4.1 transcript:Potri.004G160400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160400.v4.1 MAEARVAGGGCCPPMDLFRSEAMQLVQLIIPIESAHHTVSYIGDLGLIQFKDLNADKSPFQRTYAAQIKKFGEMARKLRFFKEQMEKAGVTPSTKPMTQTEIDVDDLEVKLGEFEAELVEMNTNDEKLQRSYNELVEYKLVLNKAGGFFSSAFSSATAQQKEIESQQTGEESLDTPLLQDREISIESSKQVKLGFITGLVSKEKSMLFERIIFRATRGNVYTRQAAVEEPVIDPVSGEKVEKNVFVVFYSGEKAKTKILRICEAFGANRYSFTEDFGKQVQMISEVSGRLAELRTAIDAGLLQKSKLLQTIGDQFVQWNTLARKEKSIYHTMNMLSLDVTKKCLVAEGWSPVFATNLIQDALQKAAFDSNSQVGAIFQVLHTSESPPTYFHTNKFTSAFQDIVDAYGVAKYQEANPGVYTIVTFPFLFAVMFGDWGHGICLLLAALVFIIREKKLSGQKLGDITEMTFGGRYVILMMALFSIYTGIIYNEFFSVPFELFAPSAYACRDLSCRDATTVGLIKARPTYPFGVDPVWHGSRSELPFLNSLKMKMSILIGVTQMNLGIILSYFNAAYFRNSLNVWFQFIPQIIFLNSLFGYLSLLIILKWCTGSQADLYHVMIYMFLSPTDELGENQLFPQQKTVQLVLLLLALVSVPWMLLPKPFLLKMQHQARQGESYMPLQSTEESLQLEANHDSHGHEEFEFSEVFVHQMIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYEKVLLLAWGYNNIIILAVGAILFIFVTVGVLLVMETLSAFLHALRLHWVEFQNKFYEGDGYKFYPFSFASVNDEVE >Potri.013G159500.4.v4.1 pep chromosome:Pop_tri_v4:13:15377008:15402758:1 gene:Potri.013G159500.v4.1 transcript:Potri.013G159500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159500.v4.1 MIRNSGAFSLLGMSKSRACTFGAVLLIWLSCSSLLVAAQDGITDPVEVKALQDIKNSLIDINKNLSNWRRGDPCTSNWTGVWCFNTAKEDGYLHVKELQLLNMNLSGTLSPSLGLLSYMEILDFMWNSITGSIPPEIGNIKSLELLLLNGNQLTGPLPEELGYLPKLDRIQIDQNHISGPIPKSFAYLNSTKHFHMNNNSISGQIPAELSRLPNLVHFLLDNNNLSGTLPPDLYKLPKLLILQLDNNHFDGSTIPPSYGNMTQLLKLSLRNCSLRGPMPDLSRIPNLGYLDLSFNQLAGPIPPKKLSENITTIDLSNNTLNGTIPAYFSDLPRLQLLSTANNSLSGSVPSTIWQTRTNRNEGLHLHFENNRLSNISGSTSLPQNVTLWLQGNPACLNFNIVRFCGSQNGDVNNQSSTESNVTCPAQSCPPPNEYFRTSPISCFCAAPLIIGYRLKSPGFTNFIPYRVAFEDHLTSGLELHLYQLDLSSTIWEEGPRLKMQLKLFPVYVNENSSHTFNDSEVRRIITLFRGWNIPGSRLFGPYELLYINLLDPYTNVLFVTPQKSKISTGALVGVVLGAIAGAVTLSAVVSLLIVRKRSRDYRAISKRRRVSKASLKIEGVKYFSYAEMALATNNFNRSSQVGQGGYGKVYKGFLADGRTVAIKRAEEASFQGEREFLTEIELLSRVHHRNLVSLIGFCDEGGEQMLVYEFMSNGTLRDHLSAKAKEPLSFATRLGIALDSAKGILYLHTEADPPIFHRDVKASNILLDSRYNAKVADFGLSKLAPVPDIEGDVPGHISTVVKGTPGYLDPEYFLTGKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVKEVNIAYQTGIIFSVVDGRMRSYPSDCVDKFSTLAMKCCNYETDERPSMIDVVRELENMWHMMPESDTKTTDTMSIDIGMEMTSPSSYSLLKNPCVSSEVSSSNLVGRVAPTITPR >Potri.013G159500.1.v4.1 pep chromosome:Pop_tri_v4:13:15396304:15402642:1 gene:Potri.013G159500.v4.1 transcript:Potri.013G159500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159500.v4.1 MNLSGTLSPSLGLLSYMEILDFMWNSITGSIPPEIGNIKSLELLLLNGNQLTGPLPEELGYLPKLDRIQIDQNHISGPIPKSFAYLNSTKHFHMNNNSISGQIPAELSRLPNLVHFLLDNNNLSGTLPPDLYKLPKLLILQLDNNHFDGSTIPPSYGNMTQLLKLSLRNCSLRGPMPDLSRIPNLGYLDLSFNQLAGPIPPKKLSENITTIDLSNNTLNGTIPAYFSDLPRLQLLSTANNSLSGSVPSTIWQTRTNRNEGLHLHFENNRLSNISGSTSLPQNVTLWLQGNPACLNFNIVRFCGSQNGDVNNQSSTESNVTCPAQSCPPPNEYFRTSPISCFCAAPLIIGYRLKSPGFTNFIPYRVAFEDHLTSGLELHLYQLDLSSTIWEEGPRLKMQLKLFPVYVNENSSHTFNDSEVRRIITLFRGWNIPGSRLFGPYELLYINLLDPYTNVLFVTPQKSKISTGALVGVVLGAIAGAVTLSAVVSLLIVRKRSRDYRAISKRRRVSKASLKIEGVKYFSYAEMALATNNFNRSSQVGQGGYGKVYKGFLADGRTVAIKRAEEASFQGEREFLTEIELLSRVHHRNLVSLIGFCDEGGEQMLVYEFMSNGTLRDHLSAKAKEPLSFATRLGIALDSAKGILYLHTEADPPIFHRDVKASNILLDSRYNAKVADFGLSKLAPVPDIEGDVPGHISTVVKGTPGYLDPEYFLTGKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVKEVNIAYQTGIIFSVVDGRMRSYPSDCVDKFSTLAMKCCNYETDERPSMIDVVRELENMWHMMPESDTKTTDTMSIDIGMEMTSPSSYSLLKNPCVSSEVSSSNLVGRVAPTITPR >Potri.013G159500.7.v4.1 pep chromosome:Pop_tri_v4:13:15396389:15402768:1 gene:Potri.013G159500.v4.1 transcript:Potri.013G159500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159500.v4.1 MWNSITGSIPPEIGNIKSLELLLLNGNQLTGPLPEELGYLPKLDRIQIDQNHISGPIPKSFAYLNSTKHFHMNNNSISGQIPAELSRLPNLVHFLLDNNNLSGTLPPDLYKLPKLLILQLDNNHFDGSTIPPSYGNMTQLLKLSLRNCSLRGPMPDLSRIPNLGYLDLSFNQLAGPIPPKKLSENITTIDLSNNTLNGTIPAYFSDLPRLQLLSTANNSLSGSVPSTIWQTRTNRNEGLHLHFENNRLSNISGSTSLPQNVTLWLQGNPACLNFNIVRFCGSQNGDVNNQSSTESNVTCPAQSCPPPNEYFRTSPISCFCAAPLIIGYRLKSPGFTNFIPYRVAFEDHLTSGLELHLYQLDLSSTIWEEGPRLKMQLKLFPVYVNENSSHTFNDSEVRRIITLFRGWNIPGSRLFGPYELLYINLLDPYTNVLFVTPQKSKISTGALVGVVLGAIAGAVTLSAVVSLLIVRKRSRDYRAISKRRRVSKASLKIEGVKYFSYAEMALATNNFNRSSQVGQGGYGKVYKGFLADGRTVAIKRAEEASFQGEREFLTEIELLSRVHHRNLVSLIGFCDEGGEQMLVYEFMSNGTLRDHLSAKAKEPLSFATRLGIALDSAKGILYLHTEADPPIFHRDVKASNILLDSRYNAKVADFGLSKLAPVPDIEGDVPGHISTVVKGTPGYLDPEYFLTGKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVKEVNIAYQTGIIFSVVDGRMRSYPSDCVDKFSTLAMKCCNYETDERPSMIDVVRELENMWHMMPESDTKTTDTMSIDIGMEMTSPSSYSLLKNPCVSSEVSSSNLVGRVAPTITPR >Potri.013G159500.5.v4.1 pep chromosome:Pop_tri_v4:13:15377650:15402834:1 gene:Potri.013G159500.v4.1 transcript:Potri.013G159500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159500.v4.1 MIRNSGAFSLLGMSKSRACTFGAVLLIWLSCSSLLVAAQDGITDPVEVKALQDIKNSLIDINKNLSNWRRGDPCTSNWTGVWCFNTAKEDGYLHVKELQLLNMNLSGTLSPSLGLLSYMEILDFMWNSITGSIPPEIGNIKSLELLLLNGNQLTGPLPEELGYLPKLDRIQIDQNHISGPIPKSFAYLNSTKHFHMNNNSISGQIPAELSRLPNLVHFLLDNNNLSGTLPPDLYKLPKLLILQLDNNHFDGSTIPPSYGNMTQLLKLSLRNCSLRGPMPDLSRIPNLGYLDLSFNQLAGPIPPKKLSENITTIDLSNNTLNGTIPAYFSDLPRLQLLSTANNSLSGSVPSTIWQTRTNRNEGLHLHFENNRLSNISGSTSLPQNVTLWLQGNPACLNFNIVRFCGSQNGDVNNQSSTESNVTCPAQSCPPPNEYFRTSPISCFCAAPLIIGYRLKSPGFTNFIPYRVAFEDHLTSGLELHLYQLDLSSTIWEEGPRLKMQLKLFPVYVNENSSHTFNDSEVRRIITLFRGWNIPGSRLFGPYELLYINLLDPYTNVLFVTPQKSKISTGALVGVVLGAIAGAVTLSAVVSLLIVRKRSRDYRAISKRRRVSKASLKIEGVKYFSYAEMALATNNFNRSSQVGQGGYGKVYKGFLADGRTVAIKRAEEASFQGEREFLTEIELLSRVHHRNLVSLIGFCDEGGEQMLVYEFMSNGTLRDHLSAKAKEPLSFATRLGIALDSAKGILYLHTEADPPIFHRDVKASNILLDSRYNAKVADFGLSKLAPVPDIEGDVPGHISTVVKGTPGYLDPEYFLTGKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVKEVNIAYQTGIIFSVVDGRMRSYPSDCVDKFSTLAMKCCNYETDERPSMIDVVRELENMWHMMPESDTKTTDTMSIDIGMEMTSPSSYSLLKNPCVSSEVSSSNLVGRVAPTITPR >Potri.013G159500.6.v4.1 pep chromosome:Pop_tri_v4:13:15376984:15402710:1 gene:Potri.013G159500.v4.1 transcript:Potri.013G159500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159500.v4.1 MIRNSGAFSLLGMSKSRACTFGAVLLIWLSCSSLLVAAQDGITDPVEVKALQDIKNSLIDINKNLSNWRRGDPCTSNWTGVWCFNTAKEDGYLHVKELQLLNMNLSGTLSPSLGLLSYMEILDFMWNSITGSIPPEIGNIKSLELLLLNGNQLTGPLPEELGYLPKLDRIQIDQNHISGPIPKSFAYLNSTKHFHMNNNSISGQIPAELSRLPNLVHFLLDNNNLSGTLPPDLYKLPKLLILQLDNNHFDGSTIPPSYGNMTQLLKLSLRNCSLRGPMPDLSRIPNLGYLDLSFNQLAGPIPPKKLSENITTIDLSNNTLNGTIPAYFSDLPRLQLLSTANNSLSGSVPSTIWQTRTNRNEGLHLHFENNRLSNISGSTSLPQNVTLWLQGNPACLNFNIVRFCGSQNGDVNNQSSTESNVTCPAQSCPPPNEYFRTSPISCFCAAPLIIGYRLKSPGFTNFIPYRVAFEDHLTSGLELHLYQLDLSSTIWEEGPRLKMQLKLFPVYVNENSSHTFNDSEVRRIITLFRGWNIPGSRLFGPYELLYINLLDPYTNVLGAIAGAVTLSAVVSLLIVRKRSRDYRAISKRRRVSKASLKIEGVKYFSYAEMALATNNFNRSSQVGQGGYGKVYKGFLADGRTVAIKRAEEASFQGEREFLTEIELLSRVHHRNLVSLIGFCDEGGEQMLVYEFMSNGTLRDHLSAKAKEPLSFATRLGIALDSAKGILYLHTEADPPIFHRDVKASNILLDSRYNAKVADFGLSKLAPVPDIEGDVPGHISTVVKGTPGYLDPEYFLTGKLTDKSDVYSLGVVFLELLTGMQPISHGKNIVKEVNIAYQTGIIFSVVDGRMRSYPSDCVDKFSTLAMKCCNYETDERPSMIDVVRELENMWHMMPESDTKTTDTMSIDIGMEMTSPSSYSLLKNPCVSSEVSSSNLVGRVAPTITPR >Potri.002G168300.1.v4.1 pep chromosome:Pop_tri_v4:2:12913069:12914068:1 gene:Potri.002G168300.v4.1 transcript:Potri.002G168300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168300.v4.1 MNKTLEAKTDDQQQEEEKALAIWDLGSPLYDSHEVVSLAHVIERHLMTVLPSPGGSKGFSPKKISQASVVDPAAILVSNMGSKSETKRVRSSSKLNTLSEFVRRKLRIKKKIGSHRRMDNSEKLKAGHCSSCIKFGL >Potri.009G022366.1.v4.1 pep chromosome:Pop_tri_v4:9:3444984:3445148:1 gene:Potri.009G022366.v4.1 transcript:Potri.009G022366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022366.v4.1 MVHFSAIFSCFVPSDSSRVSDDAVACSKEVSNKSEKPKSKSKSSGAPVVVSYFP >Potri.005G081100.1.v4.1 pep chromosome:Pop_tri_v4:5:5513833:5514536:-1 gene:Potri.005G081100.v4.1 transcript:Potri.005G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081100.v4.1 MSSIGVACAEVYVKQKRQMEKMKRMEEERVRRGENIVIDERTLGGSTSTSGKGKNKVHPGNFPGSERGGKQGEARDNVA >Potri.018G061600.1.v4.1 pep chromosome:Pop_tri_v4:18:7011520:7015767:-1 gene:Potri.018G061600.v4.1 transcript:Potri.018G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G061600.v4.1 MASNATADSLFNATSVAIDKDKNSQHAVRWAVDNLASNNSVLVLIHVKHKNHQYQVANGQDGDGDDEAHQLFTPYRGYCARKGVRLKEVVLDDIDVARAVADYININLIGNIVFGASGRNVLTRKFKNQDVPTSFMKIAPDFCSVYVITKGKILSTQKAQRTPTYNVPQKTASMPVIPSQSLHDNAELDLDGVRVQSEKGGWRSAEAGGFPLDKVNDSMRGSQPERGRNSISNFSMDSTYLPSSCGRPSTSRVSTSDGSEFSGLFATSIMDSSAQSSDFSVASASNLNESNADHLEVEMRRLRLELKQTMEMYSTACKEALSAKQKASELNQWKIDEVRKFEEAKLAGEAALAVAELEKAKCKVAIEAAEKSQKLAELEGQKRKHAEKKAERETEEKNRALNALAHNDVRYRRYTIEEIEEATDKFSPSNKIGEGGYGPVYKGKLDHTPVAIKALRPDAAQGKKQFQQEVEVLSCIRHPHMVLLLGACPEYGILVYEYMDNGSLEDRLLQKHNTPPIPWGIRFKIAAEIATALLFLHQAKPEPLVHRDLKPANILLDSNYVCKISDVGLARLVPLSVADSVTQYHMTSAAGTFCYIDPEYQQTGMLTTRSDIYSLGIMFLQIITAKPPMGLAHQVGRAIERGKFADMLDQTVPDWPVEEALRFAALALKCAELRKKDRPSLATVIVPELNRLRDLGMNTEQQRSKDNHQSREVNSRGRSRSPLSHPLSTSQGRMPNATQENAYRSYGKRTKHDSNQESG >Potri.014G097100.2.v4.1 pep chromosome:Pop_tri_v4:14:6351369:6354028:-1 gene:Potri.014G097100.v4.1 transcript:Potri.014G097100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097100.v4.1 MNGSDAYSATEAQYVRRHHKHEPRENQCTSALVKHIKAPAHLVWSLVRRFDQPQRYKPFVSRCVMNGELGIGSVREVNVKSGLPATTSTERLELLDDEEHILGVQIVGGDHRLKNYSSIMTVHPEFIDGRPGTLVIESFIVDVPDGNTKDETCYFVKALIRCNLKSLADVSERMAVQDRVEPVNQF >Potri.010G171700.1.v4.1 pep chromosome:Pop_tri_v4:10:17310846:17313866:1 gene:Potri.010G171700.v4.1 transcript:Potri.010G171700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171700.v4.1 MIEPVESKGYFVRLLTLFHLICLINFSSVEARTRHHKWEVKYEYKSPDCYKKLIITINGRSPGPTIFAQQGDTVVVELKNSLWTENVAVHWHGIRQLGTPWSDGTEGVTQCPILPGETFIYKFVVDRAGTYLYHGHYGMQRAAGLYGSIIVSLPEGVSEPFSYDYDHNIILSDWYHASTNEQAAGLSAIPFVFVGEPQSLLIYGRGKYNCSLSTASGIAAGVCNTTNPECSPYSLTVVPGKTYRLRIGSLTSLSALSFEIEGHDMTVVEADGNYVEPFAIRNLYIYSGETYSVLVKADQDSSRNYWATINVVARKPATPTGLAIFNYYPNHPQKQPPTVPTTGPLWNDTTSRLAQSLAFKARQGFINTPPVTSDRVIVLLNTQNKIDGHFKWSLNNLSLILPQTPYLIALRENLTDAFVQDPPPDGYDFANYDIHSVAKNVNATSSNRIYRLRFNSTVDVILQNANSMTVNNSETHPWHLHGHDFWVLGYGTGKYNLSRDWRKYNVVNPIMKNTAPLHPYGWTALRFRADNPGVWAFHCHVESHFYMGMGVVFEEGIEKGGELPSSIRGCGESEGHHRP >Potri.003G162200.1.v4.1 pep chromosome:Pop_tri_v4:3:17164457:17166800:-1 gene:Potri.003G162200.v4.1 transcript:Potri.003G162200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162200.v4.1 MLRVAGRRLSSLPWRCSQTTSAFVSQNSPFLSDGRDSAASSRSILSPYHLSFTPDLIRGFSSGALTPGQDMGIISDLPATVAAVKNPTSKIVYDEHNHERYPPGDPSKRAFAYFVLTGGRFVYASLIRLLVLKFVLSMSASKDVLAMASLEVDLSSIEPGSTVTVKWRGKPVFIRRRTEDDIKLANSVDVASLRDPQEDAARVKDPEWLVVVGVCTHLGCIPLPNAGDFGGWFCPCHGSHYDISGRIRKGPAPFNLEVPTYSFLEENKLLVG >Potri.001G348200.6.v4.1 pep chromosome:Pop_tri_v4:1:35972548:35981369:-1 gene:Potri.001G348200.v4.1 transcript:Potri.001G348200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348200.v4.1 MDSYTLKSVSDLPPPFRSIFSFRYFNSLQIECFPVCYHSDVNMVISAPTGSGKTVLFELCILRLLSRFISEGRFVHVKGMLKTIYIAPSKALVQEKLRDWTQKFGSLGINCLELTGDNEFYNTRTIQEADIILTTPEKFDSVTRYRIKDGGLSFFSDIGLLLIDEVHLLNDPRGAALEAIVSRIKMLAHNPEMKSSPLSCVRFLAVSATIPNIEDLAEWLNVPVQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMHYSRGKSALVFCSTRKGAQEAALKLSQTAMTFGYSNPFIKDKEQQERLREASLSCSDKQLQSYILYGVGYHNGGLCLKDRSLIEGLFLKGDIKILCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSMIQQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLSGCEMVESQLLSCVTEHLTAEIVQLTVSDIARAIEWMKCSYLYVRIKKNPEHYAVKKGISRDRIEKQMQEITVQKVNELSHHQMIWTDKDGFLLKPLEPGRLMTRYYLKFNTMKHVMQTPENCSLEDALNVICHAEEIAWIQLRRNEKKLLNDINIDKDCRIRFHINGDKQKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSISSNGCRIAKCMKEYFIFKRNYKGAINSTLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFDTLAEADPRRIEILTGRKFPFGNHIKDSLLSLPPKVNMSIEENECHRRGMSKFVVTLTRLSQPLQSSKRHYADMIVGTEEDNLIHFHEKIRVDEFSSWS >Potri.001G348200.3.v4.1 pep chromosome:Pop_tri_v4:1:35972548:35981369:-1 gene:Potri.001G348200.v4.1 transcript:Potri.001G348200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348200.v4.1 MVISAPTGSGKTVLFELCILRLLSRFISEGRFVHVKGMLKTIYIAPSKALVQEKLRDWTQKFGSLGINCLELTGDNEFYNTRTIQEADIILTTPEKFDSVTRYRIKDGGLSFFSDIGLLLIDEVHLLNDPRGAALEAIVSRIKMLAHNPEMKSSPLSCVRFLAVSATIPNIEDLAEWLNVPVQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMHYSRGKSALVFCSTRKGAQEAALKLSQTAMTFGYSNPFIKDKEQQERLREASLSCSDKQLQSYILYGVGYHNGGLCLKDRSLIEGLFLKGDIKILCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSMIQQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLSGCEMVESQLLSCVTEHLTAEIVQLTVSDIARAIEWMKCSYLYVRIKKNPEHYAVKKGISRDRIEKQMQEITVQKVNELSHHQMIWTDKDGFLLKPLEPGRLMTRYYLKFNTMKHVMQTPENCSLEDALNVICHAEEIAWIQLRRNEKKLLNDINIDKDCRIRFHINGDKQKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSISSNGCRIAKCMKEYFIFKRNYKGAINSTLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFDTLAEADPRRIEILTGRKFPFGNHIKDSLLSLPPKVNMSIEENECHRRGMSKFVVTLTRLSQPLQSSKRHYADMIVGTEEDNLIHFHEKIRVDEFSSPYSATILLSIPQQKKLTLKANLIFEEYIGLDFHQKLLLMKESNPETNKNRCKQPSLFPPPGDVCVIEDESETTSYGPAEMLCNLTKSKTINNSMPSFKLIDEDLELGVEGEPGFEIQDDSCKIISEQTIFEHIREKAKNFPLLTSSSNVCSPSSEAMLLTRKRSRDKAIELDSAPNATEETEGSKISLALLNVTSEQGERERYGHDSYKLMTPKHHTSPGRFVADLVDDSAGGLPSKSETSGLNGPTQDTIFDHIRKKAQYFPALSRTMQFDSVAGTKEYSFENQPDICIAASQVLKEVNTDRSTGDTIIISDSETRRGIDASSTEAGSRVKDDKFSRSPHGERGSSSAPPRVSCINTDPSSIEMLPFDISMIKHNTRLAGSRNSTAYGRKKQSSPNGSKRHCCSLEMAGKNREVDSFLGFTSVFSFL >Potri.001G348200.2.v4.1 pep chromosome:Pop_tri_v4:1:35972548:35981369:-1 gene:Potri.001G348200.v4.1 transcript:Potri.001G348200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348200.v4.1 MDSYTLKSVSDLPPPFRSIFSFRYFNSLQIECFPVCYHSDVNMVISAPTGSGKTVLFELCILRLLSRFISEGRFVHVKGMLKTIYIAPSKALVQEKLRDWTQKFGSLGINCLELTGDNEFYNTRTIQEADIILTTPEKFDSVTRYRIKDGGLSFFSDIGLLLIDEVHLLNDPRGAALEAIVSRIKMLAHNPEMKSSPLSCVRFLAVSATIPNIEDLAEWLNVPVQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMHYSRGKSALVFCSTRKGAQEAALKLSQTAMTFGYSNPFIKDKEQQERLREASLSCSDKQLQSYILYGVGYHNGGLCLKDRSLIEGLFLKGDIKILCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSMIQQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLSGCEMVESQLLSCVTEHLTAEIVQLTVSDIARAIEWMKCSYLYVRIKKNPEHYAVKKGISRDRIEKQMQEITVQKVNELSHHQMIWTDKDGFLLKPLEPGRLMTRYYLKFNTMKHVMQTPENCSLEDALNVICHAEEIAWIQLRRNEKKLLNDINIDKDCRIRFHINGDKQKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSISSNGCRIAKCMKEYFIFKRNYKGAINSTLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFDTLAEADPRRIEILTGRKFPFGNHIKDSLLSLPPKVNMSIEENECHRRGMSKFVVTLTRLSQPLQSSKRHYADMIVGTEEDNLIHFHEKIRVDEFSSPYSATILLSIPQQKKLTLKANLIFEEYIGLDFHQKLLLMKESNPETNKNRCKQPSLFPPPGDVCVIEDESETTSYGPAEMLCNLTKSKTINNSMPSFKLIDEDLELGVEGEPGFEIQDDSCKIISEQTIFEHIREKAKNFPLLTSSSNVCSPSSEAMLLTRKRSRDKAIELDSAPNATEETEGSKISLALLNVTSEQGERERYGHDSYKLMTPKHHTSPGRFVADLVDDSAGGLPSKSETSGLNGPTQDTIFDHIRKKAQYFPALSRTMQFDSVAGTKEYSFENQPDICIAASQVLKEVNTDRSTGDTIIISDSETRRGIDASSTEAGSRVKDDKFSRSPHGERGSSSAPPRVSCINTDPSSIEMLPFDISMIKHNTRLAGSRNSTAYGRKKQSSPNGSKRHCCSLEMAGKNREVDSFLGFTSVFSFL >Potri.001G348200.4.v4.1 pep chromosome:Pop_tri_v4:1:35972548:35981369:-1 gene:Potri.001G348200.v4.1 transcript:Potri.001G348200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348200.v4.1 MDSYTLKSVSDLPPPFRSIFSFRYFNSLQIECFPVCYHSDVNMVISAPTGSGKTVLFELCILRLLSRFISEGRFVHVKGMLKTIYIAPSKALVQEKLRDWTQKFGSLGINCLELTGDNEFYNTRTIQEADIILTTPEKFDSVTRYRIKDGGLSFFSDIGLLLIDEVHLLNDPRGAALEAIVSRIKMLAHNPEMKSSPLSCVRFLAVSATIPNIEDLAEWLNVPVQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMHYSRGKSALVFCSTRKGAQEAALKLSQTAMTFGYSNPFIKDKEQQERLREASLSCSDKQLQSYILYGVGYHNGGLCLKDRSLIEGLFLKGDIKILCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSMIQQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLSGCEMVESQLLSCVTEHLTAEIVQLTVSDIARAIEWMKCSYLYVRIKKNPEHYAVKKGISRDRIEKQMQEITVQKVNELSHHQMIWTDKDGFLLKPLEPGRLMTRYYLKFNTMKHVMQTPENCSLEDALNVICHAEEIAWIQLRRNEKKLLNDINIDKDCRIRFHINGDKQKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSISSNGCRIAKCMKEYFIFKRNYKGAINSTLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFDTLAEADPRRIEILTGRKFPFGNHIKDSLLSLPPKVNMSIEENECHRRGMSKFVVTLTRLSQPLQSSKRHYADMIVGTEEDNLIHFHEKIRVDEFSSPYSATILLSIPQQKKLTLKANLIFEEYIGLDFHQKLLLMKESNPETNKNRCKQPSLFPPPGDVCVIEDESETTSYGPAEMLCNLTKSKTINNSIRRAWF >Potri.001G348200.7.v4.1 pep chromosome:Pop_tri_v4:1:35972548:35981370:-1 gene:Potri.001G348200.v4.1 transcript:Potri.001G348200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348200.v4.1 MDSYTLKYFNSLQIECFPVCYHSDVNMVISAPTGSGKTVLFELCILRLLSRFISEGRFVHVKGMLKTIYIAPSKALVQEKLRDWTQKFGSLGINCLELTGDNEFYNTRTIQEADIILTTPEKFDSVTRYRIKDGGLSFFSDIGLLLIDEVHLLNDPRGAALEAIVSRIKMLAHNPEMKSSPLSCVRFLAVSATIPNIEDLAEWLNVPVQGIKRFGEEMRPVKLTTKVFGYAPAKNDFLFEKRLQNYIFDILMHYSRGKSALVFCSTRKGAQEAALKLSQTAMTFGYSNPFIKDKEQQERLREASLSCSDKQLQSYILYGVGYHNGGLCLKDRSLIEGLFLKGDIKILCTTNTLAHGINLPAHTVVIKSTQHFNKEKGLYMEYDRSMIQQMCGRAGRPPFDDTGMVIIMTRRETVHLYENLLSGCEMVESQLLSCVTEHLTAEIVQLTVSDIARAIEWMKCSYLYVRIKKNPEHYAVKKGISRDRIEKQMQEITVQKVNELSHHQMIWTDKDGFLLKPLEPGRLMTRYYLKFNTMKHVMQTPENCSLEDALNVICHAEEIAWIQLRRNEKKLLNDINIDKDCRIRFHINGDKQKRKKRIQTREEKIFVLANDCLTGDPSVHDLSLTQDMNSISSNGCRIAKCMKEYFIFKRNYKGAINSTLLAKSLYQKLWDDSPYLLKQLPGIGMVTAKALHSMGIKSFDTLAEADPRRIEILTGRKFPFGNHIKDSLLSLPPKVNMSIEENECHRRGMSKFVVTLTRLSQPLQSSKRHYADMIVGTEEDNLIHFHEKIRVDEFSSPYSATILLSIPQQKKLTLKANLIFEEYIGLDFHQKLLLMKESNPETNKNRCKQPSLFPPPGDVCVIEDESETTSYGPAEMLCNLTKSKTINNSMPSFKLIDEDLELGVEGEPGFEIQDDSCKIISEQTIFEHIREKAKNFPLLTSSSNVCSPSSEAMLLTRKRSRDKAIELDSAPNATEETEGSKISLALLNVTSEQGERERYGHDSYKLMTPKHHTSPGRFVADLVDDSAGGLPSKSETSGLNGPTQDTIFDHIRKKAQYFPALSRTMQFDSVAGTKEYSFENQPDICIAASQVLKEVNTDRSTGDTIIISDSETRRGIDASSTEAGSRVKDDKFSRSPHGERGSSSAPPRVSCINTDPSSIEMLPFDISMIKHNTRLAGSRNSTAYGRKKQSSPNGSKRHCCSLEMAGKNREVDSFLGFTSVFSFL >Potri.009G024900.1.v4.1 pep chromosome:Pop_tri_v4:9:3659107:3663831:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MEKKERRSKRMEASSGIPLKSSSGAGGEVRRIHIIYFLSHNLGRFEHPHLIRVHHFNLNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARKSYDEARGSKKQPGGFGSPKVIPPAYKPVAAPICSRCGKSFRPEKLHSHMKSCRGLKTLTKAASAYVEKTPSPSHISVDSKSEDGYFLTK >Potri.009G024900.4.v4.1 pep chromosome:Pop_tri_v4:9:3659107:3661900:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MEASSGIPLKSSSGAGGEVRRIHIIYFLSHNLGRFEHPHLIRVHHFNLNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARKSYDEARGSKKQPGGFGSPKVIPPAYKPVAAPICSRCGKSFRPEKLHSHMKSCRGLKTLTKAASAYVEKTPSPSHISVDSKSEDGYFLTK >Potri.009G024900.11.v4.1 pep chromosome:Pop_tri_v4:9:3659107:3662422:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MEASSGIPLKSSSGAGGEVRRIHIIYFLSHNLGRFEHPHLIRVHHFNLNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARKSYDEARGSKKQPGGFGSPKVIPPAYKPVAAPICSRCGKSFRPEKLHSHMKSCRGLKTLTKAASAYVEKTPSPSHISVDSKSEDGYFLTK >Potri.009G024900.9.v4.1 pep chromosome:Pop_tri_v4:9:3659105:3663717:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARYFGKKIGKAMMRREVQRSSLVDLAVQK >Potri.009G024900.14.v4.1 pep chromosome:Pop_tri_v4:9:3659107:3662422:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MEASSGIPLKSSSGAGGEVRRIHIIYFLSHNLGRFEHPHLIRVHHFNLNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARYFGKKIGKAMMRREVQRSSLVDLAVQK >Potri.009G024900.10.v4.1 pep chromosome:Pop_tri_v4:9:3659107:3663831:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MEASSGIPLKSSSGAGGEVRRIHIIYFLSHNLGRFEHPHLIRVHHFNLNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARKSYDEARGSKKQPGGFGSPKVIPPAYKPVAAPICSRCGKSFRPEKLHSHMKSCRGLKTLTKAASAYVEKTPSPSHISVDSKSEDGYFLTK >Potri.009G024900.13.v4.1 pep chromosome:Pop_tri_v4:9:3659107:3663831:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MEASSGIPLKSSSGAGGEVRRIHIIYFLSHNLGRFEHPHLIRVHHFNLNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARYFGKKIGKAMMRREVQRSSLVDLAVQK >Potri.009G024900.8.v4.1 pep chromosome:Pop_tri_v4:9:3659105:3663831:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARKSYDEARGSKKQPGGFGSPKVIPPAYKPVAAPICSRCGKSFRPEKLHSHMKSCRGLKTLTKAASAYVEKTPSPSHISVDSKSEDGYFLTK >Potri.009G024900.12.v4.1 pep chromosome:Pop_tri_v4:9:3659107:3663831:-1 gene:Potri.009G024900.v4.1 transcript:Potri.009G024900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024900.v4.1 MEKKERRSKRMEASSGIPLKSSSGAGGEVRRIHIIYFLSHNLGRFEHPHLIRVHHFNLNGVYLRDVKRWLADLRGKDMPKAFAWSYKRRYKKGYVWQDLLDDDLITPISDNEYVLKGSEIFVPPANPLAGSAYGEKKAADLLMKSENDSQVENTEVENKAQDHEQLPSPNQICDDTTPRKTTSSEISEESPVFSSEISTVTDDSIKEEEDACKPSNPLEEEQIDIKVEQYSSFYTNFLGSKSKKNQKKRVDNNSNIEKMGTPSSFSSLSSSTSSSQATFAKSKSYSSGTSKMLRNLMTCGAVDTNDAALVRQGQKCNSKYKPIEKPADQICEGEVLGGPARVFATPWNQQIQQHDIANARYFGKKIGKAMMRREVQRSSLVDLAVQK >Potri.012G036600.1.v4.1 pep chromosome:Pop_tri_v4:12:3289873:3294227:-1 gene:Potri.012G036600.v4.1 transcript:Potri.012G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036600.v4.1 MSPSNSNIAISNGGGSGDSNSSTGKNYIEHQVSKRDTLAGVAIKYGVEVADVKRLNGLSTDLQMFALKTLLIPLPGRHPPSPILSNGSASPGGNDVDMTPPWPRHSNVLETLESLSLKSPQQKVSPAMSTLQNFYGLKSSKRKDSAEGMEMAVYRRGSLDYLNEGLLHRATPVSGPSYSNHRSINLADDFLSENGLAAEYNPLSEAREGEGEKSNEKFVRRRQKADADPRSGTTEKLLKEENNGGSRAFSPVTGKGLSMRPKSASRTSLGAESEPGWLNAMPVGLGDSIIADVSDGVRKSSSTPSLQDQENSYSSSVWPTSKWSLKPDLQALSTAAISIPIFDGLPKPISGRRSKAALD >Potri.009G130400.1.v4.1 pep chromosome:Pop_tri_v4:9:10654794:10657481:1 gene:Potri.009G130400.v4.1 transcript:Potri.009G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130400.v4.1 MDTVKGSQFTIKEATIQETQQAFTENKLTSKQLVNFYLNQIQELNPLLHSVLEVNPDALDQAEKADQERESNQGRRFLGDMHGIPVLLKDNIATKDKLSTTGGSHALLESEVARDAHVVERLRNAGAVILGKASLSEWSHFRSYGIPSGWCARGGQAVNPYVEGGDPCGSSSGSAISVAANMVAVSLGTETDGSILCPSDHNSVVGLKPTVGLTSRSGVIPISSRQDSVGPICRTVSDVVYLLDAIGGFDPRDCEATKEASEFIPADGYKKFLKKDGLKGKRLGIVRNPFEIYFKDPVIVSTFDHHLEVLRRGGATVVDNLEIANIDVIMDPDQSGEDLVMLAEFKETINKYLEELVKSPVRSLADIIAFNTNNPELENLDIYGQVLLVNSEKTNGLGEEEMKAVKHMESLSQEGFEKMMKENELDAMVTLGAAASTVLAIGGYPAITVPAGYGSSGMPFGICFGGLKGMETKLIEIAYSFEQATLSRKPPCPSLCT >Potri.009G169200.1.v4.1 pep chromosome:Pop_tri_v4:9:12871257:12871951:-1 gene:Potri.009G169200.v4.1 transcript:Potri.009G169200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169200.v4.1 MSMRIKAVVDKFVQELKEALDADIQDRIMKEREMQSYIEEREREVAEREAAWKAELSRREAEIARQEARLKMEKENLEKEKSVLMGTASNQDNQDGALEITVSGEKYRCLRFSKAKK >Potri.008G105700.2.v4.1 pep chromosome:Pop_tri_v4:8:6680594:6684164:-1 gene:Potri.008G105700.v4.1 transcript:Potri.008G105700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105700.v4.1 MLAATLRSRSLHLSSSLLNHPWKPVLSGSGHTFSSSAVESNSDRSILDLNEIEKVLTDVRADDVKVIPAKKHAEWADYMVIATGRSTWHVKNIAQALIYKAKQKQKGAQRMTLPSVEGQEGGKWIVIDSGKVIVHALDEKARAYYNLESLWTSDASKEEPDQDLDKAFIKIRPKRNNSKRPANRGA >Potri.008G105700.3.v4.1 pep chromosome:Pop_tri_v4:8:6680479:6684175:-1 gene:Potri.008G105700.v4.1 transcript:Potri.008G105700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105700.v4.1 MLAATLRSRSLHLSSSLLNHPWKPVLSGSGHTFSSSAVESNSDRSILDLNEIEKVLTDVRADDVKVIPAKKHAEWADYMVIATGRSTWHVKNIAQALIYKAKQKQKGAQRMTLPSVEGQEGGKWIVIDSGKVIVHALDEKARAYYNLESLWTSDASKEEPDQDLDKAFIKIRPKRNNSKRPANRGA >Potri.018G120300.2.v4.1 pep chromosome:Pop_tri_v4:18:13224781:13225354:1 gene:Potri.018G120300.v4.1 transcript:Potri.018G120300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120300.v4.1 MASRSGPCPKSHTQQATRTCLCSPTTHPGSFRCGLHRDSLRVPARSRIGRAGSNTKGGLALIAKANSFKAILLQIIKPSSHDLHRRRDFQPRLTRFCLMNASRDRVACS >Potri.010G140800.2.v4.1 pep chromosome:Pop_tri_v4:10:15407047:15407909:1 gene:Potri.010G140800.v4.1 transcript:Potri.010G140800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140800.v4.1 MLSSSPMPPVLSSWNVHSDHSIYKSKRSFNDSAEAKRQKRVMKYKAYAVEGKMKTSFRNGIRWVKDKYCSLVHRY >Potri.018G003700.10.v4.1 pep chromosome:Pop_tri_v4:18:283581:289381:1 gene:Potri.018G003700.v4.1 transcript:Potri.018G003700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003700.v4.1 MAASKLAFLSILFVFALIFTAVGVRADVDDDNTAESAAAVDLSALKIELDQLKSKIHAHESHIDEKTKELNGKDVMIAQKETIIQEKVDSIASLQSEISSLKKKGKIDAQELVGKAHARAGELEKQMEKLSKELETQQQENEALEARASEAEKKISELNFKLADLEKINVEQKSKIRKTERALKIAEEELIKTKSEAISKAKELMEVHGAWLPPWLAVQLIRWQSLAQTHWSEHGKPTMELAIQKALEKKAQAEKWAEPHVETIKTKWVPAIKEQWVVITTQVKPHVQSLTAKTVQIYEASKTTVTPHIIRVQEIADPYFQEAKKFSKPYIDQVATMTKPHVDKVKVALKPYTKEAVHAYGKFLESATTYHNQVQVTVQETLEKHELTKPLAMKELIWFIASALLALPVIILARACSSIFCQKAKKPARNAHANPSRRKAKRGHSDK >Potri.017G004216.1.v4.1 pep chromosome:Pop_tri_v4:17:272672:274940:1 gene:Potri.017G004216.v4.1 transcript:Potri.017G004216.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G004216.v4.1 MVLISHFWSFQPFHCSLTLMNSQIQMDNLFFIYSFVFSLLATFTVTSATETDLSCLKSIKDSMIDPNNYLNTTWNFNNNTEGFICRFMGVDCWHPGENRVLNVRLSDLGLMGQFPLGFENCTSLTGLDLSDNKLQGPIPSDICKRLPQLTNLDLSFNSFSGEIPSSIADCHYLNDLKLDNNDLAGHIPPQIGQLDRMKVFTVTSNRLSGPVPVFTHNDIPADSFANNTALCGKPLKSCSSHQMKVDYSFKSGFVIGYIVFSTSVVIFFTSCCVPWVYIGERKKKITISEMMMLMVKRKHKITDDDQAGSSPTRGLLEEGIKEISMLEKRVTRMSYADLNDATDNFSENNVIGQGMMGMLYKASLPNGYVVAVKKLHDSQFLEEQFISELKIHGSLRHINVLPLLGFCVESNQRFLVYKYMPNGNLYDWLHSMEEGQEKAMEWGVRVKVAVGLARGLAWLHQNCHTVKIIHLDISSKCILLDQNFQPKLSNFGEAMLMSSTCASSVNSEFWEMAFVKEDVHGFGVVLLEMITGVDPSNMTGSSNNVLNEWIGHLLSSSDFHGAIDKSLIGQGFDAEIVQLLKVACTCVDPIPDRRPIMLQVSEDIKAIRDRCDLVDDSSMLMQPEICPATSEKSVEIEMTEFQ >Potri.019G064700.2.v4.1 pep chromosome:Pop_tri_v4:19:10468399:10469946:-1 gene:Potri.019G064700.v4.1 transcript:Potri.019G064700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064700.v4.1 MLMAKLAVPLLSLFFFLSFISSQAALPLQTPIQKDHSTSQYVITAYLQTPLKLTKLLLDLGATFTWVNCDDYTSLTYQHVPCNSSIANLLGAYTCLDLCDGPPSPNCGNNSFLFLPDNPIKPVDYRKVDGLNTALIDSFALPNTQGSLTLINNFIFSCARTGFLKGLAKGVTGLAALGDSNISIPIQINKVFSSSPNCFAICLSGSKSQPGVALFGSNGPYNFLPGIDLSKALLYTPLILNPFGKDSEPDKPTPSSEYYIGLTSMKVNGKMVALNRSLLAINGKTGSGGTKISTVVPYTKLQSSIYKAFILAFLKEAVSSAFNLTTTKPVKPFSVCYPASAVKNTQMGPAVPIIDLVLDRPDVVWKIFGSNSMVRITKKTVDLWCLGFMDAGVNPMVSNWIGGPSIVIGGYQLEDNMLKFDLQSKKLGFSSSILSVGTNCAKFKFSTK >Potri.006G220400.1.v4.1 pep chromosome:Pop_tri_v4:6:22578322:22579818:1 gene:Potri.006G220400.v4.1 transcript:Potri.006G220400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220400.v4.1 MAPLNENCLEKTNSKCQAPIFAPNSMVLLSSSILQNPLFLRPPIKQNPSIFMGELHNSITSAADKCLRFLHSFASRNPILKNIVTDFNQHMTRIQCRREYCRRNMNNYLSNHNFAAVLPGDSVAGLVVANGIINFLNIYNTLLVVRLVLTWFPNSPPAIVSPLSTLCDPYLNIFRGIIPPLGGTLDLSPILAFLVLNAFTSTATALPAELPENGASPRSRSSPTLFTHLTTAQKKWMRRLNGNKSRSSPGAD >Potri.001G301000.1.v4.1 pep chromosome:Pop_tri_v4:1:31156826:31163053:-1 gene:Potri.001G301000.v4.1 transcript:Potri.001G301000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301000.v4.1 MASLSIGTHHLHTAKQLKKHSFHSISSTPLSSLPHKSSFGSSLCKLRGSRILGNGLLARAEDKAKGSSSPPPSSSNKRQGQQPIIDNQLQDLEPTSGSCDPLCSLDETSSQDLEANYQPRTDLVKALAVFAAAATGAVAINHSWVAANQDLAMALLFGIGYAGIIFEEFLAFNKSGVGLLMAVSLWVVRSIGAPSPDIAVSELTHASAEVSQIVFFLLGAMTIVEIVDAHQGFKLVTDIITTRKPRTLLWVVGFVTFLLSSVLDNLTSTIVMVSLLRKLVPPSEYRKLLGAVVVIAANAGGAWTPIGDVTTTMLWIHGQISTLPTMKDLLVPSVVSLAVPLSLLSLTSEVNGKGPDTPNVLASEQMAPRGQLVFSVGIGALIFVPVFKALTGLPPFMGMLLGLGVLWILTDAIHYGESEGQKLKVPQALSRIDTQGALFFLGILLSVSSLEAAGLLRELANYLDAHIPNIELIASAIGVVSAIIDNVPLVAATMGMYDLSSFPQDHEFWQLVAYCAGTGGSMLVIGSAAGVAFMGMEKVDFFWYLRKVSGFAFAGYAAGIAAYLTVHNFSISLPTTLAELPFLSGS >Potri.001G041012.1.v4.1 pep chromosome:Pop_tri_v4:1:2983884:2986731:-1 gene:Potri.001G041012.v4.1 transcript:Potri.001G041012.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G041012.v4.1 MNEWFAIECNATANPARALISRINMEVLNISAERATVTVKSPIISSNCTGREDGVPLNLTGTPFVFSRNDNVFIAVGCNTQALMTGITPNLIGCVSTCSDVNSKNFCEAFPPSSLQVFNPRIEATDDNQDREGCKLAFLVNHTWFESNVSDPFSLQYRDYVPAELGWTMNVNTDDPVNCRGYYNESFRSECVCGWGYEGIPYLGCTDVDECKESKHSCRGLLKCVNTRGYFNCEINKLYIALIVIGAVVLALSLLMGIWWLYKLAKKWKKIELKKKFFKRNGGLLLQQELRAAEGWVQKTKIYSSKELEVATDHFNVNRILGQGGQGTVYKGMLADGRIVAVKKSMVVDEGKLEEFINEVVVLSQINHRNVVKLLGCCLETEVPLLVYELISNGNLYKYIHDQNEDFLLSWEMRLQIAIEVAGALSYLHSATSIPIYHRDIKSTNILLDEKYRAKVSDFGSSRSISIDQTHLTTLVQGTFGYLDPEYFQSSQFTEKSDVYSFGVVLVELISGQKPIFSVSQT >Potri.018G057200.4.v4.1 pep chromosome:Pop_tri_v4:18:5694014:5701342:-1 gene:Potri.018G057200.v4.1 transcript:Potri.018G057200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057200.v4.1 MVGSQSSNGTAAKRYGITKPISVAGPTEPDLHRNAELEKFLVDSGLNESKDETIKREEVLGRIDQIVKDWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFITLHDKLAETEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISNGSVLYEVDEQTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDDLGFPVWDPRKNPRDRFHLMPIITPAYPCMNSSYNVSTSTLRVMTEQFQSGNRICEEIELNKAQWSALFEPYLFFEAYKNYLQVDIVAAVAADLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYIDASKQCPHCAFFMGLQRKEGVTGQEGQQFDIRGTVDEFRQEINMYMFWKPGMEIYVSHVRRRQLPGFVFPDGYKRSRSSRHINQHTSKTGEDVARSQSGSAERPVKRKNDCEMEDLKPEKQACYSPVRPQSVSPSSSVSRSGVTSLASSWEGVKLGCSTIRDIGSNCKDVASNSEVRSSSGQLESEKDGLGDSMQLGETVYQDSPLNRQISMDVHDSPIVRNELEPANHMNGIEPMESMVNTITKQEMLSPQEIPNFETGEKHETGVNDKIAGLGSNLMENGSSRKLLNWVAGTSQAMEVDQELVKPCCQTAVVEYAESVIRSHSGTQNLNCEGNVCAVDADVVLESGCLNMSRVLPKGLPEELEPKTAIGKVVNSQDGARLSLKSTA >Potri.018G057200.1.v4.1 pep chromosome:Pop_tri_v4:18:5695345:5701383:-1 gene:Potri.018G057200.v4.1 transcript:Potri.018G057200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057200.v4.1 MVGSQSSNGTAAKRYGITKPISVAGPTEPDLHRNAELEKFLVDSGLNESKDETIKREEVLGRIDQIVKDWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFITLHDKLAETEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISNGSVLYEVDEQTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDDLGFPVWDPRKNPRDRFHLMPIITPAYPCMNSSYNVSTSTLRVMTEQFQSGNRICEEIELNKAQWSALFEPYLFFEAYKNYLQVDIVAAVAADLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYIDASKQCPHCAFFMGLQRKEGVTGQEGQQFDIRGTVDEFRQEINMYMFWKPGMEIYVSHVRRRQLPGFVFPDGYKRSRSSRHINQHTSKTGEDVARSQSGSAERPVKRKNDCEMEDLKPEKQACYSPVRPQSVSPSSSVSRSGVTSLASSWEGVKLGCSTIRDIGSNCKDVASNSEVRSSSGQLESEKDGLGDSMQLGETVYQDSPLNRQISMDVHDSPIVRNELEPANHMNGIEPMESMVNTITKQEMLSPQEIPNFETGEKHETGVNDKIAGLGSNLMENGSSRKLLNWVAGTSQAMEVDQELVKPCCQTAVVEYAESVIRSHSGTQNLNCEGNVCAVDADVVLESGCLNMSRVLPKGLPEELEPKTAIGKVVNSQDGARSESLQKPMIRLSLKSTA >Potri.018G057200.5.v4.1 pep chromosome:Pop_tri_v4:18:5695343:5701336:-1 gene:Potri.018G057200.v4.1 transcript:Potri.018G057200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057200.v4.1 MVGSQSSNGTAAKRYGITKPISVAGPTEPDLHRNAELEKFLVDSGLNESKDETIKREEVLGRIDQIVKDWVKQLTRQRGYTDQMVEEANAVIFTFGSYRLGVHGPGADIDTLCVGPSYVNREEDFFITLHDKLAETEEVTELQPVPDAHVPVMKFKFQGISIDLLYASISLLVVPEDLDISNGSVLYEVDEQTVRSLNGCRVADQILKLVPNVEHFRTTLRCLKFWAKRRGVYSNVTGFLGGVNWALLVARVCQLYPNAIPSMLVSRFFRVYTQWRWPNPVMLCSIEEDDLGFPVWDPRKNPRDRFHLMPIITPAYPCMNSSYNVSTSTLRVMTEQFQSGNRICEEIELNKAQWSALFEPYLFFEAYKNYLQVDIVAAVAADLLAWKGWVESRLRQLTLKIERDTNGMLQCHPYPNEYIDASKQCPHCAFFMGLQRKEGVTGQEGQQFDIRGTVDEFRQEINMYMFWKPGMEIYVSHVRRRQLPGFVFPDGYKRSRSSRHINQHTSKTGEDVARSQSGSAERPVKRKNDCEMEDLKPEKQACYSPVRPQSVSPSSSVSRSGVTSLASSWEGVKLGCSTIRDIGSNCKDVASNSEVRSSSGQLESEKDGLGDSMQLGETVYQDSPLNRQISMDVHDSPIVRNELEPANHMNGIEPMESMVNTITKQEMLSPQEIPNFETGEKHETGVNDKIAGLGSNLMENGSSRKLLNWVAGTSQAMEVDQELVKPCCQTAVVEYAESVIRSHSGTQNLNCEGNVCAVDADVVLESGCLNMSRVLPKGLPEELEPKTAIGKVVNSQDGARSESLQKPMIRHAV >Potri.T002868.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:313191:314430:1 gene:Potri.T002868.v4.1 transcript:Potri.T002868.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002868.v4.1 MLAALLDDFRLMFLWFSFCSETISRIILLTVSCLLVLSFSVCSSPFYKPSRLPPISPAFAGLLSSTNEIVGERRGPRLD >Potri.T002868.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:313191:314430:1 gene:Potri.T002868.v4.1 transcript:Potri.T002868.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002868.v4.1 MLAALLDDFRLMFLWFGDNFKDNSPYRVLPSCPLLLCVFGFVLCFLCLFLLTLFFWVDFFGSLPPFLTVYNFSGFLALPPFFFFRCSSPFYKPSRLPPISPAFAGLLSSTNEIVGERRGPRLD >Potri.013G030000.1.v4.1 pep chromosome:Pop_tri_v4:13:1948729:1949655:1 gene:Potri.013G030000.v4.1 transcript:Potri.013G030000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G030000.v4.1 MASCRIFMIIAIVAVFVPSILATEHMVGDKKGWTLGFNYQTWAQGKAFYVGDTLVFKYTPGAHNVLSVNGTGFEECKAADDIVPLTTGNDVITLSTPGKKWYICSVPGHCESGNQKLFITVLPQLSSPATSPFPGPTDTSPSGAAGNIASTYYGLIAAIVGIFGMIMF >Potri.010G058200.1.v4.1 pep chromosome:Pop_tri_v4:10:8889395:8895670:1 gene:Potri.010G058200.v4.1 transcript:Potri.010G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058200.v4.1 MRPNSLIRKHLRHCFSSTPLGSPFSGGPHFNQNLVFLKSLPLINLSLPTTSTPSSITCLSFPSYSFHCKYMPLSHFTPLRNKNTVMKRNLSLTLLFLFFHFLFSTKPSHSLTADGLSLLSLKSAVDQSSAGSAFSDWNEDDQNPCHWTGISCMNITGLPDPRVVGIAISGKNLRGYIPSELGTLIYLRRLNLHNNNFYGSIPDQLLNATSLHSLFLYGNNLSGSLPPFICNLPRLQNLDLSNNSLSGSLPQNLNSCKQLQRLILAKNKFSGPIPAGIWPELDNLMQLDLSANDFNGSIPNDMGELKSLSNTLNLSFNHLSGRIPKSLGNLPVTVSFDLRNNNFSGEIPQTGSFANQGPTAFLSNPLLCGFPLQKSCKDPAHSSSETQNSAPVSDNSPRKGLSPGLIILISVADAAGVAFLGLVIVYIYWKKKDDSNGCSCAGKSKFGGNEKSHSCSLCYCGNGLRNDDSELEDLEKVERGKPEGELVAIDKGFTFELDELLRASAYVLGKSGLGIVYKVVLGNGIPVAVRRLGEGGEQRYKEFVTEAQAIGKVKHPNVVKLRAYYWAPDEKLLISDFISNGNLANALRGKNGQPSTSLSWSTRLRIAKGTARGLAYLHECSPRKFVHGDIKPSNILLDNEFQPYISDFGLNRLISITGNNPSSSGGFMGGALPYLKSAQTERTNNYRAPEARVSGNRPTQKWDVYSFGIVLLELLTGKSPELSPTTSTSIEIPDLVRWVRKGFADENPLSDMVDSMLLQEVHAKKEVLAVFHVALACTEADSEVRPRMKIVSENLERIGT >Potri.014G102000.2.v4.1 pep chromosome:Pop_tri_v4:14:6747525:6754429:-1 gene:Potri.014G102000.v4.1 transcript:Potri.014G102000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102000.v4.1 MMALDMVTGVSSVPAAECLSQIVEGMVEVVSAANNVLIKKDSFTELSGYLERIAPVLKELNKKDIGCSGSINNAIGILNQEIKAAKQLTADCTKRNKVYLLMNCRTITKSLEDITREISRALGLIPLANLDLSTGLIKEIEKLRDSMQRAEFKAAIAEEEILAKIESGIQERNVDRSYANKILAHIAEAVGISTERSALKKEFEEFKSEIENARLRKDQAEAIQMDQIIALLERADAASSSKEKEIKYSTKRKSLGSQPLEPLQSFYCPITRDVMVDPVETSSGQTFERSAIEKWLADGHEMCPLTMTPLDTSILRPNKTLRESIEEWKDRNTMITIASMKSKLVYQEQEEEEEVLRCLEQLEDLCEQREQHREWVILENYIPLFIQLLGAKNRDIRNRALVVLLILAKDSDHAKERVADVDNAIESIVRSLGRRIGERKLAVALLLELSKCNLVRDGIGKVQGCILLLVTMASSDDSQAATDAQELLENLSFSDQNIIQMTKANYFRHFLQRISTGSEEVKTMMASTLAELELTDHNKASLFEGGALGPLLHLVSCGDVRMKKVAVKALQNLSSLPANGLQMIKEGAVQPLLGLLFQHISSSSSLCELAAATIVHLALSTVSQESSPTPISLLESDNDTFRLFSLINLTGSNVQQNILRAFHALCQSPSALNIKTKLTECSAMQVLVQLCERDDNPNVRVNAVKLLYCLVEDGDEGTILEHVGQKCLETLLRIIQSSNLEEEIASSMGIISNLPEKPQITQWLLDAGALPVISRILPDSKQNDPHKNVLVENAAGAMRRFTVPTNPEWQKKVAEAGIIPVLVQLLDFGTTMTKKCAAISLARFSESSLELSRSIPKRKGFWCFSVPPETGCVIHGGICAVESSFCLVEADAVEPLVRVLRDPDPATCEASLDALLTLIEGVKLQNGGKVLAQANAIQPIVGFLSSSSPILQEKALNTLERIFRLPELKQKYGPSAQMPLVDLTLRGNSSMKSLSARILAHLNVLHDQSSYF >Potri.014G102000.3.v4.1 pep chromosome:Pop_tri_v4:14:6747778:6754250:-1 gene:Potri.014G102000.v4.1 transcript:Potri.014G102000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102000.v4.1 MMALDMVTGVSSVPAAECLSQIVEGMVEVVSAANNVLIKKDSFTELSGYLERIAPVLKELNKKDIGCSGSINNAIGILNQEIKAAKQLTADCTKRNKVYLLMNCRTITKSLEDITREISRALGLIPLANLDLSTGLIKEIEKLRDSMQRAEFKAAIAEEEILAKIESGIQERNVDRSYANKILAHIAEAVGISTERSALKKEFEEFKSEIENARLRKDQAEAIQMDQIIALLERADAASSSKEKEIKYSTKRKSLGSQPLEPLQSFYCPITRDVMVDPVETSSGQTFERSAIEKWLADGHEMCPLTMTPLDTSILRPNKTLRESIEEWKDRNTMITIASMKSKLVYQEQEEEEEVLRCLEQLEDLCEQREQHREWVILENYIPLFIQLLGAKNRDIRNRALVVLLILAKDSDHAKERVADVDNAIESIVRSLGRRIGERKLAVALLLELSKCNLVRDGIGKVQGCILLLVTMASSDDSQAATDAQELLENLSFSDQNIIQMTKANYFRHFLQRISTGSEEVKTMMASTLAELELTDHNKASLFEGGALGPLLHLVSCGDVRMKKVAVKALQNLSSLPANGLQMIKEGAVQPLLGLLFQHISSSSSLCELAAATIVHLALSTVSQESSPTPISLLESDNDTFRLFSLINLTGSNVQQNILRAFHALCQSPSALNIKTKLTECSAMQVLVQLCERDDNPNVRVNAVKLLYCLVEDGDEGTILEHVGQKCLETLLRIIQSSNLEEEIASSMGIISNLPEKPQITQWLLDAGALPVISRILPDSKQNDPHKNVLVENAAGAMRRFTVPTNPEWQKKVAEAGIIPVLVQLLDFGTTMTKKCAAISLARFSESSLELSRSIPKRKGFWCFSVPPETGCVIHGGICAVESSFCLVEADAVEPLVRVLRDPDPATCEASLDALLTLIEGVKLQNGGKVLAQANAIQPIVGFLSSSSPILQEKALNTLERIFRLPELKQKYGPSAQMPLVDLTLRGNSSMKSLSARILAHLNVLHDQSSYF >Potri.002G127100.4.v4.1 pep chromosome:Pop_tri_v4:2:9634932:9636413:-1 gene:Potri.002G127100.v4.1 transcript:Potri.002G127100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127100.v4.1 MDTQTQRNSREKVADKPQEGNLKQDGKEGIQAKQQALPTSPASPSSASSSPSHEFSFTISLHSASAPVPDKAKTPPNSFAIDLSPADDIFFHGHLLPLHLLSHLPVSPRSSTNSFDSFTLPIKELLDDQRPNKSSNNCSTSNGNSISSSNNINNNNNCSRHRSKNYSETKGRSKPKSFSLFGWRKGCEVKEKEEDKGEHKKKLRFDASQVLKRYARMVRPLMFFKGRRENLQSHRKPYSFSGNLSWGNKQELRGTRGECSAPASMRTSPTNSGLLLATATLPTSTSDSTMEEFHAAIQAAIAHCKNSIAAEEKMKC >Potri.007G039601.1.v4.1 pep chromosome:Pop_tri_v4:7:3247602:3248653:-1 gene:Potri.007G039601.v4.1 transcript:Potri.007G039601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039601.v4.1 MNWIKWITAPQIDQSPADHCLNINSSHRSDSTKRNREDILVSNTPAKPPMNTTERLTSDK >Potri.002G258600.1.v4.1 pep chromosome:Pop_tri_v4:2:24690462:24693456:1 gene:Potri.002G258600.v4.1 transcript:Potri.002G258600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258600.v4.1 MDSDEGKLFVGGIAWDTTEDTLRDHFNQYGEVSQVVIMRDKTTGRPRGFGFVVFSDPSVLDPVLHDKHTIDGRTVEAKRALSREEQHTSSRTGSFNGGRGSGGAGDFRTKKIFVGGLPSTVTEDGFRQYFQSYGHVNDVVVMYDQQTQRPRGFGFITFDSEDAVDNVLQKTFHELNGKLVEVKRALPKDANPGSEGRGSGYRSYGSAGANVNAADGRMDGNRYMQPQTSVGGYPPYSGYAAHGYGYGAANSGVGYYGSYGVSGYGGGNGGYGTGVYGMSAAVKNSWGSQAPSSYGASGYGANAGYGAAFPWSASGSGGSASAPMGQYPTGASGYGNQGYGYGNYGGSDGPYSGGYGATGGRAGNAPNGSASNGAGGGEQQGSGSYYGDSNGNSGYANEAWRSDPSQASGGYGGAHSR >Potri.008G045300.3.v4.1 pep chromosome:Pop_tri_v4:8:2574730:2578812:-1 gene:Potri.008G045300.v4.1 transcript:Potri.008G045300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045300.v4.1 MLDLNLGITYSDSSCDDNNKNGMMVIVDVENHHHQEEEEASRTRQMEDSAASNSSTINTTEDENSSNNSNSAFIFDILKKDENFTSTTTIDASKQTNPNCDFTTQQLSPQRSGLEFNLQPGLAGTTATRPQWLKLSQMGSSGEAELRIVQQKQQQARKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHTAARAYDRAAIKFRGVDADINFNLSDYEEDMKQMKNLNKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAALNCNGREAVTNFEPSVYKGDTVFDTNYGGSGHNLDLSLGISQPTNDPKGNDNLGDGHCSFGGCEIPIKERQAKAEGTAAARMGLETLHGLPIASRNLPTWSGMFPGLASSYEERTPEKRFEAVSPPRFSSWPWQINGSNSVAATMPQLCVAASSGFSSSTKTVPSATLPFNQQNHFANNNPRLAAFTTSATNPSFYYSYTS >Potri.008G045300.1.v4.1 pep chromosome:Pop_tri_v4:8:2574754:2578840:-1 gene:Potri.008G045300.v4.1 transcript:Potri.008G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045300.v4.1 MLDLNLGITYSDSSCDDNNKNGMMVIVDVENHHHQEEEEASRTRQMEDSAASNSSTINTTEDENSSNNSNSAFIFDILKKDENFTSTTTIDASKQTNPNCDFTTQQLSPQRSGLEFNLQPGLAGTTATRPQWLKLSQMGSSGEAELRIVQQKQQQARKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHTAARAYDRAAIKFRGVDADINFNLSDYEEDMKQMKNLNKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKYIYLGLFDSEVEAARAYDKAALNCNGREAVTNFEPSVYKGDTVFDTNYGGSGHNLDLSLGISQPTNDPKGNDNLGDGHCSFGGCEIPIKERQAKAEGTAAARMGLETLHGLPIASRNLPTWSGMFPGLASSYEERTPEKRFEAVSPPRFSSWPWQINGSNSVAATMPQLCVAASSGFSSSTKTVPSATLPFNQQNHFANNNPRLAAFTTSATNPSFYYSYTS >Potri.008G045300.4.v4.1 pep chromosome:Pop_tri_v4:8:2574753:2578730:-1 gene:Potri.008G045300.v4.1 transcript:Potri.008G045300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045300.v4.1 MLDLNLGITYSDSSCDDNNKNGMMVIVDVENHHHQEEEEASRTRQMEDSAASNSSTINTTEDENSSNNSNSAFIFDILKKDENFTSTTTIDASKQTNPNCDFTTQQLSPQRSGLEFNLQPGLAGTTATRPQWLKLSQMGSSGEAELRIVQQKQQQARKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHTAARAYDRAAIKFRGVDADINFNLSDYEEDMKQMKNLNKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAALNCNGREAVTNFEPSVYKGDTVFDTNYGGSGHNLDLSLGISQPTNDPKGNDNLGDGHCSFGGCEIPIKERQAAEGTAAARMGLETLHGLPIASRNLPTWSGMFPGLASSYEERTPEKRFEAVSPPRFSSWPWQINGSNSVAATMPQLCVAASSGFSSSTKTVPSATLPFNQQNHFANNNPRLAAFTTSATNPSFYYSYTS >Potri.006G243400.1.v4.1 pep chromosome:Pop_tri_v4:6:24430057:24434325:-1 gene:Potri.006G243400.v4.1 transcript:Potri.006G243400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G243400.v4.1 MDCLREIEGKQAHDPLFDKIMNKSSRRVFVPGPVIVGAGPSGLAVAACLKEKGFPSMVLERSSCIASLWQLKTYDRLRLHLPKQFCELPLMGFPSEFPTYPTKQQFIHYLETYARKFEIRPRFNETVSHAEYDKAIGFWRVKTVGKKLEETEYMCRWLVAATGENAEAVVPEIDGMGEFGGDIRHTSHYKSGEEFKSKKVLVVGCGNSGMEVCLDLCNYSAKPSLVVRDTVHVLPREMLGKSTFGLSMWLLKWLPMRLVDRFLLIVSRLMLGDTARLGLDRPELGPLELKNLSGKTPVLDVGTLAKIKSGDVKVCPGIKKLKRHTVEFLDGKMENFDAIILATGYKSNVPSWLKEGDMFEKDGFPKRPFPNGWRGECGLYAVGFTKRGILGASMDAKRIAEDIERYCRNEEAAPYDHHHRSVLLLKSSSSSPSP >Potri.008G077800.1.v4.1 pep chromosome:Pop_tri_v4:8:4809749:4816452:1 gene:Potri.008G077800.v4.1 transcript:Potri.008G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077800.v4.1 MAEVVKMAIDCEDESKPSGQDQPKKTLKRKRATLTPTQQQQLVNLTGEQKEVQIEELKREMEGLFGYYKETMNQKMGFGFGVDLGGSECINVNGMVGLLMEESDMSFSKLVEEIYGKLVKKSGNLTVAVVKSAVLFVGQRITYGVPNVDADVLEDETQSCLWCWETRDLKLMPKSVRGALKIRRMCRAKIHERITAVFAMITALQKSETDENYKSDLIKSSGKLGKVLREADIRLLVDGMLQKNGADMAEKQVKREEKLIIKQLEKNKREEEKEKKRMDLEFQKEKRQTEKEQKRLQEEAEKDERRREREEFEMKRQLKRQQEEAEKEQRRKEKEEAELKRRVAVQKQASMMERFLKRSKSSSPCQNDQSLTKATTSDSSSKKSKRMDEAVTQLMDCAPLLNDNITSDDILKSHLSSWCHLGCSIRSNRKQHWSIRRKPKTGLFKELKLTAIRDPTHDDDSSAEKLDSGWGDQTSDDISCIDVRKCNRRKQLLQFDKSHRPAFYGIWPKTSHAVGPRHPLRRDPDLDYDVDSDEEWEEEDPGESLSDCDKDDGEESLEEEYSKADDEEESEDGFFVPDGYLSENEGVQPHRMDADPSVEEARSSPSCKQDLESEEFCTLLKQQKCLNSLTDNALRKNHPMIVLNIMHEKDALLVADDLSDISKVEKMCLQALSMRAFPGGPQMEMFLDVSSENHDACLLNAKASATRIPAVITLQDSDMPIVVSVIQSCSQSMNKVVESLQQKFPTVSKLQLRNKVREISDFVDNRWQVKKEVLDGFGIISSPEKSRGRKHNISTFFSKRCLPPAGKSTNPNESSPPMLKHGSVAESQQICTYSHP >Potri.010G203000.2.v4.1 pep chromosome:Pop_tri_v4:10:19507027:19510214:-1 gene:Potri.010G203000.v4.1 transcript:Potri.010G203000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203000.v4.1 MASGILEVLLVNAKGLGDTDFIGDMDPYVIVQYKSQERKSSVARGQGGHPVWNERLTFKVEYPGQAGEYKLSLKIMDKDTFSADDFIGEATIYVKDLLTSGVENGSAELHPCKYRVVSATQSYIGEIQVGVTFTLKEEKDYDGEEYGGWNQSSF >Potri.005G099700.7.v4.1 pep chromosome:Pop_tri_v4:5:7191749:7196113:1 gene:Potri.005G099700.v4.1 transcript:Potri.005G099700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099700.v4.1 MACLSDETWVSSMLSKRLSIQEGKEDGVKEEETTNSFASDSTNFPFEKVFPVYAMGFLKPESDPVLLPADSRDPIWDAVREEAKIEAEKEPILSSFLYASILSHDCLEQALAFVLANRLQNPTLLATQLLDTISNVIMKDRGIQHSIRLDMQAFKDRDPACLSYCSALLYLKGYHSLQSYRVAHVLWNQGRTVLALALQSRISEVFGIDIHPASRIGEGILLDHGTGCGCR >Potri.006G051400.2.v4.1 pep chromosome:Pop_tri_v4:6:3571023:3572727:1 gene:Potri.006G051400.v4.1 transcript:Potri.006G051400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051400.v4.1 MQFDANILNNGNSNAMQFDANLLNNGNSNAMRFDENILSNAMQFDENILSNGNSNVMQFDENILSNAMQFDANILSNAMQFDASILSHNGNSNAVQFDANILNNGNSNAYISPGSLVDDQFCSPNNAMVMACSSSNLINHSGQPQSQGSSRQVNVDDGDYIDPYFFTLPIGVRFCPNDRELVMEYLMKKVRNEALPKNRIHEVNIYEYHPAILTEKYKRYAEIHWSFFTTRDKKYPNGTRPSRAVPGGFWKPTGTSATCVFDENKNPIASKTPLDFYESKGKDGRTEWKMLEYFPKCMAIHSSSAGGMRLNDCVLCVIYRKGEKKSGAATANEET >Potri.011G116501.4.v4.1 pep chromosome:Pop_tri_v4:11:14748076:14750781:1 gene:Potri.011G116501.v4.1 transcript:Potri.011G116501.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116501.v4.1 MLENEENNENAEFGVKEVEYIQAEHASTTILDFRSDRSTSSKSFRKEIKKKEEEIKKKDDFIFEMKRQMDSMKEYLMNNLGYHGGTSNIDQGMSAPIAPSMPPPIAP >Potri.011G116501.3.v4.1 pep chromosome:Pop_tri_v4:11:14748076:14750781:1 gene:Potri.011G116501.v4.1 transcript:Potri.011G116501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116501.v4.1 MLENEENNENAEFGVKEVEYIQAEVKIIKCLVENIVVDISFNQLGGLFTLCFLEKHASTTILDFRSDRSTSSKSFRKEIKKKEEEIKKKDDFIFEMKRQMDSMKEYLMNNLGYHGGTSNIDQGMSAPIAPSMPPPIAP >Potri.011G108900.2.v4.1 pep chromosome:Pop_tri_v4:11:13870314:13872339:-1 gene:Potri.011G108900.v4.1 transcript:Potri.011G108900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108900.v4.1 MATAEEALIKKSGDIYRSILHDEAENTTQWRHGGPPVYDSVNQLFEEGRTKEWPKGSLEEVVQNAIKSWEMELSHKTRLQDFKTINPDKFKLIVNGREGLSGEETLRLGSYNALLKNSLPKEFQYYKADEETFESSHDAFRSAFPRGFAWEVLNVYSGPPVISFKFRHWGFFEGPFKGHAPTGEKVEFHGLGVLKIDESQRAEDVEIYYDPAELFGGLLKGPLISPSQSESEDNTATVAAATHGCPFSK >Potri.016G142100.1.v4.1 pep chromosome:Pop_tri_v4:16:14460607:14461607:1 gene:Potri.016G142100.v4.1 transcript:Potri.016G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142100.v4.1 MDSESCTDQTNKTQDEQASKAIVEAYLGPVTPDSIKETGDFPLSPVTLVKKLPKVLSFTSKTNRSEDHFDNFSSPRTPKDGVFDPFAPGHEDKILAPQCKKYNDEARASVVRRLNFTSSFRALRNGSFGDDVEFLSDEEMFESVYESLLEAIVSKQTEGALEEMTKLEWDSDDCTTPPAAPILTGVPDTCPAAPLKRKGKSRIIDLGLCRKLEF >Potri.008G149900.4.v4.1 pep chromosome:Pop_tri_v4:8:10244682:10255474:1 gene:Potri.008G149900.v4.1 transcript:Potri.008G149900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149900.v4.1 MVPQLEHHRQQDSPATSTSSTLEDHVQKTKSLISALNFVSRDLPLPPDLFDTVSSIYSDDGNADFDGGTQDKSRLGNPGISIRGDLMTEFEDALSKQRPNCMSGFALAELRENRYQSHILHRINELEELSSTRGEDLQMKCLLELHGLKLAELQSKVRSEVSSEYWLRLNCTFPDKQLFDWGIMRLPRPLYGIGDAFAMEADDQFRKKRDAERLSRLEEEERNHVETRKRKFFAEILNAVREFQLQVQATLKRRKQRNDGIQAWHGRQRQRATRAEKLRLQALKADDQEAYMRMVKESKNERLTMLLEETNKLLVNLGAAVQRQKDAKHSDGIEPLKDLEADSPELDASRNESPLDTCPEEDEIIDSDVNDDSGDLLEGQRQYNSAIHSIQEKVTEQPSILKGGQLRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKETKGICGPHLIVAPKAVLPNWVNEFSTWIEENEIKAFLYDGRLEERKAIREQLSREGNLQVLITHYDLIMRDKAFLKKIHWQYMIVDEGHRLKNHECALAKTIAGYQLKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSEDKFEEWFNAPFADRGEVSLTDEEQLLIIRRLHNVIRPFILRRKKDEVEKYLPGKSQVILKCDLSAWQKVYYQQVTEMGRVGLQNGSGKSKSLQNLTMQLRKCCNHPYLFVGDYNMWRKDEIMRASGKFELLDRLLPKLHATDHRVLLFSQMTRLMDILEIYLQLHDYKYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKKGIDAKVIQAGLFNTTSTAQDRREMLQGIMRRGTSSLGTDVPSEREINRLAARSQEEFRIFEEMDKERRKQEDYRSRLMEEHEVPEWAYQAPDSKEDKAKGFEQNSTGVLGKRRRKEVTYGDTLSDLQWMKAVENGQDISKLSSKGKKQEHTRSEVNDTANNSAGTEKKVLEMRNDNMPVASEGTSEDTYASAPKRPQSDEAVTEKTDYQVLEKPEQGVGGSGWNRQIFTWNTYKKKRSSYVFPSSSSDSRGQNSNVKGNGWA >Potri.008G149900.2.v4.1 pep chromosome:Pop_tri_v4:8:10244682:10255639:1 gene:Potri.008G149900.v4.1 transcript:Potri.008G149900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149900.v4.1 MVPQLEHHRQQDSPATSTSSTLEDHVQKTKSLISALNFVSRDLPLPPDLFDTVSSIYSDDGNADFDGGTQDKSRLQGNPGISIRGDLMTEFEDALSKQRPNCMSGFALAELRENRYQSHILHRINELEELSSTRGEDLQMKCLLELHGLKLAELQSKVRSEVSSEYWLRLNCTFPDKQLFDWGIMRLPRPLYGIGDAFAMEADDQFRKKRDAERLSRLEEEERNHVETRKRKFFAEILNAVREFQLQVQATLKRRKQRNDGIQAWHGRQRQRATRAEKLRLQALKADDQEAYMRMVKESKNERLTMLLEETNKLLVNLGAAVQRQKDAKHSDGIEPLKDLEADSPELDASRNESPLDTCPEEDEIIDSDVNDDSGDLLEGQRQYNSAIHSIQEKVTEQPSILKGGQLRPYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKETKGICGPHLIVAPKAVLPNWVNEFSTWIEENEIKAFLYDGRLEERKAIREQLSREGNLQVLITHYDLIMRDKAFLKKIHWQYMIVDEGHRLKNHECALAKTIAGYQLKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSEDKFEEWFNAPFADRGEVSLTDEEQLLIIRRLHNVIRPFILRRKKDEVEKYLPGKSQVILKCDLSAWQKVYYQQVTEMGRVGLQNGSGKSKSLQNLTMQLRKCCNHPYLFVGDYNMWRKDEIMRASGKFELLDRLLPKLHATDHRVLLFSQMTRLMDILEIYLQLHDYKYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKKGIDAKVIQAGLFNTTSTAQDRREMLQGIMRRGTSSLGTDVPSEREINRLAARSQEEFRIFEEMDKERRKQEDYRSRLMEEHEVPEWAYQAPDSKEDKAKGFEQNSTGVLGKRRRKEVTYGDTLSDLQWMKAVENGQDISKLSSKGKKQEHTRSEVNDTANNSAGTEKKVLEMRNDNMPVASEGTSEDTYASAPKRPQSDEAVTEKTDYQVLEKPEQGVGGSGWNRQIFTWNTYKKKRSSYVFPSSSSDSRGQNSNVKGNGWA >Potri.003G071400.1.v4.1 pep chromosome:Pop_tri_v4:3:9868306:9870485:-1 gene:Potri.003G071400.v4.1 transcript:Potri.003G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071400.v4.1 MANSSRSSFHLLLVYTSLVIPSSCYSQRPSSPSDHVAMFIFGDSLFDAGNNNYLKSAVGRANFWPYGETFFKHPTGRFSDGRIIPDFIAEYLNLPLIPPYLQPGNHRYLAGVNFASAGAGALAETYKGFVIDLKTQLSYFRKVKQQLREERGDTETKTFLSKAIYLFSIGSNDYVEPFSTNFSAFHSSSKKDYVGMVVGNLTTVVKEIYKNGGRKFGFLNVEPMGCFPYARAVLQNNTRGCVDELTVLAKLHNRALTKALEELMGQLKGFKYSNFDFHGSLSERINNPSKYGFKEGKVACCGTGPYRGILSCGGKRTIKEYQLCDDASEHLFFDGSHPTEKANYQFAKLMWTGSPSVTGPCNLQTLVQE >Potri.006G280700.5.v4.1 pep chromosome:Pop_tri_v4:6:27016280:27018786:-1 gene:Potri.006G280700.v4.1 transcript:Potri.006G280700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280700.v4.1 MLSLILGFKSWWVFPMICFIIWPIVSKLLTPGWPRMSLLISLLVVQTSVGNEPFLSSYNGSFLGTTLPALRNIQSALTKAGLSTRVKVTVPLNADVYESPTNLPSDGDFRSDIHDLMLSIVKFLSDNGAPFTVNIYPFISLYSDPNFPLGFAFFGNKSFPLNDGGTIYDNVFDANHDTLIWALQKNGYGSLPVVIGEIGWPTDGDKNANLNYAQQFNQGFMDSVISNKGTPLRPGYVDAYLFSLIDEDAKSIQPGNFERHWGLFYLDGQPKYAVSLVTANSKGLVPARDVHYLARQWCIMSPSASLDDPQVGPSVSYACANADCTSLGYGTSCENLDARGNISYAFNSYYQQNNQLESACKFPNNLSVVINNDPSTGTCKFRIMIQGQAVISGAGGGKGFSRSSLVVFILVFFPLYFCDFLGLKLKVYGLGFCDF >Potri.006G280700.4.v4.1 pep chromosome:Pop_tri_v4:6:27016281:27018785:-1 gene:Potri.006G280700.v4.1 transcript:Potri.006G280700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280700.v4.1 MGGLIKGVVSTRQRQQPLVVTLRCLSILQLLVDSVSGIGVNWGTQATHPLPPSTVVKMLRDNGFQKVKLFDADSATLNALANSGIQIMVGIPNDMLYNLANSEQAADTWVAKNVSSHISSGGADIRYVAVGNEPFLSSYNGSFLGTTLPALRNIQSALTKAGLSTRVKVTVPLNADVYESPTNLPSDGDFRSDIHDLMLSIVKFLSDNGAPFTVNIYPFISLYSDPNFPLGFAFFGNKSFPLNDGGTIYDNVFDANHDTLIWALQKNGYGSLPVVIGEIGWPTDGDKNANLNYAQQFNQGFMDSVISNKGTPLRPGYVDAYLFSLIDEDAKSIQPGNFERHWGLFYLDGQPKYAVSLVTANSKGLVPARDVHYLARQWCIMSPSASLDDPQVGPSVSYACANADCTSLGYGTSCENLDARGNISYAFNSYYQQNNQLESACKFPNNLSVVINNDPSTGTCKFRIMIQGQAVISGAGGGKGFSRSSLVVFILVFFPLYFCDFLGLKLKVYGLGFCDF >Potri.006G280700.3.v4.1 pep chromosome:Pop_tri_v4:6:27016320:27022143:-1 gene:Potri.006G280700.v4.1 transcript:Potri.006G280700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280700.v4.1 MGGLIKGVVSTRQRQQPLVVTLRCLSILQLLVDSVSGIGVNWGTQATHPLPPSTVVKMLRDNGFQKVKLFDADSATLNALANSGIQIMVGIPNDMLYNLANSEQAADTWVAKNVSSHISSGGADIRYVAVGNEPFLSSYNGSFLGTTLPALRNIQSALTKAGLSTRVKVTVPLNADVYESPTNLPSDGDFRSDIHDLMLSIVKFLSDNGAPFTVNIYPFISLYSDPNFPLGFAFFGNKSFPLNDGGTIYDNVFDANHDTLIWALQKNGYGSLPVVIGEIGWPTDGDKNANLNYAQQFNQGFMDSVISNKGTPLRPGYVDAYLFSLIDEDAKSIQPGNFERHWGLFYLDGQPKYAVSLVTANSKGLVPARDVHYLARQWCIMSPSASLDDPQVGPSVSYACANADCTSLGYGTSCENLDARGNISYAFNSYYQQNNQLESACKFPNNLSVVINNDPSTGTCKFRIMIQGQAVISGAGGGKGFSRSSLVVFILVFFPLYFCDFLGLKLKVYGLGFCDF >Potri.001G067800.1.v4.1 pep chromosome:Pop_tri_v4:1:5104020:5108835:1 gene:Potri.001G067800.v4.1 transcript:Potri.001G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067800.v4.1 MAASEYSLRRALVEKQSSIESQGNAVRALKASNAVKADVDEAIEKLNALKLEKSSIEKQLQAAVSGNPDGSVNKEAFRQAVVNTLERRLFFIPSFKIYRGVAGLYDYGPPGCAVKSNVLAFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCYRADHLLKDFCNEKIQKDLSISAEKAAELKHVLAVLDDLSAEELGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEDKSHPKYSEVADLEFLMFPREQQVSGQSAKKIRLGEAVSKGIVNNETLGYFIGRVYLFLTHLGIDKDRLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLRAHTDKSGVPLVAHEKFSEPKEVEKLVIAPVKKELGLSFKGNQKKVVEALEAMNEKEALDMKSSLETKGEVEFYVCTLGENVTIKKSMVSISKEKKKEHQRTFTPSVIEPSFGIGRIIYCLYEHSFYMRSSKAGDEQQNVFRFPPLVAPIKCTVFPLVQNQQYEDVAKIISKSLTAAGISHKIDITGTSIGKRYARTDELGVPFAITVDSTSSVTIRERDSKDQIRVNVEEAASVVKSVTDGHTTWADAWANFPHHSSGSVED >Potri.017G064500.2.v4.1 pep chromosome:Pop_tri_v4:17:5515503:5516315:1 gene:Potri.017G064500.v4.1 transcript:Potri.017G064500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G064500.v4.1 MADWGPVLIGVVLFVLLTPGLLFQLPGNSKQVEFGSLKTNGMAVAVHTLIFFAVYAVLILAVHVHIYTG >Potri.018G070800.2.v4.1 pep chromosome:Pop_tri_v4:18:8570370:8572455:1 gene:Potri.018G070800.v4.1 transcript:Potri.018G070800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070800.v4.1 MEDKKANIMAVSTIIVLSIFIIVARVSLKLSKPFFLIAGASGSVILAVFAHLIIRRRYKHSRKLLESQLVSQGIELRIEYSFLRKVAGVPTKFRYKELEEATDNFQALLGQGSSASVFKGILNDGTSVAVKRIEGEKHGEKEFRAEVSAIASVQHVHLLRLLGYCIIAGGPRFLVYEFISNGSLDCWIFQGRANRNQLPGGCLSWGLRYRVAIDVAKALCYLHNDCRSRILHLDIKPENILLDENYRAIVADFGLSKLMGRDESKVITNIRGTRGYLAPEWLLEHGISAKSDVYSYGMVVLEMIGGRRNVCLVQNGNDKSQRKWQYFPKIVNQKMREGKLMEVVDNRLTESGGIDEREVRRLVFVAFWCIQERAQLRPSMGQVVEMLEGHVPVEEPPDTQMIIVDLLAIDEELPDAHNMAPMAAIQTQPIDNNLPTTSTYSLDMSVLSAR >Potri.018G070800.1.v4.1 pep chromosome:Pop_tri_v4:18:8570370:8572507:1 gene:Potri.018G070800.v4.1 transcript:Potri.018G070800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070800.v4.1 MEDKKANIMAVSTIIVLSIFIIVARVSLKLSKPFFLIAGASGSVILAVFAHLIIRRRYKHSRKLLESQLVSQGIELRIEYSFLRKVAGVPTKFRYKELEEATDNFQALLGQGSSASVFKGILNDGTSVAVKRIEGEKHGEKEFRAEVSAIASVQHVHLLRLLGYCIIAGGPRFLVYEFISNGSLDCWIFQGRANRNQLPGGCLSWGLRYRVAIDVAKALCYLHNDCRSRILHLDIKPENILLDENYRAIVADFGLSKLMGRDESKVITNIRGTRGYLAPEWLLEHGISAKSDVYSYGMVVLEMIGGRRNVCLVQNGNDKSQRKWQYFPKIVNQKMREGKLMEVVDNRLTESGGIDEREVRRLVFVAFWCIQERAQLRPSMGQVVEMLEGHVPVEEPPDTQMIIVDLLAIDEELPDAHNMAPMAAIQTQPIDNNLPTTSTYSLDIS >Potri.019G018100.1.v4.1 pep chromosome:Pop_tri_v4:19:2992588:2994593:-1 gene:Potri.019G018100.v4.1 transcript:Potri.019G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018100.v4.1 MCETLKNNYQICEEIGRGRFGTISRCFSPIKNDFFACKSVDKNLLTDPTDRECLQNEAKIMSLLSPHPNIVEIFDVYDTEDSLDMVLELCEQNTLYDRLIESNGGFSEAISASVMKQLLTAIAHCHRFSIVHRDIKPDNILFDEMDRVKLADFGSAVWVAEEGTVSGLVGTPYYAAPEVVMGRDYNEKVDVWSAGVVLYAMLAGFPPFYGETVEEIFEAVVRGNLRFPPKVFRNVSPEAKDLLRKMICRDVSRRFSAEQALRHPWILSGGETVSMV >Potri.017G053500.1.v4.1 pep chromosome:Pop_tri_v4:17:4029502:4032420:1 gene:Potri.017G053500.v4.1 transcript:Potri.017G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053500.v4.1 MGSLQHFLNSPISVPFSPNLNHRRSFFLRACLNLDVHAPDSVKPKTHLKSREVMEMEGKVLVGTYARNPVVISSGKGCKLYDPEGREYLDCTSGIAVNALGHGDPDWVKAVVEQANLLTHVSNVFYSVPQVELARRLVACSFADRVFFTNSGTEANEAAIKFARKYQRFTNPDEKQQVTEFISFSNSFHGRTMGALALTSKEQYRFPFEPVMPGVNFLEYGDVQAATELIKSGRIAAVFVEPIQGEGGIYSATKEFLQSLRSACDDAGSLLVFDEVQCGLGRTGYLWAHEAYGVVPDIMTLAKPLAGGLPIGAALVSEKVAAAIKYGDHGSTFAGGPLVCNAAIAVLDKISKPGFLASVSEKGQYFKELLIHKLGGNSHVREVRGLGLIIGIELDVSASPLVDACRDSSLLILTAGKGNVVRLVPPLIISEQELERAAEIILECLPALDKTS >Potri.017G098100.3.v4.1 pep chromosome:Pop_tri_v4:17:10895399:10895993:1 gene:Potri.017G098100.v4.1 transcript:Potri.017G098100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098100.v4.1 MRPNVSIEFLWWLSLTILLVSVITSTSTAAFLERNSSPIFNATIGEGNEEEFSMESEVHQRLLAYPGNHINYKTLERQQVCNAQMYGSCVKPINRDSRPCTYYNRCKRGS >Potri.017G098100.2.v4.1 pep chromosome:Pop_tri_v4:17:10895393:10896255:1 gene:Potri.017G098100.v4.1 transcript:Potri.017G098100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098100.v4.1 MRPNVSIEFLWWLSLTILLVSVITSTSTAAFLERNSSPIFNATIGEGNEEEFSMESEVHQRLLAYPGNHINYKTLERQQVCNAQMYGSCVKPINRDSRPCTYYNRCKRGS >Potri.015G139000.1.v4.1 pep chromosome:Pop_tri_v4:15:14669173:14672652:1 gene:Potri.015G139000.v4.1 transcript:Potri.015G139000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139000.v4.1 MAGPHQQYHAISKPVTANFPFFKKINLYTFLLILFLCTFSYLFGSWRNTIVSIPCDPSKPTTTVTEEGKSLDFATHHSAGDLDVTLTSEVRTYPSCNVNLSEYTPCEDPKRSFKFSRHQLIYEERHCPEKGELLKCRIPAPYGYRNPFTWPASRDYAWYNNVPHKHLTVEKAVQNWIRFEGDRFRFPGGGTMFPNGADAYIDDIGRLIDLNDGSIRTAIDTGCGVASWGAYLLSRNVLTMSFAPRDNHEAQVQFALERGVPALIGIMASKRLPYPSRAFDMAHCSRCLIPWADFGGQYLIEVDRVLRPGGYWILSGPPINWKTHWKGWDRTEDDLNDEQNKIETVANSLCWKKLVEKDDIAIWQKPINHLNCKANRKITQNPPFCPAHDPDKAWYTNMETCLTNLPEVSTNQDVAGGELPKWPERLNAVPPRISRGTLEGITAETFQKDTALWNRRVSYYKAVNNQLEKPGRYRNILDMNAYLGGFAAALINDPLWVMNVVPVQASANTLGVIYERGLIGTYQDWCEAMSTYPRTYDFIHADSVFSLYDGRCEMEDILLEMDRILRPEGNVIFRDDVDVLVKIKKITDILNWDSRIVDHEDGPHQREKLLFAVKAYWTAPADHQKESTTSS >Potri.008G148800.1.v4.1 pep chromosome:Pop_tri_v4:8:10149943:10152215:1 gene:Potri.008G148800.v4.1 transcript:Potri.008G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148800.v4.1 MRTLNGHFLFFSLFVSLAAFVPTLQGHIGEFDEYWKKKADEALKAAQEAFYPDPMNVTNQFNFQVNKVMTETNSTRRSLVSKRGGRCMATNPIDRCWRCDPNWASHRQKLADCVLGFGHKTVGGKYGKIYVVTDASDNDMLNPKPGTLRHAVIQKEPLWIIFSGSMVIRLNQELMVASNKTIDSRGAKVHIAYGAGITLQFVQNVIIHGLRIHDIVIGSGGLVRDSVDHYGFRTKSDGDGISIFGSSNIWIDHVSMSNCQDGLIDVIMGSNAITISNSHFTRHNEVMLFGASDSYSGDSIMQITVAFNHFGRGLVQRMPRCRWGFFHVVNNDYTHWMMYAIGGSHNPTIVSQGNRFIAPNNTFAKEVTKRDYAVESEWKNWNWRSDNDLMMNGAFFVQSGSPITSSRRISRFHVMKSKPGTFVTRLTRFSGSLGCFKGKPC >Potri.011G109800.3.v4.1 pep chromosome:Pop_tri_v4:11:13934839:13938011:1 gene:Potri.011G109800.v4.1 transcript:Potri.011G109800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109800.v4.1 MNCSSSSYNILTSCLTWRTSSIVPLQLGFRSTKSKSSPRRVHVAFSSSSSSSSSDLLLVKAAKGEPVSRPPAWMMRQAGRYMAVYRKLAEKYPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIHSPIRSEEGLKALHPIELEKLQFVGDSLRILCREVEGHAAVLGFVGAPWTIATYIVEGRTTRTYTTIKMESGAHCIQIFDSWGGQLPPDMWDCWSKAIY >Potri.011G109800.2.v4.1 pep chromosome:Pop_tri_v4:11:13934839:13938011:1 gene:Potri.011G109800.v4.1 transcript:Potri.011G109800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109800.v4.1 MNCSSSSYNILTSCLTWRTSSIVPLQLGFRSTKSKSSPRRVHVAFSSSSSSSSSDLLLVKAAKGEPVSRPPAWMMRQAGRYMAVYRKLAEKYPSFRERSETTDLIVEISLQPWEAFHPDGVIIFSDILTPLPAFGVPFDIEEVRGPVIHSPIRSEEGLKALHPIELEKLQFVGDSLRILCREVEGHAAVLGFVGAPWTIATYIVEGRTTRTYTTIKSMCHTAPQVLRALFSHLTKAISDYIVFQVESGAHCIQIFDSWGGQLPPDMWDCWSKAIY >Potri.016G088000.2.v4.1 pep chromosome:Pop_tri_v4:16:6965194:6969189:1 gene:Potri.016G088000.v4.1 transcript:Potri.016G088000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAEAVKARSLEETPTWAVAVVCFVMVLISIIIEHIIHLIGKWLKKRRKRALYEALEKIKSELMLLGFMSLLLTIGQGPISEICVPKSVGATWHPCKKQEKDPSEDENGGSDKCAEKGKVSLVSADGITQLNIFIFVLAAFHVLCCIITLTLSRAKMRKWKKWEIETTTSNYQFSHDPVRFRFARETSFGRRHLNFWARSPILLWIVCFFRQFLRSVPKVDYLTLRHGFITAHLAPRSQTKFDFQTYIKRSLEEDFKVVVGISPTIWFFAVLFLLFNTYGWYSYLWLPFIPLIVILSVSTKLQVVITQMGLRIQGTQVVRGTPVVQPGDDLFWFNHPRLLLYLINFVLFQNAFQFAFFAWTWYEFGLKSCFHKYPEDLILRITMWAIVQFFCSYVTLPLYALVTQMGTTMRPTIFNERLAKALRNWHHTAKQHVKQNRHSGTVTPATSAPGTPSHTISPVHPLHDYRGESGGIQASLRS >Potri.011G060800.4.v4.1 pep chromosome:Pop_tri_v4:11:5053623:5055773:1 gene:Potri.011G060800.v4.1 transcript:Potri.011G060800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G060800.v4.1 MGRGKFKGKPTGQRHFSTPEQMLAGTSTRPRTFKREEAEYEEEKREEESEEESEEDDPDQKRKGTQGIIEIENPNLVKAKNLKARDVDTGKTTELSRREREELEKQRAHERYMRLQEQGKTEQARKDLERLSLIRQQREEAAKKREEEKAAKEQKKAESRK >Potri.008G221200.1.v4.1 pep chromosome:Pop_tri_v4:8:18454524:18456081:1 gene:Potri.008G221200.v4.1 transcript:Potri.008G221200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221200.v4.1 MLVYQDLLSGDELLSDSFPYKEIENGILWEAEGKWVVQGAVDVDIGANPSAEGGDEDEGVDDQTVKVVDIVDTFRLQEQPAFDKKQFVTYMKRFIKLLSEKLDDENKEHFKKNIEGATKFLLSKIKDFQFFVGESMHDDSALVLAYYKEGATDPTFLYFGHALKEVKC >Potri.003G037000.2.v4.1 pep chromosome:Pop_tri_v4:3:4497297:4511478:-1 gene:Potri.003G037000.v4.1 transcript:Potri.003G037000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G037000.v4.1 MRKTMGRKSGTKTTLFFLLISLISFLAFAPVFAPLPSFSSHYSLSPRHRHHHHRKVVTSTVAVKPRSFEIDDDKFWKDGEFFRIIGGDLHYFRILPQYWEDRLVRVKALGLNTIQTYVPWNLHEPQPGKLVFEGIADLVSFLKLCHKLDILVMLRPGPYICGEWDLGGFPAWLLAIEPPLKLRSSDPAYLRLVDNWWGILLPKVAPFLYNNGGPIIMVQIENEFGSYGDDKAYLHHLVKLARGHLGDGIILYTTDGGSRENLEKGTIRGDAVFSTVDFTTGDDPWPIFKLQKEFNAPGKSPPLSSEFYTGWLTHWGEKNAKTGADFTASALEKILSQNGSAVLYMVHGGTNFGFYNGANTGVDESDYKPDITSYDYDAPISESGDVENAKFNALRRVIELHTAASLPSVPSDNGKMGYGPIQLQKTAFLFDLLDNINPADVVESENPLSMESVGQMFGFLLYVSEYTPKDDKSVLLIPKVHDRAQVFTLCHSEDNNRRPTHVGSIDRLSSKKLGLPNAKCASNISLFVLVENQGHVNYGPYIFDKKGILSSVFLDGSILHGWKMIPIPFHNLNEVPKINLIIEVAHSRFITVSTQRELKDKPGNVSEVPAFFTGHFFIENANQIHDTFISFSGWGKGIAVVNDFNIGRYWPSFGPQCNLYVPAPILRHGENVLVILELESPNPELVIHSVDHPDFTCGSSKSSVHQL >Potri.003G037000.3.v4.1 pep chromosome:Pop_tri_v4:3:4497297:4511464:-1 gene:Potri.003G037000.v4.1 transcript:Potri.003G037000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G037000.v4.1 MRKTMGRKSGTKTTLFFLLISLISFLAFAPVFAPLPSFSSHYSLSPRHRHHHHRKVKPRSFEIDDDKFWKDGEFFRIIGGDLHYFRILPQYWEDRLVRVKALGLNTIQTYVPWNLHEPQPGKLVFEGIADLVSFLKLCHKLDILVMLRPGPYICGEWDLGGFPAWLLAIEPPLKLRSSDPAYLRLVDNWWGILLPKVAPFLYNNGGPIIMVQIENEFGSYGDDKAYLHHLVKLARGHLGDGIILYTTDGGSRENLEKGTIRGDAVFSTVDFTTGDDPWPIFKLQKEFNAPGKSPPLSSEFYTGWLTHWGEKNAKTGADFTASALEKILSQNGSAVLYMVHGGTNFGFYNGANTGVDESDYKPDITSYDYDAPISESGDVENAKFNALRRVIELHTAASLPSVPSDNGKMGYGPIQLQKTAFLFDLLDNINPADVVESENPLSMESVGQMFGFLLYVSEYTPKDDKSVLLIPKVHDRAQVFTLCHSEDNNRRPTHVGSIDRLSSKKLGLPNAKCASNISLFVLVENQGHVNYGPYIFDKKGILSSVFLDGSILHGWKMIPIPFHNLNEVPKINLIIEVAHSRFITVSTQRELKDKPGNVSEVPAFFTGHFFIENANQIHDTFISFSGWGKGIAVVNDFNIGRYWPSFGPQCNLYVPAPILRHGENVLVILELESPNPELVIHSVDHPDFTCGSSKSSVHQL >Potri.012G120100.1.v4.1 pep chromosome:Pop_tri_v4:12:13787402:13790262:1 gene:Potri.012G120100.v4.1 transcript:Potri.012G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120100.v4.1 MGLDGNSKAGITGTINQKKSETEVPNLTGKVHLLPCCIKYDGPSAVSHYFKPKPTGVEVEEMKVEEAHFRGRKLQGATLAIPNGYSGFVIGKKSPGKRKASDMSEQNSNTWEITAKFENITYWNHDNLPSKVDAFVRSLHWLSVAEALHKPAAAEDLASASIALEKK >Potri.002G171900.1.v4.1 pep chromosome:Pop_tri_v4:2:13229562:13232448:1 gene:Potri.002G171900.v4.1 transcript:Potri.002G171900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171900.v4.1 MDPELQRKIQETVIDILKHASMDEITEFKVRATATERLDFDLSHIEHKKFIRGVIESFLLSTMDEEGKEANGNVREDTKEALQEEHEEVLTKKEVGTDGNRVICKLSERRSVTIQEFKGKSFVSIRDFYQKDGNLLPSKIGICLTSEQWTAIKQNVPAIEEAIAKMQSMLSSGLDVEQNGQISKPVADSISQELPLEISRIEVSRFDGKNYQFWAPQMEFFLKQLKIVYVLTVPRPSIATSPPASAEEIAQAKATEQKWCNDDHLCRLNILNSLSDSIYYKYAKKIKTAKELWEDLKLVYLYDEFGTKRSQVKKYIEFQMVDEKSIFDQLQELNGIADAIVAAGMFIDENFHVSTVISKLPPSWKDFCMKLMHEEYLPFWILMDRVRAEEESRNQDKLGEPSSHVHSHHPKYLGPRIRDMKKPGLHWKRRDIEVDNNKSLTCYFCGKKGHISKHCPDKKFDRGASEKHGKENSSAPAVTEVNIEHCSN >Potri.011G132300.1.v4.1 pep chromosome:Pop_tri_v4:11:16590987:16592710:1 gene:Potri.011G132300.v4.1 transcript:Potri.011G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132300.v4.1 MSVEKVPVCCIMGQTDCGKTKLLEYMGSYDDIKKQQQQQNHGGRSAAFFPAKYIEERAAAGAAAAEEREVDGPLSINLPGLLIVDISAVESDSNKRLRAWLSCDLPVLVLDIAVGVDHEIIDLVKLLRLFHKDFVLVLNKVDKLLGWKNCPNTPISRAVPLQRTEVQSELSSRIKQVKTALTKEGVEAVRILPTSTVSGEGVADLLLTLYDRIQKTMDEKLSFH >Potri.003G190700.1.v4.1 pep chromosome:Pop_tri_v4:3:19423110:19425409:1 gene:Potri.003G190700.v4.1 transcript:Potri.003G190700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190700.v4.1 MRSLLLSLLQKRFLNTSPPLPIAPSSLSFTVQYLITSCGLSLQSACSVSKKFQIDEQNLQKPLSVIQLLKSHDFKDAHIAKMIEKRPRLLHCSTQDNLKPKFDFFIKNGFVGRLLPELLVSDPVILTRNLGSRIKPCFKLLKSYVQSREGVVALLKRAPFFLSYGSMDSMRLNIDLLVKEGVAADRIAKLLIWQPRSILYKPDRIVYALNALKNLGLQPGDKPFIQALSVRIQSNDTAWKKKIEVIKSLGWSEEEVLRSFKRHPPLFGYSEKKIRTAMDFFINTMELERQFIIKSPNFLGMSIDKRIRPRYNVIKVLESKELIKRDKKISTLLSLSEKNFWANYVIKYADEVPGLLEIYGGAGKAK >Potri.003G190700.2.v4.1 pep chromosome:Pop_tri_v4:3:19423064:19424399:1 gene:Potri.003G190700.v4.1 transcript:Potri.003G190700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G190700.v4.1 MRSLLLSLLQKRFLNTSPPLPIAPSSLSFTVQYLITSCGLSLQSACSVSKKFQIDEQNLQKPLSVIQLLKSHDFKDAHIAKMIEKRPRLLHCSTQDNLKPKFDFFIKNGFVGRLLPELLVSDPVILTRNLGSRIKPCFKLLKSYVQSREGVVALLKRAPFFLSYGSMDSMRLNIDLLVKEGVAADRIAKLLIWQPRSILYKPDRIVYALNALKNLGLQPGDKPFIQALSVRIQSNDTAWKKKIEVIKSLGWSEEEVLRSFKRHPPLFGYSEKKIRTAMDFFINTMELERQFIIKSPNFLGMSIDKRIRPRYNVIKVLESKELIKRDKKISTLLSLSEKNFWANYVIKYADEVPGLLEIYGGAGKAK >Potri.005G207500.1.v4.1 pep chromosome:Pop_tri_v4:5:21207829:21210608:-1 gene:Potri.005G207500.v4.1 transcript:Potri.005G207500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207500.v4.1 MGEESEYQTPLLELDSHSRIRDLSSVTIEEFLEHGPVAVRWWPRLVAWESRLLWILSGSSIIVSVSTFMLSFVTQMFSGHLGALELAGASIANVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYSSMGIICQRAIILHLGAAFLLTFLYWFSGPVLRAIGQTESIAEQGEIFARGLIPQLYAFAFSCPMQRFLQAQNIVNPLAYMSVAVFLLHILLTWIVVYVLQYGLLGAALTLSFSWWLFVILNGLYIILSPSCKETWTGLSASAFTGIWPYFKLTVSSAVMLCLEIWYSQGLVLISGLLTDPTVALDSISICMNYLNWDMEFMLGLSASTSVRVGNELGAGHPKIAKLSVMVVNGTSIVISIIFSAIVLIFRVGLSKLFTTDYEVIEAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQATVAYVNLATYYVIGLPIGCVLAFKTSLGVAGIWWGMIAGVLLQTITLIILTARTNWDTEVQNAAERLKKSANEDFSGLVEAI >Potri.019G044600.1.v4.1 pep chromosome:Pop_tri_v4:19:6228017:6231158:1 gene:Potri.019G044600.v4.1 transcript:Potri.019G044600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044600.v4.1 MAEKHSSSSLGIISRKSMLCLFVFASALFMLSWFFLLRSTSSAGFNGLSLLPHPKLNPLIDNGNSASWNRKDVEPSIGNRAILVDNEGEEEKPQKKDDLGDVKSSCFDNNHKQVLKIFMYDLPSEFHFGLLDLKPLGDSVWPDLRAKVPEYPGGLNLQHSIEYWLTLDLLASEVPGIPRAGSAVRVRNSSEADVIFVPFFSSLCYNRYSKVNPHQKKSKDKLLQEKLVKFLTSQKEWKRSGGRDHVLLAHHPNSMLDARVKLWPAIFILADFGRYPPNIANVAKDVIAPYKHVIRSYVNDSSNFDSRPTLLYFQGAIYRKDGGFARQELFYLLKDEKEVHFQFGSVQKDGVGKASQGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYENVLDYSQFCIFVRTSDAVREKFLVNLIRSIKKDEWTRMWKRLKEVENFFEFQYPSREGDAVQMIWQAVARKVPAIRLKVNKLRRFSRFGTQKDGELRKIPSPSNFW >Potri.010G073300.2.v4.1 pep chromosome:Pop_tri_v4:10:10130574:10133022:-1 gene:Potri.010G073300.v4.1 transcript:Potri.010G073300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073300.v4.1 MVGSGRAAEISVQETSYDRGSELKAFDETKAGVKGLVDAGVSKVPQIFIHPSERLEHRTLSTSKNPVNVTVIDLEAIDKDPIRRKGIVDKVRDASETWGFFQVVNHGIPVGVLEEMDAGVRRFFEQDVEVKKKFYTRDVTKRFVYNSNFDLHTAPVANWRDTFFSYMAPYPPKPEELPGACRDIMMEFSKQVTSLGISLFGLLSEALGLKTDHLEKMDCAEGLALISHYYPACPEPELTLGTSKHSDNDFLTVLLQDQIGGLQMLHQDQWVDIPPVPGALVINIGDLMQLISNDKFKSVEHRVLANRVGPRISVACFFSTSFQPSSKLYGPIKELLSEYNPPIYRETTVNEYLSYFYDHGLDGTSPLIHFKL >Potri.018G129600.1.v4.1 pep chromosome:Pop_tri_v4:18:14011250:14013412:1 gene:Potri.018G129600.v4.1 transcript:Potri.018G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129600.v4.1 MATTFLSTAKPFLSSSTPSSLSSLTNRPLLFSCPQQKCNPRRPLPSCKALSDESLPVPAASPIIITKRSLSICFLTSFVFSLASRGSSSSNAAILEADDDEELLEKVKRDRKKRLERQGVISSANKEKGYLQDLVYKLSKVGQAIDNNDLSTASSVLGGSTDTDWVKKANIAFTKLSSSPEEKIEVDTFNSSLSSLISSVTSNDIESSKTAFVSSATAFEKWTTLTGLFGQLKGL >Potri.009G155700.1.v4.1 pep chromosome:Pop_tri_v4:9:12155554:12158055:1 gene:Potri.009G155700.v4.1 transcript:Potri.009G155700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G155700.v4.1 MAPLSSCSSLHPLSSLSPPSSSSSKTRHFLPKLPPSKPEIPSLPRFSFINHNSNYKLYHHQATPSLLFQPQFLLSGLDTPLDTQTALSIISVFAAIALSLFLGLKGDPVPCERCAGNGGTKCVFCNDGKMKQETGLMDCRVCKGAGLILCKKCAGSGYSKRL >Potri.019G053700.1.v4.1 pep chromosome:Pop_tri_v4:19:9063293:9066616:1 gene:Potri.019G053700.v4.1 transcript:Potri.019G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053700.v4.1 MSLTSRILFTPPTTIKLSPSIPPPSAFCPLKTPSLSSNSPHPSLLRFTHITKSPSSLFARVFSSFNPTATTTPPTMGDAPDAGMDAVQRRLMFEDECILVDENDRVVGHDSKYNCHLWENILKGNALHRAFSVFLFNSKHELLLQQRSATKVTFPLVWTNTCCSHPLYRESELIHEDALGVRNAAQRKLFDELGIPAEDVPVDQFSPLGRILYKAPSDGKWGEHELDYLLFIVRDVSVNPNPDEVADIKYVNQDELKELLRKADAGEEGLKLSPWFRLVVDNFLFKWWDHVEKGTLEEAADMKAIHKLT >Potri.018G102500.2.v4.1 pep chromosome:Pop_tri_v4:18:12217776:12233159:-1 gene:Potri.018G102500.v4.1 transcript:Potri.018G102500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102500.v4.1 MEKADAEVSMCPPPRLGQFYDFFSFSHLTPPVQYIRRSNRSFVEDKTEDDYFQIDVRVCSGKPMKIVASRKGFYPAGKRLLLCHSLVSLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRENTWVVPPVVADNPSGFPPLPVEDENWGGNGGGHGKDGKHDYRPWAKQFAILAAMPCKTSEERQIRDRKAFLLHSLFVDISVFKAVAAIKHIVESNQCFLSDLGKSVLHEERVGDLIIIVMRDASDASTKLDCKNDGCLVLGVSQEELAQRNLLKGITADESATVHDTPTLGVVVVQHCGFTAVVKVSSEVNWEGNRIPQDISIEDQTEGGANALNVNSLRMLLHNSSTPQSSSTPQRLQGGDHESLRSARSLVRKILEDSLLKLQEESSRCTKSIRWELGACWIQHLQNQASGKAEAKKTEETKPEPAVKGLGKQGALLREIKKKTDVRTSKTEEGKDVSSGTNLDTSKKSDSTNQKESEKMDEKMEVMWKKLLPEAAYLRLKESETGLHLKTPDELIEMAHKYYADIALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAFKHILQAVVASVNNVADLAACIASCLNILLGTPSTENEDSDIINDEKLKWKWVETFLAKRFGWRWKHENCQDLRKFAILRGLSHKVGLELLPRDYDMDNASPFKKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALLKLVSVCGPFHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNEDTVSDEYQKDEILSPTYPVAENSSDKENKSETQFVEPRNDKSDLGLPDESLLKNDDMTLEDNSEEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNVPQSSRFRGKPSNFASPKTSPNDPAASNAMTVPVRKKFVKSASFGPKVNNSGASTGGAEKSSNAKSAPATPASTEQAAKAAPMASPISVQAAGKMFSYKEVALAPPGTIVKAVAEQLPKGNPTKEPSPQGSHETAATDVKSEGVTALKAVEVGKLQKPEGERQLPASEGMKSPVDQERETGGVLVATEKLEEIKFADEDHIDTEDGGAEIKVVTVKDTTAEAETISDLGHENLDTSKDSNTMSSPTEVPDTRASDGFPSACPDLKPQSTSIEKAGLLEKDSSSTNEKVEDENTPDLSNDNTNAKLLSTGGVKQDDAETGKEATKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGLLPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNKSGNRVPRNKPSFHNGEHTGDGNHFSPPRIMNPHAAEFVPCQPWVPNGYPLQHNGYMATTNGMPVSPNGYPISPTSIPVSPNGYPASLNGIEVTQNGFPASLVGSEETPTSVSVDVGGENKSEAAAENGTENSEIEVGVENHSSDYENQKYQEENVNPEIGEKPAEVAVTSDTVVAKETCDSLPTEEKPSKCWADYSDNEAEIVEVAS >Potri.018G102500.6.v4.1 pep chromosome:Pop_tri_v4:18:12217526:12221009:-1 gene:Potri.018G102500.v4.1 transcript:Potri.018G102500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102500.v4.1 MEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNEDTVSDEYQKDEILSPTYPVAENSSDKENKSETQFVEPRNDKSDLGLPDESLLKNDDMTLEDNSEEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNVPQSSRFRGKPSNFASPKTSPNDPAASNAMTVPVRKKFVKSASFGPKVNNSGASTGGAEKSSNAKSAPATPASTEQAAKAAPMASPISVQAAGKMFSYKEVALAPPGTIVKAVAEQLPKGNPTKEPSPQGSHETAATDVKSEGVTALKAVEVGKLQKPEGERQLPASEGMKSPVDQERETGGVLVATEKLEEIKFADEDHIDTEDGGAEIKVVTVKDTTAEAETISDLGHENLDTSKDSNTMSSPTEVPDTRASDGFPSACPDLKPQSTSIEKAGLLEKDSSSTNEKVEDENTPDLSNDNTNAKLLSTGGVKQDDAETGKEATKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGLLPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNKSGNRVPRNKPSFHNGEHTGDGNHFSPPRIMNPHAAEFVPCQPWVPNGYPLQHNGYMATTNGMPVSPNGYPISPTSIPVSPNGYPASLNGIEVTQNGFPASLVGSEETPTSVSVDVGGENKSEAAAENGTENSEIEVGVENHSSDYENQKYQEENVNPEIGEKPAEVAVTSDTVVAKETCDSLPTEEKPSKCWADYSDNEAEIVEVAS >Potri.018G102500.7.v4.1 pep chromosome:Pop_tri_v4:18:12217526:12220789:-1 gene:Potri.018G102500.v4.1 transcript:Potri.018G102500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102500.v4.1 MKAREAQKKARAKVKGKPGQNEDTVSDEYQKDEILSPTYPVAENSSDKENKSETQFVEPRNDKSDLGLPDESLLKNDDMTLEDNSEEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNVPQSSRFRGKPSNFASPKTSPNDPAASNAMTVPVRKKFVKSASFGPKVNNSGASTGGAEKSSNAKSAPATPASTEQAAKAAPMASPISVQAAGKMFSYKEVALAPPGTIVKAVAEQLPKGNPTKEPSPQGSHETAATDVKSEGVTALKAVEVGKLQKPEGERQLPASEGMKSPVDQERETGGVLVATEKLEEIKFADEDHIDTEDGGAEIKVVTVKDTTAEAETISDLGHENLDTSKDSNTMSSPTEVPDTRASDGFPSACPDLKPQSTSIEKAGLLEKDSSSTNEKVEDENTPDLSNDNTNAKLLSTGGVKQDDAETGKEATKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGLLPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNKSGNRVPRNKPSFHNGEHTGDGNHFSPPRIMNPHAAEFVPCQPWVPNGYPLQHNGYMATTNGMPVSPNGYPISPTSIPVSPNGYPASLNGIEVTQNGFPASLVGSEETPTSVSVDVGGENKSEAAAENGTENSEIEVGVENHSSDYENQKYQEENVNPEIGEKPAEVAVTSDTVVAKETCDSLPTEEKPSKCWADYSDNEAEIVEVAS >Potri.018G102500.3.v4.1 pep chromosome:Pop_tri_v4:18:12217775:12227987:-1 gene:Potri.018G102500.v4.1 transcript:Potri.018G102500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102500.v4.1 MEKADAEVSMCPPPRLGQFYDFFSFSHLTPPVQYIRRSNRSFVEDKTEDDYFQIDVRVCSGKPMKIVASRKGFYPAGKRLLLCHSLVSLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRENTWVVPPVVADNPSGFPPLPVEDENWGGNGGGHGKDGKHDYRPWAKQFAILAAMPCKTSEERQIRDRKAFLLHSLFVDISVFKAVAAIKHIVESNQCFLSDLGKSVLHEERVGDLIIIVMRDASDASTKLDCKNDGCLVLGVSQEELAQRNLLKGITADESATVHDTPTLGVVVVQHCGFTAVVKVSSEVNWEGNRIPQDISIEDQTEGGANALNVNSLRMLLHNSSTPQSSSTPQRLQGGDHESLRSARSLVRKILEDSLLKLQEESSRCTKSIRWELGACWIQHLQNQASGKAEAKKTEETKPEPAVKGLGKQGALLREIKKKTDVRTSKTEEGKDVSSGTNLDTSKKSDSTNQKESEKMDEKMEVMWKKLLPEAAYLRLKESETGLHLKTPDELIEMAHKYYADIALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAFKHILQAVVASVNNVADLAACIASCLNILLGTPSTENEDSDIINDEKLKWKWVETFLAKRFGWRWKHENCQDLRKFAILRGLSHKVGLELLPRDYDMDNASPFKKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALLKLVSVCGPFHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNEDTVSDEYQKDEILSPTYPVAENSSDKENKSETQFVEPRNDKSDLGLPDESLLKNDDMTLEDNSEEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNVPQSSRFRGKPSNFASPKTSPNDPAASNAMTVPVRKKFVKSASFGPKVNNSGASTGGAEKSSNAKSAPATPASTEQAAKAAPMASPISVQAAGKMFSYKEVALAPPGTIVKAVAEQLPKGNPTKEPSPQGSHETAATDVKSEGVTALKAVEVGKLQKPEGERQLPASEGMKSPVDQERETGGVLVATEKLEEIKFADEDHIDTEDGGAEIKVVTVKDTTAEAETISDLGHENLDTSKDSNTMSSPTEVPDTRASDGFPSACPDLKPQSTSIEKAGLLEKDSSSTNEKVEDENTPDLSNDNTNAKLLSTGGVKQDDAETGKEATKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGLLPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNKSGNRVPRNKPSFHNGEHTGDGNHFSPPRIMNPHAAEFVPCQPWVPNGYPLQHNGYMATTNGMPVSPNGYPISPTSIPVSPNGYPASLNGIEVTQNGFPASLVGSEETPTSVSVDVGGENKSEAAAENGTENSEIEVGVENHSSDYENQKYQEENVNPEIGEKPAEVAVTSDTVVAKETCDSLPTEEKPSKCWADYSDNEAEIVEVAS >Potri.018G102500.5.v4.1 pep chromosome:Pop_tri_v4:18:12217525:12224785:-1 gene:Potri.018G102500.v4.1 transcript:Potri.018G102500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102500.v4.1 MIVRAFKHILQAVVASVNNVADLAACIASCLNILLGTPSTENEDSDIINDEKLKWKWVETFLAKRFGWRWKHENCQDLRKFAILRGLSHKVGLELLPRDYDMDNASPFKKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALLKLVSVCGPFHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNEDTVSDEYQKDEILSPTYPVAENSSDKENKSETQFVEPRNDKSDLGLPDESLLKNDDMTLEDNSEEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNVPQSSRFRGKPSNFASPKTSPNDPAASNAMTVPVRKKFVKSASFGPKVNNSGASTGGAEKSSNAKSAPATPASTEQAAKAAPMASPISVQAAGKMFSYKEVALAPPGTIVKAVAEQLPKGNPTKEPSPQGSHETAATDVKSEGVTALKAVEVGKLQKPEGERQLPASEGMKSPVDQERETGGVLVATEKLEEIKFADEDHIDTEDGGAEIKVVTVKDTTAEAETISDLGHENLDTSKDSNTMSSPTEVPDTRASDGFPSACPDLKPQSTSIEKAGLLEKDSSSTNEKVEDENTPDLSNDNTNAKLLSTGGVKQDDAETGKEATKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGLLPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNKSGNRVPRNKPSFHNGEHTGDGNHFSPPRIMNPHAAEFVPCQPWVPNGYPLQHNGYMATTNGMPVSPNGYPISPTSIPVSPNGYPASLNGIEVTQNGFPASLVGSEETPTSVSVDVGGENKSEAAAENGTENSEIEVGVENHSSDYENQKYQEENVNPEIGEKPAEVAVTSDTVVAKETCDSLPTEEKPSKCWADYSDNEAEIVEVAS >Potri.018G102500.1.v4.1 pep chromosome:Pop_tri_v4:18:12217605:12234785:-1 gene:Potri.018G102500.v4.1 transcript:Potri.018G102500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102500.v4.1 MAPRTGKAKPHKAKGEKKKKEEKVLPTVIEATVETPDDSQVTLKGISTDRILDVRKLLGVHVETCHLTNFSLSHEVRGPRLKDSVDIISLKPCHLTIIEEDYTEDLSIAHIRRLLDIVACTTSFGASSTSPTKPAGRIGNSKESGSKEISSTETRGDNKKSVSKSGNDDCTDAMEKADAEVSMCPPPRLGQFYDFFSFSHLTPPVQYIRRSNRSFVEDKTEDDYFQIDVRVCSGKPMKIVASRKGFYPAGKRLLLCHSLVSLLQQISRVFDAAYKALMKAFTEHNKFGNLPYGFRENTWVVPPVVADNPSGFPPLPVEDENWGGNGGGHGKDGKHDYRPWAKQFAILAAMPCKTSEERQIRDRKAFLLHSLFVDISVFKAVAAIKHIVESNQCFLSDLGKSVLHEERVGDLIIIVMRDASDASTKLDCKNDGCLVLGVSQEELAQRNLLKGITADESATVHDTPTLGVVVVQHCGFTAVVKVSSEVNWEGNRIPQDISIEDQTEGGANALNVNSLRMLLHNSSTPQSSSTPQRLQGGDHESLRSARSLVRKILEDSLLKLQEESSRCTKSIRWELGACWIQHLQNQASGKAEAKKTEETKPEPAVKGLGKQGALLREIKKKTDVRTSKTEEGKDVSSGTNLDTSKKSDSTNQKESEKMDEKMEVMWKKLLPEAAYLRLKESETGLHLKTPDELIEMAHKYYADIALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAFKHILQAVVASVNNVADLAACIASCLNILLGTPSTENEDSDIINDEKLKWKWVETFLAKRFGWRWKHENCQDLRKFAILRGLSHKVGLELLPRDYDMDNASPFKKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALLKLVSVCGPFHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNEDTVSDEYQKDEILSPTYPVAENSSDKENKSETQFVEPRNDKSDLGLPDESLLKNDDMTLEDNSEEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNVPQSSRFRGKPSNFASPKTSPNDPAASNAMTVPVRKKFVKSASFGPKVNNSGASTGGAEKSSNAKSAPATPASTEQAAKAAPMASPISVQAAGKMFSYKEVALAPPGTIVKAVAEQLPKGNPTKEPSPQGSHETAATDVKSEGVTALKAVEVGKLQKPEGERQLPASEGMKSPVDQERETGGVLVATEKLEEIKFADEDHIDTEDGGAEIKVVTVKDTTAEAETISDLGHENLDTSKDSNTMSSPTEVPDTRASDGFPSACPDLKPQSTSIEKAGLLEKDSSSTNEKVEDENTPDLSNDNTNAKLLSTGGVKQDDAETGKEATKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGLLPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNKSGNRVPRNKPSFHNGEHTGDGNHFSPPRIMNPHAAEFVPCQPWVPNGYPLQHNGYMATTNGMPVSPNGYPISPTSIPVSPNGYPASLNGIEVTQNGFPASLVGSEETPTSVSVDVGGENKSEAAAENGTENSEIEVGVENHSSDYENQKYQEENVNPEIGEKPAEVAVTSDTVVAKETCDSLPTEEKPSKCWADYSDNEAEIVEVAS >Potri.018G102500.4.v4.1 pep chromosome:Pop_tri_v4:18:12217585:12225905:-1 gene:Potri.018G102500.v4.1 transcript:Potri.018G102500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102500.v4.1 MLLHNSSTPQSSSTPQRLQGGDHESLRSARSLVRKILEDSLLKLQEESSRCTKSIRWELGACWIQHLQNQASGKAEAKKTEETKPEPAVKGLGKQGALLREIKKKTDVRTSKTEEGKDVSSGTNLDTSKKSDSTNQKESEKMDEKMEVMWKKLLPEAAYLRLKESETGLHLKTPDELIEMAHKYYADIALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMCSLGRVVELADKLPHVQSLCIHEMIVRAFKHILQAVVASVNNVADLAACIASCLNILLGTPSTENEDSDIINDEKLKWKWVETFLAKRFGWRWKHENCQDLRKFAILRGLSHKVGLELLPRDYDMDNASPFKKSDIISMVPVYKHVACSSADGRTLLESSKTSLDKGKLEDAVNYGTKALLKLVSVCGPFHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVNRALYLLHLTCGPSHPNTAATYINVAMMEEGLGNVHVALRYLHEALKCNQRLLGADHIQTAASYHAIAIALSLMEAYSLSVQHEQTTLQILQAKLGSEDLRTQDAAAWLEYFESKALEQQEAARNGTPKPDASISSKGHLSVSDLLDYITPDADMKAREAQKKARAKVKGKPGQNEDTVSDEYQKDEILSPTYPVAENSSDKENKSETQFVEPRNDKSDLGLPDESLLKNDDMTLEDNSEEGWQEAVPKGRSPTSRKSSGSRRPSLAKLNTNFMNVPQSSRFRGKPSNFASPKTSPNDPAASNAMTVPVRKKFVKSASFGPKVNNSGASTGGAEKSSNAKSAPATPASTEQAAKAAPMASPISVQAAGKMFSYKEVALAPPGTIVKAVAEQLPKGNPTKEPSPQGSHETAATDVKSEGVTALKAVEVGKLQKPEGERQLPASEGMKSPVDQERETGGVLVATEKLEEIKFADEDHIDTEDGGAEIKVVTVKDTTAEAETISDLGHENLDTSKDSNTMSSPTEVPDTRASDGFPSACPDLKPQSTSIEKAGLLEKDSSSTNEKVEDENTPDLSNDNTNAKLLSTGGVKQDDAETGKEATKKLSAAAPPFNPSTIPVFSSVTVPGFKDHGLLPPPVNIPPMLTVNPVRRSPHQSATARVPYGPRLSGGYNKSGNRVPRNKPSFHNGEHTGDGNHFSPPRIMNPHAAEFVPCQPWVPNGYPLQHNGYMATTNGMPVSPNGYPISPTSIPVSPNGYPASLNGIEVTQNGFPASLVGSEETPTSVSVDVGGENKSEAAAENGTENSEIEVGVENHSSDYENQKYQEENVNPEIGEKPAEVAVTSDTVVAKETCDSLPTEEKPSKCWADYSDNEAEIVEVAS >Potri.008G050200.3.v4.1 pep chromosome:Pop_tri_v4:8:2949551:2951682:1 gene:Potri.008G050200.v4.1 transcript:Potri.008G050200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050200.v4.1 MSPARADASKKPDAKAQAQKAARAVKSGPTFKKTKKIRTKVTFHRPRTLKKERNPKYPRISATPRNKLDHYQILKYPLTTESAMKKIEDNNTLVFIVDIRADKKKIKAAVKKMYDIQTKKVNTLIRPDGTKKAYVRLTPDYDALDVANKIGII >Potri.012G143100.1.v4.1 pep chromosome:Pop_tri_v4:12:15429068:15435374:1 gene:Potri.012G143100.v4.1 transcript:Potri.012G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143100.v4.1 MEGVDGLEAERVFHLEETIFVAVGKNVDKSKRLLFWVLQSFAGKKICLLYVHRPANVVSFTHRKLAVNKLKEDAVKAFQELETKKMHDVLDQYRLILAQEGVEADKVWIKMDDIAKGIVEVIAQYNIRWLVMGAAADKYYSKKLGEIKSKKAIIVCQQAPTSCHIWFVCRGSLIYTREGRDYGSETEISLPLLLLSSDSDTEQLRLLRSESLTQLDRSLDAEEVAGDLEGILGRFDYYPVHSCQSTNIILSTSKLIPLLADEEEKTQTQTTEETCSRLEQAIMDTKDSKQKALVEAVKRWKEEDNVMEAKCKAIALENLCIKEISLRKEMEEALNRRKQEVEKKKNQRDEFLKELQMVQEHKFALESQIAESQNTVEELEQKIISAVQLLISFKERRDAAMVEYENARQEVRRLKRSAIAAAAGSKSEILEFSFMEINEATHYFDPSWKISEGKYGSVYKGLLRHLLVAIKMFPSYSSQSLLDFQNGVEIFSRVRHPNLVMLVGTCPESRSLVYEYVRNGSLEDNLFCKDKMPPLPWQTRIRIAVQICSSLVFLHSNKPCIIHGNLKPSKVLLDANFVSKLTDFGVFYLIPQSESGSNLTGICNKSNPNFTSLYIDPEYLETGMLTPESDVYSFGIILLQLLTGRAGLDILKEVKCAIEKDNFKALLDCSGGNWPFEEAEQLANLALRCCEKNRLDRPDLVLILRVLEPMKTSGIDSGPKEPSRIPSHFVCPILQEVMDDPQIAADGFTYEAEAIRGWLKSGHNTSPMTNLKLEHCNLLPNHALHQAILEWRQHL >Potri.002G045100.1.v4.1 pep chromosome:Pop_tri_v4:2:2935196:2937361:-1 gene:Potri.002G045100.v4.1 transcript:Potri.002G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045100.v4.1 MFQLPTMALEQTLALSSTANLFFPIPKNPLTNIKIPTLPLSPLSSSSKKSLPKRSLKCSIDFRDNHYSQTVTHAKPAEIQWNKELCNSVHLIGIVGIPVEIKHLPSGKVVAWTRLAVKKSATDTSWINLTFWDELAQVVSQHVEKGQQIYVSGRLISDSVENDDGKLQTYYKVVVQQLNFIERSSPTGLYDRGFNNMEAGRKFGNNTANDMGSTEERWQAFFASPLEWWDNRKDKRNPKYPDFKHKDTGEALWVEGRYNPSWVKSQLAILDERMGSLRDQDSKLRLSSMSGDDFLSLS >Potri.008G127300.2.v4.1 pep chromosome:Pop_tri_v4:8:8283690:8291754:1 gene:Potri.008G127300.v4.1 transcript:Potri.008G127300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127300.v4.1 MSMSKASVILTVIVLLLISSKEAASIQSGSRSILRAVSSENKAQVDYAVDLNSTNFDAVLRNTPAAHAIVEFFAHWCPACRNYKPQYEKVARLFNGPDAVHPGIALMTRVDCALKINNKLCDKFSVSHYPMLFWGPPSKFASGGWEPKEEKSEIHVIDDGRTAERLLNWINKQLGSSYGLDDEKFEHLPSNISDLGQIARAVYDVEEATFFAFEIILEHKMIKPHTRASLIKFLQLLVAHHPSKRCRKGSAEVLVNFDDLCPPDMWSPDKQEAVSDNKGMLGNFQICGKEVPRGYWMFCRGSKNDTRGFSCGLWVVLHSLSVRIEDGESQFAFTAVCDFINNFFICEECREHFYQMCSSVTAPFNTSRDFALWLWSAHNKVNERLMKEEASVGTGDPKFPKIIWPPKQLCSSCHLSSNHRENGFGQIDWNLNEVYKFLTGYYGKTLASLYKEKDRLGDEVNDGAIADLVASTNAVVVPVGAALAIALSSCAFGALACYWRSQQKNRKYYHQLHSLKNI >Potri.008G127300.1.v4.1 pep chromosome:Pop_tri_v4:8:8283749:8291740:1 gene:Potri.008G127300.v4.1 transcript:Potri.008G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127300.v4.1 MSMSKASVILTVIVLLLISSKEAASIQSGSRSILRAVSSENKAQVDYAVDLNSTNFDAVLRNTPAAHAIVEFFAHWCPACRNYKPQYEKVARLFNGPDAVHPGIALMTRVDCALKINNKLCDKFSVSHYPMLFWGPPSKFASGGWEPKEEKSEIHVIDDGRTAERLLNWINKQLGSSYGLDDEKFEHLPSNISDLGQIARAVYDVEEATFFAFEIILEHKMIKPHTRASLIKFLQLLVAHHPSKRCRKGSAEVLVNFDDLCPPDMWSPDKQEAVSDNKGMLGNFQICGKEVPRGYWMFCRGSKNDTRGFSCGLWVVLHSLSVRIEDGESQFAFTAVCDFINNFFICEECREHFYQMCSSVTAPFNTSRDFALWLWSAHNKVNERLMKEEASVGTGDPKFPKIIWPPKQLCSSCHLSSNHRENGFGQIDWNLNEVYKFLTGYYGKTLASLYKEKDRLGDEVNDGAIADLVASTNAVVVPVGAALAIALSSCAFGALACYWRSQQKNRKPRRSWN >Potri.001G455800.3.v4.1 pep chromosome:Pop_tri_v4:1:48220857:48224872:-1 gene:Potri.001G455800.v4.1 transcript:Potri.001G455800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455800.v4.1 MASISWCSLFCLFLAVFVAEVGFCSSSKVYVVYMGSKSGDDPDDVLSQNHHMLASVHGGSVEQAQASHLYTYRHGFKGFAAKLTDEQASQIAKMPGVVSVFPNSKRKLHTTHSWDFMGLVGEETMEIPGHSTKNQVNVIIGFIDTGIWPESPSFSDADMPPVPARWRGKCQLGEAFNASSCNRKVIGARYYKSGYEAEEDSSRIMSFRSPRDSSGHGSHTASIAAGRYVTNMNYKGLAAGGARGGAPMARIAVYKTCWESGCYDVDLLAAFDDAIRDGVHILSVSLGPDAPQGDYFNDAISIGSFHAASRGVLVVASAGNAGTRGSATNLAPWMITVGASSMDRDFASDIVLGNATKFMGESLSLFEMKASARIISASEAFAGYFTPYQSSYCLESSLNGTKARGKVLVCRHAESSSESKIAKSQVVKEAGGVGMVLIDEADKDVAIPFPIPSAVVGREMGREILSYINNTRKPMSRISRAKTVLGSQPAPRIASFSSKGPNSLTPEILKPDVAAPGLNILAAWSPAAGKMQFNILSGTSMSCPHITGVATLIKAVHPSWSPSAIKSAIMTTATILDKSGKPIRVDPEGRMANAFDYGSGFVDPTRVLDPGLVYDAHPIDYKAFLCSIGYDEKSLHLVTRDNSTCNQTFTTASSLNYPSITVPNLKDSFSVTRTVTNVGKARSVYKAVVSNPAGINVTVVPKQLIFNSYGQKIKFTVNFKVAAPSKGYAFGFLTWRSTDARVTSPLVVRAAPSPMGLMR >Potri.001G455800.1.v4.1 pep chromosome:Pop_tri_v4:1:48220839:48225060:-1 gene:Potri.001G455800.v4.1 transcript:Potri.001G455800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455800.v4.1 MASISWCSLFCLFLAVFVAEVGFCSSSKVYVVYMGSKSGDDPDDVLSQNHHMLASVHGGSVEQAQASHLYTYRHGFKGFAAKLTDEQASQIAKMPGVVSVFPNSKRKLHTTHSWDFMGLVGEETMEIPGHSTKNQVNVIIGFIDTGIWPESPSFSDADMPPVPARWRGKCQLGEAFNASSCNRKVIGARYYKSGYEAEEDSSRIMSFRSPRDSSGHGSHTASIAAGRYVTNMNYKGLAAGGARGGAPMARIAVYKTCWESGCYDVDLLAAFDDAIRDGVHILSVSLGPDAPQGDYFNDAISIGSFHAASRGVLVVASAGNAGTRGSATNLAPWMITVGASSMDRDFASDIVLGNATKFMGESLSLFEMKASARIISASEAFAGYFTPYQSSYCLESSLNGTKARGKVLVCRHAESSSESKIAKSQVVKEAGGVGMVLIDEADKDVAIPFPIPSAVVGREMGREILSYINNTRKPMSRISRAKTVLGSQPAPRIASFSSKGPNSLTPEILKPDVAAPGLNILAAWSPAAGKMQFNILSGTSMSCPHITGVATLIKAVHPSWSPSAIKSAIMTTATILDKSGKPIRVDPEGRMANAFDYGSGFVDPTRVLDPGLVYDAHPIDYKAFLCSIGYDEKSLHLVTRDNSTCNQTFTTASSLNYPSITVPNLKDSFSVTRTVTNVGKARSVYKAVVSNPAGINVTVVPKQLIFNSYGQKIKFTVNFKVAAPSKGYAFGFLTWRSTDARVTSPLVVRAAPSPMGLMR >Potri.001G455800.4.v4.1 pep chromosome:Pop_tri_v4:1:48220712:48224712:-1 gene:Potri.001G455800.v4.1 transcript:Potri.001G455800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455800.v4.1 MASISWCSLFCLFLAVFVAEVGFCSSSKVYVVYMGSKSGDDPDDVLSQNHHMLASVHGGSVEQAQASHLYTYRHGFKGFAAKLTDEQASQIAKMPGVVSVFPNSKRKLHTTHSWDFMGLVGEETMEIPGHSTKNQVNVIIGFIDTGIWPESPSFSDADMPPVPARWRGKCQLGEAFNASSCNRKVIGARYYKSGYEAEEDSSRIMSFRSPRDSSGHGSHTASIAAGRYVTNMNYKGLAAGGARGGAPMARIAVYKTCWESGCYDVDLLAAFDDAIRDGVHILSVSLGPDAPQGDYFNDAISIGSFHAASRGVLVVASAGNAGTRGSATNLAPWMITVGASSMDRDFASDIVLGNATKFMGESLSLFEMKASARIISASEAFAGYFTPYQSR >Potri.011G113350.1.v4.1 pep chromosome:Pop_tri_v4:11:14373424:14374007:1 gene:Potri.011G113350.v4.1 transcript:Potri.011G113350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113350.v4.1 MQIGYVQIYPIGRNLWASEGEVKESSKKDLFQCFKILEEELGDKQYFGDESFGYIDLALIPFYSFFYTFETLGNWSMVAEFPKLVKWGERCLQKESVSKSLSDQKEIYEAALQIKQELGIE >Potri.007G031650.1.v4.1 pep chromosome:Pop_tri_v4:7:2437368:2438560:-1 gene:Potri.007G031650.v4.1 transcript:Potri.007G031650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031650.v4.1 MPANPLKPTKNKSEAVLMRPPRPILHGLPSKLSSTSSFTKSVSGLIHVTVVNMGVCCKVCCPLQTKLHSSAKHWVLLNRQSSPTLGSPKTLKLCRRQIHQRPANSFLTE >Potri.002G242400.1.v4.1 pep chromosome:Pop_tri_v4:2:23451584:23452840:-1 gene:Potri.002G242400.v4.1 transcript:Potri.002G242400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242400.v4.1 MGIVAKEDGVLKLVHPGRYVEIHRQPIAAAQVLENNPRHSITRPDVFEYPWIVVKPDSVLRLGKVFFIVPNNTIYNLLKAHKQCHQNSPRQSQFSKNYACGQVQKRNSTRKPATMSAKHRTKHRWFKQSLPITSCTGAAFQEQDCDKRIRNPPGKSAGMSPNQHNQHRSLPITSCIVTSFQEQDCDKRNTKSAKVESWPKFISKYRNTHLDFEEKPQEDSTSENRPSNYKEHRSVNTNTTAGFPRKNDSELDYNCMEEVITLKSCLRKQDSVRKLLQLKVSFNLPVKDEEQRRAVHATRARVSSNRFCSSSEIFVHFTNLVST >Potri.013G056700.1.v4.1 pep chromosome:Pop_tri_v4:13:4157903:4159398:1 gene:Potri.013G056700.v4.1 transcript:Potri.013G056700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056700.v4.1 MEIHFQQQKQHKNASIPVSKASKFKGRGRPNSNSNKFVGVRQRTSGRWVAEIKDTTQKIRMWLGTFETAEEAARAYDEAACLLRGSNTRTNFITHVSVDSPLASRIRNLLNNKRRDQQQHSEEETAEASTPPTSTITTTSSTITTSSSSSSSSGMSDNYSSSIHETDHLFDDAYKPDLRNCIEEFKLGSSQSDLSRGFGPLFDRFSYAQEVLDFPKSVVLPEASDSEFSEFDRMKVERQISASLYAINGVQEYMEAVYDPIEALWDLPPL >Potri.003G110500.1.v4.1 pep chromosome:Pop_tri_v4:3:13285810:13286422:-1 gene:Potri.003G110500.v4.1 transcript:Potri.003G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110500.v4.1 MVTLTNKSLMYFEDLTLPTVQVIVMTASMRCSRCRQRVSQVISRMSGLKEYTVDVHNKQVIMKGDTGKQWKKEDDHSNDEMNNERCQRLKLFLRSFVATCFGNYMAN >Potri.019G007200.3.v4.1 pep chromosome:Pop_tri_v4:19:1573386:1577552:1 gene:Potri.019G007200.v4.1 transcript:Potri.019G007200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007200.v4.1 MDLEATKNLSESHTIGNYILKSKLGESSFSTVWKAENKITGGEVAVKQVYLSKLNKNLRNCLDCELNFLSSVNHPNIIRLLDVFEDDCCMFLVLEFCSGGNLASYLQQHGRVQEKIAKRFTQQMGDGLKILQSHHIIHRDLKPENILLSGKESDVVLKIADFGLSRVLPDNYVETVCGSPFYMAPEVLQFQRYDYKVDMWSVGVILFELLNGYPPFRGRTNFQLLQNIKSSSCLPFSQHILSGLHPDCVDICSRLLSANPVQRLSFDEFYHHKFLRRKGVGKYHGQ >Potri.019G007200.2.v4.1 pep chromosome:Pop_tri_v4:19:1573386:1577552:1 gene:Potri.019G007200.v4.1 transcript:Potri.019G007200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007200.v4.1 MDLEATKNLSESHTIGNYILKSKLGESSFSTVWKAENKITGGEVAVKQVYLSKLNKNLRNCLDCELNFLSSVNHPNIIRLLDVFEDDCCMFLVLEFCSGGNLASYLQQHGRVQEKIAKRFTQQMGDGLKILQSHHIIHRDLKPENILLSGKESDVVLKIADFGLSRRVLPDNYVETVCGSPFYMAPEVLQFQRYDYKVDMWSVGVILFELLNGYPPFRGRTNFQLLQNIKSSSCLPFSQHILSGLHPDCVDICSRLLSANPVQRLSFDEFYHHKFLRRKGVGKYHGQ >Potri.019G007200.4.v4.1 pep chromosome:Pop_tri_v4:19:1573386:1577552:1 gene:Potri.019G007200.v4.1 transcript:Potri.019G007200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007200.v4.1 MDLEATKNLSESHTIGNYILKSKLGESSFSTVWKAENKITGGEVAVKQVYLSKLNKNLRNCLDCELNFLSSVNHPNIIRLLDVFEDDCCMFLVLEFCSGGNLASYLQQHGRVQEKIAKRFTQQMGDGLKILQSHHIIHRDLKPENILLSGKESDVVLKIADFGLSRRVLPDNYVETVCGSPFYMAPEVLQFQRYDYKVDMWSVGVILFELLNGYPPFRGRTNFQNIKSSSCLPFSQHILSGLHPDCVDICSRLLSANPVQRLSFDEFYHHKFLRRKGVGKYHGQ >Potri.014G167400.2.v4.1 pep chromosome:Pop_tri_v4:14:12148657:12153226:-1 gene:Potri.014G167400.v4.1 transcript:Potri.014G167400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167400.v4.1 MGNASGKGDGEGTSSSGVKYGGEEGYEQEEGMEFAAHGGVAPISYHHSQGVYAEAEPMVHSPPRNPVGYLQPPPLFMPQVPMAPLPRSGEMTHVPNYALVPNTTDFRGVVPENLRAVMITWSFDGKQVAVTGSWDNWNRREPLQRMGKDFIIMKMLPAGVYHYRFIVDENFRHVPDLPWERDESGTAYNILDVQEYVPEAPESLSEFESSPSPVSSYNNESLNDNDFGKLPPEIPPQLQLTPLSEQSSATDGYQSQRRPRHAVLNHLYIQNSRGEPVALGSTNRFLQKYVTVVLYKPTRR >Potri.014G167400.1.v4.1 pep chromosome:Pop_tri_v4:14:12148707:12153314:-1 gene:Potri.014G167400.v4.1 transcript:Potri.014G167400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167400.v4.1 MVMGNASGKGDGEGTSSSGVKYGGEEGYEQEEGMEFAAHGGVAPISYHHSQGVYAEAEPMVHSPPRNPVGYLQPPPLFMPQVPMAPLPRSGEMTHVPNYALVPNTTDFRGVVPENLRAVMITWSFDGKQVAVTGSWDNWNRREPLQRMGKDFIIMKMLPAGVYHYRFIVDENFRHVPDLPWERDESGTAYNILDVQEYVPEAPESLSEFESSPSPVSSYNNESLNDNDFGKLPPEIPPQLQLTPLSEQSSATDGYQSQRRPRHAVLNHLYIQNSRGEPVALGSTNRFLQKYVTVVLYKPTRR >Potri.010G053000.2.v4.1 pep chromosome:Pop_tri_v4:10:8451321:8456397:1 gene:Potri.010G053000.v4.1 transcript:Potri.010G053000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053000.v4.1 MRLSYSSFAPFILFLVEWMDYSCTDALPSYLGLLHILVYKVYVDGMPSLSSKEKKASLREFYAIIYPSLRQLEGEFIEVEDNHKRSSTDVLSRKRMEDQRKLSESDFERDEECGICMENSAKMVLPNCGHSLCISCFHDWNVRSQSCPFCRGSLKRMSCTDLWVLISNDDVIDTVALAGENLRRFYLYMDNLPILVPETQAILFDYMI >Potri.010G053000.6.v4.1 pep chromosome:Pop_tri_v4:10:8452536:8455285:1 gene:Potri.010G053000.v4.1 transcript:Potri.010G053000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053000.v4.1 MWQKQPSKSSFRESLKVLEADIQHANAMGFCRAAALPGDCGANCVQMRLSYSSFAPFILFLVEWMDYSCTDALPSYLGLLHILVYKVYVDGMPSLSSKEKKASLREFYAIIYPSLRQLEGEFIEVEDNHKRSSTDVLSRKRMEDQRKLSESDFERDEECGICMENSAKMVLPNCGHSLCISCFHDWNVRSQSCPFCRGSLKRMSCTDLWVLISNDDVIDTVALAGENLRRFYLYMDNLPILVPETQAILFDYMI >Potri.010G053000.7.v4.1 pep chromosome:Pop_tri_v4:10:8452536:8456397:1 gene:Potri.010G053000.v4.1 transcript:Potri.010G053000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053000.v4.1 MWQKQPSKSSFRESLKVLEADIQHANAMAAALPGDCGANCVQMRLSYSSFAPFILFLVEWMDYSCTDALPSYLGLLHILVYKVYVDGMPSLSSKEKKASLREFYAIIYPSLRQLEGEFIEVEDNHKRSSTDVLSRKRMEDQRKLSESDFERDEECGICMENSAKMVLPNCGHSLCISCFHDWNVRSQSCPFCRGSLKRMSCTDLWVLISNDDVIDTVALAGENLRRFYLYMDNLPILVPETQAILFDYMI >Potri.001G125100.1.v4.1 pep chromosome:Pop_tri_v4:1:10288675:10289703:1 gene:Potri.001G125100.v4.1 transcript:Potri.001G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125100.v4.1 MATASTLKSSSFSVFPSETIFFTQIRDQGVHVCLLYIRMFLTNVLCITRKMASKKFVCSWNVFLIVMLLLVSAMGSRDLPKTTMPRMTREKAYHPRILQGWKSHRGGVPRDGHY >Potri.001G360950.1.v4.1 pep chromosome:Pop_tri_v4:1:37875865:37876407:-1 gene:Potri.001G360950.v4.1 transcript:Potri.001G360950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360950.v4.1 MHIFFLVFSMPRRGSILDSRSDRSRSFQTSSRLNNKGSMFHHPVYHNSKKYYIPTLGSTHPPQHDYGRVDAYQYCEGFRFQDLFLTQGGFSRDNQLAYEGHNSYRSYERVDDDDGDTYDGDIDNDANIKDEDEGNSNNERGVCDEDQDSIPSNNGSTSSPYNYDRRVKRKGFDTPMDPII >Potri.011G023100.2.v4.1 pep chromosome:Pop_tri_v4:11:1720792:1723650:1 gene:Potri.011G023100.v4.1 transcript:Potri.011G023100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023100.v4.1 MATSKLQALWNHPAGPKTIHFWAPTFKWGISIANIADFAKPPEKLSYPQQIAVTCTGVIWSRYSTVITPKNWNLFSVNVAMAATGIYQLSRKIQHDYFSEEEAAVAKE >Potri.001G320000.1.v4.1 pep chromosome:Pop_tri_v4:1:32964167:32966639:-1 gene:Potri.001G320000.v4.1 transcript:Potri.001G320000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320000.v4.1 MASPPDTSKTIKLERYNSYLRRLHSTKVLNASSKLLFRATLLIALVLILFFTLNYPPLSDNIPNHAHLHHHNFLSTAFFTSSAGGGAAWEKQVRHSSTPKRRNGLSVLVTGAAGFVGSHCSLALKKRGDGVLGLDNFNSYYDPTLKRARQKLLLRHEVFIVEGDLNDASLLRKLFDVVPFTHILHLAAQAGVRYAMQNPQSYVSSNIAGFVNLLEVAKAANPQPAIVWASSSSVYGLNTQVPFSELDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILQGKPIDVYQTQDDKQVARDFTYIDDVVKGCLGALDTAEKSTGSGGKKKGPAQLRVYNLGNTSPVPVANLVSILEGLLSTKAKKHVIKMPRNGDVPYTHANVTLAFKDFGYKPSTDLATGLRKFVKWYVNYYGIQTRVKKGSAINSEHPEESA >Potri.010G241000.2.v4.1 pep chromosome:Pop_tri_v4:10:21875269:21879392:1 gene:Potri.010G241000.v4.1 transcript:Potri.010G241000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241000.v4.1 MESSHGSSFSSLNSYIQALAQTPARFARRAGSVSTSYEEMSRVKARSGSDMQRTLRWYDLVGFGIGGMVGAGVFVTTGRASRVCTGPSVIISYAIAGLCALLSAFCYTEFAVDMPVAGGAFSYLRVTFGEFAAFLTGANLIMDYVMSNAAVARSFTAYLGSAIGISTSKWRVVVHVLPDGFNEIDIFAVLVVLAITLIICYSTRESSVVNMVLTALHILFIGFVILMGFWKGDWKNFTEPADPKNPSGFFPFGAPGVFNGAAMVYLSYIGYDAVSTLAEEVHNPVKDIPIGVSGSVIIVTVLYCLMSASMSMLLPYDMIDADAPFSAAFRGKSDGWKWVSNVIGIGASFGILTSLLVAMLGQARYMCVIGRSNVVPAWFARVHPKTSTPVNASAFLGIFTAAIALFTDLNVLLNLVSIGTLFVFYMVANAVVYRRYVAIGTTNPWPTLAFLCSFSLTSILFTLIWHFMPQGKGKAFMLGACAVIAIAIIQLFHCLVPQARKPEFWGVPLMPWIPCVSIFLNIFLLGSLGGPSYVRFVFFSALAVLVYVLYSVHASFDAEAEGSFGQKNGGILKESGESDQDPSFKV >Potri.006G201400.1.v4.1 pep chromosome:Pop_tri_v4:6:20900301:20902595:-1 gene:Potri.006G201400.v4.1 transcript:Potri.006G201400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201400.v4.1 MAHCMMIVPLLISLCLILPLIQASKFQYCDNNKDYDVKVSGVKISPNPVKKGKPATFTISATTSESITDGKMRVDVRYFGFPVYSQDHDLCEETPCPVTSGNFVVSHTEELPGFTPPGSYSLTMKMINGESRELTCISFGFRIGSASSVSDV >Potri.002G065800.2.v4.1 pep chromosome:Pop_tri_v4:2:4542991:4546610:1 gene:Potri.002G065800.v4.1 transcript:Potri.002G065800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G065800.v4.1 MEEAKELASSSLSQGLNHHGSDPDDIPKSPPGSPNSSTRKACYAVLQSWASKKFMTGCVVLFPVAVTFLVTWWFIQFVDGFFSPIYAHLGIDIFGLGFVTSIIFILFIGIFASSWLGATVFLVGEWFIKRMPFVKHLYSASKQISSAISPDQNTTAFKEVAIIRHPRHGEYAFGFITSSLVLQRENGDEELCSVYVPTNHLYIGDVFLVNSEEIIRPNLSIREGIEIIVSIGMTMPQVLSPIERIPHPSNRLPLNRV >Potri.002G065800.6.v4.1 pep chromosome:Pop_tri_v4:2:4543036:4546611:1 gene:Potri.002G065800.v4.1 transcript:Potri.002G065800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G065800.v4.1 MEEAKELASSSLSQGLNHHGSDPDDIPKSPPGSPNSSTRKACYAVLQSWASKKFMTGCVVLFPVAVTFLVTWWFIQFVDGFFSPIYAHLGIDIFGLGFVTSIIFILFIGIFASSWLGATVFLVGEWFIKRMPFVKHLYSASKQISSAISPDQNTTAFKEVAIIRHPRHGEYAFGFITSSLVLQRENGDEELCSVYVPTNHLYIGDVFLVNSEEIIRPNLSIREGIEIIVSIGMTMPQVLSPIERIPHPSNRLPLNRV >Potri.018G101300.1.v4.1 pep chromosome:Pop_tri_v4:18:12120416:12125671:-1 gene:Potri.018G101300.v4.1 transcript:Potri.018G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101300.v4.1 MAFKLLHLSFFSLFLAKLSLSYEPRNHEVEALISIREALHDPHGVLSNWDEDSVDPCSWAMITCSPENLVIGFGAPSQSLSGSLSGTIGNLTNLRQVLLQNNNISGQIPPELGTLSKLQTLDLSNNRFSGVVPESLGQLNSLQYLRLNNNSLFGPFPVSLAKIPQLAFLDLSYNNLSGHVPKSPARTFNVAGNPLICGSGSTEGCSGSANAGPLSFSLSSSPGKHKPKKLAIALGVSLSLVSLFLLALGILWLRGKQKGQMILNISDNQEEERISLGNLRNFTFRELQIATDNFCSKNILGAGGFGNVYKGKLGDGTMMAVKRLKDLTGTAGESQFRTELEMISLAVHRNLLRLIGYCASHNERLLVYPYMSNGSVASRLRVKPALDWNTRKRIAIGTARGLLYLHEQCNPKIIHRDVKAANVLLDEFCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVNQKGAMLEWVKKVQQEKKMEELVDKELGSNFCRIEVGEMLQVALLCTQFLPAHRPKMSEVVRMLEGDGLAEKWAAAHSHCNPTMSLSHPNNNNKSTTSASKHDESGPNRSSSMFGTTMDEDDDEHSLDSYAMELSGPR >Potri.018G101300.3.v4.1 pep chromosome:Pop_tri_v4:18:12120394:12125994:-1 gene:Potri.018G101300.v4.1 transcript:Potri.018G101300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101300.v4.1 MITCSPENLVIGFGAPSQSLSGSLSGTIGNLTNLRQVLLQNNNISGQIPPELGTLSKLQTLDLSNNRFSGVVPESLGQLNSLQYLRLNNNSLFGPFPVSLAKIPQLAFLDLSYNNLSGHVPKSPARTFNVAGNPLICGSGSTEGCSGSANAGPLSFSLSSSPGKHKPKKLAIALGVSLSLVSLFLLALGILWLRGKQKGQMILNISDNQEEERISLGNLRNFTFRELQIATDNFCSKNILGAGGFGNVYKGKLGDGTMMAVKRLKDLTGTAGESQFRTELEMISLAVHRNLLRLIGYCASHNERLLVYPYMSNGSVASRLRVKPALDWNTRKRIAIGTARGLLYLHEQCNPKIIHRDVKAANVLLDEFCEAVVGDFGLAKLLDHADSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLIELITGMRALEFGKTVNQKGAMLEWVKKVQQEKKMEELVDKELGSNFCRIEVGEMLQVALLCTQFLPAHRPKMSEVVRMLEGDGLAEKWAAAHSHCNPTMSLSHPNNNNKSTTSASKHDESGPNRSSSMFGTTMDEDDDEHSLDSYAMELSGPR >Potri.002G008600.4.v4.1 pep chromosome:Pop_tri_v4:2:500528:508661:-1 gene:Potri.002G008600.v4.1 transcript:Potri.002G008600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008600.v4.1 MAVPNMDQFEAYFKRADLDGDGRISGAEAVSFFQGSNLPKQVLAQIWMHADQSRTGFLGRPEFFNALRLVTVAQSKRDLTPDIVKAALYGPAAAKIPPPQINLQATAAAPQMAAASPVPQMGSAAPTTSQGFGFRGPGVPTAAPQMVASSATQMGAVAPTASQGFGFRGPGVPNATMNQQYFPRHGQTMRPLQGVPPGTASRPPQGMPAISLGGPSSVMLTGTASRPPQGMPSSSLGGPSFIMPTGTTPRPPQFMSGGSAGPTPSVSNPNISSDWLGGRTGGAPTSPGGVQPSIPTTTSQPRPLSSVSSQPIANDSKVVSGNGFASDSFFGGDVFSATPTATKQEPPLPTSSATSGTQAPIKSGSLDSLLKAVNNPTSSSIVSGSSDAQARGPVKSSSLDSLQSAFAVQPLGGQPERTQSLASPGPQVSASNSASLVSPGISVGVGKSSDSTQLSWPKMKPTDIQKYNKVFMEVDTDRDGRITGEQARNLFLSWRLPREILKQVWDLSDQDSDSMLSLREFCFALYLMERYREGHPLPAALPSNIMYDETLLSMTGQPKVAYGSAAWGPGFGQQPTRSMAPVPGMRPPVPVTASQPDGVMVNNQHKSGAPVLEDSFLNQHDGGEQSSANSMIQDGTASEKKSDETEKLILDSKEKIEFYRSKMQDLVLYKSRCDNRLNEITERALADKREAELLGKKYEEKYKQVAEVASKLTIEEATFRDIQERKLELRQAITNMEQGGSADGILQVRADRIQSDLDELLKVLTERCKKHGLDVKSTAVIELPFGWQPGIQEGAATWDEDWDKFEDEGFSNELTVDVKSAPGQKERAPADGSLTPDSLSNGDGRSGIFTGEHVLESESAYFHSGDEIARSPQGSPAGRAASESPSQDFADVFAKNTEADIDTHRSFDESTWGAFDTNDDVDSVWGFNPAGNKDSSENERDFFGSDDFGLKPIRTESTPTTNTFQKKSIFFEESVAGSPMSRFGNSPRFSEAGDHFDNYSRFDSFSMNEGGFSPREKLTRFDSINSSKDFGHSRAFSSFDDGDPFGSSAPFKVSSEDQTPKKSSGNWSSF >Potri.002G008600.7.v4.1 pep chromosome:Pop_tri_v4:2:500504:508489:-1 gene:Potri.002G008600.v4.1 transcript:Potri.002G008600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008600.v4.1 MAVPNMDQFEAYFKRADLDGDGRISGAEAVSFFQGSNLPKQVLAQIWMHADQSRTGFLGRPEFFNALRLVTVAQSKRDLTPDIVKAALYGPAAAKIPPPQINLQATAAAPQMAAASPVPQMGSAAPTTSQGFGFRGPGVPTAAPQMVASSATQMGAVAPTASQGFGFRGPGVPNATMNQQYFPRHGQTMRPLQGVPPGTASRPPQGMPAISLGGPSSVMLTGTASRPPQGMPSSSLGGPSFIMPTGTTPRPPQFMSGGSAGPTPSVSNPNISSDWLGGRTGGAPTSPGGVQPSIPTTTSQPRPLSSVSSQPIANDSKVVSGNGFASDSFFGGDVFSATPTATKQEPPLPTSSATSGTQAPIKSGSLDSLLKAVNNPTSSSIVSGSSDAQARGPVKSSSLDSLQSAFAVQPLGGQPERTQSLASPGPQVSASNSASLVSPGISVGVGKSSDSTQLSWPKMKPTDIQKYNKVFMEVDTDRDGRITGEQARNLFLSWRLPREILKQVWDLSDQDSDSMLSLREFCFALYLMERYREGHPLPAALPSNIMYDETLLSMTGQPKVAYGSAAWGPGFGQQPTRSMAPVPGMRPPVPVTASQPDGVMVNNQHKSGAPVLEDSFLNQHDGGEQSSANSMIQDGTASEKKSDETEKLILDSKEKIEFYRSKMQDLVLYKSRCDNRLNEITERALADKREAELLGKKYEEKYKQVAEVASKLTIEEATFRDIQERKLELRQAITNMEQGGSADGILQVRADRIQSDLDELLKVLTERCKKHGLDVKSTAVIELPFGWQPGIQEGAATWDEDWDKFEDEGFSNELTVDVKSAPGQKERAPADGSLTPDSLSNGDGRSGIFTGEHVLESESAYFHSGDEIARSPQGSPAGRAASESPSQDFADVFAKNTEADIDTHSFDESTWGAFDTNDDVDSVWGFNPAGNKQDSSENERDFFGSDDFGLKPIRTESTPTTNTFQKKSIFFEESVAGSPMSRFGNSPRFSEAGDHFDNYSRFDSFSMNEGGFSPREKLTRFDSINSSKDFGHSRAFSSFDDGDPFGSSAPFKVSSEDQTPKKSSGNWSSF >Potri.002G008600.6.v4.1 pep chromosome:Pop_tri_v4:2:500419:508493:-1 gene:Potri.002G008600.v4.1 transcript:Potri.002G008600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008600.v4.1 MAVPNMDQFEAYFKRADLDGDGRISGAEAVSFFQGSNLPKQVLAQIWMHADQSRTGFLGRPEFFNALRLVTVAQSKRDLTPDIVKAALYGPAAAKIPPPQINLQATAAAPQMAAASPVPQMGSAAPTTSQGFGFRGPGVPTAAPQMVASSATQMGAVAPTASQGFGFRGPGVPNATMNQQYFPRHGQTMRPLQGVPPGTASRPPQGMPAISLGGPSSVMLTGTASRPPQGMPSSSLGGPSFIMPTGTTPRPPQFMSGGSAGPTPSVSNPNISSDWLGGRTGGAPTSPGGVQPSIPTTTSQPRPLSSVSSQPIANDSKVVSGNGFASDSFFGGDVFSATPTATKQEPPLPTSSATSGTQAPIKSGSLDSLLKAVNNPTSSSIVSGSSDAQARGPVKSSSLDSLQSAFAVQPLGGQPERTQSLASPGPQVSASNSASLVSPGISVGVGKSSDSTQLSWPKMKPTDIQKYNKVFMEVDTDRDGRITGEQARNLFLSWRLPREILKQVWDLSDQDSDSMLSLREFCFALYLMERYREGHPLPAALPSNIMYDETLLSMTGQPKVAYGSAAWGPGFGQQPTRSMAPVPGMRPPVPVTASQPDGVMVNNQHKSGAPVLEDSFLNQHDGGEQSSANSMIQDGTASEKKSDETEKLILDSKEKIEFYRSKMQDLVLYKSRCDNRLNEITERALADKREAELLGKKYEEKYKQVAEVASKLTIEEATFRDIQERKLELRQAITNMEQGGSADGILQVRADRIQSDLDELLKVLTERCKKHGLDVKSTAVIELPFGWQPGIQEGAATWDEDWDKFEDEGFSNELTVDVKSAPGQKERAPADGSLTPDSLSNGDGRSGIFTGEHVLESESAYFHSGDEIARSPQGSPAGRAASESPSQDFADVFAKNTEADIDTHRSFDESTWGAFDTNDDVDSVWGFNPAGNKQDSSENERDFFGSDDFGLKPIRTESTPTTNTFQKKSIFFEESVAGSPMSRFGNSPRFSEAGDHFDNYSRFDSFSMNEGGFSPREKLTRFDSINSSKDFGHSRAFSSFDDGDPFGSSAPFKVSSEDQTPKKSSGNWSSF >Potri.002G008600.8.v4.1 pep chromosome:Pop_tri_v4:2:500527:508526:-1 gene:Potri.002G008600.v4.1 transcript:Potri.002G008600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008600.v4.1 MAVPNMDQFEAYFKRADLDGDGRISGAEAVSFFQGSNLPKQVLAQIWMHADQSRTGFLGRPEFFNALRLVTVAQSKRDLTPDIVKAALYGPAAAKIPPPQINLQATAAAPQMAAASPVPQMGSAAPTTSQGFGFRGPGVPTAAPQMVASSATQMGAVAPTASQGFGFRGPGVPNATMNQQYFPRHGQTMRPLQGVPPGTASRPPQGMPAISLGGPSSVMLTGTASRPPQGMPSSSLGGPSFIMPTGTTPRPPQFMSGGSAGPTPSVSNPNISSDWLGGRTGGAPTSPGGVQPSIPTTTSQPRPLSSVSSQPIANDSKVVSGNGFASDSFFGGDVFSATPTATKQEPPLPTSSATSGTQAPIKSGSLDSLLKAVNNPTSSSIVSGSSDAQARGPVKSSSLDSLQSAFAVQPLGGQPERTQSLASPGPQVSASNSASLVSPGISVGVGKSSDSTQLSWPKMKPTDIQKYNKVFMEVDTDRDGRITGEQARNLFLSWRLPREILKQVWDLSDQDSDSMLSLREFCFALYLMERYREGHPLPAALPSNIMYDETLLSMTGQPKVAYGSAAWGPGFGQQPTRSMAPVPGMRPPVPVTASQPDGVMVNNQHKSGAPVLEDSFLNQHDGGEQSSANSMIQDGTASEKKSDETEKLILDSKEKIEFYRSKMQDLVLYKSRCDNRLNEITERALADKREAELLGKKYEEKYKQVAEVASKLTIEEATFRDIQERKLELRQAITNMEQGGSADGILQVRADRIQSDLDELLKVLTERCKKHGLDVKSTAVIELPFGWQPGIQEGAATWDEDWDKFEDEGFSNELTVDVKSAPGQKERAPADGSLTPDSLSNGDGRSGIFTGEHVLESESAYFHSGDEIARSPQGSPAGRAASESPSQDFADVFAKNTEADIDTHSFDESTWGAFDTNDDVDSVWGFNPAGNKDSSENERDFFGSDDFGLKPIRTESTPTTNTFQKKSIFFEESVAGSPMSRFGNSPRFSEAGDHFDNYSRFDSFSMNEGGFSPREKLTRFDSINSSKDFGHSRAFSSFDDGDPFGSSAPFKVSSEDQTPKKSSGNWSSF >Potri.010G090400.4.v4.1 pep chromosome:Pop_tri_v4:10:11539665:11547006:-1 gene:Potri.010G090400.v4.1 transcript:Potri.010G090400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090400.v4.1 MSFARNYRSQGGTCHDDRWTSFNGNNFNHRNRNVNVNRSSNYNYIWNHSNFRDFSSGKFRDHVNGYANPPTVGPAFKRRKFSVDTWGECGGRHYPQYNAYQCADQSTYNNSAPLITRSNAEVSTSTSMSCKRDRSKLEEDEPVFLSKDEIERYSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIAVAALFLAAKSEETPCPLNNVVRASCEIFHKQDITFLSYLLPFDWFEQYRERVIEAEQMILTTLNFELNVQHPYGPLTSVLNKLGLSQTVLVNLALNLVSEGVYTRLTCSPV >Potri.010G090400.5.v4.1 pep chromosome:Pop_tri_v4:10:11544253:11546332:-1 gene:Potri.010G090400.v4.1 transcript:Potri.010G090400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090400.v4.1 MSFARNYRSQGGTCHDDRWTSFNGNNFNHRNRNVNVNRSSNYNYIWNHSNFRDFSSGKFRDHVNGYANPPTVGPAFKRRKFSVDTWGECGGRHYPQYNAYQCADQSTYNNSAPLITRSNAEVSTSTSMSCKRDRSKLEEDEPVFLSKDEIERYSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIAVAALFLAAKSEETPCPLNNVVRASCEIFHKQDITFLSYLLPFDWFEQYRERVIEAEQMILTTLNFELNVQHPYGPLTSVLNKLGLSQTVLVNLALNLVSEG >Potri.010G090400.6.v4.1 pep chromosome:Pop_tri_v4:10:11544253:11545951:-1 gene:Potri.010G090400.v4.1 transcript:Potri.010G090400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090400.v4.1 MSCKRDRSKLEEDEPVFLSKDEIERYSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIAVAALFLAAKSEETPCPLNNVVRASCEIFHKQDITFLSYLLPFDWFEQYRERVIEAEQMILTTLNFELNVQHPYGPLTSVLNKLGLSQTVLVNLALNLVSEG >Potri.010G090400.2.v4.1 pep chromosome:Pop_tri_v4:10:11539673:11547006:-1 gene:Potri.010G090400.v4.1 transcript:Potri.010G090400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090400.v4.1 MSFARNYRSQGGTCHDDRWTSFNGNNFNHRNRNVNVNRSSNYNYIWNHSNFRDFSSGKFRDHVNGYANPPTVGPAFKRRKFSVDTWGECGGRHYPQYNAYQCADQSTYNNSAPLITRSNAEVSTSTSMSCKRDRSKLEEDEPVFLSKDEIERYSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTIGTAMVLCHRFFVRRSHACHDRFLIAVAALFLAAKSEETPCPLNNVVRASCEIFHKQDITFLSYLLPFDWFEQYRERVIEAEQMILTTLNFELNVQHPYGPLTSVLNKLGLSQTVLVNLALNLVSEGKQFDLDHLLVNILYLDFLTLVQLKTWFKI >Potri.010G234500.11.v4.1 pep chromosome:Pop_tri_v4:10:21558310:21561415:1 gene:Potri.010G234500.v4.1 transcript:Potri.010G234500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234500.v4.1 MSASLLPKHVAAVLKQQKDPLKALEMFNKVKREDGFKHSLLTYKCIIQKLGFHGNFVAMENVLAETRMDIDNSLLEGVYIGAMKSYGRKGKVQEAVDVFERMDFYNCEPSVLSYNAIMNILVESGYFKQAHKVFLRMKNVGIVPDVYTFTIRIKSFCRTKRPHSALRLLNNMVSQGCQLNAVAYCTVVAGFYEENYRVEAYELFNDMLRIGIFPDVSTFNKLLHTLCKKGEVQESERLLNKVLKKGMCSNLFTFNIFIQGLCRKGMLSGAMSMLDSVIREGLTPDVVTYNTLICGLCKNSNVVEAEKYLHKLVNGGLEPDGFTYNTLIDGYCKMGMLQNAEKILQGAICKGFVPDEFTYCSLINGLCQNDEIDRALALFNAALGKGLKPTVILYNMLIKGLCQEGLILQALQMMNEMSENGCSSDIWTYNLVINGLCKMGCVSDANNLMNDAIAKGYVPDVFTFNTLIDGYCKQLKMETTIQILNKMWSHGVTPDVITYNSVLNGLSKAVKNEDLMETFETMVEKGCVPNKITYNILTESLCKAGKVNEALDLVDEILNKGITPDTVSFATIISGFANNGDLKGAYQLFRRMGEQYKVSHTTATYNIMINAFAEKLDLHMGEKLFLEMGAGGCAPDTYTYRVMIDGFCITGNTDSGYKFLLEMIEKGFIPSLTTFGRVINCLCVQHRIHEAVDIIHFMVHNGIVPEVVNSISEADKKVVAAPKIVVEDLLKRSCITYYAYELLYDGIRDKKTQKQKLPNRH >Potri.010G234500.10.v4.1 pep chromosome:Pop_tri_v4:10:21558241:21563225:1 gene:Potri.010G234500.v4.1 transcript:Potri.010G234500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234500.v4.1 MSASLLPKHVAAVLKQQKDPLKALEMFNKVKREDGFKHSLLTYKCIIQKLGFHGNFVAMENVLAETRMDIDNSLLEGVYIGAMKSYGRKGKVQEAVDVFERMDFYNCEPSVLSYNAIMNILVESGYFKQAHKVFLRMKNVGIVPDVYTFTIRIKSFCRTKRPHSALRLLNNMVSQGCQLNAVAYCTVVAGFYEENYRVEAYELFNDMLRIGIFPDVSTFNKLLHTLCKKGEVQESERLLNKVLKKGMCSNLFTFNIFIQGLCRKGMLSGAMSMLDSVIREGLTPDVVTYNTLICGLCKNSNVVEAEKYLHKLVNGGLEPDGFTYNTLIDGYCKMGMLQNAEKILQGAICKGFVPDEFTYCSLINGLCQNDEIDRALALFNAALGKGLKPTVILYNMLIKGLCQEGLILQALQMMNEMSENGCSSDIWTYNLVINGLCKMGCVSDANNLMNDAIAKGYVPDVFTFNTLIDGYCKQLKMETTIQILNKMWSHGVTPDVITYNSVLNGLSKAVKNEDLMETFETMVEKGCVPNKITYNILTESLCKAGKVNEALDLVDEILNKGITPDTVSFATIISGFANNGDLKGAYQLFRRMGEQYKVSHTTATYNIMINAFAEKLDLHMGEKLFLEMGAGGCAPDTYTYRVMIDGFCITGNTDSGYKFLLEMIEKGFIPSLTTFGRVINCLCVQHRIHEAVDIIHFMVHNGIVPEVVNSISEADKKVVAAPKIVVEDLLKRSCITYYAYELLYDGIRDKKTQKQKLPNRH >Potri.010G234500.12.v4.1 pep chromosome:Pop_tri_v4:10:21558283:21561213:1 gene:Potri.010G234500.v4.1 transcript:Potri.010G234500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234500.v4.1 MSASLLPKHVAAVLKQQKDPLKALEMFNKVKREDGFKHSLLTYKCIIQKLGFHGNFVAMENVLAETRMDIDNSLLEGVYIGAMKSYGRKGKVQEAVDVFERMDFYNCEPSVLSYNAIMNILVESGYFKQAHKVFLRMKNVGIVPDVYTFTIRIKSFCRTKRPHSALRLLNNMVSQGCQLNAVAYCTVVAGFYEENYRVEAYELFNDMLRIGIFPDVSTFNKLLHTLCKKGEVQESERLLNKVLKKGMCSNLFTFNIFIQGLCRKGMLSGAMSMLDSVIREGLTPDVVTYNTLICGLCKNSNVVEAEKYLHKLVNGGLEPDGFTYNTLIDGYCKMGMLQNAEKILQGAICKGFVPDEFTYCSLINGLCQNDEIDRALALFNAALGKGLKPTVILYNMLIKGLCQEGLILQALQMMNEMSENGCSSDIWTYNLVINGLCKMGCVSDANNLMNDAIAKGYVPDVFTFNTLIDGYCKQLKMETTIQILNKMWSHGVTPDVITYNSVLNGLSKAVKNEDLMETFETMVEKGCVPNKITYNILTESLCKAGKVNEALDLVDEILNKGITPDTVSFATIISGFANNGDLKGAYQLFRRMGEQYKVSHTTATYNIMINAFAEKLDLHMGEKLFLEMGAGGCAPDTYTYRVMIDGFCITGNTDSGYKFLLEMIEKGFIPSLTTFGRVINCLCVQHRIHEAVDIIHFMVHNGIVPEVVNSISEADKKVVAAPKIVVEDLLKRSCITYYAYELLYDGIRDKKTQKQKLPNRH >Potri.004G194000.2.v4.1 pep chromosome:Pop_tri_v4:4:20753572:20755242:-1 gene:Potri.004G194000.v4.1 transcript:Potri.004G194000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194000.v4.1 MQIFVKTLTGKTITLEVESSYTVDNVKAKIQDKEGIPPEQQRLIFAGKQLEDSRTLASYDIQKESTLHLVLRLRGGKGAPSMKIEPSLRELARKFNQYKLICRS >Potri.004G194000.3.v4.1 pep chromosome:Pop_tri_v4:4:20753572:20755242:-1 gene:Potri.004G194000.v4.1 transcript:Potri.004G194000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194000.v4.1 MQIFVKTLTGKTITLEVESSYTVDNVKAKIQDKEGIPPEQQRLIFAGKQLEDSRTLASYDIQKESTLHLVLRLRGGKGAPSMKIEPSLRELARKFNQYKLICRSLVLFWLARCYSRLPPRAKNCRKKKCGHSNELRPKKLPQG >Potri.004G194000.1.v4.1 pep chromosome:Pop_tri_v4:4:20753572:20755242:-1 gene:Potri.004G194000.v4.1 transcript:Potri.004G194000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194000.v4.1 MQIFVKTLTGKTITLEVESSYTVDNVKAKIQDKEGIPPEQQRLIFAGKQLEDSRTLASYDIQKESTLHLVLRLRGGKGAPSMKIEPSLRELARKFNQYKLICRRCYSRLPPRAKNCRKKKCGHSNELRPKKLPQG >Potri.005G032400.3.v4.1 pep chromosome:Pop_tri_v4:5:2138858:2144225:1 gene:Potri.005G032400.v4.1 transcript:Potri.005G032400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032400.v4.1 MSLNIYVPRDERFGHLKLADFLAYALKSVAQFIKPELEALRDSTPNEFDSFADVLDLYEGGFKLPDGPLLENLKKNIPVEMLKEIIRTDGEGLFRFPKPQVIQESNSAWRTDEEFGREMLSGVNPVLIRRLEEFPPKSKLDSKLYGDQNSTITEEHIKDSLDGLSIDEAIEKNRMFILDHHDALMPYLRRINTTTTKTYASRTLLFLKDDGTLKPLVIELSLPHEEGDEFGAISKVYTPAEHGVEGSIWDLAKAYVAVNDSGYHQLISHFLNTHAVSEPFVIATNRQLSVLHPIYKLLEPHFRDTMNINALARQTLINAGGILESTVYPAKYAMEMSSVIYKNWNFTEQALPEDLKKRGVAVEDPKSPHGVRLLIEDYPYAVDGLQIWSAIKEWVRDYCSFYYKNDEMIQKDSELQSWWKEVREEGHGDLKDAPWWPKMLTREELIDSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEEGSPEYEELKSNPDKAFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTHEWTADKKPLEAFEKFGKKLAEIEDKMLDMNKAGKWKNRVGPVEVPYTLLVPTSEGGLTGRGIPNSVSI >Potri.005G032400.1.v4.1 pep chromosome:Pop_tri_v4:5:2138940:2144230:1 gene:Potri.005G032400.v4.1 transcript:Potri.005G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032400.v4.1 MLHSIIDAITGDHSNGTKKMVKKIKGTVVLMKKNVLDFNDFNASVLDRVHEFLGQGVSLQLVSAVNSDPSENDLKGKLGEPAYLEEWITTITSLTAGESAFKVTFDWDEEIGVPGAFLIRNNHHSEFYLKTVTLEDVPGQGRVHFVCNSWIYPTKRYNYDRVFFTNQNYLPHETPAPLRKYREEELVKLRGDGKGELKEWDRVYDYAYYNDLGDPDKGAKYVRPVLGGSSEYPYPRRGRTGREPAKSDPNTESRLPLLMSLNIYVPRDERFGHLKLADFLAYALKSVAQFIKPELEALRDSTPNEFDSFADVLDLYEGGFKLPDGPLLENLKKNIPVEMLKEIIRTDGEGLFRFPKPQVIQESNSAWRTDEEFGREMLSGVNPVLIRRLEEFPPKSKLDSKLYGDQNSTITEEHIKDSLDGLSIDEAIEKNRMFILDHHDALMPYLRRINTTTTKTYASRTLLFLKDDGTLKPLVIELSLPHEEGDEFGAISKVYTPAEHGVEGSIWDLAKAYVAVNDSGYHQLISHFLNTHAVSEPFVIATNRQLSVLHPIYKLLEPHFRDTMNINALARQTLINAGGILESTVYPAKYAMEMSSVIYKNWNFTEQALPEDLKKRGVAVEDPKSPHGVRLLIEDYPYAVDGLQIWSAIKEWVRDYCSFYYKNDEMIQKDSELQSWWKEVREEGHGDLKDAPWWPKMLTREELIDSCTIIIWVASALHAAVNFGQYPYAGYLPNRPTVSRRFMPEEGSPEYEELKSNPDKAFLKTITAQLQTLLGISLIEILSRHSSDEVYLGQRDTHEWTADKKPLEAFEKFGKKLAEIEDKMLDMNKAGKWKNRVGPVEVPYTLLVPTSEGGLTGRGIPNSVSI >Potri.009G021200.1.v4.1 pep chromosome:Pop_tri_v4:9:3357547:3364950:1 gene:Potri.009G021200.v4.1 transcript:Potri.009G021200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021200.v4.1 MNFLVGAFKPPCNVSINLADGRTRKQVPLKKENGQTVMVPLFQSQENIVGEVVIEPVQGKKVEHNGVKIELLGQIELYFERGNFYDFSSLVRELDVPGELYERKAYPFEFSTVEMPFESYNGVNVRLRYILKVTISRNYVSNIVEYQDFVVHNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIIGKIYFLMVRIKIKNMELEIRRRESTGSGPSTYVETETLSKFELMDGAPVRGESIPIRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITVYRLLPTP >Potri.010G208200.2.v4.1 pep chromosome:Pop_tri_v4:10:19804997:19816230:1 gene:Potri.010G208200.v4.1 transcript:Potri.010G208200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208200.v4.1 MASDNPSQPELATKAREEGEVSSSSNDDQNPICSAAPSADAVNPPASARTILVPPMNKFTLANRAGKANFSTNPARSADPNLRTSQQPNNNKSFDKNRVPHVSANPGKLAPSGADDSLVIRFFSDDESGSESEDGEDKSLKTKLNMTVVNENGRLPSTSSTKSSMSQQATRNVNSIPKKSSMSCSFNSSMTKTNRVANSRGAGSSSVGQGSQVKKFNSIKRNLASLEHGLELGVDLNSTKVRDLRQQIALRERELKLKAASQKKESPSVSGKDYKSTNISIAAARKSNAAFYEVGQLAPKEPDRKRLKVGGSYSKQLNSDGQQKMLATTYNLPSKEQAPESSGLQDRNMDDYSQNERLMKVTKSSVVKWERQDCRRVDISSAKLPASNVNHNSSQSDMSRMQVDPSVVLNQTPPLTNANTNTLPENRKSVESNPVKNCGTQPPACLLKTSTSGQNLINKFEHLQGIYGDKPSCQASLNLNPWNCLGTVNVADHRSIDMHLVEMEESLDKELDEAQEHRRKCEIEEINALKAYRKSQRALIEANSRCTELYRKRELYSTHFRSLIVNDSNLFLPSRQHEHVGTGVNCGNVSRNVNLTPSPNDQMQPEYDGCNQPGYDSVTLSNLLYQHVNGHSLGSEPCSELDASTSEPLPRNSLIAANGVSFQSNDSNISADEDEETFPLDHETDQHSFKIQQGDQNSVGRENHRDYPPNKNPSVHAPQDSLILESKLRSKLFARLPIRTFSKNGGSSTMEPVDEPGTEIDNGSERTQGSNGSVRLSEAQKNQHYDLEGNDNPETIMSELPVQIQSHEKNSSNFHSAADSKDNFTGGHQLTTSIISSPPLVLRSAFAQMKVMYPMTSIESQHIKSQQNYTRGGFSGEGGCMDSEEIQCDKAIASSKDEGLKDICGIEIGTFTHNVAVDPFWPLCMYELRGKCNNDECPWQHARDFTDQNAHQNQHDDSDSADCQVGLTLHQQKSSGGTELSKCHIALIPPTYLVGFNMLRSDSHKSVIAPRNGQRWQKQFSICLALSSLLQQDLLVDQPSFRANDGCIEVRGSWNGQASYFQSRKSVANHLNQALTSSVLSLEMALVILSQEADKLEGMKKSLSMLSRAIEVDPTSEALWMMYLLIYYSNIESVGKDDMFSYAVKNSNRSYGLWLVYIDSRIHLDDRLVAYNAALTALCHHASAFDRGNVYASACILDLFLQMMDCLCMSGNVGKAIQKIQGLFPVAANSDEPPSHLLSDILTCLTISDKYIFWVCCVYLVIYRKLPDAIVQQFECEKELLAIEWPSVHLQNEEKQRAVKLVEMAVDSVKVSVNSESLDSDTNVRLAQQFALCHIRCTLVLDGPACCQNLLGKYMKLCPSCVELVLLSSRLQTNGTGGVSFEGFEGAISNWPKEVPGIHCIWNQYIEYALQKEGPNFAKELTVHWFNSVSKVRYPLNEILDTVDGNSSHGLLELASASNPYFLTSSSNQMEIMFGLINLSLAKLLHNDHIEAHVAIDRALKAAPPQYIKHCLREHAVFLLNYGSQLKKDAPVSEQLKILNGYLNDAQALSAYEPLSRRFIDSIEKPIVQQLIRNILSPVSSDFSLVNFVLEAWYGPSLLPPKSNQPKDLVDFVEAIFEIVPSNYPLAFSVCKLLCRGYSSINVTSDSVLYWACSILVNAIFHAIPIPPEYAWVEAAGILGDISGIELISDSFYKKALSAHPFSVKLWTCYYNLSKTRGYASTVVQKARERGIEVG >Potri.010G208200.4.v4.1 pep chromosome:Pop_tri_v4:10:19809432:19816235:1 gene:Potri.010G208200.v4.1 transcript:Potri.010G208200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208200.v4.1 MQPEYDGCNQPGYDSVTLSNLLYQHVNGHSLGSEPCSELDASTSEPLPRNSLIAANGVSFQSNDSNISADEDEETFPLDHETDQHSFKIQQGDQNSVGRENHRDYPPNKNPSVHAPQDSLILESKLRSKLFARLPIRTFSKNGGSSTMEPVDEPGTEIDNGSERTQGSNGSVRLSEAQKNQHYDLEGNDNPETIMSELPVQIQSHEKNSSNFHSAADSKDNFTGGHQLTTSIISSPPLVLRSAFAQMKVMYPMTSIESQHIKSQQNYTRGGFSGEGGCMDSEEIQCDKAIASSKDEGLKDICGIEIGTFTHNVAVDPFWPLCMYELRGKCNNDECPWQHARDFTDQNAHQNQHDDSDSADCQVGLTLHQQKSSGGTELSKCHIALIPPTYLVGFNMLRSDSHKSVIAPRNGQRWQKQFSICLALSSLLQQDLLVDQPSFRANDGCIEVRGSWNGQASYFQSRKSVANHLNQALTSSVLSLEMALVILSQEADKLEGMKKSLSMLSRAIEVDPTSEALWMMYLLIYYSNIESVGKDDMFSYAVKNSNRSYGLWLVYIDSRIHLDDRLVAYNAALTALCHHASAFDRGNVYASACILDLFLQMMDCLCMSGNVGKAIQKIQGLFPVAANSDEPPSHLLSDILTCLTISDKYIFWVCCVYLVIYRKLPDAIVQQFECEKELLAIEWPSVHLQNEEKQRAVKLVEMAVDSVKVSVNSESLDSDTNVRLAQQFALCHIRCTLVLDGPACCQNLLGKYMKLCPSCVELVLLSSRLQTNGTGGVSFEGFEGAISNWPKEVPGIHCIWNQYIEYALQKEGPNFAKELTVHWFNSVSKVRYPLNEILDTVDGNSSHGLLELASASNPYFLTSSSNQMEIMFGLINLSLAKLLHNDHIEAHVAIDRALKAAPPQYIKHCLREHAVFLLNYGSQLKKDAPVSEQLKILNGYLNDAQALSAYEPLSRRFIDSIEKPIVQQLIRNILSPVSSDFSLVNFVLEAWYGPSLLPPKSNQPKDLVDFVEAIFEIVPSNYPLAFSVCKLLCRGYSSINVTSDSVLYWACSILVNAIFHAIPIPPEYAWVEAAGILGDISGIELISDSFYKKALSAHPFSVKLWTCYYNLSKTRGYASTVVQKARERGIEVG >Potri.005G102200.6.v4.1 pep chromosome:Pop_tri_v4:5:7408630:7417492:1 gene:Potri.005G102200.v4.1 transcript:Potri.005G102200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102200.v4.1 MANNTDLFDSYFRRADLDGDGQISGAEAVGFFQGSSLPKQVLAQVWMHADQRNAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQINLAATPAPKTVAPAPQLSGTTPASSPNVGIRPPQVPGNAVTNQQYFPSQQGQFTRQPQPQTQAMPPNSSSHPQQILVSQGMPRGGTVVAPRPLNSNISTDWLGGSAAGLTSQGPSRGIGHPATQDGFGLSAPGFTPSFQPRPQVTAGQIAAPTPKPQEAAITSNQLATRDSKSVVVSGNGFASDSLFGDVFSATPAQPKQSSSSSAHSTSSIPVSSAIVSSSVGSQPSVKPSSLDSLQSTFPQQHVGGQSTARPNQQVPSQSVTSAPSAGFSVGTSNAAPSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYREGRPLPATLPTTVMSDETLLSATSHPAASYGGGSWGPASVEKQISTGSRQQQVVSGPRPPPAAAARPPRPPTAPHADEKQPTQQKHKVPVLEKHLVHQLSQEEQDTLSSKFQEASQADKKVEELEKEILDSRQKIEFYRVKMQELILYKSRCDNRLNEVTTRVSADKHEVETLGKKYEEKYKQSGDVASKLTIEEATFRDIQEKKMDLYRAIVKMEEGGAADGVLKERAENIQSNLEELVKTVNERCKQYGLRSKPTSLVELPFGWQHGIQEGAADWDEGWDKLEDEGFIFVKELTLDVQNVVAPPKEKTSVRKATTSTEKDLGASPSNAEVKAEKVPSPRKSNSEKDIPDHQHENGSLRSPPDSPGRTTKENQSNEFRDSPFKESGADNSPHAKETQSDVGGTESVHFGEKIVEPGWGTFDTPYDSESVWGFDSVSGKDMDFGISEFGLNPIKTGSSHGDNMPLGKSSFMFDSVPSTPAHNQGNSSYAFADSVPSTPAYNQGKSSYAFADSVPSTPAYNPGKSSYAFADSVPSTPGYNPGKSPFSFADSVPSTPAYNFGNSPRRFSEGSEDHSFDSFSRFDSFNMQDGGLFQSPRHSLSRFDSIRSTKDSDQSYGFPSRFDSFREGGDSDQSHEFSRFDFLREPDQNHGFSRFDSFKESDQNHGISRFDSFKESDPGHGFSSSFSSFGESRDPDHGHGFSKMDSFNAHDSGFFQSSDNSSLARFDSVRGSKDSENHGFPSFDDAVPFGSSGPFKTSLESETPRGSSDNWRAF >Potri.005G102200.1.v4.1 pep chromosome:Pop_tri_v4:5:7408494:7417520:1 gene:Potri.005G102200.v4.1 transcript:Potri.005G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102200.v4.1 MANNTDLFDSYFRRADLDGDGQISGAEAVGFFQGSSLPKQVLAQVWMHADQRNAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQINLAATPAPKTVAPAPQLSGTTPASSPNVGIRPPQVPGNAVTNQQYFPSQQGQFTRQPQPQTQAMPPNSSSHPQQILVSQGMPRGGTVVAPRPLNSNISTDWLGGSAAGLTSQGPSRGIGHPATQDGFGLSAPGFTPSFQPRPQVTAGQIAAPTPKPQEAAITSNQLATRDSKSVVVSGNGFASDSLFGDVFSATPAQPKQSSSSSAHSTSSIPVSSAIVSSSVGSQPSVKPSSLDSLQSTFPQQHVGGQSTARPNQQVPSQSVTSAPSAGFSVGTSNAAPSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYREGRPLPATLPTTVMSDETLLSATSHPAASYGGGSWGPASGSRQQQVVSGPRPPPAAAARPPRPPTAPHADEKQPTQQKHKVPVLEKHLVHQLSQEEQDTLSSKFQEASQADKKVEELEKEILDSRQKIEFYRVKMQELILYKSRCDNRLNEVTTRVSADKHEVETLGKKYEEKYKQSGDVASKLTIEEATFRDIQEKKMDLYRAIVKMEEGGAADGVLKERAENIQSNLEELVKTVNERCKQYGLRSKPTSLVELPFGWQHGIQEGAADWDEGWDKLEDEGFIFVKELTLDVQNVVAPPKEKTSVRKATTSTEKDLGASPSNAEVKAEKVPSPRKSNSEKDIPDHQHENGSLRSPPDSPGRTTKENQSNEFRDSPFKESGADNSPHAKETQSDVGGTESVHFGEKIVEPGWGTFDTPYDSESVWGFDSVSGKDMDFGISEFGLNPIKTGSSHGDNMPLGKSSFMFDSVPSTPAHNQGNSSYAFADSVPSTPAYNQGKSSYAFADSVPSTPAYNPGKSSYAFADSVPSTPGYNPGKSPFSFADSVPSTPAYNFGNSPRRFSEGSEDHSFDSFSRFDSFNMQDGGLFQSPRHSLSRFDSIRSTKDSDQSYGFPSRFDSFREGGDSDQSHEFSRFDFLREPDQNHGFSRFDSFKESDQNHGISRFDSFKESDPGHGFSSSFSSFGESRDPDHGHGFSKMDSFNAHDSGFFQSSDNSSLARFDSVRGSKDSENHGFPSFDDAVPFGSSGPFKTSLESETPRGSSDNWRAF >Potri.005G102200.7.v4.1 pep chromosome:Pop_tri_v4:5:7410327:7417469:1 gene:Potri.005G102200.v4.1 transcript:Potri.005G102200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102200.v4.1 MHADQRNAGYLGRQEFYNALKLVTVAQSKRELTPEIVKAALYGPASAKIPAPQINLAATPAPKTVAPAPQLSGTTPASSPNVGIRPPQVPGNAVTNQQYFPSQQGQFTRQPQPQTQAMPPNSSSHPQQILVSQGMPRGGTVVAPRPLNSNISTDWLGGSAAGLTSQGPSRGIGHPATQDGFGLSAPGFTPSFQPRPQVTAGQIAAPTPKPQEAAITSNQLATRDSKSVVVSGNGFASDSLFGDVFSATPAQPKQSSSSSAHSTSSIPVSSAIVSSSVGSQPSVKPSSLDSLQSTFPQQHVGGQSTARPNQQVPSQSVTSAPSAGFSVGTSNAAPSQSQPPWPRMTQSDIQKYTKVFVQVDTDRDGKLTGEQARNLFLSWRLPREVLKKVWDLSDQDNDSMLSLREFCTALYLMERYREGRPLPATLPTTVMSDETLLSATSHPAASYGGGSWGPASGSRQQQVVSGPRPPPAAAARPPRPPTAPHADEKQPTQQKHKVPVLEKHLVHQLSQEEQDTLSSKFQEASQADKKVEELEKEILDSRQKIEFYRVKMQELILYKSRCDNRLNEVTTRVSADKHEVETLGKKYEEKYKQSGDVASKLTIEEATFRDIQEKKMDLYRAIVKMEEGGAADGVLKERAENIQSNLEELVKTVNERCKQYGLRSKPTSLVELPFGWQHGIQEGAADWDEGWDKLEDEGFIFVKELTLDVQNVVAPPKEKTSVRKATTSTEKDLGASPSNAEVKAEKVPSPRKSNSEKDIPDHQHENGSLRSPPDSPGRTTKENQSNEFRDSPFKESGADNSPHAKETQSDVGGTESVHFGEKIVEPGWGTFDTPYDSESVWGFDSVSGKDMDFGISEFGLNPIKTGSSHGDNMPLGKSSFMFDSVPSTPAHNQGNSSYAFADSVPSTPAYNQGKSSYAFADSVPSTPAYNPGKSSYAFADSVPSTPGYNPGKSPFSFADSVPSTPAYNFGNSPRRFSEGSEDHSFDSFSRFDSFNMQDGGLFQSPRHSLSRFDSIRSTKDSDQSYGFPSRFDSFREGGDSDQSHEFSRFDFLREPDQNHGFSRFDSFKESDQNHGISRFDSFKESDPGHGFSSSFSSFGESRDPDHGHGFSKMDSFNAHDSGFFQSSDNSSLARFDSVRGSKDSENHGFPSFDDAVPFGSSGPFKTSLESETPRGSSDNWRAF >Potri.015G050500.1.v4.1 pep chromosome:Pop_tri_v4:15:5495145:5497573:1 gene:Potri.015G050500.v4.1 transcript:Potri.015G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050500.v4.1 MFPLSNNGNDPMSYNDQQAYNMPFFSDIISNSKQYVPPPLSFCHLPSPFFAYDQLELEDHSIFLQQNYDLLLHQQPLRTAATSTTPSQSTVVNNMVDYNKNDVIEITEICNKQSNSSTDQIPRKRSSKKDRHSKINTAQGPRDRRMRLSLKVAREFFDLQDKLRFDKASKTVEWLLTQARTEIKKLSSGFPVMNYSCSVGTKSASSTSECEVLSEIHIDSTLKVSSVSKGKSSLCVKKERRTSRASSSRKALLNPFAKESREKARERARERTKEKLRSRSRSLDESKLCELEAVNDEFNQFAGCWSPFETGDQESGTHNINPSLEVQLAEAEVPFYQEQEQEQLDTREGMIDETLVNMGKWSPSLPNHPHKNGIPQENQFTDFQYPLYKTWDHEAYNIDGMC >Potri.015G050500.2.v4.1 pep chromosome:Pop_tri_v4:15:5495589:5497550:1 gene:Potri.015G050500.v4.1 transcript:Potri.015G050500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G050500.v4.1 MFPLSNNGNDPMSYNDQQAYNMPFFSDIISNSKQYVPPPLSFCHLPSPFFAYDQLELEDHSIFLQQNYDLLLHQQPLRTAATSTTPSQSTVVNNMVDYNKNDVIEITEICNKQSNSSTDQIPRKRSSKKDRHSKINTAQGPRDRRMRLSLKVAREFFDLQDKLRFDKASKTVEWLLTQARTEIKKLSSGFPVMNYSCSVGTKSASSTSECEVLSEIHIDSTLKVSSVSKGKSSLCVKKERRTSRASSSRKALLNPFAKESREKARERARERTKEKLRSRSRSLDESKLCELEAVNDEFNQFAGCWSPFETGDQESGTHNINPSLEVQLAEAEVPFYQEQEQEQLDTREGMIDETLVNMGKWSPSLPNHPHKNGIPQENQFTDFQYPLYKTWDHEAYNIDGMC >Potri.001G305350.1.v4.1 pep chromosome:Pop_tri_v4:1:31521735:31521980:1 gene:Potri.001G305350.v4.1 transcript:Potri.001G305350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305350.v4.1 MPSSKQLIRNTRQSIRNVTKSPALGGCPQCRGTCTRVYVRLVQISDCGLGQKKEFFHYPRSVPMNRIEWKTPLHYSNCFPH >Potri.005G235100.1.v4.1 pep chromosome:Pop_tri_v4:5:23333821:23337571:1 gene:Potri.005G235100.v4.1 transcript:Potri.005G235100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235100.v4.1 MSRTTAISLLVIFATVITCCQSDVVSLSEEIQALTSFKLNLNDPLGALDGWDASTPSAPCDWRGIVCYNNRVHELRLPRLYLSGQLSDQLSNLRQLRKLSLHSNNFNGSIPPSLSQCSLLRAVYLQYNSLSGNLPSTIVNLTNLQVLNVAHNFLNGKISGDISFSLRYLDVSSNSFSGEIPGNFSSKSQLQLINLSYNKFSGEIPARIGQLQELEYLWLDSNQLHGTLPSAVANCSSLIHLSTGDNSLKGMVPASIGSIPKLEVLSLSRNELSGTIPASIICGVSLRIVKLGFNAFTGIDPPSNGSCFSNLEVLDIHENHITGVFPSWLTGLTTVRVVDFSTNFFSGSLPGGIGNLWRLEEVRVANNSLTGDIPNKIVKCSSLQVLDLEGNRFDGQIPLFLSELRRLKLLSLGRNLFSGSIPASFGGLFELETLKLESNNLSGNLPEEIMKLTNLSTLSLSFNKLSGEIPYSIGELKGLMVLNLSGCGFSGRIPGSIGSLLKLTTLDLSKQNLSGELPIEIFGLPSLQVVALEENKLSGVVPEGFSSLVSLQYLNLTSNFFTGEIPANYGFLTSLVALSLSRNYISGMIPAELGNCSSLEMLELRFNHLRGSIPGDISRLSRLKRLDLGEDALTGEIPEDIHRCSSLSSLLLDLNHLSGRIPESLSKLSNLAVLSLSSNSLNGTIPANLSHIPSLRYLNLSRNNLEGEIPRLLGSRFNDPSVFAMNRELCGKPLDRECANVRNRKRKKLILFIGVPIAATVLLALCCCAYIYSLLRWRKRLRDGVTGEKKRSPASASSGADRSRGSGENGGPKLVMFNNKITYAETLEATRQFDEDNVLSRGRYGLVFKASYQDGMVLSVRRLPDGSISEGNFRKEAESLDKVKHRNLTVLRGYYAGPPDVRLLVYDYMPNGNLATLLQEASHQDGHVLNWPMRHLIALGIARGLAFLHSLSLVHGDLKPQNVLFDADFEAHLSEFGLDKLTTATPAEASSSSTPVGSLGYISPEVALTGQPTKEADVYSFGIVLLEILTGKKPVMFTQDEDIVKWVKKQLQRGQISELLEPGLLELDPESSEWEEFLLGIKVGLLCTAPDPLDRPSMADIVFMLEGCRAGPDIPSSADPTSLPSPT >Potri.001G411100.1.v4.1 pep chromosome:Pop_tri_v4:1:44080487:44084345:1 gene:Potri.001G411100.v4.1 transcript:Potri.001G411100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G411100.v4.1 MDILPNTVFCSILSLTLFNTSFLIFQLKFSTALDTIALSQSLSDGKTLVSREGSFELGFFSPGISKNRYLGIWYKNIPLRTVLWVANRRNPIEDSSGLLTIDNTANLLLVSNRNVVVWSSNSTIVAKSPIVLQLLDSGNLVLRDEKSDSGRYLWQSFDHPSDTLIPGMKLGWDLRTGLERRLSSWRSSDDPSPGDLTWGIKLQNNPETIIWRGSQQYFRSGPWTGIAFTGAPELVQNPVFKLNFVSTEDEVYLSYNLKNLSAFSRIVVNQTTNYREAYTWNEATQTWVLYASVPRDSCDNYASCGANGNCIINDLPICRCLKKFKPKSPEKWNLMDWSDGCVRNKPLNCQKGDGFVKYLGLKWPDATHSWLNKSMNLNGCRAKCLQNCSCMAYSNSDVRGGGSGCIIWYGGLIDIRQFPAGGQELYIRMNPSESDAKAEPTVKIAVIVSIVIAMVSGLLVFCYCICKRKEKCREMDQQNDQITDGENEDLELPQFEFAKIVNATNNFSIENKLGHGGFGPVYKGTLEDGQEIAVKRLSMSSGQGSKEFKNEVILINKLQHRNLVKLLGCSIQREERLLVYEYMPNKSLDSFLFDQTKSKLLDWSKRFNIICGIARGLLYLHQDSRLRIIHRDLKSSNVLLDKDMNPKISDFGLARTFGGDQTEGNTSRVVGTYGYMAPEYATDGLFSVKSDVFSFGIMLLEIVTGKKSRGFYHPDNTLSLIGYAWRLWKEGKPLELVDGLAEESWNLSEVMKCIHISLLCVQQYPEDRPSMASVVLMLGGERTLPKPKEPGFFKDRGPAEAYSSSSKVESSSTNEISTSVLEPR >Potri.014G156100.2.v4.1 pep chromosome:Pop_tri_v4:14:11009591:11019709:-1 gene:Potri.014G156100.v4.1 transcript:Potri.014G156100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156100.v4.1 MATISDIGVAAAINILTAFAFFIVFAILRIQPVNDRVYFPKWYIKGLRSSPLGTGAFVGKFVNLDFRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLTGLKIFVPIAFLAFTISVPVNWTNNTLEHSTLTYSDLDKLSISNIPTGSCRFWTHMVMAYAFTFWTCYVLKTEYETVAKMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPSDYLTHQVVYNANELSNLVNKKKKMKNWLDYYQIKYSRNQSRKPSLKTGFLGLWGNRVDAIDHYTSEIERLSREISLERDKIVNNPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTIWLTGWAPEPRDVYWDNLAIPFVSLTLRRLVIAVAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKPIIEMKVIKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISLSGLERRSAARYYIFQFVNVFLGSIITGTAFQQLDNFIHQSATQIPKTVGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDKKEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFALAFVVYRHQIINVYNQEYESAAAFWPDVHGRIIVAVIVSQLLLMGLLSTKEAAQSTPLLITLPILTIWFHLFCKGRYEPAFVRYPLQEAMMKDTLERAREPNLNLKSFLQNAYSHPVFKGEDDSDSDEAPEEFEKEPDLVPTKRQSRRNTPLPSKHSGSVPSSQREAQDYPLL >Potri.014G156100.3.v4.1 pep chromosome:Pop_tri_v4:14:11009592:11019126:-1 gene:Potri.014G156100.v4.1 transcript:Potri.014G156100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156100.v4.1 MATISDIGVAAAINILTAFAFFIVFAILRIQPVNDRVYFPKWYIKGLRSSPLGTGAFVGKFVNLDFRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLTGLKIFVPIAFLAFTISVPVNWTNNTLEHSTLTYSDLDKLSISNIPTGSCRFWTHMVMAYAFTFWTCYVLKTEYETVAKMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPSDYLTHQVVYNANELSNLVNKKKKMKNWLDYYQIKYSRNQSRKPSLKTGFLGLWGNRVDAIDHYTSEIERLSREISLERDKIVNNPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTIWLTGWAPEPRDVYWDNLAIPFVSLTLRRLVIAVAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKPIIEMKVIKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISLSGLERRSAARYYIFQFVNVFLGSIITGTAFQQLDNFIHQSATQIPKTVGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDKKEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFALAFVVYRHQIINVYNQEYESAAAFWPDVHGRIIVAVIVSQLLLMGLLSTKEAAQSTPLLITLPILTIWFHLFCKGRYEPAFVRYPLQEAMMKDTLERAREPNLNLKSFLQNAYSHPVFKGEDDSDSDEAPEEFEKEPDLVPTKRQSRRNTPLPSKHSGSVPSSQREAQDYPLL >Potri.014G156100.1.v4.1 pep chromosome:Pop_tri_v4:14:11009589:11019597:-1 gene:Potri.014G156100.v4.1 transcript:Potri.014G156100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156100.v4.1 MATISDIGVAAAINILTAFAFFIVFAILRIQPVNDRVYFPKWYIKGLRSSPLGTGAFVGKFVNLDFRSYVRFLNWMPAALQMPEPELIDHAGLDSAVYLRIYLTGLKIFVPIAFLAFTISVPVNWTNNTLEHSTLTYSDLDKLSISNIPTGSCRFWTHMVMAYAFTFWTCYVLKTEYETVAKMRLHFLASEKRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPSDYLTHQVVYNANELSNLVNKKKKMKNWLDYYQIKYSRNQSRKPSLKTGFLGLWGNRVDAIDHYTSEIERLSREISLERDKIVNNPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTIWLTGWAPEPRDVYWDNLAIPFVSLTLRRLVIAVAFFFLTFFFMIPIAFVQSLANIEGIEKALPFLKPIIEMKVIKSFIQGFLPGIALKIFLIFLPSILMLMSKFEGFISLSGLERRSAARYYIFQFVNVFLGSIITGTAFQQLDNFIHQSATQIPKTVGVSIPMKATFFITYIMVDGWAGVAGEILRLKPLIIYHLKNFFLVKTEKDKKEAMDPGTLGFNTGEPQIQLYFLLGLVYAVVSPILLPFIIVFFALAFVVYRHQIINVYNQEYESAAAFWPDVHGRIIVAVIVSQLLLMGLLSTKEAAQSTPLLITLPILTIWFHLFCKGRYEPAFVRYPLQEAMMKDTLERAREPNLNLKSFLQNAYSHPVFKGEDDSDSDEAPEEFEKEPDLVPTKRQSRRNTPLPSKHSGSVPSSQREAQDYPLL >Potri.008G168100.2.v4.1 pep chromosome:Pop_tri_v4:8:11622039:11628459:1 gene:Potri.008G168100.v4.1 transcript:Potri.008G168100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168100.v4.1 MDHVIGGKFKLGRKIGSGSFGELYLGINVQSGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEVDYNAMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGMKAGNKKQKYDKISEKKMLVPIEVLCKNYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRPSGKPAVYPGPSAERVERPSVGQEVRDRFSGGVEAFARRNSSGHALHSDQSRHRSSDDAPSSKDVHPDSERPRSSSRNGSTSKRAVMSSSRPSSSGEPSENRSSRLVSSSGRLSTTQRVQPGFESKSSSFTRASATSGGRVNTLRSFELLSIGTGKRK >Potri.008G168100.3.v4.1 pep chromosome:Pop_tri_v4:8:11622077:11628535:1 gene:Potri.008G168100.v4.1 transcript:Potri.008G168100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168100.v4.1 MDHVIGGKFKLGRKIGSGSFGELYLGINVQSGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEVDYNAMVIDLLGPSLEDLFNYCNRKLSLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYIIDYGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGMKAGNKKQKYDKISEKKMLVPIEVLCKNYPSEFTSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTILKYPQIGSSSRSRPSGKPAVYPGPSAERVERPSGQEVRDRFSGGVEAFARRNSSGHALHSDQSRHRSSDDAPSSKDVHPDSERPRSSSRNGSTSKRAVMSSSRPSSSGEPSENRSSRLVSSSGRLSTTQRVQPGFESKSSSFTRASATSGGRVNTLRSFELLSIGTGKRK >Potri.001G136900.2.v4.1 pep chromosome:Pop_tri_v4:1:11168851:11172282:1 gene:Potri.001G136900.v4.1 transcript:Potri.001G136900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136900.v4.1 MASILSFSTIPTLGSSSSSSSSPRAFFPSRLSSLSLNHRSSLCKSVVKCSYAEAGVKDDFRSTTIDVVADVKTERVVVLGGSGFVGSAICKAAVSKGIEVISLSRSGRPTYPGSWIDQVTWIPGDVFYTNWDEILFGATAVVSTIGGFGSEEQMQRINGEANIVSVNAAKEFGIPKFIFISVHDYNLPSFVLSTGYFTGKRKAEAEVLSKYPNSGVVLRPGFIYGKRRVDGFEIPLDLIGQPAERILSAIENFTKPLSSLPASDLLLAPPVNVDDLALAVVNAVTDDDFFGVFTIEQIKEAAAKVKV >Potri.010G217100.1.v4.1 pep chromosome:Pop_tri_v4:10:20399073:20401208:-1 gene:Potri.010G217100.v4.1 transcript:Potri.010G217100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217100.v4.1 MGKTRGMGAGRKLKSHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Potri.010G217100.2.v4.1 pep chromosome:Pop_tri_v4:10:20399076:20400203:-1 gene:Potri.010G217100.v4.1 transcript:Potri.010G217100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217100.v4.1 MGAGRKLKSHRRRQRWADKSYKKSNLGNEWKKPFAGSSHAKGIVLEKIGIEAKQPNSAIRKCARVQLIKNGKKIAAFVPNDGCLNYIEENDEVLIAGFGRKGHAVGDIPGVRFKVVKVSGVSLLALFKEKKEKPRS >Potri.007G062662.1.v4.1 pep chromosome:Pop_tri_v4:7:7363410:7363796:-1 gene:Potri.007G062662.v4.1 transcript:Potri.007G062662.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062662.v4.1 MIIGCWNVRGLNDPIKHSALRRLIHQERIALFGLVETRVRDKDNVSQLLLHNWSFLYNYDFSCRGRIWVCWNADTVKVDVFEMSDQAIHVSVTILATNICFNTSIIYGDNNASLREALWSDIVSRSDG >Potri.001G343000.2.v4.1 pep chromosome:Pop_tri_v4:1:35392768:35395930:1 gene:Potri.001G343000.v4.1 transcript:Potri.001G343000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343000.v4.1 MAVKLMHAVQYSSYGGGAAGLKHVEVPVPSAKRDEVLLKLEATSLNAADWKIQKGILRPLFPRRFPYIPGTDVAGEVVEVGPGVTNFKTGDKVVAVLTHCLSGGGLAEFVVAKKSLSVARPPEVSAAEGAGLPVAGLTAHQALTQSAGVKLDGSGNQKNILITAASGGVGHYAVQLAKLGNTHVTATCGARNIEFVKSLGADEVLDYKTPEGAALKSPSGKKYDAVIHCAAGVPWSTFEPNLSANGKVIDIIVEQ >Potri.011G040100.1.v4.1 pep chromosome:Pop_tri_v4:11:3113409:3116219:1 gene:Potri.011G040100.v4.1 transcript:Potri.011G040100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040100.v4.1 MGDLEKQVVVNGGKEEAEEEEKLLMEGMSVLDFDMLCSTVAMQTQGKYWAKLESNEEEDDDLNRYNNGGGGGGFRMWEGEVLDCFDDRRIAIESLCCPCYRFGKNMRRAGFGSCFLQGIAYYILGLGALLNFIAFIVTKRSRFLYLSIVFTFSLGIYLGFFRTQMRKKFNIRGSDSSLDDCIYHLICPCCTLSQESRTLEMNNVQDGTWHGRGDTICVGSYSEGNKVFLELHPPPAVTTSSPDVCSMQKNTNVSDQPST >Potri.003G172701.1.v4.1 pep chromosome:Pop_tri_v4:3:18083527:18085006:1 gene:Potri.003G172701.v4.1 transcript:Potri.003G172701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172701.v4.1 MAGKDNTQIELKSKMLFLELDHFIAKSQEKSDRSSKEITAAKFFKIEVEASVIARNNLGQEVSVKIDKARQWLNQKMDEFKIEEDSHENKGLIVSMLISSNSKLNFFQCLPSDQEAMAADHHKSSLRGGNKQLMNAALGISEDTLPGPWNPLPEEIERVKAAVCECLDKARVTKRLIRSSMADEDNAQIDPETQNLVKKLDEYIAKFDQKRQVLQNAIESETEALKKDQNETRELRLSLVHESGTATSSNIEAGSRKIANVKQWLNQKALISGDTSGDHDQGHVYLLLNNNCLR >Potri.016G039600.1.v4.1 pep chromosome:Pop_tri_v4:16:2450839:2452630:1 gene:Potri.016G039600.v4.1 transcript:Potri.016G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039600.v4.1 MSANLQEIVISRHDIAMSSTGQSHCGWHNSTMADTSEEIHSGSLDSMMAATSEEINVSNRQTVPKFPKVPSTIRQIKQNEECYDPSLVSIGPYHNGKDELKEMQKLKVSFARQFVKDCVNDVNQERYKEKYQEMYRRVEQVASRVRKYYIENESSQLKNEEFAQMMFFDGCFILQFLFCLLKQPEKLKMSSHDVVLVARDLFLLENQLPFEVLNELTRLRFGGENMELFEAFFKHIRSMPTQRESCREKTKKLLLTISNFFRRILPSTNPKGQESEMTAPRKPAAHLLELFHLTFVGSKDVPDASTRKSWYRDDSRKTWSGRYFPAKELRNVGIHFKPSKTSLFTDVEFRRTVLAGRLYIPPLSIDDSTKPLLLNLVAYEAFLGAPHDWVTSYVCFMDSLIDNPEDVNELRTKGILFSTLGNDKQVAELFNQISDYLVPNPYAYVEVKSAIESHYRNGFKRWILHYKGPIYSSVLKYSFIYGLIVSAIKAYVVIVPTNPDLGICKMPATNFTLNP >Potri.001G178100.5.v4.1 pep chromosome:Pop_tri_v4:1:15553726:15557119:-1 gene:Potri.001G178100.v4.1 transcript:Potri.001G178100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178100.v4.1 MSDSKRSGGGGSSKKRNLPSWMSSKDNENKSHGKKAAATSAEDEQSKLLDGVVFVLSGFVNPERATLRSQALEMGAEYRPDWTSDCTLLVCAYSNTPKFRQVEADCGTIVKKEWILECYSQKKLVEIDSYLMHAGKPWRKSNISHERGSDQKASPPRKSDKQVKSGLHSKPTASTSYKVRASNPSKECFSPSKVKEWVIDDLNRTISWLESQEEKPEPSEIKQIAAEGILICLQDAIDFLEQNQDVRKITDQWNVVPHAVEEMVKLVDGGNASSSLSKEDLCRKAKACKEIYEAELSSLDGSKSKKQRLKSDESGSSKRTNTVTGDAAEYDSDETIEMTKEEVDMAYNTVASKFLND >Potri.011G131500.2.v4.1 pep chromosome:Pop_tri_v4:11:16532585:16537494:-1 gene:Potri.011G131500.v4.1 transcript:Potri.011G131500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131500.v4.1 MGEEFDEAKAEIEKLKADLKLKAELADNLRKAHGEQLIRTQEACSKIEKLARESNGKEEEISKEKRMCEDLQCSLNEKESVIRRLSTANDKLRVDCGEKYKKWEEEKRAIVLALDEANEKNIDQEQKINVFMAEIEGLKGLLSASQKKCLEAEKNAKASKEMRKRDAMLLKLEEESMKVENQLKWKKEQFSHLEEAHEKLRDQFRESKKEWEMEKSTLIDEICSLQSRLDSQTRILEDLERRFKMCNEALAREESRRNHLEVEVSEFKARFENVFTECQDAKSQLECLATQRDREIAALRHSLATKETFYKEIEYKAGRLEKENQELLVYLKELQEAGIRETGNSSSLAKMRNKLKSLEQMHKNCSSNLRAKEAEWSFQLEKLTEELDNYRTALESKETTVEELGIELEICHSVILQLKMQNEEASTMLLVLKSGITEAQLNVENADTEARLRDKERGENVSLLMRQLETKNTALAKVMTDFEDERQKVASLLKRIEDLDLVEDQRLLLQKELERYKELLEESSRSQLCFKKQALQTETDLKDKLKAVCDDLDVANSELAKEHQKLVSLSRKAKALDLIEEKCLLMQKELEKYKEALEQSSRHQRCLEKQALQIENDSKEKFREVCDAFDMASSEVAEHHEKVECFSRRVDHLELVEEQRLLMQKELERYKEMVKQSSSKQLLIEKKALDVETDLKKKLREVYDELDTANAELATENENTASLLRRVQSLDHIEEQNLQMQKELKKYKEMLEESSRCQHRLEKQALQKEKDLKEKLQEVCDALDRLKSDFAAKISEGHALEFELWMWKTIAHRLKDDLEESQLLRKDIEASLLSQVEVEETIKQEKDDLARLLKARDSRIDSMQHQIDFFEKELKTRESAAATSAKETVMSFESEKEGFLRTMREKDKILDDLQKGVGWLEQESLKRELEVSVLTLVEAERKFDLEKEHFIQLMEEKDQRIDDLLQCVRSREQKFNGSLISFSLELAEKQAEIGLVHEAWEKIASAEILAQLEIEEKKMMLMELEDDIFSVQKKLELQEKSLSESKHKAIEIEAELEEKLLEMKKLENLMETKLRMSEASVDELKKGNKSLAENVMKLSSERDNLIGFFTGLGERISQFSNEDMQLMGTLACMVQSFDNSGSSSPMLKCDTELFNAVKENVNTCPSPTTKRLQSVLEERAPFRELN >Potri.012G082200.2.v4.1 pep chromosome:Pop_tri_v4:12:10741747:10745069:-1 gene:Potri.012G082200.v4.1 transcript:Potri.012G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082200.v4.1 MFGGNNSNPLVPISFGENHILDDVNALPQLQLLGDYPVGCFGVSHTSNQQTAIFGQPAKRVNETESISGQKKHQLSSSNNFSQYDACKSGIILNPNHVSIGLKLSCEEDEHNSSVTCTSESNTATLPVTLSLGDDLKAEINLQKGDLDQYIRLQEENFIKGVRELGQRHTVSLLSSIEQGISSKLHEKELQMQNINRKNKDLVERIKQVSMEVHSWHCRTKYNESVVNVLKSNLEQVMAQGAMHGKEGYGDSEVDTAASYANQNHMRLVDGSANSISLKKQMTCRACKINEASILLFPCRHLCLCKVCEGLIDVCPVCRIAKSSSVEVFLS >Potri.018G041700.1.v4.1 pep chromosome:Pop_tri_v4:18:3304968:3307725:-1 gene:Potri.018G041700.v4.1 transcript:Potri.018G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G041700.v4.1 MDCSSEQRQMITEPLLSNGKGGIRTIPFILANEAFERLASFGLSTNMIMYLTREYGMDAAQGAQLLFLFSSATNFTPILGAVLADSYVGRYRMIGFGCMASLLGMVLLWLTTFPEARQPLCVHFSHSCNSRSTLQLVHLYTAFGFMAIGAGGIRSSSLAFGADQLSITHNLQRARIRESFFRWYYVTVTASVFVAMTCVVYIQENMGWMVGFGVPVVLMILSALSFSLASPFYVKSKPKASWITGLAQVVVASFRNRSVELSTQATVEVRYHTTGSILPVPSKRLRFFNKACIVGNPQVDVTPDGNALDPWSLCTVDQVEDLKTLIKVIPIWSSGMLMFVNVSQGSFIVLQVSTMDRHITSKFEIPAATFLSFAVLVIVLWVALYDRIIIPLVSKIKGQPVRLGLKKRMGIGILLSTTSMAALAIAESVRRETAIKEGFSDRPDAGLHISTFLFLPFLALSGVAEAFTPIGQNEFFYTELPKSMSSVASTLNGIGMSVASLVSSFIVRAVRDLTKVEGQESWVSSNINKGHYDYYYWLLASLSLVNFLYYLVCSKSYGPSMEEQRNILADESY >Potri.004G183600.2.v4.1 pep chromosome:Pop_tri_v4:4:19735964:19741095:1 gene:Potri.004G183600.v4.1 transcript:Potri.004G183600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183600.v4.1 MASTGQFSGKSLYKGLTSRSSGEQSRLTKGKMKFCFLNQSKVPRGLGGGDIVSRKCHLCAEQKNSLSPLVIRHRKARFGVVCCQSSSGYGVESTDEQERLVLEENKRGIINGSRGEESESIGVLINQPRSSDVRCELIMLSLPAIAGQAIDPFSQLMETAYIGRLGPVELGSAGVSIMIFNNVSKLFNIPLLSVATSFVAEDIAKNATKDSISENGIQEDSTNGKPIGMVERKQLSSVSTALILAIGIGIFEAVALSLGCGSFLNLMGITVDSPMRIPAERFLSLRALGAPAVVVSLALQGIFRGFKDTKTPVFCLGLGNLSAIFLFPLLMYYLKLGVTGAAISTVVSQYLVTFLMVWQLNKRVILLPPKVGELQFGVYMKSGGFLIGRTLAVLTTMTLATSMAARQGAVAMAAHQICMQIWLAVSLLTDALASSGQALIASYSSEGDHKTVKEVTKFVLKIGLVVGVSLAAILGVSFGSIATLFTKDADVLGIVRTGILFVSASQPINALAFIFDGLHYGVSDFPYAAKSMMLVGLISSAFLLYAPITGLPGVWSGLALFMGLRTAAGCVRLLSKSGPWWFMHKDLETV >Potri.011G144000.1.v4.1 pep chromosome:Pop_tri_v4:11:17327085:17329401:-1 gene:Potri.011G144000.v4.1 transcript:Potri.011G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144000.v4.1 MEKSSGFSDNIAPESSDAMSSSAAASCPVENLLLSVENLSLKEGSADTNNHHGLSTRNYLLHDNGGSLPRIRETRNNGFSQYHTGFENSMLLPPLLFSESVWGSNSLSNGGSFQGSILQPRARSLVPNFNFLSGSALDLGTGRAGSGLGGVDNSMVQNQEELKKVRIFLGLLQGDSIVNYCSDQHGSRTIQGLLRLRNPEITREIYNKVLALSSRGIAVVLELMLDQHGWHVFGELIDALNYQQLKLITYEITKNLDNFVSLTLDTHGSNSIRKVIRLLRRSPLVTLVMNNLRAAFFTIMTNRIGSYAVSECFNQLSAEDNRLLYEAAIECCLDLAIDHEGSLALIRVINTIQGLQRYRLLDILSTYVAFLSQDPKGNYVVQKVISLNNPLFTQKICHHLRGYYGTISLQKGGSHIAEKCLDTEWKSWVIEDFLSNTNTLLQVAKDEFGNYVIQKALKVTKKSGSPLYQKLLLRLQPHLSILQSGYGRNVFNLITGGRSVKKV >Potri.005G226900.1.v4.1 pep chromosome:Pop_tri_v4:5:22746145:22753065:1 gene:Potri.005G226900.v4.1 transcript:Potri.005G226900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226900.v4.1 MEKSKIDHMVGGKFKLGRKIGSGSFGELFLGVNVQTGEEVAVKLESVKTKHPQLHYESKLYMLLQGGTGIPHLKWFGVEVDYNVMVIDLLGPSLEDLFNYCNRKFTLKTVLMLADQLINRVEYMHSRGFLHRDIKPDNFLMGLGRKANQVYVIDFGLAKKYRDLQTHKHIPYRENKNLTGTARYASVNTHLGVEQSRRDDLESLGYVLMYFLRGSLPWQGLKAGTKKQKYDKISEKKVSTPIEMLCKSHPSEFVSYFHYCRSLRFEDKPDYSYLKRLFRDLFIREGYQFDYVFDWTVLKYPQIGASSRGRYSSGKPGHAGPSAERPTERVSVGKEIRERFSGAVEAFSTKRVTGSSLHDTSRNKTLDNPPEPEKGRSSSRYGSTSRKAIISNSRPSSSGEPSEGRSGRLLSSGGRLPSTQRVQPGYEIKSSQTRAAAVRGTREDTFRSFEFLSLRK >Potri.005G196500.1.v4.1 pep chromosome:Pop_tri_v4:5:20330594:20333996:-1 gene:Potri.005G196500.v4.1 transcript:Potri.005G196500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196500.v4.1 MAPSSKADKKGAADAGAWMFNVVTSVGIIIVNKALMATYGFSYATTLTGMHFATTTLMTVVLRWLGYIQASHLPFPELLKFVVFANFSIVGMNVSLMWNSVGFYQIAKLSIIPVSCLLEVFFDKIRYSRDTKLSIGVVLLGVGVCTVTDVSVNAKGFIAAFIAVWSTSLQQYYVHYLQRKYSLSSFNLLGHTAPSQAATLLLLGPFLDYWLTNKRIDTYDYNAVSVMFIVLSCIIAVGTNLSQFICIGRFTAVSFQVLGHMKTVLVLIMGFFFFGKDGLNLHVVLGMTIAVVGMIWYSNASSKPGGKERRSLSLPTSRQQKPSNLSESNEHDGKV >Potri.004G187900.2.v4.1 pep chromosome:Pop_tri_v4:4:20061813:20070822:1 gene:Potri.004G187900.v4.1 transcript:Potri.004G187900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187900.v4.1 MSNSDPKVGPKPGQWPPAPESAAMPPSSWAKRTGFRPKFSGETNASDSGQISLPPRPKEQKNSQPDVEAGRVRATLPQPPPAPPPAAVNGTDKAVVVPAENKDQTVVKRRRDSDGGSGGGAKKDGLGHGANGAGPNGVPEGPRRAGVRNEEVIDVEDDGFVRGRHTHMKYELRDTPGLVPIGLYGLQHYLSILGSLILIPLVIVPAMGGTHEDTSMVVSTVLFVSGVTTLLHTSFGSRLPLIQGPSFVYLAPALAIINSPEFQGLNGNNFKHIMKELQGAIIIASAFQTILGYSGLMSVFLRLINPVVVAPTLAAVGLSFYSYGFPRVGTCLEIGVVQILLVIMFSLYLRKISVFGHRIFLIYAVPLGLAITWAAAFLLTEAGVYSYKGCDVNVPASNIISDHCRKHVSSMKHCRVDTSYALKSSPWFRFPYPLQWGTPVFEWKMALVMCAVSIISSVDSVGSYHASSLLAASGPPTPGVVSRGIGLEGLCSVLAGLWGTGTGSTTLTENVHTIAVTKMGSRRAVELGACALILLSLIGKVGGFIASIPEVMVAALLCFMWAMLSALGLSNLRYSEAGSSRNIIIVGLSLFFSLSVPAYFQQYGISPNTNLSVPSYLHPYIVASHGPFRSKYEGLNYFLNMLLSLNMVIAFLVAVILDNTVPGSQQERGVYVWSETEAARREPAITKDYELPFRVSRILRWVKWVGF >Potri.004G217400.1.v4.1 pep chromosome:Pop_tri_v4:4:22307111:22309523:-1 gene:Potri.004G217400.v4.1 transcript:Potri.004G217400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217400.v4.1 MVVRIRLSRFGCSNKPFYRVMAADSRSPRDGKHLEVLGYYNPLPGQDGGKRMGLNFERVKYWLSVGAQPSEPVQRILFRAGVLPPPPMMVMGRKGGPRDTRPVDPMTGRFLSPEKSPSSSQSNASKNDTEAATTTA >Potri.002G145300.1.v4.1 pep chromosome:Pop_tri_v4:2:10971728:10972910:1 gene:Potri.002G145300.v4.1 transcript:Potri.002G145300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145300.v4.1 MMRKNRGFKIGKRFVRISTWIFSRTRIHPPGCNSIGPSESTCSSKSKSLSKIINWGRRLTKGAKSICSAKPRSGYIPVGHEPVCDKPVPVPKGHLAVYVGQKDGEFHRVLVPLIYFNHPLFGELLREAEEEYGFNQQGGITIPCRFSEFERVQTRIKSGSCGRKLTWKRNHH >Potri.012G043300.3.v4.1 pep chromosome:Pop_tri_v4:12:3877164:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEVRGWINAKATVPVWAKMTPNITDIKQPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.012G043300.9.v4.1 pep chromosome:Pop_tri_v4:12:3877164:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.012G043300.5.v4.1 pep chromosome:Pop_tri_v4:12:3877164:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEVRGWINAKATVPVWAKMTPNITDIKQPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.012G043300.4.v4.1 pep chromosome:Pop_tri_v4:12:3877164:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEVRGWINAKATVPVWAKMTPNITDIKQPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.012G043300.11.v4.1 pep chromosome:Pop_tri_v4:12:3877256:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.012G043300.8.v4.1 pep chromosome:Pop_tri_v4:12:3877164:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.012G043300.6.v4.1 pep chromosome:Pop_tri_v4:12:3877256:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEVRGWINAKATVPVWAKMTPNITDIKQPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.012G043300.7.v4.1 pep chromosome:Pop_tri_v4:12:3877164:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEVRGWINAKATVPPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.012G043300.10.v4.1 pep chromosome:Pop_tri_v4:12:3877164:3881765:1 gene:Potri.012G043300.v4.1 transcript:Potri.012G043300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043300.v4.1 MEEYDKAAWEELIDRVEQTGIDALEINFSCPHGMPERKMGAAVGQDCALLEEPARVALLSLCEGVSAINTIMSVMGINLKTLRPEPCVEGYSTPGGYSSKSVHPIALEKVMSIAKMMKSEFDLDQHSLSGIGVVETGGDAAEFILLGANTVQVCTGVMMHGYGLVKKLCEELKDFMKMHNFSSIEDFRGASLEYFTTHMDLVRRQQEAMQQRKAIKTGLQSDKDWTGDGFVKESESMVSN >Potri.008G027100.1.v4.1 pep chromosome:Pop_tri_v4:8:1416435:1423399:1 gene:Potri.008G027100.v4.1 transcript:Potri.008G027100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027100.v4.1 MNPHLNLEENMKLASVLEPSKPSFFPAMTKIVGTLGPKSRSVEIISNCLKAGMSVARFDFSWGDTDYHQETLDNLKIAVKSAKKLCGVMLDTVGPELQVINKKENPISLQEDSFVVLTPDLDKEATSCLLPINFTGLSTAVKKGDTIFIGQYLFTGNETTSVWLEVTEVNGEDVVCLVKNSATLSGLLYTLHVSQIHINLPTLTDKDKEVISSWGVRNNIDILSLSYTRHAEDVRHAREFLSKLGDLSQTQIFAKIENVEGLAHFDEILQEADGVILSRGNLGIDLPPEKVFLFQKTAVFKCNMAGKPAVVTRVVDSMTENLRPTRAEATDVANAVLDGSDAILLGAETLRGLYPVETISTVGRICAEAEKVFNYDLYYKRAVKYAGDSMSHLESITSTAVRAAIKVKASVILCFTSTGRAARFIAKYKPTTPVISVVIPRLKTNQLRWTFTGAFEARQSLIVRGIFPMLADARHQAESSNSTNESVLKVALDHGKAHGFIKPHDRVVVCQKLGDAYVVKILELED >Potri.016G003500.5.v4.1 pep chromosome:Pop_tri_v4:16:176383:181897:-1 gene:Potri.016G003500.v4.1 transcript:Potri.016G003500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003500.v4.1 MVQCLDGLKHLCAAIANCCDADLYKQPRGLEDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Potri.016G003500.6.v4.1 pep chromosome:Pop_tri_v4:16:176657:178671:-1 gene:Potri.016G003500.v4.1 transcript:Potri.016G003500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003500.v4.1 MVQCLDGLKHLCAAIANCCDADLYKQPRGLEDPEVLARETVFSVSEIEALYELFKKISSAVIDDGLINKEEFQLALFKTNKKESLFADRVFDLFDTKHNGILDFEEFARALSVFHPNAPIDDKIEFSFQLYDLKQQGFIERQEVKQMVVATLAESGMNLSDDVIESIIDKTFEEADTKHDGKIDKEEWRSLVLRHPSLLKNMTLQYLKDITTTFPSFVFHSQVDDT >Potri.006G105500.1.v4.1 pep chromosome:Pop_tri_v4:6:8113972:8114696:1 gene:Potri.006G105500.v4.1 transcript:Potri.006G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105500.v4.1 MGNYTSCCAVVTLSSKPKTAKLINSQGNLRQVSLPVKAAELMLEEPGHVIAPVDELKQRSRTIAMRADDELLPGKVYLSVPLSKANCKISASELAIIESTIAACAKRSSKKRSGAKVLPAMAVDLWEEKGSESGVKVLEGNDTSSTSYRLVNYRQWTLALEPIPEEF >Potri.001G244900.1.v4.1 pep chromosome:Pop_tri_v4:1:26200796:26203257:1 gene:Potri.001G244900.v4.1 transcript:Potri.001G244900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244900.v4.1 MSRTLKSTPLLVSSPSFSSPFSLKTYAAPHSTLSFKPQKSRAAHTNISNKSPLYSTKRFTSPQNMLDNTSCSSSSTRQNRWTLHGKTALVTGGTRGIGRAIVEELVGFGARVHTCCRNGSELDKCLEDWNDVCSGGMISGSVCDVSVGAQRQELMETVSSNFDGKLNILVNNVGTNIRKPMVEFTPEEFSTLMATNFESAFHISQLAYPLLKASGEGSVVFTSSVSGFVSLKSMSVHGVTKGAINQLTKNLACEWAKDNIRSNAVAPWYIKTSMVEQVLSNKSYLEEVYDRTPLRRLGEATEVSALVAFLCLPASSYITGQIICIDGGMSVNGFFPSHG >Potri.001G244900.2.v4.1 pep chromosome:Pop_tri_v4:1:26200793:26203292:1 gene:Potri.001G244900.v4.1 transcript:Potri.001G244900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244900.v4.1 MSRTLKSTPLLVSSPSFSSPFSLKTYAAPHSTLSFKPQKSRAAHTNISNKSPLYSTKRFTSPQNMLDNTSCSSSSTRQNRWTLHGKTALVTGGTRGIGRAIVEELVGFGARVHTCCRNGSELDKCLEDWNDVCSGGMISGSVCDVSVGAQRQELMETVSSNFDGKLNILVNNVGTNIRKPMVEFTPEEFSTLMATNFESAFHISQLAYPLLKASGEGSVVFTSSVSGFVSLKSMSVHGVTKGD >Potri.004G173400.1.v4.1 pep chromosome:Pop_tri_v4:4:18853773:18858090:-1 gene:Potri.004G173400.v4.1 transcript:Potri.004G173400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173400.v4.1 MGFHQTSSISFSATKWLGFVTAVWVQAISGNNYTFSNYSDALKSLMNLTQLELNNLSVAKDVGKAFGLLAGLASDRLPTPVILLIGSIEGLIGYGTQWLVVSGRIQPLPYWQMCIFLCLGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCAALFAYDPAKFLIMLAVIPFAVCLTAIVFLRETPPAATIEEEKEESKYFNIFNAVAVIVAVYLMAYGFIPNPSHAISLAFSVILLVLLASPLAAPVHAFIKSWTLNRFKNQADVERQIQEPLLIEEKAQEEIQEKPAEESASAVVEQPQAVEEEKAAVEVKRRPVIGEDHTIFEAMQTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYADVSLFISMTSIWGFFGRIVSGSVSEYYIKKAGIPRPLWNAASQILMAVGYILMAVALPGSLYVGSIVVGICYGVRLAVTVPTASELFGLKYFGLIYNILILNLPLGSFLFSGLLAGFLYDAEATPAPGGGNTCVGAHCYRLVFIIMAIACVIGFGLDVLLGIRTKKIYNRIYMSRRSKKLAAASNLQ >Potri.004G173400.5.v4.1 pep chromosome:Pop_tri_v4:4:18853777:18857638:-1 gene:Potri.004G173400.v4.1 transcript:Potri.004G173400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173400.v4.1 MQMCIFLCLGGNSTTWMNTAVLVTCIRNFRRNRGPVSGILKGYVGLSTAIFTDLCAALFAYDPAKFLIMLAVIPFAVCLTAIVFLRETPPAATIEEEKEESKYFNIFNAVAVIVAVYLMAYGFIPNPSHAISLAFSVILLVLLASPLAAPVHAFIKSWTLNRFKNQADVERQIQEPLLIEEKAQEEIQEKPAEESASAVVEQPQAVEEEKAAVEVKRRPVIGEDHTIFEAMQTVDFWVLFVSFLCGVGTGLAVMNNMGQIGLALGYADVSLFISMTSIWGFFGRIVSGSVSEYYIKKAGIPRPLWNAASQILMAVGYILMAVALPGSLYVGSIVVGICYGVRLAVTVPTASELFGLKYFGLIYNILILNLPLGSFLFSGLLAGFLYDAEATPAPGGGNTCVGAHCYRLVFIIMAIACVIGFGLDVLLGIRTKKIYNRIYMSRRSKKLAAASNLQ >Potri.007G012800.1.v4.1 pep chromosome:Pop_tri_v4:7:990531:991635:1 gene:Potri.007G012800.v4.1 transcript:Potri.007G012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012800.v4.1 MAIRKSNKSPQTSALKQIVKRCSSFGKKNGYDQDGLPDDVPKGHFAVYVGENRSRYIIPISWLDRPEFQSLLQRAEEEFGFKHGMGLTIPCEEVVFRSLTEMIR >Potri.005G083700.1.v4.1 pep chromosome:Pop_tri_v4:5:5754507:5760759:-1 gene:Potri.005G083700.v4.1 transcript:Potri.005G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083700.v4.1 MRKGAKRKRIQKDNNEDEAAAVPAQDNHKTTESTKPPTRAKPVKASKPEPEYFEDKRNLEDLWKEIFPVGTEWDQLDKLYGFNWNFSNLENAFEEGGVLHGRKVYLFGCTEPQLVPFKDDSLLIYIPAVVAVASPFPPSDKIGIKSVQREVEEIIPMKQMKMDWVPYIPLENRESQVDRLRHQIFILSCTQRRTALKHLKIDRIKKYEYCLPYFYNPFKEDELEQSTEVQIIFPSELKPIFCEFDWELDELEEFTDKLIQEDELSEDQKDAFKEFVKEKVREAKKANREAREARRKALEEMSEESRRAFEKLRFYKFYPVQTPDTPDISNVKASFINRYYGKAHEVL >Potri.002G049900.11.v4.1 pep chromosome:Pop_tri_v4:2:3352782:3354982:1 gene:Potri.002G049900.v4.1 transcript:Potri.002G049900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049900.v4.1 MEIDAEVKDSSLILIKQGAEARVFESSFVGRRSIVKERFSKKYRHPTLDSKLTIKRLNAEARCMTKARRLGVSTPVLYAVDPLLHALTFEYVEGPSVKDIFLEFGLNGVVEERLDDIAMQIGDSIGKLHDGGLIHGDLTTSNMLIRTGTNQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILAGYRKSSKQWSSTLNKLAQVRQRGRKRTMIG >Potri.009G092800.4.v4.1 pep chromosome:Pop_tri_v4:9:8447488:8452637:-1 gene:Potri.009G092800.v4.1 transcript:Potri.009G092800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092800.v4.1 MATFLSSSSLPLPLLFSSNHYPHQTPPSFSLSFPFSHPLRATVTANSRKIKTVILASPASDSSFDGFEFNRESADKKSVLSDLIQEIEPLDVSLIQKDVSPTTLDAMKRTISGMLGLLPSDRFQVFIEAWWESLSKLLVSSMMTGYTLRNAEYRLCLERNLDIHEKDPEKQAQENPRNELQRTALESEKTNQSFGKDTEFEKTMEDPSNNIDLQGLGEISPEAQQYILRLQSCLSSVTKELHDVKRKSAALQMQQFVGEEKNDLLDYLRSLQPEKVAELSEPTSPELKETIHSVVHGLLATLSPKMHSKTPPQSDNTSTGSLNIGGDCAELVENTSLHFQPLISLTRDYLARLLFWCMLLGHYLRGLEHRMELMELLSLTSHEENDHCEDEQVA >Potri.010G173100.7.v4.1 pep chromosome:Pop_tri_v4:10:17411037:17416494:1 gene:Potri.010G173100.v4.1 transcript:Potri.010G173100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173100.v4.1 MATYEDLPPSMIKRLAKELKNLDKSLPKGISVGINDDDFSVSEADIEVPVTANENNVSRMKQLLSRDFRRPPLKGRSPDQESIGSETKRSSVSSGSRSHNRKEFLSRFVDSQTLTARLEDWFELISENSGQKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPSAVYASTSDAVEATAYLAIEDFLHASVKGLWEAFWSQDDPMPFSVACLYNENLKFYQAEKAIGNGKLGGLCATGVLLNNPRHPHGKWDHILELALLRPDIGSVAAGSDRQLSLSVLGEALFYAIRMLLSRSLSRLNFSESPNCAYVLLVDSQHGGVVKVEGDVDKLEFDVNNVYNCSVDWIKKHCKVTVSPVDRIWNKLGNANWGDIGALQVLFATFHCIVQYSGMPKHSIEDLAADHGPRLLTRRVARQLGDSRVNGHGLFRFQQRSVSPEIVEVPDESIKIKSEELIMKLDVGSVLWLEDSECQKGYQINDVLHNNELRYYIASPVNDPGKSLYLYVGSHPSQLEPAWEDMNLWYQVQRQTKILAIMRQKGLSSKYLPQLSASGRIVHPGQCRKPSSGGNCDHPWCGTPILVTSPVGETVVDMVNAGRFGLDEAIRCCHDCVSALSMASSADIRHGDIRPENIICVVSGGRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRENSWSRRLIQKKLGELSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDGDHGKQVDTSG >Potri.010G173100.11.v4.1 pep chromosome:Pop_tri_v4:10:17411037:17416390:1 gene:Potri.010G173100.v4.1 transcript:Potri.010G173100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173100.v4.1 MATYEDLPPSMIKRLAKELKNLDKSLPKGISVGINDDDFSVSEADIEVPVTANENNVSRMKQLLSRDFRRPPLKGRSPDQESIGSETKRSSVSSGSRSHNRKEFLSRFVDSQTLTARLEDWFELISENSGQKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPSAVYASTSDAVEATAYLAIEDFLHASVKGLWEAFWSQDDPMPFSVACLYNENLKFYQAEKAIGNGKLGGLCATGVLLNNPRHPHGKWDHILELALLRPDIGSVAAGSDRQLSLSVLGEALFYAIRMLLSRSLSRLNFSESPNCAYVLLVDSQHGGVVKVEGDVDKLEFDVNNVYNCSVDWIKKHCKVTVSPVDRIWNKLGNANWGDIGALQVLFATFHCIVQYSGMPKHSIEDLAADHGPRLLTRRVARQLGDSRVNGHGLFRFQQRSVSPEIVEVPDESIKIKSEELIMKLDVGSVLWLEDSECQKGYQINDVLHNNELRYYIASPVNDPGKSLYLYVGSHPSQLEPAWEDMNLWYQVQRQTKILAIMRQKGLSSKYLPQLSASGRIVHPGQCRKPSSGGNCDHPWCGTPILVTSPVGETVVDMVNAGRFGLDEAIRCCHDCVSALSMASSADIRHGDIRPENIICVVSGGRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRENSWSRRLIQKKLGELSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDGDHGKQVDTSG >Potri.010G173100.4.v4.1 pep chromosome:Pop_tri_v4:10:17411113:17416494:1 gene:Potri.010G173100.v4.1 transcript:Potri.010G173100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173100.v4.1 MATYEDLPPSMIKRLAKELKNLDKSLPKGISVGINDDDFSVSEADIEVPAVTANENNVSRMKQLLSRDFRRPPLKGRSPDQESIGSETKRSSVSSGSRSHNRKEFLSRFVDSQTLTARLEDWFELISENSGQKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPSAVYASTSDAVEATAYLAIEDFLHASVKGLWEAFWSQDDPMPFSVACLYNENLKFYQAEKAIGNGKLGGLCATGVLLNNPRHPHGKWDHILELALLRPDIGSVAAGSDRQLSLSVLGEALFYAIRMLLSRSLSRLNFSESPNCAYVLLVDSQHGGVVKVEGDVDKLEFDVNNVYNCSVDWIKKHCKVTVSPVDRIWNKLGNANWGDIGALQVLFATFHCIVQYSGMPKHSIEDLAADHGPRLLTRRVARQLGDSRVNGHGLFRFQQRSVSPEIVEVPDESIKIKSEELIMKLDVGSVLWLEDSECQKGYQINDVLHNNELRYYIASPVNDPGKSLYLYVGSHPSQLEPAWEDMNLWYQVQRQTKILAIMRQKGLSSKYLPQLSASGRIVHPGQCRKPSSGGNCDHPWCGTPILVTSPVGETVVDMVNAGRFGLDEAIRCCHDCVSALSMASSADIRHGDIRPENIICVVSGGRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRENSWSRRLIQKKLGELSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDGDHGKQVDTSG >Potri.010G173100.10.v4.1 pep chromosome:Pop_tri_v4:10:17411039:17416430:1 gene:Potri.010G173100.v4.1 transcript:Potri.010G173100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173100.v4.1 MATYEDLPPSMIKRLAKELKNLDKSLPKGISVGINDDDFSVSEADIEVPAVTANENNVSRMKQLLSRDFRRPPLKGRSPDQESIGSETKRSSVSSGSRSHNRKEFLSRFVDSQTLTARLEDWFELISENSGQKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPSAVYASTSDAVEATAYLAIEDFLHASVKGLWEAFWSQDDPMPFSVACLYNENLKFYQAEKAIGNGKLGGLCATGVLLNNPRHPHGKWDHILELALLRPDIGSVAAGSDRQLSLSVLGEALFYAIRMLLSRSLSRLNFSESPNCAYVLLVDSQHGGVVKVEGDVDKLEFDVNNVYNCSVDWIKKHCKVTVSPVDRIWNKLGNANWGDIGALQVLFATFHCIVQYSGMPKHSIEDLAADHGPRLLTRRVARQLGDSRVNGHGLFRFQQRSVSPEIVEVPDESIKIKSEELIMKLDVGSVLWLEDSECQKGYQINDVLHNNELRYYIASPVNDPGKSLYLYVGSHPSQLEPAWEDMNLWYQVQRQTKILAIMRQKGLSSKYLPQLSASGRIVHPGQCRKPSSGGNCDHPWCGTPILVTSPVGETVVDMVNAGRFGLDEAIRCCHDCVSALSMASSADIRHGDIRPENIICVVSGGRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRENSWSRRLIQKKLGELSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDGDHGKQVDTSG >Potri.010G173100.6.v4.1 pep chromosome:Pop_tri_v4:10:17411009:17416495:1 gene:Potri.010G173100.v4.1 transcript:Potri.010G173100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173100.v4.1 MATYEDLPPSMIKRLAKELKNLDKSLPKGISVGINDDDFSVSEADIEVPAVTANENNVSRMKQLLSRDFRRPPLKGRSPDQESIGSETKRSSVSSGSRSHNRKEFLSRFVDSQTLTARLEDWFELISENSGQKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPSAVYASTSDAVEATAYLAIEDFLHASVKGLWEAFWSQDDPMPFSVACLYNENLKFYQAEKAIGNGKLGGLCATGVLLNNPRHPHGKWDHILELALLRPDIGSVAAGSDRQLSLSVLGEALFYAIRMLLSRSLSRLNFSESPNCAYVLLVDSQHGGVVKVEGDVDKLEFDVNNVYNCSVDWIKKHCKVTVSPVDRIWNKLGNANWGDIGALQVLFATFHCIVQYSGMPKHSIEDLAADHGPRLLTRRVARQLGDSRVNGHGLFRFQQRSVSPEIVEVPDESIKIKSEELIMKLDVGSVLWLEDSECQKGYQINDVLHNNELRYYIASPVNDPGKSLYLYVGSHPSQLEPAWEDMNLWYQVQRQTKILAIMRQKGLSSKYLPQLSASGRIVHPGQCRKPSSGGNCDHPWCGTPILVTSPVGETVVDMVNAGRFGLDEAIRCCHDCVSALSMASSADIRHGDIRPENIICVVSGGRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRENSWSRRLIQKKLGELSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDGDHGKQVDTSG >Potri.010G173100.12.v4.1 pep chromosome:Pop_tri_v4:10:17411114:17416491:1 gene:Potri.010G173100.v4.1 transcript:Potri.010G173100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173100.v4.1 MYVEVRFFCFAAVTANENNVSRMKQLLSRDFRRPPLKGRSPDQESIGSETKRSSVSSGSRSHNRKEFLSRFVDSQTLTARLEDWFELISENSGQKKSAFDVPFELIELQKFDYALEGVSFQQLVRMPSAVYASTSDAVEATAYLAIEDFLHASVKGLWEAFWSQDDPMPFSVACLYNENLKFYQAEKAIGNGKLGGLCATGVLLNNPRHPHGKWDHILELALLRPDIGSVAAGSDRQLSLSVLGEALFYAIRMLLSRSLSRLNFSESPNCAYVLLVDSQHGGVVKVEGDVDKLEFDVNNVYNCSVDWIKKHCKVTVSPVDRIWNKLGNANWGDIGALQVLFATFHCIVQYSGMPKHSIEDLAADHGPRLLTRRVARQLGDSRVNGHGLFRFQQRSVSPEIVEVPDESIKIKSEELIMKLDVGSVLWLEDSECQKGYQINDVLHNNELRYYIASPVNDPGKSLYLYVGSHPSQLEPAWEDMNLWYQVQRQTKILAIMRQKGLSSKYLPQLSASGRIVHPGQCRKPSSGGNCDHPWCGTPILVTSPVGETVVDMVNAGRFGLDEAIRCCHDCVSALSMASSADIRHGDIRPENIICVVSGGRHPYFVLVGWGHAILEDRDRPAMNLHFSSTYALQEGKLCSASDAESLVYVLYFACGGALPDLDSVEGALQWRENSWSRRLIQKKLGELSTVLKAFADYVDSLCGTPYPIDYDIWLRRLRRNIHDGDHGKQVDTSG >Potri.015G074500.1.v4.1 pep chromosome:Pop_tri_v4:15:10037880:10040311:-1 gene:Potri.015G074500.v4.1 transcript:Potri.015G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074500.v4.1 MEKSNSETSKLDRKTVEKNRRVHMKDLCFKLASLVPHHFFKPSKDMLSQQDQLELAACYIKQMRERVEKLKRVKEQAITTIQTSRSGMTSMMIGLRLPVIELRDFGSSIEVVLVSGLNKNFMFYEVITVLSDEGAEVVSASYSTVGDKVFHTIHAQVRISRVGVETSRVWERLQELIS >Potri.005G040400.1.v4.1 pep chromosome:Pop_tri_v4:5:2627816:2629641:1 gene:Potri.005G040400.v4.1 transcript:Potri.005G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040400.v4.1 MDSVVQLQRQLVDYTGQLFNEGFLDDQFNQLQQLQDESNPDFVVELVTLFFEDSEKLINELAKDLEQQSIDYRKIDAHVHQLKGSSSSIGVQRVQRVCIAFRNYCEERNIEGCQKCLQQVKNEYSLVKTKLETLFKLEQQVLAAGGSIPWPT >Potri.011G032100.4.v4.1 pep chromosome:Pop_tri_v4:11:2589915:2592515:1 gene:Potri.011G032100.v4.1 transcript:Potri.011G032100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032100.v4.1 MNEVFCCQFFLFFACSGQRKFQYLLKILSSFSARLGKLFGNLASSSRKLKVIFDNFPGGSHVFELMARFCYNNGTIEITPSNVVLLNCAAHYMEIGSNSSEKLNLGDQTEKFLEGINYWTWSELLQALKQCQDLLPATNSSFLLEKVLDCLVGRLTLPTLASPFTCSSNNSSSQLSCDTSSTCSMRNNWSQTTWWFEDLLVLNANSFEKVIRMMVSQKLEHATIFRFIVFRLKSIYIRVRPAEECRITAVSINLLSLFDRSSLSCKGLFDILLAARLKNLSKFYKLKLEHLIGSMLDQSTLDRLLVPSPQRNHHVYDVNLVLRLAKAFLLEGSKMSRNQWSKVASLMDSYLIEVAPDFLLKPAKFAALVMVLPDSARESSDRLYQAIDTYLQVHVQLSEEEKMRLCSVVNRDKLSAEALEHLAQNSNFPSRETLRSFITQQSKINISIHDHFSYLKNSSQSTFHSDAKGEQEALEQILIYARRHGHSKKIDNLETELQGMQKRVAEWEKVCTMMCSEKRIVTKPSLHGLGKARSLPKLCS >Potri.011G032100.5.v4.1 pep chromosome:Pop_tri_v4:11:2590051:2592137:1 gene:Potri.011G032100.v4.1 transcript:Potri.011G032100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032100.v4.1 MARFCYNNGTIEITPSNVVLLNCAAHYMEIGSNSSEKLNLGDQTEKFLEGINYWTWSELLQALKQCQDLLPATNSSFLLEKVLDCLVGRLTLPTLASPFTCSSNNSSSQLSCDTSSTCSMRNNWSQTTWWFEDLLVLNANSFEKVIRMMVSQKLEHATIFRFIVFRLKSIYIRVRPAEECRITAVSINLLSLFDRSSLSCKGLFDILLAARLKNLSKFYKLKLEHLIGSMLDQSTLDRLLVPSPQRNHHVYDVNLVLRLAKAFLLEGSKMSRNQWSKVASLMDSYLIEVAPDFLLKPAKFAALVMVLPDSARESSDRLYQAIDTYLQVHVQLSEEEKMRLCSVVNRDKLSAEALEHLAQNSNFPSRETLRSFITQQSKINISIHDHFSYLKNSSQSTFHSDAKGEQEALEQILIYARRHGHSKKIDNLETELQGMQKRVAEWEKVCTMMCSEKRIVTKPSLHGLGKARSLPKLCS >Potri.011G032100.1.v4.1 pep chromosome:Pop_tri_v4:11:2589068:2592515:1 gene:Potri.011G032100.v4.1 transcript:Potri.011G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032100.v4.1 MEVVNSLEVDVNGEEIFIVDKKILSSFSARLGKLFGNLASSSRKLKVIFDNFPGGSHVFELMARFCYNNGTIEITPSNVVLLNCAAHYMEIGSNSSEKLNLGDQTEKFLEGINYWTWSELLQALKQCQDLLPATNSSFLLEKVLDCLVGRLTLPTLASPFTCSSNNSSSQLSCDTSSTCSMRNNWSQTTWWFEDLLVLNANSFEKVIRMMVSQKLEHATIFRFIVFRLKSIYIRVRPAEECRITAVSINLLSLFDRSSLSCKGLFDILLAARLKNLSKFYKLKLEHLIGSMLDQSTLDRLLVPSPQRNHHVYDVNLVLRLAKAFLLEGSKMSRNQWSKVASLMDSYLIEVAPDFLLKPAKFAALVMVLPDSARESSDRLYQAIDTYLQVHVQLSEEEKMRLCSVVNRDKLSAEALEHLAQNSNFPSRETLRSFITQQSKINISIHDHFSYLKNSSQSTFHSDAKGEQEALEQILIYARRHGHSKKIDNLETELQGMQKRVAEWEKVCTMMCSEKRIVTKPSLHGLGKARSLPKLCS >Potri.011G032100.3.v4.1 pep chromosome:Pop_tri_v4:11:2589229:2592348:1 gene:Potri.011G032100.v4.1 transcript:Potri.011G032100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032100.v4.1 MNEVFCCQFFLFFACSGQRKFQYLLKILSSFSARLGKLFGNLASSSRKLKVIFDNFPGGSHVFELMARFCYNNGTIEITPSNVVLLNCAAHYMEIGSNSSEKLNLGDQTEKFLEGINYWTWSELLQALKQCQDLLPATNSSFLLEKVLDCLVGRLTLPTLASPFTCSSNNSSSQLSCDTSSTCSMRNNWSQTTWWFEDLLVLNANSFEKVIRMMVSQKLEHATIFRFIVFRLKSIYIRVRPAEECRITAVSINLLSLFDRSSLSCKGLFDILLAARLKNLSKFYKLKLEHLIGSMLDQSTLDRLLVPSPQRNHHVYDVNLVLRLAKAFLLEGSKMSRNQWSKVASLMDSYLIEVAPDFLLKPAKFAALVMVLPDSARESSDRLYQAIDTYLQVHVQLSEEEKMRLCSVVNRDKLSAEALEHLAQNSNFPSRETLRSFITQQSKINISIHDHFSYLKNSSQSTFHSDAKGEQEALEQILIYARRHGHSKKIDNLETELQGMQKRVAEWEKVCTMMCSEKRIVTKPSLHGLGKARSLPKLCS >Potri.002G005700.1.v4.1 pep chromosome:Pop_tri_v4:2:317195:318818:-1 gene:Potri.002G005700.v4.1 transcript:Potri.002G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005700.v4.1 MALSSLSLMFLLAFSFMSFFANSGLARDFSIVGYTPEDLTSGDKIIDLFESWISKHGKIYESMEEKWLRFEIFKDNLFHIDETNKKVVNYWLGLNEFSDLSHEEFKNKYLGLKVDMSERRECSQEFNYKDVMSIPKSVDWRKKGAVTDVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELVDCDTTNNYGCNGGLMDYAFSYIISNGGLHKEVDYPYIMEEGTCEMRKEESEVVTISGYHDVPQNSEESLLKALANQPLSVAIEASGRDFQFYSGGVFDGHCGTQLDHGVAAVGYGSTNGLDYIIVKNSWGSKWGEKGYIRMKRNTGKPAGLCGINKMASYPTKKK >Potri.012G103700.1.v4.1 pep chromosome:Pop_tri_v4:12:12590000:12596981:-1 gene:Potri.012G103700.v4.1 transcript:Potri.012G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103700.v4.1 METPKEHIEHIRETTFSIGREKNPLAPMLDQAVKYLSAELYAKDVHFLMELIQNAEDNEYLEGVDPSLEFVITSRDITNTGAPATLLMFNNEKGFSAKNIDSICSVGNSTKKGNRKRGYIGEKGIGFKSVFLITAQPYIFSNGYQIRFNENPCPHCNLGYIVPEWVHESPSLSDIKQIYGSTSMLPTTTLILPLKPDKVTAVKQQLSSVHPEVLLFLSKIKRLSVREDNEDPSLNTVSAIAITKETNFVTRKNIDAESYTLHLSAEENDDEFAKGCSYYLWKQKFPVRQENRVDRRMEVEDWVITLAFPNGERLLRGMKYSPGIYAFLPTEMVSNFPFIIQADFILASSRETIQWDNIWNQGILDCVPFAFVNALVSLIKTVDDAPVSSLPPMFKFLPVHSSPFEKLNIVRESIKSKLAEEDIVPSESYTAQKFFHKPRQVCRLMPAFWNILKMARERGVSLHNLSSHGCYVLNFSFDKPEYDHILDFLRVEPVSSEWYVKCIQGSHIVMGVSEETYLELLHFLAVNWHSLFYHTDMGSIPLIKYVGVDGSVSLCTVNESAQWYGKTLCLSLLSSHISWLIDWNREFRCMANHFFMPRSTQEAIRSSSSKNEVLEWLGDPVKVTALSVNDYAVLCGNQVSSDRKLVIAYAHFLYHSFSNNYLSGREVAPLCDKMPLVDSYGHVIKARNGVLVPAPESKWVQLIGYNPWRGESYVELGEDYLHPGYFAGTSTEGKKLLEFLKAFVKASDIPHIPPPIAGIPTASTPLTKQNAFLLLDWIRELKRSGISIPATFMNCIKEGSWLKITMNGSPGYKPPSQSFLLGSVNRSSDWGNILQNGSVLVDIPLIDQGFYGYKINEYREELMTVGVMFEYGEACEFIGNRLMSLAASSTLTKSNVISILKFIRFLTLNLLPPDKFILRIKEGRWLKTGGGYRSPVGSVLYDQEWTIARQISDIPFIDQDYYGKDILVFKSELQLLGVAIGFSGSYQLVADYLKSPLWLSYLTMEAFLLVLDCMRHSSSAGKLVIALKSTKCLNTTLGYRYPDDCFLFHPEWGCLLNVFGGFPLVDSNFYGSNIISYKKELKDLGVRVDFEDAVEVFVDTFRKQASSMTKESVFSFISCYRKLKGTPHKFPSDLKKCIREENWLRTRLGDYKSPSNCILFSPEWKSIYPITRLPFIDDSDKYYGNDIHEYQKELKSMGVIVEFKAGVKFVAAGLRFPQNPCHIARVNVLSLLECIRALLQEKDYSFPEIFLKNISQGWLKTHAGFRSPGNCCLFNSQWSSYVKPTDGPFIDEDFYGSNIKLYGKELSAIGVHLEVEKACSLLASHLDSHSEFCTIVRVYDFLRQHEWKPDGDATRKIWIPDGLENGMWVNPEECVLHDKDGLFGLQLNVLEKHYEPELLLFFSSSFKVRSNPSFDDYCKLWKVWESLGRPLTHAECCAFWKCVMTHMSSKTERTLADDLVKLPVILGSGEIVLFRKADVFIADDLLLKDLFERFSSRPIFVWCPQPNLPSLPRTRLLDVYRKIGVRTISESVQKEELSLADGVEFSQMNPRNAMIGKELVRLILGFLADPSLDIEATKRHGAVQCLLNLKVLETMEAIAVSYSLPLSDGKILKVENARSMIRWDKESSKFLTQKMDEAGGQKNLIEFATIFSEVIARGVLWDKEDQIKALSELIRLAFVLNFDEQAVQFLMKSNNLQTFLEDEEFLAAAFPSV >Potri.012G103700.5.v4.1 pep chromosome:Pop_tri_v4:12:12589993:12593006:-1 gene:Potri.012G103700.v4.1 transcript:Potri.012G103700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103700.v4.1 MNGSPGYKPPSQSFLLGSVNRSSDWGNILQNGSVLVDIPLIDQGFYGYKINEYREELMTVGVMFEYGEACEFIGNRLMSLAASSTLTKSNVISILKFIRFLTLNLLPPDKFILRIKEGRWLKTGGGYRSPVGSVLYDQEWTIARQISDIPFIDQDYYGKDILVFKSELQLLGVAIGFSGSYQLVADYLKSPLWLSYLTMEAFLLVLDCMRHSSSAGKLVIALKSTKCLNTTLGYRYPDDCFLFHPEWGCLLNVFGGFPLVDSNFYGSNIISYKKELKDLGVRVDFEDAVEVFVDTFRKQASSMTKESVFSFISCYRKLKGTPHKFPSDLKKCIREENWLRTRLGDYKSPSNCILFSPEWKSIYPITRLPFIDDSDKYYGNDIHEYQKELKSMGVIVEFKAGVKFVAAGLRFPQNPCHIARVNVLSLLECIRALLQEKDYSFPEIFLKNISQGWLKTHAGFRSPGNCCLFNSQWSSYVKPTDGPFIDEDFYGSNIKLYGKELSAIGVHLEVEKACSLLASHLDSHSEFCTIVRVYDFLRQHEWKPDGDATRKIWIPDGLENGMWVNPEECVLHDKDGLFGLQLNVLEKHYEPELLLFFSSSFKVRSNPSFDDYCKLWKVWESLGRPLTHAECCAFWKCVMTHMSSKTERTLADDLVKLPVILGSGEIVLFRKADVFIADDLLLKDLFERFSSRPIFVWCPQPNLPSLPRTRLLDVYRKIGVRTISESVQKEELSLADGVEFSQMNPRNAMIGKELVRLILGFLADPSLDIEATKRHGAVQCLLNLKVLETMEAIAVSYSLPLSDGKILKVENARSMIRWDKESSKFLTQKMDEAGGQKNLIEFATIFSEVIARGVLWDKEDQIKALSELIRLAFVLNFDEQAVQFLMKSNNLQTFLEDEEFLAAAFPSV >Potri.012G103700.4.v4.1 pep chromosome:Pop_tri_v4:12:12589999:12593653:-1 gene:Potri.012G103700.v4.1 transcript:Potri.012G103700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103700.v4.1 MPRSTQEAIRSSSSKNEVLEWLGDPVKVTALSVNDYAVLCGNQVSSDRKLVIAYAHFLYHSFSNNYLSGREVAPLCDKMPLVDSYGHVIKARNGVLVPAPESKWVQLIGYNPWRGESYVELGEDYLHPGYFAGTSTEGKKLLEFLKAFVKASDIPHIPPPIAGIPTASTPLTKQNAFLLLDWIRELKRSGISIPATFMNCIKEGSWLKITMNGSPGYKPPSQSFLLGSVNRSSDWGNILQNGSVLVDIPLIDQGFYGYKINEYREELMTVGVMFEYGEACEFIGNRLMSLAASSTLTKSNVISILKFIRFLTLNLLPPDKFILRIKEGRWLKTGGGYRSPVGSVLYDQEWTIARQISDIPFIDQDYYGKDILVFKSELQLLGVAIGFSGSYQLVADYLKSPLWLSYLTMEAFLLVLDCMRHSSSAGKLVIALKSTKCLNTTLGYRYPDDCFLFHPEWGCLLNVFGGFPLVDSNFYGSNIISYKKELKDLGVRVDFEDAVEVFVDTFRKQASSMTKESVFSFISCYRKLKGTPHKFPSDLKKCIREENWLRTRLGDYKSPSNCILFSPEWKSIYPITRLPFIDDSDKYYGNDIHEYQKELKSMGVIVEFKAGVKFVAAGLRFPQNPCHIARVNVLSLLECIRALLQEKDYSFPEIFLKNISQGWLKTHAGFRSPGNCCLFNSQWSSYVKPTDGPFIDEDFYGSNIKLYGKELSAIGVHLEVEKACSLLASHLDSHSEFCTIVRVYDFLRQHEWKPDGDATRKIWIPDGLENGMWVNPEECVLHDKDGLFGLQLNVLEKHYEPELLLFFSSSFKVRSNPSFDDYCKLWKVWESLGRPLTHAECCAFWKCVMTHMSSKTERTLADDLVKLPVILGSGEIVLFRKADVFIADDLLLKDLFERFSSRPIFVWCPQPNLPSLPRTRLLDVYRKIGVRTISESVQKEELSLADGVEFSQMNPRNAMIGKELVRLILGFLADPSLDIEATKRHGAVQCLLNLKVLETMEAIAVSYSLPLSDGKILKVENARSMIRWDKESSKFLTQKMDEAGGQKNLIEFATIFSEVIARGVLWDKEDQIKALSELIRLAFVLNFDEQAVQFLMKSNNLQTFLEDEEFLAAAFPSV >Potri.012G103700.6.v4.1 pep chromosome:Pop_tri_v4:12:12589992:12592904:-1 gene:Potri.012G103700.v4.1 transcript:Potri.012G103700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103700.v4.1 MTVGVMFEYGEACEFIGNRLMSLAASSTLTKSNVISILKFIRFLTLNLLPPDKFILRIKEGRWLKTGGGYRSPVGSVLYDQEWTIARQISDIPFIDQDYYGKDILVFKSELQLLGVAIGFSGSYQLVADYLKSPLWLSYLTMEAFLLVLDCMRHSSSAGKLVIALKSTKCLNTTLGYRYPDDCFLFHPEWGCLLNVFGGFPLVDSNFYGSNIISYKKELKDLGVRVDFEDAVEVFVDTFRKQASSMTKESVFSFISCYRKLKGTPHKFPSDLKKCIREENWLRTRLGDYKSPSNCILFSPEWKSIYPITRLPFIDDSDKYYGNDIHEYQKELKSMGVIVEFKAGVKFVAAGLRFPQNPCHIARVNVLSLLECIRALLQEKDYSFPEIFLKNISQGWLKTHAGFRSPGNCCLFNSQWSSYVKPTDGPFIDEDFYGSNIKLYGKELSAIGVHLEVEKACSLLASHLDSHSEFCTIVRVYDFLRQHEWKPDGDATRKIWIPDGLENGMWVNPEECVLHDKDGLFGLQLNVLEKHYEPELLLFFSSSFKVRSNPSFDDYCKLWKVWESLGRPLTHAECCAFWKCVMTHMSSKTERTLADDLVKLPVILGSGEIVLFRKADVFIADDLLLKDLFERFSSRPIFVWCPQPNLPSLPRTRLLDVYRKIGVRTISESVQKEELSLADGVEFSQMNPRNAMIGKELVRLILGFLADPSLDIEATKRHGAVQCLLNLKVLETMEAIAVSYSLPLSDGKILKVENARSMIRWDKESSKFLTQKMDEAGGQKNLIEFATIFSEVIARGVLWDKEDQIKALSELIRLAFVLNFDEQAVQFLMKSNNLQTFLEDEEFLAAAFPSV >Potri.004G052900.1.v4.1 pep chromosome:Pop_tri_v4:4:4304880:4307558:-1 gene:Potri.004G052900.v4.1 transcript:Potri.004G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052900.v4.1 MCSYNSISSDGDGHHGSNSGGNGVDNTCSKKVKKQKTPKRGPGVAELEKILRDQEKNDTSFDETKNVEGFSVVSQLSSSYRRQSPVLTSHKSHPKNLPFAPDPNLFSPQSTTFFGNCSKNTSLQVCRGTGNGGSDIVLHEHGFLPTMWNSCQPRADIGGPRLASGNPFSMRSTNGPDQLFPSSSMAQGSQYSPTPMINFFPESVASSSSTTPSSVVMYQGIEPPSNQTSHHHNNSHLWPDEDKMVGSKRSFPFSMEAPPIPHHYRVPAFSLQFSRQDLSLTRASHGITNPEQIEAVSRDENIGRKRHTDYGVAKGNLLLFGSPAIPSPSTHVSQQEQSKSKHPLPFRESTEDSQHRPSQGSGTICNKRPSFSFLPPVEQKSKVETNFSLNNDDRIEKRGDGIDLDLRL >Potri.019G060126.1.v4.1 pep chromosome:Pop_tri_v4:19:9959677:9962564:-1 gene:Potri.019G060126.v4.1 transcript:Potri.019G060126.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060126.v4.1 MVFPCGHVTCCKCFFAMTERKMHDNRFQRKWVMCPTCRQHTDFGNIAYADDKRDKSCSSAMLDAIQGCEKTEASLAVQGSYGTKVEAITRRILWIKSSDPKAKVLVFSSWNDVLDVLEHAFNANEVTYIRMKGGRKSHVAISEFRAQNGSPKRTDRQQQETKSVQVLLLLIQHGANGLNLLEAQHVVLVEPLLNPAAEAQAVSRVHRIGQEKRTLVHRFIVKDTVEESIYELNRCRSTSSFISGNTKNQDQTLLTLKDVESLFATVPSTVPESDGKPTENLRHLPPSVAAALAAERRLKENTAGISV >Potri.014G124500.1.v4.1 pep chromosome:Pop_tri_v4:14:8364359:8365713:1 gene:Potri.014G124500.v4.1 transcript:Potri.014G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124500.v4.1 MIQSRLAATATRSYRSLSLAYNLARVRGFATEPTGRPADPEVYADREHEIQHAVPTGKPEEMAAKYEPETGKRQTEAEYRLSKDTEPLAPPKPPHVPTKKLENAGVHNPAEPIVQQRRKNSTLTLEAVSCAGLDGAPWPKDERSTKEQVEDDKEYFRRRKASPLSETQVADTRKPITRATDGTACEEIYKEPGDVIGWLPEQLDTAEQALERARRIWMENAMRGDPDTPHGRVLRELRGEWF >Potri.019G118300.1.v4.1 pep chromosome:Pop_tri_v4:19:14436804:14440014:1 gene:Potri.019G118300.v4.1 transcript:Potri.019G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118300.v4.1 MQTLLTIFLFTSLFTHSLSSFLSEPVSGQNQPLKPGDYSNPNTVPAFPVQTESQICHLDLSAELFGGVNDACGRDLDRSRCCPVLAAWLFAAHARSALQVQAPAPTSAPDLPMMPDDSQKCVNSLQSALLSKNVKLAQPNASCDAILCFCGIRLHQISSLSCPAAFNVSSAFHNATPTAAVKNLEKNCKNSTYSGCTKCLGALQKLKAKNETQDKSTRDERASKMFERDCQLMGLTWLLARNKTQYIPTVSAVLRAIMYSTHPPVHESKCSPDQENMPLAVDSLQFGKAQSSSSSRLTSSSSLWSGLRFHVLPLMILVSLFG >Potri.001G257700.3.v4.1 pep chromosome:Pop_tri_v4:1:27346462:27346845:-1 gene:Potri.001G257700.v4.1 transcript:Potri.001G257700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257700.v4.1 MAPNGRKRTRAKTTRRTQYKKRVMKPKSIKEASIIEDLPSNSSTTTTSCNGCSKIDGLDFEGVDISASACSTPKAERFRIPEIQTCPPAPRKERIISNCSLQRRPIAFFAPPDLELFFFYAIHDVSV >Potri.017G002950.1.v4.1 pep chromosome:Pop_tri_v4:17:165751:168243:-1 gene:Potri.017G002950.v4.1 transcript:Potri.017G002950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002950.v4.1 METDGGAGNVVRNKKLILKDYIKGFPKESDLHLTTESIELKVPQGSNAVLVKVLYLSIDPYQYIRSTKIEKPGYFSSYSPGSVMASYGVGRVFESGHSDFQKGDLVWGTTGWEEYSLITEPETLFKIQHSDVPLSYYLGVLGMPGLTAYVGFYEFCAPKKGETVFISSAFGAIGQLVGQLAKLMGCYVVGSAGSQEKVDLLKNKLGFDEAFNYKEEKNLDDTLKRHFPEGIDICFDNVGGKMLDAVLLNMKLNGRIAHCGMISQYTLDEPEGIKNMMNIIYKRLRLEGFVVTDYYHLFPKFLDFMLPCIREGKIVYVEDVSEALESCPAALVGLFNSSNLGKKVVIVATE >Potri.010G146500.1.v4.1 pep chromosome:Pop_tri_v4:10:15760119:15761694:1 gene:Potri.010G146500.v4.1 transcript:Potri.010G146500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146500.v4.1 MALPLPSLEPQQQQQQTTTNREIQEIDIFHRFDVVSDSSDHHYLHINNNNEKNSSSKKTKGEGDSFTDISSGASKKIMQEWKILEKHLPDSIYVRVYENRIDLLRAVIIGAAGTPYHDGLYFFDIAFPPDYPVQPPLVYYRSFGLRINPNLYANGRVCLSLLNTWPGKKKEKWNSSESTVLQVLVSLQALVLNEKPYYNEPGSGVLPGRAIWEKKSNAYSENVFFLSCKTMLFLLRRPPKNFEGFVASHFREKASVILSACNAYINGQVRVGLYRNDGSCSSCKSSSPVHVSEKFKGLMGQLYPQLVSAFKRNGASLGDFLEQLKQPVEKKTLSFKWKTVKMFFGKLKKVLGLKKENSSGKSGVEGKGS >Potri.005G023400.1.v4.1 pep chromosome:Pop_tri_v4:5:1490545:1492491:1 gene:Potri.005G023400.v4.1 transcript:Potri.005G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023400.v4.1 MTGVRAVVGWYLCLFRPDRVKAYVCLCVPYRPRNPKMKPVETMKLAFGEDYYVCRFQEPGVIEADIARAGTAEVLMKTLTDRNPGPPCLPQENPFGIYPENPVTLPSWLTEADLAFYATKYSQKGFTGGLNYYRALDLNWELTAPWTGTLVEVPVKFVVGDLDMVYTTPGAKEFVNNGGFKHHAIVGGSCCDGRSRSFH >Potri.015G125400.1.v4.1 pep chromosome:Pop_tri_v4:15:13790923:13796349:-1 gene:Potri.015G125400.v4.1 transcript:Potri.015G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125400.v4.1 MVVLAASIVSKNGKVLVSRQFVDMSRIRIEGLLAAFPKLIGSGKQHTYVETENVRYVYQPIEAMYLLLVTNKQSNILEDLDTLRLLSKLVPEYTMSLDEEGICQTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLVLQNKIDETKRRMKEEASKIDQMKIEKNRGNKGGFMSSMGSGRIESSFNDMSISSGGGGGFGSGSGFGLTSDVDSFSSKPKVRQPSSATAPPKGLGMKLGKTQRTNQFLESLKAEGEMIVEDVQPSKSTQYTSAAQKLTDPVTLTAEEKLNVTLKRDGGMSHFDVQGHLSLQILNQEDGLIQVQIETGGNPGVIFKTHPNVNKELFANENILGLRDPSRPFPACQTGDAGVGLLKWRMQSVDESMVPLTINCWPSESGNETYVSIEYEASSMFDLRNVVIAVPLPALREAPNVRQIDGEWKYDARNSILEWSILLIDNSNRSGSMEFVVPSGDSSAFFPITVQFSATSTYSELKVANILPLKGGAPPKFSQRTQLITENYQVV >Potri.014G076000.2.v4.1 pep chromosome:Pop_tri_v4:14:4920179:4921693:-1 gene:Potri.014G076000.v4.1 transcript:Potri.014G076000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076000.v4.1 MTSSTVPFPSAPKGYGFHPTDEELINHFLKLKMMGGYDHEVAIIAEVNVCNSEPWELPGLSEIQSNDTVWYFFSPRNYKYSNRKQAKRTTKAGYWKLTGNDRIIRVLSTGEEIAKKKSLVFYKGRVPNIVRTNWIMHEYNPTFNFHNQKDFVLCKLKKNSDDKLTCEEGGSSSNMASDFPNNVTEEDHLEAFLGVDGGYYN >Potri.006G087701.1.v4.1 pep chromosome:Pop_tri_v4:6:6618318:6619003:-1 gene:Potri.006G087701.v4.1 transcript:Potri.006G087701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087701.v4.1 MATLTPIQTSLSRFSPQRWPVSGPGFSAAMPAGSKFLSWNISQKKNKNKRSLTVVAAVGDVSADGTTYLIAGAAAVALLGTAFPILFSRKDLCPECDGAGFIRKSGATLRANAARKDQAQIVCPRCNGLGKLNQIDK >Potri.001G219700.7.v4.1 pep chromosome:Pop_tri_v4:1:22939379:22949247:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.001G219700.10.v4.1 pep chromosome:Pop_tri_v4:1:22939478:22948591:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.001G219700.13.v4.1 pep chromosome:Pop_tri_v4:1:22939407:22948521:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.001G219700.8.v4.1 pep chromosome:Pop_tri_v4:1:22939386:22948592:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.001G219700.12.v4.1 pep chromosome:Pop_tri_v4:1:22939376:22948551:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.001G219700.6.v4.1 pep chromosome:Pop_tri_v4:1:22939380:22949296:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.001G219700.5.v4.1 pep chromosome:Pop_tri_v4:1:22939350:22949296:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.001G219700.11.v4.1 pep chromosome:Pop_tri_v4:1:22939510:22948591:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.001G219700.9.v4.1 pep chromosome:Pop_tri_v4:1:22941073:22948592:1 gene:Potri.001G219700.v4.1 transcript:Potri.001G219700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219700.v4.1 MESADEQNGNGSQEALPPPPPDVPPNVVPVKAEPEPVKKKPLRVPIARRGLGSKGQKMPLLTNHFKVNVTNTEGYFFHYCVSLAYEDGRPVDGKGVGRKVIDRVHETYDTEFGKDFAYDGEKSLFTVGPLPRNKLEFTVVLEDVVSNRNNGNASPDGHGSPNEGDRKRLRRPYHSKTFKVEISFAAKIPMQAIANALRGQESENSQEAFRVLDIILRQHAAKQGCLLVRQSFFHNDPKNFVDLGGGVLGCRGFHSSFRTSQGGLSLNIDVSTTMIIQPGPVVDFLIANQNVRDPFSLDWAKAKRMLKNLRVKASPSNQEYKITGLSEKTCKEQMFQLKQKNGGDGGIEAVEITVYDYFVNHRKIDLRYSGDLPCINVGKPKRPTYIPLELCSLVSLQRYTKALSTLQRSSLVEKSRQKPQERMTVLSSALKSSKYDAEPMLRSCGISINPSFTQVEGRVLPAPKLKVGNGEDFFPRNGRWNFNNKKLVEPSRIEKWAVVNFSARCDIRNLVQNLTKCAEMKGIPIEDPFDVFEENPQSRRAPPVVRVEKMFEQIQSRLPGQPKFLLCLLPERKNSDIYGPWKRKNLAEYGIVTQCIAPQRVNDQYITNVLLKINAKLGGLNSMLAVEHAPSLPLVSKVPTLILGMDVSHGSPGQSDVPSIAAVVSSRQWPLISRYRACVRTQSPKLEMIDSLFKRVSETEDEGIIRELLLDFYVTSGKRKPDQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDEKWSPTFVVIVAQKNHHTKFFQPGSPDNVPPGTIIDNKVCHPRNNDFYLCAHAGMIGTTRPTHYHVLLDEVGFSADDLQELVHSLSYVYQRSTTAISVVAPICYAHLAATQMGQFMKFEDTSETSSSHGGVTSAGAVPVPQLPRLQEKVCNSMFFC >Potri.018G054800.1.v4.1 pep chromosome:Pop_tri_v4:18:5439843:5442463:1 gene:Potri.018G054800.v4.1 transcript:Potri.018G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054800.v4.1 MLGIGGSAMAKVVVVFDFDKTIIDCDSDNWVVEKLDVHDLFAQLLPSLPWNRLMDKMMMELHSRGKTIQDIAECLKKVPLNPRMISAIKSAYASGCDLRIVSDSNYFFIETVLKHNGLMDCFSEINTNPSYVDGEGRLRILQYHDVNKFPSHGCTSCPPNMCKGLVMKRIQASVSAEGEKQFIYVGDGKNDFCPALTLKETDALMPRKNFPLWELICNNKMLVEANIQEWSDWEELESKLHNLTNTIFIEEKCSVRADQLVPVDCKFQTSSISAPDAVNRNVLPVPQ >Potri.016G099200.1.v4.1 pep chromosome:Pop_tri_v4:16:10013528:10015567:1 gene:Potri.016G099200.v4.1 transcript:Potri.016G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G099200.v4.1 MGRAPCCDKANVKRGPWSPEEDAKLKEYMAKHGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDDEDRIICSLYANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMGSINPDALRKPQQAAHFSSLLHATSLPSSPSTPLSPSPSFTCSNNSYNYTLARSFTEPISFSSSPLSNNSFTTASMLQPQETFVGSMQNYQVKDNLIMFGGEASCSSSDGSCSNQMSHVKEEYEHGDGANNNTGQVGLQNYLHNRVEDDQKLMVSSGFAGHDVLNGWTGKQIGLWEENPLDYGLEEIKQLISTSSCNNFLFDENKTAEKAMYY >Potri.006G137100.1.v4.1 pep chromosome:Pop_tri_v4:6:11338728:11341119:-1 gene:Potri.006G137100.v4.1 transcript:Potri.006G137100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137100.v4.1 MKRSFSSFALLLITLQAIQTIPTAISTTKLVPADISKVKAWVAKNINDFNDRKSNDSKGIPRIVLDELLASAEDRLRLIRVAKDGFADFTTISDALETIPKDNKRRTIIQIGGGEYWEKITIKCNKPFITFYGDPMDIPRIVFNGTASQYGTIYSATVAIESDYFMAVNVAFVNSAPLPNVNRTGGQAVSMRISGDKAAFHNCKFIGFQDTLCDDRGRHFFKDCYVRGTVDFIFGNGKSLYLNTTIDSVAEGTGVITAQAREHVTEDSGFTFIHCNLTGLGNNTYLGRAWKQRPRVVFAYTYMGHLINDEGWSTWKFPEREGTVYYGEYKCAGPGSSSFGRVPYTKSLSKAEAKPFLSMTYINGNKWLIPPPKFP >Potri.012G119200.1.v4.1 pep chromosome:Pop_tri_v4:12:13737157:13739740:-1 gene:Potri.012G119200.v4.1 transcript:Potri.012G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119200.v4.1 MAVEAPHTNLNFPSHLLTNRDFAKVNQANMSLYNTQMDSGLVFNEPMPETLLSFYQSSLGCDPVSAKASNKDDSGLTYNVPAVVAPRKRSRDSINDNFDAFHASQKTKVCPFSSFIDQDIIFQIQQQQSEIDRFIAEHNQKVRMELEDRRKRQSRMLVSAIQGGMVRKLIEKDEEIQRMGKLNWVLQEKVKSLYVETQIWRDLAQANEATANSLRSNLEQVLAHVSEDRYINGGGATVADDAESSCGSSDHGRCPIAGGEEGAVKDKLVVVKDNNSSKNINHNRMCKKCGERESSVLLLPCRHLCLCTLCGSNLIGTCPVCDSVMDASVHVNMA >Potri.017G145400.2.v4.1 pep chromosome:Pop_tri_v4:17:14556334:14556717:1 gene:Potri.017G145400.v4.1 transcript:Potri.017G145400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145400.v4.1 MEWKANSLGDHRSYLSGHFLRFLIISSHSIKFWLYISLSSLFMTNTMLLRVNSIFCHSPIFLEEILHYGSQSGMCSSSLSILYHIVIKSGHIDISSFTALLGIVYLFILDSVSLSTAFSIEFVLVIL >Potri.011G096702.1.v4.1 pep chromosome:Pop_tri_v4:11:12482917:12483667:1 gene:Potri.011G096702.v4.1 transcript:Potri.011G096702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096702.v4.1 MLCFSLLPIPRHLPLPPFSLTGTLQFSPKIFVGFFRFRILQFLLHRELYDVVEVVMGLGGKPLARFPCIWGLCFIGLSHHPLGSPACYFTGIHRGS >Potri.007G043400.1.v4.1 pep chromosome:Pop_tri_v4:7:3720536:3721418:-1 gene:Potri.007G043400.v4.1 transcript:Potri.007G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043400.v4.1 MDASSLNNLVQRRVDEHNAIVSALKHVISGGIDHGSTTGPIEYQNQQQILAADDGNVGGGSSIIYVSDSETCPVCKLSTSQCLGCVYFSSSTTDQEGGGGGGNASKKKGKGKGRKNKYRGVRQRPWGKWAAEIRDPGQGSRLWLGTFDNAEDAARAYDKKNIEFRGIRAITNFPRSDYQVQEMEQDKPNTTGEAKNAVGETSQVGDI >Potri.014G056300.2.v4.1 pep chromosome:Pop_tri_v4:14:3586807:3588449:-1 gene:Potri.014G056300.v4.1 transcript:Potri.014G056300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G056300.v4.1 MLLILDTNLSPRSSLALVYISLLCDASSIKFSLYQTIFFLNLLKMSKTIGNGASKHYATLTRRAPTPGKATILATGKAFPSQLVPQECLVEGYMRDTKCDDASIKEKLERLCKTTTVKTRYTVMSKEILEKYPELATEGSPTIKQRLEIANPAVVEMALKASIACINEWGGSVKDITHVVYVSSSEIRLPGGDLYLASQLGLRNDVGRVMLYFLGCYGGVTGLRVAKDIAENNPGSRILLTTSETTILGFRPPNKARPYDLVGAALFGDGAAAVIIGADPVIGKESPFMELSYAVQQFLPGTQNVIDGRLSEEGINFKLGRDLPQKIEDNIEEFCRKLMSKAGLTEFNDLFWAVHPGGPAILNRLESNLKLNTEKLECSRRALINYGNVSSNTIVYVLEYMKEELKREGGEEWGLALAFGPGITFEGILLRSL >Potri.008G068300.1.v4.1 pep chromosome:Pop_tri_v4:8:4135752:4140715:1 gene:Potri.008G068300.v4.1 transcript:Potri.008G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068300.v4.1 MGSYKKDKHRPSPLPESSNSNKDEMGSYNYKMFNLFNRKFKITEAEPPKDVKQLFSKFSNGGSHMTADQLRRFLVLHQDELDCTLADAQKIVEEVINRRHHLTRYSRHSLNLDDFFHFLLYDDLNGPITSQVHNDMTAPLAQYFIYTGHNSYLTGNQLSSDCSEVPIVKALQRGVRVIELDLWPGSAKDEILVLHGRTLTTPVPLIKCLKSIRDYAFASSPYPVIITLEDHLTPELQAKVAEMVTQTFGGMLYYPESDSLLQFPSPESLKHRIIISTKPPKEYLESSGIKRKGPLSPGGRNSSEEDDEASGIPCHTAELEADDRSDSDQDDVDLTDCDNKSGQLGAPAYKRLITIHAGKPKGCLKDALKVAADKVRRLSLSEQELEKAAATNGTDVVRFTQNNILRIYPKGTRITSSNYKPLVGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYLKKPDFLLEKGPNNEVFDPKTKLPVTKTLNVKVYLGDGWRLDFSHTHFDSYSPPDFYTKVYIVGVPADAAKRKTKIIEDNWSPAWNEEFTFPLTVPELALLRVEVQEYDMSDKDDFGGQTCLPVLDLRPGIRSVPLHDKKGEKLKNVRLLMGFQFV >Potri.008G068300.2.v4.1 pep chromosome:Pop_tri_v4:8:4135752:4140715:1 gene:Potri.008G068300.v4.1 transcript:Potri.008G068300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068300.v4.1 MGSYKKDKHRPSPLPESSNSNKDEMGSYNYKMFNLFNRKFKITEAEPPKDVKQLFSKFSNGGSHMTADQLRRFLVLHQDELDCTLADAQKIVEEVINRRHHLTRYSRHSLNLDDFFHFLLYDDLNGPITSQVHNDMTAPLAQYFIYTGHNSYLTGNQLSSDCSEVPIVKALQRGVRVIELDLWPGSAKDEILVLHGRTLTTPVPLIKCLKSIRDYAFASSPYPVIITLEDHLTPELQAKVAEFPSPESLKHRIIISTKPPKEYLESSGIKRKGPLSPGGRNSSEEDDEASGIPCHTAELEADDRSDSDQDDVDLTDCDNKSGQLGAPAYKRLITIHAGKPKGCLKDALKVAADKVRRLSLSEQELEKAAATNGTDVVRFTQNNILRIYPKGTRITSSNYKPLVGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYLKKPDFLLEKGPNNEVFDPKTKLPVTKTLNVKVYLGDGWRLDFSHTHFDSYSPPDFYTKVYIVGVPADAAKRKTKIIEDNWSPAWNEEFTFPLTVPELALLRVEVQEYDMSDKDDFGGQTCLPVLDLRPGIRSVPLHDKKGEKLKNVRLLMGFQFV >Potri.008G068300.3.v4.1 pep chromosome:Pop_tri_v4:8:4135752:4140715:1 gene:Potri.008G068300.v4.1 transcript:Potri.008G068300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068300.v4.1 MGSYKKDKHRPSPLPESSNSNKDEMGSYNYKMFNLFNRKFKITEAEPPKDVKQLFSKFSNGGSHMTADQLRRFLVLHQDELDCTLADAQKIVEEVINRRHHLTRYSRHSLNLDDFFHFLLYDDLNGPITSQVHNDMTAPLAQYFIYTGHNSYLTGNQLSSDCSEVPIVKALQRGVRVIELDLWPGSAKDEILVLHGRTLTTPVPLIKCLKSIRDYAFASSPYPVIITLEDHLTPELQAKVAEMVTQTFGGMLYYPESDSLLQFPSPESLKHRIIISTKPPKEYLESSGIKRKGPLSPGGRNSSEEDDEASGIPCHTAELEADDRSDSDQDDVDLTDCDNKSGQLGAPAYKRLITIHAGKPKGCLKDALKVAADKVRRLSLSEQELEKAAATNGTDVVRFTQNNILRIYPKGTRITSSNYKPLVGWMHGAQMIAFNMQVYIVGVPADAAKRKTKIIEDNWSPAWNEEFTFPLTVPELALLRVEVQEYDMSDKDDFGGQTCLPVLDLRPGIRSVPLHDKKGEKLKNVRLLMGFQFV >Potri.008G224255.1.v4.1 pep chromosome:Pop_tri_v4:8:19024944:19026100:-1 gene:Potri.008G224255.v4.1 transcript:Potri.008G224255.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224255.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGREGSRRDKRQAGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRHVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSPSSAVRTSHVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQRAPGPVRGHPPIEQ >Potri.002G014700.1.v4.1 pep chromosome:Pop_tri_v4:2:903473:904781:-1 gene:Potri.002G014700.v4.1 transcript:Potri.002G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014700.v4.1 MASPSTLTRSEQENLLEKLGVFKIQGRDKHGRKVLLIIGKLFPARAVSSEVLKKYLEEKIYPKLEEKAFSVVYVHTDVQRSENFPGISTLRSIYDDIPMNVKSHLESVYFLHPGLQARLFLATFGRFLFSGGLYSKLKYVTRMEFLWDHVRRNEIGIPEFAYDHDEELEYRPMMDYGLESDHPRVYGGPSMDNNPLSLYSMRCIA >Potri.011G140300.1.v4.1 pep chromosome:Pop_tri_v4:11:17110682:17111015:1 gene:Potri.011G140300.v4.1 transcript:Potri.011G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140300.v4.1 MALNSQRWGYVRIITGTILGGVLGFYVMHRVEVSYKEKMKERLREYEKELKKKEELKQLEESM >Potri.009G158600.4.v4.1 pep chromosome:Pop_tri_v4:9:12307041:12314355:-1 gene:Potri.009G158600.v4.1 transcript:Potri.009G158600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158600.v4.1 MAEVINMPVDSLDHRGGNGGGGGRDRKDKPPTDDPQSSPPPPPPTQRRRERDSRERREDFDRPPNRRGGDYYDRNRSPPPPQREREREYKRRSSVSPPPPVPYRDRRHSPPQRRSPPYKRSRREDGGYDARRGSPRGGFGGGDRRFGYDYGGGYDREMGGRPGYGEERPHGRYMGRGGGYQGGPPDWELGRGGYGNTSNVVPAQREGLMSYKQFIQELEDDILPSEAERRYQEYKSEYISTQKRVFFEAHKDEEWLKDKYHPTNLVAVIERRNELARKVAKDFLLDLQSGTLDLGPGVNAISSNKSGQASDPNSDDDAETGGKRRRHGRPPAKDTDLSAAPKAHPVSSEPKRIQVDVEQAQTLVRKLDSEKGVEENILSGSENDKMSREKSHGSSTGPVIIIRGLTSVKGLEGVELLDTLITYLWRVHGLDYYGMIETNEAKGLRHVRAEGKSSDTSNSGTEWEKKLDSHWQERLRGQDPLEKMTAKEKIDATAVEGLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHLELVMELTAKVREELYYQNYMNDSDAPGGTPVMQQSMPKDKPQRRRLGPLKDERGNRRERDNRANGNERFDRSENPQSGDFQSNDGPDGGNRDEAMFDTFGGQGIHVPSPFPSDIAPPPVLMPVPGAGPLGPFVPAPPEVAMRMFRDQGGPPPFEGGGRNARPGPQLGGPAPILLSPAFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >Potri.009G158600.1.v4.1 pep chromosome:Pop_tri_v4:9:12306991:12314373:-1 gene:Potri.009G158600.v4.1 transcript:Potri.009G158600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158600.v4.1 MAEVINMPVDSLDHRGGNGGGGGRDRKDKPPTDDPQSSPPPPPPTQRRRERDSRERREDFDRPPNRRGGDYYDRNRSPPPPQREREREYKRRSSVSPPPPVPYRDRRHSPPQRRSPPYKRSRREDGGYDARRGSPRGGFGGGDRRFGYDYGGGYDREMGGRPGYGEERPHGRYMGRGGGYQGGPPDWELGRGGYGNTSNVVPAQREGLMSYKQFIQELEDDILPSEAERRYQEYKSEYISTQKRVFFEAHKDEEWLKDKYHPTNLVAVIERRNELARKVAKDFLLDLQSGTLDLGPGVNAISSNKSGQASDPNSDDDAETGGKRRRHGRPPAKDTDLSAAPKAHPVSSEPKRIQVDVEQAQTLVRKLDSEKGVEENILSGSENDKMSREKSHGSSTGPVIIIRGLTSVKGLEGVELLDTLITYLWRVHGLDYYGMIETNEAKGLRHVRAEGKSSDTSNSGTEWEKKLDSHWQERLRGQDPLEKMTAKEKIDATAVEGLDPYVRKIRDEKYGWKYGCGAKGCTKLFHAAEFVHKHLKLKHLELVMELTAKVREELYYQNYMNDSDAPGGTPVMQQSMPKDKPQRRRLGPLKDERGNRRERDNRANGNERFDRSENPQSGDFQSNDGPDGGNRDEAMFDTFGGQGIHVPSPFPSDIAPPPVLMPVPGAGPLGPFVPAPPEVAMRMFRDQGGPPPFEGGGRNARPGPQLGGPAPILLSPAFRQDPRRIRSYQDLDAPEDEVTVIDYRSL >Potri.001G078300.2.v4.1 pep chromosome:Pop_tri_v4:1:5893000:5894397:-1 gene:Potri.001G078300.v4.1 transcript:Potri.001G078300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078300.v4.1 MDSHGLPTLSSKPKINIRKKRKSDGEHAKGFKKMKEVLKEDLRNLDKVTAQLSNIVENQENLMNNLLNQLLGSDELELLKEESAISCPGGPIWDVCMSETKDPVDIISLLKYRSKMLDRKIQILYEMMFK >Potri.001G078300.5.v4.1 pep chromosome:Pop_tri_v4:1:5893021:5894152:-1 gene:Potri.001G078300.v4.1 transcript:Potri.001G078300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078300.v4.1 MNIVHLLLTPYFNFSLYLLGKKRKSDGEHAKGFKKMKEVLKEDLRNLDKVTAQLSNIVENQENLMNNLLNQLLGSDELELLKEESAISCPGGPIWDVCMSETKDPVDIISLLKYRSKMLDRKIQILYEMMFK >Potri.007G020400.1.v4.1 pep chromosome:Pop_tri_v4:7:1551004:1553945:-1 gene:Potri.007G020400.v4.1 transcript:Potri.007G020400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020400.v4.1 MDPDAVKSTLSNLAFGNVIAAAARDYKKEILAQEKLKSSSSFNEEVDLDELMDDPELEKLHADRIAALKKEAEKREALQRKGHGEYREISEGDFLGEVTGTEKVICHFYHKEFYRCKIMDKHLKSLAPRHVDTKFIKLDAENAPFFVAKLGVKTLPCVMLFSKGIAIDRLVGFQDIGGKDDFATRTLEIILIKKGIISEKKDNEDDEDDDYHENSQRTVRSSTNPDSDSD >Potri.003G099800.1.v4.1 pep chromosome:Pop_tri_v4:3:12500088:12506965:-1 gene:Potri.003G099800.v4.1 transcript:Potri.003G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099800.v4.1 MATLQDIGVSAFINILGAFAFLLAFALLRIQPINDRVYFPKWYISGGRSSPRRAGNFVGKLVNLNIKTYLTFLNWMPQALKMSEAEIINHAGLDSAVFLRIYTLGLKIFVPITILALIILIPVNVSSGTLFFLRKELVMSDIDKLSISNVRPRSIRFFIHIALQYAFTTWTCFMLYKEYDHVASMRLRFLASQRRHAEQFTVVVRNVPHVSGRSVLDIVEQFFKKNHPNTYLCQQAVYNASKFAKLVRKRDRLRNWLDYNQLKFERHPDKRPTRKKGFLGIWGERVDSIEYYKQQIKLLEKNMASERQKILKDSKSILPVSFVSFNSRWGAAVCAQTQQSKNPTLWLTNWAPEPRDIYWRNLAIPFVSLTVRKLIISLSVFALVFFYMIPIAFVQSLANLEGLEKVAPFLRPVIELKFIKSFLQGFLPGLALKIFLYILPAVLMIMSKIEGYIAHSTLERRAAAKYYYFMLVNVFLGSIIAGTAFEQLDAFLHQSPTQIPRTIGVSIPMKATFFITYIMVDGWAGIAGEILRLKPLIIFHLKNMFLVKTERDREKAMNPGSVDFPETLPSLQLYFLLGIVYAVVTPILLPFILVFFAFAYLVYRHQIINVYNQQYESAAAFWPHVHSRIIASLLISQLLLLGLLSTKKAANSTPLLVILPILTLSFHKFCKSRFEPAFRRYPLEEAMEKDILDRTTESDINLKAYLADAYLHPIFHSFEEEELVEVEVKVERNKSHTASDPTTEINPPSPPHQVNHPFSPPHYMYHPSSPPQHVYEPSSPSHYAYHYENDIYHPPSPPHYAYHYENEP >Potri.018G001100.3.v4.1 pep chromosome:Pop_tri_v4:18:91211:92190:-1 gene:Potri.018G001100.v4.1 transcript:Potri.018G001100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001100.v4.1 MGLKTYWKVTENQGYLDDLKGSQLKEFFDCMAPTVVNVENKKGGSGSGVIIDEGRHIITCARVLEGDLEPTIITASQKRGTKASISKYDRESDFCVLKYQKNEDVDYAEVYLGDDTVKNIGQEVYIISMSATLPHVFTAAKITGYGTYGTLGFDFGNKSQPMFMVSDISIVPGCSGAPVFDARGRVLGIVTHEHLGYRVVRKFVGNDVV >Potri.010G011200.1.v4.1 pep chromosome:Pop_tri_v4:10:2021112:2022939:1 gene:Potri.010G011200.v4.1 transcript:Potri.010G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011200.v4.1 MAMAILFTWKSSANASLILCIIAASFVSKALGHHGRADRKDPNEKVFNVLRYGAHPGREDNALSFIRAWKAACNYRGKARLLIPKGTFLIGATIFQGPCQGPAPIKVQIAGTLKAVPDPSMYEEDFWILFENIKGLLVTGTGTVDGQGNAVWKYNVGDGGAKFPSSIKFNHVVNGIIRQITSVNPMGFHISIVLSQNIKAKNLRIFAPSDSPNTDGIHISQTNQVYVSNSVIGTGDDCIGIIRGCTDVHIRNVTCGPGHGISIGSLGKYQDEEDVRGITVKNCTLNNTDNGIRIKTYGGSPPSQASGILFQDIVMVRVKNPIIIDQSYGNKESASRVRLSDVRYQNIRGTSTSVVGVNIKCSNTVPCERVSLSNINLNYVGAKQHNHEISSVCTNAKLNYAGFQLPSPCR >Potri.001G324300.1.v4.1 pep chromosome:Pop_tri_v4:1:33322974:33328394:-1 gene:Potri.001G324300.v4.1 transcript:Potri.001G324300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324300.v4.1 MIRRRLLSSLLSSTPLLTPKTTSISTFTAIQTLKDSNFANGASGFMGFRAYSLLSLNDLRDNVPRKQKTRKGRGIGSGKGKTAGRGHKGQKARGTMKFGFEGGQTPMRRRLPKRGFKNPFSLTFQPVGLGKIAKLINAGKIDSHELITMKILKDTAAIGKQIKDGVRLMGRGAEKIQWPIHLEVSRVTVRAKEAVEAAGGSVRRVHYNKLGFRALLKPEWFEKKGRLLPKAARPPPKLKDKVDSIGRLPAPTKPIPFYTEEKEAASAPT >Potri.009G080500.5.v4.1 pep chromosome:Pop_tri_v4:9:7695435:7698266:-1 gene:Potri.009G080500.v4.1 transcript:Potri.009G080500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080500.v4.1 MELTTAKDAFDRVAEKQKLSFSKCQEVIDQVSQEIEQALVKIQSVEDPMSPVDQKSILTELKHKLIAISPLKLLEGSQKELNLNLSKFPKVLEKSFPDISKAYRDVDFDFHIVNQIIASHFYHQGLFDLGDCLINEAGEPEAAALRSHFLELHQILEAMRIKNIEPALKWASTNREKLVQNGSNIELKLHQLQFVEILKRGNRADALNYAKTHLASFASSHLKEFQKLIVCIMWIGRLENCPHSELFTPIHWEKLTEELARDFCNFVGQSLQSPLSVAIVAGIEGLPTLLKLANVMAAKKQEWQALKQLPVPVELGKEFQFHSIFVCPVSRDQGSEENPPMLLPCLHVLCKQSIMKLSKGSSRAFKCPYCPAEASAAQCRQLYF >Potri.009G080500.2.v4.1 pep chromosome:Pop_tri_v4:9:7693946:7698292:-1 gene:Potri.009G080500.v4.1 transcript:Potri.009G080500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080500.v4.1 MELTTAKDAFDRVAEKQKLSFSKCQEVIDQVSQEIEQALVKIQSVEDPMSPVDQKSILTELKHKLIAISPLKLLEGSQKELNLNLSKFPKVLEKSFPDISKAYRDVDFDFHIVNQIIASHFYHQGLFDLGDCLINEAGEPEAAALRSHFLELHQILEAMRIKNIEPALKWASTNREKLVQNGSNIELKLHQLQFVEILKRGNRADALNYAKTHLASFASSHLKEFQKLIVCIMWIGRLENCPHSELFTPIHWEKLTEELARDFCNFVGQSLQSPLSVAIVAGIEGLPTLLKLANVMAAKKQEWQALKQLPVPVELGKEFQFHSIFVCPVSRDQGSEENPPMLLPCLHVLCKQSIMKLSKGSSRAFKCPYCPAEASAAQCRQLYF >Potri.T012900.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:252059:256981:1 gene:Potri.T012900.v4.1 transcript:Potri.T012900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012900.v4.1 MFPNDIQQLIIFYCGEKEFPSGILPKLSHLQVFALEEEIPSAPITVKEKEVGCLRKLETLECHFEGYSDFMEYLKSRDETQSLSTYQIFVGQFEEYEIKSYYRGKTVWLGNLGINKDGDFQVMFPNDIQQLIIFYCDDVSSLINYAIDLKVMDNSYCNNMESLVSSSWFCGATLPSPSYKGIFSYLKKLHCYGCRRTKKLFPLVLLPNLVNLECIRVEECEEMEEIIATSSNEEGYMGEESSSNIEFKLPKLRILKLYELPELKSFCSAKLICDSLQQIGIVKCQKLKRIPIYLPLLENGQPSPPLSLKEIEIYPKEWWESVVEWEQPKAKDILRPFVEFLGADEYELSPI >Potri.T012900.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:249337:257100:1 gene:Potri.T012900.v4.1 transcript:Potri.T012900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012900.v4.1 MKDGSMKCKFCGHLFANYTSISRIKWHLSGERGHGVSICGQVPREVQEAAFLAMHGGSKRHKILASSSNANDYAMSASPEERNNKVDSLAGDPGRIQALDIMGQAIEINWDELHNLLMEDDLENGTGEVAQPGAGSSSFRGVKYNTSETRGDPLPTSSTKLVGRAFEENTNMIWSWLMNDDVSIIGIYGMGGVGKTTMLQHIYNELLRRPDISYHVYWVTVSRDFNINKLQNNISRRIGLNLSNEEDELHRAMELSKELTKKKKWILILDDLWDFFELHRVGIPVSLKGCKLIMTTRSERICQQIGSQHKIKVKPLSKREAWTLFMEKLGHDIAFSPEVERIAIDVARECAGLPLEIITIAGSLSGVDDLHEWRNTLKKLKESRLKDMEDEVYQLLRFSYDRLDDFALQQCLLYCALFPENRVITREELIGHLIDEGIMKGARSRQSAYDEGHTMLNKLENVCLLERFIYDNGVRAVKMHDLIRDMAIQIQQENSQGMVKAGAQIRELPAAEEWTENFTRVSLIENQIEEIPSSHSPRSWTIPIAITWRAWFHLLGSAELHFHLHLIKVYFHILKSCIVMDVEERRSCSLLSCCQTS >Potri.001G299500.1.v4.1 pep chromosome:Pop_tri_v4:1:31047363:31048526:1 gene:Potri.001G299500.v4.1 transcript:Potri.001G299500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299500.v4.1 MFRHVYVLSLLVFLAINAVSAVDYTVTNRASATAGGARFTRDIGVDYSKQTLASATDFIWRTFQQSNAADRKNVQTVNLFIDVMGGVAYATNNEIHVSNDYIGNYSGDVRREITGVLYHEMAHIWQWNGNGQTPGGLIEGIADFVRLKANYAPSHWVQAGQGDRWDQGYDVTAKFLDYCNGLRNGFVAELNKKMKNGYSAQYFVDLLGKTVDQLWKDYKAKYGK >Potri.001G129900.1.v4.1 pep chromosome:Pop_tri_v4:1:10627888:10631819:-1 gene:Potri.001G129900.v4.1 transcript:Potri.001G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129900.v4.1 MMFSGGGEGDGLGRINMMPTAAMLSPTAEISPRGPPQQQPQWGQQETKEFIGIRAELEKDFTVTKRNKTLWEIVSVKMREKGYRRTPEQCKCKWKNLVNRYKGKETSDPETGRQCPFFEELHAVFTERAKNMQRLLLESEAGSTQSRKKMKRTSGDRSSDEFSEEEDEDEDDSEEEKPVRSNSRKRKVEKIIAEKSPRASSSTVGGIQEMLKEFLQQQQKMEMQWREMMERRSHERQMFEQEWRQSMEKLERERLMIEQAWREREEQRRIREESRAERRDALLTTLLNKLIRENNI >Potri.T084300.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:41051:43976:1 gene:Potri.T084300.v4.1 transcript:Potri.T084300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084300.v4.1 MATAIFTLMFLVTMVLLQLMAVAQTNGSMPVGAFITATDDAPSWLSSSGEFAFGFQPLEYKDHFLLSIWYAKIPEKTIVWYANGDNPAPRESKVELRGDSGLVLTDPQGNLIWSSGSLLGTVSSGVMNDTGNFVLQNSNSFRLWESFSNPTDTLLPTQIMEVGGVVSSRRTETNFSLGRFQLRLLDNGNLVLNYMNLPTKFVYDDYYSSETSDASNSSNSGYRLIFNESGYMYILRRNGLIEDLTKTALPTIDFYHRATLNFDGVFTQYFYPKASSGNRSWSSVWSKPDDICVNMGADLGSGACGYNSICNLKADKRPECKCPQGFSLLDQNDKYGSCIPDFELSCRDDGLNSTEDQYDFVELINVDWPTSDYERYKPINEDECRKSCLNDCLCSVAIFRDGCWKKKLPLSNGRFDIGMNGKAFLKFPKGYVPLDRPPPQLPGEKKKPDIKFITGSVVLGTSVFVNFVLVGAFCLTSSFIYRKKTEKVKEGGSGLETNLRYFTYKELAEATNDFKDEVGRGGFGVVYKGTIQAGSTRVVAVKKLDKVVQDGEKEFKTEVQVIGQTHHKNLVRLLGFCDEGQNRLLVYEFLSNGTLANFLFGCSKPNWKQRTQIAFGIARGLLYLHEECGTQIIHCDIKPQNILLDNYYNARISDFGLAKLLVMDQSKTQTAIRGTKGYVAPEWFRNRPITVKVDVYSFGVMLLEIICCRRNVDLEIGEVENPVLTDWAYDCYMDGSLDVLIGDDTEAKNDISTLERLLKVGIWCIQEDPSLRPTMRKVTQMLEGVVEVPAAPNPFPYSSISKYSH >Potri.008G074200.3.v4.1 pep chromosome:Pop_tri_v4:8:4612416:4619876:-1 gene:Potri.008G074200.v4.1 transcript:Potri.008G074200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074200.v4.1 MGAGRKTRTLHLKEKSVSQTSVNSSITARNLRKSDLAGVIFGCKNNTIRECFSKQLFGIPGSHYSYVKKIDPGLPLFLFNYSDRKLHGIFEATSHGGWNIDPNAWTEDGSGITPYSAQVRIRVQMQCQPLVEDQFSPIIAENYYRPNLFWFELDQDQTNKFISKFSSSLVIASTKDMHNWNYLPCDPNARQENDYMDKFNDCKESTTTSSLPLKPWSALFKFETCPDTRAMAEKENAESSNVNLTNLDTSIADHIGVETCIDSTIKKKSLSALFTKSTDPGASEMTAEDNNESCDASVGNVENLDANKLSITTCMATAFPEKPWSTWCESENRSDAREVMAVGSDEENSEVDSQERNNSEMESLYLRSVLAKLSMELEDLKSSQSSQVQKISYLEHELVQSRNEIKQLKDRCKMLEYVSFPAMGHLAEDGSELEEFNSCSDELILIGGFDGSSWLLGLDSYSPSQDQMESLTSMSAIRRYASAGRLNGEIYIFGGANDDVWYDTVESYNPSIKQWSSRPSLKRRKGSVASVSLEDKMLAVGGGDGVECFSEVEIFDLNVGRWIPTQSMRNKRFAAAAAEINGMLYVAGGYNGKEYLKSVERYDLREHTWARLDSMTTKRGSLSLVAMNEKLYALGGYDGDRMVSTVEVFDPRAGLWREAESMNSSRGYFGDVAMGDSIYVIGGLDNDNQILDTVECFREGHGWQEGFSKAIGKRCFLSAVVV >Potri.008G074200.4.v4.1 pep chromosome:Pop_tri_v4:8:4612416:4619876:-1 gene:Potri.008G074200.v4.1 transcript:Potri.008G074200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074200.v4.1 MGAGRKTRTLHLKEKSVSQTSVNSSITARNLRKSDLAGVIFGCKNNTIRECFSKQLFGIPGSHYSYVKKIDPGLPLFLFNYSDRKLHGIFEATSHGGWNIDPNAWTEDGSGITPYSAQVRIRVQMQCQPLVEDQFSPIIAENYYRPNLFWFELDQDQTNKFISKFSSSLVIASTKDMHNWNYLPCDPNARQENDYMDKFNDCKESTTTSSLPLKPWSALFKFETCPDTRAMAEKENAESSNVNLTNLDTSIADHIGVETCIDSTIKKKSLSALFTKSTDPGASEMTAEDNNESCDASVGNVENLDANKLSITTCMATAFPEKPWSTWCESENRSDAREVMAVGSDEENSEVDSQERNNSEMESLYLRSVLAKLSMELEDLKSSQSSQVQKISYLEHELVQSRNEIKQLKDRCKMLEYVSFPAMGHLAEDGSELEEFNSCSDELILIGGFDGSSWLLGLDSYSPSQDQMESLTSMSAIRRYASAGRLNGEIYIFGGANDDVWYDTVESYNPSIKQWSSRPSLKRRKGSVASVSLEDKMLAVGGGDGVECFSEVEIFDLNVGRWIPTQSMRNKRFAAAAAEINGMLYVAGGYNGKEYLKSVERYDLREHTWARLDSMTTKRGSLSLVAMNEKLYALGGYDGDRMVSTVEVFDPRAGLWREAESMNSSRGYFGDVAMGDSIYVIGGLDNDNQILDTVECFREGHGWQEGFSKAIGKRCFLSAVVV >Potri.008G074200.5.v4.1 pep chromosome:Pop_tri_v4:8:4612416:4619876:-1 gene:Potri.008G074200.v4.1 transcript:Potri.008G074200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G074200.v4.1 MGAGRKTRTLHLKEKSVSQTSVNSSITARNLRKSDLAGVIFGCKNNTIRECFSKQLFGIPGSHYSYVKKIDPGLPLFLFNYSDRKLHGIFEATSHGGWNIDPNAWTEDGSGITPYSAQVRIRVQMQCQPLVEDQFSPIIAENYYRPNLFWFELDQDQTNKFISKFSSSLVIASTKDMHNWNYLPCDPNARQENDYMDKFNDCKESTTTSSLPLKPWSALFKFETCPDTRAMAEKENAESSNVNLTNLDTSIADHIGVETCIDSTIKKKSLSALFTKSTDPGASEMTAEDNNESCDASVGNVENLDANKLSITTCMATAFPEKPWSTWCESENRSDAREVMAVGSDEENSEVDSQERNNSEMESLYLRSVLAKLSMELEDLKSSQSSQVQKISYLEHELVQSRNEIKQLKDRCKMLEYVSFPAMGHLAEDGSELEEFNSCSDELILIGGFDGSSWLLGLDSYSPSQDQMESLTSMSAIRRYASAGRLNGEIYIFGGANDDVWYDTVESYNPSIKQWSSRPSLKRRKGSVASVSLEDKMLAVGGGDGVECFSEVEIFDLNVGRWIPTQSMRNKRFAAAAAEINGMLYVAGGYNGKEYLKSVERYDLREHTWARLDSMTTKRGSLSLVAMNEKLAYNARTEPWKIVLAGMLWGAMTGTGWYQQLRYLILVLVCGGRQNP >Potri.002G094500.1.v4.1 pep chromosome:Pop_tri_v4:2:6900304:6902892:1 gene:Potri.002G094500.v4.1 transcript:Potri.002G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094500.v4.1 MTNQNVVVPDAKQGIDITIAMVLPKSLFSPVVPKPLPAAPGGYFTITRKRFSKKTETGGKISSWVDSMRDSSPTRVKSTTSLSETEEKNSWIVNHPSALNMFEQIVKGSKGKQIVMFLDYDGTLSPIVEDPDRAFMTNEMREAVRDVARYFPTAIVTGRCRKKVYSFVRLAGLYYAGSHGMDIKGPSKNNCKYEKGGVLFQPASEFLPMIDEVYNVLLERTKSIPGAKVENNKFCVSVHFRCVEEKMWAILVEQVRSVLNDYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGYANSTDVFPVYIGDDRTDEDAFKVLRNRGQGLGILVSKVPKETNASYSLQEPKEVKDFLRRLVEWKRSSLQGQRRA >Potri.010G069600.4.v4.1 pep chromosome:Pop_tri_v4:10:9792157:9795684:-1 gene:Potri.010G069600.v4.1 transcript:Potri.010G069600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069600.v4.1 MEASAGQGTVFAPSLEGMKHVRSDNGEILTKPFLDVCKLILPVIDKFGAAMALVKSDIGGNITRLETKYLSDPSKYNQFYTMVQEEVDAKTAKGSSSCANGLLWLTRAMDFLVELFRNLLTHPDWTMSQACTDSYGKTLKKFHGWLASSSFTIAMKLAPDRKKFMEVIAGTGDVAADMEEFCTTFPPFLEENHKFLASVGLDDMKAS >Potri.010G069600.5.v4.1 pep chromosome:Pop_tri_v4:10:9792194:9795684:-1 gene:Potri.010G069600.v4.1 transcript:Potri.010G069600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069600.v4.1 MEASAGQGTVFAPSLEGMKHVRSDNGEILTKPFLDVCKLILPVIDKFGAAMALVKSDIGGNITRLETKYLSDPSKYNQFYTMVQEEVDAKTAKGSSSCANGLLWLTRAMDFLVELFRNLLTHPDWTMSQACTDSYGKTLKKFHGWLASSSFTIAMKLAPDRKKFMEVIAGTGDVAADMEEFCTTFPPFLEENHKFLASVGLDDMKAS >Potri.010G069600.3.v4.1 pep chromosome:Pop_tri_v4:10:9791679:9795704:-1 gene:Potri.010G069600.v4.1 transcript:Potri.010G069600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069600.v4.1 MEASAGQGTVFAPSLEGMKHVRSDNGEILTKPFLDVCKLILPVIDKFGAAMALVKSDIGGNITRLETKYLSDPSKYNQFYTMVQEEVDAKTAKGSSSCANGLLWLTRAMDFLVELFRNLLTHPDWTMSQACTDSYGKTLKKFHGWLASSSFTIAMKLAPDRKKFMEVIAGTGDVAADMEEFCTTFPPFLEENHKFLASVGLDDMKAS >Potri.006G114500.2.v4.1 pep chromosome:Pop_tri_v4:6:8891084:8894640:1 gene:Potri.006G114500.v4.1 transcript:Potri.006G114500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114500.v4.1 MPQTAKRRLDIESNIIVGVLDTGIYVDAPSFNDEGYGPVPAKWKGKCVKGANFTGCNNKVIGARYYNLENSEVENPSPADLDGHGTHTSSTAAGIAVKDASLYGIAQGTARGGVPSARIAMYKVCWGSGCSDMDLLAAFDDAISDGVDIISVSIGGASRSFFQDPIAIGSFHSMKKGILTSCSAGNNGPYPGSVENVAPWIMTIAATSIDRQFTTAVKLGNGMKATGISINTFSPKKETYPLIDGARASNSSGDHYGNISACDYGTLSMDKVKGKLVYCLGSNGQDYTIKELQGAGVITSLDAPTDTAYATVIPGTSVQLKDGYKIDVYINSTRNPRAVIYKTRTTYMSAPSVASFSSRGPQLINLNILKPDIAAPGLGILAAYSKLATVTGDPNDSRYSPFNIISGTSMSCPHAAAAAAYVKTFHPDWSPAAIKSALMTTATPIKIKDVDAELGSGSGQINPLKAVHPGLVYDIPMSSYIRFLCKEGYNSTTISLLLGGKKKYRCSNFQPAQGTDGLNYPSMHAQLKSAESNISAVFYRTLTNVGYGNNSLYKATVTSPKDLSIKIVPNSLKFNRPHQKQSFKVFVEGGSMQNGTRLLSALLEWSDSKHIVRSPIIIYNSSQ >Potri.006G114500.3.v4.1 pep chromosome:Pop_tri_v4:6:8891084:8894586:1 gene:Potri.006G114500.v4.1 transcript:Potri.006G114500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114500.v4.1 MPQTAKRRLDIESNIIVGVLDTGIYVDAPSFNDEGYGPVPAKWKGKCVKGANFTGCNNKVIGARYYNLENSEVENPSPADLDGHGTHTSSTAAGIAVKDASLYGIAQGTARGGVPSARIAMYKVCWGSGCSDMDLLAAFDDAISDGVDIISVSIGGASRSFFQDPIAIGSFHSMKKGILTSCSAGNNGPYPGSVENVAPWIMTIAATSIDRQFTTAVKLGNGMKATGISINTFSPKKETYPLIDGARASNSSGDHYGNISACDYGTLSMDKVKGKLVYCLGSNGQDYTIKELQGAGVITSLDAPTDTAYATVIPGTSVQLKDGYKIDVYINSTRNPRAVIYKTRTTYMSAPSVASFSSRGPQLINLNILKPDIAAPGLGILAAYSKLATVTGDPNDSRYSPFNIISGTSMSCPHAAAAAAYVKTFHPDWSPAAIKSALMTTATPIKIKDVDAELGSGSGQINPLKAVHPGLVYDIPMSSYIRFLCKEGYNSTTISLLLGGKKKYRCSNFQPAQGTDGLNYPSMHAQLKSAESNISAVFYRTLTNVGYGNNSLYKATVTSPKDLSIKIVPNSLKFNRPHQKQSFKVFVEGGSMQNGTRLLSALLEWSDSKHIVRSPIIIYNSSQ >Potri.006G114500.4.v4.1 pep chromosome:Pop_tri_v4:6:8891084:8894640:1 gene:Potri.006G114500.v4.1 transcript:Potri.006G114500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114500.v4.1 MEGKMCQGSQLHGLQQVIGARYYNLENSEVENPSPADLDGHGTHTSSTAAGIAVKDASLYGIAQGTARGGVPSARIAMYKVCWGSGCSDMDLLAAFDDAISDGVDIISVSIGGASRSFFQDPIAIGSFHSMKKGILTSCSAGNNGPYPGSVENVAPWIMTIAATSIDRQFTTAVKLGNGMKATGISINTFSPKKETYPLIDGARASNSSGDHYGNISACDYGTLSMDKVKGKLVYCLGSNGQDYTIKELQGAGVITSLDAPTDTAYATVIPGTSVQLKDGYKIDVYINSTRNPRAVIYKTRTTYMSAPSVASFSSRGPQLINLNILKPDIAAPGLGILAAYSKLATVTGDPNDSRYSPFNIISGTSMSCPHAAAAAAYVKTFHPDWSPAAIKSALMTTATPIKIKDVDAELGSGSGQINPLKAVHPGLVYDIPMSSYIRFLCKEGYNSTTISLLLGGKKKYRCSNFQPAQGTDGLNYPSMHAQLKSAESNISAVFYRTLTNVGYGNNSLYKATVTSPKDLSIKIVPNSLKFNRPHQKQSFKVFVEGGSMQNGTRLLSALLEWSDSKHIVRSPIIIYNSSQ >Potri.006G114500.1.v4.1 pep chromosome:Pop_tri_v4:6:8890131:8894616:1 gene:Potri.006G114500.v4.1 transcript:Potri.006G114500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114500.v4.1 MKTVTQNLLVFALVATVTAVHASNGSERKPYIVYMGEARGAGISTSDEHHSLLLAATGDESIAKNSKIYSYGKNFNGFAARLLPHEVKRLSDEDSVVSVFANTRNKLHTTRSWDFLGMPQTAKRRLDIESNIIVGVLDTGIYVDAPSFNDEGYGPVPAKWKGKCVKGANFTGCNNKVIGARYYNLENSEVENPSPADLDGHGTHTSSTAAGIAVKDASLYGIAQGTARGGVPSARIAMYKVCWGSGCSDMDLLAAFDDAISDGVDIISVSIGGASRSFFQDPIAIGSFHSMKKGILTSCSAGNNGPYPGSVENVAPWIMTIAATSIDRQFTTAVKLGNGMKATGISINTFSPKKETYPLIDGARASNSSGDHYGNISACDYGTLSMDKVKGKLVYCLGSNGQDYTIKELQGAGVITSLDAPTDTAYATVIPGTSVQLKDGYKIDVYINSTRNPRAVIYKTRTTYMSAPSVASFSSRGPQLINLNILKPDIAAPGLGILAAYSKLATVTGDPNDSRYSPFNIISGTSMSCPHAAAAAAYVKTFHPDWSPAAIKSALMTTATPIKIKDVDAELGSGSGQINPLKAVHPGLVYDIPMSSYIRFLCKEGYNSTTISLLLGGKKKYRCSNFQPAQGTDGLNYPSMHAQLKSAESNISAVFYRTLTNVGYGNNSLYKATVTSPKDLSIKIVPNSLKFNRPHQKQSFKVFVEGGSMQNGTRLLSALLEWSDSKHIVRSPIIIYNSSQ >Potri.017G146000.1.v4.1 pep chromosome:Pop_tri_v4:17:14629162:14631881:-1 gene:Potri.017G146000.v4.1 transcript:Potri.017G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146000.v4.1 MSYNTSSIDTKLSKHTSFFGIRLWVLILALIAVFTVLFLVIMAACFICLCRRKSKPFNPHLRRLLNPISMKGFLNSYTIPSKDKRLLSHRISEVEMNIEKLDHHHQVILTDQCSNVSTGLTTRWSGTGVADLEPTARYSSVVPDAWRGNRIALKEIEVVTDGFADMNMIGSGDYSVAYRGVLLDTTRVAVKRLLSNSCQAEDFIAEAEMIGHIRHKNLVKLLGYCMEEGYRILVSEYVDNGNLHQWLYGCPEQPSPLTWAIRMNIIQGIAKGLAYLHEDIEPKFIHQNLKSSNILLDHQWNPKISDFGIAKLFGPQWIDITTLAMETSGYLAHEHEYTGVLSEKSDVYSFGILIMEIICARAPVDHNQPQVYLVDWLKSMVANKQIMFVVDPKLPEIPSSKELKRILLLALRCVDRDIKHRPTMGDVIHMLEPRDLLLDDDRRIRRDGSSCRYKQQESHIVTQFGVGDFSTHEKESNINLYQKILPT >Potri.006G018400.2.v4.1 pep chromosome:Pop_tri_v4:6:1137472:1138954:-1 gene:Potri.006G018400.v4.1 transcript:Potri.006G018400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018400.v4.1 MRKMPQENQESTVWYPSEIQNQIYDKKENTVTITVVCCSPEKIKKKIYCKGGEAVKCIEIKLPPPPPPPSPPPPPPPPPPPPPSPPPSPPPSPPPSPPPPPCTCTCCEKCRRGPCCHHFCMPIVPPYFHVPCRWSECDLWGDGCCSCRSRGYYVCRSAYVYEEYYYPPTCK >Potri.006G018400.1.v4.1 pep chromosome:Pop_tri_v4:6:1137473:1138954:-1 gene:Potri.006G018400.v4.1 transcript:Potri.006G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018400.v4.1 MVETKVTTMVIKVVDLGCEKCHKKIKKVLCGIPQIQNQIYDKKENTVTITVVCCSPEKIKKKIYCKGGEAVKCIEIKLPPPPPPPSPPPPPPPPPPPPPSPPPSPPPSPPPSPPPPPCTCTCCEKCRRGPCCHHFCMPIVPPYFHVPCRWSECDLWGDGCCSCRSRGYYVCRSAYVYEEYYYPPTCK >Potri.002G230400.1.v4.1 pep chromosome:Pop_tri_v4:2:22196957:22197520:-1 gene:Potri.002G230400.v4.1 transcript:Potri.002G230400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230400.v4.1 MTRMRGFKICCGVTTIFIIILAAVFTTLALTVFKPKNPSIIANPVGLENIQFGGSPNVTLNVTLGMVVTIDNPNYGSFKFTNSTAYVDYHGVIVAEVPIQADLIPAHSKVNITTSVDLMADALIKNPYFLQDLIAGRFNFVSTSSLHGKVEVIKILKLHATALSTCDITLFVTSLSIDSSCKSEIKL >Potri.002G198400.1.v4.1 pep chromosome:Pop_tri_v4:2:16124465:16127072:-1 gene:Potri.002G198400.v4.1 transcript:Potri.002G198400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198400.v4.1 MGSDSNAVPSASASASTSTSTSTPSTPNGKRSRDPEDEVYLDNLHSHKRYLSEIMASSLNGLTVGDPLQDNLMESPARSDTMFFARDEMSLQYSPMSEDFDDSRFCETPINACSPQSESLPGSPVSPYRYQRPLCGFSSAPYSSSFSSHGCSVTSSQPRQRGSDSEGRFPSSPSDICHSADLRRAALLRSVQMRTQPTGSSSFELPFSSGHEPGSNMEAEERPCSYMKSLVEEREYPLEECSSMSISEPEFNEEKACRVLNMNIKGDDSGG >Potri.010G100600.1.v4.1 pep chromosome:Pop_tri_v4:10:12342675:12344293:1 gene:Potri.010G100600.v4.1 transcript:Potri.010G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G100600.v4.1 MSYNMRAWVVTVLVVLVASNAFISDVNAAGECGKTPIRSAAASLSPCLGAAGNARAAVPPACCSKVTALIKTAPKCLCAVLLSPLAKQAGIKPGIAITIPKRCSIKNRQAGKKCGKYTLP >Potri.010G007954.1.v4.1 pep chromosome:Pop_tri_v4:10:1439616:1439846:-1 gene:Potri.010G007954.v4.1 transcript:Potri.010G007954.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007954.v4.1 MARQADRLVKIGQEGFAAIDEHFGRAKRRPPLMKVPYAHPTYYDVPATEVINSNEAAQRYKGRVYVDYPKEKPVPF >Potri.004G181800.1.v4.1 pep chromosome:Pop_tri_v4:4:19597433:19599272:1 gene:Potri.004G181800.v4.1 transcript:Potri.004G181800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181800.v4.1 MEGKAVKPSRFKSVCVFCGSSTGKRNCYRDAAIELAQELVAKRLDLVYGGGSIGLMGLVSQAVHRGGGNVLGIIPRTLMSKEITGETVGEVKPVADMHQRKAEMARNSDCFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNYLLTFIDKAVDDGFIKPSQRNIIVSAPSAKELVQKLEEYVPVHDGVIAKASWEIEQQQSPQQHQQQVGFNTTTLQTEVAL >Potri.005G239900.1.v4.1 pep chromosome:Pop_tri_v4:5:23677247:23680736:-1 gene:Potri.005G239900.v4.1 transcript:Potri.005G239900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239900.v4.1 MREILHIQGGQCGNQIGSKFWEVICGEHGIDTTGKYSGDAASSDLQLERINVYYNEASGGKYVPRAVLMDLEPGTMESIRSGPYGQIFRPDNFVHGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISETMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQGYISLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMSSTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATVEEDGEYEEEGEENYDD >Potri.011G169700.7.v4.1 pep chromosome:Pop_tri_v4:11:19262742:19264888:1 gene:Potri.011G169700.v4.1 transcript:Potri.011G169700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169700.v4.1 MAFITTAEACDSNVPLLASGDLRVLPPIFKIYGQSRAFSGPIATLKVFEDNVLVRELLETEGEGRVLVVDGGGSMRCALVGGNLGQLAQTMGWAGILVNGCIRDVDEINGCDIGVRALGSHPTKSFKKGVGEKHVAVHIGGTLICDGEWLYADSDGILISRCELSL >Potri.011G123300.1.v4.1 pep chromosome:Pop_tri_v4:11:15359474:15361562:1 gene:Potri.011G123300.v4.1 transcript:Potri.011G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123300.v4.1 MGLQETDPLAQLSLPPGFRFHPTDEELLVQYLCKKVAGHHFSLQIIGEIDLYKFDPWFLPGKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKVITTEGRKVGIKKALVFYVGKAPKGTKTNWIMHEYRLLESSRKSGSTKLDEWVLCRIYKKNSSAAQKSMSSVSSKEYSTNGSCSSSSSHLEDVLDSLTEIDDRLFALPRTNSLKQMQHEEKINLANLGSGSFDWATLAGLNSLPELLQTQPGANYSNTNVNGVHVPSMPPLCHADSSTGRMGTSVEEEVQSGVRTQLRDGNSGAFQQNSGVMTPNFSSTLLDPYELRYSTQPGSGYGFRQ >Potri.001G025300.1.v4.1 pep chromosome:Pop_tri_v4:1:1907610:1908440:-1 gene:Potri.001G025300.v4.1 transcript:Potri.001G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025300.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQKAGGD >Potri.011G000700.1.v4.1 pep chromosome:Pop_tri_v4:11:49050:50426:-1 gene:Potri.011G000700.v4.1 transcript:Potri.011G000700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G000700.v4.1 MDGEINTSELSIAAEAVEAAARGENDFSLVHLNADLLINILVRLPPEKSVFCSKLVSKGWCSILENPYFVSRFISHHINDKLRNHYSSYAAAYHKYPPFFFISRYNDPRLCFFATGADQEESPKEFTLEFLPQENDINLIVSVKASCNDLLFCIAKNSDYVITHYYICNPFTRQWSVLPPPLIRTTTKRIYFGLVCQPNYQRWIQGQQYESRFRLVRFIEVEEHHVAVDLYCSETGQWNESFLVGAQYDFIFTNVLAHDGKLHWYNGRDVVAYDPFNDGQTIFIDGSQFKGRTPLPDVILNNPDRLILRVVNFRLGECRGLLRFMQIITNSYRSDSNDHLSVWELKDNETRGFSLVHVISFDNMFSKEPWVRDFVKSENRVNARALAFHPENKNVVYLGFLYHIISCNIRTGELEVIDGIPYEHKFCALENVFGIKQPWWPTPVSTSSHPLNALLHYF >Potri.003G081600.1.v4.1 pep chromosome:Pop_tri_v4:3:10861725:10866195:1 gene:Potri.003G081600.v4.1 transcript:Potri.003G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081600.v4.1 MATANPFDILGDDDNNEDLSQLVAAAQLKAAEKPKKVEKPAAPTSQPAKLPSRPAPPAQAVREAKNEGGRGGGRGEGRGYGRGRGRGGSGGFNRDSNNNETSFTGNGFSGRSRPSEDGEAAERRGYGAPRGGFRGSRRGGYSNGEDGEGERPRRMYERHSGTGRGNEIKREGSGRGNWGTPTDEIALETEDPVVDNEKNVITEKQQGEEDAADANKDTAEKPEEKEPEEKEMTLEEYEKVLEEKRKALLDLKTEERKVGLDKDLQCMQQLSSKKSNDEIFIKLGSEKDKRKDAADKEDRAKKAVSINEFLKPAEGERSYNSGRGGRGRGRGRGGYGSGSGYGSNARDVAAPSIEDPGQFPSLGGK >Potri.003G081600.3.v4.1 pep chromosome:Pop_tri_v4:3:10861770:10865839:1 gene:Potri.003G081600.v4.1 transcript:Potri.003G081600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081600.v4.1 MATANPFDILGDDDNNEDLSQLVAAAQLKAAEKPKKVEKPAAPTSQPAKLPSRPAPPAQAVREAKNEGGRGGGRGEGRGYGRGRGRGGSGGFNRDSNNNETSFTGNGFSGRSRPSEDGEAAERRGYGAPRGGFRGSRRGGYSNGEDGEGERPRRMYERHSGTGRGNEIKREGSGRGNWGTPTDEIALETEDPVVDNEKNVITEKQQGEEDAADANKDTAEKPEEKEPEEKEMTLEEYEKVLEEKRKALLDLKTEERKVGLDKDLQCMQQLSSKKSNDEIFIKLGSEKDKRKDAADKEDRAKKAVSINEFLKPAEGERSYNSGRGGRGRGRGRGGYGSGSGYGSNARDVAAPSIEDPGQFPSLGGK >Potri.005G076200.6.v4.1 pep chromosome:Pop_tri_v4:5:5118748:5134217:-1 gene:Potri.005G076200.v4.1 transcript:Potri.005G076200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076200.v4.1 MPSLQLLQLTEHGRGILASRRKSLLFAAGILAAGGTAVYVQSRIRSKKSDSFLYYNGIKDDKKISDKLVTNGKKTVQKKGGLKALQILASVLLSHMGKTGAKDLLAMIAIAVLKTTLSNRLAKVQGFLFRAAFLQRVPLFFRLISENILLCFLLSTINSTSKYVTGTLSLCFRKILTKVIHAHYFENMAYYKISHVDGRITNPEQRIASDVPRFCSELSELVLDDLTAVTDGLLYTWRLCSYASPKYLFWMVAYVLGAGTLIRNFSPAFGKLMSKEQQLEGEYRQLHSRLRTHAESIAFYGGENREEFHIQQKFKTLIGHMRTVLHDHWWFGMIQDFLLKYFGATVAVILIIEPFFAGQLRPDASTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELIAVSRELSNGDKSSLQRSGSRNYFSEANYVEFFGVKVVTPSGNVLVQDLTLKVDSGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEIEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAQVQAMGTSCITISHRPALVAFHDVVLSLDGEGGWLVNYKGKDSPALTEAGGDLTGDFETERKNDAMIVQKAFSTSDKATHSYISEVIAASPNIDHNVLLPIVPPLQRAPRALPLRVAAMFKILVPTILDKQGAHLLAVAFLVISRTFVSDRIASLNGTTVKFVLEQDKASFVRLIGVSVLQSAASSFIAPSLRHLTTRLALGWRIRLTQHLLKNYLRNNTFYKVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPLVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRAVTPDFGDLASEEQQLEGTFRFMHERLRTHAESVAFFGGGKREKAMIESRFRELLDHSMLLLKKKWSYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRAMTSTQGELAHALRFLASVVSQSFLAFGDILELHKKFAELSGSINRIFELEELLDAAQSGDSLNSKLSQSKNIELYSKDAISFMEVDIITPAQKLLARQLTFDIEQRKSLLLTGPNGSGKSSVFRVLRGLWPIASGRIAKPSQHISKETGSGCAVFYVPQRPYTCLGTLRDQIIYPLSRDEAEVMTLELYEKGKLSTEITNMLDSCLKNILENVRLNYLLEREGGWDANMNWEDTLSLGEQQRLGMARLFFHKPKFAILDECTNATSVDVEEQLYRLASDMGITFITSSQRPALIPFHSLELRLIDGEGHWELRAIKQ >Potri.005G076200.7.v4.1 pep chromosome:Pop_tri_v4:5:5118747:5130309:-1 gene:Potri.005G076200.v4.1 transcript:Potri.005G076200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076200.v4.1 MSKEQQLEGEYRQLHSRLRTHAESIAFYGGENREEFHIQQKFKTLIGHMRTVLHDHWWFGMIQDFLLKYFGATVAVILIIEPFFAGQLRPDASTLGRAEMLSNLRYHTSVIISLFQSLGTLSISSRRLNRLSGYADRIHELIAVSRELSNGDKSSLQRSGSRNYFSEANYVEFFGVKVVTPSGNVLVQDLTLKVDSGSNLLITGPNGSGKSSLFRVLGGLWPLVSGHIVKPGVGSDLNKEIFYVPQRPYTAVGTLRDQLIYPLTADQEIEPLTHSGMVELLKNVDLEYLLDRYPPEKEVNWGEELSLGEQQRLGMARLFYHKPKFAILDECTSAVTTDMEERFCAQVQAMGTSCITISHRPALVAFHDVVLSLDGEGGWLVNYKGKDSPALTEAGGDLTGDFETERKNDAMIVQKAFSTSDKATHSYISEVIAASPNIDHNVLLPIVPPLQRAPRALPLRVAAMFKILVPTILDKQGAHLLAVAFLVISRTFVSDRIASLNGTTVKFVLEQDKASFVRLIGVSVLQSAASSFIAPSLRHLTTRLALGWRIRLTQHLLKNYLRNNTFYKVFHMSSKNIDADQRITHDLEKLTTDLSGLVTGMVKPLVDILWFTWRMKLLTGQRGVAILYTYMLLGLGFLRAVTPDFGDLASEEQQLEGTFRFMHERLRTHAESVAFFGGGKREKAMIESRFRELLDHSMLLLKKKWSYGILDDFVTKQLPHNVTWGLSLLYAMEHKGDRAMTSTQGELAHALRFLASVVSQSFLAFGDILELHKKFAELSGSINRIFELEELLDAAQSGDSLNSKLSQSKNIELYSKDAISFMEVDIITPAQKLLARQLTFDIEQRKSLLLTGPNGSGKSSVFRVLRGLWPIASGRIAKPSQHISKETGSGCAVFYVPQRPYTCLGTLRDQIIYPLSRDEAEVMTLELYEKGKLSTEITNMLDSCLKNILENVRLNYLLEREGGWDANMNWEDTLSLGEQQRLGMARLFFHKPKFAILDECTNATSVDVEEQLYRLASDMGITFITSSQRPALIPFHSLELRLIDGEGHWELRAIKQ >Potri.010G132800.1.v4.1 pep chromosome:Pop_tri_v4:10:14892732:14893733:-1 gene:Potri.010G132800.v4.1 transcript:Potri.010G132800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132800.v4.1 MMATLQTDQLKQLKDIFIRFDMDSDGSLTQLELAALLRSLGLKPTGDQLHVLLSNMDANGNGYVEFDELVSAILPDMNEEVLINQEQLLEVFRSFDRDGNGFITAAELAGSMAKMGHPLTYRELSDMMREADTNGDGVLSFNEFANVMAKSAADFLGIKVP >Potri.003G139850.1.v4.1 pep chromosome:Pop_tri_v4:3:15627168:15627377:-1 gene:Potri.003G139850.v4.1 transcript:Potri.003G139850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139850.v4.1 MVLATLLMVFVPASETSSAPCSCGEVNYQLNPCISYLVKTMAEPPKVCCDGIKRLSKYSNKKKNREIVP >Potri.005G190800.1.v4.1 pep chromosome:Pop_tri_v4:5:19833278:19834443:1 gene:Potri.005G190800.v4.1 transcript:Potri.005G190800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190800.v4.1 MASNQTSLNDAKFSLPVSDVEQQAALEIETEDFDYSKRAQWLRAAVLGANDGLVSTASLMMGVGAVKQDLKVMILTGFAGLVAGACSMAIGEFVSVHSQLDIELAQMKRDRQRKDTEEKEEGENESLPNPLLAAAASALAFSVGALVPLLAASFIREYKVRLGVVVAAVTLALMIFGWLGAVLGKAPAVRSSLRVLVGGWLAMAITFGLTRLIGSSGL >Potri.005G238800.6.v4.1 pep chromosome:Pop_tri_v4:5:23623005:23626765:-1 gene:Potri.005G238800.v4.1 transcript:Potri.005G238800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238800.v4.1 MKKRNRESISPHVPDSKKLNHPNSGVESSKRDGLKKSRLNVKQPSPDWSREQSVRGPITKDEEEVVETLYSLAGMFTDNEEPKNDCKLDNTSLDASPSTLQEPTVKEDLNLISLRRIDEAAEKTLLDETPRVDYLNKPGAQERPYLPTDKIQGELGSRATQMNLPPILAKQEELKSLCNSINLFIAPEQYRNTPKVKHSTRLETSLERKPDIALGLTTTVSQLDQRHTICQSKNNGPALWPGLSSTVSSGACNHGSSSQSSATKFPSWMDTALGATRPNSFQNCSSIGKVSKVSTDKRSLKRSTTHVYISHLIRVLQIPESKDSLPLHLNQPRPQDILRQGAFMTMNDFNGNRNGLNGATSARAIINMVDKNSNQVEMGTLLQRTLQDQPQTSSASGVHNSQKQTFNFLSLSADGSGLEANNSSSGVGKRSEQSAQLQFPYLHSHLQQQHSTLVPFPMSQTYYSSSSHPDQPAAAQQAQIQQPSYLGHLYCGTRASPTGFTKQQQKQSQQQQQLQHQQNRLWAAQLAAAQYRTLGNSTAMTQFPNWQNGRQDSPAQISFAQTIIPTSSSQEAIGPKHAQISQQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTGGALPLQLLCNESL >Potri.005G238800.3.v4.1 pep chromosome:Pop_tri_v4:5:23622967:23628735:-1 gene:Potri.005G238800.v4.1 transcript:Potri.005G238800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238800.v4.1 MIEMDKSKDVRPRASRFSRQQIKQSGHKLLSGSNGGDFVVSLEKSKRIKRLSAVNNNINKGSCSYEVEKEKKCEMGGGGGCEESDKEVQAPSTFIGVVSTSNKRFKLPRKFLDDCDGVDHATVPRKLRSAMKKRNRESISPHVPDSKKLNHPNSGVESSKRDGLKKSRLNVKQPSPDWSREQSVRGPITKDEEEVVETLYSLAGMFTDNEEPKNDCKLDNTSLDASPSTLQEPTVKEDLNLISLRRIDEAAEKTLLDETPRVDYLNKPGAQERPYLPTDKIQGELGSRATQMNLPPILAKQEELKSLCNSINLFIAPEQYRNTPKVKHSTRLETSLERKPDIALGLTTTVSQLDQRHTICQSKNNGPALWPGLSSTVSSGACNHGSSSQSSATKFPSWMDTALGATRPNSFQNCSSIGKVSKVSTDKRSLKRSTTHVYISHLIRVLQIPESKDSLPLHLNQPRPQDILRQGAFMTMNDFNGNRNGLNGATSARAIINMVDKNSNQVEMGTLLQRTLQDQPQTSSASGVHNSQKQTFNFLSLSADGSGLEANNSSSGVGKRSEQSAQLQFPYLHSHLQQQHSTLVPFPMSQTYYSSSSHPDQPAAAQQAQIQQPSYLGHLYCGTRASPTGFTKQQQKQSQQQQQLQHQQNRLWAAQLAAAQYRTLGNSTAMTQFPNWQNGRQDSPAQISFAQTIIPTSSSQEAIGPKHAQISQQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTGGALPLQLLCNESL >Potri.005G238800.4.v4.1 pep chromosome:Pop_tri_v4:5:23622936:23627554:-1 gene:Potri.005G238800.v4.1 transcript:Potri.005G238800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238800.v4.1 MGGGGGCEESDKEVQAPSTFIGVVSTSNKRFKLPRKFLDDCDGVDHATVPRKLRSAMKKRNRESISPHVPDSKKLNHPNSGVESSKRDGLKKSRLNVKQPSPDWSREQSVRGPITKDEEEVVETLYSLAGMFTDNEEPKNDCKLDNTSLDASPSTLQEPTVKEDLNLISLRRIDEAAEKTLLDETPRVDYLNKPGAQERPYLPTDKIQGELGSRATQMNLPPILAKQEELKSLCNSINLFIAPEQYRNTPKVKHSTRLETSLERKPDIALGLTTTVSQLDQRHTICQSKNNGPALWPGLSSTVSSGACNHGSSSQSSATKFPSWMDTALGATRPNSFQNCSSIGKVSKVSTDKRSLKRSTTHVYISHLIRVLQIPESKDSLPLHLNQPRPQDILRQGAFMTMNDFNGNRNGLNGATSARAIINMVDKNSNQVEMGTLLQRTLQDQPQTSSASGVHNSQKQTFNFLSLSADGSGLEANNSSSGVGKRSEQSAQLQFPYLHSHLQQQHSTLVPFPMSQTYYSSSSHPDQPAAAQQAQIQQPSYLGHLYCGTRASPTGFTKQQQKQSQQQQQLQHQQNRLWAAQLAAAQYRTLGNSTAMTQFPNWQNGRQDSPAQISFAQTIIPTSSSQEAIGPKHAQISQQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTGGALPLQLLCNESL >Potri.005G238800.1.v4.1 pep chromosome:Pop_tri_v4:5:23623004:23628725:-1 gene:Potri.005G238800.v4.1 transcript:Potri.005G238800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238800.v4.1 MIEMDKSKDVRPRASRFSRQQIKQSGHKLLSGSNGGDFVVSLEKSKRIKRLSAVNNNINKGSCSYEVEKEKKCEMGGGGGCEESDKEVQAPSTFIGVVSTSNKRFKLPRKFLDDCDGVDHATVPRKLRSAMKKRNRESISPHVPDSKKLNHPNSGVESSKRDGLKKSRLNKQPSPDWSREQSVRGPITKDEEEVVETLYSLAGMFTDNEEPKNDCKLDNTSLDASPSTLQEPTVKEDLNLISLRRIDEAAEKTLLDETPRVDYLNKPGAQERPYLPTDKIQGELGSRATQMNLPPILAKQEELKSLCNSINLFIAPEQYRNTPKVKHSTRLETSLERKPDIALGLTTTVSQLDQRHTICQSKNNGPALWPGLSSTVSSGACNHGSSSQSSATKFPSWMDTALGATRPNSFQNCSSIGKVSKVSTDKRSLKRSTTHVYISHLIRVLQIPESKDSLPLHLNQPRPQDILRQGAFMTMNDFNGNRNGLNGATSARAIINMVDKNSNQVEMGTLLQRTLQDQPQTSSASGVHNSQKQTFNFLSLSADGSGLEANNSSSGVGKRSEQSAQLQFPYLHSHLQQQHSTLVPFPMSQTYYSSSSHPDQPAAAQQAQIQQPSYLGHLYCGTRASPTGFTKQQQKQSQQQQQLQHQQNRLWAAQLAAAQYRTLGNSTAMTQFPNWQNGRQDSPAQISFAQTIIPTSSSQEAIGPKHAQISQQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTGGALPLQLLCNESL >Potri.005G238800.5.v4.1 pep chromosome:Pop_tri_v4:5:23622970:23627527:-1 gene:Potri.005G238800.v4.1 transcript:Potri.005G238800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238800.v4.1 MGGGGGCEESDKEVQAPSTFIGVVSTSNKRFKLPRKFLDDCDGVDHATVPRKLRSAMKKRNRESISPHVPDSKKLNHPNSGVESSKRDGLKKSRLNKQPSPDWSREQSVRGPITKDEEEVVETLYSLAGMFTDNEEPKNDCKLDNTSLDASPSTLQEPTVKEDLNLISLRRIDEAAEKTLLDETPRVDYLNKPGAQERPYLPTDKIQGELGSRATQMNLPPILAKQEELKSLCNSINLFIAPEQYRNTPKVKHSTRLETSLERKPDIALGLTTTVSQLDQRHTICQSKNNGPALWPGLSSTVSSGACNHGSSSQSSATKFPSWMDTALGATRPNSFQNCSSIGKVSKVSTDKRSLKRSTTHVYISHLIRVLQIPESKDSLPLHLNQPRPQDILRQGAFMTMNDFNGNRNGLNGATSARAIINMVDKNSNQVEMGTLLQRTLQDQPQTSSASGVHNSQKQTFNFLSLSADGSGLEANNSSSGVGKRSEQSAQLQFPYLHSHLQQQHSTLVPFPMSQTYYSSSSHPDQPAAAQQAQIQQPSYLGHLYCGTRASPTGFTKQQQKQSQQQQQLQHQQNRLWAAQLAAAQYRTLGNSTAMTQFPNWQNGRQDSPAQISFAQTIIPTSSSQEAIGPKHAQISQQQQLMTITTLPHARVRRQDHHLSSVYEETGGGFRTGGALPLQLLCNESL >Potri.002G068100.1.v4.1 pep chromosome:Pop_tri_v4:2:4704189:4704392:1 gene:Potri.002G068100.v4.1 transcript:Potri.002G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068100.v4.1 MMASYACSLPRICQSVEVSGSMALNLKSVNVYRIKDVFFVVFWILCLRCWLRATWLSDQSGELISQY >Potri.005G181300.1.v4.1 pep chromosome:Pop_tri_v4:5:18761881:18765764:-1 gene:Potri.005G181300.v4.1 transcript:Potri.005G181300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181300.v4.1 MAPLLQSSQQWVEKYRPKQIKDVAHQDEVVRVLTNTLETANCPHMLFYGPPGTGKTTTALAIAHQLYGPELYKSRVLELNASDDRGINVVRTKIKDFAAVAVGSGQRQGVYPCPPYKIIILDEADSMTEDAQNALRRTMETYSKVTRFFFICNYISRIIEPLASRCAKFRFKPLPEEIMSNRILHICNEEGLTLDTEALSTLSSVSQGDLRRAITYLQGAARLFGSSISSKDLISVSGAIPREVTEAIYAACKNGDFDLANKEVNDVIAEGYPVSQMLAQLFEVVVEVDDISDEQKARICKSLATADKCLVDGADEYLQLLDVVSNTMRSLCNMPQEFSYDI >Potri.001G328701.1.v4.1 pep chromosome:Pop_tri_v4:1:33796168:33800222:1 gene:Potri.001G328701.v4.1 transcript:Potri.001G328701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G328701.v4.1 DWGPVVVAVVLFILLSPGLLFQLPARTRVVEFGNMYTSGIAILVHAVIYFCIITILIIAVGVHIHNEFRDFRMKDWAAPLIAAALFAFLSPGLVFQMPGKERPLDFMNMKTSLAAMFVHLVIYGLLLILFLVALHAHLY >Potri.001G208000.1.v4.1 pep chromosome:Pop_tri_v4:1:21274202:21279477:-1 gene:Potri.001G208000.v4.1 transcript:Potri.001G208000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G208000.v4.1 MPPNSNLSSGENPTTRPPPSNQNHHHLCYPTTTRTTSSSSSPSFRGCCCCLVLLFSLLALLILAVFLVIMLAVKPKKPQFDLQQVGVQYMGISAPNPSASPDPTSTTTPASASLSLTINMLFTAVNPNKVGIRYGESSFTVMYSGIPLGKALVPGFYQEAHSQRQVEATISVDRYSLMQAYASDLIRDASLNDRVELRVLGQVGAKIRVLDFDSPGVQVSVDCAIVISPRKQSLTYKQCGFDGLNV >Potri.014G197300.1.v4.1 pep chromosome:Pop_tri_v4:14:12925009:12932281:-1 gene:Potri.014G197300.v4.1 transcript:Potri.014G197300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197300.v4.1 MVMAVSANNNNNDNNNNDSNNQEATASQKPPSTANGVPVNSTNNGASLSNSNNLSTGDAVNSKTLSSLRHDPGISVEWSPDEQSILDDLLSKYASESNLVRYAKIAMKLKDKTVRDVALRCRWMTKKENGKRRKEDHSARKNKDRKEKATDSSTKSSSHLTTRPNGPSYAPVIPIDIDDGVSYKDIGGATGELLEKNAQILSQISSNFSSIQVHDNINLLCKSRENILALLNDLNDMPETMKQMPPLPVKLNEELASNILPP >Potri.010G005900.1.v4.1 pep chromosome:Pop_tri_v4:10:547681:551629:-1 gene:Potri.010G005900.v4.1 transcript:Potri.010G005900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005900.v4.1 MSSPLLEVSLLSLCLLYGSIFSLIAQASVPPSARFQIPVDTFFGVYSVEYGANYRLIGIDNYPFQLGFYNTTPDAFTLALRMGNPLASPKMYFVWEANRGKPVRVNATLTLGDDGNLVLADVDGSIAWQTYTAQKGVVGFQLLPNGNMVLHDSKGNFVWQSFDHPTDTLLVGQSLRVEGTARLVSRASEKENSDGPYSLVLEHKRLAMYYKSPSSPRPYLYYTPDKLSESKGRIHNVTLYSRGEVDGFYYDFALSTPFQDAILTTVNYNNTLSFLRLGIDGNLRIHTFYDKANSNDGFQVTFTLFSRDSGWESECQLPERCGRFGLCEDNQCVACPLPNGLMGWSRDCQPVKSSSCGSQKFYYYKLEGVDHYMSKHTSGIGPMNEDDCRRKCSGDCKCLGYFYNKDTSKCWIAYDLHTLTRVGNSTHLGYIKAPK >Potri.005G248975.1.v4.1 pep chromosome:Pop_tri_v4:5:24289715:24290955:-1 gene:Potri.005G248975.v4.1 transcript:Potri.005G248975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248975.v4.1 MAACHIRSISLPSRSHPLNVSVEDQLDRLRSSETTSTSVYHKLSGLKVLYECVEDFLQLPLTQQTLSNEQQKERGEEVSSGSLLLLDMCSTTRDVFSSIKECLQELESSLRRRKGGESGFASEVEAYIMSRKQLDKTIRKCFKNLKSMEKNITSAVDAVSLLTEVKEISLGIFQSLLSMVSQTKARSSSHGWSVVSKLFPSKRVSCEAELNEFKKIDAELLVLKSSKDINSVQVQNALKGLEALESNIQEAVEELEAVYRRLLKTRVTILNILSH >Potri.017G105501.1.v4.1 pep chromosome:Pop_tri_v4:17:11507668:11509073:1 gene:Potri.017G105501.v4.1 transcript:Potri.017G105501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G105501.v4.1 MASTSVQGITSSSSSSSSSPPLYMYDVFLSFRGKDTRNNFTSHLYYNLAQRGIDVYMDDRGLERGKTIEPALWKAIEESRFSVIIFSREYASSPWCLDELVKIVQCMKETGHTVLPIFYDVDPSEVAEQKGQYEKAFVEHEQNFKENLEKVRNWKDCLSTVANLSGWDVRNR >Potri.001G007700.7.v4.1 pep chromosome:Pop_tri_v4:1:534100:535827:1 gene:Potri.001G007700.v4.1 transcript:Potri.001G007700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007700.v4.1 MTEERQKATWAIYVWCRRTDELVDGPNAVLMSTAVLDRWEERLQDIFDGRPYDMLDAALTDTISKFPLDIKPFRDMIEVGLMSVPVMGIAAESEASAQSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDQDVFARKVTDGWREFMKEQIIRARFYFNLAEEGASKLEKASRWPVWSSLLVYQKILDAIEDNDYDNFTKRAYVGRTKKLLTLPLAYTKAQSMSRLILQ >Potri.001G007700.6.v4.1 pep chromosome:Pop_tri_v4:1:534027:535827:1 gene:Potri.001G007700.v4.1 transcript:Potri.001G007700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007700.v4.1 MLDSLPDPISTGTRLMTEERQKATWAIYVWCRRTDELVDGPNAVLMSTAVLDRWEERLQDIFDGRPYDMLDAALTDTISKFPLDIKPFRDMIEVMGIAAESEASAQSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDQDVFARKVTDGWREFMKEQIIRARFYFNLAEEGASKLEKASRWPVWSSLLVYQKILDAIEDNDYDNFTKRAYVGRTKKLLTLPLAYTKAQSMSRLILQ >Potri.001G007700.2.v4.1 pep chromosome:Pop_tri_v4:1:533211:535827:1 gene:Potri.001G007700.v4.1 transcript:Potri.001G007700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007700.v4.1 MCSTFSLSAKPCTGADNGKFLHSKSLVTRARAEVIAAPKRSSQRAFPRLLKHGIPHTDLQVHEIVERQSQANSLSKQDICRKPEFHPAFLEEAYERCRNICAEYAKTFYLGTRLMTEERQKATWAIYVWCRRTDELVDGPNAVLMSTAVLDRWEERLQDIFDGRPYDMLDAALTDTISKFPLDIKPFRDMIEVGLMSVPVMGIAAESEASAQSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDQDVFARKVTDGWREFMKEQIIRARFYFNLAEEGASKLEKASRWPVWSSLLVYQKILDAIEDNDYDNFTKRAYVGRTKKLLTLPLAYTKAQSMSRLILQ >Potri.001G007700.8.v4.1 pep chromosome:Pop_tri_v4:1:534100:535827:1 gene:Potri.001G007700.v4.1 transcript:Potri.001G007700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007700.v4.1 MTEERQKATWAIYVWCRRTDELVDGPNAVLMSTAVLDRWEERLQDIFDGRPYDMLDAALTDTISKFPLDIKPFRDMIEVMGIAAESEASAQSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDQDVFARKVTDGWREFMKEQIIRARFYFNLAEEGASKLEKASRWPVWSSLLVYQKILDAIEDNDYDNFTKRAYVGRTKKLLTLPLAYTKAQSMSRLILQ >Potri.001G007700.4.v4.1 pep chromosome:Pop_tri_v4:1:534027:535827:1 gene:Potri.001G007700.v4.1 transcript:Potri.001G007700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007700.v4.1 MLDSLPDPISTGTRLMTEERQKATWAIYVWCRRTDELVDGPNAVLMSTAVLDRWEERLQDIFDGRPYDMLDAALTDTISKFPLDIKPFRDMIEGMRMDTRKFRYDNFQELYLYCYYVAGTVGLMSVPVMGIAAESEASAQSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDQDVFARKVTDGWREFMKEQIIRARFYFNLAEEGASKLEKASRWPVWSSLLVYQKILDAIEDNDYDNFTKRAYVGRTKKLLTLPLAYTKAQSMSRLILQ >Potri.001G007700.5.v4.1 pep chromosome:Pop_tri_v4:1:534027:535827:1 gene:Potri.001G007700.v4.1 transcript:Potri.001G007700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007700.v4.1 MLDSLPDPISTGTRLMTEERQKATWAIYVWCRRTDELVDGPNAVLMSTAVLDRWEERLQDIFDGRPYDMLDAALTDTISKFPLDIKPFRDMIEVGLMSVPVMGIAAESEASAQSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDQDVFARKVTDGWREFMKEQIIRARFYFNLAEEGASKLEKASRWPVWSSLLVYQKILDAIEDNDYDNFTKRAYVGRTKKLLTLPLAYTKAQSMSRLILQ >Potri.001G007700.1.v4.1 pep chromosome:Pop_tri_v4:1:533211:535827:1 gene:Potri.001G007700.v4.1 transcript:Potri.001G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007700.v4.1 MCSTFSLSAKPCTGADNGKFLHSKSLVTRARAEVIAAPKRSSQRAFPRLLKHGIPHTDLQVHEIVERQSQANSLSKQDICRKPEFHPAFLEEAYERCRNICAEYAKTFYLGTRLMTEERQKATWAIYVWCRRTDELVDGPNAVLMSTAVLDRWEERLQDIFDGRPYDMLDAALTDTISKFPLDIKPFRDMIEGMRMDTRKFRYDNFQELYLYCYYVAGTVGLMSVPVMGIAAESEASAQSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDQDVFARKVTDGWREFMKEQIIRARFYFNLAEEGASKLEKASRWPVWSSLLVYQKILDAIEDNDYDNFTKRAYVGRTKKLLTLPLAYTKAQSMSRLILQ >Potri.001G007700.3.v4.1 pep chromosome:Pop_tri_v4:1:533211:535827:1 gene:Potri.001G007700.v4.1 transcript:Potri.001G007700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007700.v4.1 MCSTFSLSAKPCTGADNGKFLHSKSLVTRARAEVIAAPKRSSQRAFPRLLKHGIPHTDLQVHEIVERQSQANSLSKQDICRKPEFHPAFLEEAYERCRNICAEYAKTFYLGTRLMTEERQKATWAIYVWCRRTDELVDGPNAVLMSTAVLDRWEERLQDIFDGRPYDMLDAALTDTISKFPLDIKPFRDMIEVMGIAAESEASAQSIYNAALYLGIGNQLTNILRDVGEDALRGRVYLPQDELAQFGLCDQDVFARKVTDGWREFMKEQIIRARFYFNLAEEGASKLEKASRWPVWSSLLVYQKILDAIEDNDYDNFTKRAYVGRTKKLLTLPLAYTKAQSMSRLILQ >Potri.009G142400.1.v4.1 pep chromosome:Pop_tri_v4:9:11340154:11341026:-1 gene:Potri.009G142400.v4.1 transcript:Potri.009G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142400.v4.1 MASSSNQKTGFHARSNSFPSRLNPAITQLDEHLCRSRASEGACTSSSLGGKLSSLQDLHDCVNKLLFLPLNQQAIAQENNGKLIEELLDGSLQVLDLCNTAKDALLQTKESVHELQSILRRRVRVETGLTSEVKKYLTSRKVVKRAIHKALKVIKKNSTFSAFNGDRETTIMFNMLKEVEVVSLKAFDSLLSFISGPEARTIGWSLVSKLVHHKKVASADEEADINEFAKADAALLALVDQNTSKSDNIKGVQTQLENLELCIQDIEEGLEYLSRTLIKTRVSFLNILNH >Potri.017G027400.1.v4.1 pep chromosome:Pop_tri_v4:17:1789823:1795108:1 gene:Potri.017G027400.v4.1 transcript:Potri.017G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027400.v4.1 MDKDKSASHHSSGLPPPPGRYSSFSPSGSSFNLKPEQSPSTFPPMAPGSSPDPNHFGHGSDSNRFSHDISRMPDNPPKNLGHRRAHSEILTLPDDISFDSDLGVVGGGADGPTFSDETEEDLLSMYLDMDKFNSSSATSTFQVGESLAPAMAAQAMAPLPAAVSLGAGPSERPRVRHQHSQSMDGSTTIKPEMLMSGSEEASPADSKKAVSAAKLAELALIDPKRAKRIWANRQSAARSKERKMRYIAELERKVQTLQTEATSLSAQLTLLQRDTNGLTSENSELKLRLQTMEQQVHLQDALNDALKEEIQHLKVLTGQTPNGGPMMNYASFGGGQQLYPNNQAMHTFLAAQQFQQLQIHSQKQQQQQQQQQQQQFQLHQLQQQQLQQQQEQQQQQQGGDLKMRGSLTSSSQKDNGSEANSSSSKD >Potri.016G012801.1.v4.1 pep chromosome:Pop_tri_v4:16:676416:677504:-1 gene:Potri.016G012801.v4.1 transcript:Potri.016G012801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012801.v4.1 MERYRLINELGEGTYGSVLKAINNESGEVVAIKQMKRRYDSWEECLSLRELKSLRNLHHPNIVMLKELVRQNSILYFVFEYMEQNLYQVISDRKILFSEVEVRNLCRQVFQGLAYMHQKGYFHRDLKPENLLVTEDVVKIADFGLAREIDSQPPYTQYVSTRWYRAPEVMLRSDCYSSKVDMWAMGAIMAELFTLRPLFPGTNEGNQMYRICSVFGTPTIDSWADGIHLARTLNYQFPNFDGVQLSALIPSASEEAIDLISMLCSWNPCNRPTAEEALKHPFFRNDHYIPPCLHFTAAAKRETHSDGSIEELEQKRDMGYCGALYDSSLNHNFPSSNKLDTGSSTVASSIGLTRMFPFLANS >Potri.004G199600.1.v4.1 pep chromosome:Pop_tri_v4:4:21031560:21035850:-1 gene:Potri.004G199600.v4.1 transcript:Potri.004G199600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199600.v4.1 MQATVPVSSSLHTTSTAADPTALSTQTNNMALVPAPPSETPLFAEVDMCSDSSATTVRATVIQASTVFYDTPATLDKAERFLAEAAGYGSQLVVFPEAFIGGYPRGSSFGATIGSRTAKGREDFRKYHASAIDVPGPEVDRLAAMAGKYKVYLVMGVIEREGYTLYCTVLFFDSQGHYMGKHRKVMPTAVERIVWGFGDGSTIPVFGTPIGKIGAAICWENRMPLLRTAMYGKGIEIYCAPTADSRDTWQATMTHIALEGGCFVLSANQFCRRKDYPPPPEYVFLGVEEDLTPDSVVCAGGSVIISPLGTVLAGPNYDGEALISADLDLGEIARAKFDFDVVGHYSRPEVLSLTVRDHPTNAVMFTSESAKTEASRK >Potri.001G128800.1.v4.1 pep chromosome:Pop_tri_v4:1:10549830:10555193:1 gene:Potri.001G128800.v4.1 transcript:Potri.001G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128800.v4.1 MEAIKKQATRLREQVAKQQQAVLKHLGHFSNEGIIVDEAELQCYQHLQNLYNSTRAAKHFQKNIVRGAEGFVSISSKQMEILRKLADECCKYGAENQSENNYVARTVLQFGASHNLMENEKEILLGVLNDQVSKPLRALITGAPLEDARHLTHRYDKLRQEVEAQAAEVLRRRSKTRDSEISAESCMKLQAAEARLTELKSTVMALGREATAAMSSVENQQQEITAQRLFSMVDAERCYHQHVLTILDKLHAEMILEEQLNESALQSATTQRDVILPPEPKNNTSNGSENHMHPNHKDALYIAKVIHPFDAQAEGELSLFIDDFVVVRKVAPTGWSEGECKGKAGWFPSAYIEKHEKAPASKIMEESSTP >Potri.011G155200.1.v4.1 pep chromosome:Pop_tri_v4:11:18202287:18205897:-1 gene:Potri.011G155200.v4.1 transcript:Potri.011G155200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155200.v4.1 MPASDPEKLIAKADKLTKLSLTRWSADWRNATLLYEEAANLFRVAKKNEKAKEAFEKASKGQEMLSSPWDAAKDMESAAALAKELGNWNEVSDFYRRASELYMECGRPQPASDALAKAARALEDTIPDAAVQLYNDASTILEEDGKEQMAFDLYRAASSVYIKLEKYSDAAASLLQLGLAADKCNATNSQCKAYLGAIIVYLYAHDFKQAEKCYNDCSQVDAFLRSDQNRCASKLLSAYTEGDIEEIKRLAQSNTVSNLDHVVIKLARKLPTGDVSALKTDAAKEEEEPLDENDLT >Potri.001G053200.1.v4.1 pep chromosome:Pop_tri_v4:1:4041022:4041720:1 gene:Potri.001G053200.v4.1 transcript:Potri.001G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053200.v4.1 MTGFISFLLFLVLPLCLLSTATADEHDEHRLPFVQVINALPKNSKPMQVSCSTKNINIGKRSLVNGEVFKWRAAQRKLHSCGALWERLFASWHAFQPRRDENHETVYWMVKEDGFFISWDKANWVRKYRWETE >Potri.002G255700.1.v4.1 pep chromosome:Pop_tri_v4:2:24457771:24460871:1 gene:Potri.002G255700.v4.1 transcript:Potri.002G255700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255700.v4.1 MGQKRIKMELIRKEKSRMLTFRKRKAGLLKKASEFSILCGVDACVIIFGPKEKDDHQPVAPETWPPSSEEVRCIINRYKGSDQPRRCYQVSDYFADKKKQIDSELARLHKQIIKAKYPAWDDRLNRLYADQLRVIVGHLDAKIDLADKKLGSFNVNQYVMGAPGVQAASLSPSVSHDMESYMKSRDDNFLQLIHNSNPFDAQPPMVFYPEQSSHVTNLLERKYSNGYSTDLQVYYEPRPLDDQLPVDFQSKQTSHGTTRNASFWESNNDNCYSTDLQLYLEPNPLNVQPPMHFQPKQNAHRTSSYLHAMEDAIMKMACDPNTSDQFGCKLSSSSNLPCANRTPWMWDNVWYNNADSSVSYIAPTKQPIMPSIQFPMSSFPHQMQSSEASDFTGNLEFEGKGQGYN >Potri.010G109300.2.v4.1 pep chromosome:Pop_tri_v4:10:12992937:12993575:1 gene:Potri.010G109300.v4.1 transcript:Potri.010G109300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G109300.v4.1 MPTQMQRSLLLLPFFLTSLLLYCQPISTVASPDPTFSPSNGTDYIRSGCGATLYPEICYASLSRYASAVQNSPGRLARVAIKVSLLRTSHMAAYVSNLSRQSDFGADNRAAAALHDCFSNLGDAVDEIRGSLKQMRQVGAAGPSTESFQFQMGNVQTWMSAALTDEDTCTDGFEDVGDGEVKTEVCNRAADVKKLTSNALALVNSYAATGTP >Potri.010G053902.1.v4.1 pep chromosome:Pop_tri_v4:10:8534805:8535739:-1 gene:Potri.010G053902.v4.1 transcript:Potri.010G053902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053902.v4.1 MHVQSQDRQKGAQQFVDNRAQHFVETYNNRLRERYGDDILTHPEFDPDLWMEVGSSGGPDKNRVYGLSNTTADNLRSTRSVSTVGSSQSISSSQSKEFVALQQHTAQLTEKYDNLSAEYAQLKASHAQQRAEQRAESEQIKASQAQQKAEYEAAYEQQKAAYEQLREMIMNMANSGTCAPNLFWPYNHQPPPGSPPPPPAPPLY >Potri.001G359700.6.v4.1 pep chromosome:Pop_tri_v4:1:37606979:37613833:-1 gene:Potri.001G359700.v4.1 transcript:Potri.001G359700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359700.v4.1 MDVQAPPKLIPNNFNDDDDDDDGPIVFKRGGNSTSKQNQLNPEAKKPSSSSQNSNGQSLNLQKGKSPIPSSNASPMKSSTGSPKASASSAKASPLKSPLTYTRALTSSNDQLKQASKQVVSNAVKEETKSIVDDEDSEDDKPLSSRIKGSTNNVNKVVTAPAHVKDEPSDDDEVPLSSRFAMKSNAGTSSSKSIRSSEIRPFPSKSQLNGSTKNDKQQKSSVVPTKRPMDNKVPSNHSSAKKPKLLDACTTTKVKQVTVKVEQKADDDDHLTISQRMKKADSSANKSSFAKKKVTKVVSSSLKKTTQKNKKQMKNSKYSKSTKVQPGSSDGQKKWTTLVHNGVIFPPPYKPHGVKILYKGKPIDLTPEQEEVATMFAVMKDTDYVQKPQFRQNFWNDWRKLLGKNHVIQKLDDCDFNPIYEWHQQEKEKKKQMSTEEKKVLKEEKLKQEEKYMWAIVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDAPIPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIHNIRAAYTKDFASKDITKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPPNKLKFDFLGKDSIRYENTVEVELPVYKAIGHFQVAKKQTDDLFDSLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKIVIYNQANKQVAIICNHQRTISKSHDAQMSRLTEKIEELKSTLKELKTDLDRAKKGKPPLKGADGKQKRSLTPEAIEKKIATTNQKIEKMEMAMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIDKIFNKSLLAKFTWAMDVDPQFRF >Potri.001G359700.1.v4.1 pep chromosome:Pop_tri_v4:1:37606974:37613876:-1 gene:Potri.001G359700.v4.1 transcript:Potri.001G359700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359700.v4.1 MDVQAPPKLIPNNFNDDDDDDDGPIVFKRGGNSTSKQNQLNPEAKKPSSSSQNSNGQSLNLQKGKSPIPSSNASPMKSSTGSPKASASSAKASPLKSPLTYTRALTSSNDQLKQASKQVVSNAVKEETKSIVDDEDSEDDKPLSSRIKGSTNNVNKVVTAPAHVKDEPSDDDEVPLSSRFAMKSNAGTSSSKSIRSSEIRPFPSKSQLNGSTKNDKQQKSSVVPTKRPMDNKVPSNHSSAKKPKLLDACTTTKVKQVTVKVEQKADDDDHLTISQRMKKADSSANKSSFAKKKVTKVVSSSLKKTTQKNKKQMKNSKYSKSTKVQPGSSDGQKKWTTLVHNGVIFPPPYKPHGVKILYKGKPIDLTPEQEEVATMFAVMKDTDYVQKPQFRQNFWNDWRKLLGKNHVIQKLDDCDFNPIYEWHQQEKEKKKQMSTEEKKVLKEEKLKQEEKYMWAIVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDAPIPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIHNIRAAYTKDFASKDITKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPPNKLKFDFLGKDSIRYENTVEVELPVYKAIGHFQVAKKQTDDLFDSLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKIVIYNQANKQVAIICNHQRTISKSHDAQMSRLTEKIEELKSTLKELKTDLDRAKKGKPPLKGADGKQKRSLTPEAIEKKIATTNQKIEKMEMAMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIDKIFNKSLLAKFTWAMDVDPQFRF >Potri.001G359700.7.v4.1 pep chromosome:Pop_tri_v4:1:37606956:37613829:-1 gene:Potri.001G359700.v4.1 transcript:Potri.001G359700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359700.v4.1 MDVQAPPKLIPNNFNDDDDDDDGPIVFKRGGNSTSKQNQLNPEAKKPSSSSQNSNGQSLNLQKGKSPIPSSNASPMKSSTGSPKASASSAKASPLKSPLTYTRALTSSNDQLKQASKQVVSNAVKEETKSIVDDEDSEDDKPLSSRIKGSTNNVNKVVTAPAHVKDEPSDDDEVPLSSRFAMKSNAGTSSSKSIRSSEIRPFPSKSQLNGSTKNDKQQKSSVVPTKRPMDNKVPSNHSSAKKPKLLDACTTTKVKQVTVKVEQKADDDDHLTISQRMKKADSSANKSSFAKKKVTKVVSSSLKKTTQKNKKQMKNSKYSKSTKVQPGSSDGQKKWTTLVHNGVIFPPPYKPHGVKILYKGKPIDLTPEQEEVATMFAVMKDTDYVQKPQFRQNFWNDWRKLLGKNHVIQKLDDCDFNPIYEWHQQEKEKKKQMSTEEKKVLKEEKLKQEEKYMWAIVNGVKEKVGNFRVEPPGLFRGRGEHPKMGKLKSRIRPSDITINIGKDAPIPECPIPGERWKEVKHDNTVTWLAFWNDPINPKEFKYVFLAASSSLKGQSDKEKYEKARKLKDYIHNIRAAYTKDFASKDITKRQIAVATYLIDKLALRAGNEKDDDEADTVGCCTLKVANVECIPPNKLKFDFLGKDSIRYENTVEVELPVYKAIGHFQVAKKQTDDLFDSLDTSKLNAHLKELMPGLTAKVFRTYNASITLDEKLYEETEDGDVAEKIVIYNQANKQVAIICNHQRTISKSHDAQMSRLTEKIEELKSTLKELKTDLDRAKKGKPPLKGADGKQKRSLTPEAIEKKIATTNQKIEKMEMAMKTKEDLKTVALGTSKINYLDPRITVAWCKRHEVPIDKIFNKSLLAKFTWAMDVDPQFRF >Potri.012G141700.1.v4.1 pep chromosome:Pop_tri_v4:12:15331562:15334955:-1 gene:Potri.012G141700.v4.1 transcript:Potri.012G141700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141700.v4.1 MVSKRQKLARKRFKGEHPELFPKPEPTLLKDPNKKKKKKSLLKRKKSDPNEPYKKGFGRHPLRVPGMKPGDSCFICKAKDHIAKLCPQKSEWERNKICLLCRHRGHSLKRCPNKNDETMDQKSCYNCGEKGHSLSQCPQPREDGGTKFANCFICNERGHLSKDCPKNTRGIYPKGGCCKVCGGVTHLARDCPDKGLRGSAATGKEATGREVRPTGRVTKFVSGDELDDDFMTGNMYSIPEDKSSDSKIDPSVSKDVHVKSKKKQGPKVVNFVG >Potri.004G202900.2.v4.1 pep chromosome:Pop_tri_v4:4:21212737:21213572:-1 gene:Potri.004G202900.v4.1 transcript:Potri.004G202900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202900.v4.1 MASKMEGSHFSKSKKEEEPTIDIVKNDSHTTIISGGSTSQTLAFSGTDQATSSVPPQGAVHRDGGGGDGDREVLDKDCGRERLKRHREEVAGRVMIPDTWGQENLLKDWIDCSTFDELLAPKEISSAREALVAEERRKRSPRLSIASRC >Potri.010G060300.1.v4.1 pep chromosome:Pop_tri_v4:10:9046098:9051324:-1 gene:Potri.010G060300.v4.1 transcript:Potri.010G060300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G060300.v4.1 MPWLAIPFSDTETRLRLKEVFEVRGIPHLVIFDTNGKVSCDDGVSTVMEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPLLDLEGKLVGLYFSIHTMCGEFTPKLVELYKTLKEKGENFEVVLISLDYDEEDFKESFETMPWLALPFKDKSCKKLAQYFELRTIPNLVIIGQDGKTLNPNVAELIEDHGIEAYPFTPEKLDELAAIEKAKLESQTLESVLVNGENDFVIDKSGSKVPVSELVGKNILLYFSAQWCPPCRAFLPKLIEAYHTIKAKDNAVEVIFISSDSDQTTFDEFYSEMPWLALPFGDERKQILSRKFKIQGIPAAVAIGPSGRTITKEARMHLTAYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRKAYVCNGCRETGYRWSFYCKQCDFNLQPKCALKEDEDTGTEKGKEGWVCDGDVCRRA >Potri.003G098900.1.v4.1 pep chromosome:Pop_tri_v4:3:12442706:12445276:1 gene:Potri.003G098900.v4.1 transcript:Potri.003G098900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098900.v4.1 MVHRSLGAGFENNDTLMNTRKILSLSYNDLPYYLKSCLLYFSIFPAGNPIKRMKLIRLWIAEGFVEGKEGMTLEEVAEDYLNELIKRSLVRVVKAASDGRVKTCRIHDLLREIMITKAKDQDFVAIAKEEGMVLSEKVRRVSLHKAVPSIQRRHVASRLRSVLIFWGADSCPDSPAPNLSFGHLRLLNVLDLEGAPLKEFPSKVSSLFLLKYLSLRNTNVNSIPSSISKLLNLETLDLKHTQISELPVGILKLRKLRHLLVYRYEIDCDDRIHIKYGFQPPPQIGSLQSLQKLCFVEANQGGDLLLELGRLNQLRRLGIVRFRKEHGKALCSSVTKLTDLRALSISSITDSEFIDLEYLSSPPRFLQRVYLTGRLQSLPEWLHYSDSLVKLVLKWSRLSDDPLLSLQHLPNLVHLKLIQAYNGEMLCFQAKGFQRLRFLSINKLESLRVITVQQGAMPCLEKLIVQSCKELKTVPSGIEHLTTLKVLEFFNMPKELIMTLQPSEENGDDLKVEHVPDVYSPTGTTVYWITSLYSPKRMEVLPCLALAVAGDYIWK >Potri.013G042000.3.v4.1 pep chromosome:Pop_tri_v4:13:2894682:2900465:1 gene:Potri.013G042000.v4.1 transcript:Potri.013G042000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042000.v4.1 MAGQTVKVQRQVLEACMTCPLCNKLLKEATTISLCLHSFCRKCIYEKLSDEEVDCCPVCNIDLGCLPVEKLRPDHNLQDIRAKVFPFKRRKVNAPEIMPSIALPAKRKERSLSSLVVSTPKVPIQNGLTGRRSKAGARKAAALRGCNFTVEESKKEDSAEDNPMSPSSPGSPVKSIQKRRLDSSVADPSTEQRRNDDDEDDDVEIIEGKADLWTPLNCLVEAANRTKSSKSHSQGLSLVKSGMLDDPDCEPHLYETKSRAESPGGHHNEVYMSKTKNKEHGQGIGVQDDKNGKNSLPISVKRRRLTAARKRAALSEGLSASAQAMIDAAGAKSNRRNGPIWFSLVASEDQKEDASLPQISTCYLRIKDGKMPVSFIQKYLVKKLDLDSETEVCSSLLPSSKYNFLVGLLVKLL >Potri.013G042000.1.v4.1 pep chromosome:Pop_tri_v4:13:2894623:2900000:1 gene:Potri.013G042000.v4.1 transcript:Potri.013G042000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042000.v4.1 MAGQTVKVQRQVLEACMTCPLCNKLLKEATTISLCLHSFCRKCIYEKLSDEEVDCCPVCNIDLGCLPVEKLRPDHNLQDIRAKVFPFKRRKVNAPEIMPSIALPAKRKERSLSSLVVSTPKVPIQNGLTGRRSKAGARKAAALRGCNFTVEESKKEDSAEDNPMSPSSPGSPVKSIQKRRLDSSVADPSTEQRRNDDDEDDDVEIIEGKADLWTPLNCLVEAANRTKSSKSHSQGLSLVKSGMLDDPDCEPHLYETKSRAESPGGHHNEVYMSKTKNKEHGQGIGVQDDKNGKNSLPISVKRRRLTAARKRAALSEGLSASAQAMIDAAGAKSNRRNGPIWFSLVASEDQKEDASLPQISTCYLRIKDGKMPVSFIQKYLVKKLDLDSETEVEILCRGQPVGPTLPLQNLVDLWFRTGSTSKKVPASVGSSAKDFVMVLSYCRKVQES >Potri.001G147300.1.v4.1 pep chromosome:Pop_tri_v4:1:12156791:12159326:1 gene:Potri.001G147300.v4.1 transcript:Potri.001G147300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147300.v4.1 MTVSSAENIVDDATFSLSRLAPLEAILFDVDGTLCDSDPLHHYAFREMLQEINFNDGVPITEEFFVQNIAGKHNEDIALSLFPDDLQRGLKFTEDKEAMFRRLASEQLKPINGLYKLKKWVEDRGLKRAAVTNAPRANAELMISLLGLSDFFHAVIIGDECQHAKPHPEPYLKALEVLNVSKDHTFVCEDSVSGIKAGVAAGMPVVGLTTRNPEHLLLEAKPTLLIKDYEDPKLWTALEELDKQAAAAKPTA >Potri.015G056800.1.v4.1 pep chromosome:Pop_tri_v4:15:7893699:7895804:-1 gene:Potri.015G056800.v4.1 transcript:Potri.015G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G056800.v4.1 MGAFNKASSILFIFLASIFSICFRPLFADINGDIITPLNPPTPPPPLSQSNISRPNETPFRTSIAIIVAVLTTIFSVTFLLLLYAKHCKRGNGNTISVTGYDINDPNVRAARKHSGIDRAVIESLPIFRFSSLRGQKEGLECAVCLTRFEPTEVLKLLPKCKHAFHVECVDTWLDAHSTCPLCRYRVDPEDVLLIGNDVNNINYNNAEQDPEFLDIESGKQGDGSTAASPGFRRISGRHSSAGERVSSWFQHKLGVVPAATSFRRSLDSSSSRKKNIESASVTVGCFDRGGHRKDGLLLTIDDKTRLEHRIIVSGGFHQRWSDVQPSDLLYLRSEMILSDSRRLSTSSASASVNLQLDLVGDGRHRKGTGGSGSGRSVINTRSMSEFTGLNRFASRSTNNNNQEGQVAGVVSRWLAWISSLSQPAVRSERTATSAS >Potri.016G034000.1.v4.1 pep chromosome:Pop_tri_v4:16:1966283:1971247:-1 gene:Potri.016G034000.v4.1 transcript:Potri.016G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034000.v4.1 MEEEEEEEYRILLAFGDRRYAPTEEYQQIRQEQLPLVMNLDAWKDPSTFQDWLSFGSRPIRTETTLVCSFTTTIVGLRDAEINDHELLRFVRYRQCPYDEYAIKVLNSSSREMGYLSTPVAQVLSPLVDLRKINLEGEVAFSKVRHDMSIPCAVRILAKAADVQGVRKEILPLDLLLHKLPRASFGSYEDLGLKEKSRIEKLGTLEPPKKVIKAKLLDHQKEGLWWLVNKEKSDELPPFWVVKDGLYLNVLTRHQTNRRPEPLHGGVFADDYGLGKTLTLLSLIAFDKVGNVTEGTGEEDRLEFVSRGKNWGRVSEKGTGEQKMHSLLDSNIKESSVRMAGESSSALVAKKTLVVCPSAVCSTWENQLQEHTQKGSLKLYKYYGDNRTKDAEELMKYDIVLTTYSTLVADGCEQKRYPLMKIEWWRVILDEAHVITKKANEQQSRELVKLTARRRWAVTGAPIQNGSFDLSSLMTFFRLDPLSTEYYWQELLQKHLANGDEKGFVRLQELMATISLRRIKDKVLVGLPSKTIETVSFEFSGEERELYNQMEADSKNVVAYFIAAYKLRSRYISVLFSVIQLRQLCNDSALCSMDLRSLLPSDNIGDGSKHPELLRKMIDGLQDGEDIVCTVCLDPPTEATITICEHIFCKKCICHHLQQKVTEQTCPNCRRPISFPDLFSAPPESSDPENPKKLSRTIPSKVSALIKLLKESRVVNSISKSVVFSLFDKMLALMEEPLEDAGFNTLRLDASTDEIRQAEIIKKFSSAGADTVLLASLKTSGTGINLTAASKVYLLEPWWNSAVEEQAINRVHQYGQQENVRIVRLIAQNSIEERILEMQERKKVANEAFGRQGRPSEQQEASIFDLCRLLF >Potri.009G154802.1.v4.1 pep chromosome:Pop_tri_v4:9:12110808:12112118:1 gene:Potri.009G154802.v4.1 transcript:Potri.009G154802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154802.v4.1 MHVDRYLVKTVLKDILKFREGIDMITYPPHSNYTESVLKGISAGIDMIMVPYNHTEFINIVIDLVNNNYISTDRIDDVVKRILRVKFTLGLFETPLAEETLVDQLGSQRFGKGSCEEVTCVVEEWRECRCSRVDGNNYTAGTTILSGISAAVDPSTEIVYSKNPNADFFKSNNFSYAIVVVGETPYAETAGDSLNLTIAEPGPSTILNVCGNVKCVVVTVSGRPVVIEPYESQIDALVAAWLPGAEG >Potri.001G175800.1.v4.1 pep chromosome:Pop_tri_v4:1:15140640:15142175:1 gene:Potri.001G175800.v4.1 transcript:Potri.001G175800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175800.v4.1 MSQETPFQLPSIDFCKSDLKPGTSEWDLVKSQVWKAISEHGCFKALFDKIPLHVEKSCLGEVKELFDLPLQTKRQHVSEIPFNSYFWKSPPPLQYESFGIEDPSIFENCNNFTNVLWPHGNPDFRKNINYFSTKVSEFEKLIRRMILESMGLDNYLDEHMSSTTCVLRVMKYQVPQITEPTYTSKPHTDKNLITILYQNQVDGLEVQTKHGEWIGVELSHDHSFVILIGESFRAWTNGRLHPPYHRVRMSGSEARYSAGLFSFFKAGYKTKTPEDLIDEDHPLLYKPFDYFEFLKFFSAWAPKAQPNQCALKAYCGV >Potri.017G087700.2.v4.1 pep chromosome:Pop_tri_v4:17:10072297:10074228:-1 gene:Potri.017G087700.v4.1 transcript:Potri.017G087700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G087700.v4.1 MNEIFEGYERQYCELSANLSRKCTAALALDREQKKQKISEIRAGLEDAESLIRKMDMEARNLQPNVKAVLLAKLREYKSDLNNLKTEVKRIGSGNLNASARDELLEAGMANSLTASADQRSRLMMTTERLNQSGDRIKDSRRTMLETEELGVSILQDLHQQRQSLLHAHDTLHGVDGNIGKSKRVLTAMSRRINKNKWIIGAIIAVLVVVISLILYFKLK >Potri.008G165800.1.v4.1 pep chromosome:Pop_tri_v4:8:11426423:11429678:-1 gene:Potri.008G165800.v4.1 transcript:Potri.008G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165800.v4.1 MKIMVAIKRVVDYAVKIRVKSDKSGVETQNVKMSMNPFCEIALEEALRIKQSGLASEVVAVSMGPTQCVDTLRTGLAMGADRGIHVESTAALFPLTVAKLLKALVEVEKPGLIILGKQAIDDDCNQTGQMVAGLLGWPQGTFASKVLLDTEKQVATVEREVDGGLETLCLDLPAVITTDLRLNQPRYATLPNIMKAKSKVIKKYTPQELNVEVKSDIEVVEVTEPPKRKAGVIISSVDELIDKLRNEAHVI >Potri.008G108600.1.v4.1 pep chromosome:Pop_tri_v4:8:6876564:6881701:-1 gene:Potri.008G108600.v4.1 transcript:Potri.008G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108600.v4.1 MGIEKEGSKSGGYVGGFFQLFDWTAKSRKKLFSSKSDLPERSKQGKRSDGSLPMTRLHLMDDDENGAGSGIRGGSDYSCASSVTDDDGYGARAPGVVARLMGLDSMPTSNLSEPNSTPSFDTQSLRDASRGSRNFDYYQDHQIAYSGNLLDKEDRPPRNFEESKSHKVLSRPIEKFQTEILPPKSAKSIPITHHKLLSPIKSPGFIPNKTAAHIMEAAAKIIEPGPQAAAKPKMPAVGSSSVPLKVRDLKEKLEVAQKMPLAGSSSAALRTREPKEKVEVSHKTLRLAETSRRPVESNAAKHLKGQSLNKSWNGSDDTSCRAFSETDEGSSSSKTKGKSISLAIQAKVNVQRREGLNSSSRQGFVGQKELREVSSSQSFKCQPNVQKSLQKRSPVQNTSGVLRQNNQKQNCIMDKDKLPSKPLVSKLQGKRVLSGNPPVRHKTSGKPFGSKNGSRKLDLDLREGEKGNSNYSMANNPRKKRSIDGNLHVEKNQVVDNKLIDRNRKAVEPTPVIDRPFSWAEESKRKGMDVVSFTFTAPLTRSMPGSETPTQAVQKNSGSCMDNCSKRLLLDTDSMKLSSVGYNVIGGDALSSLLEQKLRELTKGVESSSSISTFSSGGAAPRLHDNKDQSFSCIDKSDSCYDSPSALFFTDPAALRLKHTFQGVDEMDCSSKSNDSRQLLDCRRPSPVSVLEHSFSTESSSSLDSMDSCSTEGNKHCSSIQTQEVLSLSSTKRVHFVDADMELSDSASSTSTGTVARKHSIMLAVTGLVRSKKWEVQYVEKILCNIESMFQDLALGRASEIINPHLFHQLERKKIMLESDDVDARLERKVLFDCASECLDLRCRRYVGGGYKAWVKGTTMVRRKEWLAEDMYKEISEWSRMGDCMVDELVDKDMSSQYGRWLDFEVDAYALGVEFESQIFNSLVNEVVADILRF >Potri.006G046900.1.v4.1 pep chromosome:Pop_tri_v4:6:3188217:3192428:-1 gene:Potri.006G046900.v4.1 transcript:Potri.006G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046900.v4.1 MVGSIKAVNHHNNGCGLEEKLDELRSLLGKADGDPLRIVGVGAGAWGSVFAALLQDSYGQFREKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIWRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISQYWKERITAPIIISLAKGIEAALKPVPRIITPTQMINRATGVPMENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEISRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDEKKPVAPVELCPILKTLYKILIIREQSSQAILQALRDETLNDPRERIEIAQSHAFYRPSLLGQP >Potri.006G046900.4.v4.1 pep chromosome:Pop_tri_v4:6:3188195:3192378:-1 gene:Potri.006G046900.v4.1 transcript:Potri.006G046900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046900.v4.1 MVGSIKAVNHHNNGCGLEEKLDELRSLLGKADGDPLRIVGVGAGAWGSVFAALLQDSYGQFREKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIWRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISQYWKERITAPIIISLAKGIEAALKPVPRIITPTQMINRATGVPMENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEISRDMGDSISGKGMIQVLMFIYKHFNLRDNLKWWFYLWLYQLNAQLRMTLG >Potri.006G046900.3.v4.1 pep chromosome:Pop_tri_v4:6:3188196:3192428:-1 gene:Potri.006G046900.v4.1 transcript:Potri.006G046900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046900.v4.1 MVGSIKAVNHHNNGCGLEEKLDELRSLLGKADGDPLRIVGVGAGAWGSVFAALLQDSYGQFREKVQIRIWRRPGRAVDRATAEHLFEVINSREDVLRRLIWRCAYLKYVEARLGDRTLFADEILKDGFCLNMIDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISQYWKERITAPIIISLAKGIEAALKPVPRIITPTQMINRATGVPMENILYLGGPNIASEIYNKEYANARICGADKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEISRDMGDSISGKGMIQGVSAVGAFYELLSQSSLSVLHPDEKKPVAPVELCPILKTLYKILIIREQSSQAILQALRDETLNDPRERIEIAQSHAFYRPSLLGQP >Potri.010G049700.2.v4.1 pep chromosome:Pop_tri_v4:10:8148627:8151590:1 gene:Potri.010G049700.v4.1 transcript:Potri.010G049700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049700.v4.1 MGRLVFFSFLSIFSFLITFSDVMIPQKQVMALPLSTDSRWIVDENGQRVKLACVNWVSHLEVMVAEGLSKQPLDGIAKRILSMGFNCVRLTWPVFLVTNDTLGSLTVRQSLQSHGLLESISGIQANNPSIIDLSLLDVYQAVVSSLGNNNVMVILDNHISKPGWCCSNFDGNGFFGDQYFDPDLWITGLTRMASMFNGVPNVVGMSLRNELRGPKQNVNDWYRYMQKGAEAVHSANPDVIVILSGLNYDKDLSFLRNRPMNLTFSGKIVFEVHWYSFTDGEAWKNGNSNQVCGRVVDNMMRVSGFLLDQGWPLFVSEFGVDQRGTNVNDNRYLGCFLSVAAELDLDWALWTLVGSYYLRQGVIGMNEYYGVMNWNWCEARNSTFLQQISVLQSPFQGPGLSEANPHKVIFHPSTGLCVLRKSMFEPLRLGRCTESEAWNYTPQKILSVKGTYFCLQTDDLAKPAKLGIICTDSNSKWETISDSKMHFSSTAANGIAVCLDVDSNNTIVTNTCKCLSKDNACDPESQWFKLVNSTRSSTMTKPLSRMNSILNFPANDFHGSF >Potri.002G150200.2.v4.1 pep chromosome:Pop_tri_v4:2:11407506:11410469:1 gene:Potri.002G150200.v4.1 transcript:Potri.002G150200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150200.v4.1 MEYLFYLLLISFCWACLHVLNASVLLRRKSGCTVLPPGPRQLPIIGNILALGDKPHRTLAKLSQTYGPLMTLKLGRITTIVISSPNIAKEALQKHDQALSSRTVPDALHVQYYNYHKNSMVWLPASTHWKFLRKLTATQMFTSQRLDASRALRGKKVQELLEYVHEKCNNGHAVDVGRSVFTTVLNLISNTFFSLDVTNYNSDLSQEFSNLVVGVLEQIGKPNIADYFPILRLVDPQGIRRKTNNYLKRLTQIFDSIINERTRLRSSSVASKASHDVLDALLILAKENNTELSSTDIQVLLIDFFIAGTDTTSSTVEWAMTELLLNPDKMVKAKNELQQVEGPVQESDISKCPYLQAIVKETFRLHPPAPLLLPRKAVSEVEMQGFTVPKNAQILINIWAIGRDPAIWPDPNSFKPERFLECQADVKGRDFELIPFGAGRRICPGLPLGHKMVHLTLASLIHSFDWKIAGDLTPEDIDTSETFGLTLHKSEPLRAIPMKT >Potri.012G002500.2.v4.1 pep chromosome:Pop_tri_v4:12:1189476:1193156:-1 gene:Potri.012G002500.v4.1 transcript:Potri.012G002500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002500.v4.1 MSGVSSLSASLVTNPTSRLPHHAIQCTEFLGFVPKGRPINVTLSWRFPVRTQVGVIQLFGLHKTKLKLKSFAFSVPNENDQAGLCDSGTCTGDEMPVPFENDENLKGPDEEIVSSVLGTEVDSLRTVEHLGSKGSVVEKLKAVYLHVLASEQWNASQLKLCHRNYLDSATNLIHYLALRCLDIQQLKEDLTLLGLMNLETINLHVLSSLNASIRLLEALKSNSLNPNDSVSEGIFTEKRLDPQNDGKFTIQAMRKKASSNSEFLLGRLQDGRTTHIMVTVGQEATENETFITDLIKAGTSIIRINCAHGNPSIWSEIIKRVKESSQMLEKPCRILMDLAGPKLRTGKLKSGPSVVKISPKKNASGNVVFPAQVWLSHREAGTPPTHLSPDAVLFVDDQQFLSELEIGDTIRFSDARGKKRLLRISKKIHVFSGTGCVAECTRTAYVQSGTELHLKGKKRRSQLGKVVDVPAIEPYIRLRVGDLLVISRCSSSELDEMHVSMSGTHKITCSSGYLFDSVTPGEPIAFDDGKIWGVIKGTDISEIIVSITHASPKGSKLGSDKSINIPESNIRFEGLTSKDLMDLEFVAAHADIVGVSFVRDIHDIVVLRQELEKRKLQNLGVILKIETKSGFENLPLMLLEAMKSSNPLGVMIARGDLAVECGWEMLADMQEEIISLCGAAHIPVVWATQVLESLVKSGVPTRAEITDAAHGRR >Potri.012G002500.1.v4.1 pep chromosome:Pop_tri_v4:12:1189473:1193158:-1 gene:Potri.012G002500.v4.1 transcript:Potri.012G002500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002500.v4.1 MSGVSSLSASLVTNPTSRLPHHAIQCTEFLGFVPKGRPINVTLSWRFPVRTQVGVIQLFGLHKTKLKLKSFAFSVPNENDQAGLCDSGTCTGDEMPVPFENDENLKGPDEEIVSSVLGTEVDSLRTVEHLGSKGSVVEKLKAVYLHVLASEQWNASQLKLCHRNYLDSATNLIHYLALRCLDIQQLKEDLTLLGLMNLETINLHVLSSLNASIRLLEALKSNSLNPNDSVSEGIFTEKRLDPQNDGKFTIQAMRKKASSNSEFLLGRLQDGRTTHIMVTVGQEATENETFITDLIKAGTSIIRINCAHGNPSIWSEIIKRVKESSQMLEKPCRILMDLAGPKLRTGKLKSGPSVVKISPKKNASGNVVFPAQVWLSHREAGTPPTHLSPDAVLFVDDQQFLSELEIGDTIRFSDARGKKRLLRISKKIHVFSGTGCVAECTRTAYVQSGTELHLKGKKRRSQLGKVVDVPAIEPYIRLRVGDLLVISRCSSSELDEMHVSMSGTHKITCSSGYLFDSVTPGEPIAFDDGKIWGVIKGTDISEIIVSITHASPKGSKLGSDKSINIPESNIRFEGLTSKDLMDLEFVAAHADIVGVSFVRDIHDIVVLRQELEKRKLQNLGVILKIETKSGFENLPLMLLEAMKSSNPLGVMIARGDLAVECGWEMLADMQEEIISLCGAAHIPVVWATQVLESLVKSGVPTRAEITDAAHGRRVKCVMLNKGKHIVKAVSTLDNILHSKSAERKVDVKPVILSSHHLR >Potri.015G134600.1.v4.1 pep chromosome:Pop_tri_v4:15:14355451:14357497:-1 gene:Potri.015G134600.v4.1 transcript:Potri.015G134600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134600.v4.1 MAIDCIKTMPSITTPHHHPKDQDQCKDDGKSFVFDAQVLRHQTNIPQQFIWPDHEKPNINAPELQVPLVDLGDFLSGNPVAAVEASRLVGEACQKHGFFLVVNHGVDKTLIAHAHNYMDTFFELPLSEKQKAQRKIGESCGYASSFTGRFSSKLPWKETLSFRYTAEKNSSKHIEEYFHNRMGEDFAEFGSVYQDYCEAMSTLSLGIMELLGMSLGVSREHFREFFDENDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDQVGGLQVFVDNEWRSISPNFDAFVVNIGDTFMALSNGIYKSCLHRAVVNSQTPRKSLAFFLCPKNDKMVTPPHELVDTCNPRIYPDFTWPMLLEFTQKHYRADMKTLEVFTNWLHQQSFS >Potri.001G413700.1.v4.1 pep chromosome:Pop_tri_v4:1:43821660:43825912:-1 gene:Potri.001G413700.v4.1 transcript:Potri.001G413700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413700.v4.1 MKGIARLFFFFFFFFFTVSNGADIVAVNQTISDGETIVSAGNNFELGFFSPKSSSLRYVGIWYKFSNETVVWVANREAPLNDTSGVLQVTSKGILVLHNSTNVVLWSTNTSRQPQNPVAQLLNSGNLVVREASDTNEDHYLWESFDYPGNVFLPGINFGRNLVTGLDTYLVSWKSSNDPSLGDSTTRLDPGGYPQIYIRVGENIVFRSGPWNGVRFSGMPNLKPNPIYTYGFVYNEKEICYRYDLTDSSVVSHMLLTNEGILQRFTWTNTTRTWNLYLTAQMDNCDRYAVCGAYGSCNINNSPPCACLKGFQPKSPQEWESGEWSGGCVRKNESICRAGEGFQKVPSVKLPDTRTSSFNWTMDFVECRRVCLMNCSCTAYSTLNITGGSGCLLWFEELLDIREYTVNGQDFYIRLSASDLEPTSRPKRKTRVWIIAICSLVAGVTILGVGLLFLMRRKPKTVGKMVSMRERDIIDSTDKDLELPVFDFATIAIATGNFSDDNKLGEGGYGPVYKGTLKDGKEVAVKRLSKTSTQGLDEFKNEVICIAKLQHRNLVKLLGCCIESEEKMLVYEYMPNGSLDTFIFDKNQSKLLEWSMRHHVINGIGRGLLYLHQDSRLRIIHRDLKASNILLDFEMNPKISDFGMARSFGGNEIQGNTKRVVGTYGYMAPEYAIDGLFSIKSDVFSFGVLVLEIVNGKRNRGFCHPDHKHNLLGHAWRLYKEQKSFELIDESLNNTCDLSEVMRVIQVGLLCVQQAPEDRPTMSTVVLMLTSNITLPEPKEPGFFTERKLFDQESSSSKVDSCSANEITITLLTAR >Potri.013G034300.2.v4.1 pep chromosome:Pop_tri_v4:13:2217419:2220211:1 gene:Potri.013G034300.v4.1 transcript:Potri.013G034300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034300.v4.1 MFKLGLPPTNVTFNTLFNGLLSKAKITDAEKMFVEMLKMGYEPDVITYSTIINGLCKTGNTTRALHLIKKMEEKGCTPAVATYNTIIDSLCKDKRVNQATEFLFEMFDRGIPPNVVTYSSILHGFCKLGRLNEATSLFNQMVERNVMPNTVTFTILVDGLCKEGMISEARCVFERMTEKGVEPNVYTYNALMDGYCLRSQMNEAQNLLNIMVRKGCAPSVRSYNILIKGHCKSGGIDEAKGLLAEMSHKALTPDTVTYNTLMKGFCHVGRPQDAQKLFKEMCSYGLLPNLITYSIVLDGLCKHGLLDEAFKVLKAMQERRIEPDIFIYTILIRGMCNFGKLEAARELFSNLFVKGIQPDVVTYKVMISGLLKEGLSNEACELFRKMAVDGCLLNSCTHNLIIQGFLRNGDTSNAVQLIEEMADLFLLEINASFTRNMHLVKGNLPCHQIGSCC >Potri.011G081400.1.v4.1 pep chromosome:Pop_tri_v4:11:8789988:8791029:1 gene:Potri.011G081400.v4.1 transcript:Potri.011G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G081400.v4.1 MPLPPPAAAALHSSLCFSSPKQTPQPSISQQPNIQLSVKSKSLLHKHPLYTPAHTNISFEIKEKILCLEIMGVDSGKALSQNPSLHTASLDSIQSIIFFLQSKGIHQKDLPRIFGMCPKVLTSNIRTDLKPVFNFLSQDLKVPDNNFRKAINKCPRLLVSSVRDQLKPCLFYLQRLGFEDLEALAYQDPVLLVSNVQNTLIPKLKYLESIGFSRDEAVAMVLRCPALFTFSVENNFKPKFDYFAEEMKGKLTELKGFPQYFAFSLDKRIKPRHVEVVQSGVKIPLRLMLKSTDEEFGEMIRPGAG >Potri.002G159150.1.v4.1 pep chromosome:Pop_tri_v4:2:12116176:12118093:-1 gene:Potri.002G159150.v4.1 transcript:Potri.002G159150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159150.v4.1 MWFMFVHTLLLPIIYKVQRIRDGKSFATRKADAVQKGNIAFTLMASFQLAQRLHITGANYILNLDRTVNPPLRKKEEHMFVHQQLPIPAVPEQELVSRMYVIKLFPSMILKKNNKKY >Potri.002G027300.2.v4.1 pep chromosome:Pop_tri_v4:2:1825784:1829454:1 gene:Potri.002G027300.v4.1 transcript:Potri.002G027300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027300.v4.1 MNLFRSRVSTSVVSRMAGELGSSASVPTTTSSSSSSWAKTRSLWPSILRWIPTSVDHIIAAEKRLLSLVKTSYVVEQVNIGSGPPGSKVRWFRSTSDEPRFINTVTFQSKEDSPTLVMVHGYGASQGFFFRNFDALASRFKVIAIDQLGWGGSSRPDFTCKSTEETEAWFIDSFEEWRKAKNLSGFILLGHSFGGYVAAKYALKHPEHVQHLILVGPAGVSSESESKPERLTKLRATWTGAVFNHLWESNFTPQKVVRGLGPWGPDLVNRYTTARFGAYSTGEVLNEEESKLLSDYVYHTLAAKASGELCLKYIFSFGAFARMPLVQSASEWKVPTTFIYGFEDWMNYQGAQEARQHMKVPCEIIRVPQGGHFVFIDNPTGFHSAVFYACRRYISPKPENERLPEGLTFA >Potri.006G266200.1.v4.1 pep chromosome:Pop_tri_v4:6:26141468:26142343:-1 gene:Potri.006G266200.v4.1 transcript:Potri.006G266200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266200.v4.1 MDFTTDQLLQYNGTDPSKSIYVAIKGRVFDVMTSKSFYGPGGSYVIFAGKDASRALAKMSKNDEDISSSLYGLIEKEIGVLDDWEKKFEAKYPVVGRVVS >Potri.019G015800.1.v4.1 pep chromosome:Pop_tri_v4:19:2515730:2516567:-1 gene:Potri.019G015800.v4.1 transcript:Potri.019G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G015800.v4.1 MKVSCFLLLLMICLAMMLQEHQPSIGYKAMALVLDRSPEMGKVKIASTNHFSTEVKVGQLGRTSRRPIPSPPPPKPNRSVHWWVVTPPPPIPSSPPPPSPLSSSKGA >Potri.019G049000.1.v4.1 pep chromosome:Pop_tri_v4:19:8067932:8074293:-1 gene:Potri.019G049000.v4.1 transcript:Potri.019G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049000.v4.1 MKCRCSNFKSVSSSSSSTSSLLLPPSPSSSGLPHSLFSHSKLKLPKLKANHRLVIKNACGFDNNDGLPVSPKKLFMQEAIGAEYGEGFETFRQDGLLKVDVDFLNDKLQEGFLHRVRYAMKPDEAYGLVFSWDNVVADTRSIKLNVWKQLAIEEGKEIPEDELAQRLMLYADADHILHKGLLWETAESEVVRLKLRLSQLYHANLLGLREPIEGLEEWLDAVSRVHIPCAVVSCLDRINMVGALERMGLKKYFQAIVSEEDGMESIAHRFLSAAMKLDRKPSKCVVFEDDPRGIAAAHNCTMMAVGLIGAHPAYDLVQADLAVASFNELSVINLRRLFANKGSTFMDRQKEIVEKSPPKRKLSVDTIY >Potri.019G049000.6.v4.1 pep chromosome:Pop_tri_v4:19:8068574:8074239:-1 gene:Potri.019G049000.v4.1 transcript:Potri.019G049000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049000.v4.1 MKCRCSNFKSVSSSSSSTSSLLLPPSPSSSGLPHSLFSHSKLKLPKLKANHRLVIKNACGFDNNDGLPVSPKKLFMQEAIGAEYGEGFETFRQDGLLKVDVDFLNDKLQEGFLHRVRYAMKPDEAYGLVFSWDNVVADTRSIKLNVWKQLAIEEGKEIPEDELAQRLMLYADADHILHKGLLWETAESEVVRLKLRLSQLYHANLLGLREPIEGLEEWLDAVSRVHIPCAVVSCLDRINMVGALERMGLKKYFQAIVSEEDGMESIAHRFLSAAMKLDRKPSKCVVFEDDPRGIAAAHNCTMMAVGLIGAHPACVLKHLPMEFLDDLN >Potri.008G145100.5.v4.1 pep chromosome:Pop_tri_v4:8:9882319:9890408:1 gene:Potri.008G145100.v4.1 transcript:Potri.008G145100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145100.v4.1 MAAESNTGFHLEGTLGSALNRHAISFQSGAINSGCTTDMIPMGMGSYFGINTSTTSSSLMLPGSSSLISNNTSPGGSGSGGIVQTQAGNSSASSSLLLDSVPGLKHDAGLAVEWSVEEQYKLEEGLQKYADEPSILRYVKIAAMLRDKTVRDVALRCRWMTRKRRKAEDYNMGKKINSRKDKLVESSLKMNMAAGLLQNVASYPLMMHHTDQSEPMPFEGISGTTRLLDQNAQAFSQISANLSTFKLQDNIDFFCYTRNNITAILNDMREMPGIMSRMPPLPVSIDEDLANSILPNTIQSMMFGSPSGIQLKQEPRC >Potri.018G124000.2.v4.1 pep chromosome:Pop_tri_v4:18:13590068:13597761:-1 gene:Potri.018G124000.v4.1 transcript:Potri.018G124000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124000.v4.1 MELEMNWDGNPSIILGIKTRFGVSLPVQVKDIGFTGVFKLIFKPLVPEFPCFGAICYSLRQKKKMDFTLKVIGGDISAIPGLDDAIQETIRNAVEDSITWPVRKVVPILPGDYSDLELKPVGILEVKLVQAKDLTNKDLIGKSDPFAKLYIRPLPDKTKTSKIINNDLNPIWNEHFEFVVEDASTQHLVVKVYDDEGLQASELLGCAQVKLSELEPGKVKDVWLKLVKDLEVQRDNKNRGQVHLELLYCPFGVENGLVNPFSSDFSMTSLEKVLKSGENGTGVIGNGNEVAQKRREVIIRGVLAVTVISAEDLPMVDLMGKADPFVTLTMKKSEMRNKTRVVNNCLNPVWNQTFDFVVEDGLHDMLIIEVWDHDTFGKDYMGRCILTLTRVILEGEYKECFQLDEAKSGRLNLHLKWTPQHIYRDS >Potri.018G124000.3.v4.1 pep chromosome:Pop_tri_v4:18:13590035:13597761:-1 gene:Potri.018G124000.v4.1 transcript:Potri.018G124000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G124000.v4.1 MSFFVGLIFGLAVGLALIVGFVKSENARSKLRSELATTIAAFARMTVDDSRKILPAEFYPSWVVFSQRQKLDWLNQHLTKIWPYVDQAASGLIKASLEPVLEQYRPVILSSLKFSKFTLGTVAPQFTGVSIIEDGGSGITMELEMNWDGNPSIILGIKTRFGVSLPVQVKDIGFTGVFKLIFKPLVPEFPCFGAICYSLRQKKKMDFTLKVIGGDISAIPGLDDAIQETIRNAVEDSITWPVRKVVPILPGDYSDLELKPVGILEVKLVQAKDLTNKDLIGKSDPFAKLYIRPLPDKTKTSKIINNDLNPIWNEHFEFVVEDASTQHLVVKVYDDEGLQASELLGCAQVKLSELEPGKVKDVWLKLVKDLEVQRDNKNRGQVHLELLYCPFGVENGLVNPFSSDFSMTSLEKVLKSGENGTGVIGNGNEVAQKRREVIIRGVLAVTVISAEDLPMVDLMGKADPFVTLTMKKSEMRNKTRVVNNCLNPVWNQTFDFVVEDGLHDMLIIEVWDHDTFGKDYMGRCILTLTRVILEGEYKECFQLDEAKSGRLNLHLKWTPQHIYRDS >Potri.007G026601.1.v4.1 pep chromosome:Pop_tri_v4:7:2049782:2050346:-1 gene:Potri.007G026601.v4.1 transcript:Potri.007G026601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026601.v4.1 MLVISSYKALYFRHFWLLFLIICLAIFLPVHLRSSRAQNRERRLLLPLST >Potri.011G021700.4.v4.1 pep chromosome:Pop_tri_v4:11:1639795:1644420:-1 gene:Potri.011G021700.v4.1 transcript:Potri.011G021700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021700.v4.1 MALLAEKTRKMEQEEADTNMRILLDFLYCLSKQKLDDLNEIQTDLQYIEEDINYVEKKRIQLSRENDRFSLKLGMFADDPNSKLVTQSGPNASKKKWVRAKLNALQECYLQKRRNWVRQACKEQERGTDTSNREGYNPGLEDFQSVLTNFTRCSIEFDRDDELFATTGVSRRIKIFEFSKVMNEPEDVHCPVVEMSTRSKLSCVSWNKYMKNHIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSQNEPSMLVSGSDDCKVKIWCTKQEASVLNIDMKANICSVKYNPGSSIHVAVGSADHHIHYYDLRNISQPLYVFRGHRKTVSYVKFLSSDELASSSTDSTLRLWDVKENLALRTFRGHTNERNFVGLTVNSEYIACGSETNEVFVYHKAISKPAASHRFSTDLENGGDDARSYFTSAVCWKSDSPTMLTANSQGTIKVLVLAA >Potri.011G021700.7.v4.1 pep chromosome:Pop_tri_v4:11:1639795:1644445:-1 gene:Potri.011G021700.v4.1 transcript:Potri.011G021700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021700.v4.1 MALLAEKTRKMEQEEADTNMRILLDFLYCLSKQKLDDLNEIQTDLQYIEEDINYVEKKRIQLSRENDRFSLKLGMFADDPNSKLVTQSGPNASKKKWVRAKLNALQECYLQKRRNWVRQACKEQERGTDTSNREGYNPGLEDFQSVLTNFTRCSQFRVVAELRQGDLFHSANIVSSIEFDRDDELFATTGVSRRIKIFEFSKVMNEPEDVHCPVVEMSTRSKLSCVSWNKYMKNHIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSQNEPSMLVSGSDDCKVKIWCTKQEASVLNIDMKANICSVKYNPGSSIHVAVGSADHHIHYYDLRNISQPLYVFRGHRKTVSYVKFLSSDELASSSTDSTLRLWDVKENLALRTFRGHTNERNFVGLTVNSEYIACGSETNEVFVYHKAISKPAASHRFSTDLENGGDDARSYFTSAVCWKSDSPTMLTANSQGTIKVLVLAA >Potri.011G021700.5.v4.1 pep chromosome:Pop_tri_v4:11:1639795:1644420:-1 gene:Potri.011G021700.v4.1 transcript:Potri.011G021700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021700.v4.1 MALLAEKTRKMEQEEADTNMRILLDFLYCLSKQKLDDLNEIQTDLQYIEEDINYVEKKRIQLSRENDRFSLKLGMFADDPNSKLVTQSGPNASKKKWVRAKLNALQECYLQKRRNWVRQACKEQERGTDTSNREGYNPGLEDFQSVLTNFTRCSQFRVVAELRQGDLFHSANIVSSIEFDRDDELFATTGVSRRIKIFEFSKVMNEPEDVHCPVVEMSTRSKLSCVSWNKYMKNHIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSQNEPSMLVSGSDDCKVGSADHHIHYYDLRNISQPLYVFRGHRKTVSYVKFLSSDELASSSTDSTLRLWDVKENLALRTFRGHTNERNFVGLTVNSEYIACGSETNEVFVYHKAISKPAASHRFSTDLENGGDDARSYFTSAVCWKSDSPTMLTANSQGTIKVLVLAA >Potri.011G021700.6.v4.1 pep chromosome:Pop_tri_v4:11:1639795:1644420:-1 gene:Potri.011G021700.v4.1 transcript:Potri.011G021700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021700.v4.1 MALLAEKTRKMEQEEADTNMRILLDFLYCLSKQKLDDLNEIQTDLQYIEEDINYVEKKRIQLSRENDRFSLKLGMFADDPNSKLVTQSGPNASKKKWVRAKLNALQECYLQKRRNWVRQACKEQERGTDTSNREGYNPGLEDFQSVLTNFTRCSQFRVVAELRQGDLFHSANIVSSIEFDRDDELFATTGVSRRIKIFEFSKVMNEPEDVHCPVVEMSTRSKLSCVSWNKYMKNHIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSQNEPSMLVSGSDDCKVKIWCTKQEASVLNIDMKANICSVKYNPGSSIHVAVGSADHHIHYYDLRNISQPLYVFRGHRKTVSYVKFLSSDELASSSTDSTLRLWDVKENLALRTFRGHTNERNFVGLTVNSEYIACGSETNEVFVYHKAISKPAASHRFSTDLENGGDDARSYFTSAVCWKSDSPTMLTANSQGTIKVLVLAA >Potri.011G021700.2.v4.1 pep chromosome:Pop_tri_v4:11:1639795:1644420:-1 gene:Potri.011G021700.v4.1 transcript:Potri.011G021700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021700.v4.1 MALLAEKTRKMEQEEADTNMRILLDFLYCLSKQKLDDLNEIQTDLQYIEEDINYVEKKRIQLSRENDRFSLKLGMFADDPNSKLVTQSGPNASKKKWVRAKLNALQECYLQKRRNWVRQACKEQERGTDTSNREGYNPGLEDFQSVLTNFTRCSQFRVVAELRQGDLFHSANIVSSIEFDRDDELFATTGVSRRIKIFEFSKVMNEPEDVHCPVVEMSTRSKLSCVSWNKYMKNHIASSDYEGIVTVWDVTTRQSIMEYEEHEKRAWSVDFSQNEPSMLVSGSDDCKVKIWCTKQEASVLNIDMKANICSVKYNPGSSIHVAVGSADHHIHYYDLRNISQPLYVFRGHRKTVSYVKFLSSDELASSSTDSTLRLWDVKENLALRTFRGHTNERNFVGLTVNSEYIACGSETNEVFVYHKAISKPAASHRFSTDLENGGDDARSYFTSAVCWKSDSPTMLTANSQGTIKVLVLAA >Potri.001G317700.1.v4.1 pep chromosome:Pop_tri_v4:1:32778341:32786319:1 gene:Potri.001G317700.v4.1 transcript:Potri.001G317700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317700.v4.1 MGKKDKQSSKASTEELLTTLGDFTSKENWDKFFTIRGTDDSFEWYAEWTELHHPLLSLLAGNDENHSSSSSPLLKILVPGCGNSKLSENLYDAGFKEITNIDFSKVVISDMLRRNVRDRPGMRWRVMDMTQMQLADESFDVVLDKGGLDALMEPELGPKLGNQYLSEVKRVLNFEGKFICLTLAESHVLALLFSKFRFGWKMSVQAIPQKPSSKPDLRTFMVVAEKENSSALHFITALFDHSSLDCIGNQAIGLHEALENENQIRKEYSIGPDILYSLEDLLIGAKGDLSKLSLGRRFQLTLGGNGDSRFSYKAIVLDAKESSSQFTYHCGVFIVPKTRAHEWLFSSEEGQWLVVESSKAARLIMIIMDSSHNNASMDDIQKDLSPLVKQLAPGKDDNSAQIPFMMAGDGIKERKTVHKVTSSLTGSIIVEDVVYENVADDVSRPFPSSDLIFRRLVFQRAEGLVQSEALLTRDESSHKIVEEKKKTSSSKSKKKGSQKRNDASSKILKVYHDYMASSYHMGIVSGFTLMSSYLESVESTGKTVNAVIIGLGAGLLPMFLHGCMPSLQIEVVELDAVVLSLARDYFGFAEDERLKVHIADGIRFVREVKNFAVADGLPAIHGIEDASGSTKPSPDESGSVSYTEGRGRPRVDILIIDVDSSDSSSGMACPAADFVEESFLLTVKDTLSEQGLFIVNLVSRSPAVKDTIISRMKAVFNHLFSLQLEEDINMVLFGLCSEVCLKEDCFPEAACQLDKLLKFKHQEIGQSIIDSTKKIRRLK >Potri.001G404951.1.v4.1 pep chromosome:Pop_tri_v4:1:43117486:43118730:1 gene:Potri.001G404951.v4.1 transcript:Potri.001G404951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404951.v4.1 MTKQREVNHDSEIFEAFKQEEIEDKKGTENVVADHLSRLTIDSTSNITSIDDYFPNESSLSVASIPCSANIDNLLALGFLPAHWNTQDKRNFLSEVKNFYWDDPYLFKYYLDQIFQRSIPDNNLSSVIKFCHFEACGGHFSSKKTAAKILQNGFY >Potri.010G121600.6.v4.1 pep chromosome:Pop_tri_v4:10:13947820:13953689:1 gene:Potri.010G121600.v4.1 transcript:Potri.010G121600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121600.v4.1 MASGEERRRRDNLVPLAALISREMRIEKMEKPIVKYGHAAQSRKGEDYFLIKMDCQRLPGNSSSTFSVFAIFDGHNGNAAAIYTRENLLNHILGAIPRDLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCILDAQGGAVFSLTVDHRLQENVEERKRVTASGGVVGRLSTVGGVEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPFVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCHGLPAELAARQVVKEALRTRGLKDDTTCVVVDIIPPDNSIPPSTPPKKQNKLRALLFRKKSHFSASKLSKKLSAIGIVEELFEEGSAMLAERLGNGDSTSQSTSGLFTCVVCQIDLAPSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVRVA >Potri.010G121600.7.v4.1 pep chromosome:Pop_tri_v4:10:13947774:13953669:1 gene:Potri.010G121600.v4.1 transcript:Potri.010G121600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121600.v4.1 MASGEERRRRDNLVPLAALISREMRIEKMEKPIVKYGHAAQSRKGEDYFLIKMDCQRLPGNSSSTFSVFAIFDGHNGNAAAIYTRENLLNHILGAIPRDLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCILDAQGGAVFSLTVDHRLQENVEERKRVTASGGVVGRLSTVGGVEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPFVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCHGLPAELAARQVVKEALRTRGLKDDTTCVVVDIIPPDNSIPPSTPPKKQNKLRALLFRKKSHFSASKLSKKLSAIGIVEELFEEGSAMLAERLGNGDSTSQSTSGLFTCVVCQIDLAPSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVRVA >Potri.010G121600.5.v4.1 pep chromosome:Pop_tri_v4:10:13947771:13953714:1 gene:Potri.010G121600.v4.1 transcript:Potri.010G121600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121600.v4.1 MASGEERRRRDNLVPLAALISREMRIEKMEKPIVKYGHAAQSRKGEDYFLIKMDCQRLPGNSSSTFSVFAIFDGHNGNAAAIYTRENLLNHILGAIPRDLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCILDAQGGAVFSLTVDHRLQENVEERKRVTASGGVVGRLSTVGGVEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPFVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCHGLPAELAARQVVKEALRTRGLKDDTTCVVVDIIPPDNSIPPSTPPKKQNKLRALLFRKKSHFSASKLSKKLSAIGIVEELFEEGSAMLAERLGNGDSTSQSTSGLFTCVVCQIDLAPSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVRVA >Potri.010G121600.4.v4.1 pep chromosome:Pop_tri_v4:10:13947806:13953689:1 gene:Potri.010G121600.v4.1 transcript:Potri.010G121600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121600.v4.1 MASGEERRRRDNLVPLAALISREMRIEKMEKPIVKYGHAAQSRKGEDYFLIKMDCQRLPGNSSSTFSVFAIFDGHNGNAAAIYTRENLLNHILGAIPRDLGREEWLQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCILDAQGGAVFSLTVDHRLQENVEERKRVTASGGVVGRLSTVGGVEIGPLRCWPGGLCLSRSIGDMDVGEFIVPVPFVKQVKLSNAGGRLIIASDGIWDALSSEMAAKSCHGLPAELAARQVVKEALRTRGLKDDTTCVVVDIIPPDNSIPPSTPPKKQNKLRALLFRKKSHFSASKLSKKLSAIGIVEELFEEGSAMLAERLGNGDSTSQSTSGLFTCVVCQIDLAPSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVRVA >Potri.015G047400.3.v4.1 pep chromosome:Pop_tri_v4:15:4729073:4737459:-1 gene:Potri.015G047400.v4.1 transcript:Potri.015G047400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047400.v4.1 MNSASDHPMVQNGRANSSKVSVSSGVFEPTVIRNNDIEANKRAVLVEWMNSIVPSLNLPVKASSEELRACLIDGTVLLQLLNKLRPGYAYKAGSSSSENVKKFQASMDELGILKFEPSDLEKGSMKNVMDCLSTLRAQFAYMGVVSPTGGIKYGSPRGDASSNGLSSSTFGEEKRKFSPESKIQQALRSPVMTEPSAASMPHVVHKFHEMFQLKQGCYSDLSAAKISEMMKSNSLDNAPTQSLLSVVNGILDESIERKSDEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKVREEKYQSRIRVLEALASGTGEERGVVMDQLQQIKIEKSKMEEEKKIGVDHVAKLTKEQEQRDLELSALKQELELVKQTHELHRLQMETEAKAAKGGLEGRLKELEIHLEDSRNQVRVLEAYSQSKSKMFNKKEHIFKSFVELQFGALKELRLSSKSIKHEILEVQQSYLEEFNGLGVKLKALIDATGDYHIVLAENRRMFNELQELKGNIRVYCRIRPFLPGHGEKHTTVEYIGEHGELAVVNPSKQGKDRRRNFKFNKVFGPDSTQAEVYSDTQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGASEEDWGVNYRALNDLFSISQNRRDSLIYEIQVQMVEIYNEQVRDLLLSDGSQKKLGIISTVQPNGLAVPDASMHPVTSTSDVLELIDVGLKNRAVGATAMNERSSRSHSVVSIHVRGKDLHSGAALHGNLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVSSYSETISTLKFAERVSGVELGAARSSKEGRDVRELMGQVASLKDTIAKKDDEIEQLQLIKDHKNEYPGSARYGDSSASYDSSGVIPHRTRKPSDRRSVGSETASYQESISECSDKHSEAGSQQSKLSDGDTGHDMSFADAEILRFEDGDHEDRLSDISESVLSVGTEPDDTTDQGTKLSQTLRKSKVASTIRLLQNQTQNASKTVTVSRDSPKGSASIKKTVNSNLVKPSKRWQ >Potri.015G047400.2.v4.1 pep chromosome:Pop_tri_v4:15:4729260:4737444:-1 gene:Potri.015G047400.v4.1 transcript:Potri.015G047400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047400.v4.1 MNSASDHPMVQNGRANSSKVSVSSGVFEPTVIRNNDIEANKRAVLVEWMNSIVPSLNLPVKASSEELRACLIDGTVLLQLLNKLRPGYAYKAGSSSSENVKKFQASMDELGILKFEPSDLEKGSMKNVMDCLSTLRAQFAYMGVVSPTGGIKYGSPRGDASSNGLSSSTFGEEKRKFSPESKIQQALRSPVMTEPSAASMPHVVHKFHEMFQLKQGCYSDLSAAKISEMMKSNSLDNAPTQSLLSVVNGILDESIERKSDEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKVREEKYQSRIRVLEALASGTGEERGVVMDQLQQIKIEKSKMEEEKKIGVDHVAKLTKEQEQRDLELSALKQELELVKQTHELHRLQMETEAKAAKGGLEGRLKELEIHLEDSRNQVRVLEAYSQSKSKMFNKKEHIFKSFVELQFGALKELRLSSKSIKHEILEVQQSYLEEFNGLGVKLKALIDATGDYHIVLAENRRMFNELQELKGNIRVYCRIRPFLPGHGEKHTTVEYIGEHGELAVVNPSKQGKDRRRNFKFNKVFGPDSTQAEVYSDTQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGASEEDWGVNYRALNDLFSISQNRRDSLIYEIQVQMVEIYNEQVRDLLLSDGSQKKLGIISTVQPNGLAVPDASMHPVTSTSDVLELIDVGLKNRAVGATAMNERSSRSHSVVSIHVRGKDLHSGAALHGNLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVSSYSETISTLKFAERVSGVELGAARSSKEGRDVRELMGQVASLKDTIAKKDDEIEQLQLIKDHKNEYPGSARYGDSSASYDSSGVIPHRTRKPSDRRSVGSETASYQESISECSDKHSEAGSQQSKLSDGDTGHDMSFADAEILRFEDGDHEDRLSDISESVLSVGTEPDDTTDQGTKLSQTLRKSKVASTIRLLQNQTQNASKTVTVSRDSPKGSASIKKTVNSNLVKPSKRWQ >Potri.015G047400.5.v4.1 pep chromosome:Pop_tri_v4:15:4729073:4737459:-1 gene:Potri.015G047400.v4.1 transcript:Potri.015G047400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047400.v4.1 MNSASDHPMVQNGRANSSKVSVSSGVFEPTVIRNNDIEANKRAVLVEWMNSIVPSLNLPVKASSEELRACLIDGTVLLQLLNKLRPGYAYKAGSSSSENVKKFQASMDELGILKFEPSDLEKGSMKNVMDCLSTLRAQFAYMGVVSPTGGIKYGSPRGDASSNGLSSSTFGEEKRKFSPESKIQQALRSPVMTEPSAASMPHVVHKFHEMFQLKQGCYSDLSAAKISEMMKSNSLDNAPTQSLLSVVNGILDESIERKSDEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKVREEKYQSRIRVLEALASGTGEERGVVMDQLQQIKIEKSKMEEEKKIGVDHVAKLTKEQEQRDLELSALKQELELVKQTHELHRLQMETEAKAAKGGLEGRLKELEIHLEDSRNQVRVLEAYSQSKSKMFNKKEHIFKSFVELQFGALKELRLSSKSIKHEILEVQQSYLEEFNGLGVKLKALIDATGDYHIVLAENRRMFNELQELKGNIRVYCRIRPFLPGHGEKHTTVEYIGEHGELAVVNPSKQGKDRRRNFKFNKVFGPDSTQAEVYSDTQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGASEEDWGVNYRALNDLFSISQNRRDSLIYEIQVQMVEIYNEQVRDLLLSDGSQKKLGIISTVQPNGLAVPDASMHPVTSTSDVLELIDVGLKNRAVGATAMNERSSRSHSVVSIHVRGKDLHSGAALHGNLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVSSYSETISTLKFAERVSGVELGAARSSKEGRDVRELMGQVASLKDTIAKKDDEIEQLQLIKDHKNEYPGSARC >Potri.015G047400.4.v4.1 pep chromosome:Pop_tri_v4:15:4729260:4737021:-1 gene:Potri.015G047400.v4.1 transcript:Potri.015G047400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047400.v4.1 MNSASDHPMVQNGRANSSKVSVSSGVFEPTVIRNNDIEANKRAVLVEWMNSIVPSLNLPVKASSEELRACLIDGTVLLQLLNKLRPGYAYKAGSSSSENVKKFQASMDELGILKFEPSDLEKGSMKNVMDCLSTLRAQFAYMGVVSPTGGIKYGSPRGDASSNGLSSSTFGEEKRKFSPESKIQQALRSPVMTEPSAASMPHVVHKFHEMFQLKQGCYSDLSAAKISEMMKSNSLDNAPTQSLLSVVNGILDESIERKSDEIPHRVACLLRKVVQEIERRISTQAEHLRTQNNLFKVREEKYQSRIRVLEALASGTGEERGVVMDQLQQIKIEKSKMEEEKKIGVDHVAKLTKEQEQRDLELSALKQELELVKQTHELHRLQMETEAKAAKGGLEGRLKELEIHLEDSRNQVRVLEAYSQSKSKMFNKKEHIFKSFVELQFGALKELRLSSKSIKHEILEVQQSYLEEFNGLGVKLKALIDATGDYHIVLAENRRMFNELQELKGNIRVYCRIRPFLPGHGEKHTTVEYIGEHGELAVVNPSKQGKDRRRNFKFNKVFGPDSTQAEVYSDTQPLIRSVLDGYSVCIFAYGQTGSGKTYTMTGPNGASEEDWGVNYRALNDLFSISQNRRDSLIYEIQVQMVEIYNEQVRDLLLSDGSQKKLGIISTVQPNGLAVPDASMHPVTSTSDVLELIDVGLKNRAVGATAMNERSSRSHSVVSIHVRGKDLHSGAALHGNLHLVDLAGSERVDRSEATGDRLREAQHINKSLSALGDVIFALAQKNSHVPYRNSKLTQLLQSSLGGQAKTLMFVQLNPDVSSYSETISTLKFAERVSGVELGAARSSKEGRDVRELMGQVASLKDTIAKKDDEIEQLQLIKDHKNEYPGSARYGDSSASYDSSGVIPHRTRKPSDRRSVGSETASYQESISECSDKHSEAGSQQSKLSDGDTGHDMSFADAEILRFEDGDHEDRLSDISESVLSVGTEPDDTTDQGTKLSQTLRKSKVASTIRLLQNQTQNASKTVTVSRDSPKGSASIKKTVNSNLVKPSKRWQ >Potri.016G116200.1.v4.1 pep chromosome:Pop_tri_v4:16:12036751:12041900:-1 gene:Potri.016G116200.v4.1 transcript:Potri.016G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116200.v4.1 MGDFNLALVIVAIVVCIIVFLFNIYLLVNYQHPDDKNQAYFPKFVVVFGLFVAAISILMLPADVANRQACRHAIYNGACNLTLPMKDLWIAVYIVDAVLVFFIIPFAMFYYEGDQDKSVAKRIKSALLWVITTAIVCGLVLGILYGLVGKVDFTVRHLSSTTTTFPSTWEFSRNQPCIGSVARECSAYLANASSEKTWTMRATFPEYVVALATIVGSVLFAIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKARELKKAADVLHQEERSGNKGRKWRKNVKAVEKELLQLEEDVKLLEEVYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWVAHIIIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFVVLAGLTFVYYAAFGWRRKKPSGRFQLSS >Potri.016G116200.5.v4.1 pep chromosome:Pop_tri_v4:16:12036831:12042056:-1 gene:Potri.016G116200.v4.1 transcript:Potri.016G116200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116200.v4.1 MGDFNLALVIVAIVVCIIVFLFNIYLLVNYQHPDDKNQAYFPKFVVVFGLFVAAISILMLPADVANRQACRHAIYNGACNLTLPMKDLWIAVYIVDAVLVFFIIPFAMFYYEGDQDKSVAKRIKSALLWVITTAIVCGLVLGILYGLVGKVDFTVRHLSSTTTTFPSTWEFSRNQPCIGSVARECSAYLANASSEKTWTMRATFPEYVVALATIVGSVLFAIFGGVGIACLPLGLIFSFIRRPKAVITRSQYIKEATELGKKARELKKAADVLHQEERSGNKGRKWRKNVKAVEKELLQLEEDVKLLEEVYPQGEKAETSWALTVLGYLAKLVLGILGLIVSVAWVAHIIIYLLIDPPLSPFLNEVFIKLDDIWGLLGTVAFAFFCFYLLLAVIAGAMMLGLRLVFITIHPMKWGATLMNSFLFNVGLILLCSISVIQFCATAFGYYAQATAAQEIFGHTLQSLRGIKYLYKYNVFQIAFVVLAGLTFVYYAAFGWRRKKPSGRFQLSS >Potri.016G102700.1.v4.1 pep chromosome:Pop_tri_v4:16:10454695:10457407:1 gene:Potri.016G102700.v4.1 transcript:Potri.016G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102700.v4.1 MIIVLTKQRTSCKPILGLMGSSQTSIPPKKNCFLTVLFLFLSTSSAKNVLRRGSSLSVEDDSDILISPDKTFSCGFYGMGQNAYWFSIWFTNSKDRTVVWMANRDRPANGRGSRVSLLRDGAMVLTDVDGFIIWETNTTSTDVGRAELLDTGNLVLKGPGGKVLWQSFDFPTDTLLPNQLFTKRTKLVARLHSGSYASGYFSFFFDNDNVLRLIYDGPDISSIYWPNPDFNPFGNGRTNYNSSRTAVFDEMGHFISSDLLQFSAPDTGLLRIKRRLTMDHDGNLRLYSLNNETGLWVISWQALSQLCNVHGICGINSICVNTPDPKCSCPPGYEITEPGNWNKGCKPMFNSALSQSQQVKFVLLPHVDFWGFDLNFSASATFDSCMKLCLGDYRCKAFSYRLDGRALCYTKGVLFNGYQSPSFPGNIYLRLPDSVETSQLGILNGTDLICQSAESETTIGSPSMYNFNTKRTRWVYFYFFASAIGLVEILFVISGWWFLFRKRGSPNLAEDGYHLVLSPFRRFTYTELKKATNNFKEELGRGGSGAVYKGFLTDERVVAVKRLENMNQGEDVFWAEVSTIGKINHMNLVRMWGFCSEGKHRLLVYEYMEYQSLDKHLFSPTFLEWKDRFKAALGIAKGLAYLHHECLEWVIHCDVKPGNILLDSEFEPKIADFGLAKLSQRGGKSSDFSQIRGTKGYMAPEWATNLPITAKVDVYSYGVVVLEIVKGIPLSNWVIEGREEHDESDLTRFVRVVKRKIQCGETSWIEEIVDPRLNGQFSRNQATTIVELGMSCVEEDRNKRPTMDSVVQALLECLDESYTQLLDSR >Potri.016G102700.3.v4.1 pep chromosome:Pop_tri_v4:16:10454847:10458753:1 gene:Potri.016G102700.v4.1 transcript:Potri.016G102700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102700.v4.1 MGSSQTSIPPKKNCFLTVLFLFLSTSSAKNVLRRGSSLSVEDDSDILISPDKTFSCGFYGMGQNAYWFSIWFTNSKDRTVVWMANRDRPANGRGSRVSLLRDGAMVLTDVDGFIIWETNTTSTDVGRAELLDTGNLVLKGPGGKVLWQSFDFPTDTLLPNQLFTKRTKLVARLHSGSYASGYFSFFFDNDNVLRLIYDGPDISSIYWPNPDFNPFGNGRTNYNSSRTAVFDEMGHFISSDLLQFSAPDTGLLRIKRRLTMDHDGNLRLYSLNNETGLWVISWQALSQLCNVHGICGINSICVNTPDPKCSCPPGYEITEPGNWNKGCKPMFNSALSQSQQVKFVLLPHVDFWGFDLNFSASATFDSCMKLCLGDYRCKAFSYRLDGRALCYTKGVLFNGYQSPSFPGNIYLRLPDSVETSQLGILNGTDLICQSAESETTIGSPSMYNFNTKRTRWVYFYFFASAIGLVEILFVISGWWFLFRKRGSPNLAEDGYHLVLSPFRRFTYTELKKATNNFKEELGRGGSGAVYKGFLTDERVVAVKRLENMNQGEDVFWAEVSTIGKINHMNLVRMWGFCSEGKHRLLVYEYMEYQSLDKHLFSPTFLEWKDRFKAALGIAKGLAYLHHECLEWVIHCDVKPGNILLDSEFEPKIADFGLAKLSQRGGKSSDFSQIRGTKGYMAPEWATNLPITAKVDVYSYGVVVLEIVKGIPLSNWVIEGREEHDESDLTRFVRVVKRKIQCGETSWIEEIVDPRLNGQFSRNQATTIVELGMSCVEEDRNKRPTMDSVVQALLECLDESYTQLLDSRQEDKVCYQVKKWSPTQQLVIKSIVPNFVL >Potri.016G102700.2.v4.1 pep chromosome:Pop_tri_v4:16:10454754:10457406:1 gene:Potri.016G102700.v4.1 transcript:Potri.016G102700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102700.v4.1 MIIVLTKQRTSCKPILGLMGSSQTSIPPKKNCFLTVLFLFLSTSSAKNVLRRGSSLSVEDDSDILISPDKTFSCGFYGMGQNAYWFSIWFTNSKDRTVVWMANRDRPANGRGSRVSLLRDGAMVLTDVDGFIIWETNTTSTDVGRAELLDTGNLVLKGPGGKVLWQSFDFPTDTLLPNQLFTKRTKLVARLHSGSYASGYFSFFFDNDNVLRLIYDGPDISSIYWPNPDFNPFGNGRTNYNSSRTAVFDEMGHFISSDLLQFSAPDTGLLRIKRRLTMDHDGNLRLYSLNNETGLWVISWQALSQLCNVHGICGINSICVNTPDPKCSCPPGYEITEPGNWNKGCKPMFNSALSQSQQVKFVLLPHVDFWGFDLNFSASATFDSCMKLCLGDYRCKAFSYRLDGRALCYTKGVLFNGYQSPSFPGNIYLRLPDSVETSQLGILNGTDLICQSAESETTIGSPSIKRGSPNLAEDGYHLVLSPFRRFTYTELKKATNNFKEELGRGGSGAVYKGFLTDERVVAVKRLENMNQGEDVFWAEVSTIGKINHMNLVRMWGFCSEGKHRLLVYEYMEYQSLDKHLFSPTFLEWKDRFKAALGIAKGLAYLHHECLEWVIHCDVKPGNILLDSEFEPKIADFGLAKLSQRGGKSSDFSQIRGTKGYMAPEWATNLPITAKVDVYSYGVVVLEIVKGIPLSNWVIEGREEHDESDLTRFVRVVKRKIQCGETSWIEEIVDPRLNGQFSRNQATTIVELGMSCVEEDRNKRPTMDSVVQALLECLDESYTQLLDSR >Potri.007G131200.2.v4.1 pep chromosome:Pop_tri_v4:7:14489572:14495172:-1 gene:Potri.007G131200.v4.1 transcript:Potri.007G131200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131200.v4.1 MAIVDDLINPRGAQRTCVVVLGGRGFLGRSLVTRLLQVGDWIVRVADSTPSLQLDADSNHGDSVLRDALASGLASYCQVDVRDPSQIIKAVEGSSVVFYMEATDLPKHDFYDCYMIIVQGAKNVVNACRECKVRQLIYNSSADVIFDGSHEICNRDESFPCHWKFADMLSDLKAQAEALVLCANNIGGLLTCALRPSNVFGPGDTQLVPFLVNLARSGWAKFIIGSGDNMSDFTYAENVTHAHICAAKALDSQMISVAGKAFFITNLEPMKFWEFVSLILEGLGYQRPSIKVPARIVSYVLLVVEWIREKLGSRKYNHDISARFFHVASCTRTFNCSAAQKYIGYSPVVSLEDGIRLTIESFSHLAKDSSFGRFLNFEDQSKAEKLLGSGKVSDILLWRDEKKTFTCFVALVLLFYWFLLSGKTFASSTANLLLLVTTILYGYANLPPKIKFSERFYNVHSMSMEQRASHYKIIGPRRGLEQILPGGTFSLFR >Potri.007G131200.1.v4.1 pep chromosome:Pop_tri_v4:7:14489636:14495168:-1 gene:Potri.007G131200.v4.1 transcript:Potri.007G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G131200.v4.1 MAIVDDLINPRGAQRTCVVVLGGRGFLGRSLVTRLLQVGDWIVRVADSTPSLQLDADSNHGDSVLRDALASGLASYCQVDVRDPSQIIKAVEGSSVVFYMEATDLPKHDFYDCYMIIVQGAKNVVNACRECKVRQLIYNSSADVIFDGSHEICNRDESFPCHWKFADMLSDLKAQAEALVLCANNIGGLLTCALRPSNVFGPGDTQLVPFLVNLARSGWAKFIIGSGDNMSDFTYAENVTHAHICAAKALDSQMISVAGKAFFITNLEPMKFWEFVSLILEGLGYQRPSIKVPARIVSYVLLVVEWIREKLGSRKYNHDISARFFHVASCTRTFNCSAAQKYIGYSPVVSLEDGIRLTIESFSHLAKDSSFGRFLNFEDQSKAEKLLGSGKVSDILLWRDEKKTFTCFVALVLLFYWFLLSGKTFASSTANLLLLVTTILYGYANLPPKISSFNTPKISVFV >Potri.018G076500.2.v4.1 pep chromosome:Pop_tri_v4:18:9231332:9236510:-1 gene:Potri.018G076500.v4.1 transcript:Potri.018G076500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076500.v4.1 MTELMKTGNKTTKKQETKKASSSPNNQPSFKKQQRKGENPMRLVPASEQSPDFGCSNSWICKNSACRAVLSIDDTFCKRCSCCICHLFDDNKDPSLWLVCTSESGQGDSCELSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGSWKKQLLIAKDARRLDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLEIEVGPVDGVSAKMARGIVSRLSVAGDVQKLCSLAIEKADEWLTTISSANPNCREDSLPAACRFLFEEVKSSSVVIILIELSIASSADIKGYKLWYCKSREETHAKEPICVFPRSQRRILISNLQPCTEYTFRIVSYTEAGDLGHSEAKCFTKSIEIIHKNPNPSVARNSKKENTITGGCTSSYNRDSETATGVNSSGFKVRDLGKILHLAGAQQQGCFEGFCSADTEKCCGASKLVKLQTSEDPVPSVSHGLDLNVVSMPDLNEELTPPFESSRDEDNGCTLEQAIEADDDAASHEVEKNGLATSHGSGDSQTWMHGPSGEVPTVDSRSELCRKRAAHANEDLHDCDSTLINGSPFHVSSGSGYLDENFEYCVKTIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISSKRPRNGFCGKLWH >Potri.018G076500.1.v4.1 pep chromosome:Pop_tri_v4:18:9231232:9236610:-1 gene:Potri.018G076500.v4.1 transcript:Potri.018G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076500.v4.1 MDLEDTFLAKVSGVQSLSSSVQSTPEKNGNSDDASRSPELLQEFLKSGPKKELLRTCLDKDKKQTASSKSKMTELMKTGNKTTKKQETKKASSSPNNQPSFKKQQRKGENPMRLVPASEQSPDFGCSNSWICKNSACRAVLSIDDTFCKRCSCCICHLFDDNKDPSLWLVCTSESGQGDSCELSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGSWKKQLLIAKDARRLDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLEIEVGPVDGVSAKMARGIVSRLSVAGDVQKLCSLAIEKADEWLTTISSANPNCREDSLPAACRFLFEEVKSSSVVIILIELSIASSADIKGYKLWYCKSREETHAKEPICVFPRSQRRILISNLQPCTEYTFRIVSYTEAGDLGHSEAKCFTKSIEIIHKNPNPSVARNSKKENTITGGCTSSYNRDSETATGVNSSGFKVRDLGKILHLAGAQQQGCFEGFCSADTEKCCGASKLVKLQTSEDPVPSVSHGLDLNVVSMPDLNEELTPPFESSRDEDNGCTLEQAIEADDDAASHEVEKNGLATSHGSGDSQTWMHGPSGEVPTVDSRSELCRKRAAHANEDLHDCDSTLINGSPFHVSSGSGYLDENFEYCVKTIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISSKRPRNGFCGKLWH >Potri.018G076500.5.v4.1 pep chromosome:Pop_tri_v4:18:9231372:9235821:-1 gene:Potri.018G076500.v4.1 transcript:Potri.018G076500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076500.v4.1 MTELMKTGNKTTKKQETKKASSSPNNQPSFKKQQRKGENPMRLVPASEQSPDFGCSNSWICKNSACRAVLSIDDTFCKRCSCCICHLFDDNKDPSLWLVCTSESGQGDSCELSCHIECALQREKVGVVDLGQLMQLDGSYCCASCGKVSGILGSWKKQLLIAKDARRLDVLCYRIYLSYRLLDGTSRFKELHEIVKDAKAKLEIEVGPVDGVSAKMARGIVSRLSVAGDVQKLCSLAIEKADEWLTTISSANPNCREDSLPAACRFLFEEVKSSSVVIILIELSIASSADIKGYKLWYCKSREETHAKEPICVFPRSQRRILISNLQPCTEYTFRIVSYTEAGDLGHSEAKCFTKSIEIIHKNPNPSVARNSKKENTITGGCTSSYNRDSETATGVNSSGFKVRDLGKILHLAGAQQQGCFEGFCSADTEKCCGASKLVKLQTSEDPVPSVSHGLDLNVVSMPDLNEELTPPFESSRDEDNGCTLEQAIEADDDAASHEVEKNGLATSHGSGDSQTWMHGPSGEVPTVDSRSELCRKRAAHANEDLHDCDSTLINGSPFHVSSGSGYLDENFEYCVKTIRWLECEGHINQEFRLKLLTWFSLRSTEQERRVVNTFIQTLIDDPSSLAGQLVDSFSDIISSKRPRNGFCGKLWH >Potri.001G061900.1.v4.1 pep chromosome:Pop_tri_v4:1:4764516:4766529:-1 gene:Potri.001G061900.v4.1 transcript:Potri.001G061900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061900.v4.1 MGQKDEPVIGVPYYAGQNPYQAGAIPPNAIVGDPRGIPIQQTMYRDTPAPFNCVYCGNSGVTVVRSKPSLAAVVGCMMPLMVGFCFLCPSMDCLWHKHHYCPSCKEKVADFEKSDPCAVMDPPHWTQESFALPA >Potri.005G182500.4.v4.1 pep chromosome:Pop_tri_v4:5:18907697:18915215:1 gene:Potri.005G182500.v4.1 transcript:Potri.005G182500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182500.v4.1 MLNTRPFKGANVFISRNLVPPEVFDALLDGLKLNGADVFLCCDPSRHGPNDFHIISSPDHEKFEDLKAKGCNLLGPHCVLSCAKEHRPLPKQGFTCCLAMDGVKVLASGFDMDEKVKIEQMVTAMGGVLQTKASVDVRFVVVKNVSAAKYKWALNVLKKPIVTINWLYQCWNEHRVVPQESYRVLPFSGLTICVTRIPADKRKEIEKLIIQNGGKYSAELTKKCTHLISDAPEGDKYKVARRWGHIHIVTRKWFDQSIACKACLNEESYPVQGGCLSSSKTVRGPMIAHHSQDKCVGNTLSVPSSVASESNLPATPCAGSSDPDLEATLSQNMSSMFSDRPVSIKVVDCDKPMVKETIETNLDGCVANDSQSEDSDMYLSECRISLVGFEAPELRKLVNMVRRGGGSRYMTFNDKLTHIVVGAPTEVEKKELRGLAASGVINVVRTAWLEDCDREKKEIPVLCQHIAYDLLLPKDPVSSLKGTITGMAGNQAKSSIVHPSIHSDQVLGGANSGLRMPSLLKENRDVKPEMNINLSIPVEGTVRWSQQNVFPVVKDPKKGTKRTQNDCSDQDVQRMKSINVFQGKTFCFSKSFPEDRRSEIVQWINLGGGEVLIDKAKQKVHFMIECHGVISRSADDPWTLYVSSHWVRSCLEGGCLLDIGSHIIYSPLPCRIPLPGFEKFRFCISQYEEKDRLLLRNLCFVLGAKFVEKLTRKVTHLLCKFTSGPKYEAACKWDICLITSEWIYECVRQNEVVAVDQFRPKEITSQDEEAGLCTESQFPTQDVQMISGENASQFITQPQGLRNSSAQNGGSLINSFMEEAQQSIDICKKAKIFKDNDQKSLLSSRVHLSDSTLNMNSTEGDNAKDNGESSHDIPDVAAAIEDLLEQTSKIQDQKSPGRSGCDKSLFSSDCSMLGEGHGGSPSVIGLPKHWLSRTGRRDELSSPKEANGGPYDSFSETQTDSQVVGYEEDLTGRQMLIDRVRTRSSMT >Potri.008G206866.1.v4.1 pep chromosome:Pop_tri_v4:8:15305712:15306107:1 gene:Potri.008G206866.v4.1 transcript:Potri.008G206866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206866.v4.1 MLQGWIKLGPKSAKKPVISGSSQHFPPFLLSPPCLQPLKPPHTPFSSTNRRQRNLPTNPNPHQWQNSHRDCDRRASPLQLLLSPATDTSQHSLHRSLLSHWPNLLIAAKLPPQVNLLPLPFLLLRCLLSEQ >Potri.007G001400.1.v4.1 pep chromosome:Pop_tri_v4:7:89957:92188:-1 gene:Potri.007G001400.v4.1 transcript:Potri.007G001400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001400.v4.1 MGSVVSQAANGIGGVVGNAFAVPIKTLFGVSCEDVCSGPWDLICFIEHLCVSDLLKLLMIFALSYMTLMFFYLLFKIGVCQCIGRSLCKMCWAACETYWFALDDITCFLWHKLKNTKRVNRRRRFRDIEAGYTSSSETDFSEDYHHLGRKRKSAMERRKIRSQSWRSLHPSSRFGSRNNNHRQHHQHRVRLKTREISVHVKGGSRRPRNSRQFQLARLRNPRRNMGMFKRKRLR >Potri.008G071900.2.v4.1 pep chromosome:Pop_tri_v4:8:4425192:4428454:1 gene:Potri.008G071900.v4.1 transcript:Potri.008G071900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071900.v4.1 MTCATFVSGYSCLAEPVDELQKSYEQFLHRMERHKKKDIQHQTGRSGKRPQSTRKTEENDENVYRIEDRPKKIPDGSSQHVKPMKESNHVGFSGNSCEFGLGSDLSTKKEHVKKIGQRDASKQQEDTGESDESKMCHRDDTVVVKFVDTAIVGKQEAEDACHHGLLDPTINMKEAMNAINSMFREPLEIAPISRSRRSRPKEEHSLNSEFDVFIDENLDNGTESLHQKKEKSISLKVHGRAQIPLIHQEPFQIFIDDEESGENGDRTQKNKLKESKTRNLAEGSRSSALPLNAFVFPSPKDLPTGSSDYMDDESLPRIKHREDTVVHRFVGSTILDAAAVENVCHHGLVDPTVNLKEAMDDINNMFGKPMDFVRTKRPKQDKTPVMKQDICGFSILPDDDLEHQQGQPPPKSSRVSNSDLFEPTVFTKAAMDDINKMFGMPLDF >Potri.008G071900.1.v4.1 pep chromosome:Pop_tri_v4:8:4425126:4428573:1 gene:Potri.008G071900.v4.1 transcript:Potri.008G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071900.v4.1 METKKSITHKHNDLFSSLISDIKSYTGNDPLLPWLRGIKKLKECLHPDALKQKLPRFLQKCTQSFESDRRYRNDLRYLRVWLQLMDYVNDPRALLSTMEMNRIGTKRSLFYQAYALYYEKMKKFEDAENMYRLGVQNLAEPVDELQKSYEQFLHRMERHKKKDIQHQTGRSGKRPQSTRKTEENDENVYRIEDRPKKIPDGSSQHVKPMKESNHVGFSGNSCEFGLGSDLSTKKEHVKKIGQRDASKQQEDTGESDESKMCHRDDTVVVKFVDTAIVGKQEAEDACHHGLLDPTINMKEAMNAINSMFREPLEIAPISRSRRSRPKEEHSLNSEFDVFIDENLDNGTESLHQKKEKSISLKVHGRAQIPLIHQEPFQIFIDDEESGENGDRTQKNKLKESKTRNLAEGSRSSALPLNAFVFPSPKDLPTGSSDYMDDESLPRIKHREDTVVHRFVGSTILDAAAVENVCHHGLVDPTVNLKEAMDDINNMFGKPMDFVRTKRPKQDKTPVMKQDICGFSILPDDDLEHQQGQPPPKSSRVSNSDLFEPTVFTKAAMDDINKMFGMPLDF >Potri.008G203701.1.v4.1 pep chromosome:Pop_tri_v4:8:14787414:14790106:-1 gene:Potri.008G203701.v4.1 transcript:Potri.008G203701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203701.v4.1 MWSCKSKISVLGRLTYVHPALEELYFLRLLLIHVRGALSFDYLKNVSGVMHPTFQLACKTLRLLWDDKEWEDIFCEAMATATSPQIRNLFVSVILFCDVADPEVLFNKFLRSMYDDIITRFKSSFAMPNLKLFDDELKNYVLYELELLFNVAGTSLEKHKLPMPDGRLLSEIKNKLLREELNYDIVDLICQHSSTFPHLNQCQLNVYDCVVKSVLEKRQELIFVHGHRGTGKTFLWHTIINRLRSDDLARLLEMTSLIVWDEAPMNNQCCFEALDRSLRDVLTNGNDLPNDKPFGGKSILLGGDFRQILPVIPEGTKEDIILASLCSSVLWSKFKVLTLTQNMRLSSNGLSNDQKKELAIFGNWILAIAVYPSIRDINIDPCYFRERAIVTPRNATVSEINNFILNILIVTQLAEKIIEAQIITGSFIGNRVFIPRIVFPINDAKFVWVFLKDQVFTHGQLYVALSRVTSRQGLKIITCDAEGNHSIYAKNIVYKDVLSSLSVS >Potri.011G066500.1.v4.1 pep chromosome:Pop_tri_v4:11:5770525:5771064:-1 gene:Potri.011G066500.v4.1 transcript:Potri.011G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066500.v4.1 MKNTIRCCISCILPCGALDVIRIVHSNGRVEEISGTIRASEIMKAYPKHILKKPSSPSDDGFVPKIVIVPPDAELQRGKIYFLMPAPPTQETKSSRSSKGSGMRKKRREISINNRSTESNNSSHIVTNSISLTTNLLISDQYLSEILSEKLSTQRDRRRGRAGVWRPHLESITEAPHDA >Potri.006G102050.1.v4.1 pep chromosome:Pop_tri_v4:6:7865320:7868788:1 gene:Potri.006G102050.v4.1 transcript:Potri.006G102050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102050.v4.1 MATWPGYWLGFGRQTCQRPGVRWSLMEDYRCSSTGLCQGRVTNWAQNLKLSLLQYYPETM >Potri.010G122866.1.v4.1 pep chromosome:Pop_tri_v4:10:14077874:14083637:1 gene:Potri.010G122866.v4.1 transcript:Potri.010G122866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122866.v4.1 MAVKEEERLELFLKTGLDERTARHTIANNKVTNNLTAVIHEAGVTEGCNRTIGNLLYTVATKYPANALIHRPALLGYIVTTKIKTPAQLEAAFSFLSSTASESFELKGFEEACGVGVEVSEEDIERSVNEVFEQNKGSILELRYRTNVGDLFGHVRKRLPWADPKIVKKLIDAKLYELLGGRTAADNEKPSKQKKEKPAKVEVHTEIFFSDRPVLQCCNTKEVLDKHLKRTSRKVYTRFPPEPNGYLHIGHAKAMFVSFGLAKEQGGCCYLRYDDTNPEAEKKEYIDHIEEIVEWMGWKPFKITYTSDYFQELYELAVELIQRGHAYVDHQTPEEIKEYREKKMNSPWRDRPIAESLKLFEFET >Potri.012G113433.1.v4.1 pep chromosome:Pop_tri_v4:12:13191761:13194451:1 gene:Potri.012G113433.v4.1 transcript:Potri.012G113433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113433.v4.1 MGSIAAIQCAITAILLVSTTVSSDDKSPIPADPSSLNTWFQDNVKPLADRKGTIDPALEAAEAKPRTIKVRQDGSGEFKTLKDAINSIPTGNTERVIVDIGPGEYIEKLKIERSKPFVTFLGSPSNKPTLSFDGTAKEYGTVYSATLEAEADYFVAANIIFKNSAPRPNGELKGEQAVALRISGDKSAFYNCRLIGFQDTLCDDKGRHLFKDCYIEGTVDYIFGSGKSLYLGTELHVIGDENGNFITAHARNSEAEDTGFSFVHCKVDGTGAKGAYLGRAWQARPRVVFSYTTMSSVVNPEGWSNNFHPERDQTALFGEYKCEGEGANPAGRAKATKQLTPDQAAPFISLGFIEGSKWLLHPPN >Potri.001G279700.1.v4.1 pep chromosome:Pop_tri_v4:1:29341975:29343968:-1 gene:Potri.001G279700.v4.1 transcript:Potri.001G279700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279700.v4.1 MSVPNEDNMSPHMQLSSHFFGDLLDSIIVDVASECHRVARLGLDRNLEEEEEELRLSAQARVTVADPSNSGETNGKYVVDIFGQTHPCVANEVFDCMNCGRPIVAGRFAPHLEKCMGKGRKARAKATRSSTAAQNRYSRGSPVSANSPYSNSTSTNRLLNGTYSHTVEEYSNGTCEGP >Potri.009G132100.1.v4.1 pep chromosome:Pop_tri_v4:9:10734386:10738526:-1 gene:Potri.009G132100.v4.1 transcript:Potri.009G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G132100.v4.1 MATDKVVETVMVGNYVEMETEGKPKDLKARFSKFLWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGICFQLFYGLLGSWTAYLISVLYVEYRTRKEREKVDFRNHVIQWFEVLDGLLGKYWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLVMTTYTAWYLTIASLLHGQVEGVKHSGPTKIVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATLYVLTLTLPSAAAVYWAFGDMLLNHSNAFSLLPRSPSRDMAVILMLIHQFITFGFACTPLYFVWEKAIGMHECKSLCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAYMFTFKSSAARENAVEQPPKYMGRWVGTYVMNSFVVVWVLIVGFGFGGWASVTNFVHQIDTFGLFTKCYQCPPPTMAPSLPHLNATAAPPPLHHPHNLTHSP >Potri.010G236951.1.v4.1 pep chromosome:Pop_tri_v4:10:21706145:21708248:-1 gene:Potri.010G236951.v4.1 transcript:Potri.010G236951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236951.v4.1 MEGKGIFLVGLLLLSIADISTAQNCPKGSPKLFVFGDSYVDTGNWPKNVRGPWKEPFGKTFPGKPNGRASDGRVLTDHIASFLGIESPTPYQLRDTSKSIQQGLNFAYGGSGVFPTWAKDSLTVQIDQFEQLLKENVCSQCDLDSSVALVSTGINDYSFYSAAKKGSNDGLPAFTEGLVNQLAADLQRINRLGVKKVVVATLPVVGCLPLHIIPPNSYQKCDEESNKNAKIHNQALQKAVEKMNTDDGNKSTFVILDLYNAMVSAIDQFRQDAANTEHKNPLQPCCSKTVEHICSAEGLCSNPKSSFFFDLAHPSDNGWNAIYSFLQGSLNNDLTA >Potri.002G161000.1.v4.1 pep chromosome:Pop_tri_v4:2:12313446:12315988:-1 gene:Potri.002G161000.v4.1 transcript:Potri.002G161000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161000.v4.1 MSSPSKRREMDLMKLMMSDYKVELINDGMQEFYVHFNGPNESPYHGGVWRIRVELPDAYPYKSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFLPQLLLYPNPSDPLNGEAAALMMRDKPAYEQRVEEYCEKYAKPEDIGAAPEEKSSDEELSEDEYESLDDEMAGQADP >Potri.006G025400.7.v4.1 pep chromosome:Pop_tri_v4:6:1683512:1686489:-1 gene:Potri.006G025400.v4.1 transcript:Potri.006G025400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025400.v4.1 MESPFKANILKGKVALITGGGSGIGFEISTQFGKHGASVAIMGRRKQVVDSAVANLQSLGISAAGFEGDVRKQEDAKRVLESAFKHFGKIDILVNGAAGNFLVSPEDLSPNGFRTVLDIDAVGTFTMCHEALPYLKKGGLGQSLSGGGIILNISATLHYTAAWYQINVAAAKAAVDAIGRNLALEWGTDYDIRVNGIAPGPISGTPGMSKLVPEEINSKAKDFMPLYKLGEKWDIAMAALYLASDAGKYINGTTLIVDGGLWLSRPRHLPKDEVKQVSRAVEKKSRNAPAGVPSSKL >Potri.006G025400.6.v4.1 pep chromosome:Pop_tri_v4:6:1683198:1687995:-1 gene:Potri.006G025400.v4.1 transcript:Potri.006G025400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025400.v4.1 MESPFKANILKGKVALITGGGSGIGFEISTQFGKHGASVAIMGRRKQVVDSAVANLQSLGISAAGFEGDVRKQEDAKRVLESAFKHFGKIDILVNGAAGNFLVSPEDLSPNGFRTVLDIDAVGTFTMCHEALPYLKKGGLGQSLSGGGIILNISATLHYTAAWYQINVAAAKAAVDAIGRNLALEWGTDYDIRVNGIAPGPISGTPGMSKLVPEEINSKAKDFMPLYKLGEKWDIAMAALYLASDAGKYINGTTLIVDGGLWLSRPRHLPKDEVKQVSRAVEKKSRNAPAGVPSSKL >Potri.002G185500.1.v4.1 pep chromosome:Pop_tri_v4:2:14715284:14717027:-1 gene:Potri.002G185500.v4.1 transcript:Potri.002G185500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185500.v4.1 MEITVEMIKESLKDPYYHYNGYTIHVENHRILTVISGCDLTVSKWIKHVSKTNNDSASSSSKKSLIVGVSTDKQYISGSKGSENYCPYNILPRCVGSHCLIYHLPHPECYHTCKSLRDFFSNPKVIAVGVSIKPVAKQLEKEFEIKFEKVIDVHELAVKKIGQELLDLNVSKFDLDNMAKALLGKHMDVVRPEEKAEWFTKTGEKVKLATVDAYLCFLMGWELLYDADECKRNVSLALKQKMKKESKHLKNHRKKKIKILFKRSRMNFRDDMVW >Potri.012G083500.1.v4.1 pep chromosome:Pop_tri_v4:12:10907473:10910204:-1 gene:Potri.012G083500.v4.1 transcript:Potri.012G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083500.v4.1 MASSSSYNSPCAACKFLRRKCMPGCIFAPYFPPEEPQKFANVHKIFGASNVTKLLNELLPHQREDAVNSLAYEAEARVRDPVYGCVGAISFLQRQVQRLQKELDAANSDLIRYACSEISTALPAPPGASSIQSMAPRQRPIEYNRRMGNEGGNYHAPGMPFPYTLPWNDNPSGDNNEGEGGGSNM >Potri.006G222100.1.v4.1 pep chromosome:Pop_tri_v4:6:22744665:22748433:1 gene:Potri.006G222100.v4.1 transcript:Potri.006G222100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222100.v4.1 MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDAAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPMTPLPDLVTIHPPKEEEEYVAPPVMTTNIEIPVALA >Potri.001G018300.1.v4.1 pep chromosome:Pop_tri_v4:1:1338071:1339713:-1 gene:Potri.001G018300.v4.1 transcript:Potri.001G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G018300.v4.1 MELPPPFPRPPPPPPPQDQQHQKDLDFDSIRAIKVLGKGAMGTVFLVHNQETDSTAKNRFALKVVEKSTLHTKFDAERRARWEIQVLNQLSTPKTTHPFLPNLISSIETQEFLAWSVPFCPGGDLNVLRHRQNDHVFSPAVIRFYLAEIVCALDHLHQMGIVYRDLKPENILIQHSGHVTLTDFDLSRTLTRKTVRNLVPNAAASCNNLITVSEIEQEPQKKQQQHHIRNLTRWWFVNDVQHKKNGLKKAKSARVSPVSRRKLSFNNGERSNSFVGTEEYVSPEVVRGDGHEFAVDWWALGILSFEMLYGTTPFVGKNRKETFRNVLAKKPEFIGKRNELTDLIERLLEKDPTQRLGYQRGACEIKEHVFFKGVRWDLLTEVLRPPFIPSRDDGELTERATAAGVDIREYFKDLRAPPPSMPPSPSSDYYMKLSEF >Potri.016G086450.1.v4.1 pep chromosome:Pop_tri_v4:16:6801323:6801918:-1 gene:Potri.016G086450.v4.1 transcript:Potri.016G086450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086450.v4.1 MNHPGQIGNGYAPVLDCHTSHIAVKFSEILTKIDRRSGKELEKEPKFLKNGDAGFVKMIPTKPMVVETFSTYPPLGRFAVRDMRQTVAVGVIKSAKKKDASGAKVTKSAVKKGGK >Potri.004G142800.1.v4.1 pep chromosome:Pop_tri_v4:4:16564740:16566022:1 gene:Potri.004G142800.v4.1 transcript:Potri.004G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G142800.v4.1 MAPPADNEVVLEFRFFKVYKDSRVQLVWPECPKVPPSTDLITGVQSKDVMILTEPQVYVRIFLPKLKSPDQKLPLLLFVHGGGFVMFSPSAIPYHVLCNKVAADANVIVVSVEYGLFPTRPIPACYEDSWEALQWVASHADGSGAEPWLNNHADFGKVFLGGDSGGANISHTLAFRVGSIGLPGVKVVGMIMVHPFFGGTEDDKMWLYMCPSNSGLDDPRLNPGLEDLARLGCERVLIFVAEKDSLIAVGRNYYEKLKKSGWKGSVEIVENEDEDHCFYLLDLNSEKAVELLHKFVSFLKQD >Potri.002G074700.9.v4.1 pep chromosome:Pop_tri_v4:2:5218298:5221261:-1 gene:Potri.002G074700.v4.1 transcript:Potri.002G074700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074700.v4.1 MAGEAKGRREDLNLYSEKPMMLAFDGGKSGIGAGGVEIEGLVITEWKDIPMKLLLRIVSLVDDRTLIMASGVCSGWRDAICSGLTHLCLSWCKNNMNNLVLSLAPKFTKLQTLVLRQDKPQLEDNAVETIASYCHDLQDLDLSKSFKLSDLSLYALAHGFPNLTKLNISGCTAFSDVSLEYLTEFCRKLKILNLCGCVNGATDRALQAIGRNCSQLQSLNLGWCENVSDVGVMSLAYGCPDIRTLDLCGCVCITDDSVIALANRCPHLRSLCLYYCRNITDRAMYSLVHNRVKNKPAMWESMKGRYDEEGLKSLNISQCTAITPPAVQALCDSFPALHTCSGRHSLVMSGCWNLTSVHCACAVQAHHTASSIPHPAH >Potri.004G039700.1.v4.1 pep chromosome:Pop_tri_v4:4:3150374:3152738:1 gene:Potri.004G039700.v4.1 transcript:Potri.004G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039700.v4.1 MDQIQHKYVSIRGVKLHIAEIGTGSLMVVFIHGFPEIWYSWRHQMIAVANGGYHAIAPDLRGYGLSEHHPDLEKASFDDFVEDTIAILDYFQIEKAFLVGKDFGSWPVYLLSLLHPTRISGIVSLGVPFFPPRPLRYKDLPEGFYIHRWKEPGRAEADFSRFDVKTVWRNIYILFSRNEIPIADKDKEIMDLVDPSTPLPSWLSSEDLAIYAKAYEKSGFDSPMRAPYKGLRKEFTIRNPKVQAPVLLIMGGQDYFLKFPGIEDYLTSGKVRERMSDLEIKTLPEGTHFVQEQFPDQVNQLIIDFLEKQVRSS >Potri.005G103500.1.v4.1 pep chromosome:Pop_tri_v4:5:7500324:7501899:1 gene:Potri.005G103500.v4.1 transcript:Potri.005G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G103500.v4.1 MSKETTTATTTPPTPAPTPTTPHTVCELQVDTQSPGWQKTLCKVLKGIQGVSFTIDASRGRARVSGEVNPNKLLLILAKAGKHAELIWVHHGNSQSNAQSYSHVYGNIPMGYGDGYGFYSDYPYTDPYGGMNYYMDDPQQTYLYSYYQDYYPPQYYPPYSYYEPPAMYFPQGPPPVQNQRLQSVCNMM >Potri.015G005500.1.v4.1 pep chromosome:Pop_tri_v4:15:328201:330542:-1 gene:Potri.015G005500.v4.1 transcript:Potri.015G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005500.v4.1 MSQEIMESRMVINETSSASESTHDTTYTKIFVGGLPWETRKDSLQGYFEQFGEIIEAVVIVDRSTGRSKGYGFVNFKDPDSATRACQNPYPVIDGRRANCNLAAFGAKKKAAGIDRLGPAAPRFMAPLNIHGPSAYFNQQMPQYASPYSVYGYPIHPQDTSAMNNIYSAYGGKFYYPAAASGSPGVYLNYYPFYAQHGQNSPSYYPRVIQNSQQYNAFGTLSNPTSASSLPNTKAAEARPAAIAEQQQ >Potri.015G005500.2.v4.1 pep chromosome:Pop_tri_v4:15:328332:330472:-1 gene:Potri.015G005500.v4.1 transcript:Potri.015G005500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005500.v4.1 MSQEIMESRMVINETSSASESTHDTTYTKIFVGGLPWETRKDSLQGYFEQFGEIIEAVVIVDRSTGRSKGYGFVNFKDPDSATRACQNPYPVIDGRRANCNLAAFGAKKKGIDRLGPAAPRFMAPLNIHGPSAYFNQQMPQYASPYSVYGYPIHPQDTSAMNNIYSAYGGKFYYPAAASGSPGVYLNYYPFYAQHGQNSPSYYPRVIQNSQQYNAFGTLSNPTSASSLPNTKAAEARPAAIAEQQQ >Potri.003G062700.4.v4.1 pep chromosome:Pop_tri_v4:3:8961415:8966409:-1 gene:Potri.003G062700.v4.1 transcript:Potri.003G062700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062700.v4.1 MLSLRNTRRFCNFAASVALSRSPAAVSNRIQNQNQKPLEEPALVKLKAERDPEKLFNLFKANAENRLVVENRFAFEDTVSRLAGANRFDYIEHLLEHQKPLPQGRREGFMVRIIMLYGKAGMIKHAIDTFYNMHLHGCKRTVKSVNAALKVLTGTRDLAAIEAFVNEAPKKFDIELDIFSVNIIVKALCETGNLDKAYLLMVEMEKSGVRPDVITYTTLMSAFYKNNRSEIGNGLWNLMVLKGCLPNLATFNVRIQYLVNIRRAWHANDVMRLMLKIGIVPDEVTYNLVIKGFFQTGKLEMAKMVYFSLRGKGHKFNVKVYQTMVHYLCKGGEFDLAYTMCRDCMRNNWFLNVDTIHTLLEGLKKNGQLNKAKVIVTLAQKRVPPFPSSHLRSLQAVLSRS >Potri.003G062700.1.v4.1 pep chromosome:Pop_tri_v4:3:8961465:8966371:-1 gene:Potri.003G062700.v4.1 transcript:Potri.003G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062700.v4.1 MLSLRNTRRFCNFAASVALSRSPAAVSNRIQNQNQKPLEEPALVKLKAERDPEKLFNLFKANAENRLVVENRFAFEDTVSRLAGANRFDYIEHLLEHQKPLPQGRREGFMVRIIMLYGKAGMIKHAIDTFYNMHLHGCKRTVKSVNAALKVLTGTRDLAAIEAFVNEAPKKFDIELDIFSVNIIVKALCETGNLDKAYLLMVEMEKSGVRPDVITYTTLMSAFYKNNRSEIGNGLWNLMVLKGCLPNLATFNVRIQYLVNIRRAWHANDVMRLMLKIGIVPDEVTYNLVIKGFFQTGKLEMAKMVYFSLRGKGHKFNVKVYQTMVHYLCKGGEFDLAYTMCRDCMRNNWFLNVDTIHTLLEGLKKNGQLNKAKVIVTLAQKRVPPFPSSHLRSLQAVLSRS >Potri.003G062700.5.v4.1 pep chromosome:Pop_tri_v4:3:8961431:8966409:-1 gene:Potri.003G062700.v4.1 transcript:Potri.003G062700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062700.v4.1 MLSLRNTRRFCNFAASVALSRSPAAVSNRIQNQNQKPLEEPALVKLKAERDPEKLFNLFKANAENRLVVENRFAFEDTVSRLAGANRFDYIEHLLEHQKPLPQGRREGFMVRIIMLYGKAGMIKHAIDTFYNMHLHGCKRTVKSVNAALKVLTGTRDLAAIEAFVNEAPKKFDIELDIFSVNIIVKALCETGNLDKAYLLMVEMEKSGVRPDVITYTTLMSAFYKNNRSEIGNGLWNLMVLKGCLPNLATFNVRIQYLVNIRRAWHANDVMRLMLKIGIVPDEVTYNLVIKGFFQTGKLEMAKMVYFSLRGKGHKFNVKVYQTMVHYLCKGGEFDLAYTMCRDCMRNNWFLNVDTIHTLLEGLKKNGQLNKAKVIVTLAQKRVPPFPSSHLRSLQAVLSRS >Potri.003G062700.6.v4.1 pep chromosome:Pop_tri_v4:3:8961464:8966371:-1 gene:Potri.003G062700.v4.1 transcript:Potri.003G062700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062700.v4.1 MLSLRNTRRFCNFAASVALSRSPAAVSNRIQNQNQKPLEEPALVKLKAERDPEKLFNLFKANAENRLVVENRFAFEDTVSRLAGANRFDYIEHLLEHQKPLPQGRREGFMVRIIMLYGKAGMIKHAIDTFYNMHLHGCKRTVKSVNAALKVLTGTRDLAAIEAFVNEAPKKFDIELDIFSVNIIVKALCETGNLDKAYLLMVEMEKSGVRPDVITYTTLMSAFYKNNRSEIGNGLWNLMVLKGCLPNLATFNVRIQYLVNIRRAWHANDVMRLMLKIGIVPDEVTYNLVIKGFFQTGKLEMAKMVYFSLRGKGHKFNVKVYQTMVHYLCKGGEFDLAYTMCRDCMRNNWFLNVDTIHTLLEGLKKNGQLNKAKVIVTLAQKRVPPFPSSHLRSLQAVLSRS >Potri.003G062700.3.v4.1 pep chromosome:Pop_tri_v4:3:8961479:8966409:-1 gene:Potri.003G062700.v4.1 transcript:Potri.003G062700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062700.v4.1 MLSLRNTRRFCNFAASVALSRSPAAVSNRIQNQNQKPLEEPALVKLKAERDPEKLFNLFKANAENRLVVENRFAFEDTVSRLAGANRFDYIEHLLEHQKPLPQGRREGFMVRIIMLYGKAGMIKHAIDTFYNMHLHGCKRTVKSVNAALKVLTGTRDLAAIEAFVNEAPKKFDIELDIFSVNIIVKALCETGNLDKAYLLMVEMEKSGVRPDVITYTTLMSAFYKNNRSEIGNGLWNLMVLKGCLPNLATFNVRIQYLVNIRRAWHANDVMRLMLKIGIVPDEVTYNLVIKGFFQTGKLEMAKMVYFSLRGKGHKFNVKVYQTMVHYLCKGGEFDLAYTMCRDCMRNNWFLNVDTIHTLLEGLKKNGQLNKAKVIVTLAQKRVPPFPSSHLRSLQAVLSRS >Potri.010G071200.2.v4.1 pep chromosome:Pop_tri_v4:10:9944042:9950919:1 gene:Potri.010G071200.v4.1 transcript:Potri.010G071200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071200.v4.1 MDRSKTIVWFRRDLRIEDNPALAAAARDGCVFPVFIWCPKEEGQFYPGRVSRWWLKQSLAHLGQSLKSLGAELVLIKTHSTVAALLDCIETIGATRVVFNHLYDPVSLVRDHNIKEKLVELGISVQSYNGDLLYEPWEIYDERGHAFTTFEAYWDRCLHMQMEPVSHLPPWRLVPAAGTVMKCSVEELGLEDEAEKSSNSLLGRGWSPGWSNADKALTEFAEQHLIDYVESRLKVGTSTSLLSPYLHFGELSVRKVFQCVQLKQLLWAKEENLMGKESVTLFLRSIGLREYSRYLCFNFPFTHERSLLRNLKYFPWNDNQVHFKAWRQGRTGYPLVDAGMRELWATGWIHNKIRVIVSSFAVKVLLLPWRWGMKYFWDTLLDADLESDILGWQYISGSLPDAHELERLDNPEIQGSKFDPEGEYVRRWLPELARMPAEWIHHPWDASIAVLKAAGVELGINYPKPIIDIDLARERLMEAIFKMWEMEAAARASNTNGTNEVVVDNTDDTENLAIPKVVLKDKVTCPTNSSNDQRVPTNQNSKNIPAYRKRSKYMEEERPQPDKLHNDGNVVGTTRKDEDLCSTAESSSAKKQATSSCSFSVPQYCSSSEGKPLQESESSDLRQPLQAQIEMEQSSSKDGKQLHFIV >Potri.010G071200.5.v4.1 pep chromosome:Pop_tri_v4:10:9944042:9950918:1 gene:Potri.010G071200.v4.1 transcript:Potri.010G071200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071200.v4.1 MDRSKTIVWFRRDLRIEDNPALAAAARDGCVFPVFIWCPKEEGQFYPGRVSRWWLKQSLAHLGQSLKSLGAELVLIKTHSTVAALLDCIETIGATRVVFNHLYDPVSLVRDHNIKEKLVELGISVQSYNGDLLYEPWEIYDERGHAFTTFEAYWDRCLHMQMEPVSHLPPWRLVPAAVMKCSVEELGLEDEAEKSSNSLLGRGWSPGWSNADKALTEFAEQHLIDYVESRLKVGTSTSLLSPYLHFGELSVRKVFQCVQLKQLLWAKEENLMGKESVTLFLRSIGLREYSRYLCFNFPFTHERSLLRNLKYFPWNDNQVHFKAWRQGRTGYPLVDAGMRELWATGWIHNKIRVIVSSFAVKVLLLPWRWGMKYFWDTLLDADLESDILGWQYISGSLPDAHELERLDNPEIQGSKFDPEGEYVRRWLPELARMPAEWIHHPWDASIAVLKAAGVELGINYPKPIIDIDLARERLMEAIFKMWEMEAAARASNTNGTNEVVVDNTDDTENLAIPKVVLKDKVTCPTNSSNDQRVPTNQNSKNIPAYRKRSKYMEEERPQPDKLHNDGNVVGTTRKDEDLCSTAESSSAKKQATSSCSFSVPQYCSSSEGKPLQESESSDLRQPLQAQIEMEQSSSKDGKQLHFIV >Potri.018G043850.1.v4.1 pep chromosome:Pop_tri_v4:18:3501456:3502097:1 gene:Potri.018G043850.v4.1 transcript:Potri.018G043850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043850.v4.1 MSWLKISQSVIRLAVLAKFVKSSVALYWDEEMLIQWLKYKSILLTARSLSVGIMMPKFTAIAS >Potri.008G014200.2.v4.1 pep chromosome:Pop_tri_v4:8:711263:715501:1 gene:Potri.008G014200.v4.1 transcript:Potri.008G014200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014200.v4.1 MAIPCASLPFPSSSLLLKASSFSSQFPPLLSPSHLFLTVCSRHTPAKSTNARNSYYTNNTGIAYLKYPVVVKAQTSSSSALSREPMLPPFNILITGSTKGIGYALAKEFLKAGDNVIICSRSAERVESAVQSLREEFGEQRVWGTKCDVREGKDVKDLVAFAQESLKYIDIWINNAGSNAYSYKPLAEASDEDLIEVVTTNTLGLMICCREAIKMMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELRMQDVQNVVAHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSTKPTYIRFLTGVKAYSQIFSRFAFGARRNRYLLED >Potri.008G014200.5.v4.1 pep chromosome:Pop_tri_v4:8:711263:715433:1 gene:Potri.008G014200.v4.1 transcript:Potri.008G014200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014200.v4.1 MAIPCASLPFPSSSLLLKASSFSSQFPPLLSPSHLFLTVCSRHTPAKSTNARNSYYTNNTGIAYLKYPVVVKAQTSSSSALSREPMLPPFNILITGSTKGIGYALAKEFLKAGDNVIICSRSAERVESAVQSLREEFGEQRVWGTKCDVREGKDVKDLVAFAQESLKYIDIWINNAGSNAYSYKPLAEASDEDLIEVVTTNTLGLMICCREAIKMMLNQPRGGHIFNIDGAGSDGRPTPRFAAYGATKRSVVHLTKSLQAELRMQDVQNVVAHNLSPGMVTTDLLMSGATTKQAKFFINVLAEPAEVVAEYLVPNIRSIPANGSTKPTYIRFLTGVKAYSQIFSQRFAFGARRNRYLLED >Potri.002G159800.1.v4.1 pep chromosome:Pop_tri_v4:2:12169152:12169517:-1 gene:Potri.002G159800.v4.1 transcript:Potri.002G159800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159800.v4.1 MEESDTMDDEKGESGGDSPGANDGTKKKLSKDGAEALKKCLEENKGDRNKCKSKIDALRSSSAPRKRPLLPLRLKSGSLTDV >Potri.010G186300.1.v4.1 pep chromosome:Pop_tri_v4:10:18324198:18325787:1 gene:Potri.010G186300.v4.1 transcript:Potri.010G186300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G186300.v4.1 MANSVHVKEAVVIKPSEPTPSRVLSLSALDSQLFLRFTVEYLFVFKARPGLDQSVITDRVKAALAKILVPYYPLAGRVRAKPDGSSLEVVCRSQGAVFMEAVSDLTINDFDRAPRYVTQWRKLLSLNVSDVLKGAPTLVIQLTWLKDGDATLGVGFNHCVCDGIGSAEFLNSFAELAVSQSRVADLKPQPVWDRYLFDPIKASHCYSASLIEFNRVPDLCGFTSRFSNEKLVPTSIIFDKRWLNELKKLALSTSGPFELAYTSYEVQSAHVWRSWARALNFPSNQILKLLFSINVRNRVKPSVPSGYYGNAFVLGCAQTSVKDLAEKGLGYAAMLIKRAKERVDNEYVRSVIELVSQARACPDSVGVLIMSQWSRLGLERVDFGMGKPVHVGPICSDRYCLMLPVHNQQDAVKVMVAVPASGVDKYEFLVKSPYS >Potri.013G022900.2.v4.1 pep chromosome:Pop_tri_v4:13:1477143:1480091:-1 gene:Potri.013G022900.v4.1 transcript:Potri.013G022900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022900.v4.1 MASSKPQSSCQKLFITSLLFLSLSLMFLVFSFKPKPGHALDHHPQTAARYIKKPLSINTQKSWFDGLIEKKTDSEPIKIGLVNVDDHVKHAYDRMHGQVETVSVDFRPVSKELKWESFFPEWIDEDARWHQPSCPEVPMPRLDDYRDLDVILARVPCGSGSEKQGIRDVFRLQVNLVVANLVVANGLTKGGGDRKVYVVFMGSCGPMQEIFRCDDLMTRLGDYWVYKPELRRLRQKVQMPVGSCQIAPLGRLLTGSQGIQRHSMAQYSKARKPIDNILYHQRVAYATVIHSSEAYVCGAIALAQSIIQNNSTNDLVLLHDSSLSPESLCGLRAAGWKTKLIQPIRSPFARKDSYNEWNYSKLRLWQLTDYDKVVFIDADLIVLKNIDKFFAYPQLSAAPNDKVLFNSGIMVIEPSTCLFEDMMSKRNKLLSYNGGDQGFLNEAFTWWHRLPTRLNYLKIFKNQGNPDHEMQKGPYTIHFLGLKPWACYKDYDCNWDMVDRHIFASDSAHKRWWQVYDAMPKKLQQYCGLTKHMDARIRKWRGKAKNASLPDGHWKINVKDPRQYHLND >Potri.014G108500.1.v4.1 pep chromosome:Pop_tri_v4:14:7286638:7288756:-1 gene:Potri.014G108500.v4.1 transcript:Potri.014G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108500.v4.1 MSDVGQWKPVVGMLVISFAFAIVNLLLKKMIDQGTNNMVIATYRLSSSAIFLAPIAYFWERKGRPKLTASIFCHLFLGAFVGLTLTQYLFLLGLEYISVTFSCAFLNTVPVNTFILALLFRLEKLNMSSKAGRAKVLGTFICMGGAVLLILYKGIPLTNPRSEATTTHDILISGKKKRSWVAGSILSLAGCFMWSAWFLMQAKISKIYPCQYSSTAIMSFFGAIQSAALSSILKRKFSMWILKSKLEIISVLNAGIIGSGLCYVGMSWCIKQRGPVFTSAFTPFIQIFAAMFDFSILHEQIYLGSVLGSIVVILGLYILLWGKRTEAVDCGEKQAQLTDEEENHDTEAQISATNSKSNP >Potri.007G146600.1.v4.1 pep chromosome:Pop_tri_v4:7:15480880:15485872:1 gene:Potri.007G146600.v4.1 transcript:Potri.007G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146600.v4.1 MDEEYDVIVLGTGLKECILSGLLSVDGLKVLHMDRNDYYGGESASLNLIQLWKRFRGNDKPPAHLGSSRDYNVDMIPKFMMANGNLVRVLIHTNVTKYLYFKAVDGSFVLNKGKVHKVPATDMEALKSPLMGIFEKRRARKLFIYVHNYEESDPKTHEGMDLTRVTTRELIAKFGLDDNTIDFIGHAVALHRDDRYLNEPALDTVMRMKLYAESFARFQGGSPYIYPLYGLGELPQAFARLSAVYGGTYMLNKPECKVEFDEEGKVAGVTSEGETAKCKKVVCDPSYLLNKVRKVGKVARAIAIMSHPIPSTDESHSVQVILPQKQLGRRSDMYLFCCSYTHNVAPKGKFIAFVSTEAETDHPEVELKPGIDLLGPVDEIFFDIYDRYEPVNEPSLDNCFISTSYDATTHFESTVTDVLNMYTMITGKVLDLSVDLSAASAAEE >Potri.008G177350.1.v4.1 pep chromosome:Pop_tri_v4:8:12257661:12258742:-1 gene:Potri.008G177350.v4.1 transcript:Potri.008G177350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177350.v4.1 MFGLKSIRHQIFYNSFRIDQLVVLRLQIKVAGESPYNRSRLVSCVKAPCGFIEVLLYMGNPNNAGMSGLIGDEEGKCIAGFHSYSGWTTSIMVEADSLEAVHLISGNSGPFHPCHALLEDINSLLNRSLFHTLREGKGRESMRRLSCQARVDLSGSQFCLGNSSFRSSYCFVRRWFG >Potri.006G085700.10.v4.1 pep chromosome:Pop_tri_v4:6:6389319:6404309:-1 gene:Potri.006G085700.v4.1 transcript:Potri.006G085700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085700.v4.1 MTDNMDIDLPPEPPSSIEPRDRLLLRLTQFGVPKEYRVMLHSGLVDYIMDNWSRIPELVAAILPIDDEVAEILQNAKLASKKSASQTMKYCFRECMVWLQWLMFLGEPAVALKNLSKMSAGRGVCGAVWGNNDIAYRCQTCENDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKREGFCSKHKGAEQIQPLPEEFARSVGPVLDALLGCWKNKLVSAETISQKNPNAADRAAMGKKIANQLAFAVVEMLLEFCKCSESLLSFVSRRVISLGGLLEILVRSERFLSEGVVKKLHELLLKLLGEPIFKYEFAKEFLRYYPFVVHEAMKETVDDMHKKYPLLSIFSVQIFTVPTLTPRLVKEMNLLGLLLGCLEDIFIQCAGEDGRLQFTKWAHLYEIGIRVVEDVRFVMSHGVVPKNVTHEQRAVLRTWMELLSFLQGMGPLKRETSLYVEEESENINLLFILCHSIANIHSLLVDGAFSMCEEADDATFLNMLGKDMDEQDSIRHTKIGRLSQESSVCSVTESSSFISAEKVVEVDSDSTYHHLLPSSVTWLTYECLRAIENCLGADDSSGAQVSGGTSSISNSNFSAFKKKLYKIRKGKYIFGGHGSTSKDECFSVAYSRCHASVNVDNANVVKDCKTTVPGDTDCAGSSDGLMEGSSSSELDLLRFLSLSDWPDIIYDVSSHDVSVHTPLHRLLSMLLQKALRRCYGGSVVINAINASTSTSLSRTDDDFFGCLLEGCHPCGFSAFVMEHPLRTRVFCAQVHAGMWRKNGDAAILCCEWYRSVRRSEQGLEFDLFLLQCCAVLAPPDLYVSRILERFGLSDYLSLKAEQSTEYEPVLMQEMLMLLIQIVQERRFSGLTPAENLKRELVHKLAIGDATRSQLVKSLPRDLSKIDQLQEVLDTVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRYCSASAGTTQLPRWTNIYPPLKGIARIASSKVVIKIIRAVLFYAIFMHKRAPDGVLLTALHLLSLALDICIQQKEMDMSFHIENTSSMFAFVGEEIQEGLNYGSGGQSLLSLLVLLMRIHKRESSDNLLEAGSYNFSSLIESLLKRFAEIDAGCMTKLQQLAPEMAIHLSQSVPNIEKNTLGSASDSEKRKAKALERQAAILAKMKAEQSKFLLSMNSATDDVSNTGAEGIDSDGTQNLEESTQDVCSLCHDPNSKNPVSFLVLLQKSRLLSFIDRGPPSWDQDQLPDKGQNSVIAKALTNQSGISSSSGSGMISSTQLTHFVQDVVNQFANYAQPGEVNAIIEFIKARFPLLRSSQVSSASKDGKDKTMNTFEMLEQDMYFSMRKEMHDNMLASNSGLQTEKFTAAEGGQISSPVESVLLGKYIAALSREITEHPSSSESSPNDELQAEFPSRSPAYDGFGPADCDGVHLSSCGHAVHQECLDRYLSSLKERYVRRIVFEGGHIVDPDQGEFLCPVCRQLANSVLPSLPGDFQKVWRQPMISTVSSLHAVGALVSSSEGCDSLQLQHALFLLKSAAKMVEKGDILKAIPLQRGEKMWPNLDSISRLLIKLYFPNRWDKFSGSARVNHSMIMWDTLKYSLVSMEIAARCGGTQMTPTYSLNALYKELKSTSGFTLSLLLKIVQNLRSKNPLHVLQRFRGIQLFAESICAGVPNDYPSGAYRCGENMSCILAHIGKEVSYSDVQFWNWVAEPVLAHDAFSSLMWALFCLPCPFLSCQDSLLSLVHVFYGASVAQAIVIFCGKHQREMRESNFDDSLITDISKVFGESGCIKDYFVSDNIDSSSDIINVIRRLSFPYLRRCALLWKLLSTSVSAPFCDRDVLNRSSNNYMMDNMSGAQDELNEVQELEKMFKIPPLFSVLKDHTLRSLVTKWLHHFCKQYEVFSPQHVLHVTPAVPFKLMHLPHVYQDLLQRYIKQKCVGCKTLLDDPALCLLCGRVCSLNWKSCCRESGCQTHAMACGAGTGVFLLIKRTTILLQRCARQAPWPSPYLDAFGEEDIQIQRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGSLFLV >Potri.006G085700.11.v4.1 pep chromosome:Pop_tri_v4:6:6389423:6404309:-1 gene:Potri.006G085700.v4.1 transcript:Potri.006G085700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085700.v4.1 MTDNMDIDLPPEPPSSIEPRDRLLLRLTQFGVPKEYRVMLHSGLVDYIMDNWSRIPELVAAILPIDDEVAEILQNAKLASKKSASQTMKYCFRECMVWLQWLMFLGEPAVALKNLSKMSAGRGVCGAVWGNNDIAYRCQTCENDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKREGFCSKHKGAEQIQPLPEEFARSVGPVLDALLGCWKNKLVSAETISQKNPNAADRAAMGKKIANQLAFAVVEMLLEFCKCSESLLSFVSRRVISLGGLLEILVRSERFLSEGVVKKLHELLLKLLGEPIFKYEFAKEFLRYYPFVVHEAMKETVDDMHKKYPLLSIFSVQIFTVPTLTPRLVKEMNLLGLLLGCLEDIFIQCAGEDGRLQFTKWAHLYEIGIRVVEDVRFVMSHGVVPKNVTHEQRAVLRTWMELLSFLQGMGPLKRETSLYVEEESENINLLFILCHSIANIHSLLVDGAFSMCEEADDATFLNMLGKDMDEQDSIRHTKIGRLSQESSVCSVTESSSFISAEKVVEVDSDSTYHHLLPSSVTWLTYECLRAIENCLGADDSSGAQVSGGTSSISNSNFSAFKKKLYKIRKGKYIFGGHGSTSKDECFSVAYSRCHASVNVDNANVVKDCKTTVPGDTDCAGSSDGLMEGSSSSELDLLRFLSLSDWPDIIYDVSSHDVSVHTPLHRLLSMLLQKALRRCYGGSVVINAINASTSTSLSRTDDDFFGCLLEGCHPCGFSAFVMEHPLRTRVFCAQVHAGMWRKNGDAAILCCEWYRSVRRSEQGLEFDLFLLQCCAVLAPPDLYVSRILERFGLSDYLSLKAEQSTEYEPVLMQEMLMLLIQIVQERRFSGLTPAENLKRELVHKLAIGDATRSQLVKSLPRDLSKIDQLQEVLDTVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRYCSASAGTTQLPRWTNIYPPLKGIARIASSKVVIKIIRAVLFYAIFMHKRAPDGVLLTALHLLSLALDICIQQKEMDMSFHIENTSSMFAFVGEEIQEGLNYGSGGQSLLSLLVLLMRIHKRESSDNLLEAGSYNFSSLIESLLKRFAEIDAGCMTKLQQLAPEMAIHLSQSVPNIEKNTLGSASDSEKRKAKALERQAAILAKMKAEQSKFLLSMNSATDDVSNTGAEGIDSDGTQNLEESTQDVCSLCHDPNSKNPVSFLVLLQKSRLLSFIDRGPPSWDQDQLPDKGQNSVIAKALTNQSGISSSSGSGMISSTQLTHFVQDVVNQFANYAQPGEVNAIIEFIKARFPLLRSSQVSSASKDGKDKTMNTFEMLEQDMYFSMRKEMHDNMLASNSGLQTEKFTAAEGGQISSPVESVLLGKYIAALSREITEHPSSSESSPNDELQAEFPSRSPAYDGFGPADCDGVHLSSCGHAVHQECLDRYLSSLKERYVRRIVFEGGHIVDPDQGEFLCPVCRQLANSVLPSLPGDFQKVWRQPMISTVSSLHAVGALVSSSEGCDSLQLQHALFLLKSAAKMVEKGDILKAIPLQRGEKMWPNLDSISRLLIKLYFPNRWDKFSGSARVNHSMIMWDTLKYSLVSMEIAARCGGTQMTPTYSLNALYKELKSTSGFTLSLLLKIVQNLRSKNPLHVLQRFRGIQLFAESICAGVPNDYPSGAYRCGENMSCILAHIGKEVSYSDVQFWNWVAEPVLAHDAFSSLMWALFCLPCPFLSCQDSLLSLVHVFYGASVAQAIVIFCGKHQREMRESNFDDSLITDISKVFGESGCIKDYFVSDNIDSSSDIINVIRRLSFPYLRRCALLWKLLSTSVSAPFCDRDVLNRSSNNYMMDNMSGAQDELNEVQELEKMFKIPPLFSVLKDHTLRSLVTKWLHHFCKQYEVFSPQHVLHVTPAVPFKLMHLPHVYQDLLQRYIKQKCVGCKTLLDDPALCLLCGRVCSLNWKSCCRESGCQTHAMACGAGTGVFLLIKRTTILLQRCARQAPWPSPYLDAFGEEDIQIQRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGSLFLV >Potri.006G085700.12.v4.1 pep chromosome:Pop_tri_v4:6:6389410:6404306:-1 gene:Potri.006G085700.v4.1 transcript:Potri.006G085700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085700.v4.1 MTDNMDIDLPPEPPSSIEPRDRLLLRLTQFGVPKEYRVMLHSGLVDYIMDNWSRIPELVAAILPIDDEVAEILQNAKLASKKSASQTMKYCFRECMVWLQWLMFLGEPAVALKNLSKMSAGRGVCGAVWGNNDIAYRCQTCENDPTCAICVPCFQNGNHKDHDYSIIYTGGGCCDCGDVTAWKREGFCSKHKGAEQIQPLPEEFARSVGPVLDALLGCWKNKLVSAETISQKNPNAADRAAMGKKIANQLAFAVVEMLLEFCKCSESLLSFVSRRVISLGGLLEILVRSERFLSEGVVKKLHELLLKLLGEPIFKYEFAKEFLRYYPFVVHEAMKETVDDMHKKYPLLSIFSVQIFTVPTLTPRLVKEMNLLGLLLGCLEDIFIQCAGEDGRLQFTKWAHLYEIGIRVVEDVRFVMSHGVVPKNVTHEQRAVLRTWMELLSFLQGMGPLKRETSLYVEEESENINLLFILCHSIANIHSLLVDGAFSMCEEADDATFLNMLGKDMDEQDSIRHTKIGRLSQESSVCSVTESSSFISAEKVVEVDSDSTYHHLLPSSVTWLTYECLRAIENCLGADDSSGAQVSGGTSSISNSNFSAFKKKLYKIRKGKYIFGGHGSTSKDECFSVAYSRCHASVNVDNANVVKDCKTTVPGDTDCAGSSDGLMEGSSSSELDLLRFLSLSDWPDIIYDVSSHDVSVHTPLHRLLSMLLQKALRRCYGGSVVINAINASTSTSLSRTDDDFFGCLLEGCHPCGFSAFVMEHPLRTRVFCAQVHAGMWRKNGDAAILCCEWYRSVRRSEQGLEFDLFLLQCCAVLAPPDLYVSRILERFGLSDYLSLKAEQSTEYEPVLMQEMLMLLIQIVQERRFSGLTPAENLKRELVHKLAIGDATRSQLVKSLPRDLSKIDQLQEVLDTVAVYSNPSGFNQGMYSLRWAYWKELDLYHPRWNSRDLQVAEERYLRYCSASAGTTQLPRWTNIYPPLKGIARIASSKVVIKIIRAVLFYAIFMHKRAPDGVLLTALHLLSLALDICIQQKEMDMSFHIENTSSMFAFVGEEIQEGLNYGSGGQSLLSLLVLLMRIHKRESSDNLLEAGSYNFSSLIESLLKRFAEIDAGCMTKLQQLAPEMAIHLSQSVPNIEKNTLGSASDSEKRKAKALERQAAILAKMKAEQSKFLLSMNSATDDVSNTGAEGIDSDGTQNLEESTQDVCSLCHDPNSKNPVSFLVLLQKSRLLSFIDRGPPSWDQDQLPDKGQNSVIAKALTNQSGISSSSGSGMISSTQLTHFVQDVVNQFANYAQPGEVNAIIEFIKARFPLLRSSQVSSASKDGKDKTMNTFEMLEQDMYFSMRKEMHDNMLASNSGLQTEKFTAAEGGQISSPVESVLLGKYIAALSREITEHPSSSESSPNDELQAEFPSRSPAYDGFGPADCDGVHLSSCGHAVHQECLDRYLSSLKERYVRRIVFEGGHIVDPDQGEFLCPVCRQLANSVLPSLPGDFQKVWRQPMISTVSSLHAVGALVSSSEGCDSLQLQHALFLLKSAAKMVEKGDILKAIPLQRGEKMWPNLDSISRLLIKLYFPNRWDKFSGSARVNHSMIMWDTLKYSLVSMEIAARCGGTQMTPTYSLNALYKELKSTSGFTLSLLLKIVQNLRSKNPLHVLQRFRGIQLFAESICAGVPNDYPSGAYRCGENMSCILAHIGKEVSYSDVQFWNWVAEPVLAHDAFSSLMWALFCLPCPFLSCQDSLLSLVHVFYGASVAQAIVIFCGKHQREMRESNFDDSLITDISKVFGESGCIKDYFVSDNIDSSSDIINVIRRLSFPYLRRCALLWKLLSTSVSAPFCDRDVLNRSSNNYMMDNMSGAQDELNEVQELEKMFKIPPLFSVLKDHTLRSLVTKWLHHFCKQYEVFSPQHVLHVTPAVPFKLMHLPHVYQDLLQRYIKQKCVGCKTLLDDPALCLLCGRVCSLNWKSCCRESGCQTHAMACGAGTGVFLLIKRTTILLQRCARQAPWPSPYLDAFGEEDIQIQRGKPLYLNEERYAALTYMVASHGLDRSSKVLGQTTIGSLFLV >Potri.003G154500.1.v4.1 pep chromosome:Pop_tri_v4:3:16614125:16618965:1 gene:Potri.003G154500.v4.1 transcript:Potri.003G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154500.v4.1 MSLLSKLRCITVDVTGTLIAYKGELGDYYCMAAKSVGLPCPDYKRMHEGFKLAYTEMAKKYPCFGHAAKLPTIVWWKTCVRNSFIKAGYDYDEETFEKIFRRIYASFGSSAPYSVFPDSQPFLRWARGEGLLVGLVSNAEYRYQDVILPALGLEQGSEWDFGVFSGLEGVEKPDPRIYKIALERAGKIAPIAPEVTLHIGDSMRKDYLPAKSVGMQALLLDRFKTPDAVEWKKSGATVLPDLAAVQEFLTSGNKLTC >Potri.014G134200.1.v4.1 pep chromosome:Pop_tri_v4:14:8998918:8999561:1 gene:Potri.014G134200.v4.1 transcript:Potri.014G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX20 MDKVRDLASRNAAVIFTKSSCCMCHSIKTLFYELGASPAIHELDREANGKEMEWALRGLGCNPTVPAVFIGGKWVGSAKDVLSLHLDGSLKQMLMEAKAIWF >Potri.003G058400.2.v4.1 pep chromosome:Pop_tri_v4:3:8524904:8527829:1 gene:Potri.003G058400.v4.1 transcript:Potri.003G058400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058400.v4.1 MPRYYCDYCDTYLTHDSPSVRKQHNAGYKHKANVRIYYQQFEEQQTQSIIDQRIKEHLGQTAAFQQVGAAYNQHLMVQRPRLPVLPTPVMPIGGNNAPLFPGMRPPVLPRPMPGAPGYMNPPMMPPMMAPPGAPSLPGQMNGIPRPPTMIAQPNVPGSTAAPTPSGPPSMGPPVTYQANQAATTSGGFDSFNVNAAAPEANH >Potri.004G096550.5.v4.1 pep chromosome:Pop_tri_v4:4:8323519:8329864:1 gene:Potri.004G096550.v4.1 transcript:Potri.004G096550.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096550.v4.1 MTIIQLKRRKAALLKSKDLPIAATPSSKGLATSTNLSQTTPSLTPSKSDIDKGSTYFGVRVFSYNELEEATNCFDSSKELGDGGFGTVYYGVLRDGRVVAVKRLYESNMRRAEQFMNEIEILAHLRHKNLVELYGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTWPVRLSIAIETASALAYLHASDVIHRDVKTNNILLDNDFHVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISALEAVDTNRHRHDINLSIMAVKKIQNHALNELVDPFLGFDKDFVVRKMVTSVAELAFRCLQQQREMRPTMEEVLEILRRIEKENYGAEKADVLDIREDDVGLLKHPPPPLQLSPDSMSDQF >Potri.004G096550.1.v4.1 pep chromosome:Pop_tri_v4:4:8323576:8329898:1 gene:Potri.004G096550.v4.1 transcript:Potri.004G096550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096550.v4.1 MNSLVFSLSSFLSVSVIVLLLFIRIPLSLSNNDLFSDCSNQFVCGNITADFPFWGSARPSSCGIPELELKCENNITKMNINEVRYRVLDIKQDTEILRIAREDSLVGLCPPQFVNSTFDPMMFESVTGYMNFTFVYGCLPFDLDGPALFTCRINGVNYQSGFVLPKASGPGACYSSVFFPAPVIALPSILDVPALKQYLKKGFEIRWKVDSTACRECLRSAGVCGYDSTSNETTCYWPNQSKGSNTYAPTSSTPTAAPVSEETSNPDSLKIGLSIAGTVVGVFLGCWIMTIIQLKRRKAALLKSKDLPIAATPSSKGLATSTNLSQTTPSLTPSKSDIDKGSTYFGVRVFSYNELEEATNCFDSSKELGDGGFGTVYYGVLRDGRVVAVKRLYESNMRRAEQFMNEIEILAHLRHKNLVELYGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTWPVRLSIAIETASALAYLHASDVIHRDVKTNNILLDNDFHVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISALEAVDTNRHRHDINLSIMAVKKIQNHALNELVDPFLGFDKDFVVRKMVTSVAELAFRCLQQQREMRPTMEEVLEILRRIEKENYGAEKADVLDIREDDVGLLKHPPPPLQLSPDSMSDQF >Potri.019G029900.2.v4.1 pep chromosome:Pop_tri_v4:19:4219773:4223455:1 gene:Potri.019G029900.v4.1 transcript:Potri.019G029900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029900.v4.1 MAYIPPHKRHSKDVRTASPIPETLHPHFQRNMNLGASTSRKNKSGKIVYADNPIFKWFAVGLDEDGQFPPYIHLEPISFEYVERKTGEKSLVLVNSIVTEEDRTLERNCSRSPWEIIAENVQQELLSSFEILRNEMDDQGSEKIKPELVARFGKFAFRGKYSMGLESVDKIQVEEAILRQLDKSFYTNIPSSYMENIIDGVVPAIGVDFEEEKEVYTVKLSDNTRPDATVLCKCIVLEDKKLHLYKVKLNKVRQLVIDVSCLDKNLDLRLMLCTSRIVTALTDEEMNSLRDLINSAVLDSDMKGGLRWPLGEEASSCGRYSVIGVWHTVTKAYKSSSFRLKARDADGYNFKTGTGETLREIYLKLKRIVSEIQEPGAESDSISKMLEDSFSLIWDKFLCCERFLT >Potri.011G096201.1.v4.1 pep chromosome:Pop_tri_v4:11:12428441:12429228:-1 gene:Potri.011G096201.v4.1 transcript:Potri.011G096201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096201.v4.1 MLNILRSIDLVVAFFIHRIQQGHLESPLLDQSFSSVLLKKLLVVFPLSPMHHLSEKDDDRYIILNIVITEIFMHLSEWICPPAVLFEKFLTFVEYVLLEKVCFLCVNTS >Potri.001G400800.1.v4.1 pep chromosome:Pop_tri_v4:1:42698359:42704610:1 gene:Potri.001G400800.v4.1 transcript:Potri.001G400800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400800.v4.1 MESPVQAQAIPLYETLTLTPLSPSPTATPIRSPLSDPLQPYSVFRNEISLSAFNSAAAAESAAPDFFSLDVGSGDEEELELKTPVNGEAKGKRKAEVETENLPEPMTESVWFRGDSKFRSPMLQLHKEIVDFCDFLSPTQEEQASRAEAVRCVFDVIKYIWPNCKVEVFGSFRTGLYLPTSDIDVVILGSGLKSPQIGLNALSRALSQKGVAKKIQVIARARVPIVKFVEKRSGVSFDISFDVNGGPIAAEFIKNAISKWPELRPLCLILKVFLQQRELNEVYSGGISSYALLAMLMAMLQNHRECQASLERNLGLLLIHFFDFYGRKLNTTNVGVSCKGTGTFFSKRTKGFMNNGRPFLIAIEDPQAPENDIGKNSFNYFQIRSAFAMAFTTLTNPKTILSLGPNRSILGTIIRPDPVLLERKGGKNGEVTFSSLLPGAGEPLQSNYGQQEILCNWQLDDEEEALPRGGGDAGDGSAHSSGKKRKASSKEKSRKKKSKENGDIGKVRHDESGSKKEKSTKKKQRWRKNDSSKGFASHAAGSS >Potri.010G189401.1.v4.1 pep chromosome:Pop_tri_v4:10:18546189:18546919:-1 gene:Potri.010G189401.v4.1 transcript:Potri.010G189401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189401.v4.1 MIKRVRFSRKSLKRHLVATIDKFIVHVARTHDGQKLSVRMGWLMKLVKVCPRQVESTSGWASWWGWWAVQVAVATIAFH >Potri.004G113400.9.v4.1 pep chromosome:Pop_tri_v4:4:10406329:10412568:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSNEEVFDEHTSGLKGTYGYIDPVYVSTNKFTVKSDIYSFGVIIFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKKLVGECNIEEVRDLAAIAHKCLQKFQRKRPSIGEVSQAILKIKQRLLDREMSKEFSRVLSRIEDQQMELSRMASIKDGD >Potri.004G113400.4.v4.1 pep chromosome:Pop_tri_v4:4:10406704:10412252:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSNEEVFDEHTSGLKGTYGYIDPVYVSTNKFTVKSDIYSFGVIIFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKKLVGECNIEEVRDLAAIAHKCLQKFQRKRPSIGEVSQAILKIKQRLLDREMSKEFSRVLSRIEDQQMELSRMASIKDGD >Potri.004G113400.11.v4.1 pep chromosome:Pop_tri_v4:4:10406704:10412568:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGVADFGLSNEEVFDEHTSGLKGTYGYIDPVYVSTNKFTVKSDIYSFGVIIFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKKLVGECNIEEVRDLAAIAHKCLQKFQRKRPSIGEVSQAILKIKQRLLDREMSKEFSRVLSRIEDQQMELSRMASIKDGD >Potri.004G113400.12.v4.1 pep chromosome:Pop_tri_v4:4:10406704:10412252:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGVADFGLSNEEVFDEHTSGLKGTYGYIDPVYVSTNKFTVKSDIYSFGVIIFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKKLVGECNIEEVRDLAAIAHKCLQKFQRKRPSIGEVSQAILKIKQRLLDREMSKEFSRVLSRIEDQQMELSRMASIKDGD >Potri.004G113400.8.v4.1 pep chromosome:Pop_tri_v4:4:10406329:10412568:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSNEEVFDEHTSGLKGTYGYIDPVYVSTNKFTVKSDIYSFGVIIFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKKLVGECNIEEVRDLAAIAHKCLQKFQRKRPSIGEVSQAILKIKQRLLDREMSKEFSRVLSRIEDQQMELSRMASIKDGD >Potri.004G113400.2.v4.1 pep chromosome:Pop_tri_v4:4:10406704:10412568:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MLLRSSLKNQGQTYQTCVFCTDSDRQFYKLWREGTHLIVLVKRYSCRKYGAVGYFHDLLHHEPAELLNSRCFHCLSFKRDQRMVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSNEEVFDEHTSGLKGTYGYIDPVYVSTNKFTVKSDIYSFGVIIFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKKLVGECNIEEVRDLAAIAHKCLQKFQRKRPSIGEVSQAILKIKQRLLDREMSKEFSRVLSRIEDQQMELSRMASIKDGD >Potri.004G113400.10.v4.1 pep chromosome:Pop_tri_v4:4:10406690:10412568:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSNEEVFDEHTSGLKGTYGYIDPVYVSTNKFTVKSDIYSFGVIIFELITAIHPHQNLMEYVNLAGMSPDGVDEILDKKLVGECNIEEVRDLAAIAHKCLQKFQRKRPSIGEVSQAILKIKQRLLDREMSKEFSRVLSRIEDQQMELSRMASIKDGD >Potri.004G113400.13.v4.1 pep chromosome:Pop_tri_v4:4:10406704:10412487:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MLLRSSLKNQGQTYQTCVFCTDSDRQFYKLWREGTHLIVLVKRYSCRKYGAVGYFHDLLHHEPAELLNSRCFHCLSFKRDQRMVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGSHLLYIVI >Potri.004G113400.7.v4.1 pep chromosome:Pop_tri_v4:4:10406704:10412404:-1 gene:Potri.004G113400.v4.1 transcript:Potri.004G113400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113400.v4.1 MVHKGDLVVIGISVGLALGILIASLIFFGIWWYKKRSNLRQCSNERILPTLPIRMNGLGTSNDFSASLASSITIRGSEHPQKSSPVSSWWNHHSKDQFASASGILRYSYKDIQKATQKFTTVLGQGSFGPVYKAVMPTGEVLAVKVLASNSKQGEKEFQTEISLLGRLHHRNLVNLLGYCIDKGSHMLIYQFMSNGSLANHLYNDEERFLGWEERLQIALDISHGIEYLHEGAVPPVIHRDLKSANILLDQSMRAKVADFGLSNEEDQQMELSRMASIKDGD >Potri.014G015400.1.v4.1 pep chromosome:Pop_tri_v4:14:911389:912753:-1 gene:Potri.014G015400.v4.1 transcript:Potri.014G015400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015400.v4.1 MSNPMLHWIGSRTQAVAVRVGHCSEATVDQEQEVSTAVVRDAVPVSAIPPAASGMHPSHQDSKRPRPDLHPVSEQSLGVDFGSSSAKKRRKNKKKANKEADTQFPTDAIPLNQASPCSVANEFLYGYRCIY >Potri.014G015400.2.v4.1 pep chromosome:Pop_tri_v4:14:911389:912753:-1 gene:Potri.014G015400.v4.1 transcript:Potri.014G015400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015400.v4.1 MSNPMLHWIGSRTQAVAVRVGHCSEATVDQEQEVSTAVVRDAVPVSAIPPAASGMHPSHQDSKRPRPDLHPVSEQSLGVDFGSSSAKKRRKNKKKANKEADTHLLRTNLLEANPKIMLIQKDHLPSLKFCFSGSKLIFCRGMYFY >Potri.005G126600.2.v4.1 pep chromosome:Pop_tri_v4:5:9537061:9538384:1 gene:Potri.005G126600.v4.1 transcript:Potri.005G126600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126600.v4.1 MIVLALHPEWQEMAREEVLQVCGQKEPNFEALIHLKIVNMILNEVLRLYPPVISLYQHTYKETRIGNIHLPARVDLTLPMLLIHHDPELWGDDVEEFRTERFLGVSRASKDQLALFPFGWSPRTCIGRNFAMLEAKMALAMILQNFEFNLSPSYIHAPRTVMILQPQHGAQIIIHQL >Potri.008G005200.2.v4.1 pep chromosome:Pop_tri_v4:8:213791:218750:-1 gene:Potri.008G005200.v4.1 transcript:Potri.008G005200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005200.v4.1 MAETKTVHSPLVTYASVLSLLTLCPPFVILLWYTMVHADGSVCQTWDFLKQHGLQGFINIWPGPTAISWKIIACYAAFEAALQLLLPGKTVEGPVSPEGNRPVYKANGMAAYTVTLVTYLSLWWFGIFNPSIVYDHLGEIFSALIFGSLVFCIFLYIKGHLAPSSTDSGSSGNIIIDFYWGMELYPRIGKSFDIKVFTNCRFGMMSWAVLALTYCIKQYEQNGKVADSMLVNTILMLVYVTKFFWWEVGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGLQLALCILAAGVLSIYVNYDCDRQRQEFRRTDGKCLVWGKAPSKIVASYTTTSGETKTSLLLTSGW >Potri.008G005200.1.v4.1 pep chromosome:Pop_tri_v4:8:213736:218772:-1 gene:Potri.008G005200.v4.1 transcript:Potri.008G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005200.v4.1 MAETKTVHSPLVTYASVLSLLTLCPPFVILLWYTMVHADGSVCQTWDFLKQHGLQGFINIWPGPTAISWKIIACYAAFEAALQLLLPGKTVEGPVSPEGNRPVYKANGMAAYTVTLVTYLSLWWFGIFNPSIVYDHLGEIFSALIFGSLVFCIFLYIKGHLAPSSTDSGSSGNIIIDFYWGMELYPRIGKSFDIKVFTNCRFGMMSWAVLALTYCIKQYEQNGKVADSMLVNTILMLVYVTKFFWWEVGYWNTMDIAHDRAGFYICWGCLVWVPSVYTSPGMYLVNHPVNLGLQLALCILAAGVLSIYVNYDCDRQRQEFRRTDGKCLVWGKAPSKIVASYTTTSGETKTSLLLTSGWWGLSRHFHYVPEILAAFFWTVPALFNHFLPYFYVIFLTILLFDRAKRDDDRCGSKYGKYWKLYCERVRYRIVPGIY >Potri.018G103200.2.v4.1 pep chromosome:Pop_tri_v4:18:12297095:12300910:1 gene:Potri.018G103200.v4.1 transcript:Potri.018G103200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103200.v4.1 MVVSSNNPHNKEILVRRRIASIFNKREEDFPSLREYNDYLEEVEDMIFDLVAGVDVPAIEEKIAEYQKENADLILINQARKAEELALAMAASKGPRAQTDNTDGSSQGGISVEAGQYAPTIAGGQPRPIGIAPQPVPLRGGPDMHGYAEDEETMRIRTERASSAAGWSIEFSKKRAFEEAFASICV >Potri.018G103200.3.v4.1 pep chromosome:Pop_tri_v4:18:12297023:12300919:1 gene:Potri.018G103200.v4.1 transcript:Potri.018G103200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103200.v4.1 MVVSSNNPHNKEILVRRRIASIFNKREEDFPSLREYNDYLEEVEDMIDVPAIEEKIAEYQKENADLILINQARKAEELALAMAASKGPRAQTDNTDGSSQGGISVEAGQYAPTIAGGQPRPIGIAPQPVPLRGGPDMHGYAEDEETMRIRTERASSAAGWSIEFSKKRAFEEAFASICV >Potri.010G113100.1.v4.1 pep chromosome:Pop_tri_v4:10:13292572:13293409:-1 gene:Potri.010G113100.v4.1 transcript:Potri.010G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G113100.v4.1 MDFNLCLILPALFFTSILVNLPSLTTSDSGECPYPCYPPPTGTGTPIVTTPPSPPSQSAGTFSPPLYPSPTGNLPYYYPPPFGNNFNGPPPPDPILPYFPFYYRKPPHQDDVSSATSLPRSTLMMGTSNIIAFAFLYLFFGC >Potri.009G069700.1.v4.1 pep chromosome:Pop_tri_v4:9:6888487:6901419:1 gene:Potri.009G069700.v4.1 transcript:Potri.009G069700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069700.v4.1 MSSAFERPRVGPSNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNSGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALELALAQAPSPALVMRHNGIFRNDTNEAIEGSFHQWRDKRPVKSLVVGRPILLALEDIDGGPSFLEKALRFLEKFGTKVEGILRQAADVEEVDRRVQEYEQGKNEFEPDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSAIVETFPEPNRRLLQRILKMMHTISSHAHENRMNPSAVAACMAPLLLRPLLAGECELEDDFDFNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLHRCSISADSRIENSGSDDSTDDENMDMKENGYHDAENEVDQDSDDDPERVLSGKLSESSGSADSDLYDYKAFGGDDSDVGSPRTNNAPAESSNISVDPVQTRDSNAQPIEQKSKPKKGNENSANEMDVSSVLPTGESYRSMGEILSSVDPVSPMPISGVESSAEKSAGKVAASNLNGKRSTFWGRSNARKTPSMESVDSSGEEELAIQRLEITKNDLRHRIAKEARGNAILQASLERRKQALHERRLALEQDVARLQEQLQAERDLRAALEVGLSMSSGQFSSSHGMDSKTRAELEEIALAEADVARLKQKVAELHHQLNQQRQHHYGSLSDASDCFQHVQNHNPQQRFLQQDFDTTVAFVNHERKQRTEEGLLGTDRKHIKGPGIATGSSSRQPSRKQFMESANLSDSKSTEASTNMSMDELCGVDSAPSTSRAVEVMDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Potri.007G072800.1.v4.1 pep chromosome:Pop_tri_v4:7:9516755:9521123:1 gene:Potri.007G072800.v4.1 transcript:Potri.007G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072800.v4.1 MAGGGFAAAQGDGRKYEGGVTAFVVITCLVAAMGGLMFGYDIGISGGVTAMDSFLKPFFPHVYKKQHGNHEENMYCKFDDHVLTMFTSSLYLAALIASFFASATTRRFGRKMSMMFGGLVFLGGAILNGAAVNVAMLIVGRLMLGVGVGFANQSVPVYLSEMAPANLRGALNIGFQMAITIGILAANLINYGTSKIKAGWGWRISLGLAAAPAILFTIGSLFLPDTPNSILERGNHEKAKKMLQKIRGTNNVDEEFQDLVDASMAAKQVEHPWKNFTGRKYRPQLIICTFIPFFQQLTGINVIMFYAPVLFKTLGFGDDASLMSAVITGVVNVVATMVSVYSVDKLGRKALFLEGGVQMIICQVLVAVMIGRAFGTEGEGGMSKSVSSLVLFLICAYVAAFAWSWGPLGWLVPSEICPLEIRSAGQATNVSVNMFFTFVIGQFFLSMLCHMKFGLFLFFGGFVIIMTIFIYYFVPETKNVPIEEMNQVWKEHGFWSKYVSNDDVTGRTSSPP >Potri.009G023300.4.v4.1 pep chromosome:Pop_tri_v4:9:3535911:3541761:1 gene:Potri.009G023300.v4.1 transcript:Potri.009G023300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G023300.v4.1 MDLDLNEEPLYSSNDSLLGLTTMWNELETTNGLIEERIRQLEAVTFRARQRQRWRQSHITPQTVSISVEPATINVRSEGRLLIGEASVATEERRDEMNKFGKRNSAYLLAKALGRNGNGKEARSDRSVFDCNICLDMAQDPVLTCCGHLFCWPCFYQLSYVYSNVKECPVCMEEVTDTSIIPIYGNGNSNDNNKHRLKESGLKVPPRPSAQRVESVRQQLINRGVFSSSIEERMRHFGNVLIAMGEIPRSEDLDDTHLESQRISLLENQAITSLALPSTGAEVSQDYHSVHVSRLLFQGAASLSSFSSAVNSAMNSAVESAERLVEDLEAVLHNHRGRRNHHQSSRPADRDSFSSIAAVIQPDIQNLDTVADADSMLPHSASSSRPDDAATVSQLESHSMGTDIESNSTVPISSTSSRRNLVSRLSEVDISLQTNPLSSRRRIEVSRVSDADNGQNRGRRRRRLS >Potri.019G125300.1.v4.1 pep chromosome:Pop_tri_v4:19:14928587:14930545:-1 gene:Potri.019G125300.v4.1 transcript:Potri.019G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125300.v4.1 MEKHIEKFLNKVSLVFITIATITLLYLSLQTPQTCIPPNTPTTKPHLKFPSSTCDPSLNHPYMDPTKKRLKLWSSKSWLSQVSSFTTFFQSLNLLNNETKVLCVSAGAGHEVMALNNMGVSDVTGVEIVDSLPLVKRADPNNLPFFDGVFDLAFSAHLEEALFPLRFAGEMERTVRNGGVCVVVVEECGGVEVDAIVGLFRKSMFVGAENVTLIGMRMTRIITRVGVSSSS >Potri.009G100700.1.v4.1 pep chromosome:Pop_tri_v4:9:8923528:8926818:-1 gene:Potri.009G100700.v4.1 transcript:Potri.009G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100700.v4.1 MASSATSLYSPPLTSSRAAVLHQCQQLNPNRLSFPSDFNTAKRATNLTVQHVPLPLKVLCGRGNRATAVTQDSWENSILKSDIPVLVEFYASWCGPCRMVHRVIDEIAAEYDGKLKCFVLNTDNDLQIAEDYEIKAVPVVLLFKNGEKRESVVGTMPKEFYIAAVERVLQS >Potri.009G071250.1.v4.1 pep chromosome:Pop_tri_v4:9:7024899:7028618:1 gene:Potri.009G071250.v4.1 transcript:Potri.009G071250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G071250.v4.1 MGRRILNDALRAIVNAERRSKSTVELQPISTVTSSFLKIMKDRGYIKNFHVYDPHRVGRITVELQGRVTDCRALTYRQDIKAKDIEAYRLRNLPTRQWGYVVVTTPDGILDHEEAISRNVGGQVLGYFH >Potri.008G135400.1.v4.1 pep chromosome:Pop_tri_v4:8:9004453:9006279:-1 gene:Potri.008G135400.v4.1 transcript:Potri.008G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135400.v4.1 MSKHTARGWVTPSSYSTLQPTLSKTNIPIFNSTAATISTIKAVKLSTQEIPTARCRARRRSVRYEDEDEDDREEEYGHNLEIAMLEFYSQSAIGEALLVHAIVDEQEVEVLIFKGFSSCLSYGTSPDPSRSVLPARAVIKSIDRIKGPFNPSNIEYLEKDLTWEAFKSRLSPK >Potri.018G033400.1.v4.1 pep chromosome:Pop_tri_v4:18:2529916:2533244:1 gene:Potri.018G033400.v4.1 transcript:Potri.018G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033400.v4.1 MAAKVEIPFLDISEEALAGIEVKSEKWKELCNQVREACETHGIFFLVYDKIPTSLREEMFVALKALFDLPEETKNKHVNPKPYRSYLGNCPVIPFHESFGVDDAPTLDASQAFTNLMWPEGNPSFCETIHGMSSKMQELNFLVMKMIYESFDIEKLYDSFVEETTSIFRIMKYKVPPSATDSSIGLVAHTDKNAITILCQNEVQGLEIQTKNGYWAQVMVPENAFTAVVGDALKAWSNGRLHAARHRVVISGEKDRYSCGLFSMPKEEAVVEVPDELVDKEHPLQYRPFNYSDYISYFVSKLSDDALEIYAGI >Potri.010G010851.1.v4.1 pep chromosome:Pop_tri_v4:10:1968886:1973277:1 gene:Potri.010G010851.v4.1 transcript:Potri.010G010851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010851.v4.1 MPTLVTGRRDCGKEGKRQDGRGFLLGVLKMVWFSLAFCLVYVLRVLWVSDRKTVISGGDGVVCILRKKYRLCYYSTIRKIFK >Potri.006G097800.1.v4.1 pep chromosome:Pop_tri_v4:6:7482858:7485695:-1 gene:Potri.006G097800.v4.1 transcript:Potri.006G097800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097800.v4.1 MVMGAHRHFEPIAKCSTEGRSNQTVAADLDGTLLVSRSAFPYFLLVAIEAGSLLRGLILLASVPFVYFTYLFISEAMAIKTFIFIAFAGLKIRDIELVSRSVLPKFYADDVHPETWRVFNSFGKRYIVTANPRIMVEPFVKTFLGADKVLGTELEATKSGRATGFIKKPGVLVGDHKRDALLKEFGTNLPDLGLGDRETDHDFMSICKEGYMVPGTKCEPLARNKLLSPVIFHDGRLVQRPTPLAALLTFLWMPIGIILSILRVYLNIPLPERLAWYTYKLLGIRVIVKGTPPPPPGKGYSGVLFVCNHRTVLDPVVTAVALGRKISCVTYSISKFTEIISPIKAVALSRERDKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSVFHGTTVRGHKLLDPYFVFMNPMPTYEVTFLNQLPKELTCKGGKSAIEVANYIQRVLAGTLGFECTNLTRKDKYAKLAGTDGRVLSKKENA >Potri.002G117800.1.v4.1 pep chromosome:Pop_tri_v4:2:8931137:8933398:-1 gene:Potri.002G117800.v4.1 transcript:Potri.002G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117800.v4.1 MASSRVLNLTRAHRLALLSSRRWLSSSALVEVNPGEVGMISGIPEQHLRRRVIIYSPARTATQQGSGKVGRWKINFLSTQKWENPLMGWTSTGDPYANVGEAGISFDSEEAAKAFAEKHGWEYEVKKRHTPLLKPKSYADNFKWKGPAN >Potri.002G117800.2.v4.1 pep chromosome:Pop_tri_v4:2:8931137:8932096:-1 gene:Potri.002G117800.v4.1 transcript:Potri.002G117800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117800.v4.1 MGWTSTGDPYANVGEAGISFDSEEAAKAFAEKHGWEYEVKKRHTPLLKPKSYADNFKWKGPAN >Potri.004G132450.1.v4.1 pep chromosome:Pop_tri_v4:4:15259592:15262042:1 gene:Potri.004G132450.v4.1 transcript:Potri.004G132450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132450.v4.1 MSLRQNFKQLPFIKSHSLFRPFSSSSSHQDPYSVLKEDPVDICTSIWVKSFSSPSNFTFSNLTGFLSKLDLWALAYQRSCAHVTGKFPPRNALPSHALHSLFSLQTAVVHNRFKWNDKAHQILRSPNDKPSTKLVSKRKLSAMMESDDPCFQDRVVQEMLLMVLEPIFEARFSRKSHAFRPGRNAHTVIRTIRSNFAGYLWFLRGDLSEILDDIDADVVMGCVEKVVRDKKVLNLIKKALKSPVRIREMGNYGEESRKKKKRKSTKKKILNENEPKPDPYWLRTFFDFAPEEAAKIPTYGYCGILSPLLANVCLNELDQMMEEKIVDFFRPNKLDSIWKHSIDDRCHNPSWPEFVPSSGKEKTRKMEYIRYGGHFLIGVRGPREDAVQIRKEIIEFCERKFGIRLDNSKIDIEHITRGIQFLDHIICRRVIYPTLRYTGSGGKIVSEKGVGTLLSVTACLQQCIRQFRRLKFVKGDKDPEPLPCTPMLYSGQAHTNAQMNKFLETMADWYRYADNRKKVVGFCAYVIRSSLAKLYAARYRLKSRAKVYKIALRDLSRPLRENSNNSAPEYSDLLRMGLVDAIEGVQFSHMSLIPTCDYTPFPRNWIPDHEQVLHEYIRLQDPKFFCELHRSIKRQGLSLPQDEISEIVWDYKTLGVRRYQSSGENELNNGLQKV >Potri.010G162400.1.v4.1 pep chromosome:Pop_tri_v4:10:16749722:16751588:1 gene:Potri.010G162400.v4.1 transcript:Potri.010G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162400.v4.1 MERALTKVNSLKVGSLWISKKAKEEFSNITEDLNTFSTTVEEKAKWVFNKLKGKPLKSLPDLLREYNLPPGLFPRNITCYEFDETKAKLIVYLPSVCEISFNDSSVIRYATRVKAILVRGKLTVIEGMKTKVLVWVKVTSVAVEGFKSDKVWFTAGVKKSRPKIAYDVPQTAIRVEEF >Potri.010G162400.2.v4.1 pep chromosome:Pop_tri_v4:10:16751088:16751771:1 gene:Potri.010G162400.v4.1 transcript:Potri.010G162400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162400.v4.1 MLTCMLLLSVGKPLKSLPDLLREYNLPPGLFPRNITCYEFDETKAKLIVYLPSVCEISFNDSSVIRYATRVKAILVRGKLTVIEGMKTKVLVWVKVTSVAVEGFKSDKVWFTAGVKKSRPKIAYDVPQTAIRVEEF >Potri.004G046201.4.v4.1 pep chromosome:Pop_tri_v4:4:3646205:3647139:1 gene:Potri.004G046201.v4.1 transcript:Potri.004G046201.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046201.v4.1 MLFLPPILSFFHHSAIVSVCARCLSLTNKCTLLFITSPTNSDKFMHHGLVLPSLVLLKEWTARCYEVYLKGTDPLSYSAQVVEKQRSFLFEDDYSSFRHSFKT >Potri.015G037100.1.v4.1 pep chromosome:Pop_tri_v4:15:3192124:3193464:-1 gene:Potri.015G037100.v4.1 transcript:Potri.015G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037100.v4.1 MVSLRLQKRLAASLLDCGKGKLWLDPNETTEISMANSRMNIRKLIKDGFIIRKPMITHSRSRIRKVKEARTKGRYSGYGKRKGTREARLPSKVLWMRRMRVLRRLLRKYREFEKIDKHMYNEMYMKVKGNVFKNKRVLMERIHKLKSEKGNDRSLSDQFRAKNARKRRYQQGEEDGPSSLNKSLSGRKFSE >Potri.005G199200.5.v4.1 pep chromosome:Pop_tri_v4:5:20517410:20521123:-1 gene:Potri.005G199200.v4.1 transcript:Potri.005G199200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199200.v4.1 MEYSDGFVSKEHRELARSASESADPLSVSLLQISIHTKSANSPNSPRSPNRTGSSRGSPSKGGPGKCERHSHSPKDGRPKKGGSGGKGTWGGLLDVDDSHSLDPKDPNFDSSEECDHTTVRKSTTDFLEFKKNVTVIVEEYFATDDIVSTANELRELEMSGYHYYFVKKLVSMAMDRDDKEKEMAAVLLSALYADIIDPQQVYRGFCKLVESADDLIVDIPETVDVLALFIARAVVDDMLPPAFLKKQMASLPEDSKGVAVLKRAEKGYLSAPHHAEIIERRWGGGMKKTVEDVKAKIDNLLQEYAVSGDRKEACRCIKDLKVPFFHHEIVKRSLIMAMERKQAEGRLLDLLKEASEEGLINSSQTSKGFGRMIDSVDDLSLDIPNARRILQSLISKAASEGWLCASSLKSLGPTPVKGSLQDDSAKIFKLKAQSIIQEYFLSGDISEVGSCLGSENNAYSAELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPSDDVVNGFIMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRQLEEIGTQFSGPESIGRKVLQMAKSSLKARLSGERILRCWGGGRTGSPGWDIEDVKDKVGRLLEEFESGGDIGEACRCIKELSMPFFHHEVVKKALVAIIEKKNERLWGLLDQCFSSGLITTCQMMKGFGRVAESLDDLALDVPDAEKQFKHYVERATLAGWLDSSFCLSKSGPTKENGAYL >Potri.005G199200.7.v4.1 pep chromosome:Pop_tri_v4:5:20517400:20521097:-1 gene:Potri.005G199200.v4.1 transcript:Potri.005G199200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199200.v4.1 MEYSDGFVSKEHRELARSASESADPLSVSLLQISIHTKSANSPNSPRSPNRTGSSRGSPSKGGPGKCERHSHSPKDGRPKKGGSGGKGTWGGLLDVDDSHSLDPKDPNFDSSEECDHTTVRKSTTDFLEFKKNVTVIVEEYFATDDIVSTANELRELEMSGYHYYFVKKLVSMAMDRDDKEKEMAAVLLSALYADIIDPQQVYRGFCKLVESADDLIVDIPETVDVLALFIARAVVDDMLPPAFLKKQMASLPEDSKGVAVLKRAEKGYLSAPHHAEIIERRWGGGMKKTVEDVKAKIDNLLQEYAVSGDRKEACRCIKDLKVPFFHHEIVKRSLIMAMERKQAEGRLLDLLKEASEEGLINSSQTSKGFGRMIDSVDDLSLDIPNARRILQSLISKAASEGWLCASSLKSLGPTPVKGSLQDDSAKIFKLKAQSIIQEYFLSGDISEVGSCLGSENNAYSAELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPSDDVVNGFIMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRQLEEIGTQFSGPESIGRKVLQMAKSSLKARLSGERILRCWGGGRTGSPGWDIEDVKDKVGRLLEEFESGGDIGEACRCIKELSMPFFHHEVVKKALVAIIEKKNERLWGLLDQCFSSGLITTCQMMKGFGRVAESLDDLALDVPDAEKQFKHYVERATLAGWLDSSFCLSKSGPTKENGAYL >Potri.005G199200.4.v4.1 pep chromosome:Pop_tri_v4:5:20517397:20521294:-1 gene:Potri.005G199200.v4.1 transcript:Potri.005G199200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199200.v4.1 MEYSDGFVSKEHRELARSASESADPLSVSLLQISIHTKSANSPNSPRSPNRTGSSRGSPSKGGPGKCERHSHSPKDGRPKKGGSGGKGTWGGLLDVDDSHSLDPKDPNFDSSEECDHTTVRKSTTDFLEFKKNVTVIVEEYFATDDIVSTANELRELEMSGYHYYFVKKLVSMAMDRDDKEKEMAAVLLSALYADIIDPQQVYRGFCKLVESADDLIVDIPETVDVLALFIARAVVDDMLPPAFLKKQMASLPEDSKGVAVLKRAEKGYLSAPHHAEIIERRWGGGMKKTVEDVKAKIDNLLQEYAVSGDRKEACRCIKDLKVPFFHHEIVKRSLIMAMERKQAEGRLLDLLKEASEEGLINSSQTSKGFGRMIDSVDDLSLDIPNARRILQSLISKAASEGWLCASSLKSLGPTPVKGSLQDDSAKIFKLKAQSIIQEYFLSGDISEVGSCLGSENNAYSAELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPSDDVVNGFIMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRQLEEIGTQFSGPESIGRKVLQMAKSSLKARLSGERILRCWGGGRTGSPGWDIEDVKDKVGRLLEEFESGGDIGEACRCIKELSMPFFHHEVVKKALVAIIEKKNERLWGLLDQCFSSGLITTCQMMKGFGRVAESLDDLALDVPDAEKQFKHYVERATLAGWLDSSFCLSKSGPTKENGAYL >Potri.005G199200.3.v4.1 pep chromosome:Pop_tri_v4:5:20517401:20521343:-1 gene:Potri.005G199200.v4.1 transcript:Potri.005G199200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199200.v4.1 MEYSDGFVSKEHRELARSASESADPLSVSLLQISIHTKSANSPNSPRSPNRTGSSRGSPSKGGPGKCERHSHSPKDGRPKKGGSGGKGTWGGLLDVDDSHSLDPKDPNFDSSEECDHTTVRKSTTDFLEFKKNVTVIVEEYFATDDIVSTANELRELEMSGYHYYFVKKLVSMAMDRDDKEKEMAAVLLSALYADIIDPQQVYRGFCKLVESADDLIVDIPETVDVLALFIARAVVDDMLPPAFLKKQMASLPEDSKGVAVLKRAEKGYLSAPHHAEIIERRWGGGMKKTVEDVKAKIDNLLQEYAVSGDRKEACRCIKDLKVPFFHHEIVKRSLIMAMERKQAEGRLLDLLKEASEEGLINSSQTSKGFGRMIDSVDDLSLDIPNARRILQSLISKAASEGWLCASSLKSLGPTPVKGSLQDDSAKIFKLKAQSIIQEYFLSGDISEVGSCLGSENNAYSAELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPSDDVVNGFIMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRQLEEIGTQFSGPESIGRKVLQMAKSSLKARLSGERILRCWGGGRTGSPGWDIEDVKDKVGRLLEEFESGGDIGEACRCIKELSMPFFHHEVVKKALVAIIEKKNERLWGLLDQCFSSGLITTCQMMKGFGRVAESLDDLALDVPDAEKQFKHYVERATLAGWLDSSFCLSKSGPTKENGAYL >Potri.005G199200.2.v4.1 pep chromosome:Pop_tri_v4:5:20517410:20521356:-1 gene:Potri.005G199200.v4.1 transcript:Potri.005G199200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199200.v4.1 MEYSDGFVSKEHRELARSASESADPLSVSLLQISIHTKSANSPNSPRSPNRTGSSRGSPSKGGPGKCERHSHSPKDGRPKKGGSGGKGTWGGLLDVDDSHSLDPKDPNFDSSEECDHTTVRKSTTDFLEFKKNVTVIVEEYFATDDIVSTANELRELEMSGYHYYFVKKLVSMAMDRDDKEKEMAAVLLSALYADIIDPQQVYRGFCKLVESADDLIVDIPETVDVLALFIARAVVDDMLPPAFLKKQMASLPEDSKGVAVLKRAEKGYLSAPHHAEIIERRWGGGMKKTVEDVKAKIDNLLQEYAVSGDRKEACRCIKDLKVPFFHHEIVKRSLIMAMERKQAEGRLLDLLKEASEEGLINSSQTSKGFGRMIDSVDDLSLDIPNARRILQSLISKAASEGWLCASSLKSLGPTPVKGSLQDDSAKIFKLKAQSIIQEYFLSGDISEVGSCLGSENNAYSAELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPSDDVVNGFIMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRQLEEIGTQFSGPESIGRKVLQMAKSSLKARLSGERILRCWGGGRTGSPGWDIEDVKDKVGRLLEEFESGGDIGEACRCIKELSMPFFHHEVVKKALVAIIEKKNERLWGLLDQCFSSGLITTCQMMKGFGRVAESLDDLALDVPDAEKQFKHYVERATLAGWLDSSFCLSKSGPTKENGAYL >Potri.005G199200.6.v4.1 pep chromosome:Pop_tri_v4:5:20517400:20521098:-1 gene:Potri.005G199200.v4.1 transcript:Potri.005G199200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G199200.v4.1 MEYSDGFVSKEHRELARSASESADPLSVSLLQISIHTKSANSPNSPRSPNRTGSSRGSPSKGGPGKCERHSHSPKDGRPKKGGSGGKGTWGGLLDVDDSHSLDPKDPNFDSSEECDHTTVRKSTTDFLEFKKNVTVIVEEYFATDDIVSTANELRELEMSGYHYYFVKKLVSMAMDRDDKEKEMAAVLLSALYADIIDPQQVYRGFCKLVESADDLIVDIPETVDVLALFIARAVVDDMLPPAFLKKQMASLPEDSKGVAVLKRAEKGYLSAPHHAEIIERRWGGGMKKTVEDVKAKIDNLLQEYAVSGDRKEACRCIKDLKVPFFHHEIVKRSLIMAMERKQAEGRLLDLLKEASEEGLINSSQTSKGFGRMIDSVDDLSLDIPNARRILQSLISKAASEGWLCASSLKSLGPTPVKGSLQDDSAKIFKLKAQSIIQEYFLSGDISEVGSCLGSENNAYSAELNAIFIKRLITLAMDRKNREKEMASVLLSSLCFPSDDVVNGFIMLIESADDTALDNPVVVEDLAMFLARAVVDEVLAPRQLEEIGTQFSGPESIGRKVLQMAKSSLKARLSGERILRCWGGGRTGSPGWDIEDVKDKVGRLLEEFESGGDIGEACRCIKELSMPFFHHEVVKKALVAIIEKKNERLWGLLDQCFSSGLITTCQMMKGFGRVAESLDDLALDVPDAEKQFKHYVERATLAGWLDSSFCLSKSGPTKENGAYL >Potri.017G145625.1.v4.1 pep chromosome:Pop_tri_v4:17:14609456:14613634:1 gene:Potri.017G145625.v4.1 transcript:Potri.017G145625.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145625.v4.1 MKECPNVESLRAQEEPAECSHLDQLPLEDCFGLKSVHCFLPSMAKLQIAFCDELESFPGVGLPAFPLVGLSFKLESLMIRCCKKILATCKQWDLQDSLLFQALISVDMKKWNPSPKKGFNTSPPLETSKLNSALNSDPSLLTRFSMYQLLSLLEERCEQETGEDWHRISERNLQAFTWLGG >Potri.015G094700.1.v4.1 pep chromosome:Pop_tri_v4:15:11685564:11690004:1 gene:Potri.015G094700.v4.1 transcript:Potri.015G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094700.v4.1 MQLMRKENAIVHEVMQDSPKSPEARLGMKVEDLWDVQEPQLSPTEKLNACFESIPVSAFPPAPSSQVIEIKSDTSLAEAVQILSEHKILSAPVVDVDAPEDASWIDRYIGIVEFAGIVVWILHQSEPPSPRSTTPGSALEVAVNRVTNAAGFGILGPEDAQATSGNFFEALTSSEFYKNTKVRDIAGSFRWAPFLALQKSNSFLTMLLLLSNYKMKSVPVVDLGEAKIDNIVTQSSVIHMLAECAGLQWFESWGTKKLSEIGLPLMTRDHVVKVYEEEPVLQAFKLMRKKRVGAIPIVDSSGIKVVGNISIRDVQFLLTAPEIYHDYRSITAKNFLTAVRSYLKKHQGTSPFVSGMVVCTKNHSVKELIMKLDSEKIHRVYVVDDAGNLEGVITLRDIISRLVHEPYGYFGDFFDGVLPLPLNSRV >Potri.011G058600.1.v4.1 pep chromosome:Pop_tri_v4:11:4848615:4850717:-1 gene:Potri.011G058600.v4.1 transcript:Potri.011G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G058600.v4.1 MNRMTMCSPELLPGFRFHPTDQELIIHYLRKKVSSSNLEVVIIADVDIYKFNPWELPGKALFGESEWFFFSPRDRKYPNGARPNRAAGSGYWKATGTDKPILTSNGSQCLGVKKALVFYKGRPPKGIKTSWLMLEYRLLDDTHHLHRLRGSMRLDDWVLCRVRQKSNTRPQNGDDHRSNFSSFSPFTSLRCLQGQEIFKKGNTLKDYYYDLQPCLMAPAESEEAAEQGQVEFQEASPEYTISGSDSSSHPTMVSTVKEKLEYIKKILSIGALEELVPTTPKKRLHVSSSNNAENAYIFDELPSPPKKRLHIFSSNKAENECIFEVSSPTLSASSQQSFQGS >Potri.010G158700.8.v4.1 pep chromosome:Pop_tri_v4:10:16512070:16515322:-1 gene:Potri.010G158700.v4.1 transcript:Potri.010G158700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158700.v4.1 MDPQQQRAAIPQRGPPPQTGDFSPILTVFLSFIAIFALIVIPSSSNIKNSLSILHQVPEGHVGVYWRGGALLQTVTDPGFHLKLPLITQYEPVQVTLQTDQVRDIPCGTKGGVMINFEKIEVVNRLGKEYVYETLLNYGVQYDHTWIYDKIHHEINQFCSSHSLQQVYIDVFDQIDEKMKDALQGDCTRYAPGIEIISVRVTKPTIPESIRKNFEQMEEERTKVLISIERQKFVEKEAETTKKMAISEAEKNANVSKILMEQKLMEKDSARREQEIENQMYMAHEKSLADAAFYRVLKEAEANKLKLTPQFLELKFIEAIADNTKIFFGDKVPNMVLDQRLLGNFLQGMSGGMAREVVSEEV >Potri.014G016000.3.v4.1 pep chromosome:Pop_tri_v4:14:944307:946952:-1 gene:Potri.014G016000.v4.1 transcript:Potri.014G016000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016000.v4.1 MFHKALELLPALWTKAGLLDQAIASYRRVLIRPWNLNPQKLAGVQKELASMLLYSAVEATLPPQLQLWGLASPQSNIEEAILLLLVLMSKVACGEIKRDEEIMDHLTYALSIVGQFELLAEHVEQALPGIYNRAERWYLLALCYSAAGQNEAALNLLKKVSGCSESKNKPHIPSFLLGAKLCSQDSKHAHEGINFARKVLDLADHQNQHFIGQAHMLLGVCHGNAARISLSDSERVLLHKESLNSLNNAALNRKEDPEVMYNLGLENMLQRNLGAAFENAIVCTEMMAGNSVKGWKLLALVVSAEQRFRDAQTVVEIALDEAGRIDQFELLRLKAILQIAQEQPKQAIETYRILLSLIQAQRDSQAKNPEQAHIFNSEVLAERNLELAAWQDLADIYTKIGSWSDAKICVDKAKLMELHSPRSWHVTGMLFEAQSLHKEALVSFSVALSVEPDYIPSIVATAEVLMKPGTQSFSIARSFLMHALRLDPTNHEAWLNLGLISKMEGSLKQAAEFFQAAHELKLSAPIQSFL >Potri.014G016000.2.v4.1 pep chromosome:Pop_tri_v4:14:944656:948572:-1 gene:Potri.014G016000.v4.1 transcript:Potri.014G016000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016000.v4.1 MLCACSGEQFKLDEPPQSPESLATRDFSASGLSSRTTGDRESKLEDFQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDISVLTPKMIKAIVERIQYRKPRSKGEIVPPSVMSMHSVSLLVEAILLKAKSLEELAQYREAAKECGIILDIVESALPNGIPEGIGEDCKLEEMFHKALELLPALWTKAGLLDQAIASYRRVLIRPWNLNPQKLAGVQKELASMLLYSAVEATLPPQLQLWGLASPQSNIEEAILLLLVLMSKVACGEIKRDEEIMDHLTYALSIVGQFELLAEHVEQALPGIYNRAERWYLLALCYSAAGQNEAALNLLKKVSGCSESKNKPHIPSFLLGAKLCSQDSKHAHEGINFARKVLDLADHQNQHFIGQAHMLLGVCHGNAARISLSDSERVLLHKESLNSLNNAALNRKEDPEVMYNLGLENMLQRNLGAAFENAIVCTEMMAGNSVKGWKLLALVVSAEQRFRDAQTVVEIALDEAGRIDQFELLRLKAILQIAQEQPKQAIETYRILLSLIQAQRDSQAKNPEQAHIFNSEVLAERNLELAAWQDLADIYTKIGSWSDAKICVDKAKLMELHSPRSWHVTGMLFEAQSLHKEALVSFSVALSVEPDYIPSIVATAEVLMKPGTQSFSIARSFLMHALRLDPTNHEAWLNLGLISKMEGSLKQAAEFFQAAHELKLSAPIQSFL >Potri.014G016000.1.v4.1 pep chromosome:Pop_tri_v4:14:944739:948215:-1 gene:Potri.014G016000.v4.1 transcript:Potri.014G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016000.v4.1 MLCACSGEQFKLDEPPQSPESLATRDFSASGLSSRTTGDRESKLEDFQVDEAESTLKEALSLNYEEARALLGRLEYQRGNFDAALQVFQGIDISVLTPKMIKAIVERIQYRKPRSKGEIVPPSVMSMHSVSLLVEAILLKAKSLEELAQYREAAKECGIILDIVESALPNGIPEGIGEDCKLEEMFHKALELLPALWTKAGLLDQAIASYRRVLIRPWNLNPQKLAGVQKELASMLLYSAVEATLPPQLQLWGLASPQSNIEEAILLLLVLMSKVACGEIKRDEEIMDHLTYALSIVGQFELLAEHVEQALPGIYNRAERWYLLALCYSAAGQNEAALNLLKKVSGCSESKNKPHIPSFLLGAKLCSQDSKHAHEGINFARKVLDLADHQNQHFIGQAHMLLGVCHGNAARISLSDSERVLLHKESLNSLNNAALNRKEDPEVMYNLGLENMLQRNLGAAFENAIVCTEMMAGNSVKGWKLLALVVSAEQRFRDAQTVVEIALDEAGRIDQFELLRLKAILQIAQEQPKQAIETYRILLSLIQAQRDSQAKNPEQAHIFNSEVLAERNLELAAWQDLADIYTKIGSWSDAKICVDKAKLMELHSPRSWHVTGMLFEAQSLHKEALVSFSVALSVEPDYIPSIVATAEVLMKPGTQSFSIARSFLMHALRLDPTNHEAWLNLGLISKMEGSLKQAAEFFQAAHELKLSAPIQSFL >Potri.007G100500.9.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496807:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MLCHLLFLVRGPSDCLCLTTFEGRGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMACSINIYLQRYVPKHLLGSLLVKKWMSGIFILMHAGSLALLFNKLIIQATI >Potri.007G100500.11.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496807:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MLLLSFGLRCFATFSFWSGRGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMACSINIYLQRYVPKHLLGSLLVKKWMSGIFILMHAGSLALLFNKLIIQATI >Potri.007G100500.2.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496848:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MLPTLLGSRGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMACSINIYLQRYVPKHLLGSLLVKKWMSGIFILMHAGSLALLFNKLIIQATI >Potri.007G100500.15.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496849:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MTCSAWGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMACSINIYLQRYVPKHLLGSLLVKKWMSGIFILMHAGSLALLFNKLIIQATI >Potri.007G100500.8.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496849:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MTCSAWGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMVLQWPLQQPHMTMFTASCYSREKVFSLQIKLY >Potri.007G100500.12.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496807:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MLLLSFGLRCFATFSFWSGGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMACSINIYLQRYVPKHLLGSLLVKKWMSGIFILMHAGSLALLFNKLIIQATI >Potri.007G100500.7.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496849:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MLPTLLGSRGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMVLQWPLQQPHMTMFTASCYSREKVFSLQIKLY >Potri.007G100500.13.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496807:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MTCSACRGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMACSINIYLQRYVPKHLLGSLLVKKWMSGIFILMHAGSLALLFNKLIIQATI >Potri.007G100500.10.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496807:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MLCHLLFLVRGPSDCLCLTTFEGGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMACSINIYLQRYVPKHLLGSLLVKKWMSGIFILMHAGSLALLFNKLIIQATI >Potri.007G100500.14.v4.1 pep chromosome:Pop_tri_v4:7:12493749:12496849:1 gene:Potri.007G100500.v4.1 transcript:Potri.007G100500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100500.v4.1 MTCSAWGSCRQAAAKTFGCYRSILLCSHGLPCSCRPCCCFQGSQAASFSHQGPRYQVEARATVIFFDIAAFEADGALRRRSSSLASVDHPNRPLTREHGGLMRWPENFYRPREQRKPNCEGTCRPANSLSARAMQQSIFGSMACSINIYLQRYVPKHLLGSLLVKKWMSGIFILMHAGSLALLFNKLIIQATI >Potri.019G051850.2.v4.1 pep chromosome:Pop_tri_v4:19:8593130:8595350:-1 gene:Potri.019G051850.v4.1 transcript:Potri.019G051850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051850.v4.1 MREDWMRTTPPSLLSLTIDTAVLHLSNFSDLSSIPDHIILDLFLRTLKAGKLTEKVLKLFVATGNDEVLAFIQALNIQHVITPVLPTSELYLKFFHPILPDVLSWILKLFSYFLIVY >Potri.003G139100.1.v4.1 pep chromosome:Pop_tri_v4:3:15550601:15555291:-1 gene:Potri.003G139100.v4.1 transcript:Potri.003G139100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G139100.v4.1 MPSFLVSFLLVFTISVSFLSCITVGEVVTCSGIVPMRLRNDKISIADFGGVGDGKTLNTKAFREAVYRIQHLRRRGGTLLYIPPGVYLTESFNLTSHMTLYLARGAVIKATQDTGNWPLIAPLPSYGRGRERLGGRYMSFIHGDGLQDVIITGENGTIDGQGDVWWNMWRQRTLLFTRPNLVEFVNSRGIIISNVIFRNSPFWNIHPVYSRNVVIRYVTILAPLDSPNTDGIDPDSSSNVCIEDSYISTGDDLVAVKSGWDEYGIAYGRPSSDITIRRITGSSPFSGIAVGSETSGGVKNVLVENVNLYNMGVGIHIKTNIGRGGFIKNITVTDVYMENVRKGIKIAGDVGDHPDDSFNPNALPVVYGITLKSIWGEKVQQPGSIQGLKNSPFTGICLSNINLHGVPGPRSSPWKCSDVSGSALLVSPWPCSELTSPHQTGSCSDHF >Potri.004G076600.1.v4.1 pep chromosome:Pop_tri_v4:4:6363423:6368472:1 gene:Potri.004G076600.v4.1 transcript:Potri.004G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076600.v4.1 MEIATARSHNPKRKRDATSPPPPYSNGETTTEEIDLSLLDAIEKSQSLSKSQPIETLDPKTLKKLILSFERKYKENIESRLKYPDQPEKFADSEIDLHNELHKLKILSSAPELYPDLINLNTIPSVLSLLSHENTDIAIDVIQLLQDLTDEDVVEMSNENEEESMKALVNTLIENNVLELLVQNLQRLSDSDDQDEMAAVYNTLATVENMIEVEPKVAEMICEKTRVLKWLLGKLKVREFDSNKQYAAEILSILLQNSEANQKKLGQMNGVDVVLQAVAMYKSKDPKSGDEEEMVENLFDCLCCLLMPLENKERFVKAEGVELMIIIIKQKKLAYGSAIRALDFAMTNYPPACERFVDVLGLKTAFAAFMGKIPMSKKNKKERYQEELEERVISLIASLFGGILRGSRRERLLSKFVENECEKIDRLMELYIRYSDRVKEETKRMDELELDDLEMDGDERYNRKLESGLYTLQSIAIILGHLWCSEHPRMRARIELLLKQQKLTKSDVKDILLEYHDNIGDLDGPEEKERVQARVLKFISAFELS >Potri.001G308300.1.v4.1 pep chromosome:Pop_tri_v4:1:31846034:31849294:-1 gene:Potri.001G308300.v4.1 transcript:Potri.001G308300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G308300.v4.1 MALYQLAPFPISTVTKRTFSSRNSLGSSRNGCFPSQVRCMVATETCDQSIARRSGNYPTPFWDHKFLQSLTSEYVGEPYTGQANKLKETVRDMLEKPLDAVYQLELIDNLQRLGVAYHFELEIKSILESRWNDYKKDNREMKEDLYGTSVEFRLLRQHGYNVPQDVFNSFKDEQGNFKNCLRDDVKGMLNLYEASYYLVNGESILEEARDFSEKHLKEYSKEQNEDHYLSLLVNHSLELPLHWRMQRMEARWFIDAYGRKRDLNPILLEFAGLDFNMVQAKYQEDIRHASRWWTSMDLGNKLFYTRDRLMENTLWTVGEVFEPQFGYYRKMATRVNALITTLDDAYDVYGTLEELEVFTDVIESWDINALDQLPYYMKISFFALFQSINEIGYNILKEQGINVVPSLKKLWGDLCRAFLKEAKWYYAGYTPTLQEYLDNAWLSISGQVILGHAFFLVTNQLTEEAVRCCMEYPDLIRYSSTILRLADDLGTSSDEIARGDNPKSIQCYMHETGATEQEAREHVRYLIHETWKKLNAEILKPYPFSKKFMGIPMDLARTAQCFYEAGDAYGIQDQETHGRLVSLFVKPIPLQDI >Potri.007G069500.3.v4.1 pep chromosome:Pop_tri_v4:7:9024444:9034133:1 gene:Potri.007G069500.v4.1 transcript:Potri.007G069500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069500.v4.1 MRLKDDVMEESEIYKQYQYNSGDPRHERSQPASQAYTPDPASSRNSNMRLADLNAPPPEVKPVLNYSIQTGEEFALEFMRDRVNPKKPLIPNAVGDPNYATGYLELKGILGISHTGSESGSEISMLTIVERGQKEFERTNSSLHEDRSNYGSVQSVPRTSGYESRGVPLHGYACSSGVSDSSSGKMKVLCSFGGKILPRPSDGKLRYVGGQTRIMRIARDISWHEFKQKTLAIYSQALVIKYQLPGEDLDALVSVSCDEDLLNMMDEWSGVEDREGSQKLRMFLFSMSDLEDDQFGLGIGGVDSEVQYIVAVNGMDVGTRRELVLHGLASSSGNNLDELDRSNTNRETISVATTYVGLSASPLTDIYQSSQPTLQSFSNAYETYPQVYRGQMMDHRDTEQFPLHYHHHSSNYSSLGEIPYARQLHGLMSEEADLYEGQQYNSFQVKNSQISVKEVKPRPDGSIQQEIDPGKTHPIEKGYPAPVDEVLATAVVPEGDICTVPSKHEGKHLEPKKVSSSADDVNQVQAPKSWEDDQHSAPSGASGPGNADSASNPIDLSYLELSIPQRAYYSERIPQGQAELLNRLSKSGDSLGIQLLITHSCSDITENNPTTKSVENFHESNLAAHTEHSISTEKPSCTGSQIMDDGVSDFETDITTGNQRKPLVDEKGEMGSGHPALCQVTSVVQHKDPAADLPDEQDEIKSRDVSDKDNLGHSQPFFWTESSTKDVEGIPSVGVSSTKQAEIQIDINDRFPCDFLSEIFSKGIFTKDAFVVSPIHNDGAGASVNMETHEPKHWSYFQKLAKEGFVKKDLSIIDQDHPTTPFVLTNVQDDKSYHFTPSAASRDSVGHDYSQINFGQDNQNNLPGMAVADSTMMSDFDPSQLKDTESMQFEAMMENLQSPESQYEDGKLDNRNDGLPPRDPFLGDFDINTLQIIKNEDLEEQKELGSGTFGTVYHGKWRGTDVAIKMLKKICFTGRSSEHERLTLEFWREADILSKLHHPNVVAFYGVVQDGHGGTLAAVTEYMVDGSLRSVLLRKDRYLDRHKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVSGTLPWMAPELLNGSSNKVSEKVDVFSFAIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSEWRRLMEQCWAPNPAVRPSFTEIARRLRTMSSAASQAEGHEHKASK >Potri.007G069500.1.v4.1 pep chromosome:Pop_tri_v4:7:9023699:9034105:1 gene:Potri.007G069500.v4.1 transcript:Potri.007G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069500.v4.1 MRLKDDVMEESEIYKQYQYNSGDPRHERSQPASQAYTPDPASSRNSNMRLADLNAPPPEVKPVLNYSIQTGEEFALEFMRDRVNPKKPLIPNAVGDPNYATGYLELKGILGISHTGSESGSEISMLTIVERGQKEFERTNSSLHEDRSNYGSVQSVPRTSGYESRGVPLHGYACSSGVSDSSSGKMKVLCSFGGKILPRPSDGKLRYVGGQTRIMRIARDISWHEFKQKTLAIYSQALVIKYQLPGEDLDALVSVSCDEDLLNMMDEWSGVEDREGSQKLRMFLFSMSDLEDDQFGLGIGGVDSEVQYIVAVNGMDVGTRRELVLHGLASSSGNNLDELDRSNTNRETISVATTYVGLSASPLTDIYQSSQPTLQSFSNAYETYPQVYRGQMMDHRDTEQFPLHYHHHSSNYSSLGEIPYARQLHGLMSEEADLYEGQQYNSFQVKNSQISVKEVKPRPDGSIQQEIDPGKTHPIEKGYPAPVDEVLATAVVPEGDICTVPSKHEGKHLEPKKVSSSADDVNQVQAPKSWEDDQHSAPSGASGPGNADSASNPIDLSYLELSIPQRAYYSERIPQGQAELLNRLSKSGDSLGIQLLITHSCSDITENNPTTKSVENFHESNLAAHTEHSISTEKPSCTGSQIMDDGVSDFETDITTGNQRKPLVDEKGEMGSGHPALCQVTSVVQHKDPAADLPDEQDEIKSRDVSDKDNLGHSQPFFWTESSTKDVEGIPSVGVSSTKQAEIQIDINDRFPCDFLSEIFSKGIFTKDAFVVSPIHNDGAGASVNMETHEPKHWSYFQKLAKEGFVKKDLSIIDQDHPTTPFVLTNVQDDKSYHFTPSAASRDSVGHDYSQINFGQDNQNNLPGMAVADSTMMSDFDPSQLKDTESMQFEAMMENLQSPESQYEDGKLDNRNDGLPPRDPFLGDFDINTLQIIKNEDLEEQKELGSGTFGTVYHGKWRGTDVAIKMLKKICFTGRSSEHERLTLEFWREADILSKLHHPNVVAFYGVVQDGHGGTLAAVTEYMVDGSLRSVLLRKDRYLDRHKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVSGTLPWMAPELLNGSSNKVSEKVDVFSFAIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSEWRRLMEQCWAPNPAVRPSFTEIARRLRTMSSAASQAEGHEHKASK >Potri.007G069500.4.v4.1 pep chromosome:Pop_tri_v4:7:9024132:9034099:1 gene:Potri.007G069500.v4.1 transcript:Potri.007G069500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069500.v4.1 MRLKDDVMEESEIYKQYQYNSGDPRHERSQPASQAYTPDPASSRNSNMRLADLNAPPPEVKPVLNYSIQTGEEFALEFMRDRVNPKKPLIPNAVGDPNYATGYLELKGILGISHTGSESGSEISMLTIVERGQKEFERTNSSLHEDRSNYGSVQSVPRTSGYESRGVPLHGYACSSGVSDSSSGKMKVLCSFGGKILPRPSDGKLRYVGGQTRIMRIARDISWHEFKQKTLAIYSQALVIKYQLPGEDLDALVSVSCDEDLLNMMDEWSGVEDREGSQKLRMFLFSMSDLEDDQFGLGIGGVDSEVQYIVAVNGMDVGTRRELVLHGLASSSGNNLDELDRSNTNRETISVATTYVGLSASPLTDIYQSSQPTLQSFSNAYETYPQVYRGQMMDHRDTEQFPLHYHHHSSNYSSLGEIPYARQLHGLMSEEADLYEGQQYNSFQVKNSQISVKEVKPRPDGSIQQEIDPGKTHPIEKGYPAPVDEVLATAVVPEGDICTVPSKHEGKHLEPKKVSSSADDVNQVQAPKSWEDDQHSAPSGASGPGNADSASNPIDLSYLELSIPQRAYYSERIPQGQAELLNRLSKSGDSLGIQLLITHSCSDITENNPTTKSVENFHESNLAAHTEHSISTEKPSCTGSQIMDDGVSDFETDITTGNQRKPLVDEKGEMGSGHPALCQVTSVVQHKDPAADLPDEQDEIKSRDVSDKDNLGHSQPFFWTESSTKDVEGIPSVGVSSTKQAEIQIDINDRFPCDFLSEIFSKGIFTKDAFVVSPIHNDGAGASVNMETHEPKHWSYFQKLAKEGFVKKDLSIIDQDHPTTPFVLTNVQDDKSYHFTPSAASRDSVGHDYSQINFGQDNQNNLPGMAVADSTMMSDFDPSQLKDTESMQFEAMMENLQSPESQYEDGKLDNRNDGLPPRDPFLGDFDINTLQIIKNEDLEEQKELGSGTFGTVYHGKWRGTDVAIKMLKKICFTGRSSEHERLTLEFWREADILSKLHHPNVVAFYGVVQDGHGGTLAAVTEYMVDGSLRSVLLRKDRYLDRHKRLLIAMDAAFGMEYLHSKNIVHFDLKCDNLLVNLKDPQRPICKVGDFGLSKIKRNTLVSGGVSGTLPWMAPELLNGSSNKVSEKVDVFSFAIVLWEILTGEEPYANMHYGAIIGGIVNNTLRPTIPSYCDSEWRRLMEQCWAPNPAVRPSFTEIARRLRTMSSAASQAEGHEHKASK >Potri.019G047300.5.v4.1 pep chromosome:Pop_tri_v4:19:7075571:7083408:1 gene:Potri.019G047300.v4.1 transcript:Potri.019G047300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047300.v4.1 MWDSERAVCLYEQKSSDVTVSSDTDDSPRGFTAAVILPLDQGLLCVTVDHQFLFYSLVVHLEEKFKLMLSKRLVGYNEEILDMRFLGEEEKFLAVATNLEQVQVYDLESMSCSYVLAGHTEIVLCLDTCVSSSGRPLLVTGSKDNSVRLWNSESINCIGVGMGHMGGVGAVAFSKKWKNFFVSGSSDRTIKVWSIDGISDDADQPINLKAKAVVAAHDKDINSLAIAPNDTLVCSGSQDRTACVWRLPDLVSVVVLKGHKRGIWSVEFSPVDQCVITASGDKTIKMWAIANGSCLKTFEGHTSSVLRASFLTRGTQFVSCGADGLVKLWTVKTNECIATYDQHEDKVWALAIGRKTEMFATGGGDAVVNLWYDSTASDKAEAFRKEEEGVLRGQELENAVLDADYNKAIQIAFELHRPHKLFELFAELCRKDGGNQIETALRALGKEEIHLLFEYIREWNTKPKFCHVAQYVLFGVFNIFPPTEILEIRGIGELLEGLIPYSQRHFSRIDRLVRSTFLLDYTLHGMSVIEPDTNATEMNDADNKLLVEKGDQEQELTSEMLKEKALSKKRKPNKSKDTSRKKVKGAAYTSLAPIPLKV >Potri.019G047300.4.v4.1 pep chromosome:Pop_tri_v4:19:7075636:7083534:1 gene:Potri.019G047300.v4.1 transcript:Potri.019G047300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047300.v4.1 MWDSERAVCLYEQKSSDVTVSSDTDDSPRGFTAAVILPLDQGLLCVTVDHQFLFYSLVVHLEEKFKLMLSKRLVGYNEEILDMRFLGEEEKFLAVATNLEQVQVYDLESMSCSYVLAGHTEIVLCLDTCVSSSGRPLLVTGSKDNSVRLWNSESINCIGVGMGHMGGVGAVAFSKKWKNFFVSGSSDRTIKVWSIDGISDDADQPINLKAKAVVAAHDKDINSLAIAPNDTLVCSGSQDRTACVWRLPDLVSVVVLKGHKRGIWSVEFSPVDQCVITASGDKTIKMWAIANGSCLKTFEGHTSSVLRASFLTRGTQFVSCGADGLVKLWTVKTNECIATYDQHEDKVWALAIGRKTEMFATGGGDAVVNLWYDSTASDKAEAFRKEEEGVLRGQELENAVLDADYNKAIQIAFELHRPHKLFELFAELCRKDGGNQIETALRALGKEEIHLLFEYIREWNTKPKFCHVAQYVLFGVFNIFPPTEILEIRGIGELLEGLIPYSQRHFSRIDRLVRSTFLLDYTLHGMSVIEPDTNATEMNDADNKLLVEKGDQEQELTSEMLKEKALSKKRKPNKSKDTSRKKVKGAAYTSLAPIPLKV >Potri.019G047300.3.v4.1 pep chromosome:Pop_tri_v4:19:7075636:7084106:1 gene:Potri.019G047300.v4.1 transcript:Potri.019G047300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047300.v4.1 MDGHCLLLEEISAVCLYEQKSSDVTVSSDTDDSPRGFTAAVILPLDQGLLCVTVDHQFLFYSLVVHLEEKFKLMLSKRLVGYNEEILDMRFLGEEEKFLAVATNLEQVQVYDLESMSCSYVLAGHTEIVLCLDTCVSSSGRPLLVTGSKDNSVRLWNSESINCIGVGMGHMGGVGAVAFSKKWKNFFVSGSSDRTIKVWSIDGISDDADQPINLKAKAVVAAHDKDINSLAIAPNDTLVCSGSQDRTACVWRLPDLVSVVVLKGHKRGIWSVEFSPVDQCVITASGDKTIKMWAIANGSCLKTFEGHTSSVLRASFLTRGTQFVSCGADGLVKLWTVKTNECIATYDQHEDKVWALAIGRKTEMFATGGGDAVVNLWYDSTASDKAEAFRKEEEGVLRGQELENAVLDADYNKAIQIAFELHRPHKLFELFAELCRKDGGNQIETALRALGKEEIHLLFEYIREWNTKPKFCHVAQYVLFGVFNIFPPTEILEIRGIGELLEGLIPYSQRHFSRIDRLVRSTFLLDYTLHGMSVIEPDTNATEMNDADNKLLVEKGDQEQELTSEMLKEKALSKKRKPNKSKDTSRKKVKGAAYTSLAPIPLKV >Potri.003G208400.2.v4.1 pep chromosome:Pop_tri_v4:3:20741153:20744189:1 gene:Potri.003G208400.v4.1 transcript:Potri.003G208400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G208400.v4.1 MFLLKCKYRDFRGSRDSIFYPPHKSVDVRVDICLLENQLPFFILEELCGLSTILGNSPKPTLIELTHGFFSKEWGSWAVGEYWGKIDFSEVKHLVDFLTIYQQPPQQQQNEELEVLTAPSVKELHQAGVKFVLSSSKNLLDIKFDRNKGRLEIPRLQLDDSTEIIIRNMQAFEQCHGLYGYVGDYIFLMGLFVSASKDVEMLVENRIIENWLPSNEEVVQLFYNLNKQNSVRGRFFLFKGLIKDLNAFCEKPWNKWKANLKQNYFNTPWAAISVSGAVILLILTVLQSVCSILQVG >Potri.004G092400.6.v4.1 pep chromosome:Pop_tri_v4:4:7820930:7826763:-1 gene:Potri.004G092400.v4.1 transcript:Potri.004G092400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092400.v4.1 MTLSFEEMNLLYSLLRSEQRPIDEIVTEFINNINRSRSSTLSASLSLLLQEDKMMLKSTERLTAFAILYLTYSLQQSSANPFVALFINAACDEGAEKYERAFVLQLLASGGSGGSKEFLKQSAANYIKVFDPSVHAFPSREHLQHQYGDKVHPEADNSLFKNISLKNIVPDPDVPHGCDANSQEFDLQPGVKPKLGSGDRDEALTGLLANLSPEGIGPQWIRSRPPRLPIQDGELVWLNPDSNHELVWDHCMCADTSRGAAVRGLIAKALKGPLAPSQQEQVLVELTNDPKLVYHCGLAPRKLPELVENNPLIAVEVITKLINSPEIADYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFIRMYITNCISLCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDGQFAVLPVIELL >Potri.004G092400.1.v4.1 pep chromosome:Pop_tri_v4:4:7821909:7826759:-1 gene:Potri.004G092400.v4.1 transcript:Potri.004G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092400.v4.1 MTLSFEEMNLLYSLLRSEQRPIDEIVTEFINNINRSRSSTLSASLSLLLQEDKMMLKSTERLTAFAILYLTYSLQQSSANPFVALFINAACDEGAEKYERAFVLQLLASGGSGGSKEFLKQSAANYIKVFDPSVHAFPSREHLQHQYGDKVHPEADNSLFKNISLKNIVPDPDVPHGCDANSQEFDLQPGVKPKLGSGDRDEALTGLLANLSPEGIGPQWIRSRPPRLPIQDGELVWLNPDSNHELVWDHCMCADTSRGAAVRGLIAKALKGPLAPSQQEQVLVELTNDPKLVYHCGLAPRKLPELVENNPLIAVEVITKLINSPEIADYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFIRMYITNCISLCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCFEFSRIREAAGLFRLLKTLE >Potri.004G092400.7.v4.1 pep chromosome:Pop_tri_v4:4:7820928:7826656:-1 gene:Potri.004G092400.v4.1 transcript:Potri.004G092400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092400.v4.1 MNLLYSLLRSEQRPIDEIVTEFINNINRSRSSTLSASLSLLLQEDKMMLKSTERLTAFAILYLTYSLQQSSANPFVALFINAACDEGAEKYERAFVLQLLASGGSGGSKEFLKQSAANYIKVFDPSVHAFPSREHLQHQYGDKVHPEADNSLFKNISLKNIVPDPDVPHGCDANSQEFDLQPGVKPKLGSGDRDEALTGLLANLSPEGIGPQWIRSRPPRLPIQDGELVWLNPDSNHELVWDHCMCADTSRGAAVRGLIAKALKGPLAPSQQEQVLVELTNDPKLVYHCGLAPRKLPELVENNPLIAVEVITKLINSPEIADYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFIRMYITNCISLCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDGQFAVLPVIELL >Potri.002G124250.1.v4.1 pep chromosome:Pop_tri_v4:2:9445693:9447166:1 gene:Potri.002G124250.v4.1 transcript:Potri.002G124250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124250.v4.1 MLCWVQVGRLFWGQVIGLFCIWGVLLRVQSGLIGFYTSMLLFIILRLRLSCVRYMSSLTEEIAFLRMLSSCAEESISAVHNNGTQHDGFLTPDIAKAEVQ >Potri.017G107500.3.v4.1 pep chromosome:Pop_tri_v4:17:11638205:11640308:-1 gene:Potri.017G107500.v4.1 transcript:Potri.017G107500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G107500.v4.1 MLKEESGGSGSGGVVNNWARVCDTCRAAACTVYCRADSAYLCAGCDARVHAANRVASRHERVRVCEACERAPAALLCKADAASLCTACDADIHSANPLARRHQRVPILPISGYLYGTQVGPAAGETEDQFMTQEGEETIGEEDEDEAASWLLLNPAKNSNNQNNNGFLFGGEVDEYLDIVEYNSCAENQYSDQYNQQHYSVPPKSCGGDSVVPIQYGEGKDHQQQQQQQYHNFQLGLEYEPAKAAYSYDGSVSQGVSMSSMDVGVVPESAMSEISISHQSAPRGTIDLFSSPPIQMPSQLSPMEREARVLRYREKKKARKFEKTIRYASRKAYAETRPRIKGRFAKRTDVDVEVDQMFSSTLMAETAYGIVPSF >Potri.001G104800.11.v4.1 pep chromosome:Pop_tri_v4:1:8444850:8448396:1 gene:Potri.001G104800.v4.1 transcript:Potri.001G104800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104800.v4.1 MKYVRPLSLFHELLKTSAISQGRFLGLDVGDKYVGLAVSDPLNKIASPLSVLLRKKSNIELMATDFQSLISELSLGGFIVGYPFDRQRGAPDAVRVKLFVDDLCKTGKLEGVKFTYWDECFTSKNVELLVKPLDLHPVHAKSIMDKFAAVGILQGYLDYVNKKMKLESAE >Potri.001G104800.10.v4.1 pep chromosome:Pop_tri_v4:1:8444850:8448396:1 gene:Potri.001G104800.v4.1 transcript:Potri.001G104800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104800.v4.1 MKYVRPLSLFHELLKTSAISQGRFLGLDVGDKYVGLAVSDPLNKIASPLSVLLRKKSNIELMATDFQSLISELSLGGFIVGYPFDRQRGAPDAVRVKLFVDDLCKTGKLEGVKFTYWDECFTSKNVELLVKPLDLHPVHAKSIMDKFAAVGILQGYLDYVNKKMKLESAE >Potri.001G104800.16.v4.1 pep chromosome:Pop_tri_v4:1:8444848:8448396:1 gene:Potri.001G104800.v4.1 transcript:Potri.001G104800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104800.v4.1 MKYVRPLSLFHELLKTSAISQGRFLGLDVGDKYVGLAVSDPLNKIASPLSVLLRKKSNIELMATDFQSLISELSLGGFIVGYPFDRQRGAPDAVRVKLFVDDLCKTGKLEGVKFTYWDECFTSKNVELLVKPLDLHPVHAKSIMDKFAAVGILQGYLDYVNKKMKLESAE >Potri.001G104800.12.v4.1 pep chromosome:Pop_tri_v4:1:8444850:8448396:1 gene:Potri.001G104800.v4.1 transcript:Potri.001G104800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104800.v4.1 MKYVRPLSLFHELLKTSAISQGRFLGLDVGDKYVGLAVSDPLNKIASPLSVLLRKKSNIELMATDFQSLISELSLGGFIVGYPFDRQRGAPDAVRVKLFVDDLCKTGKLEGVKFTYWDECFTSKNVELLVKPLDLHPVHAKSIMDKFAAVGILQGYLDYVNKKMKLESAE >Potri.001G104800.9.v4.1 pep chromosome:Pop_tri_v4:1:8444850:8448396:1 gene:Potri.001G104800.v4.1 transcript:Potri.001G104800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104800.v4.1 MKYVRPLSLFHELLKTSAISQGRFLGLDVGDKYVGLAVSDPLNKIASPLSVLLRKKSNIELMATDFQSLISELSLGGFIVGYPFDRQRGAPDAVRVKLFVDDLCKTGKLEGVKFTYWDECFTSKNVELLVKPLDLHPVHAKSIMDKFAAVGILQGYLDYVNKKMKLESAE >Potri.001G104800.15.v4.1 pep chromosome:Pop_tri_v4:1:8444848:8448396:1 gene:Potri.001G104800.v4.1 transcript:Potri.001G104800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104800.v4.1 MKYVRPLSLFHELLKTSAISQGRFLGLDVGDKYVGLAVSDPLNKIASPLSVLLRKKSNIELMATDFQSLISELSLGGFIVGYPFDRQRGAPDAVRVKLFVDDLCKTGKLEGVKFTYWDECFTSKNVELLVKPLDLHPVHAKSIMDKFAAVGILQGYLDYVNKKMKLESAE >Potri.011G072716.2.v4.1 pep chromosome:Pop_tri_v4:11:6794129:6797975:1 gene:Potri.011G072716.v4.1 transcript:Potri.011G072716.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072716.v4.1 MDIRDCKCQTSFEGCNRRNISGKSPFKTALFVGRYIIVSPLDWSGFWICCSSRCYINSERCGSEKNTPTRNCTSRTAGLQISGEAFTRLLRSSYSRSLRRDCKRGTTTTRKSELSFCSWMEANIVSLGT >Potri.011G072716.1.v4.1 pep chromosome:Pop_tri_v4:11:6794129:6797943:1 gene:Potri.011G072716.v4.1 transcript:Potri.011G072716.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072716.v4.1 MDIRDCKCQTSFEGCNRRNISGKSPFKTALFVGRYIIVSPLDWSGFWICCSSRCYINSERCGSEKNTPTRNCTSRTAGLQISGEAFTRLLRSSYSRSLRRDCKRGTTTTRKSELSFCSWMTDLSDYKWNVGRYLIVKQKW >Potri.003G176800.1.v4.1 pep chromosome:Pop_tri_v4:3:18374467:18376247:1 gene:Potri.003G176800.v4.1 transcript:Potri.003G176800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176800.v4.1 MVTVDEIRKTQRAEGPATIMAIGTSTPPNCVDQSAYPDYYFRITNSEHKAELKEKFKRMCEKSMIKKRYMYLTEEILKENPSVCEYMAPSLDARQDMVVVEVPRLGKEAATKAIKEWGQPKSKITHLVFCTTSGVDMPGADYQLTKLLGLRSSVKRFMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAVTFRGPSDTHLDSLVGQALFGDGAAALVIGSDPVIGVEKPLFELVSAAQTILPDSDGAIDGHLREVGLTFHLLKDVPGLISKNVEKSLTEAFKPLGISDWNSLFWIAHPGGPAILDQVEAKLALKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSAEDGLQSTGEGLEWGVLFGFGPGLTVETVVLHSVAPTI >Potri.002G260800.1.v4.1 pep chromosome:Pop_tri_v4:2:24891031:24891429:-1 gene:Potri.002G260800.v4.1 transcript:Potri.002G260800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260800.v4.1 MGKNKKPQKTKELSVAIAEASSTGDPETTQQQPQTPRKRGRPRKIIEKSESVVEKEESTAEGAQATHEQGIGSQSKKINTSQEEEGAQQQQQVEAEGPSSSTRGKKKEEGKSEGKEPPRRSRRRKSKPRKSS >Potri.015G146900.5.v4.1 pep chromosome:Pop_tri_v4:15:15089596:15093295:-1 gene:Potri.015G146900.v4.1 transcript:Potri.015G146900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146900.v4.1 MAMAPTVKVVLGLIAFAIFWVLAVFPSIPFLPVGRTAGSLLGAMLMVVFRVLTPDQAFAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLSWKSKGAKDLLCRISVISAISSALFTNDTSCVVLTEFILKITRQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKIAFGSFVFGILPAMLVGVVVNILILMCMYWKLLSSAQKDEEDATAEVVADGDVISHRFSPATMSHLTSLNSLEWNSRLESVNMQSSPNMSGQVNHAETLRNRINSTENEIHSDSSSVYESARNSSASKEITNDASSQKRDETVSSRRIESMDRSRDLSSMQFSSGDFATKWKRMLWKSCVYLVTIGMLIAFFLGLNMSWTALTAALALVVLDFKDAQPCFEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDFMEPYARIDHTAGIVILALVILVLSNFASNVPTVLLLGGRVAASAAAISAADEKKAWLILAWVSTVSGNLSLLGSAANLIVCEQAHRAPHFGYSLSFWKHLKFGVPSTIIITAIGLILIR >Potri.015G146900.1.v4.1 pep chromosome:Pop_tri_v4:15:15089700:15092949:-1 gene:Potri.015G146900.v4.1 transcript:Potri.015G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146900.v4.1 MAMAPTVKVVLGLIAFAIFWVLAVFPSIPFLPVGRTAGSLLGAMLMVVFRVLTPDQAFAAIDLPILGLLFGTMVVSVYLERADMFKYLGKLLSWKSKGAKDLLCRISVISAISSALFTNDTSCVVLTEFILKITRQHNLPPHPFLLALASSANIGSSATPIGNPQNLVIAVQSKIAFGSFVFGILPAMLVGVVVNILILMCMYWKLLSSAQKDEEDATAEVVADGDVISHRFSPATMSHLTSLNSLEWNSRLESVNMQSSPNMSGQVNHAETLRNRINSTENEIHSDSSSVYESARNSSASKEITNDASSQKRDETVSSRRIESMDRSRDLSSMQFSSGDFATKWKRMLWKSCVYLVTIGMLIAFFLGLNMSWTALTAALALVVLDFKDAQPCFEKVSYSLLIFFCGMFITVDGLNKTGIPSALWDFMEPYARIDHTAGIVILALVILVLSNFASNVPTVLLLGGRVAASAAAISAADEKKAWLILAWVSTVSGNLSLLGSAANLIVCEQAHRAPHFGYSLSFWKHLKFGVPSTIIITAIGLILIR >Potri.001G224000.2.v4.1 pep chromosome:Pop_tri_v4:1:24113370:24117582:-1 gene:Potri.001G224000.v4.1 transcript:Potri.001G224000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224000.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRVATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVNYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWGSGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEASARSRKKSRID >Potri.011G043812.1.v4.1 pep chromosome:Pop_tri_v4:11:3426608:3428001:1 gene:Potri.011G043812.v4.1 transcript:Potri.011G043812.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043812.v4.1 MEIRHQNFKGALELLRRATAEPSVEVKRRVAADGDELVLTKVHKSLRLLAFYVDLEEGLGNLESTRAIYERILDVRIATPQIIINYAWLLQEQKYFEDAFKVYERGVRIFKHPRVKDMWVTYLSKFVKRSSKAKLELARELSEHAIEMVHEVLIHLHITCVTEIFLQFWFLVVMLILLPIALLMEYAFLLKMLARLVFVYAVTSTRLWLGPNVLFPPPPPSPLLI >Potri.011G043812.2.v4.1 pep chromosome:Pop_tri_v4:11:3426608:3428001:1 gene:Potri.011G043812.v4.1 transcript:Potri.011G043812.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043812.v4.1 MEIRHQNFKGALELLRRATAEPSVEVKRRVAADGDELVLTKVHKSLRLLAFYVDLEEGLGNLESTRAIYERILDVRIATPQIIINYAWLLQEQKYFEDAFKVYERGVRIFKHPRVKDMWVTYLSKFVKRSSKAKLELARELSEHAIEMESCYRFCNA >Potri.001G332900.1.v4.1 pep chromosome:Pop_tri_v4:1:34124785:34125910:-1 gene:Potri.001G332900.v4.1 transcript:Potri.001G332900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332900.v4.1 MMNKWEQFERVFNHFDENGDGKISPSELQQCVRKMGGELSVTDAEAAVEFSDLDGDGSLGLEDFVKLVEGGEEEEKVKDLKEAFKMYEMEESGCITPKSLKRMLSRLGESKTIDECRIMISWFDLNGDGVLSFDEFKAMML >Potri.005G030100.1.v4.1 pep chromosome:Pop_tri_v4:5:1947470:1950595:1 gene:Potri.005G030100.v4.1 transcript:Potri.005G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030100.v4.1 MDYEIAGLVLAVLLWVAWAVVTERRYRRSEEQGQLPPGPRPLPVVGNIFQLGWAPHESFTNLARVHGPIMTIWLGSMCNVVISSSEVAREMFKNHDAVLAGRKIYEAMKGDFGNEGSIITAQYGPHWRMLRRLCTTEFFVTSRLDAMQGARTRCIDGMLQYIEDGSANGTSAIDLGRYIFLMAFNLIGNLMFSKDLLDPKSEKGAKFFQHAGKVMELAGKPNMADFLTILRWLDPQGIRRKTQFHVARAFEIAGGFIKERTESMQKENSRDDKRKDYLDVLLEFRGDGVEEPSRFSSTTINVIVFEMFTAGTDTTTSTLEWAMAELLRNPKVLKIVQSELRSTIGPNKKLEDKDIENLPYLKAVIRETLRLHPPLPFLVPHMAMNPCKMLGYYIPKETTILVNVWAIGRDSKTWDDPLVFKPERFLESNMVDYKGRHFEFIPFGSGRRMCPAMPLASRVLPLALGSLLLSFDWILPEGLKPEDMDMTEKMGITLRKSVPLKVIPTPYKRSSDHYGF >Potri.016G143833.1.v4.1 pep chromosome:Pop_tri_v4:16:14577023:14581180:1 gene:Potri.016G143833.v4.1 transcript:Potri.016G143833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143833.v4.1 MLRKRMASEMMEVQSAITPQNHQRLSRGNIISSTNVYSLSISDNNNNDDDDDVIGMTRSTGASSFTSCSNNNNINPNNPNSILYPVLNYSTMTSMLPSSTNLTAITSGVSASLSVSEFLSPTVLSTNLITSCNDTHTQSQLPAVCGFSGLPLFPPAEIKRNNIRSNAAADPPPGLITTSITAPTTSTLASASMEDATSATAWIDGLIKDLLHTSTNVSIPQLIQNVREIIYPCNPNLASLLEYRLRSLTDPIIPPNILPVERSRNKEAAATVPLPLQTQRRCNQGHASNSGLTLDLDNIVSNSAPPVSSHVSHYSNWGPTPPLIICQPNIQQQHQQPQIHLVHHDQHLQQQQQKQQESPSSTSNVTPTILALNQGQPPQQQAQDQQQEKSSSAETEQVSSSTSPPSSSAAASRDKKEEMRQQKRDEEGLHLLTLLLQCAEAVSADNFEEANKMLLEISELSTPFGTSAQRVAAYFSEAMSARLVSSCLGIYATLPSMPQSHTQKMASAFQVFNGIGPFVKFSHFTANQAIQEAFEREERVHIIDLDVMQGLQWPGLFHILASRPGGPPYVRLTGLGTSLEALEATGKRLSDFAHKLGLPFEFIPVAEKVGNLEPERLNVSKREAVAVHWLQHSLYDVTGSDTNMLCLLQRLAPKVVTVVEQDLSHAGSFLGRFVEAIHYYSALFDSLGASYGEESEERHVVEQQLLSREIRNVLAVGGPSRSGDVKFHNWREKLQQSGFKGISLAGNAATQATLLLGMFPSDGYTLVEDNGTLKLGWKDLCLLTASAWRPFHVTIETATTTPRHHHHHQQQQQHHHHRFVTA >Potri.018G096700.1.v4.1 pep chromosome:Pop_tri_v4:18:11744661:11752939:-1 gene:Potri.018G096700.v4.1 transcript:Potri.018G096700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096700.v4.1 MDSPTASTTEGSTARIVTSRSSVIESIRGCGLSGLRVNKEDLKRKLSMPKYLRHAIRDSINSKDVNAAADRYREGNSAGREEAPEGPMVVFVNSRSGGRHGPELKERLQQLMGEEQVFDLSDVKPNEFVEYGLGCLEKLAGLGDFCAKDTRDKLRILVAGGDGTVGWVLGSLTELHRQGREPVPPVAVIPLGTGNDLSRSFGWGGSFPFAWKSAVKRSLLRAITGPVCRLDSWHLLMSMPRGEVVDPPHSLKSTDECSLDQGLTIEGELPEKVNCYEGVFYNYFSIGMDAQVAYGFHHLRNEKPYLAQGPISNKLIYSGYTCTQGWFLTPCISDPSLRGLKNIIRMHVKKVNCSEWEQIPVPKSVRAIVALNLHSYASGRNPWGSPKPEYLEKKGFVEAHVDDGLLEIFGLKQGWHASFVMVELISAKHIAQAAAIRLEVRGGEWKDAFMQMDGEPWKQPMSKEYSSFVEIKRVPFHSLMVNGD >Potri.009G049600.1.v4.1 pep chromosome:Pop_tri_v4:9:5501537:5503591:1 gene:Potri.009G049600.v4.1 transcript:Potri.009G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049600.v4.1 MAAINGHTPVAAPAGTTPSKQTVPSAKTVDTQSVLKRLQSELMALMMSGESGISAFPEGDNIFCWKGTITGSKDTVFEGTEYKLSLSFPNDYPFKPPKVKFETSCFHPNVDVYGNICLDILQDKWSSAYDVRTILLSIQSLLGEPNISSPLNTQAAQLWSNQEEYRKMVEKLYKPPSAA >Potri.005G141500.2.v4.1 pep chromosome:Pop_tri_v4:5:11334932:11339225:1 gene:Potri.005G141500.v4.1 transcript:Potri.005G141500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141500.v4.1 MKLSMLQQSYMNRRSASFRGSSAPLDSSTDNTIKSPAAIFWLLLHGFCCLISLVLGFRFSRLVFFFLFSTSTTTTLYIATPLPHLTKTNNNINDLPLEIPVINKTLSSSSRVVVGRHGIRIRPWPHPNPSEVMKAHQIIETVQREQRTQFGVKSPRTLIVVTPTYVRTFQTLHLTGVMHSLMLVPYDVVWIVVEAGGATNETASIIAKSSIKTFHIGFTQKMPNSWEGRHKLETKMRLRALRVVREEMMDGIVMFADDSNMHSMELFDEIQNVKWFGAVSVGILAHSGGGGGESSSAVAEKDVKPNLSNPAMPVQGPACNASNKLVGWHTFNSLPYEGKSAVYIDDRATVLPRKLEWAGFVLNSRLLLKEAQDKPEWVKDLDLVDENIESPLALLKDPSMVEPLGSCGRQVLLWWLRVEARADSKFPPG >Potri.005G141500.1.v4.1 pep chromosome:Pop_tri_v4:5:11334814:11339289:1 gene:Potri.005G141500.v4.1 transcript:Potri.005G141500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141500.v4.1 MKLSMLQQSYMNRRSASFRGSSAPLDSSTDNTIKSPAAIFWLLLHGFCCLISLVLGFRFSRLVFFFLFSTSTTTTLYIATPLPHLTKTNNNINDLPLEIPVINKTLSSSSRVVVGRHGIRIRPWPHPNPSEVMKAHQIIETVQREQRTQFGVKSPRTLIVVTPTYVRTFQTLHLTGVMHSLMLVPYDVVWIVVEAGGATNETASIIAKSSIKTFHIGFTQKMPNSWEGRHKLETKMRLRALRVVREEMMDGIVMFADDSNMHSMELFDEIQNVKWFGAVSVGILAHSGGGGGESSSAVAEKDVKPNLSNPAMPVQGPACNASNKLVGWHTFNSLPYEGKSAVYIDDRATVLPRKLEWAGFVLNSRLLLKEAQDKPEWVKDLDLVDENIESPLALLKDPSMVEPLGSCGRQVLLWWLRVEARADSKFPPGWIIDPPLEITVPSKRTPWPDAPPELPSNKKLTINQEQTIKRSPKTRSPRSKRRSKRKHEAKLVETQVSTRHSEQN >Potri.019G075800.4.v4.1 pep chromosome:Pop_tri_v4:19:11698395:11701926:-1 gene:Potri.019G075800.v4.1 transcript:Potri.019G075800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075800.v4.1 MFTDKLLILIGQDPSISHVARKYSICLIPNLFSYAILQALIRYFQTQSLILPMLFSSFASLCFHITLCWALVFKAEMGIIGAALAISLSYWLNVILLGLYMKCSSECEKTRSVFSKDVFFGIREFFRFAVPYAVMTCLEWWSYEVLILLSGLLRNPKEETSVLSICFTITYPHYFIPYGFGATASTRVSNELGAGNSQAAKMAVWAIMVIAITEEIIISTALFFCRHIMGYAFSSEKQIVNYVADMVPFICLSVIMDCLQSVLSGVARGSGWQKFGAYVNLAAYYLVGTPVAAVLAFVLHLRAKGLLIGLATG >Potri.019G075800.2.v4.1 pep chromosome:Pop_tri_v4:19:11698395:11701926:-1 gene:Potri.019G075800.v4.1 transcript:Potri.019G075800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075800.v4.1 MEALFERAEEVELCSSANGRSHSSAVPSVMMVGHLDELSLSGISSASSFTSVTGFSLLFGLAGALETLCGQAYGAEQYQTVRTYTYCAIISLILVCLPISFLWMFTDKLLILIGQDPSISHVARKYSICLIPNLFSYAILQALIRYFQTQSLILPMLFSSFASLCFHITLCWALVFKAEMGIIGAALAISLSYWLNVILLGLYMKCSSECEKTRSVFSKDVFFGIREFFRFAVPYAVMTCLEWWSYEVLILLSGLLRNPKEETSVLSICFTITYPHYFIPYGFGATASTRVSNELGAGNSQAAKMAVWAIMVIAITEEIIISTALFFCRHIMGYAFSSEKQIVNYVADMVPFICLSVIMDCLQSVLSGVARGSGWQKFGAYVNLAAYYLVGTPVAAVLAFVLHLRAKGLLIGLATG >Potri.010G092100.1.v4.1 pep chromosome:Pop_tri_v4:10:11672715:11675415:1 gene:Potri.010G092100.v4.1 transcript:Potri.010G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092100.v4.1 MAFDLESLSEATSGAIGALVSTTISYPLDTCKTKYQAEVRAHHQQKYRNISDVFWEAIASRQVLSLYQGLGTKNLQSFISQFVYFYGYSFFKRLYLEKSRNKTIGTKANLIVAAAAGACTVIVTQPLDTASSKMQTSEFGKSRGLWKTLSEGTWSEAFDGLGISLLLTSNPSIQYTVFDQLKRRLLERQLSKRSSIESSPEALSAFSAFVLGAVSKCIATCVTYPAIRCKVTLQAAESDESEIEEVQAKTKTISGALYSIWKNEGSAGFFKGLLAQNLKTVLSSALHLMIKEKISKTTWFLMLALKRYLFVTRSRIKSTL >Potri.016G081300.1.v4.1 pep chromosome:Pop_tri_v4:16:6276789:6279282:1 gene:Potri.016G081300.v4.1 transcript:Potri.016G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G081300.v4.1 MNKPPHLLLPLVLLSLLSLLSLSTAEIKTLSITNDARAMILFEKFGFTPTGHVNISVHSVSVASSVNAPNPVSSRLGFFLLSEESRLQVILEIQENPNFCVLDSHYILSLFTFRDLSPPPLSSFNQSYPVTAPNEYLLFFANCAPETRVSMSVKTQVYNLDRDGSKDYLSAGLTQLPSLFFLYFLAYAAFLGLWIYVCYNNKRSVHRIHLLMGGLLLMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMVVIPLQVLANIASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRENSKTDGKAARNLAKLQLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSNAAEETASLLFYVVIFYMFRPVEKNEYFVLDEEEEEAAELALRDEEFEL >Potri.019G107700.4.v4.1 pep chromosome:Pop_tri_v4:19:14146897:14150096:1 gene:Potri.019G107700.v4.1 transcript:Potri.019G107700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G107700.v4.1 MDPVLFKAAEAGNIGPFENYQTCSLNQLLTPDENTILHVYLKNQSSEPESTDFVDKFLERCPPLLFQANKRGETPLHLEARYGHSNVVKVLIDRAKALPADPESGVTKAKMMLRMTNGERDTALHEAARNSRSHVVEILTKEDPEFSYPANVHGETPLYIAVSSLGQEREKVIDEILTNCISVDYGGPNGRTALHAASEVGDHETARKLLEKEKKLTKTTDENGWSPLHYAAYYLWSTRMVEVLLECDASAAYIAETEKKRTALHIAAIRGLADVMKEIVSRCPACCELVDNRGWNALHYAVASKDRKVFEECLRIPELARLQTEKDDKGNTPFHLIAALSLNWGSFLFNDSCGYSKWQTYGLNKRKLSINDIYLGEFAEIEVINPL >Potri.019G107700.3.v4.1 pep chromosome:Pop_tri_v4:19:14146902:14150234:1 gene:Potri.019G107700.v4.1 transcript:Potri.019G107700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G107700.v4.1 MDPVLFKAAEAGNIGPFENYQTCSLNQLLTPDENTILHVYLKNQSSEPESTDFVDKFLERCPPLLFQANKRGETPLHLEARYGHSNVVKVLIDRAKALPADPESGVTKAKMMLRMTNGERDTALHEAARNSRSHVVEILTKEDPEFSYPANVHGETPLYIAVSSLGQEREKVIDEILTNCISVDYGGPNGRTALHAASEVGDHETARKLLEKEKKLTKTTDENGWSPLHYAAYYLWSTRMVEVLLECDASAAYIAETEKKRTALHIAAIRGLADVMKEIVSRCPACCELVDNRGWNALHYAVASKDRKVFEECLRIPELARLQTEKDDKGNTPFHLIAALSLNWGSFLFNDSCGYSKWQTYGLNKRKLSINDIYLGEFAEIEKEILESLDDVGSGPLGRWTMAFKGGNVGRNKEGEEALSKARESHLVVAALIATVTFAAAFTLPGGYKSDRGTAILAKKAAFIVFVISDAMSMVLSISAVFIHFLISLIKGFELFKDEELDEKVAAKLFVVATLFTMIGMGTMIIAFITGIYAVLEPSLGLAISTCIIGLSFFYIVYLVFRIILKDVED >Potri.011G042400.2.v4.1 pep chromosome:Pop_tri_v4:11:3288287:3294567:-1 gene:Potri.011G042400.v4.1 transcript:Potri.011G042400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042400.v4.1 MDSNPKMRKEFLDSTRSSLFPDEVLERVLSLLKSHKDRSAVSLVCKDWYNAESWSRTHVFIGNCYSVSPEIVARRFPRIKSVTLKGKPRFSDFNLVPENWGADVHPWFVVFAAKYPFLEELRLKRMAVSDESLEFLALNFPNFKVLSLLSCDGFSTDGLAAIATHCKNLTQLDIQENGIDDKSGNWLSCFPENFTSLEVLNFANLNTDVNFDALERLVSRCKSLKVLKANKSISLEQLQRLLVCAPQLTELGTGSFMPELTARQYAELGSSFNQLKNLNTLSGLWEATAPYLPVLYPACTNLTFLNLSYAFLQSIELASLLCQCPRLRRLWVLDTVGDKGLEAVGSNCPLLEELRVFPADPFDEEVIHGVTEAGFLAVSYGCRRLHYVLYFCRQMTNAAVATIVQNCPDFTHFRLCIMNPGQPDYLTNEPMDEAFGAVVRTCTKLQRLSVSGLLTDLTFEYIGQYAKNLETLSVAFAGSSDRGMQCMLEGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNGCRVLAREMPRLNVEVMKEDGSDDSQADKVYVYRSVVGPRRDAPPCVLTLSGL >Potri.011G042400.1.v4.1 pep chromosome:Pop_tri_v4:11:3287822:3294614:-1 gene:Potri.011G042400.v4.1 transcript:Potri.011G042400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042400.v4.1 MDSNPKMRKEFLDSTRSSLFPDEVLERVLSLLKSHKDRSAVSLVCKDWYNAESWSRTHVFIGNCYSVSPEIVARRFPRIKSVTLKGKPRFSDFNLVPENWGADVHPWFVVFAAKYPFLEELRLKRMAVSDESLEFLALNFPNFKVLSLLSCDGFSTDGLAAIATHCKNLTQLDIQENGIDDKSGNWLSCFPENFTSLEVLNFANLNTDVNFDALERLVSRCKSLKVLKANKSISLEQLQRLLVCAPQLTELGTGSFMPELTARQYAELGSSFNQLKNLNTLSGLWEATAPYLPVLYPACTNLTFLNLSYAFLQSIELASLLCQCPRLRRLWVLDTVGDKGLEAVGSNCPLLEELRVFPADPFDEEVIHGVTEAGFLAVSYGCRRLHYVLYFCRQMTNAAVATIVQNCPDFTHFRLCIMNPGQPDYLTNEPMDEAFGAVVRTCTKLQRLSVSGLLTDLTFEYIGQYAKNLETLSVAFAGSSDRGMQCMLEGCPKLRKLEIRDCPFGNAALLSGLEKYESMRSLWMSACNVTMNGCRVLAREMPRLNVEVMKEDGSDDSQADKVYVYRSVVGPRRDAPPCVLTLSGL >Potri.013G156200.4.v4.1 pep chromosome:Pop_tri_v4:13:15121953:15125538:1 gene:Potri.013G156200.v4.1 transcript:Potri.013G156200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156200.v4.1 MGRRQMLGPPVILNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVYGASNVSKLLMEVPESQRVDTANSLVYEANLRLRDPVYGSMGAISALQQQIQSLQAELSAIRAEILNYKYREAAAATNIISSTHPALVSSATVSISTPSQTLAPPPQPPPPSVVVSSSSSSSLYTPPTSTSGYSTISSENNVPYFD >Potri.013G156200.1.v4.1 pep chromosome:Pop_tri_v4:13:15123080:15125538:1 gene:Potri.013G156200.v4.1 transcript:Potri.013G156200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156200.v4.1 MSRDRERSEELGKRIKRESDASSFMGRRQMLGPPVILNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVYGASNVSKLLMEVPESQRVDTANSLVYEANLRLRDPVYGSMGAISALQQQIQSLQAELSAIRAEILNYKYREAAAATNIISSTHPALVSSATVSISTPSQTLAPPPQPPPPSVVVSSSSSSSLYTPPTSTSGYSTISSENNVPYFD >Potri.013G156200.6.v4.1 pep chromosome:Pop_tri_v4:13:15123080:15125538:1 gene:Potri.013G156200.v4.1 transcript:Potri.013G156200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156200.v4.1 MGRRQMLGPPVILNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVYGASNVSKLLMEVPESQRVDTANSLVYEANLRLRDPVYGSMGAISALQQQIQSLQAELSAIRAEILNYKYREAAAATNIISSTHPALVSSATVSISTPSQTLAPPPQPPPPSVVVSSSSSSSLYTPPTSTSGYSTISSENNVPYFD >Potri.013G156200.3.v4.1 pep chromosome:Pop_tri_v4:13:15121953:15125538:1 gene:Potri.013G156200.v4.1 transcript:Potri.013G156200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156200.v4.1 MKRGYNLERSEELGKRIKRESDASSFMGRRQMLGPPVILNTVTPCAACKLLRRRCAEECPFSPYFSPHEPQKFAAVHKVYGASNVSKLLMEVPESQRVDTANSLVYEANLRLRDPVYGSMGAISALQQQIQSLQAELSAIRAEILNYKYREAAAATNIISSTHPALVSSATVSISTPSQTLAPPPQPPPPSVVVSSSSSSSLYTPPTSTSGYSTISSENNVPYFD >Potri.001G151100.2.v4.1 pep chromosome:Pop_tri_v4:1:12650697:12655162:1 gene:Potri.001G151100.v4.1 transcript:Potri.001G151100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151100.v4.1 MEKFTTHTPRFLGIPTGVWPILGGAESSGEGVIIGFIDTGINPLHPSFTGGSSARFTNSSKFKGKCVTGEKFPSTACNGKIVGAQYFARAAIAAGDFNATRDYASPYDADGHGSHTASTAAGNHQIPVIANDFNYGYASGMAPGARIAVYKALYTFGGYMSDVVAAVDQAVEDGVDILSLSIGPSSVPSGPSAFLNVLEMELLFATKAGVFVVQAAGNGGPSPSSILSFSPWITSVAASIIDRKYSNSIILGNGRSFSGTGLAPPTAGEMPYRIVAAADVSHRNTTSVLEVESCQHPEHFILSSVRNKLVICTYTFDFEYEAASIAAVANTIQKIGAAGFIITMDPDIGSEQVKGTTMTMQVPAIILNNIQSSRALWEYYNSNTIRSTSGQAVGFAARARIMDGRRAFFTRQAPIVASYSSRGPDVSNALLQTADVLKPNVMAPGSSIWAAWSPNSEGDPSIKGQNFALVSGTSMATPHIAGVAALIKQKHPRWSPAAITSAMMTTASTFDHSGSPILAQLTNQIAPATPFDFGAGFINPVHAIDPGLVFDSHFEQYVQFLCAVPGVDEGSVRRAVGTSCPTNRRAWCSDLNTASVTISNLVGSRKVIRSVTNVSSRNEVYRVTVRQPSGVNVTVSPRVVVINGNASKHLRIVLTAIKATRTYTFGEMVLHGSRKHVVRVPIAVYVSTSLKS >Potri.001G151100.1.v4.1 pep chromosome:Pop_tri_v4:1:12650806:12655162:1 gene:Potri.001G151100.v4.1 transcript:Potri.001G151100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G151100.v4.1 MKRKGSLHNPLLISRTSVPLTMSSIFSSILFISFVIIVDPLRAEAKVLIVLMDDEPVFSFKSKQAHSRKSNLTPHRIEEASLAYKERLRTSHDVFLESLLLKDTYNKLYSYTHLLNGFAVNVQSKEVLRTLKNATGVRAIHEDVKMEKFTTHTPRFLGIPTGVWPILGGAESSGEGVIIGFIDTGINPLHPSFTGGSSARFTNSSKFKGKCVTGEKFPSTACNGKIVGAQYFARAAIAAGDFNATRDYASPYDADGHGSHTASTAAGNHQIPVIANDFNYGYASGMAPGARIAVYKALYTFGGYMSDVVAAVDQAVEDGVDILSLSIGPSSVPSGPSAFLNVLEMELLFATKAGVFVVQAAGNGGPSPSSILSFSPWITSVAASIIDRKYSNSIILGNGRSFSGTGLAPPTAGEMPYRIVAAADVSHRNTTSVLEVESCQHPEHFILSSVRNKLVICTYTFDFEYEAASIAAVANTIQKIGAAGFIITMDPDIGSEQVKGTTMTMQVPAIILNNIQSSRALWEYYNSNTIRSTSGQAVGFAARARIMDGRRAFFTRQAPIVASYSSRGPDVSNALLQTADVLKPNVMAPGSSIWAAWSPNSEGDPSIKGQNFALVSGTSMATPHIAGVAALIKQKHPRWSPAAITSAMMTTASTFDHSGSPILAQLTNQIAPATPFDFGAGFINPVHAIDPGLVFDSHFEQYVQFLCAVPGVDEGSVRRAVGTSCPTNRRAWCSDLNTASVTISNLVGSRKVIRSVTNVSSRNEVYRVTVRQPSGVNVTVSPRVVVINGNASKHLRIVLTAIKATRTYTFGEMVLHGSRKHVVRVPIAVYVSTSLKS >Potri.016G090800.7.v4.1 pep chromosome:Pop_tri_v4:16:7429753:7438943:1 gene:Potri.016G090800.v4.1 transcript:Potri.016G090800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIN2.1 MDTEFANANHPLHFLHRLLPAVGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLLFNFVAILCQYLSARIGVITRKDLAQICNDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVSLAAAEAILFPFFATLMERCKASFLCTCIAGFILLLYFFGVLISQPGIPLSINGTRTKLSEESVFALMSLLGASIMPHNFFLHSAIVLQHQGPPNISRDALCLNHFFAILCIFSGIYLVNFVLMNSAANVFHSTGLVLLTFPDAMSLMEQVFRSPVAPFGFSLILFFANQITAFSWNLGGQVVLHNFLRLDIPNWLQRATFRIIAVVPALYCVWTSGVEGIYQLLILTQVMVALLLPSSVIPLFHIASSRQVMGVYKISPFLEFVALISFMGMLGIKIIFVVEMVFGDSDWVGTLRWSTVSGSSTSYIVLLITACSSFCLMLWLAATPLKSATRLDAQVCNWDVQNAVSEPSTLIEEEFLTENICTGEELIERQEQLPEPGKSFESYSNITVANADPDLPETIMESDQELHLTTIKEKHSEVAFSSPQTFYEETSPTTESASLSASVNLVPDAELLVAKKAKIESMDPVEKTLDIEGELHTEKEDDEGDNWEPEDSSKGVPGSTLSLTSDGPGSFRSLSGKSDAGGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQITQEAKTKKLDALGVDLKLASSQLKVDTAGKESSGYFSLVGGRASDSLINSSLCDSPKQLRVQSNIDSSYGVQRGPSSLWSNHMQLLDAYVQGPSQSIADSSERRYSGVRTPPSSDGWDNQPATVHGYQIASIANRIAKDRGFSSLNGQMESPAPISPSLGPRNYRDPLTVSMGKNLQNGLSSSQASGFQNLAVTRNSPLQSERPYHDVYSGSADDTGMSANTKKYHSLPDISGLAGPYRDLYMSEKNAQWDKSAGFGSSVGRSAYEQSYYSNTGSGAGGPLSFNGLSKGHGDAFSLHMTPDPGSLWSKQPFEQFGVADKIRAVGSGLGNRSNSINREVTSPVDSEAQLLRSFRHCIVKLLKLEGSDWLFRQNDGADEDLIDCVAARERYLYEAETREMNHVDHMGGSTYLYSDRKSGSALRNDDASITNIMVSSVPHCGEGCVWRSDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRTPMSPCFCLQIPASHQHRSSPPASNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLIGSK >Potri.016G090800.5.v4.1 pep chromosome:Pop_tri_v4:16:7429724:7438996:1 gene:Potri.016G090800.v4.1 transcript:Potri.016G090800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIN2.1 MDTEFANANHPLHFLHRLLPAVGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLLFNFVAILCQYLSARIGVITRKDLAQICNDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVSLAAAEAILFPFFATLMERCKASFLCTCIAGFILLLYFFGVLISQPGIPLSINGTRTKLSEESVFALMSLLGASIMPHNFFLHSAIVLQHQGPPNISRDALCLNHFFAILCIFSGIYLVNFVLMNSAANVFHSTGLVLLTFPDAMSLMEQVFRSPVAPFGFSLILFFANQITAFSWNLGGQVVLHNFLRLDIPNWLQRATFRIIAVVPALYCVWTSGVEGIYQLLILTQVMVALLLPSSVIPLFHIASSRQVMGVYKISPFLEFVALISFMGMLGIKIIFVVEMVFGDSDWVGTLRWSTVSGSSTSYIVLLITACSSFCLMLWLAATPLKSATRLDAQVCNWDVQNAVSEPSTLIEEEFLTENICTGEELIERQEQLPEPGKSFESYSNITVANADPDLPETIMESDQELHLTTIKEKHSEVAFSSPQTFYEETSPTTESASLSASVNLVPDAELLVAKKAKIESMDPVEKTLDIEGELHTEKEDDEGDNWEPEDSSKGVPGSTLSLTSDGPGSFRSLSGKSDAGGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQITQEAKTKKLDALGVDLKLASSQLKVDTAGKESSGYFSLVGGRASDSLINSSLCDSPKQLRVQSNIDSSYGVQRGPSSLWSNHMQLLDAYVQGPSQSIADSSERRYSGVRTPPSSDGWDNQPATVHGYQIASIANRIAKDRGFSSLNGQMESPAPISPSLGPRNYRDPLTVSMGKNLQNGLSSSQASGFQNLAVTRNSPLQSERPYHDVYSGSADDTGMSANTKKYHSLPDISGLAGPYRDLYMSEKNAQWDKSAGFGSSVGRSAYEQSYYSNTGSGAGGPLSFNGLSKGHGDAFSLHMTPDPGSLWSKQPFEQFGVADKIRAVGSGLGNRSNSINREVTSPVDSEAQLLRSFRHCIVKLLKLEGSDWLFRQNDGADEDLIDCVAARERYLYEAETREMNHVDHMGGSTYLYSDRKSGSALRNDDASITNIMVSSVPHCGEGCVWRSDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRTPMSPCFCLQIPASHQHRSSPPASNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLIGSK >Potri.016G090800.4.v4.1 pep chromosome:Pop_tri_v4:16:7429973:7438952:1 gene:Potri.016G090800.v4.1 transcript:Potri.016G090800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIN2.1 MDTEFANANHPLHFLHRLLPAVGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLLFNFVAILCQYLSARIGVITRKDLAQICNDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVSLAAAEAILFPFFATLMERCKASFLCTCIAGFILLLYFFGVLISQPGIPLSINGTRTKLSEESVFALMSLLGASIMPHNFFLHSAIVLQHQGPPNISRDALCLNHFFAILCIFSGIYLVNFVLMNSAANVFHSTGLVLLTFPDAMSLMEQVFRSPVAPFGFSLILFFANQITAFSWNLGGQVVLHNFLRLDIPNWLQRATFRIIAVVPALYCVWTSGVEGIYQLLILTQVMVALLLPSSVIPLFHIASSRQVMGVYKISPFLEFVALISFMGMLGIKIIFVVEMVFGDSDWVGTLRWSTVSGSSTSYIVLLITACSSFCLMLWLAATPLKSATRLDAQVCNWDVQNAVSEPSTLIEEEFLTENICTGEELIERQEQLPEPGKSFESYSNITVANADPDLPETIMESDQELHLTTIKEKHSEVAFSSPQTFYEETSPTTESASLSASVNLVPDAELLVAKKAKIESMDPVEKTLDIEGELHTEKEDDEGDNWEPEDSSKGVPGSTLSLTSDGPGSFRSLSGKSDAGGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQITQEAKTKKLDALGVDLKLASSQLKVDTAGKESSGYFSLVGGRASDSLINSSLCDSPKQLRVQSNIDSSYGVQRGPSSLWSNHMQLLDAYVQGPSQSIADSSERRYSGVRTPPSSDGWDNQPATVHGYQIASIANRIAKDRGFSSLNGQMESPAPISPSLGPRNYRDPLTVSMGKNLQNGLSSSQASGFQNLAVTRNSPLQSERPYHDVYSGSADDTGMSANTKKYHSLPDISGLAGPYRDLYMSEKNAQWDKSAGFGSSVGRSAYEQSYYSNTGSGAGGPLSFNGLSKGHGDAFSLHMTPDPGSLWSKQPFEQFGVADKIRAVGSGLGNRSNSINREVTSPVDSEAQLLRSFRHCIVKLLKLEGSDWLFRQNDGADEDLIDCVAARERYLYEAETREMNHVDHMGGSTYLYSDRKSGSALRNDDASITNIMVSSVPHCGEGCVWRSDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRTPMSPCFCLQIPASHQHRSSPPASNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLIGSK >Potri.016G090800.8.v4.1 pep chromosome:Pop_tri_v4:16:7429973:7438943:1 gene:Potri.016G090800.v4.1 transcript:Potri.016G090800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIN2.1 MDTEFANANHPLHFLHRLLPAVGPGLLIAIGYVDPGKWAATVEGGARFGFDLVLPMLLFNFVAILCQYLSARIGVITRKDLAQICNDEYDKWTCMFLGVQAALSVIALDLTMILGIAHGLNLLFGMDLSTCVSLAAAEAILFPFFATLMERCKASFLCTCIAGFILLLYFFGVLISQPGIPLSINGTRTKLSEESVFALMSLLGASIMPHNFFLHSAIVLQHQGPPNISRDALCLNHFFAILCIFSGIYLVNFVLMNSAANVFHSTGLVLLTFPDAMSLMEQVFRSPVAPFGFSLILFFANQITAFSWNLGGQVVLHNFLRLDIPNWLQRATFRIIAVVPALYCVWTSGVEGIYQLLILTQVMVALLLPSSVIPLFHIASSRQVMGVYKISPFLEFVALISFMGMLGIKIIFVVEMVFGDSDWVGTLRWSTVSGSSTSYIVLLITACSSFCLMLWLAATPLKSATRLDAQVCNWDVQNAVSEPSTLIEEEFLTENICTGEELIERQEQLPEPGKSFESYSNITVANADPDLPETIMESDQELHLTTIKEKHSEVAFSSPQTFYEETSPTTESASLSASVNLVPDAELLVAKKAKIESMDPVEKTLDIEGELHTEKEDDEGDNWEPEDSSKGVPGSTLSLTSDGPGSFRSLSGKSDAGGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQITQEAKTKKLDALGVDLKLASSQLKVDTAGKESSGYFSLVGGRASDSLINSSLCDSPKQLRVQSNIDSSYGVQRGPSSLWSNHMQLLDAYVQGPSQSIADSSERRYSGVRTPPSSDGWDNQPATVHGYQIASIANRIAKDRGFSSLNGQMESPAPISPSLGPRNYRDPLTVSMGKNLQNGLSSSQASGFQNLAVTRNSPLQSERPYHDVYSGSADDTGMSANTKKYHSLPDISGLAGPYRDLYMSEKNAQWDKSAGFGSSVGRSAYEQSYYSNTGSGAGGPLSFNGLSKGHGDAFSLHMTPDPGSLWSKQPFEQFGVADKIRAVGSGLGNRSNSINREVTSPVDSEAQLLRSFRHCIVKLLKLEGSDWLFRQNDGADEDLIDCVAARERYLYEAETREMNHVDHMGGSTYLYSDRKSGSALRNDDASITNIMVSSVPHCGEGCVWRSDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRTPMSPCFCLQIPASHQHRSSPPASNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLIGSK >Potri.016G090800.9.v4.1 pep chromosome:Pop_tri_v4:16:7435032:7439010:1 gene:Potri.016G090800.v4.1 transcript:Potri.016G090800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIN2.1 MVALLLPSSVIPLFHIASSRQVMGVYKISPFLEFVALISFMGMLGIKIIFVVEMVFGDSDWVGTLRWSTVSGSSTSYIVLLITACSSFCLMLWLAATPLKSATRLDAQVCNWDVQNAVSEPSTLIEEEFLTENICTGEELIERQEQLPEPGKSFESYSNITVANADPDLPETIMESDQELHLTTIKEKHSEVAFSSPQTFYEETSPTTESASLSASVNLVPDAELLVAKKAKIESMDPVEKTLDIEGELHTEKEDDEGDNWEPEDSSKGVPGSTLSLTSDGPGSFRSLSGKSDAGGNGAGSLSRLAGLGRAARRQLAAVLDEFWGQLYDFHGQITQEAKTKKLDALGVDLKLASSQLKVDTAGKESSGYFSLVGGRASDSLINSSLCDSPKQLRVQSNIDSSYGVQRGPSSLWSNHMQLLDAYVQGPSQSIADSSERRYSGVRTPPSSDGWDNQPATVHGYQIASIANRIAKDRGFSSLNGQMESPAPISPSLGPRNYRDPLTVSMGKNLQNGLSSSQASGFQNLAVTRNSPLQSERPYHDVYSGSADDTGMSANTKKYHSLPDISGLAGPYRDLYMSEKNAQWDKSAGFGSSVGRSAYEQSYYSNTGSGAGGPLSFNGLSKGHGDAFSLHMTPDPGSLWSKQPFEQFGVADKIRAVGSGLGNRSNSINREVTSPVDSEAQLLRSFRHCIVKLLKLEGSDWLFRQNDGADEDLIDCVAARERYLYEAETREMNHVDHMGGSTYLYSDRKSGSALRNDDASITNIMVSSVPHCGEGCVWRSDLIISFGVWCIHRILDLSLMESRPELWGKYTYVLNRLQGIIELAFSKPRTPMSPCFCLQIPASHQHRSSPPASNGMLPPASKPGRGKCTTAATLLDLIKDVEIAISCRKGRSGTAAGDVAFPKGKENLASVLKRYKRRLSNKLIGSK >Potri.001G377700.2.v4.1 pep chromosome:Pop_tri_v4:1:39719534:39721185:-1 gene:Potri.001G377700.v4.1 transcript:Potri.001G377700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377700.v4.1 MVLIPRGVYILDSVLFLGECNGYMAFNLKGILKPKGILQTSDQWITFRYIKGFFLGGGGTLDGEGYKHWNRHDCLKNHNCHPLAISLRLEFIQNGMVSHIRSINSQNAHMSLFGCVNLNMSNLRLSAPGDSPNTDGIKIGSSEEIKISKTRIGTGDDCVAILSGSKNINISQVHCGPGHGISVGSMGGEGSVTESVVGVTVKDCTFNGTSDGTRIKTWASSTAGVASNFIYENIRMMNVGNPIIIDQDYCPYPPCGMKTPSRIQIKDITFNNIWGTSESNVAVTLNCSRTVPCKNILLKDISFFHGRGGSVRSLCSNARGTSYGRQTPPSCF >Potri.001G377700.1.v4.1 pep chromosome:Pop_tri_v4:1:39719534:39721625:-1 gene:Potri.001G377700.v4.1 transcript:Potri.001G377700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377700.v4.1 MGLILAFVRVLFLTFLLVWYTDAGASNGYKVFNVKRYGAVSDGKTENNKAFLKAWSEACQWHGKAMVLIPRGVYILDSVLFLGECNGYMAFNLKGILKPKGILQTSDQWITFRYIKGFFLGGGGTLDGEGYKHWNRHDCLKNHNCHPLAISLRLEFIQNGMVSHIRSINSQNAHMSLFGCVNLNMSNLRLSAPGDSPNTDGIKIGSSEEIKISKTRIGTGDDCVAILSGSKNINISQVHCGPGHGISVGSMGGEGSVTESVVGVTVKDCTFNGTSDGTRIKTWASSTAGVASNFIYENIRMMNVGNPIIIDQDYCPYPPCGMKTPSRIQIKDITFNNIWGTSESNVAVTLNCSRTVPCKNILLKDISFFHGRGGSVRSLCSNARGTSYGRQTPPSCF >Potri.012G110800.1.v4.1 pep chromosome:Pop_tri_v4:12:13089940:13092364:1 gene:Potri.012G110800.v4.1 transcript:Potri.012G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110800.v4.1 MLISSSSNHITPQNYHSIIIKLIKQCKTISQLHQLHAYTITNTPLSFHSSPSLLTKFLYTLTTKAKSKSSTSLLHYAKSIFNSIQNPSTFCYNTIIRVHTLLSFPIPALHFFTQMRRLSVPLDSHSFPFTLKACAQLGGVFSARCLHCQVLKFGFLSDLYVMNSLIHGYMVSDMSNDAYKVFDESPQRDVVSYNVLIDGFVKAGDVVKARELFDLMPVRDSVSWNTIIAGCAKGDYCEEAIELFDFMMDLEIRPDNVALVSTLSACAQLGELEKGKKIHDYIERNAMKVDTFLSTGLVDFYAKCGCVDIALKIFDSSSDKNLFTWNAMLVGLAMHGYGELLLEYFSRMIEAGVKPDGISILGVLVGCSHSGLVDEARKLFDEMESVYGVPREPKHYGCMADLLGRAGLIKKVMEMIKDMPSGGDMSVWSGLLGGCRIHGDVEIAEKAAKHLMELKPDDGGVYSILANVYANAERWEDVMNIRRSLSSNRVVTKIAGFSLIQLDGVAHEFIAGDSLHSESDKIYLVLNGIREHQSELS >Potri.010G074900.1.v4.1 pep chromosome:Pop_tri_v4:10:10282488:10286273:-1 gene:Potri.010G074900.v4.1 transcript:Potri.010G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074900.v4.1 MEPPILKLTMVRGPREGETLEFRPGSTVRIGRVVRGNNVPIKDAGISSKHLAIVSEPGKWSLQDLDSSNGTTLNTTTLSPYKSFDLRDGDTIKLGELTSILVQFNVHEETSQLRRNPGRRAKESSKVGSVAANRSRRGNEEERENAENLEEENVEKLEVKSEVIVPESRGRGRPRRAKALEKELDSVVPAEAKRVNLRSTRSRKNEDCVVLENLGVDCGELGKKVRGGRGRKKNLQVVPAENVQCDVVDVKENVDLAMNAQEEVKEVANEKKVETVEDVHKEITEKETSFGEKCNDTAKGNDAKDGDVSEAQVSANENLEKGKELPDLEKMTLEEWFNSMEVDLPKQILEVTEEMVEGMRRKAERVREYMIEQKKKQGVGTVG >Potri.009G055200.1.v4.1 pep chromosome:Pop_tri_v4:9:5903897:5906290:1 gene:Potri.009G055200.v4.1 transcript:Potri.009G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055200.v4.1 MGYLSCKADSAIGIISTTSASQTSSSSSKNKQEKPIKIQEFNYSDIEAATNGFSDQKLLGKGSHGCVYKAVLRGRHVAVKKPSKGFEIGQEVDNEIEILSKIHSPRLVNLLGFANDTKDRLLVVEFMSNGTLYDILHSNSRPPNWGRRIRMALQIANAIDTLHSQNPPIIHRDIKSANVLIDRNFNARLGDFGLALRCGVDDDYRLKSTPPAGTIGYLDPCYVTPDNLSTKTDVFSFGILFLEIISGRKAIDVGHSPPSIVDWAIPLIKKGKLAAIYDPRTFPLKDPMIRKQLALIASKCVRSCRERRPAMKEVVDWLTTLSKLVPLHSWNGLNNPCMMVETMGRPVELRNTRFGSRPQGDGEENLNEIDGKMGRKSMMDTRRVYSDLGFRSNLMELMAGTDAETDGVESSLKSANRFSSSRFVSPRYGMEGRAQSHAHGKRKGLFQARKNQSVGGGSELFPTRDNAVARSSFSGQGCYGI >Potri.008G150700.1.v4.1 pep chromosome:Pop_tri_v4:8:10307370:10309517:1 gene:Potri.008G150700.v4.1 transcript:Potri.008G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150700.v4.1 MPAVVIANNGDVPEFEGRITFNVIVCVVIAACGGLMFGYDIGVSGGVTAMDDFLKKFFYQVWERKQQAHENNYCKYDNKKLQLFTSSLYIAALIASFLASKTCSKFGRKPTMQLASLFFIGGVALTTFAVNIEMLIIGRLLLGCGVGFANQAVPLFLSELAPAKIRGALNISFQLFITIGILIANIVNYVVGKIHPYGFRISLGIAGVPALLLCFGSLAIYETPTSLIERKKVEQGRAVLKKIRGVDNVDLEYDSIVHACEVASQITQPYHELMKRESRPPLVIAIVMQVFQQFTGINAIMFYAPVLFQTVGFGSDAALLSSVVTGLVNVLSTIVSVVLVDKVGRRALLLEACVQMLITQCIIGGVLMKDLKTTGTLPNGDALVVVIMVCVFVAGFAWSWGPLGWLIPSETFPLETRTAGFSFAVSSNMLFTFVIAQAFLSMLCNLRAGIFFFFAAWIVVMGLFALFLLPETKGVPIDEMVDRVWKQHWFWKRFFNDEQVVEKGAIEMIHPHLT >Potri.009G107050.1.v4.1 pep chromosome:Pop_tri_v4:9:9322710:9323508:1 gene:Potri.009G107050.v4.1 transcript:Potri.009G107050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G107050.v4.1 MVIQRLEMCIALVKLAMEFIMAVVEAIGIVIQQNGTAAPLANHHYIAPVPFVGFLP >Potri.018G016500.1.v4.1 pep chromosome:Pop_tri_v4:18:1127769:1128682:1 gene:Potri.018G016500.v4.1 transcript:Potri.018G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016500.v4.1 MKIEGYNHMNTMATSSPPTSPTTFVQADTNSFRDLVQKLTGLASDTQQLPATRILSSSKSFHSPVDFTGPRRSPFKLQERRHTSRKLEIKLGLTSLSNSSSPARQTRQVESPVTPLSSEFLFFPSPGTTESPSPPAVLEEEKAIAEKGFYLHPSPLNTPRGSEPPELLPLFPLSSPSQSNQD >Potri.001G187500.1.v4.1 pep chromosome:Pop_tri_v4:1:16764682:16765811:1 gene:Potri.001G187500.v4.1 transcript:Potri.001G187500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TINYL1 MTKEESSNRSCSSICTTSSSSSSSFATTITNKLKKQNPVDQKSRKARDCSKHAVYRGVRRRAWGKWVSEIRQPRKKSRIWLGTFPTPEMAARAHDVAALSIKGDSAILNFPELAASLPRPVSLMPRDIQAAAAKAAAMVDFNSSSPPSSLSSSSVSVSEDVAESEEEYLSEIVELPNIEGSFDSPDQSQTEFMLFDSVDRWVYPPLDLSGEFCDQLLGLESLIPSNFGGSELN >Potri.008G011900.1.v4.1 pep chromosome:Pop_tri_v4:8:601165:605931:-1 gene:Potri.008G011900.v4.1 transcript:Potri.008G011900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011900.v4.1 MKRSSSCSSSSSSSSSPYCVASESIQKPKVKRIRKNQKSNQGKSHKNAAAAANSPNSGKRSSIYRGVTRHRWTGRFEAHLWDKSSWNSIQNKKGKQVYLGAYDNEEAAAHTYDLAALKYWGAETTLNFPIETYTTEIEEMQRVTREEYLASLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVQGNKYLYLGTYNTQEEAAAAYDMAAIEYRGANAVTNFDAGNYIERMREKGIPIDQILQEQQQQQQLGNNSIDPGIEVEAGVEQPSPQQQEEQEQKVAPSLQVQCTQLDSSLDGASPMVITDTIEEHEQAWSFCMDSGWNLTMLDLPFENSCELPDLFNHTGFEDNIDLMFDACCYGN >Potri.019G028800.1.v4.1 pep chromosome:Pop_tri_v4:19:4060481:4062162:1 gene:Potri.019G028800.v4.1 transcript:Potri.019G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G028800.v4.1 MEEIRRAAGAYYENLPDEEKRNARFSFNEMDKNKDGKINLDEYVEYLKKDNNTVLPSLFTALDKDGNGTLDFDEAIVLYYTMQSGRAIICQSCKTFLAGAYFTCSQCFFNDDDSVSTFDVCCDCYGGKKFRHNDGHIFCDNYTLLRQSRSPIQAAPIKKRTKVLNILKRGMQVAGITSSDLQPSNIGGIITGDDEVRGNGCSIM >Potri.007G033100.1.v4.1 pep chromosome:Pop_tri_v4:7:2592895:2599234:1 gene:Potri.007G033100.v4.1 transcript:Potri.007G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033100.v4.1 MYGLRVTTRHKRSKSLPDKKRVEEDGLDSSFEASGRIKLNMGQLKNSAKSKKKQSPKTEVQNSLKQEILQLEKRLQDQFQVRRALESAMGYKTSSHDSTTELSMPKPATELIKEIAVLELEVVHLEQYLLSLYRKAFDQQTYLVSPSKQDRSLKTPVTTPRRRLFDVSRPETSKKETSATQTACQSRDNKWKETNVIGGEEKLLDSGVHRCHSSLSQRSAFSNRTSPPEEFLGRAVRACHSQPLSMMEYAQSASNIISLAEHLGTSIYDHVPETPNKLSEDMIKCMSAIYCKLSDPPLTHNGLSSPNSSLSSMSAFSPREQCDMWSPGFRNNPSFDVRLDNPFLVEGLKEFSGPYSTMIEVPWIYRDSQKLGDVENLLQNFRSLICRLEEVDPRKLKHEERLAFWINIHNALVMHAFLAYGIPQNNVKRLFLLLRAAYNVGGHTFSADTIQSSILGCRMSRPGQWIRFLLSSKFKFKTVEERQAYAINHPEPLLHFALCSGSHSDPAVRVYTPKRVIHELEAAKEEYIRATFGVRKGQKVLLPKIMESYAKDSGLCPAGLLEMIQQTLPESVRKCLKKCQLGKPRKTIEWIPHNFTFRYLISKELVK >Potri.017G135100.1.v4.1 pep chromosome:Pop_tri_v4:17:13690506:13692016:1 gene:Potri.017G135100.v4.1 transcript:Potri.017G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135100.v4.1 MDSPNQPKSLSTNPFYLFLLLSTNLLTLFISSTFYSSSSCSLNPISSTTTFTKRRSPSTTDSDGTGNPDTPQATIDLPSEFLAFSSGQVLPLGFNTNFDSDTFYPPVGQACTRFPDELSRFMSYKVNGSCPDDELFAQKLLLKGCEPLPRRRCRPAAQPDYVEPYPLPTSLWTTPPNSSVVWTAYTCKDYTCLINRGKTQKGFDDCKDCFDLHGREQNRWASRQTIEGSLDFTIDEVLATKKPGTIRIGLDIGGGVATFAVRMRERNITIITTSMNLNGPFNNFIASRGVMPLYISISQRLPFFDNTLDIVHSMHVLSNWIPSTLLHFLMFDIYRVLRPGGLFWLDHFFCVEDQFLDVYKPLIESIGFIKLKWVVGKKLDRGAELREMYLSALLEKPLKNSW >Potri.003G163500.4.v4.1 pep chromosome:Pop_tri_v4:3:17291412:17293055:-1 gene:Potri.003G163500.v4.1 transcript:Potri.003G163500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163500.v4.1 MKYVEDDEGIKKYFAAFHLHDTFPLSVVVDDFGDFFYERKCQERYGNPRGRDLALVRILALCHNAVMSSNDKGHCKLLISDTHHGDSPRLLFLYKRWVPSIFTIKGDGNGSFLLKSYSNVGSDSTEKMKIAKYSLVYQRLLLEGIIEDEEIDNHAVVSASVEQLIAAK >Potri.003G163500.1.v4.1 pep chromosome:Pop_tri_v4:3:17291451:17293079:-1 gene:Potri.003G163500.v4.1 transcript:Potri.003G163500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163500.v4.1 MVEAFFSTTQMDFDFAHHHSLSQSQSHPFMLLSGPPSCGKTSLLFQFAYNVALEAEDDRKVVFICHRSRIESAPPFLSQGIQPSSDIFKRIQMKYVEDDEGIKKYFAAFHLHDTFPLSVVVDDFGDFFYERKCQERYGNPRGRDLALVRILALCHNAVMSSNDKGHCKLLISDTHHGDSPRLLFLYKRWVPSIFTIKGDGNGSFLLKSYSNVGSDSTEKMKIAKYSLVYQRLLLEGIIEDEEIDNHAVVSASVEQLIAAK >Potri.013G065500.3.v4.1 pep chromosome:Pop_tri_v4:13:4846975:4849986:1 gene:Potri.013G065500.v4.1 transcript:Potri.013G065500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065500.v4.1 MRNPKGKLEICWSAEIIASNLDLLTEILLWAPAKSLLKFKCVSKRWHSLISDPKFCISHARCQRMLNRTADALMLSNGYKSTPEFHLVPLKHSTKVPFFDYFNVSEVTIIHSCNGLLLCCCKLMLDKPKFVKTTQMKNDGRYEFRYFVCNPTTKEFKILSPPKPAYLSLSLAFDPLISPYYKVVCICKGNSSKQNFQIDVYSSETESWSLLPILFEMPLGMTYSNGVFLDGSVHWYSYAETSLYFHIDSQSVKKMPMPFMFSWEGMDWDIMYFGESRGHMHLVLTKNRSSLEFDVFEMEFEYTGWSLSYHVNLQSMRMMFPELTWNFMNKHAVFSVLSIIQGEKDEEKKVVIFVDGRAMSYNLCDGTSIKICDLKPRPGRSDIARVPWRYEGCQAHPYFQSLVSV >Potri.013G065500.2.v4.1 pep chromosome:Pop_tri_v4:13:4846674:4850286:1 gene:Potri.013G065500.v4.1 transcript:Potri.013G065500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065500.v4.1 MRNPKGKLEICWSAEIIASNLDLLTEILLWAPAKSLLKFKCVSKRWHSLISDPKFCISHARCQRMLNRTADALMLSNGYKSTPEFHLVPLKHSTKVPFFDYFNVSEVTIIHSCNGLLLCCCKLMLDKPKFVKTTQMKNDGRYEFRYFVCNPTTKEFKILSPPKPAYLSLSLAFDPLISPYYKVVCICKGNSSKQNFQIDVYSSETESWSLLPILFEMPLGMTYSNGVFLDGSVHWYSYAETSLYFHIDSQSVKKMPMPFMFSWEGMDWDIMYFGESRGHMHLVLTKNRSSLEFDVFEMEFEYTGWSLSYHVNLQSMRMMFPELTWNFMNKHAVFSVLSIIQGEKDEEKKVVIFVDGRAMSYNLCDGTSIKICDLKPRPGRSDIARVPWRYEGCQAHPYFQSLVSV >Potri.010G107000.3.v4.1 pep chromosome:Pop_tri_v4:10:12820600:12824896:-1 gene:Potri.010G107000.v4.1 transcript:Potri.010G107000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107000.v4.1 METLATVFKLVLLLIVNLVFNGIGADSNLSCIKREREALLKFKQGLTDDSGQLLSWVGEDCCTWKGVSCSHRTGHVVQLELRNRQVSFANKTTLRGEINHSLLNLTRLDYLDLSLNNFQGAEIPAFLGSLKNLKYLNLSHASFNGQVSHHLGNLSNLQYLDLSWNYGLKVDTLQWASTLPSLKHLDLSGLKLTKAIDWLESVNMLPSLVELHLSSCSLPHIPLVLQTNFTSLTVLDLNTNYFNSSIPQWLFNFSRIQTLNLRENGFRGSMSSDIGNLNLLAVLDLSHNELEGEMPRTLRNLCNLRELDLSNNKFSGEISQPFGSPTSCLQNSLQSLVLETNNLRGSLPDSLGSYKHLVNLNLYSNAFSGPIPASIGRLSSLKLLDLSHNYLNGSVPESVGQLFNLEILNIHNNSLSGIVSERHFSKLTSLTTLYLYTTSLVLDLRPTWVPPFQIRKLSLFSCKVGPQFPQWLQTQKNLSILEMSNTSISDSIPDWFESISTNIVLLDLSRNQIGKNLPQLRKSFDASSRFIYLYSNKFEGPLTPFPSDVIELDVSNNFLRGQIPQDIGNMMMPRLTLLHLSTNSLNGNIPVSLCKMGGLRFLDLSENQFSGGIPNCWSKLQHLRVMDLSSNILDDHIPSSLGSLQQLRSLHLRNNSLQGKVPASLEKLKHLHILDLSENVLNGTIPPWIGEGLSSLSVLDVHSNRFQGEIPQELCHLTSLRILSLAHNEMTGTIPSCFHNFTGMIANEFSVEEQWPYGPTIFDDIFGFQSVVYVENLWVYMKGMQLKYTKTLPFLFSIDLSRNRFVGEIPNQLMNLLELRNLNLSRNNFKGQIPWKIGDLRQLQSLDLSRNEISGLIPTSLSQLNFLSALNLSFNKLSGRIPSGNQLQTLDDKSIYAGNSGLCGFPLDDCQEVALPPDEGRPEDEFEILWFYGGMGVGFMTGFVGVSSTLYFKDSWRDAFFRLVDKIYNKFRVMIVVSKNHLPRKIYGDRFGGHA >Potri.010G107000.2.v4.1 pep chromosome:Pop_tri_v4:10:12820598:12824896:-1 gene:Potri.010G107000.v4.1 transcript:Potri.010G107000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107000.v4.1 METLATVFKLVLLLIVNLVFNGIGADSNLSCIKREREALLKFKQGLTDDSGQLLSWVGEDCCTWKGVSCSHRTGHVVQLELRNRQVSFANKTTLRGEINHSLLNLTRLDYLDLSLNNFQGAEIPAFLGSLKNLKYLNLSHASFNGQVSHHLGNLSNLQYLDLSWNYGLKVDTLQWASTLPSLKHLDLSGLKLTKAIDWLESVNMLPSLVELHLSSCSLPHIPLVLQTNFTSLTVLDLNTNYFNSSIPQWLFNFSRIQTLNLRENGFRGSMSSDIGNLNLLAVLDLSHNELEGEMPRTLRNLCNLRELDLSNNKFSGEISQPFGSPTSCLQNSLQSLVLETNNLRGSLPDSLGSYKHLVNLNLYSNAFSGPIPASIGRLSSLKLLDLSHNYLNGSVPESVGQLFNLEILNIHNNSLSGIVSERHFSKLTSLTTLYLYTTSLVLDLRPTWVPPFQIRKLSLFSCKVGPQFPQWLQTQKNLSILEMSNTSISDSIPDWFESISTNIVLLDLSRNQIGKNLPQLRKSFDASSRFIYLYSNKFEGPLTPFPSDVIELDVSNNFLRGQIPQDIGNMMMPRLTLLHLSTNSLNGNIPVSLCKMGGLRFLDLSENQFSGGIPNCWSKLQHLRVMDLSSNILDDHIPSSLGSLQQLRSLHLRNNSLQGKVPASLEKLKHLHILDLSENVLNGTIPPWIGEGLSSLSVLDVHSNRFQGEIPQELCHLTSLRILSLAHNEMTGTIPSCFHNFTGMIANEFSVEEQWPYGPTIFDDIFGFQSVVYVENLWVYMKGMQLKYTKTLPFLFSIDLSRNRFVGEIPNQLMNLLELRNLNLSRNNFKGQIPWKIGDLRQLQSLDLSRNEISGLIPTSLSQLNFLSALNLSFNKLSGRIPSGNQLQTLDDKSIYAGNSGLCGFPLDDCQEVALPPDEGRPEDEFEILWFYGGMGVGFMTGFVGVSSTLYFKDSWRDAFFRLVDKIYNKFRVMIVVSKNHLPRKIYGDRFGGHA >Potri.010G107000.4.v4.1 pep chromosome:Pop_tri_v4:10:12821038:12824896:-1 gene:Potri.010G107000.v4.1 transcript:Potri.010G107000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107000.v4.1 METLATVFKLVLLLIVNLVFNGIGADSNLSCIKREREALLKFKQGLTDDSGQLLSWVGEDCCTWKGVSCSHRTGHVVQLELRNRQVSFANKTTLRGEINHSLLNLTRLDYLDLSLNNFQGAEIPAFLGSLKNLKYLNLSHASFNGQVSHHLGNLSNLQYLDLSWNYGLKVDTLQWASTLPSLKHLDLSGLKLTKAIDWLESVNMLPSLVELHLSSCSLPHIPLVLQTNFTSLTVLDLNTNYFNSSIPQWLFNFSRIQTLNLRENGFRGSMSSDIGNLNLLAVLDLSHNELEGEMPRTLRNLCNLRELDLSNNKFSGEISQPFGSPTSCLQNSLQSLVLETNNLRGSLPDSLGSYKHLVNLNLYSNAFSGPIPASIGRLSSLKLLDLSHNYLNGSVPESVGQLFNLEILNIHNNSLSGIVSERHFSKLTSLTTLYLYTTSLVLDLRPTWVPPFQIRKLSLFSCKVGPQFPQWLQTQKNLSILEMSNTSISDSIPDWFESISTNIVLLDLSRNQIGKNLPQLRKSFDASSRFIYLYSNKFEGPLTPFPSDVIELDVSNNFLRGQIPQDIGNMMMPRLTLLHLSTNSLNGNIPVSLCKMGGLRFLDLSENQFSGGIPNCWSKLQHLRVMDLSSNILDDHIPSSLGSLQQLRSLHLRNNSLQGKVPASLEKLKHLHILDLSENVLNGTIPPWIGEGLSSLSVLDVHSNRFQGEIPQELCHLTSLRILSLAHNEMTGTIPSCFHNFTGMIANEFSVEEQWPYGPTIFDDIFGFQSVVYVENLWVYMKGMQLKYTKTLPFLFSIDLSRNRFVGEIPNQLMNLLELRNLNLSRNNFKGQIPWKIGDLRQLQSLDLSRNEISGLIPTSLSQLNFLSALNLSFNKLSGRIPSGNQLQTLDDKSIYAGNSGLCGFPLDDCQEVALPPDEGRPEDEFEILWFYGGMGVGFMTGFVGVSSTLYFKDSWRDAFFRLVDKIYNKFRVMIVVSKNHLPRKIYGDRFGGHA >Potri.005G002700.1.v4.1 pep chromosome:Pop_tri_v4:5:249057:254795:1 gene:Potri.005G002700.v4.1 transcript:Potri.005G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002700.v4.1 MDRRWYSDKQETSTHNKQQLVREQDSLIEDFTEDFRLPKDHRPTENVDLDNVEQASLDTKLTASNIGFRLLQKMGWKGKGLGKDEQGIIEPIKSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHTKKREVLAEREQKIQTEVKEIRKVFFCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKEMREMHGTSSRDDRRKRELQRQEREMAKFAQMADAHKQEQQQEESASAQVSTSLRSGTALVDQDQRRALKFGFSSKGGLSKNSSAKAAKKPKSAVASVFSNDSDEEQ >Potri.005G002700.2.v4.1 pep chromosome:Pop_tri_v4:5:249188:253260:1 gene:Potri.005G002700.v4.1 transcript:Potri.005G002700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002700.v4.1 MDRRWYSDKQETSTHNKQQLVREQDSLIEDFTEDFRLPKDHRPTENVDLDNVEQASLDTKLTASNIGFRLLQKMGWKGKGLGKDEQGIIEPIKSGIRDPKLGIGKQEEDDFFTAEENIQRRKLDIEVEETEEHTKKREVLAEREQKIQTEVKEIRKVFFCDLCNKQYKLAMEFEAHLSSYDHNHRKRFKEMREMHGTSSRDDRRKRELQRQEREMAKFAQMADAHKQEQQQEESASAQVSTSLRSGTALVDQDQRRALKFGFSSKGGLSKWPFCIHCTELLGQSRKEAKISCSLCFQQ >Potri.006G147400.1.v4.1 pep chromosome:Pop_tri_v4:6:12619739:12622840:1 gene:Potri.006G147400.v4.1 transcript:Potri.006G147400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147400.v4.1 MGNPKQKWTSEEEEALRAGVAKHGTGKWKNIQRDPEFNPYLYSRSNIDLKDKWRNMTVSAGSQSVKDKSRTTKVKSIPDAAAAATPLPNPQTSAASAAAAAAADVAIDDYSEAAADSKTAPKYNAMIFEAISAFNEPNGADTSAIISYIEQRQELPQNFRRQLSSRLRRLVAQEKLEKVQNCYKIKKVSSFGTKTPTPKKEVRPKSVHDTGDTVEGAANDAAYSVAEAENKSFVATEAVKESERVSKMSEDADSLLQLANEILEKCLRGEIVIMG >Potri.015G064502.1.v4.1 pep chromosome:Pop_tri_v4:15:9011480:9012208:1 gene:Potri.015G064502.v4.1 transcript:Potri.015G064502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064502.v4.1 MEGPEIMDKAAWTKEMLHVFCDLCIKAIDMGMRPNTHFDKAGWSNDLGTISANDERWKAKLQEIKGAKKFKQAGIESSLCMKFDRMFSNIVATREYVWAPSSRVLCDNNVGVDGNQNANVKQLDLKEGSGDSKDDGIPNFTDDVCNMVRGVNMSSNNNTCSGG >Potri.009G121700.1.v4.1 pep chromosome:Pop_tri_v4:9:10189961:10190536:-1 gene:Potri.009G121700.v4.1 transcript:Potri.009G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121700.v4.1 MAKRSITMKPLFQEQDLVFYEEGNDFSNWEFINESDAEDSDSDSLHSLENGFVSWSSSRSPKTRQEVVAQDTQQDQDVVFHVNSHLHEDYDDENRCVYRMGPILFPAARVRYGEEVEDVDDEEEDDDDEEDDGYGLNDELVPWNVSGKLGRQRMRKLGKRIFPKMSSSKRSPFLHVKPGCVHGKHGLGLKA >Potri.002G025032.1.v4.1 pep chromosome:Pop_tri_v4:2:1626532:1629007:1 gene:Potri.002G025032.v4.1 transcript:Potri.002G025032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025032.v4.1 MLTTVPNLTECFTQFVNARLQNFASSEQDVLESSNSSSREISPEKACSSYLLTCGRAWGISLTIRGTISEEILKPYFPSDSDTKDENLLYRSSLHGKGLNRFWSNIEGYLGPLLLLISATGDAQEDSTNIRKWIICALTHQGFENRDMFYGNSGTLYAICPVFHAFSPSGKERNFVYSHLHPTGRVYEPHPKPVGIVFGGTNGNERVYMDEDFAKATVRHHAIDKTYHHGPLFPNQGFLPVEALILEVEVWGLGGRKAREIQLSYKKREDLFTEQRRKVDLKTFASWEDSPEKMMMDIIADPNRVQREDR >Potri.002G223100.1.v4.1 pep chromosome:Pop_tri_v4:2:20747769:20749165:-1 gene:Potri.002G223100.v4.1 transcript:Potri.002G223100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223100.v4.1 MATVEVVSAQNALVEEKNEQPIKVETTTEEAVTAAPEAVTHEEPKEAEKVAASEEPVAPEPEAPAEAETKEVLEENKIAAEEPTVLEKTEEETPKETPEPVAEETKEEPEVPVEPVVEEAKETTEPGEAPAEETEVAVEAPKEEEVKEEQKPVETEEKVETETPVEKTE >Potri.010G227800.1.v4.1 pep chromosome:Pop_tri_v4:10:21101840:21105492:-1 gene:Potri.010G227800.v4.1 transcript:Potri.010G227800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227800.v4.1 MEVNPVRSHSNEKQPLEHSTSTNGEHEHTEKEKSASVFINHAAIAWHESRRKWTGDQSQQPQRMIKDPIISWSTTYEDLLSTHEPFPEPIPLPEMVDFLVDIWHDEGLFD >Potri.016G082500.1.v4.1 pep chromosome:Pop_tri_v4:16:6363255:6367855:1 gene:Potri.016G082500.v4.1 transcript:Potri.016G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082500.v4.1 MEEITIIMAALSTLNSPQLTDITSSIISQTVHRRRRLSSLLCSPSLFSLTLHHLHSLSLIQKTLLISKHLLSSLHCLTRHFHPTTLIPPHPNTTIKHRDLDAALLLIFLCDIHQDNPEILKTPIAEWRSAPGREGLRKHYSETVLRQTSIVVHYGGVLLPYVEMIIRCWRFVGMMAGCTVREGRELAAAPATVVALPAVEVRGGGEECVICREEMREGRDVCELPCEHLFHWMCILPWLKKTNTCPCCRFQLPTEDVFGEIERLWSVMIKIGNGALSGEYCT >Potri.007G121500.1.v4.1 pep chromosome:Pop_tri_v4:7:13912891:13914891:1 gene:Potri.007G121500.v4.1 transcript:Potri.007G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121500.v4.1 MLGSGDLTSNLSRQSEPSPSESTTPRVLTILSHVIEKLVARNDKLVDDMDKKLDGVSSGLARVGKSLNVFHGVRAPNISIVKYLERLYKYTSCSPSCFVVGYVYIDRLTHKHPDSLVISLNVHRLLVTSVMVASKMLDDVHYNNAFYARVGGVSNAELNRLEMEFLFLLDFGVVVSSRVFESYCSHLEKEMMINGASQRIERAIVSNAVDDVTELSVEDTQSSSPPQGMD >Potri.018G111751.1.v4.1 pep chromosome:Pop_tri_v4:18:12930095:12931460:1 gene:Potri.018G111751.v4.1 transcript:Potri.018G111751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111751.v4.1 MSTCQKKNLDSYLFDPIRRYLLDWKKREEIIEGITQGLLYLQEYSRLTIIHRDLKASNILLDGDMKPKISDFGMARIFTKDEQEANTSRLVGTYGYVPPEYVRNGVYSIKSDVYSFGIVLLHIISGKKNGSLYGSDETLSLLEYAYELWKDGKGMEIMDPSLDDTLSSCKLIKCLQIALLCVQENPIDRPSMLEVSSMLKNETAIVTIPKRPAFSVKTDEDDKNRRDQLHIKICSVDDATISQVVGR >Potri.005G142300.1.v4.1 pep chromosome:Pop_tri_v4:5:11410493:11413825:-1 gene:Potri.005G142300.v4.1 transcript:Potri.005G142300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G142300.v4.1 MSFQGVQLHLWWRILALGILLLVVQSFGLNTDGVLLLSFKYSILDDPLSVLQSWNHSDQTPCSWNGVTCGSPGTDNTYYSRVTGLSLPNCQLLGTIPATLGLIQHLQNLDLSDNSLNGSLPVSLLNATQLRFLDLSSNMISGQLPETIGRLQNLELLNLSDNVLAGTLPANLAALHNLTVVYLKKNNFSGDLPSGFQTVQVLDLSSNLLNGSLPQDFGGNNLHYLNISYNKLSGPIPQEFANEIPSNTTIDLSFNNLTGEIPESSLFLNQERSAFAGNPHLCGQPTRNQCPIPSSVSPLPNISAPTSPPAIAAVPRIIGSSPATTRPGETATGSGQDEGGLRPGTIAGIIVGDIAGVAVLGLVFFYVYHCLKKRKHVETNIKNEANIAKDSWSSSSSESRGFTRWACLHKRGENEEDSGSTSTDNEAGPLDHSQRHTDHHDQNKEGTLVTVDGEKELELETLLRASAYILGATGSSITYKAVLEDGTSFAVRRIGENHVERFRDFETQVRVIAKLVHPNLVRIRGFYWGVDEKLIIYDFVPNGSLANARYRKAGSSPCHLPWEARLRIAKGVARGLSFLHEKKLVHGNLKPSNILLGSDMEPRIGDFGLERLMTGDTSYKGGGSARNFGSNRSIASRDSIQDFGPGPSPSPSPSSIGGLSPYHAPESLRSLKPNPKWDVYAFGVILLELLTGKVVVVDELGQGSNGLVVEDKDRAMRVADVAIRADMEGKEDALLACFKLGYSCALHAPQKRPTMKEALQVIERFPSSAAPYP >Potri.005G161233.1.v4.1 pep chromosome:Pop_tri_v4:5:15803303:15803541:-1 gene:Potri.005G161233.v4.1 transcript:Potri.005G161233.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161233.v4.1 MTTTLPHQGHQNTQPTDQGQKQKARDKAARISTPQFIMKFLKDRSY >Potri.010G248300.3.v4.1 pep chromosome:Pop_tri_v4:10:22239217:22241810:1 gene:Potri.010G248300.v4.1 transcript:Potri.010G248300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248300.v4.1 MGMQTKGSQGDGSSHYKQSQLQPLARQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTVEATQTMGLEVEGTPFANQTALQRQASLSLTSDLSKKTVDEVWKDIQQSKNDRGIKSRERQPTFGEMTLEDFLVKAGVVDEGSMDKKDGGSIVGVDTNAAQQFQQQGQWLQYPPQPQYQQPQQSMMGVYMPGQSTLQPLHMGAGSMMDVSYSENQVALPPPLMGTLSDTQAPARKRGVPDMIEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENERLRKLRELENMLPCVPLPEPKYQLRRTTSAPF >Potri.010G248300.2.v4.1 pep chromosome:Pop_tri_v4:10:22237996:22241809:1 gene:Potri.010G248300.v4.1 transcript:Potri.010G248300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G248300.v4.1 MGMQTKGSQGDGSSHYKQSQLQPLARQNSMYSLTLDEVQNQLGDLGKPLSSMNLDELLKNVWTVEATQTMGLEVEGTPFANQTALQRQASLSLTSDLSKKTVDEVWKDIQQSKNDRGIKSRERQPTFGEMTLEDFLVKAGVVDEGSMDKKDGGSIVGVDTNAAQQFQQQGQWLQYPPQPQYQQPQQSMMGVYMPGQSTLQPLHMGAGSMMDVSYSENQVALPPPLMGTLSDTQAPARKRGVPDMIEKTVERRQKRMIKNRESAARSRARKQAYTNELENKISRLEEENERLRKLRELENMLPCVPLPEPKYQLRRTTSAPF >Potri.006G034600.1.v4.1 pep chromosome:Pop_tri_v4:6:2190006:2191168:-1 gene:Potri.006G034600.v4.1 transcript:Potri.006G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034600.v4.1 MASSPMLSLTRVISSKSQLFKLLLFSSTRSFSSTRFPSTTEPDTDSTTDFSSTDEDDAAADAEIYGRPHPFVKEGPELLYDLKDEFDAIYARVDLPGVSKEGVKMWVKDDSIYVRGQEVKKVSLYSKEEEPRKYSFEIDLPKNEYKAEDIRAVMESGVLRVFVPKIKPEEIDDAFVINIE >Potri.012G140301.2.v4.1 pep chromosome:Pop_tri_v4:12:15254264:15255962:1 gene:Potri.012G140301.v4.1 transcript:Potri.012G140301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140301.v4.1 MVWAGSAVVNTYPLSSYTFGTKEPKMEKDTSVADRLARMKVNYMKEGMRTSVEAILLVQEHNHPHILLLQIGNTFCKLPGGRLKPGENENEGLKRKLTSKLGANSPALVPDWQVTSFLAIFT >Potri.001G115800.1.v4.1 pep chromosome:Pop_tri_v4:1:9403300:9408752:1 gene:Potri.001G115800.v4.1 transcript:Potri.001G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115800.v4.1 METTVNSTSGGGGVTVVGSGAPSDYQIAPRSDNNPNSTPGSAPQAPPQAPPQAPPPHPPPAAGSMPVKKKRGRPRKYGPDGSVTVTLSPKPISSAAPAPLPPVIDFSAGKQKKIKPVSKAKYELENLGEWVACSVGANFTPHIITVNAGEDVTMKVISFSQQGPRAICILSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQKPKKQKHDSLSGVVLTAAIPISSADPKTNFSSSSFRGDSWSPLPPDSRNKPADINVTLPAGQ >Potri.002G240100.1.v4.1 pep chromosome:Pop_tri_v4:2:23227965:23231402:1 gene:Potri.002G240100.v4.1 transcript:Potri.002G240100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G240100.v4.1 MEYNSSSPRTIPVVSTFANPFDNDTNSLTGVNGQTRKPIALWPGMYHSPVTNALWETRSKTFERLLDPPKDAPPQSELLTKTPKQSRTSILYSFSADHILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCCDDDNTTRPLLLVTASVDKIVLKKPISVDIDLKIVGSAIWVGRSSIDIQLEVLQSTKETSDTSDSVALTANFIFVARDSKTGKAAPVNRLSPETEQEKLLFEEAEARSKLRKKKKVEEKKEFENGEVNRLEALLAEGRIFCDMPALADRDSILLRDTHLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRIKSCVLYTEHEDSEKPLINIEVVAHVTRPELRSSEVSNTFYFTFTVRREAKAMNNGFRIRKVVPATEEEARRVLKRMDAESLESNQGKSK >Potri.018G108000.4.v4.1 pep chromosome:Pop_tri_v4:18:12652426:12665962:1 gene:Potri.018G108000.v4.1 transcript:Potri.018G108000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108000.v4.1 MSLFRKLFYRKPPDGLLEISERVYVFDCCFNIDAWQEDYEGYIGRTVGQLKEHFPDASFLVFNFREGEKQTKIADALFKYDMTLMEYPWQYEGSPLLTMEMIHHFLRSGESWLSLGQQNILLMHCEHGGWPVLAFMLAGLLIYRKQFSGEQKTLDMIHRQAPRELLQLFSALNPVPSQLRYLQYVSRRNVASYWPPLDRALTLDCVILRSIPNFDGEGGCRPLFRVYGQDPFLVSDRTSKLLYSTQKEGKIPRAYKQIECELVKVDINCHIQGDVVLECISLNDDMEHEEMMFRAVFNTAFIRSNILMLNRDEIDMLWDAKDRFPKDFRAEILFSEMDAAASVVAEDFTGFEEKEGLPVEAFAKVKEIFSSVDWSDPNSDAALHLLQQISASNIAQEDSNADLQHRVEISTQKQEMSPRKELASQSMVTHTTVSTVSSEQALTVSAGIELMEPKGGSISPSTPAQPPPLWPAVTSSAVKVFPHPLPTLDLSASESVDHSSIKENETYLESRGKSLSVGLQPTTPTTPPHPPFKEDNSTFKTECPTPPPPPTPPMKEIRTSRAGPSPPPPKPPVKENNTIGAGPPPPPPPPPPPPLKENNTIAAGPPPPPPPPPTPPLKDNNTIAAGPPPAPPPPPMPPLKENNKIGVGPPPPPPPPPPLKENHVIGSGPRPPPPPPPPLHSGPTTSLTVSSPMPPAPPPPPIMSTNSSHAPSAPPPPSGKGTLKTGNNRNIKSPGSPSLAPPTPAPPLGSPSISKGRLSRTISSRNNQTKKLKPLHWLKLTRAVQGSLWAEAQKSGEASKAPEIDMSELENLFSAAVSNTDHGGKSSVRGSRGPKVDKVQLVDHRRAYNCEIMLSKVKVPLHELMSLVLSLEDSALDVDQVDNLIKFCPTKEEMELLKGYTGEKEKLGKCEQFFLELMKVPRVESKLRVFSFKMQFHSQVSDLRKSLNVVNSAAEEIKNSAKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLADKLPELLDFSKDLASLEPASKIQLKFLAEEMQAISKGLEKVVQELSASESDGPISDTFCKTLKEFLCFAEAEVRSLASLYSGVGKNVDALILYFGEDPARCPFEQVVSTLFDFVRLFHKAHVENCKQLEIEMKKLAESEKSKIGAHKELHARIERGSVK >Potri.018G108000.2.v4.1 pep chromosome:Pop_tri_v4:18:12652433:12665546:1 gene:Potri.018G108000.v4.1 transcript:Potri.018G108000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108000.v4.1 MSLFRKLFYRKPPDGLLEISERVYVFDCCFNIDAWQEDYEGYIGRTVGQLKEHFPDASFLVFNFREGEKQTKIADALFKYDMTLMEYPWQYEGSPLLTMEMIHHFLRSGESWLSLGQQNILLMHCEHGGWPVLAFMLAGLLIYRKQFSGEQKTLDMIHRQAPRELLQLFSALNPVPSQLRYLQYVSRRNVASYWPPLDRALTLDCVILRSIPNFDGEGGCRPLFRVYGQDPFLVSDRTSKLLYSTQKEGKIPRAYKQIECELVKVDINCHIQGDVVLECISLNDDMEHEEMMFRAVFNTAFIRSNILMLNRDEIDMLWDAKDRFPKDFRAEILFSEMDAAASVVAEDFTGFEEKEGLPVEAFAKVKEIFSSVDWSDPNSDAALHLLQQISASNIAQEDSNADLQHRVEISTQKQEMSPRKELASQSMVTHTTVSTVSSEQALTVSAGIELMEPKGGSISPSTPAQPPPLWPAVTSSAVKVFPHPLPTLDLSASESVDHSSIKENETYLESRGKSLSVGLQPTTPTTPPHPPFKEDNSTFKTECPTPPPPPTPPMKEIRTSRAGPSPPPPKPPVKENNTIGAGPPPPPPPPPPPPLKENNTIAAGPPPPPPPPPTPPLKDNNTIAAGPPPAPPPPPMPPLKENNKIGVGPPPPPPPPPPLKENHVIGSGPRPPPPPPPPLHSGPTTSLTVSSPMPPAPPPPPIMSTNSSHAPSAPPPPSGKGTLKTGNNRNIKSPGSPSLAPPTPAPPLGSPSISKGRLSRTISSRNNQTKKLKPLHWLKLTRAVQGSLWAEAQKSGEASKAPEIDMSELENLFSAAVSNTDHGGKSSVRGSRGPKVDKVQLVDHRRAYNCEIMLSKVKVPLHELMSLVLSLEDSALDVDQVDNLIKFCPTKEEMELLKGYTGEKEKLGKCEQFFLELMKVPRVESKLRVFSFKMQFHSQVSDLRKSLNVVNSAAEEIKNSAKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLADKLPELLDFSKDLASLEPASKIQLKFLAEEMQAISKGLEKVVQELSASESDGPISDTFCKTLKEFLCFAEAEVRSLASLYSGVGKNVDALILYFGEDPARCPFEQVVSTLFDFVRLFHKAHVENCKQLEIEMKKLAESEKSKIGAHKELHARIERGSVK >Potri.002G000500.1.v4.1 pep chromosome:Pop_tri_v4:2:49791:53525:1 gene:Potri.002G000500.v4.1 transcript:Potri.002G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000500.v4.1 MESENKKSAVSDVGAWAINIISSVGIIMANKQLMSANGYAFGFATTLTGFHFTVTALVGLVSNATGLSVSKHVPMWELLWFSVVANVSITGMNLSLMLNSVGFYQISKLSMIPVVCIMEWILHSKQYSKEVKLSVLVVVIGVGVCTVTDVKVNAKGFICACLAVLSTSLQQITIGSLQKKYSIGSFELLSRTAPIQAVSLLILGPFIDYYLNGKFITNYKLSSGAILFIILSCSLAVFCNVSQYLCIGRFSATSFQVLGHMKTICVLTLGWLLFDSELTFKNIMGMFIAVLGMVVYSWAVEAEKSLNARTTSYSKNSLTEEEIRLLKEGVESMPLKDVELAESKE >Potri.013G158300.2.v4.1 pep chromosome:Pop_tri_v4:13:15299986:15301469:1 gene:Potri.013G158300.v4.1 transcript:Potri.013G158300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158300.v4.1 MENYRVRFLWFCLGILLLLLNCVQANNWPQPLKNVNLSPFWQWRSAYECMSNESARCSANYKIKLSGAVVVSQSEVVEYCKQGGCVEHVYYVLKCIHYVKRDFWFANKATVLDLNRTISQACATESGFNTTIFTSSGMKTLQKVYMSLLSSLLALAFIAIFNMGYPFFPGSIILGRM >Potri.013G158300.3.v4.1 pep chromosome:Pop_tri_v4:13:15299986:15301327:1 gene:Potri.013G158300.v4.1 transcript:Potri.013G158300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158300.v4.1 MENYRVRFLWFCLGILLLLLNCVQANNWPQPLKNVNLSPFWQWRSAYECMSNESARCSANYKIKLSGAVVVSQSEVVEYCKQGGCVEHVYYVLKCIHYVKRDFWFANKATVLDLNRTISQACATESGFNTTIFTSSGMKTLQKVYMSLLSSLLALAFIAIFNMGYPFFPGSIILGRM >Potri.010G194600.1.v4.1 pep chromosome:Pop_tri_v4:10:18889166:18893456:-1 gene:Potri.010G194600.v4.1 transcript:Potri.010G194600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194600.v4.1 MEVNGDGAGNSTRPRKVFDEDNSAVEIPETAHQISSDSWFQVGFVLTTGINSAYVLGYSGTIMVPLGWIPGVVGLIIATAISLYANSLIAELHEFGGRRHIRYRDLAGFIYGRKAYSLTWGLQYVNLFMINTGYIILAGSALKAVYVLFSDDHVMKLPYFIAISGFVCALFAMSIPHLSALRLWLGVSTVFSLIYIVVAFVLSVKDGIEAPARDYSIPGTTRSKIFTTIGASANLVFAFNTGMLPEIQATIKQPVVSNMMKSLYFQFSAGVLPMYAVTFIGYWAYGSSTSSYLLSSVNGPVWVKALANISAFLQTVIALHIFASPMYEYLDTKYGIIGSPFSIRNLSFRVGVRGGYLTINTLVAALLPFLGDFMSLTGAISTFPLTFILANHMYLKAKKNKLTSLQKLWHWFNVYFFGLMSIAAAVSALRLIAVDSKTYHVFADL >Potri.010G194600.3.v4.1 pep chromosome:Pop_tri_v4:10:18889250:18893621:-1 gene:Potri.010G194600.v4.1 transcript:Potri.010G194600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194600.v4.1 MVPLGWIPGVVGLIIATAISLYANSLIAELHEFGGRRHIRYRDLAGFIYGRKAYSLTWGLQYVNLFMINTGYIILAGSALKAVYVLFSDDHVMKLPYFIAISGFVCALFAMSIPHLSALRLWLGVSTVFSLIYIVVAFVLSVKDGIEAPARDYSIPGTTRSKIFTTIGASANLVFAFNTGMLPEIQATIKQPVVSNMMKSLYFQFSAGVLPMYAVTFIGYWAYGSSTSSYLLSSVNGPVWVKALANISAFLQTVIALHIFASPMYEYLDTKYGIIGSPFSIRNLSFRVGVRGGYLTINTLVAALLPFLGDFMSLTGAISTFPLTFILANHMYLKAKKNKLTSLQKLWHWFNVYFFGLMSIAAAVSALRLIAVDSKTYHVFADL >Potri.011G008356.1.v4.1 pep chromosome:Pop_tri_v4:11:690628:691041:-1 gene:Potri.011G008356.v4.1 transcript:Potri.011G008356.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008356.v4.1 MSNLNAVCLEAEMRRWRLKLKQTMEMYSSTACKEALSAKTKVVTFHHQLHEKFERSSLSLNSILHLNMDSIGSGRNLTEDINVSQLKISTSPKITPAKCGHQI >Potri.014G086100.1.v4.1 pep chromosome:Pop_tri_v4:14:5553760:5556836:1 gene:Potri.014G086100.v4.1 transcript:Potri.014G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086100.v4.1 MGDLYALDFDGVLCDSCGESSLSAVKAAKVRWPVLFDTVDSTLQDWIVDQMHIVRPVVETGYENLLLVRLLLEMRIPSIRKSSVAEGLTVDGILDNWSKIKPVIMEEWAENRDALIELFGKVRDEWMDNDLATWIGANRFYPGVPDALKFASSSIYIVTTKQSRFADALLQELAGLKIPPERIYGLGTGPKVEVLKQLQRKPEHQGLKLHFVEDRLATLKNVIKDPELDGWNLYLGDWGYNTHQERGEAASIPRITLLQLTDFSKKLK >Potri.006G214200.2.v4.1 pep chromosome:Pop_tri_v4:6:22040803:22043153:1 gene:Potri.006G214200.v4.1 transcript:Potri.006G214200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214200.v4.1 MARIKVHELREKSKTELLAQLKELKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQASLKTKREEKREMYFPMRKYAIKV >Potri.006G214200.3.v4.1 pep chromosome:Pop_tri_v4:6:22040850:22041379:1 gene:Potri.006G214200.v4.1 transcript:Potri.006G214200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214200.v4.1 MARIKVHELREKSKTELLAQLKELKAELALLRVAKVTGGAPNKLSKIKVVRLSIAQVLTVISQKQKAALREAYKNKKFLPLDLRPKKTRAIRRRLTKHQVFICIAN >Potri.006G040300.1.v4.1 pep chromosome:Pop_tri_v4:6:2692898:2696758:-1 gene:Potri.006G040300.v4.1 transcript:Potri.006G040300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040300.v4.1 MPYLVRENLFIGNISDAAEVLQNGSAEITHILSVLSSVSISFFTEWRSGVIIPTKEIKKVCVGDEWRSCLAVNKVLYSLEYAGKELKLVRMAVPIRDMESEDLLDYLDVCLDFIQKTRKEGAVLVHCFAGVSRSAAIITAYLMKSEQLSLEDALESLRQSCESVGPNDGFLEQLKMFEEMGFKVDHASPIYKRFRLKALGEFYNRGEKIDSSKFGADPGVPTQVSSEEEASPNGGEKGIPAYHCKKCRRVVALQENVMDHVPGEGETSFAWSKQKSGNPLNKSDESECSSIFVEPLKWMTAVEGGMVEGKLSCAHCEARLGYFNWSGIQCSCGSWITPAFQLHESRVDVSTV >Potri.006G040300.5.v4.1 pep chromosome:Pop_tri_v4:6:2693035:2696756:-1 gene:Potri.006G040300.v4.1 transcript:Potri.006G040300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040300.v4.1 MPYLVRENLFIGNISDAAEVLQNGSAEITHILSVLSSVSISFFTEWRSGVIIPTKEIKKVCVGDEWRSCLAVNKVLYSLEYAGKELKLVRMAVPIRDMESEDLLDYLDVCLDFIQKTRKEGAVLVHCFAGVSRSAAIITAYLMKSEQLSLEDALESLRQSCESVGPNDGFLEQLKMFEEMGFKVDHASPIYKRFRLKALGEFYNRGEKIDSSKFGADPGVPTQVSSEEEASPNGGEKGIPAYHCKKCRRVVALQENVMDHVPGEGETSFAWSKQKSGNPLNKSDESECSSIFVEPLKWMTAGMCNLVCRNSGATGLF >Potri.015G058600.2.v4.1 pep chromosome:Pop_tri_v4:15:8113497:8114264:1 gene:Potri.015G058600.v4.1 transcript:Potri.015G058600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058600.v4.1 MEATALCGSRGPPFRMKAAAAAISHTNSSPLLIKSMASQKPLPSAAKTVSSRKSSNVFPPGEQGPRSRPLATSPPIKLLTRVEQLKLLTKAEKAGLLSAAEKFGLSLSTIEKLGLLSKAEELGVLSAATDPGTPGALLSLSLGLLFLGPSCAYLVPEDYPWEVALQVAVVLLCVAGGSAAFAASNFVSNLQKSN >Potri.015G058600.3.v4.1 pep chromosome:Pop_tri_v4:15:8113628:8114552:1 gene:Potri.015G058600.v4.1 transcript:Potri.015G058600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058600.v4.1 MASQKPLPSAAKTVSSRKSSNVFPPGEQGPRSRPLATSPPIKLLTRVEQLKLLTKAEKAGLLSAAEKFGLSLSTIEKLGLLSKAEELGVLSAATDPGTPGALLSLSLGLLFLGPSCAYLVPEDYPWEVALQVAVVLLCVAGGSAAFAASNFVSNLQKSN >Potri.004G057900.1.v4.1 pep chromosome:Pop_tri_v4:4:4830585:4835870:-1 gene:Potri.004G057900.v4.1 transcript:Potri.004G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G057900.v4.1 MEEELKIGDQEKMGGCEDQADNTSLMSSFDLNEEASSGEDIGTVEVSNSDAEKITEENYANNASSAERNERKSTVRQYVRSKMPRLRWTPDLHLSFVHAVERLGGQEKATPKLVLQLMNVRGLSIAHVKSHLQMYRSKKLDEAGQVLCQTYRSMQGRGYIPSKFHQMPANPQQCFRMESGGIVLARNSTEHTFGNSLLHSSLFQRPLSNKTSFSRCQEWGVDKHRVSSLARKDLGQGLDTSTTEIRPMRPVRFLEERRWPPLEMVKNRWKIIRNNPTSVTCTNSCSPPQAHQNCSSPRSFETTCYWKPNGGNSGNDIKIKQSLFNSSLSIGNFNSFKPEFDPPFRVELNHDKLLKDKEWLPDLQLRMNQRVGIKDRKTHCRSTQEISTKLSLS >Potri.011G037100.1.v4.1 pep chromosome:Pop_tri_v4:11:2854764:2861009:-1 gene:Potri.011G037100.v4.1 transcript:Potri.011G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037100.v4.1 MKSITTRHHCSITTLLFFTILSFFTSKFASSLDTLTATESLVNGQTLISTSQDFELGFFTPGNSRNWYVGIWYKNIPRTYVWVANRDNPLTNSSGTFKILNQSIVLFDRAENLIWSSNQTNARNPVMQLLDSGNLVLRDQESDSGQFLWQSFDYPTDTLLPDMKFGWDLNTGVNRFLRSWKSSDDPGTGDFSFKLEYHGFPEAFLLKDQEIKYRSGPWNGQRFSGVPEMEPVDYMSFNFITNQDEVYYSFHISNKSLYSRLSVTSSGLLQRFAWVPETQQWSQFWYAPKDQCDDYRECGPYGICDSNASPVCKCMKGFQPKNIQAWNLRDGSSGCVRRTDLNCLKDKFLHMRNMKLPESETTYVDRNTSLKDCELMCSRNCSCTAYANSNISNGGSGCVFWTGELFDMRQYPKGGQDLYVRLAASDIGDGSSAGTIIIGIAVGIGILILALSGFSIWKRKRLLSVCNGNTPQKGPQDRSQDFLLNGVVISKKDYTGERSPDELELPLLDFSTIATATNNFADENKLGEGGFGRVHKGRLVEGQEVAVKRLSKNSVQGTEEFKNEVRLIARVQHRNLVRLLGCCVEKDEKILIYEFMENRSLDFVLFNKAKSSLLNWQRRFNIICGIARGLLYLHQDSRFRIIHRDLKASNILLDHEWTPKISDFGMARMFGGDQIQANTVRVVGTYGYMSPEYAMDGLFSAKSDVFSFGVLVLEIVCGEKNRGFYHSFSELNLLGHVWRQWKDGKGLEVLDKSVGNSYSPCEVLRCIQVGLLCVQEKAEDRPTMSSAVLMLSSETATMPQPRTPGYCLGRSPFETDSSSSKQDESFSVNHVTVTVLDAR >Potri.001G199200.1.v4.1 pep chromosome:Pop_tri_v4:1:19648734:19664384:1 gene:Potri.001G199200.v4.1 transcript:Potri.001G199200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G199200.v4.1 MAAPDNIIVGSHVWVEDPVLAWIDGEVTRINGNVVHVNTANGKKVVSNISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLQNLAARYELNEIYTYTGNILIAVNPFQRLPHLYDTHMMEQYKGATFGELSPHVFAVGDAAYRAMINEGKSNSILVSGESGAGKTETTKMLMRYLAYLGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKSGRISGAAIRTYLLERSRVCQISDPERNYHCFYLLCAAPLEDIERYKLGSPKSFHYLNQSNCYELDGVNDSHEYLATRRAMDIVGISDQEQEGIFRVVAAILHLGNVNFAKGQEIDSSVIKDEKSRFHLSFTSELLRCDAKSLEDALIKRVMVTPEEIITRTLDPENAVSSRDALAKTIYSRLFDWLVDKINVSIGQDLNSKSIIGVLDIYGFESFKCNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNHKRFIKPKLSRTDFTISHYAGEVNYQANQFLDKNKDYVVAEHQALLTASNCSFVAGLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLSATEPHYIRCVKPNNILKPAIFENFNIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLNRFGLLAPEVLEGNSDDKVACQMILDKKGLIGYQIGKSKVFLRAGQMAELDARRAEVLGNAARTIQRQIHTYIARKEFISLRETAINLQSYLRGNVARKLYEQLRREAAALKIEKNFRLYIARKSYLRVKSSAITLQTGLRAMTARKEFRFRKQTKATTIIQAHWRCHQAHSYYRHLQKAIIVSQCGWRCRVARRELRMLKMAARETGALKEAKDKLEKRVEELTWRLQLEKRLRIDLEEAKAQEFAKLQDALHAMQVQVEEAKSMVVKEREAARKAIEEAPPVIKGTPVMVQDTEKINSLSAEVEKLRAQLLSETQIADNEKQAYVVAQATNEQLTKKLEDAEKKVDQLQDSVQRLEDKVSNLESENQVLRQQALAISPTAKALTARPKTTIIQRTPENGNVQDGDAKKAADSILARSNSREAENEDRPQKSLNEKQQENQDLLIKCVSQDLGFSGGKPVAACMIYRCLIQWRSFEVERTSIFDSIIRTIGSAIEVQENNDVLSYWLSNSSTLLLLLQRTLKASGAASLTPQRRRSTSASLFGRMSQGLRGSPQNAGFSFLNGRVLSGLDELRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNNCLKTMRANYVPPFVVKKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCHDATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLKEITNDLCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISSMRVMMTEDSNNALSSSFLLDDDSSIPFTVDDISKSMQKVEASDIDPPPLIRENSGFSFLLQRAE >Potri.003G164800.3.v4.1 pep chromosome:Pop_tri_v4:3:17384814:17393235:-1 gene:Potri.003G164800.v4.1 transcript:Potri.003G164800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164800.v4.1 MTMVPAQSLSKQQQHQLCDGGYFGEDVHTFKEGFGLMSRLEGYEYSCVSEACLLGSDLVVANIPMAEDESRTNSLNNEAGSSSKDVQEERHEGWLQLSIGGHTTTATPTSHEGKHDHHHHQQQQQQQQLVLDSTSRRGGLIELDLLRGSSSRISHQARPLSSPVFHVPDFRAPPRPVPSHATNFSNTSLFFQHHPAATSSTYPLHQEINWPFRPMLHNIATASSSPPSSSSSLMPLGSYFSRPFQVNSTGMDFAGPSSDFKVIDPPRRSHSGIWFMLQASQNQTKEPFLPQISKSYLRIKDGRMTVRLLMKYLVNKLRLDSESEQIEMTCRGQQLLPFLTLQHVRDNIWSPREELTLLPESSTTDHVMVLHYGRSA >Potri.003G164800.1.v4.1 pep chromosome:Pop_tri_v4:3:17384763:17393237:-1 gene:Potri.003G164800.v4.1 transcript:Potri.003G164800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164800.v4.1 MTMVPAQSLSKQQQHQLCDGGYFGEDVHTFKEGFGLMSRLEGYEYSCVSEACLLGSDLVVANIPMAEDESRTNSLNNEAGSSSKDVQEERHEGWLQLSIGGHTTTATPTSHEGKHDHHHHQQQQQQQQLVLDSTSRRGGLIELDLLRGSSSRISHQARPLSSPVFHVPDFRAPPRPVPSHATNFSNTSLFFQHHPAATSSTYPLHQEINWPFRPMLHNIATASSSPPSSSSSLMPLGSYFSRPFQVNSTGMDFAGPSSDFKVIDPPRRSHSGIWFMLQASQNQTKEPFLPQISKSYLRIKDGRMTVRLLMKYLVNKLRLDSESEIEMTCRGQQLLPFLTLQHVRDNIWSPREELTLLPESSTTDHVMVLHYGRSA >Potri.003G065701.1.v4.1 pep chromosome:Pop_tri_v4:3:9284823:9285134:-1 gene:Potri.003G065701.v4.1 transcript:Potri.003G065701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065701.v4.1 MSRTWSLVVVDTVVPSIILGLLILLAAHVCASTVLNDLAWLLVLNPRASLLNLLKRYNCAVAVSSQYLLYKEIKYSSTEKKKTRKKKKKKKKRASFFLKQTVK >Potri.014G155600.1.v4.1 pep chromosome:Pop_tri_v4:14:10971955:10979217:1 gene:Potri.014G155600.v4.1 transcript:Potri.014G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155600.v4.1 MATKQQQAAVVGLGLMAVCIAGYILGPPLYWHLKEGFVAVARSSPNCSPCLCDCSSQPLLSIPQGLSNASFTDCAKHDPEVTEDAEKNFAELLKEELQLRETEALENQRRADIVLLEAKKMTSQYQKEADKCNSGMETCEEAREKSEAALVAQKKLTAMWELRARQRGWKDEVAKSHTHSQGNVQST >Potri.004G053600.1.v4.1 pep chromosome:Pop_tri_v4:4:4348153:4348958:1 gene:Potri.004G053600.v4.1 transcript:Potri.004G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053600.v4.1 MKTVCQNQNTAIIFPLQNFTSHFPSKVPPLYIPLLAPPISSPPLPPPPLSLTLSLAMAVLFQSQYDNKENVPPFFPKQDALLVAKSKSPLPTSNQRRVRRPLEDITNLLNQEILLRSVLDDRIRILHSLPSASRLKCGKRRAEDGADPSCKKTQLLHPSKNFR >Potri.008G106800.4.v4.1 pep chromosome:Pop_tri_v4:8:6746802:6762121:1 gene:Potri.008G106800.v4.1 transcript:Potri.008G106800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106800.v4.1 MALRKYIPSSDAPSGSMKPLIFSSKGRENAQLAEPETSHRRVKDVDVDLSEVYFLIMHFLSAGPCQRTYVQFWNELLEHQLLPRRYHAWYSRRERLSGDENDNGLSFPLSYKSLEERYPHIEKNHLVKLLKQLLLNTASPSEGLISDVPNAADVPTLLGMGSFSLLSCDRVKGNGQVKHPPAHMRWPHRHADQVRGLSLREIGGGFARHHRAPSIRATCYAIAKPLTMVQKMQNVKRVRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNSNNTLVASSSNDCIIRVWRLPDGMPISVLRGHSAAVTSIAFSPRPGSAYQLLSSSDDGTCRIWDARSSNLGARIYVPRPPDPVAGKNSGPSSSSGPQSHQIFCCAFNAHGNVFVTGSSDHLARVWNALKSNTDDSDQPNHEIDALPGHENDVNYVQFSGCVVPSRFSMADSSKEENIPKFKNSWYSQDSIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDAHGNVLDQETQLVPFRRNMQDLLCDSGMIPYTEPYQSTYQQRRLGALGVEWKPSSIRFAAGPDFSLDPDNQMLPLADLDVLVEPLPEFMDAMDWEPENDMQSDDNDSEYNAPEECSSEAEQGSSNSSSSEDPECTAEDSGAEGGDGFRRSKRRKQKGEIEIMTSSGRRVKRKNLDECDGNSIRSDRARKSRIGRKVSKKKSSTSKALRPQRAAARNARSLFSKITGTATDGEDEDGSEGDLSETESGMQDSNIESDESNRSLDNDGNRNLKGKDILEESEDFAESRELTESHMNTINRRRLVFKLPVRDSIKIVFPESGIHKCDYQVDVVGSSSKAPHEATEVNGVPTSSQDPGYFSGDAHCGRMDGGRRAQIDHYPLDLSEGYKNGDIRWGGVKARTSKRQRLGESISSAAYTGSSACLGEQNENENENSLNRFSKFQEDHGTIPPTLEVQNNVDNEGVIPVNERNAGPDTSEVVNDVSSGKVHSTFNRCMDFETLPNLGHMANENDNPPDLRESFPSFSTMIRIRSKKILKDSLDNQGNGGCDLSTDKSANMTQYPVKEMLEHDGSSGNTPEYKGDGLEESDTRIGEISMPSFDDSVGSRSHPKKMFDVVYRRSKPGRGKINSERDGSIREETSIACNPHLDSRGDSYEGTIGGSHRLHSMGSKGTHDANIAINDLHLGQGHESDDTCRDTHNGSVSRFQLPCEEWGSSSRMTVRLRSTRNRKASCHFHDTSPVDGKRKLHQSAKRASWLMLSMHEEGSRYIPQQGDELAYLRQGHQEYIDCMKSKEAGPWKTIKGNIRAVEFCKVEALEYAPFAGSGDSCCKMTLKFIDPTSSAFQRSFKLTLPEMTGFPDFLVEKTRFDAAIQRDWSRRDKCKVWWKNEGEEDGDWWYGRVLYTKPKSSEFPESPWERCTVQYKSDPKELHHHSPWELFDDGTMFEQPHIDEETMNKLLFAFAKLERAGQKHQDHYGVEKLRQVSQTSRFTNGFAVPLSLEVIQARLENNYYRSLEALKHDFEVMLLNAESYFAKQAELLTKMKRLSNWFTRTLSSL >Potri.008G106800.5.v4.1 pep chromosome:Pop_tri_v4:8:6746784:6762120:1 gene:Potri.008G106800.v4.1 transcript:Potri.008G106800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106800.v4.1 MALRKYIPSSDAPSGSMKPLIFSSKGRENAQLAEPETSHRRVKDVDVDLSEVYFLIMHFLSAGPCQRTYVQFWNELLEHQLLPRRYHAWYSRRERLSGDENDNGLSFPLSYKSLEERYPHIEKNHLVKLLKQLLLNTASPSEGLISDVPNAADVPTLLGMGSFSLLSCDRVKGNGQVKHPPAHMRWPHRHADQVRGLSLREIGGGFARHHRAPSIRATCYAIAKPLTMVQKMQNVKRVRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNSNNTLVASSSNDCIIRVWRLPDGMPISVLRGHSAAVTSIAFSPRPGSAYQLLSSSDDGTCRIWDARSSNLGARIYVPRPPDPVAGKNSGPSSSSGPQSHQIFCCAFNAHGNVFVTGSSDHLARVWNALKSNTDDSDQPNHEIDALPGHENDVNYVQFSGCVVPSRFSMADSSKEENIPKFKNSWYSQDSIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDAHGNVLDQETQLVPFRRNMQDLLCDSGMIPYTEPYQSTYQQRRLGALGVEWKPSSIRFAAGPDFSLDPDNQMLPLADLDVLVEPLPEFMDAMDWEPENDMQSDDNDSEYNAPEECSSEAEQGSSNSSSSEDPECTAEDSGAEGGDGFRRSKRRKQKGEIEIMTSSGRRVKRKNLDECDGNSIRSDRARKSRIGRKVSKKKSSTSKALRPQRAAARNARSLFSKITGTATDGEDEDGSEGDLSETESGMQDSNIESDESNRSLDNDGNRNLKGKDILEESEDFAESRELTESHMNTINRRRLVFKLPVRDSIKIVFPESGIHKCDYQVDVVGSSSKAPHEATEVNGVPTSSQDPGYFSGDAHCGRMDGGRRAQIDHYPLDLSEGYKNGDIRWGGVKARTSKRQRLGESISSAAYTGSSACLGEQNENENENSLNRFSKFQEDHGTIPPTLEVQNNVDNEGVIPVNERNAGPDTSEVVNDVSSGKVHSTFNRCMDFETLPNLGHMANENDNPPDLRESFPSFSTMIRIRSKKILKDSLDNQGNGGCDLSTDKSANMTQYPVKEMLEHDGSSGNTPEYKGDGLEESDTRIGEISMPSFDDSVGSRSHPKKMFDVVYRRSKPGRGKINSERDGSIREETSIACNPHLDSRGDSYEGTIGGSHRLHSMGSKGTHDANIAINDLHLGQGHESDDTCRDTHNGSVSRFQLPCEEWGSSSRMTVRLRSTRNRKASCHFHDTSPVDGKRKLHQSAKRASWLMLSMHEEGSRYIPQQGDELAYLRQGHQEYIDCMKSKEAGPWKTIKGNIRAVEFCKVEALEYAPFAGSGDSCCKMTLKFIDPTSSAFQRSFKLTLPEMTGFPDFLVEKTRFDAAIQRDWSRRDKCKVWWKNEGEEDGDWWYGRVLYTKPKSSEFPESPWERCTVQYKSDPKELHHHSPWELFDDGTMFEQPHIDEETMNKLLFAFAKLERAGQKHQDHYGVEKLRQVSQTSRFTNGFAVPLSLEVIQARLENNYYRSLEALKHDFEVMLLNAESYFAKQAELLTKMKRLSNWFTRTLSSL >Potri.008G106800.6.v4.1 pep chromosome:Pop_tri_v4:8:6746836:6762104:1 gene:Potri.008G106800.v4.1 transcript:Potri.008G106800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106800.v4.1 MALRKYIPSSDAPSGSMKPLIFSSKGRENAQLAEPETSHRRVKDVDVDLSEVYFLIMHFLSAGPCQRTYVQFWNELLEHQLLPRRYHAWYSRRERLSGDENDNGLSFPLSYKSLEERYPHIEKNHLVKLLKQLLLNTASPSEGLISDVPNAADVPTLLGMGSFSLLSCDRVKGNGQVKHPPAHMRWPHRHADQVRGLSLREIGGGFARHHRAPSIRATCYAIAKPLTMVQKMQNVKRVRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNSNNTLVASSSNDCIIRVWRLPDGMPISVLRGHSAAVTSIAFSPRPGSAYQLLSSSDDGTCRIWDARSSNLGARIYVPRPPDPVAGKNSGPSSSSGPQSHQIFCCAFNAHGNVFVTGSSDHLARVWNALKSNTDDSDQPNHEIDALPGHENDVNYVQFSGCVVPSRFSMADSSKEENIPKFKNSWYSQDSIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDAHGNVLDQETQLVPFRRNMQDLLCDSGMIPYTEPYQSTYQQRRLGALGVEWKPSSIRFAAGPDFSLDPDNQMLPLADLDVLVEPLPEFMDAMDWEPENDMQSDDNDSEYNAPEECSSEAEQGSSNSSSSEDPECTAEDSGAEGGDGFRRSKRRKQKGEIEIMTSSGRRVKRKNLDECDGNSIRSDRARKSRIGRKVSKKKSSTSKALRPQRAAARNARSLFSKITGTATDGEDEDGSEGDLSETESGMQDSNIESDESNRSLDNDGNRNLKGKDILEESEDFAESRELTESHMNTINRRRLVFKLPVRDSIKIVFPESGIHKCDYQVDVVGSSSKAPHEATEVNGVPTSSQDPGYFSGDAHCGRMDGGRRAQIDHYPLDLSEGYKNGDIRWGGVKARTSKRQRLGESISSAAYTGSSACLGEQNENENENSLNRFSKFQEDHGTIPPTLEVQNNVDNEGVIPVNERNAGPDTSEVVNDVSSGKVHSTFNRCMDFETLPNLGHMANENDNPPDLRESFPSFSTMIRIRSKKILKDSLDNQGNGGCDLSTDKSANMTQYPVKEMLEHDGSSGNTPEYKGDGLEESDTRIGEISMPSFDDSVGSRSHPKKMFDVVYRRSKPGRGKINSERDGSIREETSIACNPHLDSRGDSYEGTIGGSHRLHSMGSKGTHDANIAINDLHLGQGHESDDTCRDTHNGSVSRFQLPCEEWGSSSRMTVRLRSTRNRKASCHFHDTSPVDGKRKLHQSAKRASWLMLSMHEEGSRYIPQQGDELAYLRQVTWKRR >Potri.008G106800.3.v4.1 pep chromosome:Pop_tri_v4:8:6746813:6762140:1 gene:Potri.008G106800.v4.1 transcript:Potri.008G106800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106800.v4.1 MALRKYIPSSDAPSGSMKPLIFSSKGRENAQLAEPETSHRRVKDVDVDLSEVYFLIMHFLSAGPCQRTYVQFWNELLEHQLLPRRYHAWYSRRERLSGDENDNGLSFPLSYKSLEERYPHIEKNHLVKLLKQLLLNTASPSEGLISDVPNAADVPTLLGMGSFSLLSCDRVKGNGQVKHPPAHMRWPHRHADQVRGLSLREIGGGFARHHRAPSIRATCYAIAKPLTMVQKMQNVKRVRGHRNAVYCAIFDRSGRYVVTGSDDRLVKIWSMETAFCLASCRGHEGDITDLAVNSNNTLVASSSNDCIIRVWRLPDGMPISVLRGHSAAVTSIAFSPRPGSAYQLLSSSDDGTCRIWDARSSNLGARIYVPRPPDPVAGKNSGPSSSSGPQSHQIFCCAFNAHGNVFVTGSSDHLARVWNALKSNTDDSDQPNHEIDALPGHENDVNYVQFSGCVVPSRFSMADSSKEENIPKFKNSWYSQDSIVTCSRDGSAIIWIPKSRRSHGKAGRWIRHYHLKVPPPPMPPQPPRGGPRQRILPTPRGVNMIVWSLDNRFVLAAIMDCRICVWNAADGSLVHSLTGHTQSTYVLDVHPFNPRIAMSAGYDGKTIVWDIWEGTPIRIYEISHFKLVDGKFSPDGTSIILSDDVGQLYILNTGQGESQKDAKYDQFFLGDYRPLIQDAHGNVLDQETQLVPFRRNMQDLLCDSGWMIPYTEPYQSTYQQRRLGALGVEWKPSSIRFAAGPDFSLDPDNQMLPLADLDVLVEPLPEFMDAMDWEPENDMQSDDNDSEYNAPEECSSEAEQGSSNSSSSEDPECTAEDSGAEGGDGFRRSKRRKQKGEIEIMTSSGRRVKRKNLDECDGNSIRSDRARKSRIGRKVSKKKSSTSKALRPQRAAARNARSLFSKITGTATDGEDEDGSEGDLSETESGMQDSNIESDESNRSLDNDGNRNLKGKDILEESEDFAESRELTESHMNTINRRRLVFKLPVRDSIKIVFPESGIHKCDYQVDVVGSSSKAPHEATEVNGVPTSSQDPGYFSGDAHCGRMDGGRRAQIDHYPLDLSEGYKNGDIRWGGVKARTSKRQRLGESISSAAYTGSSACLGEQNENENENSLNRFSKFQEDHGTIPPTLEVQNNVDNEGVIPVNERNAGPDTSEVVNDVSSGKVHSTFNRCMDFETLPNLGHMANENDNPPDLRESFPSFSTMIRIRSKKILKDSLDNQGNGGCDLSTDKSANMTQYPVKEMLEHDGSSGNTPEYKGDGLEESDTRIGEISMPSFDDSVGSRSHPKKMFDVVYRRSKPGRGKINSERDGSIREETSIACNPHLDSRGDSYEGTIGGSHRLHSMGSKGTHDANIAINDLHLGQGHESDDTCRDTHNGSVSRFQLPCEEWGSSSRMTVRLRSTRNRKASCHFHDTSPVDGKRKLHQSAKRASWLMLSMHEEGSRYIPQQGDELAYLRQGHQEYIDCMKSKEAGPWKTIKGNIRAVEFCKVEALEYAPFAGSGDSCCKMTLKFIDPTSSAFQRSFKLTLPEMTGFPDFLVEKTRFDAAIQRDWSRRDKCKVWWKNEGEEDGDWWYGRVLYTKPKSSEFPESPWERCTVQYKSDPKELHHHSPWELFDDGTMFEQPHIDEETMNKLLFAFAKLERAGQKHQDHYGVEKLRQVSQTSRFTNGFAVPLSLEVIQARLENNYYRSLEALKHDFEVMLLNAESYFAKQAELLTKMKRLSNWFTRTLSSL >Potri.001G268400.1.v4.1 pep chromosome:Pop_tri_v4:1:28337141:28337347:-1 gene:Potri.001G268400.v4.1 transcript:Potri.001G268400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268400.v4.1 MAQLASTFKAMAIFFVVAMYSATVTGQDFEMAPAPAPTMDKGAACSLGMSGAVFCSTLLLSLLALLKH >Potri.001G065336.2.v4.1 pep chromosome:Pop_tri_v4:1:6219314:6221289:1 gene:Potri.001G065336.v4.1 transcript:Potri.001G065336.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065336.v4.1 MKAMVSTWLADAIMYELWVGSDGTSARTIYDSSLPWLIGKALLMKQVHAVKQRLGITKENAERREAEIYKRAKIAYGALSTTLGDHTFLFERPSSLDAYFLGHLLFTLQAFPCTLGAW >Potri.001G065336.1.v4.1 pep chromosome:Pop_tri_v4:1:6219314:6221289:1 gene:Potri.001G065336.v4.1 transcript:Potri.001G065336.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065336.v4.1 MKAMVSTWLADAIMYELWVGSDGTSARTIYDSSLPWLIGKALLMKQVHAVKQRLGITKENAERREAEIYKRAKIAYGALSTTLGDHTFLFERPSSLDAYFLGHLLFTLQAFPVSHFTNCLDL >Potri.009G097800.2.v4.1 pep chromosome:Pop_tri_v4:9:8765789:8767754:-1 gene:Potri.009G097800.v4.1 transcript:Potri.009G097800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CYCD3 MAIPKQQELGQNELSTQQEQNTSFLLDALYCEEGKWEDDSEEEVLQESPSVNNPSGDLFSISLLEQDLFWEDEELLSLFSKEQEQQASVSVNNVASDPFLSRARQEAVEWMLKVIAHHGFSALTSILAINYLDRFLVSPCYQRDNRSWMIQLVAVTCLSLAAKVEETHVPLLLDLQVEDTKYLFEAKTIQRMELLVLSTLKWKMHPVTPLSFLDHIIRRLGLKTNVHWEFLRRCEHLLLYVVSDSRSGCYLPSVLATATMMHVIDQVETFNPIDYQTQLLDVLKITKEKVNGCYGLILELSRTRAIANNKPKKRKFEPTPLQGSSVSSSLETLFKKGRTQDQWVFVDIIGSPR >Potri.007G017800.1.v4.1 pep chromosome:Pop_tri_v4:7:1380319:1386364:1 gene:Potri.007G017800.v4.1 transcript:Potri.007G017800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G017800.v4.1 MAAPGTSASAKRMFAVSEGAKLHLAMTIFQFVYAGNHVIMRAALNMGVSKLVFPIYRNIIALVLLVPFAYFIERKDRPPLTLSHLIQFFLLGFLGITLNQGFYLFGLDNTSPSFASATENVVPAVTFILATLIRLEQVHLNRRDGIAKVLGTLTSFIGASVITLYKGPIIYSPNPPSDQSDLMFALGDAKEKNWTLGCICCFGHCLCWASWIVLQAVVLKKYPARFSVYSFTCFFSILQFLAIAGYFERDSWAWHVHSVGELFTIFYAGLVVSGIGFAIQIWVIQRRGPVFVSGYLPLQTMLVAVMASIALSEEFYLGGMIGAMLIIAGLYLVVWGKSEETKLATAKDAIMLSSDDSQARFPGKSSLVQPLL >Potri.008G224246.1.v4.1 pep chromosome:Pop_tri_v4:8:19023914:19024463:-1 gene:Potri.008G224246.v4.1 transcript:Potri.008G224246.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224246.v4.1 MFSGHGGALPLTHDPMFSGHSGPDSTQVMPPRSASHEAATSPISWLSTWQPQLPPSAVSAADLEGPSSKPPRPCQVASSKTRIDHCMLPAEFISAYARLPRSIAAEF >Potri.010G183000.1.v4.1 pep chromosome:Pop_tri_v4:10:18092311:18095712:1 gene:Potri.010G183000.v4.1 transcript:Potri.010G183000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183000.v4.1 MAENATHDLFCHAINSLNDFAIWRNFTAKIVDGSPALFMFQMTIISLVSNLLEIFLRPLGQPSCVSQILAGMIFGPSLLGQNKKLLDTMFPIRSLATLWTAASFGNLFYTFLIAVKADPAMMLKPGRAAMYIGSSMFCIALFLSLALSFLLKTIVTMEANLNKSIVFIAASQSFTGFPVVAAFLTELKIQNTDVGRLAFASAVFADLIDIVVAAISLTLGDVVSHPLAPVRAVLSNIAFVIVIVFIIKPMVMWMMGPIKEMKLVSEKCIFITTVVTLLLAFVSEIVGQHYVLGPLIFGLVLPIGPPFGATLVSKLSTLVCGLLYPAYLAVTGLQTNIFKVDFQSAIIVGIVMVSGIIIKLGAVILPALHSQVPVRDAFLLAIILNIKGIVEINVYNFWKDNKTLHDGDYALCVVSVILTNVVVGPLVKLLYNPSRQYNTLKRSTIQHCRRDSEFRMLVCIHNRENVPAIINLLEISHATEESPIAVIGLVLVKIEGRAAPILIENSRKGVPETESSSTTSILNALRNYEQNHRNSSTVQSFTSITHFETMHDDICRLAMNKRATIVIMPFHKKWAIDGSIESTNRSIQQMNLNVLKNAPCSVGILVDRGILNGSLSVLNGRLLFNVAVLFFGGPDDAESLAYGARMVRHGCVKITVVNFLLFGNANSKERKRDSDLINEYRQGNLGNQQFLYFEEVVRDGVELAGCLAKMVGCFDLVLVGKYHQKSPLFRGLEEWSECPELGVIGDMLASPDFECTASVLVVQQPRMRVNETEPLIHEAPDYNRVCLSMERRNQRIF >Potri.005G236600.1.v4.1 pep chromosome:Pop_tri_v4:5:23442723:23445256:1 gene:Potri.005G236600.v4.1 transcript:Potri.005G236600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236600.v4.1 MGSVSLKIGDGTARFKRASFCSSAVNILMLFSVITTNLFALYAFTSSPKDHQAHLIHNPHKNISLISEHVSLILREIASSQKKLARMEKELLGYETMDISRPNIASELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSPDLLSQYMVYKISGPCPDDWSLGQKLILRGCEPLPRRRCFAKSVPKVGLYRFPVSLWKPVSEKILTWSGLGCKNFECLNKKKLSRDCDGCFNITSGYEIQKFVKARGRNDFLIDDVLALASGGIRIGFDISGGSGTFAARMAERNVTVITNTLNVDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLIHASSGLDGGDKPEELEFLMFDIDRILRAGGLFWLDNFYCANDVKKTALTRLIERFGYKKLKWVVGEKVDTAGSGKSEVYLSAVLQKPARV >Potri.006G100700.1.v4.1 pep chromosome:Pop_tri_v4:6:7697831:7698343:-1 gene:Potri.006G100700.v4.1 transcript:Potri.006G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100700.v4.1 MATITSTIVGAKVTLTFEMLPLCTIGEVKQKITERTNLNRNRLTLRGGHNLEELEDYRTLEECRYRGEATIHLDVSPLSGNPEFDIAVGLPNNDWKAMKVRETTTVAELKDKIMERWGIPTCRMTLTRLDTTMEEDSSSLIDYYISLMGVIRVLEHETKEVVESEEGGET >Potri.016G125300.5.v4.1 pep chromosome:Pop_tri_v4:16:12884289:12886766:-1 gene:Potri.016G125300.v4.1 transcript:Potri.016G125300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G125300.v4.1 MDAILNKVGSYWVGQKASKEFNSVGDDINSLSTSIEGGTKWLVNKLKGKMQKPLPDLLKEYDLPIGIFPRDATNYEFNEETRKLTVFIPSICEVGYKDSSVVRFSTTVTAFLEQGKLADIEGMKTKVMIWVKVSCIVSAGSKLNFTAGMKRTRDRGAYEVLRDGVGVDKF >Potri.016G125300.1.v4.1 pep chromosome:Pop_tri_v4:16:12883801:12886633:-1 gene:Potri.016G125300.v4.1 transcript:Potri.016G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G125300.v4.1 MDAILNKVGSYWVGQKASKEFNSVGDDINSLSTSIEGGTKWLVNKLKGKMQKPLPDLLKEYDLPIGIFPRDATNYEFNEETRKLTVFIPSICEVGYKDSSVVRFSTTVTAFLEQGKLADIEGMKTKVMIWVKVSCIVSAGSKLNFTAGMKRTRDRGAYEVLRDGVGVDKF >Potri.010G066900.1.v4.1 pep chromosome:Pop_tri_v4:10:9499226:9499822:-1 gene:Potri.010G066900.v4.1 transcript:Potri.010G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066900.v4.1 MASSISATGFKGGFGTAFMGSWGTSIVGEDYAMLVKPVPSHVRVAKPVKSPPMMKNVNEGKGLFAPAVVITRQIIGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGERLGFLA >Potri.002G172800.3.v4.1 pep chromosome:Pop_tri_v4:2:13304639:13309662:1 gene:Potri.002G172800.v4.1 transcript:Potri.002G172800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172800.v4.1 MAHAERGCDDLYTELWKACAGPLVDVPKDGERVFYFPQGHMEQLEASTNQELNQQIPRFNLPPKILCRVVNIQLLAEQDTDEVYAQITLHPEVDQTEPTSPDPCPPEPAKPTIHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMSQATPTQELAARDLHGFEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGHNRELRVGVRRLARQQSSIPSSVISSQSMHLGVLATASHAVLTQTLFVVYYKPRTNQYIIGLNKYLEAVKNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPEWSGSIWRSLKIQWDEPATIQRPERVSPWDIEPFAAPASPNLTQQVMKTKRPRPTDIPTSVITPNSAASSFWYHGSTQSHELAQLGSSNEVQSSESHVWSMRQKEIDSSLLNNSSSSNTRARPEGIWPSSPNMNVSLNFFPDSVGDNNFATTRSIISGFSSPISSRQSNCLINEQVEKGRKYENSVGCRLFGIDLTSNSGSSAPPEKEPGYPIVDSNGTKGLVPAASEAERAQAMDVSMYSKEQKQVLSEAMVKESQSKQGSTTSMRTRTKVQMQGIAVGRALDLTVLKGYRDLIYELEKMFEIEGELSTPNKWAVVFTDDEGDMMLVGDDPWPEFCKMVKKIFIYSSEEVKKTGTRCKLPASSFEGEETVVSMDSDHRSDA >Potri.002G172800.1.v4.1 pep chromosome:Pop_tri_v4:2:13304641:13309662:1 gene:Potri.002G172800.v4.1 transcript:Potri.002G172800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172800.v4.1 MAHAERGCDDLYTELWKACAGPLVDVPKDGERVFYFPQGHMEQLEASTNQELNQQIPRFNLPPKILCRVVNIQLLAEQDTDEVYAQITLHPEVDQTEPTSPDPCPPEPAKPTIHSFCKILTASDTSTHGGFSVLRKHATECLPPLDMSQATPTQELAARDLHGFEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDAFVFLRGHNRELRVGVRRLARQQSSIPSSVISSQSMHLGVLATASHAVLTQTLFVVYYKPRTNQYIIGLNKYLEAVKNGFSVGMRFKMRFEGEDSPERRFTGTIVGVGDISPEWSGSIWRSLKIQWDEPATIQRPERVSPWDIEPFAAPASPNLTQQVMKTKRPRPTDIPTSAASSFWYHGSTQSHELAQLGSSNEVQSSESHVWSMRQKEIDSSLLNNSSSSNTRARPEGIWPSSPNMNVSLNFFPDSVGDNNFATTRSIISGFSSPISSRQSNCLINEQVEKGRKYENSVGCRLFGIDLTSNSGSSAPPEKEPGYPIVDSNGTKGLVPAASEAERAQAMDVSMYSKEQKQVLSEAMVKESQSKQGSTTSMRTRTKVQMQGIAVGRALDLTVLKGYRDLIYELEKMFEIEGELSTPNKWAVVFTDDEGDMMLVGDDPWPEFCKMVKKIFIYSSEEVKKTGTRCKLPASSFEGEETVVSMDSDHRSDA >Potri.004G044700.1.v4.1 pep chromosome:Pop_tri_v4:4:3537401:3539401:1 gene:Potri.004G044700.v4.1 transcript:Potri.004G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044700.v4.1 MGFVIAKALVPFLLSLLCFTVFSEKAESLPAEAPHHRGSYHHHPTAAPVSAPPSHAPSQAPTPHHHHHHHGHAPAPAPVHTPAHAPVHPPKPHIPPPVPTHFPRKLVAVQGVVYCKSCNYSGVDTLLGAKPVLGATVKLQCNNTMKPQDVKTTTDKNGYFLIKAPGTITNYGVHKCKVWLVSAPSTACSKITNLHGGLTGAMLRPEKKPFVDEKKREFALFSVGPFAFESKCPR >Potri.006G069700.1.v4.1 pep chromosome:Pop_tri_v4:6:5109111:5111946:1 gene:Potri.006G069700.v4.1 transcript:Potri.006G069700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069700.v4.1 MASLIPISKTQFLFNKPHLKSSSPCLETFFQSSLVSKRLSFQFKVPFFDRMPLKAATVVSATTAEKPKKRYPGEAKGFVEEMRFVAMKLHTREQAKEGEKEVKEKEEEAVRKWEPTIDGYLKFLVDSKLVYDTLEGIVEKAVFPFYAEFRNTGLERSEKLAKDLEWFKEKGYTIPEPSSPGVTYSQILQELSEKDPQAFICHFYNIYFAHSAGGRMIGRKVAEQLLEKKELEFYKWDGDLSQLLQNVRDKLNKVAESWTREEKNHCLEETEKSFKHSGEILRLIL >Potri.014G149200.4.v4.1 pep chromosome:Pop_tri_v4:14:10257979:10275704:1 gene:Potri.014G149200.v4.1 transcript:Potri.014G149200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149200.v4.1 MAATAASSSVLMMNVPQTRAPLTPPKDDTLSRKNRINLIQPRRFPLIRFHSNHHQSWNSVSSKRWSHEIATGGSGSLRKKNNAWKQCSSSLGERVVGAYFPEQFKCMSCSLNRLRSRYSIKGSTPTIPRAFVDKSAFNLSGHSLDTASVKHVHVPCTSMGPNEPHAASIGCPDGILERQDSDLLDSELERARLFEFLHSELPCHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHAGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDADGDLLPSVLDALNEIAFHPSFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTVHQIENVFGQTGLETETVSAPSPSAFGAMASFLVPKLSVGLPGSSSREKSSISLDQSKIIKKERHAVRPPVEHYWSLPGSNANLKPPQIFQHEFLQNFSINMFCKIPVSKVQTYGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELNRYMDALLKDSEHLAAMIDNVSSVDNLEFIMESDALGHTVMDQRQGHESLFGVAGTVTLEEVNSIGAKLLEFISDFGKPTAPIPAAIVACVPSKVYFDGLGETEFKISSSEIIAAIKSGLEEAIEAEPELEVPKELITSTQLEELRLQLTPSFIPLVPDADYTKLHDPETGITQCRLSNGIAVNYKISKSESRGGVMRLIVGGGRAAESSESKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMRAAFELLHMVLEHSVWLDDALDRARQLYLSYYRSIPKSLERATAHKLMTAMLNGDERFIEPTPQSLQNLTLKSVKDAVMNQFVGGNMEVSIVGDFSEEEIESCIIDYLGTVRATRDSDREQEFNPVMFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLFESTSGISVTADTQPNSDPQQIDRKDVQKDKQGKLRSHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVVSVTSTPGKVHKAVDACKSVLRGLHSNKVAQRELDRAKRTLLMRHETEIKSNAYWLGLLAHLQASSVPRKDVSCIKDLTSLYEAATIEDIYVAYEQLKVDEDSLYSCIGVAGAQAGEEINALEEEETDDDFQGVIPVGRGLSTMTRPTT >Potri.014G149200.3.v4.1 pep chromosome:Pop_tri_v4:14:10258096:10275706:1 gene:Potri.014G149200.v4.1 transcript:Potri.014G149200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149200.v4.1 MAATAASSSVLMMNVPQTRAPLTPPKDDTLSRKNRINLIQPRRFPLIRFHSNHHQSWNSVSSKRWSHEIATGGSGSLRKKNNAWKQCSSSLGERVVGAYFPEQFKCMSCSLNRLRSRYSIKGSTPTIPRAFVDKSAFNLSGHSLDTASVKHVHVPCTSMGPNEPHAASIGCPDGILERQDSDLLDSELERARLFEFLHSELPCHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHAGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDADGDLLPSVLDALNEIAFHPSFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTVHQIENVFGQTGLETETVSAPSPSAFGAMASFLVPKLSVGLPGSSSREKSSISLDQSKIIKKERHAVRPPVEHYWSLPGSNANLKPPQIFQHEFLQNFSINMFCKIPVSKVQTYGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELNRYMDALLKDSEHLAAMIDNVSSVDNLEFIMESDALGHTVMDQRQGHESLFGVAGTVTLEEVNSIGAKLLEFISDFGKPTAPIPAAIVACVPSKVYFDGLGETEFKISSSEIIAAIKSGLEEAIEAEPELEVPKELITSTQLEELRLQLTPSFIPLVPDADYTKLHDPETGITQCRLSNGIAVNYKISKSESRGGVMRLIVGGGRAAESSESKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMRAAFELLHMVLEHSVWLDDALDRARQLYLSYYRSIPKSLERATAHKLMTAMLNGDERFIEPTPQSLQNLTLKSVKDAVMNQFVGGNMEVSIVGDFSEEEIESCIIDYLGTVRATRDSDREQEFNPVMFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLFESTSGISVTADTQPNSDPQQIDRKDVQKDKQGKLRSHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVVSVTSTPGKVHKAVDACKSVLRGLHSNKVAQRELDRAKRTLLMRHETEIKSNAYWLGLLAHLQASSVPRKDVSCIKDLTSLYEAATIEDIYVAYEQLKVDEDSLYSCIGVAGAQAGEEINALEEEETDDDFQGVIPVGRGLSTMTRPTT >Potri.014G149200.2.v4.1 pep chromosome:Pop_tri_v4:14:10257911:10275883:1 gene:Potri.014G149200.v4.1 transcript:Potri.014G149200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149200.v4.1 MAATAASSSVLMMNVPQTRAPLTPPKDDTLSRKNRINLIQPRRFPLIRFHSNHHQSWNSVSSKRWSHEIATGGSGSLRKKNNAWKQCSSSLGERVVGAYFPEQFKCMSCSLNRLRSRYSIKGSTPTIPRAFVDKSAFNLSGHSLDTASVKHVHVPCTSMGPNEPHAASIGCPDGILERQDSDLLDSELERARLFEFLHSELPCHPKLHRGQLKNGLRYLILPNKVPPNRFEAHMEVHAGSIDEEDDEQGIAHMIEHVAFLGSKKREKLLGTGARSNAYTDFHHTVFHIHSPTSTKDADGDLLPSVLDALNEIAFHPSFLASRVEKERRAILSELQMMNTIEYRVDCQLLQHLHSENKLSKRFPIGLEEQIKKWDADKIRKFHERWYFPANATLYIVGDIDNISKTVHQIENVFGQTGLETETVSAPSPSAFGAMASFLVPKLSVGLPGSSSREKSSISLDQSKIIKKERHAVRPPVEHYWSLPGSNANLKPPQIFQHEFLQNFSINMFCKIPVSKVQTYGDLRNVLMKRIFLSALHFRINTRYKSSNPPFTSVELDHSDSGREGCTVTTLTVTAEPKNWQNAIKVAVQEVRRLKEFGVTKGELNRYMDALLKDSEHLAAMIDNVSSVDNLEFIMESDALGHTVMDQRQGHESLFGVAGTVTLEEVNSIGAKLLEFISDFGKPTAPIPAAIVACVPSKVYFDGLGETEFKISSSEIIAAIKSGLEEAIEAEPELEVPKELITSTQLEELRLQLTPSFIPLVPDADYTKLHDPETGITQCRLSNGIAVNYKISKSESRGGVMRLIVGGGRAAESSESKGAVVVGVRTLSEGGRVGNFSREQVELFCVNHLINCSLESTEEFICMEFRFTLRDNGMRAAFELLHMVLEHSVWLDDALDRARQLYLSYYRSIPKSLERATAHKLMTAMLNGDERFIEPTPQSLQNLTLKSVKDAVMNQFVGGNMEVSIVGDFSEEEIESCIIDYLGTVRATRDSDREQEFNPVMFRPSPSDLQFQQVFLKDTDERACAYIAGPAPNRWGFTVDGKDLFESTSGISVTADTQPNSDPQQIDRKDVQKDKQGKLRSHPLFFGITMGLLAEIINSRLFTTVRDSLGLTYDVSFELSLFDRLKLGWYVVSVTSTPGKVHKAVDACKSVLRGLHSNKVAQRELDRAKRTLLMRHETEIKSNAYWLGLLAHLQASSVPRKDVSCIKDLTSLYEAATIEDIYVAYEQLKVDEDSLYSCIGVAGAQAGEEINALEEEETDDDFQGVIPVGRGLSTMTRPTT >Potri.016G029900.3.v4.1 pep chromosome:Pop_tri_v4:16:1675163:1680507:-1 gene:Potri.016G029900.v4.1 transcript:Potri.016G029900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029900.v4.1 MGRVWITILVSMLLMSLPKKCISIPTSNFTDQSALLAFKDHITFDPQNMLTHSWSSKTSFCNWMGVSCSLRRQRVTALDLSSMGLLGTIPPQLGNLSFLQYLILYNNSFHGDLPSEIGNLRRLQVMDIGSNKLSLVIVPESFGNLHRLEELRFDGNNLTGTIPSTIFNISSLKVLDLMFNGLFGSLPKNMCDHLPRLEMLLLSSNQLSGQIPSDLFKCRELQLLWLPYNNFTGVIPEELGFLPMLEVLNLGVNMLSGDLPRSIFNMTSLRTMQICCNNLSGSIPQENSIDLPNLEELQLNLNGITGSMPRFLGNMSRLEILDLSYNKMTGNVLQEFGNLRALQVLSLQSNSFTNHPSSQTLNFITSLTNSRQLKELHIGDNPLDGMLPNSVGNLSSFLTKFYVYASKLKGNIPGEIGNLSNLIVLSLEENSLMGPIPTTVGGLRKIQVLYLHKNNLNGSIPSDICLARRLVDITLNNNVLSGEIPSCIGNLTSLRNLYLHFNILSSTIPMALWSLKDLLILNLHSNFLYGSLPSQVGEMEAAIGIRLSSNQLSGNIPSTIGSLQNLIRFSLSKNSFQGSIPEAFGGLVSLELLDLSQNNLSGEIPKSLEALRYLEFFSVSFNGLQGEIPRGGPFANFTARSFIMNKGLCGPSRLQVPPCSIESRKDSKTKSRLLRFSLPTVASILLVVAFIFLVMGCRRRYRKDPIPEALPVTAIQRRISYLELLHATNEFHESNLLGIGSFGSVYQGRLRDGLNVAVKIFNLQLQRAFRSFDTECEIMRNIRHRNLVKIICSCSNLDFKALVLEYMPKGSLEKWLYSHNYCLDIIQRVNIMIDVASALEYLHHGYPSPVVHCDLKPSNVLLDEDMVAHVCDFGIAKLLGENESFAQTRTLATIGYMAPEYGLDGLVSTKIDVYSFGIMLMEMLTRKRPTDEMFEGEMSLKRLVKESLPDSVIDIVDSNMLNRGDGYSVKKEHCVTSIMELALQCVNESPGERMAMVEILARLKNIKAEFLRDSERRRP >Potri.008G022100.1.v4.1 pep chromosome:Pop_tri_v4:8:1092539:1096452:1 gene:Potri.008G022100.v4.1 transcript:Potri.008G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022100.v4.1 MVFKDCVGGLKELRPLAHLLLPLCFHWIAEEMTVSVLVDVVTSALCPGQTTCSEAIYISGLQQTVVGIFKMVVLPLLGQLADEYGRKPLLLITVSTSIFPFAVLAWNQSRGSVYVYYVLRTISFIISQGSIFCIAVAYAADIIEEGNRAAAFSWITGFFSASHVLGNLLARFLPEKYIFVVSIAFLIFSPVYMHFLLAETVEQVPKRDRDSTFLTRIINVAHKRYESMRDAAAVVFKSPTLRGISFVSFFYELGMSGISSVLLFYLKAVFGFNKNQYSEILSMVGIGAIFSQILLLPLLNPLVGEGGILSLALLASIAYGLLYGLAWASWVPYLSASFGAIYILVKPATYAIISKGSGSMNQGKTQGFVAGVQSIASFLSPLAMSPLTSWFLSSTAPFDCKGFSIIVASVSMMIALCFACLLKPDEKLSHDPEDEIEAPLLRES >Potri.003G186050.1.v4.1 pep chromosome:Pop_tri_v4:3:19137054:19138064:1 gene:Potri.003G186050.v4.1 transcript:Potri.003G186050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186050.v4.1 MSTDKASPFIQNSMGILFVVLLLTSLTEQVSARGAVVPCLGPCPKFPNCYKSCVAKGYKGGGCVGFLGSRPACCCFQS >Potri.010G246200.1.v4.1 pep chromosome:Pop_tri_v4:10:22113727:22120000:-1 gene:Potri.010G246200.v4.1 transcript:Potri.010G246200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246200.v4.1 MVTERPLLIPSPRTPSSANDLPSLPVLSNSVVKVNLDNPSLASGMDSSNPIESSSSCEISFKSASRSLSSNPSRASRGNSVRTGSFRDLGSRPVRLGSRGGDSEMFSASQKEISDEDARLVYLDDPAKSDERFEFAGNSIRTSKYSIISFIPRNLFEQFHRVAYIYFLIIAVLNQLPQLAVFGRGASILPLAFVLLVTAVKDAYEDWRRHMSDRIENNRLAWVLVNDQFQQKKWKDIQVGEIIKIQANDTLPCDMVLLSTSDSTGVAYVQTINLDGESNLKTRYAKQDTLSKIPEKEKISGLIKCEKPNRNIYGFQANMDVDGKRLSLGPSNIILRGCELKNTVWAIGVAVYCGRETKAMLNSSGAPSKRSWLESRMNSEIIVLSVFLIALCTVVSVSAAVWLRRHRDELDTMPFYRRKDFSDGEPENYNYYGWVAEILFTFLMSVIVFQIMIPISLYISMELIRVGQAYLMIRDTQMYDEASNSRFQCRALNINEDLGQIKYVFSDKTGTLTENKMEFQCASAWGIDYSDGKVSTQNQQVRYSVEVEGRNVRPKMSVKVDPQLLELSKSGSDTEEVKHVHDFFLALAACNTIVPLIVDDKSDPTAKLMDYQGESPDEQALAYAAAAYGFMLIERTSGHIIIDIHGERQRFNVFGLHEFDSDRKRMSVILGCPDSTVRVFVKGADTSMFSVIDRSLNTKVVRATEGHLHTYSTLGLRTLVIGMRDLSDSEFEDWHFSFEAASTAVVGRAALLRKVASNVERNLTILGASAIEDKLQQGVPEAIESLRTAGIKVWVLTGDKQETAISIGYSSKLLTNKMTQIIINSNSRESCRRCLEDALVMSKKLRAVSETSDNTGTSSEAARGSVALIIDGTSLVYILDNELEEQLFQLASTCSVVLCCRVAPLQKAGIVALVKKRTSEMTLSIGDGANDVSMIQMADVGVGISGQEGRQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVFVLFWYALFACFTLTTAINEWSSMLYSIIYTSLPTIVVAILDKDLSRRNLLKYPQLYGAGQRQEAYNRKLFWLKMLDTVWQSLVVFFVPIFAYWASTIDVPSIGDLWTLAVVILVNLHLAMDIIRWNWIFHAVIWGSIVATFICVMILDAFPMFVGYWAIFHIMGEASFWVCLLGIIIAALLPRFVVKVLYQHFTPDDLQIAREVEKFGHQRDMAVEVEMNPIMEPPPRR >Potri.009G085700.1.v4.1 pep chromosome:Pop_tri_v4:9:8031940:8032652:1 gene:Potri.009G085700.v4.1 transcript:Potri.009G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085700.v4.1 MGKEKRYWLLKTEPGEWSWDDQASNGGISNWDGVKNKQAQKNLKAMKLNDLCFFYHSGSNARRVVGVVTVVREWYDEGGEGVVDVKAVGEMRRPLDLKELKGDEGLKGFQLFRQPRLSVVPVSKEVWERVCELGGGFEGDGKDDGGDDVDE >Potri.013G125000.1.v4.1 pep chromosome:Pop_tri_v4:13:13297236:13298337:-1 gene:Potri.013G125000.v4.1 transcript:Potri.013G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125000.v4.1 MASSTRKSQLVIVLLGILIAGSAVPSHVVAQNCGCAADECCSRWGYCGTGNDYCGTGCQEGQCFPAAPTNDVSVPDIVTPEFFGGILDQADSSCAGKNFYSRDAFLEALNSYSRFGRIGSVDDSRREIAAFFAHVTHETGHFCYIEEINGPSRDYCDEGNTQYPCNPDKGYYGRGPIQLSWNFNYGPAGESIGFDGLNSPETVANDPVISFKTALWYWTNSVQPVISQGFGATIRAINGALECDGANPATVQARVGYYTDYCNQLGVAPGDNLTC >Potri.018G145578.1.v4.1 pep chromosome:Pop_tri_v4:18:15525344:15528548:1 gene:Potri.018G145578.v4.1 transcript:Potri.018G145578.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145578.v4.1 MGQALERFLEEINNVMEDDIENGTGGLVQPGAGASSSGGLTGNTNETPGDPLPTSLHVEVDNVAPEGQHLERVTGQPVVRGSSHERPLVNHDEPQEDQLCPPLVNMVGDPGQPVVRDNSREVLQRNGDDSGRDVFLTEELTGGEFENNKNAIWSWIMNDEASSSIGIYGMGGAGKTTLLTHIYNQLLQEPGTFPRVHWITVSQDFSVCKLQNLIAKDIYLDLSNEDNERKRAAKLSKALIKKQRWVLILDDLWDCFDYNKVGIPIRVKGCKLILTTRSFGVCQRMFCQKTIKVEPLSMEEAWALFMKVLGCIPPEVEEIAKSVASECAGLPLGIITMAGTMRGVDDRCEWRNALEDLKQSRIRKDDMEPEVFHVLRFSYMHLKESALQQCFLYCALFPEDVEILREDLIAYLIDEGVIKGLKSREAEFNKGHSMLNKLERACLLEGAKIGYDDDRYVKMHDLVRDMAIQILEDNSQGMVKAGAQLIELSGAEEWTENLTRVSLMNNQIEEIPSRHSPKCPNLSTLLLCGNPLVLIADSFFEQLHGLKVLDLSSTGITKLSDSVSELVNLTALLINKCMKLRHVPSLEKLRALKRLELHYTTLEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLHVFVLEEWIPPTKGTLRQYAPVTVKGKEVGCLRNLESLECHFEGYSDYVEYLKSRKSRADTKSLSTYKICVGLLDKYYYYAVDDCRRKTIVWGSLSIDRDGDFQVMFSKDIQQLDIYNYDATSLCDFWSLIKNATELEVINIKYCNSMESLVSSSWFRSAPLPSPSYKDIFSGLKEFHCCGCKSMKKLFPLVLLPSLVNLEAIRVTDCVKMEEIISGTRSDEEGVMDEESSNSELKLPKLRELVVFGLLELKSICSEKLICDSLEVIEVYDCQKLKRMGICTPLLENGQPSPPPSLKNIYVYPVEWWESVVEWEHPNTKDVLRPFVNIYKTKRMVGVSSGVGAS >Potri.003G023000.2.v4.1 pep chromosome:Pop_tri_v4:3:2412466:2419347:1 gene:Potri.003G023000.v4.1 transcript:Potri.003G023000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023000.v4.1 MWEIWSSAFFLLFHLVLGVSGNVEGDALNALRTNLADPGNVLQSWDPTLVNPCTWFHVTCNSENSVTRVDLGNANLSGPLVTQLGNLPNLQYLELYSNNISGKIPDELGNLTNLVSLDLYLNNLQGQIPKTLGQLQKLRFLRLNNNTLSGTIPMNLTTVTSLQVLDLSNNELTGDIPTNGSFSLFTPISFNGNKLNPLPASPPPSLTPPPGASNGNSAIGAIAGGVAAGAALLFAGPAIVLAYWRRRKPQDHFFDVPAEEDPEVHLGQLKRFSLRELQVATDNFSSKNILGRGGFGKVYKGRLADGSLAAVKRLKEERTQGGELQFQTEVEMISMAVHRNLLRLQGFCMTPTERLLVYPFMVNGSVASCLRERTESQPPLDWPKRKRIALGSARGLAYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGVMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKDKKLEMLVDADLQGIYTDDEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKEEIFRQEFNHTHHPNTNWIVDSSSHIPPDELSGPR >Potri.009G078400.1.v4.1 pep chromosome:Pop_tri_v4:9:7545314:7546705:1 gene:Potri.009G078400.v4.1 transcript:Potri.009G078400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078400.v4.1 MHVAVFAFPFGCHALSLINLVQKLARAAQETQFSFLNTEESNNSIFLASRTNLPDNIKTYNVADGVPLNHVFSGDPIERVELFIKETPKNFKMALDMAVAETGQKISCLIADAFLSFSGSVAEDLSIPWIPVWIPVPHSLSTHIYTDMIRQHYANSLSYGCSNSCRDGNDVELEEKTLEIPGLSELHIADLPVEVLPRDAQETPFSCLLGQIGNMVLKVDTLVVNFYLELYPKPLLNDLKSKFSNLLNVGFISLSMPPPSLPPSTEDTTGCLSWLDSQNSKTVAYISFGTVANIPQSEIEELAEALEVSRIPFLWSLRDNIKDCLPNGFLERTIMHGKVVPWAPQTQVLAHSSTGVFMTHCGANSVYESIANGVPMICRPFFADNKLNARLIVDVWRIGERIDGGVFTKTGVAKSLDLILQHEQGRRIRSRVQAVKELVLKASAPGGHATQAFKTLVEKITLV >Potri.007G074084.2.v4.1 pep chromosome:Pop_tri_v4:7:9698308:9700719:-1 gene:Potri.007G074084.v4.1 transcript:Potri.007G074084.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074084.v4.1 MKSKRRRVNNYNDDAQGEAILPPEMFCEVLARLPVESILRFRSVCKSWCRMIQSPYFISLQLGVTKNKPCRFIVQSQREGDMSSLYLVDIEDLKAREIQLEKIKCRTRLKFKLPALGVRCFCDGFLCMASEKRLDPVCICNPITKESVILPLSRSKAHLVRHKLAFGFDQSSGKYKVIRDYRTSSNKHLSKFQIITLGESSWRQLNPPQNLCTSDWDAAVFWNGSLHWIIDDKTIDEPILAFDLSSETFYTIPFHRLCLSHECYELQVLGASLTIVEHNSHMIKIWEVAGNKVKGFSANCREEHDTYVCWNKYLFYKTISQLSHKSFMLQVCFIDNKNVKKDWFTRFVPEKAQYFHQDILGLPEHFNTDHFKPSFVSPNALLSVQSQLPVLKSS >Potri.007G074084.1.v4.1 pep chromosome:Pop_tri_v4:7:9698069:9700765:-1 gene:Potri.007G074084.v4.1 transcript:Potri.007G074084.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074084.v4.1 MKSKRRRVNNYNDDAQGEAILPPEMFCEVLARLPVESILRFRSVCKSWCRMIQSPYFISLQLGVTKNKPCRFIVQSQREGDMSSLYLVDIEDLKAREIQLEKIKCRTRLKFKLPALGVRCFCDGFLCMASEKRLDPVCICNPITKESVILPLSRSKAHLVRHKLAFGFDQSSGKYKVIRDYRTSSNKHLSKFQIITLGESSWRQLNPPQNLCTSDWDAAVFWNGSLHWIIDDKTIDEPILAFDLSSETFYTIPFHRLCLSHECYELQVLGASLTIVEHNSHMIKIWEVAGNKVKGFSANCREEHDTYVCWNKYLFYKTISQLSHKSFMLQVCFIDNKNVKKDWFTRFVPEKAQYFHQDILGLPEHFNTDHFKPSFVSPNALLSVQSQLPVLKSS >Potri.005G255701.1.v4.1 pep chromosome:Pop_tri_v4:5:24677421:24679241:1 gene:Potri.005G255701.v4.1 transcript:Potri.005G255701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255701.v4.1 MAFFMKGEPLVAKLAAIAKFGVFPAAMAAALFYSPPDYVFSKKDNSSK >Potri.007G059800.2.v4.1 pep chromosome:Pop_tri_v4:7:6390168:6393844:1 gene:Potri.007G059800.v4.1 transcript:Potri.007G059800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G059800.v4.1 MTASSVVTSHTKKFMKLTISIFCPRFNSSKCKTAAKMAVARIKLLRNKREAVMRQMRRDIALLLQSGQDATARIRVEHVIREQNVLAANEFIELFCELVVSRLSIIAKRRECPADLKEGIASLIFASPRCSEIPELVALRKIFEKKYGKDFVSAATDLRPNSGVNRMLIDKLSVRTPTGEVKLKVMKEIAKEHQIEWDTEESEKELLKAPEEMIEGPHTFVSASSLPIRRAPFQSVEPNQPIARSTNEGETGNMHFEDTVSAAQAAAESAKQAIAAAQAAAYLANNGLNQVSSVSGLDHKLNTSNINHGLGVLSGNSTGLSMPNHSQINYQRMDHYSDGPGRTYESQNFDRSHYPGNEGTRPIQTDSKHVNRRRHSYNETMPKQMDGQNVSRRHSYNEVRPMQMDGENISRRQSYNSSSPHSDIKFDESDCDEEIETEEPPAGVCPPPERCPPPVPSSHVNLDPVARVHPKLPDYDELAARFEALKYRKS >Potri.019G061550.5.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154677:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFCPKCNKKLSWGENIYTCVDHDAITSLPVPWFRLECIVTDGEDVTNFLLFGKTAENFFGSSAYHYVYDKKFIDPSVIPPAMAAKLNKSMIFQLRFGAFGFTTNNRCEIIITNIFDDTTNKNIHPLETPLIEAKSSTTSKTSTPLSSTKQVLIGPCTPQNTITQLKIASSSLKTPSRNISLNKETSLNYEARRALDFEATTQQLSVAT >Potri.019G061550.1.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154677:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFFAQLSVEIQQLPSSSNAVLSIEEQIKENRRTIHEILCMNPYEHKHLRFTCQALIVDFDFSNGWWYPSCPKCNKKLSWGENIYTCVDHDAITSLPVPWFRLECIVTDGEDVTNFLLFGKTAENFFGSSAYHYVYDKKFIDPSVIPPAMAAKLNKSMIFQLRFGAFGFTTNNRCEIIITNIFDDTTNKNIHPLETPLIEAKSSTTSKTSTPLSSTKQVLIGPCTPQNTITQLKIASSSLKTPSRNISLNKETSLNYEARRALDFEATTQQLSIDDQCGNVIEAEGSLGNIATSDTFDHLLPHLKKQRTKSSSSSKEN >Potri.019G061550.11.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154677:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFFAQLSVEIQQLPSSSNAVLSIEEQIKENRRTIHEILCMNPYEHKHLRFTCQALIVDFDFSNGWWYPSCPKCNKKLSWGENIYTCVDHDAITSLPVPWFL >Potri.019G061550.4.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154677:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFCPKCNKKLSWGENIYTCVDHDAITSLPVPWFRLECIVTDGEDVTNFLLFGKTAENFFGSSAYHYVYDKKFIDPSVIPPAMAAKLNKSMIFQLRFGAFGFTTNNRCEIIITNIFDDTTNKNIHPLETPLIEAKSSTTSKTSTPLSSTKQVLIGPCTPQNTITQLKIASSSLKTPSRNISLNKETSLNYEARRALDFEATTQQLSIDDQCGNVIEAEGSLGNIATSDTFDHLLPHLKKQRTKSSSSSKEN >Potri.019G061550.9.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10162512:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFFAQLSVEIQQLPSSSNAVLSIEEQIKENRRTIHEILCMNPYEHKHLRFTCQALIVDFDFSNGWWYPSCPKCNKKLSWGENIYTCVDHDAITSLPVPWFL >Potri.019G061550.3.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154676:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFFAQLSVEIQQLPSSSNAVLSIEEQIKENRRTIHEILCMNPYEHKHLRFTCQALIVDFDFSNGWWYPSCPKCNKKLSWGENIYTCVDHDAITSLPVPWFRLECIVTDGEDVTNFLLFGKTAENFFGSSAYHYVYDKKFIDPSVIPPAMAAKLNKSMIFQLRFGAFGFTTNNRCEIIITNIFDDTTNKNIHPLETPLIEAKSSTTSKTSTPLSSTKQVLIGPCTPQNTITQLKIASSSLKTPSRNISLNKETSLNYEARRALDFEATTQQLS >Potri.019G061550.7.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154677:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFFAQLSVEIQQLPSSSNAVLSIEEQIKENRRTIHEILCMNPYEHKHLRFTCQALIVDFDFSNGWWYPSCPKCNKKLSWGENIYTCVDHDAITSLPVPWFL >Potri.019G061550.10.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10162512:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFFAQLSVEIQQLPSSSNAVLSIEEQIKENRRTIHEILCMNPYEHKHLRFTCQALIVDFDFSNGWWYPSCPKCNKKLSWGENIYTCVDHDAITSLPVPWFL >Potri.019G061550.2.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154677:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFFAQLSVEIQQLPSSSNAVLSIEEQIKENRRTIHEILCMNPYEHKHLRFTCQALIVDFDFSNGWWYPSCPKCNKKLSWGENIYTCVDHDAITSLPVPWFRLECIVTDGEDVTNFLLFGKTAENFFGSSAYHYVYDKKFIDPSVIPPAMAAKLNKSMIFQLRFGAFGFTTNNRCEIIITNIFDDTTNKNIHPLETPLIEAKSSTTSKTSTPLSSTKQVLIGPCTPQNTITQLKIASSSLKTPSRNISLNKETSLNYEARRALDFEATTQQLSVAT >Potri.019G061550.8.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154677:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFFAQLSVEIQQLPSSSNAVLSIEEQIKENRRTIHEILCMNPYEHKHLRFTCQALIVDFDFSNGWWYPSCPKCNKKLSWGENIYTCVDHDAITSLPVPWSMYSTKYNHTTQDCF >Potri.019G061550.6.v4.1 pep chromosome:Pop_tri_v4:19:10148777:10154677:1 gene:Potri.019G061550.v4.1 transcript:Potri.019G061550.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061550.v4.1 MALPIKEIKTYHFYPSLRARACRVWISKFNGQPRSFNCVFVDNQGGAIQGLAKARDLPTFAATIIEGNHYEIKGFYTYENRAVNIVVAANNAIIDLKSNTKIAAIEPIQPYVPRYYFKFIDYANIITNSKGSRILTDVIGRLKALQPLEQVMVRGKMLENKREFMLENICGEELHITLWGDSAQDFDELALRNLPSPVIIAFAGFRVTEFKGKPNLNSTDASLWYFNPDIPECSTYKHFCPKCNKKLSWGENIYTCVDHDAITSLPVPWFRLECIVTDGEDVTNFLLFGKTAENFFGSSAYHYVYDKKFIDPSVIPPAMAAKLNKSMIFQLRFGAFGFTTNNRCEIIITNIFDDTTNKNIHPLETPLIEAKSSTTSKTSTPLSSTKQVLIGPCTPQNTITQLKIASSSLKTPSRNISLNKETSLNYEARRALDFEATTQQLS >Potri.011G005500.1.v4.1 pep chromosome:Pop_tri_v4:11:450539:451939:1 gene:Potri.011G005500.v4.1 transcript:Potri.011G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005500.v4.1 MEQVAMEKALSKSDATNNRMELPMESLRNFPIPDGQNYFEFVAMDTVDRPWEFKVSTRNEGKYKKPWMSGQWGSYAHEKGLKKGDRVKLIMQVEGNGVRSYRITAERNLAMGIWIPVEDFAS >Potri.009G026000.3.v4.1 pep chromosome:Pop_tri_v4:9:3744924:3751890:1 gene:Potri.009G026000.v4.1 transcript:Potri.009G026000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026000.v4.1 MDSSSSSQQRRRGGGMVSPSPSPSHTPRSTDKSARDLRSGDFNSNSSSKHDKEKGVNVQVIVRCRPLNEDELRVHTPVVISCNEGRREVSALQNIANKQIDRTFLFDKVFGPASKQKDLYDLAVSPIVYEVLEGYNCTIFAYGQTGTGKTYTMEGGARKKNGEFPSDAGVIPRAVKQIFEILEAQNAEYNMKVTFLELYNEEITDLLALEETPKFVDDKSKKPVALMEDGKGGVLIRGLEEEIVCTANEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINTLVERSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIQSLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQDEAEKKEMAEKIERMELDSESKDKQFLEIQELHNSQLHLTADLSEKLDKTEKKLEETENSLVDLEEKHRQANITIKEKEFFISNLLKSEKGLVERAFELRSELENAASDVSSLFAKIERKDKIEDGNRVLTQKFQSQLTQQLEILHKTVAASMTQQEKQLKDMEEDMQSFVSIKAEATEELQGRVGKLKTMYGSGIKALDDMAKELEENSRSTFGSLNSEVSKHSHAVEGFFQRIASEADALINDLQSNLQMQQEKLSAYAQQQHEAHSRAVETARSVSKVIVNFVETLDMHASNLTQIVEEAQIVNDHKLSELEKKFEGCAANEERQLLEKVAELLESSNARKKKLVQMAVHELRESANSRTNKLQQEMSTMQDTTTSIKAEWTVHMEKTESNHFEDTSAVESGRKVLEEVLHNCLRKAKMGAQQWRNAQESLLILEKSNVASVDSIVRGGTEANQILRGQFSSAVSAAVEDVDIANNNLLSSIEHSLNLDHDACGNFNSMVLPCCEDLRQLKGSHYHKIVEITENAGKCLLDEYVVDEPSCSTPRKRSFNLPTIASIEELRTPAFEELLKSIWDAKSAKQINGDTKHVAAAFEAAQSLRDPRVPLTAIN >Potri.009G026000.2.v4.1 pep chromosome:Pop_tri_v4:9:3746408:3751668:1 gene:Potri.009G026000.v4.1 transcript:Potri.009G026000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026000.v4.1 MKVTFLELYNEEITDLLALEETPKFVDDKSKKPVALMEDGKGGVLIRGLEEEIVCTANEIYKILDKGSAKRRTAETLLNKQSSRSHSIFSITIHIKECTPEGEEMIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINTLVERSGHIPYRDSKLTRLLRDSLGGKTKTCIIATISPSIQSLEETLSTLDYAHRAKNIKNKPEINQKMMKSAMIKDLYSEIDRLKQEVYAAREKNGIYIPRDRYLQDEAEKKEMAEKIERMELDSESKDKQFLEIQELHNSQLHLTADLSEKLDKTEKKLEETENSLVDLEEKHRQANITIKEKEFFISNLLKSEKGLVERAFELRSELENAASDVSSLFAKIERKDKIEDGNRVLTQKFQSQLTQQLEILHKTVAASMTQQEKQLKDMEEDMQSFVSIKAEATEELQGRVGKLKTMYGSGIKALDDMAKELEENSRSTFGSLNSEVSKHSHAVEGFFQRIASEADALINDLQSNLQMQQEKLSAYAQQQHEAHSRAVETARSVSKVIVNFVETLDMHASNLTQIVEEAQIVNDHKLSELEKKFEGCAANEERQLLEKVAELLESSNARKKKLVQMAVHELRESANSRTNKLQQEMSTMQDTTTSIKAEWTVHMEKTESNHFEDTSAVESGRKVLEEVLHNCLRKAKMGAQQWRNAQESLLILEKSNVASVDSIVRGGTEANQILRGQFSSAVSAAVEDVDIANNNLLSSIEHSLNLDHDACGNFNSMVLPCCEDLRQLKGSHYHKIVEITENAGKCLLDEYVVDEPSCSTPRKRSFNLPTIASIEELRTPAFEELLKSIWDAKSAKQINGDTKHVAAAFEAAQSLRDPRVPLTAIN >Potri.008G133300.4.v4.1 pep chromosome:Pop_tri_v4:8:8821697:8842574:1 gene:Potri.008G133300.v4.1 transcript:Potri.008G133300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133300.v4.1 MEQSQRSITAAAASASASSRGHQFHPARAAIIDLFNLYLGRSSRQKPDDSARELPNKTQKRVLALNRELPPPNEQFLLDFEQLHTQFPDQEQLRAVTESVLITLVVQCSNHAPRADFLLFALRSLCSIEYINWDSFLPSLLSSVSTTELSVSQAGQAVPAVSSTGLAQNGMLPSSSTISNSSIFQSLNPTSPLSSVHGIGSPAPLGMEASPSVAMSPVKSSDISGNGPQSSARVNLLIRDSAMSSLRQLCCKIILTGLEFNLKPVTHADIFNHMLSWLVNWDQRQHGVDESDGVKSWRPVKALIEWLHSCLDVIWLLVDEDKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRVLSPTIPTVSVEAVANLRYSPITYPSVLGEPLHGEDLANSIQRGSLDWERALRCIRHALRTTPSPDWWKRVLLVASCYRPAHGPTPGAVFTSSMICEATIDRIVELLKLTNSEMNCWQEWLVFSDIFYFLVKSGCIDFIDFVDKLVSRLIEGDQHIVRTNHVTWLFAQIIRIELVMNALNTDARKVETTRKVLSFHREDRSSDPNNPQSILLDYISSCQNLRIWSLNTSTRECLNSEQLQKGKQIDEWWRQASKGDRMLDYMNMDDKSIGMFWVVSYTMAQPASETVVNWLSSAGVSELLTGTNMQSNERLMVMREVSPLPMSLLSGLSMNLCLKLVFQMEDSLFAGQVVPSIAMVETYCRLLLIAPHSLFRSHFSHLAQRYQSILSKPGVTLLVLEIVNYRLLPLYRYQGKSKTLMYDVTKIVSTLKGKRGDHRVFRLAENLCMNLILSQRDFFSVKREGKGPTEFTETLNRVTIVTLAIIIKTRGIADADHMLYLQTMLEQILATSQHTWSKKTLSYFPPLLRDALIGRIDKRGLAIKAWQQAETTVINQCTQLISLSADPTYVMTYINHSFPQHRQYLCAGAWILMQGHPENINSGHLARVLREFSPEEVTANIYTMVDVLLHNIHVDLQHGHTLQDLLLKTCANLAFFIWTHELLPLDILLLALTDRDDDPHALRIVISLLDRQELQSRVKLFCMNRVRPEHWILSGQFKRLELAKALGNHLSWKDRYPTFFDDIAARLLPVIPLIVYRLLENDAVDPADRVLAMYSPLLEYHPLRFTFVRDILAYFYGHLPGKLVVRILNVLDLSKIPFSESFPQHISSPNPVICPPPEYFATLLLGLVNNVIPPLNTNSKYGSVGDASNNSGRNPHTKTSAASQSGPTNASEGQKAFYQIQDPGTHTQLVLETAVIELLSLPVAASQIIPSLVQIVVNIQPTLIQSSNGAPNCVGQGSVLPTSPSGGSTDSLGGSRSTPSVSGINTSNFVLRSGYTCQQLSCLLIQACGLLLAQLPPDFHVQLYMEASRIIKECWWLTDSKRSLGELDSAVGYALLDPTWAAQDNTSTAIGNIIALLHSFFSNLPQEWLEGTHAIIKHLRPITSVAMLRIAFRIMGPLLPRLANSHTLFNKTLSLLLNTMVDVFGRNSQTSTAVEASEIADLVDFLHHVVHYEGQGGPVQANSKPKAEVLALCGRAAESLRPDLQHLLSHLKPDMNSSIYAATHPKLVQNPP >Potri.008G133300.1.v4.1 pep chromosome:Pop_tri_v4:8:8821684:8842414:1 gene:Potri.008G133300.v4.1 transcript:Potri.008G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133300.v4.1 MEQSQRSITAAAASASASSRGHQFHPARAAIIDLFNLYLGRSSRQKPDDSARELPNKTQKRVLALNRELPPPNEQFLLDFEQLHTQFPDQEQLRAVTESVLITLVVQCSNHAPRADFLLFALRSLCSIEYINWDSFLPSLLSSVSTTELSVSQAGQAVPAVSSTGLAQNGMLPSSSTISNSSIFQSLNPTSPLSSVHGIGSPAPLGMEASPSVAMSPVKSSDISGNGPQSSARVNLLIRDSAMSSLRQLCCKIILTGLEFNLKPVTHADIFNHMLSWLVNWDQRQHGVDESDGVKSWRPVKALIEWLHSCLDVIWLLVDEDKCRVPFYELLRSGLQFIENIPDDEALFTLILEIHRRRDMMAMHMQMLDQHLHCPTFGTHRVLSPTIPTVSVEAVANLRYSPITYPSVLGEPLHGEDLANSIQRGSLDWERALRCIRHALRTTPSPDWWKRVLLVASCYRPAHGPTPGAVFTSSMICEATIDRIVELLKLTNSEMNCWQEWLVFSDIFYFLVKSGCIDFIDFVDKLVSRLIEGDQHIVRTNHVTWLFAQIIRIELVMNALNTDARKVETTRKVLSFHREDRSSDPNNPQSILLDYISSCQNLRIWSLNTSTRECLNSEQLQKGKQIDEWWRQASKGDRMLDYMNMDDKSIGMFWVVSYTMAQPASETVVNWLSSAGVSELLTGTNMQSNERLMVMREVSPLPMSLLSGLSMNLCLKLVFQMEDSLFAGQVVPSIAMVETYCRLLLIAPHSLFRSHFSHLAQRYQSILSKPGVTLLVLEIVNYRLLPLYRYQGKSKTLMYDVTKIVSTLKGKRGDHRVFRLAENLCMNLILSQRDFFSVKREGKGPTEFTETLNRVTIVTLAIIIKTRGIADADHMLYLQTMLEQILATSQHTWSKKTLSYFPPLLRDALIGRIDKRGLAIKAWQQAETTVINQCTQLISLSADPTYVMTYINHSFPQHRQYLCAGAWILMQGHPENINSGHLARVLREFSPEEVTANIYTMVDVLLHNIHVDLQHGHTLQDLLLKTCANLAFFIWTHELLPLDILLLALTDRDDDPHALRIVISLLDRQELQSRVKLFCMNRVRPEHWILSGQFKRLELAKALGNHLSWKDRYPTFFDDIAARLLPVIPLIVYRLLENDAVDPADRVLAMYSPLLEYHPLRFTFVRDILAYFYGHLPGKLVVRILNVLDLSKIPFSESFPQHISSPNPVICPPPEYFATLLLGLVNNVIPPLNTNSKYGSVGDASNNSGRNPHTKTSAASQSGPTNASEGQKAFYQIQDPGTHTQLVLETAVIELLSLPVAASQIIPSLVQIVVNIQPTLIQSSNGAPNCVGQGSVLPTSPSGGSTDSLGGSRSTPSVSGINTSNFVLRSGYTCQQLSCLLIQACGLLLAQLPPDFHVQLYMEASRIIKECWWLTDSKRSLGELDSAVGYALLDPTWAAQDNTSTAIGNIIALLHSFFSNLPQEWLEGTHAIIKHLRPITSVAMLRIAFRIMGPLLPRLANSHTLFNKTLSLLLNTMVDVFGRNSQTSTAVEASEIADLVDFLHHVVHYEGQGGPVQANSKPKAEVLALCGRAAESLRPDLQHLLSHLKPDMNSSIYAATHPKLVQNPP >Potri.004G019900.2.v4.1 pep chromosome:Pop_tri_v4:4:1416499:1419879:-1 gene:Potri.004G019900.v4.1 transcript:Potri.004G019900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019900.v4.1 MNNTMMSSLNQSKLAFTSLTNPIPRKHSSFSALKFSPLPIIQNSQKGLSFDKISQKPLHISSIESFSFSRKTQQEKPVTVCNAYEADRSRPLDINIELSDEHAAQKIKIGLYFATWWALNVVFNIYNKKVLNAFPYPWLTSTLSLACGSLMMLISWATRIADAPKTDFEFWKTLFPVAVAHTIGHVAATVSMSKVAVSFTHIIKSGEPAFSVLVSKFLLGETFPLPVYLSLLPIIGGCALSAATELNFNMTGFMGAMISNLAFVFRNIFSKKGMSGKSVSGMNYYACLSMLSLLILTPFAIAVEGPQMWAAGWQNALAQIGPNFVWWVAAQSIFYHLYNQVSYMSLDQISPLTFSIGNTMKRISVIVSSIIIFHTPVQPVNALGAAIAILGTFLYSQAKQ >Potri.013G101601.1.v4.1 pep chromosome:Pop_tri_v4:13:10999145:11001085:1 gene:Potri.013G101601.v4.1 transcript:Potri.013G101601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101601.v4.1 MASFAEAPPGDSKAGEKIFRTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTTGYSYSAANKNMAVMWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKQSTAS >Potri.007G085500.1.v4.1 pep chromosome:Pop_tri_v4:7:11004791:11007964:1 gene:Potri.007G085500.v4.1 transcript:Potri.007G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085500.v4.1 MEIPNPPYFSCHSESCAHLLQTSLKSRDPLKGKSIHAQMVKLGLTFSVYLMNNLMNLYAKTGFHLDAHDLFNEMPVKTTFSWNTILSGYAKQGKLEKAHQVFDLIPVRDSVSWTTIIVGYNQMGRFEDAIKIFVDMVKDKVLPTQFTLTNVLASCAATGSRGIGKKVHSFVVKLGLHACVPVANSLLNMYAKTGDLKMAKVVFDRMKLRNTSSWNAMISLHMNCGRVDLALAQFELLSERDIVSWNSMIAGCNQHGFDNEALQFFSSILKDTSLKPDRFSLASALSACANLEKLSFGKQIHGYIVRTMFDASGAVGNALISMYAKSGGVEIARRIIEQSGISDLDVIAFTALLNGYVKLGDITPARQIFNSLKDPDVVAWTAMIVGYVQNGLNNDAIEVFKTMVSEGPRPNSFTLAAMLSASSSVTSLNHGKQIHASAIRSGEALSPSVGNALTTMYAKAGSINGARKVFNLLRQNRDTVSWTSMIMALAQHGLGEEAIELFEQMLTLGIKPDHITYVGVLSACTHGGLVEQGRSYFDLMKNVHKIDPTLSHYACMVDLFGRAGLLQEAYKFVENMPMEPDVIAWGSLLSSCKVYKNVDLAKVAAERLLLIEPNNSGAYSALANVYSSCGKWDDAAKIRKLMKARGVKKEQGLSWVQIQNKTHVFGVEDGLHPQKDEIYKMMDKIWKEIKKMGFAPDTESVLHDLEVEVKDQILRYHSEKLAIAFGIISTPENTTLRIMKNLRVCNDCHNAIKFISKLVDREIIVRDATRFHHFKDGSCSCKDYW >Potri.019G123400.1.v4.1 pep chromosome:Pop_tri_v4:19:14786100:14790942:1 gene:Potri.019G123400.v4.1 transcript:Potri.019G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G123400.v4.1 MRTNKKTTCNCNPFDFLTEEIIFTILDYLNDDPFAKKSFSLTCKAFYSIESHHRKTLKPLRAELLLRTLHRYPHIEHLDLTVCPRIEDRMLNVVSLACKDALCSINLSRSRFFTNIGLSSLVSSCFNLVEIDLSNGVELNDLAAAAIAEAKNLEKLWLARCKLITDLGIGCVAVGCRKLRLICLKWCLKISDLGVQLLALKCKEIRSLDLSYLQITEKCLPSILQLQHLEDLVLEGCLGINDDGLSTLQQSCKSLKTFNMSNCHNHSHVGLLSLINGAENLRELTLAYGPSVTADLAKCLHNFSGLHSVKFDGCLVKCSGIRAIGNWPNSLKELSFSKCSGVADDSLSFLVQGHKELRKLDITCCRMIMYDSVDSITSSCCSLTSLRMESCSLVPKEAFVLFGQRCQLMEELDVTDTKIDDEGLKSISRCSKLSSLKLGICMNITDNGLKHIGSRCSKLKELDLYRSLGITDEGIAAVTFGCPDLEVINIAYNDKVTDASLISLSRCSRLRVLEIRGCPHVSSKGLSAIAVGCRQLMVLDIKKCFNINDTAMLSLAQFSQNLKQINLSYCSVTDVGLLALASVNRLQNITVLHLGGLTPNGLAAALLACRGITKVKLHASFKPLIPKSLLGYIEAHGCVFHWRDKAFQVEMDTKGWKLHFGKSAEAP >Potri.009G025400.1.v4.1 pep chromosome:Pop_tri_v4:9:3706655:3709448:-1 gene:Potri.009G025400.v4.1 transcript:Potri.009G025400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025400.v4.1 MMEEQVRVLEGLWGDVRELSLGNRIQRLDLAPTPLQFLRDYVSPNKPCIISNAISHWPALSLWPNLSYLSSSLSDSTVSLHLTPDGRADSLVPLETPKKGETCFASAHVEHVPFPCALDLVLNSERNNVVGYLQQQNDCFQEEYSVLASDCDAHIPWATEALGGCLPEAVNLWIGNHSSETSFHKDHYENLYAVVSGEKQFLLLPPTDVHRMYIQEYPAAQYSYTSGSGEFRLELEKPQRYVPWCSVNPYPPPETKENEMSKFPLYFNGPKPFHCTVKAGEILYLPSMWFHHVRQSPDDNGCTIAINYWYDMQFDIKYAYFNFLQSIHHGSTLMNYDATKFTLSDELCIDANELEAEEANQEEDTKDE >Potri.009G025400.4.v4.1 pep chromosome:Pop_tri_v4:9:3706661:3709448:-1 gene:Potri.009G025400.v4.1 transcript:Potri.009G025400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025400.v4.1 MMEEQVRVLEGLWGDVRELSLGNRIQRLDLAPTPLQFLRDYVSPNKPCIISNAISHWPALSLWPNLSYLSSSLSDSTVSLHLTPDGRADSLVPLETPKKGETCFASAHVEHVPFPCALDLVLNSERNNVVGYLQQQNDCFQEEYSVLASDCDAHIPWATEALGGCLPEAVNLWIGNHSSETSFHKDHYENLYAVVSGEKQFLLLPPTDVHRMYIQEYPAAQYSYTSGSGEFRLELEKPQRYVPWCSVNPYPPPETKENEMSKFPLYFNGPKPFHCTVKAGEILYLPSMWFHHVRQSPDDNGCTIAINYWYDMQFDIKYAYFNFLQSIHHGSTLMNYDATKFTLSDELCIDANELEAEEANQEEDTKDE >Potri.009G025400.3.v4.1 pep chromosome:Pop_tri_v4:9:3706660:3709451:-1 gene:Potri.009G025400.v4.1 transcript:Potri.009G025400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025400.v4.1 MMEEQVRVLEGLWGDVRELSLGNRIQRLDLAPTPLQFLRDYVSPNKPCIISNAISHWPALSLWPNLSYLSSSLSDSTVSLHLTPDGRADSLVPLETPKKGETCFASAHVEHVPFPCALDLVLNSERNNVVGYLQQQNDCFQEEYSVLASDCDAHIPWATEALGGCLPEAVNLWIGNHSSETSFHKDHYENLYAVVSGEKQFLLLPPTDVHRMYIQEYPAAQYSYTSGSGEFRLELEKPQRYVPWCSVNPYPPPETKENEMSKFPLYFNGPKPFHCTVKAGEILYLPSMWFHHVRQSPDDNGCTIAINYWYDMQFDIKYAYFNFLQSIHHGSTLMNYDATKFTLSDELCIDANELEAEEANQEEDTKDE >Potri.003G118600.1.v4.1 pep chromosome:Pop_tri_v4:3:14032990:14033862:1 gene:Potri.003G118600.v4.1 transcript:Potri.003G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118600.v4.1 MGDLIESSSVTPSTTPHSRPLPIREDCWSEEATSTLVDAWGRRYLELNRGNLRQKDWQDVADAVNALHGHTKKTYRTDVQCKNRIDTIKKKYKIEKSHVVSSNGTLTSSWPFFERLDALIGSNFNSSGKKHLSPSPPVALPLPPSYRRTPQVSSTPPPQPPALAVALPQKRPLPVDDDYFRRNYSAMAAAAAAVESDSEEDEDEEFEGGERERAEEDVEGEGIKRLALAIERFGEVYERVESEKLKQMVDLEKQRMKFAKDLEMERMRIFTETQVQLEKIKKGKRAPEDY >Potri.014G013300.2.v4.1 pep chromosome:Pop_tri_v4:14:766952:773502:-1 gene:Potri.014G013300.v4.1 transcript:Potri.014G013300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013300.v4.1 MEKICVAIRVRPPVIVSEDTTINGTYWKVEENRISLHKSHGSPISGVSYAFDHVFDESCTNSRVYELLTKDLILAAVDGFNGTVFAYGQTSSGKTFTMNGSQNDGGIIHRAVKDIFEKIHMISEREFLIRVSYMEIYNEEINDLFAVENQKLPIHESLERGVFVAGLKEEIVSNGEQVLKLIEGGEVNRHFGETNMNARSSRSHTIFRMVIESKRKDANSSSDYSSSDAVRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMILGNVINKLSEGAKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEELHIEETKGTLQFASRAKRITNCAQVNEILSDAALLKRQKLEIEELRKKLQGSRAEVLEQEILKLRNDMLKYELEREKLEMELKEERKSHKERDQCIKEQQMKIDNLSTSASFSDSDRNSIQEQNAGRQILKEEFSGSNSVFKNDVFRTPTLKADSNAFVGKRSNYSRLPDFSPLPDNYSNVADEDTWLKMNKGFIADLDSIQMTPARKVQSFPFGDVTLGSSTEDYKVEVQNLKRQLELVLEEKNELEKKHLEQLQLNDHLMGEISELKHEAVVIREIPQRLCESMASCRDTYKDVLLTLQSFVPDGDSSIGKFLSTTSEIGLTLFSNLEKRFSMAMDDHKSFNENDSLVQENCEVLSERLKSTITSLALAEKLAVQNKEEKNPICGSTYKECTLGEEPASWKEKLGSELGAIKEKLQALEKELEHNNQLLKDSRERHDTMERDYWLLKEERDSLLEKVSESSQNLAAVALQKENILKDLNIEAERRKYLEKEIKQFSVAFASRQRSFMSFQGEFLSKVEKLRAQSPIAASESLGC >Potri.014G013300.1.v4.1 pep chromosome:Pop_tri_v4:14:767023:773465:-1 gene:Potri.014G013300.v4.1 transcript:Potri.014G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013300.v4.1 MEKICVAIRVRPPVIVSEDTTINGTYWKVEENRISLHKSHGSPISGVSYAFDHVFDESCTNSRVYELLTKDLILAAVDGFNGTVFAYGQTSSGKTFTMNGSQNDGGIIHRAVKDIFEKIHMISEREFLIRVSYMEIYNEEINDLFAVENQKLPIHESLERGVFVAGLKEEIVSNGEQVLKLIEGGEVNRHFGETNMNARSSRSHTIFRMVIESKRKDANSSSDYSSSDAVRVSVLNLVDLAGSERIAKTGAGGVRLKEGKYINKSLMILGNVINKLSEGAKQRGHIPYRDSKLTRILQPALGGNAKTSIICTVAPEELHIEETKGTLQFASRAKRITNCAQVNEILSDAALLKRQKLEIEELRKKLQGSRAEVLEQEILKLRNDMLKYELEREKLEMELKEERKSHKERDQCIKEQQMKIDNLSTSASFSDSDRNSIQNAGRQILKEEFSGSNSVFKNDVFRTPTLKADSNAFVGKRSNYSRLPDFSPLPDNYSNVADEDTWLKMNKGFIADLDSIQMTPARKVQSFPFGDVTLGSSTEDYKVEVQNLKRQLELVLEEKNELEKKHLEQLQLNDHLMGEISELKHEAVVIREIPQRLCESMASCRDTYKDVLLTLQSFVPDGDSSIGKFLSTTSEIGLTLFSNLEKRFSMAMDDHKSFNENDSLVQENCEVLSERLKSTITSLALAEKLAVQNKEEKNPICGSTYKECTLGEEPASWKEKLGSELGAIKEKLQALEKELEHNNQLLKDSRERHDTMERDYWLLKEERDSLLEKVSESSQNLAAVALQKENILKDLNIEAERRKYLEKEIKQFSVAFASRQRSFMSFQGEFLSKVEKLRAQSPIAASESLGC >Potri.003G144100.1.v4.1 pep chromosome:Pop_tri_v4:3:15935493:15938260:1 gene:Potri.003G144100.v4.1 transcript:Potri.003G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144100.v4.1 MFETLKYLVGSAGASGYGSKSTAEQVTENCCDLHSITAIITGATSGIGAETARVLAKRGARLVLPARNLKGAEDAKARILSENPDADIIVMGLDLSSLNSVRNFVSEFESLNLPLNLLINNAGRFALEPAISEDGIEMTFATNYLGHFLLTKLLLKKMIETAKTTSLQGRIVNVSSSIYNWFSGDMIRYLCEISRNKLCDFDPTRAYALSKLAIVLHTKEVAQRLKQMEANVTVNCVHPGVVRTRLTREREGMATDIAFFLTSKLLKTIPQAAATTCYVATHPTLVNVTGKYFSDCNEASTSKLGSNSTEAARLWTASEIMVSRGSNAVFDPLTSALDYDTSKKQFK >Potri.006G205200.1.v4.1 pep chromosome:Pop_tri_v4:6:21351157:21352201:1 gene:Potri.006G205200.v4.1 transcript:Potri.006G205200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205200.v4.1 MNQEPSQTATLRRRNSIAMSLVIPTKLSLHPSSILPPSHLNGTTLSPLDISLLSLKSSSSYTSLKDLLPSATVNSPTSSNSTTTSPYEISIRNRLVKHAAWAYLQPMSPSADSSGHFLRRVWLRFSTKNPITACFRFLSGHVIPSITSAFDRILHAIRVHVNKLQ >Potri.006G132100.2.v4.1 pep chromosome:Pop_tri_v4:6:10782012:10789146:-1 gene:Potri.006G132100.v4.1 transcript:Potri.006G132100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132100.v4.1 MSVCLSNATTGQPFISTLELRQFNGSVYYTEFENQFYLSMSARINFGADNEAPIRYPDDPYDRIWESDSVKKANYLVDVAAGTKKVSTDMPIDVNIDERPPERVMQTAVVGTNGSLTYRLNLDGFPGFGWAVTYFAEIEDLDPEESRKFRLVLPGYPDMSKAIVNIEENAQGKYRLYEPGYTNLSLPFVLSFRFGKTSDSSRGPLVNAMEIHKYLEKNDGTLDGYVISRVILSHSTEDWAQEGGDPCLPVPWSWVQCNSDARPRIVKLSLSSKNLSGNVPSGLTMLTGLVELWLDGNSLTGPIPDFTGCTGLEIIHLENNQLTGELPSSLLNLPNLRELYVQNNLLSGTIPSGLSRKVALNYSGNINLREGARRGRHMDIIIGSSVGAAVLLIATIVSCLFMHKGKKRHPDQEQLRDSLPMQMVVSSLRNAPGEAAHCFTTFEIEDATKKFEKKIGSGGFGVVYYGKMKDGREIAVKVLTSNSYQGKREFTNEVTLLSRIHHRNLVQFLGYCQEDGKSMLVYEFMHNGTLKEHLYGPLKRGKSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKSSNILVDKNMRAKVADFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGDIQGIIDPSLCGEYDIQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAILIEREVTAAREDISDEMSRNSVHSSLNLGSLDLGGAENYLALDESIAQPTAR >Potri.006G132100.1.v4.1 pep chromosome:Pop_tri_v4:6:10782038:10790910:-1 gene:Potri.006G132100.v4.1 transcript:Potri.006G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132100.v4.1 MGSRLLLLFSLLLFVFVHASSAQPGFLSLDCGGPVNFTDDLGLSWTSDFNFSYGAAASISVANETRKQYTTVRHFPADSRKYCYRLDVTSRTRYLLRATFLYGNFDSNNVYPKFDISVGPTHWSTIVISDANTIESTELIFLASSSSMSVCLSNATTGQPFISTLELRQFNGSVYYTEFENQFYLSMSARINFGADNEAPIRYPDDPYDRIWESDSVKKANYLVDVAAGTKKVSTDMPIDVNIDERPPERVMQTAVVGTNGSLTYRLNLDGFPGFGWAVTYFAEIEDLDPEESRKFRLVLPGYPDMSKAIVNIEENAQGKYRLYEPGYTNLSLPFVLSFRFGKTSDSSRGPLVNAMEIHKYLEKNDGTLDGYVISRVILSHSTEDWAQEGGDPCLPVPWSWVQCNSDARPRIVKLSLSSKNLSGNVPSGLTMLTGLVELWLDGNSLTGPIPDFTGCTGLEIIHLENNQLTGELPSSLLNLPNLRELYVQNNLLSGTIPSGLSRKVALNYSGNINLREGARRGRHMDIIIGSSVGAAVLLIATIVSCLFMHKGKKRHPDQEQLRDSLPMQMVVSSLRNAPGEAAHCFTTFEIEDATKKFEKKIGSGGFGVVYYGKMKDGREIAVKVLTSNSYQGKREFTNEVTLLSRIHHRNLVQFLGYCQEDGKSMLVYEFMHNGTLKEHLYGPLKRGKSINWIKRLEIAEDAAKGIEYLHTGCVPAIIHRDLKSSNILVDKNMRAKVADFGLSKLAVDGASHVSSIVRGTVGYLDPEYYISQQLTDKSDVYSFGVILLELMSGQEAISNESFGVNCRNIVQWAKLHIESGDIQGIIDPSLCGEYDIQSMWKIAEKALMCVQPHGHMRPSISEVLKEIQDAILIEREVTAAREDISDEMSRNSVHSSLNLGSLDLGGAENYLALDESIAQPTAR >Potri.018G139900.2.v4.1 pep chromosome:Pop_tri_v4:18:14501992:14513905:-1 gene:Potri.018G139900.v4.1 transcript:Potri.018G139900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139900.v4.1 MEPQYHIHPPLVILELARSNFLKSRGITIIMPWWKSVKGVADALKTNLEKGIHGDHADLLKRKSAFGSNTYPQKKGKSLWIFLGEACQDLTLIMLMIAAVVSIGLGMKTDGIKKGWYDGASIAFAVIVGVVVTAINDYRQYLQFRILIDEKRNIHVEVIRDGRRPKVSIFDVVVGDVVPLKIGDQIPADGILIPGCSLDIDESSMTGESKIVHKNSREPFLMSGCKVVDGSGTMLVSSVGVNTKWGLLMASTSEDTGEETPLQVYLNGVATFIGSVGLALAAAVLVVLSVRFFTGHTKNLDGRVQFREGNTSAADAINGATKILAVSVATAVVAVPEGLPLAVTLILSFLVKKLLAENALVRRLSACETMGSMTTICTDKTGTLTSNSMTVMEVYVAGQKIDPPDSKSLLSPMLSSLVIEGIARNTTASVFIPEGEGDPVISGSPTEKAIVEWGFKLGMDFDAVRSESSVISVFLFNSEKKKGGVALQLPDSQVHIHWKGAAEIILASCVGYCDANGNLVQMDKDKELLFKNVIEDMAANSLRCIALAYKTYDMDKLPVDEQELAQWPLPEDDLVLLALIGLKNPCHPGVGDAVRTCQNAGIKVRMVTGDNPQTAKAIALECGILSSEEDAVEPNVIEGRVFREYSDSEREDIAEKISVMGRSSPNDKLLLVQALIRRGHVVAVTGDGTNDAPALHEADIGLSMGSQGTQVTKEASDIVLLDDNFSSIPKVVLWGRSIYVNIKKFKQFQLTIIVASVIINAVGAASGGVQLNTVQLLWVNLVMDTLGAWALVTEPPTDNLMRMPPVGRREPLITNILWRNLLFQVAYQVTVLLVLNFRGKSLLGLEHEIPQHANKVKNTLIFNAFVLCQIFNEVNSRKPDELNIFKGILKSHLFIGINAVTLLLQVIIIEFGGKFTSTVRLNWKMWLISVAIAFMSWPLAFIGKFIPVPKSPLHKFFTRRFHRGRRAHG >Potri.018G139900.3.v4.1 pep chromosome:Pop_tri_v4:18:14501993:14513916:-1 gene:Potri.018G139900.v4.1 transcript:Potri.018G139900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139900.v4.1 MLMIAAVVSIGLGMKTDGIKKGWYDGASIAFAVIVGVVVTAINDYRQYLQFRILIDEKRNIHVEVIRDGRRPKVSIFDVVVGDVVPLKIGDQIPADGILIPGCSLDIDESSMTGESKIVHKNSREPFLMSGCKVVDGSGTMLVSSVGVNTKWGLLMASTSEDTGEETPLQVYLNGVATFIGSVGLALAAAVLVVLSVRFFTGHTKNLDGRVQFREGNTSAADAINGATKILAVSVATAVVAVPEGLPLAVTLILSFLVKKLLAENALVRRLSACETMGSMTTICTDKTGTLTSNSMTVMEVYVAGQKIDPPDSKSLLSPMLSSLVIEGIARNTTASVFIPEGEGDPVISGSPTEKAIVEWGFKLGMDFDAVRSESSVISVFLFNSEKKKGGVALQLPDSQVHIHWKGAAEIILASCVGYCDANGNLVQMDKDKELLFKNVIEDMAANSLRCIALAYKTYDMDKLPVDEQELAQWPLPEDDLVLLALIGLKNPCHPGVGDAVRTCQNAGIKVRMVTGDNPQTAKAIALECGILSSEEDAVEPNVIEGRVFREYSDSEREDIAEKISVMGRSSPNDKLLLVQALIRRGHVVAVTGDGTNDAPALHEADIGLSMGSQGTQVTKEASDIVLLDDNFSSIPKVVLWGRSIYVNIKKFKQFQLTIIVASVIINAVGAASGGVQLNTVQLLWVNLVMDTLGAWALVTEPPTDNLMRMPPVGRREPLITNILWRNLLFQVAYQVTVLLVLNFRGKSLLGLEHEIPQHANKVKNTLIFNAFVLCQIFNEVNSRKPDELNIFKGILKSHLFIGINAVTLLLQVIIIEFGGKFTSTVRLNWKMWLISVAIAFMSWPLAFIGKFIPVPKSPLHKFFTRRFHRGRRAHG >Potri.018G139900.1.v4.1 pep chromosome:Pop_tri_v4:18:14501992:14513905:-1 gene:Potri.018G139900.v4.1 transcript:Potri.018G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139900.v4.1 MLMIAAVVSIGLGMKTDGIKKGWYDGASIAFAVIVGVVVTAINDYRQYLQFRILIDEKRNIHVEVIRDGRRPKVSIFDVVVGDVVPLKIGDQIPADGILIPGCSLDIDESSMTGESKIVHKNSREPFLMSGCKVVDGSGTMLVSSVGVNTKWGLLMASTSEDTGEETPLQVYLNGVATFIGSVGLALAAAVLVVLSVRFFTGHTKNLDGRVQFREGNTSAADAINGATKILAVSVATAVVAVPEGLPLAVTLILSFLVKKLLAENALVRRLSACETMGSMTTICTDKTGTLTSNSMTVMEVYVAGQKIDPPDSKSLLSPMLSSLVIEGIARNTTASVFIPEGEGDPVISGSPTEKAIVEWGFKLGMDFDAVRSESSVISVFLFNSEKKKGGVALQLPDSQVHIHWKGAAEIILASCVGYCDANGNLVQMDKDKELLFKNVIEDMAANSLRCIALAYKTYDMDKLPVDEQELAQWPLPEDDLVLLALIGLKNPCHPGVGDAVRTCQNAGIKVRMVTGDNPQTAKAIALECGILSSEEDAVEPNVIEGRVFREYSDSEREDIAEKISVMGRSSPNDKLLLVQALIRRGHVVAVTGDGTNDAPALHEADIGLSMGSQGTQVTKEASDIVLLDDNFSSIPKVVLWGRSIYVNIKKFKQFQLTIIVASVIINAVGAASGGVQLNTVQLLWVNLVMDTLGAWALVTEPPTDNLMRMPPVGRREPLITNILWRNLLFQVAYQVTVLLVLNFRGKSLLGLEHEIPQHANKVKNTLIFNAFVLCQIFNEVNSRKPDELNIFKGILKSHLFIGINAVTLLLQVIIIEFGGKFTSTVRLNWKMWLISVAIAFMSWPLAFIGKFIPVPKSPLHKFFTRRFHRGRRAHG >Potri.017G079200.1.v4.1 pep chromosome:Pop_tri_v4:17:8751904:8761120:-1 gene:Potri.017G079200.v4.1 transcript:Potri.017G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079200.v4.1 MYGFEAMTFNIHGGYLEAIVRGHRSGLLTASDYNNLCQCETLDDIKMHLSATEYGPYLQNEPSPLHTITIVEKCTLKLVDEYKHMLTQATEPLSTFLEYCTYGHMIDNVVLIVTGTLHERDVQELLEKCHPLGMFDSIATLAVAQNMRELYRLVLVDTPLAPYFSECITSDDLDDMNIEIMRNTLYKAYLEDFYRFCQKLGGATAEIMSDLLSFEADRRAVNITINSIGTELTREDRRKLYSNFGLLYPYGHEELAVCEDLDQVRGVMEKYPPYQSIFAKLSYGESQLLDKAFYEEEVKRLCLAFEQQFHYAVFFAYIRLREQEIRNLMWISECVAQNQKSRVHDSVVFIF >Potri.003G204475.1.v4.1 pep chromosome:Pop_tri_v4:3:20364475:20365722:1 gene:Potri.003G204475.v4.1 transcript:Potri.003G204475.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204475.v4.1 MYLKLIQLKLNSMEEVGNELEVVETYNSREGEVREMVEVETCRCMEEGETSLEVKVKSSGMEVAGREMVEVETCRCMKEGEISLAGEVKSSGMEVAGREMVEVETYIRMEEEEISSAGEVKSSGTEVAGREMVEAETCIHTGVGETSLEVEVEVKSSGTEVAGREMVEAETYIRTGVGETSLAGEVKSSGTEVAGREMVEVETCIRMEVGETSLAGEVKSSGMEVAGRKMVEVEIYRYKEGEETFLEGEETSSDMKEVKILMVEVMICSSKAHKQPR >Potri.001G380800.6.v4.1 pep chromosome:Pop_tri_v4:1:40100321:40102496:-1 gene:Potri.001G380800.v4.1 transcript:Potri.001G380800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380800.v4.1 MTNRIISASKAQMAKAQYIAFYITVCCIAFIVSKIVISVLLYKRWKRKHLICEEDGFSGGKMVMFKSAMMQSLKSDELFKKTLKLSYKDIIGSGGYGTVYKLMLNESTALAVKRLNRGTAERDRGFERELEAMGDIKHRNILALHGYCTTPQYNLLIYELMPNGSLDTFLHGRSVETKLLDWPSRYKIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQNMEAQVSDFGLATLMEPDKTHVSTLVAGTFGYLAPEYFDTGKATVKGDVYSFGVVLLELLTGRKPTDEEFFKEGTKLVTWVSLMNLI >Potri.001G380800.3.v4.1 pep chromosome:Pop_tri_v4:1:40099493:40102496:-1 gene:Potri.001G380800.v4.1 transcript:Potri.001G380800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380800.v4.1 MAKAQYIAFYITVCCIAFIVSKIVISVLLYKRWKRKHLICEEDGFSGGKMVMFKSAMMQSLKSDELFKKTLKLSYKDIIGSGGYGTVYKLMLNESTALAVKRLNRGTAERDRGFERELEAMGDIKHRNILALHGYCTTPQYNLLIYELMPNGSLDTFLHGRSVETKLLDWPSRYKIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQNMEAQVSDFGLATLMEPDKTHVSTLVAGTFGYLAPEYFDTGKATVKGDVYSFGVVLLELLTGRKPTDEEFFKEGTKLVTWVKAVVEHKREEYVLDSSLKCSPADEINKVFRIAFRCLEPEPSKRPTMADVVKMLEQAKSERVVEEY >Potri.001G380800.4.v4.1 pep chromosome:Pop_tri_v4:1:40099493:40102496:-1 gene:Potri.001G380800.v4.1 transcript:Potri.001G380800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380800.v4.1 MTNRIISASKAQMAKAQYIAFYITVCCIAFIVSKIVISVLLYKRWKRKHLICEEDGFSGGKMVMFKSAMMQSLKSDELFKKTLKLSYKDIIGSGGYGTVYKLMLNESTALAVKRLNRGTAERDRGFERELEAMGDIKHRNILALHGYCTTPQYNLLIYELMPNGSLDTFLHGRSVETKLLDWPSRYKIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQNMEAQVSDFGLATLMEPDKTHVSTLVAGTFGYLAPEYFDTGKATVKGDVYSFGVVLLELLTGRKPTDEEFFKEGTKLVTWVKAVVEHKREEYVLDSSLKCSPADEINKVFRIAFRCLEPEPSKRPTMADVVKMLEQAKSERVVEEY >Potri.001G380800.1.v4.1 pep chromosome:Pop_tri_v4:1:40099493:40102496:-1 gene:Potri.001G380800.v4.1 transcript:Potri.001G380800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380800.v4.1 MAKAQYIAFYITVCCIAFIVSKIVISVLLYKRWKRKHLICEEDGFSGGKMVMFKSAMMQSLKSDELFKKTLKLSYKDIIGSGGYGTVYKLMLNESTALAVKRLNRGTAERDRGFERELEAMGDIKHRNILALHGYCTTPQYNLLIYELMPNGSLDTFLHGRSVETKLLDWPSRYKIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQNMEAQVSDFGLATLMEPDKTHVSTLVAGTFGYLAPEYFDTGKATVKGDVYSFGVVLLELLTGRKPTDEEFFKEGTKLVTWVKAVVEHKREEYVLDSSLKCSPADEINKVFRIAFRCLEPEPSKRPTMADVVKMLEQAKSERVVEEY >Potri.001G380800.5.v4.1 pep chromosome:Pop_tri_v4:1:40099493:40102022:-1 gene:Potri.001G380800.v4.1 transcript:Potri.001G380800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380800.v4.1 MAKAQYIAFYITVCCIAFIVSKIVISVLLYKRWKRKHLICEEDGFSGGKMVMFKSAMMQSLKSDELFKKTLKLSYKDIIGSGGYGTVYKLMLNESTALAVKRLNRGTAERDRGFERELEAMGDIKHRNILALHGYCTTPQYNLLIYELMPNGSLDTFLHGRSVETKLLDWPSRYKIALGAARGISYLHHDCIPHIIHRDIKSSNILLDQNMEAQVSDFGLATLMEPDKTHVSTLVAGTFGYLAPEYFDTGKATVKGDVYSFGVVLLELLTGRKPTDEEFFKEGTKLVTWVKAVVEHKREEYVLDSSLKCSPADEINKVFRIAFRCLEPEPSKRPTMADVVKMLEQAKSERVVEEY >Potri.001G391300.1.v4.1 pep chromosome:Pop_tri_v4:1:41457167:41458822:1 gene:Potri.001G391300.v4.1 transcript:Potri.001G391300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G391300.v4.1 MQSSTPMERSLFFSLFLLFSVSIVAQSTVPSNSTFKKVNTGEWSEAISEYSSDFRALDISASVFQVCFYNTTPNAFTLAIRMGTRRSPAVRRFVWEANRGNPVGEDATLTFGEDGNLILADADGRVAWQTNTADKGVVGLQMLPNGNMVLHDSKGNFIWQSFDYPTDTLLVGQSLRVGGVTRLVSRASDKKNTNGAYSLVLEPKRIAMYYKSPNSPKPYIYYTSDLFSIQKGRLQYVRLINSANELSLQFSTGGGPLLSKPNFNSTLSFLRLGVDGNLRVYSFNNQETSASWDVTFTLFSKDASVWESECQLPEKCGKFGLCEDSQCVGCPLPNGLGNWTESCEPVKVTVCNKNFYYYKLEGVDHSMSKYGNGNGPLKENDCEKECSSDCKCSGYFYNTKTSMCWITYDLQTLTRVANSTHVGYIKVPNHQ >Potri.005G115800.1.v4.1 pep chromosome:Pop_tri_v4:5:8449463:8452381:-1 gene:Potri.005G115800.v4.1 transcript:Potri.005G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115800.v4.1 MYSSSAGNSMLRRNFLRPRIIQASYKTQKEGIACNTKVPRNVNMEKLQSAYLFPEISMREVQHIEKYPDAKLISLGIGDTTEPIPDIIASSMANYARSLSTAEGYSGYGAEQGNKALRKAIAETFYRDVRVKETEVFVSDGSQCDITRLQLLFGSNVSIAVQDPSFPAYVDSSVIIGQAGDFEDKTGMYGKIQYMKCLPKTNFFPDLATASRSDIIFFCSPNNPTGHAATRQQLEQLVKFAKENGSIIIFDSAYAAYISDDSPRSIFEIPGAREVAIEVSSFSKFAGFTGIRLGWTVVPEELSFSNGFPVINDFNRIVCTCFNGASNIAQAGGLACLSPEGFASVHSIIKCYKENAKILRDTFSSLGLKVYGGENAPYLWVHFPGSKSWDIFTEILEKTHIITVPGSGFGPEGEEFMRISAFGHKESIIEAARRLGNLYP >Potri.015G013800.1.v4.1 pep chromosome:Pop_tri_v4:15:903671:905180:-1 gene:Potri.015G013800.v4.1 transcript:Potri.015G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013800.v4.1 MRSKRRSMDKKTTLPQETLTDILSRLPIKSLTRFESVSKPFSALINSPDFISAHLHRSSRHFTFFIRHFHNPSGSNFSFSLTNNQPIDVEIPLLGSLIRFPKIVGSSNGLVCLDISSCYARGFVLWNIARKQYSCLPSPIISDSRGPFWMVSTGFGFDREKNDYKVVRIVGFACEKGESPVVMVEVFSWRTGCWKVIDGRAIGACVIHEGHNGVVINGGLHWLGNSAGKSGGIQKFILSFDLNTEEFRKIPTPEFSAGVCVKIMGFKGLLALAYYPSKGLVGRPAATDRVEICVWDDYGGADGKYWTKLNSLQLNALGYPVGVTNETGLIMRKLDGQFTQFFLCDPSNQNYRRLHICEATYSCDIHSYVESLVPVSAGHDHRVIEEEVLSEV >Potri.010G188200.1.v4.1 pep chromosome:Pop_tri_v4:10:18458137:18464640:-1 gene:Potri.010G188200.v4.1 transcript:Potri.010G188200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188200.v4.1 MPTPVTTARQCLTEEAGHALDEAVNVARRRGHGQTTSLHAVSALLSLPSSPLRDACARARNSAYSSRLQFKALELCLGVSLDRVPTSQLSDDSPPVSNSLMAAIKRSQANQRRQPENFNLYHQIQQQQQQSSSSISCIKVELQNLILSILDDPVVSRVFGEAGFRSSEIKLAIVRPLPQVFKFSSSRFKGPPLFLCNLLSSEDPDSLYSGPGRRGVFSFPFSGGLFLNNNSNNNNGDANCRRIGEVLARNKGRNPLLVGLSAYHTLASFSEMVEKRKENVLPVELCGLSVICMESDVNKFITSENFDKKCVDLRFEELGQFVEKSLGPGLLANFGDLKAFVSNDDHNNGMDDAVSYVIEKLTKLLQLYGGRVWLIGAASYENYSKFVGRFPSTEKDWDLQLLPITSLRTPSVAESYPRSSLMESFVPFGGFFSTPSDLNAPLNRSCKYLPRCHLCNEKCEQEILSVSKGGFIGSVADQHQSSLPTWMEMAEIGTNKGLDAKTRDDGMVLSTRVAGLQRKWDSICQRLHHTQPPGSNTHPPQFPAVAGFQLVEDEKEDAENLSSKDTSALPNGNRCVNVNSYIPSDLQKTSRKQLGFSLPVVSEARSDSILSKQWEKPSKEEDLGSSGLRSPYSFSNSCMVDGSQASPTSVTSVATDLGLRISSIGNELKKTVNQNHMELPHDLSGSFSANVDLVHGSISDHRARSSSSSSPVFGGQFDPSNAKMLFRAVVERVGWQDEAIRIISQTIAHCRAINEKRQGASLRGDIWFSFCGPDRCGKKKIASALAEVIYGSRENFISADLSSQDGMVHAHMVFDRPEMSGYTVKFRGKTMVDFVAGELCKKPLSIVFLENIDKADVQAQKSLSQAIQTGKFADSHGREVGISNAIFVTTSTLTEDKVGSSSNDFSTYSEERILKAKDWPMKILIERVLDEEMGQVITPITAKKDIPSSIFLNKRKLVGANQNLDRQEITEMVKRAHKMSARNLDLNLPAGENDLPDTDDGNSDNDPESDISKAWLQGFLEQVDARVFFKPFDFDALAERILNEVNGCFHKIVGWECLLDIDPKVMEQLLAATYLSDQNRVVEDWVEQVLGWGFVEVLRRHSLNANSIVKLVACKSLFLEGRMPGVYLPTKIIIN >Potri.009G034600.1.v4.1 pep chromosome:Pop_tri_v4:9:4480359:4482697:-1 gene:Potri.009G034600.v4.1 transcript:Potri.009G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034600.v4.1 MAKYFMPCLKTPKSTSLLISLILILQFSLISSHGGHDGNDSSEGNVHLHSKSLILVKVWCLIILLVTTFAGGISPYFYRWNENFLLLGTQFAGGVFLGTSLMHFLSDSADTLSNLTTKTYPFSFMLASAGYLLTMLGDCIVMFVTRSGAEREARVQVDEGVVAQEDDKDVAMNADPIFLKTTSLGDTILLILALCFHSVFEGIAVGVAGTKGEAWRNLWTISLHKIFAAIGMGIALLRMLPKRPFLLTAAYSFAFAISSPLGVGIGIAIDATAQGQEADWIFGISMGLACGVFIYVAINHLIAKGFHPQAKLYFDTPFFKFVAVFLGVGVIAVVMIWD >Potri.012G026900.4.v4.1 pep chromosome:Pop_tri_v4:12:2587619:2605117:-1 gene:Potri.012G026900.v4.1 transcript:Potri.012G026900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G026900.v4.1 MGFSPLSLSQSISFILFLFHFHSTISSSHFCALDQSLSLLQFKESFSIRSSASDHCQHPKTESWKEGTDCCSWDGVTCDMKTGHVTGLDLACSMLYGTLHPNSTLFSLHHLQQLDLSDNDFNSSHISSRFGQFSNLTLLNLNYSVFAGQVPSEISHLSKLVSLDLSDNNLQLSLEPISFDKLLVRNLTKLRELDLSSVNMSLVVPDSLMNLSSSLSSLKLNYCRLKGKLPSSMGKFKHLQYLDLGGNDFTGSIPYDFEQLTELVSLGLSSNNDLSLEPISFHKIVQNLTKLRELDLGYVNMSLVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPKLESLDMSYNNRLTGSFPSSNLSNVLSSLDLSNTRISVYLEKDLISNLKSLEYMYLRNSNIIRSDLAPLGNLTQLILLDFSSNNFIGEIPSSLGNLVQLRYLKLDSNKFMGQIPDSLGSLLNLRTLSLYGNLFNGTIPSFLFALPSLQYLDLHNNNLIGNISELQHDSLVYLDLSNNHLHGPIPSSIFKQENLEVLILASNSKLTGEISSSICKLRFLRLLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKNNSLEYLNLNGNELEGKIPPSIISCTLLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTTYNSFSKLQIFDISDNNFSESLPTGYFNSLEAMMTLDQNMIYMGAINYSSYVYSIEMIWKGVKTKFMKIQSTIRVLDLSNNNFTGEIPKVIEKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLEGLTFLAILNLSHTKWRAIQHL >Potri.012G026900.3.v4.1 pep chromosome:Pop_tri_v4:12:2587619:2605117:-1 gene:Potri.012G026900.v4.1 transcript:Potri.012G026900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G026900.v4.1 MGFSPLSLSQSISFILFLFHFHSTISSSHFCALDQSLSLLQFKESFSIRSSASDHCQHPKTESWKEGTDCCSWDGVTCDMKTGHVTGLDLACSMLYGTLHPNSTLFSLHHLQQLDLSDNDFNSSHISSRFGQFSNLTLLNLNYSVFAGQVPSEISHLSKLVSLDLSDNNLQLSLEPISFDKLLVRNLTKLRELDLSSVNMSLVVPDSLMNLSSSLSSLKLNYCRLKGKLPSSMGKFKHLQYLDLGGNDFTGSIPYDFEQLTELVSLGLSSNNDLSLEPISFHKIVQNLTKLRELDLGYVNMSLVAPNSLTNLSSSLSSLSLWGCGLQGKFPGNIFLLPKLESLDMSYNNRLTGSFPSSNLSNVLSSLDLSNTRISVYLEKDLISNLKSLEYMYLRNSNIIRSDLAPLGNLTQLILLDFSSNNFIGEIPSSLGNLVQLRYLKLDSNKFMGQIPDSLGSLLNLRTLSLYGNLFNGTIPSFLFALPSLQYLDLHNNNLIGNISELQHDSLVYLDLSNNHLHGPIPSSIFKQENLEVLILASNSKLTGEISSSICKLRFLRLLDLSNNSLSGSTPLCLGNFSNMLSVLHLGMNNLQGTIPSIFSKNNSLEYLNLNGNELEGKIPPSIISCTLLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTTYNSFSKLQIFDISDNNFSESLPTGYFNSLEAMMTLDQNMIYMGAINYSSYVYSIEMIWKGVKTKFMKIQSTIRVLDLSNNNFTGEIPKVIEKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQLEGLTFLAILNLSHNQLEGLTFLAILNLSHTKWRAIQHL >Potri.012G026900.2.v4.1 pep chromosome:Pop_tri_v4:12:2601860:2605117:-1 gene:Potri.012G026900.v4.1 transcript:Potri.012G026900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G026900.v4.1 MGFSPLSLSQSISFILFLFHFHSTISSSHFCALDQSLSLLQFKESFSIRSSASDHCQHPKTESWKEGTDCCSWDGVTCDMKTGHVTGLDLACSMLYGTLHPNSTLFSLHHLQQLDLSDNDFNSSHISSRFGQFSNLTLLNLNYSVFAGQVPSEISHLSKLVSLDLSDNNLQLSLEPISFDKLVRNLTKHRELDLSSVDMSLLVPDSLMNLSSSLSSLKLNDCGLQRKLPSSMGKFKHLQYLDLGGNDFTGSIPYDFEQLTELVSLGLSSNNYLSLEPISFHKIVQNLTKLRELDLSSVNMSLVSQDFFNSLTNLSSSFSSLFLSNCGLQGKFPGNIFLLPKLESLDLSSNEGLTGSFPSSNLSNVLSRLSLSNTRISVYLENDLIRNLKSLEYMLLRNCNIIRSDLALLGNLTQLIYLDFSSNNLNGEIPSSFRNLVHLYYLKLDSNKFMGHIPDFLGSLSNLESLSLYDNLFNGTIPSSLFALPSLYYLDLHNNNLIGNISEFQHDSLTYLDLSNNHLHGPISSSIFKQENLRVLILASNSKLTGEISSSICKLRFLRVLDLSSNSFSGSTPLCLGNFSNMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNEFEGKILSSIINYAMLEVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTTHNSFSKLQILDISDNDFSGSLPSGYFNSLEAMMASDQNMIYMNASNYSSYVYSIEMTWKGVEIEFPKIQSTIRILDLSNNNFNGEIPKVIAKLKALQLLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGITFLAILNLSHNQLKGRIPCGEQFNTFTATSFEGNLGLCGFQVLKECYGDEAPSLLPSSFDEGDGSTLFEDAFGWKAVTMGYGCGFVFGVATGYIMFRTNKPSWFFRMIEDIRNHKSKKTKKNAGRFGDRRN >Potri.015G119201.1.v4.1 pep chromosome:Pop_tri_v4:15:13331436:13331711:-1 gene:Potri.015G119201.v4.1 transcript:Potri.015G119201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119201.v4.1 MMALLPFLTASNPNPNPLFLGAFVGVEMEGMKVEEAYFRGRNLQGARFPIPNGCSGNYVILQGIEI >Potri.006G181400.1.v4.1 pep chromosome:Pop_tri_v4:6:18800444:18807592:1 gene:Potri.006G181400.v4.1 transcript:Potri.006G181400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181400.v4.1 MAMYIRVKRNKTTYFIQCDPTEKTLEIKQKLNVLIDQPVNDQRLILVGTGEVLEDSKSLAEQKVENDAVVALTLRKDDNEFEDVSIVRPDDFYQSRDADGTNW >Potri.002G021500.1.v4.1 pep chromosome:Pop_tri_v4:2:1346830:1347674:-1 gene:Potri.002G021500.v4.1 transcript:Potri.002G021500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021500.v4.1 MANPRVYFDMTIGGQPAGRIVMELFADTTPRTAENFRALCTGEKGKGRSGKPLHYKGSTFHRVIPGFMCQGGDFTAGNGTGGESIYGSKFADENFIKKHTGPGILSMANAGPGTNGSQFFVCTAKTEWLDGKHVVFGRVVEGLDVVKAIEKFGSSNGRTSKPVVVADCGQLS >Potri.004G018800.1.v4.1 pep chromosome:Pop_tri_v4:4:1341863:1343573:-1 gene:Potri.004G018800.v4.1 transcript:Potri.004G018800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018800.v4.1 MDLIDLLILCIALMFARLWWRHWSVTGGGPRNLPPGPPGWPIVGNLFQIILQRRPFIYVVRDLRAKYGPIFTLQMGQRTLVIVTSSELIHEALVQRGPTFASRPADSPIRLVFSVGKCAINSAEYGPLWRSLRKNFVTQFINPVRIKQCSWVRECASENHMKRLKTEALENGFVEVMSNCRLTICSILICLCFGARISEERIKNIEAILKEVMLMTTPKLPDFLPILAPLFRKKMEEAKELRRKQMECLVPLIRNRRAFVEKGENPDLEMASPVGAAYIDSLFAMKPVNRGPLGEQEFVTLCSEVISAGTDTSATTIEWALLNLVQNQEIQEKLYQEIIGCVGKHGVVKEEDTEKMPYLGAIVKETFRRHPPSHFVLSHAATNETQLAGYTIPADVNVEFYTAWLTEDPDLWKDPGEFRPERFLEGDGVDVDMTGTRGVKMMPFGVGRRICPAWSLGVLHVNMLLARMVHAFKWLPCPTAPPDPTETFAFTVVMKNPLKAVILPR >Potri.006G211900.1.v4.1 pep chromosome:Pop_tri_v4:6:21874084:21875079:1 gene:Potri.006G211900.v4.1 transcript:Potri.006G211900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211900.v4.1 MDTSKPAIFVNGALLPMHVRKRVRTVIQVVGADRGAVVGKSPDDLQLVVKGSPPSAPLTNFVEVIGIADSEKSIQAEIWTNFGDAFDTYNYNQLCQLANGEYQHLFL >Potri.013G047000.2.v4.1 pep chromosome:Pop_tri_v4:13:3337040:3340340:-1 gene:Potri.013G047000.v4.1 transcript:Potri.013G047000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047000.v4.1 MTTFSTELISHNYSFPFTSKHLKPSLLSLQSNVVSCINPNHNATIHHLGNQPKVRSVLPETRPALALSYDFEETHLMRLLNRSCKAGKYSESLYFLECMVAKDYQPDVILCTKLIKGFFNSRNIDKATRVMGILEKHGKPDVFAYNAVISGFCKANRIESAKTVLDRMKRKGFSPDVVTHNIMIGTFCSKGKIDLALKVFEELLKDNNCKPTLITYTILIEAYILEGGIDEALKLLDEMLSRGLEPDTFTYNVITRGLCKEGKVDRAFEFVRTLNSRGCKPDVITYNILLRALLNQGKWDEGEKWMSEIFSRGCEPNVVTYSILISSLCRDGKIEESVNLVKVMKEKGLTPDAYCYDPLIAAFCREGKLDMAIEFLDCMICDGFLPDIVNYNTIMAALCKNGNGDHAVEIFGKLDEVGCPPNVSSYNTMLSALWSSGDRYRALGMISQMLSKGIDPDVITYNSLISCLCRDGMVDEAIGLLADMLSGRFQPNIVSYKTVLLGLCKAHRIDDAIEVLAAMIENGCQPNETTYTLLIEGIGFSGSRTQAMELANSLYIMNAISEDSFKRLNKTFPLLDVYKGFIYSN >Potri.001G142300.6.v4.1 pep chromosome:Pop_tri_v4:1:11660470:11663279:-1 gene:Potri.001G142300.v4.1 transcript:Potri.001G142300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142300.v4.1 MNQKAKSLLLYKMENGKIINPSIIQDGFMTKTHDEEELSKSHNDTTKRLKNRERQRRYRARKRREADTKKASVTNQPTPPRGEVELNGHHNNNITPNPCKRNWKKDARRAHACKNLEETHNAAVITALPFNIKSQTVCSAPGIMTGPSQERETHSKNSVSLWISETDKTKFGRRDWKAEARSNKK >Potri.001G142300.10.v4.1 pep chromosome:Pop_tri_v4:1:11660470:11663336:-1 gene:Potri.001G142300.v4.1 transcript:Potri.001G142300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142300.v4.1 MENGKIINPSIIQDGFMTKTHDEEELSKSHNDTTKRLKNRERQRRYRARKRREADTKKASVTNQPTPPRGEVELNGHHNNNITPNPCKRNWKKDARRAHACKNLEETHNAAVITALPFNIKSQTVCSAPGIMTGPSQERETHSKNSVSLWISETDKTKFGRRDWKAEARSNKK >Potri.002G024966.1.v4.1 pep chromosome:Pop_tri_v4:2:1625616:1628899:1 gene:Potri.002G024966.v4.1 transcript:Potri.002G024966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024966.v4.1 MGASSSTEQNVSREQREVESLAASTGSISLLQNAFSKLADPQTNAIPLQSLQKCFSLNYKNTECEALKIPDCLLGLLDHLGPSMVDLFFVTDKGGVNWVEFVRGYLKCCGRMPVSALLKTLLRLFAATGVKAGIPLKLEFEAIDDGDYKVSGSLLPIDVLMFLWMCWTMLWNSRTWRVLKERIYIFRILVLWCYQQLFLVLRVAVDWNCGIVIFQV >Potri.019G082500.1.v4.1 pep chromosome:Pop_tri_v4:19:12262079:12268674:-1 gene:Potri.019G082500.v4.1 transcript:Potri.019G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082500.v4.1 MEGVVVLLLSLLSCFLVASGNVLLIGNNVTMAFDDIEANFAPAIKGSGECGVLYLAEPIDACSDLTNQAEKGSNCSSPFVLIIRGGCSFEDKVRRAQKAGYKAAIIYDDEEGILVAMAGNSAGVTIPAVFVSKTSGETLKKYAGLTDLELWIIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRSSRVREFHGMSSRLVKAMPSLTFTSALEDNCTSTTCAICLEDYTVGEKLRILPCRHKFHAFCVDSWLTTWRTFCPVCKRDARTSTGEPPATESTPLLSSNPSSLASSSMLSSFRSGTSTAIQITPSRTPSVSYIPSLSSTPYAQQSLRSYRHSPSIALSQSSADLRNMSSQRSRASFLVSPHSLGYPSISPLNTRYMSAYIPSPSNASPSLVSSSHQPRPLHCSESAASFSPFASAQSLPDC >Potri.019G082500.3.v4.1 pep chromosome:Pop_tri_v4:19:12262099:12268502:-1 gene:Potri.019G082500.v4.1 transcript:Potri.019G082500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082500.v4.1 MAGNSAGVTIPAVFVSKTSGETLKKYAGLTDLELWIIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRSSRVREFHGMSSRLVKAMPSLTFTSALEDNCTSTTCAICLEDYTVGEKLRILPCRHKFHAFCVDSWLTTWRTFCPVCKRDARTSTGEPPATESTPLLSSNPSSLASSSMLSSFRSGTSTAIQITPSRTPSVSYIPSLSSTPYAQQSLRSYRHSPSIALSQSSADLRNMSSQRSRASFLVSPHSLGYPSISPLNTRYMSAYIPSPSNASPSLVSSSHQPRPLHCSESAASFSPFASAQSLPDC >Potri.019G082500.2.v4.1 pep chromosome:Pop_tri_v4:19:12262109:12267595:-1 gene:Potri.019G082500.v4.1 transcript:Potri.019G082500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G082500.v4.1 MQILIQNAEKFSVAGNSAGVTIPAVFVSKTSGETLKKYAGLTDLELWIIPSFENSAWSIMAISFISLLAMSAVLATCFFVRRHRIRRERPRSSRVREFHGMSSRLVKAMPSLTFTSALEDNCTSTTCAICLEDYTVGEKLRILPCRHKFHAFCVDSWLTTWRTFCPVCKRDARTSTGEPPATESTPLLSSNPSSLASSSMLSSFRSGTSTAIQITPSRTPSVSYIPSLSSTPYAQQSLRSYRHSPSIALSQSSADLRNMSSQRSRASFLVSPHSLGYPSISPLNTRYMSAYIPSPSNASPSLVSSSHQPRPLHCSESAASFSPFASAQSLPDC >Potri.006G166500.1.v4.1 pep chromosome:Pop_tri_v4:6:16397010:16408009:1 gene:Potri.006G166500.v4.1 transcript:Potri.006G166500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166500.v4.1 MIRGGRSYVLSPPAFSNDAKRLLVCTANSVTIFSTATGLPVLSLDGHTALVTAVIVVPASTPASKILCYCWTASLDGTIRYWDFSVPELIKIINVNLPIISMVIPSLLSQTAETNDKSPKTFAYLSVENTKEPEKESGKALRGQIKKCNLTNSRMAGGMTLSETKQPEIITVSSSGKYFGIQFKRKLRIWKVPTAESERAVVKKITLHHTKNMNVLAFHPTQRIVAAGDVTGRILIWRGFGDRTFADGDGLVSRKLTNNEEERPGVRGDDDADSCTTWHWHSAEVNVLFFSSDGAYLYSGGKEGVLVVWQLDTGRKKFLPRIGSPLLWFTDSPDPSLSSISCADNQIHLLKMPSMEILKSISGIKLPCSFPEMCNGLQSGIAFDCNAGLVALRTENYCIQLYSLFDDRGISEVVVCERNHQPGDEVTVVVTLAVLSLDGSMMSTAEVKLPEEGLGGLVCLKFWALGSQNKEFSLSTIVYEPHRDAGISAIAFHPTRPMAVSSSYGGDFKVWVCNNGIQEMDKPLPNSGWTCHAVGSYKKKPMTAATFSSDGSVLAVAAETVITLWDADKNILVAVIGETLMPVVNLAFAGKSEYLVSASWGSKPQLSIWSMSKLSVSWSYMLHVEAIASAADMSFFAALALLPESSKWNETSLKGRDGVILLFNASDPVPIFTWSVQKAKGGALAFIQANQFAIDENELDGKPHQSLLAYVNGDHEYLLFDPQGKEAKEHSTIRQEGLGDLEEAGKFGYASIYGELPQFDPKRKQASWVPSASLERPWETVFSGSSHNLPPLTKLCSVFLESLLEKRTVNVD >Potri.004G207900.1.v4.1 pep chromosome:Pop_tri_v4:4:21513561:21516398:1 gene:Potri.004G207900.v4.1 transcript:Potri.004G207900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207900.v4.1 MSPPGNSRDNTGDSGMVGGINPSLISLEGSNFTANGQIFLSDVPDNITITSSPYSPIAGFFVGFESKEPADRHVVPIGKLKSIRFMSIFRFKVWWTTHWVGSNGRDLEHETQMVMLDKSDSGRPYVLLLPLIEGPFRASLQPGNNDNIDICVESGSTKVSGAEFGSVLYVHVGDDPYNLVKEAIKVARKHLDTFRLLEEKTPPGIVDKFGWCTWDAFYLTVHPQGVWEGVKGLVEGGCPPGLVLIDDGWQSISHDEDPITKEGMNAAVAGEQMPCRLLKFQENYKFRDYVSPKSLAIGANDNKGMGAFIKDLKEEFKSVDYVYVWHALCGYWGGLRPNVPGLPETEIVKPKLSPGLEMTMEDLAVDKIVNNDIGLVPPEIVNQMYEGLHSHLENVGIDGVKVDVIHLLEMLSENYGGRVELAKAYYKALTASVRKHFNGNGVIASMEHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIRPDWDMFQSTHPCAEFHAASRAISGGPIYVSDTVGKHNFPLLRRLVLPDGTILRCEYYALPTKDCLFEDPLHDGNTMLKIWNLNKFTGVIGAFNCQGGGWCRETRRNKCASQFSHLVTAKTNPNDIEWNSGKNPISIEGVQVFAMYFSQSKMLVLCKPYDNIEMALEPFNFELITVSPVTALAGKLVQFAPIGLVNMLNTGGAIQSLAYNDANSSVQIGVTGTGEMRVFASEKPIACKIDGREVPFDYEECMVVTQVPWSAPSGQSMAEYLF >Potri.006G121700.1.v4.1 pep chromosome:Pop_tri_v4:6:9658751:9660301:1 gene:Potri.006G121700.v4.1 transcript:Potri.006G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121700.v4.1 MPIRNIAVGHYHEATQPDALRAALAEFISTLIFVFAGEGSGMAFAKLTDGAANTPAGLIAAAIAHAFALFVAVSVGANISGGHVNPAVTFGAFIGGNITLLRGILYWIAQLLGSTVACLLLKFTTGGLETSAFALSSGVGVWNAFVLEIVMTFGLVYTVYATAVDPKKGNLGIIAPIAIGFIVGANILAGGAFDGASMNPAVSFGPALVSWTWTNHWVYWAGPLIGGGLAGLIYEFFFIGFGNHEQLPTADY >Potri.013G011100.1.v4.1 pep chromosome:Pop_tri_v4:13:707117:711124:-1 gene:Potri.013G011100.v4.1 transcript:Potri.013G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011100.v4.1 MATRNRTLIFRKYRDALKSVRVPTSSSPSTSSVGGVGGSGGGPVIELASTSLLNPNRKYAPLSTEDPGNSSKGAFTVGLPPAWVDVSEEIAANVQRARMKMVELAKAHAKALMPSFGDGKEDQRTIEGLTQEITGLLRKSEKQLKRLAAAGPSEDSNVRKNVQRSLATDLQNLSMELRKKQSTYLKRLRQQKEGQDGDDLEMNLNGGRSIIDDDNLDDMVFNEHQMAKLKKSEAFTVEREREIQQVVESVNELAQIMKDLSVLVIDQGTIVDRIDYNIQNVATTVEEGLKQLQKAERTQKRGGMVMCATVLVIMCAVMLILLILKSILF >Potri.002G133100.2.v4.1 pep chromosome:Pop_tri_v4:2:10024925:10028143:1 gene:Potri.002G133100.v4.1 transcript:Potri.002G133100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G133100.v4.1 MEETCKPLETDDRLKHHPLTPFRLIRGLICLLVYLSTAFMFLVYFAPVVAVLMRLFSIHYCRKTTSFIFAIWLALWPFLFEKINGTKVVFSGDLVPPKERVLIIANHRTEVDWMYLWDLALRKGCLGYIKYILKSSLMKLPVFGWGFHILEFISVERNWEVDEPTMREMLSTFKDPQDPLWLALFPEGTDFSEQKCQRSQKFANEVGLPVLKNVLLPKTRGFCVCLEVLRGSLDAVYDVSIAYKHQLPTFLDNVFGTDPSEVHIHVRRIPAKEIPASDSEAATWLMDRFQLKDRLLSDFKAHGHFPNEGTEQELSTLRCLVNFTVVISLTAFFIYLTLFSSIWFKTYVSLACAYLASATHFKFRPLPVTKLL >Potri.012G035100.1.v4.1 pep chromosome:Pop_tri_v4:12:3146480:3148382:-1 gene:Potri.012G035100.v4.1 transcript:Potri.012G035100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035100.v4.1 MGDIVQLASPLNRIENLCKEILIRIPDELESSFRSEQCIYIVPAALRDLNEAAFTPRVISIGPIHHNNEKLKAMEVQKLRYLKEFFELRVEKEKRGILLTALLSTISEKEVDICCRYVADTSKFNSKLSGDQFVKMVLLDAVFIFELFLRNEEYRRDNSKYQDDFIIGKPWLRAAIRRDLILLENQLPFSTLNELYKLAMSRTDCISLMDLSFRYFEKYRKKYEPSKTILHFTDLVRCFLSFKHPDLKLEKGGPIKTLYSATMLQQAGIKFKALPDESLLDIRAWERLSKAERIVEKKGELHMPPLEIDNSTECLLRNLMVFEQLHYPGEEHICRYVKLLDSLVDVDKDVDLLIENKVIISKLGDSDAVAKLINTLCQEMVEISSSFDPLSKLLNDYYESSWNKNRTYLLSVYFKNVWIGTGTVVGSLILAIAVTRFILYFVR >Potri.001G002700.2.v4.1 pep chromosome:Pop_tri_v4:1:174067:176752:1 gene:Potri.001G002700.v4.1 transcript:Potri.001G002700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002700.v4.1 MCSRNEALFTQSDYSSVRIWRFQPLHLQLAGMLKQMLGEIGRTWSSEGSWRRRHVINAGSFIFVPIIEWKSRACILMPSSDDKSVQIPLLFSQNTHFLSKNYSFSSTSTTFSNTLLLKPSNSTLKASETESQTSKPAESNENEGEGKEKYEEYEVEIEQSYGLKFAKGRDGATYIDAIAPGGSADKTGKFSVGDKIWPASEYGRTMYTIRQRIGPLLMKMQKDIRSERNFGVISNRVREIQIQNYFRKKEQKEQREKDLQIAKYEEALEKFESVLGSKPDLTEASVASYYVACCYLKLNQLQSGLSALEDALEAVFEDFKRIRADPDLENLRTSEEFEPLMKRFDESFINENAINVIKSLFGFNKK >Potri.001G016200.1.v4.1 pep chromosome:Pop_tri_v4:1:1209193:1212837:1 gene:Potri.001G016200.v4.1 transcript:Potri.001G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016200.v4.1 MSTATTAAAAGNYLTTPTLDAESSNLLQTISSHGGYAYVSMSTLAASGDFRAAEAAREMAWEQLHSGPWHSVLPAWRDAYSMACLHVAKFHYRNGEFKESLRVLDLGLIMGGVLLKKDLESAIEIVTAKSREKESEGFEKGPKCKFVEEGDEFDKEEVLRVLPEKSLSSKIVVKKSGLSLEGFLREHFLSGSPVIISDCMAHWPARTKWNDMDYLKRVAGDRTVPVEVGKNYLCQEWKQELITFSEFLEKIQSNDSSSAVPTYLAQHQLFDQINELRKDICIPDYCCAGGGELRSLNAWFGPAGTVTPLHHDPHHNILAQVVGKKYVRLYTSSVSEELYPYNETMLCNSSQVDLDNIDDGQYPKVHDLEFLDCILEEGEMLYIPPKWWHYVRSLTISFSVSFWWSNSESSDAS >Potri.002G250500.2.v4.1 pep chromosome:Pop_tri_v4:2:24053727:24057219:1 gene:Potri.002G250500.v4.1 transcript:Potri.002G250500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250500.v4.1 MSSLVKRLHHLSPCLQSTAHQLRQLRTGAGPNRRRSKSPPFAAKKTDEKSDWWIVDGEMHEIGEHVPPRERFVIPRDNVPNKRRKQLREQFMRRTRLVIKESEHEPWCKRYMELYQELRENWERLYWDEGYSKKIARDHANYESAEDDDQDFNPYRSKRPEQVKDQDFGRNRQGDTWEKVGQIRDKFEYDREKRMREKAFAPMNRGTSFELPHSNTQNRPFDTQRYFPDEGDS >Potri.014G192300.1.v4.1 pep chromosome:Pop_tri_v4:14:16905493:16908286:1 gene:Potri.014G192300.v4.1 transcript:Potri.014G192300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192300.v4.1 MSGVLLFSSQFPIFSTTTTATQKTHRFACHVIPRQSLNQTTPPDQQSPNPKTILSTITNLLWGQSLPPGLLISTVRTTWNSTWQLMMSQLAPSDSSGRYTRPASKFRLNPPFTLQNSTTLHLYVGLPCPWAHRTLIVRALKGLEDAVPVSIAGPGQDGSWEFKDIPISNRDRNILVPGRDNANGCRNLKGVYGLRRSGGYSGRATVPMLWDVEKKEVGCNESYDIIEFFNSGLNGLARNPGLDLSPKELKGKIGEWNGLIYPNVNNGVYRCGFAQSQDAYDSAVNGLFTTLEAVEDHLTTSRYLCGDTLTLADVCLFTTLIRFDIVYNVLFKCTKKKLIEYPNLHGYMRDIYQMPKVAETCNFSAIMDGYYKVLFPLNPGSICPVMPSGCEHDVLLSTPHNRESLSLANKTTKQDH >Potri.003G075200.1.v4.1 pep chromosome:Pop_tri_v4:3:10259363:10260677:-1 gene:Potri.003G075200.v4.1 transcript:Potri.003G075200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075200.v4.1 MAQISPSPTLSPTVSAPSCHDQSQEPTMDFNVMVIVAAMLCAFVCALGLNSMLQCVFQCTQRTVTETAGWISSRRQNSGLKKREMVGLPTSTYAHQGSPSSTSGCAICLADFTDGDKIRVLPKCNHEFHVDCIDKWLLSHSSCPTCRHRLKSIDESVPSLEQIVTV >Potri.013G121350.2.v4.1 pep chromosome:Pop_tri_v4:13:13030676:13030948:-1 gene:Potri.013G121350.v4.1 transcript:Potri.013G121350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121350.v4.1 MSETIHGSCFLLSFFCFPLNSHVSLGADTISANSSLSRDQTIVSARKVFELVFFHPGNSSSQTTNIGIWYCRDKMSEQTIVWVANRDTRF >Potri.005G187500.1.v4.1 pep chromosome:Pop_tri_v4:5:19512948:19518797:1 gene:Potri.005G187500.v4.1 transcript:Potri.005G187500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187500.v4.1 MITADDFYKVMCAMVPLYFAMLVAYGSVKWYKIFTPEQCSGINRFVAVFAVPVLSFHFIAQNNPYQMDTKFILADTLSKVLALVLLSVWAVFFNGEFDWLITLFSVATLPNTLVMGIPLLKAMYGDFTQSLMVQVVVLQCIIWYTLLLFLFEYRAATLLIKAQFPGPTAATISKIELDDDVISLDGRDPLRTESETDGNGRIRVRIRRSTSSAPDSALSSSICLTPRPSNLSNAEVFSVNTPVPLHEYHGYNGHFSHGPNNEIVLCNGDLGLAYRSGTSPRLSGYASSDAYSLQPTPRASNFNELDLTNATNTPFWVRSPVAGKIYRQPSPAVPEVKLVWGESPVICPNGGGKDVPGKEISFRDSCKMPAPEESNSKESVSNQEMPHAIVMIRLILVVVGRKLSRNPNTYSSVLGLLWSLASFKWNVGMPSLVKYSIKIISDAGLGMAMFSLGLFMALQPRIIVCGKKRATMAMAIRFICGPIVMSTTSVAVGMRGVRLRAAIVQAALSQGIVPFVFAREYGLHPDIMSTGVIFGMLVSLPVTLIYYIFLGL >Potri.T125107.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:79874:86192:1 gene:Potri.T125107.v4.1 transcript:Potri.T125107.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125107.v4.1 MSGGGTQNSLRRALGALKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAKERHIRAIFAAVSATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDQTFHEEIINYGRSRSHMLNMAHFKDDSSPDAWDYSAWVRTYALFLEERLECFRVLKYDVEMDRPRTKDLDTVEILEQLPALQQLLFRILGCQPQGAAANNFVIQLALQLVASESIRVYQAINDATANLVDKFFEMQRPDAAKALEIYRRACQQAERLSEFYEICKSMYIGRGEKFIKIEQPPLSFLQTMEEYVRDAPRVTTALRDQFVDNKIASPKEILAIEYKKEPEVKEERPSSPPPPEPVKVEEPVAQPPDLLGLDDPVPVASELDEKNALALAIVPVAEQQSSPVPTHANGTTGWELALVTAPSSNESTAAASKLAGGLDKLTLDSLYDDAIRRSNQPVSYNPWEPVPVANPMMQAAVHDPFFASNTVAAPHSVQMSQMASQQQAFMLQQQQQQQQMMMMMMMGQQQQQPSNHFGNTYGSSVHPYGSGMPPVQAYNPYSG >Potri.014G191500.1.v4.1 pep chromosome:Pop_tri_v4:14:16775133:16781740:1 gene:Potri.014G191500.v4.1 transcript:Potri.014G191500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G191500.v4.1 MIQLLFFVLFAEGFVASLLLVKIGPLRDLVIKSLDQVKMGKGPATVKTIAGTMSVILFSSLMSIVKIQNKGAKLGTMSPMDQVLWRTHLLEASLMGFTLFLGFLIDRMHHYLSKLIGLRSSVGSSKEEVERLQKEKMQLKEEEGKASKEMKLLQEQFSTLSENLKKLKLESEQKDKQIETAEAHVVALHKRSADLLLEYDRLLEDNQNLQAQATGHRI >Potri.016G028800.1.v4.1 pep chromosome:Pop_tri_v4:16:1583500:1584100:1 gene:Potri.016G028800.v4.1 transcript:Potri.016G028800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028800.v4.1 MAEKNNIEKPSKNQDSSQDPPLQATSSSSRELKKEKQGTIRESKRRKSCPTALDRIEEFTPPNFSFTFDSQFSTYSQEFTPKFGSFNLVPSTKERLDDTVLCFHQSSENKEGHQEDKQQVGVSEERVVGVSTLRRSIDGIREKK >Potri.004G048301.2.v4.1 pep chromosome:Pop_tri_v4:4:3894314:3894870:-1 gene:Potri.004G048301.v4.1 transcript:Potri.004G048301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048301.v4.1 MESTTMTSIMRTVTTTQISTSRTQETTTIPEVITTTTTTTTIPEVITTTTTTRTPSTSTTITPCKSTTTRMISKRARKSSMCHDFNLYGQVKRVRSLLNYSGWCYYRLNYV >Potri.004G048301.1.v4.1 pep chromosome:Pop_tri_v4:4:3894277:3895488:-1 gene:Potri.004G048301.v4.1 transcript:Potri.004G048301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048301.v4.1 MAPSPRLISFLFLLTIFSKQIHARESQFFSKVGATTATPSTTTINNNNVQDKTLPNKEEESFNKQELDPAFIPDTQNGYGLYGQESSQFPTTTKLTNAPYTTTTYQPYKTQTQTQETYTNYPTDSTTTTTTNNHYNNNAYEEQQQNFGETSLQESEYTNMGNQNSNKYYNGANSNNNNKYYNGAKSYNNDEKQGMSDTRYLEHGKYYYDVNNENSNYYPNQYQQNSRNNYNTRGYYNNNNNNYNTRGYYNNNNNENSKYEYNNNSMQKYDNQDDFEESQEEQYVP >Potri.012G084800.7.v4.1 pep chromosome:Pop_tri_v4:12:11057840:11062241:1 gene:Potri.012G084800.v4.1 transcript:Potri.012G084800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084800.v4.1 MAKAILLALLKVLMIIIFAGWIALWLLKPTNLWTRKWKGAEDSARHTVFGYYGLNFAVFTFPPIAVTIIGLVYLDLVTKEPPRSRPARSATVGFSNPVVVNSFVGILSSLEILAVFLFFLFLAWTYYARISNDFKKLMPVKSLKLDLWQIKYLRVATRFGLLAEVCLALLLLPVLRGLAIFQLIGIQFEASVRYHILLGTSMIFFSTIHGASTLFIWGVSRHIQDEIWRWQKKGRIYLAGEIALVTGLVIWITSLPQIRRRRFEIFYYTHHLYIVFLIFFLFHAGDRHFYMVFSGVFLFGLDKLLRILQSRPQSCILSARIYLIPSKAIELTLPKDPGLKYTPTSVIYMKIPSISKFQWHPFSITSSSNIDDHTMSVVVKCNEGWTSSLYDMIQAELDSDTGAMNCIPVSIEGPYGPASLDFLRHDSLLLIAGGAGITPFLSIIKEIASISSSRYRFATQVQLIYVVKKSQDVCLLNSVSSQLLNQSSTQLSLNLKVYVTQEESSNATVIGLVNNFSLARTVNFSTECSNYAVHGLDSSILMAAMVALSSIKFLVSLIFFNRIFVPTEMKSPASQKMVVPSENKASKEKTPSSVVDLLLLASFIVALACNTFVAIILRWKRLKKDIPAVSPKQGNKATELVGSVEARSTVEEHEIHFGGRPDFQDILSKFPNETGGSDIGVLVCGPESMKESVASLCQLKSQGLNIGAKGKKPYFIFHSLNFTL >Potri.019G090800.1.v4.1 pep chromosome:Pop_tri_v4:19:12882619:12883810:-1 gene:Potri.019G090800.v4.1 transcript:Potri.019G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090800.v4.1 MASRVASTSRAMILLLMVLFSAIFLTSEARILKGGQALQGNANNSRHLLLELGFDLSKLEHYRRLSTLSVASDRLSPGGPDPHHH >Potri.015G043301.1.v4.1 pep chromosome:Pop_tri_v4:15:4139293:4140896:-1 gene:Potri.015G043301.v4.1 transcript:Potri.015G043301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043301.v4.1 MYKDHICSLAIYNQNSQLPVIDRPYKRIPQDDFRRRRRRRIKGKDQRDHCLTLHISLCRHQQLYVSCLLVSS >Potri.013G089500.7.v4.1 pep chromosome:Pop_tri_v4:13:9053018:9064401:1 gene:Potri.013G089500.v4.1 transcript:Potri.013G089500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G089500.v4.1 MSGTRMLWRCRKLKDATNPLVLSSRFESPVRFSRGISTHLNYLNIGCNRRKESLIGIQERYKWENSKDDSNVRKIRAEANCPRCSKHMDVLFSNRSFVFSNNSNLDHHHHHHLSRNNDTANNNTNNTTTADTGACNGNGHNNNYQAVNFCPNCKTAYYFRPDKIAPLQGSFVEIGRISNKGNGKNGNKGGKEGSNGGEEGADYANVTNTINNRLRVSFWETLRSYGGDPPENWPQGIPPPPPPGGNGLAVHTPPGPPFAPGVSVVRAFKPGGEKSGGGGGNGGGEKNGAGWGGSNLGKELPTPKEICKGLDKFVIGQDRAKKVLSVAVYNHYKRVYHSSLRKGPGAESGTLEAIDDEDNVELEKSNVLLMGPTGSGKTLLAKTLARFVNVPFVIADATTLTQAGYVGEDVESILYKLLSAAEFNVQAAQQGMVYIDEVDKITKKAESLNISRDVSGEGVQQALLKMLEGTIVNVPEKGARKHPRGDNIQIDTKNILFICGGAFVDLEKTISERRQDSSIGFGAPVRANMRAGGVTNAAITSSLLESVESADLIAYGLIPEFIGRFPILVSLAALTEDQLVKVLMEPRNALGKQYKKLFSMNNVKLHFTDKALRLIAKKAMAKNTGARGLRSILESILTEAMYEIPDIKTGSDRVDAVVIDEESVGSVHAPGSGGKILRGDGALEHYLAEYKDTVEAADGELQDAESEVSSRAMSM >Potri.017G010800.4.v4.1 pep chromosome:Pop_tri_v4:17:827742:834169:1 gene:Potri.017G010800.v4.1 transcript:Potri.017G010800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010800.v4.1 MASSSSSSSSSSTSTINLPKKHHVFLSFRGEDTRVGFTSHLHAALERKNILTFIDNDLRRGEEISPSLVKAIEDSMLSVIIFSQNYASSKWCLDELLKILESRKVRGQIAIPVFYEVDPSDIRKQSGSFGDVFAQLVKRKALKMEEEQCFRAALNEAANISGHDSRKIESESKFIEVIVEDILNKLCKIFPVHPTNLVGIDEHVRKIESLLDMETQDVRIVGIWGMGGIGKTTIARAVYNKICTKFEGFSFMANVREELKRRTVFDLQRRFFSRILDQKIWETSPFIKDRLRRKKVLIVFDDVDSSMVLQELLLEQRDAFGPGSRILVTSRDQQVLNQEVDATYEVKALNHMDALQLFKSKAFKKTCPTIDHIYLLGRMVTYTKGNPLALVVLGSALCDKNKEDWYSASNGLGQIQNVEILNVLRVSFDGLNTEQRSIFLHIACFFKGINRLHFTRILENKCPAVHYYISVLIDKSLVLASDNILGMHDLLQEMAYSIVHEESEDPGERSRLFDPEDIYKVLKENKGTKRVKGICLDMSKSRKMRLKTDSFAGMNCLEFLIFYNPSYFEVEKNKVHLPYSGLEYLSNELRYFHWDGFPSKSLPQDFSAENLVQFDFSESKVEKLWSGKQNLLNLKGINLSSSRYLTELPDLSKANNLEYINLSGCESLKRVPSSFQHLEKLKRLDLTDCHNLITLPRKIDSKCLEQLFITGCSNIRNCPETYADIGYLDLSGTSVEKVPLSIKLRQISLIGCKNITKFPVISENIRVLLLDRTAIEEVPSSIEFLTKLVSLHMFDCKRLSKLPSSICKLKFLENFYLSGCSKLETFPEIKRPMKSLKTLYLGRTAIKKLPSSIRHQKSLIFLELDGASMKELLELPPSLCILSARDCESLETISSGTLSQSIRLNLANCFRFDQNAIMEDMQLKIQSGNIGDMFQILSPGSEIPHWFINRSWGSSVAIQLPSDCHKLKAIAFCLIVHHTVPLNDLLQEDKAINIKWQCHAKSNNCEHDDIIFKTECEIYNFQDSKMRDSDHMLLWHENWKEDSFSKYSDKEITFEFYPKAKAKSFDRNTSEMELREIEKHCKVKSCGVYHLFDENRHLFSISDEDLSDQEDDYYSLCSSSSSAEDSGDEIDHENGDLKSCKTSPATSFFSHRKGYLLLLCFLTFAYFFLSWTSQFCFAPQFIPPPPT >Potri.017G010800.1.v4.1 pep chromosome:Pop_tri_v4:17:827686:834170:1 gene:Potri.017G010800.v4.1 transcript:Potri.017G010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010800.v4.1 MASSSSSSSSSSTSTINLPKKHHVFLSFRGEDTRVGFTSHLHAALERKNILTFIDNDLRRGEEISPSLVKAIEDSMLSVIIFSQNYASSKWCLDELLKILESRKVRGQIAIPVFYEVDPSDIRKQSGSFGDVFAQLVKRKALKMEEEQCFRAALNEAANISGHDSRKIESESKFIEVIVEDILNKLCKIFPVHPTNLVGIDEHVRKIESLLDMETQDVRIVGIWGMGGIGKTTIARAVYNKICTKFEGFSFMANVREELKRRTVFDLQRRFFSRILDQKIWETSPFIKDRLRRKKVLIVFDDVDSSMVLQELLLEQRDAFGPGSRILVTSRDQQVLNQEVDATYEVKALNHMDALQLFKSKAFKKTCPTIDHIYLLGRMVTYTKGNPLALVVLGSALCDKNKEDWYSASNGLGQIQNVEILNVLRVSFDGLNTEQRSIFLHIACFFKGINRLHFTRILENKCPAVHYYISVLIDKSLVLASDNILGMHDLLQEMAYSIVHEESEDPGERSRLFDPEDIYKVLKENKGTKRVKGICLDMSKSRKMRLKTDSFAGMNCLEFLIFYNPSYFEVEKNKVHLPYSGLEYLSNELRYFHWDGFPSKSLPQDFSAENLVQFDFSESKVEKLWSGKQNLLNLKGINLSSSRYLTELPDLSKANNLEYINLSGCESLKRVPSSFQHLEKLKRLDLTDCHNLITLPRKIDSKCLEQLFITGCSNIRNCPETYADIGYLDLSGTSVEKVPLSIKLRQISLIGCKNITKFPVISENIRVLLLDRTAIEEVPSSIEFLTKLVSLHMFDCKRLSKLPSSICKLKFLENFYLSGCSKLETFPEIKRPMKSLKTLYLGRTAIKKLPSSIRHQKSLIFLELDGASMKELLELPPSLCILSARDCESLETISSGTLSQSIRLNLANCFRFDQNAIMEDMQLKIQSGNIGDMFQILSPGSEIPHWFINRSWGSSVAIQLPSDCHKLKAIAFCLIVHHTVPLNDLLQEDKAINIKWQCHAKSNNCEHDDIIFKTECEIYNFQDSKMRDSDHMLLWHENWKEDSFSKYSDKEITFEFYPKAKAKSFDRNTSEMELREIEKHCKVKSCGVYHLFDENRHLFSISDEDLSDQEDDYYSLCSSSSSAEDSGDEIDHENGDLKSCKTSPATSFFSHRKGYLLLLCFLTFAYFFLSWTSQFCFAPQFIPPPPT >Potri.017G010800.5.v4.1 pep chromosome:Pop_tri_v4:17:827670:834171:1 gene:Potri.017G010800.v4.1 transcript:Potri.017G010800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010800.v4.1 MASSSSSSSSSSTSTINLPKKHHVFLSFRGEDTRVGFTSHLHAALERKNILTFIDNDLRRGEEISPSLVKAIEDSMLSVIIFSQNYASSKWCLDELLKILESRKVRGQIAIPVFYEVDPSDIRKQSGSFGDVFAQLVKRKALKMEEEQCFRAALNEAANISGHDSRKIESESKFIEVIVEDILNKLCKIFPVHPTNLVGIDEHVRKIESLLDMETQDVRIVGIWGMGGIGKTTIARAVYNKICTKFEGFSFMANVREELKRRTVFDLQRRFFSRILDQKIWETSPFIKDRLRRKKVLIVFDDVDSSMVLQELLLEQRDAFGPGSRILVTSRDQQVLNQEVDATYEVKALNHMDALQLFKSKAFKKTCPTIDHIYLLGRMVTYTKGNPLALVVLGSALCDKNKEDWYSASNGLGQIQNVEILNVLRVSFDGLNTEQRSIFLHIACFFKGINRLHFTRILENKCPAVHYYISVLIDKSLVLASDNILGMHDLLQEMAYSIVHEESEDPGERSRLFDPEDIYKVLKENKGTKRVKGICLDMSKSRKMRLKTDSFAGMNCLEFLIFYNPSYFEVEKNKVHLPYSGLEYLSNELRYFHWDGFPSKSLPQDFSAENLVQFDFSESKVEKLWSGKQNLLNLKGINLSSSRYLTELPDLSKANNLEYINLSGCESLKRVPSSFQHLEKLKRLDLTDCHNLITLPRKIDSKCLEQLFITGCSNIRNCPETYADIGYLDLSGTSVEKVPLSIKLRQISLIGCKNITKFPVISENIRVLLLDRTAIEEVPSSIEFLTKLVSLHMFDCKRLSKLPSSICKLKFLENFYLSGCSKLETFPEIKRPMKSLKTLYLGRTAIKKLPSSIRHQKSLIFLELDGASMKELLELPPSLCILSARDCESLETISSGTLSQSIRLNLANCFRFDQNAIMEDMQLKIQSGNIGDMFQILSPGSEIPHWFINRSWGSSVAIQLPSDCHKLKAIAFCLIVHHTVPLNDLLQEDKAINIKWQCHAKSNNCEHDDIIFKTECEIYNFQDSKMRDSDHMLLWHENWKEDSFSKYSDKEITFEFYPKAKAKSFDRNTSEMELREIEKHCKVKSCGVYHLFDENRHLFSISDEDLSDQEDDYYSLCSSSSSAEDSGDEIDHENGDLKSCKTSPATSFFSHRKGYLLLLCFLTFAYFFLSWTSQFCFAPQFIPPPPT >Potri.017G010800.7.v4.1 pep chromosome:Pop_tri_v4:17:830445:834207:1 gene:Potri.017G010800.v4.1 transcript:Potri.017G010800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010800.v4.1 MDALQLFKSKAFKKTCPTIDHIYLLGRMVTYTKGNPLALVVLGSALCDKNKEDWYSASNGLGQIQNVEILNVLRVSFDGLNTEQRSIFLHIACFFKGINRLHFTRILENKCPAVHYYISVLIDKSLVLASDNILGMHDLLQEMAYSIVHEESEDPGERSRLFDPEDIYKVLKENKGTKRVKGICLDMSKSRKMRLKTDSFAGMNCLEFLIFYNPSYFEVEKNKVHLPYSGLEYLSNELRYFHWDGFPSKSLPQDFSAENLVQFDFSESKVEKLWSGKQNLLNLKGINLSSSRYLTELPDLSKANNLEYINLSGCESLKRVPSSFQHLEKLKRLDLTDCHNLITLPRKIDSKCLEQLFITGCSNIRNCPETYADIGYLDLSGTSVEKVPLSIKLRQISLIGCKNITKFPVISENIRVLLLDRTAIEEVPSSIEFLTKLVSLHMFDCKRLSKLPSSICKLKFLENFYLSGCSKLETFPEIKRPMKSLKTLYLGRTAIKKLPSSIRHQKSLIFLELDGASMKELLELPPSLCILSARDCESLETISSGTLSQSIRLNLANCFRFDQNAIMEDMQLKIQSGNIGDMFQILSPGSEIPHWFINRSWGSSVAIQLPSDCHKLKAIAFCLIVHHTVPLNDLLQEDKAINIKWQCHAKSNNCEHDDIIFKTECEIYNFQDSKMRDSDHMLLWHENWKEDSFSKYSDKEITFEFYPKAKAKSFDRNTSEMELREIEKHCKVKSCGVYHLFDENRHLFSISDEDLSDQEDDYYSLCSSSSSAEDSGDEIDHENGDLKSCKTSPATSFFSHRKGYLLLLCFLTFAYFFLSWTSQFCFAPQFIPPPPT >Potri.017G010800.6.v4.1 pep chromosome:Pop_tri_v4:17:827637:834164:1 gene:Potri.017G010800.v4.1 transcript:Potri.017G010800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010800.v4.1 MASSSSSSSSSSTSTINLPKKHHVFLSFRGEDTRVGFTSHLHAALERKNILTFIDNDLRRGEEISPSLVKAIEDSMLSVIIFSQNYASSKWCLDELLKILESRKVRGQIAIPVFYEVDPSDIRKQSGSFGDVFAQLVKRKALKMEEEQCFRAALNEAANISGHDSRKIESESKFIEVIVEDILNKLCKIFPVHPTNLVGIDEHVRKIESLLDMETQDVRIVGIWGMGGIGKTTIARAVYNKICTKFEGFSFMANVREELKRRTVFDLQRRFFSRILDQKIWETSPFIKDRLRRKKVLIVFDDVDSSMVLQELLLEQRDAFGPGSRILVTSRDQQVLNQEVDATYEVKALNHMDALQLFKSKAFKKTCPTIDHIYLLGRMVTYTKGNPLALVVLGSALCDKNKEDWYSASNGLGQIQNVEILNVLRVSFDGLNTEQRSIFLHIACFFKGINRLHFTRILENKCPAVHYYISVLIDKSLVLASDNILGMHDLLQEMAYSIVHEESEDPGERSRLFDPEDIYKVLKENKGTKRVKGICLDMSKSRKMRLKTDSFAGMNCLEFLIFYNPSYFEVEKNKVHLPYSGLEYLSNELRYFHWDGFPSKSLPQDFSAENLVQFDFSESKVEKLWSGKQNLLNLKGINLSSSRYLTELPDLSKANNLEYINLSGCESLKRVPSSFQHLEKLKRLDLTDCHNLITLPRKIDSKCLEQLFITGCSNIRNCPETYADIGYLDLSGTSVEKVPLSIKLRQISLIGCKNITKFPVISENIRVLLLDRTAIEEVPSSIEFLTKLVSLHMFDCKRLSKLPSSICKLKFLENFYLSGCSKLETFPEIKRPMKSLKTLYLGRTAIKKLPSSIRHQKSLIFLELDGASMKELLELPPSLCILSARDCESLETISSGTLSQSIRLNLANCFRFDQNAIMEDMQLKIQSGNIGDMFQILSPGSEIPHWFINRSWGSSVAIQLPSDCHKLKAIAFCLIVHHTVPLNDLLQEDKAINIKWQCHAKSNNCEHDDIIFKTECEIYNFQDSKMRDSDHMLLWHENWKEDSFSKYSDKEITFEFYPKAKAKSFDRNTSEMELREIEKHCKVKSCGVYHLFDENRHLFSISDEDLSDQEDDYYSLCSSSSSAEDSGDEIDHENGDLKSCKTSPATSFFSHRKGYLLLLCFLTFAYFFLSWTSQFCFAPQFIPPPPT >Potri.006G008132.1.v4.1 pep chromosome:Pop_tri_v4:6:558396:559172:1 gene:Potri.006G008132.v4.1 transcript:Potri.006G008132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008132.v4.1 MRSPTVEHLEGLRRVQARVQGHGFTNSGTLGGIKEGPSPGAGHGFTNSETLGGIKEGPSPGVGHEFTNSGTLGGIKEGPSPGVGHAFTNSGTLGGIKEGPSPGAGHGFTNSATLGGIKEGPSPGVGHEFTNSGTLGGIRRVQALVQDMGLPTVEHLEELRRVLALV >Potri.001G312700.2.v4.1 pep chromosome:Pop_tri_v4:1:32355880:32357093:1 gene:Potri.001G312700.v4.1 transcript:Potri.001G312700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312700.v4.1 MLSLRLRSSVCKTLPPQKFFQFFSHQKTSDDQTHGFPPLPATKTNSGLKEEEKGGIEQAIQLPEGLRRESMPRHVAVIMDGNSRWARQRGMLTPSGHEAGVRSLKEFIRLCLQWGIKVVTVFAFSIDNWNRPKEEVDIVMTMIENLLKSGLDDFIREGTRVSIIGDTSRVPKSLQRTICDIEEMTRENSKFHFIMAISYSGTYDVVQACKSVAQKVKDGVIQVEDINESAVQQELETKCCEFPCPDLLIRTSGELRISNFLLWQSAYSELFFAKALWPDFGKADFVEALTSYQHRQRRYGGRYS >Potri.001G306200.1.v4.1 pep chromosome:Pop_tri_v4:1:31617630:31619630:1 gene:Potri.001G306200.v4.1 transcript:Potri.001G306200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G306200.v4.1 MASMTSLCFVLALAMFTIFFSPAFSTSRRALEHPKMQKGFRVRLKHVDSGKNLTKLERIRHGVKRGRNRLQRLQAMALVASSSSEIEAPVLPGNGEFLMKLAIGTPPETYSAILDTGSDLIWTQCKPCTQCFHQSTPIFDPKKSSSFSKLSCSSQLCEALPQSSCNNGCEYLYSYGDYSSTQGILASETLTFGKASVPHVAFGCGADNEGSGFSQGAGLVGLGRGPLSLVSQLKEPKFSYCLTTVDDTKTSTLLMGSLASVNASSSAIKTTPLIHSPAHPSFYYLSLEGISVGDTRLPIKKSTFSLQDDGSGGLIIDSGTTITYLEESAFNLVAKEFTAKINLPVDSSGSTGLDVCFTLPSGSTNIEVPKLVFHFDGADLELPAENYMIGDSSMGVACLAMGSSSGMSIFGNVQQQNMLVLHDLEKETLSFLPTQCDLL >Potri.019G081300.2.v4.1 pep chromosome:Pop_tri_v4:19:12158330:12159526:1 gene:Potri.019G081300.v4.1 transcript:Potri.019G081300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081300.v4.1 MDPSVVPYHQQQQEQHKSETDHDQDNIDMVLQTKPITTMNSNSKTTKDPCSINIVKYKECMRNHAASIGGHANDGCGEFMPRGDEGTRDWLTCAACGCHRNFHRRQGSTKRQHQQQLLLSPPPQTQQFLLYGAPTDINMNRPVHDFVSRPHEDDDDDLDHDRRSETPERGEGKGFMVKNAGSNNKRLRTKFTQEQKERMLEFAEKIGWRIQKHDDMALNQFCNEVGVKRNVLKVWMHNNKNAHRRRDGAPPVSAEAPPPPPPVGV >Potri.006G173800.1.v4.1 pep chromosome:Pop_tri_v4:6:17547789:17549939:-1 gene:Potri.006G173800.v4.1 transcript:Potri.006G173800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G173800.v4.1 MGSYLSCCGNDKVDEATNIIGGAGNNTWRIFTYKELHTATNGFSEDNKLGEGGFGSVYWGRTSDGLQIAVKKLKAMNSKAEMEFAVEVEVLGRVRHKNLLGLRGYCAGTDQRLIVYDYMPNLSLLSHLHGHFAGDVQLDWKKRMKIAIGSAEGLLYLHHEVTPHIIHRDIKASNVLLDSDFEPLVADFGFAKLIPEGVSHMTTRVKGTLGYLAPEYAMWGKVSESCDVYSFGILLLEIVTGRKPIEKLPGGVKRTVTEWAEPLITKGRFKDLADPKLRGNFDENQFKQSINVAALCVQSEPENRPTMKVVVSMLKGYDPRGKVMQTRIKSVKYKEELLELDQTSDGENDGGGLEESEYGVFGPMEVQNMQDPYKRHGDGKKIGKNM >Potri.014G050900.2.v4.1 pep chromosome:Pop_tri_v4:14:3287067:3289330:-1 gene:Potri.014G050900.v4.1 transcript:Potri.014G050900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050900.v4.1 MMVTYASAIDAVTPHESESEHFDRYLLITASVPFITRFLIQTHKKYRQKRSISWFKRLQYDKERDSPNDARNVLLEIASLIAAVTFEAGVNPPGGVWQDDNVNEHHAAGRAIYAFQKPPYYVFLMSSTLEFSASLLVIPSLTYEFPFHFEIWVATASMMVTYASAIFAVTHHYLLITASVPFISRSSIYTHFLFWFLVSEFFN >Potri.004G064600.5.v4.1 pep chromosome:Pop_tri_v4:4:5495924:5508084:1 gene:Potri.004G064600.v4.1 transcript:Potri.004G064600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064600.v4.1 MPGSKCNVDPATPKSRTERLMKERNLRKLNRVFNLNEKAGDSLRDADLFSNDSALTETENCASLNEEELSEGIDGYERQDQRLPKQRLLVVANRLPVSAVRQGKDSWQLEISVGGLVSALLGLKEFDARWIGWAGVNVPDEIGQEALTKALAEKKCIPVFLDEDTVHQYYNGYCNNIIWPLFHYLGLPQEDRLSTTRSFQSQFDAYKKANQMFADVVHEHYEEGDVVWCHDYHLMFLPRCLKEKNSNIKVGWFLHTPFPSSEIHRMLPSRRDLLESVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLSSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALITSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGALLVNPWNIAEVAASISQALNMPADEREKMHQHNFMHVTTHTSQEWAATFVSELNDTIIEAQLRTRQVPLLPVKVAVERYLQSNNRLLMLGFNATLTEPANTQGVRGGHIRELQSRLHPEIKETLKKLCDDRRTNIVILSGSDRAVLDDNFREYNLWLAAENGMFLRHSTGEWMTTMPENLNMDWVDSVKKEHLDLILSFVKLHLYGNTSMQRVILESFNQRICYSISGLVLFQTQLLMLSKVIDLLRFDLLV >Potri.004G064600.1.v4.1 pep chromosome:Pop_tri_v4:4:5495924:5508084:1 gene:Potri.004G064600.v4.1 transcript:Potri.004G064600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064600.v4.1 MPGSKCNVDPATPKSRTERLMKERNLRKLNRVFNLNEKAGDSLRDADLFSNDSALTETENCASLNEEELSEGIDGYERQDQRLPKQRLLVVANRLPVSAVRQGKDSWQLEISVGGLVSALLGLKEFDARWIGWAGVNVPDEIGQEALTKALAEKKCIPVFLDEDTVHQYYNGYCNNIIWPLFHYLGLPQEDRLSTTRSFQSQFDAYKKANQMFADVVHEHYEEGDVVWCHDYHLMFLPRCLKEKNSNIKVGWFLHTPFPSSEIHRMLPSRRDLLESVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLSSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALITSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGALLVNPWNIAEVAASISQALNMPADEREKMHQHNFMHVTTHTSQEWAATFVSELNDTIIEAQLRTRQVPLLPVKVAVERYLQSNNRLLMLGFNATLTEPANTQGVRGGHIRELQSRLHPEIKETLKKLCDDRRTNIVILSGSDRAVLDDNFREYNLWLAAENGMFLRHSTGEWMTTMPENLNMDWVDSVKHVFEYFTERTPGSHFELRETSLVWKYKYAESHFGKLQSKDMLQHLWTGPISNAAVDVVQGDRSVEVRSVGVTKGAAIDRILGEIVRNKGMKSPIDYVLCAGHFLSKDEDVYTFFEPELPCESLALARTRSLDPARTSISMIPDSTNQVKAHQLRKQRSLSTLERSNYRSAAWRPIVHDRMSLPEGSSVLDLKAENFFSCTVSRKQSEARYLLQSSDDVVTLLKELAESKSPN >Potri.004G064600.4.v4.1 pep chromosome:Pop_tri_v4:4:5495924:5508084:1 gene:Potri.004G064600.v4.1 transcript:Potri.004G064600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064600.v4.1 MPGSKCNVDPATPKSRTERLMKERNLRKLNRVFNLNEKAGDSLRDADLFSNDSALTETENCASLNEEELSEGIDGYERQDQRLPKQRLLVVANRLPVSAVRQGKDSWQLEISVGGLVSALLGLKEFDARWIGWAGVNVPDEIGQEALTKALAEKKCIPVFLDEDTVHQYYNGYCNNIIWPLFHYLGLPQEDRLSTTRSFQSQFDAYKKANQMFADVVHEHYEEGDVVWCHDYHLMFLPRCLKEKNSNIKVGWFLHTPFPSSEIHRMLPSRRDLLESVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLSSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALITSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGALLVNPWNIAEVAASISQALNMPADEREKMHQHNFMHVTTHTSQEWAATFVSELNDTIIEAQLRTRQVPLLPVKVAVERYLQSNNRLLMLGFNATLTEPANTQGVRGGHIRELQSRLHPEIKETLKKLCDDRRTNIVILSGSDRAVLDDNFREYNLWLAAENGMFLRHSTGEWMTTMPENLNMDWVDSVKKEHLDLILSFVKLHLYGNTSMQRVILESFNQRICYSISGLVLFQTQLLMLSKVIDLLRFDLLV >Potri.004G064600.3.v4.1 pep chromosome:Pop_tri_v4:4:5495924:5508084:1 gene:Potri.004G064600.v4.1 transcript:Potri.004G064600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064600.v4.1 MPGSKCNVDPATPKSRTERLMKERNLRKLNRVFNLNEKAGDSLRDADLFSNDSALTETENCASLNEEELSEGIDGYERQDQRLPKQRLLVVANRLPVSAVRQGKDSWQLEISVGGLVSALLGLKEFDARWIGWAGVNVPDEIGQEALTKALAEKKCIPVFLDEDTVHQYYNGYCNNIIWPLFHYLGLPQEDRLSTTRSFQSQFDAYKKANQMFADVVHEHYEEGDVVWCHDYHLMFLPRCLKEKNSNIKVGWFLHTPFPSSEIHRMLPSRRDLLESVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLSSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALITSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGALLVNPWNIAEVAASISQALNMPADEREKMHQHNFMHVTTHTSQEWAATFVSELNDTIIEAQLRTRQVPLLPVKVAVERYLQSNNRLLMLGFNATLTEPANTQGVRGGHIRELQSRLHPEIKETLKKLCDDRRTNIVILSGSDRAVLDDNFREYNLWLAAENGMFLRHSTGEWMTTMPENLNMDWVDSVKHVFEYFTERTPGSHFELRETSLVWKYKYAESHFGKLQSKDMLQHLWTGPISNAAVDVVQGDRSVEVRSVGVTKGAAIDRILGEIVRNKGMKSPIDYVLCAGHFLR >Potri.004G064600.6.v4.1 pep chromosome:Pop_tri_v4:4:5495931:5506070:1 gene:Potri.004G064600.v4.1 transcript:Potri.004G064600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064600.v4.1 MPGSKCNVDPATPKSRTERLMKERNLRKLNRVFNLNEKAGDSLRDADLFSNDSALTETENCASLNEEELSEGIDGYERQDQRLPKQRLLVVANRLPVSAVRQGKDSWQLEISVGGLVSALLGLKEFDARWIGWAGVNVPDEIGQEALTKALAEKKCIPVFLDEDTVHQYYNGYCNNIIWPLFHYLGLPQEDRLSTTRSFQSQFDAYKKANQMFADVVHEHYEEGDVVWCHDYHLMFLPRCLKEKNSNIKVGWFLHTPFPSSEIHRMLPSRRDLLESVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVENQGKLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEEFLEENPEWRDKVVLLQIAVPTRTDVPEYQKLSSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALITSLRDGMNLVSYEFVACQASKKGVLILSEFAGAAQSLGAGALLVNPWNIAEVAASISQALNMPADEREKMHQHNFMHVTTHTSQEWAATFVSELNDTIIEAQLRTRQVPLLPVKVAVERYLQSNNRLLMLGFNATLTEPANTQGVRGGHIRELQSRLHPEIKETLKKLCDDRRTNIVILSGSDRAVLDDNFREYNLWLAAENGMFLRHSTGEWMTTMPENLNMDWVDSVKKEHLDLILSFVKLHLYGNTSMQRVILESFNQRICYSISGLVLFQTQLLMLSKVIDLLRFDLLV >Potri.001G141500.2.v4.1 pep chromosome:Pop_tri_v4:1:11561994:11564753:-1 gene:Potri.001G141500.v4.1 transcript:Potri.001G141500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G141500.v4.1 MKPKNRRIMGAGGPDDEDNRWPPWLKPLLRERFFVQCKQHADSHKSECNMYCMDCMNGALCSLCLAYHKDHHAIQIRRSSYHDVIRVSEIQKVLDISGVQTYVINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFQKRKKQQMSMASDSEDSYSSSSSHARYMKKNNINNENKVQSFSPSTPPPTPASYRTAKRRKGIPHRAPMGGLIIEY >Potri.016G075800.1.v4.1 pep chromosome:Pop_tri_v4:16:5686754:5693624:1 gene:Potri.016G075800.v4.1 transcript:Potri.016G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075800.v4.1 MKATMESSSQKGPVICTYVAQWPIYSLAWSARRDKKTRLAIGSFLEDYSNKVEIVQFNSDTFDFTTDNRLIFDHPYSPTNLMFFPSEDVANPDIIITSGDYMRIWQIYDDRIELKSLLNGNKCSEFNSAITSFDWADFDVHRVVSSSVDSTIVVWDIEKETIYAQLVAHDKEVNDISWGWFNIFASVSGDGSVRVCDLRKKERSTIIYENPMQDCSLLRLEWNKSDPRFIATVGMNSNKVVILDIRFPSTPLMELSKHRASVNSISWAPCTGRKICSVGDDSRALIWDVASKAGNGPENIIGQAEPEMWYGSVGGINNMRWSPVEMDWIAIAFLTKLQLLKV >Potri.001G030100.2.v4.1 pep chromosome:Pop_tri_v4:1:2251026:2266525:-1 gene:Potri.001G030100.v4.1 transcript:Potri.001G030100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030100.v4.1 MEEENALELLQRYRRDRRVLLDYMLSGSLIKKVVMPPGAVTLDDVDLDQVSVDYVLNCVKKGGMLELSEAIRDYHDNTGLPHMNNTGSVDEFFLVTKPETSGSPPKRAPPPAPISAPNPVFAPSPVVSLASVAKSESFNSTEVQELTDSNEVRELTVDDIEDFEDDDDLEVVDSVRMSRRNPNDAADLVPKLPSFSTGITDDDLRETAYEVLLACAGASGGLIVPSKEKKKDKRSKLMRKLGRSKTENAVTHSQRATGLVGLLENMRAQMEISEAMDIRTRQGLLNALAGKVGKRMDTLLVPLELLCCISRSEFSDKKAYIRWQKRQLFMLEEGLINHPVVGFGESGRKPSDLRILLAKIEESEFRPSSAGEVQRTECLRSLREIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDILDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYASVLIRQYIITQEQGLLKHAIEQLKKIPLKEQRGPQERLHLKSLLSKVEGEELPFFQSLLSPVQKWADKQLGDYHLNFAEDSSVMEDVVLVAMITRRLLLEESEMAMQRTSVMDHDQIESFIASSIKNAFTRILVVVDKLDAMDEHPLALLAEEIKKLLKKESTIFTPILSQRNPQAIVVSASLVHKLYGNKLKPFLDGSEHLTEDVVSVFPAADSLEQYIMALITSACGEGNMEVKFRKLTPYQIESISGTLVMRWVNSQLGRILGWVERAIQQERWEPISPQQRHGSSIVEVYRIVEETVDQFFSLKVPMSSKELNGLFRGVDNAFQVYANHVTDKLAAKEDLIPPVPILTRYRKEAGIKAFVKKELFDSRMPEEIKSNEINVPATATLCVQLNTLYYAISQLNKLEDSIWERWNRRKPREQFIKKSIDGNSASFKQKGTFDGSRKDINAAMDRICEFTGTKIIFYDLKEPFIENLYKPAVPQSRLEAIIEPLDIELNELCGIIVEPLRDRIVTSLLQASLDGFLRVILDGGPSRYFCPGDAKILEDDVEVLKEFFISGGDGLPRGVVENHVARARHVIKLHSYETRELIEDLKSVSGVERQRGGSRLGADTPTLLRILCHRSDSEASQFLKKQFKIPKSSV >Potri.001G030100.5.v4.1 pep chromosome:Pop_tri_v4:1:2251031:2266369:-1 gene:Potri.001G030100.v4.1 transcript:Potri.001G030100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030100.v4.1 MEEENALELLQRYRRDRRVLLDYMLSGSLIKKVVMPPGAVTLDDVDLDQVSVDYVLNCVKKGGMLELSEAIRDYHDNTGLPHMNNTGSVDEFFLVTKPETSGSPPKRAPPPAPISAPNPVFAPSPVVSLASVAKSESFNSTEVQELTDSNEVRELTVDDIEDFEDDDDLEVVDSVRMSRRNPNDAADLVPKLPSFSTGITDDDLRETAYEVLLACAGASGGLIVPSKEKKKDKRSKLMRKLGRSKTENAVTHSQRATGLVGLLENMRAQMEISEAMDIRTRQGLLNALAGKVGKRMDTLLVPLELLCCISRSEFSDKKAYIRWQKRQLFMLEEGLINHPVVGFGESGRKPSDLRILLAKIEESEFRPSSAGEVQRTECLRSLREIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDILDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYASVLIRQYIITQEQGLLKHAIEQLKKIPLKEQRGPQERLHLKSLLSKVEGEELPFFQSLLSPVQKWADKQLGDYHLNFAEDSSVMEDVVLVAMITRRLLLEESEMAMQRTSVMDHDQIESFIASSIKNAFTRILVVVDKLDAMDEHPLALLAEEIKKLLKKESTIFTPILSQRNPQAIVVSASLVHKLYGNKLKPFLDGSEHLTEDVVSVFPAADSLEQYIMALITSACGEGNMEVKFRKLTPYQIESISGTLVMRWVNSQLGRILGWVERAIQQERWEPISPQQRHGSSIVEVYRIVEETVDQFFSLKVPMSSKELNGLFRGVDNAFQVYANHVTDKLAAKEDLIPPVPILTRYRKEAGIKAFVKKELFDSRMPEEIKSNEINVPATATLCVQLNTLYYAISQLNKLEDSIWERWNRRKPREQFIKKSIDGNSASFKQKGTFDGSRKDINAAMDRICEFTGTKIIFYDLKEPFIENLYKPAVPQSRLEAIIEPLDIELNELCGIIVEPLRDRIVTSLLQASLDGFLRVILDGGPSRYFCPGDAKILEDDVEVLKEFFISGGDGLPRGVVENHVARARHVIKLHSYETRELIEDLKSVSGVERQRGGSRLGADTPTLLRILCHRSDSEASQFLKKQFKIPKSSV >Potri.001G030100.1.v4.1 pep chromosome:Pop_tri_v4:1:2251028:2266373:-1 gene:Potri.001G030100.v4.1 transcript:Potri.001G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030100.v4.1 MEEENALELLQRYRRDRRVLLDYMLSGSLIKKVVMPPGAVTLDDVDLDQVSVDYVLNCVKKGGMLELSEAIRDYHDNTGLPHMNNTGSVDEFFLVTKPETSGSPPKRAPPPAPISAPNPVFAPSPVVSLASVAKSESFNSTEVQELTDSNEVRELTVDDIEDFEDDDDLEVVDSVRMSRRNPNDAADLVPKLPSFSTGITDDDLRETAYEVLLACAGASGGLIVPSKEKKKDKRSKLMRKLGRSKTENAVTHSQRATGLVGLLENMRAQMEISEAMDIRTRQGLLNALAGKVGKRMDTLLVPLELLCCISRSEFSDKKAYIRWQKRQLFMLEEGLINHPVVGFGESGRKPSDLRILLAKIEESEFRPSSAGEVQRTECLRSLREIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDILDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYASVLIRQYIITQEQGLLKHAIEQLKKIPLKEQRGPQERLHLKSLLSKVEGEELPFFQSLLSPVQKWADKQLGDYHLNFAEDSSVMEDVVLVAMITRRLLLEESEMAMQRTSVMDHDQIESFIASSIKNAFTRILVVVDKLDAMDEHPLALLAEEIKKLLKKESTIFTPILSQRNPQAIVVSASLVHKLYGNKLKPFLDGSEHLTEDVVSVFPAADSLEQYIMALITSACGEGNMEVKFRKLTPYQIESISGTLVMRWVNSQLGRILGWVERAIQQERWEPISPQQRHGSSIVEVYRIVEETVDQFFSLKVPMSSKELNGLFRGVDNAFQVYANHVTDKLAAKEDLIPPVPILTRYRKEAGIKAFVKKELFDSRMPEEIKSNEINVPATATLCVQLNTLYYAISQLNKLEDSIWERWNRRKPREQFIKKSIDGNSASFKQKGTFDGSRKDINAAMDRICEFTGTKIIFYDLKEPFIENLYKPAVPQSRLEAIIEPLDIELNELCGIIVEPLRDRIVTSLLQASLDGFLRVILDGGPSRYFCPGDAKILEDDVEVLKEFFISGGDGLPRGVVENHVARARHVIKLHSYETRELIEDLKSVSGVERQRGGSRLGADTPTLLRILCHRSDSEASQFLKKQFKIPKSSV >Potri.001G030100.4.v4.1 pep chromosome:Pop_tri_v4:1:2251037:2266417:-1 gene:Potri.001G030100.v4.1 transcript:Potri.001G030100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030100.v4.1 MEEENALELLQRYRRDRRVLLDYMLSGSLIKKVVMPPGAVTLDDVDLDQVSVDYVLNCVKKGGMLELSEAIRDYHDNTGLPHMNNTGSVDEFFLVTKPETSGSPPKRAPPPAPISAPNPVFAPSPVVSLASVAKSESFNSTEVQELTDSNEVRELTVDDIEDFEDDDDLEVVDSVRMSRRNPNDAADLVPKLPSFSTGITDDDLRETAYEVLLACAGASGGLIVPSKEKKKDKRSKLMRKLGRSKTENAVTHSQRATGLVGLLENMRAQMEISEAMDIRTRQGLLNALAGKVGKRMDTLLVPLELLCCISRSEFSDKKAYIRWQKRQLFMLEEGLINHPVVGFGESGRKPSDLRILLAKIEESEFRPSSAGEVQRTECLRSLREIAIPLAERPARGDLTGEVCHWADGYHLNVRLYEKLLLSVFDILDEGKLTEEVEEILELLKSTWRVLGITETIHYTCYASVLIRQYIITQEQGLLKHAIEQLKKIPLKEQRGPQERLHLKSLLSKVEGEELPFFQSLLSPVQKWADKQLGDYHLNFAEDSSVMEDVVLVAMITRRLLLEESEMAMQRTSVMDHDQIESFIASSIKNAFTRILVVVDKLDAMDEHPLALLAEEIKKLLKKESTIFTPILSQRNPQAIVVSASLVHKLYGNKLKPFLDGSEHLTEDVVSVFPAADSLEQYIMALITSACGEGNMEVKFRKLTPYQIESISGTLVMRWVNSQLGRILGWVERAIQQERWEPISPQQRHGSSIVEVYRIVEETVDQFFSLKVPMSSKELNGLFRGVDNAFQVYANHVTDKLAAKEDLIPPVPILTRYRKEAGIKAFVKKELFDSRMPEEIKSNEINVPATATLCVQLNTLYYAISQLNKLEDSIWERWNRRKPREQFIKKSIDGNSASFKQKGTFDGSRKDINAAMDRICEFTGTKIIFYDLKEPFIENLYKPAVPQSRLEAIIEPLDIELNELCGIIVEPLRDRIVTSLLQASLDGFLRVILDGGPSRYFCPGDAKILEDDVEVLKEFFISGGDGLPRGVVENHVARARHVIKLHSYETRELIEDLKSVSGVERQRGGSRLGADTPTLLRILCHRSDSEASQFLKKQFKIPKSSV >Potri.002G022300.1.v4.1 pep chromosome:Pop_tri_v4:2:1396507:1400407:1 gene:Potri.002G022300.v4.1 transcript:Potri.002G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022300.v4.1 MKATKRPVQAVATWARRQPPKIKVFLAVVSGLAALVFLRMVVHDHDNLFVAAEAVHSIGISVLIYKLMKEKTCAGLSLKSQDLTAIFLAARLYCSFVMEYDIHTLLDSATLLTTLWVIYMIRFNLRSSYMEDKDNFAIYYLVIPCALLALIIHPTTHHNIFNRISWAFCVYLESISVLPQLRVMQNTKIVEPFTAHYVFALGVARFLSCAHWVLQVLDTRGRLLTALGYGLWPSMVLLSEIVQTFILADFCYYYVKSVLGGQLVLRLPSGVV >Potri.001G456700.2.v4.1 pep chromosome:Pop_tri_v4:1:48280024:48280646:1 gene:Potri.001G456700.v4.1 transcript:Potri.001G456700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456700.v4.1 MADTEVGKRGRLHHHHHHHGASCTRGFRLKYPRRFSVQRFRARFFCLFRFLSRWRSSYGQAVQYLKRGMGRDSGIKRCGSSKRVLVMDATSCHYMEKGDEHYSCRSFGRSNSFYSEAIADCLEFIKRSSISVEQKQVSPR >Potri.014G111700.1.v4.1 pep chromosome:Pop_tri_v4:14:7510871:7512233:1 gene:Potri.014G111700.v4.1 transcript:Potri.014G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111700.v4.1 MGRGATSSSSSSFESSNYPSVSSKSSLSQLKKDLSTDLRLGLSISTSQQENPSTPSDQQLSDWPPIKPFLRKALVSEENECSSATFFVKVYMEGIPIGRKLNLLAHDGYHDLIQTLDQMFNTSILWPEMDIEHSGQCHVLTYEDKEGDWLIVGDVPWEMFLPSVRRLKITRADSL >Potri.011G146900.2.v4.1 pep chromosome:Pop_tri_v4:11:17504692:17509705:-1 gene:Potri.011G146900.v4.1 transcript:Potri.011G146900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146900.v4.1 MPGPEEAGTPTVTAPNTPGTPGGPLFTGLRVDSLSYSDRKIMPKCKCLPVTAPNWGQPHTCFLDIPSPDVSLTRKLGAEFVGTFILIFMATAGPIVNQKYDHAETLIGNAACAGLAVMIIILSTGHISGAHLNPSLTIAFAALRHFPWVQVPAYIAAQVSASICASFALKGVFHPFMSGGVTVPSVSTGQAFALEFFITFNLLFVVTAVATDTRAVGELAGIAVGATVMLNILVAGPSTGGSMNPVRTLGPAIAAGNYKKIWIYLVAPTLGAVVGAGAYTLVKLRDDETDPPRPVRSFRR >Potri.005G224000.6.v4.1 pep chromosome:Pop_tri_v4:5:22518299:22521762:1 gene:Potri.005G224000.v4.1 transcript:Potri.005G224000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224000.v4.1 MQKKEPDKTPEIPVYWIETSDSVSRHFQFEPDGQLSMKVVDDARPVYRRVVESFLNKFFPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVLGLGVGIQLASTVCSSMQGKFVAGPLLSIVHVCCVIEEMRATPVNTLNPQRTAMVVADFVKTGKISSPADLRYHEDLLFPGRLIEDAGNVKVGQALHRAVRPSKLRELKEIFPGEKFILSPGNKWTDLVLEQNASGEDALRAWLVAAYASSMKKSSHESTSVTLQDAYEKMNSVFDPFLSELQAKGWHTDRFLDGTGSRFSW >Potri.005G224000.4.v4.1 pep chromosome:Pop_tri_v4:5:22518209:22521778:1 gene:Potri.005G224000.v4.1 transcript:Potri.005G224000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224000.v4.1 MNLLDKIKMQKKEPDKTPEIPVYWIETSDSVSRHFQFEPDGQLSMKVVDDARPVYRRVVESFLNKFFPSGYPYSVNEGYLRYTQFRALQHFSSAALSVLSTQSLLFAAGLRPTPAQATAVSWILKDGMQHAGKLICSNLGARMDSEPKRWRILADVLYDLGTGLEVLSPLCPHLFLEVAGLGNFAKGMAVVAARATRLPIYSSFAKEGNLSDLFAKGEAISTLFNVLGLGVGIQLASTVCSSMQGKFVAGPLLSIVHVCCVIEEMRATPVNTLNPQRTAMVVADFVKTGKISSPADLRYHEDLLFPGRLIEDAGNVKVGQALHRAVRPSKLRELKEIFPGEKFILSPGNKWTDLVLEQNASGEDALRAWLVAAYASSMKKSSHESTSVTLQDAYEKMNSVFDPFLSELQAKGWHTDRFLDGTGSRFSW >Potri.013G055800.6.v4.1 pep chromosome:Pop_tri_v4:13:4074113:4077630:-1 gene:Potri.013G055800.v4.1 transcript:Potri.013G055800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055800.v4.1 MEDTSESVASAAEVHEKVVKEEIHLKVKSKDKEPNDEKGEKAVVEVESKTKSVEKEKHKEKVEEKSEKKEKHKKKKDEDEEKEKKTKEKDKKKKGKEGEDSVCEESEVDKEAGEAKKKEEKKNNKDKEKEDNKKKKDDEVSGDNQEDKKVEKEEKGKDKEEKKKKKKDHEVSGDEQEDKKEGKKKDKKEKKKGKDKDSKDTKKVVEECKDRGLSAEAEEEEEKEKKEKKGKEKKVKDKEKKVDEESEEETEKHKGVKGGELKAKRKDKKGKDEIEEEEDDEDDGKEENKKKKNKGKDKDSKDTKKVVEECKDRGLSAEAEEEEEEEEEEKGKKEKKGKEKKVKDKEKKVDEESEEETEKDKGVKGGELKAKKKDKKKDKKGKDEIEEEEDDEDEGKEENKKKKNKDKEMKGKKHKDEADDEIKYGDEEKEEKKKKKEKMQKERKDEATQSKCEAGVTTREIGINDESPVEEEKKQKDKLKEGKEKKKEEKSNKKRKSSEKGKGKGIDKLKQKLEKINERIEAALEEKDVILRQIKEAESGSSSVPEKEKDAPKADVE >Potri.013G055800.5.v4.1 pep chromosome:Pop_tri_v4:13:4074343:4077345:-1 gene:Potri.013G055800.v4.1 transcript:Potri.013G055800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055800.v4.1 MEDTSESVASAAEVHEKVVKEEIHLKVKSKDKEPNDEKGEKAVVEVESKTKSVEKEKHKEKVEEKSEKKEKHKKKKDEDEEKEKKTKEKDKKKKGKEGEDSVCEESEVDKEAGEAKKKEEKKNNKDKEKEDNKKKKDDEVSGDNQEDKKVEKEEKGKDKEEKKKKKKDHEVSGDEQEDKKEGKKKDKKEKKKGKDKDSKDTKKVVEECKDRGLSAEAEEEEEKEKKEKKGKEKKVKDKEKKVDEESEEETEKHKGVKGGELKAKRKDKKGKDEIEEEEDDEDDGKEENKKKKNKGKDKDSKDTKKVVEECKDRGLSAEAEEEEEEEEEEKGKKEKKGKEKKVKDKEKKVDEESEEETEKDKGVKGGELKAKKKDKKKDKKGKDEIEEEEDDEDEGKEENKKKKNKDKEMKGKKHKDEADDEIKYGDEEKEEKKKKKEKMQKERKDEATQSKCEAGVTTREIGINDESPVEEEKKQKDKLKEGKEKKKEEKSNKKRKSSEKGKGKGIDKLKQKLEKINERIEAALEEKDVILRQIKEAESGSSSVPEKEKDAPKADVE >Potri.013G055800.7.v4.1 pep chromosome:Pop_tri_v4:13:4074343:4077315:-1 gene:Potri.013G055800.v4.1 transcript:Potri.013G055800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055800.v4.1 MEDTSESVASAAEVHEKVVKEEIHLKVKSKDKEPNDEKGEKAVVEVESKTKSVEKEKHKEKVEEKSEKKEKHKKKKDEDEEKEKKTKEKDKKKKGKEGEDSVCEESEVDKEAGEAKKKEEKKNNKDKEKEDNKKKKDDEVSGDNQEDKKVEKEEKGKDKEEKKKKKKDHEVSGDEQEDKKEGKKKDKKEKKKGKDKDSKDTKKVVEECKDRGLSAEAEEEEEKEKKEKKGKEKKVKDKEKKVDEESEEETEKHKGVKGGELKAKRKDKKGKDEIEEEEDDEDDGKEENKKKKNKGKDKDSKDTKKVVEECKDRGLSAEAEEEEEEEEEEKGKKEKKGKEKKVKDKEKKVDEESEEETEKDKGVKGGELKAKKKDKKKDKKGKDEIEEEEDDEDEGKEENKKKKNKDKEMKGKKHKDEADDEIKYGDEEKEEKKKKKEKMQKERKDEATQSKCEAGVTTREIGINDESPVEEEKKQKDKLKEGKEKKKEEKSNKKRKSSEKGKGKGIDKLKQKLEKINERIEAALEEKDVILRQIKEAESGSSSVPEKEKDAPKADVE >Potri.001G065309.1.v4.1 pep chromosome:Pop_tri_v4:1:6174841:6177100:-1 gene:Potri.001G065309.v4.1 transcript:Potri.001G065309.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065309.v4.1 MYHNDLSGFLPPCLANLTSLQHLDLSSNHLKIPVSLSPLYNLSKLNYFDGSGNEIYAEEEDHNLSPKFQLEFLYLSSRGQGPGAFPKFLYHQVNLQYVDLTNIQMKGEFPNWLIENNTYLQELHLENCSLTGPFLLPKNSHVNLLFLSISVNYFQGQIPSEIGAYLPRLEVLLMSDNGFNGTIPSSLGNMSSLQVLDMFANVLTGRILSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGPLPPRFGTSSKLRYVSLSRNKLHGPIAIAFYNSSKIEALDLSHNDLTGRIPEWIGRQSNLRFLLLSYNNFEGEIPIQLCRLDQLTLIDLSHNYLFGNILSWMISSSPLGISNSHDSVSSSQQSFEFTTKNVSLSYRGDIIRYFKGIDFSRNNFTGEIPPEIGNLSGIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFFLEFFSVAHNNLSGKTPTRVAQFATFEESCYKENPFLCGEPLPKICGVVMPPSPTPSSTNKNNKDNCGFVDMEVFYVTFGVAYIMVLLVMGVVFYINPYWRQAWFYFIEVSLNNCYYFIMDNLPILSKFGFP >Potri.012G007500.1.v4.1 pep chromosome:Pop_tri_v4:12:310960:314184:-1 gene:Potri.012G007500.v4.1 transcript:Potri.012G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007500.v4.1 MAVFSLNLNSLPLGFRFRPSDEELVNYYLRHKINGKDDKVRVIREIDVCKWEPWDLPGLSIIENKDPEWFFFCPLDRKYPNGSRQNRATNAGYWKATGKDRKIKSGNRLIGMKKTLVFYTGRAPKGKRTNWVVHEYRATEEELDGTKPGQSAFVLCRLFKKQDESIESPNCDEAEATVSSPTTAQSSPEVTQSDQPLTEASPANTTTSEVVAPVEFPSCSVGVSEGGDQTADLPASEEELQLEEALNWLFDSPPQALDYELFPPVHEQVQEAVGSSSMFNHGNNDLSSSNRGLQSHNVGNETDDYTSEFIDSILKQPDELFYEVPSFQNNSSFPSESLFRGSLLRVVEDNVSYSGSDVDMEPIRIEQGFQGAVFPEGNIDEKPSSTLLYDSNVHQQPIYLGSLQNGSYALQSVASISATDQLNTLNKPSNSTNEVGGSDTNWNGIRTRVHNPRNRQFGRNFNTQGDAPRRLRLQCKLQVQPIQFSTKSEDFNSGEGELEYECIVTKEIKVSETNAMDEDSYTNAMDEQLKPTLVKVSETIKFSEELIPNNGTAVKNNRSMLSKVSFMFSKASSACDSIWSITMFRVAVLAVLFAVSVSRCRYFFLDTA >Potri.005G104800.1.v4.1 pep chromosome:Pop_tri_v4:5:7545030:7551166:-1 gene:Potri.005G104800.v4.1 transcript:Potri.005G104800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G104800.v4.1 MIFLPSMSIFNFCHRFVPCLADPATRSSLGLKAALVVLHIVYAGILFLFDSDLIEKTKQEPWSYTGLYLLLFVATLIQYFVASYSSPGYVLDAMRDVNEKNSLFRKASMLSKQPASSKNGSLVITVEGSQSERNIPGSNVTSWTKLVLDMYPPGMSVRTLTCSFCNVEQPPRAKHCHDCDRCVLQFDHHCVWLGACFGWGNHCRFWWYIFEETALCIWTGILYITSLKANISRAWWKDVIMILLLVTLLVAHIAVVCPKILQLLHFDGIHS >Potri.007G129000.3.v4.1 pep chromosome:Pop_tri_v4:7:14385235:14387882:-1 gene:Potri.007G129000.v4.1 transcript:Potri.007G129000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129000.v4.1 MNFISVELHLIAKPVEIETLVEDKIVTTESIVGGETGVCEVDTSQEPYEGMVFESENAARVFYDEYAKQEGFLTRIVSSRKSEHDGTIISRRLACNKEGFNLSSQKTGQVKIRKRESKREGCMAMILVKREKLGKWVVTKFTREHNHPLVISSKKVD >Potri.007G129000.1.v4.1 pep chromosome:Pop_tri_v4:7:14385087:14387990:-1 gene:Potri.007G129000.v4.1 transcript:Potri.007G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129000.v4.1 MEIETLVEDKIVTTESIVGGETGVCEVDTSQEPYEGMVFESENAARVFYDEYAKQEGFLTRIVSSRKSEHDGTIISRRLACNKEGFNLSSQKTGQVKIRKRESKREGCMAMILVKREKLGKWVVTKFTREHNHPLVISSKKVD >Potri.014G092932.1.v4.1 pep chromosome:Pop_tri_v4:14:6014272:6015559:-1 gene:Potri.014G092932.v4.1 transcript:Potri.014G092932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092932.v4.1 MATEFSAKSAFLLLGILLNLAFKTKAGSIVIYWGQDGREGTLTSTCASRKYGIVNIAFLSIFGKGQKPQINLAGHCDPSSNGCQKVSNDIHNCQNQGVKVMLSIGGGTSGYTLTSDAEARGVAEYLWNNFLGGRSNSRPLGDAILDGIDFDIEGGELHYVALATRLSELSRGGRKVYLTAAPVSFP >Potri.001G392500.5.v4.1 pep chromosome:Pop_tri_v4:1:41553919:41558353:1 gene:Potri.001G392500.v4.1 transcript:Potri.001G392500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392500.v4.1 MANSNLPRRIIKETQRLLSEPAPGISASPSEDNMRYFNVMILGPTQSPYEGGVFKLELFLPEEYPMAAPKVRFLTKIYHPNIDKLGRICLDILKDKWSPALQIRTVLLSIQALLSAPNPDDPLSENIAKHWKTNEVEAVETAKEWTRLYASGA >Potri.009G156800.1.v4.1 pep chromosome:Pop_tri_v4:9:12220866:12223995:-1 gene:Potri.009G156800.v4.1 transcript:Potri.009G156800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156800.v4.1 MGASVPASPEILLTILLFAMSCLWAFPEVAGAKHAGITRHYKFNIELTNVTRLCHTKSMVTVNGKFPGPRVVAREGDRLVVKVVNHVPNNISIHWHGIRQLQSGWADGPAYITQCPIQTNQTYVYNFTITGQRGTLFWHAHLSWLRASVYGPLIILPKRNVSYPFAKPHKEVTIMLGEWFNADTEAVISQALQTGGGPNVSEAYTFNGLPGPLYNCSENNTYKLKVKPGKTYLLRLINAALNDDLFFSIANHTFTVVEVDATYAKPFETNLLVITAGQTTNVLLKAKPIAPNASFYMLARPYFTGQGTFDNTTVAGILEYETSSNSTAFKPTLPPINATNVVANFTRRLRSLANSRFPVNVPQTADKKFFFTVGLGNSPCPKNQTCQGPNGTKFSASVNNISMALPSSALLQSYFFKKSNGVYTSDFPSFPLHPFNYTGTPPNNTLVANGTKLVVVPFNTSVEVVMQGTRIFGAESHPLHLHGFNFYVVGEGFGNFDPNNDPKNFNLVDPVERNTVGVPTAGWVAIRFHADNPGVWFMHCHFDVHLSWGLRMAWIVLDGTLPSQKLPPPPSDLPKC >Potri.001G134000.1.v4.1 pep chromosome:Pop_tri_v4:1:10898607:10901699:-1 gene:Potri.001G134000.v4.1 transcript:Potri.001G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134000.v4.1 MAVCTVYTTQSLNSTCSISTPTKTRLGFNQKHVVFYSSNKKTSKRASSAVITCSADTQTVVIGLAADSGCGKSTFMRRLTSVFGGAAEPPKGGNPDSNTLISDTTTVICLDDYHSLDRTGRKEKGVTALDPRANDFDLMYDQVKAIKDGIAVEKPIYNHVTGLLDPPELIKPPKILVIEGLHPMYDQRVRDLLDFSIYLDISNEVKFAWKIQRDMAERGHSLESIKASIEARKPDFDAYIDPQKQYADAVIEVLPTQLIPDDNEGKVLRVRLIMKEGVKFFSPVYLFDEGSAISWIPCGRKLTCSYPGIKFSYSPDTYYGHEVSILEMDGQFDRLDELIYVESHLSNLSTKFYGEVTQQMLKHADFPGSNNGTGLFQTIVGLKIRDLFEQIIASRAKTPVEATKA >Potri.004G111050.1.v4.1 pep chromosome:Pop_tri_v4:4:10129416:10131373:1 gene:Potri.004G111050.v4.1 transcript:Potri.004G111050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111050.v4.1 MAEKSQQNLWPTEASSHQFSLPSGQRPKPPPPVEYYHCDLPESALVFTSSRWKISPPPRADERELYAPPKAGSCIPEEEEDEQCW >Potri.004G111050.2.v4.1 pep chromosome:Pop_tri_v4:4:10129416:10131366:1 gene:Potri.004G111050.v4.1 transcript:Potri.004G111050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111050.v4.1 MAEKSQQNLWPTEASSHQFSLPSGQRPKPPPPVEYYHCDLPESALVFTSSRWKISPPPRADERELYAPPKAGSCIPEEEEDEQCW >Potri.008G031700.8.v4.1 pep chromosome:Pop_tri_v4:8:1699476:1704125:1 gene:Potri.008G031700.v4.1 transcript:Potri.008G031700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G031700.v4.1 MSVADLTTSPVTSTYTKTSSRLHSPQLSLSSTRLSVHFSRSPRPNPRAQNFKITCSVNSQVPAPVAVQTEEAKSKSECFGVFCQTYDLKAEEETKSWKKLINVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGINPYEVFEDVEWALLIGAKPRGPGMERAGLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGIPVKEVIKDHKWLEEEFTEKVQKRGGVLIQKWGRSSAASTAVSIADAMKSLVTPTPEGDWFSSGVYTSGNPYGIAQDIVFSMPCRSKGDGDYELVKDVTFDEYLLKRIAKSEAELLAEKRCVAHLTGEGVAFCDLPEDTMLPGEM >Potri.008G031700.2.v4.1 pep chromosome:Pop_tri_v4:8:1699551:1704159:1 gene:Potri.008G031700.v4.1 transcript:Potri.008G031700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G031700.v4.1 MSVADLTTSPVTSTYTKTSSRLHSPQLSLSSTRLSVHFSRSPRPNPRAQNFKITCSVNQVPAPVAVQTEEAKSKSECFGVFCQTYDLKAEEETKSWKKLINVAVSGAAGMISNHLLFKLASGEVFGPDQPIALKLLGSERSFQALEGVAMELEDSLYPLLREVSIGINPYEVFEDVEWALLIGAKPRGPGMERAGLLDINGQIFAEQGKALNAVASRNVKVIVVGNPCNTNALICLKNAPNIPAKNFHALTRLDENRAKCQLALKAGVFYDKVSNMTIWGNHSTTQVPDFLNARINGIPVKEVIKDHKWLEEEFTEKVQKRGGVLIQKWGRSSAASTAVSIADAMKSLVTPTPEGDWFSSGVYTSGNPYGIAQDIVFSMPCRSKGDGDYELVKDVTFDEYLLKRIAKSEAELLAEKRCVAHLTGEGVAFCDLPEDTMLPGEM >Potri.016G047300.1.v4.1 pep chromosome:Pop_tri_v4:16:3035577:3038437:-1 gene:Potri.016G047300.v4.1 transcript:Potri.016G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047300.v4.1 MEVEHFSHPDHPLILVNQVLEYSCELVICSGCEGPIWGPCYSCTCCYFFLHKKCAELPREIKRRIHRRHPLHLLAKSPYKGKYRCNRCTKSFNSFVYHCSSCKFDLDIKCAFEPGFFEVDSQFAHKDHPLILNEEQEYHGEGVVCSVCKEPISGPSYSCTSCNFFLHKKCAELPPEINRHLHPEHPLRLLPNHDTMCDFCNETCCESFVYCCFVCEFNIHIKCAFPPCVYEADQDQGHQFRRLLNPRSLKSISFTCNACGTDGDDSPFGCTMCQLVVHEECISLPGTLKTALHHHPQIIHTYQLQQGIESINKYCGICRREVDTEYGVYYCPDCDFVAHVNCSIEYGDSATEIVEENEEEQSVTVDGQFMEPSFRVVREIKHGEDRIIEEIDHWSHQHNLILIDKVDDDLKCDGCMFPISTPFYSCASCNFFLDKTCIELPRRKKWQYHENQLILSWSRGPHDLFYCDVCKQYFRGLRYTCDVCGLWIDVRCFKSLEDSFEHEGHEHPLYLPADSKNILRCNNGGRGRPPWAAAERESIPHCSGCCVSEESKVFFKCVVCDFKLGMKCATLPYKARHEYDDHPLLLTYINENDYQPSCLICEKDRDPKLWFYRCEECDFDAHPECALGKYPYVKQGGVRTYPKHPHPLVSVDKTEDYRPQACDTCGEPCDDLALECTDPNCNFIVHRKRRQCIDPLIW >Potri.005G025300.1.v4.1 pep chromosome:Pop_tri_v4:5:1603641:1608044:-1 gene:Potri.005G025300.v4.1 transcript:Potri.005G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G025300.v4.1 MAEEDCELNEKRKREIAKWFLLNSPAGEIQYIAKDLRSVLNDEKAYNEAALEAFPLYNKSHFICLELPDRSGEVLVTSYNELDENEFLDPRTAQVAIVDHVKQVCTDMRPTADEELSSPYIEEFRCALDAEILKYVAEAYPKGICSVYCVKGKDVEGPGSNFELVVVISAVRNSPQNFCNGSWRSLWNIEFKEDVQMLEVRGELQVGAHYFEEGNVQLDAKHECKDATIFQAPDDCAISIANIIRHHEAQYLESLEASYSNLPDTTFKDLRRKLPVTRTLFPWHNTLQFSLTRDIQKELGIDK >Potri.013G027900.1.v4.1 pep chromosome:Pop_tri_v4:13:1815871:1817960:-1 gene:Potri.013G027900.v4.1 transcript:Potri.013G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027900.v4.1 MIIPEKNRREISKYLFQEGVCYAKKDFNLAKHPEIDVPNLQVIKLMQSFKSKEYVRETFAWMYYYWYLTNDGIEFLRTYLNLPSEIVPATLKKQAKPAGGRPFGGPPGDRPRGPPRFEGDRPRFGDRDGYRGGPRGGEGGEKGGAPADYQPAFRGTGGRPGFGRGGGGYGAAQTSSPGFT >Potri.001G239900.1.v4.1 pep chromosome:Pop_tri_v4:1:25748691:25751295:-1 gene:Potri.001G239900.v4.1 transcript:Potri.001G239900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239900.v4.1 MPSSSFGASLATPKLNVAIDTGNPFLNVTVDGFLKIGTVAAAKSLAEDAYYVVKGGNFSGRKIEHSLKKMCKEGAYWGTVAGMYVGMEYGMERIRGTHDWKNAMLGGALTGALISAASNKSKDNVVIDAIAGGAIATASTFLNYLI >Potri.009G018400.6.v4.1 pep chromosome:Pop_tri_v4:9:3058445:3063267:-1 gene:Potri.009G018400.v4.1 transcript:Potri.009G018400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018400.v4.1 MASNQLRCHYEVLGLSRDSSPEEVRSAFKKLALRRHPDKLLQSGLSQAEATAQFQELVQAYEVLSDPKERAWYDSHRSQILFSDPNSGNSVPDSVIPNLFSFFSNTVYSGYTDSGRGFYKVYSDVFDKIYANEVNFCRKLGLGLDSVREAPLMGSLQSDYAQVSAFYNYWLGFSTVMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNETVRGLGEFVKKRDKRVIDMVVKKNAEMERKKEEEKERKKKLEREKMERLRAYEEPEWARVNEEEVDGMEGFEEEEDKGKKGNGGKELYCVVCGKKFKSEKQWKNHEQSKKHKEKVAELRDSFQDEDDEREDIEEDELEKNEDVEEIEERFKEDFKIQREGNGAEVLYSSDKEDGFFDADDMDGIDEKNGNVEDEEGEEMSVLEAMVSGHRSRKSRGSGHTNEEFPQEVEDVKEEVEVMEYNNRKTRRRGKKMRGWNNGGEGVTSDIDESKSANEETNGCDDEQNKEPASNSFVEDENDGKIDDHLGKTGKSSNQSTKKKGAAKKEANVKSKNLSKGKKGKAISKDSGNVCDTCGVEFESRNKLHKHLSDTGHGTLKSH >Potri.009G018400.8.v4.1 pep chromosome:Pop_tri_v4:9:3058445:3063267:-1 gene:Potri.009G018400.v4.1 transcript:Potri.009G018400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018400.v4.1 MASNQLRCHYEVLGLSRDSSPEEVRSAFKKLALRRHPDKLLQSGLSQAEATAQFQELVQAYEVLSDPKERAWYDSHRSQILFSDPNSGNSVPDSVIPNLFSFFSNTVYSGYTDSGRGFYKVYSDVFDKIYANEVNFCRKLGLGLDSVREAPLMGSLQSDYAQVSAFYNYWLGFSTVMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNETVRGLGEFVKKRDKRVIDMVVKKNAEMERKKEEEKERKKKLEREKMERLRAYEEPEWARVNEEEVDGMEGFEEEEDKGKKGNGGKELYCVVCGKKFKSEKQWKNHEQSKKHKEKVAELRDSFQDEDDEREDIEEDELEKNEDVEEIEERFKEDFKIQREGNGAEVLYSSDKEDGFFDADDMDGIDEKNGNVEDEEGEEMSVLEAMVSGHRSRKSRGSGHTNEEFPQEVEDVKEEVEVMEYNNRKTRRRGKKMRGWNNGGEGVTSDIDESKSANEETNGCDDEQNKEPASNSFVEDENDGKIDDHLGKTGKSSNQSTKKKGAAKKEANVKSKNLSKGKKGKE >Potri.009G018400.9.v4.1 pep chromosome:Pop_tri_v4:9:3058445:3063267:-1 gene:Potri.009G018400.v4.1 transcript:Potri.009G018400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018400.v4.1 MASNQLRCHYEVLGLSRDSSPEEVRSAFKKLALRRHPDKLLQSGLSQAEATAQFQELVQAYEVLSDPKERAWYDSHRSQILFSDPNSGNSVPDSVIPNLFSFFSNTVYSGYTDSGRGFYKVYSDVFDKIYANEVNFCRKLGLGLDSVREAPLMGSLQSDYAQVSAFYNYWLGFSTVMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNETVRGLGEFVKKRDKRVIDMVVKKNAEMERKKEEEKERKKKLEREKMERLRAYEEPEWARVNEEEVDGMEGFEEEEDKGKKGNGGKELYCVVCGKKFKSEKQWKNHEQSKKHKEKVAELRDSFQDEDDEREDIEEDELEKNEDVEEIEERFKEDFKIQREGNGAEVLYSSDKEDGFFDADDMDGIDEKNGNVEDEEGEEMSVLEAMVSGHRSRKSRGSGHTNEEFPQEVEDVKEEVEVMEYNNRKTRRRGKKMRGWNNGGEGVTSDIDESKSANEETNGCDDEQNKEPASNSFVEDENDGKIDDHLGKTGKSSNQSTKKKGAAKKEANVKSKNLSKGKKGKE >Potri.009G018400.10.v4.1 pep chromosome:Pop_tri_v4:9:3058445:3063267:-1 gene:Potri.009G018400.v4.1 transcript:Potri.009G018400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018400.v4.1 MASNQLRCHYEVLGLSRDSSPEEVRSAFKKLALRRHPDKLLQSGLSQAEATAQFQELVQAYEVLSDPKERAWYDSHRSQILFSDPNSGNSVPDSVIPNLFSFFSNTVYSGYTDSGRGFYKVYSDVFDKIYANEVNFCRKLGLGLDSVREAPLMGSLQSDYAQVSAFYNYWLGFSTVMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNETVRGLGEFVKKRDKRVIDMVVKKNAEMERKKEEEKERKKKLEREKMERLRAYEEPEWARVNEEEVDGMEGFEEEEDKGKKGNGGKELYCVVCGKKFKSEKQWKNHEQSKKHKEKVAELRDSFQDEDDEREDIEEDELEKNEDVEEIEERFKEDFKIQREGNGAEVLYSSDKEDGFFDADDMDGIDEKNGNVEDEEGEEMSVLEAMVSGHRSRKSRGSGHTNEEFPQEVEDVKEEVEVMEYNNRKTRRRGKKMRGWNNGGEGVTSDIDESKSANEETNGCDDEQNKEPASNSFVEDENDGKIDDHLGKTGKSSNQSTKKKGAAKKEANVKSKNLSKGKKGKE >Potri.009G018400.7.v4.1 pep chromosome:Pop_tri_v4:9:3058458:3063267:-1 gene:Potri.009G018400.v4.1 transcript:Potri.009G018400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018400.v4.1 MASNQLRCHYEVLGLSRDSSPEEVRSAFKKLALRRHPDKLLQSGLSQAEATAQFQELVQAYEVLSDPKERAWYDSHRSQILFSDPNSGNSVPDSVIPNLFSFFSNTVYSGYTDSGRGFYKVYSDVFDKIYANEVNFCRKLGLGLDSVREAPLMGSLQSDYAQVSAFYNYWLGFSTVMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNETVRGLGEFVKKRDKRVIDMVVKKNAEMERKKEEEKERKKKLEREKMERLRAYEEPEWARVNEEEVDGMEGFEEEEDKGKKGNGGKELYCVVCGKKFKSEKQWKNHEQSKKHKEKVAELRDSFQDEDDEREDIEEDELEKNEDVEEIEERFKEDFKIQREGNGAEVLYSSDKEDGFFDADDMDGIDEKNGNVEDEEGEEMSVLEAMVSGHRSRKSRGSGHTNEEFPQEVEDVKEEVEVMEYNNRKTRRRGKKMRGWNNGGEGVTSDIDESKSANEETNGCDDEQNKEPASNSFVEDENDGKIDDHLGKTGKSSNQSTKKKGAAKKEANVKSKNLSKGKKGKAISKDSGNVCDTCGVEFESRNKLHKHLSDTGHGTLKSH >Potri.009G018400.5.v4.1 pep chromosome:Pop_tri_v4:9:3058445:3063267:-1 gene:Potri.009G018400.v4.1 transcript:Potri.009G018400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018400.v4.1 MASNQLRCHYEVLGLSRDSSPEEVRSAFKKLALRRHPDKLLQSGLSQAEATAQFQELVQAYEVLSDPKERAWYDSHRSQILFSDPNSGNSVPDSVIPNLFSFFSNTVYSGYTDSGRGFYKVYSDVFDKIYANEVNFCRKLGLGLDSVREAPLMGSLQSDYAQVSAFYNYWLGFSTVMDFCWVDQYDVMAGPNRKSRRVMEEENKKLRKKARREYNETVRGLGEFVKKRDKRVIDMVVKKNAEMERKKEEEKERKKKLEREKMERLRAYEEPEWARVNEEEVDGMEGFEEEEDKGKKGNGGKELYCVVCGKKFKSEKQWKNHEQSKKHKEKVAELRDSFQDEDDEREDIEEDELEKNEDVEEIEERFKEDFKIQREGNGAEVLYSSDKEDGFFDADDMDGIDEKNGNVEDEEGEEMSVLEAMVSGHRSRKSRGSGHTNEEFPQEVEDVKEEVEVMEYNNRKTRRRGKKMRGWNNGGEGVTSDIDESKSANEETNGCDDEQNKEPASNSFVEDENDGKIDDHLGKTGKSSNQSTKKKGAAKKEANVKSKNLSKGKKGKAISKDSGNVCDTCGVEFESRNKLHKHLSDTGHGTLKSH >Potri.003G125600.8.v4.1 pep chromosome:Pop_tri_v4:3:14525278:14530063:1 gene:Potri.003G125600.v4.1 transcript:Potri.003G125600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125600.v4.1 MTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPNILSYNQILEAINDTGFEAILLSTGVDMSKIGLKIVGVRTQNSMRIIENSLQALPGVQSVDIDPEVNKISLSYKPDVTGPRNFINVIESTGTSGRFKATIFPEGGGRESHRQEEIKQYYRSFLWSLVFTVPVFLISMIFMYIPGIKHALDTKIVNMLSIGAILRWVLSTPVQFIIGRRFYTGSYKALRNGSPNMDVLIALGTNAAYFYSVYSVLRSATSPSFESADFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPGTAILLTLDDQGNVSSEEEIDSRLIQRNDVIKIIPGAKIASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISRYFVPLVIILSFSTWLAWFLAGKFHGYPGSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPLVVSTRLLKNLALRDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPKWPEAQDFESITGHGVKAIVRNKEVIVGNKSLMLENNIPISIDAEEILAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNWGTAHSIAREVGIETVIAEAKPEHKAEKVKELQAAGYIVAMVGDGINDSPALVVADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYKRPKKLENLDIGGIMIE >Potri.003G125600.6.v4.1 pep chromosome:Pop_tri_v4:3:14524293:14530036:1 gene:Potri.003G125600.v4.1 transcript:Potri.003G125600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125600.v4.1 MATKFLALACIRKESTYGDLSPRPRYPSMPKYPKGVSVRETNVEGSEAKAVFSVMGMTCSACAGSVEKAVKRLPGIREAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFEATLIQEGTSDRSTQVCRIRINGMTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPNILSYNQILEAINDTGFEAILLSTGVDMSKIGLKIVGVRTQNSMRIIENSLQALPGVQSVDIDPEVNKISLSYKPDVTGPRNFINVIESTGTSGRFKATIFPEGGGRESHRQEEIKQYYRSFLWSLVFTVPVFLISMIFMYIPGIKHALDTKIVNMLSIGAILRWVLSTPVQFIIGRRFYTGSYKALRNGSPNMDVLIALGTNAAYFYSVYSVLRSATSPSFESADFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPGTAILLTLDDQGNVSSEEEIDSRLIQRNDVIKIIPGAKIASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISRYFVPLVIILSFSTWLAWFLAGKFHGYPGSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPLVVSTRLLKNLALRDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPKWPEAQDFESITGHGVKAIVRNKEVIVGNKSLMLENNIPISIDAEEILAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNWGTAHSIAREVGIETVIAEAKPEHKAEKVKELQAAGYIVAMVGDGINDSPALVVADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYKRPKKLENLDIGGIMIE >Potri.003G125600.7.v4.1 pep chromosome:Pop_tri_v4:3:14524885:14530007:1 gene:Potri.003G125600.v4.1 transcript:Potri.003G125600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125600.v4.1 MATKFLALACIRKESTYGDLSPRPRYPSMPKYPKGVSVRETNVEGSEAKAVFSVMGMTCSACAGSVEKAVKRLPGIREAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFEATLIQEGTSDRSTQVCRIRINGMTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPNILSYNQILEAINDTGFEAILLSTGVDMSKIGLKIVGVRTQNSMRIIENSLQALPGVQSVDIDPEVNKISLSYKPDVTGPRNFINVIESTGTSGRFKATIFPEGGGRESHRQEEIKQYYRSFLWSLVFTVPVFLISMIFMYIPGIKHALDTKIVNMLSIGAILRWVLSTPVQFIIGRRFYTGSYKALRNGSPNMDVLIALGTNAAYFYSVYSVLRSATSPSFESADFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPGTAILLTLDDQGNVSSEEEIDSRLIQRNDVIKIIPGAKIASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISRYFVPLVIILSFSTWLAWFLAGKFHGYPGSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPLVVSTRLLKNLALRDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPKWPEAQDFESITGHGVKAIVRNKEVIVGNKSLMLENNIPISIDAEEILAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNWGTAHSIAREVGIETVIAEAKPEHKAEKVKELQAAGYIVAMVGDGINDSPALVVADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYKRPKKLENLDIGGIMIE >Potri.003G125600.3.v4.1 pep chromosome:Pop_tri_v4:3:14524296:14530072:1 gene:Potri.003G125600.v4.1 transcript:Potri.003G125600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125600.v4.1 MATKFLALACIRKESTYGDLSPRPRYPSMPKYPKGVSVRETNVEGSEAKAVFSVMGMTCSACAGSVEKAVKRLPGIREAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFEATLIQEGTSDRSTQVCRIRINGMTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPNILSYNQILEAINDTGFEAILLSTGVDMSKIGLKIVGVRTQNSMRIIENSLQALPGVQSVDIDPEVNKISLSYKPDVTGPRNFINVIESTGTSGRFKATIFPEGGGRESHRQEEIKQYYRSFLWSLVFTVPVFLISMIFMYIPGIKHALDTKIVNMLSIGAILRWVLSTPVQFIIGRRFYTGSYKALRNGSPNMDVLIALGTNAAYFYSVYSVLRSATSPSFESADFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPGTAILLTLDDQGNVSSEEEIDSRLIQRNDVIKIIPGAKIASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISRYFVPLVIILSFSTWLAWFLAGKFHGYPGSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPLVVSTRLLKNLALRDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPKWPEAQDFESITGHGVKAIVRNKEVIVGNKSLMLENNIPISIDAEEILAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNWGTAHSIAREVGIETVIAEAKPEHKAEKVKELQAAGYIVAMVGDGINDSPALVVADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYKRPKKLENLDIGGIMIE >Potri.003G125600.5.v4.1 pep chromosome:Pop_tri_v4:3:14524295:14530086:1 gene:Potri.003G125600.v4.1 transcript:Potri.003G125600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125600.v4.1 MATKFLALACIRKESTYGDLSPRPRYPSMPKYPKGVSVRETNVEGSEAKAVFSVMGMTCSACAGSVEKAVKRLPGIREAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFEATLIQEGTSDRSTQVCRIRINGMTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPNILSYNQILEAINDTGFEAILLSTGVDMSKIGLKIVGVRTQNSMRIIENSLQALPGVQSVDIDPEVNKISLSYKPDVTGPRNFINVIESTGTSGRFKATIFPEGGGRESHRQEEIKQYYRSFLWSLVFTVPVFLISMIFMYIPGIKHALDTKIVNMLSIGAILRWVLSTPVQFIIGRRFYTGSYKALRNGSPNMDVLIALGTNAAYFYSVYSVLRSATSPSFESADFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPGTAILLTLDDQGNVSSEEEIDSRLIQRNDVIKIIPGAKIASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISRYFVPLVIILSFSTWLAWFLAGKFHGYPGSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPLVVSTRLLKNLALRDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPKWPEAQDFESITGHGVKAIVRNKEVIVGNKSLMLENNIPISIDAEEILAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNWGTAHSIAREVGIETVIAEAKPEHKAEKVKELQAAGYIVAMVGDGINDSPALVVADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYKRPKKLENLDIGGIMIE >Potri.003G125600.1.v4.1 pep chromosome:Pop_tri_v4:3:14525168:14530134:1 gene:Potri.003G125600.v4.1 transcript:Potri.003G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125600.v4.1 MATKFLALACIRKESTYGDLSPRPRYPSMPKYPKGVSVRETNVEGSEAKAVFSVMGMTCSACAGSVEKAVKRLPGIREAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFEATLIQEGTSDRSTQVCRIRINGMTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPNILSYNQILEAINDTGFEAILLSTGVDMSKIGLKIVGVRTQNSMRIIENSLQALPGVQSVDIDPEVNKISLSYKPDVTGPRNFINVIESTGTSGRFKATIFPEGGGRESHRQEEIKQYYRSFLWSLVFTVPVFLISMIFMYIPGIKHALDTKIVNMLSIGAILRWVLSTPVQFIIGRRFYTGSYKALRNGSPNMDVLIALGTNAAYFYSVYSVLRSATSPSFESADFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPGTAILLTLDDQGNVSSEEEIDSRLIQRNDVIKIIPGAKIASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISRYFVPLVIILSFSTWLAWFLAGKFHGYPGSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPLVVSTRLLKNLALRDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPKWPEAQDFESITGHGVKAIVRNKEVIVGNKSLMLENNIPISIDAEEILAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNWGTAHSIAREVGIETVIAEAKPEHKAEKVKELQAAGYIVAMVGDGINDSPALVVADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYKRPKKLENLDIGGIMIE >Potri.003G125600.4.v4.1 pep chromosome:Pop_tri_v4:3:14524295:14530184:1 gene:Potri.003G125600.v4.1 transcript:Potri.003G125600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G125600.v4.1 MATKFLALACIRKESTYGDLSPRPRYPSMPKYPKGVSVRETNVEGSEAKAVFSVMGMTCSACAGSVEKAVKRLPGIREAVVDVLNNKAQVLFYPSFVNEETIRETIEDAGFEATLIQEGTSDRSTQVCRIRINGMTCTSCSSTVEQALQAIPGVQKAQVALATEEAEVHYDPNILSYNQILEAINDTGFEAILLSTGVDMSKIGLKIVGVRTQNSMRIIENSLQALPGVQSVDIDPEVNKISLSYKPDVTGPRNFINVIESTGTSGRFKATIFPEGGGRESHRQEEIKQYYRSFLWSLVFTVPVFLISMIFMYIPGIKHALDTKIVNMLSIGAILRWVLSTPVQFIIGRRFYTGSYKALRNGSPNMDVLIALGTNAAYFYSVYSVLRSATSPSFESADFFETSSMLISFILLGKYLEVLAKGKTSEAIAKLMDLAPGTAILLTLDDQGNVSSEEEIDSRLIQRNDVIKIIPGAKIASDGFVIWGQSHVNESMITGEARPVAKRKGDTVIGGTVNENGVLHIKATRVGSESALSQIVRLVESAQMAKAPVQKFADRISRYFVPLVIILSFSTWLAWFLAGKFHGYPGSWIPKSMDSFQLALQFGISVMVIACPCALGLATPTAVMVGTGVGASQGVLIKGGQALESAHKVNCIVFDKTGTLTIGKPLVVSTRLLKNLALRDFYELVAAAEVNSEHPLAKAIVEYAKKFREDEESPKWPEAQDFESITGHGVKAIVRNKEVIVGNKSLMLENNIPISIDAEEILAETEGMAQTGILVSIDREVTGVLAISDPLKPGAHEVISILKSMKVRSIMVTGDNWGTAHSIAREVGIETVIAEAKPEHKAEKVKELQAAGYIVAMVGDGINDSPALVVADVGMAIGAGTDIAIEAADIVLMKSNLEDVITAIDLSRKTFFRIRLNYIWALGYNLLGIPIAAGALFPGTGFRLPPWIAGAAMAASSVSVVVCSLLLKNYKRPKKLENLDIGGIMIE >Potri.013G023600.4.v4.1 pep chromosome:Pop_tri_v4:13:1510666:1518244:-1 gene:Potri.013G023600.v4.1 transcript:Potri.013G023600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023600.v4.1 MTMEKKEEIFSDFNFAPSNHAVNSNSTMINGSDFTNTNDADADDDWGDFNFVSSNSSGFSHTLSLPKISTTHFEFSTKNQNSAESLTQPGSAPSRVNNSAQWKKPNGALPLSLFGEIEEEEEEEEGSGAGEPPKNESVHFSKNKEGSGGVNVIDLIANLYKEKERNNGFGSGFNGSDMNWENLNGNGLNVNGVNKDEMNSKGLDLDLKENGLNSNKTESNLVKKDKNFSGNGVDLGLVNGNEPFDVNGGGGGGDDDDDGWEFKGADSITDSEVDISKASEMKAENGLVCNVNGLNSRWNPLSLDLNGWTSHVNRDDSSWDWLNTGTVDGNTAPGNSDDWEFKETGSRMQAEDEKEKGEQMKAEIKPILSFDGSNSTWNSLSFDGSNSTWNSLSLDGLKNSNLNEVNSDRKQMNLNSSDENEDFDGNDEWEFKAAESESGTGDKNTKGDERKVENPEGTTHALGFGSGVIGTGDLFGASQQTSKKSTGRDFGFDFSTSLAQDTKMFHTHTKNEQNDTKKVPHSSPDDGVDSDEESWEFKDAFSETRSKEKEEPKVVEVSAAVEAFPFDGEIKGNMARSISHKGALPLSIFGDEEQDSNDPVSYQDISPQLSSSKPIDGVKSPHLNISINDLISSLYSQAEHDTGQNPSGSGLSPANVVIESNLAGDSDDFDDDSWEFKDASSGIRAEDQASFIGLGEPNTKYSTKIELNDYVDFFCKLKEELHFLALCHLDNLKKAQSAASEDAEVKALVKEIQNLHDELQQDGLFSGEVDTGNHSPRKLCLNAFVEVLQEPKFQVFESEYQLTSKLSLVENDLGLTMELLKHVTSTIKILMLVSRKEQSSYVSTWSEILSVCARELKHGALIWTQSLQKDVHDQILSKPQGKNYIVALGEIYRVVEVIGSSARLYKPWLLVSSTNPMGLLTLLSECFTIWSSSGLEEALQSISDPAGLYYNGGLTTLIESIKHIHDLDTRTLYNHVFCGQGPICQLSVLTAGIVPGMKTVVWNGEHYFLTLANLWANLVSCNPPNLPHIHVG >Potri.006G273732.1.v4.1 pep chromosome:Pop_tri_v4:6:26598454:26598905:-1 gene:Potri.006G273732.v4.1 transcript:Potri.006G273732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273732.v4.1 MLKLAGCIKLYPLAHALSLSFKFHVEQEEYYPTREPARSLEDEGLRCSTVLMNSSPTKLDHDVYSLKGGLQGFNWLFATRYMVKAIGNYYFLFVCVSTEHLVGIRTEYSVFQLYSPS >Potri.012G046000.2.v4.1 pep chromosome:Pop_tri_v4:12:4212767:4213994:-1 gene:Potri.012G046000.v4.1 transcript:Potri.012G046000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046000.v4.1 MKRALLTNLSVCTRKLLLSPPRLNPNPSPSLAQLTVPTRSRLSRFYSSESDSSCEAIFTQTQKSNGSIEDADELSTQEIKRLVEKYYEGEDESLPLIFEAIIKRKLAGIPDEKLIEQLNLESPTNGFEDKEFDFDFEDKWSETDEDGDDLD >Potri.007G098400.2.v4.1 pep chromosome:Pop_tri_v4:7:12324948:12332728:-1 gene:Potri.007G098400.v4.1 transcript:Potri.007G098400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098400.v4.1 MSSQMGPPPPKRNSLTHKRGSTTARRSSSSMAATPSENGGTSSHGGNTSKPSSPDAPSPVGGERTVKKLRLSKALTIPEGTTVSDACRRMAARRVNAALLTDANALLSGIVTDKDISARVIAEGLRPDQTIVSKIMTRNPIFVNSDSLAIEALQKMVQGKFRHLPVVENGEVIALLDITKCLYDAISRMEKAAEQGSAIAAAVEGVERQWGNNFTAPHTFIETLRERMFKPSLSTIIGEQTKVAVASPSDPVYVAAKKMRELRVNSAIVVTGNKIQGILTSKDILMRVVAQNLSPELTLVEKVMTPNPECVTLETTVLDALHVMHDGKFLHLPVLDKDGSAAACVDVLQITHAAISMVESSSGAVNDAASTMMQKFWDSALALEPPDDYDTQSEMSALMASDGAELGRYPSLGLGNSFAFKFEDLKGRIHRLNCCTENLDELLSTVLQRIGAESEQDRPQLLYEDDDGDKVLLATDGDLIGAVSHARSVGLKVLRLHLDYYDPSNQTTSPLDTTTTATQRIGLVSFRSGIFVAGVVLAGIAVVAYLKRSKM >Potri.008G224174.1.v4.1 pep chromosome:Pop_tri_v4:8:19004045:19004167:1 gene:Potri.008G224174.v4.1 transcript:Potri.008G224174.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224174.v4.1 MNRKPGYGAQLRANLEPTKGVGRLRQQDGGHGSRNPLRSV >Potri.003G067700.8.v4.1 pep chromosome:Pop_tri_v4:3:9470850:9478378:1 gene:Potri.003G067700.v4.1 transcript:Potri.003G067700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067700.v4.1 MGFARKEYEFLSEIGLSSRNLGCYVDGTWKANGPVVTSVNPANNQAIAEVVEGSIEDYEEGMRACSEAAKIWMQVPSPKRGEIVRQIGDALRTKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFSVGLSRQLNGSVIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTRLVAGVLEKNNLPPAIFTSFCGGADIGQAVAKDTRIPLVSFTGSSKVGLMVQQIVNQRFGKCLLELSGNNAIIVMDDANIQLAVRSVMFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGDPLEKGTLLGPLHTSESRKSFEKGIEIIKSQGGKIITGGSVIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFQTLQEAIEINNSVPQGLSSSIFTRQPGVIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >Potri.003G067700.9.v4.1 pep chromosome:Pop_tri_v4:3:9470754:9477294:1 gene:Potri.003G067700.v4.1 transcript:Potri.003G067700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067700.v4.1 MGFARKEYEFLSEIGLSSRNLGCYVDGTWKANGPVVTSVNPANNQAIAEVVEGSIEDYEEGMRACSEAAKIWMQVPSPKRGEIVRQIGDALRTKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFSVGLSRQLNGSVIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTRLVAGVLEKNNLPPAIFTSFCGGADIGQAVAKDTRIPLVSFTGSSKVGLMVQQIVNQRFGKCLLELSGNNAIIVMDDANIQLAVRSVMFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGDPLEKGTLLGPLHTSESRKSFEKGIEIIKSQGGKIITGGSVIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFQTLQEAIEINNSVPQGLSSSIFTRQPGVIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >Potri.003G067700.5.v4.1 pep chromosome:Pop_tri_v4:3:9470693:9477293:1 gene:Potri.003G067700.v4.1 transcript:Potri.003G067700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067700.v4.1 MGFARKEYEFLSEIGLSSRNLGCYVDGTWKANGPVVTSVNPANNQAIAEVVEGSIEDYEEGMRACSEAAKIWMQVPSPKRGEIVRQIGDALRTKLQQLGRLVSLEMGKILPEGIGEVQEIIDMCDFSVGLSRQLNGSVIPSERPNHAMLEMWNPLGIVGVITAFNFPCAVLGWNACIALVCGNCVVWKGAPTTPLITIAMTRLVAGVLEKNNLPPAIFTSFCGGADIGQAVAKDTRIPLVSFTGSSKVGLMVQQIVNQRFGKCLLELSGNNAIIVMDDANIQLAVRSVMFAAVGTAGQRCTTCRRLLLHESIYQRVLDQLLDVYKQVKIGDPLEKGTLLGPLHTSESRKSFEKGIEIIKSQGGKIITGGSVIESEGNFVQPTIVEISPNADVVKEELFAPVLYVMKFQTLQEAIEINNSVPQGLSSSIFTRQPGVIFKWIGPQGSDCGIVNVNIPTNGAEIGGAFGGEKATGGGREAGSDSWKQYMRRSTCTINYGNELPLAQGINFG >Potri.006G080500.1.v4.1 pep chromosome:Pop_tri_v4:6:5977052:5978675:-1 gene:Potri.006G080500.v4.1 transcript:Potri.006G080500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080500.v4.1 MAEDNKKSEPRRRGWSIADFEIGKPLGRGKFGRVYVAREVKSKYIVALKVIFKEQIDKYNIHHQLKREMDIQTSLSHPNILRLYGWFHDDQRVFMILEYAHGGELFKELRKCGYLSEQKAATYVASLANALAYCHEKDVIHRDIKPENLLLDHEGRLKIADFGWSVQSRSKRHTMCGTLDYLAPEMVENKAHDYAVDNWTLGILCYEFLYGAPPFEAESQRDTFRRIVKVDLTFPSTPPVSAEAKNLITRLLVKDSSKRLSLQKILEHPWIIKNANPMGTCDN >Potri.002G120400.4.v4.1 pep chromosome:Pop_tri_v4:2:9137308:9139867:1 gene:Potri.002G120400.v4.1 transcript:Potri.002G120400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120400.v4.1 MKMKDLKFGPQLIATLLVLCFCYTYAVAEVKKQTKKTFIIQMDKSNMPATYYDHFQWYDSSLKSVSESADMLYTYNNIIHGFSTQLTPDEAELLEKQSGILSVLPEMIYKLHTTHTPEFLGLGKSDAVLLPASASLSEVIVGVLDTGVWPEIKSFGDTGLGPIPSTWKGSCQVGKNFNSSSCNRKLIGAQYFSKGYEAAFGPIDETMESKSPRDDDGHGTHTATTAAGSAVSGASLFGYASGIARGMATEARVAAYKVCWLGGCFSSDILAAMEKAVADGVNVMSMSIGGGLSDYTRDTVAIGAFRAAAQGILVSCSAGNGGPSPGSLSNVAPWITTVGAGTLDRDFPAFVSLGDGKKYSGISLYSGKPLSDSLVPLVYAGNVSNSTSGSLCMTGTLIPAQVAGKIVICDRGGNSRVQKGLVVKDSGGLGMILANTELYGEELVADAHLLPTAAVGLRTANAIKNYAFLDPKPMGTIASGGTKLGVEPSPVVAAFSSRGPNLVTPEVLKPDLIAPGVNILAGWTGGAGPTGLTNDKRHVEFNIISGTSMSCPHVSGLAALIKAAHQDWSPAAIKSALMTTAYATYKNGENLLDVATGQPSTPFDYGAGHVNPVAALDPGLVYDATVDDYISFFCALNYSASDIKQITTKDFICDSSKKYSLGDLNYPSFSVPLQTASGKEGGDGVKSTVKYTRTLTNVGAPATYKVSMTSQTTSVKMLVEPESLSFAKEYEKKSYTVTFTATSMPSGTNSFAHLEWSDGKHVVRSPIAFSWT >Potri.002G120400.1.v4.1 pep chromosome:Pop_tri_v4:2:9137105:9139820:1 gene:Potri.002G120400.v4.1 transcript:Potri.002G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120400.v4.1 MRSERHVMKMKDLKFGPQLIATLLVLCFCYTYAVAEVKKQTKKTFIIQMDKSNMPATYYDHFQWYDSSLKSVSESADMLYTYNNIIHGFSTQLTPDEAELLEKQSGILSVLPEMIYKLHTTHTPEFLGLGKSDAVLLPASASLSEVIVGVLDTGVWPEIKSFGDTGLGPIPSTWKGSCQVGKNFNSSSCNRKLIGAQYFSKGYEAAFGPIDETMESKSPRDDDGHGTHTATTAAGSAVSGASLFGYASGIARGMATEARVAAYKVCWLGGCFSSDILAAMEKAVADGVNVMSMSIGGGLSDYTRDTVAIGAFRAAAQGILVSCSAGNGGPSPGSLSNVAPWITTVGAGTLDRDFPAFVSLGDGKKYSGISLYSGKPLSDSLVPLVYAGNVSNSTSGSLCMTGTLIPAQVAGKIVICDRGGNSRVQKGLVVKDSGGLGMILANTELYGEELVADAHLLPTAAVGLRTANAIKNYAFLDPKPMGTIASGGTKLGVEPSPVVAAFSSRGPNLVTPEVLKPDLIAPGVNILAGWTGGAGPTGLTNDKRHVEFNIISGTSMSCPHVSGLAALIKAAHQDWSPAAIKSALMTTAYATYKNGENLLDVATGQPSTPFDYGAGHVNPVAALDPGLVYDATVDDYISFFCALNYSASDIKQITTKDFICDSSKKYSLGDLNYPSFSVPLQTASGKEGGDGVKSTVKYTRTLTNVGAPATYKVSMTSQTTSVKMLVEPESLSFAKEYEKKSYTVTFTATSMPSGTNSFAHLEWSDGKHVVRSPIAFSWT >Potri.002G034900.2.v4.1 pep chromosome:Pop_tri_v4:2:2301911:2304757:-1 gene:Potri.002G034900.v4.1 transcript:Potri.002G034900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034900.v4.1 MNDFLTRFVWIMPGGWKRVVLNICLVLWRLFSGDLWKTEWEVSNAVLKDIERERKEKMMGFLQCEEVREMRTFSGEIGIRGDMLREFRFKWACEKMEESGFYERLTRVREEEKAAEEGRNSVTRGEEKPKVVTLPMRHGKMKRTRFMDLIFLILSGLKLLIESMSKKSVIGPRNPSQYLGNANWLPVTEKILALKEEDDPSPLIVQWVELRQPSRIDWLTLLDKLKEEKTHLYLKANIRDYIMIIDAKAKENRIEDAERIIMKMKENGILPDILTTTVLVHMYSNAGNFDRAREALESLRSYGFQPDTNIYNSMIMAYVNAGQPKLGESSMSEMEARDIMPTKEIYMAFLPSFSEHGDVGRAGRIATTMQFAGFQPSLESCALLVEAYGHAGDPDQARNN >Potri.005G064200.1.v4.1 pep chromosome:Pop_tri_v4:5:4141146:4147274:-1 gene:Potri.005G064200.v4.1 transcript:Potri.005G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064200.v4.1 MELQTFRLPYFAGCRYFQRRSILRRQFCTKRSGFSISCSYSLDKDPLIEKLTDARVIYSVAPAMGHNQEAHPESYLRVPAIVSALEKAELTSKFRGSEIIELQDFKPASLDDIANVHARAYVAGLEKAMDQASEQGIIYIDGSGPTYATATTFRESLVAAGAGLTLVDSVVAASNCSQNPPTGFALIRPPGHHAIPKGPMGFCVFGNVAIAARHAQLVHGLKRVFIIDFDVHHGNGTNDAFFDDPDIYFLSTHQDGSYPGTGKIDEIGHGDGEGTTLNLPLPGGSGDISMRTVFDEVIVPSAQRFKPDIILVSAGYDAHVLDPLGSLQFTTGTYYTLASNIKELAKDLCGGRCVFFLEGGYNLDSLSYSVTDSFRAFLGEKSLASEFDNPAILYEEPSTKVKQAIQRVKHIHSL >Potri.004G079900.1.v4.1 pep chromosome:Pop_tri_v4:4:6597335:6600566:-1 gene:Potri.004G079900.v4.1 transcript:Potri.004G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079900.v4.1 MDSIACNKVQPVARKVKKKQVKGEMDRLRQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSCNIVLNKEEGFNTWGCAGNIDVFMGEKRPSLPHEDCSGNSFERIGWLSSTYGTGCKWGDRENNDWPFSYESLQKSLPVQYFDDGSWGTTEFSDELIAAQAASSLQIAEDADGDTFLFNGMLRG >Potri.005G078300.1.v4.1 pep chromosome:Pop_tri_v4:5:5311882:5314632:-1 gene:Potri.005G078300.v4.1 transcript:Potri.005G078300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078300.v4.1 MDLRSRRGRGERSEMSPDRVKVCMQQPKVKPIKKVQVVYYLSRNGQLEHPHYMEVAHLANQHLRLRDFTDRLTVLRGKGMPSLYSWSCKRSYKNGYVWNDLAENDTIYPSDGAEYVLKGSELVEGCPERLQQLHVSNNRQVIQEPNLHAKRKQLLEPIQQPRQLEETHGTKYYQEYDDEESQESQEEYEDEEKTSYTSSTTPHSRCSRGVSTDELEEQVPRKNPTTESTQHHSPPESTPSILSEKPRQSTNNTSKRFEDGDPVAIESVPGRNSVLLQLISCGNLTVAKAKNNVPSLKQPAAAVTVNGPNANVVKRSVSDLHRGVLYKTAVKVAEEEMISYMSENPRFGNLQSEEKEYFSGSIIESMSENRVVDEPALKRSNSYNEERCSKAGMEDDQQEEVKEKAARGKCIPLKKSSSSSKQTKK >Potri.007G114700.1.v4.1 pep chromosome:Pop_tri_v4:7:13466976:13467609:-1 gene:Potri.007G114700.v4.1 transcript:Potri.007G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114700.v4.1 MANHIFPLFISPLIVIMSMSLIMSQTILVEARQLLEVTLPELPKPEFPELPKPELPKLPEFPIPELPKFEIPKLPELPPFPHFTDLTKPTLPTIPKDINPSHSTTSP >Potri.006G158600.1.v4.1 pep chromosome:Pop_tri_v4:6:14140239:14143836:1 gene:Potri.006G158600.v4.1 transcript:Potri.006G158600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158600.v4.1 MNTETMQRNRQGQGSRISKPATIHSCAQSGDLLGFQRLLSGNPYLLNERNPVMAQTPLHVSAGYNRAEIIKFLLDWQGAEKVELEPRNMYGETPLHMAAKNGCSEAARLLLAHGAIIEAKANNGMTPLHLAVWYSIRVEDHSTVKTLLEYNADCSAEDNEGMTPLNHLSPGPRSEELCKLLQWHLEEQRKRKALEACSKTKAKMDELEDALSNVVGLHDLKIQLRKWAKGMLLDERRRALGMKVGLRRPPHMAFLGSPGTGKTMVARILGRLLHMVGVLPTDKVTEVQRTDLVGEFVGHTGPKTRRKIAEAEGGILFVDEAYRLIPSQKEDEKDYGIEALEEIMSVMDSGKVVVIFAGYSEPMKRVISSNEGFCRRVTKFFHFNDFSSEDLANICHIKMNNQDEGSSLYGFKLHSSCSGDAIAALIERETTEKQRREMNGGLVNVMLANAREILDLRLDFNCIDTDELQTITLEDLEAGCQLL >Potri.004G147800.2.v4.1 pep chromosome:Pop_tri_v4:4:17003178:17011833:1 gene:Potri.004G147800.v4.1 transcript:Potri.004G147800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147800.v4.1 MLEAALGLTGVEGTFRANCEAVVGVLRKNKDILLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDILLATLPAVDSALEGFADVLNQYELASTLFYRADQERSSLILHETSAKSIVAEATSNLEKTRASFEIQVREFTQATGVISEKAQEAVTWMEQHGRVLEALRSNLLPEINSCIKLSSMADALSLTSAVLVAGIPLTIVPEPTQAQCEDMDREVSQLIAELDHGLSSALTGIQAYSLALQRILPLNYVSTSTVHGWVQVLQLSSNALSSDLLSLAKSQAAELVAKVHADDLDSVKHIHDDICLKVDKYATEINKVEEECAELVNSIGSETESKAKDRLLSAFMKYMQSAGLVRKEDTNSSSQPGQLKYDATRDARLPVDLEDKKEKVLSVLNIAVRSLYNEVRHRVLDIFSNFGGGRHANDRFRSNFCEFEEQVEKCVLVAGFVSELQHFIGRDIHSVNADVYHAKFYSERNWASTFKSTLLSCKILVGKMTEGALLDVMRSAVSLNSEVMDAFGFISQIRGSIDTALEQFLEVELERASLVELEKNYFVKVGLITEQRLALEEAAMKGRDHLSWEEAEELASQEEACRAQLDQLHQAWNQREMRATSLVKREADIKNVLVSSECQFQSILGAEEVREPQVFGSKALLSTLIKPFSDLESIDKVLSSGGSAASPSNEFVNLADLMSSGHSISEYIWKFGGLLKSHIFFIWKVCMVDSFLDSCIHDVASFVDQNLGFDQLFNIVKRKLEIQLREHVGCYLKERVAPAFLSWLDKENEQLSEATKDLSLDQVKKDIGAIRKVQLMLEEYCNAHETARAARSAASVMKRQVNELKEALHKTSLEIVQLEWMYDGLTPSHKSRVTFQKFLSNEDNLYPIILNLSRPNLLEGLQSAITKMARSMDCLQACERNSVVAEGQLERAMGWACGGPNSSTTGNTSTKTSGIPPEFHDHLMRRQQLLWEAREKASNILKICMSILEFEASRDGIFQIPGEVYPARSVADGRTWQQAYLNALIKLEVSYHSFTRTEQEWKLAQSSMEAASNGLYAVANELCNASLKAKSASGDLQNIVLAMRDCAYEVSVALSAFSRITKGHTALTSESGSMLEEVLAITEDLHDVHTLGKEAVAFHCSLMEDLSKANAILLPLESLLSNDVTAMTDAMTRERETKMEVSPIHGQAIYQSYCLRIKEAIQTFNPLVPSLASSAKGLHLMLTRLAQTASLHAGNLHKALEGLAESQDVKSQGISLSGTDLDNGANAFDDKGRESFSISDNGSAKDLINDSGLSLQDKGWISPPDSIYSSSSESGITSAEASFPDSLHDPEELIRQSPCGSGIGELTEHLKSVAPPSDEAVNVPVEPSQPLDDESSKEKFEGKNDEVSSLNKVKIEDENQESPHPNLQTGSRVAKGKNAYALSVLRRIEMKIDGEDIANNREISIEEQVDHLLKQAMSVDNLCNMYEGWTPWI >Potri.004G147800.1.v4.1 pep chromosome:Pop_tri_v4:4:16993604:17011843:1 gene:Potri.004G147800.v4.1 transcript:Potri.004G147800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147800.v4.1 MMQGLHQQQQLAALLSAALPKDSPSPSPSTAITTTTTITPASTTAKSTNSENTDDDDAARLGAINSLHRAIVYPPNSLLVSHSASFLSQGFSQLLTDKSYAIRQSAATAYGALCAVICAILIGSNGRQNHVMLGSIVDRFIGWALPLLSNGGGGDGTTELALEGLREFLSVGDVVGIERYALPILKACQELLEDERTSLNLLHRLLGVLTLVSIKFSRFFQPHFLDIVDLLLGWVLVPDLAESDRRVIMDSFLQFQKHWVGNLQFSLGLLSKFLGDMDVLVQDGSRGTPAQFRRLLALLSCFTTVLQSTASGLLEMNLLEQISESLRALVPQLLGCLSMVGRKFGWAKWTGDLWKCLTLLAEILCEKFSTFYPLALDILFQSLDSQPGAEKITSFQVHGVLKTNLQLLSLQKLGLFPSSAQKVLQFDALISQLRLHPSHLVTGSSAATYIFLLQHGNNEVVQQAIGVLIKEMELLKAMLNETLNLGDENYSVKDSKSYSKMEFLALMKFDLKVLLTCVSLGGGSSLICQPELATIYLKRSDMLASFIVEKLDPFNLPIQAYVELQVNVIQTMERLTAVEFLSRWSIRNQTTQTASLDDTVYKVNNVNGFRDDISAVIIEHLRKYSAFLIKAINVSAPLTVKVVALEWIQKFSNNLIAIYENSNVNTYFDEAFGYIGGTGSIIFSVFDAAFDSEPKVRLQVASVLEALLQARLVHPIHFYPMAEVVLEKLGDPDVDIKNSFVRLLSHVLPMTMFAFGLHDQGTSSTYRSNAIVSFNSSNLNWKQVFSLKQLRQQLHSQQLVSILSYISQRWKVPLSSWIQRLIHSCRSSNDLVLGQLEETRTFVADVLWLNKKLDDDILERICSVDNLAGAWWAIHEAARYCIATRLRTNLGGPTQTFAALERMLLDIAHVLQLDIEQNDGNLSIIGSSGAHLLPMRLLLDFVEALKKNVYNAYEGSAILPSASRPSSLFFRANKKVCEEWFSRICEPMMNAGLALQCHDATIHYCTVRLQELKSVLSSSLKDKSRAQASENLHSIRDRLFGDILRVLQHIALALCRSHEPKALIGLHQWASMTFSSLLMDENQSPSHNGISGPFAWITGLAYQAEGQYEKAAAHFTHLLQNEESLSSMGSDGVQFAISRIIESYTAVSDWKSLESWLSDLQQLRSRHAGKSYSGALTTAGNEINAIHALACFDEGDCQAAWSYLDLTPKSSSELTLDPKLALQRSEQMLLQAMLFLHEGKVEKVPQETWKAKLMLEEMLSVLPLDGLAEAAPFATQLHCIFVVEGGHNLKDNHAKSKEHHSILSSYVESIQSLINRVHQDCNPWLKLLRIYRTNCPTSPVTLKLSMSLTSLARKQGNLMLATRLNNYLREHALNCSEERNSSFLLSKLQYEDFMLLHAQNKFEDAFANLWSFVRPCMLSSASMVSNSYNNILKAKACLKLSEWLRQDYPDLSLESIVLNILTDFNMDDAASPDRVGHSVNVQNFNSKPSLVVNIEEIVGTATKLSTQLCSTMGKAWISYATWCFTQARDSLFNPSETVLRSCSFSPVLIPEVQPDRFNLTEVERTRVQSVVFWLFQHKGDDSSDCREGIFWPDSVQNLINDKPVVEQVIDLIEAAAGAQGAENSSGDSLSFTLASQLRNFFLCVNAGLGEANISSAVNDLVSVWWSLRRRRVSLFGHAAHGFMQYLTYSTIKVSDSQLAGFEGESLKQKTGSYTLRATLYLLHILLNFGVELRDAIEPALSSIPLLPWQEVTPQLFARLSSHPEQVVRKQLEGLLMMLAKLSPWSIVYPTLVDVNTNEEPSEELQHILGCLRELYPKLIQDVQLMINELENVTVLWEELWLSTLQDLHADVMRRINVLKEEVARIAENATLSQSEKNKINAAKYSAMMAPIVVALERRLASTSRKPETPHELWFHKEYREHLKSAILSFKTPPASAGALGEVWRPFDDIAASLASYQRKSSISLGEVAPQLALLSSSDVPMPGLEKQVTVSESDRSSTTSLQGIVTITSFSEQLTILSTKTKPKKLAILGSDGRKYTYLLKGREDLRLDARIMQLLQAINGFLRSSSATSRHLLDVRYYSVTPISGRAGLIQWVDNVVSIYSVFKSWQNRVQLAQLSSMAPANSKNPVPPPVPRPSDMFYGKIIPALKEKGIRRVISRRDWPHDVKRKVLLDLIKEVPRQLLHQELWCASEGFKAFSSKLRRYSGSVAAMSMVGHILGLGDRHLDNILVDFCSGDIVHIDYNVCFDKGQRLKVPEIVPFRLTQMLEAALGLTGVEGTFRANCEAVVGVLRKNKDILLMLLEVFVWDPLVEWTRGDFHDEAAIGGEERKGMELAVSLSLFASRVQEIRVPLQEHHDILLATLPAVDSALEGFADVLNQYELASTLFYRADQERSSLILHETSAKSIVAEATSNLEKTRASFEIQVREFTQATGVISEKAQEAVTWMEQHGRVLEALRSNLLPEINSCIKLSSMADALSLTSAVLVAGIPLTIVPEPTQAQCEDMDREVSQLIAELDHGLSSALTGIQAYSLALQRILPLNYVSTSTVHGWVQVLQLSSNALSSDLLSLAKSQAAELVAKVHADDLDSVKHIHDDICLKVDKYATEINKVEEECAELVNSIGSETESKAKDRLLSAFMKYMQSAGLVRKEDTNSSSQPGQLKYDATRDARLPVDLEDKKEKVLSVLNIAVRSLYNEVRHRVLDIFSNFGGGRHANDRFRSNFCEFEEQVEKCVLVAGFVSELQHFIGRDIHSVNADVYHAKFYSERNWASTFKSTLLSCKILVGKMTEGALLDVMRSAVSLNSEVMDAFGFISQIRGSIDTALEQFLEVELERASLVELEKNYFVKVGLITEQRLALEEAAMKGRDHLSWEEAEELASQEEACRAQLDQLHQAWNQREMRATSLVKREADIKNVLVSSECQFQSILGAEEVREPQVFGSKALLSTLIKPFSDLESIDKVLSSGGSAASPSNEFVNLADLMSSGHSISEYIWKFGGLLKSHIFFIWKVCMVDSFLDSCIHDVASFVDQNLGFDQLFNIVKRKLEIQLREHVGCYLKERVAPAFLSWLDKENEQLSEATKDLSLDQVKKDIGAIRKVQLMLEEYCNAHETARAARSAASVMKRQVNELKEALHKTSLEIVQLEWMYDGLTPSHKSRVTFQKFLSNEDNLYPIILNLSRPNLLEGLQSAITKMARSMDCLQACERNSVVAEGQLERAMGWACGGPNSSTTGNTSTKTSGIPPEFHDHLMRRQQLLWEAREKASNILKICMSILEFEASRDGIFQIPGEVYPARSVADGRTWQQAYLNALIKLEVSYHSFTRTEQEWKLAQSSMEAASNGLYAVANELCNASLKAKSASGDLQNIVLAMRDCAYEVSVALSAFSRITKGHTALTSESGSMLEEVLAITEDLHDVHTLGKEAVAFHCSLMEDLSKANAILLPLESLLSNDVTAMTDAMTRERETKMEVSPIHGQAIYQSYCLRIKEAIQTFNPLVPSLASSAKGLHLMLTRLAQTASLHAGNLHKALEGLAESQDVKSQGISLSGTDLDNGANAFDDKGRESFSISDNGSAKDLINDSGLSLQDKGWISPPDSIYSSSSESGITSAEASFPDSLHDPEELIRQSPCGSGTRVATYHLNSAPSSQTGCQKISDPGQSGFNSNNDSVTGIGELTEHLKSVAPPSDEAVNVPVEPSQPLDDESSKEKFEGKNDEVSSLNKVKIEDENQESPHPNLQTGSRVAKGKNAYALSVLRRIEMKIDGEDIANNREISIEEQVDHLLKQAMSVDNLCNMYEGWTPWI >Potri.017G061600.1.v4.1 pep chromosome:Pop_tri_v4:17:5094485:5098692:1 gene:Potri.017G061600.v4.1 transcript:Potri.017G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061600.v4.1 MNYFPDEVLEHIFDFVTSQRDRNSVSQVCKPWYKIESSSRQKVFVGNCYAISPQRVIERFPGLKSITLKGKPHFADFNLVPNDWGGFVYPWIEAFARNSVGLEELKLKRMIISDECLELISRSFPNFKSLVLVSCEGFTADGLAAIASNCRFLRELDLQENDVEDHRGHWLSCFPDTCTSLVSLNFACLKGEVNVAALERLIARSPNLRSLRLNHAVPLDVLQKILIRAPHLVDLGVGSYVNDPDSETYNKLVMAIQKCMSVKSLSGFLEVAPHCLSAFHLICPNLTSLNLSYAPGIHGAELIKLIRHCMKLQRLWILDCIGDQGLEVVASTCKDLQEIRVFPSDPHVGNAAVTEVGLVALSSGCRKLHSILYFCQQMTNVALITVAKNCPNFTRFRLCILDPTKPDAVTNQPLDEGFGAIVHSCKGLRRLSMTGLLTDKVFLYIGMYAEQLEMLSIAFAGDTDKGMQYLLNGCKKLRKLEIRDCPFGNAALLMDVGKYETMRSLWMSSCEVTLGGCKSLAKKMPRLNVEIINENDQMDASADDRQKVEKMFLYRTLAGRREDAPEFVWTL >Potri.003G178600.6.v4.1 pep chromosome:Pop_tri_v4:3:18496513:18505752:1 gene:Potri.003G178600.v4.1 transcript:Potri.003G178600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178600.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEQQQLQMQHFQLMHHAQLQRGGNNNPTMGGPVNAVGSEGMLRQSNASVLAAKMYEERLKHSNQMESETSQPHLDARMALLKSTTNHSGQLVQGNHGNVTAALQQIQDVKQEVNLGAAQRSLPMDPSTIYGQGIMQSKPGIGNSGLNPGVNGLPLKGWPLTNIQGIEQTRQSLGAQVQRPLLHAASQFQLLPQQQQQQLLAHVQAQGNLSASPMYGDIDPRKFRGLPRGPLNSKDGQPNVKDGSIGSPMQSTSSKMNLPQMQQSSSQQQDPLQPQQGQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMSKGLMYGGDTTGALASSTNPLEDIEHFADVGSLDDNVESFLSPDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECNPEEHTHIITDVRFRPNSTQLATSSFDSSVRLWDAAGPRYALQTYTGHTSHVVSLDFHPKKNDVFCSCDDNNEIRFWNINQYSCTRISKGGTTQVRFQPRIGQLLAAAAENVVSIFDVEADRQTHSLRGHSTAVHSVCWDVNGDYLASVSQESVRVWSLATGECIHELSSSGNKFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.003G178600.8.v4.1 pep chromosome:Pop_tri_v4:3:18496927:18506051:1 gene:Potri.003G178600.v4.1 transcript:Potri.003G178600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178600.v4.1 MLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEQQQLQMQHFQLMHHAQLQRGGNNNPTMGGPVNAVGSEGMLRQSNASVLAAKMYEERLKHSNQMESETSQPHLDARMALLKSTTNHSGQLVQGNHGNVTAALQQIQAQTQQTADVKQEVNLGAAQRSLPMDPSTIYGQGIMQSKPGIGNSGLNPGVNGLPLKGWPLTNIQGIEQTRQSLGAQVQRPLLHAASQFQLLPQQQQQQLLAHVQAQGNLSASPMYGDIDPRKFRGLPRGPLNSKDGQPNVKDGSIGSPMQSTSSKMNLPQMQQSSSQQQDPLQPQQGQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMSKGLMYGGDTTGALASSTNPLEDIEHFADVGSLDDNVESFLSPDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECNPEEHTHIITDVRFRPNSTQLATSSFDSSVRLWDAAGPRYALQTYTGHTSHVVSLDFHPKKNDVFCSCDDNNEIRFWNINQYSCTRISKGGTTQVRFQPRIGQLLAAAAENVVSIFDVEADRQTHSLRGHSTAVHSVCWDVNGDYLASVSQESVRVWSLATGECIHELSSSGNKFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.003G178600.10.v4.1 pep chromosome:Pop_tri_v4:3:18496394:18505764:1 gene:Potri.003G178600.v4.1 transcript:Potri.003G178600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178600.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEQQQLQMQHFQLMHHAQLQRGGNNNPTMGGPVNAVGSEGMLRQSNASVLAAKMYEERLKHSNQMESETSQPHLDARMALLKSTTNHSGQLVQGNHGNVTAALQQIQAQTQQTADVKQEVNLGAAQRSLPMDPSTIYGQGIMQSKPGIGNSGLNPGVNGLPLKGWPLTNIQGIEQTRQSLGAQVQRPLLHAASQFQLLPQQQQQQLLAHVQAQGNLSASPMYGDIDPRKFRGLPRGPLNSKDGQPNVKDGSIGSPMQSTSSKMNLPQMQQSSSQQQDPLQPQQGQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMSKGLMYGGDTTGALASSTNPLEDIEHFADVGSLDDNVESFLSPDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKK >Potri.003G178600.7.v4.1 pep chromosome:Pop_tri_v4:3:18496515:18505760:1 gene:Potri.003G178600.v4.1 transcript:Potri.003G178600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178600.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEQQQLQMQHFQLMHHAQLQRGGNNNPTMGGPVNAVGSEGMLRQSNASVLAAKMYEERLKHSNQMESETSQPHLDARMALLKSTTNHSGQLVQGNHGNVTAALQQIQAQTQQTADVKQEVNLGAAQRSLPMDPSTIYGQGIMQSKPGIGNSGLNPGVNGLPLKGWPLTNIQGIEQTRQSLGAQVQRPLLHAASQFQLLPQQQQQQLLAHVQAQGNLSASPMYGDIDPRKFRGLPRGPLNSKDGQPNVKDGSIGSPMQSTSSKMNLPQMQQSSSQQQDPLQPQQGQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMSKGLMYGGDTTGALASSTNPLEDIEHFADVGSLDDNVESFLSPDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECNPEEHTHIITDVRFRPNSTQLATSSFDSSPRYALQTYTGHTSHVVSLDFHPKKNDVFCSCDDNNEIRFWNINQYSCTRISKGGTTQVRFQPRIGQLLAAAAENVVSIFDVEADRQTHSLRGHSTAVHSVCWDVNGDYLASVSQESVRVWSLATGECIHELSSSGNKFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.003G178600.9.v4.1 pep chromosome:Pop_tri_v4:3:18496401:18505610:1 gene:Potri.003G178600.v4.1 transcript:Potri.003G178600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178600.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEQQQLQMQHFQLMHHAQLQRGGNNNPTMGGPVNAVGSEGMLRQSNASVLAAKMYEERLKHSNQMESETSQPHLDARMALLKSTTNHSGQLVQGNHGNVTAALQQIQAQTQQTADVKQEVNLGAAQRSLPMDPSTIYGQGIMQSKPGIGNSGLNPGVNGLPLKGWPLTNIQGIEQTRQSLGAQVQRPLLHAASQFQLLPQQQQQQLLAHVQAQGNLSASPMYGDIDPRKFRGLPRGPLNSKDGQPNVKDGSIGSPMQSTSSKMNLPQMQQSSSQQQDPLQPQQGQQNNRKRKGPSSSGPANSTAGNLQHVNSMSKGLMYGGDTTGALASSTNPLEDIEHFADVGSLDDNVESFLSPDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECNPEEHTHIITDVRFRPNSTQLATSSFDSSVRLWDAAGPRYALQTYTGHTSHVVSLDFHPKKNDVFCSCDDNNEIRFWNINQYSCTRISKGGTTQVRFQPRIGQLLAAAAENVVSIFDVEADRQTHSLRGHSTAVHSVCWDVNGDYLASVSQESVRVWSLATGECIHELSSSGNKFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.003G178600.4.v4.1 pep chromosome:Pop_tri_v4:3:18496406:18505994:1 gene:Potri.003G178600.v4.1 transcript:Potri.003G178600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178600.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEQQQLQMQHFQLMHHAQLQRGGNNNPTMGGPVNAVGSEGMLRQSNASVLAAKMYEERLKHSNQMESETSQPHLDARMALLKSTTNHSGQLVQGNHGNVTAALQQIQAQTQQTADVKQEVNLGAAQRSLPMDPSTIYGQGIMQSKPGIGNSGLNPGVNGLPLKGWPLTNIQGIEQTRQSLGAQVQRPLLHAASQFQLLPQQQQQQLLAHVQAQGNLSASPMYGDIDPRKFRGLPRGPLNSKDGQPNVKDGSIGSPMQSTSSKMNLPQMQQSSSQQQDPLQPQQGQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMSKGLMYGGDTTGALASSTNPLEDIEHFADVGSLDDNVESFLSPDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECNPEEHTHIITDVRFRPNSTQLATSSFDSSVRLWDAAGPRYALQTYTGHTSHVVSLDFHPKKNDVFCSCDDNNEIRFWNINQYSCTRISKGGTTQVRFQPRIGQLLAAAAENVVSIFDVEADRQTHSLRGHSTAVHSVCWDVNGDYLASVSQESVRVWSLATGECIHELSSSGNKFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.003G178600.5.v4.1 pep chromosome:Pop_tri_v4:3:18496392:18505760:1 gene:Potri.003G178600.v4.1 transcript:Potri.003G178600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G178600.v4.1 MAQSNWEADKMLDVYIYDYLVKKKLHATAKSFMTEGKVAPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSETAAAYLEAQQSKTKEQQQLQMQHFQLMHHAQLQRGGNNNPTMGGPVNAVGSEGMLRQSNASVLAAKMYEERLKHSNQMESETSQPHLDARMALLKSTTNHSGQLVQGNHGNVTAALQQIQAQTQQTADVKQEVNLGAAQRSLPMDPSTIYGQGIMQSKPGIGNSGLNPGVNGLPLKGWPLTGIEQTRQSLGAQVQRPLLHAASQFQLLPQQQQQQLLAHVQAQGNLSASPMYGDIDPRKFRGLPRGPLNSKDGQPNVKDGSIGSPMQSTSSKMNLPQMQQSSSQQQDPLQPQQGQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPGDGIATAGNLQHVNSMSKGLMYGGDTTGALASSTNPLEDIEHFADVGSLDDNVESFLSPDDGDGRDLFGTLKRNSSEHAAEASKGFNFSEVSSIRKSNGKVVCCHFSTDGKLLASAGHDKKVVLWNMETLQTECNPEEHTHIITDVRFRPNSTQLATSSFDSSVRLWDAAGPRYALQTYTGHTSHVVSLDFHPKKNDVFCSCDDNNEIRFWNINQYSCTRISKGGTTQVRFQPRIGQLLAAAAENVVSIFDVEADRQTHSLRGHSTAVHSVCWDVNGDYLASVSQESVRVWSLATGECIHELSSSGNKFHSCVFHPSYSTLLVIGGYQSLELWNMAENKCMTVAAHECVISALAQSQATGMVASASHDKCVKIWK >Potri.006G227100.1.v4.1 pep chromosome:Pop_tri_v4:6:23135303:23139078:-1 gene:Potri.006G227100.v4.1 transcript:Potri.006G227100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227100.v4.1 MSRDDDDPGEKGGRSKPPISSGQYKWLTDFHKDLLAGAFLGGVMHTTVAPIERAKVLLQTQESNLAIVGSGRRKFKGMVDCIVRTVKEEGILSLWRGNGSSVIRHYPSVALNFSLKDLYKNILHNGNHPNGPFLSSAPANFTAGAAAGCTTLILIYPLDIAHTRLAADIGRTDARQFRGIFHFLTTIYNKDGVRGVYRGLPASLQGMVVHRSLYFGGFDTMKEILSGEAAKPELPLWKRWVVAQIVTTSAGLVSYPMDTVRRRMMMQSGLEQPMYNSTLDCWRKIYRAEGVASFYRGAVSNVFRSTGAAAILVLYDEVKKFMKWGGL >Potri.013G118000.2.v4.1 pep chromosome:Pop_tri_v4:13:12635666:12641837:1 gene:Potri.013G118000.v4.1 transcript:Potri.013G118000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118000.v4.1 MDLKGITWVGDFYQKFEARLLEVEEIMCEEAVKYVENQMQTVSGNVRKFYSDVMQDLCSPDSEVPANGAVSKLPVDLGAADVGVHLKPDDGAKETCEKADDLRLLTGYSKMTTDHGPDRLPVRERISIRRISRQHSKGSLSNKSNLDMHGNSNCKNVSPKETSGITTPSSKHLIGYSTISEHSDQNLEASCDWNARLITPGSVEVTEHFSIEKSKKEIENTREHMLDISFYKPSLDMGNITETGRHEGTDRRPSSINLLEESNGVCLNNGLVSMTDFYANGNMQTNKFAYEEDFVSNSDEWGIDSDKDGTLIEEDMEIIQQVDKAQLEETCVLMNGDELDASREGKNKPYKKKIRDVFSSRKRSVRKEYEQLAVQFRSDPKSNQEESKTSLMATPSIKEAKRSSSHDPSESEWELV >Potri.013G118000.3.v4.1 pep chromosome:Pop_tri_v4:13:12635731:12641836:1 gene:Potri.013G118000.v4.1 transcript:Potri.013G118000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118000.v4.1 MDLKGITWVGDFYQKFEARLLEVEEIMCEEAVKYVENQMQTVSGNVRKFYSDVMQDLCSPDSEVPANGAVSKLPVDLGAADVGVHLKPDDGAKETCEKADDLRLLTGYSKMTTDHGPDRLPVRERISIRRISRQHSKGSLSNKSNLDMHGNSNCKNVSPKETSGITTPSSKHLIGYSTISEHSDQNLEASCDWNARLITPGSVEVTEHFSIEKSKKEIENTREHMLDISFYKPSLDMGNITETGRHEGTDRRPSSINLLEESNAAGVCLNNGLVSMTDFYANGNMQTNKFAYEEDFVSNSDEWGIDSDKDGTLIEEDMEIIQQVDKAQLEETCVLMNGDELDASREGKNKPYKKKIRDVFSSRKRSVRKEYEQLAVQFRSDPKSNQEESKTSLMATPSIKEAKRSSSHDPSESEWELV >Potri.013G040600.2.v4.1 pep chromosome:Pop_tri_v4:13:2763366:2766575:-1 gene:Potri.013G040600.v4.1 transcript:Potri.013G040600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040600.v4.1 MGSADGSYGAYTYEALEREPYWPSENLKISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEASRINGVKRLFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTMTSIDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKNLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKSQGMDLSIYGSSKVVGTQAPVQLGSLRAADGKE >Potri.013G040600.1.v4.1 pep chromosome:Pop_tri_v4:13:2763182:2766654:-1 gene:Potri.013G040600.v4.1 transcript:Potri.013G040600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040600.v4.1 MGSADGSYGAYTYEALEREPYWPSENLKISITGAGGFIASHIARRLKSEGHYIIASDWKKNEHMTEDMFCHEFHLVDLRVMDNCLKVTKGVDHVFNLAADMGGMGFIQSNHSVIMYNNTMISFNMLEASRINGVKRLFYASSACIYPEFKQLETNVSLKESDAWPAEPQDAYGLEKLATEELCKHYTKDFGIECRIGRFHNIYGPFGTWKGGREKAPAAFCRKTMTSIDKFEMWGDGLQTRSFTFIDECVEGVLRLTKSDFREPVNIGSDEMVSMNEMAEIVLSFENKNLPIHHIPGPEGVRGRNSDNTLIKEKLGWAPTMKLKDGLRITYFWIKEQIEKEKSQGMDLSIYGSSKVVGTQAPVQLGSLRAADGKE >Potri.002G180700.1.v4.1 pep chromosome:Pop_tri_v4:2:14310582:14312560:-1 gene:Potri.002G180700.v4.1 transcript:Potri.002G180700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180700.v4.1 MQLALSSVLPMTLHTAIQLGIFEIIAKAGPDVKLSAADIAAKLPTDNPDTPKMLDRILRLLASHQVLCCFVDGSERFYSLAPVSMYFVRNQNGVSLAPFMALIQENVVLQSWSQLKDAVLEGGVAFHRVHGVHGFEYNGLDPKFNQVFNTAMYNQTTVVNGFMLEKYNGFKNLKQLVDIGGGLGHTMKAITSKYPHIKGINFDLPHVIEHAPAYPGVEHVGGDMFESVPKGDAIFLKWILHNWSDDHCLKLLKNCYKAIPGDGKVIVMESVLPITAKTSPAAKAISQLDVLMMITQNPGGKERTEDEFMALATAAGFRGIKFETFVCNFWVMEFFK >Potri.004G074602.1.v4.1 pep chromosome:Pop_tri_v4:4:6213522:6214656:1 gene:Potri.004G074602.v4.1 transcript:Potri.004G074602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074602.v4.1 MGCTGPRMEVLEIYMTLNLIYVVVFHRSVLEIYMTLNLIYVVVFLDLIVIQIEGKVSIVVKSGVKLEIPEGVVLENKEINGPEDL >Potri.004G175300.1.v4.1 pep chromosome:Pop_tri_v4:4:19055415:19060135:-1 gene:Potri.004G175300.v4.1 transcript:Potri.004G175300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175300.v4.1 MAAAEDDQEAGQDQLDEEFSVWKKNTPVLYDLVISHPLEWPSLTVQWVPLAAPLPHPTDPSSFSIHKLVLGTHTSDDFPNFLMVADAVLPTSVADAKIDTSCSSADSVIPKVEITQKIRVDGEVNRARCMPQNPAIVGAKTSGCEVYVFDSTKQAERKQRDGCDPDLRLTGHDKEGYGLSWSPFKQGYLVSGSHDNRICLWDVSGNAQDKVLGALQVYEAHESVVEDVSWHLKNENLFGSVGDDCRLVIWDMRTNQTQHSVKAHKKEINYLSFNPYNEWILATASSDATVGLFDMRKLTVPLHALSSHTEEVFQVEWDPNHETVLASSADDRRLNIWDLNRIGEEQLELDADDGPPELLFSHGGHKAKISDFSWNKDESWVISSVADDNTLQVWQMAESIYGDDDIVAADEPPLAEK >Potri.014G021250.1.v4.1 pep chromosome:Pop_tri_v4:14:1290264:1291396:1 gene:Potri.014G021250.v4.1 transcript:Potri.014G021250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G021250.v4.1 MHLQQSLQVYMLQKLVVLTLLVLLLPGDQKEETMVNVHGLQDQLPPSSTEKQQQKRLRHSFDMFFSSKRKVPNASDPLHNR >Potri.014G073300.2.v4.1 pep chromosome:Pop_tri_v4:14:4671938:4678969:1 gene:Potri.014G073300.v4.1 transcript:Potri.014G073300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073300.v4.1 MASGGGEAPPIPPNANGGEFLLSLLQRPNHHHPPHQQQQSQFPSQFSPFITPQLQNQHPQPQPQPQPQPLGFDPAVAAVGPSLPLLPHQLLQSNGRDLLSNTPPLWSHNLGFPQKNHAFPHPHPLGNQFQGNQYLADDLQRSGLSIAEVRANNNNNNNLIQHLPQQKQQLEQKLQFGSFSSAIPSPADGLVNANLMREVGPGSRNFNGLERNRHLEKQANSHSTNFEVRQPGASSGGRGNLHKEQHQNYKSPPPGFSNKPRGGGGGGNWDHGGRRRELEHTMYREKGDYSELNNEKARRNEGSVEVRFTRQLDRPGPPPGSNLHSVLGSEIKESLINLDGEDGGLLDDLGEELMDSLLLEGESDGKKDKKQSSKESRSDSRGHNILSQRMRMLKRQMQCRLDIDRLNAAFLAIYESLVPPEEETAKQKQFFMLLEKLVSKEWPEARLYLYGSCANSFGVSKSDIDVCLTIEDAEIKKSEVLLKLADILQADNLQNVQALTRARVPIVKLMDPVTGISCDICLNNVLAVVNTKLLRDYAQIDVRLRQLAFVVKHWAKSRGVNATYQGTLSSYAYVLMCIHFLQQRRPAILPCLQEMGTTYSAIVDDIRCAYFDQVEKLRGFGSRNKETIAQLVWAFFNYWAYRHDYANGVISVRTGSIISKREKDWTRRIGNDRHLICIEDPFEISHDLGRVVDKFSIKVLREEFERAADIMQYDPNPCVTLFEPYNTPS >Potri.005G219700.1.v4.1 pep chromosome:Pop_tri_v4:5:22214559:22217479:-1 gene:Potri.005G219700.v4.1 transcript:Potri.005G219700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219700.v4.1 MADVEADVAAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFPARARRRFQRGLKRKPMALIKKLRKAKREAPPGEKPEPVRTHLRNMIIVPEMIGSVIGVYNGKTFNQVEIKPEMISHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Potri.019G099200.2.v4.1 pep chromosome:Pop_tri_v4:19:13601618:13604820:1 gene:Potri.019G099200.v4.1 transcript:Potri.019G099200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099200.v4.1 MSLFLLTLLMLTSQHVSASSTDHQQADGGVLEVEALLKWRKSLSGQAQSLLSSWKPVPGSNISPCTWSGIHCNDGGSVSTINLTNFQLKGTLDDFSFSSFHNLSCLDLQHNSLKGNIPPHISNLSKLTILNLGYNQFTGYIPRDIGTMMKLNVLSFSNNLLLGSIPATFENLSNLSVLNLANNRLSCSIPPELGRLKSLSELRLNLNDLTGAIPASIGDLIGLKVLSLYGNHLSGPLPPEINKLTNLTLFFLSNNSISGLLPEKICHGGILEDFCASNNRFTGTIPKGLKNCTNLSRLRLDRNNLVGNISEDFGVYPNLDYIDLSYNNFHGQVSPNWGKCQRLTSLKISNCHVTGVIPPELGESTALHYLDLSSNKLEGRIPNELGKLKSLFNLTLSFNSLSGKIPPEIGSLPDLSYLDLAANNLSGTIPKQLGKCSKMLYLNLSNNSFHDGIPAEIGNLVSLQVLLDLSRNLLSGEIPWQLGNLIKLEVLVLSHNNFTGFIPSTMDQMQSLRIVDLSYNELEGPIPKSKAFKEAPPEAFTHNKGLCGNRTSLMNCPAPPVNTTKDGKHLLLLIVLPVSGASFFLTILIGFVCILRKEWRKSMRNKLIDSQQGNLFTIWSYDGKLVYEDINEVTEGFNAKYCIGVGGHGSVYKAKLSTGQIVAVKKLHPLQYTRSDDLKTFESEIQALNKIRHRNIVKLHGFCLHAKQSFLVYEYLERGSLARILDNVEQATELDWSKRINIVKGVVNALCYMHHDCKPPIIHRDISSSNILLDRKYEARVSDFGTARLIKLDSSNWTGLAGTYGYIAPELAYTMKVTEKCDVYSFGVVALEIIMGHHPGELIGSLSTLSTSSEWNPGSTTLLKDLLDKRLETPARELAVQVAIIIKLGFTCINADPKSRPTMPQVSQELSISRLDISSAPWHTLTLGELVNLDLQVEEP >Potri.002G143400.3.v4.1 pep chromosome:Pop_tri_v4:2:10792445:10793806:1 gene:Potri.002G143400.v4.1 transcript:Potri.002G143400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143400.v4.1 MATSSLAISPRKLRSDLYSYSYQNDSNTPLVISVLASLIERTMARNERIVKNCTWALSKDIRTRVFDCHETPDLTIQSYLERVFRYTRAGPSVYVVAYVYIDRFCQANPGFRINSRNVHRLLITTIMVASKYVEDMNYRNSYFARVGGLTTNELNKLELEFVFLMGFKLHVNVSVFESYCCHLEREVGIGGGYHIEKTLRCAEEIKSGQQEEKRYNQIARIML >Potri.014G090400.1.v4.1 pep chromosome:Pop_tri_v4:14:5872038:5875130:-1 gene:Potri.014G090400.v4.1 transcript:Potri.014G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090400.v4.1 MSTITVSASKHSNILRLLTSHTFLRRLSTEPPEPQPPPQPKTSNHNSIITEALQLLQIPGNEWNTTQLNQLLFTDSPPSSSSPRLFYQITRRLPSSSQALKFLNYLQNNSPSSPDTQSLLSYTFQAIFELAFCEPDSNANLSRLYKTSKELNIPLTVNAASFLLRASGRSELVEESLILFNDLDPSVKNTYLRNVWLSILLRSGRVKDALKVIDEMFESNDDSNCRPNDATGDILFSFLLKRERNEELLSEDEIVNLVLKFGEHGVLISSFWMGRLITRLCRNRKTNRGWDLFTEMIKLGAVLESAACNSLLTGLAREGNFNRMNELMEKMVEMDIQPNVVTFGILINHMCKFRRVDDALEVLEKMSGGKESGGISVSVEPDVVIYNTLIDGLCKVGRQQEGLGLMERMRSQKGCAPDTITYNCLIDGFCKAGEIEKGKELFDEMNKEGVAPNVVTVNTLVGGMCRTGRVSSAVNFFVEAQRRGMKGDAVTYTALINAFCNVNNFEKAMELFNEMLKSGCSPDAIVYYTLISGFSQAGRMADASFVLAELKKLGIRPDTVCYNTLIGGFCRTNKFHRVFEMLKEMEEAGLKPDTITYNTLIAYASKNGDLKFAQKVMRKMIKAGVVPTVATYGAVINAYCLNGNGNEAMEIFKDMKAASKVPPNTVIYNILINSLCKNNKVKSAVSLMEDMKIWGVTPNTTTYNAIFKGLRDEKDLEKVFEFMDRMIEHACNPDYITMEILTEWLSAVGEIERLKKFVAGCEVSSSTAQKASSRS >Potri.001G023400.1.v4.1 pep chromosome:Pop_tri_v4:1:1800119:1805981:-1 gene:Potri.001G023400.v4.1 transcript:Potri.001G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023400.v4.1 MKPSTSSSSSSSSSVLNSFLVLLAFIPFSSSYLLPPSIIGSTVEHQNHTAISSFRVVNRRNLIQCPDPNPYLQINVSSKNSPLSDDEYVNVTVSGVFHPSDGDWVAMISPSDSNVKSCPLNKIKYVQTGDTSKLPLLCHYPVKAQYVSNDPSYLKCNKQECKKYNNTVCEVTTCSGTISFHVINIRTDIEFVFFAGGFETPCILTRSAPMKFSNPNQPLHGHVSSTDSTATSMRLTWVSGSKEPQEVQYGDGKTLISTITTFSQDDMCTSVLPSPAKDFGWHDPGFIHSAVMTGLRPSTAYSYRYGSDSIGWSDKIQFRTPPAGGSAELRFLAFGDMGKAPLDPSAEHYIQPGSLSVIKAMTDEAESGNVDSIFHIGDISYATGFLVEWDFFLHLISPLASQVSYMTAIGNHERDYINSGSVYITPDSGGECGVAYETYFPMPTSAKDKPWYSIEQGPVHFTVISTEHDWTENSEQYKWMDQDMSSVDRSKTPWLIFAGHRPMYSSTDGFSTDDKFTKAVEPLLVQYKVDMVLFGHVHNYERTCSVYESNCLAMPSKDRNGIDTYDHSNFSAPMQAVIGMAGFSLDNFSQPGSWSLERISEFGYLRGHATMEDINLEFVNSNTRQVQDSFRITKGQK >Potri.011G125400.2.v4.1 pep chromosome:Pop_tri_v4:11:15772326:15774151:-1 gene:Potri.011G125400.v4.1 transcript:Potri.011G125400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125400.v4.1 MKGCELCGSSARMFCESDEASLCWDCDEKVHSANFLVAKHCRTLLCQVCQSPTPWKASVSKFAPTVSICESCFTIPNKTKETEERMKGCELCGSSARMYCESDQASLCWDCDEKVHTANFLVAKHCRTLLCQVCQSPTPWKASGSKFAPTVSVCESCFTIPKNKRHFQSENVMTSDQESQGGGNDLDESENDQESDDDDHTDDDSDEDEDEEEEDDDGDNQVVPWSGPTASSSPSPVPPVASSSSEEETSCAGRNGFLKRMRESNVDLDSDDEIGCSSSHNIGGRILSNDGGNSLSSMRPWKQARTSVNVEEDG >Potri.005G257700.5.v4.1 pep chromosome:Pop_tri_v4:5:24806954:24810860:1 gene:Potri.005G257700.v4.1 transcript:Potri.005G257700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257700.v4.1 MGTILLTKRGWDMDWMLFSVGSTYLELYYTVFCLPSTQIQLITTPLLVVGHGTKSMHKKLKKTHSYDQNKDARTSWNFYCWGLGMALEKGSVCVTGAGGYLASWVVKLLLSKDYLVHGTVRDPADDKNAHLMKIDQATENLKLFKADLLDYSSLSSAIQGCRGVFHVASPVPFTRVSNPEVEVIEPAVKGTLNVLKACAEAKVKRVVIVSSGSAVLSNPSWPKGQVMDENCWSDKEYCRATKNWYNLSKTEAESEAWEYAKRSGLDVVAICPSLILGPILQSTVNASTMVLIKILKDGCDSLENKLRPIIDVRDVTEALLLAYETPEAEGRYICTAHAIRVKDLVEKLRSMYPNYNYPKSFTEEEQEAVMISSEKLQRLGWSFRSLEETLIDSVENYQKTGLLD >Potri.004G167100.1.v4.1 pep chromosome:Pop_tri_v4:4:18547099:18551009:1 gene:Potri.004G167100.v4.1 transcript:Potri.004G167100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167100.v4.1 MRRVLCAEYSCLGIRILICRPNDCYDPLDPNGNITVTFDIHDWRSDGYAARVTIQNFYQYRHVDKPGWNIGWSWTNEEIIWSMFSACATKQGECSPVKYGGAHSCMPNPVIVDLMPDAPPVNMSENCCRGGLISARAIDPLRSLSSFEMTVVNLDGNSTVYPPANLTLLAPGPGYTCGPVVETTPTVSSDFGGRRQVQVYKTWKSTCTYSTFLANKTPGCCVSLSTFYNPRVTACPDCSCGCRDADQSTVSCVSEVDSLPLSDVEKADIVQCTAHMCPVRVHWHVKNNYMDHWRVKMTISNYNYKKNYSDWNVLVQHPGFKQNSTTFSFNSTLLPTAGFADEVALFWGLQAYNNELLQADQRQLGSVSTEILFEKDSGMFTLRNGWALPRRIYFGGEECAMPLPDTFPVLPNGSSCTKPPRYLFLLLLTYMTFKSLASWL >Potri.004G167100.3.v4.1 pep chromosome:Pop_tri_v4:4:18547906:18551009:1 gene:Potri.004G167100.v4.1 transcript:Potri.004G167100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167100.v4.1 MKIIIFYVRPSVLMLLLLLSICTFSDCYDPLDPNGNITVTFDIHDWRSDGYAARVTIQNFYQYRHVDKPGWNIGWSWTNEEIIWSMFSACATKQGECSPVKYGGAHSCMPNPVIVDLMPDAPPVNMSENCCRGGLISARAIDPLRSLSSFEMTVVNLDGNSTVYPPANLTLLAPGPGYTCGPVVETTPTVSSDFGGRRQVQVYKTWKSTCTYSTFLANKTPGCCVSLSTFYNPRVTACPDCSCGCRDADQSTVSCVSEVDSLPLSDVEKADIVQCTAHMCPVRVHWHVKNNYMDHWRVKMTISNYNYKKNYSDWNVLVQHPGFKQNSTTFSFNSTLLPTAGFADEVALFWGLQAYNNELLQADQRQLGSVSTEILFEKDSGMFTLRNGWALPRRIYFGGEECAMPLPDTFPVLPNGSSCTKPPRYLFLLLLTYMTFKSLASWL >Potri.004G167100.2.v4.1 pep chromosome:Pop_tri_v4:4:18547906:18551009:1 gene:Potri.004G167100.v4.1 transcript:Potri.004G167100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167100.v4.1 MKIIIFYVRPSVLMLLLLLSICTFSDCYDPLDPNGNITVTFDIHDWRSDGYAARVTIQNFYQYRHVDKPGWNIGWSWTNEEIIWSMFSACATKQGECSPVKYGGAHSCMPNPVIVDLMPDAPPVNMSENCCRGGLISARAIDPLRSLSSFEMTVVNLDGNSTVYPPANLTLLAPGPGYTCGPVVETTPTVSSDFGGRRQVQVYKTWKSTCTYSTFLANKTPGCCVSLSTFYNPRVTACPDCSCGCRDADQSTVSCVSSEVDSLPLSDVEKADIVQCTAHMCPVRVHWHVKNNYMDHWRVKMTISNYNYKKNYSDWNVLVQHPGFKQNSTTFSFNSTLLPTAGFADEVALFWGLQAYNNELLQADQRQLGSVSTEILFEKDSGMFTLRNGWALPRRIYFGGEECAMPLPDTFPVLPNGSSCTKPPRYLFLLLLTYMTFKSLASWL >Potri.005G058000.3.v4.1 pep chromosome:Pop_tri_v4:5:3647786:3655872:1 gene:Potri.005G058000.v4.1 transcript:Potri.005G058000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058000.v4.1 MPPTSTTTAAARRQISSRRRTSAPRRRSPPPSPPPKRMKLLTEILQKAAYAVVEREDYGDVSCEQCRSGERSEELLLCDKCDKGYHMKCLRPIVVRVPIGSWICNKCSGDGQRRVRRLSQRKIIDFFRIQKCKKDDVKMKCRSLQDSRKRRRRSLVYQKKRRRLLPFIPSADPTQRLKQMGTLASALTALHMEFSDDLTYLPGMAPQSANQAKFEQGGMQVLSKEDIETLEQCRAMCKRGECPPLLVVFDSCEGYTVEADDQIKDLTIIAEYSGDVDYIKNREHDDCDSMMTLLLARDPSKSLVICPDKRGNIARFINGINNHTP >Potri.005G058000.1.v4.1 pep chromosome:Pop_tri_v4:5:3647687:3655874:1 gene:Potri.005G058000.v4.1 transcript:Potri.005G058000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058000.v4.1 MPPTSTTTAAARRQISSRRRTSAPRRRSPPPSPPPKRMKLLTEILQKAAYAVVEREDYGDVSCEQCRSGERSEELLLCDKCDKGYHMKCLRPIVVRVPIGSWICNKCSGDGQRRVRRLSQRKIIDFFRIQKCKKDDVKMKCRSLQDSRKRRRRSLVYQKKRRRLLPFIPSADPTQRLKQMGTLASALTALHMEFSDDLTYLPGMAPQSANQAKFEQGGMQVLSKEDIETLEQCRAMCKRGECPPLLVVFDSCEGYTVEADDQIKDLTIIAEYSGDVDYIKNREHDDCDSMMTLLLARDPSKSLVICPDKRGNIARFINGINNHTPDGKKKQNCKCVRYSVNGECRVILVATRDIAKGEKLYYDYNGYEHEYPTQHFV >Potri.008G191600.4.v4.1 pep chromosome:Pop_tri_v4:8:13473856:13477513:1 gene:Potri.008G191600.v4.1 transcript:Potri.008G191600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191600.v4.1 MPSSHGDLDRQIEQLMECKPLAEGEVKTLCDQARAILVEEWNVQPVKCPVTVCGDIHGQFYDLIELFRIGGNAPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYRDRITILRGNHESRQITQVYGFYDECLRKYGNANVWKHFTDLFDYLPLTALIESQIFCLHGGLSPSLDTLDNIRALDRIQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDIAGHFNHTNGLTLISRAHQLVMEGYNWCQEKNVVTVFSAPNYCYRCGNMAAILEIGENMDQNFLQFDPAPRQIEPDTTRKTPDYFL >Potri.016G118300.1.v4.1 pep chromosome:Pop_tri_v4:16:12356947:12363663:-1 gene:Potri.016G118300.v4.1 transcript:Potri.016G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118300.v4.1 MEITPSPSPPPSGRFKLFDQLELQEFSDKYVIKSVESPNRGFSISRLHGDIQPLNNDNDGGGCDESSVSPSKTSVIYGVVGTIRLVVGTYMLVIISRKEVGEFLGFPVFRIAAMKFLPCNEALKFSTAQEKRDEAYFMNLLKVVESTPGLYYSYETDITLNLQRRCKLAEGWMSKPIWKSADPRFVWNKSLLEELIEFKLDEFIIPLLQGSFGAAQLKIKESSATVTLVSRRCTRRLGTRMWRRGANLEGDTANFIETEQLLELEGYRSSLLQIRGSIPLLWEQIVDLSYRPCLRIISHEQTSKVVERHFHDLYQRYGDTMAVDLTNKHGDEGQLSAAYAAEMQKLPNVRYVPFDFHHVCGNSNFDNLQILYNQILDDFQKQGYILIDAEGNILEEQKGIIRSNCIDCLDRTNVTQSFLGQKSLTMQLQRIGVLSSIEFITMFSEEYGKFRALWAEQGDEVSLEYAGTHALKGDLVRYGRQTIGGKIKDGMSALSRYYLNNFQDGVRQDALDLISGHYSVNRNGPSPFQLNGFESLSYLPVASAASALIIGGLTITSVTIQQAGRQAQQYLSTVIWAGVTAGVMAVVKANGRQFCSRPRLCGLL >Potri.012G098300.1.v4.1 pep chromosome:Pop_tri_v4:12:12204464:12207045:-1 gene:Potri.012G098300.v4.1 transcript:Potri.012G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098300.v4.1 MSNMAAVAAAPSTSTAPIYRPPSPSKAPLPISTLTLTLPSTFNHTKTRSLHISNSLSKPISSTATTSSPTTEKFSFPRFAPDEPRKGADILVEALEREGVTDVFAYPGGASLEIHQALTRSNKIRNVLPRHEQGGVFAAEGYARASGLPGVCIATSGPGATNLVSGLADALLDSVPIVAITGQVPRRMIGTDAFQETPIVEVTRSITKHNYLVLDVDDIPRIIKEAFFLASSGRPGPVLIDIPKDIQQQLAVPNWDVPMKLPGYLSRLPRNPNELHLEQIVRLISESKKPVLYVGGGCLNSSEELRRFVELTGIPVASTLMGLGAFPVGDELSLQMLGMHGTVYANYAVDKSDLLLAFGVRFDDRVTGKLEAFASRAKIVHIDIDSAEIGKNKQPHVSVCGDVKVALQRINKILESRGARGKMDFRAWREELNEQKVKYPLSFKTFGEAIPPQYAIQVLDELTDGNAIISTGVGQHQMWAAQFYKYKRPRQWLTSGGLGAMGFGLPAAIGAAVANPDSIVVDIDGDGSFIMNIQELATIRVENLPVKIMLLNNQHLGMVVQWEDRFYKANRAHTYLGNPSNESEIFPNMLKFAEACGIPAARITRKDDLRAAIQKMLNTPGPYLLDVIVPHQEHVLPMIPSGGAFKDVITEGDGRTKY >Potri.008G188900.1.v4.1 pep chromosome:Pop_tri_v4:8:13237798:13241752:-1 gene:Potri.008G188900.v4.1 transcript:Potri.008G188900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188900.v4.1 MARKKIREYDSKRLLKEHFKRLSGRDLPIKSAQVTESTDFNELAEKEPWLSSAKLVVKPDMLFGKRGKSGLVALNLDLDQVADFVQQRLGKEVEMGGCKGPITTFIIEPFIPHDQEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTGATFTSEVCAPLVATIPLEIKGEIEEFIQSAFALFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSSTESFVHGLDEKTSASLKFTVLNPEGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEDEVLQYARVVIDCATSDPDGHKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMNIYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICKQAIECITAAA >Potri.008G188900.4.v4.1 pep chromosome:Pop_tri_v4:8:13237798:13241323:-1 gene:Potri.008G188900.v4.1 transcript:Potri.008G188900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G188900.v4.1 MARKKIREYDSKRLLKEHFKRLSGRDLPIKSAQVTESTDFNELAEKEPWLSSAKLVVKPDMLFGKRGKSGLVALNLDLDQVADFVQQRLGKEVEMGGCKGPITTFIIEPFIPHDQEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTGATFTSEVCAPLVATIPLEIKGEIEEFIQSAFALFQDLDFTFLEMNPFTLVDGKPYPLDMRGELDDTAAFKNFKKWGNIEFPMPFGRVMSSTESFVHGLDEKTSASLKFTVLNPEGRIWTMVAGGGASVIYADTVGDLGYASELGNYAEYSGAPNEDEVLQYARVVIDCATSDPDGHKRALVIGGGIANFTDVAATFNGIIRALKEKESKLKAARMNIYVRRGGPNYQRGLAKMRALGEEIGIPIEVYGPEATMTGICKQAIECITAAA >Potri.010G167400.2.v4.1 pep chromosome:Pop_tri_v4:10:17038095:17045142:1 gene:Potri.010G167400.v4.1 transcript:Potri.010G167400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167400.v4.1 MLTCSQSPYPLSSLFKNNPALPNLCLAKTTITITTSKDTGLLFRQKLTYLTNLKINTQKALTLNPNIRSTPLSTLLAIENCLSSMGFHRSSIGRILDMHPCLLTSDPHLHLHPTFDFLLNEVEIPFLDISRSINRCPRLLVSSVSNQLRPAFVFLKELGFVGPRKLNYQTTLLLVYNVERSLMGKIEFLMGLGFEFVEVKNMVVRAPGILTLSVERNMKPKFEYFVREMKGDLGELKKFPQFFSFSLERKIKPRHRMLVEYGLKMPLSRMLKVNDGEFNARLFEMRLRMAEES >Potri.010G167400.3.v4.1 pep chromosome:Pop_tri_v4:10:17038095:17043756:1 gene:Potri.010G167400.v4.1 transcript:Potri.010G167400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167400.v4.1 MLTCSQSPYPLSSLFKNNPALPNLCLAKTTITITTSKDTGLLFRQKLTYLTNLKINTQKALTLNPNIRSTPLSTLLAIENCLSSMGFHRSSIGRILDMHPCLLTSDPHLHLHPTFDFLLNEVEIPFLDISRSINRCPRLLVSSVSNQLRPAFVFLKELGFVGPRKLNYQTTLLLVYNVERSLMGKIEFLMGLGFEFVEVKNMVVRAPGILTLSVERNMKPKFEYFVREMKGDLGELKKFPQFFSFSLERKIKPRHRMLVEYGLKMPLSRMLKVNDGEFNARLFEMRLRMAEES >Potri.007G105700.1.v4.1 pep chromosome:Pop_tri_v4:7:12880069:12881232:-1 gene:Potri.007G105700.v4.1 transcript:Potri.007G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105700.v4.1 MDREQEELQFLGFFGIFKESFKIILTWRKIFSQITLALILPLSFIFLAHMQISQMIFFNILDSEDALDFTQSGTPKHDKLSDNISAEWTAFWLFKFAYFTFLLIFSLLSTSAVVYTIACIYTVKPITFKKIMSVVPKVWKRLMVTFIWSFLVVVLYNIIAAVVFLTLWTQVVFHVNAVGFRIAVLVALIIIYSVGMLYITIVWHLASVVSVLEDFYGIKAMIKSKNLIKGHMGVTVAVFIVVGVCFVGIQLLYEIFVVLHKPLGVRIGVGIVCFFLLCKVMLFDLVIQTVLYFVCKSYHHENINKSSLSDHLEVYLGEYVALKSKDVQMEQLQV >Potri.007G105700.2.v4.1 pep chromosome:Pop_tri_v4:7:12880038:12881200:-1 gene:Potri.007G105700.v4.1 transcript:Potri.007G105700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105700.v4.1 MQISQMIFFNILDSEDALDFTQSGTPKHDKLSDNISAEWTAFWLFKFAYFTFLLIFSLLSTSAVVYTIACIYTVKPITFKKIMSVVPKVWKRLMVTFIWSFLVVVLYNIIAAVVFLTLWTQVVFHVNAVGFRIAVLVALIIIYSVGMLYITIVWHLASVVSVLEDFYGIKAMIKSKNLIKGHMGVTVAVFIVVGVCFVGIQLLYEIFVVLHKPLGVRIGVGIVCFFLLCKVMLFDLVIQTVLYFVCKSYHHENINKSSLSDHLEVYLGEYVALKSKDVQMEQLQV >Potri.004G155000.1.v4.1 pep chromosome:Pop_tri_v4:4:17544338:17552415:1 gene:Potri.004G155000.v4.1 transcript:Potri.004G155000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155000.v4.1 MAEEAILGYLENHEEISDSGQFATEIGLDHNDVVNVIKSLHGFRYIEAQDIKRETLVLTEEGRKYAEKGSPEVQLFLAVPEEGSISKEELQKLLDPAVFKIGCSQAAKNKWVQMGNQISRKVQHVEDRVKDLLLRIQDGQEPGKDDNNSLKARKLTALQTWKGYSVKRGPDYAPTRRRTATDLTREHLLGGDWRNIEFKEYNFSAKGPPPESGHLHPLNKVKERIKNIFRLMNFEEMPTNKYVESSFWNFDALFQPQQHPARDSHDTFFLKAPETTKQLPEDYVELVKRVHESGGYGSRGYGYEWKREEANKNLLRTHTTAISSRMLYALAQHAKQQSFTPKKYFSIDRVFRNEAVDRTHLAEFHQIEGLVCDRGLTLGHLIGVLQDFFSRLGMDKLKFKPAYNPYTEPSMEIFSYHEGLKKWVEIGNSGMFRPEMLRPMGFSEDVNVIAWGLSLERPTMILYGINNIRDLFGHKVDLGLIKKNPLCLIGIR >Potri.014G053000.1.v4.1 pep chromosome:Pop_tri_v4:14:3410695:3414887:-1 gene:Potri.014G053000.v4.1 transcript:Potri.014G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053000.v4.1 MFRRATTGILARTIRARLFSTGLPAAQTIDSTFAEAWKKVAPNLDPPKTPLSFMQPRPPTPSAIPSKLTVNFVLPYASEISGKEVDMVIIPASTGQMGVLPGHVSTITELKPGVLSVHEGNEVKKYFVSSGFAFIHANSIADIVAVEAAPLDQIDSSLVQKGLAEFTQKLSSASTDLEKAEAQIGVDVHSALNAALTG >Potri.015G032100.3.v4.1 pep chromosome:Pop_tri_v4:15:2470039:2472955:-1 gene:Potri.015G032100.v4.1 transcript:Potri.015G032100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032100.v4.1 MSTQVSQEVVPKAPQARDNEIIRRTANYHPSIWGDQFISHLPKDKVHEAIELQEIEKLREQFKRELLASNSSQKLDLIDAIQRLGVAYHFETEIEEALQHIYNNRIDMEDDDLYNTALGFRLLRQHGYNVSCDIFNKFKDDKGYFKPSNDVRGILGLYEAAHLAVHGEDILDEALAFTTIHLKSMETSPNCPLTAKVSHALKQPIRRGVPRLESRRYISFYQDEPSCNKTLLRLAKLNFNVVQELHKEELSEITRWWKGLDFARRLPFARDRVVECFFWIVGAYFEPQYSLARKILTKVIAMTSIIDDIYDVYGTLEELELFTEAIDRWDTKSMDQLPDYMKICYEALLNVYSEIEEKVAKEGWSYRVHFGKQAMKVLVHAYFDEAKWFHENHIPTMEEYMQVALVTSGYSLLATVSFIGMGDMVTEQAFDWVFNRPKIVRASETISRLVDDVRSHKFEQERGHAASGVECYIRQYGLSEQEVYKEFHMQVVNAWKDINEECLKPTAVPMPLLERILNLTRVIDVIYKEKDEYTHVGEVMKNNVASLVIDSVPI >Potri.005G203200.1.v4.1 pep chromosome:Pop_tri_v4:5:20828236:20831297:1 gene:Potri.005G203200.v4.1 transcript:Potri.005G203200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203200.v4.1 MSNEKEDPYHYDPFHYNIQQGANRPVSVFPFFNDNLSMYNQQAPTQNLQGFSDPSFMSFTDCLHGSMDHYNTLSRALDVSCSSSEVISPVDQDGSRKIGAGESAATNQYTPSTPNSSVSNSSSNDGATEEDPGKSKKHKQPKESTEDGDIDGDAKKVSKTKKKEKRQKEPRFAFLTKSEIDHLEDGYRWRKYGQKAVKNSPYPRSYYRCTTQKCMVKKRVERSFQDPSIVITTYEGQHNHHCPATLRGNAAGMLSPSLLASTSIGQSFPQDFLTRLLPPSNQGDQTSIFYQNHDPQYQHQLYAPDYGLLQDLVPSFIHKKQP >Potri.015G058750.1.v4.1 pep chromosome:Pop_tri_v4:15:8130701:8131471:-1 gene:Potri.015G058750.v4.1 transcript:Potri.015G058750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058750.v4.1 MRPLSIIQKGKEVRAERVELQPLVMSTDSHSALVEHCKKILKCQENVTLLG >Potri.011G094650.1.v4.1 pep chromosome:Pop_tri_v4:11:12204778:12219324:-1 gene:Potri.011G094650.v4.1 transcript:Potri.011G094650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094650.v4.1 METKREEEIEDMSLSPPTIMGSTQFAGSNGFGHSMDFMSQAYLRNRSSEIDIEEDSLNMNKDGPLPIFLKFEYVECKVRNSKASSANPVKAVVSKVASRFNLDQDNYKQILKGVTGSVCPGEILALMGPSGSGKTTLLKIIGGRLSENVEGRITIGFVTQDDVLLSQLTVDETLVFAAFLRLPGNMSRQQKHARVGMIIKELGLERCRHTKIGGGFVKGISGGERKGTSIGYEILVDPSLLLLDEPTSGLDSTSANRLLQILQGLAKPSSRMFHMFDKLLLISEGYPLYYGKARESMEYFSDLRFIPEIAMNPAEFLLDLATGQVNDISVPEYLQVKFKTQLEPKEKEENHRSKKVLELLKLAIQFIILYKRTFRERCRDYFDKLRFIQALGVAVLLGLLWWKSKIGTEAQLRDQVNFHDTFYESTNGINFKYLSYFSPLYLEWAVIHVSLMFYICIFWTSSSIFGAVYVFPFKKIYLVKERKADIYRLSAYYACSTLSDMVAHVFYPKNFMVIVYFMAGFKRTVPCFFLTSFATLLIAITCQGVGDLFGAASLSIKRAGMFASLILMLFLLTAGFYVQVWCRPLLSSPSFDTVSLKGGLQEVWVRIAMALGYRMIAYFCLRRRINLCHL >Potri.013G006500.1.v4.1 pep chromosome:Pop_tri_v4:13:431465:433585:-1 gene:Potri.013G006500.v4.1 transcript:Potri.013G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006500.v4.1 MARILDSFPPPTQLTHPTRSRPTWLSCSMPISPNSTCFSSIPHNKQLTKAFAVPRRNAMALILSSYIFSEVGFNNIAFAQRSVGFREYIDQFDGYSLKHPQNWIQVRGAGADIFFRDPFVLDENLSVELSSPSSSNYKSVEDLGPPEEAGKKVLKQYLTEFMSTRLGVRRESNIISTSSRVADDGKLYYQVEVNIKSYANNNELAVMPQERVVRLEWNRRYMSVLGVENNRLYELRLQTPENVFVEEENDLRQVMDSFRVNKVTV >Potri.001G040400.1.v4.1 pep chromosome:Pop_tri_v4:1:2893858:2894646:1 gene:Potri.001G040400.v4.1 transcript:Potri.001G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040400.v4.1 MKSNKPVHLREVWADNLVYEFFLIKEAISRFPLVALDTEFLGTVFQLNRDKSSLSHATPYENYRLMKWNVDLLKIIQLGMTLSDSHGNLPSLGTEFHYAWQFNFRDFNIKHDHHNEESIGLLERQGIDLKKNREKGIDSSDFGRLILSSGLVSNNSSITWITFHGAYDFGFLIKILTKRELPSDMRSFLGMMRFFFGVRVYDTKFMMGCISGLHGGLERVAMLLGVERITGRRHQAGSDSLLTLQTFVRFKDSRVLKLISRN >Potri.010G085600.1.v4.1 pep chromosome:Pop_tri_v4:10:11201016:11202225:-1 gene:Potri.010G085600.v4.1 transcript:Potri.010G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085600.v4.1 MEQNPFTPDLLKEGGDELSDISLRPLDLSDIDDFMVWATDAEVARFCTWEPYTNKEDALNYIKNYVLPHPWFKAVCLNNRPIGAVSVTKNSGCDICRGELGYVLASQYWGKGFATKAVKLVAKTIFIEWPHLERLEALVDVQNGGSQRVLEKAGFEREGVLRRYYMLKGKSRDMVMFSLLSTDPQI >Potri.001G389200.1.v4.1 pep chromosome:Pop_tri_v4:1:41192921:41196213:1 gene:Potri.001G389200.v4.1 transcript:Potri.001G389200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G389200.v4.1 MEREQKTSSASHVLVLPLPIQGHINPMLQFSKRLASKGLRVTLITPTSMGTSMHQDNACSINMEPIFDGYKEGERAATAEEYIERFKATIPQSLAELIDKNSTSQYPAKFIIYDSILPWVLDVAKSWGIEGGPFFTQSCAVTVLYYHTLQGSALKIPMEEKSPVSLPSLPQLEFSDLPSLVHGPGSYPGIYDLLFSQFSNIDEASWLLWNTFNELEDEIVDWMASKWPIKPIGPTIPSMFLDKRLEDDKDYGLSLFKPNSETCMKWLDSKEPGSVVYVSFGSLAVLTEDQMAELAWGLKRSNTHFLWVVRESEKQKVPGNFVEETTEMGLIITWSPQLKVLAHKSVGCFMTHCGWNSTLEALSLGVPMVAMPQWTDQPSNAKFVADVWQAGVRVKVGENGMVTQEEIERCIREVMMEGERRDEIRTHSEKWKKLARMAMDEGGSSDKNIDEFVASLNACNSNSTKSPDQRP >Potri.004G156400.1.v4.1 pep chromosome:Pop_tri_v4:4:17753453:17756002:1 gene:Potri.004G156400.v4.1 transcript:Potri.004G156400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156400.v4.1 MAAALSKSFCLGYYFLLLCLIGFISHPAKAAVKKYLFDIQVKNVSRLCHAKPIVTVNGRFPGPTVYVREGDRVQVNVTNHAKYNMSIHWHGLKQFRNGWADGPAYITQCPIKTGHSYTYDFNVTGQRGTLWWHAHIFWLRATVYGAIVIMPKPGTPFPFPQPHREETIILGEWWNNDVEEIEKQGSKLGLPPNASDAHTINGKPGTLFPCSEKHTFAMEVEQGKTYLLRIINAALNDELFFAIAGHNMTVVEVDAVYTKHFTTQAVLIAPGQTTNVLVQATQSPNRYFMAARPFMDAPLTVDNKTATAILQYKGIPNTVIPILPKLPAPNDTAFALSYNAKLRSLNSPQFPANVPLKVDRHLFYTIGLGINPCPSCLNGTRLTASLNNITFVMPQIGLLQAHYFNTKGIFRLDFPDNPPSPFNYTGVPLTANLGTTLGTRLSKIVYNSTVQLVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPKKDPAKFNLVDPPERNTVGVPTGGWTAIRFKADNPGVWFMHCHLELHTSWGLKTAFVVEDGVGPDQSILPPPKDLPPC >Potri.006G092800.1.v4.1 pep chromosome:Pop_tri_v4:6:7043410:7055959:-1 gene:Potri.006G092800.v4.1 transcript:Potri.006G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092800.v4.1 MNSFFSGTRLRDMIRAIRACKTAAEERAVVRKECAAIRTSINENDQDYRHRNLAKLMFIHMLGYPTHFGQMECLKLIASAGFPEKRIGYLGLMLLLDERQEVLMLVTNSLKQDLNHSNQYIVGLALCALGNICSAEMARDLAPEVERLLQFRDPNIRKKAALCSIRIIRKVPDLAENFINPAAALLKEKHHGVLITGIQLCTDLCKVSPEALEFLRKKHTEGLVRTLKDVVNSPYAPEYDIAGIADPFLHVRLLKLLRALGQGDADASDAMNDILAQVATKTESNKNAGNAILYECVETIMSIEDNGGLRVLAINILGRFLSNRDNNIRYVALNMLMKAITVDAQAVQRHRATILECVKDSDASIRKRALELVYVLVNETNVKPLTKELIDYLEVSDEEFKGDLTAKICSIVEKFSPEKIWYIDQMLKVLAEAGNFVKDEVWHALIVVISNASDLHGYTVRALYKAFQTSSEQESLVRVAVWCIGEYGDMLMNNVGMLAIEDPVTVTESDIVDVVEIALKHHALDLTTKAMALIALLKLSSRFPSCSERIKDIIVHHKGSLVLELQQRSLEFNSIIEKHQNIRSTLVERMPILDEATFTTRRAGSLPAAVSTSGGASLNLPNGVVKPSTAPLVDLLDLSDDVPAAPGSSGGDFLQDLLGVDLSPAPTQSGTNQVQKAGTDVLLDLLSIGVPPVQSSSSTTDILSPIQNEKSPIATLDALSSSSSPSAQATSSARAAPMMDLLDGFGPSPSKPENNGSVYPPFVAFESSSLRITFNFSKQPGNPQTTLVQATFTNLTPNVFTDFIFQAAVPKFLQLHLDPASSNILPASGNGSITQNMRVTNNQHGKKSLVMRTRISYKINNKDTLEEGHINNFPREL >Potri.002G112800.2.v4.1 pep chromosome:Pop_tri_v4:2:8510402:8513209:-1 gene:Potri.002G112800.v4.1 transcript:Potri.002G112800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112800.v4.1 MLKQSSSRNLRSKGFKVKHFIQVFLLLAIGVWLVNQLKHSYHKKAAYEDSTGKISEEVRGDYEVVKLGRKGLHPRVRETGLEIENHEGKAELEEEIEEIKPGEIEDEGSGGDEEIDGHDQVRTEEEETEEVEDLIDVDDKEREETNEDQEIEEKGDQLEDVSSLDDQTQNEGERNLQQLREEHYRGDDASSSVMQNSQSIITQLGIGGLRKIKENEIDNAEHIKLEQGYKSHGDEEVVFYPIRSGPYGNDAKDIGLDSRSLIDDHIDGGGVLGKATASLSIKY >Potri.001G083700.1.v4.1 pep chromosome:Pop_tri_v4:1:6654319:6655839:1 gene:Potri.001G083700.v4.1 transcript:Potri.001G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083700.v4.1 MDPGTHPLSSIQKNAYKRRFTDEQIKFLEFMFESESRPESRVKQQLASELGLEPRQVAIWFQNRRARLKTKQIEKEYSILKASYDVLASSFESLKREKQSLIIQLHKLKNRHVKQHGSRNCGNQLRSSRDGRFENKDTGSESKEKPSSPLDGNENEENRPSSDNNGRNTVNMREEIDILNHTEQTDNSSQWWEFWS >Potri.018G064500.1.v4.1 pep chromosome:Pop_tri_v4:18:7681267:7690310:-1 gene:Potri.018G064500.v4.1 transcript:Potri.018G064500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064500.v4.1 MMFHAQGPLRNHCTLLAVLCGKSGEQKLPLSDDKPRYPLPELESTGRLEVQVLNNPSTDEFRQVLQSLEPSIVYFQGEQVEDREEIGSLRWADVGLSTPESLCGLFGSTLPPTVYLEMPNGEKLAEALHSKGVPYVIYWKSAFSCYAASHFRQALLSVVQSSCSHTCDAFQLAHASFRLYCVQNNNTPASNSQKVGGKPGPRLLGDPPKFDISLPEADDQGEEGSSGALPAIKIYDDDVTMRFLVCGLTGTLDACALGSLEDGLNALLNIEIRGSKLHNRTSAPPPPLQAGTFSRGVVTMRCDLSTCSSAHISLLVSGSAQNCFNDQLLENHIKSELIENSQLVHASTSSDESKSPSSEPRKSASIACGASVFEVSMKVPTWASQVLRQLAPDVTYRSLVMLGIASIQGLSVASFEKDDADRLLFFCTKQSKDPHPRNPVLTRHPSWLIPPAPCRKRSEPSRETKPLTFGCGGENGGNFKQKLYVAAMRPIPHTRRHKMLPFSGFLEAERYDGEQTKPSLPPPPKHSVVGPAPVTHRKSLSNSYQAQQIISLNPLPLKKHGCGRSPIQACSEEEFLRDVMQFLILRGHSRLVPQGGLAEFPDAILNAKRLDLFNLYREVVSRGGFHVGNGINWKGQVFSKMRNHTLTNRMTGVGNTLKRHYETYLLEYELAHDDVDGECCLLCHSSAAGDWVNCGICGEWAHFGCDRRQGLGAFKDYAKTDGLEYICPHCSIANFKKKSQKNANGY >Potri.019G003500.1.v4.1 pep chromosome:Pop_tri_v4:19:928971:930835:-1 gene:Potri.019G003500.v4.1 transcript:Potri.019G003500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003500.v4.1 MEIMEDVVIVGAGIAGLATAVALKRVGVRALVLERSEGLRATGTALTLSPNAWLALDALGVSHKLIPLYTPSPKGYVTNVSTGEVREVLYPRQVRTLHRKVLLEALAEELATDSIRFSSRLVAFQSLEQGGDASMAAVHLEDGTTIKSKVLIGCDGVHSVVARWLGLAELVHSGRSAVRGLAVFPQGHGFKQEVRFFLDESDKAGFVPLNDRELYWFFSGQGEKMSGEAEKMQRDVLEKCTEKFPSEYLDVVRHADLSSLSWAPLMFRPPWGIIFGKLSKGNVTVAGDAMHPMTPDLGNGGGASLEDAVVLGRHIGNSFINNGALIVPGDMAKAIDDYVKERRWRAAMVVTASYLSGRMQQGDKWWIKFLRDRALYKYFFGWLSRLVFVYDCGRLPAISFGAMDLSSKKD >Potri.011G126000.2.v4.1 pep chromosome:Pop_tri_v4:11:15701322:15701888:-1 gene:Potri.011G126000.v4.1 transcript:Potri.011G126000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126000.v4.1 MSAAASKAWIVAASIGAVEALKDQGICRWNYTLRSLHQHAKNNIRSFTRSKILASSSSSSSSSTAAAAVSNEIQKAKMKRRETSLEKTMNLSCWGPSTARF >Potri.009G105500.1.v4.1 pep chromosome:Pop_tri_v4:9:9216123:9220602:-1 gene:Potri.009G105500.v4.1 transcript:Potri.009G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105500.v4.1 MTEYWVSQGNKWCDFCKIFISNNPTSIRNHELGQRHKDNVAKKLDSMRKDNIAKEKQQKEAARALEQIEAKANRSYQKDVANLKEASSLRALDIQEDGQEKWDYDSTSGYYYNQSNGLHYDPNSGFYYSDAIGKWVTQEEAYAAVRISSGSRNKESSFKKPLPASAVSSVKENKVAAQSGPPPGPVVSASLNPRRSVKGAPSKFAVNKRKRPDEKPKAVSVEEKAALKAREAARKRVEEREKSLLGLYQH >Potri.005G244900.3.v4.1 pep chromosome:Pop_tri_v4:5:24013177:24015563:-1 gene:Potri.005G244900.v4.1 transcript:Potri.005G244900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244900.v4.1 MKICACPPPPPTPRSSLVSTVSSVSLREPCISILSTIIAKLTCAILTLLSAEVGATLGVLIGAFAGLKTEKGFLHGAITGAANGVILSKKILEILLATWDSDDTVIACFFSLLDSVACIMSRRHHPQRFNPTKIDAEESEVDIVVLVHATYKLI >Potri.005G244900.2.v4.1 pep chromosome:Pop_tri_v4:5:24013177:24015563:-1 gene:Potri.005G244900.v4.1 transcript:Potri.005G244900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244900.v4.1 MKICACPPPPPTPRSSLVSTVSSVSLREPCISILSTIIAKLTCAILTLLSAEVGATLGVLIGAFAGLKTEKGFLHGAITGAANGVILSKKILEILLATWDSDDTVIACFFSLLDSVACIMSRRHHPQRFNPTKIDAEESEVDIVVLVHATYKLI >Potri.005G244900.5.v4.1 pep chromosome:Pop_tri_v4:5:24013177:24015563:-1 gene:Potri.005G244900.v4.1 transcript:Potri.005G244900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244900.v4.1 MKICACPPPPPTPRSSLVSTVSSVSLREPCISILSTIIAKLTCAILTLLSAEVGATLGVLIGAFAGLKTEKGFLHGAITGAANGVILSKKILEILLATWDSDDTVIACFFSLKTPSSTIQSNQNRCRRE >Potri.005G244900.4.v4.1 pep chromosome:Pop_tri_v4:5:24013177:24015563:-1 gene:Potri.005G244900.v4.1 transcript:Potri.005G244900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244900.v4.1 MKICACPPPPPTPRSSLVSTVSSVSLREPCISILSTIIAKLTCAILTLLSAEVGATLGVLIGAFAGLKTEKGFLHGAITGAANGVILSKKILEILLATWDSDDTVIACFFSLKTPSSTIQSNQNRCRRE >Potri.008G021766.2.v4.1 pep chromosome:Pop_tri_v4:8:1077812:1077997:-1 gene:Potri.008G021766.v4.1 transcript:Potri.008G021766.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021766.v4.1 MEKLNSQLYLQNCYIIQQNEMLRKKAQRLNQENQALLSELKKKLSSASSSSTTNPVKSNKT >Potri.001G185050.5.v4.1 pep chromosome:Pop_tri_v4:1:16391775:16406791:1 gene:Potri.001G185050.v4.1 transcript:Potri.001G185050.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185050.v4.1 MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDTALGFEDKPDSSTSTEASGLWPVMSFMGQKSEDSTVESSEKTVSPQKSSTVEEKESQNSDTEQTTALEENQMLERKKDGEHLEIAEKKDGVISDSGKAELESKLQSEPKAVEPPELDVHDVKIPDSADELQGKEISEVGPAENSDTLEIKSEAPRVDEVEAASILHNDSHNVFHAESIDEQETQAEETVEQSSIQAEASSDTQAEASDDVQAEASDDVLAEASSDNRAEASSDTQAEAAVDSSCSQPIISAEVSGMACEPSLSTASPSGEASEMVSGSVSKVDDGNDQTVGGDEGVNYGKVGSKEQRLSSGLNISDSIDSMLELEKVKTEIKMMETALQGAARQAQAKADEIAKLMNENEHLKVVIGELKRKTNDAEIESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQATQESTIRKLRAQNRELEEEKKGLMTKVQVEENKVESIKKDKTTTENLLQETIEKHQAELSAQKEYYTDALSASKKAEALAEARADNEARTELESHLREAEERETMLVQALEELRQTLSRKEQEAVFREDMLCRDIEDLQKYYQASERRCEELITQVPDSTRPLLRQIEAMQETTGRRAEAWAAVERSLNSRLQEAEAKAAVAEEREQSVNKRLSQTLSRINVLEAQISCLRTEQTQLSRSLEKERQRAAENRQEYLAAKEEADTQEGRASQLEAQIKELRQENKEELQDALTHRELLQQEIEREKAARLELERTAHVHSTSASDQTPIARSNSAFENGNLTRKLSTASSLGSMEESYYLQASLDTSDSLSEQRNFGEATMNPYYMKSMTPNAFESALRQKEGELASYMSRLASMESVRDSLAEELVKMTSQCEKLRAESALLPGVQAELDGLRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQISSTSSGNA >Potri.001G185050.7.v4.1 pep chromosome:Pop_tri_v4:1:16391759:16406786:1 gene:Potri.001G185050.v4.1 transcript:Potri.001G185050.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185050.v4.1 MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDTALGFEDKPDSSTSTEASGLWPVMSFMGQKSEDSTVESSEKTVSPQKSSTVEEKESQNSDTEQTTALEENQMLERKKDGEHLEIAEKKDGVISDSGKAELESKLQSEPKAVEPPELDVHDVKIPDSADELQGKEISEVGPAENSDTLEIKSEAPRVDEVEAASILHNDSHNVFHAESIDEQETQAEETVEQSSIQAEASSDTQAEASDDVQAEASDDVLAEASSDNRAEASSDTQAEAAVDSSCSQPIISAEVSGMACEPSLSTASPSGEASEMVSGSVSKVDDGNDQTVGGDEGVNYGKVGSKEQRLSSGLNISDSIDSMLELEKVKTEIKMMETALQGAARQAQAKADEIAKLMNENEHLKVVIGELKRKTNDAEIESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQATQESTIRKLRAQNRELEEEKKGLMTKVQVEENKVESIKKDKTTTENLLQETIEKHQAELSAQKEYYTDALSASKKAEALAEARADNEARTELESHLREAEERETMLVQALEELRQTLSRKEQEAVFREDMLCRDIEDLQKYYQASERRCEELITQVPDSTRPLLRQIEAMQETTGRRAEAWAAVERSLNSRLQEAEAKAAVAEEREQSVNKRLSQTLSRINVLEAQISCLRTEQTQLSRSLEKERQRAAENRQEYLAAKEEADTQEGRASQLEAQIKELRQENKEELQDALTHRELLQQEIEREKAARLELERTAHVHSTSASDQTPIARSNSAFENGNLTRKLSTASSLGSMEESYYLQASLDTSDSLSEQRNFGEATMNPYYMKSMTPNAFESALRQKEGELASYMSRLASMESVRDSLAEELVKMTSQCEKLRAESALLPGVQAELDGLRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQISSTSSGNA >Potri.001G185050.6.v4.1 pep chromosome:Pop_tri_v4:1:16391769:16406788:1 gene:Potri.001G185050.v4.1 transcript:Potri.001G185050.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185050.v4.1 MAWFSGKVSLGNFPDLAGAVNKLSESVKNIEKNFDTALGFEDKPDSSTSTEASGLWPVMSFMGQKSEDSTVESSEKTVSPQKSSTVEEKESQNSDTEQTTALEENQMLERKKDGEHLEIAEKKDGVISDSGKAELESKLQSEPKAVEPPELDVHDVKIPDSADELQGKEISEVGPAENSDTLEIKSEAPRVDEVEAASILHNDSHNVFHAESIDEQETQAEETVEQSSIQAEASSDTQAEASDDVQAEASDDVLAEASSDNRAEASSDTQAEAAVDSSCSQPIISAEVSGMACEPSLSTASPSGEASEMVSGSVSKVDDGNDQTVGGDEGVNYGKVGSKEQRLSSGLNISDSIDSMLELEKVKTEIKMMETALQGAARQAQAKADEIAKLMNENEHLKVVIGELKRKTNDAEIESLREEYHQRVSTLERKVYALTKERDTLRREQNKKSDAAALLKEKDEIINQVMAEGEELSKKQATQESTIRKLRAQNRELEEEKKGLMTKVQVEENKVESIKKDKTTTENLLQETIEKHQAELSAQKEYYTDALSASKKAEALAEARADNEARTELESHLREAEERETMLVQALEELRQTLSRKEQEAVFREDMLCRDIEDLQKYYQASERRCEELITQVPDSTRPLLRQIEAMQETTGRRAEAWAAVERSLNSRLQEAEAKAAVAEEREQSVNKRLSQTLSRINVLEAQISCLRTEQTQLSRSLEKERQRAAENRQEYLAAKEEADTQEGRASQLEAQIKELRQENKEELQDALTHRELLQQEIEREKAARLELERTAHVHSTSASDQTPIARSNSAFENGNLTRKLSTASSLGSMEESYYLQASLDTSDSLSEQRNFGEATMNPYYMKSMTPNAFESALRQKEGELASYMSRLASMESVRDSLAEELVKMTSQCEKLRAESALLPGVQAELDGLRRRHSAALELMGERDEELEELRADIVDLKEMYREQVNLLVNKIQISSTSSGNA >Potri.007G045300.1.v4.1 pep chromosome:Pop_tri_v4:7:3987074:3997908:1 gene:Potri.007G045300.v4.1 transcript:Potri.007G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045300.v4.1 MADEQPAVLSGKRRRGTEATARSEALERLKALRRGGRRSENGGGYAIKMEDPIYDSVPEDEYNSLVARRREEAQGFIVDDDGLGYGDEGEEEDWSQAGLPPSSDESDGEGLNKSRSRKKKSEKKEKEKEKEVKKGNASLTAAAAAIMGKTKISAMFTSNVFKNRDSVGKVKSFDCDNIVDDVIAEFAPDEADRERRRRGQLIVKNATPIKSENRFFDNGNDVNFMVKADLDRDFINYKSGVDEKKEGIVEVKEKGEDKLSNLVKEEVVSVLEVKADEAVVKKDGGRTLNAKISDEERDPALSATAGWKEVMNGKNGGEAVGGAGVVEEVKSGANCEEQSEFELDGDGSLPFYILDAHEEIFGANRGTIYLFGKVKAGNTYHSCCVVVKNMHRCVYAIPNSSIFRTDEMSMLEKEVEESRISSTDFHKKLQDMAYELKNEVASQLLSLNVSSFSMAPVKRRYAFERSDIPAGENYALKINYPFKEPPLPADLKGETFCALLGTHCSALELFLVKRKVKGPSWLSVSKFATCPASQKVSWCKFEIIVESPKEIQVSSSSNSKLEIPPVVVAAINLKTVINEKQNVNEIVSASVICCHKAKIDTPMLASEWKKPGMLSHFTVVRKLDGGIFPMGFSKEVTDRNTMAGSNVLAIESSERALLNRLMIALHKLDSDFLVGHNISGFDLDVLLHRTQACRVPSSTWSKIGRLKRSVMPKLTKGNAIFGSGASPGIMSCIAGRLLCDTYLASRDLLKEVSYSLTQLAKTRLNKDRKEIAPHDIPTMFQTSKSLIELVEYGETDAWLSMELMFHLSILPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKMSSRVKEAKMTKRRINNGIEDRNADELDTDAANFENDNQQSDHGKRKKGPAYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSMDGLVPRLPSSKTTGVLPELLKNLVERRRMVKSWMKNASGLKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDIPKAKAIAGKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLAQILSGGSCEDVIESIHNSLMKVQEDMRSGQVALEKYVITKTLTKPPEAYPDAKNQPHALVALRLKQSGYTAGCSAGDTVPYIICCEQGAGASAVSLTGIAQRARHPDELKCDDGKWIIDIEYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFRSKSSESVPSSSLLFAADDEERYQSCEPLILSCPSCSGTFHCPPVFSSICTSILEKSKNPQIEESISNFWHRLCCPKCPEEGDLGRISPATMANQVKRQAEGFVSTYYKGVMMCDDETCKHTTRSLNLRLVGDSERGTVCPSYPRCNGRLVRKYTEADLYKQLSYFCHLLDTARCIEKLQSDAGTRIQVEKELMKIRPMVDMALSTVKKMRDRCAYGWVQLNGLAVTV >Potri.007G045300.3.v4.1 pep chromosome:Pop_tri_v4:7:3991756:3997845:1 gene:Potri.007G045300.v4.1 transcript:Potri.007G045300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045300.v4.1 MPKLTKGNAIFGSGASPGIMSCIAGRLLCDTYLASRDLLKEVSYSLTQLAKTRLNKDRKEIAPHDIPTMFQTSKSLIELVEYGETDAWLSMELMFHLSILPLTRQLTNISGNLWGKTLQGARAQRVEYLLLHAFHAKKYIVPDKMSSRVKEAKMTKRRINNGIEDRNADELDTDAANFENDNQQSDHGKRKKGPAYAGGLVLEPKKGLYDKYVLLLDFNSLYPSIIQEYNICFTTVERSMDGLVPRLPSSKTTGVLPELLKNLVERRRMVKSWMKNASGLKVQQLDIQQQALKLTANSMYGCLGFSNSRFYAKPLAELITLQGREILQSTVDLVQNNLNLEVIYGDTDSIMIYSGLDDIPKAKAIAGKVIQEVNKKYRCLEIDLDGLYKRMLLLKKKKYAAVKVQFKDGTPYEVIERKGLDMVRRDWSLLSKELGDFCLAQILSGGSCEDVIESIHNSLMKVQEDMRSGQVALEKYVITKTLTKPPEAYPDAKNQPHALVALRLKQSGYTAGCSAGDTVPYIICCEQGAGASAVSLTGIAQRARHPDELKCDDGKWIIDIEYYLSQQIHPVVSRLCASIQGTSPERLADCLGLDSSKFRSKSSESVPSSSLLFAADDEERYQSCEPLILSCPSCSGTFHCPPVFSSICTSILEKSKNPQIEESISNFWHRLCCPKCPEEGDLGRISPATMANQVKRQAEGFVSTYYKGVMMCDDETCKHTTRSLNLRLVGDSERGTVCPSYPRCNGRLVRKYTEADLYKQLSYFCHLLDTARCIEKLQSDAGTRIQVEKELMKIRPMVDMALSTVKKMRDRCAYGWVQLNGLAVTV >Potri.017G143300.2.v4.1 pep chromosome:Pop_tri_v4:17:14362111:14362269:-1 gene:Potri.017G143300.v4.1 transcript:Potri.017G143300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143300.v4.1 MGKCEGCGKLGRMVSMDDSVDAYHFSLLLSPVVSVWDCIVRKMRYSFRPEWV >Potri.015G066500.1.v4.1 pep chromosome:Pop_tri_v4:15:9220287:9231802:1 gene:Potri.015G066500.v4.1 transcript:Potri.015G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066500.v4.1 MGNRKRPPNSLTKHPPSPSPAAASEEPDHPNSVTPDSDGSTIKQECGRALNALRRGNHTKALRIMKDSCAKHGGDALIHRVHGTVCVKVSSIIDDPNSKQRHIKNAIEAARRAAELSPNSIEFAHFYANLLYEAASDGKEYEEVMKECDRALKIENPIDPAKESLQEESQQKIATAEGRIAHVQGELKNLQHKSNIASISTWMKNLGTGEEIRLIPIRRATEDPMEVRLVQTRRPNEIKKATKTQEERRKEIEVRVAAARLLQQQKSEMGLGQSEGERSDQGVAVTPGSDRRGERRKCGSNARKNGTNTERKDWVRSYWNSMTLEMKRELLKIKVSDLKGYFWSSKDGLASDVLNELLAYGLENKSWRFWVCCRCNEKFVDADSHLHHVVQEHMGSLMPKMQEVLPQSADNEWIEMILNSSWKPLDISSAVKMPWNQGKCHNGELGEDFCSEHHNEDSDDFFKDARDSSPEKENLRDGYNSCPVSSSNSDKVYNIEGKEFDGNQSSIAYTIDSWSISEDSERAKLLEKIHDVFQALIGHKYLAASHLNKVIQLTMDELQNLASGSRLLNRGVGQTPNCICFLGASQLKKILKFLQEISHYCGLGRSPEKSIVVDGSNSGAKGPEIKEEIVLNGDEPCLCLDERLLSLEYAPSTCPDNDATTATSTIAAYGNGVQPDADALLSWIFAGLSSGEQLQSWIRTKEEKMHQGMEILQTLEKEFYHLQSLCERKCEHLGYEQALQAVEDLCLEEGKKRETDMLVEHRSYDSVLRQRREQLVENEHDALFISSRFELDAILNVLKEADTLNANQFGYEDTYGGITSQFCDLESGEDGNWRTKDHMHQVETCIEIAIQRQKEHLSIELSKIDAQIMRNVSGMQQLELKLESVSALDYRSILLPLVKSYMRAHLEDLAEKDATEKSDAAREAFLAELALDSKKGTQGRSDNSRNTLEKGKDKRKNKEYKKTKDSKVVAASEQQLLQDATNGRGSFPDASDGNYPDSQSHLSVSDDDLKQQEEEFRWKIEIEEEERMLEESLEYQRRIENEAKQKHLAEQQHKKSNRTFPEKLSGGLHDYCFDPAAADSREPLEQLTQKRGLPNNLEGIPMTTASELSTGGSVEGGPSDRRPGRRSRRQKSSSRSSDGKNQPMLSETENTEIGSITSNLGDSATKTLRQLKVEEEDEERFQADLEKAMRQSLDTFQANQKIPMMSSLKQTISSELGNSGTSPYEVATVNVDGTDVFGTGLKNDIGDYNCFLNVIIQSLWHLRRFRDEFLSRSRSEHVHVGDPCAVCALYDILTAMSIVSMDTRREAVAPTSLRIALSNLYPNSNFFQEGQMNDASEVLAVIFDCLHRAFTSGLHGSDSEAVEHSGMESWECTKKNACIVHSLFGMDISEQMNCQSCGVESRHLKYSAFFHNINASALRTMKVMRAESSFDELLNLVEMNHQLACDTEAGGCGKPNYTHHILSTPPHVFTTVLGWQKTCESIDDITATLTALNTEIDISVFYRGLDPKNIRSLVSVVCYYGQHYHCFAYSQDLDQWIMYDDKTIKVIGSWTDVLAMCEKGHLQPQVLFFEAGN >Potri.015G066500.2.v4.1 pep chromosome:Pop_tri_v4:15:9220208:9231588:1 gene:Potri.015G066500.v4.1 transcript:Potri.015G066500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066500.v4.1 MGNRKRPPNSLTKHPPSPSPAAASEEPDHPNSVTPDSDGSTIKQECGRALNALRRGNHTKALRIMKDSCAKHGGDALIHRVHGTVCVKVSSIIDDPNSKQRHIKNAIEAARRAAELSPNSIEFAHFYANLLYEAASDGKEYEEVMKECDRALKIENPIDPAKESLQEESQQKIATAEGRIAHVQGELKNLQHKSNIASISTWMKNLGTGEEIRLIPIRRATEDPMEVRLVQTRRPNEIKKATKTQEERRKEIEVRVAAARLLQQQKSEMGLGQSEGERSDQGVAVTPGSDRRGERRKCGSNARKNGTNTERKDWVRSYWNSMTLEMKRELLKIKVSDLKGYFWSSKDGLASDVLNELLAYGLENKSWRFWVCCRCNEKFVDADSHLHHVVQEHMGSLMPKMQEVLPQSADNEWIEMILNSSWKPLDISSAVKMPWNQGKCHNGELGEDFCSEHHNEDSDDFFKDARDSSPEKENLRDGYNSCPVSSSNSDKVYNIEGKEFDGNQSSIAYTIDSWSISEDSERAKLLEKIHDVFQALIGHKYLAASHLNKVIQLTMDELQNLASGSRLLNRGVGQTPNCICFLGASQLKKILKFLQEISHYCGLGRSPEKSIVVDGSNSGAKGPEIKEEIVLNGDEPCLCLDERLLSLEYAPSTCPDNDATTATSTIAAYGNGVQPDADALLSWIFAGLSSGEQLQSWIRTKEEKMHQGMEILQTLEKEFYHLQSLCERKCEHLGYEQALQAVEDLCLEEGKKRETDMLVEHRSYDSVLRQRREQLVENEHDALFISSRFELDAILNVLKEADTLNANQFGYEDTYGGITSQFCDLESGEDGNWRTKDHMHQVETCIEIAIQRQKEHLSIELSKIDAQIMRNVSGMQQLELKLESVSALDYRSILLPLVKSYMRAHLEDLAEKDATEKSDAAREAFLAELALDSKKGTQGRSDNSRNTLEKGKDKRKNKEYKKTKDSKVVAASEQQLLQDATNGRGSFPDASDGNYPDSQSHLSVSDDDLKQQEEEFRWKIEIEEEERMLEESLEYQRRIENEAKQKHLAEQQHKKSNRTFPEKLSGGLHDYCFDPAAADSREPLLTQKRGLPNNLEGIPMTTASELSTGGSVEGGPSDRRPGRRSRRQKSSSRSSDGKNQPMLSETENTEIGSITSNLGDSATKTLRQLKVEEEDEERFQADLEKAMRQSLDTFQANQKIPMMSSLKQTISSELGNSGTSPYEVATVNVDGTDVFGTGLKNDIGDYNCFLNVIIQSLWHLRRFRDEFLSRSRSEHVHVGDPCAVCALYDILTAMSIVSMDTRREAVAPTSLRIALSNLYPNSNFFQEGQMNDASEVLAVIFDCLHRAFTSGLHGSDSEAVEHSGMESWECTKKNACIVHSLFGMDISEQMNCQSCGVESRHLKYSAFFHNINASALRTMKVMRAESSFDELLNLVEMNHQLACDTEAGGCGKPNYTHHILSTPPHVFTTVLGWQKTCESIDDITATLTALNTEIDISVFYRGLDPKNIRSLVSVVCYYGQHYHCFAYSQDLDQWIMYDDKTIKVIGSWTDVLAMCEKGHLQPQVLFFEAGN >Potri.002G101400.1.v4.1 pep chromosome:Pop_tri_v4:2:7412934:7415833:-1 gene:Potri.002G101400.v4.1 transcript:Potri.002G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101400.v4.1 MDLLQEIDDYIKETINESLGLPVSARTLQLKLRVYEDAYFRLRDQHLLLLGKFRQKDILIDRAKAEANMNAAAIKKFVEENQRLAAECANLVSQCNKWERECSLYDNDREALMEFGNEADERAREAEERAKEAEIRVRELEEESGKALEELQFYKHKCHTRRDDSSAESTDMEENSLESILATMVGKDEVESGQAYLEANSGYESCQNLLKMWNSLRPSTRKVLSLAAKARTLQQDKEHLRINLTRAEEEVKLLFEENNILDGENKRLLRREHREQNFDGSGGKHSSSASAKRSKRKSSSRSFAVESKIDSEDIDSLRQPLSPLRHNSPGCRLYKQ >Potri.010G231600.1.v4.1 pep chromosome:Pop_tri_v4:10:21354605:21354952:-1 gene:Potri.010G231600.v4.1 transcript:Potri.010G231600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231600.v4.1 MSTDLELLHDLPRIQVRPAIKIETLQSCSATDGNKAIIQQENSETDDDCQTPKSEEHKIPAVLSCPPAPRKAKRNISCKRKLTEIDFFEIVNGEEVDSFFQSSFELLTKRRCPCI >Potri.003G015200.1.v4.1 pep chromosome:Pop_tri_v4:3:1689291:1690438:-1 gene:Potri.003G015200.v4.1 transcript:Potri.003G015200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015200.v4.1 MATAAALSTSAMVSTSFAKQKPVTSLRALPAVGEALFGLKASRGGRAKAMAAHKVKLITPDGEEEFDCPTNVYILDHAEEAHGMDLPYSCRAGACSSCAGKVVQGTVDQSDGSFLDEDQIAEGWVLTCVAYPTSDVVIETHKEEEFSAF >Potri.004G210100.1.v4.1 pep chromosome:Pop_tri_v4:4:21657397:21661305:-1 gene:Potri.004G210100.v4.1 transcript:Potri.004G210100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210100.v4.1 MATTNKDVTIPSEEKTGSASAAENNSKDSSSKSSVTSSTSGEQGRAPPPQSSGLGGASAAAGFPPNPFDFSAMTGLLNDPSIKELAEQISKDPSFNQMAEQLQKTFQGAPAEDAIPNFDTQQYYSTMQQVMQNPQFMTMAERLGNALMQDPSMSQMLESFSNPSQKDQIEERMTRIREDPSLKPILEEIESGGPAAMMRYWNDKDVLQKLGEAMGLAVSEEAGTSVETSGHEEAEEAGNEDESVVHHCASVGDVEGLKNALASGADKDEEDSEGRTALHFSCGYGEVKCAQILLEAGATVDALDKNKNTALHYAAGYGRKECVALLLENGAAVTLQNMDGKTPIDVAKLNNQQEVLKLLEKDAFL >Potri.001G437600.1.v4.1 pep chromosome:Pop_tri_v4:1:46348275:46350659:-1 gene:Potri.001G437600.v4.1 transcript:Potri.001G437600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437600.v4.1 MIGYWRIACCFSFLLFLCPANSSFTPLSQTSSHPFLGQHCSSSEKTALLQLKRDLSAAKPESSIPFQPSSGSLLTSWKHNTDCCSWESVNCHEVTKHVIGLNLSGHNLSGLVNSIKFLNLHYLERLNLVNCNIGEIPSFVQKLGGLVELDLSINKIHGKVPKWIWLLESLVYLNLSNNFLDGFEAPPSAPFLSSLTSLDLTSNLIEGSIPTLPISISFLSLAKNKLTGEIPVSLCSLSNLTILDACYNYMSGLIPKCLEVLGDTLIVLNLRKNRFSGLMPWKFTKECSLKTLNLYANQLTGKIPMSLKHCKRLQVLDLGDNQINDTFPFWLGVLPDLRVLILQSNSLRGPIGEPLASNDFPMLQILDLSSNYFTGHLPLDYFAIWKSMRIKLNGSLMYMGSYYYREWMSITSKGQRMDDINILTIFNVLDLSNNLFEGEIPEVIGDLKLLEVLNLSTNNLIGEIPLSLSKLTLLESLDLSKNKLIGEIPMKLLSLTFLSVLNLSYNRLEGKIPIGNQFSTFANDSYEGNIGLCGFPLSKKCDDVEDHQSSGAQRESILSDPISPFSWKFALVGYGCGAPVGVAIGYILFWRTKRCTKWIEQSFKAKKRQKNEQNRRRRRKFK >Potri.001G182500.1.v4.1 pep chromosome:Pop_tri_v4:1:16112678:16113286:-1 gene:Potri.001G182500.v4.1 transcript:Potri.001G182500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182500.v4.1 MQLRESIHKTKKFFHNALRNLKSIFFGGYQKLTKPTSFKPFSRGSSNVKNYRIDQYYADFCKEWECDLEKAMKGKSNSFMGSKEPMRDEDVSDESEMKLANSPSRKNEGGKEEKSKKISQVQKAEEKSSKNMNESGCVLAQKMKELEIMDVTDVEHVLDVEEALHYYSRLKSPVYLDIVDKFFTNMYTEFSVPKASASTNSS >Potri.002G031200.1.v4.1 pep chromosome:Pop_tri_v4:2:2060678:2062247:1 gene:Potri.002G031200.v4.1 transcript:Potri.002G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031200.v4.1 MAFSKASFSFIVMIVLLSSTTSLAHPGLGWGGKGGPFGGGSSGLFPGFYQYSCPQANDIVMSVLRKAIAKDSRMPASLLRLHFHDCFVQGCDASVLLDDSAKIVSEKNSGPNKNSLRGFEVVDEIKAKLEEACPQTVSCADILALAARGSTVLSGGPNWELPLGRRDSKTASLSGSNNNIPAPNSTIQNLISLFKRQGLNDIDLVALSGGHTIGVARCVTFKQRLYNQNGNNQPDHTIEKNYFLDLKSVCPKSGGDNNISPLDLASPAKFDNTYFKLLLWGKGLLTSDEVLYTGKVGKTIQLVKRYAEDEGRFFEHFAKSMVKMGSISPLTGFNGEVRKNCRLVN >Potri.001G312650.1.v4.1 pep chromosome:Pop_tri_v4:1:32324993:32325303:-1 gene:Potri.001G312650.v4.1 transcript:Potri.001G312650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312650.v4.1 MGWNSTVESLSCGVPMVCWPFFAEQQTTCKFACTEWGIRRGIDNNTKRDEVENVVRELMDGEIGKEMKRKALKWKKKA >Potri.019G102700.1.v4.1 pep chromosome:Pop_tri_v4:19:13832321:13836623:-1 gene:Potri.019G102700.v4.1 transcript:Potri.019G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1P MIEQSLSKPSFSLSIPIPKAPKSKSSFFCSYSKIRCESVDYPSLTKIDAKHPQNSTTINNGSSSSASVDLKNNEKGPYPYPGGGKMGPYTGRDLNEKKPEWLRQRAPQGERFEEVKESISRLNLNTVCQEAQCPNIGECWNGGGDGIATATIMVLGDTCTRGCRFCAVKTSRTPPPPDPMEPLNTALAIASWGVDYIVITSVDRDDLSDGGSGHFAQTVRAMKELKPEIMVECLTSDFRGDLKAVDTLVHSGLDVFAHNVETVKRLQRIVRDPRAGYEQSLSVLKHAKVSKKGMITKTSIMLGLGETDDEVKEAMTDLRAIDVDILTFGQYLQPTPLHLTVKEYVSPEKFAYWKEYGESIGFRYVASGPLVRSSYRAGELFVKTMVKESAKEAAAIS >Potri.019G116200.1.v4.1 pep chromosome:Pop_tri_v4:19:14334190:14336290:-1 gene:Potri.019G116200.v4.1 transcript:Potri.019G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116200.v4.1 MSSLLQYPDGVDAPEVQIWNNAAFDNGESEDSLNLKSSWWTQSLESNGSKENLSPVCEKSSPVFVNSSNPTKPLQSNTNLVNSLGSSSLKIGVSKMVAKNEEIKNRDEKKIDMEIEEIEREIKRLSSRLEALRLEKVERNISKTIEKRGRIVAAKFMDQKQSVKIEEPLIPSSKSKINRRGVSLGPSEILSGSKSRLFCGKQDMNTPVSIQNRRKSCFWKLEEIDELKATKERGKSLSVSPRSRKNVSKIQFPKQAVTTVGSRRSVKKEDGIIASIQPKNLFKDGEKSVTNKKPLKPGRVVASRYSQIGTNQSNGNLSASEARKRSLPDNEKEDANKRRASRGNGACQRMDSGRVKKKWEIPIEVVVYKGDDEGESPPTVSTVADVLPKIRTVRCVAETPRDSGAAKRVADLVGKKPFFCIEEAEAGDSVCQALSFAGEDGEE >Potri.017G070800.3.v4.1 pep chromosome:Pop_tri_v4:17:7660552:7663455:-1 gene:Potri.017G070800.v4.1 transcript:Potri.017G070800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070800.v4.1 MQTLSVWPLSGGSCAVPHLEFEEDSSCFLSTRRGIKRWGLVDNVFQGASSGFPMVSGDLRYDRSGTSCGSLKFGVESRFLSNHSKIKYVCFRETKEGSFGSSLALASALEQQKIGNEFHRVESSLDDRSLVKHERDKVDYDFDRIDDNSDEEQKCEVDVGSEGEAGEERDEKIDVPALAQSLYFAKTVDDIEEVLKDKGELPVQVYLSMIKGFGWDKKMEPAIALVDWLKIKKETDGTIVPNLFIYNSLLSAVKQSEQYEETEKILERMTQEGVAPNVVTYNILMVIYVKQGQAKKALDVLEEMRRNGFTPSAASYSSALLAYRKMEDGDGALKFFVEIKDKYMKGEIGKDADEDWEREYVKLENFTIRVCYQVMRRWLVRLENLNTNVLKLLTDMDKAELQPGRSDYERLVWACTREEHYVVAKELYIRIRERCSDISLSVCNHVIWLMGKAKKWWAALEVYEDLLDKGPKPNNLSYELIVSYFNVLLTAAKKRGIWRWGVRLLNKMEEKGLKPGSKEWNAVLVACSKASETAAAVQIFRRMVEQGEKPTVISYGALLSALEKGRLYDEAVRVWEHMLKVGVKPNVYAYTIMASVFTRQGNFRLVDAIINEMVSTGIEPTVVTYNAIISGCARNNLSSAAYEWFHRMKVQNISPNEITYDMLIEALAKTGKPRLAYELYLRAQNEDLQLSPKAYDAVMHSSEAYGATIDTSVLGPRPPDKKKKVQIRKTLTEFCNLADVPRRSKPFNKKEIYASQAEGKQ >Potri.017G070800.1.v4.1 pep chromosome:Pop_tri_v4:17:7660552:7663511:-1 gene:Potri.017G070800.v4.1 transcript:Potri.017G070800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070800.v4.1 MQTLSVWPLSGGSCAVPHLEFEEDSSCFLSTRRGIKRWGLVDNVFQGASSGFPMVSGDLRYDRSGTSCGSLKFGVESRFLSNHSKIKYVCFRETKEGSFGSSLALASALEQQKIGNEFHRVESSLDDRSLVKHERDKVDYDFDRIDDNSDEEQKCEVDVGSEGEAGEERDEKIDVPALAQSLYFAKTVDDIEEVLKDKGELPVQVYLSMIKGFGWDKKMEPAIALVDWLKIKKETDGTIVPNLFIYNSLLSAVKQSEQYEETEKILERMTQEGVAPNVVTYNILMVIYVKQGQAKKALDVLEEMRRNGFTPSAASYSSALLAYRKMEDGDGALKFFVEIKDKYMKGEIGKDADEDWEREYVKLENFTIRVCYQVMRRWLVRLENLNTNVLKLLTDMDKAELQPGRSDYERLVWACTREEHYVVAKELYIRIRERCSDISLSVCNHVIWLMGKAKKWWAALEVYEDLLDKGPKPNNLSYELIVSYFNVLLTAAKKRGIWRWGVRLLNKMEEKGLKPGSKEWNAVLVACSKASETAAAVQIFRRMVEQGEKPTVISYGALLSALEKGRLYDEAVRVWEHMLKVGVKPNVYAYTIMASVFTRQGNFRLVDAIINEMVSTGIEPTVVTYNAIISGCARNNLSSAAYEWFHRMKVQNISPNEITYDMLIEALAKTGKPRLAYELYLRAQNEDLQLSPKAYDAVMHSSEAYGATIDTSVLGPRPPDKKKKVQIRKTLTEFCNLADVPRRSKPFNKKEIYASQAEGKQ >Potri.017G070800.4.v4.1 pep chromosome:Pop_tri_v4:17:7660728:7663464:-1 gene:Potri.017G070800.v4.1 transcript:Potri.017G070800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070800.v4.1 MQTLSVWPLSGGSCAVPHLEFEEDSSCFLSTRRGIKRWGLVDNVFQGASSGFPMVSGDLRYDRSGTSCGSLKFGVESRFLSNHSKIKYVCFRETKEGSFGSSLALASALEQQKIGNEFHRVESSLDDRSLVKHERDKVDYDFDRIDDNSDEEQKCEVDVGSEGEAGEERDEKIDVPALAQSLYFAKTVDDIEEVLKDKGELPVQVYLSMIKGFGWDKKMEPAIALVDWLKIKKETDGTIVPNLFIYNSLLSAVKQSEQYEETEKILERMTQEGVAPNVVTYNILMVIYVKQGQAKKALDVLEEMRRNGFTPSAASYSSALLAYRKMEDGDGALKFFVEIKDKYMKGEIGKDADEDWEREYVKLENFTIRVCYQVMRRWLVRLENLNTNVLKLLTDMDKAELQPGRSDYERLVWACTREEHYVVAKELYIRIRERCSDISLSVCNHVIWLMGKAKKWWAALEVYEDLLDKGPKPNNLSYELIVSYFNVLLTAAKKRGIWRWGVRLLNKMEEKGLKPGSKEWNAVLVACSKASETAAAVQIFRRMVEQGEKPTVISYGALLSALEKGRLYDEAVRVWEHMLKVGVKPNVYAYTIMASVFTRQGNFRLVDAIINEMVSTGIEPTVVTYNAIISGCARNNLSSAAYEWFHRMKVQNISPNEITYDMLIEALAKTGKPRLAYELYLRAQNEDLQLSPKAYDAVMHSSEAYGATIDTSVLGPRPPDKKKKVQIRKTLTEFCNLADVPRRSKPFNKKEIYASQAEGKQ >Potri.018G098100.1.v4.1 pep chromosome:Pop_tri_v4:18:11880132:11880807:-1 gene:Potri.018G098100.v4.1 transcript:Potri.018G098100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098100.v4.1 MPPPHHHHDHHRTHGGAPPKPNQEFLSLILKAIIMTAITIFFFLFLGVAAILLLFATSALHRHSTTSSNSPKALPLKELKKLPRFRFSTKTRPETGADQSSCVVCLEEIKQGQWCRNLVGCGHVFHRKCVDAWLVKVSACPICRTRVELDQGVKDRPLWDFVWRNELRVW >Potri.008G155500.1.v4.1 pep chromosome:Pop_tri_v4:8:10622570:10624989:-1 gene:Potri.008G155500.v4.1 transcript:Potri.008G155500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155500.v4.1 MECVFGLVGNDFVIVVADTSAVNSILVHKTNEDKIMKLDSHKLIAASGESGDRVQFTEYIQKNVALYHFRNGIPLTTAAAANFTRGELATALRKNPYMVNILLAGFDRETGPSLYYIDYIATLHKVDKGAFGYGSFFCLSMMDRHYHSGMSVEEAVELVDKCIMEIRSRLVVAPPNFVIKIVDRDGAREYAWRESVKDTPTAQSEGLAV >Potri.018G148564.1.v4.1 pep chromosome:Pop_tri_v4:18:15992352:15995323:1 gene:Potri.018G148564.v4.1 transcript:Potri.018G148564.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148564.v4.1 MAAIQLVLVYFQVMLLLWSFDDPSLIAEAQAMENPEICPSACGNVSIPYPFGMREGCYCDESLEIHCNSSNIPLLSINGTDLVVTDISVGDSTITVNFPIVFANCDGKERNTVVDLGGSPFVFSSDLNNFIASGCDNLALLIQNQSAVGGCMSICDHERSNNYLLSSCSGINCCQTSIPSYLKVYNVTLEGVNDGKGPGKKQPAKKCRHAYLVDGNWMDNRVYGWSGSIMTSYDIRDMDHVPVVLDWGIELRVYESLVNIGLFSNTSNTYNCQVLNPPPDSTSQMATVQCFCKAGFAGNPYLGHCEEGRDYMEHGGHVRAKMVVIGIGVGFGALFLLIGLWQLYKVFKRRRNERLRERYFKRNGGLLLQEQLSSGEVHVEKVKLFASKELDKATDHYNVNRMLGQGGQGTVYKGMLADGKIIAVKKSKILDEGNLRQFINEVVILSQINHRNVVKLLGCCLETEVPLLVYEFIPNGTLSQLLHSPSDELPFTWEMRLRIATEVAGALSYLHSAASIPIYHRDVKSTNILLDDKYRAKVADFGTSKSVTIDQTHVTTLVQGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLTGQKAVSFCRSEDEARSLVTYFLMSIEDNRLSGILDPQVKVQGRKEDIMMVAVLAKNCLSMKGKERPAMKEVTMVLEGIRNSHECQKSAKDFIIPQEIDYDANDFMEVPWHLVSVTADSD >Potri.010G210400.1.v4.1 pep chromosome:Pop_tri_v4:10:19942091:19943406:-1 gene:Potri.010G210400.v4.1 transcript:Potri.010G210400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210400.v4.1 MIGCISSLYGSLEKLDESYLQHNQNKGSLLKPTITTQVTNPNFLLPDTKNPENRKLYYCSSHPGYVSDIHNSVCSHCRSQGYGNPCYLSEEVKFADMNDSTSTDTPTSDQGGYVKGLVTYMVTGDLSVSPMSMVSGVGMLNKFNIKDFGVLEEKVVEFGINEGLELLKASLLSKDALSAVFLPKLN >Potri.016G049000.1.v4.1 pep chromosome:Pop_tri_v4:16:3152917:3159948:-1 gene:Potri.016G049000.v4.1 transcript:Potri.016G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049000.v4.1 MTTETTSTTDPSPDPAPTPNPNPNSSSVIHPRREPFEHGLLPIPKLIFPDTTQILTQLKQKLASHNRVNSSLLADSLQIPADHARLILDTLASVLNSESDPLVKAPPDEVDSAGADLRDLILFLYIQSYKKLLPRTHKDAAAVADVWPSTSAFDGYLSALSPLQLVRSNSRRFMPSQADEEAHQLSYLQKHMANILSLLAESVEGEGEESLVLSMEGFDHLGFLIQFGDKGSEVVTLSQAAPFFANSDPDMPAVPVPATQVLDWISQNIASALEHITERISAKENGPANSSDPDVAMADACTSSIKTSPSARGSCFIEGISKSSFVKQALDLKGSSSVKVLNCHDSILYILAPLRYATIYGCSDSTIVLGAVGKAVRIEHCERVHVITAAKRVCIANCRECVFFLGVNQRPLMVGDNHKLQVAPYNTFYSELEEHMADVGIDANINRWDETLALGVVDPHDSLSHPAGVSDVQAESAARLDPDQFTNFLIPNWFGGESPGSTKDNPFQLPEAYMASQQRNQKNLGEIKQLLREVPLEENQKRELSSALHLLFKDWLYASGNIRQLYCLQGD >Potri.014G024950.1.v4.1 pep chromosome:Pop_tri_v4:14:1512717:1514993:1 gene:Potri.014G024950.v4.1 transcript:Potri.014G024950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024950.v4.1 MSGGGIARGRLAEERKSWRKNHPHGFVAKPDNAQDGSLDLMVWKCIIPGKPGTDWEGGFFPLSLHFSEDYPSKPPKCKFPQGFFHPNVYPSGTVCLSILNEDYGWRPAITVKQILVGIQDLLDQPNPSDPAQTDGYQLFVQDPTEYRRRVRQQAKQYPPAL >Potri.001G333600.1.v4.1 pep chromosome:Pop_tri_v4:1:34204267:34210026:1 gene:Potri.001G333600.v4.1 transcript:Potri.001G333600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333600.v4.1 MTVFSGKQVVPVDYESEVSQRLLEASLSGDLKSALECIADPFIDVNYIGAVCLKSRKSEVVLNDESASEVSVDYQELKTDVTALFLAVHAGNVALVKKLLSAGADVNQKLFRGFAITAAVREGHREILEILLKAGASQPACEEALLEAGFHGRASLAELLMGSDLIRPRVAVHVLVTACCRGFADVVGTLLECGVDVDETDRMMLLSSKPSLHANVDCNAIVAAVVSRQVPVVHLLLKAGAKTDFKVRLGAWSWDATTGEEFRVGAGLAEPYAITWCAVEYFEITGTILRMLLQHLSPDTPHHGRTLLHHAILCGNAAAVNVLLSSGANVEASVKTQKTEFRPVHMAARLGSSKTLQCLIDSGCDINSRTDSGDTALMICAKYKQEECLRILAMAGADFGLVNTAGQSATSFAGSNQWSLGFQQIIFEVIRAGKIPKSSTASVFSSLIFVAQAGDIEALKALIKWGEVDIDYQDDNGFSAVMFAALNGHVEVFRLLVYAGADVKLCNKAGETAITLSELNENHDLFEKVMLEFALQMGNRNAGGFYALHCAARRGDVDAVKLLISRGYDVNVPDGDGYTPLMLAAREGHGSMCELLISHGAQCEIKNARGETALSLARRYVGIKNEAEQVILDELACKLVLGGSQVMKHTKRGSGVPHGKEIKMIGEAGVLRWGKSSRRNVICREAEAGPSPTFRRNRRSRGDADVPGLFRVLTTKNKEVHFVCDGGLEMAELWVRGIQLVSRKAICG >Potri.002G093800.1.v4.1 pep chromosome:Pop_tri_v4:2:6818988:6822407:1 gene:Potri.002G093800.v4.1 transcript:Potri.002G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093800.v4.1 MVLLFPWKPLLSLLLFLSLFFYEHWISIPSCNIVPNTDLNQQEHDVVEEENDNDEVLKVMLVANLLLLGSDTSFFNLYFRDYYMSKVFKKSFYSLKPDMLLVIGDVSARGSTLTRGKWVSVLHQFHGMLGPFIELPFHVVLGDMDVGGCSGLDSNSVYWIARSFPGLDSSGCGAFDIDNVSFVSLNAVALLCGNNKLRFSVEKAVEMERIGSWMDSEKEMGDCGEFTKTSDSFGRRKDLVSSGLGPVLLLHFPLHRAENGGCKEGNIVRKAPMPLRQGLNALESSRVYTGAPYELWHTIPPNATQYIFQALKPRIVFSAHTHEFCDHTHSDGTREITVPSMTWKARDDPGFVFATFRSGGNTVSVSYCSLARESHVLIAYTLILFLLITLWLVANKPYNMCLR >Potri.002G093800.5.v4.1 pep chromosome:Pop_tri_v4:2:6819049:6822404:1 gene:Potri.002G093800.v4.1 transcript:Potri.002G093800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093800.v4.1 MLLVIGDVSARGSTLTRGKWVSVLHQFHGMLGPFIELPFHVVLGDMDVGGCSGLDSNSVYWIARSFPGLDSSGCGAFDIDNVSFVSLNAVALLCGNNKLRFSVEKAVEMERIGSWMDSEKEMGDCGEFTKTSDSFGRRKDLVSSGLGPVLLLHFPLHRAENGGCKEGNIVRKAPMPLRQGLNALESSRVYTGAPYELWHTIPPNATQYIFQALKPRIVFSAHTHEFCDHTHSDGTREITVPSMTWKARDDPGFVFATFRSGGNTVSVSYCSLARESHVLIAYTLILFLLITLWLVANKPYNMCLR >Potri.016G015800.1.v4.1 pep chromosome:Pop_tri_v4:16:830572:831998:-1 gene:Potri.016G015800.v4.1 transcript:Potri.016G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015800.v4.1 MKKAQLVLVPSPGIGHLVSTIEFAKRLLDQDESFLITVLVIKAPFALDVDTFNQSLTTIDTRISYITLPQVSPPDDQDPFRSPENYFSTFLERHKPHVKDAILNHVMSKKSSVPVVGLVVDLFCSSMIDVAKELGIPSYVYISSSAGFLGLMLHLPTRKDQVGIEFKETDPDLIVPCFFNHVPARVMPSVLLNKAGGYICFENHARRFKDTKGFIVNTFTELESHAVSSFLGGDTPPVYTVGPLLNIMKWLDDQPEKSVVFLCFGSIGRFSDAQVKEIALGLEQSGHRFLCSIRKPPPEGQFAMPSDYMSFEEVLPDGFLERTKNIGMVCGWAPQIQVLAHRSVGGFVSHCGWNSILESLWYGVPAVTWPMYAEQQLNAFQMVNDLGLAIEMTLDYRMDSNELIVADKIAKSVKSLMEEGSTVRNKVKAVSEASRKTVVDGGSSFAAFGDLIEIMLS >Potri.006G113800.1.v4.1 pep chromosome:Pop_tri_v4:6:8822384:8823341:1 gene:Potri.006G113800.v4.1 transcript:Potri.006G113800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113800.v4.1 MSRIHPSDQSRCHGHSLLKDHNDSRRPLHDHDKHHPLLPPPSVLTVWKRSSMSFQGTDGFTVFDPHGTLVFRVDNYSRKNGYGLVLMDGVGNALLSLKPKMLSMQYQWNAYIGEDGCEKTRVFSMRSPSVLFHSAGHKHAAEIFMGAQLGRHHQNTPNFKIEGSFRSRDCKIVKASTGEVVAKIFRKRVNNTTILLSDDVFSLVVQPGFDSHLIMAFVIVLDRISSKPFSPVMCS >Potri.007G127300.1.v4.1 pep chromosome:Pop_tri_v4:7:14253112:14256770:1 gene:Potri.007G127300.v4.1 transcript:Potri.007G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127300.v4.1 MRTPSLFAQCLPGLLPQDRGNNSVSTVLERDVVLPTPAVEILPSKMVHPYKYAGENVEFQGLNVFKGRVSVADIIAFVGSETISSKTDGYLKSWDSSIDLVNVLKHEIRDGQLSFRGKRVLELGCSYGIPGIFSCLKGASTVHFQDLNAETIRCTTIPNVLANLEQARDRQSRQPESPLTPSRQTLAPSVHFYAGEWEELPTVLSVVRNDTFEVTTGMSLSFSEEDFMDGCSSLDGSIIGQETSSRRRSRKLSGSQAWERASETDHGEGGYDVILMTDIPYSISSLKKLYALIKKCLRPPYGVLYLATKRNYVGFNNGARQLRSLVDEEGIFGAHLVKEMTDRDVWKFFFK >Potri.001G448500.1.v4.1 pep chromosome:Pop_tri_v4:1:47500646:47502393:-1 gene:Potri.001G448500.v4.1 transcript:Potri.001G448500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448500.v4.1 MGNCIFGGLGVAEGVIKVITSNGGILEFTTPITTGSITNEFPGHAIFPSHDLFWRPLSLQEELHGGQSYYLLPLNNSKIGGQIVREGHVRSKSIPAAAATSNIVAPYRMSLDYQGMLKRSYTEVFSRHSSSSNNNKNNYNKSNDGFWKVKLVISPEQLVEILSEEASTEELIENVRAVAKCGNGFSSSASSVDFSDSWSLSSSRTATCKKDSLVDI >Potri.010G097100.5.v4.1 pep chromosome:Pop_tri_v4:10:12062701:12064901:-1 gene:Potri.010G097100.v4.1 transcript:Potri.010G097100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097100.v4.1 MGTATDAATLDKTAFVVLDMESLAQPSDRSSGSPKMTRALSRKWSYRAERWTGNEEEGIDEPAKKLLIKGSSQLDPLKQQLVTNKALGPSLTTPGGPNLADPVDGWNKRFNRLMAISPRKILFIFATMSSMGTLMLIYFTLAINRST >Potri.010G097100.4.v4.1 pep chromosome:Pop_tri_v4:10:12062701:12064901:-1 gene:Potri.010G097100.v4.1 transcript:Potri.010G097100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097100.v4.1 MGTATDAATLDKTAFVVLDMESLAQPSDRSSGSPKMTRALSRKWSYRAERWTGNEEEGIDEPAKKLLIKGSSQLDPLKQQLVTNKALGPSLTTPGGPNLADPVDGWNKRFNRLMAISPRKILFIFATMSSMGTLMLIYFTLAINRST >Potri.010G097100.3.v4.1 pep chromosome:Pop_tri_v4:10:12062701:12067419:-1 gene:Potri.010G097100.v4.1 transcript:Potri.010G097100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097100.v4.1 MEELPVQTDAATLDKTAFVVLDMESLAQPSDRSSGSPKMTRALSRKWSYRAERWTGNEEEGIDEPAKKLLIKGSSQLDPLKQQLVTNKALGPSLTTPGGPNLADPVDGWNKRFNRLMAISPRKILFIFATMSSMGTLMLIYFTLAINRST >Potri.008G189800.2.v4.1 pep chromosome:Pop_tri_v4:8:13318322:13322393:1 gene:Potri.008G189800.v4.1 transcript:Potri.008G189800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189800.v4.1 MANDSYKDAIAGLSKLLSERADLGSVAAASKIKQIAAELEAAGSKEFDPAERIRTGFLHFKTGKYDKDPKLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFMVRNIANMVPPYDQTKYSGAGSAIEYAVLHLKVENIVVIGHSCCGGIKGLMSFPDDGSSSTDFIENWVKICSAAKTKVAAKGEGLSFEEQCHSCEKEAVNVSLGNLLTYPFVREAVVNGAVSLKGAHYDFVKGTFELWDLDFAISPSIAI >Potri.008G189800.3.v4.1 pep chromosome:Pop_tri_v4:8:13318322:13322389:1 gene:Potri.008G189800.v4.1 transcript:Potri.008G189800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189800.v4.1 MANDSYKDAIAGLSKLLSERADLGSVAAASKIKQIAAELEAAGSKEFDPAERIRTGFLHFKTGKYDKDPKLYGALAKGQSPKFLVFACSDSRVCPSHILNFQPGEAFMVRNIANMVPPYDQTKYSGAGSAIEYAVLHLKVENIVVIGHSCCGGIKGLMSFPDDGSSSTDFIENWVKICSAAKTKVAAKGEGLSFEEQCHSCEKEAVNVSLGNLLTYPFVREAVVNGAVSLKGAHYDFVKGTFELWDLDFAISPSIAI >Potri.014G171700.3.v4.1 pep chromosome:Pop_tri_v4:14:12686495:12689493:-1 gene:Potri.014G171700.v4.1 transcript:Potri.014G171700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G171700.v4.1 MAGELWVGVLVGITSGLLGLIMNHFLPMFLKLGHNVPKGSFGWPLLGETLGFLKPHPSNTLGAFLQDHCSRYGNVFKSHLFLSPTVVSCDQELNYFILQNEGKLFQCSYPKPIHGILGNVSMLVAVGDTHKRLRNVAISLVSITKSKPEFLNDIERTAIQILSSWKDKQQVVFCEEARKFSFNVIVKQVLGLTSEEPETREILEDFLTFMRGLVSIPLYIPGTPYARAVQARSRISSRVKAIIEERRSRNSRSLRNDFLEILLSVDTLSEDEKVSFVLDSLLGGYETTSLLMAMVVHLLGQSPTALEQLKLEHEQITSLKEKDECLNWEDYKTMEFTQNVISEALRYGNIVKFVHRKALKDVKFRDYLIPSGWKVLPVFTAVHLDSSVHANALQFHPWRWETQDQTSKRFTPFGGGSRCCPGSELAKIEVAFFLHHLVQNFRWTAGDADQPMAYPYVEFGKGLLINLDRCST >Potri.001G337900.1.v4.1 pep chromosome:Pop_tri_v4:1:34666750:34668774:1 gene:Potri.001G337900.v4.1 transcript:Potri.001G337900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337900.v4.1 MSSLQPTLSSCSSLIFDELQWVNTIRRTIEDEVEDDSNIPICIFNVPKALMSSDPDSYTPQQLSLGPYHYSRLELHEMDRYKLSAAKRSQKLLQSLKFRDLVEQLMKLESKIRACYHKYLNFNGETLAWMMAIDASFLLEFLQVYDLRGPKMLSEVSSGMPHFLEYSYRKSSCNAILRDIVMLENQIPLFTLRKVLEFRFLSLESADDMLYSMLMGSCKELSPFKTMVRLPVARVSEHAHLLDFLYHIIVPKVEESVNIPEEVKDHTKATQENEEPSVGSTYMKQLLIEIWNLFSSLNIDPAGFLKKLLGSAPVAVILKLPWSILSNVLGFGSAKQPDAFSESQSVCSSIDQPPLVEEITIPSVTQLSKCGVRFVPSKGSISTINFDKKTCTFYLPTVSLDVNSDVVLRNLVAYEASNASGPMVFTRYTELMNGIIDTGEDAKILRERGIILNHLKNDEEVANIWNGMSRSIRLTKVPFLDKVIEDVNKYHDGLFKVKVEKFMKQHVFSSWKLLTLLASILLLLITSLQAFCSVYDCARLFHIHY >Potri.010G034700.1.v4.1 pep chromosome:Pop_tri_v4:10:6291523:6295737:1 gene:Potri.010G034700.v4.1 transcript:Potri.010G034700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034700.v4.1 MAEDEKKGTSSYRLYCSIKCFQKPSSPEQKHGNLAETEQKKPGGWRAMPFILGNETFERLATFGLLANFMVYLMRVFHLEQVTAANVINIWSGVTNFAPLVGAFISDAYVGRFKTIAFASCAAFLGMVTVTSTAWVPYLHPQKCEPEGKQQSYGNCESPTSMQLGVLLLGLGFLSIGTGGIRPCSIPFGVDQFDPTTEEGIKGISSYYNWYYTTFTVVMLITLTAVVYVQDSVSWVLGFGIPTVLMLCSIILFFIGTRIYVHVKPEGSVFSSIAQVFVSAYKKRRLKLPGNCDGEQVDGIFYDPPIKDQLTILSKLPLTNQIRFLNKAAMIEKETDLKPDGSCAKQWRLCSVQQVEEVKCLIKIGPIWASSIVSLTSMVQQGTFTVSQALKMDRHLGEKFQIPASSIIVVSLITIGIWLPFYDRVLVPAIRKVTKHEGGITILQRIGIGNVFSVLSMVVAGLVERERRAAAISHPEAAPMSVFWLAPQLVVMGLCEAFVGTGQIEFYNKQFPDHMRSLGNSLFFCSFAGASYLSTMLGSIVHKVTGTRHHPDWLTNDLNAGNLDYFYFLLAGMGVVNWFYFLLCAHRYRYKVSTVLIPL >Potri.004G097400.1.v4.1 pep chromosome:Pop_tri_v4:4:8394991:8397187:-1 gene:Potri.004G097400.v4.1 transcript:Potri.004G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097400.v4.1 MATCLVLLRLLFSLLVIWGSAVQIHGVELGINYGQIANDLPSPTLAAVLLQSLNVHRVKLFDADLNVLIAFSNSNIELIIGLGNEDIQKMTVPTEAENWIQQNVQPHIPQTKITCIAVGNEVFSSNDAQLMFNLLPAMKMIHKTLVNLGLDKQVMITTPHSFNILENSYPPSCGTFREDLAEYIKPLLSFLSQIKSPFFINAYPFFAYKADPTQISLDYVLFQPNKGMKDPTTNLLYDNMLYAQVDAVYSAMGHTDIEVKISETGWPSKGDPDEVGSTPENARLYHSNLIKRIQEKQGTPAKPSVPIEVYVSALFNEDLKTGPTSERNYGLFYPDCSPVYNIGLQDHFPTNGVVYSSAVSNINALSVFSLLIFVMAYVILV >Potri.019G042300.1.v4.1 pep chromosome:Pop_tri_v4:19:5854588:5859211:-1 gene:Potri.019G042300.v4.1 transcript:Potri.019G042300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G042300.v4.1 MNSGGAAGVTSGGMGTGVMTMRSPFTVSQWQELEHQALIYKYMVAGLPVPPDLVLPIQRSFESISHRFFHHPAMGYCTFYGKKVDPEPGQCRRTDGKKWRCSKDAYPGSKYCERHMHRGRNRSRKAVESQTMTQSSSTVTSLTVTGSSSGTGSFQNLPLRTYGNPQGTGSGPNQSHYHMNCIPRGIPTKDCRYLQGLKTEGGEHSFLSEPSGCKRGLQKDSQLDNAWSLMLSRGSSFPTEKSTDDSTLKNDYPQHSFFSSDFTTGEPVKHEGQSLRPFFDEWPEDQDIWSGLKDNRSNSTSFSTTKLSMSIPIASSGFSTSSRSPQEN >Potri.017G129600.3.v4.1 pep chromosome:Pop_tri_v4:17:13278403:13279629:1 gene:Potri.017G129600.v4.1 transcript:Potri.017G129600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129600.v4.1 MVERDDMPLGCDVNVKASFFLYDQIRDRYLVIEDSLVERRYHNMMSEWSFTDIVSHDDLKEISNGYLVNDCIILGVEVFVLNNTHKGESLSFVKEPENSLFTWKIDNFSLYNTEYVSDVFDVKGIKWKLRLSSKEGSNKEENLFLYLSLDDSKTNPQSTYVEFTLRIMDQIKDNHIEKKGDGWFEDASDWMKSPESLSLEWSQEQIK >Potri.011G134600.1.v4.1 pep chromosome:Pop_tri_v4:11:16742764:16743553:-1 gene:Potri.011G134600.v4.1 transcript:Potri.011G134600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134600.v4.1 MAKLIIFAAILTAFLLLVDASIHSTTVIIDEENPSRSEEDCRDELIRAQDLKHCQEYIMQEASSRDGLDINRSEEERLDRCCDQLRQMRSTCRCYGLRKAVKSAPKQRGVGRMDFDEAASVASSLPGDCGIELDSCEFGSPYRM >Potri.017G102500.10.v4.1 pep chromosome:Pop_tri_v4:17:11360224:11366067:1 gene:Potri.017G102500.v4.1 transcript:Potri.017G102500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102500.v4.1 MGAVTSTMAAKFAFFPPSPPSYELEEEEEEEEAEDCGKKLRMVMGVSVRSNNNNNNTNRKKVDVLKLETKRGNQVVAVYFKNPAASLTVLYSHGNAADLGQMYDLFCELSLHLRVNLMGYDYSGYGQSTGKPTEQNTYADIEAAYRCLEEKYGVKEEDVILYGQSVGSGPALDLATRLPKLRAVVLHSPIASGLRVMYPVKRTYWFDIYKGTADDVVDWSHGKQLWECCKEKYEPLWVKGGNHCDLELFPQYIKHLKKFISAIEKSSHLRNVSGPIVDRTEDHRKSTDFREASISSIDQRERCRLSAEQNEKPRLSIDCREKSRCSTDRREKSRKSMDRPERDSNGSYQHEKARNSIDRFGGMIRSVGLCNIDCFKPTATAI >Potri.017G102500.4.v4.1 pep chromosome:Pop_tri_v4:17:11360224:11365127:1 gene:Potri.017G102500.v4.1 transcript:Potri.017G102500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102500.v4.1 MGAVTSTMAAKFAFFPPSPPSYELEEEEEEEEAEDCGKKLRMVMGVSVRSNNNNNNTNRKKVDVLKLETKRGNQVVAVYFKNPAASLTVLYSHGNAADLGQMYDLFCELSLHLRVNLMGYDYSGYGQSTGKPTEQNTYADIEAAYRCLEEKYGVKEEDVILYGQSVGSGPALDLATRLPKLRAVVLHSPIASGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLVIHGTADDVVDWSHGKQLWECCKEKYEPLWVKGGNHCDLELFPQYIKHLKKFISAIEKSSHLRNVSGPIVDRTEDHRKSTDFREASISSIDQRERCRLSAEQNEKPRLSIDCREKSRCSTDRREKSRKSMDRPERDSNGSYQHEKARNSIDR >Potri.017G102500.11.v4.1 pep chromosome:Pop_tri_v4:17:11360224:11366067:1 gene:Potri.017G102500.v4.1 transcript:Potri.017G102500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102500.v4.1 MGAVTSTMAAKFAFFPPSPPSYELEEEEEEEEAEDCGKKLRMVMGVSVRSNNNNNNTNRKKVDVLKLETKRGNQVVAVYFKNPAASLTVLYSHGNAADLGQMYDLFCELSLHLRVNLMGYDYSGYGQSTGKPTEQNTYADIEAAYRCLEEKYGVKEEDVILYGQSVGSGPALDLATRLPKLRAVVLHSPIASGLRVMYPVKRTYWFDIYKGTADDVVDWSHGKQLWECCKEKYEPLWVKGGNHCDLELFPQYIKHLKKFISAIEKSSHLRNVSGPIVDRTEDHRKSTDFREASISSIDQRERCRLSAEQNEKPRLSIDCREKSRCSTDRREKSRKSMDRPERDSNGSYQHEKARNSIDRFGGMIRSVGLCNIDCFKPTATAI >Potri.017G102500.12.v4.1 pep chromosome:Pop_tri_v4:17:11360224:11366067:1 gene:Potri.017G102500.v4.1 transcript:Potri.017G102500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102500.v4.1 MGAVTSTMAAKFAFFPPSPPSYELEEEEEEEEAEDCGKKLRMVMGVSVRSNNNNNNTNRKKVDVLKLETKRGNQVVAVYFKNPAASLTVLYSHGNAADLGQMYDLFCELSLHLRVNLMGYDYSGYGQSTGKPTEQNTYADIEAAYRCLEEKYGVKEEDVILYGQSVGSGPALDLATRLPKLRAVVLHSPIASGLRVMYPVKRTYWFDIYKGTADDVVDWSHGKQLWECCKEKYEPLWVKGGNHCDLELFPQYIKHLKKFISAIEKSSHLRNVSGPIVDRTEDHRKSTDFREASISSIDQRERCRLSAEQNEKPRLSIDCREKSRCSTDRREKSRKSMDRPERDSNGSYQHEKARNSIDRFGGMIRSVGLCNIDCFKPTATAI >Potri.017G102500.9.v4.1 pep chromosome:Pop_tri_v4:17:11360224:11366067:1 gene:Potri.017G102500.v4.1 transcript:Potri.017G102500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102500.v4.1 MGAVTSTMAAKFAFFPPSPPSYELEEEEEEEEAEDCGKKLRMVMGVSVRSNNNNNNTNRKKVDVLKLETKRGNQVVAVYFKNPAASLTVLYSHGNAADLGQMYDLFCELSLHLRVNLMGYDYSGYGQSTGKPTEQNTYADIEAAYRCLEEKYGVKEEDVILYGQSVGSGPALDLATRLPKLRAVVLHSPIASGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLVIHGTADDVVDWSHGKQLWECCKEKYEPLWVKGGNHCDLELFPQYIKHLKKFISAIEKSSHLRNVSGPIVDRTEDHRKSTDFREASISSIDQRERCRLSAEQNEKPRLSIDCREKSRCSTDRREKSRKSMDRPERDSNGSYQHEKARNSIDRFGGMIRSVGLCNIDCFKPTATAI >Potri.014G160100.5.v4.1 pep chromosome:Pop_tri_v4:14:11436713:11439591:-1 gene:Potri.014G160100.v4.1 transcript:Potri.014G160100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160100.v4.1 MANVLFISWFLPLAQFLTLVITIQAKIPAVIVFGDSSVDAGNNNFIPTLARSNFEPYGRDFTGGRPTGRFSNGRIATDFISQALGLRSAVPAYLDTAYNISDFAVGVTFASAATGYDNATSDVLSVIPLWKQLLFYKGYQMKLRAHLGEIQAKQIINEGIHMISIGTNDFLENYYAFPGGRRSTQYTISEYENFLAGIAENFVRELYGLGARKISLGGVPPMGCMPLERNTNLMGGRECVQSYNTVALEFNDKLSKLVKRLNKELPGINLVFSNPYFIFMQIIRRPSLYGFEVTSVACCATGMYEMGYACAQNSLLTCSDADKYVFWDSFHPTQKTNQIVANYVVKRVLYKFI >Potri.014G160100.1.v4.1 pep chromosome:Pop_tri_v4:14:11436650:11439656:-1 gene:Potri.014G160100.v4.1 transcript:Potri.014G160100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160100.v4.1 MANVLFISWFLPLAQFLTLVITIQAKIPAVIVFGDSSVDAGNNNFIPTLARSNFEPYGRDFTGGRPTGRFSNGRIATDFISQALGLRSAVPAYLDTAYNISDFAVGVTFASAATGYDNATSDVLSVIPLWKQLLFYKGYQMKLRAHLGEIQAKQIINEGIHMISIGTNDFLENYYAFPGGRRSTQYTISEYENFLAGIAENFVRELYGLGARKISLGGVPPMGCMPLERNTNLMGGRECVQSYNTVALEFNDKLSKLVKRLNKELPGINLVFSNPYFIFMQIIRRPSLYGFEVTSVACCATGMYEMGYACAQNSLLTCSDADKYVFWDSFHPTQKTNQIVANYVVKRVLYKFI >Potri.014G160100.4.v4.1 pep chromosome:Pop_tri_v4:14:11436685:11439591:-1 gene:Potri.014G160100.v4.1 transcript:Potri.014G160100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160100.v4.1 MANVLFISWFLPLAQFLTLVITIQAKIPAVIVFGDSSVDAGNNNFIPTLARSNFEPYGRDFTGGRPTGRFSNGRIATDFISQALGLRSAVPAYLDTAYNISDFAVGVTFASAATGYDNATSDVLSVIPLWKQLLFYKGYQMKLRAHLGEIQAKQIINEGIHMISIGTNDFLENYYAFPGGRRSTQYTISEYENFLAGIAENFVRELYGLGARKISLGGVPPMGCMPLERNTNLMGGRECVQSYNTVALEFNDKLSKLVKRLNKELPGINLVFSNPYFIFMQIIRRPSLYGFEVTSVACCATGMYEMGYACAQNSLLTCSDADKYVFWDSFHPTQKTNQIVANYVVKRVLYKFI >Potri.007G050200.2.v4.1 pep chromosome:Pop_tri_v4:7:4774752:4776845:-1 gene:Potri.007G050200.v4.1 transcript:Potri.007G050200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G050200.v4.1 MSSTPIFSTPPPPPPLPHANTTRSSTTLHNPPPEKIAILIDKSKSLNHLNQVHAFLYRHNLHDHPILNFKLQRSYSSLGHLNRSLALFNKTQNPNVFFYTSIIHAHTIHNLHHLALLFYVQMLSQNVFPNAFTFSSILKSCPIEPAKLLHGQAIKFGFDAELYVRTCLVDVYARGGDVVSARTLFDAMPEKSLVSLTAMITCYAKYGMIDEARVLFDGLEERDAICWNVMIDGYAQHGLPNEGLLLFRQMLNAKVRPNEVTVLAVLSACGQTGALETGRWVHSYIENNGIGINVRVGTSLIDMYSKCGSLEDARLVFERISNKDVVAWNSMVVGYAMHGFSQDALRLFKEMCMIGYQPTDITFIGVLNACSHAGLVSEGWKFFYSMKDEYGIEPKVEHYGCMVNLLGRAGYLEEAYELVKNMEIDQDPVLWGTLLGACRLHGNIALGEQIAEYLVSQNLANSGTYVLLSNIYAAAGNWEGVARVRTLMKESGFEKEPGCSSIEVNNKVHEFLAGDLRHPKSREIYEMLEEINGWLKTHGYTPQTDIVLHDLEDAQKERSLGVHSEKLALAFGLITTKPGTTIKIVKNLRVCADCHAVTKLISKITGRKVVMRDRNRFHHFVNGLCSCGDYW >Potri.017G101300.1.v4.1 pep chromosome:Pop_tri_v4:17:11268790:11272699:1 gene:Potri.017G101300.v4.1 transcript:Potri.017G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101300.v4.1 MLKMWKTEDSVEEWEMKVKLFGGGKVDKLKTSVVSRSSMKLWMIRAITTVLLWTCVVHLLALGEIWGPRLLKSWPSCFSNQDAELTSVPAKLVLPPKRIYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLVVPELDKSSFWNDPSEFQDIFDVDHFITSLRDEVRILKELPPRLKTRVKLGLFYSLPPVSWSNISYYTHQILPLLQKYKVVHLNKTDARLANNGLPIEIQKLRCRVNFNALKFTSQIEELGRRVVRILRERGPFLVLHLRYEMDMLAFSGCTHGCNDEETEQLTRMRYAYPWWKEKDISSEMKRKEGLCPLTPEETALVLSALGIDRNVQIYVAAGEIYGGKRRMEALASAFPNLVRKETLLGPSDLKFFQNHSSQMAALDYLVSLESDIFVPTYNGNMAKVVEGHRRFLGFKKTISLDRKLLVGLIDQYNKGSLSWDEFSSTVKEAHADRMGSPKARVVIPDKPKEEDYFYANPQECLQLLDEPLRSS >Potri.009G046900.1.v4.1 pep chromosome:Pop_tri_v4:9:5283762:5285495:-1 gene:Potri.009G046900.v4.1 transcript:Potri.009G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046900.v4.1 MKLLPSPSISSSSTSSFDPNMCTSKSATASCLTGLLRRILCSRSLPTHPSDQITETSSILCDGRHQELIKSNEKLETTAATPGVVARLMGLESFPETSSVDMRISANSISRSRSMNSVEFRGESDQMQGQHRRVNSTLSFREMPTFLEVENKEYFVLSFENIGSESKKVRSKERKCEVGSGELKEKRREKCKRKENRREKAVESEKRESEEKINKMVLKVLKESELSNRLLEDHKPAQEVGNGGKIEDSPAHMSLKGSEIVSLENKWLYHKEVSGIGAELRRRNKKTKGRAFKNEEAEFSSQDSSPVSVLDFDQFIVDPDVTKSEEDTKSGESNSRRKLSPQLENQNHKHLSQRSDGNLIFDNRNSNKTEEPCPGSRKKVCHNHDYLNMWDEVCKLTETQVVETNLNAYKNMCKFEEDFEEISADFGLQILDQLLKELVDQLA >Potri.013G049300.2.v4.1 pep chromosome:Pop_tri_v4:13:3533778:3542116:-1 gene:Potri.013G049300.v4.1 transcript:Potri.013G049300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049300.v4.1 MAIPPRLIASSSSSCTTTSTNNSSIHIEEGLASKFWIKFRRESVFSMYTPFIISLASGTLKIDSFRQYLSQDSHFLKSFAHAFELAEECADDDEAKLATSELRKGVLEELKMQNSFVQEWGTDPGKERTVNSATVKYTDFLLATASGKVEGVKGPGKLATPFERTKVAAYTLAAMTPCMRLYSFLGKELQALLDPEDDRHPYKKWIDSYSSESFQASALQTEDLLDKLSVSLTSEELDIIEKLYHQAMKLEIDFFLAQPLAQPTVAPLTKGHNPAEDRLVIFSDFDLTCTVVDSSAILAEIAIVTAPKSDVVQSEAQIARMSSADLRNTWDLLSGQYTEEYEQCIESIMPSKKVEFNYEALCKALEQLLDFERRVNSRVIESEVLKGLNLEDVKRAGERLILQDGCTSFFQKIVNNENLNTNVHVLSYCWCGDLIRSAFSSGGLDVNVHANELIFDESISTGEIVKKVESPLDKAQAFNDILKNYSNDRKNLTVYIGDSVGDLLCLLKADIGIVVGSSASLRKVGSQFGVSFVPLFPGLVRKQKESDGESSPNWKGLSGILYTVSSWAEIHAFILGW >Potri.001G287200.1.v4.1 pep chromosome:Pop_tri_v4:1:29969679:29971948:1 gene:Potri.001G287200.v4.1 transcript:Potri.001G287200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287200.v4.1 METSSVTALCELGMEDSSFTNQWFMNSLDDTSLLPFAAAFGENIHHSFSHQNFNLKTSMDSVRPTKQLRTDHLSNPQPAFSPNILSFVNSNHANQMGLMKPKEEAVCSKSINNLPSDMVVSQDSFGNQYYAFKASQGPKMISANGTRLSQSQDHIIAERKRREKLSQRFIALSAVVPGLKKMDKASVLGDAIKYLKQLQERVKTLEEQTKRKTMESVVIVKKSHVYVDEGGENSSSDVSKGPIHETLPELEARFCDKHVLIRIHCKKNKGVLEKTVAEVEKLHLSVINSSVLTFGTCALDVTIIAQMDIDFNMSVKDLVKTLRSAFQYFM >Potri.015G073800.2.v4.1 pep chromosome:Pop_tri_v4:15:9947595:9948440:-1 gene:Potri.015G073800.v4.1 transcript:Potri.015G073800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073800.v4.1 MAFKGAEPIPEETTSNSLLTNTRCCFCFPCFSSRRSSTVGLSFWERIQSSSQSHGYDPHQNQWWFKGIRALKKIREWSEIVAGPKWKTFIRRFNRTKSSGSGSGRRHGNFQYDPLSYSLNFDEGPGGQKGDFDELDDYGGFRDFSSRYASVSASGQPVAMDASESKDVVVMA >Potri.015G073800.3.v4.1 pep chromosome:Pop_tri_v4:15:9947426:9948455:-1 gene:Potri.015G073800.v4.1 transcript:Potri.015G073800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073800.v4.1 MAFKGAEPIPEETTSNSLLTNTRCCFCFPCFSSRRSSTVGLSFWERIQSSSQSHGYDPHQNQWWFKGIRALKKIREWSEIVAGPKWKTFIRRFNRTKSSGSGSGRRHGNFQYDPLSYSLNFDEGPGGQKGDFDELDDYGGFRDFSSRYASVSASGQPVAMDASESKDVVVMA >Potri.019G041500.1.v4.1 pep chromosome:Pop_tri_v4:19:5738606:5741025:1 gene:Potri.019G041500.v4.1 transcript:Potri.019G041500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041500.v4.1 MSKSRSSQIITCKAAVIWGSGEPLKVEEIQIEPPKSTEVRVRMLYASVCHTDILLAKRKGDPVPPFPRVLGHEGVGTVESFGDEVNGLEEGDYVIPTMLAECEACENCTSANTNLCLTYPLSRSGLMLDGTSRMSIKGQKLYHMFTCSTWSEYMVIDSNYVNKIDPSIPLPHASVLSCGFSTGFGAAWKEAQVKMGSTVAVLGLGAVGLGVTEGARMLGAAKIIGVDKNSMKKDKGLAFGMTDFINPDECSDKSISQMIKDLTGGMGVDYCFECVGAESLINQAIQATKEGKGKAIVIGGGINSVKIDYAPLLSGRTLKGSVFGGLKVKSDLPILMEKCKNKEFDLDELLTHEVTLQDIEKAFELLKQPDCVKVLIKI >Potri.005G175800.1.v4.1 pep chromosome:Pop_tri_v4:5:18141215:18143828:1 gene:Potri.005G175800.v4.1 transcript:Potri.005G175800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175800.v4.1 MDAQKSSQTKLTRTQSSLLRSSPTIRSSIHSLTSVAEEDVIKTQQQQHQKLEDGLDEQKKVKLHRSGSTPRRTGSTRFTPVLTLVSLIFFTLFSLSFFFFFYLRREEISTSENLLLALIFIAITLFFASKNKNLINQNLIVFKQLWEQSTKRFNFSTSRTKSKQVQWFIGDPNVSNNSKGKKLEKRIIREGVEFYSNGDFYEGEFHKGGCNGSGVYNFFVNGRYEGDWIDGRYDGYGIESWARGSRYRGQYRQGLRHGYGVYRFYTGDSYAGEWFNGQSHGVGVQTCADGSCYVGEFKCAVKHGLGVYHFRNGDRYAGEYFGDKMHGFGVYHFANGHCYEGSWHEGRKQGYGMYTFRSGDTRCGEWNGGTLKNPLPFLSDAVLRAVQAARKTEENAIHLRRVDEQVNKAVHAANRAATAARVAAVKAVQNRIDGKFCETNV >Potri.019G130100.1.v4.1 pep chromosome:Pop_tri_v4:19:15283315:15284338:1 gene:Potri.019G130100.v4.1 transcript:Potri.019G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130100.v4.1 MQTSVVYRPHRLLLGMDSGMPPDSHGCRNSSILNSDENSNMVIVLAALLFAFLCALGIKSIARCAIRCGYRIGFETPQQAASRLAAATNTGLMKSALGQIPVVTYEPGLNIQVTDCTICLGEFSEGEKVRVLPKCSHGFHVKCIDKWLLLHSSCPLCRQTLALDQSANNCDVDEPNVRIPVLENGTGG >Potri.011G056800.1.v4.1 pep chromosome:Pop_tri_v4:11:4597740:4603497:1 gene:Potri.011G056800.v4.1 transcript:Potri.011G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056800.v4.1 MQRIPFLSRILLFCLAFSTCLAKQPPWVMRISCGARLNVHTAPTNTLWFKDFAYTGGIPANATLPSYISPPLKTLRYFPLSSGPNNCYNINNVPSGHYAVRVFFGLDGHPNFDNEPLFDISVEGTQIYSLKSGWTNQDDQVFTEALVFLNDGTASLCFHSTGHGDPAILSIEILQVDDRAYYFGPAWGHGIILRTAARLSCGNGKPKFDVDYSGDHWGGDRFWSPIKTFGQGSDLATSTESSIKMASNAPNFYPEALYQTALVSTDTQPDLAYTMDVDPNRNYSIWLHFAEIDSSVTTAGKRVFDILINGDVAFEEVDVVKMSGDRYTALVLNTTVAVNGRALTISLHPKEGSHAIINAIEVFEIVAAESKTLLEEVRALQSLKSALGLPLRFGWNGDPCVPQQHPWNGADCLYDKTSSKWFIDGLGLDNQGLRGFLPNDISRLLHLQSINLSDNSIHGAIPPSIGSIAGLVVLDLSYNLFNGSIPESLGQLTSLRRLNLNGNSLSGRVPAALGGRLLHGTSFNFTDNAGLCGIPGLPTCGPHLSAGAKIGVAFGASVGFLLMVICSMCWWKRRQNILRAQKIAARGAPYAKARTHLSHDIQLTRHYNHGNARTAAENGPILLS >Potri.019G034000.1.v4.1 pep chromosome:Pop_tri_v4:19:4660399:4662357:-1 gene:Potri.019G034000.v4.1 transcript:Potri.019G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034000.v4.1 MALSSLFSLSFTSTPCTSLTNRHLFNFHPHLHSSSLQYSSKKREFPLPSVASIPYQPINVDYLEEEFSGHGVTFERLSDSCVAKMTVENGSSVTLMLPSGLITSYKARMWHGGTVELLQTSVLEGEDGSAAIRGGVSPAFNFDSDGEISWSPSTWALKDIRGDSHDTIQVEMVSTDAKDMVEVRYILSLREETLSSELTVSNLKSSSIQMRGGIISHLTVSTPEATFAYGLEGSDFYNRPVFLSNFGIVPPDLSQKRGFGSGQLWGNLGFNGFLTGWDARNQKNGDKGNDSLIESEEMEGEENDNYKNLTEEMSRIYTSAPRDFTIIDRGRRNSVVVGREGFEELYIFSPGSSRESYGMYSFICVGQSAMLKPVTLNPGDAWTGSQHLHNPNL >Potri.019G034000.4.v4.1 pep chromosome:Pop_tri_v4:19:4660579:4662324:-1 gene:Potri.019G034000.v4.1 transcript:Potri.019G034000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034000.v4.1 MQVEMVSTDAKDMVEVRYILSLREETLSSELTVSNLKSSSIQMRGGIISHLTVSTPEATFAYGLEGSDFYNRPVFLSNFGIVPPDLSQKRGFGSGQLWGNLGFNGFLTGWDARNQKNGDKGNDSLIESEEMEGEENDNYKNLTEEMSRIYTSAPRDFTIIDRGRRNSVVVGREGFEELYIFSPGSSRESYGMYSFICVGQSAMLKPVTLNPGDAWTGSQHLHNPNL >Potri.013G145900.1.v4.1 pep chromosome:Pop_tri_v4:13:14354170:14358061:1 gene:Potri.013G145900.v4.1 transcript:Potri.013G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145900.v4.1 MAMGGSHKGNGNGNRGKPYGLMLLLAFGAALLGVMVLHKLRERRIFNLLVEEKGQELISLQLLLQKEGQRSREMKRKTEEMKAKIYSLRIQKMELDRRLLEMQSTIGSMKDEQKIMESALEEKQSEIKMLRETNKGAEKETLQMEVLRESLKQKEAEIEDLKHRLEYPAKIWSVSTDDPSSPSVNRTVTLNMISENKIEAGKSEEEVLLQESANDSNGLNSTKGNGGNTTSINQEQVGDTATVENASESKVAIPDRTEEPREEQLQMLEGSRNGRAIGITNDQVNKSESSQEKGTSGSGEENNASNATETNVSRIGRVSKITDADNEEKSKDGEEHKVTRDGKLELENVLEAEGHQETFRGGVKLKMMDNSRNTRREKYRHASRVRGKRGEMGTRNRLLEIRNHENNGAEKMRSRKSPTDDQGRLMDREEGRASNDGKTEEIRKAVDSSDGKTMEHQNHEDSKDLQNKLGKDGTNHQMSEDHETLKRLRIAHDSKELTNGSLDGQPGNIRSNDRKESLDKGQQHEDRQQASGTQESRNSSIMNNKENSNEQVKLIRKHEKQEQTEDSDTEQETDGGAGYFYKDSFSDFEEDKEEYREETDESEF >Potri.003G043900.3.v4.1 pep chromosome:Pop_tri_v4:3:4986023:4992955:1 gene:Potri.003G043900.v4.1 transcript:Potri.003G043900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043900.v4.1 MAYASHLFKHSKKLRNHQHADLVRWLSNDSHLSGGKRNDAWAVMEKRRYSSAAANSTLLFFVDMKAMSFGASKKDVSRTFMKVGSPIAGPLFMKDFACSQVHWKRGFSSDSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKISTGEVLCEVETDKATVEMECMEEGYLAKILKGDGAKEIKLGEVIAITVEDEEDIAKFKDYNPSASGSGATSANEASAPTPPASHKEEVEKPASLPEPKISKPSAAPDGDRTFASPLARKLAEDHNVPLSSIKGTGPDGNIVKADIEDYLASRGKEAPATKPVAKDTSAPALDYVDIPHSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMGLRSQLNLIQETSGGKRISVNDLVIKAAALALRKVPQCNSSWTDSYIRQYNNVNINVAVQTDNGLYVPVIRDADKKGLSKIADEVKNLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAIGSAEKRVIPGSGPDDFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Potri.003G043900.4.v4.1 pep chromosome:Pop_tri_v4:3:4985983:4993050:1 gene:Potri.003G043900.v4.1 transcript:Potri.003G043900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043900.v4.1 MAYASHLFKHSKKLRNHQHADLVRWLSNDSHLSGGKRNDAWAVMEKRRYSSAAANSTAMSFGASKKDVSRTFMKVGSPIAGPLFMKDFACSQVHWKRGFSSDSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKISTGEVLCEVETDKATVEMECMEEGYLAKILKGDGAKEIKLGEVIAITVEDEEDIAKFKDYNPSASGSGATSANEASAPTPPASHKEEVEKPASLPEPKISKPSAAPDGDRTFASPLARKLAEDHNVPLSSIKGTGPDGNIVKADIEDYLASRGKEAPATKPVAKDTSAPALDYVDIPHSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMGLRSQLNLIQETSGGKRISVNDLVIKAAALALRKVPQCNSSWTDSYIRQYNNVNINVAVQTDNGLYVPVIRDADKKGLSKIADEVKNLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAIGSAEKRVIPGSGPDDFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Potri.003G043900.5.v4.1 pep chromosome:Pop_tri_v4:3:4985982:4992961:1 gene:Potri.003G043900.v4.1 transcript:Potri.003G043900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043900.v4.1 MAYASHLFKHSKKLRNHQHADLVRWLSNDSHLSGGKRNDAWAVMEKRRYSSAAANSTAMSFGASKKDVSRTFMKVGSPIAGPLFMKDFACSQVHWKRGFSSDSGLPPHQEIGMPSLSPTMTEGNIARWLKKEGDKISTGEVLCEVETDKATVEMECMEEGYLAKILKGDGAKEIKLGEVIAITVEDEEDIAKFKDYNPSASGSGATSANEASAPTPPASHKEEVEKPASLPEPKISKPSAAPDGDRTFASPLARKLAEDHNVPLSSIKGTGPDGNIVKADIEDYLASRGKEAPATKPVAKDTSAPALDYVDIPHSQIRKVTASRLLLSKQTIPHYYLTVDTCVDKLMGLRSQLNLIQETSGGKRISVNDLVIKAAALALRKVPQCNSSWTDSYIRQYNNVNINVAVQTDNGLYVPVIRDADKKGLSKIADEVKNLAQKAKENSLKPEDYEGGTFTVSNLGGPFGIKQFCAIINPPQSGILAIGSAEKRVIPGSGPDDFKFASFMSVTLSCDHRVIDGAIGAEWLKAFKGYIENPESMLL >Potri.005G147300.2.v4.1 pep chromosome:Pop_tri_v4:5:12298767:12303332:1 gene:Potri.005G147300.v4.1 transcript:Potri.005G147300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G147300.v4.1 MLQRAASNAYSWWWASHIRTKQSKWLEQNLHDMEDKVQNVLQLIEEDGDSFAKRAEMYYKKRPELIHFVEDSYRAYRALAERYDHISTELQNANNTIAYVFPEQVQFAMEEDGDETPSKFAKKLPEISKANIPKVPKIPKDIKGIITSASKKLQSKKSMKGARNATVAKSGLSKSEGLQEIDKIQKTILALQTEKEFVKSSYDSRLAKYWEIELQIREMQEKVCNLQDEFGAGMVIEDNEARKLIASAALKSCQETLTLLQERQERSAEEAVEERERINNARGKLKSLKDEFLHGEINPENPEAKDEPLKGVEGLDSIYQGVSSDTEERQDMELLREKIKENFEVGSSACVTMGELAEKIDKLVNNIINLEASVSSQTALIQRLRLETNELQAEIQTLEEDKEILFNGKNDLREQLREMEEKLYGLQDLKQSVEHQNNNLQAHFTEARSNIDHLSEKLLSVKSDEEFEVKPETGDRSLVKVESQEVALNPDDSLEKHQNVKTKEMHELKVGKSHEDSKGSEDALNPDESLGVQQNLKPRHELKVSYSSEKGKEYPAESSFFAELKEQEDKMNDADSSIKTTGIKREDEEIKEHGQNSSQPKKTIDLNNSLEELSGLEIEEKTAKKDSPSPMDDLNVEIWEQETMLVDEPDWKQLFMNGMENREKVLLTEYTTILRNYKEIKKQLTEAEKKNGDSLFDATVQVRELKSANAKKDEQIQFLRQKLILLQAGLGEDSKPVESMVTEQEVTGDINVILLDQPETTSEIEDRFRMNIDEVLEENLNFWLRFSTTFQQIQKFETEVQDLQSELFKLEEKQKIQDGSSNAKYSLKSDARPLYKYLREIHTELTVWLEKSMQLKDEVKSRFASLCDIQDEITAVLKESAEDDEFRFTSYQAAKFQGEILNMKQENNKVADELQAGLDHITTLQLEVERTLEKLNEEFKLSGSKHRQNIQLPHSETRSRVPLRSFIFGIKPKKQKNSIFSRMHPAMNRRHLRSGPNV >Potri.004G236200.1.v4.1 pep chromosome:Pop_tri_v4:4:24050284:24054285:-1 gene:Potri.004G236200.v4.1 transcript:Potri.004G236200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236200.v4.1 MTISDEEDEILAKFLESEVLSEVSDQDQEEEETEEAKKEEDEPKGKRVRFQETQEEEKEQNQKKKANNNNNNKGEQRRIKSGVLSKIPPELFPHILKFLSSEDLIACSLVCRFLNFAASDESLWRRLYCMRWGFLPPATKLCENAWKKLYIQRDEEDMVKLVRNCPPEFKEYYVQMNAAKRSQTPLPSQVKDDRIILDKTIADQVSTWKSRRGLTDKVVTDHACSGETCSYFKLGDVFVCEKTGNVHVCDDTCREVIMDPTNELLVCTISGHCFDRWLLPSEMEPDPDQQQGGLTDEAEPFMGSGRFARAYLLGYNCDDDKELEAALRFC >Potri.003G047600.5.v4.1 pep chromosome:Pop_tri_v4:3:6872622:6878123:1 gene:Potri.003G047600.v4.1 transcript:Potri.003G047600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047600.v4.1 MAYRGRGRGRFGGGGGFSYARQEPFDLFPEIELPDPKNVKEERALVVWNSRLTNYFKSSPCYLEEIVSKEIQSMDIERFSDRGKPRITSERDSLDQFLQLTSKNFPKELIGGLNRKRPNKKVKWTADLRKLDDYEKRELMYEGQAEKVQMEKKEDEDEEDEDEELEEPDDEYDDGDYNQNIDFDDDEDDYNMEDDNADEAVY >Potri.004G078800.1.v4.1 pep chromosome:Pop_tri_v4:4:6524486:6526866:1 gene:Potri.004G078800.v4.1 transcript:Potri.004G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078800.v4.1 MASGFSGGGGGGGGGVQDFYTNRSPIIPTMNLTNNHHNPSSLPPYRTNQIFLDQNLSSHNYQQIAQHRAIFPTTTATTTLIGKRTLADFQAFQQSPPQQNLLNQAALNNLLLRSVKPRINNNIFQQNTSPISTLDFSVSNLSPELPSLMSQRYGLPLLQQLRPQHHQQHQQQQPMNLISPIGIINNNRSSNNSMMPYVNMLQNQNGGGNGIVMGQDREKKMLNQLQELEKQLLDDDDDNQEGDAVSVITNTNSEWSETIHNLITSSSCNNPISPSPTSSSSSSFSTVTTPVSKQTVIEAASAIYEGKTDVYTEILTRVSLVSSPGGNSEQRLIEYMLMALKSRLNSSENTTSVMELYSKEHVDATQLLYDLSPCFKLGFMAANLAIIDATREQEQEANTSSNGFHVVDFDIGHGGQYKNLLHALSGLQNSKPAIVKITAVAADSNGVEEERLRLVGETLTQLARRVGLNLCFNVVSCKLSELTRESLGCEPDEALAVNFAFKLYRMPDESVSSTENPRDELLRRVKGLAPRVVTVVEQEMNTNTAPFMARVNESCSYYGALFDSIESTVKGDNSERAKVEEGLGRRMVNSVACEGRDRVERCEVFGKWRARMGMAGFELKPLSHNIAESMKTRLSLANRVNPGFSVKEENGGVCFGWMGKTLTVASAWR >Potri.016G004800.2.v4.1 pep chromosome:Pop_tri_v4:16:224083:228024:-1 gene:Potri.016G004800.v4.1 transcript:Potri.016G004800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004800.v4.1 MEHAETNGKGIPLSNKVEVLDEKEEANDSPIEQVRLTVPITDDPTLPCLTFRTWVLGITSCALLAFANQFFGYRQNILSVTSVSAQIVVLPVGRLMAAILPNKVIRFPRTKWSFSLNPGPFNLKEHVLITIFANSGSNPVYAVGIITIVKAFYHGNIDAVPAMLLSQTTQLLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRALHEVEIRRKGGLTRLQFFLVVLISSFAYYIVPGYLFQSITALSFVCWIWKDSVTAQQIGSGLHGLGVGSFAFDWSTVAGFLGSPLATPGFAIINILFGYIIILYIIIPISYWTNSYNAKRFPIFSSHVFDANGKPYDVSTVLNETTFEFNRAGYDGYSKVNLSIFFVYTYGLSFAILAATLTHVALFHGREIWYQTKETLKDKYADVHTRIMKRNYEAVPQWWFHIILIVVTGLALLTCEGFGRQLQLPYWGVLLAIGLAFIFTLPIGVITATTNQQPGLNVITELIIGYMYPGRPLANITFKTYGYISMSQAIMFLSDFKLGHYMKIPPKSMFVVQLVGTVIASSVYFGTAWWLLTSVENICNPSRLPEGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGLYSKMNYFFLIGLLAPVPVWILSRMFPEKKWIKLINVPIIIGGTGGMPSARAVNYMCWFAVGIFFNFVVYKRYRGWWVRHNYILSAGLDAGVAFLAILLYFTLQIKDINGPTWWGLELSDHCPLATCPTAPGFQVEGCPVFH >Potri.016G004800.6.v4.1 pep chromosome:Pop_tri_v4:16:224209:227777:-1 gene:Potri.016G004800.v4.1 transcript:Potri.016G004800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004800.v4.1 MEHAETNGKGIPLSNKVEVLDEKEANDSPIEQVRLTVPITDDPTLPCLTFRTWVLGITSCALLAFANQFFGYRQNILSVTSVSAQIVVLPVGRLMAAILPNKVIRFPRTKWSFSLNPGPFNLKEHVLITIFANSGSNPVYAVGIITIVKAFYHGNIDAVPAMLLSQTTQLLGYGWAGIFRKFLVDSPYMWWPSNLVQVSLFRALHEVEIRRKGGLTRLQFFLVVLISSFAYYIVPGYLFQSITALSFVCWIWKDSVTAQQIGSGLHGLGVGSFAFDWSTVAGFLGSPLATPGFAIINILFGYIIILYIIIPISYWTNSYNAKRFPIFSSHVFDANGKPYDVSTVLNETTFEFNRAGYDGYSKVNLSIFFVYTYGLSFAILAATLTHVALFHGREIWYQTKETLKDKYADVHTRIMKRNYEAVPQWWFHIILIVVTGLALLTCEGFGRQLQLPYWGVLLAIGLAFIFTLPIGVITATTNQQPGLNVITELIIGYMYPGRPLANITFKTYGYISMSQAIMFLSDFKLGHYMKIPPKSMFVVQLVGTVIASSVYFGTAWWLLTSVENICNPSRLPEGSPWTCPGDDVFYNASIIWGVVGPLRMFGRLGLYSKMNYFFLIGLLAPVPVWILSRMFPEKKWIKLINVPIIIGGTGGMPSARAVNYMCWFAVGIFFNFVVYKRYRGWWVRHNYILSAGLDAGVAFLAILLYFTLQIKDINGPTWWGLELSDHCPLATCPTAPGFQVEGCPVFH >Potri.005G241200.1.v4.1 pep chromosome:Pop_tri_v4:5:23796246:23797584:1 gene:Potri.005G241200.v4.1 transcript:Potri.005G241200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241200.v4.1 MALSDAVIGNLTTIYVAVIAGIKVYGLVCGRSFSGGFVLILSTIVVGLILTGTLAWDISRKATYAISRDHVNVHEMCKGGICWHGVAVRSPASQVRFRLPQH >Potri.017G041700.7.v4.1 pep chromosome:Pop_tri_v4:17:2802949:2807517:-1 gene:Potri.017G041700.v4.1 transcript:Potri.017G041700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041700.v4.1 MATELLCLHRPISLTHKLFRNPLPKVIQATPLTLKLRCSVSTENVSFTETETETRRSANYEPNSWDYDYLLSSDTDESIEVYKDKAKKLEAEVRREINNEKAEFLTLLELIDNVQRLGLGYRFESDIRRALDRFVSSGGFDAVTKTSLHATALSFRLLRQHGFEVSQEAFSGFKDQNGNFLENLKEDIKAILSLYEASFLALEGENILDEAKVFAISHLKELSEEKIGKDLAEQVNHALELPLHRRTQRLEAVLSIEAYRKKEDADQVLLELAILDYNMIQSVYQRDLRETSRWWRRVGLATKLHFARDRLIESFYWAVGVAFEPQYSDCRNSVAKMFSFVTIIDDIYDVYGTLDELELFTNAVERWDVNAIDDLPDYMKLCFLALYNTINEIAYDNLKEKGENILPYLTKAWADLCNAFLQEAKWLYNKSTPTFDEYFGNAWKSSSGPLQLVFAYFAVVQNIKKEEIENLQKYHDIISRPSHIFRLCNDLASASAEIARGETANSVSCYMRTKGISEELATESVMNLIDETWKKMNKEKLGGSLFAKPFVETAINLARQSHCTYHNGDAHTSPDELTRKRVLSVITEPILPFER >Potri.005G053200.1.v4.1 pep chromosome:Pop_tri_v4:5:3361402:3366494:1 gene:Potri.005G053200.v4.1 transcript:Potri.005G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053200.v4.1 MSHRPILVSSTGHKHQLSKMNRSESEWAFQRFLQEASAATFDDNTPNSSADKTDVVHINDYGYNNNNATSKSCDNNYKENAMPLSSGACATAASSSLGAPADIPVESEDYHAFLKSKLNMACAAVALSRAYFVKPLKSPATAESGSQASSTSHLGSHAPSKGAGHDLSRSRDKDANEPLGTPSLPSMQKKLAVSGKPTTSGSSRELSEDDENEAETEITENMHPADAKRVRRMLSNRESARRSRRRKQAHLTELETQVAQLRVENSSLLKRLADTSQKYNESAVDNRVLKADIETLRAKVRMAEETVKRFTGLNHMFHAMPYISAMSMPSFDGCPSDTSADAAVPVKDDPKHHFYQAPNNPISTHDSRPRVNFVLADISSVENVQPNSGTAAGVSGNKLGRTASLQRVASLERLQKRIRGVASPCGPQSNGEQS >Potri.012G127400.1.v4.1 pep chromosome:Pop_tri_v4:12:14333474:14334280:1 gene:Potri.012G127400.v4.1 transcript:Potri.012G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127400.v4.1 MGAKITSSSSSFNVLALLLTLLLCISTNVQLSLADTTSKTYTNYLQTACNSTTYPQLCFKSLSSYTSTIKTNYLKLCRTALTVTLKAASNTSSLVKALSKQKGLSKTEAGIVKDCIEEIGDSIDELNQSLKALGSLKGSDIEFQIANIKTWISAAITDEDTCTEGFEERNITDEVMIKIRKSIVNVARLTSNALALINKLSY >Potri.005G128800.12.v4.1 pep chromosome:Pop_tri_v4:5:9706244:9714808:-1 gene:Potri.005G128800.v4.1 transcript:Potri.005G128800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128800.v4.1 MDWWTNVLEGSSSRGHYPGRHGEDRYWDEPRQSVDDLLNFDNEEIEYAIALSLSEEDQKGKKVIEEDNESEQSEEYYKPHQPEEDVTAQLEEDEQLAKASQESLSLESPPRARYDGGNLVPPYPFSSGYRICAGCNTEIGHGQFLNCMGGVWHPDCFCCNACNLPITDYEFSMSGNRRYHESCYRKQDHPRCDVCNKFIPTNSAGLIEFRAHPFLLQKYCPSHQRDRTPRCCSCERMEPRDTRYVSLDDGRKLCLECLDSAIMDTHECQPLYFEIRKFYEGLNMKVEQEIPLLLVERPALNEAMEGEKNGHHHLPETRGLCLSEKQTVPTVLRWPRIGAGNRFIDIRIEPYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGGEGASSSSSSSSPSSSSSTSSKKGPRSDFEKKLETKDHELLCCDFQVM >Potri.005G128800.11.v4.1 pep chromosome:Pop_tri_v4:5:9708452:9714988:-1 gene:Potri.005G128800.v4.1 transcript:Potri.005G128800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128800.v4.1 MDWWTNVLEGSSSRGHYPGRHGEDRYWDEPRQSVDDLLNFDNEEIEYAIALSLSEEDQKGKKVIEEDNESEQSEEYYKPHQPEEDVTAQLEEDEQLAKASQESLSLESPPRARYDGGNLVPPYPFSSGYRICAGCNTEIGHGQFLNCMGGVWHPDCFCCNACNLPITDYEFSMSGNRRYHESCYRKQDHPRCDVCNKFIPTNSAGLIEFRAHPFLLQKYCPSHQRDRTPRCCSCERMEPRDTRYVSLDDGRKLCLECLDSAIMDTHECQPLYFEIRKFYEGLNMKVEQEIPLLLVERPALNEAMEGEKNGHHHLPETRGLCLSEKQTVPTVLRWPRIGAGNRFIDIRIEPYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGGEGASSSSSSSSPSSSSSTSSKKGPRSDFEKKLGEFFKHQIESDTSPDYGEGFRIGNQAVLNYGLRRTLDHIQMTGTFPV >Potri.005G128800.10.v4.1 pep chromosome:Pop_tri_v4:5:9706119:9714586:-1 gene:Potri.005G128800.v4.1 transcript:Potri.005G128800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128800.v4.1 MNYSKDQNSPACYRKTYCTMDWWTNVLEGSSSRGHYPGRHGEDRYWDEPRQSVDDLLNFDNEEIEYAIALSLSEEDQKGKKVIEEDNESEQSEEYYKPHQPEEDVTAQLEEDEQLAKASQESLSLESPPRARYDGGNLVPPYPFSSGYRICAGCNTEIGHGQFLNCMGGVWHPDCFCCNACNLPITDYEFSMSGNRRYHESCYRKQDHPRCDVCNKFIPTNSAGLIEFRAHPFLLQKYCPSHQRDRTPRCCSCERMEPRDTRYVSLDDGRKLCLECLDSAIMDTHECQPLYFEIRKFYEGLNMKVEQEIPLLLVERPALNEAMEGEKNGHHHLPETRGLCLSEKQTVPTVLRWPRIGAGNRFIDIRIEPYRLSRRCEVTAILILYGLPRLLTGSILAHEMMHAWLRLKGYPNLRPEVEEGICQVLAHMWLDSEIYSSSGGEGASSSSSSSSPSSSSSTSSKKGPRSDFEKKLGEFFKHQIESDTSPDYGEGFRIGNQAVLNYGLRRTLDHIQMTGTFPV >Potri.010G222800.5.v4.1 pep chromosome:Pop_tri_v4:10:20721764:20726787:-1 gene:Potri.010G222800.v4.1 transcript:Potri.010G222800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222800.v4.1 MSGLNRFSSVPFKNGGLPPQELLDDLCSRLVLNVPKEDQQSFERILFLVENAHWFYEDNSVEKNPSLKSFTLKEFTSLMFNSCDVLRPYVAHIDDIFKDFTSYKVKVPVTGAIILDETFERCLLVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSNLLNKDDYIEEMFGQQRVRLYIIAGVKYDTAFAPLTKKEISEIAWQRLDELQSASDEVISRSITGLKLYMVAPFLVSLKSWILSHQPPAAPRPDMPLKATCVWKAKNTSLGSGTVITESHLTKPVFDAHPLDMGPGKSFRNFRFDTAAILQAMESGFSDLSEI >Potri.010G222800.1.v4.1 pep chromosome:Pop_tri_v4:10:20721762:20726788:-1 gene:Potri.010G222800.v4.1 transcript:Potri.010G222800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222800.v4.1 MSGLNRFSSVPFKNGGLPPQELLDDLCSRLVLNVPKEDQQSFERILFLVENAHWFYEDNSVEKNPSLKSFTLKEFTSLMFNSCDVLRPYVAHIDDIFKDFTSYKVKVPVTGAIILDETFERCLLVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSNLLNKDDYIEEMFGQQRVRLYIIAGVKYDTAFAPLTKKEISEIAWQRLDELQSASDEVISRSITGLKLYMVAPFLVSLKSWILSHQPPAAPRPDMPLKATCVWKAKNTSLGSGTVITESHLTKPVFDAHPLDMGPGKSFRNFRFDTAAILQAMESGISQRFDMT >Potri.010G222800.4.v4.1 pep chromosome:Pop_tri_v4:10:20720911:20726787:-1 gene:Potri.010G222800.v4.1 transcript:Potri.010G222800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222800.v4.1 MSGLNRFSSVPFKNGGLPPQELLDDLCSRLVLNVPKEDQQSFERILFLVENAHWFYEDNSVEKNPSLKSFTLKEFTSLMFNSCDVLRPYVAHIDDIFKDFTSYKVKVPVTGAIILDETFERCLLVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSNLLNKDDYIEEMFGQQRVRLYIIAGVKYDTAFAPLTKKEISEIAWQRLDELQSASDEVISRSITGLKLYMVAPFLVSLKSWILSHQPPAAPRPDMPLKATCVWKAKNTSLGSGTVITESHLTKPVFDAHPLDMGPGKSFRNFRFDTAAILQAMESGISQRFDMT >Potri.010G222800.2.v4.1 pep chromosome:Pop_tri_v4:10:20722565:20726787:-1 gene:Potri.010G222800.v4.1 transcript:Potri.010G222800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222800.v4.1 MSGLNRFSSVPFKNGGLPPQELLDDLCSRLVLNVPKEDQQSFERILFLVENAHWFYEDNSVEKNPSLKSFTLKEFTSLMFNSCDVLRPYVAHIDDIFKDFTSYKVKVPVTGAIILDETFERCLLVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSNLLNKDDYIEEMFGQQRVRLYIIAGVKYDTAFAPLTKKEISEIAWQRLDELQSASDEVISRSITGLKLYMVAPFLVSLKSWILSHQPPAAPRPDMPLKATCVWKAKNTSLGSGTVITESHLTKPVFDAHPLDMGPGKSFRNFRFDTAAILQAMESGFSA >Potri.010G222800.3.v4.1 pep chromosome:Pop_tri_v4:10:20721764:20726787:-1 gene:Potri.010G222800.v4.1 transcript:Potri.010G222800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222800.v4.1 MSGLNRFSSVPFKNGGLPPQELLDDLCSRLVLNVPKEDQQSFERILFLVENAHWFYEDNSVEKNPSLKSFTLKEFTSLMFNSCDVLRPYVAHIDDIFKDFTSYKVKVPVTGAIILDETFERCLLVKGWKGTSWSFPRGKKNKDEEDHACAIREVLEETGFDVSNLLNKDDYIEEMFGQQRVRLYIIAGVKYDTAFAPLTKKEISEIAWQRLDELQSASDEVISRSITGLKLYMVAPFLVSLKSWILSHQPPAAPRPDMPLKGSLRDLI >Potri.013G071100.1.v4.1 pep chromosome:Pop_tri_v4:13:5823157:5829437:1 gene:Potri.013G071100.v4.1 transcript:Potri.013G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G071100.v4.1 MASSSLRNAKIKTNSCKRIVKELRSYEKEIEREVAKTAEMKDKGADPYDLKQQENVLAESRMMIPDCRKRLEAALADLKAILAELEESDQKEGPEIEDARNTIAEVEQLFLTTDA >Potri.013G012766.1.v4.1 pep chromosome:Pop_tri_v4:13:844115:844896:1 gene:Potri.013G012766.v4.1 transcript:Potri.013G012766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012766.v4.1 MDSKNRIMIFAAIVLYLFLHFPSQTEAGVELASKVCKHSQNYESCVQTLTSHPQTLAAPNEKAIAEKALEIARKVSVDTGVFFTGLAQTNPAYKTALEQCATNFKEAVQFLNLMGLQGGTASLDVHYGLDEVNQCQDALTSGHVQIDSATSIIQKWKTVYDAADATVATLEN >Potri.003G038500.6.v4.1 pep chromosome:Pop_tri_v4:3:4287909:4295028:1 gene:Potri.003G038500.v4.1 transcript:Potri.003G038500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038500.v4.1 MALKKTSMCNSSNALLVLLFLVSSLACSVTASVSYDSKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFVKLAKEAGLYVHLRIGPYICAEWNFGGFPVWLKYIPGINFRTDNGPFKAQMQKFTTKIVNMMKAERLFETQGGPIILSQIENEYGPMEYEIGSPGKAYTKWAAEMAVGLRTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTQFGGPVPHRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDATVIPLGNYQEAHVFNYKAGGCAAFLANYHQRSFAKVSFRNMHYNLPPWSISILPDCKNTVYNTARVGAQSARMKMTPVPMHGGFSWQAYNEEPSASGDSTFTMVGLLEQINTTRDVSDYLWYMTDVHIDPSEGFLRSGKYPVLGVLSAGHALHVFINGQLSGTAYGSLDFPKLTFTQGVKLRAGVNKISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLHGEALGLHSISGSSSVEWAEGSLVAQRQPLSWYKTTFNAPAGNSPLALDMGSMGKGQIWINGQHVGRHWPAYKASGTCGDCSYIGTYNEKKCSTNCGEASQRWYHVPQSWLKPTGNLLVVFEEWGGDPNGISLVRRDVDSVCADIYEWQPTLMNYQMQASGKVNKPLRPKAHLSCGPGQKIRSIKFASFGTPEGVCGSYRQGSCHAFHSYDAFNNLCVGQNSCSVTVAPEMFGGDPCLNVMKKLAVEAICS >Potri.003G038500.2.v4.1 pep chromosome:Pop_tri_v4:3:4287750:4295185:1 gene:Potri.003G038500.v4.1 transcript:Potri.003G038500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038500.v4.1 MALKKTSMCNSSNALLVLLFLVSSLACSVTASVSYDSKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFVKLAKEAGLYVHLRIGPYICAEWNFGGFPVWLKYIPGINFRTDNGPFKAQMQKFTTKIVNMMKAERLFETQGGPIILSQIENEYGPMEYEIGSPGKAYTKWAAEMAVGLRTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTQFGGPVPHRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDATVIPLGNYQEAHVFNYKAGGCAAFLANYHQRSFAKVSFRNMHYNLPPWSISILPDCKNTVYNTARVGAQSARMKMTPVPMHGGFSWQAYNEEPSASGDSTFTMVGLLEQINTTRDVSDYLWYMTDVHIDPSEGFLRSGKYPVLGVLSAGHALHVFINGQLSGTAYGSLDFPKLTFTQGVKLRAGVNKISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLHGEALGLHSISGSSSVEWAEGSLVAQRQPLSWYKTTFNAPAGNSPLALDMGSMGKGQIWINGQHVGRHWPAYKASGTCGDCSYIGTYNEKKCSTNCGEASQRWYHVPQSWLKPTGNLLVVFEEWGGDPNGISLVRRDVDSVCADIYEWQPTLMNYQMQASGKVNKPLRPKAHLSCGPGQKIRSIKFASFGTPEGVCGSYRQGSCHAFHSYDAFNNLCVGQNSCSVTVAPEMFGGDPCLNVMKKLAVEAICS >Potri.003G038500.5.v4.1 pep chromosome:Pop_tri_v4:3:4287909:4295066:1 gene:Potri.003G038500.v4.1 transcript:Potri.003G038500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038500.v4.1 MALKKTSMCNSSNALLVLLFLVSSLACSVTASVSYDSKAITINGQRRILISGSIHYPRSSPEMWPDLIQKAKEGGLDVIQTYVFWNGHEPSPGKYYFEGNYDLVKFVKLAKEAGLYVHLRIGPYICAEWNFGGFPVWLKYIPGINFRTDNGPFKAQMQKFTTKIVNMMKAERLFETQGGPIILSQIENEYGPMEYEIGSPGKAYTKWAAEMAVGLRTGVPWVMCKQDDAPDPIINTCNGFYCDYFSPNKAYKPKMWTEAWTGWFTQFGGPVPHRPAEDMAFSVARFIQKGGSFINYYMYHGGTNFGRTAGGPFIATSYDYDAPLDEYGLLRQPKWGHLKDLHRAIKLCEPALVSGDATVIPLGNYQEAHVFNYKAGGCAAFLANYHQRSFAKVSFRNMHYNLPPWSISILPDCKNTVYNTARVGAQSARMKMTPVPMHGGFSWQAYNEEPSASGDSTFTMVGLLEQINTTRDVSDYLWYMTDVHIDPSEGFLRSGKYPVLGVLSAGHALHVFINGQLSGTAYGSLDFPKLTFTQGVKLRAGVNKISLLSIAVGLPNVGPHFETWNAGILGPVTLNGLNEGRRDLSWQKWSYKIGLHGEALGLHSISGSSSVEWAEGSLVAQRQPLSWYKTTFNAPAGNSPLALDMGSMGKGQIWINGQHVGRHWPAYKASGTCGDCSYIGTYNEKKCSTNCGEASQRWYHVPQSWLKPTGNLLVVFEEWGGDPNGISLVRRDVDSVCADIYEWQPTLMNYQMQASGKVNKPLRPKAHLSCGPGQKIRSIKFASFGTPEGVCGSYRQGSCHAFHSYDAFNNLCVGQNSCSVTVAPEMFGGDPCLNVMKKLAVEAICS >Potri.010G102000.1.v4.1 pep chromosome:Pop_tri_v4:10:12447037:12453577:1 gene:Potri.010G102000.v4.1 transcript:Potri.010G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102000.v4.1 MDMNTTISVEPFKRLVKLAARAFYDDVSTKGENQSKNNARGDNKGIAVVVLDALTRRLWVNEEGLAKDLKIHIKQLRRILRLFEEDKLLTRAHRKETAKVTKKPNAGGADSQRKFGSREDDKNKLHTHSYCCLDYAQIYDVVRYRLHRMRKMIKDELENNNAVQQYICPICERRYNALDALRLISLVDEDFHCENCDGVLVAESDKLAAQEGGDGDDNARKRRREKLKDMLQNMEVQLKPLMDQLSRVKDLPIPEIGSLQAWQLHENAAGRATNGDPNSDDHFKYSQGPGYGGTPMPFLGETKVEVAFAGDESKENIKSETASTSLKVLPPWMIKQGMNLTKEQRGEVKQESKMDSSSTAVEFSDEKKSAKVNGDSIKEEYVKAYYAALLEQQRQAEESAKQQQELSQTSMSNGLSESSSNRQVGMKSKREEGEGDDDVEWEEAPIEGNTSESYRLNDLNDEAPASGEEDDDIDWEEG >Potri.006G142400.2.v4.1 pep chromosome:Pop_tri_v4:6:12006614:12012089:1 gene:Potri.006G142400.v4.1 transcript:Potri.006G142400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142400.v4.1 MAHHSHHHNHNSASDGVSQRVNSPRFSGPMTRRAHSFKRNNTSSNNNSNAGNANSSNNGSNNVSNGNSNNSSLSPHLEIDLPLNSPRSETVDGFERESHSRQNLSQRVHGGVVRILTNKKGSIGSVILDFGFKERKKLGHWMFFFFCGLCLFLGVFKICLYGWFGSTLERAASNQDVFGSITRQEQDSYRYMGSENDQKRMIIEVGSDVVDRLNKKAEFSGIWSKPNSENFTQCIDQPGNHKKLGARTNGYILINANGGLNQMRFGICDMVAVAKIMKATLVLPSLDHTSYWADDSGFKDLFNWQHFIDTLKDDVHIVEKLPPAYDGIEPFNKTLISWSKVHYYKTEVLPLLKQHKVIYFTHTDSRLANNGLSDSIQKLRCRANYRALKYSKPIEELGNTLVSRMRENGSRYLALHLRYEKDMLAFTGCSHNLTAAEDEELLRMRYEVSHWKEKEINGTERRLLGNCPLTPRETSLLLKGLGFPSSSRIYLVAGEAYGTGSMQYLLDDFPNIFSHSTLSTEEELNPFKDHQNMLAGLDYLVALQSDVFVYTYDGNMAKAVQGHRQFEEFKKTINPDKMNFVKLVDELDEGKISWKKFSSKVQKLHKDRIGVPYAREPGEFPKLEESFFANPLPGCICETAQEK >Potri.014G058200.1.v4.1 pep chromosome:Pop_tri_v4:14:3704637:3705955:1 gene:Potri.014G058200.v4.1 transcript:Potri.014G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058200.v4.1 MLLYYIFSILFLHYKTPCSAARPTPTLTTTPAAEVVTVKDPNYTWQNFGSFLDAGKGSHVNGMSELKRYFHHFGYLPSQDLKSITDIFDDRFETAIVRYQAKLGLQTTGKLDLDTLNQIMAPRCGVPDDTMFHKLHASRHYFYFPGKPRWTRSIPMTLTYSFSKENLINVSLSLSDIEEVFKGAFAKWGSVIPVSFVETDDYAFADIKIGFYSGDHGDGEPFDGVLGVLAHSFSPESGRFHLDARETWAIDFDLEKSKVAVHLESVAVHEIGHLLGLGHSSDEKAVMYPSLKPRKKKLDLSVDDIQGVQALYGSNPNFTLGSLLESDISTNEAADLIRIRLSQWWVTLIMALMLSFA >Potri.001G181300.1.v4.1 pep chromosome:Pop_tri_v4:1:15980390:15984584:-1 gene:Potri.001G181300.v4.1 transcript:Potri.001G181300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181300.v4.1 MFDGLTKSKFYTKCKTLVKMTKTRLDALKKKKNSVIMYLKNDMADLIRTDLAYNAFCRAEGLLVEQNMLTCYNFIELFCGCISSNLSLINKQKECPEECREAVQSLIYAAARFSEFPELRDLRSAFIGRYGPSLEAFVNKEFVEMLKPKSTTKEIKLQLMHDIAHDFSIEWNAKSLEQKLFKPPPSQQDHHRHEPLPSTYNDVHKLKESKDDAFTKKNSLNDDDDDDDYRWEKNNDDASTKRVSHDLGNSVNDTREDTLLKRDERIFKYQGRKNVSDDRYKLQSSSEDEVFSVSRRDSTDQDTTLASSSSVGSVSEDEVDSKKPISYRFTPPPYRRTIVEKESKIEEPLKSSDKVAAEEDNHADDSTNETKPKPRSVRRRPLKPPPGHTNFGSIERPLKPPPGRERVGSIESDESASINSTAMKQEEPRRGLRIFLTDDDDDDQRDGEEKEIDGLLMHYSKNDSPYEPSKLNPYTNPPSRKTSDDSGKSTRHRNAISELPLPPGRATSPREPGTQTGAATRLGRAVSAQPEIMTGRVHPNLPDYDELAARIAALKGR >Potri.003G134400.1.v4.1 pep chromosome:Pop_tri_v4:3:15250597:15251351:-1 gene:Potri.003G134400.v4.1 transcript:Potri.003G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134400.v4.1 MAATKLILALLLFLLVCSSSIASSKNSRRPKPCRRLVLYFHDIIYNGKNAKNATSAIVGSPAWGNKTNLAIPNRFGDVVIFDDPITLDSDLRSTPIGRAQGLYLYDKKEILTAWFGFSFVFNSTQLKGTINFAGADDIMKTTRDLSVVGGTGDFFMTRGIATLMTDAYEDDRYFRLRVDVQLYECF >Potri.001G190800.2.v4.1 pep chromosome:Pop_tri_v4:1:17417423:17420302:-1 gene:Potri.001G190800.v4.1 transcript:Potri.001G190800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190800.v4.1 MSWQTYVDDHLMCEIEGNHLTAAAIIGHDGSVWAQSATFPQFKPEEISAIMKDFDEPGSLAPTGLHLGGTKYMVIQGEPGAVIRGKKGSGGVTVKKTSQALVIGLYDEPLTPGQCNMIVERLGDYLIDQDL >Potri.013G136466.1.v4.1 pep chromosome:Pop_tri_v4:13:15621949:15623380:1 gene:Potri.013G136466.v4.1 transcript:Potri.013G136466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G136466.v4.1 MAIHLYKTSTPSTRNGAVDSQVKSNTRNNLIYGQHRCGKGRNSRGIITARHRGGGHKRLYRKIDFRRNEKYIYGRIVTIEYDPNRNAYICLIHYGDGEKRYILHPRGAIIGDTIISGTEVPIKMGNALPLSAV >Potri.001G238800.1.v4.1 pep chromosome:Pop_tri_v4:1:25653675:25657078:1 gene:Potri.001G238800.v4.1 transcript:Potri.001G238800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238800.v4.1 MGQGLSCAASQDHRFFSAVHFGDLDTVNAMLERDPSLLYQTTYDRQYPLHIAAANGQIEILSMLLERSVDPDMVNRQKQTPLMLAAMHGKISCVKKLVEAGANMLKFDSLNGRTCLHFAAYYGHSDCLQAILSAVQSSPVAVSWGYTRFVNIRDGRGATPLHLAARQRRPECVHILLDNGALVCSSTGGYGSPGTTPLHLAARGGSLDCIRELLAWGADRMQRDASGRIPYVVALKYRNGTCAALLNPSSAEPLVWPSPLKFISELNQEAKALLECALMEANREREKNILKGTGYSLPSPSHSDDGTDDNISEASDTELCCICFEQVCTIEVEDCGHQMCAQCTLALCCHNKPNPTTACLTPPVCPFCRSTIARLVVAKMKDCNDADQDIGDVGSPKLRKSRRSLNFSEGSSSFKGLSATFGKMGGRGSGRIAAENEWVDKP >Potri.003G004200.1.v4.1 pep chromosome:Pop_tri_v4:3:539643:543732:-1 gene:Potri.003G004200.v4.1 transcript:Potri.003G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004200.v4.1 MAASTNPIPLLTPYKMGKFNLSHRVVMAPLTRNRSYNNMPQPHAILYYSQRATNGGFLISEATVVSDTAQGYPETPGIWTEEQVKAWKPIVDAVHEKGGILFCQIWHVGRVSTYGFQPNGEAPISCTDKGVTPGLDGEDWSPPRRLRADELPRIVNDFRLAARNAIEAGFDGVEIHGANGYLIDQFLKDQVNDRTDNYGGSLENRCRFPLEILEAVVDEVGADKVGMRLSPYANYMEAVDSNPEELGLYMANALNKFGILYLHVIEPRMVKANDIYETPHSLLPMKNAFEGTFIAAGGYRRDDGNKAIAENYADLVAFGRLFLANPDLPKRFELNAPLNRYNRNTFYIPDPVVGYTDYPFLDVLA >Potri.001G076200.4.v4.1 pep chromosome:Pop_tri_v4:1:5740612:5746066:-1 gene:Potri.001G076200.v4.1 transcript:Potri.001G076200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076200.v4.1 MEIDKIAELKSFLKVLPPVGFCCVYGSSLHPNNKDKSTMVDYIIGVSDPKQWHSENMELNEDHYASWMVPLGGAKLITQVADKIGVGVHFNPFVTWNDKMLKYGVVRMHDLVQDVLHWERFYLCGRLQKPVHILVDNLDIGDVNSVNLRAAVSAALLLLPSKFTEEDLYSKICSLSYMGDLRMLFAEDKNKVKRIVEGQFGLFQSMYKPFLKEYEAKELLTFSLPNSHQATISQDCSLSMTHSLVHSLPPLVRRKMGMKLGEMQVLKDSGRVLHEVVIGSREEAARSMQRIIRRTVMVSSARQAVSGFLAAGGINATRYLANKVRKAWKSWT >Potri.001G076200.5.v4.1 pep chromosome:Pop_tri_v4:1:5740612:5746049:-1 gene:Potri.001G076200.v4.1 transcript:Potri.001G076200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076200.v4.1 MVDYIIGVSDPKQWHSENMELNEDHYASWMVPLGGAKLITQVADKIGVGVHFNPFVTWNDKMLKYGVVRMHDLVQDVLHWERFYLCGRLQKPVHILVDNLDIGDVNSVNLRAAVSAALLLLPSKFTEEDLYSKICSLSYMGDLRMLFAEDKNKVKRIVEGQFGLFQSMYKPFLKEYEAKELLTFSLPNSHQATISQDCSLSMTHSLVHSLPPLVRRKMGMKLGEMQVLKDSGRVLHEVVIGSREEAARSMQRIIRRTVMVSSARQAVSGFLAAGGINATRYLANKVRKAWKSWT >Potri.001G076200.7.v4.1 pep chromosome:Pop_tri_v4:1:5740612:5746051:-1 gene:Potri.001G076200.v4.1 transcript:Potri.001G076200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076200.v4.1 MEIDKIAELKSFLKVLPPVGFCCVYGSSLHPNNKDKSTMVDYIIGVSDPKQWHSENMELNEDHYASWMVPLGGAKLITQVADKIGVGVHFNPFVTWNDKMLKYGVVRMHDLVQDVLHWERFYLCGRLQKPVHILVDNLDIGDVNSVNLRAAVSAALLLLPSKFTEEDLYSKICSLSYMGDLRMLFAEDKNKVKRIVEGQFGLFQSMYKPFLKEYEAKELLTFSLPNSHQATISQDCSLSMTHSLVHSLPPLVRRKMGMKLGEMQVLKDSGRVLHEVVIGSREEAARSMQRIIRRTVMVSSARQAVSGFLAAGGINATRYLANKVRKAWKSWT >Potri.001G076200.6.v4.1 pep chromosome:Pop_tri_v4:1:5740612:5745967:-1 gene:Potri.001G076200.v4.1 transcript:Potri.001G076200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076200.v4.1 MVDYIIGVSDPKQWHSENMELNEDHYASWMVPLGGAKLITQVADKIGVGVHFNPFVTWNDKMLKYGVVRMHDLVQDVLHWERFYLCGRLQKPVHILVDNLDIGDVNSVNLRAAVSAALLLLPSKFTEEDLYSKICSLSYMGDLRMLFAEDKNKVKRIVEGQFGLFQSMYKPFLKEYEAKELLTFSLPNSHQATISQDCSLSMTHSLVHSLPPLVRRKMGMKLGEMQVLKDSGRVLHEVVIGSREEAARSMQRIIRRTVMVSSARQAVSGFLAAGGINATRYLANKVRKAWKSWT >Potri.001G076200.8.v4.1 pep chromosome:Pop_tri_v4:1:5740612:5746034:-1 gene:Potri.001G076200.v4.1 transcript:Potri.001G076200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076200.v4.1 MEIDKIAELKSFLKVLPPVGFCCVYGSSLHPNNKDKSTMVDYIIGVSDPKQWHSENMELNEDHYASWMVPLGGAKLITQVADKIGVGVHFNPFVTWNDKMLKYGVVRMHDLVQDVLHWERFYLCGRLQKPVHILVDNLDIGDVNSVNLRAAVSAALLLLPSKFTEEDLYSKICSLSYMGDLRMLFAEDKNKVKRIVEGQFGLFQSMYKPFLKEYEAKELLTFSLPNSHQATISQDCSLSMTHSLVHSLPPLVRRKMGMKLGEMQVLKDSGRVLHEVVIGSREEAARSMQRIIRRTVMVSSARQAVSGFLAAGGINATRYLANKVRKAWKSWT >Potri.001G076200.2.v4.1 pep chromosome:Pop_tri_v4:1:5740612:5746042:-1 gene:Potri.001G076200.v4.1 transcript:Potri.001G076200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076200.v4.1 MEIDKIAELKSFLKVLPPVGFCCVYGSSLHPNNKDKSTMVDYIIGVSDPKQWHSENMELNEDHYASWMVPLGGAKLITQVADKIGVGVHFNPFVTWNDKVHILVDNLDIGDVNSVNLRAAVSAALLLLPSKFTEEDLYSKICSLSYMGDLRMLFAEDKNKVKRIVEGQFGLFQSMYKPFLKEYEAKELLTFSLPNSHQATISQDCSLSMTHSLVHSLPPLVRRKMGMKLGEMQVLKDSGRVLHEVVIGSREEAARSMQRIIRRTVMVSSARQAVSGFLAAGGINATRYLANKVRKAWKSWT >Potri.004G025800.1.v4.1 pep chromosome:Pop_tri_v4:4:1952464:1960255:1 gene:Potri.004G025800.v4.1 transcript:Potri.004G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025800.v4.1 MRAASLLITKKMNSLKVNVILLSLLTLAIITLAQEDANYLYHNCHNATTSTLNSTYRVNLNLLLSSLASNATRNNTIGFYNTSFGKNTDQVYGLFICRGDVRNTVCQNCVTFATKDIVQRCPIGIASIVYYDACILRYSNVNFFSKVDQNPGYYMWNLQNITTEPQRFNNLVGTTVNDLAARAASAPPGAKKFAVNKTSFDAFRNLYSLAQCTPDLSSFDCNQCLSAAIGDLPFCCSSRTGGRVLFPSCYIHYEINLFYNATAVAADSPPLPPPPVALPSPPPPLSATRPEEKGGVSTILIIAIVIPIAVSIALFSMCFCFLRRARKTRDYMPENDIGDEITTEESLQFDLSTIEAATNNFSADNKLGEGGFGEVYRGTLPNGHQIAVKRLSRNSGQGAAEFKNEVVLVAKLQHRNLVRVQGFCLEREEKILVYEFVSNKSLDYFLFDPERQGLLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQTQASTNRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAVDLLSYVWKHWRDGTPLAVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIILTLNSYSVTLPSPQEPAFLVHSTITDEVNSSSKEFLLEHSKRKSVAYSVDEDSITEVYPR >Potri.016G051100.1.v4.1 pep chromosome:Pop_tri_v4:16:3308641:3314204:1 gene:Potri.016G051100.v4.1 transcript:Potri.016G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051100.v4.1 MDLLMGPVARSGPELDETGLDSLQFGEDIRHLITAPSENASSFTALLELPANQAVELLHSDSGQKQCPNLTFPSNTSLLERAARFSVFNGGSNSTDSSSAPSDSSSKNLEKEAAVKREPLETESYLDSSQPLVSDPKVDNPAPNARACSKRKEREKKVKGASKKSKNEGSQQEEEKLPYVHVRARRGQATDSHSLAERARREKINQRMKLLQELVPGCNKISGTALVLDEIINHVQFLQRQVEILSMRLAAVNPRIDFNLDSIFATESGSLIDSNFPSMVMPPTWPEAQVNRNRHQFQRQWQFDAPHQPVWGREEDSHNFITPENSLLSYDSSANSASLHSNQMKMEL >Potri.014G123800.1.v4.1 pep chromosome:Pop_tri_v4:14:8332161:8338895:-1 gene:Potri.014G123800.v4.1 transcript:Potri.014G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G123800.v4.1 MSSMIAVTTTELDERQREPLLHNPRSLSNEEEEEITNTPSTSSSNASPLPTQRLLSLDVFRGLTVALMILVDDAGGAFPCINHSPWFGVTLADFVMPFFLFVVGVSISLVFKKVSSKPMATKKVIQRTIKLFLLGLLLQGGYFHGRHNLTYGVDVGKIRWMGVLQRISIGYLFAAMSEIWLVDSITVDSPMAFVKKYYIQWMVAFLFCTFYMCLLYGLYVPDWEFEVPSTNLFEYEFGTKIVNCGVRGSLEPPCNAVGLIDRFFLGEHHLYQHPVYRRTKHCSVNSPDYGPLPPNSPGWCLAPFDPEGILSSLMAAITCFLGLQFGHILVHFKGHMQRLCLWSVCSFIILITGYVFELLGVPLCKPLYTLSYMCITAGASGLALTIIFYIVDVKHFRKPTMILQWMGMNALIIYALAACDLFPAAIQGFYWGSPENNLVDDTESLFQVMLHSKKWGTLVFVIVEILFWGLVAGFLHLKGIYVRL >Potri.011G149100.1.v4.1 pep chromosome:Pop_tri_v4:11:17632075:17632917:-1 gene:Potri.011G149100.v4.1 transcript:Potri.011G149100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G149100.v4.1 MAPPEHKPTSEGDKNKLLGREVRDMVDVITNRIAGLHNQESSADEDDHGIRIITLAGTNTGATMRSELDDQKGKKLPDGESFGEPEASGTYVNSNFQALNNSIMFGSHYNTNDPGVHMDISDTFEPHGLKPGKHGKKGKKKDKGLKEENHSDHSD >Potri.008G211300.1.v4.1 pep chromosome:Pop_tri_v4:8:17653652:17656088:1 gene:Potri.008G211300.v4.1 transcript:Potri.008G211300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211300.v4.1 MGLESETKKSQLVLEICSLSTLSVACVHRHRSSSYGPVESHFIDWYRILGVEEDADIEVIKKRYRKLALQLHPDKNKHPRAELAFKLVFEAYSYLTDNIKRGAFNLERSKNFCIKCNRIPYTLGNNLSKSHAPKVAEESNAANRSKWLRNRVREMKQRFKEEIKVMENCLKANSASRKEAPLFKPSDNCHFHSNTRSVTPKESPVFDPSDYLLKGYPHIRTRIYRKPENSWDLQREDTLNNYGGQGTVKGRSYHDFPVFEKRSGRGTLKESAACVYS >Potri.007G136400.1.v4.1 pep chromosome:Pop_tri_v4:7:14814784:14816252:-1 gene:Potri.007G136400.v4.1 transcript:Potri.007G136400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G136400.v4.1 MTSQSRSADDIFAGFFGSNSPNQNRRETGISSRSADDIFAGFFGSNSPNQNRRGTGISSNLNGDDNDISRSFEQSFGVSAPGKDPAIKHTLPCSLEELYQGATKRVKITREVADRSGLTRETEEILTIDTKPGWKKGTKITFEEKGNERPNITPADVVFIVDEKPHSEFTRDGNDLIVTRRISVTEAFTGYTVHLITLDGRNLTLPINDVIHPNYQKFVPNEGMPILGDPTKRGILKIKFDIRFPTRVNAEQKAGIRRLFGP >Potri.002G177700.1.v4.1 pep chromosome:Pop_tri_v4:2:13829668:13830698:-1 gene:Potri.002G177700.v4.1 transcript:Potri.002G177700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177700.v4.1 MGTFKEDKHEANQEYYPEKIMKKCVICLCSILVCMAGGTLLLWWGYEYHPTNSQLWMVPSGLILLVTPVIAWVAAVVSATCNCKVEDDASKTNELVV >Potri.009G092700.1.v4.1 pep chromosome:Pop_tri_v4:9:8443153:8444958:1 gene:Potri.009G092700.v4.1 transcript:Potri.009G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092700.v4.1 MANPNVQEIIEKQVLTVAKAVEDKIDEEIAALDRLDLDDIEALRERRLQQMKKMAEKRSRWISLGHSEYTEIPSEKDFFSVVKASDRVVCHFYRDNWPCKVMDKHMGILAKQHIETRFVKINAEKSPFLAEKLKILVLPTLALIKNAKVDDYVVGFDELGGTDEFNTEDLEERLAKAQVIFFEGESSLNSSKSSAQTRRSVRQSESHDSSDSD >Potri.012G119350.1.v4.1 pep chromosome:Pop_tri_v4:12:13755388:13756252:-1 gene:Potri.012G119350.v4.1 transcript:Potri.012G119350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G119350.v4.1 MLLIFKSDLEWIVAELAAEVIGWSTDYVTQMPEHHCRSHPYHSHSRNQFKICSLNVLV >Potri.007G012700.1.v4.1 pep chromosome:Pop_tri_v4:7:984910:986798:1 gene:Potri.007G012700.v4.1 transcript:Potri.007G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012700.v4.1 MPHTTTPLPSKTGIQLEDVESAAKPQRIKPTDYTDNNINQDENKRLKDVEISVPVVYGTMAFYLGKKANELQSHKWTVYVRGATNEDLGVVIKQVVFQLHPSFDNPIRVVESPPFELSECGWGEFEICISILFHDDVCDKHVDLFHLLKLYPDAESGPQSTKKPVVVETYNEIVFPDPSENFLARVLNHPAVYVPRLPAGFNFPAPVPSPNMNVKGKDDTKNHPLNHWFINFSEADELLKLASARQQISLS >Potri.007G012700.3.v4.1 pep chromosome:Pop_tri_v4:7:984910:987425:1 gene:Potri.007G012700.v4.1 transcript:Potri.007G012700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012700.v4.1 MPHTTTPLPSKTGIQLEDVESAAKPQRIKPTDYTDNNINQDENKRLKDVEISVPVVYGTMAFYLGKKANELQSHKWTVYVRGATNEDLGVVIKQVVFQLHPSFDNPIRVVESPPFELSECGWGEFEICISILFHDDVCDKHVDLFHLLKLYPDAESGPQSTKKPVVVETYNEIVFPDPSENFLARVLNHPAVYVPRLPAGFNFPAPGARSYS >Potri.007G012700.6.v4.1 pep chromosome:Pop_tri_v4:7:984910:987425:1 gene:Potri.007G012700.v4.1 transcript:Potri.007G012700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012700.v4.1 MPHTTTPLPSKTGIQLEDVESAAKPQRIKPTDYTDNNINQDENKRLKDVEISVPVVYGTMAFYLGKKANELQSHKWTVYVRGATNEDLGVVIKQVVFQLHPSFDNPIRVVESPPFELSECGWGEFEICISILFHDDVCDKHVDLFHLLKLYPDAESGPQSTKKPVVVETYNEIVFPDPSENFLARVLNHPAVYVPRLPAGFNFPAPVPSPNMNVKGKDDTKNHPLNHWFINFSEADELLKLASARQQVQDHILKLRRQLSMLDGPPQPSKLAYGMISECT >Potri.007G006200.1.v4.1 pep chromosome:Pop_tri_v4:7:430651:431642:1 gene:Potri.007G006200.v4.1 transcript:Potri.007G006200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006200.v4.1 MEAYNSSTSLTTSSSMYSKQYSEETKGLKMPQSYHLSLHSIRKPQMKPCKKPIAPLPPTPPRVYKVDPINFRDLVQKLTGAPEPEPVPEPQHQPRLQSVAPPPLDLAKPTLYGRDFSAVPLQLLPSPAKTPLSALYQELMSESLDVKPKKISDSLMAVSSSLELNLSPSSRAWCSFPLLSPGTLSSLEQGTVL >Potri.008G108100.1.v4.1 pep chromosome:Pop_tri_v4:8:6851822:6857639:1 gene:Potri.008G108100.v4.1 transcript:Potri.008G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G108100.v4.1 MADKINALPCLSFLLFLLCLSNHTVECRAPFACDAKNGLTRSLKFCRVNMPLHVRVRDLIGRLTLQEKIRLLVNNAAAVPRLGIQGYEWWSEALHGVSNVGPGTKFGGAFPGATSFPQVITTAASFNKSLWEEIGRVVSDEARAMFNGGMAGLTYWSPNVNVFRDPRWGRGQETPGEDPVVAGKYAASYVRGLQGNSGFRLKVAACCKHYTAYDLDNWNGVDRYHFNARVSKQDLEDTYDVPFKSCVVEGKVASVMCSYNQVNGKPTCADPNLLKNTIRGEWRLNGYIVSDCDSVGVLYENQHYTATPEEAAAATIKAGLDLDCGPFLAIHTENAVKGGLLNEEDVNMALANTITVQMRLGLFDGEPSAQPFGKLGPRDVCTPAHQQLALHAAQQGIVLLQNSGRTLPLSRPNLTVAVIGPIADVTVTMIGNYAGVACGYTTPLQGISRYAKTIHQSGCIDVACNGNQQFGMAEAAASQADATVLVMGLDQSIEAEFRDRKDLLLPGYQQELISRVARASRGPTILVLMSGGPIDVSFAKNDPRIGAILWAGYPGQAGGAAIADVLFGTTNPGGKLPMTWYPQDYLAKVPMTNMGMRADPSRGYPGRTYRFYKGPVVFPFGHGMSYTTFAHSLVQAPQEVAVPFTSLYALQNTTAARNSIRVSHANCEPLVLGVHIDVKNTGDMDGTQTLLVFSSPPEGKWSANKKLIGFEKVHIVAGSKKRVKIDIPVCKHLSVVDRFGIRRLPIGKHDLHIGDLKHSISLQANLEEIKS >Potri.005G083800.8.v4.1 pep chromosome:Pop_tri_v4:5:5772708:5784919:1 gene:Potri.005G083800.v4.1 transcript:Potri.005G083800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083800.v4.1 MAEEGKRVGGISSGLAVLLNGEDRKENLSKTRLVSSCDDFGNQPVERALEYIFGLPNRSLGQLTGPVDAKLVSSIIKNEFSKFCVKSGDLVDNRDGVHISKDGCESQLVGLEELSICGDIRIIKPPLLVESLAMFSSARSNAYVWKGKWMYEVLLETSGVQQLGWATRSCPFTDHKGVGDADDSYAFDGKRVSKWNKDAEPYGQPWVVGDVIGCCIDLDHDEILFYRNGVSLGAAFRGIRKMGPGSGYYPAISLSQGERCELNFGARPFKYPIQGFLPLKAPPPANLLAVQLLQCLSRLSDTLGVERAESSLVGKLRRLKRFVSLEEVFYPVCHGICEEFFSVLEGYSGSTEYVAWGPLLSFMMEVFRVQAPHDYSVLDRFIDVFLEFQESCLMFEHIINALSSCCKTASLVLTECPYSGSYSYLAMVCHILRRKELMVLWWKLADFELLFEGFLSQKIPNKQDLQCMVPSVWWPSSGEDMYNDGRSMVLTTTALSEAINKIEEKHRDLCLLVMQFVPPTAPAQLPGSVFRTFLQNILLKNRGADRSVPPPGVSSNSVLVSLYTVILHFLSEGFAMRDICGWLKRCEPCGHDVGFLHRGGEQSFPVDLFLKNDPHRTDISRLGGSFSHLSKSHPVYDQEAEVIRWEEGCMDDEETRVTHKTTQKPCCCSSHDIELSKISKHQIRYNAKGSRVHCGPIPDRSAHVAAECSEGSLNDEIADKPSTSDQSEPDFGYRPMRDIRIVQRESDVSSATLREEELLDTLLLLYHIGVAPNFKQASHYMSHQAQSISLLEETDKQIKERVCSEKLRQLKEARNDYREDIIDCVRHCAWYRISLFSRWKQRAMYATCIWIVQLLLVLSRVDSLFIYIPEFYLETLVDCFHVLRKSDPPFVPPAIFIKQGLASFVTFVVSHFNDPRILSADLRDLLLQSISVLVQYKEYLAAFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKHGESSSSSSIVFQNLLREACIDDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKYQVLEFQQRKCCVIFDLSCNLAKVLEFCTREIPQAFLSGADTNLRRLTELIVFILNHITSAVDAEFFDLSLRRHGQSLEKVNRGMILAPLVGILLNLLDASVEMECGERNDVVGVFASMDCPDTVHCGFQYLLEYNWAGSSRGDAYSGKLRQLESFLNLLISRIELQQIERMKYGEETEADDNTCCICYTCESDAQFVPCSHKSCYGCITRHLLNCPRCFFCNATVLEVIKIGENRA >Potri.005G083800.7.v4.1 pep chromosome:Pop_tri_v4:5:5773366:5784933:1 gene:Potri.005G083800.v4.1 transcript:Potri.005G083800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083800.v4.1 MAEEGKRVGGISSGLAVLLNGEDRKENLSKTRLVSSCDDFGNQPVERALEYIFGLPNRSLGQLTGPVDAKLVSSIIKNEFSKFCVKSGDLVDNRDGVHISKDGCESQLVGLEELSICGDIRIIKPPLLVESLAMFSSARSNAYVWKGKWMYEVLLETSGVQQLGWATRSCPFTDHKGVGDADDSYAFDGKRVSKWNKDAEPYGQPWVVGDVIGCCIDLDHDEILFYRNGVSLGAAFRGIRKMGPGSGYYPAISLSQGERCELNFGARPFKYPIQGFLPLKAPPPANLLAVQLLQCLSRLSDTLGVERAESSLVGKLRRLKRFVSLEEVFYPVCHGICEEFFSVLEGYSGSTEYVAWGPLLSFMMEVFRVQAPHDYSVLDRFIDVFLEFQESCLMFEHIINALSSCCKTASLVLTECPYSGSYSYLAMVCHILRRKELMVLWWKLADFELLFEGFLSQKIPNKQDLQCMVPSVWWPSSGEDMYNDGRSMVLTTTALSEAINKIEEKHRDLCLLVMQFVPPTAPAQLPGSVFRTFLQNILLKNRGADRSVPPPGVSSNSVLVSLYTVILHFLSEGFAMRDICGWLKRCEPCGHDVGFLHRGGEQSFPVDLFLKNDPHRTDISRLGGSFSHLSKSHPVYDQEAEVIRWEEGCMDDEETRVTHKTTQKPCCCSSHDIELSKISKHQIRYNAKGSRVHCGPIPDRSAHVAAECSEGSLNDEIADKPSTSDQSEPDFGYRPMRDIRIVQRESDVSSATLREEELLDTLLLLYHIGVAPNFKQASHYMSHQAQSISLLEETDKQIKERVCSEKLRQLKEARNDYREDIIDCVRHCAWYRISLFSRWKQRAMYATCIWIVQLLLVLSRVDSLFIYIPEFYLETLVDCFHVLRKSDPPFVPPAIFIKQGLASFVTFVVSHFNDPRILSADLRDLLLQSISVLVQYKEYLAAFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKHGESSSSSSIVFQNLLREACIDDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKYQVLEFQQRKCCVIFDLSCNLAKVLEFCTREIPQAFLSGADTNLRRLTELIVFILNHITSAVDAEFFDLSLRRHGQSLEKVNRGMILAPLVGILLNLLDASVEMECGERNDVVGVFASMDCPDTVHCGFQYLLEYNWAGSSRGDAYSGKLRQLESFLNLLISRIELQQIERMKYGEETEADDNTCCICYTCESDAQFVPCSHKSCYGCITRHLLNCPRCFFCNATVLEVIKIGENRA >Potri.005G083800.4.v4.1 pep chromosome:Pop_tri_v4:5:5772574:5784896:1 gene:Potri.005G083800.v4.1 transcript:Potri.005G083800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G083800.v4.1 MAEEGKRVGGISSGLAVLLNGEDRKENLSKTRLVSSCDDFGNQPVERALEYIFGLPNRSLGQLTGPVDAKLVSSIIKNEFSKFCVKSGDLVDNRDGVHISKDGCESQLVGLEELSICGDIRIIKPPLLVESLAMFSSARSNAYVWKGKWMYEVLLETSGVQQLGWATRSCPFTDHKGVGDADDSYAFDGKRVSKWNKDAEPYGQPWVVGDVIGCCIDLDHDEILFYRNGVSLGAAFRGIRKMGPGSGYYPAISLSQGERCELNFGARPFKYPIQGFLPLKAPPPANLLAVQLLQCLSRLSDTLGVERAESSLVGKLRRLKRFVSLEEVFYPVCHGICEEFFSVLEGYSGSTEYVAWGPLLSFMMEVFRVQAPHDYSVLDRFIDVFLEFQESCLMFEHIINALSSCCKTASLVLTECPYSGSYSYLAMVCHILRRKELMVLWWKLADFELLFEGFLSQKIPNKQDLQCMVPSVWWPSSGEDMYNDGRSMVLTTTALSEAINKIEEKHRDLCLLVMQFVPPTAPAQLPGSVFRTFLQNILLKNRGADRSVPPPGVSSNSVLVSLYTVILHFLSEGFAMRDICGWLKRCEPCGHDVGFLHRGGEQSFPVDLFLKNDPHRTDISRLGGSFSHLSKSHPVYDQEAEVIRWEEGCMDDEETRVTHKTTQKPCCCSSHDIELSKISKHQIRYNAKGSRVHCGPIPDRSAHVAAECSEGSLNDEIADKPSTSDQSEPDFGYRPMRDIRIVQRESDVSSATLREEELLDTLLLLYHIGVAPNFKQASHYMSHQAQSISLLEETDKQIKERVCSEKLRQLKEARNDYREDIIDCVRHCAWYRISLFSRWKQRAMYATCIWIVQLLLVLSRVDSLFIYIPEFYLETLVDCFHVLRKSDPPFVPPAIFIKQGLASFVTFVVSHFNDPRILSADLRDLLLQSISVLVQYKEYLAAFESNEAATQRMPKALLSAFDNRSWIPVTNILLRLCKGSRFGSSKHGESSSSSSIVFQNLLREACIDDEELFSAFLNRLFNTLSWTMTEFSVSIREMQEKYQVLEFQQRKCCVIFDLSCNLAKVLEFCTREIPQAFLSGADTNLRRLTELIVFILNHITSAVDAEFFDLSLRRHGQSLEKVNRGMILAPLVGILLNLLDASVEMECGERNDVVGVFASMDCPDTVHCGFQYLLEYNWAGSSRGDAYSGKLRQLESFLNLLISRIELQQIERMKYGEETEADDNTCCICYTCESDAQFVPCSHKSCYGCITRHLLNCPRCFFCNATVLEVIKIGENRA >Potri.014G088800.2.v4.1 pep chromosome:Pop_tri_v4:14:5766582:5769722:-1 gene:Potri.014G088800.v4.1 transcript:Potri.014G088800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088800.v4.1 MSVYDGAFVNTELSKPTSIFGLRLWVVIGILLGSLIVLALFLLSLCVTSRRKNRQFKLTKTDTTPPISKEIQEIVHLPVQDHNHHAIQVPEIQVGIGKVEHRVVFSSGESRGTASGGETASFGSGSVGPEVSHLGWGRWYTLRELEAATGGLCEENVIGEGGYGIVYRGVLSDGTKVAVKNLLNNRGQAEREFKVEVEVIGRVRHKNLVRLLGYCVEGAYRMLVYEYVDNGNLDQWLHGDVGEVSPLTWDIRRNIILGTAKGLAYLHDGLEPKVVHRDVKSSNILLDRQWNSKVSDFGLAKLLHSERSYVTTRVMGTFGYVAPEYACTGMLNEKSDVYSFGILIMEIISGRSPVDYSRPQGEVNLVEWLKTMVGNRKSEEVVDPKLPEMPASKALKRALLVALKCVDPDATKRPKMGHVIHMLEADDLLFRDERRVGREPSHSQHDYEQENHAAMKFIDKQSGEGTSDTSEGESGRKHHQPTRWR >Potri.012G091400.3.v4.1 pep chromosome:Pop_tri_v4:12:11610403:11613683:-1 gene:Potri.012G091400.v4.1 transcript:Potri.012G091400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091400.v4.1 MEETHNTATAATPTISGELMSSDFQFSYQNSLPKPDVQILTSNGLRIPAHTGILASVSPVLENIIDRPHKHHSSEKIIPILGVPCDAVSLFIQFLYSSRCSEEELEKYGIHLLALSHVYLVPQLKHTCSKAVGHRLTVENVVDVLQLARLCDSPDLYVKCMKMLSDNFKAVEKTEGWKFMQENDPFLELEILRFIDEAESRKKRTRRHREEQRLFMELSEAMECLEHICTEGCTTVGPCDLGPSNKRRGPCNKFSTCEGLQLLIKHFTMCKNRVNGKCSRCKRMGQLLRLHSSICDQTDSCRVPLCRYLNKIPLFC >Potri.012G091400.1.v4.1 pep chromosome:Pop_tri_v4:12:11610418:11613744:-1 gene:Potri.012G091400.v4.1 transcript:Potri.012G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091400.v4.1 MEETHNTATAATPTISGELMSSDFQFSYQNSLPKPDVQILTSNGLRIPAHTGILASVSPVLENIIDRPHKHHSSEKIIPILGVPCDAVSLFIQFLYSSRCSEEELEKYGIHLLALSHVYLVPQLKHTCSKAVGHRLTVENVVDVLQLARLCDSPDLYVKCMKMLSDNFKAVEKTEGWKFMQENDPFLELEILRFIDEAESRKKRTRRHREEQRLFMELSEAMECLEHICTEGCTTVGPCDLGPSNKRRGPCNKFSTCEGLQLLIKHFTMCKNRVNGKCSRCKRMGQLLRLHSSICDQTDSCRVPLCRQFKLKMQRERRGDETLWSLLVKKVASARVMSSLSLPKRKREEPRETIHDHGIRNFRK >Potri.006G038201.1.v4.1 pep chromosome:Pop_tri_v4:6:2505626:2506618:1 gene:Potri.006G038201.v4.1 transcript:Potri.006G038201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038201.v4.1 MKHYCMVKSLMFKCFSLRSIIFLVFLTMLLVLLPLMLPPLPPPPSILMFLPVLIMSFLILLAFSSSQIPHIALHSST >Potri.016G111400.1.v4.1 pep chromosome:Pop_tri_v4:16:11420896:11424354:1 gene:Potri.016G111400.v4.1 transcript:Potri.016G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G111400.v4.1 MNPTTEPPQPPQPHRPSTSCDRHPEEHFTGFCPSCLCERLAVLDPNTSSAASSSSSRKPTATAALKAIFKPPQPSSSNNNNNSSKSSFFPELRRTKSFSASKNEGFSGVFEPQRKSCDVRVRNTLWSLFNQDSERNPSVKKEPFKGGPEIEAVEPRISCSSVRGPVFESKEEEEIENETDTENENVNANIGGDVLANGVLEESNLTARNSNANPIDEIIEEEEEEGEEEDDFEDDEEGIVIEPEPVQEAVLEELKTMKDHIDLDSQSKKTSGRDFKEIAGSFWSAASVFSKKLQKWRQKQKLKKQRNDGPGSATLPVEKPIGRQYRETQSEIADYGFGRRSCDTDPRFSLDAGRISFDDPRYSFDEPRASWDGYLIGRTFPRMPTMVSVVEDAPVNVVLRSDTQIPVEEPPRISMNSINEDEAVPGGSAQTRDYYSDSSSRRRKSLDRSNSIRKTAAAVVAEIDELKAVSNAKVTPATADYIHGPKLVVPDRDFRDSNSNSLRDDCSETFDMGFRDNASLVGGNGERKGAKKPRRWSKAWNIWGFIHRRSVNKEDDDDRYSRANGVERSFSESWPELRGERNGDVRGGFNPKILRSNSSVSWRNSHNFGGGGSFSSARKSSVETNGNGRKKRDEFVLERNRSARYSPNNMENGLLRFYLTPLRNSRRNGWGKSKSSQAQSIARSVLRLY >Potri.014G037200.1.v4.1 pep chromosome:Pop_tri_v4:14:2349029:2352687:1 gene:Potri.014G037200.v4.1 transcript:Potri.014G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037200.v4.1 MFSSSKHIIMRSVSPFPDLSLQISPPSVEAKETGYDGGLTRKALCSDRSSTTDSGSSGSDLSHENGFLNQERSYNLGPSEPTLSLGFDMADLSSQTLQLPRNLNHHHHQPQIYGRNFKRSARMINGVKRSVRAPRMRWTTTLHAHFVHAVRLLGGHERATPKSVLELMNVKDLTLAHVKSHLQMYRTVKSTDRGPGQELTDMGLSQRAGILEVDALGLSSGKADANNLPQPLNNPPPSPPPPPLSSTQKNQRNSGPSSILEGDEKNISSLEALTYVNVEAHDHSKEDGHAEALHMAANLKERLDSSSSSSSDMLLNLEFTLGRPSWQLDYAETTNELTLLKC >Potri.009G012500.1.v4.1 pep chromosome:Pop_tri_v4:9:2274232:2275575:-1 gene:Potri.009G012500.v4.1 transcript:Potri.009G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012500.v4.1 MDIKRKSCILAAFFLVYVSRKAYSVQGANSKAKKSPFDAAITHYSMLSPSPSGNERAFCQARGACRSKTLVCPDQCKVRKPVKNKKQKGCFINCSSKCEVTCKFRRPNCNGYGSLCYDPRFVGGDGVMFYFHGAKGGNFAIVSDDNLQINAHFIGTRPKGRTRDFTWVQALSIMFDTHTLVIAAKRVSKWDDNFDALTVKWNGQTVDNIPTDGDAEWRANGEEREVVVERTDDTNTVRVQVANLVELNIKVRPIGKEENRAHNYQLPENDAFAHLETQFKFFNLTDLVEGVLGKTYRAGYVSPVKIGVPMPMMGGEDKYQTPSLYSPLCNVCRFQPQSGTATI >Potri.004G199100.1.v4.1 pep chromosome:Pop_tri_v4:4:20999014:21003596:1 gene:Potri.004G199100.v4.1 transcript:Potri.004G199100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G199100.v4.1 MNIEEVQSTAKKQRIATHTHIRGLGLEPSGRAIDMAAGFVGQKEAREAAGLVVDMIKQKKMAGRALLLAGPPGTGKTALALGVSQELGTKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRIKENKEVYEGEVTELSPEETESLTGGYGKSIGSVIIGLKTIKGTKQLRLEASIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVSKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPRKTEITDKLRQEINKVVNGFIDKGTAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTQTYGPAEMIQILAIRAQVEELHIDEESLADLGEIGQRTSLRHAVQLLSPASIVAKLNGREEICKADLDEVCALYLDAKSSAKLLQDQQEKYIS >Potri.007G092500.2.v4.1 pep chromosome:Pop_tri_v4:7:11816458:11818994:-1 gene:Potri.007G092500.v4.1 transcript:Potri.007G092500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092500.v4.1 MASLKVPASVPPPYEDAEQLHKAFEGWGTNEGLIISILAHRNAAQRNLIRKVYAEAYGQDLLKDLDKELSSDFERAVLLWTLDPAERDAYLANEATKRFTSSNWVLMEIACTRSSHDLFKVRQAYHARYKKSLEEDVAYHTTGDFRKLLVPLVSAFRYEGEEVNTILAKSEAKILHEKISDKAYSDEEIIRILTTRSKAQLNATLNHYNNAFGNAINKNLKEEADNDFLKLLRATIKCLTYPEKYFEKLLRLSIKKLGTDERALTRVVTTRAEVDMERIKEEYHRRNSVTLERDIAGDTSGDYERMLLALIGHGDA >Potri.006G077500.1.v4.1 pep chromosome:Pop_tri_v4:6:5751557:5752095:1 gene:Potri.006G077500.v4.1 transcript:Potri.006G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077500.v4.1 MASRVSLKTKGKSSSGKGAKGMEEKSASQYFKEWSTWSFKKAKVITHYGFIPMIIIIGMNSEPKPQIHQLLSPF >Potri.012G120340.1.v4.1 pep chromosome:Pop_tri_v4:12:13810548:13811792:1 gene:Potri.012G120340.v4.1 transcript:Potri.012G120340.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120340.v4.1 MSSNKPHYEADPDDILRRDSQRWTFKPRGFNIIWGLDERYWKLPEKGKVEPAELLQVCWLELTGTTKDSLPEGKYEIKFKLEVKPGAFGLSNSPIFMMAKVGKRGRYKWNKIKLQEKNSDNRPVIVEPTFQIEVKGTTDDNKLYFGLYEVWTGKWKGGLLIHGATVDPVMRP >Potri.010G011350.1.v4.1 pep chromosome:Pop_tri_v4:10:2050907:2051263:-1 gene:Potri.010G011350.v4.1 transcript:Potri.010G011350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011350.v4.1 MACSILSAIITRSVKCCAELLTKNSKYGVLKVLENKLIISFSNMGGLTCAATSLHLRAYSLMDSLLFFSIACKLNRSGPTSILNLYCLMKASTKSFHFLTLILSNCIYQVRAAPLKLS >Potri.008G224373.1.v4.1 pep chromosome:Pop_tri_v4:8:19099024:19099185:-1 gene:Potri.008G224373.v4.1 transcript:Potri.008G224373.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224373.v4.1 MSTTGRGRHSVLRIFKGRRGRTGHHATCGALPAAGPYLRLSRFQGGRAVKQKR >Potri.008G117200.1.v4.1 pep chromosome:Pop_tri_v4:8:7503739:7508919:1 gene:Potri.008G117200.v4.1 transcript:Potri.008G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117200.v4.1 MGFAASMSSPPPPLQLSSSSSSSSSWSKSALFSVTPVSFSPPTGKNAQYRKRLVVLSKRVSPLEEGMRIRRERELQKTVKFRKRPPLRRGRVSPRLPVPDDIPKPPYLGSNELPDISSEHQIHDSEGIVKMRAACELAARVLDNAGKLVRPSVTTNEIDKAVHQMIIDAGAYPSPLGYGGFPKSVCTSVNECMCHGIPDSRQLQDGDIINIDVTVYLNGYHGDTSKTFLCGNVNDALMRLVKVTEECLERGIALCKDGASFKKIGKRISEHAEKYGYGVVERFVGHGVGTVFHSEPLILHNRNDRPGIMVEGETFTIEPILTIGSTECITWPDNWTTLTADGSPAAQFEHTILITRTGAEILTKC >Potri.002G132900.1.v4.1 pep chromosome:Pop_tri_v4:2:10015855:10017618:-1 gene:Potri.002G132900.v4.1 transcript:Potri.002G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G132900.v4.1 MLMPRLIHKLTLLFLFLSLTTATITQQFKEAPQFYNSPDCHSIDQDGIDSDSESDGDKTIFCSEHAVHVAMTLDTAYIRGSMAAILSVLQHTSCPQNIAFHFVASASANTSLLRATISSSFPYLNFRVYTFDDSSVSRLISTSIRSALDCPLNYARSYLANIIPLCVRRVVYLDSDLVLVDDIAKLAATPLGEQSVLAAPEYCNANFTSYFTPTFWSNPSLSLTFADRKPCYFNTGVMVIDLDRWREGDYTTKIEEWMELQKRIRIYELGSLPPFMLVFAGDIVPVDHRWNQHGLGGDNFKGLCRDLHPGPASLLHWSGKGKPWARLDANRPCPLDALWAPYDLLQTPFALDC >Potri.019G051600.1.v4.1 pep chromosome:Pop_tri_v4:19:8571399:8575072:-1 gene:Potri.019G051600.v4.1 transcript:Potri.019G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G051600.v4.1 MNCFSCFQSQKSKRENGSPMLSEIKKQKPGDGNKMEEDRDNVEIGDIETQSFTFRELATATRNFRQECLLGEGGFGRVYRGTLASTGQVVAVKQLDRNGLQRNEFLGEVLTLSRLHHPNLVNLIGYCADGDQRLLVHELMSGGSLEDHLLDIAAERKPLNWFARMKVTFGTAKGLEYLHEKADPAVIFRDLKPSNIFLDEDFTPKLSDFGLAKLGPSGDKMHPSSRVMGTYGYCAPEYARAGHLTQKSDVYGFGVILLELITGRRAIDTTKPIDEQNLVSWAQPKFKDPKKYPDMADPLLNKQFPEKDLNQVVAIAAMCLQDESSARPLMSDVVTALSFLSTATDMPQLIPPSEEKDGSDDDDKASDGSQSEESSDNEDREKEHDGDTSDHHDDESSDNEDGDSDCRDQQTVTGSRNFTTSSSMKSMDDSVSSARKNSRRLEEFTSNGRGSQYGSVSSNGASSRSSHCNASFHRKSSKKSKNSLSHKSSTGSRLEGSTVSTSGKSSSSKSRDRNASLSFSSGQDLEDEVGSVKSQ >Potri.007G135000.1.v4.1 pep chromosome:Pop_tri_v4:7:14731899:14734715:1 gene:Potri.007G135000.v4.1 transcript:Potri.007G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135000.v4.1 MSENIMAAEEAITSSYNGSVENPVGGSNPPALKKKRNLPGTPDPEAEVIALSPKTLMATNRFLCEICGKGFQRDQNLQLHRRGHNLPWKLKQRTNNEVRKRVYVCPEKTCVHHHPSRALGDLTGIKKHFCRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYKCDCGTLFSRRDSFITHRAFCDALAEETARVNAVSSIRNLTAGNISYHLPGNPLGPNMAQHFSSIFKPISSNDHHTRQGGLSLWMHQGGVPHVSEAMGNNIQEIHQIGAMTSSGAIFGDPLAVSCSSTPPSDHYQLNWPVFGNKISSNNAHEELTSTLVLPLSNVKEAAAASQLVSVPSLYSTQQQQSHQTTSANMSATALLQKATQIGATSTDPSFLGSFGLKSFSTKAQDGNNKFCGLYGSSPISTNPASDMENSGNDEIPTLNQLQMYSAKRQKIFQSDQDSPAGGQTRDFLGVGVQAICHPSSINGWI >Potri.017G033000.1.v4.1 pep chromosome:Pop_tri_v4:17:2280674:2284184:1 gene:Potri.017G033000.v4.1 transcript:Potri.017G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G033000.v4.1 MFCSRARQHGTLSLLSNLFKNSSKPSLFSSISNPNISNPKTATKRPTSLATLNPQETSLTVSITSSPVASICSLLSNSVKEPVKIESLLEDYKEMLNSDIVLQVLMNYKQLGRVKTLEFFSWAGMQMGFKFDDCVIEYMVDFLGRRKLFDDMKCFLMTVLFHNGRVTCRAFSICIRFLGRQGRFREALCLFQEMETKFNCRPDNFVYNNMLYVLCKKDTSGELIDVALSIFRGIESPDTYSYSNVLVGLCKFGRFETAIEFFQEMGRARLVPTRSAVNFLMRELCLLSAKEGAVNEVRVHSSRKPYTILVPNVGPKSGAIRPAVGVFLAVCDLGLLPSTFVIIQLITELCRLGKMTEAFEILKVVEERKQNCVAEGYSIVMQALCEYRLVEEASHLFGRMLSLELKPKLVVYNSIICMLCKVGRLDDAQRVFQIMSKKRCLPDSVTYTALVHAYGEARNWEVAYDLLMEMLGLGWIPHFHAYTLVDKLLREHGRIDLSNKLERKLESQILHKHCKAGQLEAAYEKLRSMLEKGFYPPMYVRDAFEHAFQKYGKLEIARELLENMDKACEPSTTEIRSS >Potri.010G199400.1.v4.1 pep chromosome:Pop_tri_v4:10:19214119:19216554:1 gene:Potri.010G199400.v4.1 transcript:Potri.010G199400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199400.v4.1 MVKGRQGERVRLYVRGTILGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKRDGTHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGCRVRVFMYPSNI >Potri.002G068600.1.v4.1 pep chromosome:Pop_tri_v4:2:4753238:4756277:-1 gene:Potri.002G068600.v4.1 transcript:Potri.002G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068600.v4.1 MLGDSSSVLATTTTTLAASGGGGVGNREAPPLTGGHHEGRNIDVGGEDDKGVIEGHRGIGGNRWPRQETMALLKIRSDMDVAFRDASVKGPLWEDVSRKLAELGYNRSAKKCKEKFENVYKYHKRTKDGRSGKQEGKTYRFFDQLEAFQSHPPSLSSPLPPQPTKPHIPPANTIAMPVVNPSPNVVGTSRNTVPSTAAATLATNTSQGIVTSAINLAVPPFPSTDPTICPPSQATNPTNHPRTNIPSSFPNFSSDLNSNSTSSSTSSDVELQGRRKRKRKWKDFFERLMTEVIQKQEETQNKFLEAIAKRENERMVREESWRMQEMARINREREISAQERSIAATKDAAVMAFLQKLSEQQNPGQVQNNPPPTQPPPPALPPISQQTPTPTPPPPLPVAQVPPPQPVANLDIMKSDNGDQNFMSASSSRWPKVEVEALIGLRTNLDCKYQENGPKGPLWEEISAGMRKLGYNRNAKRCKEKWENINKYFKKVKESSKKRPEDSKTCPYFHQLDALYKEKNKIDGPSNSTNHMKPQNSVPLMVLAEQQWPPAQQEHRPDSMMGDLESDDNQNQEDGDDKFMDDEDEDEASGYEIVANKQTSMNTAG >Potri.002G068600.2.v4.1 pep chromosome:Pop_tri_v4:2:4753230:4757036:-1 gene:Potri.002G068600.v4.1 transcript:Potri.002G068600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068600.v4.1 MPLFQLLLYIRKLAELGYNRSAKKCKEKFENVYKYHKRTKDGRSGKQEGKTYRFFDQLEAFQSHPPSLSSPLPPQPTKPHIPPANTIAMPVVNPSPNVVGTSRNTVPSTAAATLATNTSQGIVTSAINLAVPPFPSTDPTICPPSQATNPTNHPRTNIPSSFPNFSSDLNSNSTSSSTSSDVELQGRRKRKRKWKDFFERLMTEVIQKQEETQNKFLEAIAKRENERMVREESWRMQEMARINREREISAQERSIAATKDAAVMAFLQKLSEQQNPGQVQNNPPPTQPPPPALPPISQQTPTPTPPPPLPVAQVPPPQPVANLDIMKSDNGDQNFMSASSSRWPKVEVEALIGLRTNLDCKYQENGPKGPLWEEISAGMRKLGYNRNAKRCKEKWENINKYFKKVKESSKKRPEDSKTCPYFHQLDALYKEKNKIDGPSNSTNHMKPQNSVPLMVLAEQQWPPAQQEHRPDSMMGDLESDDNQNQEDGDDKFMDDEDEDEASGYEIVANKQTSMNTAG >Potri.002G005800.1.v4.1 pep chromosome:Pop_tri_v4:2:328941:331764:1 gene:Potri.002G005800.v4.1 transcript:Potri.002G005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005800.v4.1 MLAMEELLCELTCEDINEQGLPPGFRFHPTDEELITFYLASKVFNGSFCGVDIAEVDLNRCEPWELPDVAKMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSYRHSCKEEWVICRIFHKKGEKKNVFLQAGQGYHLMEASSPANSGSLPPLLEASASIFDQCQSKNTVVEAFQNAFLIHEHQENDLKSLLNPLVSQSNAMSINGFQPCFSPTSISINSTTTSTNKNTDTNTNNQSPSMLFKSILSYQDCALKEQSSTLYKQCNTEANFSHFQLHDAHLGCVDKIIHPNPYQNNPLFFEMDCSSNILGFSESASAADTIAHDMSTSIAFSRAGLQMMLDTPIRLPAESWPFDP >Potri.012G120860.1.v4.1 pep chromosome:Pop_tri_v4:12:13848107:13849365:1 gene:Potri.012G120860.v4.1 transcript:Potri.012G120860.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120860.v4.1 MSYDSNNHQASAGVNPPPPTSCPPKQEVCYPEYLSSQPPMQGYFEAGPDVAPPLVSDPMKYGPQHLQPPPPPPERTNKTDDEFCTGW >Potri.015G117500.1.v4.1 pep chromosome:Pop_tri_v4:15:13191924:13196294:1 gene:Potri.015G117500.v4.1 transcript:Potri.015G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117500.v4.1 MDMPSSCKYPMFFGRNLNSITCKGLALIVIALFLRVVLLPSFSGYGGVDKNNLDLIHSRSLSLDSDNGIRKEKFLEVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEIDRLQPISFDKVFQFERFNALCNGFVQLGRYSDLRNRTGVYELQKGSGRKWTIERDLDQLKEFSEDSFNGYEVIRIVGKNPFLWHDHWPVKDYARVFECLVLVDEITKEADKVVSKIREVGKELRSKSQSAQGGVDPDSSWLQPVPYVAVHMRIEIDWMIHCKKLEQRLNVSEICSSKQEIMERVGNIVGLKSPIVVYLAVADSLLEDSSILTGWKEGLLPVEKKKLSVDGVYKKYPYLIQSAIDYEVCSKADVFVGNSFSTFSSLIALERTQKMIRIGVTSSCGVDVRWPSYAYNILGESNGPHKWMTNMSDSSLKAISYGSNIISC >Potri.004G121400.2.v4.1 pep chromosome:Pop_tri_v4:4:11585607:11592533:1 gene:Potri.004G121400.v4.1 transcript:Potri.004G121400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121400.v4.1 MVARKKHYRPPGKKKEGNAARYVTRSQAIKQLQVTLGFFRRLSILKGIFPREPKKKFKGNNHTYYHVKDVAFLQHEPLLDKFRDIRAYQKKIKKAEAKKNADLATLLRTREPTYKLDRLVRERFPKFVDALRDLDDCLTMVHLFAALPAVERAKIDVELIHNCRRLSHEWQAYVSRTYKLRKVFISVKGIYYQAEIEGQKITWLTPHAMQQVLPDDVNFSVMLTFLEFYETLLGFVNFRLYHSINVKYPPILDPQLEALASDLYALSRYIVSNSRTSTLEPTAASSSTSQQLEAQEKEMQADESELRLAQLQHQLPSNEPGALMHLMENTECENEDDQDTKECRRLFKNMKFFLGREVPRESLLFVIPAFGGVVSWDGEAAPYKESDQSITHQIVDRPTQGHKYLSREYVQPQWIYDCINARIILPTEAYMVGRIPPPHLSPFVDNDAEGYIPDYAETIKRLQAAAKNEVLPMPGVGKEDLDDPQNLLVEGYISRAEANEAVKTKKKMATLEKQYHEELQRELQGAVMKKSKQGSEEDAKASEESVPDPKQIAEDIDTMSKLGMSRKKRGLLEAIEKRKERDTARISKLKERKKKLKSSEN >Potri.002G014800.4.v4.1 pep chromosome:Pop_tri_v4:2:909082:911210:-1 gene:Potri.002G014800.v4.1 transcript:Potri.002G014800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014800.v4.1 MIMLIPYMQRASKLLILHKFISSIRLYNSFASQLSPTFHAFSNVDSLVSALITAISTCSSISYCRALHCRVIKSVNYNHGFIGDQLVSSYVELGCTKDALELFDELPDKDLVSWNSLISGFSRRADLGICLGLLFRMRFEMGLKPNEVTVIPVVSACAGVGELDVGKCIHGIAVKSGMLLEVKVVNSLINLYGKCGCLEAACCLFEGMSVQSLVSWNSMVAVHVHMGLAEKGIGYFIMMRRAGINSDQATVVSLLLACENLGVRKLAEAVHGYILNGGLDGNLAIATALLDLYAKLGTLSDSCKVFGGMINPDAVAWTAMLSSYAMHGRGREAIEHFELMVREGVVPDHVTFTHLLSACSHSGLVEEGKNYFKIMYEVYGVELRVEHYSCMVDLLGRSGHLNDAYKLIKSMPMEPNSGVWGALIGACRVRGNIELGKEVAERLFSLDPSDSRNYITLSNMYSAAGQWRDASKVRALMKERVLIRNPGCSYIEHGNKIHCFVMGDQSHPDTEQIYNKLEELVRKNREVGFASKTEYVLHDVDEEVKEDLINKHSEKLAIAFGLLVTNAGMPLIITKNIRICGDCHGFAKLISLIEKRTIIIRDTKRFHHFTNGLCSCGDYW >Potri.008G159200.2.v4.1 pep chromosome:Pop_tri_v4:8:10935622:10939096:1 gene:Potri.008G159200.v4.1 transcript:Potri.008G159200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159200.v4.1 MGNEMGNNNTSGLREEDSTTDEAQEKSVQEPTNANAVKEENHVVPAGESKDYHEKVKGLDCDDRKGSGDTHDHNQTSDEEEHAEAHPTPESPKAETKPNEVGGGDNEFHPASFPNELEGDEKSTESHLEETVLGANSNQLERQTSFKKEEQEIMSVSTFVTISPSHDPEPQESMDLKFDQQEFIENHSEQSINDSTNSLETSENIVGSNVVEDIITKENGHLVETCASDNLDGILVSGTTLNMEKKIGDLSEKEMASQEDKMLSEEKVDVEVGNEVGNDLSKTVVMEPTAIRSDSRSEHREKCEEEFTREMDCYGNNCSESQPQINLIANSPNSHMELSVPEDKCMVLTEETEFTRKESETEVNKHDYSPNQLMDDSNRESDIELEHASQTDSFLGPSFKNNEESSVDASHDPASNGSCQVEEAKVSENVYIDLFNDIQSEASEDGCKESEGDTMIVPELGIIPEALSMSNGKGNEGETDCKLEEEKTAEKQIVEEIKEKTEAPCAIGKGAEEQQGGEQLVSKTLAVQAEAYNPQAPASLFQSQDQQQEKVTVSGDAQGSNALTLELKPESCEEFLVAKVSTDQAEVMNILGTSASAVELAMVKPQEEASYYFIAAREVVRETKSLAFDQCEKCDSTIFPKGGYEAQESVGRLSTESNPDNLNIHVQMRKSPSFDLDLRIEARSEESDQTPLLYQDKITVESLSDQSDVSLQSPHLLSQCSQETLRALPVEEKVIALERSDSEKSRTPFLGFLKEDEEAHAVVTPKKQDNHAAAKKTTKDLWNSPTKEVASASPKGKEKHKRRTSLFGQCMCCATVIN >Potri.008G159200.3.v4.1 pep chromosome:Pop_tri_v4:8:10935571:10939190:1 gene:Potri.008G159200.v4.1 transcript:Potri.008G159200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159200.v4.1 MGNEMGNNNTSGLREDSTTDEAQEKSVQEPTNANAVKEENHVVPAGESKDYHEKVKGLDCDDRKGSGDTHDHNQTSDEEEHAEAHPTPESPKAETKPNEVGGGDNEFHPASFPNELEGDEKSTESHLEETVLGANSNQLERQTSFKKEEQEIMSVSTFVTISPSHDPEPQESMDLKFDQQEFIENHSEQSINDSTNSLETSENIVGSNVVEDIITKENGHLVETCASDNLDGILVSGTTLNMEKKIGDLSEKEMASQEDKMLSEEKVDVEVGNEVGNDLSKTVVMEPTAIRSDSRSEHREKCEEEFTREMDCYGNNCSESQPQINLIANSPNSHMELSVPEDKCMVLTEETEFTRKESETEVNKHDYSPNQLMDDSNRESDIELEHASQTDSFLGPSFKNNEESSVDASHDPASNGSCQVEEAKVSENVYIDLFNDIQSEASEDGCKESEGDTMIVPELGIIPEALSMSNGKGNEGETDCKLEEEKTAEKQIVEEIKEKTEAPCAIGKGAEEQQGGEQLVSKTLAVQAEAYNPQAPASLFQSQDQQQEKVTVSGDAQGSNALTLELKPESCEEFLVAKVSTDQAEVMNILGTSASAVELAMVKPQEEASYYFIAAREVVRETKSLAFDQCEKCDSTIFPKGGYEAQESVGRLSTESNPDNLNIHVQMRKSPSFDLDLRIEARSEESDQTPLLYQDKITVESLSDQSDVSLQSPHLLSQCSQETLRALPVEEKVIALERSDSEKSRTPFLGFLKEDEEAHAVVTPKKQDNHAAAKKTTKDLWNSPTKEVASASPKGKEKHKRRTSLFGQCMCCATVIN >Potri.008G159200.1.v4.1 pep chromosome:Pop_tri_v4:8:10935492:10939171:1 gene:Potri.008G159200.v4.1 transcript:Potri.008G159200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159200.v4.1 MGNEMGNNNTSGLREEDSTTDEAQEKSVQEPTNANAVKEENHVVPAGESKDYHEKVKGLDCDDRKGSGDTHDHNQTSDEEEHAEAHPTPESPKAETKPNEVGGGDNEFHPASFPNELEGDEKSTESHLEETVLGANSNQLERQTSFKKEEQEIMSVSTFVTISPSHDPEPQESMDLKFDQQEFIENHSEQSINDSTNSLETSENIVGSNVVEDIITKENGHLVETCASDNLDGILVSGTTLNMEKKIGDLSEKEMASQEDKMLSEEKVDVEVGNEVGNDLSKTVVMEPTAIRSDSRSEHREKCEEEFTREMDCYGNNCSESQPQINLIANSPNSHMELSVPEDKCMVLTEETEFTRKESETEVNKHDYSPNQLMDDSNRESDIELEHASQTDSFLGPSFKNNEESSVDASHDPASNGSCQVEEAKVSENVYIDLFNDIQSEASEDGCKESEGDTMIVPELGIIPEALSMSNGKGNEGETDCKLEEEKTAEKQIVEEIKEKTEAPCAIGKGAEEQQGGEQLVSKTLAVQAEAYNPQAPASLFQSQDQQQEKVTVSGDAQGSNALTLELKPESCEEFLVAKVSTDQAEVMNILGTSASAVELAMVKPQEEASYYFIAAREVVRETKSLAFDQCEKCDSTIFPKGGYEAQESVGRLSTESNPDNLNIHVQMRKSPSFDLDLRIEARSEESDQTPLLYQDKITVESLSDQSDVSLQSPHLLSQCSQETLRALPVEEKVIALERSDSEKSRTPFLGFLKEDEEAHAVVTPKKQDNHAAAKKTTKDLWNSPTKEVASASPKGKEKHKRRTSLFGQCMCCATVIN >Potri.008G159200.4.v4.1 pep chromosome:Pop_tri_v4:8:10935579:10939084:1 gene:Potri.008G159200.v4.1 transcript:Potri.008G159200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159200.v4.1 MGNEMGNNNTSGLREDSTTDEAQEKSVQEPTNANAVKEENHVVPAGESKDYHEKVKGLDCDDRKGSGDTHDHNQTSDEEEHAEAHPTPESPKAETKPNEVGGGDNEFHPASFPNELEGDEKSTESHLEETVLGANSNQLERQTSFKKEEQEIMSVSTFVTISPSHDPEPQESMDLKFDQQEFIENHSEQSINDSTNSLETSENIVGSNVVEDIITKENGHLVETCASDNLDGILVSGTTLNMEKKIGDLSEKEMASQEDKMLSEEKVDVEVGNEVGNDLSKTVVMEPTAIRSDSRSEHREKCEEEFTREMDCYGNNCSESQPQINLIANSPNSHMELSVPEDKCMVLTEETEFTRKESETEVNKHDYSPNQLMDDSNRESDIELEHASQTDSFLGPSFKNNEESSVDASHDPASNGSCQVEEAKVSENVYIDLFNDIQSEASEDGCKESEGDTMIVPELGIIPEALSMSNGKGNEGETDCKLEEEKTAEKQIVEEIKEKTEAPCAIGKGAEEQQGGEQLVSKTLAVQAEAYNPQAPASLFQSQDQQQEKVTVSGDAQGSNALTLELKPESCEEFLVAKVSTDQAEVMNILGTSASAVELAMVKPQEEASYYFIAAREVVRETKSLAFDQCEKCDSTIFPKGGYEAQESVGRLSTESNPDNLNIHVQMRKSPSFDLDLRIEARSEESDQTPLLYQDKITVESLSDQSDVSLQSPHLLSQCSQETLRALPVEEKVIALERSDSEKSRTPFLGFLKEDEEAHAVVTPKKQDNHAAAKKTTKDLWNSPTKEVASASPKGKEKHKRRTSLFGQCMCCATVIN >Potri.005G066801.1.v4.1 pep chromosome:Pop_tri_v4:5:4327176:4327505:-1 gene:Potri.005G066801.v4.1 transcript:Potri.005G066801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066801.v4.1 MLVVIVVLACSAKVWSSFLACKAFGISARDAIPLGVLMNTKGLLALIVIGIGRDVQALDNVTYPAMVIVFVVMTSL >Potri.018G020600.1.v4.1 pep chromosome:Pop_tri_v4:18:1468593:1473195:-1 gene:Potri.018G020600.v4.1 transcript:Potri.018G020600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020600.v4.1 MAGTSVFAELVDEDTTVFKFYSDGEWKKSTSGKLVSIINPTTRKTQYKVQACTQEEVNKIIEAAKTAQKSWAKTPLWKRAELLHKAAAILKEHRAPIAECLVKEIAKPAKDAVTEVVRSGDLVSYCAEEGVRILGEGKFLVSDSFPGNERTKYCLTSKIPLGVVLAIPPFNYPVNLAVSKIAPALIAGNSIVLKPPTQGAVAALHMVHCFHLAGFPKGLVSCVTGKGSEIGDFLTMHPGVSCISFTGGDTGIAISKKAGMIPLQMELGGKDACIILEDGDLDLAAANIIKGGFSYSGQRCTAVKVILIMESVADTLVEKVKAKVAKLTVGPPEDDCDITPVVTESSANFIEGLVMDAKQKGATFCQEYKREGNLIWPLLLDNVRPDMRIAWEEPFGPVLPVIRINSIEEAIYHSNASNFGLQGCIFTRDINKAILISDAMETGTVQINSAPARGPDHFPFQGLKDSGIGSQGITNSINMMTKIKSTVINLPAPSYAMG >Potri.019G067200.1.v4.1 pep chromosome:Pop_tri_v4:19:10709736:10711674:-1 gene:Potri.019G067200.v4.1 transcript:Potri.019G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067200.v4.1 MGLKVVSSAIISFSLFLLLASTAKAQSKGVFDVTKYGSDKDITEALTNAWKDACASTNPSKVLIPSGTYSLRKLTLAGPCKAAIELQVDGMLKAPVDPNQFSGGHWVNFGHVDKFTLSGSGTFDGQGKAAWSKSTCQKDKDCDSLPMNLRFDFITNALVRDITTRDSKNFHVNVLGCKNLTFQHFTVSAPGESVNTDGIHVGQSTGIYIIDSKIGTGDDCISVGDGTEELHITGVTCGPGHGISVGSLGKYPNEKPVSGIFVKNCTLSDTTNGVRIKSWPALYGGVASNMHFEDIVMNNVQNPVIIDQVYCPWNQCSLKAPSKVKISGVSFKNIRGTSATPVVVQIACSSGFPCEKVELADIKLAYSGPDGPSKSQCSNVKPIISGIMSAPGC >Potri.007G080800.2.v4.1 pep chromosome:Pop_tri_v4:7:10505883:10507920:1 gene:Potri.007G080800.v4.1 transcript:Potri.007G080800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080800.v4.1 MEDRKDQKNAPWLSVPQFGDWDQKGELPDYSLDFSKIREMRKQNKKDVSRASLGNEEELINPTATAAKPAQTQDHHRHHHYHEGHHHSPTTRRSIFSYFNCCVKARG >Potri.001G458600.2.v4.1 pep chromosome:Pop_tri_v4:1:48384874:48388731:-1 gene:Potri.001G458600.v4.1 transcript:Potri.001G458600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G458600.v4.1 MAVSPALSIAGVGAGTRFSGEFKLLGRVETRLSQKPRKHSGCLCFYRHQLPRYYKCFWHEFRTEKLSLKESLALRKDHDDSFLSNVEDTDEMFDDLFNKYGKVVFTSNDKKPPTAEVDDDAESLSFAVEMAKVASDVKASDIKVLFVKPLVYWTRFFIIATAFSRPQIDAINSKIRDLAEKKYGKVPSGDRKPNSWTLLDFGDVVIHIFLPPQRDFYNLEEFYGNATPIELPLENQPPFSS >Potri.003G019500.1.v4.1 pep chromosome:Pop_tri_v4:3:2016642:2019845:-1 gene:Potri.003G019500.v4.1 transcript:Potri.003G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019500.v4.1 MMGSSLSASPQPLWRVPIQPLNLITTVASLRFGFLVHSSFKNISHLYHVPRLKDVWHEKASQLQGIDVLQFSNDVFASTCSSCLCFFNGGGARKGFRNEGSPNLETSGRSSFNGRQWTNILLAINILVYFAQIATRGKLLFWGAKVNSLIDKGQFWRLATSSVLHANIGHLMVNCYSLNSVGPTIENLSGPRRFIAVYLTSAIASSAMSYWFCRAPAVGASGAIFGLVGSLAVFVIRHRRMIGGGKEDLQNIAKVIFLNMMIGLLTKGIDNWGHLGGLLGGVATSWFVGPAWQYGPQSHDGRRVFVDKAPIRYLTNRKTEPKNFG >Potri.010G121100.1.v4.1 pep chromosome:Pop_tri_v4:10:13919841:13922731:1 gene:Potri.010G121100.v4.1 transcript:Potri.010G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G121100.v4.1 MAPVPFLVFFALFNPLLLCASTEDGSAFKKCLPFNCGKLGEIWFPFTKNTSPEMCGPCVVDGCNENSQRIQLVRGGKWFELHNISQAGLISITDEELRGHLNSNSCDSFNNLSLPPSLPYLSIQEFSNLTLFKCDLSVNFPHHLEFNYIGCKNFSIYYNRNTSLPSPPPTCSILQLPVNKAESYDDIFRQLTATFSIEVFVFPPCHHCYLQGGACQIIEGKPKCIHSIEEREKLILKLGLGLGVPFMVLIILVSVFFIRWHRHKRKHISSNVNSANASSDPSSKSDPEGDSNYFGVPIFSYSELEEATNNFDSKHELGDGGFGTVYYGKLRDGREVAVKRLYEHNRKRIKQFMNEIQILTRLRHKNLVSLYGCTSCYSRELLLVYEYIPNGTVADHLHHDRAKSGSLTWTIRMRIAIETAGALAYLHATDIIHRDVKTNNILLDNNFCVKVADFGLSRLFPNDVTHISTAPQGTPGYLDPEYHQCYQLTAKSDVYSFGVVLIELISSMPAVDMTRNQHEINLATLAMNKIQKCAFDELIDPYLGYKSDEEIKRMTTSVAELAFLCLQQGKEIRPGMNEVLKELKAMESGGYELENLEQEHGDNDASKKKEPPDCDVVALLKHIRSPLSSNSTTDQWFSSSTTPNVSN >Potri.007G091300.1.v4.1 pep chromosome:Pop_tri_v4:7:11698899:11702403:-1 gene:Potri.007G091300.v4.1 transcript:Potri.007G091300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091300.v4.1 MPISRFLSFSSKYRPRQALNLLERLGPSKRVQSSNLSKSTRQNNGKLDVRLVEQVQVEPRVPRSYAIPAAILGFAGLAAFVHYNDERRAVPKGQGSDRGNVKGPTIGGPFTLINTEDKVVTGKDFLGSWVLLYFGYTSSPDVGPEQLKVMAKAINTLESKANLKVLPVFVTLDPQRDNPSHLRAYLKEFEPRIVGLTGSVGAIRQMAQEYRVYFRKIEEEGEDYLVESSHDMYFINPNMEVVRCFGVEYNAEELSEAIQKELKRTSA >Potri.001G245000.1.v4.1 pep chromosome:Pop_tri_v4:1:26208666:26211246:1 gene:Potri.001G245000.v4.1 transcript:Potri.001G245000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245000.v4.1 MAQADCNSSRDNRWLLHGMTALVTGGSKGLGHAIVEELAGLGATIHTCARTESVLNECLQEWKMKGFKVTGSVCDVSSRTEREKLMSTVSSQFDGKLNILVNNVGILYFQRTIDVTPEDISLYLSTNFESAYHLCQLAHPLLKNSGAGNIVFMSSVSGVVSVSVSLYGATKGAINQLTKNLACEWAKDNIRANSVAPWLIRTPLVERDLENELFLKAVEARTPMGRLGEPKEVSSLVAFLCMPAASYITGQVICVDGGFTVNGLTV >Potri.007G070501.2.v4.1 pep chromosome:Pop_tri_v4:7:9190264:9192742:1 gene:Potri.007G070501.v4.1 transcript:Potri.007G070501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070501.v4.1 MTWFWIDNLLDVRQVTGEGDDKYLIATAEQPLCAYHQDDWIHPSQLPIRYAGCSSCFRKEAGAHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWDMHEEMIKNSEEFCQELNIPYQVVAIVSGALNDAAAKKYDLEGWFPASNTYRELVSCSNCTDYQSRRLEIRYGQKKSEEQVKKQYCHLLNSTLTATERTICCILENYQKEDGVGIPEPLRKHMSGKEFLPFQNNPSIEGKGKKLKAWSFFPPSF >Potri.018G054700.6.v4.1 pep chromosome:Pop_tri_v4:18:5425991:5430780:1 gene:Potri.018G054700.v4.1 transcript:Potri.018G054700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054700.v4.1 MENGLFSVPIDMAGRNSVTMDCSSQRTPNSLVQLDSFNLNHHNQTLAGFTMLPTLQGEPISDLHANIHSANRSSFMNSDALVASLGRNVVGDTLPGCSRSAGNPPFEEHFGSGIPNYALATLVATRSGLQETLNNLAISGPSSYPLEESRSFVSNDCTNALNSSFASSLNYGCGEVFGSTNGKEDFDRFPAPIELSGRTPLRAGFQPHSSVGNLQPNGWITSNGVNVSADECFASGKLANELSLSLATSQPSVMDSRSIPDQSSEIALNHVARHFSKETRLGSEQTSCSSKELSLSCSSYKTGQSSQVLLGSRYLHVIQEILAQIASYSLENLDQGFKTGASTLFSSSYAMEGGMPLMGFDKSPDGSDRLDIQMDPALQKRALEAKRTQLLTLLQVVDERYSQCLDEIHTVISAFHAATELDPQIHTRFSLQTISFLYKRLRERISNQILAMGAHLDSGDTIETEGSFGTSYLQKQWTLQQLKKNDHQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAAKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMNRRKAHQNEEGTNSNHRISISAIQDLM >Potri.018G054700.5.v4.1 pep chromosome:Pop_tri_v4:18:5425536:5430798:1 gene:Potri.018G054700.v4.1 transcript:Potri.018G054700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G054700.v4.1 MENGLFSVPIDMAGRNSVTMDCSSQRTPNSLVQLDSFNLNHHNQTLAGFTMLPTLQGEPISDLHANIHSANRSSFMNSDALVASLGRNVVGDTLPGCSRSAGNPPFEEHFGSGIPNYALATLVATRSGLQETLNNLAISGPSSYPLEESRSFVSNDCTNALNSSFASSLNYGCGEVFGSTNGKEDFDRFPAPIELSGRTPLRAGFQPHSSVGNLQPNGWITSNGVNVSADECFASGKLANELSLSLATSQPSVMDSRSIPDQSSEIALNHVARHFSKETRYLHVIQEILAQIASYSLENLDQGFKTGASTLFSSSYAMEGGMPLMGFDKSPDGSDRLDIQMDPALQKRALEAKRTQLLTLLQVVDERYSQCLDEIHTVISAFHAATELDPQIHTRFSLQTISFLYKRLRERISNQILAMGAHLDSGDTIETEGSFGTSYLQKQWTLQQLKKNDHQLWRPQRGLPERSVSVLRAWMFQNFLHPYPKDAEKHLLAAKSGLTRSQVSNWFINARVRLWKPMIEEMYAEMNRRKAHQNEEGTNSNHRISISAIQDLM >Potri.001G219800.2.v4.1 pep chromosome:Pop_tri_v4:1:22948894:22949599:-1 gene:Potri.001G219800.v4.1 transcript:Potri.001G219800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219800.v4.1 MLNGLLPTGTCFKICMFWYHLTLPRSSSTRENEKRLAKMEVFSLKKMLLWLITVVNILGSTAECREPVLHRVGGGKYTWAPNMNFTAWAMHEEFYVGDWLYFGFDKTRYSVLEVNKINYNNCNDKNCIANITRGGRDVFNLTEARPYYFLSGRGYCFKGMKVAVHAQYPPPDPAPLVVRNVCPSKSASHGLAMLLALFTSYAVMG >Potri.011G151000.1.v4.1 pep chromosome:Pop_tri_v4:11:17805946:17812898:-1 gene:Potri.011G151000.v4.1 transcript:Potri.011G151000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151000.v4.1 MSAMESQLADDELAASGGAEISDGFISLIDMEELQGPRRSEIVQQIGHACQHYGFFLVKNHGISETNMNNILGTTSKFFKLPEQEKLKFCTNDPNKSIKLFMGFKDEIQNVFVARESLRFSTYPFEDYVNEWPANPPSLRKDVMEYCTSVKRVEFALLEAMSESLGLEKDYLDKMLYNHGQKISMNYYPICQEQDLEFTRGVRHHTDPTIITILLQDNVPGFKVLNNGKWVDVGHIPNTLVIHVGDLLQVISNYRYKSLHHQVFINCERERVSVASYCYPSSDTTIGPAMELIDNDHPAIYRNFTYTEFYEEMWRAVVQHATDKRLDTFKSSVA >Potri.011G169800.2.v4.1 pep chromosome:Pop_tri_v4:11:19265483:19268496:1 gene:Potri.011G169800.v4.1 transcript:Potri.011G169800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G169800.v4.1 MMDGLPSCLISEEILWKMLDLETLCTVACVSKSLRFSVDTEVLPYLASLDLSIVSIDEHALYHILSRFKSLNTLTLNCQRLYDSSLLPFLAPQIQQLNLFSCSLLSSSVLNSIGANCPFLRVLAVEFADQGSPPILFRKNVAYMLNKCQYLERLSLKIKGTEVDASAFQSMEFSLPRSIKFLKLKPMLENNAIHLANRLRVSKSPSSSGFVLQFLSLLLDVISDRLLVAISNSLPLLVELHLEDRPDKEPLPGLDLTNGGLHFLGCCHFLTDLSLKRSRQNYQGSFKRINDMGMFLLSQRCQALESVRLSGFSKVSDAGFASLLHTCQKLKKFEVRNAFLLSDLAFHDLIQAPCTLVEVRLLSCGLITSETVKKLAFSRSLEVLDLCGCKSVADSCLSSISCLQRLTTLNLTGADITDSGLSVIGQGNTPISYLCLRGCKRITDKGISFLLCGGGAIALTLSALDLGYMPGISDNGILTIATFGREITELCIRSCFYVTDLAMRALAAKRRSQDRSKQLCRVDIFNCVGLSADALKLLRKPLFRGLHWIGIGKTHLSSNEGTMITEIQKERPWLTLCLDGCEMQCHDGWQFHSP >Potri.001G223704.1.v4.1 pep chromosome:Pop_tri_v4:1:23956849:23960664:-1 gene:Potri.001G223704.v4.1 transcript:Potri.001G223704.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223704.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGLLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVDYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWGSGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEASARSRKKSRID >Potri.010G254000.2.v4.1 pep chromosome:Pop_tri_v4:10:22538398:22540722:-1 gene:Potri.010G254000.v4.1 transcript:Potri.010G254000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254000.v4.1 MGDCIGRKQYSKLEFITCEGQDDAAPEPSLCFIEDDQGVCIQHSCNGLLLCSSFRCHEDDRKYYICKPTTKQYHQLPKPGCKIVFGINIAYDPTISPHYKIICVCDSNAIKMYDSAIGSWRVSGNHQVFSHVLLFNRGVFLNRALHWISRGALALRFDIEQERMLTMPMPPIPEGRSERRLGYFGESGGHLCLIEIYGPITTCFDVMRMESDYSGWSVRYRVDLSRIASSFPSMARNNVQDIHRYLFSILHLADRSQVGEDESSMWLHIPGTFISYNLKDGKWSKLQSILHQINKIEEGMGLWHSWEGVHPYTNTLCYF >Potri.010G254000.1.v4.1 pep chromosome:Pop_tri_v4:10:22538398:22540722:-1 gene:Potri.010G254000.v4.1 transcript:Potri.010G254000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254000.v4.1 MGDCIGRKQQNISMDRNLSSGPSGRTGQAIMIMDEKDIMIEILHRLPLKSLLISKCVCRLWNHLISDPIFISNYSRRNPQHHVSGFFLQKFLFLEQYSKLEFITCEGQDDAAPEPSLCFIEDDQGVCIQHSCNGLLLCSSFRCHEDDRKYYICKPTTKQYHQLPKPGCKIVFGINIAYDPTISPHYKIICVCDSNAIKMYDSAIGSWRVSGNHQVFSHVLLFNRGVFLNRALHWISRGALALRFDIEQERMLTMPMPPIPEGRSERRLGYFGESGGHLCLIEIYGPITTCFDVMRMESDYSGWSVRYRVDLSRIASSFPSMARNNVQDIHRYLFSILHLADRSQVGEDESSMWLHIPGTFISYNLKDGKWSKLQSILHQINKIEEGMGLWHSWEGVHPYTNTLCYF >Potri.008G145600.1.v4.1 pep chromosome:Pop_tri_v4:8:9911658:9922596:1 gene:Potri.008G145600.v4.1 transcript:Potri.008G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G145600.v4.1 MCICSRLLSPLRRFSSSSPLLLSIPPRRLLSFSSSTTMAVSKSHARDEEYLNIAIPKRIQLFQSIQSEQLSRLQSLPHDSIKITLPDGTVKEGKRWESSPMDIAKEIGKSVAGNALISQVNGVLWDMNRPLEDDCELKIFNFESDEGRDTFWHSSAHILGQALEMEYGCKLCIGPCTTRGEGFYYDAFYGELGLNDDHFKKIDAAALKAVAEKQPFERIEVSREQALEMFSDNNFKVEIIKDLPADKTITVYRCGPLVDLCRGPHIPNTSFVKALACLKASSAYWRGNRDRESLQRVYGISYPDKKRLQEYKQFLEEAKKYDHRLLGIKQELFFCHPLSPGSWFFLPHGTRVYNKLMQFIKDEYRKRGYEEVKSPNIFNMKLWETSGHAANYKENMFLLEIENQEFGLKPMNCPGHCLMFQHRVRSYRELPLRLADFGALHRNEASGALTGLTRVRRFQQDDAHIFCRKSQIKDEVRGVLEFIDYTYGKFGFTYELKLSTRPEKYLGVLETWDEAEKALTEALDEFGKPWKIDEGDGAFYGPKIDISVSDALKRKFQCATLQLDFQLPDRFKLEYSAEDEARSEAPVMIHRAILGSVERMFAILLEHYKGKWPFWLSPRQAIVCPVSEKSQSYALQVRDQIHEAGYYVDVDATDRKIQKKVREAQLAQYNYILVVGEEESKTGQVSVRVRDNADHSVMSIESLLGLFKELVADFR >Potri.018G043600.1.v4.1 pep chromosome:Pop_tri_v4:18:3468988:3473201:-1 gene:Potri.018G043600.v4.1 transcript:Potri.018G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G043600.v4.1 MESDRDDGRSPSQLRPLSCARNVLHRAHGSASWSQGDTKVLAAVYGPKAGTKKNENPEKACIEVIWKPKTGQIGKLEKEFEMILKRTLQSICILTLNPNTTTSIIVQVVNDDGALLVCAINAACAALVDAGIPMKHLAVAICCCLAEGGYVILDPTKLEEQKMRGFTYLVFPNSVVSVLPEGSSHVEGEPMEHGIITSVTHGVMSVEEYLKCLERGRAASTKLSDFLRRSLQSQLPSDSSKAA >Potri.001G246400.1.v4.1 pep chromosome:Pop_tri_v4:1:26340827:26345098:1 gene:Potri.001G246400.v4.1 transcript:Potri.001G246400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246400.v4.1 MGARCSKLSLCWWPSHLKSNLNYSSDLENGELLPGGFREYSLEQLRAATSGFSSDNIVSEHGEKAPNVVYRGKLQEDDRWIAVKRFNKSAWPDSRQFLEEARAVGQLRNERLANLIGCCCEGEERLLVAEFMPNETLSKHLFHWENQPMKWAMRLRVALYLAQALEYCSSKGRALYHDFNAYRILFDQDGNPRLSCFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVVYSFGTLLLDLLSGKHIPPSHALDLIRGKNFLMLMDSCLEGHFSNDDGTELVRLASRCLQFEARERPNAKSLVTALTPLLKDTQVPSYILMGIPHGTESPKQTMSLTPLGEACSRLDLTAIHEMLEKVGYNDDEGIANELSFQMWTDQIQETLNCKKRGDAAFRAKDFNAAIDCYTQFIDGGTMVSPTVFARRCLCYLISDLPQQALGDAMQAQAVSPEWPTAFYLQAASLFSLGMDTDAQETLKDGSSLEAKNHGN >Potri.004G181700.1.v4.1 pep chromosome:Pop_tri_v4:4:19584072:19586455:1 gene:Potri.004G181700.v4.1 transcript:Potri.004G181700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181700.v4.1 MAIFICFLFLAISYATACDRCVHQSKVAYFSRDSALSSGACGYGSMATGFNSGHLAAAVSSLYKDGSGCGACFQIRCKDTTLCSREGTRVIVTDLNRNNQTDFVLSSRAFMAMANKDKGRNILKQGIVEVEYKRVPCEYKNQNLAVRVEESSKNSNYLAIKLLYQGGQTEVVAIDFAKVGSSNWGFMSRNHGAVWDSDRVPSGALQFRFVITAGFDGKWIWARNVLPEDWKPGMTYDSGVQITDIAQEGCSPCDDGIWK >Potri.015G134100.1.v4.1 pep chromosome:Pop_tri_v4:15:14318546:14320757:1 gene:Potri.015G134100.v4.1 transcript:Potri.015G134100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134100.v4.1 MPSRPIPPLLPPQPPPLLPPHHHPSRLIAPLAAATAAAFSVLVLFVICFRKITRKRTVPTDFSKPPHGFSYTTLRRATNNFSPSLRLGQGGFGSVYHGTLPNEFNVAVKVMDLGSLQGEREFQNELLFASKLDSSYIVAAIGFSYDRKHRSLLLVYDLMQNGNLQDALLHRKCVELVDWNKRFSIAVDIAKGIEYLHGLDPPVIHGDIKPSNILLDQCFNAKVADFGLAWLKIDQSNQNDQKQCEVKGEESDKINGGLELKRAELESNNGGDDYGSVVEDTESVTTGFDEFHFGVDQSPVCMTSPETLEAVSASPEAGGVGVFPEGNLDGGSIEGGKELVNGEKGSEKGTKSVSRKDWWWKQENGGATAENRGVKDYVMEWIGTEIKKERPNSDWIGASSSSNSQPVGKIDKKKNRKRLDWWVSLDDDNDEKVSKKEKRRLPREWWKEEYCEELEKKNKKKKKKKREMGMTSDGNNEAEDWWPRDVEMYGERKKKRSKSRGSRGSIEWFSGELFRGNRNNHDSLSGEIPKSSGISSTPSMRGTVCYVAPEYGGGGNLSEKSDVYSFGVLLLVLIAGRRPLQVTTSPMSEFQRANLMHWARNLARAGKLLDLVDKSVQSLDRDQATLCITVALICLQKSPAHRPSMKEVVGMLTGESQAPQLPTEFSPSPPTRVPFKSKPHKKVR >Potri.005G071400.1.v4.1 pep chromosome:Pop_tri_v4:5:4671194:4672125:1 gene:Potri.005G071400.v4.1 transcript:Potri.005G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071400.v4.1 MAGWPATNNQKMDSRAVKENDMWLGPLLSPNSGTDLLQNCDLPPPLKVFSWSDRTVISSMNRGFSMTRREHDHDDFDVYSGSGGENYEKLELLKALRLSQTRAREAERKAASLVKERDCVAKALLHESFQLFVYRQWVRLLEFQVLKAQTQRQQQEKKLCCGCGRSKEAKDHSEEEEALCDGSRESWICVALTFCMGIVGLGLAFGCCYFL >Potri.018G096150.1.v4.1 pep chromosome:Pop_tri_v4:18:11713393:11715365:-1 gene:Potri.018G096150.v4.1 transcript:Potri.018G096150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096150.v4.1 MYGIQIVNIKFNIYFKIRNVLYSDKNPKHVPPPSLLLRRLDQHQNFQVRFGKSRSETNKCYIVVNNVFGDDLKTETTSVTQQEAPLTSFAVISNNKAFFSQSAAK >Potri.008G212500.1.v4.1 pep chromosome:Pop_tri_v4:8:17440447:17456268:1 gene:Potri.008G212500.v4.1 transcript:Potri.008G212500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212500.v4.1 MGLITFENEFSVAVPPAKLFKVYCLETDTLIPKILPQSIKSSEIIEGNGGPGTIRKVTFVEGKGLTYVKQKIETIDEENFAYSFSLIESNVWMEGVEKVIFEHKFVPTPEGGSICKRTSKYYIKDGAEIKEDQIKKDGRKTEGLFKAVEAYFLANPDA >Potri.008G212500.2.v4.1 pep chromosome:Pop_tri_v4:8:17440505:17441529:1 gene:Potri.008G212500.v4.1 transcript:Potri.008G212500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212500.v4.1 MGLITFENEFSVAVPPAKLFKVYCLETDTLIPKILPQSIKSSEIIEGNGGPGTIRKVTFVEGKGLTYVKQKIETIDEENFAYSFSLIESNVWMEGVEKVIFEHKFVPTPEGGSICKRTSKYYIKDGAEIKEDQIKKDGRKTEGLFKAVEAYFLANPDA >Potri.010G033500.1.v4.1 pep chromosome:Pop_tri_v4:10:5966801:5970330:1 gene:Potri.010G033500.v4.1 transcript:Potri.010G033500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033500.v4.1 MGKGPGLYFDIGKKARDLLYKDYQSDHKFTVTTYTSAGVAITSTGIKKGELFLADISSQLKNKNITTDVKVDTNSNLLTTITIDEPAPGLKTIFSFKVPDQRSGKVELQYQHEYAGISTSLGLTANPIVNFSGVVGSNVVALGTDLSFDTATGNFTKCNAGLSYTNSDLIASLTVNDKGDTLSASYYHTVSPLTSTAVGAELTHSFSSNENILTIGTQHALDPLTTVKARLNNYGKVSALIQNEWRPKSLFTISGEVDTKAIEKSAKVGLALSLKP >Potri.017G133901.1.v4.1 pep chromosome:Pop_tri_v4:17:13572939:13575177:-1 gene:Potri.017G133901.v4.1 transcript:Potri.017G133901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133901.v4.1 MANMQTPRSPLQLPTQGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSKFASAANLVKTLGGPKYDGKFLHSIVKEKLGDTWLHQTLTNIVIPTFDIKRLQPTIFSSYNVKNNPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVRDFNLIDGGVAANNPTLVAISEVSKAINWEGPDSYRMNPMEYGRFLVLSLGTGTAKSEEKYDAEEAAKWGLLGWLTSDHSTPLVDVFTQASADMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKPVSRVNLATGVFEPINKMTNEEALRKLAKLLSREKHLREAKSAVGN >Potri.003G099700.2.v4.1 pep chromosome:Pop_tri_v4:3:12491896:12496287:-1 gene:Potri.003G099700.v4.1 transcript:Potri.003G099700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G099700.v4.1 MEKLTATASKTTLPEWYSPETGIYSSKHPPIPLPADPFLDVVSFIFSHHNHNGLTALIDSSSGFSISYSKILPLVKSVASGLSNMGIKQGDVVLLLLPNSIHFPIVFLGVLYLGGIVSTMNPLSSELEVKQRIVDCNACIAFVELEKVCKFQPLGIPVIGVPENVNFDEKSNDFEAFYMLVYSKGDVGVKPVIRQQDTAAIMYSSGTTAASKGVVLTHRNFISMVELFVKFEASQYEYSSTDNVYLAVLPMFHIYGLSLFVVGLLSLGSSIVVMRKFDVSEMVKVIDRYGVTHFPVVPPILTALTRTAKGVCGNSLKCLKLVSCGAAPLFGKTIQDFVEVLPHVDFIQGYGLTESTAVGTRGLNTEKFQKYSSIGLLAPNIEAKVVDWITGALLPPGGSGELWIRGPGVMKEYLNGGEATALTIDKDGWLHTGDVVYADHDGYLYVVDRLKEIIKYKGFQIAPADLEAVLISHCEILDAAVIPVVDKECGEIPVAFVVKRQGSMLTQEAIINYVAEQVAPYKKVRKVIFTQSIPKSAAGKILRRELKCSLTSKL >Potri.001G358900.9.v4.1 pep chromosome:Pop_tri_v4:1:37489828:37497717:1 gene:Potri.001G358900.v4.1 transcript:Potri.001G358900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358900.v4.1 MDPSAVDDCNKSLPQSDGETDLVSEKRQMENVESEELAAKRAKNGVGEIRKVAEIVLVLSAMAGMRGGKNPTDAEVRLMEEAREKLVEICQDLSPKDLVARDSIGTVIEDLGLNFKLKDQRLGFRGSRLSIKEKLSLSKRKMEESKKFAAPSATYTTQITQPSFGAMPESHGPSHAFRVLPSDKPSNISVSSGIFPASLPGHVSAATPASSTLQPLTTEAKISAVSSGLPSSQLGRDLSSVAFSKVEKTQFKVEGGSNGASYAPQVPANASANHSLVNAPSWSMQPHSASSGKSAPENNMPNHNSAKVEGVADLGRTRATQAARDQTFRPFTPQTPPANLPSIHPPMQGVEYVQPPSFINNHNEIAKIVQKLLQPKLPEYPTWIPPSREYMTTAMTCQICKLTVNEVETVVLCDACESGFHIKCREAINQKGIPRGEWHCRNCMALSNGKPLPPKYGRVMRSATPPKGPSNPAGSHSSLEKKAENVDLKVDQQKSTNGVQNNAGSGSVNNVESASDSRISGEREMPRDGITSSGKDADQSTCSFPNNSTERSTQQDQVSESPAQEKSSLSESSEKISKCEDSKPLHISQDIIQTEQSNFPKAPLTPHQDHSIMEESASVRGSSVPNNRVGKHPGLSSSGIHSVEWIGNEIKVADGKTFYKSCCIDGVSYKVQDHALFHSSDGKLTPSKLQTMWEEIETGSKWVLVSQCYFPGDLPAAVGHPCAPESNEVYESNHESSVMASLIEGPCEVLPPNKFKEMSERQNRLAIEANNGSAPVFICKWFYDELKGNFQPVFH >Potri.001G358900.8.v4.1 pep chromosome:Pop_tri_v4:1:37489824:37497917:1 gene:Potri.001G358900.v4.1 transcript:Potri.001G358900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358900.v4.1 MDPSAVDDCNKSLPQSDGETDLVSEKRQMENVESEELAAKRAKNGVGEIRKVAEIVLVLSAMAGMRGGKNPTDAEVRLMEEAREKLVEICQDLSPKDLVARDSIGTVIEDLGLNFKLKDQRLGFRGSRLSIKEKLSLSKRKMEESKKFAAPSATYTTQITQPSFGAMPESHGPSHAFRVLPSDKPSNISVSSGIFPASLPGHVSAATPASSTLQPLTTEAKISAVSSGLPSSQLGRDLSSVAFSKVEKTQFKVEGGSNGASYAPQVPANASANHSLVNAPSWSMQPHSASSGKSAPENNMPNHNSAKVEGVADLGRTRATQAARDQTFRPFTPQTPPANLPSIHPPMQGVEYVQPPSFINNHNEIAKIVQKLLQPKLPEYPTWIPPSREYMTTAMTCQICKLTVNEVETVVLCDACESGFHIKCREAINQKGIPRGEWHCRNCMALSNGKPLPPKYGRVMRSATPPKGPSNPAGSHSSLEKKAENVDLKVDQQKSTNGVQNNAGSGSVNNVESASDSRISGEREMPRDGITSSGKDADQSTCSFPNNSTERSTQQDQVSESPAQEKSSLSESSEKISKCEDSKPLHISQDIIQTEQSNFPKAPLTPHQDHSIMEESASVRGSSVPNNRVGKHPGLSSSGIHSVEWIGNEIKVADGKTFYKSCCIDGVSYKVQDHALFHSSDGKLTPSKLQTMWEEIETGSKWVLVSQCYFPGDLPAAVGHPCAPESNEVYESNHESSVMASLIEGPCEVLPPNKFKEMSERQNRLAIEANNGSAPVFICKWFYDELKGNFQPVFH >Potri.001G358900.7.v4.1 pep chromosome:Pop_tri_v4:1:37489826:37497695:1 gene:Potri.001G358900.v4.1 transcript:Potri.001G358900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358900.v4.1 MDPSAVDDCNKSLPQSDGETDLVSEKRQMENVESEELAAKRAKNGVGEIRKVAEIVLVLSAMAGMRGGKNPTDAEVRLMEEAREKLVEICQDLSPKDLVARDSIGTVIEDLGLNFKLKDQRLGFRGSRLSIKEKLSLSKRKMEESKKFAAPSATYTTQITQPSFGAMPESHGPSHAFRVLPSDKPSNISVSSGIFPASLPGHVSAATPASSTLQPLTTEAKISAVSSGLPSSQLGRDLSSVAFSKVEKTQFKVEGGSNGASYAPQVPGKSAPENNMPNHNSAKVEGVADLGRTRATQAARDQTFRPFTPQTPPANLPSIHPPMQGVEYVQPPSFINNHNEIAKIVQKLLQPKLPEYPTWIPPSREYMTTAMTCQICKLTVNEVETVVLCDACESGFHIKCREAINQKGIPRGEWHCRNCMALSNGKPLPPKYGRVMRSATPPKGPSNPAGSHSSLEKKAENVDLKVDQQKSTNGVQNNAGSGSVNNVESASDSRISGEREMPRDGITSSGKDADQSTCSFPNNSTERSTQQDQVSESPAQEKSSLSESSEKISKCEDSKPLHISQDIIQTEQSNFPKAPLTPHQDHSIMEESASVRGSSVPNNRVGKHPGLSSSGIHSVEWIGNEIKVADGKTFYKSCCIDGVSYKVQDHALFHSSDGKLTPSKLQTMWEEIETGSKWVLVSQCYFPGDLPAAVGHPCAPESNEVYESNHESSVMASLIEGPCEVLPPNKFKEMSERQNRLAIEANNGSAPVFICKWFYDELKGNFQPVFH >Potri.001G358900.10.v4.1 pep chromosome:Pop_tri_v4:1:37490022:37497718:1 gene:Potri.001G358900.v4.1 transcript:Potri.001G358900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358900.v4.1 MDPSAVDDCNKSLPQSDGETDLVSEKRQMENVESEELAAKRAKNGVGEIRKVAEIVLVLSAMAGMRGGKNPTDAEVRLMEEAREKLVEICQDLSPKDLVARDSIGTVIEDLGLNFKLKDQRLGFRGSRLSIKEKLSLSKRKMEESKKFAAPSATYTTQITQPSFGAMPESHGPSHAFRVLPSDKPSNISVSSGIFPASLPGHVSAATPASSTLQPLTTEAKISAVSSGLPSSQLGRDLSSVAFSKVEKTQFKVEGGSNGASYAPQVPGKSAPENNMPNHNSAKVEGVADLGRTRATQAARDQTFRPFTPQTPPANLPSIHPPMQGVEYVQPPSFINNHNEIAKIVQKLLQPKLPEYPTWIPPSREYMTTAMTCQICKLTVNEVETVVLCDACESGFHIKCREAINQKGIPRGEWHCRNCMALSNGKPLPPKYGRVMRSATPPKGPSNPAGSHSSLEKKAENVDLKVDQQKSTNGVQNNAGSGSVNNVESASDSRISGEREMPRDGITSSGKDADQSTCSFPNNSTERSTQQDQVSESPAQEKSSLSESSEKISKCEDSKPLHISQDIIQTEQSNFPKAPLTPHQDHSIMEESASVRGSSVPNNRVGKHPGLSSSGIHSVEWIGNEIKVADGKTFYKSCCIDGVSYKVQDHALFHSSDGKLTPSKLQTMWEEIETGSKWVLVSQCYFPGDLPAAVGHPCAPESNEVYESNHESSVMASLIEGPCEVLPPNKFKEMSERQNRLAIEANNGSAPVFICKWFYDELKGNFQPVFH >Potri.013G045366.1.v4.1 pep chromosome:Pop_tri_v4:13:3168077:3168493:1 gene:Potri.013G045366.v4.1 transcript:Potri.013G045366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G045366.v4.1 MQVLSYILLAIMLFFGAFGPGARTCYAVRYVNKMEHSRMGLRAFEKRTIPYRVFPPARNPPRRPFLPPRPPPPGTIY >Potri.001G442400.6.v4.1 pep chromosome:Pop_tri_v4:1:46713066:46716525:-1 gene:Potri.001G442400.v4.1 transcript:Potri.001G442400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442400.v4.1 MHGNKIFGSNCNLAGMDMAQLICRGCRSLLMYPHGATTVRCSCCHVVNIAPGYNQAAHVNCGNCRTALMYPNGSPSVKCPVCHYVTNVSMANMRIPLPANRPNGIGGTAPSTSMPLPHSQTQTVVVENPMSVDESGKLVSNVVVGVTTEKK >Potri.001G442400.2.v4.1 pep chromosome:Pop_tri_v4:1:46713074:46717754:-1 gene:Potri.001G442400.v4.1 transcript:Potri.001G442400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442400.v4.1 MQSQVVCRGCASVLLYPSGASNVCCALCSTVTSIPSPGMDMAQLICRGCRSLLMYPHGATTVRCSCCHVVNIAPGYNQAAHVNCGNCRTALMYPNGSPSVKCPVCHYVTNVSMANMRIPLPANRPNGIGGTAPSTSMPLPHSQTQTVVVENPMSVDESGKLVSNVVVGVTTEKK >Potri.012G141800.1.v4.1 pep chromosome:Pop_tri_v4:12:15335974:15337255:-1 gene:Potri.012G141800.v4.1 transcript:Potri.012G141800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141800.v4.1 MASSFRILSVLAIALAICVQGTLGEIACEHLDQDTCAYAISSAGKRCVLEKRVKRTGEEAYTCRTSEIEADRLRNWIETDQCIKACGLDRKSLGISTDSLLESRFAQQLCSPQCYYSCPNVIDLYFNLAAAEGVFLPKLCEAQEGNARRGLMADIKSSGFVAPGPVKYTVAPAPVEPVKYTVSPAMSPYYN >Potri.002G255800.4.v4.1 pep chromosome:Pop_tri_v4:2:24482714:24491946:1 gene:Potri.002G255800.v4.1 transcript:Potri.002G255800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255800.v4.1 MGIVFLLNNISSESTNRVLKAIQGLPTLELASICVNLTLFIVSLFIISARQIFVCVGRVRILKDDSTVPNPTPIRRSSVDGEIRDVIIGTGFKLCLFCCFYVLLLQFLVLGFDGVALVREAVKGNDVDWSEICVPAAQGLAWFVLSFSALQCKFKLSEKFPVLLRVWWFFSFLICLCTLYVDGSSFFTEGSKHLSSHVVANFAATPALAFLCFVAFRGVTGIQVCRNSDLQEPLLLEEEAGCLKVTPYSDAGLFSLVTLSWLNPLLSIGAKRPLELKDIPLLAPKDRAKTNYKILNSNWERRKAENPSKQPSLAWAILKSFWKEAACNAIFALLNTFVSYVGPYMISYFVDYLGGKETFPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMQVRSALTAMVYRKGLKLSSLAKQNHTSGEVVNYMAIDVQRIGDYSWYLHDIWMLPLQIVLALAILYKNVGIASFATLIATIISIVITIPVARIQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEDRYRVKLEEMRGVEFRWLRKALYSQAFITFIFWSSPIFVSAVTFGTSILLGDQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRSITNLAIEIKDAAFCWDPSSSSSSSRPTLSGIQMKVERGMRVAVCGVVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGNIEENIIFGSPMDKAKYKNVINACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALASKTVVFVTHQVEFLPAADLILVLKEGRIIQAGKYDELLQAGTDFNTLVSAHNEAIGAMDILNHSSDESDENLLLDGSATLHKKCNASECSIESLAKEVQESASASDQKAIKEKKKGKRSRKKQLVQEEERVRGRVNMKVYLSYMAAAYKGLLIPLIILAQASFQFLQIASNWWMAWANPQMEGGQPRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLFGIVGVMTKVTWQVLLLVVPMAVACFWMQKYYMASSRELVRIVSIQKSPIIHLFGETIAGAATIRGFGQEKRFLKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSFPQGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQLPGEAPPVIEDSRPPSSWPENGTIDLIDLKVRYGENLPMVLHGISCTFPGGNKIGIVGRTGSGKSTLIQALFRLIEPASGRIIIDNIDISSIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQEIWQALDKSQLGQIVRQKEQKLDSLVVENGDNWSVGQRQLVALGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGLVAEFDTPTRLLEDKSSMFLKLVTEYSSRSSGIPDF >Potri.002G255800.8.v4.1 pep chromosome:Pop_tri_v4:2:24482775:24492017:1 gene:Potri.002G255800.v4.1 transcript:Potri.002G255800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255800.v4.1 MGIVFLLNNISSESTNRVLKAIQGLPTLELASICVNLTLFIVSLFIISARQIFVCVGRVRILKDDSTVPNPTPIRRSSVDGEIRDVIIGTGFKLCLFCCFYVLLLQFLVLGFDGVALVREAVKGNDVDWSEICVPAAQGLAWFVLSFSALQCKFKLSEKFPVLLRVWWFFSFLICLCTLYVDGSSFFTEGSKHLSSHVVANFAATPALAFLCFVAFRGVTGIQVCRNSDLQEPLLLEEEAGCLKVTPYSDAGLFSLVTLSWLNPLLSIGAKRPLELKDIPLLAPKDRAKTNYKILNSNWERRKAENPSKQPSLAWAILKSFWKEAACNAIFALLNTFVSYVGPYMISYFVDYLGGKETFPHEGYILAGIFFSAKLVETLTTRQWYLGVDILGMQVRSALTAMVYRKGLKLSSLAKQNHTSGEVVNYMAIDVQRIGDYSWYLHDIWMLPLQIVLALAILYKNVGIASFATLIATIISIVITIPVARIQEDYQDKLMAAKDERMRKTSECLRNMRILKLQAWEDRYRVKLEEMRGVEFRWLRKALYSQAFITFIFWSSPIFVSAVTFGTSILLGDQLTAGGVLSALATFRILQEPLRNFPDLVSMMAQTKVSLDRISGFLQEEELQEDATIVLPRSITNLAIEIKDAAFCWDPSSSSSSSRPTLSGIQMKVERGMRVAVCGVVGSGKSSFLSCILGEIPKISGEVRICGTAAYVSQSAWIQSGNIEENIIFGSPMDKAKYKNVINACSLKKDLELFSHGDQTIIGDRGINLSGGQKQRVQLARALYQDADIYLLDDPFSAVDAHTGSELFKEYILTALASKTVVFVTHQVEFLPAADLILVLKEGRIIQAGKYDELLQAGTDFNTLVSAHNEAIGAMDILNHSSDESDENLLLDGSATLHKKCNASECSIESLAKEVQESASASDQKAIKEKKKGKRSRKKQLVQEEERVRGRVNMKVYLSYMAAAYKGLLIPLIILAQASFQFLQIASNWWMAWANPQMEGGQPRVSPMVLLGVYMALAFGSSWFIFVRAVLVATFGLAAAQKLFLKMLRSVFRAPMSFFDSTPAGRILNRVSIDQSVVDLDIPFRLGGFASTTIQLFGIVGVMTKVTWQVLLLVVPMAVACFWMQKYYMASSRELVRIVSIQKSPIIHLFGETIAGAATIRGFGQEKRFLKRNLYLLDCFARPFFCSLAAIEWLCLRMELLSTFVFAFCMVLLVSFPQGSIDPSMAGLAVTYGLNLNARLSRWILSFCKLENKIISIERIYQYSQLPGEAPPVIEDSRPPSSWPENGTIDLIDLKVRYGENLPMVLHGISCTFPGGNKIGIVGRTGSGKSTLIQALFRLIEPASGRIIIDNIDISSIGLHDLRSRLSIIPQDPTLFEGTIRGNLDPLEEHSDQEIWQALDKSQLGQIVRQKEQKLDSLVVENGDNWSVGQRQLVALGRALLKQARILVLDEATASVDTATDNLIQKIIRTEFKNCTVCTIAHRIPTVIDSDLVLVLSDGLVAEFDTPTRLLEDKSSMFLKLVTEYSSRSSGIPDF >Potri.016G042250.1.v4.1 pep chromosome:Pop_tri_v4:16:2651441:2654668:-1 gene:Potri.016G042250.v4.1 transcript:Potri.016G042250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042250.v4.1 MAAEHENPNSSNLPAESSAFVTDDELMIDNTSPIPLAIPPSIPAVPPNALILTVPPRPLVPFPIRPPGVQSGEIRTSDSDSDQNQLRPTGTILGSTGGYEVSEAIQRTQEKAMQELMMKWSAAALAVPTNDMAVRTHRRRLGEPVTRFGERETERRDRLRMLMARLDSKGQLEKLMKAHVEEEAASTALAKDAEEGMVQYPFYTEGSKELLDARIDDPDEGGDEDADIDLALKQAESLSLNSSELGDDQPLSGCTFSRDGEMLATFGFFFFHHMTYHIWQHGHSFLLGQYPITYKVKYDSLSGVAKIWRVPQVTKFSTLKGDTECATDVAFSLVHNHLATASADQTARLWNTDGSLLKKFEGHLDRLAQIGFHPSGKYLGTASFDKTWRLRYRFWYGAASSRRSQSTMALEGHVKPVLGISFSPFGYHAATGSEDNICWISYQPTQILYPKFEPQEGCYLVTSSYDMTAKVWSGRYFKPVKTLSAHEAKVTSLNINAGNFPNLDKAYGLTMFPCHSCSAIYSIIIRCHLCLCAKGWLALSGDHLNYGHHIATISHDRTIKLYTSRSNDKHAMEVE >Potri.001G128700.3.v4.1 pep chromosome:Pop_tri_v4:1:10542463:10546517:-1 gene:Potri.001G128700.v4.1 transcript:Potri.001G128700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128700.v4.1 MEPPSSSSGFGGGSSGFGGGGSSKVNNGTENSNNEWKAEEAIGGNKAALEALRELITFPLLYSREAQKLGLKWPTGLLLYGPPGTGKTSLVRAVVRECGAHLIVISPHFVHRAHAGESERVLREAFSDALSHAVAGKPSVIFIDEIDALCHRRDSRREQDVRVASQLFALMDANKPSSTSSAQVVVIASTNRVDAIDPALRRSGRFDAEIEVTTPTEEERLQILKKKLQQAVEWPIKHSAAFARMGISPIRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRHTFQRARLAAPSIIFFDEADVVAAKRGGTSSNSTTVGERLLSTLLTEMDGLEQSKGILVLAATNRPYAIDAALMRPGRFDLVLYVPPPDLEARYEILGVHTRKMKISNDVDLRRIAEDSELFTGAELEGLCREAGIVALRENISATVVCNRHFQRVKESLKPALTRAEVERYSSFMKTKQKRSGAIEFDPKPNTECKRNLLDSMLPVKAGVLSLVLLAASKYFLMFTKSARPDVPAT >Potri.001G128700.1.v4.1 pep chromosome:Pop_tri_v4:1:10542324:10546521:-1 gene:Potri.001G128700.v4.1 transcript:Potri.001G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128700.v4.1 MEPPSSSSGFGGGSSGFGGGGSSKVNNGTENSNNEWKAEEAIGGNKAALEALRELITFPLLYSREAQKLGLKWPTGLLLYGPPGTGKTSLVRAVVRECGAHLIVISPHFVHRAHAGESERVLREAFSDALSHAVAGKPSVIFIDEIDALCHRRDSRREQDVRVASQLFALMDANKPSSTSSAQVVVIASTNRVDAIDPALRRSGRFDAEIEVTTPTEEERLQILKLYTRKLHLDPNVNLHAIAASCNGYVGADLEALCREATMSALNSLDTSEDAGVQLTMDDWKHAKSVVGPSITRGVTMEIPKVSWEDIGGLKDLKKKLQQAVEWPIKHSAAFARMGISPIRGVLLHGPPGCSKTTLAKAAANAAQASFFSLSGAELYSMYVGEGEALLRHTFQRARLAAPSIIFFDEADVVAAKRGGTSSNSTTVGERLLSTLLTEMDGLEQSKGILVLAATNRPYAIDAALMRPGRFDLVLYVPPPDLEARYEILGVHTRKMKISNDVDLRRIAEDSELFTGAELEGLCREAGIVALRENISATVVCNRHFQRVKESLKPALTRAEVERYSSFMKTKQKRSGAIEFDPKPNTECKRNLLDSMLPVKAGVLSLVLLAASKYFLMFTKSARPDVPAT >Potri.014G162000.1.v4.1 pep chromosome:Pop_tri_v4:14:11637789:11640076:1 gene:Potri.014G162000.v4.1 transcript:Potri.014G162000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162000.v4.1 MDKSLTTLLFSCALLISLCVPSLAQTCGNYTFSGNRSYSTCNDLPQLSCSLHWNYHPSNLTADIAFRKSGASTSNWISWALNPSRQAMAGSQALVAYQHPNGSILAYTTQLNKNPNMQPGRLSFDVPSIAAEFSSNGDMIIFATLQLTDSLRLTNQVWQEGPMNGGNPGEHPTNGQNGKSMGTVDFINGSVTTTGGTTSKQRKRNVHGVLNAVSWGILMPVGIIIARYLKVFKSAGPAWFYLHVMCQTSGYAVGIAGWATGIKLGSDSPGISYDTHRNLGMIIFALGTLQVLALLLRPKPDHKYRLYWNIYHHTIGYTTVILSIANIFEGFDVLDTEDNWKKAYTGVLIFLVVVAAIMEAVTWFIVIKRKKAASSDKHVNGENVYGSRAQQTA >Potri.001G007800.1.v4.1 pep chromosome:Pop_tri_v4:1:536643:541548:-1 gene:Potri.001G007800.v4.1 transcript:Potri.001G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007800.v4.1 MSLDSVATNAHGNLDEEISQLMQCKPLSEQEVRALCEKAKEILMDESNVQPVKSPVTICGDIHGQFHDLAELFRIGGKCPDTNYLFMGDYVDRGYYSVETVTLLVALKVRYPQRITILRGNHESRQITQVYGFYDECLRKYGNANVWKIFTDLFDYFPLTALVESEIFCLHGGLSPSIETLDNIRNFDRVQEVPHEGPMCDLLWSDPDDRCGWGISPRGAGYTFGQDISEQFNHTNNLKLIARAHQLVMEGYNWGHEQKVVTIFSAPNYCYRCGNMASILEVDDCKGHTFIQFEPAPRRGEPDVTRRTPDYFL >Potri.017G004500.1.v4.1 pep chromosome:Pop_tri_v4:17:303667:305469:1 gene:Potri.017G004500.v4.1 transcript:Potri.017G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G004500.v4.1 MAGLPLPLIAHPNTLFVIIFFAFSPLAKAAQYSVLSYGAKPDGKTDATKAFAAAWAQACASAQPATISVPKGSFSLGQVRFQGPCKNRAILVRIDGTLVAPSDYKVIGNAKNWLMFEHVNGVTVSGGTLDGQGAGLWSCKNSGKGCPRGATSLEFSNSNNIAITGLASLNSQLFHIVIHGCQNVKVQGVKVSAAGNSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSSLWIENVACGPGHGISIGSLGKESQEAGVQNVTVKTSTFTGTENGLRIKSWGRPSNGFARDILFQHAVMNNVQNPIVIDQNYCPGEKNCPGQVSGVKISDVTYQDIHGSSATEVAVKFDCSKKYPCTGIKLEDVKLTYKNQPAEASCSNAGGVASGLVQPTSCL >Potri.017G108400.1.v4.1 pep chromosome:Pop_tri_v4:17:11697300:11698355:-1 gene:Potri.017G108400.v4.1 transcript:Potri.017G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108400.v4.1 MADNTQKMSFQAGEAKGQVQEKASTLMDRAGNAAQSAKESVQEAGQQVMSTAQGAVEGVKNATGMNK >Potri.011G032600.1.v4.1 pep chromosome:Pop_tri_v4:11:2633809:2635259:-1 gene:Potri.011G032600.v4.1 transcript:Potri.011G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G032600.v4.1 MSLTQKSFLPFFLSLIFLLSTITTTTSTLQNLLRSQGLPGGLFPNNVKSYNLDQNGRLEVQLDGPCMTKYEARVVFDSVVRANLSYGGLMGLEGLIQEELFLWFPVKGFLVNDPSSGLILVDIGLAHKQLSRSLFEVPPVCKPREADLLENLGRKMGFQAQR >Potri.003G207500.2.v4.1 pep chromosome:Pop_tri_v4:3:20658629:20661488:1 gene:Potri.003G207500.v4.1 transcript:Potri.003G207500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207500.v4.1 MEQKLDNLCDQLNDINNQEETKANDAFGCDRIEFVDGGSWQCDEHQEHVAGLVGNSAVRMPRGDEVLHCKIPFGNEEEPEEQKMSDFSDWGSTGSAEEIQMNTFAIDQDMFNLKKECEEKDATIKELSTDLQSFAGSKRFAELEDIICRKNTMIKRLKRNMVVLEEKAELSPRLRRPSHSLSISDNWELPVMVDNILYDLDSSSSSDSDSSPSNQPQTPSFTVQETPVQSDVLTLTTTHKPAQAKASRFSAGLTEPKTKSRSERLLTEIPTKRKSIGNSSSRPEQLSAGEDIRKIKRKGRELHAKSRTESPPKETSRNQESSGLSPSKPKQMLAGGDGRKIRRQTQSSSKDTTPKKRWL >Potri.003G207500.3.v4.1 pep chromosome:Pop_tri_v4:3:20658628:20661488:1 gene:Potri.003G207500.v4.1 transcript:Potri.003G207500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207500.v4.1 MEQKLDNLCDQLNDINNQEETKANDAFGCDRIEFVDGGSWQCDEHQEHVAGLVGNSAVRMPRGDEVLHCKIPFGNEEEPEEQKMSDFSDWGSTGSAEEIQMNTFAIDQDMFNLKKECEEKDATIKELSTDLQSFAGSKRFAELEDIICRKNTMIKRLKRNMVVLEEKAELSPRLRRPSHSLSISDNWELPVMVDNILYDLDSSSSSDSDSSPSNQPQTPSFTVQSDVLTLTTTHKPAQAKASRFSAGLTEPKTKSRSERLLTEIPTKRKSIGNSSSRPEQLSAGEDIRKIKRKGRELHAKSRTESPPKETSRNQESSGLSPSKPKQMLAGGDGRKIRRQTQSSSKDTTPKKRWL >Potri.003G207500.1.v4.1 pep chromosome:Pop_tri_v4:3:20657598:20661488:1 gene:Potri.003G207500.v4.1 transcript:Potri.003G207500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207500.v4.1 MYIFARRGAPPPLVSLSLFSPVSAPTSPRLSSQFKPSQAVPSPRRLPWVDPQEQLVNEGEASSDIAIGIGFNRDENVGSELFSPIQRFLIVAALGLAVAGSRKNRLINQLKKSVELRDEVLSSMEQKLDNLCDQLNDINNQEETKANDAFGCDRIEFVDGGSWQCDEHQEHVAGLVGNSAVRMPRGDEVLHCKIPFGNEEEPEEQKMSDFSDWGSTGSAEEIQMNTFAIDQDMFNLKKECEEKDATIKELSTDLQSFAGSKRFAELEDIICRKNTMIKRLKRNMVVLEEKAELSPRLRRPSHSLSISDNWELPVMVDNILYDLDSSSSSDSDSSPSNQPQTPSFTVQETPVQSDVLTLTTTHKPAQAKASRFSAGLTEPKTKSRSERLLTEIPTKRKSIGNSSSRPEQLSAGEDIRKIKRKGRELHAKSRTESPPKETSRNQESSGLSPSKPKQMLAGGDGRKIRRQTQSSSKDTTPKKRWL >Potri.005G190700.1.v4.1 pep chromosome:Pop_tri_v4:5:19816565:19820732:-1 gene:Potri.005G190700.v4.1 transcript:Potri.005G190700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190700.v4.1 MEPTKFRGEQPMIVDIEQMPETPHRGNHHRRAHSDTSFRFDDLLIFDASDFDLPPLDDLPTPNTTTHPPPAAPVAVDSLSDDSTSNGQNQKPKPVNHLRSLSMDSEFFDGLSLGAAGGGDEKLGGKAVAGEKRAADHHRHSYSMDGSFEAESLMIDGVKKAMAPDRLAELSLIDPKRAKRILANRQSAARSKERKIKYTSELERKVQTLQTEATTLSAQVTMLQRDTNGLTVENKELKLRLQAMEQQAHLRDALNEALREEVQRLKIATGQITAVNGNPFNRGLAPQFPSHQITLWQPANSAAPPTAADAHAAVIHRWTDS >Potri.011G168900.1.v4.1 pep chromosome:Pop_tri_v4:11:19181811:19182839:1 gene:Potri.011G168900.v4.1 transcript:Potri.011G168900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168900.v4.1 MSKSCTVCNKVFPSGKSWGGHIRACYKKEEDEKDREDTDDWRVHDCSSSESESEFEFHVDVDESSTKGSVEPVDLREYLPRGWSGNSKKKRSRKNKLKFAALNQARKKSFADHILEAAALNLLLCSSVCDSKQKGIREELAKYQDRFAHQLALNKKPMKSIAYTREKRPSEFKVGHERTYVCRECGLVFDSFQGLGGHLAAHNRKREREKEGKLDLVSGVHQDSRGKNVIIGDAPRKEYKCNLCERSFPSGQALGGHMSYHGTAHKVYKHEGHNSHHTTADTENNNSASSYEASNGADSHSNPQSSVQVSHLDPQPGSALDLNMAPDEGVWGNDVGVQKNLS >Potri.010G132700.1.v4.1 pep chromosome:Pop_tri_v4:10:14885515:14891721:1 gene:Potri.010G132700.v4.1 transcript:Potri.010G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132700.v4.1 MAGGGKRKRLSLSKLYSFACGKTSLKGDQSQMGAPGFSRVVHCNEPDCFEAKIRRYSGNYVSTTKYNVATFLPKSLFEQFRRVANFYFLVVGILAFTPLAPYTAVSAIFPLIVVVGATMVKEGIEDWKRAQQDIEMNNRKTRVHQGDGTFKSTGWKNLRVGDIVKVKKDEYFPADLLLLSSTFEDGICYVETMNLDGETNLKLKQALEATAFMHEDSYYRDFKALIKCEDPNTNLYSFVGTLDFEQNLYPLSPQRLLLRDSKLRNTEYIYGAVIFTGHDTKVMQNSTAPPSKRSKFEKQMDKIVYFLFFVLFMMAFIGSLVFGVATDNDLDGGRMKRWYLKPDESTVYFDPKRVVLASICHFLTALMLYNYFIPISLYVSIEVVKVFQSSFINNDINLYYEPSDRPAHSRTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGHGVTEAERGMGVREGESVNGWDQSKDSSTTKPHIKGFNFKDERIMDGNWVHEPQANIIENFFLLLAICHTAIPDVDEETGKISYEAESPDEAAFVIAAREIGFEFYKRTQTSVAVREYNPKTGKKVERVYTVLNVLEFNSARKRMSVIVRNEEGKLLLLCKGADSVMFERLAKSGRGFEEETKNHVNDYADSGLRTLILAYRELAEEEYKIFNQKFTEAKNSVSADRETLIDDMAEKIERNLVLLGATAVEDKLQEGVPACIDKLAQAGIKMWVLTGDKMETAINIGFSCCLLRQGMKQIIINLENPEILSLEKTGNKDAITKASRESVLRQITDGTALLTGPSGTAETFALIIDGKSLAYALEDDMKHLFLDLAMSCASVICCRSSPKQKALVTRLVKSGTRKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAAMASDVAIAQFRYLERLLLVHGHWCYRRLSSMICYFFYKNIAFGFSIWLYEAYTSFSAQSVYGDWFLSFYNVFFTALPVAALGIFEQDVSAASCLKYPLLYQEGVKNLLFGWRRVLHWLGNGFYTALVVFFFCSTALQHQAFNRDGKTVGMDVLGGTMYTCIVWAVNLQMALTVCYFTKIQRGLIIYCLCMLYIFFMGFGSLSPSMSAIGYKLFTEALAPAASYWFTIIFVIIAALLPFYAYSAIETRFFPMYHQMIQRLESGKHEDDPEYCDMMRQKLLQPPTSVGFSARLAARANKLRRKNKNQPQ >Potri.010G132700.2.v4.1 pep chromosome:Pop_tri_v4:10:14885561:14891685:1 gene:Potri.010G132700.v4.1 transcript:Potri.010G132700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132700.v4.1 MAGGGKRKRLSLSKLYSFACGKTSLKGDQSQMGAPGFSRVVHCNEPDCFEAKIRRYSGNYVSTTKYNVATFLPKSLFEQFRRVANFYFLVVGILAFTPLAPYTAVSAIFPLIVVVGATMVKEGIEDWKRAQQDIEMNNRKTRVHQGDGTFKSTGWKNLRVGDIVKVKKDEYFPADLLLLSSTFEDGICYVETMNLDGETNLKLKQALEATAFMHEDSYYRDFKALIKCEDPNTNLYSFVGTLDFEQNLYPLSPQRLLLRDSKLRNTEYIYGAVIFTGHDTKVMQNSTAPPSKRSKFEKQMDKIVYFLFFVLFMMAFIGSLVFGVATDNDLDGGRMKRWYLKPDESTVYFDPKRVVLASICHFLTALMLYNYFIPISLYVSIEVVKVFQSSFINNDINLYYEPSDRPAHSRTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTAYGHGVTEAERGMGVREGESVNGWDQSKDSSTTKPHIKGFNFKDERIMDGNWVHEPQANIIENFFLLLAICHTAIPDVDEETGKISYEAESPDEAAFVIAAREIGFEFYKRTQTSVAVREYNPKTGKKVERVYTVLNVLEFNSARKRMSVIVRNEEGKLLLLCKGADSVMFERLAKSGRGFEEETKNHVNDYADSGLRTLILAYRELAEEEYKIFNQKFTEAKNSVSADRETLIDDMAEKIERNLVLLGATAVEDKLQEGVPACIDKLAQAGIKMWVLTGDKMETAINIGFSCCLLRQGMKQIIINLENPEILSLEKTGNKDAITKASRESVLRQITDGTALLTGPSGTAETFALIIDGKSLAYALEDDMKHLFLDLAMSCASVICCRSSPKQKALVTRLVKSGTRKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAAMASDVAIAQFRYLERLLLVHGHWCYRRLSSMICYFFYKNIAFGFSIWLYEAYTSFSAQSVYGDWFLSFYNVFFTALPVAALGIFEQDVSAASCLKYPLLYQEGVKNLLFGWRRVLHWLGNGFYTALVVFFFCSTALQHQAFNRDGKTVGMDVLGGTMYTCIVWAVNLQMALTVCYFTKIQRGLIIYCLCMLYIFFMGFGSLSPSMSAIGYKLFTEALAPAASYWFTIIFVIIAALLPFYAYSAIETRFFPMYHQMIQRLESGKHEDDPEYCDMMRQKLLQPPTSVGFSARLAARANKLRRKNKNQPQ >Potri.005G037000.1.v4.1 pep chromosome:Pop_tri_v4:5:2370938:2375666:1 gene:Potri.005G037000.v4.1 transcript:Potri.005G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037000.v4.1 MEGDGIEEGLESATSPLLLGDKSTGNTTTSNQHSITPVLVFSTFVALCGSFSYGCSVGYSSPAESGIMKDLGLSVAAYSVFGSIVTIGGMVGAILSGKMADLIGRRGTMWTCQIICMAGWLAIAFAKKAWCLDIGRFLVGVAIGILTYVVPVYISEITPKNLRGRFTSANQLLVCCGFAVTYFVGSIASWRALSLIATIPSIVQIVCLFFVPESPRWLAKLGREKEFEASLQRLRGTNSDISEEAVDIRDAIEILKQTSAETRTLELFQRRYAYAVIVGVGLILLQTFGGNSAVSYYLGTIFAKANVSTSSGPIIFALLQIPTSVATVLLMDLFGRRTLLMVSATTSCLCLFLVGLSFCFQESHNLKELTPIMTLVGILGFGCGFAIGMSGIPWVIMAEIYPVNVKASAGSLVVLTSWASSWVVTYTFNFMLEWSSAGTFFIFSGMCALTILFVWKLVPETKGRTLEEIQSRLITQIPGQNSVIAKT >Potri.009G060200.3.v4.1 pep chromosome:Pop_tri_v4:9:6232243:6236291:1 gene:Potri.009G060200.v4.1 transcript:Potri.009G060200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060200.v4.1 MEAAVIDAGTQLLKAGTAVPDQAPPMIIPSQMKRMVEDGTSGDNNESVFEDVTVDPVVRGYIRDWDAMEDLLHYVLYTSLGWEEGNEGQVLFTDPLCTPKAVREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVLEGAVQHIASRRFEIGGVDLTKLLAQELSKSNLLVNLNASDAEMLKVKYSCCAEDELAYEKTQRSSDTEEHTLPDGQVCFCVLF >Potri.009G060200.2.v4.1 pep chromosome:Pop_tri_v4:9:6232239:6236428:1 gene:Potri.009G060200.v4.1 transcript:Potri.009G060200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060200.v4.1 MEAAVIDAGTQLLKAGTAVPDQAPPMIIPSQMKRMVEDGTSGDNNESVFEDVTVDPVVRGYIRDWDAMEDLLHYVLYTSLGWEEGNEGQVLFTDPLCTPKAVREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVLEGAVQHIASRRFEIGGVDLTKLLAQELSKSNLLVNLNASDAEMLKVKYSCCAEDELAYEKTQRSSDTEEHTLPDGQVIRIRREKYTIGEALFQPSILGIEALGIVEQLVRSISTVSSENHRQLLENTVLCGGITSMPGFEDRFQKEASLCSSAIRPSLVKPPEYMPDKLTEYSAWVGGAILAKVVFPQNQHVTKGDYDETGPSIVHRKCF >Potri.001G188400.2.v4.1 pep chromosome:Pop_tri_v4:1:16875646:16876915:-1 gene:Potri.001G188400.v4.1 transcript:Potri.001G188400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G188400.v4.1 MANICCSIEMEPRTLREGQLSHAREVAADVAQKMEPEEASDVFINGLRPVVSIKEMSQIEGNGDRHDHKVVECKEKTAEIIDRPCQCSCIPKDIESPDQLNLKEPLSAPF >Potri.010G173600.3.v4.1 pep chromosome:Pop_tri_v4:10:17443267:17447113:1 gene:Potri.010G173600.v4.1 transcript:Potri.010G173600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173600.v4.1 MAAEFEDGSPAEKLFNQGYSYTYDDVIFLPHYIDFPTDAVNLSTKLSRNIPLSIPCVSSPMDTVTESYMAAAMAAVGGIGIIHSNATPSEQADMIRSVKSRRVPILSSPVFKTPDSRIVNEFEGDDVPFVFVTQSGNEKSKLLGYVAKSDWLGLKDTEIKLGEIMRTDANVSVPCHYDLGQINGKLKEEGRDFVVLEKEGGEVVDVVTKEEVERVKGYPKLGKGTVGSDGRWMVGAAIGTRGSDKERLEHLVKAGVDVIVLDSSQGNSIYQIEMIKYVKQTYPELDVIGGNVVTMSQAQNLIKAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIATQSGIPVIADGGISFSGHIVKALVLGASTVMMGSFLAGSTEAPGAYELNEVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKHVPYTMQAVKQGFQDLGASSLRSAHDLLRSKTLRLEVRTAAAQVEGGVHGLASHEKKAF >Potri.010G173600.1.v4.1 pep chromosome:Pop_tri_v4:10:17443271:17447165:1 gene:Potri.010G173600.v4.1 transcript:Potri.010G173600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G173600.v4.1 MAAEFEDGSPAEKLFNQGYSYTYDDVIFLPHYIDFPTDAVNLSTKLSRNIPLSIPCVSSPMDTVTESYMAAAMAAVGGIGIIHSNATPSEQADMIRSVKSRRVPILSSPVFKTPDSRIVNEFEGDDVPFVFVTQSGNEKSKLLGYVAKSDWLGLKDTEIKLGEIMRTDANVSVPCHYDLGQINGKLKEEGRDFVVLEKEGGEVVDVVTKEEVERVKGYPKLGKGTVGSDGRWMVGAAIGTRGSDKERLEHLVKAGVDVIVLDSSQGNSIYQIEMIKYVKQTYPELDVIGGNVVTMSQAQNLIKAGVDGLRVGMGSGSICTTQEVCAVGRGQATAVYKVSSIATQSGIPVIADGGISFSGHIVKALVLGASTVMMGSFLAGSTEAPGAYELNEKGLQVKKYRGMGSLEAMTKGSDQRYLGDTAKLKIAQGVVGAVADKGSVLKHVPYTMQAVKQGFQDLGASSLRSAHDLLRSKTLRLEVRTAAAQVEGGVHGLASHEKKAF >Potri.010G049800.1.v4.1 pep chromosome:Pop_tri_v4:10:8160934:8165550:1 gene:Potri.010G049800.v4.1 transcript:Potri.010G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049800.v4.1 MGRLVFFSFISFFSFLTPFSDVMIPQKQVMALPLSTDSRWIVDENGQRVKLACVNWVSHLEVMVAEGISKQPMDGIAKRILSMGFNCVRLTWPVFLVTNDTLGSLTVRQSLQSHGLLESISGIQANNPSIIDLSLLDVYQAVVSSLGNNNVMVILDNHISKPGWCCSNSDGNGFFGDQYFDPDLWITGLTRMASMFKGVPNVVGMSLRNELRGPKQNVNDWYRYMQKGAEAVHSANPDVIVILSGLNYDKDLSFLRNRPVHLTFSGKIVFEVHWYGFTDGEAWKSGNSNQVCGRVVDNMMRVSGFLLDQGWPLFVSEFGVDQRGTNVNDNRYLGCFLSVAAELDLDWALWTLVGSYYLRQGVIGMNEYYGVLNWNWREVRNSTFLQLISALQSPFRGIAFVTSSLFISSSNMNLMLLIYSIVFYSQSLRLCLLEICFSLYKLTVNKKLIYKSSWFFYSKNP >Potri.018G029300.2.v4.1 pep chromosome:Pop_tri_v4:18:2170661:2172812:-1 gene:Potri.018G029300.v4.1 transcript:Potri.018G029300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G029300.v4.1 MEGITEGVTNLNITAAADSGNNKKNRIQVSNTKKPLFFYVNLAKRYMQQHNEVELSALGMAIATVVTIAEILKNNGLAVEKKITTSTVDMREETGGRPVPKAKIEILLGKTEKFDELMAAAAAQEAADAEEQS >Potri.009G109200.1.v4.1 pep chromosome:Pop_tri_v4:9:9413848:9414288:1 gene:Potri.009G109200.v4.1 transcript:Potri.009G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109200.v4.1 MSGLVDIWTSKVAKLREKGRTIWSSGSSPTNIGESNKVAQGEEGSLRLAKSSPALIRGMRVSLPALVYSEASLSMLMDCFSA >Potri.018G063700.2.v4.1 pep chromosome:Pop_tri_v4:18:7568368:7580083:-1 gene:Potri.018G063700.v4.1 transcript:Potri.018G063700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063700.v4.1 MASSTGNEGTSYSPTISSNSRTDEQEELLPWPDQVSMQKFKLYKTQSKFYMVGRDKTRMYWRVLKIDRLDPCELNIREDSTTYTESECYDLLRRVHEGNNATGGLKFVTTCYGIIGFIKFLGPYYMLLITKRRQIGAICGHNVYAVCKSEMIPLPNSTVQSSISNSKEENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNLCDTRTGQVPYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQAKLSVSGREFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGFPMQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLAKRYGNPIIILNLIKTQEKKPRESILRAEFANAIDHINKNLSEENRLRFLHWDLHQHSRSKATNVLLLLGKVAAYALTLTGFFYCQATSDLKLEGCMDSPFTEHAENGNLTSQYNSNSYNEDGNNLEEKSSGGNNAVNGNHSIKRPMFQMGVLRTNCIDCLDRTNVAQYAYGLAALGHQLNALGVIDNPKIDLDVPLADDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHHNVGRNGQTNVDEDARSIFKRSLSDGNILRENHSPLSAMNVKQQNFCRSAFPDQFQEENNVISESSPEISTCESDIAFSRYTPLMPRRQLFGDLQRDRYLESDQVCFSEHGDTFNCSNFVDIDWLSSSGNSCEEEPFERSSLLTNSPICGLSSEDVANGIMGETTPSTSEYGGSSTKEIQRTGTELSYSNPANSNLLEEFSDSFVNWVNHGEALCN >Potri.018G063700.5.v4.1 pep chromosome:Pop_tri_v4:18:7568355:7579758:-1 gene:Potri.018G063700.v4.1 transcript:Potri.018G063700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063700.v4.1 MASSTGNEGTSYSPTISSNSRTDEQEELLPWPDQVSMQKFKLYKTQSKFYMVGRDKTRMYWRVLKIDRLDPCELNIREDSTTYTESECYDLLRRVHEGNNATGGLKFVTTCYGIIGFIKFLGPYYMLLITKRRQIGAICGHNVYAVCKSEMIPLPNSTVQSSISNSKEENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNLCDTRTGQVPYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQAKLSVSGREFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGFPMQISSVVQNRGSIPLFWSQETSRLNIKPDIILSKKDQNYEATRLHFENLAKRYGNPIIILNLIKTQEKKPRESILRAEFANAIDHINKNLSEENRLRFLHWDLHQHSRSKATNVLLLLGKVAAYALTLTGFFYCQATSDLKLEGCMDSPFTEHAENGNLTSQYNSNSYNEDGNNLEEKSSGGNNAVNGNHSIKRPMFQMGVLRTNCIDCLDRTNVAQYAYGLAALGHQLNALGVIDNPKIDLDVPLADDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHHNVGRNGQTNVDEDARSIFKRSLSDGNILRENHSPLSAMNVKQQNFCRSAFPDQFQEENNVISESSPEISTCESDIAFSRYTPLMPRRQLFGDLQRDRYLESDQVCFSEHGDTFNCSNFVDIDWLSSSGNSCEEEPFERSSLLTNSPICGLSSEDVANGIMGETTPSTSEYGGSSTKEIQRTGTELSYSNPANSNLLEEFSDSFVNWVNHGEALCN >Potri.013G105766.1.v4.1 pep chromosome:Pop_tri_v4:13:11465854:11471041:-1 gene:Potri.013G105766.v4.1 transcript:Potri.013G105766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105766.v4.1 MAEKTDVNAKWDACLDLSVRRFVYSSLAGAFGGLLLFRTPVTRWASIAFGAGVGIGSAYTDCSQIFDGSTAKLVPPKTTSSAPEELQPPNTMSTPASQDGQD >Potri.017G087800.1.v4.1 pep chromosome:Pop_tri_v4:17:10079012:10080512:1 gene:Potri.017G087800.v4.1 transcript:Potri.017G087800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G087800.v4.1 MARKRKVSESVEDKNSSEGTMAWDEMVKEAAAAAALGGARRARKRFVGVRQRPSGRWVAEIKDTIQKIRVWLGTFDTAEEAARAYDEAACLLRGANTRTNFWPCSPTSSTTPALPSKITNLLLQRLKARNNSCDPSKTSQPHNLQQKLVEEYREPATDFSDTQFTDFLDHPDDYPVCNDDTINTNASAFDCTTKSLGPCLTEKEDSGGKEWDFDYSWSDVAQSSSGDGNNLGGEAEEDGEEEEEGNDIGALDFHFFDDVGSPFYYSPFEIAEDIEEPVEPECYGDEPSMLKAAMKRMKYERKFSASLYAFNGIPECLKLKLGSGNAKGKGRSDQLTELRNACNGRKEGNRAEEESLEVMQKQDDYLQSSTEMGSSSSSLINDGELSLWNNLDLPPICFIN >Potri.008G165700.2.v4.1 pep chromosome:Pop_tri_v4:8:11422559:11425855:-1 gene:Potri.008G165700.v4.1 transcript:Potri.008G165700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165700.v4.1 METIDEIEGDESYLDLLGILLGPDNSLTNSGYGGVVDNDFLSSVLVTQERECSRKRGRSDSCSRAGTKACREKLRRDRLNDRFQDLSSILEPGRQAKTDKPAILDDAIRVLNQLKAEAQELKETNEKLLEEIKSLKAEKTELREEKLTLKADKEKMEQQSRAVAVPPPRFMPTYPAAYHAAANKMPVFPSYGLMPMWRYLPPAACDTSRDHELRPPAA >Potri.001G084500.2.v4.1 pep chromosome:Pop_tri_v4:1:6759543:6760867:1 gene:Potri.001G084500.v4.1 transcript:Potri.001G084500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084500.v4.1 MATTTNPIKILSPNSRLLLTKPFCITSPTCPTLFNRATTSLHKPLLVIKCSSNSSEEIGPSNGNNLKDALSGMVDKQVEELLNRQENRVLLDGLEKASQRVEMARRELAEIERQELEAKQLRDYINQLESRASEIAECQQEILEARAMVEEAERSLSLNNDGDALESKEISRDQERLESIKAGFVSALVGTLAGLPISLTQVTSNAQLILPSTITFISCALFGLTFRYAVRRDLDNFQLKTGTAAAFGIVKGLATLAGGQPLELDPESFLSHAFNGAKYVSENLLIFAFAAVSLDFCFKMGLLSPFPMKRSASRTNIE >Potri.001G175700.1.v4.1 pep chromosome:Pop_tri_v4:1:15108345:15116222:1 gene:Potri.001G175700.v4.1 transcript:Potri.001G175700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175700.v4.1 MESGYLYRAGSSVRRGNSSGTFSNNAAADHQVFSLSSHGQDDDEEALKWAALEKLPTYDRLRKGILTTSTGAASEVEVQNLGFQERKNLVERLVNVAEEDNEKFLLKLKNRIDRVGIHVPTIEVRFEHLNVEAEAYVGSRALPTFFNYSVNMLEGVLNYLHILSSRKKHMWILKDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDHALKFSGRVTYNGHEMDEFVPQRTAAYISQHDLHIGEMTVRETLAFSARCQGVGSRYDMLAELSRREKEAGIKPDPDIDVFMKAAATEGQEDSVVIDYILKVLGLEVCADTLVGDEMLRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVNSIKQYVQILEGTALISLLQPAPETYDLFDDIILLSDGEIVYQGPREHVLRFFEYMGFKCPARKGVADFLQEVTSRKDQMQYWARRDVPYRFVTVKEFAEAFYSFHEGKRLGNELAVPFDKSKNHPAALTTKKYGVNKRELCKASFSREFLLMKRNSFVYAFKFIQLTIVAVIAMTLFLRTEMHRDSVTDGGIYVGAMFFIVVVIMFNGMAEISMTLAKLPVFYKQRDLLFFPAWIYALPTWILKIPITFIEVAIMVFITYFVIGFDPNVGRLFKHYLVLLLTNQMASGLFRTIAAVGRNMVVANTFGSFVLLLLFVLGGFVLSRDDIKKWWIWGFWTSPMMYAQNAVVVNEFLGKSWNHVLPNSTEPLGIEVLKSRGFFTEAYWYWLAVAALFGFTLLYNFLYILALAFLNPLGKPQQAGISEEPQSNNVGRIGEAIHLMNPGINSSLHTSAESIDEIGRSKSSRFTCNKQRGVIIPFEPHSITFDKVMYSVDMPQEMKSHGVHEDKLVLLKGVSGAFRPGVLTALMGISGAGKTTMMDVLAGRKTGGYIEGNITISGYPKKQETFARISGYCEQNDIHSPHITVYESLLYSAWLRLPTEVDIETRKMFVEEVMELVELNPLRQALVGLPGVDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLLKRGGQEIYVGPLGRLSCHLIKYFEGIEGVNKIKDGYNPATWMLEVTSTAEELALGVDFAEIYRSSELFRRNRALIKDLSTPAPGSKDLYFSTQYSRSFFTQCLACLWKQHWSYWRNPPYTAIRFLSTTVIGLIFGTMFWDIGSKITKRQDLFNAMGSMYTAVLFLGVQNAASVQPVVAVERTVFYRERAAGMYSALPYAFAQVLIELPYIFVQAAVYGVIVYSMIGFGWTISKFFWYLYFMYFTLLYFTFYGMMAVAVSPNHQIASVISAAFYGIWNVFSGFVIPRSRMPLWWRWYSWICPVFWTLYGLVASQFGDMKDRLETGETVEQFVTIYLDFKHDFLGVVAAVILGFTVLFAITFAISIKLFNFQRR >Potri.011G073700.6.v4.1 pep chromosome:Pop_tri_v4:11:7788371:7810134:1 gene:Potri.011G073700.v4.1 transcript:Potri.011G073700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073700.v4.1 MLTIFQLCQVMVMISFWSSITLLASDQLHPGEVEALRQIGKAVDEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNSTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVKKIDFARNYLYGTVPVEWASMKYLSSISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFIGSWTQLQNLELYATGLQGPIPLEIFHLDKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLNGTIPENAWKVETTLDLTFNNLVGEIPPTTIRRQFTFLSGNKLTGTVSDSFLQNSQNLDVSYNNFSRAPRCNSSNESDLLPCSEISRCPKYYRSFHINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMGDNNFNDNRYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNKNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPKRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELTDGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKVTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIRDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVLNMLEGQTSIPEVTSDPSIYDNDLQSKRVKGHYQQVTDQSLNSTQGLFPPSDKSWIGNSSTSAHDLYPMNPESISLNLSETSSLI >Potri.011G073700.5.v4.1 pep chromosome:Pop_tri_v4:11:7788384:7810141:1 gene:Potri.011G073700.v4.1 transcript:Potri.011G073700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073700.v4.1 MLTIFQLCQVMVMISFWSSITLLASDQLHPGEVEALRQIGKAVDEDGQLSLKFVDRCQQSGVVETVLTSAPPNLEGNSTIECNCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVKKIDFARNYLYGTVPVEWASMKYLSSISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGKLVNLKTLILSGNKLVGTLPEALAQIKDLEDFRVSDNNLNGTVPEFIGSWTQLQNLELYATGLQGPIPLEIFHLDKLSDLRIADMPGPEFQLPNSPIERQFLVLRNINLNGTIPENAWKVETTLDLTFNNLVGEIPPTTIRRQFTFLSGNKLTGTVSDSFLQNSQNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNGRILYEGDQDSESNAAARSYYRLGSNWGFSSTGDFMGDNNFNDNRYTLQSNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIQFTDEKLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGSNKNFTRAFNTTVTDRTLEIRLYWAGKGTTSIPKRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELTDGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKVTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKGNLMEIRDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVLNMLEGQTSIPEVTSDPSIYDNDLQSKRVKGHYQQVTDQSLNSTQGLFPPSDKSWIGNSSTSAHDLYPMNPESISLNLSETSSLI >Potri.014G189001.1.v4.1 pep chromosome:Pop_tri_v4:14:16129178:16129645:1 gene:Potri.014G189001.v4.1 transcript:Potri.014G189001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189001.v4.1 MSNPTIPFDSAVIHSGPCLSSSHALFLSPATHDDIRKAVFSIGDDKAPRLDGYSSFFFKRAWHIVGEDFCVAVQDFFLTSQLFRQVNHSIIALAPKSANVTSPFDFRPIFYCNVIYKVIAKILAGRLAHALTDIISPLQNAFLGGRFMSNNINLV >Potri.010G177900.1.v4.1 pep chromosome:Pop_tri_v4:10:17748026:17753544:1 gene:Potri.010G177900.v4.1 transcript:Potri.010G177900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177900.v4.1 MALSEKNPMVVAHILIGMVLVLLSSRISVSNATETDLACLKSIKASLVDPNNYLNTTWNFDNNTEGFICRFMGIDCWHPDENRVLNIRLSDLGLEGQFPPGIQNCTSLTGLNLSQNQLSGSIPANIAKFIPYITNLDLSFNNFSGEIPQNLANCSFLNDLKLDNNRLTSKIPPEFGLLDRIKVFTVTNNLLSGPIPSFIHNNIPADSFANNLDLCGKPLNSSCPGVARKSHVGVFAASAAGGITFTSIIVGVFLFLSRGAAKKKAEDPEGNRWAKSIKGTKGIKVSMFEKSVSKMRLSDLMKATNDFSNNNIIGAGRTGPMYKAVISDGCFLMVKRLQGSQRLEKEFVSEMKTLGNVKHRNLVPLLGFCVAKRERFLVYKFMENGTLYDKLHPVEPEIRNMDWSLRLKIAIGAARGLAWLHYDCNPRIIHRNISSKCILLDNDFEPKLSDFGLARLMNRIDTHLRAFVNGEFGGLDYVAPEYLRTLVATPKGDVYSFGVVLLELITGEKPTHVANAPESFKGSLVEWIRQLTDGPLLHTSIDKPLLGNGFDHELNQFLKVACNCVVENAKERPTMFEVHQLLRAIGERYHFTTEDDIMLPTDTGDTDFPDELIVADATKEV >Potri.005G110500.2.v4.1 pep chromosome:Pop_tri_v4:5:8024092:8033496:-1 gene:Potri.005G110500.v4.1 transcript:Potri.005G110500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110500.v4.1 MSPSSSAAAVSGATLEDHFWQPTAEESSSSTNEAFPFSSGNPRIEETRGVMHLFSNNGISDLPVERKPLVAVVGVPNHMTYADFCQFCASFIHHILEMRIVRLDGMEDRYSILIRFDTQDSTDKFYLHFNGRQFNSLEEEVCRVLFTVDVQFTGYSGSLEHTKPSPTSTTEQPSCPVCLERLDQDMGGILTTICNHSFHCSCISKWTDSSCPVCRYCQQQPEKSICFVCQTSENLWICVLCGFVGCGRYKGGHAIRHWKETQHCYSLELDTQRVWDYVGDNYVHRLIQSKTDGKLVELNSHCVHAYDGCGGCECADSGVSEALLKSKVEAIVNEYNELLATQLENQKLYFDTLLEEVKEETEREISEAVKKAIAQKLQKLQAKLDRCIKEKKFLDDLNENLVKNQEIWKAKISEIEEREKMALKIKDDKIQDLEEQLRDLMMSLEAGKKVEQQLSIPNELKDGTVLPISVESSSGKGPKGRKKANNPRES >Potri.018G125900.1.v4.1 pep chromosome:Pop_tri_v4:18:13721378:13723359:-1 gene:Potri.018G125900.v4.1 transcript:Potri.018G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G125900.v4.1 MGILYGMVARGPVVLAEFSSIAQTNANTVARQILDKIPRGNEDSNSSYSHDRYIFHVKRTDDLTVLCMADDATGRRIPFEFLEDIHQRFVKTYGRAIHTSAPYAMNEEFSRIMSQQMDHFSNDPNADRLNRLQGEMSHVRSVMIDNIDKVLQRGDRLALLVEKTSTLQGNTIRFRRQTQRFRNTQWWRNFKLKATLILFLLIFIYTVLALFCHGPSLHSCLK >Potri.004G212000.2.v4.1 pep chromosome:Pop_tri_v4:4:21843644:21848108:-1 gene:Potri.004G212000.v4.1 transcript:Potri.004G212000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212000.v4.1 MKSGDDLEGMLSDYVGGKAKSKLHRIPSARLVTALTCLQFAFAIYATFLLYYMSPTIDLRAKPDFTWATRIAQQWKQFIIPPHVLGRYQEAASLVRAEIQPINPSQVCEHEKIDFQQKKSNDSQMIKLKRELYDEVLDFQSKSIGTETLSELMAMNSKWDLRGPSKAKVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLSFGSPNELSLKRIVDSYNDSRISFVTSSYDFKYYGRFQMALQTEADLLYIVDDDMIPGRKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVNKIMQVDFLSSSWFLSAELVKTLFVEAPMTFKTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSAGYVTQWAAMHPQKIDALFYAHSVDEVKALAPLLERFRSTAGKKAYIAISGGSFCPCEDAATALNWPKVVCEERRFRIFDLAVGAQSDISDSEVPVMQAVYSSMKGLIKIHNPSVVITVNDIDPNVKKALKMATETNVNGTTMVLLPRPSISKVLWMADLRSTALPNWNKMRISVNIITQNRAPSLTRLLESLSNAYYLGDEIPISFNMDSKVDEETIRLVNSFDWPHGPKTLRRRIIHGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPFYYLWMKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPKWNATEFFKGIHPNTPYLHQLPCSWGAMFFPKQWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKTDFEVPLLKEDFTFLLPEGKLPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCDNATEIVSVDHETGLPTQCSKF >Potri.004G212000.3.v4.1 pep chromosome:Pop_tri_v4:4:21843621:21848868:-1 gene:Potri.004G212000.v4.1 transcript:Potri.004G212000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212000.v4.1 MKSGDDLEGMLSDYVGGKAKSKLHRIPSARLVTALTCLQFAFAIYATFLLYYMSPTIDLRAKPDFTWATRIAQQWKQFIIPPHVLGRYQEAASLVRAEIQPINPSQVCEHEKIDFQQKKSNDSQMIKLKRELYDEVLDFQSKSIGTETLSELMAMNSKWDLRGPSKAKVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLSFGSPNELSLKRIVDSYNDSRISFVTSSYDFKYYGRFQMALQTEADLLYIVDDDMIPGRKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVNKIMQVDFLSSSWFLSAELVKTLFVEAPMTFKTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKALSAGYVTQWAAMHPQKIDALFYAHSVDEVKALAPLLERFRSTAGKKAYIAISGGSFCPCEDAATALNWPKVVCEERRFRIFDLAVGAQSDISDSEVPVMQAVYSSMKGLIKIHNPSVVITVNDIDPNVKKALKMATETNVNGTTMVLLPRPSISKVLWMADLRSTALPNWNKMRISVNIITQNRAPSLTRLLESLSNAYYLGDEIPISFNMDSKVDEETIRLVNSFDWPHGPKTLRRRIIHGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPFYYLWMKYALLAYHYDPQVSLPELSSISLYTPRLVEVVKERPKWNATEFFKGIHPNTPYLHQLPCSWGAMFFPKQWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKTDFEVPLLKEDFTFLLPEGKLPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLRCDNATEIVSVDHETGLPTQCSKF >Potri.001G385500.2.v4.1 pep chromosome:Pop_tri_v4:1:40420796:40423027:-1 gene:Potri.001G385500.v4.1 transcript:Potri.001G385500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G385500.v4.1 MDDARSSDSYTWTNATKLSANTSALYMDARLSPISLTYYGFCMGNGSYTVTLHFAEITFTDDKTHSSLGRRFFDIYIQGKRVQKDFSIQEEAGGVGKAIIKNFTAIVTGNALEIRFYWAGKGTPGVPVRGVYGPLISSISVTPDFVPPSENSRSNGTSVGTVAGIVAAVVVVIFLILGILWWKGCLGQKISMRHDLKGLELKTGSFTLRQIKAATNNFDPANKIGEGGFGPVYKLSSKSKQGNREFVNEIGMISALQHPHLVKLHGCCIEGNQLLLVYEYMEKNSLACALFGPEEYQLNLEWATRHTICVGIAKGLASLHEESCIETSRPPMFCLIRIQTRRYLTLG >Potri.008G027600.1.v4.1 pep chromosome:Pop_tri_v4:8:1442681:1443607:1 gene:Potri.008G027600.v4.1 transcript:Potri.008G027600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G027600.v4.1 MAEKTFKFLVSLFLLLVILIKFVQSEPTGHHPFKSILNLVESQKGQSVSKLHLVKQYLKKFGYLDYDLSSNKNLNQVDDDEFDDRLESAIRTYQQNFHLEVTGRLDKRTVNQMMKPRCGVADIFNSTKHHNSSKSSDGVANADYSFFPGAPRWSKKHLKYTFGATVQVAGAESIRSVCKQSFQKWAQVTDFTFEEVPNSADADIKIAFYQLDHGDDEPFDGPGGIFAHGFRPTIGMLHFDADETWSSNPGRQELDLESVAVHEIGHLLGLGHSEDHPDAIMYPYFDYGKTKRSLQEDDIEGIRDLYGL >Potri.018G110200.1.v4.1 pep chromosome:Pop_tri_v4:18:12811970:12812993:1 gene:Potri.018G110200.v4.1 transcript:Potri.018G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110200.v4.1 MLLRKAPLPRILLDNVSCMRNAQQILRHVNVSVHDGGALVLTGSNGSGKSTFLRMLAGFSKPSAGQILWNGHDVTESGVFHQYKLQLNWLSLKDAIKEKFTVLDNVQWFELLEGKQGNSLPAIELMGLGRLAKDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVRLLEYIIAEHRKKGGIVFVATHLPINIEDAMYLRLPPRFPRRMTLVDMLDRAEIS >Potri.018G110200.2.v4.1 pep chromosome:Pop_tri_v4:18:12810059:12812992:1 gene:Potri.018G110200.v4.1 transcript:Potri.018G110200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110200.v4.1 MLLRKAPLPRILLDNVSCMRNAQQILRHVNVSVHDGGALVLTGSNGSGKSTFLRMLAGFSKPSAGQILWNGHDVTESGVFHQYKLQLNWLSLKDAIKEKFTVLDNVQWFELLEGKQGNSLPAIELMGLGRLAKDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVRLLEYIIAEHRKKGGIVFVATHLPINIEDAMYLRLPPRFPRRMTLVDMLDRAEIS >Potri.018G110200.3.v4.1 pep chromosome:Pop_tri_v4:18:12810059:12812991:1 gene:Potri.018G110200.v4.1 transcript:Potri.018G110200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110200.v4.1 MLLRKAPLPRILLDNVSCMRNAQQILRHVNVSVHDGGALVLTGSNGSGKSTFLRMLAGFSKPSAGQILWNGHDVTESGVFHQYKLQLNWLSLKDAIKEKFTVLDNVQWFELLEGKQGNSLPAIELMGLGRLAKDKARMLSMGQRKRLQLARLLAIDRPIWLLDEPSVALDDDGVRLLEYIIAEHRKKGGIVFVATHLPINIEDAMYLRLPPRFPRRMTLVDMLDRAEIS >Potri.017G095700.2.v4.1 pep chromosome:Pop_tri_v4:17:10837800:10838393:1 gene:Potri.017G095700.v4.1 transcript:Potri.017G095700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095700.v4.1 MRPNVSIEFLWWLSLTILLVSVITSTSTAAFLESNSSPIFNATIGEGNEEEFSMESEVHQRLLAYPGNHINYKTLERQQVCNAQMYGSCVKPINRDSRPCTYYNRCKRGS >Potri.010G225701.1.v4.1 pep chromosome:Pop_tri_v4:10:20934437:20937540:-1 gene:Potri.010G225701.v4.1 transcript:Potri.010G225701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225701.v4.1 MSKILQNPPSLRLLSSNSIPRDLSILSIPCQNPSILISKKLSPGKFILPGKIHGFEGGNGIFHAWSQEGSLQEVDDSPVSFELEPIYSESQFDRVIAEAQQLVESVIIVWMASWCRKCIYLKPKLEKLAADYNRRLRFYCVNVNNIPHKLVARAGVTKMPTIQLWKDSKKQAEVIGGHKAYLVINEVREMIENEGTL >Potri.010G225701.2.v4.1 pep chromosome:Pop_tri_v4:10:20934436:20937540:-1 gene:Potri.010G225701.v4.1 transcript:Potri.010G225701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225701.v4.1 MSKILQNPPSLRLLSSNSIPRDLSILSIPCQNPSILISKKLSPGKFILPGKIHGFEGGNGIFHAWSQEGSLQEVDDSPVSFELEPIYSESQFDRVIAEAQQLVESVIIVWMASWCRKCIYLKPKLEKLAADYNRRFTQFYFMIVHGLIRRESPVGNIENLF >Potri.009G113700.6.v4.1 pep chromosome:Pop_tri_v4:9:9661473:9671512:-1 gene:Potri.009G113700.v4.1 transcript:Potri.009G113700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113700.v4.1 MPAVFQCLMAIVHRAFEAAVFWLEDRITETGNEANVRESTLFSHACFLIKSMSQREEHIRDISVNLLTQLRDKFPQVLWNSSCLDSLLFSVHNDSPSAVINDPALIASVRSLYQRIVREWISISLSYAPCTSQGLLQEKLCKANTWQRTQPTTDVVSLLTEIRIGPSKNDWTGIRTANIPAVMAAAAAASGANLNVTEAFNLEVLSTGIVSATVKCNHAGEIAGMRRLYNSIGGFQSGGAPTGFGSGLQRLITGAFSQQPPAEDDSFNEMLLNKIVLLLQQFVSIAEKGGEVDKSQFRDTCSQAAAFLLSNLASESKSNVEGFAQLLRLLCWCPAYISTPDSMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFAHEVKYSGPAAKLRPQLAPGEPESLPEIDPVEQIMAHKIWVGFLIDRFEVVRHNSVEQLLLLGRLLQGTTKSSWNFSRHPAATGTFFTIMLLGLKFCSCHSQGNLQNFKTGLQLLEDRIYRACLGWFAFEPEWFDVNNVNFSISEARSLSVFVHYISNDGQSDARGRGHENGTYLVDMNDQCHPVWGQMENYAAGREKRKQLLMMLCQHEADRLEVWAQPTNSKENTSRPKISSEKWIEYARTAFSVDPRIALCLVSRFPTNINLKAEVTQLVQSHILDLRCIPEALPYFVTPNAVDEDSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDDGRLVEGYLLRATQRSDIFAHILIWHLQGETFPSESGKEVASGKSGSFQALLPVVRQRIIDGFTTKALNLFHREFDFFDKVTSISGVLYPLSKEERRAGIRRELEKIELEGEDLYLPTAPSKLVRGIRVDSGIPLQSAAKVPIMVTFNVVDRFGDQNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDNLGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWSQFVRLCVKGYLAARRYMDGIINTVMLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRVCTDAYNKWTTAGYDLIQYIQQGIEK >Potri.009G113700.1.v4.1 pep chromosome:Pop_tri_v4:9:9661493:9677095:-1 gene:Potri.009G113700.v4.1 transcript:Potri.009G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113700.v4.1 MESLIELCDLISQNPAQFADKLTWLCNRCPQPESLLTGSPRVSHSQINAILAVSRFLSITLDHTDNRPKSLILAFFRSIPTSFNPSFWPQSFSTDSIASFFTGFLAYVSKSAELDPGFSEDVAGFVGEVVMAAIGNNAGENLASSAISRVFLIALTENFVPILPEDGEKLITCLLDQFNVPVPVPSSPSERIGMNSGTSSSQSSPLSNNVKQHNSSHDASNDISSTVNDLSHMTLSSSSASTTVVVNGSGVTWKSGLESTGVGFDGGGGLSRQQVASFEEETAEGLEKQEIAYKLIGHVLDCVKIDNKLLELVRFIAKKQLQSLSAFLKIRRRDCNEQGQLLKARVNAKLSVYQAAARMKVQSLASLDVDGKTSKRLVLETLALLIDAAEACLLSVWRKLKNCEELLSSLLGGIAQIAVTRGGQPMRVLLIRLKPLVLTACAQADTWGGSQGAMFETVMKTSCQIIESGWTRDRAPVDTFISGLASSIRERIDYDDQVDKEKQGVPAVQLNVIRLLADLTVAVNKSEVVDMILPLFIESLEEGEASTPGLLRLRLLDAVSRIAGLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIASGLKNMKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLSAVAEICSDFDPTVNVEPSLLKLFRNLWFYVALFGLAPPIQKIQQPTKSVSTTLNSVGSMGTIALQAVGGPYMWNAQWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALTQRSALSAALGGRVDVAAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGDSLSASRSAFSCVFEYLKTPNLMPAVFQCLMAIVHRAFEAAVFWLEDRITETGNEANVRESTLFSHACFLIKSMSQREEHIRDISVNLLTQLRDKFPQVLWNSSCLDSLLFSVHNDSPSAVINDPALIASVRSLYQRIVREWISISLSYAPCTSQGLLQEKLCKANTWQRTQPTTDVVSLLTEIRIGPSKNDWTGIRTANIPAVMAAAAAASGANLNVTEAFNLEVLSTGIVSATVKCNHAGEIAGMRRLYNSIGGFQSGGAPTGFGSGLQRLITGAFSQQPPAEDDSFNEMLLNKIVLLLQQFVSIAEKGGEVDKSQFRDTCSQAAAFLLSNLASESKSNVEGFAQLLRLLCWCPAYISTPDSMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFAHEVKYSGPAAKLRPQLAPGEPESLPEIDPVEQIMAHKIWVGFLIDRFEVVRHNSVEQLLLLGRLLQGTTKSSWNFSRHPAATGTFFTIMLLGLKFCSCHSQGNLQNFKTGLQLLEDRIYRACLGWFAFEPEWFDVNNVNFSISEARSLSVFVHYISNDGQSDARGRGHENGTYLVDMNDQCHPVWGQMENYAAGREKRKQLLMMLCQHEADRLEVWAQPTNSKENTSRPKISSEKWIEYARTAFSVDPRIALCLVSRFPTNINLKAEVTQLVQSHILDLRCIPEALPYFVTPNAVDEDSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDDGRLVEGYLLRATQRSDIFAHILIWHLQGETFPSESGKEVASGKSGSFQALLPVVRQRIIDGFTTKALNLFHREFDFFDKVTSISGVLYPLSKEERRAGIRRELEKIELEGEDLYLPTAPSKLVRGIRVDSGIPLQSAAKVPIMVTFNVVDRFGDQNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDNLGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWSQFVRLCVKGYLAARRYMDGIINTVMLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRVCTDAYNKWTTAGYDLIQYIQQGIEK >Potri.009G113700.7.v4.1 pep chromosome:Pop_tri_v4:9:9661644:9670713:-1 gene:Potri.009G113700.v4.1 transcript:Potri.009G113700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113700.v4.1 MQVLWNSSCLDSLLFSVHNDSPSAVINDPALIASVRSLYQRIVREWISISLSYAPCTSQGLLQEKLCKANTWQRTQPTTDVVSLLTEIRIGPSKNDWTGIRTANIPAVMAAAAAASGANLNVTEAFNLEVLSTGIVSATVKCNHAGEIAGMRRLYNSIGGFQSGGAPTGFGSGLQRLITGAFSQQPPAEDDSFNEMLLNKIVLLLQQFVSIAEKGGEVDKSQFRDTCSQAAAFLLSNLASESKSNVEGFAQLLRLLCWCPAYISTPDSMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFAHEVKYSGPAAKLRPQLAPGEPESLPEIDPVEQIMAHKIWVGFLIDRFEVVRHNSVEQLLLLGRLLQGTTKSSWNFSRHPAATGTFFTIMLLGLKFCSCHSQGNLQNFKTGLQLLEDRIYRACLGWFAFEPEWFDVNNVNFSISEARSLSVFVHYISNDGQSDARGRGHENGTYLVDMNDQCHPVWGQMENYAAGREKRKQLLMMLCQHEADRLEVWAQPTNSKENTSRPKISSEKWIEYARTAFSVDPRIALCLVSRFPTNINLKAEVTQLVQSHILDLRCIPEALPYFVTPNAVDEDSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDDGRLVEGYLLRATQRSDIFAHILIWHLQGETFPSESGKEVASGKSGSFQALLPVVRQRIIDGFTTKALNLFHREFDFFDKVTSISGVLYPLSKEERRAGIRRELEKIELEGEDLYLPTAPSKLVRGIRVDSGIPLQSAAKVPIMVTFNVVDRFGDQNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDNLGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWSQFVRLCVKGYLAARRYMDGIINTVMLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRVCTDAYNKWTTAGYDLIQYIQQGIEK >Potri.009G113700.5.v4.1 pep chromosome:Pop_tri_v4:9:9661639:9674322:-1 gene:Potri.009G113700.v4.1 transcript:Potri.009G113700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113700.v4.1 MILPLFIESLEEGEASTPGLLRLRLLDAVSRIAGLGFEKSYRETVVLMTRSYLSKLSSVGSAESKTLAPEATTERVETLPAGFLLIASGLKNMKLRSDYRHRLLSLCSDVGLAAESKSGRSGADFLGPLLSAVAEICSDFDPTVNVEPSLLKLFRNLWFYVALFGLAPPIQKIQQPTKSVSTTLNSVGSMGTIALQAVGGPYMWNAQWSSAVQRIAQGTPPLVVSSVKWLEDELELNALHNPGSRRGSGNEKAALTQRSALSAALGGRVDVAAMSTISGVKATYLLAVAFLEIIRFSSNGGILNGGDSLSASRSAFSCVFEYLKTPNLMPAVFQCLMAIVHRAFEAAVFWLEDRITETGNEANVRESTLFSHACFLIKSMSQREEHIRDISVNLLTQLRDKFPQVLWNSSCLDSLLFSVHNDSPSAVINDPALIASVRSLYQRIVREWISISLSYAPCTSQGLLQEKLCKANTWQRTQPTTDVVSLLTEIRIGPSKNDWTGIRTANIPAVMAAAAAASGANLNVTEAFNLEVLSTGIVSATVKCNHAGEIAGMRRLYNSIGGFQSGGAPTGFGSGLQRLITGAFSQQPPAEDDSFNEMLLNKIVLLLQQFVSIAEKGGEVDKSQFRDTCSQAAAFLLSNLASESKSNVEGFAQLLRLLCWCPAYISTPDSMETGVFIWTWLVSAAPQLGSLVLAELVDAWLWTIDTKRGLFAHEVKYSGPAAKLRPQLAPGEPESLPEIDPVEQIMAHKIWVGFLIDRFEVVRHNSVEQLLLLGRLLQGTTKSSWNFSRHPAATGTFFTIMLLGLKFCSCHSQGNLQNFKTGLQLLEDRIYRACLGWFAFEPEWFDVNNVNFSISEARSLSVFVHYISNDGQSDARGRGHENGTYLVDMNDQCHPVWGQMENYAAGREKRKQLLMMLCQHEADRLEVWAQPTNSKENTSRPKISSEKWIEYARTAFSVDPRIALCLVSRFPTNINLKAEVTQLVQSHILDLRCIPEALPYFVTPNAVDEDSVLLQQLPHWAACSITQALEFLTPAYKGHPRVMAYVLRVLESYPPERVTFFMPQLVQSLRYDDGRLVEGYLLRATQRSDIFAHILIWHLQGETFPSESGKEVASGKSGSFQALLPVVRQRIIDGFTTKALNLFHREFDFFDKVTSISGVLYPLSKEERRAGIRRELEKIELEGEDLYLPTAPSKLVRGIRVDSGIPLQSAAKVPIMVTFNVVDRFGDQNDVKPQACIFKVGDDCRQDVLALQVIALLRDIFEAVGLNLYLFPYGVLPTGPERGIIEVVPNTRSRSQMGETTDGGLYEIFQQDYGPVGSPSFEAARENFIISSAGYAVASLLLQPKDRHNGNLLFDNLGRLVHIDFGFILETSPGGNMRFESAHFKLSHEMTQLLDPSGVMKSETWSQFVRLCVKGYLAARRYMDGIINTVMLMLDSGLPCFSRGDPIGNLRKRFHPEMSEREAANFMIRVCTDAYNKWTTAGYDLIQYIQQGIEK >Potri.003G176650.1.v4.1 pep chromosome:Pop_tri_v4:3:18361822:18362714:-1 gene:Potri.003G176650.v4.1 transcript:Potri.003G176650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176650.v4.1 MAHCAGRRRCLLLLLFFFFFCFFYFLVRFCSFTHCAARSSRRRRRTVLDEQRCLLLLCFFLLLCPFLCFQCSSLLLLRPSVQGAVQPETRLVLVRWLANASLCFCLVCFSSRPFL >Potri.010G069100.2.v4.1 pep chromosome:Pop_tri_v4:10:9759337:9763039:-1 gene:Potri.010G069100.v4.1 transcript:Potri.010G069100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G069100.v4.1 MRRECHPLLRGGRNRESKYNHGLSSAEMESLSSLCETILPSLSPIPKFDGKQNQPTKAVQAFYRASASQTPMPDEMAELLTKRGLPEAVFMVRLVLWLLSTRLGTFLLCGSLCFGEKWPYFKNFSSIPLDKKERVLQKWFKHRFFTPIRTAFVYVKILVLYVFFSRVDEKGDNPAWEAIEYNAGTDENPDQVPKERPLQKGLIDTNQETDSTLLHSLKEKGLRVTQDPRKNLYKIKCDVVIVGSGCGGGVAAAVLAASGQKVFVLEKGNYFTATDYSGLEGPSMDQLYESGGKLATTDAEMLIMAGSAVGGGSAVNWSASIKTPNSVLQEWTGTQKIPLFGSSEYFSAMDAVCTRIGVTESCGEEGFQNQVLRKGCESLGIPVKTVPRNSSERHYCGSCGYGCLKGEKKGTDRTWLVDAVDHGAVILTGCKAERFMLEKNEGGSKRKKKCVGVTAKIVNNNIRTRLQIEAKVTISACGALLTPPLMISSGLKNQNIGRNLHLHPVLMAWGYFPESNSEFKGKVYEGGIITAVHEVLTGDSNARAIIETPALGPSSFVALCPWVSGHDMKDRMAKYARTAHLIAIIRDSGSGKVTTEGRISYNLDAMDKENLKAGLRQALRILVAAGAVEVGTHRSDGQRIKCRGIKKEDLEEFLDTVYATAGPLSPVEDWMLYTSAHQMGSCRMGINKKEGAVDENGESWEAEGLFVCDASVLPSAVGVNPMITIQSTAYCLSKKIAESLCRD >Potri.019G015700.2.v4.1 pep chromosome:Pop_tri_v4:19:2499742:2500706:-1 gene:Potri.019G015700.v4.1 transcript:Potri.019G015700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G015700.v4.1 MSKISNSIVFMLLHGLIILTPPPTCMVQADDMAEYTHRKFPHKLKAAYPLGKMRGINIMLALDSSDRESGTVKIASTEHFTAEVKVKPESRRRVGTPKVSSPKPNTRPHIRPRIFPPPPPPPPAS >Potri.010G010448.1.v4.1 pep chromosome:Pop_tri_v4:10:1883840:1890534:1 gene:Potri.010G010448.v4.1 transcript:Potri.010G010448.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G010448.v4.1 MANRTYDLLGQVQAGHQFDHDSLFRYASVHVPGFPSSAASTFTVKQFGHGQSNPTFLLEVGNGGSVKRYVLRKKPPGKLLQSAHAVDREYQVLRALGEHTEVPVPKVFCGCMDASVIGTDFYIMEFLEGRIFMDPKLPGLAPERREAIYRETAKVLAALHSVDVDAIGLGKYGRRDNYCKRQVERWTKQYIASTGDSRYPSNPKMLELARWLQQHIPSEDSSGEGIVHGDFRIDNVVFHPIEDRVIGILDWELSTLGNQMTDVAYSCLAYIVDINHENQQVGKGFELTRIPEGIPSQAEYLAGYCSASGKSWPAAVWKFYISLAMFRGAAILAGIYSRWLMGNASGGERAQNAGKQANDLVDSAWAYIARKSVLPNHPPPDPIARDYMKQQFGGGNESGRFVPSVKVLKLRNKLIKFMEDHIYPMENEFYKLAQSSSRWTVHPEEESLKELAKKEGLWNLWISFDSAERAKKLLFDESSRMVSNGEHDQFLGAGLSNLEYGYLCEIMGRSVWAPQVFNCGAPDTGNMEVLLRYGNKEQLLEWLVPLLQGKIRSGFAMTEPQVASSDATNIECSIKREGDSYIINGRKWWTSGAMDPRCKVLIVMGKTDFTAANHKQQSMILVDIQTPGVHIKRPLMVFGFDDAPHGHAEVVFDNVRVPAKNILLGEGRGFEIAQGRLGPGRLHHCMRLIGASERGMQMMVQRALSRKAFGKLIAEHGSFRSDVAKCRIELEKTRLLVLEAADQLDRFGNKKARGTIAMAKVAAPNMALMVLDTAMQVHGAAGVSSDTVLAHLWATSRTLRIADGPDEVHLGTIAKLELRRAKL >Potri.013G050500.2.v4.1 pep chromosome:Pop_tri_v4:13:3588504:3589572:-1 gene:Potri.013G050500.v4.1 transcript:Potri.013G050500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G050500.v4.1 MACAIFILLVTIFSFLSSNYICQQLRDYEQLISAGGVFRLGFFSPNPNAGLIGTAGARYLGIWFEHLPVYSVWVANRPRHPNHIPGGPPIVINSNVESAKKSSGGIIATLLDSGNLVVRQVDSDGTPATRNCFVAEYESQDWTLTSWLSEKVPAPGAFRLGLDPSGANQLLVWRRDEIHWSSGVCLNGSFQSAPELTQLTKGYNIYDFRFVANEEETYFSYSIKERSILSRWDLDTLGEVTLLTVDRRDGNNRWTFETSGACHNGFKNSTSVCLTEKPTKCRNGTQSFVPKRGYIDVSEIWYDTDTNLALST >Potri.003G116700.1.v4.1 pep chromosome:Pop_tri_v4:3:13887682:13890111:-1 gene:Potri.003G116700.v4.1 transcript:Potri.003G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116700.v4.1 MCAPSKTEENRIDKTSQPPPISSPTTQIWPANLMQMILLEIKTQRGMALPLLAMNLTWFAKSAITTAFLGRLGELQLAGGALGFTFANFTGFSVLNGLCGAMEPICGQAYGAKNFKLLHKTLLMATFLLLIATLPISFLWLNMDKILIYFGQQEDISRVAKNYLFYLLPDLIITCLLCPLKAYLSSQSVTVPIMFCSALGLAFHIPINILLAKAKGLEGVSMAIWITDLIVVILLALYVLIMENRKGGKWKEGGWLDQDVHDWLKLLKLCAPCCLTTCLEWWCWEILVLLTGRLPNARQAVGVVAIVMNFDYLLFSVMLSLATCASTRVSNELGANQAGRAYQSAYVSLGASTVSGCVGALVMIGARGVWGSLFTHDQGIRKGVKKMMLLMALIEVVNFPLAVCGGIARGTARPWLSTYANLGGFYFLAVPIAILLAFKAALGLGGLLIGFLIGLAACLILLVGFVVRIDWQVEADKAQKLASCDVQEDVRIKECVNHRTIETEDGAEV >Potri.004G030200.1.v4.1 pep chromosome:Pop_tri_v4:4:2324744:2327798:-1 gene:Potri.004G030200.v4.1 transcript:Potri.004G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030200.v4.1 MAFPINDGNFSASFHLPSLENELCLRHGKMVKEAGCILSNTAGKDPLEGLVMIDTLQRLGIDYHLREEIEAFLNTQYMNFSSPNHLPLDVFGVALRFRLLRQEGYNVSQEVFNNFKNEEGNFHLIQENDVKGLMALYEASQLSMESEDILDEAGEFSAKLLNHHESEIVANTLKHPYHKSLARFMVKNFLNNIDIRNENIKVFSELAKIDCEIVRSIHQKEILQISNWWKDLGLAKELKFARDQPLKWHMWSMSVLIDPNLSEQRVELTKPISLVYIIDDIFDLYGTLNDLSIFTEAVNEWDLTAANQLPESMKISLKALFDITESISTKILEKHGWNPIESLQKSWKKLCNAFLEEAKWFASGKLPKPEEYLRNGIVSSGVHVVLVHMFFLLGQGINKETVDFVVGFPPIISFTATILRLWDDLGTAKDENQDGHDGSYLECYIREHPNVTVERAREHVSHLICDAWKKLNQECLSRSPFSPSFTKACLNVARMIPLMYSYDDNPGLASLKEHMRSLAAHLESKPF >Potri.018G001600.1.v4.1 pep chromosome:Pop_tri_v4:18:121297:122637:-1 gene:Potri.018G001600.v4.1 transcript:Potri.018G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001600.v4.1 MAKSLNLSPSPSTPTLSLSSSSKYSTLLKTPTTLSFPLSRHHSHSHSLTVRSSSSSATTTATPTSTTSSNQFRVDILSESLPYIQKFRGKTVVVKYGGAAMKQPELKASVVSDLVLLSCVGLRPVLVHGGGPEINHWLKLLNIEPLFHEGLRVTDAKTMEIVSMVLVGKVNKDLVSLINKAGATAVGLSGMDGRLLMAKPTPNSAKLGFVGEVARVDPTILQPLVNNGHIPVIASVAADELGQSYNINADTVAGEVAAALGAEKLILLTDVAGILENKDDPGSLVREIDIKGVKKLIEEKKVGGGMIPKVNCCVASLSQGVRTASIIDGRVQHSLLHEIMSEEGIGTMITG >Potri.011G155350.1.v4.1 pep chromosome:Pop_tri_v4:11:18207483:18207803:-1 gene:Potri.011G155350.v4.1 transcript:Potri.011G155350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155350.v4.1 MATKTSSKVVLKPIFLLGLFLLITCLALQIDARQLKEENGKPMKFDKINAKKGLGEMKNLPPFPNIPIPGIPFPQFPFPPPFDIPNVPPLPDFPFPPIPFPPSPPA >Potri.011G068001.1.v4.1 pep chromosome:Pop_tri_v4:11:5945593:5946811:1 gene:Potri.011G068001.v4.1 transcript:Potri.011G068001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068001.v4.1 MWHVMFLLQGYHSLQSYRVAHVLWNQGRTVLALALQSRISEVFGIDIHPASRIGEGILLDHGTGVVVGETAVIGNRVSLMHVSHPDPSLLLLFNVRINLGTIILVPGLIGCIVLLSSATSYSTNFPTLLRVFYFISMILVYSFSLIFMLFEVLLDKLL >Potri.001G413800.1.v4.1 pep chromosome:Pop_tri_v4:1:43813041:43817182:-1 gene:Potri.001G413800.v4.1 transcript:Potri.001G413800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413800.v4.1 MKRHEFFMGGQTILFLLSIVFFLSIPSTAIESINATQSLEDGDTLVSSEGHFELGFFSPGNSRNRYMGIWYKKISSFTVVWVANRNTPLNDSSGMLKFVDHGNLAFINSTNGTIWSSNISRAAINPVAQLLDTGNLVVRAENDNDPENFLWQSFDYPGDSFLPGMKYGISFVTGLNRYLTSWKSPSDPSTGKYTNKLDPNGLPQYFLSQGSVDQFRSGPWNGLRFSGMINLKPNPIYTFEFVFNQEEIYYKYQIANSSVLSRMVLSPDGVLQRFTWIDRTQDWTLYLTANMDNCDRFALCGAHGVCNINNSPACDCLKEFEPKSLEEWTAADWSQGCVRKAPLDCSNGEGFIKYTGIKVPDTRKSWYNKTINLEECEEVCLKNCSCTAYANLDVRDGGSGCVLWFGDLIDIRQYNENGQDIYIRIAASVIDKLVKSRGKKRVRIIVIPVSLVAFSLLALCLFLRFLRKNKQQQLTREGNVVTNPEQDRTKESRNEDLELPLFDLATLTDATNCFSINNKLGQGGFGPVYKGILQDGQEIAVKRLSKRSRQGINEFRNEVVCIAKLQHRNLVKLLGCCIELEERMLIYEYMPNKSLDSFIFDKRRNMLLDWTKRFPIINGIARGLLYLHQDSRLRIIHRDLKASNILLDYEMNPKISDFGMARSFGGDETSANTSRIVGTYGYMSPEYAIDGLFSVKSDVFSFGVLVLEIVSGRKNRGFRHAEHKLNLLGHAWMLHKEGRPLDLIDESIVDTCIISEVLRSIEVALLCVQKSPEDRPKMSNVVLMLSSDIVLPQPKEPGFFTERDLSNDSSSTIKHEISSVNELTSTLLEAR >Potri.003G032400.2.v4.1 pep chromosome:Pop_tri_v4:3:3638613:3640189:1 gene:Potri.003G032400.v4.1 transcript:Potri.003G032400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032400.v4.1 MLGWGVDRLRADMNRLLAMLFHQGVLDEQFLQLQQLEDESSPNFVSEVVNIYFHESEKLLRNLRGLLMDREFSDYKKMGTHLNQLIGSSSSIGAKRVRNVCVSFRAASEQNSRAGCLRALELLEHEYCYLKNKLHELFHMDQLRVLAAGVTYPPQH >Potri.003G032400.3.v4.1 pep chromosome:Pop_tri_v4:3:3638613:3640190:1 gene:Potri.003G032400.v4.1 transcript:Potri.003G032400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032400.v4.1 MLGWGVDRLRADMNRLLAMLFHQGVLDEQFLQLQQLEDESSPNFVSEVVNIYFHESEKLLRNLRGLLMDREFSDYKKMGTHLNQLIGSSSSIGAKRVRNVCVSFRAASEQNSRAGCLRALELLEHEYCYLKNKLHELFHMDQLRVLAAGVTYPPQH >Potri.004G100400.1.v4.1 pep chromosome:Pop_tri_v4:4:8708482:8711632:-1 gene:Potri.004G100400.v4.1 transcript:Potri.004G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100400.v4.1 MAVMMMVLTSLLVIFIFSFLKVAYDNISCYFLTPRRIKKIMEKQGVRGPKPRPLTGNILDVAAYVSKSTSKDMDHITHDTVNRLLPHYVAWSKQYGKRFIFWNGVEPRLCISETEMIKELLTKYSTKSGKSWLQREGTKHFIGRGLLMANGSDWYHQRHIAAPSFMGERLKSKAGLMVECTKNMLQSLQNAVESGQTEVEIGEYMSRVTADIISRTQFGSSYEKGKQIFHLLTELQSLCHQATRHLCLPGSRFFPSNYNRQIKSKKMEVDRLLLEIIQSRKDCVEIGRSSSYGDDLLGMLLNEMEKKRSDGFNINLQLVMDECKTFFFAGHETTSLLLTWTVMLLASNPSWQEKVRAEVKEVCNGETPSIDHLPKFNLLNMVVSESLRLYPSGTLLPRMAFEDIKLGDLDIPKGLQIWIPVLAIHHSEELWGKDANEFNPDRFASKSFAPGRHFIPFAAGPRNCIGQSFAMMEAKIILAMLISQFSFNISDSYRHAPVVVLTIKPKYGVQVYLKPLNS >Potri.010G004000.1.v4.1 pep chromosome:Pop_tri_v4:10:367296:368631:1 gene:Potri.010G004000.v4.1 transcript:Potri.010G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004000.v4.1 MASWKKTITSPFRKACTFFNQQQAVSRDKKSQPGEEKRVLDLHGEVMACGYEDVQVMWSILDKSKSATCDMTTS >Potri.006G044400.1.v4.1 pep chromosome:Pop_tri_v4:6:3021251:3022160:-1 gene:Potri.006G044400.v4.1 transcript:Potri.006G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044400.v4.1 MSRKPSINVILSLVLLLLLIVENYANITEAPTPQPQPNTNSNRPPYGTTQGSLNPQECGPRCTGRCSKTAFKKPCMFFCQKCCAKCLCVPAGTYGNKQSCPCYNNWKTKRGGPKCP >Potri.004G069400.1.v4.1 pep chromosome:Pop_tri_v4:4:5881540:5886638:-1 gene:Potri.004G069400.v4.1 transcript:Potri.004G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069400.v4.1 MVKSKKTEASNSNRENPDVLERKRLKKLAITNNIVSDAQVKAPYSLNPSKTVAKHHGKDIIRKSQRKNRFLFSFPGLLAPINGGGKIGELKDLSSKNPVLYLDFPQGQMKLFGTILHPKNRYLTLQFSRSGKNVMCEDYFDHMIIFSEAWWIGTKEENPEELKLDFPNELFEGKGVECDFKGGAGAGSVNKQVLQKSGGTKYVKEESPETELDDDLSDDNNDFKDLNETTPIRQSARTSGKKFKFTEVSSGDDYAERSPDALGVEEEEEEEEEKKVKTNMSSGLDIESESSREGNHLSEQIQASITKSKKLSESAASVTIPKENLYNSHGSLVQSTISTLFKKVQEKKKVVEKEAPRNSRKSSSSKVSDQKLQKTDLKRKIDLVEAPRKRGTVTEGKKAGTGSKAKKKVNEVEDDDIEEFSSSSQGTEGSDEDWEA >Potri.004G069400.2.v4.1 pep chromosome:Pop_tri_v4:4:5881543:5886638:-1 gene:Potri.004G069400.v4.1 transcript:Potri.004G069400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069400.v4.1 MVKSKKTEASNSNRENPDVLERKRLKKLAITNNIVSDAQVKAPYSLNPSKTVAKHHGKDIIRKSQRKNRFLFSFPGLLAPINGGGKIGELKDLSSKNPVLYLDFPQGQMKLFGTILHPKNRYLTLQFSRSGKNVMCEDYFDHMIIFSEAWWIGTKEENPEELKLDFPNELFEGKGVECDFKGGAGAGSVNKQVLQKSGGTKYVKEESPETELDDDLSDDNNDFKDLNETTPIRQSARTSGKKFKFTEVSSGDDYAERSPDALGVEEEEEEEEEKKVKTNMSSGLDIESESSREGNHLSEQIQASITKSKKLSESAASVTIPKENLYNSHGSLVQSTISTLFKKVQEKKKVVEKEAPRNSRKSSSSKVSDQKLQKTDLKRKIDLVEAPRKRGTVTEGKKAGYSLALIV >Potri.016G070500.1.v4.1 pep chromosome:Pop_tri_v4:16:5147081:5151246:1 gene:Potri.016G070500.v4.1 transcript:Potri.016G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070500.v4.1 MRNHHIKLVLSLCSLVTVVFSVTDSNDFAILKAFREGLENPGLLEWPADGDDPCGQSWKHVFCSGSRVTQIQVQNMSLKGTLPQNLNKLTKLQRLGLQRNQFTGALPSLGGLSELQYVYLDFNQFDSIPSNCFDDLVSLQFLALDSNNFNASTGWSFPEGLQDSAQLTNLSCMFCNLAGPLPVFLGSLPSLQSLKLSGNNLSGEIPVSFKGGMSLQNLWLNDQNGGGLSGTIDVVTTMDSVNVLWLHGNQFTGTIPESIGNLTVLQDLNLNGNQLVGFVPDSLAKMPLQHLDLNNNQLMGPIPKFKATEVSCTSNAFCQSTPGVPCAPEVMALLEFLGSLNYPSRLVSSWTGNNPCLWLGLACDPNSKVNSIVLPNHNLSGTLSPSVAKLGSLFQVKLASNNLGGHIPDNWTSLTSLKTLDLSANNISPPLPKFSGTVNVVISGNPLFNGGSPANPVPSPGNNPSSGSSDSPPSNPSSPNKGIGSSPMNSSVSTKPKMSTLVAIIAPVASVAFIAILVIPLSIYCCKKRKDTFQAPSSLVIHPRDPSDSDNTVKVVVSHDTNGSASTITGNGSASRTSSGIGESHVFEAGNLVISVQVLRNVTKNFASENELGRGGFGVVYKGELDDGTKIAVKRMEAGVISSKGLDEFQAEIAVLSKVRHRHLVSLLGYSIEGCERILVYEYVPQGALSRHLFHWKSLELEPLSWKRRLNIALDVARGMEYLHSLAHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDDDRPEESQYLAAWFWQIKSDKQKLRAAIDPALDVKDETFESISIVAELAGHCTAREPNQRPDMGHAVNVLAPLVEIWKPLDDDTEEYCGIDYSLPLNQMVKGWQEAEGKDLSYVDLKDSKSSIPARPTGFAESFTSADGR >Potri.016G070500.3.v4.1 pep chromosome:Pop_tri_v4:16:5147088:5151221:1 gene:Potri.016G070500.v4.1 transcript:Potri.016G070500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070500.v4.1 MRNHHIKLVLSLCSLVTVVFSVTDSNDFAILKAFREGLENPGLLEWPADGDDPCGQSWKHVFCSGSRVTQIQVQNMSLKGTLPQNLNKLTKLQRLGLQRNQFTGALPSLGGLSELQYVYLDFNQFDSIPSNCFDDLVSLQFLALDSNNFNASTGWSFPEGLQDSAQLTNLSCMFCNLAGPLPVFLGSLPSLQSLKLSGNNLSGEIPVSFKGGMSLQNLWLNDQNGGGLSGTIDVVTTMDSVNVLWLHGNQFTGTIPESIGNLTVLQDLNLNGNQLVGFVPDSLAKMPLQHLDLNNNQLMGPIPKFKATEVSCTSNAFCQSTPGVPCAPEVMALLEFLGSLNYPSRLVSSWTGNNPCLWLGLACDPNSKVNSIVLPNHNLSGTLSPSVAKLGSLFQVKLASNNLGGHIPDNWTSLTSLKTLDLSANNISPPLPKFSGTVNVVISGNPLFNGGSPANPVPSPGNNPSSGSSDSPPSNPSSPNKGIGSSPMNSSVSTKPKMSTLVAIIAPVASVAFIAILVIPLSIYCCKKRKDTFQAPSSLVIHPRDPSDSDNTVKVVVSHDTNGSASTITGNGSASRTSSGIGESHVFEAGNLVISVQVLRNVTKNFASENELGRGGFGVVYKGELDDGTKIAVKRMEAGVISSKGLDEFQAEIAVLSKVRHRHLVSLLGYSIEGCERILVYEYVPQGALSRHLFHWKSLELEPLSWKRRLNIALDVARGMEYLHSLAHQSFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVTGKITTKADVFSFGVVLMELLTGLMALDDDRPEESQYLAAWFWQIKSDKQKLRAAIDPALDVKDETFESISIVAELAGHCTAREPNQRPDMGHAVNVLAPLVEIWKPLDDDTEEYCGIDYSLPLNQMVKGWQEAEGKDLSYVDLKDSKSSIPARPTGFAESFTSADGR >Potri.005G161366.1.v4.1 pep chromosome:Pop_tri_v4:5:15816382:15816972:1 gene:Potri.005G161366.v4.1 transcript:Potri.005G161366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161366.v4.1 MTANYTSSSNKRGGGRKYNGSKGQSYTSYTPNASNYNYRGRGRGGRYTQNGTHNSISLEKPQYQLCGKFGHTTHVCYHRYDISYQNSSNSGTNSLNMNIRNQNNIPAMVASSNNLVDDNWYLDSGASHHLTQNVKNLTNSTPYPGIDKVTVGNGKHLSIFNTGSHRLVSNSRSFQLRKVFYAPFISANLISVAKFC >Potri.010G082101.1.v4.1 pep chromosome:Pop_tri_v4:10:10967353:10970078:-1 gene:Potri.010G082101.v4.1 transcript:Potri.010G082101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082101.v4.1 MIVTKTRLPHHREPNEFVFGPTLCWRLDADNYETDEELKKIREARGYSCVDFGEVFPEKLPNYEEKIKDFFEEHFHTDEEIRYCGWKWLFSC >Potri.010G082101.2.v4.1 pep chromosome:Pop_tri_v4:10:10967215:10970080:-1 gene:Potri.010G082101.v4.1 transcript:Potri.010G082101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082101.v4.1 MIVTKTRLPHHREPNEFVFGPTLCWRLDADNYETDEELKKIREARGYSCVDFGEVFPEKLPNYEEKIKDFFEEHFHTDEEIRYCGWKWLFSC >Potri.010G082101.3.v4.1 pep chromosome:Pop_tri_v4:10:10967741:10968575:-1 gene:Potri.010G082101.v4.1 transcript:Potri.010G082101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082101.v4.1 MNLSLDQLYDEELKKIREARGYSCVDFGEVFPEKLPNYEEKIKDFFEEHFHTDEEIRYCGWKWLFSC >Potri.014G089900.1.v4.1 pep chromosome:Pop_tri_v4:14:5844806:5845671:1 gene:Potri.014G089900.v4.1 transcript:Potri.014G089900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089900.v4.1 MISTDLEVPGLEGKTLKLTINPVETSEAANTTWDCKTPTGEEHKIPIQHECPPAPGPKWLLDSLCVKKKASKKKSRCQPKVIMLQPFNVSYSKRITLAPGMLPEDVRASDDALEDHIVRNLKWPVEEMRRLQYRSPREKKHRV >Potri.015G088300.3.v4.1 pep chromosome:Pop_tri_v4:15:11181130:11186671:1 gene:Potri.015G088300.v4.1 transcript:Potri.015G088300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088300.v4.1 MATSEGFLTDEQREMLKTASQNADNLLSSSPKGLFPSPLFSDHHLKVPAAGKSGTAGIAVRHVRRSHSGKHVRVKKDGGGGKGTWGKLLDTDVESHIDRNDPNYDSGEEPYQLVGATISDPLDDYKKAVVSIIEEYFSTGDVEVAASDLRELGSSNYHLYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPSQIRDGFVILLESADDLAVDILDAVDILALFVARAVVDDILPPAFLTRAKKALPESSKGFQVLQTTEKNYLSAPHHAELVERRWGGSTHITVEEVKKKITDLLREYVESGDAVEACRCIRELGVSFFHHEVVKRALVLAMEIRTAEPLILKLLKEASEEGLISSSQMAKGFARLEESLDDLALDIPSAKSLFQSLVPKAISEGWLDASFMKSSGEDGQAQAEDGKVKRFKEEVVTIIHEYFLSDDIPELIRSLEDLGMPEFNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFIMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEIGSKLQPNCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKILKLLEEYESGGVLGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQVCFNEGLITINQMTKGFTRIKDGMDDLALDIPNAEEKFNFYVEYAQKKGWLLASFGSSVGDGSSKAVAAT >Potri.015G088300.2.v4.1 pep chromosome:Pop_tri_v4:15:11181130:11186759:1 gene:Potri.015G088300.v4.1 transcript:Potri.015G088300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G088300.v4.1 MATSEGFLTDEQREMLKTASQNADNLLSSSPKGLFPSPLFSDHHLKVPAAGKSGTAGIAVRHVRRSHSGKHVRVKKDGGGGKGTWGKLLDTDVESHIDRNDPNYDSGEEPYQLVGATISDPLDDYKKAVVSIIEEYFSTGDVEVAASDLRELGSSNYHLYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPSQIRDGFVILLESADDLAVDILDAVDILALFVARAVVDDILPPAFLTRAKKALPESSKGFQVLQTTEKNYLSAPHHAELVERRWGGSTHITVEEVKKKITDLLREYVESGDAVEACRCIRELGVSFFHHEVVKRALVLAMEIRTAEPLILKLLKEASEEGLISSSQMAKGFARLEESLDDLALDIPSAKSLFQSLVPKAISEGWLDASFMKSSGEDGQAQAEDGKVKRFKEEVVTIIHEYFLSDDIPELIRSLEDLGMPEFNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTEDIVNGFIMLLESAEDTALDILDASNELALFLARAVIDDVLVPLNLEEIGSKLQPNCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKILKLLEEYESGGVLGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQVCFNEGLITINQMTKGFTRIKDGMDDLALDIPNAEEKFNFYVEYAQKKGWLLASFGSSVGDGSSKAVAAT >Potri.010G033266.1.v4.1 pep chromosome:Pop_tri_v4:10:5655433:5656231:-1 gene:Potri.010G033266.v4.1 transcript:Potri.010G033266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033266.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVSQLLLCSWSFLYNYDFSCRGHIWVCWNADTVKVDVFGMSDHAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMY >Potri.009G158100.2.v4.1 pep chromosome:Pop_tri_v4:9:12283031:12285669:-1 gene:Potri.009G158100.v4.1 transcript:Potri.009G158100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G158100.v4.1 MSKLAITTIFLTLTIFSTVPAKTSGATAPVAAPAPVSGDLAPAAPGPTAVNECLTPLLNMSDCLGYVTQGSNLTVPDKNCCPELAGLIDSNIICLCQLLGGDIAEQFGISLDKGRALKLPATCKIDAPSATLCSAVGYPVQAPASGPSTGSTPQGPSPSTGDNKESVASSIAGSAYAIFGGLASSFLLTLF >Potri.016G102800.2.v4.1 pep chromosome:Pop_tri_v4:16:10465687:10468112:-1 gene:Potri.016G102800.v4.1 transcript:Potri.016G102800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102800.v4.1 MGTYAQFIRALDMDHRAKEAHEFWLTKIGRDLHSVPWKLCNRMISIYYRNNMLENLIKLFKGLEAFDRQPPEKSIVQKVADSYEMLGLLEEKERVLEKYNHLFVEAGKGY >Potri.004G083300.2.v4.1 pep chromosome:Pop_tri_v4:4:6885753:6894562:1 gene:Potri.004G083300.v4.1 transcript:Potri.004G083300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G083300.v4.1 MGGHGDHHRERNKKESNNDDDEDGTEYEVQDLRDRIKSSRGSRFNLLENEFGLVNNTESSLITNLRRKLSRESVINGIRYVSTGPAIHPDNRWYRAWTIFILLWAVYSSFFTPMEFGFFRGLPENLFIMDIVGQVAFLLDIVLQFFVAYRDSQTYRMIYKRSPIALRYLKSHFIIDLLGCLPWDIIFKVCGRREEVRYLLWIRLSRVRKVTSFFQKLEKDIRINYLFTRIVKLIVVELYCTHTAACIFYYLATTLPASHEGYTWIGSLKMGGYNYTNFREIDIWMRYTTSLYFAVVTMATVGYGDIHAVNLREMIFVMIFVSFDMILGAYLIGNMTAITVKGSKTEKFRDKMTDLIKYMNRNRLGKDIRNQIKGHLRLQHESSYTEASALQDLPISIRAKISQTLYTEYIEKVPLVKDCSAEFINQIVIRLHEEFFLPGEVIMEQGNVVDQLYFVCHGVLEEVGIGQDGSEETVKLLPPNSSFGEISILCNIPQPYTVRVCELCRLLRIDKQSLSNILEIYFYDGRRILDNLLEGKESNLQYKQLESDITFHIGKQEAELALRVNSTAYHGDLYQLKGLIRAGADPNRTDYDGRSPLHLAASRGYEDTTLFLIQEGVDINIKDKFGNTPLLEAIKNGHDRVASLLSEQGAILNIDDAGSVLCRAVARGDSDFLKRVLSNGIDPNSKDYDHRTPLHVAASEGLYLMAKLLIEAGASVFSKDRWGNTPLVEGRICGNKNLIKLLEEAKSSQKLEFHYASHETTEKMLPKKCTIFPFHPWGAKEQRRPGVVLWIPHTMEELVKAASEKLQLPDGSCILSEDAGKILQVDMIDDGQKLYLTSDQTH >Potri.014G185300.1.v4.1 pep chromosome:Pop_tri_v4:14:15132868:15137990:-1 gene:Potri.014G185300.v4.1 transcript:Potri.014G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185300.v4.1 MAKRSDFAQKLLEDLRSRKERMAVSHSSEGSKSAAPDVHAYSKQIHRGSRDMKTHRSNGIRSGSVHSRTSGSNIALSIEEVSTEIVPFGGGRNSEQIGDLSMALAFALENGGKLRRMDSSGNSSVLGFLHQIARRPVEVSKMERSGIDRHHSSSNRFPTLSHLHIKEISKGAQKLNQILRACSNGLNFESYSLEIGKELLKEAVDLEESLRMLVNLQKASEYMICPQSKSRITLLDEDEDDDDTSTKKAEHNQLALPRFSFDKPSRNSHYIQKVERTDLTQRIMALTYSSEAASFNHDKHNSSTSNSVSHKKSSSYGSTSKTLAALSEQKNQSSSSKSNPEKARIPNVIAKLMGLEELPENADSKHTKKESSSKQKTEMKVTNKSAERSSTRERKTKDAENSVPTVRKQKQMQPSQNKMLQDPKHALQAEKNLPDHHASFEMTMHDGKQPKKDVNGTKPEKGSNKANVKMERHQSNIIQMNQSTGKRKNGQDKEREQDNTKTREQKGKEQGETRKLIRKHELQQMASQAQIGSEAAITLEGQTEHNASMLKIENRDENWHLSNDQPKSSNDLGFQQAHTFRNFQQRDIKYHAGEGEWQTAKQKIQDRSQKGSEVMSRNFPTPMNDILNFQKRHSQMNQATPGSTSSRESVDEMPSKGFPTNRHHEDPVYERISNNNHVKVQDSMTRYSNQDSSPIDPKYDMMEKSSTPTMEEKAAHSPATQKVRNTMGQKAETPRKMDELASRKCGTPNSLARQQKRQTSALQEGKQKRRCKLGGSTVEQVTAIRSREAEARIVKSSKSMANIQQPNVLEDLHNKDEQASNSYIPVEEESQILKGPKILVPNDSCQNTISTVTNEQQGQELGRDQLQSHNFVLNSLNETHQTSKDITYPSQVKNQKALKLVTPEPLNESENHLKRILIKSQLFLNTAEALFKLNIPFVVLHAGSQDYHDEESKLVLDCGYEIMKRKGKKQELSVHPFMKISVTSIKVKSLDTLVKLLYKDLEKLKLYCRNGNAECLTPVEDYLPKMLECDVYNWDIDVNCMWDCGWDKMMFAFLEKDDVIRDVEKFVLDGLLDEVAKDLLPVF >Potri.014G185300.2.v4.1 pep chromosome:Pop_tri_v4:14:15133935:15137985:-1 gene:Potri.014G185300.v4.1 transcript:Potri.014G185300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185300.v4.1 MAKRSDFAQKLLEDLRSRKERMAVSHSSEGSKSAAPDVHAYSKQIHRGSRDMKTHRSNGIRSGSVHSRTSGSNIALSIEEVSTEIVPFGGGRNSEQIGDLSMALAFALENGGKLRRMDSSGNSSVLGFLHQIARRPVEVSKMERSGIDRHHSSSNRFPTLSHLHIKEISKGAQKLNQILRACSNGLNFESYSLEIGKELLKEAVDLEESLRMLVNLQKASEYMICPQSKSRITLLDEDEDDDDTSTKKAEHNQLALPRFSFDKPSRNSHYIQKVERTDLTQRIMALTYSSEAASFNHDKHNSSTSNSVSHKKSSSYGSTSKTLAALSEQKNQSSSSKSNPEKARIPNVIAKLMGLEELPENADSKHTKKESSSKQKTEMKVTNKSAERSSTRERKTKDAENSVPTVRKQKQMQPSQNKMLQDPKHALQAEKNLPDHHASFEMTMHDGKQPKKDVNGTKPEKGSNKANVKMERHQSNIIQMNQSTGKRKNGQDKEREQDNTKTREQKGKEQGETRKLIRKHELQQMASQAQIGSEAAITLEGQTEHNASMLKIENRDENWHLSNDQPKSSNDLGFQQAHTFRNFQQRDIKYHAGEGEWQTAKQKIQDRSQKGSEVMSRNFPTPMNDILNFQKRHSQMNQATPGSTSSRESVDEMPSKGFPTNRHHEDPVYERISNNNHVKVQDSMTRYSNQDSSPIDPKYDMMEKSSTPTMEEKAAHSPATQKVRNTMGQKAETPRKMDELASRKCGTPNSLARQQKRQTSALQEGKQKRRCKLGGSTVEQVTAIRSREAEARIVKSSKSMANIQQPNVLEDLHNKDEQASNSYIPVEEESQILKGPKILVPNDSCQNTISTVTNEQQGQELGRDQLQSHNFVLNSLNGRYSFAFSVSLPKVLLSSFTSFRISSSGSSTT >Potri.006G137600.1.v4.1 pep chromosome:Pop_tri_v4:6:11401180:11404530:-1 gene:Potri.006G137600.v4.1 transcript:Potri.006G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G137600.v4.1 MARQSNLMVSKIGIIGAGVSGIAVAKQLSNYNPVVFEASDSIGGVWKSCSYSSTKLQSPRGDYEFTDFPWPNRDDPSFPSYIEILDYLKSYAEHFDVMKYVMFNTKVVEVRFVGGREPADSGEPGEYGSLLPGLPVWEVAVQSKQSDTIQWYAFEFLVVCTGKYGDIPKIPEFPLKKGPEVFKGKVMHSLDYCKLDKEAATQLHKGKKVAVVGFKKSAIDLALECAEANQGPEGQPCTMVVRTLHWTVPHYWVWGLPFFLFFSTRSSQFIHERPNQSLLRTMLCLLLSPMRHVVSKFIESYLLHKLPLQKYGLKPDHPFIEDYASCQMAIMPENFFSEADKGKIVFKKASKWWFNKEGLEFEDNTKVEADVVIFATGFDGKRKLKDILPDPFCSLLEDARSGVMPLYRGTVHPLIPNMAFLGFIESVANLHTSELRSIWLARLIDNKFKLPTIDKMLDQVSKEIEIAKRTTRFYKRHCISTFSINHSDEICEEMGWSSWRKNNMLSEAFSPYGSRDYELK >Potri.003G196500.2.v4.1 pep chromosome:Pop_tri_v4:3:19851470:19861235:-1 gene:Potri.003G196500.v4.1 transcript:Potri.003G196500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196500.v4.1 MASLVAQLVSPVETGHKVWQDQAFIKWRKRDPHVTLHCHESVEGSLRYWYQRNKVDHLVSKSAVWNDDAVQGALDSAAFWVKDLPFVKSLSGFWRFFLAPGPDSVPKKFYDAEFEDSEWNTLPVPSNWELHGYDRPIYANVLYPFPVDPPRVPDDNPTGCYRTYFDLPQGWQDRRIFLHFEAVDSAFCAWINGVAVGYSQDSRLPAEFEITDYCYPCGSGKKNLLAVQVFRWSDGSYLEDQDHWWMSGIHRDVLLLSKAQVFIADYFFKSNLAENFTSADIEVEVKIESALEIPRDKIFDNFTIEAALYDTGSWYNSEESPDLLSSNVANLKLTHSPMGILGFLGNFLEGKLEKPKLWSAEQPNLYILVLSLKDATGQVVDCESCLVGIRQISKAPKQLLVNGCPVIIRGVNRHEHHPRVGKTNIESCMIKDLVLMKQNNMNAVRNSHYPQHPRWYELCDLFGLYMIDEANIETHGFHLCEHLKHPTQEQSWAAAMMDRVISMVERDKNHACIISWSLGNESSYGPNHSAAAGWIRERDPSRLVHYEGGGSRTASTDIICPMYMRVWDIVKIAKDPTEPRPLILCEYSHAMGNSSGNIREYWDAIDSTFGLQGGFIWEWVDQALLKESGDGRKHWAYGGDFGDTPNDLNFCLNGLTWPDRTPHPALEEVKYVYQPIKVSLEESTIKITNTHFFQTTQGLEFSWTVHGDGYEFGSGILSLPLTEPQSSYKLEWQSGPWYPLLASSFAEEIFVTITTRLLHSTRWVEAGHVISSTQIQLPTRQKIMPHIIKTTDAKVFSETLGDTVRVSQLNVWEITWNIQTGSIESWKVGGVPVIKEGIIPCFWRAPTDNDKGGEKDSYYSRWKAAGIDSLVFQTKSCSVKSTTDNLVKIEVIYVGVPSCEERSLSESTNATALITVNMIYTIYSSGDLIIECSAIPSSELPPLPRVGVELHLEKSVDQIKWYGRGPFECYPDRKAAAHVGVYEQNVGDMHVPYIVPGECSGRADVRWVTFQNKDGVGIFASTYGSSPPMQMSASYYFTAELDRATHHEELVQGNDIEVHLDHKHMGLGGDDSWSPCVHDKYLVPAVPCSFSIRLCPITAATSGLEIYKSQFQN >Potri.003G196500.1.v4.1 pep chromosome:Pop_tri_v4:3:19851362:19861343:-1 gene:Potri.003G196500.v4.1 transcript:Potri.003G196500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196500.v4.1 MASLVAQLVSPVETGHKVWQDQAFIKWRKRDPHVTLHCHESVEGSLRYWYQRNKVDHLVSKSAVWNDDAVQGALDSAAFWVKDLPFVKSLSGFWRFFLAPGPDSVPKKFYDAEFEDSEWNTLPVPSNWELHGYDRPIYANVLYPFPVDPPRVPDDNPTGCYRTYFDLPQGWQDRRIFLHFEAVDSAFCAWINGVAVGYSQDSRLPAEFEITDYCYPCGSGKKNLLAVQVFRWSDGSYLEDQDHWWMSGIHRDVLLLSKAQVFIADYFFKSNLAENFTSADIEVEVKIESALEIPRDKIFDNFTIEAALYDTGSWYNSEESPDLLSSNVANLKLTHSPMGILGFLGNFLEGKLEKPKLWSAEQPNLYILVLSLKDATGQVVDCESCLVGIRQISKAPKQLLVNGCPVIIRGVNRHEHHPRVGKTNIESCMIKDLVLMKQNNMNAVRNSHYPQHPRWYELCDLFGLYMIDEANIETHGFHLCEHLKHPTQEQSWAAAMMDRVISMVERDKNHACIISWSLGNESSYGPNHSAAAGWIRERDPSRLVHYEGGGSRTASTDIICPMYMRVWDIVKIAKDPTEPRPLILCEYSHAMGNSSGNIREYWDAIDSTFGLQGGFIWEWVDQALLKESGDGRKHWAYGGDFGDTPNDLNFCLNGLTWPDRTPHPALEEVKYVYQPIKVSLEESTIKITNTHFFQTTQGLEFSWTVHGDGYEFGSGILSLPLTEPQSSYKLEWQSGPWYPLLASSFAEEIFVTITTRLLHSTRWVEAGHVISSTQIQLPTRQKIMPHIIKTTDAKVFSETLGDTVRVSQLNVWEITWNIQTGSIESWKVGGVPVIKEGIIPCFWRAPTDNDKGGEKDSYYSRWKAAGIDSLVFQTKSCSVKSTTDNLVKIEVIYVGVPSCEERSLSESTNATALITVNMIYTIYSSGDLIIECSAIPSSELPPLPRVGVELHLEKSVDQIKWYGRGPFECYPDRKAAAHVGVYEQNVGDMHVPYIVPGECSGRADVRWVTFQNKDGVGIFASTYGSSPPMQMSASYYFTAELDRATHHEELVQGNDIEVHLDHKHMGLGGDDSWSPCVHDKYLVPAVPCSFSIRLCPITAATSGLEIYKSQFQN >Potri.011G021800.1.v4.1 pep chromosome:Pop_tri_v4:11:1645985:1650047:-1 gene:Potri.011G021800.v4.1 transcript:Potri.011G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G021800.v4.1 MAAAGLSSSSCTVFTLSSSFKTRRHLTKTPQNPLLFKRNNSSNRTSHPFLPSRKLCRVQATILREDEEKKVVVEESFQPKTFTHEPVRGSPQSSSPGGLETWAIKLEQSVNVFLTDSVIKILDTLYHDRDYARFFVLETIARVPYFAFISVLHMYESFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRLLAQVIATSYYFMTVLMYALSPRMAYHFSECVESHAFATYDKFIKAQGDDLKKLPAPEVAVKYYTEGDLYLFDEFQTSRAPHSRRPKIENLYDVFLNVRDDEAEHCKTMKACQTHGNLRSPHSYPEDAFEDDTGCDLPQADCEGIVDCIKKSVTSPPSKQNI >Potri.019G132000.1.v4.1 pep chromosome:Pop_tri_v4:19:15421345:15422605:-1 gene:Potri.019G132000.v4.1 transcript:Potri.019G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132000.v4.1 MGKQPVKLKAVVYALSPFQQKVMPGLWKDLPGKIHHKVSENWISATLLLAPLVGVYTYVQNYQEKEKLEHRY >Potri.006G133900.1.v4.1 pep chromosome:Pop_tri_v4:6:10972823:10978373:-1 gene:Potri.006G133900.v4.1 transcript:Potri.006G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133900.v4.1 MRLTSVIHNNSIIPLSLKVSPANYTYSVQFSHPHFPSFNSVISKRRGQNSPISCARGTEQEDDLSPSEAVKTSSQTRDDVSKFITSTAPPSVDKAEEKVKGNYKTSIKTVALCVCTAVAFGFGIGLKDGVGKASEFFAGYILEQSLSVDNLFVFVLIFKYFKVPLMYQNRVLSYGIAGAIIFRLSLILLGTATLQRFEAVNLFLATILLYSSFKLFATEEDDSDLSDNFIVKTCQRFIPVTSNYDGNKFITREDGLWKATPLLLTVAVIELSDIAFAVDSIPAVFGVTRDPFIVFSSNLFAILGLRSLYTLISEGMADLEYLQPSIAIVLGFIGCKMILDFMGFHISTEASLGFVATSLGAGVLLSLMKKSD >Potri.016G035900.1.v4.1 pep chromosome:Pop_tri_v4:16:2113455:2117438:-1 gene:Potri.016G035900.v4.1 transcript:Potri.016G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035900.v4.1 MLFLLISCFSFIFFFKSFSFKPMKSEGKMLLLGPWFWEGFSSFLVSWFNKGRFAVSMFQVPLKMPLTKVNLCSEVQEIVEEEGESPSLLDLPELALECILERLSPAELCSMAGVCNSLRDRCRSDHLWEKHLKHKWGRVICEAACKEWQCHIASRKRPSFLDQRNKKGFFGSLMSMWPFSWYKSQCESRNKPTTCLPVDSIMALYLSLESGKFWFPAQVYNRENGHVGFMLSCYDAQLSYDSKTDTFQARYSPFARRTIEESIHRDRLRAPPVETPAHVLHTSDCLNDLKPGDHIEIQWRRSKEFPYGWWYAVVGHQELCDGNENRCRCRYSDTVVLEFSQYTPGSRWRQTIVNRKDHREVGNEADGFYGGIRKLYKEEEISKWKQLWPKQIFD >Potri.002G074800.1.v4.1 pep chromosome:Pop_tri_v4:2:5224000:5228738:-1 gene:Potri.002G074800.v4.1 transcript:Potri.002G074800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074800.v4.1 MASVCWPYFDPEYENLSTRINPPRVSVDNTSCNDSTLVKVDSMNKPGILLEVVQVLTDLDLIITKAYISSDGGWFMDVFHVTDQQGKKITDIKTIDYIEKALGPKSQEEVTTWADKRVGVHSVGGHTAIELIGKDRPGLLSEISAVLANLHFNVVAAEVWTHNSRIACVVYVNDDTTSRAVADPTRLSIMEDQLKNILRGCENDEAGRTSFSMGFTHVDRRLHQMLFADRDYEGGIVATEVDYPPSIKPKITVERCEDKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDGPHASQEYYIRHMDGCVLDTEGEKERVIKCLEAAIRRRVSEGLSLELCAKDRVGLLSEVTRILRENGLAVSRAGVMTIGEQATNVFYVRDASGNPVDTKIIEALRKEIGHTMMLNVKKTPASSREPEARGWAKTSFFFGNLLERFLA >Potri.002G074800.2.v4.1 pep chromosome:Pop_tri_v4:2:5223861:5230627:-1 gene:Potri.002G074800.v4.1 transcript:Potri.002G074800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074800.v4.1 MASVCWPYFDPEYENLSTRINPPRVSVDNTSCNDSTLVKVDSMNKPGILLEVVQVLTDLDLIITKAYISSDGGWFMDVFHVTDQQGKKITDIKTIDYIEKALGPKSQEEVTTWADKRVGVHSVGGHTAIELIGKDRPGLLSEISAVLANLHFNVVAAEVWTHNSRIACVVYVNDDTTSRAVADPTRLSIMEDQLKNILRGCENDEAGRTSFSMGFTHVDRRLHQMLFADRDYEGGIVATEVDYPPSIKPKITVERCEDKGYSVVTVRCKDRAKLMFDIVCTLTDMQYVVFHATISSDGPHASQEYYIRHMDGCVLDTEGEKERVIKCLEAAIRRRVSEGLSLELCAKDRVGLLSEVTRILRENGLAVSRAGVMTIGEQATNVFYVRDASGNPVDTKIIEALRKEIGHTMMLNVKKTPASSREPEARGWAKTSFFFGNLLERFLA >Potri.009G129851.1.v4.1 pep chromosome:Pop_tri_v4:9:10615116:10615496:-1 gene:Potri.009G129851.v4.1 transcript:Potri.009G129851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129851.v4.1 MSRSVPLSQLFLMLKELLLTKISNSTWLRELIGWQFPLSIIWLTLEHWMAFSLSQGPRACSIGNGVAPTEKSRLSFEHAKAV >Potri.003G183200.4.v4.1 pep chromosome:Pop_tri_v4:3:18828806:18836094:1 gene:Potri.003G183200.v4.1 transcript:Potri.003G183200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183200.v4.1 MEGADDIYRACSLQRGGSSLWTNNVSDAFSKSSRDEDDEEALKWAAIERLPTFNRLQKGLLATSKGANEIYIQNLGIHERKGLLERLIDVSEEDNEKFLKKLKSRIERVGIDLPTIEVRFEHLNIKAEAHEGSRALPSMINFCVDFAEGLFNYLHIIPSKKKQVSILEDVSGIIKPSRMTLLLGPPSSGKTTLLLALAGKLDPNLKFSGRVTYNGHGMNEFVPQRSAAYISQYDTHLGEMTVRETLAFAARCQGVGHRYEMLAELSRREKEASIKPDPDIDVFMKAIATEGQKTSVMTDYIIKILGLEVCADIMVGSEMVRGISGGQRKRVTTGEMLVGPAKALFMDEISTGLDSSTTFQIVNSLKHTIHILNGTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPREHVLQFFESMGFKCPERKGVADFLQEITSRKDQQQYWMHKDEPYSFVTVKEFAEAFQSFHVGCRIGDALSTPFEKSQSHPAALKTRKYGTGKMELLKACFLREWLLMKRNSFVYFFKLAQLTLMSIIAMTLFFRTEMHKNSVSEGGVYSGALFYSLALMMFIGMPEISMTIGGLPVFYKQRDLLFYPSWAFSLPSWILRIPVTLIQTTIWVALTYYVIGYDPNVGRLFKQYLLLVAVSQMASALFRFIGGLGRSMIVANTFGSFALLVLFALGGFVLSHGDIKKWWIWGYWISPLMYGQNAIVVNEFLGKSWSHVLPNSIEPLGIEVLKSRGFVTDAYWYWIGVGALGGFTILFNICYTLALAFLNPFRKSQAVISKDSESIKPGVTGGAIQLSNHGSRHQNDTEIISEANNQKKKGMILPFEPFSITFDEIKYSVDMPQEMKNQGILEDKLELLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGNITISGHPKKQETFARISGYCEQNDIHSPHVTVYESLLYSGWLRLPPEVNAETRKMFIEEVMELVELNPLRQALVGLPGVSGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFESFDELFLMKRGGEEIYVGPLGRHSSQLIKYFEGIEGVEKIRDGYNPATWMLDVTSLGHEAASGIDFASIYKNSELYRRNKARIQELSTPAPGSKDLFFPTQYSQSFLVQCLACLWKQHWSYWRNPSYTAVRLLFTTAIALIFGSMFWNLGSKTKKKQDLFNAMGSMYAAIIFLGIQNSSSVQPVVAVERTVFYREKAAGMYSSMPYALAQILIELPYIFTQSVVYGLIVYAMIGFEWTAAKFFWYLFFMFFTLLYFTFYGMMTVAATPNQHVASIVSSAFYSVWNLFSGFIIPRPRIPVWWRWYAWICPVSWTLYGLVSSQFGDIKEKLDTGETVEDFVRNYFGFKHELLGVAAAAVFGFATIFGLTFIMSIKFFNFQRR >Potri.006G246300.1.v4.1 pep chromosome:Pop_tri_v4:6:24670938:24674546:1 gene:Potri.006G246300.v4.1 transcript:Potri.006G246300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246300.v4.1 MAGGGSRRDEGSLVINSTNVFAALETLRKKKKSDKERVGSKSGKGGSKSGKEQQSKEPDPEVFWAPAKLTAKSWADVDDEDDDDYYATTAPPPSVWGSSDQQQSEEKSAHVEESESEEDILDEGDDDVEEEHDHEPEAVHPEPVVKKTPEVPLPPKETERQLSKKERKKKELAELEALLADFGVAQKDSNGQDESLDAAQEKKDGETHEEGDKKENVAGESKNAKKKKKKDKSAKEPQDQPTNSEANNKPEEAAGAEHAEEDASAVDMKERLKRMASAKKKKSSKEMDGGAKAAAQEAAARSARLAAAKKKEKNHYNQQPVR >Potri.006G202351.1.v4.1 pep chromosome:Pop_tri_v4:6:20968429:20968827:1 gene:Potri.006G202351.v4.1 transcript:Potri.006G202351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202351.v4.1 MAGGFLKELHSRRYSQGAHLTQGWSGHDLCSSWASSSPSCEKYRISRVQAFEGSELLLYLGIIMELNNEAAFFQFNSFFPSSSIFFFYFNCLSPLNFIRKTFFFFYSCSSCFWQLIRSHILILILITSNTRS >Potri.002G206500.1.v4.1 pep chromosome:Pop_tri_v4:2:17220696:17221595:-1 gene:Potri.002G206500.v4.1 transcript:Potri.002G206500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G206500.v4.1 MSHMILEILAEEALKGNKPSSTFRAESFIKVATKISQKFNVQCEPKHVDNHLKTVKKEWGILTKLKNKSGFGWDDCLKMITISNDVYDEEVKAHPNHDKYLNKKLDMYEAMTIVVGKDMATGNYSKSYVDVNLEENTEEQSISIENEGEYEETSKGKETSFSSAQKRQHRKRNRMYEDDSVEKLSKKMGDVAFAIQSLSKNQLDVNELYTEVMKIEGFDEITLGDAFDHLVQHEMLAKAFMVKNANLRKIWVQNFMNQRSYRPDY >Potri.011G112500.1.v4.1 pep chromosome:Pop_tri_v4:11:14286719:14292991:-1 gene:Potri.011G112500.v4.1 transcript:Potri.011G112500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112500.v4.1 MAKKRERHQNPEPFLKEDTDSIASTKTRTKASKHHQKQQKMISSGMSSKILKAALIQQKEIQAEEAGERNPNFNALEEELPEREEEQYAEDEIDDFSGFSETQSQFNDYPEEIDENDEKLLEAFLSKDAGPQQTLTDLIIDKIKKRDAHVSSETQPMPKLDQSLIDLYKGVGEYLSKYTAGKIPKAFKHIPSMQLWEDVLYLTEPQKWSPAAMYQATRIFSSNLGAKKAERFYRLVLLPRVRDDIRMNKRLHFSLYQALKKSLYKPAAFNKGILLPLCKSGTCNLREAVIVGSIIQKVSIPVLHSCVTLLKLAEMDYCGTTSYFIKLLLDKKYALPYRVVDAVVGHFMRFLEDTRIMPVIWHQSLLSFVQRYKNELQKEDKDNLRRLVLRQKHKLVSPEIIRELDNSRNRGEKDDPMSLISPVSVINKTIEEDRFDIPEVPMEED >Potri.008G020000.1.v4.1 pep chromosome:Pop_tri_v4:8:999545:1000835:1 gene:Potri.008G020000.v4.1 transcript:Potri.008G020000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020000.v4.1 MAPKKEKAPPPSSKPAKSGGGKQKKKKWSKGKQKEKVNNMVLFDQATYDKLLSEAPKYKLITPSVLSDRLRISGSLARKAIKDLMARGSIRMVSAHASQQIYTRATNT >Potri.003G079500.2.v4.1 pep chromosome:Pop_tri_v4:3:10652298:10655816:-1 gene:Potri.003G079500.v4.1 transcript:Potri.003G079500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079500.v4.1 MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSHYTGSSNVGGPLTSGPNNPGSPGDTPDHGIAAAAAGYASEDFVPGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEPGDTPMESQDFLSTIEQESEAQSENPVPVPPLDEECESMDSTNSNDGEPPPPKPDCSQSAYPVVYPSYFSPFFPFSFPFWSGHSAEPTKTETHEVLKPTAVHSKSPINVDELVGMSKLSLGESIGQDGPSSLSQKLLEGSPRQSAFHANPASNSSSMNRSSSPIHAV >Potri.003G079500.3.v4.1 pep chromosome:Pop_tri_v4:3:10653103:10655818:-1 gene:Potri.003G079500.v4.1 transcript:Potri.003G079500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079500.v4.1 MTLITSGAGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEPGDTPMESQDFLSTIEQESEAQSENPVPVPPLDEECESMDSTNSNDGEPPPPKPDCSQSAYPVVYPSYFSPFFPFSFPFWSGHSAEPTKTETHEVLKPTAVHSKSPINVDELVGMSKLSLGESIGQDGPSSLSQKLLEGSPRQSAFHANPASNSSSMNRSSSPIHAV >Potri.003G079500.1.v4.1 pep chromosome:Pop_tri_v4:3:10652294:10655822:-1 gene:Potri.003G079500.v4.1 transcript:Potri.003G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079500.v4.1 MTRRCSHCSHNGHNSRTCPNRGVKLFGVRLTDGSIRKSASMGNLSHYTGSSNVGGPLTSGPNNPGSPGDTPDHGIAAAAAGYASEDFVPGSSSSRERKKGVPWTEEEHRMFLLGLQKLGKGDWRGIARNYVISRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDIVADEPGDTPMESQDFLSTIEQESEAQSENPVPVPPLDEECESMDSTNSNDGEPPPPKPDCSQSAYPVVYPSYFSPFFPFSFPFWSGHSAEPTKTETHEVLKPTAVHSKSPINVDELVGMSKLSLGESIGQDGPSSLSQKLLEGSPRQSAFHANPASNSSSMNRSSSPIHAV >Potri.008G124100.1.v4.1 pep chromosome:Pop_tri_v4:8:8063580:8065370:1 gene:Potri.008G124100.v4.1 transcript:Potri.008G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124100.v4.1 MAVSISISVIITSLHLVAFVLAIGAESRRSTAKVVPDQYDESTYCVYDTDASTVYGLAAFGLLLISQMIVNGVTRCLCFGKGLVTGSCSTTCAIIFFIVSWLSFLGAEACLLAGSARNAYHTKYRGFFRQGDLSCATLRRGVFAAGAALTLLSLIGSILYYWAHSRADTGGWEKHQNEGVGMTSASYPQQQQTGEFEKA >Potri.001G279800.1.v4.1 pep chromosome:Pop_tri_v4:1:29358506:29359796:1 gene:Potri.001G279800.v4.1 transcript:Potri.001G279800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279800.v4.1 MKTPWVSPKPQFSSSPAMVPIYAPQEQREPPLSHDKYFALHGGILMLVLVGFFSIFLISFVLVPCLKRSRTPESGDDDDDEDSTMQRSFCFFPPRKRRRIAT >Potri.016G062200.1.v4.1 pep chromosome:Pop_tri_v4:16:4342826:4346231:-1 gene:Potri.016G062200.v4.1 transcript:Potri.016G062200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062200.v4.1 MGSMFLNSPLPASNKLIRVSSKCDWCFLRWRKRNVVVYCQGGGGKAIRSSGFPSVLTERSAVVSDQEHIASVRDAGSLVLSPNEKGQPEIAVEDFVPYGGPTSSSLLEMQDGIGIVKFLRGKGLFISGATGFLAKVLIEKILRTMPDVGKIYVLIKAESKEAAITRLKNEIINAELFKCLRQTHGKSYQSFMLNKLVPVVGNVCESNLGLEEDLADKIANEVDIIVNSAANTTFDERYDVAIDVNTRGTCHLMSFAKKCPKLKLFLQVSTAYVNGQRQGRIMEKPFLFGDCIARENLIISESTPRFAPALDIEHEMNLALDSKEAFQENEVAQKMKELGLERARKYGWQDTYVFTKAMGEMVVDNMRGDIPVVIIRPSVIESTCKEPFPGWMEGNRMMDPIVLYYGKGQLTGFLVDPNGVLDVVPADMVVNATLAAMAWHGMEQKPDINVYQIASSVVNPLVFQDLATLLHEHYNSSPYMDSNGRPIHVPSMKLFSSMEEFSAHLWRYVTQRSRLAGMATSDRKLSQKHENICRKSVEQAKYLASIYEPYTFYGGRFDNSNTQKLMERMSENEKGIFGFDVGSIDWRDYITNVHIPGLRRHVMKGRGMCG >Potri.002G147602.2.v4.1 pep chromosome:Pop_tri_v4:2:11119147:11121105:1 gene:Potri.002G147602.v4.1 transcript:Potri.002G147602.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147602.v4.1 MGGGEGLVCVTGGAGFVASWLIMRLLEHGYTVRTTIRSSPGISKDISYLTNLARAAEKLQIFNADLDDPDSFNEAIEGCMGVFHLAFPLDFADREPEEVITKRAVDGTLGVLRACVNAKTVKRVVCASSQATVIYSGDGDEKVVDESSWTNIDYYRSLNRFGTSYLVAKNKTERAALAFAEQYGLDLVFLIPPLIVGPFICPRIPESVRWSLSLIFGEKRLYHLLIKLNVVHTDDVARAYIFLLEFPHAKGRYICSWEEISIDEMSEFLSTRYPEFQIPTKE >Potri.002G147602.1.v4.1 pep chromosome:Pop_tri_v4:2:11119089:11121125:1 gene:Potri.002G147602.v4.1 transcript:Potri.002G147602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147602.v4.1 MGGGEGLVCVTGGAGFVASWLIMRLLEHGYTVRTTIRSSPGISKDISYLTNLARAAEKLQIFNADLDDPDSFNEAIEGCMGVFHLAFPLDFADREPEEVITKRAVDGTLGVLRACVNAKTVKRVVCASSQATVIYSGDGDEKVVDESSWTNIDYYRSLNRFGTSYLVAKNKTERAALAFAEQYGLDLVFLIPPLIVGPFICPRIPESVRWSLSLIFGEKRLYHLLIKLNVVHTDDVARAYIFLLEFPHAKGRYICSWEEISIDEMSEFLSTRYPEFQIPTKDSLMDIKGFKMRGLSPKKLLDCGFKFEHGLEDMFDGAIQSCKEKGFL >Potri.006G059500.2.v4.1 pep chromosome:Pop_tri_v4:6:4196538:4201161:1 gene:Potri.006G059500.v4.1 transcript:Potri.006G059500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059500.v4.1 MQNPDDIRNLPIDISFSRLGEWLVDRKRIPADWRKRIASIRARISKEFTSLPKEIDPYFQTLDPEGIGYLEAKNIYEILMKSNPESRNIFGRLSGAAGAWEAIVRSFEKDYVYLGEAAQIIIQNVNYEIPYQKKQVQKIQQILAELERKETDIKRSAALSATKYVEACQELGLQGNNVRVELLETAKSLPSTFSKILEVINSDTMSQAIEYYSNFVKDVHTEKDKPLRSVLLNLKDIRGNPPSLDVCADPVILDSSNIQLDLDESNHERGDMDVAVNNIDWDISVDGADIDWDIGTVEETDDGGNGLGPYEIVNASDISQNSSQNEAVESGETPLKKEEENVHTEISVSEICWDISVETPQVDAINDTNLVNMGLENQTYVLDTITHTPGMKEGRSQLLDTEYRNKILDDLYEIKAFLSQRLAELSNEETMSLQHQVQSVAPLVLQQYTPDAIDTMSSNVSLAISLLTNGKTRDLIMLLNSKRYLDRLVSTLEAKKHHELKLKEGLKDVAAKRMELHNSISSSWPKQEAALAKTRELKKLCERTLSSMFDGRPVNIIGEINALLSSGIGA >Potri.006G059500.5.v4.1 pep chromosome:Pop_tri_v4:6:4196540:4199642:1 gene:Potri.006G059500.v4.1 transcript:Potri.006G059500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059500.v4.1 MQNPDDIRNLPIDISFSRLGEWLVDRKRIPADWRKRIASIRARISKEFTSLPKEIDPYFQTLDPEGIGYLEAKNIYEILMKSNPESRNIFGRLSGAAGAWEAIVRSFEKDYVYLGEAAQIIIQNVNYEIPYQKKQVQKIQQILAELERKETDIKRSAALSATKYVEACQELGLQGNNVRVELLETAKSLPSTFSKILEVINSDTMSQAIEYYSNFVKDVHTEKDKPLRSVLLNLKDIRGNPPSLDVCADPVILDSSNIQLDLDESNHERGDMDVAVNNIDWDISVDGADIDWDIGTVEETDDGGNGLGPYEIVNASDISQNSSQNEAVESGETPLKKEEENVHTEISVSEICWDISVETPQVDAINDTNLVNMGLENQTYVLDTITHTPGMKEGRSQLLDTEYRNKILDDLYEV >Potri.018G103350.1.v4.1 pep chromosome:Pop_tri_v4:18:12317274:12318089:-1 gene:Potri.018G103350.v4.1 transcript:Potri.018G103350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103350.v4.1 MRLALNIYIYSVVQFHRMKHGRPEIDYFNPDFLRFFFVLNNFVIDFVYKCVCVCVCCRRSNLNLNIATHYENESKLQEMQLQWCWRHEYFVYADGGFCPLLSSINETITVTLEVLFI >Potri.003G055501.1.v4.1 pep chromosome:Pop_tri_v4:3:8131788:8137726:1 gene:Potri.003G055501.v4.1 transcript:Potri.003G055501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055501.v4.1 MLVGYTKGRDGTLTEAFRTEVVLNSLNPTWIAKHTITFQFEVVQTLVFHAYDVGTQFHNIDVKYLCSSHQFFVTPGPSSSLVEEARSSLTATSKNLGSNSTSMSTCCNNLNDVSTTVASNDIKQDRLPDDCIVAALTDSPSPYDDF >Potri.008G043000.1.v4.1 pep chromosome:Pop_tri_v4:8:2425747:2431578:1 gene:Potri.008G043000.v4.1 transcript:Potri.008G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043000.v4.1 MEAISPSIVDSGNLPIRNSDAEAEGFKHSVDEILQKVDKLEQRVNEVEQFYSKNTSKKQQSGSSKGGSSTVKDKDKERHIPSIRKQQQDASKREAAAAKRMQELMRQFGTILRQITQHKWAWPFMQPVDVKGLGLHDYYEVIDKPMDFSTIKNQMEAKDGTGYKSVREICADVRLVFKNAMKYNDERSDVHVMAKTLLGKFEEKWLQFLPKVTEEEKRREEEEAEAQLDMQLAQEAAHAKMARDLGNELYEVDMHLEELREMVVQKCRKMSTEEKRKLGAALTRLSPEDLTKALEIVAQNNPGFQATAEEVDLDIDAQSETTLWRLKFFVKDALEVQGKSAASAGGRNNTTTPSNNNNNNNKRKREICDAIAKTAKKRSKKPSS >Potri.010G092600.4.v4.1 pep chromosome:Pop_tri_v4:10:11704898:11710220:1 gene:Potri.010G092600.v4.1 transcript:Potri.010G092600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G092600.v4.1 MRIYVVGQLRIEIEITNINMSSLWSLGVGLGTIIPASTGHNNNKWRRHSNTHFLIVLHCSTTTRRSSPPLISCSSSSSSSSSNNHNSLPKQTSPQLGYDPSADLLGIQVNPKPRNDISSDPPKPRSWFGPSGQYIRELPCPSCRGRGYTPCSECGIDRFRLDCSLCNGKGMMTCRQCLGDCVIWEESIDERPWEKARSISPFKVKEDDDVDNLEIELAKKKSKRVYQSLSPEVGLKISRSLKSLNAKTGLFSKRMKIIHRDPMLHAQRVAAIKKAKGTAAARKRASETLKAFFSDPENRKKRSIAMKGVKFYCSNCGREGHRKHYCPELKDSLVDRRFKCRLCGKKGHNRRTCPKSRMSNHKGKVTWHHRCRICRQGGHNRRSCPQVVGIKFGGSDTFKGFMVSGSRKYTCRLCREKGHNARTCPSRNTRPAMLSPPRE >Potri.009G049100.2.v4.1 pep chromosome:Pop_tri_v4:9:5445633:5452803:-1 gene:Potri.009G049100.v4.1 transcript:Potri.009G049100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049100.v4.1 MEFVGKSVNKKFNGFGVFKGYVKSYDESSGFFEIKYEDGDFEELDFSKVASLLEEEKEAAGVSAAGPVDPKPRLGRKLKKRRRAEPKKPESGESGNSGVVEANGYLDMNRNVDLNDGFVGDLRGNVDINVDLNETLEKGSGAVEDLREGVFDLNAGFNFDLNEEEEEGNPNPNPNYNHNSNSNNNNNLSVDFEGKKRGCIDLNLDVSGDVDENFKEVDLECKVVGTQKRECGFDLNLGIGDEMKDEMGVGFEGQMEETTNFEIQRMEEDEKSHFESAIPNGKLQGVHVSNDSCSGLVERIEEVNIVSCEDFRAFDSVGVVDVKDVKEHFPEVIDSASVYKEESGSRKRGRRRRKLPDNLNSTPEVTVLSDANAVGDDCMVGSGSRRRGRRRKLADNLNSTPEVTVLSDANAVGDDCMVGSGSQRRGRRRKLADNLNSIPEKIILLDANVVREDCTVRVDGNLGDIGSSYREVSASARKRRKFLDNGNSMQETTVLRRSARRGSAKNNLLKDLSMSPVVSALTEDKPVKSHHEWPEEPVVLHPKLQLPPSSQNLNLSGIPVLDLFSVYACLRSFSTLLFLSPFGLEEFVAALKGNSPSSLFDFIHVSILEILRKHLEHLSNEGSESASNCLRSLDWGLLDLITWPVFMVEYLLIHGSGLKPGFDLSRLNLFRSDYHKQPVSVKLEMLQCLCDDMIEVEAIRSELNRRSSGAEPDMDFDRNMSPGACKKRKIAMDVSGNSCLTEDADDDWNSDECCLCKMDGNLICCDGCPAAYHAKCVGVANNSLPEGDWYCPECAIDRQKPWMKSRKLLRGAELLGVDPHNRLYFSSCGFLLVSDACDFELSFNYYQRDDLSAVIEVLKSSEMIYGSILEAIHKHWDIPVTLYGSSNLSSVKHTTSLDMSIPACTSASLETCATKIETADGQNLEKFANRCCGHLDFEFSKSVVSPTCMSSEGSAETTQINFGDQNFQKGPDCSNRSAGFSNETEVPEKSPLVGDFSMTSNILDVKQEKNRCSPPTRCPSSAVKATDEVTLQVQPRTEYMNYYSFGYTSASIAEVLLSKSSDKTTENSIKSDEEMALAQMKVILKKSNRFRWSSIPSLNAEVQKEKCGWCFSCRATTDEPDCLFNMSLGPVQEGSESEVISLKTKRNRKGYLVDLICHILLIEDRLQGLLLGPWLNPHYTKLWRKSILKASDIATVKHLLLKLEANVRRLALSADWVKHVDSGVTMGSSSHFVTASSRASLKNGIGRKRVRSTECQSNPCANPASGLGMFWWRGGRLSRRLFSWKVLPCSLTSKAARQAGCMKIAGILYPENSDFAKRSKHVTWQAAVESSVTVEQLALQVREFDSNIRWDEIQNTHPLSMLDKELRKSFRLFKKVIIRRKCVEEGTKYLLDFGKRRSIPEIVLKNGSMIEESSSERKKYWLNESYVPFYLLKSFEERKIARRSSKMNSGKLSEASVLVKKPLKQRGFSYLFARAERSEYHQCGHCHKDVPIREAVCCQNCKGFFHKRHVRKSAGAITAKCIYTCHRCHYGKNAKTVKTNAKTVKTDTKRRKNSIKSTKVQEQKSKKATVVRNSVRLKNSKKALRGSQPLQSRNRKVTVVPLRCSARKAKQKALQNKKVVGRKRGRPAKSKKGANKKPKRGTLLHKKRTDTCHSYWRNGLLLSRNSDDERVTHFREKSLIAPSESAIDDQPKCHLCCEAGYTSISNYISCEICGGNYVIILYVLFHLVN >Potri.009G049100.3.v4.1 pep chromosome:Pop_tri_v4:9:5444270:5450440:-1 gene:Potri.009G049100.v4.1 transcript:Potri.009G049100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049100.v4.1 MLQCLCDDMIEVEAIRSELNRRSSGAEPDMDFDRNMSPGACKKRKIAMDVSGNSCLTEDADDDWNSDECCLCKMDGNLICCDGCPAAYHAKCVGVANNSLPEGDWYCPECAIDRQKPWMKSRKLLRGAELLGVDPHNRLYFSSCGFLLVSDACDFELSFNYYQRDDLSAVIEVLKSSEMIYGSILEAIHKHWDIPVTLYGSSNLSSVKHTTSLDMSIPACTSASLETCATKIETADGQNLEKFANRCCGHLDFEFSKSVVSPTCMSSEGSAETTQINFGDQNFQKGPDCSNRSAGFSNETEVPEKSPLVGDFSMTSNILDVKQEKNRCSPPTRCPSSAVKATDEVTLQVQPRTEYMNYYSFGYTSASIAEVLLSKSSDKTTENSIKSDEEMALAQMKVILKKSNRFRWSSIPSLNAEVQKEKCGWCFSCRATTDEPDCLFNMSLGPVQEGSESEVISLKTKRNRKGYLVDLICHILLIEDRLQGLLLGPWLNPHYTKLWRKSILKASDIATVKHLLLKLEANVRRLALSADWVKHVDSGVTMGSSSHFVTASSRASLKNGIGRKRVRSTECQSNPCANPASGLGMFWWRGGRLSRRLFSWKVLPCSLTSKAARQAGCMKIAGILYPENSDFAKRSKHVTWQAAVESSVTVEQLALQVREFDSNIRWDEIQNTHPLSMLDKELRKSFRLFKKVIIRRKCVEEGTKYLLDFGKRRSIPEIVLKNGSMIEESSSERKKYWLNESYVPFYLLKSFEERKIARRSSKMNSGKLSEASVLVKKPLKQRGFSYLFARAERSEYHQCGHCHKDVPIREAVCCQNCKGFFHKRHVRKSAGAITAKCIYTCHRCHYGKNAKTVKTNAKTVKTDTKRRKNSIKSTKVQEQKSKKATVVRNSVRLKNSKKALRGSQPLQSRNRKVTVVPLRCSARKAKQKALQNKKVVGRKRGRPAKSKKGANKKPKRGTLLHKKRTDTCHSYWRNGLLLSRNSDDERVTHFREKSLIAPSESAIDDQPKCHLCCEAGYTSISNYISCEICGEWFHGDAFGLDAENINKLIGFRCHMCLKKTPPICPHAATTSHEVEIAEVQNDVGTELPKEETDGTLHQEEDHPGSLLVSESVHVEGQLGTALDSNQSFVSESKLEAENGHALANVIENTDAIQTLHENLKPDLLTSPNESHLVEENTIKSGDDGIVTSDDAAQLSSCKVGVDLIETGLASLGPDGAKDSLTTPSLKLPIDGSFIETIKMQPQSFMASTEL >Potri.009G049100.1.v4.1 pep chromosome:Pop_tri_v4:9:5444709:5452803:-1 gene:Potri.009G049100.v4.1 transcript:Potri.009G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G049100.v4.1 MEFVGKSVNKKFNGFGVFKGYVKSYDESSGFFEIKYEDGDFEELDFSKVASLLEEEKEAAGVSAAGPVDPKPRLGRKLKKRRRAEPKKPESGESGNSGVVEANGYLDMNRNVDLNDGFVGDLRGNVDINVDLNETLEKGSGAVEDLREGVFDLNAGFNFDLNEEEEEGNPNPNPNYNHNSNSNNNNNLSVDFEGKKRGCIDLNLDVSGDVDENFKEVDLECKVVGTQKRECGFDLNLGIGDEMKDEMGVGFEGQMEETTNFEIQRMEEDEKSHFESAIPNGKLQGVHVSNDSCSGLVERIEEVNIVSCEDFRAFDSVGVVDVKDVKEHFPEVIDSASVYKEESGSRKRGRRRRKLPDNLNSTPEVTVLSDANAVGDDCMVGSGSRRRGRRRKLADNLNSTPEVTVLSDANAVGDDCMVGSGSQRRGRRRKLADNLNSIPEKIILLDANVVREDCTVRVDGNLGDIGSSYREVSASARKRRKFLDNGNSMQETTVLRRSARRGSAKNNLLKDLSMSPVVSALTEDKPVKSHHEWPEEPVVLHPKLQLPPSSQNLNLSGIPVLDLFSVYACLRSFSTLLFLSPFGLEEFVAALKGNSPSSLFDFIHVSILEILRKHLEHLSNEGSESASNCLRSLDWGLLDLITWPVFMVEYLLIHGSGLKPGFDLSRLNLFRSDYHKQPVSVKLEMLQCLCDDMIEVEAIRSELNRRSSGAEPDMDFDRNMSPGACKKRKIAMDVSGNSCLTEDADDDWNSDECCLCKMDGNLICCDGCPAAYHAKCVGVANNSLPEGDWYCPECAIDRQKPWMKSRKLLRGAELLGVDPHNRLYFSSCGFLLVSDACDFELSFNYYQRDDLSAVIEVLKSSEMIYGSILEAIHKHWDIPVTLYGSSNLSSVKHTTSLDMSIPACTSASLETCATKIETADGQNLEKFANRCCGHLDFEFSKSVVSPTCMSSEGSAETTQINFGDQNFQKGPDCSNRSAGFSNETEVPEKSPLVGDFSMTSNILDVKQEKNRCSPPTRCPSSAVKATDEVTLQVQPRTEYMNYYSFGYTSASIAEVLLSKSSDKTTENSIKSDEEMALAQMKVILKKSNRFRWSSIPSLNAEVQKEKCGWCFSCRATTDEPDCLFNMSLGPVQEGSESEVISLKTKRNRKGYLVDLICHILLIEDRLQGLLLGPWLNPHYTKLWRKSILKASDIATVKHLLLKLEANVRRLALSADWVKHVDSGVTMGSSSHFVTASSRASLKNGIGRKRVRSTECQSNPCANPASGLGMFWWRGGRLSRRLFSWKVLPCSLTSKAARQAGCMKIAGILYPENSDFAKRSKHVTWQAAVESSVTVEQLALQVREFDSNIRWDEIQNTHPLSMLDKELRKSFRLFKKVIIRRKCVEEGTKYLLDFGKRRSIPEIVLKNGSMIEESSSERKKYWLNESYVPFYLLKSFEERKIARRSSKMNSGKLSEASVLVKKPLKQRGFSYLFARAERSEYHQCGHCHKDVPIREAVCCQNCKGFFHKRHVRKSAGAITAKCIYTCHRCHYGKNAKTVKTNAKTVKTDTKRRKNSIKSTKVQEQKSKKATVVRNSVRLKNSKKALRGSQPLQSRNRKVTVVPLRCSARKAKQKALQNKKVVGRKRGRPAKSKKGANKKPKRGTLLHKKRTDTCHSYWRNGLLLSRNSDDERVTHFREKSLIAPSESAIDDQPKCHLCCEAGYTSISNYISCEICGEWFHGDAFGLDAENINKLIGFRCHMCLKKTPPICPHAATTSHEVEIAEVQNDVGTELPKEETDGTLHQEEDHPGSLLVSESVHVEGQLGTALDSNQSFVSESKLEAENGHALANVIENTDAIQTLHENLKPDLLTSPNESHLVEENTIKSGDDGIVTSDDAAQLSSCKVGVDLIETGLASLGPDGAKDSLTTPSLKLPIDGSFIETIKMQPQSFMASTEL >Potri.004G003401.1.v4.1 pep chromosome:Pop_tri_v4:4:210386:213707:1 gene:Potri.004G003401.v4.1 transcript:Potri.004G003401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003401.v4.1 MGSIATITKPYRAAMKKDWESLKRYYEKNPEAAGLPLTVTKDTVLHIAVHSNDKKLLKHFLDNAPPFSYKVTDVYGNNAVHEAAATGNVEMAKILLNFDRELYCSTTNDGQKNGYCSDELLQIMNKRGETALFRAAAFGRTKMVRFLCSKIKNRDVHRRRHDSTSILHIAVLGKYFEIASQLAVWDAYLPGAVDENGMSCLQLLASMPSAFKSGYPMGMLQKLLYFCLPDIGGDDDKELGDSDGDKELDDSDDVKESGKLHSSQGQDLESGHGRILSHQSNNSGSAISKMYHGVLRCMAKGFPAIRKLWGIKKKQKGVLELVQILAETDLTWWNVNHGRSGPSGYEILSHDKVDEAEREEEYQFPSDLPEQIKTTSPKETPLIAAARHGIVEIIEAILDVYPQAIEHINEKDESIFHAAARCRRKEILDLLPSSYALMPRLGRRITCNGDSILHQAAYLGETHHRDRPGDALRMQSDIQWFKRVKKIVPAYFVNHRNEKGQTAQELFTTEHERLVKDGSEWLMRTTQACTLVAVLIATVAFTSAYTVPGGSNSKTGHPLLIDTTPFHVFTISDTISLCFALTSVVVFLSIMTSNMNEQDFKTSLPLKLVLGLTTLFFAVTAMMVAFAATLVLMIRQRLHWAAIPIYTVACCPVTIFLVLQFPLYLNIAWFTVRGMLWSFIDSLPRSHV >Potri.012G054200.9.v4.1 pep chromosome:Pop_tri_v4:12:5159206:5165679:1 gene:Potri.012G054200.v4.1 transcript:Potri.012G054200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054200.v4.1 MLSIGSLCLSLGTTPKPLKPSKTPKPIRKIYATKAMAGSNPKQVSTFEFRDLMETFAVDVKRAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSDGCVGWGEAPILPFVTAEDQSTAMIKAREACELLKNSSSMKLGLVLERVSEILPGHEFASVRAGVEMALIDAVAKSINVPLWILFGGASDSITTDITIPIVSSAEAAELASKYRKQGFQTLKLKVGKNLKEDIEVLQAIRAVHPDCLFILDANEGYKPEEAIEVLEELHKMGVTPILFEQPVHRDDWEGLGHVTHIAKGKYGVSVAADESCRSLVDAKRIIKGNLADVINIKLAKVGVVGGLEIIEEARTSGLDLMIGGMVETRLAMGFAGHLAAGFGCFKFIDLDTPLLLSEDPVLEGYEVSGAVYKFTDAQGHAGFLDWDNVL >Potri.012G054200.8.v4.1 pep chromosome:Pop_tri_v4:12:5159221:5165710:1 gene:Potri.012G054200.v4.1 transcript:Potri.012G054200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054200.v4.1 MLSIGSLCLSLGTTPKPLKPSKTPKPIRKIYATKAMAGSNPKQVSTFEFRDLMETFAVDVKRAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSDGCVGWGEAPILPFVTAEDQSTAMIKAREACELLKNSSSMKLGLVLERVSEILPGHEFASVRAGVEMALIDAVAKSINVPLWILFGGASDSITTDITIPIVSSAEAAELASKYRKQGFQTLKLKVGKNLKEDIEVLQAIRAVHPDCLFILDANEGYKPEEAIEVLEELHKMGVTPILFEQPVHRDDWEGLGHVTHIAKGKYGVSVAADESCRSLVDAKRIIKGNLADVINIKLAKVGVVGGLEIIEEARTSGLDLMIGGMVETRLAMGFAGHLAAGFGCFKFIDLDTPLLLSEDPVLEGYEVSGAVYKFTDAQGHAGFLDWDNVLW >Potri.012G054200.12.v4.1 pep chromosome:Pop_tri_v4:12:5159206:5163382:1 gene:Potri.012G054200.v4.1 transcript:Potri.012G054200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054200.v4.1 MLSIGSLCLSLGTTPKPLKPSKTPKPIRKIYATKAMAGSNPKQVSTFEFRDLMETFAVDVKRAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSDGCVGWGEAPILPFVTAEDQSTAMIKAREACELLKNSSSMKLGLVLERVSEILPGHEFASVRAGVEMALIDAVAKSINVPLWILFGGASDSITTDITIPIVSSAEAAELASKYRKQGFQTLKLKVGKNLKEDIEVLQAIRAVHPDCLFILDANEGYKPEEAIEVLEELHKMGVTPILFEQPVHRDDWEGLGHVTHIAKGKYGVSVAADESCRSLVDAKRIIKGNLADVINIKLAKVGVVGGLEIIEEARTSGLDLMIGGMVETRLAMGFAGHLAAGFGCFKFIDLDTPLLLSEDPVLEGYEVSGAVYKFTDAQGHAGFLDWDNVL >Potri.012G054200.11.v4.1 pep chromosome:Pop_tri_v4:12:5159206:5165115:1 gene:Potri.012G054200.v4.1 transcript:Potri.012G054200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054200.v4.1 MLSIGSLCLSLGTTPKPLKPSKTPKPIRKIYATKAMAGSNPKQVSTFEFRDLMETFAVDVKRAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSDGCVGWGEAPILPFVTAEDQSTAMIKAREACELLKNSSSMKLGLVLERVSEILPGHEFASVRAGVEMALIDAVAKSINVPLWILFGGASDSITTDITIPIVSSAEAAELASKYRKQGFQTLKLKVGKNLKEDIEVLQAIRAVHPDCLFILDANEGYKPEEAIEVLEELHKMGVTPILFEQPVHRDDWEGLGHVTHIAKGKYGVSVAADESCRSLVDAKRIIKGNLADVINIKLAKVGVVGGLEIIEEARTSGLDLMIGGMVETRLAMGFAGHLAAGFGCFKFIDLDTPLLLSEDPVLEGYEVSGAVYKFTDAQGHAGFLDWDNVL >Potri.012G054200.10.v4.1 pep chromosome:Pop_tri_v4:12:5159206:5165115:1 gene:Potri.012G054200.v4.1 transcript:Potri.012G054200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054200.v4.1 MLSIGSLCLSLGTTPKPLKPSKTPKPIRKIYATKAMAGSNPKQVSTFEFRDLMETFAVDVKRAENRPLNVPLIAPFTIASSRLDKVENVAIRIELSDGCVGWGEAPILPFVTAEDQSTAMIKAREACELLKNSSSMKLGLVLERVSEILPGHEFASVRAGVEMALIDAVAKSINVPLWILFGGASDSITTDITIPIVSSAEAAELASKYRKQGFQTLKLKVGKNLKEDIEVLQAIRAVHPDCLFILDANEGYKPEEAIEVLEELHKMGVTPILFEQPVHRDDWEGLGHVTHIAKGKYGVSVAADESCRSLVDAKRIIKGNLADVINIKLAKVGVVGGLEIIEEARTSGLDLMIGGMVETRLAMGFAGHLAAGFGCFKFIDLDTPLLLSEDPVLEGYEVSGAVYKFTDAQGHAGFLDWDNVL >Potri.007G137001.1.v4.1 pep chromosome:Pop_tri_v4:7:14853026:14854746:1 gene:Potri.007G137001.v4.1 transcript:Potri.007G137001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137001.v4.1 MASSGKHLFFFCLLVTFSSIQARESMFFSKFTRHYSITKENGKKGPITILAVPIQAPTPAPAPSPASLLDVPEPAPAPVFGESEKGHGLFGEGSGMFPPKETSTTTTTYADENELLNEELDGVTSDQKYENSNYNNNGYTSTYNNNGYERSNYNNKNNNNGYKLGSARYETGNQNNNGYTNKYYNNGYKLAGESYEAGNQNTENGYNNNGNTNNYNNNGYTKKYHNNGHKLAGESYEAGSQKSENGYSNNYNNNGYTNKYYNNGYKLPGESYEVGNQNSENGYTNTYNNNGNTNNYNNEGYTNKYYNNGYKLAGESQEAGNQNSENGYSNNGNTNNYNNKGYTNKYYNNGYKLAGESYEAGNQNSDNGYTRYETERQGMSDTRFMEGGKYYYNAKNENYYPANKYESGKVSTQNQGSYGNDENPNEFNTMEEYKSQEGYEESQEESLP >Potri.014G027500.1.v4.1 pep chromosome:Pop_tri_v4:14:1719591:1723463:1 gene:Potri.014G027500.v4.1 transcript:Potri.014G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027500.v4.1 MANCNDEHFCQDKLLLDPKEASLKELVLLLFFSDVRSRKFVDCPEENRLRDINRRWLIFISVLVQKILLSCREPLAQTGHTVEYWLNLISNNGGLFKLLLNYLKDKVVRPDESSATFRSAVGHSDWRVELDKSSRPGEIKYNTSLSLMAAKLSYENKAFIETIVKDHWNMEFLGSYDYWNGYQERASTQALLFQDKKVDPTLIVVAFRGTNPFDADDWTTDVDLSWYDLQGIGKLHRGFMKALGLQENGWPKEIEQGSGHSYAYYKIRQMLRDILLKNEKAKFILTGHSLGGALAILFVGVLALHQEAWLLERLEGVYTFGQPRVGDGQFGEFMVDKLKRYEVRYMRHVYSNDIVTRLPYDDNLLLFKHFGPCIYFNSFYKGKVMQEEPNKNYFSLLLILPKYLNALWELIRSFIIPYLHGQDYRESWFMRLLRIAGLIVPGIPEHILQDYDNSTRLGSLQLKDSQQELHQE >Potri.005G011400.1.v4.1 pep chromosome:Pop_tri_v4:5:947525:947815:1 gene:Potri.005G011400.v4.1 transcript:Potri.005G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011400.v4.1 MAGRWSTSTFRQWLGLDVAYTTSSIWASSISVLRWPSQLRTTTNPSRWWAPPRMMRWPELDFSILDDVVWSLVTAFESVALVSMLAFFFLFCGCTV >Potri.002G159700.1.v4.1 pep chromosome:Pop_tri_v4:2:12166432:12168872:1 gene:Potri.002G159700.v4.1 transcript:Potri.002G159700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159700.v4.1 MDNSSNFDEISEPSYQPSPSSLDQNDQSTVETPVYSTMSGDSFMFGRTYSETSAFSDPIDDNSYSSEPSPSHWPVTKSGAQNQAMFGRLEMKQQKQVVDDKLDDQESVDLELETMKERFSKLLLGEDMSGSGKGVCTAVTISNAITNLYATVFGQNLRLEPLKPEKKSMWKREMDCLLSVCDYIVEFIPKSQNLRDGTALEVMESRPRSDIYINLPALTKLDAMLIEVLDSFQDREFWYAEQGSMSSNSTRSGSFRRVVIQRKEEKWWVPVPCVPHDGLSEKSRKHLRHKRDCAYQIHKAAMAINSSILTEMEIPHSYMASLPKSGRASLGDTIYRYLCTADGFSPDHLLDCLNLASEHEALELADRVEASMYTWRRKACLSHSKSSWDMVKDLMSDIDRTDKNHVLAERAETLLFCLKQRYPELSQTSLDTCKIQYNRDVGQATLESYSRVLEGLAFNIVAWIEDVLFVDNSVRTQDQ >Potri.003G062800.1.v4.1 pep chromosome:Pop_tri_v4:3:8967267:8972264:-1 gene:Potri.003G062800.v4.1 transcript:Potri.003G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062800.v4.1 MGWIGDTVDSVKSIQIRQLLTQAVSLGMIVTSALIIWKALMCITGSESPVVVVLSGSMEPGFKRGDILFLHMSKDPIRAGEIVVFNVDGREIPIVHRVIKVHERQDTGEVDVLTKGDNNYGDDRLLYAQGQLWLQRHHIMGRAVGFLPYVGWVTIIMTEKPIIKYILIGALGLLVITSKD >Potri.015G008401.1.v4.1 pep chromosome:Pop_tri_v4:15:511181:511417:-1 gene:Potri.015G008401.v4.1 transcript:Potri.015G008401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008401.v4.1 MSFFEEINLFVFPLNVLQVPILPGDAYAINNALSAEGAADDYETCLKLLVHTGVVDKSSLSGLPKFDRMLIGTVWMGM >Potri.002G117700.1.v4.1 pep chromosome:Pop_tri_v4:2:8929668:8930759:1 gene:Potri.002G117700.v4.1 transcript:Potri.002G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117700.v4.1 MIKTLSPCSNTAKTAEIMSRYRPIAPRPEGSASSMDESSSMSQKIRESPYLRTLWPQMQARPTRTRKRGRAVVSPPNIKRPRTHLLGLSSPSHVTSPAKHLSLQGFVHGIPQLPVPNLVGVNSGLENSVTMSSNLVTLPLLQSPTTVTVVANQAAVPELSCMEPNRDKVIDLNTVAEFPEEKDLLQQLQVPPTNNVIAPQPLRLVGSSISIACISEDPSFIPLVRVPKKPEEVEEEVESEVLPTVISDSNNKVRLANSAYKEMVGQPECSWLDSMMTGDGSFAGRSCKRICGEVELHLSDLRVPASSNGFSCWVRIEWCNKGTKNVIITFCDVIRLSCASRDYLFSWRFHTRGRKDFQSKTNA >Potri.006G097700.3.v4.1 pep chromosome:Pop_tri_v4:6:7474697:7476621:1 gene:Potri.006G097700.v4.1 transcript:Potri.006G097700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097700.v4.1 MSRKASKRVSFSPDVNDKPTIFLKHGSGTRVGGNRKKIAGTLTFRLPRTSKFSPARLLRSLSAKVARVLRFVSMRKESSHNVTSSSLPRSRSLAEAVDSQRAEAMEDCIEFLNSSSSLQRSNSVSTYSY >Potri.006G097700.2.v4.1 pep chromosome:Pop_tri_v4:6:7474647:7476621:1 gene:Potri.006G097700.v4.1 transcript:Potri.006G097700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097700.v4.1 MSRKASKRVSFSPDVNDKPTIFLKHGSGTRVGGNRKKIAGTLTFRLPRTSKFSPARLLRSLSAKVARVLRFVSMRKESSHNVTSSSLPRSRSLAEAVDSQRAEAMEDCIEFLNSSSSLQRSNSVSTYSY >Potri.011G136102.1.v4.1 pep chromosome:Pop_tri_v4:11:16854853:16859860:1 gene:Potri.011G136102.v4.1 transcript:Potri.011G136102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136102.v4.1 MSHSPSLPAPARDRSSQKDRSLVEKERNSTRTRSPSSRMKILRRAHAEKEGEKTRGREIERNYVKKGSEKERREREVGKERRSEVEGKSSSRGRHGGRSASPLGSNRRSRSKHRSSDDDNYDDSVSKMKAAEEALEAKQKKQSSFELSGKLAAETNRVRGITFLFIKPPYAKKPDIRWRLYVFKGGEALNEPLYIHRQSCYLFWRERRVVDIPTDHPSRSKKHAVIQFQPYMMDLGSTNKTFINDNPIEPQRYYELFEKDAIKFGSSCREYVQLHENSA >Potri.008G034401.1.v4.1 pep chromosome:Pop_tri_v4:8:1869905:1870534:1 gene:Potri.008G034401.v4.1 transcript:Potri.008G034401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034401.v4.1 MVKIEVHVISREIIKPSSPTIHNLQPYKLCLLDQLTPTSYAPMMLFYPKSNEANMDDTQFLKHLKRSLSDTVLNVLYPFSGRVKTNMFVDRFDEGVSFMEARVNCSLSEFFEDPQIESLNQFLPCPPLAKESQDDVTLLIFQLSVFSCGGLALDGCMSHKLGDGGTASTLFSA >Potri.006G130000.2.v4.1 pep chromosome:Pop_tri_v4:6:10596655:10599633:1 gene:Potri.006G130000.v4.1 transcript:Potri.006G130000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130000.v4.1 MSLSHKTSHIVPSPIVLFLLLCLVLLRTKASSTDFDFGTLTLSSLKLLGDAHLNNGSVRLTRDLAVPNSGAGKVLYSKPIRFRQPSAHSIASFSTFFSFSVTNLNPSSIGGGLAFVLSPDSDALGAAGGFLGLLNVDDGPEAASSFVAVEFDTLMDVEFKDINGNHVGLDLNSMVSTQIGDLGAINIDLKSGDLVNAWIDYDGTNQSFNISVSYSNLKPKEPILSFSLDLDQYVNDFMYVGFSGSTQGSTEIHSIEWWSFSSSFQSSLGSESPSSVPPPPTASLMNPSASSVKSPPPTLAPSGLESVSGKSDKSNSCHNQLCKQGAGAVAGVVTASAFFVIFAGVLIWVFSKRFKHVKKSVSFASEVIKMPKEFSYRELKSATKCFNANRIIGHGAFGTVYKGILLETGEIVAVKRCSHSSQGKNEFLSELSIIGTLRHRNLVRLQGWCYEKGEILLVYDLMPNGSLDKALFEARTPLPWSHRRKVLLGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNARLGDFGLARQIEHDKSPDATVAAGTMGYLAPEYLLTGRATEKTDVFSYGAVVLEVASGRRPIERETSGVGKVAVNGNLVEWVWSLHREGRLLMAADARLEGQFEESEMRRVLLVGLACSHPDPMARPAMRGVVQMLVGEAEVPLVPRAKPTLSFSTSHLLLSLQDSVSDCNGLIAVSSSSSENSFIRGHDLV >Potri.007G072700.6.v4.1 pep chromosome:Pop_tri_v4:7:9490231:9493355:-1 gene:Potri.007G072700.v4.1 transcript:Potri.007G072700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072700.v4.1 MSYLLPHLHSGWAVDQAILAEEERVVIIRFGHDWDDTCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Potri.007G072700.5.v4.1 pep chromosome:Pop_tri_v4:7:9490447:9492667:-1 gene:Potri.007G072700.v4.1 transcript:Potri.007G072700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072700.v4.1 MSYLLPHLHSGWAVDQAILAEEERLVVIRFGHDWDETCMQMDEVLASVAETIKNFAVIYLVDITEVPDFNTMYELYDPSTVMFFFRNKHIMIDLGTGNNNKINWALKDKQEFIDIVETVYRGARKGRGLVIAPKDYSTKYRY >Potri.009G100800.1.v4.1 pep chromosome:Pop_tri_v4:9:8929926:8933512:-1 gene:Potri.009G100800.v4.1 transcript:Potri.009G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100800.v4.1 MLRNLARKAVSATSNPWRSSKSIQLLQKRGFCLGVLPDGVGRNSEAFAQNSKAMEGLISQLQSHINKVLAGGGEEAVKRNRKRNKLLPRERIDKLIDPGSSFLELSQLAGHELYGETLPSGGIITGIGPVHGRLCMFVANDPTVKGGTYYPITVKKHLRAQEIAAQCKLPCIYLVDSGGAFLPKQAEVFPDKDNFGRIFYNQAVMSAEGIPQIALVLGSCTAGGAYIPAMADESVMVKGNATIFLAGPPLVKAATGEEVSAEDLGGASVHCKTSGVSDYFAQDELHGLALGRNIIKNLHMAGKQGVMNGLHNLNPEYKEPLYDVKELRSIAPIDHKQAFDIRSVIARIVDGSEFDEFKKQYGTTLVTGFARIFGQPVGILGNNGILFNESALKGAHFIELCTQRNIPLVFLQNITGFMVGSRSEANGIAKSGAKMVMAVSCAKVPKVTIMVGGSFGAGNYAMCGRAYSPNFLFLWPNAKISVMGGAQAASVLSQIETANKKKQGIQWTEEEQENFKSKITEAYEREGNCYYSTARLWDDGIIDPADTRKIIGFCISASLNRPSEDTKYGVFRM >Potri.010G040200.2.v4.1 pep chromosome:Pop_tri_v4:10:7044574:7047047:-1 gene:Potri.010G040200.v4.1 transcript:Potri.010G040200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040200.v4.1 MTKVKGKTEIFDPLYLPLPSSSPCTHCQGKFISCKPSSFFHFPKNNLFSGISLDKMASWLLWLIVVFTIITVGKGWQNGHQVCHPNDLKGLTSFKAGIHVDTSSRLAKWVGHGCCSWEGITCDETTGRVTEIRLPGFISTNDFVFQSQMRGLLSPSITLVSCLQVIDLGGLIGLAGRIPPSIGLRLPNLRKLYLYGNKLIGPVPDSIGKLSKLEELHLYENRLSGSLPSTMGNLKNLNQLLLYSNELAGTIPDSFTNLTNIVQMDLHSNILTGHIPERIGEMQVLEKLDLSGNLLTGKIPLSLANLNSISELYLDTNHLEGEIPFPSSFGQLSSLGFLRLDDNHLTGRIPASFGNMVSLQRVSLANNKFEGVIPSSLGNLSALKELYLSGNLLSGQIPESVGQLSQLIMFNVSHNQIQGPLPHELSSLENLQTLDLSFNHLNLISFPQWLAELPSLSRIYCARCGIQGEIPDFLQATPSPIQELDLSSNHLTGSLPAWLGRLTQLYKLNFSRNSLVSRIPVSVRNLQYLGVLDLHSNKLTGPINNVFQIGNAFSDGSLTYIDLSDNYFSTGIIQAGVGSQTGIQYLNLSHNFLGGRITTTIGRLKSLQTLDLSCNKLGFNLPEALANVSSLEKLKLQKNHFTGRIPVGFLKLKRLKELDLSDNLLAGEIPAGKPLTDFPQSSYSGNKGLCGKPLSPCKVRGLLLVEEPLKPC >Potri.010G214300.1.v4.1 pep chromosome:Pop_tri_v4:10:20206805:20213657:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.5.v4.1 pep chromosome:Pop_tri_v4:10:20208054:20213442:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.4.v4.1 pep chromosome:Pop_tri_v4:10:20207266:20213642:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.11.v4.1 pep chromosome:Pop_tri_v4:10:20209453:20213437:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.3.v4.1 pep chromosome:Pop_tri_v4:10:20206938:20213674:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.9.v4.1 pep chromosome:Pop_tri_v4:10:20206818:20213600:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.7.v4.1 pep chromosome:Pop_tri_v4:10:20206841:20213436:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.10.v4.1 pep chromosome:Pop_tri_v4:10:20207266:20213438:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.8.v4.1 pep chromosome:Pop_tri_v4:10:20208691:20213431:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.2.v4.1 pep chromosome:Pop_tri_v4:10:20207601:20213581:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.010G214300.6.v4.1 pep chromosome:Pop_tri_v4:10:20207960:20213441:1 gene:Potri.010G214300.v4.1 transcript:Potri.010G214300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214300.v4.1 MKKLFFFRSSSSNDGNNNTSSPLSADKQVYWEAPLEGKPNNQDNDNAQCNFWSPRGLFSKSGKQTYDSQIPSNSSGLRRSRSLSSAAFLDDGMGQMNFSCTNDETISSSSSSSGAHQQRDHSSRRRNLTPERRAKTKRFEVAATGLERSGHSKSHYDSSGNSSSSNVSSKIVDRYIDGEQEQEMSKPKHCSQRNFTGSGNAGGRLPPRVQYTAPASPADNIKDKPRSHSFREFRGARQKFSSRNWVDKGFGHESPQKLARNVMERLSQARAYPKSSSKKYDRDIPITIEDVYGGSTNSYMDVPARKSYSLEEPCETINGYNGDDFSGFQKQNYFLGDDFGDMNSVGSDDMVDVELQRRSKEAEERIALLSEELEQESFLQDSGFDVPPLMQTIQSLIEDKISLAIEVSGLLKSRIADRDSAKEGFRLAKAEWEARNRRLEKEKSELQTALEKELDRRSSDWSSKLEKYQLEEQRLRERVRELAEHNVSLQREVSSFSEREAENKSVITYSEQQLRHLTSKVEEVSDENQDLKHNLSELQNKYAVAEEDLDCIKRNFEEKNKECKDLHKSITRLLRTCSDQERTIGGLREKFSEDIEKKTSFDKFDKHVTQMQMEQMRLTGVELTLRREVESCRHEIDSLRHENINLLKRLKGNGEEVGALTFKLDKEMWTRVCCLQNQGLSLLNESIQLSAKLMEYIKGKMGHFQEFKQGMEVLGNGLDGQFIVESDMKVQGFKRGTESLTRSLQTISSLLQEKSNPGASKSHSPSSNFDGSEKLNHTPEESLRFELKAEALLTSLLREKLYFKESEVEQLQAEIAAAVRGNDILRCEVGNALDNLACVSHQLKNLDLQMLKKDENVDRLRSDLQASVKELTMIRGVLAKVSQERDMMWEEVKQYKEQDMLLNSEINVLKKKIEALDEDSLLKEGQITILKDTLGSRPFDLLGSPSCTREFLLE >Potri.009G069800.2.v4.1 pep chromosome:Pop_tri_v4:9:6902939:6906458:1 gene:Potri.009G069800.v4.1 transcript:Potri.009G069800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G069800.v4.1 MAAIYSLYIINKSGGLIFYKDYGSSGRMDTNDSLRVASLWHSMHAISQQLSPTVGCLGIELLEADTFDLHCFQSLTGTKFFVVCEPGTQHMEGLLKVIYELYTDYVLKNPFYEMEMPIRCELFDINLSQAIQKDRVALLGR >Potri.001G261300.1.v4.1 pep chromosome:Pop_tri_v4:1:27763301:27767741:-1 gene:Potri.001G261300.v4.1 transcript:Potri.001G261300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261300.v4.1 MALVIGDAILSATISHIINQLASLELLKFARRGKIHSDIKKLEANLHMIHAVLDDAEEKQMGSHAVKLWLDQIRELAYDMEDLLDGVFSELKEEQRASSSKAKSAIPGFLSSFYPGNLLLTYKMDSKIKRTTARFQEIAQKKNNLELRENGSGGVLKSKSLKRLPSTSLVDLSYVSGRDKDKEEILKLLFSDEGCDEYGIGVIPIVGMGGVGKTTLAQLVYNDETVDNFFDLKVWCCVSEDFDVVRVTRTILEAVSGSYDAKDLNLLQLRLREKLAGKKFLIVLDDVWNENYDDWTVLRRPFQVTSPGSRIILTTRNQDVALMMSAFPCYLLKELSFEDSLSLFAKHALGRSNFSDLPDLQEIGQKIVQRCGGLPLAVKTLGGLLRTKPYVDEWESVLNSKMWDISEHKGGIVPALRLSYYHLPSHLKQLFVFCSILPKDYEFYKDELVLLWMAQGFLPDAGGKKRMEDFYSCFNELLSRSFFQRSSSNEQRYLMHHLISDLAQSIAGETCVNLNDKLENNKVFPDPEKTRHMSFTRRTYEVLQRFKDLGKLKRLRTFIALRLYSSPWAAYCYLSNNVLHEALSKLRRLRVLSLSGYCITELPNSIGDLKQLRYLNFSQTKIKRLPESVSTLINLQTLKLYGCRKLNKLPQGTGNLIDLCHLDITDTDNLFEMPSWMGNLTGLQKLSKFTVGKKEGCGIEELRGLQNLEGRLSIMALHNVIDARHAVHANLRGKHNLDELELEWSKSDIKDEDRQHQMLVLDSLQPHTNLKELKISFYGGTEFPSWVGHPSFSKIVHLKLSCCRKCTVLPPLGRLPLLRDLCIQGLDAVETVGHEFYGDCSSVKPFPSLKTLTFEDMQEWKSWSAVGVDGEAEEQFPSLSELTLWNCPKLLGRFPSCLPSCVKITIAKCPMLVDSDEKLPVLGELKLEECDEVKPKCMFHNSSLITLKLGSMSRLTYLKGQLLQSLGALKVLMISDFPKLTSLWQKGTGLENFEHPQFVSLTEIGMPSTHKSSKLSGCDKLDLLPIHTVHMLLSLEDLCIESCPNLVSIPEAGLLSSLRHLVLRDCKALRSLPDGMSNCPLEDLEIEECPSLECFPGRMLPATLKGLKIRYCTELKSLPEDLMHNKNGPGTLCHFEHLEIIGCPSLKSFPDGKLPTRLKTLKIWDCSQLKPLSEMMLHDDMSLEYLAISDCEALSSFPECLSSFKHLSELNLSNCSALKLFPGVGFPPANLRTLTIYNCKNLKSLPNEMRKLTSLQELTICSCPALKSFPNGDMPPHLTSLEIWDCDNLDGCLSEWNLQSLTCLRDFSIAGGCFSHTVSFPDEKCLLPTNLTSVWIGRLPNLESLSMQLQSLAYLEELEIVDCPKLKSLPRGCLPHALGRFSIRDCPLMTQRCSKLKGVYWPLISHIPCVEIDDGNDM >Potri.001G261300.2.v4.1 pep chromosome:Pop_tri_v4:1:27763229:27767469:-1 gene:Potri.001G261300.v4.1 transcript:Potri.001G261300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261300.v4.1 MGSHAVKLWLDQIRELAYDMEDLLDGVFSELKEEQRASSSKAKSAIPGFLSSFYPGNLLLTYKMDSKIKRTTARFQEIAQKKNNLELRENGSGGVLKSKSLKRLPSTSLVDLSYVSGRDKDKEEILKLLFSDEGCDEYGIGVIPIVGMGGVGKTTLAQLVYNDETVDNFFDLKVWCCVSEDFDVVRVTRTILEAVSGSYDAKDLNLLQLRLREKLAGKKFLIVLDDVWNENYDDWTVLRRPFQVTSPGSRIILTTRNQDVALMMSAFPCYLLKELSFEDSLSLFAKHALGRSNFSDLPDLQEIGQKIVQRCGGLPLAVKTLGGLLRTKPYVDEWESVLNSKMWDISEHKGGIVPALRLSYYHLPSHLKQLFVFCSILPKDYEFYKDELVLLWMAQGFLPDAGGKKRMEDFYSCFNELLSRSFFQRSSSNEQRYLMHHLISDLAQSIAGETCVNLNDKLENNKVFPDPEKTRHMSFTRRTYEVLQRFKDLGKLKRLRTFIALRLYSSPWAAYCYLSNNVLHEALSKLRRLRVLSLSGYCITELPNSIGDLKQLRYLNFSQTKIKRLPESVSTLINLQTLKLYGCRKLNKLPQGTGNLIDLCHLDITDTDNLFEMPSWMGNLTGLQKLSKFTVGKKEGCGIEELRGLQNLEGRLSIMALHNVIDARHAVHANLRGKHNLDELELEWSKSDIKDEDRQHQMLVLDSLQPHTNLKELKISFYGGTEFPSWVGHPSFSKIVHLKLSCCRKCTVLPPLGRLPLLRDLCIQGLDAVETVGHEFYGDCSSVKPFPSLKTLTFEDMQEWKSWSAVGVDGEAEEQFPSLSELTLWNCPKLLGRFPSCLPSCVKITIAKCPMLVDSDEKLPVLGELKLEECDEVKPKCMFHNSSLITLKLGSMSRLTYLKGQLLQSLGALKVLMISDFPKLTSLWQKGTGLENFEHPQFVSLTEIGMPSTHKSSKLSGCDKLDLLPIHTVHMLLSLEDLCIESCPNLVSIPEAGLLSSLRHLVLRDCKALRSLPDGMSNCPLEDLEIEECPSLECFPGRMLPATLKGLKIRYCTELKSLPEDLMHNKNGPGTLCHFEHLEIIGCPSLKSFPDGKLPTRLKTLKIWDCSQLKPLSEMMLHDDMSLEYLAISDCEALSSFPECLSSFKHLSELNLSNCSALKLFPGVGFPPANLRTLTIYNCKNLKSLPNEMRKLTSLQELTICSCPALKSFPNGDMPPHLTSLEIWDCDNLDGCLSEWNLQSLTCLRDFSIAGGCFSHTVSFPDEKCLLPTNLTSVWIGRLPNLESLSMQLQSLAYLEELEIVDCPKLKSLPRGCLPHALGRFSIRDCPLMTQRCSKLKGVYWPLISHIPCVEIDDGNDM >Potri.014G006900.3.v4.1 pep chromosome:Pop_tri_v4:14:571461:571836:-1 gene:Potri.014G006900.v4.1 transcript:Potri.014G006900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006900.v4.1 MASVVKVATLFLVALLLCSTITYAARPEPGFPGGSLAKNQHKVVEAEHAEVMEEISCEGLGEEECLMRRTLAAHTDYIYTQKNNP >Potri.011G093266.1.v4.1 pep chromosome:Pop_tri_v4:11:12037598:12038500:1 gene:Potri.011G093266.v4.1 transcript:Potri.011G093266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G093266.v4.1 MGDNRWIITKQGRIDLFRRRNAGDLFDETGLRQILTTVAITRRSCKVSYVFQTE >Potri.004G001500.3.v4.1 pep chromosome:Pop_tri_v4:4:114698:115129:1 gene:Potri.004G001500.v4.1 transcript:Potri.004G001500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001500.v4.1 MEGVGARLGRSSTRYGPATVFSGPVRKWKKRWVHVNPSSNHNSFHSHHHNATTNAAAASSSAANHSSSSNGNNGSHLLLYKWTALSQSNGSSSTTNHFNGNNINTTNEIANLSNGDVTEEPPRRKFKYIPVLFFVFFSLVQLD >Potri.004G001500.2.v4.1 pep chromosome:Pop_tri_v4:4:114275:119175:1 gene:Potri.004G001500.v4.1 transcript:Potri.004G001500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001500.v4.1 MEGVGARLGRSSTRYGPATVFSGPVRKWKKRWVHVNPSSNHNSFHSHHHNATTNAAAASSSAANHSSSSNGNNGSHLLLYKWTALSQSNGSSSTTNHFNGNNINTTNEIANLSNGDVTEEPPRRKFKYIPVDLLEKQKKEAEEQEASEKFDDESKPSDTDPNAAEPVSKSDSADEKPDINDVPMEENQDGNQVVRQDLNESTLDLSLGLLS >Potri.005G252800.1.v4.1 pep chromosome:Pop_tri_v4:5:24539928:24541761:1 gene:Potri.005G252800.v4.1 transcript:Potri.005G252800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252800.v4.1 MEDGKFQNGGGLGVKVMTDEQMEMLRKQISVYATICEQLVEMHKALSVHQDFAGMRLGNPYFCDPLLSSSVHKIGSRQRWTPKPAQLEILEQIFKQCNATPGRQKIKDITKELAQHGQISETNVYNWFQNRRARSKRKQSALLPNSGESEVETEIEPFKEKKTKPEDNQPDEDATPVSDHMYLHSPDIGIDQLVGKMESPGSCIPYWQLEQYDLFG >Potri.002G223600.6.v4.1 pep chromosome:Pop_tri_v4:2:21095282:21103702:1 gene:Potri.002G223600.v4.1 transcript:Potri.002G223600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223600.v4.1 MDALFDAINVRDLLSTSDLRDPTAPLSAPDLRLLITRLESHSLQIKSKVKSYILAHHSDFSSLFSLCNDAVSRTDQINQCLLDLLALVSDSPIDGEIREIVEELSGKMKEAREKREILDLVRIIVGISERLGGIKEGVKNGRLRLAAVDIRDLKKVLRIGDEEEREPVVYGLLRKEWLDCFEEIQEMLVKFVENAVQFEPDSSIVRVKYRLSVDGIAGVVDLHSVLDSMEVIGILDYGFAKVADQMIKHVIIPVVKKGSSISSMEDLKDVSKEMTEAILKILSTSNPMVDVDGEIIYSRIIQVINFVCKCICFENPSWIRCFGRLTWPRISELVISNFLSKAVPEDASKLAGFQKIIKDTYEFETALKEMAFISASDSTDQKLSNFAENVELHFASRKKIEILAKARNLLLQCDFTIPQEYTRKGHPMKNSGTAVNYYEHVVDLLFLSERCLVSKAATQLMDLVHQTLKDICLSSPRVALEFYHAARDAILLYEAVVPVKLERQLDGVNQVAVLMHNDCFYLSQEILGLAFEYRSDFPISIKEHAVFVDLAPRFQVMAEEILQRQIQLVISNLKEAIDGADGFQNTHQVQQFESAKFSIDQVVFILEKVHIIWEPLLLPSTYKKSLCMVLESVFARVTKDILLLDDMAAEETLQLQRLIHLMLESISSLMESLSTVIQKERPEEYHTSLVDDLIPSLRKIRKVAELLDMPLKSITTAWESGELISIGFTMLEVKDFIKAIFTDSPLRKECLWRIENVGL >Potri.002G223600.2.v4.1 pep chromosome:Pop_tri_v4:2:21095301:21103743:1 gene:Potri.002G223600.v4.1 transcript:Potri.002G223600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G223600.v4.1 MDALFDAINVRDLLSTSDLRDPTAPLSAPDLRLLITRLESHSLQIKSKVKSYILAHHSDFSSLFSLCNDAVSRTDQINQCLLDLLALVSDSPIDGEIREIVEELSGKMKEAREKREILDLVRIIVGISERLGGIKEGVKNGRLRLAAVDIRDLKKVLRIGDEEEREPVVYGLLRKEWLDCFEEIQEMLVKFVENAVQFEPDSSIVRVKYRLSVDGIAGVVDLHSVLDSMEVIGILDYGFAKVADQMIKHVIIPVVKKGSSISSMEDLKDVSKEMTEAILKILSTSNPMVDVDGEIIYSRIIQVINFVCKCICFENPSWIRCFGRLTWPRISELVISNFLSKAVPEDASKLAGFQKIIKDTYEFETALKEMAFISASDSTDQKLSNFAENVELHFASRKKIEILAKARNLLLQCDFTIPQEYTRKGHPMKNSGTAVNYYEHVVDLLFLSERCLVSKAATQLMDLVHQTLKDICLSSPRVALEFYHAARDAILLYEAVVPVKLERQLDGVNQVAVLMHNDCFYLSQEILGLAFEYRSDFPISIKEHAVFVDLAPRFQVMAEEILQRQIQLVISNLKEAIDGADGFQNTHQVQQFESAKFSIDQVVFILEKVHIIWEPLLLPSTYKKSLCMVLESVFARVTKDILLLDDMAAEETLQLQRLIHLMLESISSLMESLSTVIQKERPEEYHTSLVDDLIPSLRKIRKVAELLDMPLKSITTAWESGELISIGFTMLEVKDFIKAIFTDSPLRKECLWRIENVGL >Potri.019G011826.3.v4.1 pep chromosome:Pop_tri_v4:19:186902:194912:1 gene:Potri.019G011826.v4.1 transcript:Potri.019G011826.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011826.v4.1 MSRPNDPPFWEYVEKMDGGGMTCTFCGHFFSEGTSITRIKLHLARKKGRGVKICENVPPEVHDAACEAAVDNSPPKKKLKTVAGSSSNEAANAISASTQEQNNEVTHVEMAQQGGPFFTGELAWANDLIGAAEVVQLERGSSHEPRGDSSRPTDDQLCSPSVNNDANMNDVQNMVAVRIEPVPVQVLEQSNAELDSFAGHAGRIQVGVHGMEQGAEEERICPNSAENGMENTGDGSSQHSKRLIIDAHYNTGEATQGIDLAQQLEGKTWDQINAIATSLMGEEDVENNSGRSEQPGAGASSSGGVAGNTNKIKGDALPTRKMVGQAFEEHKKTISSLLMRNEVSSIGIYGMGGVGKTALVTHIHNQLLERRDTHVYWITVSQNTSIHRLQTSLAGRIGLDLSKVDEEVHRAVALNKELMKKQKWVLILDDLWKAFDLQKLGVPDQVEGCKLILTSRSAKVCQQMKTQHTIKVQPISEREAWTLFIERLGHDRELSPKVKRIAVEVVRECAGLPLGIITMAGSMRGVDEPHEWRNTLNKLKGSKYRDMEDDVFRLLRISYDQLDNDLALQQCLLYCALYPEDYQIEREELIGYLIDEGIIEEMRSRQAAFDEGHTMLDKLEKVCLLERACYGDHNTSVKMHDLIRDMAHQILQTNSPVMVGGYYDELPVDMWKENLVRVSLKHCYFKEIPSSHSPRCPNLSTLLLCDNGQLKFIEDSFFQHLHGLKVLDLSRTDIIELPGSVSELVSLTALLLEECENLRHVPSLEKLRALKRLDLSGTWALEKIPQDMQCLSNLRYLRMNGCGEMEFPSGILPILSHLQVFILEEIDDDFIPVTVTGEEVGCLRELENLVCHFEGQSDFVEYLNSRDKTRSLSTYSIFVGPLDEYCSEIADHGGSKTVWLGNLCNNGDGDFQVMFPNDIQELFIFKCSCDVSSLIEHSIELEVIHIEDCNSMESLISSSWFCPSPTPLSSYNGVFSGLKEFNCSGCSSMKKLFPLVLLPNLVNLENISVFGCEKMEEIIVGTRSDEESSSNSTEFKLPKLRYLALEDLPELKRICSAKLICDSLQQIEVRNCKSMESLVPSSWICLVNLERIIVTGCGKMEEIIGGTRADEESSNNTEFKLPKLRSLESVDLPELKRICSAKLICDSLREIEVRNCNSMEILVPSSWICLVNLERIIVAGCGKMDEIICGTRSDEEGDIGEESSNNNTEFKLPKLRSLLLFELPELKSICSAKLICDSLGTISIRNCENLKRMPICFPLLENGQPSPPPSLTYIYIEPKEWWESVVEWDHPNAKNILRPFVKFFG >Potri.019G011826.2.v4.1 pep chromosome:Pop_tri_v4:19:186902:197117:1 gene:Potri.019G011826.v4.1 transcript:Potri.019G011826.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011826.v4.1 MSRPNDPPFWEYVEKMDGGGMTCTFCGHFFSEGTSITRIKLHLARKKGRGVKICENVPPEVHDAACEAAVDNSPPKKKLKTVAGSSSNEAANAISASTQEQNNEVTHVEMAQQGGPFFTGELAWANDLIGAAEVVQLERGSSHEPRGDSSRPTDDQLCSPSVNNDANMNDVQNMVAVRIEPVPVQVLEQSNAELDSFAGHAGRIQVGVHGMEQGAEEERICPNSAENGMENTGDGSSQHSKRLIIDAHYNTGEATQGIDLAQQLEGKTWDQINAIATSLMGEEDVENNSGRSEQPGAGASSSGGVAGNTNKIKGDALPTRKMVGQAFEEHKKTISSLLMRNEVSSIGIYGMGGVGKTALVTHIHNQLLERRDTHVYWITVSQNTSIHRLQTSLAGRIGLDLSKVDEEVHRAVALNKELMKKQKWVLILDDLWKAFDLQKLGVPDQVEGCKLILTSRSAKVCQQMKTQHTIKVQPISEREAWTLFIERLGHDRELSPKVKRIAVEVVRECAGLPLGIITMAGSMRGVDEPHEWRNTLNKLKGSKYRDMEDDVFRLLRISYDQLDNDLALQQCLLYCALYPEDYQIEREELIGYLIDEGIIEEMRSRQAAFDEGHTMLDKLEKVCLLERACYGDHNTSVKMHDLIRDMAHQILQTNSPVMVGGYYDELPVDMWKENLVRVSLKHCYFKEIPSSHSPRCPNLSTLLLCDNGQLKFIEDSFFQHLHGLKVLDLSRTDIIELPGSVSELVSLTALLLEECENLRHVPSLEKLRALKRLDLSGTWALEKIPQDMQCLSNLRYLRMNGCGEMEFPSGILPILSHLQVFILEEIDDDFIPVTVTGEEVGCLRELENLVCHFEGQSDFVEYLNSRDKTRSLSTYSIFVGPLDEYCSEIADHGGSKTVWLGNLCNNGDGDFQVMFPNDIQELFIFKCSCDVSSLIEHSIELEVIHIEDCNSMESLISSSWFCPSPTPLSSYNGVFSGLKEFNCSGCSSMKKLFPLVLLPNLVNLENISVFGCEKMEEIIVGTRSDEESSSNSTEFKLPKLRYLALEDLPELKRICSAKLICDSLQQIEVRNCKSMESLVPSSWICLVNLERIIVTGCGKMEEIIGGTRADEESSNNTEFKLPKLRSLESVDLPELKRICSAKLICDSLREIEVRNCNSMEILVPSSWICLVNLERIIVAGCGKMDEIICGTRSDEEGDIGEESSNNNTEFKLPKLRSLLLFELPELKSICSAKLICDSLGTISIRNCENLKRMPICFPLLENGQPSPPPSLTYIYIEPKEWWESVVEWDHPNAKNILRPFVKFFG >Potri.019G011826.4.v4.1 pep chromosome:Pop_tri_v4:19:190231:194963:1 gene:Potri.019G011826.v4.1 transcript:Potri.019G011826.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011826.v4.1 MAQQGGPFFTGELAWANDLIGAAEVVQLERGSSHEPRGDSSRPTDDQLCSPSVNNDANMNDVQNMVAVRIEPVPVQVLEQSNAELDSFAGHAGRIQVGVHGMEQGAEEERICPNSAENGMENTGDGSSQHSKRLIIDAHYNTGEATQGIDLAQQLEGKTWDQINAIATSLMGEEDVENNSGRSEQPGAGASSSGGVAGNTNKIKGDALPTRKMVGQAFEEHKKTISSLLMRNEVSSIGIYGMGGVGKTALVTHIHNQLLERRDTHVYWITVSQNTSIHRLQTSLAGRIGLDLSKVDEEVHRAVALNKELMKKQKWVLILDDLWKAFDLQKLGVPDQVEGCKLILTSRSAKVCQQMKTQHTIKVQPISEREAWTLFIERLGHDRELSPKVKRIAVEVVRECAGLPLGIITMAGSMRGVDEPHEWRNTLNKLKGSKYRDMEDDVFRLLRISYDQLDNDLALQQCLLYCALYPEDYQIEREELIGYLIDEGIIEEMRSRQAAFDEGHTMLDKLEKVCLLERACYGDHNTSVKMHDLIRDMAHQILQTNSPVMVGGYYDELPVDMWKENLVRVSLKHCYFKEIPSSHSPRCPNLSTLLLCDNGQLKFIEDSFFQHLHGLKVLDLSRTDIIELPGSVSELVSLTALLLEECENLRHVPSLEKLRALKRLDLSGTWALEKIPQDMQCLSNLRYLRMNGCGEMEFPSGILPILSHLQVFILEEIDDDFIPVTVTGEEVGCLRELENLVCHFEGQSDFVEYLNSRDKTRSLSTYSIFVGPLDEYCSEIADHGGSKTVWLGNLCNNGDGDFQVMFPNDIQELFIFKCSCDVSSLIEHSIELEVIHIEDCNSMESLISSSWFCPSPTPLSSYNGVFSGLKEFNCSGCSSMKKLFPLVLLPNLVNLENISVFGCEKMEEIIVGTRSDEESSSNSTEFKLPKLRYLALEDLPELKRICSAKLICDSLQQIEVRNCKSMESLVPSSWICLVNLERIIVTGCGKMEEIIGGTRADEESSNNTEFKLPKLRSLESVDLPELKRICSAKLICDSLREIEVRNCNSMEILVPSSWICLVNLERIIVAGCGKMDEIICGTRSDEEGDIGEESSNNNTEFKLPKLRSLLLFELPELKSICSAKLICDSLGTISIRNCENLKRMPICFPLLENGQPSPPPSLTYIYIEPKEWWESVVEWDHPNAKNILRPFVKFFG >Potri.019G011826.1.v4.1 pep chromosome:Pop_tri_v4:19:186902:197392:1 gene:Potri.019G011826.v4.1 transcript:Potri.019G011826.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011826.v4.1 MSRPNDPPFWEYVEKMDGGGMTCTFCGHFFSEGTSITRIKLHLARKKGRGVKICENVPPEVHDAACEAAVDNSPPKKKLKTVAGSSSNEAANAISASTQEQNNEVTHVEMAQQGGPFFTGELAWANDLIGAAEVVQLERGSSHEPRGDSSRPTDDQLCSPSVNNDANMNDVQNMVAVRIEPVPVQVLEQSNAELDSFAGHAGRIQVGVHGMEQGAEEERICPNSAENGMENTGDGSSQHSKRLIIDAHYNTGEATQGIDLAQQLEGKTWDQINAIATSLMGEEDVENNSGRSEQPGAGASSSGGVAGNTNKIKGDALPTRKMVGQAFEEHKKTISSLLMRNEVSSIGIYGMGGVGKTALVTHIHNQLLERRDTHVYWITVSQNTSIHRLQTSLAGRIGLDLSKVDEEVHRAVALNKELMKKQKWVLILDDLWKAFDLQKLGVPDQVEGCKLILTSRSAKVCQQMKTQHTIKVQPISEREAWTLFIERLGHDRELSPKVKRIAVEVVRECAGLPLGIITMAGSMRGVDEPHEWRNTLNKLKGSKYRDMEDDVFRLLRISYDQLDNDLALQQCLLYCALYPEDYQIEREELIGYLIDEGIIEEMRSRQAAFDEGHTMLDKLEKVCLLERACYGDHNTSVKMHDLIRDMAHQILQTNSPVMVGGYYDELPVDMWKENLVRVSLKHCYFKEIPSSHSPRCPNLSTLLLCDNGQLKFIEDSFFQHLHGLKVLDLSRTDIIELPGSVSELVSLTALLLEECENLRHVPSLEKLRALKRLDLSGTWALEKIPQDMQCLSNLRYLRMNGCGEMEFPSGILPILSHLQVFILEEIDDDFIPVTVTGEEVGCLRELENLVCHFEGQSDFVEYLNSRDKTRSLSTYSIFVGPLDEYCSEIADHGGSKTVWLGNLCNNGDGDFQVMFPNDIQELFIFKCSCDVSSLIEHSIELEVIHIEDCNSMESLISSSWFCPSPTPLSSYNGVFSGLKEFNCSGCSSMKKLFPLVLLPNLVNLENISVFGCEKMEEIIVGTRSDEESSSNSTEFKLPKLRYLALEDLPELKRICSAKLICDSLQQIEVRNCKSMESLVPSSWICLVNLERIIVTGCGKMEEIIGGTRADEESSNNTEFKLPKLRSLESVDLPELKRICSAKLICDSLREIEVRNCNSMEILVPSSWICLVNLERIIVAGCGKMDEIICGTRSDEEGDIGEESSNNNTEFKLPKLRSLLLFELPELKSICSAKLICDSLGTISIRNCENLKRMPICFPLLENGQPSPPPSLTYIYIEPKEWWESVVEWDHPNAKNILRPFVKFFG >Potri.009G072500.1.v4.1 pep chromosome:Pop_tri_v4:9:7097517:7099075:-1 gene:Potri.009G072500.v4.1 transcript:Potri.009G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM5 MANTNPSQLLPSELIDRCIGSKIWVIMKGDKELVGTLRGFDVYVNMVLEDVTEYEITAEGRRITKLDQILLNGNNIAILVPGGSPDPE >Potri.010G158800.1.v4.1 pep chromosome:Pop_tri_v4:10:16520238:16523955:1 gene:Potri.010G158800.v4.1 transcript:Potri.010G158800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158800.v4.1 MLTLTASPALVPTKRRAHVKLFTVQGSNFPSFLPKEVENIKDPFARRLATRIERLPVSVGFADRSIMSSCVKPLIQSEGSPVVLLHGFDSSCLEWRYTFPLLEEAGLETWAVDVLGWGFSDLERLPSCDVASKRDHLYQLWKSYIRRPIILIGPSLGAAVAIDFAVNHPEAVEKLVLIDASVYAEDTGNLAKLPRAIAYAGVYLLKSTPLRLYANLIAFNSLPLNTSIDWMNVGRLHCLYPWWEDATVNFMNTGGYNVSAQIKKVKQKTLIIWGEDDQIISNKLAVRLHCELPDAVIRQIPDCGHLPHVEKPNSVAKLILDFVGEDSNKEAQSVSQV >Potri.010G158800.10.v4.1 pep chromosome:Pop_tri_v4:10:16520239:16523415:1 gene:Potri.010G158800.v4.1 transcript:Potri.010G158800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158800.v4.1 MLTLTASPALVPTKRRAHVKLFTVQGSNFPSFLPKEVENIKDPFARRLATRIERLPVGFADRSIMSSCVKPLIQSEGSPVVLLHGFDSSCLEWRYTFPLLEEAGLETWAVDVLGWGFSDLERLPSCDVASKRDHLYQLWKSYIRRPIILIGPSLGAAVAIDFAVNHPEAVEKLVLIDASVYAEDTGNLAKLPRAIAYAGVYLLKSTPLRLYANLIAFNSLPLNTSIDWMNVGRLHCLYPWWEDATVNFMNTGGYNVSAQIKKVKQKTLIIWGEDDQIISNKLAVVSTC >Potri.010G158800.4.v4.1 pep chromosome:Pop_tri_v4:10:16520241:16524249:1 gene:Potri.010G158800.v4.1 transcript:Potri.010G158800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158800.v4.1 MLTLTASPALVPTKRRAHVKLFTVQGSNFPSFLPKEVENIKDPFARRLATRIERLPVGFADRSIMSSCVKPLIQSEGSPVVLLHGFDSSCLEWRYTFPLLEEAGLETWAVDVLGWGFSDLERLPSCDVASKRDHLYQLWKSYIRRPIILIGPSLGAAVAIDFAVNHPEAVEKLVLIDASVYAEDTGNLAKLPRAIAYAGVYLLKSTPLRLYANLIAFNSLPLNTSIDWMNVGRLHCLYPWWEDATVNFMNTGGYNVSAQIKKVKQKTLIIWGEDDQIISNKLAVRLHCELPDAVIRQIPDCGHLPHVEKPNSVAKLILDFVGEDSNKEAQSVSQV >Potri.010G158800.11.v4.1 pep chromosome:Pop_tri_v4:10:16520241:16524164:1 gene:Potri.010G158800.v4.1 transcript:Potri.010G158800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158800.v4.1 MQVGFADRSIMSSCVKPLIQSEGSPVVLLHGFDSSCLEWRYTFPLLEEAGLETWAVDVLGWGFSDLERLPSCDVASKRDHLYQLWKSYIRRPIILIGPSLGAAVAIDFAVNHPEAVEKLVLIDASVYAEDTGNLAKLPRAIAYAGVYLLKSTPLRLYANLIAFNSLPLNTSIDWMNVGRLHCLYPWWEDATVNFMNTGGYNVSAQIKKVKQKTLIIWGEDDQIISNKLAVRLHCELPDAVIRQIPDCGHLPHVEKPNSVAKLILDFVGEDSNKEAQSVSQV >Potri.002G197500.1.v4.1 pep chromosome:Pop_tri_v4:2:16031698:16036446:1 gene:Potri.002G197500.v4.1 transcript:Potri.002G197500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G197500.v4.1 MAGDTEPDSQETQRDDFAFDWDQTTQLYFHASSGFYHDPSAGWFYSSRDGLYYKFENGSYVLLECSDKVNNECERETFVDNPLQDESFTHVEGNVYENLYSFPPANDSESFQHRGVVVDESNLGYTECSSIQEPENPPAPSEWLEETLINIFLSGSNRAIDASDDPVIALKMDVNNDNCKPEEGDPITEGHHGLADPDASRDEENWRAQYGQVVHSREEPVPDFHAVDLWDWEMVKCSRKVGKGQEARLAGQLVKRSAKSHPSMPSSCIRFRTAPICEVHLDLVRVRTGQVYKLHSPSTRYLASMSTYDSSNPTKGWDFPELSITMQDSPLIKSSGKGESRSADGDADSKCTTVLSNQLSASKMQASHAYRDRAAERRNLHGGFGVGPGQKSSLFGDVGGSSSAVSTSTEEAAAEALSMSFGAGSYARKILENMGWKEGEALGNTTKGLVEPIKAVGNMGNAGLGWPQGRMKLP >Potri.006G017500.1.v4.1 pep chromosome:Pop_tri_v4:6:1100334:1101744:1 gene:Potri.006G017500.v4.1 transcript:Potri.006G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017500.v4.1 MKTMRSKFHLLGCTNLTHPTSNSPAFIPIRAKPHLQHPTYPSVILAKTAAGTSRKTLACPTRNYAATKRIDKSAVLLEFTPIKQELPKNEHSRFLVLGAVSVGIVWFLMAMDDQKALALGPEGPLMEEFWENVRRYALYALTVSTGALYTIFEPIGELLKNPITAVLILLIFGGSFYVLSQVLSVMLGVSEFNYDYSY >Potri.015G094800.2.v4.1 pep chromosome:Pop_tri_v4:15:11690328:11693057:-1 gene:Potri.015G094800.v4.1 transcript:Potri.015G094800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094800.v4.1 MTTTTATGSLTSGGGGEGGGADDRVMATAQQIVNSLNTTKNVREDMLLILSSFDNRLSNISDLIKTVSSSQSSVLDAAEKIILRSDSGISSTVSCDESPKETRDYLSAVDEILDLLDNLSVEPDLEVLDRAETAVQVAMSRLEDEFRHILIRNTVPLDAQSLYGSIRRVSLSFTANEGEIDEDFASFGEVETESVCFHERGASLGDDLCVDLINSEAVVELKEIADRMIRSGYEKECVQVYSSVRREALDECLASLGVEKLSIEEVQKIEWKSLDEKMKKWVQAVKIGVRLLLSGERRLCDVIFNGSDSAREVCFNEIAKGCLMQLLNFAEAVSIVRRSSEKLFRILDMYDALSNVFPDLEAMAMDRFVYGEAKGVLDGLGGAARGTFVEFENAVKSETSRKPMLGGEIHPLTRYVMNYVKLLVDYGDTLNFLLENDDDDELNGLQNDDGERLQLESMAPITRRLLALLSTLESNLEEKSRLYEDGAMQYIFLMNNILYMVQKVKDSELIKILGDQWVRKHRGQIRQYATAYLRAAWSKALSCLKDEGIGGSSNNASKVALKERFKSFNACFEEIYRIQTGWKVPDPQLREELRISISEKVLPAYRSFMGRFGSQLESGRHAGKYIKYTLDDLENYLVDLFEGTPLVLHHLRRKSS >Potri.001G350200.16.v4.1 pep chromosome:Pop_tri_v4:1:36248494:36261701:1 gene:Potri.001G350200.v4.1 transcript:Potri.001G350200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350200.v4.1 MKRMRDDIFSAPAPAPAPAPEFKRPLTSTRGESYGQTQIPGGGGGGGSGNSQKLTTTDALQYLKEVKDMFQDQKEKYDMFLEVMKDFKAQRTDTSGVIVRVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAAPPKKTVEFNQAINFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYSEVSALFEDHHDLLDEFARFLPDTSATPITHTVPYARNSNQHYNERNSTAPSARQTQIDKRRRDKVSSSHAERDLSVDRPEMEDDKGMVKVHKEQRKRADKENWDRRIHDQDDREPEHDSSRDFSLQPFLEKRNSSQKVEGFGNSNFACYDDKDNIKSIYNQEFVFFEKVKEKLGNGDDYQAFLKCLNIYNQGIIKKNELQNLVTDLLGKYPDLMEEFIDHLECHGHIDGFLAGVTSKKSLGNDGQASRSLKLEDKEKEQKQIDGAKEKERCREKYMAKSIQELDLSNCERCTPSYRFLPDDYPISSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQFEESLFRCEDDRFELDMLLESVSSTTKRAEELFNGINENKVETSIHIEDHFTALNLRCIERLYGDHGLDVMEILRKNRSLALPVILTRLKQKQEEWTRCRTDFNKVWAEIYVKNHYKSLDHRSFYFKQQESKNLSTKSLVVEIKELKEKQQREDGVLLAFATGKRQPLVPNLKYNYPDKKIHEDLYKLVQYSCKEVCSTKEQLNKVIRLWTNFVEPMLGIVSHPDGSESCEGEGKPKHPLMNCTSSSIAEKDGSPNAVPAISTFKQAKSPSNGDENMLQELGNLCKLSLKSSDKLAKEDSLCELDHVGKEDGALNVLRPEREQKDKVVTDRVSGFNIQGVADTKTSFMIGSECGHERNSAGEIAGSNVSVPGGDAIDRQLNAGIDAGPSSEGVIVVKSVLPANEGVRDGAKNDRCHEESTGPSKIEKEEGELSPNGDFEEDNFDAYGDTGLQAIATGKNSIGCMRHGSGNDEDLHTQDIVEGHDADDEDSGNVSEARDAASSSESAGDECSREELEDDEVERDDAKAESEGEAEGMVDTQYNGGDAPFPEHSLMSVKPLAKHVPTDLVNEKRRDSWVFYGNDDFYVLFRLHQILYDRILSARVNSSGAEIKWRTSKDASSPDPYARFMSALYSLLDGSVDNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDEMASKLLQLYEYEISRKSESFNDLVYYDNMRFFLHEENIYRLEFSSAPSVLSTQLMDNATEKSEVLAVCMDPTFSAYLHNDYLSVHPIKMESHDITLLRNKRKYAGLDEFSALSMAMEGVKMFNGLECKVACNSCKISYVLDTEDFFFRTRRKRRNSPQGRSLYHDKVQARVQRFRRFLSA >Potri.001G350200.17.v4.1 pep chromosome:Pop_tri_v4:1:36248514:36261701:1 gene:Potri.001G350200.v4.1 transcript:Potri.001G350200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350200.v4.1 MKRMRDDIFSAPAPAPAPAPEFKRPLTSTRGESYGQTQIPGGGGGGGSGNSQKLTTTDALQYLKEVKDMFQDQKEKYDMFLEVMKDFKAQRTDTSGVIVRVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAAPPKKTVEFNQAINFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYSEVSALFEDHHDLLDEFARFLPDTSATPITHTVPYARNSNQHYNERNSTAPSARQTQIDKRRRDKVSSSHAERDLSVDRPEMEDDKGMVKVHKEQRKRADKENWDRRIHDQDDREPEHDSSRDFSLQPFLEKRNSSQKVEGFGNSNFACYDDKDNIKSIYNQEFVFFEKVKEKLGNGDDYQAFLKCLNIYNQGIIKKNELQNLVTDLLGKYPDLMEEFIDHLECHGHIDGFLAGVTSKKSLGNDGQASRSLKLEDKEKEQKQIDGAKEKERCREKYMAKSIQELDLSNCERCTPSYRFLPDDYPISSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQFEESLFRCEDDRFELDMLLESVSSTTKRAEELFNGINENKVETSIHIEDHFTALNLRCIERLYGDHGLDVMEILRKNRSLALPVILTRLKQKQEEWTRCRTDFNKVWAEIYVKNHYKSLDHRSFYFKQQESKNLSTKSLVVEIKELKEKQQREDGVLLAFATGKRQPLVPNLKYNYPDKKIHEDLYKLVQYSCKEVCSTKEQLNKVIRLWTNFVEPMLGIVSHPDGSESCEGEGKPKHPLMNCTSSSIAEKDGSPNAVPAISTFKQAKSPSNGDENMLQELGNLCKLSLKSSDKLAKEDSLCELDHVGKEDGALNVLRPEREQKDKVVTDRVSGFNIQGVADTKTSFMIGSECGHERNSAGEIAGSNVSVPGGDAIDRQLNAGIDAGPSSEGVIVVKSVLPANEGVRDGAKNDRCHEESTGPSKIEKEEGELSPNGDFEEDNFDAYGDTGLQAIATGKNSIGCMRHGSGNDEDLHTQDIVEGHDADDEDSGNVSEARDAASSSESAGDECSREELEDDEVERDDAKAESEGEAEGMVDTQYNGGDAPFPEHSLMSVKPLAKHVPTDLVNEKRRDSWVFYGNDDFYVLFRLHQILYDRILSARVNSSGAEIKWRTSKDASSPDPYARFMSALYSLLDGSVDNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDEMASKLLQLYEYEISRKSESFNDLVYYDNMRFFLHEENIYRLEFSSAPSVLSTQLMDNATEKSEVLAVCMDPTFSAYLHNDYLSVHPIKMESHDITLLRNKRKYAGLDEFSALSMAMEGVKMFNGLECKVACNSCKISYVLDTEDFFFRTRRKRRNSPQGRSLYHDKVQARVQRFRRFLSA >Potri.001G350200.12.v4.1 pep chromosome:Pop_tri_v4:1:36248549:36261700:1 gene:Potri.001G350200.v4.1 transcript:Potri.001G350200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350200.v4.1 MKRMRDDIFSAPAPAPAPAPEFKRPLTSTRGESYGQTQIPGGGGGGGSGNSQKLTTTDALQYLKEVKDMFQDQKEKYDMFLEVMKDFKAQRTDTSGVIVRVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAAPPKKTVEFNQAINFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYSEVSALFEDHHDLLDEFARFLPDTSATPITHTVPYARNSNQHYNERNSTAPSARQTQIDKQRRRDKVSSSHAERDLSVDRPEMEDDKGMVKVHKEQRKRADKENWDRRIHDQDDREPEHDSSRDFSLQPFLEKRNSSQKVEGFGNSNFACYDDKDNIKSIYNQEFVFFEKVKEKLGNGDDYQAFLKCLNIYNQGIIKKNELQNLVTDLLGKYPDLMEEFIDHLECHGHIDGFLAGVTSKKSLGNDGQASRSLKLEDKEKEQKQIDGAKEKERCREKYMAKSIQELDLSNCERCTPSYRFLPDDYPISSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQFEESLFRCEDDRFELDMLLESVSSTTKRAEELFNGINENKVETSIHIEDHFTALNLRCIERLYGDHGLDVMEILRKNRSLALPVILTRLKQKQEEWTRCRTDFNKVWAEIYVKNHYKSLDHRSFYFKQQESKNLSTKSLVVEIKELKEKQQREDGVLLAFATGKRQPLVPNLKYNYPDKKIHEDLYKLVQYSCKEVCSTKEQLNKVIRLWTNFVEPMLGIVSHPDGSESCEGEGKPKHPLMNCTSSSIAEKDGSPNAVPAISTFKQAKSPSNGDENMLQELGNLCKLSLKSSDKLAKEDSLCELDHVGKEDGALNVLRPEREQKDKVVTDRVSGFNIQGVADTKTSFMIGSECGHERNSAGEIAGSGSNVSVPGGDAIDRQLNAGIDAGPSSEGVIVVKSVLPANEGVRDGAKNDRCHEESTGPSKIEKEEGELSPNGDFEEDNFDAYGDTGLQAIATGKNSIGCMRHGSGNDEDLHTQDIVEGHDADDEDSGNVSEARDAASSSESAGDECSREELEDDEVERDDAKAESEGEAEGMVDTQYNGGDAPFPEHSLMSVKPLAKHVPTDLVNEKRRDSWVFYGNDDFYVLFRLHQILYDRILSARVNSSGAEIKWRTSKDASSPDPYARFMSALYSLLDGSVDNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDEMASKLLQLYEYEISRKSESFNDLVYYDNMRFFLHEENIYRLEFSSAPSVLSTQLMDNATEKSEVLAVCMDPTFSAYLHNDYLSVHPIKMESHDITLLRNKRKYAGLDEFSALSMAMEGVKMFNGLECKVACNSCKISYVLDTEDFFFRTRRKRRNSPQGRSLYHDKVQARVQRFRRFLSA >Potri.001G350200.8.v4.1 pep chromosome:Pop_tri_v4:1:36248516:36261701:1 gene:Potri.001G350200.v4.1 transcript:Potri.001G350200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350200.v4.1 MKRMRDDIFSAPAPAPAPAPEFKRPLTSTRGESYGQTQIPGGGGGGGSGNSQKLTTTDALQYLKEVKDMFQDQKEKYDMFLEVMKDFKAQRTDTSGVIVRVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAAPPKKTVEFNQAINFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYSEVSALFEDHHDLLDEFARFLPDTSATPITHTVPYARNSNQHYNERNSTAPSARQTQIDKRRRDKVSSSHAERDLSVDRPEMEDDKGMVKVHKEQRKRADKENWDRRIHDQDDREPEHDSSRDFSLQPFLEKRNSSQKVEGFGNSNFACYDDKDNIKSIYNQEFVFFEKVKEKLGNGDDYQAFLKCLNIYNQGIIKKNELQNLVTDLLGKYPDLMEEFIDHLECHGHIDGFLAGVTSKKSLGNDGQASRSLKLEDKEKEQKQIDGAKEKERCREKYMAKSIQELDLSNCERCTPSYRFLPDDYPISSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQFEESLFRCEDDRFELDMLLESVSSTTKRAEELFNGINENKVETSIHIEDHFTALNLRCIERLYGDHGLDVMEILRKNRSLALPVILTRLKQKQEEWTRCRTDFNKVWAEIYVKNHYKSLDHRSFYFKQQESKNLSTKSLVVEIKELKEKQQREDGVLLAFATGKRQPLVPNLKYNYPDKKIHEDLYKLVQYSCKEVCSTKEQLNKVIRLWTNFVEPMLGIVSHPDGSESCEGEGKPKHPLMNCTSSSIAEKDGSPNAVPAISTFKQAKSPSNGDENMLQELGNLCKLSLKSSDKLAKEDSLCELDHVGKEDGALNVLRPEREQKDKVVTDRVSGFNIQGVADTKTSFMIGSECGHERNSAGEIAGSGSNVSVPGGDAIDRQLNAGIDAGPSSEGVIVVKSVLPANEGVRDGAKNDRCHEESTGPSKIEKEEGELSPNGDFEEDNFDAYGDTGLQAIATGKNSIGCMRHGSGNDEDLHTQDIVEGHDADDEDSGNVSEARDAASSSESAGDECSREELEDDEVERDDAKAESEGEAEGMVDTQYNGGDAPFPEHSLMSVKPLAKHVPTDLVNEKRRDSWVFYGNDDFYVLFRLHQILYDRILSARVNSSGAEIKWRTSKDASSPDPYARFMSALYSLLDGSVDNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDEMASKLLQLYEYEISRKSESFNDLVYYDNMRFFLHEENIYRLEFSSAPSVLSTQLMDNATEKSEVLAVCMDPTFSAYLHNDYLSVHPIKMESHDITLLRNKRKYAGLDEFSALSMAMEGVKMFNGLECKVACNSCKISYVLDTEDFFFRTRRKRRNSPQGRSLYHDKVQARVQRFRRFLSA >Potri.001G350200.15.v4.1 pep chromosome:Pop_tri_v4:1:36248494:36261662:1 gene:Potri.001G350200.v4.1 transcript:Potri.001G350200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350200.v4.1 MKRMRDDIFSAPAPAPAPAPEFKRPLTSTRGESYGQTQIPGGGGGGGSGNSQKLTTTDALQYLKEVKDMFQDQKEKYDMFLEVMKDFKAQRTDTSGVIVRVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAAPPKKTVEFNQAINFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYSEVSALFEDHHDLLDEFARFLPDTSATPITHTVPYARNSNQHYNERNSTAPSARQTQIDKQRRRDKVSSSHAERDLSVDRPEMEDDKGMVKVHKEQRKRADKENWDRRIHDQDDREPEHDSSRDFSLQPFLEKRNSSQKVEGFGNSNFACYDDKDNIKSIYNQEFVFFEKVKEKLGNGDDYQAFLKCLNIYNQGIIKKNELQNLVTDLLGKYPDLMEEFIDHLECHGHIDGFLAGVTSKKSLGNDGQASRSLKLEDKEKEQKQIDGAKEKERCREKYMAKSIQELDLSNCERCTPSYRFLPDDYPISSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQFEESLFRCEDDRFELDMLLESVSSTTKRAEELFNGINENKVETSIHIEDHFTALNLRCIERLYGDHGLDVMEILRKNRSLALPVILTRLKQKQEEWTRCRTDFNKVWAEIYVKNHYKSLDHRSFYFKQQESKNLSTKSLVVEIKELKEKQQREDGVLLAFATGKRQPLVPNLKYNYPDKKIHEDLYKLVQYSCKEVCSTKEQLNKVIRLWTNFVEPMLGIVSHPDGSESCEGEGKPKHPLMNCTSSSIAEKDGSPNAVPAISTFKQAKSPSNGDENMLQELGNLCKLSLKSSDKLAKEDSLCELDHVGKEDGALNVLRPEREQKDKVVTDRVSGFNIQGVADTKTSFMIGSECGHERNSAGEIAGSNVSVPGGDAIDRQLNAGIDAGPSSEGVIVVKSVLPANEGVRDGAKNDRCHEESTGPSKIEKEEGELSPNGDFEEDNFDAYGDTGLQAIATGKNSIGCMRHGSGNDEDLHTQDIVEGHDADDEDSGNVSEARDAASSSESAGDECSREELEDDEVERDDAKAESEGEAEGMVDTQYNGGDAPFPEHSLMSVKPLAKHVPTDLVNEKRRDSWVFYGNDDFYVLFRLHQILYDRILSARVNSSGAEIKWRTSKDASSPDPYARFMSALYSLLDGSVDNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDEMASKLLQLYEYEISRKSESFNDLVYYDNMRFFLHEENIYRLEFSSAPSVLSTQLMDNATEKSEVLAVCMDPTFSAYLHNDYLSVHPIKMESHDITLLRNKRKYAGLDEFSALSMAMEGVKMFNGLECKVACNSCKISYVLDTEDFFFRTRRKRRNSPQGRSLYHDKVQARVQRFRRFLSA >Potri.001G350200.14.v4.1 pep chromosome:Pop_tri_v4:1:36248514:36261664:1 gene:Potri.001G350200.v4.1 transcript:Potri.001G350200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350200.v4.1 MKRMRDDIFSAPAPAPAPAPEFKRPLTSTRGESYGQTQIPGGGGGGGSGNSQKLTTTDALQYLKEVKDMFQDQKEKYDMFLEVMKDFKAQRTDTSGVIVRVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAAPPKKTVEFNQAINFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYSEVSALFEDHHDLLDEFARFLPDTSATPITHTVPYARNSNQHYNERNSTAPSARQTQIDKRRRDKVSSSHAERDLSVDRPEMEDDKGMVKVHKEQRKRADKENWDRRIHDQDDREPEHDSSRDFSLQPFLEKRNSSQKVEGFGNSNFACYDDKDNIKSIYNQEFVFFEKVKEKLGNGDDYQAFLKCLNIYNQGIIKKNELQNLVTDLLGKYPDLMEEFIDHLECHGHIDGFLAGVTSKKSLGNDGQASRSLKLEDKEKEQKQIDGAKEKERCREKYMAKSIQELDLSNCERCTPSYRFLPDDYPISSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQFEESLFRCEDDRFELDMLLESVSSTTKRAEELFNGINENKVETSIHIEDHFTALNLRCIERLYGDHGLDVMEILRKNRSLALPVILTRLKQKQEEWTRCRTDFNKVWAEIYVKNHYKSLDHRSFYFKQQESKNLSTKSLVVEIKELKEKQQREDGVLLAFATGKRQPLVPNLKYNYPDKKIHEDLYKLVQYSCKEVCSTKEQLNKVIRLWTNFVEPMLGIVSHPDGSESCEGEGKPKHPLMNCTSSSIAEKDGSPNAVPAISTFKQAKSPSNGDENMLQELGNLCKLSLKSSDKLAKEDSLCELDHVGKEDGALNVLRPEREQKDKVVTDRVSGFNIQGVADTKTSFMIGSECGHERNSAGEIAGSGSNVSVPGGDAIDRQLNAGIDAGPSSEGVIVVKSVLPANEGVRDGAKNDRCHEESTGPSKIEKEEGELSPNGDFEEDNFDAYGDTGLQAIATGKNSIGCMRHGSGNDEDLHTQDIVEGHDADDEDSGNVSEARDAASSSESAGDECSREELEDDEVERDDAKAESEGEAEGMVDTQYNGGDAPFPEHSLMSVKPLAKHVPTDLVNEKRRDSWVFYGNDDFYVLFRLHQILYDRILSARVNSSGAEIKWRTSKDASSPDPYARFMSALYSLLDGSVDNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDEMASKLLQLYEYEISRKSESFNDLVYYDNMRFFLHEENIYRLEFSSAPSVLSTQLMDNATEKSEVLAVCMDPTFSAYLHNDYLSVHPIKMESHDITLLRNKRKYAGLDEFSALSMAMEGVKMFNGLECKVACNSCKISYVLDTEDFFFRTRRKRRNSPQGRSLYHDKVQARVQRFRRFLSA >Potri.001G350200.10.v4.1 pep chromosome:Pop_tri_v4:1:36248564:36261666:1 gene:Potri.001G350200.v4.1 transcript:Potri.001G350200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350200.v4.1 MKRMRDDIFSAPAPAPAPAPEFKRPLTSTRGESYGQTQIPGGGGGGGSGNSQKLTTTDALQYLKEVKDMFQDQKEKYDMFLEVMKDFKAQRTDTSGVIVRVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAAPPKKTVEFNQAINFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYSEVSALFEDHHDLLDEFARFLPDTSATPITHTVPYARNSNQHYNERNSTAPSARQTQIDKQRRRDKVSSSHAERDLSVDRPEMEDDKGMVKVHKEQRKRADKENWDRRIHDQDDREPEHDSSRDFSLQPFLEKRNSSQKVEGFGNSNFACYDDKDNIKSIYNQEFVFFEKVKEKLGNGDDYQAFLKCLNIYNQGIIKKNELQNLVTDLLGKYPDLMEEFIDHLECHGHIDGFLAGVTSKKSLGNDGQASRSLKLEDKEKEQKQIDGAKEKERCREKYMAKSIQELDLSNCERCTPSYRFLPDDYPISSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQFEESLFRCEDDRFELDMLLESVSSTTKRAEELFNGINENKVETSIHIEDHFTALNLRCIERLYGDHGLDVMEILRKNRSLALPVILTRLKQKQEEWTRCRTDFNKVWAEIYVKNHYKSLDHRSFYFKQQESKNLSTKSLVVEIKELKEKQQREDGVLLAFATGKRQPLVPNLKYNYPDKKIHEDLYKLVQYSCKEVCSTKEQLNKVIRLWTNFVEPMLGIVSHPDGSESCEGEGKPKHPLMNCTSSSIAEKDGSPNAVPAISTFKQAKSPSNGDENMLQELGNLCKLSLKSSDKLAKEDSLCELDHVGKEDGALNVLRPEREQKDKVVTDRVSGFNIQGVADTKTSFMIGSECGHERNSAGEIAGSNVSVPGGDAIDRQLNAGIDAGPSSEGVIVVKSVLPANEGVRDGAKNDRCHEESTGPSKIEKEEGELSPNGDFEEDNFDAYGDTGLQAIATGKNSIGCMRHGSGNDEDLHTQDIVEGHDADDEDSGNVSEARDAASSSESAGDECSREELEDDEVERDDAKAESEGEAEGMVDTQYNGGDAPFPEHSLMSVKPLAKHVPTDLVNEKRRDSWVFYGNDDFYVLFRLHQILYDRILSARVNSSGAEIKWRTSKDASSPDPYARFMSALYSLLDGSVDNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDEMASKLLQLYEYEISRKSESFNDLVYYDNMRFFLHEENIYRLEFSSAPSVLSTQLMDNATEKSEVLAVCMDPTFSAYLHNDYLSVHPIKMESHDITLLRNKRKYAGLDEFSALSMAMEGVKMFNGLECKVACNSCKISYVLDTEDFFFRTRRKRRNSPQGRSLYHDKVQARVQRFRRFLSA >Potri.001G350200.13.v4.1 pep chromosome:Pop_tri_v4:1:36248494:36261702:1 gene:Potri.001G350200.v4.1 transcript:Potri.001G350200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350200.v4.1 MKRMRDDIFSAPAPAPAPAPEFKRPLTSTRGESYGQTQIPGGGGGGGSGNSQKLTTTDALQYLKEVKDMFQDQKEKYDMFLEVMKDFKAQRTDTSGVIVRVKELFKGHNNLIFGFNTFLPKGYEITLDEDEAAPPKKTVEFNQAINFVNKIKKRFQNDERVYKSFLDILNMYRKEHKDINEVYSEVSALFEDHHDLLDEFARFLPDTSATPITHTVPYARNSNQHYNERNSTAPSARQTQIDKQRRRDKVSSSHAERDLSVDRPEMEDDKGMVKVHKEQRKRADKENWDRRIHDQDDREPEHDSSRDFSLQPFLEKRNSSQKVEGFGNSNFACYDDKDNIKSIYNQEFVFFEKVKEKLGNGDDYQAFLKCLNIYNQGIIKKNELQNLVTDLLGKYPDLMEEFIDHLECHGHIDGFLAGVTSKKSLGNDGQASRSLKLEDKEKEQKQIDGAKEKERCREKYMAKSIQELDLSNCERCTPSYRFLPDDYPISSASQRSELGAQVLNDHWVSVTSGSEDYSFKHMRRNQFEESLFRCEDDRFELDMLLESVSSTTKRAEELFNGINENKVETSIHIEDHFTALNLRCIERLYGDHGLDVMEILRKNRSLALPVILTRLKQKQEEWTRCRTDFNKVWAEIYVKNHYKSLDHRSFYFKQQESKNLSTKSLVVEIKELKEKQQREDGVLLAFATGKRQPLVPNLKYNYPDKKIHEDLYKLVQYSCKEVCSTKEQLNKVIRLWTNFVEPMLGIVSHPDGSESCEGEGKPKHPLMNCTSSSIAEKDGSPNAVPAISTFKQAKSPSNGDENMLQELGNLCKLSLKSSDKLAKEDSLCELDHVGKEDGALNVLRPEREQKDKVVTDRVSGFNIQGVADTKTSFMIGSECGHERNSAGEIAGSGSNVSVPGGDAIDRQLNAGIDAGPSSEGVIVVKSVLPANEGVRDGAKNDRCHEESTGPSKIEKEEGELSPNGDFEEDNFDAYGDTGLQAIATGKNSIGCMRHGSGNDEDLHTQDIVEGHDADDEDSGNVSEARDAASSSESAGDECSREELEDDEVERDDAKAESEGEAEGMVDTQYNGGDAPFPEHSLMSVKPLAKHVPTDLVNEKRRDSWVFYGNDDFYVLFRLHQILYDRILSARVNSSGAEIKWRTSKDASSPDPYARFMSALYSLLDGSVDNAKFEDECRAIIGNQSYVLFTLDKLIYKLVKQLQTVATDEMASKLLQLYEYEISRKSESFNDLVYYDNMRFFLHEENIYRLEFSSAPSVLSTQLMDNATEKSEVLAVCMDPTFSAYLHNDYLSVHPIKMESHDITLLRNKRKYAGLDEFSALSMAMEGVKMFNGLECKVACNSCKISYVLDTEDFFFRTRRKRRNSPQGRSLYHDKVQARVQRFRRFLSA >Potri.010G062440.1.v4.1 pep chromosome:Pop_tri_v4:10:9180742:9181711:1 gene:Potri.010G062440.v4.1 transcript:Potri.010G062440.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062440.v4.1 MAAPCEHMNGGRIWPRRTGQARPSMGLFSEFSSGMCCPGKLIIRFCHQHLVQVLKTEGIVLRQQPLLVQ >Potri.009G051000.2.v4.1 pep chromosome:Pop_tri_v4:9:5599152:5602974:1 gene:Potri.009G051000.v4.1 transcript:Potri.009G051000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051000.v4.1 MANTEAEAVDFEPEEDDLMDEDGAVDVDASSSPRAPLPKLKSAITGGSASPSLSAPKKTKGRGFREEVDTERQSRFSGRGFDSLGSDGGPGPQRSVEGWIILVSGVHEEAQDDHLQEAFGEFGEIKNLHLNLDRRTGFVKGYALIEYENFEQAENAIASMNGGTLLEQVINVDWAFSNGPSNWALKRKNMRPGRTHRSRSPRRRY >Potri.017G135600.2.v4.1 pep chromosome:Pop_tri_v4:17:13722053:13722772:-1 gene:Potri.017G135600.v4.1 transcript:Potri.017G135600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135600.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.017G135600.5.v4.1 pep chromosome:Pop_tri_v4:17:13722062:13722864:-1 gene:Potri.017G135600.v4.1 transcript:Potri.017G135600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135600.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.017G135600.4.v4.1 pep chromosome:Pop_tri_v4:17:13722077:13723899:-1 gene:Potri.017G135600.v4.1 transcript:Potri.017G135600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G135600.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKTKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGF >Potri.007G033600.2.v4.1 pep chromosome:Pop_tri_v4:7:2635467:2635937:-1 gene:Potri.007G033600.v4.1 transcript:Potri.007G033600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033600.v4.1 MLVFCVLQLFVIGRHFYVSFLVTVMGLVIPWSLVLVLADAYSVFVKSLDLQRRNLLMIIIGDLVLSFLSLAAACSTASVADLLLDVGKSFCPEKLCSRYQLSAAMAFLSWFLSFSSSLFNLWLLPAL >Potri.007G033600.1.v4.1 pep chromosome:Pop_tri_v4:7:2635298:2637859:-1 gene:Potri.007G033600.v4.1 transcript:Potri.007G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G033600.v4.1 MEGQVPGAMGSSGSLALRFGQTCFSCASLLLMCLDVEFYSYTTFCFLVTVMGLVIPWSLVLVLADAYSVFVKSLDLQRRNLLMIIIGDLVLSFLSLAAACSTASVADLLLDVGKSFCPEKLCSRYQLSAAMAFLSWFLSFSSSLFNLWLLPAL >Potri.008G177200.1.v4.1 pep chromosome:Pop_tri_v4:8:12251081:12252848:-1 gene:Potri.008G177200.v4.1 transcript:Potri.008G177200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177200.v4.1 MYSLPSMPSTTSVLSTYTAFAASAMLVRSVFNEVQAVINQLIPQKLQERISSSLGRLFGDDSSRLTLIVNEYNGFSINEMYEASEVYLSTRVTRSIGQLKVFKDPGNKGLSVTINKGQQIIDTFEGIELAWEFASTETQQTVVDVETWSQSSEKTEHKTILLSFHKNHNEKVLNTFLPYVLERSKAIKNENRVLKLQALGNYQGVSLSHPSTFDTLAMDPVLKKEIMDDLDRFVKRKDFYLRVGKPWKRGYLLYGPPGTGKSSLIAAMANYLKFDIYDLELASLRGNSNLRSLLTSTTNRSIIVIEDIDCSIELQDRQHGAYIQGESQQLTLSGLLNFVDGLWSSCGDERIIVFTTNYKDKLDPALLRPGRMDMHIHMSYCTPCGFKILASNYLNVKNHSLFSQIEELIMEVEVTPAEVAEELMKNEDVDTALTGIIGFLERKKGMKRKQSGVEEQKVGDENQEENDKKNESQEMEEKCEKRKVKRNKRKMARRAKGRKQ >Potri.011G111300.1.v4.1 pep chromosome:Pop_tri_v4:11:14127413:14128412:1 gene:Potri.011G111300.v4.1 transcript:Potri.011G111300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G111300.v4.1 MAKCFTVAAFVATALCFSSVLISAYAADYLDVEGKVYCDTCRVEFQTKISDAIPGAKVKLVCNNRENGTLTYTVEGTTDSSGTYRLPVVGDHEEDICEVRLVESPRADCNEPFKSVDSARILLTKNVGVVDNLRYANALGYMKKVAQPECAKVLEEMGFLPVEA >Potri.001G167200.1.v4.1 pep chromosome:Pop_tri_v4:1:14250807:14253740:1 gene:Potri.001G167200.v4.1 transcript:Potri.001G167200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167200.v4.1 MIGFNSFYSSKNPKLKGVFNGFCTVILLFLFYNRVDILTNPYIGHNSIQRGDFGDGSRGNSIIHRQIIEINANSSSLVNVTGDQNDLSLKNPALCNGLIDHDGYANECEYLKANPVCSSGGFFDYIKFLYCDCGDFRVLGYVVLGIWLAALFYLLGNTAADYFCCSLEKLSNLLKLPPTVAGVALLPLGNGAPDVFASIAAFVGKDAGDVGLNSVLGGAVFVTCIVAGAVSLCVADREVKIDRRCFIRDICFFLFTLMALLIILMVGEVSVGAAIGFVLIYVVYAFSVVANEMLRKHAGRLKLDAVTPLIPVRGSIFSQTSSEEDANIYSSLLDLETDSDVPHLPSSLPSWMWASNVAIYSNHSSKISLLDQERPPWGWTDEGMGISSSSFSCSSLLSLMELPLTVPRRLTIPLVDDETWSKPYAVASAALAPLLLAFLWNSQDDVGPQSRILVYFIGTVVGCTLGVLAYQHANSNHPPQRFLLAWVLGGFFMSIVWFYIIANELVALLVAFGIVLGINPSILGLTVLAWGNSMGDLVSNVALAMNGGDSAQIAMSGCYAGPMFNTLVGLGISMLLGAWSQSTGIYIVPQDSSLFYTMGFLISGLLWALVVLPRNDMRPSKMLGVGLITLYVIFLSARVTAAMVYAAS >Potri.012G068000.1.v4.1 pep chromosome:Pop_tri_v4:12:8857810:8859197:-1 gene:Potri.012G068000.v4.1 transcript:Potri.012G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068000.v4.1 MPFSLPSMDQDSIPTGSKIMRKSIYTYLQNYHYFTLTSALLAFPFSASTLLAHLLLVSPSSSLLPIIYNRLNSLFHAAGFPHSSELIALVSLKLSQTISFFILTLPLNSTLFLIAKASVIQVVNRHKPPSFYCIFSIFNPLLLTYASNSLLILSANATAFCLLSIAFNLFHDDIGFGSLFLSAAGAVVYSIILANVIIICNLALVISGMERFGGYLAILKACVMIRGRASTALSPTLAVNIALAGVEALFQYRIVRALC >Potri.019G075700.8.v4.1 pep chromosome:Pop_tri_v4:19:11693805:11698974:1 gene:Potri.019G075700.v4.1 transcript:Potri.019G075700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075700.v4.1 MYEEICTLALESREKGRREGVEMATETDHLHHHHHQTYGDNRSCLELSPDREESQDASVSQIMDCGSITFGRYTADTLAWEKYSVFSHNRCQEELEKFKAPGLVAQKKAYFEEYYKKIRAMKGMQAEQETTQTDPTQNGQEITAQEANGVGVGVEASKEEINPSNCSPEETVVDVHRSREENKHSNGYKIQVLDSHATDTINPSTRGINDGAEEESYFDGNSGRASKEDEKGLCLSVRITKHSMEGGSSSCSPSVKGSSKTTQKESPVSNEVKHSGSQLKKQASTMRAKGTVSSAANRTKLDRRISKDMVKRSQKPNPSVCREIKSKADASLVSGKRITSKTATNVKSDRVRSHRQLSEVQSSTTVLRASLTKDKMVSLSSNIRGNPLKTSSTPKSLAYRLPATSSVLTRSAQRSSKEITTISRLRKISVDNRSCDGFGQRSLGLSGHHSLPKSRESENQRPKVMLKNLSDRNESNQNTGLKCGPISSVKGRRQKKGIDEIVAGLEPKPGLSKGTSIQRASNPKPMNKIATSQSVELTCNPRDSRQRMPSRRQRMPIWR >Potri.019G075700.2.v4.1 pep chromosome:Pop_tri_v4:19:11693805:11698983:1 gene:Potri.019G075700.v4.1 transcript:Potri.019G075700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075700.v4.1 MYEEICTLALESREKGRREGVEMATETDHLHHHHHQTYGDNRSCLELSPDREESQDASVSQIMDCGSITFGRYTADTLAWEKYSVFSHNRCQEELEKFKAPGLVAQKKAYFEEYYKKIRAMKGMQAEQETTQTDPTQNGQEITAQEANGVGVGVEASKEEINPSNCSPEETVVDVHRSREENKHSNGYKIQVLDSHATDTINPSTRGINDGAEEESYFDGNSGRASKEDEKGLCLSVRITKHSMEGGSSSCSPSVKGSSKTTQKESPVSNEVKHSGSQLKKQASTMRAKGTVSSAANRTKLDRRISKDMVKRSQKPNPSVCREIKSKADASLVSGKRITSKTATNVKSDRVRSHRQLSEVQSSTTVLRASLTKDKMVSLSSNIRGNPLKTSSTPKSLAYRLPATSSVLTRSAQRSSKEITTISRLRKISVDNRSCDGFGQRSLGLSGHHSLPKSRESENQRPKVMLKNLSDRNESNQNTGLKCGPISSVKGRRQKKGIDEIVAGLEPKPGLSKGTSIQRASNPKPMNKIATSQSVELTCNPRDSRQRMPSRRQRMPIWR >Potri.019G075700.6.v4.1 pep chromosome:Pop_tri_v4:19:11693968:11699082:1 gene:Potri.019G075700.v4.1 transcript:Potri.019G075700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075700.v4.1 MYEEICTLALESREKGRREGVEMATETDHLHHHHHQTYGDNRSCLELSPDREESQDASVSQIMDCGSITFGRYTADTLAWEKYSVFSHNRCQEELEKFKAPGLVAQKKAYFEEYYKKIRAMKGMQAEQETTQTDPTQNGQEITAQEANGVGVGVEASKEEINPSNCSPEETVVDVHRSREENKHSNGYKIQVLDSHATDTINPSTRGINDGAEEESYFDGNSGRASKEDEKGLCLSVRITKHSMEGGSSSCSPSVKGSSKTTQKESPVSNEVKHSGSQLKKQASTMRAKGTVSSAANRTKLDRRISKDMVKRSQKPNPSVCREIKSKADASLVSGKRITSKTATNVKSDRVRSHRQLSEVQSSTTVLRASLTKDKMVSLSSNIRGNPLKTSSTPKSLAYRLPATSSVLTRSAQRSSKEITTISRLRKISVDNRSCDGFGQRSLGLSGHHSLPKSRESENQRPKVMLKNLSDRNESNQNTGLKCGPISSVKGRRQKKGIDEIVAGLEPKPGLSKGTSIQRASNPKPMNKIATSQSVELTCNPRDSRQRMPSRRQRMPIWR >Potri.019G075700.5.v4.1 pep chromosome:Pop_tri_v4:19:11693805:11698983:1 gene:Potri.019G075700.v4.1 transcript:Potri.019G075700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075700.v4.1 MYEEICTLALESREKGRREGVEMATETDHLHHHHHQTYGDNRSCLELSPDREESQQDASVSQIMDCGSITFGRYTADTLAWEKYSVFSHNRCQEELEKFKAPGLVAQKKAYFEEYYKKIRAMKGMQAEQETTQTDPTQNGQEITAQEANGVGVGVEASKEEINPSNCSPEETVVDVHRSREENKHSNGYKIQVLDSHATDTINPSTRGINDGAEEESYFDGNSGRASKEDEKGLCLSVRITKHSMEGGSSSCSPSVKGSSKTTQKESPVSNEVKHSGSQLKKQASTMRAKGTVSSAANRTKLDRRISKDMVKRSQKPNPSVCREIKSKADASLVSGKRITSKTATNVKSDRVRSHRQLSEVQSSTTVLRASLTKDKMVSLSSNIRGNPLKTSSTPKSLAYRLPATSSVLTRSAQRSSKEITTISRLRKISVDNRSCDGFGQRSLGLSGHHSLPKSRESENQRPKVMLKNLSDRNESNQNTGLKCGPISSVKGRRQKKGIDEIVAGLEPKPGLSKGTSIQRASNPKPMNKIATSQSVELTCNPRDSRQRMPSRRQRMPIWR >Potri.019G075700.7.v4.1 pep chromosome:Pop_tri_v4:19:11693805:11698974:1 gene:Potri.019G075700.v4.1 transcript:Potri.019G075700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075700.v4.1 MYEEICTLALESREKGRREGVEMATETDHLHHHHHQTYGDNRSCLELSPDREESQQDASVSQIMDCGSITFGRYTADTLAWEKYSVFSHNRCQEELEKFKAPGLVAQKKAYFEEYYKKIRAMKGMQAEQETTQTDPTQNGQEITAQEANGVGVGVEASKEEINPSNCSPEETVVDVHRSREENKHSNGYKIQVLDSHATDTINPSTRGINDGAEEESYFDGNSGRASKEDEKGLCLSVRITKHSMEGGSSSCSPSVKGSSKTTQKESPVSNEVKHSGSQLKKQASTMRAKGTVSSAANRTKLDRRISKDMVKRSQKPNPSVCREIKSKADASLVSGKRITSKTATNVKSDRVRSHRQLSEVQSSTTVLRASLTKDKMVSLSSNIRGNPLKTSSTPKSLAYRLPATSSVLTRSAQRSSKEITTISRLRKISVDNRSCDGFGQRSLGLSGHHSLPKSRESENQRPKVMLKNLSDRNESNQNTGLKCGPISSVKGRRQKKGIDEIVAGLEPKPGLSKGTSIQRASNPKPMNKIATSQSVELTCNPRDSRQRMPSRRQRMPIWR >Potri.019G075700.4.v4.1 pep chromosome:Pop_tri_v4:19:11693968:11699082:1 gene:Potri.019G075700.v4.1 transcript:Potri.019G075700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075700.v4.1 MYEEICTLALESREKGRREGVEMATETDHLHHHHHQTYGDNRSCLELSPDREESQQDASVSQIMDCGSITFGRYTADTLAWEKYSVFSHNRCQEELEKFKAPGLVAQKKAYFEEYYKKIRAMKGMQAEQETTQTDPTQNGQEITAQEANGVGVGVEASKEEINPSNCSPEETVVDVHRSREENKHSNGYKIQVLDSHATDTINPSTRGINDGAEEESYFDGNSGRASKEDEKGLCLSVRITKHSMEGGSSSCSPSVKGSSKTTQKESPVSNEVKHSGSQLKKQASTMRAKGTVSSAANRTKLDRRISKDMVKRSQKPNPSVCREIKSKADASLVSGKRITSKTATNVKSDRVRSHRQLSEVQSSTTVLRASLTKDKMVSLSSNIRGNPLKTSSTPKSLAYRLPATSSVLTRSAQRSSKEITTISRLRKISVDNRSCDGFGQRSLGLSGHHSLPKSRESENQRPKVMLKNLSDRNESNQNTGLKCGPISSVKGRRQKKGIDEIVAGLEPKPGLSKGTSIQRASNPKPMNKIATSQSVELTCNPRDSRQRMPSRRQRMPIWR >Potri.007G146100.1.v4.1 pep chromosome:Pop_tri_v4:7:15449238:15452532:1 gene:Potri.007G146100.v4.1 transcript:Potri.007G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146100.v4.1 MVILQLSGPTPVNPQAKFSILELAPSSRKHLTTLSSFSLPSLFISNSQQPQHPISSFSPVNQSVAAIVFGDGSESRLYPLTKRRSEGAIPLGAKYRIVDAVISNCINSNINKIYALTQYNSTYLNSHLSRAYSGLGLGKDGFVEVIAAYQSLEEQGWFQGTADAIRRCLWVLEEHQVSEFLVLPGHHLYRMDYQKLVETHRRSQADITIAALNSTRDQDPGFGTLKVNSLNEVAEFHVKSEREPMIVPSAQSSQAFNDNAYRKLSSMGIYLVNRNTMTKLLNEYFPQANEFGTEVIPGAISIGMKVQAYAFDGYWEDMSSIAAFYQANMECIKGLNMGYNFYDKDAPLYTMPRYLPPSTIIDAVITDSVVGDGCILNRCKIKGTVLGMRTTIGEKAIIEDSVIMGSDIYQKDYIQRSSKEGMAIPIGIGDETHIKKAIIDKNARIGRNVMIINKDNVQESNREANGYIISGGIVVVLESAVIPDGSIL >Potri.007G146100.3.v4.1 pep chromosome:Pop_tri_v4:7:15449238:15452532:1 gene:Potri.007G146100.v4.1 transcript:Potri.007G146100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146100.v4.1 MVILQLSGPTPVNPQAKFSILELAPSSRKHLTTLSSFSLPSLFISNSQQPQHPISSFSPVNQSVAAIVFGDGSESRLYPLTKRRSEGAIPLGAKYRIVDAVISNCINSNINKIYALTQYNSTYLNSHLSRAYSGLGLGKDGFVEVIAAYQSLEEQGWFQGTADAIRRCLWVLEEHQVSEFLVLPGHHLYRMDYQKLVETHRRSQADITIAALNSTRDQDPGFGTLKVNSLNEVAEFHVKSEREPMIVPSAQSSQAFNDNAYRKLSSMGIYLVNRNTMTKLLNEYFPQANEFGTEVIPGAISIGMKVQAYAFDGYWEDMSSIAAFYQANMECIKGLNMGYNFYDKDAPLYTMPRYLPPSTIIDAVITDSVVGDGCILNSRGAR >Potri.007G146100.2.v4.1 pep chromosome:Pop_tri_v4:7:15449238:15452531:1 gene:Potri.007G146100.v4.1 transcript:Potri.007G146100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G146100.v4.1 MVILQLSGPTPVNPQAKFSILELAPSSRKHLTTLSSFSLPSLFISNSQQPQHPISSFSPVNQSVAAIVFGDGSESRLYPLTKRRSEGAIPLGAKYRIVDAVISNCINSNINKIYALTQYNSTYLNSHLSRAYSGLGLGKDGFVEVIAAYQSLEEQGWFQGTADAIRRCLWVLEEHQVSEFLVLPGHHLYRMDYQKLVETHRRSQADITIAALNSTRDQDPGFGTLKVNSLNEVAEFHVKSEREPMIVPSAQSSQAFNDNAYRKLSSMGIYLVNRNTMTKLLNEYFPQANEFGTEVIPGAISIGMKVQAYAFDGYWEDMSSIAAFYQANMECIKGLNMGYNSFYDKDAPLYTMPRYLPPSTIIDAVITDSVVGDGCILNRCKIKGTVLGMRTTIGEKAIIEDSVIMGSDIYQKDYIQRSSKEGMAIPIGIGDETHIKKAIIDKNARIGRNVMIINKDNVQESNREANGYIISGGIVVVLESAVIPDGSIL >Potri.011G139400.1.v4.1 pep chromosome:Pop_tri_v4:11:17041589:17041906:1 gene:Potri.011G139400.v4.1 transcript:Potri.011G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139400.v4.1 MGNFWRHISGHDMQEKAMNNMEEKGPLGDHDNMRDFTSSSSTTTTSTTVKIKITKKQLKELLGKAEVKGLSLQQILSQLMNASSDHRSYEPQQQSWRPNLQSIPE >Potri.017G124400.2.v4.1 pep chromosome:Pop_tri_v4:17:12869009:12871745:-1 gene:Potri.017G124400.v4.1 transcript:Potri.017G124400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124400.v4.1 MTYVLLNAVEAARQMLKSVASRVSSQSAKFGENDNIAMEEEDSMDDGSITHLKLNIHSNKGGEAFFDYRGTSPEVRGNWNALEAVIAAAVIYCLRCLVDVDIPLNQGCLAPVGIHVPKGLFLSPSDKAAFVGGNVLTSHSHRVTDVVLTAFQACACPQGCMNNLTFGDYTFSYYETIGGGSGAGPHWHGTSGVQCHMTNARMTDPEIFDPRNPVLLHRFELREQWRVDLGFIKGVMALYEIEFSRPFVVSILSERRVHAPRGLKRGKDGARGANHLTTKDKRRVYLGGKKTVEVQAGEILQILTPRDDVWGSC >Potri.002G260700.3.v4.1 pep chromosome:Pop_tri_v4:2:24882689:24887351:1 gene:Potri.002G260700.v4.1 transcript:Potri.002G260700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260700.v4.1 MPLTSTPARLTLLALLSATTIYCFYKSRRLKKISLNPNPNKPKLFFISQTGTSKTLAHRLHNLLASNNLHFDLIDPQNYEPEDLFKEKLVIIIASTWEDGKPPQNAKFFANWLAESADDFRVGSLMLSECKFAVFGVGSRAYGETFNAVAKDFSRRLRDLGAKEVVGVGEGDVDGGELDGVFEEWSGRLARVLKGESVENGEVCGNGVVVIDESDDDEDDGGVESDIIDLEDIAGKGPSRRSLAVAQSNGKLNGENGKLNGQKEMVTPVIRASLEKQGYKIIGSHSGVKICRWTKSQLRGRGGCYKHSFYGIESHRCMEATPSLACANKCVFCWRHHTNPVGKSWQWKMDDPLEIVNSAIDLHTKMIKQMKGVPGVTLERLNEGLSPRHCALSLVGEPIMYPEINTLVDELHRRQISTFLVTNAQFPEKILMLKPVTQLYVSVDAATKESLKAIDRPLFGDFWERFIDSLKSLKEKQQRTVYRLTLVKGWNTEDLDAYFNLFSVGQPDFIEIKGVTYCGSSATSKLTMENVPWHSDVKAFSEAMALKSKGEYEVACEHVHSCCVLLAKTEKFKVDGQWFTWIDYDKFHDLVASGKPFDSKDYMAATPSWAVYGADEGGFDPDQSRYRKERHHKTNH >Potri.010G055300.2.v4.1 pep chromosome:Pop_tri_v4:10:8642523:8643733:-1 gene:Potri.010G055300.v4.1 transcript:Potri.010G055300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055300.v4.1 MVGGVLLIILDLSFFLWAPTLFLNFRRFYPLQILSISITSLSYSPFLHFSLNLIFLEKGISLFLLLSCNSSMAPSRWIRPEVFPLFASVGVAVGICGMQLLRNITTNPEVRVTKENRAAGVLDNFKEGEKYAEHGLRKYVRKRTPQIMPSINGFFSDPDLPTN >Potri.017G030900.5.v4.1 pep chromosome:Pop_tri_v4:17:2066978:2073237:1 gene:Potri.017G030900.v4.1 transcript:Potri.017G030900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G030900.v4.1 MEEGKQRPRSPRRVLHQGMGTKINRDVRKGGSRVHKHHRLTRAEDSDDSLLVDELDQGPAIPWARGGSRSGPPWLLGGLEMHGTTAWGLNVAASGWGHQGDALASLTSGVQTAGPSSKGGADIQPLQVDESVSFDDIGGLSGYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPSKELKSELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQSHLHKAMNCLFDIFPPLAVSSEFIKLSMLSYGSAIPLVFRPRLLLCGCEGSGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRATPSILYIPHFDLWWDNAHEQLRAVLLTLLEELPSDLPILLLGSSSSPLAEIDGASLVFPHRSAYQVGKPSTEDRSLFFDHLIEAALSVVVEDVTKKSQGSAPLPELPKAQKVASGPKASELKAKIEAEQHALRRMRMCLRDICNRMLYDKRFSAFHYPVTDEDAPNYRSIIQNPMDMATMLQRVDSGQYITCSGFLQDIDLIVTNAKVYNGDDYNGARIVSRGYELRDAVHGMLSQMDPALVTYCDKIAAQGGPVQIPDDLGGSIFPSTPVVQLGTVTRTSARLRNVQPDVNLDQSYEALKRQKKNADAAHAASTAEDKSRHQDSVQAKLPEEAGADDMNPDRPESSSADDSRHETSGGEASGHTEGSGSQDVTMSEAEVSSHVDYVKRLFVERTENYGIPLLERLYTRIMKGIFETKDKGVEDDGPRYSILRFLVKFAENTANF >Potri.017G030900.2.v4.1 pep chromosome:Pop_tri_v4:17:2065299:2073227:1 gene:Potri.017G030900.v4.1 transcript:Potri.017G030900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G030900.v4.1 MYTKRSGQGDGPVARPVRTSDRLRRRPKVFSRTYLYYTPSIIRPRKGKTKTRTAASRIAKMLGNRAVRAANANSVPTNLRRSTRKRRLSAHLEDYTDSSGSEDEDLMRPAFRPLRNRIHNSASQDELSSSKRKQIVETKSTPRREGLRPRRSRTIKTEPLALDSGDEQDTSEEKAVEDETENGNDIDDNDADDGQNDDEGDGEGEDEGEEDDDEGEEEEEEQDGRRRYDLRNRAEVRRLSMEEGKQRPRSPRRVLHQGMGTKINRDVRKGGSRVHKHHRLTRAEDSDDSLLVDELDQGPAIPWARGGSRSGPPWLLGGLEMHGTTAWGLNVAASGWGHQGDALASLTSGVQTAGPSSKGGADIQPLQVDESVSFDDIGGLSGYIDALKEMVFFPLLYPDFFASYHITPPRGVLLCGPPGTGKTLIARALACAASKAGQKVSFYMRKGADVLSKWVGEAERQLKLLFEEAQRNQPSIIFFDEIDGLAPVRSSKQEQIHNSIVSTLLALMDGLDSRGQVVLIGATNRVDAIDGALRRPGRFDREFNFPLPGCEARAEILDIHTRKWKHPPSKELKSELAASCVGYCGADLKALCTEAAIRAFREKYPQVYTSDDKFVIDVDSVKVEKYHFVEAMSTITPAAHRGAVVHSRPLSLVVAPCLQSHLHKAMNCLFDIFPPLAVSSEFIKLSMLSYGSAIPLVFRPRLLLCGCEGSGLDHLGPAVLHELEKFPVHSLGLPSLLSDPSAKTPEEALVHIFGEARRATPSILYIPHFDLWWDNAHEQLRAVLLTLLEELPSDLPILLLGSSSSPLAEIDGASLVFPHRSAYQVGKPSTEDRSLFFDHLIEAALSVVVEDVTKKSQGSAPLPELPKAQKVASGPKASELKAKIEAEQHALRRMRMCLRDICNRMLYDKRFSAFHYPVTDEDAPNYRSIIQNPMDMATMLQRVDSGQYITCSGFLQDIDLIVTNAKVYNGDDYNGARIVSRGYELRDAVHGMLSQMDPALVTYCDKIAAQGGPVQIPDDLGGSIFPSTPVVQLGTVTRTSARLRNVQPDVNLDQSYEALKRQKKNADAAHAASTAEDKSRHQDSVQAKLPEEAGADDMNPDRPESSSADDSRHETSGGEASGHTEGSGSQDVTMSEAEVSSHVDYVKRLFVERTENYGIPLLERLYTRIMKGIFETKDKGVEDDGPRYSILRFLVKFAENTANF >Potri.017G028500.1.v4.1 pep chromosome:Pop_tri_v4:17:1940034:1943326:-1 gene:Potri.017G028500.v4.1 transcript:Potri.017G028500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028500.v4.1 MYNNVGPQPGVPRPPTNPQPNPFGNSFYGAGSGLMKGGLGAYGEKILGSSSEYVQSNISRYFSDPQYYFQVNDQYVRNKLKVVLFPFLHRGHWMRITEPVGGRLSYKPPIYDINAPDLYIPFMAFGTYVVLAGLSLGLNGKFSPEALNWLFVKGLLGWFMQVALLKMILLSLGSGEAPLLDIVAYAGYTFTGMCFAVLGKILSGYSYYILMPCACLCMGIFLVKTMKRVLFAEVRSFDSSRHHFLLLLIALVQFPFFAWLGNVSVNWFL >Potri.014G094300.1.v4.1 pep chromosome:Pop_tri_v4:14:6123350:6127831:-1 gene:Potri.014G094300.v4.1 transcript:Potri.014G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094300.v4.1 MEFVNPEGLRLDGRRPMEMRQLRAQIGAVAKADGSAVFEMGNTKVIAAVYGPREVQNRSQQINDQALVRCEYSMANFSTGDRRRKPKGDRRSTEISLVIRQAMEECILTNLMPRSQIDIYVQVLQADGGTRSACINAATLALADAGIPMRDLVTSCSAGFLNSTPLLDLNYVEDSAGGPDVTVGILPKLDKVTLLQMDAKLPIDTFENVMQLAVEGCKAIANYIREVLLENTKQLEYRRGL >Potri.011G072816.1.v4.1 pep chromosome:Pop_tri_v4:11:6889925:6893789:-1 gene:Potri.011G072816.v4.1 transcript:Potri.011G072816.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072816.v4.1 MNLLKQDPKANSTIVCSCTLILNNDSYCHITSLSLKTLNLQGKLPSEMVNLAYFEFLDPTRNYISGNIPEEWASMKHLTNLSLTSNHLSGNIPWYLGSFPSLTYF >Potri.001G104700.3.v4.1 pep chromosome:Pop_tri_v4:1:8435548:8441267:-1 gene:Potri.001G104700.v4.1 transcript:Potri.001G104700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104700.v4.1 MGCECSKLTKCCWSSDYNGSVPEDHNEDKEERSELDDLPAFREYNIETLRMATSGFSVENIVSEHGEKAPNVVYKGKLENQRRIVVKRFNRSSWPDARQFLEEARTVGQLRNHRLANLLGCCCEGDERLLVSEFMPNDTLAKHLFHWETQPMKWAMRLRVALHLAQALEYCASKGRALYHDLNAYRVVFDDEGNPRLSCFGLMKNSIDGKSYSTNLAFTPPEYLRTGRVTAESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLSDSCLEGQFANDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQKDTEVPSHELMGIQDGAAALPLSPLGEACLRMDLTAIHEILEKLGYKDDEGAATEVCF >Potri.001G104700.1.v4.1 pep chromosome:Pop_tri_v4:1:8434006:8441293:-1 gene:Potri.001G104700.v4.1 transcript:Potri.001G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104700.v4.1 MGCECSKLTKCCWSSDYNGSVPEDHNEDKEERSELDDLPAFREYNIETLRMATSGFSVENIVSEHGEKAPNVVYKGKLENQRRIVVKRFNRSSWPDARQFLEEARTVGQLRNHRLANLLGCCCEGDERLLVSEFMPNDTLAKHLFHWETQPMKWAMRLRVALHLAQALEYCASKGRALYHDLNAYRVVFDDEGNPRLSCFGLMKNSIDGKSYSTNLAFTPPEYLRTGRVTAESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLSDSCLEGQFANDDGTELVRLASRCLQYEPRERPNPKSLVAALIPLQKDTEVPSHELMGIQDGAAALPLSPLGEACLRMDLTAIHEILEKLGYKDDEGAATELSFQMWTNQMQEILNSKKKGDVAFRHKDFRAATEFYSQFINIGTMVSPTVHARRSLSYLLSDMPQEALNDALQAQAIFPIWHIASYLQAAALLTMGKENEAKAALKEASTLENKRNTNA >Potri.003G176700.1.v4.1 pep chromosome:Pop_tri_v4:3:18367397:18370007:1 gene:Potri.003G176700.v4.1 transcript:Potri.003G176700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176700.v4.1 MVTVDEVRKGQRAEGPATIMAIGTSNPPNCVDQSTYPDYYFRVTNSEHRAELKEKFKRMCEKSMIKKRYIYLTEDMLKENPDMRAYMAPSLDARQDMVVVEVPKLGKEAATKAIKEWGQSKSKITHLVFCTTSGVDMPGADYQLTKLLGLRPSVKRLMMYQQGCFAGGTVLRLAKDLAENNKGARVLVVCSEITAIIFRGPNDTHLDSLIGQALFGDGAAAIIIGSDPVVGVEKPLFEIVSAAQTILPNSDGAIDGHLREAGLTFHLLKDVPGLISNNVEKSLTEAFKPLGISDWNSLFWIAHPGGPAILDQVEAKLGLKPEKLRATRHVLSEYGNMSSACVLFILDEMRKKSAEDGLQSTGEGLEWGVLFGFGPGLTVETVVLHSVATRV >Potri.010G159900.1.v4.1 pep chromosome:Pop_tri_v4:10:16589955:16596779:-1 gene:Potri.010G159900.v4.1 transcript:Potri.010G159900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159900.v4.1 MLPRGVRIAFLIVVLVAFEIQTSLSQINRASFPKGFVFGTASSAFQYEGAVKADGRGPSVWDAFSHTFGKIIDFSNADVAVDQYHLFDEDIKLMKDMGMDAYRFSISWSRIYPNGTDKINQAGVDHYNKFINALLAQGIEPYVTLYHWDLPQALHDKYNGWLSPQIIKDFATFAETCFEIYGNRVKHWITFNEPHTVAIQGYDVGLQAPGRCSIFLHLFCRAGNSATEPYIVAHNILLSHGTVADIYRKKYKAKQRGSLGISLDVIWFEPATNTTNDIEAAQRAQDFQLGWFIEPLILGNYPITMRNRVGDRLPNFTENDVALVKGSFDFVGINHYTTFYARSNDSLFGDLIGKVLNDSLADSGAITLPFGEHLKPIGDRASSIWLYIVPRGMRSLMNYIRQKYGNPPVIITENGMDDPNHAWTPIKDALKDEKRIKYHKDYLASLLASIKEDGCNVKGYFVWSLLDNWEWAAGYTSRFGLYFVDYKDKLKRYPKDSVQWFKKFLTST >Potri.003G152950.6.v4.1 pep chromosome:Pop_tri_v4:3:16574622:16579852:1 gene:Potri.003G152950.v4.1 transcript:Potri.003G152950.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152950.v4.1 MIPYYQPQYPTAAELTVPQHMLAQPAMAQYGINFAVTPQDRREKKRKIDAEYRQRCKVKKEDREIELQHLREENAQLKRENESCREENDSMAHKLRSKEVEIGNLKSEICNSKKVISNQEILLDTLSQQPFLQQIMRGCNQLEVALLENERNILYQNANWDGWESERKQLLDEIEKLKHRNVMLKMQNQVLGDKILSQKDTEASMRSHAIASLVEDSATTLSVCL >Potri.003G152950.2.v4.1 pep chromosome:Pop_tri_v4:3:16574772:16579669:1 gene:Potri.003G152950.v4.1 transcript:Potri.003G152950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152950.v4.1 MIPYYQPQYPTAAEELTVPQHMLAQPAMAQYGINFAVTPQDRREKKRKIDAEYRQRCKVKKEDREIELQHLREENAQLKRENESCREENDSMAHKLRSKEVEIGNLKSEICNSKKVISNQEILLDTLSQQPFLQQIMRGCNQLEVALLENERNILYQNANWDGWESERKQLLDEIEKLKHRNVMLKMQNQVLGDKILSQKDTEASMRRIS >Potri.003G152950.4.v4.1 pep chromosome:Pop_tri_v4:3:16574827:16579642:1 gene:Potri.003G152950.v4.1 transcript:Potri.003G152950.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152950.v4.1 MIPYYQPQYPTAAELTVPQHMLAQPAMAQYGINFAVTPQDRREKKRKIDAEYRQRCKVKKEDREIELQHLREENAQLKRENESCREENDSMAHKLRSKEVEIGNLKSEICNSKKVISNQEILLDTLSQQPFLQQIMRGCNQLEVALLENERNILYQNANWDGWESERKQLLDEIEKLKHRNVMLKMQNQVLGDKILSQKDTEASMRRIS >Potri.003G152950.7.v4.1 pep chromosome:Pop_tri_v4:3:16574820:16579687:1 gene:Potri.003G152950.v4.1 transcript:Potri.003G152950.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152950.v4.1 MIPYYQPQYPTAAAMAQYGINFAVTPQDRREKKRKIDAEYRQRCKVKKEDREIELQHLREENAQLKRENESCREENDSMAHKLRSKEVEIGNLKSEICNSKKVISNQEILLDTLSQQPFLQQIMRGCNQLEVALLENERNILYQNANWDGWESERKQLLDEIEKLKHRNVMLKMQNQVLGDKILSQKDTEASMRRIS >Potri.003G152950.5.v4.1 pep chromosome:Pop_tri_v4:3:16574622:16579852:1 gene:Potri.003G152950.v4.1 transcript:Potri.003G152950.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152950.v4.1 MIPYYQPQYPTAAEELTVPQHMLAQPAMAQYGINFAVTPQDRREKKRKIDAEYRQRCKVKKEDREIELQHLREENAQLKRENESCREENDSMAHKLRSKEVEIGNLKSEICNSKKVISNQEILLDTLSQQPFLQQIMRGCNQLEVALLENERNILYQNANWDGWESERKQLLDEIEKLKHRNVMLKMQNQVLGDKILSQKDTEASMRSHAIASLVEDSATTLSVCL >Potri.019G028700.1.v4.1 pep chromosome:Pop_tri_v4:19:4059733:4060429:-1 gene:Potri.019G028700.v4.1 transcript:Potri.019G028700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G028700.v4.1 MAPYISAKRKGIHITNLTRTARFLSEACDLVFDAASRRKQFLIVGTKNKAADPIARAAIRARCHYVNKKWLGGLLTNWSTTEMRLQKFRDLRMEQKTGGIHRLPKGDAARLKRQLFHLQTYLGGIKYMTGLPDIVIIVDQQEEYMALQECITLGIPTICLIDTNCDPDLTDISIPVNDDAIASIQLILNKLVFAICEGRSSYIRNP >Potri.006G209500.4.v4.1 pep chromosome:Pop_tri_v4:6:21730405:21732646:-1 gene:Potri.006G209500.v4.1 transcript:Potri.006G209500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209500.v4.1 MNSPFIKTNQMDSTSRYRFLGIPSSSTSSYVTDGNGDSNGNADELNEDDIFLTNDSTNQTQSNSSSSSPTTASNNSHHNKFNRHTAFPQNSGILAALPEYNHNTVLYRKPSLPPSPSSSSSRAIPLIPRSPHVAEYASQSVPIRKMNQSAPMNVPVLSMAMAKERSSRFEEDDDGEFDGDEEMLPPHEIVARGSTQSPKTTFSVLEGVGRTLKGRDLRQGFGRIQTSMLPLPFLILCRGNGSWRNHSNELSWSQAHHEK >Potri.006G209500.1.v4.1 pep chromosome:Pop_tri_v4:6:21731620:21732415:-1 gene:Potri.006G209500.v4.1 transcript:Potri.006G209500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209500.v4.1 MDSTSRYRFLGIPSSSTSSYVTDGNGDSNGNADELNEDDIFLTNDSTNQTQSNSSSSSPTTASNNSHHNKFNRHTAFPQNSGILAALPEYNHNTVLYRKPSLPPSPSSSSSRAIPLIPRSPHVAEYASQSVPIRKMNQSAPMNVPVLSMAMAKERSSRFEEDDDGEFDGDEEMLPPHEIVARGSTQSPKTTFSVLEGVGRTLKGRDLRQVRNAIWRQTGFLD >Potri.006G209500.2.v4.1 pep chromosome:Pop_tri_v4:6:21730053:21732590:-1 gene:Potri.006G209500.v4.1 transcript:Potri.006G209500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209500.v4.1 MNSPFIKTNQMDSTSRYRFLGIPSSSTSSYVTDGNGDSNGNADELNEDDIFLTNDSTNQTQSNSSSSSPTTASNNSHHNKFNRHTAFPQNSGILAALPEYNHNTVLYRKPSLPPSPSSSSSRAIPLIPRSPHVAEYASQSVPIRKMNQSAPMNVPVLSMAMAKERSSRFEEDDDGEFDGDEEMLPPHEIVARGSTQSPKTTFSVLEGVGRTLKGRDLRQGFGRIQTSMLPLPFLILCRGNGSWRNHSNELSWSQAHHEK >Potri.006G209500.3.v4.1 pep chromosome:Pop_tri_v4:6:21730053:21732555:-1 gene:Potri.006G209500.v4.1 transcript:Potri.006G209500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209500.v4.1 MNSPFIKTNQMDSTSRYRFLGIPSSSTSSYVTDGNGDSNGNADELNEDDIFLTNDSTNQTQSNSSSSSPTTASNNSHHNKFNRHTAFPQNSGILAALPEYNHNTVLYRKPSLPPSPSSSSSRAIPLIPRSPHVAEYASQSVPIRKMNQSAPMNVPVLSMAMAKERSSRFEEDDDGEFDGDEEMLPPHEIVARGSTQSPKTTFSVLEGVGRTLKGRDLRQGFGRIQTSMLPLPFLILCRGNGSWRNHSNELSWSQAHHEK >Potri.008G150800.3.v4.1 pep chromosome:Pop_tri_v4:8:10318832:10320978:1 gene:Potri.008G150800.v4.1 transcript:Potri.008G150800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150800.v4.1 MPAVVIANNGDVPEFEGRITFNVIVCVVIAACGGLMFGYDIGVSGGVTAMDDFLKKFFYQVWERKQQAHENNYCKYDNKKLQLFTSSLYIAALIASFLASKTCSKFGRKPTMQLASLFFIGGVALTTFAVNIEMLIIGRLLLGCGVGFANQAVPLFLSELAPAKIRGALNISFQLFITIGILIANIVNYVVGKIHPYGFRISLGIAGVPALLLCFGSLAIYETPTSLIERKKVEQGRAVLKKIRGVDNVDLEYDSIVHACEVASQITQPYHELMKRESRPPLVIAIVMQVFQQFTGINAIMFYAPVLFQTVGFGSDAALLSSVVTGLVNVLSTIVSVVLVDKVGRRALLLEACVQMLITQCIIGGVLMKDLKTTGTLPNGDALVVVIMVCVFVAGFAWSWGPLGWLIPSETFPLETRTAGFSFAVSSNMLFTFVIAQAFLSMLCNLRAGIFFFFAAWIVVMGLFALFLLPETKGVPIDEMVDRVWKQHWFWKRFFNDEQVVEKGAIEMIHPHLT >Potri.002G249300.1.v4.1 pep chromosome:Pop_tri_v4:2:23959391:23961712:1 gene:Potri.002G249300.v4.1 transcript:Potri.002G249300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249300.v4.1 MASPFKISALLLTFCVSISLTLPVRSQTCASQTFTNNNLYTHCLDLPTLSSYLHFTYDAANSTLSVAFFASPSKSNGWISWAINPKVAAMGGAQALVAFKDSKGVMSAKTYNISTSTPYSVEQSKLAFDVWDTRAEEESGVMRIFAKIKVPPELAAKGTLNQVWQVGSTVGAAKGVLTIHDMGAPNLNSKGTLDLNGGKSVSSGGLDSRTKRKNIHGVLNAVSWGILFPLGIVIARYLRTFPSADPAWFYLHVSCQVSAYAIGVAGWATGIKLGSESKGVQFSLHRNIGIALFALATVQIFALFLRPKKDHKYRFYWNIYHHGVGYAILILGILNVFKGLDILQPGDKWRTIYIIAIAVLGGIAALLELITWIVVLRRKSSKSTKPYEGYGGQSRP >Potri.001G351101.1.v4.1 pep chromosome:Pop_tri_v4:1:36374649:36375258:1 gene:Potri.001G351101.v4.1 transcript:Potri.001G351101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351101.v4.1 MEGLSGLKKQEDNVQEALPLLNDQPLSSPLNSELFQPITIPVTTLSKHNVKKEREGEGERERER >Potri.006G222600.2.v4.1 pep chromosome:Pop_tri_v4:6:22783992:22785546:-1 gene:Potri.006G222600.v4.1 transcript:Potri.006G222600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222600.v4.1 MYRKRMETTQDYLKYCLQVAQENGFLDLIIQNKDDQQGIKDALPTNIVSPRITSPHQLPTQVPQLPNLAFTIDQAKMNGWYIESHEIEFQEKIGQGSTADIYRAIWRGFDVAVKCMFPDFFLSNENGVNFFAQELDTLSRQRHCYVLQLLGACIDPPSNAWVVTEILGMTLKEWLHGPGSRRNERSVPIPPFQNRVTVALEIAQAMQYLHEQKPKVIHRDLKPSNIFLDDSNHVRVADFGHARFLDDAEMALTGETGTYVYMAPEVIRCEPYNEKSDVYSFAVILNELMTGDYPYIETDFGPSKIAMEVAEGKLRPMLPHEDNDQLGELIDLISQSWDQDASVRPSFATITSSLRKIQQRIIEDA >Potri.006G222600.3.v4.1 pep chromosome:Pop_tri_v4:6:22784015:22786419:-1 gene:Potri.006G222600.v4.1 transcript:Potri.006G222600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G222600.v4.1 MIVAGSSQKKKASTLFSPRQSPVENFPGKNEISTIKTTNSGGCCRRLNDCMGKGSIVSTKESQQQQQSRSELRQQVADLEREVLKQKELRIMYRKRMETTQDYLKYCLQVAQENGFLDLIIQNKDDQQGIKDALPTNIVSPRITSPHQLPTQVPQLPNLAFTIDQAKMNGWYIESHEIEFQEKIGQGSTADIYRAIWRGFDVAVKCMFPDFFLSNENGVNFFAQELDTLSRQRHCYVLQLLGACIDPPSNAWVVTEILGMTLKEWLHGPGSRRNERSVPIPPFQNRVTVALEIAQAMQYLHEQKPKVIHRDLKPSNIFLDDSNHVRVADFGHARFLDDAEMALTGETGTYVYMAPEVIRCEPYNEKSDVYSFAVILNELMTGDYPYIETDFGPSKIAMEVAEGKLRPMLPHEDNDQLGELIDLISQSWDQDASVRPSFATITSSLRKIQQRIIEDA >Potri.001G345300.34.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.34.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEEDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.30.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREQISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.38.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.38.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEEMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEEFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.42.v4.1 pep chromosome:Pop_tri_v4:1:35641192:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.42.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.32.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.32.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.37.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659455:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.37.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEEMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.40.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.40.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 MDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.28.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREQISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.24.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEETWYLMQMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.22.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSKFFKFPNLLSLKLKQKSLNITMISNHKIHINEEDKRKSLLGNDGPQTSIAGDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.27.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREQISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREQISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.33.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.33.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.26.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREQISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREQIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.29.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREQIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.35.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.35.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEELTKRENQQIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEEMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEEDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.23.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEELTKRENQQIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.31.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.31.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREQISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.39.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.39.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEEMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.36.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659455:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.36.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEEMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.25.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREIYEESVAAGTNESITDATYQNDGTTPNAALETSTGKETLKDEDDVEQISSMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEELTKRENQQIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEEDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.001G345300.41.v4.1 pep chromosome:Pop_tri_v4:1:35641271:35659453:1 gene:Potri.001G345300.v4.1 transcript:Potri.001G345300.41.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G345300.v4.1 METEGLETVHTSLGGNAVVPEGGSFLEPPSIAKVPGEEIFRKQIDQVPCLVEKNEKRAQEDEGFDTTEEGETKLIAEKEKQFDDVTGTILQMDKIEGPCVNIEEEEKIVKHVEAMEVGEADVEGKPKSIEKEIGGPEKYEEGEMKIEESVELETSNEVSSFEPGLSQGKEALSQTADAIVNSLDNPETVKEVCQEKEIKDSGTGDGTINEQRIGEERKEGEKSGSCSSFLDDKVTDVVKAIEKTENEKEGTTCDTMGGGTYIVEAGIDIQNEKETTPGTGEGMQDKRNTEDIATVAHKTQETEEMYSQQVDKPDVCMVSESESEEIVNKSPQREGQILILEKTAEVDSSEGENIKDGMNPEQKPDGLFVKHEENKQSMHQDLAMAEESTAEKRDMETPTVTAAENNTSDAVPITITTAQDHSVIEDDKIMDVVANIVPEHSKEEMSKYEDKIKETFALQDEAVNEKIENSLALISGEIDTATSSECSEAIASAKEETPIKIPGESFEDKKEEKPIDADAVVDSGLPIEKSLLPTVFNEKIEDAGLELQYKNSSELHISHSLEQETIPVQDEKQPKLSDFEPQEQDHEENGPSKDLEKHAEDASEACESMQNINLENPSAEGAITTEVNSKANEMAVEKEEKIHELESEEKLAIDDAGTNLEEEMQKKEKAHDEQAYQMATSDRIEEVTSDEVCSREFVDKKVERSVQAAGFQEAETPSKNGVGENYEMSSIVNEEVIDEPKITDIGEVIDEEKIKYDATHLSSELVFDEKLVESSQDNETEAEMSKGDGVEEQMMKDDNAKVILQESIQEASVNDFQNDLKNAEKSSREMSEVSEAEEKDETNNNDESPDSTLQTSLLKEQESLQTEYLTAEAEEKDEDGSSIRKDEDEDNDDGLKEVKECSEKGTLKGEEDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEKQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTEEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPVESVKDVPVSKLLNDENNAEEFKREQISEESVAAGTNKSITDATYQNDGTTPNAALETSTAKETLEDEDDVEQISPMNEPGENPESSASMISAETEEVALNKTTQKTKEEMDEGVEGVKKEGETGKEDGEEQVIDENDAKSIPIESIKEVPVSKLLNDENNAEEFKREISEESMAVRTNESITDATYQNDETPNAALETSTVKQVEIVQGEGKDTIPAEASPEEEEEHDRARGFKRVGDNSSEIKLEEDLEKSDEETSKKADAVAHETNQHIEFPNSTLETSPVEEQASVQRDNLTPEETSPGEKKYEDGSSNKKDEDKEKNTGLAEETRNAGETLKAEESLEQILQNNKLIENPKQSINMICAETEADAFSKMVDNHEEEIVEIPRGNAEAELLKEENIEVHVVEESNINSLSQESAEESSIKDFQNAAKNSEKSTEEMLESQIAGGDETNTNDEDCNSSLTISVDKIDESFEGEDKNITTAEASTHDEKEEHGSLDRADDGNDSNRDLTVTNQDSAREALKVQEDFEKELQRIEPGDPEESSTVMAAETEAGNLSDKIDDITSQEEVVTIQNIEETVEKEKKEEHGSLEKAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEEKREERGPLDRADDGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVFTIQNIEETVEKEKKEEPGPLDRADEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDTAGEGNDSNPDLTVTNLDSAREASKVQEDSEQELQRIEPGEPEESSTIMAAETEAGTLSDKIDDVTSQEEVVTIPNIEETVEKEKKEEHGSLDRAGEGNDSNPDLTVTNLDSAREALKVQEDSEQELQRIEPGEPEESSTVMAAETEAGTLSDKIDDVTSQEEVVTVQNIEETVEKEKKEERGPLDKAGEGNDSNPDLTVINLDSAREASKVQEDSEQELQRIEPGEPEELSTVMAAETEAGTLSDKIDDIPSQEEVVTIQNIEETIEKEKKEGSEDIDVTKVKKAVIEEDLKLVAEASDANKSVENDAEEENIISGGKEVETVEKTFGLDSIQKLEVEEKRENKEEETMNEDIKEVCGDTKLASLSVDTEKHVTTEEHTNTDISPQTTGETTAEEKEKTVKEMEESVITVDEDAEKKFPEEESAINDQSRIIYKGDESGMLVEQEVHEIIQTAEEYGDIGKQGSVIKDSYEVPLSSTNEENPLHKETEDESDKVKPNEEVKDSKSEFTEPFEARGSVDRQEFETLRGKQGPNSDIYLVKASEGVSEKESNKSPVEISYLESESKGEILEEVQPDVNDSSSALTTGITRETSFKEAEPEDKRQIESFEPAPQEKGPMTESTERTTLESVDIDAKPEDSNFIENEDQGIPATSEVEELENEMHEETPITGSEDYKEETTNETSLGNVLDDKQVECSTMLPKEPELMTNEGNKATDENSTTYENIETPQTPQEIEIMLKEDMPINARDASKSVDPRIESIKEEVGSYQFDNLNEHHELDNEKQGGIDISKSSEVRDLANQGEICKLMSLEDEYSSIVGDEALKCIEATSAERKEAILEEDYSTKKYEDSLPDNVEANKAAFELEEGTNNQEQAIGTKDYGLLAEENFEVSESGKKLEGVSESETGDQSSQKIPETDPGKVENITEIQNKSLKSVEQSSLESQEVREETGEKTEPRFETEGDVKETQNTDETVKDVLLTKEVHEKVDKAGSETLKCTEKDVNDTLELCAKSTEDERVHEVKESSLIAEECHEATESNEQTAIMSSDFQETPTMEEMSLAKAINDEDNEMPAVLSTTKPAEGSDEMIKIIKEEDSCCDKIKATTMVEIAKTSLEEAQLDEKPVQVSNITSNDSVSLEIEAEACQQEKIKDNVGLELPSNLASPILIDDHENIMREQVAKENTDADDVQENERASDVVYESKDNGIEELITSKIKEENEKSSEIVESLGVEAAANEIAIGQNPPEVISKEEQGISATTERREENMKDVELLEDDLRKTEEVPLQKDDCRERDISQLELQSNKEIQNQSPKEVLEDECGTPDETKEEIKEGPKLVSMTDSQGFEALKEDESTSGQTVPEEKLEEQNQTPAAALLSKEKDCGTEMIIENIEECVEVEIPTDPQNDSPKKITEDTCLHKEETNELEVSGFGVELNTGMQKDSLNEVQVEESKSPDDASKLQTPEYETSKEAFESMSEAHGHKGFTASEETEIEEKHLEVAITDLAVEGNRSEKIIDPAEIIHDEVIEEGTFERTTNLKTELSKEAFKSISEVHGHEALAESEDTEIKEKNPEVTVTDMAAEENRSERMIDATEIIHDKLKSEEIMEEKEGSKNGDHVTLGEETTKVCQEEYELKAEESLGDKETQKDIQNEELYKEVEKADGIEKQMDTERIIERLHLAAAENETMKESFLDEAGSELHLENQICETAFGNDGRTEAANVKEEEKDVEISQKEVPTDLVEANEATRDIHEEEETSKTTTEHIEEHVKEVEIEVDEHPSAPKTTVNTCSQKEETKEQEVCGSGVECSTDMQKNGSNEFDEEEGRTPDEDSTLQPQGYENKIKDKEYLPESNKMTEITETGAFEKTSDLRAETGEEAFKSVSEVHEHEVLAESEHAEIKKEKHTEVAVTDLVAGENQNKKTIDATDVVHDELTNEELTKQDKQQFKEEKEDTKNCNPINLGEETTKESRQIYDLKAEKSQEDNMENEIQNEEVSNESGEYLHPTAVENETINESFPDEALVENAKDMGTNLQVENHSDEKSSGYDRTEATTITNEEFGTEISEKESLQAPAEANETTQDIHQGERDSDSTSEKPIPIEAEPQETTERKKDAPQVLLQEPIVEATQGAGVGESESGKATGIVDAQGFEHKAERSIDEENIRGNDESMSAKTSLFDMMQSSTRERQVGGDLTEETRVEGEKAKSDEEKEEEEEEEEEGEEHKKTDSGSDAPVMVEASRDIVEVKVASKKHYNILSGVGSKVKNSISKVKKAITGKSSHPKQHSPK >Potri.017G075500.1.v4.1 pep chromosome:Pop_tri_v4:17:8318545:8319632:1 gene:Potri.017G075500.v4.1 transcript:Potri.017G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075500.v4.1 MKLPKWNTYGFFLFLICHSLLSGRSSGHQVAFYVHNKCPFAIWPATAPNTGHPVIADGGFHLTSGETKRIYAPWDWKGRIWARTGCNFTSNGQPACETGDCDGRLACNGLIGTPPVTLMQISLQADGTKPNFYDVSLVDGYNLPVSVTTKQISSKCTIGGCSKNLKNSCPMELQVLNKNGEIVACKSACLAFNLDSFCCSNEYGSPEKCKPSVYSKMFKDACPYYYSYAFDSPAPLVNCASKEYIVTFCPSSWGGDRSSI >Potri.003G188000.2.v4.1 pep chromosome:Pop_tri_v4:3:19254688:19257365:1 gene:Potri.003G188000.v4.1 transcript:Potri.003G188000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G188000.v4.1 MAKKQDLFDLVNVNDGSSPSFSYKSESEDEILQEAAEFAEELQLQEPLKASLVSCPMASNVSSSTSSRTNMEAISEQEIADASCSNNNPTLMTETEAVSRGAAKIISHNSAQDECRKRAESIAAKQEGTPQSPNTKAKKDKGVDPNSDIPLNVKSESVVSRGNGGALDDNKKSRLSKELAPKIVNKPIEQFYCPACAEVPDTIKWYPGLQALISHARKTTKGKRAQLHQNLEKQLTRKFGRKGTSDSSGGEVLSKWKGLKDENKDHEIVWPPMVVVRNTASLKKDENNKRIGITDQELLDLFSSYEAIEKVQHACNSNGHCGMSILIFEGSTRGYLEAERLDRHFADEGTGRNLWNESPLYLLRSGELQLHGYMAEKKDVDLFNQYSTGEPKLKYLITSYQDTVVNRIRQMSEDDNKRIWLNNRVERLEESNGIMREILLKMGFQGQIFEDSQIKTNVDERGKKGGNLESSKEDEEHGNIHESNGSPSNTQDGNSRVPETAKAKGNEVKEKEVADTGKASRRTRKKTK >Potri.012G110700.1.v4.1 pep chromosome:Pop_tri_v4:12:13083303:13086628:1 gene:Potri.012G110700.v4.1 transcript:Potri.012G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110700.v4.1 MGRNPNRNPNQTDCCNPVKKPGPVSIDHVLLALRETKEERDVRIRSLFSFFDAANLGYLDCAQIEAGLSGLQIPAGYKYAKELLEVCDANRDGRVDYQEFRRYMDDKEMELYRIFQAIDVEHNGCILPEELWDALVKAGIEIDEEELARFVEHVDKDNNGIITFEEWRDFLLLYPHEATIENIYHHWERVCHVDIGEQAVIPEGISKHVHRSKYFIAGGIAGAASRSATAPLDRLKVVLQVQTTRACMVPAINKIWKEEGFLGFFRGNGLNVLKVAPESAIKFYAYEMLKNAIGEVKGGDKVDIGPGGRLLAGGMAGAVAQTAIYPLDLVKTRLQTYVCEGGKAPHLGALTKDIWIQEGPRAFYKGLVPSLLGIIPYAGIDLAAYETLKDMSKTYILHDSEPGPLVQLCCGTISGSVGATCVYPLQVIRTRMQAQPPSNAAPYKGISDVFWRTFQNEGYSGFYKGIFPNLLKVVPAVSITYMVYEAMKKSLELD >Potri.016G090700.3.v4.1 pep chromosome:Pop_tri_v4:16:7416641:7419060:-1 gene:Potri.016G090700.v4.1 transcript:Potri.016G090700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090700.v4.1 MSAASASSLVLPSLNHPNTIKSFYSKPNSLFFLSPSSTSSLKPVSLLLSFQHQQQPLSSRFLKNVAISSEFGQEEDFLSDGDEQSFSQDLKLFVGNLPFNVDSARLAGLFETAGNVEMVEVIYDKVTGRSRGFGFVTMTTIEEVEAASKQFNGYELDGRTLRVNFGPPPQKESSFSRGPRGGETFDSGNRVYVGNLSWNVDNLALETLFREKGRVMDAKVVYDRDSGRSRGFGFVTYSSAEEVDNAIDSLNGAELDGRAIRVSVAEAKPRRQF >Potri.016G090700.2.v4.1 pep chromosome:Pop_tri_v4:16:7416650:7419024:-1 gene:Potri.016G090700.v4.1 transcript:Potri.016G090700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090700.v4.1 MSAASASSLVLPSLNHPNTIKSFYSKPNSLFFLSPSSTSSLKPVSLLLSFQHQQQPLSSRFLKNVAISSEFGQEEDFLSDGDEQSFSQDLKLFVGNLPFNVDSARLAGLFETAGNVEMVEVIYDKVTGRSRGFGFVTMTTIEEVEAASKQFNGYELDGRTLRVNFGPPPQKESSFSRGPRGGETFDSGNRVYVGNLSWNVDNLALETLFREKGRVMDAKVVYDRDSGRSRGFGFVTYSSAEEVDNAIDSLNGAELDGRAIRVSVAEAKPRRQF >Potri.001G377800.1.v4.1 pep chromosome:Pop_tri_v4:1:39730266:39735415:1 gene:Potri.001G377800.v4.1 transcript:Potri.001G377800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377800.v4.1 MGERKVLNKYYPPDFDPSKLPRIRRPKNQQIKVRMMLPMSIRCNTCGNYIYKGTKFNSRKEDVIGETYLGIQIFRFYFKCTKCSAEIAMKTDPQNSDYTVESGATRNFEPWRAEDEVLDGEKKKRDAEEMGDAMKSLENRTLDSKREMDILAALDEMKSMKSRHATVSVDAMLEALQHTASEKEKKLEEEDEALIKSIFQQSKKELIRRISDEDSDDDEEFNMSNDKLKKRKVADEVSSKPTDSLTKASVSDSSNNKENSSGSGTKNDSKPSFGSQMIRISVIKKPVASSDSEKPVENKQVEESKGNDTSTGLQSLFQNYDSDDEDD >Potri.009G068900.1.v4.1 pep chromosome:Pop_tri_v4:9:6822461:6825361:-1 gene:Potri.009G068900.v4.1 transcript:Potri.009G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068900.v4.1 MAKRLIPTFNRILVEKIIPPSKTNTGILLPEKTPKMNSGKVVAVGPGARDKDCKLIPVTLKEGDTVLLPEYGGTEVKLGEKEYFLYRDEDIMGTLHD >Potri.001G044300.1.v4.1 pep chromosome:Pop_tri_v4:1:3193033:3196349:-1 gene:Potri.001G044300.v4.1 transcript:Potri.001G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044300.v4.1 MASTSLLPKTISPFLTNPPPLPSTHFTSKPSFFNPSADHHLPCTRKPSILTPYAKKKNPWIDIFDDGEDLDMEYGSLFVDGKQDEDTRPVDNPNNPYGFLKFPKGYNVEVAQLGLKIRGDVRRCCCMISGGVYENLLFFPVIQMLKDRYPGILVDVLASDRGKQCYELNKNVRWANVYDPDGDPEPAIYTDMIGVLKNRYYDLVLSTKLAGLGHASFMFMSSARDKVSYIYPNVNAAGAGLLLTETFTPDSANLSEGGYHMYHQMLDWLGRPIYNVPRQPVPPLRVSLSRKLKQYVEAKYRAAGAEKGKYIVIHGIESDSKASMQSRGDTDSLLPLEVWDQIADAISGFKPVFVIPHEKERENVEEIIYNEDIGILFITTPGQLAALINDSAGVIATNTAAIQLANAREKPSIALFGSEEKGKVFVPNAEEKKCIIVSSKTGKLKDIDVGAVKQAMQIFDMSLALV >Potri.001G210200.1.v4.1 pep chromosome:Pop_tri_v4:1:21502516:21517295:1 gene:Potri.001G210200.v4.1 transcript:Potri.001G210200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210200.v4.1 MLSKVTFSPPNSCSLLYNKVGCSARIGSSRFKVASQFQGDKWKINDIDPMQERLNSWFSKTQNFLNGVTLTLTSPRVKSGDSGKPDNGATVDAQQLEEIFMAEQTIHSSTPNGILSVPAIVSIEQFSRMNGLTGYKSQKIFKALVNESVNNDARNLVEYCCFRFLSRDNSAIHPCLKEPAFQRLIFITMHAWENPYRKENDSEKASFQGMLVGEEAFARIAPAISGIADRSTVHNLFRALAGDQQGISLGTWVTYVDELLKVHGARKSYGTRESTWISDEKILCIGSSKKRPVVKWENNMAWPGKVILTDKALYFEAFDLRGKKDSTRLDLTTDKMQVEKTKVGPFGVVLFDSAVSISSGPKSETWVLEFVDLGGELRRDVWHAFINEVISLHKFICEFGPEEGDQSINQVYGAQKGKERATTSAINSIARLQALQFTKKLLDDPIKLVQFSYLQNVPYGDIVYQTLAVNYWGGALVKKYTDTDYRPSQVAGPSEEVLEISNHVYDIDGSVYLQKWKRSPSWESSASINFWKNSSINQGMVLSKNLVVADVTLIERAAMTCKEKCQLVEITQATIDAATLKGIPSNIDLFKELMLPLTVIAKNFERLRRWEEPHLTISFLAFSYLIIFRNLLPYIFPMMLMVLAAAMLTLKRLKDQGRLGRLFGKVTIRDQPPSNTIQKIIALRDAMQDVENYLQNMNVTLLKIRTIVLAGYPQITTEVALVLFSSAAILLFVPFKYVAACLIFDLFTRELEFRREMAKKFVTFLKERWDTVPAAPVAVLPFESNVSTQGNQQKKIDEQTKKKL >Potri.001G210200.2.v4.1 pep chromosome:Pop_tri_v4:1:21502511:21517325:1 gene:Potri.001G210200.v4.1 transcript:Potri.001G210200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210200.v4.1 MLSKVTFSPPNSCSLLYNKVGCSARIGSSRFKVASQFQGDKWKINDIDPNAVQERLNSWFSKTQNFLNGVTLTLTSPRVKSGDSGKPDNGATVDAQQLEEIFMAEQTIHSSTPNGILSVPAIVSIEQFSRMNGLTGYKSQKIFKALVNESVNNDARNLVEYCCFRFLSRDNSAIHPCLKEPAFQRLIFITMHAWENPYRKENDSEKASFQGMLVGEEAFARIAPAISGIADRSTVHNLFRALAGDQQGISLGTWVTYVDELLKVHGARKSYGTRESTWISDEKILCIGSSKKRPVVKWENNMAWPGKVILTDKALYFEAFDLRGKKDSTRLDLTTDKMQVEKTKVGPFGVVLFDSAVSISSGPKSETWVLEFVDLGGELRRDVWHAFINEVISLHKFICEFGPEEGDQSINQVYGAQKGKERATTSAINSIARLQALQFTKKLLDDPIKLVQFSYLQNVPYGDIVYQTLAVNYWGGALVKKYTDTDYRPSQVAGPSEEVLEISNHVYDIDGSVYLQKWKRSPSWESSASINFWKNSSINQGMVLSKNLVVADVTLIERAAMTCKEKCQLVEITQATIDAATLKGIPSNIDLFKELMLPLTVIAKNFERLRRWEEPHLTISFLAFSYLIIFRNLLPYIFPMMLMVLAAAMLTLKRLKDQGRLGRLFGKVTIRDQPPSNTIQKIIALRDAMQDVENYLQNMNVTLLKIRTIVLAGYPQITTEVALVLFSSAAILLFVPFKYVAACLIFDLFTRELEFRREMAKKFVTFLKERWDTVPAAPVAVLPFESNVSTQGNQQKKIDEQTKKKL >Potri.002G056500.1.v4.1 pep chromosome:Pop_tri_v4:2:3841395:3852722:1 gene:Potri.002G056500.v4.1 transcript:Potri.002G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056500.v4.1 MESANEMTSSLSFASSSYLSNGSSIHHVSASNVPEPGVNLENLSLNKLSGNLERLLLDKEYDYSDAEIFVEGTPVGVHRCVLAARSQFFHELFKKGNNNSTNGDKPRYLMSDLVPYGGVGYEAFHVFLHYLYTGKLKPSPPEVSRCVDDACAHDVCRPAINYVVELMCASATFQMKELVLLFQRRLLNFIEKALVEDVIPILMAAFHYQLDQLLSHCIERLVRSDLDSTCIDKELPDEISSKIKLLRKKSLPEAESSVEEVDPILEKSFRRIHKALDSDDVELVELLLSESNLTLDDAYALHYAVAYCDPKIVKEVLSLGSADLNLRNSRGYSVLHVAARRKEPSIIMALLTRGASASETTLDGQNAVAICRRLTRPKDYNENTKQGQESNKDRICIDVLETDMRRRNSMSANVSTLSPSVADDLSMKLDYLENRVAFARLLFPAEARLAMDSANANSTSMYTGLLASKSKGSSGDLREVDLNETPTVQAKRLQSRLQALHKTVETGRHYFPHCSKVVDKFLDDDMPDALFLDKGTPEEQKTKKMRFTELKDDVQKAFYKDMENNNRSARSSSSSSSSSPKSGVTYKARRKF >Potri.016G137000.1.v4.1 pep chromosome:Pop_tri_v4:16:14072497:14077534:-1 gene:Potri.016G137000.v4.1 transcript:Potri.016G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137000.v4.1 MLLKFLFLAVLAPLLLVQCLDSINDDVLGLIVFKAGLQDPESKLSSWNEDDDSPCNWVGVKCDPNTHRVTELVLDGFSLSGHIGRGLLRLQFLQVLSLANNNFNGTINPDLPRLGGLQVIDLSENGLSGSIPDGFFQQCGSLRSVSFARNDLTGMIPGSLSFCMSLSVVNFSSNGLSGELPSGLWYLRGLQSLDLSDNLLEGEIPEGIANLYALRAINLRRNRFTGQLPVDIGGCQVLKLLDFSENALSGGLPESLQRLSSCATVRLGGNSFTGEVPGWIGELTSLESLDLSVNRLSGRIPVSIGNLNVLKELNLSMNQLTGGLPESMANCVNLLAIDVSHNRLTGNLPSWIFKTGLKSVSLSGNKLDESIEHPSGVSLAASLESLQVLDLSSNVFSGEIPSDIGVLSSLQLFNVSRNQLFGSIPPSVGELTMIQALDLSDNRLTGSIPSEIGGAVSLKELRLEMNFLTGKIPTQIKKCSSLTSLIISGNNLSGPIPVAIANLTNLQYVDLSFNRFSGSLPKELANLSHLLSFNISHNNLKGDLPLGGFFNTISPSSVSRNPSLCGSVVNRSCPSVHQKPIVLNPNSSGSSNGTSFNLHHRKIALSISALIAIGAAACITLGVVAVTLLNIRARSSMARSPAAFTFSGGEDFSCSPTNDPNYGKLVMFSGDADFVAGAQALLNKDSELGRGGFGVVYRTILRDGRSVAIKKLTVSSLIKSQDEFEREVKKLGEVRHHNLVTLEGYYWTPSLQLLIYEYVSSGSLYKHLHDGPDKNYLSWRHRFNIILGMARGLAHLHHMNITHYNLKSTNILIDDSGEPKVGDFGLAKLLPTLDRCILSSKIQSALGYMAPEFACRTVKITEKCDVYGFGVLVLEVVTGKRPVEYMEDDVVVLCDMVRGALEDGRVEECIDGRLRGNFPADEAIPVVKLGLICSSQVPSNRPDMEEVVNILELIQCPAGGQEELE >Potri.003G073450.1.v4.1 pep chromosome:Pop_tri_v4:3:10119034:10120119:-1 gene:Potri.003G073450.v4.1 transcript:Potri.003G073450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073450.v4.1 MTGWWPVTQEIGFGVCSEEHDELLMIRSLRLMTAKAPNGAAVIETINREEAEEIAKGEEEGKRWRKL >Potri.019G107800.1.v4.1 pep chromosome:Pop_tri_v4:19:14132458:14135714:1 gene:Potri.019G107800.v4.1 transcript:Potri.019G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G107800.v4.1 MDPVLFKAAEAGNIGPFENYQTSLNQLLTADENTILHVYLKNQSSEPESTDFVDKFLERCPPLLFQANKRGETPLHLAARNGHSNVVKVLIDRAKALPADPESGVTKAKMMLRMTNEEQDTALHEAARNRRSHVVEILTKEDPEFSYPANVHGETPLYIAASIGFLMFSEEHGKVVDGILGNCISVDYGGPDGRTALHAASMAANYETARKMLEKEKKLTKTTDENGWSPLHYASYCDWSNAPIVEVLLEYDASAASIAETEKKRTALHIAAIQGHVDAMKEIVSRCPACCDLVDNRGWNALHYAVASKDTKVFKECLKIPELARLQTEKDDKGNTPFHLIAALAHEQPEWRYVLFNDSNGYRKWQIYGLNKRKLSVNDIYEEDFGEIQKEILESLNDGGSGPLGRRRKVLRRGRNKEGEDALSKARESHLVVAALIATVTFAAAFTLPGGYKSDRGTAILAKKAAFIVFVVSDAMSMVLSISAVFIHFLISLIHAFEMEKSKDISEEAAIKLFGVATLFTMIGMGIMIIAFITGTYAVLEPSLGLAISSCLIGLSFFFIVYLVFRIIFKDVED >Potri.007G089200.1.v4.1 pep chromosome:Pop_tri_v4:7:11439160:11440802:-1 gene:Potri.007G089200.v4.1 transcript:Potri.007G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G089200.v4.1 MLLGKRPRGPMRRTASMTGITVDLPRNVDAGSSEPNSDNNDTLHATAGPEEGSLDYHHNNNMVDAFDGGNTSGFLLHDQRLLATMVSPRNHYRSSDSSDHFVEAAHFLRTCGLCKRRLGPGKDLFMYRGDMAFCSQECREQQMKQDARKEKGNVMIASKNEDRRASASTTSSKSSRKSETLAAA >Potri.012G051900.2.v4.1 pep chromosome:Pop_tri_v4:12:4802118:4807109:-1 gene:Potri.012G051900.v4.1 transcript:Potri.012G051900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051900.v4.1 MEREQQSLSLNTPLCEGNGVGLYEERNQERGISKKEILEEVKRQLWLAGPLISVSLLQYCIQMISVMFVGHLGELSLSGASMATSFASVTGFSLLLGMASALDTFCGQAYGARQFHMLSIHMQRAMVVLLLVSIPLAIIWANTRPILIACGQQKDIAEEAGLYARFMIPSLFAYGLLQCLVKFLQTQNIVFPMMLCAGITTLLHILVCWVLVFKSGLGYIGAALASSFSYWINVLLLVLYVKFSSSCSKTWTGFSKEAFHDIVNFMRLAIPSAVMVCLEMWSFESMVLMSGLLPNPELETSVLSISLNTAATVWMIPYGLSGAASTRVSNELGAQHPYRARLAVCVVITIAVAEGILVGIVLILIRNVWGYAYSNDIEVVDYVAVMLPVVATSNFLDGLQCVLSGVARGCGWQKIGAYINLGSYYLVGIPIAILLAFVFHVGGKGLWLGIICALIVQVFSLATITIRTNWEQEAKKATERVYDEVIPVDTVS >Potri.012G051900.1.v4.1 pep chromosome:Pop_tri_v4:12:4802118:4806630:-1 gene:Potri.012G051900.v4.1 transcript:Potri.012G051900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051900.v4.1 MEREQQSLSLNTPLCEGNGVGLYEERNQERGISKKEILEEVKRQLWLAGHLGELSLSGASMATSFASVTGFSLLLGMASALDTFCGQAYGARQFHMLSIHMQRAMVVLLLVSIPLAIIWANTRPILIACGQQKDIAEEAGLYARFMIPSLFAYGLLQCLVKFLQTQNIVFPMMLCAGITTLLHILVCWVLVFKSGLGYIGAALASSFSYWINVLLLVLYVKFSSSCSKTWTGFSKEAFHDIVNFMRLAIPSAVMVCLEMWSFESMVLMSGLLPNPELETSVLSISLNTAATVWMIPYGLSGAASTRVSNELGAQHPYRARLAVCVVITIAVAEGILVGIVLILIRNVWGYAYSNDIEVVDYVAVMLPVVATSNFLDGLQCVLSGVARGCGWQKIGAYINLGSYYLVGIPIAILLAFVFHVGGKGLWLGIICALIVQVFSLATITIRTNWEQEAKKATERVYDEVIPVDTVS >Potri.010G028000.2.v4.1 pep chromosome:Pop_tri_v4:10:4022302:4023003:1 gene:Potri.010G028000.v4.1 transcript:Potri.010G028000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028000.v4.1 MAEPPNQTHPSPQQPLHQIDADEDDDNVKQLKQCSSLYLSLQECLVNSNRNWKSCQKEVHALKVCNERMKNDKGK >Potri.006G231800.2.v4.1 pep chromosome:Pop_tri_v4:6:23483334:23490091:1 gene:Potri.006G231800.v4.1 transcript:Potri.006G231800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231800.v4.1 MADESQYSTATDTTPPSSNKRKYDDQSAPPPSTRRQTGFSSPISDPAPPPSYNSVAPPADEIQMAKQKAQEIAARIMSGAGADIKRPRAENGASGFDSSENNKGFSSAPLDMKSTMSNSAPSSIPVSYGSYLGGSGSSKKIDIPQGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSPTRMVELMGTPEQIAKAEQLINDVLAEGTYSGECFAVQAEAGGSGTVSQRFSGHGGSEHFAMTIPNNKVGLVIGKGGETIKNMQARSGARIQVIPLHLPPGDTSAERTVHIEGTSEQVETAKQLVNEVTSENRMRNPNMGGGYPQQGYQARPPTNWGPSSAPPMQQAGYGYMQTGAYPGASAQYNMSQPAYQGYPPQQPSGGYPSNWDQSAVSANQQNQVYDYYSQPPSSQQQTPGASAAPADSTGYNYSQAPASGYNQQGQGYSQDGYGGYQQPGYGQPPPYDQQQGYTAAPNYSNVANPTQEGHTPSYGAQADSAQGSSQPSATGQQGYSTGQQPSPNPASYPSQGAAQPGYGLPPSSQAGYGGQPPAQYASYGAPQSQKPPANPPVYGQSQQSPSTPGGYGQPAGQPGYPPSQPPPSGYVQPPDSGSQRAAPSSYGAAGAQPGYAPTYGAPPAGQPGYGQGAQPYNASYGSGYPQPAAYSADGNAANNARGTYELAPASQTAAQQSGVAKASPQS >Potri.006G231800.1.v4.1 pep chromosome:Pop_tri_v4:6:23483346:23490448:1 gene:Potri.006G231800.v4.1 transcript:Potri.006G231800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231800.v4.1 MADESQYSTATDTTPPSSNKRKYDDQSAPPPSTRRQTGFSSPISDPAPPPSYNSVAPPADEIQMAKQKAQEIAARIMSGAGADIKRPRAENGASGFDSSENNKGFSSAPLDMKSTMSNSAPSSIPVSYGSYLGGSGSSKKIDIPQGRVGVIIGKGGETIKYLQLQSGAKIQVTRDMDADPNSPTRMVELMGTPEQIAKAEQLINDVLAEAEAGGSGTVSQRFSGHGGSEHFAMTIPNNKVGLVIGKGGETIKNMQARSGARIQVIPLHLPPGDTSAERTVHIEGTSEQVETAKQLVNEVTSENRMRNPNMGGGYPQQGYQARPPTNWGPSSAPPMQQAGYGYMQTGAYPGASAQYNMSQPAYQGYPPQQPSGGYPSNWDQSAVSANQQNQVYDYYSQPPSSQQQTPGASAAPADSTGYNYSQAPASGYNQQGQGYSQDGYGGYQQPGYGQPPPYDQQQGYTAAPNYSNVANPTQEGHTPSYGAQADSAQGSSQPSATGQQGYSTGQQPSPNPASYPSQGAAQPGYGLPPSSQAGYGGQPPAQYASYGAPQSQKPPANPPVYGQSQQSPSTPGGYGQPAGQPGYPPSQPPPSGYVQPPDSGSQRAAPSSYGAAGAQPGYAPTYGAPPAGQPGYGQGAQPYNASYGSGYPQPAAYSADGNAANNARGTYELAPASQTAAQQSGVAKASPQS >Potri.005G039300.4.v4.1 pep chromosome:Pop_tri_v4:5:2518678:2526015:1 gene:Potri.005G039300.v4.1 transcript:Potri.005G039300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039300.v4.1 MSQQENSSRDKRWSLQGMTALVTGGTKGLGYAIVGELAALGAIVHTCGRSQDQLSENIREWKAKGFKVTGSVCDLSSNAEREKLMEEVSSFFDGKLDILINNAGGNIHKGTLDYTTEDFVSIMNINLGSAFHLSQLAHPLLKASGAGRIVFMSSIASVVSINPQYPIYSATKGAMNQLTRNLACEWAKDNIRVNAVAPWSVRTPLTEQFLDDEKIRKDVVNRTPMKRFGEPGDVSSVVAFLCFPAPGFLTGQVICVDGGMSVNGFSMD >Potri.004G020500.3.v4.1 pep chromosome:Pop_tri_v4:4:1491008:1493835:-1 gene:Potri.004G020500.v4.1 transcript:Potri.004G020500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:THI1 MASTLTSSLSINLKSSFLDHKSSFHGTPITTTGRFTPIKSTSPAITMSLTQPSYDLQSFKFQPIKESIVSREMTRRYMTDMVTYADTDVVVVGAGSAGLSCAYELSKNPSVRVAIIEQSVSPGGGAWLGGQLFSAMIVRKPAHRFLDELEIEYDEADNYVVIKHAALFTSTIMSKLLARPNVKLFNAVAAEDLIVKGGRVSGVVTNWALVSMNHNTQSCMDPNVMEAKIVVSSCGHDGPFGATGVKRLKSIGMIDSVPGMKALDMNAAEDAIVRLTREIVPGMIVTGMEVAEIDGAPRMGPTFGAMMISGQKAAHLALKALGQPNALDGTFSLQPELVLAAAEAGEIVDA >Potri.010G227700.4.v4.1 pep chromosome:Pop_tri_v4:10:21095382:21098563:-1 gene:Potri.010G227700.v4.1 transcript:Potri.010G227700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227700.v4.1 MFYFFFESRTSKKDPVVIWLTGGPGCSSELAMFYENGPYTIANNLSLVRNEYGWDKVSNLLYVDQPTGTGYSYSSDRRDIRHNEGGVSNDLYDFLQAFFEEHPELAENDFYITGESYAGHYIPAFAARVHKGNKAKEGIHVNLKGFAIGNGLTDPAIQYKAYTDYALDMGIIKQAEHDRINKIVPACEVAIKLCGTDGTVSCLASYLVCNTIFSSILSVAGNINYYDVRKKCEGSLCYDFSNMEKFLGQKSVKEALGVGDIDFVSCSTTVYMAMLTDWMRNLEVGIPALLEDGVKLLVYAGEYDLICNWLGNSRWVHAMEWYGQKEFVASPEVPFEVSGSEAGVLKSYGPLAFLKVHNAGHMVPMDQPEASLEMLKRWTQGKLSEVTQEPQQLVAEM >Potri.010G227700.1.v4.1 pep chromosome:Pop_tri_v4:10:21095337:21099492:-1 gene:Potri.010G227700.v4.1 transcript:Potri.010G227700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227700.v4.1 MENLNFLSVILLSLIAISHARIPDNPYLNLERSNFPSVQAGKMIRELNLFPKSEVNVIGGGDDGAGAISESGHNKRIVERKFRFPNVVGDEEESFTVDDLGHHAGYYKIEHSHDARMFYFFFESRTSKKDPVVIWLTGGPGCSSELAMFYENGPYTIANNLSLVRNEYGWDKVSNLLYVDQPTGTGYSYSSDRRDIRHNEGGVSNDLYDFLQAFFEEHPELAENDFYITGESYAGHYIPAFAARVHKGNKAKEGIHVNLKGFAIGNGLTDPAIQYKAYTDYALDMGIIKQAEHDRINKIVPACEVAIKLCGTDGTVSCLASYLVCNTIFSSILSVAGNINYYDVRKKCEGSLCYDFSNMEKFLGQKSVKEALGVGDIDFVSCSTTVYMAMLTDWMRNLEVGIPALLEDGVKLLVYAGEYDLICNWLGNSRWVHAMEWYGQKEFVASPEVPFEVSGSEAGVLKSYGPLAFLKVHNAGHMVPMDQPEASLEMLKRWTQGKLSEVTQEPQQLVAEM >Potri.010G227700.2.v4.1 pep chromosome:Pop_tri_v4:10:21095394:21099423:-1 gene:Potri.010G227700.v4.1 transcript:Potri.010G227700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227700.v4.1 MENLNFLSVILLSLIAISHARIPDNPYLNLERSNFPSVQAGKMIRELNLFPKSEVNVIGGGDDGAGAISESGHNKRIVERKFRFPNVVGDEEESFTVDDLGHHAGYYKIEHSHDARMFYFFFESRTSKKDPVVIWLTGGPGCSSELAMFYENGPYTIANNLSLVRNEYGWDKVSNLLYVDQPTGTGYSYSSDRRDIRHNEGGVSNDLYDFLQAFFEEHPELAENDFYITGESYAGHYIPAFAARVHKGNKAKEGIHVNLKGFAIGNGLTDPAIQYKAYTDYALDMGIIKQAEHDRINKIVPACEVAIKLCGTDGTVSCLASYLVCNTIFSSILSVAGNINKSVKEALGVGDIDFVSCSTTVYMAMLTDWMRNLEVGIPALLEDGVKLLVYAGEYDLICNWLGNSRWVHAMEWYGQKEFVASPEVPFEVSGSEAGVLKSYGPLAFLKVHNAGHMVPMDQPEASLEMLKRWTQGKLSEVTQEPQQLVAEM >Potri.010G227700.3.v4.1 pep chromosome:Pop_tri_v4:10:21095431:21099423:-1 gene:Potri.010G227700.v4.1 transcript:Potri.010G227700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227700.v4.1 MENLNFLSVILLSLIAISHARIPDNPYLNLERSNFPSVQAGKMIRELNLFPKSEVNVIGGGDDGAGAISESGHNKRIVERKFRFPNVVGDEEESFTVDDLGHHAGYYKIEHSHDARMFYFFFESRTSKKDPVVIWLTGGPGCSSELAMFYENGPYTIANNLSLVRNEYGWDKVSNLLYVDQPTGTGYSYSSDRRDIRHNEGGVSNDLYDFLQAFFEEHPELAENDFYITGESYAGHYIPAFAARVHKGNKAKEGIHVNLKGFAIGNGLTDPAIQYKAYTDYALDMGIIKQAEHDRINKIVPACEVAIKLCGTDGTVSCLASYLVCNTIFSSILSVAGNINYYDVRKKCEGSLCYDFSNMEKFLGQKSVKEALGVGDIDFVSCSTTVYMAMLTDWMRNLEVGIPALLEDGVKLLVYAGEYDLICNWLAGNSRWVHAMEWYGQKEFVASPEVPFEVSGSEAGVLKSYGPLAFLKVHNAGHMVPMDQPEASLEMLKRWTQGKLSEVTQEPQQLVAEM >Potri.011G093500.1.v4.1 pep chromosome:Pop_tri_v4:11:12067270:12070461:1 gene:Potri.011G093500.v4.1 transcript:Potri.011G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G093500.v4.1 MQRLTILLSLLFLLSTSTTFTRGHNITHILGKHPSFSTFNHYLTLTHLAGEINSRNTITVCAVDNAAMSELLSKHPSIATIKNILSLHVLLDYFGTKKLHQIREGTALAATMFQATGSAPGSTGFVNITDVKGGKVAFGPEDNEGNLDVFYVKSLEEIPYNISVIQISKVLPSDVAAAPTPEPSAMNITDIMSAHGCKVFADTLIANPEASKTYQDSVDGGLTVFCPLDDPFKAFFPKFKNLTASGKVSFLEFFGVPIYQSLAMLKSNNGIMNTLATDGEKKFDFTVQNDGEDVTLKTRSITAKIVGTLIDEQPLAIYTIDKVLLPKELFKAAPTPAPAPAPEKEVADAPKSSKHKKPSSDVVPSDSPADSPDGDLADQTADDNASVTLYGGRLVAMLLSLCSGLLLL >Potri.011G058100.2.v4.1 pep chromosome:Pop_tri_v4:11:4785557:4790150:1 gene:Potri.011G058100.v4.1 transcript:Potri.011G058100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G058100.v4.1 MLLFVFLSILFFPSSTLSLNQEGLYLQQIKLSLSDPDSALSSWSDRDTTPCSWSGIKCDPTTSSITSIDLSNSNVAGPFPSLLCRLQNLTSLSFSINNINSTLPLDISTCQNLQHLDLSQNLLTGTLPHTLADLPNLRYLDLTGNNFSGDIPDTFARFQKLEVISLVYNLMDGIIPPFLGNITTLRMLNLSYNPFTPGRVPPEFGNLTNLETLWLTQCNLNGEIPDSLGRLKKLKDLDLALNNLGGSIPGSLTELTSVVQIELYNNSLTGGLPRGLGKLTELKRLDVSMNRLTGWIPDELCQLPLESLNLYENGFTGTLPASIADSPSLYELRLFQNRLTGELPQNLGKNAPLRWIDVSNNDLTGQIPASLCENGELEEILMIYNSFSGQIPESLSQCRSLTRVRLGYNRLSGEVPAGLWGLPHVSLFDLFNNSFSGPISKTIASAANLSKLIIDMNNFDGNIPEEIGFLANLSEFSGSENRFNGSLPGSIVNLKELGSLDLHGNALSGDLPDGVNSWKKMNELNLASNAFSGNIPDGIGGMSLLNYLDLSNNRLSGKIPIGLQNLKLNKLNLSNNRLSGGIPPLFAKEMYKSSFVGNPGLCGDIEGLCDGRGGGRGIGYAWSMRSIFALAVFLLIFGVVWFYFKYRNFKKARAVDKSKWTLMSFHNLGFSEYEILDCLDEDNVIGSGSSGKVYKVVLSNGEAVAVKKLWGGQKKQGGDVDVEKGQVIQDNGFDAEVATLSKIRHKNIVKLWCCCTTRDCNLLVYEYMSNGSLGDLLHSSKGGLLDWPTRYKIVADAAEGLSYLHHDCVPPIVHRDVKSNNILLDGDYGARVADFGVAKVFESTGKLKSMSIIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGKRPVDPDYGEKDLVNWVCTTLDLKGVDHVIDPRLDSCFKEEICKVLNIGILCTSPLPINRPSMRRVVKMLQEIGADNQSKTAKKDGKLTPYYFEDASDHGSVA >Potri.002G124300.5.v4.1 pep chromosome:Pop_tri_v4:2:9452291:9459706:1 gene:Potri.002G124300.v4.1 transcript:Potri.002G124300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124300.v4.1 MQGQRSIIGSLPETLDFDHGSTSGNAIIDQQICLSNMRNPAENRLTEFMLSPSRMNNPYANSIDQERQNLSGWSLGEPSSSGQQNQASHDDRKLEHGWSSSASSSAGAGPRLEERRYEPTNILSLNRVNVNPQFERISNPDAIPQNINLNAGFVGHGGDNCQVMDASAIYKPIGAESERNSPASGPDNFLRPGNGGYLVEQNDGRPGCSLDGRRQSCKRKAVEGNIGQSSVAGSSSFYQRSESNPWPGVPNRYDAGSSLSISAPSEQVNPRLGLGVRGLACDAIPESAVAERAESSHRNFRLRINSSNQESSPPSLFSTGSTVRRSSLSSSHRSSRPLPIDHSLDFRSSSAMDSITPQNQPVIPAPALPQNVQSFRWNESSSSRTGTSSSSISLDDREEPNARNITRHIWEHPIFAPATELRTSVRNPGNRSLTSGQVSGPGNVGSTSRTGASPGIHPLSAPTWGPHTNPPSRNSRRLAEYARRSLFSSSAAAAADTGGQSSTHSPFHSGASSSEDTVPSSGAGNQGLHRPHPRSASWLERQGDGVLGIPYPLRALSSEGRSRLVVSEQIRNVLDLMRRGESLRFEDVMILDQSVLFGAADMYDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETIVNNLKQQKYSVAVGAKVEAEPCCICQEEYNDGEDLGTLDCGHDFHAGCVKQWLMHKNWCPICKTTGLAT >Potri.002G124300.9.v4.1 pep chromosome:Pop_tri_v4:2:9452370:9459702:1 gene:Potri.002G124300.v4.1 transcript:Potri.002G124300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124300.v4.1 MQGQRSIIGSLPETLDFDHGSTSGNAIIDQQICLSNMRNPAENRLTEFMLSPSRMNNPYANSIDQERQNLSGWSLGEPSSSGQQNQASHDDRKLEHGWSSSASSSAGAGPRLEERRYEPTNILSLNRVNVNPQFERISNPDAIPQNINLNAGFVGHGGDNCQVMDASAIYKPIGAESERNSPASGPDNFLRPGNGGYLVEQNDGRPGCSLDGRRQSCKRKAVEGNIGQSSVAGSSSFYQRSESNPWPGVPNRYDAGSSLSISAPSEQVNPRLGLGVRGLACDAIPESAVAERAESSHRNFRLRINSSNQESSPPSLFSTGSTVRRSSLSSSHRSSRPLPIDHSLDFRSSSAMDSITPQNQPVIPAPALPQNVQSFRWNESSSSRTGTSSSSISLDDREEPNARNITRHIWEHPIFAPATELRTSVRNPGNRSLTSGQVSGPGNVGSTSRTGASPGIHPLSAPTWGPHTNPPSRNSRRLAEYARRSLFSSSAAAAADTGGQSSTHSPFHSGASSSEDTVPSSGAGNQGLHRPHPRSASWLERQGDGVLGIPYPLRALSSEGRSRLVVSEIRNVLDLMRRGESLRFEDVMILDQSVLFGAADMYDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETIVNNLKQQKYSVAVGAKVEAEPCCICQEEYNDGEDLGTLDCGHDFHAGCVKQWLMHKNWCPICKTTGLAT >Potri.002G124300.10.v4.1 pep chromosome:Pop_tri_v4:2:9452504:9459677:1 gene:Potri.002G124300.v4.1 transcript:Potri.002G124300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124300.v4.1 MQGQRSIIGSLPETLDFDHGSTSGNAIIDQQICLSNMRNPAENRLTEFMLSPSRMNNPYANSIDQERQNLSGWSLGEPSSSGQQNQASHDDRKLEHGWSSSASSSAGAGPRLEERRYEPTNILSLNRVNVNPQFERISNPDAIPQNINLNAGFVGHGGDNCQVMDASAIYKPIGAESERNSPASGPDNFLRPGNGGYLVEQNDGRPGCSLDGRRQSCKRKAVEGNIGQSSVAGSSSFYQRSESNPWPGVPNRYDAGSSLSISAPSEQVNPRLGLGVRGLACDAIPESAVAERAESSHRNFRLRINSSNQESSPPSLFSTGSTVRRSSLSSSHRSSRPLPIDHSLDFRSSSAMDSITPQNQPVIPAPALPQNVQSFRWNESSSSRTGTSSSSISLDDREEPNARNITRHIWEHPIFAPATELRTSVRNPGNRSLTSGQVSGPGNVGSTSRTGASPGIHPLSAPTWGPHTNPPSRNSRRLAEYARRSLFSSSAAAAADTGGQSSTHSPFHSGASSSEDTVPSSGAGNQGLHRPHPRSASWLERQGDGVLGIPYPLRALSSEGRSRLVVSEIRNVLDLMRRGESLRFEDVMILDQSVLFGAADMYDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETIVNNLKQQKYSVAVGAKVEAEPCCICQEEYNDGEDLGTLDCGHDFHAGCVKQWLMHKNWCPICKTTGLAT >Potri.002G124300.7.v4.1 pep chromosome:Pop_tri_v4:2:9452333:9459706:1 gene:Potri.002G124300.v4.1 transcript:Potri.002G124300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124300.v4.1 MQGQRSIIGSLPETLDFDHGSTSGNAIIDQQICLSNMRNPAENRLTEFMLSPSRMNNPYANSIDQERQNLSGWSLGEPSSSGQQNQASHDDRKLEHGWSSSASSSAGAGPRLEERRYEPTNILSLNRVNVNPQFERISNPDAIPQNINLNAGFVGHGGDNCQVMDASAIYKPIGAESERNSPASGPDNFLRPGNGGYLVEQNDGRPGCSLDGRRQSCKRKAVEGNIGQSSVAGSSSFYQRSESNPWPGVPNRYDAGSSLSISAPSEQVNPRLGLGVRGLACDAIPESAVAERAESSHRNFRLRINSSNQESSPPSLFSTGSTVRRSSLSSSHRSSRPLPIDHSLDFRSSSAMDSITPQNQPVIPAPALPQNVQSFRWNESSSSRTGTSSSSISLDDREEPNARNITRHIWEHPIFAPATELRTSVRNPGNRSLTSGQVSGPGNVGSTSRTGASPGIHPLSAPTWGPHTNPPSRNSRRLAEYARRSLFSSSAAAAADTGGQSSTHSPFHSGASSSEDTVPSSGAGNQGLHRPHPRSASWLERQGDGVLGIPYPLRALSSEGRSRLVVSEIRNVLDLMRRGESLRFEDVMILDQSVLFGAADMYDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETIVNNLKQQKYSVAVGAKVEAEPCCICQEEYNDGEDLGTLDCGHDFHAGCVKQWLMHKNWCPICKTTGLAT >Potri.002G124300.6.v4.1 pep chromosome:Pop_tri_v4:2:9452282:9459676:1 gene:Potri.002G124300.v4.1 transcript:Potri.002G124300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124300.v4.1 MQGQRSIIGSLPETLDFDHGSTSGNAIIDQQICLSNMRNPAENRLTEFMLSPSRMNNPYANSIDQERQNLSGWSLGEPSSSGQQNQASHDDRKLEHGWSSSASSSAGAGPRLEERRYEPTNILSLNRVNVNPQFERISNPDAIPQNINLNAGFVGHGGDNCQVMDASAIYKPIGAESERNSPASGPDNFLRPGNGGYLVEQNDGRPGCSLDGRRQSCKRKAVEGNIGQSSVAGSSSFYQRSESNPWPGVPNRYDAGSSLSISAPSEQVNPRLGLGVRGLACDAIPESAVAERAESSHRNFRLRINSSNQESSPPSLFSTGSTVRRSSLSSSHRSSRPLPIDHSLDFRSSSAMDSITPQNQPVIPAPALPQNVQSFRWNESSSSRTGTSSSSISLDDREEPNARNITRHIWEHPIFAPATELRTSVRNPGNRSLTSGQVSGPGNVGSTSRTGASPGIHPLSAPTWGPHTNPPSRNSRRLAEYARRSLFSSSAAAAADTGGQSSTHSPFHSGASSSEDTVPSSGAGNQGLHRPHPRSASWLERQGDGVLGIPYPLRALSSEGRSRLVVSEQIRNVLDLMRRGESLRFEDVMILDQSVLFGAADMYDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETIVNNLKQQKYSVAVGAKVEAEPCCICQEEYNDGEDLGTLDCGHDFHAGCVKQWLMHKNWCPICKTTGLAT >Potri.002G124300.8.v4.1 pep chromosome:Pop_tri_v4:2:9452282:9459703:1 gene:Potri.002G124300.v4.1 transcript:Potri.002G124300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124300.v4.1 MQGQRSIIGSLPETLDFDHGSTSGNAIIDQQICLSNMRNPAENRLTEFMLSPSRMNNPYANSIDQERQNLSGWSLGEPSSSGQQNQASHDDRKLEHGWSSSASSSAGAGPRLEERRYEPTNILSLNRVNVNPQFERISNPDAIPQNINLNAGFVGHGGDNCQVMDASAIYKPIGAESERNSPASGPDNFLRPGNGGYLVEQNDGRPGCSLDGRRQSCKRKAVEGNIGQSSVAGSSSFYQRSESNPWPGVPNRYDAGSSLSISAPSEQVNPRLGLGVRGLACDAIPESAVAERAESSHRNFRLRINSSNQESSPPSLFSTGSTVRRSSLSSSHRSSRPLPIDHSLDFRSSSAMDSITPQNQPVIPAPALPQNVQSFRWNESSSSRTGTSSSSISLDDREEPNARNITRHIWEHPIFAPATELRTSVRNPGNRSLTSGQVSGPGNVGSTSRTGASPGIHPLSAPTWGPHTNPPSRNSRRLAEYARRSLFSSSAAAAADTGGQSSTHSPFHSGASSSEDTVPSSGAGNQGLHRPHPRSASWLERQGDGVLGIPYPLRALSSEGRSRLVVSEIRNVLDLMRRGESLRFEDVMILDQSVLFGAADMYDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETIVNNLKQQKYSVAVGAKVEAEPCCICQEEYNDGEDLGTLDCGHDFHAGCVKQWLMHKNWCPICKTTGLAT >Potri.002G124300.2.v4.1 pep chromosome:Pop_tri_v4:2:9452370:9460014:1 gene:Potri.002G124300.v4.1 transcript:Potri.002G124300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G124300.v4.1 MQGQRSIIGSLPETLDFDHGSTSGNAIIDQQICLSNMRNPAENRLTEFMLSPSRMNNPYANSIDQERQNLSGWSLGEPSSSGQQNQASHDDRKLEHGWSSSASSSAGAGPRLEERRYEPTNILSLNRVNVNPQFERISNPDAIPQNINLNAGFVGHGGDNCQVMDASAIYKPIGAESERNSPASGPDNFLRPGNGGYLVEQNDGRPGCSLDGRRQSCKRKAVEGNIGQSSVAGSSSFYQRSESNPWPGVPNRYDAGSSLSISAPSEQVNPRLGLGVRGLACDAIPESAVAERAESSHRNFRLRINSSNQESSPPSLFSTGSTVRRSSLSSSHRSSRPLPIDHSLDFRSSSAMDSITPQNQPVIPAPALPQNVQSFRWNESSSSRTGTSSSSISLDDREEPNARNITRHIWEHPIFAPATELRTSVRNPGNRSLTSGQVSGPGNVGSTSRTGASPGIHPLSAPTWGPHTNPPSRNSRRLAEYARRSLFSSSAAAAADTGGQSSTHSPFHSGASSSEDTVPSSGAGNQGLHRPHPRSASWLERQGDGVLGIPYPLRALSSEGRSRLVVSEQIRNVLDLMRRGESLRFEDVMILDQSVLFGAADMYDRHRDMRLDVDNMSYEELLALEERIGNVSTGLSEETIVNNLKQQKYSVAVGAKVEAEPCCICQEEYNDGEDLGTLDCGHDFHAGCVKQWLMHKNWCPICKTTGLAT >Potri.003G139600.1.v4.1 pep chromosome:Pop_tri_v4:3:15602418:15607569:1 gene:Potri.003G139600.v4.1 transcript:Potri.003G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9C2 MVKFARLISMAPLLLLLCFPLAFAGHDYGQALSKSLLFFEAQRSGYLPHNQRVTWRANSGLNDGKASGVDLVGGYYDAGDNVKFGLPMAFTITMMSWSIIEYGKQLGSSGELGNTMDAVKWGTDYLIKAHPQPYVLYGEVGDGNTDHYCWQRPEDMTTDRRAYKIDPSNPGSDLAGETAAAMAAASIVFRHSNPTYANELLTHAHQLFDFADKYRGKYDSSISVAQKYYRSVSGYNDELLWAAAWLYQATNNQYYLDYLGNNGDSMGGTGWGMTEFGWDVKYAGVQTLVAKFLMQGKAGHHAPVFEKYQQKAEYFMCSCLGKGTRNVQKTPGGLIYRQRWNNMQFVTGASFLGAVYSDYLASAGRNLNCAAGNVAPSQLLTFAKSQVDYILGDNPRATSYMVGYGNNYPRQVHHRGSSIVSYKVDPTFVSCRGGYATWFSRKGSDPNLLTGAIVGGPDAYDNFADERDNYEQTEPATYNNAPLLGLLARLSGGHGGYNQLLPVVVPGPIEKKPAPQSKVTPAPASTSAPISIAQKMTTTWIAKGKTYYRYSITVTNKSAKELKDIKLSISKLYGPLWGLTKSGNSYAFPSWMSSLPAGKSLEFVYIHSASAADISVSSYSLT >Potri.004G076100.2.v4.1 pep chromosome:Pop_tri_v4:4:6344714:6347648:1 gene:Potri.004G076100.v4.1 transcript:Potri.004G076100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G076100.v4.1 MNHNFFLTSFSSIISLFVVSLFLLEKKASCTDPQFLACNPESCGDGQNINFPFYIQNKQEPSCGYPGFSLSCNNKGKPVLKLSNNEYIIHEIYYQNQSLRVSNAAFFGKSTPCIPQIQNMSLADDRFRLPSNRASLFLLYNCNSTLLANDSKLLNYKVDCFGENGTVSTLAMLDDDPLLGPASDKCETGAVAPVDVYRGENVGSERMLLLERGFVLNWIASNCSICEESGGKCGFDNATYHFKCFCPDRPHSRACTSGNGNMGRKLIIAASAAGVGVLIITICCVIIRKFSPVNFLSSLRKTRGSRSIEVFLRNYGTLAPKRYSYSELKKMTKTFKEKLGQGGYGSVFKGNLPDGRLVAVKVLKKAKSNGEEFVNEVSSISQTSHVNIVTLLGFCFAGSKRALIYEFMSNGSLDKHIYEENLSKADRQLGWETLYQIAVGIARGLEYLHRRCNTRILHFDIKPNNILLDENFCPKISDFGLAKICPRKESIVSMTGARGTIGYIAPEVFCRNFGEVSHKSDVYSYEMLVLEMIGGRKNFCVGAGNTSEIYFPYLIYKRLELGEELGLRGTGNKVEEQIARKMISASLWCIQTDPSNRPPMSRVVEMLQGSLESLPIPPRPILSSPPRSPRGSISDFSSTAIIIHDL >Potri.004G162600.6.v4.1 pep chromosome:Pop_tri_v4:4:18237974:18240013:-1 gene:Potri.004G162600.v4.1 transcript:Potri.004G162600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162600.v4.1 MRMLCDVCESAAAILFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPSDVPQCDICEKAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGCTEEQGQQPLDDNETRRDQNQPPKLTARENQQNHRASPVPMVENNTDSDGKMDNKLIDLNARPQRVHGKNPTNQENHESSSLAPFGFFKGEPQK >Potri.004G162600.7.v4.1 pep chromosome:Pop_tri_v4:4:18237993:18239985:-1 gene:Potri.004G162600.v4.1 transcript:Potri.004G162600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G162600.v4.1 MRMLCDVCESAAAILFCAADEAALCRSCDEKVHMCNKLASRHVRVGLADPSDVPQCDICEKAPAFFYCEIDGSSLCLQCDMIVHVGGKRTHGRYLLLRQRVEFPGDKPGCTEEQGQQPLDDNETRRDQNQPPKLTARENQQNHRASPVPMVENNTDSDGKMDNKLIDLNARPQRVHGKNPTNQENHESSSLAPFGFFKGEPQK >Potri.006G188300.1.v4.1 pep chromosome:Pop_tri_v4:6:19545051:19546850:1 gene:Potri.006G188300.v4.1 transcript:Potri.006G188300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188300.v4.1 MAINIIPLFFSIFLVSFQPYFSVGQTVVKGGYWFPGSGFAVSDINSTLFTHLFCAFADVNSQTYQVTISTSNQAQFQTFTKTVQQKNPSVKTLLSIGGGGSDVNTFASMASQSSSRKSFIDSSITLARSNNFLGLDLDWEYPSDSTQMNNFGTLLTEWRAAVVTEAKSSGKTPLMLSAAVLYLSYYYSSSVTYPIQAISNSLDWINLMAYDFYGPGWSPSSTGPPAALYNSGNLESGDNGVRSWIQAGMSAKKLVLGLPFYGWSWRLTNSNNNGLFAPANGQGLAGDGSIGYNQIKQYITQNRATKVFNVTVVTDYCYSGTTWIGYDDTQSISTKVAYAKGKGLLGYFAWHVGADDNWALSSKALQTWGA >Potri.009G121800.3.v4.1 pep chromosome:Pop_tri_v4:9:10192291:10198731:-1 gene:Potri.009G121800.v4.1 transcript:Potri.009G121800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121800.v4.1 MVRWIIIASSTLAMRLTLFPLHVLQMHKIKKISRSFSKLPPLFPPPLSGRSYIEQISLFRNERRAIGCPSYLWFLAFLSVQIPCFLLWMTSIRRMCLDNHPGFDCGGALWFQNLTELPHGVLGPIFPFLIAGLHGVNVHFSFDRSSVRNTSGLLGLLSEYYRKYLNFMMLPLFFIGYCIPQGSLVYWVTNSSLTAIQQVSLKLPVVRAKLGLLDKDFPKAPALSAEMVAHELRKVSPENLSPHELLVLSVKLLSSGHRARAIPLLQMALEKDSGHVKALIVMGQARLQEGLHAEATDHLERAISNLILTGHPTAEDVDHLILASQWAGVACIRQGKNAEGIMHLERITSLEEPEDPKSKAHYFDGLLLLASALSKEDRNAEAVKYLRLVVAYDPSRKEFLDQCL >Potri.009G121800.4.v4.1 pep chromosome:Pop_tri_v4:9:10192291:10198731:-1 gene:Potri.009G121800.v4.1 transcript:Potri.009G121800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121800.v4.1 MRLTLFPLHVLQMHKIKKISRSFSKLPPLFPPPLSGRSYIEQISLFRNERRAIGCPSYLWFLAFLSVQIPCFLLWMTSIRRMCLDNHPGFDCGGALWFQNLTELPHGVLGPIFPFLIAGLHGVNVHFSFDRSSVRNTSGLLGLLSEYYRKYLNFMMLPLFFIGYCIPQGSLVYWVTNSSLTAIQQVSLKLPVVRAKLGLLDKDFPKAPALSAEMVAHELRKVSPENLSPHELLVLSVKLLSSGHRARAIPLLQMALEKDSGHVKALIVMGQARLQEGLHAEATDHLERAISNLILTGHPTAEDVDHLILASQWAGVACIRQGKNAEGIMHLERITSLEEPEDPKSKAHYFDGLLLLASALSKEDRNAEAVKYLRLVVAYDPSRKEFLDQCL >Potri.009G121800.1.v4.1 pep chromosome:Pop_tri_v4:9:10192291:10198731:-1 gene:Potri.009G121800.v4.1 transcript:Potri.009G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121800.v4.1 MATRSLLLSTLRRSRPISTLSRPLTNSSPGPNPNSLTSQPSNAISSRNSLASFNFPSCRSLSTRTASESINFEEFADPVSTETEDGVVNGILPVDSMIWLLDSYHDLTGLPWWIIIASSTLAMRLTLFPLHVLQMHKIKKISRSFSKLPPLFPPPLSGRSYIEQISLFRNERRAIGCPSYLWFLAFLSVQIPCFLLWMTSIRRMCLDNHPGFDCGGALWFQNLTELPHGVLGPIFPFLIAGLHGVNVHFSFDRSSVRNTSGLLGLLSEYYRKYLNFMMLPLFFIGYCIPQGSLVYWVTNSSLTAIQQVSLKLPVVRAKLGLLDKDFPKAPALSAEMVAHELRKVSPENLSPHELLVLSVKLLSSGHRARAIPLLQMALEKDSGHVKALIVMGQARLQEGLHAEATDHLERAISNLILTGHPTAEDVDHLILASQWAGVACIRQGKNAEGIMHLERITSLEEPEDPKSKAHYFDGLLLLASALSKEDRNAEAVKYLRLVVAYDPSRKEFLDQCL >Potri.009G121800.5.v4.1 pep chromosome:Pop_tri_v4:9:10192333:10198653:-1 gene:Potri.009G121800.v4.1 transcript:Potri.009G121800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121800.v4.1 MATRSLLLSTLRRSRPISTLSRPLTNSSPGPNPNSLTSQPSNAISSRNSLASFNFPSCRSLSTRTASESINFEEFADPVSTETEDGVVNGILPVDSMIWLLDSYHDLTGLPWWIIIASSTLAMRLTLFPLHVLQMHKIKKISRSFSKLPPLFPPPLSGRSYIEQISLFRNERRAIGCPSYLWFLAFLSVQIPCFLLWMTSIRRMCLDNHPGFDCGGALWFQNLTELPHGVLGPIFPFLIAGLHGVNVHVLGPILSLTLSLFFFFSFW >Potri.018G118900.2.v4.1 pep chromosome:Pop_tri_v4:18:13448306:13449791:-1 gene:Potri.018G118900.v4.1 transcript:Potri.018G118900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118900.v4.1 MEVDGQISRPNHVPYEKYRELLEETSSLKMQALHREEEIQTLKGMLAQKDKETVRLKDQLLLRDKTISKLRALVEFAWTEFDSAKKRNINPKASAIEEHEKETIQEINGTASIVNEIGITNQDGDTPTSAHVSSIKGESPSLLSTFNGPQPPPAEAKIPREAPNPLTKEAKQDDKPFASAPIFYVGPILSVSNSKGHSLPPPPPRPPPPPSRMEANIVGATPTPVREFHIAPCPPPPRPSPPPPPMEANIVGATPTPVRVFRVAPVRRMEANITGATQTPVRIHRPNNTETNPSSSTIRVAHQDGKPHVSAPIPSVVKP >Potri.018G118900.1.v4.1 pep chromosome:Pop_tri_v4:18:13448010:13449940:-1 gene:Potri.018G118900.v4.1 transcript:Potri.018G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118900.v4.1 MEVDGQISRPNHVPYEKYRELLEETSSLKMQALHREEEIQTLKGMLAQKDKETVRLKDQLLLRDKTISKLRALVEFAWTEFDSAKKRNINPKASAIEEHEKETIQEINGTASIVNEIGITNQDGDTPTSAHVSSIKGESPSLLSTFNGPQPPPAEAKIPREAPNPLTKEAKQDDKPFASAPIFYVGPILSVSNSKGHSLPPPPPRPPPPPSRMEANIVGATPTPVREFHIAPCPPPPRPSPPPPPMEANIVGATPTPVRVFRVAPVRRMEANITGATQTPVRIHRPNNTETNPSSSTIRVAHQDGKPHVSAPIPSVVKP >Potri.007G122250.1.v4.1 pep chromosome:Pop_tri_v4:7:13956591:13957896:-1 gene:Potri.007G122250.v4.1 transcript:Potri.007G122250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G122250.v4.1 MAIQKLFAVCFLQLVFAFLLAGLTNAGGLQLGFYQRACPDAELIVHQTLYRYVSRDRTLAAPLLRMHFHDCFIRGCEGSVLLSSTKNNQAEKDAIPNKTLRGFNVIDAVKSALEKKCPGVVSCADILALVARDAVLMIGGPRWDVPTGRRDGRVSIANEALFNLPSPFANITVLKQQFAATGLSVKDLAVLSGGHTIGIGHCTIISNRLYNFTGKGDTDPSLDPRYAAQLKNKCKPGNSNTVVEMDPGSFKSFDEDYYNIVAKRRGLFRSDAALLDDAETRGYVKFQSMTQGSTFAQDFAESMVKMGYIGVLTGEQGEIRKHCAVVN >Potri.015G033900.1.v4.1 pep chromosome:Pop_tri_v4:15:2694885:2713140:1 gene:Potri.015G033900.v4.1 transcript:Potri.015G033900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033900.v4.1 MANHDKDNIPTLSDTHPKSVDENVDSRFRPFLSRTQSASTSIPLDSMESYGSETNIVGFTGPLRSARKAPLVQMSGPLYINRNTENLFLAKHGVTTRKKVDSKPEKYPSLNGRDKNDWDDNYTATNAHLMRSGQLGMCNDPYCTTCPLYYHSTASQQRHAKTSSIFDSKFHSVLYGDAKGWARRFNNAINSYIPGVMNPHTKVVQEWNKFFVLSCLGAIFIDPLFFILLSVKQEEKCIVINWGMTKAVVFLRCLTDAIFLLNILLQFRLAYVAPESRVVGAGELVDHPKKIAKHYLRGFFFIDLFVVLPLPQITLFLLLLPKGLDSLGENYAKNILQAVILVQYIPRLLRFIPLLIGPNGFIFETALANFFINLFTFLLSGHIIGLCWYLFGLQRLIHCLHHACRNSSYKNDCMKWIDSGGHKKHGSDTSWDSWKENANASACFTLDGFSYGIYAHAVNLTGENTIIRYTYSLVWGIQQISTLAGNQTPSYNSEEILFTMAIIGIGLLLFAFLIGNMQNFLQALGRRRAEMSLRRRDVDKWMRHRRLPVELRRRVVEAGRYHWAATRGVNEEMLLENLPEDLQRDIRRHLFKFVKKVWIFRLMDEHVLDAVCEKLKQKIYIKGSEVFCVGGLVEKMVFIVRGKLESIGHDGTVVALSEGNVCGEELFTWFLEHSSVSKDGRKIKISGQRLISSRTVRCLTNVEAFSLSAADLEQVTSLFARNLRNPLVQGAIRYQSPYWRALAATHIQVAWRYRQKCLKHSKTSQSNHITSLSNHSSFSRV >Potri.005G135900.1.v4.1 pep chromosome:Pop_tri_v4:5:10511723:10517109:1 gene:Potri.005G135900.v4.1 transcript:Potri.005G135900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G135900.v4.1 MGICTSKPSPNSTLFSPVAIQAKDNSIPTNDTAKPDPATASLNDHTNKTTVEPVKKSPLFPFYSPSPAHRFFSKKSSPARSPARNAASANSTPKRFFKRPFPPPSPAKHIRAVLARRHGSVKPNEAAIPEGSETGGEGLDKSFGFSKHFGNKYELGDEVGRGHFGYTCQAKFKKGELKGQQVAVKVIPKAKMTTAIAIEDVRREVKILRALTGHNNLVHFYDAYEDHDNVYIVMELCEGGELLDRILARGGKYSEDDAKAVMIQILNVVAFCHLQGVVHRDLKPENFLFTSKDENSQLKAIDFGLSDFVKPDERLNDIVGSAYYVAPEVLHRSYGTEADVWSIGVIAYILLCGSRPFWARTESGIFRAVLKADPSFDETPWPSLSPEAKDFVKQLLNKDPRKRLTAAQALSHPWIKNSNDLKVPLDLHIFKLMKAYMRSTSLRKAALKALSKTLTVDELFYLKEQFALLEPNKNDAISLENIKAALMKNGTDAMKDSHIPDFIASLNALQYRRMDFEEFCAAALSVHQLEALDRWEQRARCAYELFEKDGNRAIVIEELASELGLGPSVPLHAVLHDWIRHTDGKLSFLGFVKLLRGASSRILAKAQ >Potri.002G165200.1.v4.1 pep chromosome:Pop_tri_v4:2:12636336:12637229:1 gene:Potri.002G165200.v4.1 transcript:Potri.002G165200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165200.v4.1 MSPATVTTSTSPPSSNYLTNLGLGYSIAIALGFLVLVSTILLASYICCRATRNRSRNSNNNNNYSNSTADGIILPRIIFVAEDDEEQERDLEGAAVGLDQAVINSYPKFQFSRDGGFCERTDNLNSTCSICLCEYKDLEMLRMMPECRHYFHSLCLDAWLKLNGSCPVCRNSPLPTPLSTPLSEVVPLSQYAEDRRRR >Potri.001G032000.3.v4.1 pep chromosome:Pop_tri_v4:1:2386572:2391269:1 gene:Potri.001G032000.v4.1 transcript:Potri.001G032000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032000.v4.1 MGPVENPVNNKEYRIPGEAKEMLKSLASKWEDIDDANSLQVIPLKGAMTNEVFQIKWPTKTQNMSRKVVVRIYGEGVEVFFDRDTEILTFEFMSKQGQGPRLLGRFSNGRIEEFIHARTLSASDLHDPDISALIAAKMKEFHGLEMPGPKDVSLWHRLRNWLKTAKSLCTPEEAKDFRLDSIEDEISLIEKELSGDQSIGFCHNDLQYGNIMIDEETRSITIIDYEYASYNPIAFDIANHFCEMTADYHTDTPHILDYSKYPGLEERQRFLHLYLSSSGGLPSDIELEQLLENVEKYKLASHLFWGLWGIISEHVNEIDFDYMEYARQRFEQYCLRKPALLGSSGTTPDVALTDGNYTI >Potri.001G466500.3.v4.1 pep chromosome:Pop_tri_v4:1:49151568:49156699:1 gene:Potri.001G466500.v4.1 transcript:Potri.001G466500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466500.v4.1 MGDVQGKRKGDPAIERDRGIQTREDQLGNDLLKYTRSAEQGIEYHNKKHEGAKFKLVEIISARSFFSMGVWEHINFTASEDDKSLKLFFAELSHGEAHWGTNHNTEAEKITACCLLEEGSTKDYCGFCPHEDKVYHPLQGFTAGIRW >Potri.005G002800.1.v4.1 pep chromosome:Pop_tri_v4:5:253918:258089:-1 gene:Potri.005G002800.v4.1 transcript:Potri.005G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002800.v4.1 MLNTWEKLVLARPHKTSVIFDTGSSNLWVPSSKCYFSIACYFHSKYKSSLSSTYIKNGNSCEIHYGSGSISGFLSQDNVQVGGLVVKDQVFIEVTKEGSLSFVLGKFDGILGLGFQEISVGNVVPVWYNMVQQDLVDDEVFSFWLNRNPEAKEGGELVFGGVDPKHFKGKHTYVPVTKKGYWQINMGDFLIGNHSTGLCEEGCAAIVDSGTSLLAGPTPIITEINHAIGAEGVVSAECKEVVSQYGDLIWELLISGVKPNKVCAQLGLCIFNGDEYVSTGIESVVEKENKEGSSAGDDLLCIACEMLVIWVQNQLREKETKEAAINYLDKLCESLPSPMGESVIDCNSISTMPNISFTIGDKPFSLTPEQYVLKTGEGIAQVCISGFMALDVPPPRGPLWILGDVFMGVYHTVFDYGNLEVGFAEAA >Potri.015G037600.2.v4.1 pep chromosome:Pop_tri_v4:15:3239701:3243771:-1 gene:Potri.015G037600.v4.1 transcript:Potri.015G037600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037600.v4.1 MSTKIALKFSRSNRIYRPSEPVEGKIVIKSPSSISHYGIRLSVNGSVNLQVRGGSAGVIETFYGVVKPITIVNKSIEVKPSGKIGSGTTEVPFTMVLKQNGEKSLERFYETFHGTDVSIQYLFTVDIARGYLYKSLSATMEVIVESDKADLLERPVSPEMAIFYITQDTQRHPLLPEIKSGGFRVTGRMSTLCSLLDPISGELTVETSAVPISSIDIHLLRVESILMGEKIVTETSLIQTTQIADGDVCRNLTLPIYVILPRLLTCPSVFAGPFSIEFKVSIVISFQSELSKLHKKSDPRTPRLWLAMETLPLELVRTR >Potri.015G037600.8.v4.1 pep chromosome:Pop_tri_v4:15:3239701:3243771:-1 gene:Potri.015G037600.v4.1 transcript:Potri.015G037600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037600.v4.1 MSTKIALKFSRSNRIYRPSEPVEGKIVIKSPSSISHYGIRLSVNGSVNLQQVRGGSAGVIETFYGVVKPITIVNKSIEVKPSGKIGSGTTEVPFTMVLKQNGEKSLERFYETFHGTDVSIQYLFTVDIARGYLYKSLSATMEVIVESDKADLLERPVSPEMAIFYITQDTQRHPLLPEIKSGGFRVTGRMSTLCSLLDPISGELTVETSAVPISSIDIHLLRVESILMGEKIVTETSLIQTTQMEMSVVI >Potri.015G037600.6.v4.1 pep chromosome:Pop_tri_v4:15:3239587:3243771:-1 gene:Potri.015G037600.v4.1 transcript:Potri.015G037600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037600.v4.1 MSTKIALKFSRSNRIYRPSEPVEGKIVIKSPSSISHYGIRLSVNGSVNLQQVRGGSAGVIETFYGVVKPITIVNKSIEVKPSGKIGSGTTEVPFTMVLKQNGEKSLERFYETFHGTDVSIQYLFTVDIARGYLYKSLSATMEVIVESDKADLLERPVSPEMAIFYITQDTQRHPLLPEIKSGGFRVTGRMSTLCSLLDPISGELTVETSAVPISSIDIHLLRVESILMGEKIVTETSLIQTTQIADGDVCRNLTLPIYVILPRLLTCPSVFAGPFSIEFKVSIVISFQSELSKLHKKSDPRTPRLWLAMETLPLELVRTR >Potri.015G037600.7.v4.1 pep chromosome:Pop_tri_v4:15:3239587:3243771:-1 gene:Potri.015G037600.v4.1 transcript:Potri.015G037600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037600.v4.1 MSTKIALKFSRSNRIYRPSEPVEGKIVIKSPSSISHYGIRLSVNGSVNLQVRGGSAGVIETFYGVVKPITIVNKSIEVKPSGKIGSGTTEVPFTMVLKQNGEKSLERFYETFHGTDVSIQYLFTVDIARGYLYKSLSATMEVIVESDKADLLERPVSPEMAIFYITQDTQRHPLLPEIKSGGFRVTGRMSTLCSLLDPISGELTVETSAVPISSIDIHLLRVESILMGEKIVTETSLIQTTQIADGDVCRNLTLPIYVILPRLLTCPSVFAGPFSIEFKVSIVISFQSELSKLHKKSDPRTPRLWLAMETLPLELVRTR >Potri.015G037600.9.v4.1 pep chromosome:Pop_tri_v4:15:3239701:3243771:-1 gene:Potri.015G037600.v4.1 transcript:Potri.015G037600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037600.v4.1 MSTKIALKFSRSNRIYRPSEPVEGKIVIKSPSSISHYGIRLSVNGSVNLQVRGGSAGVIETFYGVVKPITIVNKSIEVKPSGKIGSGTTEVPFTMVLKQNGEKSLERFYETFHGTDVSIQYLFTVDIARGYLYKSLSATMEVIVESDKADLLERPVSPEMAIFYITQDTQRHPLLPEIKSGGFRVTGRMSTLCSLLDPISGELTVETSAVPISSIDIHLLRVESILMGEKIVTETSLIQTTQMEMSVVI >Potri.015G037600.3.v4.1 pep chromosome:Pop_tri_v4:15:3239701:3243771:-1 gene:Potri.015G037600.v4.1 transcript:Potri.015G037600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037600.v4.1 MSTKIALKFSRSNRIYRPSEPVEGKIVIKSPSSISHYGIRLSVNGSVNLQQVRGGSAGVIETFYGVVKPITIVNKSIEVKPSGKIGSGTTEVPFTMVLKQNGEKSLERFYETFHGTDVSIQYLFTVDIARGYLYKSLSATMEVIVESDKADLLERPVSPEMAIFYITQDTQRHPLLPEIKSGGFRVTGRMSTLCSLLDPISGELTVETSAVPISSIDIHLLRVESILMGEKIVTETSLIQTTQIADGDVCRNLTLPIYVILPRLLTCPSVFAGPFSIEFKVSIVISFQSELSKLHKKSDPRTPRLWLAMETLPLELVRTR >Potri.015G037600.5.v4.1 pep chromosome:Pop_tri_v4:15:3239654:3243771:-1 gene:Potri.015G037600.v4.1 transcript:Potri.015G037600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037600.v4.1 MSTKIALKFSRSNRIYRPSEPVEGKIVIKSPSSISHYGIRLSVNGSVNLQQVRGGSAGVIETFYGVVKPITIVNKSIEVKPSGKIGSGTTEVPFTMVLKQNGEKSLERFYETFHGTDVSIQYLFTVDIARGYLYKSLSATMEVIVESDKADLLERPVSPEMAIFYITQDTQRHPLLPEIKSGGFRVTGRMSTLCSLLDPISGELTVETSAVPISSIDIHLLRVESILMGEKIVTETSLIQTTQIADGDVCRNLTLPIYVILPRLLTCPSVFAGPFSIEFKVSIVISFQSELSKLHKKSDPRTPRLWVSISLNFIVEETYLFASKLSFKFQLAMETLPLELVRTR >Potri.015G037600.1.v4.1 pep chromosome:Pop_tri_v4:15:3239654:3243771:-1 gene:Potri.015G037600.v4.1 transcript:Potri.015G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G037600.v4.1 MSTKIALKFSRSNRIYRPSEPVEGKIVIKSPSSISHYGIRLSVNGSVNLQVRGGSAGVIETFYGVVKPITIVNKSIEVKPSGKIGSGTTEVPFTMVLKQNGEKSLERFYETFHGTDVSIQYLFTVDIARGYLYKSLSATMEVIVESDKADLLERPVSPEMAIFYITQDTQRHPLLPEIKSGGFRVTGRMSTLCSLLDPISGELTVETSAVPISSIDIHLLRVESILMGEKIVTETSLIQTTQIADGDVCRNLTLPIYVILPRLLTCPSVFAGPFSIEFKVSIVISFQSELSKLHKKSDPRTPRLWVSISLNFIVEETYLFASKLSFKFQLAMETLPLELVRTR >Potri.010G075000.5.v4.1 pep chromosome:Pop_tri_v4:10:10291852:10298411:1 gene:Potri.010G075000.v4.1 transcript:Potri.010G075000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075000.v4.1 MCREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGKLLEDKNRWSSFCAFWLGMDQNARRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKSKKGRAKLLDAEEMPAPIVCVEKDMFVLVDDVLLLLERAAMEPLPPKDEKGPQNRTKDGSSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFNHKIEVSYQEAVALKRQEELIREEEAAWLAESEQKAKRGATEKEKKLKKKQAKQKRNNRKGKDKGREDRSGVAVVDKYQESNLSNENKEFAVEEVRPVMEKPEVLEDVSDVSDSVDGVAEVLQHDSEDRDASPVNWDTDSSEVHPPTEVSSSGVSGLSSVPNGTSDKRSTYAMDDSSSTCSTDSVPSVVMNDPYKGNSYLNYQFEKLPSRGKNQRGKMAHDASWTAEMDNQPPEPASDTGDHSDVTRSSKAADCELEAVVHDLQDRMVKLEQHVIKTGKEDAVVSMQKQTSNKDLVEVERPKEKTAAVPSSPRSPPTSPPKNVPSTVQLKSESKSSATMDLSQVKKASSNCSMQADKAATSATSPQNAGIPKPEIQNVPTAKQSDKPTLKQVPAMSRPSSAPLVPGPRPTAAPISVVQTTPLLSRSVSAAGRLGPDPSPATHSYVPQSYRNAIIGNAVGSSSSGFTHTSSPSTGVNLSPVHVQPSTLVSAPMFLPPLNSDRVDPNTHQSGFPFGMVTRDVLQDGRQWMESSQRDASRSMSGDPSSLINGMQNIDLYNPVRSGSQVHYSSEFPACTSGRQTQSGLTDEFPHLDIINDLLDEEHAVGKAAEASRVFRSNGPHLLNRQFSFPNDLGVSGDLGSSTNSPCRFERTRSYHDGGFQRSYSSSGTHFDTPREYIPQASSMPYANGHIDGLISNQWQMAGSDISLMGMRNADGDSSPYFNPEYSNMACGVNGYTVFRPSNGH >Potri.010G075000.1.v4.1 pep chromosome:Pop_tri_v4:10:10287765:10298446:1 gene:Potri.010G075000.v4.1 transcript:Potri.010G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075000.v4.1 MAGIVGEEAGVGRSTEGISSGQRCQSGELLAEWRSSEQVENGTPSTSPPYWDTDDDDDGGPKPSELFGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDAKKSKYSDTLHRFWKKEHDWGWKKFMELSKVSDGFLDATDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGKLLEDKNRWSSFCAFWLGMDQNARRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKSKKGRAKLLDAEEMPAPIVCVEKDMFVLVDDVLLLLERAAMEPLPPKDEKGPQNRTKDGSSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFNHKIEVSYQEAVALKRQEELIREEEAAWLAESEQKAKRGATEKEKKLKKKQAKQKRNNRKGKDKGREDRSGVAVVDKYQESNLSNENKEFAVEEVRPVMEKPEVLEDVSDVSDSVDGVAEVLQHDSEDRDASPVNWDTDSSEVHPPTEVSSSGVSGLSSVPNGTSDKRSTYAMDDSSSTCSTDSVPSVVMNDPYKGNSYLNYQFEKLPSRGKNQRGKMAHDASWTAEMDNQPPEPASDTGDHSDVTRSSKAADCELEAVVHDLQDRMVKLEQHVIKTGKEDAVVSMQKQTSNKDLVEVERPKEKTAAVPSSPRSPPTSPPKNVPSTVQLKSESKSSATMDLSQVKKASSNCSMQADKAATSATSPQNAGIPKPEIQNVPTAKQSDKPTLKQVPAMSRPSSAPLVPGPRPTAAPISVVQTTPLLSRSVSAAGRLGPDPSPATHSYVPQSYRNAIIGNAVGSSSSGFTHTSSPSTGVNLSPVHVQPSTLVSAPMFLPPLNSDRVDPNTHQSGFPFGMVTRDVLQDGRQWMESSQRDASRSMSGDPSSLINGMQNIDLYNPVRSGSQVHYSSEFPACTSGRQTQSGLTDEFPHLDIINDLLDEEHAVGKAAEASRVFRSNGPHLLNRQFSFPNDLGVSGDLGSSTNSPCRFERTRSYHDGGFQRSYSSSGTHFDTPREYIPQASSMPYANGHIDGLISNQWQMAGSDISLMGMRNADGDSSPYFNPEYSNMACGVNGYTVFRPSNGH >Potri.010G075000.4.v4.1 pep chromosome:Pop_tri_v4:10:10288107:10298349:1 gene:Potri.010G075000.v4.1 transcript:Potri.010G075000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075000.v4.1 MAGIVGEEAGVGRSTEGISSGQRCQSGELLAEWRSSEQVENGTPSTSPPYWDTDDDDDGGPKPSELFGKYTWKIEKFSQINKRELRSNAFEVGGYKWYILIYPQGCDVCNHLSLFLCVANHDKLLPGWSHFAQFTIAVVNKDAKKSKYSEKFADTLHRFWKKEHDWGWKKFMELSKVSDGFLDATDTLIIKAQVQVIREKADRPFRCLDCQYRRELVRVYLTNVEQICRRFVEERRGKLGKLLEDKNRWSSFCAFWLGMDQNARRRMSREKTDVILKVVVKHFFIEKEVTSTLVMDSLYSGLKALEGQTKSKKGRAKLLDAEEMPAPIVCVEKDMFVLVDDVLLLLERAAMEPLPPKDEKGPQNRTKDGSSGEDFNKDSIERDERRLTELGRRTVEIFVLAHIFNHKIEVSYQEAVALKRQEELIREEEAAWLAESEQKAKRGATEKEKKLKKKQAKQKRNNRKGKDKGREDRSGVAVVDKYQESNLSNENKEFAVEEVRPVMEKPEVLEDVSDVSDSVDGVAEVLQHDSEDRDASPVNWDTDSSEVHPPTEVSSSGVSGLSSVPNGTSDKRSTYAMDDSSSTCSTDSVPSVVMNDPYKGNSYLNYQFEKLPSRGKNQRGKMAHDASWTAEMDNQPPEPASDTGDHSDVTRSSKAADCELEAVVHDLQDRMVKLEQHVIKTGKEDAVVSMQKQTSNKDLVEVERPKEKTAAVPSSPRSPPTSPPKNVPSTVQLKSESKSSATMDLSQVKKASSNCSMQADKAATSATSPQNAGIPKPEIQNVPTAKQSDKPTLKQVPAMSRPSSAPLVPGPRPTAAPISVVQTTPLLSRSVSAAGRLGPDPSPATHSYVPQSYRNAIIGNAVGSSSSGFTHTSSPSTGVNLSPVHVQPSTLVSAPMFLPPLNSDRVDPNTHQSGFPFGMVTRDVLQDGRQWMESSQRDASRSMSGDPSSLINGMQNIDLYNPVRSGSQVHYSSEFPACTSGRQTQSGLTDEFPHLDIINDLLDEEHAVGKAAEASRVFRSNGPHLLNRQFSFPNDLGVSGDLGSSTNSPCRFERTRSYHDGGFQRSYSSSGTHFDTPREYIPQASSMPYANGHIDGLISNQWQMAGSDISLMGMRNADGDSSPYFNPEYSNMACGVNGYTVFRPSNGH >Potri.004G043500.1.v4.1 pep chromosome:Pop_tri_v4:4:3432933:3439704:-1 gene:Potri.004G043500.v4.1 transcript:Potri.004G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043500.v4.1 MDMLPNDSDWESSSGNYSSSEDIEELDFLYCGRASSILSSLEESKGKIDDFLSFERGFIHGDVVCSATDPSGQMGRVVNVNMLVNLESSHGRIIKDVDSKKLLKIRSISVGDYVVHGPWIGRVDEVIESVTVIFDDGTSCEVTAVDQEKLSPISPNMLEDSMHPYYPGQRVQIRLSALSKSATWLCGAWNENQDVGTVSAVKAGLVSVDWLACALVGSDLSLPAPQRLQDAKKLNLLSCFLHENWQLGDWCMLPLADCTGGKERILFDASIIEIIEKDRKRGQGFTGLNPSPIFQDIFVIVKTKTIVNVVWQDGSCSQGLDSKSLLPVNIVNAHDFWPGQFVLGKGACEDPYVSGNQKWGFVNCVDAKEQIVKVKWKSFGVNQADIVGSDQIEETVSAYELVEHPEYSFSYGDIVFKNLDQANKDHLNRDTGMGADAALEGCAHGKDQVDYLSCIGCVTGFEDGDVEVTWASSLKTKVSPNHIFRIDRCEVSAETIMQHEQREEEVNKETVDHDEQFSILKGKDSLNSISFGNENAKCPWESSSFSLPEFTLGFFSRITEGIFGSFGSTSVSGPISSDSISEDGNRSKTPEEKEKLETCDLCMELQPSVVGDILRFEGTNLKPEANDDKESKEHRSLSASNSSEQFKRFDMVVDCSDHHFFYGEGNALALSQVKRGWLKKVQQEWSILEKNLPESIYVRVYEDRMDLLRAAIVGSNGTPYHDGLFFFDIFLPPEYPQEPPSVHYRSGGLRVNPNLYESGKICLSLLNTWTGSGSEVWNPESSSILQVLLSLQALVLNEEPYFNEAGYDKQIGRAEGEKNSISYNEKAFLMTWKSMLYLIRQPPKHFEALVEEHLSERSQNILSSCKSYLEGAPVAYAPDSGKTPHANQKGASTGFKIMLGKLFSKLVEAFSGKGIDCSQFIEQEK >Potri.004G043500.4.v4.1 pep chromosome:Pop_tri_v4:4:3432967:3438232:-1 gene:Potri.004G043500.v4.1 transcript:Potri.004G043500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043500.v4.1 MDMLPNDSDWESSSGNYSSSEDIEELDFLYCGRASSILSSLEESKGKIDDFLSFERGFIHGDVVCSATDPSGQMGRVVNVNMLVNLESSHGRIIKDVDSKKLLKIRSISVGDYVVHGPWIGRVDEVIESVTVIFDDGTSCEVTAVDQEKLSPISPNMLEDSMHPYYPGQRVQIRLSALSKSATWLCGAWNENQDVGTVSAVKAGLVSVDWLACALVGSDLSLPAPQRLQDAKKLNLLSCFLHENWQLGDWCMLPLADCTGGKERILFDASIIEIIEKDRKRGQGFTGLNPSPIFQDIFVIVKTKTIVNVVWQDGSCSQGLDSKSLLPVNIVNAHDFWPGQFVLGKGACEDPYVSGNQKWGFVNCVDAKEQIVKVKWKSFGVNQADIVGSDQIEETVSAYELVEHPEYSFSYGDIVFKNLDQANKDHLNRDTGMGADAALEGCAHGKDQVDYLSCIGCVTGFEDGDVEVTWASSLKTKVSPNHIFRIDRCEVSAETIMQHEQREEEVNKETVDHDEQFSILKGKDSLNSISFGNENAKCPWESSSFSLPEFTLGFFSRITEGIFGSFGSTSVSGPISSDSISEDGNRSKTPEEKEKLETCDLCMELQPSVVGDILRFEGTNLKPEANDDKESKEHRSLSASNSSEQFKRFDMVVDCSDHHFFYGEGNALALSQVKRGWLKKVQQEWSILEKNLPESIYVRVYEDRMDLLRAAIVGSNGTPYHDGLFFFDIFLPPEYPQEPPSVHYRSGGLRVNPNLYESGKICLSLLNTWTGSGSEVWNPESSSILQVLLSLQALVLNEEPYFNEAGYDKQIGRAEGEKNSISYNEKAFLMTWKSMLYLIRQPPKHFEALVEEHLSERSQNILSSCKSYLEGAPVAYAPDSGKTPHANQKGASTGFKIMLGKLFSKLVEAFSGKGIDCSQFIEQEK >Potri.004G043500.3.v4.1 pep chromosome:Pop_tri_v4:4:3432968:3439806:-1 gene:Potri.004G043500.v4.1 transcript:Potri.004G043500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043500.v4.1 MDMLPNDSDWESSSGNYSSSEDIEELDFLYCGRASSILSSLEESKGKIDDFLSFERGFIHGDVVCSATDPSGQMGRVVNVNMLVNLESSHGRIIKDVDSKKLLKIRSISVGDYVVHGPWIGRVDEVIESVTVIFDDGTSCEVTAVDQEKLSPISPNMLEDSMHPYYPGQRVQIRLSALSKSATWLCGAWNENQDVGTVSAVKAGLVSVDWLACALVGSDLSLPAPQRLQDAKKLNLLSCFLHENWQLGDWCMLPLADCTGGKERILFDASIIEIIEKDRKRGQGFTGLNPSPIFQDIFVIVKTKTIVNVVWQDGSCSQGLDSKSLLPVNIVNAHDFWPGQFVLGKGACEDPYVSGNQKWGFVNCVDAKEQIVKVKWKSFGVNQADIVGSDQIEETVSAYELVEHPEYSFSYGDIVFKNLDQANKDHLNRDTGMGADAALEGCAHGKDQVDYLSCIGCVTGFEDGDVEVTWASSLKTKVSPNHIFRIDRCEVSAETIMQHEQREEEVNKETVDHDEQFSILKGKDSLNSISFGNENAKCPWESSSFSLPEFTLGFFSRITEGIFGSFGSTSVSGPISSDSISEDGNRSKTPEEKEKLETCDLCMELQPSVVGDILRFEGTNLKPEANDDKESKEHRSLSASNSSEQFKRFDMVVDCSDHHFFYGEGNALALSQVKRGWLKKVQQEWSILEKNLPESIYVRVYEDRMDLLRAAIVGSNGTPYHDGLFFFDIFLPPEYPQEPPSVHYRSGGLRVNPNLYESGKICLSLLNTWTGSGSEVWNPESSSILQVLLSLQALVLNEEPYFNEAGYDKQIGRAEGEKNSISYNEKAFLMTWKSMLYLIRQPPKHFEALVEEHLSERSQNILSSCKSYLEGAPVAYAPDSGKTPHANQKGASTGFKIMLGKLFSKLVEAFSGKGIDCSQFIEQEK >Potri.004G043500.2.v4.1 pep chromosome:Pop_tri_v4:4:3432967:3440867:-1 gene:Potri.004G043500.v4.1 transcript:Potri.004G043500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043500.v4.1 MDMLPNDSDWESSSGNYSSSEDIEELDFLYCGRASSILSSLEESKGKIDDFLSFERGFIHGDVVCSATDPSGQMGRVVNVNMLVNLESSHGRIIKDVDSKKLLKIRSISVGDYVVHGPWIGRVDEVIESVTVIFDDGTSCEVTAVDQEKLSPISPNMLEDSMHPYYPGQRVQIRLSALSKSATWLCGAWNENQDVGTVSAVKAGLVSVDWLACALVGSDLSLPAPQRLQDAKKLNLLSCFLHENWQLGDWCMLPLADCTGGKERILFDASIIEIIEKDRKRGQGFTGLNPSPIFQDIFVIVKTKTIVNVVWQDGSCSQGLDSKSLLPVNIVNAHDFWPGQFVLGKGACEDPYVSGNQKWGFVNCVDAKEQIVKVKWKSFGVNQADIVGSDQIEETVSAYELVEHPEYSFSYGDIVFKNLDQANKDHLNRDTGMGADAALEGCAHGKDQVDYLSCIGCVTGFEDGDVEVTWASSLKTKVSPNHIFRIDRCEVSAETIMQHEQREEEVNKETVDHDEQFSILKGKDSLNSISFGNENAKCPWESSSFSLPEFTLGFFSRITEGIFGSFGSTSVSGPISSDSISEDGNRSKTPEEKEKLETCDLCMELQPSVVGDILRFEGTNLKPEANDDKESKEHRSLSASNSSEQFKRFDMVVDCSDHHFFYGEGNALALSQVKRGWLKKVQQEWSILEKNLPESIYVRVYEDRMDLLRAAIVGSNGTPYHDGLFFFDIFLPPEYPQEPPSVHYRSGGLRVNPNLYESGKICLSLLNTWTGSGSEVWNPESSSILQVLLSLQALVLNEEPYFNEAGYDKQIGRAEGEKNSISYNEKAFLMTWKSMLYLIRQPPKHFEALVEEHLSERSQNILSSCKSYLEGAPVAYAPDSGKTPHANQKGASTGFKIMLGKLFSKLVEAFSGKGIDCSQFIEQEK >Potri.014G145500.1.v4.1 pep chromosome:Pop_tri_v4:14:9950366:9951145:1 gene:Potri.014G145500.v4.1 transcript:Potri.014G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145500.v4.1 MQAALFIAPPVLPLKPCKNLFQLQTFTPPKAGASLASRSSAIKATTAIADTTTVDCSSVISVFPAEACEIIGGDACLADMFPQVRLQVEEARNEAAQIASDNIERVYLVYNDAKTVFRR >Potri.001G278900.1.v4.1 pep chromosome:Pop_tri_v4:1:29251748:29254569:1 gene:Potri.001G278900.v4.1 transcript:Potri.001G278900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278900.v4.1 MASTEPEHQHREDEEAPAGDDEDTGAQVAPIVKLEEVAVSTGEEDEDTILDLKSKLYRFDKDGNQWKERGAGTVKFLKHKESGKVRLVMRQSKTLKICANHLVLPAMSVQEHAGNEKSCVWHATDFADGELKDELFCIRFASVENCKTFMEMFQEVAESQKSNEENKDATAAADALDKLSVEEKKTEEKAVEESPTAAKEEETKTCEDKKEEKPDPST >Potri.011G013700.4.v4.1 pep chromosome:Pop_tri_v4:11:1272347:1275881:1 gene:Potri.011G013700.v4.1 transcript:Potri.011G013700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G013700.v4.1 MAAGKYQESYSSRFSNCKYQVFLSFRGEDTRKNFTDHLYKALIHAGFHTFRDDDEIRRGKNIRLELQKAIKQSKIAIIVFSKNYAWSKWCLDELVKIMERKRNAECIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEKMERVNGWRIALKEVANLAGMDLGDGYEAQFVQSIVENVLKNLDPKIFYVPLHFIGRDALVQDINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKGALCCRRTLIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSANDIQWIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEDSWRIVYHCNGLPLALRVIGSSLSGKGREIWESALQQMEVILNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREVARQESPKCQRIWHHEDAFTVLKGTTDAEKLRGLTIDMHALMEYRYAEVVCTDSMVCRKRRRLNFFQQWLSDFFDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHVCLEKLVVLDLSRSCLVDAWKGKPNPRLLGSPSP >Potri.001G236100.1.v4.1 pep chromosome:Pop_tri_v4:1:25444293:25447268:-1 gene:Potri.001G236100.v4.1 transcript:Potri.001G236100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236100.v4.1 MAAPPARARADYDYLIKLLLIGDSGVGKSCLLLRFSDGSFTTSFITTIGIDFKIRTIELDGKRIKLQIWDTAGQERFRTITTAYYRGAMGILLVYDVTDESSFNNIRNWIRNIEQHASDNVNKILVGNKADMDESKRAVPTSKGQALADEYGIKFFETSAKTNLNVEQVFFSIARDIKQRLADTDTRAEPTTLKITPTDQAGGGGQAAQKSSCCGS >Potri.010G117900.1.v4.1 pep chromosome:Pop_tri_v4:10:13673690:13676882:-1 gene:Potri.010G117900.v4.1 transcript:Potri.010G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117900.v4.1 MACANLVKLNAASSSWIGQKSPFGQRSQGSSTRRVSFSIRANSYTDELVQTAKTIASPGRGILAIDESNATCGKRLASIGLDNTETNRQAYRQLLLTTPGLGEYISGAILFEETLYQSTTDGKKFVDCLRDENIVPGIKVDKGLVPLPGSNNESWCQGLDGLASRSAEYYKQGARFAKWRTVVSIPCGPSALAVKEAAWGLARYAAISQDNGLVPIVEPEILLDGDHPIDRTLEVAEKVWSEVFYYLAENNVVFEGILLKPSMVTPGAEHKEKASADTIAKYTLTMLKRRVPPAVPGIMFLSGGQSEVQATLNLNAMNQSPNPWHVSFSYARALQNTVLKTWQGRPDKVEAAQKSLLVRAKANSLAQLGRYSAEGESEEATKGMFVKGYTY >Potri.005G170500.1.v4.1 pep chromosome:Pop_tri_v4:5:17463364:17468568:1 gene:Potri.005G170500.v4.1 transcript:Potri.005G170500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170500.v4.1 MSSTSTQIAALRGMGIYEPFNQISSWAHAFRDDGSLNIGPSTIVQVDAGLDDKSEHVSHESMEPYRSDQEAHKPADKIQRRLAQNREAARKSRLRKKAYVQQLESSRMKLAQLEQELERARHQGAYLGSASNSSHLGFSGTVNPGIAAFEMEYGHWVEEQHKQISELRKALQAHITDIELRILVENGLNHYNNLFRMKADAAKADVFYLISGKWRTSVERFFQWIGGFRPSELLNVLMSQLEPLTDQQLADVCNLRQSSQQAEDALTQGIDKLQQTLSQSIAVDVMGVGGYGQMADDMEKLEALEGFVNQADHLRQQTLQHMSRILTMRQAARGLLALGEYFHRLRALSSLWAACPREPT >Potri.015G144400.3.v4.1 pep chromosome:Pop_tri_v4:15:14943509:14948401:1 gene:Potri.015G144400.v4.1 transcript:Potri.015G144400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144400.v4.1 MKQRFLESMIWRPFMKLPARSSVVIFLLLFLIVGALVCTRLLDSTVTGGSSVVKTFLTDKIPKITRNKTEYPVNCTAFNPTRKCPLNYPTNTQEGPDRPSVSTCPEHFRWIHEDLRPWAHTGISRDMVERAKRTANFRLVIVNGKAYMERYRKSFQTRDTFTVWGIIQLLRKYPGKLPDLDMMFDCVDWPVIRSSDYSGPNATSPPALFRYCGDDDSLDVVFPDWSFWGWPEINIKPWESLSNDLKEGNKITKWMEREPYAYWKGNPSVAATRQDLMKCHASETQDWNARVYAQDWIKESQQGYQQSNLANQCVHKYKIYIEGSAWSVSEKYILACDSVTLLVKPHYYDFFTRSLVPNRHYWPIKEDDKCRSIKFAVEWGNNHSEEAQAMGKAASEFIQEDLKMDYVYDYMFHLLNEYAKLLTFKPTIPGRAIELCAEAMACPANGLEKKFMMDSMVMSPADTSPCTMPPPYDPLSLHSVFQRNGNSIKQVESWEKEYWDNQIKQS >Potri.005G126100.1.v4.1 pep chromosome:Pop_tri_v4:5:9419175:9421697:-1 gene:Potri.005G126100.v4.1 transcript:Potri.005G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126100.v4.1 MNHKVEDHIALISQLYPGLYTQMVPKQGESKPRRRRKKNTGEGVSGARKRKLSAEQVNFLEMNFGDEHKLETERKDKLASDLGLDPRQVAVWFQNRRARWKNKKLEEEYTKLKTAHESIVVQKCQLESEVLKLKEQLSRTEKEIQRLSDRADRVSTNSPSSSLSMAIEPPFLGEFAVLEGYGDAFYMPPENNYIPGMEWISQYM >Potri.013G011600.5.v4.1 pep chromosome:Pop_tri_v4:13:750389:754043:1 gene:Potri.013G011600.v4.1 transcript:Potri.013G011600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011600.v4.1 MLDLLRPLHVSTDNISRMRPPLVPLATLIGRELRNEKIEKPFVKYGQAALAKKGEDYFLIKPDCQRVPGDLSTSFSVFAIFDGHNGVSAAIFVKEKLLDNVLSAIPQDITREEWLQALPQALVAGFVKTDIEFQQKGETSGTTVTFVVIDEWTVTVASVGDSRCILDSQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLVIASDGIWDALSSDMAAKSCRGLPAEIAAKLVVKVALRSRGLKDDTTCLVVDIIPSDIPVLPPIPRKKHNMFSSLFGKKALSSVSKSTKKLSAVGAVEELFEEGSAILAERLSKYFPANANSGLCRCAVCQVDQTPGDSLSVNSGSFFTPGSKPWEGPFLCSNCQKKKDAMEGKRSSRPTVMT >Potri.013G011600.4.v4.1 pep chromosome:Pop_tri_v4:13:750263:753911:1 gene:Potri.013G011600.v4.1 transcript:Potri.013G011600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011600.v4.1 MLDLLRPLHVSTDNISRMRPPLVPLATLIGRELRNEKIEKPFVKYGQAALAKKGEDYFLIKPDCQRVPGDLSTSFSVFAQIFDGHNGVSAAIFVKEKLLDNVLSAIPQDITREEWLQALPQALVAGFVKTDIEFQQKGETSGTTVTFVVIDEWTVTVASVGDSRCILDSQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLVIASDGIWDALSSDMAAKSCRGLPAEIAAKLVVKVALRSRGLKDDTTCLVVDIIPSDIPVLPPIPRKKHNMFSSLFGKKALSSVSKSTKKLSAVGAVEELFEEGSAILAERLSKYFPANANSGLCRCAVCQVDQTPGDSLSVNSGSFFTPGSKPWEGPFLCSNCQKKKDAMEGKRSSRPTVMT >Potri.013G011600.2.v4.1 pep chromosome:Pop_tri_v4:13:750263:754041:1 gene:Potri.013G011600.v4.1 transcript:Potri.013G011600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011600.v4.1 MLDLLRPLHVSTDNISRMRPPLVPLATLIGRELRNEKIEKPFVKYGQAALAKKGEDYFLIKPDCQRVPGDLSTSFSVFAIFDGHNGVSAAIFVKEKLLDNVLSAIPQDITREEWLQALPQALVAGFVKTDIEFQQKGETSGTTVTFVVIDEWTVTVASVGDSRCILDSQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKLSNAGGRLVIASDGIWDALSSDMAAKSCRGLPAEIAAKLVVKVALRSRGLKDDTTCLVVDIIPSDIPVLPPIPRKKHNMFSSLFGKKALSSVSKSTKKLSAVGAVEELFEEGSAILAERLSKYFPANANSGLCRCAVCQVDQTPGDSLSVNSGSFFTPGSKPWEGPFLCSNCQKKKDAMEGKRSSRPTVMT >Potri.005G167200.1.v4.1 pep chromosome:Pop_tri_v4:5:17219576:17220649:1 gene:Potri.005G167200.v4.1 transcript:Potri.005G167200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G167200.v4.1 MGGAEEEKQANEMPRSNSITQAQFLLWKRQKEADSSARKAEAARKREEDIAAGTVQMNGRELFLHEPWVFDNTQY >Potri.008G106700.1.v4.1 pep chromosome:Pop_tri_v4:8:6734619:6735507:-1 gene:Potri.008G106700.v4.1 transcript:Potri.008G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106700.v4.1 MSSSLAKAGSSGSDVDAPNATIDEKRRKRMISNRESARRSRMKRQKHLGGLVCEKSILERKIYEDNEKYVAIWQSHFALESQNKILRDEKMKLAENLKNLQQILSGYEVPESDQDIEVSDRFLNPWQVSSPVKPITASGMFKF >Potri.002G137900.2.v4.1 pep chromosome:Pop_tri_v4:2:10358177:10359487:-1 gene:Potri.002G137900.v4.1 transcript:Potri.002G137900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137900.v4.1 MKTVGFAKPCTIPPTRDMTLECCNILTQPTRLNKKFIQNQSLRKWQGLRDPVRNDSIASGRPVKLRRKWMEYQGIRNWEGLLDPLDDNLRGEILRYGDFVDAAYKSFDFNPSSPTYANCRFPKRTLFERSGFRDTGYRVTKHLRATSVIQLPRWMEKAPSWMFTQSSWIGYVAVSQNKAEIARLGRRDVVIAFRGTATCLEWLENLRATLTQLPNTECDKNGSDESGPMVERGFLSLYTSGTPIRPSLQEMVREESKRLLQTYGDEPLSLTIAGHSLGAALATLAAYDIKTTFNRVPVLVTVISFGGPRVGNRSFRQLLDKQGTKVLRIVNSNDVITKLPGFVIDGDQNDVADKGDLISMASFPSWIQKRMEDTQWVYAEVGRELRLSSKDSPYLNSINVATCHDLKTYLHLVNGFVSSSCPFVEKAKRFLGNRRR >Potri.008G112200.1.v4.1 pep chromosome:Pop_tri_v4:8:7141068:7144745:1 gene:Potri.008G112200.v4.1 transcript:Potri.008G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112200.v4.1 MSPKLDSPVQTQMALAVFKSPLGGEYHGSQRMEGKQPAVRRRVFVQTETGCVLGMDLDRSDNAHTVKRRLQIALNVPTEESSLTFGDMVLKNDLSAVRNDSPLLLTRNFLHRSSSTPCLSPTGRDTQQRDQSSPIEILGHSNSFAKVRQSVKESIKAIKNGVDPLPVHSGLGGAYYFRNSRGQSVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAFLLDHDHFANVPPTALVKITHSIFNVNDGVNGNKPHKKKQVSKIASFQQFIPHDFDASDHGTSSFPVTAVHRIGILDIRILNTDRHGGNLLVRKLDGVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDDELEYIEKLEPGKDCDMLRMELPTIRDACLRVLVLCTIFLKEAASSGLCLAEIGEMMSREFRAGEEEPSELEAVCIEARRLIAEREVFSPRGYLGDDQDFQFDLDCDETHYDFTPKLTADDYLTRSPFQFGIGSGSGRFLLSKLEESIEEEESEGEEEQECFTALRTPEKFPTISKLSMSLKSSTTLGDKNQKFSGTKPETGYLSNRSSGHRSANEQLPASMSFVELADMTEEEWTLFMEKFQELLYPAFAKRKSVTFGQRQRQRQRLGTSCQF >Potri.008G112200.2.v4.1 pep chromosome:Pop_tri_v4:8:7141426:7144665:1 gene:Potri.008G112200.v4.1 transcript:Potri.008G112200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112200.v4.1 MSPKLDSPVQTQMALAVFKSPLGGEYHGSQRMEGKQPAVRRRVFVQTETGCVLGMDLDRSDNAHTVKRRLQIALNVPTEESSLTFGDMVLKNDLSAVRNDSPLLLTRNFLHRSSSTPCLSPTGRDTQQRDQSSPIEILGHSNSFAKVRQSVKESIKAIKNGVDPLPVHSGLGGAYYFRNSRGQSVAIVKPTDEEPFAPNNPKGFVGKALGQPGLKRSVRVGETGFREVAAFLLDHDHFANVPPTALVKITHSIFNVNDGVNGNKPHKKKQVSKIASFQQFIPHDFDASDHGTSSFPVTAVHRIGILDIRILNTDRHGGNLLVRKLDGVGRFGQVELIPIDHGLCLPETLEDPYFEWIHWPQASIPFSDDELEYIEKLEPGKDCDMLRMELPTIRDACLRVLVLCTIFLKEAASSGLCLAEIGEMMSREFRAGEEEPSELEAVCIEARRLIAEREVFSPRGYLGDDQDFQFDLDCDETHYDFTPKLTADDYLTRSPFQFGIGSGSGRFLLSKLEESIEEEESEGEEEQECFTALRTPEKFPTISKLSMSLKSSTTLGDKNQKFSGTKPETGYLSNRSSGHRSANEQLPASMSFVELADMTEEEWTLFMEKFQELLYPAFAKRKSVTFGQRQRQRQRLGTSCQF >Potri.003G071000.1.v4.1 pep chromosome:Pop_tri_v4:3:9810371:9811045:-1 gene:Potri.003G071000.v4.1 transcript:Potri.003G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071000.v4.1 MAKTSKLTKLKSAIKRLPSFTKIVRTNSSIAAADNDHIDGKISKELHAVYVGKSRRRYLLSSDVICHPLFQGLIDRSGAGFGDEDNQAVVVACEVVLFEHLLWMIESGGSDQLGSMEELAEFYYTC >Potri.006G051000.1.v4.1 pep chromosome:Pop_tri_v4:6:3546243:3551111:1 gene:Potri.006G051000.v4.1 transcript:Potri.006G051000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051000.v4.1 MLVQDRVTTNPNPKSPKSQIRASINSHHHDLHHRFSESKSLDFSTWVSENFCKIVTITVLVATVAAILFLLSTGDTAALSYIQSKAQPLDKAHHPPRINWNNIPSIADKSSPYTNFRSEKWIVVSVSHYPSDSLKKLVRIKGWQLLAIGNSRTPNDWSLKGAIYLSLEQQATLGFRVSGYLPFDSYLRKSVGYLFAIQHGAKKIFDADDRGEVIDGDLGKHFDVELIGEGARQETILQYSHENENRSVVNPYVHFGQRTVWPRGLPLENVGEIGHEEFYTEVFGGKQFIQQGISNGLPDVDSVFYHTRKTGLEAFDIRFDERAPKVALPQGVMMPVNSFNTIYHSSAFWGLMLPVSVSTMASDVLRGYWGQRLLWEIGGYVVVYPPTVHRYDTVGGYPFSEEKDLHVNVGRLIKFLVAWRSSKHELFEKILELSFAMAEEGFWSEQDVKFTAAWLQDLLAVGYQQPRLMSFELDRPRPNIGHGDRKEFVPRKLPSVHLGVEETGTVNYEIGNLIRWRKNFGNVVLIMFCNGPVERTALEWRLLYGRIFKTVIILSSQKNEDLAIEAGHLDRMYKHLPKIFDRYSSAEGFLFLQDDTILNYWNLLQADKTKLWITDKVSKSWTTVSTNGNTGWYAKQAEMVRKVVGSMPVHFQVNYKEAMKSDQSLVIGSSEIFYIPQQLVTDFVDLVGLVGDLNIHQKVAIPMFFMSMDSPQNFDSVLSTMVYKPKPPPANSTFYSAQAPAVHPWNVSSEQDFIKLTRIMAEGDPLLMELF >Potri.015G111300.1.v4.1 pep chromosome:Pop_tri_v4:15:12808514:12811653:1 gene:Potri.015G111300.v4.1 transcript:Potri.015G111300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111300.v4.1 MDTRLFEAAQRGNIDYLQRLLTENPLILNITLLSAENPLNIAADMGHVDFVKEIIKLKPVFAKEVNQEGFSPTHIAAANGHVEIVKELMKVDIKLCRLEGRQKMTPLHYAAIKGRAEVISAMLSDCPDCIEDETDRKENALHLAVKNNRFEAIKILGDWIKDMNKEYLLNMKDEQGNTVLHLASWKKQREVLEMLLGSGTVSSGSLEVNAINHSGLTALDMVLIFPSEAGDREIVEILRSAGATRARDIIQSTISDNQTSTDNTSTPERCLSNGNNLIEYFKFKKGRDSPSEARSTLLVIAVLVATATFQVGVNPPGGVWQDTNIPDHTNSTSSSNAHFGGQSIMATTNKVGFMLFVFFNSVGFSMSLYMIYVLTSEFPLQFELQICLLAMYGTYGTALPCIAPSSLNLPVLLTTTILSSTTSALAQVARPLTTMLKKFFKDFTHRVV >Potri.006G243000.1.v4.1 pep chromosome:Pop_tri_v4:6:24399979:24402330:-1 gene:Potri.006G243000.v4.1 transcript:Potri.006G243000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G243000.v4.1 MALVLRGVPSLAKRGSRIPQIIQLLFPIPFQAQHSFSTASSSDPLLSKLLQTPTSKIIITLDSDHSFNLKSSQLSWDPLITNLRSSSPEKAHLVLEWRLGRMLDDNEIDHDEYSSLISLCGKIQNVSLAMHVFASMEARGIKPTTSVFNSLLYACLLSSNVITALSLFEIMENSESYKPNSETYDKFVAGFSNLRDVNKMQAWFVGKRAAGFSASLQNYECLISGCVKARDFDTADRLYEEMMSLGIMPSLHIMEWVLEGHCKRGSCDKVKEFLNFLLECKFEINGNMIENVVRLYSELGKVDEMEMLLEMLMEFNQVGEALLQLHCGIIRFYAMLDKLDDVEFSVGRMMSQGMSFKSPSDVEKVISSYFRQEAYERLDLFLEHIKSYYKLTRSTYDLLVAGYRRVGLMEKLNLLMEDMKLAGV >Potri.010G203400.2.v4.1 pep chromosome:Pop_tri_v4:10:19531144:19534572:-1 gene:Potri.010G203400.v4.1 transcript:Potri.010G203400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203400.v4.1 MGSCFSARIKAESPSRNGGNTKYAGKGGNDKSGSSSKVSSSTVPSTPRTQGEILQSSNLKSFSFSELKAATRNFRPDSVLGEGGFGCVFKGWIDEHSLTAAKPGTGTVIAVKRLNQESSQGHQEWLAEINYLGQLYHPNLVKLIGYCLEDDHRLLVYEFMPKGSLENHLFRRASYFQPLSWNLRMKVALDAAKGLEYLHSDKAKVIYRDFKASNILLDSNYRAKLSDFGLAKDGPTGSKSHVSTRIMGTYGYAAPEYMATGHLTARSDVYSFGVVLLEMLSGRRAIDKNRPSKEHNLVEWARPYLSSKRRIFQVMDARIQGQYSSSDALKAANLAIQCLSTEPKYRPNMEAVVKALEQLHNSNDNDGSKGSRGENPRRVNRSSSNGPKYHRKNVKEVSNGKPASYAGPYISPLRT >Potri.012G035600.1.v4.1 pep chromosome:Pop_tri_v4:12:3198763:3201140:-1 gene:Potri.012G035600.v4.1 transcript:Potri.012G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035600.v4.1 MQKNEHTHTLKDPSMAEHEGQRESAAESLVDKITEKINGHDSSSSSDSDNEKFDAVKSKIFRLFGREKPVHKVLGGGKPADVFLWRNTKISAGVLGGATTVWVLFELLGYHLITLVCYLSIFSLAVLFLWSNTSDFLNKPPPKIPEVVLPEKCVREVASVLRIEINRGFAVLRDIASGRDLKKVLAVIAGLWVLSLIGSCINILTLLYISFVLLHTVPVLYEKHEDQVDAYSEKAWIEIKKQYAVFDEKYLSKIPKGALKGEKKD >Potri.017G072460.2.v4.1 pep chromosome:Pop_tri_v4:17:7929264:7944083:-1 gene:Potri.017G072460.v4.1 transcript:Potri.017G072460.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072460.v4.1 MKDGNIPEWAQVLEPVRKLPTNVGARIRKCVYDALEKCPPEWAKTRLEHSISKEVYKGNASGPTKKAVLSVLADVLTGVQQKAVKTNKKKISIPISDIIMKQCRIVLREAAAADDAKVFCTLLGRNLRNSCDTDDEGLLGSPAMVSRPLDFRTIDLRLAAGAYGGSHESFLEDVRELWSHVRMAFREQGDLVELAETLSQNFESLFEKEVVTLVKKFEGYAKLDHISAEIKKELDDFLASIHEVPKAPWDEGVCKVCGVDKDDNSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVVSKHVVQEASGISQVIGIVHCKKYQGEITHVYLEKLSHLSVTMKEKEYWEFSVDERIYLLKFLCDELLNSGLIRQNLEQCAETTNELQQKLRAFSMEWKTMKSKEEFLASRAADMDGSAVGEVGLKEALASAIPNQPKQAGQQPDVSDGPSHCSSFGHDVPALNGGQDGTRINGFDKYPSVSSSGKNHSCNSQTVNHTDTKEQVNDPLAVVDGSKLPSRENEKSSGPNNLSQIIGDMDEIQFQGNLQGYAGRGTSLLPPPDVGFGTALEENSRVSQHVPPVAINESEGFNLELSAVKNDLLHLQNSISRIQSQLLKLSVRREFLGSDSRGRLYWASTGRGSDQRVIVDGSLTLQQRNSDQFGTRLNLEEQKTFFPFQCTSNNVLAMCSPCVSYETEEEIEQLISWLKDDVQKERELKESISQCLKQRFQETRQARDLVQEEHQALSVITNNNNTAFANYLVTKAAMFLEKKYGPLVELHTSDKLVKRARVTGEGKMYRCDCLEPILPSRHHCLSCHRTFSDDIEFNEHNGGRCNLITPANAKSEYISGFVKVKGNMKSQTTQKVPISEMDVVETSRSGSSGLGSRLIKSQNEGICPYDFSEISSKFVTEDSNKELVHKIGLIGSNGVPSFITSLSSDLNHSMSMLICHGENNGVVGDELSIDGRMVVSKGKKSESSAALDNIYDNSSWKSVANEISKVSKTEKPPPGHVEHRKKKSSSNKHFPEIGAGFCCVVPRSSLRPLAGNVLHILRRLKINLLDMEAALPEEALKPSKVHLDRRLAWRVYVKSAGSIYEVCLTAFVILWLLISLPY >Potri.017G072460.1.v4.1 pep chromosome:Pop_tri_v4:17:7927062:7944087:-1 gene:Potri.017G072460.v4.1 transcript:Potri.017G072460.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072460.v4.1 MKDGNIPEWAQVLEPVRKLPTNVGARIRKCVYDALEKCPPEWAKTRLEHSISKEVYKGNASGPTKKAVLSVLADVLTGVQQKAVKTNKKKISIPISDIIMKQCRIVLREAAAADDAKVFCTLLGRNLRNSCDTDDEGLLGSPAMVSRPLDFRTIDLRLAAGAYGGSHESFLEDVRELWSHVRMAFREQGDLVELAETLSQNFESLFEKEVVTLVKKFEGYAKLDHISAEIKKELDDFLASIHEVPKAPWDEGVCKVCGVDKDDNSVLLCDTCDAEYHTYCLNPPLARIPEGNWYCPSCVVSKHVVQEASGISQVIGIVHCKKYQGEITHVYLEKLSHLSVTMKEKEYWEFSVDERIYLLKFLCDELLNSGLIRQNLEQCAETTNELQQKLRAFSMEWKTMKSKEEFLASRAADMDGSAVGEVGLKEALASAIPNQPKQAGQQPDVSDGPSHCSSFGHDVPALNGGQDGTRINGFDKYPSVSSSGKNHSCNSQTVNHTDTKEQVNDPLAVVDGSKLPSRENEKSSGPNNLSQIIGDMDEIQFQGNLQGYAGRGTSLLPPPDVGFGTALEENSRVSQHVPPVAINESEGFNLELSAVKNDLLHLQNSISRIQSQLLKLSVRREFLGSDSRGRLYWASTGRGSDQRVIVDGSLTLQQRNSDQFGTRLNLEEQKTFFPFQCTSNNVLAMCSPCVSYETEEEIEQLISWLKDDVQKERELKESISQCLKQRFQETRQARDLVQEEHQALSVITNNNNTAFANYLVTKAAMFLEKKYGPLVELHTSDKLVKRARVTGEGKMYRCDCLEPILPSRHHCLSCHRTFSDDIEFNEHNGGRCNLITPANAKSEYISGFVKVKGNMKSQTTQKVPISEMDVVETSRSGSSGLGSRLIKSQNEGICPYDFSEISSKFVTEDSNKELVHKIGLIGSNGVPSFITSLSSDLNHSMSMLICHGENNGVVGDELSIDGRMVVSKGKKSESSAALDNIYDNSSWKSVANEISKVSKTEKPPPGHVEHRKKKSSSNKHFPEIGAGFCCVVPRSSLRPLAGNVLHILRRLKINLLDMEAALPEEALKPSKVHLDRRLAWRVYVKSAGSIYEMIQATIILEEMIKTDYLRNEWWYWSSFSAAAKTSTIASLALRIYSLDAVIVYEKATPNLDSTNSLKPVGMLDKKPLPGLDLTDKSKVSRKSNKKRKEPE >Potri.010G059550.1.v4.1 pep chromosome:Pop_tri_v4:10:8953259:8956179:-1 gene:Potri.010G059550.v4.1 transcript:Potri.010G059550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059550.v4.1 MATEDVSLDLSKLLSSEERDFLIRNNGDQVKVSNLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEQLSSKGDFEVVFISSDRDDESFNTYFSEMPWLAIPFSDTETRKRLKEVFKVRGIPNLVIFDTNGKVSCDDGVSTVKEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPVLDLEGKLVGLYFSIHAHRMCREFTPKLVELYKRLKEKGENFEVVLISLDSEEKHFKESFETMPWFALPFKDKSCEKLARYFELRTIPNLVIIGQDGKTLNPNVAELIEDHGIEAYPFTPEKLEELAEIEKAKLESQTLESVLVNGENDFVIDKSGSKVRVSDLVGKNILLYFSAQWCPPCRAFLPKLIEAYHTIKAKDNAFEVIFISSDSDQSTFDEFYSEMPWLALPFGDERKQILSRKFKIQGIPAAVAIGPSGRTISKEARMHLTAYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRKAYVCNGCRGTGHGWSFYCKQCDFDLHPKCALKEDEDTGSEKGKEGRICDGDVCRRA >Potri.011G094800.4.v4.1 pep chromosome:Pop_tri_v4:11:12225509:12228519:1 gene:Potri.011G094800.v4.1 transcript:Potri.011G094800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094800.v4.1 MNTRYSFPTDSFRSSSPAVSLSSNSPVGEEHGTAFLRNRSPRLAPSSLFVRAAMRISRARWFTFLRRVFHYQNGSSSNLGSNPFNSSSWMMLEFVALLLQICITTFTLAISKAENPVWPVRIWIIGYNIGCVLSLLLLYGRYRQLNATQGDGFGLPDLEQQGGSEESSVCRYSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSYFRAPKLHVLCIFLLAWNALSYSFPFLLFLLLCCCVPLISTVIGYNMSMGSAERGASDDQISRLPSRRYKAVDTDSEFRNSVDCDSTVASEDLECCICLAKYKDIEEVRQLPCSHMFHLKCVDQWLRIISCCPLCKQELEK >Potri.011G094800.3.v4.1 pep chromosome:Pop_tri_v4:11:12225469:12228563:1 gene:Potri.011G094800.v4.1 transcript:Potri.011G094800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094800.v4.1 MNTRYSFPTDSFRSSSPAVSLSSNSPVGEEHGTAFLRNRSPRLAPSSLFVRAAMRISRARWFTFLRRVFHYQNGSSSNLGSNPFNSSSWMMLEFVALLLQICITTFTLAISKAENPVWPVRIWIIGYNIGCVLSLLLLYGRYRQLNATQGDGFGLPDLEQQGGSEESSVCRYSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSYFRAPKLHVLCIFLLAWNALSYSFPFLLFLLLCCCVPLISTVIGYNMSMGSAERGASDDQISRLPSRRYKAVDTDSEFRNSVDCDSTVASEDLECCICLAKYKDIEEVRQLPCSHMFHLKCVDQWLRIISCCPLCKQELEK >Potri.011G094800.2.v4.1 pep chromosome:Pop_tri_v4:11:12225829:12228515:1 gene:Potri.011G094800.v4.1 transcript:Potri.011G094800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094800.v4.1 MNTRYSFPTDSFRSSSPAVSLSSNSPVGEEHGTAFLRNRSPRLAPSSLFVRAAMRISRARWFTFLRRVFHYQNGSSSNLGSNPFNSSSWMMLEFVALLLQICITTFTLAISKAENPVWPVRIWIIGYNIGCVLSLLLLYGRYRQLNATQGDGFGLPDLEQQGGSEESRYSHLMNKCRTSLELFFAIWFVMGNVWVFDSRFGSYFRAPKLHVLCIFLLAWNALSYSFPFLLFLLLCCCVPLISTVIGYNMSMGSAERGASDDQISRLPSRRYKAVDTDSEFRNSVDCDSTVASEDLECCICLAKYKDIEEVRQLPCSHMFHLKCVDQWLRIISCCPLCKQELEK >Potri.007G097500.6.v4.1 pep chromosome:Pop_tri_v4:7:12237958:12239749:1 gene:Potri.007G097500.v4.1 transcript:Potri.007G097500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097500.v4.1 MEFDQRKLPRSRMNLYANYKIQLAKGVKHDLDPPIPPSLPVPNNRNPNNGSSTSLIIMACVFGGAVLLGILCLVSRLCYNRHQNSRRSRSLPVFFGTQEDFLDEDQGTEINHHIWYINFLGLQQSVIDSITVFNFKKDEGLIDGTECSVCLSEFQENESLRLLPKCSHAFHIPCIDTWLRSHKNCPLCRAPVVSDNFDAQVALTVPTTGDLSSREEPQMENSENNIPSGLMSSNHAGEDGSGEVRNGEEPICGLPVVDESNAGNSSLNSNHFISRNPRIRSDLVDKLVVVEEEMQPVRRSVSLDFSTASAIYSVLANVAPGKCQANSDSLLVQPKQPKSKNAAKRGSSGFHKLLKNSSIRCSLQKGPISMKRSLSTSGKSLSSRCSRSQNTIHSF >Potri.007G097500.3.v4.1 pep chromosome:Pop_tri_v4:7:12237958:12239750:1 gene:Potri.007G097500.v4.1 transcript:Potri.007G097500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097500.v4.1 MEFDQRKLPRSRMNLYANYKIQLAKGVKHDLDPPIPPSLPVPNNRNPNNGSSTSLIIMACVFGGAVLLGILCLVSRLCYNRHQNSRRSRSLPVFFGTQEDFLDEDQGTEINHHIWYINFLGLQQSVIDSITVFNFKKDEGLIDGTECSVCLSEFQENESLRLLPKCSHAFHIPCIDTWLRSHKNCPLCRAPVVSDNFDAQVALTVPTTGDLSSREEPQMENSENNIPSGLMSSNHAGEDGSGEVRNGEEPICGLPVVDESNAGNSSLNSNHFISRNPRIRSDLVDKLVVVEEEMQPVRRSVSLDFSTASAIYSVLANVAPGKCQANSDSLLVQPKQPKSKNAAKRGSSGFHKLLKNSSIRCSLQKGPISMKRSLSTSGKSLSSRCSRSQNTIHSF >Potri.013G100200.1.v4.1 pep chromosome:Pop_tri_v4:13:10575707:10579985:1 gene:Potri.013G100200.v4.1 transcript:Potri.013G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100200.v4.1 MLFINLSAMSFINSVLDWVVPPASLVMLACSWPALCFINTCEWLYRSFFSEDMEDKVVIITGASSGIGEQIAYEYAKRKAILVLIARREHRLRGVSEKARYIGAKRVLIMAADVVKEDDCRRFVNETINYFGRVDHLVNTASLGHTFYFEEVGDTSVFPHFLDINFWGNVYPTYVALPYLRQSNGRVVVNAAVESWLPLPRMSLYAAAKAALVSFYESLRFEVNGEVGITIASHGWIGSEMSRGKFMLEDGAEMQWKEEREVNGTGGPVEDYAKMIVSGACRGHQYVKYPSWYDIFLLYRMFAPGILNWALRMLLAPNGSRRTSMIGTGRPALI >Potri.013G100200.2.v4.1 pep chromosome:Pop_tri_v4:13:10575704:10579985:1 gene:Potri.013G100200.v4.1 transcript:Potri.013G100200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100200.v4.1 MHAWQVPYKNIQNLVMLACSWPALCFINTCEWLYRSFFSEDMEDKVVIITGASSGIGEQIAYEYAKRKAILVLIARREHRLRGVSEKARYIGAKRVLIMAADVVKEDDCRRFVNETINYFGRVDHLVNTASLGHTFYFEEVGDTSVFPHFLDINFWGNVYPTYVALPYLRQSNGRVVVNAAVESWLPLPRMSLYAAAKAALVSFYESLRFEVNGEVGITIASHGWIGSEMSRGKFMLEDGAEMQWKEEREVNGTGGPVEDYAKMIVSGACRGHQYVKYPSWYDIFLLYRMFAPGILNWALRMLLAPNGSRRTSMIGTGRPALI >Potri.004G203100.10.v4.1 pep chromosome:Pop_tri_v4:4:21218907:21224692:-1 gene:Potri.004G203100.v4.1 transcript:Potri.004G203100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203100.v4.1 MASCVSPPFTPSGTQISVGRRISTENRFGRIVTAQRFDKKKGSWGACGRNAVSISQIRCSVNSHNISPNHKDSFLDLHPEVSMLRSDANDTYSSVRKETSGRNVTESSGDTSFMSNYNEAKIKVVGVGGGGSNAVNRMIESSLTGVEFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDIGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTSPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATAGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPALSGQVSITLIATGFNRRDEGEGQGTQRAHGDVSLGTNRRPPYADGGSVEIPEFLRKKGRSLFPRM >Potri.004G203100.2.v4.1 pep chromosome:Pop_tri_v4:4:21218907:21224664:-1 gene:Potri.004G203100.v4.1 transcript:Potri.004G203100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203100.v4.1 MASCVSPPFTPSGTQISVGRRISTENRFGRIVTAQRFDKKKGSWGACGRNAVSISQIRCSVNSHNISPNHKDSFLDLHPEVSMLRSDANDTYSSVRKETSGRNVTESSGDTSFMSNYNEAKIKVVGVGGGGSNAVNRMIESSLTGVEFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDIGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTSPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPALSGQVSITLIATGFNRRDEGEGQGTQRAHGDVSLGTNRRPPYADGGSVEIPEFLRKKGRSLFPRM >Potri.004G203100.8.v4.1 pep chromosome:Pop_tri_v4:4:21218907:21224679:-1 gene:Potri.004G203100.v4.1 transcript:Potri.004G203100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203100.v4.1 MASCVSPPFTPSGTQISVGRRISTENRFGRIVTAQRFDKKKGSWGACGRNAVSISQIRCSVNSHNISPNHKDSFLDLHPEVSMLRSDANDTYSSVRKETSGRNVTESSGDTSFMSNYNEAKIKVVGVGGGGSNAVNRMIESSLTGVEFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDIGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTSPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPALSGQVSITLIATGFNRRDEGEGQGTQRAHGDVSLGTNRRPPYADGGSVEIPEFLRKKGRSLFPRM >Potri.004G203100.12.v4.1 pep chromosome:Pop_tri_v4:4:21218906:21224133:-1 gene:Potri.004G203100.v4.1 transcript:Potri.004G203100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203100.v4.1 MASCVSPPFTPSGTQISVGRRISTENRFGRIVTAQRFDKKKGSWGACGRNAVSISQIRCSVNSHNISPNHKDSFLDLHPEVSMLRSDANDTYSSVRKETSGRNVTESSGDTSFMSNYNEAKIKVVGVGGGGSNAVNRMIESSLTGVEFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDIGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTSPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATAGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPALSGQVSITLIATGFNRRDEGEGQGTQRAHGDVSLGTNRRPPYADGGSVEIPEFLRKKGRSLFPRM >Potri.004G203100.5.v4.1 pep chromosome:Pop_tri_v4:4:21218906:21224701:-1 gene:Potri.004G203100.v4.1 transcript:Potri.004G203100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203100.v4.1 MASCVSPPFTPSGTQISVGRRISTENRFGRIVTAQRFDKKKGSWGACGRNAVSISQIRCSVNSHNISPNHKDSFLDLHPEVSMLRSDANDTYSSVRKETSGRNVTESSGDTSFMSNYNEAKIKVVGVGGGGSNAVNRMIESSLTGVEFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDIGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTSPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPALSGQVSITLIATGFNRRDEGEGQGTQRAHGDVSLGTNRRPPYADGGSVEIPEFLRKKGRSLFPRM >Potri.004G203100.11.v4.1 pep chromosome:Pop_tri_v4:4:21218907:21224721:-1 gene:Potri.004G203100.v4.1 transcript:Potri.004G203100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203100.v4.1 MASCVSPPFTPSGTQISVGRRISTENRFGRIVTAQRFDKKKGSWGACGRNAVSISQIRCSVNSHNISPNHKDSFLDLHPEVSMLRSDANDTYSSVRKETSGRNVTESSGDTSFMSNYNEAKIKVVGVGGGGSNAVNRMIESSLTGVEFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDIGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTSPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATAGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPALSGQVSITLIATGFNRRDEGEGQGTQRAHGDVSLGTNRRPPYADGGSVEIPEFLRKKGRSLFPRM >Potri.004G203100.9.v4.1 pep chromosome:Pop_tri_v4:4:21218907:21224679:-1 gene:Potri.004G203100.v4.1 transcript:Potri.004G203100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G203100.v4.1 MASCVSPPFTPSGTQISVGRRISTENRFGRIVTAQRFDKKKGSWGACGRNAVSISQIRCSVNSHNISPNHKDSFLDLHPEVSMLRSDANDTYSSVRKETSGRNVTESSGDTSFMSNYNEAKIKVVGVGGGGSNAVNRMIESSLTGVEFWIVNTDIQAMKMSPVLPENRLQVGKELTRGLGAGGNPDIGMNAANESKAAIEEALYGADMVFITAGMGGGTGTGGAPVIAGVAKSMGILTVGIVTSPFSFEGRRRAVQAQEGIAALRNNVDTLIVIPNDKLLTAVSQSTPVTEAFNLADDILRQGVRGISDIIMVPGLVNVDFADVRAIMKDAGSSLLGIGTATAGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGTDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPALSGQVSITLIATGFNRRDEGEGQGTQRAHGDVSLGTNRRPPYADGGSVEIPEFLRKKGRSLFPRM >Potri.004G005301.1.v4.1 pep chromosome:Pop_tri_v4:4:321784:327683:-1 gene:Potri.004G005301.v4.1 transcript:Potri.004G005301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G005301.v4.1 MTLKHFELFLFVSVIKVLIFGFPVLVQCTTDANDVQALQVMYTSLNSPSQLTTWKSNGGDPCAESWKGITCEGSAVVSIQISGLGLDGTMGYLLANLMSLRTLDLSDNHLRDSFPYQLPPNLTSLNLAKNNLSGNIPYSMSSMVSLSYLNISRNSLAQSIGDVFLNLSLLATMDLSFNSFSGDLPSSFNSLSNLSTLNVQNNQLTGSLNVLTGLPLTTLNVANNNFSGWIPQELSSIPNFIYDGNSFDNGPSPPPPPYTPPPPGKSHRNRTHPGSGAPVTPSSDGQPSQSDKGISVGAIVGVALGSLVLVLIVLLALVFCIKKHKSKEIGPLATRGSRPADTDDIDRDMQESRVKNMAAVTDLKPPPAEKLVVERLQGNSGSIKRMKSPITATSYSVASLQTATNSFSQEFLIGEGSLGRVYRGEFPNGKMMAVKKIDNAALSLQEEDNFLEAVSNMSHLRHPNIVSLVGYCVEHGQRLLVYEYIANGSVHDILHFADDGSKTLSWNARVRVALGTARALEYLHEVCLPSVVHRNLKSANILLDEELNPHLSDCGLAALTPNTERQVSTQMVGSFGYSAPEFALSGIYTVKSDVYSFGVVMLELLTGRKPLDSSRVRSEQSLVRWATPQLHDIDALAKMVDPILNGMYPAKSLSRFADIIALCVQPEPEFRPPMSEVVQALVRLVQRASVVKRRSSDESGFAYRTPDHEAIDSSF >Potri.003G052500.1.v4.1 pep chromosome:Pop_tri_v4:3:7727616:7729141:1 gene:Potri.003G052500.v4.1 transcript:Potri.003G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052500.v4.1 MASLATFAAVQPATIKGLGGSSLSGTKLHVKPSRQGLRPKSLRSGAVVAKYGDKSVYFDLEDLGNTTGQWDLYGSDAPSPYNPLQSKFFETFAAPFTKRGLLLKFLILGGGSTLAYFSATASGDILPIKKGPQLPPKLGPRGKL >Potri.010G095601.1.v4.1 pep chromosome:Pop_tri_v4:10:11952561:11957435:-1 gene:Potri.010G095601.v4.1 transcript:Potri.010G095601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G095601.v4.1 MAMEGSNINSNREDFTVGCLLSIKTTLGEEFHGQVITFDRPSNILVLQEGSKPGPKKNIRFLKANYIKEFSFLGQAEDPLDIKKCYIDLHSLQAREELALRQAEADAERIGVGVTAEAQGIFYALSKTLPVRWDKTSIVVMNEVHVSSPYLPECVSGGTPAANDRVKKVLELERKRLQARGASQ >Potri.013G124900.2.v4.1 pep chromosome:Pop_tri_v4:13:13289471:13292780:-1 gene:Potri.013G124900.v4.1 transcript:Potri.013G124900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124900.v4.1 MARRCLPFLLLLQVWLQLASAHSIVKFLPGFQGPLPFHLETGYVGVDEAEDVQLFYYFIKSQRNPKDDPLLLWLTGGPGCSAFSGLAFEIGPIRFEEKECNGSLPTLVFNPYSWTQVSSIIFLDLPVSTGFSYARTPLALQRSDFKQVSQAEQFLRKWLMDHQEFLSNPVYISGDSYSGIIVPAVVQKISNGNNDGIKPLINLKGYTLGNPFTDFTFDLNSRIPFSHGMGLISDELYESLKKSCGGQYQTIDPKNSECLKNLEARDKCISEIEESHILLRKCPSDAPRPIEMMGNRRYLRENPQEFLHFKPDLPTIGCWNYGFLLGSYWANDDKVRKALHVREGSIGEWKRCNYNYTYEINSCIKYHIDLGIKGYRRLIYSGDHDMEAPFLGTQAWIRSLNYSIVNDWHPWHFQGQVAGYTRTYSSQLTFATVRDGGHTAPADRPAECFAMFKRWINQEPL >Potri.006G072500.1.v4.1 pep chromosome:Pop_tri_v4:6:5272006:5279054:-1 gene:Potri.006G072500.v4.1 transcript:Potri.006G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072500.v4.1 MLYSREILNFLGRKDVRKILKRKDSDAGERGKALEELRSSLFSRFRFSESAKRQEKRSCGPVLALTFNFLVAVGIIFMNKWVLQGVGFHFPICLSFIHYLLSWALMAILKAFSVLPGSPPSKSTRLSLFTLGFVMSLSTGLANVSLKYNSVGFYQMAKIAVTPSIVLAEFIWFKKRVSFSKVVALAVVSIGVAVATVTDLQFSLFGACVALAWIIPSAVNKILWSTLQQRENWTALALMWKTTPITLFFLATLIPFFDPPGVFSYDWNFRNTALILLSAVLGFLLQWSGALALGATSAISHVVLGQFKTCVVLLGNFCIFGSNPGMTSISGAFMAIAGMSGYTYLNIHNPKPQTGKSSPRKSSTQSRLSKENGDGHDGYGGESV >Potri.014G185948.1.v4.1 pep chromosome:Pop_tri_v4:14:15391230:15393878:1 gene:Potri.014G185948.v4.1 transcript:Potri.014G185948.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185948.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGREGSRRDKRQATGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPAWKTEARPRQRAHHATRSGIARRANAWARARQFNATPTPAYSSPRNPPARRSAPAASARPPTCE >Potri.014G102400.1.v4.1 pep chromosome:Pop_tri_v4:14:6781962:6784127:1 gene:Potri.014G102400.v4.1 transcript:Potri.014G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102400.v4.1 MATTLGLLNFPILAQKNNTPFIHSKMPLLSNSSKLDVCKKDSHSPRKLFTETIQHLSSASLSPASLALPFLLDTKDALAVGGEFGILEGRSFALIHPIVMGGLLFYTLWAGYLGWQWRRVRTTQNEISELKRQVKPTPVTPEGTPVEAAPSPVELKIQQLSEERKELIKGSYRDRHFNAGSILLGFGVFEAIGGGVNTWLRTGKLFPGPHLFAGAGITVLWAAAAALVPAMQKGNETARSLHIALNAINVVLFLWQIPTGIDIVFKVFEFTKWP >Potri.006G163201.1.v4.1 pep chromosome:Pop_tri_v4:6:15722821:15723030:1 gene:Potri.006G163201.v4.1 transcript:Potri.006G163201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163201.v4.1 MRFLVALLIAFLFVSKADLELSFISRLVCIRALYIRLEFTTKNIVIPTLSCPSHESLIHSHSTTAGRAS >Potri.006G220000.2.v4.1 pep chromosome:Pop_tri_v4:6:22518056:22537231:-1 gene:Potri.006G220000.v4.1 transcript:Potri.006G220000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220000.v4.1 MPEPKMNLRKGSKVWAEDKNLAWVAAEVTDFLAKKVQILTVTGKQVLTVPEKLCPRDADEEEEHGGVDDMTKLTYLNEPGVLYNLQRRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMSEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDATGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDAEKYKLDNPHHFHYLNQSKTYELDGVSNAEEYIKTRRAMDIVGISNEDQEAIFRILAAILHLGNIEFSPGKEHDSSTVKDEKSSFHMQMAADLFMCDANLLFATLCTRTIQTREGNIIKALDCNAAVASRDALAKTVYARLFDWLVEKINRSVGQDPTSLIQVGVLDIYGFECFKYNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQNFRAHPRLEKAKFSETDFTVSHYAGKVTYQTDTFLDKNRDYVVVEHCNLMLSSKCHFVAGLFPLPPEESSRSSYKFSSVASRFKQQLQALMETLNSTEPHYIRCVKPNSMNRPQKFENLSILHQLRCGGVLEAVRISLAGYPTRRSYTEFVDRFGLLAPEFDGSCSYDEKTWTKKILHKLKLDNFQLGRTKVFLRAGQIGILDLRRAEVLDGAAKRIQRQLHTFIARRDFFSTRAAAFAIQSYCRGCLARKMFAAKRERAAAISIQKYVRKWLLRRAYLKLLSAAIFMQSNIHGFLTRKRFLQEKKQRAATLIQARWKIYKFRSALRHRQASIIAIQCRWRQKLAKRELRRLRQEANEAGALRLAKTKLEKQLEDLTWRLHLEKRLRVSNDEAKSVEISKLRNTVSSMSLELDAAKFATINECNKNAVLLKQLELTVNEKSALERELVVMAELRKENALLKSSLDALEKKNSAIELELIEAQTNGNDTTVKLQEIEEKCSQFQQTVRSLEEKLSHLEDENHVLRQKALTPSSKSNRPGFVRAFSEKYSSALALAHSERKSAFESPTPSKLIVPSMHGLSESRRSKFTAERHQENYEFLSKCIKEDLGFIDGKPLAACIIYRCLLHWHAFESERTAIFDYIIEGINEVLKVGDENITLPYWLSNASALLCLLQRNLRSNGFLTAAVPSSTSSGLSGRVIHGLKSPFKIMGYEDGLSHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLGLCIQAPKSARHAGKSSRSPGGIPQQAASSQWESIIKFLDSLMDCLRENHVPSFFIRKLITQVFSFVNISLFNSLLLRRECCSFSNGEYVKSGLAELEKWIVVATEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLQEIMQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREMLNKDNQNMTSNSFLLDDDLSIPFSTEDIDMAIPVIDPSSVELPKLLTEHPCAQFLVLCQT >Potri.006G220000.8.v4.1 pep chromosome:Pop_tri_v4:6:22518108:22534384:-1 gene:Potri.006G220000.v4.1 transcript:Potri.006G220000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220000.v4.1 MDIVGISNEDQEAIFRILAAILHLGNIEFSPGKEHDSSTVKDEKSSFHMQMAADLFMCDANLLFATLCTRTIQTREGNIIKALDCNAAVASRDALAKTVYARLFDWLVEKINRSVGQDPTSLIQVGVLDIYGFECFKYNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQNFRAHPRLEKAKFSETDFTVSHYAGKVTYQTDTFLDKNRDYVVVEHCNLMLSSKCHFVAGLFPLPPEESSRSSYKFSSVASRFKQQLQALMETLNSTEPHYIRCVKPNSMNRPQKFENLSILHQLRCGGVLEAVRISLAGYPTRRSYTEFVDRFGLLAPEFDGSCSYDEKTWTKKILHKLKLDNFQLGRTKVFLRAGQIGILDLRRAEVLDGAAKRIQRQLHTFIARRDFFSTRAAAFAIQSYCRGCLARKMFAAKRERAAAISIQKYVRKWLLRRAYLKLLSAAIFMQSNIHGFLTRKRFLQEKKQRAATLIQARWKIYKFRSALRHRQASIIAIQCRWRQKLAKRELRRLRQEANEAGALRLAKTKLEKQLEDLTWRLHLEKRLRVSNDEAKSVEISKLRNTVSSMSLELDAAKFATINECNKNAVLLKQLELTVNEKSALERELVVMAELRKENALLKSSLDALEKKNSAIELELIEAQTNGNDTTVKLQEIEEKCSQFQQTVRSLEEKLSHLEDENHVLRQKALTPSSKSNRPGFVRAFSEKYSSALALAHSERKSAFESPTPSKLIVPSMHGLSESRRSKFTAERHQENYEFLSKCIKEDLGFIDGKPLAACIIYRCLLHWHAFESERTAIFDYIIEGINEVLKVGDENITLPYWLSNASALLCLLQRNLRSNGFLTAAVPSSTSSGLSGRVIHGLKSPFKIMGYEDGLSHVEARYPAILFKQQLTACVEKIFGLIRDNLKKELSPLLGLCIQAPKSARHAGKSSRSPGGIPQQAASSQWESIIKFLDSLMDCLRENHVPSFFIRKLITQVFSFVNISLFNSLLLRRECCSFSNGEYVKSGLAELEKWIVVATEEYAGTSWHELNYIRQAVGFLVIHQKRKKSLQEIMQDLCPALTVRQIYRISTMYWDDKYGTQSVSNEVVAQMREMLNKDNQNMTSNSFLLDDDLSIPFSTEDIDMAIPVIDPSSVELPKLLTEHPCAQFLVLCQT >Potri.006G220000.7.v4.1 pep chromosome:Pop_tri_v4:6:22521894:22537231:-1 gene:Potri.006G220000.v4.1 transcript:Potri.006G220000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G220000.v4.1 MPEPKMNLRKGSKVWAEDKNLAWVAAEVTDFLAKKVQILTVTGKQVLTVPEKLCPRDADEEEEHGGVDDMTKLTYLNEPGVLYNLQRRYALNDIYTYTGSILIAVNPFTKLPHLYNVHMMEQYKGAPFGELSPHVFAVADASYRAMMSEGRSQSILVSGESGAGKTETTKLIMQYLTFVGGRAAGDDRTVEQQVLESNPLLEAFGNARTVRNDNSSRFGKFVEIQFDATGRISGAAIRTYLLERSRVVQITDPERNYHCFYQLCASERDAEKYKLDNPHHFHYLNQSKTYELDGVSNAEEYIKTRRAMDIVGISNEDQEAIFRILAAILHLGNIEFSPGKEHDSSTVKDEKSSFHMQMAADLFMCDANLLFATLCTRTIQTREGNIIKALDCNAAVASRDALAKTVYARLFDWLVEKINRSVGQDPTSLIQVGVLDIYGFECFKYNSFEQFCINFANEKLQQHFNEHVFKMEQEEYRKEEINWSYIEFIDNQDVLDLIEKKPIGIIALLDEACMFPKSTHETFSTKLFQNFRAHPRLEKAKFSETDFTVSHYAGKVTYQTDTFLDKNRDYVVVEHCNLMLSSKCHFVAGLFPLPPEESSRSSYKFSSVASRFKQQLQALMETLNSTEPHYIRCVKPNSMNRPQKFENLSILHQLRCGGVLEAVRISLAGYPTRRSYTEFVDRFGLLAPEFDGSCSYDEKTWTKKILHKLKLDNFQLGRTKVFLRAGQIGILDLRRAEVLDGAAKRIQRQLHTFIARRDFFSTRAAAFAIQSYCRGCLARKMFAAKRERAAAISIQKYVRKWLLRRAYLKLLSAAIFMQSNIHGFLTRKRFLQEKKQRAATLIQARWKIYKFRSALRHRQASIIAIQCRWRQKLAKRELRRLRQEANEAGALRLAKTKLEKQLEDLTWRLHLEKRLRVSNDEAKSVEISKLRNTVSSMSLELDAAKFATINECNKNAVLLKQLELTVNEKSALERELVVMAELRKENALLKSSLDALEKKNSAIELELIEAQTNGNDTTVKLQEIEEKCSQFQQTVRSLEEKLSHLEDENHVLRQKALTPSSKSNRPGFVRAFSEKYSSALALAHSERKSAFESPTPSKLIVPSMHGLSESRRSKFTAERHQENYEFLSKCIKEDLGFIDGKPLAACIIYRCLLHWHAFESERTAIFDYIIEGINEVLKVGDENITLPYWLSNASALLCLLQRNLRSNGFLTAAVPSSTSSGLSGRVIHIKGECMKGILILLNIIKGNALKPRNQYTTRTQQTLYTCPTQLDTIETDGWFCATQKS >Potri.001G301400.1.v4.1 pep chromosome:Pop_tri_v4:1:31188600:31190115:-1 gene:Potri.001G301400.v4.1 transcript:Potri.001G301400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301400.v4.1 MLPSILSFLLSSFILFNTENLVAVALTSPQDISALKAFKASVKPSSIQPWSCLASWDFTTDPCAFPRRTHFICGITCSPDSTRVTQLTLDPAGYSGQLTPLISLLTSLTILDLVDNNFYGPIPSSISSLINLQTLTLSSNSFSGAVPNSITNLKSLESLDFSHNYLSGYLPKTMNSMSSLRRLDLSYNKLTGPLPKLPYNLLELALKANSLSGSLSKSSFDRLTGLEVVELSENSFTGTLEPWFFLLPALQQVDLANNSLTRVGISKPSNANSDLVAVDLGFNKIEGNAPLHFADYPLLSSLSLRYNRLRGTIPLEYSRKKSLRRLFLDGNFLIGKPPPAFFAADTSVSGSLGDNCLQACPGSFQLCMPTQKPSSVCKQAYGGKPRS >Potri.007G061941.1.v4.1 pep chromosome:Pop_tri_v4:7:7014661:7014972:-1 gene:Potri.007G061941.v4.1 transcript:Potri.007G061941.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061941.v4.1 MAAPARNHGTGARHARPEWAQRSAPIRITLGGLSLLPDLERYYESSVPDSIAAAIWFTGTTKKSHAYVTVIDGLIILDHEDPGRASGFHSHHHIDGKSPRAPP >Potri.004G044800.1.v4.1 pep chromosome:Pop_tri_v4:4:3555997:3558416:1 gene:Potri.004G044800.v4.1 transcript:Potri.004G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044800.v4.1 METSPRHRDNQNPQSLFPSPTSYSSSSNSNSNSSSTTATTNNVALNNNINHPPPLPSPKPISRSESTNPYPTTFVQADSSSFKQVVQMLTGSPKPKPTCTTTTTTTTTTPNTSQVDPLPKTHNIPPIKSMPKKNQSSGFKLYERRNSLKNLKINPLNPIFAQPSSGFSSRKPEILSPSILDFPALVLSPVTPLIPDPFDRSGSAKYTNSFSPINTSTTNNNNVVNAEAMDTDAEEKAIKEKGFYLHPSPGSSPRETEPRLLPLFPITSPRISGSVNPSS >Potri.006G069800.1.v4.1 pep chromosome:Pop_tri_v4:6:5114395:5115717:1 gene:Potri.006G069800.v4.1 transcript:Potri.006G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069800.v4.1 MVGEILNEATLSLSLWLSLSLKESRTKMVKQISLFWLIFVFSSITFSHARSLSLTLQPHAPKSFNPKNIQAAKSCPYTLVIKTSCTSTTYTRDKISLAFGDSYGNEVYMKRLDDPSSGTFERCLTDTFQINGPCVYDICYLYMLRTGYDGWKPESVKIYGPYTKTVKFNYNKFLPNGVWYGFNVCVRASLSTAIM >Potri.002G172700.2.v4.1 pep chromosome:Pop_tri_v4:2:13286428:13290167:1 gene:Potri.002G172700.v4.1 transcript:Potri.002G172700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172700.v4.1 MEYNPEFLSRCFLHTLSPQPEPRRAAESKLTELANHPNYALAVLRLVAEQSIDEQIRHAAAVNFKNHLRSRWVPSLDSSFTPILDSEKDQIKILIVNLMLSSTPRIQSQLSESLSLIGQHDFPKSWPTLLPELVSNLRAASQSDNYASINGILGTANSIFKKFRYQYKTNDLLIDLKYCLDNFSAPLLEMFLRTAALIDSMVGSGGGSPVTLKPLFESQRLCCRVFYSLNFQELPEFFEDHMKEWMTEFKKYLVNNYPVLESSAEGLGLVDELRAAVCENISLYMEKNEEEFKDYLNDFAQAVWTLLGKVSQSSSRDSLAVMAIKFLTTVSTSVHHTLFAGDGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDVDTRRRIACELLKGIATNYKQQVISIVSVQIQNLLTSYAANPAANWKDKDCAIYLVVSLSTKKTGGNSVSTDLVDVQSFFGSVIVPELQSQDVNAFLMLKAGALKFFTMFRNQIPKHLVLQLFPYLTQFLGAESNVVHSYAASCIEKLLLVKDEGGRSRYTSADVAPNLPVLMNNLFTALRFPESEENQYIMKSIMRVLGVAEITPEIAGPCIAGLTSILAEVCKNPKNPIFNHYLFESVAVLVRRACERDISLIPSFETSLFPILQEILGNDVTEFLPYAFQLLAQLVELNRPPISDIYMEIFKLLLSPDSWTRNSNVPALVRLLQAFLEKAPEKLNQEERLAQVLGIFNRLVSVPSTDEQGFFVLNTVIENLDYGAIAPYVGHIWNALFTRLQSKRTVKYIKSLLIFISLFLVKHGFANLVDSMNSVQAGIFLVILEQFWIPNLKLITGPIEVKLVSVASTRLICESLTLLDAGAVRNWGKMLDSIVTLLSRPEEDRVGDEPEMPDIAENTGYTVAFVNLYNAGKKEEDPLKDIKDPREFLATSLAKLSALSPGRFPQIISENLDPANQAALHQICSTYNCPVV >Potri.002G172700.1.v4.1 pep chromosome:Pop_tri_v4:2:13286365:13291773:1 gene:Potri.002G172700.v4.1 transcript:Potri.002G172700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G172700.v4.1 MEYNPEFLSRCFLHTLSPQPEPRRAAESKLTELANHPNYALAVLRLVAEQSIDEQIRHAAAVNFKNHLRSRWVPSLDSSFTPILDSEKDQIKILIVNLMLSSTPRIQSQLSESLSLIGQHDFPKSWPTLLPELVSNLRAASQSDNYASINGILGTANSIFKKFRYQYKTNDLLIDLKYCLDNFSAPLLEMFLRTAALIDSMVGSGGGSPVTLKPLFESQRLCCRVFYSLNFQELPEFFEDHMKEWMTEFKKYLVNNYPVLESSAEGLGLVDELRAAVCENISLYMEKNEEEFKDYLNDFAQAVWTLLGKVSQSSSRDSLAVMAIKFLTTVSTSVHHTLFAGDGVIPQICQSIVIPNVRLRDEDEELFEMNYIEFIRRDMEGSDVDTRRRIACELLKGIATNYKQQVISIVSVQIQNLLTSYAANPAANWKDKDCAIYLVVSLSTKKTGGNSVSTDLVDVQSFFGSVIVPELQSQDVNAFLMLKAGALKFFTMFRNQIPKHLVLQLFPYLTQFLGAESNVVHSYAASCIEKLLLVKDEGGRSRYTSADVAPNLPVLMNNLFTALRFPESEENQYIMKSIMRVLGVAEITPEIAGPCIAGLTSILAEVCKNPKNPIFNHYLFESVAVLVRRACERDISLIPSFETSLFPILQEILGNDVTEFLPYAFQLLAQLVELNRPPISDIYMEIFKLLLSPDSWTRNSNVPALVRLLQAFLEKAPEKLNQEERLAQVLGIFNRLVSVPSTDEQGFFVLNTVIENLDYGAIAPYVGHIWNALFTRLQSKRTVKYIKSLLIFISLFLVKHGFANLVDSMNSVQAGIFLVILEQFWIPNLKLITGPIEVKLVSVASTRLICESLTLLDAGAVRNWGKMLDSIVTLLSRPEEDRVGDEPEMPDIAENTGYTVAFVNLYNAGKKEEDPLKDIKDPREFLATSLAKLSALSPGRFPQIISENLDPANQAALHQICSTYNCPVV >Potri.006G100800.1.v4.1 pep chromosome:Pop_tri_v4:6:7698743:7702065:-1 gene:Potri.006G100800.v4.1 transcript:Potri.006G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100800.v4.1 MMEEIGGGGGKQGGEVCVSRSVNEVWKGMMNGLGFVMKLFLQILRGTPSMAQFLLSYIGFTFPLLSSSPPSFKPLPVVEIPLQETTSNKITDKAHDSSCLPGYVCDFGASDDCLIEKLTVVLDLDETLICAYEASSLPAIIRTQAVEAGVKCFELECFSSEKDVEGKPRINYVTVFERPGLKEFLKQIGEFADLILFTAGLEGYARPLFDRIDVENQFSQRLYRPSTVSTEYREHVKDLSCLSKDLSRVVIVDNNPFSFLLQPLNGIPCVPFSARLPYDEQLLDVLLPLLKNLSLQKDVRPVLYERFHMPEWFQMHGIPASALTV >Potri.009G168550.1.v4.1 pep chromosome:Pop_tri_v4:9:12843165:12844670:1 gene:Potri.009G168550.v4.1 transcript:Potri.009G168550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168550.v4.1 MKEMKGRSSLVDEFSPAFYGLCTVGGIISAGTTPLAITHDVFKVNMQAFHSGKGGVWSLYC >Potri.009G130000.7.v4.1 pep chromosome:Pop_tri_v4:9:10633989:10639098:1 gene:Potri.009G130000.v4.1 transcript:Potri.009G130000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130000.v4.1 MGKLVIGNAGSLSISLVEKIQITFKHLSCQLAFSELLAQYSQQGYSEGKELKGLILNDLYHHLQGELEGRKIGPGPFKELCQYLVESNCLLSYQYKYGGDHYGNTKDIHLYDLMRIRSDLGLNMWDYTDWKDSKAIAQTMLECFQDANSMVLLASSKLSALKALLTALIMWEDNSPENKGTTEGKIPDQLCFSCIDNICKSFRTTVESLAPVLDASEEILDFLAALAELILHLMKSAQSNLSLSICILVLKTSGSGLKLLGDFRSSATGVKKTMKLLLMLLLFTLEISNTSDKESEDFAEVSNGCLGLLPTLCNCITATEHSSLSLATIDLVLTSFLTPNTWFPIIQKHLQLPHVILKVHDKSSFSSVPITLKFLLTLARVRGGAEMLLSADFFSSLRALFADSSDVGPSTVMTNDSGFLKSSDKIEKPQSIWGLGLAVIVAMVQSLGDSSSYTDILDNVIPYVFSEKADLISYYLSAPDFPSDSHDKKRPRAKKTETSLSALKETEHTLMLMCALARHWRSWVKVMKEMDSELREKSIHLLAFISRGTHRFGESSSRTAPLLCAPILKEELECCKKPSFLNSRNGWFALSPLCCVSKPKSSAFSANSSAFVVKGQSTEITNPVSPTYFSDLVALEIYRIAFLLLKYLSMEAEGAAKRSEEMGFVDLAKIPELPMPELLHGLQDQAVAIVSELCGSNKSKHMNPEIKSVCLLLLQIMEMALYLELCVLQICGIRPVLGRVEDFSKEVKLLLKAMEGHTFIKASVTSLKHIISLVYPGLLLQTEGFL >Potri.009G130000.2.v4.1 pep chromosome:Pop_tri_v4:9:10622934:10639054:1 gene:Potri.009G130000.v4.1 transcript:Potri.009G130000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130000.v4.1 MSNPKTVDSSLWFDSFTTTLTDLENASLSSDLPPHLAKKLKENHVWFVETVSLFKKPNANSREALNSEIIKIGSHEVTVKPELKVKALHISSYLCLDEVQSYILVERSLECDDLAVDSMVEDCLHVVLLQYYIERQCLLKCSRRILMHALYVGICSKEENVARDEAAKLISDGLEHKLISVLQDLLSSSHPEQMDVDLFTLWAEETLIEDNLVLDILFLLYYESLCTCNGEKWKKLCLLYKGILSGSYNFGRLAISAEALKSSYHASTQLLLILIETLDLENLLQLVHDGVPFRQGPSVFSVTDIQQMDVLISSFVTLGTREAGPLILAWAVCLCLISSLPGSEENSVLMEIDHVGYVRQAFEGASLSIFVDVLESDLLKESDGPVAGYRSVLRTFISAFIASYEINLQLEDSTLSLILDILCKIYRGEESLCIQFWDKESFIDGPIRCLLCNLEGVFPFRTAEFVRLLSALCEGSWPAECVYNFLDKYVGVSSLFEITSESLVDSASQAVETQLPLHVPGADSLIIPSKTRGHVLKVIDGNTALVRWEYAQSGVLVLLLRLVNELYLESNKEVFLTFDLLSRLVSFNTAITFTMMEIGNTFYLQAAGVNEQMEKKFWVVDVICAVIKKSSSNSGNAAVMSMGVSILASMLTCAPSHIAAVVLKANIFDATWKTSTFEVGCDGPSSGSWLLSGKLVKMLLLDSEQNDYDKPLTISVLDFTMQLVEARLENDLVLALVVFSLQYILVNHEYWKYKVKHVRWKVTLKVLEVMKACITSVSFSEKLALVIRDMLLNDSSIHNALFHLACTTKQTLENLYVSRLVELEEIEGCQLAISSALDIIYMMLSKFCKDISPNLPVFHLSVLSSTMKPIPVVAAAVSLISYSRSPAVQVGAAKVLSMLFTTADYMQPYLSGNVCFGLDDKQIADMRHFVKSTLVKQLEWNEDLFVATVNLLTYAARYQPAYLLAIFSLKEDTEVQLSNGGGTKQAINELSNGSLCSKKSSLLDGLMQYVERSNEFIDSNPRVLFTVLDFLKALWQGAVHYISILECLKSSGKFWKQLSNCISSDARSITSPFENVAETQSQSLALKYQCQSAILEMMAHDMFLKKKLVHAESVLKEVSELERNNKASSTEKSKSVNDCELRDILSSWWKRPIFGNLINLYASCEYDNEISNRAKVAASLFIVHAMGKLVIGNAGSLSISLVEKIQITFKHLSCQLAFSELLAQYSQQGYSEGKELKGLILNDLYHHLQGELEGRKIGPGPFKELCQYLVESNCLLSYQYKYGGDHYGNTKDIHLYDLMRIRSDLGLNMWDYTDWKDSKAIAQTMLECFQDANSMVLLASSKLSALKALLTALIMWEDNSPENKGTTEGKIPDQLCFSCIDNICKSFRTTVESLAPVLDASEEILDFLAALAELILHLMKSAQSNLSLSICILVLKTSGSGLKLLGDFRSSATGVKKTMKLLLMLLLFTLEISNTSDKESEDFAEVSNGCLGLLPTLCNCITATEHSSLSLATIDLVLTSFLTPNTWFPIIQKHLQLPHVILKVHDKSSFSSVPITLKFLLTLARVRGGAEMLLSADFFSSLRALFADSSDVGPSTVMTNDSGFLKSSDKIEKPQSIWGLGLAVIVAMVQSLGDSSSYTDILDNVIPYVFSEKADLISYYLSAPDFPSDSHDKKRPRAKKTETSLSALKETEHTLMLMCALARHWRSWVKVMKEMDSELREKSIHLLAFISRGTHRFGESSSRTAPLLCAPILKEELECCKKPSFLNSRNGWFALSPLCCVSKPKSSAFSANSSAFVVKGQSTEITNPVSPTYFSDLVALEIYRIAFLLLKYLSMEAEGAAKRSEEMGFVDLAKIPELPMPELLHGLQDQAVAIVSELCGSNKSKHMNPEIKSVCLLLLQIMEMALYLELCVLQICGIRPVLGRVEDFSKEVKLLLKAMEGHTFIKASVTSLKHIISLVYPGLLLQTEGFL >Potri.009G130000.6.v4.1 pep chromosome:Pop_tri_v4:9:10633991:10639151:1 gene:Potri.009G130000.v4.1 transcript:Potri.009G130000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130000.v4.1 MMAHDMFLKKKLVHAESVLKEVSELERNNKASSTEKSKSVNDCELRDILSSWWKRPIFGNLINLYASCEYDNEISNRAKVAASLFIVHAMGKLVIGNAGSLSISLVEKIQITFKHLSCQLAFSELLAQYSQQGYSEGKELKGLILNDLYHHLQGELEGRKIGPGPFKELCQYLVESNCLLSYQYKYGGDHYGNTKDIHLYDLMRIRSDLGLNMWDYTDWKDSKAIAQTMLECFQDANSMVLLASSKLSALKALLTALIMWEDNSPENKGTTEGKIPDQLCFSCIDNICKSFRTTVESLAPVLDASEEILDFLAALAELILHLMKSAQSNLSLSICILVLKTSGSGLKLLGDFRSSATGVKKTMKLLLMLLLFTLEISNTSDKESEDFAEVSNGCLGLLPTLCNCITATEHSSLSLATIDLVLTSFLTPNTWFPIIQKHLQLPHVILKVHDKSSFSSVPITLKFLLTLARVRGGAEMLLSADFFSSLRALFADSSDVGPSTVMTNDSGFLKSSDKIEKPQSIWGLGLAVIVAMVQSLGDSSSYTDILDNVIPYVFSEKADLISYYLSAPDFPSDSHDKKRPRAKKTETSLSALKETEHTLMLMCALARHWRSWVKVMKEMDSELREKSIHLLAFISRGTHRFGESSSRTAPLLCAPILKEELECCKKPSFLNSRNGWFALSPLCCVSKPKSSAFSANSSAFVVKGQSTEITNPVSPTYFSDLVALEIYRIAFLLLKYLSMEAEGAAKRSEEMGFVDLAKIPELPMPELLHGLQDQAVAIVSELCGSNKSKHMNPEIKSVCLLLLQIMEMALYLELCVLQICGIRPVLGRVEDFSKEVKLLLKAMEGHTFIKASVTSLKHIISLVYPGLLLQTEGFL >Potri.009G130000.5.v4.1 pep chromosome:Pop_tri_v4:9:10632406:10639149:1 gene:Potri.009G130000.v4.1 transcript:Potri.009G130000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130000.v4.1 MKPIPVVAAAVSLISYSRSPAVQVGAAKVLSMLFTTADYMQPYLSGNVCFGLDDKQIADMRHFVKSTLVKQLEWNEDLFVATVNLLTYAARYQPAYLLAIFSLKEDTEVQLSNGGGTKQAINELSNGSLCSKKSSLLDGLMQYVERSNEFIDSNPRVLFTVLDFLKALWQGAVHYISILECLKSSGKFWKQLSNCISSDARSITSPFENVAETQSQSLALKYQCQSAILEMMAHDMFLKKKLVHAESVLKEVSELERNNKASSTEKSKSVNDCELRDILSSWWKRPIFGNLINLYASCEYDNEISNRAKVAASLFIVHAMGKLVIGNAGSLSISLVEKIQITFKHLSCQLAFSELLAQYSQQGYSEGKELKGLILNDLYHHLQGELEGRKIGPGPFKELCQYLVESNCLLSYQYKYGGDHYGNTKDIHLYDLMRIRSDLGLNMWDYTDWKDSKAIAQTMLECFQDANSMVLLASSKLSALKALLTALIMWEDNSPENKGTTEGKIPDQLCFSCIDNICKSFRTTVESLAPVLDASEEILDFLAALAELILHLMKSAQSNLSLSICILVLKTSGSGLKLLGDFRSSATGVKKTMKLLLMLLLFTLEISNTSDKESEDFAEVSNGCLGLLPTLCNCITATEHSSLSLATIDLVLTSFLTPNTWFPIIQKHLQLPHVILKVHDKSSFSSVPITLKFLLTLARVRGGAEMLLSADFFSSLRALFADSSDVGPSTVMTNDSGFLKSSDKIEKPQSIWGLGLAVIVAMVQSLGDSSSYTDILDNVIPYVFSEKADLISYYLSAPDFPSDSHDKKRPRAKKTETSLSALKETEHTLMLMCALARHWRSWVKVMKEMDSELREKSIHLLAFISRGTHRFGESSSRTAPLLCAPILKEELECCKKPSFLNSRNGWFALSPLCCVSKPKSSAFSANSSAFVVKGQSTEITNPVSPTYFSDLVALEIYRIAFLLLKYLSMEAEGAAKRSEEMGFVDLAKIPELPMPELLHGLQDQAVAIVSELCGSNKSKHMNPEIKSVCLLLLQIMEMALYLELCVLQICGIRPVLGRVEDFSKEVKLLLKAMEGHTFIKASVTSLKHIISLVYPGLLLQTEGFL >Potri.009G130000.4.v4.1 pep chromosome:Pop_tri_v4:9:10629993:10639010:1 gene:Potri.009G130000.v4.1 transcript:Potri.009G130000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130000.v4.1 MQLVEARLENDLVLALVVFSLQYILVNHEYWKYKVKHVRWKVTLKVLEVMKACITSVSFSEKLALVIRDMLLNDSSIHNALFHLACTTKQTLENLYVSRLVELEEIEGCQLAISSALDIIYMMLSKFCKDISPNLPVFHLSVLSSTMKPIPVVAAAVSLISYSRSPAVQVGAAKVLSMLFTTADYMQPYLSGNVCFGLDDKQIADMRHFVKSTLVKQLEWNEDLFVATVNLLTYAARYQPAYLLAIFSLKEDTEVQLSNGGGTKQAINELSNGSLCSKKSSLLDGLMQYVERSNEFIDSNPRVLFTVLDFLKALWQGAVHYISILECLKSSGKFWKQLSNCISSDARSITSPFENVAETQSQSLALKYQCQSAILEMMAHDMFLKKKLVHAESVLKEVSELERNNKASSTEKSKSVNDCELRDILSSWWKRPIFGNLINLYASCEYDNEISNRAKVAASLFIVHAMGKLVIGNAGSLSISLVEKIQITFKHLSCQLAFSELLAQYSQQGYSEGKELKGLILNDLYHHLQGELEGRKIGPGPFKELCQYLVESNCLLSYQYKYGGDHYGNTKDIHLYDLMRIRSDLGLNMWDYTDWKDSKAIAQTMLECFQDANSMVLLASSKLSALKALLTALIMWEDNSPENKGTTEGKIPDQLCFSCIDNICKSFRTTVESLAPVLDASEEILDFLAALAELILHLMKSAQSNLSLSICILVLKTSGSGLKLLGDFRSSATGVKKTMKLLLMLLLFTLEISNTSDKESEDFAEVSNGCLGLLPTLCNCITATEHSSLSLATIDLVLTSFLTPNTWFPIIQKHLQLPHVILKVHDKSSFSSVPITLKFLLTLARVRGGAEMLLSADFFSSLRALFADSSDVGPSTVMTNDSGFLKSSDKIEKPQSIWGLGLAVIVAMVQSLGDSSSYTDILDNVIPYVFSEKADLISYYLSAPDFPSDSHDKKRPRAKKTETSLSALKETEHTLMLMCALARHWRSWVKVMKEMDSELREKSIHLLAFISRGTHRFGESSSRTAPLLCAPILKEELECCKKPSFLNSRNGWFALSPLCCVSKPKSSAFSANSSAFVVKGQSTEITNPVSPTYFSDLVALEIYRIAFLLLKYLSMEAEGAAKRSEEMGFVDLAKIPELPMPELLHGLQDQAVAIVSELCGSNKSKHMNPEIKSVCLLLLQIMEMALYLELCVLQICGIRPVLGRVEDFSKEVKLLLKAMEGHTFIKASVTSLKHIISLVYPGLLLQTEGFL >Potri.009G130000.3.v4.1 pep chromosome:Pop_tri_v4:9:10627339:10639056:1 gene:Potri.009G130000.v4.1 transcript:Potri.009G130000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G130000.v4.1 MMEIGNTFYLQAAGVNEQMEKKFWVVDVICAVIKKSSSNSGNAAVMSMGVSILASMLTCAPSHIAAVVLKANIFDATWKTSTFEVGCDGPSSGSWLLSGKLVKMLLLDSEQNDYDKPLTISVLDFTMQLVEARLENDLVLALVVFSLQYILVNHEYWKYKVKHVRWKVTLKVLEVMKACITSVSFSEKLALVIRDMLLNDSSIHNALFHLACTTKQTLENLYVSRLVELEEIEGCQLAISSALDIIYMMLSKFCKDISPNLPVFHLSVLSSTMKPIPVVAAAVSLISYSRSPAVQVGAAKVLSMLFTTADYMQPYLSGNVCFGLDDKQIADMRHFVKSTLVKQLEWNEDLFVATVNLLTYAARYQPAYLLAIFSLKEDTEVQLSNGGGTKQAINELSNGSLCSKKSSLLDGLMQYVERSNEFIDSNPRVLFTVLDFLKALWQGAVHYISILECLKSSGKFWKQLSNCISSDARSITSPFENVAETQSQSLALKYQCQSAILEMMAHDMFLKKKLVHAESVLKEVSELERNNKASSTEKSKSVNDCELRDILSSWWKRPIFGNLINLYASCEYDNEISNRAKVAASLFIVHAMGKLVIGNAGSLSISLVEKIQITFKHLSCQLAFSELLAQYSQQGYSEGKELKGLILNDLYHHLQGELEGRKIGPGPFKELCQYLVESNCLLSYQYKYGGDHYGNTKDIHLYDLMRIRSDLGLNMWDYTDWKDSKAIAQTMLECFQDANSMVLLASSKLSALKALLTALIMWEDNSPENKGTTEGKIPDQLCFSCIDNICKSFRTTVESLAPVLDASEEILDFLAALAELILHLMKSAQSNLSLSICILVLKTSGSGLKLLGDFRSSATGVKKTMKLLLMLLLFTLEISNTSDKESEDFAEVSNGCLGLLPTLCNCITATEHSSLSLATIDLVLTSFLTPNTWFPIIQKHLQLPHVILKVHDKSSFSSVPITLKFLLTLARVRGGAEMLLSADFFSSLRALFADSSDVGPSTVMTNDSGFLKSSDKIEKPQSIWGLGLAVIVAMVQSLGDSSSYTDILDNVIPYVFSEKADLISYYLSAPDFPSDSHDKKRPRAKKTETSLSALKETEHTLMLMCALARHWRSWVKVMKEMDSELREKSIHLLAFISRGTHRFGESSSRTAPLLCAPILKEELECCKKPSFLNSRNGWFALSPLCCVSKPKSSAFSANSSAFVVKGQSTEITNPVSPTYFSDLVALEIYRIAFLLLKYLSMEAEGAAKRSEEMGFVDLAKIPELPMPELLHGLQDQAVAIVSELCGSNKSKHMNPEIKSVCLLLLQIMEMALYLELCVLQICGIRPVLGRVEDFSKEVKLLLKAMEGHTFIKASVTSLKHIISLVYPGLLLQTEGFL >Potri.T127206.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:288512:296020:-1 gene:Potri.T127206.v4.1 transcript:Potri.T127206.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127206.v4.1 MFDWNDEELTNIIWGEADDSDDHIVPYPEASEDYCKKKESNEEASTIKSSEQKAPGAKVDTDGRKLESISNVDTSEGTSSLGLDMDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.T127206.6.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:288468:295874:-1 gene:Potri.T127206.v4.1 transcript:Potri.T127206.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127206.v4.1 MFDWNDEELTNIIWGEADDSDDHIVPYPEASEDYCKKKESNEEASTIKSSEQKAPGAKVDTDGRKLESISNVDTSEGTSSLGLDMDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.T127206.8.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:288493:296139:-1 gene:Potri.T127206.v4.1 transcript:Potri.T127206.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127206.v4.1 MFDWNDEELTNIIWGEADDSDDHIVPYPEASEDYCKKKESNEEASTIKSSEQKAPGAKVDTDGRKLESISNVDTSEGTSSLGLDMDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.T127206.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:288468:296162:-1 gene:Potri.T127206.v4.1 transcript:Potri.T127206.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127206.v4.1 MFDWNDEELTNIIWGEADDSDDHIVPYPEASEDYCKKKESNEEASTIKSSEQKAPGAKVDTDGRKLESISNVDTSEGTSSLGLDMDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.T127206.7.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:288593:296231:-1 gene:Potri.T127206.v4.1 transcript:Potri.T127206.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127206.v4.1 MFDWNDEELTNIIWGEADDSDDHIVPYPEASEDYCKKKESNEEASTIKSSEQKAPGAKVDTDGRKLESISNVDTSEGTSSLGLDMDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.T127206.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:288514:296139:-1 gene:Potri.T127206.v4.1 transcript:Potri.T127206.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127206.v4.1 MFDWNDEELTNIIWGEADDSDDHIVPYPEASEDYCKKKESNEEASTIKSSEQKAPGAKVDTDGRKLESISNVDTSEGTSSLGLDMDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.T127206.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:288514:295964:-1 gene:Potri.T127206.v4.1 transcript:Potri.T127206.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127206.v4.1 MFDWNDEELTNIIWGEADDSDDHIVPYPEASEDYCKKKESNEEASTIKSSEQKAPGAKVDTDGRKLESISNVDTSEGTSSLGLDMDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.T127206.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:288469:296009:-1 gene:Potri.T127206.v4.1 transcript:Potri.T127206.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T127206.v4.1 MFDWNDEELTNIIWGEADDSDDHIVPYPEASEDYCKKKESNEEASTIKSSEQKAPGAKVDTDGRKLESISNVDTSEGTSSLGLDMDRWPNLSSSNAAKTEQDSLETSISNNLTDITKLDSSADHLDKDTEIFQNSHEGKEQGDFVDYGWASIGSFDDLDRIFSNDDPIFGNVNLGNADELWSSSKDITNSPVKPFPISVASREEYAQEEDRLFTLGYGKMNDPASHGLQNTQASLDHVEYDEAENKPILKEQTDLAVVGKNTAANSQLTEENGALPNELANKTYRQKKILKGREKLEEKGELKSSQDFYGNWTSSGIPACQLKNHFAPQIMQSSPPSILSQQKQLQGSEQLQYQQISNPFVAPSAYGSITIPYSMPVLSHIQSGDFKHQPLASGYEVSSVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTISLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPDTPESPFSTKLPCEHKTMGLAKLSTASLPETPKSKPNFSQKGSQLSSLLTNAQAVSQCKSNPCLNASEDASNNGPADEGAREVKASQ >Potri.004G160000.1.v4.1 pep chromosome:Pop_tri_v4:4:18011120:18016812:-1 gene:Potri.004G160000.v4.1 transcript:Potri.004G160000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160000.v4.1 MHRSLNKMAEQVEEPVDNNSNDKVNNGSTESNRNENNNNNNNDEENKDKKKNVDPELFSCLLQPVTSDSDPDYIGIRRLLLYRKAESGVRRRLDWRCNGKGYTSYRNYIRRPRNWDGLPSHQSTPGNSGRWLQSTTPPSHLFEVDSWTSSRDLRSTNLPSTHRTSFSSTASDNDSLRRRGAEPAYSFVGMHCIFDQCKAAVTVLKFGHMSSDLLAYGASDGTLTVCLVSDPPSVIKKLEGHSKDVTDFDFSSNNQYIASASMDKTVRVWELSKGICIRVIYGVSSQLCIRFHPVNNNFLSVGNANREITVFNFSTGRIINKLVFDDKVTSMDHDHTGHLIFCGDAQGCVYSTSMDSHTGALSRSHRYRSSGKSKYPVTTVQYRSFSLLAGGPVLLTCTQDGSLSFYSVALEIKGYLTLRCSLKLAPRVHSIRASFCPLLSLEKGEYIVAGSEDSNVYFYDLTRPKHTCVNKLQGHRFPVGDVAWNHGENLLATSDLYGIVIVWKREKTSQDKYKR >Potri.010G168500.4.v4.1 pep chromosome:Pop_tri_v4:10:17094762:17099026:-1 gene:Potri.010G168500.v4.1 transcript:Potri.010G168500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168500.v4.1 MKKWYGGVLVASLFMLLILRYGLLKNPIGGNILLNPSSNASKPLEWVHPAVPPAVQNPETSSQVFSTDTIVSSLFAPRNISNEEHKSLQTWNLLKHLIDHAQVFANGVEAIKEAGNAWSSLMASAEEERLSYTNESSSRKVKEKQCPHFLNKMNATELDNSGYKLWLPCGLTQGSSITIISIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWNAAHDWGEEERCPSPSPEKNKKVDELDQCNKMVGRNDTRVTSMHSDHSRRSSLQEGTKARRYFPFKQGQLSVATLRVGMEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLNLISVVASGLPTSEDSEHAVDLEVLKSAPLSPKKTLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVAVRFFVGLHKNQIVNEELWNEARTYGDIQLMPFVDYYNLITFKTLAICIFGTEVASAKYVMKTDDDAFVRVDEVLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAKAVYKRYKEGRLKMFKLEDVAMGIWIAEMKKEGLEVKYEMEGRVHNEGCRDGYVVAHYQAPREMLCLWQKLQEGNVARCCGDR >Potri.010G168500.11.v4.1 pep chromosome:Pop_tri_v4:10:17094763:17099524:-1 gene:Potri.010G168500.v4.1 transcript:Potri.010G168500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168500.v4.1 MKKWYGGVLVASLFMLLILRYGLLKNPIGGNILLNPSSNASKPLEWVHPAVPPAVQNPETSSQVFSTDTIVSSLFAPRNISNEEHKSLQTWNLLKHLIDHAQVFANGVEAIKEAGNAWSSLMASAEEERLSYTNESSSRKVKEKQCPHFLNKMNATELDNSGYKLWLPCGLTQGSSITIISIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWNAAHDWGEEERCPSPSPEKNKKVDELDQCNKMVGRNDTRVTSMHSDHSRRSSLQEGTKARRYFPFKQGQLSVATLRVGMEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLNLISVVASGLPTSEDSEHAVDLEVLKSAPLSPKKTLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVAVRFFVGLHKNQIVNEELWNEARTYGDIQLMPFVDYYNLITFKTLAICIFGTEVASAKYVMKTDDDAFVRVDEVLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAKAVYKRYKEGRLKMFKLEDVAMGIWIAEMKKEGLEVKYEMEGRVHNEGCRDGYVVAHYQAPREMLCLWQKLQEGNVARCCGDR >Potri.010G168500.5.v4.1 pep chromosome:Pop_tri_v4:10:17094760:17100680:-1 gene:Potri.010G168500.v4.1 transcript:Potri.010G168500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168500.v4.1 MKKWYGGVLVASLFMLLILRYGLLKNPIGGNILLNPSSNASKPLEWVHPAVPPAVQNPETSSQVFSTDTIVSSLFAPRNISNEEHKSLQTWNLLKHLIDHAQVFANGVEAIKEAGNAWSSLMASAEEERLSYTNESSSRKVKEKQCPHFLNKMNATELDNSGYKLWLPCGLTQGSSITIISIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWNAAHDWGEEERCPSPSPEKNKKVDELDQCNKMVGRNDTRVTSMHSDHSRRSSLQEGTKARRYFPFKQGQLSVATLRVGMEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLNLISVVASGLPTSEDSEHAVDLEVLKSAPLSPKKTLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVAVRFFVGLHKNQIVNEELWNEARTYGDIQLMPFVDYYNLITFKTLAICIFGTEVASAKYVMKTDDDAFVRVDEVLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAKAVYKRYKEGRLKMFKLEDVAMGIWIAEMKKEGLEVKYEMEGRVHNEGCRDGYVVAHYQAPREMLCLWQKLQEGNVARCCGDR >Potri.010G168500.9.v4.1 pep chromosome:Pop_tri_v4:10:17094764:17100400:-1 gene:Potri.010G168500.v4.1 transcript:Potri.010G168500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168500.v4.1 MKKWYGGVLVASLFMLLILRYGLLKNPIGGNILLNPSSNASKPLEWVHPAVPPAVQNPETSSQVFSTDTIVSSLFAPRNISNEEHKSLQTWNLLKHLIDHAQVFANGVEAIKEAGNAWSSLMASAEEERLSYTNESSSRKVKEKQCPHFLNKMNATELDNSGYKLWLPCGLTQGSSITIISIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWNAAHDWGEEERCPSPSPEKNKKVDELDQCNKMVGRNDTRVTSMHSDHSRRSSLQEGTKARRYFPFKQGQLSVATLRVGMEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLNLISVVASGLPTSEDSEHAVDLEVLKSAPLSPKKTLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVAVRFFVGLHKNQIVNEELWNEARTYGDIQLMPFVDYYNLITFKTLAICIFGTEVASAKYVMKTDDDAFVRVDEVLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAKAVYKRYKEGRLKMFKLEDVAMGIWIAEMKKEGLEVKYEMEGRVHNEGCRDGYVVAHYQAPREMLCLWQKLQEGNVARCCGDR >Potri.010G168500.10.v4.1 pep chromosome:Pop_tri_v4:10:17094760:17099921:-1 gene:Potri.010G168500.v4.1 transcript:Potri.010G168500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168500.v4.1 MKKWYGGVLVASLFMLLILRYGLLKNPIGGNILLNPSSNASKPLEWVHPAVPPAVQNPETSSQVFSTDTIVSSLFAPRNISNEEHKSLQTWNLLKHLIDHAQVFANGVEAIKEAGNAWSSLMASAEEERLSYTNESSSRKVKEKQCPHFLNKMNATELDNSGYKLWLPCGLTQGSSITIISIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWNAAHDWGEEERCPSPSPEKNKKVDELDQCNKMVGRNDTRVTSMHSDHSRRSSLQEGTKARRYFPFKQGQLSVATLRVGMEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLNLISVVASGLPTSEDSEHAVDLEVLKSAPLSPKKTLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVAVRFFVGLHKNQIVNEELWNEARTYGDIQLMPFVDYYNLITFKTLAICIFGTEVASAKYVMKTDDDAFVRVDEVLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAKAVYKRYKEGRLKMFKLEDVAMGIWIAEMKKEGLEVKYEMEGRVHNEGCRDGYVVAHYQAPREMLCLWQKLQEGNVARCCGDR >Potri.010G168500.7.v4.1 pep chromosome:Pop_tri_v4:10:17094784:17099943:-1 gene:Potri.010G168500.v4.1 transcript:Potri.010G168500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168500.v4.1 MKKWYGGVLVASLFMLLILRYGLLKNPIGGNILLNPSSNASKPLEWVHPAVPPAVQNPETSSQVFSTDTIVSSLFAPRNISNEEHKSLQTWNLLKHLIDHAQVFANGVEAIKEAGNAWSSLMASAEEERLSYTNESSSRKVKEKQCPHFLNKMNATELDNSGYKLWLPCGLTQGSSITIISIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWNAAHDWGEEERCPSPSPEKNKKVDELDQCNKMVGRNDTRVTSMHSDHSRRSSLQEGTKARRYFPFKQGQLSVATLRVGMEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLNLISVVASGLPTSEDSEHAVDLEVLKSAPLSPKKTLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVAVRFFVGLHKNQIVNEELWNEARTYGDIQLMPFVDYYNLITFKTLAICIFGTEVASAKYVMKTDDDAFVRVDEVLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAKAVYKRYKEGRLKMFKLEDVAMGIWIAEMKKEGLEVKYEMEGRVHNEGCRDGYVVAHYQAPREMLCLWQKLQEGNVARCCGDR >Potri.010G168500.6.v4.1 pep chromosome:Pop_tri_v4:10:17094720:17100904:-1 gene:Potri.010G168500.v4.1 transcript:Potri.010G168500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168500.v4.1 MKKWYGGVLVASLFMLLILRYGLLKNPIGGNILLNPSSNASKPLEWVHPAVPPAVQNPETSSQVFSTDTIVSSLFAPRNISNEEHKSLQTWNLLKHLIDHAQVFANGVEAIKEAGNAWSSLMASAEEERLSYTNESSSRKVKEKQCPHFLNKMNATELDNSGYKLWLPCGLTQGSSITIISIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWNAAHDWGEEERCPSPSPEKNKKVDELDQCNKMVGRNDTRVTSMHSDHSRRSSLQEGTKARRYFPFKQGQLSVATLRVGMEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLNLISVVASGLPTSEDSEHAVDLEVLKSAPLSPKKTLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVAVRFFVGLHKNQIVNEELWNEARTYGDIQLMPFVDYYNLITFKTLAICIFGTEVASAKYVMKTDDDAFVRVDEVLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAKAVYKRYKEGRLKMFKLEDVAMGIWIAEMKKEGLEVKYEMEGRVHNEGCRDGYVVAHYQAPREMLCLWQKLQEGNVARCCGDR >Potri.010G168500.8.v4.1 pep chromosome:Pop_tri_v4:10:17094760:17100680:-1 gene:Potri.010G168500.v4.1 transcript:Potri.010G168500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168500.v4.1 MKKWYGGVLVASLFMLLILRYGLLKNPIGGNILLNPSSNASKPLEWVHPAVPPAVQNPETSSQVFSTDTIVSSLFAPRNISNEEHKSLQTWNLLKHLIDHAQVFANGVEAIKEAGNAWSSLMASAEEERLSYTNESSSRKVKEKQCPHFLNKMNATELDNSGYKLWLPCGLTQGSSITIISIPDGLLGNFRIDLTGEALPGEPDPPIILHYNVRLHGDKITEDPVIVQNTWNAAHDWGEEERCPSPSPEKNKKVDELDQCNKMVGRNDTRVTSMHSDHSRRSSLQEGTKARRYFPFKQGQLSVATLRVGMEGIQMTVDGKHITSFAYRETLEPWLVSEVRISGDLNLISVVASGLPTSEDSEHAVDLEVLKSAPLSPKKTLDLFIGVFSTANNFKRRMAVRRTWMQYAAVRSGAVAVRFFVGLHKNQIVNEELWNEARTYGDIQLMPFVDYYNLITFKTLAICIFGTEVASAKYVMKTDDDAFVRVDEVLASLKRIKVSHGLLYGLINSDSRPHRSTESKWYISPEEWSEETYPPWAHGPGYVVSRDIAKAVYKRYKEGRLKMFKLEDVAMGIWIAEMKKEGLEVKYEMEGRVHNEGCRDGYVVAHYQAPREMLCLWQKLQEGNVARCCGDR >Potri.003G220300.1.v4.1 pep chromosome:Pop_tri_v4:3:21455513:21459618:-1 gene:Potri.003G220300.v4.1 transcript:Potri.003G220300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220300.v4.1 MREIISIHIGQAGIQVGNACWELYCLEHGIQPDGVMPSDASVGVAHDAFNTFFSETGSGKHVPRAIFLDLEPTVIDEVRTGTYRQLFHPEQLISGKEDAANNFARGHYTVGKEIVDLCLDRVRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHALLEHTDVTVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVPEITNAVFEPASMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGVDDEEDNEDYE >Potri.013G140260.1.v4.1 pep chromosome:Pop_tri_v4:13:15639444:15643628:-1 gene:Potri.013G140260.v4.1 transcript:Potri.013G140260.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G140260.v4.1 MEVFMAERANLFFHNKVIDGTAIKRIISRFIDHFGMAYTSHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDAEQQSLILEKHHHYGNVHAIEKLRQSIEIWYATSEYLRQEMNPNFRMTEPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTTRGISVSSRNGMIPERIFIQTLIGRVLADNIYMGLRCIATRNQDIGIGLVNRFITFRTQPISIRTPFTCRSTSWICRLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPSNGKIKFNKGLVHPTRTRHGHPAFLCSMDLYVTIESQDIIHNVTIPPKSFLLVQNDQYVESEQVIAEIRSGTYTLNFTERVRKHIYSDSEGEMHWSTDVYHASEFTYSNVHLLPKTSHLWILSGGSCRSSIVPFSLHKDQDQINVHSLSVERGYISNPSVNNDKVKHKFFSSYLSSKSKKKSRILDYSDLNRMICTGFIYPTILHENSDLLAKRRKNRFIIPFQSIQEKELMSHSDILIEIPINGIFRRNSIFAYFDDPQYRRKSSGITKYVAIGVHSIVKKEDLVEYRGVKEFQPKYQMKVDRFFFIPEEVYILPESSSLMVRNNSIIGVDTQITLNTKSRVGGLIRIERKKKKMELKIFSGDIHFPRATDKISRYSGILIPPGTVKTNSKESKKVKNWIYVQRITPTKKKSFVLVRPVLIYERGDGINLERLFPPDLLQEKENLKLRIVNYILYGNGKPIQGISNTSIQLVRTCLVLNWNQDKKSSSIEEARVYFVEVSINGLIRDFLRIHLGKSRISYISRKRNDPSGLGLISDNGPDRTNINPFYSIYSKTRIPQSLKQNQGTISISTLLNRNMECQSLIILSSSNCFRMDPSNGVKSYNVIKESTKRDPIIPIRNLLGPLGTALQIANFYSFYHLLTHNQISVIKYLKLDNLKLKQTSKVLKYYLMDENGRIVNHDPYSNNVLNPFKLNWYFLHHNYHHNYCEETFTIINLGQFICENVCMTKNGPRLKSGQVLIVHADSVILRLAKPYLATPGATVHGHYGEILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRVENWNECITRIVGIPWGFLIGAELTIVQSRISLVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEDGMSNVFSPGELIGLLRAERAMRALEEAICYRTVFLGITRASLSTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKGLAHRSSQHKIIPFKTKKKNLFEGEMRDILFHHRELFDSCISKNFYNISEQSFIGFNDS >Potri.013G140260.2.v4.1 pep chromosome:Pop_tri_v4:13:15639376:15643586:-1 gene:Potri.013G140260.v4.1 transcript:Potri.013G140260.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G140260.v4.1 MAYTSHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDAEQQSLILEKHHHYGNVHAIEKLRQSIEIWYATSEYLRQEMNPNFRMTEPFNPVHIMSFSGARGNASQVHQLVGMRGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAVRTSDAGYLTRRLVEVVQHIVVRRTDCGTTRGISVSSRNGMIPERIFIQTLIGRVLADNIYMGLRCIATRNQDIGIGLVNRFITFRTQPISIRTPFTCRSTSWICRLCYGRSPTHGDLVELGEAVGIIAGQSIGEPGTQLTLRTFHTGGVFTGGTAEHVRAPSNGKIKFNKGLVHPTRTRHGHPAFLCSMDLYVTIESQDIIHNVTIPPKSFLLVQNDQYVESEQVIAEIRSGTYTLNFTERVRKHIYSDSEGEMHWSTDVYHASEFTYSNVHLLPKTSHLWILSGGSCRSSIVPFSLHKDQDQINVHSLSVERGYISNPSVNNDKVKHKFFSSYLSSKSKKKSRILDYSDLNRMICTGFIYPTILHENSDLLAKRRKNRFIIPFQSIQEKELMSHSDILIEIPINGIFRRNSIFAYFDDPQYRRKSSGITKYVAIGVHSIVKKEDLVEYRGVKEFQPKYQMKVDRFFFIPEEVYILPESSSLMVRNNSIIGVDTQITLNTKSRVGGLIRIERKKKKMELKIFSGDIHFPRATDKISRYSGILIPPGTVKTNSKESKKVKNWIYVQRITPTKKKSFVLVRPVLIYERGDGINLERLFPPDLLQEKENLKLRIVNYILYGNGKPIQGISNTSIQLVRTCLVLNWNQDKKSSSIEEARVYFVEVSINGLIRDFLRIHLGKSRISYISRKRNDPSGLGLISDNGPDRTNINPFYSIYSKTRIPQSLKQNQGTISISTLLNRNMECQSLIILSSSNCFRMDPSNGVKSYNVIKESTKRDPIIPIRNLLGPLGTALQIANFYSFYHLLTHNQISVIKYLKLDNLKLKQTSKVLKYYLMDENGRIVNHDPYSNNVLNPFKLNWYFLHHNYHHNYCEETFTIINLGQFICENVCMTKNGPRLKSGQVLIVHADSVILRLAKPYLATPGATVHGHYGEILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRVENWNECITRIVGIPWGFLIGAELTIVQSRISLVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEDGMSNVFSPGELIGLLRAERAMRALEEAICYRTVFLGITRASLSTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKGLAHRSSQHKIIPFKTKKKNLFEGEMRDILFHHRELFDSCISKNFYNISEQSFIGFNDS >Potri.013G140260.3.v4.1 pep chromosome:Pop_tri_v4:13:15639324:15641557:-1 gene:Potri.013G140260.v4.1 transcript:Potri.013G140260.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G140260.v4.1 MELKIFSGDIHFPRATDKISRYSGILIPPGTVKTNSKESKKVKNWIYVQRITPTKKKSFVLVRPVLIYERGDGINLERLFPPDLLQEKENLKLRIVNYILYGNGKPIQGISNTSIQLVRTCLVLNWNQDKKSSSIEEARVYFVEVSINGLIRDFLRIHLGKSRISYISRKRNDPSGLGLISDNGPDRTNINPFYSIYSKTRIPQSLKQNQGTISISTLLNRNMECQSLIILSSSNCFRMDPSNGVKSYNVIKESTKRDPIIPIRNLLGPLGTALQIANFYSFYHLLTHNQISVIKYLKLDNLKLKQTSKVLKYYLMDENGRIVNHDPYSNNVLNPFKLNWYFLHHNYHHNYCEETFTIINLGQFICENVCMTKNGPRLKSGQVLIVHADSVILRLAKPYLATPGATVHGHYGEILYEGDTLVTFIYEKSRSGDITQGLPKVEQVLEVRSIDSISINLEKRVENWNECITRIVGIPWGFLIGAELTIVQSRISLVNKIQKVYRSQGVQIHNRHIEIIVRQITSKVLVSEDGMSNVFSPGELIGLLRAERAMRALEEAICYRTVFLGITRASLSTQSFISEASFQETARVLAKAALRGRIDWLKGLKENVVLGGMIPVGTGFKGLAHRSSQHKIIPFKTKKKNLFEGEMRDILFHHRELFDSCISKNFYNISEQSFIGFNDS >Potri.007G059700.1.v4.1 pep chromosome:Pop_tri_v4:7:6357533:6358438:1 gene:Potri.007G059700.v4.1 transcript:Potri.007G059700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G059700.v4.1 MASLSPIKSIPVRSISLPSRSHPNSLKIEAQLTKLRAWESSTNPLSADTIQMSLTKLAELFNCIQELIHSPLTQQAFHHQHLSQVEEALEGSVALLDVLSRVRDLFLTMKEHVQELQSVIRRRGARDSSMGSNVHAYISFRKKTKKEITKSIRILKRTEINVNGSYRLGRDVDNHLSYVIEVIREARAITISISRSLLLFLSMPEMKKNTGGWSIISKLMLSGLLASDRSQKIFNEVENVDIALCSIQGQIRKNDAKVDVQEVQRRFETLDACINCFDAKLDRMFRCLIQNRMSLLNLVST >Potri.008G217500.2.v4.1 pep chromosome:Pop_tri_v4:8:16275120:16276722:1 gene:Potri.008G217500.v4.1 transcript:Potri.008G217500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217500.v4.1 MRERFTHACNSNQLIILARKEGNLPRRAAAGGEVEGDWAKKKANGPKLGYNTHDKAFWIKAMLHTFCDICIKAIERGMRPNTHFDKASWKFLKNKCDGIKKDWRVWKKLIIETRVGWSTELGTILATDEWWQAKIQEMRGAKKFRHVGIEPSLCPKYDTMFTNIMATGEYDWTPSQGFLLDEDNGAAGMRNTTNEETNMEEGSGDSEKDAIPDFVHDVSNMAGGSNVANNSSNPSSAKRKGAHHTTPQCRKKKRGTGMGAQLVSRLDQLLLLKIKKGCSIEEVMEELHSINGVTFGSALHTFATQFFCARSKREIWAAMGCIDRKI >Potri.004G009000.1.v4.1 pep chromosome:Pop_tri_v4:4:519450:521008:1 gene:Potri.004G009000.v4.1 transcript:Potri.004G009000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009000.v4.1 MASLQASSFLFSSSCSCSCSTRINAAVSVPKLPRFRLPVPRTPLRFVEDLNLKDGLTSTVPLLENTRPDQCEPIESKTTAAAAKLYAILEAVSDRVEMHKNIGEQRDNWNKLLLNSINMITLTAATMAGVASAGTVGAPLLALKLSSTLLFSAATGMLLIMNKIQPSQLAEEQRNATRLFKQLYSHIRTTLALRDPTALDVKDAMEKTLALDKAYPLPLLGKMIEKFPEKFEPAVWWPKTQGSPRKQRKTQGKNGCSGDLEEEMREVIEVIKRKDSEDYMRLGNLALKVNKILAISGPLLTGIAAAGSAFVGHGSWAAIVAVTAGALASTVNTFEHGGQIGMVVEMYRNCAGFFTLMEESIETSIQQRDFEKSEDGEMFEMNVALKLGRSLSQLRDLARKSSSSHVDGSTIDEFASKLF >Potri.005G192050.1.v4.1 pep chromosome:Pop_tri_v4:5:19948314:19950723:-1 gene:Potri.005G192050.v4.1 transcript:Potri.005G192050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192050.v4.1 MDKKCERDTATTIRPTMFYFQRDHKNCLSGCAQYQLINSDSWALSAMKHWKTKQKQKAAMKRNS >Potri.005G255801.1.v4.1 pep chromosome:Pop_tri_v4:5:24679695:24680829:-1 gene:Potri.005G255801.v4.1 transcript:Potri.005G255801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255801.v4.1 MNNRSRRKSPSQTSNQKPRQKQLGLIKEEYKMQQQQQSLQEHHDLQYPTQGQQNPAAIFDEPPQQLLPNHPLLSQQYLGHHVYGRDQQNYFYPSITAEQVRAWTDYQEFLCRQQREKKLQSLMSFLYSENSFLTPWSWNPQAPQTEEKRPMMFQPGSFFSYHIPPPQAEEEEHSRQSQSSKQP >Potri.001G030600.1.v4.1 pep chromosome:Pop_tri_v4:1:2291757:2294237:-1 gene:Potri.001G030600.v4.1 transcript:Potri.001G030600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030600.v4.1 MADDSKLHIAMFPWLAFGHMIPYLELAKLIAQKGHKITFISTPRNIDRLPKLPPYLSPLINFVKLPLPHAAHLLEGDEATTDVPYNKVQYLKVAFDGLKEPMTRFLATSHDIDYLLYDFAPYWLPEIATGLGIPNAFFSIFLGAAVCFLKPASLIEDRTEPEHFTVPPKSIPFPTTVRFKLFEILRIFESVTGDASDVSDIYRLQEVLRCCQMVAIRSCMEFEPEWLHLFQELIGKPVIPVGLLAPTEDDAVRDEGSGMWKSMKDWLDKQEKGSVVYVAFGSEAKPSQVELTEIALGLELSGLPFFWVLRTRRGLTDNEVIKLPEGFEDRTRGRGLVFTSWVPQLKILAHDSVGGFLTHSGWSSVVEALQHERALILLSFLAEQGLNSRVFEEKKIGYPIPRDESDGSFTRDSVAESLRLVMVKEEGKIYREKAKEMKGLFGNKDIQDQYVVNFLRYIMSHRRCLVTRESSSVIK >Potri.004G064100.1.v4.1 pep chromosome:Pop_tri_v4:4:5429604:5432671:1 gene:Potri.004G064100.v4.1 transcript:Potri.004G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G064100.v4.1 MEKLEFVEGKVDWKGRQALKNKHGGLWASLLILVMFALESMATISLAVNFVTYFNGVMHFQIADAANMVTNYMGVSYILSIVAAVLADTLFGRHRTAVISGCLELMGLSLLAFQAHYAKLKPPPCNILDPTAHCEKVGGGNIVLLFVSLFVLAAGSSGTKASVPSHGADQFDEKDPKELIQMSSYFNFVLLAVCLGAAVSLTFFVWIQDNKGWDWGFGVGAISMLLAVIVFIAGLPMHRIHVVQGSSTVVEILQVYVAAFRNRKLQLPEDPLDLYEINKDKEAAIEAESLPHRDTLRFLDKAAIQTSTEKFSEAPNPWKLCRVTQVENAKILLSILPVFSCTIIMTLCLAQLQTFSIQQGLTMDTSITKSFNIPPASLPIIPVIFLIILVPLYDRLVVPFARKFTGLPTGITHLQRIGVGLILSSISMASAALMEVKRKDVARDHNMLDAIPVLQPLPISTLWISIQFFIFGIADMFTYVGLLEFFYSEAPKGLKSISTCFLWCSMALGYFLSTILVNIVNGVTKGSTKSGGWLAGNNINRNNLNLFYWLLSILSFLNFCVYLFVARRYTYRPQNSSLPSNENREAPANQEDRREN >Potri.017G154200.9.v4.1 pep chromosome:Pop_tri_v4:17:15111176:15116305:-1 gene:Potri.017G154200.v4.1 transcript:Potri.017G154200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154200.v4.1 MERLSALRTRVVVMAGQEAPTVSRTRRKSATQHGGSTLADLHQALQDYLPVLLGLFKDGSQLQHNVQFAWMNQEDEAEETAISNAWYEVLSVLHLMAMLSLSQANLLLLPRTSCDGYQPKVSEENRRASVDIFLKAAGYLDCAVRHVLPQFPTSLREDLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFIKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKRSCEAFNAASPLSRNPPLWGTMKYLSEKIPKDTSSKNHGDSTNIA >Potri.017G154200.3.v4.1 pep chromosome:Pop_tri_v4:17:15111176:15116305:-1 gene:Potri.017G154200.v4.1 transcript:Potri.017G154200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154200.v4.1 MERLSALRTRVVVMAGQEAPTVSRTRRKSATQHGGSTLADLHQALQDYLPVLLGLFKDGSQLQHNVQFAWMNQEDEAEETAISNAWYEVLSVLHLMAMLSLSQANLLLLPRTSCDGYQPKVSEENRRASVDIFLKAAGYLDCAVRHVLPQFPTSLREDLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFIKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKRSCEAFNAASPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFSLALKPDEFQLPPVVPSWNEDNVGGGQASSNHLKGERR >Potri.017G154200.8.v4.1 pep chromosome:Pop_tri_v4:17:15109989:15116305:-1 gene:Potri.017G154200.v4.1 transcript:Potri.017G154200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154200.v4.1 MERLSALRTRVVVMAGQEAPTVSRTRRKSATQHGGSTLADLHQALQDYLPVLLGLFKDGSQLQHNVQFAWMNQEDEAEETAISNAWYEVLSVLHLMAMLSLSQANLLLLPRTSCDGYQPKVSEENRRASVDIFLKAAGYLDCAVRHVLPQFPTSLREDLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFIKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKRSCEAFNAASPLSRNPPLWGTMKYLSEKIPKDTSSKVRINRDLYSHEKIMETAPTLPDFSLALKPDEFQLPPVVPSWNEDNVGGGQASSNHLKGERR >Potri.017G154200.11.v4.1 pep chromosome:Pop_tri_v4:17:15111176:15116305:-1 gene:Potri.017G154200.v4.1 transcript:Potri.017G154200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154200.v4.1 MERLSALRTRVVVMAGQEAPTVSRTRRKSATQHGGSTLADLHQALQDYLPVLLGLFKDGSQLQHNVQFAWMNQEDEAEETAISNAWYEVLSVLHLMAMLSLSQANLLLLPRTSCDGYQPKVSEENRRASVDIFLKAAGYLDCAVRHVLPQFPTSLREDLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFIKWKYVEAKVCKNCFHFFVSSLYFVIIHIRGCPSCCFQILKL >Potri.017G154200.10.v4.1 pep chromosome:Pop_tri_v4:17:15111176:15116305:-1 gene:Potri.017G154200.v4.1 transcript:Potri.017G154200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154200.v4.1 MERLSALRTRVVVMAGQEAPTVSRTRRKSATQHGGSTLADLHQALQDYLPVLLGLFKDGSQLQHNVQFAWMNQEDEAEETAISNAWYEVLSVLHLMAMLSLSQANLLLLPRTSCDGYQPKVSEENRRASVDIFLKAAGYLDCAVRHVLPQFPTSLREDLPVDLAEGVLRALCLQALGQGVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLFIKWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKRSCEAFNAASPLSRSPFQVLICLCAETHRFGEP >Potri.006G049100.1.v4.1 pep chromosome:Pop_tri_v4:6:3383421:3388777:-1 gene:Potri.006G049100.v4.1 transcript:Potri.006G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G049100.v4.1 MTTTAAATLSLPSHSLIFIKSTPFPSLRRSFCLNSPSTPTPTSHSPLRVKASSAEPSPSPGKGIENVVIIGSGPAGYTAAIYAARANLKPVVFEGYQVGGVPGGQLMTTTEVENFPGFPDGITGPDLMDRMRRQAERWGAELFQEDVESLDVKSSPFTVKSSERKVKCHSIIYATGATARRLKLPREDEFWSRGISACAICDGASPLFKRQVLAVVGGGDTATEEALYLTKYARHVHLLVRKDQLRASKAMQDRVINNPNVTVHFNTETLDIVSNTKGQMSGILTRKTDTGEESVLEAKGLFYGIGHSPNSQLLEGQVELDSAGYVLVQDGSGKTSVEGVFAAGDVQDHEWRQAITAAGSGCIAALSVERYLVSNNLLIEFHQRQTEEVKKELTDRDVHEGFDITITKHKGQYSLRKLYHESPRLICVLYTSPTCGPCRTLKPILSKVIDEFDQNVHFVEIDIEEDPEIAEAAGIMGTPCVQFFKNKEMIRTVSGVKMKKEYKEFIEENK >Potri.009G085800.1.v4.1 pep chromosome:Pop_tri_v4:9:8040712:8040909:1 gene:Potri.009G085800.v4.1 transcript:Potri.009G085800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085800.v4.1 MAIQLENLVQSIKSKVRALKKKSKKPYIKMDKSASVKVEIRSRKARKLIDKTLQVADRPGKRTIS >Potri.009G059500.4.v4.1 pep chromosome:Pop_tri_v4:9:6186417:6192810:1 gene:Potri.009G059500.v4.1 transcript:Potri.009G059500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059500.v4.1 MLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLKDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFRHSINLSLKGIGGKSPKKSNDHGKKHWNKKFPKKKGCHAASISKSEPHQHDIHSSVHDMDDCKIYDSESQAKGGSDKSCSPVAGIVNHTEGVCSISQPGVLKHKFVDEVMVSDGERTSNVFKIKSNKPHDVDSGGDTEKHAGKSKSVKAKRLVINLGARKINVSSPPKSDVQSCQSELDLKASNRDTADHSGQTRGLIKFARREGNLIKFGKVKAEASNFNPKSDGGSHSDGYETVPLDHARVSSAKKSLEGSRAVVRPAGGEVPTLRSDKLSLGKQSEVRPDTHTESNGDSGDTPIFHSLPKESKLSLKLKIKKPNLENQSSLIHLHEEEKSNIRGQRSKRKRASSLMEKTMYNEDEGMPPSHLDSEMTEANRILKKLGKDAIGKRVEVHQPSDNSWHKGVVSDIVEGTSKLSVTLDDGIVKTLKLGKQAVRIVSQKQKRSKT >Potri.009G059500.7.v4.1 pep chromosome:Pop_tri_v4:9:6186423:6192869:1 gene:Potri.009G059500.v4.1 transcript:Potri.009G059500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059500.v4.1 MLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLKDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFRHSINLSLKGIGGKSPKKSNDHGKKHWNKKFPKKKGCHAASISKSEPHQHDIHSSVHDMDDCKIYDSESQAKGGSDKSCSPVAGIVNHTEGVCSISQPGVLKHKFVDEVMVSDGERTSNVFKIKSNKPHDVDSGGDTEKHAGKSKSVKAKRLVINLGARKINVSSPPKSDVQSCQSELDLKASNRDTADHSGQTRGLIKFARREGNLIKFGKVKAEASNFNPKSDGGSHSDGYETVPLDHARVSSAKKSLEGSRAVVRPAGGEVPTLRSDKLSLGKQSEVRPDTHTESNGDSGDTPIFHSLPKESKLSLKLKIKKPNLENQSSLIHLHEEEKSNIRGQRSKRKRASSLMEKTMYNEDEGMPPSHLDSEMTEANRILKKLGKDAIGKRVEVHQPSDNSWHKGVVSDIVEGTSKLSVTLDDGIVKTLKLGKQAVRIVSQKQKRSKT >Potri.009G059500.2.v4.1 pep chromosome:Pop_tri_v4:9:6186310:6192788:1 gene:Potri.009G059500.v4.1 transcript:Potri.009G059500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059500.v4.1 MAFHVACPITCRRICFCSLGFPRDLHSTKSKADFLFDVARIDEFLKDPLGIRASREGTVLVSAPKVVPVPAPIPPTHSLEVVSARDREGDGGVVEDAFSTQTKRVAIQRQAAAAKASAECYAKKVESGDTVASEDTPGEDTGPFCQICFVGQTGGSERARKMLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLKDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFRHSINLSLKGIGGKSPKKSNDHGKKHWNKKFPKKKGCHAASISKSEPHQHDIHSSVHDMDDCKIYDSESQAKGGSDKSCSPVAGIVNHTEGVCSISQPGVLKHKFVDEVMVSDGERTSNVFKIKSNKPHDVDSGGDTEKHAGKSKSVKAKRLVINLGARKINVSSPPKSDVQSCQSELDLKASNRDTADHSGQTRGLIKFARREGNLIKFGKVKAEASNFNPKSDGGSHSDGYETVPLDHARVSSAKKSLEGSRAVVRPAGGEVPTLRSDKLSLGKQSEVRPDTHTESNGDSGDTPIFHSLPKESKLSLKLKIKKPNLENQSSLIHLHEEEKSNIRGQRSKRKRASSLMEKTMYNEDEGMPPSHLDSEMTEANRILKKLGKDAIGKRVEVHQPSDNSWHKGVVSDIVEGTSKLSVTLDDGIVKTLKLGKQAVRIVSQKQKRSKT >Potri.006G071300.2.v4.1 pep chromosome:Pop_tri_v4:6:5207474:5209757:1 gene:Potri.006G071300.v4.1 transcript:Potri.006G071300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071300.v4.1 MCFDHKFSDRSRPSNPLSNAVTPPAPNALHGQSPSSGNSSTLLFVIGGMTVLIILLTLVLYFWKFNKPEKLKKFLKKNRSLTETNDFWSGNLQTINYFDFQTLKKATKDFHPANLLGRGGFGPVYRGKLHDGRLVAVKKLSLDKSQQGESEFLSEVKMITSIQQKNLVRLLGCCSDGPQRLLVYEYMKNRSLDLIVHGNSDKFLDWNTRFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFQPRISDFGLARFFPEDQAYLSTAFAGTLGYTAPEYAIKGELSEKADIYSFGVLVLEIISSRKNTDLSLPSEMQYLPEYAWKLYERSRVMDLVDPKLLEHGIVEKDVLQVIHVAFLCLQPLANLRPPMSRIVAQLTCKVEMVGTPMRPVFLQRSRKKDDNLSWDTISEAFLSPLWTESPSLPGPQN >Potri.006G071300.3.v4.1 pep chromosome:Pop_tri_v4:6:5207091:5209940:1 gene:Potri.006G071300.v4.1 transcript:Potri.006G071300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071300.v4.1 MARRAVEVTPPAPNALHGQSPSSGNSSTLLFVIGGMTVLIILLTLVLYFWKFNKPEKLKKFLKKNRSLTETNDFWSGNLQTINYFDFQTLKKATKDFHPANLLGRGGFGPVYRGKLHDGRLVAVKKLSLDKSQQGESEFLSEVKMITSIQQKNLVRLLGCCSDGPQRLLVYEYMKNRSLDLIVHGNSDKFLDWNTRFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFQPRISDFGLARFFPEDQAYLSTAFAGTLGYTAPEYAIKGELSEKADIYSFGVLVLEIISSRKNTDLSLPSEMQYLPEYAWKLYERSRVMDLVDPKLLEHGIVEKDVLQVIHVAFLCLQPLANLRPPMSRIVAQLTCKVEMVGTPMRPVFLQRSRKKDDNLSWDTISEAFLSPLWTESPSLPGPQN >Potri.006G071300.4.v4.1 pep chromosome:Pop_tri_v4:6:5207091:5209764:1 gene:Potri.006G071300.v4.1 transcript:Potri.006G071300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071300.v4.1 MARRAVEVTPPAPNALHGQSPSSGNSSTLLFVIGGMTVLIILLTLVLYFWKFNKPEKLKKFLKKNRSLTETNDFWSGNLQTINYFDFQTLKKATKDFHPANLLGRGGFGPVYRGKLHDGRLVAVKKLSLDKSQQGESEFLSEVKMITSIQQKNLVRLLGCCSDGPQRLLVYEYMKNRSLDLIVHGNSDKFLDWNTRFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDDKFQPRISDFGLARFFPEDQAYLSTAFAGTLGYTAPEYAIKGELSEKADIYSFGVLVLEIISSRKNTDLSLPSEMQYLPEYAWKLYERSRVMDLVDPKLLEHGIVEKDVLQVIHVAFLCLQPLANLRPPMSRIVAQLTCKVEMVGTPMRPVFLQRSRKKDDNLSWDTISEAFLSPLWTESPSLPGPQN >Potri.006G090501.1.v4.1 pep chromosome:Pop_tri_v4:6:6906543:6907755:1 gene:Potri.006G090501.v4.1 transcript:Potri.006G090501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090501.v4.1 MIPARLVLLELGYTPLGSPGPGTIKTQALKNSILNMIAEKLGKSPAQVALRWGLQMGHSVLPKSTNEARIKENFDIFGLVSS >Potri.019G062200.1.v4.1 pep chromosome:Pop_tri_v4:19:10222298:10228559:-1 gene:Potri.019G062200.v4.1 transcript:Potri.019G062200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062200.v4.1 MSKNSPRIIQGLLKLHYNHVSTSKPSPLTTPSLASRISTSFTRPYSNASSNFTPLNSQIPCFTSKPTSSNLGLSQFLSCTKPNSSFSKNGSFFYGVRQFSFKGSSDLGKRVDGNFAKKLLEKPATAVTSAFSRYREALGLQIDAFLKRNSLFLIGAGGVIICALLWRIMFGIANTFVSLSEGMAKYGFLALSSAIVAFSGLYIRSRITINPDKVYRMAMTKLNTSAGILEVMGAPLTGTVLRAYVMSGGGLVLKNFKPTVRSKRCFLIFPIQGSERKGLVSVEVKKKKGQYDMRLLAVDIPMASGPDQRLFLIGDEEEYKVGGGLISELRDPVVKAMAASKEFDDLDQIEEEEDAEKELQEAERKHREEIEKLEKGGS >Potri.004G179855.1.v4.1 pep chromosome:Pop_tri_v4:4:19451150:19451928:-1 gene:Potri.004G179855.v4.1 transcript:Potri.004G179855.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179855.v4.1 MMKVANLIAVFILLALASSFVTAYDPSPLQDFCVAIDDANSAVLVNGKLCKNPSLATPDDFSYSGLDVPGNTSNQLGARVNIITADLMPGLNTLGVSLARIDLAPNGGLNPPHYHPRGSEVLLVLEGTLYAGFVTSNPDHRLFTKILKPGDLFVFPFGLIHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASWPLYPEVLTTAFHLDEKLVEDLQSQEWVNPT >Potri.003G051300.4.v4.1 pep chromosome:Pop_tri_v4:3:7488939:7492351:-1 gene:Potri.003G051300.v4.1 transcript:Potri.003G051300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G051300.v4.1 MSSIPKEHDYIGLSETPSMEKISDKLSSSSSTLSTEENINSNSNSNSNSTNTSLNLKETELRLGLPGYQSPERKLTLPAAGVSLFGKDIDTNNTNGYPLRPLKNLVSGTKRGFSDAIVGSSGKWVFSGSNGSEVDLGKGAILFSPRGDNGNSQKSCVAGPAKKDDVAQSPKPVQEKISQVAAANENSSAPAAKAQVVGWPPIRSFRKNTMASSLVKNNEDVEGKSGYGCLYVKVSMDGAPYLRKVDLKTYSNYLELSSALEKMFSCFTIGQCGSHGLRGQDGLTESRLKDILHGSEYVLTYEDKDGDWMLVGDVPWDMFTNSCRRLRIMKGSEAIGLAPRAMEKCKNRN >Potri.004G014301.1.v4.1 pep chromosome:Pop_tri_v4:4:931406:933956:1 gene:Potri.004G014301.v4.1 transcript:Potri.004G014301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014301.v4.1 MSLLKLLMHLSPFLVAHLFVSGNTIGCKALTSNKPVFSSGPVYAVARSPLDGIAVRSSGPAEPPIKAPSLSPQVKKPPSLSPQGITPPRPSPQGIAPPRPSPEISPRSSAPIIAAVIFSFVVIIPSVVMPFILRARWRGKSEQDPQDVEDHHIKHVPGMPVRFSYQELYVATNNFNERLGRGGFGSVFKGKLGDGTQIAVKRLEKRGQGMSAFLAEAEAIGSLHHFNLVRLIGFCAEKSSRLLVFEYLSNGSLDNWIFMNVQGSFLDWQTRKKIIVDIAKGLAYLHEDCRHTIIHLDVKPQNILLDSSFHAKIADFGLSKLINRDMSQVQISMRGTPGYLAPEWRQPLGRITVKVDIYSFGIVLLEIVCARRNVDQSQPESAFHLLTMLQKKADHQDGVIDIVENLDEYTRSDREEITRMIKVAAWCLQDDPERRPLMSTVLKVLEGVMEVDSNINYRFSHAMISSPAGNNHISSAPPPASVLSNPR >Potri.006G186200.4.v4.1 pep chromosome:Pop_tri_v4:6:19301006:19303647:1 gene:Potri.006G186200.v4.1 transcript:Potri.006G186200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186200.v4.1 MAEKTSDGHPTLLHGKYELGRLLGHGTFAKVYHARNLQSGKSVAMKVVGKEKVIKVGMMEQVKREISVMKMVRHPHIVELNEVMASKSKIYFAMELVRGGELFSKIAKGKLREDVARVYFQQLISAIDFCHSRGVYHRDLKPENLLLDEDGKLKVTDFGLSAFSEHLKQDGLLHTTCGTPAYVAPEVIGKKGYDGAKADLWSCGVILYVLLAGFLPFQDDNIVAMYRKIYRGDFKCPQWFSSEARRLITKLLDPNPSTRITTSKVMDSTWFKKSLPKTVRSKEEMEFEAFNGEEDANGDKAKQPETLNAFHIISLSQGFDLSPLFEEKTREGKEELRFATTRPASSVISRLEEVAKAGNFNVKKSDSKVRLQGQERGRKGKLAIAADIFAVTPSFLVVEVKKDNGDTLEYNQFCSNELRPALKDIVWTSPAENSTLA >Potri.015G112500.1.v4.1 pep chromosome:Pop_tri_v4:15:12864703:12870935:1 gene:Potri.015G112500.v4.1 transcript:Potri.015G112500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112500.v4.1 MEAAASSCSILHPLRSSSNYHSKHYTETPPRNSSSSSCCNNLYLGLPFSSTFLRNYTFPGKFVQQNLFTTFCLTPSSSKQNPSTEFAVLLEVDGVLIDAYRLGNRRAFNVAFQKLGLDCANWTQPIYQDLVRKSDGDEERMLVLFFNRIGWPTSLPTSEKGAFIKSVLREKKNALDEFVASKSSLLRPGVEDFIDDASNKGIPVVILTAYGKSVEKIARSIIDKLGHERISKLKIVGNEEVEKSLYGQLVHHKGILSGTNEELAKEAMKAVSAQKQKIAEEVASMLKLSVSLDSSSSESLQKTVAALRAGAEYAGVSVNNCVLIAGSQSGVAGAEQIGMPCVVLRSSSTSRTQFPSAKATVDGFGGPDLTISKLLDKRWS >Potri.018G118116.1.v4.1 pep chromosome:Pop_tri_v4:18:13363130:13366643:1 gene:Potri.018G118116.v4.1 transcript:Potri.018G118116.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118116.v4.1 MGELLVVSRSMCSWQVTRPGSYSKQIDREEFKKVMGLMRAQNRQGAGLRDGRRFGLKVAEPVENGGLLEYFFGKDGRTCLQHERFVQFLRDLHDEILQLEFAHYDYRSCGTISAKDFALSLVASADIRHISKLLDRVDEVSNEPQIRDIRITFEEFKSFAEIRRQLQYLSLAIFSYGKVNGVLTRKDFQRASSQVCGISITNYLVDITFHVFEANRDGNLSSDEFVRVLQGRETASRIAREPGLKGLMSCCANCAKKYSSAKRLL >Potri.017G015134.1.v4.1 pep chromosome:Pop_tri_v4:17:1057506:1059456:-1 gene:Potri.017G015134.v4.1 transcript:Potri.017G015134.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015134.v4.1 MCTRCTLRQAGQNKAEGCWQPCHQWEKSSAQIYAAVGSSKGKSAAQIVDEHGLDEGLSKPRQEDRLTRIGTIAEFGSVDRGSLHADANSAAQRLAARICEFGSALAACLQMRTRQRAANLCKSGARLGTI >Potri.008G016801.1.v4.1 pep chromosome:Pop_tri_v4:8:837025:838602:-1 gene:Potri.008G016801.v4.1 transcript:Potri.008G016801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016801.v4.1 MASRSALSRLSLTATRSMESARGATRYFSDDRGRVLSEEERAAENVYIKKMEKERSEKLKVKQEKEKTEKENQGSDKKAGGSQKS >Potri.011G012950.7.v4.1 pep chromosome:Pop_tri_v4:11:1213027:1214079:1 gene:Potri.011G012950.v4.1 transcript:Potri.011G012950.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G012950.v4.1 MIMERKRNTDCIILPVFYDVDPSEVRNQTGSFAAAFVDHEKRFKKEMEQVNGWRIALKEVADLGGIVLGDGYEAQLVQSIVEKVSKNLDRKIFHVPLHFIGRDHLVKYINSWLQDGTHGAAIAILYGIGGVGKTAIAKTVYNQNFHKFEGRSFLSNVRERSKESNGVVCLQRQLLSDILNKTADETHDVDEGIIKIKDALCCRRTLIVLDDVDKWY >Potri.001G126100.1.v4.1 pep chromosome:Pop_tri_v4:1:10350170:10354817:-1 gene:Potri.001G126100.v4.1 transcript:Potri.001G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G126100.v4.1 MKLPFLSFLITFSFFFYLFKTSLLVFSASTPPPPLHSLLSIKTSLKDPLNTFHDWNLSKASIQDPVWCSWSGVKCNPTTSQITSLDLSHRNLSGVIPAEIRYLTRLVHLNLSGNAFDGLLSPLIFELSDLRILDISHNNFNSEFPPGISKLKFLRVFNAYSNSFTGPLPKEFAKLRFLEELNLGGSYFKGEIPRSYGSFLKLKYLDLAGNELEGPLPPDLGFLIQLEHLELGYNELLSGNVPEEFALLTNLQYLDISQCNLSGNLPPRLGNLTKLENLLLFKNQFTGEIPVSYTNLKALKALDLSDNQLSGTIPEGLSSLKELTRLSLLKNELTGEIPPGIGELPYLDTLALWNNNLTGILPQNLGSNGNLLWVDVSNNSLSGPIPPNICQGNKLYKLILFSNKFFGNLPDSLANCTSLFRFRIQDNQLNGSIPYGFGLLSNLSFMDLSKNNFTGEIPDDLGNSQELHFLNISENYFHTALPNNIWSAPNLQIFSASSCKLKSKIPDFIGCSNLYRIELQENLLDGSIPWDIGHCERLLSLNLSSNSLTGIIPWEISTLPAIADVDLSRNLLTGSIPSNFANCSTLESFNVSYNSLTGPIPASGTIFPNLHPSSFSGNLGLCGGVLPKPCAADTLGAGEMEVRHKQQPKRTAGAIVWIMAAAFGIGLFLLVAGTRCFHANYSRRYSDDREIGPWKLTAFQRLNFTADDVLECLSMSDKILGMGSTGTVYKAEMPGGEIIAVKKLWGKHKENIRRRRGVLAEVDVLGNVRHRNIVRLLGCCSNRECTMLLYEYMPNGNLEDLLHGKNKGENLVADWFTRYKIALGVAQGICYLHHDCDPVIVHRDLKPSNILLDGEMEARVADFGVAKLIQSDESMSVIAGSYGYIAPEYAYTLQVDEKSDIYSYGVVLMEIISGKRSVDAEFGDGNSIVDWVRSKIKTKDGINDILDKNAGASIASVREEMMQMLRIALLCTSQNPADRPSMRDVVLMLQAAKPKRKLPGSIVSVGGGDHIVTADGAIAQKPAVEC >Potri.008G212923.1.v4.1 pep chromosome:Pop_tri_v4:8:17430656:17431110:-1 gene:Potri.008G212923.v4.1 transcript:Potri.008G212923.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212923.v4.1 MGVFTYESEASTVMPPARLFKALFVDAAEVMPKALPQAIKSIVTLEGDGGPGTIKQTYFGDGSLSFKERTDAIDNENLS >Potri.004G217000.2.v4.1 pep chromosome:Pop_tri_v4:4:22264060:22268451:-1 gene:Potri.004G217000.v4.1 transcript:Potri.004G217000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217000.v4.1 MASPFTAATTLSCTSSSWLHGFVGPTNEISKFPDKRIPMLVLAQKKAKKTRKIILKEDVTDLGKKGQLVDVKAGFYRNFLLPTGKAQIVTPGLVKEMRMEEERIEAEKKRVKEEAQQLALIFETVGAFKVKRKGGKGRQIFGTVTAQDLVDIIKAQIQRDVDKRIVSLPDIRETGEYIAELKLHPEVTAQVRLNVYAN >Potri.008G009500.1.v4.1 pep chromosome:Pop_tri_v4:8:459762:464265:-1 gene:Potri.008G009500.v4.1 transcript:Potri.008G009500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009500.v4.1 MASSSTKPISTPPFTTTISSSSQPSTRSSLLSFLRNTTPTPISLKLSHSRNSFLNSHSSSSRSLSIKNATKTIESAETSRVSKVGGQDADSQETKPTILVSEKLGEAGLELLRSFGDVDCSYDLSQEDLCKKIASCDALIVRSGTKVTRQVFEAAKGKLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTVAAAEHGIALLAAMARNVAQADASMKAGQWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMQVIAHDPYAPADRARAIGVELVSFDQAISTADFISLHMPLTPSTEKVFNDDTFAKVKTGVRIINVARGGVIDEDALVRALDSGKVAQAALDVFTEEPPPKDSKLVQHERVTVTPHLGASTKEAQEGVAIEIAEAVVGALQGELAATAVNAPMVPAEVLSELAPYVVLAEKLGRLAVQLVAGGSGIKSAKVVYRSSRDPDDLDTRLLRAMITKGIIEPISDSFINLVNADFTAKQKGLRISEERVVVDTSPEFPIHSIQVQLSNVDSKFGSGVSEGGDISIEGRVKYGKPHLTRVGSFSVDVSLEGNLILCRQVDQPGMIGQVGNILGEQNVNVSFMSVGRTVQRRKAIMAIGVDEEPNQETLKKIGEVPAIEEFVFLKL >Potri.012G121136.1.v4.1 pep chromosome:Pop_tri_v4:12:13862030:13866907:-1 gene:Potri.012G121136.v4.1 transcript:Potri.012G121136.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121136.v4.1 MIFHFGIMVVMTTCLWSSKHRDRSLKSSESGMGKLCNVESPDIIIVGAGVAGPALAYTPGEDGRRVHVIERDLTEPNRIVGELLQPGGYLKLIELGLEDRVSEIDAQRVLGYAIFKDGKSTKLPYPLENFHSDVAGRSFHNYFNHMIFPGVKLEQGTVTTLIEENGTIKGVQYKTRAATELGAYAPLTIVCDGCFSNLRRSLCNPKVRINSSPILFYPISSTEIRCLVDVPGQKVPSVSNGEMARYLKAAVAPQIPPELHDAFISAIDKGSIRTMTNRSMPASPHPTPGALLMGDAFSMRHPLTGGGMAVALSDIVLLRDLLRPLQKLNDASSLCRYLESFYTLRKPVASTINTLAGALYKVFSASPDPARNDIRQACFDYLSLGGVFSNGPLALLSVAIYGVGRLMLPLPSHKRIWTGARLISAEGIRQMFFPVRVPPYHRAPPIA >Potri.004G018700.4.v4.1 pep chromosome:Pop_tri_v4:4:1314956:1322855:-1 gene:Potri.004G018700.v4.1 transcript:Potri.004G018700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018700.v4.1 MAELQILRLFGNPLEFLPEILPLHKLRHLSLANIRIEADESLRLVNVQIETENSSYFGASRHRLSAFFSLIFRFSSCHHPLLASTLAKIMQDQGNRAVVGKDLNAVRQLISMMSSDNCHVVKQACSALSDLAADVSMAMQLMKCDILQPIETVLKSVAQEEVISVLQVVATLAFSSDTVSQKMLTRDMLRSLKLLCAHKNPEVQRLALLAVGNLAFCLENRCLLVTSESLQDLLLHMTVSSEPRVNKAAARALAILGENENLRRAIRGRPVAKQGLRILSMDGGGMKGLATVRILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHNADQFERLLKEMCADEDGDLLIESAVKNVPKVFVVSTLVSVLPAQPFVFRNYQYPVGTPEVPFAISESSGVHVLGSPTTGAQVGYKRSAFISSCKHHIWQAIRASSAAPYYLDDFSDDINRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPKIQYFRFNPVDERCGMELDETDPAIWLKLEAAVDEYVQNNSEALKNVSESLLFPYQHDDKFSEVMKSQQFSKAKVSNTDESSPSLGWRRMVLLVEALHSPDSGRVVHHARALESFCTRNAIRLSLMHATSGIARTVPTGTFPSPFASPLITGSFPSSPLLFSPDFGSQRIGRIDMVPPLSLDGAQSGKTALSPPMSPKHRRLSLPVRSLHEKLQNSPQVGLVHLALQNDSSGSILSWQNDVFVVAEPGDLADKFLQSVKFSLLSMNRSRHRKIASLLANISTVADLVHCKPYFQVGNVIHRYIGRQTQVMEDDQEIGAYMFRRTVPSMHLTPEDVRWMVGAWRDRIIICTGTYGPTQTLIKAFLDSGAKAVVCPSAEPLEMPVTLVHGSGEFNVLENGRFEIGEEEAEEEEEEAEPTSPVSDWEDSDAEKHGDRSIGFWDDDEEDLSQFICKLYDSLFQEGARVDAALQNALASHRRQRYSCHLPGIQ >Potri.004G018700.1.v4.1 pep chromosome:Pop_tri_v4:4:1314069:1322890:-1 gene:Potri.004G018700.v4.1 transcript:Potri.004G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018700.v4.1 MFSWKRPSEVLRLTLNYGTEDFGEELNRSSTSSSTVSSSSSTTLTPSSSPQEIATEVAVEDEEQVGFRIELDWNAVDDEDQVALRLQSQLMVALPAPQDCVTVDLKAAEEEEGRVEVEMKVEKKREELRGVLLGKSGSGQQSDGVGVLTRLFRSDGGRHWKTVTLLSLSGCGLLTLPAVIIQLPNLEKLYLDNNRLSVLPPELGELKNLKILAVDYNMLVSVPVELGQCVELVELSLEHNKLVRPLLDFRAMAELQILRLFGNPLEFLPEILPLHKLRHLSLANIRIEADESLRLVNVQIETENSSYFGASRHRLSAFFSLIFRFSSCHHPLLASTLAKIMQDQGNRAVVGKDLNAVRQLISMMSSDNCHVVKQACSALSDLAADVSMAMQLMKCDILQPIETVLKSVAQEEVISVLQVVATLAFSSDTVSQKMLTRDMLRSLKLLCAHKNPEVQRLALLAVGNLAFCLENRCLLVTSESLQDLLLHMTVSSEPRVNKAAARALAILGENENLRRAIRGRPVAKQGLRILSMDGGGMKGLATVRILKAIEKGTGKRIHELFDLICGTSTGGMLAVALGIKLMTLDQCEEIYKNLGKLVFAEPVPKDNEAATWREKLDQLYKSSSQSFRVVVHGSKHNADQFERLLKEMCADEDGDLLIESAVKNVPKVFVVSTLVSVLPAQPFVFRNYQYPVGTPEVPFAISESSGVHVLGSPTTGAQVGYKRSAFISSCKHHIWQAIRASSAAPYYLDDFSDDINRWQDGAIVANNPTIFAIREAQLLWPDTRIDCLVSIGCGSVPTKVRKGGWRYLDTGQVLIESACSVDRVEEALSTLLPMLPKIQYFRFNPVDERCGMELDETDPAIWLKLEAAVDEYVQNNSEALKNVSESLLFPYQHDDKFSEVMKSQQFSKAKVSNTDESSPSLGWRRMVLLVEALHSPDSGRVVHHARALESFCTRNAIRLSLMHATSGIARTVPTGTFPSPFASPLITGSFPSSPLLFSPDFGSQRIGRIDMVPPLSLDGAQSGKTALSPPMSPKHRRLSLPVRSLHEKLQNSPQVGLVHLALQNDSSGSILSWQNDVFVVAEPGDLADKFLQSVKFSLLSMNRSRHRKIASLLANISTVADLVHCKPYFQVGNVIHRYIGRQTQVMEDDQEIGAYMFRRTVPSMHLTPEDVRWMVGAWRDRIIICTGTYGPTQTLIKAFLDSGAKAVVCPSAEPLEMPVTLVHGSGEFNVLENGRFEIGEEEAEEEEEEAEPTSPVSDWEDSDAEKHGDRSIGFWDDDEEDLSQFICKLYDSLFQEGARVDAALQNALASHRRQRYSCHLPGIQ >Potri.001G170500.2.v4.1 pep chromosome:Pop_tri_v4:1:14639250:14641077:-1 gene:Potri.001G170500.v4.1 transcript:Potri.001G170500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170500.v4.1 MDRWEKPLRDDRYRHQRQNPSFSSTLLDVIYRSIDESGNGKGEEEQLIFYRETMRKKHEINHGFKGEEMTSLQRACMIEKWMEKKVSHEKVSVRRKSMADFDKKSRKDLDSVLLNSSSSSSESSCGGVFSSSESESIYGVNSSRSSTTSYTMQRPKPVRTSISARPEKYQRREDLHQTDTFQHHERNYAPNQKAKPEGSFVKTKSKALKIYGDLKKVKQPISPGRRLASFLNSLFTTGNAKKAKITTPGGSYEERKLKSEQASTCSSASSFSRSCLSKTPSSRGGKLSSNNGAKRSVRFYPVSVIVDEDCRPCGHKNLYGSDRQEMSSTLVAAAVTTATRNNCPTSDEELKLHVMNENRRIEEVARDLLKNYQKKKEEQFDHMSTDLCNDNTHQVCSEEEEEESDDDDDIASCASSDLFELDNLSVVGIERYREELPVYETTHLGTNRAIANGLFL >Potri.011G144400.3.v4.1 pep chromosome:Pop_tri_v4:11:17342612:17345269:1 gene:Potri.011G144400.v4.1 transcript:Potri.011G144400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144400.v4.1 MDPSVSTGENPDSNENNPQESESESNNSRSDALGKALSTMLANVIKDLDSKAQDTLNSQDKLNSAIDRLTRELDQLLEDAPLPFIMQHAAKISGVRKRVSSLNSVLKSIQKRVDNIDRLLSVGMLQGKTTMDSSSQH >Potri.011G048500.2.v4.1 pep chromosome:Pop_tri_v4:11:3875587:3878194:1 gene:Potri.011G048500.v4.1 transcript:Potri.011G048500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G048500.v4.1 MESSLPSTPILDSGESAERKNEAKNYNEVMYTLPKVKGLRGNDYYLYQGFWYSSFFLEGLMSVQEHFNPQSTDIFLTSSPKTGTTWLKALAFAILTRSRLSGSTTSSLLTKMPHDCVPFLEYHLAQNPSNRDLAIPLLSTHVPYSCLPKSIISSSCKIIYICRDAKDAFVSLWCFFPRIKCRKMLNLFLWKRPLRCFATEFQSWDHVLGYWRARFEFPEKILFLTYEEMKIDTAAHVKKLAEFMGCSFTFEEEEEGEVQKIISMCSFEKLSNFEVNKNGKHRPVRTHQLLFKIVYTLGKVR >Potri.015G013700.1.v4.1 pep chromosome:Pop_tri_v4:15:884078:889184:-1 gene:Potri.015G013700.v4.1 transcript:Potri.015G013700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013700.v4.1 MDYGRLGPTESDPGEGSGTSFNINEPQLATPRVSPTRKKRIIFLAIFSIALIAASAVSAVLLLGIRTKASGQPDPSSLTHRKPTQAISKTCSKTRFPNLCVSSLLDFPGSVSASESDLVHISFNMTLQHFSKALYLSSAISYVNMETRVRSAFDDCLELLDDSIDALSRSLSTVAPSHGGGTGGGSPADVVTWLSAALTNQDTCSEGFDGVNGAVKNQMTGRLKDLTELVSNCLAIFSSANGDDFSGVPVQNKRRLLTENEDISYEENFPRWLGRRDRKLLDVPVPAIHADIIVSGDGNGTCKTISEAIKKAPEYSTRRTVIYVRAGRYEENNLKVGRKKWNLMFIGDGKGKTIISGGKSVFNNLTTFHTASFAATGAGFIARDMTFENWAGPAKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSNRQFFRECDIYGTVDFIFGNAAVVFQNCSIYARKPMAFQKNTITAQNRKDPNQNTGISIHACRILATSDLTPLKGSFPTFLGRPWKLYSRTVYMLSYIGDHVHPRGWLEWNTTFALDTLYYGEYMNYGPGGAVGQRVKWPGYRVVTSTIEASKFTVAQFIYGSSWLPSTGVSFLAGLSL >Potri.015G013700.3.v4.1 pep chromosome:Pop_tri_v4:15:884172:889246:-1 gene:Potri.015G013700.v4.1 transcript:Potri.015G013700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013700.v4.1 MDYGRLGPTESDPGEGSGTSFNINEPQLATPRVSPTRKKRIIFLAIFSIALIAASAVSAVLLLGIRTKASGQPDPSSLTHRKPTQAISKTCSKTRFPNLCVSSLLDFPGSVSASESDLVHISFNMTLQHFSKALYLSSAISYVNMETRVRSAFDDCLELLDDSIDALSRSLSTVAPSHGGGTGGGSPADVVTWLSAALTNQDTCSEGFDGVNGAVKNQMTGRLKDLTELVSNCLAIFSSANGDDFSGVPVQNKRRLLTENEDISYEENFPRWLGRRDRKLLDVPVPAIHADIIVSGDGNGTCKTISEAIKKAPEYSTRRTVIYVRAGRYEENNLKVGRKKWNLMFIGDGKGKTIISGGKSVFNNLTTFHTASFAATGAGFIARDMTFENWAGPAKHQAVALRVGADHAVVYRCNIIGYQDTLYVHSNRQFFRECDIYGTVDFIFGNAAVVFQNCSIYARKPMAFQKNTITAQNRKDPNQNTGISIHACRILATSDLTPLKGSFPTFLGRPWKLYSRTVYMLSYIGDHVHPRGWLEWNTTFALDTLYYGEYMNYGPGGAVGQRVKWPGYRVVTSTIEASKFTVAQFIYGSSWLPSTGVSFLAGLSL >Potri.019G081250.1.v4.1 pep chromosome:Pop_tri_v4:19:12156021:12156845:-1 gene:Potri.019G081250.v4.1 transcript:Potri.019G081250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081250.v4.1 MAMIPSFFDNRRGTIFDPFTWEPFKGFSFPSSSLVSHDNSAFVKTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGERNVEKEDKNDTWHRIERSSGKFVRRFRLPENAKVDQVKASMENGVLTVTVPKEEVKKPDVKAIEISG >Potri.005G115700.1.v4.1 pep chromosome:Pop_tri_v4:5:8438171:8440017:-1 gene:Potri.005G115700.v4.1 transcript:Potri.005G115700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115700.v4.1 MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVSFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKANLMVGNVLPLRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRGMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAATASKADKA >Potri.001G343400.1.v4.1 pep chromosome:Pop_tri_v4:1:35408198:35411418:-1 gene:Potri.001G343400.v4.1 transcript:Potri.001G343400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343400.v4.1 MDEESPSSRKSNEIEHKVYARVGLLGNPSDVYYGRTISFSLANFWATVKLQPSHHLIITPHPTHDLVQFSGLDHLVNRLQSEGYYGGVRLLMSICKVFYNYCNENNIELSKENFTLSYDTNIPRQTGLSGSSAIVCAALNCLLDFYKVRHLVKVEIRPDLILSAEKELGIIAGLQDRVAQVYGGLVYMDFNKDHMEKLGHGVYTPMDTSLLPPLQLIYAENPSDSGKVHSTVQKRWLDGDEFIVSSMAEVADLALQGQTAILEKDYSKLADLMNRNFDLRRSMFGDDALGSLNIEMVEVARRVGAASKFTGSGGAVVVFCPDGPPQVKLLEDACKEAGFVIQPVKVVPSYLNEDDLKTLPG >Potri.018G138700.2.v4.1 pep chromosome:Pop_tri_v4:18:14405875:14409492:-1 gene:Potri.018G138700.v4.1 transcript:Potri.018G138700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138700.v4.1 MGICWSSSPDQKPNSLPIVPSNIDNLSAGDTFSVGDNSWLSVGSSNITAWVSQVSGSFTRIWGKNNGTHEDSRYLDAGSDEDFENGGISNIAVANLKVFSYAQLIAATNNFGRDMVVGRGGFGKVYKGWQKEMVPSMGIKKSAIAVKKLGTASRQGFQQWQAEVNVLGRLSHPNLVKLLGYCYENKQFLLVYEYLPNGSLNYHLFGKGSVQPLTWDIRFKIVIGVAQGLTYMHSPEVSVNHRDLKSSNILLDEFYNARIADFGLAALVPSADESQAETHLMGTYGYAAPEVYATGHRYAKSDVYSFGVVLVEMLTGLRAIDRRRPNEQQFLVSWVIPFLSSKRKLKSIMDTRLKGKYPLKEASLVARLAIRCLKVEPKIRPSMKEIAETLEHVEVRKYKTQTIHFQRINWGLQRGLWVMIMGYLLDFSRRRLSGSACRKLLWSC >Potri.001G380700.4.v4.1 pep chromosome:Pop_tri_v4:1:40096691:40099305:1 gene:Potri.001G380700.v4.1 transcript:Potri.001G380700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380700.v4.1 MSPSFKDIEDRVSKLIIKSEEKKKKKRELFFFASVCGSGALYLHYLTLDIFHIFSVLKQHINAAVAAVGSAEYTEEPATKARFQTSLSLPGCSTSLSLLGTGYTEKVFAVIGVKVYAAGIYVNPSILSTVSTWKGQSASEIQENSALFSSIFQAPLEKSLQIVDGKTFWDALDEAISPRIKEATSGDKSVLSTFRSIFQGRPHKKGTSIFLTWLDPSKMLVCVSSDGTPSSLDATIASENVSSALFDVFSWRCSSFSFLENLSS >Potri.002G066800.1.v4.1 pep chromosome:Pop_tri_v4:2:4613526:4618253:-1 gene:Potri.002G066800.v4.1 transcript:Potri.002G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G066800.v4.1 MAISSLSASTIPSLNTPNSTSNYSSKISSFSSLQFPVQPHRLQFRKRWITSPSRPPILPLVAAKKQTFSTLDELLEKSDKPVLVDFYATWCGPCQFMAPILNEVSAVLEDTIQVVKIDTEKYPSIADKYRIEALPTFIIFKDGKPYDRFEGALTKDQLIQRIENSLNVEQ >Potri.010G172900.2.v4.1 pep chromosome:Pop_tri_v4:10:17390150:17398329:1 gene:Potri.010G172900.v4.1 transcript:Potri.010G172900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172900.v4.1 MEAVLGILERVESAQVTATTIFIFLSWQKSYMEGDQSDHKKNVREDYRAEALPFAKSAVEEDPLSWRLSLDSFRIEKQDTDRGRHTWSSFLHTSSRKQRKVSEYYKKQERLLEGYNEMEAMTESGSFPGNPTEDEMKQLAKSERLAVHISNLANLLLFAAKVYASMESKSLAVIASTLDSLLDLLSGFILWFTSYAMKKPNHYHHYPIGKKRMQPVGIIVFASVMATLGLQILLESGRRLVLKKGPEMDKGQENWMIAIMVSVTVVKFLLMLYCRRFKNEIVRAYAQDHLFDVVTNSVGLITAVLAVRYYWWIDPTGAIIIALYTINTWTRTVIENVWSLIGRTAPPEFLAKLTYLIWNHHKEIKHIDTVRAYTFGNHYFAEVHIVLPEDMVLNQAHNIGEDLQEKLEQLPEVERAFVHIDFEFSHRPEHKSKF >Potri.010G172900.3.v4.1 pep chromosome:Pop_tri_v4:10:17393987:17398329:1 gene:Potri.010G172900.v4.1 transcript:Potri.010G172900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172900.v4.1 MEAMTESGSFPGNPTEDEMKQLAKSERLAVHISNLANLLLFAAKVYASMESKSLAVIASTLDSLLDLLSGFILWFTSYAMKKPNHYHHYPIGKKRMQPVGIIVFASVMATLGLQILLESGRRLVLKKGPEMDKGQENWMIAIMVSVTVVKFLLMLYCRRFKNEIVRAYAQDHLFDVVTNSVGLITAVLAVRYYWWIDPTGAIIIALYTINTWTRTVIENVWSLIGRTAPPEFLAKLTYLIWNHHKEIKHIDTVRAYTFGNHYFAEVHIVLPEDMVLNQAHNIGEDLQEKLEQLPEVERAFVHIDFEFSHRPEHKSKF >Potri.011G037600.1.v4.1 pep chromosome:Pop_tri_v4:11:2888378:2892284:-1 gene:Potri.011G037600.v4.1 transcript:Potri.011G037600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G037600.v4.1 MITMSRSPVIVFFFSLLFLAPSCHAATNTLTKGQSIKDGETLISVDENFELGFFSPGNSTSRYVGVRYSKIQDQAVIWVANRDKPISGTDGVLRIGEDGNLMVVDGNGSSVWSSNASFVSSNTTLMLDTTGNLILSSNDSIGDTDKAYWQSFNNPTDTYLPHMKVLIGSAEIHAFTSWKSTSDPSPGNFTMGVDPRGAPQIVVWEQSRRRWRSGHWNAQIFSGVPSMAASTTYRYGFKVTPGNDGKFYLTYNPSDPSELMKFQITWNGFEEQKRWNESTKAWQVMQSQPSEECEKYNHCGNFGVCTPSGSPNCRCLEGFQPRHPDQWRLGNWSGGCERRSPLQCQRNASNGGEDGFKAVRCTKLPDFADVYQLSSDDCKKWCQNNCSCKAYAHVTGIQCMIWNGDLTDVQNHMQSGNTLYMRLAYSELDHSRMSTYVIVLIVSAGLAFLAICIWLLWMLKKKLKATSASMSTNHELQVYDLSRSKEYTTDLSGPGDLVLEGSQVNGPDLPMFNFNFVAAATNNFSEENKLGQGGFGHVYKGKLPGGEEIAVKRLSKISGQGLQEFKNEIILIAKLQHRNLVRLLGCSIQGDEKMLIYEYMPNKSLDYFLFDPEKQGLLEWNKRFEIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEGMNPKISDFGMARIFGANQNEINTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFHMTDHVILIAYAWDLWSEGKAMEMVDPSIRDSCNENEVLRCIQLGMLCVQDSALHRPNMASVVLMLESSTTSIPLPREPTFTSVRASIDTETFMEAQEITSSNDLTVSMVAGR >Potri.005G030555.1.v4.1 pep chromosome:Pop_tri_v4:5:1983685:1984071:-1 gene:Potri.005G030555.v4.1 transcript:Potri.005G030555.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030555.v4.1 MMGSIWNSQFKALILEFVGNGNLEQHLYPESEGGNCRLTLSERLGIEIDIANALGVSSIGLLNSSVVHCDLKPQNVLLDDYMVARVADFGIGKVFFAAKPTEYSSTASGLRGSVGYIPSGMHLFTGLC >Potri.006G248200.1.v4.1 pep chromosome:Pop_tri_v4:6:24810407:24812967:-1 gene:Potri.006G248200.v4.1 transcript:Potri.006G248200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248200.v4.1 MDSCKEQEQQGFNQAKFVKVLGPIIVGAGPSGLAVAACLSQQGVPSLILEKNDCIASLWQQKTYDRLKLHLPKQFCELPLRGFPDDFPKYPTKGQFISYMESYASHFRIQPKFNQAVKTTEFDHGVWRVQTEDLEYHSRWLIVATGENAEPVIPDIVGYDKFKGNILHTSEYKSGSKFKNQRVLVVGCGNSGMEVSLDLCRHNAIPHMVVRNTVHVLPREMFGMSTFGIAMALLKWLPLRLVDKFLLLVANLILGNTEQLGLKRPKTGPIELKNVTGKTPVLDVGALSQIKSGKIKVMEGVKEVTKNGVKFMNGQEKKFESIILATGYKSNVPTWLKGCDFFTKDGMPKTPFPNGWKGENGLYTVGFTRRGLLGTASDAVKIAQDIGDQWKTIKGNDKSCNSHVIILGKPW >Potri.001G002800.1.v4.1 pep chromosome:Pop_tri_v4:1:176220:179626:-1 gene:Potri.001G002800.v4.1 transcript:Potri.001G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002800.v4.1 MFSSLDHDSIMILVAIPCLLLLYFAIKTLKERLFPNPHLPPGSLGWPLVGETLQFLPINLPPEIFVNYRMKKYDSPVFKTSLFGETVAVFVGPAGNKFLFSNENKLVNVWWPTSVKKLMKLSLANVVGDEAKRLRKILMTSVDRDALKSYIDRMDLVAQNHIRTRWEGKQQVKVHPTANLYTFELSCRLFASIDDPIHISKLAHHFDIFLKGVIHFPIYIPGTTFYRASKSGDALKEEIRLVARQRRAALDKKMESHRKDLLSHLLVTADESGKLLSESEIVDNMLMLLFVSHETTTSAMTCVIKYLAEMPEVYEMVLREQLDIAKSKEAGELLKWEDIQKMKYSWRVVSEVLRMIPPISGTFRQAIVDFTYAGYTIPKGWKLYWSPNTTTKDPAHFPNAEDFDPSRYEGAGPAPYTHVPFGGGPRMCLGYEYVRPKILVFLHNIVKRFKWDLLIPDEKVPYNPLPAPSHGLPIRIRPHQSSA >Potri.001G135900.1.v4.1 pep chromosome:Pop_tri_v4:1:11050775:11056095:-1 gene:Potri.001G135900.v4.1 transcript:Potri.001G135900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135900.v4.1 MGYEDDPYRDVDGEPLMDYDEMQSDGGGQSPEPHQNHILDELEDDIENWERGRSQTPVYDTDKVGKPRKRLVKKGGDSGKESGSGSYVQPELVDDYEEDYVGFEKEESAGEGKYAKKFAKDKDRTKFSKGENKFGGKGSGSKSGLKKGTATKLVAGKDDGEVKEMWDTIAGGDSEDDQEGARTVDDDNFIDDSGVDPAYQYGNDNEPHSPTDAPQAEEGEEDEEIKQLFKMGKRRKKNEKSPAEIALLVENVMAELEVTAEEDADLNRQGKPAVNKLKKLPLLTEVLSKKQLQQEFIDHGVLTLLKNWLEPLPDGSLPNINIRAAILRILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNVDDDRAFRRPTVRRPANNSTGMESRDGDLDLDISRERRSSQSSDRQHASRPDATPLDFVVRPQSRIDPEEVRACAKQVVQDQRRLKMNKKLQQLKGPKKKQLQATKLSVEGRGMVKYL >Potri.001G135900.2.v4.1 pep chromosome:Pop_tri_v4:1:11050975:11056045:-1 gene:Potri.001G135900.v4.1 transcript:Potri.001G135900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135900.v4.1 MDYDEMQSDGGGQSPEPHQNHILDELEDDIENWERGRSQTPVYDTDKVGKPRKRLVKKGGDSGKESGSGSYVQPELVDDYEEDYVGFEKEESAGEGKYAKKFAKDKDRTKFSKGENKFGGKGSGSKSGLKKGTATKLVAGKDDGEVKEMWDTIAGGDSEDDQEGARTVDDDNFIDDSGVDPAYQYGNDNEPHSPTDAPQAEEGEEDEEIKQLFKMGKRRKKNEKSPAEIALLVENVMAELEVTAEEDADLNRQGKPAVNKLKKLPLLTEVLSKKQLQQEFIDHGVLTLLKNWLEPLPDGSLPNINIRAAILRILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNVDDDRAFRRPTVRRPANNSTGMESRDGDLDLDISRERRSSQSSDRQHASRPDATPLDFVVRPQSRIDPEEVRACAKQVVQDQRRLKMNKKLQQLKGPKKKQLQATKLSVEGRGMVKYL >Potri.005G032000.1.v4.1 pep chromosome:Pop_tri_v4:5:2114556:2114984:-1 gene:Potri.005G032000.v4.1 transcript:Potri.005G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032000.v4.1 MKHDVFISFRGTDTRYSFTSHLYDALQRKQIDAYIDDKLDGGEKIEPAILEGIEESFISVVIFSENYADSTFCLRELSKILECMETKQQMVLPVFYRLDPCQVQNLTGSYGDALCKHEKDCGSKEVESWRHALKEIANLKHY >Potri.004G194400.1.v4.1 pep chromosome:Pop_tri_v4:4:20767701:20770885:1 gene:Potri.004G194400.v4.1 transcript:Potri.004G194400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194400.v4.1 MENLQSHRAEMIGIAVALVAIGASTAFYFYITKKPKGCLDPENFKEFKLVKRTELSHNVAKFKFALPKPNSVLGLPIGQHMSCRGKDSAGEEVIKPYTPTTLDSDLGCFELVIKMYPQGRMSHHFREMREGDYLAVKGPKGRFKYQPNQVRAFGMIAGGTGITPMFQVTRAILENPNDKTNIHLIYANVTYEDILLKEEIDNLATTFPNRFKVYYVLNQPPEGWEGGIGFISKEMIQSHCPPPAADVQILRCGPPPMNKAMASNLNDLGYAAQMQFQF >Potri.004G053100.1.v4.1 pep chromosome:Pop_tri_v4:4:4327380:4330750:1 gene:Potri.004G053100.v4.1 transcript:Potri.004G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G053100.v4.1 MISLLRTKLLLSSSPHKAPIFTVMDSYGSQRLVALAQQLRFYKPPSLSPDEIEEQNIEESAGKVVPQVGFQESATSIVKDPERLRPNRAAVLVCIFEGDAGDFRVILTKRSSKLSTHSGEVSLPGGKADESDKDDFETATREAKEEIGLDPSLVNVVTVLEPFLSKHLLRVIPVIGILTNKKAFKPTPNPAEVEAVFDAPLEMFIKDENRRVEEREWMGEKYLIHFFDYETGNKKYLIWGLTAGILIKAASVVYQRPPAFVEQNPRFKFPKGASNDTVVR >Potri.003G202500.2.v4.1 pep chromosome:Pop_tri_v4:3:20221979:20225583:1 gene:Potri.003G202500.v4.1 transcript:Potri.003G202500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202500.v4.1 MATLISGRTTLNPNAPLFIPNVYRQVEDFSPEWWELVKTSTWFRDFWLSQHPEESFDGSAGADDDDLTDLLPEDLDVGVEEEFPNLEAQFEEMVKLAEAEEKTDSSAADPKVERKPPNGLIMDVKTLLKDMNIPKSPKERSPRSPRTLAKYQMKPPHCVVAKRTALYIHQPR >Potri.007G065200.1.v4.1 pep chromosome:Pop_tri_v4:7:8158035:8159688:1 gene:Potri.007G065200.v4.1 transcript:Potri.007G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065200.v4.1 MASACVNNLGMSPENFPPKTYQSYGWLSPRISFSREEDSNNTTTSKTTTTKSSSSSAPLQSLDPLESLDSGDFEFRLDNSITMLPADELFSDGKLMPLQVNTGNIRPSLSSSTSTLTEGIRSPEPGREPVKCCRRLEMEISGADPYLFSPKAPRCSSRWKEFLGLKKLHQNPKSEKSTTSALLFSSSSSSNSKSLKHFLHRNSKTCSCNTSSSNSSFSYTILDHSLSLPLLRDLDRESLSISSRLSLSSSSSSHEHEDLPRLSLDSDKPNTGLNTMQNPGPNPFILNRNPNQNQNQNPPRMRMVKPRSENGNSNNGTSSTRVGRSPMRRSAGESSEVSISRGVSVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRYKQRGMERSYSANVRVTPVLNVPVCSLRGSSKSGSVFGFGQLFSSSPQKRDGINKGYQQQQQQNINSSSGRNRSDRV >Potri.014G155100.1.v4.1 pep chromosome:Pop_tri_v4:14:10914052:10917535:-1 gene:Potri.014G155100.v4.1 transcript:Potri.014G155100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G155100.v4.1 MAKGRGVLSIDSDLISTTSAFFLHKPTVLNSFPDQENIVNPKWKQLATTGRQTMDATSARSPPTTIQFPVKLINTNDARDDDDDEDDDDDDSSFPSDNRRRTVIDEMDFFARKKHDDGYPITNNSTDDLKDSGSPTGLELNVNTGLNLLTTNTSSDQSMVDDGISSNMEDKRAKSELAVLQAEVERMKVENLRLKDMLNQVTSNYNALQMHLVTLTQDQKSHHKNEKLDGKNKNNGMVPRQFMDLGLVAAAAAGDTDDLSLSTSEGGRSRDRSRSPGNNVENNNEDGALVFDQDKKGFARGADHREDSPGQGWASNKVARLNSAKTIDQTEATIRKARVSVRARSEDAMISDGCQWRKYGQKLAKGNPCPRAYYRCTMAAGCPVRKQVQRCAEDRTILITTYEGNHNHPLPPAAMAMASTTSSAARMLLSGSMSSADGLLNSNFLTRTLLPCSSNLATISASAPFPTVTLDLTQNPNPLQLPKQPTQFQFPFPNAPQDPANASATALLPQIFGQALYNQSKFSGLQMSQHMEPNRLGQQSQPASQQNPLADSLAAATAAIAADPNFTAALAAAITSIIGGAHQNNVNSTNNAQTTTSNSNGNITISNSNSNGHNKTSNSSFPGN >Potri.010G090000.1.v4.1 pep chromosome:Pop_tri_v4:10:11506630:11509683:-1 gene:Potri.010G090000.v4.1 transcript:Potri.010G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090000.v4.1 MTDSEKHDQDFPSKFTVYVVICWILAAFGGFMFGYDIGISGGVTAMDDFLIKFFPTVHERKLHAKENNYCKYNDQYLQLFTSSLYLAALVSSFGASKACTKFGRKPTILVASVFFLFGAAVSSSAQNIWMLIIGRILLGFGVGFGNEAIPLFLSEIAPVERRGAVNILFQFFVTVGIFFANLVNYGTSKMHPYGWRVSLGLAGVPAVFLFIGSIVITETPTSLIERGNETAGQSTLRKIRGVDNVNSEFEQIKAASEIARQVRHPYKKLMKRSSMPPLIIGILLQVFQQLTGINAIMFYAPVLFQTVGFKNDASLLSAVITGIVNVLSTLVSIFYVDKVGRRVLLLQACVQMLISQTAIGGILLAFLTTTGSLTKLQAAFVVVLVCMFVMSFAWSWGPLGWLIPSETFPQETRTAGFAFAVSSNMLFTFLIAQAFLSMLCHMRAFIFFFFAGWILKMGLFVYFLLPETKNVPIDLMVERVWKQHPVWKRFMD >Potri.010G090000.2.v4.1 pep chromosome:Pop_tri_v4:10:11506630:11508732:-1 gene:Potri.010G090000.v4.1 transcript:Potri.010G090000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G090000.v4.1 MDDFLIKFFPTVHERKLHAKENNYCKYNDQYLQLFTSSLYLAALVSSFGASKACTKFGRKPTILVASVFFLFGAAVSSSAQNIWMLIIGRILLGFGVGFGNEAIPLFLSEIAPVERRGAVNILFQFFVTVGIFFANLVNYGTSKMHPYGWRVSLGLAGVPAVFLFIGSIVITETPTSLIERGNETAGQSTLRKIRGVDNVNSEFEQIKAASEIARQVRHPYKKLMKRSSMPPLIIGILLQVFQQLTGINAIMFYAPVLFQTVGFKNDASLLSAVITGIVNVLSTLVSIFYVDKVGRRVLLLQACVQMLISQTAIGGILLAFLTTTGSLTKLQAAFVVVLVCMFVMSFAWSWGPLGWLIPSETFPQETRTAGFAFAVSSNMLFTFLIAQAFLSMLCHMRAFIFFFFAGWILKMGLFVYFLLPETKNVPIDLMVERVWKQHPVWKRFMD >Potri.001G320400.2.v4.1 pep chromosome:Pop_tri_v4:1:33011953:33015999:-1 gene:Potri.001G320400.v4.1 transcript:Potri.001G320400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320400.v4.1 MDPVTAWGNTSLESVDPEIHDLIEKEKRRQCRGIELIASENFTSFAVIEALGSALTNKYSEGMPGNRYYGGNEYIDQIENLCRSRALEAFHLDPTKWGVNVQPYSGSPANFAAYTAVLQPHDRIMGLDLPSGGHLTHGYYTSGGKKISATSIYFESLPYKVNSQSGYIDYDKLEEKALDFRPKLIICGGSAYPRDWDYKKFRSVADKCGALLLCDMAHISGLVAAQEAANPFEYCDIVTTTTHKSLRGPRAGMIFYRKGPKPPKKGQPENAVYDFEDKINFAVFPSLQGGPHNHQIGALAVALKQVQTPGFKAYAKQVKANAVALGNYLMGQGYKLVTEGTENHLVLWDLRPLGLTGNKVEKLCDLANITVNKNAVFGDSSALAPGGVRIGTPAMTSRGLVEKDFEQIGEFLHRAVTITLSIQKEYGKLLKDFNKGLVNNKDIEALKADVEKFSGSFDMPGFQMSEMKYKD >Potri.010G194100.1.v4.1 pep chromosome:Pop_tri_v4:10:18867881:18871644:-1 gene:Potri.010G194100.v4.1 transcript:Potri.010G194100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194100.v4.1 MKSTSRFFTIGLVSSWYCSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKMVPMQTIRSKTQFIKISALSLVFCVSVVFGNISLRFLPVSFNQAIGATTPFFTAVFAYLMTLKREAWLTYVTLIPVVTGVVIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVFLLPATLIMEENVVGITLALARDDVKIIWYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYSLTVFGVVLYSEAKKRSK >Potri.004G025650.3.v4.1 pep chromosome:Pop_tri_v4:4:1924931:1932778:1 gene:Potri.004G025650.v4.1 transcript:Potri.004G025650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025650.v4.1 MNSLKYYVILLSLLTLAIITLAQEDANYLHHNCQNASTSAINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDLSNTVCRNCVTFATEDIVHRCPIGIASIVYYDECILRYSNVNIFSKVDQSPSFSFSLLNTQNITTEPQRFNNLVGAAANDLAARAASAPPGAKKFAVNKTSFNAFQNIYSLAQCTPDLSSSDCNRCLSAAIAGLPNCCSSKIGGRVLFPSCYIHYEITEFYNATAVAAESPPPPPPPVVLPSPPPPRSVTIPEDPERQGLLDWSRRYKIIRGIALGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQSQASTIRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAADLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIVLTLNSNSVTLPSPQEPAFFIQPENKN >Potri.004G025650.2.v4.1 pep chromosome:Pop_tri_v4:4:1924931:1932777:1 gene:Potri.004G025650.v4.1 transcript:Potri.004G025650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025650.v4.1 MNSLKYYVILLSLLTLAIITLAQEDANYLHHNCQNASTSAINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDLSNTVCRNCVTFATEDIVHRCPIGIASIVYYDECILRYSNVNIFSKVDQSPSFSFSLLNTQNITTEPQRFNNLVGAAANDLAARAASAPPGAKKFAVNKTSFNAFQNIYSLAQCTPDLSSSDCNRCLSAAIAGLPNCCSSKIGGRVLFPSCYIHYEITEFYNATAVAAESPPPPPPPVVLPSPPPPRSVTIPEVGDEITTEESLQFDLSTIEAATNNFSADNKLGEGGFGEVYRGTLPNGQQIAVKRLPRNSGQGAAEFKNEVVLVAKLQHRNLARVQGFCLEGEENIIVYEFVCNKSLDYFLFDPERQGLLDWSRRYKIIRGIALGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQSQASTIRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAADLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIVLTLNSNSVTLPSPQEPAFFIQPENKN >Potri.004G025650.4.v4.1 pep chromosome:Pop_tri_v4:4:1924931:1932777:1 gene:Potri.004G025650.v4.1 transcript:Potri.004G025650.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025650.v4.1 MNSLKYYVILLSLLTLAIITLAQEDANYLHHNCQNASTSAINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDLSNTVCRNCVTFATEDIVHRCPIGIASIVYYDECILRYSNVNIFSKVDQSPSFSFSLLNTQNITTEPQRFNNLVGAAANDLAARAASAPPAIAGLPNCCSSKIGGRVLFPSCYIHYEITEFYNATAVAAESPPPPPPPVVLPSPPPPRSVTIPEEKGGVPTVLIMAIVIPFAVSIALFCMCFCFLRRARKTRDYVPENDVGDEITTEESLQFDLSTIEAATNNFSADNKLGEGGFGEVYRGTLPNGQQIAVKRLPRNSGQGAAEFKNEVVLVAKLQHRNLARVQGFCLEGEENIIVYEFVCNKSLDYFLFDPERQGLLDWSRRYKIIRGIALGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQSQASTIRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAADLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIVLTLNSNSVTLPSPQEPAFFIQPENKN >Potri.004G025650.1.v4.1 pep chromosome:Pop_tri_v4:4:1924931:1932777:1 gene:Potri.004G025650.v4.1 transcript:Potri.004G025650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025650.v4.1 MNSLKYYVILLSLLTLAIITLAQEDANYLHHNCQNASTSAINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDLSNTVCRNCVTFATEDIVHRCPIGIASIVYYDECILRYSNVNIFSKVDQSPSFSFSLLNTQNITTEPQRFNNLVGAAANDLAARAASAPPGAKKFAVNKTSFNAFQNIYSLAQCTPDLSSSDCNRCLSAAIAGLPNCCSSKIGGRVLFPSCYIHYEITEFYNATAVAAESPPPPPPPVVLPSPPPPRSVTIPEEKGGVPTVLIMAIVIPFAVSIALFCMCFCFLRRARKTRDYVPENDVGDEITTEESLQFDLSTIEAATNNFSADNKLGEGGFGEVYRGTLPNGQQIAVKRLPRNSGQGAAEFKNEVVLVAKLQHRNLARVQGFCLEGEENIIVYEFVCNKSLDYFLFDPERQGLLDWSRRYKIIRGIALGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQSQASTIRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAADLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIVLTLNSNSVTLPSPQEPAFFIQPENKN >Potri.004G025650.5.v4.1 pep chromosome:Pop_tri_v4:4:1924931:1932777:1 gene:Potri.004G025650.v4.1 transcript:Potri.004G025650.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G025650.v4.1 MNSLKYYVILLSLLTLAIITLAQEDANYLHHNCQNASTSAINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDLSNTVCRNCVTFATEDIVHRCPIGIASIVYYDECILRYSNVNIFSKVDQSPSFSFSLLNTQNITTEPQRFNNLVGAAANDLAARAASAPPAIAGLPNCCSSKIGGRVLFPSCYIHYEITEFYNATAVAAESPPPPPPPVVLPSPPPPRSVTIPEVGDEITTEESLQFDLSTIEAATNNFSADNKLGEGGFGEVYRGTLPNGQQIAVKRLPRNSGQGAAEFKNEVVLVAKLQHRNLARVQGFCLEGEENIIVYEFVCNKSLDYFLFDPERQGLLDWSRRYKIIRGIALGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQSQASTIRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAADLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIVLTLNSNSVTLPSPQEPAFFIQPENKN >Potri.015G116450.1.v4.1 pep chromosome:Pop_tri_v4:15:13097444:13103014:-1 gene:Potri.015G116450.v4.1 transcript:Potri.015G116450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116450.v4.1 MVATVALGNLARSQGDSSGDGSKKANNSIQPFWAPFLLLHLGGPDTVTAYSIEDNELWLRHLLGVILSCRFISFTYNSRTQDLTTQGVKMLCDYASSLENNLLEENIQTSASSSRLTFSSNAGALPAPSSEPSTPALIGSQEVQRPPRNAASPSSQQRSNGISGVAELASGLGISKGIHTEEETRGNALTSALYSLIRKLTRSERWSRSIAQYNLISSSIESKPPKCLEFLGIDEMMRQMNVNRKDMNGGLQDFIFGHLQKNSLKIKEDFNFIDKNFRRKIIGQRGDGVLEREGLLQDLKWSTTEVEFSRSILVWHLATEICYCVDKDANNVSSEYKTSRCLSEYMMYFLVMRPDTLSQGIGDEGYLHSLRDLDSIISKEEAPTKSPATSREEVVDAILFYYESYVIDDIRFQFRWKETKSAVAGGDRLAKQLRLLGFKKRWEIIEEVWMEILAYAAAHCPWKEHAQQLRRGGELLTHVCFLMLHLEYNSFKDYTIH >Potri.010G241400.2.v4.1 pep chromosome:Pop_tri_v4:10:21899710:21900664:1 gene:Potri.010G241400.v4.1 transcript:Potri.010G241400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241400.v4.1 MLEPGPPSRPCFQWHDFFHEEIGVRCEEKERNGRCFPIMAGEGKSPNLDLSLRLSLPGLQHQQDEASKRVGISSSQRSCMIIDMNSMNRTDITKVPSLVLMGCALCLIYVMVSEVDPKCPQCKRTVLVDVFRHRPAKKPRRC >Potri.007G024300.1.v4.1 pep chromosome:Pop_tri_v4:7:1869339:1874418:1 gene:Potri.007G024300.v4.1 transcript:Potri.007G024300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024300.v4.1 MSQRDDAEEARDQLRKPLLQTGSWYRMSSRQSSIMSSSAQMLRDGSVSVVLCVLIVALGPIQFGFTCGYSSPTQAEIISDLKLSISEFSMFGSLSNVGAMIGALVSGQLAEYIGRKGSLVVAAVPNIIGWLSISFAVDSSFLFMGRLLEGFGVGIISYTVPVYIAEIAPQDMRGSLGSVNQLSVTIGILLSYLLGLFVNWRVLAVLGCFPCALLILGLFFIPESPRWLAKMGMTEDFEASLQVLRGYDTDITAEVNEIKRAVASSSKRTTIRFADLKRRRYWFPLMVGIGLLVLQQFSGINGIFFYSSNIFANAGISSSNLATCGLGAIQVIATGISSWLMDKAGRRLLLIISTTGVTLSLLLVAIAFYLQGILPQDSDLYHIMGIVSLGGLVAVVIFFSVGLGAIPWIIMSEILPVNIKGIAGSVATLANWLASWLVTMTANLLMSWSSAGTFTIYTVVSAFTVIFVSLWVPETKGRTLEEIQLSFR >Potri.006G201000.7.v4.1 pep chromosome:Pop_tri_v4:6:20836045:20841262:-1 gene:Potri.006G201000.v4.1 transcript:Potri.006G201000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201000.v4.1 MGKSGKISQYRERLDQTLASPELTNLDALKTLIRNQLVHSSLDETEGCSDNLIENRTKHVSSFLDMLRSASVSENEVSRTSETSHGGWKIKEDHEEFRVMYRPGPEGTPFHSLLVEGYVDGTIDTCLCVSWEATLYRKWWPQYSFPPFKITICECLQKIRISEQISLVRVKVTWPMTAREAVVHYVLFEHLQDGLVVVIISTISDLEGIDKNTHGFSKDGIPEAKDVVRIDMMGGFAIQKVTPGRSYFRTIANMDLKLDFVPPSLMNFITRQLVGNGFTLYQKAVASVSNHDEDYRKALEAPMYARIREALYSTENANEAMEWKEPKADACLLQQEHSAEDIKENLGDVELNILGDNDASEASPENAQVVVNKSFGEIKEENNQESRHLKDESLGVMELNSHGDDGASEAFPDNAPLMDNKSFGDIKEEKNPESRHLNDGMRGMEQRVHCNDHGNESWRNIALATGRKTFIEIEEEESEDSRRLMRDCRVIGQTSSKNISRKSPGNCTRNIRISSDVGRALETLEKAISVVREYGNSLTRSFSRKTNEENKNLEKDAENDPTHLQDSGGRSNAEVSVEASNKGKRVGRSSSSNSFSNREIRRAGSRETSHNKITPASPDQYISIPSETHHVALYSSENGKDETTEVRAMDLTTQGDKQMSLEVNGIHENVFIEGKKLTRQTNYRYCCFGSTYE >Potri.006G201000.5.v4.1 pep chromosome:Pop_tri_v4:6:20836095:20842044:-1 gene:Potri.006G201000.v4.1 transcript:Potri.006G201000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201000.v4.1 MGKSGKISQYRERLDQTLASPELTNLDALKTLIRNQLVHSSLDETEGCSDNLIENRTKHVSSFLDMLRSASVSENEVSRTSETSHGGWKIKEDHEEFRVMYRPGPEGTPFHSLLVEGYVDGTIDTCLCVSWEATLYRKWWPQYSFPPFKITICECLQKIRISEQISLVRVKVTWPMTAREAVVHYVLFEHLQDGLVVVIISTISDLEGIDKNTHGFSKDGIPEAKDVVRIDMMGGFAIQKVTPGRSYFRTIANMDLKLDFVPPSLMNFITRQLVGNGFTLYQKAVASVSNHDEDYRKALEAPMYARIREALYSTENANEAMEWKEPKADACLLQQEHSAEDIKENLGDVELNILGDNDASEASPENAQVVVNKSFGEIKEENNQESRHLKDESLGVMELNSHGDDGASEAFPDNAPLMDNKSFGDIKEEKNPESRHLNDGMRGMEQRVHCNDHGNESWRNIALATGRKTFIEIEEEESEDSRRLMRDCRVIGQTSSKNISRKSPGNCTRNIRISSDVGRALETLEKAISVVREYGNSLTRSFSRKTNEENKNLEKDAENDPTHLQDSGGRSNAEVSVEASNKGKRVGRSSSSNSFSNREIRRAGSRETSHNKITPASPDQYISIPSETHHVALYSSENGKDETTEVRAMDLTTQGDKQMSLEVNGIHENVFIEGKKLTRQTNYRYCCFGSTYE >Potri.006G201000.6.v4.1 pep chromosome:Pop_tri_v4:6:20836030:20842044:-1 gene:Potri.006G201000.v4.1 transcript:Potri.006G201000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201000.v4.1 MGKSGKISQYRERLDQTLASPELTNLDALKTLIRNQLVHSSLDETEGCSDNLIENRTKHVSSFLDMLRSASVSENEVSRTSETSHGGWKIKEDHEEFRVMYRPGPEGTPFHSLLVEGYVDGTIDTCLCVSWEATLYRKWWPQYSFPPFKITICECLQKIRISEQISLVRVKVTWPMTAREAVVHYVLFEHLQDGLVVVIISTISDLEGIDKNTHGFSKDGIPEAKDVVRIDMMGGFAIQKVTPGRSYFRTIANMDLKLDFVPPSLMNFITRQLVGNGFTLYQKAVASVSNHDEDYRKALEAPMYARIREALYSTENANEAMEWKEPKADACLLQQEHSAEDIKENLGDVELNILGDNDASEASPENAQVVVNKSFGEIKEENNQESRHLKDESLGVMELNSHGDDGASEAFPDNAPLMDNKSFGDIKEEKNPESRHLNDGMRGMEQRVHCNDHGNESWRNIALATGRKTFIEIEEEESEDSRRLMRDCRVIGQTSSKNISRKSPGNCTRNIRISSDVGRALETLEKAISVVREYGNSLTRSFSRKTNEENKNLEKDAENDPTHLQDSGGRSNAEVSVEASNKGKRVGRSSSSNSFSNREIRRAGSRETSHNKITPASPDQYISIPSETHHVALYSSENGKDETTEVRAMDLTTQGDKQMSLEVNGIHENVFIEGKKLTRQTNYRYCCFGSTYE >Potri.006G201000.8.v4.1 pep chromosome:Pop_tri_v4:6:20836058:20842044:-1 gene:Potri.006G201000.v4.1 transcript:Potri.006G201000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201000.v4.1 MTAREAVVHYVLFEHLQDGLVVVIISTISDLEGIDKNTHGFSKDGIPEAKDVVRIDMMGGFAIQKVTPGRSYFRTIANMDLKLDFVPPSLMNFITRQLVGNGFTLYQKAVASVSNHDEDYRKALEAPMYARIREALYSTENANEAMEWKEPKADACLLQQEHSAEDIKENLGDVELNILGDNDASEASPENAQVVVNKSFGEIKEENNQESRHLKDESLGVMELNSHGDDGASEAFPDNAPLMDNKSFGDIKEEKNPESRHLNDGMRGMEQRVHCNDHGNESWRNIALATGRKTFIEIEEEESEDSRRLMRDCRVIGQTSSKNISRKSPGNCTRNIRISSDVGRALETLEKAISVVREYGNSLTRSFSRKTNEENKNLEKDAENDPTHLQDSGGRSNAEVSVEASNKGKRVGRSSSSNSFSNREIRRAGSRETSHNKITPASPDQYISIPSETHHVALYSSENGKDETTEVRAMDLTTQGDKQMSLEVNGIHENVFIEGKKLTRQTNYRYCCFGSTYE >Potri.010G053400.3.v4.1 pep chromosome:Pop_tri_v4:10:8481987:8483460:-1 gene:Potri.010G053400.v4.1 transcript:Potri.010G053400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053400.v4.1 MIKMSHALSNLSLSLPLPSMKGASSRNLHGIAFSYNHNHKSNNNMKAMAAESRENLDHLQRARKNPQQSQHKKRVAPAAPIGLWDRFPTARTVQQMMETMERVMEDPFVYSGRWPSPLPVEGSGYSRGRTPWEIKEGEDEYKMRFDMPGMTKEDVKVWVEEKMLVVKAEKVPQKTVNGVENGEEEEGEWSAKSYGRYSSRIALPENIQFEKITAEVKDGVLYITIPKASATAKIHDINVQ >Potri.001G145066.1.v4.1 pep chromosome:Pop_tri_v4:1:11943773:11945350:-1 gene:Potri.001G145066.v4.1 transcript:Potri.001G145066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145066.v4.1 MDNKAACEEISQELARESLIGISYCLPDKVQNSEGVPQSVNDEEKLPVINGDGAEKYRSELISISDIQSPDTATSPVASENHEG >Potri.014G086600.1.v4.1 pep chromosome:Pop_tri_v4:14:5591587:5594688:-1 gene:Potri.014G086600.v4.1 transcript:Potri.014G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G086600.v4.1 MSSPSKRREMDLMKLMMSDYKVELINDGMQEFYVHFNGPNESPYHGGVWRIKVELPDAYPYRSPSIGFVNKIYHPNVDEMSGSVCLDVINQTWSPMFDLVNVFEVFIPQLLLYPNPSDPLNGEAAALMMRDKPAYELRVKEYCEKYAKPEDIGAAPEENSSDEELSEDEYESLDDEMAGQADP >Potri.014G167000.3.v4.1 pep chromosome:Pop_tri_v4:14:12125635:12129316:-1 gene:Potri.014G167000.v4.1 transcript:Potri.014G167000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167000.v4.1 MKPSNNIWIRRQQCPCGDWKCYIKYEGDDQTSASSQLGRSETTSTELVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQHLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSIRCGCDAKLYLTKEIVDGLAQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPINRIVKVLELEKGVQPGHFPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKSVAERDPDFAYDYTTDENQKVENIAWLYGDSVRAYTLYGDVVTFDTTYRSITYGLLLGVWFGMDNHGKAILYGCVLLQDESSHSFTWALQTFVRFIKGRHPQTIITDMELALRDAIARELPNTKHVVCIWHILSKLSSWLSFPLGSRFEDFKAEFDLLCHEENVEDFEHQWNLLVARFELVTDKHMALLFSYRGFWSISYIRGSFLARTMAPEFSQSLHTFLKRILGGQTCLQASFEQIGLAATVGNQTRDGTPYMHIKTCLPIEEHARSVLTPYAFNVLQHEIVLSLQYAIQEMADGSYLVQHIKKMDGERFVNWMPEDEQIHCSCKEFEHSGILCRHSLRLLEVKNYFQLPERYFPLRWRRDQSLVPMDDQNAQSNNDECAQAFHALAEALLTESLISKERFNHVQREITGLLAEVRSMPVAEELSLNIPPNNVSET >Potri.014G167000.2.v4.1 pep chromosome:Pop_tri_v4:14:12125634:12129321:-1 gene:Potri.014G167000.v4.1 transcript:Potri.014G167000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167000.v4.1 MKPSNNIWIRRQQCPCGDWKCYIKYEGDDQTSASSQLGRSETTSTELVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQHLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSIRCGCDAKLYLTKEIVDGLAQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPINRIVKVLELEKGVQPGHFPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKSVAERDPDFAYDYTTDENQKVENIAWLYGDSVRAYTLYGDVVTFDTTYRSITYGLLLGVWFGMDNHGKAILYGCVLLQDESSHSFTWALQTFVRFIKGRHPQTIITDMELALRDAIARELPNTKHVVCIWHILSKLSSWLSFPLGSRFEDFKAEFDLLCHEENVEDFEHQWNLLVARFELVTDKHMALLFSYRGFWSISYIRGSFLARTMAPEFSQSLHTFLKRILGGQTCLQASFEQIGLAATVGNQTRDGTPYMHIKTCLPIEEHARSVLTPYAFNVLQHEIVLSLQYAIQEMADGSYLVQHIKKMDGERFVNWMPEDEQIHCSCKEFEHSGILCRHSLRLLEVKNYFQLPERYFPLRWRRDQSLVPMDDQNAQSNNDECAQAFHALAEALLTESLISKERFNHVQREITGLLAEVRSMPVAEELSLNIPPNNVSET >Potri.014G167000.6.v4.1 pep chromosome:Pop_tri_v4:14:12125761:12129297:-1 gene:Potri.014G167000.v4.1 transcript:Potri.014G167000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167000.v4.1 MKPSNNIWIRRQQCPCGDWKCYIKYEGDDQTSASSQLGRSETTSTELVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQHLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSIRCGCDAKLYLTKEIVDGLAQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPINRIVKVLELEKGVQPGHFPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKSVAERDPDFAYDYTTDENQKVENIAWLYGDSVRAYTLYGDVVTFDTTYRSITYGLLLGVWFGMDNHGKAILYGCVLLQDESSHSFTWALQVCGKEFM >Potri.014G167000.1.v4.1 pep chromosome:Pop_tri_v4:14:12125692:12129277:-1 gene:Potri.014G167000.v4.1 transcript:Potri.014G167000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167000.v4.1 MKPSNNIWIRRQQCPCGDWKCYIKYEGDDQTSASSQLGRSETTSTELVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQHLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSIRCGCDAKLYLTKEIVDGLAQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPINRIVKVLELEKGVQPGHFPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKSVAERDPDFAYDYTTDENQKVENIAWLYGDSVRAYTLYGDVVTFDTTYRSITYGLLLGVWFGMDNHGKAILYGCVLLQDESSHSFTWALQTFVRFIKGRHPQTIITDMELALRDAIARELPNTKHVVCIWHILSKLSSWLSFPLGSRFEDFKAEFDLLCHEENVEDFEHQWNLLVARFELVTDKHMALLFSYRGFWSISYIRGSFLARTMAPEFSQSLHTFLKRILGGQTCLQASFEQIGLAATVGNQTRDGTPYMHIKTCLPIEEHARSVLTPYAFNVLQHEIVLSLQYAIQEMADGSYLVQHIKKMDGERFVNWMPEDEQIHCSCKEFEHSGILCRHSLRLLEVKNYFQLPERYFPLRWRRDQSLVPMDDQNAQSNNDECAQAFHALAEALLTESLISKERFNHVQREITGLLAEVRSMPVAEELSLNIPPNNVSET >Potri.014G167000.5.v4.1 pep chromosome:Pop_tri_v4:14:12125634:12129248:-1 gene:Potri.014G167000.v4.1 transcript:Potri.014G167000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167000.v4.1 MKPSNNIWIRRQQCPCGDWKCYIKYEGDDQTSASSQLGRSETTSTELVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQHLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSIRCGCDAKLYLTKEIVDGLAQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPINRIVKVLELEKGVQPGHFPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKSVAERDPDFAYDYTTDENQKVENIAWLYGDSVRAYTLYGDVVTFDTTYRSITYGLLLGVWFGMDNHGKAILYGCVLLQDESSHSFTWALQTFVRFIKGRHPQTIITDMELALRDAIARELPNTKHVVCIWHILSKLSSWLSFPLGSRFEDFKAEFDLLCHEENVEDFEHQWNLLVARFELVTDKHMALLFSYRGFWSISYIRGSFLARTMAPEFSQSLHTFLKRILGGQTCLQASFEQTAFWILFVGLADWSCCNCWKSNQRWDAVYAYQDMLAN >Potri.014G167000.4.v4.1 pep chromosome:Pop_tri_v4:14:12125633:12129052:-1 gene:Potri.014G167000.v4.1 transcript:Potri.014G167000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167000.v4.1 MKPSNNIWIRRQQCPCGDWKCYIKYEGDDQTSASSQLGRSETTSTELVFTPYVGQIFKSDDDAFEYYSNFARKNGFSIRKARSTESQHLGIYRRDFVCYRSGFNQPRKKANVEHPRDRKSIRCGCDAKLYLTKEIVDGLAQWYVSQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPINRIVKVLELEKGVQPGHFPFIEKDVRNFVRTCKKTVQENDALLTEKRENDTLELLEACKSVAERDPDFAYDYTTDENQKVENIAWLYGDSVRAYTLYGDVVTFDTTYRSITYGLLLGVWFGMDNHGKAILYGCVLLQDESSHSFTWALQTFVRFIKGRHPQTIITDMELALRDAIARELPNTKHVVCIWHILSKLSSWLSFPLGSRFEDFKAEFDLLCHEENVEDFEHQWNLLVARFELVTDKHMALLFSYRGFWSISYIRGSFLARTMAPEFSQSLHTFLKRILGGQTCLQASFEQVYGSVVSKIFLSSFCYLIDCILDFVCGSCRLVLLQLLEIKPEMGRRICISRHACQLKNMHAVCLHLMPLMCCSMKLYCRCNMLYKKWQMDHILSNTSRKWMANVL >Potri.015G093500.1.v4.1 pep chromosome:Pop_tri_v4:15:11598271:11602797:1 gene:Potri.015G093500.v4.1 transcript:Potri.015G093500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093500.v4.1 MGGKESSDSSSSSPSLWLAPDPSKRWGEIFFLCYTPFWLTLCLGIVIPCKLYESFTELEYLLLGLISAVPSFLIPMMFVGKADSKLCWKDCYWVKASLWIIIFSYVGNYFWTHYFFTVLGASYTFPSWKMNNVPHTTFLLTHVCFLFYHVTSNMTIRRLRHAVADLPDYLRWAAEGAWILALSYFIAYLETLAVSNFPYYEFVDRASMYKVGSLFYAIYFFVSFPMFLRIDEKPGDLWELPRVAVDSLGAAMLVTIILDLWRIFLGPIVPLPVTKQCLQQGLPWFPGHATQT >Potri.005G225900.12.v4.1 pep chromosome:Pop_tri_v4:5:22678753:22683585:-1 gene:Potri.005G225900.v4.1 transcript:Potri.005G225900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225900.v4.1 MDSVAESSKNRKRLREEEEQQQKEEIEAEETSSTDQTLSLVDSLTFSDTMVALRIMRAQFPHIDKVSIQPFILHSQLYSSVKDRTQVDRELESLRREKVLRVFKLNTGQDDHAIMFLDDYLIQVDRVVKRMEEKKQRNLEVFEWFKTHVIDNKQDPSIDHQELRLLLSHGGKVKDEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELLSLINRQRYKEMMLAPLEKKHLRLSLLDMRFHLRDLIGSGHLKTVNTPTGLLVRVSKD >Potri.005G225900.15.v4.1 pep chromosome:Pop_tri_v4:5:22678753:22683539:-1 gene:Potri.005G225900.v4.1 transcript:Potri.005G225900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225900.v4.1 MDSVAESSKNRKRLREEEEQQQKEEIEAEETSSTDQTLSLVDSLTFSDTMVALRIMRAQFPHIDKVDRVVKRMEEKKQRNLEVFEWFKTHVIDNKQDPSIDHQELRLLLSHGGKVKDEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELLSLINRQRYKEMMLAPLEKKHLRLSLLDMRFHLRDLIGSGHLKTVNTPTGLLVRVSKD >Potri.005G225900.2.v4.1 pep chromosome:Pop_tri_v4:5:22678681:22683585:-1 gene:Potri.005G225900.v4.1 transcript:Potri.005G225900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225900.v4.1 MDSVAESSKNRKRLREEEEQQQKEEIEAEETSSTDQTLSLVDSLTFSDTMVALRIMRAQFPHIDKVDRVVKRMEEKKQRNLEVFEWFKTHVIDNKQDPSIDHQELRLLLSHGGKVKDEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELLSLINRQRYKEMMLAPLEKKHLRLSLLDMRFHLRDLIGSGHLKTVNTPTGLLVRVSKD >Potri.005G225900.8.v4.1 pep chromosome:Pop_tri_v4:5:22678563:22683585:-1 gene:Potri.005G225900.v4.1 transcript:Potri.005G225900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225900.v4.1 MDSVAESSKNRKRLREEEEQQQKEEIEAEETSSTDQTLSLVDSLTFSDTMVALRIMRAQFPHIDKSLRREKVLRVFKLNTGQDDHAIMFLDDYLIQVDRVVKRMEEKKQRNLEVFEWFKTHVIDNKQDPSIDHQELRLLLSHGGKVKDEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELLSLINRQRYKEMMLAPLEKKHLRLSLLDMRFHLRDLIGSGHLKTVNTPTGLLVRVSKD >Potri.005G225900.13.v4.1 pep chromosome:Pop_tri_v4:5:22678753:22683585:-1 gene:Potri.005G225900.v4.1 transcript:Potri.005G225900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225900.v4.1 MDSVAESSKNRKRLREEEEQQQKEEIEAEETSSTDQTLSLVDSLTFSDTMVALRIMRAQFPHIDKVSIQPFILHSQLYSSVKDRTQVDRELESLRREKVLRVFKLNTGQDDHAIMFLDDYLIQRLLLSHGGKVKDEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELLSLINRQRYKEMMLAPLEKKHLRLSLLDMRFHLRDLIGSGHLKTVNTPTGLLVRVSKD >Potri.005G225900.10.v4.1 pep chromosome:Pop_tri_v4:5:22678563:22683585:-1 gene:Potri.005G225900.v4.1 transcript:Potri.005G225900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225900.v4.1 MDSVAESSKNRKRLREEEEQQQKEEIEAEETSSTDQTLSLVDSLTFSDTMVALRIMRAQFPHIDKVSIQPFILHSQLYSSVKDRTQVDRELESLRREKVLRVFKLNTGQDDHAIMFLDDYLIQVDRVVKRMEEKKQRNLEVFEWFKTHVIDNKQDPSIDHQELRLLLSHGGKVKDEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELLSLINRQRYKEMMLAPLEKKHLRLSLLDMRFHLRDLIGSGHLKTVNTPTGLLVRVSKD >Potri.005G225900.14.v4.1 pep chromosome:Pop_tri_v4:5:22678753:22683585:-1 gene:Potri.005G225900.v4.1 transcript:Potri.005G225900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225900.v4.1 MDSVAESSKNRKRLREEEEQQQKEEIEAEETSSTDQTLSLVDSLTFSDTMVALRIMRAQFPHIDKVDRVVKRMEEKKQRNLEVFEWFKTHVIDNKQDPSIDHQELRLLLSHGGKVKDEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELLSLINRQRYKEMMLAPLEKKHLRLSLLDMRFHLRDLIGSGHLKTVNTPTGLLVRVSKD >Potri.005G225900.11.v4.1 pep chromosome:Pop_tri_v4:5:22678753:22683585:-1 gene:Potri.005G225900.v4.1 transcript:Potri.005G225900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225900.v4.1 MDSVAESSKNRKRLREEEEQQQKEEIEAEETSSTDQTLSLVDSLTFSDTMVALRIMRAQFPHIDKVSIQPFILHSQLYSSVKDRTQVDRELESLRREKVLRVFKLNTGQDDHAIMFLDDYLIQVDRVVKRMEEKKQRNLEVFEWFKTHVIDNKQDPSIDHQELRLLLSHGGKVKDEHISLLINAGLLTRQLIDPNMYWFAIPNIGSILKGLSQGRKELLSLINRQRYKEMMLAPLEKKHLRLSLLDMRFHLRDLIGSGHLKTVNTPTGLLVRVSKD >Potri.005G195500.1.v4.1 pep chromosome:Pop_tri_v4:5:20253804:20255131:1 gene:Potri.005G195500.v4.1 transcript:Potri.005G195500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G195500.v4.1 MDPALIAAAASAAGSVLFLIIILAFIVFVCKSTKDYDYGRRLRHHQTRTVTNPELSPVTMDETASYDPTINHISMEELKVSTKNFSTDLIIGDGSFGLVYKAALYNGSTVAIKKLDPDAFQGFREFRAEMETLGQLRHGNIVKILGYCVSGRDRVLILEFVERGSLDQWIHDTSSTDNDHFDKFPLPWETRIKIVMGVANGLAYLHGLDTPIIHRDIKASNVLLDASFQAHISDFGLARRIEALRSHVSTQVAGTFGYMPPEYKDGFIGATVQADVYSFGILMFEIATAERPDLPKVVEKKEVGFIEWVKKMLGQDRHMEMLDCNMPKEGLSGDDQVKEYFRIASLCTEEFMGDRPAMSEVVDLLKKLS >Potri.002G242000.1.v4.1 pep chromosome:Pop_tri_v4:2:23416543:23418060:1 gene:Potri.002G242000.v4.1 transcript:Potri.002G242000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242000.v4.1 MAFKLSISLALLAILVLVVGSEAGGIAVYWGQNGNEGTLAETCATGNYDYVILAFLPTFGNGQTPMINLAGHCDPYSNGCTKLSPDIKSCQVKGIKVMLSIGGGAGSYYLTSKEDAKQVANYLWNNFLGGNSSSRPLGPAVLDGIDFDIEGGTDQHWDDLARFLSAYSKQGKKVHLTAAPQCPFPDAWVGNALQTGLFDYVWVQFYNNPPCQFSGDIANLEDAWKQWISSIPAQKIFLGLPAAPDAAGSGFVPVADLTSKVLPAIKGSAKYGGVMLWSKYYDDQTGYSKSIKSRV >Potri.001G312800.8.v4.1 pep chromosome:Pop_tri_v4:1:32366373:32371707:1 gene:Potri.001G312800.v4.1 transcript:Potri.001G312800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312800.v4.1 MPFIYRKSIVICFSLQFLFFLFVHGLPGSIVETLPGFEGVLPFKLETGYVSVNESELFYLFVESQGKPLEDPLLVYLVGGPGCSALTGFFFQVGPLIFNTTDYLGGLPELLYNPYSWTKTASIIFIDYPVGTGYSYATRSEGYHMTDTGSAKLVHQFLRTWLIDHPEFTKIPFFVASDTYAGIITPIVAKEIFDGNEAGLQPHINLKGFVSGSPHTDTALEHNSRVPLAYRLALISRSLYESSKKSCKGNYVDVDPSNAPCLEDLEKINQCITQINKENILYPKCARLSPNSNNEERSRRFLKANSQRFPALSSKIQDYWCQNFEYVLVDVWANDERVRDALHVRRGTVTTWYTCNSFLQDVLYTYNVFTAVDYYQNLTRKGLQILIYSGDHDMVVPYISTEKWINSLNITVDRDWRPWFVEGQVAGYTVKYTDYGFRLTFATLKGAGHSPTQYTPRWCYNMFERWIHYYPL >Potri.001G312800.9.v4.1 pep chromosome:Pop_tri_v4:1:32366373:32371704:1 gene:Potri.001G312800.v4.1 transcript:Potri.001G312800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312800.v4.1 MPFIYRKSIVICFSLQFLFFLFVHGLPGSIVETLPGFEGVLPFKLETGYVSVNESELFYLFVESQGKPLEDPLLVYLVGGPGCSALTGFFFQVGPLIFNTTDYLGGLPELLYNPYSWTKTASIIFIDYPVGTGYSYATRSEGYHMTDTGSAKLVHQFLRTWLIDHPEFTKIPFFVASDTYAGIITPIVAKEIFDGNEAGLQPHINLKGFVSGSPHTDTALEHNSRVPLAYRLALISRSLYESSKKSCKGNYVDVDPSNAPCLEDLEKINQCITQINKENILYPKCARLSPNSNNEERSRRFLKANSQRFPALSSKIQDYWCQNFEYVLVDVWANDERVRDALHVRRGTVTTWYTCNSFLQDVLYTYNVFTAVDYYQNLTRKGLQILIYSGDHDMVVPYISTEKWINSLNITVDRDWRPWFVEGQVAGYTVKYTDYGFRLTFATLKGAGHSPTQYTPRWCYNMFERWIHYYPL >Potri.001G312800.7.v4.1 pep chromosome:Pop_tri_v4:1:32366373:32371706:1 gene:Potri.001G312800.v4.1 transcript:Potri.001G312800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G312800.v4.1 MPFIYRKSIVICFSLQFLFFLFVHGLPGSIVETLPGFEGVLPFKLETGYVSVNESELFYLFVESQGKPLEDPLLVYLVGGPGCSALTGFFFQVGPLIFNTTDYLGGLPELLYNPYSWTKTASIIFIDYPVGTGYSYATRSEGYHMTDTGSAKLVHQFLRTWLIDHPEFTKIPFFVASDTYAGIITPIVAKEIFDGNEAGLQPHINLKGFVSGSPHTDTALEHNSRVPLAYRLALISRSLYESSKKSCKGNYVDVDPSNAPCLEDLEKINQCITQINKENILYPKCARLSPNSNNEERSRRFLKANSQRFPALSSKIQDYWCQNFEYVLVDVWANDERVRDALHVRRGTVTTWYTCNSFLQDVLYTYNVFTAVDYYQNLTRKGLQILIYSGDHDMVVPYISTEKWINSLNITVDRDWRPWFVEGQVAGYTVKYTDYGFRLTFATLKGAGHSPTQYTPRWCYNMFERWIHYYPL >Potri.017G151900.1.v4.1 pep chromosome:Pop_tri_v4:17:14933403:14938681:1 gene:Potri.017G151900.v4.1 transcript:Potri.017G151900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G151900.v4.1 MAHPSSALAPAPVPGHTFSGTLGHHLARRLVEIGVNYVFSVPGDFNLTLLDHLIDEPELNLIGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPIICIVGGPNSNDYGTSRILHHTIGLPDFTQELRCFQTVTCVQAVVNNLDDAHEQIDTAISTALKESKPAYISISCNLSGIPHPTFSREPVPFFLAPKVSNYLGLEAAVEATAEFLNKAVKPVIIGGPKLRVAKGQKAFIELADASGYPLAVMPSGKGLVPEHHPHFIGTYWGAASTCFCAEIVESADAYVFVGPIFNDFSSVGYSLLIKKEKSIIVQPNRVTIGNGPSLGWVFMADFLGALAKKLKKNSTALENYRRIFFPPGMPLMREKDEPLRVNVLFKHIQSMLGGDSAVIAETGDSWFNCQKLCLPENCGYEFQMQYGSIGWSVGASLGYAQAARDKRVIACIGDGSFQVTAQDISTMIRCGQRTIIFLINNGGYTIEAQIHDGPYNVIKNWDYTGLVNAIHNGEGKCWTAKVRTEDELTAAIATATGEQKDSLCFIEIFVHKDDTSKELLEWAPRVSAATGRPPNPQ >Potri.002G189200.3.v4.1 pep chromosome:Pop_tri_v4:2:15110466:15113340:-1 gene:Potri.002G189200.v4.1 transcript:Potri.002G189200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189200.v4.1 METFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKGKIDYEKIVRDTCRNIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYFNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEYVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKESFDFRPGMMTINLDLKRGGNRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >Potri.002G189200.2.v4.1 pep chromosome:Pop_tri_v4:2:15110173:15113347:-1 gene:Potri.002G189200.v4.1 transcript:Potri.002G189200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189200.v4.1 METFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKGKIDYEKIVRDTCRNIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYFNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEYVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKESFDFRPGMMTINLDLKRGGNRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >Potri.002G189200.1.v4.1 pep chromosome:Pop_tri_v4:2:15110174:15113341:-1 gene:Potri.002G189200.v4.1 transcript:Potri.002G189200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189200.v4.1 MQLKKMETFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKGKIDYEKIVRDTCRNIGFVSDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYFNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEYVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKESFDFRPGMMTINLDLKRGGNRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >Potri.006G132600.1.v4.1 pep chromosome:Pop_tri_v4:6:10862004:10863475:1 gene:Potri.006G132600.v4.1 transcript:Potri.006G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TOP6A MADSTSTKSRKRRQPDPDSTTELLFKNLLKPDSVILQTLQSLVISTASASSSKPLTLSDLSLSSSCREVADLSLTSVQSEIEALTISIVQSILSGKGFSFNVPSRSATNQLYVPELDRIVLKDKNTLRPFANISSVRKCTITARILSLIHQLCLKSIHVTKRDLFYTDVKLFQDQTQSDAVLDDVSCMLGCTRSSLNVIAAEKGVVVGRLIFSDNGDMIDCTKMGMGGKAIPPNIDRVGDMQSDALFILLVEKDAAYMRLAEDRFYNRFPCIIVTAKGQPDVATRLFLRKMKTELKLPVLALVDSDPYGLKILSVYGCGSKNMSYDSANLTTPDIKWLGIRPSDLDKYKIPEQCRLPMTEQDIKTGKDLLEEDFVKKNPGWVEELSLMVKTKQKAEIQALSSFGFQYLSEVYLPLKLQQQDWL >Potri.003G144600.1.v4.1 pep chromosome:Pop_tri_v4:3:15979469:15982826:-1 gene:Potri.003G144600.v4.1 transcript:Potri.003G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G144600.v4.1 MYVKAEPTTDVNRNTEWFTYPGVWTTYMLIVFMSWLLVLSIFGCSPGMAWTIVHFCHFAVTYHFFHWKKGTPFADDQGIYNGLTWWEQIENGKQLTRNRKFLTVVPVVLYLIASHTTDYQNPMLFFNTLAVFVLVVAKFPNMHKVRIFGINADH >Potri.017G010700.1.v4.1 pep chromosome:Pop_tri_v4:17:810985:814785:-1 gene:Potri.017G010700.v4.1 transcript:Potri.017G010700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010700.v4.1 MEGEAQENKAMEFKEKEEEEVTEPVSPTGQYFNSSVLSICVLAVLESEVPIDDSLTMTLLKDVFLPINPRFSSIMVNDKNGEKQWKRVEVQLQNHVNIPIFPIGLSTTSYDNYFNDYISRTALKQFPQSQPLWEIHLVKYPTSKAAGNIIFKLHHALGDGFSLMGALLSCLQRADNPSLSLTFPSLQYPSNPDCSFSKLNITVPKCIGSIFNTISDFGWSLLKSSFVEDSRSPIRSGDEEVQFKPIVISTITFSLDHIKQIKSRLGVTINDVITGIIFYGTRLYMQNVDDKSTNAHSTALVLLNTRVISGYRSVKEMVKPDAESPWGNQFGFLHVSVPELTDSRFSKPLECVTIAQEIIQRKRSSLAVNLTGRLLEVLRKFRGPEATAKYIKQTLFNSSMTISNIIGPVDKMALANHPIKGFYFMVVGVPQSLTITMVSYAGKLKVAVGTEKGFMDSQKFKSCMETAFEMIFKSSCEIPSGII >Potri.008G020551.1.v4.1 pep chromosome:Pop_tri_v4:8:1015400:1015981:-1 gene:Potri.008G020551.v4.1 transcript:Potri.008G020551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020551.v4.1 MGSTLTRPPTIFSLLSPLLFITTAQETGQLCFCFSSFSLLDYFSLKSMKQCFLYESLKQEEVSERQWNQRGRPEDTRLKSPTLRNMGGSGH >Potri.008G093200.1.v4.1 pep chromosome:Pop_tri_v4:8:5821273:5822351:1 gene:Potri.008G093200.v4.1 transcript:Potri.008G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093200.v4.1 MDSAPKDKPAEHDRQPSASELLASAKLVSEAAQASFGNERDKIDKVKVAAAAEDLLEAASKYGKLEEKGLGQYIEKAENYLHHYHSSSQPTTTPSTTGSGHTAPVEKHESPVPPSTGGNDDKSGDGLGGAFKMAQGFFK >Potri.019G090700.3.v4.1 pep chromosome:Pop_tri_v4:19:12872623:12878170:-1 gene:Potri.019G090700.v4.1 transcript:Potri.019G090700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090700.v4.1 MASESVVGEGGNEGGEVREKQRYTENKVYTRKAFKGPKKNSLINTVVTTTTTTDNNSNTNITTTTTEAAGENNINTTTTTATATTETATATTETATALTTASAVATETATKTTSTAATETATGNETNDKENYEKDLVEVRESEPVAVEDTNTAQQVQQPVSHSIVVSDDSTRLNRQEVQEVVPSVREQVVERELHVGNGVLLKEGMDNRVKVDLLSQSKQEKRELRKKLESELELVRSLVKKIEAKELQLSVGRLNHSRVVLVNDGVDRRLRRVNSEVGSVGVPRVSTIPILTPTPRQSRPLNQLSISVLENSQGMGEFVEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNGKKQGAGESGFGFGTGTKIFKNCSALLDKLMKHKHGWVFNTPVDVKGLGLHDYFIIIKHPMDLGTVKSRLTKNWYKSPEEFAEDVRLTFHNAMKYNPKGQDVHVMAEQLLDIFETKWAVIKSDYDHEMRFSSSYEVGIPTPTSRKAPPFVPPPLDMWRILDRSESMTYPIIDTRPKPITTTPSSRTPVPKKPKAKDPNKRDMTYDEKQKLSTNLQSLPSEKLDNIVQIIKKRSSALSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAIQARADSQLNVQHKVSAPVVVEAPKETKADERNVSTLSPNHVEKLGDNGSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDVGN >Potri.019G090700.2.v4.1 pep chromosome:Pop_tri_v4:19:12872766:12878174:-1 gene:Potri.019G090700.v4.1 transcript:Potri.019G090700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090700.v4.1 MASESVVGEGGNEGGEVREKQRYTENKVYTRKAFKGPKKNSLINTVVTTTTTTDNNSNTNITTTTTEAAGENNINTTTTTATATTETATATTETATALTTASAVATETATKTTSTAATETATGNETNDKENYEKDLVEVRESEPVAVEDTNTAQQVQQPVSHSIVVSDDSTRLNRQEVQEVVPSVREQVVERELHVGNGVLLKEGMDNRVKVDLLSQSKQEKRELRKKLESELELVRSLVKKIEAKELQLSVGRLNHSRVVLVNDGVDRRLRRVNSEVGSVGVPRVSTIPILTPTPRQSRPLNQLSISVLENSQGMGEFVEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNGKKQGAGESGFGFGTGTKIFKNCSALLDKLMKHKHGWVFNTPVDVKGLGLHDYFIIIKHPMDLGTVKSRLTKNWYKSPEEFAEDVRLTFHNAMKYNPKGQDVHVMAEQLLDIFETKWAVIKSDYDHEMRFSSSYEVGIPTPTSRKAPPFVPPPLDMWRILDRSESMTYPIIDTRPKPITTTPSSRTPVPKKPKAKDPNKRDMTYDEKQKLSTNLQSLPSEKLDNIVQIIKKRSSALSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAIQARADSQLNVQHKVSAPVVVEAPKETKADERNVSTLSPNHVEKLGDNGSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDVGN >Potri.019G090700.1.v4.1 pep chromosome:Pop_tri_v4:19:12872532:12878195:-1 gene:Potri.019G090700.v4.1 transcript:Potri.019G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090700.v4.1 MASESVVGEGGNEGGEVREKQRYTENKVYTRKAFKGPKKNSLINTVVTTTTTTDNNSNTNITTTTTEAAGENNINTTTTTATATTETATATTETATALTTASAVATETATKTTSTAATETATGNETNDKENYEKDLVEVRESEPVAVEDTNTAQQVQQPVSHSIVVSDDSTRLNRQEVQEVVPSVREQVVERELHVGNGVLLKEGMDNRVKVDLLSQSKQEKRELRKKLESELELVRSLVKKIEAKELQLSVGRLNHSRVVLVNDGVDRRLRRVNSEVGSVGVPRVSTIPILTPTPRQSRPLNQLSISVLENSQGMGEFVEKEKRTPKANQFYRNSEFLLAKDKFPPAESNKKSKLNGKKQGAGESGFGFGTGTKIFKNCSALLDKLMKHKHGWVFNTPVDVKGLGLHDYFIIIKHPMDLGTVKSRLTKNWYKSPEEFAEDVRLTFHNAMKYNPKGQDVHVMAEQLLDIFETKWAVIKSDYDHEMRFSSSYEVGIPTPTSRKAPPFVPPPLDMWRILDRSESMTYPIIDTRPKPITTTPSSRTPVPKKPKAKDPNKRDMTYDEKQKLSTNLQSLPSEKLDNIVQIIKKRSSALSQHDDEIEVDIDSVDVETLWELDRFVTNYKKSLSKNKRKAELAIQARADSQLNVQHKVSAPVVVEAPKETKADERNVSTLSPNHVEKLGDNGSRSSSSSSSSSDSGSSSSDSDSDSSSASGSDVGN >Potri.006G068900.1.v4.1 pep chromosome:Pop_tri_v4:6:5049658:5051202:-1 gene:Potri.006G068900.v4.1 transcript:Potri.006G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068900.v4.1 MALTHNFLLLFCSLFLISSPHSIAQTTFRPKALVLPVSKDPSSLQYLAQINQRTPLVPVEVTLDLGGQYLWVDCQQGYVSSSKKNPSCNTAQCSLAVYRLKTCTVDKKFCVLSPDNTATRTGTSDYLTQDVVSIQSTDGSNPGRVVSVPNFLFSCAPTFILQGLAKGVKGMAGLGRTKISLPSQFSAAFSFPKKFAICLTSSNAKGVVIFGDGPYVLLPHADDLSQSLIYTPLILNPVSTASGYFEGEPSTDYFIGVKSIKINENVVPLNASLLSINREGYGGTKISTVNAYTVMETTIYNAVTDSFVRELAKANVPRVASVAPFGACFNSKNIGSTRVGPAVPQIDLVLQSKNVYWRIFGANSMVQVKDDVLCLGFVDGGVNPRTSIVIGGHQLEDNLLQFDLAASRLGFSSSLLFRQTTCANFNFTSKS >Potri.005G044300.1.v4.1 pep chromosome:Pop_tri_v4:5:2806594:2808923:-1 gene:Potri.005G044300.v4.1 transcript:Potri.005G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044300.v4.1 MGREVSKSCMDGLVTEMVSSYCTRFYSNKPELAARRIEAIGYQVGHQLSERYTIERPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNRRGTFVLQDNKFPWLSRMSGDDLSVENGMEDPESKGAQAMSMYCYFPCGIIRGALSNLGIPCAVSADISSLPACSFVISVKA >Potri.005G210200.3.v4.1 pep chromosome:Pop_tri_v4:5:21444248:21450207:1 gene:Potri.005G210200.v4.1 transcript:Potri.005G210200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210200.v4.1 MDRNREGRRSSSNMAASNGLSRRRQQRTTRDSTEDGQIMVQESARLRDRGGSKRERDRELLSRNKRSRRRGGGGGGGGGDRSVQGSNKEEGEETTEESIGYEDGYEIEDGEVSRLRPPLGAVKQVPGSRVAADEMIGVSVPRKARSASVKRSHESWVSGNGGFGCEDRRASTSPAASRSFEAASPSSSIVSVIKKTKSSGPKTRLPKVSKSSTSSVQEDFEIEIAEVLYGLKKQSHGPKNEEKADNGLRKIDSMDSNGIVHDSKSSPNSYFSRTSILSQNNTSASDTLIGLGEREDAKMEFSATKSGKPSLYSESCEVSHDMVASKLASGLESQEEAMTQQDSKPAIEESGVSTKEKSVLPEEKSPVSKKLDVDIRDSVLKKSTSTVSKVDSQREEKFEIDLMAPPPMVSSPEWDGFVDLSSNPKPAAQDVEMKMENMVKNKELVDSPVKKEGVLFEDKVTKTVREKRGLKLDFEKPNRKVQQKLQPKATVPKVETAAQSGSLPLPIAIPSWQSSNLLPLGYTTSFQTVVPMDGTTRSSKALQPPQFIPQPRPKRCATHHYIACNIRLQQQFTKMNHFWPAAAGSATLCGAKPKNLNVMPSAENMIIRHPSQGSFPVVNLNSAQDKVQAVPNIPDFTRNDRGSESATLIDTAQKKQLVLHQPPQPAPAGNLMHGPAFIFSLNQHQAPTAAMTSQTGPSKSASPINNESLSGSAVAGVTTNSSALPGMAAAVSFSYPNLAANEAPYLTILPNNSYPFPISTPVGNPTFRGGTPAQALSFFNGSFYSSQMLHPSQLQQQQPQPVVQPAHQNASASSGSSSSHKQPRSQQRGAHVSTNNFLTSTMMQSQQLPKTRIPSHHTRKLDSEMSGESTPIIADTRASHSKRSVNGPNFMIPLQPNFGLMASTNVGGGGNHGEKQQQQQLSQEKNLKGGVELIPSQAFAMSFASFNGSKTASNLNFSAMAQNPTILQSFPDMTWQGYQVVSAAQATQKKNHQLSEGKTGGSSTNPDDGKKATMGRPSTSIGQTLIFDNSARTLDFVPSPFTGHWPSRSITGPTSIQMAANSSTTSQQQQLVQLQKQHILQQPIGAAESKAPTSSSLPSPSIDAKFSNNTPIFSRTQAQGSTPQNPQWKNSSRTPSTQSPLASLSASNTVHKNASQQQGRAPQGRSQISFGQSSKSALPPQGQQISSSNHSPSTGGNSITTSKNANANSSVPVTQPQQCDNSSSGNAQKSSPVCGRNVPSILSTCPSHLSELEY >Potri.005G210200.2.v4.1 pep chromosome:Pop_tri_v4:5:21444220:21450208:1 gene:Potri.005G210200.v4.1 transcript:Potri.005G210200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210200.v4.1 MDRNREGRRSSSNMAASNGLSRRRQQRTTRDSTEDGQIMVQESARLRDRGGSKRERDRELLSRNKRSRRRGGGGGGGGGDRSVQGSNKEEGEETTEESIGYEDGYEIEDGEVSRLRPPLGAVKQVPGSRVAADEMIGVSVPRKARSASVKRSHESWVSGNGGFGCEDRRASTSPAASRSFEAASPSSSIVSVIKKTKSSGPKTRLPKVSKSSTSSVQEDFEIEIAEVLYGLKKQSHGPKNEEKADNGLRKIDSMDSNGIVHDSKSSPNSYFSRTSILSQNNTSASDTLIGLVTGEREDAKMEFSATKSGKPSLYSESCEVSHDMVASKLASGLESQEEAMTQQDSKPAIEESGVSTKEKSVLPEEKSPVSKKLDVDIRDSVLKKSTSTVSKVDSQREEKFEIDLMAPPPMVSSPEWDGFVDLSSNPKPAAQDVEMKMENMVKNKELVDSPVKKEGVLFEDKVTKTVREKRGLKLDFEKPNRKVQQKLQPKATVPKVETAAQSGSLPLPIAIPSWQSSNLLPLGYTTSFQTVVPMDGTTRSSKALQPPQFIPQPRPKRCATHHYIACNIRLQQQFTKMNHFWPAAAGSATLCGAKPKNLNVMPSAENMIIRHPSQGSFPVVNLNSAQDKVQAVPNIPDFTRNDRGSESATLIDTAQKKQLVLHQPPQPAPAGNLMHGPAFIFSLNQHQAPTAAMTSQTGPSKSASPINNESLSGSAVAGVTTNSSALPGMAAAVSFSYPNLAANEAPYLTILPNNSYPFPISTPVGNPTFRGGTPAQALSFFNGSFYSSQMLHPSQLQQQQPQPVVQPAHQNASASSGSSSSHKQPRSQQRGAHVSTNNFLTSTMMQSQQLPKTRIPSHHTRKLDSEMSGESTPIIADTRASHSKRSVNGPNFMIPLQPNFGLMASTNVGGGGNHGEKQQQQQLSQEKNLKGGVELIPSQAFAMSFASFNGSKTASNLNFSAMAQNPTILQSFPDMTWQGYQVVSAAQATQKKNHQLSEGKTGGSSTNPDDGKKATMGRPSTSIGQTLIFDNSARTLDFVPSPFTGHWPSRSITGPTSIQMAANSSTTSQQQQLVQLQKQHILQQPIGAAESKAPTSSSLPSPSIDAKFSNNTPIFSRTQAQGSTPQNPQWKNSSRTPSTQSPLASLSASNTVHKNASQQQGRAPQGRSQISFGQSSKSALPPQGQQISSSNHSPSTGGNSITTSKNANANSSVPVTQPQQCDNSSSGNAQKSSPVCGRNVPSILSTCPSHLSELEY >Potri.005G210200.5.v4.1 pep chromosome:Pop_tri_v4:5:21446604:21450405:1 gene:Potri.005G210200.v4.1 transcript:Potri.005G210200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210200.v4.1 MDGTTRSSKALQPPQFIPQPRPKRCATHHYIACNIRLQQQFTKMNHFWPAAAGSATLCGAKPKNLNVMPSAENMIIRHPSQGSFPVVNLNSAQDKVQAVPNIPDFTRNDRGSESATLIDTAQKKQLVLHQPPQPAPAGNLMHGPAFIFSLNQHQAPTAAMTSQTGPSKSASPINNESLSGSAVAGVTTNSSALPGMAAAVSFSYPNLAANEAPYLTILPNNSYPFPISTPVGNPTFRGGTPAQALSFFNGSFYSSQMLHPSQLQQQQPQPVVQPAHQNASASSGSSSSHKQPRSQQRGAHVSTNNFLTSTMMQSQQLPKTRIPSHHTRKLDSEMSGESTPIIADTRASHSKRSVNGPNFMIPLQPNFGLMASTNVGGGGNHGEKQQQQQLSQEKNLKGGVELIPSQAFAMSFASFNGSKTASNLNFSAMAQNPTILQSFPDMTWQGYQVVSAAQATQKKNHQLSEGKTGGSSTNPDDGKKATMGRPSTSIGQTLIFDNSARTLDFVPSPFTGHWPSRSITGPTSIQMAANSSTTSQQQQLVQLQKQHILQQPIGAAESKAPTSSSLPSPSIDAKFSNNTPIFSRTQAQGSTPQNPQWKNSSRTPSTQSPLASLSASNTVHKNASQQQGRAPQGRSQISFGQSSKSALPPQGQQISSSNHSPSTGGNSITTSKNANANSSVPVTQPQQCDNSSSGNAQKSSPVCGRNVPSILSTCPSHLSELEY >Potri.005G210200.4.v4.1 pep chromosome:Pop_tri_v4:5:21445788:21450208:1 gene:Potri.005G210200.v4.1 transcript:Potri.005G210200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210200.v4.1 MEFSATKSGKPSLYSESCEVSHDMVASKLASGLESQEEAMTQQDSKPAIEESGVSTKEKSVLPEEKSPVSKKLDVDIRDSVLKKSTSTVSKVDSQREEKFEIDLMAPPPMVSSPEWDGFVDLSSNPKPAAQDVEMKMENMVKNKELVDSPVKKEGVLFEDKVTKTVREKRGLKLDFEKPNRKVQQKLQPKATVPKVETAAQSGSLPLPIAIPSWQSSNLLPLGYTTSFQTVVPMDGTTRSSKALQPPQFIPQPRPKRCATHHYIACNIRLQQQFTKMNHFWPAAAGSATLCGAKPKNLNVMPSAENMIIRHPSQGSFPVVNLNSAQDKVQAVPNIPDFTRNDRGSESATLIDTAQKKQLVLHQPPQPAPAGNLMHGPAFIFSLNQHQAPTAAMTSQTGPSKSASPINNESLSGSAVAGVTTNSSALPGMAAAVSFSYPNLAANEAPYLTILPNNSYPFPISTPVGNPTFRGGTPAQALSFFNGSFYSSQMLHPSQLQQQQPQPVVQPAHQNASASSGSSSSHKQPRSQQRGAHVSTNNFLTSTMMQSQQLPKTRIPSHHTRKLDSEMSGESTPIIADTRASHSKRSVNGPNFMIPLQPNFGLMASTNVGGGGNHGEKQQQQQLSQEKNLKGGVELIPSQAFAMSFASFNGSKTASNLNFSAMAQNPTILQSFPDMTWQGYQVVSAAQATQKKNHQLSEGKTGGSSTNPDDGKKATMGRPSTSIGQTLIFDNSARTLDFVPSPFTGHWPSRSITGPTSIQMAANSSTTSQQQQLVQLQKQHILQQPIGAAESKAPTSSSLPSPSIDAKFSNNTPIFSRTQAQGSTPQNPQWKNSSRTPSTQSPLASLSASNTVHKNASQQQGRAPQGRSQISFGQSSKSALPPQGQQISSSNHSPSTGGNSITTSKNANANSSVPVTQPQQCDNSSSGNAQKSSPVCGRNVPSILSTCPSHLSELEY >Potri.003G201300.1.v4.1 pep chromosome:Pop_tri_v4:3:20144188:20144643:-1 gene:Potri.003G201300.v4.1 transcript:Potri.003G201300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201300.v4.1 MASSSLSASFPLLFILAISYPVLAWGSKPIPFNDNKSFFCFKFRVHIINGFSSNKNPLSLHCWSQDNDLGNHTLYIGGDFNFKFGLASFGKTIFHCDFKWAEKHRFANVFTDGMESSTCCDTNSCYWKTEDDGIYFSNDNKNYIKRLDWLK >Potri.001G142250.2.v4.1 pep chromosome:Pop_tri_v4:1:11656583:11656915:1 gene:Potri.001G142250.v4.1 transcript:Potri.001G142250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142250.v4.1 MSLNCLSCRDLQRSDSERECLQGKPHQNKLGCIMMERSWSGNLSPPSHGQMGKKSTAVAARKVIKKEPHRLNSAGGVTFKGCEEPRLVRSSGMRRDWSFEDLRKPCTINY >Potri.004G101950.1.v4.1 pep chromosome:Pop_tri_v4:4:8930494:8932290:1 gene:Potri.004G101950.v4.1 transcript:Potri.004G101950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101950.v4.1 MGAGGGFVAGDVKNYPGKVTRHVVNACVLGATGGLIFGYDLGISGGVTSMAPFLSKFFPDVYRKEALDTSTNQYCRFNDMGLTLFTSSLYLAALIASFGASYITRTWGRKRTMLLGGIVFFIGAALNAGAVDLSMLIAGRILLGVGVGFSTQSVPLYVSEMAPQQHRGAFNIVFQLAITIGIFIANLVNYLTPKIAGNQAWRYSLGGATIPAALICLSALKLDDTPNSLLEQGKAEKAREILRKIRGLNDKEIESEFQDLVTASEAAKQVEHPWTRILKRQYRPQLTMAVAIPFFQQLTGMNVVMFYAPVLLQSIGFESNASLLSTVITGAVNILATGVSIYGSDKSGRRSLFLSGGAVMFVFQVALAVLIGSKFGTSGDVIQLPKWYAGIVVACICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAVNMLFTFFIAQLFLAMLCHFKFGLFIFFAIFVAIMSTFIFFFLPETMNIPIEEMSKVWKQHWYWRRFTTEDDDRRALDVIV >Potri.003G056450.1.v4.1 pep chromosome:Pop_tri_v4:3:8270954:8271488:-1 gene:Potri.003G056450.v4.1 transcript:Potri.003G056450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056450.v4.1 MEARRIGSLYVVFLVVVLLAGQCTASFDDCYKGCFLSCAISPDNFILLVTSALRSLKDCIFNFSSPGHHSRSMGCAYSSCSHISARDNPRNSLFFIELNFETIHESKENFDAITAKSIN >Potri.002G073500.1.v4.1 pep chromosome:Pop_tri_v4:2:5171871:5173732:1 gene:Potri.002G073500.v4.1 transcript:Potri.002G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G073500.v4.1 MEEYSLAGNSSDDAKSTACPRGHWRPAEDDKLRQLVEQYGAQNWNDIAEKLQGRSGKSCRLRWFNQLDPRINRRPFSEEEEERLLAAHQVHGNKWALIARVFPGRTDNAVKNHWHVIMARKQREQSKLCGKRRYQDSLSDSNPPSSSSHARKSRTQELFSSRIGFEDSRECEFRNPSKDRTFSISPSSSSPSWNSPPSTLAASNNCSLVDLSRRKGRDNYFNSSLLCTTESSKVSDQSIYRYHMNSSGRSSNWSSSKFGLPNYRRVVPSPLGYLKLGDDYGNHGMIKKELYCHNSSTLKNMRATSNHQEQRDHESIRHKDVPFIDFLGVGISS >Potri.003G118100.1.v4.1 pep chromosome:Pop_tri_v4:3:14005493:14006406:-1 gene:Potri.003G118100.v4.1 transcript:Potri.003G118100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G118100.v4.1 MESQAPPPKFARLSNSRTRLASGWNVERNRRDGESGSPPIGLGLELGRGGSSQRPIISCKKPYGFTVLQLQELQLQSLIYTYIQAGFPVPYHLVLPIWRSVATSLGGLSSRLYQLYPSLMGCNPLYLAYKNGMDPEPGRCRRTDGKKWRCSKEALPYQKYCDRHIHRGRQRSRKLEESASHGNSSTDLSISLPAGISGASA >Potri.012G084700.1.v4.1 pep chromosome:Pop_tri_v4:12:11049555:11051557:-1 gene:Potri.012G084700.v4.1 transcript:Potri.012G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084700.v4.1 MEEEKYVPASSMRYGARIMSPEIVEIGEDIKPISNSIDGVSHDVYVAVGKNDTDVLKWALDHAVLPGARVFLVHVFPPLTYIPTPVGRLSRSQLSQDQMRFYINEENNRRRNHLQKYISLCADAKVTVDTMLLESNSTAKAILELIPVLNIRHLVMGTKRLPRSRLLRKKLAKGEFVKKNAPDYCEVSIIHESKKIMDGQHGIEPVSSCPQRPDVIRNSEKKFFELACFSGKLK >Potri.012G084700.2.v4.1 pep chromosome:Pop_tri_v4:12:11051161:11051463:-1 gene:Potri.012G084700.v4.1 transcript:Potri.012G084700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G084700.v4.1 MEEEKYVPASSMRYGARIMSPEIVEIGEDIKPISNSIDGVSHDVYVAVGKNDTDVLKWALDHAVLPGARVFLVHVFPPLTYIPTPGKTLCSTSDRIHHQV >Potri.016G028700.1.v4.1 pep chromosome:Pop_tri_v4:16:1580308:1581199:-1 gene:Potri.016G028700.v4.1 transcript:Potri.016G028700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G028700.v4.1 MAISIENHHLPYETHNLYDVKFFDDRIHTLVTHTSSFVNTWIAETQQKLLQNNNHAHRPLIVGLDVEWRPNRFRRIENPVATLQLSAGNDCLIFQLLHCPTGIPQSLHDFLSDMTYTFVGVGIEGDVKKLTEDYELSVGNAVDLRGLAAEKLGDSRWKNSGVKRLAREVLGKEIEKPKRITLSRWDNPWLTPAQVQYACLDAFLSCKIGESLVAA >Potri.019G120733.1.v4.1 pep chromosome:Pop_tri_v4:19:14680746:14684683:-1 gene:Potri.019G120733.v4.1 transcript:Potri.019G120733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120733.v4.1 MTSLLNKPFFSFFLPILFFLPHIVNFSSFFALAEHTSSTTSLFGKNTEAEALLEWKVSLDNQSQSLLSSWVGMSPCINWIGITCDNSGSVTNLSLADFGLRGTLYDFNFSSFRNLFVLDLSNNSLSGTIPHEIGKLTSLFVISLAQNNLTGLIPFSVGNLTNLSIFYLWGNKLFGSIPQEIELLEFLNELDLSNNVLTGRIPYSIGKLRNLSFLVLSSNQLSGPIPSSIGNLTSLSKLYLWGNKLSGSIPQEIGLLESLNELDLSSNVLTGRITYSIGKLRNLSFLGLSKNQLSGPIPSSIGNLTMLIEVSLEQNNITGLIPFSVGNLTNLSILYLWGNKLSGSIPQEIGLLESLNQLDLSINVLIGKIPYSIGKLRNLSFLSLFRNHLSGPIPSSIGNLTNLSKLYLLDNKLSGSIPQEIGLLESLNELGLSSNVLTSRIPYSIGKLRNLFFLVLSNNQLSGHIPSSIGNLTSLSKLYLGSNKLSGSIPQEIGLVESLNELDLSSNVLTGEISYSIEKLKNLFFLSVSENQLSGPIPSSVGNMTMLTSLVLSQNNLSGCLPSEIGQLKSLENLRLLGNKLHGPLPLEMNNLTHLKVLSLDINEFTGHLPQELCHGGVLETLTAAYNYFSGPIPKRLKNCTGLHRVRLDWNQLTGNISEVFGVYPHLDYIDLSYNNFYGELSSKWGDCRSMTSLKISNNNVSGEIPPELGKATQLHLIDLSSNQLKGAIPKDLGGLNLLYKLILNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTREIPRQLGQLQKLETLNVSHNMLSGRIPSTFKDMLSLTAVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPKSRTTVKRKSNKLVILIVLPLLGSLLLVFVVIGALFIILRQRARKRKAEPENEQDRNIFTILGHDGKKLYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVRVLANIRHRNIVKMYGFCSHAKHSFLVYEFVERGSLRKIITSEEQAIELDWMKRLIVVKGMAGALSYLHHSCSPPIIHRDITSNNVLLDLEYEAHVSDFGTARMLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHVMKIALACLHPNPQSRPTMEKIYLDLTAEWPPLPMAFCTISLGDLFS >Potri.017G090500.1.v4.1 pep chromosome:Pop_tri_v4:17:10355176:10358472:1 gene:Potri.017G090500.v4.1 transcript:Potri.017G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090500.v4.1 MLVTMKWHGLACQKGVYFPNNGKDRNCCRSSCCGGYGCSAMKTRRIHVGTCELNVVSVSMKGLTWFGCVRLKLPQMGLQFGSITMRNSLAVSGVVACNESKLVSEDNRQKELIRRGIDQFDKDPLGQKLPPWGIVSDNSELVSNEKEEQDLVESGKDQFDMDSCGQNLPLQQGSDINNEKIVQSPSLLNNRVTVNESRVHFLEETDENELSRRILMLSRSNKIRSALQLLRSMEFSGLQPNRHACNSLLSCLIRHELHEDALRVFEYMKKNEITTGHAYSLILKAVASTKGCDSALDMFTELEAFSREKKDFDVIVYNTMISVCGKEKNWVETERIWRSMKENGYHGTQVTYSLLVSIFVRCGRNELALEAYSEMVQNGLKPREDTLHAVIGACSKKGNWDLALNIFQNMLDHGLKPNLIACNALINLLGKAGEIKLAFKVFKILKSLGHTPDEYTWNALLSGLYRANQHVDALLLFERLKREQNSLLNEHLYNTALMSCQKLGLWDRALQLVWQAEASGLSVSTASYNLAIGACEVARKPEVALEVYEHMVHQKCPPDTFTYLSLIRSCIWASLWDEVEEILDQVAPDVSLYNAVIHGMCLRGKTESAKKLYMKMGKSGLKPDGALMLQNLRKNSTKRSRFSTCRR >Potri.009G156700.1.v4.1 pep chromosome:Pop_tri_v4:9:12217357:12218960:1 gene:Potri.009G156700.v4.1 transcript:Potri.009G156700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156700.v4.1 MDITTQSLSHAKLPGCSTSLATPIFHSKASLLAHNSITFQSPKNFFTQLQGVRIKAKKQRSLGAVHASGADSTLTDVEERWLLVPVGDGDSGHIGFKVKMPDAFEIASSEVTVGRLPDKADMVIPVATVSALHARIQNKGGNLVVTDLDSTNGTFIDKKRLPPGASVSVSPGSRITFGIITLTRYTIFSRFSA >Potri.009G156700.2.v4.1 pep chromosome:Pop_tri_v4:9:12217367:12218450:1 gene:Potri.009G156700.v4.1 transcript:Potri.009G156700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156700.v4.1 MDITTQSLSHAKLPGCSTSLATPIFHSKASLLAHNSITFQSPKNFFTQLQGVRIKAKKQRSLGAVHASGADSTLTDVEERWLLVPVGDGDSGHIGFKVKMPDAFEIASSEVTVGRLPDKADMVIPVATGVGSTCL >Potri.003G107200.3.v4.1 pep chromosome:Pop_tri_v4:3:12999874:13003652:1 gene:Potri.003G107200.v4.1 transcript:Potri.003G107200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107200.v4.1 MDQTPNPHFQTPQDQSNPPPPPPPPPPETLDFIPVCPNSPPPPPAEEPQFPDPQNPHKTLISNPPQIAPENGHNPQTTTPKPEIPKSLLSENGVANTNSGDRDCSGGEEETTSRRRRRSRWDPPADAGADGSNNNDSGSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGGKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPMKGTAGKKMDDEYQNFLAELGGTMPESATKQTATLALESSGSGNNPPWAGSNTGGLGSANQAGLGANGLKPKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGEIVMAKVIKDRITGLSKGYGFVKYCDVQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPTSTMPAYPIPTQPLGGAYPSQQFTAGGPLPNGPPTSYVGAHASYRGTPVPWGPPVPSPYGPYAPPPPPPPPGSTMYPPIPGQPIPPYGVQYPLPVQPVPSGTLTQTVACSEAQQSYPPGVPSENSLSAPLAASNVYGHSIGYSSYYSAVPPPPPPPATDHSQGMGNVPWASNSTMPPPHSSSAEKARYGADAEYAKFMAEMK >Potri.003G107200.2.v4.1 pep chromosome:Pop_tri_v4:3:12999850:13005205:1 gene:Potri.003G107200.v4.1 transcript:Potri.003G107200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107200.v4.1 MDQTPNPHFQTPQDQSNPPPPPPPPPPETLDFIPVCPNSPPPPPAEEPQFPDPQNPHKTLISNPPQIAPENGHNPQTTTPKPEIPKSLLSENGVANTNSGDRDCSGGEEETTSRRRRRSRWDPPADAGADGSNNNDSGSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGGKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPMKGTAGKKMDDEYQNFLAELGGTMPESATKQTATLALESSGSGNNPPWAGSNTGGLGSANQAGLGANGLKPKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGEIVMAKVIKDRITGLSKGYGFVKYCDVQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPTSTMPAYPIPTQPLGGAYPSQQFTAGGPLPNGPPTSYVGAHASYRGTPVPWGPPVPSPYGPYAPPPPPPPPGSTMYPPIPGQPIPPYGVQYPLPVQPVPSGTLTQTVACSEAQQSYPPGVPSENSLSAPLAASNVYGHSIGYSSYYSAVPPPPPPPATDHSQGMGNVPWASNSTMPPPHSSSAEKARYGADAEYAKFMAEMK >Potri.003G107200.1.v4.1 pep chromosome:Pop_tri_v4:3:12999843:13005224:1 gene:Potri.003G107200.v4.1 transcript:Potri.003G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107200.v4.1 MDQTPNPHFQTPQDQSNPPPPPPPPPPETLDFIPVCPNSPPPPPAEEPQFPDPQNPHKTLISNPPQIAPENGHNPQTTTPKPEIPKSLLSENGVANTNSGDRDCSGGEEETTSRRRRRSRWDPPADAGADGSNNNDSGSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGGKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPMKGTAGKKMDDEYQNFLAELGGTMPESATKQTATLALESSGSGNNPPWAGSNTGGLGSANQAGLGANGLKPKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGEIVMAKVIKDRITGLSKGYGFVKYCDVQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPTSTMPAYPIPTQPLGGAYPSQQFTAGGPLPNGPPTSYVGAHASYRGTPVPWGPPVPSPYGPYAPPPPPPPPGSTMYPPIPGQPIPPYGVQYPLPVQPVPSGTLTQTVACSEAQQSYPPGVPSENSLSAPLAASNVYGHSIGYSSYYSAVPPPPPPPATDHSQGMGNVPWASNSTMPPPHSSSAEKARYGADAEYAKFMAEMK >Potri.003G107200.4.v4.1 pep chromosome:Pop_tri_v4:3:12999919:13002615:1 gene:Potri.003G107200.v4.1 transcript:Potri.003G107200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107200.v4.1 MDQTPNPHFQTPQDQSNPPPPPPPPPPETLDFIPVCPNSPPPPPAEEPQFPDPQNPHKTLISNPPQIAPENGHNPQTTTPKPEIPKSLLSENGVANTNSGDRDCSGGEEETTSRRRRRSRWDPPADAGADGSNNNDSGSGTRKRKSRWADDEPKPVIQLPDFMKDFTGGIEFDPEIQALNARLLEISRMLQSGLPLDDRPEGARSPSPEPIYDNMGIRINTREYRARERLNKERQEIISQIIKRNPAFKPPADYRPPKLQKKLYIPMKEYPGYNFIGLIIGPRGNTQKRMERETGGKIVIRGKGSVKEGRLQQKRDLKPDPSENEDLHVLVEAETQEALDAAAGMVEKLLQPVDEVLNEHKRQQLRELAALNGTIRDEEYCRLCGEPGHRQYACPSRTSTFKSDVLCKICGDGGHPTIDCPMKGTAGKKMDDEYQNFLAELGGTMPESATKQTATLALESSGSGNNPPWAGSNTGGLGSANQAGLGANGLKPKEYDDTNLYIGYLPPNLDDDGLIGLFSSFGEIVMAKVIKDRITGLSKGYGFVKYCDVQMANNAIASMNGYRIDGRTIAVRVAGKPPQPTVPPGPPTSTMPAYPIPTQPLGGAYPSQQFTAGGPLPNGPPTSYVGAHASYRGTPVPWGPPVPSPYGPYAPPPPPPPPGSTMYPPIPGQPIPPYGVQYPLPVQPVPSGTLTQTVACSEAQQSYPPGVPSENSLSAPLAASNVYGHSIGYSSYYSAVPPPPPPPATDHSQGMGNVPWASNSTMPPPHSSSAEKARYGADAEYAKFMAEMK >Potri.019G010500.1.v4.1 pep chromosome:Pop_tri_v4:19:1489194:1490185:-1 gene:Potri.019G010500.v4.1 transcript:Potri.019G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010500.v4.1 MSASTSTTQLFQDFLGDFYSRRLLLHNPLYQSTNTATPPAPGNSAPSEPSTGTGSSFDANVVMVLSVLLCALICSLGLNSIIRCALRCSNIAASESAANPSTQSANTGVNRRALKSFPVVNYSSDLNLPGLDTECVICLSEFTPGERVRLLPKCHHGFHVKCIDKWLSSHSSCPTCRHCLIETCQKIIGCSQASTSGTSLPVQETIVSILPLEPEGLIRDYRENS >Potri.008G031800.2.v4.1 pep chromosome:Pop_tri_v4:8:1704548:1709103:-1 gene:Potri.008G031800.v4.1 transcript:Potri.008G031800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G031800.v4.1 MGRSDSATSLAPGFRFHPTDEELVRYYLKRKVTNKPFRFDAISVTDIYKSEPWDLPDKSKLKSRDLEWYFFSMLDKKYGNGSKTNRATEKGYWKTTGKDRPIRQNSQVVGMKKTLVYHQGRAPRGQRSNWVMHEYRLVDEELEKAGIAQDAFVLCRIFQKSGTGPKNGEQYGAPFIEEEWDDDEVPLLPSEEMVLTEEAPVGDDAYLEMNELGQNFDTGITSENTALPQNFYYGEASNYVEQPRDFSEDDLKPMLRGAENRHGPSLPAEENLDLPGQYETDAVKNEYNNAEPMNNVNAVDVNYLFDESYLDALNNLPQSEGLFLEANDLSNPVEPETGGDSSGFDMLEEYLNFFDADDENMSFDPSDIFASDTAVSNQQPPPQEDVKGGTDEVLKAIQKPLETHGNVGPSSSKQKPEAMEFDSDFKYPFIKQASHMLGKIPAPPALASEFPSKDAALRLQSASSSSIHVTAGVIRIENMSLGGNGTEWSFGKNGNVDVILSFGLPQQEGGPASWVPMTSLFSGKAESVVSRGWLFLMFFWVLILSVSYKIGTCICAK >Potri.005G104700.1.v4.1 pep chromosome:Pop_tri_v4:5:7532006:7538315:-1 gene:Potri.005G104700.v4.1 transcript:Potri.005G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G104700.v4.1 MIFLPSMSIFNFCHRFVPCLADPATRSSLGLKAALVVLHIVYAGILFLFDSDLIEKTKQEPWSYTGLYLLLFVATLIQYFVASYSSPGYVLDAMREVSEKNSLFRKASMLSKQPASSKNGSLVITVEGSQSERNIPESNVTSWTKLVLDMYPPGTSVRTLSCTFCNVEQPPRAKHCHDCDRCVLQFDHHCVWLGACIGWGNHCRFWWYIFEETALCIWTGILYITYLKANISRAWWKDVIMILLLVTLSFAVIFLLLLLIFHSYLILTNQTTYELIRRRRIPYLRGIPERVYPFSEGVCRNLYKFCCARSSIYSLERLPTAMELEDKSRPYTCLGFLTCRCC >Potri.013G055700.2.v4.1 pep chromosome:Pop_tri_v4:13:4072197:4073313:1 gene:Potri.013G055700.v4.1 transcript:Potri.013G055700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055700.v4.1 MIYKKATKPINSSIKDLMSRMILEKKIGQMTHIERSVASVQGVLSEGGSVPSRKASAETWIDMVNEFQKGALLTRLGIPMIHGIGSVHGENDFYKATIFPHSIGLGDAMQVNILLTAKF >Potri.015G009200.4.v4.1 pep chromosome:Pop_tri_v4:15:586595:587895:1 gene:Potri.015G009200.v4.1 transcript:Potri.015G009200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009200.v4.1 MAEFVGPRLYSCCNCRNHVSLHDDIISKAFQGRHGRAFLFSHAMNIVVGPKEDRHLLTGLHTVADISCADCREVLGWKYERAYEASQKYKEGKYIFEKLKIVKENW >Potri.012G024400.1.v4.1 pep chromosome:Pop_tri_v4:12:2405472:2408020:1 gene:Potri.012G024400.v4.1 transcript:Potri.012G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024400.v4.1 MATAASSLTFSTSLPKLCRPFSISITHLPQVSLNFKKLNCSISSALKISRRTAATTTKASSMASSGITTVDQKNKKVVEVFDTEDELAVSLAKYTADLSDKFAKERGSFTVVVSGGSLIKSLRKLVEAPYVDSIDWSKWHVFWVDERVVPKDHPDSNYKLAFDGFLSKVPIPPGNVYAINDALSSEGAADDYETCLKHLVHTGVINKSSVSGFPKFDLMLVGMGPDGHVASLFPGHPLLQENQKWVTHITNSPKPPPGRITFTFPVINSSSYIALVVCGAGKASVVQTALGKSQNSDVFPVQMVSPEGELKWFLDKDAASKL >Potri.012G130900.1.v4.1 pep chromosome:Pop_tri_v4:12:14586262:14587989:1 gene:Potri.012G130900.v4.1 transcript:Potri.012G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130900.v4.1 MRTAHYSLLLCFAFIFVVLSKADHPSNRVQPHALDQSFSPGLILQTVGNCAYTVIISTSCLSPKYTNDQISVVFGDAFGNQVFDPKLINPFTASFEQCSTNTFQVTGSCSLQICYIYFYRNGTNGWIPQSVKIYGSFSSPALFFFNSTDVPEGQWYGTDKCQHFPTAPPPPSPPSSAPGQQIPGWLVYLILGIIATSTFSFY >Potri.014G111800.1.v4.1 pep chromosome:Pop_tri_v4:14:7514001:7515106:-1 gene:Potri.014G111800.v4.1 transcript:Potri.014G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111800.v4.1 MASSAHTFLSFVFYTIFLSFGSYKAEARRFNDISSLVSKGLFDSIFLHKDNNACPAKGFYTYNSFIQASRCFPQFGRTGSSITRKREVAAFLAQISHETTGGWATAPDGPFAWGLCFKEEVSPQSNYCDSSNTQWPCSPGKSYKGRGPIQLSWNYNYGPAGKALGFDGLNNPDIVSNNSLIAFKTALWFWMTEQNPKPSCHNVMIGKYKPTAADVIANRTAGYGLVTNIINGGLECGIPNDGRVNDRIGYFQRYATLFNVSTGSNLDCEDQKSF >Potri.012G128500.1.v4.1 pep chromosome:Pop_tri_v4:12:14412935:14415510:-1 gene:Potri.012G128500.v4.1 transcript:Potri.012G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128500.v4.1 MAPGLRQFTERRGDGAGQPVIDTDNGEEFMLMCREVSIVIGNGSPESPGTLYISTKKVVWFSDVDGTKGYAVDFLSISLHAVSRDPEAYPSPCIYTQIETGEDEDESEGSDSECSDALDLSKVTEMRLVPSDPSQLDTLFQVFCECAELNPEPVEDNEEEHNWIFSADQLSDETAEVEDSEWNFSQNPTSSIGHSNGDHDLARTVLELQINDHRFEDAEEMEHEHESKGRH >Potri.001G456800.1.v4.1 pep chromosome:Pop_tri_v4:1:48281758:48285824:1 gene:Potri.001G456800.v4.1 transcript:Potri.001G456800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456800.v4.1 MNQTSSRLKSLLFKARNFIGIATTSIVAASFSTTSTPKITTATFTAMEELKTRVCIIGSGPAAHTAAIYASRAELKPILFEGWMANDIAPGGQLTTTTDVENFPGFPEGIMGGDLTEKFRAQSARFGTQIFTETVTKVNFSKTPFEVFTDSKRVVADSVIVSTGAVAKKLSFAGSETFWNRGISACAVCDGAAPIFREKPLAVIGGGDSAMEEANFLTKYGSKVYIIHRRDTFRASKIMQSRTLSNPKIEVIWNSVVEEAYGERVVGGLKVKNVVTGEVSDLKVNGLFFAIGHEPATRFLDGQLELDSDGYVATKPGTTKTSVRGVFAAGDVQDKKYRQAITAAGTGCMAALDAEHYLQEIGSQEGKSD >Potri.011G012475.1.v4.1 pep chromosome:Pop_tri_v4:11:1154570:1158965:1 gene:Potri.011G012475.v4.1 transcript:Potri.011G012475.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G012475.v4.1 MAAGKYQESYSSRFPNCKYQVFLSFRGEDTRKNFTDHLYTALVQAGIHTFRDDNEIRRGENIDFELQKAIQQSKISIIVFSKDYASSRWCLDELVMIMERKRNPDHCIVLPVFYDVDPSQVGRQTGSFSAAFVEHEKSFNEEMERVNGWRIALKEVADLAGMVLGDGYEAPFVQSIVEKVLKNLDQKMFHVPPHFIGRDPLVQDINSWLQDGSHAAAIALLYGIGGVGKTAIAKSVYNQNSYKFEGKSFLSNFRSKDIVCLQRQLLSDILKKTVDEINDEDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIVGMQNWLCKGSKIIVTTRNKGLFSANDIEWVRCEVEPLDIEKSFELFSWNVFGQPDPVDGFVEDSWRIVHHCSGLPLALRVIGSSLSGKGREIWESALQQLEVIPNFEVLKVLRISYDFLDGDCLKNLFLDIACFFNGMDVDDAVRILDGLAKGARFGIDNLIDKCLAEINIDQRLWMHQLVRAMGREIARQESAKCQRIWRHEDAFTVLKGTTDAENLCGLTIDMHALMEDNYAEVVCTDSMVLRSLNFFQQWLSNFSIEGKLQTSQTSLFPILSTDAFRKMADVKFLQLNYTNFCGSFEHFPKKLIWLCWHGLSLKSIPNHVCLEKLVVLDLSRSCLVDAWKGKLFLPKLKILDLRHSRDLIRTPDFSGLPSLEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLVELPEEMSRLNSLQELVLDGCSNLNNLNMELEHDQGRKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRFTLFSLPRFLESLDLSGTPIRFLPESIKDLGLLRALYLRNCKMLQALPELPFLLDLLDVSLCYSLQGLANPNSWTEGDGCDHLVEFQDRIKQELIQKLDSQMFRIMETVSAQIQPSRFQILFGHGIFNLVVSVFDDEEKSRWFHGEEEEDKWLIQNEFVDYFSFKISSPPPAHRICGFNLFTGCCVSKLLKSEYTFYDEFFIEIRNNTSGRSLICQAKIFPASYARGLREIQLLSHWKLGVDDPTFDNGDDVSISVRPQGPAIQIRTVGVQWLHEEEGDDDDIQSKDEVINAHNSSDDDDAHVAKVQIASHIFRNYYCAFRTNGGFDRSYYFRLRDASVSLLQSRFYFKKLI >Potri.015G139400.1.v4.1 pep chromosome:Pop_tri_v4:15:14681087:14685577:-1 gene:Potri.015G139400.v4.1 transcript:Potri.015G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139400.v4.1 MDNSIQESLLVPEELSNVDLKTRIWTESKMIWKIAFPAMVARVTSFGMIVVTQAFLGHIGKLELAAYALLQSFIVRFINGILIGMSSATETLCGQAFGARHDHMMGIYLQRSWIVDGAAATILLPLVTFAAPIFRLLGQEEDVAIAAGNMSPWFIPYVYYLVFSLTIQMYLQAQLKNEVVGWFSAISFVLHILLSWIFVNKLELGTAGAMGALTISTWSLVIGLLVYIFGGWCPNTWKGFTKAAFADILPVVKLSISSGFMICLEIWYNSIIILAAGYMKNATTAISAFSICHNILAWEFMLSVGFLGAACVRVANELGRGNAKAAKFSIKIILSTSIVIGVVFWVLCLIFGEEISHFLTSDEEVAETVSSLVVLLAFSILLNSVQPVLTGVAVGAGVQSMVAFVNLGSYYIIGLPAGILLGYVVHLEVQGLWMGLLSGVVVQTLILSYIIWRTDWDEQVNKASERLRRWFLKSEKDSIESSTPA >Potri.016G075700.7.v4.1 pep chromosome:Pop_tri_v4:16:5670652:5681451:-1 gene:Potri.016G075700.v4.1 transcript:Potri.016G075700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075700.v4.1 MAWTENDAGSVREKELIEDNGFLKGSQPSTGTSGSPVVSSPVQKGFEGKDALSYANILRSRNKFADALALYESVLEKDSGIVEAYIGKGICLQMQNMGRLAFDSFAEAIKLDPQNACALTHCGILYKDEGRLLEAAESYHKALKADLSYKPASECLAIVLTDLGTSLKLSGNTQEGIQKYYEALKVDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAAMERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDFGTKVKLEGDISQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFNFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNISMAISAYEQCLEIDPDSRNAGQNRLLAMNYINEGHDDKLFEAHRDWGRRFMRLYPQYTSWDNPKVPDRPLVIGYVSPDYFTHSVSYFIEAPLVYHVYANYKVVVYSAVVKPDAKTNRFKEKVLKRGGIWRDIYGIDEKKVAIMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSFADPPDTKQKHVEELIRLPECFLCYIPSPEAGPVAPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQRFLTMLEQLGLEPLHVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGASLLSNVGLGHLVAKNEEEYVQSALQLASDIAALSNLRMSLRDLMSKSPVCDGPNFTLGLETTYRNMWHRYCKGDVPSLRRIELLQQQEVPKEVPIKNTDSTRITSSRDGPPESIKANGFSAVLLPKVDHTINLG >Potri.016G075700.5.v4.1 pep chromosome:Pop_tri_v4:16:5670652:5681990:-1 gene:Potri.016G075700.v4.1 transcript:Potri.016G075700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075700.v4.1 MAWTENDAGSVREKELIEDNGFLKGSQPSTGTSGSPVVSSPVQKGFEGKDALSYANILRSRNKFADALALYESVLEKDSGIVEAYIGKGICLQMQNMGRLAFDSFAEAIKLDPQNACALTHCGILYKDEGRLLEAAESYHKALKADLSYKPASECLAIVLTDLGTSLKLSGNTQEGIQKYYEALKVDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAAMERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDFGTKVKLEGDISQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFNFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNISMAISAYEQCLEIDPDSRNAGQNRLLAMNYINEGHDDKLFEAHRDWGRRFMRLYPQYTSWDNPKVPDRPLVIGYVSPDYFTHSVSYFIEAPLVYHVYANYKVVVYSAVVKPDAKTNRFKEKVLKRGGIWRDIYGIDEKKVAIMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSFADPPDTKQKHVEELIRLPECFLCYIPSPEAGPVAPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQRFLTMLEQLGLEPLHVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGASLLSNVGLGHLVAKNEEEYVQSALQLASDIAALSNLRMSLRDLMSKSPVCDGPNFTLGLETTYRNMWHRYCKGDVPSLRRIELLQQQEVPKEVPIKNTDSTRITSSRDGPPESIKANGFSAVLLPKVDHTINLG >Potri.016G075700.6.v4.1 pep chromosome:Pop_tri_v4:16:5670579:5681955:-1 gene:Potri.016G075700.v4.1 transcript:Potri.016G075700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075700.v4.1 MAWTENDAGSVREKELIEDNGFLKGSQPSTGTSGSPVVSSPVQKGFEGKDALSYANILRSRNKFADALALYESVLEKDSGIVEAYIGKGICLQMQNMGRLAFDSFAEAIKLDPQNACALTHCGILYKDEGRLLEAAESYHKALKADLSYKPASECLAIVLTDLGTSLKLSGNTQEGIQKYYEALKVDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAAMERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDFGTKVKLEGDISQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFNFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNISMAISAYEQCLEIDPDSRNAGQNRLLAMNYINEGHDDKLFEAHRDWGRRFMRLYPQYTSWDNPKVPDRPLVIGYVSPDYFTHSVSYFIEAPLVYHVYANYKVVVYSAVVKPDAKTNRFKEKVLKRGGIWRDIYGIDEKKVAIMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSFADPPDTKQKHVEELIRLPECFLCYIPSPEAGPVAPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQRFLTMLEQLGLEPLHVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGASLLSNVGLGHLVAKNEEEYVQSALQLASDIAALSNLRMSLRDLMSKSPVCDGPNFTLGLETTYRNMWHRYCKGDVPSLRRIELLQQQEVPKEVPIKNTDSTRITSSRDGPPESIKANGFSAVLLPKVDHTINLG >Potri.016G075700.2.v4.1 pep chromosome:Pop_tri_v4:16:5670516:5682006:-1 gene:Potri.016G075700.v4.1 transcript:Potri.016G075700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075700.v4.1 MAWTENDAGSVREKELIEDNGFLKGSQPSTGTSGSPVVSSPVQKGFEGKDALSYANILRSRNKFADALALYESVLEKDSGIVEAYIGKGICLQMQNMGRLAFDSFAEAIKLDPQNACALTHCGILYKDEGRLLEAAESYHKALKADLSYKPASECLAIVLTDLGTSLKLSGNTQEGIQKYYEALKVDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAAMERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDFGTKVKLEGDISQGVAYYKKALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFNFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDAGNISMAISAYEQCLEIDPDSRNAGQNRLLAMNYINEGHDDKLFEAHRDWGRRFMRLYPQYTSWDNPKVPDRPLVIGYVSPDYFTHSVSYFIEAPLVYHVYANYKVVVYSAVVKPDAKTNRFKEKVLKRGGIWRDIYGIDEKKVAIMVREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSFADPPDTKQKHVEELIRLPECFLCYIPSPEAGPVAPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFCCDSVRQRFLTMLEQLGLEPLHVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCVTMAGAVHAHNVGASLLSNVGLGHLVAKNEEEYVQSALQLASDIAALSNLRMSLRDLMSKSPVCDGPNFTLGLETTYRNMWHRYCKGDVPSLRRIELLQQQEVPKEVPIKNTDSTRITSSRDGPPESIKANGFSAVLLPKVDHTINLG >Potri.006G173700.2.v4.1 pep chromosome:Pop_tri_v4:6:17537229:17541016:-1 gene:Potri.006G173700.v4.1 transcript:Potri.006G173700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G173700.v4.1 MIPPGASKILIGISLDPDHSIELLSWATRVLAQSNDTIVAIHVLVGEESKKRELIKKKQWQIRQAKAHVITVLGEFARTCQSKQINLEARVGFSSDIARVLIEEAKSISADYLLLRGSRNRSNRTWHEVIRYCFEHAPETCTVLSLGKCEPQKNAATIKAENLQPSSWWLSKNADGNTRSGSTSSTIDNHINSETEKQNSSPRTVLHQLEAESHSTEDDTLSFGDSSTTESPPLATNINGRSKTKKQISTCKLISSIFASPMRKRNRIFLNKEKQQPLLKCFTFEEIANATNNFHPDNIVGRGGYSEVYRGDLSDGRAIAVKMLAKDNRDASKEKEFLMELGIIGHVFHPNTANLLGCCIENGLYLIFNFSQNGNLASALHGKTGESLQWPIRYKIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKVTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEIITGRRPVDSSKQNLLLWAKPLMESGNITELADPELEGKFDSEQVDRVVLTASYCVRESSTWRPSMSEVLELLTSGHDSEVARSWRMPKFTSDELDDYSMIFGYEVPVDIALEDYL >Potri.006G173700.6.v4.1 pep chromosome:Pop_tri_v4:6:17537227:17540946:-1 gene:Potri.006G173700.v4.1 transcript:Potri.006G173700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G173700.v4.1 MIPPGASKILIGISLDPDHSIELLSWATRVLAQSNDTIVAIHVLVGEESKKRELIKKKQWQIRQAKAHVITVLGEFARTCQSKQINLEARVGFSSDIARVLIEEAKSISADYLLLRGSRNRSNRTWHEVIRYCFEHAPETCTVLSLGKCEPQKNAATIKENLQPSSWWLSKNADGNTRSGSTSSTIDNHINSETEKQNSSPRTVLHQLEAESHSTEDDTLSFGDSSTTESPPLATNINGRSKTKKQISTCKLISSIFASPMRKRNRIFLNKEKQQPLLKCFTFEEIANATNNFHPDNIVGRGGYSEVYRGDLSDGRAIAVKMLAKDNRDASKEKEFLMELGIIGHVFHPNTANLLGCCIENGLYLIFNFSQNGNLASALHGKTGESLQWPIRYKIALGVARGLHYLHKCCKHRIIHRDIKASNVLLGPDYEPQITDFGLAKWLPNKVTHHAVIPIEGTFGYLAPEYFMHGIVDEKTDVFAFGVLLLEIITGRRPVDSSKQNLLLWAKPLMESGNITELADPELEGKFDSEQVDRVVLTASYCVRESSTWRPSMSEVLELLTSGHDSEVARSWRMPKFTSDELDDYSMIFGYEVPVDIALEDYL >Potri.001G030750.1.v4.1 pep chromosome:Pop_tri_v4:1:2296371:2314204:-1 gene:Potri.001G030750.v4.1 transcript:Potri.001G030750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G030750.v4.1 MAKKGGEFKELEELVRHHIDSFDYMVDRGLEIMLDNIKPVRIYDPHTDTCLSLYLSNPRINAPIKDVRSRTNRKRLLPFECRQAKVSYTGKFEADVCFQYDGRPVIREKYNFGQFPIMLKSKLCYLRSADPQQLVSLKEEASEVGGYFILNGIERVFRCLIMPKQNYPMSMTRGSYRERREGHTDKAVVIRCVREYQSSLTDHSSVTVRLYYLRNGSARVGFWVRGREYLLPVGIVLKALVETTDREIYENLTSYYDEKYEEVKGAVGSQLLGERAKIILDEVRVAALFTQDDCLQHIGEHFQPVVDELENKTPYTVAHAVLKDYVFVHLPNFHDKFSLLIFMLQKLFSFVDHTSAPDNPDSLQNQDILLPGHLMTIYLKEKLEDWLRKAKSLVQDELTKNNTFNFLAVGDVRKVMDKNSPVTVSTAVESMLRSGRLATQTGLDLQQRAGYTVQAERLNYLRFLSFFRAVHRGASFAGGRTTSVRKLLPESWGFLCPVHTPDGEPCGLLNHMTCSCRVTSYFDSQGVVKDFFDIRKSILGVLTSLGMIASLPKLVQAGPPKALSVLLDGRVIGSLPSSEIEKVIAHLRRLKVSATSAIPDDMEVGYVPLSIGGAYPGLYISTYPSRFIRPVRNISIPCEERQDIELIGPFEQVFMEIKCPDGGNGGRSNAFPATHEEIHPTNMLSVVANLTPWSDHNQSPRNMYQCQMAKQTMAFSLQSLRFRADQKIYHLQTPQTPIVRTGAYTKYSIDEHPTGTNAIVAVLAYTGYDMEDAMILNKSSVDRGMFHGQIYQTETIDLSDEGSRSDRSHRRFRRSNTDRSSHSLIDSDGLPYVGQIINPNEPYCSIYNDITNTSKPKYRKGSEPAIVDYVAVDTKSKKHLQKVNIRFRHPRNPIIGDKFSSRHGQKGVCSQLWPDIDMPFSGVTGMRPDLIINPHAFPSRMTIAMLLESLAAKGGSLNGNFVDATPFASTVRASNAGEAKSEPESLVDELGKMLKSKGFNHHGVEVLYSGVYGTELTCEIFIGPVYYQRLRHMVSDKFQVRSTGPVDQITRQPIKGRKRGGGIRFGEMERDSMLAHGAAYLLHDRLHTCSDYHIADVCSICGSILTTHYQPQRRIVRDIGGLPPVKAPKKVVCHACQTSKGIETVAMPYVFRYLAAELAAMNIKMTLHLNDGA >Potri.017G129100.10.v4.1 pep chromosome:Pop_tri_v4:17:13246309:13251871:1 gene:Potri.017G129100.v4.1 transcript:Potri.017G129100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129100.v4.1 MSGRTIHSCHALAEACRFVYNDAKFVNERARNDIILLSRGISRLDARARKGVAILGSGFLKLDARAREDTEKIDRDVKEKAERLHHIATIIKDRAQTKLKTAADKHWSDGALEADLRLADFRAKQRAMEDALMALEFVKNIHELMVSKMYKFPLRKEEGSLTANGILGNIMLEKNGRTLDFFPGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLVTTLIDLDAMDGKGSVSLLAECSNSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDKNPAIANAASKTIHELKKQWEIQEGDSWRFMMNQKPVEEVDSQEDNNDADTG >Potri.017G129100.14.v4.1 pep chromosome:Pop_tri_v4:17:13246209:13251870:1 gene:Potri.017G129100.v4.1 transcript:Potri.017G129100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129100.v4.1 MSGRTIHSCHALAEACRFVYNDAKFVNERARNDIILLSRGISRLDARARKGVAILGSGFLKLDARAREDTEKIDRDVKEKAERLHHIATIIKDRAQTKLKTAADKHWSDGALEADLRLADFRAKQRAMEDALMALEFVKNIHELMVSKMYKFPLRKEEGSLTANGILGNIMLEKNGRTLDFFPGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLVTTLIDLDAMDGKGSVSLLAECSNSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDKNPAIANAASKTIHELKKQWEIQEGDSWRFMMNQKPVEEVDSQEDNNDADTG >Potri.017G129100.13.v4.1 pep chromosome:Pop_tri_v4:17:13246211:13251871:1 gene:Potri.017G129100.v4.1 transcript:Potri.017G129100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129100.v4.1 MALNASKVSSSPFVTQRKLTSTSHGIICSFSKSFQKNKLHPTHQGIELQQLSSKHLLTAKLAFSGESLQGIHGKPVSLIISRRSSTLCQSTRTHRTEEKECTRPYSDSSDSSSGISRLDARARKGVAILGSGFLKLDARAREDTEKIDRDVKEKAERLHHIATIIKDRAQTKLKTAADKHWSDGALEADLRLADFRAKQRAMEDALMALEFVKNIHELMVSKMYKFPLRKEEGSLTANGILGNIMLEKNGRTLDFFPGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLVTTLIDLDAMDGKGSVSLLAECSNSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDKNPAIANAASKTIHELKKQWEIQEGDSWRFMMNQKPVEEVDSQEDNNDADTG >Potri.017G129100.8.v4.1 pep chromosome:Pop_tri_v4:17:13246209:13251873:1 gene:Potri.017G129100.v4.1 transcript:Potri.017G129100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129100.v4.1 MALNASKVSSSPFVTQRKLTSTSHGIICSFSKSFQKNKLHPTHQGIELQQLSSKHLLTAKLAFSGESLQGIHGKPVSLIISRRSSTLCQSTRTHRTEEKECTRPYSDSSDSSRAQVGEKEDEHQLMSGRTIHSCHALAEACRFVYNDAKFVNERARNDIILLSRGISRLDARARKGVAILGSGFLKLDARAREDTEKIDRDVKEKAERLHHIATIIKDRAQTKLKTAADKHWSDGALEADLRLADFRAKQRAMEDALMALEFVKNIHELMVSKMYKFPLRKEEGSLTANGILGNIMLEKNGRTLDFFPGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLVTTLIDLDAMDGKGSVSLLAECSNSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDKNPAIANAASKTIHELKKQWEIQEGDSWRFMMNQKPVEEVDSQEDNNDADTG >Potri.017G129100.12.v4.1 pep chromosome:Pop_tri_v4:17:13246309:13251871:1 gene:Potri.017G129100.v4.1 transcript:Potri.017G129100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129100.v4.1 MALNASKVSSSPFVTQRKLTSTSHGIICSFSKSFQKNKLHPTHQGIELQQLSSKHLLTAKLAFSGESLQGIHGKPVSLIISRRSSTLCQSTRTHRTEEKECTRPYSDSSDSSRAQVGEKEDEHQLMSGRTIHSCHALAEACRFVYNDAKFVNERARNDIILLSRGISRLDARARKGVAILGSGFLKLDARAREDTEKIDRDVKEKAERLHHIATIIKDRAQTKLKTAADKHWSDGALEADLRLADFRAKQRAMEDALMALEFVKNIHELMVSKMYKFPLRKEEGSLTANGILGNIMLEKNGRTLDFFPGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLVTTLIDLDAMDGKGSVSLLAECSNSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDKNPAIANAASKTIHELKKQWEIQEGDSWRFMMNQKPVEEVDSQEDNNDADTG >Potri.017G129100.11.v4.1 pep chromosome:Pop_tri_v4:17:13246209:13251872:1 gene:Potri.017G129100.v4.1 transcript:Potri.017G129100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G129100.v4.1 MALNASKVSSSPFVTQRKLTSTSHGIICSFSKSFQKNKLHPTHQGIELQQLSSKHLLTAKLAFSGESLQGIHGKPVSLIISRRSSTLCQSTRTHRTEEKECTRPYSDSSDSSRAQVGEKEDEHQLMSGRTIHSCHALAEACRFVYNDAKFVNERARNDIILLSRGISRLDARARKGVAILGSGFLKLDARAREDTEKIDRDVKEKAERLHHIATIIKDRAQTKLKTAADKHWSDGALEADLRLADFRAKQRAMEDALMALEFVKNIHELMVSKMYKFPLRKEEGSLTANGILGNIMLEKNGRTLDFFPGEVSTDRITAIQEAYWSMASALSEADGIDYTDPEELELLVTTLIDLDAMDGKGSVSLLAECSNSPDVNTRQALANALAAAPSMWTLGNAGMGALQRLAEDKNPAIANAASKTIHELKKQWEIQEGDSWRFMMNQKPVEEVDSQEDNNDADTG >Potri.013G108900.1.v4.1 pep chromosome:Pop_tri_v4:13:11741434:11742975:1 gene:Potri.013G108900.v4.1 transcript:Potri.013G108900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108900.v4.1 MDLSVVPYQQQHHHKSETVHDQDVDIVLHTKPITTMISNPKATKDPCKNVVKYKECMRNHAASIGGHANDGCGEFMPRGDDGTRDWLTCAACGCHRNFHRRESSTKRQHQQQLLLSPPPLQPQQFLLYGAPTTKNMNPVHDFMSRPHDEDDDDDGLDDLDNDRRSETPERGEVNVVGSGGKGFMVKSTSGSSNKRFRTKFTQEQKERMLEFAEKIGWRIQKHDDMALNQFCNEVGIKRNVLKVWMHNNKNAHRRRDGVPPVSAEAPPPPLPPSAPPQPVGV >Potri.014G022500.1.v4.1 pep chromosome:Pop_tri_v4:14:1345928:1346863:-1 gene:Potri.014G022500.v4.1 transcript:Potri.014G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022500.v4.1 MASSRKDVDRIKGPWSPEEDEALQRLVQTYGPRNWSLISKSIPGRSGKSCRLRWCNQLSPEVEHRPFSAEEDDTIIRAHARIGNKWATIARLLNGRTDNAIKNHWNSTLKRKCSSMFDDLNDDAQQQPLKRSASLGAGSGLHLNPSSPSGSDLSDSSIPGVNSSPVFRPPVKTASLVPPSLSIDVSSPTVDPPTSLSLSLPGSITCQAPGSGSSSGSHVVNPTPMVQTPAAPPQAAVAVQQQEQVSFLQQKNPGSRLENQFFSAEFLAVMQEMIRKEVRNYMSGIEQNGLCLGTEAIRNAVVKRIGISRIE >Potri.008G061400.1.v4.1 pep chromosome:Pop_tri_v4:8:3682602:3683505:-1 gene:Potri.008G061400.v4.1 transcript:Potri.008G061400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G061400.v4.1 MEGMQVLGLALALILFMITTHAHGQYYSQSVPYESLPERTTNLHFFFHDTLSGKNPSAVLVARPNITTGQSLAPFGSIFVFHDPLTVGPELTSEVIGNAQGLYVSSSQDIPSLVAYFDFGFTTGEFNGSSISVFSRNPIINTERELAVVGGRGKFRLARGFAQLKTYFINATNGDAIVEYNVTVIHY >Potri.001G071400.1.v4.1 pep chromosome:Pop_tri_v4:1:5393273:5394995:1 gene:Potri.001G071400.v4.1 transcript:Potri.001G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071400.v4.1 MDDEKQLLVEVTEELQSLADTSLQNVLWDKRSIYKIPASVTALNKTAYMPQTVSFGPYHHGDDHLKPMEEHKQRALTYYLNRGGRRLQAVVESLNDEIQVLKDSYDMLGDSWKDDKNKFLQLMILDGCFMLEIIRLASHSLDGYAANDPIFSSHGRLYIAPYIRRDMLLLENQLPMLVLYKLFALESNGAQDEGFVNQLVLNFCYPNAPVSKLDKSLHVLDLYRKSLILEDPAWKMRRPRVTGGLLNDVNDIFRSATEINDANDIFRSATEINDAGIQFKKGKTKSLRGISFHRGVLELPVIVVDDATEATFLNLIAFERLHVGAGNEVTSYVFFMDSIIDNERDVALLHSRGIIQNAIGSDMAVAELFNSLSKDIALDPDSSLEVVHMQVNAYCQMPWNEWRAYLIHTYFRNPWALLSVIAAFILFALTGAHTVYSIIPYYNSNYSTLPFSPTGLAAPPPLPTPRPPRTPPRWPPGKP >Potri.004G154900.3.v4.1 pep chromosome:Pop_tri_v4:4:17534294:17537377:1 gene:Potri.004G154900.v4.1 transcript:Potri.004G154900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G154900.v4.1 MKKERSLQRRSQRTGVLINYPFEKGPLSSHFRGEHALRRYHTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFTTETHEELLYDKEKLLDNGDRWETEIAENLRSESLYR >Potri.004G154900.2.v4.1 pep chromosome:Pop_tri_v4:4:17534295:17537352:1 gene:Potri.004G154900.v4.1 transcript:Potri.004G154900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G154900.v4.1 MAAILARKSLHTLRARQIAVSGQALQGSNQYALKSSAHLYSTQKEDEEREELAKEISKDWSSGNINYPFEKGPLSSHFRGEHALRRYHTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFTTETHEELLYDKEKLLDNGDRWETEIAENLRSESLYR >Potri.004G154900.4.v4.1 pep chromosome:Pop_tri_v4:4:17534312:17537270:1 gene:Potri.004G154900.v4.1 transcript:Potri.004G154900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G154900.v4.1 MAAILARKSLHTLRARQIAVSGQALQGSNQYALKSSAHLYSTQKEDEEREELAKEISKDWSSVFERSINTLFLTEMVRGLSLTLKYFFEKKVTINYPFEKGPLSSHFRGEHALRRYHTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFTTETHEELLYDKEKLLDNGDRWETEIAENLRSESLYR >Potri.004G154900.5.v4.1 pep chromosome:Pop_tri_v4:4:17534312:17537377:1 gene:Potri.004G154900.v4.1 transcript:Potri.004G154900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G154900.v4.1 MAAILARKSLHTLRARQIAVSGQALQGSNQYALKSSAHLYSTQKEDEEREELAKEISKDWSSVFERSINTLFLTEMINYPFEKGPLSSHFRGEHALRRYHTGEERCIACKLCEAICPAQAITIEAEEREDGSRRTTRYDIDMTKCIYCGFCQEACPVDAIVEGPNFEFTTETHEELLYDKEKLLDNGDRWETEIAENLRSESLYR >Potri.014G160600.1.v4.1 pep chromosome:Pop_tri_v4:14:11494698:11498096:-1 gene:Potri.014G160600.v4.1 transcript:Potri.014G160600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G160600.v4.1 MQISIPLFSSPPNPKIPSLFPFQHLPSIFHGKGKPTTLTTRNFHFLPLKIKNKPFIVKASSFLSEIGRAIEEEEDYRKARAAVIRKGIDLGGYAIEGLSIGGQETCIIIPEFKCAFDIGRCPTRAIHQNFVFITHAHLDHIGGLPMYVASRGLYSLKPPTIFVPPCIKDDVEKLFDIHRAMGQVELNFDLVALDVGETYELRNDVVVRPFRTQHVIPSQGYVIYSVRKKLKKQYIHLKGKQIEKLKKSGVEITDIILSPEVAFTGDTTAEYMLDPRNADALRAKVLITEATFLDEDFTTEHARQRGHTHLFEIIENAKWIRSKAILLTHFSSRYSIEDIREAVSKLQSRVSAKVVPLTEGFKSMYS >Potri.003G067800.1.v4.1 pep chromosome:Pop_tri_v4:3:9489203:9490996:-1 gene:Potri.003G067800.v4.1 transcript:Potri.003G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067800.v4.1 MSTTIISDPMVVSAPETQATAAAAAAATTATQLIAQIEVESVKCDCCGLIEECTPAYIERVRERYHGKWICGLCAEAIKYEIVRTERLISTEEAMTKHMNFCKKFVSSGPPPDPTTHLIAAMRQILRRSLDSPRGLRSTPSSPNKTNGAIRAAALARSESCFPALSG >Potri.011G134100.1.v4.1 pep chromosome:Pop_tri_v4:11:16714722:16717177:-1 gene:Potri.011G134100.v4.1 transcript:Potri.011G134100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G134100.v4.1 MDPPFEEKYKSLLANATLLSKDKDDVIMSDYEEYELPIIDLHRLTLSFSEREQCIKEIRQAASEWGFFQVVNHGIPQEILERIQLEQRKLFHHPFSKKAEENILNLSENNGYRWGNHTATCLRQISWSEAFHIPLTDISKIGGEYKSLRESIEAYAASAEKLAKEMTEILAKNLDISSTYFQENFLPETNYLRMNRYPPCPFYSEVFGILPHTDSCFVNVLIQDQIGGLQLRVNGEWISVKPHPEALLINLGDLFQALSNDVYKSIRHRVVLASKQVERLSLAYLYCPRNDAVIQSGMKPSIYRKFTFEELMKQNSRDIEETGRKLGISRFLM >Potri.011G030000.4.v4.1 pep chromosome:Pop_tri_v4:11:2443196:2446916:1 gene:Potri.011G030000.v4.1 transcript:Potri.011G030000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030000.v4.1 MDSSKLVLLLSFSYILLHQPSLSAAQPKFIYYNCNYNNVGNYTTNSTYQRNLNSLLSSLASDTQIDYGFYNLSVGEFPDRVNAIALCRGDVAVDVCRSCVNDSTHKILEVCPNKMGAFGVYALCMIRYSNTSIFGVVDEKPSIYQANGKNVLDVTLFNQALQTLFARLRAKAASGNSLKKFATGNQSAGVETVYAIVQCTPDLSEGQCSSCLLDLFRMITNCCDGNVKGKIGAKLIRPSCNLRWEIGKFFNGTLEILPSPPPPQISSPTSLPAPAQGKKSNTVRIIVITVVPAVGAVILVICMCLFIRTRKQREKERIETGDEIESAESLQFAFSTIRDATEDFSEKNKLGQGGFGAVYKGALPSGQEIAVKRLSKDSGQGDLEFKNEVLLVARLQHRNLVRLLGFCLQGIERLLIYEFVPNASLDHFIFDPIKRVHLNWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGMARLFVVDQTQGNTSRIVGTYGYMAPEYAMQGHFSVKSDVFSFGVLVLEIVTGKKNSFRNGNDIEHLLSHAWRNWREGTAQDIIDPVLSSGSATEMLRCIHIGLLCVQENVAERPTMASVVLMLSSSSLTLQIPSQPAFFMNSSTYQSDLSSSMEHNSRVTESSLSESVAIPLSKNEVSITELYPR >Potri.011G030000.3.v4.1 pep chromosome:Pop_tri_v4:11:2443192:2446914:1 gene:Potri.011G030000.v4.1 transcript:Potri.011G030000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030000.v4.1 MYASTVPMDSSKLVLLLSFSYILLHQPSLSAAQPKFIYYNCNYNNVGNYTTNSTYQRNLNSLLSSLASDTQIDYGFYNLSVGEFPDRVNAIALCRGDVAVDVCRSCVNDSTHKILEVCPNKMGAFGVYALCMIRYSNTSIFGVVDEKPSIYQANGKNVLDVTLFNQALQTLFARLRAKAASGNSLKKFATGNQSAGVETVYAIVQCTPDLSEGQCSSCLLDLFRMITNCCDGNVKGKIGAKLIRPSCNLRWEIGKFFNGTLEILPSPPPPQISSPTSLPAPAQGKKSNTVRIIVITVVPAVGAVILVICMCLFIRTRKQREKERIETGDEIESAESLQFAFSTIRDATEDFSEKNKLGQGGFGAVYKGALPSGQEIAVKRLSKDSGQGDLEFKNEVLLVARLQHRNLVRLLGFCLQGIERLLIYEFVPNASLDHFIFDPIKRVHLNWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGMARLFVVDQTQGNTSRIVGTYGYMAPEYAMQGHFSVKSDVFSFGVLVLEIVTGKKNSFRNGNDIEHLLSHAWRNWREGTAQDIIDPVLSSGSATEMLRCIHIGLLCVQENVAERPTMASVVLMLSSSSLTLQIPSQPAFFMNSSTYQSDLSSSMEHNSRVTESSLSESVAIPLSKNEVSITELYPR >Potri.001G333100.1.v4.1 pep chromosome:Pop_tri_v4:1:34148017:34150052:1 gene:Potri.001G333100.v4.1 transcript:Potri.001G333100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G333100.v4.1 MAWLNRLAITAAPSLRRVSRFPGSRGYGSAAAVQCNYDYYDDYYSEAVEDYGQLNRLKPNLESVAGSASGRGVQWVLIGDPGAKKHVYAEKLSKLLEVPHISMGTLLRQELNLNSSVYKQIANAVNEGKLVPEDVIFGLLSKRLEEGYYRGENGFILDGIPRTRIQAEILDQIADIDLVVNFKCGEGNVVKKNLISAENSSYSVADAGSVSNEKSRIYAQEGKALEGYYRKQQKLLDFQVAGGSGETWQGLLAALHLKHLSAVSSSPKLAA >Potri.004G007300.1.v4.1 pep chromosome:Pop_tri_v4:4:439572:441323:1 gene:Potri.004G007300.v4.1 transcript:Potri.004G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007300.v4.1 MASLAHVLLLSCLATFCASTLQGDSLNYKPKALSSYLPRNYKKVLNTIDSCWRTESDWATNRRALADCAVGFGQAAIGGKCGKTYVVTTPDDDPTNPKPGTLRYGAIRTEPLWIIFARDMVITLENELMINSYKTIDGRGANVEITGGPCLKIEYVSHVIIHGISIHDCKPGKRGLVRSSPTHVGERRGADGDAIAISASSNIWIDHCYLARCMDGLIDVIHATTAVTISNNYFTEHDKVMLLGHNDKYTEDQVMKVTVVFNHFGPKLNQRMPRVRFGYAHVANNRYDKWQMYAIGGSAGSTIFSEGNYFIAPDISYAKEVTKREVYGGWKNWKWRSSKDVFMNDAYFVQSGYGRCAPRYSKAQSFTVSPGAMAPALTSDAGPLSCVVGEAC >Potri.018G145504.1.v4.1 pep chromosome:Pop_tri_v4:18:15120470:15121178:-1 gene:Potri.018G145504.v4.1 transcript:Potri.018G145504.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145504.v4.1 MPPKFDPSQVVDVYVRVTGGEVGAASSLAPKIGPLGLSPKKIGEDIAKETAKDWKGLRVTVKLTVQNRQAKVTVVPSAAALVIKALKEPERDRKKTKNIKHNGNISLDDVIEIAKVMSVRSMAKDLSGTVKEILGTCVSVGCTVDGKDPKDLQQEITDGDVEISE >Potri.010G065733.1.v4.1 pep chromosome:Pop_tri_v4:10:9426929:9428107:-1 gene:Potri.010G065733.v4.1 transcript:Potri.010G065733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065733.v4.1 MMVCRQIRVGDTLLYNLYFSPVILQQLSSSLCYQSINLHGSSDSSRRENLAKTMMDLDSVSVTSDPRINQNPALHLLKKKDQQHSTPNPATIPFLHSLHLLSNPTFQHCFDDNPHFC >Potri.005G246400.2.v4.1 pep chromosome:Pop_tri_v4:5:24101013:24105252:1 gene:Potri.005G246400.v4.1 transcript:Potri.005G246400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246400.v4.1 MGDTESGSSGAVDFVPRNQRQKLDVFNDVLYRLKESNDEEASRLGFEDELWAHFCRFPTRYAMDVIAERAEDVIMHKRLLQMAHNPATRPAIEVRLVQVPFQSDGHSGDSVDSELQLQYFDYLGKHSIHPPPAFGSLSDSEPLHKYQDKDITVITGPLCFRLVHEITISTIDKPKLLSQLTSILSEIGLNIQEAHAFSTIDGYSLVVFVVDNMALEDTERLRSMVFKEIPKIERETLIRSES >Potri.010G093900.2.v4.1 pep chromosome:Pop_tri_v4:10:11807064:11811841:-1 gene:Potri.010G093900.v4.1 transcript:Potri.010G093900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093900.v4.1 MEACFAKCSHGSALFKALKPLKLKLSSSSSMPIHSAGATESVKKAYDALLLDAGGTLLQLTRPVEETYASIGRKYGLTASSADIKQGFKRAFAAPWPEKLRYQGDGRPFWKLVVSEATGSTNNDYFEEVYKYYANGEAWYLPDGAYEALYLLKDAGVKVVVVSNFDTRLRKLLKDLNVIELFDSLIISSEVGYEKPDPKIFEAALVEASVEAGKAVHVGDDLKADKQGANAIGIDCWLWGADVKTFSDIKNRILVHY >Potri.015G000800.1.v4.1 pep chromosome:Pop_tri_v4:15:14336:17624:-1 gene:Potri.015G000800.v4.1 transcript:Potri.015G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G000800.v4.1 MPVKIPRTVLFSPLIFFSFLIPVSYSFAFTITNNCPYTIWPGTLAGAGTPQLASTGFRLDSGYSMRIPSVPGWSGRIWARTGCTFDESGNGTCQTGDCGGRLECNGMGASPPASLFEVTLGTGDAKDYYDVSLVDGYNLPLVAAPVGVHGACNATGCLSDINMGCPKELQVAGGDGGEGGVVACKSACEAFGLDQYCCGGEFANPTTCQPSFYSTIFKRACPRAYSYAFDDGTSIFTCKADDYAIVFCPTAKGIKTPDGAHAATMIPNPGNGETMKLVSSSNILQPLPLLFLLLILSLTL >Potri.010G097600.1.v4.1 pep chromosome:Pop_tri_v4:10:12083540:12091577:-1 gene:Potri.010G097600.v4.1 transcript:Potri.010G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G097600.v4.1 MELTNGELIKADAQEKLGINVAEANGSVADGNLVKGIIQTGLAKENLSKSVHPSPNSNSDFNYDDPAGDIKPAQKDQQEDGFCEIEMEEEKQDSATEIFSSDDAVERITSTGNHVEKEENDDIIAVSPKVNGYIQVIQPDIHLPKPEAPPGLSPSSTPSPQQNDDVVTRSKSLPNSFTVVDMPSIGKFIKDRSNSLSASISKRFSFFKSDDGDDYNMNHKVNSFDSGVTRFNISGLKVTVKLKKDDEEEQIKGRISFFSRSNCRDCTAVRSFFRERGLKFVEINIDVYRQREKELIERTGNSQVPQIFFNEKLFGGLVALNSLRNSGGFEQRLKEMLAKKCSGNAPAPPVYGFDDHEEESTDEMVWIVKVLRQKLPIQDRLMKMKIVKNCFAGNEMVEVIIHHFDCGKKKAVEIGKQLARKHFIHHVFGENDFEDGNHYYRFIEHEPFIPKCYNFRGSTNDSEPKPAVVVGQRLHKIMSAILESHASDDRCLVDYAGISKSEEFRRYDNLAQDLHRVDVLKLSQDEKLAFFLNLHNAMVIHAVIRVGCPEGAIDRRSFYSDFQYIVGGSPYSLNTIKNGILRSNRRSPYSLVKPFGTGDKRLEVVLPKVNPLIHFGLCNGTRSSPSVRFFTPQGIEAELRCATREFFQRNGIEVDLEKRTVYLTRIIKWFSGDFGQEKEILRWIINYLDATKAGLLTHLLGDGGPVNIVYQDYDWSINA >Potri.002G191300.1.v4.1 pep chromosome:Pop_tri_v4:2:15339931:15344026:1 gene:Potri.002G191300.v4.1 transcript:Potri.002G191300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191300.v4.1 MASAAVKPGSLTSLQFHSSLNKQLPLFDSLLKPLKLKNSRFSQFNLTLNTSRNASIIPTIRAQSSSVPEYIPDAKFYKIEAILRPWRVSQVSSALLKIGIRGVTVSDVRGFGAQGGSKERHGGSEFSEDKFVAKVKLEIVVSKDQVEAVIEKVKDEAWTGEIGDGKIFLVPVADVIRIRTGERGEKAERMTGGLSDMTFSA >Potri.018G098600.1.v4.1 pep chromosome:Pop_tri_v4:18:11927533:11930889:-1 gene:Potri.018G098600.v4.1 transcript:Potri.018G098600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G098600.v4.1 MDPLKLSNVIVNSTGEFHESVTCFTLPPKVNSPGLEEYLVNSKFEPLSYTLPNLEVLMAVVFGITQVLNFAFRRIGLPSLISQILAGLIFNPAVLPHSVSKVLFSRAGVENLVTVATMGYQLFMFQSGVKMDMEMLRNVEGKVLLLGVSCVLLPLLLGLATLTVMTKKEYLMNFFIATVYSMSSFPVIVSLLHELKLLNSQLGRLGLSTALVSDLVGLLLLIVSSLLRAADHELNETGDGVIGMLVFILTVALILRPALNLLARKMCDSLKELYVYFIISLFLGSVLLSHINGLAVFYGPFIVGLAVPSGPPLGSSVLEKFEAITGYILAIFVTSCGMRVDFANTKFDEIKLSIAAVALTVITSAKFLVCYVSHSFFWESPTKNGAAFALIMCAKGVVELALYSFLDDAQAIMDDAFIFMVGTVIVFGSVVPILVRRLYNPEKRYVGCLKRNLIESRQNSELQIISCIHAPGDVNAVINLLDASCGGDSPTAVTVLHHIKLVGQSTPLFISHRKGRVIVCDYLHSMNVIRLFNEFEQNSRGSLSVNAVTAVSLLKFMYDDIFSLAVEKLASLIILPFHIRWWKQDGSIQSEDQSLRELNNRVLEKAPCSVGILVDRCSNRRLVYKEDAPAVINVAMIFLGGDDDREALTFAIRMAQDTRVKLCVAHLLPANLNELEAKQDNVALKGVKEKDHITFGEEVVDGAATTVSLIRSMVPEYELIIVGRRDNLDGITPQTSGLRQWCEYPELGLIGDLIISDDNKADCSLFVVQQAREQVEEQQQQMP >Potri.006G011300.1.v4.1 pep chromosome:Pop_tri_v4:6:746252:752427:-1 gene:Potri.006G011300.v4.1 transcript:Potri.006G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011300.v4.1 MKRLKIEPSSTRRCKLSHMLLAVGAIYLVFLAFKFPHFLEIASMLSVDDSYAGLDSSSMVKDVEDSDLSKPSFSSVYKDAFHRKLEDNQNQNAPMMPSKEPLEDEKGGSKTIKPLQYRYGRITGEIMRRRNATMKLSPLERMADEAWLLGLKAWEEVEKYDGEEIGQSLLYEGKIESCPLWVSMSGEELAGGDKMMFLPCGLSAGSSITIVGTPHHAHQEYVPQLARLRNGNGIVMVSQFMIELQGLKSVEGEDPPKILHLNPRLRGDWSQHPVIEHNTCYRMQWGTAQRCDGLPSKKDEDMLVDEHARCEKWMRDDNVDSKESKTASWFKRFIGREQKPEVTWPFPFVEGGLFILTLRAGVDGYHITVGGRHVTSFPYRPGFTLEDATGLAIKGDVDVHSVFATSLPSSHPSFSPQRVLEMSEKWKAHPLPKSPIQLFIGILSATNHFAERMAVRKTWMQSSVIKSSNVVARFFVALNPRKEVNAVLKREAAYFGDIVILPFMDRYELVVLKTIAICEFGVRNVSAAYIMKCDDDTFVRVDTVLKEIDRTSPNKSLYMGNLNLLHRPLRNGKWAVTFEEWPEEVYPPYANGPGYVISTDIAKFVIAQHGKRSLRLFKMEDVSMGMWVEQFNSSTPVQYSHNWKFCQYGCLENYYTAHYQSPRQMICLWDKLARGRAQCCSFR >Potri.006G011300.2.v4.1 pep chromosome:Pop_tri_v4:6:736566:752370:-1 gene:Potri.006G011300.v4.1 transcript:Potri.006G011300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G011300.v4.1 MKRLKIEPSSTRRCKLSHMLLAVGAIYLVFLAFKFPHFLEIASMLSVDDSYAGLDSSSMVKDVEDSDLSKPSFSSVYKDAFHRKLEDNQNQNAPMMPSKEPLEDEKGGSKTIKPLQYRYGRITGEIMRRRNATMKLSPLERMADEAWLLGLKAWEEVEKYDGEEIGQSLLYEGKIESCPLWVSMSGEELAGGDKMMFLPCGLSAGSSITIVGTPHHAHQEYVPQLARLRNGNGIVMVSQFMIELQGLKSVEGEDPPKILHLNPRLRGDWSQHPVIEHNTCYRMQWGTAQRCDGLPSKKDEDMLVDEHARCEKWMRDDNVDSKESKTASWFKRFIGREQKPEVTWPFPFVEGGLFILTLRAGVDGYHITVGGRHVTSFPYRPGFTLEDATGLAIKGDVDVHSVFATSLPSSHPSFSPQRVLEMSEKWKAHPLPKSPIQLFIGILSATNHFAERMAVRKTWMQSSVIKSSNVVARFFVALNPRKEVNAVLKREAAYFGDIVILPFMDRYELVVLKTIAICEFGVRNVSAAYIMKCDDDTFVRVDTVLKEIDRTSPNKSLYMGNLNLLHRPLRNGKWAVTFEEWPEEVYPPYANGPGYVISTDIAKFVIAQHGKRSLRLFKMEDVSMGMWVEQFNSSTPVQYSHNWKFCQYGCLENYYTAHYQSPRQMICLWDKLARGRAQCCSFR >Potri.005G219800.1.v4.1 pep chromosome:Pop_tri_v4:5:22226606:22227989:1 gene:Potri.005G219800.v4.1 transcript:Potri.005G219800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219800.v4.1 MSSDEPTVTVDGSTEPPTSEPADGKPATKPSRARKAKESKAKKAPAPKKLRPRSPSAHPPYEEMVKDAIVTLKEKTGSSQYAITKFLEEKHKQLPSNFKKLLLFHLKKLVAAGKIVKVKGSFKLPSAKSSAPAKPAAASPAKKKPATAAKPKAKSKPAAPKAKETKSTKSTVKSPAKSKAAAKPKPKPKAAAAKPKATAKAKPKAAPAKAKTAAAKPKTTPAKPKAKERPAKALRTSSRTSPGKKAVTTKATSKKAPAKTVKPKSVGAPKKKVAAKKVVAKKGKK >Potri.005G245000.1.v4.1 pep chromosome:Pop_tri_v4:5:24018725:24023806:1 gene:Potri.005G245000.v4.1 transcript:Potri.005G245000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245000.v4.1 MGKCFSRSCSHDIPISSSSDDAYYQPTPPKRPPLPPTLTTPSSKTSGTSQTIVTSSSNIGPILGKPYVEITTIYDLDKELGRGQFGITYLCTEKASGRMYACKSISRRKLVKVKDIEDVKREILILQHLTGQPNIVEFKGAYEDKQNLHLIMELCSGGELFDRILAKGSYSESEAATIIRQIVNVVHACHFMGVMHRDLKPENFLLASKDPNALIKATDFGLSVFIEEGKVYNDIVGSSYYVAPEVLKRSYGKEIDVWSAGIILYILLSGVPPFWAEAEKGIYEAILNGNLDLQSKPWPKISPSAKDLIKKMLTRDPKSRITAAQALDHPWMKVGGEASNTLIDSVVLIRMKQFRAMNKLKKLALKVIAENLSEEEIKGLRQMFNNMDTDRSGTITYEELKSGLLRLGSKLTEVEIKQLMDGADVDNNGTIDYVEFITATMHRHRLEKEENLYKAFQYFDKDNSGFITRDELRQAMSQYGMGDEATIDEVIEDVDTDKDGNINYEEFATMMRK >Potri.016G067200.3.v4.1 pep chromosome:Pop_tri_v4:16:4745297:4746638:-1 gene:Potri.016G067200.v4.1 transcript:Potri.016G067200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067200.v4.1 MGDEEIRGGDKLILRGLKFHGFHGVKPEERALGQKFLIDVDAWMDLQAAGKSDCLSDTISYTEIYRIVKEIVEGPPQNLLESVAQLIASTTLSNYPQISAVRVKVGKPHVAVHGPLDYLGVEILRHRSSDMPN >Potri.016G067200.4.v4.1 pep chromosome:Pop_tri_v4:16:4745290:4746706:-1 gene:Potri.016G067200.v4.1 transcript:Potri.016G067200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067200.v4.1 MGDEEIRGGDKLILRGLKFHGFHGVKPEERALGQKFLIDVDAWMDLQAAGKSDCLSDTISYTEIYRIVKEIVEGPPQNLLESVAQLIASTTLSNYPQISAVRVKVGKPHVAVHGPLDYLGVEILRHRSSDMPN >Potri.002G180800.8.v4.1 pep chromosome:Pop_tri_v4:2:14319477:14328363:1 gene:Potri.002G180800.v4.1 transcript:Potri.002G180800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180800.v4.1 MDTYSAGEDLVIKTRKPYTITKQRERWTEEEHNRFLEALKLYGRAWQRIEEHIGTKTAVQIRSHAQKFFSKLEKEAVAKGVPIGKALEIDIPPPRPKRKPSNPYPRKTGVGPPASQAGAKDGKLLTSTSSPHCRKVLDLEKEPRPEKPNGDERPTNAKENQDDNCSEVFTLLQEAHCSSVASVNKNCVPALEVLKKTSSFREFVPSPKKGNHDACNESFITVEHEANQKLDSSDANQTVLDNGTVKASKSENSCSLHEILFQQKKSDDFIGSLPTDEMKAMQNYPRHVPVHVLDGSLGTCMETPSDLSFQDSMFHPVGDIPACPILYSHPTGSTTTDHPTNLPRSSMHQSFPFFPPPFTPTHHNQDDYRSFLHISSTFSSPVVSTLLQNPAAHAAASFAATFWPYGNVESSADSPACAQEGFQSGQINSAPSMAAIAAATVAAATAWWAAHGLLPICAPLHTAFACPPASATAIQSADTDQVPPAKPERKETTPDNPPLQGQIQDLEHSEAVQAQNSASKPPTLSSSDSEESGGTKLNTAPKVTDHELNSKAPEVQDSGKTKSRKQVDRSSCGSNTPSSSEIETDALEKNEKGKEEPKEADANHPASELNCRRSRSSSSMSDSWKEVSEEGRLAFQALFTRERLPQSFSPPHDLKSKMHQKEDTEEKKNPDEKDGDASLLDLNSKTWGYCSGYQEGEKNAVVPRCVNDGEEGLLTIGLGHGNLKAHLTGFKPYKRCSLEAKESRMGTTGGQGEEKGPKRLRLEREASV >Potri.010G065666.1.v4.1 pep chromosome:Pop_tri_v4:10:9422856:9426482:-1 gene:Potri.010G065666.v4.1 transcript:Potri.010G065666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065666.v4.1 MYPKVKVRTDGRDDQHAHDWSSLLSLKDIQFLCLQDSCVPVKGHQDVSPPIVARIPKSYVPNVIMPQVSVSEEAEKKSYSTEEDRLNIRASSIPRPRAVLSSPDNDAVIGSNNRTKVARPTASKNNKLMESRHEPCKAVPGQITDASPTSTRKSKNTSDNKSELKVKKWSPPEASSQRRKIATDKPRFMRI >Potri.010G065666.3.v4.1 pep chromosome:Pop_tri_v4:10:9423635:9426371:-1 gene:Potri.010G065666.v4.1 transcript:Potri.010G065666.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065666.v4.1 MYPKVKVRTDGRDDQHAHDWSSLLSLKDIQFLCLQDSCVPGCFRMLGDRSAVAVLRVKGHQDVSPPIVARIPKSYVPNVIMPQVSVSEEAEKKSYSTEEDRLNIRASSIPRPRAVLSSPDNDAVIGSNNRTKVARPTASKNNKLMESRHEPCKAVPGQITDASPTSTRKSKNTSDNKSELKVKKWSPPEASSQRRKIATDKPRFMRI >Potri.001G437100.1.v4.1 pep chromosome:Pop_tri_v4:1:46301802:46302656:1 gene:Potri.001G437100.v4.1 transcript:Potri.001G437100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437100.v4.1 MAGDQVTLLNFWASPFGMRVRIALAEKGVKYEYGEQDLRNKTALLLQMNPVHSKILVLVHNGKPACESLIIVQYINEAWKDKAPLLPSDPYQGALSRFWADFVDKKIYDLGRKIWTTKREDQESAKKDFIDSLKLLEGELGDKPYFGGDTLGYVDVALLPFYCWFYAYETIGNFNIEADCPKLIAYCKRCLQKESVSKSLEDPQKVSDFVVMMRKKLGLE >Potri.004G210600.1.v4.1 pep chromosome:Pop_tri_v4:4:21703232:21704328:-1 gene:Potri.004G210600.v4.1 transcript:Potri.004G210600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G210600.v4.1 MRQQSSSLLFSLILFFLHCTKTSGQSPAAAPVMPPPTTPVKAPPTAPSQAPSAQVATSPGPVDVIKILQKAGHFTVFVRLMQATTEDTELNKELNKTNNGITIFAPSDSAFSNLKAGFLNALSDEDKTELVKFHVLPALVSSSQFQTVSNPVRTQAGTGPRVTLNVTTTGNFVNITTGLTNTSISGTVYTDSQLAIYQIDKVLFPLDIFTPKPPAPAPAPELGKPRKAAPGVESPTAPKDISGALTPLILHNNALLLAVSCMVAAIFS >Potri.008G086500.1.v4.1 pep chromosome:Pop_tri_v4:8:5412563:5414468:1 gene:Potri.008G086500.v4.1 transcript:Potri.008G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086500.v4.1 MECLKAKEGESHNQLPLPEEPHIGTTFLRTCFNGLNALSGVGILSIPYALSQGGWLSLILLFVVAVLCWYTGLLLRRCMDSDPLIRSYPDIGEKAFGCKGRALVSVFMYLELYLVAVEFLILEGDNLYKLFPNAGFKLAGLYIGGKTGFVLLTALVILPTTWLKSLGMLAYVSAGGVSASVILVGCVWWVGAVDGVGFHEDGVLLNWGGLPTTLSLFTFCYCGHAVFPTLCNSMKDRSQFSKVLLICFVTSTITYGSMAVLGYLMYGEYLKSQVTLNLPIRKMGSKLAIYTTLVNPLTKYAVITAPIATAIEETFVFRDSRYLSILVRTVIVISTVVVALTIPFFGYVMAFIGAFLSVTVSMLLPCLCYLRIDKSARSFGLELVFIVGILIIGSFVGIIGTYTSIKQIVKHL >Potri.004G147700.1.v4.1 pep chromosome:Pop_tri_v4:4:16985179:16987764:-1 gene:Potri.004G147700.v4.1 transcript:Potri.004G147700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147700.v4.1 MKSHKLLNYQLLKHNHLKTGNTISHNTKIHRSHVVFFSTTPNPNTNNPQNQTLSPSIPTELTPRTILDLIHSSQWHFIKHLAHKITPSLISTALTSLHKTPDLAFQFVTHIGFGDLDIKSKCLAMAVISHAPNSKPSLQLLKETINSGVYSIREVFNELGVARGVLGIKTYVLYDLLIRACCELKRGDDAFECFDMMKGKGVIPHVHACNDMLSLFLKSNRTEKAWVLYAEMFRMRIKSSVVTFNIMINVLCKEGKLKKAKEFIGLMEALGIKPNVVTYNTIIHGYCSRGRVEGARMIFDLMKCRGVKPDSYTYGSFISGMCKEGKLEEASGMLEKMKEIGLRPTAVTYNTLIDGYCNKGNLEMAFDYRDKMVREGLMPTVSTYNMLIHALFLDCKMDEADGIIKEMSEKGLVPDSVTYNILINGYCRCGNVKKAFTLHDEMISKGIQPTRVTYTSLIYVLSKRGRMKQADDLFEKIVRKGIFPDLIMFNALIDGHCANGNMDRAFAMLKEMDQMKVVPDEVTFNTLMQGRCREGKVEAARELIEEMKSRGIKPDHISYNTLISGYSKRGDMKDAFRVRDEMLSIGFNPTLLTYNALIQGLCKNEEGDHAEQLLKEMISKGITPNDNTYLSLIEGIGNVEEFLGISNP >Potri.010G231100.6.v4.1 pep chromosome:Pop_tri_v4:10:21319515:21325578:1 gene:Potri.010G231100.v4.1 transcript:Potri.010G231100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231100.v4.1 MTDFLQQKPESTDDARTEFERGLEELMRGHLDGCMPFASCSSNRNVDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTMERSNRESELMALAGLHTVSMLDSSFLRESQLPTARRQGAVERPSTQASAILQMWRELEDEHLLNRRERLRQRRNADSNINMSASNASESRGSENQGILEDASESENDYGPWPHEHTRSRNEHGDNESSREQSPDIGEVERSVRQIARGWMETGISDHASNLSQRNGSPRAEWLGETERERVRIVREWVQMASQQRGARASRREDQAAGHNAQVDRARDGSVADHDEGQTEHIRRDMLRLRGRQAILDLLVRIERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELVQLRQRHTVSGLREGFRSSLENIVRGQDIQHDENDQSQPRSQESDMRHLPNQTNSSESNLAAGNMNWQETANQGEGWQEQVADDERGNWRQSNYSQLDEWRGSNAEPLDVNWQENSVNEWSRETPGNVLGEQGHPQESQELWRGDSTREAVQNWTEGPSDPLRTHRSVPTRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDHLIQSYVERQGRSPIDWDLHRNLPTPTPSSPERDEEQQRDEHNEGQRDATNRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSELEWEMINDLRADMARLQQGMTHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGSGAETSEDGSKWGHVKKGTCCVCCDSHIDSLLYRCGHMCTCSNCANELVRGGGKCPLCRAPIVEVIRAYSIL >Potri.010G231100.3.v4.1 pep chromosome:Pop_tri_v4:10:21319374:21325585:1 gene:Potri.010G231100.v4.1 transcript:Potri.010G231100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231100.v4.1 MTDFLQQKPESTDDARTEFERGLEELMRGHLDGCMPFASCSSNRNVDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTMERSNRESELMALAGLHTVSMLDSSFLRESQLPTARRQGAVERPSTQASAILQMWRELEDEHLLNRRERLRQRRNADSNINMSASNASESRGSENQGILEDASESENDYGPWPHEHTRSRNEHGDNESSREQSPDIGEVERSVRQIARGWMETGISDHASNLSQRNGSPRAEWLGETERERVRIVREWVQMASQQRGARASRREDQAAGHNAQVDRARDGSVADHDEGQTEHIRRDMLRLRGRQAILDLLVRIERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELVQLRQRHTVSGLREGFRSSLENIVRGQDIQHDENDQSQPRSQESDMRHLPNQTNSSESNLAAGNMNWQETANQGEGWQEQVADDERGNWRQSNYSQLDEWRGSNAEPLDVNWQENSVNEWSRETPGNVLGEQGHPQESQELWRGDSTREAVQNWTEGPSDPLRTHRSVPTRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDHLIQSYVERQGRSPIDWDLHRNLPTPTPSSPERDEEQQRDEHNEGQRDATNRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSELEWEMINDLRADMARLQQGMTHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGSGAETSEDGSKWGHVKKGTCCVCCDSHIDSLLYRCGHMCTCSNCANELVRGGGKCPLCRAPIVEVIRAYSIL >Potri.010G231100.4.v4.1 pep chromosome:Pop_tri_v4:10:21319410:21325585:1 gene:Potri.010G231100.v4.1 transcript:Potri.010G231100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231100.v4.1 MTDFLQQKPESTDDARTEFERGLEELMRGHLDGCMPFASCSSNRNVDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTMERSNRESELMALAGLHTVSMLDSSFLRESQLPTARRQGAVERPSTQASAILQMWRELEDEHLLNRRERLRQRRNADSNINMSASNASESRGSENQGILEDASESENDYGPWPHEHTRSRNEHGDNESSREQSPDIGEVERSVRQIARGWMETGISDHASNLSQRNGSPRAEWLGETERERVRIVREWVQMASQQRGARASRREDQAAGHNAQVDRARDGSVADHDEGQTEHIRRDMLRLRGRQAILDLLVRIERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELVQLRQRHTVSGLREGFRSSLENIVRGQVSSHSDTTPNNNINDTRNDQTQTNTSQDIQHDENDQSQPRSQESDMRHLPNQTNSSESNLAAGNMNWQETANQGEGWQEQVADDERGNWRQSNYSQLDEWRGSNAEPLDVNWQENSVNEWSRETPGNVLGEQGHPQESQELWRGDSTREAVQNWTEGPSDPLRTHRSVPTRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDHLIQSYVERQGRSPIDWDLHRNLPTPTPSSPERDEEQQRDEHNEGQRDATNRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSELEWEMINDLRADMARLQQGMTHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGSGAETSEDGSKWGHVKKGTCCVCCDSHIDSLLYRCGHMCTCSNCANELVRGGGKCPLCRAPIVEVIRAYSIL >Potri.010G231100.5.v4.1 pep chromosome:Pop_tri_v4:10:21319431:21325524:1 gene:Potri.010G231100.v4.1 transcript:Potri.010G231100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231100.v4.1 MRGHLDGCMPFASCSSNRNVDDEDDEGDQLVRRRRRSDLEGDDLAESSAARRRHSRILSRWAARQAQEMITTMERSNRESELMALAGLHTVSMLDSSFLRESQLPTARRQGAVERPSTQASAILQMWRELEDEHLLNRRERLRQRRNADSNINMSASNASESRGSENQGILEDASESENDYGPWPHEHTRSRNEHGDNESSREQSPDIGEVERSVRQIARGWMETGISDHASNLSQRNGSPRAEWLGETERERVRIVREWVQMASQQRGARASRREDQAAGHNAQVDRARDGSVADHDEGQTEHIRRDMLRLRGRQAILDLLVRIERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELVQLRQRHTVSGLREGFRSSLENIVRGQVSSHSDTTPNNNINDTRNDQTQTNTSQDIQHDENDQSQPRSQESDMRHLPNQTNSSESNLAAGNMNWQETANQGEGWQEQVADDERGNWRQSNYSQLDEWRGSNAEPLDVNWQENSVNEWSRETPGNVLGEQGHPQESQELWRGDSTREAVQNWTEGPSDPLRTHRSVPTRRFNRFHPPDDDNVYSMELRELLSRRSVSNLLRSGFRESLDHLIQSYVERQGRSPIDWDLHRNLPTPTPSSPERDEEQQRDEHNEGQRDATNRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSELEWEMINDLRADMARLQQGMTHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGSGAETSEDGSKWGHVKKGTCCVCCDSHIDSLLYRCGHMCTCSNCANELVRGGGKCPLCRAPIVEVIRAYSIL >Potri.017G036300.1.v4.1 pep chromosome:Pop_tri_v4:17:2415932:2419789:1 gene:Potri.017G036300.v4.1 transcript:Potri.017G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036300.v4.1 MDKDYIHRNYGAHAPSPGRFVRLERTQGGGGGEGGGDELFPRKRDKMRRWLCCTCQVEESYPPYESERLRSPRNYGDGYPKGSKVAAPVKAELQKEAPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYASLENGKAVAVKKLDVASEPETNFEFLTQVSMVSRLKNENVVELLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWIQRVKIAVDAARGLEYLHEKLQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKMAAVAALCVQYESEFRPNMSIVVKALQPLLKSVAPAAPET >Potri.017G036300.2.v4.1 pep chromosome:Pop_tri_v4:17:2415615:2419790:1 gene:Potri.017G036300.v4.1 transcript:Potri.017G036300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036300.v4.1 MRRWLCCTCQVEESYPPYESERLRSPRNYGDGYPKGSKVAAPVKAELQKEAPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYASLENGKAVAVKKLDVASEPETNFEFLTQVSMVSRLKNENVVELLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWIQRVKIAVDAARGLEYLHEKLQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKMAAVAALCVQYESEFRPNMSIVVKALQPLLKSVAPAAPET >Potri.017G036300.3.v4.1 pep chromosome:Pop_tri_v4:17:2415609:2419781:1 gene:Potri.017G036300.v4.1 transcript:Potri.017G036300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036300.v4.1 MRRWLCCTCQVEESYPPYESERLRSPRNYGDGYPKGSKVAAPVKAELQKEAPPIEVPALSLEELKEKTDNFGSKALIGEGSYGRVYYASLENGKAVAVKKLDVASEPETNFEFLTQVSMVSRLKNENVVELLGYCVEGNLRVLAYEFATMGSLHDILHGRKGVQGAQPGPVLDWIQRVKIAVDAARGLEYLHEKLQPAIIHRDIRSSNVLLFEDFKAKIADFNLSNQAPDMAARLHSTRVLGTFGYHAPEYAMTGQLTQKSDVYSFGVVLLELLTGRKPVDHTMPRGQQSLVTWATPRLSEDKVKQCVDPKLKGEYPPKGVAKMAAVAALCVQYESEFRPNMSIVVKALQPLLKSVAPAAPET >Potri.011G117500.1.v4.1 pep chromosome:Pop_tri_v4:11:14870419:14872115:-1 gene:Potri.011G117500.v4.1 transcript:Potri.011G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117500.v4.1 MTMTVTNGEVHPVTANGGFTIDKPFKIFVGYDPREDIAYEVCHHSILKRSSIPVEITPIVQSDLRTNGLYWRERGQLESTEFSFTRFLTPHLANYDGWAMFVDCDFLYLADIKELRDLIDDKYAIMCVQHDYTPKEATKMDGAVQTVYPRKNWSSMVLYNCGHPKNKVLTPEVVNTQTGAFLHRFQWLEDEEIGSIPFVWNFLEGHNKVVEGDTTTFPKAIHYTRGGPWFEAWKNCEFAELWLKEKDECVKEEKK >Potri.007G114800.3.v4.1 pep chromosome:Pop_tri_v4:7:13478870:13481667:1 gene:Potri.007G114800.v4.1 transcript:Potri.007G114800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114800.v4.1 MDGSSSGGGNGYRVEAERWLSISEKLLAARDLHGAKSFAIRSRESDPRLYQFADQIIAVADTLLAGELCVENNHYYDYYTILQLGRFTQDLELIANQYRKLALLLNPTSNRLLFADQALELVSEAWLVLSNPAKKAMYDHELQPSQLGLLVTQQLPPPPFQQQAPYAPEPIRPVPLFTTPRMPESSIRFPTQPKPLDSPRPQTQQRNHPEPTWRQPQQKPTEPTHQPTPLKAATEPTKQSTPQRKPTEPTQQPTPLKAATEPTKQPTLLKAATEPPKQSTPSKTPKEPTWPEVVIGLTRSAPKRSVTGSTRRVTKPKAPTTAAAVIETTHVTQSARKTSASEPEAPSFWTACPYCYILYEYPKAYEECILRCQSCRRAFHAVMVPAPPVTGKDACFCCWGFFPLGFSGNNEKGANGFGSNWSPFSAMFATPFQAGDAVDSGGKMYNKSKQKVIYKDDVYIDISDPSEEESDSGDEWGRNMRKKAKNAKGKGTLDKKVKKLPNEGLKEAGAATGNIQNLDSDGRGSVPEEVVGKGEGSSGKKKTAKDLGKLDLNVMFSNEVDEAAPGPSQRNGPGNEEEDNIEGIGFFEGLDEFLSTLPLLSVVGDDMVKAT >Potri.002G057450.1.v4.1 pep chromosome:Pop_tri_v4:2:3922634:3923102:1 gene:Potri.002G057450.v4.1 transcript:Potri.002G057450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057450.v4.1 MPSGTSLMGIEHIHRSLRIGRHINRETFSNSRVRRAKEERERELHPCFFTCNTFASAILQEADISQKMESREILYCLCLQLVCYLSHFNTILYQTSMGSGEPSSVSTYELKNSSSCLLLP >Potri.003G011000.1.v4.1 pep chromosome:Pop_tri_v4:3:1365072:1365887:1 gene:Potri.003G011000.v4.1 transcript:Potri.003G011000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011000.v4.1 MEKNFTKKLNDIDIERRLLLSENCIKDFPRGHEAYLKFKDEDGQVWTFRCRVPPGGGSKPALSGDWFLFVRSKHLKVGDVIVIALDREKDQAAGEQFTIKVKKTTSTTRK >Potri.008G172200.5.v4.1 pep chromosome:Pop_tri_v4:8:11885754:11890394:-1 gene:Potri.008G172200.v4.1 transcript:Potri.008G172200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172200.v4.1 MLLRSSSTSAAGPLFSPFSDGPNRDFDAVNNTHDTHTNHIKHQPVFHDHKLSFPHGLQHLNLTPLSGHSSSSPLSELDQEKGSSRINSLRRASSDSNLESFVYTSSCDQEEFRNSTTTSKKFQYRHHKRMLHSSPSFSIFYKNDELEDEGHNGTDVGREEELMRTITIRENIESIGSGDFSFGKTSMGLIEEEGEKEQEQDSNGIENFDIENLGGNSGGGGGFHLSFPNFDEDDDVEEYYKRMIDENPFHPLLLSNYARLLQSKEDLHGAEEYYRLATLAAPADGEILMQYAKLEWELNHDQDRALINFERAVQSAPQDSNVLAAYASFLWEIEDDGEGNTFQPEFIQLPSEHHIDLEDHAASDANKDRDAEEFYRAMVEANPCNSLVLRNYAEFLYQSKRDLKGAEEYFSRAIVADPGDGEILSQYAKLVWELYRDHDKALCYFKQSIQATPADSYVLAAYASFLWETEENEEDSTSQFEMPNHNEGAVAAANAQVHHKY >Potri.015G086200.3.v4.1 pep chromosome:Pop_tri_v4:15:11049877:11054631:1 gene:Potri.015G086200.v4.1 transcript:Potri.015G086200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086200.v4.1 MAITIVEQSGGQYHVLLIIAEGQVTRSVDTERGQLSPQEKGTVEAIVKASEYPLSIILVGVGDGPWDMMKEFDDNIPARAFDNFQFVNFTKIMSKNMDRSRKEAEFSLSALMEIPSQYKATLELNILGATRGKGIDRVPLPPPQYGAGADSFRKGIDRVPLPSPRYGAGADSFRNPEPAQSSSTRPSAPSSERHDGTAFPGSSASDNHLCPICLTDPKDMAFSCGHQTCCDCGQDLQLCPICRSTIHTRIKLY >Potri.017G003800.2.v4.1 pep chromosome:Pop_tri_v4:17:230553:233384:1 gene:Potri.017G003800.v4.1 transcript:Potri.017G003800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003800.v4.1 MANFFFIYSFMFSLLATFTVTSATETDIYCLKSIRDSMIDPNNYLNTTWNFDNKTEGFICRFMGVDCWHPDENRVLNLRLSDLGLMGQFPPGIQKCTSLTGLDLSHNELQGPIPSDISKRLPYITNLDLSFNNFSGEIPINITSLSFLNDLKLDNNNLTGHIPLEIGQLDRIMVFTVTNNRLSGPVPNFRGNYIPADSFANNTGLCGKPLESCSIHQMKFDYSFKSGFVIGYIVFSTSVAIFFTSCCVPWRKHKITDDDQAGSSPTGGLLEEGVKEISMLEKRVTRMSYADLNDATDNFSENNVIGQGKMGMLYKASLPNGYVLAVKKLHDSQFLEEQFISELKILGSLRHINVLPLLGFCVESNQRFLVYNYMPNGNLYDWLHPMEEGQEKAMEWGVRVKVAVGLARGLAWLHQNCHTVKIIHLDISSKCILLDQNFQPKLSNFGEAMLMSSTCASSVNSEFWEMAFVKEDVHGFGVVLLEMITGVDPSNMTASSNNVLNEWIGHLSSSSDFHGAIDKSLIGQGFDAEIVQLLKVACTCVDPIPDRRPIMLQVYEDIKQVLKLWVLVDLFFCLNFKIVLIREVNCRF >Potri.007G105800.1.v4.1 pep chromosome:Pop_tri_v4:7:12885285:12889994:1 gene:Potri.007G105800.v4.1 transcript:Potri.007G105800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105800.v4.1 MLKKMDFDEKQSFGFGGNAMIAKVSRFSWSPFLFLITLIALLSFQITTKTIYPMKIAFSSTSKTGVSADTRTSCVGFFGELPERKAVMSIREFGGVGDGKTSNTETFRKAIRYLQRFGESGGAQLNVPKGRWVTGSFNLTSNFTLFLEEGAVILGSQDPKEWPIIEPLPSYGRGRERLGGRHISLVHGDGLTNVVITGNNGTIDGQGKMWWELWWNRTLEHTRGHLVELMNSNNILIANLTFCNAPFWTIHPVYCSNVVVKDMTILAPLKAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGIKMARPSSNIVVRRVSGTTPTCSGVGIGSEMSGGIFNITIEDLHVWDSAAGVRIKTDNGRGGYIANITISNVTMERVKVPIRFSRGSNDHPDEGWDPKAVPVVKGISIRNVISFNSTKAPVLEGVEDAPFGGICMKNVSLLGVVSSLSWHCEFVSGFADEVFPTPCPQLQSNISSSWCSYSWASSVNLN >Potri.010G194850.1.v4.1 pep chromosome:Pop_tri_v4:10:18913619:18914274:-1 gene:Potri.010G194850.v4.1 transcript:Potri.010G194850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G194850.v4.1 MLHTPFSCIINTSNFNMAREREFYNTNRSIIGSELKKATYNLLLRNKWTLAPRKSPREVRIYLVVIVAYSCPECDLLILLNTHLLL >Potri.007G032900.3.v4.1 pep chromosome:Pop_tri_v4:7:2566757:2568911:1 gene:Potri.007G032900.v4.1 transcript:Potri.007G032900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032900.v4.1 MATTIAFSLCSLLMAALFGYSASVQLNDPDWYFWLPLYACACAVNLVNWAISNTTIRQIAKVTLWFAALLFVKVVIEDYVNGTAGFWSLDVSERVVREKTGSGLVLISMILHLEASSEPKHSKMPRKRREFPRSVEYGMASLVIFSLGLPFVFFVIHDGEMKFDHIKEQNNVKSF >Potri.007G032900.1.v4.1 pep chromosome:Pop_tri_v4:7:2566757:2568915:1 gene:Potri.007G032900.v4.1 transcript:Potri.007G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G032900.v4.1 MATTIAFSLCSLLMAALFGYSASVQLNDPDWYFWLPLYACACAVNLVNWAISNTTIRQIAKVTLWFAALLFVKVVIEDYVNGTAGFWSLDVSERVVREKTGSGLVLISMILHLEASSEPKHSKMPRKRREFPRSVEYGMASLVIFSLGLPFVFFVIHDGEMKFDHIKEQNNVKSF >Potri.016G051600.1.v4.1 pep chromosome:Pop_tri_v4:16:3338963:3342228:-1 gene:Potri.016G051600.v4.1 transcript:Potri.016G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G051600.v4.1 MATTCTYTFALCLSLAFFMCSTAASSTEADILLSFKDSIQDPKNSLSSWSNSSNAHHCNWTGITCSTSPSLTVTSLNLQNLNLSGEISSSICDLTNLGLLNLADNFFNQPIPLHLSQCSSLESLNVSNNLIWGPIPDQISQFQSLRVLDFSKNHIEGRIPESIGSLVKLQVLNLGSNLLSGSVPSVFVNFTELVVLDLSQNLYLMSGVPSEIGKLGKLEQLLLQSSGFYGQIPDSFVGLQSLTILDLSQNNLSGMIPQTLGSSSKNLVSFDVSQNKLLGSFPNDICSAPGLKNLGLHTNFFNGSIPNSISECSNLERFQVQNNEFSGDFPGGLWSLSKIKLIRAENNRFSGAIPDSMSMAAQLEQVQIDNNSFTGKIPHGLGLVKSLYRFSASLNGLYGELPPNFCDSPVMSIINLSHNSLSGQIPEMKKCRKLVSLSLADNSLTGEIPPSLADLPVLTYLDLSDNNLTGSIPEGLQNLKLALFNVSFNLLSGEVPPALVSGLPASFLEGNPHLCGPGLPNSCFDDLPRHRNSAGLSSLACALISIAFGLGVLLVAAGFFVFHRSTKWKSEMGSWHSVFFYPLRVTEHDLVMGMDEKSSVGNGGAFGRVYIICLPSDELVAVKKLVNIGNQSPKALKAEVKTLAKIRHKNITKVLGFCHSEESIFLIYEYLQKGSLGDLISRPDFQLQWSDRLKIAIGVAQGLAYLHKHYVQHLLHRNIKSTNILLDADFEPKLTDFALDRIVGEASFQTTVASESANSCYNAPECGYTKKATEQMDVYSFGVVLLELIAGRQADRAEPADSVDIVKWVRRKINITNGAVQVLDSKISNSSQQEMLAALDIAIRCTSVLPEKRPSMLEVIRALQSLGPKTHVSDSYLSTPEENSVPV >Potri.001G162200.7.v4.1 pep chromosome:Pop_tri_v4:1:13742212:13747557:-1 gene:Potri.001G162200.v4.1 transcript:Potri.001G162200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162200.v4.1 MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGIIMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMTDNSPTTGSVNTTAADKRRLAKDSLAFNCKNAAFRKLFPEYVEKHDQELHSEQLVSSQAPQEDKSRAKLEKHGDSSVENAKRVDAPKDERRNRKQPFPTWMMLLLVSIFGVVMALPLLQL >Potri.001G162200.6.v4.1 pep chromosome:Pop_tri_v4:1:13742239:13747364:-1 gene:Potri.001G162200.v4.1 transcript:Potri.001G162200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162200.v4.1 MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGIIMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMTDNSPTTGSVNTTAADKRRLAKDSLAFNCKNAAFRKLFPEYVEKHDQELHSEQLVSSQAPQEDKSRAKLEKHGDSSVENAKRVDAPKDERRNRKQPFPTWMMLLLVSIFGVVMALPLLQL >Potri.001G162200.2.v4.1 pep chromosome:Pop_tri_v4:1:13742142:13747364:-1 gene:Potri.001G162200.v4.1 transcript:Potri.001G162200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162200.v4.1 MAEKSCVKRLQKEYRALCKEPVSHVVARPSPSDILEWHYVLEGSEGTPFAGGYYYGKIKFPPEYPYKPPGIIMITPNGRFMTQKKICLSMSDFHPESWNPMWSVSSILTGLLSFMTDNSPTTGSVNTTAADKRRLAKDSLAFNCKNAAFRKLFPEYVEKHDQELHSEQLVSSQAPQEDKSRAKLEKHGDSSVENAKRVDAPKDERRNRKQPFPTWMMLLLVSIFGVVMALPLLQL >Potri.002G035000.1.v4.1 pep chromosome:Pop_tri_v4:2:2309960:2311516:1 gene:Potri.002G035000.v4.1 transcript:Potri.002G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035000.v4.1 MSYFTSSNGSGSSWTAKQNKLFEKALAVYDKDTPDRWQNVAKAVGGKSPEEVKRHYDRLVEDLVYIESGQAPLPNYKPSGSNGRGLVEEQRLTRNLKLQ >Potri.012G133000.6.v4.1 pep chromosome:Pop_tri_v4:12:14799808:14804608:-1 gene:Potri.012G133000.v4.1 transcript:Potri.012G133000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133000.v4.1 MARGKVQLKRIENATSRQVTFSKRKNGLLKKAYELSILCDAEVAVIMFSQKGTLFKFASIDQIQKTIDRYRKNAKQLHTDRIDVEQSKEQLRQESANMAKKIEMIEILQRKLLGQDLDSCSPEELHDIDNQLEISLSNIRARKTQLFKEQIEQLQAKERLLLMENARLTKQCDAQPLQQSTQSNQVVSYLTSCSKSSDIVETDLYIGLPHMRCL >Potri.009G077500.1.v4.1 pep chromosome:Pop_tri_v4:9:7474183:7476470:1 gene:Potri.009G077500.v4.1 transcript:Potri.009G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077500.v4.1 MDAVTVKPTYSCHVVAIPYPGRGHVNPLMNFCNILASKKPDTLITFVVTEEWLGFISSSSNSSPSNLQFGSIPNVIPSELVRNADPIGFIEAVFTKMETPFEELLDSFHQPLRPTLIVTDAFLFWAIGVGNRRNIPVASFFPMSSTVFSVFYHLDLLAQHGHFPVDLSEKGNEIVDYIPGVSPLRLLDLPSFIFASNQYTLHRILDLISWIPKARYLLFPSIYELESQVIKALKYKISIPVYTIGPAIPDLKLRDNSFSSSNNNELNILQWLDCQPESSVLYVSLGSHVAVSSAQMDEIAAGLCDSGVRFLWVARDKTSRLRQVCGDMGLVETWCDQLKVLCHSSVGGFWTHCGWNSVKEGIFAGVPFLTFPIVADQLTHSKVIVEDWKIGWRMKKEVVAKTLVAREEIAGLVQKFMDLERAEVKEMRRRSRELQQVCEHAIAEGGTSEIDINAFIRDISE >Potri.005G257800.3.v4.1 pep chromosome:Pop_tri_v4:5:24811941:24818765:-1 gene:Potri.005G257800.v4.1 transcript:Potri.005G257800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDFGIPRELSDLQKLRSLYQPELPPCLQGTAVRVELGDATTAADPADVHSISRSFPLTYGQPLAHFLRASAKVSDAQIITDHPAFRVGIVFCGRQSPGGHNVIWGLHNALKIHNPNSTLLGFLGGSEGLFAQKTLEITDDILSTYKNQGGYDLLGRTKDQIRTTEQVNAALTACKDLKLDGLVIIGGVTSNTDAAQLAETFAEAKCPTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDLTTQICDAVQARAVQDKNHGVILLPEGLIESIPEVYALLKEIHGLLRHGVAPDNISSQLSPWTSALFEFLPPYIKKQLLLHPESDDSAQLSQIETEKLLAHLVETEMNKRLKEGTYTGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHISYHILAAGLNGYMATVTNLKNPVNKWRCGAAPITAMMTVKRWAQSPGASSIGKPAIHPATVDLKGKAYELLRQNAGRFWMDDLYRNPGPLQFDGPGADSKAVTLCVEDQDYMGGIKKLQEYLDKVRNIVKPGCSQEVLKAALSVMSSVTDVLSVMSSTSSNGQTPL >Potri.002G192500.1.v4.1 pep chromosome:Pop_tri_v4:2:15522711:15527073:-1 gene:Potri.002G192500.v4.1 transcript:Potri.002G192500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192500.v4.1 MGTERKRKVSLFDVVDETSLSAKIVKSNGAMGNNNNGGSSLINRWNGKPYSQRYYDILEKRKNLPVWHQKEDFLQVLKKNQAIILVGETGSGKTTQIPQFVLEAVDLESPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSAKTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLSTDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFGEAPLMKVPGRLHPVEIFYTQDPERDYLEAAIRTVVQIHLCEPLGDILVFLTGEEEIEDACRKITKEIGNLGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPLQEGGPSGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNQDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQLSKMLVVSPEFNCSNEILSISAMLSVPNCFVRPREAQKAADEAKARFGHIDGDHLTLLNVYHAYKQNNEDPSWCYENFVNHRALKAADNVRQQLVRIMARFNLKLCSTDFNSRDYYINIRKSILAGYFMQVAHLEQSGHYLTVKDNQVVHLHPSNCLDHKPEWVIYNEYVLTSRNYIRTVLDIRGEWLVDIAPHYYDLQNFPQCEAKRVLEKLYRKREREKEENRNRK >Potri.002G192500.2.v4.1 pep chromosome:Pop_tri_v4:2:15522534:15527075:-1 gene:Potri.002G192500.v4.1 transcript:Potri.002G192500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192500.v4.1 MGTERKRKVSLFDVVDETSLSAKIVKSNGAMGNNNNGGSSLINRWNGKPYSQRYYDILEKRKNLPVWHQKEDFLQVLKKNQAIILVGETGSGKTTQIPQFVLEAVDLESPDKRRKMMIACTQPRRVAAMSVSRRVAEEMDVTIGEEVGYSIRFEDCSSAKTVLKYLTDGMLLREAMTDPLLERYKVIILDEAHERTLSTDVLFGLLKEVLKNRPDLKLVVMSATLEAEKFQGYFGEAPLMKVPGRLHPVEIFYTQDPERDYLEAAIRTVVQIHLCEPLGDILVFLTGEEEIEDACRKITKEIGNLGDQVGPVKVVPLYSTLPPAMQQKIFEPAPPPLQEGGPSGRKIVVSTNIAETSLTIDGIVYVIDPGFSKQKVYNPRVRVESLLVSPISKASAHQRSGRAGRTQPGKCFRLYTEKSFNQDLQPQTYPEILRSNLANTVLTLKKLGIDDLVHFDFMDPPAPETLMRALEVLNYLGALDDEGNLTKLGEIMSEFPLDPQLSKMLVVSPEFNCSNEILSISAMLSGMFFYHVYFLYCCLESGLNDIGGIGAIGLQYYQMHPSLYGMCAASFLCTTLVV >Potri.002G222900.1.v4.1 pep chromosome:Pop_tri_v4:2:20701534:20702436:-1 gene:Potri.002G222900.v4.1 transcript:Potri.002G222900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G222900.v4.1 MWHKLARLRRNVQNIRKSPRVADESMFGGMNGAEFPILVRDMNRAQRWNSLSALFRIVLAPFSILSCSSQPHVNGADGLWVTGEFAQLSEMNHLMVNDSMRYAILM >Potri.011G130901.1.v4.1 pep chromosome:Pop_tri_v4:11:16466559:16467301:-1 gene:Potri.011G130901.v4.1 transcript:Potri.011G130901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130901.v4.1 MFFPSARLSSSRVRSLWFFNFFSGFVSSCLYVFCSLCGSSFSSGFLSVCVFFSVFFFLSVFLFFSLCRPGFSVFVFGWLDHPTCGEGKLKFPFLLGDGHKSLCLLCVLSLLCALPGPVSGAVQLLLKMETWSCY >Potri.013G041201.2.v4.1 pep chromosome:Pop_tri_v4:13:2819714:2829543:-1 gene:Potri.013G041201.v4.1 transcript:Potri.013G041201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041201.v4.1 MAELGQQTVEFSTLVSRAAEDSFLSLKELVDKSKSTQQSDSDKKISLLKYLVHTQQRMLRLNVLAKWCQQVPLIQYCQQLQSTLSSHDTCFIQTADSLFFMHEGLQQARAPSYDVPSAIEVLLTGSYERLPKCIEDVGIQGKLTEVQQKPALRKLDTLVQSKLLEVSLPKEISKVKVSDGTALLRVDGEFKVLVTLGYRGHLSMWRILHMELLVGERSGSVKLEELRRHVLGDDLERRMAAAENPFTILYSVLHELCVALVMDTVLRQVQALRQGRWKDVIRFELVSDSSSSNATQLNQDGEVDSAGLRTPGLKIIYWLDLDKNSGTSDSGICPFIKIEPGPDLQIKCIHSTFVIDPLNGRGAEFSLDQSCIDVEKLLLRAICCNRYTRLLEIQKELGKNVQICRAAGDVFLQFHMDEPDADHKKKETKSDGGDQEGQEVLHVRAYGSSFFTLGINIRNGRFLLRSSQNIITPSVLIDFEEALNQGSITAAEVFISLRSKSILHLFASIGRFLGLEVYEHGFAAVKVPKNLLNGSTMLLMGFPDCGNLYFLLAQLDKDFKPLFKLLETQPDPSGKVHSSSDSTAVMRMKKIDVNQMQMLEDDLSIVDLGKLNRLLPNASPYNQMSEHGLLSEFRLDGPMPIAGCPPSSFSSVVDEVFELEKGASAPSFPLQNVTSFNASPASHFGSVPTNLHTIKAGTPPKWEAGMQGSQVNSVAKVSSVASHYNGSLCPSNNLKGPVHSSSFSSLSSGLGRTTAVKILSASKSDQDLSSLRSQHLVEVGTNSAMDDDHLRLLNDASKDALSGIRPSRLSSPSRPTGSRISASNVKPIGARSSPAGSVVRVAGSSPLATTSVSHTAGDTAISLGSSHDVSIHEKNPRKRTVLDMLSMIPSLQDIDAKAGFSKRRRTSESAHFQKVSSQMLVSSEMVYKNERYSYGNLIAEANKGNSPSNIYVSALLHMVRHCSLSIKHARLTSQMDDMDIPYVEEVGLRSASSNIWFRLPLARGDSWQHICLRLGRPGSMHWDVKINDQHFRDLWELQKGSSGTPWGSGVHIANASDVDSHIRYDPDGVVLSYQSVESDSIKKLVADIQRLSNARMFALGMRKLLGVRADEKLEESSANSDLKVPIGGKNAPEGADKLFEQMRRAFRIEAVGLMSLWFSFGSGVLARFVVEWESGKEGCTLHVLPDQLWPHTKFLEDFINGAEVASLLDCIRLTAGPLHALAAATRPARAGPAPAVPGATATLASMPKQAGYIQSQGLLPSSLVNHISQPTSGPVSNVSSSTGPLGNHNPHNVAMLAATGRGGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKHFAVDMRCFAGDQVWLQPATPPKGGPSVGGSLPCPQFRPFIMEHVAQELNGLDPGFAGGQQTVGLGNSNNPNPSSCSQLSSVNGNRVNLPNSSATSRAANQVAALNRVGNAVPGSSNLAVLSSGLPIRRSPGVGVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPGLLKEILGSILKENEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVKRFHHQQQQQQQQNNAAAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITFLTLPISVLKEFLKLIAWKKGLAQAQGGEMAPGQKPRIELCLENHTGLNVAENSSAAKSNIHYDRPHNSVDFALTVVLDSAHIPHINAAGGAAWLPYCVSVRLRYLFGETMNVSFLGMEGSHGGRACWSHVDDWEKSKQRVARTVEVHGSSTGDAQGRLRVVAESVQKNLHMCLQGLRDGSGVTASSGTT >Potri.002G112700.1.v4.1 pep chromosome:Pop_tri_v4:2:8506834:8509843:1 gene:Potri.002G112700.v4.1 transcript:Potri.002G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112700.v4.1 MKALGWWLMLVGSLRLASVWFGFFDIWALRLAVLSNTTMTEVHGRTFGVWTLLTCTLCFLCAFNLDNKPLYLATFLSFIYAFGHFLTEYLIYQTMAIANLTTVSIFAGTSIVWMLIQWNAHQKSHPKHP >Potri.002G106200.1.v4.1 pep chromosome:Pop_tri_v4:2:7854808:7859267:-1 gene:Potri.002G106200.v4.1 transcript:Potri.002G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106200.v4.1 MESQLLSTSPSTFHFPSPKTLFSNKTTHRNPNFSSLAPKRKLAIQAKLMEKENKLWGGRFEQTVTDKVEKFTESISFDKELYKHDIMGSKAHASMLAKQGLMSESDKDSILKGLDEIQRKIEAGEFEWREDREDVHMNIEATLTEMIGEPAKKLHTARSRNDQVLTDFKLWCRDAIDRIAASIKHLQVALVKLALNNEGLIVPGYTHLQRAQPVLLPHLLLAYVEQLERDAGRLLDCKLRMNFCPLGACALAGTGLPIDRFMTSEALGFTAPMRNSIDAVSDRDFVMEFLSANSITAIHLSRLGEEWVLWASEEFGFLTPSDSVSTGSSIMPQKKNPDPMELVRGKSARVIGDLVTLLTLCKGLPLAYNRDLQEDKEPVFDSVKTVVGMLDVSAEFAQNITFNKERIQKSLPAGHLDATTLADYLVNKGLPFRTAHEIVGTCVHLCVSRNLRLEDLTLDDLKRINPAFHQDVYEYLGVENAVNKFCSYGSTGSACVASQLDYWVTKLEISKI >Potri.006G092700.1.v4.1 pep chromosome:Pop_tri_v4:6:7040213:7041515:-1 gene:Potri.006G092700.v4.1 transcript:Potri.006G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G092700.v4.1 MTGDRNIGVAMDFSPSSKNALKWAIDNLVDNGDTLYLIHINPNSHNQLFAKSGSPLIPLAEFREPEILKKYDVQADIQVLDMLDTISRQKEVKVVSKLYWGGDAREKLLDAIDDLKLDSLVMGSRGLGTIRRILLGSVSTYVMTHAPCPVTIVKEKQ >Potri.001G405500.1.v4.1 pep chromosome:Pop_tri_v4:1:43299421:43303054:1 gene:Potri.001G405500.v4.1 transcript:Potri.001G405500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405500.v4.1 MVSMKLVSWLPVVVACVLFEFLGYSGSFAAFTPPDNYLVACGSSQNVIFQGKTYVPDSGHSSLTLNTGTSVAAKSNSSFPSPIYQSARILSGISSYKFDIKQEGRHWIRLYFYPIPNSGQNLMSASITVATDDFVLLNNFTFKNYNGSYMFKEYAVNVTSDTLTLSFIPSNNSVTFINAIEVVSIPDQVFPDQAVAINPSTPFSGLSELAFETVFRLNTGGPLITAENDTLGRIWENDAKYLHVNSSALNVSVNPASIRYPPAVTTEIAPNWVYASADVMGDANVANMNFNITWVFSVNQNFRYFVRVHFCDIVSKALNNLVFNLYINDDNAVESLDLSTFTGGLSVPYYRDFVSNASVDSDTFTVSVGPDLTTDLANATMNGLEIFKISNEAKSLDGLSSVESLLPQSPLKKNKIGIIIGSIVGAVVAFGLIGLCYCCLAAHRSKTTTHQAHPWLPLPLYGNSQTMTKMSTTSQKSGTASCISLTSSNLGRLFTFQEILDATNKFDESLLLGVGGFGRVYKGTVEDGTKVAVKRGNPRSEQGLAEFRTEIEMLSKLRHRHLVSLIGYCDERSEMILVYEYMANGPLRSHLYGTDLPPLSWKQRLEICIGAARGLHYLHTGAAQSIIHRDVKTTNILLDENFVAKVADFGLSKTGPSLDQTHVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLMEVLCTRPALNPVLPREQVNIAEWAMTWQKKGMLDQIMDSNLAGKVNPASLKKFGETAEKCLAEHGVDRPSMGDVLWNLEYALQLEETSSALMEPEDNSTNHIPGIPLTPLEPFDNSVSIIDGGNSGTDDDAEDAATSAVFSQLVNPRGR >Potri.002G036400.2.v4.1 pep chromosome:Pop_tri_v4:2:2400516:2402324:-1 gene:Potri.002G036400.v4.1 transcript:Potri.002G036400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036400.v4.1 MSFEEDDESFEHTLLVVREVSVYKIPPRSTSGGYKCGEWLQSDKIWSGRLRVVSCKERCEIRLEDPNSGELFAACFFNPGQRENSVETVLDSSRYFVLKIEDGGGKHAFVGLGFTERNEAFDFNVALSDHEKYVRRENEKESGETSESDAHIDIHPAVNHRLKEGETIRINVKPKPTSGAGMLSAAGLSGSATGKPKTLVIAPPPTGAGKPRSPLPPPPNDPAAARKSAVNNVGIGLKAPPRECTPRSTDSLSDLSPLERNLPSTTTGSTKTAASGWAAF >Potri.007G077700.1.v4.1 pep chromosome:Pop_tri_v4:7:10195920:10198492:-1 gene:Potri.007G077700.v4.1 transcript:Potri.007G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077700.v4.1 MPAKIKLQLFPIDEGTRVGLEKDGYHPYLELTLSARKKVSSVLKHLNDKWGGSGIACGEPVLYPYNTSEASTTKKWTLSDINISAGEVYAAIGNPSIFRLRYGWFSDSGTESVGVPSMSTTYDACLQPQIMQQVCSIDAVSARDEVKWMEETSEEFQPSTSTGATNVVSADKVSSNELIGPVVNEAKRDVGSIGQPSTLWDDGQTNISIGGLLSEASLQGVLNTFDPKSNGSNPGLKPSQLISDSLDAFIAAQVNHSQGPRLPQCSSSSILDAEDTCHEFAIKKFSAAGKDCQALSGSAYSQTCSQDAGSKSSKHPTMTAVNNQSDLQQGHGYEESETGLSLGSRVYNHENSLGLSGIKWTDSLGPFDLGLSSSRKIINGDSLSVGRIIS >Potri.016G132000.1.v4.1 pep chromosome:Pop_tri_v4:16:13603671:13616626:-1 gene:Potri.016G132000.v4.1 transcript:Potri.016G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G132000.v4.1 MALGDLTASRLSSQSSVALISNHYDDFPSSHGDDALDSARRDNNSDSNHNSSSSSINNNRDRDSDIASTSNYGGGNATTGSTAATTTSMAYLPQSAVLSELRHEAFEASVPTGPSDSGPVSKWRPKDRMKTGYVALVLCLNISVDPPDVIKISPCARMECWIDPFSMAPQKALETIGKSLSIQYERWQPKARYKIQLDPTVDEVKKLCNTCRKHAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPISDLDSWLRTPSIYVFDCSAAGMIVNAFLELHDWSASGSAGSVSNCILLAACEAHETLPQSDEFPADVFTSCLTTPIKMALKWFYRRSLLCDSLDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSMVEDPNSEFQPSPFFTEQLTAFEVWLDHGSEHKKPPEQLPIVLQVLLSQSHRFRALVLLGRFLDMGLWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRRGQEACIEAGLIHVCLRHLRGSVPIDAQTEPLFLQWLCLCLGKLWEDFTEAQMLGLQADAPAIYAPLLLVPQPEVRASAAFALATLLDVGGDVCRDGVHGDDECDDDEKVRAEVSIIRSLLSVVSDGSPLVRAEVAVALARFAFGHKQHLKSIAASYWKPQSNSLLNSLPSLVHIKATGSGYINPNQHVPHASIVSSQIGPLTRVGSDNPSVVRDGRVSTSSPLTTAGIMHGSPLSDDSSQHSNSGILNGIVSNGAVNHSRPKPLDNALYSQCVLAMCTLAKDPSPRIASLGRSVLSIIGIEQVVTKSVNSAGSSGRPRPGDPKTSSPYPSVAGMTRSSSWFDMNAGHLPFRTPPVSPPRPSYLTGMRRVCSLDFRPHLMNFPDSGLADPLLGSVSSSGGTERSLLPQSTIYKWSCGHFSKPLLTVPDDTEEILVRREEREKYALEHIATCQHSSGSNLKNRIANLDTKFETGTKTALLQPFSPIVVAADENERIRVWNYEEANLLNGFDNHDFPDKGISKLCLVNELDDSLLLVASCDGNIRIWKDYTVYGKQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLIHSIPSSSDCSVSAMSASEVHGGQFAAGFVDGSVKLYDVRIREMLVCASRPHTENVVRVVGIGFQPGLDPGKIVSASQAGDMQFLDMRNLMNPYLTIKAHRGSLTALSVHRHAPIIASGSAKQIIKLFSLNGEQLDSITYHLTIMGQKISPVSCLTFHPYQVLLAAGATDALFSIYADDNTQAR >Potri.009G133900.2.v4.1 pep chromosome:Pop_tri_v4:9:10844136:10845477:-1 gene:Potri.009G133900.v4.1 transcript:Potri.009G133900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133900.v4.1 MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSDGQAGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVQFLKGPPCLLFYWESLKMRCCQLSCFLIKGRSGIYKNKERVELIGRISLFCWMGSSICTTLVEVCSVSIFFLFFS >Potri.009G133900.1.v4.1 pep chromosome:Pop_tri_v4:9:10844136:10845477:-1 gene:Potri.009G133900.v4.1 transcript:Potri.009G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133900.v4.1 MSTLDATRAELALIVLYLNKAEARDKICRAIQYGSKFLSDGQAGTAQNVDKSTSLARKVFRLFKFVNDLHGLISPVQFLKGPPCLLFYWESLKMRCCQLSCFLIKGRSGIYKNKERVELIGRISLFCWMGSSICTTLVEVCSVSIFFLFFS >Potri.001G446332.1.v4.1 pep chromosome:Pop_tri_v4:1:47142442:47147861:1 gene:Potri.001G446332.v4.1 transcript:Potri.001G446332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G446332.v4.1 MAIESVGGSVVSKIAELLVEPAIRQFRYIFCFNNFVQEFSERKQSLALAIDRLQNDVKVAERNAEEIEKDVSKWLEGANNEIEGVNPLDEIGRNGKCFTCCPNWMRQFKLSKALAKKTEALRKLEENSRKFPKVSHKTPLQEIKFLPSKEFMLSKSSKEAFEQIMKALKDDTVNMIGLYGMGGVGKTTLVKDVARRAKELQLFDEVLMATLSQNPNVTGIQDRMADSLDLTLLKKSKEGRANELWQRLQGKKMLIVLDDVWKDIDFQEIGIPFGDAHRGCKILLTTRLEDICKNMACQQKVFLSLLSENEAWALFKINAGLHDEDSDLNRVAKEVAIECQGLPIALVAVGKALKDKSKNEWEVASEELKKSQSRHMEKFDDRRNAYACLKLSYDYLKYKEIKLCFLLCCLFPEDYDIPIEELMRYVVGYGLYQDVKSIEGARKRVYVEIENLKGCCMLLGTETEEHVKIHDLFRDVAIQIASSEEYGFMVEAGIGLEEWPLSYKSFEGCTAISLMGNELTELPEGLVCPHLKVLLLGLDRGLNVPERFFEGMKEIKVLSLEGGCLSLQSLQFSTNLQSLLLIECECKDLNWLRKLQRLKILGFIWCGSVEELPDEIGELKELRLLDLTGCENLRRIPVNLIARLKKLEELLIGDESFEEWDVVGCDSTEGMNASLTELSSLSHLAVLSLWIPKVECIPRDFVFPSLLKYDIMLGHWYPKLDNEYPTSTRLYLRDINAASLNAKTFEQLFPTVSLIDYRNIKGLENIVLSSDQMTTHGHGSQKDFLQRLENVKVNRCGDIRTLFPAKWRQALKNLISVDISNCKSLEEVFELGEADEGINEEKELSFLTELQLYRLPELKCIWKGPTRHVSLQSLIYLELWYLDKLTFIFTPSLAQSLFHLKTLRIDHCNELKRLIREKDDEGEIIPGSLGFPNLETLSIYDCEKLEYVFPVSVSPSLQNLEEMEIYSSDNLKQVFYSGEGDDIIVKSKIKDGIIDFPQLRKLSLSKCSFFGPKDFAAQLPSLQVLTIEGHEEWGNLWAQLQGFTSLETLNLSSLLVPDLRCIWKGIVPCNLTTLKVIKCKKLTHVFTNNMIASLIQLKILEISNCEELEQIIAKDNDDENDKILSRSDIHSSGFSLGCYDFLFPHLKKLKVDGCPKLTTEFATTSIDSMSAQSEGFMNLKEISIGNLEGVQDLMQVGRLVTNRRGGHELSLVSLETLHLNLLPDMRCIWKGLVPSNLTTLKVKKCNRLTHVFTCSMIASLVQLKVLQISNCEELEQIIAKDNDDENDQILSGSDLQSSCFPNLCRLKIRGCNKLKSLFPVAMASGLKRLRTLEVRESSQLLGVFGQDDHVSPVNVEKEMVLPHLEQLILEKLPSIVYFNHGCCDFIFPCLSILVVRQCPKLSTRFATTSNGSMSAQSEVSQVVEDSSTGCSVPTRTCRTWIQKIGWEEEKEEVDGVR >Potri.T010800.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:5988:8262:1 gene:Potri.T010800.v4.1 transcript:Potri.T010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T010800.v4.1 MKEAIVLYPAATSHQMISMVELAKLILQHHPNISITILVAIMPFDTSTISTYISSISQTSLPISFLSLPQPSEDPPGPAAAATLGKAAFDYIRLYTPKVLDALKTISLTSTVLAFIISTFGITYDTPIPTYLYFTSGASSFASILYLPTIHNQTTKSFKDLPNNTPLHFPGLPPIKPSHLPEPLLDRGHPAYQEFFSLGTLLPNLKGMILNTFDMLEPQAIKAITEGACVPKGSTPPLYCIGPRIVDAKQRGASDDALSKCLLWLDKQPSQSVVFLCFGRKGAFSAPQLKEIAFGLERSKQRFMWVVRNPPPNSDTERDLEELLPEGFLKRTKERGLVLKSWAPQAAILSHQAVGGFVTHCGWNSVLEAVTYGVPMLPWPLYAEQRLNSVVLAEEIKLTPMPFLTEDGKGGVVSSEEVERKVRELMGLEGKGFRESSSMMKIMAMAAWTNGGSSFTALSKLVASWKQEQS >Potri.013G144000.1.v4.1 pep chromosome:Pop_tri_v4:13:14181721:14185359:-1 gene:Potri.013G144000.v4.1 transcript:Potri.013G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144000.v4.1 MFLEKGSMQSNLDCFLHCTTPRVQSQFLAKSETRNLNRLWHPWERDTVEYFTLGDLWNFYDEWSAYGAGIPIVLNNDETLVQYYVPYLSAIQIFTSNSSVNSFREDTESGDGETRDSFSDSWSNESVTDKVCRSDGCSSEEGGSEQDNPWPINDRLGRLYFEYFERSTPYGRVPLMDKINGFARRFPGLMSLRSVDLSPASWMAVAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDVEDDIGSPEKKRKEGEIITLPPFGLATYKMQGKLWVSGNGGRDQERLVSLLSVADSWLKQLTVQHHDFDYFTGIKGG >Potri.001G372800.1.v4.1 pep chromosome:Pop_tri_v4:1:39084482:39086299:1 gene:Potri.001G372800.v4.1 transcript:Potri.001G372800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372800.v4.1 MDNCFDDDSLEPLSSTSTRSGGDNEVKAAVHQLLEEGWFFGKLLDVNSKPRMLRCYSDPSPSFDQQILANNCPPSGKSSSTRELLPPGNLTRAPSLPPNIGRSEEKIQETESNTSASGMSRKLTRQLSDQVLIRKPSCVKKKEGISQVKVASHANRNRRSKMVAEGQSSQHSLIRTPSLPPYIGREEMNEESESDEITMSKLIRQAMPLSKDILPRQRSSKMILPKYRPPRNSEEERGDALQDIASETSRFPKNQGRLEKSLSNLESHEVQGFKDKRGFNPPSMVEIFAGLQEKRIYIKRNQDKVREPYPSSSWQVNSCACAPPIPVWASKDSAQDMKAQLKFWARSVASNVR >Potri.017G031450.1.v4.1 pep chromosome:Pop_tri_v4:17:2120732:2124917:1 gene:Potri.017G031450.v4.1 transcript:Potri.017G031450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031450.v4.1 MELSKIFLIPCLIHVEKHREWDSTSYMQVQQEFKVVAQKVKEVELTHGQQDSLLLIYISQRLTIQFSLFLSS >Potri.009G137600.1.v4.1 pep chromosome:Pop_tri_v4:9:11073228:11077148:-1 gene:Potri.009G137600.v4.1 transcript:Potri.009G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G137600.v4.1 MAATLSVRSNRLSPSGSPFSRPPVHNPSNLNQIKSSLKKDPYFWRGVIVQHRRNLVRAGSRADDSSAPFEMSVESALKLLGVPDGASFDEILRAKKLIVATCKDDQEAIAQVETAYDMLLMRSLTQRRAGKVASSNIRYADVKPVNGPGMGSMPQWLQTTIKKTPVSVETPSTGELSLQAGVYGALMVLTYVNGTSTPSVAPYAGADVPGLLLATSFGASLYFMTKKNVKLGKATVITIGGLAAGAVVGSAVENLLQVDVVPLLGLHSPAAVVSEFILFSQFLVSLYLR >Potri.019G102800.1.v4.1 pep chromosome:Pop_tri_v4:19:13841480:13842419:1 gene:Potri.019G102800.v4.1 transcript:Potri.019G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102800.v4.1 MKIFVAALVIALICLPTAISARHIGRPRTHHGHHHSTQPRIKDGTVAQPNFYRERWPAKRRGDTVQIAGSSLPDCSHACGSCSPCRLVMVSFICASLEEAETCPMAYKCMCHNKSYPVP >Potri.006G158100.1.v4.1 pep chromosome:Pop_tri_v4:6:14443432:14447458:-1 gene:Potri.006G158100.v4.1 transcript:Potri.006G158100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G158100.v4.1 MGDEKSAIVMASRDRELLIPVADSPDVEVASKPSSSSSSSSHHSGRETFYKVVRSWASKKFMTGCVILFPIAITFYITWWFVHFVDGFFSPIYAHLGIDIFGLGFITSITFIFLVGVFMSSWLGASVLSLGEWFIKRMPFVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSSVILQNYSGEEELCCVYVPTNHLYIGDIFLVNTKDVIRPNLSVREGIEIVVSGGMSMPQILSTLDSRISVDRSRSERS >Potri.012G115500.2.v4.1 pep chromosome:Pop_tri_v4:12:13351600:13355250:-1 gene:Potri.012G115500.v4.1 transcript:Potri.012G115500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115500.v4.1 MSSECRSTWSCNSRTSTQCLLKSKHRRVDATCEFPRGCGRFPVVMDSSDSEDGIGDHSQFLKHRVVQVVPRKYPPPRILKGSFVQRDFPSAFQQQDLLSASKEGLQKVVSATKPMEKAAAEIAGRKCPSLGMNSSDCDDISDHGQFPQNPTMSKASSVQRDFSSGFGRQDFHSASKEGLLNTVSASNPKEKAAAEAASRKCHSPGILTDFLAKQIRPAAKQNMSSVSNDISTNDRRKQNSVSQENIITNSCGNQNTGSVSNDIFLRNGKNATRVAGFRPKRRFNVTLGNKETGQCHQKSSARCDEVKKVLRLFHQVLAKLWKENARKPKMEKDYNIPRHAALFLKDHKKWINTSKRVGPVPGVNIGDKFRFQAELNVIGLHCHFYNGIDYMKKNGISLATSIVVSERYANNMESSNVLIYSGSGGNPAVRGQQPLKDQKLERGNLALKHSMDCKTPVRVICKVKLKSPQAASFEGTCKRKNLNPIYVYDGLFTVEKFWEERGEFGKLVYKFKLKRNLDQPQLP >Potri.012G115500.3.v4.1 pep chromosome:Pop_tri_v4:12:13351490:13355250:-1 gene:Potri.012G115500.v4.1 transcript:Potri.012G115500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115500.v4.1 MNGNRTSTQCLLKSKHRRVDATCEFPRGCGRFPVVMDSSDSEDGIGDHSQFLKHRVVQVVPRKYPPPRILKGSFVQRDFPSAFQQQDLLSASKEGLQKVVSATKPMEKAAAEIAGRKCPSLGMNSSDCDDISDHGQFPQNPTMSKASSVQRDFSSGFGRQDFHSASKEGLLNTVSASNPKEKAAAEAASRKCHSPGILTDFLAKQIRPAAKQNMSSVSNDISTNDRRKQNSVSQENIITNSCGNQNTGSVSNDIFLRNGKNATRVAGFRPKRRFNVTLGNKETGQCHQKSSARCDEVKKVLRLFHQVLAKLWKENARKPKMEKDYNIPRHAALFLKDHKKWINTSKRVGPVPGVNIGDKFRFQAELNVIGLHCHFYNGIDYMKKNGISLATSIVVSERYANNMESSNVLIYSGSGGNPAVRGQQPLKDQKLERGNLALKHSMDCKTPVRVICKVKLKSPQAASFEGTCKRKNLNPIYVYDGLFTVEKFWEERGEFGKLVYKFKLKRNLDQPQLP >Potri.012G115500.6.v4.1 pep chromosome:Pop_tri_v4:12:13351599:13354608:-1 gene:Potri.012G115500.v4.1 transcript:Potri.012G115500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115500.v4.1 MNGNRTSTQCLLKSKHRRVDATCEFPRGCGRFPVVMDSSDSEDGIGDHSQFLKHRVVQVVPRKYPPPRILKGSFVQRDFPSAFQQQDLLSASKEGLQKVVSATKPMEKAAAEIAGRKCPSLGMNSSDCDDISDHGQFPQNPTMSKASSVQRDFSSGFGRQDFHSASKEGLLNTVSASNPKEKAAAEAASRKCHSPGILTDFLAKQIRPAAKQNMSSVSNDISTNDRRKQNSVSQENIITNSCGNQNTGSVSNDIFLRNGKNATRVAGFRPKRRFNVTLGNKETGQCHQKSSARCDEVKKVLRLFHQVLAKLWKENARKPKMEKDYNIPRHAALFLKDHKKWINTSKRVGPVPGVNIGDKFRFQAELNVIGLHCHFYNGIDYMKKNGISLATSIVVSERYANNMESSNVLIYSGSGGNPAVRGQQPLKDQKLERGNLALKHSMDCKTPVRVICKVKLKSPQAASFEGTCKRKNLNPIYVYDGLFTVEKFWEERGEFGKLVYKFKLKRNLDQPQLP >Potri.012G115500.5.v4.1 pep chromosome:Pop_tri_v4:12:13351592:13355250:-1 gene:Potri.012G115500.v4.1 transcript:Potri.012G115500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115500.v4.1 MNGNRTSTQCLLKSKHRRVDATCEFPRGCGRFPVVMDSSDSEDGIGDHSQFLKHRVVQVVPRKYPPPRILKGSFVQRDFPSAFQQQDLLSASKEGLQKVVSATKPMEKAAAEIAGRKCPSLGMNSSDCDDISDHGQFPQNPTMSKASSVQRDFSSGFGRQDFHSASKEGLLNTVSASNPKEKAAAEAASRKCHSPGILTDFLAKQIRPAAKQNMSSVSNDISTNDRRKQNSVSQENIITNSCGNQNTGSVSNDIFLRNGKNATRVAGFRPKRRFNVTLGNKETGQCHQKSSARCDEVKKVLRLFHQVLAKLWKENARKPKMEKDYNIPRHAALFLKDHKKWINTSKRVGPVPGVNIGDKFRFQAELNVIGLHCHFYNGIDYMKKNGISLATSIVVSERYANNMESSNVLIYSGSGGNPAVRGQQPLKDQKLERGNLALKHSMDCKTPVRVICKVKLKSPQAASFEGTCKRKNLNPIYVYDGLFTVEKFWEERGEFGKLVYKFKLKRNLDQPQLP >Potri.012G115500.1.v4.1 pep chromosome:Pop_tri_v4:12:13351602:13355292:-1 gene:Potri.012G115500.v4.1 transcript:Potri.012G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115500.v4.1 MNGNRTSTQCLLKSKHRRVDATCEFPRGCGRFPVVMDSSDSEDGIGDHSQFLKHRVVQVVPRKYPPPRILKGSFVQRDFPSAFQQQDLLSASKEGLQKVVSATKPMEKAAAEIAGRKCPSLGMNSSDCDDISDHGQFPQNPTMSKASSVQRDFSSGFGRQDFHSASKEGLLNTVSASNPKEKAAAEAASRKCHSPGILTDFLAKQIRPAAKQNMSSVSNDISTNDRRKQNSVSQENIITNSCGNQNTGSVSNDIFLRNGKNATRVAGFRPKRRFNVTLGNKETGQCHQKSSARCDEVKKVLRLFHQVLAKLWKENARKPKMEKDYNIPRHAALFLKDHKKWINTSKRVGPVPGVNIGDKFRFQAELNVIGLHCHFYNGIDYMKKNGISLATSIVVSERYANNMESSNVLIYSGSGGNPAVRGQQPLKDQKLERGNLALKHSMDCKTPVRVICKVKLKSPQAASFEGTCKRKNLNPIYVYDGLFTVEKFWEERGEFGKLVYKFKLKRNLDQPQLP >Potri.012G115500.4.v4.1 pep chromosome:Pop_tri_v4:12:13351491:13355250:-1 gene:Potri.012G115500.v4.1 transcript:Potri.012G115500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115500.v4.1 MNGNRTSTQCLLKSKHRRVDATCEFPRGCGRFPVVMDSSDSEDGIGDHSQFLKHRVVQVVPRKYPPPRILKGSFVQRDFPSAFQQQDLLSASKEGLQKVVSATKPMEKAAAEIAGRKCPSLGMNSSDCDDISDHGQFPQNPTMSKASSVQRDFSSGFGRQDFHSASKEGLLNTVSASNPKEKAAAEAASRKCHSPGILTDFLAKQIRPAAKQNMSSVSNDISTNDRRKQNSVSQENIITNSCGNQNTGSVSNDIFLRNGKNATRVAGFRPKRRFNVTLGNKETGQCHQKSSARCDEVKKVLRLFHQVLAKLWKENARKPKMEKDYNIPRHAALFLKDHKKWINTSKRVGPVPGVNIGDKFRFQAELNVIGLHCHFYNGIDYMKKNGISLATSIVVSERYANNMESSNVLIYSGSGGNPAVRGQQPLKDQKLERGNLALKHSMDCKTPVRVICKVKLKSPQAASFEGTCKRKNLNPIYVYDGLFTVEKFWEERGEFGKLVYKFKLKRNLDQPQLP >Potri.006G223900.1.v4.1 pep chromosome:Pop_tri_v4:6:22879638:22880561:1 gene:Potri.006G223900.v4.1 transcript:Potri.006G223900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G223900.v4.1 MDFRIMGLDAPLFNTLQHMMDASDHEADKSFNAPTRTYVRDAKAMASTPADVKEYPSSYAFVIDMPGLKSGDIKVQVEDDNVLVISGERKRGEEKEGAKYVRMERRVGKFMRKFVLPENANTDAISAVCQDGVLTVTVEKLPPPEPKKPKTIEVKIA >Potri.006G193500.1.v4.1 pep chromosome:Pop_tri_v4:6:20029382:20031802:-1 gene:Potri.006G193500.v4.1 transcript:Potri.006G193500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193500.v4.1 MRIRKRQVPLPLSSLSPVPLSDPQFSQSPVVQLQLHNNPLQNLPQEPHTLACFDSHTPNQPNQPIGGGSSGLDCSDAAVAQQEKKILLEKDERGREGERSNDTRKGSLMGAEIETMNLTPSSSSRQGVGMWGEGEKAFPLKKRRGSFERRSDDDEIMIVKDKKMKIKMNKICVQQKGNNKEEDDDDEEEEEEEESKDIIKEGADGTTNNTSARKKARGGALMEGSRCSRVNGRGWRCCQQTLVGYSLCEHHLGKGRLRSMSSVRSRSMARTAPKKAESKPLSSSSLSLEEKETKRVSSDHIKLDGDPNEDGDHKKPLMIAKKKVKLGMVKARSISSLLGQPNYGIAVSEDSK >Potri.016G095500.3.v4.1 pep chromosome:Pop_tri_v4:16:9315933:9321703:1 gene:Potri.016G095500.v4.1 transcript:Potri.016G095500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G095500.v4.1 MGKNLEHHSSSVSIENNHPGCMWSILHVLKYHHWRYIKKKLHHKSGGNRKHAKWDGNPGNILTDSKVGGTPQSNNTGNHHSTVIDKKTQFTSATKASVKSRIKSRISDELSKRKGRHCRSSTYPIRSPMMQTDSFRHTELSDEDLVSDIRLSDGCLTIAEEPTSSTTKLLDPSVPTSLEDYNREDCGPMLTSNHLGHNQVDMTEKELIENHSLLQENSNDKRQKSVHVKGLSTDASAQQSKEILDALDMINIDKDILKIFLQDLTNPLAHYMHHHYTFTTKMGYSVSFPLPGSSYGIGSGARTGKQKQEGLEKLQAGSHTQKSVEPKYREYIRSKSMPSIAAANSALGSSHRIKNHAQNQVVVKHFKNLKQKIRHAIKESKNEKHRITMDAILHKIPHGHRFSKNLERIGVDNMMDHFMSRDGKDSPKSSYDYDHSLPSTSNSELYCISRTVSFSESLDRYCQLYDSSFNKEAKQHFPETLKMKAEDGVSFQMGAAKSMRRIFSLPDLKSFPYCCEDSSGSFPVSQVRTMTDDTLSTRGNFCEQNSLSHPTASEQFLGVDTKNHVRGNNVESESDSVIGDELGTILVSNVETHANCTLVSDDLSNLMTVDKQDILSPTETITEVVELTTLPVPDSKLQDETTRHANIFVAEDLNYSKNNLAECSMDTLTMTVTEVGIEKIDFLSNILNNDSHPFQVDAKDKAEFDYVKDVLTLSGFTGNELLGTWNSDDQPVHHSIFEEAEGCMLLDPECCGNEGGNCHHLLLFDLINEVLMEIYANSYTYYPVPLSSLSHVRPMPVGRHVLEEVWTNISWYLSSTTEGDHSLDHALSRDLAKRDGWMNLQYDTECAGLELEDLIFEDLLEEILCA >Potri.005G240601.1.v4.1 pep chromosome:Pop_tri_v4:5:23739964:23740501:-1 gene:Potri.005G240601.v4.1 transcript:Potri.005G240601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240601.v4.1 MSSPTSGGGSAKFPSREKPGRLSPGTPSGRAAPPVDPKRAREEAEEQSVENEQEKDDNVEPPSKEVPETTDKEETDADNLETDNTAQATVDGSKAEDAEKQSLEASLSTNSY >Potri.002G013500.8.v4.1 pep chromosome:Pop_tri_v4:2:831614:840877:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMPNFTGLASQGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.002G013500.6.v4.1 pep chromosome:Pop_tri_v4:2:831614:841233:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMPNFTGLASQGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.002G013500.12.v4.1 pep chromosome:Pop_tri_v4:2:831729:840429:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.002G013500.7.v4.1 pep chromosome:Pop_tri_v4:2:831657:840895:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMPNFTGLASQGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.002G013500.10.v4.1 pep chromosome:Pop_tri_v4:2:831635:840936:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYLENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMPNFTGLASQGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.002G013500.9.v4.1 pep chromosome:Pop_tri_v4:2:831597:840874:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMPNFTGLASQGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.002G013500.5.v4.1 pep chromosome:Pop_tri_v4:2:831621:840936:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMPNFTGLASQGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.002G013500.11.v4.1 pep chromosome:Pop_tri_v4:2:831603:840442:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.002G013500.4.v4.1 pep chromosome:Pop_tri_v4:2:831511:840948:1 gene:Potri.002G013500.v4.1 transcript:Potri.002G013500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013500.v4.1 MANVYSHEGSGTSHVSGEGSDATIELNIKTLDSQIYSFQVDKNMPVSVFKEKIANEISIPVSQQRLIFRGRVLKDEHLLSEYQVENGHTLHVVARQPTQPQPSAGTSSGDTMGNNSNQVNDASAGAPRNRIGHVSHSVVLETFNDGDQGEGIVPDVSRVIGAVLNLFGVSGQTATSSIGGMQSSNMGSETGGSRGNVGGQSSAGNQTRSGQPFQSAPQVVQVPLSAAIPVPSLHSPIPDSLNTLSEFITRMERVLAQNGNQPNTSTTSMEDPPRVELPSNARGCPTPEALSIVLHHAARLLSGPATTSLSHIAGCLEQNGSSTDPAIRGQIQTETMQVGLAMQHLGALFLELGRTILTLRMGQPPMEPSVNAGPAVYISPSGPNPIMVQPFPLQTNSLFGSSVPPSNPMAFGPVGVGNAPRHVNIHIHAGTSLASVIPTIGTRTSGTGIQGEHGNAAGLGVSGPEQALPARNVVAATVPSPSAGVTVAAQPGLGLSNSQPPSDSMSLSSIMSGINSQLRQLAGNRQGGNQPASGSAGSVAGNNPTNLQMNSTVVNGAGESNVSLPGDLSECDDQKAQVHDNDPLSLKDIQSSSVGVSSSSVNIPSSSVNISSSSVECPNVETSQKSQDTSQNASSSCTKAVPLGLGLGSLDRKRRTKQPNSLGSGDSGTTNTHLNRNPEAGISGQQLLQSLASRSSSTNRAGANDTPPDPVAPLPGQVMEGRPPRDLSADGQFDSASAMSQVLRSPVMNNLFAGVSEQTGVGSPNVFRNMLQQLTQNPQIMNTVSQIAQQVDGQDLGNMFSGLGSGQGGGFDLSGMVQQMMPVVSQVLGHGSPTPQLFPTPESEPQMRSNERESIGAENPNDNNIQINLHEVAQRIEQFAAPQDVFQAIVGNAVRLNGNGSNAEDIQHELNNNEDLASNYVEMLQRDIQRRIQDDYGEDKC >Potri.008G129400.1.v4.1 pep chromosome:Pop_tri_v4:8:8450719:8455515:-1 gene:Potri.008G129400.v4.1 transcript:Potri.008G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129400.v4.1 MISWNDLYNVLSAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYSMNFRFIAADTLQKIIMLFALGIWTNFTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYDDYSGSLMVQVVVLQCIIWYTLLLFLFEYRGAKMLIMEQFPETAASIVSFKVDSDVVSLDGRDFLETDAEIGDDGKLHVTVRKSNASRRSLGPGSFSGLTPRPSNLTGAEIYSLSSSRNPTPRGSNFNPSDFYSMMGVQGGRHSNLGPADLYSVQSSRGPTPRPSNFEENCAPMATITSPRFGFYPAQTVPTSYPAPNPELASTITSKTTKNQQQQNHQQQLLQPQPQQNSKVNHDAKELHMFVWSSSASPVSEGGGLHVFGGTDFGASEQSGRSDQGAKEIRMLVADHPQNGDSKTIPQAGNFAGEDFSFAGRGEGEDDQREKEGPTGLNKLGSSSTAELHPKAVGAPDSGGSKQMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWSLIAFRWHVEMPKIIKQSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFLTGPAVMAAASIAVGLRGTLLHVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL >Potri.006G227600.5.v4.1 pep chromosome:Pop_tri_v4:6:23177580:23182320:1 gene:Potri.006G227600.v4.1 transcript:Potri.006G227600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227600.v4.1 MMKGLVMDENMSNLTSASGEVSASSGSRIETGAKHPQHSFDSTNQPPPKKKKNLPGNPDPDAEVIALSPNSLQTTNRFLCEICNKGFKRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDTLAEESARSMTVLSSQQPGSSASHLMNLQALSVKREQDQNQYLFNPRPDSIPPWLACPPIGEAGPGPPQINLSSQLFPAHLDQSFLQHGNPSPNPTVLPPFQPSSTASPHMSATALLQKAAQMGVTVSKPSPSPATAAILRPHQGHMSDHQNPGFGSTLPATSTANPGLFFTSREEMGSGFGHGLASLEDKAGTVTSGIMEYLAASDGGGTSLVHDMMSSLSSASGFDGSSFDNEDFNGMLNPKRDSSNFQEILSKSTESRFIRSDAASGSHHGGGNDGLTRDFLGLKAFPHKDFLNLPAGFDHISPSTYGQRNQNLPPPWQG >Potri.006G227600.1.v4.1 pep chromosome:Pop_tri_v4:6:23177173:23182500:1 gene:Potri.006G227600.v4.1 transcript:Potri.006G227600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227600.v4.1 MMKGLVMDENMSNLTSASGEVSASSGSRIETGAKHPQHSFDSTNQPPPKKKKNLPGNPDPDAEVIALSPNSLQTTNRFLCEICNKGFKRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDTLAEESARSMTVLSSQQPGSSASHLMNLQALSVKREQDQNQYLFNPRPDSIPPWLACPPIGEAGPGPPQINLSSQLFPAHLDQSFLQHGNPSPNPTVLPPFQPSSTASPHMSATALLQKAAQMGVTVSKPSPSPATAAILRPHQGHMSDHQNPGFGSTLPATSTANPGLFFTSREEMGSGFGHGLASLEDKAGTVTSGIMEYLAASDGGGTSLVHDMMSSLSSASGFDGSSFDNEDFNGMLNPKRDSSNFQEILSKSTESRFIRSDAASGSHHGGGNDGLTRDFLGLKAFPHKDFLNLPAGFDHISPSTYGQRNQNLPPPWQGSSMDFQLYNKK >Potri.006G227600.2.v4.1 pep chromosome:Pop_tri_v4:6:23177558:23182321:1 gene:Potri.006G227600.v4.1 transcript:Potri.006G227600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227600.v4.1 MMKGLVMDENMSNLTSASGEVSASSGSRIETGAKHPQHSFDSTNQPPPKKKKNLPGNPDPDAEVIALSPNSLQTTNRFLCEICNKGFKRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDTLAEESARSMTVLSSQQPGSSASHLMNLQALSVKREQDQNQYLFNPRPDSIPPWLACPPIGEAGPGPPQINLSSQLFPAHLDQSFLQHGNPSPNPTVLPPFQPSSTASPHMSATALLQKAAQMGVTVSKPSPSPATAAILRPHQGHMSDHQNPGFGSTLPATSTANPGLFFTSREEMGSGFGHGLASLEDKAGTVTSGIMEYLAASDGGGTSLVHDMMSSLSSASGFDGSSFDNEDFNGMLNPKRDSSNFQEILSKSTESRFIRSDAASGSHHGGGNDGLTRDFLGLKAFPHKDFLNLPAGFDHISPSTYGQRNQNLPPPWQESLQ >Potri.006G227600.6.v4.1 pep chromosome:Pop_tri_v4:6:23177949:23182027:1 gene:Potri.006G227600.v4.1 transcript:Potri.006G227600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G227600.v4.1 MMKGLVMDENMSNLTSASGEVSASSGSRIETGAKHPQHSFDSTNQPPPKKKKNLPGNPDPDAEVIALSPNSLQTTNRFLCEICNKGFKRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYVCPEVTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKRYAVQSDWKAHSKICGTREYRCDCGTLFSRRDSFITHRAFCDTLAEESARSMTVLSSQQPGSSASHLMNLQALSVKREQDQNQYLFNPRPDSIPPWLACPPIGEAGPGPPQINLSSQLFPAHLDQSFLQHGNPSPNPTVLPPFQPSSTASPHMSATALLQKAAQMGVTVSKPSPSPATAAILRPHQGHMSDHQNPGFGSTLPATSTANPGLFFTSREEMGSGFGHGLASLEDKAGTVTSGIMEYLAASDGGGTSLVHDMMSSLSSASGFDGSSFDNEDFNGMLNPKRDSSNFQEILSKSTESRFIRSDAASGSHHGGGNDGLTRDFLGLKAFPHKDFLNLPAGFDHISPSTYGQRNQNLPPPWQG >Potri.013G040100.1.v4.1 pep chromosome:Pop_tri_v4:13:2713392:2716493:-1 gene:Potri.013G040100.v4.1 transcript:Potri.013G040100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G040100.v4.1 MAEEQKVIIPRVKLGNQGLEVSKLGFGCMGLSGIYNAPLPEEVGISIIKEAYSKGITFFDTADVYGPHTNEILVGKALKHLPREKIQLATKFGIVPNGSDFKNCAINGSPEYIRACCDASLKRLDLDYIDLYYQHRTDTSVPIEETMGELKKLVEEGKVKYIGLSEASPDTIKRAHAVHPISAVQMEWSLWSRDIEEEIIPLCRELGIAVVPYSPIGRGFFGGRAVVKSLSSESLLKLHPRFTEENIEKNKVFYSRIEKLAAKHGCTPAQLALAWVINQGDDVVPIPGTTKIKNLYDNVGSLQVKLTKEDLKEISDAVPINEVAGVRSPQYQLTWKFADTPQPKNSQV >Potri.017G061100.1.v4.1 pep chromosome:Pop_tri_v4:17:4975898:4981231:-1 gene:Potri.017G061100.v4.1 transcript:Potri.017G061100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G061100.v4.1 MASRYWAVSLPVQNSASSVWNNLQEQISKHSFDTPLYRFNIPNLRVGTLDSLLSLSDDLVRSNSFIEGVAQKIRRQIEELQRVSGVESNALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEIVDSIHTQVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSNLVKPEDIIVSENLVTLLAIVPKYSQKDWLASYETLTSYVVPRSSKKLYEDNEYALYTVTLFHRVADNFRTSSRDKGFQIRDIEHSSEAQENRKQELERIVQDQESLRSSLLQWCYTSYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLSPSLKGEKKVRSILDGLGDSSNSTYWKSEDEVVGGMMGLGGDADTHPYVSFTINLV >Potri.004G151200.1.v4.1 pep chromosome:Pop_tri_v4:4:17278126:17281018:-1 gene:Potri.004G151200.v4.1 transcript:Potri.004G151200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151200.v4.1 MISRPKNGWHSLMPLCFRGKAVTGFCIFPKVKSSGYSPGNTPVYLNVYDLTDINGYAYWAGFGIYHSGVEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFKFRKSIFMGTTILDPKQVREFMELQSANYNGDTYHLIVKNCNHFCEDTCYKLTGNRIPKWVNRLARIGSLCNCILPEALKATKVQHDPNYQERESEKKRLRSSFSCFSSISMPQREVSMSSLFLHSHYKGCLPPWELKRSRKGSIKEG >Potri.013G131500.1.v4.1 pep chromosome:Pop_tri_v4:13:13771633:13772335:1 gene:Potri.013G131500.v4.1 transcript:Potri.013G131500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131500.v4.1 MDKLLSCFLGISSLMLFVLLQDGYAQDTSCLNQLVPCLSYLNGTKDVPDTCCDPLKTVIKSNPKCLCNLASNQGSNQAGINVTEAQELPGRCGLHVNPLSCLTGSNNSPNSKNSVDNSASIFLLPSWSLIVATTLTFTSQFL >Potri.009G044400.1.v4.1 pep chromosome:Pop_tri_v4:9:5112187:5115513:1 gene:Potri.009G044400.v4.1 transcript:Potri.009G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044400.v4.1 MGTTKIYIVFYSLHGHVEIMAREIQRGANTVQGVEATLWQVPETLSNSILNKVKANPKADDVPVILPEQLLEADGFLFGFPSRFGVMASQFKAFFDATHELWATQALAGKPAGFFWSTGFYGGGQELAAFTAITQLAHHGMLFVPLGYTFGSGMFEMGEVKGGSSYGAGTFAADGSRQPSELELQQAFYQGKYVSEITKKLKG >Potri.009G148500.2.v4.1 pep chromosome:Pop_tri_v4:9:11715565:11717841:-1 gene:Potri.009G148500.v4.1 transcript:Potri.009G148500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148500.v4.1 MRLEKCWFCSSTVYPGHGIQFVRNDAKIFRFCRSKCHKNFKMKRNPRKVKWTKAYRRLHGKDMTQDSTFEFERKRNRPERYDRNLAENTLKAIKKIDKVRSDRAANHIEKRLKVRKGKERREAQKELEQSIHLVKAPQVLRQDQSLTLPKIKVQVSQPKSEQNQAMEE >Potri.018G084200.1.v4.1 pep chromosome:Pop_tri_v4:18:10217704:10220211:1 gene:Potri.018G084200.v4.1 transcript:Potri.018G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084200.v4.1 MANVFRSLVILPLLFVASYAQFWLRPDAYEFFNKKKILGPHELFNNPFGDAQDYLRKKIDNDFGTQPLPKSANPSHPSFFGLPNEPKGSWELVSVNSGVSAMHAILLPKVDKVLMYDATIWKKSEIRLPAGHCRLLNKTTGEKDCFCHSVLFDIATTAITPLQLHTDTWCSSGGLSIDGNLVGTGGFQGGAKTVRYLETCKGCNWREFPTALADRRWYSTQAELPDGGFIVVGGRDAFSYEYIPREGRSNAKSYFFDFLKKTSDKDENNLYPFVHLSTDGNLFIFANDRAVLLNPKSNKVVRELPALPGGHRNYPATGMSVLLPIKLHSKNNRVIPAEVLVCGGSGHRDAYTQASKDIFYTALEDCGRIRITDKKPAWKREVMPSPRVMGDMMILPTGDVLLLNGAQRGCSGWGFAREPNFGPAIYHPKAKLGNRFRELKASTIPRMYHSSSVVLPDGKILVAGSNTNNGYVYNAMFPTELRVEKFLPPYLDPSVIGRRPVIIADKAPNQIGYNNLFKLYIKSKALKVEKKDIQVTMYAPAFTTHGVSMNQRLLDLGLEDVITENAFLGIHAITAVSPPSGRVAPPGYYMLFVVHQGVPSVSSWVQIK >Potri.010G034800.1.v4.1 pep chromosome:Pop_tri_v4:10:6310854:6327527:-1 gene:Potri.010G034800.v4.1 transcript:Potri.010G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034800.v4.1 MDSSSPPPSSSLQLAMAALVGASLMAISAFFIHKRSVDQVLDRLITIRRNSLLKEKEKETVAVDDKNHDEDEEHGSDGELILIDRKILVSHSLDDDTAIPSYRRMSSSMPNVVLINDWFDEESMRFGLGSHREDNNLNFIPLGLPPLHTVPRQGDDKTLNYSSSLKRLASMGRLMTPRSPSGNAFDYSGDSEDEGTALADEDTTIYSQNVDSSADYINDIDPKIQNSTALQFSYVDSTNSVPGQNFEQHGDRKGHATSGHQVGSNPVIATMILPQRTSVPESINIEEEEVRKMIRECLDLRNSYLYTEKVAPWMKHSVEESTASEVNTDHFEPFPATSHCFRMEDGVVHVYASEHDTVELFPVASATAFFTDMHHVLRIMSIGNVRSACYLRLRFLEEKFRLHLLINADRESMAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLREEPDEVVIFRDGKYMTLNEVFESLDLTVYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKRVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFINNAIYSENAVWLIQLPRLYNVYKQMGTVTSFQNILDNVFIPLFEVTINPSSHPQLHVFLMQVVGLDIVDDESRPERRPTKHMPKPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDVDHLAAAFLLCNNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLNYHHNPFPIFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSVAAKVWKLSACDLCEIARNSVYQSGFSHAAKLHWLGSKYFLRGPEGNNIHKSNVPDIRIVFRHETWKEEMQYVFLGIDKFPEELDF >Potri.010G034800.3.v4.1 pep chromosome:Pop_tri_v4:10:6310854:6327451:-1 gene:Potri.010G034800.v4.1 transcript:Potri.010G034800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034800.v4.1 MDSSSPPPSSSLQLAMAALVGASLMAISAFFIHKRSVDQVLDRLITIRRNSLLKEKEKETVAVDDKNHDEDEEHGSDGELILIDRKILVSHSLDDDTAIPSYRRMSSSMPNVVLINDWFDEESMRFGLGSHREDNNLNFIPLGLPPLHTVPRQGDDKTLNYSSSLKRLASMGRLMTPRSPSGNAFDYSGDSEDEGTALADEDTTIYSQNVDSSADYINDIDPKIQNSTALQFSYVDSTNSVPGQNFEQHGDRKGHATSGHQVGSNPVIATMILPQRTSVPESINIEEEEVRKMIRECLDLRNSYLYTEKVAPWMKHSVEESTASEHCFRMEDGVVHVYASEHDTVELFPVASATAFFTDMHHVLRIMSIGNVRSACYLRLRFLEEKFRLHLLINADRESMAQKGAPHRDFYNIRKVDTHVHHSACMNQKHLLRFIKSKLREEPDEVVIFRDGKYMTLNEVFESLDLTVYDLNVDLLDVHADKSTFHRFDKFNLKYNPCGQSRLREIFLKQDNLIQGRFLAEVTKRVLSDLEASKYQMAEYRVSIYGRKQSEWDQLASWFINNAIYSENAVWLIQLPRLYNVYKQMGTVTSFQNILDNVFIPLFEVTINPSSHPQLHVFLMQVVGLDIVDDESRPERRPTKHMPKPAEWTNEFNPAYSYYAYYCYANLYTLNKLRESKGLPTIKFRPHCGEAGDVDHLAAAFLLCNNISHGINLRKSPVLQYLYYLAQIGLAMSPLSNNSLFLNYHHNPFPIFFQRGLNVSLSTDDPLQIHLTKEPLVEEYSVAAKVWKLSACDLCEIARNSVYQSGFSHAAKLHWLGSKYFLRGPEGNNIHKSNVPDIRIVFRHETWKEEMQYVFLGIDKFPEELDF >Potri.001G369500.1.v4.1 pep chromosome:Pop_tri_v4:1:38721178:38726963:-1 gene:Potri.001G369500.v4.1 transcript:Potri.001G369500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369500.v4.1 MSEQESSSDERMSSEEEEEQQQKEEGFSYWNLKDVERGQLPPHIRKQGTRVYCKPDAPTNTETLQYSGSYASMGVDNSLRLDSFRENFRIKVIALDKDKMEFDMIGIDAAVANAFRRILISEVPTMAIEKVFIANNTSVIQDEVLAHRLGLIPIKVDPRLFEYLSANDTPNEKNTIVLKLHARCNRGQPRCSVYSQELKWLPNGSEFAKESEKPDSKPSTYTSFSCGQDSLPEFAGNPISTVEDIILAKLGPGQEIELEAHAVKGMGKTHAKWSPVGTAWYRMFPEVVLLENIKGEDAEELIRKCPSGVFDIEDTGNAKKKAIVADSRACTLCRECIRGDEEWEKRVALHRVKDHFIFTIESTGALPPEVLFTEAVKILEAKCERVITELS >Potri.007G008300.9.v4.1 pep chromosome:Pop_tri_v4:7:645354:653038:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MDFIEQKRHKELANPSSFYRLVYSEIEEVGWERLVRFGGDLEFLSFRITDKKGRVHIMEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIDEELGSRSGAGTDHTCDNTSCGRAFHTVCLVDWLRSITTTRQSFDVLFGNCPYCSEPVAVKLNDKKKHV >Potri.007G008300.11.v4.1 pep chromosome:Pop_tri_v4:7:645354:653038:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MDFIEQKRHKELANPSSFYRLVYSEIEEVGWERLVRFGGDLEFLSFRITDKKGRVHIMEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIVVAGLSILFVWWTGCALSPQLGNRLMSCLVIARTVPSLLQSN >Potri.007G008300.4.v4.1 pep chromosome:Pop_tri_v4:7:645354:652889:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MDFIEQKRHKELANPSSFYRLVYSEIEEVGWERLVRFGGDLEFLSFRITDKKGRVHIMEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIDEELGSRSGAGTDHTCDNTSCGRAFHTVCLVDWLRSITTTRQSFDVLFGNCPYCSEPVAVKLNDKKKHV >Potri.007G008300.6.v4.1 pep chromosome:Pop_tri_v4:7:645354:653038:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MDFIEQKRHKELANPSSFYRLVYSEIEEVGWERLVRFGGDLEFLSFRITDKKGRVHIMEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIDEELGSRSGAGTDHTCDNTSCGRAFHTVCLVDWLRSITTTRQSFDVLFGNCPYCSEPVAVKLNDKKKHV >Potri.007G008300.12.v4.1 pep chromosome:Pop_tri_v4:7:645503:652908:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIDEELGSRSGAGTDHTCDNTSCGRAFHTVCLVDWLRSITTTRQSFDVLFGNCPYCSEPVAVKLNDKKKHV >Potri.007G008300.8.v4.1 pep chromosome:Pop_tri_v4:7:645354:653039:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIDEELGSRSGAGTDHTCDNTSCGRAFHTVCLVDWLRSITTTRQSFDVLFGNCPYCSEPVAVKLNDKKKHV >Potri.007G008300.13.v4.1 pep chromosome:Pop_tri_v4:7:645673:652660:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIDEELGSRSGAGTDHTCDNTSCGRAFHTVCLVDWLRSITTTRQYVDFLVSLDFIWLT >Potri.007G008300.10.v4.1 pep chromosome:Pop_tri_v4:7:645354:653038:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MDFIEQKRHKELANPSSFYRLVYSEIEEVGWERLVRFGGDLEFLSFRITDKKGRVHIMEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIVVAGLSILFVWWTGCALSPQLGNRLMSCLVIARTVPSLLQSN >Potri.007G008300.14.v4.1 pep chromosome:Pop_tri_v4:7:645354:653038:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIVVAGLSILFVWWTGCALSPQLGNRLMSCLVIARTVPSLLQSN >Potri.007G008300.7.v4.1 pep chromosome:Pop_tri_v4:7:645435:653038:1 gene:Potri.007G008300.v4.1 transcript:Potri.007G008300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008300.v4.1 MEIQLDKTYPQKPPSVSAEVPYIFNVKWSVKSRLKDLVQQFREHLEELQEFWSTLEDIDHSLCVTNKKKLSRATTCRQIDIGNDCSIMLSINARDPRSLPECRFMGSGPVVNPVRKLWLRNNKRWMKDKTLPENLAFILETELPRPSHVLENDQQVECGICYAQYLPIDEELGSRSGAGTDHTCDNTSCGRAFHTVCLVDWLRSITTTRQSFDVLFGNCPYCSEPVAVKLNDKKKHV >Potri.001G076350.1.v4.1 pep chromosome:Pop_tri_v4:1:5755025:5755929:-1 gene:Potri.001G076350.v4.1 transcript:Potri.001G076350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076350.v4.1 MNISEGKERKSMQIKKPRGSMMWCCMIHIAISFVNSELRDGIAEGERNVSWDEKQN >Potri.014G171800.1.v4.1 pep chromosome:Pop_tri_v4:14:12744534:12749272:-1 gene:Potri.014G171800.v4.1 transcript:Potri.014G171800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G171800.v4.1 MASMAAIGVMRPPSSSSLSSSSSSNLSRRTAFRSLSFSSSSNLSGGKVCSTAFSVRRDTGRNERTPMIVSPKAVSDSRNSQTCLDPDASRSVLGIILGGGAGTRLYPLTKKRAKPAVPLGANYRLIDIPVSNCLNSNISKIYVLTQFNSASLNRHLSRAYASNMGGYKNEGFVEVLAAQQSPENPNWFQGTADAVRQYLWLFEEHNVLEFLVLAGDHLYRMDYERFIQAHRETDADITVAALPMDEKRATAFGLMKIDEEGRIIEFAEKPKGEQLKAMKVDTTILGLDDERAKEMPYIASMGIYVVSKNVMLDLLREKFPGANDFGSEVIPGATSIGMRVQAYLYDGYWEDIGTIEAFYNANLGITKKPVPDFSFYDRSSPIYTQPRYLPPSKMLDADVTDSVIGEGCVIKNCKIHHSVIGLRSCISEGAIIEDTLLMGADYYETDADRRYLAAKGSVPIGIGKNSHIKRAIIDKNARIGDNVKIINGDNVQEAARETDGYFIKSGIVTVIKDALIPSGTVI >Potri.008G189700.1.v4.1 pep chromosome:Pop_tri_v4:8:13304979:13309410:-1 gene:Potri.008G189700.v4.1 transcript:Potri.008G189700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189700.v4.1 MSNSVGNPPPGSSSNESFPLQVDTNSTLSLGPSPSATDGEESAALVDDTATPPPNSTNVDSPQTPEPSSPPPTSKSPPPPPPPSPPPPPPPKSNHSPPPSPPLVSNSTKSNSSPPLKISPPPNSPPPSPNPPPTPAKKESSSSSVPSPPPPAASPPPAGKFVPPPLSRDVQQSPPPPAEFKPSLSPPISNVSPKTLDSNSNPSNSGRVPTDSRFHSPPVPGASPSDHPSSTSTDATNHNVPRTPPAPGNESNEAGGKTIIAAAVGAAVTGLFLLTLIAAIFLVVKSRKKRVANASGHYMPPKSFTLKTDGYHYGQQQQSVRLTGPGSPSYHLQSAPSESHGSQRGNMYNGGGPDSDVIGTGKTFFSYHELMEITSGFARQNIIGEGGFGCVYKGCMADGKVVAVKQLKAGSGQGDREFKAEVEIISRVHHRHLVSLVGYCISDNQRLLIYEFVPNKTLENHLHGKELPVLDWPKRLKIAIGSAKGLAYLHEDCHPKIIHRDIKSANILLDDAFEAQVADFGLARLNDTTQTHVSTRVMGTFGYLAPEYASSGKLTDRSDVFSFGVVLLELITGRKPVDASQPLGDESLVEWARPLLIHALETGELGELVDTRLEKHYVESELFRMVETAAACVRHLAPKRPRMMQVVRALDSGGELSDLSNGVKFGQSTAYDSGQYNQEISNFRRMALVSNGSSEFDTFSGDYSARDTSREQPTSGDYTSSESETRAMNRTGSYAGRRFR >Potri.006G240900.2.v4.1 pep chromosome:Pop_tri_v4:6:24280596:24283744:-1 gene:Potri.006G240900.v4.1 transcript:Potri.006G240900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240900.v4.1 MQASRARLLKEYKEVQREKVADPDIQLVCDDSNIFKWTALIKGPSETPFEGGVFQLAFSVPEQYPLQPPQVRFLTKIFHPNVHFKTGEICLDILKNAWSPAWTLQSVCRAIIALMAHPEPDSPLNCDSGNLLRSGDVRGYQSMARMYTRLAASPKKG >Potri.001G295200.1.v4.1 pep chromosome:Pop_tri_v4:1:30634045:30635650:1 gene:Potri.001G295200.v4.1 transcript:Potri.001G295200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295200.v4.1 MAFAGVTVTCHSSYLLPRFSSFNYSSIPLLSLGRFPLFISKECLRVSAYAAGSKRASSPSYLETKHGVWEDPDDGSGSDYDQEDEEEAKENDLDFDSNWEEEKNSVTATSTVTNSTTENNYGEDLVKEVEQLLGPEERAIFQQNASPNLRKISTAKWNPLQTLALSGQIKFMDKLLDDGLDIDDQDNDGFTALHKAIIGKKEAVISHLLRKGASPHIRDRDGASPLHYAVQVGAMQTVKLLIKYEVDVNAADNEGWTPLHIAVQSRNRDIAKVLLVNGADKTRRTKDGMTPLDLSLCFGKDFKSFDIAKLLKVLPANREL >Potri.006G113700.1.v4.1 pep chromosome:Pop_tri_v4:6:8807080:8807589:1 gene:Potri.006G113700.v4.1 transcript:Potri.006G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113700.v4.1 MYVQRASILACPSCIITEMNYSMKLAVLVIFLFIPGQLIISPVQPSPVNTSLTAGEFRPMEPAEYRLIGRKGDDHDDDLWRRRLAPFQLCLLCKCCAGAATTNCATMPCCFGIDCQLPNKPYGVCAFVPKTCNCTSCATV >Potri.016G015700.1.v4.1 pep chromosome:Pop_tri_v4:16:828140:829835:-1 gene:Potri.016G015700.v4.1 transcript:Potri.016G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015700.v4.1 MKKAQLVLVPSPGIGHLVPAIEFAKRLLDQDDSFLVTVLVIIRAPFGPDTDTSNQSVLTTIDTRIQYITLPTVTPPDLDPLRSPENYVTSFMEAHKPLVKDAVVNHVMSNKSSVPVVGLVVDLFCASMIDVANELGISSYVYFASSAAFLGLLLYLPTRQEQVGIEFKETDPDLIVSCFANPVPARVLPSALLNKDGGYTCFENLGRRFREAKGIVVNSYVELESHAVSSFLGGGTPPVYTVGPLLNVNGHSLMGSNSDRHGKIMEWLDDQPEKSVVFLCFGSIGRFREAQVKEIALGLEQSGHRFLWSVRKPPPEGHFALPSDYSNFEEVLPDGFLERTKNIGMVCGWAPQMQVLAHKAIKGFVSHCGWNSILESLWHGVPIVTWPMHAEQQINAFQMVEDLGIAVEMTLDYRMRSDNLVLADKIARSVKSAMEEDGEVRNKVKAMSEASRKAVMEGGSSFAALGDLIKDMLS >Potri.013G023100.10.v4.1 pep chromosome:Pop_tri_v4:13:1484129:1491547:-1 gene:Potri.013G023100.v4.1 transcript:Potri.013G023100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023100.v4.1 MARAAAAANPGVKSGVRIVVAGDRGTGKSSLIVTAISDTFPSSIPPVLPPTRMPDDFYPDRVPITIIDTSSKVEDAGKVAEELKRADAVVLTYACDRPETLDRLSTFWLPELRQLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDLDRDGALSDAELNEFQVKCFNAPLQPSEIVGVKKVVEEKLPGGGVNDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLTDELIPSFKRAPDQSAELTSEAVEYLRNIYELFDSDGDNNLRPAELEDIFSTAPESPWDEPPYKDAAEKTALSGLSVNAFLSEWALMTLLDPSRAVENLIYIGYSGDPTAAVRLTRRRRLDRKKQQSDRNVFHCFVFGPKKSGKSALVNSFIGRPFYDNYAPTTEESYAVHVVDLPGGIKKTLVLREIPEDGVKKLLLNKESLAPCDIAVFVYDSSDQSSWKRATELLVEVAGHGEDTGYEVPCLIVAAKDDLNSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVTAAEHPHLSIPETEAGRSRKQYNRLVNRSLMFVSVGATVAIVGLAAYRVYAARRNSSG >Potri.013G023100.11.v4.1 pep chromosome:Pop_tri_v4:13:1484180:1491544:-1 gene:Potri.013G023100.v4.1 transcript:Potri.013G023100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023100.v4.1 MARAAAAANPGVKSGVRIVVAGDRGTGKSSLIVTAISDTFPSSIPPVLPPTRMPDDFYPDRVPITIIDTSSKVEDAGKVAEELKRADAVVLTYACDRPETLDRLSTFWLPELRQLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDLDRDGALSDAELNEFQVKCFNAPLQPSEIVGVKKVVEEKLPGGGVNDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLTDELIPSFKRAPDQSAELTSEAVEYLRNIYELFDSDGDNNLRPAELEDIFSTAPESPWDEPPYKDAAEKTALSGLSVNAFLSEWALMTLLDPSRAVENLIYIGYSGDPTAAVRLTRRRRLDRKKQQSDRNVFHCFVFGPKKSGKSALVNSFIGRPFYDNYAPTTEESYAVHVVDLPGGIKKTLVLREIPEDGVKKLLLNKESLAPCDIAVFVYDSSDQSSWKRATELLVEVAGHGEDTGYEVPCLIVAAKDDLNSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVTAAEHPHLSIPETEAGRSRKQYNRLVNRSLMFVSVGATVAIVGLAAYRVYAARRNSSG >Potri.013G023100.8.v4.1 pep chromosome:Pop_tri_v4:13:1483816:1491570:-1 gene:Potri.013G023100.v4.1 transcript:Potri.013G023100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023100.v4.1 MARAAAAANPGVKSGVRIVVAGDRGTGKSSLIVTAISDTFPSSIPPVLPPTRMPDDFYPDRVPITIIDTSSKVEDAGKVAEELKRADAVVLTYACDRPETLDRLSTFWLPELRQLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDLDRDGALSDAELNEFQVKCFNAPLQPSEIVGVKKVVEEKLPGGGVNDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLTDELIPSFKRAPDQSAELTSEAVEYLRNIYELFDSDGDNNLRPAELEDIFSTAPESPWDEPPYKDAAEKTALSGLSVNAFLSEWALMTLLDPSRAVENLIYIGYSGDPTAAVRLTRRRRLDRKKQQSDRNVFHCFVFGPKKSGKSALVNSFIGRPFYDNYAPTTEESYAVHVVDLPGGIKKTLVLREIPEDGVKKLLLNKESLAPCDIAVFVYDSSDQSSWKRATELLVEVAGHGEDTGYEVPCLIVAAKDDLNSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVTAAEHPHLSIPETEAGRSRKQYNRLVNRSLMFVSVGATVAIVGLAAYRVYAARRNSSG >Potri.013G023100.2.v4.1 pep chromosome:Pop_tri_v4:13:1484154:1491542:-1 gene:Potri.013G023100.v4.1 transcript:Potri.013G023100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023100.v4.1 MARAAAAANPGVKSGVRIVVAGDRGTGKSSLIVTAISDTFPSSIPPVLPPTRMPDDFYPDRVPITIIDTSSKVEDAGKVAEELKRADAVVLTYACDRPETLDRLSTFWLPELRQLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDLDRDGALSDAELNEFQVKCFNAPLQPSEIVGVKKVVEEKLPGGGVNDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLTDELIPSFKRAPDQSAELTSEAVEYLRNIYELFDSDGDNNLRPAELEDIFSTAPESPWDEPPYKDAAEKTALSGLSVNAFLSEWALMTLLDPSRAVENLIYIGYSGDPTAAVRLTRRRRLDRKKQQSDRNVFHCFVFGPKKSGKSALVNSFIGRPFYDNYAPTTEESYAVHVVDLPGGIKKTLVLREIPEDGVKKLLLNKESLAPCDIAVFVYDSSDQSSWKRATELLVEVAGHGEDTGYEVPCLIVAAKDDLNSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVTAAEHPHLSIPETEAGRSRKQYNRLVNRSLMFVSVGATVAIVGLAAYRVYAARRNSSG >Potri.013G023100.9.v4.1 pep chromosome:Pop_tri_v4:13:1483795:1491547:-1 gene:Potri.013G023100.v4.1 transcript:Potri.013G023100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G023100.v4.1 MARAAAAANPGVKSGVRIVVAGDRGTGKSSLIVTAISDTFPSSIPPVLPPTRMPDDFYPDRVPITIIDTSSKVEDAGKVAEELKRADAVVLTYACDRPETLDRLSTFWLPELRQLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDLDRDGALSDAELNEFQVKCFNAPLQPSEIVGVKKVVEEKLPGGGVNDRGVNERGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLTDELIPSFKRAPDQSAELTSEAVEYLRNIYELFDSDGDNNLRPAELEDIFSTAPESPWDEPPYKDAAEKTALSGLSVNAFLSEWALMTLLDPSRAVENLIYIGYSGDPTAAVRLTRRRRLDRKKQQSDRNVFHCFVFGPKKSGKSALVNSFIGRPFYDNYAPTTEESYAVHVVDLPGGIKKTLVLREIPEDGVKKLLLNKESLAPCDIAVFVYDSSDQSSWKRATELLVEVAGHGEDTGYEVPCLIVAAKDDLNSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVTAAEHPHLSIPETEAGRSRKQYNRLVNRSLMFVSVGATVAIVGLAAYRVYAARRNSSG >Potri.004G078700.1.v4.1 pep chromosome:Pop_tri_v4:4:6502346:6505591:1 gene:Potri.004G078700.v4.1 transcript:Potri.004G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078700.v4.1 MGLLSNKIDREVLKPGDHIYSWRNAYLYAHHGIYVGDETVIHFTRGAGQEIGTGTVLDRFVFSSSPSHPSDNPCPKCGDQSRLDGVISSCIDCFLSGGDLYLFEYDVSPALFLAKPRGGTCTLAKSDPPEDVLHRASFLLQNGFGGYHIFKNNCEDFAIYCKTGLLIITNISVGRSGQAATFLAATSAVVSSPLRFLTASFSGLAVVGYGMYCVGRLVSDIGVRRDVCKIPVEQLVASSRRNESEVVADLAKEN >Potri.016G004700.2.v4.1 pep chromosome:Pop_tri_v4:16:223060:223691:-1 gene:Potri.016G004700.v4.1 transcript:Potri.016G004700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004700.v4.1 MGFSYFSYDQEYADRILQGKQIIPSITLFHVFNYDDFYDVYSTDHGNEEACETVVNNTNVFNYHDYFYDVYEPFEIDWSYFDELVAELCEELELTILEKPLMKDDGAAVVHHDDGVDEVEGEIILWRPAAGLK >Potri.010G156600.1.v4.1 pep chromosome:Pop_tri_v4:10:22787181:22789110:-1 gene:Potri.010G156600.v4.1 transcript:Potri.010G156600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G156600.v4.1 MARMLELVLSLSVLMSMIFSSTAQSCKSYALSSNKTFRACNDLPYLNSYLHWNYDSSSNKLQIAYRHTGITSSRWVAWAINPTSTGMAGSQALVAYQQTDGTMRAYTSPISSYQTSLQEGKLSFDVSDLSATLANNEIIIFATIGLSNTSTTVNHVWQDGAVSGNATQVHATSGANVQSMGTLNLLSGESSSTGGNDRIRKRNIHGVLNAVSWGILMPIGALIARYLKAFKSADPAWFYLHVGCQSIAYIVGVAGWGTGLKLGSESASIQYDAHRTIGIILFCLGTLQVFALLVRPKPDHKYRSYWNIYHHLVGYSVIVLSIINIFKGFSILNPDKKWKNAYIGVIAALAFNAVWLEGYTWYLVVKRKRSEIAGKMPHGMNGSNGVNGFGARQRQGV >Potri.005G073050.1.v4.1 pep chromosome:Pop_tri_v4:5:4861146:4865172:1 gene:Potri.005G073050.v4.1 transcript:Potri.005G073050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073050.v4.1 MDSAVNVPRWTPSPSPTRTLLKEPETKANAVPSKREAGVDDLELQSIISEEDGKPTTVDNTFPFSPGSTAVPDPPPYSGTYEASSMMTEMEPLGTLPKGGKNYDGEGLTSKGNRNGLLMTWNDLWVTVPDGKSGGRPILHGLTGYAQPGGVLAIMGPSGSGKTTLLDALAGRLSSNTQQTGEILINGRKETLAFGTSAYVTQDDTLMTTLTVREAVSYSAQLQLPDSMSTSEKKERAEITIREMGLQGSADTRIGGWSVKGISGGQKRRVSICIEILTQPKLLFLDEPTSGLDSAASYHVMNHIVKLARQEGRTIVASIHQPSSEVFELFHNLCLLSSGRTVYFGPVSMAEQFFSSNGFPCAPLRNPSDHYLRTINADFDMDIEQGHGGSTEEAINVLVKSYKSSEIFLQVSQRVASICEQKGGILEKKGSQASFITQCLVLTRRSFVNMYRDLGYYWLRLAIYIALCLCVGTIFYDIGLTFGSIQARGSMLMFVAAFLTFMAIGGFPSFVEDMKIFERERLNGHYGVGAYVVGNTLSSIPYLLMISLIPGAMAYYLVGLQKSLEHFVCFALILFVCMMLVESLMMIVASIVPDFLMGIITGAGIQGVMMLNGGFFRLPDDLPKPFWRYPMYYIAFHKYANQGFYKNEFEGLTFPNNLAGGPPTITGEEILKNTWQVEMGYSKWIDIAILLGMVILYRLMFLGIIKLVEKVKPIIRAAFAGAPRQSSSSLSDEARA >Potri.014G140700.1.v4.1 pep chromosome:Pop_tri_v4:14:9544943:9545983:-1 gene:Potri.014G140700.v4.1 transcript:Potri.014G140700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140700.v4.1 MGASSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDDSNGEIRSDPNLSFEHPYPPTKTIFIPDKECQKPDLLATSSDFLRVWRINDEQPRVELKSLLNGNKNSEFCGPLTSFDWNEAEPRRIGTSSIDTTCTIWDIEKETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHHASVNAVAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Potri.018G022000.1.v4.1 pep chromosome:Pop_tri_v4:18:1603276:1607081:1 gene:Potri.018G022000.v4.1 transcript:Potri.018G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022000.v4.1 MVSLCAFSCFGATTNLHHHQHHHQDGLVRNVTFLEAQRSGNRRFSGSVTPVKVPVNQAHCAPKRSQCKKTIVFPSRLSSSASTKTVAGRTCKGYREHGVLVTLQDRISTNPENDNVSSSFKDTVFQLLHSFYQFSRPHTVIGTLIGITSVSLLPVETISELSPTFFMGLLKALVPSVLMNIYVVGLNQLFDVEIDKVNKPYLPLASGDFSMGTGVAIVSASLLASFAMGIMFQSPLLFSALLISCVLGSVYSIELPFLRWKKQAFLAATCIMIVRAIVVQLAFFVHMQKFVLGKTTVVTRSLVFATAFMCFFSAVIALFKDIPDVDGDRDYGIQSFSVSLGQERVFWLCVNMLLIAYGAAVVVGASSTFLPSKFITILGHCTLAFILWLRARSVDLTSKDSITSFYMFIWKLFYAEYFLVPFVR >Potri.004G085400.1.v4.1 pep chromosome:Pop_tri_v4:4:7093799:7097153:1 gene:Potri.004G085400.v4.1 transcript:Potri.004G085400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085400.v4.1 MSLLSDLINLNLSDSTEKIIAEYLWIGGSGLDIRSKARTLSGPVSDPAKLPKWNYDGSSTGQAPGQDSEVILYPQAIFRDPFRRGNNILVICDAYTPAGEPIPTNKRCDAAKIFSHPDVVAEKPWYGLEQEYTLLQKDVKWPLGWPIGGYPGPQGPYYCGIGVDKAFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDELWAARYILERITEVAGVVLSFDPKPIQGDWNGAGAHTNYSTESMRNEGGYEIIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFKWGVADRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTILWKP >Potri.001G110500.1.v4.1 pep chromosome:Pop_tri_v4:1:8898702:8899861:1 gene:Potri.001G110500.v4.1 transcript:Potri.001G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110500.v4.1 MATTSSNSKRHPMYHGIRCRGGKWVSEIREPRKTTRIWLGTFPKPEMAAAAYDVAALALKGNGAVLNFPSSVGTYPVPATASSTDIRNAAAAAAALKKAELSYNEALVDQPRNDHNAIGAYLASSGEEFVDEEALFDMPNLLVDMAGGMLLSPPRITSPPSDDSQGNSDGESLWSYF >Potri.010G085100.1.v4.1 pep chromosome:Pop_tri_v4:10:11183936:11185448:-1 gene:Potri.010G085100.v4.1 transcript:Potri.010G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G085100.v4.1 MDTKDPVICSVMSSQELVPFRFIWGLAFVLVILTPAVKCQIQGCSIQGIDLGLCFNQTSSSLSINSTCCEVLNKVIRIGYNCLCLLAASYLPPLSTSIILPLPNCFIFVPPLTLCQVPAPKPILFPPNIPDVLVSPPPSEMQVQLNSTREDNSTVVATQPLSSTENVFPKLILSRENGTSNGRGKTDTILLKLLVSSFASYGCMLLA >Potri.006G039400.1.v4.1 pep chromosome:Pop_tri_v4:6:2621335:2630179:1 gene:Potri.006G039400.v4.1 transcript:Potri.006G039400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039400.v4.1 MARLLLKPHNSSRHCISLLRATMTSSSSSSVTWSHSFSSLSRPLVSFKPRVSFPLRTVPSRFLVQNGVSPRALMSTSAATESQKESASSKAYGSDQIQVLKGLEPVRKRPGMYIGSTGPRGLHHLVYEILDNAVDEAQAGYASKINVVLHSDNSISITDNGRGIPTDLHQVTKKSALETVLTVLHAGGKFGGSNSGYSVSGGLHGVGLSVVNALSEELEVTVWRDGKEYQQKYSRGNPVTTLMCYELSAESKDQKGTRIRFWPDKEVFTTEIQFDYNTVGGRVRELAFLNPKLAISLKKEDNDPEKNQYDEHFYAGGLIEYVNWLNTDKKSLHDVVSFRKEVDGIAIDMALQWCSDAYSDTILGYANSIRTVDGGTHIDGVKASLTRTLNNFGKKSKVIKDKDISLSGEHVREGLTCIISVKVPSPEFEGQTKTRLGNPEVRKVVEQSVQEYLTEYLELHPDVLDSILSKSLNALKAALAAKRARELVRQKSVLKSSSLPGKLADCSSTDPEESEIFIVEGDSAGGSAKQGRDRRFQAILPLRGKILNIERRDEEAMYKNEEIQNLILALGLGVKGEDFKKDALRYHRIIILTDADVDGAHIRTLLLTFFFRYQRALFDEGCIYVGVPPLYKVERGKQVYYCFDDAELRKVQSSFPQNASYNMQRFKGLGEMMPAQLWETTMDPEQRLLKQLVVEDAAEASVVFSSLMGTRVDGRKELIQKAARMINVDHLDI >Potri.005G175700.1.v4.1 pep chromosome:Pop_tri_v4:5:18108263:18110184:1 gene:Potri.005G175700.v4.1 transcript:Potri.005G175700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175700.v4.1 MGFFTDAYDLFCISLVTKLLGRIYYQVEGSPKPGILPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKRVFGITLLLMMISSIGSGLSFGSSPKTVMATLCFFRFWLGFGIGGDYPLSATIMAEYSNKKTRGAFIAAVFAMQGFGILAGGVVAMAVSATFGAVYKAPAYSVDPVGSTVPQADYVWRIILMLGALPAALTYYWRMKMPETPRYTALVAKNAEKASRDMSKVMGIEVQAQKEVGEEKVINKSNSFGLLSEEFLRRHGLHLLGTASTWFLLDIAYYSQNLFQKDIFSAVGWLPKATTMNAMEELFKIARAQFLIALCGTVPGYWFTVFLIDHIGRFTIQLIGFFFMTVFMFVLAIPYHHWTLKENNIGFVVIYGLTFFFANFGPNSTTFIVPAEIFPARFRSTCHGISAAAGKAGAIIGAFGFLYAAQNQDKSKVDPGYPAGIGMKNSLMVLGMMNVLGFLLTFLVPEPKGRSLEEISGENEEVDQAHPTGVETV >Potri.015G073700.1.v4.1 pep chromosome:Pop_tri_v4:15:9935311:9940988:1 gene:Potri.015G073700.v4.1 transcript:Potri.015G073700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073700.v4.1 MEVVAERPSVRVTNIPQTITAKEILQYLVAQLGKDSVFAIEISTVRKNWNSRGFGRVQFSSLEVKHEALSLSLKNKLVLKSQNLKLSETYDDIIPRPVKDQNRMENGVLYVGFMKKETTLCVLEYWEGVRGWFMPERRRIEFWIRVGQEFRYKLVVEFEDILEAVGYPLDGDKVNAVVLKLRYGPRIYQKISGPGIASKFSTNRYFYCKEDFDFLWVRTTDISAIKSIGQSTSFCWEIGEGLEASDTFRNFPYYQEDMNRLDLEDGEEFCSASETVPLIRCGSDKLAYEVLFQLNSLVHTQKISLAAVDSDLIKILRNLTVNTAIIILQKLHKLKMTCYDPLSFVKQSLRESLSSPPKSLTENNIMSCHRALITPSKIFCLGPEYETSNYVVKHFAQYASDFIRVTFVEEDWSKLPANAISTSIQRGIFAKPFRTGIYHRILSILRDGFVIGAKRFEFLAFSASQLRSNSVWMFASNNGVKAEDIRKWMGCFDKIRSVSKCAARMGQLFSSSLQTFVVPVQDVEIIPDIEVTTDGIDYCFSDGIGKISLSFAKQVAHKCGLSHTPSAFQIRYGGYKGVVAVDRNSFRKLSLRSSMLKFDSENRMLNVTKWSESMPCYLNREIISLLSTLGVADEIFQALQQKQLYRLRKMLTNKESALDVLENLAWADSKNILVQMLLQGYEPNVEPYLSMMLQAYHENSLMELRSRCRIFVPKGRILIGCLDESGILDYGQVYVRITMTKAELQCCDQSFFRKVDESTSTIIGEVAVTKNPCLHPGDIRVLEAVYDVELEEKGLVDCIIFPQNGGRPHPNECSGGDLDGDQFFISWDEGLLPCHTEAPMDYVGGRQRIMDHNVTLEEIQRFFVDYMINDTLGAISTAHLVHADCEPDKARSEKCLQLATLHSMAVDFAKTGAPAEMPLYLKPREFPDFMERAEKQMYISDGVLGKLYRDIHDSTRQERSNFMWSKKIAEATYDQDLEVKGFEDFLGIASIYKEKYMEKMSTLMDYYGAKTEDEILTGNLRHRPTYLQRDNRKYGDVKDRILVSLKNLKKEAKEWFESSCNPTEHQCMASAWYHVTYHPTYFHERMNCLSFPWIVGDILLNIKSLNSRNA >Potri.006G109200.3.v4.1 pep chromosome:Pop_tri_v4:6:8484771:8494361:-1 gene:Potri.006G109200.v4.1 transcript:Potri.006G109200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109200.v4.1 MTSGWPLLLSLDNSPVSEQPLPHHSGSFSALGVSRRDCSFNTSILHLAQGEPFEVDCSEKDEGRAHFFGDTRFRSVNPVGESFDLVVNTKRLYSLKSEFFEEVPLECPKQRSKHLVWWGATASEMLHNNNNTTFSTGFEISRDCGNLGKPKGRSRRRSVQFDDVLREEDARFIYINDPRRTNDQYEFTGNEIRTSKYTLITFLPKNIFIQFHRVAYLYFLAIAALNQLPPLAVFGRTVSLFPLLFVLCVTAIKDGYEDWRRHRSDRNENNREALVLQCGQFRSKKWKKIRAGEVVKICTDETIPCDMVLLGTSDPSGVAYIQTMNLDGESNLKTRYARQETSLAVLEGGAISGLIRCEQPNRNIYEFTANMEFNGQKFSLSQSNIVLRGCQLKNTGWIIGVVVYAGQETKAMLNSAASPSKRSKLEIYMNRETLWLSIFLFIMCLVVAVGMGLWLARYEDQLDYLPYYRKRYFTPGKDYGKRYKFYGIPMEIFFSFLSSIIVFQIMIPISLYITMELVRIGQSYFMIGDRHMFDSSSGSRFQCRSLNINEDLGQIRYVFSDKTGTLTENKMEFRRASVNGKSYGGSSLTAEQLLEENISAATTQKRWKLKSTITVDSELLKLLHKDLVGDERIVAHEFFLALAACNTVIPVRTHDGFSSCTDSQIFEDVETIDYQGESPDEQALVAAASAYGYTLFERTSGHIVIDVNGEKLRLGVLGMHEFDSVRKRMSVVIRYPNDAVKVLVKGADSSVLSILAKDLGKDDHARRSATYSHLTEYSSQGLRTLVIAARDLTEEELELWQCRFDDASTSLTDRAARLRQTAALIECDLNLLGATAIEDKLQEGVPEAIESLRQAGIKVWVLTGDKQETAMSIGLSCKLLTPDMEQIIINGNSENDCRKLLSDAKAKCGLNLSNKGSQYLKCNAEMDYLQRPERKEEVPLALIIDGNSLVYILEKELESELFDIATYCKVVLCCRVAPLQKAGIVDLIKSRSDDMTLAIGDGANDVSMIQMADVGVGICGQEGRQAVMASDFAMGQFRFLKRLLLVHGHWNYQRIGYLILYNFYRNAVFVLMLFWYILFTAFSTTSALTDWSSVLYSVIYTSVPTIVVGILDKDLSHRTLLQYPKLYGVGYRHEAYNIHLFWVMMADTLWQSLVLFGIPIFIYKESTIDIWSIGNLWTVAVVILVNIHLAMDVQRWVSITHLAVWGSVIVAFACVVVLDSIPIFPNYGTIYHLTKLPTYWLTIFLIIVSALLPRFLLKLVHHHFWPSDIQIAREAEILGRGPDYWGSKPVGSSS >Potri.T125004.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:52631:56657:1 gene:Potri.T125004.v4.1 transcript:Potri.T125004.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125004.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSTQLCARDQSIHLLQFKESFFIDPSASFEDCENPKTESWIEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGALHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSNLTHLNLNYSGFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSMVVPSFLMNLSSPLSSLQLVRCGLQGKLPSNAHGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLEHDPVNNLKSVKQLYLRQCNFTGSNLPRLGNLTQLTVLDISYNNLSGHIPFSIGKLKHLQTLNLGFNNFTGPVPSDFEQLTELDSLDLSGNSYLTLDSSSLNKLVQNRTKLRKLLLRWVNMSLVVPNSLKNLSSSLSILSFGNCGLRGEFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEDLALFDTKISISIDNDFINNLKSLKNLYLSNCNISKRSNLALLGNLTQLTALDLSFNNLSGRIPSSLANLVNLNLLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLSNLIALMLSDNLFTGTIPSFLFSRPSLQYLDLRSNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQENLMVLKLASNNKFTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSDSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFVKIRSTLAFIDLSHNSFIGEIPESIGKLNALQQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNSGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.T125004.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:52631:56659:1 gene:Potri.T125004.v4.1 transcript:Potri.T125004.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125004.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSTQLCARDQSIHLLQFKESFFIDPSASFEDCENPKTESWIEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGALHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSNLTHLNLNYSGFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSMVVPSFLMNLSSPLSSLQLVRCGLQGKLPSNAHGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLEHDPVNNLKSVKQLYLRQCNFTGSNLPRLGNLTQLTVLDISYNNLSGHIPFSIGKLKHLQTLNLGFNNFTGPVPSDFEQLTELDSLDLSGNSYLTLDSSSLNKLVQNRTKLRKLLLRWVNMSLVVPNSLKNLSSSLSILSFGNCGLRGEFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEDLALFDTKISISIDNDFINNLKSLKNLYLSNCNISKRSNLALLGNLTQLTALDLSFNNLSGRIPSSLANLVNLNLLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLSNLIALMLSDNLFTGTIPSFLFSRPSLQYLDLRSNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQENLMVLKLASNNKFTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSDSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFVKIRSTLAFIDLSHNSFIGEIPESIGKLNALQQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNSGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.T125004.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:52631:56726:1 gene:Potri.T125004.v4.1 transcript:Potri.T125004.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125004.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSTQLCARDQSIHLLQFKESFFIDPSASFEDCENPKTESWIEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGALHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSNLTHLNLNYSGFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSMVVPSFLMNLSSPLSSLQLVRCGLQGKLPSNAHGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLEHDPVNNLKSVKQLYLRQCNFTGSNLPRLGNLTQLTVLDISYNNLSGHIPFSIGKLKHLQTLNLGFNNFTGPVPSDFEQLTELDSLDLSGNSYLTLDSSSLNKLVQNRTKLRKLLLRWVNMSLVVPNSLKNLSSSLSILSFGNCGLRGEFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEDLALFDTKISISIDNDFINNLKSLKNLYLSNCNISKRSNLALLGNLTQLTALDLSFNNLSGRIPSSLANLVNLNLLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLSNLIALMLSDNLFTGTIPSFLFSRPSLQYLDLRSNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQENLMVLKLASNNKFTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSDSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFVKIRSTLAFIDLSHNSFIGEIPESIGKLNALQQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNSGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.T125004.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:52631:56660:1 gene:Potri.T125004.v4.1 transcript:Potri.T125004.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125004.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSTQLCARDQSIHLLQFKESFFIDPSASFEDCENPKTESWIEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGALHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSNLTHLNLNYSGFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSMVVPSFLMNLSSPLSSLQLVRCGLQGKLPSNAHGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLEHDPVNNLKSVKQLYLRQCNFTGSNLPRLGNLTQLTVLDISYNNLSGHIPFSIGKLKHLQTLNLGFNNFTGPVPSDFEQLTELDSLDLSGNSYLTLDSSSLNKLVQNRTKLRKLLLRWVNMSLVVPNSLKNLSSSLSILSFGNCGLRGEFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEDLALFDTKISISIDNDFINNLKSLKNLYLSNCNISKRSNLALLGNLTQLTALDLSFNNLSGRIPSSLANLVNLNLLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLSNLIALMLSDNLFTGTIPSFLFSRPSLQYLDLRSNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQENLMVLKLASNNKFTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSDSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFVKIRSTLAFIDLSHNSFIGEIPESIGKLNALQQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNSGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.T125004.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:52631:56660:1 gene:Potri.T125004.v4.1 transcript:Potri.T125004.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125004.v4.1 MGCLLRFTQSVSLILFLLHFNPTVSSSLSSNFSSSTQLCARDQSIHLLQFKESFFIDPSASFEDCENPKTESWIEGTDCCLWDGVTCDIKSGQVIGLDLACSMLYGALHSNSTLFSLHHLQKLDLSYNDFNLSHISSQFGHFSNLTHLNLNYSGFTGLVPSQISHLSKLVSLDLSYNNKLALEPIPFNKLVQNLTKLRELHLSEVDMSMVVPSFLMNLSSPLSSLQLVRCGLQGKLPSNAHGLSNLQLLDLSENIDLTGSFPPFNVSNALSYLDLSMTGISIHLEHDPVNNLKSVKQLYLRQCNFTGSNLPRLGNLTQLTVLDISYNNLSGHIPFSIGKLKHLQTLNLGFNNFTGPVPSDFEQLTELDSLDLSGNSYLTLDSSSLNKLVQNRTKLRKLLLRWVNMSLVVPNSLKNLSSSLSILSFGNCGLRGEFPANIFLLPNLEFLNLGGNVGLTGSFPSSNVSSSLEDLALFDTKISISIDNDFINNLKSLKNLYLSNCNISKRSNLALLGNLTQLTALDLSFNNLSGRIPSSLANLVNLNLLDLSSNNFKGQIPDFLGSLTQLQRLFLSDNQLLGPISPQISSLSNLIALMLSDNLFTGTIPSFLFSRPSLQYLDLRSNLFTGNLSEFQYNSLILLDLSNNHLHGPIPSSVFNQENLMVLKLASNNKFTGEISSSACKLTALQVLDLSNNSLSGFIPQCLGNFSDSLSVLHLGMNDLQGTILSRFLVGNNLRYLNLNGNELEGEIPPSMINCTQLEVLDLGFNKIKGKFPYFLDTLQELQVLVLKSNELHGFVKGPTTNYAFSKLRIFDISSNNFSGPLPTGYFNGLEAMKTLDQDMIYMKVRNISYDYSVKLTWKGLEIEFVKIRSTLAFIDLSHNSFIGEIPESIGKLNALQQLNFSHNSLTGYIQPSLGNLANLESLDLSSNLLTGRIPMQLADLTFLSVLNLSHNQLEGPIPKGKQFNTFNKGSFEGNSGLCGFQISKECNSGETQQPPPSNSEEGDDSSLFGDGFGWKAVVMGYGCGFVLGATVGYIVFRTRKPAWFVRMVEVQWNLKTKGRKKKAHRNGARRN >Potri.010G112900.1.v4.1 pep chromosome:Pop_tri_v4:10:13275963:13278933:1 gene:Potri.010G112900.v4.1 transcript:Potri.010G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112900.v4.1 MPWNNEFGDDTEGGKKTESSDEDSPPETTVQIIQKIIAEMIGTFFLIFMGCGSVVVNQMYGSVTFPGVCVVWGLIVMVMVYSVGHISGAHFNPAVTVTFAIFRHFPYKQVPLYIAAQLLGSLLASGTLSLLFSVTDEAYFGTIPVGPDIRSFVTEIIISFLLMFVISGVATDNRAIGELAGIAVGMTIMLNVFVAGPVSGASMNPARSLGPAIVMRQFKGIWVYIVGPPIGTILGALCYNIIRFTDKPLREITKTASFLKSKN >Potri.010G112900.2.v4.1 pep chromosome:Pop_tri_v4:10:13277485:13278933:1 gene:Potri.010G112900.v4.1 transcript:Potri.010G112900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112900.v4.1 MLAEHISSGEVLIIKTGELLAKCVFSFLYLQVPLYIAAQLLGSLLASGTLSLLFSVTDEAYFGTIPVGPDIRSFVTEIIISFLLMFVISGVATDNRAIGELAGIAVGMTIMLNVFVAGPVSGASMNPARSLGPAIVMRQFKGIWVYIVGPPIGTILGALCYNIIRFTDKPLREITKTASFLKSKN >Potri.005G084500.1.v4.1 pep chromosome:Pop_tri_v4:5:5821574:5824098:-1 gene:Potri.005G084500.v4.1 transcript:Potri.005G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084500.v4.1 MEIDLATKDTSWWVYTLPAFLGSEILIDGYVLFSLVMAFVTLGILTWAFAVGGVAWKNGRNRRGHRLIPGPRGLPVFGSLLTLCRGLAHRTLASMACSRDNTQLMAFSLGSTPVVVASDPHTAREILTSIHFADRPIKLSAKSLMFSRAIGFAPSGTYWRLLRRIASGHLFSPRRISAHESLRQLECSTMLRDMTNEQELNGFVSLRKHLQFASLNNIMGSVFGKRYDMVHDSQDLEELRGMVREGFELLGAFNWCDYLPWLSYFYDPFRINERCLKLVPRVRKLVKGIIEEHRISKSRNVGDSCDFVDVLLSLDGEEKLQDDDMVAVLWEMIFRGTDTTALLTEWVMAELVLHPEIQEKLHSELDMAVKDGSLAALTDADVEKLPYLQAVVKETLRVHPPGPLLSWARLSTSDVQLNNGMVIPANTTAMVNMWAITHDPNVWEDPLEFKPERFIEADVDVRGNDLRLAPFGAGRRVCPGKKLGLVTVTLWVAKLVHCFKWNRDVDHPVDLSEVLKLSCEMKYPLHAVAVGRK >Potri.006G060200.1.v4.1 pep chromosome:Pop_tri_v4:6:4254423:4257055:-1 gene:Potri.006G060200.v4.1 transcript:Potri.006G060200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060200.v4.1 MEKQIVIIGAGASGLLACKYALNIGLNPIVFEAEDRIGGVWSHTLESTRLQNTKEIFQFSDFPWPPSITDTYPTHTQVMEYFESYAQHFNLFPCIKFNSKVIGIDYVGVSVEEMESWALWGGTGKPFGTEAKWHVEVQDTKNGSIQVFHTEFVVLCVGQFSGLPNIPEFLPNKGPEVFKGKVMHSEDFSALNNSTAAELIKTKRVTIVGSHKTAADIAAECANANGVKYPCTMIQRNAHWFLPSDKLSGLLLGFLYFNRFSEFLVHKPGETFLLSFVATMLSPLRWGISKLIETYLRWNLPLKKYGMLPKISFLEDVSACQIAMLPDKFYDRVEEGSIIIKNSQILSFCEEGLIIDGENQPIETDVVIFATGFKGDEKLRNIFESPVFQNNIMGSPTSTVSLYRQIIHPRVPRLAIIGYNENFSNLGRSEIKSVWLSQFLDGNLELPSIRDMEKEANMWTDHIKQVTGRYFRRACISNSSIWYTDQLCRDMGCNPRRKKGFLVDLFIPYAPTDYAGLTSK >Potri.005G188400.2.v4.1 pep chromosome:Pop_tri_v4:5:19605890:19607282:1 gene:Potri.005G188400.v4.1 transcript:Potri.005G188400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188400.v4.1 MATSGVREDKYRTFLYGEGEKNTKWRFGSPPNYDIVNKLFEEGRTKVWPSGSLEEKVQNLVKTWEMEMFHKTCFDDYKSVDPKNYTFSLNGRKPVTLEEKRKLGGGYNTFLQTTLPEKFRAYNPAEETVDSSHVAFTTAFPRGLALEVLQVYSGPPVIVYKFRHWGYMEGPFKGHAATGEIVELYGMSIFEVDEHMKVVKVEFFIDRGELLGGLMKGATLDGSTAEAASTCPFLRGTG >Potri.005G218900.1.v4.1 pep chromosome:Pop_tri_v4:5:22152086:22157231:-1 gene:Potri.005G218900.v4.1 transcript:Potri.005G218900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218900.v4.1 MKKFRRWQRIFLLSLLCLTVLAPILFVSVGRKELISDLSTLRYRRDSVQLNAIEQEEGEGLKGPKLVVYDEKELGSRISYSTSEENNDSKKYGNIGEIDRGSKRSQRGGNTSIPLERTNHESREENRQIPQETVTSRSEAKLQGQSNQATVRHDQNMRSPVRIFTDEKVKQMKDDLIRAKAYLSMTPPGSNSHLVKELRLRIKESERAVSAANKDSDLSRSALQKKRSLEVTLSKASRVFPDCSAMALKLRAMTYNAEEQVRAQKNQATYLVQLSGRTTPKGLHCLSMRLTAEYFALSPEERQLPNQQRVHDADLYHYAVFSDNVLACAVVVNSTVSSAMEPEKIVFHIVTDSLNLPTISMWFLLNPPGKATIQIQSLVDFKGLSANYNSTLKQLNSRDSRYTSALNHLRFYLPDVFPQLNKIVLFDHDVVVQKDLAGLWSLNMKGKVIGAVDTCREGEPSFRRMDKFINFSDPFVIKRFDAKACTWAFGMNLFDLQEWRRHKLTALYNKYLQLGHTRQLWKAGSLPLGWATFYNRTVILDRRWHKLGLGHEAGVGHDGVEQAAVLHYDGVMKPWLDIGIGKYKSYWSKHINYDHPYLQQCNIHE >Potri.005G218900.2.v4.1 pep chromosome:Pop_tri_v4:5:22152140:22157231:-1 gene:Potri.005G218900.v4.1 transcript:Potri.005G218900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218900.v4.1 MKKFRRWQRIFLLSLLCLTVLAPILFVSVGRKELISDLSTLRYRRDSVQLNAIEQEEGEGLKGPKLVVYDEKELGSRISYSTSEENNDSKKYGNIGEIDRGSKRSQRGGNTSIPLERTNHESREENRQIPQETVTSRSEAKGQSNQATVRHDQNMRSPVRIFTDEKVKQMKDDLIRAKAYLSMTPPGSNSHLVKELRLRIKESERAVSAANKDSDLSRSALQKKRSLEVTLSKASRVFPDCSAMALKLRAMTYNAEEQVRAQKNQATYLVQLSGRTTPKGLHCLSMRLTAEYFALSPEERQLPNQQRVHDADLYHYAVFSDNVLACAVVVNSTVSSAMEPEKIVFHIVTDSLNLPTISMWFLLNPPGKATIQIQSLVDFKGLSANYNSTLKQLNSRDSRYTSALNHLRFYLPDVFPQLNKIVLFDHDVVVQKDLAGLWSLNMKGKVIGAVDTCREGEPSFRRMDKFINFSDPFVIKRFDAKACTWAFGMNLFDLQEWRRHKLTALYNKYLQLGHTRQLWKAGSLPLGWATFYNRTVILDRRWHKLGLGHEAGVGHDGVEQAAVLHYDGVMKPWLDIGIGKYKSYWSKHINYDHPYLQQCNIHE >Potri.004G219300.2.v4.1 pep chromosome:Pop_tri_v4:4:22504027:22511054:-1 gene:Potri.004G219300.v4.1 transcript:Potri.004G219300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219300.v4.1 MAASASASASLTSSATEDEITLTVKWSGKDYTVRVCGNDSVAELKRSICELTNVLPKRQKLLYPKIGNKLADESVLLSQLPIKSSLKMTMIGTVEDDIIVDQVDSPEIVDDFELGQDEAVDIKDKEVNKQKLRKRIDQFKIELKNPCRPGKKLLVLDIDYTLFDHRSTAENPLELMRPYLHEFLTAVYAEYDIMIWSATSMKWVELKMGQLGVLNNPNYKITALLDHLAMITVQSDSRGIFDCKPLGLIWAKFPEFYSSKNTIMFDDLRRNFVMNPRNGLVIKPFRKAHANRDNDQELVKLTQYLLAIAELDDLSVLDHKNWEFFAEGNAKNRRRT >Potri.014G123700.1.v4.1 pep chromosome:Pop_tri_v4:14:8315388:8317085:-1 gene:Potri.014G123700.v4.1 transcript:Potri.014G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G123700.v4.1 MAESSTPFQESDHKATSKLKLFGFPLTEQDEILSKTENTLGNRKFECHFCHRAFANSQALGGHQNAHKRERQRARRAQYLCDRRLTAAAQVLCSHAVKSPPLIYPRGLITSNSTAAAVEFRPQIASDYPSQPLLFPSSPPDHHSPSQIYIAHPLHDADTMPSFIKVPGKLCSNDDDVGVDLCLKLTPSG >Potri.013G132300.2.v4.1 pep chromosome:Pop_tri_v4:13:13842055:13845299:1 gene:Potri.013G132300.v4.1 transcript:Potri.013G132300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G132300.v4.1 MALDQYMEETMAQNDYNREDKPSLPNWKSVSETVIDSDDSRSSGFDCNICLDSVHDPVVTLCGHLYCWPCIYKWLHFQSISAENQDQHPQQQCPVCKAEVSQSTIVPLFGRGQTTKPCKGKAPNLGIIIPRRPPGRACGFDSPRSPIATSSPRVTPQIHHRHNYPHQSQHYYSQPGSNSTSPMRSPGGSTLNMPALEVGMFGEMMYSRVFGNSITNIHSYPNSYHLAGSASPRVRRHVMQADRSLSRICFFLFCCVFLCFLSF >Potri.001G037000.6.v4.1 pep chromosome:Pop_tri_v4:1:2691741:2693655:-1 gene:Potri.001G037000.v4.1 transcript:Potri.001G037000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037000.v4.1 MDTSSRNDTGKSLTVDASNGNPTPKTEAESVCPGAVKVISLNSAPDEGELGFNESKHVKMAETIAAKQQGPQNPNPKAWGHTDMDQNQAITSNADSEMAVDRGKGGFRTCPRYFKSTAARSLDRSCVGQISNTRGGNPMLKCEMRSHQEMAVNQIRKTTEDSHQFIWMNNKVAEEQRHLKLLEESNVIMRERLENAMREIDVLRQKIKLQHEQNKEEMDFQEQFFKDQIKIILEKRDKESPDEEEHENVHESNESPRNTEDDKYGVQETAMSRARSRFMSRVNKKKEKEVAEKGKLAEERGGQDEGKEEEEVSLGNLYVKLMENSLETEDPSNVYDSVMEQKRRLRSMRAERQRLQAALRLKF >Potri.001G037000.4.v4.1 pep chromosome:Pop_tri_v4:1:2691737:2695539:-1 gene:Potri.001G037000.v4.1 transcript:Potri.001G037000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037000.v4.1 MAIEPAFFDPFINNDGYFPSFLQQNDSEDEILRALLDAQFAEELQFQEALKASLISCQMPSNVPSSTPSKTNMEAISGHKIEPPPRVLEKGEPSLCSCDMCLERKEKYQIIKNESSGLIFCLGCSKTLELLEKEWCSQELSMDTSSRNDTGKSLTVDASNGNPTPKTEAESVCPGAVKVISLNSAPDEGELGFNESKHVKMAETIAAKQQGPQNPNPKAWGHTDMDQNQAITSNADSEMAVDRGKGGFRTCPRYFKSTAARSLDRSCVGQISNTRGGNPMLKCEMRSHQEMAVNQIRKTTEDSHQFIWMNNKVAEEQRHLKLLEESNVIMRERLENAMREIDVLRQKIKLQHEQNKEEMDFQEQFFKDQIKIILEKRDKESPDEEEHENVHESNESPRNTEDDKYGVQETAMSRARSRFMSRVNKKKEKEVAEKGKLAEERGGQDEGKEEEEKRRLRSMRAERQRLQAALRLKF >Potri.001G037000.1.v4.1 pep chromosome:Pop_tri_v4:1:2691727:2694632:-1 gene:Potri.001G037000.v4.1 transcript:Potri.001G037000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037000.v4.1 MAIEPAFFDPFINNDGYFPSFLQQNDSEDEILRALLDAQFAEELQFQEALKASLISCQMPSNVPSSTPSKTNMEAISGHKIEPPPRVLEKGEPSLCSCDMCLERKEKYQIIKNESSGLIFCLGCSKTLELLEKEWCSQELSMDTSSRNDTGKSLTVDASNGNPTPKTEAESVCPGAVKVISLNSAPDEGELGFNESKHVKMAETIAAKQQGPQNPNPKAWGHTDMDQNQAITSNADSEMAVDRGKGGFRTCPRYFKSTAARSLDRSCVGQISNTRGGNPMLKCEMRSHQEMAVNQIRKTTEDSHQFIWMNNKVAEEQRHLKLLEESNVIMRERLENAMREIDVLRQKIKLQHEQNKEEMDFQEQFFKDQIKIILEKRDKESPDEEEHENVHESNESPRNTEDDKYGVQETAMSRARSRFMSRVNKKKEKEVAEKGKLAEERGGQDEGKEEEEVSLGNLYVKLMENSLETEDPSNVYDSVMEQKRRLRSMRAERQRLQAALRLKF >Potri.002G106566.1.v4.1 pep chromosome:Pop_tri_v4:2:7877513:7880376:-1 gene:Potri.002G106566.v4.1 transcript:Potri.002G106566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106566.v4.1 MPGNLVRQRDSGFYHHSKDEYSQWVMASQRSLLEVMAEFPSAKPPLGIFFAAVAPRLQPRYYSISSSPRYAPNRVHVTCALVYGPSPTGRIHKGVCSTWMKNAVPLEKRHDCSWASIFIRTSNFKLPANPSTPIIMVGPGTGLAPFRGFLQERMALKEDGTQLGPALLFFGCRNRQMDFIYEDELNNFVAQGVISELLVAFSREGPQKEYVQHKMVDKAAEIWSIISQGGYLYVCGDAKGMARDVHRTLHTIVQEQGGLDSSKTESMVKKLQMEGRYLRDVW >Potri.012G138200.1.v4.1 pep chromosome:Pop_tri_v4:12:15135964:15136868:1 gene:Potri.012G138200.v4.1 transcript:Potri.012G138200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138200.v4.1 MKNKNSQLFLIFLMVFLVLVHGTTCRDIKRSIGNGEIEQGSETKHSSTFLQARSAIFKASESSNNKIKEVHSVSRRLVPSGPNPLHN >Potri.001G238700.1.v4.1 pep chromosome:Pop_tri_v4:1:25643180:25644140:-1 gene:Potri.001G238700.v4.1 transcript:Potri.001G238700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238700.v4.1 MASLIPSFFGSRKTNVFDPFSLDIWDPFEDLFSSTLANVPASTGETSAFVNARIDWKETPEAHVFKADLPGLKKEEVKVEVEEGRILQISGERSKEQEGKNDKWHRIERSSGKFLRRFRLPGNAKMDQVKASMENGVLTVTIPKAEEKKAEVKAIEISG >Potri.014G169300.2.v4.1 pep chromosome:Pop_tri_v4:14:12353884:12358380:1 gene:Potri.014G169300.v4.1 transcript:Potri.014G169300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G169300.v4.1 MAEACRIILNTTFLPSLHSLHKTHKKVSYSQPFLHKRHPAIQCSISTSSDTKAAAKVSETVPWGCDIDSLENAEALQKWLSDSGLPPQKMAIQKVEVGERGLVALKNIRKGEMLLFVPPSLVIAADSEWSCPEAGEVLKKYSVPDWPLLATYLISEASFEKSSRWSNYISALPRQPYSLLYWTRAELDTYLEASQIRERAIERITNVTGTYNDLRLRIFSKYPHLFPEEVFNMETFKWSFGILFSRLVRLPSMDGRVALVPWADMLNHSSEVETFLDYDKSSKGVVFTTDRPYQPGEQVFISYGRKSNGELLLSYGFVPREGTNPSDSVELSLSLKKSDKCYKEKLEALKKHGLSVSQCFPLQVTGWPLELMAYAYLAVSPPSMSRQFEEMAAAASNKTTTNKKITYPDIEEQALQFILDSCELSISKYTKFLQASGSMDLDVTSPKQLNRRLFLKQLAVDLCSSERRILFRAQYVLRRRLRDIRSGELRGLKIFNGFRNLFR >Potri.001G190700.3.v4.1 pep chromosome:Pop_tri_v4:1:17399555:17400811:-1 gene:Potri.001G190700.v4.1 transcript:Potri.001G190700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190700.v4.1 MGEGQEKRLQSPCTARRNDDSYEKHKDQWVNMEGGGGGEDDSYNTSSLDNSSTTNGSTTSSLDMVDDACSSSNSNGPLFELSELLSHLPIKRGLSKYYQGKSQSFTSLSRVASIEDLAKNETRNRRKGKASKSYLNGLDLHKSYTLPKPIIAKKVSRGSMPSLCFPGRRGSFLNSARPPPIPLHKKF >Potri.012G104500.1.v4.1 pep chromosome:Pop_tri_v4:12:12656571:12660692:1 gene:Potri.012G104500.v4.1 transcript:Potri.012G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104500.v4.1 MIKIPYLTALSTYFSYGLLFAFGQFRDFFRKIFDSWHSSNLQGYAPICLGLEDFYIRRLYLRIQDCFGRPISSSPGAWFDVVERYSNDNNKTLKRTTKVTRCLNLGSYNYLGFAAADEYCTPRVIETLKRFSPSTCSPRVDGGTTILHNELEVCVANFVGKPAAIVFGMGYVTNSAILPVLIGKGGLIISDSLNHNSIVNGARGSGATVRVFQHNTPSHLEEVLRQQIAEGQPRTHRPWKKIIVIVEGIYSMEGELCKLPEIVAVCKKYKAYVYLDEAHSIGAVGKTGRGVCELLGVDTADVDIMMGTFTKSFGSCGGYIAGSKELIQYLKYNCPAHLYATSISPPAAQQIISSIKVILGEDGSSRGAQKLARIRENSNFFRSELQKMGFEVLGDNDSPVMPIMLYNPAKIPAFSRECLKQNVAVVTVAFPATPLLLARARICISASHTKEDLLKALEVISQVGDLVGIKYFPAESDKQHQEPGALKLE >Potri.015G032600.1.v4.1 pep chromosome:Pop_tri_v4:15:2513672:2517062:1 gene:Potri.015G032600.v4.1 transcript:Potri.015G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G032600.v4.1 MTEQLTEEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMINEVDADQNGTIDFPEFLNLMARKMKDTDSEEELKEAFKVFDKDQNGFISAAELRHVMTNLGEKLTDEEVEEMIREADVDGDGQVNYEEFVRMMLAK >Potri.010G222700.1.v4.1 pep chromosome:Pop_tri_v4:10:20710852:20714125:-1 gene:Potri.010G222700.v4.1 transcript:Potri.010G222700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222700.v4.1 MAKDMEVAEAGSFSAKDYHDPPPAPLFDAKELTKWSFYRALIAEFIATLLFLYITVLTVIGYKSQIDGSADSCGGVGILGIAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFLARKVSLIRAVMYMVAQCLGAICGVGLVKAFQKSYYKKYGGGANTLADGFSTGTGLGAEIIGTFVLVYTVFSATDPKRSARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSLGAAVIYNQDKAWDGHWIFWVGPFAGAAIAAFYHQFILRAGAVKALGSFRSAQRF >Potri.014G065900.1.v4.1 pep chromosome:Pop_tri_v4:14:4067188:4068111:1 gene:Potri.014G065900.v4.1 transcript:Potri.014G065900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065900.v4.1 MAVNPRENPAFEKESIIMQHGIFALLVGTLNNQIQVKYQSIKGSPFDSHDVIMSVFLVALFIYATASVAEVMLRARESTYYTLVGNLRLFASALAAILLLAILAPILGCVISVVWACLFMGVAYESSREFFNILSQLTNKLLDMFTRLIPRVRRHEEELNQPRV >Potri.004G167600.1.v4.1 pep chromosome:Pop_tri_v4:4:18580464:18589872:1 gene:Potri.004G167600.v4.1 transcript:Potri.004G167600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167600.v4.1 MVDSSELSAKKVKKKRTLSSHEEKRQKPSKINRIDHDSAKEKDSKQETEEVASPWRNLQLILSIQNREIHLQKKVELAYDFVNSREKGGGKDADVDRETVKVSRVVAFLNDWVQSLLISTDKKIEVDGEGVIEACLDYRCWVIFKFCLEESLRLQVSLSFSRNLLRAIGCVARNVLSVLTVPSVRLKESFFTGSGFELYSVVLDCVSLVFLSHGGLSNENLDLWILSILPVLEFVRKVYGEKLEGGNVGVFALRFSCLVLEPFAKFLRVHPTRKNGFRDFVDKLLEPLLHLLGVLHLQSDESNPGWTRNLLVAVEEVLSQGLFHPTHIDGFLSLRVAEKYSASNDGETKESKTVIQSYHRHFFDKLERIILAKKESAMSGLGELFYLLVDRVKKQKETLVLSDGMKIVERTEGSRHLSGQLSKTLYGSSTPLDTSYGPSILSAEKRKSLFNFFVQITDPLLLEINGYLQSKLEVRPLLLDVHYTIKSINNLLACFLREKLYIKTEDISEGACLNFLKKVYNAILPFMANLLCLPTYNVDSRTQETFTLLARELLAAVGHLLDIEYEVIENDLTRLWFIMLSCLAFGYSFKDAPNECSMTSQILGLGCQLVKLYSELRQVKSTIFAICKATRLIIVYDKGGDAGLNYDSLGFCKISLPHASYAKAVEMLFCSHEFKLAIRNDIYSIPEGQASECIQHLTADLSESMEWMKTTCSLADEEVFGESNANSSMHGFDLQVELFGRGLSEVYALVLDSLNVTAGNSSIVGRTMKDLMAVIRPYMSILVGPESESVNEFISSVTGRTSDVRLAGNTHDMLKFGVSTHWVLVFFSRMYMSCRSLYRQAVSLMPPDVSRKMSAVMGDPFTAYSARDWMNKTDWTDGGYFSWILQPSASLPVIIQSVSDIYLQGYVADCCPLIYVLLTMALQRLVDLNRQIKSFEYLQQSNDNIIQFKLLDDAGSSLYSKRSRKCGKRIAVFKQEATDLTEFLMSYLSFLDNERLPVNSSNAATFVDTCNQALHGSDKWVFGVSSVNEKSLPAAIWWIICQNIDIWSPHASKKKLKMFIKHVILTSLPYITKGCTQVERHHTNEAHFLDKISVHQISAELLADSVLYEHKFVRRHLASRFCNLLEKSILPLFGDVKLNMSPKWKEGLSALENSYVVLSRKSSTCDELTGGKPASHLLSEMAADISRESTAVKFTACQSLLRLLCWMPKGYINSKSFSLYVTSTLNLERLVIGHLLECGDSFFSHKQYELLRLLVACRRALKCLIMAYCEEKVRTTHSALIPVLFEDVHSVLWLSRSVSVVFRLQETLSEDKACEVADMIFSLMDHTSYVFLTLSKYQCPSAVSIIAEKPYTEQLNSDVTQEQSSVNESLPCLDTSNDVESCKSVILIAESLKEQAQDLIISLKDAHCNEKSSDEIDVDWNKLSSMVSCFSGFMWGLASALDHSNATDSDYKAKLLRWKCEVISKISHCINAFADFICFSFHMLFVKDDLQPNHLSATGNFVKSDDRDSSLVSGDSWKVTVNKHGSQSENVTSIAGILSKLDSYECLPLNKEWLQSFLEGDHPKAAVLIRQLLIAASAIVKLNLETKCTPLLSSLVPSFTGISQVLLLKLADGTEVPKPFSFVWLDGVLKYLQELGSHFPITNPTSTRNVFSKLLELHLKALGKCISLQGKEATLTSHDKELSTNTLHSHIGSASLSHPYYLDEFKARLRMSFKSLIRKPSELHLLSAIQAIERALVGVYEGCPIIYEITTGNVDGGKVSSTVAAGIDCLDLVLEYVSGRKRLNVVKRNIQSLVAALFNIILHVQSPLIFYRIAMDSERYNGPDPGAVILMCVEVLTRVSGKHALFQMDSWHVAQSLHIPAALFQDFDQLRISQGPALSNSLLNSGSQDCNTVGGRDTCVVDLQFSVELYTACCRLLYTVLKHHKSESERCISLLQESERVLLHCLEMVDVDLSVRKGYFSLGVHEGVKCASSFRRIYEELRQQKDVFGQHCFKFLSNYIWVYSGYGPLKTGIRREIDEALRPGVYALIDSCSADDLQYLHSVFGEGPCRNTLATLQHDYKLNFQYEGKV >Potri.004G167600.2.v4.1 pep chromosome:Pop_tri_v4:4:18582663:18589873:1 gene:Potri.004G167600.v4.1 transcript:Potri.004G167600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G167600.v4.1 MLFCSHEFKLAIRNDIYSIPEGQASECIQHLTADLSESMEWMKTTCSLADEEVFGESNANSSMHGFDLQVELFGRGLSEVYALVLDSLNVTAGNSSIVGRTMKDLMAVIRPYMSILVGPESESVNEFISSVTGRTSDVRLAGNTHDMLKFGVSTHWVLVFFSRMYMSCRSLYRQAVSLMPPDVSRKMSAVMGDPFTAYSARDWMNKTDWTDGGYFSWILQPSASLPVIIQSVSDIYLQGYVADCCPLIYVLLTMALQRLVDLNRQIKSFEYLQQSNDNIIQFKLLDDAGSSLYSKRSRKCGKRIAVFKQEATDLTEFLMSYLSFLDNERLPVNSSNAATFVDTCNQALHGSDKWVFGVSSVNEKSLPAAIWWIICQNIDIWSPHASKKKLKMFIKHVILTSLPYITKGCTQVERHHTNEAHFLDKISVHQISAELLADSVLYEHKFVRRHLASRFCNLLEKSILPLFGDVKLNMSPKWKEGLSALENSYVVLSRKSSTCDELTGGKPASHLLSEMAADISRESTAVKFTACQSLLRLLCWMPKGYINSKSFSLYVTSTLNLERLVIGHLLECGDSFFSHKQYELLRLLVACRRALKCLIMAYCEEKVRTTHSALIPVLFEDVHSVLWLSRSVSVVFRLQETLSEDKACEVADMIFSLMDHTSYVFLTLSKYQCPSAVSIIAEKPYTEQLNSDVTQEQSSVNESLPCLDTSNDVESCKSVILIAESLKEQAQDLIISLKDAHCNEKSSDEIDVDWNKLSSMVSCFSGFMWGLASALDHSNATDSDYKAKLLRWKCEVISKISHCINAFADFICFSFHMLFVKDDLQPNHLSATGNFVKSDDRDSSLVSGDSWKVTVNKHGSQSENVTSIAGILSKLDSYECLPLNKEWLQSFLEGDHPKAAVLIRQLLIAASAIVKLNLETKCTPLLSSLVPSFTGISQVLLLKLADGTEVPKPFSFVWLDGVLKYLQELGSHFPITNPTSTRNVFSKLLELHLKALGKCISLQGKEATLTSHDKELSTNTLHSHIGSASLSHPYYLDEFKARLRMSFKSLIRKPSELHLLSAIQAIERALVGVYEGCPIIYEITTGNVDGGKVSSTVAAGIDCLDLVLEYVSGRKRLNVVKRNIQSLVAALFNIILHVQSPLIFYRIAMDSERYNGPDPGAVILMCVEVLTRVSGKHALFQMDSWHVAQSLHIPAALFQDFDQLRISQGPALSNSLLNSGSQDCNTVGGRDTCVVDLQFSVELYTACCRLLYTVLKHHKSESERCISLLQESERVLLHCLEMVDVDLSVRKGYFSLGVHEGVKCASSFRRIYEELRQQKDVFGQHCFKFLSNYIWVYSGYGPLKTGIRREIDEALRPGVYALIDSCSADDLQYLHSVFGEGPCRNTLATLQHDYKLNFQYEGKV >Potri.017G041800.5.v4.1 pep chromosome:Pop_tri_v4:17:2815280:2825647:1 gene:Potri.017G041800.v4.1 transcript:Potri.017G041800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041800.v4.1 MAEEPGSQSVPSQSQPPQSSSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNEICTRRPLVLQLLQTKRKGDGCGEEEWGEFLHLPGKRFYDFSEIRSEIQAETAKEAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLILAVTAANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKTILPGLKSRISSALVSVAKEHASYGEITESKAGQGTLILNILSKYSEAFSSMVEGRNEEMSRSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDADIQTIIQNATGPRTPLFVPEVPFEVLVRKQIARLLDPSLQCARFIYDELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPSFIGGSKAVEIAQQQIKSSKVSLAMPRQKDALEPDKAPASERSMKTRAILARQVNGIMPDQGVRPVSEVPPAGTANVSSWGISSIFGGGDHSRVYAKENSTSKSYNEPAQSMESFDQSMIHLREPPTVLRPSESHSENESIEIAVTKLLLKSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIRKLYRENLFEEMLQEHDEIAMKRKQTRESLRVLQQAFRTLDELPLEAETVERGYSLGSDSTGLPKIHGLPTSTMHGVGSSDSYSASPKNPKSRRSSHSGELQPHLYSDSNGSGRTYMPDY >Potri.017G041800.1.v4.1 pep chromosome:Pop_tri_v4:17:2815381:2825695:1 gene:Potri.017G041800.v4.1 transcript:Potri.017G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041800.v4.1 MAEEPGSQSVPSQSQPPQSSSAAPLGSSVIPIVNKLQDIFAQLGSQSTIELPQVAVVGSQSSGKSSVLEALVGRDFLPRGNEICTRRPLVLQLLQTKRKGDGCGEEEWGEFLHLPGKRFYDFSEIRSEIQAETAKEAGGNKGVSDKQIRLKIFSPNVLDITLVDLPGITKVPVGDQPSDIEARIRTMIMSYIKKPSCLILAVTAANSDLANSDALQIAGNADPDGYRTIGVITKLDIMDRGTDARNLLLGKVIPLRLGYVGVVNRSQEDIMLNRSIKDALAAEEKFFRSRPVYNGLADRCGVPQLAKKLNQILVQHIKTILPGLKSRISSALVSVAKEHASYGEITESKAGQGTLILNILSKYSEAFSSMVEGRNEEMSRSELSGGARIHYIFQSIFVKSLEEVDPCEDLTDADIQTIIQNATGPRTPLFVPEVPFEVLVRKQIARLLDPSLQCARFIYDELIKISHRCLVNELQRFPVLRKRMDEVIGNFLRDGLEPSETMIGHIIEMEMDYINTSHPSFIGGSKAVEIAQQQIKSSKVSLAMPRQKDALEPDKAPASERSMKTRAILARQVNGIMPDQGVRPVSEVPPAGTANVSSWGISSIFGGGDHSRVYAKENSTSKSYNEPAQSMESFDQSMIHLREPPTVLRPSESHSENESIEIAVTKLLLKSYYDIVRKNIEDSVPKAIMHFLVNHTKRELHNVFIRKLYRENLFEEMLQEHDEIAMKRKQTRESLRVLQQAFRTLDELPLEAETVERGYSLGSDSTGLPKIHGLPTSTMHGVGSSDSYSASPKNPKSRRSSHSGELQPHLYSDSNGSGRTYMPGLYPTVDF >Potri.008G191100.1.v4.1 pep chromosome:Pop_tri_v4:8:13427479:13432264:-1 gene:Potri.008G191100.v4.1 transcript:Potri.008G191100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G191100.v4.1 MKLSSLIASRPVLIFIILAIGVVLLPCVVVPWWYNMIKQMQKHMDFNAHVVQSGLVSEIENIAKLLHPINSSAINLARVMSSSINGSILSSYDVENKVAPSLFQAFPVIPFISQISYIGLGGLFFSYYYEGNQVFAMYSNSTASNARNFSWYRQPVDSDTGKVYGDAVKTLPFITTNASWIEQALNSSQGYASFESGWNGAQDPLFLNTVSLHGQAVLSLGFSAKALTSFFNNVELYGGSLYLATQSGKVLVGGLPNTQIVIKENSVSLYMTKLNGDQIDHVGNVSCMPNNGKLQDSVLYLEEAKYRVLCSQVEIVGVQSVYALAFPYNGLASSVNRSIEISLVLFIIMIAAIFISIVSFILLVVRAAGREIHLCSALIKQMEATQQAERKSMNKSLAFASASHDIRAALAGITGLIEICYAEARAGSELDTNLQQMDDCTKDLVGLLNSILDTSKIEAGKMQLEEEEFDLAKLLEDAVDLYHPVGMKKGVDVVLDPYDGSILKHSRVKGDRVKLKQVLCNLLSNAVKFTFEGHVSVRAWTQKPSLENKIIASNQNGLWRCFLCLFSKNKKEFDEVKQKQCSIEFVFEVNDTGKGIPREKQKSVFENFVQVKETALGQGGTGLGLGIVQSLVRLMGGEIGIVNKENGKKGTCFKFNVFLDICEIPSAYIKNAEVEIEGDSMPDGELNYSVLPIQTPSPGLVIRTPSPRLSILGSSPKIEGSHVVLLIQNEERLRSSHKYIEGLGIKASSVKQWKHLHSTLKRIKVRQNVSPYGSSGKSDLGSRSDHFNSRSMKDVPLNSMDGIDQKPSASRSSNLRGASGFVLLVIDAGAGPFQELCRVVAEFKRDLPSSCYKVVWLDKPTSRSINLRGFEHDLINPRDDILLKPFHGSRLYQVIRLLPEFGGHELISRSKRENAIQATNALKDPGSSSSTHSQGTKLKVPSTCENSFQQVDSQAEGSSKNEKNRKNPLLDDPDHSHVKSKSRQSPTERLPVRSSEIQEARGNPSKDKSLSGLKLLVVDDNEISRKVTRHILKGHGATVEVCENGEEAFQLVRIGLHNQREHSHSIVLPYDYILMDCEMPKMDGCEATRQIRKEEKFYGVHIPILAFSADNSGGQGKKMKEAGTDGRVNKKINMEQLEETIRNIQQKRMHL >Potri.004G086000.1.v4.1 pep chromosome:Pop_tri_v4:4:7156218:7158107:1 gene:Potri.004G086000.v4.1 transcript:Potri.004G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086000.v4.1 MGRTTSSSLLLTFTFIVSLSSLTCPSLSASTDAFVFGGCTQQKYVQDSPFESNLNSLLTSLVNSATYSSYNNYTIMGSSPQDVVYGLYQCRGDLSMPDCATCVARAVSQLGVLCAQTCGGALQLQGCYVKYDNTTFLGVEDKTVVLKKCGPSVGYDTDAMNLRDAVLGGLARTGGAYRVGGSGDVQGVAQCIGDLSTGECQDCLSEAIMRLKSDCGTADYGDMFLAKCYARYTTGGPHVYTKSHSADKSTSDGEKTFAIIIGLLAGVALLIIFLSFLRKVFGGNGK >Potri.004G086000.2.v4.1 pep chromosome:Pop_tri_v4:4:7156234:7157944:1 gene:Potri.004G086000.v4.1 transcript:Potri.004G086000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086000.v4.1 MGRTTSSSLLLTFTFIVSLSSLTCPSLSASTDAFVFGGCTQQKYVQDSPFESNLNSLLTSLVNSATYSSYNNYTIMGSSPQDVVYGLYQCRGDLSMPDCATCVARAVSQLGVLCAQTCGGALQLQGCYVKYDNTTFLGVEDKTVVLKKCGPSVGYDTDAMNLRDAVLGGLARTGGAYRVGGSGDVQGVAQCIGDLSTGECQDCLSEAIMRLKSDCGTADYGDMFLAKCYARYTTGGPHVYTKSHSDKSTSDGEKTFAIIIGLLAGVALLIIFLSFLRKVFGGNGK >Potri.004G204001.1.v4.1 pep chromosome:Pop_tri_v4:4:21285457:21286764:-1 gene:Potri.004G204001.v4.1 transcript:Potri.004G204001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G204001.v4.1 MDGWMVRNYPVQDLNLPLDPRLYFSRVQTVSGGFLLLCLDCCCHPGSCSPWPSLRFCSVALGAPLIREFHLPLFPFFSLLPHHASFCTAFGACSCFSFSHLGSQQYILSYCKKRQYTMAPHTVVVVDTNIYVHTV >Potri.013G060800.1.v4.1 pep chromosome:Pop_tri_v4:13:4461724:4463456:-1 gene:Potri.013G060800.v4.1 transcript:Potri.013G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060800.v4.1 MDFLGVCLVVFLTMSKTIHGYGGGWSDAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFKNGLTCGACFELKCVNDARWCLSGSIIVTATNFCPPNSAGGWCNPPSQHFDLSQPVFQHLARYKAGIVPVLYRRVACRKTGGIRFTINGHSYFNLVLITNVGGAGDVVSVSIKGSRTGWQPMSRNWGQNWQSNSYLNGQALSFKVTTSDGRSIISSNVAPPNWAFGQTFHGGQF >Potri.005G049500.1.v4.1 pep chromosome:Pop_tri_v4:5:3144135:3149384:1 gene:Potri.005G049500.v4.1 transcript:Potri.005G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049500.v4.1 MATASLIESSPLKWKKAFPFQPQPPLLTPNHYPYLIPLKPPKFTSKCISQLPILNPNSKNNNGPFPISPSITKPISQNLSKPPTSKNPLEIIYETMLKALDILKKPAIAAILIGVLLLHDPNSAFAASGGRIGGNSFSRRSSSEYSSRSYSVPRGGSSGFSYSVPYYAPSPFGGGGVYVGPAVGVGVGAGSSLFFILAGFAAFMLVSGFLSDRNEGGVLTAAEKTSVLKLQVGLLGMGRSLQRDLNRIAEVADTSSSEGLNYVLTETSLALLRHPDYCISGHSFVDVKRSMEDGEKRFNQLSIEERGKFDEETLVNVNSIKRQSTSSKRSNGFSNEYIVITILVAAEGVYKLPTINGSGDLKEALQKLGSISASKILAVEVLWTPQNENDTLSERELLEDYPLLRPL >Potri.001G273300.1.v4.1 pep chromosome:Pop_tri_v4:1:28725255:28726290:1 gene:Potri.001G273300.v4.1 transcript:Potri.001G273300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273300.v4.1 MERRRKNGGLSVPQFGGWNSKNPVPTDYSVVFTQARANRRQHKSDVRHASLGNERELLAAANQQEDSVMKRKKFLTYINCCIRP >Potri.009G141600.1.v4.1 pep chromosome:Pop_tri_v4:9:11310921:11312936:1 gene:Potri.009G141600.v4.1 transcript:Potri.009G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141600.v4.1 MAEMALKLDLPGFRFHPTEEELLDFYLKNIVFGKKMRLDVIGYLNIYRHDPWDLPGLSNVGEREWYFFVPRDRKHGSGGRPNRTTQNGFWKATGSDRKIVSLSDPKRMIGLRKTLVFYKGRAPRGNKTDWVMNEYRLPEYSCPLPKDIVLCKIYRKATSLKVLEQRAAMEEEMKTIHASPSSSPPPSSLDTMSFCSQLEDPVPPILMPAQNLVFKKEIEDNNNIRYEKPNEIKRPSLHLPMGKDMLPELQVPSKLSMEWNQDPIWSLNSPWIQNFAHYADILNF >Potri.012G121750.3.v4.1 pep chromosome:Pop_tri_v4:12:13930200:13933238:1 gene:Potri.012G121750.v4.1 transcript:Potri.012G121750.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121750.v4.1 MDDVLDEWSTAILRWKMEEAEENTRSLQKMRCSFLGSPCFCFNQVARRRDIALKIKEVCEKVDEIAKERAMFGFELYRATDELQRITSTSLVDESIVRGRDDEREALVSKLLGESSQEARDVDVISLVGLGGIGKTTLAQLAFNNAEVTAHFDKKIWVCVSDPFDEVRIAKAILEALQRGASNLVELESLLQSVSESIKGKKFLLVLDDVWTESHGQWEPLKLSFKGGAPGSRILVTTRKHAVATMMGTDHWINLERLSDEVCMSIFNQVAFHKRSKDERERLTEIGGKIASKCKGLPLAAKVLGGLMQSKRTREEWEHVLSSELWELEHVERALFPPLLLSYYDLPYVARRCFLYCAMFPKDYEMGKDQLVKMWMAQGYLKETPSRDMELVGEEYFQVLVARSFFQDFQMDEHEVDVNNLGEQKTETTYERVHHLSMKLSEETSFPMSIHKAKGLRSLLIDSRDPSLGAALADVFKQLTCIRSLNLAEASIKEMPNEVGKLIHLRHLNLVSSVKLQSLPETMCNLCNLQSLNVSGCWSLKELPHAIGKLTKLRHLKIVYSSVAFIPKGMERITCLRTLDRFTVFD >Potri.012G121750.2.v4.1 pep chromosome:Pop_tri_v4:12:13930326:13932806:1 gene:Potri.012G121750.v4.1 transcript:Potri.012G121750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121750.v4.1 MEEAEENTRSLQKMRCSFLGSPCFCFNQVARRRDIALKIKEVCEKVDEIAKERAMFGFELYRATDELQRITSTSLVDESIVRGRDDEREALVSKLLGESSQEARDVDVISLVGLGGIGKTTLAQLAFNNAEVTAHFDKKIWVCVSDPFDEVRIAKAILEALQRGASNLVELESLLQSVSESIKGKKFLLVLDDVWTESHGQWEPLKLSFKGGAPGSRILVTTRKHAVATMMGTDHWINLERLSDEVCMSIFNQVAFHKRSKDERERLTEIGGKIASKCKGLPLAAKVLGGLMQSKRTREEWEHVLSSELWELEHVERALFPPLLLSYYDLPYVARRCFLYCAMFPKDYEMGKDQLVKMWMAQGYLKETPSRDMELVGEEYFQVLVARSFFQDFQMDEHEGMTFKIHDIVHDFAQFLTKYECLTVDVNNLGEQKTETTYERVHHLSMKLSEETSFPMSIHKAKGLRSLLIDSRDPSLGAALADVFKQLTCIRSLNLAEASIKEMPNEVGKLIHLRHLNLVSSVKLQSLPETMCNLCNLQSLNVSGCWSLKELPHAIGKLTKLRHLKIVYSSVAFIPKGMERITCLRTLDRFTVFD >Potri.012G121750.1.v4.1 pep chromosome:Pop_tri_v4:12:13920985:13932271:1 gene:Potri.012G121750.v4.1 transcript:Potri.012G121750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121750.v4.1 MKTTSVSPLELKMECHSQYKHATRKIHPQQMAEALLSPILEQLSTVVAQQVQEEVNLVGGVQKQVDKLKSNLLAIQSVLEDADRKQVKDKAVRDWADKLKDVCYDMDDVLDEWSTAILRWKMEEAEENTRSLQKMRCSFLGSPCFCFNQVARRRDIALKIKEVCEKVDEIAKERAMFGFELYRATDELQRITSTSLVDESIVRGRDDEREALVSKLLGESSQEARDVDVISLVGLGGIGKTTLAQLAFNNAEVTAHFDKKIWVCVSDPFDEVRIAKAILEALQRGASNLVELESLLQSVSESIKGKKFLLVLDDVWTESHGQWEPLKLSFKGGAPGSRILVTTRKHAVATMMGTDHWINLERLSDEVCMSIFNQVAFHKRSKDERERLTEIGGKIASKCKGLPLAAKVLGGLMQSKRTREEWEHVLSSELWELEHVERALFPPLLLSYYDLPYVARRCFLYCAMFPKDYEMGKDQLVKMWMAQGYLKETPSRDMELVGEEYFQVLVARSFFQDFQMDEHEGMTFKIHDIVHDFAQFLTKYECLTVDVNNLGEQKTETTYERVHHLSMKLSEETSFPMSIHKAKGLRSLLIDSRDPSLGAALADVFKQLTCIRSLNLAEASIKEMPNEVGKLIHLRHLNLVSSVKLQSLPETMCNLCNLQSLNVSGCWSLKELPHAIGKLTKLRHLKIVYSSVAFIPKGMERITCLRTLDRFTVFD >Potri.012G121750.4.v4.1 pep chromosome:Pop_tri_v4:12:13930935:13932956:1 gene:Potri.012G121750.v4.1 transcript:Potri.012G121750.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121750.v4.1 MMGTDHWINLERLSDEVCMSIFNQVAFHKRSKDERERLTEIGGKIASKCKGLPLAAKVLGGLMQSKRTREEWEHVLSSELWELEHVERALFPPLLLSYYDLPYVARRCFLYCAMFPKDYEMGKDQLVKMWMAQGYLKETPSRDMELVGEEYFQVLVARSFFQDFQMDEHEGMTFKIHDIVHDFAQFLTKYECLTVDVNNLGEQKTETTYERVHHLSMKLSEETSFPMSIHKAKGLRSLLIDSRDPSLGAALADVFKQLTCIRSLNLAEASIKEMPNEVGKLIHLRHLNLVSSVKLQSLPETMCNLCNLQSLNVSGCWSLKELPHAIGKLTKLRHLKIVYSSVAFIPKGMERITCLRTLDRFTVFD >Potri.004G080200.1.v4.1 pep chromosome:Pop_tri_v4:4:6609164:6611366:1 gene:Potri.004G080200.v4.1 transcript:Potri.004G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080200.v4.1 MPPPLPQNPIHTLLKPLLLLLLLLFIFPTATTSLTSSTTTPPPLSTTLSQWQSARATYYAASDPRDTVGGACGYGDLVKAGYGMATVGLSESMFERGQICGACFELKCVDDLRWCIPGTSIIVSVTNFCAPNYGFTSDGGGKCNPPNKHFVLPIEAFEKIAIWKAANMPVQYRRIKCRKEGGIRFNISGSGIFLSVLISNVAGAGDVTAVKIKGSRTGWLDMGRNWGQNWHVNANLQNQALSFEVTSSDRMTVISYNVAPKDWRFGQAFEGKQFET >Potri.001G403100.5.v4.1 pep chromosome:Pop_tri_v4:1:42895753:42899996:-1 gene:Potri.001G403100.v4.1 transcript:Potri.001G403100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403100.v4.1 MGKKRKHVETQVIEPAKKDEGAPERPKRTLLGWKDKPEVKETEFIPPQQGGFRNKEKVLVTCSRRINFRYRHLMLNLVSLLPHCKKDSKVESTSTKGATLNELVELKNGSSSLFFECRKHKDLYLWMVKCPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSANFDKDAHWKLLKEMIIQIFGTPKDHRKSKPFYDHVFVFSIVDDHIWFRNYQISVPHNETDKIARGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFFVSPNQIRALEKKQKAGKFAKKVKAKTRRKMHELSNPLEPDEFADMWKE >Potri.001G403100.1.v4.1 pep chromosome:Pop_tri_v4:1:42895735:42900156:-1 gene:Potri.001G403100.v4.1 transcript:Potri.001G403100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403100.v4.1 MGKKRKHVETQVIEPAKKDEGAPERPKRTLLGWKDKPEVKETEFIPPQQGGFRNKEKVLVTCSRRINFRYRHLMLNLVSLLPHCKKDSKVESTSTKGATLNELVELKNGSSSLFFECRKHKDLYLWMVKCPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSANFDKDAHWKLLKEMIIQIFGTPKDHRKSKPFYDHVFVFSIVDDHIWFRNYQISVPHNETDKIARGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFFVSPNQIRALEKKQKAGKFAKKVKAKTRRKMHELSNPLEPDEFADMWKE >Potri.001G403100.4.v4.1 pep chromosome:Pop_tri_v4:1:42895747:42900099:-1 gene:Potri.001G403100.v4.1 transcript:Potri.001G403100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403100.v4.1 MGKKRKHVETQVIEPAKKDEGAPERPKRTLLGWKDKPEVKETEFIPPQQGGFRNKEKVLVTCSRRINFRYRHLMLNLVSLLPHCKKDSKVESTSTKGATLNELVELKNGSSSLFFECRKHKDLYLWMVKCPSGPSVKFLVNAVHTMEELKLTGNHLKGSRPLLTFSANFDKDAHWKLLKEMIIQIFGTPKDHRKSKPFYDHVFVFSIVDDHIWFRNYQISVPHNETDKIARGGLDKMTLVEVGPRFCLNPIKIFGGSFGGPTLYENPFFVSPNQIRALEKKQKAGKFAKKVKAKTRRKMHELSNPLEPDEFADMWKE >Potri.008G065101.1.v4.1 pep chromosome:Pop_tri_v4:8:3937278:3939080:1 gene:Potri.008G065101.v4.1 transcript:Potri.008G065101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065101.v4.1 MRRGCFVKNSIAFVGVVISICCLSVIMVSVFQLPEASLINKVTGSYRTIRTRKVSKDEAIGKFGEMMIEMLPEELAFTVFVPSHEAFERDLRLQVDDSLVAEKRNDTYAVVSRILGFSAVPRTLFSTTVPSGKEVFYDSLIWVNIVHFKRWRWDAGG >Potri.002G201800.1.v4.1 pep chromosome:Pop_tri_v4:2:16533322:16534198:1 gene:Potri.002G201800.v4.1 transcript:Potri.002G201800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201800.v4.1 MALTRFFFAASILLLSSLVITSANDYSYDSRTDTVKPGYHPKSDANIYDNTPKPDLPKPTLTIPKSDNEKPNYGYDSIPEAPLPIGIEGLVLCKSGSNYIPIKGALVRIACMAVDQNGYETTPFSCLTGATDANGYYYKTLPAFGLGDLKVTECKAYLESSPLETCKIPTDVNNGMSGALLSSYHILSKNIKLYSMRTFFYTSETTPTPAGGY >Potri.012G074900.2.v4.1 pep chromosome:Pop_tri_v4:12:9839750:9840820:1 gene:Potri.012G074900.v4.1 transcript:Potri.012G074900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074900.v4.1 MGGSRWLRPEVYPLFAAVGVAVGICGFQLARNICINPEVRVTKQNRAAGVLDNFSEGEKYSEHGLRKLVRNRSPQIMPSINNFFTDPKLN >Potri.002G121000.3.v4.1 pep chromosome:Pop_tri_v4:2:9178951:9182786:-1 gene:Potri.002G121000.v4.1 transcript:Potri.002G121000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NRAMP2 MSSPSGGEDSKDDEKDEESNRLLPLSSSSQSQSLQSENYSDEVAFEAREKIVIVDVEGPHSIDAVDYVPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATVMGLLIQMLSARVGVATGRHLAELCRDEYSNWARYILWFMAEVALIGADIQEVIGSAIAIQILSNGFLPLWAGVLITASDCFMFLFLENYGVRKLEGVFAVLIATMALSFAWMCGDAKPSGKELLKGILIPRLGSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPQKKARVQEALTYYSIESSVALFVSFMINLFVTTVFAKGFYGTPQASSIGLVNAGQYLEEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWTRALITRSFAIIPTIIVAIIFNTSEASLDILNEWLNVLQSMQIPFALIPLLTLVAKEQVMGVFKIGPVLEVNC >Potri.002G121000.1.v4.1 pep chromosome:Pop_tri_v4:2:9179353:9183223:-1 gene:Potri.002G121000.v4.1 transcript:Potri.002G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NRAMP2 MSSPSGGEDSKDDEKDEESNRLLPLSSSSQSQSLQSENYSDEVAFEAREKIVIVDVEGPHSIDAVDYVPPFSWRKLWLFTGPGFLMSIAFLDPGNLEGDLQAGAIAGYSLLWLLLWATVMGLLIQMLSARVGVATGRHLAELCRDEYSNWARYILWFMAEVALIGADIQEVIGSAIAIQILSNGFLPLWAGVLITASDCFMFLFLENYGVRKLEGVFAVLIATMALSFAWMCGDAKPSGKELLKGILIPRLGSKTIRQAVGVVGCVIMPHNVFLHSALVQSRKIDPQKKARVQEALTYYSIESSVALFVSFMINLFVTTVFAKGFYGTPQASSIGLVNAGQYLEEKYGGGLFPILYIWGIGLLAAGQSSTITGTYAGQFIMGGFLNLRLKKWTRALITRSFAIIPTIIVAIIFNTSEASLDILNEWLNVLQSMQIPFALIPLLTLVAKEQVMGVFKIGPVLERLAWTIAVLVILINGYLLIDFFISEVKGLLFGFLIGSGTVAYVSFIIYLVSRCGTSPSNGLSLELSERITCNGN >Potri.010G110800.1.v4.1 pep chromosome:Pop_tri_v4:10:13094142:13094724:-1 gene:Potri.010G110800.v4.1 transcript:Potri.010G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110800.v4.1 MVLYKQKKNQAAKGNRFLISVTVLGSAGPIRFVVNEEDLVVAVINTALKSYAREGRLPILGSDLNDFLLYCPNAGSDAISPWETIGSLGARNFMLCKKPQHLKVAEKDGRSNAAISQKGSGSWKAWINKVTQS >Potri.013G147500.1.v4.1 pep chromosome:Pop_tri_v4:13:14434704:14436347:-1 gene:Potri.013G147500.v4.1 transcript:Potri.013G147500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147500.v4.1 MSNILQYPDVVDAPEVQIWNNAAFDNGESEDSLNLKSSWWNQSLESDASKENLSPVCEKSSPIYVNSSKPTKPLQSNPNPFNSQGSNSMKVGLSKMVEKNEEIKNHDEKKIDIEIEEIEKEIKRLSSRLEVLRLEKAERNLSKTVEKRGRVVAAKFMEQKQSVKIEEPLVSSAKSKINRRGVSLGPSEIFSGSKSRLLFGKQEMKTPVSTQNRRKSCFWKLEEIDELKATKERGKSLSVSPRSRKNVSKIQVPKQAVTTVGSRRSVKKEDGVIASIQPKNLFKDGERSVPNKKPLKPGRVVASRYNQIGTNQSNGNLTASEARKRSLPDNEKEDVNKRRASRGNGVSQRAESGRVKKRWEIPSEVVVYKDDAEEESPQAVSVVTDMLPNIKTVRSVAETPRDSGPAKRVADLVGRKSYFPPVEETAAGDSVCQALSFAEEEDGENE >Potri.013G147500.2.v4.1 pep chromosome:Pop_tri_v4:13:14433913:14436338:-1 gene:Potri.013G147500.v4.1 transcript:Potri.013G147500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147500.v4.1 MSNILQYPDVVDAPEVQIWNNAAFDNGESEDSLNLKSSWWNQSLESDASKENLSPVCEKSSPIYVNSSKPTKPLQSNPNPFNSQGSNSMKVGLSKMVEKNEEIKNHDEKKIDIEIEEIEKEIKRLSSRLEVLRLEKAERNLSKTVEKRGRVVAAKFMEQKQSVKIEEPLVSSAKSKINRRGVSLGPSEIFSGSKSRLLFGKQEMKTPVSTQNRRKSCFWKLEEIDELKATKERGKSLSVSPRSRKNVSKIQVPKQAVTTVGSRRSVKKEDGVIASIQPKNLFKDGERSVPNKKPLKPGRVVASRYNQIGTNQSNGNLTASEARKRSLPDNEKEDVNKRRASRGNGVSQRAESGRVKKRWEIPSEVVVYKDDAEEESPQAVSVVTDMLPNIKTVRSVAETPRDSGPAKRVADLVGRKSYFPPVEETAAGDSVCQALSFAEEEDGENE >Potri.014G188601.1.v4.1 pep chromosome:Pop_tri_v4:14:16112096:16112542:1 gene:Potri.014G188601.v4.1 transcript:Potri.014G188601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G188601.v4.1 MVSLVGARGYFPPPSFMKIYCWNVKVLNSPFKQHEVVSLMKKNKLDVCGLVETKLASSVVSFMHKLRLRNWRFLSNVTAINTACILVFWNPSTVKVELIDFTAQGFHVTISSMVNHRSLTATFVYGYNTVIARRALWEDLQNGTQTLP >Potri.006G262100.5.v4.1 pep chromosome:Pop_tri_v4:6:25818168:25823217:1 gene:Potri.006G262100.v4.1 transcript:Potri.006G262100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262100.v4.1 MTVEQGIGDSNIDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYTVTTTSQAITALSMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDPKLVMKGITHGACDYLLKPVRIEELKNIWQHVIRRRKYDNKDRNSSDNRDKPNQGSSEAIPDQKLNKKRKDQNEDEDEDRDENEHENEDPATQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISTVANQQANMVAALGSSDASYLQMNSMNGMGLHNLAGSGQFHNTPFRSLPSSGMLSRLNSPAVLGIRGLPSPGVIRLGHVQSAPHSANGLSHFQPVGHPGNNGNILQGMPMSLELDQIQSNKGVNYVRELPTHLDDTASFPVSSGSTDMKIIAGSSNSPFVGVSGKPLMLEGHGQGLQDGQKFGNQSSLAAGSLDPGYSSHFPDHGRCNDNWSNAVQSNGVQSSSFTLNDCFKQSTLHPSSIRDSMSTMALQSRNNHCDVSSISTLPIHLQDSKADLQCQVATMSSDAGQIINNGQLGWDDHRQDDPYHANGISNSINSAIPINGNGSLVGQSLDPNNMIFQRTRSFSSAGQSNFVDTSLMKHNEVESSAMETLVRSKDGYLLGQQKQQDSYVSNNFGSLEDLVSVMVKQEQDKVKLPEGDFGCGGYSLRTCI >Potri.006G262100.6.v4.1 pep chromosome:Pop_tri_v4:6:25818193:25823717:1 gene:Potri.006G262100.v4.1 transcript:Potri.006G262100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262100.v4.1 MTVEQGIGDSNIDQFPIGMRVLAVDDDPTCLLLLETLLRRCQYTVTTTSQAITALSMLRENKNKFDLVISDVHMPDMDGFKLLELVGLEMDLPVIMLSANGDPKLVMKGITHGACDYLLKPVRIEELKNIWQHVIRRRKYDNKDRNSSDNRDKPNQGSSEAIPDQKLNKKRKDQNEDEDEDRDENEHENEDPATQKKPRVVWSVELHRKFVAAVNQLGIDKAVPKKILDLMNVEKLTRENVASHLQKYRLYLKRISTVANQQANMVAALGSSDASYLQMNSMNGMGLHNLAGSGQFHNTPFRSLPSSGMLSRLNSPAVLGIRGLPSPGVIRLGHVQSAPHSANGLSHFQPVGHPGNNGNILQGMPMSLELDQIQSNKGVNYVRELPTHLDDTASFPVSSGSTDMKIIAGSSNSPFVGVSGKPLMLEGHGQGLQDGQKFGNQSSLAAGSLDPGYSSHFPDHGRCNDNWSNAVQSNGVQSSSFTLNDCFKQSTLHPSSIRDSMSTMALQSRNNHCDVSSISTLPIHLQDSKADLQCQVATMSSDAGQIINNGQLGWDDHRQDDPYHANGISNSINSAIPINGNGSLVGQSLDPNNMIFQRTRSFSSAGQSNFVDTSLMKHNEVESSAMETLVRSKDGYLLGQQKQQDSYVSNNFGSLEDLVSVMVKQEQDKVKLPEGDFGCGGYSLRTCI >Potri.006G066000.1.v4.1 pep chromosome:Pop_tri_v4:6:4764489:4768745:-1 gene:Potri.006G066000.v4.1 transcript:Potri.006G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066000.v4.1 MSAIVCGKRSFFEELTVTSPPVSKRIRCSSSSPVRFSPPRSNTIASNPASFNFSSSSSSSSAFVEQLAAIFPDMDKQLLEKALEECGDDLDLAIRSLNELRLASVENFSAAAVKSDVMDKANVPPQGLAPTDAEAPTEDPSASALLSMDGMEWVELFVREMMSASNIDDARARASRALEALEKSICTRAGAEAAKSFHQENMMLKEQMQALIQENTILKRAVSIQHERQKEFEESSQELQQLKQLVSQYQDQLRTLEVNNYALTLHLKQAQQSSSIPGRFHPDVF >Potri.016G112100.1.v4.1 pep chromosome:Pop_tri_v4:16:11488214:11491166:1 gene:Potri.016G112100.v4.1 transcript:Potri.016G112100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112100.v4.1 MIRKSAKMEYSWFRFMLLAVSLFPALVECRVRHYKFNVVMKNTTRLCSSKPVVTVNGRFPGPTLYAREDDTVLVKVVNHVKYNVSIHWHGIRQLRTGWADGPAYITQCPIQTGQSYVYNFTITGQRGTLLWHAHILWLRATVHGAIVVLPKRGVPYPFPAPHKEFVVVLAEWWKSDTEAVINEALKSGLAPNVSDAHTINGHPGAVSACPSQGGFTLPVESGKTYMLRLINAALNEELFFKIAGHKLTLVEVDATYVKPFKTDTVLIAPGQTTNVLVTTNKNTGKYLVAASPFMDAPIAVDNMTATATLHYSGALSGTPTTLTIPPPKNATAVANQFTNSLRSLNSKRFPAKVPLTVDHNLFFTVGLGINPCPTCKAGNGSRVVASINNVTFVMPTTALLQAHFFNISGVFTTDFPSKPPHVFNYTGTPPTNLQTTSGTKVYRLRYNSTVELVMQDTGIISPENHPIHLHGFNFFGVGRGVGNYNPKTDPKKFNLVDPVERNTIGVPSGGWVAIRFRVDNPGVWFMHCHLEVHTTWGLKMAFLVDNGKGPNESLLPPPSDLPKC >Potri.008G119900.1.v4.1 pep chromosome:Pop_tri_v4:8:7725107:7725949:-1 gene:Potri.008G119900.v4.1 transcript:Potri.008G119900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119900.v4.1 MSEAKKRKQGELNDKVPDAQKKAQEDNGGQRQTAEATNAEVDEFFAILERIHVAVKYFKEANEDGRNLAEVRSLESGLEVEGSGMKVSDIKKEEGVEENVGFDLNADPEPEEDPA >Potri.006G187600.1.v4.1 pep chromosome:Pop_tri_v4:6:19467419:19471387:-1 gene:Potri.006G187600.v4.1 transcript:Potri.006G187600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G187600.v4.1 MIKYLLLVSLVIHATLANSESASKKWLTLNGQRPSVIARGGFSGLFPESSDFANQMALGTSVHDVVVLCNLQLTKDGVGICQGDIRLDNTTNIAMLFEKGSKTYKVNGQDLTGWFALDFTADQLLANVSLVQNIFSRPSAFDGMLPMSTVDDVRNSNPPAFWLNVQYDAFYTENKLSVTNYIQKATRLQGVNYISSPEIGFLKRMNGLVNKAKTMLIFVFLDKKATEPTSSQTYGSILGNLATIKKFASGIVVPKDYIWPVNTANYLDAPTSLVNDAHKLGLEVYASGFANDFTTSYNYSYDPSAEYLQFIDNSQFSVDGLITDFPPTASEAVACFAQYPDIKPTKGQALIITHNGASGVYAGSTDLAYQQGLEDGADIIDCSVQMSKDGVAFCLDSVDVTRDTTAAATFMSLSTTIPEIQQSSGIFSFDLSWSDIQALQPQLTSPFENKGGLPRNPANKNKGKFLTLAEFLELAKVKAVTGILINIENAAYLASQKGLDIVSAVNTALSNATFDKQSTQKVLIQSDDTSVLSKFQNVPAYTRVLYLKDEISDAPKTPVGEIKKYADAVTLPRFSIVPTIDGFTTATTKVVNEMHAANISVYVTVLRNEFVTLAFDYFADPTIEIATYTSGIGVDGIITEYPATASRYLRNPCSSDSMPESSYSIIPAEAGSLLKTVPEETQPPASSPTPALDVADIVDPPLPAVTKPASPPPATSPRSSALANIANVGLSLVAIVVFSSLSLM >Potri.001G307100.1.v4.1 pep chromosome:Pop_tri_v4:1:31661941:31662857:-1 gene:Potri.001G307100.v4.1 transcript:Potri.001G307100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307100.v4.1 MASSIVLLSLLCFSLISYQAIASRTMDPTKGFTSLPLDQSNFEVQWPYNMQEDQRYSFENGIRRMWVYSNDKPHFPTSHTRPRTEIRIQGYDYSSGVWQFEGYGYVPSGTSGVCIMQVFGATGHASTLMLRTYNGDLYYYSDKVIVKNIYDRWFRVNVIHDVDADKLHIYIDGALVYEAEGRGGESHYFKCGVYEQDDGSHYMESRWKGIKVLKKM >Potri.004G033300.1.v4.1 pep chromosome:Pop_tri_v4:4:2578202:2578835:1 gene:Potri.004G033300.v4.1 transcript:Potri.004G033300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033300.v4.1 MSLRYASRVLYQSGVRVVQGMKDQASKCDSSAIKSLRDSACSSSSKQARRFSGSVDSSAYMNAKNEKFKQAEESLRTVMFLSCWGPN >Potri.014G135300.2.v4.1 pep chromosome:Pop_tri_v4:14:9080767:9086296:1 gene:Potri.014G135300.v4.1 transcript:Potri.014G135300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135300.v4.1 MMHYKKVAARMNEEGKMEMPIYDLPYKILCKVVHVELKAEAGTDEVFARITLLPVAEEDELSSNKDGKSLPLHRKTCARSFTKKLTPSDTKTHGGFSVPKRHADQCLPPLDKSQQPPVQELLAKDLHGFEWCFKHIYRGQPKRHLITSGWSTFVSSKRLVAGDSFIFLRGESGELRVGVRRAMKLENNLSANILSSHSMQLGILSSASHAITTGSMFTIYFHPWTSPAEFIIPYDQYMKSAEIDYSAGTRFRMLFEGEECAEQRIERFEGTVVGTEDVDHIRWPNSEWRILKVKWDAASEPFVHQERVSPWNIEPIEPIRKKHASRLHLHKMECIADKSLPRFLISVKEGLLHGSDEHANESLLEVLQGQEDRDTSANQFGAFKPPPVPHLTSPPNPDWNRSPIGRDNQLQFWMGGPIYPCPSNTVSFPGGNIARLGIPNSRHSTFNSYGVHDNAVGSRSLSVPNVSHNSGSQKWRGSELKHANEVPLAAPHRYMLFGVNLVSNSPELPSSQVATSVVNESHNYVPVTSQSSVSEPSKSTSGVNSEKQCKNCCSAAIRSCTKVLKYGTVPGRSVDLTQFDGYNELICELDLMFDFQGSLIDETSGWYVVYSDNEGDMMQIKDCPWQEFQLTVRRIFISPKEDIGKLNPLSPNPSPSG >Potri.014G135300.1.v4.1 pep chromosome:Pop_tri_v4:14:9079355:9086296:1 gene:Potri.014G135300.v4.1 transcript:Potri.014G135300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135300.v4.1 MGKKRSVAGEAKTSRSHEVGVLKTTKCFNNGLPPRNPQENKDDLYTELWHACAGPLVYVPRAGDKVFYFPQGHMEQVAARMNEEGKMEMPIYDLPYKILCKVVHVELKAEAGTDEVFARITLLPVAEEDELSSNKDGKSLPLHRKTCARSFTKKLTPSDTKTHGGFSVPKRHADQCLPPLDKSQQPPVQELLAKDLHGFEWCFKHIYRGQPKRHLITSGWSTFVSSKRLVAGDSFIFLRGESGELRVGVRRAMKLENNLSANILSSHSMQLGILSSASHAITTGSMFTIYFHPWTSPAEFIIPYDQYMKSAEIDYSAGTRFRMLFEGEECAEQRIERFEGTVVGTEDVDHIRWPNSEWRILKVKWDAASEPFVHQERVSPWNIEPIEPIRKKHASRLHLHKMECIADKSLPRFLISVKEGLLHGSDEHANESLLEVLQGQEDRDTSANQFGAFKPPPVPHLTSPPNPDWNRSPIGRDNQLQFWMGGPIYPCPSNTVSFPGGNIARLGIPNSRHSTFNSYGVHDNAVGSRSLSVPNVSHNSGSQKWRGSELKHANEVPLAAPHRYMLFGVNLVSNSPELPSSQVATSVVNESHNYVPVTSQSSVSEPSKSTSGVNSEKQCKNCCSAAIRSCTKVLKYGTVPGRSVDLTQFDGYNELICELDLMFDFQGSLIDETSGWYVVYSDNEGDMMQIKDCPWQEFQLTVRRIFISPKEDIGKLNPLSPNPSPSG >Potri.006G213400.4.v4.1 pep chromosome:Pop_tri_v4:6:21965389:21969509:1 gene:Potri.006G213400.v4.1 transcript:Potri.006G213400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213400.v4.1 METQRITEFPHKNMDKRPKKRQRLTWGVPPPLLAPPKQVIPGMFCGYGFYNGNGSPPWRPDDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECFDNEKKEGVAIKIVRSIHKYREAAMIEIDVLQRLARHDFGSTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHDLRLIHTDLKPENILLVSSEYIKVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVVLGLGWNYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMAIRADRRAEKYFRRGARLDWPEGATSRESMRAVTKLPRLPNIIMQHVDHSAGDLIDLLQGLLRYDPAERLKAREALRHPFFSRDLRRYGYPV >Potri.006G213400.2.v4.1 pep chromosome:Pop_tri_v4:6:21965394:21969509:1 gene:Potri.006G213400.v4.1 transcript:Potri.006G213400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213400.v4.1 METQRITEFPHKNMDKRPKKRQRLTWGVPPPLLAPPKVIPGMFCGYGFYNGNGSPPWRPDDKDGHYVFAIGENLTPRYRILSKMGEGTFGQVLECFDNEKKEGVAIKIVRSIHKYREAAMIEIDVLQRLARHDFGSTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHDLRLIHTDLKPENILLVSSEYIKVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHNYVVSTRHYRAPEVVLGLGWNYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMAIRADRRAEKYFRRGARLDWPEGATSRESMRAVTKLPRLPNIIMQHVDHSAGDLIDLLQGLLRYDPAERLKAREALRHPFFSRDLRRYGYPV >Potri.006G183900.1.v4.1 pep chromosome:Pop_tri_v4:6:19006671:19010246:-1 gene:Potri.006G183900.v4.1 transcript:Potri.006G183900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183900.v4.1 MLVSSSVATWTDCGSSSNYPLCTMAFFHSKLRSSFLRMEPFTVSFLPSSEFLKLSSFHSFQHSDLKLRHSFKSRTTPRMLRQPHELVITNEYPQNADFPRNYSRKEKKPFPIPIVELRRAARERFKKNKGQPKGRAPPPKNGLLVQCLVPLAYDVFNARITLINNLKKLLKVVPVHACGWCNELHVGPEGHPFKSCKGKHATLRKGLHQWTNAAVEDVLVPIEAYHLYDPLGKRIKHEERFSIPRIPAVMELCIQAGVYVSEYPTKRRRKPIIRIGKREFVDADESDLPDPVPEVPLKPLLTELPISEAVAPTNEEEKTLLAEETLQAWEKMRKGAKKLMQMYRVRVCGYCPEVHVGHSGHKAQNCGAHKHQQRNGQHGWQSAVLDNLIPPRYVWHVPDVDGPPLRRELRNFYGQAPAVVEICVQAGAAVPDQYKSTMRLDIGIPSSVKEAEMVV >Potri.014G015866.1.v4.1 pep chromosome:Pop_tri_v4:14:942237:944536:1 gene:Potri.014G015866.v4.1 transcript:Potri.014G015866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015866.v4.1 MGLSCFACFDGGNKKQRREEERLASEEARAKAAEAAQKRQEQFEKSAAGRAARAQLQGMAKQSANSNKGEPVLKWQMS >Potri.016G085900.10.v4.1 pep chromosome:Pop_tri_v4:16:6736274:6741492:-1 gene:Potri.016G085900.v4.1 transcript:Potri.016G085900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085900.v4.1 MQTPKAKTSSLEVPQKKSPATPRTRQLKTPGSENNSVSPNPASRTPKDRSPKVTERRLSQSPATEKKRPSRISELETQLAQLQEDLKKAKDQLNASESWKRRAHQEAEDTKKQLLTMSEKFEESQQQLMELSSSEDVRVQELRKVSHDRDKAWQSELEAMQKQHSIDSAALASAMNEVQRLKNQLEMVVESEASQTKHAESAYAELQGLRLELTETLSLVEKMKTELSDTRESEAQALELVSKTQKQLEEANATAEMLQADDVKAMEAYRSLSLELEQSRAQVKPLEELVSKLQADPANICGKTVMNPTGDVEVLHESVENEETKQLKAEMNLLKHEVGQLKSALEASETRYQEEYIQSTLQIRSAYEQVERTKLESGHREAELEAELKKAKNNIEELRANLMDKETELQGISEENEGLALKIEKNQPSERESELAMELKKLEHDLAELKASLLDKEARLQSVAEENETLWKEIKKGEMEKSKVNDEAVALAETARAAEHEALMKLGYLTEEADKSSRRVARVTEQLDAAQAANTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKFVERTGSLDNNYNPIPGNMGSPFSEDMDDDSPKKKNGNMLKKIGVLWKRGQK >Potri.016G085900.7.v4.1 pep chromosome:Pop_tri_v4:16:6736309:6741492:-1 gene:Potri.016G085900.v4.1 transcript:Potri.016G085900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085900.v4.1 MQTPKAKTSSLEVPQKKSPATPRTRQLKTPGSENNSVSPNPASRTPKDRSPKVTERRLSQSPATEKKRPSRISELETQLAQLQEDLKKAKDQLNASESWKRRAHQEAEDTKKQLLTMSEKFEESQQQLMELSSSEDVRVQELRKVSHDRDKAWQSELEAMQKQHSIDSAALASAMNEVQRLKNQLEMVVESEASQTKHAESAYAELQGLRLELTETLSLVEKMKTELSDTRESEAQALELVSKTQKQLEEANATAEMLQADDVKAMEAYRSLSLELEQSRAQVKPLEELVSKLQADPANICGKTVMNPTGDVEVLHESVENEETKQLKAEMNLLKHEVGQLKSALEASETRYQEEYIQSTLQIRSAYEQVERTKLESGHREAELEAELKKAKNNIEELRANLMDKETELQGISEENEGLALKIEKNQPSERESELAMELKKLEHDLAELKASLLDKEARLQSVAEENETLWKEIKKGEMEKSKVNDEAVALAETARAAEHEALMKLGYLTEEADKSSRRVARVTEQLDAAQAANTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKFVERTGSLDNNYNPIPGNMGSPFSEDMDDDSPKKKNGNMLKKIGVLWKRGQK >Potri.016G085900.6.v4.1 pep chromosome:Pop_tri_v4:16:6736189:6741708:-1 gene:Potri.016G085900.v4.1 transcript:Potri.016G085900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085900.v4.1 MQTPKAKTSSLEVPQKKSPATPRTRQLKTPGSENNSVSPNPASRTPKDRSPKVTERRLSQSPATEKKRPSRISELETQLAQLQEDLKKAKDQLNASESWKRRAHQEAEDTKKQLLTMSEKFEESQQQLMELSSSEDVRVQELRKVSHDRDKAWQSELEAMQKQHSIDSAALASAMNEVQRLKNQLEMVVESEASQTKHAESAYAELQGLRLELTETLSLVEKMKTELSDTRESEAQALELVSKTQKQLEEANATAEMLQADDVKAMEAYRSLSLELEQSRAQVKPLEELVSKLQADPANICGKTVMNPTGDVEVLHESVENEETKQLKAEMNLLKHEVGQLKSALEASETRYQEEYIQSTLQIRSAYEQVERTKLESGHREAELEAELKKAKNNIEELRANLMDKETELQGISEENEGLALKIEKNQPSERESELAMELKKLEHDLAELKASLLDKEARLQSVAEENETLWKEIKKGEMEKSKVNDEAVALAETARAAEHEALMKLGYLTEEADKSSRRVARVTEQLDAAQAANTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKFVERTGSLDNNYNPIPGNMGSPFSEDMDDDSPKKKNGNMLKKIGVLWKRGQK >Potri.016G085900.8.v4.1 pep chromosome:Pop_tri_v4:16:6736273:6741693:-1 gene:Potri.016G085900.v4.1 transcript:Potri.016G085900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085900.v4.1 MQTPKAKTSSLEVPQKKSPATPRTRQLKTPGSENNSVSPNPASRTPKDRSPKVTERRLSQSPATEKKRPSRISELETQLAQLQEDLKKAKDQLNASESWKRRAHQEAEDTKKQLLTMSEKFEESQQQLMELSSSEDVRVQELRKVSHDRDKAWQSELEAMQKQHSIDSAALASAMNEVQRLKNQLEMVVESEASQTKHAESAYAELQGLRLELTETLSLVEKMKTELSDTRESEAQALELVSKTQKQLEEANATAEMLQADDVKAMEAYRSLSLELEQSRAQVKPLEELVSKLQADPANICGKTVMNPTGDVEVLHESVENEETKQLKAEMNLLKHEVGQLKSALEASETRYQEEYIQSTLQIRSAYEQVERTKLESGHREAELEAELKKAKNNIEELRANLMDKETELQGISEENEGLALKIEKNQPSERESELAMELKKLEHDLAELKASLLDKEARLQSVAEENETLWKEIKKGEMEKSKVNDEAVALAETARAAEHEALMKLGYLTEEADKSSRRVARVTEQLDAAQAANTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKFVERTGSLDNNYNPIPGNMGSPFSEDMDDDSPKKKNGNMLKKIGVLWKRGQK >Potri.016G085900.1.v4.1 pep chromosome:Pop_tri_v4:16:6736185:6741782:-1 gene:Potri.016G085900.v4.1 transcript:Potri.016G085900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085900.v4.1 MQTPKAKTSSLEVPQKKSPATPRTRQLKTPGSENNSVSPNPASRTPKDRSPKVTERRLSQSPATEKKRPSRISELETQLAQLQEDLKKAKDQLNASESWKRRAHQEAEDTKKQLLTMSEKFEESQQQLMELSSSEDVRVQELRKVSHDRDKAWQSELEAMQKQHSIDSAALASAMNEVQRLKNQLEMVVESEASQTKHAESAYAELQGLRLELTETLSLVEKMKTELSDTRESEAQALELVSKTQKQLEEANATAEMLQADDVKAMEAYRSLSLELEQSRAQVKPLEELVSKLQADPANICGKTVMNPTGDVEVLHESVENEETKQLKAEMNLLKHEVGQLKSALEASETRYQEEYIQSTLQIRSAYEQVERTKLESGHREAELEAELKKAKNNIEELRANLMDKETELQGISEENEGLALKIEKNQPSERESELAMELKKLEHDLAELKASLLDKEARLQSVAEENETLWKEIKKGEMEKSKVNDEAVALAETARAAEHEALMKLGYLTEEADKSSRRVARVTEQLDAAQAANTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKFVERTGSLDNNYNPIPGNMGSPFSEDMDDDSPKKKNGNMLKKIGVLWKRGQK >Potri.016G085900.3.v4.1 pep chromosome:Pop_tri_v4:16:6736267:6740253:-1 gene:Potri.016G085900.v4.1 transcript:Potri.016G085900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085900.v4.1 MQTPKAKTSSLEVPQKKSPATPRTRQLKTPGSENNSVSPNPASRTPKDRSPKVTERRLSQSPATEKKRPSRISELETQLAQLQEDLKKAKDQLNASESWKRRAHQEAEDTKKQLLTMSEKFEESQQQLMELSSSEDVRVQELRKVSHDRDKAWQSELEAMQKQHSIDSAALASAMNEVQRLKNQLEMVVESEASQTKHAESAYAELQGLRLELTETLSLVEKMKTELSDTRESEAQALELVSKTQKQLEEANATAEMLQADDVKAMEAYRSLSLELEQSRAQVKPLEELVSKLQADPANICGKTVMNPTGDVEVLHESVENEETKQLKAEMNLLKHEVGQLKSALEASETRYQEEYIQSTLQIRSAYEQVERTKLESGHREAELEAELKKAKNNIEELRANLMDKETELQGISEENEGLALKIEKNQPSERESELAMELKKLEHDLAELKASLLDKEARLQSVAEENETLWKEIKKGEMEKSKVNDEAVALAETARAAEHEALMKLGYLTEEADKSSRRVARVTEQLDAAQAANTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKFVERTGSLDNNYNPIPGNMGSPFSEDMDDDSPKKKNGNMLKKIGVLWKRGQK >Potri.016G085900.9.v4.1 pep chromosome:Pop_tri_v4:16:6736359:6741693:-1 gene:Potri.016G085900.v4.1 transcript:Potri.016G085900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085900.v4.1 MQTPKAKTSSLEVPQKKSPATPRTRQLKTPGSENNSVSPNPASRTPKDRSPKVTERRLSQSPATEKKRPSRISELETQLAQLQEDLKKAKDQLNASESWKRRAHQEAEDTKKQLLTMSEKFEESQQQLMELSSSEDVRVQELRKVSHDRDKAWQSELEAMQKQHSIDSAALASAMNEVQRLKNQLEMVVESEASQTKHAESAYAELQGLRLELTETLSLVEKMKTELSDTRESEAQALELVSKTQKQLEEANATAEMLQADDVKAMEAYRSLSLELEQSRAQVKPLEELVSKLQADPANICGKTVMNPTGDVEVLHESVENEETKQLKAEMNLLKHEVGQLKSALEASETRYQEEYIQSTLQIRSAYEQVERTKLESGHREAELEAELKKAKNNIEELRANLMDKETELQGISEENEGLALKIEKNQPSERESELAMELKKLEHDLAELKASLLDKEARLQSVAEENETLWKEIKKGEMEKSKVNDEAVALAETARAAEHEALMKLGYLTEEADKSSRRVARVTEQLDAAQAANTEMEAELRRLKVQSDQWRKAAEAAAAMLSTGNNGKFVERTGSLDNNYNPIPGNMGSPFSEDMDDDSPKKKNGNMLKKIGVLWKRGQK >Potri.002G254100.1.v4.1 pep chromosome:Pop_tri_v4:2:24279009:24281466:-1 gene:Potri.002G254100.v4.1 transcript:Potri.002G254100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX54 MADTLTNLTPPLPLKSSRTLSSLRGLPICSTPLSNNSSSSLKTTSTCSRILSINGPKRYRPMSARATDSSSPSSSFGSRLEDAVKKTVAENPVVVYSKTWCSYSFEVKSLFKRLNVDPLVVELDELGAQGPQIQKVLERLTGQHTVPNVFIGGKHIGGCTDTVKLYRKGELEPLLSEANAKKSQG >Potri.014G039900.3.v4.1 pep chromosome:Pop_tri_v4:14:2578538:2583995:1 gene:Potri.014G039900.v4.1 transcript:Potri.014G039900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039900.v4.1 MGWLSKIFKGSRHNISRGHYHGNRGQDANYNAPSTSREAWLEQENEDIDRAIALSLLEDSQNGNIVIDNEIQVKEDEQLAKALQESLNVESPTRYGNGIPYQGNTYQPYPVHFPMGFRICAGCNTEIGQGRFLNCLNAFWHPECFRCHACSLPISDNEFSMTGNHPYHKSCYKERYHPRCEVCKLFIPTNPAGLIEYRASPFWTQKYCPSHEHDGTPRCCSCERMEPRDTGYISLNDGRKLCLECLDSAIMDTKECQPLYLDIQEFYERLNMKVEQHVPLLLVERQALNEARGGEKNGHYHIPETRGLCLSEEQTISTVSRRPRFGAGNRAMGMITEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWMRLKGIQTLSQDVEEGICQVLAHMWLDSELRSTSGSNVASSSASRTTKKGTRSPFERKLGEYFKHQIESDTSPVYGNGYRAGQQAVRKYGLERTLDHIRMTGKFPY >Potri.014G039900.4.v4.1 pep chromosome:Pop_tri_v4:14:2578538:2583920:1 gene:Potri.014G039900.v4.1 transcript:Potri.014G039900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039900.v4.1 MGWLSKIFKGSRHNISRGHYHGNRGQDANYNAPSTSREAWLEQENEDIDRAIALSLLEDSQNGNIVIDNEIQVKEDEQLAKALQESLNVESPTRYGNGIPYQGNTYQPYPVHFPMGFRICAGCNTEIGQGRFLNCLNAFWHPECFRCHACSLPISDNEFSMTGNHPYHKSCYKERYHPRCEVCKLFIPTNPAGLIEYRASPFWTQKYCPSHEHDGTPRCCSCERMEPRDTGYISLNDGRKLCLECLDSAIMDTKECQPLYLDIQEFYERLNMKVEQHVPLLLVERQALNEARGGEKNGHYHIPETRGLCLSEEQTISTVSRRPRFGAGNRAMGMITEPYKLTRRCEVTAILILYGLPRLLTGSILAHEMMHAWMRLKGIQTLSQDVEEGICQVLAHMWLDSELRSTSGSNVASSSASRTTKKGTRSPFERKLGEYFKHQIESDTSPVYGNGYRAGQQAVRKYGLERTLDHIRMTGKFPY >Potri.015G038300.1.v4.1 pep chromosome:Pop_tri_v4:15:3309338:3317929:1 gene:Potri.015G038300.v4.1 transcript:Potri.015G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G038300.v4.1 MRRASTLASSVLSRTLSSTLHDCSGLSTTSAATINHRFLHAALFSTTTSTGSSSTRRIFNPFSTYLGVAGALVSAAAAASLSQEVLAKEPPPAELVPKEVVLYQYEACPFCNKVKAYLDYYDIPYKVVEVNPISKKEIKWSDYKKVPILLVDGEQLVDSSAIIDKLGNKIHGKEIVDSASDKDDDEEKKWRRWVDNHLVHVLSPNIYRNTSEALESFDYITSNGNFSFTERITVKYAGAAAMYFVSKNLKKKYNITDERAALYEAVETWVDALNGREFLGGSKPNLADLAVFGVLRPIRYLRSGRDMVEQTRIGDWYTRMENAVGESSRMKA >Potri.012G007901.1.v4.1 pep chromosome:Pop_tri_v4:12:91060:95143:-1 gene:Potri.012G007901.v4.1 transcript:Potri.012G007901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007901.v4.1 MLYGTLHSNSTLFSLHHLQKLDLSDNDFQSSHISSPFGQFSNLTHLNLNFSGFAGQVPSEISQLSKLVSLDLSGNYYPSLEPISFDKLVRNLTQLRELDLSGVNMSLVGPNSLMNMSSSLSSLVLYSCGLQGKFPSSMRKFKHLQLLDLAGNNLKGPIPYDFEQLTELGSLALSGNENDYLSLEPISFDKLVQNLTQLRELDLSWVNMSLVVPNSLMNLSSSLSLLVLYSCGLQGKFPSSVRKFKHLQYLDLRYSNLTGSIPDDLGQLTELDSIDLSFNDYLSVEPSSFDKVIQNLTKLRELHLGYVNMPLVIPNSLANLSSSLSVLALWGCGLKGKFPGNIFLLPNLESLDLTYNDDLTGSFPSSNVSNALLLLGLSHTRISVYLENNFFNNLKSLQVLVLRNSNIIRSNLTLIGSLTQLTRLDLVGNNLEGQIPSSLGNLVQLQSLYLDNNNFSGRIPDFLGNLTHLENLGLSSNQLVGPFPSQISTLSLRLFDLRNNHLHGPIPSSIFKQENLEALALASNNKLTGEISSSICKLKFLRLLDLSNNSLSGFIPQCLGNFSNSLSILNLGMNNLQGTIFSPFSKGNNLGYLNLNGNELEGKIPLSIINCTMLEILDLGNNKIEDTFPYFLEMLPELHVLVLKSNKLQGFVNGPIANNSFSKLRIFDISSNNLSGPLPTGYFNSFEAMMDSDQNSFYMMARNYSDYAYSIKVTWKGFDIEFAKIQSTLRILDLSNNNFIGEISKVIGKLKALQQLNLSHNSLTGHIQSSIGMLTYLESLDLSSNFLTGRIPVQLADLTFLGVLNLSHNQLEGPIPSGNQFNTFNASSFEGNLRLCGFPMPNCNSDEAPPLQPSNFHDGDDSAFFGDGFGWKAVAIGYGSGFVFGVTVGYVVFRTRKPAWFMKVVEDLWNLKTRRTKKNARRNGARRN >Potri.001G059100.1.v4.1 pep chromosome:Pop_tri_v4:1:4515883:4518771:-1 gene:Potri.001G059100.v4.1 transcript:Potri.001G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G059100.v4.1 MKLLGWMHRKLRQNGSETLKDFAIGNPCNCLIGQPSLDDQQYYTKPNYGTRTFRQAQKEHLRKSFAGLEAARVEEEEGEEEEDFEEESSAAISELFHGFLAIGTLGSEPVNTDPSTPTFPISVENITEKETEVTENELKLINDELEKVLAEDCSNDSSGRNSHVSAGRSSHGSTITLSGKPMEGRDSNAVCPLQGYLFGSAIELSETAPVAKKEHRTSLGELFQKTKIAEENYGVKFEREEKRVEKEADKSAVNLMKKILKKKMLHASSRSSTSAGGATVDSASAETKLHKILHMFHRKVHPESSTSTRKADKPPKTENKKSNNNGGNNNGGQMLLDEDITIVPRTLSKRSIRRFKSQSNPPHFMFTGCDSNGSRECWIKTDADYLVLEL >Potri.018G113300.1.v4.1 pep chromosome:Pop_tri_v4:18:13041220:13044530:-1 gene:Potri.018G113300.v4.1 transcript:Potri.018G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113300.v4.1 MAGGHFGPAGVAKERAGQYQGKVTFSVIIACVVAAVGGSLFGYDIGISGGVTSMDGFLMKFFPGVYEKKQHVHENNYCKYNNQGLSAFTSSLYLAGLVASLVASPVTRIYGRRASIICGGVSFLIGATLNASAINLAMLLLGRIMLGVGIGFGNQAVPVYLSEMAPTHLRGALNMMFQLATTTGIFTANMINYGTQKLEPWGWRLSLGLAAVPAVLMTVGGIVLSETPNSLIERGMQDEGRKVLEKIRGTKNVDAEFEDMVDASELANSIKHPFRNILTKRNRPQLVMAILLPAFQILTGINSILFYAPVLFQSMGFGGNASLYASAVTGGVLCSSTFITIATVDRVGRRFLLISGGIQMIICQVIVSVILRLKFGENQHLSKGFSVLVVVMICLFVLAFGWSWGGLGWTIPSEIFPLETRSAGQGITVAVNLLFTFAIAQSFLSLLCAFKFGIFLFFACWVLLMTIFVYFFLPETKGVPIEEMIFMWRKHWFWKRIVPGNPNDEDGSTELGERVERTTE >Potri.013G062900.1.v4.1 pep chromosome:Pop_tri_v4:13:4670000:4673098:1 gene:Potri.013G062900.v4.1 transcript:Potri.013G062900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062900.v4.1 MEGGGGTLSEIYQSAKKLLMRAQDGIERLERLENSTSSGGLDSPELSFVVKKDISQVLSLCADMDRLWRSVQAKPQRDLWRRKVELVAEEAGSLKESLDRYFARNQRRMKEAQERAELLGRANGDSAHVLRIFDEEAQAMQSVHNSKRMLADSISTGAAILSKYSEQRERLKRAQRKALDVLNTVGLSNAVLRLIERRNRFDRWIKYFGMLITLVILYFLVRSSG >Potri.010G032101.1.v4.1 pep chromosome:Pop_tri_v4:10:5217086:5217613:1 gene:Potri.010G032101.v4.1 transcript:Potri.010G032101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G032101.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVSQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSVIYGENNASLHEALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRVRRVYYVGWYYGQIGYMYSRSESR >Potri.003G211532.1.v4.1 pep chromosome:Pop_tri_v4:3:20917331:20917850:-1 gene:Potri.003G211532.v4.1 transcript:Potri.003G211532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211532.v4.1 MISDFGLAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPVTTKVDTYSFGILLLELVCCRKNFEINAMQEDQIVLADWACDCLKEGKLNLLVEEDEEAMEDMKRVERFVMVAIWCIQEDPSLRPGMKKVVQMLEGSVQVSVPPDPSSFISTI >Potri.019G029050.1.v4.1 pep chromosome:Pop_tri_v4:19:4099576:4100433:1 gene:Potri.019G029050.v4.1 transcript:Potri.019G029050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029050.v4.1 MKRRKKTKKYVRDNGLQGWNVCGDLEGFQLSFISKDIWAQYIQHVMSEQFTRCSQFGTDNQNRQIHSYVSWM >Potri.001G017100.3.v4.1 pep chromosome:Pop_tri_v4:1:1261437:1265495:-1 gene:Potri.001G017100.v4.1 transcript:Potri.001G017100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G017100.v4.1 MEQEETDCTHQPGSTPSSAQVPPSSSFVTLSPFPPIPSPSSRRRLSSHFTPTRAITSSLRLAWVSLRGRLVNAEEASSANAIGLRLEDGVAWELFSPAQRFLIVAVIGVAVSESKKNGIINQLKKSVELRDQVLSSMQQKLDNLCDQLSNINSQAGTKANASFNNKNVEPPSNDVFGCDKIKFVDCGCWHCDQHQDLLAGLMGNSVVKVSRGDEVLQYKMPFINEVEHEERRMSDLSDWASSVTSAADMQMNVFAIDQDICNLKRECEEKDATIKELAGILQSNNMAGSKRIGELEDIICRKNTTITRLRKDMMVLEQKVVNLTRLRRPSSSSFSISDSSKLPLMVNNVVYDMDSAASPSSSDSDSSPVNRPQAPAAKIEETPVQSTELGLTKNQKSAPAKASSSLVGLTEFHIQSRSENPLKEISANQKSIGLPSSRSKQLSAEGDIRKIRRRTQSATKNTSSNKRWV >Potri.003G066300.1.v4.1 pep chromosome:Pop_tri_v4:3:9336991:9339544:1 gene:Potri.003G066300.v4.1 transcript:Potri.003G066300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066300.v4.1 MVLKKFTWKSIVLGCLRGERSRPEPKQTCSQRLSLSDLSNPVSPISFSDLSISIFNLHVFTLKELQTVTNEFSKSNYLGEGGFGAVYKGFIDDKLRPGLKAQPVAVKVLDLDGSQGHREWLAEIIFLGQLKHRHLVNLIGYCGEEEHRLLVYEYIERGSLENKLFNRYSAALPWLTRLKIAVGTAKGLAFLHEEEKPVIYRDFKASNVLLESDYNAKLSDFGLAMDGPEGDDTHVTTPVMGTEGYAAPEYIMTGHLTTMSDVFSFGVVLLELITGRRSVDKNRPNREQNLVKWARPQLKDPRKLDQIMDPRLEGQYSSEGARKAAALAYQCLSHHPKSRPTMRTVVKTLEPLLALTDIPIGPFVYIVPNEGKILSDLEKKGKESTDECDEIRNGNTCEGKQVEIKEKGCDRHRKGHRHRRRTKSLRSRAVYSDTTLYKTIGTGLYSPRN >Potri.005G166600.1.v4.1 pep chromosome:Pop_tri_v4:5:16681754:16683429:1 gene:Potri.005G166600.v4.1 transcript:Potri.005G166600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G166600.v4.1 MTEQVPTVPHSDAIPKIHDPVATSNQPFFEPGTYVIQIPRDQIYRVPPPGNASVAQRQRNPHQKKHKSCCGCSCFWCCFIAIASGIAVAVTIVGLSFILLKPKDPEFQVQRFVVKNPQVSKHKYSYTNYDIRLNVHNSNRRSSILYQQGGAVSLSFRQQNVATGKFPTFHQGHKNSTDIGIVLKGTGVGLPKDVQNSLRNRKSKVPDSFSLKMNVPVKMKTSGFKTGRAEIVVTCDFTVQSLAQDTHILSQECQTNR >Potri.019G124200.1.v4.1 pep chromosome:Pop_tri_v4:19:14854275:14860148:-1 gene:Potri.019G124200.v4.1 transcript:Potri.019G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124200.v4.1 MMGSDNNQSFQDDKEEMESLTLDDPLSSTTNSNYMSFADYRSATSSLSATHQLNHPFSPTDSDPLLSPPPPPYSATESGSYIEPPAYADVVFSPFDETSVNEINGVDRNHSLNRSSSSDSSQSFSISRSLSTASNSSSDFMKITVSNPQKEQEAANSLVPGGNTYVTYLITTRTNLPDFDRTEFSVRRRFRDVVTLSDRLAESYRGFFIPPRPDKNVVESQVMQKQEFVEQRRIALEKYLRRLVAHPVIKKSDELKVFLSVQGRLPLATSTDVASRMLDGAVNLPKQLFGESVAVAPSEVVQPAKGGRDLLRIFKELKQSVANDWGGVKPAVVEEDKEFLENKERMQDLEQQLSNASQQAEGLVKAQQDMGETMGELGLAFIKLTKFENEEAVFNSQRVRAADMKNVATAAVKASRFYRELNAQTVKHLDTLHEYLGLMLSVHGAFSDRSSALLTVQTLLSELSSLHSRAEKLEAASSKIFGGDKSRIRKIDELKETIRATEDAKHVATREYERIKENNRSELERLDKERHADFLNMLKGFVLNQVGYAEKIANVWAKVTEETSVYVKESS >Potri.014G091000.1.v4.1 pep chromosome:Pop_tri_v4:14:5908193:5911271:1 gene:Potri.014G091000.v4.1 transcript:Potri.014G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091000.v4.1 MSTPTTTIPSTISATSPPPPANYLTNLGLGYSIAIALFFLVLLSTILLASYICCRTNRHRSRNSNNNNNNYANSTADGIILPRIIFVAEDDEEQERDLESAAVGLNQAVINSYPKFQFSKDGGFSERTNNFCNTCSICLCEYKDLEMLRMMPDCRHYFHLLCLDAWLKLNGSCPVCRNSPLPTPLSTPLSEVVPLSQYAADRRRR >Potri.010G182700.2.v4.1 pep chromosome:Pop_tri_v4:10:18079043:18080324:1 gene:Potri.010G182700.v4.1 transcript:Potri.010G182700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182700.v4.1 MLFCRPHVWTQSFLSSQHHGNKGSFIIKCDKFHQSSKESNAPLNLLINLYASSTGHFANIDVCFLISLPLDFLSLFLFQCTIHANFTNLQFSSNRMANVVELKVGLHCDECIKKILKAIKKIQDIETYDIDTQLNKVTVTGNVSSEEVIRVIHKIGKTATTWEAEGDGVTC >Potri.017G088200.1.v4.1 pep chromosome:Pop_tri_v4:17:10117598:10123855:-1 gene:Potri.017G088200.v4.1 transcript:Potri.017G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088200.v4.1 MGCIYSKRAVSVAAATPANLQPPYTATVFDLASENPSGSLDFEQNHFSKDKKDKSYNIYRKNSLSYKNGGHNEGGVIYEDQQRRRHHHQSSNHGNNKNLRKRGSSGSGSISFKLGFSSRNVGVEQVAAGWPSWLSAAAGEAIHGWVPLRAEAFEKLDKIGQGTYSSVFQARDVETGRMVALKKVRFDNFKPESIRFMAREIMILRRLDHPNIMKLEGIITSRLSSSIYLVFEYMEHDLSGLLSSPDIKFTESQVKCYMKQLLCGIEHVHSLGIMHRDIKASNILLNNEGILKIGDFGLANVLNSRNQNQLTSRVVTLWYRPPELLMGSTSYGVSVDLWSVGCVFGEILFGKPLLKGRTEVEQLHKIFKLCGSPSDDFWKRSKLSNATMFKPQHPYESSLQERCKDIPAAALNLMETLLSIEPEKRGTASAALLSQYFRTTPYACEPSSLPQYPPNKEMDAKYREEARRKKAGSRMRDPGLPRKPRRVHRTFQEQNFNKFAPKEEVKDNSEFVRLANDNNAYAKGRKGASREQNLFSDTISETAQATKGHYSFTGPAQVTASSGFAWAKTRKEDSTSTVSYDPSVSSSQISAEDSSRFNFANSSFDFTKAENGRNNFLEDSAKHVMQKQHNQSDPFDSLNASEAYYFNDLNRTEDAVVDHSKEREKIEFSGPLLFRPNKIEELLQRNESQIRRAARRTRLATEM >Potri.005G087000.1.v4.1 pep chromosome:Pop_tri_v4:5:6059077:6060053:1 gene:Potri.005G087000.v4.1 transcript:Potri.005G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087000.v4.1 MAKISRNHLVLVAFFLLCFVSTCARAARTLREVNNHEVEKKDHNNLFPSKENGLHDVDELAGMDYTPASKKPPIHN >Potri.005G087000.2.v4.1 pep chromosome:Pop_tri_v4:5:6059087:6060053:1 gene:Potri.005G087000.v4.1 transcript:Potri.005G087000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087000.v4.1 MAKISRNHLVLVAFFLLCFVSTCARARTLREVNNHEVEKKDHNNLFPSKENGLHDVDELAGMDYTPASKKPPIHN >Potri.001G370900.3.v4.1 pep chromosome:Pop_tri_v4:1:38861418:38867262:-1 gene:Potri.001G370900.v4.1 transcript:Potri.001G370900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370900.v4.1 MSNRGGGGSFVTIPKNVKKTIQSIREITGKQHSDEDIYSVLQDCAMDLDDTAQKLLYLDTFHEVKRKHDRRKGAQDRGARGGRGSYSGAGGGRNAAARRENGVDCMADRNASTSSHSMQKTNNNAAIPGTKDLTATPHGPSTLSNGSSILGYGPKLPADAGSSVLDVKKLDASSPLPAASPSAPTQISISGMQSKEGKSTSFPNGLPTSTTPASVSGSVSSFSDPILAPSMTRNPGAAGTITHEVGSQKKGAEQNHIQGNKKVSPIKPKAAGKNQLSESLQPSTLFAYDDSLVVKSSSNDSHSSEELALPLKTVLSEDAEAKVSSQSLPEPIISNGHVKFPNHYKVPEALKSGLTFGSFDTNSGPRKEYSNGDLTFGSFDTNSGPGAKCSNGIDGDINSMHAIELAHLTDETAMEPSSNDSGSTPVQFNHSDQPESPIHVLEKVSISEGNVDPSADSGAVQPKQDEMLLPEGHQSSTVQIAPNYGFGIMPPMQAAHLVPFAGHETQAWDVSQTTGFVGKNSMASSTPSPSQQMQNSVAASPHPLLFRPPYPPNYLQYGHYFNPYFLPPMHQFLSHNGLPQQPSTGNAYLTAAPTAAGVKFPLPQFKPGTSAGSPAPIALPILYGSYGSSSTGFNPSPAVTSGSSTGNDDLSASQLKERNIYTTGPLSEISSWIPPPGQDISSLQLSSLYHLHPQGQHLTFSPQAGLAAFPGIYPPVQTVAAPSAVNQLTQQSQTMPTTAEPVVPPPGPYQQPQLTQINWNS >Potri.001G370900.2.v4.1 pep chromosome:Pop_tri_v4:1:38860877:38867325:-1 gene:Potri.001G370900.v4.1 transcript:Potri.001G370900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370900.v4.1 MSNRGGGGSFVTIPKNVKKTIQSIREITGKQHSDEDIYSVLQDCAMDLDDTAQKLLYLDTFHEVKRKHDRRKGAQDRGARGGRGSYSGAGGGRNAAARRENGVDCMADRNASTSSHSMQKTNNNAAIPGTKDLTATPHGPSTLSNGSSILGYGPKLPADAGSSVLDVKKLDASSPLPAASPSAPTQISISGMQSKEGKSTSFPNGLPTSTTPASVSGSVSSFSDPILAPSMTRNPGAAGTITHEVGSQKKGAEQNHIQGNKKVSPIKPKAAGKNQLSESLQPSTLFAYDDSLVVKSSSNDSHSSEELALPLKTVLSEDAEAKVSSQSLPEPIISNGHVKFPNHYKVPEALKSGLTFGSFDTNSGPRKEYSNGDLTFGSFDTNSGPGAKCSNGIDGDINSMHAIELAHLTDETAMEPSSNDSGSTPVQFNHSDQPESPIHVLEKVSISEGNVDPSADSGAVQPKQDEMLLPEGHQSSTVQIAPNYGFGIMPPMQAAHLVPFAGHETQAWDVSQTTGFVGKNSMASSTPSPSQQMQNSVAASPHPLLFRPPYPPNYLQYGHYFNPYFLPPMHQFLSHNGLPQQPSTGNAYLTAAPTAAGVKFPLPQFKPGTSAGSPAPIALPILYGSYGSSSTGFNPSPAVTSGSSTGNDDLSASQLKERNIYTTGPLAMQSEISSWIPPPGQDISSLQLSSLYHLHPQGQHLTFSPQAGLAAFPGIYPPVQTVAAPSAVNQLTQQSQTMPTTAEPVVPPPGPYQQPQLTQINWNS >Potri.001G370900.1.v4.1 pep chromosome:Pop_tri_v4:1:38861418:38867264:-1 gene:Potri.001G370900.v4.1 transcript:Potri.001G370900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370900.v4.1 MSNRGGGGSFVTIPKNVKKTIQSIREITGKQHSDEDIYSVLQDCAMDLDDTAQKLLYLDTFHEVKRKHDRRKGAQDRGARGGRGSYSGAGGGRNAAARRENGVDCMADRNASTSSHSMQKTNNNAAIPGTKDLTATPHGPSTLSNGSSILGYGPKLPADAGSSVLDVKKLDASSPLPAASPSAPTQISISGMQSKEGKSTSFPNGLPTSTTPASVSGSVSSFSDPILAPSMTRNPGAAGTITHEVGSQKKGAEQNHIQGNKKVSPIKPKAAGKNQLSESLQPSTLFAYDDSLVVKSSSNDSHSSEELALPLKILSEDAEAKVSSQSLPEPIISNGHVKFPNHYKVPEALKSGLTFGSFDTNSGPRKEYSNGDLTFGSFDTNSGPGAKCSNGIDGDINSMHAIELAHLTDETAMEPSSNDSGSTPVQFNHSDQPESPIHVLEKVSISEGNVDPSADSGAVQPKQDEMLLPEGHQSSTVQIAPNYGFGIMPPMQAAHLVPFAGHETQAWDVSQTTGFVGKNSMASSTPSPSQQMQNSVAASPHPLLFRPPYPPNYLQYGHYFNPYFLPPMHQFLSHNGLPQQPSTGNAYLTAAPTAAGVKFPLPQFKPGTSAGSPAPIALPILYGSYGSSSTGFNPSPAVTSGSSTGNDDLSASQLKERNIYTTGPLAMQSEISSWIPPPGQDISSLQLSSLYHLHPQGQHLTFSPQAGLAAFPGIYPPVQTVAAPSAVNQLTQQSQTMPTTAEPVVPPPGPYQQPQLTQINWNS >Potri.008G004300.1.v4.1 pep chromosome:Pop_tri_v4:8:171263:177630:-1 gene:Potri.008G004300.v4.1 transcript:Potri.008G004300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004300.v4.1 MATESPMRMVESGGARKWSSSKDSAVLGSPLRSMAAEELGLLLKRQGFHGDETDTIPSRSGSAPPSMEGSFAAIGNLLAQHNSGMSSSLESLGSVIENCESEEQLRSDPAYFAYYCSNVNLNPRLPPPLLSRENRRLVHHIGGFGNNWRPESGNGSLQLPKSSLSTHKEEPNEDRSPRGASENSGVYISGQNTTSLAGRHKSLVDLIQEDFPRTPSPVYSQSRSSSHAAEVGIDHDVHAISSNVSSASMSKISESNAGSDVCVDTYALEVDALRLVSINDPPSADLPTSPCRAGTPTQQKGESSTKGTGFEVDASIRGSRQSGSARMELRTKNKQDQQTYGRNIPQHHSHSQQGIPHQVQVISQGTNPSHSSMGKPSHGYPKFSSTEVLTSSHSPAMNPPFYAPQGAYMTAGTPFYQPSSVYPPQYNMGGYAVGSAFISPYMPGFPSHSTIPVSFGGAPGPSNDGRTADASAVQQIGSLQHLAKFYGQHGLMLQPSFVDPLHAQLFQHPFGDVYSATPHNRLASSGTTGPQIDSFIPQKDLAAAAHMANQKVLTSTNGGLSIPVPGKIGISGGSYYGGPPSMGVITHFPASPLTSPVLPSSPVGGVNHLSRRTDLRFPQGSNRNAGLYFRGQEQRAVNSADDPKRHYFLEELKSNNARKFELSDVAGRIVEFSVDQHGSRFIQQKLENCNVEEKESVFKEVLPHAPKLMTDVFGNYVIQKFFEHGSPEQRMELAEKLSGQILQLSLQMYGCRVIQKALEVIELDQKAKLAQELDGHVMRCVHDQNGNHVIQKCIECVPAEHIEFIISAFRGQVVTLSTHPYGCRVIQRVLEHCSDELQSQCIVDEILESSYLLAQDQYGNYVTQHVLERGKPHERSQIISKLTGKIVQMSQHKYASNVVEKCLKHADAAERELMIGEIIGQSEENDNLLIMMKDQFANYVVQKILETSNDKQKEILLSRINAHLNALKKYTYGKHIVARFEQLCVEEGQVLET >Potri.008G004300.2.v4.1 pep chromosome:Pop_tri_v4:8:171296:177566:-1 gene:Potri.008G004300.v4.1 transcript:Potri.008G004300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G004300.v4.1 MATESPMRMVESGGARKWSSSKDSAVLGSPLRSMAAEELGLLLKRQGFHGDETDTIPSRSGSAPPSMEGSFAAIGNLLAQHNSGMSSSLESLGSVIENCESEEQLRSDPAYFAYYCSNVNLNPRLPPPLLSRENRRLVHHIGGFGNNWRPESGNGSLQLPKSSLSTHKEEPNEDRSPRGASENSGVYISGQNTTSLAGRHKSLVDLIQEDFPRTPSPVYSQSRSSSHAAEVGIDHDVHAISSNVSSASMSKISESNAGSDVCVDTYALEVDALRLVSINDPPSADLPTSPCRAGTPTQQKGESSTKGTGFEVDASIRGSRQSGSARMELRTKNKQDQQTYGRNIPQHHSHSQQGIPHQVQVISQGTNPSHSSMGKPSHGYPKFSSTEVLTSSHSPAMNPPFYAPQGAYMTAGTPFYQPSSVYPPQYNMGGYAVGSAFISPYMPGFPSHSTIPVSFGGAPGPSNDGRTADASAVQQIGSLQHLAKFYGQHGLMLQPSFVDPLHAQLFQHPFGDVYSATPHNRLASSGTTGPQIDSFIPQKDLAAAAHMANQKVLTSTNGGLSIPVPGKIGISGGSYYGGPPSMGVITHFPASPLTSPVLPSSPVGGVNHLSRRTDLRFPQGSNRNAGLYFRGQEQRAVNSADDPKRHYFLEELKSNNARKFELSDVAGRIVEFSVDQHGSRFIQQKLENCNVEEKESVFKEVLPHAPKLMTDVFGNYVIQKFFEHGSPEQRMELAEKLSGQILQLSLQMYGCRVIQKALEVIELDQKAKLAQELDGHVMRCVHDQNGNHVIQKCIECVPAEHIEFIISAFRGQVVTLSTHPYGCRVIQRVLEHCSDELQSQCIVDEILESSYLLAQDQYGNYVTQHVLERGKPHERSQIISKLTGKIVQMSQHKYASNVVEKCLKHADAAERELMIGEIIGQSEENDNLLIMMKDQFANYVVQKILETSNDKQKEILLSRINAHLNALKKYTYGKHIVARFEQLCVEGQVLET >Potri.005G072100.1.v4.1 pep chromosome:Pop_tri_v4:5:4756341:4764311:-1 gene:Potri.005G072100.v4.1 transcript:Potri.005G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072100.v4.1 MWVLYLIWLPLTLGMVILTARYFAGPEVPRYVFFTVGYTWFCSLSIIILVPADIYTTKFDLDREGISFFWSWSYWSTFLLTWGAVPLIQGFEDAGDFTVMERLKTSVRANLVFYLIVGAIGLFGFILLITMNKIRIGNVLAVAMACSNTFGLVTGAFLLGFGLSEIPKSLWRNSEWSVRQKVLSHKIAKMAVKLDDAHQDLSNAIVVAQATSNQMSKRDPLRPYMDVIDNMLAQMFKQDPSFKPQGGRLGENDMDFDTDEKSMATLRRHLQGAREEYYRCRSEYMTYVMEALELEDTVKNYERSSSTGWKYISSFRPARTGKLGASFDRMEFLWQCILRKQLEKVLAVILGTMSATILLAEATILIGGVDLSLFSILINSAGKQELPMQVLAFVPLMYMCICTYYSLFKIGMLMFYSLTPRQTSSVSLLMICSMVARYAPPISYNFLNLINLDPKQTIFEKRMGKIDNAVPFFGNDFNRIYPLIMVIYTLLVASNFFDRVIGFFGSLNRFRFQTEADGTDGFDPSGLIILQKERSWLDQGLKVGELVVPLARNFNGVDVETGSNSTDRTAAGMKATSSLASDERKGSRARPLKESQRYNTSKEAISNKYAAVRAQSRHANTRPVENIASAKVPLLDAGSSHSHNTTAGPLAGLAFKWESMKNGFQSFKVNIGANKFLPLRQAQEPQLGSHDSSSQPLDEIFQRLKRPSADHGSLSDDDD >Potri.002G075850.1.v4.1 pep chromosome:Pop_tri_v4:2:5299867:5300094:1 gene:Potri.002G075850.v4.1 transcript:Potri.002G075850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G075850.v4.1 MLVSWRQKCLLFTLLAFIVLSSEASRLSKSNWEQMMPKKLPAPSSSPSKGTNSVSRSSLTMVKADSNLPSSDGKV >Potri.008G157900.7.v4.1 pep chromosome:Pop_tri_v4:8:10827044:10828175:-1 gene:Potri.008G157900.v4.1 transcript:Potri.008G157900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157900.v4.1 MATSTVMQTVLATPVPSGLVKNRSRVSNLFSATFVPRLRGSASKRVQCSKLDDQIKKLAEPSSTPKPKGSTKFLDLFAFSGPAPERINGRLAMIGFVAAMAVELSKGQDLSYQISNGGVSWFLGTSILLSVASLIPLFKGVSAESKSEGVMTSDAEMWNGRFAMLGLVALAYTEYVSGGTLL >Potri.009G063300.2.v4.1 pep chromosome:Pop_tri_v4:9:6455219:6456487:-1 gene:Potri.009G063300.v4.1 transcript:Potri.009G063300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G063300.v4.1 MILTYSTKYHDGTTTYGGYSDSMVTDEHFVIRIPDNLPLDAAAPLLCAGITVYSPLRFFNLDKPGMHVGVVGLGGLGHVAVKFAKAMGVKVTVISTSPKKKQEALEHLGADSFLVSRDQDEMQAAVGTMDGVIDTVSAIHPILPLISLLKTQGKLVLVGAPEKPLELPVFPLIMGRKIVGGSTIGGMKETQEMIDFAAKNNITADIEVISMDYVNTAMERLSKTDVRYRFVIDIGNTMKI >Potri.004G006800.1.v4.1 pep chromosome:Pop_tri_v4:4:421176:421833:1 gene:Potri.004G006800.v4.1 transcript:Potri.004G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006800.v4.1 MKLLKLFIALSTIASTVTALHLDSYNENSNRQSELSLSEIQEVTSLRGVGRVLAQQNLIANLTCNKFPRICRVKTSPGPDCCNKKCVNVKKDRLNCGMCGHKCKYTEICCKGQCVNASFDKRNCGGCNKKCKKGEFCVYGMCSYA >Potri.005G094900.1.v4.1 pep chromosome:Pop_tri_v4:5:6660023:6663568:-1 gene:Potri.005G094900.v4.1 transcript:Potri.005G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094900.v4.1 MDQSEQTQQQQSQQQQQQPVMGVAASAGQVAYASTPYQTAPMVASGTPSIAIPSQTQPPATFSNSPHQLTYQQAQHFHHQQQQQQLQMFWTNQMHEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKSTIPVVGSPADLPYYYVPQHPVGPPGMIMGNPVDQAATYGAQQPRPPMAFMPWPQPQPQQPQQRQSDT >Potri.010G122400.1.v4.1 pep chromosome:Pop_tri_v4:10:14031237:14032186:1 gene:Potri.010G122400.v4.1 transcript:Potri.010G122400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122400.v4.1 MAELDYETKHNSTSTRLKLFGFNVSEDEEQITTTDSLKSSSCNSPDDSSFATATTTVPATASGDSRKYECQYCCREFANSQALGGHQNAHKKERQQLKRAQMQATRNAAAAAVSFGRNPMISAFAPPPHLLAQAAGQVMVPAASPSWVYLPRAATPFHVSHGCVFPSGVSGRGGGGLPYGDSVGDFGFSTSASGPHQQLQSRAHFSSVVDDHSGPTSLSRFSEGDDGPNFDEKLGLDLHLSLAPAGP >Potri.005G228400.1.v4.1 pep chromosome:Pop_tri_v4:5:22834252:22837476:1 gene:Potri.005G228400.v4.1 transcript:Potri.005G228400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228400.v4.1 MADQRPFRFRLPWLSVAPAPRPTAEPQPPRPTVQIQAPARPTTTIPIQRPPFRPAGIAPAPTPTTQITALVRTEPQPPPRAAAESQATSQLSSPSRATIPTRAGSEPPSPSRETRAASVPPSPSRATPQSRAASVPPSPSRATTQTQAASQTQSPSRATPQSRTASVPPSPSRTTSQPRTAALAVQQPESPSRLASRVPGKTSSQPSSPSKIATQVQPTVSRSPSKKLQLATQETSQPPPTSTQSATQQQETNPALSFPLSQVPQEKTEIRAENVSRQQQQSEPVQASGVVRAATATPTSVAALEIPAASQKSDSYTIGPDHPMNLSEQLKNVKEDIFERKKTTVSSNGETAKSARARYVLGESHQKSSMSNGEKVPLHKEIREDISKFVHKLGMEHIKHPIGEKPVSIVTLAGENRGASMYEGSEPTRKDGSVHIHHGYKINPDESSENPTDGEGSSKGGKFKDLLTKEDPAMKAYINSNTQSVNNSILFESSLNERSPGVQLHLSHNDEEPSEYSAKPGPLETHKGEFKVTPAEMLTHEPTVK >Potri.001G470600.2.v4.1 pep chromosome:Pop_tri_v4:1:49393540:49406507:-1 gene:Potri.001G470600.v4.1 transcript:Potri.001G470600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470600.v4.1 MHLYNAWLPPPVAEETKKEKDSFRRVLNSVKDSYKPDDPDSVYSTLKWVSVLELFIKAKSELNLEDVAELVQIGIELFNISQNKLYAQVRWGNLLVRVLNKYRKKLAFKVQWRPLYDTLIHTHFSRNTGPEGWRLRQRHFQTITSLVRSCRRFFPVGSALEIWNEFSSLLENPWHNSAFEGSGFVRLFLPTNLENQDFYTDAWVKKSLDSWDSIPNSQFWNNQWAAVIARVIKNYNFINWECFLPTLFSRYLNMFEVPVANGSASYPFSVDVPRYTRFLFSNKTATPAKAIAKSIVYLLKPGSAAQQHFEKLINLLEQYYHPSNGGRWTYSLERFLLNLVITFQKRLQREQQSTDSSRQADMFLGRSERTFFVNVLLKLLDRGQYSKDEHLSETVAAATSILSYVEPTLVLPFLASRFHLALETMTATHQLKTAVMSVAYAGRSLCLTSLSRIGKQEDCGGGDDAYVDLLTISLSNALLGMDANDPPKTLATMQLLGSIFSNIATLDDNTDQLSFLPMIQFSEWLDEFLCRLFSLLQHLEPGSVLNEGLHSSATSGTFLVDDGPFYYCMLEILLGRLSKPLYNQALRKIAKFVRTNILPGAVAEVGLLCCACVHSNPEEAVASLVDPILSSVISSLKGTPATGFGGSGIPDAKVSIKAKPTISPALETAIDYQLKILSVAINYGGPALLRYKNQFKEAIALAFESPSWKVNGAGDHLLRSLLGSLIVYYPIDQYKCISWHPAATALEEWISAKDYNSDGPLMGPKWHVPSDDEVQFANELLNLHFQSALDDLLKICQNKIHSDAGNEKEHLKVTLLRIDSSLQGVLSCLPDFSPSSRNGIVEDTSHTSFLIAGATGSSVGSTGLREKAAEIIHAACKYMLEEKSDDSILLILIVRIMDALGNFGSLEYEEWSNHRQAWKLESAAILEPPVNFIVSSHSRGKKRPRWALIDKAYMHSTWRSSQSSYHRFRSSGNFSPPDHAILLMDDLLNLSLHSYETVRALAGKSLLKMIKRWPSMISNCVLSLTEHLKNPSSPEYAVLGSCTILSMQTVLKHLTTDPKALSSFLLGILSSSHHESLKAQKAINELFVMYNIQFSGVSRSIFRTSDNHIDGPNFADLVSQIGSMSFDSTGLHWRYNLMANRVLLLLAMGSRNVPNISSKILSETAGHFLKNLKSQLPQTRILAISALNTLLKESPYKLSAENQSAVSEELQTHVKSSLEGALSEIFQEEGFFNETLNSLSHVHIITDTESTSSRGSHRNSSIQSLADKSITRFYFDFSSSWPRTPSWISLLGSDTFYSSFARIFKRLIQECGMPVLLALKETLEEFANAKERSKQCVAAEALAGVLHSDVNGLLGAWDSWITVQLQSIILSQSVESIPEWAACIRYSVTGKGKYGTRVPILRKQILDCLMKPLPPALNTTVVAKRYTFLAAALIEISPQKMPMAEIELHNKLMNELLDNMCHSSAQVREAIGVTLSVLCSNIRLQLSSAHDYSREGGSEIDNQLKEEKWVFVLTDRASDVVTNIQNTSPADNLETDGHIALQNRSLNGDALDDVKWMETLFHFIISTLKSGRSSYVLDVIVQFLYPVLSLQETSNKDLSTLAKACFELMKWRIFLAPHLQRAVSVILSSANDSNWRTRSATLTYLRTFMYRHTFILSNVEKQQIWSTVESLLRDNQVEVREHAAAVLAGLVKGGNEDLARDFRERAYLEAKTIIQMKRKQRNLKNHQSVASIHGAVLALVASVLSVPYDMPSWLPEHVTLLARFGGEPSPVKSAVTKAIAEFRRTHADTWNVQKDSFTEEQLEVLADTSSSSSYFA >Potri.008G144700.1.v4.1 pep chromosome:Pop_tri_v4:8:9838663:9842199:-1 gene:Potri.008G144700.v4.1 transcript:Potri.008G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144700.v4.1 MGVQDLWVLFLVLGFLMFRAQVLQSQNLTCNQDDLKALQDFMRGLQLPIQGWGATNSSSPDCCNWLGITCNSSSSLGLVNDSVDSGRVTKLELPKRRLTGELVESIGSLDQLRTLNLSHNFLKDSLPFSLFHLPKLEVLDLSSNDFTGSIPQSINLPSIIFLDMSSNFLNGSLPTHICQNSSGIQALVLAVNYFSGILSPGLGNCTNLEHLCLGMNNLTGGISEDIFQLQKLKLLGLQDNKLSGNLSTGIGKLRSLERLDISSNSFSGTIPDVFHSLSKFNFFLGHSNDFVGTIPHSLANSPSLNLLNLRNNSFGGIIDLNCSALTNLSSLDLATNNFSGPVPDNLPSCKNLKNINLARNKFTGQIPESFQHFEGLSFLSFSNCSIANLSSALQILQQCKNLTTLVLTLNFHGEELPDNPVLHFENLKVLVMANCKLTGSIPQWLIGSSKLQLVDLSWNRLTGSIPSWFGGFVNLFYLDLSNNSFTGEIPKNLTELPSLINRSISIEEPSPDFPFFLTRNESGRGLQYNQVWSFPSTLALSDNFLTGQIWPEFGNLKKLHIFALSSNNLSGPIPSELSGMTSLETLDLSHNNLSGTIPWSLVNLSFLSKFSVAYNQLHGKIPTGSQFMTFPNSSFEGNHLCGDHGTPPCPRSDQVPPEASGKSGRNKVAITGMAVGIVFGTAFLLTLMIMIVLRAHNRGEVDPEKVDADTNDKELEEFGSRLVVLLQNKESYKDLSLEDLLKFTNNFDQANIIGCGGFGLVYRATLPDGRKLAIKRLSGDSGQMDREFRAEVEALSRAQHPNLVHLQGFCMLKNDKLLIYSYMENSSLDYWLHEKLDGPSSLDWDTRLQIAQGAARGLAYLHQACEPHIVHRDIKSSNILLDENFVAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQAAVATYMGDVYSFGVVLLELLTGKRPMDMCKPKGSRDLISWVIQMKKENRESEVFDPFIYDKQNDKELQRVLEIARLCLSEYPKLRPSTEQLVSWLDNIDTNT >Potri.008G144700.2.v4.1 pep chromosome:Pop_tri_v4:8:9838540:9841994:-1 gene:Potri.008G144700.v4.1 transcript:Potri.008G144700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144700.v4.1 MFRAQVLQSQNLTCNQDDLKALQDFMRGLQLPIQGWGATNSSSPDCCNWLGITCNSSSSLGLVNDSVDSGRVTKLELPKRRLTGELVESIGSLDQLRTLNLSHNFLKDSLPFSLFHLPKLEVLDLSSNDFTGSIPQSINLPSIIFLDMSSNFLNGSLPTHICQNSSGIQALVLAVNYFSGILSPGLGNCTNLEHLCLGMNNLTGGISEDIFQLQKLKLLGLQDNKLSGNLSTGIGKLRSLERLDISSNSFSGTIPDVFHSLSKFNFFLGHSNDFVGTIPHSLANSPSLNLLNLRNNSFGGIIDLNCSALTNLSSLDLATNNFSGPVPDNLPSCKNLKNINLARNKFTGQIPESFQHFEGLSFLSFSNCSIANLSSALQILQQCKNLTTLVLTLNFHGEELPDNPVLHFENLKVLVMANCKLTGSIPQWLIGSSKLQLVDLSWNRLTGSIPSWFGGFVNLFYLDLSNNSFTGEIPKNLTELPSLINRSISIEEPSPDFPFFLTRNESGRGLQYNQVWSFPSTLALSDNFLTGQIWPEFGNLKKLHIFALSSNNLSGPIPSELSGMTSLETLDLSHNNLSGTIPWSLVNLSFLSKFSVAYNQLHGKIPTGSQFMTFPNSSFEGNHLCGDHGTPPCPRSDQVPPEASGKSGRNKVAITGMAVGIVFGTAFLLTLMIMIVLRAHNRGEVDPEKVDADTNDKELEEFGSRLVVLLQNKESYKDLSLEDLLKFTNNFDQANIIGCGGFGLVYRATLPDGRKLAIKRLSGDSGQMDREFRAEVEALSRAQHPNLVHLQGFCMLKNDKLLIYSYMENSSLDYWLHEKLDGPSSLDWDTRLQIAQGAARGLAYLHQACEPHIVHRDIKSSNILLDENFVAHLADFGLARLILPYDTHVTTDLVGTLGYIPPEYGQAAVATYMGDVYSFGVVLLELLTGKRPMDMCKPKGSRDLISWVIQMKKENRESEVFDPFIYDKQNDKELQRVLEIARLCLSEYPKLRPSTEQLVSWLDNIDTNT >Potri.002G239500.2.v4.1 pep chromosome:Pop_tri_v4:2:23193107:23193826:-1 gene:Potri.002G239500.v4.1 transcript:Potri.002G239500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239500.v4.1 MDECSPCPKDSYHSENTSPGVASSTTSVHVTALDGIVNVNSLFTVAVFVGLSLTTPNQRSLENRISCDAGIDMAKNLLVFEVVSFSFFLFSSLVAQGLKLAINLLNSKDVDDAFRARINLKVLRFGMMGSAIGSVMGCVFLMLSMVNVIQIRLGLLSCGSKSTVHAVTALVLLVSSALLVYISTAFYAFLH >Potri.001G253000.1.v4.1 pep chromosome:Pop_tri_v4:1:26928802:26930870:1 gene:Potri.001G253000.v4.1 transcript:Potri.001G253000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253000.v4.1 MDRAQILLVGLPLFLLCTDLIHLFTPPPPKHPHHPHPHHQPQPQPHPHPQHHHHKQPPVVAHETLEAPTQKPVVGGIGLGSTVKIDFCSSCSYRGNAVTMKRMLETQFPGIDVVLANYPPSLPKRAVAKLVPVFQIGVVGIVLGGEQIFPMLGVRTPPWYYSLRANKFGTIASTWLLGNALQSFLQSSGAFEVYCDDELVFSKLREGRFPGEIELKDIVGRRLANADISNMLS >Potri.018G049200.3.v4.1 pep chromosome:Pop_tri_v4:18:4439091:4442674:-1 gene:Potri.018G049200.v4.1 transcript:Potri.018G049200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049200.v4.1 MGRSPCCSKEGLNRGAWTALEDKILMAYIKAHGESNWRNLPERAGLKRCGKSCRLRWLNYLRPDIRRGNISHDEEELIIRLHNLLGNRWSVIAGRLPGRTGNEIKNYWNTTLGKKAKGESSSQSKQSCQSKSRAIKPMTSTQPSKSTQTTQVIRTKATTCTKCAWKEMGRSPCCSKEGLNRGAWTALEDKTLMAYIKAHGEGNWRNLPERAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSVIAGRLPGRTDNEIKNYWNTTLGKKAKGESSSQSKQSCQSKSRAIKPMTSTQPSKSTQTTQVIRIKATRCTKVLLSLQSPPPTRTPLPPPEILSSTAMNDPSQASLINHQQDGPNFHCGTEEVHACHDGSDFFNFGKWNEIQPNDIDGDTLMKSGCNRNLSRGSECSLGIFDDLMFKDWALNHCPEDNATLDLESLAHLLDSEEWP >Potri.018G049200.1.v4.1 pep chromosome:Pop_tri_v4:18:4439091:4442674:-1 gene:Potri.018G049200.v4.1 transcript:Potri.018G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049200.v4.1 MGRSPCCSKEGLNRGAWTALEDKTLMAYIKAHGEGNWRNLPERAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSVIAGRLPGRTDNEIKNYWNTTLGKKAKGESSSQSKQSCQSKSRAIKPMTSTQPSKSTQTTQVIRIKATRCTKVLLSLQSPPPTRTPLPPPEILSSTAMNDPSQASLINHQQDGPNFHCGTEEVHACHDGSDFFNFGKWNEIQPNDIDGDTLMKSGCNRNLSRGSECSLGIFDDLMFKDWALNHCPEDNATLDLESLAHLLDSEEWP >Potri.018G049200.4.v4.1 pep chromosome:Pop_tri_v4:18:4439090:4442674:-1 gene:Potri.018G049200.v4.1 transcript:Potri.018G049200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049200.v4.1 MTSTQPSKSTQTTQVIRTKATTCTKCAWKEMGRSPCCSKEGLNRGAWTALEDKTLMAYIKAHGEGNWRNLPERAGLKRCGKSCRLRWLNYLRPDIKRGNISHDEEELIIRLHNLLGNRWSVIAGRLPGRTDNEIKNYWNTTLGKKAKGESSSQSKQSCQSKSRAIKPMTSTQPSKSTQTTQVIRIKATRCTKVLLSLQSPPPTRTPLPPPEILSSTAMNDPSQASLINHQQDGPNFHCGTEEVHACHDGSDFFNFGKWNEIQPNDIDGDTLMKSGCNRNLSRGSECSLGIFDDLMFKDWALNHCPEDNATLDLESLAHLLDSEEWP >Potri.T044500.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:368060:371659:-1 gene:Potri.T044500.v4.1 transcript:Potri.T044500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T044500.v4.1 MTRPNDPFWDHVEKLDDGRFNCKFCGYKFAAAASVTMIKWHLSGVQGRGVTICRQVQNLKRGSSLERPSINQADEPRGDSSPPKDLLCLGLGSYHDQLCSPSAKNDVIMDDVQNIFREKTEPVASMLEQSYAILNKLAGDDGRIQVGVQAIEQGAEEELICSHPEAGSGMENTCEGFIQHVDRNVSPERARLMENSSGRLVQSSTSASSTKLVGRAFEQNIKVIRSWLMDDEISTIGIYGMGGVGKTTLLQHIRNELLERQDISRSVYWVNVPQGFKIEELQDLIAKYLHLDLSSKDDDLSRAVKLAKELAKKQKWILILDDLWNSFEPQEVGIPIPLEGSKLIMTTRSEMVCRQMNSKNNIRVDALSDEESWTLFTERLGQDIPLSPEVERIVVDVARECAGLPLGIVTLAASLKGIDDLHEWRTTLKRLKESNFWDMEDQIFQILRLSYDCLDDSAQQCFVYCALFDERHKIEREVLIDYFIEEGIIKEMSRQAALDKGHSILDRLENICLLERIDGGSVVKMHDLLRDMAIQILDEYSLVMG >Potri.001G034500.1.v4.1 pep chromosome:Pop_tri_v4:1:2548284:2549399:-1 gene:Potri.001G034500.v4.1 transcript:Potri.001G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034500.v4.1 MANHVPLRSLLLLSLIQKRFLKTSASPSSFKVQYLIDSCGLPSQLALSTYQKLQHDKKNLPNAYSVLQYLKDHDFSNTHISKLIDKYPRVLQVRVGSNLKPKFDFFTENGFVGQLLPQLILSNPSVLRRALDSQIKPCFELLNSLLGCKENLVVALKRASWLLTVNLKVVIQPNVDLLIKEGLPLDRVAKLILWQPRAVLQKMDRMVYALHALKSMGLDVEDNIFIHALRVRIQLPETTWKKKIEGMKSSQWSEEEILGAFKRYPPILALSEKKIRSSMDFFINTMELERQNIIACPLFLGYSIDKRVRPRYNVIKVLKSKKLTSRDKKMTTLLTINEKNFLTNYVHRYVDVVPGLLELYMGNGKTKKKDS >Potri.007G002600.1.v4.1 pep chromosome:Pop_tri_v4:7:180720:186079:-1 gene:Potri.007G002600.v4.1 transcript:Potri.007G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002600.v4.1 MFWCRRMYHSLLGFLIVSLVILTTLVHSKTDSEDVSALNVMFTSLNSPSKLSGWQSSGGDPCGDSWEGIQCSGSSVTQIKLSGLGLTGSLGYQLSNLKSVTYFDVSKNNLKNDIPYQLPPNAATLDLSNNGFTGSVPYSISQMTKLQNLNLNQNKLNGQLSDMFQKLSKLKTLDLSHNSISGNLPQSFSALSSLSTLHLQDNEFTGTIDVLARLPLKDLNIKDNEFTGWVPDSLNGIDNLETGGNAWSSGPAPRGKSSSAHGKGSGKGGMNGLAIALIVLASLVVVGLLIILLSKRRSSPSSNFLEEDNGSWHRAFTPLSSHELSNDTRAAIKKEFKEIEPINLSGSIDIKNLQKAPSVGYKPPPSDFSESISDNEFAIRLNAGRNTSVRSIAFSLTDLQTATGNFASGRLIGEGSLGPVYRAKYPDGKVLAVKKIDSSLFQGAKQEFSEIATSISKVHHQNIAELVGYCSEQGHSMLIYEYFRNGSLHEFLHVSDDYSKPLTWNTRVRIALGTARAVEYLHEVCSPSFIHKNIKSSNILLDLELNPCLCDYGLANFHHRTSQNLGAGYNAPECTKPSAYTMKSDVYSFGVVMLELLTGRQPFDSSKPKSEQCLVRWATPQLHDIDALDKMVDPALRGLYPPKSVSRFADIISLCAQVEPEFRPPMSEIVQALVRLFQ >Potri.007G002600.2.v4.1 pep chromosome:Pop_tri_v4:7:180720:186079:-1 gene:Potri.007G002600.v4.1 transcript:Potri.007G002600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002600.v4.1 MAIKWWGPLWRLLGRNPVLRLICDSNKIIWTWTYWITGLSAVKLEICHLLYSDVSKNNLKNDIPYQLPPNAATLDLSNNGFTGSVPYSISQMTKLQNLNLNQNKLNGQLSDMFQKLSKLKTLDLSHNSISGNLPQSFSALSSLSTLHLQDNEFTGTIDVLARLPLKDLNIKDNEFTGWVPDSLNGIDNLETGGNAWSSGPAPRGKSSSAHGKGSGKGGMNGLAIALIVLASLVVVGLLIILLSKRRSSPSSNFLEEDNGSWHRAFTPLSSHELSNDTRAAIKKEFKEIEPINLSGSIDIKNLQKAPSVGYKPPPSDFSESISDNEFAIRLNAGRNTSVRSIAFSLTDLQTATGNFASGRLIGEGSLGPVYRAKYPDGKVLAVKKIDSSLFQGAKQEFSEIATSISKVHHQNIAELVGYCSEQGHSMLIYEYFRNGSLHEFLHVSDDYSKPLTWNTRVRIALGTARAVEYLHEVCSPSFIHKNIKSSNILLDLELNPCLCDYGLANFHHRTSQNLGAGYNAPECTKPSAYTMKSDVYSFGVVMLELLTGRQPFDSSKPKSEQCLVRWATPQLHDIDALDKMVDPALRGLYPPKSVSRFADIISLCAQVEPEFRPPMSEIVQALVRLFQ >Potri.001G138400.3.v4.1 pep chromosome:Pop_tri_v4:1:11300109:11300831:-1 gene:Potri.001G138400.v4.1 transcript:Potri.001G138400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138400.v4.1 MLAKGFILGKDAINKAKGFDEKHQLTSTASAKVVSFDKKIGLTEKISAGTTVVGDKVREVDQKFQVSEKTKSAFAVAEQKVSSAGSAIMSNRYVFTGAAWVTGAFNKVAKAAGDVGQKAKEKAGMAEEEQKRKMVDDFAQVHLSESPKASGASDQKPSKPPPAQGLIL >Potri.001G138400.2.v4.1 pep chromosome:Pop_tri_v4:1:11300136:11303891:-1 gene:Potri.001G138400.v4.1 transcript:Potri.001G138400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138400.v4.1 MSVKTVKVSNVSLGASERDLKEFFSFSGDIEYVEMKSDNERSQIAYVTFKDSQGADTAVLLSGATIVDLSVTVALDPDYQLPPAALAELSATGNKAPGDESALRKAEDVVSGMLAKGFILGKDAINKAKGFDEKHQLTSTASAKVVSFDKKIGLTEKISAGTTVVGDKVREVDQKFQVSEKTKSAFAVAEQKVSSAGSAIMSNRYVFTGAAWVTGAFNKVAKAAGDVGQKAKEKAGMAEEEQKRKMVDDFAQVHLSESPKASGASDQKPSKPPPAQGLIL >Potri.001G290400.1.v4.1 pep chromosome:Pop_tri_v4:1:30265409:30265894:-1 gene:Potri.001G290400.v4.1 transcript:Potri.001G290400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290400.v4.1 MRSTANKQSNKLVRILLSPFKIISKAKYLYMKSMWSCVGRGGNGSFVCGPTAEAMILPKCFSVKPNPNANNDEVLKGILESMAKKTLRHQIESNMDGNGEVIKQTTVEPSGRVGRSYSVGVGKIGRIDEDRPCSFREDDNLKADWYISKSHAISRKSIGYY >Potri.003G140266.1.v4.1 pep chromosome:Pop_tri_v4:3:15661401:15661781:-1 gene:Potri.003G140266.v4.1 transcript:Potri.003G140266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140266.v4.1 MLYDTKKGTRGSKGTNLIYRDELSKKISPKKLKKDGNCLAIKEEDARKNFEKRGYASSVQEKDVIRVKVRMTKQEAARLMAKCKEGGLLEFKDVAHELVQLPVNRVSVVSSNGGYDGVLHSIPEEE >Potri.004G122100.2.v4.1 pep chromosome:Pop_tri_v4:4:11612179:11617276:-1 gene:Potri.004G122100.v4.1 transcript:Potri.004G122100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G122100.v4.1 MDSPGSIFEIYIRYHDIRSLKSCQTDGHDEHEGKISRDALAQLSKIVDLKFHSRTSIFDELLKLMSKLELMADFSEFSRFYDFVFFMCRENGQKNITVNKAVTAWKLILAGRFRLLNQWCDFVQENQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRVLGSNREPNFFCNCGDSESQSCTFEDPLPGLKDTPGLKRKLSSFQMEEMASSNAVFLDSVSPNFILNSKRSRLIDYRPLNWEDNPPGNSASDGMDITKQNNSLGSMKSPCAVEGCLSRGFAGLFSTRSYLQLDRERRVSYT >Potri.009G089400.1.v4.1 pep chromosome:Pop_tri_v4:9:8264113:8265509:1 gene:Potri.009G089400.v4.1 transcript:Potri.009G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089400.v4.1 MALEALNSPTTATPFNYEDTCVKRKRSKRSRSESPSTEEEYLALCLIMLARGGSTTSTTTANKETAPPAPPQPPTLNLSYKCTVCNKAFPSYQALGGHKASHRKSSSESTTAAENPSTSTTPATTTNTSGRTHECSICHKTFPTGQALGGHKRCHYEGTIGGNNNSSTSAAITTSDSGAVGGGGVSQSQSQRSGGGFDFDLNLPALPEFEGPRIGHQALLRDQEVESPLSGKKPRLTLSLQKEKTGVVSL >Potri.006G242800.1.v4.1 pep chromosome:Pop_tri_v4:6:24395284:24399618:-1 gene:Potri.006G242800.v4.1 transcript:Potri.006G242800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242800.v4.1 MSSTPLESPAPVDSPAATSQPLPPSQPPAGMDNTSPPTIPQIAPFASPPSPVVVVLPPAIPPVSNPTPPPLTPVLSPPPMTIPVLSPPVASTPPPVELAPPPEASTNPIIPVPPPTLLPEPQIPSVTSPPPLPTRPSLPQPPLPSPPLPTSPPSQQPSTSWPPPSPPKPAPPAPATPTPIMPPLTRPSYPPAPPSLALTPPPPLPTSMVSSPPSLALTPPPPPPPPKSMVSSPPLLPLAKGSLPMSSKQFHISTGLVVACAFGGVFLLLVLGLLFICCKDKRRRNHSTQEHYNTSKILAPTDNNKNAHVHHSEMKCFQSGDCVITVQTKTFLPSPSTSNTRSRSINSPWTANALPHQGPPDVAISFSNGTCTYDELVVATNGFSDANLLGQGGFGYVHKGFFPCGKEIAVKQLKEGSNQGEREFQAEVEIISRVHHKHLVSLVGYCINGSARLLVYEFVSNNTLEFHLHGTGQPVLEWETRLKIAIGSAKGLAYLHEDCHPKIIHRDIKASNILLDHNFEAKVSDFGLAKSFSDASASSTHISTRVVGTFGYMAPEYALSGKLTDKSDVYSYGVVLLELITGHPPISPAESVMNESLVAWARPLLTQALEDGNFEALLDPRLGTRYNNSEMASMVACAAACVHPSSWIRPRMSQIVHALEGGMSAQDLNAGIFRPRNNTLYGSSISSSSSTYQYKENMKSFNMARGSTQDGISGNTGTTSEYGLNPSSSSSEASSR >Potri.007G029500.1.v4.1 pep chromosome:Pop_tri_v4:7:2264015:2266490:1 gene:Potri.007G029500.v4.1 transcript:Potri.007G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029500.v4.1 MSYKLEDHMALTSQLYPGVFTQMVPQQGESKPRRRRKKNKDADLSGARKRKLNEEQVNLLEMNFGNEHKLESERKDKLASELGLDPRQVAVWFQNRRARWKNKKLEEEYTKLKTSHENIVVEKCQLESEVLKLKEQLSEAEKEIQRLSDRIDGVSTNSPSSSLSMAMDPPFLGEFAMEGYEDAAFYMPPENNYIPGMEWINLYM >Potri.007G030800.4.v4.1 pep chromosome:Pop_tri_v4:7:2348853:2352237:1 gene:Potri.007G030800.v4.1 transcript:Potri.007G030800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030800.v4.1 MFAFHRGRKILGVSLSIFLINMAAIMERADENLLPAVYKEVSEAFNAGPSDLGYLTFIRNFVQGLSSPLAGILVINHARPTVLAMGTLCWALSTAAVGASQHFSQAAFWRAVNGFGLAIVIPALQSFIADSYQDGVRGTGFGLLSFIGNLGGIGGGVLATVMAGQQYWGVQGWRFAFIMMASLSLLIGLLVFLFVVDPRKTIGVNRDTSENFERDELVEKGNSYELSIWTESWTATKAVMKVKTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFNHNKTAALLSFFAVGCSLGSLLGGIIADRMSHIYPHSGRIMCAQFSAFMGIPFSWFLLKVIPQSVSSYSTFAVTLFMMGLTISWNGTAVNAPIFAEVVPVKHRTMIYAYDRAFEGSFSSFAAPLVGILSEQMFGYDSKSVDPIKGSVREASALSKGLLSMMAIPFGLCCLFYTPLYRYFRQDRENARMAGSKALEIM >Potri.007G030800.1.v4.1 pep chromosome:Pop_tri_v4:7:2348892:2352257:1 gene:Potri.007G030800.v4.1 transcript:Potri.007G030800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030800.v4.1 MGLKRTPYRFGSSSLRAPFKSLIPRTIDAMKGRKILGVSLSIFLINMAAIMERADENLLPAVYKEVSEAFNAGPSDLGYLTFIRNFVQGLSSPLAGILVINHARPTVLAMGTLCWALSTAAVGASQHFSQAAFWRAVNGFGLAIVIPALQSFIADSYQDGVRGTGFGLLSFIGNLGGIGGGVLATVMAGQQYWGVQGWRFAFIMMASLSLLIGLLVFLFVVDPRKTIGVNRDTSENFERDELVEKGNSYELSIWTESWTATKAVMKVKTFQIIVLQGIVGSLPWTAMVFFTMWFELIGFNHNKTAALLSFFAVGCSLGSLLGGIIADRMSHIYPHSGRIMCAQFSAFMGIPFSWFLLKVIPQSVSSYSTFAVTLFMMGLTISWNGTAVNAPIFAEVVPVKHRTMIYAYDRAFEGSFSSFAAPLVGILSEQMFGYDSKSVDPIKGSVREASALSKGLLSMMAIPFGLCCLFYTPLYRYFRQDRENARMAGSKALEIM >Potri.005G146700.3.v4.1 pep chromosome:Pop_tri_v4:5:12205968:12210251:-1 gene:Potri.005G146700.v4.1 transcript:Potri.005G146700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146700.v4.1 MADQCMPGWILATLFGLSAIYYLVTKKKEQRANKDLLEARSESVNSIATTSGECRSSNVSDVDVIIVGAGVAGAALAHTLGKDGRQVHVIERDLTEPDRIVGEFLQPGGYLKLIELGLEDCVEKIDAQRVFGYALFKDGKHTRLSYPLEKFHSNVAGRSFHNGRFIQRMRDKAASLPNVQLEQGTVISLLEDKGTIRGVQYKTKDGQELKAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGMVLENCQLPCANHAHVILGDPSPILMYPISSTEVRCLVDVPGQKVPSISSGEMAKYLKTVVAPQLPPEVYDAFLAAVDKGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLCNLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQAMKEMRQACFDYLSLGGVFSAGPVSLLSGLNPRPLSLVAHFFAVAIYGVGRLLLPFPSPKRIWIGARLISGASGIIFPIIRAEGVRQMFFPATVPAYYRAPPVK >Potri.005G146700.2.v4.1 pep chromosome:Pop_tri_v4:5:12204552:12210251:-1 gene:Potri.005G146700.v4.1 transcript:Potri.005G146700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146700.v4.1 MADQCMPGWILATLFGLSAIYYLVTKKKEQRANKDLLEARSESVNSIATTSGECRSSNVSDVDVIIVGAGVAGAALAHTLGKDGRQVHVIERDLTEPDRIVGEFLQPGGYLKLIELGLEDCVEKIDAQRVFGYALFKDGKHTRLSYPLEKFHSNVAGRSFHNGRFIQRMRDKAASLPNVQLEQGTVISLLEDKGTIRGVQYKTKDGQELKAFAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGMVLENCQLPCANHAHVILGDPSPILMYPISSTEVRCLVDVPGQKVPSISSGEMAKYLKTVVAPQLPPEVYDAFLAAVDKGNIRTMPNRSMPAAPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRNLLRPLCNLNDAPTLCKYLESFYTLRKPVASTINTLAGALYKVFCASPDQAMKEMRQACFDYLSLGGVFSAGPVSLLSGLNPRPLSLVAHFFAVAIYGVGRLLLPFPSPKRIWIGARLISGASGIIFPIIRAEGVRQMFFPATVPAYYRAPPVK >Potri.018G091500.1.v4.1 pep chromosome:Pop_tri_v4:18:11192279:11197978:-1 gene:Potri.018G091500.v4.1 transcript:Potri.018G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091500.v4.1 MDLSFEGGPLDPSKSRSINEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKQSGECEASPDLETETSLASTHRASKRHRKTDNPSRVPTSFNCFATNNGISDQGNTVYCKNSACRATLRPDDTFCKRCSCCICHRYDDNKDPSLWLICSSEPPFQGVACCMSCHLDCALKHESSGIGKDGRHGRLDGSFRCFACGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLHEIVDEAAKKLEAEVGPLIGLPVKMGRGIVNRLSSGPEVQKLCTSALESLDRMLSNTILHPLPNPNMQDSKMIAPVTVKFEDVRATSLALVLGSEDSSTDDIVGYTLWHRNGHDLDYPAEPTCRLFLPNTTYVVAGLSPATEYHFKVVPFNGVRELCMCEVQCSTSSTGITQDEVPNYSIVERSQSPNTNCSSFSNPSSVEDETNNNPPCNDQTVNRADNYHSYCKDTDKIVSANKSNGALNSSDVLADAIPLLDVEHATQVVSSKLNSDMQMLDKKRLSENQIIEEIITDNGSDTPVQTAMESVPFVRNSEAGLPITPCKLEMLKDGQGRNGRSKSSNKDIIVVSGKGEEPQYGSTSKKRSGEWRDEECMANGHSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATAQDVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.018G091500.5.v4.1 pep chromosome:Pop_tri_v4:18:11192641:11197913:-1 gene:Potri.018G091500.v4.1 transcript:Potri.018G091500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091500.v4.1 MDLSFEGGPLDPSKSRSINEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKQSGECEASPDLETETSLASTHRASKRHRKTDNPSRVPTSFNCFATNNGISDQGNTVYCKNSACRATLRPDDTFCKRCSCCICHRYDDNKDPSLWLICSSEPPFQGVACCMSCHLDCALKHESSGIGKDGRHGRLDGSFRCFACGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLHEIVDEAAKKLEAEVGPLIGLPVKMGRGIVNRLSSGPEVQKLCTSALESLDRMLSNTILHPLPNPNMQDSKMIAPVTVKFEDVRATSLALVLGSEDSSTDDIVGYTLWHRNGHDLDYPAEPTCRLFLPNTTYVVAGLSPATEYHFKVVPFNGVRELCMCEVQCSTSSTGITQDEVPNYSIVERSQSPNTNCSSFSNPSSVEDETNNNPPCNDQTVNRADNYHSYCKDTDKIVSANKSNGALNSSDVLADAIPLLDVEHATQVVSSKLNSDMQMLDKKRLSENQIIEEIITDNGSDTPVQTAMESVPFVRNSEAGLPITPCKLEMLKDGQGRNGRSKSSNKDIIVVSGKGEEPQYGSTSKKRSGEWRDEECMANGHSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATAQDVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.018G091500.4.v4.1 pep chromosome:Pop_tri_v4:18:11192292:11197906:-1 gene:Potri.018G091500.v4.1 transcript:Potri.018G091500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091500.v4.1 MIYNGFYVKHALMCLKASSPPPFFSPIKYNTMSPDSLTLLLNYLKSALKHFFFLFSFSCYTGGPLDPSKSRSINEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKQSGECEASPDLETETSLASTHRASKRHRKTDNPSRVPTSFNCFATNNGISDQGNTVYCKNSACRATLRPDDTFCKRCSCCICHRYDDNKDPSLWLICSSEPPFQGVACCMSCHLDCALKHESSGIGKDGRHGRLDGSFRCFACGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLHEIVDEAAKKLEAEVGPLIGLPVKMGRGIVNRLSSGPEVQKLCTSALESLDRMLSNTILHPLPNPNMQDSKMIAPVTVKFEDVRATSLALVLGSEDSSTDDIVGYTLWHRNGHDLDYPAEPTCRLFLPNTTYVVAGLSPATEYHFKVVPFNGVRELCMCEVQCSTSSTGITQDEVPNYSIVERSQSPNTNCSSFSNPSSVEDETNNNPPCNDQTVNRADNYHSYCKDTDKIVSANKSNGALNSSDVLADAIPLLDVEHATQVVSSKLNSDMQMLDKKRLSENQIIEEIITDNGSDTPVQTAMESVPFVRNSEAGLPITPCKLEMLKDGQGRNGRSKSSNKDIIVVSGKGEEPQYGSTSKKRSGEWRDEECMANGHSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATAQDVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.018G091500.3.v4.1 pep chromosome:Pop_tri_v4:18:11192649:11197913:-1 gene:Potri.018G091500.v4.1 transcript:Potri.018G091500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091500.v4.1 MDLSFEGGPLDPSKSRSINEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKQSGECEASPDLETETSLASTHRASKRHRKTDNPSRVPTSFNCFATNNGISDQGNTVYCKNSACRATLRPDDTFCKRCSCCICHRYDDNKDPSLWLICSSEPPFQGVACCMSCHLDCALKHESSGIGKDGRHGRLDGSFRCFACGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLHEIVDEAAKKLEAEVGPLIGLPVKMGRGIVNRLSSGPEVQKLCTSALESLDRMLSNTILHPLPNPNMQDSKMIAPVTVKFEDVRATSLALVLGSEDSSTDDIVGYTLWHRNGHDLDYPAEPTCRLFLPNTTYVVAGLSPATEYHFKVVPFNGVRELCMCEVQCSTSSTGITQDEVPNYSIVERSQSPNTNCSSFSNPSSVEDETNNNPPCNDQTVNRADNYHSYCKDTDKIVSANKSNGALNSSDVLADAIPLLDVEHATQVVSSKLNSDMQMLDKKRLSENQIIEEIITDNGSDTPVQTAMESVPFVRNSEAGLPITPCKLEMLKDGQGRNGRSKSSNKDIIVVSGKGEEPQYGSTSKKRSGEWRDEECMANGHSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATAQDVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.018G091500.9.v4.1 pep chromosome:Pop_tri_v4:18:11192515:11197844:-1 gene:Potri.018G091500.v4.1 transcript:Potri.018G091500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091500.v4.1 MDLSFEGGPLDPSKSRSINEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKQSGECEASPDLETETSLASTHRASKRHRKTDNPSRVPTSFNCFATNNGISDQGNTVYCKNSACRATLRPDDTFCKRCSCCICHRYDDNKDPSLWLICSSEPPFQGVACCMSCHLDCALKHESSGIGKDGRHGRLDGSFRCFACGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLHEIVDEAAKKLEAEVGPLIGLPVKMGRGIVNRLSSGPEVQKLCTSALESLDRMLSNTILHPLPNPNMQDSKMIAPVTVKFEDVRATSLALVLGSEDSSTDDIVGYTLWHRNGHDLDYPAEPTCRLFLPNTTYVVAGLSPATEYHFKVVPFNGVRELCMCEVQCSTSSTGITQDEVPNYSIVERSQSPNTNCSSFSNPSSVEDETNNNPPCNDQTVNRADNYHSYCKDTDKIVSANKSNGALNSSDVLADAIPLLDVEHATQVVSSKLNSDMQMLDKKRLSENQIIEEIITDNGSDTPVQTAMESVPFVRNSEAGLPITPCKLEMLKDGQGRNGRSKSSNKDIIVVSGKGEEPQYGSTSKKRSGEWRDEECMANGHSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATAQDVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.018G091500.7.v4.1 pep chromosome:Pop_tri_v4:18:11192640:11197881:-1 gene:Potri.018G091500.v4.1 transcript:Potri.018G091500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091500.v4.1 MDLSFEGGPLDPSKSRSINEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKQSGECEASPDLETETSLASTHRASKRHRKTDNPSRVPTSFNCFATNNGISDQGNTVYCKNSACRATLRPDDTFCKRCSCCICHRYDDNKDPSLWLICSSEPPFQGVACCMSCHLDCALKHESSGIGKDGRHGRLDGSFRCFACGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLHEIVDEAAKKLEAEVGPLIGLPVKMGRGIVNRLSSGPEVQKLCTSALESLDRMLSNTILHPLPNPNMQDSKMIAPVTVKFEDVRATSLALVLGSEDSSTDDIVGYTLWHRNGHDLDYPAEPTCRLFLPNTTYVVAGLSPATEYHFKVVPFNGVRELCMCEVQCSTSSTGITQDEVPNYSIVERSQSPNTNCSSFSNPSSVEDETNNNPPCNDQTVNRADNYHSYCKDTDKIVSANKSNGALNSSDVLADAIPLLDVEHATQVVSSKLNSDMQMLDKKRLSENQIIEEIITDNGSDTPVQTAMESVPFVRNSEAGLPITPCKLEMLKDGQGRNGRSKSSNKDIIVVSGKGEEPQYGSTSKKRSGEWRDEECMANGHSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATAQDVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.018G091500.8.v4.1 pep chromosome:Pop_tri_v4:18:11192639:11197867:-1 gene:Potri.018G091500.v4.1 transcript:Potri.018G091500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091500.v4.1 MDLSFEGGPLDPSKSRSINEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKQSGECEASPDLETETSLASTHRASKRHRKTDNPSRVPTSFNCFATNNGISDQGNTVYCKNSACRATLRPDDTFCKRCSCCICHRYDDNKDPSLWLICSSEPPFQGVACCMSCHLDCALKHESSGIGKDGRHGRLDGSFRCFACGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLHEIVDEAAKKLEAEVGPLIGLPVKMGRGIVNRLSSGPEVQKLCTSALESLDRMLSNTILHPLPNPNMQDSKMIAPVTVKFEDVRATSLALVLGSEDSSTDDIVGYTLWHRNGHDLDYPAEPTCRLFLPNTTYVVAGLSPATEYHFKVVPFNGVRELCMCEVQCSTSSTGITQDEVPNYSIVERSQSPNTNCSSFSNPSSVEDETNNNPPCNDQTVNRADNYHSYCKDTDKIVSANKSNGALNSSDVLADAIPLLDVEHATQVVSSKLNSDMQMLDKKRLSENQIIEEIITDNGSDTPVQTAMESVPFVRNSEAGLPITPCKLEMLKDGQGRNGRSKSSNKDIIVVSGKGEEPQYGSTSKKRSGEWRDEECMANGHSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATAQDVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.018G091500.6.v4.1 pep chromosome:Pop_tri_v4:18:11192640:11197910:-1 gene:Potri.018G091500.v4.1 transcript:Potri.018G091500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091500.v4.1 MDLSFEGGPLDPSKSRSINEKRELVYQLSKWPGASERLQSWSRQEILQILCAEMGKERKYTGLTKLKIIEHLLKIVSEKQSGECEASPDLETETSLASTHRASKRHRKTDNPSRVPTSFNCFATNNGISDQGNTVYCKNSACRATLRPDDTFCKRCSCCICHRYDDNKDPSLWLICSSEPPFQGVACCMSCHLDCALKHESSGIGKDGRHGRLDGSFRCFACGKVNDLLGCWRKQLMMAKDTRRVDILCYRVSLSQKLLNGTEKYQKLHEIVDEAAKKLEAEVGPLIGLPVKMGRGIVNRLSSGPEVQKLCTSALESLDRMLSNTILHPLPNPNMQDSKMIAPVTVKFEDVRATSLALVLGSEDSSTDDIVGYTLWHRNGHDLDYPAEPTCRLFLPNTTYVVAGLSPATEYHFKVVPFNGVRELCMCEVQCSTSSTGITQDEVPNYSIVERSQSPNTNCSSFSNPSSVEDETNNNPPCNDQTVNRADNYHSYCKDTDKIVSANKSNGALNSSDVLADAIPLLDVEHATQVVSSKLNSDMQMLDKKRLSENQIIEEIITDNGSDTPVQTAMESVPFVRNSEAGLPITPCKLEMLKDGQGRNGRSKSSNKDIIVVSGKGEEPQYGSTSKKRSGEWRDEECMANGHSDRDFEYYVKIIRWLECEGHIEKNFRQKFLTWYGLRATAQDVRVVKTFVDTFIEDPASLAEQIVDTFSECISSRRSSVVPSGFCMKLWH >Potri.002G100000.9.v4.1 pep chromosome:Pop_tri_v4:2:7307606:7309669:1 gene:Potri.002G100000.v4.1 transcript:Potri.002G100000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100000.v4.1 MATETPTLSERYELKGKEEKTDVVSKPVEVKEEEKPATAVSEEAVEKAEETPPLAAEEKTEDTPAAAEESTEAPTTDESSSEDAPAAAEETSEGTEENSGEEAAEEKKEIKLETAPADYRFPTTNQTRHCFTRYIEYHRCVAAKGEGASECDKFAKYYRSLCPSEWVRISEIFNILRSMILVFTFNHTGCPKAV >Potri.002G100000.11.v4.1 pep chromosome:Pop_tri_v4:2:7307978:7312877:1 gene:Potri.002G100000.v4.1 transcript:Potri.002G100000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100000.v4.1 MQRYELKGKEEKTDVVSKPVEVKEEEKPATAVSEEAVEKAEETPPLAAEEKTEDTPAAAEESTEAPTTDESSSEDAPAAAEETSEGTEENSGEEAAEEKKEIKLETAPADYRFPTTNQTRHCFTRYIEYHRCVAAKGEGASECDKFAKYYRSLCPSEWVERWNEQRANGTFPGPL >Potri.002G100000.1.v4.1 pep chromosome:Pop_tri_v4:2:7307653:7313006:1 gene:Potri.002G100000.v4.1 transcript:Potri.002G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100000.v4.1 MATETPTLSERYELKGKEEKTDVVSKPVEVKEEEKPATAVSEEAVEKAEETPPLAAEEKTEDTPAAAEESTEAPTTDESSSEDAPAAAEETSEGTEENSGEEAAEEKKEIKLETAPADYRFPTTNQTRHCFTRYIEYHRCVAAKGEGASECDKFAKYYRSLCPSEWVERWNEQRANGTFPGPL >Potri.001G209100.1.v4.1 pep chromosome:Pop_tri_v4:1:21398887:21403869:1 gene:Potri.001G209100.v4.1 transcript:Potri.001G209100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209100.v4.1 MASSNNNSNSSKRGGGDEELKEMTEISKTLKEGERIVAPSRRPDGTLRKPIRIRAGYVPQDEVAIYQSKGALWRKEMQSQEVPPGYDTDPHTKPKTKSVKRNERKKEKRHQQAALEKGKNTEAIEDGNMVKGALPDEDLGHASDSVKSLTSQMNELAVSSNPAVVGPSSDLADASNMESPVQDIDKRIRALKKKIRLAEAQQQKTSSQDMNPEQLEKLAKLEGWRQELKLLEGKKAEEASS >Potri.001G209100.5.v4.1 pep chromosome:Pop_tri_v4:1:21398901:21400981:1 gene:Potri.001G209100.v4.1 transcript:Potri.001G209100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209100.v4.1 MASSNNNSNSSKRGGGDEELKEMTEISKTLKEGERIVAPSRRPDGTLRKPIRIRAGYVPQDEVAIYQSKGALWRKEMQSQEVPPGYDTDPHTKPKTKSVKRNERKKEKRHQQAALEKGKNTEAIEDGNMVKGALPDEDLGHASDSVKSLTSQMNELAVSSNPAVVGPSSDLADASNMESPVQDIDKRIRALKKKVYFNPAF >Potri.001G209100.2.v4.1 pep chromosome:Pop_tri_v4:1:21398913:21403766:1 gene:Potri.001G209100.v4.1 transcript:Potri.001G209100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209100.v4.1 MTEISKTLKEGERIVAPSRRPDGTLRKPIRIRAGYVPQDEVAIYQSKGALWRKEMQSQEVPPGYDTDPHTKPKTKSVKRNERKKEKRHQAALEKGKNTEAIEDGNMVKGALPDEDLGHASDSVKSLTSQMNELAVSSNPAVVGPSSDLADASNMESPVQDIDKRIRALKKKIRLAEAQQQKTSSQDMNPEQLEKLAKLEGWRQELKLLEGKKAEEASS >Potri.002G069000.1.v4.1 pep chromosome:Pop_tri_v4:2:4786746:4791396:-1 gene:Potri.002G069000.v4.1 transcript:Potri.002G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G069000.v4.1 MDHSADAHRTDLMTITRFVLNEQSKYPESLGDFSILLNHIVLGCKFVCSAVNKAGLAKLIGLAGETNVQGEEQKKLDVLSNEVFIKALVSSGRTCILVSEEDDEATFVEPSRRGRYCVVFDPLDGSSNIDCGVSIGTIFGIYMVKDGHEPTLNDVLQPGKNMLAAGYCMYGSSCMLVLSTGSGVNGFTLDPFLREFILTHPEIKIPKKGKIYSVNEGNAINWDGPTAKYVEKCKFPEDGSSAKSLRYVGSMVADVHRTLLYGGIFLYPADKKSPNGKLRVLYEVFPMSFLMEQAGGQAFTGKQRALDLVPTKIHERSPIFLGSYDDVEEIKALYI >Potri.008G096900.1.v4.1 pep chromosome:Pop_tri_v4:8:6034929:6039004:1 gene:Potri.008G096900.v4.1 transcript:Potri.008G096900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096900.v4.1 MGNALGCISTEGAREKTSKHELNLPPLLASFKGRAGPLSSLSSSENKKKKAVSFDGQLDEQALAAALLFNHHQRNNGNSLPFPRSQSAVYPSSGSKKHGLTRSSSSRPRSHSESLLTRPDQLVNQDLETNRVVLVHGGGFGAWCWYKTISLLEEAGFKADAVDLTGSGIHYSDTNGIRNLAEYVKPLSDIFYKLGEGDKVILVGHDLGGACISYVMELFPSKIAKAVFIAATMLSSGQSALDIFSQQAGFSDLIRQPQTFIYANGKDNPPTAIVIDKTLLRDSWFNQSSTKDVALASVSMRPIPFAPVVEKLFLSSNNYGSIQRFYIKTRGDCALHVPLQESMIKSNPPTQVFELKGSDHAPFFSKPQALHRILIKISQVPSKDI >Potri.014G194201.1.v4.1 pep chromosome:Pop_tri_v4:14:17256750:17257746:-1 gene:Potri.014G194201.v4.1 transcript:Potri.014G194201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194201.v4.1 MLLSPSTFPNASSSSSLSSLSLPLSLSLLSSSTKSVKDAERQRWIPWRQWLLYPSSRRCKCRGSMLAARLGLNPRLISKVLYWT >Potri.014G194201.2.v4.1 pep chromosome:Pop_tri_v4:14:17256750:17257746:-1 gene:Potri.014G194201.v4.1 transcript:Potri.014G194201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194201.v4.1 MLLSPSTFPNASSSSSLSSLSLPLSLSLLSSSTKSVKDAERQRWIPWRQWLLYPSVQGGVNAGDRC >Potri.001G417000.1.v4.1 pep chromosome:Pop_tri_v4:1:44513230:44521258:-1 gene:Potri.001G417000.v4.1 transcript:Potri.001G417000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417000.v4.1 MRKSIISNDVQQQQQKNLQGRVNGNKNGFLPSSFRAISSYLRIVSSGASTVARSAASVAQSIVDRDDDANHDQVRWAGFDKLEGDDDVIRHVLLLGYQSGFEVWDVEEANNVRDLVSRHDGPVSFLQMLPKPVTSEGSQDKFAYNRPLLVVCSDGAQDGPATSCNGNVSNNNYPVNGSTVPTVVRFYSLRSQSYVHVLKFRSAVYSVRCSSRIVAISQSAQIHCFNATTLEREYTILTNPMVMGSPASGGIGYGPLAVGPRWLAYSGSPVVVSNSGCINPQHLTSSMSFSGFTSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSSYCSELLPDSHGSLQSGNPGWKSNGTVNGHFPDADNIGMVVVRDIVSKLVIAQFRAHKSPISALCFDSSGTLLVTASIQGHNINVFKIMPGLQGSSSTGASYVHLYRLQRGFTNAVIQDISFSDDSSWIMISSSRGTSHLFAINPLGGTVNFQSSESSYVSKHSGLGGMNKPTVSCPPCLGLQMHNQQSLCATGRAVTLSAVSRIRNGNNGWRGTVTGAAAAATGRLGSLSGAIASSFHKCKGNNDMYGDGTSFKSKYHLLVFSSSGSMIQYTLRILDGIDSTPVGSGLNVNYESAAENEGRLVVEAMQKWNICQKQNRRDREDNVDIYGDNGNSDSNKIHPEGIKKGNSIYPEVRGAVTNTKISPEEKHHLYISEAELQMHQACFPLWAKPEIYFQSMMTEGIDVNDADAMQGEIEIERIPARMIEARSKDLVPLFDYLQTPKFPHSRVPSLDSNSNGSLQHQSSGLSENGRLSCRSSSGSLDSMAENGAAVAELRNGIEETGWNGSRMPVETRGFVDSNGSPKTNTEVVNSRESSRMEAQLKFVNSNNKGLKIENHFEDEGDEFD >Potri.001G417000.2.v4.1 pep chromosome:Pop_tri_v4:1:44513345:44521151:-1 gene:Potri.001G417000.v4.1 transcript:Potri.001G417000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417000.v4.1 MRKSIISNDVQQQQQKNLQGRVNGNKNGFLPSSFRAISSYLRIVSSGASTVARSAASVAQSIVDRDDDANHDQVRWAGFDKLEGDDDVIRHVLLLGYQSGFEVWDVEEANNVRDLVSRHDGPVSFLQMLPKPVTSEGSQDKFAYNRPLLVVCSDGAQDGPATSCNGNVSNNNYPVNGSTVPTVVRFYSLRSQSYVHVLKFRSAVYSVRCSSRIVAISQSAQIHCFNATTLEREYTILTNPMVMGSPASGGIGYGPLAVGPRWLAYSGSPVVVSNSGCINPQHLTSSMSFSGFTSNGSLVAHYAKESSKQLAAGIVTLGDMGYKKLSSYCSELLPDSHGSLQSGNPGWKSNGTVNGHFPDADNIGMVVVRDIVSKLVIAQFRAHKSPISALCFDSSGTLLVTASIQGHNINVFKIMPGLQGSSSTGASYVHLYRLQRGFTNAVIQDISFSDDSSWIMISSSRGTSHLFAINPLGGTVNFQSSESSYVSKHSGLGGMNKPTVSCPPCLGLQMHNQQSLCATGRAVTLSAVSRIRNGNNGWRGTVTGAAAAATGRLGSLSGAIASSFHKCKGNNDMYGDGTSFKSKYHLLVFSSSGSMIQYTLRILDGIDSTPVGSGLNVNYESAAENEGRLVVEAMQKWNICQKQNRRDREDNVDIYGDNGNSDSNKIHPEGIKKGNSIYPEVRGAVTNTKISPEEKHHLYISEAELQMHQACFPLWAKPEIYFQSMMTEGIDVNDADAMQGEIEIERIPARMIEARSKDLVPLFDYLQTPKFPHSRVPSLDSNSNGSLQHQSSGLSENGRLSCRSSSGSLDSMAENGAAVAELRNGIEETGWNGSRMPVETRGFVDSNGSPKTNTEVVNSRESSRMEAQLKFVNSNNKGLKIENHFEDEGDEFD >Potri.002G004100.4.v4.1 pep chromosome:Pop_tri_v4:2:254531:257467:1 gene:Potri.002G004100.v4.1 transcript:Potri.002G004100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G004100.v4.1 MAAEKGRVCVTGAGGFLGSWLLKVLLSKNYLVHGTVRDPADEKYAHWKTIDKASENLKLFKADLLDYNSLCSAIKGCEGVFHVASPVPSTTVPNPEVELIEPAVKGTLNVLRACDEAKVKRVVIVSSMVAVCMNPSLPKGQVMDENWWSDKEYCRATKNWYCLSKTEAESEAWECAKRSGLDVVTICPSLILGPILHSAVNASSKVLIKLLKEGYESLENKLRNLVDVRDVAEALLLVYEKPEAEGRYICTAHEIRTEDLVEKLRNIYPNYNYPKSFTEEEEGINLSSEKLQRLGWSYRPLEETLIDSVESYQKTGILD >Potri.010G211000.1.v4.1 pep chromosome:Pop_tri_v4:10:19966605:19973761:-1 gene:Potri.010G211000.v4.1 transcript:Potri.010G211000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G211000.v4.1 MKSYLLLLFTICTFLLYSSAELDDNLTYVWPLPAKFSSGNNTLSVDPELSLVLGGKGGDSSIIKDGFGRYKKIIFKHSSKSYSVNKRLVFDIGVLKIVVLSDNEELQLGVDESYLLLVEKRNGQSIIGEAYIEANTVYGALRGLETFSQLCAFDYETKAVQIYRAPWYILDKPRFAYRGLLLDTSRHYLPIGVIKQIIESMSYAKLNVLHWHIIDEESFPLEVPSYPNLWKGSYTKWERYTFEDAYEIVDFAKMRGINVMAEIDVPGHAESWGTGYPDLWPSPSCREPLDVSKNFTFDVISGIMTDLRKIFPFGLFHLGGDEVNTDCWNSTSHVKQWLLDHNMTTKEAYQYFVLRAQEIAISKGWTPVNWEETFNTFASNLNPKTIVHNWLGGGVCAKAVAKGFRCIFSNQGFWYLDHLDVPWYEVYKAEPLEGINDTSMQELVLGGEVCMWSETADTSVVQQTIWPRAAAAAERLWSNRETISSGNITLTALPRLHYFRCLLNRRGVQAAPVTNYYARQPPSGPGSCYEQ >Potri.001G264000.7.v4.1 pep chromosome:Pop_tri_v4:1:27960876:27966076:1 gene:Potri.001G264000.v4.1 transcript:Potri.001G264000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264000.v4.1 MNAVNKKHKNSNIKAHNVKNYLWVFVNCLIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKSDIVDNLLSWAKFKESKELKKTDGTKTAKVNVPKLEDANEAGGRYSEKCTLILTEGDSAKALAIAGVAGLTQTERSFYGVFPLRGKLLNVREATPKQLKENKEIECIKKILGLQHHKQYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFLHSFWPSLLKVPSFLVEFITPIVKATHRNGTVLSFYSMPEYESWKGSLAGNASGWSIKYYKGLGTSTSKEGKAYFQSLDKHKKDFIWMDEQDGDAIELAFSKKKIEARKNWLRQYEPGTHLDQNQKLIKYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKISQFSGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLLPNGQFGTRSVGGKDHASARYIYTQLSPITRFLFPKDDDGLLDYLDEDGQTIEPNWYMPIIPMVLVNGCEGIGTGWSTFIPNYNPRDIVANIRRLLNGEMMEPMNPWYRGFKGTIEKGASKEAGCSYTVNGVINEVNETTLRITELPIRRWTDDYKAFLNSVTEGNRDENGNLPKDPFIKDFRKYGDDATVVFEVLLSEENMMIAKQEGLLKKFKLTTTISTSNMHLFDSAGVIKKYDNPEQILEEFFHLRLEYYERRKKVLLENLEFELLKLENKVRFILGVVRGEIIVNNRKRADLFLELHQKGFTPIPKKSKAVVAGATDDKDEAEDSLEVSGVRASDYDYLLSMAIGTLTLEKVQQLCADHDKLNGEVDNLRKTTPIVLWVKDLEALEMQLDVLDKYDAEAEEARKKLKGDANGEAGFKVSKQAPKNPRKYTKKAINEEVSVETMGKASSSAMETGQQAENAAEVVKPKGRAGSRKAPAKKQEKPSPISDEDDEIESLKDRLKAYRLDSSPEQSADMETEVLRVPAGRNAARNKPLAAVSVISDSEDEPDLDDDFDVQVKAVPETKKKGGRKAAAANDKAAKPPAATKRRGPASKQSQGLGQQLLTEMLKPAEESGISPEKKVRKMRASPFNKKSGSLLGGIHKGDDTGIETMPASTSENADVIDVPAKARPQRANRKQTTYVLSDSESEDSDFEQASEDSDSD >Potri.001G264000.5.v4.1 pep chromosome:Pop_tri_v4:1:27959046:27966108:1 gene:Potri.001G264000.v4.1 transcript:Potri.001G264000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264000.v4.1 MAAATKKVPLATSNSVNMNQGKTIEETYQKKSQLEHILLRPDTYIGSIEKHAQTLWVYEGDKIVHRPVTYVPGLYKIFDEILVNAADNKQRDPKMDSLKVVIDGENNLVSVYNNGDGVPVEIHKEEGVYVPELIFGHLLTSSNYDDAEKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFSNNMGKKSEPMITKCKEGENWTKVTFKPDLAKFSMTHLEEDVVALMKKRVVDIAGCLGKTVKVELNGSRVPVKSFQDYVYMYLNSASEPGSERPKSFYEKVGERWEVCVSLTEGQFQQVSFVNSIATIKGGTHVDYVTNQITNYVMNAVNKKHKNSNIKAHNVKNYLWVFVNCLIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKSDIVDNLLSWAKFKESKELKKTDGTKTAKVNVPKLEDANEAGGRYSEKCTLILTEGDSAKALAIAGVAGLTQTERSFYGVFPLRGKLLNVREATPKQLKENKEIECIKKILGLQHHKQYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFLHSFWPSLLKVPSFLVEFITPIVKATHRNGTVLSFYSMPEYESWKGSLAGNASGWSIKYYKGLGTSTSKEGKAYFQSLDKHKKDFIWMDEQDGDAIELAFSKKKIEARKNWLRQYEPGTHLDQNQKLIKYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKISQFSGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLLPNGQFGTRSVGGKDHASARYIYTQLSPITRFLFPKDDDGLLDYLDEDGQTIEPNWYMPIIPMVLVNGCEGIGTGWSTFIPNYNPRDIVANIRRLLNGEMMEPMNPWYRGFKGTIEKGASKEAGCSYTVNGVINEVNETTLRITELPIRRWTDDYKAFLNSVTEGNRDENGNLPKDPFIKDFRKYGDDATVVFEVLLSEENMMIAKQEGLLKKFKLTTTISTSNMHLFDSAGVIKKYDNPEQILEEFFHLRLEYYERRKKVLLENLEFELLKLENKVRFILGVVRGEIIVNNRKRADLFLELHQKGFTPIPKKSKAVVAGATDDKDEAEDSLEVSGVRASDYDYLLSMAIGTLTLEKVQQLCADHDKLNGEVDNLRKTTPIVLWVKDLEALEMQLDVLDKYDAEAEEARKKLKGDANGEAGFKVSKQAPKNPRKYTKKAINEEVSVETMGKASSSAMETENAAEVVKPKGRAGSRKAPAKKQEKPSPISDEDDEIESLKDRLKAYRLDSSPEQSADMETEVLRVPAGRNAARNKPLAAVSVISDSEDEPDLDDDFDVQVKAVPETKKKGGRKAAAANDKAAKPPAATKRRGPASKQSQGLGQQLLTEMLKPAEESGISPEKKVRKMRASPFNKKSGSLLGGIHKGDDTGIETMPASTSENADVIDVPAKARPQRANRKQTTYVLSDSESEDSDFEQASEDSDSD >Potri.001G264000.4.v4.1 pep chromosome:Pop_tri_v4:1:27959045:27965994:1 gene:Potri.001G264000.v4.1 transcript:Potri.001G264000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264000.v4.1 MAAATKKVPLATSNSVNMNQGKTIEETYQKKSQLEHILLRPDTYIGSIEKHAQTLWVYEGDKIVHRPVTYVPGLYKIFDEILVNAADNKQRDPKMDSLKVVIDGENNLVSVYNNGDGVPVEIHKEEGVYVPELIFGHLLTSSNYDDAEKKTTGGRNGYGAKLTNIFSTEFVIETADGKRQKKYKQVFSNNMGKKSEPMITKCKEGENWTKVTFKPDLAKFSMTHLEEDVVALMKKRVVDIAGCLGKTVKVELNGSRVPVKSFQDYVYMYLNSASEPGSERPKSFYEKVGERWEVCVSLTEGQFQQVSFVNSIATIKGGTHVDYVTNQITNYVMNAVNKKHKNSNIKAHNVKNYLWVFVNCLIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKSDIVDNLLSWAKFKESKELKKTDGTKTAKVNVPKLEDANEAGGRYSEKCTLILTEGDSAKALAIAGVAGLTQTERSFYGVFPLRGKLLNVREATPKQLKENKEIECIKKILGLQHHKQYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFLHSFWPSLLKVPSFLVEFITPIVKATHRNGTVLSFYSMPEYESWKGSLAGNASGWSIKYYKGLGTSTSKEGKAYFQSLDKHKKDFIWMDEQDGDAIELAFSKKKIEARKNWLRQYEPGTHLDQNQKLIKYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKISQFSGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLLPNGQFGTRSVGGKDHASARYIYTQLSPITRFLFPKDDDGLLDYLDEDGQTIEPNWYMPIIPMVLVNGCEGIGTGWSTFIPNYNPRDIVANIRRLLNGEMMEPMNPWYRGFKGTIEKGASKEAGCSYTVNGVINEVNETTLRITELPIRRWTDDYKAFLNSVTEGNRDENGNLPKDPFIKDFRKYGDDATVVFEVLLSEENMMIAKQEGLLKKFKLTTTISTSNMHLFDSAGVIKKYDNPEQILEEFFHLRLEYYERRKKVLLENLEFELLKLENKVRFILGVVRGEIIVNNRKRADLFLELHQKGFTPIPKKSKAVVAGATDDKDEAEDSLEVSGVRASDYDYLLSMAIGTLTLEKVQQLCADHDKLNGEVDNLRKTTPIVLWVKDLEALEMQLDVLDKYDAEAEEARKKLKGDANGEAGFKVSKQAPKNPRKYTKKAINEEVSVETMGKASSSAMETGQQAENAAEVVKPKGRAGSRKAPAKKQEKPSPISDEDDEIESLKDRLKAYRLDSSPEQSADMETEVLRVPAGRNAARNKPLAAVSVISDSEDEPDLDDDFDVQVKAVPETKKKGGRKAAAANDKAAKPPAATKRRGPASKQSQGLGQQLLTEMLKPAEESGISPEKKVRKMRASPFNKKSGSLLGGIHKGDDTGIETMPASTSENADVIDVPAKARPQRANRKQTTYVLSDSESEDSDFEQASEDSDSD >Potri.001G264000.6.v4.1 pep chromosome:Pop_tri_v4:1:27959829:27965973:1 gene:Potri.001G264000.v4.1 transcript:Potri.001G264000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G264000.v4.1 MGKKSEPMITKCKEGENWTKVTFKPDLAKFSMTHLEEDVVALMKKRVVDIAGCLGKTVKVELNGSRVPVKSFQDYVYMYLNSASEPGSERPKSFYEKVGERWEVCVSLTEGQFQQVSFVNSIATIKGGTHVDYVTNQITNYVMNAVNKKHKNSNIKAHNVKNYLWVFVNCLIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKSDIVDNLLSWAKFKESKELKKTDGTKTAKVNVPKLEDANEAGGRYSEKCTLILTEGDSAKALAIAGVAGLTQTERSFYGVFPLRGKLLNVREATPKQLKENKEIECIKKILGLQHHKQYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFLHSFWPSLLKVPSFLVEFITPIVKATHRNGTVLSFYSMPEYESWKGSLAGNASGWSIKYYKGLGTSTSKEGKAYFQSLDKHKKDFIWMDEQDGDAIELAFSKKKIEARKNWLRQYEPGTHLDQNQKLIKYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKISQFSGYVSEHSAYHHGEQSLASTIIGMAQDFVGSNNINLLLPNGQFGTRSVGGKDHASARYIYTQLSPITRFLFPKDDDGLLDYLDEDGQTIEPNWYMPIIPMVLVNGCEGIGTGWSTFIPNYNPRDIVANIRRLLNGEMMEPMNPWYRGFKGTIEKGASKEAGCSYTVNGVINEVNETTLRITELPIRRWTDDYKAFLNSVTEGNRDENGNLPKDPFIKDFRKYGDDATVVFEVLLSEENMMIAKQEGLLKKFKLTTTISTSNMHLFDSAGVIKKYDNPEQILEEFFHLRLEYYERRKKVLLENLEFELLKLENKVRFILGVVRGEIIVNNRKRADLFLELHQKGFTPIPKKSKAVVAGATDDKDEAEDSLEVSGVRASDYDYLLSMAIGTLTLEKVQQLCADHDKLNGEVDNLRKTTPIVLWVKDLEALEMQLDVLDKYDAEAEEARKKLKGDANGEAGFKVSKQAPKNPRKYTKKAINEEVSVETMGKASSSAMETGQQAENAAEVVKPKGRAGSRKAPAKKQEKPSPISDEDDEIESLKDRLKAYRLDSSPEQSADMETEVLRVPAGRNAARNKPLAAVSVISDSEDEPDLDDDFDVQVKAVPETKKKGGRKAAAANDKAAKPPAATKRRGPASKQSQGLGQQLLTEMLKPAEESGISPEKKVRKMRASPFNKKSGSLLGGIHKGDDTGIETMPASTSENADVIDVPAKARPQRANRKQTTYVLSDSESEDSDFEQASEDSDSD >Potri.001G224950.1.v4.1 pep chromosome:Pop_tri_v4:1:24282558:24296574:-1 gene:Potri.001G224950.v4.1 transcript:Potri.001G224950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G224950.v4.1 MSLSLPLPSRSSQIRTLAITPASRKHKFLHIPVQQWTPSRPLQHLHTNHQYYLSRTSFQCMSRSQQQEYEVERLFSNLNQVTLKREPGSLSSAILLVAGTTIGAGILAIPAVTQESGFLASSVACILCWIFMVTTGLLIAEVNVNTMCELGSGGVSLVSMAKRTLGVAGVQFSCWSYIFIHYALLIAYVARSSDILTNFLGIPLWESATLFSLVFGGICYFGSQRFIGAVNGVLVFGIIISFTSLVVAASGDLHLDALLKANFSAVPMSIPIIALSFVYQNVVPVLCTNLEGNLSKVRTAIVLGTAIPLGLFLVWDGVILGSISTPEMADKIADPLQQLLSTNGVVGPIIQAFSLLAIGTSYIGFVLGLSDFLADFLKLPAGENKPLPYILTLIPPLVLALLDPEIFFKALDFAGTYGVLVLFGIIPAAMAWSDRYSSSSTSIKLPQLVPGGKVTLSLVMGAAGCIILSEILENFMHL >Potri.012G071200.2.v4.1 pep chromosome:Pop_tri_v4:12:9418027:9420799:-1 gene:Potri.012G071200.v4.1 transcript:Potri.012G071200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071200.v4.1 MEITIGVLLACIIGSLPLLGLLTWWWNEIWYVLPLKFQLSGTATKLPPGHLGFPFVGEMLTFLWYFKILGRPDDFINSKRSWYGDGVGLYRTHLFGSPSIIACFPAVSKFIFQSNDIFILKWPSVDILGQNSLVVVQGEAHKRLRNHVTNAITRPDALCRIAALVQPRMVAALQSWVDKRRINTYKEIKKVTFENIGKLFVGLQPGQQLDAIDELFRGLVRGVRAYPLNIPGTAYRHALQCKKKLDAIFRGELEKKKRQHESEKTNDLMDGLRQIEDDEGSQLSDQEVLDNIVGLVIAGYESTSIASTWAIYYLAKYPHVLAKLREENTALCKNKKRDFITSEDVAKLKYTNKVVEETIRMANIAAVILEWPPEKLSIKVIRYRRIGK >Potri.012G100750.1.v4.1 pep chromosome:Pop_tri_v4:12:12381979:12382390:1 gene:Potri.012G100750.v4.1 transcript:Potri.012G100750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100750.v4.1 MIKKNLEDSSHQDPGMNDHISPLIIIKIILRSGERNLLHKQESQLCDY >Potri.009G113045.2.v4.1 pep chromosome:Pop_tri_v4:9:9616964:9618926:1 gene:Potri.009G113045.v4.1 transcript:Potri.009G113045.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113045.v4.1 MIKLFKVKEKQRELAENANGKSPIKKQSAGELRLHKDISELNLHKTCTMAFPNGKDDLMKFEVTIRPDEGYYRGGTFVFSFQISSIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLFHLFTEPNHEDPLNQDAAAVLRDNPKLFESNVRRAMTGGYMGQTFFPRCI >Potri.009G113045.1.v4.1 pep chromosome:Pop_tri_v4:9:9616974:9618992:1 gene:Potri.009G113045.v4.1 transcript:Potri.009G113045.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113045.v4.1 MIKLFKVKEKQRELAENANGKSPIKKQSAGELRLHKDISELNLHKTCTMAFPNGKDDLMKFEVTIRPDEGYYRGGTFVFSFQISSIYPHEAPKVKCKTKVYHPNIDLEGNVCLNILREDWKPVLNINTIIYGLFHLFTEPNHEDPLNQDAAAVLRDNPKLFESNVRRAMTGGYMGQTFFPRCI >Potri.005G006300.1.v4.1 pep chromosome:Pop_tri_v4:5:461495:462189:1 gene:Potri.005G006300.v4.1 transcript:Potri.005G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006300.v4.1 MEEERKNKLSTFSEEEENDDEDERIEKFFAIIRRLRDARMYSRTCLREQEACKIAKKAKKAHAPVWTPKFQLEDFEEPGLESTRISQPSSSNKECGKEKKEELDLNLTLY >Potri.018G150900.2.v4.1 pep chromosome:Pop_tri_v4:18:16155247:16160653:-1 gene:Potri.018G150900.v4.1 transcript:Potri.018G150900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150900.v4.1 MNYLIGAFKPSCNISISFSDAKNRKQVPMKKENGQTLMVPLFHSQENIAGKISIEPLQGKKVDHIGVKVELLGQIEMYFDRGNFYDFASLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVTRPYAGSIIEYQDFVVSNYSPPPPINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQESS >Potri.018G150900.3.v4.1 pep chromosome:Pop_tri_v4:18:16155282:16160670:-1 gene:Potri.018G150900.v4.1 transcript:Potri.018G150900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150900.v4.1 MQKIASRFLLLNYVPMKKENGQTLMVPLFHSQENIAGKISIEPLQGKKVDHIGVKVELLGQIEMYFDRGNFYDFASLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVTRPYAGSIIEYQDFVVSNYSPPPPINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQESS >Potri.018G150900.5.v4.1 pep chromosome:Pop_tri_v4:18:16155251:16160694:-1 gene:Potri.018G150900.v4.1 transcript:Potri.018G150900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150900.v4.1 MNYLIGAFKPSCNISISFSDAKNRKQVPMKKENGQTLMVPLFHSQENIAGKISIEPLQGKKVDHIGVKVELLGQIEMYFDRGNFYDFASLVRELDVPGEIYERKTYPFEFSTVEMPYETYNGVNVRLRYVLKVTVTRPYAGSIIEYQDFVVSNYSPPPPINNSIKMEVGIEDCLHIEFEYNKSKYHLKDVIIGKIYFLLVRIKIKNMDLEIRRRESTGSGANTHVETETLAKFELMDGAPVRGESIPIRLFLSPYELTPTHRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITIYRLQESS >Potri.008G164600.3.v4.1 pep chromosome:Pop_tri_v4:8:11338111:11340258:-1 gene:Potri.008G164600.v4.1 transcript:Potri.008G164600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164600.v4.1 MHGEAETIAVIIDSAAEAANELQKLSLIIGLKKVVLGHPLHIPTKPTTMTIAITRPFTLARRTSFPKESINR >Potri.008G164600.2.v4.1 pep chromosome:Pop_tri_v4:8:11338111:11340258:-1 gene:Potri.008G164600.v4.1 transcript:Potri.008G164600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164600.v4.1 MHGEAETIAVIIDSAAEAANELQKLSLIIGLKKVVLGHPLHIPTKPTTMTIAITRPFTLARRTSFPKESINR >Potri.008G164600.4.v4.1 pep chromosome:Pop_tri_v4:8:11338566:11340110:-1 gene:Potri.008G164600.v4.1 transcript:Potri.008G164600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164600.v4.1 MHGEAETIAVIIDSAAEAANELQKLSLIIGLKKVVLGHPLHIPTKPTTMTIAITRPFTLARRTSFPKESINR >Potri.001G174600.1.v4.1 pep chromosome:Pop_tri_v4:1:14998774:15003366:1 gene:Potri.001G174600.v4.1 transcript:Potri.001G174600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174600.v4.1 MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTNALRAASGSADFSAKLWDALTGDELQSFEHKHIVRACAFSEDTHLLLTGGFEKILRIFDLNRPDAPPREVDNSPGSIRTVAWLHSDQTILSSCADIGGVRLWDIRSGKIVQTLETKSPVTSAEVSQDGRYITTADGSTVKFWDANHFGLVKSYDMPCNVESASLEPKFGNKFVAGGEDMWIHVFDFHTGEQIGCNKGHHGPVHCLRFSPGGESYASGSEDGTIRIWQLSPASHDENDSLPGNGPTGKVKVSADDVAQKIEVLRISKEGKTAEKDKATDA >Potri.003G106600.1.v4.1 pep chromosome:Pop_tri_v4:3:12955743:12961451:-1 gene:Potri.003G106600.v4.1 transcript:Potri.003G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106600.v4.1 MGSSGSKAYRGGASSSSSSSGSGRKGKSKGRSKVFQSSCLGTPCGSRDSTSGDRVCDGGNKENCEGNASSTNRNEIDSDEVKIECYGNVKVEQSDKTPCISSDVELDEWNQASITNTSSRTGSSSARAAAPTQSSTFPSRLLSRLSFIPGNVSFRLSRAVSLGSSRAYHTHSTGPRMFDGEDEIRHHPQSANSVVDGNGNEIQQISDLLATSLVSRTAHHHEDTAAGLQLHSQAPDLLDNMQENQNIFSIRNSGRDGGGNRVEVDANLRSPRMFNDMDGIETRLSDRRIGAREPAERNVRFSRTLSVGRLRDRVLRRSSLPELTFCPLQQEREMRGSSQGSGRQAVGGEMRVSESEGNTLTSTTALGYPPSGMSSSLFSIQDHEVETSRTREARYRDLLEHRSNFLERRRRIRSQVRALQRLGSRFENLSGHERSCILSGQHRTGRCTCRVNNRDANLNDDTSARASISRIVMLAEALFEVLDEIHQQSVVLSSLPSMSSLGSVPAPNEIVESLPVKLYAKSQKHQNEDTAQCYICLVEYEEGDSMRVLPCHHEFHRTCVDKWLKEIHRVCPLCRGDICRSESSPAEN >Potri.009G054300.1.v4.1 pep chromosome:Pop_tri_v4:9:5826883:5834018:1 gene:Potri.009G054300.v4.1 transcript:Potri.009G054300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054300.v4.1 MADTPKKSIQSPSKKLKKQATSPSSVSATPQTPRTLDPPRRFSPRLSLKVNAPQEPISPIEKPTKDSLKTPPNKLVEAPRESRIDSSKTRRNLSKNELKRTPRGEKDNGCSKTPKSKSEVLEVGVEFSPVSPDQSETKKRRRGEEKEKEKEKERMVITRAMTSKSKTAKTDKMSGKKRVYYKKVVYDEGEFEVGDDVYVKRREDASSDDEVPELEECRVCFKAGKAVMIECDDCLGGFHLKCLKPPLKIVPEGEWICGFCEARKLGKEVQLPRPPPGKKLARTLRDKLLSSDLWAAHIESIWKEADGSYWFRGRWYTIPEETSAGRQPHNLRRELYQTNDFAEIEMESIIRHCFVLNPKEYAKAHDEGDDIFMCEYEYDIHWHSFKRLADIDNGDEEGENSDTDEDWKSSKDAESDTDEDVEYEEEKVINLQSRASSAHELAANSRKGKFFGLQKIGTKRIPEHVRCHKQTELEKAKAALVLAKLPKSLPCRNKEMEEISAFVKGAICDNQCLGRCLYVHGVPGTGKTMSVLAVMRNLKSEVDAGSIRPYCFVDVNGLKLASPENIYRAIYEALTGHRVSWKKALHLLNERFSDGKRTGKEDDRPCILLIDELDLLVTRNQSVLYNILDWPTKPHSKLIVIGIANTMDLPEKLLPRISSRMGIQRLCFGPYNYQQLQEIISSRLKGINAFEKQAIEFASRKVAAISGDARRALEICRRAAEIADYQIKKLSSNHNPAPEGKGLVGMSAVEAAIQEMFQAPHIQVMRSCSKLSKIFLAAMVYELYKTGMAETSFEKLAMTVSCICTSNAEAFPGWDILLKLGCMLGESRIILCEPGARHSLQKLQLNFPSDDVAFALKDSKEIPWLAKYL >Potri.010G083500.1.v4.1 pep chromosome:Pop_tri_v4:10:11100685:11104881:1 gene:Potri.010G083500.v4.1 transcript:Potri.010G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083500.v4.1 MMDSKTSGNAGDVMVAKKPDNQEGGANSNVKGIGGASSKDMYFRADKIDLKSLDAQLEKHLSRVWSRDIEIQRPREEWEIDLSKLDIRHEVAHGTFGTVYRGTYDNQVVAVKLLDWGEDGMATAAETMAVRASFQQEVAVWHKLDHPNVTKFVGASMGTLNLKIPAKNPSDDNINLPARACCVVVEYLPGGTLKQYLIRNSRKKLAFKVVIQLALDLSRGLSYLHSKKIVHRDVKTENMLLDSHKTLKIADFGVARIEAQNPCEMTGETGTLGYMAPEVLDGKPYNRRCDVYSFGICLWEIYCCDMPYPDLSFADVSSAVVRQNLRPDIPRCCPSSLANVMRKCWDGNAEKRPEMDEVVKMLEGIDTSKGGGMIPEDQNSGCFCFNPVRGP >Potri.011G114000.2.v4.1 pep chromosome:Pop_tri_v4:11:14437218:14438352:-1 gene:Potri.011G114000.v4.1 transcript:Potri.011G114000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114000.v4.1 MANEVVLLDFEMSPFAARVRIALEEKGIEYKSKVEDLSNKSSTLLKMNPVHQQIPVLIHNGRPICESMIIVQYIDEVWSHKPSLLPSDPYRRAHARFWADYIDKKIYPIGRNLWASEGEVKESSKKDLFQCFKILEEELGDKQYFGDESFGYIDLALIPFYSFFYTFETLGNWSMVAEFPKLVKWGERCLQKESVSKSLSDQKEIYEAALQIKQELGIE >Potri.011G114000.1.v4.1 pep chromosome:Pop_tri_v4:11:14437315:14438324:-1 gene:Potri.011G114000.v4.1 transcript:Potri.011G114000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114000.v4.1 MANEVVLLDFEMSPFAARVRIALEEKGIEYKSKVEDLSNKSSTLLKMNPVHQQIPVLIHNGRPICESMIIVQYIDEVWSHKPSLLPSDPYRRAHARFWADYIDKKIYPIGRNLWASEGEVKESSKKDLFQCFKILEEELGDKQYFGDESFGYIDLALIPFYSFFYTFETLGNWSMVAEFPKLVKWGERCLQKESVSKSLSDQKEIYEAALQIKQELGIE >Potri.002G243800.1.v4.1 pep chromosome:Pop_tri_v4:2:23570218:23572363:1 gene:Potri.002G243800.v4.1 transcript:Potri.002G243800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243800.v4.1 MEGRYSNGGGGGDDGSRKRITLLDALENSRDRRTLETILSMEKQPGQANRTLLDIIRDEESGSLFGHKDKKSWKAFRDKLRLKRAGSAWTSSVHTPASDIPIPVENRFRNSNINNASSRSLMTRRNSVRFTTVSSVISPELEESDDNSGIGPSSRPQMTRRSSTRFGSAMLQVQSESTHSGDPDVSIHAVEDGPPSRGFRPQISRHNSTRFPVVDSTQSDENDLDPSAREGTTRLGTALAEERLLSAREAVAAQEAAEAAAQEEAAATAAAEHEAEEGAMPMPGSTETQEPVRMSLMDLLEETDRQMGFEGSRYTVGDLEACDDDDDEDEEEEDGGEEGDGVAGIEYTCCVCMVRHKGAAFIPCGHTFCRLCSRELWVQRGNCPLCNGFILEILDIF >Potri.011G010100.2.v4.1 pep chromosome:Pop_tri_v4:11:935588:945302:-1 gene:Potri.011G010100.v4.1 transcript:Potri.011G010100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010100.v4.1 MEKKPKEPKTPLTGFASINEDLVQNILKRTPATSFASAACVSKSWNHNCNQILSKPKLASAFSLNPDPKVALQEVVSKVLSEPIRPQFAIANVIESGVEYLSETLYLLAAKLGSKTPIIVSCTNGIIGRDAVTSEHKEVMLEDFWVDAASKNSGFGMLLTVGYLPGLKVEALPLLRPRKAGPVAMIDNFVMDIKNYSASVSGSTSPALIIMFGGEEADLKPVMEKLDHAMSRETIIAGGMRSQFLYRRGIESRNIYGSSTKYFTDAVALVFARDEDKPSGEGKIQFHSAISSGVSAIGPRYKAVSVKETQSETGLTTWLTSRREGEQEILGGQMIIDSIESELVNKTELFIGVSKQRQSVIGSENPKLLRSLALHQVKGGDGEHLFVSGDGIGSGDYFHFYHSDPKAALSATSNVSKYFRNLKLDWRSCQLHAGDVGSKEVVGGLVFSCWGRGASFFGHSNVDSSPFLDNFPGIPMAGIFGCGEVGRGFTMLNADDHVDQEEKTSCCCLHVYSTVYVLVSYTPAPLKH >Potri.001G313000.1.v4.1 pep chromosome:Pop_tri_v4:1:32394744:32396667:-1 gene:Potri.001G313000.v4.1 transcript:Potri.001G313000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313000.v4.1 MADKPHAVCVPFPAQGHINPMLKLAKILHFNGFHITFVNTEYNHRRLLRSRGASSLDGLPDFQFETIPDGLPPSDADSTQDILTLCYSTSKTCLAPFRDLIAKLNSSSVIPQVTCIVSDAIMNFTLDAAEEFGIPDALFWTPSACGVLGYSKCRLLFERGLTPVKDVSYLTNEFLETAIEWIPGKENIRLRDLPSLVTTADVDEINLIITLIERTSRASAVIFNTFESFERDVLDALSTMFPPIYTLGPLQLLVDQFPNGNLKNFGSNLWKEEPGCIEWLDSKEPNSVVYVNFGSITVITPQQMMEFAWGLANSNKPFLWIIRPDLVEGESAMLPSEFVSETKKRGMLANWCPQELVLKHPSIGGFLSHMGWNSTMDSICAGVPLICWPFFADQQTNCMFACTEWGIGMQIDNNVKRDEVEKLVRELMEGEKGKDMKRKAMEWKTKAEEVTRPGGSSFENLEALVKVLACKQTC >Potri.002G051800.4.v4.1 pep chromosome:Pop_tri_v4:2:3482788:3489104:1 gene:Potri.002G051800.v4.1 transcript:Potri.002G051800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051800.v4.1 MDTLLHTPTSMAKRPCSFPSSPSPSPNPNMNNQLDNLLRTFLNLADSPSLSIDHSFEHLLESSPCDADKSLLIDRALKLGSLLLEAGKRSARKRSSVHNSLTWALPPDLTIKVLSMLDTQSLCYAAATCSMFYKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKALLSLKLGIVPGPIMSRGSCQPMVYGIQNAVEVSNFSWNDKKTRQGKESSILSRSCLNPLSGDSGAAGAVLRGLHLYNIERIDNTSLCGALSACPSLLDLEIIGLHVELRQTLVSVSMNCPLIERLFFESSKTGRDDSLKSPTCVELVNNCPNLTSLALRGFKLYDYKLRILVKVRQQSSSV >Potri.002G051800.1.v4.1 pep chromosome:Pop_tri_v4:2:3482744:3489094:1 gene:Potri.002G051800.v4.1 transcript:Potri.002G051800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051800.v4.1 MDTLLHTPTSMAKRPCSFPSSPSPSPNPNMNNQLDNLLRTFLNLADSPSLSIDHSFEHLLESSPCDADKSLLIDRALKLGSLLLEAGKRSARKRSSVHNSLTWALPPDLTIKVLSMLDTQSLCYAAATCSMFYKCAMDPLCYANIDLTTVVPKVNNAVVSTMIQRAGKALLSLKLGIVPGPIMSRGSCQPMVYGIQNAVEVSNFSWNDKKTRQGKESSILSRSCLNPLSGDSGAAGAVLRGLHLYNIERIDNTSLCGALSACPSLLDLEIIGLHVELRQTLVSVSMNCPLIERLFFESSKTGRDDSLKSPTCVELVNNCPNLTSLALRGFKLYDYKLRILVKGFRKLKYVDFSTSYSITGSFLRNLGGNIGGSLLEVMILRDCIHLKELEVARLLTSVLAGDFKYLQHLDISNREGLAAAGDSYGRCYSSSIIPMKQVLEERPEICLLAEFPSEGSFIDISQMTDSDLNSEVSLSSLLSSIASDGSSFMYTSESNYSSDQTSGNEEGRDSGYVVCEESSDEYDYLLG >Potri.014G144550.1.v4.1 pep chromosome:Pop_tri_v4:14:9877452:9877962:-1 gene:Potri.014G144550.v4.1 transcript:Potri.014G144550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144550.v4.1 MWDMGHHTYLEVTLPWNFCCLDYGSTIISRINVGITSQLFEVVKQTEPSTVTRGTQKGALYRVLVLTKWS >Potri.011G073641.1.v4.1 pep chromosome:Pop_tri_v4:11:7761270:7763590:1 gene:Potri.011G073641.v4.1 transcript:Potri.011G073641.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073641.v4.1 MCNCTLNLNNDGYCHITSLYLKTLSLPGELPPEITNLTYLEILDLTRNYISGNIPEEWASMKHLTNLSLTSNRLSGNIPLYLGSFRSLTYLSLEANQFSGTIPSQLGNLVNLTDLILSSNQLEGTLPETLAKLNLTNFRASDNNLSGRIPDFIGNWNNLDRLELYASGLQGPIPPAILSLEKLTDLRITDMYGPEFNLTSIPPRVKKLVLRNINLAGVIPGDVWTSGSLKTL >Potri.015G080000.2.v4.1 pep chromosome:Pop_tri_v4:15:10615849:10618160:-1 gene:Potri.015G080000.v4.1 transcript:Potri.015G080000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080000.v4.1 MEKPRWWLFLLPGIVVLDLVCLCKAIESPQYAVVHAESDFEVRLYVNSTWMSAPVNELSFEKATLFGFHRLFQYIQGANLNSSRIAMTAPVVTSIVPGAGPFRSSAYIIRFYLPVKFQADPPVPLDELHLKPYTWNSRCVAVRKFSGYAKDENVAREAKRLAVSLSMSPWVNVTSTENNCSYSIAQYDSPFQFIHRTNEVWADIKAPGANGCQSGVIASY >Potri.018G145522.1.v4.1 pep chromosome:Pop_tri_v4:18:15216727:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKESLSSVQYLLLDGNNINKLVASGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILELQNLSSLEKLYLNGCFLDENSIQILGALSSLKYLSLYEVSGIVPSQGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLRKTS >Potri.018G145522.5.v4.1 pep chromosome:Pop_tri_v4:18:15218075:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILELQNLSSLEKLYLNGCFLDENSIQILGALSSLKYLSLYEVSGIVPSQGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLR >Potri.018G145522.4.v4.1 pep chromosome:Pop_tri_v4:18:15216727:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILELQNLSSLEKLYLNGCFLDENSIQILGALSSLKYLSLYEVSGIVPSQGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLRKTS >Potri.018G145522.3.v4.1 pep chromosome:Pop_tri_v4:18:15218156:15222830:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKESLSSVQYLLLDGNNINKLVASGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILDENSIQILGALSSLKYLSLYEVSGIVPSQGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLR >Potri.018G145522.9.v4.1 pep chromosome:Pop_tri_v4:18:15216727:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLRKTS >Potri.018G145522.7.v4.1 pep chromosome:Pop_tri_v4:18:15218074:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKESLSSVQYLLLDGNNINKLVASGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLR >Potri.018G145522.10.v4.1 pep chromosome:Pop_tri_v4:18:15218075:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLR >Potri.018G145522.8.v4.1 pep chromosome:Pop_tri_v4:18:15218074:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLR >Potri.018G145522.2.v4.1 pep chromosome:Pop_tri_v4:18:15218075:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKESLSSVQYLLLDGNNINKLVASGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILELQNLSSLEKLYLNGCFLDENSIQILGALSSLKYLSLYEVSGIVPSQGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLR >Potri.018G145522.6.v4.1 pep chromosome:Pop_tri_v4:18:15218075:15222954:-1 gene:Potri.018G145522.v4.1 transcript:Potri.018G145522.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145522.v4.1 MGLFLHMSMVLAIMMVSLEGWLPLGCLEEERIALLHLKDAFNYPNGTSLPSWIKDDAHCCDWEHIECSSSTGRVIELVLDSTRNEEVGDWYFNASLFRPFQQLEWLSLSYNRIAGWVEIKGPNNLRYLSLKNITTNGSSFQLLSSLGAFPNLTTVYLNDNDFKGTILDENSIQILGALSSLKYLSLYEVSGIVPSQGFLNILKNLEHLYSSNSTLDNSILQSIGTITSLKILELVKCRLNGQLPIGLCNLNNLQELDMRDNDISGFLIPCLANLTSLQRLDLSSNHLKIPMSLSPLYNLSKLKSFHGLDNEIYAEEDDHNLSPKFQLQSLYLSNHGQGARAFPRFLYHQLNLQSLDLTNIQMKGDFPNWLIENNTYLENLYLENCSFSGPFLLPKNSHMNLSILSISMNYLQGQIPLEIGAHLPRLTVLLMSHNGFNGSIPSSLSNMSLLRDLDLSNNVLTGRIPEHLTTSLCLFNFLILSNNSLQGVIPDSISNCSSLQLLDVSNNHLSPRIPGWIWSMSILDFLDLSRNNFSGPLPPTISTSSTLRYVYLSRNKLQGLITKAFYNFSTLLTLDLSHNNLIGTIPEWIGSLSKLRYLLLSYNKLEGEIPIQLCKLDGLTLIDLSHNHLSGNILSCMTSLAPFSALTDATIIETSQQYLEFTTKNVSLIYRGSIVKLFSGIDFSCNNFTGKIPPEIENLSKIKALNLSHNSLIGPIPPTFSRLKEIESLDLSHNKLDGEIPPQLTELFSLEIFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGASMLPSPTSMNNEDNGGFIDMEVFYVSFGIAYIMVLVVIVAVLYINPYWRRAWFRFTLLR >Potri.010G247100.1.v4.1 pep chromosome:Pop_tri_v4:10:22158368:22163226:1 gene:Potri.010G247100.v4.1 transcript:Potri.010G247100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247100.v4.1 MAMAAFKSTSRRATTTTSAATSDKETSTKQHALPRKTVPSRRSRSVSAVSRSHLVDTCSTTRTAAEGTATGSTDFLIKRDNPLYWSNVSPPGKEVSEVVADKEESKSAPTKPNAVGDSRRGRSVSRKADAGRNVSGIGRSLSRGPVSRGRSVSRPPGSGGHFVNSESDAEQEGSSLMKYINGSGGLSDVSNAGRNSDLARRSYDSKFEKMRSSPMRSDGSAADLPSLPFRSWEDGGLGSSFSEAEERTIKAVCEQMQSFQGDNLGDGTSSRIYETVRSEVRRAIADIQNDLESTIRRSNTTAIAMANVTDIPPDLVNPSAVELVLDIRREYANKLEQSHERARKLRADLAVEEHRGLELSRILKEVLPHPRTSNVQKPRAGRKSSIERRKVSKRLTDEAMAYFDECVSLSTFDSSDFSSPEDPPINFVGVSSPVGDCASFSQASSNAAANCYPNSFTTNKQELVSAHSHSASVLSATGSSKEPTLDEVILNSSETPYSQRFQFSFARKPNDSIEVQQDIRKYVKSFEKDMEKTGVNSKILRSNHFDLDEYNSQASRQNFLFDTVFLNNRIQSGSMLLCDGGMGVSFSPFAAVI >Potri.009G103600.1.v4.1 pep chromosome:Pop_tri_v4:9:9117455:9119293:-1 gene:Potri.009G103600.v4.1 transcript:Potri.009G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103600.v4.1 MGESARNSKRIAETGILESSVTSFSKKMKFDFDEFSLPSFNFKLQAHLCTTMSPENLISSAASSNSDRFITGNSSCGDSPVSCCSSNESIKVVKDSLRFIDLEAKSSETESSTCNDRKFSRDTTPSSEFHGMYSPAAVEKKENSHRRKSPAVKMPSQAEIDAFFAGAEREEQKRFAEKYNYDVVKDLPVEGRYQWICLKPQRKIEN >Potri.004G131700.1.v4.1 pep chromosome:Pop_tri_v4:4:14959858:14961912:-1 gene:Potri.004G131700.v4.1 transcript:Potri.004G131700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131700.v4.1 MTTLLLSCATFLSTLVPYIISFVIFLVLVEQVSYLIKKRGAPGPVFVLPFIGNAISLVRDPTSFWDTQSANSSRSGFSANYIIGRFILYIRDTNLSHLIFSNIRPDAFLLVGHPFGKKLFGEHNLIYKFGQEHKDLRRRIAPNFTPRALSTYTSLQQIIILKHLKKWESLSSNSPNKSISLRLLVRDMNLETSQTVFVGPYLSEEERERFKLDYNMFNVGLMKLPIDLPGFAFRNARLAVDRLAETLSECVMKSKKKMDNNHEPSCLIDFWMQEMLKEISAAKSAGEPVPPHTSEAEIGGHLFDFLFAAQDASTSSLLWAVALLDSNPEVLLKVRKEVSSFWSPESDGLINTEQLREMKYTQAVAREVLRYRAPATLVPHVAMKEFALTESYTIPKGTIVFPSVLDSSFQGFTKPDRFDPDRFSEDRQEDQLFKKNFLTFGAGAHQCVGQRYALNHLVLFIAMFCALLDFKRYRADGCDDIVYNPTICPKDGCIVSLKRRGTRYPNLSLE >Potri.017G094600.2.v4.1 pep chromosome:Pop_tri_v4:17:10717254:10723918:-1 gene:Potri.017G094600.v4.1 transcript:Potri.017G094600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094600.v4.1 MALQTASNPTLPSAQVVGNAFVEQYYYILLTSPESVHRFYQDSSVLSRPDANGVVTSVTTMQGINEKILSLDFKDCKAEIKTADAQISYKDGVTVLVTGCFTGKDNVKRKFAQSFFLAPQDSGYFVLNDVFRYVDDNESLESHLGHGVDSNPIVPSIPDQEPAHVPDPSAPDPLPSVVEEHKKLAEKANESSDHEKQLVNDREIIVEDLSDENNVPVVVESVSSMIQEDAPKKSYASIVKVAKGSLLPIKVYLPANTTKMVPKRTENQSEKSVAPVLEPETSVPSSNDAPETSSAQEEVEGHSIYIRNLPFNLTVSQLEAEFEKFGPIKEGGVQVRYNRQQGYCFGFVEFHSPNSMNSAIEASPMTIGGRQIVVEMKRTNTRVGSGRGNFSYGRAGFRNDSFRGRGNYGGGRGFGRNDRSRGEFSGRGRSSSGQVEGYQQGRGRGGRSSGVKHDAISS >Potri.017G094600.4.v4.1 pep chromosome:Pop_tri_v4:17:10717195:10723881:-1 gene:Potri.017G094600.v4.1 transcript:Potri.017G094600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094600.v4.1 MALQTASNPTLPSAQVVGNAFVEQYYYILLTSPESVHRFYQDSSVLSRPDANGVVTSVTTMQGINEKILSLDFKDCKAEIKTADAQISYKDGVTVLVTGCFTGKDNVKRKFAQSFFLAPQDSGYFVLNDVFRYVDDNESLESHLGHGVDSNPIVPSIPDQEPAHVPDPSAPDPLPSVVEEHKKLAEKANESSDHEKQLVNDREIIVEDLSDENNVPVVVESVSSMIQEDAPKKSYASIVKVAKGSLLPIKVYLPANTTKMVPKRTENQSEKSVAPVLEPETSVPSSNDAPETSSAQEEVEGHSIYIRNLPFNLTVSQLEAEFEKFGPIKEGGVQVRYNRQQGYCFGFVEFHSPNSMNSAIEASPMTIGGRQIVVEMKRTNTRVGSGRGNFSYGRAGFRNDSFRGRGNYGGGRGFGRNDRSRGEFSGRGRSSSGQVEGYQQGRGRGGRSSGVKHDAISS >Potri.010G123102.1.v4.1 pep chromosome:Pop_tri_v4:10:14100878:14102926:1 gene:Potri.010G123102.v4.1 transcript:Potri.010G123102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123102.v4.1 MILQALAITPPPHVTFPTTSRACAKWMIHLPRQSSSAPFPTSRPPHVRSLPLIRNCTSLPFIDLKAGKGMSGLVEVELKVRDYELDQFGVVNNAVYAELDQFGVVNNAVYASYCQHGRHELLERIGVSADVVARTGDALALSELSLKFLAPLRSGDRFVVKVRISGSSAARLYFEHFIFRLPNEEPILEAKATAVWLDKKYHPVRIPPEFRSKFVQFLRHEES >Potri.003G123500.1.v4.1 pep chromosome:Pop_tri_v4:3:14390807:14391969:-1 gene:Potri.003G123500.v4.1 transcript:Potri.003G123500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123500.v4.1 MESLNFFKGYGKVNPLEDQSPHQQESTASKRRILIISVSSILFFTLILGLALAALIHESNTEPDEFPYLSSSNSAESIKTVCDMTLYPSSCFTSISSLNISTKPDPEVIFKLSLKVSITELKYLSSLFTSSHDVNSQAAMRDCVSLFDDSLGKLNDSLLAMEVGPGEKMLTLEKVNDIHTWISAAMTDQDTCIDGLEEMESVLPDEIKAKVERTKDFLSISLAIIAKMEALLKKFDLEMH >Potri.006G236500.3.v4.1 pep chromosome:Pop_tri_v4:6:23858171:23862917:-1 gene:Potri.006G236500.v4.1 transcript:Potri.006G236500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236500.v4.1 MMNGVEKTNGEDVATEEQLITPWTVSVASGYTLLRDPRHNKGLAFTENERDAHYLRGLLPPALMTQELQEKKLMHNLRQYEVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPESNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGQEYAELLEEFMTAVKKNYGEKVLVQFEDFANHNAFELLEKYSPTHLVFNDDIQGTASVVLAGLLAALKLVGGALADHRFLFLGAGEAGTGIAELIALEISKQTKAPLEETRKKIWLVDSKGLIVSSRKESLQHFKKPWAHDHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVEAMASINEKPLILALSNPTSQSECTAEEAYTWSKGRVIFASGSPFDPVEYNRKVFVPGQANNAYIFPGFGLGLIMSGAIRVHDDMLLAASEALAAQVKQEHFDKGLIYPPFSNIRNISANIAAKVAAKAYELGLASRLPRPKDLVKYAESCMYSPVYRSYR >Potri.006G236500.2.v4.1 pep chromosome:Pop_tri_v4:6:23858172:23863106:-1 gene:Potri.006G236500.v4.1 transcript:Potri.006G236500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236500.v4.1 MMNGVEKTNGEDVATEEQLITPWTVSVASGYTLLRDPRHNKGLAFTENERDAHYLRGLLPPALMTQELQEKKLMHNLRQYEVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPESNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGQEYAELLEEFMTAVKKNYGEKVLVQFEDFANHNAFELLEKYSPTHLVFNDDIQGTASVVLAGLLAALKLVGGALADHRFLFLGAGEAGTGIAELIALEISKQTKAPLEETRKKIWLVDSKGLIVSSRKESLQHFKKPWAHDHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVEAMASINEKPLILALSNPTSQSECTAEEAYTWSKGRVIFASGSPFDPVEYNRKVFVPGQANNAYIFPGFGLGLIMSGAIRVHDDMLLAASEALAAQVKQEHFDKGLIYPPFSNIRNISANIAAKVAAKAYELGLASRLPRPKDLVKYAESCMYSPVYRSYR >Potri.006G236500.1.v4.1 pep chromosome:Pop_tri_v4:6:23858178:23863061:-1 gene:Potri.006G236500.v4.1 transcript:Potri.006G236500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236500.v4.1 MISRQTFLRAVVLGCGGERKVWALLERRTMMNGVEKTNGEDVATEEQLITPWTVSVASGYTLLRDPRHNKGLAFTENERDAHYLRGLLPPALMTQELQEKKLMHNLRQYEVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPESNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGQEYAELLEEFMTAVKKNYGEKVLVQFEDFANHNAFELLEKYSPTHLVFNDDIQGTASVVLAGLLAALKLVGGALADHRFLFLGAGEAGTGIAELIALEISKQTKAPLEETRKKIWLVDSKGLIVSSRKESLQHFKKPWAHDHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVEAMASINEKPLILALSNPTSQSECTAEEAYTWSKGRVIFASGSPFDPVEYNRKVFVPGQANNAYIFPGFGLGLIMSGAIRVHDDMLLAASEALAAQVKQEHFDKGLIYPPFSNIRNISANIAAKVAAKAYELGLASRLPRPKDLVKYAESCMYSPVYRSYR >Potri.006G236500.4.v4.1 pep chromosome:Pop_tri_v4:6:23858237:23861814:-1 gene:Potri.006G236500.v4.1 transcript:Potri.006G236500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236500.v4.1 MHNLRQYEVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPESNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPVTIDVGTNNEKLLNDEFYIGLRQRRATGQEYAELLEEFMTAVKKNYGEKVLVQFEDFANHNAFELLEKYSPTHLVFNDDIQGTASVVLAGLLAALKLVGGALADHRFLFLGAGEAGTGIAELIALEISKQTKAPLEETRKKIWLVDSKGLIVSSRKESLQHFKKPWAHDHEPVKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVEAMASINEKPLILALSNPTSQSECTAEEAYTWSKGRVIFASGSPFDPVEYNRKVFVPGQANNAYIFPGFGLGLIMSGAIRVHDDMLLAASEALAAQVKQEHFDKGLIYPPFSNIRNISANIAAKVAAKAYELGLASRLPRPKDLVKYAESCMYSPVYRSYR >Potri.002G000901.1.v4.1 pep chromosome:Pop_tri_v4:2:66794:68245:-1 gene:Potri.002G000901.v4.1 transcript:Potri.002G000901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000901.v4.1 MNAGANGQFMCSKNKRHDCTFLFLKRLTPYSMEQKLMLQCTIMNFK >Potri.001G447832.1.v4.1 pep chromosome:Pop_tri_v4:1:47318795:47320719:1 gene:Potri.001G447832.v4.1 transcript:Potri.001G447832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G447832.v4.1 MRMQMLVNARSKFNPPLPKGYYGNVLALPAAVTNARKLCLNSLGYALEMIRNAKNRITEEYMRSLTDLMEITKGQPIALQSYVVSDLTSMGLDQVDYGWGNTIYSGPPKAMPDEISIAGTYFLPYRFKNGERGVMVLVSLRAPVMERFAILLEELAWHDPERSQGQQEMIPSSL >Potri.009G161700.2.v4.1 pep chromosome:Pop_tri_v4:9:12477997:12480196:-1 gene:Potri.009G161700.v4.1 transcript:Potri.009G161700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161700.v4.1 MFSKKKKTLQTILASNAGCGCGRPKLSDVYEPIPKPRPRPCRTSISQKDPNPNCSSSSSCDKSVGFSLMDNEEEDYTSTTITLNKDNTSSSQNSESETDPKASKIIDSIAVVKYSNDPFQDFKHSMLQMVVEKNIYSRNDLEELLNCFLELNSPCHHSVIVQAFTEIWNEIISKRIVKKPCAQFM >Potri.014G038800.1.v4.1 pep chromosome:Pop_tri_v4:14:2505004:2507638:1 gene:Potri.014G038800.v4.1 transcript:Potri.014G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038800.v4.1 MEMQSVCTGITAPKLRLSTNRLLSNEIISASHVGLRCPRILLKNPSKLCVKPGFKNIFKGISWSSLNRGVVICGSSISNSNADADLKISRRKSSGVPASSSNGVEPFNGKSGSVSFHGLTHQSVEEGRLVSAPFNEEKGSFVWLLGPVALVLSLIVPQFFLGSAIEAFLKDEVLVEIATSLSFEAMFYFGLATFLTVTDRIQRPYLQFSSKRWGLITGLRGYLTSAFFVMGFKVIAPLFAVFVTWPVLGLPALVAVLPFLVGCLAQRLFETYLDKRGSSCWPLVPIIFEVYRLYQLTKAAHFIEKLMFTLRGVPESALLLERSNALVSMIVTFQVLGLVCLWSLTTFLLRLFPSRPVAENY >Potri.001G132232.1.v4.1 pep chromosome:Pop_tri_v4:1:10764695:10767629:-1 gene:Potri.001G132232.v4.1 transcript:Potri.001G132232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132232.v4.1 MKKKMRSRKSPLPMASPPSPSPPKSSILNSNKKKKPIASVAAAAGVLRSSPPPSLDKTLASISDLKELASSRFDDIKSRLIDRSHSEIIKDLEASHSRLHKHFKIQSQTCQQMMDESEKDFKKMTERVTETTEAMKETYTEFMAEAQATASRVCKTTIPELAKSLEKSIGDLQSRFGIPSN >Potri.001G132232.2.v4.1 pep chromosome:Pop_tri_v4:1:10764682:10767629:-1 gene:Potri.001G132232.v4.1 transcript:Potri.001G132232.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132232.v4.1 MKKKMRSRKSPLPMASPPSPSPPKSSILNSNKKKKPIASVAAAAGVLRSSPPPSLDKTLASISDLKELASSRFDDIKSRLIDRSHSEIIKDLEASHSRLHKHFKIQSQTCQQMMDESEKDFKKMTERVTETTEAMKETYTEFMAEAQATASRVCKTTIPELAKSLEKSIGDLQSRFGIPSN >Potri.001G132232.3.v4.1 pep chromosome:Pop_tri_v4:1:10764695:10767629:-1 gene:Potri.001G132232.v4.1 transcript:Potri.001G132232.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132232.v4.1 MKKKMRSRKSPLPMASPPSPSPPKSSILNSNKKKKPIASVAAAAGVLRSSPPPSLDKTLASISDLKELASSRFDDIKSRLIDRSHSEIIKDLEASHSRLHKHFKIQSQTCQQMMDESEKDFKKMTERVTETTEAMKETYTEFMAEAQATASRGMFKYLIVFIYCLCDRPTVVLKLKKTI >Potri.015G002900.1.v4.1 pep chromosome:Pop_tri_v4:15:177989:179245:1 gene:Potri.015G002900.v4.1 transcript:Potri.015G002900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002900.v4.1 MQNSPSRLPPGYKFLPTDEELIGFYLDRKVNGGLPAEYASLFKDYNIYGEEEPWEIFDRFEGHKSGDNGLYFFTTLSKKTVNCTKNKNRKVGKNGGTWHGDGGEEVSTREGAVIGMKNRFRYKNKRQPNHDGWIMLQYGSELISENIVISQLKTKESKKRKLMDLSEIVGVAEDEDIIQISQRFKNLPVINSEPTRSQDQQPHQIIQNQETWLEAATAPVQNMEPELSAIVNRGAKLSQDQELQQIAENVDTWLQAGVDSWEDDAGCATEDSFTNIDPAAQVEPGQVNFTKEPTVTENLETELEAGIVRKEDGVVEDEDIFHDLTDIVSNELAFQVGPDQQLDFIQESTISENQQMALASFNNDHAKETISDKLDDIEMADYLESIFNGYHISQIIQDLDQFMPSLDDSPAEESGDGA >Potri.002G263100.2.v4.1 pep chromosome:Pop_tri_v4:2:25045897:25049540:1 gene:Potri.002G263100.v4.1 transcript:Potri.002G263100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G263100.v4.1 MNWVQRKIYLYNVTFGLFMLDWWERYLFNILMIVLMWFIFYNGSRYVTDFCKRHLG >Potri.001G003000.1.v4.1 pep chromosome:Pop_tri_v4:1:180681:183424:-1 gene:Potri.001G003000.v4.1 transcript:Potri.001G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003000.v4.1 MFSSPDHDSIMILVAAPCLLLLYFLIKTLRERLFPDPQLPPGSLGWPLLGETLQFLPTRRTPKPERFVSDRMKKYNPQVFKTSLFGETVAVFCGPAGNKFLFQNENKLVNLWWPTSVKKLMKSSLSNVVGDDAKRMRKMLLTSLDRDALKRYIDRMDLVAQNHIRTHWEGKEELKLHPTINLYTFELSCRLFASIDDPTHISKLAHHFDIFLKGVIHFPIYVPGTPFYRASKAADAIKEELRLIARRRREALDKKMESHRKDLLSHLLVTTDASGKLLSESEIVDNMLMLLFASHDTTTSAMTCVMKYLAELPEVYEMVLREQLDIAKSKEAGELLKWEDIQRMRYSCNVVSEVLRMIPPIRGTFRKALVDFTYAGYKIPKGWKLYWSPDSTTKDPAYFPNPEEFDPSRYEGAGPAPYTFVPFGGGGRVCIGNEYSRPQILVFMHNIVKRFKWDLLIPDEKVTYDPMPAPSHGLPIRIQQHQSTA >Potri.009G126500.1.v4.1 pep chromosome:Pop_tri_v4:9:10479862:10480562:-1 gene:Potri.009G126500.v4.1 transcript:Potri.009G126500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126500.v4.1 MAIRLLGFLAKQSLRRPVSCAHKAASKSSDVPKGFLAVYVGETEKKRFVVPVSYLNQASFQDLLSKAEEEFGFDHPMGGLTIPCAEDTFLDVTSSLSRL >Potri.015G135800.5.v4.1 pep chromosome:Pop_tri_v4:15:14502394:14506636:-1 gene:Potri.015G135800.v4.1 transcript:Potri.015G135800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135800.v4.1 MEDERDAEDAIRGLDRVEFGRKGRRLRVEWTKQERGIRRPGGTSRRSTNTRPSKTLFVINFDPHHTRTKDLERHFEPYGRIVSVRIRRNFAFVQYEAQEDATKALDATNLSKLLDRVISVEYAVRDDDERKDGYSPDRSRDRSPDRRGHDRRRSPSPYRRERGSPDYGRGPSPYRKERGSPDYGRRRSPSPYRRDRASPDYGRGTSRSPYRRERAGSDHGHGPSRSPYRRDKASPVNGSPYRRDKASPVNGHGPSDSPYQREERISPENGRGPSRSPYRRERSNQGHGRGSSHSPYGRERPNPDNGRGSSRSPTERDGDSPENGQLRSPSSIPDERDSPNGGAESPMRGRYRSQSPPADE >Potri.015G135800.1.v4.1 pep chromosome:Pop_tri_v4:15:14502094:14506640:-1 gene:Potri.015G135800.v4.1 transcript:Potri.015G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135800.v4.1 MRPIFCGNFEYDARQTELERLFKRYGRVERVDMKSGFAFIYMEDERDAEDAIRGLDRVEFGRKGRRLRVEWTKQERGIRRPGGTSRRSTNTRPSKTLFVINFDPHHTRTKDLERHFEPYGRIVSVRIRRNFAFVQYEAQEDATKALDATNLSKLLDRVISVEYAVRDDDERKDGYSPDRSRDRSPDRRGHDRRRSPSPYRRERGSPDYGRGPSPYRKERGSPDYGRRRSPSPYRRDRASPDYGRGTSRSPYRRERAGSDHGHGPSRSPYRRDKASPVNGSPYRRDKASPVNGHGPSDSPYQREERISPENGRGPSRSPYRRERSNQGHGRGSSHSPYGRERPNPDNGRGSSRSPTERDGDSPENGQLRSPSSIPDERDSPNGGAESPMRGRYRSQSPPADE >Potri.019G048100.1.v4.1 pep chromosome:Pop_tri_v4:19:7666245:7671355:1 gene:Potri.019G048100.v4.1 transcript:Potri.019G048100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048100.v4.1 MEESSRTAADGNIGPIVWVRRRNGSWWPGQIMEADELAEYNLTSPRTGTPVKLLGRDDASVDWYNLEKSKRVKAFRCAEFSDCIERAESALGMPIKKREKYARREDAILHALELEKQLLRKQGASGVASDCPRSKSSGSVKTELGAFSEGSINYSGKPEDVKLNQTLRGVDIEIPGSPLIPLKAKDGDQSVSEFHSEAMLRMRGSQDFGRRTALKRKFSPSVDLDGSWRRPVADNKYEDPPDTFPHVEITPHANGVEKMGAIFRAKRTRCVYLPADSSDSMDDKDIPPNQNKFFPSGFEAELHSHPGSSNEEDSSSGFMEDVESDLSGSNSSESESDSSQTEPDVDKEMVIFSDVAFPIEAEQDALGQPEATLEHASTSSEESDGLISSDDMDDLYHDEHFLAHEAVSKWKLKGKRNIRYLTQKPVNMEYGKGSNGASHGTFHGMKGSISSQRAFGAHDANLGRKYFGSKMYRLGNRGCSFPSRFVSRDRNNTGRNMIKWQGMGLEDQHTFRRHWEDMGEHSNPIFVGQHHFGGRARSLLVDVDLKVQSSYQKDRVPIVSLISKFDGKAIIGHPIPIEAVEDGLSETQFPLNDQFPLNDYYSNEAVDQDESTSLQPAWRTARRTNSRVPRPHLLSLSGFDAAAEDIQFMDQEKRVPFRKSSGGSFSYKANLARKSLPHVPQPSAQKKFPRKMPKKVSLPSNQKTRTLSSIGIKQIFVRNPVQDTGNGKMDGLIKPETSGPTTVACIPVKLAFSRLLEKINRPPSTTVTKAVVLNRDGDREPS >Potri.005G033800.1.v4.1 pep chromosome:Pop_tri_v4:5:2230214:2233315:1 gene:Potri.005G033800.v4.1 transcript:Potri.005G033800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033800.v4.1 MGVDLESVSEATSGSIGSLLSTTILYPLDTCKTKYQAEARAHGQQKYRKLTDVLWEAISTRQVLSLYQGIGTKNLQSFISQFVYFYGYSYFKRLYMEKSGFKKIGTKANLIIAAAAGACTAVITQPLDTASSRMQTSAFGKSKGLWETLTEGSWSDAFDGLGISLLLTSNPAIQYTVFDQLKQKLLMRNKNGAEKTAVTLSAFSAFVLGALSKSIATFLTYPAIRCKVVIQAADTDDDETKKSQRKSKKTISAVICAIWKREGIFGFFKGLHAQILKTVLSSALLLMIKEKIAATTWVLILAIRRYLFLTRGRLKST >Potri.001G461800.1.v4.1 pep chromosome:Pop_tri_v4:1:48720125:48721940:1 gene:Potri.001G461800.v4.1 transcript:Potri.001G461800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461800.v4.1 MRSLSISKLQFLLLPFLTFLWVASADHHEDFLQCFHSQNSNSISKVIYTPINSSYSSVLQFSIRNGRFNTSATPKPLVIVTPLNVAHIQAVIACSQKHGLQIRVRSGGHDYEGLSYVSVLPFVVADLINMRTVTVDVGNKIAWVQAGATLGEVYYRIAEKSRTLAFPAGVCPTVGSGGHISGGGHGMMMRKYGLAADHIIDVKLIDVKGRILDRASMGEDLFWAIRGGGGNTFGVVVAWKLELVTVPPTVTVFNVTRTLEQNATKLVHQWQSAIGKFDEDLFSRIFLSRVNTSQEGKTTILAVYTSLFLGGVDRLLSMMQQSFPQLGLVKEDCIEMSWIESTVYFAQFPRNTSLDVLLDRSPGSTVSFKGKTDYVKEPIPEIALEGIWERLNQLDALVAQLQFTAYGGKMDEISETSIPFPHRAGNLFQIHYAVFWGDQDSERSQIYTSWIRKLYSYMTPYVTKNPRQAYINYRDLDLGMNTLGNTSYKQARIWGTKYFKNNFDRLVHVKTKVDPANFFRNEQSIPPLSSW >Potri.008G118800.1.v4.1 pep chromosome:Pop_tri_v4:8:7631645:7633520:1 gene:Potri.008G118800.v4.1 transcript:Potri.008G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118800.v4.1 MAPKSDSAEAIVLNFVNEQNKPLNSQNVADSLQKFNLKKAGIQKALDNLADNGKISFKEYGKQKIYLARQDQFDIPTSEELNQMKEENAQVQQQLDEQKRAISEVEGEIKNLQSNLTLEQIRHKEAKLQKEVKEMEDKLVKLRKGVTLVRPEERKAIAEMYSEKLSQWRKRKRMFKDVWDAITENLPKDLKEFKEELGLEYDEDVGVSLQSFSGLLQHGKKRTRDQ >Potri.009G170100.1.v4.1 pep chromosome:Pop_tri_v4:9:12922535:12927249:1 gene:Potri.009G170100.v4.1 transcript:Potri.009G170100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170100.v4.1 MENEVLEFDIGLGSGADDDAVDIDIDDDLPSTPPLPLPLPSSTSTPPTQIYLPEGDLLDLEPYESMEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRVITRVGCKASLSVKMQDSGKWVVSGFVRGHNHELVPLDQVHCLRSHRQISGPAKTLVDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQKSMEGDIQLLLDYLRQMHTENPNFFYALQGGDDQFTGNVFWSDPRARANYSYFGDTVTFDTTYRSNRYRLPFAPLTGVNHHGQPVLFGCAFLLNETEASFIWLFQTWLTAMSGHHPVSITTDHDAVISSAIMQVFPKTRHRFCKWHIFKKCQEKLSHVLLKHPSFEADFHKCVNLTESIEEFESCWLSLVDRYELRHHEWLQTIYSDRRQWVPVYLRDAFFAEMSITQRSDSMNSYFDGYVNASTNLSHFFKLYEKAIESRNEKEVKADYDTMNTNPVLKTPSPMEKQASGHYTRKLFARFQEELVGTLTFMASKAEDDGESIMYQVAKFGEDHKAYYVKFNVLEMKARCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSNVILEEHPSDVYNGYLESHTVRYNTLRHEAFKFVDEGSKSLDTYNVAMVALQEATTRVALATKNEGRTSVVNSRNRGDMASNGIRANYTSGNHLGSSGQILSEKDMDKKIQELRDELEHANRKCEVYRANLLSVLKDIEDHKLQLSIKVQSIKISMKDSI >Potri.009G170100.2.v4.1 pep chromosome:Pop_tri_v4:9:12922995:12927244:1 gene:Potri.009G170100.v4.1 transcript:Potri.009G170100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170100.v4.1 MEFESEEAAKAFYNSYARRVGFSTRVSSSRRSRRDGAIIQRQFVCAKEGFRNLNEKRTKDREIKRPRVITRVGCKASLSVKMQDSGKWVVSGFVRGHNHELVPLDQVHCLRSHRQISGPAKTLVDTLQAAGMGPRRIMSALIKEYGGISKVGFTEVDCRNYMRNNRQKSMEGDIQLLLDYLRQMHTENPNFFYALQGGDDQFTGNVFWSDPRARANYSYFGDTVTFDTTYRSNRYRLPFAPLTGVNHHGQPVLFGCAFLLNETEASFIWLFQTWLTAMSGHHPVSITTDHDAVISSAIMQVFPKTRHRFCKWHIFKKCQEKLSHVLLKHPSFEADFHKCVNLTESIEEFESCWLSLVDRYELRHHEWLQTIYSDRRQWVPVYLRDAFFAEMSITQRSDSMNSYFDGYVNASTNLSHFFKLYEKAIESRNEKEVKADYDTMNTNPVLKTPSPMEKQASGHYTRKLFARFQEELVGTLTFMASKAEDDGESIMYQVAKFGEDHKAYYVKFNVLEMKARCSCQMFEFSGLLCRHVLAVFRVTNVLTLPSHYILKRWTRNAKSNVILEEHPSDVYNGYLESHTVRYNTLRHEAFKFVDEGSKSLDTYNVAMVALQEATTRVALATKNEGRTSVVNSRNRGDMASNGIRANYTSGNHLGSSGQILSEKDMDKKIQELRDELEHANRKCEVYRANLLSVLKDIEDHKLQLSIKVQSIKISMKDSI >Potri.013G078500.3.v4.1 pep chromosome:Pop_tri_v4:13:6723618:6725661:1 gene:Potri.013G078500.v4.1 transcript:Potri.013G078500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G078500.v4.1 MIINDQESTTVREIKPNIRRIMGGGSPDYVDDHKEDIKWPPWLHTLLQTSFFVQCKLHSDAHKSECNMYCLDCMNGALCSVCLSHHKDHRAIQIRRSSYHDVIRVSEIQKYLDITEVQTYIINSAKIVFLNERPQPRPGKGVTNTCHVCERSLLDSFSFCSLACKIVGTSKNFRKKKRYKEMDGPDTEKSMSGISNGSAKSKAQSFTPSTPPPTAMNYRTAKRRKGVPQRSPMGGFIIEY >Potri.017G083000.1.v4.1 pep chromosome:Pop_tri_v4:17:9528346:9529647:1 gene:Potri.017G083000.v4.1 transcript:Potri.017G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G083000.v4.1 MAKFVAVFLLALIAISMLQTLVVASHGRGGHHNNNKNKYGPGSLKSFQCPSQCTRRCSKTQYHKPCMFFCQKCCKKCLCVPPGYYGNKAVCPCYNNWKTKEGGPKCP >Potri.003G031100.1.v4.1 pep chromosome:Pop_tri_v4:3:3499897:3504743:1 gene:Potri.003G031100.v4.1 transcript:Potri.003G031100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031100.v4.1 MPELPEVEAARRAIEEHCIGKKIKKAIIADDSKVIDGVSPSDFVAALVGKTIVSALRKGKNLWLQLDSPPFPSFQFGMAGAVYIKGVAVTKYKRSAVNDSDEWPSKYSKFFVQLDDGLELSFTDKRRFAKVRLLEDPASKPPISELGPDALLEPMTVDELHGSLSKKKVAIKALLLDQSFVSGIGNWIADEVLYQARIHPLQIASSLSRESSATLHKCIKEVIEKAVEVGADSSQFPNNWIFHSREKKSKKTFIDGKEIDFIVAGGRTTAYVPGLQKLNGNQAGKAVGKPKARTSKKKRDGDDDDNDNNEDGGSEPTSEDEKIARKAKSKRELRPKGPGKKPSAKRKSKASDTDSEEDEGAATAAAADDDQKKKPRRVTSRKQVMVGKAPKKLVNNQNTNKPRKKVK >Potri.002G212400.1.v4.1 pep chromosome:Pop_tri_v4:2:19346927:19350588:1 gene:Potri.002G212400.v4.1 transcript:Potri.002G212400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G212400.v4.1 MRRMSGVLVLIFYILSLLLPLPTTTASSCCSIKGLPLVRNISELPQDNYGRGGLSHITLAGSAMHGLKEVEVWLQTFSPGSRTPIHRHSCEEIFVVLKGSGTLYLASSSHEKYPGKPQEYFVFANSTFHIPVNDVHQVWNTNEHEDLQMLVIISRPPVKVFIYEDWFMPHTAAKLKFPYYWDEQCLLEPPKDEL >Potri.002G212400.5.v4.1 pep chromosome:Pop_tri_v4:2:19347059:19347931:1 gene:Potri.002G212400.v4.1 transcript:Potri.002G212400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G212400.v4.1 MRRMSGVLVLIFYILSLLLPLPTTTASSCCSIKGLPLVRNISELPQDNYGRGGLSHITLAGSAMHGLKEVEVWLQTFSPGSRTPIHRHSCEEIFVVLKGSGTLYLASSSHEKYPGKPQEYFVFANSTFHIPVNDVHQVNLST >Potri.003G140500.9.v4.1 pep chromosome:Pop_tri_v4:3:15671945:15676100:1 gene:Potri.003G140500.v4.1 transcript:Potri.003G140500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140500.v4.1 MDDSPGRLNIIPDHFQVSTSGDDTPQTITSSTQQPKIDNSSRSSSHFWSGRKLLSAAFMLNLFSLRRLSWGSSTNGQEKVELSVVELESLRSELAYLEEREAHLKAQLQHVDEILRSARFSGYLYIRTRWTALPGEPPPLDDTDVDDWLPRFVVLNGPCIFFYLLSTDLSPQDSTLLSDIVEVGSLPSLTRESDQTWHSFYILTRQGLRYECSSISEVQVDSWLKVLETDIKSGSETKVPNGSRDV >Potri.003G140500.13.v4.1 pep chromosome:Pop_tri_v4:3:15672330:15676100:1 gene:Potri.003G140500.v4.1 transcript:Potri.003G140500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140500.v4.1 MDDSPGRLNIIPDHFQVSTSGDDTPQTITSSTQQPKIDNSSRSSSHFWSGRKLLSAAFMLNLFSLRRLSWGSSTNGQEKVELSVVELESLRSELAYLEEREAHLKAQLQHVDEILRSARFSGYLYIRTRWTALPGEPPPLDDTDVDDWLPRFVVLNGPCIFFYLLSTDLSPQDSTLLSDIVEVGSLPSLTRESDQTWHSFYILTRQGLRYECSSISEVQVDSWLKVLETDIKSGSETKVPNGSRDV >Potri.003G140500.10.v4.1 pep chromosome:Pop_tri_v4:3:15672330:15676100:1 gene:Potri.003G140500.v4.1 transcript:Potri.003G140500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140500.v4.1 MDDSPGRLNIIPDHFQVSTSGDDTPQTITSSTQQPKIDNSSRSSSHFWSGRKLLSAAFMLNLFSLRRLSWGSSTNGQEKVELSVVELESLRSELAYLEEREAHLKAQLQHVDEILRSARFSGYLYIRTRWTALPGEPPPLDDTDVDDWLPRFVVLNGPCIFFYLLSTDLSPQDSTLLSDIVEVGSLPSLTRESDQTWHSFYILTRQGLRYECSSISEVQVDSWLKVLETDIKSGSETKVPNGSRDV >Potri.003G140500.7.v4.1 pep chromosome:Pop_tri_v4:3:15672028:15675863:1 gene:Potri.003G140500.v4.1 transcript:Potri.003G140500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140500.v4.1 MLNLFSLRRLSWGSSTNGQEKVELSVVELESLRSELAYLEEREAHLKAQLQHVDEILRSARFSGYLYIRTRWTALPGEPPPLDDTDVDDWLPRFVVLNGPCIFFYLLSTDLSPQDSTLLSDIVEVGSLPSLTRESDQTWHSFYILTRQGLRYECSSISEVQVDSWLKVLETDIKSGSETKVPNGSRDV >Potri.002G182900.4.v4.1 pep chromosome:Pop_tri_v4:2:14525793:14532118:-1 gene:Potri.002G182900.v4.1 transcript:Potri.002G182900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182900.v4.1 MSRCRTTTRQLRHSCFGFPKETPKPNTLHHARTPLSTSSSSSYQMGNILLVAYLTKTLSESGTRSLDPDSIPLSESLVLQILRRNSLDSSKKMEFFKWCSVRHIYKHSVSTYSQMFSTLCRSGYLEEVPDLLNSMKNDGVVVGSETFKLLLDAFIRSGKFDSALDILDHMEELGSNPNPHMYDSIIVALAKKNQVGLALSIMFKLLEASDGNEETAVGVSLPGSVACNALLVALRNGEMKVEFKTVFAKLRGERGFELNTWGYNICIHAFGCWGDLTTSLRLFKEMKEKSLASGSLDPDLCTYNSLIHVLCLAGKVKDAVIVYEELKVSGHEPDAFTYRILIQGCCKSYQMEDATKIFSEMQYNGFLPDTVVYNSLLDGMFKARKVMEACQLFEKMVQDGVRASCWTYNILIDGLCKNGRAEAGYNLFCGLKKKGQFVDAVTYSIVVLLLCRKGHLEDALHLVEEMEERGFVVDLITITSLLIAFHKQGRWDCTERLMKHIRDVNLLPNVLKWRADMEASLKNPPRSREDHTPMFPSTGGLQEIMSSISSPKSRSDDGATEDEKSSSADTDQWSSSPYMDHLANQAKSTDLSSQLFSLARGQRVQAKGAGSFDIDMVNTFLSIFLAKGKLSLACKLFEIFTDMGVDPVSYTYNSIMSSFVKKGYFNRAWDVFNEMGEKVCPPDIATYNLVIQGLGKMGRADLASSVLDKLMKQGGYLDIVMYNTLIDALGKAGRIDEANNLFEQMKTSGLNPDVVTYNIMIEVHSKTGRLKDAYKFLKMMLDAGCLPNHVTDTTLDFLAKEIEKIRYQKASIMRQKDDSP >Potri.011G106400.1.v4.1 pep chromosome:Pop_tri_v4:11:13489813:13498972:1 gene:Potri.011G106400.v4.1 transcript:Potri.011G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106400.v4.1 MAFVQSLSKNVSVFVLGLVLLNCFSVDKFGSHAQVAPLLPEDEVQILKTISSKLQNSNWTIDRTSCGSAQWNLTIVGGDKIQSQVTCDCTFNSSTVCHVISFKMKGFNLTGFFPSEFRNLTHLREIDLTRNYINGSIPASLAELPNLQTLSLLANRITGSIPREFGSMATLESLVLEDNLLGGSLHPDLGNLRSLKRLLLSANNFTGTIPDTFGNLKNLTDFRIDGSELSGKIPNFIGNWTNIERLDLQGTSMEGPIPSTISLLKKLEELRISDLNGSSSTFPDLKDMKNMTTLILRSCSLNGTIPEYIGDMASLDTLDLSFNKFTGQIPVSLESLAKLRFMFLNNNLLTGEVPGWILNSKNELDLSYNNFTGSTQSSCQQLSVNLVSSHVTTGNNTISWCLNKDLVCSRKPEHHSLFINCGGNSMTVGDNEYEEDATSGGAAEFVSLSERWGYSSTGTYMNNDGAGYKAENSFGLNVTGEGFYQTARLAPQSLKYYALCMRAGSYKVKLHFAEIMYSNDSTFSSLGRRIFDISIQGEVVRKDFNIMEKAGGVGIGIAEEFDSIIVNGSTLEIDLYWSGKGTTAVPDRGVYGPLISAITVTPNFKVDNGDGLSVGAIIGIVAASCVLAALALLVLWRKGYLGGKYHEDKDLRALDLQTGYFSLRQIKNATNNFDPANKIGEGGFGPVYKGVLSDGTIIAVKQLSSKSKQGNREFVNEIGMISALQHPHLVKLYGCCIEGNQLLVVYEYLENNSLARALFGRDEHQIKMDWQTRKKILLGIAKGLAYLHEESRLKIVHRDIKATNVLLDKDLNAKISDFGLAKLDEEENTHISTRIAGTIGYMAPEYAMRGYLTDKADVYSFGVVVLEIVSGKSNTNYRPKEEFVYLLDWAYVLQEQGNLLELVDPNLGSNYSEEEAMRMLNLALLCTNPSPSLRPLMSSAVSMIEGQIPVQAPIVKRGTMNEEARFKAFELLSQDSQAHVSNTSQSSLVQKSISMDGPWMDSEISTQTMDETRGN >Potri.012G113100.4.v4.1 pep chromosome:Pop_tri_v4:12:13169395:13172177:-1 gene:Potri.012G113100.v4.1 transcript:Potri.012G113100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G113100.v4.1 MYMLVNCSNCHTPLQLPPGANSNSICCAICHAITYIVDPRSAPPPPALSYSSSSQYQHYPPQPHPFQVVPSPFSHAPPGPPPAVHGPKRAVICAVSYKNTKNELKGCINDAMCMKYLLVNRFNFPGSSIIMLTEEESDPYRRPTKSNMRLALSWLVQGCQPGDSLVFHFSGHGSQKKDYNGDELDGYDETLCPTDFETQGMIVDDEINAVIVKPISHGVKLHAIIDACHSGTVLDLPFLCRMDRSGKYVWEDHRPRSGVWKGTSGGEVISFSGCDDDQTSADTSALSKITSTGVMTYSFIQAIERGHGTTYGSMLNAMRATIRKTINELGGGIVTTLISMLLAGGNFSGGITQEPQLTASEPFDVYSKPFSL >Potri.006G195100.1.v4.1 pep chromosome:Pop_tri_v4:6:20315555:20316569:1 gene:Potri.006G195100.v4.1 transcript:Potri.006G195100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195100.v4.1 MDKVERETHDFMNVESFSQLPFIRPAPVKEKGIRLFGIEFGSNNDSPAADESESAETNEDTVKESESSDNNRRFECHYCCRNFPTSQALGGHQNAHKRERQHAKRAHLQSAMAHNSLTDAHYYGLVNYRIDSTPSSAMTYPSWNSHSNTSRFYGSHGSYSQQPINGSPLGLWRIPAVHGGGTFHHSDRSMHHPLPLFAGEELKPSPAGTGSSSQGRYGYDSKPSAQDHVSLDLHL >Potri.016G058500.2.v4.1 pep chromosome:Pop_tri_v4:16:4030247:4032735:1 gene:Potri.016G058500.v4.1 transcript:Potri.016G058500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058500.v4.1 MTKYKRKETQTGCDAKIPCAVENGKWVISQVSLEHNHPLEDRRRVIGSHTKTNSEAPLMICTDNEAEMAKDVGNKGVQSSNMGCTVCVPDKRINSLQPEAAQCLLNYFRRLQVEDLSFFYAVQLDSNGYTTNFFWRDGRSKVDYDYFGDVLILDKTFRIEEHNIICAPLWGLNHHRRQVLFGCAFLLNESTDSFVWLLETFMEAIDRHQPKTIFTDENELMVDAVKAVLPDAEHRIGIWYIRQNALKQLSALYMQPGFEILFNKCISDCQTEEEFESRWESLLERFDLSENPWLSSLYTSRERWACVLIKKTFCAGLQHGENIESVFQILQNENTGLLEFAQQYLEVVKRRRLEELDEDFHCNGTAQVTILTGSAIERQAADIYTRTLFKTFQDEFLNCLSVTIEETASNETITTYKLTEEGHKESVVEFNCLDSRVACSCKKFESFGILCVHALKVLNARNIFHIPHKYVLKRWTKSAKCGVPYEYQQEMADEMKQQTVNLLMHKTLNVFTKSVAIEDSKKIAGDYLGKTLEQIEDVLRAKKADHLEMIDRSRGSSNGLGKQPEISLFSPSLQVDSGITTKDDGDRGIRDSEVDTAKISDYEENQLIRRKLGLSKKQSHTLQEIFKIHNPFTPSTVRKKLTESQRGSENKTSNQENLKTLKKQMDLYGYVHNYLLLTYMFIILFNFSLIKCI >Potri.016G093100.2.v4.1 pep chromosome:Pop_tri_v4:16:7921997:7924120:-1 gene:Potri.016G093100.v4.1 transcript:Potri.016G093100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093100.v4.1 MDAEKEGAKVEGEKKPAADAGVKKDDGMFISVYKMDIHCEGCAKKIRHAVKHFDGVESVKTDCAGNKLTVTGKVDPAKIKARVEERTKKRVEIVSPQPKKDGGAAAGGGDKKADEKSEKKPEKQKEAEKPPQESTVVLKIRLHCEGCISKIEKIISKIKGVGGVTVDAAKDLVTVKGTMDVKDLAPYLNEKLKRGVEVVSPKKEEEKKDKAGGGDGGEKKDKEKGGEAKDKEKEGDGGKKEETSGGGAKVEVSKMEYFGYPASSSTFWFDGVDGQNQVVESYKNHSDHPYNYNQQGYSAMNQQGYVVDHNYPHPTAQMFSDENPNACSIM >Potri.002G015100.1.v4.1 pep chromosome:Pop_tri_v4:2:921261:922903:-1 gene:Potri.002G015100.v4.1 transcript:Potri.002G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G015100.v4.1 MATPVTIYGPPLSTAVSRVLATLIEKDVPFHLVPIDLSKGEQKKPEYLKIQPFGQVPAFKDESITLFESRAICRYICDKYADKGNRSLYGTDILSKANIDQWVETDGQTFGPPSGDLVHDLLFSSVPVDEALIKKNVDKLAKVLDIYEQKLGQTRFLAGDEFSFADLSHLPNGDYLVNSTDKGYLFTSRKNVNRWWTEISNRESWKKVLEMRKNA >Potri.008G195401.1.v4.1 pep chromosome:Pop_tri_v4:8:13799388:13799814:-1 gene:Potri.008G195401.v4.1 transcript:Potri.008G195401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195401.v4.1 MELVSWGDSNGFYLYMLQAFREIRDMMESSWMRTGRFAASTSYSFPFKGFGVGTAWFHDYCNLYLWWIIPSNSKAECAMGVLGS >Potri.019G060042.1.v4.1 pep chromosome:Pop_tri_v4:19:9929118:9932496:-1 gene:Potri.019G060042.v4.1 transcript:Potri.019G060042.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060042.v4.1 MTTSLLNKPFFSFFLHILFLLLHIFNSSSFFALAEHTSSPTSLFGNNNTEAEALLQWKASLDNQSQSLLSSWVGISPCINWIGITCDNSGSVTNLTLESFGLRGTLYDFNFSSFPNLFWLDLQKNSLSGTIPREFGKLRNLSYLDLSINHLSGPIPSSIGNMTMLTVLALSHNNLTGSIPSFIGNFTSLSGLYLWSNKLSGSIPQEIGLLESLNILDLADNVLTGRIPYSIGKLRNLFFLGLSMNQLSGLIPSSIKNLTSVSEFYLEKNKLSSPIPQEIGLLESLHVLALAGNKFHGPLPSEMNNLTHLHGLALDGNEFTGHLPVDLCHGGVLKIFTASYNYFSGSIPESLKNCTGLYRVRLDRNQLTGNISEVFGIYPHLNYIDLSYNNFYGELSSKWGDCRNMTSLQISKNNVSGEIPPELGKATQLHLIDLSSNQLKGGIPKDLGGLKLLFKLILNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGEFSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTRDIPRELGQLQKLETLNVSHNMLSGRIPSTFKDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPTSSKTVKRKSNKLVVLIVLPLLGSLLLVFVVLGALSILCKRARKRNTEPENEQDRNIFTILGHDGKKFYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHTKHSFLVYEFIERGSLRKIISSEEQAIEFDWTKRLNVVKGVGGALSYLHHSCSPPIIHRDITSNNILVDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDQRISLPKKGAAEGVVHMMKITLACLHPNPQSRPTMEKISFELTTKWPPLPQAFGTISLGDLFS >Potri.014G087200.4.v4.1 pep chromosome:Pop_tri_v4:14:5646301:5649600:1 gene:Potri.014G087200.v4.1 transcript:Potri.014G087200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087200.v4.1 MNYSNLFLSVASSTSGGNERRRSSRRGIYSSPANSVHGREPGSVNFTMEEINAATRNFSPTFKIGQGGFGTVYKGRFQDGTVVAIKRAKKSVYDKHLGVEFQSEIRTLAQVEHLNLVKFYGYLEHEDERIVLVEYVANGTLREHLDCIHGNVIDLAVRLDIAIDVAHAITYLHMYTDHPIIHRDIKSSNILLTENFRAKVADFGFARLAADSDSGATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEAKREIKERITAKWAIKKFAEGNAVLILDPKLKCTAANNLALEKILELALQCLAPHRQSRPSMRKCAEILWSIRKDYKEQSASDFRSFSSKSQGSISVITEE >Potri.014G087200.1.v4.1 pep chromosome:Pop_tri_v4:14:5645362:5649672:1 gene:Potri.014G087200.v4.1 transcript:Potri.014G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087200.v4.1 MKSPYSRYARRTPASGVGHTPDCVPYSPTSSSHSSGSTLKKRNPLSVAAKSMAGVFVACFTPPEPEPNSSKDFGYSEELKAPSVASSTSGGNERRRSSRRGIYSSPANSVHGREPGSVNFTMEEINAATRNFSPTFKIGQGGFGTVYKGRFQDGTVVAIKRAKKSVYDKHLGVEFQSEIRTLAQVEHLNLVKFYGYLEHEDERIVLVEYVANGTLREHLDCIHGNVIDLAVRLDIAIDVAHAITYLHMYTDHPIIHRDIKSSNILLTENFRAKVADFGFARLAADSDSGATHVSTQVKGTAGYLDPEYLRTYQLTEKSDVYSFGVLLVELVTGRRPIEAKREIKERITAKWAIKKFAEGNAVLILDPKLKCTAANNLALEKILELALQCLAPHRQSRPSMRKCAEILWSIRKDYKEQSASDFRSFSSKSQGSISVITEE >Potri.006G037801.1.v4.1 pep chromosome:Pop_tri_v4:6:2459537:2460300:1 gene:Potri.006G037801.v4.1 transcript:Potri.006G037801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G037801.v4.1 MFRYFKSIAVMVMVVLTVFLLVLPLVLPPLPPPPLVLLFVPVFIMSLLVFLAFSPSKLPDTPSTSV >Potri.005G091200.2.v4.1 pep chromosome:Pop_tri_v4:5:6330224:6338893:-1 gene:Potri.005G091200.v4.1 transcript:Potri.005G091200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G091200.v4.1 MGNRKRKVKKSEIGAVEEEREGNGVEKGEEGDLKEDVRRGRKKKKGKKKESDREEEKVKEENVEDDEKGVSSAVEGKKRVDFVGNEGEKEVESGDEDGLGEKSEVDFVKKEERGVDGKMDGKSRKAKQEVDAEEGEKEVENGKEGKLDGRREEKGAEKRGREGGKFSVDNEERKEIGELGVGGKEKVRFVKNEEESEGVKESEGAVARENKGGKKESESGNEEVESEVGKENGGDVEENGDSLNKRPRRTDKKVNYAELDAALDEAVLGEKRRKRRKKNGVSESDGLESVQNSKNGDVNRGKKKVSRKGKKNQEEENIEGEEEKEESGEGDCLMMSSETGYGLRTRKEQVDQGSKSRRDNEFIENVCLMCHQCQRNDKGRVVRCLKCKRKRYCIPCLTKWYPKMTEDEIANACPVCLGNCNCKSCLRLDAPIKELKNLNLEVSKEEVVRYSKFFLRALLPFLKQLDEEQMMEREIEARREGVPLAGLQIENAECPADERMFCDNCRTSIFDYHRSCSNCSSDLCLACCREIRAGHLQGGGPDVLMEYINRGFEYLHGGIDEPQVESQAELPQKTESKDFMGPKSGWKANEDGSIHCACDSGNLELKCLFPNKKVNFAVSVSELVKKVEEMSKKWETDSANAPDERCACFNSNGDLDISNGNRLLKAACREDSDDNYLFYPIAEDITEDDLKHFQFHWKRAEPVIVRNVLETASGLSWEPMVMWRAFRQIKNEKHDTLLDVKAIECLDYCEVDINVHQFFIGYTEGRFDGKNWPQILKLKDWPPSKTFGESLPRHDAEFTCCLPFKEYTHPRSGPLNLAVRLPENSLKPDMGPKTYIAYGYPEELGRGDSVTKLHCDMSDAVNVLTHTADVSNKTHYTEIQKLKLKHFEQDQRELFGNNQNVDGVDKRELFGNNQNVDEVDNMHGVDSGKCNEEAGVVQGISTEDGPLKCGDESEWMDALDGGAVWDIFRREDVPKLQEYLNKHFKEFRHIHCSPLPKVVHPIHDQTFFFTLEHKRKLKEEYGIEPWTFVQKLGDAVFIPAGCPHQVRNLKSCIKVAMDFVSPENVGECIRLTEEFRLLPPNHRAKEDKLEIKKMYLHAARWALDVLTNGGEVDEPEKVKKKQARSKKRS >Potri.007G126700.1.v4.1 pep chromosome:Pop_tri_v4:7:14204525:14207873:-1 gene:Potri.007G126700.v4.1 transcript:Potri.007G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126700.v4.1 MGAISCERESWVSSSNLVEVKENQENDQTHNVDFLADDVLETEQVWQYMHQNNTFTKSINKGSLQKIQRKKSQVLLEGYVEGSSSGSEDELKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEHQKSPEGSSPAVAEAANSSPIANWKISSPGDHPEDVKARLKYWAQAVACTVRLCS >Potri.007G126700.5.v4.1 pep chromosome:Pop_tri_v4:7:14205142:14207863:-1 gene:Potri.007G126700.v4.1 transcript:Potri.007G126700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126700.v4.1 MGAISCERESWVSSSNLVEVKENQENDQTHNVDFLADDVLETEQVWQYMHQNNTFTKSINKGSLQKIQRKKSQVLLEGYVEGSSSGSEDELKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEHQKSPEGSSPAVAEAANSSPIANWKISSPGEMIFSFVFGAWLVQI >Potri.018G092300.1.v4.1 pep chromosome:Pop_tri_v4:18:11311337:11313074:1 gene:Potri.018G092300.v4.1 transcript:Potri.018G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092300.v4.1 MNSSFDSKKREDSLKGRWQEIRRVPNMSQYTRPTSSVPNFMAKPITFPGFEDDDVVSTMIPPVTVALEGRSICQRISLHKHESYHSLAKALRQMFVDGAGSDSGQNTSSASDSVSDLDLDLSNAIPGHLIAYEDIENDLLLAGDLNWQDFVRVAKRIRILPAKGNSRKATGGTAV >Potri.015G083900.1.v4.1 pep chromosome:Pop_tri_v4:15:10890911:10891839:1 gene:Potri.015G083900.v4.1 transcript:Potri.015G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083900.v4.1 MCSHAFQFLSTLPSIDQEKIILQETAPSSFFLLLIKVFFFFIRDMSKLSRTSSSSKTTTLLLFLFLLMLALLVSFCAVVCYLYACTANPSRLNRAGFFGSAFLVSGVLLLSTLLVVAARATVLTWITVLVLLAFSGKRRRVLVQQGREITADVVMYLIRGAA >Potri.012G049700.1.v4.1 pep chromosome:Pop_tri_v4:12:4683787:4692594:1 gene:Potri.012G049700.v4.1 transcript:Potri.012G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G049700.v4.1 MLSLRNFWRRHRSKILITAGVLGSGYFLYRLYNAHEQRLADLERELARQRANDELIKAQLQAHFENVQLIADTTTLPHAMQYLRSRIAEELDLSQLTERLQKGKGKPYTLTSSEKLELWDRLKILSFTQMVVSLWAVTILSLYIKVQVNILGRHLYIDTARGLGSSLLLENVDLIDRDDQQNFLAGADFLANNGLLALISNIQAVVTEVIEGKKLIDLFNTTSFHETVMQILDKFMSVGSLHQWIDYLMPEDSGHYKLGTSSSIDDTVLPNSTNFDQLMVEARTVLSSAEFGKIMGISLKVAVDALVEDMEAQCQSTGASLTSGMPLAKLLSRVMQMVPSLLREASQNQFIKIIRTVPEVELFFTLLYANNLVD >Potri.005G185900.3.v4.1 pep chromosome:Pop_tri_v4:5:19350299:19355377:-1 gene:Potri.005G185900.v4.1 transcript:Potri.005G185900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185900.v4.1 MGKEPLVSSMAELKRKQQVKKKSKSCGFESLNLSPNVFRGIKRKGYRVPTPIQRKTMPLILAGIDVVAMARTGSGKTAAFLLPMLEKLKQHLPQSGVRALILSPTRDLALQTLKFTKELGRFTDLRISLLVGGDRMESQFEDLSQNPDIIIATPGRLMHHLSEIDDMSLKTVEYVVFDEADSLFGMGFAEQLHKILTQLSENRQTLLFSATLPSALAEFAKAGLRDPQLVRLDVDTKISPDLKTVFFTLRQEEKYAALIYLIRDHISTDQQTLIFVSTKHHVEFLNVLFREEGIKPSVCYGDMDQDARKIHVSRFRARKTMLLIVTDVAARGIDIPLLDNVINWDFPPKPKIFVHRVGRVARAGRTGTAFSFVTSEDMPYLLDLHLFLSKPVKAAPTEEEVLQDIDGVMNKIDQAFANGETVYGRFPQTVLDLVSDRVREIIDSSAELTSLQKACTNAFRLYTKTKPSPAKESIKRVKDLPCEGLHPIFKNVLEGGELMALAFSERLKTFRPKQTILEAEGESAKSKNLQGPGQWVDVMKRKRAIHEEVINLVQQQRSNKLADKLEVETEITSDEKEKKVVRGSKRKAKSFKDEEYFISSIPTDHHTEAGLSMRGNDGFGSNRLENAVLDLVADDSGGLQKQKTVYHWDKRNKKYIKLNNGDRVTASGKIKTESGAKVKATKTGIYKKWKEGSHRKISLRGTNNDGNAEESTSFSGNRQLRGNNRNFRGSKKQHSLPNANVRSEIKDLEQVRKERQKKADRVSYMKSKGSKGKKFGKNGKRGKPRK >Potri.001G082000.8.v4.1 pep chromosome:Pop_tri_v4:1:6493139:6493429:1 gene:Potri.001G082000.v4.1 transcript:Potri.001G082000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082000.v4.1 MVTEEPKDPFKGVDWKAIGGELQKDPSAGTKPIIKKRLPKKIRQIPDYYFLPRLPLPTVIAFYGACIAGGVGAGMLLEMWINKKVKGNIAIRVELF >Potri.001G082000.3.v4.1 pep chromosome:Pop_tri_v4:1:6492462:6494657:1 gene:Potri.001G082000.v4.1 transcript:Potri.001G082000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G082000.v4.1 MVTEEPKDPFKGVDWKAIGGELQKDPSAGTKPIIKKRLPKKIRQIPDYYFLPRLPLPTVIAFYGACIAGGVGAGMLLEMWINKKVKEDGGIIWEFDK >Potri.005G215400.1.v4.1 pep chromosome:Pop_tri_v4:5:21863292:21883243:1 gene:Potri.005G215400.v4.1 transcript:Potri.005G215400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215400.v4.1 MKWVTLLKDIKEKVGLTQSPSPSSSPATTASPPPSSSPANSHNASSSTTFHDFPSSPSRDRHELELDFKRFWEEFRSSSSEKEKEMALNWTIDAFCRLVKQHANVAQLVTMLVETHIFSFVVGRAFVTDIDKLKIGSKTRSLDVEKVLRFFSEVTKDGIGPGSNLLTAVEALVSGPIDKQSLLDSGILCCLIHILSALLSTETNLRQKLTNSEGSLPSEKDQDGALGQVRRLEVEGSVVHIMKALANHPSAAQSLIEDNSLQLLFQMVANGSLTIFSRYKEGLVPLHSIQLHRHAMQILGLLLVNDNGSTARYIRKHHLIKVLLMAVKDFNPDSGDSAYTMSIVDLLLECVELSYRQEAGGVRLREDIHNAHGYQFLVQFALVLSSAPQNQDSQSVYSKTSPAFDGTEDGSHAMNDEQRQELTEKEDPSSAQLSPALSRLLDVLVNLSQTGPAESTAWSAGKSSKSSHTRPSRSRTSSLDRVADENWEKDNSKVKDLEAVQMLQDILLKADSTVLQAEVLNRMFKIFSSHLENYKLCQQLRTVPLFILNMAGFPPSLQEIILKILEYAVTVVNCVPEQELLSLCCLLQQPIASELKHTILSFFVKLLSFDQQYKKVLREVGVLEVLLDDLKQNKFLLGPEQQTVSPNQSDKKSSSSFKKHLDTKDTILSSPKLMESGGSGKFPIFEIEGTISVAWDCMVSLVKKAEASQALFRSANGVTIVLPFIVSNVHRPGVLRILSCLITEDIAQTHHEELGVLVEVLKSGMVTSSAGHQYRLRSDAKCDTMGALWRILRVNTSAQRVFGEATGFSLMLTTLHSFQGDGEQTEESSLEVSMKLFTYLLRLMTAGVCDNAINRIKLHTIMSSHTFYELLSESGLVCVECEKQVIQLLLELALEIVLPPFLSADSDVPTNMMESGSACFLLTTPSGLLNPDKERVYNAAAVRVLIRSLLLFTPKVQLEVLNLIERLARAGPFNQENLTSVGCVELLLETIHPFLSGSSTLLLYMLKIVEVLGAYRLSASELRLLIRYILQTRLMNSGHILVDMMERLILMEDMASENVSLAPFVEMDMSKIGHAAVQVSLGERSWPPSAGYSFVCWFQFKHFLRSQAKETEPSKAGPSKRRSSSNGQQNEQNILRILSVGTASNENTFYAELYLQEDGVLTLATSNSSALSFSGLELEEGRWHHLAVVHSKPNALAGLFQASVANVYLNGKLKHTGKLGYSPSPAGKPLQVTIGTPVNCARVSELTWKLRSCYLFEEVLTSGCICFMYILGRGYRGLFQDSNLLRFVPNQACGGGSMAILDSLDAELPLATQKLESASKQGDSKADGSGIVWDLERLGNLSLQLSGKKLIFAFDGTCTESVRASGIFSLLNLVDPMSAAASPIGGIPRFGRLHGDIYVCKQSVIGDAIRPVGGMAVVLALVEAAETRDMLHMALTLLACALHQNPQNVKDMKKYRGYHLLALFLRRRMSLFDMQSLEIFFQIAACEASFSEPKKLERRQATLSPAATLQDTSFEELSLSKFRDEISSVGSHGDMDDFSAQKDSFSHISELDNSDMLVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIQLLGFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKPRHQIARESMGKHVIVRNMLLEMLIDLQVTIKSDELLEQWHKIVSSKLVTYFLDEAAHPTSMRWIMTLLGVSLTSSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGSYVELKYVELLESVIVMAKSTFDRLSMQSVLAHQTGNLSQIGASLVAELVEGNADMTGELQGEALMHKTYAARLMGGEASAPAAATAVLRFMVDLAKMSPPFSAACRRPEFLESCIDLYFSCTRAAYAVKMVKALSEKTEEKELNDGDDTSSSQNTFSSLPLEQEQSAKTSISAGSFPQGHASTSSEDMLVSLNDVADVKAEIAISNSHEELKKSAQGVPAVQNFVGDNVVQNSAISSSNEFNIRNVDGNMDSFRQADSLSSASLNIPDSPIISEKSSTRIPLTPPSSPALALSSWLGSASHKESKASLQATPSMESSVSGSEFDPSADLKACSPGPSAANSFFAVSPKLLLEMDDSGYGGGPCSAGANAVLDFMAEVLSDFITEQIKAAQVIEGILETVPLYVDAESVLVFQGLCLSRLMNFVERRLLRDDEEDEKKLDKSRWTSNLDALCWMIVDRVYMGSFPQPAGVLKTLEFLLSMLQLANKDGRIEEAAPAGKSLLSITRGSRQLDTFINSLLKNTNRMIMYCFLPPFLVTIGEDDLLSCLGLFIEPKKRLPSNSSQDDSGIDICTVLQLLVAHKRIIFCPSNVDTDLNCCLCVNLISLLHDQRQNVQNMAVDIVKYLLVHRRAALEDLLVSKPNQGQHIDVLHGGFDKLLTGSLSTFFEWFQSSELMVNKVLEQCAAIMWVQCIAGSAKFPGVRIKGLEVRRRREMGRRSRDILKLDQKHWEQVNERRYALDMLRDAMSTELRVVRQDKYGWVLHAESEWQTLLQQLVHERGIFPLQKSSATEDPEWQLCPIEGPYRMRKKLERCKLRIDTVQNVLDGQFELGEAELLKGKYEDGPDASDTDTELFFHLLTDGAKQNGVDGDMYGEFLKESDDVKGTASVRSGWNDDRASDMNEASLHSALEFGVKSSTVSVPMSESMHEKSDVGTPMQSSSNKADGIIVTEDKSDKELNDNGEYLIRPYLEPQEKIRFKYNCERVVGLDKHDGIFLIGELSLYIIENFYVDDSGCICEKECEDELSVIDQALGVKKDVTGSADFQSKSTSSWITTVKACVGGRAWAYNGGAWGKEKVCTSGNLPHPWHMWKLNSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSVKQESNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSNPKSFRKLEKPMGCQTQEGEDEFKKRYETWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNSIRDTWSSAAGKGNTSDVKELIPEFFYMPEFLENMFNLDLGEKQSGEKVSDVLLPPWAKGSARDFIRKHREALESDFVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSNRRIHHPLKYSSHLTPHEIRKSSSAITQIVTVHEKILVAGTNSLLKPTTYTKYVAWGFPDRSLRFMSYDQDRLLSTHENLHGGSQIQCAGASHDGQILVTGADDGLLCVWRISKDGPRALRHLQLENALCGHTAKITCLHVSQPYMLIVSGSDDCTVILWDLSSLVFVRQLPEFPVPISAIYVNDLTGEIVTAAGILLAVWSINGDCLAVINTSQLPSDSILSVTSCTFSDWLDTNWYVTGHQSGAVKVWHMVHCSNQESALSKSTSNLTGGLNLGDKVPEYRLLLHKVLKFHKHPVTSLHLTSDLKQLLSGDSGGHLLSWTLPDESLLTSSNRG >Potri.005G215400.4.v4.1 pep chromosome:Pop_tri_v4:5:21873143:21883139:1 gene:Potri.005G215400.v4.1 transcript:Potri.005G215400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215400.v4.1 MAVVLALVEAAETRDMLHMALTLLACALHQNPQNVKDMKKYRGYHLLALFLRRRMSLFDMQSLEIFFQIAACEASFSEPKKLERRQATLSPAATLQDTSFEELSLSKFRDEISSVGSHGDMDDFSAQKDSFSHISELDNSDMLVETSNCIVLSNADMVEHVLLDWTLWVTAPVSIQIQLLGFLEHLVSMHWYRNHNLTVLRRINLVQHLLVTLQRGDVEVPVLEKLVVLLGVILEDGFLASELENVVRFVIMTFDPPELKPRHQIARESMGKHVIVRNMLLEMLIDLQVTIKSDELLEQWHKIVSSKLVTYFLDEAAHPTSMRWIMTLLGVSLTSSPTFALKFRTSGGYQGLMRVLPSFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALMPSDGSYVELKYVELLESVIVMAKSTFDRLSMQSVLAHQTGNLSQIGASLVAELVEGNADMTGELQGEALMHKTYAARLMGGEASAPAAATAVLRFMVDLAKMSPPFSAACRRPEFLESCIDLYFSCTRAAYAVKMVKALSEKTEEKELNDGDDTSSSQNTFSSLPLEQEQSAKTSISAGSFPQGHASTSSEDMLVSLNDVADVKAEIAISNSHEELKKSAQGVPAVQNFVGDNVVQNSAISSSNEFNIRNVDGNMDSFRQADSLSSASLNIPDSPIISEKSSTRIPLTPPSSPALALSSWLGSASHKESKASLQATPSMESSVSGSEFDPSADLKACSPGPSAANSFFAVSPKLLLEMDDSGYGGGPCSAGANAVLDFMAEVLSDFITEQIKAAQVIEGILETVPLYVDAESVLVFQGLCLSRLMNFVERRLLRDDEEDEKKLDKSRWTSNLDALCWMIVDRVYMGSFPQPAGVLKTLEFLLSMLQLANKDGRIEEAAPAGKSLLSITRGSRQLDTFINSLLKNTNRMIMYCFLPPFLVTIGEDDLLSCLGLFIEPKKRLPSNSSQDDSGIDICTVLQLLVAHKRIIFCPSNVDTDLNCCLCVNLISLLHDQRQNVQNMAVDIVKYLLVHRRAALEDLLVSKPNQGQHIDVLHGGFDKLLTGSLSTFFEWFQSSELMVNKVLEQCAAIMWVQCIAGSAKFPGVRIKGLEVRRRREMGRRSRDILKLDQKHWEQVNERRYALDMLRDAMSTELRVVRQDKYGWVLHAESEWQTLLQQLVHERGIFPLQKSSATEDPEWQLCPIEGPYRMRKKLERCKLRIDTVQNVLDGQFELGEAELLKGKYEDGPDASDTDTELFFHLLTDGAKQNGVDGDMYGEFLKESDDVKGTASVRSGWNDDRASDMNEASLHSALEFGVKSSTVSVPMSESMHEKSDVGTPMQSSSNKADGIIVTEDKSDKELNDNGEYLIRPYLEPQEKIRFKYNCERVVGLDKHDGIFLIGELSLYIIENFYVDDSGCICEKECEDELSVIDQALGVKKDVTGSADFQSKSTSSWITTVKACVGGRAWAYNGGAWGKEKVCTSGNLPHPWHMWKLNSVHEILKRDYQLRPVAVEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSVKQESNEGSRLFKIMAKSFSKRWQNGEISNFQYLMHLNTLAGRGYSDLTQYPVFPWVLADYESENLDLSNPKSFRKLEKPMGCQTQEGEDEFKKRYETWDDPEVPKFHYGSHYSSAGIVLFYLLRLPPFSVENQKLQGGQFDHADRLFNSIRDTWSSAAGKGNTSDVKELIPEFFYMPEFLENMFNLDLGEKQSGEKVSDVLLPPWAKGSARDFIRKHREALESDFVSENLHHWIDLIFGYKQRGKAAEEAVNVFYHYTYEGSVDIDSVTDPSMKASILAQINHFGQTPKQLFLKPHVKRRSNRRIHHPLKYSSHLTPHEIRKSSSAITQIVTVHEKILVAGTNSLLKPTTYTKYVAWGFPDRSLRFMSYDQDRLLSTHENLHGGSQIQCAGASHDGQILVTGADDGLLCVWRISKDGPRALRHLQLENALCGHTAKITCLHVSQPYMLIVSGSDDCTVILWDLSSLVFVRQLPEFPVPISAIYVNDLTGEIVTAAGILLAVWSINGDCLAVINTSQLPSDSILSVTSCTFSDWLDTNWYVTGHQSGAVKVWHMVHCSNQESALSKSTSNLTGGLNLGDKVPEYRLLLHKVLKFHKHPVTSLHLTSDLKQLLSGDSGGHLLSWTLPDESLLTSSNRG >Potri.010G001300.2.v4.1 pep chromosome:Pop_tri_v4:10:150274:151437:-1 gene:Potri.010G001300.v4.1 transcript:Potri.010G001300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001300.v4.1 MLDPCERQGSESEEMSSKSLETESPQKKTCADCGTSKTPLWRGGPAGPKSLCNACGIRSRKKKRDILGLNKGGAAANDKRAKKGSTNNGSSNYNNNNKQLGDGLKQRLLALGREVLVQGSTVERRRRKLGEEEQAAVLLMALSYGSVYA >Potri.008G067100.12.v4.1 pep chromosome:Pop_tri_v4:8:4054748:4055146:-1 gene:Potri.008G067100.v4.1 transcript:Potri.008G067100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067100.v4.1 MMITGLHTVADIFCVGCGSIVGWKYETAHERSQKYKEGKSVLERFKVSGPDGSHYWVNHEHHHIGGSDADDV >Potri.008G067100.11.v4.1 pep chromosome:Pop_tri_v4:8:4054557:4057963:-1 gene:Potri.008G067100.v4.1 transcript:Potri.008G067100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067100.v4.1 MRNASSLYKEKESRRGKEPYNTRLVRFHFSRKRILCKDLIARMGRLFVVDLEGKIYSCKHCRTHLALYDDIVSKSFHCRHGKAYLFNKVANVFLGEKEERMMITGLHTVADIFCVGCGSIVGWKYETAHERSQKYKEGKSVLERFKVSGPDGSHYWVNHEHHHIGGSDADDV >Potri.001G310900.1.v4.1 pep chromosome:Pop_tri_v4:1:32112205:32116475:-1 gene:Potri.001G310900.v4.1 transcript:Potri.001G310900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310900.v4.1 MDPEIAKTQEERKKMEQQLASLTSLTFDRDLYGGVDREAYETSIPATDDEEPDMGLNEVAQRLASYTAPKSVLKEMPRGGDDSEETDGFRKPSRIIDREDDYRRRRLDRIISPERHDPFAAGEKTPDPSVRTYSDVMMEESLKKQKEELLRKIANKKKEEEEARAGKEENVASTVPKRSNRWDQSKEDDGKVVKKAKTGSDWDLPDATPGIGRWDATPTPGRIGDATPGAGRRNRWDETPTPGRVVDSDATPAGGVTPGATPAGVAWDATPKGMVTPTPKRQKSRWDETPASMDSATPALGAVTPSLGGVTPGPTPLGAIDMATPTPNALAMRGAITPEQYNLLRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYSIPDENRGQQFDLGQEPPAGLPFMKPEDYQYFGALLNEEDEEELSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEAAAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAMYANYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRSDILPEFFRNFWVRRMALDRRNYRQLVETTVEIADKVGVKDIAGRIVEDLKDESEPYRRMVMETIEKVVANMGASDIDSRLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVTEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGAQDALVAAYPILEDEQDNVYSRPELMMFV >Potri.015G087600.1.v4.1 pep chromosome:Pop_tri_v4:15:11120042:11121015:-1 gene:Potri.015G087600.v4.1 transcript:Potri.015G087600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087600.v4.1 MATSTTNPALDPDLDQPDNPNHEFAQFGAGCFWGVELAFQRLPGVVKTEVGYSQGHVPDPTYKLVCTNTTNHVEVVRVQFDPEVCPYTNLLSLFWSRHDPTTLNRQGGDVGTQYRSGIYYYNEAQAKLAQESKEAKQLELKDSKVVTEILPAKRFFRAEEYHQQYLEKGGGQGVKQSAEKGCNDPIRCYG >Potri.004G091600.1.v4.1 pep chromosome:Pop_tri_v4:4:7792541:7794551:1 gene:Potri.004G091600.v4.1 transcript:Potri.004G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091600.v4.1 MAKRLSSRLSSLHFSFRSPKPHFLPQTSLRMEALSNSVTSSYYSINHSYNHGFSPSFQVIRSYARNRDRHYDLFGSQKPGEEGFREAWKKEMDEDSCLWTGSEDESDDENSSDKGRNRLEKDIRKVRQQAKEHSDLIDADDSDELRSVWSGSDEEKTLWTGDECDDEDDIPTEAHPNEASDRYLDNLFEFEEKPKYRTISELLNAENEPELSPGKQARKLAVENALKKLKKGPDGRYTNVWEVMSDIDILIGAFENIVSGPEYEELRQGGPKKLNMQFFKDIQARMRDPNFKFSPELKLKPKSTLVPRKKWQKAQSRRRKAQRR >Potri.006G281800.1.v4.1 pep chromosome:Pop_tri_v4:6:27101185:27104005:1 gene:Potri.006G281800.v4.1 transcript:Potri.006G281800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281800.v4.1 MLPLMSSRTTEIDPKQKINGDLYCVLMEGNTKSVADLCLRLQDHALHVITVTDDTVLHMATYAKEASLVEQLLDELPDHHLDKLTRQNGVGNTILHETATSNHTVAVARKLLKKAPGLLGMRNHNGETALFRAARYGKTDMFDFLAAKVCGYDESGLQFYVQRSDKTTILHMAILSLHFDLAYQIASKYEHLIGQRDGDGMTGLQILSCNPSVFKQEPEDGFIKLAKSCGSSAWRKKVQKQKKKYKSAVKLAKFLVRKDTSWECISSGIDVMKPKIHKYGEKGGQERQEGHLYNTIPDQMESRAETPLILATKSGCVEIVEEILKAYPQAVEHIDDDGRNVLHVAIKYRQLKIFKLVTRMEVPMKRLGRKIDKDGNSILHNVGKKSKDVVSDEKMEGPAFLLQEELLWFERVEKVTPSHFQGHRNNKMLTAEGFFFTANSELRNLAKEWLKTTAEGCSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVADVLSLTFALTAVVTFLSILSSPFRFKDFKHILPNKLMIGFTFLFFSVAMMMVAFGATILLMIYSKESWEKITLYAVSFIPVSISALVYFPLYSSLSKTYNYLLKKIPLIKHILAIPWKISKSLKCC >Potri.004G088900.1.v4.1 pep chromosome:Pop_tri_v4:4:7542880:7544379:-1 gene:Potri.004G088900.v4.1 transcript:Potri.004G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088900.v4.1 MALAKDRMGSVQTCPYNGNVMGDFSSMGSYGFDEYQKVAFYEEGNSTFEKTSGLMIKNLAMTSSPSSLGSPSSAISGELVFQATDHQAEEAHSLISFKGIGFDNIMHNNGSLLSFEQSSRVSQTSSQKDDYSAWEGNLSYNYQWNEMNPKCNTSPRLMEDFNCFQRAGNFISMTGKENHGDWLYAESTIVADSIQDSATPDASSFHKRPNMGESMQALKKQCNNATKKPKPKSAAGPAKDLQSIAAKNRRERISERLKVLQDLVPNGSKVDLVTMLEKAISYVKFLQLQVKVLATDELWPVQGGKAPDISQVKEAIDALLSSQTKDGNSSSSPK >Potri.T124308.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:5:3507:-1 gene:Potri.T124308.v4.1 transcript:Potri.T124308.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124308.v4.1 MKPCGWFGITCNLNNEVVSLEFRYVDLFGKLPSNFTSLFSLNKLILSGTNLTGSIPKEIGTALPRLTHLDLSDNALTGEIPSELCVLITLEELLLNSNQLEGSIPIEIGNLTSLKRLILYDNQLSGSMPNTIGKLRYLEVIRAGGNKNLEGSLPQEIGNCSNLLILGLAETSISELGDCTELQDIYLYENSLTGSIPKTLGQLQNLKNLLLWQNNLVGVIPPELGNCNQMLVIDISMNSLTGSIPQSFGNLTELQEFQLSLNQISGVIPAQLGNCRKLTHIELDNNQISGSIPPEIETQQALALSNNLSGEIPPEIGNCSSLIRFRANNNKVAGTIPPQIGNLKNLNFLDLGSNRIAGDIPEEISGCQNLTFLDLHSNAISGNLPQSFNKLVSPNKLSGSIPNQLGSCSKLQLLDLSGNQLSGNIPSSVGKIPSLEIALNLSLNQLNGEIPSDVLTGNPALCFSDSQCDGDDKRVKRGTAARGQWWCCCARRVSLLAALYNILRSKKHGRGAQECDRDDDLEMRPPWEVTLYQKLDLSIADVARSLTAGNVIGRGRSGVVYKVAIPSGLMVAVKRFKSAEKIQQHHFHPRLLRWR >Potri.012G010101.2.v4.1 pep chromosome:Pop_tri_v4:12:162571:166407:-1 gene:Potri.012G010101.v4.1 transcript:Potri.012G010101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G010101.v4.1 MQIFISHLSFNTPNILSNPARPANMGFSPLSLSQFLSSILFLFHFHTTISSSNYSSSSHFCAPDQSLSLLQFKESFSINSSASGRCQHPKTESWKEGTDCCLWDGVTCDMKTGQVTALDLACSMLYGTLHSNSTLFSLHHLQKLDLSDNDFQSSHISSQFGQFSNLTYLNLNYSVFAGQVPSEISHLSKLVSLDLSGDENDYLSLEPISFDKFVRNLTQLRELDLSRVNMSLVVPNSLMNLSSSLSSLKLFSCGLQGKFPSSIRKFKHLQQLDLADNNLTGPIPYDFEQLTELVSLALSGNENDYLSLEPISFDKLVQNLTHLRELYLSWVNMSLVAPNSLMNLSSSLSSLKLFSCGLQGKFPSSMRKFKHLQLLDLRKNNLTGSIPDDFDQLTELVSIDLSFNDYLSVEPSSFDKTIQNLTKLRGLRLGYVNMPLVTPNSLANLSSSLSALALWGCGLKGKFPGNIFLLPNLESLDLTFNGDLTGSFPSSNVSNALWLLGLSHTRISVYLENDFFTNLKLLEVLSLRSSNIIRSNLTLIGHLTQLTRLDLADNNFGGQIPSSVENLVQLRILYLDSNNFLGQIPDFLGNLTLLENLGLSNNQLSGPISSQINTLSLRFFDLSKNNLHGPIPSSIFKQENLEALVLASNSKLTGEISSSICKLKFLRLLDLSNNSLSGFIPQCLGNFSNSLSILNLGMNNLQGTIFSPFSKGNNLGYLNLNGNELEGKIPSSIINCTMLEALDLGNNKIEDTFPYFLETLPELYVLVLKSNKLQGFVNSSTTNNSFSKLRILDISNNSLSGPLPIGYFNNLEAKMTYNPNIYYMMAVTWEGFETEFTKIQSTRRILDLSNNKFTGEIPELIGKLKAVQQLNFSHNSLTGHIQSSIGMLTYLESLDLSSNLFTGRIPVQLADLTFLGVLNLSHNQLEGPIPSGKHFNTFNASSFEGNLGLCGFPMPKECNSDEAPPSQPSNFHDGDDSKFFGEGFGWKAVAIGYGCGFVFGVTMGYVVFRTRKPAWFLKVVEDHWNLKARRTKKNARRNGARRN >Potri.002G144200.4.v4.1 pep chromosome:Pop_tri_v4:2:10866621:10870166:-1 gene:Potri.002G144200.v4.1 transcript:Potri.002G144200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144200.v4.1 MKAMPLPFENFQGKGLPDFSSSSTTSSPDPFHQHHHKWQNNDSKESCGFLVGSTEPTSVLDTISRQSPPTSSSTLSSSQGGGGGGGGASTETTNDVAAACGSSPSVDEKCGPQLGMEDWESVLSGSPSQEQSIIRLIMGDIEDPALGLNKLLQSGSRSQDTELNASGFGVVDQVFGFEVPNMCTASANLVVNNNNIDPSSIHGTFLDSPLVNHEVTRIFSIGCVLHQNPTPNPVLFTSGISLLPGLFQQHQQQQASFDQDEKPQILNPGAITNQNQHQFVQNPAMLLPLSYAQLEEHHNNLHFLSPPPLKRLNSGHVGANYMPKVFDLRPPELFLPLQQQQQQNHQFQMMQQQRQGMITKQKIAIDELANQQQLQHAIINPICEAAELIETGNPVLAQGILARLNHQLSVPIGKPYQRTAFYFKEALQLLLNMNNNNSIGTAYNPIFKIGAYKSFSEISPILQFANFTCNQALLEAFEGFERIHVVDFDIGYGGQWASLMQELALRNGGAPSLKITAFVSPSSHDELELELGFTQENLRIFASEINMPFELEILSLESLGSVSRPMTLCTLDKEVIAVNLPLGVFSNYPSTLPVVLRFVKQLSPKVVVSLDSGCDRSDLPFAHHINHAIQSYTSLLESLDAVNVNLDILQKIERFLVQPCIERMVLGRHGCSDRTTPWRSLFLQSGFTPLTFSNFTESQAECLVQRTPVKGFHVEKKQSSLVLCWQQRDLVSVSAWSC >Potri.002G144200.2.v4.1 pep chromosome:Pop_tri_v4:2:10866627:10870193:-1 gene:Potri.002G144200.v4.1 transcript:Potri.002G144200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144200.v4.1 MKAMPLPFENFQGKGLPDFSSSSTTSSPDPFHQHHHKWQNNDSKESCGFLVGSTEPTSVLDTISRQSPPTSSSTLSSSQGGGGGGGGASTETTNDVAAACGSSPSVDEKCGPQLGMEDWESVLSGSPSQEQSIIRLIMGDIEDPALGLNKLLQSGSRSQDTELNASGFGVVDQVFGFEVPNMCTASANLVVNNNNIDPSSIHGISLLPGLFQQHQQQQASFDQDEKPQILNPGAITNQNQHQFVQNPAMLLPLSYAQLEEHHNNLHFLSPPPLKRLNSGHVGANYMPKVFDLRPPELFLPLQQQQQQNHQFQMMQQQRQGMITKQKIAIDELANQQQLQHAIINPICEAAELIETGNPVLAQGILARLNHQLSVPIGKPYQRTAFYFKEALQLLLNMNNNNSIGTAYNPIFKIGAYKSFSEISPILQFANFTCNQALLEAFEGFERIHVVDFDIGYGGQWASLMQELALRNGGAPSLKITAFVSPSSHDELELELGFTQENLRIFASEINMPFELEILSLESLGSVSRPMTLCTLDKEVIAVNLPLGVFSNYPSTLPVVLRFVKQLSPKVVVSLDSGCDRSDLPFAHHINHAIQSYTSLLESLDAVNVNLDILQKIERFLVQPCIERMVLGRHGCSDRTTPWRSLFLQSGFTPLTFSNFTESQAECLVQRTPVKGFHVEKKQSSLVLCWQQRDLVSVSAWSC >Potri.010G182650.2.v4.1 pep chromosome:Pop_tri_v4:10:18075540:18076949:1 gene:Potri.010G182650.v4.1 transcript:Potri.010G182650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182650.v4.1 MLNGDDPRTEPQHLTVPPKWVTFPSKVAFRIHEAKRFMDQIEANSSGVTDVFRWGSVLAGCDVIAVRSCLELEADFIRLVEDLHCKPVIPVGLLLPSTQSREGGVDEKWVTISEWLDKQTQGSVVYIAFGSELTINQNEITELALGLELSGLPFFWAFRNRDDSVRLPDGFEERVKGRGVVWTSWAPQLRIMAHESVGGFLTHCGFSSVAEALSFGLALIMLPFAIDQGLIARVFEEKKVGIEVPRDELAGRVVYKELGS >Potri.001G243104.1.v4.1 pep chromosome:Pop_tri_v4:1:26063466:26064181:-1 gene:Potri.001G243104.v4.1 transcript:Potri.001G243104.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243104.v4.1 MQNTSILGTESHTLHLHGLNFFVVGEGFGNFNPKNDPKNFNLVDPVERNTVGVCAFWWLGGNSISCRQSRSAAVWRRNRANWHC >Potri.010G141700.9.v4.1 pep chromosome:Pop_tri_v4:10:15471612:15479456:1 gene:Potri.010G141700.v4.1 transcript:Potri.010G141700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141700.v4.1 MESGFPDRLIGSEIHGFNFLRDLDVPNIMEESRTRWLRPNEIHAMLCNYKLFTINVKPVNFPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNQTFVRRCYWLLDKSLEHIVLVHYRETQEGSPATPVNSHSSSVSDQSAPRLLSEEFDSGAARAYDSSEKDLGSSDSLTVRSHAMRLHELNTLEWDELVTNDPGNLIPPGGDKIPCFDRQNQIAVNGSVNDGGILSGYHLSAEMSTLGNLTKSIVRSGNTQFNSPDSVYSQLTSAQVNSDAQRKGSIVPGTSDSLNNLFNDGLQSQDSFGRWMSSIIDHSPCSVDDAVLESSISSGHDSFASPGIDQHQSSVQEQTFIITDFSPAWAFSNETTKILVTGYFHEQYQHLAKSNLFCICGDTFARAEIVQVGVYSFMLPPHSPGLVNLCLSLDGLEPTSQILNFEYRAPSVHDPVVSSEDKSKWEEFHLQMRLAYLLFSTSKTLDVISNKLSPTNLKEAKKFALKTSNISNSWAYLIKAIEDGGISVAQAKDGFFELSLKNTIREWLLERVLEGCKTTGYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVGALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNVTGSLPTTATNTVNSENLSEEELYLKDTLAAYRTAADAAARIQVAFREHSLMVRTKAVQSSSPEDEARNIIAAMKIQHAFRNYDSKKKMAAAARIQHRFRTWKIRRDFLNMRHKTIKIQAVFRGFQVRRQYRKIIWSVGVVEKAILRWRLKRRGFRGLRVEPVEAVVDQRHDSDTEEDFYKISQKQAEERVERSVIRVQAMFRSKKAQEEYWRMKLTHNQAKVEYEGLLDPDMNVDK >Potri.010G141700.11.v4.1 pep chromosome:Pop_tri_v4:10:15471615:15479562:1 gene:Potri.010G141700.v4.1 transcript:Potri.010G141700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141700.v4.1 MSTLGNLTKSIVRSGNTQFNSPDSVYSQLTSAQVNSDAQRKGSIVPGTSDSLNNLFNDGLQSQDSFGRWMSSIIDHSPCSVDDAVLESSISSGHDSFASPGIDQHQSSVQEQTFIITDFSPAWAFSNETTKILVTGYFHEQYQHLAKSNLFCICGDTFARAEIVQVGVYSFMLPPHSPGLVNLCLSLDGLEPTSQILNFEYRAPSVHDPVVSSEDKSKWEEFHLQMRLAYLLFSTSKTLDVISNKLSPTNLKEAKKFALKTSNISNSWAYLIKAIEDGGISVAQAKDGFFELSLKNTIREWLLERVLEGCKTTGYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVGALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNVTGSLPTTATNTVNSENLSEEELYLKDTLAAYRTAADAAARIQVAFREHSLMVRTKAVQSSSPEDEARNIIAAMKIQHAFRNYDSKKKMAAAARIQHRFRTWKIRRDFLNMRHKTIKIQAVFRGFQVRRQYRKIIWSVGVVEKAILRWRLKRRGFRGLRVEPVEAVVDQRHDSDTEEDFYKISQKQAEERVERSVIRVQAMFRSKKAQEEYWRMKLTHNQAKVEYEGLLDPDMNVDK >Potri.010G141700.4.v4.1 pep chromosome:Pop_tri_v4:10:15471764:15479308:1 gene:Potri.010G141700.v4.1 transcript:Potri.010G141700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141700.v4.1 MESGFPDRLIGSEIHGFNFLRDLDVPNIMEESRTRWLRPNEIHAMLCNYKLFTINVKPVNFPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNQTFVRRSLEHIVLVHYRETQEGSPATPVNSHSSSVSDQSAPRLLSEEFDSGAARAYDSSEKDLGSSDSLTVRSHAMRLHELNTLEWDELVTNDPGNLIPPGGDKIPCFDRQNQIAVNGSVNDGGILSGYHLSAEMSTLGNLTKSIVRSGNTQFNSPDSVYSQLTSAQVNSDAQRKGSIVPGTSDSLNNLFNDGLQSQDSFGRWMSSIIDHSPCSVDDAVLESSISSGHDSFASPGIDQHQSSVQEQTFIITDFSPAWAFSNETTKILVTGYFHEQYQHLAKSNLFCICGDTFARAEIVQVGVYSFMLPPHSPGLVNLCLSLDGLEPTSQILNFEYRAPSVHDPVVSSEDKSKWEEFHLQMRLAYLLFSTSKTLDVISNKLSPTNLKEAKKFALKTSNISNSWAYLIKAIEDGGISVAQAKDGFFELSLKNTIREWLLERVLEGCKTTGYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVGALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNVTGSLPTTATNTVNSENLSEEELYLKDTLAAYRTAADAAARIQVAFREHSLMVRTKAVQSSSPEDEARNIIAAMKIQHAFRNYDSKKKMAAAARIQHRFRTWKIRRDFLNMRHKTIKIQAVFRGFQVRRQYRKIIWSVGVVEKAILRWRLKRRGFRGLRVEPVEAVVDQRHDSDTEEDFYKISQKQAEERVERSVIRVQAMFRSKKAQEEYWRMKLTHNQAKVEYEGLLDPDMNVDK >Potri.010G141700.5.v4.1 pep chromosome:Pop_tri_v4:10:15471690:15479308:1 gene:Potri.010G141700.v4.1 transcript:Potri.010G141700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141700.v4.1 MESGFPDRLIGSEIHGFNFLRDLDVPNIMEESRTRWLRPNEIHAMLCNYKLFTINVKPVNFPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNQTFVRRCYWLLDKSLEHIVLVHYRETQEGSPATPVNSHSSSVSDQSAPRLLSEEFDSGAARAYDSSEKDLELTGSSDSLTVRSHAMRLHELNTLEWDELVTNDPGNLIPPGGDKIPCFDRQNQIAVNGSVNDGGILSGYHLSAEMSTLGNLTKSIVRSGNTQFNSPDSVYSQLTSAQVNSDAQRKGSIVPGTSDSLNNLFNDGLQSQDSFGRWMSSIIDHSPCSVDDAVLESSISSGHDSFASPGIDQHQSSVQEQTFIITDFSPAWAFSNETTKILVTGYFHEQYQHLAKSNLFCICGDTFARAEIVQVGVYSFMLPPHSPGLVNLCLSLDGLEPTSQILNFEYRAPSVHDPVVSSEDKSKWEEFHLQMRLAYLLFSTSKTLDVISNKLSPTNLKEAKKFALKTSNISNSWAYLIKAIEDGGISVAQAKDGFFELSLKNTIREWLLERVLEGCKTTGYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVGALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNVTGSLPTTATNTVNSENLSEEELYLKDTLAAYRTAADAAARIQVAFREHSLMVRTKAVQSSSPEDEARNIIAAMKIQHAFRNYDSKKKMAAAARIQHRFRTWKIRRDFLNMRHKTIKIQAVFRGFQVRRQYRKIIWSVGVVEKAILRWRLKRRGFRGLRVEPVEAVVDQRHDSDTEEDFYKISQKQAEERVERSVIRVQAMFRSKKAQEEYWRMKLTHNQAKVEYEGLLDPDMNVDK >Potri.010G141700.6.v4.1 pep chromosome:Pop_tri_v4:10:15471523:15480043:1 gene:Potri.010G141700.v4.1 transcript:Potri.010G141700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141700.v4.1 MESGFPDRLIGSEIHGFNFLRDLDVPNIMEESRTRWLRPNEIHAMLCNYKLFTINVKPVNFPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNQTFVRRCYWLLDKSLEHIVLVHYRETQEGSPATPVNSHSSSVSDQSAPRLLSEEFDSGAARAYDSSEKDLGSSDSLTVRSHAMRLHELNTLEWDELVTNDPGNLIPPGGDKIPCFDRQNQIAVNGSVNDGGILSGYHLSAEMSTLGNLTKSIVRSGNTQFNSPDSVYSQLTSAQVNSDAQRKGSIVPGTSDSLNNLFNDGLQSQDSFGRWMSSIIDHSPCSVDDAVLESSISSGHDSFASPGIDQHQSSVQEQTFIITDFSPAWAFSNETTKILVTGYFHEQYQHLAKSNLFCICGDTFARAEIVQVGVYSFMLPPHSPGLVNLCLSLDGLEPTSQILNFEYRAPSVHDPVVSSEDKSKWEEFHLQMRLAYLLFSTSKTLDVISNKLSPTNLKEAKKFALKTSNISNSWAYLIKAIEDGGISVAQAKDGFFELSLKNTIREWLLERVLEGCKTTGYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVGALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNVTGSLPTTATNTVNSENLSEEELYLKDTLAAYRTAADAAARIQVAFREHSLMVRTKAVQSSSPEDEARNIIAAMKIQHAFRNYDSKKKMAAAARIQHRFRTWKIRRDFLNMRHKTIKIQAVFRGFQVRRQYRKIIWSVGVVEKAILRWRLKRRGFRGLRVEPVEAVVDQRHDSDTEEDFYKISQKQAEERVERSVIRVQAMFRSKKAQEEYWRMKLTHNQAKVEYEGLLDPDMNVDK >Potri.010G141700.8.v4.1 pep chromosome:Pop_tri_v4:10:15471821:15479502:1 gene:Potri.010G141700.v4.1 transcript:Potri.010G141700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141700.v4.1 MESGFPDRLIGSEIHGFNFLRDLDVPNIMEESRTRWLRPNEIHAMLCNYKLFTINVKPVNFPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNQTFVRRCYWLLDKSLEHIVLVHYRETQEGSPATPVNSHSSSVSDQSAPRLLSEEFDSGAARAYDSSEKDLGSSDSLTVRSHAMRLHELNTLEWDELVTNDPGNLIPPGGDKIPCFDRQNQIAVNGSVNDGGILSGYHLSAEMSTLGNLTKSIVRSGNTQFNSPDSVYSQLTSAQVNSDAQRKGSIVPGTSDSLNNLFNDGLQSQDSFGRWMSSIIDHSPCSVDDAVLESSISSGHDSFASPGIDQHQSSVQEQTFIITDFSPAWAFSNETTKILVTGYFHEQYQHLAKSNLFCICGDTFARAEIVQVGVYSFMLPPHSPGLVNLCLSLDGLEPTSQILNFEYRAPSVHDPVVSSEDKSKWEEFHLQMRLAYLLFSTSKTLDVISNKLSPTNLKEAKKFALKTSNISNSWAYLIKAIEDGGISVAQAKDGFFELSLKNTIREWLLERVLEGCKTTGYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVGALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNVTGSLPTTATNTVNSENLSEEELYLKDTLAAYRTAADAAARIQVAFREHSLMVRTKAVQSSSPEDEARNIIAAMKIQHAFRNYDSKKKMAAAARIQHRFRTWKIRRDFLNMRHKTIKIQAVFRGFQVRRQYRKIIWSVGVVEKAILRWRLKRRGFRGLRVEPVEAVVDQRHDSDTEEDFYKISQKQAEERVERSVIRVQAMFRSKKAQEEYWRMKLTHNQAKVEYEGLLDPDMNVDK >Potri.010G141700.10.v4.1 pep chromosome:Pop_tri_v4:10:15471682:15479309:1 gene:Potri.010G141700.v4.1 transcript:Potri.010G141700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141700.v4.1 MESGFPDRLIGSEIHGFNFLRDLDVPNIMEESRTRWLRPNEIHAMLCNYKLFTINVKPVNFPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNQTFVRRCYWLLDKSLEHIVLVHYRETQEGSPATPVNSHSSSVSDQSAPRLLSEEFDSGAARAYDSSEKDLGSSDSLTVRSHAMRLHELNTLEWDELVTNDPGNLIPPGGDKIPCFDRQNQIAVNGSVNDGGILSGYHLSAEMSTLGNLTKSIVRSGNTQFNSPDSVYSQLTSAQVNSDAQRKGSIVPGTSDSLNNLFNDGLQSQDSFGRWMSSIIDHSPCSVDDAVLESSISSGHDSFASPGIDQHQSSVQEQTFIITDFSPAWAFSNETTKILVTGYFHEQYQHLAKSNLFCICGDTFARAEIVQVGVYSFMLPPHSPGLVNLCLSLDGLEPTSQILNFEYRAPSVHDPVVSSEDKSKWEEFHLQMRLAYLLFSTSKTLDVISNKLSPTNLKEAKKFALKTSNISNSWAYLIKAIEDGGISVAQAKDGFFELSLKNTIREWLLERVLEGCKTTGYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVGALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNVTGSLPTTATNTVNSENLSEEELYLKDTLAAYRTAADAAARIQVAFREHSLMVRTKAVQSSSPEDEARNIIAAMKIQHAFRNYDSKKKMAAAARIQHRFRTWKIRRDFLNMRHKTIKIQAVFRGFQVRRQYRKIIWSVGVVEKAILRWRLKRRGFRGLRVEPVEAVVDQRHDSDTEEDFYKISQKQAEERVERSVIRVQAMFRSKKAQEEYWRMKLTHNQAKVEYEGLLDPDMNVDK >Potri.010G141700.7.v4.1 pep chromosome:Pop_tri_v4:10:15471736:15480042:1 gene:Potri.010G141700.v4.1 transcript:Potri.010G141700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141700.v4.1 MESGFPDRLIGSEIHGFNFLRDLDVPNIMEESRTRWLRPNEIHAMLCNYKLFTINVKPVNFPKSGTIVLFDRKMLRNFRKDGHNWKKKKDGKTVKEAHEHLKVGNEERIHVYYAHGQDNQTFVRRCYWLLDKSLEHIVLVHYRETQEGSPATPVNSHSSSVSDQSAPRLLSEEFDSGAARAYDSSEKDLGSSDSLTVRSHAMRLHELNTLEWDELVTNDPGNLIPPGGDKIPCFDRQNQIAVNGSVNDGGILSGYHLSAEMSTLGNLTKSIVRSGNTQFNSPDSVYSQLTSAQVNSDAQRKGSIVPGTSDSLNNLFNDGLQSQDSFGRWMSSIIDHSPCSVDDAVLESSISSGHDSFASPGIDQHQSSVQEQTFIITDFSPAWAFSNETTKILVTGYFHEQYQHLAKSNLFCICGDTFARAEIVQVGVYSFMLPPHSPGLVNLCLSLDGLEPTSQILNFEYRAPSVHDPVVSSEDKSKWEEFHLQMRLAYLLFSTSKTLDVISNKLSPTNLKEAKKFALKTSNISNSWAYLIKAIEDGGISVAQAKDGFFELSLKNTIREWLLERVLEGCKTTGYDAQGLGVIHLCAIIGYTWAVYLFSWSGLSLDFRDKHGWTALHWAAYYGREKMVGALLSAGAKPNLVTDPTKENPGGCTAADLASAKGYDGLAAYLSEKALVAQFESMIIAGNVTGSLPTTATNTVNSENLSEEELYLKDTLAAYRTAADAAARIQVAFREHSLMVRTKAVQSSSPEDEARNIIAAMKIQHAFRNYDSKKKMAAAARIQHRFRTWKIRRDFLNMRHKTIKIQAVFRGFQVRRQYRKIIWSVGVVEKAILRWRLKRRGFRGLRVEPVEAVVDQRHDSDTEEDFYKISQKQAEERVERSVIRVQAMFRSKKAQEEYWRMKLTHNQAKVEYEGLLDPDMNVDK >Potri.012G060300.1.v4.1 pep chromosome:Pop_tri_v4:12:6137302:6138953:1 gene:Potri.012G060300.v4.1 transcript:Potri.012G060300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060300.v4.1 MASVGTWTRDEEKTFENAIAMHWIDEDSNEQWEKIASMVPSKSLEELKLHYKILVEDVCAIEAGNVPIPNYEGEEAASSTKDLHGLSGTMTTVKKLNCGYGSGFVGLGHESSGHGGKGASRSEQERKKGIPWTEEEHRLFLLGLDKFGKGDWRSISRNFVISRTPTQVASHAQKYFIRLNSMNRDRRRSSIHDITSLNNGDVSSHQAPITGQQANTSPAGPAPAMGPPVKHRTQAHMPGLAMYGPPLGHPVAPPPGHMASAVGTPVMLPPPGHHPHPPYVVPVAYPTAPPKTA >Potri.004G237100.1.v4.1 pep chromosome:Pop_tri_v4:4:24128440:24131640:-1 gene:Potri.004G237100.v4.1 transcript:Potri.004G237100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G237100.v4.1 MTTSGGIGGGEAWKAHTAMAMVQVFNGGYHVITKVALNVGVNQLVFCVYRDLLALAILAPVACFRERRIRQPLTKPLLLSFFFLGLTGIFGNQLLFLLGLSYTNPTYAAAIQPAIPVFTFILAVIMGTERLNLFTTEGQAKVGGILVCVSGAIVMVLFRGPSVFGFKEADFVSSEISARGQPEPAGWFLSSLLDFGLDNWHLGVLCLIGNCMCMAVFLAIQAPVLAKYPTSLSVTAYSYAFGALLMVVTAFFMTNESTDWSLTRSECFAVIYAGVVASAINYGLLTWSNKILGPALVALYNPLQPAASACLSKIFLGSPIYLGSLIGGFLIISGLYLVTWASYRERQTVPGLIHHISARASEPFIHKEAAINKGAYHRGYIFPSASSPTKSID >Potri.001G410600.1.v4.1 pep chromosome:Pop_tri_v4:1:44179949:44183106:-1 gene:Potri.001G410600.v4.1 transcript:Potri.001G410600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410600.v4.1 MADEYTSTNWWDSSSSRNRFDSTGSSSTTSGLNSLRSFAWPTEMADVKGRSSMETVSVSDSSVAFHDSQKLQQGHDSSADLHMMGLGLSSQAMDWNQALLRGDKSENSFRSMLQDNLSSSTNYQQETAGIGSSQSQWRERVFTGVTGDSSMSEFKQMNRGFSLDQPQFSPHGSSSDSTVSCQGLQSSFPMDSSSIYGSPSTMVQGLLISDNQARQSSFENRPMSYAYGANYSLGTNELLPSWPKGPQFLRNSPPKQTSSNQLHFSNNTPFWNASASAMNDVRPSYFPSMQPQFATSNFDEKPKNISEVRDSNIAVKKSGSEAATKRPRNEIPSSLPSFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHQQVTVFSTQYMKSGTPILHQQSSDKSKDLEGQKQDLRSRGLCLVPVSSTFPVTHETAVDFWTPTFGGTYR >Potri.001G410600.4.v4.1 pep chromosome:Pop_tri_v4:1:44179949:44183106:-1 gene:Potri.001G410600.v4.1 transcript:Potri.001G410600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410600.v4.1 MADEYTSTNWWDSSSSRNRFDSTGSSSTTSGLNSLRSFAWPTEMADVKGRSSMETVSVSDSSVAFHDSQKLQQGHDSSADLHMMGLGLSSQAMDWNQALLRGDKSENSFRSMLQDNLSSSTNYQQETAGIGSSQSQWRERVFTGVTGDSSMSEFKQMNRGFSLDQPQFSPHGSSSDSTVSCQGLQSSFPMDSSSIYGSPSTMVQGLLISDNQARQSSFENRPMSYAYGANYSLGTNELLPSWPKGPQFLRNSPPKQTSSNQLHFSNNTPFWNASASAMNDVRPSYFPSMQPQFATSNFDEKPKNISEVRDSNIAVKKSGSEAATKRPRNEIPSSLPSFKVRKEKMGDRITALQQLVSPFGKLLNTSNSSISKLQSSAPNT >Potri.001G410600.2.v4.1 pep chromosome:Pop_tri_v4:1:44179949:44183106:-1 gene:Potri.001G410600.v4.1 transcript:Potri.001G410600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410600.v4.1 MADEYTSTNWWDSSSSRNRFDSTGSSSTTSGLNSLRSFAWPTEMADVKGRSSMETVSVSDSSVAFHDSQKLQQGHDSSADLHMMGLGLSSQAMDWNQALLRGDKSENSFRSMLQDNLSSSTNYQQETAGIGSSQSQWRERVFTGVTGDSSMSEFKQMNRGFSLDQPQFSPHGSSSDSTVSCQGLQSSFPMDSSSIYGSPSTMVQGLLISDNQARQSSFENRPMSYAYGANYSLGTNELLPSWPKGPQFLRNSPPKQTSSNQLHFSNNTPFWNASASAMNDVRPSYFPSMQPQFATSNFDEKPKQNISEVRDSNIAVKKSGSEAATKRPRNEIPSSLPSFKVRKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHQQVTVFSTQYMKSGTPILHQQSSDKSKDLEGQKQDLRSRGLCLVPVSSTFPVTHETAVDFWTPTFGGTYR >Potri.001G410600.3.v4.1 pep chromosome:Pop_tri_v4:1:44179949:44183106:-1 gene:Potri.001G410600.v4.1 transcript:Potri.001G410600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410600.v4.1 MADEYTSTNWWDSSSSRNRFDSTGSSSTTSGLNSLRSFAWPTEMADVKGRSSMETVSVSDSSVAFHDSQKLQQGHDSSADLHMMGLGLSSQAMDWNQALLRGDKSENSFRSMLQDNLSSSTNYQQETAGIGSSQSQWRERVFTGVTGDSSMSEFKQMNRGFSLDQPQFSPHGSSSDSTVSCQGLQSSFPMDSSSIYGSPSTMVQGLLISDNQARQSSFENRPMSYAYGANYSLGTNELLPSWPKGPQFLRNSPPKQTSSNQLHFSNNTPFWNASASAMNDVRPSYFPSMQPQFATSNFDEKPKQNISEVRDSNIAVKKSGSEAATKRPRNEIPSSLPSFKVRKEKMGDRITALQQLVSPFGKLLNTSNSSISKLQSSAPNT >Potri.012G109300.1.v4.1 pep chromosome:Pop_tri_v4:12:13006960:13010013:-1 gene:Potri.012G109300.v4.1 transcript:Potri.012G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109300.v4.1 MQLNVIKRLTTQPHMGIIRWNRSYSSVPVSPPPQQHNADYQELYNLLQSGKTSMDIKTLSKIHSRIITFSYGTSPSLMASLMLKYVHCNCRSIVYKVIDQVFCWSIDLVELNLIIDKLMRTENYRVAKMVFNKMRARDVVTWNTMIGGYVRKARFEEALRLFRFMNKSNVEPDKYTFASVINGCAKLGALKHAQWVHELLIEKRIELNFILSSALIDMYSKCGKIQTAKEIFDGVQRNNVCIWNAMISGLAVHGLALDAIKVFTKMEVENVLPDAITFLGILTACSHCGLVKEGRKYFDLMRSRYSVQPHIEHYGAMVDLLGRAGLLEEAFAMIKSMPMEPDVVIWRALLGACRTYKKPELGEAAMANISRLRSGDYVLLSNIYCSQKRWDTAQGVWEMMKKKGVHKVRGKSCFEWAGFLHPFKAGDRSHPETEAIYKMLEGLIQRTKLAGYVPTTDLVTMDVSEEEKEGNLYHHSEKLALAYGILKTTSGAEVRISKNLRICYDCHSWIKIVSRLLSRVIIVRDRIRFHRFENGLCSCGDYW >Potri.012G109300.2.v4.1 pep chromosome:Pop_tri_v4:12:13006961:13010014:-1 gene:Potri.012G109300.v4.1 transcript:Potri.012G109300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109300.v4.1 MQLNVIKRLTTQPHMGIIRWNRSYSSVPVSPPPQQHNADYQELYNLLQSGKTSMDIKTLSKIHSRIITFSYGTSPSLMASLMLKYVHCNCRSIVYKVIDQVFCWSIDLVELNLIIDKLMRTENYRVAKMVFNKMRARDVVTWNTMIGGYVRKARFEEALRLFRFMNKSNVEPDKYTFASVINGCAKLGALKHAQWVHELLIEKRIELNFILSSALIDMYSKCGKIQTAKEIFDGVQRNNVCIWNAMISGLAVHGLALDAIKVFTKMEVENVLPDAITFLGILTACSHCGLVKEGRKYFDLMRSRYSVQPHIEHYGAMVDLLGRAGLLEEAFAMIKSMPMEPDVVIWRALLGACRTYKKPELGEAAMANISRLRSGDYVLLSNIYCSQKRWDTAQGVWEMMKKKGVHKVRGKSCFEWAGFLHPFKAGDRSHPETEAIYKMLEGLIQRTKLAGYVPTTDLVTMDVSEEEKEGNLYHHSEKLALAYGILKTTSGAEVRISKNLRICYDCHSWIKIVSRLLSRVIIVRDRIRFHRFENGLCSCGDYW >Potri.006G185601.1.v4.1 pep chromosome:Pop_tri_v4:6:19188055:19202211:1 gene:Potri.006G185601.v4.1 transcript:Potri.006G185601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185601.v4.1 MLPLKEICTIRAVPPPPPPTPPLKENSTVEVGSPPPPTPPVSENSIVRAGPPPPPLMPPLKENNTVGAGPPPPPPTPPLKENKTIGAGTLPPPPPLPPLKENGTIGAGAFPPPPPPPPHLKENHAIGAGPHPPPPPPPPLHLGPTTGPIVSSRMPLAPPLPPTMSTNSSRVPSAPPSVPYGKGTLNTSTNGDNKLPGPPSPAPPLGSPSMPKGRLSRTISSRTSQTKKLKPLHWLKLTRAVQGSLWAEAQKSGEASKAPEIDMSELENLFSAAVSNTDHGGKSSVRGSRGPKVEKVQLVDHRRAYNCEIMLSKVKVPPHELMSLVLVLEDSALDVDQVDNLIKFCPTKEEMELLKGYTGEKEKLGKCEQFFLELMKVPRVESKLRVFSFKMQFHSQVSDLRRSLNVVNSAAEEIKNSAKLKRIMQTILSLGNALNQGTARGSAIGFRLDSLLKLTDTRARNNKMTLMHYLCKVLADKLPELLDFSKDLASLEPATKIQLKFLAEEMQAISKGLEKVVQELSASESDGPISDNFCKVLKEFLRFAEAEVRSLASLYSGVGRNVDALILYFGEDPARCPFEQVLSTLFNFVRLFHKANEENCKQLEIEMKKSTENDNSKLGAHKESKGFSQTRIESGIVK >Potri.014G164500.2.v4.1 pep chromosome:Pop_tri_v4:14:11879180:11881005:1 gene:Potri.014G164500.v4.1 transcript:Potri.014G164500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164500.v4.1 MDPSMWHRIAAVSGVAALGLGTYGAHVFKPENPTYKEVWQTASLYHLVHTAALLAAPITKHPNIFGGLLTTGILAFSGTCYTVALLEDRKYSTLAPFGGFAFIGAWASLLF >Potri.011G041600.1.v4.1 pep chromosome:Pop_tri_v4:11:3234921:3236697:-1 gene:Potri.011G041600.v4.1 transcript:Potri.011G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041600.v4.1 MGRAPCCEKNGLKKGPWTPDEDQKLIDYIQKHGYGNWRTLPKNAGLQRCGKSCRLRWTNYLRPDIKRGRFSFEEEETIIQLHGILGNKWSAIAARLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHSPRLDLLDLSSILNSPLYDSSRMNMSRILGVQPLGDPELLRLATSLLSSQRDQTQDFAFQNGHQENHLSSPQVQQSQHQSIIHQANQFQIPGQEMPTCTTLTTTPCVTFSNEAQQMDPNVDQYQLSTITSFSSPNSQLSTHDQWQSNRMGSNLSQDYYLPAVSSYNSADNCHGTDLVDPSSEASTFISNNSNQTFGFASVLSTPSSSPAPLNSNSTYINCSSTEDERDSYCSNILKFEIPDILDVSNFM >Potri.014G068400.1.v4.1 pep chromosome:Pop_tri_v4:14:4321860:4324059:1 gene:Potri.014G068400.v4.1 transcript:Potri.014G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068400.v4.1 MSHLDHTPSTPGKFKMDKSPYYSRTRWHSSVAKLTIWSFLFIAVIFVFFYRSPPSSSNSDLSRRYLTSATWGGAAWEKRVRTSARIRSRNGFSVLVTGAAGFVGTHVSSALKRRGDGVLGLDNFNDYYDPTLKRARQALLERSGVFIVEGDINDVSLLKKLFEVVPFTHVMHLAAQAGVRYAMKNPASYVHSNIAGFVSLLEVCKDANPQPAIVWASSSSVYGLNTKVPFSEKDRTDQPASLYAATKKAGEEIAHTYNHIYGLSLTGLRFFTVYGPWGRPDMAYFFFTKDILNGKTIPIFEAANHGNVARDFTYIDDIVKGCLGSLDTAEKSTGSGGKKKGPAQLRVFNLGNTSPVPVTDLVSILERLLKVKAKRKIMKLPRNGDVPYTHANISYAQKEFGYKPTTDLQTGLKKFVRWYLSYYGNKKAVAR >Potri.008G056400.3.v4.1 pep chromosome:Pop_tri_v4:8:3326192:3326776:1 gene:Potri.008G056400.v4.1 transcript:Potri.008G056400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056400.v4.1 MVCSQIRTDQDEYLILLFAKVGAFCLFPFFLSLSLEKGYLVFAFAFFLVDFGFLMLSFLRYPPLFD >Potri.008G056400.2.v4.1 pep chromosome:Pop_tri_v4:8:3326173:3329016:1 gene:Potri.008G056400.v4.1 transcript:Potri.008G056400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056400.v4.1 MGSCFSARVKAESLPCNGLNTKYAGRSGNDRSGSSSKVSSSTVPSTPRTQGEILQSSNLKSFSFNELKAATRNFRPDSVLGEGGFGCVFKGWIDEHSLTAAKPGTGIVIAVKRLSQESFQGHQEWLAEINYLGQLYHPNLVKLIGYCLEDDHRLLVYEFMPKGSLENHLFRRASYFQPLSWNLRMKVALGAAEGLAYLHSDKAKVIYRDFKASNILLDSSYGAKLSDFGLAKDGPTGSKSHVSTRVMGTHGYAAPEYMATGHLTAKSDVYSFGVVLLEMLSGRRAIDKNRPSKEQYLVEWARPYLSSKRRIFQVMDARIQGQYSSSDALKAANLAIQCLSAEPRYRPNMEEVVKALEQLHNSNNNDGSRGSRGEIPRRVHRSSSNGPTYHRKNEVSNGKPASYAGPCVSPLRT >Potri.006G056101.1.v4.1 pep chromosome:Pop_tri_v4:6:3964711:3964911:-1 gene:Potri.006G056101.v4.1 transcript:Potri.006G056101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056101.v4.1 MAQFGGSFVHIVMAMVVVALLHSCGMMAQSIAPTPAMDAGAGLELPVSMAIISSSMILSLLALMLQ >Potri.012G005900.11.v4.1 pep chromosome:Pop_tri_v4:12:173794:180376:1 gene:Potri.012G005900.v4.1 transcript:Potri.012G005900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005900.v4.1 MGEVVISSGEELEVRSKSEREEEKQRKQSKEETGEVKKKKKKKKEGEGLNDGLVRWDGFLPRMVLRVLLVEADDSTRQIIAALLRKCSYRVVSVPDGLKAWEILKGRPHGIDLILTEVDLPSISGYPLLTIIMEHEICKNIPVIMMSSQDSISTVYKCMLRGAADYLVKPLRKNELRNLWQHVWRRQSSLAGGNGPQDESVGQDKIEATSENSPASNHASGEMASIQRSKGQTEKGSDAQSSCTKPDLEAESSHMENMQEFLQPVRSIFSLTDMNMQKREMHVNLGQKLLLHDREAEGSAAAAREDANIMDVDKEISPGNGRTGAYVAIESCDNDVALANSHREAFDFMGASTNRSSSFNNVKINFDSSPHLDLSLRRSHPSGFEIRDTEERRALWHSNASAFTQYINRPLQLPHSALESTGNQKELGTNYDRKISSTGYNSDALSLAPSTQKSEISLAAGQTKESEIATSSPGQRVFPIQIPAKETRLNNLCNSYGSVFPPIFCKQSGLSPMMSPSSACQQEPTYKVNQFQHSNHGSTSEQNRLGQHTNDSTNGSLQKQEDRLDSLEDRGLISPATDQSASSSFCNGAASHFNSMGYGSTSGSNGNVDQVAIVRDASESKNEEGAFTHSYSHRSIQREAALTKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVHIDPSPAETDQ >Potri.012G005900.12.v4.1 pep chromosome:Pop_tri_v4:12:174695:180108:1 gene:Potri.012G005900.v4.1 transcript:Potri.012G005900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G005900.v4.1 MGEVVISSGEELEVRSKSEREEEKQRKQSKEETGEVKKKKKKKKEGEGLNDGLVRWDGFLPRMVLRVLLVEADDSTRQIIAALLRKCSYRVVSVPDGLKAWEILKGRPHGIDLILTEVDLPSISGYPLLTIIMEHEICKNIPVIMMSSQDSISTVYKCMLRGAADYLVKPLRKNELRNLWQHVWRRQSSLAGGNGPQDESVGQDKIEATSENSPASNHASGEMASIQRSKGQTEKGSDAQSSCTKPDLEAESSHMENMQEFLQPVRSIFSLTDMNMQKREMHVNLGQKLLLHDREAEAAAAREDANIMDVDKEISPGNGRTGAYVAIESCDNDVALANSHREAFDFMGASTNRSSSFNNVKINFDSSPHLDLSLRRSHPSGFEIRDTEERRALWHSNASAFTQYINRPLQLPHSALESTGNQKELGTNYDRKISSTGYNSDALSLAPSTQKSEISLAAGQTKESEIATSSPGQRVFPIQIPAKETRLNNLCNSYGSVFPPIFCKQSGLSPMMSPSSACQQEPTYKVNQFQHSNHGSTSEQNRLGQHTNDSTNGSLQKQEDRLDSLEDRGLISPATDQSASSSFCNGAASHFNSMGYGSTSGSNGNVDQVAIVRDASESKNEEGAFTHSYSHRSIQREAALTKFRLKRKERCYEKKVRYESRKKLAEQRPRVKGQFVRQVHIDPSPAETDQ >Potri.005G216000.1.v4.1 pep chromosome:Pop_tri_v4:5:21952181:21954716:1 gene:Potri.005G216000.v4.1 transcript:Potri.005G216000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216000.v4.1 MAEIPTNLLPDEASPEWMNKGDNAWQLTAATLVGLQSVPGLVILYGSIVKKKWAVNSAFMALYAFAAVLVCWVGWGYHMSFGDKMLPFLGRPDISLDQKFLLDKAYVGYLPSATLVYFQFVFAAITLILVAGALLGRMNFHAWMLFVPLWLTFSYTFTAYSLWCPGGWLAKLGIIDYAGGYVIHLSSGVAGFTAAYWVGPRTNKDRERFPPNNILLMLAGAGLLWMGWSGFNGGGPFAANTDASLAILNTHVCTATSLLTWLLLDIVFFGKPSVIGATQGMITGLVCITPAAGVVQGWAAILMGILSGSIPWYSMMVLHKKIWLLKQVDDTMAVFHTHAVAGSLGGILAGFFANPKLNRIFYMVKDWQHYIGLAYGLQNGRTAAGLKQMGVQLLGILFVVVLNVFVTSAICLLIRLVVPLRLTDEELQTGDDAIHGEEAYALWGDGEKYESKHNSLHGVEEFPQVVSKEVEMA >Potri.002G103900.1.v4.1 pep chromosome:Pop_tri_v4:2:7605428:7608324:-1 gene:Potri.002G103900.v4.1 transcript:Potri.002G103900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103900.v4.1 MMMWDEWDDSQTQQQQQQQQQQQQQPDQDSCFNFDLLSLLSRPKDYYKILEVDYDATDDAIRSNYIRLALKWHPDKQKDEDSATSRFQEINEAYQVLSDPVRRREYDKKGMMHIYDYNISEYLNRYKGLILTCNGLGIRHSIL >Potri.017G002000.1.v4.1 pep chromosome:Pop_tri_v4:17:116332:122528:1 gene:Potri.017G002000.v4.1 transcript:Potri.017G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002000.v4.1 MEGMENREDLKSMLPFLPLLLGSSNLFWPSQVVKSLETLSKGPLYSKVDSGELLFDAISHIRDSLALPSLQPVAPFAHGGYALFFDELISRAEASKWFEEVVPALANLLLRLPSLLESHYQDADYLFNGVRTGLRLLGSQEAGIVFLGQELVAALLTCAFFCLFPVSDRGSKRLPTINFDHLFEDIYDEGYRKKQENKIKCIMHYFERICSSMPEGFVSFERKVLPLEQLPLCVSYPEADFWSKSVVSLCPFEVHSSGFIEDQSNGALEVDFANKYLGGGALRSGCLQEEIRFMINPELIAGMLFLPCMEDNEAIEIVGAERFSNYTGYASSFCFSGDHVDKRNVDGFRRCKTRIVAIDALCRAGMRQYKCTYLLREANKAFCGFLDQSNCDHHKSLFQDGGSQGSQCMETDKDTNVVVKDFPMDEAPSTSVEIAMNRGEYINQVIGYSDKKGSWCLDLEDKIGIATGNWGCGAFGGDPELKTMIQWLAASQAARRSVSYYALGIKSLQNLNQVSQWILSHEWTVGDLWNMLVEYSSRRFNKETNLGFFAWLLPTLFAHDAKMSNLTSTP >Potri.014G052700.1.v4.1 pep chromosome:Pop_tri_v4:14:3391675:3395516:1 gene:Potri.014G052700.v4.1 transcript:Potri.014G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052700.v4.1 MKCFQYYFKDKSRSRGRRSAPELKQRSITKTDDSLCTSDGMIKSSCSETSQRGISEMYEEKAHNLRVFSFSELRNATNGFSRLFKIGEGGFGNVYKGSIKPAGGEGDPIVVAIKKLNADGFQGHKQWVTEVQFLGVLEHPNLVKLLGYCAVDGERGIQRLLVYEFMRNKSLDDHLFNKAYPVLPWKTRLQIILGAAQGLAFLHEGLEVQVIYRDFKTSNVLLDEDLKPKLSDFGLAREGPMAGRTHVSTAVVGTYGYAAPDYIETGHLTARSDVWSFGVVLYEILTGRRSLERNRPKVEQKLLEWVKQFPADSKKFGLIMDPRLENQYSMSAARRIARLADSCLLKSAKGRPKMSQVAETLEQIIQDSSEGSPSKNSFEATGNDDLIDSSKKPDHIEVSESWKRRMAHLAKLSEHVDGASRRRFVIMQRAKVP >Potri.010G237400.1.v4.1 pep chromosome:Pop_tri_v4:10:21725459:21728921:-1 gene:Potri.010G237400.v4.1 transcript:Potri.010G237400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237400.v4.1 MKGFGRLISRALSNQKSFGLCREINTTCRLTPRRFIHVSSATTAFWHSSGGAFPDSKTLASSLHPEKLTVLTGQRRTMFIQTQSTPNPSSLMFYPGKPVMDVGSADFPNARSAMNSPLAKAIYGIDGINRVFFGPDFITITKSDDATWEFLKPEIFAAIMDFYSSGEPLFLDSQTAAAKDTAISEDDSETVAMIKELLETRIRPAVQDDGGDIEYQGFDEETGIVKLKMQGACSGCPSSSVTLKSGIENMLMHYVPEVKGVEQELDAEDDEAALTSQME >Potri.002G107600.2.v4.1 pep chromosome:Pop_tri_v4:2:7995439:7997201:1 gene:Potri.002G107600.v4.1 transcript:Potri.002G107600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G107600.v4.1 MEGWDPNTKSTLTQIPLLTTKAGPRDGAAWTTRLKEEYKALIAYTQMNKSNDNDWFRISSANPEGTRWTGKCWYVHNLLKYEFDLQFDIPVTYPSTAPELELPQLDGKTQKMYRGGKICLTVHFKPLWAKNCPRFGIAHALCLGLAPWLAAEVPVLVDSGMIKHKDDATSTSES >Potri.001G286100.1.v4.1 pep chromosome:Pop_tri_v4:1:29892509:29896004:1 gene:Potri.001G286100.v4.1 transcript:Potri.001G286100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286100.v4.1 MPPKLPPALPYLILLSFLFFYIYSTSLLPHSTTTTTTTTTKTKTTSCNLFKGNWVLDRTKSTKPLYDESCPFHRNAWNCLRNQRENMGLINSWRWVPKDCELPKIDPERFLELMRNRNIGLVGDSLNENFLVSFLCILRVADGSAKKWKRKGAWRGAYFPKFNVTVAYHRAVLLSKYEWQPKQPTVNDGPKGIYRVDVDIPAEDWATITDYYDVLIFNTGHWWGYDKFPKETPLVFYRAGQPILPPLGLFEGLKVVLESMVSYIEREVPRKTLKFWRLQSPRHFYGGEWNQNGSCLLNEPLKEHELDLWFDPSNNGVNKEARKINHVIEETLQGTDIRLLDLTHLSEFRADAHPAIWLGKKDAVAIWGQDCMHWCLPGVPDTWVDIVSGLIHNSL >Potri.005G063400.1.v4.1 pep chromosome:Pop_tri_v4:5:4074181:4078566:-1 gene:Potri.005G063400.v4.1 transcript:Potri.005G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063400.v4.1 MLKKMDFDEKQSFVLGGNAMMVKLSRFSWPPLLILITLLALLSFQITSKTVYPIKIAFTSSTSKDDIVADSRTCVGFFGELPERKAVISIKDFGGVGDGKTSNTEAFRRAMRYVQGFGERGGAQLNVPEGRWVTGSFNLTSNFTLFLEEGAVILGSQDPKEWPIIEPLPSYGRGRERLGGRHISLIHGDGLANVVITGNNGTIDGQGKMWWELWWNRTLEHTRGHLVELMNSQDILISNLTFRNSPFWTIHPIYCSNLVVKDMTILAPLNAPNTDGIDPDSSTNVCIEDCYIESGDDLVAVKSGWDQYGIKMARPSSNIIIRRVSGTTPTCSGVGIGSEMSGGIFNVTIEDLHVWDSAAGVRIKTDKGRGGYIANITISDITMERVKIPIRFSSGSNDHPDERWDPKAVPVVKGVSITNLVSFNSTKAPVLEGIEDAPFGGICMKNISLLGVVSSPSWRCEFVSGFANDVFPTPCPQLQIDNSSSWCSYS >Potri.008G144650.1.v4.1 pep chromosome:Pop_tri_v4:8:9835799:9836571:-1 gene:Potri.008G144650.v4.1 transcript:Potri.008G144650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144650.v4.1 MTWDPHSSCSTRTSDAPQAFFQQMDPDKKWVPFTSTTGGGGARSIHKESKTCCSKSFQVAKAPSQSIFDHYPIRDELFHPGLSQTSILFSLFMHPRLIPMF >Potri.008G059301.2.v4.1 pep chromosome:Pop_tri_v4:8:3555891:3556251:1 gene:Potri.008G059301.v4.1 transcript:Potri.008G059301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059301.v4.1 MMKDVLACMNAGLCLHFICFQFYDTSSKATELGICPFTGQSEEIRSYFRLRIPLSSQKTLRELLVLETW >Potri.008G059301.1.v4.1 pep chromosome:Pop_tri_v4:8:3555564:3557251:1 gene:Potri.008G059301.v4.1 transcript:Potri.008G059301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059301.v4.1 MDRGTVWATNSGEVEKYGTRLREASPDRISQDVDNHWPFISLLVKFYDTSSKATELGICPFTGQSEEIRSYFRLRIPLSSQKTLRELLVLETW >Potri.001G213300.1.v4.1 pep chromosome:Pop_tri_v4:1:21985658:21989363:1 gene:Potri.001G213300.v4.1 transcript:Potri.001G213300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213300.v4.1 MSSIQILLSVFFLIIFSSCLPTTQYKIPKLGAFQKNIHRTEPQTSSKSSSKDLVMFYYTQTLDHFNYKPESYTTFRQRYVIDFRYWGGANTSAPIFVFFGAEEDLDDDLDAIGFLSDNAPHFKALLIYIEHRYYGRSIPFGSRKEALKNAETLGYLNSAQAMADYAAVIMHLKKKYSAKNSPVIVIGGSYGGMLTSWFRLKYPHIALGALASSAPILYFDDIAPQEGYYSIVTKDFKETSESCYNTIRKSWGEIEKIASKPNGLSILSKKFKTCFPLNRTFELEDFLDSIYFEAAQYDYPPEFPVSIVCGGINKASAARTDILDRILAVVVAYMGNRSCYDMNAFNYPDAIYEWRWQTCSEIVMPIGHESNSMFPPAPFNLNDYIKDCKSLFGVLPQPHWITTYYGGHDIKLILQRFGSNIIFSNGLRDPYSSGGVLNNISDSIVAVSTVNGSHCLDIQRASPSDPHWLVMQRKIEVKIIEGWISKYYTDLLEVKDQTPF >Potri.012G014900.3.v4.1 pep chromosome:Pop_tri_v4:12:1752214:1757225:-1 gene:Potri.012G014900.v4.1 transcript:Potri.012G014900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014900.v4.1 MQSVDENESQNKKLRILRSLNNDMPLTDNTIPQNVEDSILFPIEEIVQSPLPGYEAPTSIGFSADDSLLTYLFSPDHTLSRKVFAFDLKSGKQELFFGPPDGGLDESNISPEEKLRRERLRQRGLGVTCYEWVKTGSKKKAIMVPLPAGLYLQELHSSKPELKLPSSALSPVIDPHVSPDGTMLAYIRDSELHVLNLLYNESKQLTHGAQGNTVTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTQVDSSEIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVRLGVVSVHGDSITWMDLLCGGTKEPDNEDEYLARVNWMHGNVLIAQVLNRSHSKLKLLKFDIKTGKKEVLYAEEQLPWINLHDCFTPLDKGITKYSGGFIWASEKSGFRHLCVHDANGTCLGPITEGEWMVEQIAGVNEAAGIIYFTATLDGPLESHLYRAKLYPIENNPLQAPVRLTNGKGKHSVVLDHHLQNFVDIHDSLDSPPRVSLCSLFDGREIMPLFEQSFTIPRYKRLELEPPKIVQIQANDGTILYGALYDPDPTRFGPPPYKTVISVYGGPGVQYVCDSWIGTADMRAQYLRSQGILVWKLDNRGSARRGLKFEGALKGNPGRFDAEDQLTGAEWLIKQGLAKAGHIGLCGWSYGGYMSAVILARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPSDNPKGYEYGSVMHHVHKLKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHNDRIYMEERIWEFFQRSL >Potri.012G014900.2.v4.1 pep chromosome:Pop_tri_v4:12:1752178:1757685:-1 gene:Potri.012G014900.v4.1 transcript:Potri.012G014900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014900.v4.1 MQSVDENESQNKKLRILRSLNNDMPLTDNTIPQNVEDSILFPIEEIVQSPLPGYEAPTSIGFSADDSLLTYLFSPDHTLSRKVFAFDLKSGKQELFFGPPDGGLDESNISPEEKLRRERLRQRGLGVTCYEWVKTGSKKKAIMVPLPAGLYLQELHSSKPELKLPSSALSPVIDPHVSPDGTMLAYIRDSELHVLNLLYNESKQLTHGAQGNTVTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTQVDSSEIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVRLGVVSVHGDSITWMDLLCGGTKEPDNEDEYLARVNWMHGNVLIAQVLNRSHSKLKLLKFDIKTGKKEVLYAEEQLPWINLHDCFTPLDKGITKYSGGFIWASEKSGFRHLCVHDANGTCLGPITEGEWMVEQIAGVNEAAGIIYFTATLDGPLESHLYRAKLYPIENNPLQAPVRLTNGKGKHSVVLDHHLQNFVDIHDSLDSPPRVSLCSLFDGREIMPLFEQSFTIPRYKRLELEPPKIVQIQANDGTILYGALYDPDPTRFGPPPYKTVISVYGGPGVQYVCDSWIGTADMRAQYLRSQGILVWKLDNRGSARRGLKFEGALKGNPGRFDAEDQLTGAEWLIKQGLAKAGHIGLCGWSYGGYMSAVILARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPSDNPKGYEYGSVMHHVHKLKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHNDRIYMEERIWEFFQRSL >Potri.012G014900.4.v4.1 pep chromosome:Pop_tri_v4:12:1752267:1757219:-1 gene:Potri.012G014900.v4.1 transcript:Potri.012G014900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014900.v4.1 MQSVDENESQNKKLRILRSLNNDMPLTDNTIPQNVEDSILFPIEEIVQSPLPGYEAPTSIGFSADDSLLTYLFSPDHTLSRKVFAFDLKSGKQELFFGPPDGGLDESNISPEEKLRRERLRQRGLGVTCYEWVKTGSKKKAIMVPLPAGLYLQELHSSKPELKLPSSALSPVIDPHVSPDGTMLAYIRDSELHVLNLLYNESKQLTHGAQGNTVTHGLAEYIAQEEMDRKNGYWWSLDSKFIAFTQVDSSEIPLFRIMHQGKSSVGSEAQEDHPYPFAGASNVKVRLGVVSVHGDSITWMDLLCGGTKEPDNEDEYLARVNWMHGNVLIAQVLNRSHSKLKLLKFDIKTGKKEVLYAEEQLPWINLHDCFTPLDKGITKYSGGFIWASEKSGFRHLCVHDANGTCLGPITEGEWMVEQIAGVNEAAGIIYFTATLDGPLESHLYRAKLYPIENNPLQAPVRLTNGKGKHSVVLDHHLQNFVDIHDSLDSPPRVSLCSLFDGREIMPLFEQSFTIPRYKRLELEPPKIVQIQANDGTILYGALYDPDPTRFGPPPYKTVISVYGGPGVQYVCDSWIGTADMRAQYLRSQGILVWKLDNRGSARRGLKFEGALKGNPGRFDAEDQLTGAEWLIKQGLAKAGHIGLCGWSYGGYMSAVILARFPDVFCCAVSGAPVTSWDGYDTFYTEKYMGLPSDNPKGYEYGSVMHHVHKLKGRLLLVHGMIDENVHFRHTARLVNALVAAGKPYELLIFPDERHMPRRHNDRIYMEERIWEFFQRSL >Potri.009G000601.1.v4.1 pep chromosome:Pop_tri_v4:9:399481:400053:-1 gene:Potri.009G000601.v4.1 transcript:Potri.009G000601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G000601.v4.1 MGDFNAIRNQSDRLGGSTTWAGTMDKLDTCIREAKVDDLPYSGMHYTWSNQCPENLIMRKLDRVLVNEKWNLNFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCM >Potri.012G106401.1.v4.1 pep chromosome:Pop_tri_v4:12:12833544:12835269:-1 gene:Potri.012G106401.v4.1 transcript:Potri.012G106401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106401.v4.1 MDTQKCFLILLLLLISCVESKYMVYDTTSKVVPGKLNVHLVPHTHDDILGKYSLRIDPLGEGARWPRSYVQEIYSPFILAFAELQDGETWTNTHVATFFWDGSLVFYLTMLQLQVSRSWMMEQFSFAWHTYMRLERTRIYLLWQVWS >Potri.008G028300.1.v4.1 pep chromosome:Pop_tri_v4:8:1493466:1493741:1 gene:Potri.008G028300.v4.1 transcript:Potri.008G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G028300.v4.1 MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVHPCTLVRVCDECNYGSFQG >Potri.019G108200.1.v4.1 pep chromosome:Pop_tri_v4:19:14105413:14109104:1 gene:Potri.019G108200.v4.1 transcript:Potri.019G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108200.v4.1 MDSSEKMNSITYMDPVLYKAAQKGNIGPFENYQTRLDQLLTPDENTILHVYLRNQSSEPGFTDFVDKILERCPPLLFQANKRGETPLHLAARYGHSNAVKVLIDRAKALPVDPESGVTEAKKMLRMTTEEQDTALHEAARNRRSHVVEILTKEDPEFSYSANVHGETPLYIAASSWGQEKVIDEILTNCISVDYGGPNGRTALHAASKTGDHVSETARKLLEKEKKLTKTTDENGWSPLHYAAYYDWSARTVKVLLEYDASAACIAETEKKRTALHIAAIQGHVDTVKEIVSRWPACCELVDNRGWNALHYAVASKDIEAFEQCLEIPELARLGTKKDDKGNTPFHLIAALALKDQNWKPVLLHREGIYGLNKQKLSTMDIYEGNFAEIQKEILESLEDVGSGPIGRGPFVLKGEEEKNNDEENKEEQEALSKAREPHLVVAALIATVTFAAAFTLPGGYKSDQGPNEGTAILAKKAAFIAFVISDAMSMVLSILAVFIHFLISLIQGLEMEKNKEIGEDTTRILFGVATLFTMIGVGTMIIAFITGTYAVLEPSLELAISTCLIGLSFFFLVYLVFRFISKDVRDKTSR >Potri.017G080900.2.v4.1 pep chromosome:Pop_tri_v4:17:8979308:8980576:1 gene:Potri.017G080900.v4.1 transcript:Potri.017G080900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G080900.v4.1 MTVRERERNNKNDSQEIGGCDTEDQGSKDLIKKKVGFVHSQVLRIREEDLHLGEERLSAAKEMIRKALIGKLEESFGVPPHAAMQVVFFSRPILPCSPLSGKTTVKALH >Potri.019G038400.2.v4.1 pep chromosome:Pop_tri_v4:19:5313294:5319475:-1 gene:Potri.019G038400.v4.1 transcript:Potri.019G038400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038400.v4.1 MMKDHPFSSLIRLNIGGKKFCTTIDTLTQREPDSMLAAMFSGRHTVSEDPDKGYVFIDRDGKHFRHILNWLRDGVVPTLTDAEYSELMREAVYYQLLGLVEGINSVLTRRREGDELEAELTRADIIKCLQYEKVKFRGVNFSGLDLSKLDLSYVDFSYASLKNVFFSRANLQCAKFRDVDAEGSIFHNATLRECEFTGANLRGALLAGANLKSANLQDACLVDCSFCGADLSSAHLQTADLTNANLEGANLEGANLKGAKLNNANLKGANLQRAYLRHVNLQNTHLEDARLDGANLVGAIR >Potri.007G060400.1.v4.1 pep chromosome:Pop_tri_v4:7:6469475:6472852:1 gene:Potri.007G060400.v4.1 transcript:Potri.007G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060400.v4.1 MSLPTLLNPQTPKLHVPLSLKFPSFKTLKIPTKIHSSSPSLQSQFPIRNDSFEDQDHAIGDCIVFEEGIFEDPYLENNSNAIEDSKLRSVQKKIKRVVPKIEEENLVPENWREVQAEINIGKKERRKIAQELEYNKKFERKRKGLVPIRNVNLEEYQAFREAKLAQLKPLVLDYPQSIKEEEEEEEEDEVREIVSERVKGKNPRWAVYGRGLDDVREFFNGEGYEPGEQKSEGKRKLFTKEEKVLLNKRVPDLAVATSRKWLPVHTLAASGEFYLMDALLKHNVDINAVDVNGWTALHRAIICKKQAIISYLLRESADPFVHDAEGATLMHYAVQTASAPAIKVLLLYNVDINLQDNDGWTPLHLAVQARRTDIVKLLLIKRADSTIKNLDGLTALDLCLYSGRDTRTCELIKLFKQFAKKSTLAKNPYNASAS >Potri.016G066600.5.v4.1 pep chromosome:Pop_tri_v4:16:4688332:4693171:-1 gene:Potri.016G066600.v4.1 transcript:Potri.016G066600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066600.v4.1 MEVDNAVKANEETIAEEIHAEATVVAPEKEKTNASNSERPLNANETSESFAKAEGLNSSSIASEGAASVSQRKISNALKEPAPRKDTSSKNSKLAKDKPNMKGSGAFSRSHRPILSQSVSFPAKGVCTDNMTKSIEEHPLRTAAKHARDEGTKVKVSFPHISVTSSPRLNQANRRVPTGVNSKESNINCSKTLTRQSSSAGKSCSQQATSVKSSSLNEAAKGHPPQASESAAHQNSKPETTTLSSKEDDDTHSTTSSATPSGRRSSGSGFSFRLEERAEKRKEFFSKIEEKIHAKEIEQTNLQEKSKENQEAEIKQLRKSLTFKATPMPSFYKEPPPKAELKKIPTTRAISPKLGRRKSSTTLTNNSLEDSGSSFSPRASHSPRLNQESSNPTKGIQRNGNKDNGASKTPIRKSQPKLQSHQIMANGLEGKTVKSKAKPPGAENQTQKAGVGKVEENENNSKKIPLCDNGIQTMPENNTPQNDGLVLSSSNPEFMLPQVTVGG >Potri.016G066600.4.v4.1 pep chromosome:Pop_tri_v4:16:4688361:4692830:-1 gene:Potri.016G066600.v4.1 transcript:Potri.016G066600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066600.v4.1 MEVDNAVKANEETIAEEIHAEATVVAPEKEKTNASNSERPLNANETSESFAKAEGLNSSSIASEGAASVSQRKISNALKEPAPRKDTSSKNSKLAKDKPNMKGSGAFSRSHRPILSQSVSFPAKGVCTDNMTKSIEEHPLRTAAKHARDEGTKVKVSFPHISVTSSPRLNQANRRVPTGVNSKESNINCSKTLTRQSSSAGKSCSQQATSVKSSSLNEAAKGHPPQASESAAHQNSKPETTTLSSKEDDDTHSTTSSATPSGRRSSGSGFSFRLEERAEKRKEFFSKIEEKIHAKEIEQTNLQEKSKENQEAEIKQLRKSLTFKATPMPSFYKEPPPKAELKKIPTTRAISPKLGRRKSSTTLTNNSLEDSGSSFSPRASHSPRLNQESSNPTKGIQRNGNKDNGASKTPIRKSQPKLQSHQIMANGLEGKTVKSKAKPPGAENQTQKAGVGKVEENENNSKKIPLCDNGIQTMPENNTPQNDGLVLSSSNPEFMLPQVTVGG >Potri.016G066600.6.v4.1 pep chromosome:Pop_tri_v4:16:4688331:4693144:-1 gene:Potri.016G066600.v4.1 transcript:Potri.016G066600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066600.v4.1 MEVDNAVKANEETIAEEIHAEATVVAPEKEKTNASNSERPLNANETSESFAKAEGLNSSSIASEGAASVSQRKISNALKEPAPRKDTSSKNSKLAKDKPNMKGSGAFSRSHRPILSQSVSFPAKGVCTDNMTKSIEEHPLRTAAKHARDEGTKVKVSFPHISVTSSPRLNQANRRVPTGVNSKESNINCSKTLTRQSSSAGKSCSQQATSVKSSSLNEAAKGHPPQASESAAHQNSKPETTTLSSKEDDDTHSTTSSATPSGRRSSGSGFSFRLEERAEKRKEFFSKIEEKIHAKEIEQTNLQEKSKENQEAEIKQLRKSLTFKATPMPSFYKEPPPKAELKKIPTTRAISPKLGRRKSSTTLTNNSLEDSGSSFSPRASHSPRLNQESSNPTKGIQRNGNKDNGASKTPIRKSQPKLQSHQIMANGLEGKTVKSKAKPPGAENQTQKAGVGKVEENENNSKKIPLCDNGIQTMPENNTPQNDGLVLSSSNPEFMLPQVTVGG >Potri.001G024200.1.v4.1 pep chromosome:Pop_tri_v4:1:1846159:1850419:-1 gene:Potri.001G024200.v4.1 transcript:Potri.001G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024200.v4.1 MASLHVQHSWLSSLTKNPTTLFSSKASPFKVSLSLNSSNAESPNPSSQNPPDPEPGPVDPVKLAFEKAKAYRNSIETSKNVKIEQNPVEDSGGSIIGIVEKNKQVSDPVKGAVEYKTDIGVVGSSGVVEGIADSKTNSGLKGRNLGSGVVDKTSKKEQKLSISSIDFVGLEFADKKKGRGLPAGLVPITDPFSEGNLPDVEIIVGDTSKFEDPSTLTSKPTQEDNPDLYKPKVSTWGVFPRPGNISKTFGGGKTIRPGDELETAEARAAKDERTKQLIAAYRKSIGLNVDPNVKLECEKALKDGDSLMDSGKLNDALPYYQMVLDKLPFKSELHGLAALQWSICQDSLSRPNEARAMYEKLQSHPNVKVSKIARQFMFSFQAMEKLKFTGSNFSPTSTGYQYYFEKFVEDKTSYPQGEAGIEIGVLNQALPYMIFLVSPIFMVLFAALRGGNTN >Potri.008G179900.1.v4.1 pep chromosome:Pop_tri_v4:8:12422061:12426180:1 gene:Potri.008G179900.v4.1 transcript:Potri.008G179900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179900.v4.1 MLKQLLGKLPRKSSKSTANRDHGGNHTANSNASTGSRSSNGKSANSNISSVAVNNSAPDVSQNLGNKIHQGVNSKLNGDLGFSQYEGLPGFKDVPSSEKQSLFIRKLNMCCVVFDFTEPMKNLKEKEIKRQTLQELVDYVTSVSGKFSETAMQEVMKMVSANLFRTFTPQPRENKVVDGVDLEEDEPSMDSAWPHLQIVYELFLRFVSSAETDAKLAKRYIDQSFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINSIFYQFIFETEKYNGIAELLEILGSIINGFALPLKEEHKMFLVRAMIPLHKPRCLAMYHQPLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLNELEEVLEATQLPEFQRCMVPLFQQLARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNVRNHWNQAVRSLTLNVRKIFEDLDHELFNECLLKFQEDEEKEVEIKARSEAAWKRLEEIATQKAASDAMVVAPRKALTCSASG >Potri.008G179900.2.v4.1 pep chromosome:Pop_tri_v4:8:12422137:12427931:1 gene:Potri.008G179900.v4.1 transcript:Potri.008G179900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179900.v4.1 MLKQLLGKLPRKSSKSTANRDHGGNHTANSNASTGSRSSNGKSANSNISSVAVNNSAPDVSQNLGNKIHQGVNSKLNGDLGFSQYEGLPGFKDVPSSEKQSLFIRKLNMCCVVFDFTEPMKNLKEKEIKRQTLQELVDYVTSVSGKFSETAMQEVMKMVSANLFRTFTPQPRENKVVDGVDLEEDEPSMDSAWPHLQIVYELFLRFVSSAETDAKLAKRYIDQSFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINSIFYQFIFETEKYNGIAELLEILGSIINGFALPLKEEHKMFLVRAMIPLHKPRCLAMYHQPLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLNELEEVLEATQLPEFQRCMVPLFQQLARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNVRNHWNQAVRSLTLNVRKIFEDLDHELFNECLLKFQEDEEKEVEIKARSEAAWKRLEEIATQKAASDAMVVAPRKALTCSASG >Potri.008G179900.3.v4.1 pep chromosome:Pop_tri_v4:8:12422151:12426079:1 gene:Potri.008G179900.v4.1 transcript:Potri.008G179900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G179900.v4.1 MLKQLLGKLPRKSSKSTANRDHGGNHTANSNASTGSRSSNGKSANSNISSVAVNNSAPDVSQNLGNKIHQGVNSKLNGDLGFSQYEGLPGFKDVPSSEKQSLFIRKLNMCCVVFDFTEPMKNLKEKEIKRQTLQELVDYVTSVSGKFSETAMQEVMKMVSANLFRTFTPQPRENKVVDGVDLEEDEPSMDSAWPHLQIVYELFLRFVSSAETDAKLAKRYIDQSFILKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINSIFYQFIFETEKYNGIAELLEILGSIINGFALPLKEEHKMFLVRAMIPLHKPRCLAMYHQPLSYCITQFVEKDCKLADTVIRGLLKYWPITNSSKEVMFLNELEEVLEATQLPEFQRCMVPLFQQLARCLNSSHFQVIRFQNSYTSLLQYTCTTHFTIIICFSLFIYGKI >Potri.007G109400.3.v4.1 pep chromosome:Pop_tri_v4:7:13161715:13169845:1 gene:Potri.007G109400.v4.1 transcript:Potri.007G109400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109400.v4.1 MIPSRPQTPIGGSQSVSPVLLRSNSAILGSQGDGSMQPGQYNMNLVGNSPSASSLINQGFGKGVSSSGLSGLESTIISMVANDVGFSSLFDGSNMVSSASSGKVQSKQISNPSVNHQPQVQQFEPHMIKHGQNQFQSIRGGLGAMGPVKLEPHVINDQIAPHRRLQSLQSLGSVKVEPQQNRIGTGIGPVKVENQLSVQSVLQQQQQFFQMSRQSPQAAVAQMNYLQQQQILQLQQQQQLLRAFPHQQPQIQQFQQQNLPVRSVARPPYEPGTGARRLTQYIYQQRHRPQENNIEFWRKFVAEFFASNARKRLCVSLYGNSRQTNGVFPQDLWHCEICNCKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPREYQNASGQIVLDYAKAIQESVFEHLRVVRNGQLRIIFSPDLKICSWEFCACRHEELIPRRLIIPQVSQLGVVAQKYQASAQNASSSSSSPDLENNCNMFLASACQLAKALEIPLVNDLGFTKRYVRCLQISEVVNCMKDLIDYTTQDAGKGPIESLAQFPRKTRPFSGVHDSDQQPPEKKQCQITGHTSNYDHHTVRASIMHPSTSSGVASANNSLGTSSTTSSAITVGGLLHQNSMNSRIENQMTSPGSPYTGTSVQIPSAGSSTTWPPAQPNPSSPFSCLTPSSSGNPSQSSHNTLAASTAINHVCSANSPVQTPMQQSSQSNEVDPNEFQSSVEKIMQEMISSRFSGTGGMVSVDYEGNNMDINRVTESRKNVLTNFPYVEGNVMRNKSINVGGGFGNLNGKNHLSASTSGITAEMGNVSGTLSGRFAMPVMDHDTGMNHQQQELAYQLHNSIGAVYRYLDPQFDWTT >Potri.007G109400.4.v4.1 pep chromosome:Pop_tri_v4:7:13161598:13170053:1 gene:Potri.007G109400.v4.1 transcript:Potri.007G109400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109400.v4.1 MIPSRPQTPIGGSQSVSPVLLRSNSAILGSQGDGSMQPGQYNMNLVGNSPSASSLINQGFGKGVSSSGLSGLESTIISMVANDVGFSSLFDGSNMVSSASSGKVQSKQISNPSVNHQPQVQQFEPHMIKHGQNQFQSIRGGLGAMGPVKLEPHVINDQIAPHRRLQSLQSLGSVKVEPQQNRIGTGIGPVKVENQLSVQSVLQQQQQFFQMSRQSPQAAVAQMNYLQQQQILQLQQQQQLLRAFPHQQPQIQQFQQQNLPVRSVARPPYEPGTGARRLTQYIYQQRHRPQENNIEFWRKFVAEFFASNARKRLCVSLYGNSRQTNGVFPQDLWHCEICNCKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPREYQNASGQIVLDYAKAIQESVFEHLRVVRNGQLRIIFSPDLKICSWEFCACRHEELIPRRLIIPQVSQLGVVAQKYQASAQNASSSSSSPDLENNCNMFLASACQLAKALEIPLVNDLGFTKRYVRCLQISEVVNCMKDLIDYTTQDAGKGPIESLAQFPRKTRPFSGVHDSDQQPPEKKQCQITGHTSNYDHHTVRASIMHPSTSSGVASANNSLGTSSTTSSAITVGGLLHQNSMNSRIENQMTSPGSPYTGTSVQIPSAGSSTTWPPAQPNPSSPFSCLTPSSSGNPSQSSHNTLAASTAINHVCSANSPVQTPMQQSSQSNEVDPNEFQSSVEKIMQEMISSRFSGTGGMVSVDYEGNNMDINRVTESRKNVLTNFPYVEGNVMRNKSINVGGGFGNLNGKNHLSASTSGITAEMGNVSGTLSGRFAMPVMDHDTGMNHQQQELAYQLHNSIGAVYRYLDPQFDWTT >Potri.007G109400.5.v4.1 pep chromosome:Pop_tri_v4:7:13161551:13169826:1 gene:Potri.007G109400.v4.1 transcript:Potri.007G109400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109400.v4.1 MVSSASSGKVQSKQISNPSVNHQPQVQQFEPHMIKHGQNQFQSIRGGLGAMGPVKLEPHVINDQIAPHRRLQSLQSLGSVKVEPQQNRIGTGIGPVKVENQLSVQSVLQQQQQFFQMSRQSPQAAVAQMNYLQQQQILQLQQQQQLLRAFPHQQPQIQQFQQQNLPVRSVARPPYEPGTGARRLTQYIYQQRHRPQENNIEFWRKFVAEFFASNARKRLCVSLYGNSRQTNGVFPQDLWHCEICNCKPGRGFETTVEVLPRLFKIKYDSGTLEELLYVDMPREYQNASGQIVLDYAKAIQESVFEHLRVVRNGQLRIIFSPDLKICSWEFCACRHEELIPRRLIIPQVSQLGVVAQKYQASAQNASSSSSSPDLENNCNMFLASACQLAKALEIPLVNDLGFTKRYVRCLQISEVVNCMKDLIDYTTQDAGKGPIESLAQFPRKTRPFSGVHDSDQQPPEKKQCQITGHTSNYDHHTVRASIMHPSTSSGVASANNSLGTSSTTSSAITVGGLLHQNSMNSRIENQMTSPGSPYTGTSVQIPSAGSSTTWPPAQPNPSSPFSCLTPSSSGNPSQSSHNTLAASTAINHVCSANSPVQTPMQQSSQSNEVDPNEFQSSVEKIMQEMISSRFSGTGGMVSVDYEGNNMDINRVTESRKNVLTNFPYVEGNVMRNKSINVGGGFGNLNGKNHLSASTSGITAEMGNVSGTLSGRFAMPVMDHDTGMNHQQQELAYQLHNSIGAVYRYLDPQFDWTT >Potri.003G041400.9.v4.1 pep chromosome:Pop_tri_v4:3:4761528:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKGGYELQRLRNLDYLNLRSNSFDNSILSYVEGFPSLKSLYLDYNRLEGLIDLKESLSSLEVLGLSGNNIDKLVASRGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHTIIYLARLLRELHNLPRLKRAATRTTLFFVENRYSRFAVRLCHRHQRQLQRTMKMMVASSIWRFFM >Potri.003G041400.11.v4.1 pep chromosome:Pop_tri_v4:3:4761528:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGFLNLKNLEHLDLSSNTLDNSIFQTIGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHTIIYLARLLRELHNLPRLKRAATRTTLFFVENRYSRFAVRLCHRHQRQLQRTMKMMVASSIWRFFM >Potri.003G041400.5.v4.1 pep chromosome:Pop_tri_v4:3:4761549:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKGGYELQRLRNLDYLNLRSNSFDNSILSYVEGFPSLKSLYLDYNRLEGLIDLKESLSSLEVLGLSGNNIDKLVASRGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGFLNLKNLEHLDLSSNTLDNSIFQTIGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLIELFFLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLLKICGATMPPSPTPTSTNNEDDGGFIDMEVFYVTFGVAYIMVLLVISAILYINPYWRRAWFHFIEVSINNCYYFLVDNLPILSKF >Potri.003G041400.12.v4.1 pep chromosome:Pop_tri_v4:3:4761528:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKESLSSLEVLGLSGNNIDKLVASRGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHTIIYLARLLRELHNLPRLKRAATRTTLFFVENRYSRFAVRLCHRHQRQLQRTMKMMVASSIWRFFM >Potri.003G041400.6.v4.1 pep chromosome:Pop_tri_v4:3:4761549:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKESLSSLEVLGLSGNNIDKLVASRGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGFLNLKNLEHLDLSSNTLDNSIFQTIGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLIELFFLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLLKICGATMPPSPTPTSTNNEDDGGFIDMEVFYVTFGVAYIMVLLVISAILYINPYWRRAWFHFIEVSINNCYYFLVDNLPILSKF >Potri.003G041400.8.v4.1 pep chromosome:Pop_tri_v4:3:4761528:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKGGYELQRLRNLDYLNLRSNSFDNSILSYVEGFPSLKSLYLDYNRLEGLIDLKESLSSLEVLGLSGNNIDKLVASRGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGFLNLKNLEHLDLSSNTLDNSIFQTIGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHTIIYLARLLRELHNLPRLKRAATRTTLFFVENRYSRFAVRLCHRHQRQLQRTMKMMVASSIWRFFM >Potri.003G041400.10.v4.1 pep chromosome:Pop_tri_v4:3:4761528:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKESLSSLEVLGLSGNNIDKLVASRGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGFLNLKNLEHLDLSSNTLDNSIFQTIGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHTIIYLARLLRELHNLPRLKRAATRTTLFFVENRYSRFAVRLCHRHQRQLQRTMKMMVASSIWRFFM >Potri.003G041400.13.v4.1 pep chromosome:Pop_tri_v4:3:4761528:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKSFSVWHTIIYLARLLRELHNLPRLKRAATRTTLFFVENRYSRFAVRLCHRHQRQLQRTMKMMVASSIWRFFM >Potri.003G041400.7.v4.1 pep chromosome:Pop_tri_v4:3:4761549:4765860:1 gene:Potri.003G041400.v4.1 transcript:Potri.003G041400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041400.v4.1 MGVFLQMLTVLLVIMMVSLQGWLPLGCLDEERIALLQLKDSLNYPNGTSLPSWIKADAHCCSWERIECSTGRVTELHLEETRNEELGDWYLNASLLLPFQELKALNLRGNRLAGWVEKKGPSNLTTLYLHDITTYESSFQLLQSLGAFPSLMTLYLNKNDFRGRILGDELQNLSSLKSLYMDGCSLDEHSLQSLGALPSLKNLLLRALSGSVPSRGFLDLKNLEYLDLNLNTLNNSIFQAIRMMTFLKALNLHGCKLDGRIPLAQGFLNLKNLEHLDLSSNTLDNSIFQTIGLCDLNHLQQLYMYDNDLSGFLPPCLANLTSLQQLDLSFNHLKIPMSLSPLYNLSKLKYFIGSDNEIYAEEDDHSLSPKFQLESISLSNRGQGAGAFPKFLYHQFSLQSFDLTNIQIKGEFPNWLIENNTHLHDLSLENCSLLGPFLLPKNSHVNLSFLSISMNYFQGQIPLEIGARLPGLEVLFMSSNGFNGSIPFSLGNISSLKGLDLSNNSLQGQIPGWIGNMSSLEFLNLSGNNFSGRLPPRFDTSNLRYVYLSRNKLQGPIAMTFYNSFEMFALDLSHNNLTGSIPKWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTLIDLSHNHFSGNILSWMISSHPFPQQYDSNDYLSSSQQSFEFTTKNVSLSYRGSIIQYFTGIDFSCNNFIGEIPPEIGNLSMIKVLNLSHNSLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPQLIELFFLEFFSVAHNNLSGKTLARVAQFATFEESCYKDNPFLCGEPLLKICGATMPPSPTPTSTNNEDDGGFIDMEVFYVTFGVAYIMVLLVISAILYINPYWRRAWFHFIEVSINNCYYFLVDNLPILSKF >Potri.019G117100.2.v4.1 pep chromosome:Pop_tri_v4:19:14371671:14372216:-1 gene:Potri.019G117100.v4.1 transcript:Potri.019G117100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117100.v4.1 MSSASKAWLVAAAIGGVEALKDQGFCRWNYTLRSLHQHAKNHVGSISQANKKLPHSSSAIISSKFKEEKAKQSEESLRKVMYLSCWGPN >Potri.013G152100.1.v4.1 pep chromosome:Pop_tri_v4:13:14810289:14812456:1 gene:Potri.013G152100.v4.1 transcript:Potri.013G152100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152100.v4.1 MEKHIENFLNKVSLVFITIATITLLYLYLHTPETCIPPNTPITKPHLKFPSSTCDPSLNHPYTDPTKKRLKLWSSKSWLSQVSSFTIFFQSLNLLNNKTKVLCVSAGAGHEVMALNNMGVSDVTGVEIVDSLPLVKRADPNNLPFFDGVFDLAFSAHLEEALFPLRIVGEMERTVRNGGVCVVAVKECGGEEVDAIARLFRKSMFVGAENVTLIGMRMTRIIMRVGISSSS >Potri.001G169900.1.v4.1 pep chromosome:Pop_tri_v4:1:14549838:14557273:-1 gene:Potri.001G169900.v4.1 transcript:Potri.001G169900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169900.v4.1 MAENAAASPKPLPSQNTVIDPSSQAATLLNTNTTTLTQTQTPNVSNLPQNTQISSSSSLEHSQIPASPSLPSQQQQQQQNVTAMSGYQIQQTLQRSPSMSRLSQINQQQPNQYGGVLRQQQQQQQQGLYGQMNFGGSASIQPNSQQNQQLGGANSSRSALLGQTGHLPMLTGTAAAAAQLNLPSQLLASPRQKAGLVQGSQFHPGNSPGQSLQGIQAMGVMGSLNMGSQIRPNGALTYAQQRINAGSMRQQPVQQNPLTSQVQSLQRTPSMAYMNPQMSGLAQNAQPTMMQNSLSQQQWLKQMPTISGSASPSFHLQPRQSQALIQQQLASSGQLHQNLMAQQLSQLVQQQPQMGHQQLHQQKQQLLQQQQQQLQLQLQQQQQQLQQVPLHQQQQQHQQQQQSPRMPGPTGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSHGKLDPDVEELFLEIADDFIDSVTTFACSLAKHRKSSTLESKDIMLHLEKNWHLTIPGFSTEEQKHQKRPLPSDLHKKRLDMIRALMESSQSEGNNINPKEMLRQGLGNPVVTNHLIRPSPSSEQMVSQSTGSPMVQQITRF >Potri.001G169900.5.v4.1 pep chromosome:Pop_tri_v4:1:14549893:14557273:-1 gene:Potri.001G169900.v4.1 transcript:Potri.001G169900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169900.v4.1 MAENAAASPKPLPSQNTVIDPSSQAATLLNTNTTTLTQTQTPNVSNLPQNTQISSSSSLEHSQIPASPSLPSQQQQQQQNVTAMSGYQIQQTLQRSPSMSRLSQINQQQPNQYGGVLRQQQQQQQQGLYGQMNFGGSASIQPNSQQNQQLGGANSSRSALLGQTGHLPMLTGTAAAAAQLNLPSQLLASPRQKAGLVQGSQFHPGNSPGQSLQGIQAMGVMGSLNMGSQIRPNGALTYAQQRINAGSMRQQPVQQNPLTSQVQSLQRTPSMAYMNPQMSGLAQNAQPTMMQNSLSQQQWLKQMPTISGSASPSFHLQPRQSQALIQQQLASSGQLHQNLMAQQLSQLVQQQPQMGHQQLHQQKQQLLQQQQQQLQLQLQQQQQQLQQVPLHQQQQQHQQQQQSPRMPGPTGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSHGKLDPDVEELFLEIADDFIDSVTTFACSLAKHRKSSTLESKDIMLHLEKNWHLTIPGFSTEEQKHQKRPLPSDLHKKRLDMIRALMESSQSEGNNINPKEMLRQGLGNPVVTNHLIRPSPSSEQMVSQSTGSPMVQQITRF >Potri.001G169900.4.v4.1 pep chromosome:Pop_tri_v4:1:14549454:14557316:-1 gene:Potri.001G169900.v4.1 transcript:Potri.001G169900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169900.v4.1 MAENAAASPKPLPSQNTVIDPSSQAATLLNTNTTTLTQTQTPNVSNLPQNTQISSSSSLEHSQIPASPSLPSQQQQQQQNVTAMSGYQIQQTLQRSPSMSRLSQINQQQPNQYGGVLRQQQQQQQQGLYGQMNFGGSASIQPNSQQNQQLGGANSSRSALLGQTGHLPMLTGTAAAAAQLNLPSQLLASPRQKAGLVQGSQFHPGNSPGQSLQGIQAMGVMGSLNMGSQIRPNGALTYAQQRINAGSMRQQPVQQNPLTSQVQSLQRTPSMAYMNPQMSGLAQNAQPTMMQNSLSQQQWLKQMPTISGSASPSFHLQPRQSQALIQQQLASSGQLHQNLMAQQLSQLVQQQPQMGHQQLHQQKQQLLQQQQQQLQLQLQQQQQQLQQVPLHQQQQQHQQQQQSPRMPGPTGQKSLSLTGSQPDATASGTTTPGGSSSQGTEATNQLLGKRKIQDLVSQVDSHGKLDPDVEELFLEIADDFIDSVTTFACSLAKHRKSSTLESKDIMLHLEKNWHLTIPGFSTEEQKHQKRPLPSDLHKKRLDMIRALMESSQSEGNNINPKEMLRQGLGNPVVTNHLIRPSPSSEQMVSQSTGSPMVQQITRF >Potri.004G205500.1.v4.1 pep chromosome:Pop_tri_v4:4:21355956:21361354:1 gene:Potri.004G205500.v4.1 transcript:Potri.004G205500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205500.v4.1 MDDGNGPNFIPDSAFENFSGSSMDLDFMDDLLYEGCWLETADEFNFLQAGTISSSDLNDPRQYFPLFEPNSSNSNVNSHQENYQGVDELGGIGSQNQNIRSLDQSGSFPVESNELGRRLWIAPTATGPSSPVRDRLMHAIGQVKECTKDRDVLIQIWVPVKKEGKNVLTTIGQPYLLDRKCQSLASYRNVSKDFQFPADEDSKELVGLPGRVFLRELPEWTPDVRFFSGVEYLRKNHAKQFNIRGSLAVPVFEQGSRTCLGVIEVVTTTRDISYRPDLENVCKALEAVDLRSPQDFCPPSLKVCKEVCQAAAPEISKILESVCKAHRLPLALAWAPCFREGKGGCRHFDESYSYFISLVNSAYFVAERDDWGFYMACSEQYLSFGHGIVGRAFATNKQCLSTDVAAFSKTDYPLSHHAKMFGLHAAIAIPLQSSYAGSADFVLELFLPKDCRNTEEQKQMWDILPITVQQACQSWHVIMDKELEETVNKKMVVASDERFHKDESQKFASSLFKDSSKAESSWIARTVEAQQKGKGVSVSWDHTKEEPREEFKVKSQWGRTQDDTYHKQAFPAFGQFQQNSGPKSSIEAGTDSSSAGRHSLGSIKFGDKRRTKTEKTISLEVLRQHFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQMGSFYATFPELTSPNLSGNGGLPSTKTDENFKQLNPQPESGIFSAAPSALKSPSSSCSHSSGSSICCSIGVKQDTTTNNGSVSGDPLMVEDHGDVLKRTHSDAELHALNRDETKLLVRSQSHKTFGDLPSPKTLPPLPKSSSRVIRDGGGFRVKATFGADKIRFTLQPNWGFRDLQQETARRFNLDDISGIDLKYLDDDLEWVLLTCDADLEECRDVYKLSEIHTIKISLHQPAQPHLGSSLESRGPHLGSSLGTGVPF >Potri.004G205500.11.v4.1 pep chromosome:Pop_tri_v4:4:21355956:21359644:1 gene:Potri.004G205500.v4.1 transcript:Potri.004G205500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205500.v4.1 MDDGNGPNFIPDSAFENFSGSSMDLDFMDDLLYEGCWLETADEFNFLQAGTISSSDLNDPRQYFPLFEPNSSNSNVNSHQENYQGVDELGGIGSQNQNIRSLDQSGSFPVESNELGRRLWIAPTATGPSSPVRDRLMHAIGQVKECTKDRDVLIQIWVPVKKEGKNVLTTIGQPYLLDRKCQSLASYRNVSKDFQFPADEDSKELVGLPGRVFLRELPEWTPDVRFFSGVEYLRKNHAKQFNIRGSLAVPVFEQGSRTCLGVIEVVTTTRDISYRPDLENVCKALEAVDLRSPQDFCPPSLKVCKEVCQAAAPEISKILESVCKAHRLPLALAWAPCFREGKGGCRHFDESYSYFISLVNSAYFVAERDDWGFYMACSEQYLSFGHGIVGRAFATNKQCLSTDVAAFSKTDYPLSHHAKMFGLHAAIAIPLQSSYAGSADFVLELFLPKDCRNTEEQKQMWDILPITVQQACQSWHVIMDKELEETVNKKMVVASDERFHKDESQKFASSLFKDSSKAESSWIARTVEAQQKGKGVSVSWDHTKEEPREEFKVKSQWGRTQDDTYHKQAFPAFGQFQQNSGPKSSIEAGTDSSSAGRHSLGSIKFGDKRRTKTEKTISLEVLRQHFAGSLKDAAKSIGGRRLFTTIGNTIFFFHCLIQVNITH >Potri.004G205500.10.v4.1 pep chromosome:Pop_tri_v4:4:21355956:21361355:1 gene:Potri.004G205500.v4.1 transcript:Potri.004G205500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205500.v4.1 MDDGNGPNFIPDSAFENFSGSSMDLDFMDDLLYEGCWLETADEFNFLQAGTISSSDLNDPRQYFPLFEPNSSNSNVNSHQENYQGVDELGGIGSQNQNIRSLDQSGSFPVESNELGRRLWIAPTATGPSSPVRDRLMHAIGQVKECTKDRDVLIQIWVPVKKEGKNVLTTIGQPYLLDRKCQSLASYRNVSKDFQFPADEDSKELVGLPGRVFLRELPEWTPDVRFFSGVEYLRKNHAKQFNIRGSLAVPVFEQGSRTCLGVIEVVTTTRDISYRPDLENVCKALEAVDLRSPQDFCPPSLKVCKEVCQAAAPEISKILESVCKAHRLPLALAWAPCFREGKGGCRHFDESYSYFISLVNSAYFVAERDDWGFYMACSEQYLSFGHGIVGRAFATNKQCLSTDVAAFSKTDYPLSHHAKMFGLHAAIAIPLQSSYAGSADFVLELFLPKDCRNTEEQKQMWDILPITVQQACQSWHVIMDKELEETVNKKMVVASDERFHKDESQKFASSLFKDSSKAESSWIARTVEAQQKGKGVSVSWDHTKEEPREEFKVKSQWGRTQDDTYHKQAFPAFGQFQQNSGPKSSIEAGTDSSSAGRHSLGSIKFGDKRRTKTEKTISLEVLRQHFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQMGSFYATFPELTSPNLSGNGGLPSTKTDENFKQLNPQPESGIFSAAPSALKSPSSSCSHSSGSSICCSIGVKQDTTTNNGSVSGDPLMVEDHGDVLKRTHSDAELHALNRDETKLLVRSQSHKTFGDLPSPKTLPPLPKSSSRVIRDGGGFRVKATFGADKIRFTLQPNWGFRDLQQETARRFNLDDISGIDLKYLDDDLEWVLLTCDADLEECRDVYKLSEIHTIKISLHQPAQPHLGSSLESRGPHLGSSLGTGVPF >Potri.004G205500.9.v4.1 pep chromosome:Pop_tri_v4:4:21355956:21361413:1 gene:Potri.004G205500.v4.1 transcript:Potri.004G205500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205500.v4.1 MDDGNGPNFIPDSAFENFSGSSMDLDFMDDLLYEGCWLETADEFNFLQAGTISSSDLNDPRQYFPLFEPNSSNSNVNSHQENYQGVDELGGIGSQNQNIRSLDQSGSFPVESNELGRRLWIAPTATGPSSPVRDRLMHAIGQVKECTKDRDVLIQIWVPVKKEGKNVLTTIGQPYLLDRKCQSLASYRNVSKDFQFPADEDSKELVGLPGRVFLRELPEWTPDVRFFSGVEYLRKNHAKQFNIRGSLAVPVFEQGSRTCLGVIEVVTTTRDISYRPDLENVCKALEAVDLRSPQDFCPPSLKVCKEVCQAAAPEISKILESVCKAHRLPLALAWAPCFREGKGGCRHFDESYSYFISLVNSAYFVAERDDWGFYMACSEQYLSFGHGIVGRAFATNKQCLSTDVAAFSKTDYPLSHHAKMFGLHAAIAIPLQSSYAGSADFVLELFLPKDCRNTEEQKQMWDILPITVQQACQSWHVIMDKELEETVNKKMVVASDERFHKDESQKFASSLFKDSSKAESSWIARTVEAQQKGKGVSVSWDHTKEEPREEFKVKSQWGRTQDDTYHKQAFPAFGQFQQNSGPKSSIEAGTDSSSAGRHSLGSIKFGDKRRTKTEKTISLEVLRQHFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQMGSFYATFPELTSPNLSGNGGLPSTKTDENFKQLNPQPESGIFSAAPSALKSPSSSCSHSSGSSICCSIGVKQDTTTNNGSVSGDPLMVEDHGDVLKRTHSDAELHALNRDETKLLVRSQSHKTFGDLPSPKTLPPLPKSSSRVIRDGGGFRVKATFGADKIRFTLQPNWGFRDLQQETARRFNLDDISGIDLKYLDDDLEWVLLTCDADLEECRDVYKLSEIHTIKISLHQPAQPHLGSSLESRGPHLGSSLGTGVPF >Potri.004G205500.8.v4.1 pep chromosome:Pop_tri_v4:4:21355956:21361353:1 gene:Potri.004G205500.v4.1 transcript:Potri.004G205500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G205500.v4.1 MDDGNGPNFIPDSAFENFSGSSMDLDFMDDLLYEGCWLETADEFNFLQAGTISSSDLNDPRQYFPLFEPNSSNSNVNSHQENYQGVDELGGIGSQNQNIRSLDQSGSFPVESNELGRRLWIAPTATGPSSPVRDRLMHAIGQVKECTKDRDVLIQIWVPVKKEGKNVLTTIGQPYLLDRKCQSLASYRNVSKDFQFPADEDSKELVGLPGRVFLRELPEWTPDVRFFSGVEYLRKNHAKQFNIRGSLAVPVFEQGSRTCLGVIEVVTTTRDISYRPDLENVCKALEAVDLRSPQDFCPPSLKVCKEVCQAAAPEISKILESVCKAHRLPLALAWAPCFREGKGGCRHFDESYSYFISLVNSAYFVAERDDWGFYMACSEQYLSFGHGIVGRAFATNKQCLSTDVAAFSKTDYPLSHHAKMFGLHAAIAIPLQSSYAGSADFVLELFLPKDCRNTEEQKQMWDILPITVQQACQSWHVIMDKELEETVNKKMVVASDERFHKDESQKFASSLFKDSSKAESSWIARTVEAQQKGKGVSVSWDHTKEEPREEFKVKSQWGRTQDDTYHKQAFPAFGQFQQNSGPKSSIEAGTDSSSAGRHSLGSIKFGDKRRTKTEKTISLEVLRQHFAGSLKDAAKSIGVCPTTLKRICRQHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQMGSFYATFPELTSPNLSGNGGLPSTKTDENFKQLNPQPESGIFSAAPSALKSPSSSCSHSSGSSICCSIGVKQDTTTNNGSVSGDPLMVEDHGDVLKRTHSDAELHALNRDETKLLVRSQSHKTFGDLPSPKTLPPLPKSSSRVIRDGGGFRVKATFGADKIRFTLQPNWGFRDLQQETARRFNLDDISGIDLKYLDDDLEWVLLTCDADLEECRDVYKLSEIHTIKISLHQPAQPHLGSSLESRGPHLGSSLGTGVPF >Potri.006G273400.1.v4.1 pep chromosome:Pop_tri_v4:6:26589891:26595556:1 gene:Potri.006G273400.v4.1 transcript:Potri.006G273400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273400.v4.1 MGFFTTTITSNAMQNHLLSLYSTKFPSSNPLPTFKITFSYSSTPTRRRFHKPHHSLKRLTFRIVQLTRRRQLEQIFEEVESAKRRYGKLNTIVMNAVMEACVHCGDTESALKVFDEMCKSESCGVDGVSYGTLLKGLGVARRIDEAFQILESVEMGTAVGSPKLSAPMIFGLLNALISAGDIRRAKGLLARYGYLLHESCNPSILVYNLLMKGYISAGCPQDALPVHDEILELGLTPDRLTYNTLISACVKAGKLDAAMQFFDEMKDKAQNFSRDKLYPDVVTYTTLLQGFGGAKDLLSVLKIVYEMKMHRNLVIDRTAFTAMVDALLNCGSMNGAVCVFGEIIKRAGVNPKLRPKPHLYLSLMRAFASQGDYNMVKNLHKRLWPDSSGAISLALQEEADHLLMEAALNDGQVNVALENLTNVVLKWKRIPWTSRGGMVAMRIEALLGFTNSIFSPYLLPQVSPSEPIESIMMPLKAAKPLLGTLHLKKVVMRFFWDQVVPIVDDWGSCVGLLHREDCTELNAPLMTMMRSPPPCVTTTTSIGHVVDLILEKMYRMVVVVKYSNLNSSTNSSGSKTVGVFTTEQLFKLVVPVQRPLEQERTLGRRWCANFDT >Potri.008G047800.1.v4.1 pep chromosome:Pop_tri_v4:8:2787663:2788617:-1 gene:Potri.008G047800.v4.1 transcript:Potri.008G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047800.v4.1 MAALPSSPSLQLSTTTITATHFPYPRAAFPIKPHAPKQSSITRATTDDNKADTTQEPESKPGSASDDQFESRLSQVRLRYRSGTGKKAELRKAKKGKSGSGSGSGMYLPPVPLKKSVSDGLKVEFGFSPYSERVNGRIAILGLSALLLVELAAGKSVINYHTPAIVVIQIYFVAAVTALYVKYEKEKVSIWPESAPSEE >Potri.001G000900.7.v4.1 pep chromosome:Pop_tri_v4:1:88200:92254:-1 gene:Potri.001G000900.v4.1 transcript:Potri.001G000900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000900.v4.1 MRMGAVAAIWWLTVVAAASRLSFLHASSPSSTTVPAFLWSPHHPHHQMSEVVNYQTISSKDLARSVLSEGGWSNLLCSEKKVQQSVDLALVFIGRGLLSTDVSANKNTDPALVNLLKVSYTESNFSMAFPYVAASEEAMENSLVSGFAEACGQDLGISNVAFSESCSVEGENFQKLANLHAINDYLASRMEKRPSGHTDLVVFCYGGSNSMKGLDQPQSESEIFSELISSVEMLGGKYSVLYVSDPFRSIHLPYHRELERFLAESAAGNASLNSTHCDEVCQIKSSLLEGVLVGIVLLIILISGLCCMMGIDTPTRFEAPQDS >Potri.001G000900.3.v4.1 pep chromosome:Pop_tri_v4:1:88200:92267:-1 gene:Potri.001G000900.v4.1 transcript:Potri.001G000900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000900.v4.1 MRMGAVAAIWWLTVVAAASRLSFLHASSPSSTTVPAFLWSPHHPHHQMSEVVNYQTISSKDLARSVLSEGGWSNLLCSEKKVQQSVDLALVFIGRGLLSTDVSANKNTDPALVNLLKVSYTESNFSMAFPYVAASEEAMENSLVSGFAEACGQDLGISNVAFSESCSVEGENFQKLANLHAINDYLASRMEKRPSGHTDLVVFCYGGSNSMKGLDQPQSESEIFSELISSVEMLGGKYSVLYVSDPFRSIHLPYHRELERFLAESAAGNASLNSTHCDEVCQIKSSLLEGVLVGIVLLIILISGLCCMMGIDTPTRFEAPQDS >Potri.001G000900.8.v4.1 pep chromosome:Pop_tri_v4:1:88200:91862:-1 gene:Potri.001G000900.v4.1 transcript:Potri.001G000900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000900.v4.1 MRMGAVAAIWWLTVVAAASRLSFLHASSPSSTTVPAFLWSPHHPHHQMSEVVNYQTISSKDLARSVLSEGGWSNLLCSEKKVQQSVDLALVFIGRGLLSTDVSANKNTDPALVNLLKVSYTESNFSMAFPYVAASEEAMENSLVSGFAEACGQDLGISNVAFSESCSVEGENFQKLANLHAINDYLASRMEKRPSGHTDLVVFCYGGSNSMKGLDQPQSESEIFSELISSVEMLGGKYSVLYVSDPFRSIHLPYHRELERFLAESAAGNASLNSTHCDEVCQIKSSLLEGVLVGIVLLIILISGLCCMMGIDTPTRFEAPQDS >Potri.001G000900.2.v4.1 pep chromosome:Pop_tri_v4:1:88199:92267:-1 gene:Potri.001G000900.v4.1 transcript:Potri.001G000900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000900.v4.1 MRMGAVAAIWWLTVVAAASRLSFLHASSPSSTTVPAFLWSPHHPHHQMSEVVNYQTISSKDLARSVLSEGGWSNLLCSEKKVQQSVDLALVFIGRGLLSTDVSANKNTDPALVNLLKVSYTESNFSMAFPYVAASEEAMENSLVSGFAEACGQDLGISNVAFSESCSVEGENFQKLANLHAINDYLASRMEKRPSGHTDLVVFCYGGSNSMKGLDQPQSESEIFSELISSVEMLGGKYSVLYVSDPFRSIHLPYHRELERFLAESAAGNASLNSTHCDEVCQIKSSLLEGVLVGIVLLIILISGLCCMMGIDTPTRFEAPQDS >Potri.008G075300.1.v4.1 pep chromosome:Pop_tri_v4:8:4669897:4671554:-1 gene:Potri.008G075300.v4.1 transcript:Potri.008G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075300.v4.1 MVLKAQDQIPLQISACRRTLLSNENPQRKSQDSLLSLPNRINFLKFGYASAKFRQLAQERDGFSRSVTSSSSHGLRERINGVFARKIDWTLLMKMGKEWIQNPMNMALFVWIMCVAISGAILFLVMTGMLDRALPKKSQRDVWFEVNNQILNALSALMCLYQHPKRFYDLVLLCRWNPKDISRLIKIYLKNGTYKPHEWAHMVVVVVAAALLHLNCFAQYALCGLNLGYRRSERPAIGVGICISVAIAAPAAAGVYSILSPLGKDYESEIDEEAQMQISADEQRILETRPQWSGGILDFWDDISIAYLSLFCSFCVFGWNTERLGLGNMYVHILTFLLFCLAPFWIFNMAAVNIDNETVREALGITGIILCALGLLLYGGFWRIQMRKRFNLLAYTFCCGEPAVSDCTLWLCCCWCSLAQEVRTGNSYDIVEDKFCQKQMNCSNKMPLSPFPCRDGSNPSSPLGNNSSPSKFTISNSPSPSTVSKGYNTPSRQLLMVKEESSRGVKDETLTPPTPSLIEREANSAGKTRIYIAFYFVIN >Potri.003G022650.1.v4.1 pep chromosome:Pop_tri_v4:3:2369999:2370310:1 gene:Potri.003G022650.v4.1 transcript:Potri.003G022650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022650.v4.1 METDDYGAHNGLPPVVQRRIFFGRQVGGADRKLLPTYPFKSRKYLGPATLGAEMAFLMANQAHVTPGKVVYDPFVGSGSILIAAAHFGAITPWFAFYCPFLSC >Potri.011G129700.1.v4.1 pep chromosome:Pop_tri_v4:11:16360023:16362563:1 gene:Potri.011G129700.v4.1 transcript:Potri.011G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129700.v4.1 MPEAPKNTLKPSDYNLAEKNKKSLQFIEDVTSNADEAQKKVLEEILSRNAHVEYLQRHGLNGQTNRETFKKVMPVINYEDIQPDINRIANGDASPILCSKPISEFLTSSGTSGGERKLMPTIVEELGRRSLLYSLLMPVMSQFVPDLEKGKGMYFLFIKSEAKTPGGLVARPVLTSYYKSTHFKDRPYDPYTNYTSPNETILCPDSYQSMYSQMLCGLCQHKEVLRVGAVFASGFIRAIRFLEKYWKFLANDIRTGIIDPQITDPSIREAVMKILKPDAKLADFIEAECSKESWQGIITRLWPNTKYVDVIVTGTMSQYIPTLDYYSNGLPLVCTMYASSECYFGVNLNPICKPSEVSYTLIPTMAYFEFLPVNRNNGGINSVSRPKSLKEKEQQELVDLVDVKLDQEYELVVTTYAGLYRYRVGDVLRVVGFKNKAPQFSFICRKNVVLSIDSDKTDEVELQSAVKNAVNHLIPFDATLAEYTSYADTTTIPGHYVLFWELSLSGSTPIPPSVFEDCCLTIEESLNSVYRQGRVSDKSIGPLEIKIVEPGTFDKLMDYAISLGASINQYKTPRCVKFAPIVELLNSRAVSRYFSPKCPKWVPGHKQWNKN >Potri.010G002500.9.v4.1 pep chromosome:Pop_tri_v4:10:246369:248980:-1 gene:Potri.010G002500.v4.1 transcript:Potri.010G002500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002500.v4.1 MFQQLIDGVSYCHSKGVFHRDLKLENVLVDAKGNIKISDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDAQVPKWLSPGAKNMIKRILDPNPVTRITTADIKADEWFKLDYTPMDSAEEQDDVYIDDAAFSIQEAPSEDGSPKSPNLINAFQLIGMSSCLDLSGLFEKEDVSERKIRFTSNHSMSDLLEKIEDIVTEMGFRVQKRNGRFQVIQEHRGKKSSGSLSVATEVFEISPSLYVVELRKSYGDSSAYRQLCTKLSNDLGVPSSPGLLTTEV >Potri.010G002500.2.v4.1 pep chromosome:Pop_tri_v4:10:246401:251008:-1 gene:Potri.010G002500.v4.1 transcript:Potri.010G002500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002500.v4.1 MVRKEEEKQKKEKGMQLGKYELGRTLGEGNFGKVKLAKNIETGQPFAVKILEKNRIIDLKITDQIKREIATLKLLKHPNVVRLHEVLASKSKIYMVLEYVTGGELFDRIASKGKLPEAEGRKMFQQLIDGVSYCHSKGVFHRDLKLENVLVDAKGNIKISDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDAQVPKWLSPGAKNMIKRILDPNPVTRITTADIKADEWFKLDYTPMDSAEEQDDVYIDDAAFSIQEAPSEDGSPKSPNLINAFQLIGMSSCLDLSGLFEKEDVSERKIRFTSNHSMSDLLEKIEDIVTEMGFRVQKRNGRFQVIQEHRGKKSSGSLSVATEVFEISPSLYVVELRKSYGDSSAYRQLCTKLSNDLGVPSSPGLLTTEV >Potri.010G002500.8.v4.1 pep chromosome:Pop_tri_v4:10:246405:249009:-1 gene:Potri.010G002500.v4.1 transcript:Potri.010G002500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002500.v4.1 MQVLASKSKIYMVLEYVTGGELFDRIASKGKLPEAEGRKMFQQLIDGVSYCHSKGVFHRDLKLENVLVDAKGNIKISDFGLSALPQHFRDDGLLHTTCGSPNYVAPEILSNRGYDGATSDIWSCGVILYVILTGYLPFDDRNLAVLYQKIFKGDAQVPKWLSPGAKNMIKRILDPNPVTRITTADIKADEWFKLDYTPMDSAEEQDDVYIDDAAFSIQEAPSEDGSPKSPNLINAFQLIGMSSCLDLSGLFEKEDVSERKIRFTSNHSMSDLLEKIEDIVTEMGFRVQKRNGRFQVIQEHRGKKSSGSLSVATEVFEISPSLYVVELRKSYGDSSAYRQLCTKLSNDLGVPSSPGLLTTEV >Potri.002G229200.5.v4.1 pep chromosome:Pop_tri_v4:2:21933291:21942072:1 gene:Potri.002G229200.v4.1 transcript:Potri.002G229200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229200.v4.1 MHITGLGSASSILRASRARFPPPVSKTSILYSPKFSPSYLTTNNQLRSLSFSSAVRSLRCSYPRWSHGVDWRSPATLRHQIRAVAPVVERFQRKIATMAHEHPFKGIFTSLPKPGGGEFGKFYSLPALNDPRIEKLPYSIRILLESAIRNCDNFQVTKGDVEKIIDWENTAPKLVEIPFKPARVLLQVCLKLEKDFTGVPVVVDLASMRDAMAQLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLNGKLHNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMSKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQPERVYSAYLQLDLADVEPCISGPKRPHDRVPLKEMKADWHACLNNKVGFKGFAVPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLKVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGDLDESVGAVITENDILAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFDKEPIGTGKDGKSVYFRDIWPTAEEIAEVVQSSVLPAMFKSTYESITKGNPMWNQLSVPASTSYSWDPSSTYIHEPPYFKNMTMNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPTAKYLLEHGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKFLNGEVGPKTVHIPTGEKLSVYDAAMRYKNAGLDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGQDADTLGLTGHERYTIDLPSNISEIRPGQDVTVTTDNGKSFTCTARFDTAVELEYFNHGGILPYAIRSLMKQ >Potri.002G229200.7.v4.1 pep chromosome:Pop_tri_v4:2:21933164:21941875:1 gene:Potri.002G229200.v4.1 transcript:Potri.002G229200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229200.v4.1 MHITGLGSASSILRASRARFPPPVSKTSILYSPKFSPSYLTTNNQLRSLSFSSAVRSLRCSYPRWSHGVDWRSPATLRHQIRAVAPVVERFQRKIATMAHEHPFKGIFTSLPKPGGGEFGKFYSLPALNDPRIEKLPYSIRILLESAIRNCDNFQVTKGDVEKIIDWENTAPKLVEIPFKPARVLLQDFTGVPVVVDLASMRDAMAQLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLNGKLHNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMSKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQPERVYSAYLQLDLADVEPCISGPKRPHDRVPLKEMKADWHACLNNKVGFKGFAVPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLKVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGDLDESVGAVITENDILAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFDKEPIGTGKDGKSVYFRDIWPTAEEIAEVVQSSVLPAMFKSTYESITKGNPMWNQLSVPASTSYSWDPSSTYIHEPPYFKNMTMNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPTAKYLLEHGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKFLNGEVGPKTVHIPTGEKLSVYDAAMRYKNAGLDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGQDADTLGLTGHERYTIDLPSNISEIRPGQDVTVTTDNGKSFTCTARFDTAVELEYFNHGGILPYAIRSLMKQ >Potri.002G229200.4.v4.1 pep chromosome:Pop_tri_v4:2:21933170:21942044:1 gene:Potri.002G229200.v4.1 transcript:Potri.002G229200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229200.v4.1 MHITGLGSASSILRASRARFPPPVSKTSILYSPKFSPSYLTTNNQLRSLSFSSAVRSLRCSYPRWSHGVDWRSPATLRHQIRAVAPVVERFQRKIATMAHEHPFKGIFTSLPKPGGGEFGKFYSLPALNDPRIEKLPYSIRILLESAIRNCDNFQVTKGDVEKIIDWENTAPKLVEIPFKPARVLLQDFTGVPVVVDLASMRDAMAQLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLNGKLHNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMSKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQPERVYSAYLQLDLADVEPCISGPKRPHDRVPLKEMKADWHACLNNKVGFKGFAVPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLKVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGDLDESVGAVITENDILAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFDKEPIGTGKDGKSVYFRDIWPTAEEIAEVVQSSVLPAMFKSTYESITKGNPMWNQLSVPASTSYSWDPSSTYIHEPPYFKNMTMNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPTAKYLLEHGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKFLNGEVGPKTVHIPTGEKLSVYDAAMRYKNAGLDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGQDADTLGLTGHERYTIDLPSNISEIRPGQDVTVTTDNGKSFTCTARFDTAVELEYFNHGGILPYAIRSLMKQ >Potri.002G229200.6.v4.1 pep chromosome:Pop_tri_v4:2:21933170:21941934:1 gene:Potri.002G229200.v4.1 transcript:Potri.002G229200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229200.v4.1 MHITGLGSASSILRASRARFPPPVSKTSILYSPKFSPSYLTTNNQLRSLSFSSAVRSLRCSYPRWSHGVDWRSPATLRHQIRAVAPVVERFQRKIATMAHEHPFKGIFTSLPKPGGGEFGKFYSLPALNDPRIEKLPYSIRILLESAIRNCDNFQVTKGDVEKIIDWENTAPKLVEIPFKPARVLLQDFTGVPVVVDLASMRDAMAQLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFHRNKERFAFLKWGSTAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTDGVLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLNGKLHNGVTATDLVLTVTQMLRKHGVVGKFVEFYGDGMSKLSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETVAMIEAYLRANKMFVDYNEPQPERVYSAYLQLDLADVEPCISGPKRPHDRVPLKEMKADWHACLNNKVGFKGFAVPKEAQDKVAKFSFHGQPAELKHGSVVIAAITSCTNTSNPSVMLGAGLVAKKACELGLKVKPWIKTSLAPGSGVVTKYLLQSGLQKYLNEQGFNIVGYGCTTCIGNSGDLDESVGAVITENDILAAAVLSGNRNFEGRVHALTRANYLASPPLVVAYALAGTVNIDFDKEPIGTGKDGKSVYFRDIWPTAEEIAEVVQSSVLPAMFKSTYESITKGNPMWNQLSVPASTSYSWDPSSTYIHEPPYFKNMTMNPPGAHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPTAKYLLEHGVDRKDFNSYGSRRGNDEVMARGTFANIRLVNKFLNGEVGPKTVHIPTGEKLSVYDAAMRYKNAGLDTIVLAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKAGQDADTLGLTGHERYTIDLPSNISEIRPGQDVTVTTDNGKSFTCTARFDTAVELEYFNHGGILPYAIRSLMKQ >Potri.003G138000.1.v4.1 pep chromosome:Pop_tri_v4:3:15499463:15502203:1 gene:Potri.003G138000.v4.1 transcript:Potri.003G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138000.v4.1 MADTAVASTVPKKSRNNRKALKQKNPSTNESNIMAQKLSETSTATVLSPSDADPSKENHASHSQPRSSPKKGKSKAAKAKQNKEASASLFEKDFQEMQEMLQQLKLEKEKTEVLLKEKDDMLKAKDEEIEMKGKEQQKMKMELKKLQKLKEFKPTMTLSFVQAMNDKQQDKKKKKGGNEIKRPCPPYSLWCKAQWNEVKKENPDAEFKDISNILGAKWKTITAEEKKPYEEKYQAEKEAYLKVMTKEKRESEAMKLLEEEQKQKTAMELLEQYLQFKQEADQEENSKKTKKEKDPLKPKQPLSAFFLFCNERRAALLAENKSVLEVAKIAGEEWKNMTEKRRGPYEEVAKKNREKYMQEMEAYKQTKDEEAMNLKKEEEELVKVQKQEAWQLLKKKEKTENIIKKTKEQRQKKQQQNVDPNKPKKPASSFLLFSKETRKSLMDERPGINNSTLTAMISVKWKELNEEERQIWNSKAAEAMEAYKKELEEYSKSLAAATSNDKQQQ >Potri.005G204400.1.v4.1 pep chromosome:Pop_tri_v4:5:20946977:20955418:1 gene:Potri.005G204400.v4.1 transcript:Potri.005G204400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204400.v4.1 MANVSVAAEWQLLINRYYRKPELYPMRWKHIDLSRNKVACAPFGGPIAIIRDDSKIVQLYAESALRKLRIFNSAGILLSETVWKHPGGRLIGMSWTEDQTLICIVQDGTIYRYNVHCEVLEPNFSMGKECFEQNVVDCVFWGNGVVCLTEAGKLFCVPDFKQIKPCKLAEVGIGAEELPHCMAVIEPQYTVSGNVEVLLGVGSGIVIVDEDEVRFIDEEKIGGVVLKIAVSHNGRFLACFMHDGRLVVMNTEFRDFFQYQCESALPPEQMAWCGLDSVLLYWDDVLLMVGPSEDSVSYIYDEPVIFIPECDGVRILSNTSMEFVQRVPDSTVSIFKIGSTSPASLLFDALDHFDRRSAKADENLRLIRASLPEAVEACIDAAGHEFDVSRQRMLLRAASYGQAFCSNFQRDHIQEMCKTLRVLNAVRDPEIGIPLSIEQYKLLSAPILIGRLINAHQHLLALRISEYVGMNQEVVIMHWSCTKITASLAIPDAALLEILLDKLKLCKGISYAAVAAHADRSGRRKLAAMLVDHEPRSSKQVPLLLSIAEEDTALMKATESGDADLVYLVLFHIWQKRPALEFFGTIQARPLARDLFTAYARCYKHEFLKDFFLSTGQLQDVAFLLWKDSWELGKNPMGSKGSPLHGPRIKLIEKAHNLFSETKEHTFESKAAEEHAKLLRIQHELEVSTKQPIFVDSSISDTIRTCIALGNHRAAMRVKTEFKVSEKRWYWLKVLALVTIRDWEALEKFSKEKRPPMGFRPFVEACIDVDEKAEALKYIPKLADPRERAEAYARIGMAKEAADAASQAKDGELLGRLKLSFAQNTAASSIFDTLRDRLSFQGVS >Potri.001G065300.5.v4.1 pep chromosome:Pop_tri_v4:1:6136417:6141336:-1 gene:Potri.001G065300.v4.1 transcript:Potri.001G065300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065300.v4.1 MGLFLQVLTVLVITVSLQGWVPRGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHANCCDWEGIECNSSTGRVTVLYLWSARNRELGDWYLNVSLFLPFQQLNYLSLSDNRIAGWVEKKGGYELQKLSNLEYLYLEDNSFNNSILSFVEGLPSLKSLYLGYNRLEGSIDLKESLSGLEHLSLDGNNINKLVASRGPSNLRTLSLYNITTYGSSFQLLQSLRAFPNLTTLYLGSNDFRGRILGDDEHSLQSLGALPSLKNLSLQELNGTVPSGGFLDLKNLKYLDLSYNTLNNSIFQAIKTMTSLKTLMLQNLNGQLPTTQGLCDLNHLQELDVSDNDLSGVLPPCLANLTSLQRLDLFSNNLKIPMSLSPLYNLSKLKSFDGSGNEIFAEEDDHNLSPKFQLESIYLRGRGQDVGALPKFFYHQFSLQSLDLANNQIKGEFPNWLIENNTYLQELHLENCSLSGPFLLPKNSHMNLSFLSISMNYFQGQIPSEIGAHLPRLEFLSMSDNGVNGSIPSSLGNISSLQVLDLSNNVLTGRILSNNSLQGQIPIWIWDMSSLEFLDLSGNNFSGPLPPTIGTSSNLRYIYLSRNKLQWPITMTFNGSSQILALDLSHNNLTGRIPEWIGGLSDLIFLLLSYNNLEGEIPIQLSRLDQLTLIDLSHNHLSGNILSWMMFTHHYFVLYPYYYDDMSSSQQSFEFTTKNVSLSYRGRILRYFAGLDFSCNNFTGEIPPEIGNLVMIKVLNLSHNSLTGPIPPTFSNFKEIESLDLSYNKLDGEIPPQLTELFFLEFFNVAHNNLSGKTPARVAQFATFEESSYKDNPFLCGEPLPKICGAVMPPSPTPPSTNNEDNGGFIDMEVFYVTFGVAYIMVLLVIIAVLYINPYWRQVWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.011G163300.1.v4.1 pep chromosome:Pop_tri_v4:11:18846261:18847265:1 gene:Potri.011G163300.v4.1 transcript:Potri.011G163300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163300.v4.1 MAASIIFFGFLSLSFSFALASDPSPLQDFCVAGGDGNVLVNGLACKDPKSVQASDFSFSGLHMLGNTSNAVGSRVTAVNVAQIPGLNTLGISFARIDYAPAGINPPHTHPRASEILTVLEGSLEVGFVTSNPENRLITKVLQKGDVFVFPINLVHFQRNVGTSNAVALAALSSQNPGVITIANAVFGSNPDIPSDILAKAFQLHKNVVNSLQSKF >Potri.001G325200.1.v4.1 pep chromosome:Pop_tri_v4:1:33415162:33418804:-1 gene:Potri.001G325200.v4.1 transcript:Potri.001G325200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325200.v4.1 MALKAVHVSDVPNLDHVPENASLSLCSTRFSKGVELNREAAFKTPKFLVFGHRGNGMNILQSTDRRMKAIKENSIMSFNSAAKHPIDFIEFDVQVTKDDCPVIFHDDFILSVDNGIIFEKRVTELSLSEFLCYGPQKEAGKSGKSLVRKTKDGKIVEWNVEKDDSLCTLQDAFQQVEPSLGFNIELKFDNHIVYQQDYLIRVLQAILQVVFEHGKDRPIIFSSFQPDAALLVRKLQSTYPVYFLTDGGVEIFYDVRRNSLEEAIKVCLEGGLQGIVSEVKAVFRNPGAVSKIKDAKLSLITYGKLNNVSEAVYIQHLMGIDGVIVDLVQEITEAVSDLIRPSKVEEAESSAEGHDEMEEKSKPKFSQRELSFLLKLIPELIQH >Potri.019G074600.1.v4.1 pep chromosome:Pop_tri_v4:19:11588061:11595479:-1 gene:Potri.019G074600.v4.1 transcript:Potri.019G074600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G074600.v4.1 MSAISNFMACGGRREPAVNCIDMKNLDFGLNWRATSSRTRISMPFQKNEFQLGTEGKSSRGNKEKRSIRMALVDERLVYGRDTADRPAVLAYDLVQGPLVKWGSVMGKSKPDPPTAVLLHGILGSRKNWGTFTRRLAQEFPAWQFLLVDLRCHGDSASIRKRGPHTVASTAFDVLKLVAQLRITPRVLIGHSFGGKVALSMVEQAAKPLAQSVRVWSLDATPGKVRAGGDGEDHPAELISFIRKLPKEVFSKRDIVNALIQEGFSKDVAQWVVTNLKTDGPPGSPSSSFSWMFDLDGISEMYQSYEETNLWKFVENLPQGVHVNFLKAERSLHRWALEDLQRIHAAEDLAAEEGAGVEMHVLEDAGHWVQADNPDGLFRILSSSFQGFKA >Potri.019G074600.2.v4.1 pep chromosome:Pop_tri_v4:19:11589403:11595511:-1 gene:Potri.019G074600.v4.1 transcript:Potri.019G074600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G074600.v4.1 MSAISNFMACGGRREPAVNCIDMKNLDFGLNWRATSSRTRISMPFQLGTEGKSSRGNKEKRSIRMALVDERLVYGRDTADRPAVLAYDLVQGPLVKWGSVMGKSKPDPPTAVLLHGILGSRKNWGTFTRRLAQEFPAWQFLLVDLRCHGDSASIRKRGPHTVASTAFDVLKLVAQLRITPRVLIGHSFGGKVALSMVEQAAKPLAQSVRVWSLDATPGKVRAGGDGEDHPAELISFIRKLPKEVFSKRDIVNALIQEGFSKDVAQWVVTNLKTDGPPGSPSSSFSWMFDLDGISEMYQSYEETNLWKFVENLPQGVHVNFLKAERSLHRWALEDLQRIHAAEDLAAEEGAGVEMHVLEDAGHWVQADNPDGLFRILSSSFQGFKA >Potri.007G094000.1.v4.1 pep chromosome:Pop_tri_v4:7:11946613:11951470:-1 gene:Potri.007G094000.v4.1 transcript:Potri.007G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G094000.v4.1 MVRSIKNPKKAKRKIKKGDGSSSSSSIPSMPTKVWQPGVDNLEEGEELECDPTAYNSLHAFHIGWPCLSFDVVRDSLGLLRTDFPHSVYFVAGTQAENPDWNSIGIFKISNVSGKRRELVPTKATAGDSDMDADNSDNDSDSDEDSEDEEEGGSAAPVLQLRKVAHSGCVNRIRAMIQNPHICASWSDAGYVQIWNFSTHLNALAESETEVPRGGSSVFNQAPLFNFKGHKDEGYAIDWSPRVTGRLVTGDCKSCIHLWEPTSGATWNVDATPFTGHTASVEDIQWSPTEDHVFASCSVDGHIAIWDARLGKSPAISFKAHNADVNVLSWNRLASVMLASGSDDGTFSIRDLRLLSPKSEEDKSLVAHFDYHKRPITSIEWSPHEASTLAVSSSDNQLTIWDLSLEKDEEEEAEFKAKTKEQVNAPADLPPQLLFVHQGQKDLKELHWHAQISGMIVSTASDGFNILMPSNIQSTLPSEGA >Potri.011G154300.3.v4.1 pep chromosome:Pop_tri_v4:11:18133308:18137382:-1 gene:Potri.011G154300.v4.1 transcript:Potri.011G154300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154300.v4.1 MDEKRYRKNNEPKAISHSHHSLLFLYTILFSSCWVALSSGEEVVGYGYTIESVSVNLPGKWLSANLSLIKNSIVYGADIPHLNLFASFETEESLRIRITDSENRRWEIPQEIIPRKNNSPEKKIQHHAIQENLLLSHYNSDLLFTLRDTTPFSFSVTRKSSGDILFDTSPDASDAGTFLVFKDQYIQLSSTLPEHRSSLYGLGEHTKSSFKLTPNQTLTLWNADIGSVNLDVNLYGSHPFYIDVRSPSDDGKVSAGTTHGVLLLNSNGMDIVYGGDRITYKVIGGVIDLYIFAGPSPDMVMEQYTELIGRPAPMPYWSFGFHQCRYGYKNVSDVEGVVAGYAKAGIPLEVMWTDIDYMDEHKDFTLDPINFPLEQMKQFVDNLHQNGQKYVLILDPGIGVNTTYETYIRGMQADIFFKRDGNPYMGVVWPGSVYFPDFLNPAGRDFWSNEIKIFRDLLPFDGLWIDMNEISNFITSPPTPLSTLDDPPYRINNAGIQRPINNRTIPATSLHFGNITEYNFHNLYGFLESEATNAGLKNATGKRPFVLSRSTFVGSGKYTAHWTGDNAATWDDLAYTIPSILNFGLFGIPMVGADICGFSRDTTEELCRRWIQLGAFYPFSRDHSDLDTRRQELYLWDSVAATAKKVLGLRYQLLPYFYTLMYEAHIKGIPIARPLFFSFPQDLKTYDINSQFLIGKGVMVSPVLESGATSVNAYFPAGNWFDLFNYSNSVTVDTGKYTELSAPADHINVHVHEGNILALQGEAMTTKEARKTAFHLLVALGSTGNSTGEVFMDDGESVEMGGEEKNWSFVRFYSEIVGDMAMVRSNITNGEFALSQKWIVSKVTFIGLEKTKGFKWYELQTSKETKSGNSGAKTSFNRNGELHMLEMSDLSLFLGEEFKLEVKFSM >Potri.002G028100.4.v4.1 pep chromosome:Pop_tri_v4:2:1866816:1868620:-1 gene:Potri.002G028100.v4.1 transcript:Potri.002G028100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028100.v4.1 MISRLMMHRELKSEDRNDKSIYNVASKSVGVRVQKVVDPEVAALLDDSDLSRFGSDAEDLEEDFVVRANIPEGGEDLGADVEGSEVVNEVTCGYVRYGGDRENVLGCGGVEKAMNVLVEARGYLGDKKQRVRRPLDEQFDSFLFVCIRVTSWL >Potri.002G028100.5.v4.1 pep chromosome:Pop_tri_v4:2:1866732:1868627:-1 gene:Potri.002G028100.v4.1 transcript:Potri.002G028100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028100.v4.1 MISRLMMHRELKSEDRNDKSIYNVASKSVGVRVQKVVDPEVAALLDDSDLSRFGSDAEDLEEDFVVRANIPEGGEDLGADVEGSEVVNEVTCGYVRYGGDRENVLGCGGVEKAMNVLVEARGYLGDKKQRVRRPLDEQFDSVRLYSLGSFFLI >Potri.002G028100.6.v4.1 pep chromosome:Pop_tri_v4:2:1866836:1868584:-1 gene:Potri.002G028100.v4.1 transcript:Potri.002G028100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028100.v4.1 MISRLMMHRELKSEDRNDKSIYNVASKSVGVRVQKVVDPEVAALLDDSDLSRFGSDAEDLEEDFVVRANIPEGGEDLGADVEGSEVVNEVTCGYVRYGGDRENVLGCGGVEKAMNVLVEARGYLGDKKQRVRRPLDEQFDSLVLKFYSVICHM >Potri.002G028100.3.v4.1 pep chromosome:Pop_tri_v4:2:1866692:1868627:-1 gene:Potri.002G028100.v4.1 transcript:Potri.002G028100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028100.v4.1 MISRLMMHRELKSEDRNDKSIYNVASKSVGVRVQKVVDPEVAALLDDSDLSRFGSDAEDLEEDFVVRANIPEGGEDLGADVEGSEVVNEVTCGYVRYGGDRENVLGCGGVEKAMNVLVEARGYLGDKKQRVRRPLDEQFDSGNIFNKKRCRILCSSLFPMELEAIAVSFRMYPSNKLAMMSYKAMASWLPFCWKTWCQSML >Potri.010G157200.2.v4.1 pep chromosome:Pop_tri_v4:10:16409255:16412484:-1 gene:Potri.010G157200.v4.1 transcript:Potri.010G157200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157200.v4.1 MAHSRVHKDRGELRIHENLDELGTDLAEYIAELSEASVKERGVFAIAISGGSLIGLMGKLCEAPYHKTVDWAKWYIFWADERVVGKTHADSNYKLAKDGLLSKVPVVPSHVNSINDSVSAEEAADDYEFHIRQLVKTRTINVSDNSDCPKFDLILLGMGPDGHVASLFPNHPMLDEKSEWVTFITDSPKPPPERITFTLPVINSASNVAVVVTGESKAEAVHLAIDDVGPDYLSLPARLVQPTKGKLVWFLDKPAASKLDGFQFSE >Potri.016G106300.1.v4.1 pep chromosome:Pop_tri_v4:16:11118140:11121258:1 gene:Potri.016G106300.v4.1 transcript:Potri.016G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106300.v4.1 MLRLLFLLTCALALLASSVASAAIVEHSFYVKNLTVRRLCSEQVVTAVNGSLPGPTLRVREGDTLIVHVFNKSPYNLTIHWHGVFQLLSAWADGPSMVTQCPITPGGKYTYKFKLLQQEGTLWWHAHFSLLRATVYGALIIRPRSGHPYPFPKPNKEVPILLGEWWNGDVVGIERKAAATGASPKISDAYTINGLPGDLYNCSQDRMYKLKVQKGKTYLLRIINAALDNQLFFMIANHNMTVVAVDAGYTVPYVTDVVVTGPGQTVDVLLAADQEVGSYFMAANSYASARPAAPFDNTTTRGIVVYEGAPTSATPIMPRMPAFNDTPTAHKFFTSITGLAGGPHWVPVPRQIDEHMFVTVGLGLSICPTCSNGTRLSASMNNFSFARPSSLSMLQAFFFNVSGIYTPDFPDTPPIKFDYTNAINAQNLSLLFTPKSTSVKVLKYNATVEMVLQNTAFLGVENHPIHLHGFTFHVLAQGFGNYDPVNDHKNFNLINPLSRNTINVPVGGWAVIRFTANNPGVWFFHCHLEAHLSMGLATAFVVENGPTPESTLPPPPVDLPQC >Potri.002G141900.1.v4.1 pep chromosome:Pop_tri_v4:2:10639773:10642681:1 gene:Potri.002G141900.v4.1 transcript:Potri.002G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141900.v4.1 MPKDAVRILVTGAAGQIGYALAPMIARGIMLGPDQPVILHLLDIQPVAESLKGVRMELIDAAFPLLQGILATTDVNEACKGVNIAVMVGGFPCKEGMERRDVMHKNVPIFKAQASALEQHAAPDCKILVVANPANTNALILKEFAPSIPVKNITCLTRLDHNRALSHISERLNVDVRDVKNVIIWGNHSSTQYPDANYAIATTDIGEKSVRELVADDHWIDYEYINSVRERGAEIIKARKLSSALSAASAACDHVHDWIIGTAKGTWVSMGVYSDGSYGIQPDIIYSFPVTCQKGEWSIVQGLKINEFSREKMDTSMKELTREESLAYSCLNQT >Potri.013G098700.1.v4.1 pep chromosome:Pop_tri_v4:13:10329203:10341905:-1 gene:Potri.013G098700.v4.1 transcript:Potri.013G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098700.v4.1 MSLNMKTFTQALAKTAAVIEKTVQTTVQEVTGPKPLQDYDLLHQIGSAGPGLAWKLYSAKAARESTRTHQYPTVCVWVLDKKALSEARARAGLTKVAEDTFLDVIRADAARLVRIRHPGVVHVVQALDENKNAMAMVTEPLFASVANAIGNLENVGKVPKELKGMEMGLLEVKHGLLQIAESLDFLHNNAHLIHRAISPENILITSSGAWKLGGFGFAITTDQASGDLASSQAFHYAEYDDEDSMLPLQPSLNYIAPELVRSKAPSAGCSSDIFSFGCLAYQLIAHKPLFDCHNNVKMYMNTLNYLSSAAFSSIPPELVPDLQKMLSANESFRPTAMDFTGSPFFRNDTRLRALRFLDHMLERDNMQKSEFLKALSDMWKDFDTRVLRYKVLPPLCAELRNMVMQPMILPMVLTIAESQDKIDFELSTLPALIPVLSTAAGETLLLLVKHAELVINKTSQDNLISHVLPLLVRAYDDTDPRIQEEVLRKSSFLAKQLDVQLVKQAILPRVHGLALKTTVAAVRVNALLCFGDLVSTLDKHAILDILQTIQRCTAVDRTPPTLMCTLGVANSILKQHGVEFVTEHVLPLLTPLLTAQQLNVQQFAKYMLFVKDILRMIEEKRGVTVTDSGIPEVKSSSFPNGIQPQASSKTSGTVAPAAKGSASWDEDWGPVSKGSATAHRALASNSSPTPSISANQPVQLTFLQSESPMTSAVSSRQTAVSCPPIDIEWPPRASSTVTQLDIGNKQMDAGATSTSSFNEIDPFADWPPRPSGTSSGSGASNNGTTGLQPNSYSSNLITNTPDIMNFQNKGNISWAFNNQSSLDPLKPNQGTSAVNSGSSLNSGPNPQSSIGFLKQNQNTSTLGSYNHTKPTDLGSIFGSSKNEQTAIKLAPPPSSAVGRGRGRGRGRGGTSTLRSSHAKPQSEQPPLLDLL >Potri.017G094750.2.v4.1 pep chromosome:Pop_tri_v4:17:10734178:10735989:1 gene:Potri.017G094750.v4.1 transcript:Potri.017G094750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094750.v4.1 MQPTLMMLDVQLTWKQVQIPLIVGIMDGIHNSVLRLFLMIFCFLKYWRLLLLNHFSIQITHLVQDPNSRVKVAGVHLTRLISAQTVTKANSQEFLSAHCYQKINIPLYINLPRYMKQSVTPSITQTSTPWFYGKERPKLNLLLLLVVYSQQWLNKMKACPTPWILAFGKATFVTLNQICSCYFRQWQRI >Potri.017G067400.3.v4.1 pep chromosome:Pop_tri_v4:17:6502243:6510474:1 gene:Potri.017G067400.v4.1 transcript:Potri.017G067400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067400.v4.1 MFYSHCLLSRKGPLGSIWVAAYYFKRLKKAQVTSTDISSSVDKILQDGFDVVTYRVLAYLLLGVVRIYSKKVEYLFDDCNKVLLNVKDFVLCNKDGILVETLQAPYFSITLPERFELDAFDLEIIEDTIGGNVMPHEEITLKDGMWKTRGVAKYSLNQDHCEEFAACGDTWSSGYSMNEDVLSSHLVDVMEQGTLCSVSTLQASMEKLQGSMFSHHECEDLEMFLEVEEEPTNTRKSFVEDHLTDEEESKVPDKIGSDETHAGMSVLKLCDDSVCQEASLNLEMFGGVEEEPGKLAKLYHQSEEQQKEVPGVEQSENETQSVLNDGNVSDVEVSIEKLLESRFFQEECMDANTFLAVEEPPEHARPFNEEHQSNAGNTSLPETTTLGKRKQQLVSEDHPLYIKLDATPQSKFKDVSGANTPEFMVISTPAAKEHARALRKRKCFFDDVVVFPNNVIKECIENTGDLVSKRRKLPHTAFAVWKACRFSNLDKCFLEPLIPCASLELGSLFRTKKLQIPETVKSVGGSVEIEEPSKKLDASESQNIGGSVENTEHLEKLNVSGSPLVGRLDETVETAENMFIQESAGILESPQKFVSECPTSARLVETMELSDMSESYTVGRSVETVETLEKSNVSGSPSASRFAGTLERPGKLDIAESPTAGGSLEQMAIAPETPIQCTTSVRSFESPERPDIYDADGLRSKTVEKEICRSLDQELDFNLLNEDMNTSGDNQEHCKNYDKSIFCPLFFCFDHSDHHTWDSHLCMYCRWVVRKNQSGSEMLACKFSDTEEKKTGGSSKFIANFGRKNQERECQALL >Potri.017G067400.1.v4.1 pep chromosome:Pop_tri_v4:17:6502224:6510504:1 gene:Potri.017G067400.v4.1 transcript:Potri.017G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067400.v4.1 MFYSHCLLSRKGPLGSIWVAAYYFKRLKKAQVTSTDISSSVDKILQDGFDVVTYRVLAYLLLGVVRIYSKKVEYLFDDCNKVLLNVKDFVLCNKDGILVETLQAPYFSITLPERFELDAFDLEIIEDTIGGNVMPHEEITLKDGMWKTRGVAKYSLNQDHCEEFAACGDTWSSGYSMNEDVLSSHLVDVMEQGTLCSVSTLQASMEKLQGSMFSHHECEDLEMFLEVEEEPTNTRKSFVEDHLTDEEESKVPDKIGSDETHAGMSVLKLCDDSVCQEASLNLEMFGGVEEEPGKLAKLYHQSEEQQKEVPGVEQSENETQSVLNDGNVSDVEVSIEKLLESRFFQEECMDANTFLAVEEPPEHARPFNEEHQSNAGNTSLPETTTLGKRKQQLVSEDHPLYIKLDATPQSKFKDVSGANTPEFMVISTPAAKEHARALRKRKCFFDDVVVFPNNVIKECIENTGDLVSKRRKLPHTAFAVWKACRFSNLDKCFLEPLIPCASLELGSLFRTKKLQIPETVKSVGGSVEIEEPSKKLDASESQNIGGSVENTEHLEKLNVSGSPLVGRLDETVETAENMFIQESAGILESPQKFVSECPTSARLVETMELSDMSESYTVGRSVETVETLEKSNVSGSPSASRFAGTLERPGKLDIAESPTAGGSLEQMAIAPETPIQCTTSVRSFESPERPDIYDADGLRSKTVEKEICRSLDQELDFNLLNEDMNTSGDNQEHYGWSERTRVAVKCLHASFLIQKKRRQEEVLNLLRILEGRTKRESARLFYEILVLKSKGYVDVKEENLYGDILIWKTPQWDQACRS >Potri.017G067400.4.v4.1 pep chromosome:Pop_tri_v4:17:6502243:6510405:1 gene:Potri.017G067400.v4.1 transcript:Potri.017G067400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067400.v4.1 MFYSHCLLSRKGPLGSIWVAAYYFKRLKKAQVTSTDISSSVDKILQDGFDVVTYRVLAYLLLGVVRIYSKKVEYLFDDCNKVLLNVKDFVLCNKDGILVETLQAPYFSITLPERFELDAFDLEIIEDTIGGNVMPHEEITLKDGMWKTRGVAKYSLNQDHCEEFAACGDTWSSGYSMNEDVLSSHLVDVMEQGTLCSVSTLQASMEKLQGSMFSHHECEDLEMFLEVEEEPTNTRKSFVEDHLTDEEESKVPDKIGSDETHAGMSVLKLCDDSVCQEASLNLEMFGGVEEEPGKLAKLYHQSEEQQKEVPGVEQSENETQSVLNDGNVSDVEVSIEKLLESRFFQEECMDANTFLAVEEPPEHARPFNEEHQSNAGNTSLPETTTLGKRKQQLVSEDHPLYIKLDATPQSKFKDVSEFMVISTPAAKEHARALRKRKCFFDDVVVFPNNVIKECIENTGDLVSKRRKLPHTAFAVWKACRFSNLDKCFLEPLIPCASLELGSLFRTKKLQIPETVKSVGGSVEIEEPSKKLDASESQNIGGSVENTEHLEKLNVSGSPLVGRLDETVETAENMFIQESAGILESPQKFVSECPTSARLVETMELSDMSESYTVGRSVETVETLEKSNVSGSPSASRFAGTLERPGKLDIAESPTAGGSLEQMAIAPETPIQCTTSVRSFESPERPDIYDADGLRSKTVEKEICRSLDQELDFNLLNEDMNTSGDNQEHYGWSERTRVAVKCLHASFLIQKKRRQEEVLNLLRILEGRTKRESARLFYEILVLKSKGYVDVKEENLYGDILIWKTPQWDQACRS >Potri.008G206400.3.v4.1 pep chromosome:Pop_tri_v4:8:15276330:15278418:-1 gene:Potri.008G206400.v4.1 transcript:Potri.008G206400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206400.v4.1 MAEKDKGPVIGLSWHPTLPILSSSTTKNIKTPDEAESSSALWKPNSQLVDGLFVPPNDPIKLNKLRKSQRKDTLGKDWFDMPAPTITQELKRDLQLLKLRSAIDPKRHYKRGDPKSKDLPKYFQVGTVVESATDFYSGRLTKKERKATIADELLYDQTFRAYRKRKVREIEEKNRPGGNDKWKIKGKQSRKRAKERRH >Potri.008G206400.2.v4.1 pep chromosome:Pop_tri_v4:8:15276262:15278420:-1 gene:Potri.008G206400.v4.1 transcript:Potri.008G206400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206400.v4.1 MAEKDKGPVIGLSWHPTLPILSSSTTKNIKTPDEAESSSALWKPNSQLVDGLFVPPNDPIKLNKLRKSQRKDTLGKDWFDMPAPTITQELKRDLQLLKLRSAIDPKRHYKRGDPKSKDLPKYFQVGTVVESATDFYSGRLTKKERKATIADELLYDQTFRAYRKRKVREIEEKNRPGGNDKWKIKGKQSRKRAKERRH >Potri.001G168800.1.v4.1 pep chromosome:Pop_tri_v4:1:14410948:14412682:-1 gene:Potri.001G168800.v4.1 transcript:Potri.001G168800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168800.v4.1 MTIEESEPSHTSDHVLDWLEDSVSFVPSFFDDPYSTADTNPYQWWDQAEEFYQDLVNTNASLSSSITTSTTTNINTTSLVPPITPTLSNHPQSTTSNKKRKDPEDPVTKTSQNHHQRKNPNNWINKKQQDGEAVVVVKKSNGNKKTTNKGTGINGNNGNNKEGRWAEQLLNPCAAAIPIGNMSRVQHLLYVLHELASLTGDANHRLAAYGLRALTHHLSSSSSLSSASTGTITFASTEPKFFQKSLLKFYEVSPWFAFPHNIANASILQVLAQEQDPRRNLHVLDIGVSHGVQWPTLLEALTRRPGGPPPLVRITVITAASENDQTTETPFSIGPPGDNFSSRLLGFAKSMNINLQIKRLDNHSLQKLSGRIIDTKPDEALIVCAQFRLHHLNHNTPDERTEFLRVLRRLEPKGVILTENNMDCSCNSCGDFATGFSRRVEYLWRFLDSTSSAFKGRESVERRMMEGEAAKALTNRGEMNEGKEKWCERMRGVGFVGELFGEDAIDGARALLRKYDSNWEMRGEGKDGCVGLWWKGQPVSFCSLWKLDMKGSESKL >Potri.001G105600.1.v4.1 pep chromosome:Pop_tri_v4:1:8483197:8486465:1 gene:Potri.001G105600.v4.1 transcript:Potri.001G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105600.v4.1 MKLKVYADRMSQPSRAVLIFCKVNRIDFEEVRVDISKRQHLTPEFKEINPMRKLPAIVDGRFKLFESHAILIYLACVFPGVADHWYPADLFKRAKINSVLDWHHSNLRRGAAEYVKNTTLAPVLGLPLDPQAAAEAEKVLFSSLSKIESVWLKGSGRFLLGGNQPSIADLSLVCELMQLEVLDEKDCSRILCPYKKVQQWMEDTKNATRPHFDKVHQILFKAKVKLQKVRSMSTNSENLKTKLASKM >Potri.009G110100.4.v4.1 pep chromosome:Pop_tri_v4:9:9470916:9471080:1 gene:Potri.009G110100.v4.1 transcript:Potri.009G110100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110100.v4.1 MFPGLFMKKPDKAEALKQLRSHVAMFGAWVVVLRVTPYVLHYISHEKDELKLEF >Potri.009G110100.3.v4.1 pep chromosome:Pop_tri_v4:9:9470846:9473407:1 gene:Potri.009G110100.v4.1 transcript:Potri.009G110100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110100.v4.1 MFPGLFMKKPDKAEALKQLRSHVAMFGAWVVVLRVTPYVLHYISHEKDELKLEF >Potri.008G178800.1.v4.1 pep chromosome:Pop_tri_v4:8:12358693:12359722:1 gene:Potri.008G178800.v4.1 transcript:Potri.008G178800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178800.v4.1 MDALVLVFPTLPRFFAGFISMYFLGYFVFFRNWELKHRKEASSCLMSLAHGSPAVIMAVRALLHSQTLGTFANPNSALENTVLDFSMAYFLADLLHYMVFFPDETLFILHHLATLYVFVTCRYMIHYGAHGLLLLLILAEVTSACQNVWSIAGSRKADVPAAARLHEFLAVPFYALYSLVRGILGPVCLFKMGVFYLNGGAHGLIPAWAWISWMVVIGSAILVSILWVSNLWAEWIRERSHRAQKKVG >Potri.011G007900.1.v4.1 pep chromosome:Pop_tri_v4:11:603356:604812:1 gene:Potri.011G007900.v4.1 transcript:Potri.011G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007900.v4.1 MAYNPSSRSCFYDSFCFLFITFVLPFFFLTKAQAASHCRTSCGTIPINYPFGIDDGCGSPYYRHMLLCSDSGILELRTPSGRYQVRSISYSDPHMIVTDPFMWKCKDGHHFRATRAFSLDTSTHLTLSSQNDYLFFNCSEEKVIVEPKPIFCERFPDRCDSTCDSASYLCRHLPGCGAALGGRSCCSYFPKATESLRLMLKYCASYTSIYWRINGANAPDDHVPEYGIRVDFDIPVTTDCLQCQDMKKGGGRCGFDTQSQNFLCLCNQRSNVTTYCNDHSSSSHSKAGIIAGTVTGVSAAGALGIGAGLWYWKKVRASAPVTCGVQSNENRLF >Potri.010G020300.2.v4.1 pep chromosome:Pop_tri_v4:10:2907651:2911522:-1 gene:Potri.010G020300.v4.1 transcript:Potri.010G020300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G020300.v4.1 MDYISVLRFCFTLLLIVRVATPTDTMHTTQPIRDGDSIVSAGGTYELGFFSPGKSRNRYLGIWYGKISLLTPVWVANRETPLNDSSGVVMLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAQLLDSGNLFVKEEGDNNMENSLWQSFEYPGNTLIPGSKLGRNRITGMDWHLTSWKSSDDPSRGNISIILIPGGYPEYAAVEDSNVKYRGGPWNGLGFSGLPRLKSNPIYTFEFVFNDKEIFYRETLVNNSTHWRAIATQNGDLQLLLWMEQTQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLIGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKVRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMAASELDNGDSAKVNTKSKVKKRIIVSSVLSTGILFVGLCLVLYVWKKKQQKNSNLHRRSNNKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKGKLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDDTRSLLLDWPKRYNIITGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVIEIVGGYRNRGFRHPDHHLNLIGHAWRLFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEVSYSSSQSKPPSANVCSISVLEAR >Potri.010G020300.1.v4.1 pep chromosome:Pop_tri_v4:10:2907651:2911522:-1 gene:Potri.010G020300.v4.1 transcript:Potri.010G020300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G020300.v4.1 MDYISVLRFCFTLLLIVRVATPTDTMHTTQPIRDGDSIVSAGGTYELGFFSPGKSRNRYLGIWYGKISLLTPVWVANRETPLNDSSGVVMLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAQLLDSGNLFVKEEGDNNMENSLWQSFEYPGNTLIPGSKLGRNRITGMDWHLTSWKSSDDPSRGNISIILIPGGYPEYAAVEDSNVKYRGGPWNGLGFSGLPRLKSNPIYTFEFVFNDKEIFYRETLVNNSTHWRAIATQNGDLQLLLWMEQTQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLIGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKVRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMAASELDNGDSAKVNTKSKVKKRIIVSSVLSTGILFVGLCLVLYVWKKKQQKNRKMTGNLHRRSNNKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKGKLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDDTRSLLLDWPKRYNIITGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVIEIVGGYRNRGFRHPDHHLNLIGHAWRLFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEVSYSSSQSKPPSANVCSISVLEAR >Potri.004G202050.1.v4.1 pep chromosome:Pop_tri_v4:4:21172267:21172899:-1 gene:Potri.004G202050.v4.1 transcript:Potri.004G202050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202050.v4.1 MEKVVAEAEELPKAIVCRMVKDALSRCSPDESESLHFHPLSFRHVSPKITPTNLIPFIFSILGLFFWNCAKNSELRVF >Potri.013G114400.7.v4.1 pep chromosome:Pop_tri_v4:13:12273155:12277038:1 gene:Potri.013G114400.v4.1 transcript:Potri.013G114400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114400.v4.1 MMKMVEIAMPTNLHIKPTLTFNPSASISTWNLRKKPRETTKRKLGAVFASISSSHDTIGEELWPRSELCGSNKEKRASKKRVFFLDVNPLCYAGSTPSLHSFAHWISLFFSQVSLTDPVIAVLDGDGGSEHRRQLLPSYKAHRRKFSRKSSAPQKYPVERSHVVMDVLTKCNVPVVKIEGHEADDVVATLAGQVLQNRHKVVIASPDKDFKQLISEDVQIVLPIAELNRWSFYTLKHYISQYNCDPCSDLSFRCIMGDEVDGVPGIQNVAPGFGRKTALKLLKKHGSLQNLLDAAAVRTVGKKYAQDALTKHSDYLRRNYEILALRSDVDVQLKEEWLVERDRCNDSIILSNFFKLLEQSKRPTYQSGSHSKID >Potri.013G114400.8.v4.1 pep chromosome:Pop_tri_v4:13:12273213:12277035:1 gene:Potri.013G114400.v4.1 transcript:Potri.013G114400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114400.v4.1 MMKMVEIAMPTNLHIKPTLTFNPSASISTWNLRKKPRETTKRKLGAVFASISSSHDTIGEELWPRSELCGSNKEKRASKKRVFFLDVNPLCYAGSTPSLHSFAHWISLFFSQVSLTDPVIAVLDGDGGSEHRRQLLPSYKAHRRKFSRKSSAPQKYPVERSHVVMDVLTKCNVPVVKIEGHEADDVVATLAGQVLQNRHKVVIASPDKDFKQLISEDVQIVLPIAELNRWSFYTLKHYISQYNCDPCSDLSFRCIMGDEVDGVPGIQNVAPGFGRKTALKLLKKHGSLQNLLDAAAVRTVGKKYAQDALTKHSDYLRRNYEILALRSDVDVQLKEEWLVERDRCNDSIILSNFFKLLEQSKRPTYQSGSHSKID >Potri.008G205000.1.v4.1 pep chromosome:Pop_tri_v4:8:14979841:14983028:-1 gene:Potri.008G205000.v4.1 transcript:Potri.008G205000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205000.v4.1 MASTDKPDRESIKSEEAPAAHPRRSNYSSVHVALRFLLFAASVTAVVVMVTAKQTEIVPVPGLPISVPLEAKFSDSPAFLYFISALSVAGLYGILTTLAAISTVLKPAYATRFLLHFALLDVLMLGIVASATGAAGGVAYVGLKGNSHVRWGKVCNVYDKFCQHVGSSIAVALFASVLLVLLTMLSVFSIYRKIPK >Potri.011G074901.1.v4.1 pep chromosome:Pop_tri_v4:11:7860063:7860284:-1 gene:Potri.011G074901.v4.1 transcript:Potri.011G074901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQSVEGSSRSGPRRTIVGDLLKPLNSEYGKVAPGWGTTPLMGVAMALFAVFLSIILEIYNSSVLLDGISMN >Potri.016G034950.1.v4.1 pep chromosome:Pop_tri_v4:16:2032515:2033487:1 gene:Potri.016G034950.v4.1 transcript:Potri.016G034950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G034950.v4.1 MFHFHAMNWVTRKKEMGVLRRELACLYLLLLLIMSPLETPCYAVGYGKFSSFRGGSSSELKNNPAKSNNIGGLKGNADKEGNEIFGAEKRKVYTGPNPLHNR >Potri.004G166200.2.v4.1 pep chromosome:Pop_tri_v4:4:18512873:18513692:-1 gene:Potri.004G166200.v4.1 transcript:Potri.004G166200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166200.v4.1 MVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAAGIIVNPKGEMKGSAITGPIGKECADLWPRIASAANAKSFNLSVPFFWELYCR >Potri.001G457300.2.v4.1 pep chromosome:Pop_tri_v4:1:48325911:48328489:-1 gene:Potri.001G457300.v4.1 transcript:Potri.001G457300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457300.v4.1 MATLVNSVSPLTNPSPETLRTACGLFSNVPNFRSFSQNKGFTRVLASTHITISPKDSVWTLSNWKVGRKDTRNRDIRLNDAFFHLEFIVRKGHKPDVAQATQLLYDLCKSNKMKKATRVMEMTIESGIIPDAASYTFLVNNLCKRGNIGYAMQLVEKMEENGCPTNTVTYNSLVRGLCKHGNLNQSLQLLDKLMRKGLVPNEFTHSFLLEAAYKERGVDEAMKLLDGIIAKGGKPNLVSYNVLLTGLCKEGRTEEAIQFFRDLPSKGFNPNVVSCNIILRSLCCEGRWEEANELVAEMDSEERSPSLVTYNILIGSLASHGRIQHAFQVLDEMMRASFQPSAATFNPIISHLCKEGKADLVVKCLDQMIHHCCNPNDGTFNAIAVLCEGGRVQRAFSIIQSLGNKQNSSTHDFYRGVISSLCRKGNTYPAFQLLYEMIQSGFVPDPYTYSSLIRGLCVEGMLDEALEIFRLLEENDYRPILGNFNALILGFCKSGRTDLSLEIFEMMILKGYTPNETTYTILVEGIAHEEEKELAAEVLKELYIRQVMRRNTVERLVMQYDLKGLPV >Potri.018G023800.2.v4.1 pep chromosome:Pop_tri_v4:18:1753680:1761233:-1 gene:Potri.018G023800.v4.1 transcript:Potri.018G023800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023800.v4.1 MAALCKDLVLLISQFLDEEGFKETARMLERESGYYFSMKFFEDMIRSGNWDEAERYLSCFTKLDDNRYSTKIYFEIRKQKFLEVLDNNERSKALDILMKDLKAFAPDNEELLKEMTLLLTLNNIRDHESLSMYSDAESARKVMMVELKKVIEANPLLREKLEFPNIANHRLRRLINQSLNWQHMHCAYPQPNPDIRTLFVDHICVPIPSDDHLFSAASDSNPLPSQTTSMLVSTSSASNSTSSSEAHSSISSEALSLGVPTNIAMIEVLEDNTTVNDSGIPKNRIVNLKRPSNEEISDASLPDQSSVNISDDLPKNVFRILNEGSSPTSMDFHPEKQTVLLVGTTVGDIGLWEVSSGESLLSRNFKVWDIAACSMMFKATLLKDPSVSVNRVAWSPEGGLFGVAYSKHLVQIYSYNEAKDARQQLEIDAHVGGVNDLTFSAPEKQLLVITCGDDKIVKAWDATDGVKMYTFEGHDAPVYSLCPYSKGNVHFVFATSVNGNIKVWLYDNLGARVDYDAPGLGCTSMAYSGDRRLFSCGTSGSGESFLVEWDDSEGAIKRTYLGLQKNSSSVVQFDIMKNQVLAAGDEHVIKIWDMNKIELFTTIDAEGGLPANPCVRFNKEGTLLAVSANDNKIKILAKDGSLQSLHTTENCLDDDFRVFSDTLKKLVSEAISKGACAQDADEAVAKNGNLKAVKSKITGKDTKSKSGRLIELNSPSQCQILRLPSHMKANKISRLIYNNAGNSILALTSNATHLYWKWPQNDFDLSDTAAAKVSPQLWQPRSYSGLMTNDLTGSNPEETVPCFALSRNDSYLMSSSGGRISLYNLLKFKTMLSIMQPPPAATCIAFHPQDNNILAIGRDNSTILIYNVRSAKVITILEGHSKRVSGLAFSNDLNLLVSSGADAQIFVWNVEGWYKQRSTFLQIPDGRIPFSLSTDTHIQFHQNQTEFLSVHETHLAIYEARKLECVKQWIPGDFATPISHATFSCDGQMVYASFLDGLVSIFDASDFQLYCQINPTAYLFPTSSLGVYPIAIAAHPQEPNQFAVGLTDGGVIVFEPPISAGKWSMLTADENGSASKLPAESEGNY >Potri.018G023800.1.v4.1 pep chromosome:Pop_tri_v4:18:1752951:1761274:-1 gene:Potri.018G023800.v4.1 transcript:Potri.018G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023800.v4.1 MAALCKDLVLLISQFLDEEGFKETARMLERESGYYFSMKFFEDMIRSGNWDEAERYLSCFTKLDDNRYSTKIYFEIRKQKFLEVLDNNERSKALDILMKDLKAFAPDNEELLKEMTLLLTLNNIRDHESLSMYSDAESARKVMMVELKKVIEANPLLREKLEFPNIANHRLRRLINQSLNWQHMHCAYPQPNPDIRTLFVDHICVPIPSDDHLFSAASDSNPLPSQTTSMLVSTSSASNSTSSSEAHSSISSEALSLGVPTNIAMIEVLEDNTTVNDSGIPKNRIVNLKRPSNEEISDASLPDQSSVNISDDLPKNVFRILNEGSSPTSMDFHPEKQTVLLVGTTVGDIGLWEVSSGESLLSRNFKVWDIAACSMMFKATLLKDPSVSVNRVAWSPEGGLFGVAYSKHLVQIYSYNEAKDARQQLEIDAHVGGVNDLTFSAPEKQLLVITCGDDKIVKAWDATDGVKMYTFEGHDAPVYSLCPYSKGNVHFVFATSVNGNIKVWLYDNLGARVDYDAPGLGCTSMAYSGDRRLFSCGTSGSGESFLVEWDDSEGAIKRTYLGLQKNSSSVVQFDIMKNQVLAAGDEHVIKIWDMNKIELFTTIDAEGGLPANPCVRFNKEGTLLAVSANDNKIKILAKDGSLQSLHTTENCLDDDFRVFSDTLKKLVSEAISKGACAQDADEAVAKNGNLKAVKSKITGKDTKSKSGRLIELNSPSQCQILRLPSHMKANKISRLIYNNAGNSILALTSNATHLYWKWPQNDFDLSDTAAAKVSPQLWQPRSYSGLMTNDLTGSNPEETVPCFALSRNDSYLMSSSGGRISLYNLLKFKTMLSIMQPPPAATCIAFHPQDNNILAIGRDNSTILIYNVRSAKVITILEGHSKRVSGLAFSNDLNLLVSSGADAQIFVWNVEGWYKQRSTFLQIPDGRIPFSLSTDTHIQFHQNQTEFLSVHETHLAIYEARKLECVKQWIPGDFATPISHATFSCDGQMVYASFLDGLVSIFDASDFQLYCQINPTAYLFPTSSLGVYPIAIAAHPQEPNQFAVGLTDGGVIVFEPPISAGKWSMLTADENGSASKLPAESEGNY >Potri.010G043300.1.v4.1 pep chromosome:Pop_tri_v4:10:7503039:7507070:1 gene:Potri.010G043300.v4.1 transcript:Potri.010G043300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043300.v4.1 MESKRLALPDQLLAPRRKPFLLWVVASLIILSLFFLTTSFKGRIVTPFFQGFNSVTRNSSLVSWPFSRFPPSENSTASPPSSVIGVVLEKTYVGNFTEITQNGSFGDEATSVPKSPEAKSGNLTDSSGDGGVLERPLLGVIEVGNHSLSSNVDDADAKRSAEGKSVENLIAENKNEGNEENGRFPGGGGEQGGHNKVSFEKCDIFDGKWVRDDSKPYYPPGSCPYIDKDFDCHLNGRPDVGFVKWKWQPNGCDIPSLNATDFLERLRGKKLVFVGDSLNRNMWESLVCILSNSIGKKKRVYEISGRREFKKKGFYAFRFEDYNCTVDFVSSPFLVRESSFKSKNGTFETLRLDLMDRTTSMYHDADVIIFNTGHWWTHDKTSRGEDYYQEGNHIHRRLKVLEAYKRALLTWARWIDKNIDRNRTLVLFKGYSVTHFRGGQWNSGGRCHKETEPIFNTAYLGKYPSKMRAFQHVLQETKTPVIYLNISRLTAYRKDAHPSIYRMTYNTAEERIAAERSQDCSHWCLPGVPDTWNELLYASLLKVGLGSWKK >Potri.008G125800.4.v4.1 pep chromosome:Pop_tri_v4:8:8180697:8186689:-1 gene:Potri.008G125800.v4.1 transcript:Potri.008G125800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125800.v4.1 MSTDDATEKARENGNGVELPSTQRLIPFENKEHNPESYEDMQLDYSPLLFSSLERYLPPNMLNLPRDRKVHFLSDILTRYSPQGEHTRVQKHRKYRQQILSNYEPRHKELYTMNAANFFVPSFLKAISENSEESFRSIMVEPTPGVYTFEMFQPKFCEMLMAEVESMERWVHDQRFHIICPNTMNKYGVVLDDFGLETMLNKLMDGYICPMSRVFFPEVGGLTLDSHHGFVVEYGVNRDIELGFHVDDSEVTLNVCLGEQFYGGDLFFRGVRCDKHVNTETQSEEVFDYAHIPGRAVLHRGRHRHGARATISGHRVNLVIWCRSSVFRELKKHQNDFSSWCGDCRREKKERQHLSVAATKLELLKRDGISAS >Potri.010G251300.1.v4.1 pep chromosome:Pop_tri_v4:10:22392689:22397519:-1 gene:Potri.010G251300.v4.1 transcript:Potri.010G251300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G251300.v4.1 MQTSYRFLSRLYHSQKRNPSILCNRDLLFLLTHDSNGNTTVLEPNFTAQNQSFAYSSYTQSCGFFASKSSKRFAFWGLVSLYGNQNDQNSSYSYLAHRGFFTRAKPVKRIEISDQHSQRAVTTALWCNFLVFSLKFGVWFSTNSHVMLAEVVHSIADFANQALLAYGLSSSRRAPDALHPYGYSKERFVWSLISAVGIFCLGSGATIVNGIQNLWTAHPPGNIQYAALVIGGSFIIEGASLIVAIQAVKKGAAAEGMTVRDYIWRGHDPTSVAVMTEDGAAVTGLAIAAASLVAVNTTGNAIYDPIGSIIVGNLLGMVAIFLIQRNRHALIGRAMDDHDVEKVLHFLKNDPVVDALYDCKSEVIGPGFFRFKAEIDFNGVVVVQNYLSRTGRGEWSRQFREAAKEKDDAALLKIMSNYGEEVVTALGSEVDRLEKEIQELVPGIRHVDIEAHNPSGPNP >Potri.005G054400.1.v4.1 pep chromosome:Pop_tri_v4:5:3440324:3445579:1 gene:Potri.005G054400.v4.1 transcript:Potri.005G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054400.v4.1 MSGQIVKVKREILEACMTCPLCNKLLKEATTIFSCLHTFCRKCIYEKLSDEEVDCCPVCNMNLGCLPVDKLRADHNLQDIRAKIFPFKRRKVKAPEIIPSIALPAKRKERSLSSLVVSTSKVPIQTGLTGRRSKAGARKAAALRGCSFTVEESKNEDSAKDNLSSPGSPVKSIQKRRLDSSVAEPSTEQRPNDDGEDDDVQMIEGKADLWTPLNCLVEAANRTKSSKSHSQRLSLAKFGLLDGPDSKPHLYESRAESPNGQHSDIYMAKTKNEHGQGIRVLDDKNGTNSLPVSVKRRRLTAAQKRAAMSEGLGASAQAMVDAAAAKSNRRNGPIWFSLVASEDQKRDASLPQISACYLRIQDGKMPVSFIQKYLVKKLDLGSETEVEIMCRGQPVSPTLRLQNLVNLWFCTGSTSKKVSASVGSSAKDFVMVLSYCRKVQES >Potri.011G074784.1.v4.1 pep chromosome:Pop_tri_v4:11:14430930:14431061:1 gene:Potri.011G074784.v4.1 transcript:Potri.011G074784.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074784.v4.1 METVTLVAISISGLLVSFTGYALYTAFGQPSQQLRDPFEEHGD >Potri.010G252500.6.v4.1 pep chromosome:Pop_tri_v4:10:22454390:22459168:-1 gene:Potri.010G252500.v4.1 transcript:Potri.010G252500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252500.v4.1 MGSRYPSHRLGNGLVVSGRPEQPKEKAPTMSSAAMPYTGGDIKKSGELGKMFIPTEGSKSRKSGPITGPPSRTGSFAGAASHSGSMMSNAASRAGYTTSGPLSSGGLPGSASLKKSSSGPLNKHGEPIKKSSGPQSGGVTRQNSGSIPSALPATGLITSGPISSGPLNSSGAPRKVSGPLESTGSMKLNSSSISNNPAVTTLSQDDDYSVRKNFPKTVVWLVILIFVMGLLAGGFILGAVHNAILLVVVVVLFVIVAALVVWNMCGGRRYIVEFTARYPDADLRTAKNGQYVKVSGVVTCGNVPLESSFQRVPRCVYTSTRLYEYRAWGSKPANPSHRHFTWGLRSSERHVVDFYISDFQSGLRALVKTGNGTRITPFVDDSLVADINPEKKDLSPEFVRWLGKKNLSGDERLMRLKEGYIKEGSTVSVMGIVQRNDNVLMIVAPPEPLATGWQWPRCIFPASLDGIVLRCEDTSNDDVIPV >Potri.010G252500.5.v4.1 pep chromosome:Pop_tri_v4:10:22454468:22459051:-1 gene:Potri.010G252500.v4.1 transcript:Potri.010G252500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252500.v4.1 MGSRYPSHRLGNGLVVSGRPEQPKEKAPTMSSAAMPYTGGDIKKSGELGKMFIPTEGSKSRKSGPITGPPSRTGSFAGAASHSGSMMSNAASRAGYTTSGPLSSGGLPGSASLKKSSSGPLNKHGEPIKKSSGPQSGGVTRQNSGSIPSALPATGLITSGPISSGPLNSSGAPRKVSGPLESTGSMKLNSSSISNNPAVTTLSQDDDYSVRKNFPKTVVWLVILIFVMGLLAGGFILGAVHNAILLVVVVVLFVIVAALVVWNMCGGRRYIVEFTARYPDADLRTAKNGQYVKVSGVVTCGNVPLESSFQRVPRCVYTSTRLYEYRAWGSKPANPSHRHFTWGLRSSERHVVDFYISDFQSGLRALVKTGNGTRITPFVDDSLVADINPEKKDLSPEFVRWLGKKNLSGDERLMRLKEGYIKEGSTVSVMGIVQRNDNVLMIVAPPEPLATGWQWPRCIFPASLDGIVLRCEDTSNDDVIPV >Potri.015G095100.1.v4.1 pep chromosome:Pop_tri_v4:15:11707987:11710657:-1 gene:Potri.015G095100.v4.1 transcript:Potri.015G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G095100.v4.1 MEVPLLRFQSLTPDQIQNHNNCSFPFLFPMSKCLEQRILFSGYGFSFNKTKWKKNPFWEIKCCSLDRGLQPRPKPKPAKVDIDVSVRSNFVRRPSVGLCSQIEKLVLFARYREALDLFEIFEIEGGFDVGISTYDALVNACIGLRSVRGVKRVFNYMIDNGFEFDQYMRNRVLLMHVKCGMMIDARRLFDEMPERNLVSWNTIISGLVDVGDFMEAFRLFLNMWEEFSDAGSFTFAVMIRASAGLELISIGRQLHACTLKMGIGDDIFVSCALIDMYSKCGSIEDARFVFEEMPEKTTVGWNTIIAGYALHGYSEEALDMYYEMRDSGVKMDHFTFSMIVRICARLASVEHAKQAHAALIRHGFGSDIVANTALVDFYSKWGRIEDARHVFDKMASKNVISWNALIGGYGNHGRGSEAVELFEQMIQERMNPNHITFLAVLSACSHSGLSERGWEIFQSMGRDNRIKPRAMHYACMIELMGREGLLDEALALIRGAPFKPTANMWAALLTACRVNENFELGKFAAEKLYGMEPDKLNNYIVLLNIYNSAGNLKEAADVVHTLKRKGLRMRPVCSWIEVKRRPHVFLSGDNRHPQRKEIYQKVDKLMLEISKYGYVPNQKTLLPDVDEQEERVRLYHSEKLAIAFGLISTPYWAPLQIVQGHRICGDCHEAIKLIARVTGREIVIRDAGRFHHFKHGHCSCEDYW >Potri.005G193100.1.v4.1 pep chromosome:Pop_tri_v4:5:20071567:20080471:1 gene:Potri.005G193100.v4.1 transcript:Potri.005G193100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193100.v4.1 MVNNRFDHPLKHSEEEGRGSNYHLNKSFDQTSGGDESVTVAYVSSEEQSEAGSSQEAEKQKTKSGKQPLALEIFKSKNLIFPPPRRGLNLCINAPVDDHSHQLFDDDDNNITFYRSKTENRASRYELKLNRLSEREKKKLIIELVKIQNDGTVEVDIDENAPVASELLELHSVEGASFYVNDSISCSKKSIPRLKIAMLVVGTRGDVQPFLAIAERLQEFGHHVRLATHANFSSFVRSAGVDFYPLGGDPRVLAEYMARNKGFIPSAPGEISTQRKQLKAIIESLLAACTEPDMETGVPFKAQAIIANPPAYGHVHVAEALHIPIHIFFTMPWTPTYEFPHPLARVPQSAGYWLSYIVVDLLIWWGMRGYINEFRKRKLKLPPIAYFSTYHGSISHLPTGYMWSSHLVPKPSDWGPLVDVVGYCLLNLGSKYEPKEEFLQWIQKGTEPIYIGFGSMPLEDPKNTMDIILEALKDTGQRGIVDQGWGDLGNFMEVPDSVFLLKDCPHDWLFPQCAAVVHHGGAGTTAMGLRAGCPTTIVPFFGDQFFWGDRVHKKGLGPAPIPIARLSAESLSNAIRFMREPEVKSRAVELAKLIENEDGVGAAVDAFHRHLPPELPLPASSSEDNEQPNPLQWFFIQIEKWCCLSCS >Potri.009G136200.1.v4.1 pep chromosome:Pop_tri_v4:9:10983790:10984932:1 gene:Potri.009G136200.v4.1 transcript:Potri.009G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136200.v4.1 MGEAKIFLMILIMVFLKGAVSEVHTVGDELGWNTGANFGSWSRKYNFSVGDTLVFKYVKGQHNVYEVIEATYRSCNGSTGVLATYESGNDQIELNKAKKYWFVCNFAGHCLGGMRFFIDVKEANSTNIRPTTPQSEPIPPPPPANSCAAIYVFDGWSFWVSLVAFGVLLQL >Potri.012G100600.2.v4.1 pep chromosome:Pop_tri_v4:12:12363904:12369257:-1 gene:Potri.012G100600.v4.1 transcript:Potri.012G100600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100600.v4.1 MATQLSGKRMSGFSLVDEEIVQNILSRLPALTFAYAACVNKRWYKICSKILKRPKLASALSLNPSLHDAVKEVIEQVLSEPIRPHFAIACISKEFNLELAHGLIIEKLGSRIPIITNVSSGIIGVDGIADELFEEKWETTSGPNIQESDTAERGLVLLVGFLPGLKIGTIPLLQPRQESNTLVDKFVMDILHYTAAVSDCAAPAGIIMFGDKTTDMKPIVAKMDCAMPEETVIVGDASADFIFRTGDDSLNQLVYTCCFQAVALVFARDRYKPEGLGEIQFHVTKSTGVLPFGPNLKAVCVVPKDSERSCLFARLEGQDGIMAAGAILNEIKQQFREADTFADLYIGVTKETQRTSDSGILTPGKSLDFYKVIGGGEYYFTVNGIGIRTGDSFLFYQSDSATASSSCDHAFNKLLALKAELKSKNYLRLSNLADKDDKEEVLGGFIFSCYHRGESFFGDTFVDSYPFCNNFPTAPVAGLFCRGEIARGPKSLMNEEYDDETSPRCCVHVYSTIYLVMSYLPPPLES >Potri.009G006400.1.v4.1 pep chromosome:Pop_tri_v4:9:1304427:1306814:-1 gene:Potri.009G006400.v4.1 transcript:Potri.009G006400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006400.v4.1 MGDACKFALHAVDGRWFSVFASFLIMAGAGATYLFGTYSKDIKSTLGYDQTTLNLLGFFKDLGANVGVLSGLLAEVTPTWFVLVVGSAMNFAGYFMIWMSVTQRIAKPAVWQMCLYICIGANSQNFANTGALVTCVKNFPESRGVMLGMLKGFVGLSGAIFTQFYLAIYGTDSKSLILLIGWLPAALSVIFAYTVRERKPERQPNELKVFYQFLIVSIILALFLMAMNIVEKLVDFSKAAYAGSATVVCVMLFIPLIISIKEDWIQWNLKHQEGMKPATEATAEKKLDITPEVKSEISKEQEEKVQKSCFLTICNKPPRGEDYTILQALLSIDMLILFAATFCGLGASLTAVDNLGQIGESLGYPTKTIKSFVSLVSIWNFFGRVFAGFVSESLLVKYKMPRPLMMTFVLLLACVGYLLIAFPFSGSVYVASVITGFSFGAQLPLLFAIISELFGLKYYSTLFNCGQLASPLGSYILNVKVTGLLYDREAVKELAKKGLDRSAVKELVCIGVQCFRLPFIVLSAVTFSGALISLILVMRTRKFYSSDIYKKFREIHGVK >Potri.001G419300.1.v4.1 pep chromosome:Pop_tri_v4:1:44720466:44727068:-1 gene:Potri.001G419300.v4.1 transcript:Potri.001G419300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419300.v4.1 MVSTNKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMVFLFGMELNNYLTVNTSTSVIVDNSSDGEFLRIDFNLSFPSLSCEFASVDVSDVLGTNRLNITKTIRKFSIDHDLKPTGSEFHSGPVLHHINHGDEVHEEGSEGSVSLKAHNFDQYTHQYPILVVNFYAPWCYWSNRLKPSWEKAAKIIRERYDPEIDGRILLAKVDCTEEGDLCRRNHIQGYPSIRIFRKGSDLRDDHGHHDHESYYGDRDTDSLVKTMEGLVAPIAMESQRHALEHKPENATEHVKRPAPSAGGCRIEGYVRVKKVPGNLVISARSGAHSFDSAQMNLSHVISHFSFGMKVLPRVMSDVKRLIPHIGRSHDKLNGRSFINHRDVGANVTIEHYLQVVKTEVVTRRSSAEHKLIEEYEYTAHSSLAQTVYMPTAKFHFELSPMQVLITENPKSFSHFITNVCAIIGGVFTVAGILDSILHNTFRMMKKVELGKNF >Potri.004G055500.1.v4.1 pep chromosome:Pop_tri_v4:4:4538601:4542653:-1 gene:Potri.004G055500.v4.1 transcript:Potri.004G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055500.v4.1 MSPAQRPNMPEPPPSPSSSSIFALSYLKLQFFARVRRFLQTKRAQKSNKTRTKAMVNKEEQATITTVDRQSSDIDDDSVVLQRSVKRLHFGSWEEKEMAALEIKKLAREDAKMRNLMAELGVIPALVGMVASEVAGRQRVAVNALIELANGTYKNKALMVEAGIFSKLPKSMDVLEEPTRQEFAELILSLSSLANHTQFPLASSEVLPFLIGILESCSSYETKESCLGTLYNLSAVLDNAGPLLSNGAVQTLLRVISEKEFSEKALATLGHLVVTLMGKKAMENSSLVPESLIEIMTWEDKPKCQELSAYILMILAHQSSALRDKMLKSGIVPVLLEVALLGSPLAQKRALKLLQWFKDERQTRMGPHSGPQTARIAIGSPVNNREPQEGKKLMKDLVKQSLHKNMELITQRANATSGDSSKFKSLVISTSSKSLPC >Potri.T124807.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_780:42786:51399:1 gene:Potri.T124807.v4.1 transcript:Potri.T124807.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124807.v4.1 MDWKSTEQASMERHAPNHSSSTSSSIPATENTVWADASPLLETVCKELRDGELIHGENFNLYAAMSALEIMDPKMDSGIINKYASVDEAIEDGLAPVPIGLDKTVDVQCIIDIIDQLLACEATWHKGHSLAQTVFSCAYLLRPERTLSHALLDSYCKVIRATCKAVTSIVSDARTHEEEDLFTMAYGLPLNVEGDEKCLSLLNAVEENISRQLRACKALPSKRKPLEDIEPLQNNFDLEEGYCKALLCRLRFRKHFCHVLTCMRRPQGRGLELARKHIASCISELGSILNSAEFLMSNAYGTCEDGTEDRTTASGRQAIGFDANLNSRISAPTPPRSIKILSWKKAIEYFEKLLHHLDIICSYLLDPSLDVLLRFVAQFQKAQPDLVARVHLQLLLVQDGKLYGRYPILAVIIRAARLPEVIMRHDIQKNEYVVQLGQIVINMLKVLCTNAAWQRRKLGKILQDWRAIYVQLELAFRKEFGEGSSISNGENASAGILKHILIWVEEQTYWIAHRFLVLGFELELYSPSEYCMVYWYLYVVLIRLAEKTHLKMTVSDGSAKQKGKKRKDSPKDLAREARIPPAISFLQCQICLAEGLALLLAALRNELMVLQSPSPFNSEHERFIQHFELLQKACIPDLISYPSFKESTSHARFSSLVMYNYFKDAQKIAKEVKSSFLNDPDRLAELCILEQVAEHNSVALNVISRVGALDPSLKVSFEFIHHPCFATVVVKRS >Potri.014G153500.1.v4.1 pep chromosome:Pop_tri_v4:14:10791704:10798511:1 gene:Potri.014G153500.v4.1 transcript:Potri.014G153500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153500.v4.1 MAEPKTKYDRQLRIWGEQGQAALEKATICLLNCGPTGSETLKNLVLGGVGSITVIDGSKVELGDLGNNFMVDESCVGQSKAKCVCTFLQELNDAVKAKFIEEYPEALIGSNPSFFSQFTLVVATQLAEDSMIKLDKICREANVLLIFARSYGPTGFVRISVKEHAVIESKPDHFLDDLRLNNPWPELKRFAETIDLNVTDPVAHKHTPYVVILVKIAEEWTKAHGGALPSTRDEKKEFKELLKAGMVAMDEDNYKEAIEASFKVFAPRGINSGLLQIIHDSCSEVESNSSDFWVMVAALKEFIANEGGEEAPLEGSIPDMTSSTELYVNLQKIYQAKAEADFLAIQQRVKSILKRMGRDPDSISKEMIKSFCKNARKLKICRYRPIEDEFNNPAVTELQKYLTDEEYSVAMGFYILLRSVDRFAANYNSFPGQFEGEMDGDISRLKTTVVGLLSDLGCNGATVTEDLINEMCRFGASELHAVAAFIGGIASQEVIKLITKQFVPMVGTFIFNGIDQRSQLLAL >Potri.013G035200.2.v4.1 pep chromosome:Pop_tri_v4:13:2289106:2296601:-1 gene:Potri.013G035200.v4.1 transcript:Potri.013G035200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035200.v4.1 MENSCEIKKDVTELIGNTPMVYLNKVVDGCVARIAAKLEMVGPTSSVKDRIAYSMIKDAEDKGLITPGKTILIEPTSGNTGIGLAAIAAMKGYKLILTMPASMSLERRIVLRALGAEVCLTDPAKAFEGGLKKAEEILRNTPNGYMLQQFENPANPKIHYETTGPEIWKDSGGEIDILVAGIGTGGTVTGAGRFLKEKKAAIKVYGIEPVESAVLSGGKHGPHLIQGIGAGIIPSVLDVDMLDEVFQVSSEEAIETTKLLALKEGLLVGISSGAAAAAAIKVAKRPENAGKLVVVIFPSFGERYLSSKLFDSIRQEVENMTSN >Potri.002G067300.1.v4.1 pep chromosome:Pop_tri_v4:2:4644909:4647154:-1 gene:Potri.002G067300.v4.1 transcript:Potri.002G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067300.v4.1 MMWAKFRASKNRKDGKEFSDACKSLNVNEEYLSALRTQSFADFFTKAQSLVNGPSFPTHCHRKFSEILLEPGQESIPVILESALLSKVPELKGLMLNYFDVSAEASNICSHLLKNINQIQSSYVFIQRVLNSIDDCSPEKVKLIVSELNSFIIQSNPFSTPNKHDFKLINDRYLLVLNRLKSKRNKVARKMKLIACIHKATGICIAAACSLIAITTIVLAAHTLTALVMGPAIFSLPIKHFKKQLTSFKFLRSGFLRKVGQQLDVAAKGTYILNRDFDTISSLVSRLHDEVEHDKAMIQFCLERIEDKFSLQVIKELKKSDIGFRKQVEELEEHLYLCLLTINRARALVIEEITASSSEHLK >Potri.003G133200.1.v4.1 pep chromosome:Pop_tri_v4:3:15110591:15111658:-1 gene:Potri.003G133200.v4.1 transcript:Potri.003G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133200.v4.1 MIFFLKSSSRSVHDQEHHHEPVAEAEVETRINDGTCTSLTVWRKSLLISCNGFTVINSCGDLVYRVDNYIDRPDELVLMDGSGKSILTMRRRKKLGVLVHNWFVYEGEVGNYCATNKLSKKPIWCVRKNINILQTSHNVLAYVFRGSTDKRHSFVIEGSYTRRSCKVIGGSRKVLAEIKRKEAMVEGISYGVEVFVLNVEPGFDPGFAMGLLLILDQMFP >Potri.001G250900.1.v4.1 pep chromosome:Pop_tri_v4:1:26660730:26664223:-1 gene:Potri.001G250900.v4.1 transcript:Potri.001G250900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250900.v4.1 MQSLNFPTPIYNNNIPTPQKANHFLFRNRRDQTPRFPTLLLAAEHDLALKDEENKGGAVVPLPEGLRREVMPRHVAVIMDGNAKWARQRGFSAASAGHEAGGRSLRELVKSCCEWGIRVLTVFAFSYDNWTRPKMEVVFLLSLFERMLQSELDNFMRKGIRVSTIGDSSRLPESLKKLISDIEEKTRDNCRLHLILAVSYSGKYDVTQACKSIAHKVKDGIVQLEDIDESLLEQELETNCAQYPCPDLLIRTSGELRISNFLLWQLAYTELFFAEALWPDFGKAEFIEALTSYQQRQRRYGGRHS >Potri.001G250900.2.v4.1 pep chromosome:Pop_tri_v4:1:26660739:26664225:-1 gene:Potri.001G250900.v4.1 transcript:Potri.001G250900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250900.v4.1 MPRHVAVIMDGNAKWARQRGFSAASAGHEAGGRSLRELVKSCCEWGIRVLTVFAFSYDNWTRPKMEVVFLLSLFERMLQSELDNFMRKGIRVSTIGDSSRLPESLKKLISDIEEKTRDNCRLHLILAVSYSGKYDVTQACKSIAHKVKDGIVQLEDIDESLLEQELETNCAQYPCPDLLIRTSGELRISNFLLWQLAYTELFFAEALWPDFGKAEFIEALTSYQQRQRRYGGRHS >Potri.006G226200.1.v4.1 pep chromosome:Pop_tri_v4:6:23062875:23064279:1 gene:Potri.006G226200.v4.1 transcript:Potri.006G226200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226200.v4.1 MAGALAMIKSLCGARFRRSRSKASESPQQRGESNSDVSTSPSLASPSPPPQAAAMETRTAPPHTQAGGAAESHDIKENDAQEIKELPLPPAMQLTAETTSASNCMKKSASTRSLSSMSMKAISMKLPRSMSLVRKDGDDKKNQKKKGKPKHEDSVWMKTIILGEKCKVPDEDEAVIYDGKGKRISTYHKKASCSRQNSQIDLNAIPSQDREKGVSREEGEEFS >Potri.011G073466.1.v4.1 pep chromosome:Pop_tri_v4:11:7568490:7586170:1 gene:Potri.011G073466.v4.1 transcript:Potri.011G073466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073466.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGNTVNKDGQLSLNFDDRCQQDGVVETEPRSAGNSTIGCDCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKNLSFISLTANRLSGNIPRHLGRFTALTYLSLESNQFSGVVPPELGKLVNLKILILSGNKLVGTLPEELAQIKNLKDFRVSDNNLNGIVPEFIGSWTQLQKLVLYATGLQGPIPLSIFRLQKLSDLRIADMPGPEFQLPDVTIRRDTLVLRNINLNGTIPENAWKVETTLDLTFNKLVGEIPPNTIRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNKFSRSPRCSSSIGNNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGPDVKNGKILYKGDQDGGSNAAATSYNRPGSNWGFSSTGDFMDDGDFYDNKYTLQPNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTDEKLYNKVARRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTIPFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELQGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSFQTLDWPTRNKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPQNENVCLLDWAHVLQKKENLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSTQDLFPRSDKSCIGNSSTSAHDLYPINPESISLNLSETSSLI >Potri.011G073466.2.v4.1 pep chromosome:Pop_tri_v4:11:7568707:7586099:1 gene:Potri.011G073466.v4.1 transcript:Potri.011G073466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073466.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGNTVNKDGQLSLNFDDRCQQDGVVETEPRSAGNSTIGCDCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKNLSFISLTANRLSGNIPRHLGRFTALTYLSLESNQFSGVVPPELGKLVNLKILILSGNKLVGTLPEELAQIKNLKDFRVSDNNLNGIVPEFIGSWTQLQKLVLYATGLQGPIPLSIFRLQKLSDLRIADMPGPEFQLPDVTIRRDTLVLRNINLNGTIPENAWKVETTLDLTFNKLVGEIPPNTIRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNKFSRSPRCSSSIGNNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGPDVKNGKILYKGDQDGGSNAAATSYNRPGSNWGFSSTGDFMDDGDFYDNKYTLQPNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTDEKLYNKVARRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTIPFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELQGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGSETSFQTLDWPTRNKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPQNENVCLLDWAHVLQKKENLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSTQDLFPRSDKSCIGNSSTSAHDLYPINPESISLNLSETSSLI >Potri.011G073466.3.v4.1 pep chromosome:Pop_tri_v4:11:7568707:7586079:1 gene:Potri.011G073466.v4.1 transcript:Potri.011G073466.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073466.v4.1 MLMMFQLCQVMVILISFSSSITLLASDQLHPGEVEALRQIGNTVNKDGQLSLNFDDRCQQDGVVETEPRSAGNSTIGCDCSITDDNYCHITSFQLKDYSLPGRLPPELANLTYVQKIDFTRNYLYGTIPVEWASMKNLSFISLTANRLSGNIPRHLGRSLESNQFSGVVPPELGKLVNLKILILSGNKLVGTLPEELAQIKNLKDFRVSDNNLNGIVPEFIGSWTQLQKLVLYATGLQGPIPLSIFRLQKLSDLRIADMPGPEFQLPDVTIRRDTLVLRNINLNGTIPENAWKVETTLDLTFNKLVGEIPPNTIRRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNKFSRSPRCSSSIGNNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGPDVKNGKILYKGDQDGGSNAAATSYNRPGSNWGFSSTGDFMDDGDFYDNKYTLQPNSNISLVDFGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTDEKLYNKVARRVFDIYIQGIQVRKDFNFTEEAKGSNRNFTIPFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCSGYRTYCEEPEETSKKPIVIGVVTSAVFLIFLVMGVIYWKLCYGDKYTRERELQGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSFQTLDWPTRNKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPQNENVCLLDWAHVLQKKENLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSTQDLFPRSDKSCIGNSSTSAHDLYPINPESISLNLSETSSLI >Potri.013G105400.1.v4.1 pep chromosome:Pop_tri_v4:13:11449246:11455779:-1 gene:Potri.013G105400.v4.1 transcript:Potri.013G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105400.v4.1 MDGPPGNDCCAICHGHFNIACQANCSHWFCGDCIMLVWHHGSVLQPCKCPLCRRQITLLVPGEASLRERNDPHVAEVLGKIERYNHLFGGNTSSLVQRMQDLPFLLRRLLREIMDPQRSLPVVIKARVYIAMVLSAVYIISPVDIIPEGILGIVGLLDDLLIVLICFLHVAAIYRSVLYYRHGGS >Potri.013G105400.3.v4.1 pep chromosome:Pop_tri_v4:13:11451325:11455393:-1 gene:Potri.013G105400.v4.1 transcript:Potri.013G105400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105400.v4.1 MDGPPGNDCCAICHGHFNIACQANCSHWFCGDCIMLVWHHGSVLQPCKCPLCRRQITLLVPGEASLRERNDPHVAEVLGKIERYNHLFGGNTSSLVQRMQDLPFLLRRLLREIMDPQRSLPVVIKARVYIAVK >Potri.003G003400.1.v4.1 pep chromosome:Pop_tri_v4:3:495783:504769:-1 gene:Potri.003G003400.v4.1 transcript:Potri.003G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003400.v4.1 MFERKISGNGFDEEQGEPSNYPVDKVDAGKPAPLTWQRKLDGDETVLSQFTLSLQEKLLMAPIGIRLWRHIREENARKRGGFYMDPFAKRNVTSCLGIPVGGIGSGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSIFVSRSNGKKYSSVLCSRSPDVLEEAAGSGIESWDWNLKGNNSTYHALYPRAWTVYEGEPDPELRVVCRQISPIIPHNYKESSFPASVFTFKLYNSGKTSADVTLLFTWANSVGGVSEFSGQHLNSTKMMEDGVHCALLNHKTANGLPSVSFAIAAQETPVVHVSKCPCFVISGNSQGVTAKEMWNEVKEHGSFDNLNSPGKLVPSEPGSSIGAAVAATSTVPPDGVCTVTFSLAWDCPDVKFGSGRTYHRRYTKFYGTHGDAAANIAHDAILEHGLWESEIEAWQRPILEDKRLPEWYAGTLFNELYYLNSGGTVWTDGSPPFRSLATIEGSKFSLDRAGSNLGHQGDTAVDILGRMTSALEEIHTPLTTNSAFGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFAFIMLFPKLQLSIQRDFAAAVMMHDPSMMPLLHDGQRVPRKVIGAVPHDIGIHDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVIATGDKKFARAVWPSVYVAMAYMDQFDRDGDGMIENDGFPDQTYDTWSLSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWCRFQKAKIVYGKLWNGSYFNYDNSGGINSSSIQADQLAGQWYARACGLSPIVDEDKARCALEKIYNYNVLKVQDGKRGAVNGMLPDGTVDLSCLQSREIWSGVTYAVAATMIQEGLTDMAFHTASGVYEAVWAEQGLGYSFQTPEGWNTTDQYRSLCYMRPLAIWAMQWALSRPELHRQEMKLQAGEDSVPVHHAGFAKVARFLKLPHAESSKSHFQSLFEYATTKFGYS >Potri.003G003400.7.v4.1 pep chromosome:Pop_tri_v4:3:495770:502397:-1 gene:Potri.003G003400.v4.1 transcript:Potri.003G003400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003400.v4.1 MDPFAKRNVTSCLGIPVGGIGSGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSIFVSRSNGKKYSSVLCSRSPDVLEEAAGSGIESWDWNLKGNNSTYHALYPRAWTVYEGEPDPELRVVCRQISPIIPHNYKESSFPASVFTFKLYNSGKTSADVTLLFTWANSVGGVSEFSGQHLNSTKMMEDGVHCALLNHKTANGLPSVSFAIAAQETPVVHVSKCPCFVISGNSQGVTAKEMWNEVKEHGSFDNLNSPGKLVPSEPGSSIGAAVAATSTVPPDGVCTVTFSLAWDCPDVKFGSGRTYHRRYTKFYGTHGDAAANIAHDAILEHGLWESEIEAWQRPILEDKRLPEWYAGTLFNELYYLNSGGTVWTDGSPPFRSLATIEGSKFSLDRAGSNLGHQGDTAVDILGRMTSALEEIHTPLTTNSAFGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFAFIMLFPKLQLSIQRDFAAAVMMHDPSMMPLLHDGQRVPRKVIGAVPHDIGIHDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVIATGDKKFARAVWPSVYVAMAYMDQFDRDGDGMIENDGFPDQTYDTWSLSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWCRFQKAKIVYGKLWNGSYFNYDNSGGINSSSIQADQLAGQWYARACGLSPIVDEDKARCALEKIYNYNVLKVQDGKRGAVNGMLPDGTVDLSCLQSREIWSGVTYAVAATMIQEGLTDMAFHTASGVYEAVWAEQGLGYSFQTPEGWNTTDQYRSLCYMRPLAIWAMQWALSRPELHRQEMKLQAGEDSVPVHHAGFAKVARFLKLPHAESSKSHFQSLFEYATTKFGYS >Potri.003G003400.5.v4.1 pep chromosome:Pop_tri_v4:3:495731:504370:-1 gene:Potri.003G003400.v4.1 transcript:Potri.003G003400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003400.v4.1 MFERKISGNGFDEEQGEPSNYPVDKVDAGKPAPLTWQRKLDGDETVLSQFTLSLQEKLLMAPIGIRLWRHIREENARKRGGFYMDPFAKRNVTSCLGIPVGGIGSGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSIFVSRSNGKKYSSVLCSRSPDVLEEAAGSGIESWDWNLKGNNSTYHALYPRAWTVYEGEPDPELRVVCRQISPIIPHNYKESSFPASVFTFKLYNSGKTSADVTLLFTWANSVGGVSEFSGQHLNSTKMMEDGVHCALLNHKTANGLPSVSFAIAAQETPVVHVSKCPCFVISGNSQGVTAKEMWNEVKEHGSFDNLNSPGKLVPSEPGSSIGAAVAATSTVPPDGVCTVTFSLAWDCPDVKFGSGRTYHRRYTKFYGTHGDAAANIAHDAILEHGLWESEIEAWQRPILEDKRLPEWYAGTLFNELYYLNSGGTVWTDGSPPFRSLATIEGSKFSLDRAGSNLGHQGDTAVDILGRMTSALEEIHTPLTTNSAFGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFAFIMLFPKLQLSIQRDFAAAVMMHDPSMMPLLHDGQRVPRKVIGAVPHDIGIHDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVIATGDKKFARAVWPSVYVAMAYMDQFDRDGDGMIENDGFPDQTYDTWSLSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWCRFQKAKIVYGKLWNGSYFNYDNSGGINSSSIQADQLAGQWYARACGLSPIVDEDKARCALEKIYNYNVLKVQDGKRGAVNGMLPDGTVDLSCLQSREIWSGVTYAVAATMIQEGLTDMAFHTASGVYEAVWAEQGLGYSFQTPEGWNTTDQYRSLCYMRPLAIWAMQWALSRPELHRQEMKLQAGEDSVPVHHAGFAKVARFLKLPHAESSKSHFQSLFEYATTKFGYS >Potri.003G003400.4.v4.1 pep chromosome:Pop_tri_v4:3:495756:504609:-1 gene:Potri.003G003400.v4.1 transcript:Potri.003G003400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003400.v4.1 MVRRDTRISTSLQALYSLQFQSSNQTVYTSDPTSLQSPGKMFERKISGNGFDEEQGEPSNYPVDKVDAGKPAPLTWQRKLDGDETVLSQFTLSLQEKLLMAPIGIRLWRHIREENARKRGGFYMDPFAKRNVTSCLGIPVGGIGSGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSIFVSRSNGKKYSSVLCSRSPDVLEEAAGSGIESWDWNLKGNNSTYHALYPRAWTVYEGEPDPELRVVCRQISPIIPHNYKESSFPASVFTFKLYNSGKTSADVTLLFTWANSVGGVSEFSGQHLNSTKMMEDGVHCALLNHKTANGLPSVSFAIAAQETPVVHVSKCPCFVISGNSQGVTAKEMWNEVKEHGSFDNLNSPGKLVPSEPGSSIGAAVAATSTVPPDGVCTVTFSLAWDCPDVKFGSGRTYHRRYTKFYGTHGDAAANIAHDAILEHGLWESEIEAWQRPILEDKRLPEWYAGTLFNELYYLNSGGTVWTDGSPPFRSLATIEGSKFSLDRAGSNLGHQGDTAVDILGRMTSALEEIHTPLTTNSAFGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFAFIMLFPKLQLSIQRDFAAAVMMHDPSMMPLLHDGQRVPRKVIGAVPHDIGIHDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVIATGDKKFARAVWPSVYVAMAYMDQFDRDGDGMIENDGFPDQTYDTWSLSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWCRFQKAKIVYGKLWNGSYFNYDNSGGINSSSIQADQLAGQWYARACGLSPIVDEDKARCALEKIYNYNVLKVQDGKRGAVNGMLPDGTVDLSCLQSREIWSGVTYAVAATMIQEGLTDMAFHTASGVYEAVWAEQGLGYSFQTPEGWNTTDQYRSLCYMRPLAIWAMQWALSRPELHRQEMKLQAGEDSVPVHHAGFAKVARFLKLPHAESSKSHFQSLFEYATTKFGYS >Potri.003G003400.6.v4.1 pep chromosome:Pop_tri_v4:3:495725:503938:-1 gene:Potri.003G003400.v4.1 transcript:Potri.003G003400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003400.v4.1 MFERKISGNGFDEEQGEPSNYPVDKVDAGKPAPLTWQRKLDGDETVLSQFTLSLQEKLLMAPIGIRLWRHIREENARKRGGFYMDPFAKRNVTSCLGIPVGGIGSGSIGRSYKGEFQRWQLFPRICEEKPVLANQFSIFVSRSNGKKYSSVLCSRSPDVLEEAAGSGIESWDWNLKGNNSTYHALYPRAWTVYEGEPDPELRVVCRQISPIIPHNYKESSFPASVFTFKLYNSGKTSADVTLLFTWANSVGGVSEFSGQHLNSTKMMEDGVHCALLNHKTANGLPSVSFAIAAQETPVVHVSKCPCFVISGNSQGVTAKEMWNEVKEHGSFDNLNSPGKLVPSEPGSSIGAAVAATSTVPPDGVCTVTFSLAWDCPDVKFGSGRTYHRRYTKFYGTHGDAAANIAHDAILEHGLWESEIEAWQRPILEDKRLPEWYAGTLFNELYYLNSGGTVWTDGSPPFRSLATIEGSKFSLDRAGSNLGHQGDTAVDILGRMTSALEEIHTPLTTNSAFGTNLLQEGEENIGQFLYLEGIEYHMWNTYDVHFYASFAFIMLFPKLQLSIQRDFAAAVMMHDPSMMPLLHDGQRVPRKVIGAVPHDIGIHDPWFEVNAYNLHNTDRWKDLNPKFVLQVYRDVIATGDKKFARAVWPSVYVAMAYMDQFDRDGDGMIENDGFPDQTYDTWSLSGVSAYCGGLWVAALQAASALAWEVGDKDSAEYFWCRFQKAKIVYGKLWNGSYFNYDNSGGINSSSIQADQLAGQWYARACGLSPIVDEDKARCALEKIYNYNVLKVQDGKRGAVNGMLPDGTVDLSCLQSREIWSGVTYAVAATMIQEGLTDMAFHTASGVYEAVWAEQGLGYSFQTPEGWNTTDQYRSLCYMRPLAIWAMQWALSRPELHRQEMKLQAGEDSVPVHHAGFAKVARFLKLPHAESSKSHFQSLFEYATTKFGYS >Potri.008G214000.1.v4.1 pep chromosome:Pop_tri_v4:8:17169555:17170637:-1 gene:Potri.008G214000.v4.1 transcript:Potri.008G214000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G214000.v4.1 MDSAGELCRDAIYEVLTRSSMETVGKCRLLSKEYNKLTYESLFTKLHSQRTAIVSGFLIQSMIKNEYQVFFVSTNGLKNHPQISFDFLPEHVKIVSSTNQGVLLCHAHNKSCYYVCISSIQQWQKVPNPKARYDTIESGLMVERLKPLRYKIVRFSEPKFRSHREFYMYHCIRVELFESATWKWKLLDEVKLPHEESLHRMTKVSVNGSLHWLTWKRNVFAFDVKKESHCLFPLPLPASEGNDVRLTEYKGKLAMTCIDRARNFMKVWIMKDHDRKQWSKRHSINIGVLTRKNPHVSPLAFCNADVVLMGEYFPDVIFFNFKTGHIDMLRLRKGLLHGCFPFQLTFATKEKAQLVHCLKA >Potri.011G061700.2.v4.1 pep chromosome:Pop_tri_v4:11:5095428:5096367:1 gene:Potri.011G061700.v4.1 transcript:Potri.011G061700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G061700.v4.1 MVLSSQIHELPFNENDSQDMVIYQMINEASAPNSSTYNILPRSQINTSGMLQPARTVIAKKHYRGVRRRPWGKYAAEIRDSKRHGARIWLGTFETAEAAALAYDRAAFNMRGSKALLNFPAEVVAAISTQNCQPIFSSTRTSSKKAMDSCGSSSTITIATSQSESESSTSGESRRQGSDILEN >Potri.013G106000.1.v4.1 pep chromosome:Pop_tri_v4:13:11498879:11502513:-1 gene:Potri.013G106000.v4.1 transcript:Potri.013G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G106000.v4.1 MAEETQKAATPEAQPSATTTEEVVVAEEKPAVIEKETPAPVPEPEPVAPEKPAVVEDAVAVVEGAVEEEKPKEETTGEVKITQSVSFKEETNVVGELPEAQKKALDDLKQLIQEALNKHEFTTPPSPPAAAAKEEEKPAETEKVEVKEAEKTEAPSTSEEPKTEEEPKATEASTPPPPPPAVEEKVEVKEEKVEEKVEVKEEEKKAEPSAAAETVVVSATEVEKVAAVDEDGAKTVEAIEETIVSVSAPATEEAAPAAEPEAAPAEGEPKKEEEATPDVPPPTPEEVFIWGIPLLGDERSDVILLKFLRARDFKVKDAFTMIKNTVKWRKEFGIDALLEEDLGTELEKVVFTHGVDKEGHPVCYNAYGAFQDKELYQNCFADEEKRAKFLKWRIQFLEKSIRKLDFSPSGICTIVQVSDLKNSPGPAKTGLRQATNQALSLLQDNYPEFVAKNVFINVPWWYLTFSKMISPFLTQRTKSKFVFAGPSKSAETLFKYIAPEDVPVQYGGLSRDGEFTVADSVTDVTIKPTSKHTVEFPVSEACILAWELRVLGWDVSYEAEFMPSAEDGYTVIVSKTRKVTSTDEPVISDTFKIGEPGKVVLTIDNQTSKKKKLLYRSKTKPISE >Potri.004G089800.3.v4.1 pep chromosome:Pop_tri_v4:4:7661917:7664390:-1 gene:Potri.004G089800.v4.1 transcript:Potri.004G089800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G089800.v4.1 MKRDHQETIGGGIGNRAESSSSSMETGKGKSWVEDDQDAGGMDELLAVLGYKIKSSDMADVAQKLEQLEMVLGSEDGISHLASDTVHYNPSDLSGWVQSMLSEFNNLPSTDLDSSILLSNNRDSLLGQPSTITPLDFPSNSQSKVFADDSEYDLRAIPGVAAYPQQELDKSNDRKRMKLTPIGSNIAPAPSVNSLQSPTASSTSSSSPQAMAVSGTLSEPTRPVVLVDSQETGVRLVHTLLACAEAIQQENLKLADALVKHIGLLAASQTGAMRKVATYFAEALARRIYKIFPQDYCLDSSCSDTLEMHFYETCPYLKFAHFTANQAILEAFANASRVHVIDFGLKQGMQWPALMQALALRPGGPPAFRLTGIGPPQPDNTDALQQVGWKLAQLAQTIGVEFEFRGFVANSLADLDAEMLGLLPPEVEAVAVNSVFELHRLLGRPGGIDKVLESIKAMRPKIVTIVEQEANHNGPVFLDRFTEALHYYSSLFDSLEGSGLTPPSQDLVMSELYLGRHICNVVACEGADRVERHETLAQWRTRFDSAGFDPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWQLAAGDSQQ >Potri.018G071700.2.v4.1 pep chromosome:Pop_tri_v4:18:8783336:8784870:1 gene:Potri.018G071700.v4.1 transcript:Potri.018G071700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G071700.v4.1 MSSIRVDSSKQLATSSLVVGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSALGVWVLGKLGLLYHDSFSYETAKKFLPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTLFRKQPIPSKLTFVSLFVILGGAVGYVATDSAFTLTAYSWALAYLVTITSEMVYIKHIVSNIGLNTWGLVFYNNLLSLMMAPLFWILTGEYSEVFASWGSKTGNWFEFDAFFAVSLSCIFGFLISFFGFAARKAISATAFTVTGVVNKFLTVVINVFIWDKHASPVGLFCLVFTLAGGVLYQQSVTGAGSAPLQRETVSNQTGDENDGDEESQLIKKTDGDEEN >Potri.018G071700.1.v4.1 pep chromosome:Pop_tri_v4:18:8783285:8784855:1 gene:Potri.018G071700.v4.1 transcript:Potri.018G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G071700.v4.1 MSSIRVDSSKQLATSSLVVGYALCSSLLAVINKFAITKFNYPGLLTALQYLTSALGVWVLGKLGLLYHDSFSYETAKKFLPAALVFYLAIFTNTNLLRHANVDTFIVFRSLTPLLVAIADTLFRKQPIPSKLTFVSLFVILGGAVGYVATDSAFTLTAYSWALAYLVTITSEMVYIKHIVSNIGLNTWGLVFYNNLLSLMMAPLFWILTGEYSEVFASWGSKTGNWFEFDAFFAVSLSCIFGFLISFFGFAARKAISATAFTVTGVVNKFLTVVINVFIWDKHASPVGLFCLVFTLAGGVLYQQSVTGAGSAPLQRETVSNQTGDENDGDEESQLIKKTDGDEEN >Potri.010G161600.4.v4.1 pep chromosome:Pop_tri_v4:10:16693523:16697113:1 gene:Potri.010G161600.v4.1 transcript:Potri.010G161600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161600.v4.1 MVQGASLTCLAASVNGGVYGLIIPQWRRHSNLLRKTHAVSGFDSQCPLRHCLQGLHFMGNPNTLKRRNLRVEAGWLFNKGGEQELDASSERSESANEDILIFFFQLDLGTRVQYALNVEQYDIAQQLRNKLTEVEGEVIRQQEAKRGSSSKSEAQDKAISIIRLRADLQNAIENENYAVAAELRDQISELEAESLAASAKALVYENAQYAFRLGQKVKHKTFGYQAVVCGMDPICCESSSWMETAQVEKLARGSSQPFYQVLVDVHEDPNLLVAYVPEENLVAPEKPDMGRFDHPYTSFLFYGMDAAGDFIPIKQLREKYNRPRHEVPMDPPDDDSGGGADA >Potri.001G114600.1.v4.1 pep chromosome:Pop_tri_v4:1:9282829:9286735:-1 gene:Potri.001G114600.v4.1 transcript:Potri.001G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114600.v4.1 MTYYEDDSVVLDVRMRMEGDKIDDNSKGDYVNLRDENGAGERCNHFGCCWGLGGSVFWYWVKLAVTFTFFGLLAAACVEWVGPFLMDKEIIPIINWETTTFSTPVLVVLLFASVALLPTLLLPSSPSMWVAGMTFGYGFGFLLIITAAAVGVSLPYFIGSLFLHKIRGWFDKYPKRAAILRAAGEGNWFHQFRAVALIRISPFPYILYNYCAVATNVKYGPYFLGSLAGMVPEIFVAMYTGIVIRTLADASNDRHALSAQQIVFTVFGFCATVVATIIITVYAKRQLKVMQDEPLLA >Potri.003G180300.1.v4.1 pep chromosome:Pop_tri_v4:3:18610021:18615795:1 gene:Potri.003G180300.v4.1 transcript:Potri.003G180300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180300.v4.1 MRRSASKKTGQSNSTTASITSSATDLFRSSSSKASSKEMERIDNLFYSYANRSSGMIDPEGIETLCSDMEVDHTDVRILMLAWKMRAEKQGYFTLEEWRQGLKSLRADTLNKLKKALPDLEKEVKRPSNFVDFYNYAFRYCLTEEKQKSIDIESICQLLDLVLGSHFQAQVDYFIEYLKIQSDYKVINMDQWMGFYRFCNEISFPDFSNYDPELAWPLILDNFVEWMRAKRT >Potri.016G133800.1.v4.1 pep chromosome:Pop_tri_v4:16:13793365:13795426:1 gene:Potri.016G133800.v4.1 transcript:Potri.016G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G133800.v4.1 MPSLIQAKVTKSSSGSAMATPPPPPSSLLTQLNAFMEKSQVGKRFKLAERKTTFTTEVRAGTATFLTMAYILAVNASILADSGGTCSVLDCITVCSNPTIPLANCTAPTHQIIKPDESCKFDPVNRGYADCLQKTRKDLIVATVASSLIGCLIMGLLANLPLALAPGMGTNAYFAYTVVGFHGSGNVPYKSALTAIFIEGLVFLFISSIGLRAKLAKLVPQPVRISSSAGIGLFLAFIGLQNNQGIGLVGYSSSTLVTIGACPRSSRAMLAPVVMMANGTVSLIQNGTISSDIMCLNGRMESPTFWLGILGFVIIAYCLVKNVKGAMIYGIVIVTVISWFRNTAVTAFPYTETGNSSYEYFKKVVDVHVIEKTAGALSFEGMSKGSFWEALVTFLYVDILDTTGTLYSMAKFAGFTDVNGDFEGQYFAFMSDAASIVVGSLLGTSPVTTFVESSTGIREGGRTGLTALIVAGYFFMAFFFTPLLASIPAWAVGPPLILVGVLMMRSVVEIKWDDMRQAIPAFITMIMMPLTYSIAYGLIGGIATYIILHLWDWGEAFLGKTGLVKGMKGDHMLNEETNGNGHDGKVLEAV >Potri.001G148100.1.v4.1 pep chromosome:Pop_tri_v4:1:12215329:12228471:1 gene:Potri.001G148100.v4.1 transcript:Potri.001G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148100.v4.1 MDAQDNSLYETASQPDTATDAYTFLEFNTQGESDFDYPEFRSPVTWPTPSDSLAATSSSVDPTSSDHRAAASNSDHHSDSPAASKSAARGGANSGTQGVVEGLVASMGGLNFEETGDDDGYDFGKGDFTEHACRYCGVSNPACVVRCNVPSCRKWFCNSRGNTSGSHIVNHLVRAKHKEVCLHKDSPLGETILECYNCGCRNVFLLGFISAKTESVVVLLCREPCLNVNALKDMNWDLSQWCPLIDDRCFLQWLVKIPSEQEQLRARQISAQQINKVEELWKTNPDATLEDLEKPGVDDEPQPVALKYEDAYQYQNVFAPLIKLEADYDKMMKESQSKDNVTIRWDIGLNKKRVAYFVFPKEDNELRLVPGDELRLRYSGDAAHPAWQSVGHVIKLTAQEEVALELRASQGVPVDMNHGFSVDFVWKSTSFDRMQGAMKTFAVDETSVSGYIYHHLLGHEVENQTVRSALPRRFGAPGLPELNASQVLAVKNVLQKPISLIQGPPGTGKTVTSAAIVYHMAKQGQGQVLVCAPSNVAVDQLAEKISATGLKVVRLCAKSREAVSSPVEHLTLHYQVRHLDTSEKSELHKLQQLKDEQGELSSSDEKKYKALKRATEREISQSADVICCTCVGAGDPRLANFRFRQVLIDESTQATEPECLIPLVLGAKQVVFVGDHCQLGPVIMCKKAARAGLAQSLFERLVLLGVKPIRLQVQYRMHPSLSEFPSNSFYEGTLQNGVTVNERQSSGIDFPWPVPNRPMFFYVQMGQEEISASGTSYLNRTEAANVEKIVTTFLRSGVVPSQIGVITPYEGQRAYIVNYMSRNGALRQQLYKEIEVASVDSFQGREKDYIILSCVRSNEHQGIGFLNDPRRLNVALTRARYGIVILGNPKVLSKQPLWNSLLTHYKEHECLVEGPLNNLKQSMVQFQKPKKIYNDRRLFFGGGPGIIPNDNFGSVASASPNADRRNSRARGSYMPPAPPNGTHKPGAHPAGFPMPRVPIPPFHGDPPSQPYAIPTRGAVHGPIGAVPQVPQPGIRGFGAGRGNAGAPIGSHLPHQQGTQQGIGNIGSFNFSSLENPNSQPSVGSALSQPGYNNIPVQGSSQTFRDGFSMGGMSQEFLGDDFKSQGSHVPYNVADFSTQASQSGYAVDYVTQGAQGGFPGNFLNQNSQAGFSRFGSGNDFMSQDYMAHGSQGLFTQVGFNDPSQDDASQSHFGIANPNQLQSQGLMNSLYSQPFAHYNTQPVNLQAPQQQSQQGQGTQNQKIHYNG >Potri.001G342933.1.v4.1 pep chromosome:Pop_tri_v4:1:35377285:35379513:-1 gene:Potri.001G342933.v4.1 transcript:Potri.001G342933.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342933.v4.1 MEHTYQYLWIISFVTLPVPMLIGMGLLLFPVSTKKLHRIWAFPSVLLLSIVMVFSIDLFIQQINSSSIYQYVWSWTINNDFSLEFGYLIDPLTSILLILITTVGILVLVYSDSYMSHDQGYLRFFVYMSFFNTSMLGLVTSSNLIQIYIFWELVGMCSYLLIGFWFTRPIVSNACQKAFVTNRVGDFGLLLGILGLYWITGSFEFQDLFEIFNNLIYNNDNEVHFLFVTLCAFLLFSGAIAKSAQFPLHVWLPDAMEGPTPISALIHAATMVAAGIFLVARLLPLFVVIPYIMKLIALIGIITVLLGATLAFAQKDIKRGLAYSTMSQLGYTMLALGMGSYRAALFHLITHAYSKALLFLGSGSIIHSMEVIVGYSPDKSQNMVLMGGLTKHVPITKIAFLLGTLSLCGIPPLACFWSKDEILNDSWSYSPIFAIIAFSTAGLTAFYMFRVYLLTFEGHLNIYFQNYSGKKNSAFYSISLWGKQGSKILKKKMRLLPLLTINNKNNNERASFFCFFWKKIYQTGGTVRKMTCPFITINHFGTKRIFSYPQESDNTILFPMLVLVLFTLFIGAIGIPFNQFNQEEMNFDILSKLLIPSLSLLHQNQNKSVDWYEFVTNSTFSVSIASFGIFIASSLYKPIYSSLQNLKFLNLVAKKGPKRILRDKIINVIYDWSYNRGYIDVFYAISLTEGIRRLAELTSFFDRRVIDGITNGVGFTSFFIREGIKYIRGGRISFIYIYIYIYI >Potri.008G197400.7.v4.1 pep chromosome:Pop_tri_v4:8:13970668:13975731:1 gene:Potri.008G197400.v4.1 transcript:Potri.008G197400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197400.v4.1 MLRRYLLAVVVLINVLELARASLCTDGGKPHRILLDTDVDTDDFFALLYLLKLNRSEFELEAVTINTNAWTDAGHAANQIYDILYMMGRDDLSVGMGGEGGIKEDGHILPDVGGYLPIVEQGNATAGGCRYRQAIPVGLGGRLDIDSNYGIRKAFLPQGSRKYSPLQQPTAQQVLIEKVSAGPITIFIIGAHTNIGIFLMKNPHLKKNIQHIYVMGGGVRSKNPTGCCPNNASSSCQPRQCGNPGNLFTDYTSNPYGEFNIFGDPFAAYQVFHSGIPVTLVPLDATNTIPINENFFEAFEQNQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSIMRTLHNQNGENEFAEMEYMNITVVTSNEPYGINDGSNPFFNDRKVPKFNLVKGGVHSGHVQTGLRDPFCIVQNGKGRCKDGYTEEVTSSDAVRVLVATRAKPNPDSNSILDRAYFKSFLDVLNHPHQTGRFNFTTQFPHYKKVFYKPDFGTKRLGKPVVFDMDMSAGDFLALFYLLKVPVERINLKAIIVTPVGWANAATIDIVYDLLHMMGRDDIPVGLGEVFAMNQSDPVFSAVGDCKYLKAIPHGSGGLLDSDTLYGLARDLPRSPRRYTAENSVKYGAPRDTDHPELRQPLALEIWDSIVRTLDPGSKITILTNGPLTSLAKIIQNENNTSSVIQDVYVVGGHISHSDTDKGNVLTIDSNEYTELNMFLDPLAAKTVFESSLDITLIPLGVQRRVSSFPKILRSLRSKTKRTPEELFVRRLLSRLYRLKETHHRYHHMDTFLGEILGAVVLAGDHSKLEPIWLAKPITILAEGDESKDGQVVIDEKQGKFVKILESVEPEAHYDLFAKQLTVKKQSAVVGSFGEQRRIWGAPPN >Potri.008G197400.2.v4.1 pep chromosome:Pop_tri_v4:8:13969558:13975779:1 gene:Potri.008G197400.v4.1 transcript:Potri.008G197400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197400.v4.1 MLRRYLLAVVVLINVLELARASLCTDGGKPHRILLDTDVDTDDFFALLYLLKLNRSEFELEAVTINTNAWTDAGHAANQIYDILYMMGRDDLSVGMGGEGGIKEDGHILPDVGGYLPIVEQGNATAGGCRYRQAIPVGLGGRLDIDSNYGIRKAFLPQGSRKYSPLQQPTAQQVLIEKVSAGPITIFIIGAHTNIGIFLMKNPHLKKNIQHIYVMGGGVRSKNPTGCCPNNASSSCQPRQCGNPGNLFTDYTSNPYGEFNIFGDPFAAYQVFHSGIPVTLVPLDATNTIPINENFFEAFEQNQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSIMRTLHNQNGENEFAEMEYMNITVVTSNEPYGINDGSNPFFNDRKVPKFNLVKGGVHSGHVQTGLRDPFCIVQNGKGRCKDGYTEEVTSSDAVRVLVATRAKPNPDSNSILDRAYFKSFLDVLNHPHQTGRFNFTTQFPHYKKVFYKPDFGTKRLGKPVVFDMDMSAGDFLALFYLLKVPVERINLKAIIVTPVGWANAATIDIVYDLLHMMGRDDIPVGLGEVFAMNQSDPVFSAVGDCKYLKAIPHGSGGLLDSDTLYGLARDLPRSPRRYTAENSVKYGAPRDTDHPELRQPLALEIWDSIVRTLDPGSKITILTNGPLTSLAKIIQNENNTSSVIQDVYVVGGHISHSDTDKGNVLTIDSNEYTELNMFLDPLAAKTVFESSLDITLIPLGVQRRVSSFPKILRSLRSKTKRTPEELFVRRLLSRLYRLKETHHRYHHMDTFLGEILGAVVLAGDHSKLEPIWLAKPITILAEGDESKDGQVVIDEKQGKFVKILESVEPEAHYDLFAKQLTVKKQSAVVGSFGEQRRIWGAPPN >Potri.008G197400.8.v4.1 pep chromosome:Pop_tri_v4:8:13969780:13975659:1 gene:Potri.008G197400.v4.1 transcript:Potri.008G197400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197400.v4.1 MLRRYLLAVVVLINVLELARASLCTDGGKPHRILLDTDVDTDDFFALLYLLKLNRSEFELEAVTINTNAWTDAGHAANQIYDILYMMGRDDLSVGMGGEGGIKEDGHILPDVGGYLPIVEQGNATAGGCRYRQAIPVGLGGRLDIDSNYGIRKAFLPQGSRKYSPLQQPTAQQVLIEKVSAGPITIFIIGAHTNIGIFLMKNPHLKKNIQHIYVMGGGVRSKNPTGCCPNNASSSCQPRQCGNPGNLFTDYTSNPYGEFNIFGDPFAAYQVFHSGIPVTLVPLDATNTIPINENFFEAFEQNQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSIMRTLHNQNGENEFAEMEYMNITVVTSNEPYGINDGSNPFFNDRKVPKFNLVKGGVHSGHVQTGLRDPFCIVQNGKGRCKDGYTEEVTSSDAVRVLVATRAKPNPDSNSILDRAYFKSFLDVLNHPHQTGRFNFTTQFPHYKKVFYKPDFGTKRLGKPVVFDMDMSAGDFLALFYLLKVPVERINLKAIIVTPVGWANAATIDIVYDLLHMMGRDDIPVGLGEVFAMNQSDPVFSAVGDCKYLKAIPHGSGGLLDSDTLYGLARDLPRSPRRYTAENSVKYGAPRDTDHPELRQPLALEIWDSIVRTLDPGSKITILTNGPLTSLAKIIQNENNTSSVIQDVYVVGGHISHSDTDKGNVLTIDSNEYTELNMFLDPLAAKTVFESSLDITLIPLGVQRRVSSFPKILRSLRSKTKRTPEELFVRRLLSRLYRLKETHHRYHHMDTFLGEILGAVVLAGDHSKLEPIWLAKPITILAEGDESKDGQVVIDEKQGKFVKILESVEPEAHYDLFAKQLTVKKQSAVVGSFGEQRRIWGAPPN >Potri.008G197400.6.v4.1 pep chromosome:Pop_tri_v4:8:13970056:13975778:1 gene:Potri.008G197400.v4.1 transcript:Potri.008G197400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197400.v4.1 MLRRYLLAVVVLINVLELARASLCTDGGKPHRILLDTDVDTDDFFALLYLLKLNRSEFELEAVTINTNAWTDAGHAANQIYDILYMMGRDDLSVGMGGEGGIKEDGHILPDVGGYLPIVEQGNATAGGCRYRQAIPVGLGGRLDIDSNYGIRKAFLPQGSRKYSPLQQPTAQQVLIEKVSAGPITIFIIGAHTNIGIFLMKNPHLKKNIQHIYVMGGGVRSKNPTGCCPNNASSSCQPRQCGNPGNLFTDYTSNPYGEFNIFGDPFAAYQVFHSGIPVTLVPLDATNTIPINENFFEAFEQNQHTYEAQYCFQSLKMARDTWFDDQFYTSYFMWDSFTSGVAVSIMRTLHNQNGENEFAEMEYMNITVVTSNEPYGINDGSNPFFNDRKVPKFNLVKGGVHSGHVQTGLRDPFCIVQNGKGRCKDGYTEEVTSSDAVRVLVATRAKPNPDSNSILDRAYFKSFLDVLNHPHQTGRFNFTTQFPHYKKVFYKPDFGTKRLGKPVVFDMDMSAGDFLALFYLLKVPVERINLKAIIVTPVGWANAATIDIVYDLLHMMGRDDIPVGLGEVFAMNQSDPVFSAVGDCKYLKAIPHGSGGLLDSDTLYGLARDLPRSPRRYTAENSVKYGAPRDTDHPELRQPLALEIWDSIVRTLDPGSKITILTNGPLTSLAKIIQNENNTSSVIQDVYVVGGHISHSDTDKGNVLTIDSNEYTELNMFLDPLAAKTVFESSLDITLIPLGVQRRVSSFPKILRSLRSKTKRTPEELFVRRLLSRLYRLKETHHRYHHMDTFLGEILGAVVLAGDHSKLEPIWLAKPITILAEGDESKDGQVVIDEKQGKFVKILESVEPEAHYDLFAKQLTVKKQSAVVGSFGEQRRIWGAPPN >Potri.001G021900.1.v4.1 pep chromosome:Pop_tri_v4:1:1691518:1696059:1 gene:Potri.001G021900.v4.1 transcript:Potri.001G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G021900.v4.1 MKRSKSTQQSQNQLFPLRRSARLADKFQKLPDELTIKIFSKMEDDPKTLIRCSAVSKTWSSFVSKTVNLTLRFLSTGEKGHSLPCSKRHHHIPLPAIPAIMKVFANLESLKIKLCRFPSPTAQPCCQNFTKMKVDWEGDDYHTYTCTAYEVGLLSTIKGAMLFHDFSKSTFAAIQGFPVTYFYWRMLDHRPKTLRRMVIMSSKMEGFRSGGKVFMRYEQLPNLRDSVSNLRVNERWLEDPQNVVHWHKNHSDKEHFLQEQVWLLYEWQYFVTNRELDMKEMMVKETGYTELLDGLDYDGGGDHIRKH >Potri.011G070100.1.v4.1 pep chromosome:Pop_tri_v4:11:6181181:6183296:1 gene:Potri.011G070100.v4.1 transcript:Potri.011G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G070100.v4.1 MDRRFNINPLITEQEENDNSAQESGPESPPSNDMKMPSTSSPKRSKKAMQKRVVSVPIKDLEGSRLKGENASPPSDSWAWRKYGQKPIKGSPYPRGYYRCSSSKGCPARKQVERSKVDPTMLVITYSCEHNHPWPPPSRSHNHHKNHHNSSSPKHNTTTKPEVSTTHPDNPEPEHEEKFTDLGNDGSLISTTTTSDEFSWFGEIETTSSTILETPFFAEGEADADMASMFFPMRDEDESLFADLGELPECSSVFRHQRSGVGPQVQIC >Potri.018G060100.1.v4.1 pep chromosome:Pop_tri_v4:18:6107587:6107916:-1 gene:Potri.018G060100.v4.1 transcript:Potri.018G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G060100.v4.1 MMKVKVNTISGSIDLIESSRRANITLPNRTRFIINNALFSTKSRRNLLSFKDIRLHGYHIEIANDNDIEYLYILSNVSTEKQILKKLLVLSSGLHYTSISTIEVNAIMN >Potri.005G080600.4.v4.1 pep chromosome:Pop_tri_v4:5:5485053:5490828:1 gene:Potri.005G080600.v4.1 transcript:Potri.005G080600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080600.v4.1 MNSAFSEQILADKLSKLNGTQQCIESLSRWCIHHRSEAELVVETWNKQFHNSDMLKKVPLLYLANDILQNSKRKGNEFVAEFWKVLPAALKNVVEKGDDRDKNVVSRLVNIWEERTVFGSHARSLREVMLGEDVPPPLELSKKRSRTVKNTKRDSRSIRTKFSIGGAAEKIVSAFNLMVSEQPNEEAGMRNCKSAVRRVRNMEKDVDSACSNNDKDPKRKTLAKELEDNENLLKRSIEKLKSAKASRAALVSQLEEALQEQESELEGVRTQIQDAQAQVEEASKMRRRLNGEVLKASGTTTVPVDSNAKAGQTPKRTAAAIAAEVAEKLAASSSSQMIMHAVLSTFAAEEAKNAPKPETSLPVSDPNVFMLAQPLTAPTTHSYQSVLFTQPTMQNQTPTTQSQFHILPNPSSQPYIQPGGGIATPYAYGNFSVLPPGPPPPPPYKVSQTVPLAQQPSQMPQQQPLPVAQQEAPINQKQPRSLTQQTLGANFRPQPPGLEYYGHPSHS >Potri.005G080600.3.v4.1 pep chromosome:Pop_tri_v4:5:5485060:5490840:1 gene:Potri.005G080600.v4.1 transcript:Potri.005G080600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080600.v4.1 MNSAFSEQILADKLSKLNGTQQCIESLSRWCIHHRSEAELVVETWNKQFHNSDMLKKVPLLYLANDILQNSKRKGNEFVAEFWKVLPAALKNVVEKGDDRDKNVVSRLVNIWEERTVFGSHARSLREVMLGEDVPPPLELSKKRSRTVKNTKRDSRSIRTKFSIGGAAEKIVSAFNLMVSEQPNEEAGMRNCKSAVRRVRNMEKDVDSACSNNDKDPKRKTLAKELEDNENLLKRSIEKLKSAKASRAALVSQLEEALQEQESELEGVRTQIQDAQAQVEEASKMRRRLNGEVLKASGTTTVPVDSNAKAGQTPKRTAAAIAAEVAEKLAASSSSQMIMHAVLSTFAAEEAKNAPKPETSLPVSDPNVFMLAQPLTAPTTHSYQSVLFTQPTMQNQTPTTQSQFHILPNPSSQPYIQPGGGIATPYAYGNFSVLPPGPPPPPPYKVSQTVPLAQQPSQMPQQQPLPVAQQEAPINQKQPRSLTQQTLGANFRPQPPGLEYYGHPSHS >Potri.019G103000.1.v4.1 pep chromosome:Pop_tri_v4:19:13860933:13863144:-1 gene:Potri.019G103000.v4.1 transcript:Potri.019G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G103000.v4.1 MFLDKGSMQSNLDCFLHCTTPLVPSQSLHKREIRNLNRLWHPWERDTVEYFTLGDLWNCYDEWSAYGAGVPIALDNGETLVQYYVPYLSAIQIFTSNSPVNSFREDTESGDGETRDSFSDSWSDDSESDKKCRCDGCSSEGGSEQDNPCPRNDRLGRLYFQYFERSTPYGRVPLMDKINGFARRFPGLTSLRSVDLSPASWMAIAWYPIYHIPMGRTIKDLSTCFLTYHTLSSSFQDMDVEDDIESPEKKRKEGENITLPPFGLATYKMQGNVWVSGNCGRDQDRLVSLLSVADSWLKQLWVEHHDFNYFTGTRRGQYHNLKDFTFETSP >Potri.018G011650.1.v4.1 pep chromosome:Pop_tri_v4:18:727923:736232:-1 gene:Potri.018G011650.v4.1 transcript:Potri.018G011650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011650.v4.1 MFLILLSKHCLMICLMNMSPLPSLTASLLELTMIWSIKYLKNFDAVVGDITIVYSRSLYVDYTLPFIESGVSVIVPIEGHPTENAWFFLKPLTWDLWVSSLLFFVFFGFVVWVLEHRINGDFRGPASHQAGTIFWFSFSTMVFAQRERVVSKLSRVVVIIWCFVVLILTQSYTASLSSLLTVQQLKVTDVNELVNKGEYVGYQKGSFVLGILLGLGFDKSKILAYNSPEECHELFSKGSGNGGIAAAFDEIPYIRLLMPEYRSKYTVIDLSFKMGGFGFVFPKGSPLVPDISRAILNMVEGDKMKGIQDKWFGDQTSCPDSGPQFRLIPLVSILSGDYF >Potri.010G119200.1.v4.1 pep chromosome:Pop_tri_v4:10:13764347:13765566:-1 gene:Potri.010G119200.v4.1 transcript:Potri.010G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119200.v4.1 MQEKMEIISSACYENVKRYWRRNRYQRLNGASKRKLKIARLGSGRRWKLRAVPKLHMEIASPIKLLEKFHDAYIDMMLRLATNIGSLNNKGFFRGKKVAKDQHISMVSSGDEVDSRLLLEIYKKLAASRDMSDF >Potri.006G102900.1.v4.1 pep chromosome:Pop_tri_v4:6:7932451:7933234:-1 gene:Potri.006G102900.v4.1 transcript:Potri.006G102900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102900.v4.1 MANVSDLPSVTLAPDDNIQNDELLVSGDRNFAVHGEIMMLVLLLLFTSFFLFILYLVCAKRLKDASKVTQSELISPRNFTVSNFKGQIRSEGHLMHQSMESKTTQKPA >Potri.003G191800.2.v4.1 pep chromosome:Pop_tri_v4:3:19490266:19490643:1 gene:Potri.003G191800.v4.1 transcript:Potri.003G191800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191800.v4.1 MQQLGLLQGQGKTAGASISNLSTRLKLDEESSNCWDSLLQLQACTGEIVLFFLNGETQLGHSCCQALSTIGEHCWPNMIDTLGFTTEESQILEGYCDKAADPTTPSPSAPSVVPVEIVPKQTLVP >Potri.005G065300.1.v4.1 pep chromosome:Pop_tri_v4:5:4237236:4238374:1 gene:Potri.005G065300.v4.1 transcript:Potri.005G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G065300.v4.1 MEDENHKNGTDGHSGESPESPCLKSCSSSSNNHNNSKEQDHFLPIANVGRIMKKEIPGNGKISKDAKETVQECVSEFISFVTGEASDKCQREKRKTINGDDIIWAITTLGFEDYVAPLKLYLNKYREIEGEKLNVPKQQRMEHQSSQQHHQLEQEQNMIPPYTSIYSSSALMSQPPSFMVADHKTYPLPFSPNSIQKHLQHQDKVDPVEHW >Potri.007G020732.1.v4.1 pep chromosome:Pop_tri_v4:7:1577831:1578526:-1 gene:Potri.007G020732.v4.1 transcript:Potri.007G020732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020732.v4.1 MKQAELARDISRLDTEFRPASEYKQMDEQDLTRLCFEKYEEECRRDEKEAAPLSESLLEMVRTRYANEVRESHMYEFCRVDGRKELLLDYAHQKIAFAVQVAEPRVGNSFRRYLAAAGGEMVVEVREEVEVRPPIPRAGRGRGRAGRGAGQYAAGVITRHRARTGQASGGEEAAPTTQAEGRNDGQERGIAIREPEAGVLRPVREQPGGSIPHARSKFEAKTRRVIGGRDG >Potri.013G067900.7.v4.1 pep chromosome:Pop_tri_v4:13:5337368:5341932:-1 gene:Potri.013G067900.v4.1 transcript:Potri.013G067900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067900.v4.1 MATVIKTPPFSAPRIINNSSISLKYANPLCLSFSHNNNRSIPNFSLAKNSLRFPTKPSLRFVKFVPFSSQGETETTETEETIQEPEIEDSSDGAVEVEDAASSEEVASSEEVADAEDTSSVVMASLRSYKEALASNDESIIAEIEAFLKSVEDEKIDNERKVASLTEELSIEKERVLRISADFDNFRKRTERERLSLVTNAQGEVVENLLSVLDNFERAKTQIKTATEGEEKINNSYQNIYKQFMEILVSLGVVPVETIGKPFDPMLHEAIMREDSDAFEEGTVLEEYRKGFKLGDRLLRPSMVKVSAGPGPVKPEQVEESQEEAEATSGTSEGGSTEEESA >Potri.013G067900.6.v4.1 pep chromosome:Pop_tri_v4:13:5337369:5341923:-1 gene:Potri.013G067900.v4.1 transcript:Potri.013G067900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067900.v4.1 MATVIKTPPFSAPRIINNSSISLKYANPLCLSFSHNNNRSIPNFSLAKNSLRFPTKPSLRFVKFVPFSSQGETETTETEETIQEPEIEQDSSDGAVEVEDAASSEEVASSEEVADAEDTSSVVMASLRSYKEALASNDESIIAEIEAFLKSVEDEKIDNERKVASLTEELSIEKERVLRISADFDNFRKRTERERLSLVTNAQGEVVENLLSVLDNFERAKTQIKTATEGEEKINNSYQNIYKQFMEILVSLGVVPVETIGKPFDPMLHEAIMREDSDAFEEGTVLEEYRKGFKLGDRLLRPSMVKVSAGPGPVKPEQVEESQEEAEATSGTSEGGSTEEESA >Potri.017G005001.1.v4.1 pep chromosome:Pop_tri_v4:17:324987:327537:1 gene:Potri.017G005001.v4.1 transcript:Potri.017G005001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005001.v4.1 MLYKAALPSVYLFAVKKLHNCRILEEQFVLELKTLGSLRHVNILQLSGFSITSKHWLLVFKYMPNGNLYDWLHPMEGQAKIMEWTVRFKVAIGLARGLAWLHQDCSSTIRVFHLNISSKCILLDQDFEPKLSNFGEAIIANPTNTSPLNGEFWDTAFAMEDVYGFGVVLLELITGVDSSRMTGSSNSLLNEWISHLLTSSKIYDAIDKSLVGQGFDDEIFQLLKVACHCVDSIPDRRPTMHQLYKDIRAMTERCRQIDDSEILVQQHEIYPPSSKGKSVEIEMA >Potri.015G129700.1.v4.1 pep chromosome:Pop_tri_v4:15:14048649:14050099:-1 gene:Potri.015G129700.v4.1 transcript:Potri.015G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129700.v4.1 MAPSTSRTSVFITAAILTLLFAITPITCWRPWPHLNPNSSDLLYDSSKKFEGSSEFVHLRYHMGPVLTGNITVHTIWYGRWQKSQKKIIRGFINSISDVHARHPSVAGWWRTVQLYTDQTGANISHTVQLGQEKNDRFYSHGKSLTRLSIQSVIRSAVTARTKPLPINPRNGLYLLLTSDDVYVQDFCGQVCGFHYFTFPSIVGYTLPYAWVGNSAKQCPGVCAYPFAVPEFRPGWKALKSPNGDVGVEGMISVIAHEIAELATNPLVNAWYAGQDPSAPVEIADLCEGIYGTGGGGSYTGQMLTDHDGATYNMNGIRRKFLVQWVWNHLVSYCTGPNALDQ >Potri.014G154800.1.v4.1 pep chromosome:Pop_tri_v4:14:10880507:10882688:1 gene:Potri.014G154800.v4.1 transcript:Potri.014G154800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154800.v4.1 MIQPVYVAIFSEMGVILTLIFRNPLRKFVIMGLDRVKRGRGPVVVKTVAGTILVLLLSNVYSIGNMQNRKMEAGALNPTEEVLMAMQLLQASLLGFLLFLSLMIDRLHHYIRELRLLRKAMEAAKKQNRSFEDGKNGEGKGLGEEVETLRSKVKKLESEYEAKVKEAEAAEAKAEALRKQSEGSLLQYDHLLEDNQSLRNQLDSIDQTSSPSDGKKNM >Potri.001G204400.1.v4.1 pep chromosome:Pop_tri_v4:1:20735941:20737798:-1 gene:Potri.001G204400.v4.1 transcript:Potri.001G204400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204400.v4.1 MDSNYTTISNNSYVDLQIRDDPQNPLPQRKTHIKLHPLHEVENFIGNGQSLDDDDQDDFDIDDYPLVSKKKTSKDSGIYGAVFNLTTSIIGAGIMALPATMKVLGLVLGFILIIVMGILSEISVELLVRFSVRFKASSYGEVVRFALGKPAKVLSEICIIVNNAGVLVVYLIIIGDVMSGSLHHVGVFDQWLGNGFWDHRKLVILVVVVVFLAPLCALDKIDSLSLTSAASVALAVVFVVVCFIVAFVKLIEGKIESPRMTPDFGSKQAILDLLVVIPIMTNAYVCHFNVQPIYNELEGRSPQKMNRVGRITTVLCVVVYASTAISGYLLFGKDTESDVLTNFDKDLGIRFSSALNYIVRIGYILHLVLVFPVVHFSLRQTVDVLVFEGSAPLSESRKRSLALTAVLLALIYFGSTMIPNIWTAFKFTGATTAVSLGFIFPSLVALRLSQSGEDLNAGEKFLSWLMLILAIIVSIVGVIGNIYSLKSDSE >Potri.003G108800.12.v4.1 pep chromosome:Pop_tri_v4:3:13137860:13141527:-1 gene:Potri.003G108800.v4.1 transcript:Potri.003G108800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108800.v4.1 MAEGNVSRYVKLTKEQTGVEEIKPGELNQPIEVPHLEVCKCNECGQPLPENFQPPADEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCVCHAVCVEGGIALAAATAVFHGINPDTPFLICEGLLFAWWMCGIYTGLVRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVMPMTIVNPPPVQEMSATTENQDSTPSSEKSTSLEMQPL >Potri.003G108800.6.v4.1 pep chromosome:Pop_tri_v4:3:13137799:13141532:-1 gene:Potri.003G108800.v4.1 transcript:Potri.003G108800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108800.v4.1 MAEGNVSRYVKLTKEQTGVEEIKPGELNQPIEVPHLEVCKCNECGQPLPENFQPPADEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCVCHAVCVEGGIALAAATAVFHGINPDTPFLICEGLLFAWWMCGIYTGLVRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVMPMTIVNPPPVQEMSATTENQDSTPSSEKSTSLEMQPL >Potri.003G108800.10.v4.1 pep chromosome:Pop_tri_v4:3:13137785:13141532:-1 gene:Potri.003G108800.v4.1 transcript:Potri.003G108800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108800.v4.1 MAEGNVSRYVKLTKEQTGVEEIKPGELNQPIEVPHLEVCKCNECGQPLPENFQPPADEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCVCHAVCVEGGIALAAATAVFHGINPDTPFLICEGLLFAWWMCGIYTGLVRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVMPMTIVNPPPVQEMSATTENQDSTPSSEKSTSLEMQPL >Potri.003G108800.11.v4.1 pep chromosome:Pop_tri_v4:3:13137880:13141530:-1 gene:Potri.003G108800.v4.1 transcript:Potri.003G108800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108800.v4.1 MAEGNVSRYVKLTKEQTGVEEIKPGELNQPIEVPHLEVCKCNECGQPLPENFQPPADEPWTTGIFGCAEDTESCWTGLFCPCVLFGRNIESLRDDTPWTTPCVCHAVCVEGGIALAAATAVFHGINPDTPFLICEGLLFAWWMCGIYTGLVRQSLQKKYHLKNSPCDPCMVHCCMHWCALCQEHREMKGRLSDNFVMPMTIVNPPPVQEMSATTENQDSTPSSEKSTSLEMQPL >Potri.006G256400.1.v4.1 pep chromosome:Pop_tri_v4:6:25365238:25374080:1 gene:Potri.006G256400.v4.1 transcript:Potri.006G256400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256400.v4.1 MKHSSSSTTTTATSMEAAATSSTCSSSAPIPSHSDQSSPGTSSSTAMTTTTTSTIDDITVGTTLDGTNGAAAETVTIDRRGEYSATCKWTVQSFPRVKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLSIVNPLDDSKTIHRDSWHRFSSKKKSHGWCDFTPASTVFDSKLGYLFNNDCVLITADILILNESVSFMRDNSSSSTSNNEVQSGVSLSISSNSVAVGPVSDVLSGKCTWKVHNFSLFKEMIKTQKIMSPVFPAGECNLRISVYQSSVNGTDYLSMCLESKDTEKTVVSDRSCWCLFRMSVLNQKAGGSNHVHRDSYGRFAADNKSGDNTSLGWNDYMKMADFIGAESGFLVDDTAVFSTSFHVIKEFSSFSKNGGLIGGRIGSGARKSDGHMGKFTWRIENFMRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDLRNTSSDWSCFVSHRLSVVNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFTDQDTESTNGTSQIDKVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLETDAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSEDSEGNSGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDEPAKVKKLLLPTKLSGGNDGKKAAKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPLEGSSNDDSSDAHSKPSLDGSGAASPLESDRGSGATESAQFPVHERLDSGLDDSKRASAVQSSDINGTDMPGQALPGQPIYPPVTTAGGALENASLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVSLIPKLVEHAEHPLAAYALLERLKKPDAEPALWIPVFGALSQLECGSDVWERVLIQSFDLLADSNDEPLAATIDFIFKAASQCQHLPEAVRSVRTRLKNLGADVSPFVLDFLSRTVNSWGDVAETILRDIDCDDALGDSCSTLPCGLFLFGENASAAERLHVVDEQTFHFRCHFSDIYILIEMLSIPCLAVEASQTFERAVARGAIMAQSVAMVLERRLAQRLNFNARFVNENFQHTDAIIEEEASEQLRVQRDDFSVVLGLAETLALSRDLCVKGFVKMLYTILFKWYANETYRGRMLKRLVDRATSTTDNSCDVDLDLDILAILVCEEQEIVKPVLSMMREVAELANVDRAALWHQLCASEDEIIRIRDERKAENSNMAREKANLSQKLSDCEATNNRLKSEMKAEMDRFTREKKELSEQIQEVESQLEWLRSERDDEITKLTVEKKVLQDRLHDAETQLSQLKSRKRDELKKVVKEKNALAERLKSAEAARKRFDEELKRYATENVTREEIRQSLEDEVRRLTKTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASVQDEMTRHAPLYGAGLEALSMQELETISRIHEEGLRQIHALQQCKGSPASSPHVSPHTLPHNHGLYPAAPPPMAVGLPPLIPNGVGIHNNGLVNGTVGPWFNHT >Potri.003G165600.1.v4.1 pep chromosome:Pop_tri_v4:3:17474759:17476530:1 gene:Potri.003G165600.v4.1 transcript:Potri.003G165600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165600.v4.1 MTGTPQETETQQVPPPPPSPKADQEAEFHEPTSSATVTEESHPNDHPPASDEKTKKWGTHIMGPPAAPNVHPDNQQAALWNASEHQQIPEHPYLVYTPIDKSEMTTQKSFEPVIHKFQEWGKMAETVARNIWHNLSTGPSVPQAAWGKVNLTVKAITEGGFESLFKHIFETDPNEKLKKSFACYLSTSTGPVAGTLYLSTARVAFCSDRPLCHTAPSGEEAWSYYKVMIPLDKINTVSSETMLETPSRNFIQIVSTDGHDFWFMGFVNFEKALQNLSESVSSFKEAGIAIQPVVA >Potri.012G034700.1.v4.1 pep chromosome:Pop_tri_v4:12:3104285:3108086:-1 gene:Potri.012G034700.v4.1 transcript:Potri.012G034700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034700.v4.1 MLRLDSSIVSVLVILLSFPFFIVSLPIQDKFLKCLSLNSESSFPFSTILYTPNNSSFTNVLLSTAQNLRFALPSVPKPEFIFTPLQESHVQTAVVCSKQLGVQIRVRSGGHDFEGLSYTSVIDTPFVVVDLGKLRSISVDIKRKSAWAQAGATVGELHYRISEKSKNLGFPAGACPSVGLGGHLSGGGYGPLFRKYGLSADNVIDARIVDVQGRLLDRKAMGEDLFWAIRGGGGASFGIITAWKVKLVPVPSTVTVFRVFRFLEQGATKLLYRWQQVANKFDADLYLVVGIRPAIASDTGKKTVRTIYSGLFLGDTSRLLEVMQKSFPELGLARKDCIEMDWIGSVLYEAFFPTNSTPEVLLQRKNLFPAYTKSKSDFAQSPISETALKGLWKIFFQEDKLATLLIPYGGMMDKISKSEIPFPHRKSNLFMLEYATNWNDPSESATQIDWARKVYEYMTPYVSKNPREAYLNHRDIDLGMTEKANTSIEEARVWGAKYFKGNFNRLVKVKTRVDPENFFRYEQSIPPHPRSMKK >Potri.012G034700.2.v4.1 pep chromosome:Pop_tri_v4:12:3104855:3108111:-1 gene:Potri.012G034700.v4.1 transcript:Potri.012G034700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034700.v4.1 MLRLDSSIVSVLVILLSFPFFIVSLPIQDKFLKCLSLNSESSFPFSTILYTPNNSSFTNVLLSTAQNLRFALPSVPKPEFIFTPLQESHVQTAVVCSKQLGVQIRVRSGGHDFEGLSYTSVIDTPFVVVDLGKLRSISVDIKRKSAWAQAGATVGELHYRISEKSKNLGFPAGACPSVGLGGHLSGGGYGPLFRKYGLSADNVIDARIVDVQGRLLDRKAMGEDLFWAIRGGGGASFGIITAWKVKLVPVPSTVTVFRVFRFLEQGATKLLYRWQQVANKFDADLYLVVGIRPAIASDTGKKTVRTIYSGLFLGDTSRLLEVMQKSFPELGLARKDCIEMDWIGSVLYEAFFPTNSTPEVLLQRKNLFPAYTKSKSDFAQSPISETALKGLWKIFFQEDKLATLLIPYGGMMDKISKSEIPFPHRKSNLFMLEYATNWNDPSESATQIDWARKVYEYMTPYVSKNPREAYLNHRDIDLGMTEKANTSIEEARVWGAKYFKGNFNRLVKVKTRVDPENFFSW >Potri.001G302100.2.v4.1 pep chromosome:Pop_tri_v4:1:31256104:31258911:-1 gene:Potri.001G302100.v4.1 transcript:Potri.001G302100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302100.v4.1 MASLHRSLAFMAMLSLLSVCLAIDMSIIDYNIKHGQVPERTEAETRRIYEMWLVKHGRAYNALGEKERRFEIFKDNLKFIDEHNSVGNPSYKLGLNKFADLSNDEYRSVYLGTRMDGKGRLLGGPKSERYLFKEGDDLPETVDWREKGAVAPVKDQGQCGSCWAFSTVGAVEGINQIVTGNLTSLSEQELVDCDKTYNLGCNGGLMDYAFDFIIENGGIDTEEDYPYKAIDSMCDPNRKNARVVTIDGYEDVPQNDEKSLKKAVANQPVSVAIEAGGRGFQLYQSGVFTGSCGTQLDHGVVTVGYGTEHGVDYWIVRNSWGPAWGENGYIRMERDVASTETGKCGIAMEASYPTKKSANPPNPGPSPPSPVNPPPPEKPSSECDDYYSCPAGSTCCCIYQYGDYCFGWGCCPLESATCCDDHYSCCPHEYPVCDLEAGTCRMSKSNPFGVKMLTRAPARITQSHQLGGRRRAGRRFSPA >Potri.016G117100.1.v4.1 pep chromosome:Pop_tri_v4:16:12155946:12158543:-1 gene:Potri.016G117100.v4.1 transcript:Potri.016G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117100.v4.1 MMNCLQSWPEPVVRVQSLAASGIQAIPERYVKPPSHRPLSNSDFSLPQEVNIPVIDFQNVFSNDQRLREEALRCIYRACSEWGFFQVVNHGVSHELMKGVREIWREFFNLPVEVKQEYANSPATYEGYGSRLGVEKGATLDWSDYFFLHYMPVSLRNQNKWPATPASCRELVAEYGREVVKLGGKLMKAFSMNLGLEEDFLLDAFGGEENVGACLRVNYYPKCPQPDLTLGLSPHSDPGGMTILLPDENVAGLQVRRKDSWVTVKPAPNAFIINIGDQIQVLSNAIYQSVEHRVIVNSNKDRVSLAFFYNPKSDLLIEPSKELVTVDRPALYPPMTFDEYRLYIRTKGPCGKKQVESLKSPSPCNEC >Potri.002G139200.3.v4.1 pep chromosome:Pop_tri_v4:2:10444182:10450994:1 gene:Potri.002G139200.v4.1 transcript:Potri.002G139200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139200.v4.1 MASKSSQFLRSFGYDLLLGSIAALYVFAVPYTKVEESFNIQAMHDILYHRHRLENYDHLEFPGVVPRTFIGALIVSILASPIVVVINLLQLSKINALIAVRLVLGCVVLSTLRFFRIQVRHKFGHQVEAFFVILTALQFHMLFYCTRALPNILALAVVNMGYAYWFRGNFYTALNCLVFATVVFRCDMLLLLCPLALELLLTKSISLWGAIKYCIGPALLSIGFTIMVDSIMWKRILWPEFEVFWFNSVLNRSSEWGTHSFHWYFTSALPRSLLAAYPLFLLGVLIDRRVLIFVLPVFSFILLYSKLPHKELRFIISSVPMLNLSAAVAANRIYNNRKKTLWKFLNLFMLGLFFISLGCTIVFFLASYDNYPSGNALKDLHQIGHLNNTNELWVHIDTFSAMNGISRFCENDSPWRYSKEEGIPLEEFCRRNFTYLVSEQFAVDGFKCLHYVSGFSWVRLQSSLPPVILVKEPKLYIHGNTKIKDIMQINWAGCS >Potri.012G097100.1.v4.1 pep chromosome:Pop_tri_v4:12:12096420:12098886:-1 gene:Potri.012G097100.v4.1 transcript:Potri.012G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097100.v4.1 MATTTTTSSLTSGGGGGGGGGADDRVMATAQQIVNSLNTTKNVREDMLLILSSFDNRLSNISDFIKTDSESQSSILDAAEKIILRSDSGMPSNAGASSWDDSAEESRYYLAAIDEILDLLDNLSVGPDSEVLDRAETLVQVAMSRLEEEFGHILIRNTVPLDAESLYGSIRRVSLSFAANEGEIDEEFENFGEVETGSVCFHERGASLGDDLCVDLINNEAVMDLKGIADRMMRSGYEKECVQVYSSVRRDALDECLVILGVEKLSIEEVQKIEWKPLDEKMKKWVRAVKIGVKVLLRGEKRLCDVIFSGSDSAREVCFNETAKGCLMQLLNFAEAVAIGRRSPEKLFRILDMYDALSGVFPDLEAMVTDEFVYSEAKGVLAGLGRAAKGTFVEFENAVKSETSRKPMLGGVIHPLTRYVMNYVKLLVDYSDTLNSLLENDDDDELNGLQNDDGERLQLESLSPIARRLLALLSTLESNLEEKSTLYEDGAMQYIFRMNNILYMVQKVKDSELIKILGDQWVRKHRGQIRQYATAYLRAAWTKALSCLKDEGIGGSSNNASKVALKERFKNFNACFEEIYRIQTGWKVLDPQLREELRISISQKVLPAYRSFMGRFGSQLEGGRHAGKYIKYTPDDLENYLIDLFEGTPLVLHHLRRKSS >Potri.005G169900.1.v4.1 pep chromosome:Pop_tri_v4:5:17338990:17341412:1 gene:Potri.005G169900.v4.1 transcript:Potri.005G169900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G169900.v4.1 MIWTSASPSPMRFPREWPAYSGLKTLLHYSREPDQNLTHTCYILHSYMIPAPSPPSWSPSPPLVRSLTNNSSSYSSCSSALDDLIGTESGVYMNANIEEETAQMEKLESYHHQYKRKQRYATRKKYPPPIPLLARTGNLPGHMPWILTRHYIDGRLVLVEERVKNHEYFEAQRENGRLVLNIVPLDDKITCSHFVSKNEEQKELQDVDSLEKNSDQEFDDDDEEEEEEGREAYQEIELGSDDYVGNLEDRVPVDDEVTNEKSVTASASLPKSSLKNGNEKSGDLRKCSTYAGRMISDINLPCNANAHRNAGECMNNIHDPGSASFDLANILHKMTTVV >Potri.005G129050.2.v4.1 pep chromosome:Pop_tri_v4:5:9745096:9745686:1 gene:Potri.005G129050.v4.1 transcript:Potri.005G129050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129050.v4.1 MAREFIVHDGSTVHSEAGFLLDSSEAFLLLCMIVVSLSIISMVIFACGDSGSGGGGGRRRKSGGGGCGGGGCGGGDGGGGCGGCGGGTGGG >Potri.005G129050.1.v4.1 pep chromosome:Pop_tri_v4:5:9745073:9745482:1 gene:Potri.005G129050.v4.1 transcript:Potri.005G129050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129050.v4.1 MAREFIVHDGSTVHSEAGFLLDSSEAFLLLCMIVVSLSIISMVIFACGDSGSGGGGGRRRKSGGGGCGGGGCGGGDGGGGCGGGGGCGGGTGGGGCGGGTGGG >Potri.010G195800.2.v4.1 pep chromosome:Pop_tri_v4:10:18973866:18976299:-1 gene:Potri.010G195800.v4.1 transcript:Potri.010G195800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195800.v4.1 MAGAREDVESWLPIEFLTAEEVLMDKENFNNNGFKTELKPSLCFPTEFPYEFDSFGTSSALSSPVESVMGSSTETESSDEDDFLAGLTRRLTQQLTVKPEKWVMAGSPESTLSGLGSWSVSSNGSPNGVMSPPTTPYGAKNDTWDLIYAAAGQVARLKMTNNEGHRCNSSNNFQGRGLLGPARTQNPDLTSVKHQNTGFYPSQSSSTFGNNIPQVNQYQQLVRQEQQALRQQCSSIWERQQVKTSWQAQPQFHQHQHQQVQSRGRDVRYEDGRCGRPLGLPQSAWPPLQVHPQNQHSNSAGMRAVFLGGSGVKRECAGTGVFLPRRYGNPPDPKKKSGCSTVLLPAKVVQALNLNFDDMDISGLAQPRLNNNASFHSEYDALMARRNALLAQQKRNLRQENVLNREIRLPQEWTY >Potri.010G195800.1.v4.1 pep chromosome:Pop_tri_v4:10:18973867:18976351:-1 gene:Potri.010G195800.v4.1 transcript:Potri.010G195800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195800.v4.1 MAGAREDVESWLPIEFLTAEEVLMDKENFNNNGFKTELKPSLCFPTEFPYEFDSFGTSSALSSPVESVMGSSTETESSDEDDFLAGLTRRLTQQLTVKPEKKWVMAGSPESTLSGLGSWSVSSNGSPNGVMSPPTTPYGAKNDTWDLIYAAAGQVARLKMTNNEGHRCNSSNNFQGRGLLGPARTQNPDLTSVKHQNTGFYPSQSSSTFGNNIPQVNQYQQLVRQEQQALRQQCSSIWERQQVKTSWQAQPQFHQHQHQQVQSRGRDVRYEDGRCGRPLGLPQSAWPPLQVHPQNQHSNSAGMRAVFLGGSGVKRECAGTGVFLPRRYGNPPDPKKKSGCSTVLLPAKVVQALNLNFDDMDISGLAQPRLNNNASFHSEYDALMARRNALLAQQKRNLRQENVLNREIRLPQEWTY >Potri.019G066600.2.v4.1 pep chromosome:Pop_tri_v4:19:10622078:10624997:1 gene:Potri.019G066600.v4.1 transcript:Potri.019G066600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066600.v4.1 MASGAGSSMLYSFLLFTVILSLQEMYRSKLASTELFTILGGFISSLLFLVLLTLIGNFQETCGMKTGWGAVILAEAVALIAAGTVHRVCITTCFLFSAGLLYEVNKLSGLTLSKSDSKTRRY >Potri.005G024200.8.v4.1 pep chromosome:Pop_tri_v4:5:1523161:1526140:-1 gene:Potri.005G024200.v4.1 transcript:Potri.005G024200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024200.v4.1 MQPPQSLSLKFQVNSKLERPKMKTKKYGFLILLLLFHLQIHFSSGKNAGVCISKGGRFPPYTSEGKPPKKVSKGAKDLTLCRVFRKKTCCDVAQTYPALLSVRRLASTGEASQECLQLWELLECSICDPQIGVQPGPPLICASFCDRVYQECANAYFSMDANKRVIAPCGVNDFVCGQAAEWVSNGTELCHAAGYAVKLSDDAYVGAEEASCYGGRASLDSIADSWRSSRSEFPQKDENLRVLEDFQQWVQEMPFSEKISWAVGGLVLTAGLLFMSKRKSHGQRQKLAAIQRAARRLDGKTSQNSPDSLVNRKGNRR >Potri.002G009400.1.v4.1 pep chromosome:Pop_tri_v4:2:562564:566339:1 gene:Potri.002G009400.v4.1 transcript:Potri.002G009400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009400.v4.1 MLLLDPFFCLSRNQRNQFIISYPRPAGRPQHSSNPETISKISIRMGNCWGTTPVTNHNSPTPTKPSTPETTRNHSKENGLGSRSSSQCKAKEDDKFGMGMEKKGKDEIAMISAAASGQIILAPKLFTLAELKSATRNFKPNTVLGEGGFGRVFKGWVDEKTYAPAKVGTGMAVAVKKSSPESSQGLEEWQSEVEFLGKLSHPNLVKLLGYCWEDEHFLLVYEYMQKGSLEKHLFRKGAEPLAWDIRLKIAIGAAQGLAFLHTSDKSVIYRDFKTSNILLDGAYNAKLSDFGLAKLGPLNGDSHVTTRIMGTYGYAAPEYLATGHLYVKSDVYGFGVVLLELLTGLKALDTNRPSGQHNLVEYARPFLLERRKLKKIMDPGLEERYPLKAAMQAAELILRCLESDLRIRPSMEEVSGILIKIKDVKEKPKKSKSSCSDGSYARRQEERSRHQSPLQPRHGGTGYKVRAFSSA >Potri.016G038400.2.v4.1 pep chromosome:Pop_tri_v4:16:2370892:2373310:1 gene:Potri.016G038400.v4.1 transcript:Potri.016G038400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038400.v4.1 MQLVFKISNTLSSSRKTNKLPLILCNLYHSSKISVPNRDCIRNSDIARSNWLITRLSREGNINDARQVFDKMHERDVVTWTAVISGYIKCGLIVDARRLFDRVDAIKDVVTWTAMLSGYVRLKRIEEAERLFEVMPVKNVVSWNTMIDGYGKNREVDKAIEVFERMHERNMVSWNAVIAALVQCGRVEEARRRFDEMPKRDVISWTTMVMGLARSGRVDEARKVFDRMPERNVVSWNAMVTGYAKNMRLDEAFDLFERMPERNLSSWNTMITGFIQNGELAWARKVFNEMPEKNVVSWTTMITGYVQEGESESALKVFVEMIKDGGARPNEGTFVNVLGACSDMAGLGEGQQVHLLISKSVYQDRTFVASALLNMYSKCGELSIARKIFDDVVISQRDLVLWNGMIAAYAHHGCGMEAIELFEDMQGLGFKPNDVSYVELLSACSHAGLVDEGLNYFDELGRDNSIQLREDHHACLVDLCGRAGRLKEAYDFIKQLGTKASSSIWGGLLAGCNAHGDLEIGQLAAKELEKEDPENAGTYLLLSNIYASGRKWREASRVRLKMKEKGLKKQPGCSWIEVGNRVHVFLARDKSHYQSNLIYSLVHDIHAEMKAEHVPNNDFVDERKIFHQLR >Potri.019G130433.1.v4.1 pep chromosome:Pop_tri_v4:19:15302522:15303576:1 gene:Potri.019G130433.v4.1 transcript:Potri.019G130433.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G130433.v4.1 MEDRVTLLDFWPSSWATRVKVALAEKGIEYESKEQNLIDKSPLLLEMNPVHKMIPVLIHNGKPICESLNIVQYIDEVWKDKSPLLPSDPYQRSQARFWADYIDKKIYDNAKKLWKEKGEKQEEVKREFIEGLKTLEGELGDKLYFGGESFGFVDVVLVPVTSWFYSLEICGKFSIEAECPRFTAWIKRCMEKESVSSSLPDPHKIYDFVLLLKKKMGIE >Potri.014G101100.1.v4.1 pep chromosome:Pop_tri_v4:14:6688854:6690092:1 gene:Potri.014G101100.v4.1 transcript:Potri.014G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101100.v4.1 MDGSDHHIVPSFFICPISLQIMKDPVTISTGMTFDRESIQKWLFSYKNIACPITKQPLSDFRLTPNSNLLRLIQSWHLQHASSSTTKFAEPNHDALMKVLFEEIKQPHLQVKSLRKIKSLIQENHGDNSRISCIRDDSLFSLAVSLVVQTELPGIPQITGNDTPVIAEAVSLLCLLCPSDEALKMVSQNENGLLICSLCSIMTQYLSNLQLRIQAALVLKSIFEVVDDMYKEGLKFEFFENIIEILKDQNSKHGSMAVLAILIRVLSYGKNKEKAIKGGCIPILIELLAEENERHVCEMMLVVLEKLCQKAEGRAAFLSHPAGMAAVVSKILKVSHVGDDKSISLLSCVLRFCTSSGEAAQEFMEVGGMTKICLVIQSGSNSKTKEKAREILGFQYFKTWNKSPCFPSSFKA >Potri.016G134500.1.v4.1 pep chromosome:Pop_tri_v4:16:13864985:13866985:-1 gene:Potri.016G134500.v4.1 transcript:Potri.016G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G134500.v4.1 MPITRCTSELNLPAPPPSPIPTGRGTRSAANDILIDYLEKSLNVPDLTLPGPYIPLNKHQNIPAAISYRLLKSRDYESQDRLLKSVKEFRAFKVLDHGISDEELGFLVKEADLVFRVLEPTNVGFRRNYQQEIVWVLSGNERMSLAREFAGAERFRDFSEKMDNVASKLDAIAQELCKVLVENTGKQHFGKTVTTQGKESILSLFRYNHKNETRSKPTLLNDENRKSSDHALCLHFPTMQSQFSVQSDQGPLSFDAGPDSIVVTVGKHLEEWSQGDSESVSGEIICEPHLQDGQASFLIELQCLTSNLDISTKRDYDTISLRDQILIGLIIAFLYNVYAFLWS >Potri.004G174200.1.v4.1 pep chromosome:Pop_tri_v4:4:18950399:18953071:1 gene:Potri.004G174200.v4.1 transcript:Potri.004G174200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174200.v4.1 MARYDRAITVFSPDGHLFQVEYALEAVRKGNAAVGVRGTDIVVLGVEKKSTAKLQDSRSVKKIVSLDDHVALVCAGLKADARVLVNKARIECQSYRLTVEDPVTVEYITRYIAGLQQKYTQSGGVRPFGLSTLIVGFDPHLGVPALYQTDPSGAFSAWKANATGRNSNSMREFLEKNYKETSGQGTVKLAIRALLEVVESGGKNIEIAVMTKDQGLRQLEEAEIDAIVAEIEAEKAAAEAAKKAPLKDS >Potri.017G114900.2.v4.1 pep chromosome:Pop_tri_v4:17:12246659:12249563:1 gene:Potri.017G114900.v4.1 transcript:Potri.017G114900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114900.v4.1 MAFATHTLFSSLYLVNEPKLLSCYDADYKISSHCWERSKVISSLRSNSTEIFGRRVARVGSDLHRDWSFLGGSRIVIHPKLTNFLVYRKSSGVNASWLTSSQIASSVFTLGTAAVLPFYTLMVVAPKAEVTRKSMESSIPYVVLGLLYAFLLYLKYWLPELPGIEKIFSSEMTLASAWIHLLVVDLFAARQVFDDGLENEVETRHSVSLCLLFCPIGIVTHVITKALTKSAGTSRHGM >Potri.008G081800.2.v4.1 pep chromosome:Pop_tri_v4:8:5130397:5132950:-1 gene:Potri.008G081800.v4.1 transcript:Potri.008G081800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081800.v4.1 MFHTKKPSTMNSHDRPMCVQDSGLVLTTDPKPRLRWTVELHERFVDAVAQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQLHKEFNDHSIKDASALDLQRSAASSSGMISRSMNEMQMEVQRRLHEQLEVQRHLQLRTEAQGKYIQSLLEKACQTLAGDQDLASGSYKGIGNQGVPDMGAMKDFGPLNFPPFQDLNIYGSGQLDLLHNMDRPSLDGFMSNNHDDICLGKKRTNPYAGSGKSPLIWSDDLRLQDLGSGLSCLGPQDDPLKGDQIQIAPPLMDSGTDLDSLSGLYGTKPVHQGDALDEKKLEASAKTERPSPRRAPLAADRMSPMINTGVMPQGRNSPFG >Potri.014G079700.1.v4.1 pep chromosome:Pop_tri_v4:14:5143098:5146182:1 gene:Potri.014G079700.v4.1 transcript:Potri.014G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079700.v4.1 METKRVLVVGGTGYLGQHVLLSLSRIKDSAPYDLAFTYHSNSNLLEPLLDAIPHSHAFHVDLTTGDGFQSIASKFGQPHVVVNCAALSVPRVCEKDPDAAMSINVPCSLVNWLSSFEERDTLLIHLSTDQVYEGVKSFYKEEDETVPVNVYGKSKVAAEQFISKTWPNYAILRSSIIFGPQTISPVQKSLPIQWIDGVLSKKEQVEFFHDEFRCPVYVKDVVTIILSLINKWIIEGKQMKLLLNVGGPDRVSRVQMAETVAHVRGYNTSLIKQVSASSVYRGVSSPADISMDISKLIQTVSISPTSFRDGVILTLDST >Potri.003G087400.1.v4.1 pep chromosome:Pop_tri_v4:3:11392898:11396049:1 gene:Potri.003G087400.v4.1 transcript:Potri.003G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087400.v4.1 MFKPALPSSLSKKYQMMTSNSSPSTWCYLSWLHVAMFLVLSTTFYTPMVSCSKIDIPNYNKHCASIVPESTPNDVPEITTIPFAAEQGGYFLGGEDILNHPNSSRYHYPTSNRRELFIHTHSVYSTDVDDVFKVEASLILRTSDMEFYVSDDRSPRGALSFEVKGFWSISTGKLCMVGSGSTYSEEGKHVVLAALLKLDEVRKSSTISSLVRGILESSSTAGDSGYFNPISLLMIPQNNYEFTEVGKALDHVCTGGIVVPKNLSLSLKLSTRICNAFSRWHTFFKLEYSSGCKSTSSCNPFGEGVGHLPQIMSLKLIQCLEDKRRLRFLIEFHNSRYGDYYHPFTPNTTLVAEGSWDVNKNQLCVVGCRILNSANSFNKSHIEDCSVRLSFRFPAVWSIRNTSGMMGHIWSNKRENDPGYFNTIMFRSHENFVAGIPGSKYQYTVVDKARKSCSEKQPRKNKGKRHPDANSNDMKFNMVVRDSKRRRIGWGYSQPIAVGDQISRRNDFVISSSLRAAYSPVKGKTNHSIPLNISYGMSFQLNESTYVQVFSEGIYDAETGKLCMVGCRYLDSNNRTSDNDSMDCKILINVQFPPVDSNDYIQGTIENTRKKSDPLYFEPLSFSAASFYSQHSRESIWRMDLEIIMSLISNTLVCVFVGYQISYVKKHPAVFPFISLLMLLVLTLGHMIPLMLNFEALFVPKESRTTFLRRSGGWVEANEVIVRVITMVSFLLQFRLLQLVWSARFADGKRKAFLAAEKKTLYLSLPLYISGGLIALYVNWRNNKVGEGMEYAYSSTYQSSLWVDLRSYGGLVLDGFLFPQILLNIFHNSTENALSRFFYIGTTFVRLLPHAYDLYRANYYVEDFDGSYMYADPGGDYYSTAWDVIIPLVGLLFAAIIYLQQRFGGRCFMPKRFKELEGYEKVPVASDA >Potri.005G213300.6.v4.1 pep chromosome:Pop_tri_v4:5:21667203:21676208:-1 gene:Potri.005G213300.v4.1 transcript:Potri.005G213300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213300.v4.1 MASMATILRRKLHDNRHFLIQTRLFSLESFSHDTKTTSRIEKILIANRGEIACRIMRTAKRLGIRTVAVYSDADRDSLHVKSADEAVHIGPPPARLSYLNGSAIVEAAIRTGAQAIHPGYGFLSESSDFATLCEDKGLTFVGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDIELMKSEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITKPRHIEVQIFGDKHGNVLHLYERDCSVQRRHQKIIEEAPAPNVMNDFRSHLGQAAVSAAKAVGYHNAGTVEFIVDTVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQISVANGEPLPINQSQVPLLGHAFEARIYAENVPKGFLPATGVLHHYRPVPVSPTVRVETGVEQGDTVSMHYDPMIAKLVVSGENRAAALVKLKDCLSKFQVAGVPTNINFLQKLADHRAFENGNVETHFIEHYKDDLFTDPNNLTRAKETYDNARFSATLVAACLCEKEHSAIKSSLPGTNGLLPIWYSHPPFRAHYQASCTMELEWENEYDGSSSEFFTFSITYQSDGNYLIETEEVNSPGLEVKATLLHDQDFRVETDGVSMDVSLSAYSKDKIKHIHLWHGSHHYHFRQKLELDLSDDNEIQQKTNFETALHPPGTVVAPMAGLVVKVLVMDGTKVEEGQPILVLEAMKMEHVVKAPFSGHVHGLQVTAGQQVSDSSPLFSVKGE >Potri.005G213300.7.v4.1 pep chromosome:Pop_tri_v4:5:21666474:21676137:-1 gene:Potri.005G213300.v4.1 transcript:Potri.005G213300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213300.v4.1 MASMATILRRKLHDNRHFLIQTRLFSLESFSHDTKTTSRIEKILIANRGEIACRIMRTAKRLGIRTVAVYSDADRDSLHVKSADEAVHIGPPPARLSYLNGSAIVEAAIRTGAQAIHPGYGFLSESSDFATLCEDKGLTFVGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDIELMKSEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITKPRHIEVQIFGDKHGNVLHLYERDCSVQRRHQKIIEEAPAPNVMNDFRSHLGQAAVSAAKAVGYHNAGTVEFIVDTVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQISVANGEPLPINQSQVPLLGHAFEARIYAENVPKGFLPATGVLHHYRPVPVSPTVRVETGVEQGDTVSMHYDPMIAKLVVSGENRAAALVKLKDCLSKFQVAGVPTNINFLQKLADHRAFENGNVETHFIEHYKDDLFTDPNNLTRAKETYDNARFSATLVAACLCEKEHSAIKSSLPGTNGLLPIWYSHPPFRAHYQASCTMELEWENEYDGSSSEFFTFSITYQSDGNYLIETEEVNSPGLEVKATLLHDQDFRVETDGVSMDVSLSAYSKDKIKHIHLWHGSHHYHFRQKLELDLSDDNEIQQKTNFETALHPPGTVVAPMAGLVVKVLVMDGTKVEEGQPILVLEAMKMEHVVKAPFSGHVHGLQVTAGQQVSDSSPLFSVKGE >Potri.005G213300.5.v4.1 pep chromosome:Pop_tri_v4:5:21667850:21676063:-1 gene:Potri.005G213300.v4.1 transcript:Potri.005G213300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213300.v4.1 MASMATILRRKLHDNRHFLIQTRLFSLESFSHDTKTTSRIEKILIANRGEIACRIMRTAKRLGIRTVAVYSDADRDSLHVKSADEAVHIGPPPARLSYLNGSAIVEAAIRTGAQAIHPGYGFLSESSDFATLCEDKGLTFVGPPASAIRDMGDKSASKRIMGAAGVPLVPGYHGSEQDIELMKSEADKIGYPILIKPTHGGGGKGMRIVQSPNEFVDSFLGAQREAAASFGINTILLEKYITKPRHIEVQIFGDKHGNVLHLYERDCSVQRRHQKIIEEAPAPNVMNDFRSHLGQAAVSAAKAVGYHNAGTVEFIVDTVSGQFYFMEMNTRLQVEHPVTEMIVGQDLVEWQISVANGEPLPINQSQVPLLGHAFEARIYAENVPKGFLPATGVLHHYRPVPVSPTVRVETGVEQGDTVSMHYDPMIAKLVVSGENRAAALVKLKDCLSKFQVAGVPTNINFLQKLADHRAFENGNVETHFIEHYKDDLFTDPNNLTRAKETYDNARFSATLVAACLCEKEHSAIKSSLPGTNGLLPIWYSHPPFRAHYQASCTMELEWENEYDGSSSEFFTFSITYQSDGNYLIETEEVNSPGLEVKATLLHDQDFRVETDGVSMDVSLSAYSKDKIKHIHLWHGSHHYHFRQKLELDLSDDNEIQQKTNFETALHPPGTVVAPMAGLVVKVLVMDGTKVEEGQPILVLEAMKMEHVVKAPFSGHVHGLQVTAGQQVSDSSPLFSVKFPGSYSATRCSIHHES >Potri.006G255000.2.v4.1 pep chromosome:Pop_tri_v4:6:25271284:25274139:-1 gene:Potri.006G255000.v4.1 transcript:Potri.006G255000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255000.v4.1 MAPSTIRKAIGTVKDQTSISIAKVASNMAPELEVAIVKATSHDDEPPNQKYIQEILSLTSSSRGYVNACVSLVSRRLGKTRDWIVALKALMVIHRLLNEGDPVFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFIRTFAMYLDQRLELILFERKGGDGGGRVGGGSANGGEIERYDGGRGDFRSPQQQRGYEYSDQYNGEHNRGESGYGMPRRTRSYGDMSEMGGREGREEKKTVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNNRMILIALYPVVKESFKLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASAAKQIDELIALYNWCKDTGVARSSEYPEVQRITGKLLETLEEFLRDRSKRPKSPERREEAPPVPQEEEPVPDMNEIKALPPPENYTPPPPEPEPKPQQPQFAEDLVNLRDDAVTADDQGNRFALALFAGPPANNGNGSWEAFPSNGEPQLTSAWQTPAAEPGKADWELALVETASNLSKQKATLGGGFDPLLLNGMYDQGMVRQHVGTAQLSGGSASSVALPGSGKSTTPVLALPAPDGTVQAVNQDPFAASLSVPPPSYVQMADMEKKQNLLVQEQVTWQQYAREGMQGQASLVKISGTGHYNGGPTPMMPYGMPPVNGMGLPPAGYYHAPY >Potri.006G255000.1.v4.1 pep chromosome:Pop_tri_v4:6:25271086:25273772:-1 gene:Potri.006G255000.v4.1 transcript:Potri.006G255000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G255000.v4.1 MAPSTIRKAIGTVKDQTSISIAKVASNMAPELEVAIVKATSHDDEPPNQKYIQEILSLTSSSRGYVNACVSLVSRRLGKTRDWIVALKALMVIHRLLNEGDPVFQEEILYATRKGTRLLNMSDFRDEAHSSSWDHSAFIRTFAMYLDQRLELILFERKGGDGGGRVGGGSANGGEIERYDGGRGDFRSPQQQRGYEYSDQYNGEHNRGESGYGMPRRTRSYGDMSEMGGREGREEKKTVTPLREMKPERIFGKMGHLQRLLDRFLSCRPTGLAKNNRMILIALYPVVKESFKLYADICEVLAVLLDKFFDMEYPDCVKAFDAYASAAKQIDELIALYNWCKDTGVARSSEYPEVQRITGKLLETLEEFLRDRSKRPKSPERREEAPPVPQEEEPVPDMNEIKALPPPENYTPPPPEPEPKPQQPQFAEDLVNLRDDAVTADDQGNRFALALFAGPPANNGNGSWEAFPSNGEPQLTSAWQTPAAEPGKADWELALVETASNLSKQKATLGGGFDPLLLNGMYDQGMVRQHVGTAQLSGGSASSVALPGSGKSTTPVLALPAPDGTVQAVNQDPFAASLSVPPPSYVQMADMEKKQNLLVQEQVTWQQYAREGMQGQASLVKISGTGHYNGGPTPMMPYGMPPVNGMGLPPAGYYHAPY >Potri.007G117302.1.v4.1 pep chromosome:Pop_tri_v4:7:13650049:13650651:1 gene:Potri.007G117302.v4.1 transcript:Potri.007G117302.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G117302.v4.1 MKKGIVTKEEVERCIREVLESEKSNAIRGNSDKWKKLAKTAVDISGSSDKNIEEFVTEVACKSQRYHRVRPLLP >Potri.008G090300.2.v4.1 pep chromosome:Pop_tri_v4:8:5640001:5648377:1 gene:Potri.008G090300.v4.1 transcript:Potri.008G090300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090300.v4.1 MKFGKHFKQQKVPEWTGAYMDYNGLKRILGEILQYKQSRQPSTPLRAAMQHKLTSHRHFSGLNPQSTNLSSKGDIEDQVIDVNASPEEGSRKLYYKTEFLRESEEGGEIEAKFFKKLDEELNNVNTFYKDKSEEMKHEAFLLNKQMDALIALRIKVDNPHFDGSDARKSDTTGVATTNPLKSPSRDTTSGVEDMNVEHGVEISNDFQLEKSSYEQSGREHMELTSELDRRTDCNQEESTRGPEVIEVQARDHGNAHQEKDNLTDYNEDPLKILERVKINNTFKSPLATIKGVFKDSKEEELSFKKEELKKVEERLRVALIEFYQKLLLLKHYSYMNLAAFSKIMKKYEKISSRRASRSYMKTLDNSCLGNSDEVNGLLERLEATFIKHFANSNRREGMDSLRPKAKREKHSVTFFSGFFSGCSIALLIAVVLRIQARKLMDKAEGASYMVNIFPLYSLFAFIVLHILIYSANIYFWRQYRVNYPFIFGFKQGTELGHRDVFLLGTGLAVLALSSFLANLHLDLGSKASNYKTITELVPLGLVTVVLAIIFCPFNIIYRSSRFFFVQCLFRCICAPLYKVRLADFFLADHVTSQVQAIRSIELYICYYGLGEYSRRQNKCHSHGAYNAFYFVVAVVPFWLRLLQCLRRLCEEKDAVHGYNGLKYFLTIIAVLIRTAYELKKGRTWMVFALISSAVAVIVNTYWDIAVDWGLLRRKSKNAFLRDKLVISHKSVYFAAIIMNVVLRLAWMQLVIEFNLQSIHKMAA >Potri.008G090300.8.v4.1 pep chromosome:Pop_tri_v4:8:5640001:5643614:1 gene:Potri.008G090300.v4.1 transcript:Potri.008G090300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090300.v4.1 MKFGKHFKQQKVPEWTGAYMDYNGLKRILGEILQYKQSRQPSTPLRAAMQHKLTSHRHFSGLNPQSTNLSSKGDIEDQVIDVNASPEEGSRKLYYKTEFLRESEEGGEIEAKFFKKLDEELNNVNTFYKDKSEEMKHEAFLLNKQMDALIALRIKVDNPHFDGSDARKSDTTGVATTNPLKSPSRDTTSGVEDMNVEHGVEISNDFQLEKSSYEQSGREHMELTSELDRRTDCNQEESTRGPEVIEVQARDHGNAHQEKDNLTDYNEDPLKILERVKINNTFKSPLATIKGVFKDSKEEELSFKKEELKKVEERLRVALIEFYQKLLLLKHYSYMNLAAFSKIMKKYEKISSRRASRSYMKTLDNSCLGNSDEVNGLLERLEATFIKHFANSNRREGMDSLRPKAKREKHSVTFFSGFFSGCSIALLIAVVLRIQARKLMDKAEGASYMVNIFPLYSLFAFIVLHILIYSANIYFWRQYRVNYPFIFGFKQGTELGHRDVFLLGTGLAVLALSSFLANLHLDLGSKASNYKTITELVPLGLVTVRFY >Potri.008G090300.6.v4.1 pep chromosome:Pop_tri_v4:8:5640001:5648377:1 gene:Potri.008G090300.v4.1 transcript:Potri.008G090300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090300.v4.1 MKFGKHFKQQKVPEWTGAYMDYNGLKRILGEILQYKQSRQPSTPLRAAMQHKLTSHRHFSGLNPQSTNLSSKGDIEDQVIDVNASPEEGSRKLYYKTEFLRESEEGGEIEAKFFKKLDEELNNVNTFYKDKSEEMKHEAFLLNKQMDALIALRIKVDNPHFDGSDARKSDTTGVATTNPLKSPSRDTTSGVEDMNVEHGVEISNDFQLEKSSYEQSGREHMELTSELDRRTDCNQEESTRGPEVIEVQARDHGNAHQEKDNLTDYNEDPLKILERVKINNTFKSPLATIKGVFKDSKEEELSFKKEELKKVEERLRVALIEFYQKLLLLKHYSYMNLAAFSKIMKKYEKISSRRASRSYMKTLDNSCLGNSDEVNGLLERLEATFIKHFANSNRREGMDSLRPKAKREKHSVTFFSGFFSGCSIALLIAVVLRIQARKLMDKAEGASYMVNIFPLYRLFLPSSSALSTSYIVQVVSSLFSVCSAVFVRRFTRLADFFLADHVTSQVQAIRSIELYICYYGLGEYSRRQNKCHSHGAYNAFYFVVAVVPFWLRLLQCLRRLCEEKDAVHGYNGLKYFLTIIAVLIRTAYELKKGRTWMVFALISSAVAVIVNTYWDIAVDWGLLRRKSKNAFLRDKLVISHKSVYFAAIIMNVVLRLAWMQLVIEFNLQSIHKMAA >Potri.008G090300.7.v4.1 pep chromosome:Pop_tri_v4:8:5640001:5647660:1 gene:Potri.008G090300.v4.1 transcript:Potri.008G090300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090300.v4.1 MKFGKHFKQQKVPEWTGAYMDYNGLKRILGEILQYKQSRQPSTPLRAAMQHKLTSHRHFSGLNPQSTNLSSKGDIEDQVIDVNASPEEGSRKLYYKTEFLRESEEGGEIEAKFFKKLDEELNNVNTFYKDKSEEMKHEAFLLNKQMDALIALRIKVDNPHFDGSDARKSDTTGVATTNPLKSPSRDTTSGVEDMNVEHGVEISNDFQLEKSSYEQSGREHMELTSELDRRTDCNQEESTRGPEVIEVQARDHGNAHQEKDNLTDYNEDPLKILERVKINNTFKSPLATIKGVFKDSKEEELSFKKEELKKVEERLRVALIEFYQKLLLLKHYSYMNLAAFSKIMKKYEKISSRRASRSYMKTLDNSCLGNSDEVNGLLERLEATFIKHFANSNRREGMDSLRPKAKREKHSVTFFSGFFSGCSIALLIAVVLRIQARKLMDKAEGASYMVNIFPLYRLFLPSSSALSTSYIVQVVSSLFSVCSAVFVRRFTRLADFFLADHVTSQVQAIRSIELYICYYGLGEYSRRQNKCHSHGAYNAFYFVVAVVPFWLRLLQCLRRLCEEKDAVHGYNGLKYFLTIIAVLIRTAYELKKGRTWMVFALISSAVAVIVNTYWDIAVDWGLLRRKSKNAFLRDKLVISHKSVYFAAIIMNVVLRLAWMQLVIEFNLQSIHKMAA >Potri.008G090300.3.v4.1 pep chromosome:Pop_tri_v4:8:5640001:5647660:1 gene:Potri.008G090300.v4.1 transcript:Potri.008G090300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090300.v4.1 MKFGKHFKQQKVPEWTGAYMDYNGLKRILGEILQYKQSRQPSTPLRAAMQHKLTSHRHFSGLNPQSTNLSSKGDIEDQVIDVNASPEEGSRKLYYKTEFLRESEEGGEIEAKFFKKLDEELNNVNTFYKDKSEEMKHEAFLLNKQMDALIALRIKVDNPHFDGSDARKSDTTGVATTNPLKSPSRDTTSGVEDMNVEHGVEISNDFQLEKSSYEQSGREHMELTSELDRRTDCNQEESTRGPEVIEVQARDHGNAHQEKDNLTDYNEDPLKILERVKINNTFKSPLATIKGVFKDSKEEELSFKKEELKKVEERLRVALIEFYQKLLLLKHYSYMNLAAFSKIMKKYEKISSRRASRSYMKTLDNSCLGNSDEVNGLLERLEATFIKHFANSNRREGMDSLRPKAKREKHSVTFFSGFFSGCSIALLIAVVLRIQARKLMDKAEGASYMVNIFPLYSLFAFIVLHILIYSANIYFWRQYRVNYPFIFGFKQGTELGHRDVFLLGTGLAVLALSSFLANLHLDLGSKASNYKTITELVPLGLVTVVLAIIFCPFNIIYRSSRFFFVQCLFRCICAPLYKVRLADFFLADHVTSQVQAIRSIELYICYYGLGEYSRRQNKCHSHGAYNAFYFVVAVVPFWLRLLQCLRRLCEEKDAVHGYNGLKYFLTIIAVLIRTAYELKKGRTWMVFALISSAVAVIVNTYWDIAVDWGLLRRKSKNAFLRDKLVISHKSVYFAAIIMNVVLRLAWMQLVIEFNLQSIHKMAA >Potri.008G090300.5.v4.1 pep chromosome:Pop_tri_v4:8:5640001:5647660:1 gene:Potri.008G090300.v4.1 transcript:Potri.008G090300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090300.v4.1 MKFGKHFKQQKVPEWTGAYMDYNGLKRILGEILQYKQSRQPSTPLRAAMQHKLTSHRHFSGLNPQSTNLSSKGDIEDQVIDVNASPEEGSRKLYYKTEFLRESEEGGEIEAKFFKKLDEELNNVNTFYKDKSEEMKHEAFLLNKQMDALIALRIKVDNPHFDGSDARKSDTTGVATTNPLKSPSRDTTSGREHMELTSELDRRTDCNQEESTRGPEVIEVQARDHGNAHQEKDNLTDYNEDPLKILERVKINNTFKSPLATIKGVFKDSKEEELSFKKEELKKVEERLRVALIEFYQKLLLLKHYSYMNLAAFSKIMKKYEKISSRRASRSYMKTLDNSCLGNSDEVNGLLERLEATFIKHFANSNRREGMDSLRPKAKREKHSVTFFSGFFSGCSIALLIAVVLRIQARKLMDKAEGASYMVNIFPLYSLFAFIVLHILIYSANIYFWRQYRVNYPFIFGFKQGTELGHRDVFLLGTGLAVLALSSFLANLHLDLGSKASNYKTITELVPLGLVTVVLAIIFCPFNIIYRSSRFFFVQCLFRCICAPLYKVRLADFFLADHVTSQVQAIRSIELYICYYGLGEYSRRQNKCHSHGAYNAFYFVVAVVPFWLRLLQCLRRLCEEKDAVHGYNGLKYFLTIIAVLIRTAYELKKGRTWMVFALISSAVAVIVNTYWDIAVDWGLLRRKSKNAFLRDKLVISHKSVYFAAIIMNVVLRLAWMQLVIEFNLQSIHKMAA >Potri.008G090300.4.v4.1 pep chromosome:Pop_tri_v4:8:5640001:5648377:1 gene:Potri.008G090300.v4.1 transcript:Potri.008G090300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090300.v4.1 MKFGKHFKQQKVPEWTGAYMDYNGLKRILGEILQYKQSRQPSTPLRAAMQHKLTSHRHFSGLNPQSTNLSSKGDIEDQVIDVNASPEEGSRKLYYKTEFLRESEEGGEIEAKFFKKLDEELNNVNTFYKDKSEEMKHEAFLLNKQMDALIALRIKVDNPHFDGSDARKSDTTGVATTNPLKSPSRDTTSGREHMELTSELDRRTDCNQEESTRGPEVIEVQARDHGNAHQEKDNLTDYNEDPLKILERVKINNTFKSPLATIKGVFKDSKEEELSFKKEELKKVEERLRVALIEFYQKLLLLKHYSYMNLAAFSKIMKKYEKISSRRASRSYMKTLDNSCLGNSDEVNGLLERLEATFIKHFANSNRREGMDSLRPKAKREKHSVTFFSGFFSGCSIALLIAVVLRIQARKLMDKAEGASYMVNIFPLYSLFAFIVLHILIYSANIYFWRQYRVNYPFIFGFKQGTELGHRDVFLLGTGLAVLALSSFLANLHLDLGSKASNYKTITELVPLGLVTVVLAIIFCPFNIIYRSSRFFFVQCLFRCICAPLYKVRLADFFLADHVTSQVQAIRSIELYICYYGLGEYSRRQNKCHSHGAYNAFYFVVAVVPFWLRLLQCLRRLCEEKDAVHGYNGLKYFLTIIAVLIRTAYELKKGRTWMVFALISSAVAVIVNTYWDIAVDWGLLRRKSKNAFLRDKLVISHKSVYFAAIIMNVVLRLAWMQLVIEFNLQSIHKMAA >Potri.007G007600.1.v4.1 pep chromosome:Pop_tri_v4:7:534991:539567:1 gene:Potri.007G007600.v4.1 transcript:Potri.007G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007600.v4.1 MNLELTRVPRQLPLHHNHTLKVSLHKGKPSPKISIYLAFSVSSSRSTKPQTPHRRREPKEPIFVFSSSSSSSSSVHQCHNYYIKKMTVVIDKHNVLVGTFFAFLFLYILRQNIWKREKIRKRTTLKIQNDSAVSVLRHENGGGFSSADDVIVVGAGVAGAALAYTLGKDGRRVHVIERDMKEPDRIVGELLQPGGYLKLIELGLEDCVEEIDAQRVLGYALFKDGKNTRLSYPLEKFHTDVSGRSFHNGRFIQRMREKAATLPNVRLEQGTVTSLLEEDGTVKGVQYKTKDGQELKAYAPLTIVCDGCFSNLRRSLCNPKVDVPSCFVGLILENCQLPFENHGHVILADPSPILFYPISSTEVRCLVDVPGRKVPSIANGEMANYLKNMVAPQIPSELRNAFISAIDKGNIRTMPNRSMPAEPHPTPGALLMGDAFNMRHPLTGGGMTVALSDIVVLRDLLKPLRDLNDAASLTKYLESFYTLRKPVASTINTLAGALYKVFSASSDDARKEMREACFDYLSLGGNFSAGPVALLSGLNPRPLSLVLHFFAVAVYGVGRLLLPFPSPKRVWIGARLISSASGIIFPIIKAEGVRQMFFPATIPAVYRAPPVD >Potri.011G165400.1.v4.1 pep chromosome:Pop_tri_v4:11:18997151:18998457:1 gene:Potri.011G165400.v4.1 transcript:Potri.011G165400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165400.v4.1 MSSTSLRILTTKIPNSLHSTKTLASSLKPTNLSFFIFSKPVSFQKIPTKTQPLLASSSSSSMSLQPIEELPPKLQEMIKLFQSVQEPKAKYEQLLFYGKNLKPLDSEFKTRENKVEGCVSQVWVRAYLDLEKNVVFEADSDSVLTKGLAALLVQGLSGRPVKEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKALELYLEAEKGSGVVESSKLGGGNGDNEGKVEDLGSNGDVGVETSGDNFVKGLSFDGKTDGGETSGLEGSEKGSNSEGLGSRGLRIREKLEKELSPVELEVDDISYQHAGHAGVRGSDGETHFNVKVVSKEFGGKSLVKRHRLIYNLLQEELESGLHALSIVAKTPDEVGER >Potri.011G165400.2.v4.1 pep chromosome:Pop_tri_v4:11:18997213:18998479:1 gene:Potri.011G165400.v4.1 transcript:Potri.011G165400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165400.v4.1 MSLQPIEELPPKLQEMIKLFQSVQEPKAKYEQLLFYGKNLKPLDSEFKTRENKVEGCVSQVWVRAYLDLEKNVVFEADSDSVLTKGLAALLVQGLSGRPVKEVLRVSPDFAVLLGLQQSLTPSRNNGFLNMLKLMQKKALELYLEAEKGSGVVESSKLGGGNGDNEGKVEDLGSNGDVGVETSGDNFVKGLSFDGKTDGGETSGLEGSEKGSNSEGLGSRGLRIREKLEKELSPVELEVDDISYQHAGHAGVRGSDGETHFNVKVVSKEFGGKSLVKRHRLIYNLLQEELESGLHALSIVAKTPDEVGER >Potri.017G032800.1.v4.1 pep chromosome:Pop_tri_v4:17:2274099:2277632:1 gene:Potri.017G032800.v4.1 transcript:Potri.017G032800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032800.v4.1 MGSIPCEQESWVSTRNLGNVQENDQTHSLDFLDDDNYNDDDLLCQETEQVWQNMNQNNTFTKNFNKGSLRKILRKKSQVLLEGYVEGRSNSEDELKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEHQKPPESSSPAEAEAEAASSSPIANWKISSPGDHPEDVKARLKFWAQTVACTVRLCS >Potri.017G032800.2.v4.1 pep chromosome:Pop_tri_v4:17:2274099:2275745:1 gene:Potri.017G032800.v4.1 transcript:Potri.017G032800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032800.v4.1 MGSIPCEQESWVSTRNLGNVQENDQTHSLDFLDDDNYNDDDLLCQETEQVWQNMNQNNTFTKNFNKGSLRKILRKKSQVLLEGYVEGRSNSEDELKRTKSLTDDDLDELKGCLDLGFGFSYDEIPELCNTLPALELCYSMSQKFLDEHQKPPESSSPAEAEAEAASSSPIANWKISSPGELMVLLVFGSWLVQI >Potri.006G247800.1.v4.1 pep chromosome:Pop_tri_v4:6:24764266:24766408:1 gene:Potri.006G247800.v4.1 transcript:Potri.006G247800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247800.v4.1 MSNETAFPPNLMPDEASPDWFNKADNARQLINRLMILYGGGVKKKWAVNSAFMVLYAFACVMFCWVTWGYRMSFGSKFLPFWGKANVALDQKYLLSKAFLGMFPNATMVYFQSVFAAITLILIAGAVLGRMNFYAWMMFVPLWLTFSYTFTAFSVWCPSGFLFKMGLIDYSGGYVIHLSSGVAGYTAAYWVGPRLTKDRERFPPNNILLMLFGAGLLWMGWTGFNGGDPYVVRLDIIFFRKASVIGAVQGMITGLVCITPAAGVVEGWAAVIMGLCSGSIPWTTMMVIHKHSEILQKVDDTMAVLHTHAIAGSLGGILTGLFAKPNLNKLFFGDSAHYIGLFYGFDDKSRIGSGVRQMGVQFAGIMFVVFVNVLTTTIICLSIQMVVPLRMSDEDMEIGDDAAHGEEAYAIWGSGDRHENYFYPSSVNAAFELPVTTN >Potri.008G073400.1.v4.1 pep chromosome:Pop_tri_v4:8:4546376:4547327:-1 gene:Potri.008G073400.v4.1 transcript:Potri.008G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073400.v4.1 MPASLQLQRAAATSTTTTRTGHKQSQTTVNTWGVPLPWDTPVPDYVSCHHTRIPGPNQCCSVVVQTINAPVATVWSVVRRFDNPQAYKHFLKSCHVIDGDGKVGSLREVHVVSGLPAASSTERLEILDDEQHILSFSVVGGVHRLNNYRSVTTLHASPNGNGTVVVESYVVDVPTGNTKEDTCSFLDTIVRCNLQSLAQIAGKKARNNQISITS >Potri.007G003900.1.v4.1 pep chromosome:Pop_tri_v4:7:279254:286086:-1 gene:Potri.007G003900.v4.1 transcript:Potri.007G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003900.v4.1 MCDSPVMLSQSDSTSKILYSEVTYDNSKTQEDLADEVWSTSSSLEANNVNEEAGSFASCIRSSNPYPYRIQLERDVRRLQQQLQDEMEMHAILESAIEKNTVKLFSPSCLPHHFEQAQELLSTIAVLEVTVTKLEQEIVSLHFQLSQERNERRLAEYRLRQSASQSLSAYSFDSMKEEISSSSRSLKHSDYELHQSEKNNSCKHQASESTGETSSAQSLTEDSMKAPELFHEKKVSVQTDAKPTQPAELMRLPKGMPPKGLWDYPNQLSEEMVRCMKNIFLSLADSAVPSMSSALESQSSPVSPRGHLSSSSWWSSSERSMISSWVQSPQIDIQSNSEVLALGSVFDPYKVHGKLSWADIGNYGLATEVSWMSVGKKQLEYASGALRKFRTLVEQLAKVNPIHLSSNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTIGGHYFSAAAIEYVILKMKPPLHRPQIALLLALHKLRLSEEQQKSVIDAHEPLVAFALSCGMYSSPAVRVFTAKNVREELQEAQHDFIRASAGVSNKGKLLVPKMLHCFAKGFVDDTNLAVWISHYLPPNQAAFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKTSR >Potri.007G003900.3.v4.1 pep chromosome:Pop_tri_v4:7:279267:287258:-1 gene:Potri.007G003900.v4.1 transcript:Potri.007G003900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003900.v4.1 MFLPSRSQDAWGILERAKPCDRRMCDSPVMLSQSDSTSKILYSEVTYDNSKTQEDLADEVWSTSSSLEANNVNEEAGSFASCIRSSNPYPYRIQLERDVRRLQQQLQDEMEMHAILESAIEKNTVKLFSPSCLPHHFEQAQELLSTIAVLEVTVTKLEQEIVSLHFQLSQERNERRLAEYRLRQSASQSLSAYSFDSMKEEISSSSRSLKHSDYELHQSEKNNSCKHQASESTGETSSAQSLTEDSMKAPELFHEKKVSVQTDAKPTQPAELMRLPKGMPPKGLWDYPNQLSEEMVRCMKNIFLSLADSAVPSMSSALESQSSPVSPRGHLSSSSWWSSSERSMISSWVQSPQIDIQSNSEVLALGSVFDPYKVHGKLSWADIGNYGLATEVSWMSVGKKQLEYASGALRKFRTLVEQLAKVNPIHLSSNEKLAFWINLYNALIMHAYLAYGVPRSDLKLFSLMQKAAYTIGGHYFSAAAIEYVILKMKPPLHRPQIALLLALHKLRLSEEQQKSVIDAHEPLVAFALSCGMYSSPAVRVFTAKNVREELQEAQHDFIRASAGVSNKGKLLVPKMLHCFAKGFVDDTNLAVWISHYLPPNQAAFVEQCISQRRQSLLGSRNCGILPFDSRFRYLFLPDKTSR >Potri.012G102000.1.v4.1 pep chromosome:Pop_tri_v4:12:12469779:12472225:1 gene:Potri.012G102000.v4.1 transcript:Potri.012G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102000.v4.1 MDMTRKLMNILLPPIALIVLLLILPPYLVLKFLGLIKGFTAYSEDVAGKVVLITGASSGIGEQIAYQYARRGARLALVARREDRLRGVASEARKMGSPDVIMIRADVSKVEDCKRFVDEAIRHFGQLDHLVNNAGISCVCLLEEAPNISDLNSVVDTNFWGSVYGTYFAVPYLRKSQGKIIVISSAAGRFQSPGASIYGASKAALISLYESLRIEFGSDIGITIVTPGLVKSEMTSGEFRSKAKIESIPIESTEDCAKAIVDSACRGDRYLVEPSWVGILFLFKLLCPEVLEWCLHWLLSSAPRNLKKAA >Potri.001G062301.1.v4.1 pep chromosome:Pop_tri_v4:1:4807871:4809548:1 gene:Potri.001G062301.v4.1 transcript:Potri.001G062301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062301.v4.1 MALRIKQIKKYNFYPSLRARACRVWIAKFNGQPSSFNCVFVDNQGGAIQALAKTRDLPTFAATIIEGNHYEIKGFYTYENTVVNTVAAHDAVIDLKSNTKITGIEAITPHVPRYYFNFIDYAHILTKSKGSRILTDVLGRLKALQPLEQVMVRGQTLENKREFMIENIR >Potri.001G062301.2.v4.1 pep chromosome:Pop_tri_v4:1:4807870:4809548:1 gene:Potri.001G062301.v4.1 transcript:Potri.001G062301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062301.v4.1 MALRIKQIKKYNFYPSLRARACRVWIAKFNGQPSSFNCVFVDNQGGAIQALAKTRDLPTFAATIIEGNHYEIKGFYTYENTVVNTVAAHDAVIDLKSNTKITDVLGRLKALQPLEQVMVRGQTLENKREFMIENIR >Potri.001G216000.1.v4.1 pep chromosome:Pop_tri_v4:1:22280047:22282632:-1 gene:Potri.001G216000.v4.1 transcript:Potri.001G216000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216000.v4.1 MSSFSKSLRLSSSSLEEENLMLPKRQGIVSILGSDVERTKSAAASLRRTLSADMSSKKWQAQHGFYPLKKIASSDHFPACNITDSSSSEDEDYEDRPKGTEAQGQFDIWSSIQEDKNKKEVEKPGQFDVWSSILSQKTKEDSKNVQPYVHPLVKRSASSLSKKSLEICTESLGSETGSDGFSSYPPSETGDAEEDKEEEQRQERVTLKFDTEDLRVAKYNLAAANCKKSQPRSFPPPIPSLSSRDGASVLMKSRRDNGRLVLEAVSVPSQKNFHAQRQDGRLVLTFANTVDQDEEERQKNEEMNVMEQFDVEIENSEDEKECEEDEEEVEEEIEEDVVEDGENERGGDKEARFVMEEAPKLSSGVISVHRLALMMNKSLVFANRNPTWPNKFNDISKFGEVEPITSLTQSLPPRPPVARMIPSPPAATMATTEVTAKAAASFNACEYFWKPKSMTTTTTSVLNPISQKQASSLGIHDNKLALSKKFVPNEQQELVVLRGNKGDYLVPLLKSCKEPRKSLLFWEPHCIATS >Potri.006G275300.1.v4.1 pep chromosome:Pop_tri_v4:6:26688290:26690999:1 gene:Potri.006G275300.v4.1 transcript:Potri.006G275300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275300.v4.1 MPGLTCNACNKEFDDDAEQKLHYKSEWHRYNLKRKVAGVPGVTEALFVARQSAIAKEKEKNETPMLYSCVLCNKGYRSSKAHDQHLKSRSHILRASQGTNQEEENTVIKPLPRREVNKRVVQREADVKESEESEDEWEEVDSDEELVAEATKSLTGLNVNEMASLDDIVEDDEDDVLLDPSCCFVCDQEHDNIESCMVHMHKQHGFFIPDVEYLKDPQGLLTYLGLKVKRDFMCLYCNDKRQPFNSLEAVRKHMEAKSHCKVHYGDGDEDEEAELEDFYDYSSSYVAEDGRQLVASGDMANTAELGSGGSELIITTKSGKKVSSKTLGSREYLRYYRQKPRPSHANHIAIAAALASRYRSMGLTTVQSREQMVRMKVMKQVSRSAEAMRTKINMKNNVIWNLPKNVPY >Potri.010G127400.13.v4.1 pep chromosome:Pop_tri_v4:10:14436984:14441125:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQKNRVCGMHG >Potri.010G127400.14.v4.1 pep chromosome:Pop_tri_v4:10:14437174:14441124:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQKNRVCGMHG >Potri.010G127400.10.v4.1 pep chromosome:Pop_tri_v4:10:14437460:14441018:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQAPAERRQVFRSELQRVGAEGANVLRELGSKVDKMEKLGPGDILKEVHEAAEQLQKKIDQRSYLLVNSESWEIPRDPQVFQELESLKENGNIKLGFKSASEAVLDLRSLTLSTPSLPPKDSQDNLFAKQASWPSRLSFNADTGIEESERRTYESASALSLAMFASLLIEFSARLQNVVESFEELSEKANFVEPIMNSEIV >Potri.010G127400.7.v4.1 pep chromosome:Pop_tri_v4:10:14436859:14441124:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQAPAERRQVFRSELQRVGAEGANVLRELGSKVDKMEKLGPGDILKEVHEAAEQLQKKIDQRSYLLVNSESWEIPRDPQVFQELESLKENGNIKLGFKSASEAVLDLRSLTLSTPSLPPKDSQDNLFAKQASWPSRLSFNADTGIEESERRTYESASALSLAMFASLLIEFSARLQNVVESFEELSEKANFVEPIMNSEIV >Potri.010G127400.6.v4.1 pep chromosome:Pop_tri_v4:10:14437205:14441124:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQAPAERRQVFRSELQRVGAEGANVLRELGSKVDKMEKLGPGDILKEVHEAAEQLQKKIDQRSYLLVNSESWEIPRDPQVFQELESLKENGNIKLGFKSASEAVLDLRSLTLSTPSLPPKDSQDNLFAKQASWPSRLSFNADTGIEESERRTYESASALSLAMFASLLIEFSARLQNVVESFEELSEKANFVEPIMNSEIV >Potri.010G127400.12.v4.1 pep chromosome:Pop_tri_v4:10:14437174:14440849:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MMVLLHSEFSVEGRSRKINKVMKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQKNRVCGMHG >Potri.010G127400.15.v4.1 pep chromosome:Pop_tri_v4:10:14437174:14441124:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQKNRVCGMHG >Potri.010G127400.8.v4.1 pep chromosome:Pop_tri_v4:10:14437188:14441124:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQAPAERRQVFRSELQRVGAEGANVLRELGSKVDKMEKLGPGDILKEVHEAAEQLQKKIDQRSYLLVNSESWEIPRDPQVFQELESLKENGNIKLGFKSASEAVLDLRSLTLSTPSLPPKDSQDNLFAKQASWPSRLSFNADTGIEESERRTYESASALSLAMFASLLIEFSARLQNVVESFEELSEKANFVEPIMNSEIV >Potri.010G127400.11.v4.1 pep chromosome:Pop_tri_v4:10:14437303:14441058:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQAPAERRQVFRSELQRVGAEGANVLRELGSKVDKMEKLGPGDILKEVHEAAEQLQKKIDQRSYLLVNSESWEIPRDPQVFQELESLKENGNIKLGFKSASEAVLDLRSLTLSTPSLPPKDSQDNLFAKQASWPSRLSFNADTGIEESERRTYESASALSLAMFASLLIEFSARLQNVVESFEELSEKANFVEPIMNSEIV >Potri.010G127400.16.v4.1 pep chromosome:Pop_tri_v4:10:14437174:14441058:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQKNRVCGMHG >Potri.010G127400.9.v4.1 pep chromosome:Pop_tri_v4:10:14437190:14441124:1 gene:Potri.010G127400.v4.1 transcript:Potri.010G127400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127400.v4.1 MKMAPYRHNYVESSKEWQPLLGSLSGDVEQAGKCKYLNLLSEKISKSLNDFQEFAVRAWEMGRSDPKKVIFAIKMGLALSIVSLLIFWKGSNEDISQYSIWAILTVIVMFEYSIGATFIKGFNRVLGTICAGILAFFCAELSMLAGDQGEEVLIVASIFIAGFFSSYLKLYPTMAPYEYGFRVFILTYCILMVAGNRTREYTTAVLTRLVLIAVGAGVCFVVNLFIYPIWAGDALHSLVAKNFMDLAISLEGCVNGYLKCVEYERVPSKILTFQAYDDPLYNGYRSVLESTRREDSLFGFAIWEPPHGRFRMFNYPWKNYVKLSGALRHSAFMVMALHGCILSEIQAPAERRQVFRSELQRVGAEGANVLRELGSKVDKMEKLGPGDILKEVHEAAEQLQKKIDQRSYLLVNSESWEIPRDPQVFQELESLKENGNIKLGFKSASEAVLDLRSLTLSTPSLPPKDSQDNLFAKQASWPSRLSFNADTGIEESERRTYESASALSLAMFASLLIEFSARLQNVVESFEELSEKANFVEPIMNSEIV >Potri.015G041600.1.v4.1 pep chromosome:Pop_tri_v4:15:3833132:3835461:-1 gene:Potri.015G041600.v4.1 transcript:Potri.015G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041600.v4.1 MASDTLVLRGTMRAHTDQVTAIATPIDNSDMIVSSSRDKSIILWSLTKDEKTYGVARRRLTGHSHFVQDVVLSSDGQFALSGSWDGELRLWDLATGVSARRFVGHTKDVLSVAFSIDNRQIVSASRDKTIKLWNTLGECKYTIQEAESHTDWVSCVRFSPNTLQPTIVSASWDKTVKVWNLTNCKLRSTLAGHGGYVNTVAVSPDGSLCASGGKDGVILLWDLAEGKRLYSLDAGAVINALCFSPNRYWLCAATENSIKIWDLESKLVVDDLKVDLKAEAEKSEGTTGTAASTTKKIYCTCLNWSADGSTLFSGYTDGVIRVWGIGRY >Potri.007G044200.1.v4.1 pep chromosome:Pop_tri_v4:7:3833012:3834668:1 gene:Potri.007G044200.v4.1 transcript:Potri.007G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044200.v4.1 MVDVDRRMTGLNPGHIAGLRRLSARAAAPSTTTTLPPRNSLLSFSSLADKVITHLRSSGIQVQPGLTESEFARSEAEFGFAFPPDLRAVLSAGLPVGPGFPDWRSAGARLHLRASLDLPIAAICFQIARNTLWSKSWGPRPSDPEKALRVARNSLKRAPLLIPIFNHCYIPCQPSLAGNPIFFIDESRIFCCGLDLSDFFDRESLFRSSESHPIIIKKQKSVSEKSTGLSNNLSRKSLDTGLVNGSRTPRWVEFWSDAAVDRRRRNSASSSSGSSSPERFFEMRRSEIPKWVGDYIEKIGSVLREGGWKESDIEEMVEVSASGFFEGEMVILDNQAVLDALLLKVDRFSDSLRKAGWSSEEVSDALGFDFRPEKERKPVKKLSPELVEKIGKLAESVSR >Potri.008G152900.3.v4.1 pep chromosome:Pop_tri_v4:8:10496293:10504619:1 gene:Potri.008G152900.v4.1 transcript:Potri.008G152900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152900.v4.1 MAGGSHPKSSTQKPSSSSTPAPHRKPRWESTATNNNNPQPPQSNQKLHKPNPSPKPNTGPSPKPVTSTAGPIQPPQVPPFPFPDLGPPPPPTYGFHMLERRTIVLADGSVRSYLALPPDYQDFPRPPLPPRFLHRGGHLDFLPTGPRFPPSNHDALGFQNQNQNQNKRKFDEESVKQGNSSSSYNNNSNGKNYTLGPDNRGSGTSSVAGEMRAGKKMRIGSGDDVGLANRNNNRNFGEVNQSELKKAFFHFVKVINENETDRKKYLEDGKQGRLRCMACGRSWICGWQVKVLVWKRWPSRHYSCKVWW >Potri.008G152900.1.v4.1 pep chromosome:Pop_tri_v4:8:10496290:10504619:1 gene:Potri.008G152900.v4.1 transcript:Potri.008G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152900.v4.1 MAGGSHPKSSTQKPSSSSTPAPHRKPRWESTATNNNNPQPPQSNQKLHKPNPSPKPNTGPSPKPVTSTAGPIQPPQVPPFPFPDLGPPPPPTYGFHMLERRTIVLADGSVRSYLALPPDYQDFPRPPLPPRFLHRGGHLDFLPTGPRFPPSNHDALGFQNQNQNQNKRKFDEESVKQGNSSSSYNNNSNGKNYTLGPDNRGSGTSSVAGEMRAGKKMRIGSGDDVGLANRNNNRNFGEVNQSELKKAFFHFVKVINENETDRKKYLEDGKQGRLRCMACGRSSKDFPDMHALIMHTYSSDNADVRVDHLGLHKALCILMRWNYSMPPDNSKAYQFLPADEAGANQDDLIMWPPMVIIHNTITGKSKDGRMEGLGNRAMDSKMRDLGFVGGKSKSLYGRDGHLGITLVKFGGDQSGLKEATRMAEYFEKDNHGREAWGCLQPVTLGKDDEKNPSLVKVDRSGEKTRILYGYLATAADLYKVDFETRKKVVIESLREYEASK >Potri.018G128400.2.v4.1 pep chromosome:Pop_tri_v4:18:13934428:13944751:-1 gene:Potri.018G128400.v4.1 transcript:Potri.018G128400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128400.v4.1 MSLSRSQPSTTTTDTILPGPPSRNNFSSLDLSSSNLLAFPSGSSISIVDALSLQLISTFPLPPPPSSTSSPSLSPFITSVRFTPSPLNRNLLSTEPSSSHLLLAAADRHGRIALLDFRLKSIVLWLEPDPNPKSGIQDLCWILSRSDSYALAAISGPSSLYLYTTTGAASTATASNCCFFKYDASPEFLSCIRRDPFDSRHFCVIGLKGFLLSVKVLAESENDVILKEFKIPTDYSDLLRLEKDVTPSSGGVGGSLAPASAVFPLYSVKMAFSPQWRNILFVTFPRELVVFDLKYETVLFSAALPRGCGKFLDVLPDPNNELLYCAHLDGKLSIWRRKEGEQVHVMCAMEELMPSIGTSVPSPSVLAVAICQSESTLQHVAKICSDAPDSPSAEVDFDNPFDFCDDTVVHSTTHMISISDDGKVWNWLLTAEGTGDNHKDTVADSREIPLIGDNANAVVVTDGLGKEAGKQQELGNGNKNRLSSTLSQDLSFKISLVGQLQLLSSTVTMLAVPSPSLIATLARGGNYPAVAVPLVALGTQSGTIDVVDVSANAVAASFSVHNSTVRGLRWLGNSRLVSFSYNQVNEKNGGYNNRLVVTCLRSGLNRPFRVLQKPERAPIRALRTSSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWTLPTVPRPVQNGPSKQVLWSSKDQTPVAQDGASTAKEPASESTGSSDASQDDTAESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTTGHSSSFNTHREGIRRIKFSPVVPGDRSRGLIAVLFYDNTFSIFDLDLPDPLANSLLQPLFPGTLVLELDWLPLRTNRNDPLVLCIAGADSSFRLVEVNVNDKKLGLQPRAIKEKFQPMPICSPILLPTPHALALRMILQLGVKPSWFNTCSTTIDKRPHLIPGTASFKGDLRNYIIDLPPVGDSVVPEMLLKVLDPYRREGCILDDETARLYAIVVKKGCAARFAFAAAIFGETSEALFWLQLPRALKHLMDKLVTKSTQKAPVSASTPELDDVTMLNRISSKGRSVIGTEKKDPLSEGQLRSMAFQKEELWESACERIPWHEKLEGEEAIQNRVHELVSIGNLEAAVSLLLSTSPESSYFYVNALRAVALSSAVSRSLHELAVKVVAANMVQTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLSGSDYARVLLRWANHVLHAEHNIWRALILYVAAGALQDALAALRETQQPDTAAMFILACHEGHAQFISNLGNSDDESGSSIKDTLVGLPGLNPENEDVIAVGEYYGQYQRKLVHLCMDSQPFSD >Potri.018G128400.1.v4.1 pep chromosome:Pop_tri_v4:18:13934369:13944753:-1 gene:Potri.018G128400.v4.1 transcript:Potri.018G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128400.v4.1 MSLSRSQPSTTTTDTILPGPPSRNNFSSLDLSSSNLLAFPSGSSISIVDALSLQLISTFPLPPPPSSTSSPSLSPFITSVRFTPSPLNRNLLSTEPSSSHLLLAAADRHGRIALLDFRLKSIVLWLEPDPNPKSGIQDLCWILSRSDSYALAAISGPSSLYLYTTTGAASTATASNCCFFKYDASPEFLSCIRRDPFDSRHFCVIGLKGFLLSVKVLAESENDVILKEFKIPTDYSDLLRLEKDVTPSSGGVGGSLAPASAVFPLYSVKMAFSPQWRNILFVTFPRELVVFDLKYETVLFSAALPRGCGKFLDVLPDPNNELLYCAHLDGKLSIWRRKEGEQVHVMCAMEELMPSIGTSVPSPSVLAVAICQSESTLQHVAKICSDAPDSPSAEVDFDNPFDFCDDTVVHSTTHMISISDDGKVWNWLLTAEGTGDNHKDTVADSREIPLIGDNANAVVVTDGLGKEAGKQQELGNGNKNRLSSTLSQDLSFKISLVGQLQLLSSTVTMLAVPSPSLIATLARGGNYPAVAVPLVALGTQSGTIDVVDVSANAVAASFSVHNSTVRGLRWLGNSRLVSFSYNQVNEKNGGYNNRLVVTCLRSGLNRPFRVLQKPERAPIRALRTSSSGRYLLILFRDAPVEVWAMTKTPIMLRSLALPFTVLEWTLPTVPRPVQNGPSKQVLWSSKDQTPVAQDGASTAKEPASESTAGSSDASQDDTAESFAFALVNGALGVFEVHGRRIRDFRPKWPSSSFVSSDGLITAMAYRLPHVVMGDRSGNIRWWDVTTGHSSSFNTHREGIRRIKFSPVVPGDRSRGLIAVLFYDNTFSIFDLDLPDPLANSLLQPLFPGTLVLELDWLPLRTNRNDPLVLCIAGADSSFRLVEVNVNDKKLGLQPRAIKEKFQPMPICSPILLPTPHALALRMILQLGVKPSWFNTCSTTIDKRPHLIPGTASFKGDLRNYIIDLPPVGDSVVPEMLLKVLDPYRREGCILDDETARLYAIVVKKGCAARFAFAAAIFGETSEALFWLQLPRALKHLMDKLVTKSTQKAPVSASTPELDDVTMLNRISSKGRSVIGTEKKDPLSEGQLRSMAFQKEELWESACERIPWHEKLEGEEAIQNRVHELVSIGNLEAAVSLLLSTSPESSYFYVNALRAVALSSAVSRSLHELAVKVVAANMVQTDRSLSGTHLLCAVGRYQEACSQLQDAGCWTDAATLAATHLSGSDYARVLLRWANHVLHAEHNIWRALILYVAAGALQDALAALRETQQPDTAAMFILACHEGHAQFISNLGNSDDESGSSIKDTLVGLPGLNPENEDVIAVGEYYGQYQRKLVHLCMDSQPFSD >Potri.001G089300.1.v4.1 pep chromosome:Pop_tri_v4:1:7087603:7090243:-1 gene:Potri.001G089300.v4.1 transcript:Potri.001G089300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089300.v4.1 MNSQGGISMAGGGGSSATATSSWVSPSSVSTSGKRIQREMTELNMDPPPDCSAGPKGDNLYHWISTVIGPPGTPYQGGIFFLDLTFPSDYPFKPPKVVFKTRIYHCNVDSAGNLSLDILKDSWSPALTITKVLLAIRSIFTHPDPYNPLVPGIAHLYLADRAKHDELAAEWTLRFAK >Potri.014G036600.1.v4.1 pep chromosome:Pop_tri_v4:14:2307020:2308433:-1 gene:Potri.014G036600.v4.1 transcript:Potri.014G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G036600.v4.1 MPTEISQGHPTEAQNTMGATHQPPKTTEPLPCPRCNSTTTKFCYYNNYNLSQPRHFCKSCRRYWTQGGTLRDVPVGGGTRKNSKRSRSTSNNSSSISTSSSNSTSSNSAILTAFTTTHEPESMPVVLSSTTDSGLAAVKTEVPAGLNLNDGLPSEDGNFISLMNSNDQHGFTGLGGYGYASGFGFGPSEMGIGFGGRGSWSCPVMENVLVNGGTSGCHPWQLDCDHVEGGGLQAGFTADTENYFGSWPDLVMSAPAGKGLN >Potri.018G024500.11.v4.1 pep chromosome:Pop_tri_v4:18:1804862:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINSASLKTYAHDDTSVGSWMMGLQATYIDDNRLCCSSIKQDKVCSQA >Potri.018G024500.5.v4.1 pep chromosome:Pop_tri_v4:18:1804505:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINSASLKTYAHDDTSVGSWMMGLQATYIDDNRLCCSSIKQDKVCSQA >Potri.018G024500.9.v4.1 pep chromosome:Pop_tri_v4:18:1803137:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINSASLKTYAHDDTSVGSWMMGLQATYIDDNRLCCSSIKQDKVCSQA >Potri.018G024500.13.v4.1 pep chromosome:Pop_tri_v4:18:1805793:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINRILLQLL >Potri.018G024500.10.v4.1 pep chromosome:Pop_tri_v4:18:1803137:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINSASLKTYAHDDTSVGSWMMGLQATYIDDNRLCCSSIKQDKVCSQA >Potri.018G024500.7.v4.1 pep chromosome:Pop_tri_v4:18:1803137:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINSASLKTYAHDDTSVGSWMMGLQATYIDDNRLCCSSIKQDKVCSQA >Potri.018G024500.6.v4.1 pep chromosome:Pop_tri_v4:18:1803137:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINSASLKTYAHDDTSVGSWMMGLQATYIDDNRLCCSSIKQDKVCSQA >Potri.018G024500.12.v4.1 pep chromosome:Pop_tri_v4:18:1804862:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINSASLKTYAHDDTSVGSWMMGLQATYIDDNRLCCSSIKQDKVCSQA >Potri.018G024500.8.v4.1 pep chromosome:Pop_tri_v4:18:1803137:1809995:-1 gene:Potri.018G024500.v4.1 transcript:Potri.018G024500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024500.v4.1 MESSIPLSTKPERRWRSKPLQTSKPSLFLAFFSCFAWLYVAGRLWQDAENRTLLSNLLQRNVAQGSKLLTVEDKLVVLGCKDLERRIVEAEMELTLAKSQGYIKSRLSQNESSSGKKFLAVIGVYTGFGSHLKRKVFRGSWMPRGDALKKLEERGVVIRFVIGRSANRGDSLDRNINGENRSTKDFLILEGHEEAQEELPKKVKSFFSTAVQTWDAEFYVKADNNINLDLEGLIELLEHRRSQASAYIGCMKSGEVITEEGSPWYEPEWWKFGDEKSYFQHAAGSLLILSKKLARYIDINSASLKTYAHDDTSVGSWMMGLQATYIDDNRLCCSSIKQDKVCSQA >Potri.011G166000.1.v4.1 pep chromosome:Pop_tri_v4:11:19028222:19029798:1 gene:Potri.011G166000.v4.1 transcript:Potri.011G166000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166000.v4.1 MQSSKAPASMEVETREHKSQGALPAKPKFEPLKAHEMSDGRVQFRKVSVPPHRYSPLKKAWMEIYTPIYEQMKIDVRMNLKARKVELKTRSDTPDVSNLQKCADFVHAFMLGFDVIDAIALLRLDELYVESFEIKDVKTLRGEHLSRAIGRLSGKGGKTKFAIENATKTRIVIADTKIHILGSFQNIKVARDSLCSLILGSPAGKVYSKLRQVTARLAERF >Potri.013G001700.1.v4.1 pep chromosome:Pop_tri_v4:13:127899:128940:1 gene:Potri.013G001700.v4.1 transcript:Potri.013G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001700.v4.1 MTRKKVKLAYICNDSARKATFKKRKKGLMKKVSELSTLCGIDACAIVYSPYDSQPEVWPSPLGVQRVVTKFKTMPEIEQGKKMVNQESFLRQRITKSCDHIKKQRKDNREKEVTHAMFHCLGGNVSLGNLHMMDLNDLGWMIDHSLRDIDMTLNNGSGSGTSHTSQLEAAATTGAGPSSAREQAPESSQMVTNDFEVNVGAMQRQEWFMDLMTPQEHMGFGGEDMVLPSGDNSQNGLWTNSFFP >Potri.005G077100.1.v4.1 pep chromosome:Pop_tri_v4:5:5205701:5206908:1 gene:Potri.005G077100.v4.1 transcript:Potri.005G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077100.v4.1 MEERVPNESGDKSDEPPLHTRSPPSGSDLIPKARRFPSRKKSIKHPSPEAIESETYIIQIPKDQIFSTPSPENAIIAERHRLPQKKDQRSCCNRWLCIIVALILLALIIGIIVWTFHILFTPKVPLFTVVNVTVKKPLSTHKKAHLGYQITFETENPNGRLSISYVNKGDATLLYKNHKIGTGKFPEVDQDADSSKSIELGLSGSSGPLPDDVETSIQDKRGKKHVSLSIRMDVPVTMKGLGGIKLRRKEINVVCTFKVSSLGAGKDVLSQKCQSKFK >Potri.011G083900.1.v4.1 pep chromosome:Pop_tri_v4:11:9235384:9236514:-1 gene:Potri.011G083900.v4.1 transcript:Potri.011G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G083900.v4.1 MKRNCNLELRLHTPADSDNHHHRYQPLVEASNESRQLELEQQEQKQLTIFYNGRVLVCDVAELQARAILMLASREMEDRLRSPVGSEPSASSPISPSQLYSPVGLPMKRSLQRFLKKRKHRIQATFPCKVKNELTQTGRLRNFVSREDQ >Potri.001G185900.7.v4.1 pep chromosome:Pop_tri_v4:1:16508289:16511942:-1 gene:Potri.001G185900.v4.1 transcript:Potri.001G185900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185900.v4.1 MMAGNPSWWSMHPPSQQTSALLSSSPSIFPSQYVFGSSSFSSNPLPDNQELPLSWSQLLLGGSSGDEDRYGMSQFQAKRLENWEDQILNPSPSISVVADVKQEVSHNSNLYGHGDEDFQALRSPAWPQVMPGSSPRSCVTSISSTNILDFSYNKADGANQHPDQSSECNSTATGGVCKKARVQPSSSQPLKVRKEKLGDRITVLHQMVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGTASPNMRNHQQSGQEERVSAFSEDIGQDNQDNPKDLRSRGLCLVPLSCTQHVGSDNGADYWAPAMGGGF >Potri.001G185900.6.v4.1 pep chromosome:Pop_tri_v4:1:16508294:16511902:-1 gene:Potri.001G185900.v4.1 transcript:Potri.001G185900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185900.v4.1 MMAGNPSWWSMHPPSQQTSALLSSSPSIFPSQYVFGSSSFSSNPLPDNQELPLSWSQLLLGGSSGDEDRYGMSQFQAKRLENWEDQILNPSPSISVVADVKQEVSHNSNLYGHGDEDFQALRSPAWPQVMPGSSPRSCVTSISSTNILDFSYNKADGANQHPDQSSECNSTATGGVCKKARVQPSSSQPLKVRKEKLGDRITVLHQMVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGTASPNMRNHQQSGQEERVSAFSEDIGQDNQDNPKDLRSRGLCLVPLSCTQHVGSDNGADYWAPAMGGGF >Potri.001G185900.8.v4.1 pep chromosome:Pop_tri_v4:1:16508294:16511915:-1 gene:Potri.001G185900.v4.1 transcript:Potri.001G185900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185900.v4.1 MMAGNPSWWSMHPPSQQTSALLSSSPSIFPSQYVFGSSSFSSNPLPDNQELPLSWSQLLLGGSSGDEDRYGMSQFQAKRLENWEDQILNPSPSISVVADVKQEVSHNSNLYGHGDEDFQALRSPAWPQVMPGSSPRSCVTSISSTNILDFSYNKADGANQHPDQSSECNSTATGGVCKKARVQPSSSQPLKVRKEKLGDRITVLHQMVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGTASPNMRNHQQSDNQDNPKDLRSRGLCLVPLSCTQHVGSDNGADYWAPAMGGGF >Potri.001G185900.2.v4.1 pep chromosome:Pop_tri_v4:1:16508259:16511962:-1 gene:Potri.001G185900.v4.1 transcript:Potri.001G185900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185900.v4.1 MMAGNPSWWSMHPPSQQTSALLSSSPSIFPSQYVFGSSSFSSNPLPDNQELPLSWSQLLLGGSSGDEDRYGMSQFQAKRLENWEDQILNPSPSISVVADVKQEVSHNSNLYGHGDEDFQALRSPAWPQVMPGSSPRSCVTSISSTNILDFSYNKADGANQHPDQSSECNSTATGGVCKKARVQPSSSQPLKVRKEKLGDRITVLHQMVSPFGKTDTASVLLEAIGYIRFLQGQIEALSSPYLGTASPNMRNHQQSDNQDNPKDLRSRGLCLVPLSCTQHVGSDNGADYWAPAMGGGF >Potri.008G116600.1.v4.1 pep chromosome:Pop_tri_v4:8:7443522:7449402:1 gene:Potri.008G116600.v4.1 transcript:Potri.008G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G116600.v4.1 MAGPWLVDGNRFATKIKSASSASNHERVAWKSDPCKTCPNCHHVIDNSDVVQEWPGLPRGVKFDPSDQEIIWHLLAKAGNGGIKSHPFIKEFIPTVENDDGICYTHPKNLPGVKQDGSISHFFHRAIKAYNTGTRKRRKIQGDDSGDVRWHKTGRTKPVILDGVQKGCKKIMVLYTSTVRGGKAEKTNWVMHQYHLGTGEDERDGEYVVSKVFYQQQAANKGEKTEEDLSEIANAVVAKVDPVTPKSVTPDPPRAERRCQDFDLGKESTNVCTEPYAEHPGVENLEEANLQHESPCYNDQPGMENHVDQMVGDNDNCAEEGTKWWDSESQHLLDSQQLVEGLSLCDEFLQSQSPSRGGHGTGASGKSGLSDYARLGPEHLKKDLEECQNLELDPANIELDTPPEFRLSQLEFGSQDSFMAWGEGRVAD >Potri.010G187700.6.v4.1 pep chromosome:Pop_tri_v4:10:18402792:18414014:1 gene:Potri.010G187700.v4.1 transcript:Potri.010G187700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187700.v4.1 MFSKLFQRNTSQQQPPPPQQQPPAQPQPQPQPQPQPQPPPPPPPAEDNVSKGVLTPKDINPRIALHYGIPSTASILAFDHVQSLLAIGTLDGRIKVIGGNNIEGLLVSPKQSPFKYLEFLQNQGFLVSVSNENEIQVWDLEQRRIASSLQWESNITAFSVIFCSSYMYVGDEYGMVYVLKYDAEEVKLVPMPYHVPADVAADASGMSSPKNRSVVGVLPQPSSQGNKVLIAYEDGLIIIWDVSEDKVVLVKGNKDLELKCKITADSHKDTGPELSDDISDYQPLEKEIAALCWASTDGSVLAVGYVDGDILLWNLSSTTSAKDMHAAKSSNDVVKLLLSTGDRRLPVIVLHWSAHRSHNDCRGRLFVYGGDAIGSEEALTILSLDWSSGIESLKCTGRVDLTLNGSFADMVLLPSVGDMGTSSTLILTNPGQLNLYNDAGLSSSISLLEKRNYVSSIQYPMVIPTIEPQLTLAKLGLVFRDGKFSKALSKEISSRKLQATHCPRSTNWPLTGGVPSQLQDAEKYQVERLYMAGYQDGTVKIWDATYPTFALIYVLGPEVKGINVADADANASVSALEFCSDTLSLAIGNERGMVCLYKLVRSADEMTLKFVTGTEKEVYTLDQGDGPQCTAVFSFLSSPIYALQFANFGTRLAVGFHCSQVALLDTSTSSVLFLTDSLSGSNSPITSLAVRVFSDSSDLINNREDTESKTMEDHVRLEVFVMTKDAHTVVIDGNTGGILCSQSIKSEKELTSPSLYIMEGDDLISEMSSGKHVSNSSQKSEAKSEPVPDVACSESAPLKVDHEASAKASHFKQRVENFLLLFCCEDALDLYSLNEVDINPIRKVNLMKPCCWSTQFKKDDKDCGVILLYQTGEIEIRSLPDLEVVGESSLMSILRWNFKTNMEKTICSSENAQIILVNGCEFAAISLLACENDFRIPESLPSLHDKLLTAAADATISLSPNQKITQGASSGILGGLVKGFQGSMAEHDVDLFEVCKNNFAHLEGIFSSPPFLKPSIDLVDDQKVVELRIDDIDIDEPLFVSSSSEMMSKNDTKDRGTERERLFEGASTDSQPKLKTADEIKAKYRKEDVSAVAARAKDKLIQRQEKLERLSERTAELQSGAENFGSMANELAKQMEKRKWWNI >Potri.010G187700.2.v4.1 pep chromosome:Pop_tri_v4:10:18402740:18414016:1 gene:Potri.010G187700.v4.1 transcript:Potri.010G187700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187700.v4.1 MFSKLFQRNTSQQQPPPPQQQPPAQPQPQPQPQPQPQPPPPPPPAEVQDNVSKGVLTPKDINPRIALHYGIPSTASILAFDHVQSLLAIGTLDGRIKVIGGNNIEGLLVSPKQSPFKYLEFLQNQGFLVSVSNENEIQVWDLEQRRIASSLQWESNITAFSVIFCSSYMYVGDEYGMVYVLKYDAEEVKLVPMPYHVPADVAADASGMSSPKNRSVVGVLPQPSSQGNKVLIAYEDGLIIIWDVSEDKVVLVKGNKDLELKCKITADSHKDTGPELSDDISDYQPLEKEIAALCWASTDGSVLAVGYVDGDILLWNLSSTTSAKDMHAAKSSNDVVKLLLSTGDRRLPVIVLHWSAHRSHNDCRGRLFVYGGDAIGSEEALTILSLDWSSGIESLKCTGRVDLTLNGSFADMVLLPSVGDMGTSSTLILTNPGQLNLYNDAGLSSSISLLEKRNYVSSIQYPMVIPTIEPQLTLAKLGLVFRDGKFSKALSKEISSRKLQATHCPRSTNWPLTGGVPSQLQDAEKYQVERLYMAGYQDGTVKIWDATYPTFALIYVLGPEVKGINVADADANASVSALEFCSDTLSLAIGNERGMVCLYKLVRSADEMTLKFVTGTEKEVYTLDQGDGPQCTAVFSFLSSPIYALQFANFGTRLAVGFHCSQVALLDTSTSSVLFLTDSLSGSNSPITSLAVRVFSDSSDLINNREDTESKTMEDHVRLEVFVMTKDAHTVVIDGNTGGILCSQSIKSEKELTSPSLYIMGDDLISEMSSGKHVSNSSQKSEAKSEPVPDVACSESAPLKVDHEASAKASHFKQRVENFLLLFCCEDALDLYSLNEVDINPIRKVNLMKPCCWSTQFKKDDKDCGVILLYQTGEIEIRSLPDLEVVGESSLMSILRWNFKTNMEKTICSSENAQIILVNGCEFAAISLLACENDFRIPESLPSLHDKLLTAAADATISLSPNQKITQGASSGILGGLVKGFQGSMAEHDVDLFEVCKNNFAHLEGIFSSPPFLKPSIDLVDDQKVVELRIDDIDIDEPLFVSSSSEMMSKNDTKDRGTERERLFEGASTDSQPKLKTADEIKAKYRKEDVSAVAARAKDKLIQRQEKLERLSERTAELQSGAENFGSMANELAKQMEKRKWWNI >Potri.010G187700.5.v4.1 pep chromosome:Pop_tri_v4:10:18402585:18414082:1 gene:Potri.010G187700.v4.1 transcript:Potri.010G187700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187700.v4.1 MFSKLFQRNTSQQQPPPPQQQPPAQPQPQPQPQPQPQPPPPPPPAEVQDNVSKGVLTPKDINPRIALHYGIPSTASILAFDHVQSLLAIGTLDGRIKVIGGNNIEGLLVSPKQSPFKYLEFLQNQGFLVSVSNENEIQVWDLEQRRIASSLQWESNITAFSVIFCSSYMYVGDEYGMVYVLKYDAEEVKLVPMPYHVPADVAADASGMSSPKNRSVVGVLPQPSSQGNKVLIAYEDGLIIIWDVSEDKVVLVKGNKDLELKCKITADSHKDTGPELSDDISDYQPLEKEIAALCWASTDGSVLAVGYVDGDILLWNLSSTTSAKDMHAAKSSNDVVKLLLSTGDRRLPVIVLHWSAHRSHNDCRGRLFVYGGDAIGSEEALTILSLDWSSGIESLKCTGRVDLTLNGSFADMVLLPSVGDMGTSSTLILTNPGQLNLYNDAGLSSSISLLEKRNYVSSIQYPMVIPTIEPQLTLAKLGLVFRDGKFSKALSKEISSRKLQATHCPRSTNWPLTGGVPSQLQDAEKYQVERLYMAGYQDGTVKIWDATYPTFALIYVLGPEVKGINVADADANASVSALEFCSDTLSLAIGNERGMVCLYKLVRSADEMTLKFVTGTEKEVYTLDQGDGPQCTAVFSFLSSPIYALQFANFGTRLAVGFHCSQVALLDTSTSSVLFLTDSLSGSNSPITSLAVRVFSDSSDLINNREDTESKTMEDHVRLEVFVMTKDAHTVVIDGNTGGILCSQSIKSEKELTSPSLYIMEGDDLISEMSSGKHVSNSSQKSEAKSEPVPDVACSESAPLKVDHEASAKASHFKQRVENFLLLFCCEDALDLYSLNEVDINPIRKVNLMKPCCWSTQFKKDDKDCGVILLYQTGEIEIRSLPDLEVVGESSLMSILRWNFKTNMEKTICSSENAQIILVNGCEFAAISLLACENDFRIPESLPSLHDKLLTAAADATISLSPNQKITQGASSGILGGLVKGFQGSMAEHDVDLFEVCKNNFAHLEGIFSSPPFLKPSIDLVDDQKVVELRIDDIDIDEPLFVSSSSEMMSKNDTKDRGTERERLFEGASTDSQPKLKTADEIKAKYRKEDVSAVAARAKDKLIQRQEKLERLSERTAELQSGAENFGSMANELAKQMEKRKWWNI >Potri.005G082000.11.v4.1 pep chromosome:Pop_tri_v4:5:5589981:5595587:1 gene:Potri.005G082000.v4.1 transcript:Potri.005G082000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082000.v4.1 MNSTSTQFVTSGRMGIYDPMHQIGMWGENFKSNRNTSTSTMFIAGNPNPSASIIIAPDTKLDNQSEDTSQGTLGPSNKYDQEASKPSDKVQRRLAQNREAARKSRLRKKAYVQQLESSRTKLLQLEQELDRARQQGLYIGGGVDTSQLGFGGATNSEIPTFEMEYGHWLEGQNRHICDMRIALNAHISDAELHILVERGMSHYSELFRMKATAAKADVFYVMSGLWKSSAERFLLWIGGFRPSELLKILLPHIEPLSEQQVVNALNLRQSCQQAEDALSQGMEKLQQTLAETVAAGQLGEASYSPHKETATEKRNDLVRFVQQADHLRQETLQQMSRILTTHQAARGLLALGEYFQRLRDLSSLWAIRPCEPA >Potri.005G082000.10.v4.1 pep chromosome:Pop_tri_v4:5:5589981:5595676:1 gene:Potri.005G082000.v4.1 transcript:Potri.005G082000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082000.v4.1 MNSTSTQFVTSGRMGIYDPMHQIGMWGENFKSNRNTSTSTMFIAGNPNPSASIIIAPDTKLDNQSEDTSQGTLGPSNKYDQEASKPSDKVQRRLAQNREAARKSRLRKKAYVQQLESSRTKLLQLEQELDRARQQGLYIGGGVDTSQLGFGGATNSEIPTFEMEYGHWLEGQNRHICDMRIALNAHISDAELHILVERGMSHYSELFRMKATAAKADVFYVMSGLWKSSAERFLLWIGGFRPSELLKILLPHIEPLSEQQVVNALNLRQSCQQAEDALSQGMEKLQQTLAETVAAGQLGEASYSPHKETATEKRNDLVRFVQQADHLRQETLQQMSRILTTHQAARGLLALGEYFQRLRDLSSLWAIRPCEPA >Potri.005G082000.7.v4.1 pep chromosome:Pop_tri_v4:5:5589981:5595707:1 gene:Potri.005G082000.v4.1 transcript:Potri.005G082000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082000.v4.1 MNSTSTQFVTSGRMGIYDPMHQIGMWGENFKSNRNTSTSTMFIAGNPNPSASIIIAPDTKLDNQSEDTSQGTLGPSNKYDQEASKPSDKVQRRLAQNREAARKSRLRKKAYVQQLESSRTKLLQLEQELDRARQQGLYIGGGVDTSQLGFGGATNSEIPTFEMEYGHWLEGQNRHICDMRIALNAHISDAELHILVERGMSHYSELFRMKATAAKADVFYVMSGLWKSSAERFLLWIGGFRPSELLKILLPHIEPLSEQQVVNALNLRQSCQQAEDALSQGMEKLQQTLAETVAAGQLGEASYSPHKETATEKRNDLVRFVQQADHLRQETLQQMSRILTTHQAARGLLALGEYFQRLRDLSSLWAIRPCEPA >Potri.005G082000.12.v4.1 pep chromosome:Pop_tri_v4:5:5589981:5595587:1 gene:Potri.005G082000.v4.1 transcript:Potri.005G082000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082000.v4.1 MNSTSTQFVTSGRMGIYDPMHQIGMWGENFKSNRNTSTSTMFIAGNPNPSASIIIAPDTKLDNQSEDTSQGTLGPSNKYDQEASKPSDKVQRRLAQNREAARKSRLRKKAYVQQLESSRTKLLQLEQELDRARQQGLYIGGGVDTSQLGFGGATNSEIPTFEMEYGHWLEGQNRHICDMRIALNAHISDAELHILVERGMSHYSELFRMKATAAKADVFYVMSGLWKSSAERFLLWIGGFRPSELLKILLPHIEPLSEQQVVNALNLRQSCQQAEDALSQGMEKLQQTLAETVAAGQLGEASYSPHKETATEKRNDLVRFVQQADHLRQETLQQMSRILTTHQAARGLLALGEYFQRLRDLSSLWAIRPCEPA >Potri.005G082000.2.v4.1 pep chromosome:Pop_tri_v4:5:5589981:5595676:1 gene:Potri.005G082000.v4.1 transcript:Potri.005G082000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G082000.v4.1 MNSTSTQFVTSGRMGIYDPMHQIGMWGENFKSNRNTSTSTMFIAGNPNPSASIIIAPDTKLDNQSEDTSQGTLGPSNKYDQEASKPSDKVQRRLAQNREAARKSRLRKKAYVQQLESSRTKLLQLEQELDRARQQGLYIGGGVDTSQLGFGGATNSEIPTFEMEYGHWLEGQNRHICDMRIALNAHISDAELHILVERGMSHYSELFRMKATAAKADVFYVMSGLWKSSAERFLLWIGGFRPSELLKILLPHIEPLSEQQVVNALNLRQSCQQAEDALSQGMEKLQQTLAETVAAGQLGEASYSPHKETATEKRNDLVRFVQQADHLRQETLQQMSRILTTHQAARGLLALGEYFQRLRDLSSLWAIRPCEPA >Potri.001G215400.2.v4.1 pep chromosome:Pop_tri_v4:1:22213478:22216199:1 gene:Potri.001G215400.v4.1 transcript:Potri.001G215400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215400.v4.1 MPNQQEHPKSQRNIISLMAETSSTNSIKIGTKMFSTQFVIIFSLFLSLPILFLLAPRIFPSHNPSIPISPSDELDDFVLFRKAIASASASTSASATRYPSAHSHLTSKSKKLKIAFLFLTNTDLFFAPLWEQFFKSADKNLFNIYVHADPHSNVTKPTGIFFSQFIPDAKRTYRASPTLISATRRLLANAILDDPTNTFFAVLSQYCIPLHSFKYVYNSLISSKSFDLSSPESDPESTKYNMKIQYKSFIEIISKDRRLWKRYVSRGKYAMMPEVPFEKFRAGSQFFVLTRRHALMVIEDRRLWNKFKLPCYREDECYPEEHYFPTLLSMQDPDGCTKYTLTKVNWTGTRNGHPYTYKAAEISPVLIQELRQSNYSSSYLFARKFEPICLNPLMKIADKVIFRD >Potri.001G215400.1.v4.1 pep chromosome:Pop_tri_v4:1:22213439:22216280:1 gene:Potri.001G215400.v4.1 transcript:Potri.001G215400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215400.v4.1 MPNQQEHPKSQRNIISLMAETSSTNSIKIGTKMFSTQFVIIFSLFLSLPILFLLAPRIFPSHNPSIPISPSDELDDFVLFRKAIASASASTSASATRYPSAHSHLTSKSKKLKIAFLFLTNTDLFFAPLWEQFFKSADKNLFNIYVHADPHSNVTKPTGIFFSQFIPDAKRTYRASPTLISATRRLLANAILDDPTNTFFAVLSQYCIPLHSFKYVYNSLISSKSFDLSSPESDPESTKYNMKIQYKSFIEIISKDRRLWKRYVSRGKYAMMPEVPFEKFRAGSQFFVLTRRHALMVIEDRRLWNKFKLPCYREDECYPEEHYFPTLLSMQDPDGCTKYTLTKVNWTGTRNGHPYTYKAAEISPVLIQELRQSNYSSSYLFARKFEPICLNPLMKIADKVIFRD >Potri.016G103000.7.v4.1 pep chromosome:Pop_tri_v4:16:10474799:10482887:-1 gene:Potri.016G103000.v4.1 transcript:Potri.016G103000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103000.v4.1 MIQIGHLRRIGVGRVQTLPNFSFKATIEARMLISNTHSAAVAASPLLQSVHGDGNSRQNPRRNQIGDNVSKKDKIKFLITTLLDLNDSKDSVYGALDAWVAWEQKFPIASIKQVLIALEKEQQWHRIVQVIKWMLSKGQGTTMGTYAQFIRALDMDHRAKEAHEFWLKKIGRDLHSVPWQLCNRMISIYYRNNMLENLIKLFKGLEAFDRQPPEKSIVQKVADSYEMLGLLEEKERVLEKYNHIFVEAGKGQNKKLRNASSKKNKKSGKPKNESASDTLADAVDDKKLSQSLSEHCR >Potri.016G103000.8.v4.1 pep chromosome:Pop_tri_v4:16:10476118:10482875:-1 gene:Potri.016G103000.v4.1 transcript:Potri.016G103000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103000.v4.1 MIGHLRRIGVGRVQTLPNFSFKATIEARMLISNTHSAAVAASPLLQSVHGDGNSRQNPRRNQIGDNVSKKDKIKFLITTLLDLNDSKDSVYGALDAWVAWEQKFPIASIKQVLIALEKEQQWHRIVQVIKWMLSKGQGTTMGTYAQFIRALDMDHRAKEAHEFWLKKIGRDLHSVPWQLCNRMISIYYRNNMLENLIKLFKGLEAFDRQPPEKSIVQKVADSYEMLGLLEEKERVLEKYNHIFVEAGKGQNKKLRNASSKKNKKSGKAFLSHFLYECHFLMATKLCAVNMFND >Potri.016G103000.2.v4.1 pep chromosome:Pop_tri_v4:16:10474775:10482878:-1 gene:Potri.016G103000.v4.1 transcript:Potri.016G103000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103000.v4.1 MIGHLRRIGVGRVQTLPNFSFKATIEARMLISNTHSAAVAASPLLQSVHGDGNSRQNPRRNQIGDNVSKKDKIKFLITTLLDLNDSKDSVYGALDAWVAWEQKFPIASIKQVLIALEKEQQWHRIVQVIKWMLSKGQGTTMGTYAQFIRALDMDHRAKEAHEFWLKKIGRDLHSVPWQLCNRMISIYYRNNMLENLIKLFKGLEAFDRQPPEKSIVQKVADSYEMLGLLEEKERVLEKYNHIFVEAGKGQNKKLRNASSKKNKKSGKPKNESASDTLADAVDDKKLSQSLSEHCR >Potri.015G026500.2.v4.1 pep chromosome:Pop_tri_v4:15:1985181:1991476:1 gene:Potri.015G026500.v4.1 transcript:Potri.015G026500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026500.v4.1 MASIADNWKDFSGAKNWEGLLDHPINTDFRRYLIHYGERVGAIGDAFNYVKASDSYALSRHPPEELFMNVNLQNGNPFKYQVTKYFYLKSEDIAEVLELDLEGSAWIGYVAVTTDEGQRVLGRRDILVCWRGTILPAEWLKDFLFVLIPASDIFGATNNPKVHSGFHNVYVAKSSKSKYNKTSAREQVLAEVRRLVDRYALNGEEVSITVAGHSLGAALATLNAMDIVANGYNKPSGSDIGFPVTVFAYACPRVGDQGFQDVFNGLTNDLHVLRIKNSKDPVPRHPVLLYQDVGKELEIDSIKSPYPKDPTKPHDLELYLHTIAGYQGKEEEFKLVVDRDIALLNKGLDLLPDKYKIPPNWWNVKNNGMIQTDNGFWKLNDYVPDPPTEDDVEGIIL >Potri.015G026500.1.v4.1 pep chromosome:Pop_tri_v4:15:1985181:1986895:1 gene:Potri.015G026500.v4.1 transcript:Potri.015G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026500.v4.1 MASIADNWKDFSGAKNWEGLLDHPINTDFRRYLIHYGERVGAIGDAFNYVKASDSYALSRHPPEELFMNVNLQNGNPFKYQVTKYFYLKSEDIAEVLELDLEGSAWIGYVAVTTDEGQRVLGRRDILVCWRGTILPAEWLKDFLFVLIPASDIFGATNNPKVHSGFHNVYVAKSSKSKYNKTSAREQVLAEVRRLVDRYALNGEEVSITVAGHSLGAALATLNAMDIVANGYNKPSGSDIGFPVTVFAYACPRVGDQGFQDVFNGLTNDLHVLRIKNSKDPVPRHPVLLYQDVGKELEIDSIKSPYPKDPTKPHDLELYLHTIAGYQGKEEEFKLVVDRDIALLNKGLDLLPDKYKIPPNWWNVKNNGMIQTDNGFWKLNDYVPDPPTEDDVEGIIL >Potri.006G005000.1.v4.1 pep chromosome:Pop_tri_v4:6:367445:368870:-1 gene:Potri.006G005000.v4.1 transcript:Potri.006G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005000.v4.1 MDRRGGFHGYRKLLNTSSEINMRLTAEINHNSSSNSNVHVTTDDINECTVREQDRFMPIANVIRIMRKILPSHAKISDDAKETIQECVSEYISFITSEANERCQREQRKTITAEDVLYAMSKLGFDDYIEPLTIYLHRYRELEGERSSMRCEPLVKSTRNNNNNVDQFGAMAGVGAYAPAFHVSHHHHGFFGGSPIGAGYMNTRDATPNASSSHAAALANVEAFAQHK >Potri.009G102900.5.v4.1 pep chromosome:Pop_tri_v4:9:9054368:9065317:-1 gene:Potri.009G102900.v4.1 transcript:Potri.009G102900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102900.v4.1 MFSWNFAKSAEAVLSRWAMKRLCKFVLKKKLGKFILGDIDLDQLDVQLAEGTIQLSDLALNVDCLNEKFGAAASVMIKEGSIGSLSVKMPWKGKGFQVEVDELELVLAPCLKKRNSPADDETSSSSQESRHGHKEVGRFGNDLMENAQKSSFVDVHEGVKTIAKVVKWFLTSFHVKVKKLIVAYEPYFEKDEKKVGCQETLVLRVPEIECGTCVSEDANLSSDERVENFLGISQLMNFIKFQGAVLELLKTDGVDNQSCSPCVSDSSFSEQFSGRCRSKPTTPIVTGKKGGFSGNLKLSIPWKNGSLDIHKLDAEVCVDPVELRLQPSTIKWFLLSWETYKNIDQDGRGDAHYKSTEPVYFNSSSHFHSSLSIPGVVANDKVSPVRGSLTSALSSFTGKESVSEAMLPGSHLISDWVPNSIQNEKDGIQEELDLGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSALTAASSLASGSFQIPSEDQHVQTTLKVTLAGVSVLLSFQDEDQEYLYGQKSDQNTVGLEIRCLSAECKDIFVVLQVCPQEMRFEGTVKCIEVIDYLYDKNDAMNSHSTEFSNSQTVLIQNLQSEVQGVLPPFPHSDELSTLIAPGVPFGNATKMKLLGTSGVTRCQFTVYSDSSDGNFTGTKSFSLQLPLLIFWVNFASVNVILNLLKDAEKSVERSIQRNGFPSVNKKHESSHGNMKKGSSSRVSTLTSTENLQGSISVLKARVILCFPFVSGGDIGGHSPWNQFIAVDISSPSILESPTSNSSSWKRHAPRTICSLHLNVSNLKVYLVNPACNDDGTTLSTLMPRYRFCAQKIVSVSNRAGCLCTISMLWQEDPVTGPWIAEKAKSLATSEESRSRKKIKVKGYEFASATAAKDLGDINLQTREELILSSAFFLHVHLLPVVVDLSSSQYRNLHCLLDQMINGLSGMACNVDGVRELSPASQTSILVKCESVDFSIRPDIKDDIKSSLQSELPGSWHCLKLKIQKFDMLSVSNIGGIRGANFFWLAHGEGKLWGSITGVPDQEFLLISCSNSTMKRGDGGGSNALSSSLAGSEIIHIWDPKSSHDFTSVSVRCATVIAVGGRLDWLDAISSFFILPSPKVEKANNENLAKGDLNAPSETSFILKLVDIGISYEPYLKKSVVRDLHSESGSSYSIEETGEPHIACLLAASLFSLSNTTTEDSIDNDYKIRVQDVGLLLGAAHENIGGTHSVEYLHKMGYVRVAHEALVEAILRTDCKNGLLWEVECTKSHIYVETCHDTTRGLMCLAAQFQQLYAPDLEESVVHLQNRWNGVCQTQERNEFNDEGRIFNHDCAPSTSQVHAPTADTKSNLGVVGLMDEICEDAFHLHGIQACRFDSSGSEIRVSLDESLLGEACSLSVETPDFFSNDLSYDWPVPLIGLESNQTTFLQSGSFPEFIEGYCVSDLRPLSELSMGRQSPPEKLKCISKNFGNADHGRGNGGWYGDAPLSIVENHISGASSEASVNQVLEDQLPTLHSARSDDFGKATGRVLFKNIDVSWRMYAGSDWQAYKKNSDPCSHTCGRDTTVCLELALSGMQFQYNVFPVGGVCASKLCLTVQDFHLSDKSKTAPWKQILGYYHSKDHPRESTSKAFKLDLEAVRPDPLIPLEEYRLRITLLPLLLHLHQSQLDFLISFFGPKSFSAGQSSDQDQNSDGVKTSATNSCNLAGHTIANEALLPFFQKFEIWPIILRVDYSPHRVDLAALSSGKYVELVNLVPWKGVELQLKHVHAVGVYGWGSVFETIIGEWLVEISRNQMHKILQGLPTIRSLVAVGSGAAKLVSLPVESYRKDHKIIKGMQRGTSAFLKSISLEAVGFGVHLAAGAHDILLQAEYILTNIPSPPVSWSVQAKTKENVRCNQPKDAQQGIQHAYESLSDGLGKSASALVQTPLKKYQHGASTVTALATAVRAVPAAAIAPVSACAGAMHCALLGLRNSLDPEHKKESMEKYLGSSKPNDWD >Potri.009G102900.7.v4.1 pep chromosome:Pop_tri_v4:9:9054481:9065340:-1 gene:Potri.009G102900.v4.1 transcript:Potri.009G102900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102900.v4.1 MFSWNFAKSAEAVLSRWAMKRLCKFVLKKKLGKFILGDIDLDQLDVQLAEGTIQLSDLALNVDCLNEKFGAAASVMIKEGSIGSLSVKMPWKGKGFQVEVDELELVLAPCLKKRNSPADDETSSSSQESRHGHKEVGRFGNDLMENAQKSSFVDVHEGVKTIAKVVKWFLTSFHVKVKKLIVAYEPYFEKDEKKVGCQETLVLRVPEIECGTCVSEDANLSSDERVENFLGISQLMNFIKFQGAVLELLKTDGVDNQSCSPCVSDSSFSEQFSGRCRSKPTTPIVTGKKGGFSGNLKLSIPWKNGSLDIHKLDAEVCVDPVELRLQPSTIKWFLLSWETYKNIDQDGRGDAHYKSTEPVYFNSSSHFHSSLSIPGVVANDKVSPVRGSLTSALSSFTGKESVSEAMLPGSHLISDWVPNSIQNEKDGIQEELDLGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSALTAASSLASGSFQIPSEDQHVQTTLKVTLAGVSVLLSFQDEDQEYLYGQKSDQNTVGLEIRCLSAECKDIFVVLQVCPQEMRFEGTVKCIEVIDYLYDKNDAMNSHSTEFSNSQTVLIQNLQSEVQGVLPPFPHSDELSTLIAPGVPFGNATKMKLLGTSGVTRCQFTVYSDSSDGNFTGTKSFSLQLPLLIFWVNFASVNVILNLLKDAEKSVERSIQRNGFPSVNKKHESSHGNMKKGSSSRVSTLTSTENLQGSISVLKARVILCFPFVSGGDIGGHSPWNQFIAVDISSPSILESPTSNSSSWKRHAPRTICSLHLNVSNLKVYLVNPACNDDGTTLSTLMPRYRFCAQKIVSVSNRAGCLCTISMLWQEDPVTGPWIAEKAKSLATSEESRSRKKIKVKGYEFASATAAKDLGDINLQTREELILSSAFFLHVHLLPVVVDLSSSQYRNLHCLLDQMINGLSGMACNVDGVRELSPASQTSILVKCESVDFSIRPDIKDDIKSSLQSELPGSWHCLKLKIQKFDMLSVSNIGGIRGANFFWLAHGEGKLWGSITGVPDQEFLLISCSNSTMKRGDGGGSNALSSSLAGSEIIHIWDPKSSHDFTSVSVRCATVIAVGGRLDWLDAISSFFILPSPKVEKANNENLAKGDLNAPSETSFILKLVDIGISYEPYLKKSVVRDLHSESGSSYSIEETGEPHIACLLAASLFSLSNTTTEDSIDNDYKIRVQDVGLLLGAAHENIGGTHSVEYLHKMGYVRVAHEALVEAILRTDCKNGLLWEVECTKSHIYVETCHDTTRGLMCLAAQFQQLYAPDLEESVVHLQNRWNGVCQTQERNEFNDEGRIFNHDCAPSTSQVHAPTADTKSNLGVVGLMDEICEDAFHLHGIQACRFDSSGSEIRVSLDESLLGEACSLSVETPDFFSNDLSYDWPVPLIGLESNQTTFLQSGSFPEFIEGYCVSDLRPLSELSMGRQSPPEKLKCISKNFGNADHGRGNGGWYGDAPLSIVENHISGASSEASVNQVLEDQLPTLHSARSDDFGKATGRVLFKNIDVSWRMYAGSDWQAYKKNSDPCSHTCGRDTTVCLELALSGMQFQYNVFPVGGVCASKLCLTVQDFHLSDKSKTAPWKQILGYYHSKDHPRESTSKAFKLDLEAVRPDPLIPLEEYRLRITLLPLLLHLHQSQLDFLISFFGPKSFSAGQSSDQDQNSDGVKTSATNSCNLAGHTIANEALLPFFQKFEIWPIILRVDYSPHRVDLAALSSGKYVELVNLVPWKGVELQLKHVHAVGVYGWGSVFETIIGEWLVEISRNQMHKILQGLPTIRSLVAVGSGAAKLVSLPVESYRKDHKIIKGMQRGTSAFLKSISLEAVGFGVHLAAGAHDILLQAEYILTNIPSPPVSWSVQAKTKENVRCNQPKDAQQGIQHAYESLSDGLGKSASALVQTPLKKYQHGASTVTALATAVRAVPAAAIAPVSACAGAMHCALLGLRNSLDPEHKKESMEKYLGSSKPNDWD >Potri.009G102900.6.v4.1 pep chromosome:Pop_tri_v4:9:9054366:9065317:-1 gene:Potri.009G102900.v4.1 transcript:Potri.009G102900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102900.v4.1 MFSWNFAKSAEAVLSRWAMKRLCKFVLKKKLGKFILGDIDLDQLDVQLAEGTIQLSDLALNVDCLNEKFGAAASVMIKEGSIGSLSVKMPWKGKGFQVEVDELELVLAPCLKKRNSPADDETSSSSQESRHGHKEVGRFGNDLMENAQKSSFVDVHEGVKTIAKVVKWFLTSFHVKVKKLIVAYEPYFEKDEKKVGCQETLVLRVPEIECGTCVSEDANLSSDERVENFLGISQLMNFIKFQGAVLELLKTDGVDNQSCSPCVSDSSFSEQFSGRCRSKPTTPIVTGKKGGFSGNLKLSIPWKNGSLDIHKLDAEVCVDPVELRLQPSTIKWFLLSWETYKNIDQDGRGDAHYKSTEPVYFNSSSHFHSSLSIPGVVANDKVSPVRGSLTSALSSFTGKESVSEAMLPGSHLISDWVPNSIQNEKDGIQEELDLGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSALTAASSLASGSFQIPSEDQHVQTTLKVTLAGVSVLLSFQDEDQEYLYGQKSDQNTVGLEIRCLSAECKDIFVVLQVCPQEMRFEGTVKCIEVIDYLYDKNDAMNSHSTEFSNSQTVLIQNLQSEVQGVLPPFPHSDELSTLIAPGVPFGNATKMKLLGTSGVTRCQFTVYSDSSDGNFTGTKSFSLQLPLLIFWVNFASVNVILNLLKDAEKSVERSIQRNGFPSVNKKHESSHGNMKKGSSSRVSTLTSTENLQGSISVLKARVILCFPFVSGGDIGGHSPWNQFIAVDISSPSILESPTSNSSSWKRHAPRTICSLHLNVSNLKVYLVNPACNDDGTTLSTLMPRYRFCAQKIVSVSNRAGCLCTISMLWQEDPVTGPWIAEKAKSLATSEESRSRKKIKVKGYEFASATAAKDLGDINLQTREELILSSAFFLHVHLLPVVVDLSSSQYRNLHCLLDQMINGLSGMACNVDGVRELSPASQTSILVKCESVDFSIRPDIKDDIKSSLQSELPGSWHCLKLKIQKFDMLSVSNIGGIRGANFFWLAHGEGKLWGSITGVPDQEFLLISCSNSTMKRGDGGGSNALSSSLAGSEIIHIWDPKSSHDFTSVSVRCATVIAVGGRLDWLDAISSFFILPSPKVEKANNENLAKGDLNAPSETSFILKLVDIGISYEPYLKKSVVRDLHSESGSSYSIEETGEPHIACLLAASLFSLSNTTTEDSIDNDYKIRVQDVGLLLGAAHENIGGTHSVEYLHKMGYVRVAHEALVEAILRTDCKNGLLWEVECTKSHIYVETCHDTTRGLMCLAAQFQQLYAPDLEESVVHLQNRWNGVCQTQERNEFNDEGRIFNHDCAPSTSQVHAPTADTKSNLGVVGLMDEICEDAFHLHGIQACRFDSSGSEIRVSLDESLLGEACSLSVETPDFFSNDLSYDWPVPLIGLESNQTTFLQSGSFPEFIEGYCVSDLRPLSELSMGRQSPPEKLKCISKNFGNADHGRGNGGWYGDAPLSIVENHISGASSEASVNQVLEDQLPTLHSARSDDFGKATGRVLFKNIDVSWRMYAGSDWQAYKKNSDPCSHTCGRDTTVCLELALSGMQFQYNVFPVGGVCASKLCLTVQDFHLSDKSKTAPWKQILGYYHSKDHPRESTSKAFKLDLEAVRPDPLIPLEEYRLRITLLPLLLHLHQSQLDFLISFFGPKSFSAGQSSDQDQNSDGVKTSATNSCNLAGHTIANEALLPFFQKFEIWPIILRVDYSPHRVDLAALSSGKYVELVNLVPWKGVELQLKHVHAVGVYGWGSVFETIIGEWLVEISRNQMHKILQGLPTIRSLVAVGSGAAKLVSLPVESYRKDHKIIKGMQRGTSAFLKSISLEAVGFGVHLAAGAHDILLQAEYILTNIPSPPVSWSVQAKTKENVRCNQPKDAQQGIQHAYESLSDGLGKSASALVQTPLKKYQHGASTVTALATAVRAVPAAAIAPVSACAGAMHCALLGLRNSLDPEHKKESMEKYLGSSKPNDWD >Potri.009G102900.8.v4.1 pep chromosome:Pop_tri_v4:9:9054369:9065300:-1 gene:Potri.009G102900.v4.1 transcript:Potri.009G102900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102900.v4.1 MFSWNFAKSAEAVLSRWAMKRLCKFVLKKKLGKFILGDIDLDQLDVQLAEGTIQLSDLALNVDCLNEKFGAAASVMIKEGSIGSLSVKMPWKGKGFQVEVDELELVLAPCLKKRNSPADDETSSSSQESRHGHKEVGRFGNDLMENAQKSSFVDVHEGVKTIAKVVKWFLTSFHVKVKKLIVAYEPYFEKDEKKVGCQETLVLRVPEIECGTCVSEDANLSSDERVENFLGISQLMNFIKFQGAVLELLKTDGVDNQSCSPCVSDSSFSEQFSGRCRSKPTTPIVTGKKGGFSGNLKLSIPWKNGSLDIHKLDAEVCVDPVELRLQPSTIKWFLLSWETYKNIDQDGRGDAHYKSTEPVYFNSSSHFHSSLSIPGVVANDKVSPVRGSLTSALSSFTGKESVSEAMLPGSHLISDWVPNSIQNEKDGIQEELDLGASVDQFFECLDGMRSSQSALGSSGMWNWTCSVFSALTAASSLASGSFQIPSEDQHVQTTLKVTLAGVSVLLSFQDEDQEYLYGQKSDQNTVGLEIRCLSAECKDIFVVLQVCPQEMRFEGTVKCIEVIDYLYDKNDAMNSHSTEFSNSQTVLIQNLQSEVQGVLPPFPHSDELSTLIAPGVPFGNATKMKLLGTSGVTRCQFTVYSDSSDGNFTGTKSFSLQLPLLIFWVNFASVNVILNLLKDAEKSVERSIQRNGFPSVNKKHESSHGNMKKGSSSRVSTLTSTENLQGSISVLKARVILCFPFVSGGDIGGHSPWNQFIAVDISSPSILESPTSNSSSWKRHAPRTICSLHLNVSNLKVYLVNPACNDDGTTLSTLMPRYRFCAQKIVSVSNRAGCLCTISMLWQEDPVTGPWIAEKAKSLATSEESRSRKKIKVKGYEFASATAAKDLGDINLQTREELILSSAFFLHVHLLPVVVDLSSSQYRNLHCLLDQMINGLSGMACNVDGVRELSPASQTSILVKCESVDFSIRPDIKDDIKSSLQSELPGSWHCLKLKIQKFDMLSVSNIGGIRGANFFWLAHGEGKLWGSITGVPDQEFLLISCSNSTMKRGDGGGSNALSSSLAGSEIIHIWDPKSSHDFTSVSVRCATVIAVGGRLDWLDAISSFFILPSPKVEKANNENLAKGDLNAPSETSFILKLVDIGISYEPYLKKSVVRDLHSESGSSYSIEETGEPHIACLLAASLFSLSNTTTEDSIDNDYKIRVQDVGLLLGAAHENIGGTHSVEYLHKMGYVRVAHEALVEAILRTDCKNGLLWEVECTKSHIYVETCHDTTRGLMCLAAQFQQLYAPDLEESVVHLQNRWNGVCQTQERNEFNDEGRIFNHDCAPSTSQVHAPTADTKSNLGVVGLMDEICEDAFHLHGIQACRFDSSGSEIRVSLDESLLGEACSLSVETPDFFSNDLSYDWPVPLIGLESNQTTFLQSGSFPEFIEGYCVSDLRPLSELSMGRQSPPEKLKCISKNFGNADHGRGNGGWYGDAPLSIVENHISGASSEASVNQVLEDQLPTLHSARSDDFGKATGRVLFKNIDVSWRMYAGSDWQAYKKNSDPCSHTCGRDTTVCLELALSGMQFQYNVFPVGGVCASKLCLTVQDFHLSDKSKTAPWKQILGYYHSKDHPRESTSKAFKLDLEAVRPDPLIPLEEYRLRITLLPLLLHLHQSQLDFLISFFGPKSFSAGQSSDQDQNSDGVKTSATNSCNLAGHTIANEALLPFFQASTIGTMHAIHQMT >Potri.011G072941.1.v4.1 pep chromosome:Pop_tri_v4:11:7023623:7035606:-1 gene:Potri.011G072941.v4.1 transcript:Potri.011G072941.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072941.v4.1 MFTTLQPPHGLLQFCRVMVVVITLFSSATLVVSYQLHPDEVTALKQIDKTLTQGGQPLELADACNQDSEANSTTVCNCTLNLNNDSYCHITSLSLKTLSLQGKLLPEMASLTYLKYLDLTRNYISGNIPEEWASMKHLTNLSLTSNRLSGNIPGYLGSFRSLTYLSLEANQFSGTIPSQLGDLVNLTALILSSNQLEGYLPNTLAKLNLTDFRASDNNLSGRIPDFIGNWSYLVRLELYASGLEGPIPPAILSLEKLRDLRITDMSGPESNLTTIPPRVKNLVLRNINLAGVIPGDVWTSGSLKTLDLTFNKLEGGIPRDAKIYDFMFFSGNKLTGSVPDSFINSGNKIDVSYNNFSRLPSCQDAQDINTYRSSFIKNNLSGLLPCSGMLECPKSYRSFHVNCGGPDETNGSILYEGDDSIKGDAATIYSNKKSNWGFSNTGDFMDDEDKSLGYRLRLNYSTEPLFSTARRAAISLTYYGYCLENGMYTVKLDFAEIQFTGDELYKRVGKRFFDIYIQGKLEKKDFNIEEAANGSNKTSIVFNANVTDTTLEIRLYWNGKGTTCIPKRGNYGPLISAITVCSGQSTYCPEPGEASKTPIVIGAVTSALLLVFLVMGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSADKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFATGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSHRTTNEFVCLLDWAHVLQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKRLKGHYQQVMDQSLNNTKDLFPPSDKSWIGNSSTSAHDLYPINPESINLNISETSSLI >Potri.001G080600.1.v4.1 pep chromosome:Pop_tri_v4:1:6405211:6407755:-1 gene:Potri.001G080600.v4.1 transcript:Potri.001G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080600.v4.1 MEKKDSSSSFTIGNSAQERSWSYVPDCYVIPSSNRPSLTPETANVPVIDFSRLRQDATQRANAIKEIGNACHQVGFFQIVNHGICQSILDGALSVASDFFKLPTEEKVKFMSNDVKKPVRYGTSLKDGDDKFQFWRVFLKHYAHPLSDWIHLWPKNPPHYRENMGKYCKEVRKLALEVMGAINESLGLGPGYLSNQMEDGMQVMAVNCYPPCPNPELALGLPPHSDYTCLSIVLQNSAGLEVIDKREGKWKLIPEVHGALQVHIGDHLEVLSNGLYKSVVHRAILNSERTRISIASLHSMGMDEKMGTAEELVDDQHPKNYKESSFRDFLDFLCKNDIAEGKNFIDKLKIKA >Potri.005G021900.1.v4.1 pep chromosome:Pop_tri_v4:5:1417228:1420332:1 gene:Potri.005G021900.v4.1 transcript:Potri.005G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021900.v4.1 MGHLSSMFNVLARSFSLRKVKNNGNGDGREAADVMVKDAKKNEMILRSSGYVNVDGSKNFAAVCSRRGEKGVNQDCCIVWEGFGCQADMMFCGIFDGHGSWGHFVAKTVRESMPLSLLCNWQETLAQCSLDPDIDLESDKKHQRFNIWKHSYLKTCAAVDQELEQHRRIDSFSSGTTALTIVRQGELIFVANVGDSRAVLATTGEDGSLVPVQLTVDFKPNLPQEAERILQCKGRVFCLNDEPGVHRVWLPDADSPGLAMSRAFGDYCVKDFGLISVPEVTQRHITSKDQFVVLATDGVWDVITNQAAVEIVSSTPDKAKAAKRLVQSAVHAWKRKRKGIAMDDISAICLFFHSSPSSQQVHAASLPK >Potri.005G021900.7.v4.1 pep chromosome:Pop_tri_v4:5:1419050:1420337:1 gene:Potri.005G021900.v4.1 transcript:Potri.005G021900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021900.v4.1 MPLSLLCNWQETLAQCSLDPDIDLESDKKHQRFNIWKHSYLKTCAAVDQELEQHRRIDSFSSGTTALTIVRQGELIFVANVGDSRAVLATTGEDGSLVPVQLTVDFKPNLPQEAERILQCKGRVFCLNDEPGVHRVWLPDADSPGLAMSRAFGDYCVKDFGLISVPEVTQRHITSKDQFVVLATDGVWDVITNQAAVEIVSSTPDKAKAAKRLVQSAVHAWKRKRKGIAMDDISAICLFFHSSPSSQQVHAASLPK >Potri.005G021900.6.v4.1 pep chromosome:Pop_tri_v4:5:1417166:1420303:1 gene:Potri.005G021900.v4.1 transcript:Potri.005G021900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021900.v4.1 MGHLSSMFNVLARSFSLRKVKNNGNGDGREAADVMVKDAKKNEMILRSSGYVNVDGSKNFAAVCSRRGEKGVNQDCCIVWEGFGCQADMMFCGIFDGHGSWGHFVAKTVRESMPLSLLCNWQETLAQCSLDPDIDLESDKKHQRFNIWKHSYLKTCAAVDQELEQHRRIDSFSSGTTALTIVRQGELIFVANVGDSRAVLATTGEDGSLVPVQLTVDFKPNLPQEAERILQCKGRVFCLNDEPGVHRVWLPDADSPGLAMSRAFGDYCVKDFGLISVPEVTQRHITSKDQFVVLATDGVWDVITNQAAVEIVSSTPDKAKAAKRLVQSAVHAWKRKRKGIAMDDISAICLFFHSSPSSQQVHAASLPK >Potri.015G070100.1.v4.1 pep chromosome:Pop_tri_v4:15:9563588:9568309:1 gene:Potri.015G070100.v4.1 transcript:Potri.015G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070100.v4.1 MEEEKAAAYYEELTRKGQGAARFKQGLGFSANKDVAAPPPRGSALPSSTASFLSNFVKASSPTQASNLERQAQLESIQNKLKKKPKDEASERSRRESGHHRHRSRSRSRERNHRKRSRSKSRERNYRRRSRSRSRERYRDGERRRNRRLETRSRSRGLSPREGRRSEKRRGDDVERERAGKEKNGSIDYSRLIEGYEKMTPAERVKARMKLQLNETAKKDEGMGSGWERFVFNKDAPLDDEEVEAVEDDAALVKHLGQSFRFSAVEARREEQIKVAHDEAMFGAPSLLASVTSDNEIEMDNNRNESKDTDHASSLLSEKVLAKQQGSWRDRARKV >Potri.010G242100.1.v4.1 pep chromosome:Pop_tri_v4:10:21937572:21939980:1 gene:Potri.010G242100.v4.1 transcript:Potri.010G242100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242100.v4.1 MEAQEMAICRSVYPTLLRRPLQHQVLYTSTRRFPNYPSKFSKSTKLPLLHRSPRPRCTSSMESLSEGYRKNVGICLINPSKKIFAASRLDLPDAWQMPQGGIDESEDPKVAAIRELKEETGVSSAEVLAETPSWLTYDFPPEVREKLKHQWGSDWKGQAQKWFLLKFTGNEEEINLLGDGTEKPEFGEWSWMSPEQIIDRVVDFKKPVYKEVLAVFAPYFQ >Potri.009G035800.2.v4.1 pep chromosome:Pop_tri_v4:9:4559285:4562259:1 gene:Potri.009G035800.v4.1 transcript:Potri.009G035800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035800.v4.1 MIAAFKSLHFLLGLFVSSKLLALAQEQNHFIYHGFTGANLLLSEIAKIHPNGLLELTNTSKQQIGRAFFPFPFLFNTSLFNNSRSLSFSTQFAFSMVSELPTLGGHGMAFTISQSTNFTGALATQYLGILNSTSNGLSSNHLLAVELDAIRSPDFKDINDNHVGIDVNSLISIESAAVTYFSDEEKENKSLTLISGHVMHVWIDYDEVEKLLNVTVAPITRTKPTLPLLSTPLDLSSVMLDSMYVGFSSSTGAVASSHYILGWSFNRGGQAQSLDVSKLPSLPPQRKSRKKPYLRILVPTITAIILLVAISGAAYIIKRKKYEELREDWEQEYGPQRFSYKDLYKATTGFTDRKLLGSGGFGKVYRGVLPSSNMQVAIKKVSHDSKQGTKQFVAEIASMGRLRHRNLVQLLGYCRRKGELLLVYDYMPHGSLDKLLFHNDTPSFNWVHRYQVLRGVASALLYLHEEWEQVVLHRDVKASNILLDDDFNGRLGDFGLAKFYDRGANPQTTCVVGTVGYIAPEVTRTGRATTSSDVFAFGTFMLEMALWKETCRARTISREDDFG >Potri.005G148100.1.v4.1 pep chromosome:Pop_tri_v4:5:12401706:12411529:1 gene:Potri.005G148100.v4.1 transcript:Potri.005G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G148100.v4.1 MEFDEIEYLEKTVEEAEDRDDSKKRNSSSSQKRDGTERSYRKREVDDEDVDNDENRKVKKSKAEDEKGRDHHRRDRDLDRDRDRSSREKDRDRERSGHREKEKERERREKEKERERIEREREEERDRRERSRSRSRRHESDSREREREKEIDTRESRRFKDKKEGVEPEADPERDQRTVFVYQMPLKVTERDVYEFFSKAGKVRDVRLIMDRNSRRSKGVGYVEFYDAMSVPMAIALSGQLLFGQPVMVKPSEAEKNLVQSSASSGGTSGVAGPFGPVDRKLYVGNLHFNMTEMQLRQLFEPFGTVELVQLPLDLETGQCKGFGFVQFTQLENAKAAQSALNGKLEIAGRTIKVSSVTEHGGQQDTGAKSADFDDDDGGGLALNAQSRALLMQKLDRTGIATSIAGSLGVPLLNGSASNQQAMSLPIIGQTAIGAAALPAPVLSSPAYEPIGQPSECLMLKNMFDPATETEPDFDLDIKEDVEEECSKYGQVEHIFVDKNSTGCVYLRFGSIEAAAGAQRAMHMRWFARRLILAVFMPTREYEARFQIGA >Potri.005G114600.2.v4.1 pep chromosome:Pop_tri_v4:5:8357887:8361824:1 gene:Potri.005G114600.v4.1 transcript:Potri.005G114600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114600.v4.1 MVGTQQEREEKVSLELTEEILQSMETGMVFRDYNGRISSMDFHKTSSYLVTASDDESIRLYDVAGAACLKAINSKKYGVDLVCFTSDPTTVLYSSKNGWDESLRLLSLNDNKYLRYFKGHHDRVVSLSLCSRKECFISGSLDRTVLLWDQRAEKCQGLLRVQGRPAAAYDDQGIVFAIAFGGYIRMFDSRKYEKGPFDIFSVGGDVSDANAVKFSNDGRLMLLTTMDGHIHVLDSFRGTLLSTYNVKPVSINSTLEASFSPEGKFVVSGSGDGRVHAWSVRSGKEVASWVTYETEPHVIKWAPGSLMFATGSSELSFWIPDLSKLAAYVGRK >Potri.001G356000.2.v4.1 pep chromosome:Pop_tri_v4:1:36999105:37005329:-1 gene:Potri.001G356000.v4.1 transcript:Potri.001G356000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356000.v4.1 MEKANKQHKVLRHLKFTRLCAWLLSFFAIFPLRQSFNYGEALSKSLLYFESQRSGRLPYNQRVVWRYHSGLADGLEQGVDLVGGYYDAGDNVKFGLPMAFTITMLSWSVIEYSEQISGAGEYEHALEAIKWGTDYFIKAHTHPHVLWAEVGDGDTDHYCWQRPEDMTTSRQAYKVDENNPGSDMAGETAAAMAAASIVFRKTNTHYSHLLLHHAEQLFEFGDKYRGKYDESVKVAKGHYTSVSGYMDELLWAGLWLYKATGDEGYLNYVIENAHVFGGITWAITEFSWDVKYAGVQLIASMLLAGKSHRKHQHILKEYRSRAEYYLCACLNKNNVTNVQRTPGGLLYIRQWNNMQYVSTAAFLLTTYSDHLQASNQRLQCDQGTLDPSDIFKFAKSQVDYILGYNPLGMSYLVGYGAKYPERVHHRGASIESYKGQKDFIGCMQGYDIGYSRQGPNPNVLTGALVGGPDMKDQFRDERENYMQTEACTYNTATLVGVFAKLHWLEKDYLSANPSLFATIR >Potri.015G061700.1.v4.1 pep chromosome:Pop_tri_v4:15:8581801:8584899:1 gene:Potri.015G061700.v4.1 transcript:Potri.015G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061700.v4.1 MEGRQNWPISSLSLLLLSNLFFILVNSTPAIDSSSVAAYTPPDNYLIDCGSPQDTKLDDGRTFKSDSASRSYLETNEDVQTSVDSISVKGFSVSSSALPLFRSARILTAVSKYTFYITRPGWHWVRFYFHPLPHPVYNLTSAVFSITTDEFVLLHDFFVKDNSTLAFKEYLFNVSGDRFSLLFKPKERSFAFINAIEVVSAPDGLISDSASTVPQDGTLNGLFQHAFEVCYRLNVGGPTITPMNDTLSRTWLPDTPYNVFPQGAQNASIIPSAVKYQQSGATPYIAPSWVYATADEMAESETLQPNFNLTWQMNVDPGFSYLIRMHFCDVVSQALNDLYFNVYINSMMSVSGLDLSSINNALSTAYYTDFVLNASSIRNGSVRVQVGPASGMQSGISNAILNGLEVIKISNSVRSLDGLFGVDGSSGGGRTMKIAAGVGLAMGVTAMLLLAIVCIRWQQRPRDWEKRNSFSSWLLPLHTSQSFFSNSKSSSRRSSIFGSRTSKSGFSSYFSNQGLGRYFSFSELQNATQNFDEKAVIGVGGFGKVYLGVFEDGTKMAIKRGNPGSEQGINEFQTEIQMLSMLRHRHLVSLVGFSDEQSEMILVYEYMANGPLRDHIYGSKKAPLSWKQRLEICIGAARGLHYLHTGAAQGIIHRDVKTTNILVDENLVAKVSDFGLSKAAPMEQQYVSTAVKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCARPVLNPALPREQVNLAEWAMQCHRKGVLNKIIDPHIAGSINEESLKTYVEAAEKCLAEHGVDRPGMGDVLWNLEYALQLQETSSQTQTDLPEDKSTSHIIAFEKPNGKVCGGDSGVSVSDDSQVTVTSPLFSQIENFQGR >Potri.014G143100.2.v4.1 pep chromosome:Pop_tri_v4:14:9761572:9765625:1 gene:Potri.014G143100.v4.1 transcript:Potri.014G143100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143100.v4.1 METENGEYGRQERIALVAIVVVASLTVASLLVAFSYYCYIRNKLSKRLKNHSREGYEDKGCFTDLEVVAGKGLNVFTFKQLHSATGGFSKSNVVGHGGFGLVYRGVLSDGRKVAIKLMDQAGKQGEDEFKVEVELLSHLHSPYLLALLGYCSGDNHKVLVYEFMPNGGLQEHLHRITSSNTVSISLDWETRLRIALEAAKGLEYLHEHVNPPVIHRDFKSSNILLDRNLHAKVSDFGLAKLGPDKAGGHVSTRVLGTQGYIAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDIKRPAGEGVLVSWVGILSLLYI >Potri.014G143100.1.v4.1 pep chromosome:Pop_tri_v4:14:9761497:9765661:1 gene:Potri.014G143100.v4.1 transcript:Potri.014G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143100.v4.1 METENGEYGRQERIALVAIVVVASLTVASLLVAFSYYCYIRNKLSKRLKNHSREGYEDKGCFTDLEVVAGKGLNVFTFKQLHSATGGFSKSNVVGHGGFGLVYRGVLSDGRKVAIKLMDQAGKQGEDEFKVEVELLSHLHSPYLLALLGYCSGDNHKVLVYEFMPNGGLQEHLHRITSSNTVSISLDWETRLRIALEAAKGLEYLHEHVNPPVIHRDFKSSNILLDRNLHAKVSDFGLAKLGPDKAGGHVSTRVLGTQGYIAPEYALTGHLTTKSDVYSYGVVLLELLTGRVPVDIKRPAGEGVLVSWALPRLTDREKVVEIMDPALEGQYSMKEVIQVAAIAAMCVQPEADYRPLMADVVQSLVPLVKTQRSTSKVGSCSNIHADRCHTPQDLVEKT >Potri.014G064950.1.v4.1 pep chromosome:Pop_tri_v4:14:4010161:4011069:-1 gene:Potri.014G064950.v4.1 transcript:Potri.014G064950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G064950.v4.1 MTVLVTAALVRLLSLLSPLCPPVLSSFDFSVSEMNELRKYWDCYLLRTRLQARDVVHDWIEPVADFQPVESGWRRRTRLFHQQRRRFGSKGFHQQRQRFSSNGHFLFDP >Potri.014G024000.4.v4.1 pep chromosome:Pop_tri_v4:14:1438335:1440753:1 gene:Potri.014G024000.v4.1 transcript:Potri.014G024000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024000.v4.1 MEGGLPMLNCLLQHTLRSLCSCTDSSNPSKWVYAVFWRILPRNYPPPKWDYGGTALDRSKGNKRNWILVWEDGFCDVYECERAGTGYMKGRFGTDVFFKMSHEVYNYGEGLVGKVAADNSHKWVFKENPNESDPNLISSWNMSIEPQPRAWEFQFNSGIQTIAIISVREGVIQLGSFDKIVEDLNLVISIQRKFSYLQSIPGIFAIQRPYLPIQHPYITKPNTHTIENQEIAFSVDDKRQITGVKRLFHESLDDFPIKAINMGWNSPQNGIPGPPIWSIPPLLPTMSCSLGALLSKLPSATPSYSNIEALGTSLLNNNNNNRTSISQRVRVDDLGVTREGQLVSSGHLDAAREEKPTSIKPSLNLPDKVVGFGHVREGESALSLN >Potri.002G259400.1.v4.1 pep chromosome:Pop_tri_v4:2:24756077:24756511:1 gene:Potri.002G259400.v4.1 transcript:Potri.002G259400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259400.v4.1 MNTKTMRLPPRRVLTPSKRKEREGPDTLKPSTPTKLTKPASPRASSEKGLDSASSNQLLAGYLAHEYLTKGTLFGQPWEPARAESVPVSGVDSVGVVKPARKAESEPNKDNYERYVEVSRLLKIGGPNIPDIINPTQLARFLQM >Potri.001G412000.1.v4.1 pep chromosome:Pop_tri_v4:1:43996126:44000242:-1 gene:Potri.001G412000.v4.1 transcript:Potri.001G412000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412000.v4.1 MKRLIALPILLFFSCFTSSFTTSLAADTIAANQNITDGKTIVSSGGNYEMGFFSPGNSTKRYFGIWYNKISKGRVVWVANRETPIADKSGVFKVDERGILMLYNQNNSAIWSSNSSRQARNPVAQLLETGNLVVRNVDDNSQENIFWQSFHHPGNTFLPGMKVGRIAPGLDVIISSWKSADDPSPGDYVFEVDPKRLELVVNDNSDLKSRSGPWNGIGFSGLPYLKPDPIYNYTFVFNDEIAYFTYDLFNISVITTLVLYEDGVMNRLTWIDRTNNWIVYASAPADNCDNYNLCGAYGRCNIGTSPACSCLNRFVPKNQAQWQRADWSGGCIRRTPLDCKIGDGFIKYSNVKLPQGNNWMVNVSMTTEECRAECLKNCSCMAYANSDLRGKGSGCFLWFDQDLIDIRQYTDDGQDLYIRMAASEAAAANQDQGGSEGNKKVGVIVGSILASLFVICMGICLFIRKKKKQRKRDATSHGRSRMEQIPENSFSITYQEEDLDLPHYDFTTLAKATNDFSFNNFLGEGGYGPVYKGVFNDGQEIAVKRLSKESRQGLDEFMNEVKCIAQLQHRNLVKLLGYCIQRDEKILIYEYMPKKSLDFYINDQKQSKLLNWPKRLHIINGISRGLLYLHQDSRLRIIHRDLKPSNILLDDEMNPKISDFGMARSFGGNETGANTKRVVGTYGYMSPEYAIDGLFSIKSDVFSFGVLVLEIVSGKRNRGFNHPGHQLNLLGHAWKLFKEGRPLELIDNLIVETCDLTEVMRSIHVGLLCVQHSPEDRPSMSTVVLMLSGEGTLPQPKEPGFFTERKLIDASSSSSKPESCSVNEVTITLIDAR >Potri.001G412000.2.v4.1 pep chromosome:Pop_tri_v4:1:43996136:44000215:-1 gene:Potri.001G412000.v4.1 transcript:Potri.001G412000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412000.v4.1 MKRLIALPILLFFSCFTSSFTTSLAADTIAANQNITDGKTIVSSGGNYEMGFFSPGNSTKRYFGIWYNKISKGRVVWVANRETPIADKSGVFKVDERGILMLYNQNNSAIWSSNSSRQARNPVAQLLETGNLVVRNVDDNSQENIFWQSFHHPGNTFLPGMKVGRIAPGLDVIISSWKSADDPSPGDYVFEVDPKRLELVVNDNSDLKSRSGPWNGIGFSGLPYLKPDPIYNYTFVFNDEIAYFTYDLFNISVITTLVLYEDGVMNRLTWIDRTNNWIVYASAPADNCDNYNLCGAYGRCNIGTSPACSCLNRFVPKNQAQWQRADWSGGCIRRTPLDCKIGDGFIKYSNVKLPQGNNWMVNVSMTTEECRAECLKNCSCMAYANSDLRGKGSGCFLWFDQDLIDIRQYTDDGQDLYIRMAASEAAAANQDQGGSEGNKKVGVIVGSILASLFVICMGICLFIRKKKKQRKRDATSHGMEQIPENSFSITYQEEDLDLPHYDFTTLAKATNDFSFNNFLGEGGYGPVYKGVFNDGQEIAVKRLSKESRQGLDEFMNEVKCIAQLQHRNLVKLLGYCIQRDEKILIYEYMPKKSLDFYINDQKQSKLLNWPKRLHIINGISRGLLYLHQDSRLRIIHRDLKPSNILLDDEMNPKISDFGMARSFGGNETGANTKRVVGTYGYMSPEYAIDGLFSIKSDVFSFGVLVLEIVSGKRNRGFNHPGHQLNLLGHAWKLFKEGRPLELIDNLIVETCDLTEVMRSIHVGLLCVQHSPEDRPSMSTVVLMLSGEGTLPQPKEPGFFTERKLIDASSSSSKPESCSVNEVTITLIDAR >Potri.005G250900.2.v4.1 pep chromosome:Pop_tri_v4:5:24411865:24414437:-1 gene:Potri.005G250900.v4.1 transcript:Potri.005G250900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250900.v4.1 MEKNNRYSIIVPTYNERLNIALIVYLIFKHLQDVEFEIIVVDDGSPDGTQEVVKQLQKVYGEDRILLRPRAKKLGLGTAYIHGLKHASGNFVVIMDADLSHHPKYLPSFIKKQLETGASIVTGTRYVKGGGVHGWNLMRKLTSRGANVLAQTLLWPGVSDLTGSFRLYKKSVLEDIISSVVSKGYVFQMEMIVRASRKGYQIEEVPITFVDRVFGSSKLGGSEIVEYLKGLAYLLVTT >Potri.010G046000.10.v4.1 pep chromosome:Pop_tri_v4:10:7780393:7786043:1 gene:Potri.010G046000.v4.1 transcript:Potri.010G046000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046000.v4.1 MGQSSYVSDRPLPYTGGAASSIASPSVHQQEVPSSYSSVTGKEETEGPKEQLYKSLPLAISSAQEGQHHIPPSLPAAGRSVLTEQPFAYSNQAANPTADLSNQPLEFAPGFNSDHDPRVQSSYAAHHDSAGTVKSFGPAAPMPSISSWNPAVTTGVVYPPIPPVFPPGPQDPTISVPSSVSGHAAPPFGNFPGTSFQATISPVGVPYGLGAGNALHPTGGFADAYGVSNVSERPKKSSVPNWLKEEIIKNAPVMMRPSLEHPREETQSIEDEGVEKSFGKGNQAGSKSINSPSSTEEKDDDEDYAEAARTAAINQEIKRILTEVLLKVTDELFDEIATKVLDEDDLMVEVEHQPAASNQKVSSPSHPAISTLKASAKVRVPVGNKEFENEDVNEKSSSSSPGNVLGLANYASDEDDDEIQSSSVSNSRKNSVVQPLAIPEIAEDNDAAENGNSQVELVKNTGATNLESDLSKTSSIGSDNKINGAFSELSEHVHSEVVFGARHVEISVNGEEKIESNNKAASKATIGEHAKMKSEPLGESLSVEKSVVDYSHTRGTRVRSDQDSRHETRSSGSRADEKGDDGHRRQDGKHPSKEKTDDLNGSKERKKERNDKTGASAKEPESRRKSSHPEVKEDRKEEEKPHRSSAKENAIRKRDRTKEKEEDKARHKPTSDSIKHKRTRSSSISSRGRNSKDNDSSDEASDDSKRKHSRKRRSSPSPVRSRRRQVSRSPHSKHSQRRHSPYSSFETTRRRSRSQSPVRRHK >Potri.010G046000.1.v4.1 pep chromosome:Pop_tri_v4:10:7779137:7785881:1 gene:Potri.010G046000.v4.1 transcript:Potri.010G046000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046000.v4.1 MDGYQQQQQQQLHGYMRPPPPQQQQQQQQVPPSAADPYHYYHQHQPRPPVSPQPQGSTTWYSNHFQYHPPQPPPPPSHSTSPPPPQQWPVPPPPSSSYPYPTHLPPQSHNFPPPRPHVPPPTQPYSQEWGNPNWGQHQAWEYPAANNHVEDWAAKARAWAAAKKVSPDDQHPQSQFTPVGRSEEQSWYYDQYPQTVDTHYQGLQQHPFPATGYQQFPVSGTPLHQPPVAYPQENASFNMGQSSYVSDRPLPYTGGAASSIASPSVHQQEVPSSYSSVTGKEETEGPKEQLYKSLPLAISSAQEGQHHIPPSLPAAGRSVLTEQPFAYSNQAANPTADLSNQPLEFAPGFNSDHDPRVQSSYAAHHDSAGTVKSFGPAAPMPSISSWNPAVTTGVVYPPIPPVFPPGPQDPTISVPSSVSGHAAPPFGNFPGTSFQATISPVGVPYGLGAGNALHPTGGFADAYGVSNVSERPKKSSVPNWLKEEIIKNAPVMMRPSLEHPREETQSIEDEGVEKSFGKGNQAGSKSINSPSSTEEKDDDEDYAEAARTAAINQEIKRILTEVLLKVTDELFDEIATKVLDEDDLMVEVEHQPAASNQKVSSPSHPAISTLKASAKVRVPVGNKEFENEDVNEKSSSSSPGNVLGLANYASDEDDDEIQSSSVSNSRKNSVVQPLAIPEIAEDNDAAENGNSQVELVKNTGATNLESDLSKTSSIGSDNKINGAFSELSEHVHSEVVFGARHVEISVNGEEKIESNNKAASKATIGEHAKMKSEPLGESLSVEKSVVDYSHTRGTRVRSDQDSRHETRSSGSRADEKGDDGHRRQDGKHPSKEKTDDLNGSKERKKERNDKTGASAKEPESRRKSSHPEVKEDRKEEEKPHRSSAKENAIRKRDRTKEKEEDKARHKPTSDSIKHKRTRSSSISSRGRNSKDNDSSDEASDDSKRKHSRKRRSSPSPVRSRRRQVSRSPHSKHSQRRHSPYSSFETTRRRSRSQSPVRRHK >Potri.010G046000.8.v4.1 pep chromosome:Pop_tri_v4:10:7779003:7785882:1 gene:Potri.010G046000.v4.1 transcript:Potri.010G046000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046000.v4.1 MDGYQQQQQQQLHGYMRPPPPQQQQQQQQVPPSAADPYHYYHQHQPRPPVSPQPQGSTTWYSNHFQYHPPQPPPPPSHSTSPPPPQQWPVPPPPSSSYPYPTHLPPQSHNFPPPRPHVPPPTQPYSQEWGNPNWGQHQAWEYPAAANNHVEDWAAKARAWAAAKKVSPDDQHPQSQFTPVGRSEEQSWYYDQYPQTVDTHYQGLQQHPFPATGYQQFPVSGTPLHQPPVAYPQENASFNMGQSSYVSDRPLPYTGGAASSIASPSVHQQEVPSSYSSVTGKEETEGPKEQLYKSLPLAISSAQEGQHHIPPSLPAAGRSVLTEQPFAYSNQAANPTADLSNQPLEFAPGFNSDHDPRVQSSYAAHHDSAGTVKSFGPAAPMPSISSWNPAVTTGVVYPPIPPVFPPGPQDPTISVPSSVSGHAAPPFGNFPGTSFQATISPVGVPYGLGAGNALHPTGGFADAYGVSNVSERPKKSSVPNWLKEEIIKNAPVMMRPSLEHPREETQSIEDEGVEKSFGKGNQAGSKSINSPSSTEEKDDDEDYAEAARTAAINQEIKRILTEVLLKVTDELFDEIATKVLDEDDLMVEVEHQPAASNQKVSSPSHPAISTLKASAKVRVPVGNKEFENEDVNEKSSSSSPGNVLGLANYASDEDDDEIQSSSVSNSRKNSVVQPLAIPEIAEDNDAAENGNSQVELVKNTGATNLESDLSKTSSIGSDNKINGAFSELSEHVHSEVVFGARHVEISVNGEEKIESNNKAASKATIGEHAKMKSEPLGESLSVEKSVVDYSHTRGTRVRSDQDSRHETRSSGSRADEKGDDGHRRQDGKHPSKEKTDDLNGSKERKKERNDKTGASAKEPESRRKSSHPEVKEDRKEEEKPHRSSAKENAIRKRDRTKEKEEDKARHKPTSDSIKHKRTRSSSISSRGRNSKDNDSSDEASDDSKRKHSRKRRSSPSPVRSRRRQVSRSPHSKHSQRRHSPYSSFETTRRRSRSQSPVRRHK >Potri.010G046000.9.v4.1 pep chromosome:Pop_tri_v4:10:7779139:7785902:1 gene:Potri.010G046000.v4.1 transcript:Potri.010G046000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046000.v4.1 MDGYQQQQQQQLHGYMRPPPPQQQQQQQQVPPSAADPYHYYHQHQPRPPVSPQPQGSTTWYSNHFQYHPPQPPPPPSHSTSPPPPQQWPVPPPPSSSYPYPTHLPPQSHNFPPPRPHVPPPTQPYSQEWGNPNWGQHQAWEYPAANNHVEDWAAKARAWAAAKKVSPDDQHPQSQFTPVGRSEEQSWYYDQYPQTVDTHYQGLQQHPFPATGYQQFPVSGTPLHQPPVAYPQENASFNMGQSSYVSDRPLPYTGGAASSIASPSVHQQEVPSSYSSVTGKEETEGPKEQLYKSLPLAISSAQEGQHHIPPSLPAAGRSVLTEQPFAYSNQAANPTADLSNQPLEFAPGFNSDHDPRVQSSYAAHHDSAGTVKSFGPAAPMPSISSWNPAVTTGVVYPPIPPVFPPGPQDPTISVPSSVSGHAAPPFGNFPGTSFQATISPVGVPYGLGAGNALHPTGGFADAYGVSNVSERPKKSSVPNWLKEEIIKNAPVMMRPSLEHPREETQSIEDEGVEKSFGKGNQAGSKSINSPSSTEEKDDDEDYAEAARTAAINQEIKRILTEVLLKVTDELFDEIATKVLDEDDLMVEVEHQPAASNQKVSSPSHPAISTLKASAKVRVPVGNKEFENEDVNEKSSSSSPGNVLGLANYASDEDDDEIQSSSVSNSRKNSVVQPLAIPEIAEDNDAAENGNSQVELVKNTGATNLESDLSKTSSIGSDNKINGAFSELSEHVHSEVVFGARHVEISVNGEEKIESNNKAASKATIGEHAKMKSEPLGESLSVEKSVVDYSHTRGTRVRSDQDSRHETRSSGSRADEKGDDGHRRQDGKHPSKEKTDDLNGSKERKKERNDKTGASAKEPESRRKSSHPEVKEDRKEEEKPHRSSAKENAIRKRDRTKEKEEDKARHKPTSDSIKHKRTRSSSISSRGRNSKDNDSSDEASDDSKRKHSRKRRSSPSPVRSRRRYSFIRSSLFLSCLPCFYFSLHI >Potri.017G000600.2.v4.1 pep chromosome:Pop_tri_v4:17:34585:36691:1 gene:Potri.017G000600.v4.1 transcript:Potri.017G000600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000600.v4.1 MGTMPIYPSCFVCLAFLSFLFMFLNKVWWTPVRIQSMMRSQGIKCPSYKFIHGNSKEITNMRTSVVSFPLDLSHVHELLPRVQPHIHAWIKLYGMNFLFWQGPHALLVVTEPDLIKQKLLGGGSVASKGEKRFKLQKISNHAFHAGISLLSQNMIPAFIASVEVMLERWRQHDGKEIELSHEFKILTSEMISRTAFGSSYLEGQHIFDMLETMVDIVVRNNFKMTIPVIGKFVKLSADDIESDKLQQDISNCFLNMINSREKAAMEGKWDGLEVSMIINESLRLYCPAVQIARIVHKEVRLGTFILPANTEIVVPIGAVHHSPGIWGEDASHFKPERFADGVAKTTTARNCVGSNFSITETKIALAMILQRYRFTFISNYKSCSRPCKSPPYWM >Potri.014G176500.1.v4.1 pep chromosome:Pop_tri_v4:14:13298958:13303243:-1 gene:Potri.014G176500.v4.1 transcript:Potri.014G176500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176500.v4.1 MSQSQMDENASASMSEDGDMDQIVESSNGKELAISEASSDMEPCVGMEFESEEAAKVFYDAYATHVGFIMRVDAFRRSMRDGKVVWRRLVCNKEGFRKLRPRRSENRKPRAVTREGCKAMIVVKKEKAGKWVVTRFVKEHNHPLVPTPANGRRTVLLSQTPDEKDVKIRELTAELQRERKRSAAYQEQLDMVLRDMEEHSNHLSRNIDDIVQSVKEIESRR >Potri.011G095100.2.v4.1 pep chromosome:Pop_tri_v4:11:12257205:12275674:-1 gene:Potri.011G095100.v4.1 transcript:Potri.011G095100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095100.v4.1 MSEIVLADPVIEPQRTDRALVIDHAAAASSSSGGGGTGPEPFDSERLPPSLSREIQMFLRVANLIESEEPRIAYLCRFRAFEIAHGMDSSSNGRGVRQFKTSLLQRLEQDEYPTLIRRKEKSDMRELRRVYHAYKECIKSGGEFDLDGSHRKRLTNAQMIASVLFVVLKTVANAAGPQALADTDSIRAKSELYVPYNILPLDQGGIQHAIMQLPEIKAAVAAVRNIRGLPSAEDLGKPFMDLFEFLEFFFEFQEGNVANQREHLILLLASTHIRQSHKETSINKLGDAAVDELMKKVFKNYTNWCKFLGRKSSMELPYVKQEAQQYKILYIGLYLLIWGEAANLRFMPECLCYIFHHMADELHDLLIGKKFTTAYKGGSESFLRNVVTPIYRVIYKETLKSKNGTADHSTWRNYDDLNEYFWSRDCFQIGWPMRLDHDFFCFESLNKPKRKNIVEEKRKSEENKDEEMGLNEDEEPGATVEEIHEPKWLGKKNFVEIRSFWQIFRSFDRMWSFFILSLQAMIIMACHDLGSPLEMLDAVVFEDIMSIFITSAILKLVQAILDIVFTWKTRLTMDILSRRKQVLKLLVAVIWTIVLPVYYAKSKRKYTCYSTQYRSWLGELCFSSYMVAVAIFLTTNAVEMVLFFVPAIHKYIEVSNCQIFKIFSWWTQPRSYVGRGMQETQVSVLKYTVFWVLVLLTKFLFSYTYEIKPLIGPTRLILKIGVQNYDWHELFPKVKSNVGALVAIWAPIIVVYFMDTQIWYSVFCTIFGGLYGILNHLGEIRTLGMLRSRFHALPSAFNACLIPPSAKSGQKTRRNFFLRRFHKVSENETNGVAKFAFVWNQIINTFRLEDLISNWEMDLMTIPMSSELFSGMVRWPIFLLANKFSTALSIARDFVGKDEILFRKIKKDKYMYCAVKECYESLKYVLEMLIVGDLEKRVVSSILYEIEESMKRSSLLEDFKMSELPALKAKCIQLVELLLEGNENQKGNVVKVLQDMFELVTYDMMTDGSRILDLIYPSQQNVEQTEEILVDFSRRIERQLFESATDRNSIHFPLPDSGTFNEQIRRFLWLLTVNDKAMDIPANLEARRRISFFATSLFTDMPVAPNVRNMLSFSVLTPHFKEDVIYSMDELHSSKEGVSILFYMQMIYPDEWKNFLERMGCENSDGVKDEKELRNWASFRGQTLSRTVRGMMYYREALRVQAFLDMADNEDILEGYDGAEKNNRTLFAQLDALADLKFTYVISFQMFGSQKSSGDPHAQDILDLMTRYPSVRVAYVEEKEEIVEDIPQKVYSSILVKAVDDLDQEIYRIKLPGPPNIGEGKPENQNHAIIFTRGEALQTIDMNQDNYLEEAFKMRNLLQEFLRQRGRRPPTILGLREHIFTGSVSSLAWFMSYQEASFVTIGQRLLANPLRVRFHYGHPDVFDRLFHITRGGISKASKTINLSEDIYAGFNSILRRGCITYHEYLQVGKGRDVGLNQISKFEAKVANGNSEQTISRDIHRLGRCFDFFRMLSCYFTTTGFYFSNLISVIGIYVFLYGQLYLVLSGLQKAFLLEARVHNIQSLETALASQSFIQLGLLTGLPMVMEIGLEKGFLTAIKDFVLMQLQLAAVFFTFSLGTKIHYYGRTMLHGGAKYRPTGRKVVVFHASFTEIYRLYSRSHFVKGFELVLLLIVYDLFRRSYQSSMAYVLITYSIWFMSITWLFAPFLFNPAGFDWEKIVDDWKNLNKWIRLPGGIGIQQDKSWQSWWNDEQAHLCGSGLGARLFEILLSARFFMYQYGLVYHLDISQKSKNVLVYILSWFVILAVFLLVKAVNMGRQQFSTNFHLAFRLFKAFLFIAVLAIIIILSSVCDLSMKDLIVCCLAFLPTGWGLILIAQAARPKIEETGLWHFTRVLASAYDYGMSVVLFAPVAVLAWLPIISSFQTRFLFNEAFNRHLEIQPILAGKKKKQT >Potri.001G193100.2.v4.1 pep chromosome:Pop_tri_v4:1:17839944:17844085:1 gene:Potri.001G193100.v4.1 transcript:Potri.001G193100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193100.v4.1 MILAVLFANVEGNILIERFSGVPAEERLHWRSFLVKLGADNLKGVRNEELLVASHKSVYIVYTVLGDVSIFIVGKDEYDELALTEVIFAITSALKDVCGKPPTERLFLDKYGKICLCLDEIVWKGLLENTDKERVRRLTRLKPPTEF >Potri.001G054400.1.v4.1 pep chromosome:Pop_tri_v4:1:4099811:4105317:-1 gene:Potri.001G054400.v4.1 transcript:Potri.001G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054400.v4.1 MHRFTSSLASKARIARSSTNQIGSRLSWSRNYAAKDIRFGVEARAGMLKGVEELADAVKVTMGPKGRNVVIEQSYGAPKVTKDGVTVAKSIEFKDKVKNVGASLVKQVANATNDTAGDGTTCATVLTQAIFTEGCKSVAAGMNAMDLRRGISMAVESVVTNLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITIQDGKTLSNELEVVEGMKLDRGYISPYFITDQKTQKCELDDPLILIHDKKVSSLHAVVKVLELALKRQRPLLIVAEDVESEALATLILNKLRAGIKVCSIKAPGFGENRKAILQDLAALTGGEVITEELGLNLENVDLDMLGSCKKVTVSKDDTVILDGAGDKKSIEERCEQIRSAIDSSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVSEKKDRVTDALNATKAAVEEGIVPGGGAALLYASKELDKLQTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKAGIIDPLKVIRTALVDAASVSSLMTTTEAVVTDLPKDVKDAPAMGPGMGMDY >Potri.003G223200.6.v4.1 pep chromosome:Pop_tri_v4:3:21622304:21625198:-1 gene:Potri.003G223200.v4.1 transcript:Potri.003G223200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223200.v4.1 MFVGEGGEGGQTMAARYWCHMCSQMVNPIMGVEIKCPFCQNGFIEDMIDSTGDNQVPDSEFGSDRALSLWAPVLLGMVGNSHRRRRLRRVEYEEDEDGNDDGEANHAGEPEFEREIESFMRRRRRSRRNSATILQLLQGIRAGILVSESENLEGDRDRDRVRDMNRERERVILINPFNQSIIVQGSYDSNNVQNQNQNAIGSLGDYVIGPGLDLLLQHLAENDPNRYGTPPAQKEAIEALPTVTVKEPLQCSVCLDDFEIGAEAREMPCKHKFHSGCILPWLELHSSCPVCRHQLPADESKLDSERSRNTFDQRDSESTNSESNISNGINVEEGDGEGRSGNGRRFSFPWPFNSLFSSSSGSQSGGSHPSSTASSSNAPGSASQTDEN >Potri.003G223200.9.v4.1 pep chromosome:Pop_tri_v4:3:21622520:21625169:-1 gene:Potri.003G223200.v4.1 transcript:Potri.003G223200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223200.v4.1 MFVGEGGEGGQTMAARYWCHMCSQMVNPIMGVEIKCPFCQNGFIEDMIDSTGDNQVPDSEFGSDRALSLWAPVLLGMVGNSHRRRRLRRVEYEEDEDGNDDGEANHAGEPEFEREIESFMRRRRRSRRNSATILQLLQGIRAGILVSESENLEGDRDRDRVRDMNRERERVILINPFNQSIIVQGSYDSNNVQNQNQNAIGSLGDYVIGPGLDLLLQHLAENDPNRYGTPPAQKEAIEALPTVTVKEPLQCSVCLDDFEIGAEAREMPCKHKFHSGCILPWLELHSSCPVCRHQLPADESKLDSERSRNTFDQRDSESTNSESNISNGINVEEGDGEGRSGNGRRFSFPWPFNSLFSSSSGSQSGGSHPSSTASSSNAPGSASQTDEN >Potri.003G223200.8.v4.1 pep chromosome:Pop_tri_v4:3:21622752:21625180:-1 gene:Potri.003G223200.v4.1 transcript:Potri.003G223200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223200.v4.1 MFVGEGGEGGQTMAARYWCHMCSQMVNPIMGVEIKCPFCQNGFIEDMIDSTGDNQVPDSEFGSDRALSLWAPVLLGMVGNSHRRRRLRRVEYEEDEDGNDDGEANHAGEPEFEREIESFMRRRRRSRRNSATILQLLQGIRAGILVSESENLEGDRDRDRVRDMNRERERVILINPFNQSIIVQGSYDSNNVQNQNQNAIGSLGDYVIGPGLDLLLQHLAENDPNRYGTPPAQKEAIEALPTVTVKEPLQCSVCLDDFEIGAEAREMPCKHKFHSGCILPWLELHSSCPVCRHQLPADESKLDSERSRNTFDQRDSESTNSESNISNGINVEEGDGEGRSGNGRRFSFPWPFNSLFSSSSGSQSGGSHPSSTASSSNAPGSASQTDEN >Potri.003G223200.5.v4.1 pep chromosome:Pop_tri_v4:3:21622243:21625158:-1 gene:Potri.003G223200.v4.1 transcript:Potri.003G223200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223200.v4.1 MLIWFLLVFDVLMYCFDCGKDNKRIVVVVVMFVGEGGEGGQTMAARYWCHMCSQMVNPIMGVEIKCPFCQNGFIEDMIDSTGDNQVPDSEFGSDRALSLWAPVLLGMVGNSHRRRRLRRVEYEEDEDGNDDGEANHAGEPEFEREIESFMRRRRRSRRNSATILQLLQGIRAGILVSESENLEGDRDRDRVRDMNRERERVILINPFNQSIIVQGSYDSNNVQNQNQNAIGSLGDYVIGPGLDLLLQHLAENDPNRYGTPPAQKEAIEALPTVTVKEPLQCSVCLDDFEIGAEAREMPCKHKFHSGCILPWLELHSSCPVCRHQLPADESKLDSERSRNTFDQRDSESTNSESNISNGINVEEGDGEGRSGNGRRFSFPWPFNSLFSSSSGSQSGGSHPSSTASSSNAPGSASQTDEN >Potri.003G223200.7.v4.1 pep chromosome:Pop_tri_v4:3:21622527:21625193:-1 gene:Potri.003G223200.v4.1 transcript:Potri.003G223200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223200.v4.1 MFVGEGGEGGQTMAARYWCHMCSQMVNPIMGVEIKCPFCQNGFIEDMIDSTGDNQVPDSEFGSDRALSLWAPVLLGMVGNSHRRRRLRRVEYEEDEDGNDDGEANHAGEPEFEREIESFMRRRRRSRRNSATILQLLQGIRAGILVSESENLEGDRDRDRVRDMNRERERVILINPFNQSIIVQGSYDSNNVQNQNQNAIGSLGDYVIGPGLDLLLQHLAENDPNRYGTPPAQKEAIEALPTVTVKEPLQCSVCLDDFEIGAEAREMPCKHKFHSGCILPWLELHSSCPVCRHQLPADESKLDSERSRNTFDQRDSESTNSESNISNGINVEEGDGEGRSGNGRRFSFPWPFNSLFSSSSGSQSGGSHPSSTASSSNAPGSASQTDEN >Potri.014G120100.6.v4.1 pep chromosome:Pop_tri_v4:14:8069575:8077446:1 gene:Potri.014G120100.v4.1 transcript:Potri.014G120100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120100.v4.1 MVSKSSDSASKFRKSDGEPSNNGIGNLTYKMNQLKKQIQAERVVSIKDKVERNRRKLVADVSQLRLATSRTFVGQNGVSKMISLRIGAPLCKYGGFAQGSGDRDLINGHEVAVSTSTKLPFVEKIPPYTTWIFLDKNQRMAEDQSVVGRRRIYYDRHGSEALICSDSEEDIEPEEEKHEFSEGEDRFLWMVFQELGLAEEVLNIVSQFIGVGTSEIQERCRMLAEKYSNDQNVKDSIDSVSERGISLEKSLSAALDSFDNLFCRRCLLFDCRLHGCSQTLINPSEKQSCWSEYEDDRKPCSDQCSLQLRVLKDLPEGSVNSPLHRTETATSAEEKKTAAASDAEEPSSVDLMIDERHISEKEINVISEAVGNLEPASGALNLDISAMVIHNQEYMRKRKVSQCTDIASDDSSKFPEDTQDFSKKQKRLLHLDVAAEDISSPDCGSTAKKATDQIEFQMTTKKTTNVSFEIASSGTEENIGDGSKDVFEVPEPKRSSSVERQVEGVLKKSEWKPIEKELYLKGVEIFGKNSCLIARNLLSGLKTCIEVSSYMRESGAMMPHRSVAPRSFLEDSGKIDIDYAEQDMPTRSRLLRRRGRARKLKYSWKSAGHPSFWKRIADCKNQSCKQYTPCGCQSMCGKQCPCLHNGTCCEKYCGCSKSCKNRFRGCHCAKSQCRSRQCPCFAAGRECDPDICRNCWVSCGDGSLGEPPKRGDGQCGNMRLLLRQQQRILLAKSDVAGWGAFLKKPVNKNDYLGEYTGELISHREADKRGKIYDRANSSFLFDLNDQFVLDAYRKGDKLKFANHSSNPNCYAKVMLVVGDHRVGIFANERIEASEELFYDYRYGPDQTPAWARKPEGSKRDDSTVSQGRAKKHQSH >Potri.014G082200.1.v4.1 pep chromosome:Pop_tri_v4:14:5299541:5300566:-1 gene:Potri.014G082200.v4.1 transcript:Potri.014G082200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082200.v4.1 MSENYLQTRLARSNIGAVCVWMLDMEAYSRIALSFLQLQGGFCWWKSWYSVNFYYINVSAKFFIESLLMEPVFRQRCVYFDSDNYLGIFYSTPEPKGQNTHQHQKPSLIAQLIFGK >Potri.003G016275.2.v4.1 pep chromosome:Pop_tri_v4:3:3280033:3281834:-1 gene:Potri.003G016275.v4.1 transcript:Potri.003G016275.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G016275.v4.1 MRLGALMILNYRKRPSNFIRICSALRVICSRNVLRRIKHDISELSPMKFASSLGKYLRFPLIQGRVKRPDFNFIIDRIQSRLVDWKTKVA >Potri.003G016275.1.v4.1 pep chromosome:Pop_tri_v4:3:3281017:3281307:-1 gene:Potri.003G016275.v4.1 transcript:Potri.003G016275.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G016275.v4.1 MINRLFLSDETWCTDDSKLQEEAIQFYKNLFCSEVTVTTLFMESVSLLKLSPQGISNLEKPVSNEDVYATSFKSPGKDGFQTFFFKKYWNVVRDDI >Potri.003G145700.1.v4.1 pep chromosome:Pop_tri_v4:3:16050825:16052171:-1 gene:Potri.003G145700.v4.1 transcript:Potri.003G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145700.v4.1 MPTLTHLAASAASSLAFLNNQKPTTKSLIPPSNLIKYSLNFKTLKPPKLSIKAKSSTSSNPSIVNGASAPSAPDSLKSRLQNGETLYGIFLLSFSPTIAEIAGLAGYDFAVVDMEHGPGGITDALHCLRALAATRTPAILRLPESSPTWAKKALDLGPQGIMFPMIESPKMAKKAVSYCRFPPEGIRGSAHTVVRASDYGIDEGYLSNYAEELLIMCQVESEEGVKKAEEISAVDGVDCVQMGPLDLSASMGYLWDPGHKKVREMMRVSEKGVLKGGAYLGGFAMPHDGPIDLKARGYRMVSGTVDVGLFRSAAVDDVRKFKMSLIQGSDDEADDGKDGDDKYWSE >Potri.013G043700.1.v4.1 pep chromosome:Pop_tri_v4:13:3030096:3035620:1 gene:Potri.013G043700.v4.1 transcript:Potri.013G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043700.v4.1 MQQHLMQMQPMMAAYYPSNVTTDHIQQYLDENKSLILKIVESQNLGKLSECAENQARLQRNLMYLAAIADSQPPPPTMHAQFPSSSIMQPGAHYMQHQQAQQMTPQALMAARSSMLQYAQQPFSALQQQQVQALHSQLGMSSGGSAGLHMLQNEAIMAGGSGALGVGGFPDFGIDAAGRGIASGSKQDIRSAGSSEGRGGSSGGHGGDGGETLYLKSADDGN >Potri.013G080400.2.v4.1 pep chromosome:Pop_tri_v4:13:6954928:6957195:-1 gene:Potri.013G080400.v4.1 transcript:Potri.013G080400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G080400.v4.1 MSGVIEDDVEARIALRINSLHVSLILTSDTNEKALQDSGLFTPDAETPYVDIAGRRFHIGTLNARFIVYVKIGGNSVNAAIAVQILLNRFRIQGIIHFGSAGSLDEKSIVPGDVSVPLAVAFTGAWNWKKFGSDKGALNFGEFNYPVNGENLLASVDYDTIKLFSKGHSPQDVFWFPSTTSWYSAATQVLQDLELSQCYDGVCLPSKPKIVLGTKASSSDSYIKNKAYGEFLHEVFNVSTADQESAAVAWTSLSNEKPFIVIRGASNVAGEASPGSHASYLASYNAFLAAAKFIESIPTPRLACE >Potri.019G036825.1.v4.1 pep chromosome:Pop_tri_v4:19:5029878:5031407:1 gene:Potri.019G036825.v4.1 transcript:Potri.019G036825.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036825.v4.1 MLHLQLLEITSAIPHHQPNTRHSFFVSTRTFSSNSASPVSAANKLQQKNKQEIKHVD >Potri.018G097300.1.v4.1 pep chromosome:Pop_tri_v4:18:11797003:11801821:1 gene:Potri.018G097300.v4.1 transcript:Potri.018G097300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097300.v4.1 MRAGLSTIQQTLTPEAASVLNHSIAEASRRNHGQTTPLHVAATLLASQSGFLRQACIKSHPNSSHPLQCRALELCFTVALERLPTAQNLSPGLDPPISNALMAALKRAQAHQRRGCPEQQQQPLLAVKVELEQLIISILDDPSVSRVMREASFSSPAVKAAIEQSLNASSNSNPAANSGIGLGFRAPGAVAVPAPVTNRNFYMNPRLQQGSVGQSGAPRNEEVKKVIAILSKSKKKNPVLVGESEPEMVVKEVLKRIESKEVGDGVLKNVHVIHLEKEFLDKAQVAARIVELGGLIETRIGNLDCGGVILDMGDLKWLVEQQVSFAGSGGVQQQQIVSDIGRSAVEEMKKLLGRFGEGSGGGKVWLIGTATCETYLRCQVYHPSMENDWDLQAVPIAARAPLPGMFPRLGTNGILSSSVESLSPLKGFPSVTLAPPRRFSENLDPARRMSCCPDCMRNYEQELAKIVPKEVEKSSGVKSESAEPPLPQWLRNAKPQDGDVESSDPTVTKDQELMLKQKRLELQKNWHDRCLHLHPAYHQPNLGSERIAQPALSMTNLHNHNLLPRQPFQPKLSLNKKPDRTLVFNPNLLPSQPAGRATTPPGSPVRTDLVLGRPKVVGETPEKEHEDRTKDFLSCVPSEPRPNFNELHSVKLLSKLDADSFKKLLKGLLEKVWWQRDAASAVATTVTQCKLGHGKGRSTGSKGDIWLLFTGPDRAGKKKMASALSELVCGANPIMVCLGSWREDGESEVSFRGKTVLDRIAEAVRRNPFSVIILEDIDEADMLVRGSIKRAMERGRIADSLGREISLGNVIFILTANRLPDNLKFLSNGISLDEKKLASLASGGWQLRLTLSERTAKRRANWLHDEERSAKPRKDLGTALAFDLNEAAETGDDKADGSHNSSDLTVDHEDEDALNNRLLTSATSSVSKELLNLVDDHIVFKHADFSSIRHDISNSITKKFSTIFSNQMQIEIQDEALEKIVGGIWLARTGLEEWTDNVLVPSLRQLKLRLPICANESTIIRLEPDTDSDSRSHGDWLPSSIRVVVDGL >Potri.006G252550.1.v4.1 pep chromosome:Pop_tri_v4:6:25104241:25104654:-1 gene:Potri.006G252550.v4.1 transcript:Potri.006G252550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252550.v4.1 MRLAAWHFHQLSSKIKSHDKLTIESFKSQMSGNSRFSCHKDPCGFILVKLYLVVSSSKYNLLVKTRPFLWAILMLYEFNLFGLTWFVPKKRSVMTKGLKSAQQVSSSSQSVHLL >Potri.006G252550.2.v4.1 pep chromosome:Pop_tri_v4:6:25104164:25105310:-1 gene:Potri.006G252550.v4.1 transcript:Potri.006G252550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252550.v4.1 MRLAAWHFHQLSSKIKSHDKLTIESFKSQMSGNSRFSCHKDPCGFILVKLYLVVSSSKYNLLVKTRPFLWAILMLYEFNLFGCKKYIQVC >Potri.002G217400.2.v4.1 pep chromosome:Pop_tri_v4:2:20459621:20461315:-1 gene:Potri.002G217400.v4.1 transcript:Potri.002G217400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217400.v4.1 MATRGGAMGVSSFPSSPSCFRKNGRATCSTTLHLQMASKSVIPRRRITFSAVQESSASTVEKKAPTKPKKSPAKPLSQLMEEDVIPSLKATLEAQDHITELELSFEDKRLEGSFLKKGTPYSFWAFFPDGVLTGPKGFSLSSYGSGVSTVEPFLIDEKKITEKHIVFWVEKRLAAQGIIPVWRG >Potri.008G099600.3.v4.1 pep chromosome:Pop_tri_v4:8:6219992:6222254:-1 gene:Potri.008G099600.v4.1 transcript:Potri.008G099600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099600.v4.1 MTEVLSNISPPPGAVASISDASPVHYTVKIELFSLLAKNAVEKYETGVFEAGGYTWKLVLYPSGNKSRNVKDYISLYLAKVDASSLPLGWEVHVIFRLFLLDQNKDSYLVIQDAAGQERRFHGLKLEWGFDQFIQLSTFNDSRYGFLLEDTCVLGAEVFVRRERSRGKGEVLSMIKQPTAAFKHTWKIENFLKLDEKRQESQTFSSASEKWKILLYPKGKDFGMGTHLSLYLAVDLETLPAGCRLYADYTLRIVNQVKDRKLDLSAKAKHWFGASRSESGWTRYVSLDYIYQPNNAYVIKDICIIEAEVNVLGISSPF >Potri.008G099600.4.v4.1 pep chromosome:Pop_tri_v4:8:6219992:6222254:-1 gene:Potri.008G099600.v4.1 transcript:Potri.008G099600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099600.v4.1 MTEVLSNISPPPGAVASISDASPVHYTVKIELFSLLAKNAVEKYETGVFEAGGYTWKLVLYPSGNKSRNVKDYISLYLAKVDASSLPLGWEVHVIFRLFLLDQNKDSYLVIQGQERRFHGLKLEWGFDQFIQLSTFNDSRYGFLLEDTCVLGAEVFVRRERSRGKGEVLSMIKQPTAAFKHTWKIENFLKLDEKRQESQTFSSASEKWKILLYPKGKDFGMGTHLSLYLAVDLETLPAGCRLYADYTLRIVNQVKDRKLDLSAKAKHWFGASRSESGWTRYVSLDYIYQPNNAYVIKDICIIEAEVNVLGISSPF >Potri.008G099600.1.v4.1 pep chromosome:Pop_tri_v4:8:6219992:6222254:-1 gene:Potri.008G099600.v4.1 transcript:Potri.008G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099600.v4.1 MTEVLSNISPPPGAVASISDASPVHYTVKIELFSLLAKNAVEKYETGVFEAGGYTWKLVLYPSGNKSRNVKDYISLYLAKVDASSLPLGWEVHVIFRLFLLDQNKDSYLVIQAGQERRFHGLKLEWGFDQFIQLSTFNDSRYGFLLEDTCVLGAEVFVRRERSRGKGEVLSMIKQPTAAFKHTWKIENFLKLDEKRQESQTFSSASEKWKILLYPKGKDFGMGTHLSLYLAVDLETLPAGCRLYADYTLRIVNQVKDRKLDLSAKAKHWFGASRSESGWTRYVSLDYIYQPNNAYVIKDICIIEAEVNVLGISSPF >Potri.010G226250.1.v4.1 pep chromosome:Pop_tri_v4:10:20983003:20983587:1 gene:Potri.010G226250.v4.1 transcript:Potri.010G226250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226250.v4.1 MKQENTGFCSKHVREPCRSFGRRCSSLVKEQRARFYILRRCVTMLVCWHEYGEP >Potri.012G085600.1.v4.1 pep chromosome:Pop_tri_v4:12:11122621:11125828:1 gene:Potri.012G085600.v4.1 transcript:Potri.012G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085600.v4.1 MDPNPKTHPILSYVMARLPSLGPKPPDLSFDIEQPPQPPPQQQPPPLPQLTDPALLSSMRRAVGDVAQTRSILQTLGPRPDHETVDAAKLKVSEIESNLSKELEDLVLSQRSSEIDRLEWRAHLAEKEKKIREEAEKERGFYKMVLQLDEMHEEYEKLLKEAEERLVKIYEKAERGGEEEEEVVVEEEEEVSEEVVGVLREGSGKGMERVDLSNRRLRILPEAFGRVVGLKVLNLSNNQLEVIPDSIAGLEILEELILASNLLEALPDSIGLLQNLKILDVSSNKIEILPDTICHCRSLLELDVSFNRLTYLPTNIGYEMLNLQRLSIQLNKICSLPTSICEMRFLCHLDAHFNELRGLPLAIGNLANLEILNLSSNFSDLKELPETFGDLMNLKELDLSNNQISALPDTFGRLDNLTKLNLDQNPLVIPPAEVVKEGVEAVKTFMAKRWLDILVEEERKSMLEVQEQAQTGWLTRSTSWLKTYASGVSETVSGILSPRGPRDPYLDQQL >Potri.002G183600.2.v4.1 pep chromosome:Pop_tri_v4:2:14571396:14573922:-1 gene:Potri.002G183600.v4.1 transcript:Potri.002G183600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183600.v4.1 MASNSVLYRCSLVSYQYTSAATIIVGRRTVAISGNGFRACKPIRLARNCCSYSCSYPNPNDSAFVVANDEKYGNKQNISLTPRLYDYILSNVREPEILRQLREETASMRGSQMQVSPDQAQLLAMLVQILGAKRCIELGVYTGYSSLAVALVLPDSACLVACERDAKSLEVAKKYYELAGVSHKVDVKHGMAADILKSLILNGESCSYDFAFVDAEKRMNQEYFELLLQLVRVDGVIVIDNVLWHGKVADPLVNDAKTVSIRSFNKNIMEDPRVSISMVPIGDGMTICRKR >Potri.015G007900.1.v4.1 pep chromosome:Pop_tri_v4:15:470876:474841:1 gene:Potri.015G007900.v4.1 transcript:Potri.015G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007900.v4.1 MLGFSKNLSQNFYKHLVCNKRCVSSSVFSFSTTTNLAKRAVGTHNGKFHCDEALGCFLIRLTDKFSNAHIIRSRDPQVLETLDAVLDVGGVYDPSRDRYDHHQKGFHEVFGHGFTTKLSSAGLVYKHYGAEIIAKELQLNEGHQDVHELFLAVYKNFVEAIDAADNGINQYDIDQPPKYVNNTSLSQRVGRLNLDWVDPSQSSEREDEAFQHAMKVAGTEFMENINFHAKSWLPARSIVMECLASREDIDHSGEIMVLTRSCPWKLHIFELEEKMKINPSIKYVIYQDDRSENWRIQAVAVSPDKFESRKPLPLPWRGLVDDELSKATGIPGCVFVHMSGFIGGNRSYEGALAMARASLKA >Potri.013G020700.3.v4.1 pep chromosome:Pop_tri_v4:13:1346026:1350735:-1 gene:Potri.013G020700.v4.1 transcript:Potri.013G020700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020700.v4.1 MTDLTVDMIEEICDRIQTVQISDSYPSLSSTTEEITETETIEEGETINSEEENESSRNREVSPTQGPTLPILQKVIHLSNKIQNLKKEHASLSDQVKTAKDSVPGPDILDSLQKFSNEYELLKKKYLQELSERKRLYNEVIELKGNIKVFCRCRPLNQVEITNGSNHVVEFDSSLDNELQIISSDSSKKQFKFDHVFRPEDNQEAVFAQTKPIVTSVLDGYNVCIFAYGQTGTGKTFTMEGNPENRGVNYRTLDELFRLSQERSGVMRYELFVSMLEVYNEKIKDLLVENSNQPTKKLEIKQTAEGTQEVPGLVEAQVNGTEDVWELLKSGSRARSVGSTSANELSSRSHCLLRVTVRGENLIDGQKTRSHLWMVDLAGSERVGKIDVEGERLKESQFINKSLSALGDVIAALASKTGHIPYRNSKLTHMLQSSLGGDCKTLMFVQISPSSADVGETICSLNFASRVRGIESGPARKQADLSELSKYKQMVEKLKHDEKETKKLQDSLQSLQLRLAAREHICRTLQEKVRDLENQLGEERKIRIKQETRAFAAASQSTKQIVEKSNTDKKPPLGPSKFRMPLRRITNFMPPPSPLQKQKTTITNSAVSSMHDKENNSRTTMSGTNTKGLMKPRRMSIAIRPPPPMSTQVFQPKRRVSIATFRPESTSNISTPLQTSRYKNGNVVGRQSFVRDPKKPRYSKLFSPLPEFRTASEITPMRNSSRFMGSPPTQAGSWRPKHPTVVALQRKSLVWSPLKLRNMQNARRPSLLPSRPSNNEVQ >Potri.013G103300.1.v4.1 pep chromosome:Pop_tri_v4:13:11229548:11232384:1 gene:Potri.013G103300.v4.1 transcript:Potri.013G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103300.v4.1 MACDLFNLHLFSYLIFLSSFIPFVFSLSTVSISEVSNQTLVCALTPARDTKQHSFLNCSSFPGGIQIPSNPSTSFSAIVGGEGFLCGLISLPRSPTSSTLVCWRFLVNGTNMSYKRVYHDLALSQLEAGKSFACGLINVTNRLECWPRRKFNSSSIAQGLSSIAVGEDFVCGISESGSITCGGSINNAFACLKEKYCAIAAGFRHACAINSHHGIECWGSMAGEKPEGEFISMALGENRGCALRTDATIVCWGQDNFSLPERLKETCFIAIEAKINVFCGVERSNWSLYCWGNEIFNSNLLVFEKVLPGPRRNDCPDAILERSGGSCPQCQNIFPHSKSNSNCTPPPAVPPPTPEPRQERRRRCNKWSDKIIAFFVVGCGGSLVLLLVIGFFLFKYCKCRGCRIHDSGRLDGAGTGAHVEQGGAPSRPQAPRTEQASPVLEKRLSELASMGNAGHLEVFSFQVLLKATNNFSRDKKIGTGSFGSVYQGTLDDGCEVAIKRAEISNTYSYSVGTRRQEDRDVAFINELESLSRLHHKNLVELLGFCEDSNELVLVYEYMNNGTLHDHLHKLESSPLMSWTARIKVALDAARGVEYLHRYAVPPIIHRDIKSSNILLDSTWTAKVSDFGLSLMGPEDEKSHLSLLAAGTVGYMDPEYYILQQLSTKSDVYSFGVVLMEILSGYKAIHKNENGVHRNVVDLVVPYIVQEEIHRVLDSRVPPPTPFEIEAVIRTGHLAADCVTLEGRDRPSMTYVASSLDRALAACLPHQSSLSRSTTGSSI >Potri.001G100550.1.v4.1 pep chromosome:Pop_tri_v4:1:8033010:8033823:1 gene:Potri.001G100550.v4.1 transcript:Potri.001G100550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100550.v4.1 MNKVRDVTAAALDRISRGTRPLPKRGQIKSRIAANAFHSIVSVLSKASSHQHHSQRKSYPRKA >Potri.006G110100.2.v4.1 pep chromosome:Pop_tri_v4:6:8552258:8556203:-1 gene:Potri.006G110100.v4.1 transcript:Potri.006G110100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G110100.v4.1 MGLCLDKHKHDADNDELHVEFAGGNVHLVTTKESWDQKLSEASRDGKIVLANFSATWCGPCKQIAPFYNELSEKYPSLLFLLVDVDELSDLSTSWEIKATPTFFFLRDGKQLEKLVGANKPELQKKITAIADSLPPSDK >Potri.002G090100.1.v4.1 pep chromosome:Pop_tri_v4:2:6518741:6521070:-1 gene:Potri.002G090100.v4.1 transcript:Potri.002G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090100.v4.1 MESSSSLSHWDYLGLLILRPVLAILFVFSFISIGWFLAWMLVLVHVPLVQEIFGPRKNPTKPKPLTLRISRIYDTIDPRYFTPAGINSSRSAAD >Potri.015G003600.1.v4.1 pep chromosome:Pop_tri_v4:15:224994:226646:-1 gene:Potri.015G003600.v4.1 transcript:Potri.015G003600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003600.v4.1 MSSKKLSRPCLVCWVVVFLFCNQSVHSQLEVGFYRSSCKMAEFIVKSAVRDGFNKDRGVAAGLVRMHFHDCFVRGCDASVLLDSTTSNKAEKDSPANNPSLRGFEVIDNAKARLETECKGIVSCADILAFAARDSIEITGGFGYDVPAGRRDGTVSLASEVLTNLPPPTFNVDQLTQNFANKGFSQEEMVTLSGGHTIGRSHCTSFSNRLYNFNGTTSQDPILDATYATSLKQMCPQGSTDPSLVVPMNPGSPATTDAGYYLDILANRGLFTSDQTLLTNAATATQVNINARNSMLWKRKFAAAMQKMGKLDVLTGNAGEIRADCRVINS >Potri.005G168800.1.v4.1 pep chromosome:Pop_tri_v4:5:16846579:16851209:1 gene:Potri.005G168800.v4.1 transcript:Potri.005G168800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168800.v4.1 MEGLMSKLRNLDAYPKINEDFYSRTLSGGVITLASSVVMFLLFFSELRLYLHAVTETKLVVDTSRGETLRINFDVTFPALPCSILSLDAMDISGEQHLDVKHDIIKKRLDFHGNVIEARQDGIGAPKIEKPLQRHGGRLEHNETYCGSCYGAEASDEDCCNSCEDVREAYRKKGWAVTNPDLMDQCKREGFLQKIKDEEGEGCNIYGFLEVNKVAGNFHFAPGKSFQQSGVHVHDLLAFQKDSFNITHKINRLTFGEYFPGVVNPLDGVQWTQETPSGMYQYFIKVVPTVYTDVSGHTIQSNQFSVTEHFRGTDIGRLQSLPGVFFFYDLSPIKVTFTEEHVSFLHFLTNVCAIVGGVFTVSGILDTFIYHGQKAIKKKMEIGKFS >Potri.001G294250.1.v4.1 pep chromosome:Pop_tri_v4:1:30577624:30579377:-1 gene:Potri.001G294250.v4.1 transcript:Potri.001G294250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294250.v4.1 MHLILISQKSRLLPTSQRKSSSQSSFPRNRLHLSNDRRQQGFSVCLHLSPLFTSAQTIFIRETTTRHGS >Potri.005G105600.3.v4.1 pep chromosome:Pop_tri_v4:5:7603325:7610452:1 gene:Potri.005G105600.v4.1 transcript:Potri.005G105600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105600.v4.1 MAESSSHNHLIYLHGDLDLLIVEARSLPNMDVISKNFRQCFNVCIPSSATTTTTKSIDHHDRDHRHHRDRDHRHHSDADKKIHHRHIITSDAYVTVTVPQVTLARTRVLKNAATPVWEQRFNIPLAHPVKDIEFHVKDNDLFGAELIGTVKIPASTVLSGEFIQGWFPIIAASGKPPKPDTALYLELKFTPFEKNPLYQNGFGGDPVVNGVRGTYFPVRKGGHVTLYQDAHVKDHDLPEIEIDGGKVFKQERCWEDICYAISEAHHMIYIVGWSVFYKIKLVREPTRPLPRGGNLTLGELLKYKSEEGVRVLLLVWDDRTSHDKFGIKTAGVMATHDEETRRFFKHSSVTCVLAPRYASSKLSFLKQQIVGTMFTHHQKCVLVDTQASGNNRKITAFIGGIDLCDGRYDTPGHRLFHDLNTVFQDDFHNPTFPALTKAPRQPWHDLHCKIDGPAAYDALINFEQRWRKATKWTELGLRFKRKSHWSDDSLIKIERISWILSPHLSETKDGTTIVPPDDPTVYVSSEEGPENWHVQIFRSIDSGSLKGFPKTIDECQDQNLVVAKDLVVDKSIQTAYIQAIRSAQHFIYIENQYFLGSSYAWPSYKDAGADNLIPMELALKIVSKIRAKERFAVYVVIPLWPEGDPKTNTVQEILFWQSQTMQAMYEKIAQELKSMNLVDSHPQDYLNFYCIGKREEIPQELSSANGGTVSESFKSQRFMIYVHAKGMVVDDEYVIMGSANINQRSMAGSKDTEIAMGSYQPRHTWAARKKHPRGQIYGYRMSLWVEHLGEIDELFMEPEDLHCVKKVNKIAEDNWRKFTDPNFKLLQGHLLKYPLLVDADGKVCPLPGHENFPDVGGKVLGAHSTTLPDVLTT >Potri.008G026200.1.v4.1 pep chromosome:Pop_tri_v4:8:1348490:1351283:-1 gene:Potri.008G026200.v4.1 transcript:Potri.008G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026200.v4.1 MELLLLLPKVTVAVALIGLVSIVIRMCDALIFKPEKLRSKLRKQGIRGPPPAFLLGNIRDIKKARSKVSKASREGEQVISHNSSNTPFSFFEQWSKKYGSTFMFSLGNIQILHMNHPDAVKEISICTSLDLGKPSYQVKERGPLLGQGILTSNGAIWAHQRKILAPEFYMENVKNFMSIMVESSNIVVDSWTKRIESEGGVVDINVDEDMRSFSGDVISKACFGSNYAKGEEIFLKLRALQGAMSKKALSSGIPILRALPTKSNREVWRLEKEVRALILKEVKEEKEQTSKDLLEIILKGAKDSETSKAEMDRFVVDNCKNIYLAGYETTSVTATWTMMLLGSNPEWQDRVRAEVLEVCGGQMPDAGMVRRMKTLTMVIHESLRLYPPVCVISREALQDMKFGDIFVPKGVNVWTLIVTLHQDPEIWGSDADKFNPERFANGVSGACKHPHVYMPFGVGPRTCLGQHFAMAELKILIATIVSNFSFTISPKYIHAPALRLVIEPEHGVNLLIKKL >Potri.009G084100.1.v4.1 pep chromosome:Pop_tri_v4:9:7903730:7904383:-1 gene:Potri.009G084100.v4.1 transcript:Potri.009G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084100.v4.1 MVNKKPSMGRQKIKIEKIPKKNHLQVTFSKRRAGLFKKASELCTLCGVDIAILVFSPAHKAFSFGHPDVDSIMDRFLTRNAPPQSSGTHQLIEAHRNANVREHNMQLTQILNQLEAEKRHSETLNQMRKSSRSQCWWEAPVEELGLQELEQLRDALEELKKRLTKQTNKILIESSNSLPFLPVNGTGQIGNFETKPEICVASTLPHVNNFGYGHGIF >Potri.013G054600.1.v4.1 pep chromosome:Pop_tri_v4:13:3967855:3968689:-1 gene:Potri.013G054600.v4.1 transcript:Potri.013G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054600.v4.1 MYSSRNMQERLGSLVNKFFEKDFKGSLAVIGSAKTRRGYVAMYVGEEGKRYEVPVKYLSNPVFQELLRRSQHQDLDYKIEGAIRIPHSTAFFDQFLRIIKEYF >Potri.003G060900.4.v4.1 pep chromosome:Pop_tri_v4:3:8745480:8749949:1 gene:Potri.003G060900.v4.1 transcript:Potri.003G060900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G060900.v4.1 MQILVKMVTGKTLTLGMESSDTVDCVKAKIKDEEDIPPDQQCLIFAGKQLEDGQILADFSIHKKKKTKPLKFTLLQVDDVAAAPKQLDVQNVESEAAVASTKAKKSKKKKRAASDVGDTIDTDHGAATDGVLVEYDVNEPTMGEKLASITLQDNGKTNSLEIEESPPHAKPPSADSVNILLKQALRADDRALLLDCLYTQDEKVIANSISLLNPSDVLKLLQSLLSIIHSRGAILACALPWLRSLLLQHSTGIMSQESSLHALNSLYQLIESRVSTFQSALQLSSCIDFLYAGVVDDASDENHTVTPVIYEDNDESDDKESEDAMETDQDSKEEEASDGLSDIEGIDGMSE >Potri.010G098300.1.v4.1 pep chromosome:Pop_tri_v4:10:12148786:12152762:-1 gene:Potri.010G098300.v4.1 transcript:Potri.010G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098300.v4.1 MATVGVERKQQQQTESTMTMIAEESCSAKTGAVRQGEGLKQYYLQHIHELQLLVRQKSHNLNRLEAQRNGLNSRVRMLREESQLLQEPGSYVGEVVKVMGKNKVLVKVHPEGKYVVDIDKSIDITKITPSTRVALRNDSYVLHLILPSKVDPLVNLMKVEKVPDSTYDMIGGLDQQIKEIKEVIELPIKHPELFESLGIAQPKGVLLYGPPGTGKTLLARAVAHHTDCTFIRVSGSELVQKYIGEGSRMVRELFVMAREHAPSIIFMDEIDSIGSARMESGSGNGDSEVQRTMLELLNQLDGFEASNKIKVLMATNRIDILDQALLRPGRIDRKIEFPNPNVDSRFDIVKIHSRRMNLMRGIDMKKIAEKMNGASGAELKAVCTEAGMFALRERRVHVTQEDFEMAVAKVMKKETEKNMSLRKLWK >Potri.006G119250.1.v4.1 pep chromosome:Pop_tri_v4:6:9378035:9379355:1 gene:Potri.006G119250.v4.1 transcript:Potri.006G119250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119250.v4.1 MRANSLSCVVSCLSTEEIQFNKFIILLKFFKRGGLNKKLRLSYSGMGSKGQLLHPQETTVTLILLLSRQPYNQEAKEEKRFLLLHNQGSAKNGRLGKLINSH >Potri.007G128100.2.v4.1 pep chromosome:Pop_tri_v4:7:14325199:14325769:-1 gene:Potri.007G128100.v4.1 transcript:Potri.007G128100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128100.v4.1 MSRNQQHLLRLVLSCRKITAQVSNPTTSTIIAMASSSEQESFLSIYRNTSLSIFSRQSRDSKTASRVGEKLGFRLKEIGVNNIYIDLNEELSRPIHYRKRVLPLFVSVKRVGIEVDGAEKLGEIGPV >Potri.003G109900.1.v4.1 pep chromosome:Pop_tri_v4:3:13226479:13233464:-1 gene:Potri.003G109900.v4.1 transcript:Potri.003G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109900.v4.1 MTRFQNGSRLLSYTFFRSKKTPSTSPNAALLQGNFQIQQHRHFSNLARVTTKEEKEPRWKDSMDRLRNIGISAHIDSGKTTLTERILYYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEDDLQGLIDLVKMKAYYFHGSNGEKVVTAEIPAEMEALAAEKRRELVETVSEVDDKLADAFLTDEPISSSDLEEAIRRATVAKKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPTEVGNYALDQTKDEEKVVLSGTPDGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIINVNTGKKIKIPRLVRMHSDEMEDIQEAHAGQIVAVFGVDCASGDTFTDGSVKYTMTSMNVPEPVMSLAIQPISKDSGGQFSKALNRFQKEDPTFRVGLDPESGQTIISGMGELHLDIYVERIRREYKVDASVGKPRVNFRETITQRAEFDYLHKKQTGGQGQYGRVCGYIEPLPQGSTTKFEFDNMIVGQVIPSNFIPSIEKGFKEAANSGSLIGHPVENLRIALTDGAAHAVDSSELAFKLASIYAFRQCYAAAKPVILEPVMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITVHVPLNNMFGYSTSLRSMTQGKGEFTMEYKEHSPVSQDVQMQLVNTYMASKGAE >Potri.019G106300.4.v4.1 pep chromosome:Pop_tri_v4:19:14291049:14295758:-1 gene:Potri.019G106300.v4.1 transcript:Potri.019G106300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G106300.v4.1 MLRMTNEEKDTALHVAARNIQVQVVEILTKEDPEFSYSTNVHGETPLYIAANLRFNWRFKRHEENRKKVINEILSNCKSVEYCGSHGRTALHAAGMYGDHETARKILERDASLTRRTDDDGWSPLHYAVFFRDFVHSVSTVEVLLEHDVSAAYIVDSEKRTALHLAASRGTWAAAIAIMNTCPASCELVDSRGWNALHYAAITRKGHIRFSRWIPKFDKLIYEKDNDGNTPLHLFAAFGNFPQMFLRSDWRHAYKKMCGLNKQNLSVDDILRGHFPQKKKEFLESLKDVHSGPLQRPIGMIKTEDLSISISESGMETRVLVAALVATVTFAAAFTVPGGYKNEQGTPVLVKNAAFAVFVISDATAMVLSTSALFLHFYWALLGKRGQVDEDIIENFSHWTAVLTAYAILAMIIVFITGSYAVLAPSSWLAITTCFIGVAFIFLATAYAFIVDALTFIKYWK >Potri.002G194000.1.v4.1 pep chromosome:Pop_tri_v4:2:15693475:15707315:-1 gene:Potri.002G194000.v4.1 transcript:Potri.002G194000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194000.v4.1 MKMIGDLFLCLSIALIFSISAVSADLINGCGGFVEASSSLVKSRNPSATKLDYSDITVELRTVDGLVKERTQCAPNGYYFIPVYDKGSFVIKINGPEGWSWDPEKFPVVVDDMGCNRNEDINFRFTGFTISGRVVGAVGGQSCSAKNGGPSNVNVELLSPNDDLIYSIVTSPDGSYLFKNVIPGKYKVRASHPDLKVEVRGSTEVELGFENGIVDDIFFVPGYDLHGFVVAQGNPILGVHIYLYSDDVEKVDCPQGSGEDVGQRKPLCHAVTNADGMFRFKSLPCGHYELVPSYKGENTVFDVSPPLMLVSVEHQHVTVPRQFQVTGFSVGGRIVDGNGMGVEGVKIIVDGHERSATDKEGYYKLDQVTSNRYTIEAKKEHYKFNKLKEYMVLPNMASIPDIAAISYDVCGVVSMIGSGYTAKVALTHGPENVKPQVKQTDGNGNFCFEVSPGEYRLSALAVTPDSAPGLLFSPSYADVMVKSPLLDVQFTQVLVNVHGSVTCKEKCGPSVSIALVRLAGKHTEERKSVSLTNDSDEFLFQNVAPGKYRLEVKHGSSKAVPNEDNWCWEQRFINVDVGAEDVAGIAFVQKGYWINVISTHDVDASMIKPDGSPIDLKIKKGSQNLCMESPGVHELHFVNSCIFFGSSPIKIDTSNLLPIYLKGEKYLLKGQISVELGSADGGYELPNNIIVDILNSEGNLFDGTAAILVSHEDDQTGSALFEYSVWANLGEKLTFVPRDPRNNGEKKILFYPREQNVLVANDGCQSPIPPSSGRMGLYIEGSVSPPLSGVHIKIIASEDSKITPLKKDEIAFQTATGVDGSFLGGPLYDDITYRVEASKPGYHLKRVGPHSFSCQKLGQISVHIYSKDDSNEPIPSVLLSLSGDDGYRNNSISGAGGTFHFDNLFPGTFYLRPLLKEYAFSPSAQVIELGSGESREVTFHATRVAYSATGTVTLLSGQPKEGVSVEARSVSKGYYEETVTDSSGSYRLRGLVPEATYVIKVVKKDGLGTNRIERASPESVTIQVGSGDIRDLDFVVFEQPEVTILSCHVEGQRMKEPQSQLLVEIKSASDSSKTETVFELPVSNFFQVKNLPKTKHLLQLRTSLQSRTHKFESEIIEVDLERTAQIHVGPLRYSFEEDHQKQELTPAPVFPLIVGVSVIALFISMPRLKDLYQATVGIPTPGFMTIAKREPRKPAVRKKAY >Potri.004G065800.11.v4.1 pep chromosome:Pop_tri_v4:4:5650736:5658150:1 gene:Potri.004G065800.v4.1 transcript:Potri.004G065800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065800.v4.1 MEAEEIQRQPCKFSRVGNGRNDSSRIAQNGYGDHQYPDEEEDGGVKRSNPNNGGGAGGTINRLREWHHSSRIIRVSRASGGKDRHSKVWTSQGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAQDAINELPPLNHSFPDTPKQLSDEKRTSDGTEQGFDSADVELNDPNFSQSQNQNQHLSLSKSACSSTSETSKGSGLSLARSGIRDSRVKARERARERTAKEKENDSRIAHHHNINPISQNSTFTELLTGGIGSVSNNNNSNSSSSNNNNAASPSEANLFQKAAAARQWLSTPMDYISTGLLGPSSSRTTHHSSGFPGQIQLGNSIPQPMTMSVPPFNVSGENHQEQLQHFPFISDHLMPVAATTQTVGDYNLNFTISSSLAAGFNRGTLQSNSSSPSLFSHLQRFSTSSPIDGSTTNVPFLIGAPQQAMENHHHQHQFPHGLQLCYGDGTRHSDQKGKGKN >Potri.004G065800.12.v4.1 pep chromosome:Pop_tri_v4:4:5649655:5658044:1 gene:Potri.004G065800.v4.1 transcript:Potri.004G065800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065800.v4.1 MEAEEIQRQPCKFSRVGNGRNDSSRIAQNGYGDHQYPDEEEDGGVKRSNPNNGGGAGGTINRLREWHHSSRIIRVSRASGGKDRHSKVWTSQGLRDRRVRLSVTTAIQFYDLQDRLGYDQPSKAVEWLIKAAQDAINELPPLNHSFPDTPKQLSDEKRTSDGTEQGFDSADVELNDPNFSQSQNQNQHLSLSKSACSSTSETSKGSGLSLARSGIRDSRVKARERARERTAKEKENDSRIAHHHNINPISQNSTFTELLTGGIGSVSNNNNSNSSSSNNNNAASPSEANLFQKAAAARQWLSTPMDYISTGLLGPSSSRTTHHSSGFPGQIQLGNSIPQPMTMSVPPFNVSGENHQEQLQHFPFISDHLMPVAATTQTVGDYNLNFTISSSLAAGFNRGTLQSNSSSPSLFSHLQRFSTSSPIDGSTTNVPFLIGAPQQAMENHHHQHQFPHGLQLCYGDGTRHSDQKGKGKN >Potri.017G007000.1.v4.1 pep chromosome:Pop_tri_v4:17:530778:533699:-1 gene:Potri.017G007000.v4.1 transcript:Potri.017G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007000.v4.1 MQLWIGATNLRMDKKREEGKEPIAKEREGSVKFKPEVHQMQKLVTTETSGGWENCWEQGLTPWDLGRPTPIILHLHQTGALPKGRALVPGCGSGYDVVAMACSERYVVGLDVSHTAIEKAIELSSSLPNSSYFTFLKADFFTWHPPELFDLIFDYTFFCAIEPGMRSRWACKVQEMLKPDGELITLMYPISDHVGGPPYKVSVSDYEEVLHPMGFKAVTIVDNELAIEARKGREKFGRWRRDTTQSIL >Potri.014G057700.1.v4.1 pep chromosome:Pop_tri_v4:14:3662075:3664807:1 gene:Potri.014G057700.v4.1 transcript:Potri.014G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057700.v4.1 MLEYEWGNPSTIMLSGGDDQQAGSNHEVQDQNRQASIFDHYTTQSFNDNNLIPQHTSPTLMFQNHPTTTNATHHNINSFFDPRAYAGAGASSFPTTHHPSLLSLDPIPTVTSVATATSYFLIPKSEEIPRSNSDLITSRIGLNLGGRTYFSSAEDDFVNRLYRRSRPLDPGSSSNAPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSHQINQENQKSHLENATISSSEILTRSPPDSGAHPTSSVTVAMSPPRMSLDCFKQRSYQATASSSTSSSSLFFSSG >Potri.014G057700.4.v4.1 pep chromosome:Pop_tri_v4:14:3662526:3663680:1 gene:Potri.014G057700.v4.1 transcript:Potri.014G057700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057700.v4.1 MLEYEWGNPSTIMLSGGDDQQAGSNHEVQDQNRQASIFDHYTTQSFNDNNLIPQHTSPTLMFQNHPTTTNATHHNINSFFDPRAYAGAGASSFPTTHHPSLLSLDPIPTVTSVATATSYFLIPKSEEIPRSNSDLITSRIGLNLGGRTYFSSAEDDFVNRLYRRSRPLDPGSSSNAPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFF >Potri.014G057700.3.v4.1 pep chromosome:Pop_tri_v4:14:3662125:3664889:1 gene:Potri.014G057700.v4.1 transcript:Potri.014G057700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057700.v4.1 MLEYEWGNPSTIMLSGGDDQQAGSNHEVQDQNRQASIFDHYTTQSFNDNNLIPQHTSPTLMFQNHPTTTNATHHNINSFFDPRAYAGAGASSFPTTHHPSLLSLDPIPTVTSVATATSYFLIPKSEEIPRSNSDLITSRIGLNLGGRTYFSSAEDDFVNRLYRRSRPLDPGSSSNAPRCQAEGCNADLTHAKHYHRRHKVCEFHSKASTVIAAGLTQRFCQQCSRFHLLSEFDNGKRSCRKRLADHNRRRRKSHQINQENQKSHLENATISSSEILTRSPPDSGAHPTSSVTVAMSPPRMSLDCFKQRSYQATASSSTSSSSLFFSSG >Potri.005G008200.1.v4.1 pep chromosome:Pop_tri_v4:5:640253:640662:1 gene:Potri.005G008200.v4.1 transcript:Potri.005G008200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008200.v4.1 MAAEKVTIDHEEAVDFVRKVQKRINKEAYKDFLMTLCAYRNKWKDVADVYRDVVELFADYPDLLEGFHRFLPTSEVIADLESKLQIL >Potri.001G395900.1.v4.1 pep chromosome:Pop_tri_v4:1:42093522:42095387:1 gene:Potri.001G395900.v4.1 transcript:Potri.001G395900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395900.v4.1 MASPNSVKILDICEVAAAYDSTKSATETILSPTFFELSGLRFPPSDCLCFFKLTDSNPTFFHSVIFPSLKQSLSHALLHFLPIVGSLTWPPESSRPIFVYHPKNDSVSVTLAECNGDFDRLIGNGIHEAVESHPHAPQLVATDTRSPLLALQVTLFPNKGFCIGMETHHAIFDGKSASMFLRAWAYTCKYIVEKGEAPRLLPEEITPSFEWKSIQDSKGLEEKYINLWATIGKRLESGSDSNPKSVKPLTKLEVQPNLLRANFHLSSEAIKKLRESVLRYHPEATDPTKRLHLSTYVLACSYVLICLVKARGGDADREVYFAWSVDCRSRLDPPLPPNHFGDTVVARHIVSKAGDFMQENGLAIIAEKLSASINGLDKGLLEGSSERLEMLLSLGPEVRLISVAGATGLKFYNTDFGWGNVEKVELTSIDRTGAFSVLDIGNGNDRRTEIGVALKRPEMESFASFFCNGVEVMPFKQIQ >Potri.010G035100.1.v4.1 pep chromosome:Pop_tri_v4:10:6398101:6405730:-1 gene:Potri.010G035100.v4.1 transcript:Potri.010G035100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G035100.v4.1 MEFFTKTKAVKLRSHLEKYLIADEDLETARQTRHGSSRKAAIWFVELVDEKSHVIRLKSCYGRFLTASDMPFLLGMTGKKVIQTELSENNFDNWKLEWEPIRDGFQVKLKSWCGKFLRANGGTPPWRNSVTHDEPHTGSTRKWILWDIEAVQEIGNDSLKDYLSSMSSFSTVSDDVLDAISDDYKGSEAGSPISVVSSGRTPRLTLVKSMSPRLSLFSTKTNSTKFRSGMDFFHKAKAVRLRSHHDKYLLAEDDEESVIQDRDGSSKTARWIVELVPGSDFIIRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPRRLDSSVEWEPVREGGQMKLKTRYGNFLRANGGLPPWRNSVTHDVPHRTSTQDWVLWDVDVLEIQVHQSHTGHAPDLNKIMSHADSLDFESTSPTSLSIKSGNFSRQESSDSHVTSPRKSEGRTIYYHVADESGEVDDDATVGYSLNFKGNGVDELTQKLKEDTGLEDIVVCTRSPLNAKLYPLRLQLPPNNADMHVILVQSSSNAARDFAKPGVPL >Potri.010G035100.2.v4.1 pep chromosome:Pop_tri_v4:10:6398167:6401131:-1 gene:Potri.010G035100.v4.1 transcript:Potri.010G035100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G035100.v4.1 MDFFHKAKAVRLRSHHDKYLLAEDDEESVIQDRDGSSKTARWIVELVPGSDFIIRLKSCYGKYLTASNQPFLLGMTGRKVLQTLPRRLDSSVEWEPVREGGQMKLKTRYGNFLRANGGLPPWRNSVTHDVPHRTSTQDWVLWDVDVLEIQVHQSHTGHAPDLNKIMSHADSLDFESTSPTSLSIKSGNFSRQESSDSHVTSPRKSEGRTIYYHVADESGEVDDDATVGYSLNFKGNGVDELTQKLKEDTGLEDIVVCTRSPLNAKLYPLRLQLPPNNADMHVILVQSSSNAARDFAKPGVPL >Potri.002G129500.1.v4.1 pep chromosome:Pop_tri_v4:2:9815055:9818949:1 gene:Potri.002G129500.v4.1 transcript:Potri.002G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129500.v4.1 MDVSRDIKVEPKRERRRGGNRAALFVFAAEGLENMAFVSMAVSLVTYFYGYMNFSLTKSATTLTNFMGTSFLVALFGGFICDTYMTRFKACVLFGCVEFMGYGLLTVQAHFHHLRPSPCKGVSPDQCEGADGSQAAILFAGLYLIAFGTSGVKASLPSLGADQFDENDPKEASQLSSFFNWFLFSITIGAILGVTIIVWISTNQGWDLGFGVCAIAVLLAIIFASMGKSLYRNNVPKGSPLTSFAQVFVAAIRNRGLPIPEKAEELHEIHNKDAGVKREILPWTDQFRFLDRAAIAKTTNDASTSISHRPWRLCTVTQVEETKILIRMLPIILSTVFMNTCLAQLQTFSVQQSITMDTRVFGFQVPGPSLPVIPLLFMFVLIPIYERVFVPLARKITGIPTGIRQLQRVGVGLVLSAISMAVAGIVETSRKSVAVEHNMVDSTEPLPMSFLWLGFQYAIFGAADMFTLVGLLEFFYAESSAGMKSLSTAISWCSLAFGYFSSTVVVEVVNKVTGGWLANNNLNRDKLNYFYWLLAGISIVNFGVYLACASWYRYKKGGEVNQIENGGGGDAKGKAQMV >Potri.003G204000.4.v4.1 pep chromosome:Pop_tri_v4:3:20300840:20308362:1 gene:Potri.003G204000.v4.1 transcript:Potri.003G204000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204000.v4.1 MEQYLKENFVVDAKRPSEQALRRWRSAVSVVRNPRRRFRMVADLAKRAEAERKRKNLQEKIRIALYVNKAALHFIEAAKVVEHKLSDNVRQTGFGIGPDELAALARSHDINDLESHGGVEGLAREVSASLNDGVVSSDISLRQNIYGFNRYAEKPARSFWMFVWDALHDLTLVILMVCAVVSIGVGIATDGWPNGMYDGVGIVICILLVVMVTAITDYKQALQFKVLDKEKKNVIVQVTREGIRQKVSIFDLVVGDVVHLSIGDLVPADGILISGHSLSVDESSLSGESELVDINKKRPFLLSGTKIQDGSGKMLVTAVGMRTEWGTLMVHLSEVDQDETPLQVKLNGVATIIGKIGLAFAVITFLVLLVRFLLVKADHHEITKWSSSDALKLLNFFSISVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDRALVRHLSACETMGSVCCICTDKTGTLTTNHMVVNKIWICEETKSIQTNSNKDLLMSSFSENVHGILLQSIFQNTGSEVTKGKDGRDNILGTPTETAILEFGLILGGEFKTYHNESEIVKVEPFNSEKKKMSVLVSLPNNGGFRAFCKGASEIILKMCDKMLTADGKAVPLSEKQRQKITDVINGFACEALRTLCLAFKDMENTSGANSMPDNNYTLIAVVGIKDPIRPEVKEAVKTCLDAGITVRMVTGDNINTAKAIARECGILTDYGLVIEGADFRCKSPQELEEIIPNLQVMARSSPSDKHKLVTQLRSVFKEVVAVTGDGTNDAPALAEADIGLAMGIAGTEVAKESADVIVMDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVAALMINFISACISGDEPLTTVQLLWVNLIMDTLGALALATEPPHDGLMKRPPIGRNVNIITKTMWRNIIGQSIYQISVLVILQLDGKHLLKLSDSDDTKILNTFIFNTFVLCQVFNEINSRDMEKINVFKGIFSSWIFLAVMFSTVTFQIVIVEFLGTYANTVPLRWELWLASVLIGAASLVISVILKCIPVGTNKDDNTAKHHDGYEPLPSGPDMA >Potri.003G204000.1.v4.1 pep chromosome:Pop_tri_v4:3:20300840:20308371:1 gene:Potri.003G204000.v4.1 transcript:Potri.003G204000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204000.v4.1 MEQYLKENFVVDAKRPSEQALRRWRSAVSVVRNPRRRFRMVADLAKRAEAERKRKNLQEKIRIALYVNKAALHFIEAAKVVEHKLSDNVRQTGFGIGPDELAALARSHDINDLESHGGVEGLAREVSASLNDGVVSSDISLRQNIYGFNRYAEKPARSFWMFVWDALHDLTLVILMVCAVVSIGVGIATDGWPNGMYDGVGIVICILLVVMVTAITDYKQALQFKVLDKEKKNVIVQVTREGIRQKVSIFDLVVGDVVHLSIGDLVPADGILISGHSLSVDESSLSGESELVDINKKRPFLLSGTKIQDGSGKMLVTAVGMRTEWGTLMVHLSEVDQDETPLQVKLNGVATIIGKIGLAFAVITFLVLLVRFLLVKADHHEITKWSSSDALKLLNFFSISVTIIVVAVPEGLPLAVTLSLAFAMKKLMHDRALVRHLSACETMGSVCCICTDKTGTLTTNHMVVNKIWICEETKSIQTNSNKDLLMSSFSENVHGILLQSIFQNTGSEVTKGKDGRDNILGTPTETAILEFGLILGGEFKTYHNESEIVKVEPFNSEKKKMSVLVSLPNNGGFRAFCKGASEIILKMCDKMLTADGKAVPLSEKQRQKITDVINGFACEALRTLCLAFKDMENTSGANSMPDNNYTLIAVVGIKDPIRPEVKEAVKTCLDAGITVRMVTGDNINTAKAIARECGILTDYGLVIEGADFRCKSPQELEEIIPNLQVMARSSPSDKHKLVTQLRSVFKEVVAVTGDGTNDAPALAEADIGLAMGIAGTEVAKESADVIVMDDNFKTIVNVARWGRSVYINIQKFVQFQLTVNVAALMINFISACISGDEPLTTVQLLWVNLIMDTLGALALATEPPHDGLMKRPPIGRNVNIITKTMWRNIIGQSIYQISVLVILQLDGKHLLKLSDSDDTKILNTFIFNTFVLCQVFNEINSRDMEKINVFKGIFSSWIFLAVMFSTVTFQIVIVEFLGTYANTVPLRWELWLASVLIGAASLVISVILKCIPVGTNKDDNTAKHHDGYEPLPSGPDMA >Potri.005G138400.1.v4.1 pep chromosome:Pop_tri_v4:5:10874628:10881449:-1 gene:Potri.005G138400.v4.1 transcript:Potri.005G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138400.v4.1 MASSTLFCNTPTAVFSRTQFPVPIFSNSSVEFSSSTHYNYNFKTKTGSAKKLKHVNAVVTEAPAVSESRGKQSEQRKLKVLVAGGGIGGLVFALAAKRKGFEVMVFEKDLSAVRGEGQYRGPIQIQSNALAALEAIDLEVAEEVMRAGCITGDRINGLVDGVSGTWYVKFDTFTPAAERGLPVTRVISRMTLQQILARAVGDDVILNDSNVVSFQDEGNKITVVLENGQQFEGDLLVGADGIWSKVRKNLFGPKEAVYSGYTCYTGIADFVPVDIETVGYRVFLGHKQYFVSSDVGAGKMQWYAFHKEQPGGMDGPRGKKDRLLKIFEGWCDNVIDLILATDEDAILRRDIYDREPILTWGRGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALELDKAWKQSVESGTSVDVISSLRSYENARRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLTKFRIPHPGRVGGRFFVDIAMPVMLNWVLGGNSSKLEGRSLSCRLSDKASDQLRRWFEDDDALERALDGEWFLLPCGNEAVASQPIGLSRDENKPCVVGSVSHDDFPGMSIVIPAPEVSEMHARISCKNGAFYLIDLRSEHGTFITDNEGRRYRATPNFPARFHPSDMIEFGSDKKATFRVKVMRSPPKISEKKEESQVLRSV >Potri.005G138400.3.v4.1 pep chromosome:Pop_tri_v4:5:10874626:10881296:-1 gene:Potri.005G138400.v4.1 transcript:Potri.005G138400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138400.v4.1 MQWYAFHKEQPGGMDGPRGKKDRLLKIFEGWCDNVIDLILATDEDAILRRDIYDREPILTWGRGRVTLLGDSVHAMQPNMGQGGCMAIEDSYQLALELDKAWKQSVESGTSVDVISSLRSYENARRLRVAIIHGMARMAAIMASTYKAYLGVGLGPLSFLTKFRIPHPGRVGGRFFVDIAMPVMLNWVLGGNSSKLEGRSLSCRLSDKASDQLRRWFEDDDALERALDGEWFLLPCGNEAVASQPIGLSRDENKPCVVGSVSHDDFPGMSIVIPAPEVSEMHARISCKNGAFYLIDLRSEHGTFITDNEGRRYRATPNFPARFHPSDMIEFGSDKKATFRVKVMRSPPKISEKKEESQVLRSV >Potri.012G137800.1.v4.1 pep chromosome:Pop_tri_v4:12:15123459:15125817:1 gene:Potri.012G137800.v4.1 transcript:Potri.012G137800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G137800.v4.1 MALELWETLKEAITAYTGLSPATFFTVAALGLAVYYVVSGLFGGSDHHQHVPRQSEEQMQPLPPPVQLGEITEEELKQYDGTDSKNPLLMAIKGQIYDVSQSRMFYGPGGPYALFAGKDASRALAKMSFEDKDLTGDVSGLGPFELEALQDWEYKFMSKYIKVGTIKKTVPVTDGTSTSEPAAEATESDVAKPAEDVPSVAAPVETPAGGESKEE >Potri.010G232400.1.v4.1 pep chromosome:Pop_tri_v4:10:21406130:21409719:-1 gene:Potri.010G232400.v4.1 transcript:Potri.010G232400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232400.v4.1 MQGTVTCGSWIRRPENLNLVVLGKSSKKRESASRSVLEIFSFDPQTASLSNSPQVTYVFEETEGEPVTIAVHPNGDDFVCSTSKGGCKLLELSGQETNLKLLAKELPPLQDVGPQNCMAFSVDGSKFATGGVDGRVRILKWPSLRIILDEAKAHNSVRDMDFSLDSEFLASTSTDGSARIWKAEDGSAVATLTRNSDEKIELCRFSKDGTKPFLFCAVQKGDKAVTSVYDISTWKKIGYKRLLRKPAAIMSISLDGKYLALGSKDGDVCVAEVKTMEVSHLSRRLHLGTCITSLEFCPSQRVVLTTSNEWGAVVTKLNVPADWKEWQIYLVLVGLFLASAVAFYIFFQNSDSFWKFPLGRDQPGRPKFEILDPQYSEDAFGPLDM >Potri.018G115400.2.v4.1 pep chromosome:Pop_tri_v4:18:13160663:13162373:-1 gene:Potri.018G115400.v4.1 transcript:Potri.018G115400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115400.v4.1 MAEQTEKAFLKQPKVFLSSKKSGKGKRPGKGGNRFWKSIGLSFKTPREATEGTYIDKKCPFTGTVSIRGRILAGTCHSAKMVRTIIVRRNYLHWVKKYQRYEKRHSNIPAHVSPCFRVREGDHVIIGQCRPLSKTVRFNVLKVIPAGSAAGGKKAFTGM >Potri.008G013400.1.v4.1 pep chromosome:Pop_tri_v4:8:670421:671329:1 gene:Potri.008G013400.v4.1 transcript:Potri.008G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013400.v4.1 MTRYHSFSVPYHFLAFLVCFILVHASPAAADKPTELVDKVCNQTSNYTLCVEALYSDSRTPDADSYTLAFISFGLAYTNANNIRDYYIAELLKNTSSQDYHYRLETCSHDYLRAVSKLEEAYNDLNSETFFGLAELAGIASEASDHCQDAFKGISSPPLGSRNGDLKRLCEIGAIIAKLFTGSS >Potri.002G102600.6.v4.1 pep chromosome:Pop_tri_v4:2:7492640:7500231:1 gene:Potri.002G102600.v4.1 transcript:Potri.002G102600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102600.v4.1 MQNPESISYLTQREAAEVDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYSRVLAICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTQKPLYNGLVTQLESLSVPFLSVEDLPVDLPEDFDILVDAMFGFSFHGSPRPPFDDLIQKLVQLCNFAQNHQKSPVTVSVDIPSGWHVEEGDVSGEGVKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPSSIVDKFKLHLPPYPGTSMCVRIGKPPQIDISALRQNYISPELLEEQVAADPIDQFRKWFDEALAAGLREPNAMALSTVGKDGKPSSRIVLLKGVDKDGFVWYTNYESQKAHELSENPHASLLFYWDGLNRQVRVEGSVQKVPDEESEQYFHSRPRGSQIGAIASKQSTSIPGRHILHQTYKELEEKYSDGSLIPKPKHWGGYRLKPQLFEFWQGQQSRLHDRLQYIPQEIDRKLVWKIVRLAP >Potri.002G102600.2.v4.1 pep chromosome:Pop_tri_v4:2:7493031:7500500:1 gene:Potri.002G102600.v4.1 transcript:Potri.002G102600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102600.v4.1 MTMVIRKSSSRAMTCLFLSQLPPTLSHHNHSFSIYKSCRSLSKTPSDFLGSIWCPTVCAAPTIRSFSSKFSESSTTARMQNPESISYLTQREAAEVDEILMGPLGFSVDQLMELAGLSVATSIAEVYKPSEYSRVLAICGPGNNGGDGLVAARHLHHFGYKPSVCYPKRTQKPLYNGLVTQLESLSVPFLSVEDLPVDLPEDFDILVDAMFGFSFHGSPRPPFDDLIQKLVQLCNFAQNHQKSPVTVSVDIPSGWHVEEGDVSGEGVKPDMLVSLTAPKLCAKKFTGPHHFLGGRFVPSSIVDKFKLHLPPYPGTSMCVRIGKPPQIDISALRQNYISPELLEEQVAADPIDQFRKWFDEALAAGLREPNAMALSTVGKDGKPSSRIVLLKGVDKDGFVWYTNYESQKAHELSENPHASLLFYWDGLNRQVRVEGSVQKVPDEESEQYFHSRPRGSQIGAIASKQSTSIPGRHILHQTYKELEEKYSDGSLIPKPKHWGGYRLKPQLFEFWQGQQSRLHDRLQYIPQEIDRKLVWKIVRLAP >Potri.014G109801.2.v4.1 pep chromosome:Pop_tri_v4:14:7341998:7346938:1 gene:Potri.014G109801.v4.1 transcript:Potri.014G109801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109801.v4.1 MANPLYFPTLLLLLAAISQATAEEPVSKLKLNSRILQDSIVQKVNENPKAGWEATMNPQFSNYSVGEFKYLLGVKQTPRKELRGVPLLRHPKSMKLPIEFDARTAWPHCSTIGRILDQGHCGSCWAFGAVESLSDRFCIHYGMNLSLSVNDLLACCGWMCGAGCDGGSPIDAWRYFVQSGVVTEECDPYFDDIGCSHPGCEPGFPTPKCERKCADKNKLWAESKHFSVNAYRIDSDPHSIMAEVSSNGPVEVAFTVYEDFAHYKSGVYKHITGDAMGGHAVKLIGWGTSEDGEDYWLLANQWNRGWGDDGYFKIKRGTNECGIEGAVVAGLPSTRNLVREVASIDGHEHATA >Potri.005G253000.4.v4.1 pep chromosome:Pop_tri_v4:5:24549645:24557321:1 gene:Potri.005G253000.v4.1 transcript:Potri.005G253000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253000.v4.1 MALPNMDVFEAYFKRADLDGDGRISGAEGFSFFQGSNLPKQVLAQIWMHADQSRSGFLGRPEFFNALRLVTVAQSKRDLTPDIVKAALYGLAAAKIPPPQINLHATAGPQMAAASTALQMGAGTPTASQGFGFRGPGVQTAVPQMVASSGPQMSAVAPTASQGFGFRGPGVQTAVPQMVASSAPQMGAFAPTALQSPGFRGPGVPNASMNPQYFPQQSQTMRPLQGVPAGTASRPPQGMLSSNLGGPSSVMPTGTASRPPQFMSGGTVGSTPSVSNPNISNDWLGGRTSGAPPGPGGVRPSTLTTTQPRPLSTVSSQPTANDSKVPVVSGNGFASNLFFGSDVFSATAAATKQEPSLLSATGGAQPPVKSGSLDSLLKAVSTPSSSSSVPVSSGTWAQGPVKSSSLDSLQSAFAMQPLGGQPQRTQSLLSSGPHVSASSSASIVSPGISAGAGNSSDNSQLSWPKMKPADIQNYTKVFMEVDTDRDGRITGEQARNLFLSWRLPREILKQVWDLSDQDSDSMLSLREFCFALYLMERYREGRPLPAALPNNVLYDETLLSMTGQPKVAYGNAAWGPSPGFAQQLGMGARPMAPILGMRPPVPVNAPQPDAAMANNQQMSGVPVLEDSFLNQHEGGEQNSANSMTQDGTASEKKIDEAEKLILDFKEKIEFYRSKMQDLVLYKSRCDNRLNEITERALADTREAELLGKKYEEKYKQVAEVASKLTIEEATFRDIQERKLELHQGITNMEQGGSADGILQVRADRIQSDLDELLKALTQRCKKHELTVKSTAVIELPFGWQPGIQEDAATWDEDWDKFEDEGFSNELTVDVKSAPGQKERASVDDSLTPDSLSNGDGRSGIFTGKHVLESEAAYNHSEDEMARSPQGSPAGRSASESPSQDFSDVFAKSTEADIDTHRSFDESIWGAFDTNDDVDSVWGFNPTGNKASSENEGGFFGSDDFGLKPIRTGSTSPANTIQKNSIFFEESVAGSPMSRFSNSPRYSEAGDHFDNYLRFDSFPSA >Potri.006G215300.2.v4.1 pep chromosome:Pop_tri_v4:6:22152293:22153806:1 gene:Potri.006G215300.v4.1 transcript:Potri.006G215300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215300.v4.1 MSPAISLPCFNSVIFAARRSPQKGKLKNAKVPGATPKLSNTGFGTSKKEPLWRCVEGCGACCKLAKGPAFATPEEIFTNPSDIELYKSLIGPDGWCIHYEKSTRKCSIYPDRPYFCRVEPDIFESLYGITKKKFNKEACGSCRDTIKEIYGSHSKELDNFNRSLRSSD >Potri.014G003200.1.v4.1 pep chromosome:Pop_tri_v4:14:232384:237518:1 gene:Potri.014G003200.v4.1 transcript:Potri.014G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003200.v4.1 MTAELYLTFAMEETLTRVSSIAAEWIKLTWGLEGQLRKLKQSLIMIRDVLPDAARRAVIDDSVKVWLEKLQDVAYDAEDVLDEVAYEILRKDQKKGKVRDCFSLHNPVAFRLNMRKKVKEINGSLDEIWKDAAGFGLGIASLHVESAPEVIRDIDRETDSLLGSSEVVVGRDNDISKIMELLIGSIGQQVLSVVPIVGMAGLGKTTIAKKICQLAREKNHFDVTLWVCVSDDFSKRRILGEMLQNVDETTSMLSNLHAIMAKLKKKLEKKTLLLVLDDVWNEDYDKWDDLKEGLLKINSMNGNAVVVTTRSKKVADMMETSPGIQHEPGRLSDDQCWSIIKQTVSRGGGETIASDLESIGIEIAKKCGGIPLLAKVMGGTLHGKQAQEWQSILNINIWDCQDGDKVLRIFDYLSSPSLKKCFAYCSIFPKDFEIEREELVQLWMADGFLRPSNGRMEDEGNKYFNDLLANSFFQDVKRNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRRLNLISHGDVEAAFPTVDARKLRTVFSMVDVFNGSWKFKSLRTLKLRRSDITELPDSICKLRHLRYLDVSCTSIRVLPESITELYHLETLRFTDCKLLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPFFVVGPDHMVEELGCLKELRGALKICKLEQVRDREEAEKARLRRKGMNKLVLEWSDDKGNIGVNNEDVLEGLQPHPDISSLTIEGYGGEYFSSWILQLNNLTVLRLNGCSKLRQLPTLGCLPRLKILKMSGMPNVKCIGNEFYSSSGSAAGLFPALEELTLSKMDGLEEWMVPGGEGDLVFPCLEELCIEECRQLRQLPTLGCLPRLKILKMSGMRNVKCIGNEFYSSGDNAVVLFPTLKELTLWDMDGLEEWMVAGGEVVAVFPRLEELSIKRCGKLKSIPLCHLSSLVRFEIIGCEELSYSSGEFHGFTSLQILTIRSCSKLTSTPSVKHFTNLVEMSIRWCRELISIPGDFRELKYSLKKLDILGCKLVALPSGLQYCASLEELQILFCSELIHISNLQELSSLRRLKIMYCEKLISFDWHGLRLLRSLVYLEISWCRSLSDIPEDDCLGSLTQLKELRIGGFSKELEAFSAGLTNSIQHLSGSLKSLFIYGWDKLKSVPRQLQHLTALETLHISGFNGVEFEEALPEWLANLSSLQSLTIWNCYNLKCLPSSRTAIQRLSKLKHLEIYGCPHLLANCREENDSEWPKISHIPSIKIEGTRVQPDLED >Potri.004G105200.2.v4.1 pep chromosome:Pop_tri_v4:4:9231764:9238479:-1 gene:Potri.004G105200.v4.1 transcript:Potri.004G105200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105200.v4.1 MASLTPSPDSPPSPIPISTSPPSPPSTPDSTTNSPPPSTSQPDQTIDPPLPSTPSNPATPPPQSPPPAPPAASPPAPPPPSPIIPSTPPPFPPPPSPPASPPPAPPALTPPSPPTAPPPASTTAPPPPPPISTSPPPRASPTTPVTSPPPPQAVSPSPPPPANDPIPPATNSPPPPTVKPPESPPALPTVPPPPPSSQSDSPPPTTNSPPPPISTLQSPPPSIPSTSSTPPAISPPAPPVNSSVTGSPTPPFPAIPTEKPTARATNGTDVSTNTSSTGPGGLNNGGAVTIGIVAGFVALSLLVVAVWFAQKRKRRRGENVGYTIPSPFASSQNSDSVFLKPYPPAPLVGSPSGSDFMYSPSEAGVVNNSRQWFTYEELVQATNGFSAQNRLGEGGFGCVYKGVLVDGRDVAVKQLKIGGSQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYLPNDTLYHHLHGEGRPFMDWATRVRVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDENFEAQVSDFGLAKIALELDSNTHVSTRVMGTFGYMAPEYATSGKLTEKSDVYSYGVVLLELITGRKPVDASQPLGDESLVEWARPLLTDAIENEDFEALADSGLEKNYVPSEMFRMIEAAAACVRHSAAKRPRMSQVVRALDLLDESSDLSNGMKPGQSEIFDSRQHSAQIRMFQRLAFGSQEYSSEFFDRTQSSWRSRDHGDSV >Potri.004G105200.1.v4.1 pep chromosome:Pop_tri_v4:4:9231766:9238277:-1 gene:Potri.004G105200.v4.1 transcript:Potri.004G105200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105200.v4.1 MASLTPSPDSPPSPIPISTSPPSPPSTPDSTTNSPPPSTSQPDQTIDPPLPSTPSNPATPPPQSPPPAPPAASPPAPPPPSPIIPSTPPPFPPPPSPPASPPPAPPALTPPSPPTAPPPASTTAPPPPPPISTSPPPRASPTTPVTSPPPPQAVSPSPPPPANDPIPPATNSPPPPTVKPPESPPALPTVPPPPPSSQSDSPPPTTNSPPPPISTLQSPPPSIPSTSSTPPAISPPAPPVNSSVTGSPTPPFPAIPTEKPTARATNGTDVSTNTSSTGPGGLNNGGAVTIGIVAGFVALSLLVVAVWFAQKRKRRRGENVGYTIPSPFASSQNSDSVFLKPYPPAPLVGSPSGSDFMYSPSEAGVVNNSRQWFTYEELVQATNGFSAQNRLGEGGFGCVYKGVLVDGRDVAVKQLKIGGSQGEREFRAEVEIISRVHHRHLVSLVGYCISEHQRLLVYDYLPNDTLYHHLHGEGRPFMDWATRVRVAAGAARGIAYLHEDCHPRIIHRDIKSSNILLDENFEAQVSDFGLAKIALELDSNTHVSTRVMGTFGYMAPEYATSGKLTEKSDVYSYGVVLLELITGRKPVDASQPLGDESLVEWARPLLTDAIENEDFEALADSGLEKNYVPSEMFRMIEAAAACVRHSAAKRPRMSQVVRALDLLDESSDLSNGMKPGQSEIFDSRQHSAQIRMFQRLAFGSQEYSSEFFDRTQSSWRSRDHGDSV >Potri.005G041000.7.v4.1 pep chromosome:Pop_tri_v4:5:2659940:2664607:1 gene:Potri.005G041000.v4.1 transcript:Potri.005G041000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G041000.v4.1 MALNWLLICHGLVTLTVIISFLCGQWPIFQGTPIERLHHFITFGAYDYFLRFVGFVFGDKARSLVLSIERCCCDRPNPVMQVIYLAIIGATYYYIVKSSFRYIPGYYLGEVHKYTSLLAVGIGIVLFLLTSFSDPGTVKAGNVSEYLLAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHCLLCIYGAIALALIIAGRLKELRVVYILTVYYGVGNSFRSLAPHVAQWLLSSYNTQLLLMVFLVIVSLLLAGFFGYHANLCLTNTTTNETFKWEDYISWQRKLNEARVSAAALKASISGMSSEAKRPESKCKSFFRRSSLEDSQVVAKENKYDKGFFHNMFEVLFPLSTRPSFSQTKSKPS >Potri.005G041000.8.v4.1 pep chromosome:Pop_tri_v4:5:2659911:2664596:1 gene:Potri.005G041000.v4.1 transcript:Potri.005G041000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G041000.v4.1 MALNWLLICHGLVTLTVIISFLCGQWPIFQGTPIERLHHFITFGAYDYFLRFVGFVFGDKARSLVLSIERCCCDRPNPVMQVIYLAIIGATYYYIVKSSFRYIPGYYLGEVHKYTSLLAVGIGIVLFLLTSFSDPGTVKAGNVSEYLLAYPYDNIIYTEKECSTCKIPKPARSKHCSICNRCVARFDHHCGWMNNCIGERNTRYFLAFLLWHCLLCIYGAIALALIIAGRLKELRVVYILTVYYGVGNSFRSLAPHVAQVVS >Potri.003G124800.1.v4.1 pep chromosome:Pop_tri_v4:3:14474858:14480361:-1 gene:Potri.003G124800.v4.1 transcript:Potri.003G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124800.v4.1 MSSSGSLFFSSNKERGVEISCRVQMRSYASLQLLSFVSLVLVVTCDSFVREEVWALTTFKEAIYEDPHMVLSNWNALDADPCGWSGISCSSARDHVVKINITGYSLRGFLAPELGRIKFLQQLILHGNNLIGVIPKELGMLKYLQVLDLGANQLTGPIPPEIANLTSVRKINLQSNGLTGSLPPELGNLKYLQELWLDRNRLQGSVPASSGSDFTSSVYGIYASNTNLTGLCRASGLKVADFSYNFFTGSIPKCLGYLPSTSFQGNCLQNKDPRQRSSSLCDRAPHARTHQTRSPKHQPAEDVSKQHQRASKPAWLLALEIVTGTMVGCLFLIAFLTALQRCNNKSSLIIPLKKLSSQKDHVTVYIDSEMLKDVVRFSRQELEVACEDFSNIIGSSPDSLVYKGIMKGGPEIAVISLCIKEQQWTGYLELYFQREVADLARLNNENAGKLLGYCKESTPFTRMLVIEYASNGTLYEHLHYGDGCQLSWTRRMKIVTGVARGLKYLHTELEPPFTISELNSSSVYLTEEFSPKLVDFESWKSILARSEKNSGSIGSQGAICVLPHSLEGRHLDVQGNIYAFGVLLLEIISGRPPYCKDKGRLVDWAKDFLELPEAMAYVVDPELKHFRFEDLKVICEVVKLCIHPDPTKQPSMQELSAILESGIDTTISADLKASSLAWAELALAS >Potri.001G094900.1.v4.1 pep chromosome:Pop_tri_v4:1:7526802:7531107:-1 gene:Potri.001G094900.v4.1 transcript:Potri.001G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094900.v4.1 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPPDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >Potri.014G133400.1.v4.1 pep chromosome:Pop_tri_v4:14:8935537:8940374:-1 gene:Potri.014G133400.v4.1 transcript:Potri.014G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133400.v4.1 MNNSLATTSLTARMSPTIPHPHHFRQTPSRIFFLRPTLSRSTTPRIVCMAEPYLITKLESAEKTWKELSVKLGDPDVVSNPSEYQKLAQSVAELDEVVSIFKRFKDCEKMLEDTRALAKEDGNDEDMAEMIAYEIESLSSELKQLEEKLTVLLLPSDPLDARNILLEVRAGTGGDEAGLWAGDLVRMYQRYSEQNSWKVSVISSSEAEKGGYKTYVMEVKGKSVYSKLKYESGVHRVQRVPQTEAQGRVHTSTATVAIMPEVDEVEVVIDPKEYELTTARSGGAGGQNVNKVETAVDLIHKPTGIRIFCTEERTQLQNRNRALQLLRAKLYEIKVREQQESIRNQRKMQVGTGARAEKIRTYNYKDNRVTDHRLKVNFELTSFLAGGIENAVQACSALEQKELLEELAESVGAPAG >Potri.004G035400.1.v4.1 pep chromosome:Pop_tri_v4:4:2779584:2781374:1 gene:Potri.004G035400.v4.1 transcript:Potri.004G035400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035400.v4.1 MNDLFSSSFKKYTDLKQQAQMDDMEAGKESMNLDRFFEDVENVKEDMKTVERLYKSLQEANEECKTVHNAKTMKNLRSRMDIDVEQVLKRVKIIKGKLEALDRSNAAHRNIPGCGPGSSADRTRTSVVSGLGKKLKDLMDNFQDLRARMAAEYKETVERRYFTITGERASEETIENLISSGESESFMQKAIQEQGRGQILDTISEIQERHDAVKEIEKNLIELHQVFLDMAALVEAQGHQINDIESHVAHASSFVRRGTEQLSEAREYQKSSRKWTCIAIVAGAVLIIVLLLPFIPHLLALL >Potri.T002568.6.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:292851:296120:1 gene:Potri.T002568.v4.1 transcript:Potri.T002568.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002568.v4.1 MAEPESSRSIPEWTYDVFLSFRGEDTRKTFTDHLYAALVQAKIHTFRDDDELPRGEEISDHVLRAIQESKISIVVFSKGYASSRWCLDELVEILKCKRKKTGQIVLPIFYDIDPLDVRKQTGRFAEAFVKHEERFEEKLVKEWRKALKEAGNLSGWNLNDMANGPEANFVKEIIKDVLNKLGPKHLYVPEHLVGMDRLSRNIFYFLSTAIDDVQIVGIHGMLGIGKTTIAKVVFNQLCNGFEGSCFLSDINEKSKQFNGLALLQEQLLHNILKQDVANINCVDRGKVLIKERLCRKRVLLVADDVARQDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTNRIEELEPDEALQLFSWHAFKDTKPAKDYIELSKKAVDYCGGLPFALEVIGARLSGKNRVTWESEIDNLSRIPNQDIQGKLLTSYHALDGELQRAFLDIACFFIGKEKEYVAKLLGARCGYNPEVVLETLHERSMIKVLGETVTMHDLLRDMGREVVRESSPKEPG >Potri.T002568.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:292769:296136:1 gene:Potri.T002568.v4.1 transcript:Potri.T002568.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002568.v4.1 MAEPESSRSIPEWTYDVFLSFRGEDTRKTFTDHLYAALVQAKIHTFRDDDELPRGEEISDHVLRAIQESKISIVVFSKGYASSRWCLDELVEILKCKRKKTGQIVLPIFYDIDPLDVRKQTGRFAEAFVKHEERFEEKLVKEWRKALKEAGNLSGWNLNDMANGPEANFVKEIIKDVLNKLGPKHLYVPEHLVGMDRLSRNIFYFLSTAIDDVQIVGIHGMLGIGKTTIAKVVFNQLCNGFEGSCFLSDINEKSKQFNGLALLQEQLLHNILKQDVANINCVDRGKVLIKERLCRKRVLLVADDVARQDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTNRIEELEPDEALQLFSWHAFKDTKPAKDYIELSKKAVDYCGGLPFALEVIGARLSGKNRVTWESEIDNLSRIPNQDIQGKLLTSYHALDGELQRAFLDIACFFIGKEKEYVAKLLGARCGYNPEVVLETLHERSMIKVLGETVTMHDLLRDMGREVVRESSPKEPG >Potri.T002568.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:292769:296120:1 gene:Potri.T002568.v4.1 transcript:Potri.T002568.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002568.v4.1 MAEPESSRSIPEWTYDVFLSFRGEDTRKTFTDHLYAALVQAKIHTFRDDDELPRGEEISDHVLRAIQESKISIVVFSKGYASSRWCLDELVEILKCKRKKTGQIVLPIFYDIDPLDVRKQTGRFAEAFVKHEERFEEKLVKEWRKALKEAGNLSGWNLNDMANGPEANFVKEIIKDVLNKLGPKHLYVPEHLVGMDRLSRNIFYFLSTAIDDVQIVGIHGMLGIGKTTIAKVVFNQLCNGFEGSCFLSDINEKSKQFNGLALLQEQLLHNILKQDVANINCVDRGKVLIKERLCRKRVLLVADDVARQDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTNRIEELEPDEALQLFSWHAFKDTKPAKDYIELSKKAVDYCGGLPFALEVIGARLSGKNRVTWESEIDNLSRIPNQDIQGKLLTSYHALDGELQRAFLDIACFFIGKEKEYVAKLLGARCGYNPEVVLETLHERSMIKVLGETVTMHDLLRDMGREVVRESSPKEPG >Potri.T002568.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:292851:296123:1 gene:Potri.T002568.v4.1 transcript:Potri.T002568.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002568.v4.1 MAEPESSRSIPEWTYDVFLSFRGEDTRKTFTDHLYAALVQAKIHTFRDDDELPRGEEISDHVLRAIQESKISIVVFSKGYASSRWCLDELVEILKCKRKKTGQIVLPIFYDIDPLDVRKQTGRFAEAFVKHEERFEEKLVKEWRKALKEAGNLSGWNLNDMANGPEANFVKEIIKDVLNKLGPKHLYVPEHLVGMDRLSRNIFYFLSTAIDDVQIVGIHGMLGIGKTTIAKVVFNQLCNGFEGSCFLSDINEKSKQFNGLALLQEQLLHNILKQDVANINCVDRGKVLIKERLCRKRVLLVADDVARQDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTNRIEELEPDEALQLFSWHAFKDTKPAKDYIELSKKAVDYCGGLPFALEVIGARLSGKNRVTWESEIDNLSRIPNQDIQGKLLTSYHALDGELQRAFLDIACFFIGKEKEYVAKLLGARCGYNPEVVLETLHERSMIKVLGETVTMHDLLRDMGREVVRESSPKEPG >Potri.T002568.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:292813:296140:1 gene:Potri.T002568.v4.1 transcript:Potri.T002568.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002568.v4.1 MAEPESSRSIPEWTYDVFLSFRGEDTRKTFTDHLYAALVQAKIHTFRDDDELPRGEEISDHVLRAIQESKISIVVFSKGYASSRWCLDELVEILKCKRKKTGQIVLPIFYDIDPLDVRKQTGRFAEAFVKHEERFEEKLVKEWRKALKEAGNLSGWNLNDMANGPEANFVKEIIKDVLNKLGPKHLYVPEHLVGMDRLSRNIFYFLSTAIDDVQIVGIHGMLGIGKTTIAKVVFNQLCNGFEGSCFLSDINEKSKQFNGLALLQEQLLHNILKQDVANINCVDRGKVLIKERLCRKRVLLVADDVARQDQLNALMGERSWFGPGSRVIITTRDSNLLREADQTNRIEELEPDEALQLFSWHAFKDTKPAKDYIELSKKAVDYCGGLPFALEVIGARLSGKNRVTWESEIDNLSRIPNQDIQGKLLTSYHALDGELQRAFLDIACFFIGKEKEYVAKLLGARCGYNPEVVLETLHERSMIKVLGETVTMHDLLRDMGREVVRESSPKEPG >Potri.007G048101.1.v4.1 pep chromosome:Pop_tri_v4:7:4444976:4447106:-1 gene:Potri.007G048101.v4.1 transcript:Potri.007G048101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048101.v4.1 MGQSSSTVSYPTNQFLISPEPSDFTDEIKNGPLTDFTEGIPDDCLACIFQLLNAADRKRSSLVCKRWLRVDGQSRRRLSLNAQSEITSYVPSIFTRFDSVAKLSLRCDRKSLSLNDDALLMISIQCESLTRLKLRGCREVTELGMADFAKNCKNLTKFSCGSCNFGAKGINMLLKYCIKLEELTIKRLRSFNNGNDLIVPGAAALSLKSICLKELVNGQCFEPLVVECKMLKTLKVIRCLGDWDNVLVKMGNGNGFLSDVHLERLQVSDIGLGAISKCVNIDSLHIVKNPECSNLGLVSVAESCKKLKKLHIDGWKINRIGDEGLMAVAKQCPDLQELVLIGVHVTHFSMAAIASNCRRLERLALCGSGAIGDAEIACIAAKCVELKKLCIKGCAISDIAIEALAWGCPNLVKVKVKKCRGVSSEVVDWLLRRKGSLVVSFDAIESEGLDASSSDVGGQESGVEFPVMGGQVVVGDGPSISTGRLAQFRAKMGLFASRNLVPCAFHRSSNHGDSSKSNL >Potri.013G119100.1.v4.1 pep chromosome:Pop_tri_v4:13:12730328:12731168:-1 gene:Potri.013G119100.v4.1 transcript:Potri.013G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119100.v4.1 MANLKFWVCLVLLFLTLAMSETRHLDQPYLGRKNLARILQELQEKSKQVDVRFIDDGDVARSPYESKRLSPGGPDPKHH >Potri.006G063300.1.v4.1 pep chromosome:Pop_tri_v4:6:4521009:4524417:1 gene:Potri.006G063300.v4.1 transcript:Potri.006G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063300.v4.1 MMKVQAPPRKTSFTEVLQRVYSNLGSVSSNSDSSSSSDSYNCNNLQKLKYYIQESDDDFEEEDGTMELVQIGAERAKNVLILMSDTGGGHRASAEAIRDAFKLEYGDEYRIIVKDVWKEYTGWPLNDMERQYKFMVKHVQLWKVAFHSTSPRWIHSSYLAAIAAYYAKEVEAGLMEYKPDIIISVHPMMQHIPLWVLKWQGLQKKVIFVTVITDLNSCHPTWFHPGVNRCFCPSEEVAKRAALDGLEDSQIRVFGLPIRPSFARAVLSKDELREELELDPSLPAVLLMGGGEGMGPVKKTALALGESLFDKELGKPLGQLIIICGRNKVLKSTLESHEWTIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSPKETAKIVTEWFCTKTDELERMSENALKLAQPEAVFDIIKDIHELAQARGPLVNIPYVLTASFTSII >Potri.010G026900.1.v4.1 pep chromosome:Pop_tri_v4:10:3896960:3897298:1 gene:Potri.010G026900.v4.1 transcript:Potri.010G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026900.v4.1 MASPTTRVTFRLVVAILVVTLVLFYAGRPLYWKISATVQEIRENKRTVKQGISQFVYEAQKSVGWFHDESDSGARENRRARSLF >Potri.004G036000.1.v4.1 pep chromosome:Pop_tri_v4:4:2824983:2826307:1 gene:Potri.004G036000.v4.1 transcript:Potri.004G036000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036000.v4.1 MSQNPEVRLTCEQGWLIAAINFASFGTPEGHCGTFSPGNCHSDMLTIVQKACFGQEGCSIPISAAKLGDPCPGVVKRFAVEALCSERFQLLL >Potri.001G072100.1.v4.1 pep chromosome:Pop_tri_v4:1:5420486:5425026:-1 gene:Potri.001G072100.v4.1 transcript:Potri.001G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072100.v4.1 MVRLTADLVWKSPHFFNAIKERELDLRGNKIPVIENLGATEDQFDTIDLSDNEIVKLENMPYLNRLGTLIINNNRITRINPNIGEYLPKLHTLVLINNRLVNLAEIDPLASLPKLHFLSLLDNNITKKPNYRLYVIHKLKSLRVLDFKKVKGKERAEAEHLFASKEVEEEARRESVKTFTPGEVPNVLEGAEEQQAPKVVAPTPDQIIAIKAAIVNSQTLEEVARLEKALNSGQLPADLKILDGTGSNSVKEQDDKMATDNQNEAEPNNLEEQKDNEATPMEQE >Potri.001G166600.1.v4.1 pep chromosome:Pop_tri_v4:1:14183059:14186747:-1 gene:Potri.001G166600.v4.1 transcript:Potri.001G166600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPEDIYLSTSLANYLDKKLLVLLRDGRKLMGLLRSFDQFANAVLEGACERVIVGDLYCDIHLGLYVIRGENVVLIGELDLEREELPPHMTRVSEAEIRRAQKAEREATDLKGTMRKRMEFLDLD >Potri.001G275400.9.v4.1 pep chromosome:Pop_tri_v4:1:28898840:28902100:1 gene:Potri.001G275400.v4.1 transcript:Potri.001G275400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275400.v4.1 MKLEAGAVKEKTSSIREIHKSFCVRFVRLNGILFTRTSLETFSEVLALVSIGFSELVSSGPEEELNFGADASENGLFIVRLISILIFTVHHVKKEAEGQTYAEIVQRAVLLQNAFTAVFEFMGHILDRCAQLHDPSSSYLLPGIMVFVEWLACCPDIASGSDIDEKQSAVRLNFWNHCISFLNKIVSCCSMSLDDNEDETCFFNMSRYEEGETENRLALWEDFELRGFSPLLPAHTILDFSRKHLFGSDGSKEKIARAKRILAAGKALANMVRVDQQTIYFDSKMKKFVIGAEPQISDDGLLIAADVIQEMQPEETMNLVALQPNPHPYTEGEEEDEVIVFKPVVTEKRNDVLSPKWAPHEGLKPSRNAADDLHFYGSSVSAPLDNLRQQAAFDAGSQISVSHGTIVPQPLQHIQPHTSKWLVEEAASLANGLKGVRFMENGHVMEHEMQKDLGMAYQAVRPVSVQQSLNVNTGMFYGQTKVAETAVPSKVDTYAPSGVIAESLAVKTSAALPPGLRKSPVSRPLRHLGPPPGFNSVPPKQASEPVSGSVLMAENPLQDDYSWLDGYQLPSSAKVSGLNGSANVTSHAAPQYSSNSSGLSGTASFPFPGKQVPPVQTQAEKQKGWQNYLGFEHQRVQQEHQLQQQLINGNQQFSPIPEQYHGQSIWGGRYIV >Potri.001G275400.8.v4.1 pep chromosome:Pop_tri_v4:1:28896302:28902136:1 gene:Potri.001G275400.v4.1 transcript:Potri.001G275400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275400.v4.1 MIVQMDKMSAPSSRERAQRLYEKNLELENKRRRSAQARVPSDPNSWQQMRENYEAIILEDHGFSEQHNIEYSLWQLHYRRIEELRSHYSAVLASTGSNAPPGPKVPARPDRINKIRLQFKTFLSEATGFYHDLILKIRAKYGLPLGYFSEDSDNRAVTETDAKKGLVSCHRCLIYLGDLARYKGLYGDGDSKTREYAAASSYYLQAASLWPSSGNPHHQLAILASYSGDELVAVYRYFRSLAVDNPFATARDNLIVAFEKNRLSYSQLLGDAKVSGVKDSPVRLTGKGRGKREANPASKDMKLEAGAVKEKTSSIREIHKSFCVRFVRLNGILFTRTSLETFSEVLALVSIGFSELVSSGPEEELNFGADASENGLFIVRLISILIFTVHHVKKEAEGQTYAEIVQRAVLLQNAFTAVFEFMGHILDRCAQLHDPSSSYLLPGIMVFVEWLACCPDIASGSDIDEKQSAVRLNFWNHCISFLNKIVSCCSMSLDDNEDETCFFNMSRYEEGETENRLALWEDFELRGFSPLLPAHTILDFSRKHLFGSDGSKEKIARAKRILAAGKALANMVRVDQQTIYFDSKMKKFVIGAEPQISDDGLLIAADVIQEMQPEETMNLVALQPNPHPYTEGEEEDEVIVFKPVVTEKRNDVLSPKWAPHEGLKPSRNAADDLHFYGSSVSAPLDNLRQQAAFDAGSQISVSHGTIVPQPLQHIQPHTSKWLVEEAASLANGLKGVRFMENGHVMEHEMQKDLGMAYQAVRPVSVQQSLNVNTGMFYGQTKVAETAVPSKVDTYAPSGVIAESLAVKTSAALPPGLRKSPVSRPLRHLGPPPGFNSVPPKQASEPVSGSVLMAENPLQDDYSWLDGYQLPSSAKVSGLNGSANVTSHAAPQYSSNSSGLSGTASFPFPGKQVPPVQTQAEKQKGWQNYLGFEHQRVQQEHQLQQQLINGNQQFSPIPEQYHGQSIWGGRYIV >Potri.008G176600.1.v4.1 pep chromosome:Pop_tri_v4:8:12203531:12205206:-1 gene:Potri.008G176600.v4.1 transcript:Potri.008G176600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176600.v4.1 MEGLDSFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFNKTRWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETSVGWNSELGIIAASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKFDRMYSNIVATGAYAWAPSSGVLVGSDVDPGTSNADIGYDGLEEGSGDSEEDVIPDFQTDMARMVGGINMPSSNNTKSGGKRKERDHYDVRGGKKKTTGIGVQLLSRCNHLLESMSTKSDSTSLNMDREGCSIREVMAELHSIPRVSIEDEFHDFATEYLSLRRKREMWASMGDKQQKLRSLQ >Potri.008G062100.1.v4.1 pep chromosome:Pop_tri_v4:8:3715544:3720562:1 gene:Potri.008G062100.v4.1 transcript:Potri.008G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062100.v4.1 MWPLYLLLNKILNIQDLVVEEGKPDGLVQPSSSSSSRKCEDAAAVLPCSHFVQVPHIKQLHSWDCGLACVLMALNTIGINNCSIQGLADLCCTSSIWTVDLAYLLQKYSVSFSFYTVTLGANPNYSVETFYKEQLPADLVRVDMLFQKARGEGINIQCRSINETEISLFILSGKYIAIALVNQYKLSHSWLENAILPGLNGGNSGYAGHYIVICGYDTGTDEFEIRDPAASRKHERMSSRCLEEARKSFGTDEDLLLISLENATSERAR >Potri.018G116000.1.v4.1 pep chromosome:Pop_tri_v4:18:13208718:13210815:1 gene:Potri.018G116000.v4.1 transcript:Potri.018G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G116000.v4.1 MGIQKPAWLGALYTQKFFAGCSYHEAAKKNEKNVCCLDCCISICPHCIPSHRFHRLLQVRRYVYHDVVRLEDLEKLIDCSNVQAYTINSAKVVFIKKRAQNRQFKGAGNYCTSCDRSLQEPFIHCSLGCKVDFVLKHYKDLSPYLRRCNALTLGPDFFIPQDMADDEMTNETPHSTIVDSDEPMSWSSSSSGSENMSIASTGIVRKKRSGLYVCARSLSKVSDEDMASSMSRRKGIPHRSPLC >Potri.016G069900.1.v4.1 pep chromosome:Pop_tri_v4:16:5070784:5071405:1 gene:Potri.016G069900.v4.1 transcript:Potri.016G069900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069900.v4.1 MQAYYAVQEQLHERGQTIHDLERRMGEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRREHDHSEAERAQHIQQLHDLQEHFPYLMIHGR >Potri.014G044900.5.v4.1 pep chromosome:Pop_tri_v4:14:2892953:2894727:-1 gene:Potri.014G044900.v4.1 transcript:Potri.014G044900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044900.v4.1 MWKMPIPISIYTTFCRHFSSSLPKRPIFTSVPWKYKNQAIKLAQQALTDYLHSTRSLPYSYADQISKNSLVSLSNLVSNIHFTSPTFATSLQKYLRYHPINELEFFYESIGIDYDEVSGFLSNDKFFISEEGSAINVSCVLCDFGFPWNKLGMLYKEEKRVFSMSEEEVKSRLCGLKGFRFSTTSVIGISLAFPFVLRGELSGEIGALFDDLKRVFVDFDLESCVEGNVDAWYEVCRKIRVFYDLGCEKGKVGELMGKSKRIFVDYPVEVLVQKAEFFCKFGVRKEDVGLLLLTKPGILDFQLEGQVISVKGLLKHFGLSAEELKSVAQNYGHVFGRNKMANLPHVMRAMELHEWFFNKIKDGNHQLLASYVMSDPDEDLDEKYRDSLERIQCTRTPMHTMNKLEFLHAIGFGENALTIKVLTDLHGTSSELQERVDCLLRYGIVFSKLCSMIRMMPKILSQKPEILQQKLNYLCEDMKSSLQYLDIFPSFLCFNLENRIKPRHRFHMWLTERGFCKQEYSIASIVATSDKSFVARLHVIHPDAPKLWVDFSHSKAH >Potri.003G216400.1.v4.1 pep chromosome:Pop_tri_v4:3:21209330:21210140:-1 gene:Potri.003G216400.v4.1 transcript:Potri.003G216400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216400.v4.1 MYTIDHSLTIMSTKARVFSAIVSHSILLTLLSSFVTGENQDFVRSLDRKLYGLKKEKLTHFRVYWHDIYSAPNPTAMPIVRAPSNTSATLFGSISMIDDPLTEKPELSSKLIGRAQGFYGSAGQEETALLMAMNFVFLQGKYNGSTISILGRNHVFSKVREMPVIGGSGLFRFARGYAQASTHSFDLKSGDAVVEYNVYVLHY >Potri.003G186900.1.v4.1 pep chromosome:Pop_tri_v4:3:19197418:19200968:1 gene:Potri.003G186900.v4.1 transcript:Potri.003G186900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186900.v4.1 MEENLLSSVRTTVFKESEALDGKCIKIEGYDFNQGVNYSKLLKSMVSTGFQASNLGDAIQVVNNMLDWRLADEEITEDCSDEERELAYRESVRCKLFLGFTSNLVSSGVRDTIRYLVQHHMVDVVVTTAGGIEEDLIKCLAPTYKGDFSLPGAQLRSKGLNRIGNLLVPNDNYCKFEDWIIPIFDQMLKEQIEENFTWTPSKLIARMGKEINNESSYLYWAYKNDIPVFCPGLTDGSLGDMLYFHSFHNPGLIVDIVQDIRAMNGEAVHASPRKTGIIILGGGLPKHHICNANMMRNGADYAVFINTAQEFDGSDSGAHPDEAVSWGKIRGSAKTVKVHCDATIAFPLLVAETFAPRRNRFCSSTQS >Potri.003G063000.13.v4.1 pep chromosome:Pop_tri_v4:3:8976220:8982974:-1 gene:Potri.003G063000.v4.1 transcript:Potri.003G063000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063000.v4.1 MNLPQAMHPKSSANGFGRRRAERDWGTRFENKVQSGKPHTNRSGNAGAMGKVGVYESPSRERLIYLTTCLIGHPVEVQLKNGSVYSGTCYTTNVEKEFAIILKMARLIKDISFRGQKAEFVSKAPSKTLILPGKEVVQVIAKDVSVTVDGMSNEPQHAKQQEIMIDSYISQPRQVEAERELEPWIPEKDDPQCPESENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPRMKELEREAVRIAREIEGEETSDLHLAEERGINLHESCNIDEETRYSSVYRGGAIDDGGYEELDDIVMNSHNSETFGGPSASSIKKLADLSHAKSNDGPRVLSSSSLDEAQCSQSSTCADLHHPGSHDLAAQLSSEPSKGFSTSDSDSRVQEDRHHEHGELDSIKELVEEQILTQDAQLPKDSKLLDGKKNESDKGRPSSNTTAYAPSSNVYPKNNEKASPPGQPLEDAASAKGSGETQPVNSRGRPGSSTSSNSDCAGALLASSGPGLSPSSSMGSLSSEKSTLNPYAKEFKLNPNAKSFTPSQMPPRPPSPMSDGPFYFQPNVSNLPHMHGMPMGILGPSFNGQQPVIFNPQAAPLQTPQAYFHPGGPQFGQQMLVGHPRQVLYMPNYQPEMPYKGREF >Potri.003G063000.14.v4.1 pep chromosome:Pop_tri_v4:3:8976209:8982877:-1 gene:Potri.003G063000.v4.1 transcript:Potri.003G063000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063000.v4.1 MNLPQAMHPKSSANGFGRRRAERDWGTRFENKVQSGKPHTNRSGNAGAMGKVGVYESPSRERLIYLTTCLIGHPVEVQLKNGSVYSGTCYTTNVEKEFAIILKMARLIKDISFRGQKAEFVSKAPSKTLILPGKEVVQVIAKDVSVTVDGMSNEPQHAKQQEIMIDSYISQPRQVEAERELEPWIPEKDDPQCPESENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPRMKELEREAVRIAREIEGEETSDLHLAEERGINLHESCNIDEETRYSSVYRGGAIDDGGYEELDDIVMNSHNSETFGGPSASSIKKLADLSHAKSNDGPRVLSSSSLDEAQCSQSSTCADLHHPGSHDLAAQLSSEPSKGFSTSDSDSRVQEDRHHEHGELDSIKELVEEQILTQDAQLPKDSKLLDGKKNESDKGRPSSNTTAYAPSSNVYPKNNEKASPPGQPLEDAASAKGSGETQPVNSRGRPGSSTSSNSDCAGALLASSGPGLSPSSSMGSLSSEKSTLNPYAKEFKLNPNAKSFTPSQMPPRPPSPMSDGPFYFQPNVSNLPHMHGMPMGILGPSFNGQQPVIFNPQAAPLQTPQAYFHPGGPQFGQQMLVGHPRQVLYMPNYQPEMPYKGREF >Potri.003G063000.10.v4.1 pep chromosome:Pop_tri_v4:3:8976411:8982931:-1 gene:Potri.003G063000.v4.1 transcript:Potri.003G063000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063000.v4.1 MNLPQAMHPKSSANGFGRRRAERDWGTRFENKVQSGKPHTNRSGAMGKVGVYESPSRERLIYLTTCLIGHPVEVQLKNGSVYSGTCYTTNVEKEFAIILKMARLIKDISFRGQKAEFVSKAPSKTLILPGKEVVQVIAKDVSVTVDGMSNEPQHAKQQEIMIDSYISQPRQVEAERELEPWIPEKDDPQCPESENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPRMKELEREAVRIAREIEGEETSDLHLAEERGINLHESCNIDEETRYSSVYRGGAIDDGGYEELDDIVMNSHNSETFGGPSASSIKKLADLSHAKSNDGPRVLSSSSLDEAQCSQSSTCADLHHPGSHDLAAQLSSEPSKGFSTSDSDSRVQEDRHHEHGELDSIKELVEEQILTQDAQLPKDSKLLDGKKNESDKGRPSSNTTAYAPSSNVYPKNNEKASPPGQPLEDAASAKGSGETQPVNSRGRPGSSTSSNSDCAGALLASSGPGLSPSSSMGSLSSEKSTLNPYAKEFKLNPNAKSFTPSQMPPRPPSPMSDGPFYFQPNVSNLPHMHGMPMGILGPSFNGQQPVIFNPQAAPLQTPQAYFHPGGPQFGQQMLVGHPRQVLYMPNYQPEMPYKGREF >Potri.003G063000.12.v4.1 pep chromosome:Pop_tri_v4:3:8976169:8982755:-1 gene:Potri.003G063000.v4.1 transcript:Potri.003G063000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063000.v4.1 MNLPQAMHPKSSANGFGRRRAERDWGTRFENKVQSGKPHTNRSGNAGAMGKVGVYESPSRERLIYLTTCLIGHPVEVQLKNGSVYSGTCYTTNVEKEFAIILKMARLIKDISFRGQKAEFVSKAPSKTLILPGKEVVQVIAKDVSVTVDGMSNEPQHAKQQEIMIDSYISQPRQVEAERELEPWIPEKDDPQCPESENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPRMKELEREAVRIAREIEGEETSDLHLAEERGINLHESCNIDEETRYSSVYRGGAIDDGGYEELDDIVMNSHNSETFGGPSASSIKKLADLSHAKSNDGPRVLSSSSLDEAQCSQSSTCADLHHPGSHDLAAQLSSEPSKGFSTSDSDSRVQEDRHHEHGELDSIKELVEEQILTQDAQLPKGEDSKLLDGKKNESDKGRPSSNTTAYAPSSNVYPKNNEKASPPGQPLEDAASAKGSGETQPVNSRGRPGSSTSSNSDCAGALLASSGPGLSPSSSMGSLSSEKSTLNPYAKEFKLNPNAKSFTPSQMPPRPPSPMSDGPFYFQPNVSNLPHMHGMPMGILGPSFNGQQPVIFNPQAAPLQTPQAYFHPGGPQFGQQMLVGHPRQVLYMPNYQPEMPYKGREF >Potri.003G063000.11.v4.1 pep chromosome:Pop_tri_v4:3:8976205:8983482:-1 gene:Potri.003G063000.v4.1 transcript:Potri.003G063000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063000.v4.1 MNLPQAMHPKSSANGFGRRRAERDWGTRFENKVQSGKPHTNRSGAMGKVGVYESPSRERLIYLTTCLIGHPVEVQLKNGSVYSGTCYTTNVEKEFAFSCFSHSAIILKMARLIKDISFRGQKAEFVSKAPSKTLILPGKEVVQVIAKDVSVTVDGMSNEPQHAKQQEIMIDSYISQPRQVEAERELEPWIPEKDDPQCPESENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPRMKELEREAVRIAREIEGEETSDLHLAEERGINLHESCNIDEETRYSSVYRGGAIDDGGYEELDDIVMNSHNSETFGGPSASSIKKLADLSHAKSNDGPRVLSSSSLDEAQCSQSSTCADLHHPGSHDLAAQLSSEPSKGFSTSDSDSRVQEDRHHEHGELDSIKELVEEQILTQDAQLPKDSKLLDGKKNESDKGRPSSNTTAYAPSSNVYPKNNEKASPPGQPLEDAASAKGSGETQPVNSRGRPGSSTSSNSDCAGALLASSGPGLSPSSSMGSLSSEKSTLNPYAKEFKLNPNAKSFTPSQMPPRPPSPMSDGPFYFQPNVSNLPHMHGMPMGILGPSFNGQQPVIFNPQAAPLQTPQAYFHPGGPQFGQQMLVGHPRQVLYMPNYQPEMPYKGREF >Potri.003G063000.5.v4.1 pep chromosome:Pop_tri_v4:3:8976240:8982931:-1 gene:Potri.003G063000.v4.1 transcript:Potri.003G063000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063000.v4.1 MNLPQAMHPKSSANGFGRRRAERDWGTRFENKVQSGKPHTNRSGAMGKVGVYESPSRERLIYLTTCLIGHPVEVQLKNGSVYSGTCYTTNVEKEFAIILKMARLIKDISFRGQKAEFVSKAPSKTLILPGKEVVQVIAKDVSVTVDGMSNEPQHAKQQEIMIDSYISQPRQVEAERELEPWIPEKDDPQCPESENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPRMKELEREAVRIAREIEGEETSDLHLAEERGINLHESCNIDEETRYSSVYRGGAIDDGGYEELDDIVMNSHNSETFGGPSASSIKKLADLSHAKSNDGPRVLSSSSLDEAQCSQSSTCADLHHPGSHDLAAQLSSEPSKGFSTSDSDSRVQEDRHHEHGELDSIKELVEEQILTQDAQLPKGEDSKLLDGKKNESDKGRPSSNTTAYAPSSNVYPKNNEKASPPGQPLEDAASAKGSGETQPVNSRGRPGSSTSSNSDCAGALLASSGPGLSPSSSMGSLSSEKSTLNPYAKEFKLNPNAKSFTPSQMPPRPPSPMSDGPFYFQPNVSNLPHMHGMPMGILGPSFNGQQPVIFNPQAAPLQTPQAYFHPGGPQFGQQMLVGHPRQVLYMPNYQPEMPYKGREF >Potri.003G063000.1.v4.1 pep chromosome:Pop_tri_v4:3:8976202:8982967:-1 gene:Potri.003G063000.v4.1 transcript:Potri.003G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063000.v4.1 MNLPQAMHPKSSANGFGRRRAERDWGTRFENKVQSGKPHTNRSGNAGAMGKVGVYESPSRERLIYLTTCLIGHPVEVQLKNGSVYSGTCYTTNVEKEFAIILKMARLIKDISFRGQKAEFVSKAPSKTLILPGKEVVQVIAKDVSVTVDGMSNEPQHAKQQEIMIDSYISQPRQVEAERELEPWIPEKDDPQCPESENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPRMKELEREAVRIAREIEGEETSDLHLAEERGINLHESCNIDEETRYSSVYRGGAIDDGGYEELDDIVMNSHNSETFGGPSASSIKKLADLSHAKSNDGPRVLSSSSLDEAQCSQSSTCADLHHPGSHDLAAQLSSEPSKGFSTSDSDSRVQEDRHHEHGELDSIKELVEEQILTQDAQLPKGEDSKLLDGKKNESDKGRPSSNTTAYAPSSNVYPKNNEKASPPGQPLEDAASAKGSGETQPVNSRGRPGSSTSSNSDCAGALLASSGPGLSPSSSMGSLSSEKSTLNPYAKEFKLNPNAKSFTPSQMPPRPPSPMSDGPFYFQPNVSNLPHMHGMPMGILGPSFNGQQPVIFNPQAAPLQTPQAYFHPGGPQFGQQMLVGHPRQVLYMPNYQPEMPYKGREF >Potri.004G109232.1.v4.1 pep chromosome:Pop_tri_v4:4:9735391:9735777:-1 gene:Potri.004G109232.v4.1 transcript:Potri.004G109232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109232.v4.1 MGSFGKSISSTLFFCIGILIIKPRFAIRTYEENPKLSQHLEQCHAKVTKRCAIEISNSIYNNNTPSEYCCQKHITTGKACNNDFIKLFMSRLPKEKVTFVVATGDQIWNHCVAIVVVALIASTLSILP >Potri.010G007500.1.v4.1 pep chromosome:Pop_tri_v4:10:806394:810907:-1 gene:Potri.010G007500.v4.1 transcript:Potri.010G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007500.v4.1 MKITKVLGLSFLLFDFIGTSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTINGQVLCNSDVILSTLNESLPITFSPVIQSTDSVIREGTHLNVNFAGPIAMCAMAGVTPMWKIRFSTTLKGYIVTTVSVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVSVNGDKNLVPGAGPLLVMFEPD >Potri.011G038300.1.v4.1 pep chromosome:Pop_tri_v4:11:2953752:2959411:-1 gene:Potri.011G038300.v4.1 transcript:Potri.011G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038300.v4.1 MGLGNCEVAVALLLFLSCSCSVYGDAGDTITTSQPIKDPEAVVSAGKKFKLGFFSPVNSTYRYVGIWYSNISAATPVLWVANRNNPINDSSGMMTKSEDANLVVLNGQGEVLWSSNVSIGFNQSTAQLTDDGNLVLKAGPNGNLVWQSFQQPTDTYLSKMRLSANARTGNKTLLMSWRSSSDPSVGNFSAGVNPLGVPEFFIWYNGHPFWRSGPWGGKNFIGIPGMYTSVYLDGFSLQNEGDGTFTLSSIRDPAFRLTYVLTSHGKFKEQYWDYGKQGWEYDWEVPSTECDIYGKCGPFGSCDAQNSPICTCLKGFVAKHQDEWNKGIWTSGCVRLTSLQCDRIQNGSEVGKEDGFIKLEMMKVPTFADYWPYPSSEQECKDECLKNCSCVAYVVCGPAPCDGGPPH >Potri.015G129650.1.v4.1 pep chromosome:Pop_tri_v4:15:14044585:14044974:1 gene:Potri.015G129650.v4.1 transcript:Potri.015G129650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129650.v4.1 MLLRKCARLKSMCICICCMMTPSCLPPCSGDCCSWYGWTIMYPHSSILVNRISCIWCPSRRSQGHRQCVTESLVPPAYQLVSDFHSIHCKFSLCHFFNKVA >Potri.019G085900.2.v4.1 pep chromosome:Pop_tri_v4:19:12519468:12529101:-1 gene:Potri.019G085900.v4.1 transcript:Potri.019G085900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085900.v4.1 MGGLCSRSSTVDNAPSGGFLQLNGHFSHGSGLVFQTRELKIDSNTNPSLVGENNVDNKQLREPFSFPEVDVVQYGMNPDDIDDGIPRLSRALSNKSRSTKPTPVAVAKVSEVSSLLGRAGTVGLGKAYDVLDTLGSSMTNLNLSSGGFTSGVTTKGNKISILAFEVANTIVKGANLMQSLSKENIRHLKEVVLPSEGVQNLISRDMDELLRLAATDKREELKVFSGEVVRFGNRCKDPQWHNLDRYLEKLGTELTPEMQLKDEAETVMHQLMNLVQYTAELYHEMHALDRFEQDYRRKLQEDDKTNVAQRGDSLSILRAELKSQRKHVKSLKKKSLWSKILEEVMEKLVDIVHFLHLEIHEAFGSADGDRPVKSSLNHKKLGPAGLALHYANIITQIDTLVSRSSSVPPNTRDALYQGLPPNIKSALRSKLLSFQVKEELTVSQIKAEMEKTLHWLVPIATNTNKAHHGFGWVGEWANTGSEVNRKPAGQTDLLRIETLHHADKEKTEIYILELVVWLHHLVSQVRAANGGLRSPVKSPIRSPNQKTIQLCTQRPSSPSPMLTIEDQEMLRDVSKRKKTPGISKSQEFDTAKNRLSKHHRLSKSSSHSPMGETRKDPFPIRRPSSVPVIDFDIDRMKALDVIDRVDTIRSL >Potri.008G224183.1.v4.1 pep chromosome:Pop_tri_v4:8:19008129:19009516:-1 gene:Potri.008G224183.v4.1 transcript:Potri.008G224183.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224183.v4.1 MPRPRQRRALLAIPKLGGPHQPRQPGLRLASRGSGHRRRDVAASRRPRSSCQHLGTSTANECHAHAADKQPQRARRLGAGPEDGGRNRVVAGRKTATSGWPLQRLASPPQGAGQNAGGQGPKAAPPRAREQASRGCRLPRPATSGWPLQRLASPPQGAGQNAGGQGPKAARDGEQASRGSPCFRQPSMAAGAAGRHARAPKRPSAQGPQALRPQRPSAQRGRGQAGARVRVVFLGKRLSPAGKRVVDAGETSGHEAPHFSPSVAAALISPAAGLWAPGQRAPGPVRGHPPKEQ >Potri.008G042800.1.v4.1 pep chromosome:Pop_tri_v4:8:2418978:2421175:-1 gene:Potri.008G042800.v4.1 transcript:Potri.008G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042800.v4.1 MARGAALLHLLRSQTKQFSASRNFHSGYHPCQFGTWSHSLCAKSNFNHDTLLAATQKRWASQAKTTEDDNKISIGPRGGKEVGQDEKETGVVYYGPISSTVKKVKLLSLSTCCLSVSLGPVITFMTSQDSNVILKGAVASSVIFFSASTTAALHWFVSPYIHKLRWQPGSDSFEVEMMSWLGIYIPKTIKFADIRPPETNRPFVTFKANGNFYFVDAEHCHNKALLNRLTPKKSAHESAFKNL >Potri.008G022264.1.v4.1 pep chromosome:Pop_tri_v4:8:1118145:1119165:1 gene:Potri.008G022264.v4.1 transcript:Potri.008G022264.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022264.v4.1 MVALSGSHTLGQAQCFTFRERIYNHSNIDAGFASTRRRRCPRVGSDATLAPLDLVTPNSFDNNYFKNLMQNKGLLQSDQVLFNGGSTDSIVSEYSRNPARFRSDFGSAMIKMGDIGLLTGSSGQIRRICSAVN >Potri.014G099500.2.v4.1 pep chromosome:Pop_tri_v4:14:6527542:6529383:-1 gene:Potri.014G099500.v4.1 transcript:Potri.014G099500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099500.v4.1 MFLRRAAVALPHSRRFFSTDKTYDISSVIEEMNKEMESVFGECPPSEFAGSSNQAVGESRLKPHGLASSNSENFSRSQESQFAHQKMNHNPVGLTHIGSAGEAQMVDVSPKENTQRIAIASCKVILGKKVFDMVLANQMAKGDVLTVAKIAGINGAKHTSSFIPLCHNIMLTHVRVDLVLNPDDHSVKIEGEAASSGKTGVEMEAMMAVTVAGLTVYDMCKAASKEIQMTDVRLERKTGGKSGDWSRE >Potri.014G099500.1.v4.1 pep chromosome:Pop_tri_v4:14:6527306:6529752:-1 gene:Potri.014G099500.v4.1 transcript:Potri.014G099500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099500.v4.1 MFLRRAAVALPHSRRFFSTDKTYDISSVIEEMNKEMESVFGECPPSEFAGSSNQAVGESRLKPHGLASSNSENFSRSQESQFAHQKMNHNPVGLTHIGSAGEAQMVDVSPKENTQRIAIASCKVILGKKVFDMVLANQMAKGDVLTVAKIAGINGAKHTSSFIPLCHNIMLTHVRVDLVLNPDDHSVKIEGEAASSGKTGVEMEAMMAVTVAGLTVYDMCKAASKEIQMTDVRLERKTGGKSGDWSRE >Potri.019G112100.1.v4.1 pep chromosome:Pop_tri_v4:19:13355675:13358001:-1 gene:Potri.019G112100.v4.1 transcript:Potri.019G112100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G112100.v4.1 MNVYKACGVLLFFTFVLPIVKSKEQLSSRECEDLGFTGLALCSDCNTLAEYVKNQELVSDCLKCCTEDSDDSISKITYSGAIIEVCMRKLVFYPEIVGFIEEEKDQFPTVKVQYLFNSPPKLIMLDDEGQHKETIRIDNWKREHMLQFLQEKVKPASAI >Potri.009G066000.1.v4.1 pep chromosome:Pop_tri_v4:9:6645508:6650206:1 gene:Potri.009G066000.v4.1 transcript:Potri.009G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066000.v4.1 MDGMALKFPSFKFLNPNTSTIRSPPLLRKFSSSSSSLPVSASSTTLREAGPASNSVSLGQLTRPDFPILHQKINNGSNLVYLDNAATSQKPISVLKSLQDYYESYNSNVHRGIHYLSAKATEKYEQAREKIAAFINAEESREIVFTRNATEAINLVAYTWGFANLKSGDEVLLTIAEHHSAIVPWQFVAQKTGAVLKFVNLNEDEVPDVLQLKEMISRKTKLVVVHHISNTLASSLPIEDIVHWAHAVEAKVLVDACQSVPHMPVDVRDLDADFLVASSHKMCGPTGVGFLYGKSDILSTMPPFLGGGEMISDVFLDHSTYAEPPSRFEAGTPAIGEAIGLGAAIDYLQGIGMQKIHEYEMELANYLYENLSTVPNIRIYGPKPSKGVNRAALCSFNVKNIHPTDLATFLDQQHGVAIRSGHHCAQPLHRYLGINASARASLYFYNTKEDVDYFIHALNDTVSFFDSFK >Potri.013G157100.1.v4.1 pep chromosome:Pop_tri_v4:13:15198301:15200760:-1 gene:Potri.013G157100.v4.1 transcript:Potri.013G157100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157100.v4.1 MGDLRTLAMARMELEELYLGIPDDSVNLTFEDLAIHVKQHISSTTSEKINTTTTSLESIQEVVQTPKQAAPLTKLPSLDFNKGLQASKNHHQSHHLDHVSEGHPFDKSHKHYLHDGTHRPYGSGGQYHDQSHHVHANIGPKSPSKSRFAMERSREYDDDDVSVMNMNSMYQERSGRPRRPGIPHSNICTVCSTYIYIFRHRCLVCGRVFCRNCVSAGMGEMTEGRKCIECLGRKFSQRYINRAGIVGCCSGYPSAVKQAELKWAEKGPRKAGERAFGHSTMTSRSRSPLTPRTPRTPNKAAGVSMSQDLPSFVTSAPYSPYSTPKHHHLPL >Potri.017G066550.1.v4.1 pep chromosome:Pop_tri_v4:17:6927333:6927785:-1 gene:Potri.017G066550.v4.1 transcript:Potri.017G066550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G066550.v4.1 MWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNKMDKAQQALHTAHANPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNRNKLLSLTREDGEVVEGHEAVKS >Potri.002G244500.1.v4.1 pep chromosome:Pop_tri_v4:2:23607415:23612129:1 gene:Potri.002G244500.v4.1 transcript:Potri.002G244500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244500.v4.1 MESLQELSVLEANKRLLKELEDMGFPRIQAAKALHCSGNTDIEAAINWIVDHENEPSIDPMPLIAVNIDIDSPQPIQTTEEMQIKAQELRNQARKEKEDEEKRLEREREKERIRAGKEIHEAKRIAEDNERKRYLASRKAEKEEEKRAREKVRQKLEADKNERRRMLGLPSVSHTATKLSSPSTHDKKNYVSVASVSKVEQLRECLRSLKRSHKDDDARVKRAFQTLLVYVGNVAQNPDKEKFRKIRIGNPLFQSRVGRLRGGVEFLELCGFERIEEDKFLFLPRDKVDLAVLNSAGLEIKSAMSNPFFGLLSNP >Potri.002G244500.2.v4.1 pep chromosome:Pop_tri_v4:2:23607415:23612129:1 gene:Potri.002G244500.v4.1 transcript:Potri.002G244500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244500.v4.1 MESLQELSVLEANKRLLKELEDMGFPRIQAAKALHCSGNTDIEAAINWIVDHENEPSIDPMPLIAVNIDIDSPQPIQTTEEMQIKAQELRNQARKEKEDEEKRLEREREKERIRAGKEIHEAKRIAEDNERKRYLASRKAEKEEEKRAREKVRQKLEADKNERRRMLGLPSVSHTATKLSSPSTHDKKNYVSVASVSKVEQLRECLRSLKRSHKDDDARVKRAFQTLLVYVGNVAQNPDKEKFRKIRIE >Potri.008G139700.19.v4.1 pep chromosome:Pop_tri_v4:8:9406251:9413229:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.23.v4.1 pep chromosome:Pop_tri_v4:8:9406318:9413125:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.20.v4.1 pep chromosome:Pop_tri_v4:8:9406310:9413206:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.24.v4.1 pep chromosome:Pop_tri_v4:8:9406642:9413121:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.16.v4.1 pep chromosome:Pop_tri_v4:8:9406172:9413232:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.4.v4.1 pep chromosome:Pop_tri_v4:8:9406307:9413140:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.22.v4.1 pep chromosome:Pop_tri_v4:8:9406555:9413130:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.21.v4.1 pep chromosome:Pop_tri_v4:8:9406609:9413143:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.17.v4.1 pep chromosome:Pop_tri_v4:8:9406782:9413739:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.008G139700.18.v4.1 pep chromosome:Pop_tri_v4:8:9406663:9413257:1 gene:Potri.008G139700.v4.1 transcript:Potri.008G139700.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139700.v4.1 MLTIGSPTTSLFTSTSCNTLLRELQQIWTDIGESEAEKDRMLLELERECLEVYRRKVEDAANSKARLHQSVAAKEAELATLMAALGELSVHSPIQTEKRTRSLKEKLASVTPVVEDLRMKKEERIKQFAEIKAQIEKISSEISEYNNLNNTLVTNITLDEQDLSLRKLSEYQTHLRSIQKEKSDRLHKVFEYVNEVHSLCGVLGLDFGKTVSGVHPSLHGTQQEQSTNISNSTLEGLEQAIRMLKLERKARIQKLKDVAASLFELLNLMDSPTEEKNKFSRITSVLGFAESEIIEPGVLSAEIIEQASTEVERLTKLKASRMKELVMKRRSDLEGVCKMTHIEPDTSTNPEKSTALIDSGLVDPSELLANIEAQIVRAKEEAISRKEIMDRIDRWLSACEEENWLEDYNQDTNRYNAGRGAHLNLKRAERARVTVSKISAMVDNLIFKTLAWEDEKKMLFLYDGVRLVSILEDYKLTRQQREEEKRRYRDQKKLQDLLQTEKEAMYGSKPSPRKTNSFRKPNGYRANGNGSMTPTPRRNSFGGATPELLTPRSYSGRQNGYFKEMRRLSTAPLNFVAISKEETMSFASVCGSEPGSPPQG >Potri.001G256300.1.v4.1 pep chromosome:Pop_tri_v4:1:27222750:27227180:-1 gene:Potri.001G256300.v4.1 transcript:Potri.001G256300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256300.v4.1 MAIATCFFTASLPNNTNPKLINPHRSFHPSSSHHLHLRLSLPSPFPSSPRHHHHHNDHRLPLFIATSSGGGYGGINHPPPCGGGGGDSSDNNNHNGDGDSAEDKNREEAMMVLAEAKRSVESLPQDLAAAIRAGRIPGAVVSRFFELENSRFLRWLMQFDGFRERLLADDLFLAKVGMECGVGMFTKTAAEYERRRENFFNELEVVFADVVMAIIADFMLVFLPAPTVSLRSPLAGNAGPIAKFFHNCPDNAFQVALAGTSYSLLQRLGAIARNGAKLFVVGTASSLVGTAVTNTLINARKAVDKSSAGEVENVPILSTSVAYGVYMAVSSNLRYQILAGVVEQRILEPMLHQHKLMLGALCFAVRTGNTFLGSLLWVDYARLIGIQKAHEEHKELTD >Potri.007G021000.8.v4.1 pep chromosome:Pop_tri_v4:7:1612012:1617636:-1 gene:Potri.007G021000.v4.1 transcript:Potri.007G021000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G021000.v4.1 MAHLRKPPRVFCIGTADTKLDELLFLSDSVRSNLNSASKVQVVVVDVSVGSKEIESVGDFEFVSRKDLLAPYPGPAETTQNVLPDDRGQAIAVMSRALKNFLEKAQVDGALAGSVGLGGSGGTSLISSALRSLPIGLPKVIVTTVASGQTEPYIGSSDLILFPSVVDVCGINSVSRVVLSNAGAAFAGMVNGRLGRSNVYSSDNERFTVGLTMFGVTTPCVNAVKERLVKEGYETLVFHATGTGGKAMESLVREGHIQGVLDITTTEVADYVVGGVMACDSSRFDAIIEKKIPLVLSVGALDMVNFGAKNTIPSNFQQRKIYVHNEQVSIMRTTVDENKKFAGFIADKLNKSSSKVRVCLPLKGISALDSPDKPFHDPEATDTLLTELQKLILTTEDRQVKVYPYHINDPEFADALVDTFLEISLGKPKCSTHLQNPVSEPNLELQDVSNLNSSSCETICYSPSNYPDARPETLQKTQAILQHLKDQIDKGFPIIGAGAGTGISAKFEEAGGVDLIVLYNSGRFRMAGRGSLAGLLPFADANAIVIDMANEVLPVVKEVPVLAGVCGTDPFRRMDYFLKQVESIGFCGVQNFPTVGLFDGNFRQNLEETGMGYGLEVQMIEKAHKMGLLTTPYAFNESEAREMAKVGADIIVAHMGLTTSGSIGAKTAVSLDESVFQVQAIADAAHKINPNVIVLCHGGPISGPKEAEFILNRTKGVHGFYGASSMERLPVEQAITSTMKQYKSISIK >Potri.004G178101.1.v4.1 pep chromosome:Pop_tri_v4:4:19282331:19283741:1 gene:Potri.004G178101.v4.1 transcript:Potri.004G178101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178101.v4.1 MEKPNTPTINSRKKNLELSSSASFLLPNGYNPKDPDIEMIQIQPLTYTSLRDLLPSSPPPPCLLSPTQRSSSWKEISIRNPLVKHAARAYLQPMSTPDVDNRGLFRRLKDQCGCIGWFNDIVLKPLMVKFFGRGEEISDDDDEDDYLRRD >Potri.006G204300.1.v4.1 pep chromosome:Pop_tri_v4:6:21228933:21230104:1 gene:Potri.006G204300.v4.1 transcript:Potri.006G204300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204300.v4.1 MAEKQAHLNGASYGPSIPPPQHYHRPGRGSDRGCCCFLAFLLKVIITVAMLIGLFILIIWLIFRPINKVEFHVTDVALTQFNYTNNMLQFNLAANISIRNPNKKIGIYYDRIEARAFYEDQRFGYHALTPFYQGHKNTSVLNVVFKGQQAVTLQGEELTRFNQERTSGLYSIALELSLRIRFKLGKVKTARFKPKVECDDLKIPLNGSPVAGSNNKCEIKF >Potri.002G008175.1.v4.1 pep chromosome:Pop_tri_v4:2:451770:455188:-1 gene:Potri.002G008175.v4.1 transcript:Potri.002G008175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008175.v4.1 MASIDYEPKLLAILSTSIFLSSIFVSSTGLVAALDDSALLASEGKALVESGWWSDYSNLTSHRCNWTGIVCDGAGSITKISPPPEFLKVGNKFGKMNFSCFSNLVRLHLANHELSGSIPPQISILPQLRYLNLSSNNLAGELPSSLGNLSRLVELDFSSNNLTNSIPPELGNLKNLVTLSLSDNIFSGPIPSALCHLENLRHLFMDHNSLEGALPREIGNMKNLEILDVSYNTLNGPIPRTMGSLAKLRSLVLSRNAINGSIPLEIGNLTNLEDLNLCSNILVGSIPSTMGLLPNLISLFLCENHIQGSIPLKIGNLTNLEYLVLGSNILGGSIPSTSGFLSNLIFVDISSNQINGPIPLEIGNLTNLQYLNLDGNKITGLIPFSLGNLRNLTTLYLSHNQINGSIPLEIQNLTKLEELYLYSNNISGSIPTTMGRLTSLRFLSLYDNQINGSIPLEIQNLTKLEELYLYSNNISGSIPTIMGSLRKLNLSRNQMNGPISSSLKNCNNLTLLDLSCNNLSEEIPYNLYNLTSLQKANFSYNNLSGPVPLNLKPPFDFYFTCDLLLHGHITNDSATFKATAFEGNRYLHPDFSNCSLPSKTNRMIHSIKIFLPITAISLCLLCLGCCYLSRCKATQPEPTSLKNGDLFSIWNYDGRIAYEDIIAATENFDLRYCIGTGGYGNVYRAQLPSGKLVALKKLHRREAEEPAFDKSLKNEVELLTQIRHRSIVKLYGFCLHQRCMFLVYEYMEKGSLFCALRNDVGAVELKWMKRAHIIKDIAHALSYLHHDCNPPIVHRDISSSNVLLNSVSKSFVADFGVARLLDPDSSNHTVLAGTYGYIAPELAYTMVVTEKCDVYSFGAVALETLMGRHPGDILSSSARAITLKEVLDPRLSPPTDEIVIQNICIIATLAFSCLHSNPKSRPSMKFVSQEFLSPKRSLAGLEISLLELRTLGMHANGGDITVPS >Potri.018G008500.1.v4.1 pep chromosome:Pop_tri_v4:18:613977:616562:-1 gene:Potri.018G008500.v4.1 transcript:Potri.018G008500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008500.v4.1 MAVELMSFNTKMDDQSAIQEAASQGIKSMEHLIRIMSHQNNHHVADCTDLTDVTVSKFKKVISILNRTGHARFRRGPIQPNQPAKSSFSLSPPSTSTQSPQSQSQSPSFSRFQNLTLTPQQITTPVTAPAAPTSLTLDFTKPNIFSSKSAEIEFSKDSFSVSSNSASFMSSGITGDGSVSNGKQGSSIFLGSAGKPPLSTVPYSNKKRCHEHHHDDTVSGSSSGKCHCSSKRRKNRVKKTIRVPAISSKIADIPPDEYSWRKYGQKPIKGSPYPRGYYKCSTMRGCPARKHVERATDDPAMLIVTYEGEHCHTQGAMEGNMAAGTVNLVFESTMMVGE >Potri.018G104400.2.v4.1 pep chromosome:Pop_tri_v4:18:12399762:12405529:1 gene:Potri.018G104400.v4.1 transcript:Potri.018G104400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104400.v4.1 MDSSKCQYFLSKIPVDTDCLRYQCFIWILQSICQRNMFNSRRAVVGIFQQTSMCSEGHFTGSYFSLADMTQMRSVFTFLLFSLLLLSHSLPSSAVKSQFDKVPTKSQLPQGRQNGLINSFQTPKLGVHIKKRARFYPRSGRKSSAVRTQLPSSHIIGSVLAFLSFFLF >Potri.014G019700.1.v4.1 pep chromosome:Pop_tri_v4:14:1197548:1199183:-1 gene:Potri.014G019700.v4.1 transcript:Potri.014G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019700.v4.1 MSWWWAGAIGAAKKQSENGDASRGHQSVALVVGVTGIVGNSLAEILPLSDTPGGPWKVYGVARRPRPNWNLDHPVEYIQCDISNTADTQAKLAQLTDVTHIFYVTWALRFTEAENIEANNLMFRNVLQAVIPNAPNLKHVCLQTGLKHYVGPFELVGKIEPHDTPYTEDLPRLKAPNFYYDLEDILAEEVATKEGVTWSVHRPHTIFGFSPYSLMNMMGTLSVYAAICKHEGMPLLFPGTESVWNAYSIASDADLIAEQEIWAAVDPNAQNEAFNIHNGDVFKWKHLWKVLAEQFGIEKYGLPESGKTVSLTELMKDKGAVWDKIVKDNQLLPNKLEEVGVWWFADFVLGAESIISCMNKSKEHGFLGFRNSKNSLISWVDKLKAHKIVP >Potri.010G084800.1.v4.1 pep chromosome:Pop_tri_v4:10:11174552:11177260:1 gene:Potri.010G084800.v4.1 transcript:Potri.010G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084800.v4.1 MECVFGLVGDGFVIVASDTSAVNSILVHKTNEDKIMKLDSHKLVAASGESGDRVQFTEYIQKNVALYQFRNGIPLTTAAAANFTRGELATALRKNPYMVNILLAGYDKETGPSLYYIDYIATLHKVDRGAFGYGSYFCLSMMDRHYHSGMSVEEAVELVDKCITEIQSRLVVAPPNFVIKIVDRDGAREYAWRESVKDTPTAQPEALGV >Potri.002G231000.1.v4.1 pep chromosome:Pop_tri_v4:2:22247479:22255671:1 gene:Potri.002G231000.v4.1 transcript:Potri.002G231000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231000.v4.1 MMGPVISSRDCGSRAAAVPLVVSSKTKAQTHPPTSLVLPFSNPKSQLSLFNIHHKFYHNRRNGVAQSSPKMVTCSASAEKNNSAAKKLRLILDSPGVHQGPACFDALSALLVQRAGFDYCFTSGFSISAAKLGLPDTGFISYGEMVDQGQQITQAVSIPVIGDADNGYGNPMNVKRTVKGYIRAGFAGIILEDQVSPKACGHTRGRKVVSREEAIMRIKAAVDAREETGSDIVIVSRTDSRQAVSLDESLWRSRAFADAGADVLFIDALASREEMKSFCEISPLVPKMANMLEGGGKTPIVTPFELEEVGYKLVAYPLSLIGVSIRAMQDSLAAIKGGRIPPPGSMPSFEEIKDILGFNTYYEEEKQYAISSSQMARQRASSNVYGIQRRTPDYTEQRSQSPQDPVVEVITPEVYGGYGADNSRGPFSGIWSRTLRVKITGRDGFEKLDVRIPAGFLEGITNIVPALGGVNIKGLLDDAAEEVGGKLLLDFNDTVGDRIQVFLE >Potri.018G034200.1.v4.1 pep chromosome:Pop_tri_v4:18:2603424:2608984:-1 gene:Potri.018G034200.v4.1 transcript:Potri.018G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034200.v4.1 MLRQILSKLPRKSSKTDSAESARLESPVTQQISNGSSAGSGKSSNGPKRTSSVVFPASVVAGIEPLVPFKDVPSAEKMNLFVSKVSLCCVTFDFSDSSKNTLEKDVKRQTLLELVDFVAAGSMKFSEPAILAMCRMCAVNLFRVFPPNYRSNSSSIGENDDNDDPMFDPAWPHLQIVYDLLLRFINSTCLDAKVAKKYIDHSFILRLLDLFDTEDPRERECLKAILHRVYGKFMVHRPFIRKSLSNIFYRFVFETEKHNGIAELLEIFGSIISGFAMPLKEEHKIFLWRVLIPLHKPKSVGVYFQQLSYCVVQFIEKEPRLASMVIKGILKYWPITNSQKEVMFLGELEEILEAISMVEFQKVMVPLFWRIGCCINSLHFQVAERALFLWNNDQIVNLIAHNRPVILPIIFPALEKNAHDHWNQGVLNLTLNVRKMFSEMDDALYLACLDQFREDEERQSVLAEQRKEAWQRLEYAASLKPITGNTAVLVTPLATSIAC >Potri.002G026700.4.v4.1 pep chromosome:Pop_tri_v4:2:1777101:1778658:-1 gene:Potri.002G026700.v4.1 transcript:Potri.002G026700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G026700.v4.1 MDDGGHRENGRHKADQYKTAQGQWLMQPQPSMKQIMAIMAERDAAIHERNMALSEKKAAITERDMAFLQRDSAIAERNNALLERDNAIATLQYRENSLPSGNITTCPPGFHNSRGVKHMHHQQQQHTHHLPHMNEGPYGTREMQTSDALPVSPVASEVAKPQRGKRPKDAKATPSNKKTSKSPRKVKRESDDTDMFGKSHEWKNGQDMDGGGDDPNKQLAASKSDWKGQDLGLNQVAFDETTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTTLSMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGQDLSNPVDLKDHWAKHGTNRYITIK >Potri.002G026700.1.v4.1 pep chromosome:Pop_tri_v4:2:1777108:1780349:-1 gene:Potri.002G026700.v4.1 transcript:Potri.002G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G026700.v4.1 MDDGGHRENGRHKADQYKTAQGQWLMQPQPSMKQIMAIMAERDAAIHERNMALSEKKAAITERDMAFLQRDSAIAERNNALLERDNAIATLQYRENSLPSGNITTCPPGFHNSRGVKHMHHQQQQHTHHLPHMNEGPYGTREMQTSDALPVSPVASEVAKPQRGKRPKDAKATPSNKKTSKSPRKVKRESDDTDMFGKSHEWKNGQDMDGGGDDPNKQLAASKSDWKGQDLGLNQVAFDETTMPAPVCSCTGVFRQCYKWGNGGWQSSCCTTTLSMYPLPAVPNKRHARVGGRKMSGSAFSKLLSRLAAEGQDLSNPVDLKDHWAKHGTNRYITIK >Potri.010G219500.1.v4.1 pep chromosome:Pop_tri_v4:10:20512112:20515039:-1 gene:Potri.010G219500.v4.1 transcript:Potri.010G219500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219500.v4.1 MGKEKTHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIVKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGMVVTFGPTGLSTEVKSVEMHHEALLEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAREAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSAYPPLGRFAVRDMRQTVAVGVIKSVEKKDPSGAKVTKSAAKKGAK >Potri.001G134475.1.v4.1 pep chromosome:Pop_tri_v4:1:10952194:10955294:-1 gene:Potri.001G134475.v4.1 transcript:Potri.001G134475.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134475.v4.1 MEEKDDGLKVLVQKVRDVAYDMEDTLDHFRLRLTHDHGDKFCSSVQTISNSIITLKARRQIASKIQALKSRVINISEAHRRYLIRNNIMEPSSSSTHTPRVARPGNIVEEANIVGIEKPKKLLIGWLVRGRSEREVISVVGMGGLGKTTLVRKVYHDADVKKHFQFRVWITLSPSFKEEDLLKDIIQQLFRVLQKNVPQGMDNDRLKTAINRFLQKKRYLIVLDDVWHADAWDAFEPVFPNNSRGSHILLTTRKTEVALTACIEFPDKVYNLDPLSPEESWTLFCKMVFQNSHCPEHLKNVSERILGRCEGLPLAIEAMSGVLATRDRSKIDEWEKVCLSLGAGFEDNNRMRNALKILSLSYYDLPYYLKSCLLYFSMFPEGIPIQRMRLIRLWIAEGFVKGREGMTSEEVAEDFLNELIKRSLVQVVEATSYGQVKTCRIHDLLREILITKAKEQDFVAIAKEQNMIWSEKVRRVSIHNDMPSMRQIHVASRLRSLLVFWGKDYFPGPPKFISPSRSRLLTVLDMEGTPLKEFPNEVVSLIFLKYLSLRNTKVNSVPSSISKLQNLESLDLKHAQVTELPVDILKLQKLRHLLVYRYETHESDDQIRNKHGFKAPAQIGNLLSVQKLCFLEADQGQKLMSELGRLIHLRRLGILKFRKEDGKDLCSSIDKLTNLRALSVTSITESEVIDLEYLSSPPQFLQRLYLTGRLERLPDWILSLDSLVKLVLKWSRLREDPLLFLQNLPNLVHLELIQVYSGEALHFSNEGFEKLKVLGLNKLERLKSITVQKGALPSLQKLVVQGCKLLQKVPSGIKHLAKLKTLDFFDMPYDFVKRLRPDGTAQRAGRTFLQKSVVQFIKIVQILPFDAPNDFIRRLHPDGDGEDYHEVRNVPEIYCTYQKNGNWEIYYNYARPDILLKRQPDWKP >Potri.001G452300.3.v4.1 pep chromosome:Pop_tri_v4:1:47934718:47939741:-1 gene:Potri.001G452300.v4.1 transcript:Potri.001G452300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452300.v4.1 MASDTKRGSLSRLSPLARPFTLSKPNNSSFSPSNSELDRSFSSFSLEGDSFAFYPQYPPGVLQVSADFSSLARDSSLQDTSFNPSSSMLDRSFSSFSLEGDTFAYYPQYPSGVLQGSADFGLFTESKSDFDAVPVTKSTELGYEAHKSGDLRGILHWKDKHGGFSMFNDDPTKQAGSPAEGLKLSPETSDSLCGKLSGISLKDHEVRPKRTREIDSQCVPISLKFSRTSDLNSSAILQDPQSGINYLPPSVSWSSCDTNIAYFGRSLSQQLDFHAAKQNVPPSSDINSLPVLVSEPSVASTGYLPFNHVLSENLDSDGDGGVSKNNFLGYGQASLKKPHAVVDKSKEVFHNKVLTDKGKEGKMGKPVTHEVMEPMPMAKSELQITCPSPPIDLTLEVDKSKEVFHHKVLADKGKEGKLGKPVTHEVMEPVPMAKSELQITCPSLLIDLTLESLGIKESDPIENSSKIINENDSDLDSPCWKGKLAAEQSSCEVSVPDNFQHLKSEQEACSYLNPLAPHFFPSSDKQKVNYCGNEGDGNDCFSFQKTASSVVNLFSREQRLQHSATAGSSSSEQSSITEAHCYSDMHVPNKEYELLTDSSSSSMHGSSCVVLPSVLEDYFTSSGQLLTGQCVGGFGKAIKDTAPNGSTSVSLFASKHVFDSSSCREGVSTDLSETYGGATKPLCSPPRLDFQIVVKTMNELSELLMQNCTNDLDSLNEHEHDIIKRIIHNLTLCIRNRVGEHTLMSESSHPHTSYCVRKSTHLNKCSNMELQTTRTKAVMVSHELGHQNKHERQMSSTSFRERFLDSLNARNGGFNKNEDITQVNEKALEGHYELEEEENPQVLFYKNLWLEAEAALCSMKYKASVLGMKTEMEKIKMAIR >Potri.001G452300.2.v4.1 pep chromosome:Pop_tri_v4:1:47934719:47939746:-1 gene:Potri.001G452300.v4.1 transcript:Potri.001G452300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452300.v4.1 MASDTKRGSLSRLSPLARPFTLSKPNNSSFSPSNSELDRSFSSFSLEGDSFAFYPQYPPGVLQVSADFSSLARDSSLQDTSFNPSSSMLDRSFSSFSLEGDTFAYYPQYPSGVLQGSADFGLFTESKSDFDAVPVTKSTELGYEAHKSGDLRGILHWKDKHGGFSMFNDDPTKQGSPAEGLKLSPETSDSLCGKLSGISLKDHEVRPKRTREIDSQCVPISLKFSRTSDLNSSAILQDPQSGINYLPPSVSWSSCDTNIAYFGRSLSQQLDFHAAKQNVPPSSDINSLPVLVSEPSVASTGYLPFNHVLSENLDSDGDGGVSKNNFLGYGQASLKKPHAVVDKSKEVFHNKVLTDKGKEGKMGKPVTHEVMEPMPMAKSELQITCPSPPIDLTLEVDKSKEVFHHKVLADKGKEGKLGKPVTHEVMEPVPMAKSELQITCPSLLIDLTLESLGIKESDPIENSSKIINENDSDLDSPCWKGKLAAEQSSCEVSVPDNFQHLKSEQEACSYLNPLAPHFFPSSDKQKVNYCGNEGDGNDCFSFQKTASSVVNLFSREQRLQHSATAGSSSSEQSSITEAHCYSDMHVPNKEYELLTDSSSSSMHGSSCVVLPSVLEDYFTSSGQLLTGQCVGGFGKAIKDTAPNGSTSVSLFASKHVFDSSSCREGVSTDLSETYGGATKPLCSPPRLDFQIVVKTMNELSELLMQNCTNDLDSLNEHEHDIIKRIIHNLTLCIRNRVGEHTLMSESSHPHTSYCVRKSTHLNKCSNMELQTTRTKAVMVSHELGHQNKHERQMSSTSFRERFLDSLNARNGGFNKNEDITQVNEKALEGHYELEEEENPQVLFYKNLWLEAEAALCSMKYKASVLGMKTEMEKIKMAIR >Potri.001G452300.5.v4.1 pep chromosome:Pop_tri_v4:1:47934719:47939724:-1 gene:Potri.001G452300.v4.1 transcript:Potri.001G452300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452300.v4.1 MASDTKRGSLSRLSPLARPFTLSKPNNSSFSPSNSELDRSFSSFSLEGDSFAFYPQYPPGVLQVSADFSSLARDSSLQDTSFNPSSSMLDRSFSSFSLEGDTFAYYPQYPSGVLQGSADFGLFTESKSDFDAVPVTKSTELGYEAHKSGDLRGILHWKDKHGGFSMFNDDPTKQAGSPAEGLKLSPETSDSLCGKLSGISLKDHEVRPKRTREIDSQCVPISLKFSRTSDLNSSAILQDPQSGINYLPPSVSWSSCDTNIAYFGRSLSQQLDFHAAKQNVPPSSDINSLPVLVSEPSVASTGYLPFNHVLSENLDSDGDGGVSKNNFLGYGQASLKKPHAVVDKSKEVFHNKVLTDKGKEGKMGKPVTHEVMEPMPMAKSELQITCPSPPIDLTLEVDKSKEVFHHKVLADKGKEGKLGKPVTHEVMEPVPMAKSELQITCPSLLIDLTLESLGIKESDPIENSSKIINENDSDLDSPCWKGKLAAEQSSCEVSVPDNFQHLKSEQEACSYLNPLAPHFFPSSDKQKVNYCGNEGDGNDCFSFQKTASSVVNLFSREQRLQHSATAGSSSSEQSSITEAHCYSDMHVPNKEYELLTDSSSSSMHGSSCVVLPSVLEDYFTSSGQLLTGQCVGGFGKAIKDTAPNGSTSVSLFASKHVFDSSSCREGVSTDLSETYGGATKPLCSPPRLDFQIVVKTMNELSELLMQNCTNDLDSLNEHEHDIIKRIIHNLTLCIRNRVGEHTLMSESSHPHTSYCVRKSTHLNKVWCFGF >Potri.001G452300.4.v4.1 pep chromosome:Pop_tri_v4:1:47934719:47939746:-1 gene:Potri.001G452300.v4.1 transcript:Potri.001G452300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452300.v4.1 MASDTKRGSLSRLSPLARPFTLSKPNNSSFSPSNSELDRSFSSFSLEGDSFAFYPQYPPGVLQVSADFSSLARDSSLQDTSFNPSSSMLDRSFSSFSLEGDTFAYYPQYPSGVLQGSADFGLFTESKSDFDAVPVTKSTELGYEAHKSGDLRGILHWKDKHGGFSMFNDDPTKQAGSPAEGLKLSPETSDSLCGKLSGISLKDHEVRPKRTREIDSQCVPISLKFSRTSDLNSSAILQDPQSGINYLPPSVSWSSCDTNIAYFGRSLSQQLDFHAAKQNVPPSSDINSLPVLVSEPSVASTGYLPFNHVLSENLDSDGDGGVSKNNFLGYGQASLKKPHAVVDKSKEVFHNKVLTDKGKEGKMGKPVTHEVMEPMPMAKSELQITCPSPPIDLTLEVDKSKEVFHHKVLADKGKEGKLGKPVTHEVMEPVPMAKSELQITCPSLLIDLTLESLGIKESDPIENSSKIINENDSDLDSPCWKGKLAAEQSSCEVSVPDNFQHLKSEQEACSYLNPLAPHFFPSSDKQKVNYCGNEGDGNDCFSFQKTASSVVNLFSREQRLQHSATAGSSSSEQSSITEAHCYSDMHVPNKEYELLTDSSSSSMHGSSCVVLPSVLEDYFTSSGQLLTGQCVGGFGKAIKDTAPNGSTSVSLFASKHVFDSSSCREGVSTDLSETYGGATKPLCSPPRLDFQIVVKTMNELSELLMQNCTNDLDSLNEHEHDIIKRIIHNLTLCIRNRVGEHTLMSESSHPHTSYCVRKSTHLNKVWCFGF >Potri.019G093100.1.v4.1 pep chromosome:Pop_tri_v4:19:13037483:13039017:-1 gene:Potri.019G093100.v4.1 transcript:Potri.019G093100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093100.v4.1 MHVAEGNLHGAELLQAQAHVWNHIFNFVNSMSLKCAIQLGIPDVIHNHGKPMTLSELVAVLPIHPSKAPGIYRLMRILVHSGFFATQNNSGETEGEGYVLTNASQLLIKDNPFSVTPFLLAMLDPILTQPWHYVSVWFQNDAPSSFYTAHQRTSWEYAGHETELNHFFNEAMASDARLVSSVLVNECKGVFEGLNSLVDVGGGTGTVAKAIAKEFQHLDCTVFDLPHVVAGLEGSENLKYLSGDMFEAIPQADAILLKWILHDWNDEECVKILKQCKEAIKGREGGKLIIIDMVVENNKEVEGSTETQLFFDMLMMILVTGKERNEKEWAKLFTDAGFSNYKINPVLGLRSLIEVYP >Potri.018G099000.3.v4.1 pep chromosome:Pop_tri_v4:18:11941467:11944052:-1 gene:Potri.018G099000.v4.1 transcript:Potri.018G099000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099000.v4.1 MDSIMKPSPSEAHPETMDFLSSTWCNFAVQALQPDLQNQPIILLDNPIKKLDCDVMKPPFSKMDKSVKMDDAFKSLPPWKSNDMKSWIWMQQAMHPELNYNSCFRKKWFPWKNILPFKTISIKKWLKEIKLKRKEEERLQKAEVHAAISVAGVAAALAAIAAENSKKDESSTAKEAAIASAAALVAAKCAQVAEAMGAKREQLSSAIGSAMSGTNASDIVTLTAAATTSLKGAATLKARTGCKNRLNAPVLPLEDNNDIDFDFEKCRSVLANGTELHVETPDGNFRVRSVSIILSSKAKVILKLRKLNILKGKKESIVLDLHAELYKDLEADRETGHTCYLIVLTTNMGIIKLDMGDDYHRYKTWATTINHMLMLSTSYANYGLQFYEH >Potri.012G026050.1.v4.1 pep chromosome:Pop_tri_v4:12:2512174:2513247:1 gene:Potri.012G026050.v4.1 transcript:Potri.012G026050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G026050.v4.1 MVSIFPSNRCHPFFLLSHRRSLSVSLPHTLPSWPLQLKQPTSTSYRAAVPTQLLFPLSCRSKGRTEWRPSSLLTSPQQQRLLITAPPSLAPSPRTATPNRDPAPPYQRILFSFGLLVASFFG >Potri.012G066900.5.v4.1 pep chromosome:Pop_tri_v4:12:8585767:8593724:1 gene:Potri.012G066900.v4.1 transcript:Potri.012G066900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066900.v4.1 MTTSSQRAEILSSSLEAFKKIQQERANMLAANNAKIMGLEYSNSHDVSVDHLNKSAGKSDVMLSAKDSVMKSRKQSGGSYSTQGNLNNKKQNIDLGRFNKVNFVKNVNDAPRNVSSSSANSSMLLFRDNSASGFVKGIQETEADMLLHKKDKTFSETAIEKNLKSAENTTKKQIPLSEKDKERRNSSSRKSMDAWKERRNWEDILSSPFCVSSRLSNSPGISRKSAERARILHAKLMSPDKKKKTAFDLKREAEEKHARAMRIRSELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQVIKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASNAAREARAIIQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLMRRSMYKEGQGRTTPTNSSEDYQVNNVTGAGSSTLAAGKALLQHSMKRRIKKIRQRLMALRYEFTEPLASSENTSIGYRMAVGTARAKFGRWLQELQRLRQARKKGAASIGLITAEMIKFVEGKDPELQASRQAGLLDFIAAALPASHTSNPETCQVTIHLLKLLRVVLSAPANRSYFLSQNLLPPIIPMLSAALENYIKIAASLNVPGSTNLQSSKTSVENFESISEVLDNFLWTVGTVIGHASSDEQQVQMQDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVALTYRPGTNSSINWESSPVKTVLRFENQEAKPVENADFQYSSAVVTSEDYRPTLFVLNCSTVVSPPNVSDDIHIDESCNINEIKESVSLSKDGEQKPHSSVELNIANTNTRDGQDEAQKNLIEEKDEKQFVSDCAEHKNNVMLNMKEPVAFLLSAISETGLVSLPSLLTAVLLQANNRLTSEQGSYILPSNFEEVATGVLKVLNNLALLDIVFMQRMLARPDLKMEFFHLMSFLLSHCTSKWKVANDQVGFLLLECLSLLGYFALFHSENQAVLRWGKSPTILHKICDLPFVFFSDTELIPVLAGALVAACYGCEQNKCVVQQELSMDMLVSLLQSCRNVSPAMRSNPIVENLPTEDANESNQQISELKKSSQGDILQRSNRYNSRSMRVSTGKAGTFGNSIRGGKMRSQRDGKTTKTSEEMALKHNPVAPQTSMMLHCRFPSSFMDRAEQFFTAGMTNVADEV >Potri.012G066900.1.v4.1 pep chromosome:Pop_tri_v4:12:8581959:8593701:1 gene:Potri.012G066900.v4.1 transcript:Potri.012G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066900.v4.1 MENTGEAVDDQGSGWFQVKKKHRSSSKFSLHSSAAGFSEKNGSSCHITQPSSSEKNRNLCGKHVSHHSKGGPNHSINGCGNSANSSSVSNQDENRVFLPHKLLVTQHGEDSGCSKLSPVLITNSNAKVGDTQKMLLKDKPDVPKIKWGDLEDDLLILHGENNSQVVKKFVGEGNNNLVDRMPENNCHFVSHVSSSSNLQENRLVASSVNVDISPDQTFPFTNKEDLHGKNSKDVSETSSQDVEVPSTDGRMVVPNYPQNCKEKITENSKTTDDDFSCSTHPSGGDSVREMKLNVPAGVSELHELKISELTVMSTNSTIIPLDSELLLTGSAAPEISELPVGNGNSGTVMIPQDSELLPPEKTNLEISTEPVTNSHSTTAVIAKDNESLASEKYVPEISGEVAVTASVDDPQGPPDVALHNELFKVHRTGFLGECDTGESKERFRERLWCFLFENLNWAVDELYLLCELECDVGQMKEAILVLEEAASDFKELTRRVQEFENVKRSSPQSIDVKCLKSEHHRPHAMSWEVRRMTTSSQRAEILSSSLEAFKKIQQERANMLAANNAKIMGLEYSNSHDVSVDHLNKSAGKSDVMLSAKDSVMKSRKQSGGSYSTQGNLNNKKQNIDLGRFNKVNFVKNVNDAPRNVSSSSANSSMLLFRDNSASGFVKGIQETEADMLLHKKDKTFSETAIEKNLKSAENTTKKQIPLSEKDKERRNSSSRKSMDAWKERRNWEDILSSPFCVSSRLSNSPGISRKSAERARILHAKLMSPDKKKKTAFDLKREAEEKHARAMRIRSELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQVIKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASNAAREARAIIQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLMRRSMYKEGQGRTTPTNSSEDYQVNNVTGAGSSTLAAGKALLQHSMKRRIKKIRQRLMALRYEFTEPLASSENTSIGYRMAVGTARAKFGRWLQELQRLRQARKKGAASIGLITAEMIKFVEGKDPELQASRQAGLLDFIAAALPASHTSNPETCQVTIHLLKLLRVVLSAPANRSYFLSQNLLPPIIPMLSAALENYIKIAASLNVPGSTNLQSSKTSVENFESISEVLDNFLWTVGTVIGHASSDEQQVQMQDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVALTYRPGTNSSINWESSPVKTVLRFENQEAKPVENADFQYSSAVVTSEDYRPTLFVLNCSTVVSPPNVSDDIHIDESCNINEIKESVSLSKDGEQKPHSSVELNIANTNTRDGQDEAQKNLIEEKDEKQFVSDCAEHKNNVMLNMKEPVAFLLSAISETGLVSLPSLLTAVLLQANNRLTSEQGSYILPSNFEEVATGVLKVLNNLALLDIVFMQRMLARPDLKMEFFHLMSFLLSHCTSKWKVANDQVGFLLLECLSLLGYFALFHSENQAVLRWGKSPTILHKICDLPFVFFSDTELIPVLAGALVAACYGCEQNKCVVQQELSMDMLVSLLQSCRNVSPAMRSNPIVENLPTEDANESNQQISELKKSSQGDILQRSNRYNSRSMRVSTGKAGTFGNSIRGGKMRSQRDGKTTKTSEEMALKHNPVAPQTSMMLHCRFPSSFMDRAEQFFTAGMTNVADEV >Potri.012G066900.4.v4.1 pep chromosome:Pop_tri_v4:12:8581859:8593675:1 gene:Potri.012G066900.v4.1 transcript:Potri.012G066900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066900.v4.1 MENTGEAVDDQGSGWFQVKKKHRSSSKFSLHSSAAGFSEKNGSSCHITQPSSSEKNRNLCGKHVSHHSKGGPNHSINGCGNSANSSSVSNQDENRVFLPHKLLVTQHGEDSGCSKLSPVLITNSNAKVGDTQKMLLKDKPDVPKIKWGDLEDDLLILHGENNSQVVKKFVGEGNNNLVDRMPENNCHFVSHVSSSSNLQENRLVASSVNVDISPDQTFPFTNKEDLHGKNSKDVSETSSQDVEVPSTDGRMVVPNYPQNCKEKITENSKTTDDDFSCSTHPSGGDSVREMKLNVPAGVSELHELKISELTVMSTNSTIIPLDSELLLTGSAAPEISELPVGNGNSGTVMIPQDSELLPPEKTNLEISTEPVTNSHSTTAVIAKDNESLASEKYVPEISGEVAVTASVDDPQGPPDVALHNELFKVHRTGFLGECDTGESKERFRERLWCFLFENLNWAVDELYLLCELECDVGQMKEAILVLEEAASDFKELTRRVQEFENVKRSSPQSIDVKCLKSEHHRPHAMSWEVRRMTTSSQRAEILSSSLEAFKKIQQERANMLAANNAKIMGLEYSNSHDVSVDHLNKSAGKSDVMLSAKDSVMKSRKQSGGSYSTQGNLNNKKQNIDLGRFNKVNFVKNVNDAPRNVSSSSANSSMLLFRDNSASGFVKGIQETEADMLLHKKDKTFSETAIEKNLKSAENTTKKQIPLSEKDKERRNSSSRKSMDAWKERRNWEDILSSPFCVSSRLSNSPGISRKSAERARILHAKLMSPDKKKKTAFDLKREAEEKHARAMRIRSELENERVQKLQRTSEKLNRVNEWQAVRTMKLREGMYARHQRSESRHEAFLAQVVRRAGDESSKVNEVRFITSLNEENKKLMLRQKLHDSELRRAEKLQVIKTKQKEDMAREEAVLERRKLIEAEKLQRLAETQRKKEEAQVRREEERKASNAAREARAIIQLRRREERAKAQQEEAELLAQKLAERLSESEQRRKFYLEQIRERASMDFRDQSSPLMRRSMYKEGQGRTTPTNSSEDYQVNNVTGAGSSTLAAGKALLQHSMKRRIKKIRQRLMALRYEFTEPLASSENTSIGYRMAVGTARAKFGRWLQELQRLRQARKKGAASIGLITAEMIKFVEGKDPELQASRQAGLLDFIAAALPASHTSNPETCQVTIHLLKLLRVVLSAPANRSYFLSQNLLPPIIPMLSAALENYIKIAASLNVPGSTNLQSSKTSVENFESISEVLDNFLWTVGTVIGHASSDEQQVQMQDGLLELLIAYQVIHRLRDLFALYDRPQVEGSPFPSSILLSIHLLVALTYRPGTNSSINWESSPVKTVLRFENQEAKPVENADFQYSSAVVTSEDYRPTLFVLNCSTVVSPPNVSDDIHIDESCNINEIKESVSLSKDGEQKPHSSVELNIANTNTRDGQDEAQKNLIEEKDEKQFVSDCAEHKNNVMLNMKEPVAFLLSAISETGLVSLPSLLTAVLLQANNRLTSEQGSYILPSNFEEVATGVLKVLNNLALLDIVFMQRMLARPDLKMEFFHLMSFLLSHCTSKWKVANDQVGFLLLECLSLLGYFALFHSENQAVLRWGKSPTILHKTDMRSAICVLQ >Potri.017G013100.4.v4.1 pep chromosome:Pop_tri_v4:17:913122:918505:-1 gene:Potri.017G013100.v4.1 transcript:Potri.017G013100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G013100.v4.1 MAAESEDSSTATTMTNTTTLMMFVDEAYEFSAPKFYDFVKGESDEDSRNAELWFDVTASYAPSPFMPRIKTGRSFKVETLCDFSQADQFHKVAESSDSKASDSNSQSEVMPPPAEAAAPIGTGKEEKTSDEDNKENNANLVNVISAGEVTCEKEKKVGFACAEGNERSTSSLQTENADGKESSKNEAYCTPKPPMSSRNRGPLTDSKKNHSARHIASLVRNPSLLKPKSQSQSSQVKGIKPASVKKDRNVKNVAGTTNLAQENQAIKKQKLEGGRSRQILNAKPPQPLTHKSKLGLSSGSSNLCSSVANKMKKEERKVYVREQAAPGPFVSTAEMMNKFQSNTRGLSMPRFNNSISHDGPASVIQRKPKLTLTRPKEPEFETAQRVRSVKIKSSAEIEEEMMAKIPKFKARPLNKKILEAATLPALPRSTPQPPEFLEFHLETAARANQNAESTSVASTEVSHQSNLWKPHHLTEPKTPVLHTSLRARPARVKSSLELEKEEIEKFPKFKARPLNKKIFESKGAMGIFCHAKKQVTVPQEFHFATNERIPPQAAVADMFDKLSLRSEPILDNPIPRNTKPNPFHLHTEERGAEKERKFWMELVQKQMEEERARVPRANPYPYTTDYPVVPPRPEPKPCTKPEPFQLESLVRHEEEMQREMEERERKEKEEAQMRIFRAQPVLKEDPIPVPEKARKPLTQVQQFNLHADQRAVERAEFDHKVKEKEMLYKRYREESETAKMMEEEKALKQLRRTMVPHARPVPNFNHPFCPQKSSKEATKAKSPNLRVLQRRERRKMMMVNAASSAAASGMR >Potri.007G115100.6.v4.1 pep chromosome:Pop_tri_v4:7:13507207:13518771:1 gene:Potri.007G115100.v4.1 transcript:Potri.007G115100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115100.v4.1 MKGLLWGELKCFYMVKMTRKKIQIKKIDNTAARQVTFSKRRRGLFKKAYELSTLCDAEIALTVFSATGKLFEYSNTSMGQVIERRNLHPKNIDTLHQPSLEQQLDGGVHAMLIKEIAEKNRELRHMRGEDLQGLSLEELKKIEKLIEGSLRRVVEEKEEKSTKDINALKTKGEQLAEENQRLKQQVMNLSAAQGHLLEPGQSPDSLVTNISSMSSADPRQDNDSSCAFLTLGLPFPD >Potri.002G085000.3.v4.1 pep chromosome:Pop_tri_v4:2:6063518:6067555:1 gene:Potri.002G085000.v4.1 transcript:Potri.002G085000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085000.v4.1 MAEEMQEQATETVKKETPDESLDIESQVKEAMLSRVSHFKKQADSLTFEGVRRLLEKDLGLEKLALDVHKRFVKQCLFECLDGAVTDNASKDSGDTVEKHVDSPKEVTESPERRDLKNNIKEPCSEDEEKMEDSPVMGLLSGQKTTKSKAKDTQANEVKEVPSEGSIKKAMMRRASYIKANSEEITMAGLRRLLEEDLKLDKFSLDPYKKFISKQLDEVLKSSQVSEPKKKTLKNNSHGKASKKVSSRESADSSDKESEEEDEEVKPKKKKIGVERKMQNSEGSKKRRRTEKETKVSANKRIKPLETAAEDNSDSEVSGNASEDNNSPSSAEKPVKKKEASTPAYGKRVEHLKSVIKSCGMSVPPSIYKKVKQAPENKREARLIKELEEILSREGLSSNPSEKEIKEVRKRKERAKELEGIDLSNIVTTSRRRSATSFVAPPKPKVPDESESDDTGDTEEDDEDGEEEDGNDGDDGDNDGDDNKGGDSPTEEADEDQDDGSH >Potri.002G061500.2.v4.1 pep chromosome:Pop_tri_v4:2:4256965:4259102:1 gene:Potri.002G061500.v4.1 transcript:Potri.002G061500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061500.v4.1 MSRHPSVKWAQRSDNLFITVQLPDAQDVKLKIEPEGKFFFSATSGVDKIPYEVELDLLDKVIVEESKASTGSRSIQYIVKKAENKWWSRLIKQTGKPPVFLTVDWDKWIDEDEEFTSKGAPEAGMGDMGFDFPNLNLGGGGFDGPAPGMDDDDEDDTEDENAEEAPSAEKEVASASGEADTKKT >Potri.015G106400.1.v4.1 pep chromosome:Pop_tri_v4:15:12499602:12506022:1 gene:Potri.015G106400.v4.1 transcript:Potri.015G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106400.v4.1 MGNCCSDVAGGRAAVGGSGAAAGNDAVDHFLKSRGMYSSQMELSFSATNLRDRDVLSKSDPILIGYTKGKDGTLAEVFRTEVVLNSLNPTWITKHVITFQFEAVQTLVFHAYDVDTQFHNIDVKMLKLEELQFLGEASCVLSEVVTRPSRSLTLDLLFREDPTMSGNPRHCGQLIVHAEECISSKSTVEMVLRCSDLEHKDLFSKSDPFLLISKIVEVGLPIPVCKTEVLKNDHNPVWKPIYLSIQQVGSKENPLMIECFNFNSSGKHDLIGKVKKSLVELEKLHSNGEGENLFLPTTVGHNHQNKVLKSQLFVDKFSQSSQWTFLDYLAGGCELNFMVAVDFTASNGNPRLPDSLHYLDPSGRLNAYQRAILEVGEVLKFYDSDKRFPAWGFGARPIDGPVSHCFNLNGSNNHSEVDGIQGIMTAYTSALYNVSLAGPTLFGPVISNAALIASQSPANGGKKYFVLLIITDGVVTDLQETKDAIVKASDLPLSILIVGVGGADFKEMEILDADKGERLESSSGRVASRDIVQFMSFRDVQSGEIAVVQALLAELPTQFLSYMRSREDIQPNI >Potri.007G082900.1.v4.1 pep chromosome:Pop_tri_v4:7:10786285:10788123:-1 gene:Potri.007G082900.v4.1 transcript:Potri.007G082900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082900.v4.1 MAWILTTLALIALAFFLRAWLSKRKIKDSKLPPGPIGFPIFGSLHLLGKLPHHDLHQLAKKYGPIMYMRLGLVPTVVVSSPRAAELILKTNDLVFASRPRNEAAKHISYEQKNLSFAPYGSYWRNVRKMCTLELLSNHKINSFMSTRKEELDLLIDYIKDASRERVAVDLGAKVSSLSADISCRMVFGKKYLEKEFDEKGFKPVTHELMRLAASFNLGDYIPPIAPLDLQGLTKRMKAVGKVFDDFFEKIIDEHIQFKDENRTKDFVDVMLDFLGSEETEYRIGRDNIKAIILDMLVGSMDTSATAIEWTLSELIRHPRVMKKVQKELEEKIGMDRMVEESDLEGLEYLHMVIKEAFRLHPVAPLLGPHESMEDCTIDGFLIPQKTRVIVNVWAIGRDQSAWTDANKFIPERFAGSNIDVRGRDFQLLPFGAGRRGCPGMHLGLTMVRQIVAQLVHCFDWELPNNMLPEELDMTEAFGLVTPRANHLCATPTYRLHL >Potri.013G047950.1.v4.1 pep chromosome:Pop_tri_v4:13:3416833:3418826:-1 gene:Potri.013G047950.v4.1 transcript:Potri.013G047950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047950.v4.1 MKAVDMFTQSGSVIASVMFIWAMFKQYCPYQLQNYFEKHSKRVFTFVYPFIQITFNEFTGDRFMRSEAYSAIENYLGSSSSMQAKRLKADVVKNSTQSLVLSMDDFEEVTDEFQGVKLRWASGKHIAKTPSFSFYPATDERMYYTLTFHKRHRNLILGTYLSHVLKEGDAIKVKNRQRKLYTNSGSYWRHVVFEHPASFESIAMEADKKKEIMDDLITFSQAEEFYARIGRAWKRGYLLYGPPGTGKSTMIAAMANLLNYDIYDLELTSVKDNTELRKLLIETSSKSVIVIEDIDCSLDLTGQRKKKKEEQGRGDEKDPKLKLPKEETDSKQSQVTLSGLLNFIDGLWSACKGERLVVFTTNFLEKLDPALIRKGRMDKHIELSYCSFEAFKVLAKNYLRLETHHLYSKIQELLGETKMTPAEVAEHLMPKTLPGDNKVCLEGLIAGLEKAKEDARLKAEEEAREKDSSPEEKAKEKDSSPEENAKEQGKENGFCDNGNTETNCKEKE >Potri.006G170100.1.v4.1 pep chromosome:Pop_tri_v4:6:17200626:17201673:-1 gene:Potri.006G170100.v4.1 transcript:Potri.006G170100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170100.v4.1 MKAGLLVSLIVNFLVVASAGSFYNDFYFNWGHDHGKVYDNGNGLSLILDKNSGSGFQSKKEYLFGKIDIQLKLVHGNSAGTVTTFYLSSLGPYHDEIDFEFLGNTSGQPYTLHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGIAIREFKNLESIGVPFPKNQPMRIYSSLWEADDWATCGGRVKTDWTKAPFVASFRNFNVNACAWSYGASSCKSKSGFADSISNSWIWEELDVGREGQMKWVRDNYMTYDYCKDSKRFPHGLPRECYVTNFP >Potri.016G121900.1.v4.1 pep chromosome:Pop_tri_v4:16:12652511:12653984:1 gene:Potri.016G121900.v4.1 transcript:Potri.016G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121900.v4.1 MASHPSLFTAFLLFICTALFLIASTSSSQNVTLSLYYETLCPYCADFIVNHLVKVFDKGLISIVNLRLIPWGNAFIQPNGSFVCQHGTNECFLNAIEACTVTIYPDAVRHFRFIHCVEKMSLENKMNEWVNCFGMSGLGKAPIDCYTSGYGEVLQRKYAAETSQLNPPHRFVPWVVVNNQPLREDFENFVSYVCKAYRGTKIPEACKSLPLESNSSQKENHINSVCYVDQTSNLTSSAPAIN >Potri.016G121900.2.v4.1 pep chromosome:Pop_tri_v4:16:12652511:12654665:1 gene:Potri.016G121900.v4.1 transcript:Potri.016G121900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121900.v4.1 MASHPSLFTAFLLFICTALFLIASTSSSQNVTLSLYYETLCPYCADFIVNHLVKVFDKGLISIVNLRLIPWGNAFIQPNGSFVCQHGTNECFLNAIEACTVTIYPDAVRHFRFIHCVEKMSLENKMNEWVNCFGMSGLGKAPIDCYTSGYGEVLQRKYAAETSQLNPPHRFVPWVVVNNQPLREDFENFVSYVCKAYRGTKIPEACKSLPLESNSSQKENHINSVCYVDQTSNLTSSAPAIN >Potri.011G135800.1.v4.1 pep chromosome:Pop_tri_v4:11:16830716:16837178:-1 gene:Potri.011G135800.v4.1 transcript:Potri.011G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135800.v4.1 MGGEDGDGDRNWDFHLRTLSNGTRDSNLANNPASDPSLLHSVKRLHELCKEENSEDLIARVYPQINKLFQRSVSLLSQSTNSYGLLLLAILQFFLDFGEVVLHDADPILRTFLRTCLSREFADPVVAEATLDFLNVNKKKILTSFPTLLPQFFPLMLKLIAWNGEKLEKSFMKIFPGLMMSPGSFLPLFPSLVDLPILVVALQKVERSSGSLIGSSIASIQKSTAPEMLLALMDEAYTGSTIADGGVDSESEDSSAIDAADPFFLEFLKDENDGLPERHWTSPAMVATLQATINTPQSDRLKQMLKMTPRLLDVYFAISLRDVNDSLICALIPLLMSRNDTMFPDKIFSYEVRKRLLEFMLAAFQRSPNFIALLKKPIMDRLGEAYDSPAKTELALQLCWAIGEHGGGGGSHKDAARELFESLELLLYENLSTSRLGLRESALRSSGGSSRKSSQSRLLCFVVTAIAKLASYHRELLPRARVSLGKVARSRISDVRVWRRARDYLGLMNEPAICLSVLGPSRPSDGNMQKPGTVNWSEGGTKMIAHIPFYILGEQEGPPFHDFSFSDILPRR >Potri.001G033800.1.v4.1 pep chromosome:Pop_tri_v4:1:2506427:2509737:-1 gene:Potri.001G033800.v4.1 transcript:Potri.001G033800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033800.v4.1 MEIFEVAKDKLGLGSKRYTTLLFACSVALPVSLMILIVYQNQLFHLTGGLAKAEKSRNVTALNVDSKNDSSPPAIIPDGRLHNGNLPPGFGNGTSSENNSLRHTSGTDKQLNGTMAPGFWIESGSRNGSFGNETSSKNNSLPHPSGPDEKQLNGTLAPGFRKESGSRNYSENDSLLNTSRPNVKQLNETLDPGFRKESGSRNDSSQGNRMPDENKLLDGLLAPGFDERSCASRYQSFLFRKTSLHKPSSYLLSKLRRYEDIHKRCGPHSKSYHKALKRLKSSHGSSGGCKYIVWIPANGLGNRMLSMAATFLYALLTNRVLLVNHKTDMANLFCEPFLNTSWLLPEDFPLRNHFGRSKHGYAHSFGSMLNKSITNTSMEPPEPYLDLNLALGGYDQLAFCDENQALLQKIPWLILLSDQYFVPSLFMIPSFNREISKLFPEKETVFYHLGHYLFHPSNQVWGLITRFYQAYLAKADERIGLQIRVYHDKTTPFQTVMDQILDCVLKEKLLPEVADTQEPAPPPSRNQTSKAILITSLYSKYYENMKNMYWTRPTVTGEVISVYQPSHEEYQHFGDNTHNMKAWADIYLLSLCDVLVTSTSSTFGYVAHGLAGLKPWIWRMGNKNPACQRAISMEPCCHFPLSYDCKTRIEVNAATLVPNTMHCEDRKNGLKLV >Potri.017G062400.1.v4.1 pep chromosome:Pop_tri_v4:17:5171017:5172753:-1 gene:Potri.017G062400.v4.1 transcript:Potri.017G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G062400.v4.1 MEITNETGVVAEKNDYSVWAIPPEVVGEKLKKLMGVLRSEFGGPEIPPHITVVGATSLTEEDALKKFRSACEGLKAYHAKADLVVSGAFPSQCLYLLFHSTPEVMDASEHCCRYFGYKRSNRYMPHLSLLYGTLTEDEKNKAREKAYVLDESIDGLNFQISRLALWKTDRKDKGTLESWRQIAECSLSPN >Potri.016G048100.1.v4.1 pep chromosome:Pop_tri_v4:16:3096081:3100093:1 gene:Potri.016G048100.v4.1 transcript:Potri.016G048100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048100.v4.1 MEDMKKRKMDEGSNNGSGETSTTQDYLRSLLDPLNKSQLVDLLSRLGSQYPSIAEEIKGLASADPVHRKLFVRGLAWNTTSETLCAAFQMHGEIEEGAVIYDKATGKSRGYGFITYKHMESAQSALGAPSRLIDSRMAVCNLACEGLTGASTTPDLAQRKLYVGGLSPEISSEMLLNFFGRYGDIEEGSVAYDKDTNESRGFGFVTYKTVEVAKKAIDDPHKLFGGRTIIVKLADTHRSKTVQTHLPAPMVPLQVPMGAGGYAQPGKAPAGGGAPVGYPYPQTVAPYPASSYPNPPVAPAPYPTQTQISYAQVPSKKEPLGHSPAPPVGMGGYPPYYYPKQ >Potri.016G048100.3.v4.1 pep chromosome:Pop_tri_v4:16:3096058:3100444:1 gene:Potri.016G048100.v4.1 transcript:Potri.016G048100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048100.v4.1 MHGEIEEGAVIYDKATGKSRGYGFITYKHMESAQSALGAPSRLIDSRMAVCNLACEGLTGASTTPDLAQRKLYVGGLSPEISSEMLLNFFGRYGDIEEGSVAYDKDTNESRGFGFVTYKTVEVAKKAIDDPHKLFGGRTIIVKLADTHRSKTVQTHLPAPMVPLQVPMGAGGYAQPGKAPAGGGAPVGYPYPQTVAPYPASSYPNPPVAPAPYPTQTQISYAQVPSKKEPLGHSPAPPVGMGGYPPYYYPKQ >Potri.002G086400.1.v4.1 pep chromosome:Pop_tri_v4:2:6176736:6182255:-1 gene:Potri.002G086400.v4.1 transcript:Potri.002G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086400.v4.1 MKSSSEQSPVMVVVRSEDSGDREKEKKKNKRRSNRRSKQNSPNPAFSSQNDLRGESSVSVGNGGKTRCYTSSMGCSSSKQLELDLHVLSEHGPTTVSRIAYSSMPTMHVNEQQEDLVLSDLDGSMLAKSCTEPIVGGGPHGKLLPFHQFEGQAQSKIFAPYWSTETVNEALEKGDVFKVLFRVNAHNRLEAYCKIEGVPTDVLISGIAAQNRAMDGDVVVIEVDPLSFWTKMKGSNVPSNNPTAEDSNLHLEANGKVGGGCKGKSKMNLDLECADFGNSLVPQKGIHYDETACAGEVVHDNYVNGYHQSASESSLAVPSTGQDEVSNSVGRICAMLSLYPSKRPTGRVVAIVEKSPRRDVIVGFLNVKQWFYYREGCRQNAKKNKSSLSISNREYIEMMPTDPRFPKLMVLVSVLPDCIKKRLENEDATVEMELVAAQIDNWSDKSPFPEAHVSCIFGRGSEMESQINAILHENAICCSKFSPESLSCLPSNTWEVPKDEIENRKDIRNLCIFTIDPSSATDLDDALSVQKLPNGLVRVGVHIADVSYFVLPDTALDMEAQFRSTSVYMLRRKIPMLPPLLSENLGSLNPGVDRLAFSIFWDFNSSGNVVDRWIDRTVIQSCCKLSYEHAQGIVDGMIDTETCNTFGDSLPQLHGHFEWADVIGSVVCLHEISKTLREKRFDNGALRLESSKIVFLFDEYGIPYDSSLCERKDSNFIVEEFMLLANFTAAEIISRAFPDSALLRRHPEPNMRKLREFEAFCCKHGLELDTSSGNFQQSLERIKEKLKDDPELFNILINYASRPMQLATYFCSGDLKDNMNDWGHYALAVPLYTHFTSPLRRYPDIVVHRTLAAAIEAEQLYMMDRRMSLKARPGEEGTRCFTGICFCKDVAESAEGKEALSAAALKHRIPCPELLSHVAAYCNERKLASRHVKDACDKLYMWVSVKRKEVLLSDARVLGLGPRFMSIYINKLAIERRIYYDEVEGLTVEWLEATSTLVLNICASKRSVRRAGSGYYKALGEVAWVINPYDHNLEPDMESTKGCSASQHSDAILKSEIDPSVFPLTVRLLSTIPVALHAIGGDDGPPDIGVRLFMSSYFT >Potri.002G086400.4.v4.1 pep chromosome:Pop_tri_v4:2:6176735:6180301:-1 gene:Potri.002G086400.v4.1 transcript:Potri.002G086400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086400.v4.1 MDGDVVVIEVDPLSFWTKMKGSNVPSNNPTAEDSNLHLEANGKVGGGCKGKSKMNLDLECADFGNSLVPQKGIHYDETACAGEVVHDNYVNGYHQSASESSLAVPSTGQDEVSNSVGRICAMLSLYPSKRPTGRVVAIVEKSPRRDVIVGFLNVKQWFYYREGCRQNAKKNKSSLSISNREYIEMMPTDPRFPKLMVLVSVLPDCIKKRLENEDATVEMELVAAQIDNWSDKSPFPEAHVSCIFGRGSEMESQINAILHENAICCSKFSPESLSCLPSNTWEVPKDEIENRKDIRNLCIFTIDPSSATDLDDALSVQKLPNGLVRVGVHIADVSYFVLPDTALDMEAQFRSTSVYMLRRKIPMLPPLLSENLGSLNPGVDRLAFSIFWDFNSSGNVVDRWIDRTVIQSCCKLSYEHAQGIVDGMIDTETCNTFGDSLPQLHGHFEWADVIGSVVCLHEISKTLREKRFDNGALRLESSKIVFLFDEYGIPYDSSLCERKDSNFIVEEFMLLANFTAAEIISRAFPDSALLRRHPEPNMRKLREFEAFCCKHGLELDTSSGNFQQSLERIKEKLKDDPELFNILINYASRPMQLATYFCSGDLKDNMNDWGHYALAVPLYTHFTSPLRRYPDIVVHRTLAAAIEAEQLYMMDRRMSLKARPGEEGTRCFTGICFCKDVAESAEGKEALSAAALKHRIPCPELLSHVAAYCNERKLASRHVKDACDKLYMWVSVKRKEVLLSDARVLGLGPRFMSIYINKLAIERRIYYDEVEGLTVEWLEATSTLVLNICASKRSVRRAGSGYYKALGEVAWVINPYDHNLEPDMESTKGCSASQHSDAILKSEIDPSVFPLTVRLLSTIPVALHAIGGDDGPPDIGVRLFMSSYFT >Potri.002G086400.3.v4.1 pep chromosome:Pop_tri_v4:2:6176810:6182104:-1 gene:Potri.002G086400.v4.1 transcript:Potri.002G086400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086400.v4.1 MRSAFSSQNDLRGESSVSVGNGGKTRCYTSSMGCSSSKQLELDLHVLSEHGPTTVSRIAYSSMPTMHVNEQQEDLVLSDLDGSMLAKSCTEPIVGGGPHGKLLPFHQFEGQAQSKIFAPYWSTETVNEALEKGDVFKVLFRVNAHNRLEAYCKIEGVPTDVLISGIAAQNRAMDGDVVVIEVDPLSFWTKMKGSNVPSNNPTAEDSNLHLEANGKVGGGCKGKSKMNLDLECADFGNSLVPQKGIHYDETACAGEVVHDNYVNGYHQSASESSLAVPSTGQDEVSNSVGRICAMLSLYPSKRPTGRVVAIVEKSPRRDVIVGFLNVKQWFYYREGCRQNAKKNKSSLSISNREYIEMMPTDPRFPKLMVLVSVLPDCIKKRLENEDATVEMELVAAQIDNWSDKSPFPEAHVSCIFGRGSEMESQINAILHENAICCSKFSPESLSCLPSNTWEVPKDEIENRKDIRNLCIFTIDPSSATDLDDALSVQKLPNGLVRVGVHIADVSYFVLPDTALDMEAQFRSTSVYMLRRKIPMLPPLLSENLGSLNPGVDRLAFSIFWDFNSSGNVVDRWIDRTVIQSCCKLSYEHAQGIVDGMIDTETCNTFGDSLPQLHGHFEWADVIGSVVCLHEISKTLREKRFDNGALRLESSKIVFLFDEYGIPYDSSLCERKDSNFIVEEFMLLANFTAAEIISRAFPDSALLRRHPEPNMRKLREFEAFCCKHGLELDTSSGNFQQSLERIKEKLKDDPELFNILINYASRPMQLATYFCSGDLKDNMNDWGHYALAVPLYTHFTSPLRRYPDIVVHRTLAAAIEAEQLYMMDRRMSLKARPGEEGTRCFTGICFCKDVAESAEGKEALSAAALKHRIPCPELLSHVAAYCNERKLASRHVKDACDKLYMWVSVKRKEVLLSDARVLGLGPRFMSIYINKLAIERRIYYDEVEGLTVEWLEATSTLVLNICASKRSVRRAGSGYYKALGEVAWVINPYDHNLEPDMESTKGCSASQHSDAILKSEIDPSVFPLTVRLLSTIPVALHAIGGDDGPPDIGVRLFMSSYFT >Potri.011G002900.1.v4.1 pep chromosome:Pop_tri_v4:11:192890:197623:-1 gene:Potri.011G002900.v4.1 transcript:Potri.011G002900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002900.v4.1 MNSEPLRLSFKLSFHDETRSSELEQILADTEAPYFKRIRSASWVELKLLFHLAAPAVIVYLLNNVVSMSTQIFCGHLGNLELAAVSLGNTGIQMFAYGLMLGMGSAVETLCGQAFGAHRYEMLGVYLQRSTILLMATAIPLMVIYIFCEPLLMLLGEPVSIASAAAVFVYGLIPQIFAYAANFPIQKFLQAQSIIAPSAYISLGALVVHVLLSWLAIFKWNWGLLGAGLVLSLSWWIIVGGQFVYILTSKSCRKTWQGFSMEAFSGLWSFFKLSAASAVMLCLETWYYQILVLIAGLLKNAEVALDALSVCMTLSGWVFMISVGFNAAASVRVSNELGAGHPKSASFSVLVVTSCSFIISVIAAIIVLIFRDSISYIFTEGEVVAKAASDLSPFLAATLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYLIGVPVGVVLGFTFDLGAKGIWSGMLGGTVLQTIILVWVTLRTDWDKEVESAKNRLSSWDEKGQPLLVE >Potri.011G002900.4.v4.1 pep chromosome:Pop_tri_v4:11:192899:197692:-1 gene:Potri.011G002900.v4.1 transcript:Potri.011G002900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002900.v4.1 MGSAVETLCGQAFGAHRYEMLGVYLQRSTILLMATAIPLMVIYIFCEPLLMLLGEPVSIASAAAVFVYGLIPQIFAYAANFPIQKFLQAQSIIAPSAYISLGALVVHVLLSWLAIFKWNWGLLGAGLVLSLSWWIIVGGQFVYILTSKSCRKTWQGFSMEAFSGLWSFFKLSAASAVMLCLETWYYQILVLIAGLLKNAEVALDALSVCMTLSGWVFMISVGFNAAASVRVSNELGAGHPKSASFSVLVVTSCSFIISVIAAIIVLIFRDSISYIFTEGEVVAKAASDLSPFLAATLILNGIQPVLSGVAVGCGWQAFVAYVNVGCYYLIGVPVGVVLGFTFDLGAKGIWSGMLGGTVLQTIILVWVTLRTDWDKEVESAKNRLSSWDEKGQPLLVE >Potri.005G003000.2.v4.1 pep chromosome:Pop_tri_v4:5:263125:264678:1 gene:Potri.005G003000.v4.1 transcript:Potri.005G003000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003000.v4.1 MVSSSSSSSSSSKHCKKNDSFNGCSNPTKKPRLSFISDSEIQSEFSHHDQTISRINNGSFGSCPQSVISAQQSFQLQFLRQPDHFYFNTLKSSILHSRSIIKSLVNARSVDEISLVDNATTAVAIVLQNYAWGFTEGRFNKGDVAVMLHYAYGAVKKSVQAYVTRAGGEVIEVQLPFPITSKEEIVSEFRKALERGKENGKKKVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVRDIGADFYTSNLHKWFFCPPSIAFLYCRKRGEEGNGGDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAVLEFINRFEGGIDGIKERNHEKVVEMGEMLVKAWGTNLGSPPEMCGSMIMVGLPACLGISSESDSLKLRTHLREHFQVEVPIYFRAPLDGEVGSVTGYARISHQVYNKVEDYYRFRDAVNQLISDGFTCASLSD >Potri.005G003000.3.v4.1 pep chromosome:Pop_tri_v4:5:263344:266777:1 gene:Potri.005G003000.v4.1 transcript:Potri.005G003000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003000.v4.1 MLHYAYGAVKKSVQAYVTRAGGEVIEVQLPFPITSKEEIVSEFRKALERGKENGKKKVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVRDIGADFYTSNLHKWFFCPPSIAFLYCRKRGEEGNGGDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAVLEFINRFEGGIDGIKERNHEKVVEMGEMLVKAWGTNLGSPPEMCGSMIMVGLPACLGISSESDSLKLRTHLREHFQVEVPIYFRAPLDGEVGSVTGYARISHQVYNKVEDYYRFRDAVNQLISDGFTCASLSD >Potri.005G003000.1.v4.1 pep chromosome:Pop_tri_v4:5:263040:266779:1 gene:Potri.005G003000.v4.1 transcript:Potri.005G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003000.v4.1 MVSSSSSSSSSSKHCKKNDSFNGCSNPTKKPRLSFISDSEIQSEFSHHDQTISRINNGSFGSCPQSVISAQQSFQLQFLRQPDHFYFNTLKSSILHSRSIIKSLVNARSVDEISLVDNATTAVAIVLQNYAWGFTEGRFNKGDVAVMLHYAYGAVKKSVQAYVTRAGGEVIEVQLPFPITSKEEIVSEFRKALERGKENGKKKVRLAVIDHVTSMPSVVIPVKELVKICREEGVDQVFVDAAHGIGCVDVDVRDIGADFYTSNLHKWFFCPPSIAFLYCRKRGEEGNGGDLHHPVVSHEYGNGLAVESAWIGTRDYSAQLVVPAVLEFINRFEGGIDGIKERNHEKVVEMGEMLVKAWGTNLGSPPEMCGSMIMVGLPACLGISSESDSLKLRTHLREHFQVEVPIYFRAPLDGEVGSVTGYARISHQVYNKVEDYYRFRDAVNQLISDGFTCASLSD >Potri.015G105000.2.v4.1 pep chromosome:Pop_tri_v4:15:12371594:12378653:1 gene:Potri.015G105000.v4.1 transcript:Potri.015G105000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105000.v4.1 MATQSERLGYEFAKWLGVQTPQARVIHNCSPEWLQIKEAGEKARVAAALEGDEVGEVTCSELLEALELSRCLILMSYVHGSPLLESSNSFESRETAERIAAAIGRVFLLDLVIRNEDRLPCRELRWRGNPANLLLAEKMTPSNVNALEDAFDSAINRYRPRVIKALQKERRATSVDCRLNSHNQGGPGMESQGSDVFDITEAPKSNKMLRVRKSGESSFSDLLISHAVAIDSGVPRRPPAGKRTNDQANYPKLIELLINSSDYSSNLLYEITGGKLGAPPLEGTDFTDTRVTEMTSAVQEFRGGFRAALRDLQGFHIFLLTLHQKLDSVLRVFLNITNKTSGDCDRDDLVVPESPSHVVVHCPSPPSKERFLNDNHPEFSDSDSQRIAQTPRSSSGNKECSDSSSPMSRESWHGKFSKGSVEPLRCLRLTTKLRDIHKFAKVDNESNKELEQWNEMLRNDVIKLCQENNFQTGFFEGSDSNCVVDAYELKVRLEHILERISLISEAANTEKPSSITNSLFIGGALAARSVHTLQHLGITHILCLCGNEIGQSESQHPDLFQYKNFSITDDEDSNISCIFEEASDFIDHVESVGGRVLVHCFEGRSRSATLVLAYLMLRKKFTLLEAWNALRQVHRRAQPNDGFARILLDLDQRLHGKVSMEWQRRKPEMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKMTRSGSVSPTLRQSSPAIDD >Potri.015G105000.3.v4.1 pep chromosome:Pop_tri_v4:15:12371594:12378672:1 gene:Potri.015G105000.v4.1 transcript:Potri.015G105000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105000.v4.1 MWKLQEKEEERELDLGSEELDPPLPLTVTSRVLYMLGDITAGPAYRFAQWLELVRKRSGKYRASGFPHRPYRLETMPSSRGESLVDSKSPPPEQSPEISLWDRLGKAAALDIELSSFSWDMLSSLHHTEHNSSNENSEDEMSKALEVTVNSGGVVFFALFNQPGNVDAFHKEAAAVIKFSSSRMATQSERLGYEFAKWLGVQTPQARVIHNCSPEWLQIKEAGEKARVAAALEGDEVGEVTCSELLEALELSRCLILMSYVHGSPLLESSNSFESRETAERIAAAIGRVFLLDLVIRNEDRLPCRELRWRGNPANLLLAEKMTPSNVNALEDAFDSAINRYRPRVIKALQKERRATSVDCRLNSHNQGGPGMESQGSDVFDITEAPKSNKMLRVRKSGESSFSDLLISHAVAIDSGVPRRPPAGKRTNDQANYPKLIELLINSSDYSSNLLYEITGGKLGAPPLEGTDFTDTRVTEMTSAVQEFRGGFRAALRDLQGFHIFLLTLHQKLDSVLRVFLNITNKTSGDCDRDDLVVPESPSHVVVHCPSPPSKERFLNDNHPEFSDSDSQRIAQTPRSSSGNKECSDSSSPMSRESWHGKFSKGSVEPLRCLRLTTKLRDIHKFAKVDNESNKELEQWNEMLRNDVIKLCQENNFQTGFFEGSDSNCVVDAYELKVRLEHILERISLISEAANTEKPSSITNSLFIGGALAARSVHTLQHLGITHILCLCGNEIGQSESQHPDLFQYKNFSITDDEDSNISCIFEEASDFIDHVESVGGRVLVHCFEGRSRSATLVLAYLMLRKKFTLLEAWNALRQVHRRAQPNDGFARILLDLDQRLHGKVSMEWQRRKPEMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKMTRSGSVSPTLRQSSPAIDD >Potri.015G105000.1.v4.1 pep chromosome:Pop_tri_v4:15:12371151:12378655:1 gene:Potri.015G105000.v4.1 transcript:Potri.015G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105000.v4.1 MMSKDEKEASLSVINNTIEVQEKEEERELDLGSEELDPPLPLTVTSRVLYMLGDITAGPAYRFAQWLELVRKRSGKYRASGFPHRPYRLETMPSSRGESLVDSKSPPPEQSPEISLWDRLGKAAALDIELSSFSWDMLSSLHHTEHNSSNENSEDEMSKALEVTVNSGGVVFFALFNQPGNVDAFHKEAAAVIKFSSSRMATQSERLGYEFAKWLGVQTPQARVIHNCSPEWLQIKEAGEKARVAAALEGDEVGEVTCSELLEALELSRCLILMSYVHGSPLLESSNSFESRETAERIAAAIGRVFLLDLVIRNEDRLPCRELRWRGNPANLLLAEKMTPSNVNALEDAFDSAINRYRPRVIKALQKERRATSVDCRLNSHNQGGPGMESQGSDVFDITEAPKSNKMLRVRKSGESSFSDLLISHAVAIDSGVPRRPPAGKRTNDQANYPKLIELLINSSDYSSNLLYEITGGKLGAPPLEGTDFTDTRVTEMTSAVQEFRGGFRAALRDLQGFHIFLLTLHQKLDSVLRVFLNITNKTSGDCDRDDLVVPESPSHVVVHCPSPPSKERFLNDNHPEFSDSDSQRIAQTPRSSSGNKECSDSSSPMSRESWHGKFSKGSVEPLRCLRLTTKLRDIHKFAKVDNESNKELEQWNEMLRNDVIKLCQENNFQTGFFEGSDSNCVVDAYELKVRLEHILERISLISEAANTEKPSSITNSLFIGGALAARSVHTLQHLGITHILCLCGNEIGQSESQHPDLFQYKNFSITDDEDSNISCIFEEASDFIDHVESVGGRVLVHCFEGRSRSATLVLAYLMLRKKFTLLEAWNALRQVHRRAQPNDGFARILLDLDQRLHGKVSMEWQRRKPEMKVCPICGKNAGLSSSSLKLHLQKAHKKLSSGSVDSAMTMEIQKALDALKMTRSGSVSPTLRQSSPAIDD >Potri.006G126500.1.v4.1 pep chromosome:Pop_tri_v4:6:10143718:10144488:1 gene:Potri.006G126500.v4.1 transcript:Potri.006G126500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126500.v4.1 MKNTKRNLLVASLNKWRKMGSRAMLCCEYQWGLWPSMHEGKSIPRDVPKGHLVVYVGENNKRFVIKITLLKNPLFKALLDQAQDENDFTADSKLCIPCDESIFLDVVRCAGSPEDRKSCFSL >Potri.014G041200.1.v4.1 pep chromosome:Pop_tri_v4:14:2691266:2694824:-1 gene:Potri.014G041200.v4.1 transcript:Potri.014G041200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041200.v4.1 MFETMESSVNGGGVGGGFSHLQSCGDSSEEELSVLPRHTKVVVTGNNRTKSVLVGLQGVVKKAVGLGGWHWLVLTNGIEVKLQRNALSVIEAPTGNEDDDDLEFDNVQWNGLDMGELASYDTQKSHRSRNRTHKSTGSSHKTMSRSLSCDSQSKGSVSTPRGTTKVDLSKLEMAALWRYWRHFNLVDAIPNPSKEQLIDVVQRHFMSQQMDELQVIVGFVQAAKRLKTVCK >Potri.003G150100.4.v4.1 pep chromosome:Pop_tri_v4:3:16338076:16343106:-1 gene:Potri.003G150100.v4.1 transcript:Potri.003G150100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150100.v4.1 MKGTCFLEFISVFSLLACVGMVLTNNSTDQTSLLALKDKIVNDSHNVLANNWSTTASVCSWIGVTCGAPRDRVSGLNLSHMSLSGYIPSEIGNLSFLAFLSIRNNTFHGSLPNELAHLLHLEYLDFGFNSFTGDIPPSLGSLPKLKSLLLEANFFLGNLPLSLWNISSLQTINISYNQLHGFMPSSIFSRSSLYTIDLSFNHLSGEIPADIFNHLPELRGIYFSRNRLSGSIPRTIGNCTLIEEINFSENNLTGVLPPELGGLTNLKTLRMDDNALIDNVPSALFNISAIEVIGMYANLLSGSLPPTMGLFMPNLRELRLGGNELEGTIPSSISNASTLAVVDLSNNSFTGLIPGTIGNLRQLQVLNVANNHLTSEFSTPQLSILSALENCKNLRRIYLSVNPLNTTLPISFGNLSSSLEQFWADDCNLKGNIPNTIGNLSSLIALSLANNELASVVPTATERLTNLQLLDLQGNQLEGNITDNLCHSDSLFDLSLGGNKLSGSIPECLGNLTTLRHLNLSSNNFTSTIPLSLGNLAGILVLNLSSNFLSGSLPLVFRQLMVAEEIDLSRNQLSGQIPNNTWDLKNLAYLSLATNRLQGPIPGSLSFAVSLEFLDLSHNSLSGLIPKSLETLLHLKYFNVSFNGLEGEIPSEGPFRNFSAQSYMMNNGLCGAPRLQVAPCKIGHRGSAKNLMFFIKLILSITLVVLALYTILFLRCPKRNMPSSTNIITYGRYTCRELRLATDGFDEGNVIGSGNFGTVYKGTLSDGKVVAIKVFDVEDERSLSSFDVEYEVMCNASHPNLITIFCSLNGINFKALVMEYMVNGSLEKWLHTHNYHLDILQRLDVMIDTAAAIKHLHYDCLRTIIHCDLKPSNILLDEDMIARVSDYSISMILDPDEQGSAKQSKFLCTIGYVAPECGLYGTVSEKSDVYSFGILLMETFTGKKPTDEMFYREMSLKNWVEESLVQNHIARVIDPCLMENEEEYFDAKITCLSLIMRLAQLCCSESPAHRLNMKQVVDMLKDIKQSFVASISGDIHGKFILEILRTDRIRNNMEKFAKWRRESVLDA >Potri.018G145540.1.v4.1 pep chromosome:Pop_tri_v4:18:15317502:15320119:-1 gene:Potri.018G145540.v4.1 transcript:Potri.018G145540.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145540.v4.1 MDDEVSTIGIYGMGGVGKTTMMKHIHNKLLERLGISYCVYWVTVSRDFSIERLQNLIAKCLGFDLSSEDDDLRRAVKLSKELRKKQKWILILDDLWNTFELHEVGIPDPVKGCKLIMTTRSERVCQRMDSQNKIKVKPLSESEAWDLFNEKLGHGITFCQDVERIAVDIARECAGLPLGIIAIAGSLRRVDDLHEWRNTLKKLKKSKCRDMEDKVFRLLRFSYDQLHDLALQQCLLNCALFPEDHEIVRKELIDYLIDEGVIERVESRQEAVDEGHTMLNRLESVCLLEGAKNMYGDRCVKMHDLIRDMAIQILQENSQGMVKAGARLREVPGAEEWTENLTRVSLMHNQIEEIPSTHSPRCPSLSTLLLCDNSQLQFIADSFFEQLHGLKVLDLSRTGITKLPDSVSELVSLTALLLIDCKMLRHLPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPPATKDNRRGQPAPVTVKGKEVGKFGMPF >Potri.007G058050.1.v4.1 pep chromosome:Pop_tri_v4:7:6150041:6156578:-1 gene:Potri.007G058050.v4.1 transcript:Potri.007G058050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G058050.v4.1 MEDIDWKKKKNKQRWSVTYTKHIKQKRKIYQDGFLDLHFSTNKVMLFDECEKLLECRILKDEEVVSSSETLTFNSFLVDVGHPEVGSDNNSNNKLPVSDLNFHGRDRKTTERFGFMRRQKFRNPSISSPGGEDTVEKNEARPDCLSTSQKIIKEIKKSELRRYVVPESSPDMSKSATEWQVLYTTQMTQKTKKYHDGFLRLASRESLGTQIMLYDASKRQLDCRFLKKDEIISSGESISFDAHLVDIGEPGGENQLLEDLNIQGNNSNDASKPGTMHGQPNGIKDNKSVAKEWCALYTSHITQKAKKYHSGILRLASCGSYRMQVTLLSEGKTFLTSKFLSLSEDVKVGSKLALPKYLVEVGEPLMSSEGKYQNTYLREDANSRSISIEDRTKLCNAVPTNKTLRNACQILSILQKPAVQGSVAVQCIDKSINASTLSEDSELSRQSFPHEGPSQNLDDGGSSKIVDIEISADLNFSEVLSTFSGNQFPNDTEAAGNFEQCHPAKVEADTKCCDEAFASSISSLMGSCTHCPNDDKKKTLDQPKSARKMDEWPTFDLGF >Potri.007G069901.1.v4.1 pep chromosome:Pop_tri_v4:7:9078215:9078930:-1 gene:Potri.007G069901.v4.1 transcript:Potri.007G069901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069901.v4.1 MQFLFNNVVFFRQPLLHQLSLFFLPFNVLMLPLQPLQLHQTLLSFRPFTVILASTTNIFVIPALHHFLHRLENNNVIPSKFCDCDWKEGFKNSLQHHDGKINQDGFVYVKSSRKTAS >Potri.016G014600.1.v4.1 pep chromosome:Pop_tri_v4:16:775700:777360:1 gene:Potri.016G014600.v4.1 transcript:Potri.016G014600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014600.v4.1 MEDGEMYNMMKVWLSIFASLTYCYIMGKMIPRGFTRLLCVLPIVCLFLVLPLELTSIHFGGMTAFFVAWLANFKLLLFAFGKGPLCLDPKISFGRFVSVACFPIKILQIPPQKPNLNGHSHENQAQKSLLNGQDRQKLISRKGPHKSFLNYATKGLLLAMLVATYDYSDYIHPKIMWLLYCLHIYFFLETFLAMLASVVRTLSGLELEPQFNDPYLSTSLQDFWGRRWNLMVTSILRPIAYDPILYTCKNFIGRRWAQGIAILGTFLVSALMHELIFYYLGRGKPTWEITWFFLLHGVCLPVEIVLKRAVNGRWQFPRPMQTILTIGFVIATGFWLFFPPFLACKAGVRAIEEYAAVREYLKEAGHAYFPWIFQQI >Potri.001G316300.2.v4.1 pep chromosome:Pop_tri_v4:1:32671109:32672217:-1 gene:Potri.001G316300.v4.1 transcript:Potri.001G316300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G316300.v4.1 MKQNGDLSPGDCSDPSKTYIRALKARFHDQPEKFHSFYKVMIDIMTQRVQPDGPGGIPDDAPARLKAILEGHNDLIYGLNFFLPPSHRVSLDDGMEIEPVTAEGAVLSGLEDAKDLIKEAKMRGEKVYEAFKETLLSTSQKRSFDDVCSDVVELFIDDPDLLERFRQFMPVYEPTPLASYAPNSQIPMNIN >Potri.010G152200.15.v4.1 pep chromosome:Pop_tri_v4:10:16173786:16181648:-1 gene:Potri.010G152200.v4.1 transcript:Potri.010G152200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152200.v4.1 MEQGLQKPNSQSSDSLDCLFDDRGESSPPKYSTVTGVKEEPDVFDEVYDDKRVSLLKMNFPAKEVELAMDKLGENAPINEIIDFIIAAQIANNLDRETEDMPDIDAENKEDVNDETLYGTMDKTLCLLNMGFSENEVSLAIDKFGSEVPVTELANAICAHQLGETYVIKKKYSENSTASCSSAAEDSRSFGVETENNTRHHSFSWVKSETEDCRRDAILQSRDMNTKETRKGKRPRQEHIEGYQEAQPRHDSLEENCAGEQPKQEYDYGSSSYFEHEWVEEKVNSDTTTFGMPKPFKCNPCKILDQIAAKPPYFFYGNVATASSDTWGKISQFLYGIEPEFVDTQFFSALSRREGYIHNLPTENRSHILPKPPITLEDLMPSTKKWWPSWDARKKMSCRNFDSSGSSQLCDMLGRMLDDSRGLLSAEQQRDLLRHCQALNLMWVGPNKLSPLESAHLEKILGYPLNHTLIADYPLTERMYSLRYSFQTDTLGYHLSVLKSIFPQGITVLSLFSGIGGAEITLHRLGIHLKGVVSVETSETNRRVLKRWWYSSGQTGRLEQIEDIRKLTSSTVERLVENFVCFDFVICQNSFTRPSKIPGVGSGLESQHFFDFTLFNEFVRVLQRVRSAIERKR >Potri.010G152200.16.v4.1 pep chromosome:Pop_tri_v4:10:16172750:16175224:-1 gene:Potri.010G152200.v4.1 transcript:Potri.010G152200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152200.v4.1 MNTKETRKGKRPRQEHIEGYQEAQPRHDSLEENCAGEQPKQEYDYGSSSYFEHEWVEEKVNSDTTTFGMPKPFKCNPCKILDQIAAKPPYFFYGNVATASSDTWGKISQFLYGIEPEFVDTQFFSALSRREGYIHNLPTENRSHILPKPPITLEDLMPSTKKWWPSWDARKKMSCRNFDSSGSSQLCDMLGRMLDDSRGLLSAEQQRDLLRHCQALNLMWVGPNKLSPLESAHLEKILGYPLNHTLIADYPLTERMYSLRYSFQTDTLGYHLSVLKSIFPQGITVLSLFSGIGGAEITLHRLGIHLKGVVSVETSETNRRVLKRWWYSSGQTGRLEQIEDIRKLTSSTVERLVENFVCFDFVICQNSFTRPSKIPGVGSGLESQHFFDFTLFNEFVRVLQRVRSAIERKR >Potri.010G152200.12.v4.1 pep chromosome:Pop_tri_v4:10:16172978:16181692:-1 gene:Potri.010G152200.v4.1 transcript:Potri.010G152200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152200.v4.1 MEDPNRSRSSSDWNNNNKNLIKPKHEKLDFDLAFESLRSREVGDNVASSSRSYLRSFFTEMGYSPSLVNRVIEENGEDNVDLLLEILMECSGLQKPNSQSSDSLDCLFDDRGESSPPKYSTVTGVKEEPDVFDEVYDDKRVSLLKMNFPAKEVELAMDKLGENAPINEIIDFIIAAQIANNLDRETEDMPDIDAENKEDVNDETLYGTMDKTLCLLNMGFSENEVSLAIDKFGSEVPVTELANAICAHQLGETYVIKKKYSENSTASCSSAAEDSRSFGVETENNTRHHSFSWVKSETEDCRRDAILQSRDMNTKETRKGKRPRQEHIEGYQEAQPRHDSLEENCAGEQPKQEYDYGSSSYFEHEWVEEKVNSDTTTFGMPKPFKCNPCKILDQIAAKPPYFFYGNVATASSDTWGKISQFLYGIEPEFVDTQFFSALSRREGYIHNLPTENRSHILPKPPITLEDLMPSTKKWWPSWDARKKMSCRNFDSSGSSQLCDMLGRMLDDSRGLLSAEQQRDLLRHCQALNLMWVGPNKLSPLESAHLEKILGYPLNHTLIADYPLTERMYSLRYSFQTDTLGYHLSVLKSIFPQGITVLSLFSGIGGAEITLHRLGIHLKGVVSVETSETNRRVLKRWWYSSGQTGRLEQIEDIRKLTSSTVERLVENFVCFDFVICQNSFTRPSKIPGVGSGLESQHFFDFTLFNEFVRVLQRVRSAIERKR >Potri.010G152200.13.v4.1 pep chromosome:Pop_tri_v4:10:16173786:16181767:-1 gene:Potri.010G152200.v4.1 transcript:Potri.010G152200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152200.v4.1 MEDPNRSRSSSDWNNNNKNLIKPKHEKLDFDLAFESLRSREVGDNVASSSRSYLRSFFTEMGYSPSLVNRVIEENGEDNVDLLLEILMECSGLQKPNSQSSDSLDCLFDDRGESSPPKYSTVTGVKEEPDVFDEVYDDKRVSLLKMNFPAKEVELAMDKLGENAPINEIIDFIIAAQIANNLDRETEDMPDIDAENKEDVNDETLYGTMDKTLCLLNMGFSENEVSLAIDKFGSEVPVTELANAICAHQLGETYVIKKKYSENSTASCSSAAEDSRSFGVETENNTRHHSFSWVKSETEDCRRDAILQSRDMNTKETRKGKRPRQEHIEGYQEAQPRHDSLEENCAGEQPKQEYDYGSSSYFEHEWVEEKVNSDTTTFGMPKPFKCNPCKILDQIAAKPPYFFYGNVATASSDTWGKISQFLYGIEPEFVDTQFFSALSRREGYIHNLPTENRSHILPKPPITLEDLMPSTKKWWPSWDARKKMSCRNFDSSGSSQLCDMLGRMLDDSRGLLSAEQQRDLLRHCQALNLMWVGPNKLSPLESAHLEKILGYPLNHTLIADYPLTERMYSLRYSFQTDTLGYHLSVLKSIFPQGITVLSLFSGIGGAEITLHRLGIHLKGVVSVETSETNRRVLKRWWYSSGQTGRLEQIEDIRKLTSSTVERLVENFVCFDFVICQNSFTRPSKIPGVGSGLESQHFFDFTLFNEFVRVLQRVRSAIERKR >Potri.010G152200.14.v4.1 pep chromosome:Pop_tri_v4:10:16173677:16181639:-1 gene:Potri.010G152200.v4.1 transcript:Potri.010G152200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152200.v4.1 MEDPNRSRSSSDWNNNNKNLIKPKHEKLDFDLAFESLRSREVGDNVASSSRSYLRSFFTEMGYSPSLVNRVIEENGEDNVDLLLEILMECSGLQKPNSQSSDSLDCLFDDRGESSPPKYSTVTGVKEEPDVFDEVYDDKRVSLLKMNFPAKEVELAMDKLGENAPINEIIDFIIAAQIANNLDRETEDMPDIDAENKEDVNDETLYGTMDKTLCLLNMGFSENEVSLAIDKFGSEVPVTELANAICAHQLGETYVIKKKYSENSTASCSSAAEDSRSFGVETENNTRHHSFSWVKSETEDCRRDAILQSRDMNTKETRKGKRPRQEHIEGYQEAQPRHDSLEENCAGEQPKQEYDYGSSSYFEHEWVEEKVNSDTTTFGMPKPFKCNPCKILDQIAAKPPYFFYGNVATASSDTWGKISQFLYGIEPEFVDTQFFSALSRREGYIHNLPTENRSHILPKPPITLEDLMPSTKKWWPSWDARKKMSCRNFDSSGSSQLCDMLGRMLDDSRGLLSAEQQRDLLRHCQALNLMWVGPNKLSPLESAHLEKILGYPLNHTLIADYPLTERMYSLRYSFQTDTLGYHLSVLKSIFPQGITVLSLFSGIGGAEITLHRLGIHLKGVVSVETSETNRRVLKRWWYSSGQTGRLEQIEDIRKLTSSTVERLVENFVCFDFVICQNSFTRPSKIPGVGSGLESQHFFDFTLFNEFVRVLQRVRSAIERKR >Potri.018G144501.1.v4.1 pep chromosome:Pop_tri_v4:18:14951967:14953328:1 gene:Potri.018G144501.v4.1 transcript:Potri.018G144501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144501.v4.1 MVLNPCLLLLFQLLLRIEAAKRGKEKERLTWSCYLKTKTMVMEGWLTNVTFFPSTSVFRSLHLCSCFSLLVFSLFFFFFFAFSCSLCLSLFLFLLVSLCIICVCSFRLCFFFFCIICLWFSPFSWPFSIRPGFSGFVFGWLDQQPTVRGS >Potri.016G068800.2.v4.1 pep chromosome:Pop_tri_v4:16:4892239:4904047:-1 gene:Potri.016G068800.v4.1 transcript:Potri.016G068800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068800.v4.1 MDPAKTSLGKMLLEEITPVVMVLRTPLVEESCLKNSLSFIEMLSPFCDFNNIDVPVRTSSDQPYRLQKFKLRLFYESDIKQPDIVVAKERLKQVITEAGEKDRSDLSTDPLDISNVLASSKSEISPSWFEIFNKELVRTVSFSEHEAFDHPVACVSVVSSKDEQPINKFVDLFNTNKLPSLLNDGAMDPKILKHYVLVHDNKDGPSEKATKILTEMKNTFGFNGCHLLCINSSQDEQIEHQDNPWVPYKFDSSPSQDLGCYLNIDDFNEIKDLIQELSSKHIIPYMEQKVRVLNQQISATRKGFKNQIKNLWWRKGKEDTPDSSNGPMYTYSSVESQIRVLGDYAFMLGDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFMLDQSRKEADNCMENAFNTYLKLGSSGRQNATRCGLWWIEMLKMKDQFKEAATVYFRICSEELLHSAVMLEQASYCYLLSQPPMLHKYGFHLVLSGDRYKKCDQIKHAIRTYRNAVSVYKGTPWSYIKDHVHFHIGQCYGFLGMYDVAFTHMLEVLACSHQSKATQELFLREFLQIVQKAGKTFEVLRLQLPVINISSLKVDFEDHRTYALPGSTSVKESVWRSLEEDMIPSLPTVRTNWLELQSKLLPKYKESNICVAGEAIKIAIEFKNPLEIPISISSVSLICELSATSDETNSDASCSTAGIWNNEEHENLREIISDTSSFSLSEVNISLGGGEANLVQLTVTPKVEGILKIVGVRWKLSGSVVGFYSFGSNYVKKKIAKGRRKAKQSPGNYLKFIVIQSLPKLEGFIHALPEKAYAGHLQNLVLELRNRSEVSVKNLKMKTSHPRFLNIGKQEDLDLEFPACLEKKTNVSPPANPKIASHGVFLFPEDLSVQGENPLLWPLWFRAAVPGNISLQVVIYYEMGDQSSAMRYRILRMHYNLQVLPSLDVSFKISPYPSRLQEFLVHMDVVNKTNSESIQVNQLSTIGSHWEISLLQPIDTIFPSQSLIAGQAFSCFFVLKSCRKSLSTEESTSSLFPHIGSNVSLVPDGSKGAPFDTSKSPLAGFHDYERLQHGISNQEAENAVDFILISRPLKSNSQPGVADAHHVFSHHACHCSTASTSPISWVVDGPRTRHHDFSSSFCEINFRMTIYNSSNALASIILKTLDSTSISDQLSDEASGNQVGWHDVSLAKDSKIESDALRNHVRKSLLPESVSPFIWSGSSSTGVQIKPLSTTEIPLQICVFSPGTYDLSNYVLNWNLIPVNDHESVGERIQSSGTSLGYPYYLTVLPSD >Potri.016G068800.1.v4.1 pep chromosome:Pop_tri_v4:16:4892239:4903952:-1 gene:Potri.016G068800.v4.1 transcript:Potri.016G068800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068800.v4.1 MDPAKTSLGKMLLEEITPVVMVLRTPLVEESCLKNSLSFIEMLSPFCDFNNIDVPVRTSSDQPYRLQKFKLRLFYESDIKQPDIVAKERLKQVITEAGEKDRSDLSTDPLDISNVLASSKSEISPSWFEIFNKELVRTVSFSEHEAFDHPVACVSVVSSKDEQPINKFVDLFNTNKLPSLLNDGAMDPKILKHYVLVHDNKDGPSEKATKILTEMKNTFGFNGCHLLCINSSQDEQIEHQDNPWVPYKFDSSPSQDLGCYLNIDDFNEIKDLIQELSSKHIIPYMEQKVRVLNQQISATRKGFKNQIKNLWWRKGKEDTPDSSNGPMYTYSSVESQIRVLGDYAFMLGDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFMLDQSRKEADNCMENAFNTYLKLGSSGRQNATRCGLWWIEMLKMKDQFKEAATVYFRICSEELLHSAVMLEQASYCYLLSQPPMLHKYGFHLVLSGDRYKKCDQIKHAIRTYRNAVSVYKGTPWSYIKDHVHFHIGQCYGFLGMYDVAFTHMLEVLACSHQSKATQELFLREFLQIVQKAGKTFEVLRLQLPVINISSLKVDFEDHRTYALPGSTSVKESVWRSLEEDMIPSLPTVRTNWLELQSKLLPKYKESNICVAGEAIKIAIEFKNPLEIPISISSVSLICELSATSDETNSGDHNFNVDADASCSTAGIWNNEEHENLREIISDTSSFSLSEVNISLGGGEANLVQLTVTPKVEGILKIVGVRWKLSGSVVGFYSFGSNYVKKKIAKGRRKAKQSPGNYLKFIVIQSLPKLEGFIHALPEKAYAGHLQNLVLELRNRSEVSVKNLKMKTSHPRFLNIGKQEDLDLEFPACLEKKTNVSPPANPKIASHGVFLFPEDLSVQGENPLLWPLWFRAAVPGNISLQVVIYYEMGDQSSAMRYRILRMHYNLQVLPSLDVSFKISPYPSRLQEFLVHMDVVNKTNSESIQVNQLSTIGSHWEISLLQPIDTIFPSQSLIAGQAFSCFFVLKSCRKSLSTEESTSSLFPHIGSNVSLVPDGSKGAPFDTSKSPLAGFHDYERLQHGISNQEAENAVDFILISRPLKSNSQPGVADAHHVFSHHACHCSTASTSPISWVVDGPRTRHHDFSSSFCEINFRMTIYNSSNALASIILKTLDSTSISDQLSDEASGNQVGWHDVSLAKDSKIESDALRNHVRKSLLPESVSPFIWSGSSSTGVQIKPLSTTEIPLQICVFSPGTYDLSNYVLNWNLIPVNDHESVGERIQSSGTSLGYPYYLTVLPSD >Potri.016G068800.7.v4.1 pep chromosome:Pop_tri_v4:16:4892240:4904004:-1 gene:Potri.016G068800.v4.1 transcript:Potri.016G068800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068800.v4.1 MDPAKTSLGKMLLEEITPVVMVLRTPLVEESCLKNSLSFIEMLSPFCDFNNIDVPVRTSSDQPYRLQKFKLRLFYESDIKQPDIVAKERLKQVITEAGEKDRSDLSTDPLDISNVLASSKSEISPSWFEIFNKELVRTVSFSEHEAFDHPVACVSVVSSKDEQPINKFVDLFNTNKLPSLLNDGAMDPKILKHYVLVHDNKDGPSEKATKILTEMKNTFGFNGCHLLCINSSQDEQIEHQDNPWVPYKFDSSPSQDLGCYLNIDDFNEIKDLIQELSSKHIIPYMEQKVRVLNQQISATRKGFKNQIKNLWWRKGKEDTPDSSNGPMYTYSSVESQIRVLGDYAFMLGDYELALSNYRLISTDYKIDKAWKRYAGVQEMMGLTYFMLDQSRKEADNCMENAFNTYLKLGSSGRQNATRCGLWWIEMLKMKDQFKEAATVYFRICSEELLHSAVMLEQASYCYLLSQPPMLHKYGFHLVLSGDRYKKCDQIKHAIRTYRNAVSVYKGTPWSYIKDHVHFHIGQCYGFLGMYDVAFTHMLEVLACSHQSKATQELFLREFLQIVQKAGKTFEVLRLQLPVINISSLKVDFEDHRTYALPGSTSVKESVWRSLEEDMIPSLPTVRTNWLELQSKLLPKYKESNICVAGEAIKIAIEFKNPLEIPISISSVSLICELSATSDETNSDASCSTAGIWNNEEHENLREIISDTSSFSLSEVNISLGGGEANLVQLTVTPKVEGILKIVGVRWKLSGSVVGFYSFGSNYVKKKIAKGRRKAKQSPGNYLKFIVIQSLPKLEGFIHALPEKAYAGHLQNLVLELRNRSEVSVKNLKMKTSHPRFLNIGKQEDLDLEFPACLEKKTNVSPPANPKIASHGVFLFPEDLSVQGENPLLWPLWFRAAVPGNISLQVVIYYEMGDQSSAMRYRILRMHYNLQVLPSLDVSFKISPYPSRLQEFLVHMDVVNKTNSESIQVNQLSTIGSHWEISLLQPIDTIFPSQSLIAGQAFSCFFVLKSCRKSLSTEESTSSLFPHIGSNVSLVPDGSKGAPFDTSKSPLAGFHDYERLQHGISNQEAENAVDFILISRPLKSNSQPGVADAHHVFSHHACHCSTASTSPISWVVDGPRTRHHDFSSSFCEINFRMTIYNSSNALASIILKTLDSTSISDQLSDEASGNQVGWHDVSLAKDSKIESDALRNHVRKSLLPESVSPFIWSGSSSTGVQIKPLSTTEIPLQICVFSPGTYDLSNYVLNWNLIPVNDHESVGERIQSSGTSLGYPYYLTVLPSD >Potri.006G103600.1.v4.1 pep chromosome:Pop_tri_v4:6:7969610:7970844:1 gene:Potri.006G103600.v4.1 transcript:Potri.006G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103600.v4.1 MEDGVPEAESLLHPKEEDDDEKDNHQESGEERPSGSFINHIFSNLVSRGEAEHREEEGKEEENEEKGGGLLDSIIPNLVSPSSKKEEQNEAFQVRDGEGTSEDQVKKKQKVTTVVDEANERVKAEEEGGGGIIDHIVSHFPTSLPDDAVPTTDEAAILIHSIIHD >Potri.016G005600.1.v4.1 pep chromosome:Pop_tri_v4:16:280653:282584:-1 gene:Potri.016G005600.v4.1 transcript:Potri.016G005600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005600.v4.1 MDRGGFHGYRKHANTSSEINMRLAEINHSTTNKFHTTTDDISECTVREQDRFMPIANVIRIMRKMLPPHGKISDDAKETIQECVSEFISFITSEANERCQREQRKTITAEDVLYAMSKLGFDDYIEPLTIYLHRYRELEGERGSMRGEPLMKTRNSNNNNNVDQYGTLMAGAGAAYAPAFHVSHHHHPHHHGFFGGAPIGAGYMTMRDANSNASSSHSAALANVEAFAQHQ >Potri.007G078350.1.v4.1 pep chromosome:Pop_tri_v4:7:10308581:10309735:-1 gene:Potri.007G078350.v4.1 transcript:Potri.007G078350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078350.v4.1 MGCCFSHTNTTKRGPSHPKPPSRHHHHSPPQPHKPNVTATRTPPPLEEETVKEVLSETPIIVKPQKTTPVSTTAAATTTTQTQEPKILTQKNNKKHQEDQEISQASEICSNITDTLSTATTTTAATTTTITDIREDEVTSKKKVNKSPAKVPKKRPHTGDRERVPKPPAKTTGQVMIRTAAGQRNVGSSGVRRDFGRSPGTRTAGGVGRGRVGSSPGKVTGEAGGRSVERKKEETVNGTVLMQQQQEGNESLENPLVSLEGFIFL >Potri.011G080000.1.v4.1 pep chromosome:Pop_tri_v4:11:8602564:8606189:-1 gene:Potri.011G080000.v4.1 transcript:Potri.011G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080000.v4.1 MHSDRYFRSKEAMPPLTNQVDNNNMHFPVATTFAAVQPLAAKHLMPVHGIEFQSSEICPRNFIIFDQADHRSQVMYNPAVAHNHGGPDFNIHATYIQENFERTDTYNVEREISSSLKEDSDDIDALMSLEEEEPEECDGEEVSTARTCRNYGSSSPDSCSSYGAKPMKNGSSVQKCSSSGSSSNSERKRQKMKTMVKTLRGIVPGGDQMNTVTVLDEAVRYLKSLKVEVQKLGVGNFKN >Potri.001G447766.1.v4.1 pep chromosome:Pop_tri_v4:1:47318877:47319671:1 gene:Potri.001G447766.v4.1 transcript:Potri.001G447766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G447766.v4.1 MAAPTSISFAVRRCEPELVAPAKATPHEFRQLSDIDRQLYLQFQSPGYSLYAHNPSMQGKDPVKVIKEAIAQALVYYYPFAGRIRQGPDNKLIVECTGEGVLFIEADADATVEQFGDPIPSPFPCFQELLYSVPGSEEILNTPLLLFQVTRLKCGGFVLGFRFNHPMTDALGIVQLLNAIGEIARGAQSPSILPVWQRELLCARNPPRVT >Potri.006G107900.3.v4.1 pep chromosome:Pop_tri_v4:6:8379441:8383943:1 gene:Potri.006G107900.v4.1 transcript:Potri.006G107900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107900.v4.1 MGEGVSVNAAAMHTAMNAVQALGRGFDVNYDTRLLYCKGVTGSKVVEIDQEHARDLLLCGGFVVPNVSRDIKNSLVPIGRQSSGVRTFQEMVEYFNQKANLSGGLPLGCFNSAFSFTGSKHIDAAVSKTLSMDGYYIPLAKVQLMRSPLVLHENVKRAVPTCWDPPSLASFIENFGTHVITSVTIGGKDVIYVKQHQSSPLSTLEIKHYVQDIGNQRFSDMEGHTSSGPMKLKDKGGDSGIFNSQGIYPQPTSAPYLTGKEHVTVIFCRRGGDDLEQNHIKWARTVQSSPDVIEMSFVPITDLLVGAPGKEHLCRAIALYLEYKPQIEELRYFLEFQIPRIWAPVQDNFPGHQRKEPVCPSLQFSMMGQKLYVSQEQISVGRKPVTGLRLCMEGAKQNRLRIHLQHLASLPKILLPYWDTHFAIGAPKWQGPEEQDSRWFEPVKWMNFSHVSTAPVENPETFIGDQSGVNIVTGAQLGVWDFGSRNVLYMKLLYSRIPGCTIRRSLWDHMPNDKSKKFPAVNNTNSGDTSSASRGNVAGNKLAKFVDMSKMRKGPQDPPGHWLVTGGKLGVEKGRIALRVKYSLLNY >Potri.015G010100.2.v4.1 pep chromosome:Pop_tri_v4:15:657703:659165:1 gene:Potri.015G010100.v4.1 transcript:Potri.015G010100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010100.v4.1 MENALATFSASTVTTILLLAASTLFIQGVEGSIGVNYGTLANNLPPPAQVAHFLLESTIINRVRLFDASTEILRAFAHTGIAVTVTVTNDQIPHLTNIGFAQEWLKSNVQPHVRTTNIVRILVGNEVISTANKLLIASLVPAMQALHAALVDASLDSRIKISTPHSLGILSSSSPPSGGKFRQGYDTHVLKPVLSFLRATNSPFMINPYPFFGSSPETLDYALFRTSSGVFDENTKLSYTNMLDAQLDAVFSAMKLLGFSDIEIVISETGWPSLGDSSQVGVDAESAAQYNRNLMQHVTSGAGTPLMPNRTFETYIFALFNEDLKPGPTCERNFGLFLPDMTPVYDIGILRSTVAAASKFHHATYISVLTIGLLIISNHGDNMLC >Potri.004G221700.1.v4.1 pep chromosome:Pop_tri_v4:4:22666069:22670829:-1 gene:Potri.004G221700.v4.1 transcript:Potri.004G221700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G221700.v4.1 MEAHHHHHQFTHNIFERRPIIRSKTPAVKWFKEWVPQDVVATGGRCSLFKWVTENQLNALKEKAKEPQAPEPEPEPTTEVLFLCSFEGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPECGKRYAHEYKLKNHIGSHHEKNPTPEVVKYATPPERIPKNAKTPPGVYGSASSDRPYICPYEGCEKDYIHEYKLKLHLRREHPGHMADENAENATPNADNELDEASDQDAYGGKRVNGKSQKQSRSKPNLKMPPVKIRQRKGSSPSPATLNVVKKPWTIKDETYEEEEDSEETEEEDRDNAEDGWRYGGNEDDDEETEDED >Potri.004G221700.5.v4.1 pep chromosome:Pop_tri_v4:4:22665958:22670706:-1 gene:Potri.004G221700.v4.1 transcript:Potri.004G221700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G221700.v4.1 MLQKFLDSSKLKRHFLIHTGERDFICPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYPECGKRYAHEYKLKNHIGSHHEKNPTPEVVKYATPPERIPKNAKTPPGVYGSASSDRPYICPYEGCEKDYIHEYKLKLHLRREHPGHMADENAENATPNADNELDEASDQDAYGGKRVNGKSQKQSRSKPNLKMPPVKIRQRKGSSPSPATLNVVKKPWTIKDETYEEEEDSEETEEEDRDNAEDGWRYGGNEDDDEETEDED >Potri.005G174600.3.v4.1 pep chromosome:Pop_tri_v4:5:17994146:17999820:1 gene:Potri.005G174600.v4.1 transcript:Potri.005G174600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174600.v4.1 MVRGTNESEPNLSESFRQTSNVGSSVRRRSLSLSRSRSFQVDDDIESENVSEAGDIGDRALHSKRHNEIGSISLSIDSELENGTVFPLSNDNFLRSHGLWAHDSTALNTRSPVLPSAEEIVSPISTDAVVCSREKQEDKEKAFVLPPALEYISCLLYLAVFGILGVLTRYLLQKLFGPGVAGVTSDNYPLYLDLPSNMVGSFLMGWWGVVFKEDISKVSGHLTIGLTTGYLGSLTTFSGWNQKMLDLSVNGHWVFSFVGFLIGLFLAAYSIKFGVGTAKCFKSLFQRSNRSADLASWRVDTPNHHFAVMVVLVVMLGLLWALSGALLKEEYNHDSSGAQLWLGCIVAPLGVWIRWFLARLNGRGLGKAGSLKWIPFGTLIANVSAACIMAALSTVKKAVHTKTCDTISTGIQFGFLGCLSTVSTFIAEYNAMEESQKSWRAYVYALVTIVVSFGLGTLIYSVPVWSRGYK >Potri.005G174600.1.v4.1 pep chromosome:Pop_tri_v4:5:17994250:18000277:1 gene:Potri.005G174600.v4.1 transcript:Potri.005G174600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G174600.v4.1 MVRGTNESEPNLSESFRQTSNVGSSVRRRSLSLSRSRSFQVDDDIESENVSEAGDIGDRALHSKRHNEIGSISLSIDSELENGTVFPLSNDNFLRSHGLWAHDSTALNTRSPVLPSAEEIVSPISTDAVVCSREKQEDKEKAFVLPPALEYISCLLYLAVFGILGVLTRYLLQKLFGPGVAGVTSDNYPLYLDLPSNMVGSFLMGWWGVVFKEDISKVSGHLTIGLTTGYLGSLTTFSGWNQKMLDLSVNGHWVFSFVGFLIGLFLAAYSIKFGVGTAKCFKSLFQRSNRSADLASWRVDTPNHHFAVMVVLVVMLGLLWALSGALLKEEYNHDSSGAQLWLGCIVAPLGVWIRWFLARLNGRGLGKAGSLKWIPFGTLIANVSAACIMAALSTVKKAVHTKTCDTISTGIQFGFLGCLSTVSTFIAEYNAMEESQKSWRAYVYALVTIVVSFGLGTLIYSVPVWSRGYK >Potri.014G196700.1.v4.1 pep chromosome:Pop_tri_v4:14:17746466:17753688:-1 gene:Potri.014G196700.v4.1 transcript:Potri.014G196700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196700.v4.1 MRIPKKPVSFCLSLFSPEFPRPFDSRISFFSGFHYKTPNFSFAKPKQIHSAGVNQLHMSYKMDKGQKAVLADQRLSSELMESRDGVQEPCIWSSPEGGKDEAELGKRIFCNRSLNMRNIIAVGFDMDYTLAQYKPETFEKLAYEGTIEKLVSNLGYPEELLGWTFNWKYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRELTKEEKVGTYGNTLIRDAFDEPDYALIDTLFSLAEAYLFSQLVDYMDKNPGRVPARVDYARMYKDVRSAVDMCHRDGTLKQMVAKDPIKYINEDKSIVPLLKMLRDSQRATFLVTNSLWDYTNIVMNFLCESRTLDACNFDWLQYFDVVITGSAKPGFFHEESNANLFEVEPESGKLINTDNGTPMAQVGNTSPSITLKKQNERCRVFQGGTVRHLHKLLSIGSSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEAEVKLLWELRDSRKALQLMRSDRDHIEDQIHRLRWSLDFEDLKADQKQEKSALVEDLELQRDHVRIKHQQAQRECHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPNKYYRPGEDFMPHEFNILPM >Potri.014G196700.5.v4.1 pep chromosome:Pop_tri_v4:14:17746543:17753636:-1 gene:Potri.014G196700.v4.1 transcript:Potri.014G196700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196700.v4.1 MRIPKKPVSFCLSLFSPEFPRPFDSRISFFSGFHYKTPNFSFAKPKQIHSAGVNQLHMSYKMDKGQKAVLADQRLSSELMESRDGVQEPCIWSSPEGGKDEAELGKRIFCNRSLNMRNIIAVGFDMDYTLAQYKPETFEKLAYEGTIEKLVSNLGYPEELLGWTFNWKYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRELTKEEKVGTYGNTLIRDAFDEPDYALIDTLFSLAEAYLFSQLVDYMDKNPGRVPARVDYARMYKDVRSAVDMCHRDGTLKQMVAKDPIKYINEDKSIVPLLKMLRDSQRATFLVTNSLWDYTNIVMNFLCESRTLDACNFDWLQYFDVVITGSAKPGFFHEESNANLFEVEPESGKLINTDNGTPMAQVGNTSPSITLKKQNERCRVFQGGTVRHLHKLLSIGSSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEAEVKLLWELRDSRKALQLMRSDRDHIEDQIHRLRWSLDFEDLKADQKQEKSALVEDLELFNGFFEQLQRDHVRIKHQQAQRECHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPNKYYRPGEDFMPHEFNILPM >Potri.014G196700.7.v4.1 pep chromosome:Pop_tri_v4:14:17746356:17753688:-1 gene:Potri.014G196700.v4.1 transcript:Potri.014G196700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196700.v4.1 MSYKMDKGQKAVLADQRLSSELMESRDGVQEPCIWSSPEGGKDEAELGKRIFCNRSLNMRNIIAVGFDMDYTLAQYKPETFEKLAYEGTIEKLVSNLGYPEELLGWTFNWKYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRELTKEEKVGTYGNTLIRDAFDEPDYALIDTLFSLAEAYLFSQLVDYMDKNPGRVPARVDYARMYKDVRSAVDMCHRDGTLKQMVAKDPIKYINEDKSIVPLLKMLRDSQRATFLVTNSLWDYTNIVMNFLCESRTLDACNFDWLQYFDVVITGSAKPGFFHEESNANLFEVEPESGKLINTDNGTPMAQVGNTSPSITLKKQNERCRVFQGGTVRHLHKLLSIGSSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEAEVKLLWELRDSRKALQLMRSDRDHIEDQIHRLRWSLDFEDLKADQKQEKSALVEDLELQRDHVRIKHQQAQRECHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPNKYYRPGEDFMPHEFNILPM >Potri.014G196700.6.v4.1 pep chromosome:Pop_tri_v4:14:17746356:17753688:-1 gene:Potri.014G196700.v4.1 transcript:Potri.014G196700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196700.v4.1 MRIPKKPVSFCLSLFSPEFPRPFDSRISFFSGFHYKTPNFSFAKPKQIHSAGVNQLHMSYKMDKGQKAVLADQRLSSELMESRDGVQEPCIWSSPEGGKDEAELGKRIFCNRSLNMRNIIAVGFDMDYTLAQYKPETFEKLAYEGTIEKLVSNLGYPEELLGWTFNWKYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRELTKEEKVGTYGNTLIRDAFDEPDYALIDTLFSLAEAYLFSQLVDYMDKNPGRVPARVDYARMYKDVRSAVDMCHRDGTLKQMVAKDPIKYINEDKSIVPLLKMLRDSQRATFLVTNSLWDYTNIVMNFLCESRTLDACNFDWLQYFDVVITGSAKPGFFHEESNANLFEVEPESGKLINTDNGTPMAQVGNTSPSITLKKQNERCRVFQGGTVRHLHKLLSIGSSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEAEVKLLWELRDSRKALQLMRSDRDHIEDQIHRLRWSLDFEDLKADQKQEKSALVEDLELQRDHVRIKHQQAQRECHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPNKYYRPGEDFMPHEFNILPM >Potri.014G196700.8.v4.1 pep chromosome:Pop_tri_v4:14:17746543:17753640:-1 gene:Potri.014G196700.v4.1 transcript:Potri.014G196700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196700.v4.1 MSYKMDKGQKAVLADQRLSSELMESRDGVQEPCIWSSPEGGKDEAELGKRIFCNRSLNMRNIIAVGFDMDYTLAQYKPETFEKLAYEGTIEKLVSNLGYPEELLGWTFNWKYMVRGLVVDKKRGNILKMDRHKYVKVAYHGFRELTKEEKVGTYGNTLIRDAFDEPDYALIDTLFSLAEAYLFSQLVDYMDKNPGRVPARVDYARMYKDVRSAVDMCHRDGTLKQMVAKDPIKYINEDKSIVPLLKMLRDSQRATFLVTNSLWDYTNIVMNFLCESRTLDACNFDWLQYFDVVITGSAKPGFFHEESNANLFEVEPESGKLINTDNGTPMAQVGNTSPSITLKKQNERCRVFQGGTVRHLHKLLSIGSSSQVLYVGDHIYGDILRSKKVLGWRTMLVVPELEAEVKLLWELRDSRKALQLMRSDRDHIEDQIHRLRWSLDFEDLKADQKQEKSALVEDLELQRDHVRIKHQQAQRECHQKFHKVWGQLMKTGYQNSRFAHQVERFACLYTSQVSNLSLYSPNKYYRPGEDFMPHEFNILPM >Potri.011G145450.1.v4.1 pep chromosome:Pop_tri_v4:11:17404070:17413352:-1 gene:Potri.011G145450.v4.1 transcript:Potri.011G145450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145450.v4.1 MSNTKENEDGSAHQAPSTLETEQLINAQVPVEKADNSSPPPNPDTVEVDVPDPVDNGNPSPQAEAAADRSTGELNSIPQQPHQDSAPPSPSDDEKSLVTPEIESVEDVKANGNSDLKPETCAGNGIAISSSHIETAKPTVDTENKPSDISQDTSGGDPGAVPKESNEPAAPQAGSSDVEDKVDDKRESDDIDTSPKTNGKLTPRRSFLLDENIGGSVTGTEEEQKDFLSELHSFFSENGMEFKPPKFYGDLLNCLKLWRSVMRLGGYDKVTSCKLWRQVGESFNPPKTCTTVSWTFRGFYEKVLLDYERHITNADAGELSVPIASKSEPKLLKPPKLPKSEPKHVDNKASGSGRTRRDAAARAMQGWHSLRFLGNGEVSSPIVKDKNTIPLQKREKELKNLGLLKRKKPSYVEHAVKSPRSKSARLDVEVTDVGTPADWVKINVQKTEDSFEVYALVPGLLREEVRVQSDPAGRVVISGEPEHEDNPWGVAPFKKVVSLPSRIDPHQTTAVVTLHGQLYVRVPFGQPD >Potri.011G145450.2.v4.1 pep chromosome:Pop_tri_v4:11:17404067:17413291:-1 gene:Potri.011G145450.v4.1 transcript:Potri.011G145450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145450.v4.1 MSNTKENEDGSAHQAPSTLETEQLINAQVPVEKADNSSPPPNPDTVEVDVPDPVDNGNPSPQAEAAADRSTGELNSIPQQPHQDSAPPSPSDDEKSLVTPEIESVEDVKANGNSDLKPETCAGNGIAISSSHIETAKPTVDTENKPSDISQDTSGGDPGAVPKESNEPAAPQAGSSDVEDKVDDKRESDDIDTSPKTNGKLTPRRSFLLDENIGGSVTGTEEEQKDFLSELHSFFSENGMEFKPPKFYGDLLNCLKLWRSVMRLGGYDKVTSCKLWRQVGESFNPPKTCTTVSWTFRGFYEKVLLDYERHITNADAGELSVPIASKSEPKLLKPPKLPKSEPKHVDNKASGSGRTRRDAAARAMQGWHSLRFLGNGEVSSPIVKDKNTIPLQKREKELKNLGLLKRKKPSYVEHAVKSPRSKSARLDVEVTDVGTPADWVKINVQKTEDSFEVYALVPGLLREEVRVQSDPAGRVVISGEPEHEDNPWGVAPFKKVVSLPSRIDPHQTTAVVTLHGQLYVRVPFGQPD >Potri.001G284301.1.v4.1 pep chromosome:Pop_tri_v4:1:23455850:23470482:1 gene:Potri.001G284301.v4.1 transcript:Potri.001G284301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284301.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAVLCDAEVGVIVFSSTGKLYDHANTSMKSIIERYSKQKEECQPLLNPASEVKLWKREAASLGKELQCLKEYHRQLMGEELSGLSIKDLENLENQLEKSMKGVRIKKEQILTDEIKEMSQKGNLIYQENLELHKKVDLIGQENAELRKVYGERNVDEANRASRPPYTVENGYDLHAPIRLQLSQPQPQPHNSEAPASSMKLGLQLQY >Potri.001G284301.4.v4.1 pep chromosome:Pop_tri_v4:1:23455615:23470482:1 gene:Potri.001G284301.v4.1 transcript:Potri.001G284301.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284301.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAVLCDAEVGVIVFSSTGKLYDHANTRQLMGEELSGLSIKDLENLENQLEKSMKGVRIKKEQILTDEIKEMSQKGNLIYQENLELHKKVDLIGQENAELRKVYGERNVDEANRASRPPYTVENGYDLHAPIRLQLSQPQPQPHNSEAPASSMKLGLQLQY >Potri.001G284301.3.v4.1 pep chromosome:Pop_tri_v4:1:23455615:23470482:1 gene:Potri.001G284301.v4.1 transcript:Potri.001G284301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284301.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAVLCDAEVGVIVFSSTGKLYDHANTSMKSIIERYSKQKEECQPLLNPASEVKLWKREAASLGKELQCLKEYHRQLMGEELSGLSIKDLENLENQLEKSMKGVRIKKEQILTDEIKEMSQKGNLIYQENLELHKKVDLIGQENAELRKVYGERNVDEANRASRPPYTVENGYDLHAPIRLQLSQPQPQPHNSEAPASSMKLGLQLQY >Potri.012G087601.5.v4.1 pep chromosome:Pop_tri_v4:12:11292664:11305043:1 gene:Potri.012G087601.v4.1 transcript:Potri.012G087601.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087601.v4.1 MVKGSIRVGRMVKPSTMLYLSTHFSFHCFVAAPVCEVHPDLVLLRTGKGYKLHSPSVRYLASLSIYMILPTQQSAELSLN >Potri.012G087601.1.v4.1 pep chromosome:Pop_tri_v4:12:11292656:11305050:1 gene:Potri.012G087601.v4.1 transcript:Potri.012G087601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087601.v4.1 MVKGSIRVGRMVKPSTMLYLSTHFSFHCFVAAPVCEVHPDLVLLRTGKGYKLHSPSLNMQDIPQRKSVGKSEPRLADRDADGEYMTALPDQPSTSKMDCLNQARSQE >Potri.012G087601.4.v4.1 pep chromosome:Pop_tri_v4:12:11292954:11294089:1 gene:Potri.012G087601.v4.1 transcript:Potri.012G087601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087601.v4.1 MVKGSIRVGRMVKPSTMLYLSTHFSFHCFVAAPVCEVHPDLVLLRTGKGYKLHSPSLNMQDIPQRKSVGKSEPRLADRDADGEYMTALPDQPSTSKMVL >Potri.012G087601.9.v4.1 pep chromosome:Pop_tri_v4:12:11292954:11294489:1 gene:Potri.012G087601.v4.1 transcript:Potri.012G087601.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087601.v4.1 MVKGSIRVGRMVKPSTMLYLSTHFSFHCFVAAPVCEVHPDLVLLRTGKGYKLHSPSLNMQDIPQRKSVGKSEPRLADRDADGEYMTALPDQPSTSKMPKT >Potri.012G087601.7.v4.1 pep chromosome:Pop_tri_v4:12:11292657:11305049:1 gene:Potri.012G087601.v4.1 transcript:Potri.012G087601.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087601.v4.1 MVKGSIRVGRMVKPSTMLYLSTHFSFHCFVAAPVCEVHPDLVLLRTGKGYKLHSPSVRYLASLSIYMILPTQQSAELSLN >Potri.012G087601.10.v4.1 pep chromosome:Pop_tri_v4:12:11292954:11293398:1 gene:Potri.012G087601.v4.1 transcript:Potri.012G087601.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087601.v4.1 MVKGSIRVGRMVKPSTMLYLSTHFSFHCFVAAPVCEVHPDLVLLRTGKGYKLHSPSVRYLASLSIYMILPTQQSAELSLN >Potri.012G087601.2.v4.1 pep chromosome:Pop_tri_v4:12:11292664:11305043:1 gene:Potri.012G087601.v4.1 transcript:Potri.012G087601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087601.v4.1 MVKGSIRVGRMVKPSTMLYLSTHFSFHCFVAAPVCEVHPDLVLLRTGKGYKLHSPSLNMQDIPQRKSVGKSEPRLADRDADGEYMTALPDQPSTSKMPKT >Potri.001G006300.5.v4.1 pep chromosome:Pop_tri_v4:1:431643:434999:-1 gene:Potri.001G006300.v4.1 transcript:Potri.001G006300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006300.v4.1 MEEQFILRVPPSIAEKLDRLLSETASSSEEQSLDLSFSEDGRSGTFVIGDEHFPASLLDLPCAVESYKTYDDCALVKTSDIGQMLMVREAGDTAPDVVEYRHGLTPPMRDARKRRFRREPDLNPELVQRVEKDLLNIMAGGTVENADAEANEQEEEGDQNAHKANKKPEPAPEAKPDVPETTANAEEPERSDSDDSDDSI >Potri.001G284032.1.v4.1 pep chromosome:Pop_tri_v4:1:23424240:23424813:1 gene:Potri.001G284032.v4.1 transcript:Potri.001G284032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284032.v4.1 MREGYGLCLQLAYLYWSVAASNTNLLVFQGHPTLVPNKKVDAKQLLSFFGSHREDFNFSSPLQSQIYVQNYLSVEKDLTEFADSLLVYPDEYGTENAALYLPNDHSLPRSSRKAYAEDSSDRTTYHAYREVINNLQ >Potri.003G074300.1.v4.1 pep chromosome:Pop_tri_v4:3:10194841:10197160:1 gene:Potri.003G074300.v4.1 transcript:Potri.003G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074300.v4.1 MDNIISESLLRPNWRKVAYGGMQPGFDDNHTDESFLEDMVMNANVVKRDILKVMQDSVSISQYLCIVTLVSLVWAHTLQSTLDENSLLLLDASLFGSGFLVLLLTKEMRSLNLLFHYILNISFFTTGLYMLAPIYHPLTRSISSDSIWAVTVTLVILHLFLHDYSGSTIKAPVALKNPSLTSCVSLNASVVASVFIASRLPSRLHVFAIMLFSLQVFLFAPFVTYCIKKFSFRLHLVFSFGLMAVTLALVYTLHHLLFMLLLGFLVFISVVCPYWLIRIQEYKFEINGPWDEAKLCFNVTD >Potri.008G036500.1.v4.1 pep chromosome:Pop_tri_v4:8:2014606:2021474:1 gene:Potri.008G036500.v4.1 transcript:Potri.008G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036500.v4.1 MFTGSSNIEMLPTVDDYLQGGFGGNLEDNLQSELELILQARRRNQRIERDLDRYRSGSAPPTVEGSLSAVGSLFRNNNLSDINSVTSSNGNCNNVVLTEEEIRSHPSYLSYYYSHDSINPRLPPPLLSKEDWRVAQRFQSSGSMFGGIGNLRKNKVVEDSDSSSLFSIQPGLSVHKVDIDLIESSNSSRNNVIRNASSKWLDRGSSDPGLQRSRLGARRKSFADILQEGLDQPTSIPGHLSSPASHTTFSDLLDTTGECDPHQVGLHDGMESLEGLYSGAATTAFTGTQSHSNTFSHSFASAVGSSLSRSTTPEQQLLGRPAISSLHPVGSRVGPIEKKNAVGMIVQNNHSSGITELGEIANTLSGLNLLNTRLTDQESHTRGQLQMDLDSEPHFPFNMSNGAEQALHQQLIETSKVENLSFSTNHTDMPRNNRIIPNNNASKISYNGEVSIPRRTSSSTNLHSQMNSLGLGSLERSNVYHQNANIPIMDFTGHVPDDYSTLKLNSMIKNHFDTGGVGIENGFNRLGNQVGSDLRSPFLDPRYTQSLQRMLDYATHAVASSSEPPVRDYFGTSEGDLDRIQKAYLETLLVQQKQQYELPILTKSGGLNQGYHRNSSYNLSMPYPENSAVKSMLPSVGSGGFQSGRASHLASVMRSSTGGSTGSRQSDIGCNAERKQSSSFIDEFKNNKTGSFELSDIVGHVVEFSTDQYGSRFIQQKLETASVEETNKIFPEIIPHALTLMTDVFGNYVIQKFLDQGTESQRIELASQLTGHVLPLSLQMYGCRVIQKALEVIDVDRQTQMVAELDGSVMKCIRDQNGNHVIQKCIECVPGDRIQFITSAFYGQVVALSTHPYGCRVIQRVLEHCKDMNTQQVIMDEIMQSVCALAQDQYGNYVIQHVLEHGKPQQRSVIIRKLAGQIVLMSQQKFASNVVEKCLTFGGPDERQLLVNEMLGSTDENEPLQAMMKDPFGNYVVQKVLETCDDRSLELILSRIRIHLSALKRYTYGKHIVSRVEKLITTGERRIRLSFAVSS >Potri.001G472000.6.v4.1 pep chromosome:Pop_tri_v4:1:49480735:49485002:-1 gene:Potri.001G472000.v4.1 transcript:Potri.001G472000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472000.v4.1 MDLESESPALESVEDSELTTTIPSTTPDCPLDHGDGDGDGDGDNSKIKANGSCSNGIIHDMGANGNGDTNTLPHDGEVEEGVGSEQVGNSGKSPPSTAISPAGGSPPTKGFGLKKWRRIRRDVVKDASVDADNSKVLKRVFSGAVNPAEPTNLKPVEVMQNSDGSFGSANLFRNVALGDAFVTRGSSLESRFMVASAFTAGMDSENSEDRSSKSSTAASAPRVRHDLPAVSGYARDKNRVKSLSGKGVGSSAQQVQQGKGWVENGKKPRGERVKIEKENSHSSMESDSRSSNFVFMQGDCSVTSNGKQGGRSMIYDGENSDEAHAGEQQFSGEVHTGYGQENVGEVEDVSEDELAAEASWTDKGEKRVDHRPSADQDQWVESILSLQSVQKALENEVQKLGEIGKVSSVVEDSSLADPEIHESSLSDKFDSESFKESSSLEFQVLSLTQNVKYLESSLELTKAMLKMKETVVAELEASLNGDKSPKEESASTAELQQEKSREIENELEGLFKQKIEAEIKCLALTRNLQKLRLAAGDQITLFEEQQALAGEQVQMLNKLGEAEIKAASLKKQAEQLEKYCGDVLGTEEVFKMQSKVCKVTACFFIQLILLFLVFWLLVLQLSPSSGVVAPT >Potri.001G472000.5.v4.1 pep chromosome:Pop_tri_v4:1:49480267:49484741:-1 gene:Potri.001G472000.v4.1 transcript:Potri.001G472000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472000.v4.1 MDLESESPALESVEDSELTTTIPSTTPDCPLDHGDGDGDGDGDNSKIKANGSCSNGIIHDMGANGNGDTNTLPHDGEVEEGVGSEQVGNSGKSPPSTAISPAGGSPPTKGFGLKKWRRIRRDVVKDASVDADNSKVLKRVFSGAVNPAEPTNLKPVEVMQNSDGSFGSANLFRNVALGDAFVTRGSSLESRFMVASAFTAGMDSENSEDRSSKSSTAASAPRVRHDLPAVSGYARDKNRVKSLSGKGVGSSAQQVQQGKGWVENGKKPRGERVKIEKENSHSSMESDSRSSNFVFMQGDCSVTSNGKQGGRSMIYDGENSDEAHAGEQQFSGEVHTGYGQENVGEVEDVSEDELAAEASWTDKGEKRVDHRPSADQDQWVESILSLQSVQKALENEVQKLGEIGKVSSVVEDSSLADPEIHESSLSDKFDSESFKESSSLEFQVLSLTQNVKYLESSLELTKAMLKMKETVVAELEASLNGDKSPKEESASTAELQQEKSREIENELEGLFKQKIEAEIKCLALTRNLQKLRLAAGDQITLFEEQQALAGEQVQMLNKLGEAEIKAASLKKQAEQLEKYCGDVLGTEEVFKMQSKVCKVTACFFIQLILLFLVFWLLVLQLSPSSGVVAPT >Potri.001G472000.4.v4.1 pep chromosome:Pop_tri_v4:1:49480582:49484798:-1 gene:Potri.001G472000.v4.1 transcript:Potri.001G472000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472000.v4.1 MDLESESPALESVEDSELTTTIPSTTPDCPLDHGDGDGDGDGDNSKIKANGSCSNGIIHDMGANGNGDTNTLPHDGEVEEGVGSEQVGNSGKSPPSTAISPAGGSPPTKGFGLKKWRRIRRDVVKDASVDADNSKVLKRVFSGAVNPAEPTNLKPVEVMQNSDGSFGSANLFRNVALGDAFVTRGSSLESRFMVASAFTAGMDSENSEDRSSKSSTAASAPRVRHDLPAVSGYARDKNRVKSLSGKGVGSSAQQVQQGKGWVENGKKPRGERVKIEKENSHSSMESDSRSSNFVFMQGDCSVTSNGKQGGRSMIYDGENSDEAHAGEQQFSGEVHTGYGQENVGEVEDVSEDELAAEASWTDKGEKRVDHRPSADQDQWVESILSLQSVQKALENEVQKLGEIGKVSSVVEDSSLADPEIHESSLSDKFDSESFKESSSLEFQVLSLTQNVKYLESSLELTKAMLKMKETVVAELEASLNGDKSPKEESASTAELQQEKSREIENELEGLFKQKIEAEIKCLALTRNLQKLRLAAGDQITLFEEQQALAGEQVQMLNKLGEAEIKAASLKKQAEQLEKYCGDVLGTEEVFKMQSKVCKVTACFFIQLILLFLVFWLLVLQLSPSSGVVAPT >Potri.011G073016.1.v4.1 pep chromosome:Pop_tri_v4:11:7150035:7165714:-1 gene:Potri.011G073016.v4.1 transcript:Potri.011G073016.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073016.v4.1 MKTYSSFFPTPPPNMFTTLQPPHGLLQFCRVMVVVITLFSSATLVVSYQLHPDEVTALRQIGKTLTPGGQPFEVGDACNQPGTLHDMNLSKDSEASSTVMCNCTLNLNNDGYCHITSLYLKTLSFSGELPPEMANLTYLEIIDLTRNYISGNIPEEWASMKHLTELSLTSNRLSGNIPGYLGSFPSLSYLSLEANQFSGTIPSQLGDLVNLTGLVLSSNLLEGTLPETLAKLNLTNFRASDHNNLSGIIPDFIGNWRNLVRLELYASGLQGPIPRAILSLEKLTDLRITDMSGPEFNLTSIPPRVNRLVLRNINLTGVIPRDVWTSGSLKVLDLTFNKLEGEIPSDAITREFMFLSGNNLTGSVPDSFFKRGKNIDVSYNNFSLPPSCQDALGTNTYRSSFIKNNLRGLLPCSGIHECPKNLLRMYSFSVLLDKDISITKTWPQAELEHLTFSPSISLIGNQRLIEQPPSIRVYRSFHVNCGGPDVTSGSILYKGDENIESDAASARIYSQKESNWGFSNTGDFMDDDGESPGYILHSNHSYFLTGETREKDFNIEEAANGSNKAYSIEFNANVTDNTLEIRLYWNGKGTTCIPKRGNYGPLISAITGCSGQSTYCPEPGEASKTPIVFTSALLVFLVMGVICWKFYFRDKFMRERDLKGLDLKTGSFTLRQLRAATNNFDSADKIGEGGFGSVYKGKLSDGTVIAVKQLSPRSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGVSCFWCTNTWKTTPSPKHFLKCVGIARGLAFLHEESAIRIVHSDIKGTNVLLDKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVALEIVSGRSNSSYRTTNEFVCLLDWAHVPQKKGNLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVASMLEGQTSIQEMISDPSIYGDDLHSKLLKGHYQQVMDQSLNSTQDRFPPSDKSWIGNSSKSAHDLYPINPESINLNISETSSLIE >Potri.002G062300.1.v4.1 pep chromosome:Pop_tri_v4:2:4283665:4286877:1 gene:Potri.002G062300.v4.1 transcript:Potri.002G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062300.v4.1 MEAENEIREIEGANENESSPSNPKPTPKTVRTKVPEVEIHLYRQGKGPINVFKSSLGGWDQDQLEVREILEKYGFKSIFAFNPASGRGAPIRFGRNGRSLLGYRDGSVIHVDGEPKDSLIKPVTKTVLGVAVITLLITLALKERPEWFKSSNLFGGSFPPWILACAVIVFTRMRKRTGDFLKKRGW >Potri.017G086300.4.v4.1 pep chromosome:Pop_tri_v4:17:9940770:9941868:1 gene:Potri.017G086300.v4.1 transcript:Potri.017G086300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086300.v4.1 MLSCTFRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKSKNDALLSIDGQSKNAANLSHMAQWESARLEAEARLVRESKLRSQSIQHQLSSTTPGYFPGSGSSPGSTSSTLAQPPRSLDALKAWNDGWSKSSEGNGGGLNMGIGDVLESPTSTLTFSENAPPVMNSGAVGENSISMIEFVGTSGSTETGIIKEEGEHDWKSLSNSSHLPDNSVSLTSTLHDMTISMEAPWNPDSLRANCDNVHVGKNVMEEGFTHLLLSDSAERSLSDDGKDSDHSGGSGSGSGSNYYEDNKNYWNSILNLVNSSPSNSPMF >Potri.017G086300.3.v4.1 pep chromosome:Pop_tri_v4:17:9939604:9942150:1 gene:Potri.017G086300.v4.1 transcript:Potri.017G086300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086300.v4.1 MGRSPCCDKVGLKKGPWTPEEDQKLLAYIEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSAIATHLPKRTDNEIKNYWNTHLKKRLAKMGIDPVTHKSKNDALLSIDGQSKNAANLSHMAQWESARLEAEARLVRESKLRSQSIQHQLSSTTPGYFPGSGSSPGSTSSTLAQPPRSLDALKAWNDGWSKSSEGNGGGLNMGIGDVLESPTSTLTFSENAPPVMNSGAVGENSISMIEFVGTSGSTETGIIKEEGEHDWKSLSNSSHLPDNSVSLTSTLHDMTISMEAPWNPDSLRANCDNVHVGKNVMEEGFTHLLLSDSAERSLSDDGKDSDHSGGSGSGSGSNYYEDNKNYWNSILNLVNSSPSNSPMF >Potri.004G050700.2.v4.1 pep chromosome:Pop_tri_v4:4:4088994:4089923:-1 gene:Potri.004G050700.v4.1 transcript:Potri.004G050700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050700.v4.1 MSYDTGVYRVHQFSAYNNNFEPACQLAPFDHAYHKQGEDLGNTTNDLLLGIWDILKFQAKWLEEKLEYFKDNSLSQEDLNRQTEDLRNIVNRAGRVIEDQNNEILERFSGFKREIEKIFNEKNLDRKFEHTEKVIRDCLDDVEYRISKLERMGRDILEEVRNSSGKTDVEKLVLENNRILRKLDEEKLATQSDIRNIRIPGLEQLVRREDLKDLERQIDPRTLVRRTDLKQENILQQLEENNRILKNFDVRELEQIIRKQTRELEEIRNEISRKIDRIPVDSSIERELEKAQEQLRKISQLIGADSRRY >Potri.005G209100.1.v4.1 pep chromosome:Pop_tri_v4:5:21338290:21339570:-1 gene:Potri.005G209100.v4.1 transcript:Potri.005G209100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209100.v4.1 MSSSSSCPGLQSCLEPVSLVEPRVLRIKLAPSKSNDSCSSTSGKPFVTDSDKNADMGGWSFLQSIANNTSQTTKENDKVYVPPNFKRSSSMLNEKSLEMCTESLGSETGSEMSESSDDMAVLPLENVNYETRETPKSREARRTSRSVSFPPPLSSISGSNNVRVRPHREGGRLVLEAVTISSCHVYLHAERTDGRLRLHLMNHCSSNNFDNEEEEAEAESGEEIVVEEDGDDDQSGDNEAEGGDGIWGEELEGSSVNTGGEMGMGKLARPGRCKEGGSSSKSLLNWEPFWVAT >Potri.001G451500.2.v4.1 pep chromosome:Pop_tri_v4:1:47753952:47756807:-1 gene:Potri.001G451500.v4.1 transcript:Potri.001G451500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G451500.v4.1 MSPTMLQQVDAHHRSLSEPKNGPFTYTDPVSEVPTLSGVDTSVDGSTPIIDMEGLLGPQRSEFVKQIGHACEKNGFFAVKNHGIPEMKINNMLDTARDFFHLPEEERLKFRSSDPNSIIRLVTGFQDKTRNIFVSRQSLKFHCHPVEDFKNQWPSTPPAFREKVGEYCASVRKVEVAILEAISESLGLKRDYIGKILKGHYVSINFYPACQESELDVTYGVRTHTDPTLITILMQDDVPGLQVINDDKWIDVNPIPNAVVVHVGDMLQALSNCRYKSLLHQAIVNCEKERVSIASYCYPSDDAEIGPAKKLIDDDHPAIYNDFTYKEFHESMWRVKCSTAKRLDLFKAESD >Potri.014G116500.2.v4.1 pep chromosome:Pop_tri_v4:14:7830741:7833492:1 gene:Potri.014G116500.v4.1 transcript:Potri.014G116500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116500.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Potri.014G116500.4.v4.1 pep chromosome:Pop_tri_v4:14:7830750:7833491:1 gene:Potri.014G116500.v4.1 transcript:Potri.014G116500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116500.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIANKA >Potri.001G146700.1.v4.1 pep chromosome:Pop_tri_v4:1:12115471:12116014:-1 gene:Potri.001G146700.v4.1 transcript:Potri.001G146700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146700.v4.1 MGLLSWFQRSSKPESKSASSSTKPETSPPSEIPGMNGAVEVPRPPPKNVTVFEFGSVSATADKVTLAGFCPVSDDLEPCRWEIMPASDSDAPQFRVVF >Potri.002G130800.5.v4.1 pep chromosome:Pop_tri_v4:2:9928078:9931721:1 gene:Potri.002G130800.v4.1 transcript:Potri.002G130800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130800.v4.1 MDDIPDATARLISIINRGSSALKNAHFDKAARYFKKARDASVHLQGERTLERSLLHHLYGVSLLYEIPFQGDDDPLEFLPREADYYLAKDRPYSSYSKLYSGTVSQEDYTNQMEAAQKELKIAWSILENESNCLIEKANTLCALGEVALRRGEPNPERYYIQASSFFESLEGEIHKQRIVHMYPLLVWCWIS >Potri.002G130800.1.v4.1 pep chromosome:Pop_tri_v4:2:9928078:9931721:1 gene:Potri.002G130800.v4.1 transcript:Potri.002G130800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130800.v4.1 MDDIPDATARLISIINRGSSALKNAHFDKAARYFKKARDASVHLQGERTLERSLLHHLYGVSLLYEIPFQGDDDPLEFLPREADYYLAKDRPYSSYSKLYSGTVSQEDYTNQMEAAQKELKIAWSILENESNCLIEKANTLCALGEVALRRGEPNPERYYIQASSFFESLEGEIHKQRIVHINVKICICLEGSDKIKAIEYGEKALSSYQTQLKRLISETQSSSKSVEAQTSSDSESSSATQIDPSIQKKKNERSMLIKILSKLQFKLDDLKAGSSTSPNLEGTSVAPAGHRDGKEKLSQLIQVLRIDKQFDN >Potri.002G130800.3.v4.1 pep chromosome:Pop_tri_v4:2:9928078:9931721:1 gene:Potri.002G130800.v4.1 transcript:Potri.002G130800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130800.v4.1 MDDIPDATARLISIINRGSSALKNAHFDKAARYFKKARDASVHLQGERTLERSLLHHLYGVSLLYEIPFQGDDDPLEFLPREADYYLAKDRPYSSYSKLYSGTVSQEDYTNQMEAAQKELKIAWSILENESNCLIEKANTLCALGEVALRRGEPNPERYYIQASSFFESLEGEIHKQRIVHINVKICICLEGSDKIKAIEYGEKALSSYQTQLKRLISETQSSSKSVEAQTSSDSESSSATQIDPSIQKKKNERSMLIKILT >Potri.004G111900.2.v4.1 pep chromosome:Pop_tri_v4:4:10269226:10273552:-1 gene:Potri.004G111900.v4.1 transcript:Potri.004G111900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111900.v4.1 MEDNKQDQVDGNLSEDKSSMPSSKQEEEVVKKKYGGILPKKPPLISKDHERAYFDSADWALGKQGVDKPKGPLEALRPKLQPTQQQTRYRKSPYAPADGEDAGSASSEDATANE >Potri.002G047200.5.v4.1 pep chromosome:Pop_tri_v4:2:3087687:3094384:-1 gene:Potri.002G047200.v4.1 transcript:Potri.002G047200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047200.v4.1 MIKKKNPLVFLDVSIDGDPAERIFIELFADVVPKTAENFRALCTGEKGIGKTTGKPLHYKGCSFHRIIKGFMAQGGDFSKRNGSGGESIYGGKFADENFILRHEGAGLLSMANSGPDTNGSQFFIIFKPQVHLDGKHVVFGKVVKGMDMVKKIEQAGSAGGQPAGPVKIVDCGETSESKIEDSVGKDSGKNKKSGKPTDDGPGDKVRGRSKKSLKDARKKRKRRYSSSSSDSEPSSSESDSDSSSSSSDGRHKKKRRSAKKDKYHRGRKLKYRQRERKRGRRDRRSRRKSKWSSESASDTETDSSSSSNDESPVSARKTDNSTQAGKKSNQSPDARGKFSTHLSVKEAVVEQQQRNDKPKTAEASSSHEEGELSPKNNTHLNNGHGTNSKLCTSPNRHSYSDDSDKSRRAIPSSKSRPNNSHRSSPSMSPEEVSRSARFRTDSRSPVRKSGELSQRRSSRSPLGSPANKGHHEPSMSNHGQGLSHSRSPNGTPKRIRKGRGFTERYAYARKYRTPSPERTPWRSYHYGGRNIDGRNRDRLSSYRSYSERSPPRRNRSPPRGRSPPRYGRRRSRSRSRSVSNSPGGYRGRYRDRSGKQSPRRSPTPRDKRPAISEGLKSRLGPRADDQSFPNKGRPRSRSSSRSNSRGSSLSRSPDSVPPKRQGRAASRSRSSSPSGQQGLVAYGDASPDTGIN >Potri.002G047200.3.v4.1 pep chromosome:Pop_tri_v4:2:3087620:3094168:-1 gene:Potri.002G047200.v4.1 transcript:Potri.002G047200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047200.v4.1 MIKKKNPLVFLDVSIDGDPAERIFIELFADVVPKTAENFRALCTGEKGIGKTTGKPLHYKGCSFHRIIKGFMAQGGDFSKRNGSGGESIYGGKFADENFILRHEGAGLLSMANSGPDTNGSQFFIIFKPQVHLDGKHVVFGKVVKGMDMVKKIEQAGSAGGQPAGPVKIVDCGETSESKIEDSVGKDSGKNKKSGKPTDDGPGDKVRGRSKKSLKDARKKRKRRYSSSSSDSEPSSSESDSDSSSSSSDGRHKKKRRSAKKDKYHRGRKLKYRQRERKRGRRDRRSRRKSKWSSESASDTETDSSSSSNDESPVSARKTDNSTQAGKKSNQSPDARGKFSTHLSVKEAVVEQQQRNDKPKTAEASSSHEEGELSPKNNTHLNNGHGTNSKLCTSPNRHSYSDDSDKSRRAIPSSKSRPNNSHRSSPSMSPEEVSRSARFRTDSRSPVRKSGELSQRRSSRSPLGSPANKGHHEPSMSNHGQGLSHSRSPNGTPKRIRKGRGFTERYAYARKYRTPSPERTPWRSYHYGGRNIDGRNRDRLSSYRSYSERSPPRRNRSPPRGRSPPRYGRRRSRSRSRSVSNSPGGYRGRYRDRSGKQSPRRSPTPRDKRPAISEGLKSRLGPRADDQSFPNKGRPRSRSSSRSNSRGSSLSRSPDSVPPKRQGRAASRSRSSSPSGQQGLVAYGDASPDTGIN >Potri.010G243900.1.v4.1 pep chromosome:Pop_tri_v4:10:22002953:22006657:1 gene:Potri.010G243900.v4.1 transcript:Potri.010G243900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243900.v4.1 MIVYNSSPVFMLSQMSCYRLQNILTALPACINITYNPQPCYLTFTSPPSNNSRVLCCGYSSKNQVKKMEAGHHDLSKVTVVGDPQLLQRKIDAVCLAGPAKLQVIADFDGTLTNYFVNGRRGQSSHGILKQGNAEYDDKRQALYEYYHPLEFSPTIPIEEKTKLMEEWWGKTHNLLIEGGLTYDAIKESVASSAIAFRDGVVELFEFLEERDIPVLIFSAGLADIIEEVLRQKVHRSFKNVKIVSNRMVFDNDGCLISFKGKLIHSLNKNEHALEMAAPVHEHFGDGDGPINDNASVKKRTNVLLLGDHLGDLGMSDGLDYETRISAGFLNDNIENNLSNYRKAFDVVYLNDAPMWGVVKLVSQMCSTVT >Potri.005G096400.1.v4.1 pep chromosome:Pop_tri_v4:5:6841057:6841950:1 gene:Potri.005G096400.v4.1 transcript:Potri.005G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096400.v4.1 MKQLIRRLSRVADSSQYSLLRSNSQSTTTASRRRSGGSRSARRRGSDKPVPEGHVPVYVGDEMERFTVSAELLNHPVFIWLLNKSAQEYGYEQKGVLRIPCHVLVFERVMESLRLGLESSDLEDVLGSLFTSEDCL >Potri.011G010600.1.v4.1 pep chromosome:Pop_tri_v4:11:982660:987747:1 gene:Potri.011G010600.v4.1 transcript:Potri.011G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G010600.v4.1 MSSSADFYNIPSSPSQSPRPGQKKGRRKREREKVFWYQKKGAKGIVGLIFLVGLFFIFNGFMVLRLQLDDEISGNKDVSVRNSSSISVSIKGELKTIGKGKRLRKGTYDRMLALAAHSLAENKREPKDLWQELFVPASAWRPCADHRNWNHSEGNNGYILVTANGGMNQQRVAVCNAVVIARLLNSTLVIPRFMYSSVWRDVSQFSDIYQEEHFINYLTPDIRIVKELPKELQSLDLEAIGSVVTDADIGKESKPSFYLKNILPILLKNRVVHFVGFGNRLAFDPIPFQLQTLRCRCNFHALQFSPKIQETVALLIQRLRKNAAHSGPLDHYLIGPYAEKNLQEKVGHAVKASRYLALHLRFEIDMVAHSLCEYGGGEEERKELEAYREIHFPALTLLKKTNKLPSPAMLREEGLCPLTPEEAVLMLAALGFSRKTHIFIAGANIYGGRSRLTALTSLYPNLVTKEKLLSATELKPFMNFSSQLAALDFIACTASDAFAMTDSGSQLSSLVSGFRIYYGGGKMPTIRPNKRRLADIFRKNNSIEWKIFEQRVRKAVRQTKHVLQRPKARSVYRYPRCKECMCLTE >Potri.010G051900.4.v4.1 pep chromosome:Pop_tri_v4:10:8353612:8358852:-1 gene:Potri.010G051900.v4.1 transcript:Potri.010G051900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051900.v4.1 MFVDLVYCLIFSQDGHPPLGWSDTVAYLVLPVMLVVSQYISVQIMQSSQSDDPNVKNSQAITKFLPLMIGYFSLSVPSGLSLYWLTNNILSTAQQVWLQKSGGAKNPMMKSSDDIVKEDQLQIQKPVSELNSVQTKTRQEEKFTPEGLRPGERFKQLKDQEARKRQQREEEKRKAEEAARRGSQMENDELENESSSFERGNGTSSVGAVVIDDMSAVAFHDSSALKVVNGDLSGQDQKQDEETNYVVETSEVSAPTEVCARDEQPDNKRKEAVEVNGSVATTDDKITEDAHQAKRA >Potri.010G051900.3.v4.1 pep chromosome:Pop_tri_v4:10:8353596:8359290:-1 gene:Potri.010G051900.v4.1 transcript:Potri.010G051900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051900.v4.1 MASHLSCTIPNLIPLPPSPFTDRTKTSNSLLLHPTHLPKPLIPRGSLCVARFGLKPGFFPDPDKAEAVIKDLFGRAESIVYTIADAAVSNSDQVVDSSTTQNSDWLSGITYGLESTLKVLKDGLSAVHLPYAYGFAIILLTVLVKAATFPLSKKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTAIASRQNGSGISWLFPFVDGHPPLGWSDTVAYLVLPVMLVVSQYISVQIMQSSQFQSDDPNVKNSQAITKFLPLMIGYFSLSVPSGLSLYWLTNNILSTAQQVWLQKSGGAKNPMMKSSDDIVKEDQLQIQKPVSELNSVQTKTRQEEKFTPEGLRPGERFKQLKDQEARKRQQREEEKRKAEEAARRGSQMENDELENESSSFERGNGTSSVGAVVIDDMSAVAFHDSSALKVVNGDLSGQDQKQDEETNYVVETSEVSAPTEVCARDEQPDNKRKEAVEVNGSVATTDDKITEDAHQAKRA >Potri.010G051900.2.v4.1 pep chromosome:Pop_tri_v4:10:8353666:8358981:-1 gene:Potri.010G051900.v4.1 transcript:Potri.010G051900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051900.v4.1 MASHLSCTIPNLIPLPPSPFTDRTKTSNSLLLHPTHLPKPLIPRGSLCVARFGLKPGFFPDPDKAEAVIKDLFGRAESIVYTIADAAVSNSDQVVDSSTTQNSDWLSGITYGLESTLKVLKDGLSAVHLPYAYGFAIILLTVLVKAATFPLSKKQVESAMAMRSLQPQIKAIQQRYAGDQERIQLETARLYKLAGINPLAGCLPTLATIPVWIGLYRALSNVANEGLLTEGFFWIPSLAGPTAIASRQNGSGISWLFPFVDGHPPLGWSDTVAYLVLPVMLVVSQYISVQIMQSSQSDDPNVKNSQAITKFLPLMIGYFSLSVPSGLSLYWLTNNILSTAQQVWLQKSGGAKNPMMKSSDDIVKEDQLQIQKPVSELNSVQTKTRQEEKFTPEGLRPGERFKQLKDQEARKRQQREEEKRKAEEAARRGSQMENDELENESSSFERGNGTSSVGAVVIDDMSAVAFHDSSALKVVNGDLSGQDQKQDEETNYVVETSEVSAPTEVCARDEQPDNKRKEAVEVNGSVATTDDKITEDAHQAKRA >Potri.011G148000.1.v4.1 pep chromosome:Pop_tri_v4:11:17583574:17587115:-1 gene:Potri.011G148000.v4.1 transcript:Potri.011G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G148000.v4.1 MADDEEIQALVCDNGTVMIKAGFAGDDSPRAVFPSIVGMGQKDAYVGDEAQSKRGILTLKYPIEHGIVSNWDDMEKIWHHTFYNELRVAPEEHPVLLTEAPLNPKANREKMTQIMFETFNVPAMYVAIQAVLSLYASGRTTGIVLDSGDGVSHTVPVYEGYALPHAILRLDLAGRDLTDALMKILTERGYSFTTTAEREIVRDIKEKLAYVALDYEQELETAKSSSSIEKSYELPDGQVITIGAERFRCPEVLFQPSLIGMEAPGIHETTYSSIMKCDVDIRKDLYGNIVLSGGSTMFPGIADRMSKEITALAPSSMKIKVVAPPERKYSVWIGGSILASLSTFQQMWISKGEYDESGPSIVHRKCF >Potri.010G247600.1.v4.1 pep chromosome:Pop_tri_v4:10:22193983:22196238:1 gene:Potri.010G247600.v4.1 transcript:Potri.010G247600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247600.v4.1 MSSLKAYGKLDEAEQARLEARRRTRKRITIISLSSILLVAIVVAAVVGTHASSGNSKKGGADKSLSTSVKAVCDVTLYKDSCYNSLAPVAKPDQLQPEELFKLAIQVAKNELSKASQHFSKDGGVLYNGVKDNMTITALENCQELLSLALDHLDNSLEAGHGVNVIDIVDDLRSWLSTSGTCYQTCIDGLSETKLEATAHDYLKNSSELTSNSLAIITWISKVASSVNIHRRLMNYEDQDMPKWLHPEDRKLLQSSDLKKKADVVVAKDGSGKYKRISDALKNVPEKSKKRYVIYVKKGIYFENVRVEKKQWNVMMIGDGMKETIVSASLNVVDGTPTFSTATFAVFGKGFIARDMGFRNTAGAIKHQAVALMSNADMSAFYRCSMDAFQDTLYAHANRQFYRECNIYGTVDFIFGNSAVVIQSSSILPRKPMQGQQNTITAQGKIDPNQNTGISIQNCTIWPYGDLSSVKTFLGRPWKNYSTTVFMRSMMGSLIDPAGWLPWTGNTAPPTIFYSEFENFGPGASTKNRVKWKGLKTITNKQASKFTVKAFIQGEEWLTGTGISYKPGL >Potri.008G109000.1.v4.1 pep chromosome:Pop_tri_v4:8:6897424:6898611:1 gene:Potri.008G109000.v4.1 transcript:Potri.008G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109000.v4.1 MGKIDTRLPGFCLNRIKPRVRVRSPPIQAKPNLNSTKNDQNNENPDSTVVGDQEKPGNSEGKPVELIGRKIMIVVDSSIEAQGALQWALSHTVQSQDLLILLHVTKESSKQATGTKTRKERGAPRACELVNSVKNMCQLKRPEIQIEIAVVEGKEKGPLIVEEAKKQEVALLVLGQKKRSMTWRLIMMWASNRVTGGVVEYCIQNADCMAIAVRRKSQKHGGYLITTKRHKDFWLLA >Potri.009G103100.1.v4.1 pep chromosome:Pop_tri_v4:9:9084295:9087000:-1 gene:Potri.009G103100.v4.1 transcript:Potri.009G103100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G103100.v4.1 MMKSKQTYEETRQKRMEENKKRMEELNLTKLSQSLLSKPSPVKKAKPRISRSPVASTPVRRSNRVADKPPVSYKEVPIEPLARPRRSYQRRDLLNRVYASDEVRIYAIHRADQIQSSLGADFPSFVKPMLQSHVTGGFWLGLPVPFCKAHLPHYDEMITLEDENGDESETKYLAGKTGLSGGWRGFAIDHDLVDGDALVFQLVSPTKFKVYIVRAYDSEDNEDQENTKDDEVKDNTEDKEDEGKSEENGKVSDATDLNRRYSKRIRASKK >Potri.011G073141.1.v4.1 pep chromosome:Pop_tri_v4:11:7281469:7282965:-1 gene:Potri.011G073141.v4.1 transcript:Potri.011G073141.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073141.v4.1 MRRLKHREHVGCRSRKEALNKFSFYEVLPGPPGPPDLVLLTYEKRPQSFS >Potri.008G060000.1.v4.1 pep chromosome:Pop_tri_v4:8:3597055:3599189:-1 gene:Potri.008G060000.v4.1 transcript:Potri.008G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060000.v4.1 MSVDISAKPSKLRWGELDEDDGEDLDFLLPPKQVIGPDDNGIKKVIEYKFNDDGNKIKITTTTRVRKLAKARLSKRAVERRNWPKFGDAVHEDVGSRLTMVSTEEILLERPRAPGTKADEAKMAGDNLAQLGKGGAVLMVCRTCGKKGDHWTSRCPYKDLAQPPETFIDKPAATETAMAASGATKGAYVPPSMRAGAERTTGSDMRRRNEENSVRVTNLSEDTREPDLLELFRTFGHVSRVYVAIDQKTGVSRGFGFVNFVSKEDAERAINKLNGYGYDNLILRVEWATPRSN >Potri.010G110700.1.v4.1 pep chromosome:Pop_tri_v4:10:13084694:13088115:1 gene:Potri.010G110700.v4.1 transcript:Potri.010G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110700.v4.1 MKREHSNLHPQQLTNPSSLAAGGYSLTSTGTMTSNNGKAKTWEEEKGRQADGGMDELLAVLGYKVRSSDMAEVAQKLEQLEEVMGHAQEDGLSHLASDSVHYNPSDLSTWLESMLSELNPNHHFDLSADSLLAPAESSTVTSIDFTDRKHHQQPKLFEESSSSEYDLKVIPGKAVFSPTQIDSRESKRLKTDLYQTSSSPSSSSTTLGSLVASTESTRPVVLVDSQENGVRLVHLLMACAEAVQENNLNLAEALVKQIGFLAVSQAGAMRKVATYFAEALARRIYKLYPQNSTDHSLSDILQIHFYETCPYLKFAHFTANQAILEAFEGKKRVHVIDFSMNQGMQWPALMQALALRPGGPPALRLTGIGPPAHDNTDQLQEVGWKLAQLAETIHVEFEYRGFVANSLADLDASMLELRPTEFESVAVNSIFEFHKLLAIPGAMKKVLSVVKQMKPEIVTVVEQEANHNGPVFLDRFTESLHYYSTLFDSLEGSVSTQDKVMSEVYLAKQICNVVACEGSSRVERHETLTQWRTRLSSAGFAPVHLGSNAFKQASMLLALFAGGDGYRVEENNGCLMLGWHTRPLIATSAWRVNNHHPVGGAA >Potri.011G101200.2.v4.1 pep chromosome:Pop_tri_v4:11:12854252:12858122:-1 gene:Potri.011G101200.v4.1 transcript:Potri.011G101200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101200.v4.1 MVCCQRDIARCRVSSTKTPKTLLARVAEGPTRLLNLKKESREPVSLTNLFEVVADDLLNLKKNLRSIDAAENTVLMSAAEQIFGAGGKRMRPALVFLLSRATVEVVGDLTTEHQRLAEIIEMIHTASLIHDDLLDESGMRRVLIPFALFRVLQNQSKAEKRRVLIDGCPTGSHISQPICEMKIMIIYWLGEFMFAQSSWYLANLENIELIKLISSVAYYKTASLIAASTKGAAIFSGVESNVCVLIYEYGKNLGLSFQVVDDILDSTQSAELPGKPAGSDLAKGNLTAPVIFALEQSPKLREIIETEFRVSGSLDEATELVMDCGGIERAQELAKERADLAIQNLRSLPRGSYQPALEEMVLCNLERVH >Potri.004G128820.1.v4.1 pep chromosome:Pop_tri_v4:4:13724304:13729117:-1 gene:Potri.004G128820.v4.1 transcript:Potri.004G128820.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128820.v4.1 MASRVVTVAQDGSGDFTTVQEAVDAVPLCNTCRTVIRVPPGVYRQPVYVPKTKNLITLAGLRPEDTILTWNNTSAKIDHHQASRVIGTGTFGCGTVIVEGEDFIAENITFENSSPEGSGQAVAIRVTADRCAFYNCRFLGWQDTLYLHYGKQYLKDCYIEGSVDFIFGNSTALLEHCHIHCKSAGFITAQSRKSSQESTGYVFLRCVITGNGGTSYMYLGRPWGPFGRVVFAYTYMDHCIRHVGWNNWGKAENERSACFYEYRCFGPGSCPSKRVTWSRELIDEEADQFLMHCFIDPDPERPWLCQRMALSIPYSA >Potri.008G164100.1.v4.1 pep chromosome:Pop_tri_v4:8:11295951:11297361:1 gene:Potri.008G164100.v4.1 transcript:Potri.008G164100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G164100.v4.1 MESAKQGSPEASSEENYDSQEQVKEDLSSNTATTAKRSYECSFCKRGFTNAQALGGHMNIHRKDRANRTRGKNLASSSSVSSKANEEIKNPRYMVPNSSIPTNYYPFLEAQRNHEMYFQPPAGSSPRQPYSNYKHGSQDFLGPRSQSLSMNEELWGKGLSLQIGSANIQDSGGNRRVSDDDEVDLELRLGRDR >Potri.014G142900.1.v4.1 pep chromosome:Pop_tri_v4:14:9744589:9749736:1 gene:Potri.014G142900.v4.1 transcript:Potri.014G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142900.v4.1 MAVVDVIKSCLDSIAQISEHVEGAILYLDSGCTESFQFAGAFPVLLELGVRAICSLENMCSLDSVVNWNSNSDPALKIVVMTSRLLSDAHRYILRCLSTHKGVHQCTVFTSISELAQSAYPDSPLGPDAFHEYEILLLQDYEEIVKKSQKKASHPEASNFQESLTFEDEGWSRLTSSEEDVSHSEATSSGKYFFGDSHTEYLGKKLVVSVHHFPMILCPFSPKVFVLPSEGSVSEAYLSAKHDDSLSPGLPPISTGAPPDGDDVPPGALLTAHFLYHLAAKMDLKMEIFSLGDLSKTVGKIMTDMSSLYDVGRRKRSAGLLLIDRTLDLLTPCCHGDSLVDCMFSSLPRRERTTSYSSVKGSKTQLKLVPSSLQRAPLDVQIPLGKILQEEKSDTNDSQLAERIEAFLGGWDACNSSPETVDLVNLCNKVHDGKSFLSEIQLLNGSFVSNETFRGTPYMEAILDRRTKDGALLVKKWLQETLRRQNITVNVKIRPGFATKSELQPMIRALAKSQSSLIRNKGIVQLGAAVLVALDELHSTRWNAFASAEKILSATAGDTSQSLGAQIGDLIHKSTMLGSDGDKKTEHLQGLLSFKDALLLMTVGYILAGENFPTSGSGGPFSWKEEHFLKEAIVDAILKNAPVVKLKFLDGLTEELEANLNRKKSEDTIEASSDQLEFDDDQWGKWGDEEEDDDKNDKKQAYSDMQLKLELLDRVDNLFKSLHKLSTVKRNVSLREGTFSSESNFTGDSDSNKSLIYKLLTRVLGKYDVPGLEYHSTTVGRLFKSGFGRFGLGQTKPSLADQNIIMVFVVGGINAAEVREVQEALSESGRPDVELILGGTTFLTPDDMLTLLMGDSSYM >Potri.013G084300.3.v4.1 pep chromosome:Pop_tri_v4:13:7615025:7618431:1 gene:Potri.013G084300.v4.1 transcript:Potri.013G084300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084300.v4.1 MDSWKAEEENIECMFGSNEDTGSQIPTQAQTIVEGSGTVVLSEFKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLAKVQNVIEKPHNDHLPLIEASRLCNMDIISHVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >Potri.013G084300.1.v4.1 pep chromosome:Pop_tri_v4:13:7612225:7618741:1 gene:Potri.013G084300.v4.1 transcript:Potri.013G084300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084300.v4.1 MCTALPPAMRLLLTTSTVTGFPSKSLRTTTIPNLNQSCLARRNSLSFSKPTWLCGHKRNDQEMDSWKAEEENIECMFGSNEDTGSQIPTQAQTIVEGSGTVVLSEFKPVPDVDYLQELLAIQQQGPRSIGFFGTRNMGFMHQELIEILSYALVITKNHIYTSGASGTNAAVIRGALRAEKPELLTVILPQSLKKQPPESQELLAKVQNVIEKPHNDHLPLIEASRLCNMDIISHVQQVICFAFHDSRLLMETCQEAKNLRKIVTLFYLD >Potri.001G174100.4.v4.1 pep chromosome:Pop_tri_v4:1:14948484:14964366:1 gene:Potri.001G174100.v4.1 transcript:Potri.001G174100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G174100.v4.1 MEFQVKHVGGIENCFVSLPINLIQILESTRRPAPLPPLLTLELRSPSANRHWTVAWSGATSSSSSIEVAQQFAECISLPDHISVQVRAVSNVVNATLVTIEPHSEDDWEVLELNAEQAEASILKQVRIVNEGMRFPLWLHGGAVITFLVVSTSPKRAVVQLVPGAEVAVAPKRREKVVNKQDATVQSYNKESNMAKALLRLQDLDRRLFHNCDVKGVELATAPTCVAYMHPETAQMFSLDSLQLVTLVPRLSSKDGVKTPDSDALRVKSASPKEANNGTLTDKKEFHQAIVRLLFSDSVAKGHVMIARSLRLYLRAGLHSWIYLKGWITDLKDIASLSLSPCYFKMPGQDKPVEKPGLELIDIDKLQKPRKTSLDTYMDAVDWSIHDKIFASLSQDFPSKQEEETGYLPDNKKGLRRLLQAWYRAQLDAIASTSGVEVNSLIVGKETLLHFEVKGYDFGIDRKTREKASSYSNGSLKNRNKTGGTQLEFLYVLSIPEESVHGIKVNAYSLAFNERKKDNLGVGLFERLKLGGPVSFYSLKESNSFTGFSSNASSLSWMGTTASDVINRLMVLLYPPYSTWFNTYNLPLPGHILIYGPHGSGKTTLARAVAKSLEEREDLFAHIVFVSCSGLTLDKASAIRQTLSASISEALDHAPSLVIFDDLDTIVSASSDSEGSQPSTSVVALTKFLSDFIDEYGEKRKSTCGIGPIAFIASVQTLENIPQSLSSSGRFDFHVQLPAPAASEREAILKHEIRRRSLLCSDDILLDVASKCDGYDAYDLEILVDRTVHAAIGRFLPSHSTFEKHDIPTLFKDDFSRAMHEFLPVSMRDITKSAPEGGRSGWDDVGGLSDIRNAIREMIELPSKFPNIFVQSPLRLRSNVLLYGPPGCGKTHIVGAAAAACSLRFISVKGPELLNKYIGASEQAVRDIFSKAAAAAPCILFFDEFDSIAPKRGHDNTGVTDRVVNQFLTELDGVEVLTGVFVFAATSRPDLLDAALLRPGRLDRLLFCDFPSRKERLEILAVLSRKLPLANDVDIETIAGMTEGFSGADLQALLSDAQLAAVHEHLSSADMGDPGKMPVITDDLLKTTTSKARPSISEAEKQRLFGIYSQFLDSKRSVASQSRDTKGKRATLA >Potri.003G106100.4.v4.1 pep chromosome:Pop_tri_v4:3:12905333:12909466:1 gene:Potri.003G106100.v4.1 transcript:Potri.003G106100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106100.v4.1 MAFSSTSSLPVMMKQTKPDAERTLLNAVEDRYGGVKVDVEDSMDCNDYVSLLRDSITQWRKQGKKGVWIKLPIHLANLVEPTVKEGFRYHHAESDYLMLVYWIPETPDTLPANASHTVGIGAFVVNDNREVLVVQEKNGAFKGKDVWKFPTGVANQGEDICKAAIREVKEETDIDTEFVEILAFSQTHQTFYGKSDLFFVCMLRPLSSDINKQDSEIEAAQWMPIEEYVAQPFNQKHESFKNIANICLRKSRRNYTGFSAVPMASSSGKKSYSYFNKLQLENETTN >Potri.003G106100.5.v4.1 pep chromosome:Pop_tri_v4:3:12904943:12909466:1 gene:Potri.003G106100.v4.1 transcript:Potri.003G106100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106100.v4.1 MAFSSTSSLPVMMKQTKPDAERTLLNAVEDRYGGVKVDVEDSMDCNDYVSLLRDSITQWRKQGKKGVWIKLPIHLANLVEPTVKEGFRYHHAESDYLMLVYWIPETPDTLPANASHTVGIGAFVVNDNREVLVVQEKNGAFKGKDVWKFPTGVANQGEDICKAAIREVKEETDIDTEFVEILAFSQTHQTFYGKSDLFFVCMLRPLSSDINKQDSEIEAAQLRT >Potri.003G106100.6.v4.1 pep chromosome:Pop_tri_v4:3:12905400:12909466:1 gene:Potri.003G106100.v4.1 transcript:Potri.003G106100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106100.v4.1 MAFSSTSSLPVMMKQTKPDAERTLLNAVEDRYGGVKVDVEDSMDCNDYVSLLRDSITQWRKQGKKGVWIKLPIHLANLVEPTVKEGFRYHHAESDYLMLVYWIPETPDTLPANASHTVGIGAFVVNDNREVLVVQEKNGAFKGKDVWKFPTGVANQGEDICKAAIREVKEETDIDTEFVEILAFSQTHQTFYGKSDLFFVCMLRPLSSDINKQDSEIEAAQLRT >Potri.003G106100.3.v4.1 pep chromosome:Pop_tri_v4:3:12904943:12909466:1 gene:Potri.003G106100.v4.1 transcript:Potri.003G106100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106100.v4.1 MAFSSTSSLPVMMKQTKPDAERTLLNAVEDRYGGVKVDVEDSMDCNDYVSLLRDSITQWRKQGKKGVWIKLPIHLANLVEPTVKEGFRYHHAESDYLMLVYWIPETPDTLPANASHTVGIGAFVVNDNREVLVVQEKNGAFKGKDVWKFPTGVANQGEDICKAAIREVKEETDIDTEFVEILAFSQTHQTFYGKSDLFFVCMLRPLSSDINKQDSEIEAAQWMPIEEYVAQPFNQKHESFKNIANICLRKSRRNYTGFSAVPMASSSGKKSYSYFNKLQLENETTN >Potri.003G106100.2.v4.1 pep chromosome:Pop_tri_v4:3:12904943:12909466:1 gene:Potri.003G106100.v4.1 transcript:Potri.003G106100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G106100.v4.1 MAFSSTSSLPVMMKQTKPDAERTLLNAVEDRYGGVKVDVEDSMDCNDYVSLLRDSITQWRKQGKKGVWIKLPIHLANLVEPTVKEGFRYHHAESDYLMLVYWIPETPDTLPANASHTVGIGAFVVNDNREVLVVQEKNGAFKGKDVWKFPTGVANQGEDICKAAIREVKEETDIDTEFVEILAFSQTHQTFYGKSDLFFVCMLRPLSSDINKQDSEIEAAQWMPIEEYVAQPFNQKHESFKNIANICLRKSRRNYTGFSAVPMASSSGKKSYSYFNKLQLENETTN >Potri.002G004600.1.v4.1 pep chromosome:Pop_tri_v4:2:271815:276382:1 gene:Potri.002G004600.v4.1 transcript:Potri.002G004600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G004600.v4.1 MAYQAVPGPSSGSSSSSGFQYMNSPFGDTTYTKVFVGGLAWETQSETMRRYFEQFGDILEAVVITDKNTGRSKGYGFVTFREPEAARRACADPTPMIDGRRANCNLASLGRPRPPQPYVGRLRPAPPYIASVQTPRGTYAGSFGYQQPVSYGYQQGLMYHPYGYAAYGPEYVYQQGVYNPYAAGQQYLQIYGVPGTVNTGMYPYGQFGQNVPGGHGYTTMQGYAVPGHHIMQFGGPGVNAMTTSPLPTIQAPYPTGIAAAVPAQQQFIVPAPSPQYMHSSGSDQTTG >Potri.010G220900.1.v4.1 pep chromosome:Pop_tri_v4:10:20608948:20613019:-1 gene:Potri.010G220900.v4.1 transcript:Potri.010G220900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220900.v4.1 MSSPPILGGASLFTGFTKLCKGLAVVLVAGHIVVQIFPSAVNYLALIPARTIPFAWNLLTAGYIEQSIYGVVASTLCLLIMGKLLEPVWGSKEFLKFIFIVNFLTSVCVFITAISLYYITRQENYLYMPISGFQGILAGFLVGTKQIIPDQELSLLRLKAKWFPSLMLLIAIVISFFTAESAKYLPTIIFGTYMSWIYLRYFHRKPETKLRGDPSDDFAFSSFFPEFLRPVIDPIASIFHRMLCGRFETSTEAHGHTLGGAPLPGSDPIEASRRRERGARALEERLAAERLATARSAEELKKDVSENV >Potri.010G190500.1.v4.1 pep chromosome:Pop_tri_v4:10:18624607:18625300:1 gene:Potri.010G190500.v4.1 transcript:Potri.010G190500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190500.v4.1 MVSLWRAATALTQTQSTQTDGVEFWSSPERTGWLMKQGEHIKTWRRRWFVLKQGKLFWFKDSTVTRVSKPRGAIPVASCLTVKGAEDVLHRQYAFEFSTRNDTMYFIADSEKEKEDWINSIGRSIVQHSRSVTDSEIVDYDGKTWLWL >Potri.010G238500.1.v4.1 pep chromosome:Pop_tri_v4:10:21798062:21802436:-1 gene:Potri.010G238500.v4.1 transcript:Potri.010G238500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238500.v4.1 MEKGVKRWLVDTSKWNPTPHDFSSALFVLPQHERSSITRFLRMEDRKQALVSRLLQYALIHEVLGIPYNEIVIKRTFEGKPYLECSKVGVEFPNFNFNVSHHGDHVAIASEPLCLVGVDVVCCIKPEKESVPEFIENFSSYFSSLEWDNIINTGTSDEILVDFYRYWCLKEAFVKAVGSGVAYGVDKVEFHHTNWTNISVKVDGEPLTEWRFWLFKLPERHWVAVARGHPRFATENYKRTISKAEFDAEEYHKGLNLPNVAFVTRIIEQLIPVSHGEEKAN >Potri.010G238500.2.v4.1 pep chromosome:Pop_tri_v4:10:21798062:21802436:-1 gene:Potri.010G238500.v4.1 transcript:Potri.010G238500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238500.v4.1 MEKGVKRWLVDTSKWNPTPHDFSSALFVLPQHERSSITRFLRMEDRKQALVSRLLQYALIHEVLGIPYNEIVIKRTFEGKPYLECSKVGVEFPNFNFNVSHHGDHVAIASEPLCLVGVDVVCCIKPEKESVPEFIENFSSYFSSLEWDNIINTGTSDEILVDFYRYWCLKEAFVKAVGSGVAYGVDKVEFHHTNWTNISVKVDGEPLTEWRFWLFKLPERHWVAQL >Potri.010G238500.3.v4.1 pep chromosome:Pop_tri_v4:10:21798062:21802436:-1 gene:Potri.010G238500.v4.1 transcript:Potri.010G238500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238500.v4.1 MEKGVKRWLVDTSKWNPTPHDFSSALFVLPQHERSSITRFLRMEDRKQALVSRLLQYALIHEVLGIPYNEIVIKRTFEGKPYLECSKVGVEFPNFNFNVSHHGDHVAIASEPLCLVGVDVVCCIKPEKESVPEFIENFSSYFSSLEWDNIINTGTSDEILVDFYRYWCLKEAFVKAVGSGVAYGVDKVEFHHTNWTNISVKVDGEPLTEWRFWLFKLPERHWVAQL >Potri.014G041532.1.v4.1 pep chromosome:Pop_tri_v4:14:2714850:2717315:1 gene:Potri.014G041532.v4.1 transcript:Potri.014G041532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041532.v4.1 MQDEGMDAMFGSAINLSQRQYLPKHCDHTEFLCCLQVYHPNIDLEGNVCLNILREDWTPILNINIIIYGLFNLFTQPNHEDPLNSDAANVLRDDPDEFKSNVKRAMSGDSLGDAHFSSCLT >Potri.001G341900.1.v4.1 pep chromosome:Pop_tri_v4:1:35237807:35262896:1 gene:Potri.001G341900.v4.1 transcript:Potri.001G341900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341900.v4.1 MQQRAQDIIYTKQPYIEDVGPRRIKSIQFSTMSGSDILKASECQVHLGQYYDANKKAIVGGLLDTRMGAPNKHGTCQTCGGSFTDCPGHFGYLNLVLPVYNVGYLSTILDILKCICKSCSRVLVDEKLRKSYLKRMRNPRTEPLKKNELMKEIVKKCSSMASSKAVKCLRCGYMNGMVKKAGSVVGIIHDRSKLIDGYLEECKSAIGHTREARAPIGLATYILNPVRVLSLFQRMVEEDCELLYLQGRPEKLIITTIAVPPISIRPSVFTEGSQSNENDITERLKQIIQFNAKLRLELLEGRRTGIKYLIGWDELQAVVTLYINSDVRIPLDMQVGRPLSGFVQRLTGKQGRFRQNLAGKRVEFTGRTVISPDPNLKITEVAIPIHMARILTYPERVTHHNIEKLRQCVNNGSYKYPGARMVTYPDGSSKMLTGNYRKRIAEELKSGCIVHRHLEDGDVVLFNRQPSLHRMSIMCHRARIMPWRTLRFNESVCNPYNADFDGDEMNMHVPQTEEARTEAFLLMGVQNNLCTPKNGEILVASTQDFLTSSFLITRKDTFYDRAAFSLMCSYMNDGMDLVDLPTPSVLKPIELWTGKQLFSVLLRPHANVRVYINLILKEKNYSRPNKEHKKERETMCPNDGYVYFRNSELISGQLGKATLGNGNKDGLYSILLRDYNAYAAATCMNRLAKLSARWIGNHGFSIGIDDVQPGKKLIDEKGKTISNGYRHCNKLIADYNGGRLALKSGCDATQTLETEITERLNKLREEAGDVCMKELHWRNSPLIMSQCGSKGSPINISQMIACVGQQSVGGSRAPDGFIDRSLPHFPRKSKTPAAKGFVANSFYSGLSATEFFFHTMGGREGLVDTAVKTADTGYMARRLSKGLEDLCVQYDNTVQDAGGGIVQFLYGDDGLDPAIMEGKAGVPLNFDRLFMKVKATCGAEEDEYLSPSDISNIVQSLLLKHNGTLDGICSESFRKSLSSFLGDQAKRLECLMKLVDGVEVENFENIKNVEGLTGISKNTEKIAQKVSGITEKQLEVFLKTCLDRYVWKRIEPGTAIGAIGAQSIGEPGTQMTLKTFHFAGVASMNITQGVPRIKEIINGAKRISTPIITVELEHNSNVNAARIIKGRIQKTVLGQVAKSIKIVMTSRSASVKVTLDMKTIREAQLSLDANIVRELILETPKIKRKLQRINVLEDGKLEVFPGGDRNKLHFELHSLKNMLPAVVVKGIKTVERVVIAQKKLDDAENDQGGPKYNMFVEGMGLQAVMGTEGVDGRKTKSNHIIEVQETLGIEAARKCIIDEIKGTMESHGMSIDIRHMMLLADVMTSRGVVLGITRFGIQKMDKSVLMLASFEKTSDHLFNASVKGKDDKIEGVSECIIMGIPVAIGTGVLKIQQRVDPLPMLDYGLDPIIS >Potri.004G230000.1.v4.1 pep chromosome:Pop_tri_v4:4:23364344:23366319:1 gene:Potri.004G230000.v4.1 transcript:Potri.004G230000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230000.v4.1 MAFTSYTYQVFLSFRGEDTRKNFTDHLYSTLSKAGIVTFRDDNSIQRGENIELEIEKAIQESQMSVVVLSKDYASSTWCLDELVMIMDRKRTAGHIVLPVFYDVDPSQVGEQTGNYAEAFAKHQDHFQDDMERVEKWKATLKEVAYLGGMVLQDRHESQFIGDIVEEVGKRLDSTVLLAPYIAWHRYRLLVKKTKMIVYILYIICISIFVGLFLYKFICTWIFLQSEFDRHLKGDQYDRVIWKIGNGPNRAGTEQDFVRELLRSTGTKKNIDMGIKIMCSLVLAFCLS >Potri.010G204200.1.v4.1 pep chromosome:Pop_tri_v4:10:19587401:19587698:-1 gene:Potri.010G204200.v4.1 transcript:Potri.010G204200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G204200.v4.1 MSKKFGAGKQPTGTPSLGWSSVVAIVSLLAGASVVHSIYKPNLTLPPVESVDAAAKEKETGKK >Potri.004G133340.1.v4.1 pep chromosome:Pop_tri_v4:4:15452250:15455146:1 gene:Potri.004G133340.v4.1 transcript:Potri.004G133340.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133340.v4.1 MPLLLETASLQRGRTLMFSALLSLLGLVAFSLDMTQESIVSMAVAGAIIGAAIGGWANDRYGRRSAILIADFLFFTGAVIMASAPGPALLIIGRVFVGLGVGMASMTAPLCISEASPAKIRGDLVSANGFLITGGQFLSYLINLAFTKAPGTWRWMLGIAGVPALLQFSLMLLLPESPRWLFRKGREEEAKAILRKIYPVDDVETEIQDLKESIDKEILEEGGSEKINLIKLLQTKTVRRGLIAGVGLQVFQQFVGINTVMY >Potri.007G076300.1.v4.1 pep chromosome:Pop_tri_v4:7:10042930:10047036:1 gene:Potri.007G076300.v4.1 transcript:Potri.007G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076300.v4.1 MGKTSIIMYITIALLFLLLVTHTPKKPSNLRNRRIKIRNDFAFDPRHHHHEPVPFDPLVADIERKREDKEWERQYLENSHPELVHHSAAPGHESQPEVDDFMDAEDYLNDEEKFNVTNRLLVLFPKIDMEPVDGYLSEHELTEWSLKQSEKEVMHRTKREMDVHDKNHDGLISFAEYEPPSWVHNSDKNSFGYDMGWWKEEHFNASDADGDGLLNITEFNDFQHPADSKNPKLLQWLCKEEVRERDSDKDGKVNFQEFFHGLFDSVRNYDEEGHNSSHLSDDSVEAPAKKLFNELDKDADGFLSDVELLHIIGKLHPSERYYAKQQADYILSQSDTDKDGRLSLTEMIENPYVFYSAIFSDEDNEDDIHDEFR >Potri.014G067500.1.v4.1 pep chromosome:Pop_tri_v4:14:4250727:4251915:-1 gene:Potri.014G067500.v4.1 transcript:Potri.014G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067500.v4.1 MSSTQCLTYFLSLSILQPSTLMARLSLFILLLLLSLVCITGAEKPVRFPRRSRSRAYIENACTKTLYPSLCIQYLSVSANSMTIQTPQQLAQAALSVSLYKALQTRTFMLKVVKELKARKSKDYQAVKDCLDQIGNSVDQLSESVRELHRLERPDAEGGDNVFWHISNVETFVSSAMTDASTCLDGFPGRNMNKSRAMIKAKVLNVAQTASNALALFHRYAAKYKP >Potri.012G055300.1.v4.1 pep chromosome:Pop_tri_v4:12:5298150:5298905:-1 gene:Potri.012G055300.v4.1 transcript:Potri.012G055300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055300.v4.1 MCSETSPRISFSNGLGHDREIEQVSRRDIKLLDSNSDFEFSICSSLGHESSPADELFADGMILPVQIPERITASKQIHKYESPRKDSLPPLPFPSTNGNLTKDSMRELMVVNSDQLKEKPQSKSFWGFKRSSSLNSDIKRSLCSLPLLSRSSSTGSVPYAKRTTLKDMRKNNSQKQQSAVMAKSEPYPSSSSSESSFVYSLPQKPPLKKAGSYGKISPVFNLPPPYIYKGTANLFGLGSFLRNGKEKKSRK >Potri.001G222500.1.v4.1 pep chromosome:Pop_tri_v4:1:23683958:23691059:-1 gene:Potri.001G222500.v4.1 transcript:Potri.001G222500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222500.v4.1 MNFLVGAFKPPCSVSIIFADGRTRKQVPLKKENGQTVMVPLFQSQENIVGEVVIEPVQGKKVEHNGVKIELLGQIELYFDRGNYYDFTSLVRELDVPGELYERKTYPFEFSTVEMPYESYNGVNVRLRYILKMTISRNYVSNIVESQDFVVHNYTPLPSINNSIKMEVGIEDCLHIEFEYSKSKYHLKDVIVGKIYFLLVRIKIKNMELEIRRRESTGSGPNTYVETETLAKFELMDGAPVRGESIPVRLFLSPYELTPTYRNINNKFSVKYYLNLVLVDEEDRRYFKQQEITVYRLLPTP >Potri.001G209600.4.v4.1 pep chromosome:Pop_tri_v4:1:21467266:21470436:1 gene:Potri.001G209600.v4.1 transcript:Potri.001G209600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G209600.v4.1 MTMALSSSPHSPAVLTASQFSTHSPFPKLTTSHFFFSTGKPSNQTSYFNLSSSYSTIDRSWSAKVSFFPAFLKKGKSAKVLKEELLEAIDSLDRGADAIPEDQQRVDEIARKLEAVNPTKEPLKSGLLNGKWELLYTTSQSILQTQRPKLLRSRTNYQAINADILRAQNMESWPFFNQVTADLTPLSAKKVAVKFDVFKILGLIPVKAPGRARGELEITYLDEELRVSRGDKGNLFVLKMVDPSYRVPV >Potri.003G022800.1.v4.1 pep chromosome:Pop_tri_v4:3:2379446:2380640:-1 gene:Potri.003G022800.v4.1 transcript:Potri.003G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G022800.v4.1 MAANLPPGFRFCPSDEELVVHFLHRKAALLPCHPDVIPDLDLYPYDPWELDGKALSEGKQCYFYSRRTQNRITNNGCWKPMVGHVEEPILASENNKLVGIKKYFIFYAAEGIKTNWIMEEYLLSEHRTSHDSSPSTKSSKTRARSKQDYSKWVICRVYERSYEEEEDGGKELSCLDEVFLSLEDLDEISLPN >Potri.004G006700.1.v4.1 pep chromosome:Pop_tri_v4:4:413953:415249:-1 gene:Potri.004G006700.v4.1 transcript:Potri.004G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006700.v4.1 MSSHCLVILCLILCVQSSSCSEGSLVTTVRRTNEWQVSSSVENIKPQRDDHTSLRGTENAETTNLRSWSGQIALHHRRHLRNTHGVLNIIGWGTLLPVGAIVARSFRKFPLKCDEWYKFHVLCQTLGYIIGAVGWSFGMWLGNSSKQYSLRAHRILGIVIFTFATAQMLALYLQPKRENECRRWWKIYHKILGYLLISMIVANIFQGIDHKDHAEKWKWIYVGILSVLSFSALVLEILRFVMPRIHR >Potri.007G056000.2.v4.1 pep chromosome:Pop_tri_v4:7:5832945:5836840:-1 gene:Potri.007G056000.v4.1 transcript:Potri.007G056000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056000.v4.1 MFLFFFQLSSLMATYSLLISLVIYVMAFSINLSHADPRTDLVLRTCGKVRVQNVSNYFKYYSSITDYMQDEIYRNKFAFKDTGEPPDRLYVLAQCMDDLTNDECAMCFSQISTLIPSCFPSTGGRVYFDGCFIRAENYSFYREALAPEDTKRCSGIVNKGKEFSVAVKEVLSKMLLKAPSFRGFASKHETSNGISAYGMANCWKILDHDLCSICLSEAVASALSCIPSTEARVLNAGCFLRYSDASFANDSNGEYSKEEVFSYITYIMGVVLICVIAIGIGVCVGKLTYRRKIRLKQSKETEDLLLEERVQYMQFKYATLDTATESFSETNRLGCGGFGEVFKGTLPDGREIAIKRLYISRKFRVQEIRNEMEIIGRAQHKNLVRFLGCCFTSIDSFLVYEYLANRSLDLILFDPAKKKELDWKRRFLIIEGTAEGLEYLHKYSQVRIIHRDIKASNVLLDLRHRPKISDFGLARFYSCDQSLVNTAIAGTLGYMAPEYIAKGRLTEKVDVYSFGVLVIEMITGVQNNKYQSEKTYETLVTCAWKHFQSNTVQEIIDTSMTIEDAEEIERVVQIGLLCTQESPNLRPTTTEVVQMLRKKDVELSSPSKPPFTDELMELHYLGSLDQQHPSTFGL >Potri.002G184550.1.v4.1 pep chromosome:Pop_tri_v4:2:14630612:14631362:1 gene:Potri.002G184550.v4.1 transcript:Potri.002G184550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184550.v4.1 MEFDVILTYIWGFIMLTCLYKYLCVTCIKEATQVGRCLLLLQLWSWKHLLVECPQLSIKAIITIQNLGFTLPLPLRHK >Potri.006G128550.1.v4.1 pep chromosome:Pop_tri_v4:6:10411327:10412100:1 gene:Potri.006G128550.v4.1 transcript:Potri.006G128550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128550.v4.1 MQCFLMTESHTLFPSKYTLKVSKLKAFQLLRTLFYTPKWSQQPIDDIFEQSWSKQSPCNGPPCTLMRTRGKIEDAQKSRLKQSRKESIELIYKFEVSKRCCLLRFKLKPIFLPGKTLHKYCKASWLCPKREQRLECVVVVPSFKSNLCSFQFLSFKSNFCPKREQSWKD >Potri.003G010400.1.v4.1 pep chromosome:Pop_tri_v4:3:972916:979369:1 gene:Potri.003G010400.v4.1 transcript:Potri.003G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010400.v4.1 MEAHHHHQFTHNIFERRPIIKSKTPAVKWFKEWVPQDVVATGGKCSLFKWVAENQLNVLKEKAKEPPAPEPEPEPTTEVLFLCSFEGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKKFLDSSKLKRHFLIHTGERDFVCPHEGCGKAFSLDFNLRSHMKTHSQENYHICPYSECGKRYAHEYKLKNHIASHHEKNPTPDVVRYATPPERILKNPKPPSGVYGSASSDRPYICPYEGCEKDYIHEYKLKLHLRREHPDHMADENAENANSNADNEMDEASDQDAYGGKRVNGKSQKHSRPKPNLKTPPVKIRQRKGSSSSPATLNVVKKPWPVKDDTYEEDSEETEEEDHDNAEDGWRYGGNEDDDEETEDED >Potri.003G010400.2.v4.1 pep chromosome:Pop_tri_v4:3:972915:978606:1 gene:Potri.003G010400.v4.1 transcript:Potri.003G010400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010400.v4.1 MEAHHHHQFTHNIFERRPIIKSKTPAVKWFKEWVPQDVVATGGKCSLFKWVAENQLNVLKEKAKEPPAPEPEPEPTTEVLFLCSFEGCGKTFIDAGALRKHSHIHGERQYVCHYEGCGKAFSLDFNLRSHMKTHSQENYHICPYSECGKRYAHEYKLKNHIASHHEKNPTPDVVRYATPPERILKNPKPPSGVYGSASSDRPYICPYEGCEKDYIHEYKLKLHLRREHPDHMADENAENANSNADNEMDEASDQDAYGGKRVNGKSQKHSRPKPNLKTPPVKIRQRKGSSSSPATLNVVKKPWPVKDDTYEEDSEETEEEDHDNAEDGWRYGGNEDDDEETEDED >Potri.017G028325.1.v4.1 pep chromosome:Pop_tri_v4:17:1938529:1939050:1 gene:Potri.017G028325.v4.1 transcript:Potri.017G028325.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028325.v4.1 MNHLLSECHYKCAKSLIAKQTIESLDRLPSLEPMAKQLHSLLSSCLLSVTVSIVLLHIPTRVHSVTLKSDVEALQALMQAVDPVSIVRYSYLHSWDFAFDPCEAAGVFQGILCTFPTDKSANRIMAIDLDPAGYDGFLTASIGNLTELTSLRISKNNFRGPIPETIANLQKLT >Potri.001G221300.2.v4.1 pep chromosome:Pop_tri_v4:1:23168172:23168720:-1 gene:Potri.001G221300.v4.1 transcript:Potri.001G221300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221300.v4.1 MQELNFRRQKQLSIHSLARNSNGCWPSDYIFNWLHIGNRCFTFAKCPCNMVWRLWARTQCSTHSSGKFVCATADCASGVIECNGAGAIPPASLAEFTLRGDGGQDYYDISLVDGFNIPILVTPQGRSTGCRSTSCAPDVNAVCDPSLAVRRPDGTVIACKSANLAFNQPQFCCSGEYNTPDI >Potri.009G029800.1.v4.1 pep chromosome:Pop_tri_v4:9:4090265:4094649:1 gene:Potri.009G029800.v4.1 transcript:Potri.009G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029800.v4.1 MQQIQDQRKKKVLVSCFKQIGRCTVSCILVLLTQFALTLVPHFFPASSLLVQLTLSVVALVFVLGLGRWCRRIVGVYASAPALVFFNLIFIWAVYIFIVRQAIPYFISIVFNIEVTLLILGVCSILSSDPGLVTHGSSDANKLIETKAFGVEAHNEGSALLKRVRYCKSCKAYIKGFDHHCPAFGNCIGQNNYVLFMILLAGFLTTEASYIVCSSQFSRGSQILGGTWFETDLAGSLVVSTMLFSLLQVIWQGVFFTWHLYCICFNIRTDEWINWKKYPEFQFVIQSQPGESFTRVMFKNPYDNGYLQNVKEFLSVHG >Potri.010G215200.24.v4.1 pep chromosome:Pop_tri_v4:10:20262809:20270989:-1 gene:Potri.010G215200.v4.1 transcript:Potri.010G215200.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215200.v4.1 MLSMNNGFAEQNHIVEDEQKKIRDGIMGEDQELSEEGESQINEDEKDVNDKGMESLQVLTDAQVVIQSQHQQSQGPLVHWERFLPRRSLKVLLVENDDSTRHVVSALLRNCGYEATAVANGLQAWKLLQDLTNHIDLVLTEVAMPCLSGIGLLSNIMSHKTCRNIPVIMMSSHDSMNVVFRCLSKGAVDFLVKPIRKNELKILWQHVWRRCHSASGSGSESAVRIQKSLKSKGADESDNDTDSNDDDDIGSIGLNARDGSDNGSGTQSSWTKRAVEVDSPKPMLPWDQLADPPDSTFAQVIHSRSEACDNWVPLATTKKFGKQDDELDNFVMGKDLEIGVPRIPNLQHKDLSKEVLTNIAGNNGEKFREIKSEQDSGHLEKGQLELNSEKHNTELRNQGNDLKGVSTNITNPQIESEVVDISNSLSSNKKNEVIYETKEMPSLELVLKRLRDTGDAWASANDRNVLRHSDLSAFSRYNSASTAYQAPTGNVGSCSLLDKCSEAAKTESMQNLQSNSNSTPRNLCSNGSSNNNDVGTTTNNAFAKPLVIRDKPTPKSTVKCLHPSSAFQPVQNDQTLHAQPVIQGKGDAPIANTILAQSRGMNQQGQVQHHRHCVHNMPLTIRNDLSLKNMAAAGPRCGSSNMLSTPMEGNAGNYSMNGSNGQNESCIALNPRGINLESNSGAAGKDENPGTGDESGSRSGGGQNCFALREAALNKFRQKRKERCFEKKVRYQSRKKLAEHRPRVRGQFVRQVPFEHKDEDAQS >Potri.010G215200.23.v4.1 pep chromosome:Pop_tri_v4:10:20262813:20271048:-1 gene:Potri.010G215200.v4.1 transcript:Potri.010G215200.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215200.v4.1 MLSMNNGFAEQNHIVEDEQKKIRDGIMGEDQELSEEGESQINEDEKDVNDKGMESLQVLTDAQVVIQSQHQQSQGPLVHWERFLPRRSLKVLLVENDDSTRHVVSALLRNCGYEATAVANGLQAWKLLQDLTNHIDLVLTEVAMPCLSGIGLLSNIMSHKTCRNIPVIMMSSHDSMNVVFRCLSKGAVDFLVKPIRKNELKILWQHVWRRCHSASGSGSESAVRIQKSLKSKGADESDNDTDSNDDDDIGSIGLNARDGSDNGSGTQSSWTKRAVEVDSPKPMLPWDQLADPPDSTFAQVIHSRSEACDNWVPLATTKKFGKQDDELDNFVMGKDLEIGVPRIPNLQHKDLSKEVLTNIAGNNGEKFREIKSEQDSGHLEKGQLELNSEKHNTELRNQGNDLKGVSTNITNPQIESEVVDISNSLSSNKKNEVIYETKEMPSLELVLKRLRDTGDAWASANDRNVLRHSDLSAFSRYNSASTAYQAPTGNVGSCSLLDKCSEAAKTESMQNLQSNSNSTPRNLCSNGSSNNNDVGTTTNNAFAKPLVIRDKPTPKSTVKCLHPSSAFQPVQNDQTLHAQPVIQGKGDAPIANTILAQSRGMNQQGQVQHHRHCVHNMPLTIRNDLSLKNMAAAGPRCGSSNMLSTPMEGNAGNYSMNGSNGQNESCIALNPRGINLESNSGAAGKDENPGTGDESGSRSGGGQNCFALREAALNKFRQKRKERCFEKKVRYQSRKKLAEHRPRVRGQFVRQVPFEHKDEDAQS >Potri.010G215200.25.v4.1 pep chromosome:Pop_tri_v4:10:20262812:20270989:-1 gene:Potri.010G215200.v4.1 transcript:Potri.010G215200.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215200.v4.1 MLSMNNGFAEQNHIVEDEQKKIRDGIMGEDQELSEEGESQINEDEKDVNDKGMESLQVLTDAQVVIQSQHQQSQGPLVHWERFLPRRSLKVLLVENDDSTRHVVSALLRNCGYEATAVANGLQAWKLLQDLTNHIDLVLTEVAMPCLSGIGLLSNIMSHKTCRNIPVIMMSSHDSMNVVFRCLSKGAVDFLVKPIRKNELKILWQHVWRRCHSASGSGSESAVRIQKSLKSKGADESDNDTDSNDDDDIGSIGLNARDGSDNGSGTQSSWTKRAVEVDSPKPMLPWDQLADPPDSTFAQVIHSRSEACDNWVPLATTKKFGKQDDELDNFVMGKDLEIGVPRIPNLQHKDLSKEVLTNIAGNNGEKFREIKSEQDSGHLEKGQLELNSEKHNTELRNQGNDLKGVSTNITNPQIESEVVDISNSLSSNKKNEVIYETKEMPSLELVLKRLRDTGDAWASANDRNVLRHSDLSAFSRYNSASTAYQAPTGNVGSCSLLDKCSEAAKTESMQNLQSNSNSTPRNLCSNGSSNNNDVGTTTNNAFAKPLVIRDKPTPKSTVKCLHPSSAFQPVQNDQTLHAQPVIQGKGDAPIANTILAQSRGMNQQGQVQHHRHCVHNMPLTIRNDLSLKNMAAAGPRCGSSNMLSTPMEGNAGNYSMNGSNGQNESCIALNPRGINLESNSGAAGKDENPGTGDESGSRSGGGQNCFALREAALNKFRQKRKERCFEKKVRYQSRKKLAEHRPRVRGQFVRQVPFEHKDEDAQS >Potri.010G215200.14.v4.1 pep chromosome:Pop_tri_v4:10:20262808:20271048:-1 gene:Potri.010G215200.v4.1 transcript:Potri.010G215200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215200.v4.1 MLSMNNGFAEQNHIVEDEQKKIRDGIMGEDQELSEEGESQINEDEKDVNDKGMESLQVLTDAQVVIQSQHQQSQGPLVHWERFLPRRSLKVLLVENDDSTRHVVSALLRNCGYEATAVANGLQAWKLLQDLTNHIDLVLTEVAMPCLSGIGLLSNIMSHKTCRNIPVIMMSSHDSMNVVFRCLSKGAVDFLVKPIRKNELKILWQHVWRRCHSASGSGSESAVRIQKSLKSKGADESDNDTDSNDDDDIGSIGLNARDGSDNGSGTQSSWTKRAVEVDSPKPMLPWDQLADPPDSTFAQVIHSRSEACDNWVPLATTKKFGKQDDELDNFVMGKDLEIGVPRIPNLQHKDLSKEVLTNIAGNNGEKFREIKSEQDSGHLEKGQLELNSEKHNTELRNQGNDLKGVSTNITNPQIESEVVDISNSLSSNKKNEVIYETKEMPSLELVLKRLRDTGDAWASANDRNVLRHSDLSAFSRYNSASTAYQAPTGNVGSCSLLDKCSEAAKTESMQNLQSNSNSTPRNLCSNGSSNNNDVGTTTNNAFAKPLVIRDKPTPKSTVKCLHPSSAFQPVQNDQTLHAQPVIQGKGDAPIANTILAQSRGMNQQGQVQHHRHCVHNMPLTIRNDLSLKNMAAAGPRCGSSNMLSTPMEGNAGNYSMNGSNGQNESCIALNPRGINLESNSGAAGKDENPGTGDESGSRSGGGQNCFALREAALNKFRQKRKERCFEKKVRYQSRKKLAEHRPRVRGQFVRQVPFEHKDEDAQS >Potri.010G215200.26.v4.1 pep chromosome:Pop_tri_v4:10:20262809:20270988:-1 gene:Potri.010G215200.v4.1 transcript:Potri.010G215200.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215200.v4.1 MLSMNNGFAEQNHIVEDEQKKIRDGIMGEDQELSEEGESQINEDEKDVNDKGMESLQVLTDAQVVIQSQHQQSQGPLVHWERFLPRRSLKVLLVENDDSTRHVVSALLRNCGYEATAVANGLQAWKLLQDLTNHIDLVLTEVAMPCLSGIGLLSNIMSHKTCRNIPVIMMSSHDSMNVVFRCLSKGAVDFLVKPIRKNELKILWQHVWRRCHSASGSGSESAVRIQKSLKSKGADESDNDTDSNDDDDIGSIGLNARDGSDNGSGTQSSWTKRAVEVDSPKPMLPWDQLADPPDSTFAQVIHSRSEACDNWVPLATTKKFGKQDDELDNFVMGKDLEIGVPRIPNLQHKDLSKEVLTNIAGNNGEKFREIKSEQDSGHLEKGQLELNSEKHNTELRNQGNDLKGVSTNITNPQIESEVVDISNSLSSNKKNEVIYETKEMPSLELVLKRLRDTGDAWASANDRNVLRHSDLSAFSRYNSASTAYQAPTGNVGSCSLLDKCSEAAKTESMQNLQSNSNSTPRNLCSNGSSNNNDVGTTTNNAFAKPLVIRDKPTPKSTVKCLHPSSAFQPVQNDQTLHAQPVIQGKGDAPIANTILAQSRGMNQQGQVQHHRHCVHNMPLTIRNDLSLKNMAAAGPRCGSSNMLSTPMEGNAGNYSMNGSNGQNESCIALNPRGINLESNSGAAGKDENPGTGDESGSRSGGGQNCFALREAALNKFRQKRKERCFEKKVRYQSRKKLAEHRPRVRGQFVRQVPFEHKDEDAQS >Potri.018G132200.1.v4.1 pep chromosome:Pop_tri_v4:18:14192939:14196703:-1 gene:Potri.018G132200.v4.1 transcript:Potri.018G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132200.v4.1 MKSFACFGKKLEHPRNFFCNKVSCFHKSSMCSIRSFLRVLVFQIHPFWVQLAYFVILSLVGHMALKVSKPRPGSLRPASLDIFFTSVSSATVSSMSTVEMEVFSNTQLIIMTILMLLGGEVFTSILGLYLSRFKFSKHETKESRVSSVYHNPPKRTNFPGLEIEKPTNVDLECNLNSLDNDHSLKLNSLKSLACVALGYFSVVHITGSSLVAMYTSLVPSARQVLGSKGIKIQTFSVFTTVSTFSNCGFVPTNENMVAFKKNSGLLLILIPQILLGNTLYPSCLRFLIWILEKITRKVEFRYILMNTREMGYGHLLSFSHSCLLAITVSGFILVQFILFCSMEWNSGAMDGLNPYQKLMGALFQVVNSRHTGESIVDLSIISPAILVLFVVMMYLPPYTSFMPKKQQEEVDSETGQKCKNQRKSLVQCLLFSPLSTLAIFVILICVSEREKLKKDPLNFNVLNITIEVVSAYGNVGFSTGYSCKRQLEPDSSCKDAWFGFVGRWSNMGKFILILVMFFGRLKKFSINGGKAWKLS >Potri.016G097900.1.v4.1 pep chromosome:Pop_tri_v4:16:9815583:9818748:-1 gene:Potri.016G097900.v4.1 transcript:Potri.016G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097900.v4.1 MAVHRDNLLEEEENEEDNALFEGDGLVDQDSDIPPHLRDLARAAQTGDVDALRYALDNLNGSIDEPVEDGDTALHLTCLYGYCPCVQLLLERGANLEAKDEEGAIPLHDACAGGFTEIVQLLVNSANSAERVKRMLEIVDDEGDTPLHHAARGEHADVIRLLLASGASATTANSYGKTPSELPEPDTEAHRILESAG >Potri.003G189850.3.v4.1 pep chromosome:Pop_tri_v4:3:19387592:19393433:-1 gene:Potri.003G189850.v4.1 transcript:Potri.003G189850.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189850.v4.1 MSEGVQRVVVIQDASRDMSPIAIRLVLNGFSLKPGDAIILFGVLHQVNNPMGYRFKVDSKSMFGANPKFIEEEVSRKTEEYVNNVEILEIAKQCEMEQIEFRVEVRAGSTPKELALKAAKIFRATWVILDRQMKKDKRYFMDKLPCGISRMKRNNTIEQLRGSKTRENIKVNEKEEERVPYDEMIPGSPKRRRSARSYHEHDTSSSTSKTAKHAYMNFQGKENTANTQQATAGKHSLAENTKFEQKEREAESLNEQQRRQKNIDNWMGESPTDEVFKNSICLVCKNRRPKIGWKRDFSYKEIHSATEGFSQTKFLSEGGFGSVYRGDLDGLAFAVKQHNSASFQGEKEFKSEVEVLSKARHENLVMLLGSCSEGNDRLLVYEYVCNGSLDQHLSKHARKPLTWEKRMKIALGAARGLKYLHENNIIHRDMRPNNILITHDHEALLGDFGLARTQHEDSEPSLETRVVGTLGYLAPEYAECGKVSTKTDVYAFGVVLLQLITGLKTTDKILGGKSLVGWARPLLKERNYPDLIDQRILESHDVHQLFWMVRVAEKCLSKDPQKRLTMDKVVYALNYIIESNSTCSLGELTPAKSDSPSSVQDSYESYDDTTSFTIETFSPGFPTDTTSTSSTSPRLPPSPPINFRHHLHY >Potri.003G189850.1.v4.1 pep chromosome:Pop_tri_v4:3:19387592:19393433:-1 gene:Potri.003G189850.v4.1 transcript:Potri.003G189850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189850.v4.1 MSEGVQRVVVIQDASRDMSPIAIRLVLNGFSLKPGDAIILFGVLHQVNNPMGYRFKVDSKSMFGANPKFIEEEVSRKTEEYVNNVEILEIAKQCEMEQIEFRVEVRAGSTPKELALKAAKIFRATWVILDRQMKKDKRYFMDKLPCGISRMKRNNTIEQLRGSKTRENIKVNEKEEERVPYDEMIPGSPKRRRSARKPPAPPSTGAVREQQFNHGEEITPENHGRSDSFSKSASTSQFMMTTASSSTSTTGYHEHDTSSSTSKTAKHAYMNFQGKENTANTQQATAGKHSLAENTKFEQKEREAESLNEQQRRQKNIDNWMGESPTDEVFKNSICLVCKNRRPKIGWKRDFSYKEIHSATEGFSQTKFLSEGGFGSVYRGDLDGLAFAVKQHNSASFQGEKEFKSEVEVLSKARHENLVMLLGSCSEGNDRLLVYEYVCNGSLDQHLSKHARKPLTWEKRMKIALGAARGLKYLHENNIIHRDMRPNNILITHDHEALLGDFGLARTQHEDSEPSLETRVVGTLGYLAPEYAECGKVSTKTDVYAFGVVLLQLITGLKTTDKILGGKSLVGWARPLLKERNYPDLIDQRILESHDVHQLFWMVRVAEKCLSKDPQKRLTMDKVVYALNYIIESNSTCSLGELTPAKSDSPSSVQDSYESYDDTTSFTIETFSPGFPTDTTSTSSTSPRLPPSPPINFRHHLHY >Potri.003G031600.2.v4.1 pep chromosome:Pop_tri_v4:3:3540075:3542657:-1 gene:Potri.003G031600.v4.1 transcript:Potri.003G031600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G031600.v4.1 MPFSLGFEIHLPSTKHHLHSSFLKKMISMACSQSSPVTENPPLSLFETCKSMYHLKQIHSRTIKTGIICNPIIQNKILSFCCSREFGDMCYARQLFDTIPEPSVFSWNIMFKGYSRIACPKLGVSLYLEMLERNVKPDCYTYPFLFKGFTRSVALQLGRELHCHVVKYGLDSNVFAHNALINMYSLCGLIDMARGIFDMSCKSDVVTWNAMISGYNRIKKYDEARKLFDMMEEKGILPTSVTCVSVLSACSKLKDLECGKRVQKYIRNGVVEVNLKVENALIDMYASCGEMNVALGIFENMKNRDVISWTAIVTGFVNTGQVDAARKYFHKMPERDHVSWTAMIDGYLRLNCYKEALMLFREMQTSKIKPDEFTMVSVLTACAQLGALELGEWIRTYIDKNKVKNDTFVGNALIDMYFKCGNVEMALSIFNTLPQRDKFTWTAMVVGLAINGCGEEALNMFSQMLKASVTPDEVTYVGVLSACTHTGMVDEGKKFFASMTARHGIEPNIAHYGCMVDLLGKAGHLKEAHEIIKNMPMKPNSIVWGALLGACRIHKDAEMAERAIEQILELEPNNGAVYVLQCNIYAACNKWDKLRELRQVMMDRGIKKTPGCSLIEMNGIVHEFVAGDQSHPQTKEIYGKLNKMTSDLKIAGYSPNTSEVFLDIAEEDKENAVYRHSEKLAIAFGLINSGPGVTIRIVKNLRMCIDCHHVAKLVSKVYDREVIVRDRTRFHHFRHGSCSCKDYW >Potri.010G182800.1.v4.1 pep chromosome:Pop_tri_v4:10:18081874:18082688:-1 gene:Potri.010G182800.v4.1 transcript:Potri.010G182800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182800.v4.1 MDVISHISTSTLNPNAPLFVPLSYRTVEDFSDQWWALVQSSAWFRDYWLQERFHDPEAEPLFSDICDPVLSEDLDSLFFDDPIYDTIKGEEVELKGCNKELVSLGVLKWKMDRFDRAQAPRYLEKIPKIVNVKLSPRTIQQPRQSCMTR >Potri.016G093600.1.v4.1 pep chromosome:Pop_tri_v4:16:7968403:7975637:-1 gene:Potri.016G093600.v4.1 transcript:Potri.016G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093600.v4.1 MSQESLEIKTIEQWKWSEMQGLELVSEPPPDPSSQSHPFKITPTTPSLTLNTNSTDQLQQQQQQSVVERREMESTEPKKGGTSSSSGGGGNGEKPGDVAVVGFGELFRFADGLDYVLMGIGSMGAFVHGCSLPLFLRFFADLVNSFGSNANNMDKMMQEVLKYAFYFLIVGAAIWASSWAEISCWMWTGERQSTKMRIKYLEAALNQDIQYFDTEVRTSDVVSAINTDAVMVQDAISEKLGNFIHYMATFVSGFVVGFTAVWQLALVTLAVVPLIAVIGAIHTTTLAKLSGKSQEALSQAGNIVEQTIVQIRVVLAFVGESRALQAYSSALKVAQRIGYKSGFSKGMGLGATYFVVFCCYALLLWYGGYLVRHRYTNGGLAIATMFAVMIGGLGIGQAIPSMGAFAKAKVAAAKIFRIIDHKPAIDRNSESGIELEAVTGLVELNNVDFAYPSRPDVRILNNFSLNVPAGKTIALVGSSGSGKSTVVSLIERFYDPNSGQVLLDGHDIKTLKLRWLRQQIGLVSQEPALFATTIKENILLGRPDADQVEIEEAARVANAHSFIIKLPDGFDTQVGERGLQLSGGQKQRIAIARAMLKNPAILLLDEATSALDSESEKLVQEALDRFMIGRTTLVIAHRLSTIRKADLVAVLQQGSVSEIGTHDELIAKGENGVYAKLIRMQEMAHETALNNARKSSARPSSARNSVSSPIIARNSSYGRSPYSRRLSDFSTSDFSLSLDASFPNYRLEKLAFKEQASSFWRLAKMNSPEWVYALVGSIGSVICGSLSAFFAYVLSAVLSIYYNPNHAYMSREIAKYCYLLIGLSSAALIFNTLQHSFWDIVGENLTKRVREKMLTAVLKNEMAWFDQEENESARIAARLALDANNVRSAIGDRISVIVQNTALMLVACTAGFVLQWRLALVLIAVFPLVVAATVLQKMFMNGFSGDLEAAHSKATQLAGEAIANVRTVAAFNSEAKIVGLFSSNLETPLRRCFWKGQIAGSGFGIAQFSLYASYALGLWYASWLVKHGISDFSNTIRVFMVLMVSANGAAETLTLAPDFIKGGRAMRSVFDLLDRKTEIEPDDPDATPVPDRLRGEVELKHVDFSYPTRPDVPIFRDLNLRARAGKILALVGPSGCGKSSVIALIQRFYEPSSGRVMIDGKDIRKYNLKSLRKHIAVVSQEPCLFATTIYENIAYGNESATEAEIIEAATLANADKFISSLPDGYKTFVGERGVQLSGGQKQRVAIARALIRKAELMLLDEATSALDAESERSVQEALDRACSGKTTIVVAHRLSTIRNAHVIAVIDDGKVAEQGSHSHLLKNYPDGSYARMIQLQRFTHSQVVGMTSGSSSSTRPKDDGEKEG >Potri.006G195600.5.v4.1 pep chromosome:Pop_tri_v4:6:20392530:20401133:-1 gene:Potri.006G195600.v4.1 transcript:Potri.006G195600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195600.v4.1 MLSVLRVHLPSDIPIVGCELTPYVVLRRPDKDYITDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEHATLQCIGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEELFGRYNSSGSGVLNTSLSGSASSASLTNGSTPLYPAAVTQRSGETWFEVGRSKIYTPSADDIGHVLKFECVVVDAETKLPVGHPNTLLTSRVIPAPSPTPRRLIPVSGLDAMVPLDLDGRISSTGTFTVLSYNILSDVYATNETYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYAGNTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGVDNPGKRQLLCVANTHINVHQDLKDVKLWQVLTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDPLHPDLVVDPLGILRPHNKLTHQLPLVSAYSSFARVGVGLGSDQQRRRMDATTNEPLFTNCTRDFIGALDYIFYTADSLMVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKNRPRR >Potri.006G195600.4.v4.1 pep chromosome:Pop_tri_v4:6:20392668:20401447:-1 gene:Potri.006G195600.v4.1 transcript:Potri.006G195600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195600.v4.1 MLSVLRVHLPSDIPIVGCELTPYVVLRRPDKDYITDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEHATLQCIGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEELFGRYNSSGSGVLNTSLSGSASSASLTNGSTPLYPAAVTQRSGETWFEVGRSKIYTPSADDIGHVLKFECVVVDAETKLPVGHPNTLLTSRVIPAPSPTPRRLIPVSGLDAMVPLDLDGRISSTGTFTVLSYNILSDVYATNETYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYAGNTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGVDNPGKRQLLCVANTHINVHQDLKDVKLWQVLTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDPLHPDLVVDPLGILRPHNKLTHQLPLVSAYSSFARVGVGLGSDQQRRRMDATTNEPLFTNCTRDFIGALDYIFYTADSLMVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKNRPRR >Potri.006G195600.3.v4.1 pep chromosome:Pop_tri_v4:6:20392508:20401710:-1 gene:Potri.006G195600.v4.1 transcript:Potri.006G195600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G195600.v4.1 MLSVLRVHLPSDIPIVGCELTPYVVLRRPDKDYITDDVPESAPLDGHFLRYKWYRIQSDRKVAVCSVHPSEHATLQCIGCVKAKIPVAKSYHCSPKCFSDAWQHHRVLHDRAASAVNENGNEEEELFGRYNSSGSGVLNTSLSGSASSASLTNGSTPLYPAAVTQRSGETWFEVGRSKIYTPSADDIGHVLKFECVVVDAETKLPVGHPNTLLTSRVIPAPSPTPRRLIPVSGLDAMVPLDLDGRISSTGTFTVLSYNILSDVYATNETYSYCPSWALSWPYRRQNLLREIVGYRADIVCLQEVQSDHYEEFFAPELDKHGYQALYKRKTNEVYAGNTHTIDGCATFFRRDRFSHVKKYEVEFNKAAQSLTDALVPSAQRKTALNRLVKDNVALIVVLEAKFSNQGVDNPGKRQLLCVANTHINVHQDLKDVKLWQVLTLLKGLEKIAASADIPMLVCGDFNSVPGSAPHSLLAMGKVDPLHPDLVVDPLGILRPHNKLTHQLPLVSAYSSFARVGVGLGSDQQRRRMDATTNEPLFTNCTRDFIGALDYIFYTADSLMVESLLELLDEESLRKDTALPSPEWSSDHIALLAEFRCKNRPRR >Potri.018G002400.1.v4.1 pep chromosome:Pop_tri_v4:18:152348:157471:-1 gene:Potri.018G002400.v4.1 transcript:Potri.018G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002400.v4.1 MWMPRSLPEKRVGGNGLIAVAIDKDKSSQIALKWAIDNLLVKGQTVILIHVNLKSSLSSHSSSPKKNQFVGSKDPDSKDLFLPFRCFCTRKDISCKDVMLEDTDVAKALIEYVTQTLIETLIVGGSTKGGFLRFKAADIAGSVSRGAPDFCTVYVISKGKIQSMRPASRPAPVTSVHSQLLNQNGTMPASMDTHIPPTPVKRAEKPPLEPPRRSIGETESFRSPFTRRGLNGKSYGELSVPDSDISFVSSGRASIDSIFPAFYDNTETSRTPPRLSNISDFDSNYSFESLHYGRRSMDQGGFSPELSPFSHESDQLPSAAEDVEAEMRRLKLELKQTMEMYSSACKEALTAKQKAKELQRWKMEEEQRLEEARLAEEAALAIAEREKAKSKAAIEAAEAAQRIAELESQKRINAEMKAHREAEEKRKALDALANSDVRYRRYPIEEIEAATDYFSESRKIGEGGYGPVYKGYLDHTSVAIKVLRPDAAQGRSQFQQEVEVLCCIRHPNMVLLLGACPEYGCLVYEFMANGSLEDRLFCRGNTPPLSWQLRFRIAAEIGTGLLFLHQTKPEPLVHRDLKPANILLDRNFVSKISDVGLARLVPPSVADNVTQYRMTSTAGTFCYIDPEYQQTGMLGIKSDIYSLGIMFLQILTAKPAMGLTHHVERALEKGTFAQMLDPAVPDWPIEEATYFAKLSLKCAELRRKDRPDLGKVVLPELKRLRAIAEETTHRSLSTPSASDLPSSRSEVSSRSSSAHA >Potri.017G072900.1.v4.1 pep chromosome:Pop_tri_v4:17:7991903:7995915:-1 gene:Potri.017G072900.v4.1 transcript:Potri.017G072900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G072900.v4.1 MKDQKSESDGGYVRADQIDLKSLDEQLQRHLSRAWTMEKNKNKKDGDQGEGDIEETPRLANNNNSTTIARQEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSDAEIASLRAAFTQEVVVWHKLDHPNVTKFIGATIGSSELNIQTENGHIGMPSNICCVVVEYCPGGALKSYLIKNWRRKLAFKVVIQMALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKIADFGVARLEASNPNEMTGETGTLGYMAPEVLNGNPYNRKCDVYSFSICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSALGNVMKRCWDANPDKRPEMEEVVSMLEAIDTSKGGGMIPSDQPQGCLCFRRYRGP >Potri.005G131300.2.v4.1 pep chromosome:Pop_tri_v4:5:10128276:10132061:-1 gene:Potri.005G131300.v4.1 transcript:Potri.005G131300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131300.v4.1 MHLSLWKPISQCAALLLYKKSRRKDGSESSLDIKRDSSILRKLQEHKLREALEEASEDGLLLKSQDMESETLANQDESLGRSRSLARLHAQREFLRATALAAERIFENEESIPDLHEAFSKFLMMYPKYQSSEKVDQLRSDEYAHLSPKVCLDYCGFGLFSYLQSLHYWDSSTFSLSEITANLSNHALYGGAEKGTVEYDIKTRIMDYLNIPEHEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDYESQSVNWMAQSAKEKGAKVYSSWFKWPTLKLCSTDLRKQISNKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQSGSTGSGMVKITPEFPMYLSDSVDGLDGLVGIEDDEVAGNAEKATENHPVTQLPAFSGAFTSSQVRDVFETEMEHENSSDRDGTSTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDSAGQLNKPKLASPLPPFWFSGKKNNARLSPKPTSKVYGSPMYDDKGVNSGSHDDHQVLSFDAAVLSVSQELDHVKEVSEEEQFSGTDLSSRNNKKGSDRLHVHEIEEEPGTSFFSNSAINRSHLNNSTSGLQHNLTNGSTAAICSEMKESAIRRETEGEFRLLGRREGSRYGGGSRFFGLEENGHSSRGRRVSFSMEDNHKERLSHTLEPGEISATSLDDEDYSTDGEYADGQDWDRREPEIICRHLDHVNMLGLNKTTLRLRYLINWLVTSLLQLRLPSPDGDGRVNLVHIYGPKIKYERGAAVAFNVRDRNRGLINPEVVQKLAEREGVSLGIGFLSHIRILDSPRPQYGAVNLEDTSLCRPMENGHHNGKSGFIRVEVVTASLGFLTNFEDVYKLWAFVSKFLNPTFINDGGLPTVEEGTEA >Potri.005G131300.1.v4.1 pep chromosome:Pop_tri_v4:5:10127732:10131913:-1 gene:Potri.005G131300.v4.1 transcript:Potri.005G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131300.v4.1 MHLSLWKPISQCAALLLYKKSRRKDGSESSLDIKRDSSILRKLQEHKLREALEEASEDGLLLKSQDMESETLANQDESLGRSRSLARLHAQREFLRATALAAERIFENEESIPDLHEAFSKFLMMYPKYQSSEKVDQLRSDEYAHLSPKVCLDYCGFGLFSYLQSLHYWDSSTFSLSEITANLSNHALYGGAEKGTVEYDIKTRIMDYLNIPEHEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDYESQSVNWMAQSAKEKGAKVYSSWFKWPTLKLCSTDLRKQISNKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNHWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYRVFGYDPTGFGCLLIKKSVMGSLQNQSGSTGSGMVKITPEFPMYLSDSVDGLDGLVGIEDDEVAGNAEKATENHPVTQLPAFSGAFTSSQVRDVFETEMEHENSSDRDGTSTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDSAGQLNKPKLASPLPPFWFSGKKNNARLSPKPTSKVYGSPMYDDKGVNSGSHDDHQVLSFDAAVLSVSQELDHVKEVSEEEQFSGTDLSSRNNKKGSDRLHVHEIEEEPGTSFFSNSAINRSHLNNSTSGLQHNLTNGSTAAICSEMKESAIRRETEGEFRLLGRREGSRYGGGSRFFGLEENGHSSRGRRVSFSMEDNHKERLSHTLEPGEISATSLDDEDYSTDGEYADGQDWDRREPEIICRHLDHVNMLGLNKTTLRLRYLINWLVTSLLQLRLPSPDGDGRVNLVHIYGPKIKYERGAAVAFNVRDRNRGLINPEVVQKLAEREGVSLGIGFLSHIRILDSPRPQYGAVNLEDTSLCRPMENGHHNGKSGFIRVEVVTASLGFLTNFEDVYKLWAFVSKFLNPTFINDGGLPTVEEGTEA >Potri.012G075000.1.v4.1 pep chromosome:Pop_tri_v4:12:9844887:9848206:-1 gene:Potri.012G075000.v4.1 transcript:Potri.012G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075000.v4.1 MNSKETCRSELRIALRQLSDRCLYSASKWAGEQLVGIEQDPAKFTPTNTRFQRGSSSIRRRFRTNDITSTPVTGMSYVSTPVLEEDEVIDGDFYLLAKSYFDCREYKRAAHVLRDQNAKKSVFLRCYALYLAGEKRKEEEMIELEGPLGKSDAVNRELVSLERELSTLRKNGTIDPFGLYLYGLVLKNRGNQNLARTVIVESVNSYPWNWNAWTELQSLCTTIEMLNSLNLSNHWMKDFFLASAYQELRMHNESLAKYEYLQGTFSFSNYIQAQIAKAQYCLREFDQVEVIFEELLRNDPYRVEDMDMYSNVLYAKECFSALSYLAHRVFMTDKYRPESCCIIGNYYSLKGQHEKSVMYFRRALKLDKKYLSAWTLMGHEYVEMKNTPAAVDAYRRAVDINPCDYRAWYGLGQAYEMMGMPFYALHYFKKSVFLQPSDSRLWIAMAQCYETDQLHLLEDAIKCYRRAANCNDKEAIALHQLAKLHFELGRPEEAAFYYKKDLDRMEDEEREGPNMVEALLFLAQHCRTHKRLEEAEVYCTRLLDYTGPEKEMAKNMLRGMRSESSFPSMDVEHFPP >Potri.002G263600.1.v4.1 pep chromosome:Pop_tri_v4:2:25092792:25096091:1 gene:Potri.002G263600.v4.1 transcript:Potri.002G263600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G263600.v4.1 MVGGENLSRITVSKASGEDTISNVSSFGNQGMPHSITVPPPKKKRNLPGMPDPDAEVVALSPKTLVATIRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEPRKRVYVCPESSCVHHNPARALGDLTGIKKHFYRKHGEKKWKCERCSKKYAVQSDWKAHLKTCGTKEYKCDCGTLFSRRDSFVTHRAFCDALAEESARAQTLAIMGREGNGCDIKRVGASPPPPPLTPSTSVVSPGLSVQSSELAENPIGLSPPPIACASATSTSSTSSTSNVFATTFASSTATPAAIPQQASVPSSFPNLFCGLARSDYPTTMPTPRAIEPPSLSLSPSFYLSNNTSSLFSTEQEHYHYTPSPQPAMSATALLQKAAQMGATTSNPSFLRGLGLPRSTNQDSNCNKWDVKPENNTTVAAGLGLGLPSSDVMMGSSSLFGNKPATLDLLGLGMDAASSALLNSYSGGFNVGAATAAAYGGGGGRGTSEETWDGVPERKPYGSTGA >Potri.006G242700.1.v4.1 pep chromosome:Pop_tri_v4:6:24393865:24394700:1 gene:Potri.006G242700.v4.1 transcript:Potri.006G242700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242700.v4.1 MLELWKWYQNCLAVHPVKKQVISSGFIWGFGDIAAKSIAHHTAKKYHQIKHFTATVKRFLIDGTAYSMKGCRFIRSRLLMRPNSLRFVGAKVAIDGFLFGPLDLLVFFSYMGFATGKSVPQIRKDLKRDLIPAFVLEGGIRPIVRVANFRFVPVRYQLLYVNFFCLLDSCFLSWLE >Potri.005G237200.1.v4.1 pep chromosome:Pop_tri_v4:5:23518650:23519472:-1 gene:Potri.005G237200.v4.1 transcript:Potri.005G237200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237200.v4.1 MVIRKSNRLPQTAVIRQILKRCSSLGKKQGYHDQEGLPLDVPKGHFVVYVGENRSRYIVPISILSSPEFQTLLQQAEEEFGFDHDMGLTIPCEEVVFQSILIRY >Potri.019G048600.1.v4.1 pep chromosome:Pop_tri_v4:19:8009750:8013186:1 gene:Potri.019G048600.v4.1 transcript:Potri.019G048600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G048600.v4.1 MVGISSNISLSTVSTYPLENRILLLMRKKPTCNFMVFKSCFQMGRTGIHCKLSGVEDNPTGKNLSLSSTNRMEEYNIAMKRMMRNPYEYHHDLGMNYTLITDNVIVGSQPQKPEDIEHLRHEENVAYILNLQQDKDIEYWGIDLQSIKQRCQQLGIRHMRRPATDFDPDSLRSALPKAVSSLEWATSEGKGRVYLHCTAGLGRAPAVAIAYMFWFCCMNLNTAYDTLTSKRPCGPSKRSIQAATYDLAKNDPWKEPFESLPEYAFEDIADWERHLIQDRVRSLRGT >Potri.001G050300.2.v4.1 pep chromosome:Pop_tri_v4:1:3809655:3823367:-1 gene:Potri.001G050300.v4.1 transcript:Potri.001G050300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050300.v4.1 MEMDIPLPDELELLEANSHFYQDYLDPPSPDPCTYSPQLLPEQSPPQSPSAPQINDYNKRPRSDGPDSPNQEDAVLFDEKRSKIDDDTGPEVDDEDWLRQVQDRNGGNEEERVEVVVEEEEKEKIVSRYVSEIDGDFIPVTAPSGGDRVYAKICRVDTEQGAKKLDFKSQSNGLISEPINVLLQRMEQEAFTKALQASSEDQNDDILPETQVMHEKLWVDKYAPNSFTELLSDEQTNREVLLWFKQWDSCVFGSDIRSTSDDILSALRRHSSIAQHPKPSDSTFFSKNKGNIWSRGNFRHSNNLEQENSKSKGFQDSWTKKSRPTGPPEQKILLLCGPPGLGKTTLAHVAAKHCGYRVVEINASDDRSSSTIEAKILDVVQMNSVMADSRPKCLVIDEIDGALSDGKGAVEVLLKMVFSERKSDTGKENVTKGEQFGRVSSKKGRKTASLTRPVICICNDIYAPALRPLRQVAKVHLFVQPTVSRVVSRLKYICNKEGMKTSSIALAALADYTGCDIRSCLNTLQFLNKKREVLNVLEISSQVVGRKDMSRSVFDIWKEIFQKRKMKQDRKSKGSCGSMSNEFDSLLSLVSNRGDYDVILDGIYENILQLHYHDPVMQKTVKCFNSLGVSDVIHQYIMRSQQMPLYAYQPCIAISIHQQVAQIQKPNIEWPRSYQRYRTVLMEKRDKLRSWQNKIPPHISRHLSTKSFVEDSVSPILHIISPPTLRPVALHLLTEREKNDLAQLVSTMVSYSMTYKNIKSDHLSCKQENEAVLDAASLSVDPPIHEFINFKGYSSGHYALPLAVKQVLVHEVEKHKILQASRSVHLTDGCNKQNMYLVERESAVQSVKINHAAAFSGNSIGNQKSMINSGQCVPSDSATSPIMDSSTRALSNVKLKSSGNPKKPPRSSTSFFDRFRKANSKGSQSTDSAGWETTTLERDSRPLIFKFNEGFTNAVKRPVRMREFLL >Potri.012G076400.1.v4.1 pep chromosome:Pop_tri_v4:12:9993062:9995188:1 gene:Potri.012G076400.v4.1 transcript:Potri.012G076400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G076400.v4.1 MPTTAASFLNPKHQESKTPSIFPPPPQAMGGNGKRRWNISFYSRSKTTQTQQLPPKEFLCPIYGSLMSDPVVVSSGQTFERLSVQVCRDLGFTPTLEDNILPDFTTVIPNLAIKSTILHWCDTSGTQHPGAPDYSSLEEIVRQKMKLSSSKSMQVNMTRPDIRVSEKELLEGVAEKPPVLFSRAITELTHRVNHFYSSSSEEPVIVKTAATPAASPLTPLPLVTRPACYSSTSSSANSITESEDPSSISSCSREEDEIVEKLQSVDVRDQEEGVIWLRKITRTKVEIRVSLCTPRLLPALRALIASRHFVVKTNAIASLVNLSLEKANKVKIVRSGFIPILIDVLKGGFSEAQEHAAGAFFSLALEDQNRMAIGVLGALQPLMQALKAESERARHDSAMALYHLSLMQSNRVKLVKLGAVSMLLSMVNSGDLASRLLLVLCNLAACNEGRSAMLDSNAVAILVGILREGGGGHSEVIQESCVAALFALSHGSMRFKGLAKEARAEEVLREIEERGSKRAREKAKRILMMMMRGSNEEVEWEEEVDWEEVLGFGGIRGGGRSLHGPNSTDF >Potri.017G153400.1.v4.1 pep chromosome:Pop_tri_v4:17:15094365:15095264:-1 gene:Potri.017G153400.v4.1 transcript:Potri.017G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153400.v4.1 MRAAILALSFLLFALAANQYLPRVAATAAPEPVLDVTGKILRTGTSYYILPVIRGRGGGLKMASTVRRTCPLDVVQDRYEASNGLPLKFTPVNTKKGVVRVHTDLNIRFSAASICHQSTAWKLDNYDEWTKQWFVTTDGVEGNPGPQTTNNWFKIEKFEDKYKLVFCPTVCQHCKVMCKDIGIYVDAKGVRRLALTNVPLKVMFKKA >Potri.019G014100.1.v4.1 pep chromosome:Pop_tri_v4:19:1834812:1836725:1 gene:Potri.019G014100.v4.1 transcript:Potri.019G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014100.v4.1 MMRYKEEKEAKKEAFRKYLESSGVLDALTKVLVALYEQNDKPSSALEFIQQKLGGPSLSEYEKLQAEMSELQNKYGDLLGAHQETCKELEELKNSHNVASATDTTDGEAPKDEP >Potri.007G055400.1.v4.1 pep chromosome:Pop_tri_v4:7:5784770:5790780:1 gene:Potri.007G055400.v4.1 transcript:Potri.007G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G055400.v4.1 MEKRHCHPSSLHNSSPQEFLSAATELNLKVKSSKRTLKSLIHSIPSSSPLSSSLLPSLHTFISDSILSFRHPTSPPPSKKRRRSGRKNNTDEEATLNLHKQPQQHNLEKLHLLAHVAFLCVSHPKKVFSPRDLLPSVQLLHDNLVFFESDSSLLLEISNLCEVYWRENLQGREMLISQSLPFLVSRSLTLKKKVDVHRVYALREAFTLFDFEDESIEDLKMLLIRTVIAPIYLKTEDGRKFLGFIFGLSMQLMKEALAMIKSQIAFGRKSILEAYGVVLFRAWKGVDGVLKEEFEGGFLQGLIEGSIYANSRVFAASVRRVLGGFVSQRITDGVEKLLFRLAEPVIFRSLQVANSNVRLNALHLLLDFFPLEDPDAMKEVKDTLLDKQFFLMERLIMDDCPDVRVVAVEGCFRVLHLFWEIIPSSTITKLLTKIFDDMSHDICNEVRLSTVNGTIYLLGNPQSHEILKVLLPRLGHLILDSALSSRAAVMDLLLLIRDIRSFQFNKVVGLDVLLSTLANDHSQVAQKITRLLIPSYFPSKVSIEEACNRCVTLIKRSPMAGARFCEFAMLEGASPKSLMELVRVLIGLVLSNEKLLADQIEGLLIAAASLCNNLVSEPCYKNALKEFFTGGKVKCLFAAASTGHAQPSVLNICSVVSPDDVAGLVEECMSLVTNCCGLPKNVEMQAKVRSAHRLLLSYSGFDDMFDSLTRLLQKAAYRCHVKFGVEIPKQRVSPGKRKKCKSSVKISAKRKHVGGKNSTTFEYDYSIAVGIACQIKDLVVSKDSREAILGSQALESSFLALKVISEVSIEHCISCEYMDTSPVLAYSGLALHMSLQNISISTDDSGSKNSEGTDSSSILETVLDQTVDHLLNCIDKLLGEVNIGETQADASISNDDGSLSTKERGMSNKVKMLTAVLKLIVDSIAMGLLSRIHGRCLNFTSAYLKHIIFALEHQSSEKLQFKEDELKDFFLCLKSSFSYAAKLLNLILRDTSETSPLLPEAFDLVNDMLDLITSIELHLGSGFAAGLVAAAKSWLPDLILALGSPCMLNKTQVASAYITALDCIKSGYPSWPIILAKTELYEIREANPEEVDDITSEPEEFRAFKKFMEMIVSLLKGKFNILDAVGVILLTGSVVGLERKDFGVVLGLLHFVCVKLVGEEDREWNELDMMLASLPDIYPQIERGIEEQSDENARQKLCSARMLLDHVWFYHLYETGRFSAMKE >Potri.017G094100.3.v4.1 pep chromosome:Pop_tri_v4:17:10656992:10661783:-1 gene:Potri.017G094100.v4.1 transcript:Potri.017G094100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094100.v4.1 MVMAEKQIFVSIVFVLLLVVFSSASHHHAVNEEEEADRISSLPGQPKVSFQQFSGYVTVNKAVGRALFYWLTEAVHDPLSKPLVVWLNGGPGCSSVAYGASEEIGPFRINKTASGLYLNKFSWNSVANLLFLETPAGVGFSYSNRSSDVLDTGDVRTAMDSLEFLLGWMNRFPRFKHREVYLTGESYAGHYVPQLAREITKYNKRSKHPINLKGFMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLVNTCDFRRQKESDECESLYSYAMDQEFGNIDQYNIYSPPCNNSDGSTSTRHTIRLPHHPHKVFRQISGYDPCTEKYAEIYYNRPDVQKELHANVTNIPYKWTACSEVLNRNWNDSDVSVLPIYREMLASGLRIWVFSGDVDSVVPVTATRFSLANLKLETKIPWYPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLFKSFLKGDPLPRS >Potri.017G094100.6.v4.1 pep chromosome:Pop_tri_v4:17:10657027:10660478:-1 gene:Potri.017G094100.v4.1 transcript:Potri.017G094100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G094100.v4.1 MDSLEFLLGWMNRFPRFKHREVYLTGESYAGHYVPQLAREITKYNKRSKHPINLKGFMVGNAVTDNYYDNLGTVTYWWSHAMISDKTYQQLVNTCDFRRQKESDECESLYSYAMDQEFGNIDQYNIYSPPCNNSDGSTSTRHTIRLPHHPHKVFRQISGYDPCTEKYAEIYYNRPDVQKELHANVTNIPYKWTACSEVLNRNWNDSDVSVLPIYREMLASGLRIWVFSGDVDSVVPVTATRFSLANLKLETKIPWYPWYVKKQVGGWTEVYEGLTFATVRGAGHEVPLFKPRAALQLFKSFLKGDPLPRS >Potri.008G144800.1.v4.1 pep chromosome:Pop_tri_v4:8:9856959:9863750:1 gene:Potri.008G144800.v4.1 transcript:Potri.008G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144800.v4.1 MEVINGELLKPAGEEKLGIKVTESNGVVDKEIIKTRYENENLSSSVHFSPSSNSNVNQDDPAGDTTKPEDIIAVTPKINGYKQTIQPDFHLPKPEAPPGLSPSPPSQPYENGNAVVRSKSLSESFTAVDMPSIGKFIKDRSNSLSETISKRFSSLKFDDGDDDYMNNKVKSFDSGVTEFKISGLKVVVMLKNNEKEEQIKGRVSFFSRSNCRDCTAVRSFFRERGLKFVEINIDVYRQREKELIERTGSSQVPQIFFNDKLFGGLVALNSLRNSGGFEERLKEMLGKKCSGDAPAPPVYGFDDHEEESTDEMVGIVKVLRQRLPIQDRLMKMKIVKNCFAGKEMVEVLIHHFDCGRKKAVEIGKQLARKHFIHHVFGENDFEDGNHYYRFLEHEPFIPKCYNFRGSTNDSEPKPAVVVGQRLNKIMSAILESYASDDRRHVDYAGISKSEEFRRYVNLVQDLHRVDLLKLSQDEKLAFFLNLHNAMVIHAIIRVGCPEGAIERRSFSSNFQYIVGGSSYSLNTITNGILRSNRRSPYSLVKPFGTGDKRLEVALPKVNPLIHFGLCIGTTSSPPVRFFTSQGIEAELRCAAREFFQRSGMEVDLEKRTVYLTRIIKWFSGDFGQEKEILRCIINYLDATKAGLLTHLLGDGGPVNIVYQDYDWSINS >Potri.017G143820.1.v4.1 pep chromosome:Pop_tri_v4:17:14412211:14415867:-1 gene:Potri.017G143820.v4.1 transcript:Potri.017G143820.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143820.v4.1 MEGAFASTIAQSLLVKLGSFAGQEFCLAWGLEADIARLEKRLSAIAAVLSDAEQKQSKNNKIRFWLNDLREVLYDAEDVLDEIECETLRRQVVKTTGSTSRKVRRFFSSSNKIAFRLRMGHKIKSIIERLATISALKSEFNLSEQPIDCGHVLHEETEMNRSFESFSGLIGRDKDKERIINLLAAPSKVADAHPVVLPIVGMGGLGKTSLAKSVCDDENVKSHFDLKMEACVSDDFSLKQVVQKIIRSATGERCADLDEGELNKKLEEILNGRKYLLLMDDVWNEDAEKWLLLKPLLSKGADGSKIIVTTRSRRVAEIMGTVAAHNLSFLGKDDCMSLFYKCAFKEGQMELYPNLVGIGKEIVAKCKQVPLAVINLGTQLYGRTDEKEWESVRDSEKWEEEGDGILPALKISYQRLPPHLKRCFLYCSVFPKDYRFGDLYLVQFWMANGLIHQSSNPNEKLDDVGLRYVRELISRCFFQDYVGRIVGAIFKMHDLMHDLASSLAQNEFSIISSQNHQISKTTRHLTVLDSDSFFHKTLPKFPNNFHQVRSIVFVDSIVGPTCKTDFEKCLLEFKHLRSLELMDDSEFEAFPERIGALKHLRYLHFGKNAKIKRLPKSIFKLQNLQALVTGEGLEELPKDVRYMISLRFLFLCTQQKRLPEGGIGCLECLQTLFIVGCENLENLCEDMQGLKSLRKLVICLCDSLISLPRSIKCLTTLEELFISNCEKLDLMTIEEKKEEKIQPLSLSLRIVMFEYLPATLAVPEQLLQGSAESLQTFIIGDCPNIEEMPESISNLKKLQKLRISDCPSLSKRCQKGTGEDWPKIAHIPKIKVDDDDSGEETSG >Potri.016G084000.9.v4.1 pep chromosome:Pop_tri_v4:16:6527225:6527536:1 gene:Potri.016G084000.v4.1 transcript:Potri.016G084000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084000.v4.1 MTNLMPTLFNELPSGDKFSTDWDKAWSNFRKKGKKAFFSQFSPNKYVTWNPKRSNYPLSEEVDPIKRAERSNLRLWTSPEFTLVGAIIIVTFLLVYTIVVPSK >Potri.016G084000.8.v4.1 pep chromosome:Pop_tri_v4:16:6524886:6527854:1 gene:Potri.016G084000.v4.1 transcript:Potri.016G084000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G084000.v4.1 MNIQVSSISSTITRTQQKASSSSRKPPFCSSRKPQDPKENGDTNGDKFSTDWDKAWSNFRKKGKKAFFSQFSPNKYVTWNPKRSNYPLSEEVDPIKRAERSNLRLWTSPEFTLVGAIIIVTFLLVYTIVVPSK >Potri.006G151500.1.v4.1 pep chromosome:Pop_tri_v4:6:13269396:13271954:1 gene:Potri.006G151500.v4.1 transcript:Potri.006G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G151500.v4.1 MEAWVPLFNIFLNSPTPENEASLWLEQSSSSSSVPITTTSFLSLLAEPIINNSSTNSMCDRRVMFLQTLPFLVQSRILSFLGFEHQRFCKRDLSKLARTLLTDTEGIDFWVKRAARNLLDKVSDSNYQWISGLSLDSGEERVDEEFGSIPDYLKDAASSANELFLPWLPLSHDTLNSRELFAGYESPEDFLSQVGEGSGDNSKDVAEEMEIDLVMVAPLDEEIQNMATSLKERIMTFESSSKTVELANEIRRLSFEREADPFVILGLLEPWKADDETASILVFHLSNGREEEEEIAWPSKVLCSIMLPKMLVLEEPASRVLVISMVEYCKLHQRAAECALLLPLIMKRSGINNHMCDVITRIVKESLHPAHVSAFCRKLLCGQEVEKRSIILPCHQCLVSSQLVWTESLFNLFQNILNHNVQLTQDSVDQLVLLIRELAPSFSKSLKFGNFLLCFITRCSLLLKSHKLLLVEAVAKTNTLVTKSILSKLASF >Potri.011G104900.2.v4.1 pep chromosome:Pop_tri_v4:11:13332640:13335869:-1 gene:Potri.011G104900.v4.1 transcript:Potri.011G104900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104900.v4.1 MMGSSLLLAQFLCLLLFHSHSQPAHSSSNFSSSVQLCPRDQSLALLQFKNSFPMPSSPSTFPCYPPEKVLWKEGTDCCTWDGVTCNMKTGHVIGLDLGCSMLYGTLHSNSTLFSLHHLQKLDLSRNDFNRSVISSSFGQFLHLTHLNLNSSNFAGQVPPEISHLSRLVSLDLSSNSEELMLEPISFNKLAQNLTQLRELYLGGVNMSLVVPSSLMNLSSSLSTLQLWRCGLKGELPDNLFRRSNLQWLDLWSNEGLTGSFPQYNLSNALSHLDLSYTRISIHLEPDSISHLKSVEEMYLSGCNFVGSNLDLLGNLTQLIELGLKDNQLGGQIPFSLGKLKQLKYLHLGNNSFIGPIPDSLVKLTQLEWLDLSYNRLIGQIPFQISRLSSLTALLLSNNQLIGPIPSQISRLSGLIILDLSHNLLNGTIPSSLFSMPSLHFLLLNNNLLYGQISPFLCKSLQYINLSFNKLYGQIPPSVFKLEHLRLLRLSSNDKLTGNISSVICELKFLEILDLSNNGFSGFIPQCLGNFSDGLLVLHLGGNNLHGNIPSIYSEGNDLRYLNFNGNQLNGVIPSSIINCVNLEFLDLGNNMIDDTFPSFLETLPKLKVVILRSNKLHGSLKGPTVKDSFSKLQIFDLSNNSLSGPLPTEYFNNFKAMMSIDQDMDYMRTKNVSTTYVFSVQLAWKGSKTVFPKIQIALTTLDLSCNKFTGKIPESLGKLKSLKQLNLSHNSLIGFIQPSLGNLTNLESLDLSSNLLAGRIPQELVDLTFLQVLNLSYNQLEGPIPLGKQFNTFENGSYEGNLGLCGFPLQVKCNKGEGQQPPPSNFEKEDSMFEEGFGWKAVTMGYGCGFVFGVSIGYVVFRARKAAWFVNMVEDTVKKRGSSSRLV >Potri.011G104900.1.v4.1 pep chromosome:Pop_tri_v4:11:13332771:13335842:-1 gene:Potri.011G104900.v4.1 transcript:Potri.011G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104900.v4.1 MMGSSLLLAQFLCLLLFHSHSQPAHSSSNFSSSVQLCPRDQSLALLQFKNSFPMPSSPSTFPCYPPEKVLWKEGTDCCTWDGVTCNMKTGHVIGLDLGCSMLYGTLHSNSTLFSLHHLQKLDLSRNDFNRSVISSSFGQFLHLTHLNLNSSNFAGQVPPEISHLSRLVSLDLSSNSEELMLEPISFNKLAQNLTQLRELYLGGVNMSLVVPSSLMNLSSSLSTLQLWRCGLKGELPDNLFRRSNLQWLDLWSNEGLTGSFPQYNLSNALSHLDLSYTRISIHLEPDSISHLKSVEEMYLSGCNFVGSNLDLLGNLTQLIELGLKDNQLGGQIPFSLGKLKQLKYLHLGNNSFIGPIPDSLVKLTQLEWLDLSYNRLIGQIPFQISRLSSLTALLLSNNQLIGPIPSQISRLSGLIILDLSHNLLNGTIPSSLFSMPSLHFLLLNNNLLYGQISPFLCKSLQYINLSFNKLYGQIPPSVFKLEHLRLLRLSSNDKLTGNISSVICELKFLEILDLSNNGFSGFIPQCLGNFSDGLLVLHLGGNNLHGNIPSIYSEGNDLRYLNFNGNQLNGVIPSSIINCVNLEFLDLGNNMIDDTFPSFLETLPKLKVVILRSNKLHGSLKGPTVKDSFSKLQIFDLSNNSLSGPLPTEYFNNFKAMMSIDQDMDYMRTKNVSTTYVFSVQLAWKGSKTVFPKIQIALTTLDLSCNKFTGKIPESLGKLKSLKQLNLSHNSLIGFIQPSLGNLTNLESLDLSSNLLAGRIPQELVDLTFLQVLNLSYNQLEGPIPLGKQFNTFENGSYEGNLGLCGFPLQVKCNKGEGQQPPPSNFEKEDSMFEEGFGWKAVTMGYGCGFVFGVSIGYVVFRARKAAWFVNMVEDSAHQYGKRLRRKNAPRNGGRRY >Potri.011G120200.1.v4.1 pep chromosome:Pop_tri_v4:11:15109188:15111853:-1 gene:Potri.011G120200.v4.1 transcript:Potri.011G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120200.v4.1 MGASLLPPPAFLAVFLFSFVTLSVNPEPALAITRHYKFDVMLQNVTRLCHTKSMVTVNGKFPGPRIVAREGDRLVITVVNHVQNNISIHWHGIRQLRSGWADGPAYVTQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRSTLYGPIILLPKLGTPYPFAKPYKEVPIIFGEWFNADPEAIINQAMQTGGGPNVSDAYTINGLPGPLYNCSAKDTFKLKVKPGKTYLLRMINAALNDELFFSIANHTVTVVDVDAVYVKPFDAETLLITPGQTTNVLLKTKPDYPNAQFFMSARPYATGQGTFDNSTVAGILEYEVPNKTSQSNHSTKKLPLYKPNLPPLNDTSFATNFSSKLRSLASADFPANVPQKVDRQFVFTVGLGTNPCSKNQTCQGPNGTRFAASVNNVSFVMPSTALLQAHHFGQSRGVYSPYFPISPLIPFNYTGTPPNNTMVSNGTKLVVLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPSKDPANFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFMHCHLEVHTSWGLKMAWVVLDGKLPNQKLLPPPADLPKC >Potri.013G138100.2.v4.1 pep chromosome:Pop_tri_v4:13:15630012:15630206:1 gene:Potri.013G138100.v4.1 transcript:Potri.013G138100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbK MFVMLNILNLICICLNFALYSSSFFFTKLPEAYAFLNPIVDVMPVIPLFFFLLAFVWQAAVSFR >Potri.003G171500.2.v4.1 pep chromosome:Pop_tri_v4:3:18017359:18019221:1 gene:Potri.003G171500.v4.1 transcript:Potri.003G171500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171500.v4.1 MAAVCASSAIAAVSISSSSSQKTGSLVGSTKASFLRGKKLRLRTYTSPTAARSVTVCVAADPDRPLWFPGSTPPPWLDGSLPGDFGFDPLGLGSDPETLRWNVQAELVHCRWAMLGAAGIFIPEFLTKIGILNTPSWYSAGEVEYFTDTTTLFIVELFFIGWAEGRRWADILKPGCVNTDPIFPNNKLTGTDVGYPGGLWFDPLGWGSGSPEKIKELRTKEIKNGRLAMLAVMGAWFQHIYTGTGPIDNLFAHLADPGHATVFAAFTPK >Potri.017G068500.1.v4.1 pep chromosome:Pop_tri_v4:17:6086379:6088455:1 gene:Potri.017G068500.v4.1 transcript:Potri.017G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G068500.v4.1 MESSNGNVFQLIVKQGKPTLVPPKGNGNAGELIKNALKKVLVHYYPLAGRLTISSEGKLIVNCTGEGAVFVEVEAYCAMEEIGDITKPDPKTLGKLVYVIPDAKNILDMPPLVAQVTTFKCGGFVLGLCMNHCMFDGIGAMEFVNSWSETARGLPLCVPPFIDRSILKARNPPKIEYLHQEFAEIEDKSSTIDLYKDETIYSSFYFDSDMLENIKMKAMEDGVLEKCTTFEGLSAFVWRARTKALRIQPDQQTKLLFAVDGRPKYNPPLPKGYFGNGIVLTNSFCHAGELLDKPLSHAVGLVKEAIKMVTDSYMRSAMDYFEVTRARPSLASTLLITTWSRLSFYTTDFGWGEPVLSGPVALPEKEVILFLSHGKERKSINELLGSPASAMKIFQELMQI >Potri.014G095200.3.v4.1 pep chromosome:Pop_tri_v4:14:6176870:6180052:1 gene:Potri.014G095200.v4.1 transcript:Potri.014G095200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095200.v4.1 MEQFRQIGEVLGSLKALMVFRDNIQINPRQCCLLLDVFSFAYDSIAEEMRQNLKFEEKNEKWRILEQPLREIYRIFKEGEGYIKQCLETKDWWAKAITLYQNSYCVEFYIHNLLSCIPVVIESIEIAGEFSGLDQDEIQKKRLVYSNKYQKEWKDPRLFQWKFAKQYLISQELCNRYNTVWKEDRWVLLNKILEKKMSGSTKQERQLTDILLKNLEGSEPVNGKLLPCSILVRSKDYSVRRRLGSGSQYKEILWLGESLALRHFFGDIEPLFPEISSLLSLSHPNILQFFCGFTDEEKKECFLVMELMTRDLCSCIRETCGPRKRIPFSLPIAVDLMLQISRGMEYLHSKEIYHGNLNPSNILVKPRNITSEGYLHAKVSGFGLSSIKNFTPKNSSNQNETLSFIWYAPEILEEKEQTGSEKNSKYTEKADVYSFGMVCFQLLTGKVPFEDSHLQGDNMSRNILAGERPLFPFYSPKYVTNLTKRCWHTDPNQRPSFSSICRILRYVKRFLIMNPDYNREPEPPMPVIDYGDMETKLLRKFPSWDTAESSMVAQIPFQMFVYRVVEKEKARTTQKETSESGSDKASFSGDENSAILDDPCPSPSCPSPTERRLSIAETMPRRPSISKRLSDVKTIKQSGTPKGRSRPPQLQCTRSLRTGSESQLMMISPRPRRTSSGHASDSEIS >Potri.018G042501.1.v4.1 pep chromosome:Pop_tri_v4:18:3379010:3380262:-1 gene:Potri.018G042501.v4.1 transcript:Potri.018G042501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G042501.v4.1 MAISMNQLHLVIILSLFFHVKAQITSDSDTGILKPLHPSLAVVLGIISIMLSMTFLILAYAKYCRINQNNFLGSNPGHHQNVHGLIRSRSRFSGIDEELINSLPFFRFSSLKGSKEGLECAVCISKFEDSEVLRLLPKCMHAFHKNCIDQWLTSHSSCPLCRYKLDPMDLKSFSCSKSWRYLQNPSDLTEDPNLEIFVEREQDHQGPLQKEELLIIAGRNADDNRKLLHKFKHKIIISDVLIKNRWSDVNSSDFLSLNTEMLRVMSSNRFSPLKSSSARFHDGLSRNENFENIKEDIERKRLLESKFTTVDRSNSVSSSSLNSSKMINPASKRSMSEITIFSRFRQLSVRNKTRESTSPGNGATDERIRKLWLPIARRTIQWFAGQERNIQQLEYQRQSSNV >Potri.009G075900.1.v4.1 pep chromosome:Pop_tri_v4:9:7369278:7373183:-1 gene:Potri.009G075900.v4.1 transcript:Potri.009G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075900.v4.1 MAGALECWSSRASTDEDMVEQVLMRTHDRSETSSSSTTEAASLQLSDQSSNLSLKDTISSSSAMQKKLQRLSRNVSEAIASLKNSLNLDSPRDSQVQLTSSQQGNCSGNKSERCRKVVWASVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKEVFMHMKLIEQALVDEQPAIMIQEVSDDEIQGAVYKLTFACNSSISWPVMSGALDSASICCKKIQIFEKKGFTLGVVLLLVQAGQAKSFKARIENALKSSVKKSKSTTVKLPFGLCGCQEENIRGNFGEIEEDPCEQNFRNGIENPNVKIQLEMPLPTSSIVVAVDEWQTINSGGDELGKWLLNSDNLELIDQIGPSSFKGVYKGKRVGIEKLKGCDKGNSYEFELRKDLLELMTCGHKNIHQFYGICVDENHGLCVVTKLMEGGSVNELMLKNKKLQPKEIMRIATDVAEGMRFMNDHGVAYRDLNTQRILLDRHGNACLGDMGIVTVCKSMGEAMEYETDGYRWLAPEIIAGDPENITETWMSNAYSFGMVVWEMVTGEAAYAAYSPVQAAVGIAACGLRPEIPKDCLLILRSLMTKCWNNSPSKRPQFSEILSILLRPSNQYQ >Potri.001G307600.1.v4.1 pep chromosome:Pop_tri_v4:1:31735507:31738945:1 gene:Potri.001G307600.v4.1 transcript:Potri.001G307600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307600.v4.1 MDIDDFRSILESSGVDVWNFIDTAIDVASLDFGSELKRRRDDIVARLFAASSSCSRCRDRSFDDIDINNTANGNGMKDLAEKESSHEEEKGRRVYADSPVTPRSVNGDGDDEELDPFGGLFDDEPKKILDIKQQLEDLDQPEDSLVDLLQSLADMDITFQALKETDIGRHVNRLRKHPSNDVKRLVKQLVRKWKEIVDDWVRLNPHGERASSGLMAYGDSPQQKIPQNGRHQVPDFAYSPNPHNGSSGSDRNNSEPERKPKLAPPRNEALTKPIKKSVPASSSAPHNVQRQREQPKENKFDADQKFASASKRLQANYKEAENAKKQRTIQVMDIHEIPKPKNKNTFFAKNRGGGSHQGRHW >Potri.001G307600.4.v4.1 pep chromosome:Pop_tri_v4:1:31735492:31738944:1 gene:Potri.001G307600.v4.1 transcript:Potri.001G307600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G307600.v4.1 MDIDDFRSILESSGVDVWNFIDTAIDVASLDFGSELKRRRDDIVARLFAASSSCSRCRDRSFDDIDINNTANGNGMKDLAEKESSHEEEKGRRVYADSPVTPRSVNGDGDDEELDPFGGLFDDEPKKILDIKQQLEDLDQPEDSLVDLLQSLADMDITFQALKETDIGRHVNRLRKHPSNDVKRLVKQLVRKWKEIVDDWVRLNPHGERASSGLMAYGDSPQQKIPQNGRHQVPDFAYSPNPHNGSSGSDRNNSEPERKPKLAPPRNEALTKPIKKSVPASSSAPHNVQRQREQPKENKFDADQKFASASKRLQANYKEAENGLISAPCFLCEFGFLKFTFYNVTHW >Potri.016G112600.1.v4.1 pep chromosome:Pop_tri_v4:16:11581807:11589125:-1 gene:Potri.016G112600.v4.1 transcript:Potri.016G112600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112600.v4.1 MTRGEVGEDEENPPFLDYETISRPRRIALFVEPSPFAYVSGYKNRFQNFIKFLREMGDEVMVVTTHEGVPQEFYGAKLIGSRSFPFPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALAIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVKPMWMIIKFLHRAADLTLVPSAAIGRDLEAARVTAANKIRVWNKGVDSESFHPRFRSHEMRMRLSNGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPGARIAIIGDGPYREELEKMFTGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPPELDGKTGFLFNPGDLNDCLSKLEPLLDNQELRETIGKAARQDTEKYDWKAATKKIRNEQYSAAIWFWRENRAQLFRPIQWLVKRLFPIPEV >Potri.016G112600.5.v4.1 pep chromosome:Pop_tri_v4:16:11581809:11585606:-1 gene:Potri.016G112600.v4.1 transcript:Potri.016G112600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G112600.v4.1 MVLKLFPLYSFPFPWYQKVPLSLALSPRIISEVARFKPDIIHASSPGIMVFGALAIAKLLSVPIVMSYHTHVPVYIPRYTFSWLVKPMWMIIKFLHRAADLTLVPSAAIGRDLEAARVTAANKIRVWNKGVDSESFHPRFRSHEMRMRLSNGEPEKPLIVHVGRLGVEKSLDFLKRVMDRLPGARIAIIGDGPYREELEKMFTGMPAVFTGMLGGEELSQAYASGDVFVMPSESETLGLVVLEAMSSGIPVVGARAGGIPDIIPPELDGKTGFLFNPGDLNDCLSKLEPLLDNQELRETIGKAARQDTEKYDWKAATKKIRNEQYSAAIWFWRENRAQLFRPIQWLVKRLFPIPEV >Potri.001G303900.2.v4.1 pep chromosome:Pop_tri_v4:1:31375490:31379496:-1 gene:Potri.001G303900.v4.1 transcript:Potri.001G303900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G303900.v4.1 MASVANTLLLSLPPPLCFNTNNNQSPPPSLFVSKTPRSKCCRLRSSSVPRSPYRSSVAVALSTVANSVPARSGIYTVGDFMTKKEGLYVVKANTTVDEALEALVEKRITGFPVIDDDWRLVGVVSDYDLLALDSISGGCQNDTNLFPNVDSSWKTFNELQKLLIKNNGKLVGDLMTPNPLVVYETTNLEDAVRLLLETKYRRLPVVDDDGKLVGIITRGDIVRAALQIKNATERLCSSFFQVQSEEAINLLP >Potri.001G303900.1.v4.1 pep chromosome:Pop_tri_v4:1:31375487:31379504:-1 gene:Potri.001G303900.v4.1 transcript:Potri.001G303900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G303900.v4.1 MASVANTLLLSLPPPLCFNTNNNQSPPPSLFVSKTPRSKCCRLRSSSVPRSPYRSSVAVALSTVANSVPARSGIYTVGDFMTKKEGLYVVKANTTVDEALEALVEKRITGFPVIDDDWRLVGVVSDYDLLALDSISGGCQNDTNLFPNVDSSWKTFNELQKLLIKNNGKLVGDLMTPNPLVVYETTNLEDAVRLLLETKYRRLPVVDDDGKLVGIITRGDIVRAALQIKNATERSA >Potri.005G146800.1.v4.1 pep chromosome:Pop_tri_v4:5:12218796:12221669:1 gene:Potri.005G146800.v4.1 transcript:Potri.005G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146800.v4.1 MSKGPGLFADIGKKAKDLLTRDYNSDQKFSVSTYSDAGVALTSTAVKKGGLLAGDVATLYKYKNTTFDVKVDTESNISATLTFTDLLPSTKTVASIKLPDHNSGKLEFQYFHDHATFTTAAALNQSPAIDVTATIGTPTIAFGAEAGYDTTSGSFTKYTAGISVTKPDSYASIILGDKGDSIRASYVHHLDLLKKSAAVGEITRRFSSNENTFTVGGSFAVDHLTVVKAKLNNHGKLGALVQHEVIPKSVLTISSEFDTKALDKNPRFGLAIALKP >Potri.002G254600.1.v4.1 pep chromosome:Pop_tri_v4:2:24354354:24361177:-1 gene:Potri.002G254600.v4.1 transcript:Potri.002G254600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G254600.v4.1 MHTLATSLLVLCLSSFVFTCLGHPLLHMYLSPSLQPSWPLSVKDIFVRHGMSLAMKVSFARSRPSRNHVVKPSLGPILSPATSLVHQALPPVPSSAPLPRRHGGHHHRHVKPVVTAPFPSEEQSCDQICTEPLTASLSGSPCGCVYPMKVRLLLDVAPYAVFPVMRELESEVAAGTYLEQSQVIIMGASADSQNQGKTVVDINLVPLGEKFDNTTAILTYDRFWKNKMPLNITLFGNYEVIYISYPGIPSSPPYPNYTGSGPSGSAGDLPITANFASKTQRMNLRTITTIALSAFVVLVVCIGAIAVVVKWRKSGRPSSAVGPAFTSSINKRSGIGSFLSSSIASSTPMSLMSNMATCMLSVKTFSFAELEKATDKFSSKRILGEGGFGRVYLGSVEDGTEVAFKLLTRDNQNGDREFVAEVEMLSRLHHRNLVKLIGICIEGRTRCLVYELIRNGSVESHLHGVDKNKGPLDWDARLKIALGAARGLAYLHEDSNPRVIHRDFKASNVLLEDDFTPKVSDFGLAREATEGSHHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLSGRKPVDMSQPPGQENLVTWARPLLTTREGLEQLVDPSLAGSYDFDDMAKVAAIAAMCVHSEVTNRPFMGEVVQALKLIYNDTDETCGDYCSQKESSVLESDFKCDLVPSDSSWWNAGGTSPRLTYGQASSFITMDYSSGPLEEMESRPFSASSLAGDRLSLPIRHMNRSGPLRTVRSKPAFYRLRGSVSEHWGLLSRRFRNDGYWV >Potri.011G057300.5.v4.1 pep chromosome:Pop_tri_v4:11:4676243:4677560:-1 gene:Potri.011G057300.v4.1 transcript:Potri.011G057300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057300.v4.1 MSATAAARSFLRSTATRTSAAARLASAPKPGSKPASSPFRISKESPLSHRIFRSPVEMSCCVETMLPYHTATASALLNSMLSVSRRYGWTPEGV >Potri.011G057300.2.v4.1 pep chromosome:Pop_tri_v4:11:4675475:4677560:-1 gene:Potri.011G057300.v4.1 transcript:Potri.011G057300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057300.v4.1 MSATAAARSFLRSTATRTSAAARLASAPKPGSKPASSPFRISKESPLSHRIFRSPVEMSCCVETMLPYHTATASALLNSMLSVSRRYGWTPEDCNDDL >Potri.007G030700.1.v4.1 pep chromosome:Pop_tri_v4:7:2333797:2335363:-1 gene:Potri.007G030700.v4.1 transcript:Potri.007G030700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030700.v4.1 MTAGGSSGRLPTWKERENNKRRERRRRAIAAKIYTGLRTQGNFKLPKHCDNNEVLKALCAEAGWIVEEDGTTYRKGCKPPPTEIAGTPTNISACSSIQPSPQSSNFPSPVASYHASPTSSSFPSPSRFDGNPSTYLLPFLRNIASIPTNLPPLRISNSAPVTPPLSSPTSRGSKRKADWESLSNGTLNSLHHPLLAASAPSSPTRRHHLTPATIPECDESDASTVDSGRWVSFLAGAPHVAPPSPTFNLVKPVAQQSGFQDGVDRHGGLSWGAAAERGRGAEFEFENCRVKPWEGERIHEIGVDDLELTLGGGKARG >Potri.009G117000.1.v4.1 pep chromosome:Pop_tri_v4:9:9877724:9880272:1 gene:Potri.009G117000.v4.1 transcript:Potri.009G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117000.v4.1 MSSIAIYTSFLRAILGLVGVRPQSVEIEPGTIVHIWAPSETAKKTTNNLKQAENKPSKPAVVFLHGFGFNGILSWQFQVLALAKEYSVYVPDFLFFGGSITDRTERSPAFQAECMAKCLRKLGVEKCTLVGLSYGGMVGFKMAEMFPDLVDSFVVSCSVMALTESISRASLERIGFPSWVKHLVPDTVEGVKKIVDVSTYKSLWMPHFLYKDVFETAYNINRKERVELLDALIVKDEDFSLTSYPQNTAKRIHLLWGEEDIIFNMEVARNLQEKLLAGKAPLHYIEKAGHAVPLERPFAYNRQLKKILVSLHGE >Potri.009G117000.5.v4.1 pep chromosome:Pop_tri_v4:9:9877724:9880063:1 gene:Potri.009G117000.v4.1 transcript:Potri.009G117000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117000.v4.1 MSSIAIYTSFLRAILGLVGVRPQSVEIEPGTIVHIWAPSETAKKTTNNLKQAENKPSKPAVVFLHGFGFNGILSWQFQVLALAKEYSVYVPDFLFFGGSITDRTERSPAFQAECMAKCLRKLGVEKCTLVGLSYGGMVGFKMAEMFPDLVDSFVVSCSVMALTESISRASLERIGFPSWVKHLVPDTVEGVKKIVDVSTYKSLWMPHFLYKDVFETAYNINRKERVELLDALIVKDEDFSLTSYPQVTINYTHTHTTS >Potri.002G110900.5.v4.1 pep chromosome:Pop_tri_v4:2:8311499:8316804:-1 gene:Potri.002G110900.v4.1 transcript:Potri.002G110900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110900.v4.1 MYTPSQPMNVHNQIVSPGVDDDGAPADPIDHHHHIHYEDGTPAVVVEDVSPDSVYVTAGVASSELGIQPSDGSSQLTLTFRGQVYVFDSVTPDKVQAVLLLLGGCELTPGLEMTPQNQRGVGEYPPRCTQPQRAASLSRFRQKRKERCFDKKVRYGVRQEVALRMQRNKGQFTSAKKSEGGYGWDGGQDSAQDDSQHETSCTHCGTNSKSTPMMRRGPSGPRSLCNACGLFWANRVSIYCTS >Potri.002G110900.1.v4.1 pep chromosome:Pop_tri_v4:2:8311481:8316929:-1 gene:Potri.002G110900.v4.1 transcript:Potri.002G110900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110900.v4.1 MYTPSQPMNVHNQIVSPGVDDDGAPADPIDHHHHIHYEDGTPAVVVEDVSPDSVYVTAGVASSELGIQPSDGSSQLTLTFRGQVYVFDSVTPDKVQAVLLLLGGCELTPGLEMTPQNQRGVGEYPPRCTQPQRAASLSRFRQKRKERCFDKKVRYGVRQEVALRMQRNKGQFTSAKKSEGGYGWDGGQDSAQDDSQHETSCTHCGTNSKSTPMMRRGPSGPRSLCNACGLFWANRGTLRDHSKKALEHSVAPIDLGETEANESDSVPAINTHNNPVTYANGGDTALITDH >Potri.004G131800.2.v4.1 pep chromosome:Pop_tri_v4:4:15007514:15010495:-1 gene:Potri.004G131800.v4.1 transcript:Potri.004G131800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131800.v4.1 MSSRRKTREPKEENVTLGPTVREGEHAFGVAHIFASFNDTFIHVTDLSGRETLVRITGGMKVKADRDESSPYAAMLAAQDVSQRCKELGITALHIKLRATGGNKTKTPGPGAQSALRALSRSGMRIGRIEDVTPIPTDSTRRKGGRRGRRL >Potri.006G090900.1.v4.1 pep chromosome:Pop_tri_v4:6:6922639:6925265:-1 gene:Potri.006G090900.v4.1 transcript:Potri.006G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090900.v4.1 MRKTSSLCFLACGTGATSCYWSTNLTAQFVEAFVKNFKRLVSQGGLVLALASGFGINGHMLKRTIFSKTRTSTLLNFSTSSSSANPPYNITTCIIASLQQCAINKNLKKGQQLHAQLLITGLSSSSPSSTTSLINMYAKCNQMNQALLVLNRTADYARNVFAYNALISGFVLNGLPQDGLGAYEEMRQAGVLPDKYTFPCLIKGLCEVMEVFQVKKIHGLVRKLGLDLDMYVGSSLVSSYLKSELMKEARELFDEIPDRDVVLWNSMVNGYAQIGRFDEALGVCREMSQEGVLMSKFTVSGVLSVFAGRGDFDNGRLVHGFMIKMGFDSCVSVCNALIDMYGKCRCVVDALEIFETMNEKDIFSWNSILSANELCSDHDRTLRLFDRMLGDGVQLDLVTITTILPACSHLAALVHGREIHGYMIVNGFVKDGESENMYNLQTINALMDMYAKCGSMRDAGMVFYNMSNRDTASWNIMIMGYGMHGYGNEALYMFSDMCKSGLKPNEITFVGVLLACSHAGFISQGIKFLGEMELKHGVVPTIQHYTCVIDMLGRAGQLEEAYKLAVTMPIQTNPVVWRALLAACQLYGNVDLAEVAAQKVFELNPAHCGNYVLMSNAYVAAGRYQEVLDIRHTMRQQDVKKTPGCSWIELKNGMNTFINGDRNHPEARLIYPELHLLAAHIREHGYVPLL >Potri.019G068700.1.v4.1 pep chromosome:Pop_tri_v4:19:10925461:10937537:-1 gene:Potri.019G068700.v4.1 transcript:Potri.019G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068700.v4.1 MEAIEELSQLSESMKQATALLADEDVDENPSSSSRRSSTFLNVVALGSVGAGKSAVLNSVIGHPVLPTGESATRAPISIDLQKDGSLSSKSIVLQIDNKSQQVSASALRRSLQDRLSKGVTDNIRDEIYLKLRTSTAPSLKLIDLPGLGQRIVDESMVGDYAEHSDAILLVIVPAAQAPEIASYRALRIAKEYDGEGTRTVGVISKIDQAATDQKALAAVQALLLNQGPPKTTDIPWIALIGQSVSIASAQSGSESSLETAWKAESESLKTILTGAPQSKLGRIALLDALAQQIRKRMKVRLPNVLSGLQGKSQTVQDELMRLGEQMVQSAEGTRAIALELCREFEDKFLQHIMTGEGSGWKIVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNESKKMVVALVDMERVFVPPQHFIRLVQRRMERQRREEELKNRSSKKGNDAEQAILNRATSPQTGGSLKSMREKSNQADKEVQEASSLKTAGPEGELTAGFLSKKSAKTNGWSKRWFVLNEKTGKLGYTKTQEERHFRGVITLEECNIEEVPDEEEPPSKSSKDKKVNGPDTKAPSLVFKMTSKVPYKTVLKAHSAVILKAESMADKVEWINKILKIAQPSKGGKMRGVSPEGGPAMRHSLSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSTARIEELLQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASSWSSGDGAESTTPSPRTNGSAGDDWRSAFDAAANGPLDIGSLSRPASNGHSRYYSNGDVSTGSNSSSRRTPNRTPNRFPPAPPQSGSSGYRY >Potri.019G068700.7.v4.1 pep chromosome:Pop_tri_v4:19:10925156:10937513:-1 gene:Potri.019G068700.v4.1 transcript:Potri.019G068700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068700.v4.1 MEAIEELSQLSESMKQATALLADEDVDENPSSSSRRSSTFLNVVALGSVGAGKSAVLNSVIGHPVLPTGESATRAPISIDLQKDGSLSSKSIVLQIDNKSQQVSASALRRSLQDRLSKGVTDNIRDEIYLKLRTSTAPSLKLIDLPGLGQRIVDESMVGDYAEHSDAILLVIVPAAQAPEIASYRALRIAKEYDGEGTRTVGVISKIDQAATDQKALAAVQALLLNQGPPKTTDIPWIALIGQSVSIASAQSGSESSLETAWKAESESLKTILTGAPQSKLGRIALLDALAQQIRKRMKVRLPNVLSGLQGKSQTVQDELMRLGEQMVQSAEGTRAIALELCREFEDKFLQHIMTGEGSGWKIVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSRLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNESKKMVVALVDMERVFVPPQHFIRLVQRRMERQRREEELKNRSSKKGNDAEQAILNRATSPQTGGSLKSMREKSNQADKEVQEASSLKTAGPEGELTAGFLSKKSAKTNGWSKRWFVLNEKTGKLGYTKTQEERHFRGVITLEECNIEEVPDEEEPPSKSSKDKKVNGPDTKAPSLVFKMTSKVPYKTVLKAHSAVILKAESMADKVEWINKILKIAQPSKGGKMRGVSPEGGPAMRHSLSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKAKEDMLNQLYSSISAQSTARIEELLQEDQNVKRRRERYQKQSSLLSKLTRQLSIHDNRAAAASSWSSGDGAESTTPSPRTNGSAGDDWRSAFDAAANGPLDIGSLSRPASNGHSRYYSNGDVSTGSNSSSRRTPNRTPNRFPPAPPQSGSSGYRY >Potri.006G262600.2.v4.1 pep chromosome:Pop_tri_v4:6:25863702:25866376:1 gene:Potri.006G262600.v4.1 transcript:Potri.006G262600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262600.v4.1 MDQVRKTMMQHEALFKEQVQALHRLYNIQKTAMQETRRRNYSQAQVFAFSPESLVLVDGQFCGSVLEGKPLRPPVYIAGRAHKQDALGLSLSPFCTLKEIKGSGSLWIDGMGAETFLPAHSKPLRNFDLEKLPEDDADESSDLEGTAEEWNGSNLPDSTESFQDLGSSGKIASTGPKSEPSNSQVGMDSFPKDPITCSQDSSILEPQYCESKELDEFIIQRPIHLETNTNPEQQDLNLPAVAKDSSNGSNSIESQDAEFCKKKDTREEDLASPSSKEFFLSECEEVALINQDVWRPSVSSTNTDSEIKQTDSYRHSNSEPGNMTSSEKPPVATEDNQNRKGKSILYEECETTAAEILLSFAPNRPQAVTKRHAVETESGKSYVDFATTEEKPNLCQKRCANFSNGGRLYESLSWEKSANWMTTVKRQRQLVPARIVPCNVVNLADVNITL >Potri.006G262600.1.v4.1 pep chromosome:Pop_tri_v4:6:25863699:25866320:1 gene:Potri.006G262600.v4.1 transcript:Potri.006G262600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262600.v4.1 MDQVRKTMMQHEALFKEQVQALHRLYNIQKTAMQETRRRNYSQAQVFAFSPESLVLVDGQFCGSVLEGKPLRPPVYIAGRAHKQDALGLSLSPFCTLKEIKGSGSLWIDGMGAETFLPAHSKPLRNFDLEKLPEDDADESSDLEGTAEEWNGSNLPDSTESFQDLGSSGKIASTGPKSEPSNSQVGMDSFPKDPITCSQDSSILEPQYCESKELDEFIIQRPIHLETNTNPEQQDLNLPAVAKDSSNGSNSIESQDAEFCKKKDTREEDLASPSSKEFFLSECEEVALINQDVWRPSVSSTNTDSEIKQTDSYRHSNSEPGNMTSSEKPPVATEDNQNRKGKSILYEECETTAAEILLSFAPNRPQAVTKRHAVETESGKSYVDFATTEEKPNLCQKRCANFSNGGRLYESLSWEKSANWMTTVKRQRQLVPARIVPCNVVNLADVNITL >Potri.002G243700.4.v4.1 pep chromosome:Pop_tri_v4:2:23554385:23557552:-1 gene:Potri.002G243700.v4.1 transcript:Potri.002G243700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243700.v4.1 MASQDHHTNDHHHHDHDHHHHQHDHTHDESRKTSSRVGPDGRVYHSHDGLAPHSHEPIYSPGFFSRRAQPILTRDFNERAFTVGIGGPVGTGKTALMLSLCKLLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLVVMERDALRMRDGGPFVFAQVKHGLGIEEIVNHILQGWEVATGKKRH >Potri.002G243700.1.v4.1 pep chromosome:Pop_tri_v4:2:23554072:23557689:-1 gene:Potri.002G243700.v4.1 transcript:Potri.002G243700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243700.v4.1 MASQDHHTNDHHHHDHDHHHHQHDHTHDEKTSSRVGPDGRVYHSHDGLAPHSHEPIYSPGFFSRRAQPILTRDFNERAFTVGIGGPVGTGKTALMLSLCKLLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLVVMERDALRMRDGGPFVFAQVKHGLGIEEIVNHILQGWEVATGKKRH >Potri.011G143200.1.v4.1 pep chromosome:Pop_tri_v4:11:17282822:17287669:-1 gene:Potri.011G143200.v4.1 transcript:Potri.011G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143200.v4.1 MERSRNNMRAHCNVSTPSDESALERNYCSHPRLTSVGSATLQPFASAGQHCESNAAYFSWPTSSRLSDAAEERANYFANLQKGILPETLGQFPKGQRATTLLDLMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLSTVQCLPNALEGPGGVWCDVDVVEFSYFGAPQPTPKEQLYTEIVNDLRGDGLYIGSGSQVASQETYGTLGAIVRSQSGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVNLGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFTDDFDMSTVNTSVKGVGEIGDVKIIDLQCPISDLIGKQVMKVGRSSGLTTGTVFAYGLEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIIMKGENGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLYHLELDLITTNEGLQAAVQEQRAASATAICSTIGDSSPPDGMLPNDRMDDKLESLGLQIEHIPSEVENGIPKSSLMETNFHLEDGIKLTPSVEHQFIPSFIRQSPLHQNNVSDKKVSENLASLRNGCDEDIFVSLHLGDNEAKRRRSFSPTSME >Potri.011G143200.2.v4.1 pep chromosome:Pop_tri_v4:11:17282877:17287266:-1 gene:Potri.011G143200.v4.1 transcript:Potri.011G143200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143200.v4.1 MERSRNNMRAHCNVSTPSDESALERNYCSHPRLTSVGSATLQPFASAGQHCESNAAYFSWPTSSRLSDAAEERANYFANLQKGILPETLGQFPKGQRATTLLDLMTIRAFHSKILRCYSLGTAIGFRIRRGVLTDIPAILVFVSRKVHKQWLSTVQCLPNALEGPGGVWCDVDVVEFSYFGAPQPTPKEQLYTEIVNDLRGDGLYIGSGSQVASQETYGTLGAIVRSQSGSRQVGFLTNRHVAVDLDYPNQKMFHPLPPTLGPGVNLGAVERATSFITDDLWYGIFAGINPETFVRADGAFIPFTDDFDMSTVNTSVKGVGEIGDVKIIDLQCPISDLIGKQVMKVGRSSGLTTGTVFAYGLEYNDEKGICFLTDFLVVGENQQTFDLEGDSGSLIIMKGENGEKPRPIGIIWGGTANRGRLKLKVGQPPENWTSGVDLGRLLYHLELDLITTNEGLQAAVQEQRAASATAICSTIGDSSPPDGMLPNDRMDDKLESLGLQIEHIPSEVENGIPKSSLMETNFHLEDGIKLTPSVEHQFIPSFIRQSPLHQNNVSDKKVSENLASLRNGCDEDIFVSLHLGDNEAKRRRSFSPTSME >Potri.005G119400.1.v4.1 pep chromosome:Pop_tri_v4:5:8768315:8768443:-1 gene:Potri.005G119400.v4.1 transcript:Potri.005G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119400.v4.1 MTPVLCEILLSGFMIHSTRRRRITHLVQSFSVVFLYWFYVFS >Potri.001G045200.1.v4.1 pep chromosome:Pop_tri_v4:1:3278968:3284000:1 gene:Potri.001G045200.v4.1 transcript:Potri.001G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G045200.v4.1 MSEQELERNQVQMEEETNVENKLNGRKISWGNLRRVDSLNLEAGRVSMSHSHGAHTSKTDWKRTLSLAFQTIGVVYGDIGTSPLYVYASTFTEGINHDQDILGVLSLIIYTIVLVPMLKYVFIVLRANDNGDGGTFALYSLICRSAKVSLIPNDQPEDHQLSNYRLDTPSNQLRRAHMIKEKMESSKTIKIILFLITILGTSMVIGDGVLTPCISVLSAVSGIKSLGKDAVVGISIAILIVLFSVQRLGTDKVGFAFAPVILLWFSFITGIGLFNLFKYEIGVLRAFNPKYMIDYFKRNGKQGWISLGGIVLCITGTEAMFADLGHFNVRAIQISFSSIVFPALIAAYSGQAAYLTKFKDDVSDTFYKSTPDPLYWPTFVVAVAAAIIASQAMISGAFAIISQSLSLGCFPRVKVVHTSAKYEGQVYIPEVNYLLMVACVVVCFAFKTTVKIGNAYGIAVVAVMVITTCLVTLIMLVIWKTRIWWIALFFFGFGAIEAVYLSSVLYKFKQGGYFPLAFSLILMISMGIWHYVHRERYIYELQNKVSSEYVRDLAERTDINRLPGIGLLYSELVQGIPPIFSHFISNIPSTHSVIVFVSIKSIPITKVALEERFLFRQVEPREYRMFRCIVRYGYKESIEEPHKFERQLVENLKEFIRHEHFIREGGNNESAPEEDNIQHSTLLAVKDGKTEGYAAVHVEESPQQPHPPRISSVSIQSINASSRSNQSVNGIESANSSGGMIHAAVPQGAEEEMQFVQKAMEKGVIYLIGEAEVVAKPESSWFKKLVVDYGYSFLRKNFRQGQTVLAIPRTRLLRVGMTYEV >Potri.018G011800.1.v4.1 pep chromosome:Pop_tri_v4:18:739870:744821:-1 gene:Potri.018G011800.v4.1 transcript:Potri.018G011800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011800.v4.1 MKKNNSKAVLSFLFCVKILFTGMGMAENTSIPVSVGVVLDLDSDLDGRIALSCIEMALSDFYATHGDYKTRLVLNTRDSMKDVVGAAAAALDLIKNVEVQAILGPTTSMQANFVIDLGEKARVPILSFSATSPSLTSIRSTYFFRATLNDSTQVNAISALVQAFKWREAVPIYVDNVYGEGIIPYLIDALQAVDARVPYRSVISPSATDEQIVEELYKLMGMQTRVFIVHMYRSLGTRFFAKAKEIGMMSEGCVWIMTDGLTADLLSSPNPSVTETIQGVLGVKPYVPSTKEIQDFRVRWKRKFQQDNPYIIDAELNIYGLRGYDAATALALAVEKAGTTNLGFRKANVSSSSSTDLSSLGVSLNGPSLLQALSNTSFKGLTGDYHFVDGQLQSPAFQIVNVNGNGGREIGFWTPKGLVKQLVPSNGTDSTSVSGISTVIFPGDTTAIPKGWGIPTIKKGLRIGVPVKSSLRQFVDVKRYPSSNMTIVTGLCIEIFTTIVERLPYVLPYEYVPFDKPDGKAAGTYDDLVYQVYLKNFDAVVGDITILDSRSLYVDFTLPFMDSGVSVIVPIESHNIENAWFFWKPLTWDLWVSSLLFFVFIGFVVWVLEHRINGDFRGPASHQAGTIFWFSFSTMVFAQRERVVSNLSRVVVIIWCFVVLILTQSYTASLSSLLTIQQLKVTDVNELVNKGEYVGYQKGSFVLGILLGLGFDKSKILAYNSPEECHELFSKGSGYGGIAAAFDEVSFIKVFLSKYCSKYTMIDATFKTGGFGFVFPKGSPLVPDISRAILNMIGGDKMKETQDKWFANQTSCPDSGTSVSSNTLSIKSFWGLFIIAGIAALSALIIFIVMFVHQEGRLVLRPSDSTTSIWSKIRHLFSIFNQRDFTSHIEVNDRNGIHLPSMGTANQSGNSAHTEIHGYPSYAGCDTSRNSQAPQVTSADQLTDPNQERPVKDNQRSNVNHETPPRTDQRSNAIIHQRTKSYQYSYSL >Potri.001G344200.1.v4.1 pep chromosome:Pop_tri_v4:1:35542114:35543698:1 gene:Potri.001G344200.v4.1 transcript:Potri.001G344200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344200.v4.1 MEGFVAQNLCNGSREVRIQAATQLGKLNAKQRHKLAERGVIDPLISMLQSQDYEAIEAALFALLSLAFGNERNKIRIVKLGVIPVLLELLQSQNESLTELILAAFLVISSCGANKLAIAASGAISVLVKILGGEYDDTDSISMQAKLDAVATLHNLSSCHQIIPSIVSSGIVFTLLQLIHSYEKSSELVDKAMALLEDIIASSENALAQTSGAGDAIRAFVETIEEGTPQCKEHAVGILLLICQSCRDKYRGLILREGVIPGLLQLSVDGTWRAKEKAKQLLLLLRDCTSYRSRAKQSKHELVEQIMQEIDAEGEKVMGTKALRLVEDMIAKLST >Potri.009G030500.1.v4.1 pep chromosome:Pop_tri_v4:9:4146627:4148334:-1 gene:Potri.009G030500.v4.1 transcript:Potri.009G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030500.v4.1 MSILSKSNGNNNIGTLSFHEVKKQTSFFFKEKIKSARLALTDVTPAQLLTEEATNGNSWAPDSLTLGSISRAAFEVDDYWRIVEILHKRFLRFERKNWRPSYNSLIILEHLLTHGPESVAGEFQIDKDVIREMESFQCIDVKGFNWGLAVRKKSERILNLLEKGPLLKEERERARKVTRGIQGFGSFCHSSSSARGILQESSNGTFARSNSQNDSWENKLLSPKEENSIQTFQKSRNGANYESGQKRVNLDSWDSVNNWQVLEKPGTNLKENLAPKKEVHLWNDTREATPLLAGRRDEPRIVEEDHPFSDAENQTTASLLSARDGILQGC >Potri.001G403600.3.v4.1 pep chromosome:Pop_tri_v4:1:42948531:42951143:-1 gene:Potri.001G403600.v4.1 transcript:Potri.001G403600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403600.v4.1 MASKLLLITVFIFDLIAFGLAVAAEQRRSTATVRPDSEKDYSYCIYDSDIASGYGVGAFLFLLASQVLIMFASKCFCCGKPLSPGGSRACAVILFIVCWVFFFIAEICLFAGSIRNAYHTKYKNFFGENLDCPSLRKGVFAAGAAFTLFTAIVSEFYYVCYSRASDSFHPYSGDVGVGMGTYK >Potri.001G403600.2.v4.1 pep chromosome:Pop_tri_v4:1:42948524:42950934:-1 gene:Potri.001G403600.v4.1 transcript:Potri.001G403600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G403600.v4.1 MASKLLLITVFIFDLIAFGLAVAAEQRRSTATVRPDSEKDYSYCIYDSDIASGYGVGAFLFLLASQVLIMFASKCFCCGKPLSPGGSRACAVILFIVCWVFFFIAEICLFAGSIRNAYHTKYKNFFGENLDCPSLRKGVFAAGAAFTLFTAIVSEFYYVCYSRASDSFHPYSGDVGVGMGTYK >Potri.009G141100.1.v4.1 pep chromosome:Pop_tri_v4:9:11272992:11273699:-1 gene:Potri.009G141100.v4.1 transcript:Potri.009G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141100.v4.1 MQKLAAIRRKRIEFPGTVSGKDSEDCSTSSTAEKGHFVVYTTDNKRFVLPLDYLNNEIVRELFNLAEEEYGLTGNAPLTLACDAVIMEYTITLIQQNVAKDVEKALLMTIASSQCSSSLYLRHEVRNQQLSVCSF >Potri.019G052400.1.v4.1 pep chromosome:Pop_tri_v4:19:8769987:8782556:-1 gene:Potri.019G052400.v4.1 transcript:Potri.019G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052400.v4.1 MVDMETPPSIANDAADNTISPRNLDENPEDASSINPGEKESKPEIAGEEDEEEVRGILQVIASTGKFWHDWEKLKSVLSFQLKQVLSEYPEAKMTTKEQEETYQELVKKLDEALHNFEEGPPFTLQRLCEILLAARHLYPNLSKLALALEKNLLVTSTLAVCTDPYPQALEKPGESDKPSEELQVQSSAVQNGVEPVVGDGDEIMTEVEEADIDDDMTIDMEALEEIVASSETNSTSTGNS >Potri.013G017400.1.v4.1 pep chromosome:Pop_tri_v4:13:1090717:1091667:-1 gene:Potri.013G017400.v4.1 transcript:Potri.013G017400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017400.v4.1 MARLSSFFLISATVLILMVMGWPSTVQGNGDHHHHHLGWIPTTATTRSSICDKGSLAECMAEEDGEEFGMDTEINRRILATSRYVSYGALQKNNVPCSRRGASYYNCKNGAQANPYSRGCSRITRCRG >Potri.008G008801.1.v4.1 pep chromosome:Pop_tri_v4:8:434298:435003:-1 gene:Potri.008G008801.v4.1 transcript:Potri.008G008801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008801.v4.1 MHLQNQSLTTLLPLPFLFLPKPTKPHQFTTSKQQDPPATHVVGSSNDKPFPAEVSRTIMELSSVGTLSTPTPDGWPLSVGVRYAVDDDGTPVLCLSDSYRQFSVDKRSSLHVQLEQSGMRTPQCTIQGSLDKPEDTKVLKVKKNPVLDSSGAESLRMVFFLHSWKIVF >Potri.001G407900.1.v4.1 pep chromosome:Pop_tri_v4:1:43535252:43536088:-1 gene:Potri.001G407900.v4.1 transcript:Potri.001G407900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407900.v4.1 MLEGKAVIGETDMLPTMQQDALDLAAKALDFFDVTDSTDIARLIKKEFDRMYGPGWQCIVGSDFGSFVTHCFGCFIYFQIGSLSILLFRGSAGYPEPEENQFEALESLETLGTMKN >Potri.010G201900.1.v4.1 pep chromosome:Pop_tri_v4:10:19415879:19416079:-1 gene:Potri.010G201900.v4.1 transcript:Potri.010G201900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201900.v4.1 MEVDEGCRTPRRSEYQIPAALVCPPPPKKKPCYAKQRRNPPKEGYFQPPDLEAILLNIAPRREACA >Potri.010G068000.2.v4.1 pep chromosome:Pop_tri_v4:10:9639200:9643626:1 gene:Potri.010G068000.v4.1 transcript:Potri.010G068000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068000.v4.1 MAQQSRRESFSGTLTISSSIDPEDNDLSWPFGDLEGLDKDDVSETAYEVFFTACRSSPGFGGGRNAINFYSSHQHQQHDGDGAGTGSPSGRMGGGPVVVMSPTSRIKRALGMKMLKKSPTRRMSAVGGGGGGSAPVSPTGPLNHGGTSPAMGFATVPISGRPRRPLTSAEIMRAQMRVTEHSDNRLRKTIMRTLVGQVRTATMGRRAETIILPLELLRHLKPSEFNDSQEYHLWQRRQLKILEAGLLLHPSIPLDKSSPYAIRLREIIRASETKPIDTGKNSDTMRTLCNSVVSLSWRSANGTPTDVCHWADGFPLNIHLYVSLLQSIFDFRDETLVLDEVDELVELMKKTWSTLGINRPIHNLCFAWVLFQQYVLTSQVEPDLLSATHAMLSTEVANDAKKPDREAMYVKLLSSMLASMQGWAERRLLHYHDHFQRGNFVLIENLLPLALSASKILGEDVTIAEGIGKEKGDTQIVDSSGDRVDHYIRGSIKNAFAKIIETGSYKSTSLEVKDEASEALLQLAKEAEDLALREREVFSPILKKWHSIAASIAAVTLHQCYGAVLKQYLAGVSTLNNETVSVLQSAGKLEKVLVQMLVEDSAECEDGGKTIVREMVPYEVDSVILRLMKKWIGERLKRGQECLNRAKDSETWNPKSKNEPHATSAAELIKIAKEAVDDFFEIPVGITDDLINDLAEGFENLFKDYSNLVTACGSKQSYVPTLPPLTRCNGDSKFLKMWKRAAPCTINSEYMHQHGLNDAHHPRPSTSRGTQRLYIRLNTLYYLQSHLHSLEKNLALAPRTTASRGYHRRNHINSSSYFDLALASIQSACHHVSEVAAYRLIFLDSNSVFYDTLYVADVANARIKPALRILKQNLNLLTAILTDRAQPVAMREVMKASFEAFLMVLLAGGCSRIFYRSDYPMIEEDCENLKRTFCTCGEGLMNEDAVEKEAEIVEGVIALMGDSTEQLMEDFSILTCEASGIGSAGSGQKLPMPPTTGRWNRADPNTILRVLCHRDDKAANQFLKKTFQLAKRR >Potri.010G068000.1.v4.1 pep chromosome:Pop_tri_v4:10:9639263:9643711:1 gene:Potri.010G068000.v4.1 transcript:Potri.010G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068000.v4.1 MAQQSRRESFSGTLTISSSIDPEDNDLSWPFGDLEGLDKDDVSETAYEVFFTACRSSPGFGGGRNAINFYSSHQHQQHDGDGAGTGSPSGRMGGGPVVVMSPTSRIKRALGMKMLKKSPTRRMSAVGGGGGGSAPVSPTGPLNHGGTSPAMGFATVPISGRPRRPLTSAEIMRAQMRVTEHSDNRLRKTIMRTLVGQMGRRAETIILPLELLRHLKPSEFNDSQEYHLWQRRQLKILEAGLLLHPSIPLDKSSPYAIRLREIIRASETKPIDTGKNSDTMRTLCNSVVSLSWRSANGTPTDVCHWADGFPLNIHLYVSLLQSIFDFRDETLVLDEVDELVELMKKTWSTLGINRPIHNLCFAWVLFQQYVLTSQVEPDLLSATHAMLSTEVANDAKKPDREAMYVKLLSSMLASMQGWAERRLLHYHDHFQRGNFVLIENLLPLALSASKILGEDVTIAEGIGKEKGDTQIVDSSGDRVDHYIRGSIKNAFAKIIETGSYKSTSLEVKDEASEALLQLAKEAEDLALREREVFSPILKKWHSIAASIAAVTLHQCYGAVLKQYLAGVSTLNNETVSVLQSAGKLEKVLVQMLVEDSAECEDGGKTIVREMVPYEVDSVILRLMKKWIGERLKRGQECLNRAKDSETWNPKSKNEPHATSAAELIKIAKEAVDDFFEIPVGITDDLINDLAEGFENLFKDYSNLVTACGSKQSYVPTLPPLTRCNGDSKFLKMWKRAAPCTINSEYMHQHGLNDAHHPRPSTSRGTQRLYIRLNTLYYLQSHLHSLEKNLALAPRTTASRGYHRRNHINSSSYFDLALASIQSACHHVSEVAAYRLIFLDSNSVFYDTLYVADVANARIKPALRILKQNLNLLTAILTDRAQPVAMREVMKASFEAFLMVLLAGGCSRIFYRSDYPMIEEDCENLKRTFCTCGEGLMNEDAVEKEAEIVEGVIALMGDSTEQLMEDFSILTCEASGIGSAGSGQKLPMPPTTGRWNRADPNTILRVLCHRDDKAANQFLKKTFQLAKRR >Potri.001G461700.1.v4.1 pep chromosome:Pop_tri_v4:1:48711073:48712856:1 gene:Potri.001G461700.v4.1 transcript:Potri.001G461700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461700.v4.1 MNSLSSLMFPFLFLFLFSFSWVASADRHEDFLQCLQYQNSNAISKVIYTPINSSYSSVLQFSIRNGRFNTSATPKPLVIVTPLNVAHIQAAITCSQKHGLQIRVRSGGHDYEGLSYVAVIPFVVVDLINMRTVTVDVANKTAWVQGGATLGEVYYRIAEKSRTLAFPAGVCPTVGVGGHISGGGTGMIMRKYGLAVDHIIDAQLIDVKGRILDRASMGEDLFWAIRGGGGNTFGVVVAWKLELVPIPASVTVFNVTRILMKEDATKLIHQWQYAIEKFDEDLFSRIFIWRSANSTQEGKPMIQAAFTSLFLGGVDRLLSYMQESFPELGLVREDCIEMSWIESTVYFAQFPRNTSLEVLLNRGPRSTIFFKGKTDFVKEPIPKTALEGIWERLDQVDAESAELQFTAYGGKMNEIAESSTPFPHRAGTLYQIHYAISWDEEGIEAYAKYISWIRRLYSYMASYVSKNPRQAYVNYRDLDLGVNNLGNTSYRQASIWGTKYFKNNFDRLVRVKTAVDPANFFRNEQSIPPLSSW >Potri.007G138002.1.v4.1 pep chromosome:Pop_tri_v4:7:14913978:14916300:-1 gene:Potri.007G138002.v4.1 transcript:Potri.007G138002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138002.v4.1 MGVFGSTVPVITLGSISKRWMVPGWRLGWLVTSDPTGLLQKCGIADSIKNVLNPAPFSPTFIQAAVPEILEKTTEEFFSKTINILRAASAFCYDKLKEIPCITCPQRAEGAMFVLVKLNLSLLEDIKDDMEFCLKLAKEESLVILPGVTVGLKNWLRITFSVEQSSLEDGLGRLRYFCGRHAKKP >Potri.007G002100.4.v4.1 pep chromosome:Pop_tri_v4:7:126900:132255:-1 gene:Potri.007G002100.v4.1 transcript:Potri.007G002100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002100.v4.1 MWRLKIAEKGNNPYIFTTNEYAGRQIWEYDPNAGTPEEREQVEEARRNFTKNRSKVKPSSDLLWQYQILREKNFKQTIPAVRVEEGEEVTYEKTTTAMKRSASFYSALQASDGHWPAENSGVLFFLPPFVFCFYITGHLNTMFPPEYRKEIFRYIYNHQNEDGGWGLHIESHSNMFCTTFSYICLRMLGVGPDEEACARGRKWILDRGGVTSIPSWGKTWLSILGLFDWSGCNPMPPEFWILPTALPVHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILSLREELYLQPYESVKWKQVRHLCAEEDLYYPHSLIQDFLWDSLYLMSEPLLTRWPFNQLVRKKALEVTMKHIHYEDENSRYITIGCVEKVLCMLSCWVEDPDGVAFKRHLARVPDYLWVGEDGMKVQSFGSQLWDATFGFQALYTSELGEEIKPTLAKAFDFIKKSQVVDNPAGDFVGMYRHISKGSWTFSDQDHGWQLSDCTAEALKCVLFAQMLPTEYIGEKMDPQMIFEAVNIILSLQGPRGGLAGWEPIRGEMWLEKLNPMEFLENIVIEHDYVECTSSAIHGFVMFMKMYPGHRKKEIETFIARAVDYLEMIQMPDGSWYGNWGVCFIYSTWFALVGLAAAGKTYYNNQAMRRGVDFLLRAQSPDGGWGESYLSCPNKIYTPLEEKRSTYVQTGWAMLGLIHSGQADRDPTPLHRGAKLLINSQAEDGSYPQQEITGVFKNNCMLHYPTYKNVFPLWALAEYRKNVPLPSKKL >Potri.007G002100.3.v4.1 pep chromosome:Pop_tri_v4:7:126766:132258:-1 gene:Potri.007G002100.v4.1 transcript:Potri.007G002100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G002100.v4.1 MWRLKIAEKGNNPYIFTTNEYAGRQIWEYDPNAGTPEEREQVEEARRNFTKNRSKVKPSSDLLWQYQILREKNFKQTIPAVRVEEGEEVTYEKTTTAMKRSASFYSALQASDGHWPAENSGVLFFLPPFVFCFYITGHLNTMFPPEYRKEIFRYIYNHQNEDGGWGLHIESHSNMFCTTFSYICLRMLGVGPDEEACARGRKWILDRGGVTSIPSWGKTWLSILGLFDWSGCNPMPPEFWILPTALPVHPAKMWCYCRLVYMPMSYLYGKRFVGPITPLILSLREELYLQPYESVKWKQVRHLCAEEDLYYPHSLIQDFLWDSLYLMSEPLLTRWPFNQLVRKKALEVTMKHIHYEDENSRYITIGCVEKVLCMLSCWVEDPDGVAFKRHLARVPDYLWVGEDGMKVQSFGSQLWDATFGFQALYTSELGEEIKPTLAKAFDFIKKSQVVDNPAGDFVGMYRHISKGSWTFSDQDHGWQLSDCTAEALKCVLFAQMLPTEYIGEKMDPQMIFEAVNIILSLQGPRGGLAGWEPIRGEMWLEKLNPMEFLENIVIEHDYVECTSSAIHGFVMFMKMYPGHRKKEIETFIARAVDYLEMIQMPDGSWYGNWGVCFIYSTWFALVGLAAAGKTYYNNQAMRRGVDFLLRAQSPDGGWGESYLSCPNKIYTPLEEKRSTYVQTGWAMLGLIHSGQADRDPTPLHRGAKLLINSQAEDGSYPQQEITGVFKNNCMLHYPTYKNVFPLWALAEYRKNVPLPSKKL >Potri.016G079400.1.v4.1 pep chromosome:Pop_tri_v4:16:6044174:6045994:-1 gene:Potri.016G079400.v4.1 transcript:Potri.016G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX37 MGCVSSKLFKKELHQQIIFNNGGQCVDHVVSLTSSTYGALKLDCNNQHQQQPPLQQAHKQDPIKEIVEESKRLQQISPTKEDPEVVNTWELMGDLEEGVPVSSQTKRSPNSRVLLRGFADLDLRSPLKFLNQIGSPRKAKTYGGKENKVKRSSDFSPRPVLKANNSSGNSCKAVLRLSYPVKASPVGAKTENLRRESGFSPRRKSSFIPLFDPELVALYEKDLSEEEEEEIERIILPSSRTTKVKNLRDLESILQSFEQKRPPGGENKVVIYTTTLRGIRKTFEDCNTVRSIIESHHIHIVERDVSMDSGFKEELRGLMGTNEVKVPLVFVKGRLIGGADQVVKLEVEGKLEILFDGIPKGLAGGCEGCAGVRFVMCVECNGSCKVLHEEQKKMVRCGECNENGLMQCPICC >Potri.008G118700.1.v4.1 pep chromosome:Pop_tri_v4:8:7626089:7627390:1 gene:Potri.008G118700.v4.1 transcript:Potri.008G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118700.v4.1 MLSMAFQSGVNNVFTYYSLPCRTPSCKTFKATISVNPKPNPIRSSTFFDRERRHVSRNQRYTTFAVPEALAAETPADAPPSNAAGESIPIQETEKAELVVKRVEKPRLVLKFVWMEKNIGLALDQVIPGHGTVPLSPYFFWPRKDAWEELKATLESKPWISQKKMIILLNQATDIINLWQQSGGNLTAQ >Potri.001G265900.3.v4.1 pep chromosome:Pop_tri_v4:1:28075424:28085330:1 gene:Potri.001G265900.v4.1 transcript:Potri.001G265900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265900.v4.1 MAEKKQEDGVGAGCPIVEVNPKPQKGLTSKLVDCLEKLIMKLMHDTSQSHPYLSGNFAPVEETAPVKDLPVEGHLPECMNGEFVRVGPNPKFAPVAGYNWFDGDGMIHGMRIKDGKAAYVSRFVRTSRLKQEEFFGGAKFMKIGDLKGLFGLLMVNIQILRLKTKVLDRSYGIGTGNTNLIYHNGKLLALQEADKPYVVKVMEDGDLQTLGLLDYDKRLKHPFTAHPKVDPFTGEMFTFGYSHEPPYVTYRVISKDGAMHDPVPITISDPIMMHDFAITENYAVFLDLPLYFRPKEMVKDKKFIFTFDATKKARFGVLPRYAKDDLLIKWFELPNCFIFHNANAWEEEDEIVLITCRLQDPGLDVANGAVKEKQENFVDELYEMRFNMKTGVASQKKLSESAVDFPRVNESYTGRKQRYVYGTLLDSIAKVTGIVKFDLHSEPEPGKGKIEVGGNVKGIFDLGPGRFGSEAIFIPREPGTTSEEDDGYLIFFAHDENTGKSSVNVIDAKTMSANPVAVVELPHRVPYGFHAFFVSEEQLQEQEKL >Potri.005G033700.1.v4.1 pep chromosome:Pop_tri_v4:5:2220150:2227182:-1 gene:Potri.005G033700.v4.1 transcript:Potri.005G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033700.v4.1 MAKAATTANPGVKSGVRIVVAGDRGTGKSSLIVTAISETFPSSVPPVLPPTRMPDDFYPDRVPITIIDTSSKVEDAGKVAEELKRADAVVLTYACDRPETLDRLSTFWLPELRQLEVKVPVIVVGCKLDLRDENQQVSLEQVMSPIMQQFREIETCIECSAFKHIQIPEVFYYAQKAVLHPTGPLFDQESQTLKPRCVRALKRIFILCDLDRDGALSDAELNEFQVKCFNAPLQPSEIIGVKRVVEEKLPGGGVSGMGVNDCGLTLTGFLFLHALFIEKGRLETTWTVLRKFGYNNDIKLSDELIPTFKLAPDQSVELTSEAVEYLRNIYELFDSDGDNNLRPAELEDIFSTAPESPWEEPPYKDAAEKTALGGLSANAFLSEWALMTLLDPSRAVENLIYIGYSGDPSAAVRLTRRRRLDRKKKQSDRNVFHCFVFGPKKSGKSALVNSFIGRPFYDSYAPTAEEIYAVNVVDLPGGIKKTLVLREIPDDGVKKLLSNKESLASCDIAVFVYDSSDQSSWKRATELLVDVASHGEDTGYEVPCLIVAAKDDLNSFPMAIQESTRVSQDMGIEAPIPISSKMGDTNNVFRRIVTAAERPHLSIPETEAGRSRKQYNRLVNRSLMFVSVGAAVAIVGLAAYRVYAARKNSSG >Potri.004G109500.1.v4.1 pep chromosome:Pop_tri_v4:4:9754272:9754637:-1 gene:Potri.004G109500.v4.1 transcript:Potri.004G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109500.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEECHKKVTKRCAIEISNSIYTNKTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.014G185876.1.v4.1 pep chromosome:Pop_tri_v4:14:15383305:15383553:-1 gene:Potri.014G185876.v4.1 transcript:Potri.014G185876.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185876.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATVATTSGG >Potri.014G038700.1.v4.1 pep chromosome:Pop_tri_v4:14:2496788:2499239:-1 gene:Potri.014G038700.v4.1 transcript:Potri.014G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038700.v4.1 MASSSLAFPTLQPQFQSLKNKSTSKPSTRRLSFRTIRASVSEKPSVSAPGVTVSPPENTKLPIRKIPGDYGLPLVGPFKDRMDYFYNQGRDNFFKSKVLKYGSTVFRANMGPGPFIAPNPQVVVLLDGKSFPVLFDVTKVEKKDLFTGTYMPSTELTGGYRILSYLDPSEPMHAKLKKFMFYLLKSRRDHVIPEFKASYTELFTSLEKDLALKGKANFVAANDQAAFNFLARAWFGTEPAQTSLGLDGPGLVSKWVLFNLGPVLSLGLPKYLEDLTIHSFRLPPSMIKKNYQRLYDFFYASSSFLLDEAENLGISREEACHNLLFSTCFNSFGGMKILFPNMMKWLGRAGAKLHAQLAEEIRSVVQSDGGNVTMRGMEQMPLMKSAVYEALRIEPPVPLQYGKAKRDLIIESHDAAFEVKEGELLFGFQPFATKDPKIFTRAEEFVADRFIGEGEELLKHVLWSNGPETEKPTLGNKQCAGKDFVVLVARLLVVELFLRYDSFEIEVGKSSLGAAVTVTSLKRARF >Potri.009G161800.2.v4.1 pep chromosome:Pop_tri_v4:9:12483617:12485043:1 gene:Potri.009G161800.v4.1 transcript:Potri.009G161800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161800.v4.1 MAMATTTLQVQLPPFSSASFLNNKSFKNYCCSTYIQPSNSSFKRLQTRAIKEKTEEREAPSSSSSSSSSSSSVEEVTKKYGLEAGLWKIFSSKEEEKEEGEKTKSKGDQAKELLAKYGGAYLATSITLSLISFSLCYALISAGIDVQAVLLKVGISTDANGEKVGTFALAYAAHKAASPIRFPPTVALTPIVAGWIGKKADKEK >Potri.001G470100.1.v4.1 pep chromosome:Pop_tri_v4:1:49374537:49376574:-1 gene:Potri.001G470100.v4.1 transcript:Potri.001G470100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G470100.v4.1 MGTLISSILRIFLFYISMETLDPIQETFLQCLSTHSLTSTPISEVTYFPNNPNYLSVLNSYIRNLVFTSPTTPKPLFIVTPTHVSHIRASIICSKIHGLEVRIRSGGHDYDGLSYVSTVPFIMVDLFNMRSVSVDIEDESAWVESGATLGEVYYRIAEKSKIYGYPAGVCPTVGVGGHLSGGGYGNLMRKHGLSVDNIVDAVLVDANGNVLDREAMGEDLFWAIRGGGGASFGIIVSWKIKLVRVPEVVTVFRVERTLEEGASDIVYQWQHVADKIDEDLFIRVVLNPVTRKGQVTIKAKFNALFLGNAQRLVSLMDEQFPGLGLLSTDCKEMSWIESVLFWSNYQIGTSTDVLLERHSTKEKYLKRKSDYVQEPISKTDLEGIWKKMIQLRKPVLTFNPYGGKMSEISELDTPFPHRAGNIYKIQYAASWKEEGAEAVDHNLDLIRKLYDYMTPFVSKSPRCSYLNYRDIDLGINEIGNASYEQASGWGTKYFKGNFDRLVQVKTTVDPGNFFRYEQSIPSLEASSSKNRMSE >Potri.001G200300.1.v4.1 pep chromosome:Pop_tri_v4:1:19875768:19887762:1 gene:Potri.001G200300.v4.1 transcript:Potri.001G200300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200300.v4.1 MATAVGSMNVDVLSRRVRVRAASVDTQQRLSYNPNAPKNPFKNLNPKPNNFVPTSTAPAPSSTVTLTAQTVSGLLKRTTVIVQEQLNDSYLGYERWLPSPPKVEKPRSVFNAATLAYIGDSIFELYARRHFLFPPLSIEEHNDRVMAVVRCEAQDALLQKLLNDNYLSEEERLILRWGKNVGSTKTRTKRRVGVAVYNRASSLETLVGFLYLTNVSRLEEVMLKLGFSTDSSTQMIPEEENSKSTI >Potri.001G200300.2.v4.1 pep chromosome:Pop_tri_v4:1:19875771:19887754:1 gene:Potri.001G200300.v4.1 transcript:Potri.001G200300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200300.v4.1 MATAVGSMNVDVLSRRVRVRAASVDTQQRLSYNPNAPKNPFKNLNPKPNNFVPTSTAPAPSSTVTLTAQTVSGLLKRTTVIVQEQLNDSYLGYERWLPSPPKVEKPRSVFNAATLAYIGDSIFELYARRHFLFPPLSIEEHNDRVMAVVRCEAQDALLQKLLNDNYLSEEERSVFST >Potri.002G125200.16.v4.1 pep chromosome:Pop_tri_v4:2:9533095:9536789:-1 gene:Potri.002G125200.v4.1 transcript:Potri.002G125200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125200.v4.1 MKKSIVYSSRKSGTFPSPGTPNYHSSAGMQKGWSSERVPLPNNSNRRQVMNATAAAVSPFNNNTNNNNNGRPLPSKWEDAERWIFSPVSGDGFVRSSIQPAQRRPKSKSGPLGPPGVAYYSLYSPAIQVFDGRNMGNFIAGSPFSASVIAADGLAVKSNGSHGVAFPMRTEPCMARSVSVHGCSEMLAQSSLPSQDEKLDGVKDAATDISRAVSRRDMATQMSPEGSNHSSPTRQPSFSVSTPSSLAIVELQGFNSSKSEVRDVQVDERVTVTRWSKKHRARNHGKSSEIVDDWREEAADALSSGLDVSEAGESISKVKREEAKITAWENLQKAKAEAELRKLEMKLEKKRSSSMDRIMNKLRSAQKRAQEMRSSVLANQAHQVSTNSRKAISFRRTCQKGSLSGCFTCHAF >Potri.002G125200.3.v4.1 pep chromosome:Pop_tri_v4:2:9533121:9536785:-1 gene:Potri.002G125200.v4.1 transcript:Potri.002G125200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125200.v4.1 MKKSIVYSSRKSGTFPSPGTPNYHSSAGMQKGWSSERVPLPNNSNRRQVMNATAAAVSPFNNNTNNNNNGRPLPSKWEDAERWIFSPVSGDGFVRSSIQPAQRRPKSKSGPLGPPGVAYYSLYSPAIQVFDGRNMGNFIAGSPFSASVIAADGLAVKSNGSHGVAFPMRTEPCMARSVSVHGCSEMLAQSSLPSQDEKLDGVKDAATDISRAVSRRDMATQMSPEGSNHSSPTRQPSFSVSTPSSLAIVELQGFNSSKSEVRDVQVDERVTVTRWSKKHRARNHGKSSEIVDDWREEAADALSSGLDVSEAGESISKVKREEAKITAWENLQKAKAEAELRKLEMKLEKKRSSSMDRIMNKLRSAQKRAQEMRSSVLANQAHQVSTNSRKAISFRRTCQKGSLSGCFTCHAF >Potri.002G125200.14.v4.1 pep chromosome:Pop_tri_v4:2:9533038:9537486:-1 gene:Potri.002G125200.v4.1 transcript:Potri.002G125200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125200.v4.1 MWRGRELPQAIHSETSRMPELVRHRDQISSRSGFRARDASPDSVIFTLESNFSLFSSTSASVDRCSFASDAYDHEYLASEISLCAMQHLAAHDQQESYWSGPDPNKLNTVGNNNKQHTHTHTRPSRKAEKAKVQKEEDDNNLLDSARSSFSLALKECQESRSRSDAITKKPDRRRPASLDLNNVVTSPSPRLGNMKKSIVYSSRKSGTFPSPGTPNYHSSAGMQKGWSSERVPLPNNSNRRQVMNATAAAVSPFNNNTNNNNNGRPLPSKWEDAERWIFSPVSGDGFVRSSIQPAQRRPKSKSGPLGPPGVAYYSLYSPAIQVFDGRNMGNFIAGSPFSASVIAADGLAVKSNGSHGVAFPMRTEPCMARSVSVHGCSEMLAQSSLPSQDEKLDGVKDAATDISRAVSRRDMATQMSPEGSNHSSPTRQPSFSVSTPSSLAIVELQGFNSSKSEVRDVQVDERVTVTRWSKKHRARNHGKSSEIVDDWREEAADALSSGLDVSEAGESISKVKREEAKITAWENLQKAKAEAELRKLEMKLEKKRSSSMDRIMNKLRSAQKRAQEMRSSVLANQAHQVSTNSRKAISFRRTCQKGSLSGCFTCHAF >Potri.012G023200.1.v4.1 pep chromosome:Pop_tri_v4:12:2330776:2337789:-1 gene:Potri.012G023200.v4.1 transcript:Potri.012G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023200.v4.1 MEVTAGVAAASRGSLSRKEWRAVTEQQHRNGGGGEEVNLERSKLGQSDERTIYEVQHGREPVDVDFCSITVDGGLDDDILQQRIHSIARQREELQHMETELRAQVIAGSEIMEIQKSFHAQIKEREDAAAKLQEQLHERGQTIHDLERRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRREHDHSEAERAQHIQQLHDLQEHFQDKERQILELQEQHRVDQETVYLKDEQLKVWIARVQEMDALHSNANHSLQAELRDRTEQYNQLWLGCQRQFAEMERVHLHTVQQLQFELADARERSGSYADESHLSQSNTKDESNFIQNNGNQLDVNGTAASIASNGELSNGNADNAQSFASTGNAHQTNHVAGVPMAPTSLLGMPTYLPPGQVTALHPFILHQQGIPHSMASHVPQSHAGHFHSVPAMSSVPHWQNGQAVTESAQLPAQNQLASSEVDHNLMSSDGKYDYERSVNGHEFHPDYLDVHISQGAEPDSVISSSTGESQVIESIDRGYLVNPQPEQSLQEISSQFNDALRLNPPERNTETKDQNVLNFNNHGQALMEEQASSAASASLSETSTHSVNVNETTINNGTAAVSTKALISSEQTNMVTGGKTSETPLLDERSLLTCIVRTIPAGGQIRINSTLPNRLGKMLSPLHWHDYKKKYGKLEDFVGGHPELFLIEGDFIQLREGAQEMIAATAAVAKVAAAVAASSPYSSFLPSVAVTPMAQSHRLKKVPSIESKFSNGVNFGVAGGISNVKFLSKSKDSQELNVPDSDRSSVSSTQSKGSIHGTSNSIYTGKQQSRTTGAALTSRR >Potri.012G023200.7.v4.1 pep chromosome:Pop_tri_v4:12:2330961:2337660:-1 gene:Potri.012G023200.v4.1 transcript:Potri.012G023200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023200.v4.1 MEVTAGVAAASRGSLSRKEWRAVTEQQHRNGGGGEEVNLERSKLGQSDERTIYEHGREPVDVDFCSITVDGGLDDDILQQRIHSIARQREELQHMETELRAQVIAGSEIMEIQKSFHAQIKEREDAAAKLQEQLHERGQTIHDLERRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRREHDHSEAERAQHIQQLHDLQEHFQDKERQILELQEQHRVDQETVYLKDEQLKVWIARVQEMDALHSNANHSLQAELRDRTEQYNQLWLGCQRQFAEMERVHLHTVQQLQFELADARERSGSYADESHLSQSNTKDESNFIQNNGNQLDVNGTAASIASNGELSNGNADNAQSFASTGNAHQTNHVAGVPMAPTSLLGMPTYLPPGQVTALHPFILHQQGIPHSMASHVPQSHAGHFHSVPAMSSVPHWQNGQAVTESAQLPAQNQLASSEVDHNLMSSDGKYDYERSVNGHEFHPDYLDVHISQGAEPDSVISSSTGESQVIESIDRGYLVNPQPEQSLQEISSQFNDALRLNPPERNTETKDQNVLNFNNHGQALMEEQASSAASASLSETSTHSVNVNETTINNGTAAVSTKALISSEQTNMVTGGKTSETPLLDERSLLTCIVRTIPAGGQIRINSTLPNRLGKMLSPLHWHDYKKKYGKLEDFVGGHPELFLIEGDFIQLREGAQEMIAATAAVAKVAAAVAASSPYSSFLPSVAVTPMAQSHRLKKVPSIESKFSNGVNFGVAGGISNVKFLSKSKDSQELNVPDSDRSSVSSTQSKGSIHGTSNSIYTGKQQSRTTGAALTSRR >Potri.012G023200.3.v4.1 pep chromosome:Pop_tri_v4:12:2330501:2337772:-1 gene:Potri.012G023200.v4.1 transcript:Potri.012G023200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023200.v4.1 MEVTAGVAAASRGSLSRKEWRAVTEQQHRNGGGGEEVNLERSKLGQSDERTIYEHGREPVDVDFCSITVDGGLDDDILQQRIHSIARQREELQHMETELRAQVIAGSEIMEIQKSFHAQIKEREDAAAKLQEQLHERGQTIHDLERRMEEKDRELHAIKLDNEAAWAKEDLLREQNKELATFRREHDHSEAERAQHIQQLHDLQEHFQDKERQILELQEQHRVDQETVYLKDEQLKVWIARVQEMDALHSNANHSLQAELRDRTEQYNQLWLGCQRQFAEMERVHLHTVQQLQFELADARERSGSYADESHLSQSNTKDESNFIQNNGNQLDVNGTAASIASNGELSNGNADNAQSFASTGNAHQTNHVAGVPMAPTSLLGMPTYLPPGQVTALHPFILHQQGIPHSMASHVPQSHAGHFHSVPAMSSVPHWQNGQAVTESAQLPAQNQLASSEVDHNLMSSDGKYDYERSVNGHEFHPDYLDVHISQGAEPDSVISSSTGESQVIESIDRGYLVNPQPEQSLQEISSQFNDALRLNPPERNTETKDQNVLNFNNHGQALMEEQASSAASASLSETSTHSVNVNETTINNGTAAVSTKALISSEQTNMVTGGKTSETPLLDERSLLTCIVRTIPAGGQIRINSTLPNRLGKMLSPLHWHDYKKKYGKLEDFVGGHPELFLIEGDFIQLREGAQEMIAATAAVAKVAAAVAASSPYSSFLPSVAVTPMAQSHRLKKVPSIESKFSNGVNFGVAGGISNVKFLSKSKDSQELNVPDSDRSSVSSTQSKGSIHGTSNSIYTGKQQSRTTGAALTSRR >Potri.005G157400.1.v4.1 pep chromosome:Pop_tri_v4:5:14999374:15013980:-1 gene:Potri.005G157400.v4.1 transcript:Potri.005G157400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157400.v4.1 MTTNKNTESSKGDGGPPPPPPPPAPGQLENLRFHGGNAIFKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAIPQKGSEVNLTLGGIDLNNSGSVVVKAEKKLLTVLFPDGRDGRAFTLKAESSEDLYEWKTALENALAQAPSASLVMGQNGFFQNDQADGADGSLEQSKDKQPVKSLVIGRPILLALEDVDGSPSFLEKALRFIEDHGIKVEGILRQAADVDDVEHRIKEFGQGKTEFSPKEDAHVIADCVKYFLRELPSSPVPTSCCNALLEACRTDRGSRVNAMRVAICETFPEPNRRLLQRILLMMQTVASHKAANRMSTSAVAACMAPLLLRPLLAGDCEIESNFNVGGDGSIQLLKAAAAANHAQAIVITLLEEYEKIFSEGSMSPGLYSDSDECGSEDEEVTDDDESYVDDATEESDVYTDEDHDNASSGTSTQSGESGEDDPSDYEMQGSNDCSSGSKSPEPEADNDLKVEQKLSSCPVQTSSHQHDNVEKREDIPDQSNNSLTMQSNKSDDLSVDVSTETSLKNKTADHKASPDAKKPSTISNEPVRGKRPTVWGRTSAKKNLSMESIDYPIEDDVEIQKLEASKIELQNRISEEIKGNAILQAKLKKRKKALHDHRQALQQDVARLQEQLQKERDKRKVLEADLNTSRGPLQVPVTIDENIKAGLEEITQADANVANLKWKVNDLGAQLNQQHEQHSGSMHGLINQPMNASLHEAKWNDERKDAEATGTSQFRRPASKDMCTDGTETQQLDSAHSGNSRPVSSTNFKRSSTRSEGVNSTTSALTRLTTRLNFLKERRSQIANEIQNMDKGRGPDQNLEKSQGSEIPSFQKLEKDKELGKEGKPLQNSKKSTASDVQSLQDLDGGRYSEGVPPQSLQSEKSYIAGKGQPLVPPRINSR >Potri.005G157400.2.v4.1 pep chromosome:Pop_tri_v4:5:14999370:15013956:-1 gene:Potri.005G157400.v4.1 transcript:Potri.005G157400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157400.v4.1 MTTNKNTESSKGDGGPPPPPPPPAPGQLENLRFHGGNAIFKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAIPQKGSEVNLTLGGIDLNNSGSVVVKAEKKLLTVLFPDGRDGRAFTLKAESSEDLYEWKTALENALAQAPSASLVMGQNGFFQNDQADGADGSLEQSKDKQPVKSLVIGRPILLALEDVDGSPSFLEKALRFIEDHGIKVEGILRQAADVDDVEHRIKEFGQGKTEFSPKEDAHVIADCVKYFLRELPSSPVPTSCCNALLEACRTDRGSRVNAMRVAICETFPEPNRRLLQRILLMMQTVASHKAANRMSTSAVAACMAPLLLRPLLAGDCEIESNFNVGGDGSIQLLKAAAAANHAQAIVITLLEEYEKIFSEGSMSPGLYSDSDECGSEDEEVTDDDESYVDDATEESDVYTDEDHDNASSGTSTQSGESGEDDPSDYEGSNDCSSGSKSPEPEADNDLKVEQKLSSCPVQTSSHQHDNVEKREDIPDQSNNSLTMQSNKSDDLSVDVSTETSLKNKTADHKASPDAKKPSTISNEPVRGKRPTVWGRTSAKKNLSMESIDYPIEDDVEIQKLEASKIELQNRISEEIKGNAILQAKLKKRKKALHDHRQALQQDVARLQEQLQKERDKRKVLEADLNTSRGPLQVPVTIDENIKAGLEEITQADANVANLKWKVNDLGAQLNQQHEQHSGSMHGLINQPMNASLHEAKWNDERKDAEATGTSQFRRPASKDMCTDGTETQQLDSAHSGNSRPVSSTNFKRSSTRSEGVNSTTSALTRLTTRLNFLKERRSQIANEIQNMDKGRGPDQNLEKSQGSEIPSFQKLEKDKELGKEGKPLQNSKKSTASDVQSLQDLDGGRYSEGVPPQSLQSEKSYIAGKGQPLVPPRINSR >Potri.005G157400.3.v4.1 pep chromosome:Pop_tri_v4:5:14999368:15013980:-1 gene:Potri.005G157400.v4.1 transcript:Potri.005G157400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G157400.v4.1 MTTNKNTESSKGDGGPPPPPPPPAPGQLENLRFHGGNAIFKSGPLFISSKGIGWTSWKKRWFILTRTSLVFFRSDPSAIPQKGSEVNLTLGGIDLNNSGSVVVKAEKKLLTVLFPDGRDGRAFTLKAESSEDLYEWKTALENALAQAPSASLVMGQNGFFQNDQADGADGSLEQSKDKQPVKSLVIGRPILLALEDVDGSPSFLEKALRFIEDHGIKVEGILRQAADVDDVEHRIKEFGQGKTEFSPKEDAHVIADCVKYFLRELPSSPVPTSCCNALLEACRTDRGSRVNAMRVAICETFPEPNRRLLQRILLMMQTVASHKAANRMSTSAVAACMAPLLLRPLLAGDCEIESNFNVGGDGSIQLLKAAAAANHAQAIVITLLEEYEKIFSEGSMSPGLYSDSDECGSEDEEVTDDDESYVDDATEESDVYTDEDHDNASSGTSTQSGESGEDDPSDYEMQGSNDCSSGSKSPEPEADNDLKVEQKLSSCPVQTSSHQHDNVEKREDIPDQSNNSLTMQSNKSDDLSVDVSTETSLKNKTADHKASPDAKKPSTISNEPVRGKRPTVWGRTSAKKNLSMESIDYPIEDDVEIQKLEASKIELQNRISEEIKGNAILQAKLKKRKKALHDHRQALQQDVARLQEQLQKERDKRKVLEADLNTSRGPLQVPVTIDENIKAGLEEITQADANVANLKWKVNDLGAQLNQQHEQHSGSMHGLINQPMNASLHEAKWNDERKDAEATGTSQFRRPASKDMCTDGTETQQLDSAHSGNSRPVSSTNFKRSSTRSEVGC >Potri.005G043825.1.v4.1 pep chromosome:Pop_tri_v4:5:2763682:2765871:1 gene:Potri.005G043825.v4.1 transcript:Potri.005G043825.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043825.v4.1 MNFLISISRSLNRSRSCFSFNSSPLACSKTLLFPNSTSFNCSSRCFFCNSISLDCSSNFFVSSSTTLHRSSSCFSFSSTSSTNFLFRNSTTRRLSSTCFSFNSSSSKNFLIFSFSNSTSFCCSTSCFSFNSSFSTSFFLSNSTSSHLSSNCFSFNSSSSINLLYFMAPNSTSSCFNLSSSKHSTFLFLSNSISKALSSSHLSFNSHSLSCSPNFNFTHATSMYPSLSCFFLSTSISFCLSSISFSFNIIPSTSFFLSTSISFRLSSISFSFNSISSTNFSLINSSLSSTSFRLSSNFFLSNSTSRAVPQNPSPSIQSLYNAPQPPRLSTL >Potri.007G126800.1.v4.1 pep chromosome:Pop_tri_v4:7:14217152:14222910:-1 gene:Potri.007G126800.v4.1 transcript:Potri.007G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126800.v4.1 MTIQSSPGSSSYLPGSSGYLDMFPERRMSYFSNSYVIGLTVAAGIGGLLFGYDTGVISGALLYIKDEFEVVNQSSFLQETIVSMALVGAIIGAAAGGWINDAYGRKKATLLADVVFAAGSVVMAAAPDPYVLILGRLFVGLGVGIASVTAPVYIAEASPSEVRGGLVSTNVLMITGGQFLSYLVNLAFTEVPGTWRWMLGVSGVPAVVQFCIMLCLPESPRWLFMKNEKAKAIAILSKIYDIARLEDEIENLSVAEEEERQKRNDVKISDVFKSKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFRSNQLALLLSLIVAAMNAAGTVLGIYLIDHFGRKKLALSSLTGVIASLVVLAGAFFGKSSGSSNELFGWIAVLGLALYIAFFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAETFLSVAEAVGTGWTFVILACIAVLAFVFVIVYVPETVGLTFVEVEQIWKERAWGSGYNTESLLERGNDN >Potri.007G126800.2.v4.1 pep chromosome:Pop_tri_v4:7:14217434:14222866:-1 gene:Potri.007G126800.v4.1 transcript:Potri.007G126800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G126800.v4.1 MLGVSGVPAVVQFCIMLCLPESPRWLFMKNEKAKAIAILSKIYDIARLEDEIENLSVAEEEERQKRNDVKISDVFKSKEIRLAFLAGAGLQAFQQFTGINTVMYYSPTIVQMAGFRSNQLALLLSLIVAAMNAAGTVLGIYLIDHFGRKKLALSSLTGVIASLVVLAGAFFGKSSGSSNELFGWIAVLGLALYIAFFSPGMGPVPWTVNSEIYPEQYRGICGGMSATVNWISNLIVAETFLSVAEAVGTGWTFVILACIAVLAFVFVIVYVPETVGLTFVEVEQIWKERAWGSGYNTESLLERGNDN >Potri.018G055100.2.v4.1 pep chromosome:Pop_tri_v4:18:5471892:5478912:1 gene:Potri.018G055100.v4.1 transcript:Potri.018G055100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055100.v4.1 MEREDQVSIIIDDGGEILDAGSETDSSSSSSSSSSSVPKPDCFDAFTRNGMMRIGEESKEHRVIKNQFLTGMNQLAEDTSVVTIHRNICSTMYMKARFEAFKSCVDAVRERRGDRNVKCGWYGASKQEILHIISFGFSRCNGQSHGVGVYLSTSKFILETFPSTIEDENGLRHMLLCYVEMGKMELIRAGSKQIYPSSVEFDSGVDNLEDPSRLVVWSAYMNSFILPIYIVSFKAPSFSIGSLREQINEVRTGGEKLSIAVLLPILVKVFGPAKGDMISKSLDDHRKCKINRDQMIQSLKRIIGNDRMLISVIKASRGNLAVRAPNREGGSGGNN >Potri.018G055100.3.v4.1 pep chromosome:Pop_tri_v4:18:5476968:5478912:1 gene:Potri.018G055100.v4.1 transcript:Potri.018G055100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055100.v4.1 MEREDQVSIIIDDGGEILDAGSETDSSSSSSSSSSSVPKPDCFDAFTRNGMMRIGEESKEHRVIKNQFLTGMNQLAEDTSVVTIHRNICSTMYMKARFEAFKSCVDAVRERRGDRNVKCGWYGASKQEILHIISFGFSRCNGQSHGVGVYLSTSKFILETFPSTIEDENGLRHMLLCYVEMGKMELIRAGSKQIYPSSVEFDSGVDNLEDPSRLVVWSAYMNSFILPIYIVSFKAPSFSIGSLREQINEVRTGGEKLSIAVLLPILVKVFGPAKGDMISKSLDDHRKCKINRDQMIQSLKRIIGNDRMLISVIKASRGNLAVRAPNREGGSGGNN >Potri.008G153100.1.v4.1 pep chromosome:Pop_tri_v4:8:10516579:10518464:1 gene:Potri.008G153100.v4.1 transcript:Potri.008G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153100.v4.1 MTSTRVRVFISLQSRLSSHFLSRVSSFCSKASTTIDKANETTEQASLQERSTSYSSKIHFSPLLGDSRPNLDGYNIELVDDDAWQVSSSLVHAWRGLDREKEAKSVIEAFGEQFDDVKSLQGNPDFDEIDNMRIRGNLFYKLDRDSKEFEEYSFDFHRKNSWRRKDDPKGNEKKESSKKNESSKESKRKENRSCNSAFDVEKLPRIAKDPKVYTLVSNMDSSGGAEKKKIRTPTFNQLTGPYHEPFCLDIYISKASVRACVIHRVTSKVVAVAHSISKDFKFDLASTKNATACAAVGGILAQRALADDIHDVVYTPRKGERLEGKLQIVLQAIIDNGINVKVKLKQRKPSKARFPSTGLKLDAGLTVQ >Potri.008G198500.1.v4.1 pep chromosome:Pop_tri_v4:8:14029792:14031063:1 gene:Potri.008G198500.v4.1 transcript:Potri.008G198500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198500.v4.1 MAELPKQASTSPFPPPRQQPLHQSDADEDDDNVKQLKQCSSLYLSLQDCLVNSNRNWKSCQKEIQALKACNDRMKNDKGK >Potri.009G159000.3.v4.1 pep chromosome:Pop_tri_v4:9:12327982:12334427:-1 gene:Potri.009G159000.v4.1 transcript:Potri.009G159000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159000.v4.1 MGASNSKTEEDKVLQLCRERKRFVRRALDGRCSLAAAHIMYIQSLRSTGTAIRKFVEPEVLFESSLYTSTNATPEPLALTEKSISHFSVSSPSLSHPVDAAENLSPSPSPPSSSRIQAHHMKFWGFSSKKVEEKSPVVVTGTVTSSSTPQNTTPRSTEKHGTSQFEDSSVPPGIQQWDYFELFHPIDQQFSFQDARQMNHGLDSADDLRRLREEEGIPELEDEEEKASIHESAETEGSEDEFDDPPAETLVRSFENLNRINDHVAPSASPTMPSAGSAASETELLNGEKGNSPGLSPLRTPLSAVTISADKKKTPMKEDRSANKVSPKDFFSSMKDIEYLFIKASDSGKEVPRMLEANKLHFRPLAPGKENGSLASTFFKACFSCGEDPSQLQEEPAQKDTKYLIWHRTTSSRSSSSRNPLGSNAKDDSDDQAGNLFDNFCMISGSHASTLDRLYAWERKLYDEVKASEMLRREYDMRCRTLRQLESSGKSLHNIKIDKTRAVVKDLHSRIRVALHRIDSISKRIEELRDKELQPQLEELIEGLSRMWEVMFECHKLQLNIITVAFNNGNAKFSIQSESHRQVTVHLEKELSSLSSSFTKWIEAQMSYLEVINSWLFKCVFFTQKPTKRKRRQTPPSTALRSNGPPVYATCGAWLDGLNGLPVKEVAESVRLLAAETAHFLPRQEKNQGKKSKFSSWKADNGSESAVNMLRDEASEDFNLGFEHFRSSLEGFLGQLNNFAEKSVEMYAKLEKEIHGSKSNYERVMSQQQVN >Potri.009G159000.2.v4.1 pep chromosome:Pop_tri_v4:9:12327866:12334520:-1 gene:Potri.009G159000.v4.1 transcript:Potri.009G159000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159000.v4.1 MGASNSKTEEDKVLQLCRERKRFVRRALDGRCSLAAAHIMYIQSLRSTGTAIRKFVEPEVLFESSLYTSTNATPEPLALTEKSISHFSVSSPSLSHPVDAAENLSPSPSPPSSSRIQAHHMKFWGFSSKKVEEKSPVVVTGTVTSSSTPQNTTPRSTEKHGTSQFEDSSVPPGIQQWDYFELFHPIDQQFSFQDARQMNHGLDSADDLRRLREEEGIPELEDEEEKASIHESAETEGSEDEFDDPPAETLVRSFENLNRINDHVAPSASPTMPSAGSAASETELLNGEKGNSPGLSPLRTPLSAVTISADKKKTPMKEDRSANKVSPKDFFSSMKDIEYLFIKASDSGKEVPRMLEANKLHFRPLAPGKENGSLASTFFKACFSCGEDPSQLQEEPAQKDTKYLIWHRTTSSRSSSSRNPLGSNAKDDSDDQAGNLFDNFCMISGSHASTLDRLYAWERKLYDEVKASEMLRREYDMRCRTLRQLESSGKSLHNIKIDKTRAVVKDLHSRIRVALHRIDSISKRIEELRDKELQPQLEELIEGLSRMWEVMFECHKLQLNIITVAFNNGNAKFSIQSESHRQVTVHLEKELSSLSSSFTKWIEAQMSYLEVINSWLFKCVFFTQKPTKRKRRQTPPSTALRSNGPPVYATCGAWLDGLNGLPVKEVAESVRLLAAETAHFLPRQEKNQGKKSKFSSWKADNGSESAVNMLRDEASEDFNLGFEHFRSSLEGFLGQLNNFAEKSVEMYAKLEKEIHGSKSNYERVMSQQQVN >Potri.007G061540.1.v4.1 pep chromosome:Pop_tri_v4:7:6767619:6770710:-1 gene:Potri.007G061540.v4.1 transcript:Potri.007G061540.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061540.v4.1 MELKERLLKMISKRNRIPLHVLFRCCTVTTQRKCFRCVCSEEAYHDRTTEVYPFTVPPLVFSSLKLLNQVVLHWKLSLVYKDDHSS >Potri.008G094400.1.v4.1 pep chromosome:Pop_tri_v4:8:5893735:5897172:1 gene:Potri.008G094400.v4.1 transcript:Potri.008G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094400.v4.1 MGFKRPFDDEEFQDLPFKQARQVECCNKLTQLSETGAHCNVPKKPDVADGYGSNFFKIQWHETFENDLIEVSNFAKDSDSSDPLSLVTSSSSDEDFGSWPASYSSLSSEYFEAEFPQKTSIHLADVYSSYLDEFPRKQVPLGPNHQASIPLWDRHMKKDKLANSFNTNGSSLSESDHHIYNDNEEKLVGTCIIPMPDTKPCLSTRYEAACGRIDCECLDEGSVRCARQHILEAREELLKSTGHENFVNLGFYDMGEEVSCKWAKEEERVFHEVVYSRPESLGQNFWKHLAQVFPDRTTKEIVSYYFNVFMLRKRAAQNRSNLLDIDSDDDELPRINRGSYIQVLEEDADSDLESPVDQYDHADMGGDILEDDINDDSDDDAGGASDEVGDGSGDTTGEDSGIDYASETLDMNSIDPAIKHMDDNAGQDGLDFIVQDDSCMSFEFQADKVDSCGPVETRGALHINRSDYSKCLPSKVDGRGDDVDQVYLLDLCDAKDWDARYFSPIRGVDLLPTSNIIEEIFGQGTCDNQTRDANGIS >Potri.003G172300.1.v4.1 pep chromosome:Pop_tri_v4:3:18051922:18053140:-1 gene:Potri.003G172300.v4.1 transcript:Potri.003G172300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172300.v4.1 MKRPQFTPEKSCLLAVALSGLIIGAFLFSNLIRSVDNISSFGLCSLASAKARAAADDDATPTQLQSILHYATSKIVPQQSLAEISVTFDVLKTRSPCNFLVFGLGFDSLMWTSLNPHGTTLFLEEDPKWVQTIVKKAPTLNAHTVQYRTQLQEANSLLKTYRSEPLCSPSKAYLRGNYKCKLALTGLPDEVYDKEWDLIMIDAPRGYFPEAPGRMAAIFSAVVMARGRKGSGVTHVFLHDVDRKVEKMFAEEFLCRKNLVKAVGRLWHFEIPAANVSQSSGGWFC >Potri.012G040200.1.v4.1 pep chromosome:Pop_tri_v4:12:3584383:3587769:1 gene:Potri.012G040200.v4.1 transcript:Potri.012G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G040200.v4.1 MLANNSLSSSLPCNSEPFSCLENGNNINKRKRRPAGTPDPDAEVVSLSPKTLLESDRYVCEICNQGFQRDQNLQMHRRRHKVPWKLLKRETPVVRKRVFVCPEPSCLHHDPCHALGDLVGIKKHFRRKHSNHKQWVCEKCSKGYAVQSDYKAHLKTCGTRGHSCDCGRVFSRVESFIEHQDACNMGNLRSESQSLQPAACLSRTASSPSPSSDTNFSTAPWLPLIIPRTTPDHAMFFITPTTTSSVVDKSDSSKSAAHYHNLELQLSTASGNPLEISVSPKREDNHSTQLQLSIGSSDVSDRNESNISYTDKDHGKSSPRENNNGSPRPELGASRLKEQVIREQLMMAMAEKIHAEEARQQAKRQIELAEQEFANAKRIRQQAQAELDKAQALKQHAIKQINSTILQITCHACKQKFHARTQADENSLVMSYMSSATTEDEVEHINGIGIAKTFNR >Potri.011G082100.3.v4.1 pep chromosome:Pop_tri_v4:11:8933246:8937365:-1 gene:Potri.011G082100.v4.1 transcript:Potri.011G082100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G082100.v4.1 MELGRASSPAVRTIPIDSPISALNKFKQQNLPACKPVLKPSCVITSFLVLGFIFVPIGLTTLCASRTVVEIVDRYDSGCVPGAFRSNKVSFIKDTSLPKNCSRILKVRKHMKAPIYIYYQLDNYYQNHRRYVKSRSDQQLLHGLKSNNTSSCEPEEFNNGLPVVPCGLIAWSLFNDTYTFVRGTKELRINRKNIAWESDRDSKFGKHVYPLNFQNGTLIGGGKLDPHIPLSDQEDLIVWMRTAALPSFRKLYGRIEEDLEADDVIVVHLMNNYNTYSFGGKKKLVLSTSSWLGGRNDFLGVAYIFVGGSSIILSIVFLLLHMKNSRY >Potri.002G178200.2.v4.1 pep chromosome:Pop_tri_v4:2:13879717:13887247:-1 gene:Potri.002G178200.v4.1 transcript:Potri.002G178200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178200.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVQDQLDEDGEDDAGDAHAEENVEEEYEDSKPEGSQKDQSPEADRSLPNAEPVEDEQKPTASVKKEEKDKHAQLLSLPPHGSEVFIGGLPKDVIEDELRDLCETIGEIFEIRLMKDKDTGESKGFAFVAFKSKEVARKATEELRSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGMEVLELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGMPPDHSPAAAGQVKALYVKNIPENTSTEKLKELFQRHGDVTKVVTPPGKAGKRDFGFIHYAERSSALKAVRDTEKYEIDGQLLEVVLAKPQADKKPDGSYPYNAGVNPNPVPLPAYSGFAGNPHGSLGTGFGVAAGFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPQPRRVDRSNSPSGPGRAGSSGDDGNRGRRYRPY >Potri.002G178200.3.v4.1 pep chromosome:Pop_tri_v4:2:13879750:13887119:-1 gene:Potri.002G178200.v4.1 transcript:Potri.002G178200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178200.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVQDQLDEDGEDDAGDAHAEENVEEEYEDSKPEGSQKDQSPEADRSLPNAEPVEDEQKPTASVKKEEKDKHAQLLSLPPHGSEVFIGGLPKDVIEDELRDLCETIGEIFEIRLMKDKDTGESKGFAFVAFKSKEVARKATEELRSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGMEVLELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGMPPDHSPAAAGQVKALYVKNIPENTSTEKLKELFQRHGDVTKVVTPPGKAGKRDFGFIHYAERSSALKAVRDTEKYEIDGQLLEVVLAKPQADKKPDGSYPYNAGVNPNPVPLPAYSGFAGNPHGSLGTGFGVAAGFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPQPRRVDRSNSPSGPGRAGSSGDDGNRGRRYRPY >Potri.002G178200.1.v4.1 pep chromosome:Pop_tri_v4:2:13879754:13887303:-1 gene:Potri.002G178200.v4.1 transcript:Potri.002G178200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178200.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVQDQLDEDGEDDAGDAHAEENVEEEYEDSKPEGSQKDQSPEADRSLPNAEPVEDEQKPTASVKKEEKDKHAQLLSLPPHGSEVFIGGLPKDVIEDELRDLCETIGEIFEIRLMKDKDTGESKGFAFVAFKSKEVARKATEELRSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGMEVLELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGMPPDHSPAAAGQVKALYVKNIPENTSTEKLKELFQRHGDVTKVVTPPGKAGKRDFGFIHYAERSSALKAVRDTEKYEIDGQLLEVVLAKPQADKKPDGSYPYNAGVNPNPVPLPAYSGFAGNPHGSLGTGFGVAAGFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPQPRRVDRSNSPSGPGRAGSSGDDGNRGRRYRPY >Potri.002G178200.4.v4.1 pep chromosome:Pop_tri_v4:2:13879795:13887224:-1 gene:Potri.002G178200.v4.1 transcript:Potri.002G178200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178200.v4.1 MAEGTEIEERVDLEEDNYMEEIDDDVQDQLDEDGEDDAGDAHAEENVEEEYEDSKPEGSQKDQSPEADRSLPNAEPVEDEQKPTASVKKEEKDKHAQLLSLPPHGSEVFIGGLPKDVIEDELRDLCETIGEIFEIRLMKDKDTGESKGFAFVAFKSKEVARKATEELRSKDYKGKTLRCSISETKNRLFIGNVPKNLTEDEFRKIIEEVGPGMEVLELIKDPQTPTRNRGFAFILYYNNACADYSRQKMLNANFKLDGHTPTVSWADPKGMPPDHSPAAAGQVKALYVKNIPENTSTEKLKELFQRHGDVTKVVTPPGKAGKRDFGFIHYAERSSALKAVRDTEKYEIDGQLLEVVLAKPQADKKPDGSYPYNAGVNPNPVPLPAYSGFAGNPHGSLGTGFGVAAGFQQPVIYGRGPMPAGMHMVPMVLPDGRIGYVLQQPGVQMPQPQPRRVDRSNSPSGPGRAGSSGDDGNRGRRYRPY >Potri.001G017600.7.v4.1 pep chromosome:Pop_tri_v4:1:1299207:1301137:-1 gene:Potri.001G017600.v4.1 transcript:Potri.001G017600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G017600.v4.1 MEIVGTSTEQMKRNDHVSLDIDKLTASVQEELKTLHAFSNTCSIYRVPKRLRDSKEYAYTPQLVSIGPIHHGKEELKEMEEHKKIYLQEFLKLSEVSVKECIAAVAEKETSLRNCYADNFENISTEDFVKMMLLDSSFIIMVFLIQFGSFIPSNYDRIFGKPWMIRGIDFDMCLLENQIPFFILDDLLKLSRRQGGCSMIKLTRDFLSSTFGDSWVPKDILEQINSSEVEHFVDFLRKCQRPAKRTQQQPLESRTTPSVMELHQSGVKFKLGSKEKIFDMNFDFHKGILEIPPLFLEDETEKLFRNLHAFEQCHCRDVYVSDYIATINFLVRDTNDVEILAKKGIIDYWLSDNDAVMSVLHDLDRGNLVNSKQFYFADVVEDLNKYCRKRTHKWIAALKHNYFHNPWVSISVVAAGALLILTVIQTVCSVFQVK >Potri.005G072600.6.v4.1 pep chromosome:Pop_tri_v4:5:4818555:4821346:1 gene:Potri.005G072600.v4.1 transcript:Potri.005G072600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G072600.v4.1 MERYEILKDIGSGNFGFAKLVREIKTGELYAVKHIERGQKIDEHVQREIMNHRSLKHPDIIRFKEVLLTPTHLAIVMEYAAGGELFERICTNGRFSEDEARFFFRQLISGVSHCHSMQICHRDLKLENTLLDGSSAPRLKICDFGYSKSSVLHSQPKSTVGSPAYIAPEVLSRKEYDGKIADVWSCGVTLYVMLVGAYPFEDPEDPRNFKKTIHRILSVHYSIPDYVRVSKECKHLLSLIFVANPEKRITIPEIKGHPWFLKNLPVELTEEEDGSLQIDDAKEESQRIEEILAMIQEARKPAEGHRIGGQVFGGSMDPDDIDADADIDDIETSGDFVCAL >Potri.008G224228.1.v4.1 pep chromosome:Pop_tri_v4:8:19020197:19020520:-1 gene:Potri.008G224228.v4.1 transcript:Potri.008G224228.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224228.v4.1 MFSGHGGALPLTHDPMFSGHSGPDSTQVMPPRSASHEAATSPISWLSTWQPQLPPSAVSAADLEGPSSKPPRPCQVASSKTRIDHCMLPAEFISAYARLPRSIAAEF >Potri.015G012000.7.v4.1 pep chromosome:Pop_tri_v4:15:750819:754472:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMHDNDVDVSRRDSSTSTARKKGETKTLEQFLEETFQVQRYMVATGQKLMEVQSRIASDFVKVPEELEKSAGSFDMKRFADSIKTLFQEVQRGLEVRIARIIGDLGGTLACEGMIRMRGL >Potri.015G012000.6.v4.1 pep chromosome:Pop_tri_v4:15:749937:754597:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMHDNDVDVSRRDSSTSTARKKGETKTLEQFLEETFQVQRYMVATGQKLMEVQSRIASDFVKVPEELEKSAGSFDMKRFADSIKTLFQEVQRGLEVRIARIIGDLGGTLACEGMIRMRGL >Potri.015G012000.2.v4.1 pep chromosome:Pop_tri_v4:15:749928:755207:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMHDNDVDVSRRDSSTSTARKKGETKTLEQFLEETFQVQRYMVATGQKLMEVQSRIASDFVKVPEELEKSAGSFDMKRFADSIKTLFQEVQRGLEVRIARIIGDLGGTLACEGMIRMRGL >Potri.015G012000.8.v4.1 pep chromosome:Pop_tri_v4:15:750686:754471:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMHDNDVDVSRRDSSTSTARKKGETKTLEQFLEETFQVQRYMVATGQKLMEVQSRIASDFVKVPEELEKSAGSFDMKRFADSIKTLFQEVQRGLEVRIARIIGDLGGTLACEGMIRMRGL >Potri.015G012000.4.v4.1 pep chromosome:Pop_tri_v4:15:750776:754918:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMHDNDVDVSRRDSSTSTARKKGETKTLEQFLEETFQVQRYMVATGQKLMEVQSRIASDFVKVPEELEKSAGSFDMKRFADSIKTLFQEVQRGLEVRIARIIGDLGGTLACEGMIRMRGL >Potri.015G012000.5.v4.1 pep chromosome:Pop_tri_v4:15:750764:754842:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMHDNDVDVSRRDSSTSTARKKGETKTLEQFLEETFQVQRYMVATGQKLMEVQSRIASDFVKVPEELEKSAGSFDMKRFADSIKTLFQEVQRGLEVRIARIIGDLGGTLACEGMIRMRGL >Potri.015G012000.1.v4.1 pep chromosome:Pop_tri_v4:15:750804:754852:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELAIAADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMHDNDVDVSRRDSSTSTARKKGETKTLEQFLEETFQVQRYMVATGQKLMEVQSRIASDFVKVPEELEKSAGSFDMKRFADSIKTLFQEVQRGLEVRIARIIGDLGGTLACEGMIRMRGL >Potri.015G012000.9.v4.1 pep chromosome:Pop_tri_v4:15:750815:755251:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMVSPTQLWCISRVTAYFG >Potri.015G012000.3.v4.1 pep chromosome:Pop_tri_v4:15:750765:753967:-1 gene:Potri.015G012000.v4.1 transcript:Potri.015G012000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012000.v4.1 MDGKEVSGSYLIVSEGKSDSFYPMYFGVSCALFALKVLTKPVKEDDRWSELCDKMLRGSAHLLRLLVWKIQREGADGEHCELLHKLETAEKEIMELKKIRCDDAKANEKVVSIPASQEQSWLIERKELRQHIGGLMSELRVLEKKNEEAISELNEKLNEMKLLVQSKDKAVEEEEHKRKELEEKLAKTEKIAEELRETAKRKAQEHSTDILKHKTAFLELVSNQRQLEAEMGRALRQLEAKRKELDAVLEQKEESMMLTQKLSMEVVKVRKDLEQKDKILSAILRKSKLDTTEKKMLLKEVKLSKSKKKKAELETTESWKSVSESKHEKHSLRSMFSLHTNLMRSEDPPIKRGASQVVKGGSQSIDYDLEYENPEFQKNSEVSSPLSNLYSPEGCDELADGKRLEGWVRSEAGKYAATIEKRHHLEIDAFAEQMRLKDEKLEAFRWRMLSMEIESKRLQSHIEGLNRDVSRIRHENMKLEALLLERKKELTDLKDQLKAQIKPQSCQQANLSSSLDDPALVHDSILSRAKNVKKEPTENNQEGKVHLTETSQEKNTEKEEEEEDEEALHNQSRNVSKIVQSPENEFEEEKDVSNQGCTQEASASPVVVDTVEKIALTSQSLMKTNNSTWGMDLHALGVSYKIKRLKQQLLMLERLTGKQDSGEHLGNSDEAKNGIKAFQALVSLLNKQVNKYQSLQEKTDELCKRMHDNDVDVSRRDSSTSTARKKGETKTLEQFLEETFQVQRYMVATGQKLMEVQSRIASDFVKVPEELEKSAGSFDMKRFADSIKTLFQEVQRGLEVRIARIIGDLGGTLACEGMIRMRGL >Potri.005G166100.1.v4.1 pep chromosome:Pop_tri_v4:5:16587460:16590162:-1 gene:Potri.005G166100.v4.1 transcript:Potri.005G166100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G166100.v4.1 MDSGNSGSMQSSSGGDEEYDSRPESLPAFLNASSQNFDPSLFSHHQPAAIFDPSPALFHAFSQSQSITNPNSSMLNLDMVHSRGLRSEHSCTRLGINLPDSLSSSQSAPLGAQGSSQALPSSMQLRSVHDNGVRSSSPSDQTHGVARNPKKRTRASRRAPTTVLTTDTSNFRQMVQEFTGIPAPPFTGSSFTRRLDLFGPGSGLRSGHLEPIGSLYPLRPSAQKVHHQQTPLLSSSSPSFFNNDIVDGTNIASTSTTANNNNTITTATTSTFNPSSVNYQLSAHLGLHKQPQNLLNMQNQMLSIHPLLQPPAPPFQSLANVPGLGAKSQASFPLPSFEELGMGHGDGHVNAHLGGLTSHVTTEGMRLSSDGDQDHNLRSLDGNYGNMKRVNSCKLNYSSASSSGFHHDKVLENVSSRGAEGTVDSWICPSEFRVGDH >Potri.005G166100.2.v4.1 pep chromosome:Pop_tri_v4:5:16587496:16590272:-1 gene:Potri.005G166100.v4.1 transcript:Potri.005G166100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G166100.v4.1 MDSGNSGSMQSSSGGDEEYDSRPESLPAFLNASSQNFDPSLFSHHQPAAIFDPSPALFHAFSQSQSITNPNSSMLNLDMVHSRGLRSEHSCTRLGINLPDSLSSSQSAPLGAQGSSQALPSSMQLRSVHDNGVRSSSPSDQTHGVARNPKKRTRASRRAPTTVLTTDTSNFRQMVQEFTGIPAPPFTGSSFTRRLDLFGPGSGLRSGHLEPIGSLYPLRPSAQKVHHQQTPLLSSSSPSFFNNDIVDGTNIASTSTTANNNNTITTATTSTFNPSSVNYQLSAHLGLHKQPQNLLNMQNQMLSIHPLLQPPAPPFQSLANVPGLGAKSQASFPLPSFEELGMGHGDGHVNAHLGGLTSHVTTEGMRLSSDGDQDHNLRSLDGNYGNMKRVNSCKLNYSSASSSGFHHDKVLENVSSRGAEGTVDSWICPSEFRVGDH >Potri.001G013900.1.v4.1 pep chromosome:Pop_tri_v4:1:970825:975222:1 gene:Potri.001G013900.v4.1 transcript:Potri.001G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013900.v4.1 MPPLLSHSSSSLSLLRPFLPIKFPFYPPPFVKSQFCPLSPPAHLFKPSLSRHVSTSSFPSSRGRGSSVSMESSSPEPTVSLDSVTQDLKNQTLGPDDVSKAKLKLEDLNWDHSFVRALPGDPRADTIPRQVMHACYTKVLPSAEVENPELVAWSDSVADLFDLDPKEFERPDFPLLFSGASPLVGALPYAQCYGGHQFGMWAGQLGDGRAITLGEVVNSKSERWELQLKGSGRTPYSRFADGLAVLRSSIREFLCSEAMHCLGIPTTRALSLVTTGKYVTRDMFYDGNAKEEPGAIVCRVAPSFLRFGSYQIHASRGKEDLEIVRALADYAIRHHFPHIENMNKSESLSFSTGDEDHSVVDLTSNKYAAWTVEIAERTASMIASWQGVGFTHGVMNTDNMSILGLTIDYGPFGFLDAFDPSFTPNTTDLPGRRYCFANQPDIGLWNIAQFTATLSTAKLISDKEADYAMERYGNKFMDEYQAMMTRKLGLPKYNKQLISKLLNNMAVDKVDYTNFFRLLSNVKADPKIPEDELLVPLKAVLLDIGQERKEAWMSWVQSYVHELAASGISDEQRKAQMNSVNPKYVLRNYLCQTAIDAAEQGDYTEVRRLLKLMERPYDEQPGMEKYARLPPAWAYRPGVCMLSCSS >Potri.006G152300.2.v4.1 pep chromosome:Pop_tri_v4:6:13410842:13411366:-1 gene:Potri.006G152300.v4.1 transcript:Potri.006G152300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152300.v4.1 MIIKKAKNIKTLNFNEFIGSLIAYEGKIKELKVNSTNIKKKSLALKAINKDEDRNGYNGEEDEDLTVVTRNFAKYLRIKKYGTNHRNFRRKKGDNRESSSQDAIFCYECKKSSHMKYYCPNVKKISKCDKKKRAIKESTYDDNDDNTTSSSDDDDSKLEKLVNLCMIANIDITK >Potri.018G067000.1.v4.1 pep chromosome:Pop_tri_v4:18:8065425:8067533:-1 gene:Potri.018G067000.v4.1 transcript:Potri.018G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067000.v4.1 MAIEIGQPAVGLSKVAVSETHGEDSPYFAGWKAHDEDPYDESSNSSGVIQMGLAENQVSFDLLEEYLEKNSEASSWGEGARGFRENALFQDYHGLKSFRQAMASFMEKIRGGRAKFDPDRVVLTAGATAANELLTFILADPGDALLVPTPYYPGFDRDLRWRTGVKIVPIHCDSSNNFQVTLEAMEAAYQHAVAMNIKVRGVLITNPSNPLGATIQRSVLEEILDFAKRKNIHVVSDEIYSGSTFSSSEFVSIAEILEARGYKDSERVHIVYSLSKDLGLPGFRVGTIYSYNDKVVTTARRMSSFTLISSQTQHMLALMLSNEKFTENYIKTNRERLRKRYEMIIQGLKDAGIECLKGNAGLFCWMNLSPLLEAPTREGELALWKSILHEVKINISPGSSCHCSEPGWFRVCFANMSEPTLAVALKRIHDFMDQRKTEAIASPPQQ >Potri.011G115900.1.v4.1 pep chromosome:Pop_tri_v4:11:14671113:14673916:1 gene:Potri.011G115900.v4.1 transcript:Potri.011G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G115900.v4.1 MGKSSSSIRKKRSKYSSQGRARKKKDTRRSKSKRLRRRDGSYSDDDSRSSLSVSSFDSEDSFRRRRSRSRTRKDVKGTKKRARSSSSEESPHARKRKGSKRIGERKKMHEKKTKKRRKKKGRRDSSVSSSSGESRSCSTCQSQSDESEYERCKGRPERRDDEKRKSENIRSGAKRRRYRSGSCSSCSRHDDSSDFLMSNIMTGENTSKRLRSIIILPGEDSEVRELDKDKHKEEITYDHDDYPSSRSNDSNDGLNNMEERPIEDEKREDAAASNSKAIELTESNKVGEGQHTRNKPGYDVGRVGTNDTKKEQNDVSGVIVNTANVDDLETVLRQKALENLKTFRSGLGGFQTNAKSAVIQKDKRDGTAQSPFSVMPELGQTKIPKVVGTRMAGEDSAHSSLNEKIPDGGICGSESCSAKNNVHPPDQVAIPGREKVSTFASSSKNKPRLITSASRKALSNVTTTLKETPASRETNQPKLASGTSIGRSVTLKETPASCEANQAKLSIGISFGKSVTLKETPASLEASQAKLVSGIKVCKNAIHGDHTVTPPTGTDNDDRANDSSVSDPAEPSSCLRSAAGDISLNESQDEGKEGTQLEQKTMSVMRGGEMVQVNYKVYIPKKTPALARRQLKR >Potri.011G115900.2.v4.1 pep chromosome:Pop_tri_v4:11:14671113:14673945:1 gene:Potri.011G115900.v4.1 transcript:Potri.011G115900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G115900.v4.1 MGKSSSSIRKKRSKYSSQGRARKKKDTRRSKSKRLRRRDGSYSDDDSRSSLSVSSFDSEDSFRRRRSRSRTRKDVKGTKKRARSSSSEESPHARKRKGSKRIGERKKMHEKKTKKRRKKKGRRDSSVSSSSGESRSCSTCQSQSDESEYERCKGRPERRDDEKRKSENIRSGAKRRRYRSGSCSSCSRHDDSSDFLMSNIMTGENTSKRLRSIIILPGEDSEVRELDKDKHKEEITYDHDDYPSSRSNDSNDGLNNMEERPIEDEKREDAAASNSKAIELTESNKVGEGQHTRNKPGYDVGRVGTNDTKKEQNDVSGVIVNTANVDDLETVLRQKALENLKTFRSGLGGFQTNAKSAVIQKDKRDGTAQSPFSVMPELGQTKIPKVVGTRMAGEDSAHSSLNEKIPDGGICGSESCSAKNNVHPPDQVAIPGREKVSTFASSSKNKPRLITSASRKALSNVTTTLKETPASRETNQPKLASGTSIGRSVTLKETPASCEANQAKLSIGISFGKSVTLKETPASLEASQAKLVSGIKVCKNAIHGDHTVTPPTGTDNDDRANDSSVSDPAEPSSCLRSAAGDISLNESQDEGKEGTQLEQKTMSVMRGGEMVQVNYKVYIPKKTPALARRQLKR >Potri.011G115900.3.v4.1 pep chromosome:Pop_tri_v4:11:14671167:14673969:1 gene:Potri.011G115900.v4.1 transcript:Potri.011G115900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G115900.v4.1 MHEKKTKKRRKKKGRRDSSVSSSSGESRSCSTCQSQSDESEYERCKGRPERRDDEKRKSENIRSGAKRRRYRSGSCSSCSRHDDSSDFLMSNIMTGENTSKRLRSIIILPGEDSEVRELDKDKHKEEITYDHDDYPSSRSNDSNDGLNNMEERPIEDEKREDAAASNSKAIELTESNKVGEGQHTRNKPGYDVGRVGTNDTKKEQNDVSGVIVNTANVDDLETVLRQKALENLKTFRSGLGGFQTNAKSAVIQKDKRDGTAQSPFSVMPELGQTKIPKVVGTRMAGEDSAHSSLNEKIPDGGICGSESCSAKNNVHPPDQVAIPGREKVSTFASSSKNKPRLITSASRKALSNVTTTLKETPASRETNQPKLASGTSIGRSVTLKETPASCEANQAKLSIGISFGKSVTLKETPASLEASQAKLVSGIKVCKNAIHGDHTVTPPTGTDNDDRANDSSVSDPAEPSSCLRSAAGDISLNESQDEGKEGTQLEQKTMSVMRGGEMVQVNYKVYIPKKTPALARRQLKR >Potri.006G050400.2.v4.1 pep chromosome:Pop_tri_v4:6:3513538:3515191:-1 gene:Potri.006G050400.v4.1 transcript:Potri.006G050400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050400.v4.1 MALFLSLCVALIFSLAHAASPPPLLDGLVENGDFEEAPAKSNLKKTVIIGKYSLPKWEINGLVEYVSGGPQPGGFFLAVPRGVHAVRLGNEASISQNLTLKRGSIYALTFGATRTCAQDEVLRVSVPGQSSDLPLQTLYSSDGGDTYSLAWKATSDVVKVTFHNLGIQEDPSCGPIVDAIAIKEMSPLRRTIGNLVKNGGFEVGPHMFKNFSTGVLLPPKQQDQISPLPGWIIESLKPVKYIDSKHFFVPEGFAAIELVAGRESAIAQVIRTIPDKFYNLTFTVGDAKNACHGSMMVEAFAAKETVKVPYVSQGKGGYKTAILRFQAISARTRITFYSAYYHNKQHDYGHMCGPVLDDVSVFPTH >Potri.004G072200.1.v4.1 pep chromosome:Pop_tri_v4:4:6067277:6072879:1 gene:Potri.004G072200.v4.1 transcript:Potri.004G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072200.v4.1 MAASEENSALFPIFIITIMAIPLVPYTVTKLCRAASKKSKIIHCNCSECLRSGKYRKSIFKRISKFSTCSNLTLILLWVVMIFLVSYIKNMSREIQVFDPFVILGLEPGASDSEIKKNYRRLSIQYHPDKNPDPEANKYFVEFITKAYQALTDPISRENYEKYGHPDGRQGFKMGIALPQFLLDIDGASGGILLLWIVGVCILLPLVIAVIYLSRSAKYTGNYVMHQTLSAYYYFMKPSLASSKVMEVFIKAAEYMESPIRRTDNEPLQKLFISVRSELNLDLKNIKQEQAKFWKQHPALVKTELLIQAQLTRESADLPPALLGDFRRVLELAPRLLEELMKMAVIPRTSQGHGWLRPATGVVELSQCIIQAVPLSARKATGGSTEGIAPFLQLPHFTESVVKKIARKKVRTFEDFHDMTLQERAEVLQQVAGFSSAEVQDVEMVLEMMPSVTVEVRCETEGEEGIQEGDIVTVHAWITLKRANGLVGALPHAPSFPFHKEENFWFLLADAASNDVWFSQKVNFMDEAAAITGASKTIEDTMEGSGASVRETSAAVREAVEKVRGGSRLVMGKLPAPAEGNYNLTCYCLCDSWIGCDKKTSLKVKVLKRTRAGTRGGLVSEEGPIAEDGIEEEEENEEEEYDDDYESEYSEDEEDEKDTKKKGPAANGKVQKKGSSSESSGSDEE >Potri.005G154512.1.v4.1 pep chromosome:Pop_tri_v4:5:14153747:14154049:1 gene:Potri.005G154512.v4.1 transcript:Potri.005G154512.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G154512.v4.1 MKGHQFKSWIFELREILREIKNSHYFLDSWTQFNSVGSFIHIFFHQERFIKLLDSRIWSILFSRNSQGSTSNRYFTIKGVVLFVVVVLIYRINNRKMVERK >Potri.013G148400.2.v4.1 pep chromosome:Pop_tri_v4:13:14491672:14497207:1 gene:Potri.013G148400.v4.1 transcript:Potri.013G148400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148400.v4.1 MTAITSLSFSAVNQSSSERNTFVSSLASRNLSFEGFRLRSVFSCHYVGVKASNSTSRTAIHCMSTSTDVPPTVSETKSNFLKAYKRPIPSIYNTVLQELIVQQHLMRYKKTYLYDPVFGLGLVTVYDQLMEGYPSDEDREAIFQAYIKALKEDPEQYRIDAKKLEEWARAQTHSSLVDFSSKEGEIEGILKGIAERAASGNFSYSRFFAVGLFRLLELSNASEPTVLEKLCSALNINKRSVDRDLDVYRGLLSKLVQAKELLKEYVDREKKKQEERAESQKANEMVAKCLGDPQVVGQ >Potri.016G032500.2.v4.1 pep chromosome:Pop_tri_v4:16:1830036:1834807:1 gene:Potri.016G032500.v4.1 transcript:Potri.016G032500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G032500.v4.1 MESTTPQAVAAINEKESMMDPFLVEALQNPRHRLTILRMELDIQRFLQNPDQQVFEFPHFPTSYLRLAAHRVAQHYGLITMVQDNGIDGLLNKILVQKTAECRYPAVRLSEIPAKQSGSDTPEMIKLAIRPRPNKGSINDPNGFGIKRSPVRSVEERKEEYDRARARIFSSPSSPTADDTVPQLPTDSKNLSSSKDENEECRISVVDPEKNVFVRDGMSSRVAIFRDREKDRTDPDYDRSYERYVRSLPANQSFSLTPFNMQKIPIPYMQYDPSFPQLGQMPRTQASLGYHPAANPAMSPFCAMGSNQTSRDAAYVQWPSTAMMYAHSYDQFRHATFQAPFCQQPLSFDYSQNH >Potri.006G281700.1.v4.1 pep chromosome:Pop_tri_v4:6:27092830:27095667:1 gene:Potri.006G281700.v4.1 transcript:Potri.006G281700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281700.v4.1 MEPLMSPGTIEIDHKQKINGNLYYALMKGNKNRVAELCQKIQDHALHVITVNDDTVLHMATYAKEASLVEKLLDELPDHHLDKLTRQNRVGNTILHETATSNHTVALADKLLKKAPGLLGMRNHNGETALFRAARYGKTDMFNFLAAKVSGYDESGLQFYVQRSDKTTILHMAILSLHFDLAYQIALDYTHLIGQKDADGMTGLQLLSCNPSAFKLEPEEGFINLAKSYGSSVWREKVQKQKQLHRSAVELAKFLVRKDTSWELTYSSIDQSKPKIHKYGERGGQERQEVHLSNKILDKEESLGETPLILATKSGCVEIVEEILKLYPQAVEHIDDEGRNVLHVAIKYRQRKIFELVKGMDVPMKRLTRKIDGDGNSILHTVGRKRKDFVSDEKMEGPAFLLQEELLWFERVKEVTPSHFLNHQNNMKLTAEGYFITANSELRNLAKEWLKTTAEGCSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVTDVLSLTFALTSVVTFLSILTSPFRFKDFKHTLPNKLMVGFTFLFLSVAMMMVAFGATIILMIYSKESWTKITLYAVSFIPVGIFALSYFPLYPSLSKTYNLLQKIPFIKHIPAIPWISFKCCRVETTDTHFP >Potri.006G018766.1.v4.1 pep chromosome:Pop_tri_v4:6:1171275:1171980:-1 gene:Potri.006G018766.v4.1 transcript:Potri.006G018766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018766.v4.1 MQKMPQENQESSVWYLSEIQNQIYDKKENTVTITLVGCCPEKIKTKIYCKGGEAVKCIEIKPPPPSPPPSPPPPPSPPPSPPPPPPSPPPSPPPPPCTCTCCEKCRRGPCCHHFCMPTSVIYGEMVVVVAEVGVTMCAENHVKGNQVQKTDGLSQRPRSNIGFKSSGHDGP >Potri.003G070400.14.v4.1 pep chromosome:Pop_tri_v4:3:9726627:9732439:-1 gene:Potri.003G070400.v4.1 transcript:Potri.003G070400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070400.v4.1 MSIDDPTEDESGSYMNRPHQNPFQNKHQQQQAGKEIQQLDKTQTQHPDQSKPTTCQQPDSSRAAQQQTFQNEKKEEEKKKEEAGNESSTSQPSHPSRRLSVQDRINLFENKQKESSGEKPVAVGKSAELRRLSSDVSSASAIEKAVLKRWSGASDMSIDLGNDKKDDGNIDSPLCTPSSSFVSGTKSNVFPVSSDDDKDQKGFNDTASAANLVKLETRSVSRLKDQGELQTHGGGIVGKDEEVNLKGNLKDQVVSLAELRSSAGRGEETGVGDQVVREDKLTGTSDREEKTGGVEAQLSFQEKSRGFPNTVKTVAEKNQASLQTQIGNFAGRVGDVKFGNRIDDIEVRDPPLSQSRSRISQTHTLSLSGQFEGGFGVKGKELPTKGTDFDLSASQTPWKLFKGEVDHARKENTEQIKEEDLEVSRMKVHKQPSSGTEQFKKLQGRRDESRDESGYIHGINKLSFPGNKFSKSQESVVTLQVPSAGQAQRVRKSKGNQELNDELKMKANELEKLFAEHKLRVPGDQSSSVRRSKPAEVQAEQAESSQYRKPVAVEISPVEFQEKKTVLEPAGSSSDLGKFSTPPRKIVDHQDHGSSPRQSFSELSFSDNSRGKFYERYMQKRDAKLREESGTERVEKEAKLKAMQESLEQSRAEMKARFSSSVDRQNSLSSTRRRAEKLRSFNFHSSVKREQPVDSIQSEADEDLSEFPEQNYYGEDRSFSEVSYGDIASRRSQNKFFPNRYLSSPSPHTTSAPVPRSVSKISNPSSGRRRVQSENPLAQSVPNFSDFRKENTKPFSGVSKAANRSQVRTYACSKSSSEEIPLVNEEKNRRSQSLRKSSAGPIEFNDFPPLNSDGVVLAPLKFDQPEPMPYDKFSKNVETKPFLRKCNGIGPGSGATVATLKGMVAPESLKTEEFEESPFEAEESVDEAKEEEDEELETTEVEGCANMDNGKLRLSQDSDKIGMSGSENGDSLRSISQIDPSSVSELAASVPSTFHALGSLQDSPGESPVSWNSRMHHPFSYPHETSDIDAYVDSPIGSPASWNSHSLIQRETDAARMRKKWGSAQKPILVANSFNNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSHGHPSDDGLNESELFNEQVHTLNSSIPAPPENFKLRDDLMSGSSIKAPRSFFSLTSFRSKGSDSKLR >Potri.003G070400.10.v4.1 pep chromosome:Pop_tri_v4:3:9726607:9740125:-1 gene:Potri.003G070400.v4.1 transcript:Potri.003G070400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070400.v4.1 MKSNTLLDYAVFELSPNLTRCDLFVSSNGNTEKLASGSVKPFLSHLKFAEEQASQAVQSIKLEFDGCRNAETWFTKGTLERFVHFVSAPEVLEMVNTFDAEMSQLEAARKIYSQGSRDQLSGALGGDGTGTTAGADATKKELLRAIDVRLAAVREDLATAYARASATGFNLDTVRDLQHFADRFGARRLNEACTKFMLLCLRRPDLINPWKPSVEDQVVRSSWGSDMSIDDPTEDESGSYMNRPHQNPFQNKHQQQQAGKEIQQLDKTQTQHPDQSKPTTCQQPDSSRAAQQQTFQNEKKEEEKKKEEAGNESSTSQPSHPSRRLSVQDRINLFENKQKESSGEKPVAVGKSAELRRLSSDVSSASAIEKAVLKRWSGASDMSIDLGNDKKDDGNIDSPLCTPSSSFVSGTKSNVFPVSSDDDKDQKGFNDTASAANLVKLETRSVSRLKDQGELQTHGGGIVGKDEEVNLKGNLKDQVVSLAELRSSAGRGEETGVGDQVVREDKLTGTSDREEKTGGVEAQLSFQEKSRGFPNTVKTVAEKNQASLQTQIGNFAGRVGDVKFGNRIDDIEVRDPPLSQSRSRISQTHTLSLSGQFEGGFGVKGKELPTKGTDFDLSASQTPWKLFKGEVDHARKENTEQIKEEDLEVSRMKVHKQPSSGTEQFKKLQGRRDESRDESGYIHGINKLSFPGNKFSKSQESVVTLQVPSAGQAQRVRKSKGNQELNDELKMKANELEKLFAEHKLRVPGDQSSSVRRSKPAEVQAEQAESSQYRKPVAVEISPVEFQEKKTVLEPAGSSSDLGKFSTPPRKIVDHQDHGSSPRQSFSELSFSDNSRGKFYERYMQKRDAKLREESGTERVEKEAKLKAMQESLEQSRAEMKARFSSSVDRQNSLSSTRRRAEKLRSFNFHSSVKREQPVDSIQSEADEDLSEFPEQNYYGEDRSFSEVSYGDIASRRSQNKFFPNRYLSSPSPHTTSAPVPRSVSKISNPSSGRRRVQSENPLAQSVPNFSDFRKENTKPFSGVSKAANRSQVRTYACSKSSSEEIPLVNEEKNRRSQSLRKSSAGPIEFNDFPPLNSDGVVLAPLKFDQPEPMPYDKFSKNVETKPFLRKCNGIGPGSGATVATLKGMVAPESLKTEEFEESPFEAEESVDEAKEEEDEELETTEVEGCANMDNGKLRLSQDSDKIGMSGSENGDSLRSISQIDPSSVSELAASVPSTFHALGSLQDSPGESPVSWNSRMHHPFSYPHETSDIDAYVDSPIGSPASWNSHSLIQRETDAARMRKKWGSAQKPILVANSFNNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSHGHPSDDGLNEIHTLNSSIPAPPENFKLRDDLMSGSSIKAPRSFFSLTSFRSKGSDSKLR >Potri.003G070400.11.v4.1 pep chromosome:Pop_tri_v4:3:9726654:9740191:-1 gene:Potri.003G070400.v4.1 transcript:Potri.003G070400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070400.v4.1 MKSNTLLDYAVFELSPNLTRCDLFVSSNGNTEKLASGSVKPFLSHLKFAEEQASQAVQSIKLEFDGCRNAETWFTKGTLERFVHFVSAPEVLEMVNTFDAEMSQLEAARKIYSQGSRDQLSGALGGDGTGTTAGADATKKELLRAIDVRLAAVREDLATAYARASATGFNLDTVRDLQHFADRFGARRLNEACTKFMLLCLRRPDLINPWKPSVEDQVVRSSWGSDMSIDDPTEDESGSYMNRPHQNPFQNKHQQQQAGKEIQQLDKTQTQHPDQSKPTTCQQPDSSRAAQQQTFQNEKKEEEKKKEEAGNESSTSQPSHPSRRLSVQDRINLFENKQKESSGEKPVAVGKSAELRRLSSDVSSASAIEKAVLKRWSGASDMSIDLGNDKKDDGNIDSPLCTPSSSFVSGTKSNVFPVSSDDDKDQKGFNDTASAANLVKLETRSVSRLKDQGELQTHGGGIVGKDEEVNLKGNLKDQVVSLAELRSSAGRGEETGVGDQVVREDKLTGTSDREEKTGGVEAQLSFQEKSRGFPNTVKTVAEKNQASLQTQIGNFAGRVGDVKFGNRIDDIEVRDPPLSQSRSRISQTHTLSLSGQFEGGFGVKGKELPTKGTDFDLSASQTPWKLFKGEVDHARKENTEQIKEEDLEVSRMKVHKQPSSGTEQFKKLQGRRDESRDESGYIHGINKLSFPGNKFSKSQESVVTLQVPSAGQAQRVRKSKGNQELNDELKMKANELEKLFAEHKLRVPGDQSSSVRRSKPAEVQAEQAESSQYRKPVAVEISPVEFQEKKTVLEPAGSSSDLGKFSTPPRKIVDHQDHGSSPRQSFSELSFSDNSRGKFYERYMQKRDAKLREESGTERVEKEAKLKAMQESLEQSRAEMKARFSSSVDRQNSLSSTRRRAEKLRSFNFHSSVKREQPVDSIQSEADEDLSEFPEQNYYGEDRSFSEVSYGDIASRRSQNKFFPNRYLSSPSPHTTSAPVPRSVSKISNPSSGRRRVQSENPLAQSVPNFSDFRKENTKPFSGVSKAANRSQVRTYACSKSSSEEIPLVNEEKNRRSQSLRKSSAGPIEFNDFPPLNSDGVVLAPLKFDQPEPMPYDKFSKNVETKPFLRKCNGIGPGSGATVATLKGMVAPESLKTEEFEESPFEAEESVDEAKEEEDEELETTEVEGCANMDNGKLRLSQDSDKIGMSGSENGDSLRSISQIDPSSVSELAASVPSTFHALGSLQDSPGESPVSWNSRMHHPFSYPHETSDIDAYVDSPIGSPASWNSHSLIQRETDAARMRKKWGSAQKPILVANSFNNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSHGHPSDDGLNESELFNEQVHTLNSSIPAPPENFKLRDDLMSGSSIKAPRSFFSLTSFRSKGSDSKLR >Potri.003G070400.13.v4.1 pep chromosome:Pop_tri_v4:3:9726653:9740051:-1 gene:Potri.003G070400.v4.1 transcript:Potri.003G070400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070400.v4.1 MKSNTLLDYAVFELSPNLTRCDLFVSSNGNTEKLASGSVKPFLSHLKFAEEQASQAVQSIKLEFDGCRNAETWFTKGTLERFVHFVSAPEVLEMVNTFDAEMSQLEAARKIYSQGSRDQLSGALGGDGTGTTAGADATKKELLRAIDVRLAAVREDLATAYARASATGFNLDTVRDLQHFADRFGARRLNEACTKFMLLCLRRPDLINPWKPSVEDQVVRSSWGSDMSIDDPTEDESGSYMNRPHQNPFQNKHQQQQAGKEIQQLDKTQTQHPDQSKPTTCQQPDSSRAAQQQTFQNEKKEEEKKKEEAGNESSTSQPSHPSRRLSVQDRINLFENKQKESSGEKPVAVGKSAELRRLSSDVSSASAIEKAVLKRWSGASDMSIDLGNDKKDDGNIDSPLCTPSSSFVSGTKSNVFPVSSDDDKDQKGFNDTASAANLVKLETRSVSRLKDQGELQTHGGGIVGKDEEVNLKGNLKDQVVSLAELRSSAGRGEETGVGDQVVREDKLTGTSDREEKTGGVEAQLSFQEKSRGFPNTVKTVAEKNQASLQTQIGNFAGRVGDVKFGNRIDDIEVRDPPLSQSRSRISQTHTLSLSGQFEGGFGVKGKELPTKGTDFDLSASQTPWKLFKGEVDHARKENTEQIKEEDLEVSRMKVHKQPSSGTEQFKKLQGRRDESRDESGYIHGINKLSFPGNKFSKSQESVVTLQVPSAGQAQRVRKSKGNQELNDELKMKANELEKLFAEHKLRVPGDQSSSVRRSKPAEVQAEQAESSQYRKPVAVEISPVEFQEKKTVLEPAGSSSDLGKFSTPPRKIVDHQDHGSSPRQSFSELSFSDNSRGKFYERYMQKRDAKLREESGTERVEKEAKLKAMQESLEQSRAEMKARFSSSVDRQNSLSSTRRRAEKLRSFNFHSSVKREQPVDSIQSEADEDLSEFPEQNYYGEDRSFSEVSYGDIASRRSQNKFFPNRYLSSPSPHTTSAPVPRSVSKISNPSSGRRRVQSENPLAQSVPNFSDFRKENTKPFSGVSKAANRSQVRTYACSKSSSEEIPLVNEEKNRRSQSLRKSSAGPIEFNDFPPLNSDGVVLAPLKFDQPEPMPYDKFSKNVETKPFLRKCNGIGPGSGATVATLKGMVAPESLKTEEFEESPFEAEESVDEAKEEEDEELETTEVEGCANMDNGKLRLSQDSDKIGMSGSENGDSLRSISQIDPSSVSELAASVPSTFHALGSLQDSPGESPVSWNSRMHHPFSYPHETSDIDAYVDSPIGSPASWNSHSLIQRETDAARMRKKWGSAQKPILVANSFNNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSHGHPSDDGLNEIHTLNSSIPAPPENFKLRDDLMSGSSIKAPRSFFSLTSFRSKGSDSKLR >Potri.003G070400.15.v4.1 pep chromosome:Pop_tri_v4:3:9727210:9732159:-1 gene:Potri.003G070400.v4.1 transcript:Potri.003G070400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070400.v4.1 MSIDLGNDKKDDGNIDSPLCTPSSSFVSGTKSNVFPVSSDDDKDQKGFNDTASAANLVKLETRSVSRLKDQGELQTHGGGIVGKDEEVNLKGNLKDQVVSLAELRSSAGRGEETGVGDQVVREDKLTGTSDREEKTGGVEAQLSFQEKSRGFPNTVKTVAEKNQASLQTQIGNFAGRVGDVKFGNRIDDIEVRDPPLSQSRSRISQTHTLSLSGQFEGGFGVKGKELPTKGTDFDLSASQTPWKLFKGEVDHARKENTEQIKEEDLEVSRMKVHKQPSSGTEQFKKLQGRRDESRDESGYIHGINKLSFPGNKFSKSQESVVTLQVPSAGQAQRVRKSKGNQELNDELKMKANELEKLFAEHKLRVPGDQSSSVRRSKPAEVQAEQAESSQYRKPVAVEISPVEFQEKKTVLEPAGSSSDLGKFSTPPRKIVDHQDHGSSPRQSFSELSFSDNSRGKFYERYMQKRDAKLREESGTERVEKEAKLKAMQESLEQSRAEMKARFSSSVDRQNSLSSTRRRAEKLRSFNFHSSVKREQPVDSIQSEADEDLSEFPEQNYYGEDRSFSEVSYGDIASRRSQNKFFPNRYLSSPSPHTTSAPVPRSVSKISNPSSGRRRVQSENPLAQSVPNFSDFRKENTKPFSGVSKAANRSQVRTYACSKSSSEEIPLVNEEKNRRSQSLRKSSAGPIEFNDFPPLNSDGVVLAPLKFDQPEPMPYDKFSKNVETKPFLRKCNGIGPGSGATVATLKGMVAPESLKTEEFEESPFEAEESVDEAKEEEDEELETTEVEGCANMDNGKLRLSQDSDKIGMSGSENGDSLRSISQIDPSSVSELAASVPSTFHALGSLQDSPGESPVSWNSRMHHPFSYPHETSDIDAYVDSPIGSPASWNSHSLIQRETDAARMRKKWGSAQKPILVANSFNNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSHGHPSDDGLNESELFNEQGKI >Potri.003G070400.12.v4.1 pep chromosome:Pop_tri_v4:3:9726654:9740136:-1 gene:Potri.003G070400.v4.1 transcript:Potri.003G070400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070400.v4.1 MKSNTLLDYAVFELSPNLTRCDLFVSSNGNTEKLASGSVKPFLSHLKFAEEQASQAVQSIKLEFDGCRNAETWFTKGTLERFVHFVSAPEVLEMVNTFDAEMSQLEAARKIYSQGSRDQLSGALGGDGTGTTAGADATKKELLRAIDVRLAAVREDLATAYARASATGFNLDTVRDLQHFADRFGARRLNEACTKFMLLCLRRPDLINPWKPSVEDQVVRSSWGSDMSIDDPTEDESGSYMNRPHQNPFQNKHQQQQAGKEIQQLDKTQTQHPDQSKPTTCQQPDSSRAAQQQTFQNEKKEEEKKKEEAGNESSTSQPSHPSRRLSVQDRINLFENKQKESSGEKPVAVGKSAELRRLSSDVSSASAIEKAVLKRWSGASDMSIDLGNDKKDDGNIDSPLCTPSSSFVSGTKSNVFPVSSDDDKDQKGFNDTASAANLVKLETRSVSRLKDQGELQTHGGGIVGKDEEVNLKGNLKDQVVSLAELRSSAGRGEETGVGDQVVREDKLTGTSDREEKTGGVEAQLSFQEKSRGFPNTVKTVAEKNQASLQTQIGNFAGRVGDVKFGNRIDDIEVRDPPLSQSRSRISQTHTLSLSGQFEGGFGVKGKELPTKGTDFDLSASQTPWKLFKGEVDHARKENTEQIKEEDLEVSRMKVHKQPSSGTEQFKKLQGRRDESRDESGYIHGINKLSFPGNKFSKSQESVVTLQVPSAGQAQRVRKSKGNQELNDELKMKANELEKLFAEHKLRVPGDQSSSVRRSKPAEVQAEQAESSQYRKPVAVEISPVEFQEKKTVLEPAGSSSDLGKFSTPPRKIVDHQDHGSSPRQSFSELSFSDNSRGKFYERYMQKRDAKLREESGTERVEKEAKLKAMQESLEQSRAEMKARFSSSVDRQNSLSSTRRRAEKLRSFNFHSSVKREQPVDSIQSEADEDLSEFPEQNYYGEDRSFSEVSYGDIASRRSQNKFFPNRYLSSPSPHTTSAPVPRSVSKISNPSSGRRRVQSENPLAQSVPNFSDFRKENTKPFSGVSKAANRSQVRTYACSKSSSEEIPLVNEEKNRRSQSLRKSSAGPIEFNDFPPLNSDGVVLAPLKFDQPEPMPYDKFSKNVETKPFLRKCNGIGPGSGATVATLKGMVAPESLKTEEFEESPFEAEESVDEAKEEEDEELETTEVEGCANMDNGKLRLSQDSDKIGMSGSENGDSLRSISQIDPSSVSELAASVPSTFHALGSLQDSPGESPVSWNSRMHHPFSYPHETSDIDAYVDSPIGSPASWNSHSLIQRETDAARMRKKWGSAQKPILVANSFNNQSRKDVTKGFKRLLKFGRKSRGAESLVDWISATTSEGDDDTEDGRDPANRSSEDLRKSRMGFSHGHPSDDGLNESELFNEQVHTLNSSIPAPPENFKLRDDLMSGSSIKAPRSFFSLTSFRSKGSDSKLR >Potri.013G044500.1.v4.1 pep chromosome:Pop_tri_v4:13:3098203:3099856:-1 gene:Potri.013G044500.v4.1 transcript:Potri.013G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044500.v4.1 MANRWWTGQVGLPGMDTSTSSSSPMKKPDLGISMSNNNREATESGAGKEDEQEDERENSDEPREGAIDIASRRPRGRPPGSKNKPKPPIFVTRDSPNALKSHVMEIASGSDIAENLACFARKRQRGVCVLSGSGMVTNVTLKQPSASGAVMALHGRFEILSLTGAFLPGPAPPGATGLTIYLAGGQGQVVGGSVVGSLVASGPVMVIAATFSNATYERLPLEDEEEGSGGAQGQLGGGNGSGEGNGGGMGDPATSMPVYQLPNMVPNGQLNHEGYGWAHGRPPY >Potri.013G031000.1.v4.1 pep chromosome:Pop_tri_v4:13:2005975:2009124:-1 gene:Potri.013G031000.v4.1 transcript:Potri.013G031000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031000.v4.1 MGREVSESCIDSLLTEMVSSYCNRFYSNTPELAARRIEAIGYQVGHQLSERYTMERPRFTDHLEAIKFICKDFWSELFKKQIDNLKTNHKGTFVLQDNKFRWLSRMSGDPSVENGTQDPESKAAQAMSMYLYFPCGIIRGALSNLGIPCAVSADISSLPACSFVIRIKV >Potri.007G083100.2.v4.1 pep chromosome:Pop_tri_v4:7:10830268:10830746:-1 gene:Potri.007G083100.v4.1 transcript:Potri.007G083100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G083100.v4.1 MQDQDFGRNRQGDTWEKVGQIRDKFEYDREKRMREKGMFNAYNLLNVWSLIFLGINWSRGHSCRYK >Potri.019G092900.3.v4.1 pep chromosome:Pop_tri_v4:19:13028699:13029480:-1 gene:Potri.019G092900.v4.1 transcript:Potri.019G092900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G092900.v4.1 MKTWKYKAVDASGNLEILMEMKAVKNRDEELARQIQVLKQKLEEVGQLARGRGLGGSFHFRHGHATEDGNGKPAWSLQNQVEK >Potri.006G093000.11.v4.1 pep chromosome:Pop_tri_v4:6:7083680:7086481:1 gene:Potri.006G093000.v4.1 transcript:Potri.006G093000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093000.v4.1 MTESLDDGEFWLPPQFLIDDDTVLMEQNSENSLNGSARDVFGYSKTDSGKSLFPLEFPCGFGSFGFSSDLSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDGFKRNDLTFGTEKSKGWVVSGSPQSTLCAVGSGCGCGQGSSRGSPSGSSPPATWDLLYAAAGEVERMRMNNEEGCGFNNQSRGLLGPPRKPSPVSIPLKNPNSDVSLYQQQQSLSYQKLQASQFQQLKVQQQMMQQQLQQQQSVWGGKNKGTGVLFPQQPQQTHPVVQNRGRNLTSVSRGPLGLSASAWPPLRNSAQPQPQPQQHSNSLGGSGMRAVFLGNPGGKKECAGTGVFLPRQIGARTESRKKPGCSTVLLPAKVVQALNLNLEGMGAQAQFGPRYNGSFATYSDAAVRVRNDNILSHQKQPRNSRPQPVMNNEVRLPQEWTY >Potri.006G093000.2.v4.1 pep chromosome:Pop_tri_v4:6:7083742:7086478:1 gene:Potri.006G093000.v4.1 transcript:Potri.006G093000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093000.v4.1 MTESLDDGEFWLPPQFLIDDDTVLMEQNSENSLNGSARDVFGYSKTDSGKSLFPLEFPCGFGSFGFSSDLSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDGFKRNDLTFGTEKSKGWVVSGSPQSTLCAVGSGCGCGQGSSRGSPSGSSPPATWDLLYAAAGEVERMRMNNEEGCGFNNQSRGLLGPPRKPSPVSIPLKNPNSDVSLYQQQQSLSYQKLQASQFQQLKVQQQMMQQQLQQQQSVWGGKNKGTGVLFPQQPQQTHPVVQNRGRNLTSVSRGPLGLSASAWPPLRNSAQPQPQPQQHSNSLGGSGMRAVFLGNPGGKKECAGTGVFLPRQIGARTESRKKPGCSTVLLPAKVVQALNLNLEGMGAQAQFGPRYNGSFATYSDAAVRVRNDNILSHQKQPRNSRPQPVMNNEVRLPQEWTY >Potri.006G093000.9.v4.1 pep chromosome:Pop_tri_v4:6:7083650:7086477:1 gene:Potri.006G093000.v4.1 transcript:Potri.006G093000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093000.v4.1 MTESLDDGEFWLPPQFLIDDDTVLMEQNSENSLNGSARDVFGYSKTDSGKSLFPLEFPCGFGSFGFSSDLSSPVESVVGSTETESDEEDYLAGLTRQMAHSTLEDGFKRNDLTFGTEKSKGWVVSGSPQSTLCAVGSGCGCGQGSSRGSPSGSSPPATWDLLYAAAGEVERMRMNNEEGCGFNNQSRGLLGPPRKPSPVSIPLKNPNSDVSLYQQQQSLSYQKLQASQFQQLKVQQQMMQQQLQQQQSVWGGKNKGTGVLFPQQPQQTHPVVQNRGRNLTSVSRGPLGLSASAWPPLRNSAQPQPQPQQHSNSLGGSGMRAVFLGNPGGKKECAGTGVFLPRQIGARTESRKKPVLLPAKVVQALNLNLEGMGAQAQFGPRYNGSFATYSDAAVRVRNDNILSHQKQPRNSRPQPVMNNEVRLPQEWTY >Potri.002G113000.3.v4.1 pep chromosome:Pop_tri_v4:2:8521678:8526636:-1 gene:Potri.002G113000.v4.1 transcript:Potri.002G113000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113000.v4.1 MGSVELQGTSGRRSSLEIVNKSGGELKEMNSEKKSNKKSSYFRKFGCLKIEEDNEKGSVVETTGEPVNPTHLIIMVNGIVGSAQDWKFAAKQFLKKYPRDVVVHRSKVNSSMLTFDGVDVMGDRLAEEVISVKKRHPSVQKISFVGHSLGGLIARYAIARLYERDITKEISHETGNCKSDESEDKDNCVQEKSRGTIAGLEPMNFITSATPHLGSRFHKQVPMFCGFYTLEKAAARIAGFLGRTGKHLFLTDVDGGKPPLLFQMTSDSENLNFISALESFKRRVAYANASFDHIVGWCTSSLRRRDELPKRQHLSRHEKYPHIVNVKTTENASPQHEISEVKAYDCKTIDMEEEMIRSLTQLSWERVDVNLSGSMQRFLAHSTIQVKISCINSDGADVVQHMVDNFLL >Potri.002G113000.1.v4.1 pep chromosome:Pop_tri_v4:2:8523005:8526716:-1 gene:Potri.002G113000.v4.1 transcript:Potri.002G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113000.v4.1 MNLTRYYKTENPSSHYIFLVSLIMGSVELQGTSGRRSSLEIVNKSGGELKEMNSEKKSNKKSSYFRKFGCLKIEEDNEKGSVVETTGEPVNPTHLIIMVNGIVGSAQDWKFAAKQFLKKYPRDVVVHRSKVNSSMLTFDGVDVMGDRLAEEVISVKKRHPSVQKISFVGHSLGGLIARYAIARLYERDITKEISHETGNCKSDESEDKDNCVQEKSRGTIAGLEPMNFITSATPHLGSRFHKQVPMFCGFYTLEKAAARIAGFLGRTGKHLFLTDVDGGKPPLLFQMTSDSENLNFISALESFKRRVAYANASFDHIVGWCTSSLRRRDELPKRQHLSRHEKYPHIVNVKTTENASPQHEISEVKAYDCKTIDMEEEMIRSLTQLSWERVDVNLSGSMQRFLAHSTIQVKISCINSDGADVVQHMVDNFLL >Potri.008G007932.1.v4.1 pep chromosome:Pop_tri_v4:8:369655:369858:1 gene:Potri.008G007932.v4.1 transcript:Potri.008G007932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G007932.v4.1 MGAMQIFDVRDKLTRKEEARSLCPCSGGPVMAMDYDSHLYFCFIPISHKVKRKFSCVVCSRRLVLVQ >Potri.002G036732.1.v4.1 pep chromosome:Pop_tri_v4:2:2414225:2418884:-1 gene:Potri.002G036732.v4.1 transcript:Potri.002G036732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036732.v4.1 MARGRVDGEQKKRLVTWIVVLAIVCGCLYIYSRKGGTSALEYGSKSLRKLGSSYLSGEDDGDEASNKFGEDLQDGVMLKSIPVCDDGQSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPAPERHFNCLIPPPPGYKVPIKWPKSRDVVWKENIPHTHLASEKSDQNWMVVKGDKIEFPGGGTHFHYGADKYIAAIANMLNFPKNILNNGGRLRTVLDVGCGVASFGGYMLSSDMIAMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFELAHCSRCRIDWLQRNGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWKEMSALVE >Potri.012G132700.1.v4.1 pep chromosome:Pop_tri_v4:12:14767151:14770886:1 gene:Potri.012G132700.v4.1 transcript:Potri.012G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132700.v4.1 MAEDYVPCDSNNHALNTEEGANDSLVVCFGEMLIDFVPTVGGVSLAEAPAFKKAPGGAPANVAVGISRLGGSSAFIGKLGDDDFGYMLSDILKRNNVDNSGVRFDSTARTALAFITLRADGEREFLFFRHPSADMLLQESELDTNLLEQARIFHYGSISLISEPCRSSHIAAMRIAKKSGSILSYDPNLRLALWPSAEAAREGIMSIWEQADVIKISEEEITFLTGCDDHTDDKVVLDKLFHPNLKLLIVTEGSEGCRYYTKEFKGRVPGVKVKPVDTTGAGDAFVGGMLSNLAFNLNLFEDEKLLREALLFANACGAVTVTERGAIPALPTKEAVLKLLEKVSPGWKAQT >Potri.007G039350.1.v4.1 pep chromosome:Pop_tri_v4:7:3201660:3203459:1 gene:Potri.007G039350.v4.1 transcript:Potri.007G039350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039350.v4.1 MIYYYYFAVAPPKSKLCICCSFNVEQQEKNLQDPSDRRKINCDKPLQALFGVDSINMFQMTKREKEKHKGGNSGFLAPLQHSYALIKLLGTGKSSLSRSDVVKRIWECIKQNNLQDPSDKRRIPCDVKLKELFDIDCSKTLFRSFCEGLTVSCS >Potri.001G105100.5.v4.1 pep chromosome:Pop_tri_v4:1:8459382:8461433:-1 gene:Potri.001G105100.v4.1 transcript:Potri.001G105100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G105100.v4.1 MIPSHLLLLHLLLSASLASSMATQTSKNPESVHDFTIKDAKENDVDLSIFKGKVLLIVNVASKCGMTNSNYAEMNQLYEKYKDQGLEILAFPCNQFGEEEPGTNDQITDFVCTRFKSEFPIFDKIDVNGENASPLYKFLKLGKWGIFGDDIQWNFAKFLVNKDGQVVDRYYPTTSPLSLERDIKQLLEIS >Potri.011G003100.1.v4.1 pep chromosome:Pop_tri_v4:11:211206:212053:-1 gene:Potri.011G003100.v4.1 transcript:Potri.011G003100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G003100.v4.1 MGNCCRSASSSVWAGDDWGSMIHDKHQVTRFDEADDHEEHGASIGRRRPLDTSCSSSGSTREVKIKITKRELEEIIMARVNMQGLSMEEVLLARSIEYSSSDHEFEMEHPHGDWKPSLQSIPELN >Potri.006G221400.2.v4.1 pep chromosome:Pop_tri_v4:6:22679978:22682501:1 gene:Potri.006G221400.v4.1 transcript:Potri.006G221400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221400.v4.1 MQPSYGLPNIDHHQQQQQQRQQHLMEDDYSCSPSVLPISNLQNLNYPYQPHLHHYLQQHKHPHQVLLQQHHQLFYPFQQQQQVQGQSQDQVNPSLFSVNFKWGRDYEDSGDKKAGALNNQEEAACTTTNTTTFLDGNVHSNPPHAILMPHSWHPREDSTTLKEPFWKQLNKQRSGSEEKEINESKTSYFSPLEMEQVVDESSEGCKNLESKFPLFNELQAIYSLAQIAEANQTGSGSVLTCDNSPTNAGLSMPCNASNGQNVGACGAANNVIGVDRGSENSIGEEASLRKCRRRKRKGKLKEKLNYIAGFFENSVKKVMNHQEMLHRKFLEVIERMDKERAEREATWRRQEAEKYSREAISLAHERASASSREAQIISYIEKITGQSINLLTRMAPPLLQPEISNEPIKEITPTKTDSHSKWPKDEVEALIQVRSRIEIKFQEPGLKGPLWEEVSSLMSSMGYQRSAKRCKEKWENINKYFRKARESPKRGSARSKTCSYFNQLDQLYSGTLINYPANTTDMPSSGIEFGIKKQGYTELFEVFSVRRDYLANVRNPLGVSVISSEMGSSRSEFDGFSDQNTELEEGSSEQDIEAYKDDKQKDGEQQNGGGGGDEEIEN >Potri.001G232200.1.v4.1 pep chromosome:Pop_tri_v4:1:25077031:25078244:-1 gene:Potri.001G232200.v4.1 transcript:Potri.001G232200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232200.v4.1 MGSERCNIPCKPRYDITMSRRTRKPFMNFEEANRNPTTVSTEEERDAKDVAVHDKETESFPATDDVEEDRKSSLKQLIIDNAGNQESEPTKLVLQETRGGDDENIERKLSGSRNSLGQHFKGEEKQLQLVTMKQAKEGIEGVKLKGMVGRYVKVLSHLIRIKRDTRMNNGSRKKSLLRLPM >Potri.012G016400.2.v4.1 pep chromosome:Pop_tri_v4:12:1864595:1866134:1 gene:Potri.012G016400.v4.1 transcript:Potri.012G016400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016400.v4.1 MISNPNHVFYACIAKGPTVVAEFSPGKESGIEIIGQRCVEKTPPNHSMFSHTIRNKTYTFLIDDPFAYFAIFDENLQKSEHLWFLNRVKNGFEEFWGSKMMKDGEKIGSFCFQGELRCMFREILALDADLVNTLMEESSKDGRNPNLDSTNGKGAVIRPLLPKPTKVLMMMKKKPSGEANGDHHGGDLDNNNYKENGVGEHGNREVSVSMIQKSGGFYMGDGKQKAKQIWKKHVWVVLTLDLIVCAVLCGVWLWVCRGFKCIDG >Potri.014G052800.1.v4.1 pep chromosome:Pop_tri_v4:14:3394819:3399652:-1 gene:Potri.014G052800.v4.1 transcript:Potri.014G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052800.v4.1 MSDEVGYVVGNSLKVHYFKSPLFSHQTLNSQMLTLFKLTCLPKKTNSFCSSSCNTRKINYFDQFLPLFDKKIDSLSYLNSNERRRVVVGLSRIIKQGQGYILKGFAKNNCPYFLVKIMKSLESRETAFGFFKFAFQDDSDEMVKSSCVAAHVLGAQNLKFLAQDVVSWVIRRVGVDRSREVVEFMWKRHAEFESDFSVLDTLMRGFLKVEMGCEALEIVGRMREVGLRPSSSAITILFKLLLRIGDHGSVWKLLRGMIHQGPRPCNRNFNAVILGFCRKGHVKVGESLLCVMQKFKCQPDVYAYNILINAYCTRGQTFDALGWMRFMIDNGCTPSLVTFGTVINAFCNQGNMMEARNLFDGMKEAGHIPNVVCYNTLMNGYVKARDIGQANMLYEEMKSKAVAPDCTTFNILVAGHYRYGREEDRDRLLRDLSQSGSLSISSLYNICVSGLCWAGWLDEAMKFLEDMLEKGITPTVVAFNSIIAAYSRAGLEEKAYKAYRMMVKFGLFPSSLTCSSLIMGLSKLWRLQEARDLLYEMIVEGLPINKAAFTLLLDGYFRMGDVAGAYSLWNEMEGRGIHPDAVAFSAFINGLSIVGLVDEAYDVFLQMSKKGFMPNNFVYNSLIRGFCNSGRLQEALMLEREMARKGLLPDIFTTNIIINGLCKEGRMKSASDVFRNMHHTGLIPDIVTYNTLIDGYCKAFDTVSTDEVVNKMYATGWDPDITTYNIRLHGLCTGRKMSRAVMMLEELISAGVVPDTVTYNTVMNGVCTDVLERAMIVTAKLLKMAFVPNVVTANLLLSHFCKQGMPEKTIMWGQKLNEISFGFDEISIKLMDRAYRNIQDNVDVPKPPPEKSLFLDFLMYVTYDYLSRNSLKKNENQGSLKRMEIGYGGS >Potri.014G052800.2.v4.1 pep chromosome:Pop_tri_v4:14:3396003:3399665:-1 gene:Potri.014G052800.v4.1 transcript:Potri.014G052800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052800.v4.1 MSDEVGYVVGNSLKVHYFKSPLFSHQTLNSQMLTLFKLTCLPKKTNSFCSSSCNTRKINYFDQFLPLFDKKIDSLSYLNSNERRRVVVGLSRIIKQGQGYILKGFAKNNCPYFLVKIMKSLESRETAFGFFKFAFQDDSDEMVKSSCVAAHVLGAQNLKFLAQDVVSWVIRRVGVDRSREVVEFMWKRHAEFESDFSVLDTLMRGFLKVEMGCEALEIVGRMREVGLRPSSSAITILFKLLLRIGDHGSVWKLLRGMIHQGPRPCNRNFNAVILGFCRKGHVKVGESLLCVMQKFKCQPDVYAYNILINAYCTRGQTFDALGWMRFMIDNGCTPSLVTFGTVINAFCNQGNMMEARNLFDGMKEAGHIPNVVCYNTLMNGYVKARDIGQANMLYEEMKSKAVAPDCTTFNILVAGHYRYGREEDRDRLLRDLSQSGSLSISSLYNICVSGLCWAGWLDEAMKFLEDMLEKGITPTVVAFNSIIAAYSRAGLEEKAYKAYRMMVKFGLFPSSLTCSSLIMGLSKLWRLQEARDLLYEMIVEGLPINKAAFTLLLDGYFRMGDVAGAYSLWNEMEGRGIHPDAVAFSAFINGLSIVGLVDEAYDVFLQMSKKGFMPNNFVYNSLIRGFCNSGRLQEALMLEREMARKGLLPDIFTTNIIINGLCKEGRMKSASDVFRNMHHTGLIPDIVTYNTLIDGYCKAFDTVSTDEVVNKMYATGWDPDITTYNIRLHGLCTGRKMSRAVMMLEELISAGVVPDTVTYNTVMNGVCTDVLERAMIVTAKLLKMAFVPNVVTANLLLSHFCKQGMPEKTIMWGQKLNEISFGFDEISIKLMDRAYRNIQDNVDVPKPPPEKSLFLDFLMYVTYDYLSRNSLKKNENQGSLKRMEIGYGGS >Potri.009G048000.1.v4.1 pep chromosome:Pop_tri_v4:9:5372688:5377307:-1 gene:Potri.009G048000.v4.1 transcript:Potri.009G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048000.v4.1 MVSSCVKSFSESFTSMDFCSSLQTARLSSSLIKPQLLTSSLIFSSITTNFASKKCKPHRVLKVSAGSFSYHKFIHFALNETKRHALLVPSPLQEKYSSMTAMDGTTELQMLSFQAPKIRLLRSLSIENEAMQILDFAVFARPEFDVPIFCANFFSTATINIIVLDLNPLHNVIDQRDYKEKYFKRLIPLGLKYCKLFPWGGKLTSESLKFFSPIVIWTKFPPSQDSYDALYSAFTEYYKAWLELIDQAPEEIDASHITFNREAQHKYLTWREEKDPGHGILKRLIGERLAKDLVRNFLFNGLDELGSKGFLDYFPEYRREDGSINEKRSIIGKSFEKRPWDARGEFIGGNGVIPS >Potri.009G110600.1.v4.1 pep chromosome:Pop_tri_v4:9:9485574:9486506:1 gene:Potri.009G110600.v4.1 transcript:Potri.009G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G110600.v4.1 MGNTCSSCEPTSVVTANLIYEDGKLEEFSYSIRVSQILQRNPTCFVCKADDMDFDEYVSAINQNEYLQLGHLYFVLPSSWLNNPLRTEQMAALAVKASLALKMGNGGGDCCWCGIKRLDPVIEWTSKSTSDETNPTVATGTHHEGGGFVVKRRGRAGGGERKSTTKLSAILEE >Potri.005G122200.1.v4.1 pep chromosome:Pop_tri_v4:5:9050088:9051394:1 gene:Potri.005G122200.v4.1 transcript:Potri.005G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122200.v4.1 MASNSLNSSRNCSSSWTPKQNKLFEKALALYDKDTPDRWHNVAKAVGGKSAEEVERHYEILIKDVREIESGRVPFPNYRSSGNSN >Potri.002G229350.2.v4.1 pep chromosome:Pop_tri_v4:2:21960718:21974732:-1 gene:Potri.002G229350.v4.1 transcript:Potri.002G229350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229350.v4.1 MDFSGHLPTTWRWEYLTVLRRDLQLVGVGYRANIEGKDLVLSLGFSHPVRMSTPGDLQVKVEENTRIVVSGNDKCSIGEFAALRWRPPEPYKGKGVRYANEVIRLKEGKAEKKK >Potri.002G229350.1.v4.1 pep chromosome:Pop_tri_v4:2:21960717:21964240:-1 gene:Potri.002G229350.v4.1 transcript:Potri.002G229350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229350.v4.1 MDFSGHLPTTWRWEYLTVLRRDLQLVGVGYRANIEGKDLVLSLGFSHPVRMSTPGDLQVKVEENTRIVVSGNDKCSIGEFAALRWRPPEPYKGKGVRYANEVIRLKEGKAEKKK >Potri.010G228200.1.v4.1 pep chromosome:Pop_tri_v4:10:21126580:21130500:-1 gene:Potri.010G228200.v4.1 transcript:Potri.010G228200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228200.v4.1 MSSCMFWLLFLQIMHLISSSFSLSGGGTDRLSLLAFKAQITDDPLGALSSWNESLHICEWSGAKCGRRHQRVVELDLHSCKLAGSLSPHIGNLSFLRILDLSNNSFSQNIPQELGRLLRLQQLNLENNTFSGEIPANISNCSNLQLIDLKGNNLIGKIPAELGSLLNLQACLLVTNHLVGEIPLSFENLSSVEIIGVGDNHLQGSIPYGIGKLKRLRKLSVPLNNLSGTIPPSIYNLSSLTLFSVAINQFHGSLPSDLGQKLPSLEVLVFYANRFNGPIPVTISNASTLSVIDFGNNSFTGKVPPFANLPNLQYLGIDSNELGNGEEGDLSFLQSLANYTNLEELGMSDNNLGGMFPEIISNFSSQFTTLSMGRNQVRGSIPVDIGNLISLDTLMLETNQLTGVIPTSIGKLKNLHGLTLVENKISGNIPSSLGNVTSLVELYLSANNLQGGIPSSLANCQNLMSLKLAQNNLSGPLTKQVIGMASLSVSLDLSHNQLIGPLPSEVGRLVNLGYLDVSHNRLSGEIPGSLGSCIMLEYLHLEGNFLQGSIPELLSSLRALQYLNLSYNNLTGQIPRFLADFQLLQRLDLSFNHLEGEMPTQRVFGNVSAVSVLGNDKLCGGISQLNLSRCTSNELRKPKFSPKLKLVISIPCGFIIALLLISSLLIHSWRKTKNEPASGASWEVSFRRVTYEELYQATGGFSSSNFIGGGSFGSVYKAILAPDGMIVAVKVFNLLRKGASKSYMAECAALINIRHRNLVKILTACSSLDFRGNDFKALVYEFMVNGSLEEWLHPVHTSDEEREQGNLNLIQRLNVAIDVASALDYLHYHCQMAVVHCDLKPSNVLLDGDMTAHVGDFGLARFRPEASVQLSSNQNSSIGLKGTVGYAAPEYGIGNEVSTYGDVYSYGILLLEILTGKTPTDGSFKEGLNLHKYVKMALPDRVVEVVDPILLREIEQTSANASDGMKRIGNDKVLECLVSIMEVGVSCSVDLPRERTNISNVVAELHRIRGILLGTRRHG >Potri.006G084600.1.v4.1 pep chromosome:Pop_tri_v4:6:6306905:6311343:-1 gene:Potri.006G084600.v4.1 transcript:Potri.006G084600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G084600.v4.1 MERKRTLTMNWDALGDHDHDDEDRFFETYDRLSSVVPIDLNSSGSDEDDDFDDTRMSFASAISSLSTSEFRNYAAAAATLSPKYSASVSPDYDIWMAAPGSITERRKRLLQGMGLNSNKELLKMASKGLMRDVTNKNIVVIDGDNSTIDHDHIGDSKQEASPSLPLPAVLLVRSRSDGDIESFSVDRKRKEELLGLISKQRLTRTSSMIIVSHGRIFPSQDSNRLSPKDARRERPVAHSSALTSIISNSRFGAFFLIKNLDTGKEFIVNEYDQDGMWNRLSDLQTGKQLTMEEFEKCVGHSPVVKELMRRENVSRLNVTDEGNDRKISANSYLSKSLRMSKRRGAALLKNIKGVAYSMSMSGSSRIQDPKQEPKLSKNSASSGWIKVRQTGKSYKELSALHLCQEIQAHQGSIWTIRFSSDARFLASGGEDRIIHIWEVQECEVMSLHDGNLTPLHPSLCSSTPSLGEVTPMSSERKKKWKASSSRKGNPIPEYVHVPETVFSLSEKPVCSFTGHLDDVLDLSWSRSQLLLSSSMDKTVRLWDMETKSCLKLFAHNDYVTCIQFNPMDERYFISGSLDAKVRIWSIPDRQLVDWTDLHEMVTAVCYTPDGQGALVGSHKGSCRMYNAEDCKLSQMECVDVQNKKRSQAKKITGFQFSPGNPSEVLVTSADSRVRILDGSEVVHKFRGFRNINSQISASFSSDGKYVVSASEDSHVYIWKREEPRPRGGTGKGKSVINTRSHESFQCRDVSVAIPWNGTVKGDPPPLQLHSKRQSKRSSAPSQPPSASTSPTKEDAAVNSKRHFPPSANESPTREENSPVKNSKRQLPPLPRKNSIDTSHTPPEEYLSLISHSDSGLGELFASSSSSIRYGDSPSISAAPTPSSSWSSSWLWLDGGSHGNQTVQATAWGLVIVTATLGGEIRTYQNFGLPRKIGRQAHLF >Potri.018G121300.2.v4.1 pep chromosome:Pop_tri_v4:18:13407345:13410016:-1 gene:Potri.018G121300.v4.1 transcript:Potri.018G121300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121300.v4.1 MEVEVKLRLLDAVNHSRLKEILSPFHIKKLNQKNVFFDSANGILASQRAVLRLRSFSNNNEKTRCVLSLKAKPMLINGVSRVEEDEEEIDPLTGEQCVEEASKLGLTESRIIKRCKDEFGIDGEMGFVCLGGFENVRDVYEWRGLKLEVDESKFSFGVCYEIECENDDPERVKRVLEGFLKENGIDYKYSEMSKFAIFRAGKLP >Potri.018G121300.1.v4.1 pep chromosome:Pop_tri_v4:18:13407057:13410041:-1 gene:Potri.018G121300.v4.1 transcript:Potri.018G121300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G121300.v4.1 MEVEVKLRLLDAVNHSRLKEILSPFHIKKLNQKNVFFDSANGILASQRAVLRLRSFSNNNEKTRCVLSLKAKPMLINGVSRVEEDEEEIDPLTGEQCVEEASKLGLTESRIIKRCKDEFGIDGEMGFVCLGGFENVRDVYEWRGLKLEVDESKFSFGVCYEIECENDDPERVKRVLEGFLKENGIDYKYSEMSKFAIFRAGKLP >Potri.002G028600.1.v4.1 pep chromosome:Pop_tri_v4:2:1927499:1934480:1 gene:Potri.002G028600.v4.1 transcript:Potri.002G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G028600.v4.1 MGDGIFGPTMDLMRSEPMQLVQLIIPIESAYRTISYLGDLGLFQFNDLNAEKSPFQRTYAAQIKRCAEMARKLRFFKEQMKKAGLSPTKSLRSSDVDLDRLEVALGELESELIEINSNNEMLQHTYNELSEYKLVLQKAGELFHSAQSSVAAQQSELEAYNTAEASIDSALLLEQEMTMDPSKQVKLGYISGLVAREKAMAFERILFRATRGNVFLKQSVLENAVVDPVSGDKVEKNVFIVFYSGERAKNKILKLCEGFGANRYPFMEDLNKQFQIISQVSGRLAELKTTIDAGLAHWSNLLQTIGFEFEQWNFLVKKEKSIYHTLNMLNMDVTKKCLVAEGWCPVFATDQIQNGLRRATLDSSSQIGAIFHVLQTKDSPPTYFRTNKFTSAFQEIVDAYGVAKYQEANPSVYTIVTFPFLFAVMFGDWGHGICLLLATLYFIFREKKLSSQKLGDIMEMAFSGRYVIMMMAIFSIYTGLIYNEFFSVPFELFGLSAYGCRDQSCGDASTAGLVKVRATYPFGLDPKWHGTRSELPFLNSMKMKMSILFGVAQMNLGIIISYFNAKFFGDNINIWYQFVPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLDDNQLFFGQKFFQILLLLSALAAVPWMLFPKPFLLKKQHEERFQGQSYARLDSSDYSPEVEQHSVSHNHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELASVFYDKVLLLSWGYNSILARSIGLIVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYVGDGYKFYPFSFASLGEDDE >Potri.004G161400.1.v4.1 pep chromosome:Pop_tri_v4:4:18128672:18132934:1 gene:Potri.004G161400.v4.1 transcript:Potri.004G161400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161400.v4.1 MASLWSPGIFLAHVLALLLKALPLVILAETNVYIVYMGDKLHDEPELVQESHHELLADIVGSKDAAKESILYSYKHGFSGFAAVLTKSQEKLIADFPGVVGVVRNRIISSHTTRSWDFLQVKPQLVGRISTGHSGAGSIIGVMDTGIWPESKSFRDEGMAEVPSRWRGICQEGEGFNRSHCNRKIIGARWYIKGYEAEFGKLNTSDGDEFLSPRDAGGHGTHTSSTATGGLVENASFMGLAQGLARGGAPSAWLAVYKVCWATGGCAEADLLAAFDDAIFDGVDVLSVSLGSAPPLATYVEDAVAIGSFYAVAKGISVVCSAGNSGPYPQTITNTAPWVVTVAASTIDRAFPTIITLGNNQTIVGQALYTGKNVDTFHPIVYGEEIVADDSDEDSARGCASGSLNATLARGKVILCFESRSQRSNIIARRTVLDVKGVGLIFAQSPTKDVTLSLDIPCIQVDFAIGTYLLTYMESSRNPVVKFSFTKTVIGQQISPEVAFFSSRGPSSISATVLKPDIAAPGVNILASWSPAASPAIIDNEARPLDFKIESGTSMSCPHISGVVALLKAAHPKWSPATIKSALITTASIEDEYGQKTVAEGAPHKQADPFDYGGGHVDPDRAMDPGLVFDMGTSDYIRFLCALGYNNSAISLMTRTRTRCKKSTTFLVNLNLPSITIPELKQNLTVSRTVTNVGPITSIYVARVLAPAGTRVTVEPSVLSFDSTRKKIKFKVTFCSMLRIQGRYSFGNLFWEDGFHVVRIPLIVKTVIDDFYAEI >Potri.001G148600.1.v4.1 pep chromosome:Pop_tri_v4:1:12338028:12340591:1 gene:Potri.001G148600.v4.1 transcript:Potri.001G148600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148600.v4.1 MAVQAQYPSNALLLNRNGQDGHDYSLQPQPGGYLDQSHMLLNNGGGNNPRKRGRTAPTATTTTTTINKLCMQPQTQPQPLSTTQLIDLSQLHNHRSYHPQPNPNVVSTGLRLSFGDQHHQQQQNHHYQQQNFGTSTCQSSALLSISEDFSTQIKRQRDEIDQFLQAQGEQLRRALAEKRQQHYRALLGAAEESIARRLRESEAEVQRATRKNAELEARASQLSIEAQVWQAKARTQEVTATSLQAQLQQAIMNGGVVQDSRRGDGGTGCSGGVEGQGQAEDAESAYVDPDRVTVVPGRPSCKSCRKRMASVVLLPCRHLCVCTECDQMVQACPLCLHVRNSSVEVFLC >Potri.001G148600.3.v4.1 pep chromosome:Pop_tri_v4:1:12338097:12340510:1 gene:Potri.001G148600.v4.1 transcript:Potri.001G148600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148600.v4.1 MLLNNGGGNNPRKRGRTAPTATTTTTTINKLCMQPQTQPQPLSTTQLIDLSQLHNHRSYHPQPNPNVVSTGLRLSFGDQHHQQQQNHHYQQQNFGTSTCQSSALLSISEDFSTQIKRQRDEIDQFLQAQGEQLRRALAEKRQQHYRALLGAAEESIARRLRESEAEVQRATRKNAELEARASQLSIEAQVWQAKARTQEVTATSLQAQLQQAIMNGGVVQDSRRGDGGTGCSGGVEGQGQAEDAESAYVDPDRVTVVPGRPSCKSCRKRMASVVLLPCRHLCVCTECDQMVQACPLCLHVRNSSVEVFLC >Potri.001G010000.4.v4.1 pep chromosome:Pop_tri_v4:1:667255:669678:-1 gene:Potri.001G010000.v4.1 transcript:Potri.001G010000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010000.v4.1 MEGNSGSGPKTPLLMGEGRRSRRLSGRNSVNSLRSNFVAKLPDKVRSGLDTESPYQINLSKTTGLTEGEKEYYEKQMDTLKSFEDVDILMGNDNDNEDDDEDQARHEKAMKISNYANIVLLAFKIYATIKTGSLAVAASTLDSLLDLMAGGILWFTHLSMKKINIYKYPIGKLRVQPVGIVIFAAIMATLGFQILTKAVEQLIQHKHTEKMSSNQFLWLYAIMLSATAVKLALWLYCRSSRNEIVRAYAKDHYFDVVTNIVGLIAAVLGNKFYWWMDPTGAILLAVYTIINWSGTVVENAVSLVGQSAPHEFLQKLTYLVIRHPLVQRIEMIRAYTFGVLYFVEVDIELPEELPLKEAHVIGETLQNKIEKLTEVERAFVHLDFECDHKPEHIVLRKLPGNDP >Potri.018G109600.19.v4.1 pep chromosome:Pop_tri_v4:18:12758767:12761621:-1 gene:Potri.018G109600.v4.1 transcript:Potri.018G109600.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109600.v4.1 MGLIMIIILDEIKEIPLEIVNLFLDFIRNRNQDVLPIAQKLGERIFENCGSKLAPYVPQANYCGQKRSRDKLQDSRSHTELVVKKENDRKEGCGYQGFEEENREEDKNTKEKDFKEKILQEEDENFQREVMKQKRSRKLVKPNNKPVVKEKNDGEEGSKKQVFGEENSGEGRKEKIDREEGCKEQGFQEEYHNEEGKKVRRKAFNKKKRQKEGIVFQGEEIVKEKGTRERVRKDQIVSIPIERRKLKYEGKQAMDTKCSARKMHEFLSNLKGKKRSIIENSIFSAYLDIPRCPINRNLAAALIECYDAKMDAFIVAGRPLKFCGKEIEKCIGLRFEGKRVDMKFLGAQISPQIVQRYFEIVNGNERTKKKSTGASKEKKDGEMRSSIKKSSCLLLQKLNLMRVDGKGEAEDFLRLSIVYMFNVYFFPSHSKYISWWPLKFLENLNDFGSYAWGRAVYDYLLTSLEKAASKLAGQNKFYAFLNGCVPLLQTLASERISKLQVLDPSTNPNPSVLYYNMDSRSFDAFQKVVANLQPDEIKECKECEVVVKSCKAKVKSTEGQKMSQ >Potri.018G109600.5.v4.1 pep chromosome:Pop_tri_v4:18:12758853:12763825:-1 gene:Potri.018G109600.v4.1 transcript:Potri.018G109600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109600.v4.1 MEREDKELEDEIKVAGNALLSPPSSVSQLLLLLEKLENCLMRMDRSPSNSMQRAVDLAMKALMTKELLSHSDVDVKVSVALCFSQILRITAPIFSYDDEQMQVILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCLLMVDRKCYSLIMEMFKHFLTNIREHHPDIVFSSMGLIMIIILDEIKEIPLEIVNLFLDFIRNRNQDVLPIAQKLGERIFENCGSKLAPYVPQANYCGQKRSRDKLQDSRSHTELVVKKENDRKEGCGYQGFEEENREEDKNTKEKDFKEKILQEEDENFQREVMKQKRSRKLVKPNNKPVVKEKNDGEEGSKKQVFGEENSGEGRKEKIDREEGCKEQGFQEEYHNEEGKKVRRKAFNKKKRQKEGIVFQGEEIVKEKGTRERVRKDQIVSIPIERRKLKYEGKQAMDTKCSARKMHEFLSNLKGKKRSIIENSIFSAYLDIPRCPINRNLAAALIECYDAKMDAFIVAGRPLKFCGKEIEKCIGLRFEGKRVDMKFLGAQISPQIVQRYFEIVNGNERTKKKSTGASKEKKDGEMRSSIKKSSCLLLQKLNLMRVDGKGEAEDFLRLSIVYMFNVYFFPSHSKYISWWPLKFLENLNDFGSYAWGRAVYDYLLTSLEKAASKLAGQNKFYAFLNGCVPLLQTLASERISKLQVLDPSTNPNPSVLYYNMDSRSFDAFQKVVANLQPDEIKECKECEVVVKSCKAKVKSTEGQKMSQ >Potri.018G109600.4.v4.1 pep chromosome:Pop_tri_v4:18:12757359:12764026:-1 gene:Potri.018G109600.v4.1 transcript:Potri.018G109600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109600.v4.1 MEREDKELEDEIKVAGNALLSPPSSVSQLLLLLEKLENCLMRMDRSPSNSMQRAVDLAMKALMTKELLSHSDVDVKVSVALCFSQILRITAPIFSYDDEQMQVILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCLLMVDRKCYSLIMEMFKHFLTNIREHHPDIVFSSMGLIMIIILDEIKEIPLEIVNLFLDFIRNRNQDVLPIAQKLGERIFENCGSKLAPYVPQANYCGQKRSRDKLQDSRSHTELVVKKENDRKEGCGYQGFEEENREEDKNTKEKDFKEKILQEEDENFQREVMKQKRSRKLVKPNNKPVVKEKNDGEEGSKKQVFGEENSGEGRKEKIDREEGCKEQGFQEEYHNEEGKKVRRKAFNKKKRQKEGIVFQGEEIVKEKGTRERVRKDQIRRKLKYEGKQAMDTKCSARKMHEFLSNLKGKKRSIIENSIFSAYLDIPRCPINRNLAAALIECYDAKMDAFIVAGRPLKFCGKEIEKCIGLRFEGKRVDMKFLGAQISPQIVQRYFEIVNGNERTKKKSTGASKEKKDGEMRSSIKKSSCLLLQKLNLMRVDGKGEAEDFLRLSIVYMFNVYFFPSHSKYISWWPLKFLENLNDFGSYAWGRAVYDYLLTSLEKAASKLAGQNKFYAFLNGCVPLLQIKECKECEVVVKSCKAKVKSTEGQKMSQ >Potri.018G109600.2.v4.1 pep chromosome:Pop_tri_v4:18:12758045:12764037:-1 gene:Potri.018G109600.v4.1 transcript:Potri.018G109600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109600.v4.1 MEREDKELEDEIKVAGNALLSPPSSVSQLLLLLEKLENCLMRMDRSPSNSMQRAVDLAMKALMTKELLSHSDVDVKVSVALCFSQILRITAPIFSYDDEQMQVILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCLLMVDRKCYSLIMEMFKHFLTNIREHHPDIVFSSMGLIMIIILDEIKEIPLEIVNLFLDFIRNRNQDVLPIAQKLGERIFENCGSKLAPYVPQANYCGQKRSRDKLQDSRSHTELVVKKENDRKEGCGYQGFEEENREEDKNTKEKDFKEKILQEEDENFQREVMKQKRSRKLVKPNNKPVVKEKNDGEEGSKKQVFGEENSGEGRKEKIDREEGCKEQGFQEEYHNEEGKKVRRKAFNKKKRQKEGIVFQGEEIVKEKGTRERVRKDQIRRKLKYEGKQAMDTKCSARKMHEFLSNLKGKKRSIIENSIFSAYLDIPRCPINRNLAAALIECYDAKMDAFIVAGRPLKFCGKEIEKCIGLRFEGKRVDMKFLGAQISPQIVQRYFEIVNGNERTKKKSTGASKEKKDGEMRSSIKKSSCLLLQKLNLMRVDGKGEAEDFLRLSIVYMFNVYFFPSHSKYISWWPLKFLENLNDFGSYAWGRAVYDYLLTSLEKAASKLAGQNKFYAFLNGCVPLLQTLASERISKLQVLDPSTNPNPSVLYYNMDSRSFDAFQKVVANLQPDEIKECKECEVVVKSCKAKVKSTEGQKMSQ >Potri.018G109600.15.v4.1 pep chromosome:Pop_tri_v4:18:12757404:12761620:-1 gene:Potri.018G109600.v4.1 transcript:Potri.018G109600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109600.v4.1 MGLIMIIILDEIKEIPLEIVNLFLDFIRNRNQDVLPIAQKLGERIFENCGSKLAPYVPQANYCGQKRSRDKLQDSRSHTELVVKKENDRKEGCGYQGFEEENREEDKNTKEKDFKEKILQEEDENFQREVMKQKRSRKLVKPNNKPVVKEKNDGEEGSKKQVFGEENSGEGRKEKIDREEGCKEQGFQEEYHNEEGKKVRRKAFNKKKRQKEGIVFQGEEIVKEKGTRERVRKDQIVSIPIERRKLKYEGKQAMDTKCSARKMHEFLSNLKGKKRSIIENSIFSAYLDIPRCPINRNLAAALIECYDAKMDAFIVAGRPLKFCGKEIEKCIGLRFEGKRVDMKFLGAQISPQIVQRYFEIVNGNERTKKKSTGASKEKKDGEMRSSIKKSSCLLLQKLNLMRVDGKGEAEDFLRLSIVYMFNVYFFPSHSKYISWWPLKFLENLNDFGSYAWGRAVYDYLLTSLEKAASKLAGQNKFYAFLNGCVPLLQTLASERISKLQVLDPSTNPNPSVLYYNMDSRSFDAFQKVVANLQPDEIKECKECEVVVKSCKAKVKSTEGQKMSQ >Potri.018G109600.6.v4.1 pep chromosome:Pop_tri_v4:18:12758876:12763909:-1 gene:Potri.018G109600.v4.1 transcript:Potri.018G109600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109600.v4.1 MEREDKELEDEIKVAGNALLSPPSSVSQLLLLLEKLENCLMRMDRSPSNSMQRAVDLAMKALMTKELLSHSDVDVKVSVALCFSQILRITAPIFSYDDEQMQVILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCLLMVDRKCYSLIMEMFKHFLTNIREHHPDIVFSSMGLIMIIILDEIKEIPLEIVNLFLDFIRNRNQDVLPIAQKLGERIFENCGSKLAPYVPQANYCGQKRSRDKLQDSRSHTEVALVVKKENDRKEGCGYQGFEEENREEDKNTKEKDFKEKILQEEDENFQREVMKQKRSRKLVKPNNKPVVKEKNDGEEGSKKQVFGEENSGEGRKEKIDREEGCKEQGFQEEYHNEEGKKVRRKAFNKKKRQKEGIVFQGEEIVKEKGTRERVRKDQIVSIPIERRKLKYEGKQAMDTKCSARKMHEFLSNLKGKKRSIIENSIFSAYLDIPRCPINRNLAAALIECYDAKMDAFIVAGRPLKFCGKEIEKCIGLRFEGKRVDMKFLGAQISPQIVQRYFEIVNGNERTKKKSTGASKEKKDGEMRSSIKKSSCLLLQKLNLMRVDGKGEAEDFLRLSIVYMFNVYFFPSHSKYISWWPLKFLENLNDFGSYAWGRAVYDYLLTSLEKAASKLAGQNKFYAFLNGCVPLLQTLASERISKLQVLDPSTNPNPSVLYYNMDSRSFDAFQKVVANLQPDEIKECKECEVVVKSCKAKVKSTEGQKMSQ >Potri.018G109600.18.v4.1 pep chromosome:Pop_tri_v4:18:12758751:12763727:-1 gene:Potri.018G109600.v4.1 transcript:Potri.018G109600.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109600.v4.1 MRMDRSPSNSMQRAVDLAMKALMTKELLSHSDVDVKVSVALCFSQILRITAPIFSYDDEQMQVILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCLLMVDRKCYSLIMEMFKHFLTNIREHHPDIVFSSMGLIMIIILDEIKEIPLEIVNLFLDFIRNRNQDVLPIAQKLGERIFENCGSKLAPYVPQANYCGQKRSRDKLQDSRSHTEVALVVKKENDRKEGCGYQGFEEENREEDKNTKEKDFKEKILQEEDENFQREVMKQKRSRKLVKPNNKPVVKEKNDGEEGSKKQVFGEENSGEGRKEKIDREEGCKEQGFQEEYHNEEGKKVRRKAFNKKKRQKEGIVFQGEEIVKEKGTRERVRKDQIVSIPIERRKLKYEGKQAMDTKCSARKMHEFLSNLKGKKRSIIENSIFSAYLDIPRCPINRNLAAALIECYDAKMDAFIVAGRPLKFCGKEIEKCIGLRFEGKRVDMKFLGAQISPQIVQRYFEIVNGNERTKKKSTGASKEKKDGEMRSSIKKSSCLLLQKLNLMRVDGKGEAEDFLRLSIVYMFNVYFFPSHSKYISWWPLKFLENLNDFGSYAWGRAVYDYLLTSLEKAASKLAGQNKFYAFLNGCVPLLQTLASERISKLQVLDPSTNPNPSVLYYNMDSRSFDAFQKVVANLQPDEIKECKECEVVVKSCKAKVKSTEGQKMSQ >Potri.018G109600.10.v4.1 pep chromosome:Pop_tri_v4:18:12757287:12764037:-1 gene:Potri.018G109600.v4.1 transcript:Potri.018G109600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G109600.v4.1 MEREDKELEDEIKVAGNALLSPPSSVSQLLLLLEKLENCLMRMDRSPSNSMQRAVDLAMKALMTKELLSHSDVDVKVSVALCFSQILRITAPIFSYDDEQMQVILQLIVASFENISDTSSPSYHKRVLILEKFANVRSCLLMVDRKCYSLIMEMFKHFLTNIREHHPDIVFSSMGLIMIIILDEIKEIPLEIVNLFLDFIRNRNQDVLPIAQKLGERIFENCGSKLAPYVPQANYCGQKRSRDKLQDSRSHTELVVKKENDRKEGCGYQGFEEENREEDKNTKEKDFKEKILQEEDENFQREVMKQKRSRKLVKPNNKPVVKEKNDGEEGSKKQVFGEENSGEGRKEKIDREEGCKEQGFQEEYHNEEGKKVRRKAFNKKKRQKEGIVFQGEEIVKEKGTRERVRKDQIRRKLKYEGKQAMDTKCSARKMHEFLSNLKGKKRSIIENSIFSAYLDIPRCPINRNLAAALIECYDAKMDAFIVAGRPLKFCGKEIEKCIGLRFEGKRVDMKFLGAQISPQIVQRYFEIVNGNERTKKKSTGASKEKKDGEMRSSIKKSSCLLLQKLNLMRVDGKGEAEDFLRLSIVYMFNVYFFPSHSKYISWWPLKFLENLNDFGSYAWGRAVYDYLLTSLEKAASKLAGQNKFYAFLNGCVPLLQTLASERISKLQVLDPSTNPNPSVLYYNMDSRSFDAFQKVVANLQPDEIKECKECEVVVKSCKAKVKSTEGQKMSQ >Potri.010G170700.1.v4.1 pep chromosome:Pop_tri_v4:10:17241105:17245187:1 gene:Potri.010G170700.v4.1 transcript:Potri.010G170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170700.v4.1 MASYTGKGAPSNGTIYVSNLPEGTDDSMLAEHFGTIGLLKKDKRTGRPKIWLYRDKMTNEPKGDATVTYEDPHAALAAVEWFNNKDFHGNTIGVFIAQSKSKDDQAFNPVDDPNDSGVLEENAKDLNEGGGRGRGRGDASGKAWQQEGDWLCPNTSCSNVNFAFRGVCNLCGSARPSGPSGGAAGGGGRGRGRGANDSGAHGRSVGAPTGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNEAGVRGGRAGGYKELDEEELEETKRRRKEAEEDDGELYDEFGNLKKKFRAKTQQAEAGRVLLGAGRAGWEVEELGAVDKHGRERSRERGRDQDDRESSKTREHDERDRRLSRSRERDRGRDRDRDYEYGRDREYGRDRHRHRY >Potri.010G170700.3.v4.1 pep chromosome:Pop_tri_v4:10:17241042:17245261:1 gene:Potri.010G170700.v4.1 transcript:Potri.010G170700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G170700.v4.1 MASYTGKGAPSNGTIYVSNLPEGTDDSMLAEHFGTIGLLKKDKRTGRPKIWLYRDKMTNEPKGDATVTYEDPHAALAAVEWFNNKDFHGNTIGVFIAQSKSKDDQAFNPVDDPNDSGVLEENAKDLNEGGGRGRGRGDASGKAWQQEGDWLCPNTSSCSNVNFAFRGVCNLCGSARPSGPSGGAAGGGGRGRGRGANDSGAHGRSVGAPTGLFGPNDWPCPMCGNINWAKRMKCNICNTNKPGHNEAGVRGGRAGGYKELDEEELEETKRRRKEAEEDDGELYDEFGNLKKKFRAKTQQAEAGRVLLGAGRAGWEVEELGAVDKHGRERSRERGRDQDDRESSKTREHDERDRRLSRSRERDRGRDRDRDYEYGRDREYGRDRHRHRY >Potri.010G001250.1.v4.1 pep chromosome:Pop_tri_v4:10:146492:147565:-1 gene:Potri.010G001250.v4.1 transcript:Potri.010G001250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001250.v4.1 MRERSSSLSAQIPSLLHLFPLLHASLVWKSITKAFTQLHHLHMIANRNASLWNDPWMEKGLLGSLFVIISDADLRVRDVWRDGSWDLSRLSTNIPELIKLNVMAIPVPTFLALVMLLCGQLARMTTLNRLSNRMRDLQHHENWNWVWKLAAPEKIRMFF >Potri.005G080100.3.v4.1 pep chromosome:Pop_tri_v4:5:5439756:5450745:-1 gene:Potri.005G080100.v4.1 transcript:Potri.005G080100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080100.v4.1 MAATLAWRLSATNGSSLATTDLEKNGNLKTQDSEPPTPRSVMKMGVRDRTGSMEDPDGTLASVAQCIEQLRRSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSEEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWELLRNGLKTGKLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANICFLLACMMMEDESICSKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQEHAMCALANISGGLSFVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPVVIEQTLVNQFNPHLPYLVQERTIEALASLYGNAILSVKLANSEAKRLLVGLITMATNEVQDELVRALLALCNNEGSLWRSLQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSLNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVHLSDVLREGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSISVKTLWSVMKLLNVESENILAESSHCLASIFLSIKENRDVAAVARDALSPLIALANSSTLEVAEQATCALANLILDGEVSKKAIPNEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNHAGTVLALVSFLESAIGRSAATSEALAALAILSRSEGASGHIKPAWAVLAEFPNHISPIVSSIADATPLLQDKAIEILSRLCRDQPFVLGNAVASASGCIPSVARRAIDSTSPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCNHLIQSLVTMLCSADTSPSGNLVDDDREVISIYRHAKEGESGESHKATAVIYDYNLAVWLLSVLACHGEKSKIVIMEAGAVEVLTNRISSCYLQYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPALANLLKSEQSANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEEFALVCYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGILEALTKYLSLGLQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRMGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAFADVEMNAVDVLCRILSSNCSTGLKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALDKLVDDEQLAELVAAHGAVIPLVGLLYGGNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSAAKVVGPLFLLLTRPEFGPDGQHSALQVLVNILEHPQCRADYNLTSHQTIEPLIPLLDSQAPAVQQLAAELLSHLLMEEHLQKDPVTQQVIGPLIRVLSSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHVLWESAASVLANILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKSLNALFSNFPRLRATEPATLSIPHLVTSLKTGSEASQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEFDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLMPESKSGPSRNLEIEFQWSNK >Potri.005G080100.8.v4.1 pep chromosome:Pop_tri_v4:5:5439765:5449505:-1 gene:Potri.005G080100.v4.1 transcript:Potri.005G080100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080100.v4.1 MKMGVRDRTGSMEDPDGTLASVAQCIEQLRRSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSEEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWELLRNGLKTGKLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANICFLLACMMMEDESICSKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQEHAMCALANISGGLSFVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPVVIEQTLVNQFNPHLPYLVQERTIEALASLYGNAILSVKLANSEAKRLLVGLITMATNEVQDELVRALLALCNNEGSLWRSLQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSLNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVHLSDVLREGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSISVKTLWSVMKLLNVESENILAESSHCLASIFLSIKENRDVAAVARDALSPLIALANSSTLEVAEQATCALANLILDGEVSKKAIPNEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNHAGTVLALVSFLESAIGRSAATSEALAALAILSRSEGASGHIKPAWAVLAEFPNHISPIVSSIADATPLLQDKAIEILSRLCRDQPFVLGNAVASASGCIPSVARRAIDSTSPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCNHLIQSLVTMLCSADTSPSGNLVDDDREVISIYRHAKEGESGESHKATAVIYDYNLAVWLLSVLACHGEKSKIVIMEAGAVEVLTNRISSCYLQYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPALANLLKSEQSANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEEFALVCYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGILEALTKYLSLGLQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRMGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAFADVEMNAVDVLCRILSSNCSTGLKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALDKLVDDEQLAELVAAHGAVIPLVGLLYGGNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSAAKVVGPLFLLLTRPEFGPDGQHSALQVLVNILEHPQCRADYNLTSHQTIEPLIPLLDSQAPAVQQLAAELLSHLLMEEHLQKDPVTQQVIGPLIRVLSSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHVLWESAASVLANILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKSLNALFSNFPRLRATEPATLSIPHLVTSLKTGSEASQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEFDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLMPESKSGPSRNLEIEFQWSNK >Potri.005G080100.9.v4.1 pep chromosome:Pop_tri_v4:5:5439771:5448407:-1 gene:Potri.005G080100.v4.1 transcript:Potri.005G080100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080100.v4.1 MEDPDGTLASVAQCIEQLRRSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSEEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWELLRNGLKTGKLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANICFLLACMMMEDESICSKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQEHAMCALANISGGLSFVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPVVIEQTLVNQFNPHLPYLVQERTIEALASLYGNAILSVKLANSEAKRLLVGLITMATNEVQDELVRALLALCNNEGSLWRSLQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSLNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVHLSDVLREGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSISVKTLWSVMKLLNVESENILAESSHCLASIFLSIKENRDVAAVARDALSPLIALANSSTLEVAEQATCALANLILDGEVSKKAIPNEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNHAGTVLALVSFLESAIGRSAATSEALAALAILSRSEGASGHIKPAWAVLAEFPNHISPIVSSIADATPLLQDKAIEILSRLCRDQPFVLGNAVASASGCIPSVARRAIDSTSPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCNHLIQSLVTMLCSADTSPSGNLVDDDREVISIYRHAKEGESGESHKATAVIYDYNLAVWLLSVLACHGEKSKIVIMEAGAVEVLTNRISSCYLQYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPALANLLKSEQSANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEEFALVCYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGILEALTKYLSLGLQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRMGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAFADVEMNAVDVLCRILSSNCSTGLKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALDKLVDDEQLAELVAAHGAVIPLVGLLYGGNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSAAKVVGPLFLLLTRPEFGPDGQHSALQVLVNILEHPQCRADYNLTSHQTIEPLIPLLDSQAPAVQQLAAELLSHLLMEEHLQKDPVTQQVIGPLIRVLSSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHVLWESAASVLANILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKSLNALFSNFPRLRATEPATLSIPHLVTSLKTGSEASQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEFDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLMPESKSGPSRNLEIEFQWSNK >Potri.005G080100.6.v4.1 pep chromosome:Pop_tri_v4:5:5439735:5450500:-1 gene:Potri.005G080100.v4.1 transcript:Potri.005G080100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080100.v4.1 MAATLAWRLSATNGSSLATTDLEKNGNLKTQDSEPPTPRSVMKMGVRDRTGSMEDPDGTLASVAQCIEQLRRSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSEEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWELLRNGLKTGKLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANICFLLACMMMEDESICSKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQEHAMCALANISGGLSFVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPVVIEQTLVNQFNPHLPYLVQERTIEALASLYGNAILSVKLANSEAKRLLVGLITMATNEVQDELVRALLALCNNEGSLWRSLQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSLNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVHLSDVLREGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSISVKTLWSVMKLLNVESENILAESSHCLASIFLSIKENRDVAAVARDALSPLIALANSSTLEVAEQATCALANLILDGEVSKKAIPNEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNHAGTVLALVSFLESAIGRSAATSEALAALAILSRSEGASGHIKPAWAVLAEFPNHISPIVSSIADATPLLQDKAIEILSRLCRDQPFVLGNAVASASGCIPSVARRAIDSTSPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCNHLIQSLVTMLCSADTSPSGNLVDDDREVISIYRHAKEGESGESHKATAVIYDYNLAVWLLSVLACHGEKSKIVIMEAGAVEVLTNRISSCYLQYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPALANLLKSEQSANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEEFALVCYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGILEALTKYLSLGLQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRMGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAFADVEMNAVDVLCRILSSNCSTGLKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALDKLVDDEQLAELVAAHGAVIPLVGLLYGGNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSAAKVVGPLFLLLTRPEFGPDGQHSALQVLVNILEHPQCRADYNLTSHQTIEPLIPLLDSQAPAVQQLAAELLSHLLMEEHLQKDPVTQQVIGPLIRVLSSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHVLWESAASVLANILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKSLNALFSNFPRLRATEPATLSIPHLVTSLKTGSEASQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEFDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLMPESKSGPSRNLEIEFQWSNK >Potri.005G080100.7.v4.1 pep chromosome:Pop_tri_v4:5:5439768:5450096:-1 gene:Potri.005G080100.v4.1 transcript:Potri.005G080100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080100.v4.1 MAATLAWRLSATNGSSLATTDLEKNGNLKTQDSEPPTPRSVMKMGVRDRTGSMEDPDGTLASVAQCIEQLRRSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSEEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPVLWELLRNGLKTGKLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANICFLLACMMMEDESICSKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQEHAMCALANISGGLSFVISSLGQSLESCSSPAQTADTLGALASALMIYDSKAESTRASDPVVIEQTLVNQFNPHLPYLVQERTIEALASLYGNAILSVKLANSEAKRLLVGLITMATNEVQDELVRALLALCNNEGSLWRSLQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSLNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVHLSDVLREGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSISVKTLWSVMKLLNVESENILAESSHCLASIFLSIKENRDVAAVARDALSPLIALANSSTLEVAEQATCALANLILDGEVSKKAIPNEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNHAGTVLALVSFLESAIGRSAATSEALAALAILSRSEGASGHIKPAWAVLAEFPNHISPIVSSIADATPLLQDKAIEILSRLCRDQPFVLGNAVASASGCIPSVARRAIDSTSPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCNHLIQSLVTMLCSADTSPSGNLVDDDREVISIYRHAKEGESGESHKATAVIYDYNLAVWLLSVLACHGEKSKIVIMEAGAVEVLTNRISSCYLQYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPALANLLKSEQSANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEEFALVCYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGILEALTKYLSLGLQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRMGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAFADVEMNAVDVLCRILSSNCSTGLKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALDKLVDDEQLAELVAAHGAVIPLVGLLYGGNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSAAKVVGPLFLLLTRPEFGPDGQHSALQVLVNILEHPQCRADYNLTSHQTIEPLIPLLDSQAPAVQQLAAELLSHLLMEEHLQKDPVTQQVIGPLIRVLSSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHVLWESAASVLANILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKSLNALFSNFPRLRATEPATLSIPHLVTSLKTGSEASQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEFDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLMPESKSGPSRNLEIEFQWSNK >Potri.005G080100.10.v4.1 pep chromosome:Pop_tri_v4:5:5439765:5448397:-1 gene:Potri.005G080100.v4.1 transcript:Potri.005G080100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G080100.v4.1 MRLLVPLDVYHLWLEGRLIPQAQRSKLGELHFLFVLQKLVTRGWWKILTNQIHVITLFNHLSQCFVLQTLLLQETWLMMTGRSLAYTDMLKKSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPALANLLKSEQSANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEEFALVCYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGILEALTKYLSLGLQDATEEAATDLLGILFSSAEIRRHEAAFGAVSQLVAVLRMGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAFADVEMNAVDVLCRILSSNCSTGLKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALDKLVDDEQLAELVAAHGAVIPLVGLLYGGNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLCAAFAELLRILTNNASIAKGPSAAKVVGPLFLLLTRPEFGPDGQHSALQVLVNILEHPQCRADYNLTSHQTIEPLIPLLDSQAPAVQQLAAELLSHLLMEEHLQKDPVTQQVIGPLIRVLSSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHVLWESAASVLANILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKATKTAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDLIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKSLNALFSNFPRLRATEPATLSIPHLVTSLKTGSEASQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKLTLGNTPPRQTKVVSTGPNPEFDESFSWTFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLMPESKSGPSRNLEIEFQWSNK >Potri.007G142200.1.v4.1 pep chromosome:Pop_tri_v4:7:15164338:15166395:-1 gene:Potri.007G142200.v4.1 transcript:Potri.007G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142200.v4.1 MALWSRARTASSSLFNRVLQHPNNINNSSSNITNTTAFLRLFSANVAGNANSLRGDMMKQLLHLDINSQIGSCMPLGAMRIGTIIHNIELNPGQGGKMVRAAGTSAKILKEPSPTITVVQLPSGVVKKIDSRCRATIGIVSNPSHKDRKLRKAGQSRWLGRRPTVRGVAMNPVDHPHGGGEGRSKSSGSLGRVSQTPWGKPTKGGYKTGPLKRRK >Potri.007G142200.3.v4.1 pep chromosome:Pop_tri_v4:7:15164598:15166394:-1 gene:Potri.007G142200.v4.1 transcript:Potri.007G142200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142200.v4.1 MRSFFFFLLTIDVAGNANSLRGDMMKQLLHLDINSQIGSCMPLGAMRIGTIIHNIELNPGQGGKMVRAAGTSAKILKEPSPTITVVQLPSGVVKKIDSRCRATIGIVSNPSHKDRKLRKAGQSRWLGRRPTVRGVAMNPVDHPHGGGEGRSKSSGSLGRVSQTPWGKPTKGGYKTGPLKRRK >Potri.008G054900.2.v4.1 pep chromosome:Pop_tri_v4:8:3218737:3222739:-1 gene:Potri.008G054900.v4.1 transcript:Potri.008G054900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054900.v4.1 METYDSTAIGIDLGTTYSCVGVWQNGRVEIIPNDQGNRMTPSCVAFTDTERLIGEAAKNQVVSNPVNTIFGAKRLIGMRFSDVAVQSDIQHWPFKVVAGPRDKPMIVVNYKSEERQFAAEEISAMVLRKMGEIAEAFIGKSVKNAVITVPAYFIDSQRQATKDAGLIAGFNVMRIINEPTAAAMAYGLDMDINYGEKNVLVFDLGGGTCDVSLLVIEERIVEVRATAGDSHLGGEDFDNRLVNYFVQEFKRRKKKDISGDPSALRRLRTACERAKRSLSHDVQTNIELDSLCEGIDFCSTITRARFEELNTDLFRKCLQLVNKCLRDGKMDKNSIDDVVLVGGSSRIPKVQLLLQYFFNGKELCTSINPDEAVAYGAAVQATILSGGGHEELERMILLDVSPLSLGLEIAGGVMDAVVKRNTTVPTKKEGLFSTYADNQTAVLIKIYEGERTRTRDNNLLGTFELSGIAPAPKGVPQIKIIFDIDADGILIVSAEDETSGQKKRITITNDRGRWSEKEIQKMVHEAERYKSEDEKHKKWAEAKNGLEIFVRNMRITIGDEKRSSKLATGDKNKIEGAIDQAMKWLDGNQLARKDDFDGKLGELESICNPIIAKIYRSDGACMGTLMEDEVSPSDGYGTYGDEKPREARLVNDDEC >Potri.019G027200.2.v4.1 pep chromosome:Pop_tri_v4:19:3972578:3975810:1 gene:Potri.019G027200.v4.1 transcript:Potri.019G027200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G027200.v4.1 MERIRRVAVAYYENLPEEKKRYARFIFDEMDENRDGQIDLDEYVEYLKKHNNTIFTDPSMFRALDKDGDGSLDFEESITLYYILQSGRALFCDCCHTFLADVYFSCFQCFCLHESASTYDLCCDCYGGKKFNHHDDAIFWDNCTLLSKSRSLALGAPIENRRNVLEKIGTIADFTGMVVSGAVFASSFGCSIM >Potri.008G107300.1.v4.1 pep chromosome:Pop_tri_v4:8:6777156:6790201:-1 gene:Potri.008G107300.v4.1 transcript:Potri.008G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107300.v4.1 MGAQEKSQANSNSIQRVKVYRLNDDGKWDDQGTGHVTVDYLERSEDLGLYVIDEEDNETLLLHRITPDDIYRKQEDTIISWRDPEFSTELALSFQETTGCSYIWDHICNVQRNLQFSTLNSETFHSMNSELRELPAVELSTLPLILKTVSESGTADQMRLTELILNDQDFFQKLMDVFRICEDLENIDGLHMIFKIVRGIILFNSPQIFEKIFGDELIMDVIGSLEYDPEISHVQHHRGFLKEHVVFKEAIPIKDPHVLSKIHQTYRVGYLKDVVLARVLDEATVANLNSIVHANNAVVVSLLKDDNTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSMQMVQQLRLFRELMNEGIFDIIADILQSQDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGIPLLGLSVKGMITDFGEDMHCQFLEILRSLLDSYTLSGAQRDNIIEIFYEKHLSQLIDVITASCPDEVLPRSSGKSSGLVERVDTHNGVKPEILSNICELLCFCVLHHPFRIKCNFLLDNVIEKVLTLTRRKEKYLVAAAVRFVRTILSRHDEHLINHFVKNNLLKPIVDAFLSNGDRYNLLNSAILELFEYIRKENLKLLLKYIVDSFWNDLVKFEHLTSIQALKVKYEQCLEQCGAKSTGSTLDPRKRNDERALEKEEEDYFNEDSDEEDTASASHTQKAQAQSVSPNGVAAGYPSSSPRSGGLVDYDDDEDDEDYRPPPKKQLETPEEDEGTSESLRMKRKLPSKDKESDLVKKQRLAKHSKPKESVFAALCSTLSHAVLPSKKAATAMHITPLDGNKGPVEESHRENDPVISRSCSDNNSNSSEENHREKDPAGPKSCSDCLHSTSENGQIIGDDGPLIPPPKSSPEMAVNGS >Potri.008G107300.2.v4.1 pep chromosome:Pop_tri_v4:8:6777251:6799869:-1 gene:Potri.008G107300.v4.1 transcript:Potri.008G107300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107300.v4.1 MGEQEKSQANSNSMQRVKVYRLNDDGKWDDQGTGHATVDYLERSDDLGLYVIDEEDNKTLLLHRITPDDIYRKQEDTIISWRDPEFSTELALSFQETTGCSYIWDHICNVQRNLQFSTLNSETFHSMNSELRELPAVELSTLPLILKTVSESGTADQMRLTELILNDQDFFQKLMDVFRICEDLENIDGLHMIFKIVRGIILFNSPQIFEKIFGDELIMDVIGSLEYDPEISHVQHHRGFLKEHVVFKEAIPIKDPHVLSKIHQTYRVGYLKDVVLARVLDEATVANLNSIVHANNAVVVSLLKDDNTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSMQMVQQLRLFRELMNEGIFDIIADILQSQDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGIPLLGLSVKGMITDFGEDMHCQFLEILRSLLDSYTLSGAQRDNIIEIFYEKHLSQLIDVITASCPDEVLPRSSGKSSGLVERVDTHNGVKPEILSNICELLCFCVLHHPFRIKCNFLLDNVIEKVLTLTRRKEKYLVAAAVRFVRTILSRHDEHLINHFVKNNLLKPIVDAFLSNGDRYNLLNSAILELFEYIRKENLKLLLKYIVDSFWNDLVKFEHLTSIQALKVKYEQCLEQCGAKSTGSTLDPRKRNDERALEKEEEDYFNEDSDEEDTASASHTQKAQAQSVSPNGVAAGYPSSSPRSGGLVDYDDDEDDEDYRPPPKKQLETPEEDEGTSESLRMKRKLPSKDKESDLVKKQRLAKHSKPKESVFAALCSTLSHAVLPSKKAATAMHITPLDGNKGPVEESHRENDPVISRSCSDNNSNSSEENHREKDPAGPKSCSDCLHSTSENGQIIGDDGPLIPPPKSSPEMAVNGS >Potri.008G107300.3.v4.1 pep chromosome:Pop_tri_v4:8:6777276:6788252:-1 gene:Potri.008G107300.v4.1 transcript:Potri.008G107300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G107300.v4.1 MNSELRELPAVELSTLPLILKTVSESGTADQMRLTELILNDQDFFQKLMDVFRICEDLENIDGLHMIFKIVRGIILFNSPQIFEKIFGDELIMDVIGSLEYDPEISHVQHHRGFLKEHVVFKEAIPIKDPHVLSKIHQTYRVGYLKDVVLARVLDEATVANLNSIVHANNAVVVSLLKDDNTFIQELFARLRSPTTSAESKKNLVYFLHEFCSLSKSMQMVQQLRLFRELMNEGIFDIIADILQSQDKKLVLTGTDILILFLNQDPNLLRSYVVRQEGIPLLGLSVKGMITDFGEDMHCQFLEILRSLLDSYTLSGAQRDNIIEIFYEKHLSQLIDVITASCPDEVLPRSSGKSSGLVERVDTHNGVKPEILSNICELLCFCVLHHPFRIKCNFLLDNVIEKVLTLTRRKEKYLVAAAVRFVRTILSRHDEHLINHFVKNNLLKPIVDAFLSNGDRYNLLNSAILELFEYIRKENLKLLLKYIVDSFWNDLVKFEHLTSIQALKVKYEQCLEQCGAKSTGSTLDPRKRNDERALEKEEEDYFNEDSDEEDTASASHTQKAQAQSVSPNGVAAGYPSSSPRSGGLVDYDDDEDDEDYRPPPKKQLETPEEDEGTSESLRMKRKLPSKDKESDLVKKQRLAKHSKPKESVFAALCSTLSHAVLPSKKAATAMHITPLDGNKGPVEESHRENDPVISRSCSDNNSNSSEENHREKDPAGPKSCSDCLHSTSENGQIIGDDGPLIPPPKSSPEMAVNGS >Potri.010G161100.3.v4.1 pep chromosome:Pop_tri_v4:10:16668690:16672826:-1 gene:Potri.010G161100.v4.1 transcript:Potri.010G161100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G161100.v4.1 MMWKFASNALTSIGLKKSSREANRANLEFSDDEVCSNVSGEEGLECPICWESFNIVENVPYVLWCGHSLCENCVLGLQCAVLGFSMQKIRIPFFVSCPWCQLLSFRLVYQGSLKFPRKNFFLLWMVQKLNGDRVKFSSSLCTNDNQPIWSPKGKIIFGHQFSNSSLSRAPYNQGQSQLGFNGDGGSRSGERNHSSLHKSLDYFLDFTTKFPLVIIFLLIVLFVVPISAVIVLLYLLVTVLFALPSFLVLYFAYPTLARLVKEIAS >Potri.001G114100.1.v4.1 pep chromosome:Pop_tri_v4:1:9253431:9255234:1 gene:Potri.001G114100.v4.1 transcript:Potri.001G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G114100.v4.1 MVEAQTWATRRMSNPRIMDGTTVSTTTNDQALDIPATPPGDVRNNAYSSVGSYFSPTILTAGIIASWYLSNIGVLLLNKYLLSFHGYRYPIFLTMLHMISCACYSYVAIKFLQIVPLQHISSRKQFMKIFALSAIFCFSVVCGNTSLRYLPVSFNQAIGATTPFFTAIFAFLITCKKESAGVYCALLPVVFGIVLASNSEPLFHLFGFLVCVGSTAGRALKSVVQGILLTSEAEKLHSMNLLLYMAPMAALILLPFTLYIEGNVASITIEKARGDPYIVFLLIGNSTVAYLVNLTNFLVTKHTSALTLQVLGNAKAAVAAAVSILIFRNPVTAMGMVGFAVTIMGVVLYSEAKKRSKVTTH >Potri.010G247750.1.v4.1 pep chromosome:Pop_tri_v4:10:22201984:22202353:-1 gene:Potri.010G247750.v4.1 transcript:Potri.010G247750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G247750.v4.1 MKPSCFKSLSAIICAIIALAMLLSTGVNLAQARVLPASSLASTKSPSSQVLVNSESKESMPNTTQTVAASLRRIPPSGSNPIQNKSKPRVKG >Potri.012G004000.1.v4.1 pep chromosome:Pop_tri_v4:12:3015:9991:-1 gene:Potri.012G004000.v4.1 transcript:Potri.012G004000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004000.v4.1 MASPTPSLLSQIPMENQKQELLPDEEEEVLSLRRHCSSSDDDGGNNNNNTTSANTALLVDIFSTNGNENANETRIGSLISSSSNNNLNEDSETPKVGVSSPCTVSPHKHSGDDDKDKDESEERAHHLNSVYFDQHHGADSINGASGVNCGGVTCSVSDTSPRYAEVLVKNSEIQKSLVKDDNLQLGENMDNEGFSGSGLTPLEDTFEEHNFKSKPSDSETRVVGDLDLIEEIDQEMTEFDVEKVLEKQNTHDLYCPNCNSCITRRVILRRRRWKNRNARRKPKHAKVDTIVPSESNGNSTYSDANSADSASGPGHDIANICSNDSPTSAVNDHNCDREPDVFRCLSCFSFFIPAGNGFKLFRVSSTENENVQDPQKISTANTNWFFSIFATHKRKTTTEQGNAAVDHTQVRGMNQDASSGSPNNFTSSNGNDHSVMPHAERTIVKTGEHPESSYSKPHQSGAESLNPSTMEPLLLDKSPQGINLKSNLTSRNGILADQNAPLLSVDLPSVESSSIAGILNNMGGASLKPGMGIVSSSRETKFNETALISAREKSGDAAGNSGGSLMNHAIMDTAQLLPYSSGSMEGLKENAPFRPQGGVNLPEYSTSKSLIPEQSEIQIKEKFNMAKGNEKPLQNGQASSTQGTSLSSQLYSEGGFINDAALKHHEVGKGSLNSLSQGTSRPEKEKVNIGENEVNAMENKNIGNDVIVTIEKEPPKRGDSEIVCIDSVEPTSLLNSTNQTNASERKGAGVGESWQWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNILALGLANLIGGLFIIGHNLVDLKNDRSNQVNEQEDRYQETLGRRDNFSLHATLSILSFLIFGLLPPVMYGFSFRKSDDRDLKLAAVDGASLFYIILLAIGKAHIQRKQPKPYISTVLYFFCIGLMASGASYVVGDLISKLLQKISGFESNLPFPELKTWASY >Potri.012G004000.7.v4.1 pep chromosome:Pop_tri_v4:12:2994:9949:-1 gene:Potri.012G004000.v4.1 transcript:Potri.012G004000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004000.v4.1 MASPTPSLLSQIPMENQKQELLPDEEEEVLSLRRHCSSSDDDGGNNNNNTTSANTALLVDIFSTNGNENANETRIGSLISSSSNNNLNEDSETPKVGVSSPCTVSPHKHSGDDDKDKDESEERAHHLNSVYFDQHHGIWKCHHCNWTYCVGTPCFDHKECSHTHTHTHTHSLINLKNFNQQQPCLVFGTKGADSINGASGVNCGGVTCSVSDTSPRYAEVLVKNSEIQKSLVKDDNLQLGENMDNEGFSGSGLTPLEDTFEEHNFKSKPSDSETRVVGDLDLIEEIDQEMTEFDVEKVLEKQNTHDLYCPNCNSCITRRVILRRRRWKNRNARRKPKHAKVDTIVPSESNGNSTYSDANSADSASGPGHDIANICSNDSPTSAVNDHNCDREPDVFRCLSCFSFFIPAGNGFKLFRVSSTENENVQDPQKISTANTNWFFSIFATHKRKTTTEQGNAAVDHTQVRGMNQDASSGSPNNFTSSNGNDHSVMPHAERTIVKTGEHPESSYSKPHQSGAESLNPSTMEPLLLDKSPQGINLKSNLTSRNGILADQNAPLLSVDLPSVESSSIAGILNNMGGASLKPGMGIVSSSRETKFNETALISAREKSGDAAGNSGGSLMNHAIMDTAQLLPYSSGSMEGLKENAPFRPQGGVNLPEYSTSKSLIPEQSEIQIKEKFNMAKGNEKPLQNGQASSTQGTSLSSQLYSEGGFINDAALKHHEVGKGSLNSLSQGTSRPEKEKVNIGENEVNAMENKNIGNDVIVTIEKEPPKRGDSEIVCIDSVEPTSLLNSTNQTNASERKGAGVGESWQWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNILALGLANLIGGLFIIGHNLVDLKNDRSNQVNEQEDRYQETLGRRDNFSLHATLSILSFLIFGLLPPVMYGFSFRKSDDRDLKLAAVDGASLFYIILLAIGKAHIQRKQPKPYISTVLYFFCIGLMASGASYVVGDLISKLLQKISGFESNLPFPELKTWASY >Potri.012G004000.3.v4.1 pep chromosome:Pop_tri_v4:12:2971:8500:-1 gene:Potri.012G004000.v4.1 transcript:Potri.012G004000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004000.v4.1 MDNEGFSGSGLTPLEDTFEEHNFKSKPSDSETRVVGDLDLIEEIDQEMTEFDVEKVLEKQNTHDLYCPNCNSCITRRVILRRRRWKNRNARRKPKHAKVDTIVPSESNGNSTYSDANSADSASGPGHDIANICSNDSPTSAVNDHNCDREPDVFRCLSCFSFFIPAGNGFKLFRVSSTENENVQDPQKISTANTNWFFSIFATHKRKTTTEQGNAAVDHTQVRGMNQDASSGSPNNFTSSNGNDHSVMPHAERTIVKTGEHPESSYSKPHQSGAESLNPSTMEPLLLDKSPQGINLKSNLTSRNGILADQNAPLLSVDLPSVESSSIAGILNNMGGASLKPGMGIVSSSRETKFNETALISAREKSGDAAGNSGGSLMNHAIMDTAQLLPYSSGSMEGLKENAPFRPQGGVNLPEYSTSKSLIPEQSEIQIKEKFNMAKGNEKPLQNGQASSTQGTSLSSQLYSEGGFINDAALKHHEVGKGSLNSLSQGTSRPEKEKVNIGENEVNAMENKNIGNDVIVTIEKEPPKRGDSEIVCIDSVEPTSLLNSTNQTNASERKGAGVGESWQWEILKSIVYGGLIESITSLGVVSSAAGAGAGTLNILALGLANLIGGLFIIGHNLVDLKNDRSNQVNEQEDRYQETLGRRDNFSLHATLSILSFLIFGLLPPVMYGFSFRKSDDRDLKLAAVDGASLFYIILLAIGKAHIQRKQPKPYISTVLYFFCIGLMASGASYVVGDLISKLLQKISGFESNLPFPELKTWASY >Potri.011G129800.2.v4.1 pep chromosome:Pop_tri_v4:11:16373707:16376247:-1 gene:Potri.011G129800.v4.1 transcript:Potri.011G129800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129800.v4.1 MAEAMIEALAEQLVQVLKKPGEYVLEFQSEFNDMKTQLDLMKSFLADAYKLKRKEETVKTTLSMLRELVYDAEEILTDCLLRAEYRKEGFQCCSNFTPRELIFQYRTGKKLKDINERIKKMQKILKAYFKTIGQQSIHDDRGSIGKRWTSPVYDESAIVGLIEDTEKIIGWILPENRMLHQVGIKIFYSKQVVDRFEKRIWVSISQTINEEEIMKTLLKQLGEDVNGLDMAQMLPKIKQLLENKNYLIVMDDREGWSSCIIIRTRIESVAIEMGVEKARIHQRRILNEEESYALFCKIAFSSKEEAKQHPELEEVGKVIVKKCHGLPLAVKTIGGLLKSKAQSTEVWRRICHNFHDALTAKESENSVMASLQLSYDELPIRMKQCLLCFSLYPEDSEIGAEQLVHWWVGEGFIEGRNTSTTMELAFDYLSELISRCLVEVVQHRGYDGRVYSCRMHDLVRDLTIKIAREEGFCSLDDQGRQRTTARSRRLSFTNEADVRSMNKKSRIRALLMMTSSPVQFNRNIVIEHCIEDLLNWISSIERLAKLPFSIGKLRNLQLLVLSGCNNLQKLPLSITALQKLILLDIGHCPIQYLPQGIGRLSNLQELSGFKLVGADNKDGCRLAELQNLLQLRVLRVNISEESEIAEEELTVLTHLKQLKVLSINSEGCDKEEIFQNLERLSPPPHLEELYLRHYRGVLTPQWINPTSLCHLQYLCMENGDIKSTSPVFEGTNGTTWKVVGLCLKFLPRLHMEWEMVQRVMPRIRYVEVSHCYMLKSFPCNIDKLGVWRK >Potri.017G134800.1.v4.1 pep chromosome:Pop_tri_v4:17:13662546:13665362:-1 gene:Potri.017G134800.v4.1 transcript:Potri.017G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134800.v4.1 MKPIIDLEVEASSENVSDVSSQVASNLSNQETSAGPSNESLTNSSYLKNAIATQSGSETVSLDLKLCFNDDELGGRDSMGLSLSSTSESSNDPASRTTAEAIPRVFSCNYCQRKFFSSQALGGHQNAHKRERTLAKRAIRMGIFSERYASLASLPLHGSSFRSLGIKAHSSVHQSFAQPVRPQDISSSARFDHGYVGLPIFMEDEEAELVWPGSFRQVAVADDAHQSFVLARSSNMNFLGATPSVNSDDSAPDLTLKL >Potri.007G016300.2.v4.1 pep chromosome:Pop_tri_v4:7:1192178:1195108:1 gene:Potri.007G016300.v4.1 transcript:Potri.007G016300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016300.v4.1 MAAAASAACRRVVGYQFGSNSGGIGFGRGGSGAVSPSNLGLISQNRNISQLVNSNGRRLFLVDTLALVRRLESQGVPLKQAEAITAAITGVLNDSLENVSHSVVSKEEMQKNSLIQETSLSKFKSEVQSSQEHHFSLLQHETEKLRHDIEKMRSELRHEIDKLSAGQRLDLNLERGRIREELANQNAETTNLTNKLDGEIHGLRAQLEAGKYEVIKYCLGTLVSISAVGLAAVRILM >Potri.007G016300.3.v4.1 pep chromosome:Pop_tri_v4:7:1192168:1195160:1 gene:Potri.007G016300.v4.1 transcript:Potri.007G016300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016300.v4.1 MAAAASAACRRVVGYQFGSNSGGIGFGRGGSGAVSPSNLGLISQNRNISQLVNSNGRRLFLVDTLALVRRLESQGVPLKQAEAITAAITGVLNDSLENVSHSVVSKEEMQKNSLIQETSLSKFKSEVQSSQEHHFSLLQHETEKLRHDIEKMRSELRHEIDKLSAGQRLDLNLERGRIREELANQNAETTNLTNKLDGEIHGLRAQLEAGKYEVIKYCLGTLVSISAVGLAAVRILM >Potri.009G096300.1.v4.1 pep chromosome:Pop_tri_v4:9:8689664:8693244:-1 gene:Potri.009G096300.v4.1 transcript:Potri.009G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096300.v4.1 MQTLLLPAVRSGTAYSAAVGPTPPLVHRLSHRALPSPPNTLSTSHKSTQPLPLFSYSSSLSSYDPLKNETSKLLSTQTNASNATAPAFNSQNDEAERAKLAQVAKRLENTSRYFKRLGNLGFWGQLICATVAAVILSFSVVVTGKIPSPPTFYATLGGIAAAFISVFWSFGYIRLSEKLRKTANDPSKAPPRADVVKSLKNGIVLNLLGMGAAILGMQATVGLLVAKALTSSANPYYQQMTPGYSPVLALDVFLVQASANTILSHFLGLVFSLELLRSVTLPPSESLPVFKVA >Potri.013G099900.4.v4.1 pep chromosome:Pop_tri_v4:13:10548868:10553933:1 gene:Potri.013G099900.v4.1 transcript:Potri.013G099900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099900.v4.1 MQSSNLTSLPEDDEQQQHQQNQKPPSFSAFASLPTRPATQSCLQKYAPLEWTGLFDKEEDVCIPDSNDVFHVYMAGTEGPVVFCLHGGGYSGLSFALSASKIKEKARVVAMDLRGHGKTSTENELDLSVEAMCNDFFAVVKAMYGDSPPAIVLVGHSMGGSVAVHVAAKRALPSLAGLVVVDVVEGTAMASLIHMQKLLSSRMQHFSSLEKAIEWSVKGGSLRNIDSARVSVPTTLKYNDAKNCYVYRTCLEETEQYWRGWYEGLSDKFLSCPVPKLLLLAGTDRLDRSLTIGQMQGKFQMVVVRHTGHAIQEDTPDEFATLVVNFISRNRIGPHGVEIPGLHRPSQPQQ >Potri.008G047700.11.v4.1 pep chromosome:Pop_tri_v4:8:2774449:2783309:1 gene:Potri.008G047700.v4.1 transcript:Potri.008G047700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047700.v4.1 MHRVDNHSTENGILSNSSYHHDHRTQQLARSAQDSLNTASLASSSTQGTMSVTQDHSSYAAYNPTDPYGYGSSGYSSYYNNGYQQQPNHAYSQQQPSHSYSQQQPSHSYSQQQPSHSYSQQQPSHSYSSTGGAYQNTGAPYQPLSSFQNTGSYTGTTSYSTTYYNPGDYQTAGGYPSSGYSNQTSLWNDPNNANYTSQQYSTYAPDTTSAYSSGTAASTSMNYEQHYKQWADYYSQTEVSCAPGTEHLSAASTSNLGSAVSGVYPTSNTQPPASFTPASWRPESASSELPSLQTGATISSTHDGWKQGTPSFQNHHASPTQPHFQISHESKASYDNIQEQQQTAPQAPNSQFPAAHQATQSYQSTLQNALSLDTRRVSRMQIPTNPRIASNLALGLSKTDKDGPTNSAAAKPAYISVSMPKPNDKVLSNDATNSMLKPGMFPNSLRCYVERAFNLCKDDTQRVACQAIMKEIITKATADGTLNTRDWDAEPLFAIPNAEAVNMDSQCPTPVSSLPRYKRSPGRRSKSRWEPLPEEKSVDKPVSISNDIVKYDGWERKPPSVNSESKWNALNNMKFSLSEQKLPSKNTQRPAKRQHLADGLNAANNDASSDSDKEQSLTAYYSSAITIANTPEEKKRRESRSKRFEKGQGHRAEINYLKQKNAGAGNLYSRRASALMLNKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLSLEAGDLPEYNQCQSQLKTLYAEGIEGCHMEFAAYNLLCVILHSNNHRDLVSSMSRLTEGAKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSRSYRPTIPISYIAQVLGFSRTSDGNDEKDSDGSGLVECVEWMNTHGACLTTDNSGEIQLDTKASSSSLYMPEPEDAVAHGDSNLAVNDFLTRTSL >Potri.008G047700.10.v4.1 pep chromosome:Pop_tri_v4:8:2773459:2783299:1 gene:Potri.008G047700.v4.1 transcript:Potri.008G047700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047700.v4.1 MLMMNQGVNTQTIASVDPNSLEGRYVVDVSQGQTSSYNPTAYESEAAPWTMHRVDNHSTENGILSNSSYHHDHRTQQLARSAQDSLNTASLASSSTQGTMSVTQDHSSYAAYNPTDPYGYGSSGYSSYYNNGYQQQPNHAYSQQQPSHSYSQQQPSHSYSQQQPSHSYSQQQPSHSYSSTGGAYQNTGAPYQPLSSFQNTGSYTGTTSYSTTYYNPGDYQTAGGYPSSGYSNQTSLWNDPNNANYTSQQYSTYAPDTTSAYSSGTAASTSMNYEQHYKQWADYYSQTEVSCAPGTEHLSAASTSNLGSAVSGVYPTSNTQPPASFTPASWRPESASSELPSLQTGATISSTHDGWKQGTPSFQNHHASPTQPHFQISHESKASYDNIQEQQQTAPQAPNSQFPAAHQATQSYQSTLQNALSLDTRRVSRMQIPTNPRIASNLALGLSKTDKDGPTNSAAAKPAYISVSMPKPNDKVLSNDATNSMLKPGMFPNSLRCYVERAFNLCKDDTQRVACQAIMKEIITKATADGTLNTRDWDAEPLFAIPNAEAVNMDSQCPTPVSSLPRYKRSPGRRSKSRWEPLPEEKSVDKPVSISNDIVKYDGWERKPPSVNSESKWNALNNMKFSLSEQKLPSKNTQRPAKRQHLADGLNAANNDASSDSDKEQSLTAYYSSAITIANTPEEKKRRESRSKRFEKGQGHRAEINYLKQKNAGAGNLYSRRASALMLNKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLSLEAGDLPEYNQCQSQLKTLYAEGIEGCHMEFAAYNLLCVILHSNNHRDLVSSMSRLTEGAKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSRSYRPTIPISYIAQVLGFSRTSDGNDEKDSDGSGLVECVEWMNTHGACLTTDNSGEIQLDTKASSSSLYMPEPEDAVAHGDSNLAVNDFLTRTSL >Potri.008G047700.5.v4.1 pep chromosome:Pop_tri_v4:8:2773313:2783312:1 gene:Potri.008G047700.v4.1 transcript:Potri.008G047700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047700.v4.1 MLMMNQGVNTQTIASVDPNSLEGRYVVDVSQGQTSSYNPTAYESEAAPWTMHRVDNHSTENGILSNSSYHHDHRTQQLARSAQDSLNTASLASSSTQGTMSVTQDHSSYAAYNPTDPYGYGSSGYSSYYNNGYQQQPNHAYSQQQPSHSYSQQQPSHSYSQQQPSHSYSQQQPSHSYSSTGGAYQNTGAPYQPLSSFQNTGSYTGTTSYSTTYYNPGDYQTAGGYPSSGYSNQTSLWNDPNNANYTSQQYSTYAPDTTSAYSSGTAASTSMNYEQHYKQWADYYSQTEVSCAPGTEHLSAASTSNLGSAVSGVYPTSNTQPPASFTPASWRPESASSELPSLQTGATISSTHDGWKQGTPSFQNHHASPTQPHFQISHESKASYDNIQEQQQTAPQAPNSQFPAAHQATQSYQSTLQNALSLDTRRVSRMQIPTNPRIASNLALGLSKTDKDGPTNSAAAKPAYISVSMPKPNDKVLSNDATNSMLKPGMFPNSLRCYVERAFNLCKDDTQRVACQAIMKEIITKATADGTLNTRDWDAEPLFAIPNAEAVNMDSQCPTPVSSLPRYKRSPGRRSKSRWEPLPEEKSVDKPVSISNDIVKYDGWERKPPSVNSESKWNALNNMKFSLSEQKLPSKNTQRPAKRQHLADGLNAANNDASSDSDKEQSLTAYYSSAITIANTPEEKKRRESRSKRFEKGQGHRAEINYLKQKNAGAGNLYSRRASALMLNKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLSLEAGDLPEYNQCQSQLKTLYAEGIEGCHMEFAAYNLLCVILHSNNHRDLVSSMSRLTEGAKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSRSYRPTIPISYIAQVLGFSRTSDGNDEKDSDGSGLVECVEWMNTHGACLTTDNSGEIQLDTKASSSSLYMPEPEDAVAHGDSNLAVNDFLTRTSL >Potri.008G047700.7.v4.1 pep chromosome:Pop_tri_v4:8:2773460:2783293:1 gene:Potri.008G047700.v4.1 transcript:Potri.008G047700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047700.v4.1 MLMMNQGVNTQTIASVDPNSLEGRYVVDVSQGQTSSYNPTAYESEAAPWTMHRVDNHSTENGILSNSSYHHDHRTQQLARSAQDSLNTASLASSSTQGTMSVTQDHSSYAAYNPTDPYGYGSSGYSSYYNNGYQQQPNHAYSQQQPSHSYSQQQPSHSYSQQQPSHSYSQQQPSHSYSSTGGAYQNTGAPYQPLSSFQNTGSYTGTTSYSTTYYNPGDYQTAGGYPSSGYSNQTSLWNDPNNANYTSQQYSTYAPDTTSAYSSGTAASTSMNYEQHYKQWADYYSQTEVSCAPGTEHLSAASTSNLGSAVSGVYPTSNTQPPASFTPASWRPESASSELPSLQTGATISSTHDGWKQGTPSFQNHHASPTQPHFQISHESKASYDNIQEQQQTAPQAPNSQFPAAHQATQSYQSTLQNALSLDTRRVSRMQIPTNPRIASNLALGLSKTDKDGPTNSAAAKPAYISVSMPKPNDKVLSNDATNSMLKPGMFPNSLRCYVERAFNLCKDDTQRVACQAIMKEIITKATADGTLNTRDWDAEPLFAIPNAEAVNMDSQCPTPVSSLPRYKRSPGRRSKSRWEPLPEEKSVDKPVSISNDIVKYDGWERKPPSVNSESKWNALNNMKFSLSEQKLPSKNTQRPAKRQHLADGLNAANNDASSDSDKEQSLTAYYSSAITIANTPEEKKRRESRSKRFEKGQGHRAEINYLKQKNAGAGNLYSRRASALMLNKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLSLEAGDLPEYNQCQSQLKTLYAEGIEGCHMEFAAYNLLCVILHSNNHRDLVSSMSRLTEGAKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSRSYRPTIPISYIAQVLGFSRTSDGNDEKDSDGSGLVECVEWMNTHGACLTTDNSGEIQLDTKASSSSLYMPEPEDAVAHGDSNLAVNDFLTRTSL >Potri.008G047700.8.v4.1 pep chromosome:Pop_tri_v4:8:2773359:2783299:1 gene:Potri.008G047700.v4.1 transcript:Potri.008G047700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047700.v4.1 MQHTILQIHMVMEAVDIQVTIIMVISSNQTMHTHSSNQATHTLSSNPATHTLSSNPATRTLSSNPATRTLQLEGHIKTQTAGGYPSSGYSNQTSLWNDPNNANYTSQQYSTYAPDTTSAYSSGTAASTSMNYEQHYKQWADYYSQTEVSCAPGTEHLSAASTSNLGSAVSGVYPTSNTQPPASFTPASWRPESASSELPSLQTGATISSTHDGWKQGTPSFQNHHASPTQPHFQISHESKASYDNIQEQQQTAPQAPNSQFPAAHQATQSYQSTLQNALSLDTRRVSRMQIPTNPRIASNLALGLSKTDKDGPTNSAAAKPAYISVSMPKPNDKVLSNDATNSMLKPGMFPNSLRCYVERAFNLCKDDTQRVACQAIMKEIITKATADGTLNTRDWDAEPLFAIPNAEAVNMDSQCPTPVSSLPRYKRSPGRRSKSRWEPLPEEKSVDKPVSISNDIVKYDGWERKPPSVNSESKWNALNNMKFSLSEQKLPSKNTQRPAKRQHLADGLNAANNDASSDSDKEQSLTAYYSSAITIANTPEEKKRRESRSKRFEKGQGHRAEINYLKQKNAGAGNLYSRRASALMLNKSFDDSGSKAVEDIDWDALTVKGTCQEIEKRYLRLTSAPDPSTVRPEEVLEKALLMVQNSQKNYLYKCDQLKSIRQDLTVQRIQNQLTVKVYETHARLSLEAGDLPEYNQCQSQLKTLYAEGIEGCHMEFAAYNLLCVILHSNNHRDLVSSMSRLTEGAKKDKAVKHALAVRAAVTSGNYVMFFRLYKEAPNLNTCLMDLYVEKMRYKAVSCMSRSYRPTIPISYIAQVLGFSRTSDGNDEKDSDGSGLVECVEWMNTHGACLTTDNSGEIQLDTKASSSSLYMPEPEDAVAHGDSNLAVNDFLTRTSL >Potri.018G148132.1.v4.1 pep chromosome:Pop_tri_v4:18:15934507:15936998:1 gene:Potri.018G148132.v4.1 transcript:Potri.018G148132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148132.v4.1 MVRKFEGHTDRITDLCFSEDGKWLLSSSMDGTLRIWDVILARQIDAVHVEVSVTALSLSPNMDVLATTHVDQNGVYLWVNQSMFSGASRIDAYASGKEVVNVKLPSLIAVEGSNAENEDTDKSVVKHSVSKEASTFPAFSQQIPVLVTLSLLPKSQWQSLINLDIIKVRNKPIEPPKKPEKTPFFLPSIPSLSGEILFKPSEPVDGDDSKPDETESDRRKLDIPPTQFLELLQSSSEMKNFSAFTEYIKGLSPSNLDMELRMFQIIDDYQEELETRPEFISIELLLDYFIHEISSRNNFEFVQAVIRLFLKIHGETIRCNLKLQDKARKLLETQCSVWQGVDKLFQSARCMVTFLSNSQF >Potri.004G186500.4.v4.1 pep chromosome:Pop_tri_v4:4:19993096:19998817:-1 gene:Potri.004G186500.v4.1 transcript:Potri.004G186500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186500.v4.1 MATSKTVLQVLSGGLPCPHRFDLSFGGLNSVLSICSDVKRRKNIGLVYKKLNNGMRLLGKCRSRGVGAVTSRGKVKFIDRWESMRCKCQKAESFGGATANEWSPVSLPVNGVYGATNIFEKGSFALKGNEETQSIEEEAWDLLRASVVCYCGNPIGTIAANDPNSTSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCYSPGQGLMPASFKVRTVPLDSEDSATEEVLDADFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGMKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIEALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLKKLNEIYRYTTEEYSYDAVNKFNIYPDQIPPWLVEFMPNKGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLATLDQSHAILDLIEAKWAELVAEMPIKICYPALEGQEWRIVTGSDPKNTAWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVQLVERRISRDKWPEYYDTKRARFIGKQAHLFQTWSISGYLVAKLFLANPSAAKIFVNEEDPELVNALISANPRRKRARKIFKQPFIV >Potri.004G186500.5.v4.1 pep chromosome:Pop_tri_v4:4:19992987:19998963:-1 gene:Potri.004G186500.v4.1 transcript:Potri.004G186500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186500.v4.1 MATSKTVLQVLSGGLPCPHRFDLSFGGLNSVLSICSDVKRRKNIGLVYKKLNNGMRLLGKCRSRGVGAVTSRGKVKFIDRWESMRCKCQKAESFGGATANEWSPVSLPVNGVYGATNIFEKGSFALKGNEETQSIEEEAWDLLRASVVCYCGNPIGTIAANDPNSTSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCYSPGQGLMPASFKVRTVPLDSEDSATEEVLDADFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGMKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIEALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLKKLNEIYRYTTEEYSYDAVNKFNIYPDQIPPWLVEFMPNKGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLATLDQSHAILDLIEAKWAELVAEMPIKICYPALEGQEWRIVTGSDPKNTAWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVQLVERRISRDKWPEYYDTKRARFIGKQAHLFQTWSISGYLVAKLFLANPSAAKIFVNEEDPELVNALISANPRRKRARKIFKQPFIV >Potri.004G186500.6.v4.1 pep chromosome:Pop_tri_v4:4:19992987:19998771:-1 gene:Potri.004G186500.v4.1 transcript:Potri.004G186500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G186500.v4.1 MATSKTVLQVLSGGLPCPHRFDLSFGGLNSVLSICSDVKRRKNIGLVYKKLNNGMRLLGKCRSRGVGAVTSRGKVKFIDRWESMRCKCQKAESFGGATANEWSPVSLPVNGVYGATNIFEKGSFALKGNEETQSIEEEAWDLLRASVVCYCGNPIGTIAANDPNSTSILNYDQVFIRDFIPSGIAFLLKGEYDIVRNFILYTLQLQSWEKTMDCYSPGQGLMPASFKVRTVPLDSEDSATEEVLDADFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSVQERVDVQTGMKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIEALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLKKLNEIYRYTTEEYSYDAVNKFNIYPDQIPPWLVEFMPNKGGYLIGNLQPAHMDFRFFTLGNLWSIVSSLATLDQSHAILDLIEAKWAELVAEMPIKICYPALEGQEWRIVTGSDPKNTAWSYHNGGSWPTLLWQLTVACIKMNRPEIAERAVQLVERRISRDKWPEYYDTKRARFIGKQAHLFQTWSISGYLVAKLFLANPSAAKIFVNEEDPELVNALISANPRRKRARKIFKQPFIV >Potri.005G193600.1.v4.1 pep chromosome:Pop_tri_v4:5:20105633:20107235:1 gene:Potri.005G193600.v4.1 transcript:Potri.005G193600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G193600.v4.1 MAEEKQHHGLFHHHKSEEKPDEAGVYSETAEYSETATCYDAPPPAVPDVDYRKEEKHHKHLEHVGELGTAAAGAFSMHEKHESKKDPEHAHRHKIEEEIAAAAAVGSGGFVFHEHHDKKETKKEEEEANGKKHHRF >Potri.016G031300.1.v4.1 pep chromosome:Pop_tri_v4:16:1736945:1738134:-1 gene:Potri.016G031300.v4.1 transcript:Potri.016G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031300.v4.1 MDGNCTNPRKFSYQTLACATNHFSEKDKLGEGATGSVFKGFLRELSCSVAVKRILFGSKYGMESYLPEVKVLTKLKHENLVNLVGWSCNMKELLIVYEFVANKTLEYHLFKETVFLTWGHRKTIAWGLASALLYLHEEREQSVLHCDIKLDNILLDSNFSPKLCDFGLARPVEHEQESGYPTVLTGAPGYLAPECKKSGKASKESDIYSFGVVVLQMACGKPAVEQFQENGTKFELKLVDWVLEKIEGGENVSAVADPRLGLSYDEKEMEQLIVVGLSCANPNPYDRPSIWEVINMLKC >Potri.003G093300.2.v4.1 pep chromosome:Pop_tri_v4:3:11964190:11971925:-1 gene:Potri.003G093300.v4.1 transcript:Potri.003G093300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093300.v4.1 MDGSLNPNKHLKEQFVSNLTGSSMLEIAVMSTVVPLLFVLRHSICSSYQTVTPTKKNDHDNAVLGSKELGNYMATLTVDFLFIVLPMLLIFTVLADWVYIFAIPMMVLVFSVVAAKRFDASNYSGGSLSLRTNVSSYRVLVMIITFVCILAVDFKIFPRRYAKTETYGTSLMDLGVGSFILANALVSRQARSVSSVNWKAAVQSTSPLLLLGFARLLTTRSVDYQVHAGEYGVHWNFFFTLAAVSILTSIINIPPQYSGIFGVAILIGFQYWLSHGLNVYLLSDERGTDILSKNKEGIFSILGYWGLYLVGVQLGYYLFFGNRPATALRTIKWARVRVSFISLVFWLVTVLLDRHVERVSRRMCNLAYVTLVLAENLQALAILMLSDFTAGSKISALEEALNRNLLGSFLLANLLTGLVNLYVDTLFTPSLSALVILLAYAYCLSIITGIADFYGVKLKFW >Potri.012G069100.1.v4.1 pep chromosome:Pop_tri_v4:12:9021749:9026251:1 gene:Potri.012G069100.v4.1 transcript:Potri.012G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G069100.v4.1 MVADNKGKKLKVAEKGEEDNNQIDEELILTIEKLQEIQDDLEKINEEASDKVLEVEQKYNEIRKPVYDKRNEIIKSIPDFWLTAFLSHPALGILLSEEDQKIFKFLSSLEVEDSKDVKSGYSITFNFEPNPYFEETKLIKSFAFHDEGTTEITATPISWKEGMGLPNGVSHENKGNKRLLADESFFSWFSNTQPKGMIDDMQDEVAEIIKEDLWPNPLSYFNIDPDEEDFDGDEADEGDKDGDDSEEEDDGQEEDDDDEEEDDDTGK >Potri.004G023550.1.v4.1 pep chromosome:Pop_tri_v4:4:1661523:1665339:1 gene:Potri.004G023550.v4.1 transcript:Potri.004G023550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023550.v4.1 MNSPIKLSNTILLYLLTLCIITKAQNLNNPNYLHHFCDDTTTSTTTYKDNLNTLLSSLASNATSNSIGFFNASAGLEPDDVYGLFFCRGDLSTDVCQNCVANATKDIVQRRCPTQKVAFIWYDECFLRYSNKIMFSTMERDPNYTLWNPENVAVHADFNRELLNTIFTAVNRAANMPAGAKKFRATKANYTTEHYLYVLVQCTPDLSSGDCEQCLLAAYSGLEICCNEKRGGRILFPSCIVNFETYINYNETYVESAALAPSPVPQGDKGSSKKTWIIIGATLSTIVGVLLLSSFAYTMWRRKKREEIRNSQVIQLLDMEGRTIEDDCSNEIMYGEVKSQDSFLIQLDIVLKATNQYSNENKLGQGGFGPVYKGVMEDGKEIAVKRLSRTSGQGLREFMNEVNLIARLQHRNLVKLLGCCLEKNEKLLVYEYMPNKSLDVFLFDSAMRVQLDWQRRLSIINGIARGLLYLHEDSRLRIIHRDLKASNILLDYEMNPKISDFGMARIFGGNHSEANTNRIVGTYGYMAPEYAMEGLSSVKSDVFSFGVLMLEIISGKRNGGFHLSEEGKSLLNFTWKLWSEGKGLELMDSLLEKSSVATEVLKCIHIGLLCVQEDPVDRPTMSSVVVMLAGDNFKIPIPTKPAFSVGRIVAEETTSSNQRVSSVNKVTLSNVLPR >Potri.004G023550.5.v4.1 pep chromosome:Pop_tri_v4:4:1661582:1665352:1 gene:Potri.004G023550.v4.1 transcript:Potri.004G023550.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023550.v4.1 MNSPIKLSNTILLYLLTLCIITKAQNLNNPNYLHHFCDDTTTSTTTYKDNLNTLLSSLASNATSNSIGFFNASAGLEPDDVYGLFFCRGDLSTDVCQNCVANATKDIVQRRCPTQKVAFIWYDECFLRYSNKIMFSTMERDPNYTLWNPENVAVHADFNRELLNTIFTAVNRAANMPAGAKKFRATKANYTTEHYLYVLVQCTPDLSSGDCEQCLLAAYSGLEICCNEKRGGRILFPSCIVNFETYINYNETYVESAALAPSPVPQGDKGSSKKTWIIIGATLSTIVGVLLLSSFAYTMWRRKKREEIRNSQVIQLLDMEGRTIEDDCSNEIMYGEVKSQDSFLIQLDIVLKATNQYSNENKLGQGGFGPVYKGVMEDGKEIAVKRLSRTSGQGLREFMNEVNLIARLQHRNLVKLLGCCLEKNEKLLVYEYMPNKSLDVFLFGLTLKLQRTCCCIFFFFS >Potri.004G023550.4.v4.1 pep chromosome:Pop_tri_v4:4:1661582:1664162:1 gene:Potri.004G023550.v4.1 transcript:Potri.004G023550.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023550.v4.1 MNSPIKLSNTILLYLLTLCIITKAQNLNNPNYLHHFCDDTTTSTTTYKDNLNTLLSSLASNATSNSIGFFNASAGLEPDDVYGLFFCRGDLSTDVCQNCVANATKDIVQRRCPTQKVAFIWYDECFLRYSNKIMFSTMERDPNYTLWNPENVAVHADFNRELLNTIFTAVNRAANMPAGAKKFRATKANYTTEHYLYVLVQCTPDLSSGDCEQCLLAAYSGLEICCNEKRGGRILFPSCIVNFETYINYNETYVESAALAPSPVPQGDKGSSKKTWIIIGATLSTIVGVLLLSSFAYTMWRRKKREEIRNSQVIQLLDMEGRTIEDDCSNEIMYGEVKSQDSFLIQLDIVLKATNQYSNENKLGQGGFGPVYKGVMEDGKEIAVKRLSRTSGQGLREFMNEVNLIARLQHRNLVKLLGCCLEKNEKLLVYEYMPNKNSAMRVQLDWQRRLSIINGIARGLLYLHEDSRLRIIHRDLKASNILLDYEMNPKISDFGMARIFGGNHSEANTNRIVGT >Potri.004G023550.3.v4.1 pep chromosome:Pop_tri_v4:4:1661599:1664130:1 gene:Potri.004G023550.v4.1 transcript:Potri.004G023550.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023550.v4.1 MNSPIKLSNTILLYLLTLCIITKAQNLNNPNYLHHFCDDTTTSTTTYKDNLNTLLSSLASNATSNSIGFFNASAGLEPDDVYGLFFCRGDLSTDVCQNCVANATKDIVQRRCPTQKVAFIWYDECFLRYSNKIMFSTMERDPNYTLWNPENVAVHADFNRELLNTIFTAVNRAANMPAGAKKFRATKANYTTEHYLYVLVQCTPDLSSGDCEQCLLAAYSGLEICCNEKRGGRILFPSCIVNFETYINYNETYVESAALAPSPVPQGDKGSSKKTWIIIGATLSTIVGVLLLSSFAYTMWRRKKREEIRNSQVIQLLDMEGRTIEDDCSNEIMYGEVKSQDSFLIQLDIVLKATNQYSNENKLGQGGFGPVYKGVMEDGKEIAVKRLSRTSGQGLREFMNEVNLIARLQHRNLVKLLGCCLEKNEKLLVYEYMPNKSLDVFLFDSAMRVQLDWQRRLSIINGIARGLLYLHEDSRLRIIHRDLKASNILLDYEMNPKISDFGMARIFGGNHSEANTNRIVGT >Potri.004G023550.2.v4.1 pep chromosome:Pop_tri_v4:4:1661582:1664162:1 gene:Potri.004G023550.v4.1 transcript:Potri.004G023550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023550.v4.1 MNSPIKLSNTILLYLLTLCIITKAQNLNNPNYLHHFCDDTTTSTTTYKDNLNTLLSSLASNATSNSIGFFNASAGLEPDDVYGLFFCRGDLSTDVCQNCVANATKDIVQRRCPTQKVAFIWYDECFLRYSNKIMFSTMERDPNYTLWNPENVAVHADFNRELLNTIFTAVNRAANMPAGAKKFRATKANYTTEHYLYVLVQCTPDLSSGDCEQCLLAAYSGLEICCNEKRGGRILFPSCIVNFETYINYNETYVESAALAPSPVPQGDKGSSKKTWIIIGATLSTIVGVLLLSSFAYTMWRRKKREEIRNSQVIQLLDMEGRTIEDDCSNEIMYGEVKSQDSFLIQLDIVLKATNQYSNENKLGQGGFGPVYKGVMEDGKEIAVKRLSRTSGQGLREFMNEVNLIARLQHRNLVKLLGCCLEKNEKLLVYEYMPNKSLDVFLFDSAMRVQLDWQRRLSIINGIARGLLYLHEDSRLRIIHRDLKASNILLDYEMNPKISDFGMARIFGGNHSEANTNRIVGT >Potri.005G227500.2.v4.1 pep chromosome:Pop_tri_v4:5:22782771:22785828:1 gene:Potri.005G227500.v4.1 transcript:Potri.005G227500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227500.v4.1 MSNNKMKGLLKGLRYISQIFDNDEEEPEMQIGFPTDVKHVAHIGWDGPSVNSPSWMNEFQSQPEYSSAPSSLNRDAKEEGSAKWVSEGSNRKGSQAPNSPAGAPSSPAGSHSSPTQDLPELPKSSRRRSSSGTSAESPSREKSDKPKQSRRSSRNGTKELDGTRTSRNHKDPSGESESPSNLHDIPKKSRRKKSKDASVEGSTSRSRAKAPAQEGGGESEMITKSSNSNEQRQTRGSSPSRDVEESGLSGIS >Potri.005G227500.1.v4.1 pep chromosome:Pop_tri_v4:5:22782771:22785828:1 gene:Potri.005G227500.v4.1 transcript:Potri.005G227500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227500.v4.1 MSNNKMKGLLKGLRYISQIFDNDEEEPEMQIGFPTDVKHVAHIGWDGPSVNSPSWMNEFQSQPEYSSAPSSLNRDAKEEGSAKWVSEAGSNRKGSQAPNSPAGAPSSPAGSHSSPTQDLPELPKSSRRRSSSGTSAESPSREKSDKPKQSRRSSRNGTKELDGTRTSRNHKDPSGESESPSNLHDIPKKSRRKKSKDASVEGSTSRSRAKAPAQEGGGESEMITKSSNSNEQRQTRGSSPSRDVEESGLSGIS >Potri.005G197900.1.v4.1 pep chromosome:Pop_tri_v4:5:20429663:20434079:1 gene:Potri.005G197900.v4.1 transcript:Potri.005G197900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197900.v4.1 MQTLLQPCKSLTIFSSSLPPHSNNLVITQSSIVHQNKCPKSPCFHSFCTSGTSAKNPPLWRSAHISLCSQNDAFDDFSSTQLPEKAQDDRIQENEELELLNKPSPVVFNNGLDVEAVKESEKPGKDEALAPFLKFFKSNDSLDGVSEDDGDSGVVEERIDMDNEDKEARKINVDYYNPKPGDFVVGVVVSGNENKLDVNIGADLLGTMLTKEVLPLYDKEFEFLLCDTKKDAKEFMVKGKMGIVKDDVAMSRGPPGLGKPVVETGTVLFSEVLGRTLSGRPLLSTRRLFRRIAWQRVRQIKDLNEPIEVKISEWNTGGLLTRIEGLRAFLPKAELLNRVNNFKELKENVGRQIYALINRINESNNELILSEREAWEMINLREGTLLEGTVKKLFPYGAQVRIGETNRSGLLHVSNITRTRVSSVSDLLKVDEKVKVLVVKSMFPDKISLSIADLESEPGLFVSNKEKVFAEAEEMAKKYQQNLQASSTNLKSEIPSSKNTLSSDIEAALYANWKWFKFERE >Potri.012G100100.1.v4.1 pep chromosome:Pop_tri_v4:12:12316413:12316622:1 gene:Potri.012G100100.v4.1 transcript:Potri.012G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100100.v4.1 MADWGPVIVAVVLFVLLVPGLLFQIPGRNRVVEFGNMQTSGASIVVHAIIYFGLITIFLIAIGVHIYAG >Potri.010G103800.2.v4.1 pep chromosome:Pop_tri_v4:10:12601246:12605538:-1 gene:Potri.010G103800.v4.1 transcript:Potri.010G103800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103800.v4.1 MKLKQSSSSSSSRVNKKIEREPPCIVKKQRSKRHLQVRSQISPILLSTNLSLNKNKGVSVDSISCSHFGDEVSSDSSRVTVESSLIRKRKFEDSPFRRITRSYYKNKEKERTSFEVEVGESSCVEDSKNSSSKGIQVNYTSTLSSLHQNLNSRKISSEIKEDDAVSVASGVESCLSRKTTTNRETDLSEISRNNAVSVNECVVEKQKKPNSLGGGGGESDDLACTEELYVDDGVSDYSSCQETLFSELQSEIFREKYSSDDLDFSDDYTPSIFFESGSDFSEKSVSDSNPSQTYSLLLQYRQQFSRSSLPLETTKSSSLLEAEYQENFAFARLDDEEDEESYKRLRERERRQLFLHDYPELYRNNTEFGDLILQQRLQMVHWIIEQATAKEFDLATVFLGISLLDRFLAIGFFKNKSHLQIVGIACLSLATRIEENQPYNCVRQKNFNIGNNVYSRSEVVAMEWLVQEVLNFRCFLPTIHNFMWFYLKAMKAGAEVEKRARYLAVLALSDLEQLRHWPSTVAATLVILASLESNEIASYGRVIEVHVRTNENDLHQCIKSLEWLLQYMS >Potri.009G043200.3.v4.1 pep chromosome:Pop_tri_v4:9:5011889:5016385:1 gene:Potri.009G043200.v4.1 transcript:Potri.009G043200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043200.v4.1 MASVQATAILISSAVTKNGNTTACLPLGFVISRAACKKEFCAHSMASGARATLTFDPPTRTNQKKHTVDPSSPDFLPLPSFEQCFPKSTKEYREVKHEESGHVLKVPFRRVHLSGDEPGFDNYDTSGPQNISPRVGLPKLRKEWVDRREKLGTPRYTQMYYAKQGIITEEMLYCAAREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVIAYKISAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGNAEEAVQHGMDAMSAEFLAARKTISGEQHGEVGGEIYLPASYISSSER >Potri.009G043200.1.v4.1 pep chromosome:Pop_tri_v4:9:5011900:5016500:1 gene:Potri.009G043200.v4.1 transcript:Potri.009G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043200.v4.1 MASVQATAILISSAVTKNGNTTACLPLGFVISRAACKKEFCAHSMASGARATLTFDPPTRTNQKKHTVDPSSPDFLPLPSFEQCFPKSTKEYREVKHEESGHVLKVPFRRVHLSGDEPGFDNYDTSGPQNISPRVGLPKLRKEWVDRREKLGTPRYTQMYYAKQGIITEEMLYCAAREKLDPEFVRSEVARGRAIIPSNKKHLELEPMIVGRNFLVKVNANIGNSAVASSIEEEVYKVQWATMWGADTVMDLSTGRHIHETREWILRNSAVPVGTVPIYQALEKVNGIAENLSWEVFRETLIEQAEQGVDYFTIHAGVLLRYIPLTAKRMTGIVSRGGSIHAKWCLTYHKENFAYEHWDDILDICNQYDVALSIGDGLRPGSIYDANDTAQFAELLTQGELTRRAWEKDVQVMNEGPGHIPMHKIPENMQKQLEWCNEAPFYTLGPLTTDIAPGYDHITSAIGAANIGALGTALLCYVTPKEHLGLPNRDDVKTGVIAYKISAHAADLAKGHPHAQAWDDALSKARFEFRWMDQFALSLDPMTAMSFHDETLPSEGAKVAHFCSMCGPKFCSMKITEDVRKYAEEHGYGNAEEAVQHGMDAMSAEFLAARKTISGEQHGEVGGEIYLPASYISSSER >Potri.016G019400.1.v4.1 pep chromosome:Pop_tri_v4:16:1036787:1040119:1 gene:Potri.016G019400.v4.1 transcript:Potri.016G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019400.v4.1 MGNMKRIQTPKIILVPYPAQGHVTPLLKLASAFLDHGFEPVMVTPEFIHRRIISNIDPKSHISCISIPDGLEMDMPRDFFANEKAMEINMPSHLEGLVRKFNEDGEVVACMIVDLLASWAIEVGHRCGVPVAGFWPAMLATYQLIAAIPDMVRTGLISETGSPQHLGPLCFLPNQPLLSTEDLPWLIGTPASRKARFEFWTRTLDRSRKLSWLLVNSFPEECIDHDKPHNGALLENSMDQPLICQVGALSKHPLVKNPSFWEEDMSCLQWLDKQKPSSVLYISFGSWVSPIGEGKVKKLALTLEALGQPFIWVLGPTWQGGLPFGYIERVSKQGKVVSWAPQLKVLQHKAVMCYLTHCGWNSTMEAIQCQKCLICYPVAGDQFVNCAYITEKWKIGVKINGFGEKEMEQGLRRVVEDHTMNDKLTRLHEITMGEEASVVMMSNLTTFVNDFKEQTNGYPIELSM >Potri.008G178700.2.v4.1 pep chromosome:Pop_tri_v4:8:12354109:12359743:1 gene:Potri.008G178700.v4.1 transcript:Potri.008G178700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G178700.v4.1 MAAAAASLAGSNVVAFKSAAHLGTSADTRIAHFRPLSPVSRGLGRRISFQSGSRSSLSSSGVRAQVATVETASAEAAQKVESPVVVVTGASRGIGKAIALSLGKAGCKVLVNYARSSKEAEEVSKEIEAYGGQALTFGGDVSKEADVESMMKTAVDAWGTVDILINNAGITRDTLLMRMKKSQWQEVIDLNLTGVFLCTQAAAKIMMKKRKGRIINIASVVGLVGNVGQANYSAAKAGVIGLTKTVAKEYASRNINVNAVAPGFIASDMTSKLGDDIEKKILETIPLGRYGQPEEVAGLVEFLALNPASSYITGQVLTIDGGMVM >Potri.001G168700.1.v4.1 pep chromosome:Pop_tri_v4:1:14407671:14410206:1 gene:Potri.001G168700.v4.1 transcript:Potri.001G168700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168700.v4.1 MSSRSHEQALAALLSQLALSFDGAILGAALAYAAVRAILNYTANSKSLAKISKAPTLSVSDLRSLLQNHDQDHQDHEHNLVIVKGIVEAKSAVEWTWKESFRPPKVLLSHNSAYKAVILQKTQTCIYNEWKGFLGWTSELRAIFGRSLKEQETTLLRTVPFILVEGAQWPRSDYVIVNMVGSAHPLPLTTVYHQLQRIAASRYTFIQALFGHEYPVGVLHEEKILPLGKCISAVGICNSKKGIPEIKSCKDLPYFLADITKDQMVADLAFKAKIQLWSGIVLGSLSIGVLGFAVMRNWNKWQAWRQRHSHLPNHTTIDADVSRIDEDEAGDVPDGQLCVICLTRRRRSAFIPCGHLACCHFCAISVESEVSPKCPLCRQAIRNSIRVFEC >Potri.004G110825.1.v4.1 pep chromosome:Pop_tri_v4:4:10074065:10074430:-1 gene:Potri.004G110825.v4.1 transcript:Potri.004G110825.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110825.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEDCHKKVTKRCAIEISNSIYTDKTASEYCCQKHVTTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.008G185400.1.v4.1 pep chromosome:Pop_tri_v4:8:12872124:12878840:1 gene:Potri.008G185400.v4.1 transcript:Potri.008G185400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185400.v4.1 MSKQRSKIGAWAADAEQAEEEERAAAAAAVSQSSPNLKEVAGTAKTKKKKMSLNEFHSTPIGGGVESRGLTPNVMFGLPTGPKERSDEEMQYGRLGGGFSNYGRTGPQPGHMRDREDSDGSWGGGGGRRQFGGGFDDERRGPSSSRVSDYDQPSRADEVDNWAMDKKPLPSYDSGRQSRYGSLGGGGGGGGGFGGGSRADEVDNWAVGKKPLPTPSSTFGSGFRDSGPDPDRQSRGGYREPERERPRLVFDSPRGEVGVNEPVKLDRPNPFGTARPREDVLAEKGLDWKKREMEIEAKKTMSLQSSRPTSAHSSRPSSGQSSRSEGPGLQQQGFENVAVKPRPKVNPFGEAKPRELLLQERGQDWRKIDRELEHRGVDRPETEEEKLLKEEIEHLKKGLEKELTTKGNQEPPRGSADDIPSLREMISEKERELEMLVRDLDDKVRFGSKANERPGSGAGRASGFSERPPSRSGLFDESRNMELMDRPRSRGKPDIWTRPVDDRRAFQGGRERGFLGSGDFDRPRTEDRW >Potri.008G185400.3.v4.1 pep chromosome:Pop_tri_v4:8:12872533:12879359:1 gene:Potri.008G185400.v4.1 transcript:Potri.008G185400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185400.v4.1 MSKQRSKIGAWAADAEQAEEEERAAAAAAVSQSSPNLKEVAGTAKTKKKKMSLNEFHSTPIGGGVESRGLTPNVMFGLPTGPKERSDEEMQYGRLGGGFSNYGRTGPQPGHMRDREDSDGSWGGGGGRRQFGGGFDDERRGPSSSRVSDYDQPSRADEVDNWAMDKKPLPSYDSGRQSRYGSLGGGGGGGGGFGGGSRADEVDNWAVGKKPLPTPSSTFGSGFRDSGPDPDRQSRGGYREPERERPRLVFDSPRGEVGVNEPVKLDRPNPFGTARPREDVLAEKGLDWKKREMEIEAKKTMSLQSSRPTSAHSSRPSSGQSSRSEGPGLQQQGFENVAVKPRPKVNPFGEAKPRELLLQERGQDWRKIDRELEHRGVDRPETEEEKLLKEEIEHLKKGLEKELTTKGNQEPPRGSADDIPSLREMISEKERELEMLVRDLDDKVRFGSKANERPGSGAGRASGFSERPPSRSGLFDESRNMELMDRPRSRGKPDIWTRPVDDRRAFQGGRERGFLGSGDFDR >Potri.014G122000.1.v4.1 pep chromosome:Pop_tri_v4:14:8205942:8206725:1 gene:Potri.014G122000.v4.1 transcript:Potri.014G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122000.v4.1 MEGMSVENMHKIVVAVDESEESMHALSWCLSNLISHNSTATLVLLYVKPPPAMYSSFDVAVQMFSTDVITAVEKYGTDLVNSVMQRAETVYRNFNKIVNVERVIGSGEAKDVICNTVEKLKPDTLVMGSHGYGFLRKALLGSVSEHCAKRVKCPVVIVKHPHDK >Potri.018G083400.2.v4.1 pep chromosome:Pop_tri_v4:18:10091600:10094673:-1 gene:Potri.018G083400.v4.1 transcript:Potri.018G083400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083400.v4.1 MSASKFIKCVTVGDGAVGKTCMLICYTSNKFPTDYIPTVFDNFSANVAVDGSIVNLGLWDTAGQEDYSRLRPLSYRGADIFVLAFSLISRASYENVLKKWMPELRRFAPNVPIVLVGTKLDLREDRGYLVDHMNSNVITSAQGEELRKQIGAAAYIECSSKTQQNVKAVFDTAIKVVIQPPRRKEMARKKRSRSAGCTIASIVCGGCVA >Potri.001G286600.9.v4.1 pep chromosome:Pop_tri_v4:1:29924818:29935516:1 gene:Potri.001G286600.v4.1 transcript:Potri.001G286600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286600.v4.1 MASSSTALSPPKPSWITPERLLAIFCVINLINYVDRGAIASNGVNGSRRSCSKSGTCTSGRGIQGDFNLSNFEDGVLSSAFMVGLLVACPIFASLAKSVNPFRLIGVGLSVWTVAVVGCGFSINFWSITVCRMLVGVGEASFISLAAPFIDDNAPPAKKTLWLGMFYMFIPAGYAAGYVYGGLVGDHFSWRYAFFGEAVLMLPFAVLGFVMKPLQLKGFAPAESTEALTSIETTSLEVQADTDVSVVKGSMKSSKSKCAFVDLKQFSRFMKDLKVLLLDKVYVVNVIGYIVYNFVLGAYSYWGPKAGYSIYNMSNADLIFGGITIVCGIVGTLAGGYVLDLINSTISNAFKLLSAVTFAGAIFCFSAFCFKSMYPFLALFAIGELFVFATQAPVNFICLHCVKPSLRPISMAISTVAIHLFGDVPSSPLVGVLQDQTNNWRKTALILTFILFPAAVIWFIGIFLHSVDRFDEASEHPEVTTTDGSDTTPLLDRKAAGTTETEP >Potri.001G286600.5.v4.1 pep chromosome:Pop_tri_v4:1:29924813:29935580:1 gene:Potri.001G286600.v4.1 transcript:Potri.001G286600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G286600.v4.1 MASSSTALSPPKPSWITPERLLAIFCVINLINYVDRGAIASNGVNGSRRSCSKSGTCTSGRGIQGDFNLSNFEDGVLSSAFMVGLLVACPIFASLAKSVNPFRLIGVGLSVWTVAVVGCGFSINFWSITVCRMLVGVGEASFISLAAPFIDDNAPPAKKTLWLGMFYMFIPAGYAAGYVYGGLVGDHFSWRYAFFGEAVLMLPFAVLGFVMKPLQLKGFAPAESTEALTSIETTSLEVQDTDVSVVKGSMKSSKSKCAFVDLKQFSRFMKDLKVLLLDKVYVVNVIGYIVYNFVLGAYSYWGPKAGYSIYNMSNADLIFGGITIVCGIVGTLAGGYVLDLINSTISNAFKLLSAVTFAGAIFCFSAFCFKSMYPFLALFAIGELFVFATQAPVNFICLHCVKPSLRPISMAISTVAIHLFGDVPSSPLVGVLQDQTNNWRKTALILTFILFPAAVIWFIGIFLHSVDRFDEASEHPEVTTTDGSDTTPLLDRKAAGTTETEP >Potri.003G046200.1.v4.1 pep chromosome:Pop_tri_v4:3:5407922:5410904:1 gene:Potri.003G046200.v4.1 transcript:Potri.003G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G046200.v4.1 MDGSSLGKWLCLIVYILTLLKTEGASIPMTIVQAAVARGAVCLDGSPPGYHFEKGSGSGINNWLVHMEGGGWCESVESCVSRRDTYKGSSLKMEKTMGFSGILGSKQAANPDFYNWNRIKIRYCDGSSFTGDVEAVDPKTKLYFRGERIWQAVIDDLLAKGMRNARNAILSGCSAGGLAAILHCDKFQSLLPASARVKCVSDAGYFIHGTDISGGSRIESFFGQVVKTHGSAKHLPASCTSKTRPELCFFPQYVAQAMRTPLFIINSAYDSWQIKNILAPTAVDSKKEWKNCKLDLKKCSATQLQTVQNYRTQFLKAVNIGLGSSSSRGLWINSCYAHCQSGSVSTWLADKSPVVGNVKIGKAVGDWFYDRSAFEKSIALTLATLLASVLIPNHESRHLVYIYCH >Potri.013G098800.1.v4.1 pep chromosome:Pop_tri_v4:13:10352215:10355799:-1 gene:Potri.013G098800.v4.1 transcript:Potri.013G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098800.v4.1 MPRPTTGGRYLFLRSFSSSIHPQLPNSTPEATDLFNKVRVLSTRGNIKEALSFFYSTPQLNQSQQTYATLFHACARHGNLKQGQYLHQHMISENHKNPQDLFVTNHLINMYAKCGDLDRARQVFDEMGRRNVVSWTALISGYAQHGRSYECFSLFSDMLVDCYPNEFAFASVIISCDYVCGKQVHALALKMGLIASVYVGNALITRYSKSCEDNFVGYGSEACRVFESMEFRNLVSWNSMIAAFQHWKLGDLAIGIFCQMHSGGVEFDGATLVSVLSSLSESYDGDNADVGLKGCFQLHCVSIKRGFMLKIELATALVKAYSDLGGKVSDCYRLFMETSSRDLFLWTGIITAFADREPEEALLLFRQLYREGLAPDWCTFSIVLKACAGFVTERHALAVYSQVVKAGFEDDRVLANTLIHAYARCGSISFSKQVFDKMRSRDVVSWNSMIKAYALHGQAKEALHLFSEMNVRPDSATMVALLSACSHAGLVEEGINIFDSMSMNHGVSPQLDHYACMVDILGRAGLLLEAGELISRMPMKPDSVVWSALLSSCRKYGETQLAKLAADKLKELEPGNSLGYVQISNIYCSGGSYNEAGLIRDEMNGSRVRKEPGLSWIEIENRVHEFASGGRRHPQREAIYAKLYSLIGQLKGVGYVPETSLALQDIEEEHKQEQLYHHSEKLALVFALMSEGSLCCGGGVIRIVKNIRICVDCHNFMKLASDLLQKEIVVRDSNRFHHFKNRMCSCNDYW >Potri.001G410100.1.v4.1 pep chromosome:Pop_tri_v4:1:44311967:44315504:1 gene:Potri.001G410100.v4.1 transcript:Potri.001G410100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G410100.v4.1 MGGGGAMRAAAKVAGIGVVNSGIRGGISCVPPSVEQSVRNASRPVSAIISSTPSGGEVAATVQRPSWELDEWEFAGGLEEETVVHSAEPVARVVFGGAPPSLQEAEAATFELNDAFQKVYLSSPNSGTGSSVGGSQLSGLPLLRKSESLETKDCIPCDPTGAPVPKYAMQAFSLLNESPKIQTVVAAVASDPNVWNAVWENEALQDFLQSQNTYTQSSEAKEFVRDTDFQDAVSSKNLAELSDDESEAGSSQTELVDIINNVKLTVVDLVTNLSAYFQKIFSFSSAEHTPAANESAGAATIEKTIGASLMGLAVIVIMVVVLRRP >Potri.011G128900.1.v4.1 pep chromosome:Pop_tri_v4:11:16196537:16200787:-1 gene:Potri.011G128900.v4.1 transcript:Potri.011G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G128900.v4.1 MDSFPSLLFCFSLLLIIAPSTAVDSINTTQPFKDGDFLVSAGGSFKLGFFSFGASSNRYLCIWYNQISTTTVAWVANRETPLNDSSGVLTISSQGILVLLDQTGRKLWSSNSSRPATNPVAQLLDSGNLVVREEGDSNLENSLWQSFDYPGDTFLPEMKLGRNTVTSLDRYISSWKSSDDPSRGNWTYRLDPAAYSELIVIEDSTERFRSGPWNGMRFSGTPQLKLNTIYTYRFVYDNDEEYYTYQLVNSSFLSRMVISQNGAVQRFTWIDRTQSWDLYLTVQTDNCDRYALCGAYATCSINNSPVCNCLDGFTPKISKDWDTMDWSSGCDRKTKLNCSGDGFRKFTGIKLPETRKSWFNRSMSLDECRSTCLKNCSCTAYANLDISNNGGSGCLLWFSDLIDMRQFNENGQEIYIRMARSELGNDGGVEAKSKDKKQTLIIILVPCVAMVFLSLALFLLLRRKKRQKKRKMKDILETSQNNKGKEEDLELPLFDISTMSRATDDFSAANILGQGGFGTVYKGILKDGQEIAVKRLSKTSKQGLDELKNEIKHIVKLQHRNLVKLLGCCIEADEMMLIYEFMPNKSLDFIFDKTRNKVLDWPKRFHIINGIARGLLYLHQDSRLRIIHRDLKASNILLDDEMNPKISDFGLARSVGGSETEANTNKVVGTYGYISPEYAIDGLYSVKSDVFSFGVMVLEIVSGKRNKGFCHPDYKLDLLGYAWRLFTEGRSSELIAESIVESCNLYEALRSIQIGLLCVQRSPRDRPSMSSVVMMLGSESELPQPKEPGFFNTKDSGKASSSSIQSKISANEITMTQLEAR >Potri.003G133350.1.v4.1 pep chromosome:Pop_tri_v4:3:15142607:15143357:1 gene:Potri.003G133350.v4.1 transcript:Potri.003G133350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133350.v4.1 MLCIFSCFNCHPECNQLSFALIVMPRTPDVILCLVAVENPSNGNSKVLPSNMTLAAEFNNKNTKFGLFKFENTRASVLYEGMAVGVLVKQN >Potri.013G035350.1.v4.1 pep chromosome:Pop_tri_v4:13:2303182:2304038:1 gene:Potri.013G035350.v4.1 transcript:Potri.013G035350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035350.v4.1 MSCHRVPISTKANSIIQLRSRAVGTERTILSPFTKEYRVLAADSGIRLFLKGSLLFRRAPTTLVLNLGCAARDFRTLPGQAKTYFLVCLMYCSCAWQHR >Potri.010G223000.1.v4.1 pep chromosome:Pop_tri_v4:10:20732273:20738521:-1 gene:Potri.010G223000.v4.1 transcript:Potri.010G223000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223000.v4.1 MAAIVVNVIVAKNRLSGTNEYYSYQSGRDCCSAAAAPYNHHRNLLPFHGGKNLLAWRSGISSMHLPKYQTAFVKRPPIYYCNAASSTTAVPYLDKVDFMKLQNGSDIRGVAVPGVEGEPVTLTEPVTEAIAAAFSAWLSEKKKVDASKPLKVSVGHDSRISAQVLQDAVTRGVASAGLDVVQYGLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKNILERAVDIYNSFTDEGLMKSKRKASEFLKRVDYMTLYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAEKVLQPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLENKADLGIIFDTDVDRSAVVDSTAREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAVRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKVLNKLASARASGIAGGSKVLTDLVEGLQEPRVAVELRLKIDQNHPDLKGGSFREYGEAVLKLLENHVESDPKLQKAPVNYEGVRVSGLGGWFLLRLSLHDPVLPLNIEASSHEDAVKLGLAVASAVKMYPALDTSALDKFLQTS >Potri.010G223000.2.v4.1 pep chromosome:Pop_tri_v4:10:20732275:20738437:-1 gene:Potri.010G223000.v4.1 transcript:Potri.010G223000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223000.v4.1 MAAASSTTAVPYLDKVDFMKLQNGSDIRGVAVPGVEGEPVTLTEPVTEAIAAAFSAWLSEKKKVDASKPLKVSVGHDSRISAQVLQDAVTRGVASAGLDVVQYGLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKNILERAVDIYNSFTDEGLMKSKRKASEFLKRVDYMTLYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAEKVLQPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLENKADLGIIFDTDVDRSAVVDSTAREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAVRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKVLNKLASARASGIAGGSKVLTDLVEGLQEPRVAVELRLKIDQNHPDLKGGSFREYGEAVLKLLENHVESDPKLQKAPVNYEGVRVSGLGGWFLLRLSLHDPVLPLNIEASSHEDAVKLGLAVASAVKMYPALDTSALDKFLQTS >Potri.010G223000.6.v4.1 pep chromosome:Pop_tri_v4:10:20732300:20738222:-1 gene:Potri.010G223000.v4.1 transcript:Potri.010G223000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223000.v4.1 MHLPKYQTAFVKRPPIYYCNAASSTTAVPYLDKVDFMKLQNGSDIRGVAVPGVEGEPVTLTEPVTEAIAAAFSAWLSEKKKVDASKPLKVSVGHDSRISAQVLQDAVTRGVASAGLDVVQYGLASTPAMFNSTLTEDEAFLCPVDGAIMITASHLPYNRNGFKFFTNAGGLGKADIKNILERAVDIYNSFTDEGLMKSKRKASEFLKRVDYMTLYTSDLVKAVRKAAGNIEKPLEGFHIVVDAGNGAGGFFAEKVLQPLGAITSGSQFLEPDGMFPNHIPNPEDKTAMKAITQAVLENKADLGIIFDTDVDRSAVVDSTAREFNRNRLIALMSAIVLEEHPGTTIVTDSVTSDGLTTFIENKLGGKHHRFKRGYKNVIDEAVRLNSVGEESHLAIETSGHGALKENHWLDDGAYLMVKVLNKLASARASGIAGGSKVLTDLVEGLQEPRVAVELRLKIDQNHPDLKGGSFREYGEAVLKLLENHVESDPKLQKAPVNYEGVRVSGLGGWFLLRLSLHDPVLPLNIEASSHEDAVKLGLAVASAVKMYPALDTSALDKFLQTS >Potri.004G233900.4.v4.1 pep chromosome:Pop_tri_v4:4:23864058:23867106:-1 gene:Potri.004G233900.v4.1 transcript:Potri.004G233900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233900.v4.1 MAGSRLGQWPCLVVCILILLKAEGASIPITIVETAVSSGAVCLDGSPPGYHFDKGSGSGIDNWLVHMEGGGWCESVESCVSRRDTFRGSSSKMEKTMGFSGILGSKQAANPDFYNWNRIKVRYCDGSSFTGDVEAVDPETKLYFRGERVWQAVIDELLAKGMQNARNAILSGCSAGGLAAILHCDKFQSLLPATARVKCVSDAGYFIHGTDISGGSRIESFFGQVVKTHGSAKNLPASCTSKMNPELCFFPQYVAQTMRTPLFIINSAYDSWQIKNILAPTAVDSKKEWKDCKLDLKKCSASQLQTVQDYRTQFLKAVDTGLGSSSTRGLWIDSCYAHCQSGSVSTWLNDKSPEVGDTKMGKAVGDWFYDRSAMEKIDCPYSCNPTCVSVDSES >Potri.004G233900.3.v4.1 pep chromosome:Pop_tri_v4:4:23864058:23867468:-1 gene:Potri.004G233900.v4.1 transcript:Potri.004G233900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233900.v4.1 MAGSRLGQWPCLVVCILILLKAEGASIPITIVETAVSSGAVCLDGSPPGYHFDKGSGSGIDNWLVHMEGGGWCESVESCVSRRDTFRGSSSKMEKTMGFSGILGSKQAANPDFYNWNRIKVRYCDGSSFTGDVEAVDPETKLYFRGERVWQAVIDELLAKGMQNARNAILSGCSAGGLAAILHCDKFQSLLPATARVKCVSDAGYFIHGTDISGGSRIESFFGQVVKTHGSAKNLPASCTSKMNPELCFFPQYVAQTMRTPLFIINSAYDSWQIKNILAPTAVDSKKEWKDCKLDLKKCSASQLQTVQDYRTQFLKAVDTGLGSSSTRGLWIDSCYAHCQSGSVSTWLNDKSPEVGDTKMGKAVGDWFYDRSAMEKIDCPYSCNPTCVSVDSES >Potri.011G041100.1.v4.1 pep chromosome:Pop_tri_v4:11:3184640:3186673:-1 gene:Potri.011G041100.v4.1 transcript:Potri.011G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041100.v4.1 MSSLHPSELDSGAPDSVVSSPRPGYHVSHDPRVRFMCSFGGKILPRPHDNQLRYVGGDTRIVAVHRSTTFSTLITKLSKLSGIGNLSVKYQLPNEDLDALITVTTDEDVENMMDEYDRILHNQNPRSARLRLFLFSAIDESRSSSISSLLDGSTNRENWFFDALNSGSSRLERGRSEASSIVSEVPDYLFGLENSDEGNIPREPKLKSRFVMNDNVSASDPDSPAPVVSYPYCSASSVMPAVPSIPDLPPVKTEPDDLEPVTVRVKRNPVEGYCDPIETPPITQSPGYPSNPSNPVMHYIPDSLYQGSPVQQMPVYYVPGTISPRNVPVHPIQMRAPYAQQYPIPTEQMPIGYHQAVPGTGQVYSGVAMRPVATKDRYDATTRVVPDGMNQQVYYGVRNASSGIVQGHTGMVIQGGGDLKRTASDTYPRRMNSDKIYKTENI >Potri.010G091400.6.v4.1 pep chromosome:Pop_tri_v4:10:11622769:11628088:-1 gene:Potri.010G091400.v4.1 transcript:Potri.010G091400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091400.v4.1 MEKKQKRAEAEIQAERVENDKAENELELTNGDSHKKKRRRRNKERNERDKEAKEIPTVSIAVSGSIINNAQSLELATRLAGQIARAATIFRIDEVVVFDNKSSSEKEDPTVTTNNNSDENESGGAFFIRILRYLETPQYLRKALFPKHNNLRFVGMLPPLDAPHHLRKHEWAPFREGVTLNEKVSNSGETLVDVGLSKNVVVNQALEPGIRVTVAMGINRNLDDDSPRQVVSLSKPREEAGLYWGYRVRYASNISSVFKDCPYRGGYDLLIGTSEHGLIINSSELSLPTFRHLLIAFGGLAGLEESIEEDSNLKGKDVREVLDSYLNTCPHQGSRTIRTEVQFSYHFSISKNQSAEQCKEFNIEVTRSQPEIASITIAVSFLQFYKGHSCILLVVVVKKIRFK >Potri.010G091400.9.v4.1 pep chromosome:Pop_tri_v4:10:11622769:11628088:-1 gene:Potri.010G091400.v4.1 transcript:Potri.010G091400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091400.v4.1 MEKKQKRAEAEIQAERVENDKAENELELTNGDSHKKKRRRRNKERNERDKEAKEIPTVSIAVSGSIINNAQSLELATRLAGQIARAATIFRIDEVVVFDNKSSSEKEDPTVTTNNNSDENESGGAFFIRILRYLETPQYLRKALFPKHNNLRFVGMLPPLDAPHHLRKHEWAPFREGVTLNEKVSNSGETLVDVGLSKNVVVNQALEPGIRVTVAMGINRNLDDDSPRQVVSLSKPREEAGLYWGYRVRYASNISSVFKDCPYRGGYDLLIGTSEHGLIINSSELSLPTFRHLLIAFGGLAGLEESIEEDSNLKGKDVREVLDSYLNTCPHQGSRTIRTEYFQEPISRAMQRVQH >Potri.010G091400.8.v4.1 pep chromosome:Pop_tri_v4:10:11622769:11628089:-1 gene:Potri.010G091400.v4.1 transcript:Potri.010G091400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091400.v4.1 MEKKQKRAEAEIQAERVENDKAENELELTNGDSHKKKRRRRNKERNERDKEAKEIPTVSIAVSGSIINNAQSLELATRLAGQIARAATIFRIDEVVVFDNKSSSEKEDPTVTTNNNSDENESGGAFFIRILRYLETPQYLRKALFPKHNNLRFVGMLPPLDAPHHLRKHEWAPFREGVTLNEKVSNSGETLVDVGLSKNVVVNQALEPGIRVTVAMGINRNLDDDSPRQVVSLSKPREEAGLYWGYRVRYASNISSVFKDCPYRGGYDLLIGTSEHGLIINSSELSLPTFRHLLIAFGGLAGLEESIEEDSNLKGKDVREVLDSYLNTCPHQGSRTIRTEYFQEPISRAMQRVQH >Potri.010G091400.11.v4.1 pep chromosome:Pop_tri_v4:10:11622769:11628089:-1 gene:Potri.010G091400.v4.1 transcript:Potri.010G091400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091400.v4.1 MLSLSNSPLDWLVKLLVPRLYFESMRWWCLIIRVVLRKRILLLRQTTIPMRMRVGMLPPLDAPHHLRKHEWAPFREGVTLNEKVSNSGETLVDVGLSKNVVVNQALEPGIRVTVAMGINRNLDDDSPRQVVSLSKPREEAGLYWGYRVRYASNISSVFKDCPYRGGYDLLIGTSEHGLIINSSELSLPTFRHLLIAFGGLAGLEESIEEDSNLKGKDVREVLDSYLNTCPHQGSRTIRTEYFQEPISRAMQRVQH >Potri.010G091400.10.v4.1 pep chromosome:Pop_tri_v4:10:11622769:11628089:-1 gene:Potri.010G091400.v4.1 transcript:Potri.010G091400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091400.v4.1 MLSLSNSPLDWLVKLLVPRLYFESMRWWCLIIRVVLRKRILLLRQTTIPMRMRVGMLPPLDAPHHLRKHEWAPFREGVTLNEKVSNSGETLVDVGLSKNVVVNQALEPGIRVTVAMGINRNLDDDSPRQVVSLSKPREEAGLYWGYRVRYASNISSVFKDCPYRGGYDLLIGTSEHGLIINSSELSLPTFRHLLIAFGGLAGLEESIEEDSNLKGKDVREVLDSYLNTCPHQGSRTIRTEYFQEPISRAMQRVQH >Potri.010G091400.7.v4.1 pep chromosome:Pop_tri_v4:10:11622769:11628088:-1 gene:Potri.010G091400.v4.1 transcript:Potri.010G091400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091400.v4.1 MEKKQKRAEAEIQAERVENDKAENELELTNGDSHKKKRRRRNKERNERDKEAKEIPTVSIAVSGSIINNAQSLELATRLAGQIARAATIFRIDEVVVFDNKSSSEKEDPTVTTNNNSDENESGGAFFIRILRYLETPQYLRKALFPKHNNLRFVGMLPPLDAPHHLRKHEWAPFREGVTLNEKVSNSGETLVDVGLSKNVVVNQALEPGIRVTVAMGINRNLDDDSPRQVVSLSKPREEAGLYWGYRVRYASNISSVFKDCPYRGGYDLLIGTSEHGLIINSSELSLPTFRHLLIAFGGLAGLEESIEEDSNLKGKDVREVLDSYLNTCPHQGSRTIRTEVVLFDWPVVYVPIYETCDLNKICIFLT >Potri.008G125700.1.v4.1 pep chromosome:Pop_tri_v4:8:8172721:8173813:-1 gene:Potri.008G125700.v4.1 transcript:Potri.008G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125700.v4.1 MGLQNQLNDVSSESIPLLLIAFIANCVACLRSFFFSVFHSVGVHRLDQAHVMDDRLMGSMGSGLAGLIVLAEQRKLNRVFAYKYCCGRDDGNDKGGSDCVVCLCTLRDGDQVRKLDCRHVFHKECFDGWLDHLNFNCPLCRWPLVSDERVEETRRRVGENLVEWFSLR >Potri.018G100300.2.v4.1 pep chromosome:Pop_tri_v4:18:12051250:12052027:1 gene:Potri.018G100300.v4.1 transcript:Potri.018G100300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100300.v4.1 MANLKCLAVLGLLVASFAVSESRVARQDLGLDLGGLGIGLGVGVGIGLGGGGSGSGAGAGSGSGSRPGSSSSSSSSSSSSSSSSGSGGGSGAGSEAGSSAGSRAGSGAGSSAGSGSGSEAGSSAGSRAKSGSGNGNGK >Potri.008G024801.1.v4.1 pep chromosome:Pop_tri_v4:8:1253568:1254586:-1 gene:Potri.008G024801.v4.1 transcript:Potri.008G024801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024801.v4.1 MYVLQCKKNLQTRGCHSLIPTLLLFLVHLIIGKIKKEQYQAHMDATNRSVVRVLMFPWLAYSHIHPFLELAKKLSERNFYIHLCSSPANLGHIKENLLEMNFPSIQLVELHLPSSPDLPPHYHTTKGLPNHLLGNLMQAFDTASSSFSSILTTLRPYFLTCDFFQPWAPALALSLNIPTVQFVVSGNKANSVAAHAFKKSGVVIQDSAKDFLFIKDRILQHLEQSSGIMLVRSLREIEGKYLDDLSAVTMKRVLPVGPLCSRTYCRR >Potri.018G023700.1.v4.1 pep chromosome:Pop_tri_v4:18:1749603:1752547:1 gene:Potri.018G023700.v4.1 transcript:Potri.018G023700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023700.v4.1 MDKIRRPSHAGSWYTDNPKKLEEELEGWLGATGLTKSPDVRGVIAPHAGYSYSGRAAAYAFGNIDPTNITRVFLLGPSHHYYTPKCALSTATVYKTPIGDLPIDLEVIEELKATGKFELMDLQVDEAEHSMEMHLPYLVKIFEGHPVKVVPILVGALNTDNEAMYGRLLAKYVDDPTNFFSVSSDFCHWGSRFHYTHYDKKCGPIHKSIEALDKMGMDIIETGNADAFKQYLSEYDNTICGRHPISVFLHMSSNCSTKIKIKFLRYEQSSQCKTMRDSSVSYASAAAKVDA >Potri.003G189100.1.v4.1 pep chromosome:Pop_tri_v4:3:19317730:19319300:-1 gene:Potri.003G189100.v4.1 transcript:Potri.003G189100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189100.v4.1 METTTISSNGERELKDEIQGLVASFPSEKNWDGAPLYFYKGVWYPVFAIRGALSFQQHFIAQDTDIILASMPKSGTTWLKALTFSVVNRNIYSPKESPLLTTPPHELVRFFEMDLYSKNQLPDLKQLPSPRIFSSHSHYRTLPQSIRDSGCKIVYICRNPLDQLVSYFHFARKFKRENVKPLSSIDEGFDNVCLGIQSYGPFWDSVLGYWKASLERPDKVLFLKYEDLKEDITFSLKKLAEFLVLPFSEKEEKEGVIEEISKLCSFDNLKDLEVNRTGFFESAGAPNSTFFRKAKVGDWCNDLSPSMAECFLKIVEEKLAGSGLSFKVSE >Potri.011G079300.3.v4.1 pep chromosome:Pop_tri_v4:11:8530123:8532061:1 gene:Potri.011G079300.v4.1 transcript:Potri.011G079300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079300.v4.1 MSNEHRDLYCHTPFQEDHLGVKPLSILDSSTYNSPGQGFDPSPYMSFSEYLHGSLDFNSLAKAFGLSPSSSEVFSSIEGNSKPMEAGVLGCGNSTDHIPATPNSSLSFSSSEVGGDEDSGKTKKETQPSRPEDGGECSDKKDKAKKKAEQRKKEPRFAFMTKSEVDHLEDGYRWRKYGQKAVRNSPYPRSYYRCTTQKCTVKKRVERSFQDPSIVITTYEGQHNHPIPTTIRGSASAMFSHSMLTPAPLATGPRRFPAHLQGYNLVQMPAATSNKNLGEYPQNVNQVPDYGLLQDIVPSKFFRQEP >Potri.011G079300.2.v4.1 pep chromosome:Pop_tri_v4:11:8530123:8532146:1 gene:Potri.011G079300.v4.1 transcript:Potri.011G079300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079300.v4.1 MSNEHRDLYCHTPFQEDHLGVKPLSILDSSTYNSPGQGFDPSPYMSFSEYLHGSLDFNSLAKAFGLSPSSSEVFSSIEGNSKPMEAGVLGCGNSTDHIPATPNSSLSFSSSEVGGDEDSGKTKKETQPSRPEDGGECSDKKDKAKKKAEQRKKEPRFAFMTKSEVDHLEDGYRWRKYGQKAVRNSPYPRSYYRCTTQKCTVKKRVERSFQDPSIVITTYEGQHNHPIPTTIRGSASAMFSHSMLTPAPLATGPRRFPAHLQGYNLVQMPAATSNKNLGEYPQNVNQVPDYGLLQDIVPSKFFRQEP >Potri.013G142232.5.v4.1 pep chromosome:Pop_tri_v4:13:15645637:15649874:-1 gene:Potri.013G142232.v4.1 transcript:Potri.013G142232.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoB MLGDGNGGMSTIPGFNQIQFEGFCRFIDQGLAEELYKFPKIEDRDQEIEFQLFVETYQLVEPSIKERDAVYESLTYSSELYVSGGLIWKNSRDMQEQTIFIGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELNHNGISVYTGTIISDWGGRVELEIDKKARIWARVSRKQKISILVLSSAMGLNLREILENVCYPEIFLSFLSDKEKKKIGSRENAILEFYQQFTCVGGGPVFSESLCKELQKKFFQQRCELGRIGRLNMNQRLNLDIPHNNTFLLPRDILAAADHLIGMKFGMGTLDDMNHLKNKRIRSVADLLQDQFGLALIRLENVVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIVHGRKSSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLTIHAKIGHLGSLESPFYEISARSKKVRMLYLSPNRDEYYMIAAGNCLALNRGAREEQVVPARYRQEFLTIAWEQVRLRSFFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLARSEKCIVGTGLERQVALDSGVPAIAEHEGKIIYTDIDKIILSGNGYTVSIPLVMYQRSNKNTCMHQKTQVQRGKCIKRGQVLADGAATVGGELALGKNILVAYMPWEGYNFEDAVLISERLVYEDVYTSFHIRKYEIQTHVTSQGPERITNEIPHLEAHLLRNLDKNGIVMLGSWVETGDILIGKLTPQLAKESSYAPEDRLLRAILGIQVSTSKETCLKLPTGGRGRVIDVRWIQKKGGSSYNPETIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGAPVDMVFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRVAPFDERYEQEASRKLVFSELYEAGKQTGNPWVFEPECPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVADKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVSHILQEMLTYKSDHIRARQEVLGTTISGRTIPKPEDAPESFRLLVRELRSLALELKHFLISEKNFQINRKEV >Potri.013G142232.4.v4.1 pep chromosome:Pop_tri_v4:13:15645637:15649905:-1 gene:Potri.013G142232.v4.1 transcript:Potri.013G142232.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoB MGFFFSINGKFKMLGDGNGGMSTIPGFNQIQFEGFCRFIDQGLAEELYKFPKIEDRDQEIEFQLFVETYQLVEPSIKERDAVYESLTYSSELYVSGGLIWKNSRDMQEQTIFIGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELNHNGISVYTGTIISDWGGRVELEIDKKARIWARVSRKQKISILVLSSAMGLNLREILENVCYPEIFLSFLSDKEKKKIGSRENAILEFYQQFTCVGGGPVFSESLCKELQKKFFQQRCELGRIGRLNMNQRLNLDIPHNNTFLLPRDILAAADHLIGMKFGMGTLDDMNHLKNKRIRSVADLLQDQFGLALIRLENVVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIVHGRKSSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLTIHAKIGHLGSLESPFYEISARSKKVRMLYLSPNRDEYYMIAAGNCLALNRGAREEQVVPARYRQEFLTIAWEQVRLRSFFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLARSEKCIVGTGLERQVALDSGVPAIAEHEGKIIYTDIDKIILSGNGYTVSIPLVMYQRSNKNTCMHQKTQVQRGKCIKRGQVLADGAATVGGELALGKNILVAYMPWEGYNFEDAVLISERLVYEDVYTSFHIRKYEIQTHVTSQGPERITNEIPHLEAHLLRNLDKNGIVMLGSWVETGDILIGKLTPQLAKESSYAPEDRLLRAILGIQVSTSKETCLKLPTGGRGRVIDVRWIQKKGGSSYNPETIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGAPVDMVFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRVAPFDERYEQEASRKLVFSELYEAGKQTGNPWVFEPECPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVADKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVSHILQEMLTYKSDHIRARQEVLGTTISGRTIPKPEDAPESFRLLVRELRSLALELKHFLISEKNFQINRKEV >Potri.013G142232.3.v4.1 pep chromosome:Pop_tri_v4:13:15645657:15649941:-1 gene:Potri.013G142232.v4.1 transcript:Potri.013G142232.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoB MKNDPIMGFFFSINGKFKMLGDGNGGMSTIPGFNQIQFEGFCRFIDQGLAEELYKFPKIEDRDQEIEFQLFVETYQLVEPSIKERDAVYESLTYSSELYVSGGLIWKNSRDMQEQTIFIGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELNHNGISVYTGTIISDWGGRVELEIDKKARIWARVSRKQKISILVLSSAMGLNLREILENVCYPEIFLSFLSDKEKKKIGSRENAILEFYQQFTCVGGGPVFSESLCKELQKKFFQQRCELGRIGRLNMNQRLNLDIPHNNTFLLPRDILAAADHLIGMKFGMGTLDDMNHLKNKRIRSVADLLQDQFGLALIRLENVVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIVHGRKSSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLTIHAKIGHLGSLESPFYEISARSKKVRMLYLSPNRDEYYMIAAGNCLALNRGAREEQVVPARYRQEFLTIAWEQVRLRSFFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLARSEKCIVGTGLERQVALDSGVPAIAEHEGKIIYTDIDKIILSGNGYTVSIPLVMYQRSNKNTCMHQKTQVQRGKCIKRGQVLADGAATVGGELALGKNILVAYMPWEGYNFEDAVLISERLVYEDVYTSFHIRKYEIQTHVTSQGPERITNEIPHLEAHLLRNLDKNGIVMLGSWVETGDILIGKLTPQLAKESSYAPEDRLLRAILGIQVSTSKETCLKLPTGGRGRVIDVRWIQKKGGSSYNPETIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGAPVDMVFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRVAPFDERYEQEASRKLVFSELYEAGKQTGNPWVFEPECPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVADKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVSHILQEMLTYKSDHIRARQEVLGTTISGRTIPKPEDAPESFRLLVRELRSLALELKHFLISEKNFQINRKEV >Potri.013G142232.2.v4.1 pep chromosome:Pop_tri_v4:13:15646652:15649996:-1 gene:Potri.013G142232.v4.1 transcript:Potri.013G142232.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoB MELDKILCDSVNRIAIPSVLIDPYNWMKNDPIMGFFFSINGKFKMLGDGNGGMSTIPGFNQIQFEGFCRFIDQGLAEELYKFPKIEDRDQEIEFQLFVETYQLVEPSIKERDAVYESLTYSSELYVSGGLIWKNSRDMQEQTIFIGNIPLMNSLGTSIVNGIYRIVINQILQSPGIYYRSELNHNGISVYTGTIISDWGGRVELEIDKKARIWARVSRKQKISILVLSSAMGLNLREILENVCYPEIFLSFLSDKEKKKIGSRENAILEFYQQFTCVGGGPVFSESLCKELQKKFFQQRCELGRIGRLNMNQRLNLDIPHNNTFLLPRDILAAADHLIGMKFGMGTLDDMNHLKNKRIRSVADLLQDQFGLALIRLENVVRGTICGAIRHKLIPTPQNLVTSTPLTTTYESFFGLHPLSQVLDRTNPLTQIVHGRKSSYLGPGGLTGRTASFRIRDIHPSHYGRICPIDTSEGINVGLIGSLTIHAKIGHLGSLESPFYEISARSKKVRMLYLSPNRDEYYMIAAGNCLALNRGAREEQVVPARYRQEFLTIAWEQVRLRSFFPFQYFSIGASLIPFIEHNDANRALMSSNMQRQAVPLARSEKCIVGTGLERQVALDSGVPAIAEHEGKIIYTDIDKIILSGNGYTVSIPLVMYQRSNKNTCMHQKTQVQRGKCIKRGQVLADGAATVGGELALGKNILVAYMPWEGYNFEDAVLISERLVYEDVYTSFHIRKYEIQTHVTSQGPERITNEIPHLEAHLLRNLDKNGIVMLGSWVETGDILIGKLTPQLAKESSYAPEDRLLRAILGIQVSTSKETCLKLPTGGRGRVIDVRWIQKKGGSSYNPETIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGAPVDMVFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRVAPFDERYEQEASRKLVFSELYEAGKQTGNPWVFEPECPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVADKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVSHILQEMLTYKSDHIRARQEVLGTTISGRTIPKPEDAPESFRLLVRELRSLALELKHFLISEKNFQINRKEV >Potri.013G142232.6.v4.1 pep chromosome:Pop_tri_v4:13:15645636:15648033:-1 gene:Potri.013G142232.v4.1 transcript:Potri.013G142232.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:rpoB MHQKTQVQRGKCIKRGQVLADGAATVGGELALGKNILVAYMPWEGYNFEDAVLISERLVYEDVYTSFHIRKYEIQTHVTSQGPERITNEIPHLEAHLLRNLDKNGIVMLGSWVETGDILIGKLTPQLAKESSYAPEDRLLRAILGIQVSTSKETCLKLPTGGRGRVIDVRWIQKKGGSSYNPETIRVYILQKREIKVGDKVAGRHGNKGIISKILPRQDMPYLQDGAPVDMVFNPLGVPSRMNVGQIFECSLGLAGSLLDRHYRVAPFDERYEQEASRKLVFSELYEAGKQTGNPWVFEPECPGKSRIFDGRTGDPFEQPVIIGKPYILKLIHQVADKIHGRSSGHYALVTQQPLRGRAKQGGQRVGEMEVWALEGFGVSHILQEMLTYKSDHIRARQEVLGTTISGRTIPKPEDAPESFRLLVRELRSLALELKHFLISEKNFQINRKEV >Potri.010G182150.1.v4.1 pep chromosome:Pop_tri_v4:10:18041973:18042904:1 gene:Potri.010G182150.v4.1 transcript:Potri.010G182150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182150.v4.1 MHVEPNSDCIILLHNSAVSIHVANLRLSVRCHVCVITMGRSLCFRTLFCVATSWELIFLSILQSTDQFTTNFSVAMLK >Potri.010G182150.2.v4.1 pep chromosome:Pop_tri_v4:10:18042668:18042904:1 gene:Potri.010G182150.v4.1 transcript:Potri.010G182150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182150.v4.1 MHIEPNSDCIILLHNSAVSIHVANLRLSVRCHVCVITMGRSLCFRTLFCVATSWELIFLSILQSTDQFTTNFSVAMLK >Potri.009G112307.3.v4.1 pep chromosome:Pop_tri_v4:9:9580833:9584192:1 gene:Potri.009G112307.v4.1 transcript:Potri.009G112307.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112307.v4.1 MEVRGAFSSDGGKSYRELTPLRLIRGVVCLLVLLLTAFMTLVYCGFVSAVLLRLVSIHRSRKVTSFFFGSWLALWPFLFEKINKTKVIFSGEIVPDRERVLLIANHRTEVDWMYLWDLALRKGCLGCIRYVLKSSLMKLPVFGWGFHILEFISVERKWEVDESNIHQMLSSFKDPRDPLWLALFPEGTDFTEQKCIRSKKYAAEHGLPILNNVLLPKTKGFYACMEDLRGSLDAVYDVTIGYKPRCPSLLDNVFGVNPSEVHIHVRRIALGEIPTSEKEVSAWLTNTFQLKDQLLSDFYLQGHFPHQGTEGDLSTVKCLVNFVALMMLISTFTFFTIFSSVWFKIYVSLVCCYLSSATYFNVRPKPLL >Potri.009G112307.2.v4.1 pep chromosome:Pop_tri_v4:9:9580823:9584193:1 gene:Potri.009G112307.v4.1 transcript:Potri.009G112307.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112307.v4.1 MEVRGAFSSDGGKSYRELTPLRLIRGVVCLLVLLLTAFMTLVYCGFVSAVLLRLVSIHRSRKVTSFFFGSWLALWPFLFEKINKTKVIFSGEIVPDRERVLLIANHRTEVDWMYLWDLALRKGCLGCIRYVLKSSLMKLPVFGWGFHILEFISVERKWEVDESNIHQMLSSFKDPRDPLWLALFPEGTDFTEQKCIRSKKYAAEHGLPILNNVLLPKTKGFYACMEDLRGSLDAVYDVTIGYKPRCPSLLDNVFGVNPSEVHIHVRRIALGEIPTSEKEVSAWLTNTFQLKDQLLSDFYLQGHFPHQGTEGDLSTVKCLVNFVALMMLISTFTFFTIFSSVWFKIYVSLVCCYLSSATYFNVRPKPLL >Potri.009G112307.1.v4.1 pep chromosome:Pop_tri_v4:9:9580833:9584194:1 gene:Potri.009G112307.v4.1 transcript:Potri.009G112307.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112307.v4.1 MEVRGAFSSDGGKSYRELTPLRLIRGVVCLLVLLLTAFMTLVYCGFVSAVLLRLVSIHRSRKVTSFFFGSWLALWPFLFEKINKTKVIFSGEIVPDRERVLLIANHRTEVDWMYLWDLALRKGCLGCIRYVLKSSLMKLPVFGWGFHILEFISVERKWEVDESNIHQMLSSFKDPRDPLWLALFPEGTDFTEQKCIRSKKYAAEHGLPILNNVLLPKTKGFYACMEDLRGSLDAVYDVTIGYKPRCPSLLDNVFGVNPSEVHIHVRRIALGEIPTSEKEVSAWLTNTFQLKDQLLSDFYLQGHFPHQGTEGDLSTVKCLVNFVALMMLISTFTFFTIFSSVWFKIYVSLVCCYLSSATYFNVRPKPLL >Potri.009G112307.4.v4.1 pep chromosome:Pop_tri_v4:9:9580833:9584190:1 gene:Potri.009G112307.v4.1 transcript:Potri.009G112307.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112307.v4.1 MEVRGAFSSDGGKSYRELTPLRLIRGVVCLLVLLLTAFMTLVYCGFVSAVLLRLVSIHRSRKVTSFFFGSWLALWPFLFEKINKTKVIFSGEIVPDRERVLLIANHRTEVDWMYLWDLALRKGCLGCIRYVLKSSLMKLPVFGWGFHILEFISVERKWEVDESNIHQMLSSFKDPRDPLWLALFPEGTDFTEQKCIRSKKYAAEHGLPILNNVLLPKTKGFYACMEDLRGSLDAVYDVTIGYKPRCPSLLDNVFGVNPSEVHIHVRRIALGEIPTSEKEVSAWLTNTFQLKDQLLSDFYLQGHFPHQGTEGDLSTVKCLVNFVALMMLISTFTFFTIFSSVWFKIYVSLVCCYLSSATYFNVRPKPLL >Potri.001G077600.4.v4.1 pep chromosome:Pop_tri_v4:1:5846705:5847409:-1 gene:Potri.001G077600.v4.1 transcript:Potri.001G077600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077600.v4.1 MCIYIYIYIYVYIYNLIAKGNLILIAKGNLSNTCWMITGESIHTKMGAQRTSLGATQRKSCLKRKKSDKKISRSIKRIKADMVEISEGQKRIREGQREVREKFQEISEEAAKLKEETHLISKQSAANELRLNLMFQIIKARAENDYAKDALLTQNLRFVILQINPCACV >Potri.001G077600.2.v4.1 pep chromosome:Pop_tri_v4:1:5846165:5847620:-1 gene:Potri.001G077600.v4.1 transcript:Potri.001G077600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077600.v4.1 MCIYIYIYIYVYIYNLIAKGNLILIAKGNLSNTCWMITGESIHTKMGAQRTSLGATQRKSCLKRKKSDKKISRSIKRIKADMVEISEGQKRIREGQREVREKFQEISEEAAKLKEETHLISKQSAANELRLNLMFQIIKARAENDYAKDALLTQNLRDLMGSRAIA >Potri.006G098200.1.v4.1 pep chromosome:Pop_tri_v4:6:7515579:7518100:-1 gene:Potri.006G098200.v4.1 transcript:Potri.006G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098200.v4.1 MDLLNQLQRQYTDFTTLLYHEGFVDDQFTQLQKLQDESSPDFVVEVVSLFFEDCEKLVNNMAKALEQQDVDFKQVDSHVHQLKGSSSSIGAARIKNVCIAFKTFCEAQNREGCLRCLQQVNHEHTQLKTNLQSLFTLERQIVANGGSIPVMQ >Potri.002G118200.1.v4.1 pep chromosome:Pop_tri_v4:2:8955168:8956267:1 gene:Potri.002G118200.v4.1 transcript:Potri.002G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118200.v4.1 MLNSFICGSFQNQELEDEPWNSPSSTPKRSSPSATPKRSSPCATPRKSRKSSKNNKNPYSSRGLDKFSALLAELEEKRQKIYTQIGPEDVSVVRFVYSSSNDCIPVIVKAKDQKQDKPRASRVDDVKDKPINHNSTEVVYKLPTQAPAETKQAEQPRLETDKKTEKKCFTWSIKLNRWRRPYYYMPVAIVLILLLLVFFGRSVAILWTSLGWYIVPTLSTKKPSKKKEDVRRLSEPKMVINHGVSSPKRKSTGAITDKLPQRHEQRKSF >Potri.008G063900.3.v4.1 pep chromosome:Pop_tri_v4:8:3857040:3858276:1 gene:Potri.008G063900.v4.1 transcript:Potri.008G063900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063900.v4.1 MEVEAGVVKWRGSVGGIVDAPIDKVWTMVSQTKKLAEWMPMVERCTDLVGEEGVPGYVRLVSGFMFPQQDGDRSWIKERLVSLDSTSHNYVYKMEASNVGLDGSINTLKLVDYWDDSTLVNWSFQIDPLEGASEDSIIDYLGFLYKSGINRIEGAIKASSIGV >Potri.015G072000.2.v4.1 pep chromosome:Pop_tri_v4:15:9757055:9760346:-1 gene:Potri.015G072000.v4.1 transcript:Potri.015G072000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072000.v4.1 MAMALTRASCCSLARQRNPLRSIFLRNPHHQRIRFRHPLQSFKSYPAGFQHRVRAIHEATVEPLTSNKQNEPQPQNWNIKMLYDGDCPLCMREVNMLRERNESYGTIKFVDISSDEYSPEENQGLDYKTVMGRIHAILSDGTVVTDVEAFRKLYEEVGLGWVYAVTKYEPIATIADAIYSVWAKYRLQVTGRPPLEEILDTRKKKDEICNNSNACKM >Potri.010G240000.2.v4.1 pep chromosome:Pop_tri_v4:10:21843544:21848170:-1 gene:Potri.010G240000.v4.1 transcript:Potri.010G240000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G240000.v4.1 MVAANREMAVYCFDTLVAHYNSDDAPPAAFDEGQHPLFVTWKKVVNGGEPRLRGCIGTLEARYLVNGFRDYALTSALRDRRFPPIQAKELPTLECTVSILTNYETANNYLDWEVGTHGLIIEFTDPNNNVRRSATYLPEVAAHEGWTKEEAIDSLMRKAGYSGHITESLRKSIQVTRYQSTLFTLTYSDYVSYVRATRGAAPAINGVKPGNH >Potri.006G200400.8.v4.1 pep chromosome:Pop_tri_v4:6:20798178:20803063:-1 gene:Potri.006G200400.v4.1 transcript:Potri.006G200400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200400.v4.1 MEGDNAVKTNEETIAEEIHSEAPVVAPEKEEKNATNGERPLKANETSKHVAKTEGLNSSGIASEGAASVSERKLSNALKEPALRKGTGSKNSKLAKDKPNVKGSGPFSHSQRPILSQSLSFPAKGVRTNNMRKSIEGHPLKTAVKLSRDEGTKVQVPFSNGSVTSSPRFNRANRLAPTGANSKESNINGSKTLTKQTSSTSKSSSQQAASVKSSSLTEAAKCPPPQVSESAADQNSKPETTTFSSKEEDDTHSTTSSATLSGRRSSGSGFSFRLEERAEKRKEFFSKLEEKIHAKEIEQTNLQAKSKESQEAEIKKLRKSLTFKAAPMPCFYKEPPPKVELKKIPTTRAKSPKLGRRKSSTTSMNNSLEDVGSSFSPRASHSPHLNQESSNPTKGAQRNGNVDNGASKTPISKSQPKHQSRQITANGMEGKTVKSKAKLPGAESQTQKANVEKVEVNENNSMKVPVCENGIETMPENNTPQNNGPVLSSSNPEIMLPHVTVGG >Potri.006G200400.9.v4.1 pep chromosome:Pop_tri_v4:6:20798167:20802717:-1 gene:Potri.006G200400.v4.1 transcript:Potri.006G200400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200400.v4.1 MEGDNAVKTNEETIAEEIHSEAPVVAPEKEEKNATNGERPLKANETSKHVAKTEGLNSSGIASEGAASVSERKLSNALKEPALRKGTGSKNSKLAKDKPNVKGSGPFSHSQRPILSQSLSFPAKGVRTNNMRKSIEGHPLKTAVKLSRDEGTKVQVPFSNGSVTSSPRFNRANRLAPTGANSKESNINGSKTLTKQTSSTSKSSSQQAASVKSSSLTEAAKCPPPQVSESAADQNSKPETTTFSSKEEDDTHSTTSSATLSGRRSSGSGFSFRLEERAEKRKEFFSKLEEKIHAKEIEQTNLQAKSKESQEAEIKKLRKSLTFKAAPMPCFYKEPPPKVELKKIPTTRAKSPKLGRRKSSTTSMNNSLEDVGSSFSPRASHSPHLNQESSNPTKGAQRNGNVDNGASKTPISKSQPKHQSRQITANGMEGKTVKSKAKLPGAESQTQKANVEKVEVNENNSMKVPVCENGIETMPENNTPQNNGPVLSSSNPEIMLPHVTVGG >Potri.006G200400.7.v4.1 pep chromosome:Pop_tri_v4:6:20798176:20803054:-1 gene:Potri.006G200400.v4.1 transcript:Potri.006G200400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200400.v4.1 MEGDNAVKTNEETIAEEIHSEAPVVAPEKEEKNATNGERPLKANETSKHVAKTEGLNSSGIASEGAASVSERKLSNALKEPALRKGTGSKNSKLAKDKPNVKGSGPFSHSQRPILSQSLSFPAKGVRTNNMRKSIEGHPLKTAVKLSRDEGTKVQVPFSNGSVTSSPRFNRANRLAPTGANSKESNINGSKTLTKQTSSTSKSSSQQAASVKSSSLTEAAKCPPPQVSESAADQNSKPETTTFSSKEEDDTHSTTSSATLSGRRSSGSGFSFRLEERAEKRKEFFSKLEEKIHAKEIEQTNLQAKSKESQEAEIKKLRKSLTFKAAPMPCFYKEPPPKVELKKIPTTRAKSPKLGRRKSSTTSMNNSLEDVGSSFSPRASHSPHLNQESSNPTKGAQRNGNVDNGASKTPISKSQPKHQSRQITANGMEGKTVKSKAKLPGAESQTQKANVEKVEVNENNSMKVPVCENGIETMPENNTPQNNGPVLSSSNPEIMLPHVTVGG >Potri.006G200400.10.v4.1 pep chromosome:Pop_tri_v4:6:20798178:20803049:-1 gene:Potri.006G200400.v4.1 transcript:Potri.006G200400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200400.v4.1 MRKSIEGHPLKTAVKLSRDEGTKVQVPFSNGSVTSSPRFNRANRLAPTGANSKESNINGSKTLTKQTSSTSKSSSQQAASVKSSSLTEAAKCPPPQVSESAADQNSKPETTTFSSKEEDDTHSTTSSATLSGRRSSGSGFSFRLEERAEKRKEFFSKLEEKIHAKEIEQTNLQAKSKESQEAEIKKLRKSLTFKAAPMPCFYKEPPPKVELKKIPTTRAKSPKLGRRKSSTTSMNNSLEDVGSSFSPRASHSPHLNQESSNPTKGAQRNGNVDNGASKTPISKSQPKHQSRQITANGMEGKTVKSKAKLPGAESQTQKANVEKVEVNENNSMKVPVCENGIETMPENNTPQNNGPVLSSSNPEIMLPHVTVGG >Potri.001G321000.1.v4.1 pep chromosome:Pop_tri_v4:1:33077002:33079289:1 gene:Potri.001G321000.v4.1 transcript:Potri.001G321000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321000.v4.1 MHYVKMMEKPIIRKCCNNQLWLVILISFVLCFVLLCFDYSALTGNQDGVTAVLVSNYENPITTQKSESLQFTTSLNETLIRPNRTDFITRQPSKDQQSVKEKPVADSCSGEYIYIHNLPRRFNQELIESCESITVGTERNMCPYLVNSGLGHEVENFEGVLLNKSWYATNQFLLAVIFHNKMKQYKCLTNDSSLASAIYVPFYAGLDVGRYLWGVKASIRDQSSFDFVKWMVSQPEWKKMGGRDHFLVVGRISWDFRRQTDNESDWGSKLRFLPESNNMSMLSIESSSWNNDYAIPYPTCFHPSKDSEVLQWQDKMRRQKRPYLFSFAGAPRPDLQDSVRGRIIEECQASKNLCKLLECSYGVNGAITCDNPGNVMRLFQNSVYCLQPAGDSYTRRSIFDAILAGCIPVFFHPGTAYAQYKWHLPQNYSKYSVFIPVKDVKDWKAGINETLLRIPEERVMSMREEVIRLIPSIIYADPRSRLETFEDAFDLAVKGILDRIDGVRKVIRDGGDPSAGFADGDDFKYTFSGYGGET >Potri.001G073900.5.v4.1 pep chromosome:Pop_tri_v4:1:5562601:5564132:-1 gene:Potri.001G073900.v4.1 transcript:Potri.001G073900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073900.v4.1 MGYWKSKVLPKIKKVFEKDSTAKKAAAAEAIKNFDESKEEISKEYEEKRTELGPKVIEIFEASTAEIKTLVKDPKEAGLKKQTTSVQKFLDELVKIEFPGSKVVSEASSKFGPAYVSGPIFFVFEKVSTFIPVEEKAVEPPAPETKTEEATSSTEKEIVVEEEKKTEVVAAEASEKTEPVAEPPAKVEEAEPPKP >Potri.001G073900.4.v4.1 pep chromosome:Pop_tri_v4:1:5562604:5564502:-1 gene:Potri.001G073900.v4.1 transcript:Potri.001G073900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073900.v4.1 MGYWKSKVLPKIKKVFEKDSTAKKAAAAEAIKNFDESKEEISKEYEEKRTELGPKVIEIFEASTAEIKTLVKDPKEAGLKKQTTSVQKFLDELVKIEFPGSKVVSEASSKFGPAYVSGPIFFVFEKVSTFIPVEEKAVEPPAPETKTEEATSSTEKEIVVEEEKKTEVVAAEASEKTEPVAEPPAKVEEAEPPKP >Potri.001G073900.2.v4.1 pep chromosome:Pop_tri_v4:1:5562660:5564276:-1 gene:Potri.001G073900.v4.1 transcript:Potri.001G073900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073900.v4.1 MGYWKSKVLPKIKKVFEKDSTAKKAAAAEAIKNFDESKEEISKEYEEKRTELGPKVIEIFEASTAEIKTLVKDPKEAGLKKQTTSVQKFLDELVKIEFPGSKVVSEASSKFGPAYVSGPIFFVFEKVSTFIPVEEKAVEPPAPETKTEEATSSTEKEIVVEEEKKTEVVAAEASEKTEPVAEPPAKVEEAEPPKP >Potri.001G073900.6.v4.1 pep chromosome:Pop_tri_v4:1:5562683:5564122:-1 gene:Potri.001G073900.v4.1 transcript:Potri.001G073900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073900.v4.1 MGYWKSKVLPKIKKVFEKDSTAKKAAAAEAIKNFDESKEEISKEYEEKRTELGPKVIEIFEASTAEIKTLVKDPKEAGLKKQTTSVQKFLDELVKIEFPGSKVVSEASSKFGPAYVSGPIFFVFEKVSTFIPVEEKAVEPPAPETKTEEATSSTEKEIVVEEEKKTEVVAAEASEKTEPVAEPPAKVEEAEPPKP >Potri.015G087100.1.v4.1 pep chromosome:Pop_tri_v4:15:11101236:11105165:1 gene:Potri.015G087100.v4.1 transcript:Potri.015G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087100.v4.1 MGGVTSSMAAKLAFFPPNPPSYKLITDDATGLLLLEHFSHRENVDVLRLPTRRGTEIVAVYVRYPMATSTLLYSHGNAADIGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEHNTYADIEAAYKCLEESYGAKQENIILYGQSVGSGPTVDLAARLPRLRAVVLHSPILSGLRVMYPVKRSYWFDIYKNIDKIPLVKCPTLVIHGTADEVVDCSHGKQLWELCQEKYEPLWLKGGNHCNLEMYPEYLRHLRKFISTVEKSAYRRNAGRRSVDGFEQARRSSDCLEGPRKSTDRREKPRKSTDRPERLRFHEFKFTHTDKAEKLKVSFEHKERSRRSVEYCDKSRKSMDLQLEKARKSVDWVDRIR >Potri.008G067600.1.v4.1 pep chromosome:Pop_tri_v4:8:4085550:4091126:-1 gene:Potri.008G067600.v4.1 transcript:Potri.008G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067600.v4.1 MRFNFDLTESNPNSSSRKSLTPKEDIQWVPLQNHPLFASSDEDTAPRSPSNLLAWDCASRFYYWDSNLRCLHRISIRLGDPEPSSVLAASLSKVLQTDAEINFEVNKISINRNGSAMVLSGTNGLCVMYLYGRSFGKDNAIVCRTVSIGSQIYFNESNVIRTRRVSWHPYSDAHLGILSSDSVFRLFDLSSDVLKPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFLLFSDGSVYILCPIVPFGSVHKWESVLEIYSDAETFGLKSANPVAVNNSNRAISWLEATFPELAHESKEGGLSTLKAHPYAVFDASLCLQGPLRKVHHGGEDEDPAVSVAECEGRAISFLYDLASKDSILVTAWSGGQMQIDALADEIQPVWMVGSPPRLRVNSQDHIIGLAMLCESISGELPVVKLDQPHDNTVWLGHPPPLLRLAIVDLALPRKTESGSYISMFVDPVMPERIYSVHDGGIDSIVLHFLPFTSQSGGKDEMVRTPSVHPVLSTCQVENSTPSPLCGFIALSDSFGYSWIAVITSNQECVVLEMRTLNLLLPVHVDMEKKSETVEEWTNRNPPDIISKELLIGPKVVLVPQGSPNLRSVAADSIEGRSTLHQYLNLFHENYVEYAHKVYFELEHHGPRLKRIIDDQHARLGEAQEKLSKVRRKQSGLEDRINNAMHQHNLLEQRLHCLKNLPGAHKKPLSKAEREFKSELDHFTGVGLDALRTSIDTLRARLRRFTQSSKGDVANQQMKIGGRNYALDAQILQLKSSIAKLSLLNSENTKKVKLVESVLKNQESSR >Potri.008G067600.4.v4.1 pep chromosome:Pop_tri_v4:8:4085506:4090549:-1 gene:Potri.008G067600.v4.1 transcript:Potri.008G067600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067600.v4.1 MYLYGRSFGKDNAIVCRTVSIGSQIYFNESNVIRTRRVSWHPYSDAHLGILSSDSVFRLFDLSSDVLKPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFLLFSDGSVYILCPIVPFGSVHKWESVLEIYSDAETFGLKSANPVAVNNSNRAISWLEATFPELAHESKEGGLSTLKAHPYAVFDASLCLQGPLRKVHHGGEDEDPAVSVAECEGRAISFLYDLASKDSILVTAWSGGQMQIDALADEIQPVWMVGSPPRLRVNSQDHIIGLAMLCESISGELPVVKLDQPHDNTVWLGHPPPLLRLAIVDLALPRKTESGSYISMFVDPVMPERIYSVHDGGIDSIVLHFLPFTSQSGGKDEMVRTPSVHPVLSTCQVENSTPSPLCGFIALSDSFGYSWIAVITSNQECVVLEMRTLNLLLPVHVDMEKKSETVEEWTNRNPPDIISKELLIGPKVVLVPQGSPNLRSVAADSIEGRSTLHQYLNLFHENYVEYAHKVYFELEHHGPRLKRIIDDQHARLGEAQEKLSKVRRKQSGLEDRINNAMHQHNLLEQRLHCLKNLPGAHKKPLSKAEREFKSELDHFTGVGLDALRTSIDTLRARLRRFTQSSKGDVANQQMKIGGRNYALDAQILQLKSSIAKLSLLNSENTKKVKLVESVLKNQESSR >Potri.008G067600.3.v4.1 pep chromosome:Pop_tri_v4:8:4085907:4091127:-1 gene:Potri.008G067600.v4.1 transcript:Potri.008G067600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G067600.v4.1 MRFNFDLTESNPNSSSRKSLTPKEDIQWVPLQNHPLFASSDEDTAPRSPSNLLAWDCASRFYYWDSNLRCLHRISIRLGDPEPSSVLAASLSKVLQTDAEINFEVNKISINRNGSAMVLSGTNGLCVMYLYGRSFGKDNAIVCRTVSIGSQIYFNESNVIRTRRVSWHPYSDAHLGILSSDSVFRLFDLSSDVLKPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFLLFSDGSVYILCPIVPFGSVHKWESVLEIYSDAETFGLKSANPVAVNNSNRAISWLEATFPELAHESKEGGLSTLKAHPYAVFDASLCLQGPLRKVHHGGEDEDPAVSVAECEGRAISFLYDLASKDSILVTAWSGGQMQIDALADEIQPVWMVGSPPRLRVNSQDHIIGLAMLCESISGELPVVKLDQPHDNTVWLGHPPPLLRLAIVDLALPRKTESGSYISMFVDPVMPERIYSVHDGGIDSIVLHFLPFTSQSGGKDEMVRTPSVHPVLSTCQVENSTPSPLCGFIALSDSFGYSWIAVITSNQECVVLEMRTLNLLLPVHVDMEKKSETVEEWTNRNPPDIISKELLIGPKVVLVPQGSPNLRSVAADSIEGRSTLHQYLNLFHENYVEYAHKVRIPHGIYWLLLPFTHFGHFCCCLFSLDSLLILVCKMEFIFTYNHQF >Potri.008G186000.1.v4.1 pep chromosome:Pop_tri_v4:8:12920528:12923592:-1 gene:Potri.008G186000.v4.1 transcript:Potri.008G186000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186000.v4.1 MWWMMNENGGHYCSKKSDDICDDICGQDSGRILSMSRIRCILRGMDLKTYLLLFILVPTCVYGIYMHGQKISSFFRPLWESPPKTFNEIPHYYHENVSMENLCKLHGWGIREFPRRVYDAVLFSNELDILALRWKELYPYITQFVLLESNSTFTGKEKLLSFANHRDQFKFVEPRLTYGTIGGRFKKGENPFIEEAYQRVALDQLIKVAGISDDDLLIMSDVDEIPSRHTINLLRWCDDTPSVLHLRLKNYLYSFEFLKDIKSWRASVHRYQTGKTRYAHYRQADDILADAGWHCSFCFRRISEFIFKMKAYSHVDRVRFKRYLNPKRIQRVICKGADLFDMLPEEYTFKEIIGKMGPIPHSYSAVHLPSYLLENADKYKFLLPGNCLRESG >Potri.004G091100.2.v4.1 pep chromosome:Pop_tri_v4:4:7763036:7767490:1 gene:Potri.004G091100.v4.1 transcript:Potri.004G091100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G091100.v4.1 MDENSALIAQLLMEDEIEMRNGNGNGNGNANANANGSVSGKDQNDGGWKTVTYSKRNKKQQPSKVSNSSESSSSDHQRSNGVGGEKADVFRSIEKQSEDRRRRIEEEWRKREEEESGERDGSKRHSDEDDGESEDGGHGGDGGGAGEEVKKVKKPKVKKPKVTMAEAAAKIDAGDLGAFLVDITASYETQQDILLMRFADYYGRAFSSVSSAQFPWLKIFKESPVSKLIDTPLAHISQDVFKASVDWLGQRSFEALGSFVLWSLDRIFADLASHQGVAKGSKKVVQRSPSKSLVAIFVVLAMTLRRKPDVLINLLPVISENPKYQGQDKLPVTGWMIAQASQGDLVVGLYTWIRVLFPMLSGKSSSNPQSRDLILQLVERILSSPKARTILLNGAVKKGERLVPPSALELLMRLTFPVPSARVKATERFEAVYPTLKEVALAGSSGSKAMKQVPQQILNIAVKATGEGSSELSREASDIFIWCLTQNPNSYKQWDMFYLDNLEASVTVLRRLSNEWKDYSVKHSSLDPVRETLKSFRQKNEKALAEEENAGDYASLKEADKYCKAILGRLSRGHGCIRSLFIVSAALAAGAVIISQKEYWDLQKLSAMLNLPPS >Potri.005G012600.7.v4.1 pep chromosome:Pop_tri_v4:5:1001534:1003010:-1 gene:Potri.005G012600.v4.1 transcript:Potri.005G012600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012600.v4.1 MYAKCGRLDMAWDVFEKMEKKEVFTWNAMICGLGMHGRAEDAIELFFKMQKQKFRPNGITLLGVLSACAHSGMVDEGLRIFNSMEEVYGIEPGMEHYGCVVDLLGRAGLLGEAEEVMYSMPMEPSAAVWGALLGACRKHGDVELGERVGKILLELEPQNSGRYALLSNIYARAGRWDDVANVRKLMKERGVKTSTGISMIDFDGVVHEFKMGDGSHPQMKNIYLMLKNMIKRLKMEGFSPNTSQVLFDIEEEEKEAELQYHSEKLAIAFGLINTKPGTTIHVVKNLRMCEDCHSAFKLISQVYDREIIVRDRARYHHFKTGTCSCKDFW >Potri.005G012600.5.v4.1 pep chromosome:Pop_tri_v4:5:1001670:1003927:-1 gene:Potri.005G012600.v4.1 transcript:Potri.005G012600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012600.v4.1 MMAAHARPNKFTYPTLFKACTAAEAAEEGVQVHAHVIKQGLSGDVHIRSAGIQMYGSFGEVEGARRMLGEDGNSDVICFNAMIDGYLKCGEVEAAKELFWSMEDKNVGSWNVMVSGMAKCGMIEEARELFNEMKEKNEISWSAMIDGYIKGGYYKEALEVFNVMQREEIRPRKFVLSSVLAACANLGALDQGRWIHAYVNNNSNSFDAVLGTALVDMYAKCGRLDMAWDVFEKMEKKEVFTWNAMICGLGMHGRAEDAIELFFKMQKQKFRPNGITLLGVLSACAHSGMVDEGLRIFNSMEEVYGIEPGMEHYGCVVDLLGRAGLLGEAEEVMYSMPMEPSAAVWGALLGACRKHGDVELGERVGKILLELEPQNSGRYALLSNIYARAGRWDDVANVRKLMKERGVKTSTGISMIDFDGVVHEFKMGDGSHPQMKNIYLMLKNMIKRLKMEGFSPNTSQVLFDIEEEEKEAELQYHSEKLAIAFGLINTKPGTTIHVVKNLRMCEDCHSAFKLISQVYDREIIVRDRARYHHFKTGTCSCKDFW >Potri.005G012600.6.v4.1 pep chromosome:Pop_tri_v4:5:1001576:1003126:-1 gene:Potri.005G012600.v4.1 transcript:Potri.005G012600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012600.v4.1 MQREEIRPRKFVLSSVLAACANLGALDQGRWIHAYVNNNSNSFDAVLGTALVDMYAKCGRLDMAWDVFEKMEKKEVFTWNAMICGLGMHGRAEDAIELFFKMQKQKFRPNGITLLGVLSACAHSGMVDEGLRIFNSMEEVYGIEPGMEHYGCVVDLLGRAGLLGEAEEVMYSMPMEPSAAVWGALLGACRKHGDVELGERVGKILLELEPQNSGRYALLSNIYARAGRWDDVANVRKLMKERGVKTSTGISMIDFDGVVHEFKMGDGSHPQMKNIYLMLKNMIKRLKMEGFSPNTSQVLFDIEEEEKEAELQYHSEKLAIAFGLINTKPGTTIHVVKNLRMCEDCHSAFKLISQVYDREIIVRDRARYHHFKTGTCSCKDFW >Potri.005G012600.2.v4.1 pep chromosome:Pop_tri_v4:5:1001745:1003938:-1 gene:Potri.005G012600.v4.1 transcript:Potri.005G012600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G012600.v4.1 MSTTTNLPYHLASKDFSTENKFTSQLSQKTILDLLNTKSSTSLHHLKQVHAVALRTGHFQDHYVSGTLVKCYANPHFSNLNFALKVFEYVPNPNVFVFNIIIKGCLQNNEPCKAIWCYYNMMAAHARPNKFTYPTLFKACTAAEAAEEGVQVHAHVIKQGLSGDVHIRSAGIQMYGSFGEVEGARRMLGEDGNSDVICFNAMIDGYLKCGEVEAAKELFWSMEDKNVGSWNVMVSGMAKCGMIEEARELFNEMKEKNEISWSAMIDGYIKGGYYKEALEVFNVMQREEIRPRKFVLSSVLAACANLGALDQGRWIHAYVNNNSNSFDAVLGTALVDMYAKCGRLDMAWDVFEKMEKKEVFTWNAMICGLGMHGRAEDAIELFFKMQKQKFRPNGITLLGVLSACAHSGMVDEGLRIFNSMEEVYGIEPGMEHYGCVVDLLGRAGLLGEAEEVMYSMPMEPSAAVWGALLGACRKHGDVELGERVGKILLELEPQNSGRYALLSNIYARAGRWDDVANVRKLMKERGVKTSTGISMIDFDGVVHEFKMGDGSHPQMKNIYLMLKNMIKRLKMEGFSPNTSQVLFDIEEEEKEAELQYHSEKLAIAFGLINTKPGTTIHVVKNLRMCEDCHSAFKLISQVYDREIIVRDRARYHHFKTGTCSCKDFW >Potri.013G116900.1.v4.1 pep chromosome:Pop_tri_v4:13:12533200:12537461:-1 gene:Potri.013G116900.v4.1 transcript:Potri.013G116900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116900.v4.1 MNGPNRSFNDDDDEDDQGEVFLDDSDIIHEVTVDEEDLPDADDDDNDDTDDSMHIFTGHTSELYTVACSPADPLLVATGGGDDKGFLWKIGLGDWAAELKGHKESVSSLTFSSDGQLLASGGFDGIVQIWDASSGNLKCVLEGPDEGIEWVRWHPKGHLVLAGSEDKTVWMWNADKGAYLNSFAGHEASVTCGDFTPDGKTICTGSDDASLRIWNPKSGENIHVVRGHPYHTDGLTCLALSSDSTLAITGSKDNSVHIVNITTGRCLWFFDMLCIGMLLLSLFSGSLLSSPWAATGGLDQKLIIWDLQHSLPRASCEHKDGVTCLAWLGASRYVATGCVDGKVRLWDSLSGDCIRIFSGHADAVQSLSISANQDYLVSGSSDGTARVFEIAEFK >Potri.009G119300.1.v4.1 pep chromosome:Pop_tri_v4:9:10012020:10016604:-1 gene:Potri.009G119300.v4.1 transcript:Potri.009G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119300.v4.1 MAAATNFSHSPFISKTLTHSLNHNPLPKNPTLPFKLSKSYSLKAVISQQNPATATTQKTQFKHCFTKSQDGFLYCESLKVQEIMESVEKRPFYLYSKPQITRNVEAYKDALQGLSSIIGYAIKANNNLKILEHLRGLGCGAVLVSGNELRLALRAGFDPTRCIFNGNGKLLDDLVLAAQEGVFVNVDSEFDLENIVAAARIAGKKVNVLLRINPDVDPQVHPYVATGNKNSKFGIRNEKLQWFLDAVKAHPNELKLVGAHCHLGSTITKVDIFRDAAALMVNYIDEIRAQGFEVDYLNIGGGLGIDYYHSGAVLPTPRDLIDTVRELVLSRDLNLIIEPGRSLIANTCCLVNRVTGVKTNGTKNFVVIDGSMAELIRPSLYDAYQHIELVSPASPSAEVSTFDVVGPVCESADFLGKDRELPTPAKGAGLVVHDAGAYCMSMASTYNLKMRPPEYWVEDDGSVSKIRQGETFEDHIRFFEGL >Potri.010G029100.5.v4.1 pep chromosome:Pop_tri_v4:10:4177591:4182431:-1 gene:Potri.010G029100.v4.1 transcript:Potri.010G029100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029100.v4.1 MAQILAPSSQWQMRIAKNSAPACPMTAKMWSSLVLKQNKKGIAKSSAKFRVFALKSENSTINRMEDLLNLDLTPYTDKFIAEYIWIGGSGIDLRSKSRTISKPIEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPQGEPIPTNKRHRAAEIFSNKKVIDEVPWFGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACMYAGINISGTNGEVMPGQWEYQVGPSVGIDAGDHIWISRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKTMREEGGFEAIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRETEKQGKGYLEDRRPASNMDPYIVTSLLAETTILYEPTLEAEALAAQKLSMNV >Potri.010G029100.6.v4.1 pep chromosome:Pop_tri_v4:10:4177569:4180964:-1 gene:Potri.010G029100.v4.1 transcript:Potri.010G029100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G029100.v4.1 MAQILAPSSQWQMRIAKNSAPACPMTAKMWSSLVLKQNKKGIAKSSAKFRVFALKSENSTINRMEDLLNLDLTPYTDKFIAEYIWIGGSGIDLRSKSRTISKPIEHPSELPKWNYDGSSTGQAPGEDSEVILYPQAIFKDPFRGGNNILVMCDTYTPQGEPIPTNKRHRAAEIFSNKKVIDEVPWFGIEQEYTLLQTNVKWPLGWPVGGYPGPQGPYYCGAGADKSFGRDISDAHYKACMYAGINISGTNGEVMPGQWEYQVGPSVGIDAGDHIWISRYILERITEQAGVVLSLDPKPIEGDWNGAGCHTNYSTKTMREEGGFEAIKKAILNLSLRHKEHISAYGEGNERRLTGKHETASIDTFSWGVANRGCSIRVGRETEKQGKGYLEDRRPASNMDPYIVTSLLAETTILYEPTLEAEALAAQKLSMNV >Potri.002G135500.1.v4.1 pep chromosome:Pop_tri_v4:2:10206508:10209431:-1 gene:Potri.002G135500.v4.1 transcript:Potri.002G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135500.v4.1 MAPVSALAKYKLVFLGDQSVGKTSIITRFMYDKFDNTYQATIGIDFLSKTMYLEDRTVRLQLWDTAGQERFRSLIPSYIRDSSVAVIVFDVASRQSFLNTSKWIEEVRSERGSDVIIVLVGNKTDLVDKRQVSIEEGEAKARDLNVMFIETSAKAGFNIKALFRKIAAALPGMETLSSSKQEDMVDVNLKSSNANASQSQQQSGGCAC >Potri.012G033500.5.v4.1 pep chromosome:Pop_tri_v4:12:3023712:3030377:1 gene:Potri.012G033500.v4.1 transcript:Potri.012G033500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033500.v4.1 MEDLWMVFCGESGNLDIGEKLSSSSLVFQPTSCVNHALIICFSVLLLAMLLLTCIQKSSSPSKKDNIQPRFRGYSRLRIVSAIFNGCIGFVYLCLGIWILEEQLRKNQTALPLKSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSIIAFLFAGIVGAFSIYSVILGKAILVKVALDVLSFPGSILLLVCVYKVYKHEGSCESDLYAPLNGEANGASRTDSVVRVTLFAEAGFFNKMSFWWLNPMMKMGKAKTLEDEDIPKLRLEDRAESCYFEFLEQLNKHKQAESSQPSLLWIIIFCHWKEILISGLFALLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLALTLFFSKNLESVAQRQWYFRSRLIGLKVKSLLTAAIYKKQLKLSNLGRLTHSSGEVMNYVTVDAYRIGEFPFWFHQTWTTSLQLCISLVILYRAMGLATFAALVVIIITVLCNAPLAKLQHKFQSKLMVAQDERLKACNEALVNMKVLKLYAWETHFKNAIENLREVEYKWLSAVQMRKAYNSFLFWSSPVLVSAVTFGACYFMKIHLHANNVFTFVATLRLVQEPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQSRNVQQRRNTGSVNHSVLIKSADFSWEENSSKPTLRNVSLKIMPGEKVAVCGEVGSGKSTLLAAILGEVPHTKGTIQVYGRIAYVSQTAWIQTGTIQESILFGSEMDGQRYQDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAETATSLFNEYITGALSGKTVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHKLLSSSQEFLDLVNAHKETAGSERLPEANALQRQGSSAREIKKSYEEKQLKTSQGDQLIKQEEKEIGDTGFKPYIEYLNQNKGYLYFSLASFGHLLFVTGQISQNSWMAANVDDPHVSTLRLIVIYLSIGIISMLFLLCRSIFTVVLGLQSSKSLFSQLLLSLFRAPMSFYDSTPLGRILSRVASDLSIVDLDVPFSLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQRYYFASAKELMRINGTTKSLVANHLAESVAGALTIRAFEGEERFFAKNLHLIDINASPFFHSFAANEWLIQRLETFCAAILASAALCVVLLPPGTFSSGFIGMALSYGLSLNMSFVMSIQNQCMVANYIISVERLNQYMHIPSEAPEVVEDNRPPSDWPAVGKVDICDLQIRYRPGTPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIVDGIDISKIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLQEAVQEKKQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRTEFSDCTVITVAHRIPTVMDCSMVLAISDGKLVEYDEPRNLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.012G033500.1.v4.1 pep chromosome:Pop_tri_v4:12:3023523:3030424:1 gene:Potri.012G033500.v4.1 transcript:Potri.012G033500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033500.v4.1 MEDLWMVFCGESGNLDIGEKLSSSSLVFQPTSCVNHALIICFSVLLLAMLLLTCIQKSSSPSKKDNIQPRFRGYSRLRIVSAIFNGCIGFVYLCLGIWILEEQLRKNQTALPLKSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSIIAFLFAGIVGAFSIYSVILGKAILVKVALDVLSFPGSILLLVCVYKVYKHEGSCESDLYAPLNGEANGASRTDSVVRVTLFAEAGFFNKMSFWWLNPMMKMGKAKTLEDEDIPKLRLEDRAESCYFEFLEQLNKHKQAESSQPSLLWIIIFCHWKEILISGLFALLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLALTLFFSKNLESVAQRQWYFRSRLIGLKVKSLLTAAIYKKQLKLSNLGRLTHSSGEVMNYVTVDAYRIGEFPFWFHQTWTTSLQLCISLVILYRAMGLATFAALVVIIITVLCNAPLAKLQHKFQSKLMVAQDERLKACNEALVNMKVLKLYAWETHFKNAIENLREVEYKWLSAVQMRKAYNSFLFWSSPVLVSAVTFGACYFMKIHLHANNVFTFVATLRLVQEPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQSRNVQQRRNTGSVNHSVLIKSADFSWEENSSKPTLRNVSLKIMPGEKVAVCGEVGSGKSTLLAAILGEVPHTKGTIQVYGRIAYVSQTAWIQTGTIQESILFGSEMDGQRYQDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAETATSLFNEYITGALSGKTVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHKLLSSSQEFLDLVNAHKETAGSERLPEANALQRQGSSAREIKKSYEEKQLKTSQGDQLIKQEEKEIGDTGFKPYIEYLNQNKGYLYFSLASFGHLLFVTGQISQNSWMAANVDDPHVSTLRLIVIYLSIGIISMLFLLCRSIFTVVLGLQSSKSLFSQLLLSLFRAPMSFYDSTPLGRILSRVASDLSIVDLDVPFSLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQRYYFASAKELMRINGTTKSLVANHLAESVAGALTIRAFEGEERFFAKNLHLIDINASPFFHSFAANEWLIQRLETFCAAILASAALCVVLLPPGTFSSGFIGMALSYGLSLNMSFVMSIQNQCMVANYIISVERLNQYMHIPSEAPEVVEDNRPPSDWPAVGKVDICDLQIRYRPGTPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIVDGIDISKIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLQEAVQEKKQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRTEFSDCTVITVAHRIPTVMDCSMVLAISDGKLVEYDEPRNLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.012G033500.4.v4.1 pep chromosome:Pop_tri_v4:12:3023494:3030311:1 gene:Potri.012G033500.v4.1 transcript:Potri.012G033500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033500.v4.1 MEDLWMVFCGESGNLDIGEKLSSSSLVFQPTSCVNHALIICFSVLLLAMLLLTCIQKSSSPSKKDNIQPRFRGYSRLRIVSAIFNGCIGFVYLCLGIWILEEQLRKNQTALPLKSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSIIAFLFAGIVGAFSIYSVILGKAILVKVALDVLSFPGSILLLVCVYKVYKHEGSCESDLYAPLNGEANGASRTDSVVRVTLFAEAGFFNKMSFWWLNPMMKMGKAKTLEDEDIPKLRLEDRAESCYFEFLEQLNKHKQAESSQPSLLWIIIFCHWKEILISGLFALLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLALTLFFSKNLESVAQRQWYFRSRLIGLKVKSLLTAAIYKKQLKLSNLGRLTHSSGEVMNYVTVDAYRIGEFPFWFHQTWTTSLQLCISLVILYRAMGLATFAALVVIIITVLCNAPLAKLQHKFQSKLMVAQDERLKACNEALVNMKVLKLYAWETHFKNAIENLREVEYKWLSAVQMRKAYNSFLFWSSPVLVSAVTFGACYFMKIHLHANNVFTFVATLRLVQEPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQSRNVQQRRNTGSVNHSVLIKSADFSWEENSSKPTLRNVSLKIMPGEKVAVCGEVGSGKSTLLAAILGEVPHTKGTIQVYGRIAYVSQTAWIQTGTIQESILFGSEMDGQRYQDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAETATSLFNEYITGALSGKTVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHKLLSSSQEFLDLVNAHKETAGSERLPEANALQRQGSSAREIKKSYEEKQLKTSQGDQLIKQEEKEIGDTGFKPYIEYLNQNKGYLYFSLASFGHLLFVTGQISQNSWMAANVDDPHVSTLRLIVIYLSIGIISMLFLLCRSIFTVVLGLQSSKSLFSQLLLSLFRAPMSFYDSTPLGRILSRVASDLSIVDLDVPFSLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQRYYFASAKELMRINGTTKSLVANHLAESVAGALTIRAFEGEERFFAKNLHLIDINASPFFHSFAANEWLIQRLETFCAAILASAALCVVLLPPGTFSSGFIGMALSYGLSLNMSFVMSIQNQCMVANYIISVERLNQYMHIPSEAPEVVEDNRPPSDWPAVGKVDICDLQIRYRPGTPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPTGGKIIVDGIDISKIGLHDLRSRFGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLQEAVQEKKQGLDSLVVEDGSNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLILQKTIRTEFSDCTVITVAHRIPTVMDCSMVLAISDGKLVEYDEPRNLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.003G111500.1.v4.1 pep chromosome:Pop_tri_v4:3:13382888:13386881:1 gene:Potri.003G111500.v4.1 transcript:Potri.003G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111500.v4.1 MSLPETQGKTLPDAWDCKGRPAERSKTGGWTSAAMILGGEAMERLTTLGIAVNLVTYLTGTMHLGNATSANTVTNFLGTSFMLCLLGGFIADTFLGRYLTIAIFATVQATGVTILTISTVVPSLRPPKCVDNTDCIPANGEQLTVLYLALYLTALGTGGLKSSVSGFGSDQFDDTDPKERKQMLNFFNWFFFLISLGALCAVTVLVYIQDNLGREWGYGICACAIVLGLIVFLSGTRRYRFRKLVGSPLTQIATVFVSAWRKRHMELPSDVSLLYNVDDVEEGSKEKKQKLPHTKQFRFLDKAAIKGLEVGKVNKWNLANLTDVEEVKMVIRMLPIWATTVIFWTVYAQMTTFSVSQATTMDRRIGKSFQIPAASLTVFFVGSILLTVPVYDRIIVPVARRVLKHPQGLTPLRRIGVGLVLSIVAMIAAALTEKKRLRAARLHGLANDPTAEIPLSVFWLVPQFFFVGSGEAFTYIGQLDFFLRECPKGMKTMSTGLFLSTLSLGFFVSSSLVTIVHKVTINKPWLADNLNQGRLHDFYWLLAILSALNFVIYLICARWYVYKDKRLADEGIELDEVDEPTFH >Potri.001G436200.15.v4.1 pep chromosome:Pop_tri_v4:1:46215970:46221960:-1 gene:Potri.001G436200.v4.1 transcript:Potri.001G436200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436200.v4.1 MEDSDTSYEQQQLNQRQDPLLLTDVSWQQQQQNSSSLSYSQYTQPISSKFQSLTMVDSISFPNSNEDMYWQETQSLESISTQKIIHPVENDTIEGRSVIGFSLTSPDLVICAASPDISRTGYGDSPDFMDKNKCSIEVSLENGIDGSGIKDSSKTPCVKFSPVFQTFNKELSPESSFELLPQTEKEEKLVKVFVPGVSINAGCTGGAVFLGGVEFVEDDCFAGGDTVRTDATIGDGQDGGLSLYQTARYGNFSYCFRGLEPGTYDVSLHLAEIVFTEGPPGLRVFDVFIHEKKVVSCLDIYAQVGANKPLVVSDLKAFVEGDEGLLIRFEGVMGKPIVCGISVTKDSSAHTGEAQLLKPVEMSQVAECESPKEDNGHLQVEGDYEKLLRDYECQRRELTEMRRTMDELKRENRLKSRECQDALKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFTSLRDLTRKLKLMKMEHIKLSEEALAYKNCVADMEDMRFTIVSTMKQQVELHEDIKIKFVEGAKERKELYNKVLELKGNIRVFCRCRPLKPEEVAAGALVTIDFESAKDGELTVMSNGLPRKTFKFDAVFGPQANQADVFEDTASFASSILDGYNVCVFAYGQTGTGKTFTMEGTEEDRGVNFRTLEQVFCMIKEREELFRYDVSVSVLEVYNEQIRDLLVSDSQPGVAAKRLEIRQAGEGLHHVPGLVEARVHNMSEVWEVLQTGSNARAIGSTNANEHSSRSHCIHCVMVKGENLLNGECTKNKLWLVDLAGSERISKTEVQGERLRETQNINKSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTFMFVQISPNENDLGETLCSLNFASRVRGIELGPAKRQLDNAELLRYKQMSEKSKQDLKSKDVQIKKMEDTINGLDLKTKEKDLKYMMLQDKVKELEAQLLVERKLARQHVDTKIAEQQQQQQMKQQQAEHIIAPPRPPLPNRILGSNWIYNEPANGALNKQQINPTQPLAGNTSNKSTIPLPSTDGIVKLIDSTEKENNPDMANQPRLPKRTGRASICTTAGQVLAAPAPRRNSMIPLPSIPSLVQLPSIPSSFLLCQVDMKQDLEGTETNCLHKQTHCDSPKGIRNGSKRLNTMLKRSLQKKANMKSPMQQHTRRGGINVGMEKVRVSIGSRGRMAHRVLLGNGRRAGMRETHQKQMLGEKERRWNSGTVARTPI >Potri.001G436200.8.v4.1 pep chromosome:Pop_tri_v4:1:46215515:46222141:-1 gene:Potri.001G436200.v4.1 transcript:Potri.001G436200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436200.v4.1 MEDSDTSYEQQQLNQRQDPLLLTDVSWQQQQQNSSSLSYSQYTQPISSKFQSLTMVDSISFPNSNEVDMYWQETQSLESISTQKIIHPVENDTIEGRSVIGFSLTSPDLVICAASPDISRTGYGDSPDFMDKNKCSIEVSLENGIDGSGIKDSSKTPCVKFSPVFQTFNKELSPESSFELLPQTEKEEKLVKVFVPGVSINAGCTGGAVFLGGVEFVEDDCFAGGDTVRTDATIGDGQDGGLSLYQTARYGNFSYCFRGLEPGTYDVSLHLAEIVFTEGPPGLRVFDVFIHEKKVVSCLDIYAQVGANKPLVVSDLKAFVEGDEGLLIRFEGVMGKPIVCGISVTKDSSAHTGEAQLLKPVEMSQVAECESPKEDNGHLQVEGDYEKLLRDYECQRRELTEMRRTMDELKRENRLKSRECQDALKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFTSLRDLTRKLKLMKMEHIKLSEEALAYKNCVADMEDMRFTIVSTMKQQVELHEDIKIKFVEGAKERKELYNKVLELKGNIRVFCRCRPLKPEEVAAGALVTIDFESAKDGELTVMSNGLPRKTFKFDAVFGPQANQADVFEDTASFASSILDGYNVCVFAYGQTGTGKTFTMEGTEEDRGVNFRTLEQVFCMIKEREELFRYDVSVSVLEVYNEQIRDLLVSDSQPGVAAKRLEIRQAGEGLHHVPGLVEARVHNMSEVWEVLQTGSNARAIGSTNANEHSSRSHCIHCVMVKGENLLNGECTKNKLWLVDLAGSERISKTEVQGERLRETQNINKSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTFMFVQISPNENDLGETLCSLNFASRVRGIELGPAKRQLDNAELLRYKQMSEKSKQDLKSKDVQIKKMEDTINGLDLKTKEKDLKYMMLQDKVKELEAQLLVERKLARQHVDTKIAEQQQQQQMKQQQAEHIIAPPRPPLPNRILGSNWIYNEPANGALNKQQINPTQPLAGNTSNKSTIPLPSTDGIVKLIDSTEKENNPDMANQPRLPKRTGRASICTTAGQVLAAPAPRRNSMIPLPSIPSLVQLPSIPSSFLLCQVDMKQDLEGTETNCLHKQTHCDSPKGIRNGSKRLNTMLKRSLQKKANMKSPMQQHTRRGGINVGMEKVRVSIGSRGRMAHRVLLGNGRRAGMRETHQKQMLGEKERRWNSGTVARTPI >Potri.001G436200.16.v4.1 pep chromosome:Pop_tri_v4:1:46215970:46222111:-1 gene:Potri.001G436200.v4.1 transcript:Potri.001G436200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436200.v4.1 MVDSISFPNSNEVDMYWQETQSLESISTQKIIHPVENDTIEGRSVIGFSLTSPDLVICAASPDISRTGYGDSPDFMDKNKCSIEVSLENGIDGSGIKDSSKTPCVKFSPVFQTFNKELSPESSFELLPQTEKEEKLVKVFVPGVSINAGCTGGAVFLGGVEFVEDDCFAGGDTVRTDATIGDGQDGGLSLYQTARYGNFSYCFRGLEPGTYDVSLHLAEIVFTEGPPGLRVFDVFIHEKKVVSCLDIYAQVGANKPLVVSDLKAFVEGDEGLLIRFEGVMGKPIVCGISVTKDSSAHTGEAQLLKPVEMSQVAECESPKEDNGHLQVEGDYEKLLRDYECQRRELTEMRRTMDELKRENRLKSRECQDALKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFTSLRDLTRKLKLMKMEHIKLSEEALAYKNCVADMEDMRFTIVSTMKQQVELHEDIKIKFVEGAKERKELYNKVLELKGNIRVFCRCRPLKPEEVAAGALVTIDFESAKDGELTVMSNGLPRKTFKFDAVFGPQANQADVFEDTASFASSILDGYNVCVFAYGQTGTGKTFTMEGTEEDRGVNFRTLEQVFCMIKEREELFRYDVSVSVLEVYNEQIRDLLVSDSQPGVAAKRLEIRQAGEGLHHVPGLVEARVHNMSEVWEVLQTGSNARAIGSTNANEHSSRSHCIHCVMVKGENLLNGECTKNKLWLVDLAGSERISKTEVQGERLRETQNINKSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTFMFVQISPNENDLGETLCSLNFASRVRGIELGPAKRQLDNAELLRYKQMSEKSKQDLKSKDVQIKKMEDTINGLDLKTKEKDLKYMMLQDKVKELEAQLLVERKLARQHVDTKIAEQQQQQQMKQQQAEHIIAPPRPPLPNRILGSNWIYNEPANGALNKQQINPTQPLAGNTSNKSTIPLPSTDGIVKLIDSTEKENNPDMANQPRLPKRTGRASICTTAGQVLAAPAPRRNSMIPLPSIPSLVQLPSIPSSFLLCQVDMKQDLEGTETNCLHKQTHCDSPKGIRNGSKRLNTMLKRSLQKKANMKSPMQQHTRRGGINVGMEKVRVSIGSRGRMAHRVLLGNGRRAGMRETHQKQMLGEKERRWNSGTVARTPI >Potri.001G436200.17.v4.1 pep chromosome:Pop_tri_v4:1:46216017:46222024:-1 gene:Potri.001G436200.v4.1 transcript:Potri.001G436200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436200.v4.1 MVDSISFPNSNEDMYWQETQSLESISTQKIIHPVENDTIEGRSVIGFSLTSPDLVICAASPDISRTGYGDSPDFMDKNKCSIEVSLENGIDGSGIKDSSKTPCVKFSPVFQTFNKELSPESSFELLPQTEKEEKLVKVFVPGVSINAGCTGGAVFLGGVEFVEDDCFAGGDTVRTDATIGDGQDGGLSLYQTARYGNFSYCFRGLEPGTYDVSLHLAEIVFTEGPPGLRVFDVFIHEKKVVSCLDIYAQVGANKPLVVSDLKAFVEGDEGLLIRFEGVMGKPIVCGISVTKDSSAHTGEAQLLKPVEMSQVAECESPKEDNGHLQVEGDYEKLLRDYECQRRELTEMRRTMDELKRENRLKSRECQDALKSLQELQNELMRKSMHVGSLAFAIEGQVKEKSRWFTSLRDLTRKLKLMKMEHIKLSEEALAYKNCVADMEDMRFTIVSTMKQQVELHEDIKIKFVEGAKERKELYNKVLELKGNIRVFCRCRPLKPEEVAAGALVTIDFESAKDGELTVMSNGLPRKTFKFDAVFGPQANQADVFEDTASFASSILDGYNVCVFAYGQTGTGKTFTMEGTEEDRGVNFRTLEQVFCMIKEREELFRYDVSVSVLEVYNEQIRDLLVSDSQPGVAAKRLEIRQAGEGLHHVPGLVEARVHNMSEVWEVLQTGSNARAIGSTNANEHSSRSHCIHCVMVKGENLLNGECTKNKLWLVDLAGSERISKTEVQGERLRETQNINKSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTFMFVQISPNENDLGETLCSLNFASRVRGIELGPAKRQLDNAELLRYKQMSEKSKQDLKSKDVQIKKMEDTINGLDLKTKEKDLKYMMLQDKVKELEAQLLVERKLARQHVDTKIAEQQQQQQMKQQQAEHIIAPPRPPLPNRILGSNWIYNEPANGALNKQQINPTQPLAGNTSNKSTIPLPSTDGIVKLIDSTEKENNPDMANQPRLPKRTGRASICTTAGQVLAAPAPRRNSMIPLPSIPSLVQLPSIPSSFLLCQVDMKQDLEGTETNCLHKQTHCDSPKGIRNGSKRLNTMLKRSLQKKANMKSPMQQHTRRGGINVGMEKVRVSIGSRGRMAHRVLLGNGRRAGMRETHQKQMLGEKERRWNSGTVARTPI >Potri.002G127201.1.v4.1 pep chromosome:Pop_tri_v4:2:9640509:9640856:-1 gene:Potri.002G127201.v4.1 transcript:Potri.002G127201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127201.v4.1 MNHVLLSSFLELLFCVIPVLEFCFGLMVVLYDVAVVFGDQLHQDKMWTISWLEVFEVLIFLSEESKSA >Potri.002G092000.1.v4.1 pep chromosome:Pop_tri_v4:2:6658909:6663099:-1 gene:Potri.002G092000.v4.1 transcript:Potri.002G092000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092000.v4.1 MNMASNVSSPSSSTIVGEYIGIESCLDLKNEEDIFTSSSNKEETNYESCSQRCHREKREQRWAKKKEFPPPIPLLARTENLPSHMPWVLKRYYTSDGRLILREEKVRRHEYFRAHRSNGRLTLHLVPLDDEVSATLFVGDERRHDIENDIDQCYDNEDDGEEEQVLEQEHEEDKEENESLVEESIDVKVNDNDGVENDYFGNNVDSGETIEVEEEEAVHDDQRVPSMESSESAGKCLNYISVRTSSTCIFGVPVPAIRPVYT >Potri.001G353250.1.v4.1 pep chromosome:Pop_tri_v4:1:36729246:36730237:-1 gene:Potri.001G353250.v4.1 transcript:Potri.001G353250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353250.v4.1 MIFRDIPLSVLNQSPSFILKNINRNRLLLEDDVRHHLPFRWDFQIIKYPGTIIKVTIQQTVERLHTEVMQKEQFHVSICHHQLSVGLNLNTATPSTFLYFTIQGQSQNQSKEIDTADLMAFHLSQEASILRFSGGDHLHELPINVIWQGRI >Potri.016G066100.2.v4.1 pep chromosome:Pop_tri_v4:16:4626279:4631849:1 gene:Potri.016G066100.v4.1 transcript:Potri.016G066100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G066100.v4.1 MMKRLNAPARLMIVSDLDHTMVDHHDPENMSLLRFNALWEACYRHDSLLVFSTGRSRTLYKQLRKEKPMLTPDITIMSVGTEITYGTSMVPDDGWVEVLNQKWDRNTVTEETSKFSELTLQSETEQRPHKVSFYVDKDKAQDVTKALSEIFAKRGLDVKIIYSGGMDLDILPQGAGKGQALAYLHKKFKAEGKLPTNTLVCGDSGNDAELFSIPDVHGVMVSNAQEELLQWHAENAKGNPKIIHATERCAAGIIQAIGHFNLGPNTSPRDITNFSDSELENVSASSEIVKFFLFYERWRRAEVENCEIYLASVKADCDASGILVHPSGAELPLCGAITGMRNYYGDKQGQQFRVWVDRVLSTQTGLDTWLVKFNKWELSGDEQQGCVITCIINMKKDGVSRATYMHVHETWLEGSGAKDQSTWLF >Potri.006G112000.1.v4.1 pep chromosome:Pop_tri_v4:6:8695986:8701185:-1 gene:Potri.006G112000.v4.1 transcript:Potri.006G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G112000.v4.1 MKSRRMFREGHRRSPRISALDVWKAQPLSRTTVAKKTRLSRSITSTSTSTVAKVQDKELEQQKENQPEGPACRTRAKKKRKLKPLQDVASTPNAQQEPKSSDESDERELSQKDHPINSDEAILQKKDGPKQKGQAHSPDQPSSFSCTSWVPEKRILEHIVDILQRRDTHEIFAEPVDPNEVEEYYEIIQEPMDFGTMRAKLHEGMYKSLEQFEHDVFLISGNAMHFNSSSTIYFRQARAIAELAKKVFHVLKTDPDNFELEFSGTRRRSGRRPQHEVKGSTYSPSLKVARSSKSSNTNTAVHVSPKPTPCLTSCSSSLKRAIRVNSACLGITTHSDARDDEVLFGSGDGKRFGFSETDRRSTYKPWMSFLDESYPIISSIYSNSKPLVHVNQQEIAYHKSLFLFVKDVGPTAQMVAKRKLDGWPTTAANFPTPGSNFWLQSPNCQTSAASTSAQCPPTLDATITAACQNVSRGDRIDMFDVDKGGVAYAGNDFGIHGTSEKVAPNGNCYSNFGSISSDASFCNDTDVASVSRNEKPRQNQNRGLQQGSYSPVADARDLNLLAAGSSKKDNGSAMHKLERSKMDNKSQPSDSGFKGVRSNALESRLSDTYSLSPSSWPLKTTGMSSFNRHIGNTHSPSTQCLRGDDQAPAAQVPNHGLGSSSETIQDLKPSEQLTPVSGHFIFDLPFFKTQLDQINSPEQNRFLQHGSGMQGSFPNRIGETYNDSRPHSSLNTQHTNLALQL >Potri.008G051200.1.v4.1 pep chromosome:Pop_tri_v4:8:3004276:3005083:1 gene:Potri.008G051200.v4.1 transcript:Potri.008G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051200.v4.1 MKRSLYAREIDSITMANCLVFLSKGRESYSFPSFDHAINNNSPSRVFECKTCNRKFPSFQALGGHRASHKKPKLMGGEGSFESQSPAKPKTHECSICGLEFAIGQALGGHMRRHRAALNDQNQLADPLSPPSSDHKQVVPVVKKSNSRRVLCLDLNLTPNENDMELFKLGNAAPIYS >Potri.004G029400.1.v4.1 pep chromosome:Pop_tri_v4:4:2294353:2294816:-1 gene:Potri.004G029400.v4.1 transcript:Potri.004G029400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029400.v4.1 MENRGSKWATNQGLKSFRFSARGSQLLVHPKRHSYATKSNQHRVVISPCGKLVYQTTKKRASGPKCPVTGKRIQGIPHLRPAKYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKAKEKQASK >Potri.013G152600.1.v4.1 pep chromosome:Pop_tri_v4:13:14844408:14846484:1 gene:Potri.013G152600.v4.1 transcript:Potri.013G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G152600.v4.1 MEDDVSNPFRRMSMRTRKIAPKMAAALASNDNRTQAAIARLEALENDYTGMETVEAVDDDEASLDDDDDVYIQKKQKGTKRKTRQAKALENARKAPRNFLELLHEANLEALPPHVPSYLRAAVGPPSSTCRRHFCTVCGFTSNYTCAKCGMRFCSIRCQKIHDDTRCLKFVA >Potri.003G191700.1.v4.1 pep chromosome:Pop_tri_v4:3:19488067:19488876:-1 gene:Potri.003G191700.v4.1 transcript:Potri.003G191700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191700.v4.1 MEKQQQFCVLSPCFPSRNTNNPKKRKECECQQAEREVFAGSQPIFNEHPFWFKKPKTSSGIGSIVVASRNPGRVLKESKLFDENWVFKNSVDPDSRNNESGEELERRVAFNLRTMRSSFSLGDLLEERSRGVSTQLSLYHHDPFEIKKKMKPSDLGNLCRLLVSADLVEKHILPFLNEDQTKQVWVWDIDTGSMHQLVFKRWSTSKSYIFNDGWTKHFVKRRNLRESDEIGLYWDNDQSRFHFSVLSRAAAVAKIRN >Potri.012G048900.1.v4.1 pep chromosome:Pop_tri_v4:12:4577057:4579576:1 gene:Potri.012G048900.v4.1 transcript:Potri.012G048900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048900.v4.1 MEGGHKNSGILLVSLVIIAGALPFCSSQATRRFQFNVEWKKVTRLCTTKQLLTVNGQYPGPTIAVHEGDRVEIKVKNRIAHNTTLHWHGLRQLRTGWADGPAYITQCPIRGGQSYTYKFTVIKQRGTLLWHAHYAWQRASVYGALIIYPRMPYPFSAQIQAEIPIILGEWWNGDPDEVEKIMMLTGAGPDSSNAYTINGMPGPLYPCSNRDTFIQTVEYGRTYMLRIINAALANELFFAIAKHKLTVVEVDAVYTKPFTTTSIMIAPGQTTTVLMTANQVPDSTGMFAMAARPYLTSVFPSNNSTTISFLRYKNARNRRGKPPSNLSSLKLYNLPAMEDTAFATKFSGNIKSLASPKYPCDVPKTIDKRVITTISLNLQDCPAKKTCLGFRGKKFFASMNNQSFVRPSISILESYYKNLTTTSFSSDFPEKPPNAFDYTGGDPLSQNMNTEFGTKLIVVPYGTNLEIVLQDTSFLNLENHPIHVHGHNFFIVGSGFGNFNKAKDPKRYNLVDPPERNTVAVPSGGWAAIRIKADNPGVWFIHCHLEQHTSWGLAAGFIVQNGQEPSQRLLPPPQDLPSC >Potri.002G170900.2.v4.1 pep chromosome:Pop_tri_v4:2:13159454:13174706:1 gene:Potri.002G170900.v4.1 transcript:Potri.002G170900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170900.v4.1 MAPRNSRGKAKGERKKKDEKVLPAVADITINLPDETHVVLKGISTDRIIDVRRLLSVNTGTCYITNFSLSHEVRGSRLKDTVDVSALKPCVLTLSDEDYDEELAVAHVRRLLDIVACTTCFGPSATAQDKLKSDTGKNAPAAQDNKTSKKTTTKSPSTAAISTKKSSSPKSASKDVPVDAEGEMSHSCPKLGSFYEFFSLSHLTPPLQFIRKATKREIDEISVDDHLFSLDVKLCNGKLVQVEACRKGFYSVGKQRILCHNLVDLLRQLSRAFDNAYDELMKAFAERNKFGNLPYGFRANTWLIPPVAAQLLSVFPPLPVEDETWGGNGGGLGRDGKKDLIPWADEFLFVASMPCKTAEERQIRDRKAFLLHSLFVDVAIFRAIKAVQHVKLKPDLLGSVANSDIPYTERIGDLSITVMKDASNASSKVDTKIDGIQATGTDKKNLVERNLLKGITADENTAAHDIATLGFLNVRYCGFIAIVKVEVRDEKKASPPSQSIELEQPEGGANALNINSLRLLLYKTIPSEHTKQTPNLQTLECEELCASEAIVERLLEESVARLEEEAPEQDHLVRWELGACWMQHLQDQKNTEKDKKPSTETEMKVEGLGKPLKSLKNKKKSDESHVKMQSENSRPAFDGLSGAVEDATLPSMESHLEIDAKDNELTLQQLLSDAAFVRLKGSDTGLHRKSLRELIDLSHRYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVMDHEKIAVSIAAALNLMLGVPESRDSIKSLHVHPLVWRWLEVFLKKRYEWDLSSSNFKDVRKFAILRGLCHKVGIELVPRDFDMDSPHPFRKSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGSLHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQSLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPSRDAKGRDVAGKRKSYITKVKEKSQPNFGIASSNESPKNTPKEALDVEIHVPEDDASQETRSVHVEFQTPIVEETVEKKSSIVTEAFSETHALGDDGWQPVQRPRSAGLYGRRLKQRRGIVGKVYSYHKKIVDPDMDYTPVKNANQNSRYYLLKKRTPSHGSYGDRQTTNLPQGTRFGRRIVTAVTYRVKSVPSSNKTATTENPRIHSTALTSSESAPISPPNDIGQFKNSIVSLGKSPSYKEVALAPPGTIAKLQVWFPQSNTSDNQEIGDGKLKETNEVKEIAGPVVMSVEDSSGDNGENSESDHTDDLKKETGVALKMEEHHSTHVLEENSSPSMQGPESGDIEVHGIIQNGMLIDQMQNSNDSLPKEPHEKDSSIELEPLVDPNSTLPGVEDLKDKPLILSSGDSRGLPNKKLSASAAPFNPSTSIGCSPPVAINIPLPSAPGGVPAVAPWPVNMTLHPGPATVITPLSPMSSPHHPYPSPPPTPNMIHPLSYMYPPYSQAVPTSTFPVTSSAFHPNYFSWQCNVRPNVSEFIPSTVWSGCHAVEFSVPPPVVEPIADPVMEPKVQFENSGSPSPPPTQPVDIDNVGLANEEMNLQASDRKDNVKELTGVGLENIKENGHSNLSEVEVYRNDSSQKKSPKENVTSSVDQQIHGEKTFSILLRGRRNRKQNLRMPISLLSRPYGSQSFKVIYNRVVRGSEPPKSTSFAPGEGCTASAT >Potri.002G170900.3.v4.1 pep chromosome:Pop_tri_v4:2:13159421:13174755:1 gene:Potri.002G170900.v4.1 transcript:Potri.002G170900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170900.v4.1 MAPRNSRGKAKGERKKKDEKVLPAVADITINLPDETHVVLKGISTDRIIDVRRLLSVNTGTCYITNFSLSHEVRGSRLKDTVDVSALKPCVLTLSDEDYDEELAVAHVRRLLDIVACTTCFGPSATAQDKLKSDTGKNAPAAQDNKTSKKTTTKSPSTAAISTKKSSSPKSASKDVPVDAEGEMSHSCPKLGSFYEFFSLSHLTPPLQFIRKATKREIDEISVDDHLFSLDVKLCNGKLVQVEACRKGFYSVGKQRILCHNLVDLLRQLSRAFDNAYDELMKAFAERNKFGNLPYGFRANTWLIPPVAAQLLSVFPPLPVEDETWGGNGGGLGRDGKKDLIPWADEFLFVASMPCKTAEERQIRDRKAFLLHSLFVDVAIFRAIKAVQHVKLKPDLLGSVANSDIPYTERIGDLSITVMKDASNASSKVDTKIDGIQATGTDKKNLVERNLLKGITADENTAAHDIATLGFLNVRYCGFIAIVKVEVRDEKKASPPSQSIELEQPEGGANALNINSLRLLLYKTIPSEHTKQTPNLQTLECEELCASEAIVERLLEESVARLEEEAPEQDHLVRWELGACWMQHLQDQKNTEKDKKPSTETEMKVEGLGKPLKSLKNKKKSDESHVKMQSENSRPAFDGLSGAVEDATLPSMESHLEIDAKDNELTLQQLLSDAAFVRLKGSDTGLHRKSLRELIDLSHRYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVMDHEKIAVSIAAALNLMLGVPESRDSIKSLHVHPLVWRWLEVFLKKRYEWDLSSSNFKDVRKFAILRGLCHKVGIELVPRDFDMDSPHPFRKSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGSLHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQSLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPSRDAKGRDVAGKRKSYITKVKEKSQPNFGIASSNESPKNTPKEALDVEIHVPEDDASQETRSVHVEFQTPIVEETVEKKSSIVTEAFSETHALGDDGWQPVQRPRSAGLYGRRLKQRRGIVGKVYSYHKKIVDPDMDYTPVKNANQNSRYYLLKKRTPSHGSYGDRQTTNLPQGTRFGRRIVTAVTYRVKSVPSSNKTATTENPRIHSTALTSSESAPISPPNDIGQFKNSIVSLGKSPSYKEVALAPPGTIAKLQVWFPQSNTSDNQEIGDGKLKETNEVKEIAGPVVMSVEDSSGDNGENSESDHTDDLKKETGVALKMEEHHSTHVLEENSSPSMQGPESGDIEVHGIIQNGMLIDQMQNSNDSLPKEPHEKDSSIELEPLVDPNSTLPGVEDLKDKPLILSSGDSRGLPNKKLSASAAPFNPSTSIGCSPPVAINIPLPSAPGGVPAVAPWPVNMTLHPGPATVITPLSPMSSPHHPYPSPPPTPNMIHPLSYMYPPYSQAVPTSTFPVTSSAFHPNYFSWQCNVRPNVSEFIPSTVWSGCHAVEFSVPPPVVEPIADPVMEPKVQFENSGSPSPPPTQPVDIDNVGLANEEMNLQASDRKDNVKELTGVGLENIKENGHSNLSEVEVYRNDSSQKKSPKENVTSSVDQQIHGEKTFSILLRGRRNRKQNLRMPISLLSRPYGSQSFKVIYNRVVRGSEPPKSTSFAPGEGCTASAT >Potri.002G170900.4.v4.1 pep chromosome:Pop_tri_v4:2:13162918:13174728:1 gene:Potri.002G170900.v4.1 transcript:Potri.002G170900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170900.v4.1 MPCKTAEERQIRDRKAFLLHSLFVDVAIFRAIKAVQHVKLKPDLLGSVANSDIPYTERIGDLSITVMKDASNASSKVDTKIDGIQATGTDKKNLVERNLLKGITADENTAAHDIATLGFLNVRYCGFIAIVKVEVRDEKKASPPSQSIELEQPEGGANALNINSLRLLLYKTIPSEHTKQTPNLQTLECEELCASEAIVERLLEESVARLEEEAPEQDHLVRWELGACWMQHLQDQKNTEKDKKPSTETEMKVEGLGKPLKSLKNKKKSDESHVKMQSENSRPAFDGLSGAVEDATLPSMESHLEIDAKDNELTLQQLLSDAAFVRLKGSDTGLHRKSLRELIDLSHRYYTEVALPKLVADFGSLELSPVDGRTLTDFMHTRGLQMRSLGHVVKLSEKLSHVQSLCIHEMIVRAFKHILQAVIAAVMDHEKIAVSIAAALNLMLGVPESRDSIKSLHVHPLVWRWLEVFLKKRYEWDLSSSNFKDVRKFAILRGLCHKVGIELVPRDFDMDSPHPFRKSDVVSLVPVHKQAACSSADGRQLLESSKTALDKGKLEDAVTYGTKALAKLVAVCGPYHRMTAGAYSLLAVVLYHTGDFNQATIYQQKALDINERELGLDHPDTMKSYGDLAVFYYRLQHTELALKYVKRALYLLHLTCGSLHPNTAATYINVAMMEEGLGNVHVALRYLHKALKCNQSLLGPDHIQTAASYHAIAIALSLMEAYPLSVQHEQTTLQILRAKLGPDDLRTQDAAAWLEYFESKAFEQQEAARNGTKKPDASIASKGHLSVSDLLDYINPSRDAKGRDVAGKRKSYITKVKEKSQPNFGIASSNESPKNTPKEALDVEIHVPEDDASQETRSVHVEFQTPIVEETVEKKSSIVTEAFSETHALGDDGWQPVQRPRSAGLYGRRLKQRRGIVGKVYSYHKKIVDPDMDYTPVKNANQNSRYYLLKKRTPSHGSYGDRQTTNLPQGTRFGRRIVTAVTYRVKSVPSSNKTATTENPRIHSTALTSSESAPISPPNDIGQFKNSIVSLGKSPSYKEVALAPPGTIAKLQVWFPQSNTSDNQEIGDGKLKETNEVKEIAGPVVMSVEDSSGDNGENSESDHTDDLKKETGVALKMEEHHSTHVLEENSSPSMQGPESGDIEVHGIIQNGMLIDQMQNSNDSLPKEPHEKDSSIELEPLVDPNSTLPGVEDLKDKPLILSSGDSRGLPNKKLSASAAPFNPSTSIGCSPPVAINIPLPSAPGGVPAVAPWPVNMTLHPGPATVITPLSPMSSPHHPYPSPPPTPNMIHPLSYMYPPYSQAVPTSTFPVTSSAFHPNYFSWQCNVRPNVSEFIPSTVWSGCHAVEFSVPPPVVEPIADPVMEPKVQFENSGSPSPPPTQPVDIDNVGLANEEMNLQASDRKDNVKELTGVGLENIKENGHSNLSEVEVYRNDSSQKKSPKENVTSSVDQQIHGEKTFSILLRGRRNRKQNLRMPISLLSRPYGSQSFKVIYNRVVRGSEPPKSTSFAPGEGCTASAT >Potri.007G136133.1.v4.1 pep chromosome:Pop_tri_v4:7:14808310:14809675:-1 gene:Potri.007G136133.v4.1 transcript:Potri.007G136133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G136133.v4.1 MTSHSRAADDESFENKRGIFSSYFYRLAESFGFNSPNQNRRGAEFSNNRDGDGIVRSFEESVVVSAPGKDPAIKHTLSCSLEELYQGATKRVKITRQVADRRGLTREIEEILTIDTKPGWKKGTKITFEEKGNERPNVTPADVVFIVDEKPHSEFTRDGNDLIVTRRISVTEAFTGYTVHLKTLDGRNLTLPINDVIHPNYQKVVPNEGMPILGDPTKRGILKIKFDIRFPTRVNAEQKAGIRRLFGLEISMSACETSPMGRKPLDWYPLAAVCVCVCVCVCVCLCLCEVWHLSEIDVECRF >Potri.005G179400.2.v4.1 pep chromosome:Pop_tri_v4:5:18560649:18562708:-1 gene:Potri.005G179400.v4.1 transcript:Potri.005G179400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179400.v4.1 MVRPDILGLPTGAPVSVKKEQSSSFKEFCKACLSIFAGWCSVDRKLSPPSSGRSDELQVKFEAISQAPEELGYDTEEGKTMNGIHSFRYNANSMRGDNPSSPRGFFRHKSMDGCFPNISSPLSRSGSLKSPSRKLSFRKRNTSQKRTDSRHGLSASLSRNASRKNASTIMFSNSMGKMKPPAIERPLECTLEELCYGCMKKIEITRDVIITNTGQVIQEEETLTVRVKPGWKKGTKITFEGMGNERPGTCTADIILVIAEKRHSLFRREGEGLEIGVEVPLVKALTGCQISIPLLGGEETSLMIDDIIHPGYERIIEGQGMPSTKEQGGRGNLRVVFLVEFPTQLTDEQRSDIRTILEDSS >Potri.016G133700.2.v4.1 pep chromosome:Pop_tri_v4:16:13778319:13782351:-1 gene:Potri.016G133700.v4.1 transcript:Potri.016G133700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G133700.v4.1 MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVSVLLVTLFWYEVLMPQLSAWRVRRNAWLRERKRSEAIELQKLRKTATRKCRNCLTPYKDQNPGGGKFMCSYCGHISKRPVLDLPVLPGIGISNPGIIKDLVGKSGKILNGKAWSDNGWTCSQEWLDNGGWACGSIAGKSSYWRKNGNGIFGGDENCLAEKSYSRVVIFSCKLLTSFFLSIRWLWRKVFRISSSEDGSSDAGHRAMLAKRREDGENYHESRGDKARRKAEEKRQARLEKELLEEEEKKQREDVARLVEERRRLRDEIMEAERDRSQTSPLSREKNSRKDAEKKRQERRKEKDKGSSKSNSDAEELEKRAGKESDRRRDVEKKSESERREHHKSGMESVKGQNIESGHGIKNTPGSNFNRGNAGSRYFDRMKGTFLSSSRAFSGGGFFGKTAYTPATVTKENKPNSSIDPVHASAYRRDIHPPDRLSGKASLNGDDKNIYHPVLSETQPRMAPKKTWQQLFTLSSPAHPSSNSNVICRPISKQAECQAQQFPAQSSPMQCFDNPINFGLPSPFPVSAFQNVSSSTSLGFSPPIEPNFPRGMEGPCDFIPEEPELFEDPCYIPDPISLLGPVSESLDNFQLDLGNGFAPDMGPGLERLYAMKNVSASPEVTKPSPIESPLSRLRTADEKNNGSNWFPTTPISQDFNTLPMNDMHVNEKGTWQMWNSSPLGQDGLGLVGGPGSWLLPPEQNRSTKEDIILPPSQKTMASLFTKDDQILPGTYSPRKTFLGNGQSGVFSPVIGSIENEPWLQNTFFPPLSGSNSHFSLKSPEESTQNEMIYQSPTGAATNNAFGPSPGHSCSK >Potri.016G133700.1.v4.1 pep chromosome:Pop_tri_v4:16:13777155:13782433:-1 gene:Potri.016G133700.v4.1 transcript:Potri.016G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G133700.v4.1 MCILCVIQKWSRRVATMLPWLVIPLIGLWALSQLLPPAFRFEITSPRLACVSVLLVTLFWYEVLMPQLSAWRVRRNAWLRERKRSEAIELQKLRKTATRKCRNCLTPYKDQNPGGGKFMCSYCGHISKRPVLDLPVLPGIGISNPGIIKDLVGKSGKILNGKAWSDNGWTCSQEWLDNGGWACGSIAGKSSYWRKNGNGIFGGDENCLAEKSYSRVVIFSCKLLTSFFLSIRWLWRKVFRISSSEDGSSDAGHRAMLAKRREDGENYHESRGDKARRKAEEKRQARLEKELLEEEEKKQREDVARLVEERRRLRDEIMEAERDRSQTSPLSREKNSRKDAEKKRQERRKEKDKGSSKSNSDAEELEKRAGKESDRRRDVEKKSESERREHHKSGMESVKGQNIESGHGIKNTPGSNFNRGNAGSRYFDRMKGTFLSSSRAFSGGGFFGKTAYTPATVTKENKPNSSIDPVHASAYRRDIHPPDRLSGKASLNGDDKNIYHPVLSETQPRMAPKKTWQQLFTLSSPAHPSSNSNVICRPISKQAECQAQQFPAQSSPMQCFDNPINFGLPSPFPVSAFQNVSSSTSLGFSPPIEPNFPRGMEGPCDFIPEEPELFEDPCYIPDPISLLGPVSESLDNFQLDLGNGFAPDMGPGLERLYAMKNVSASPEVTKPSPIESPLSRLRTADEKNNGSNWFPTTPISQDFNTLPMNDMHVNEKGTWQMWNSSPLGQDGLGLVGGPGSWLLPPEQNRSTKEDIILPPSQKTMASLFTKDDQILPGTYSPRKTFLGNGQSGVFSPVIGSIENEPWLQNTFFPPLSGSNSHFSLKSPEESTQNEMIYQSPTGAATNNAFGPSPGHSCSKNEWGGEGSGEGFGNSSVTRPNFGGLFPTSDVQWSFD >Potri.006G035700.1.v4.1 pep chromosome:Pop_tri_v4:6:2280051:2284783:-1 gene:Potri.006G035700.v4.1 transcript:Potri.006G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035700.v4.1 MEEFQEDREDPVSLYMSLDNWQDCSYLQETPNESFMVGFVIANIVGLQYYSGTITGRELVGLVREPLNPFDQNALKVLNTRCLQVGHIERSVAAVLSPLIDSNMINVEGIVPNSRSGGNKYKIPCQVHVFARVEDFESVKTAISRGGLVLLSQMEVGFGLSEAMVVKEKNKKSGLKSLDEIFKLVDENVNKKGKLGALEPPKEVIKSQLFEHQKEGLWWLVNRENSGELPPFWEEKDGEFVNVLTNYHTNRRPEPLRGGIFADDMGLGKTLALLSLIAFDKCGGGTGVVGGNKDNVAEEIGGDDEDVSVSGSKKGKRGRASKKVIGGRKKRKVEDTLSDGNVKGKSVLMADKSSGVPCAKTTLIVCPPAVFSTWITQLEEHTQRGSLGVYMYYGERTREVEELKKHDIVLTTYSTLAAEDPWEDSPVKKIDWCRVILDEAHVIKNANSQQSRAVTKLNAKRRWVVTGTPIQNGSLDLFSLMAFLRFEPFSIKSYWQSLLQRPLAQGNKKGLSRLQVLMATISLRRTKDKGVVGLPSKTVETHYIELSGEERELYDQMEAEAKGVVQNFINTNNLMRNFSTVLCIILRLRQICNDLALCPSDLRSLLPSNSIEDVSNNPELLMKMVTVLQDGEDFDCPICICPPTETVITRCAHIFCRPCILKTLQRAKQCCPLCRRPLSVSDLFSAPPESSGSDNANTSSRTTTSSKVSALIKLLIASRVENPARKSVVFSQFQKMLVLLEEPLKEAGFKILRLDGSMNAKKRAQVIKQFGVPGPDGPTVLLASLKASGAGINLAVASRVYLLEPWWNPAVEEQAMDRVHRIGQEEDVTVVRLIAQSSIEERILEMQERKKKLAKEAFGRRGTKTQREVGIDDLRALMSL >Potri.016G057400.1.v4.1 pep chromosome:Pop_tri_v4:16:3894604:3896131:-1 gene:Potri.016G057400.v4.1 transcript:Potri.016G057400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057400.v4.1 MARSNLAGKGPSMISIMLLFGLLLASLDTTGAQIGVCYGMLGNLPPPSEVIALYNQRGIRRMRLYDPNQDALRALGGTNIELMLGLPNPDLQRIASSQANANAWVQSNVKIFGNVKFRYIAVGNEVKPSDSFAQFLVPAMQNIRNALNSAGLGSIKVSTAIDNGVIADGSFPPSKSSFKGDYRPLLDPIIRFLVNNQAPLLLNSYPYISYSLNTRDIRLDYALFTAPSPLVSDPPLNYQNLFDALLDTVYAALEKSGGGSLEIVVSESGWPTAGGTGTSVDNARTYNNNLVQHVKKGTPKRPGKPIETYIFAMFDESNKNPELEKHWGLFSPNKQPKYDINLN >Potri.008G127651.1.v4.1 pep chromosome:Pop_tri_v4:8:8305004:8305765:1 gene:Potri.008G127651.v4.1 transcript:Potri.008G127651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127651.v4.1 MDPTQICVRNAGVAKASLTCFSPWLLFRKRCLQLMFIIQKTISPICPPPLWLPSSVLLHSFNLHCASLVSWICPPSRSFPLSTSHRTISFLLHFPPCNLPLLSPLCFPLSCQAQLSKVRKKPAMSAVETSIWTSQRMEHTRKLKAQAPLTQVRKKGTTPIHV >Potri.001G015601.1.v4.1 pep chromosome:Pop_tri_v4:1:1154548:1155544:1 gene:Potri.001G015601.v4.1 transcript:Potri.001G015601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015601.v4.1 MTGKCKKKQLSATLFHHIVLFFFHTITSFQTSDRDAVRNSFSCNSRVRKWLQKLIRHNASSVSILQFNVSIPFINNSFKLLQPPIELYESRIYSRFLCPCWLYFLPKILLIGRMI >Potri.T170901.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:101613:102596:-1 gene:Potri.T170901.v4.1 transcript:Potri.T170901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T170901.v4.1 MPKTRAVTHADIAPSPGSTDLGSNTAAFLVVFTIACGLFCFILCLIAEATRSQVTWVNSDSKEIDDDSQCIYTGSGRTPFLCSAIAFVVLAVAMVVEHMYMLIAVSKAPPQALVAWDANSACAKSITRQAGFFFVTTWICFAVGEILLLIGLGVESGHLKNWSRPRPNCLIIKEGLFSVAGIFALLTVFFASGLYLTALRAQRMSQEHENTRREILEASALYASPPRSPQHHMITAIARENPVARENQTQEPSFTYPPAFTKQLHLV >Potri.004G089700.1.v4.1 pep chromosome:Pop_tri_v4:4:7635751:7640073:1 gene:Potri.004G089700.v4.1 transcript:Potri.004G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G089700.v4.1 MEKMKRNVSEKMMLKKQIKNKKGDDKKNRFLVSVNFLGSAGPIRFVVNGDDLVSGVIDTALKTYARGGRLPVLGFDVNKFLLYCANPPSDALNPREPIGSQEGRNFVLCKKQLQPQMTEMRSDIVAKKPSGWKALMTAFLPIWGLVGNNGTS >Potri.018G071800.1.v4.1 pep chromosome:Pop_tri_v4:18:8785078:8788309:-1 gene:Potri.018G071800.v4.1 transcript:Potri.018G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G071800.v4.1 MAAPLTLHHHIKPPLSSENPLLTRNPTSASTIGMIASSIDDPNQPHPCIVSIEKCSNMSQLKQIHAQMLRTGLFFDPFTASKIVAFCSLQDSGSLQYARLVFSQIPNPTSYTCNSIIRGCTDKNLHQEALLFYQEMMVQGLIPDRYTFPSLFKSCRNSSEGKQIHCHSTKLGFASDTYAQNTLMNMYSNCGCLVSARKVFDKMEDKTVVSWATMIGVHAQWDQPNEAVRLFDRMMKSENVKPNEVTLVNVLTACARARDLAMVKRIHEYIDEHGFGRHVVLNTVLMDVYCKCGCVQLARDLFDKAQEKNLFSWNIMINGHVEDSNYEEALLLFREMQTKGIKGDKVTMASLLLACTHLGALELGKWLHAYIKKQRIDVDVALGTALVDMYAKCGSIETAIQVFHEMPEKDVMTWTALILGLAMCGQAENALQYFDEMHIKGVKPDAITFVGVLAACSHAGFVDEGISHFNSMSDTYGIQPTIEHYGGLVDILGRAGRIAEAEELIKSMPMAPDQFVLGGLLGACRIHGNLEAAERAAKQLLEIDPYHSGTYVLLSNIYKSSKKWEEAKRTRELMAERGMRKPPGCSQIEVHGVVHEFVKGDSSHRQSSEINEMLEDMISKLKNAGYVPDKSEVLFDMAEEEKETELSLHSEKLAIAFGLLSTSVGTPIRVVKNLRICSDCHSATKLISKLYNREIIVRDRNRFHHFKDGTCSCRGFW >Potri.005G153775.1.v4.1 pep chromosome:Pop_tri_v4:5:13399043:13399930:1 gene:Potri.005G153775.v4.1 transcript:Potri.005G153775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G153775.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVYQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGSMDRLDTCIREAKVDDLWYSGMHYTWSNQCPENLIMRKLDRVLVNEKWNLNFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVGLSNVLAVLRTKKAKAGIETFQYGSLLQHFR >Potri.008G204900.1.v4.1 pep chromosome:Pop_tri_v4:8:14977187:14979033:1 gene:Potri.008G204900.v4.1 transcript:Potri.008G204900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204900.v4.1 MSLCFSCNVSSLNSPKYQSHKAHFYSQFINQIQVNSLSHSLPSFPLNSSLPFKFSVKRINPIRASTPTSVEATQSSFRNKNPKDINILVAGSTGYIGKFVVKELVNRGFNVIAVAREKSGIRGKNSEEETLNQLQGANVCFSDVTKLETLEKSLNDFGVSVDVVVSCLASRTGGVKDSWKIDYEATKNSLVAGKKLGAKHFVLLSAICVQKPLLEFQRAKLKFESELMRETEMDSGFTYSIVRPTAFFKSLGGQVELVKDGKPYVMFGDGNLCACKPISEEDLASFIADCVLGEDKINQILPIGGPGKALTPLEQGEMLFRLLGKEPNFLKVPIGIMDFAIGVLDFLVKIFPSMEDAAEFGKIGRYYAAESMLVLDPETGEYSAERTPSYGEDTLEVFFEKVLREGMAGQELGEQAIF >Potri.009G053632.1.v4.1 pep chromosome:Pop_tri_v4:9:5784370:5785160:-1 gene:Potri.009G053632.v4.1 transcript:Potri.009G053632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053632.v4.1 MRSGFELTSRTLVALLLACEGVLELRLVKEIRGYCLRNGYFDMLPHVGTALVGFYLNLEVRVSSLVFDLMVMISEMSWNAMITGYFASGDLVKALELFVRMLEDGAKIDLVTVMVVIQHMQNLDISNWGCK >Potri.008G220200.4.v4.1 pep chromosome:Pop_tri_v4:8:18240455:18247059:-1 gene:Potri.008G220200.v4.1 transcript:Potri.008G220200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220200.v4.1 MGGHLFDHCTLWLERGVITFRDDQELERGNEISRELLQAIQDSRFSVIVFSRNYTSSTWCLNELVKIVECMKQGRQTVIPVFYDVDPSEVRNQTGRLQQAFADHEEVFKDNIEKVQTWRIAMKLVANLSGWDLQDRHESEFIQGIVEEIVCKLRKSSYSMSWVTENLVGMDWRLEEMSLYLGVEQLNDVRVIGICGMGGIGKTTIARAVYEKMLGHFEGSSFLANVREVEEKHGLVRLQEQLLSDTLMDRRTKISDVHRGMNEIRVRLRSRMVLVVLDDVDQLVQLESLVGDRNWFDNGSRVIITTRDELLLKQFGVDKIYRVASLNNIEAVQLFCLKAFRSYCPPEDYVLQTIQVVKYADGLPLALHVLGSFFSGIRSVELWNHSLKRLKDIPDKGILDKLKISFDGLNEVEKKIFLDIACFFNGWEEDCVTKLMESSGFYPQIGIRILVEKFLINISDNRVWMHDLLQEMGRQIVKRESHEEPGKRTRLWLCEDVIHVLLNNTGTDKVEGIVLNSNDEVDGLYLSAESIMKMKRLRILKLQNINLSQEIKYLSNELRYLEWCRYPFKSLPSTFQPDKLVELHMRHSSIKQLWEGVRPLKLLRAIDLRHSRNLIKTPDFRQVPNLEKLNLEGCRKLVKIDDSIGILKGLVFLNLKDCVKLACLPTNICELKTLRILNLYGCFKLEKLPEMLGNVINLEELDVGRTAITQLPSTFGLWKKLKVLSFDGCKGPAPKSWYSLFSFRSLPRNPCPITLMLSSLSTLYSLTKLNLSNCNLMEGELPDDMSCFPSLEELDLIGNNFVRIPSSISRLSKLKSLRLGNCKKLQSLPDLPSRLEYLGVDGCASLGTLPNLFEECARSKFLSLIFMNCSELTDYQGNISMGLTWLKYYLHFLLESGHQGHPASWFFTCFPGSEIPSWFHHKSVGHSLTIRLLPYEHWSSSKWMGLAVCAFFEELDCGDSCLITLNFDIKGFKSRSYFLEYPEGSTFTSNQVFFIFFPRGKFPEPLAVSNTTSQPIEVEFRSSIQERNTNNEFQVLSARVMNWGFRMVYEEDTLQFNEPNTGVDSINGLHSEELRILDENNSIIPCKNIEGKCLPE >Potri.008G220200.2.v4.1 pep chromosome:Pop_tri_v4:8:18240440:18247060:-1 gene:Potri.008G220200.v4.1 transcript:Potri.008G220200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220200.v4.1 MAASYSRTTTRWKYDVFLSFRGEDTRKSFTDHLYTALCHRGVITFRDDQELERGNEISRELLQAIQDSRFSVIVFSRNYTSSTWCLNELVKIVECMKQGRQTVIPVFYDVDPSEVRNQTGRLQQAFADHEEVFKDNIEKVQTWRIAMKLVANLSGWDLQDRHESEFIQGIVEEIVCKLRKSSYSMSWVTENLVGMDWRLEEMSLYLGVEQLNDVRVIGICGMGGIGKTTIARAVYEKMLGHFEGSSFLANVREVEEKHGLVRLQEQLLSDTLMDRRTKISDVHRGMNEIRVRLRSRMVLVVLDDVDQLVQLESLVGDRNWFDNGSRVIITTRDELLLKQFGVDKIYRVASLNNIEAVQLFCLKAFRSYCPPEDYVLQTIQVVKYADGLPLALHVLGSFFSGIRSVELWNHSLKRLKDIPDKGILDKLKISFDGLNEVEKKIFLDIACFFNGWEEDCVTKLMESSGFYPQIGIRILVEKFLINISDNRVWMHDLLQEMGRQIVKRESHEEPGKRTRLWLCEDVIHVLLNNTGTDKVEGIVLNSNDEVDGLYLSAESIMKMKRLRILKLQNINLSQEIKYLSNELRYLEWCRYPFKSLPSTFQPDKLVELHMRHSSIKQLWEGVRPLKLLRAIDLRHSRNLIKTPDFRQVPNLEKLNLEGCRKLVKIDDSIGILKGLVFLNLKDCVKLACLPTNICELKTLRILNLYGCFKLEKLPEMLGNVINLEELDVGRTAITQLPSTFGLWKKLKVLSFDGCKGPAPKSWYSLFSFRSLPRNPCPITLMLSSLSTLYSLTKLNLSNCNLMEGELPDDMSCFPSLEELDLIGNNFVRIPSSISRLSKLKSLRLGNCKKLQSLPDLPSRLEYLGVDGCASLGTLPNLFEECARSKFLSLIFMNCSELTDYQGNISMGLTWLKYYLHFLLESGHQGHPASWFFTCFPGSEIPSWFHHKSVGHSLTIRLLPYEHWSSSKWMGLAVCAFFEELDCGDSCLITLNFDIKGFKSRSYFLEYPEGSTFTSNQVFFIFFPRGKFPEPLAVSNTTSQPIEVEFRSSIQERNTNNEFQVLSARVMNWGFRMVYEEDTLQFNEPNTGVDSINGLHSEELRILDENNSIIPCKNIEGKCLPE >Potri.010G136300.1.v4.1 pep chromosome:Pop_tri_v4:10:15129689:15132090:-1 gene:Potri.010G136300.v4.1 transcript:Potri.010G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136300.v4.1 MASFSVEDFVGNGVLKDLLPTLLEEGWDDIPTLKIMNSEDTDAMNMTRQQKDALEIRSYLHDRALLQYGDKLEASGKCLPELLSLSTGDLSSHFGMKRGHIARFMDRTGACEDPLLKSYAPLTARKMNSTVSRNNSNFKSYSSVSSKKMQTISSMNYDKSLEQSLADFKIKDGYIFKGIVAAGPAELRACGCVQPPPVVDSVAPYSSIENISVQKLTPEYKIGMEHLVKTKTPPMKAVELWRDKPAVILCIRRPGCIMCRAEAHQLYAKKPIFDALGIRLFAVLHEHIESEVKDFWPRYWGGVVLFDRSMEFFKALGGGQLLRDKFISGFIFNPRAIANYKRAKAMGIDQNFKGEGEIKGGLFIVGRDKSGIAYQFIERNFGDWAPVAEVIDICSKLRVS >Potri.011G061800.2.v4.1 pep chromosome:Pop_tri_v4:11:5099030:5101620:-1 gene:Potri.011G061800.v4.1 transcript:Potri.011G061800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G061800.v4.1 MAIQSDHLATSEGILENVWASYIGEHRGDKVTSNDEQEEIKSSQELPSLDSRDGSMEVLERLPSLGRWISMGTESWEGLLDGIIPEINYKELTSNDRAENKGPSSLSSKQNTVKTEKVTTRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFKTAEEAALAYDKAALRIRGPKTNLNFPLETVAKAMGIDCSKNDYSVSSTTTSQGYDTSCTILGSVDKVSVIPRRRVSRDWEVNDDLIIMEQPGIQRMACVEELSVDDFDVVEFQDLGSDYLDSLFGVAQ >Potri.011G061800.1.v4.1 pep chromosome:Pop_tri_v4:11:5098756:5101666:-1 gene:Potri.011G061800.v4.1 transcript:Potri.011G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G061800.v4.1 MAIQSDHLATSEGILENVWASYIGEHRGDKVTSNDEQEEIKSSQELPSLDSRDGSMEVLERLPSLGRWISMGTESWEGLLDGIIPEINYKELTSNDRAENKGPSSLSSKQNTVKTEKVTTRHYRGVRRRPWGKYAAEIRDSSRKGARVWLGTFKTAEEAALAYDKAALRIRGPKTNLNFPLETVAKAMGIDCSKNDYSVSSTTTSQGYDTSCTILGSVDKVSVIPRRRVSRDWEVNDDLIIMEQPGIQRMACVEELSVDDFDVVEFQDLGSDYLDSMFSSF >Potri.005G010551.1.v4.1 pep chromosome:Pop_tri_v4:5:898074:899654:-1 gene:Potri.005G010551.v4.1 transcript:Potri.005G010551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G010551.v4.1 MKQYGVKESWTQLCSIRMNGYCRNPLAFTKEGEIIIAVDNEELYINDLEDDSFKSLLRFEEQLKDLRLIATYANSSTSLGCDFQRNRGNIPPLSIVKTIRSHKLD >Potri.013G039500.2.v4.1 pep chromosome:Pop_tri_v4:13:2670607:2673769:1 gene:Potri.013G039500.v4.1 transcript:Potri.013G039500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039500.v4.1 MAAESATVIPRGQVDLLDFIDFSGVECLNQSPSHSLSNAIKQGYREDDGLNLESDADEQLLIYVPFNQVVKLHSIAIKGPEEEGPKTVKLFSNKEHMGFSNVNDYPPSDTIVLSPDTLKGKPVVLKYVKFQNVRSLTIFIEDNQSDSEITKVHKIALFGTTVETTDMKSLKKIEDH >Potri.T011801.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:162126:163027:1 gene:Potri.T011801.v4.1 transcript:Potri.T011801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011801.v4.1 MFSCILSTGLLVVGEVLTASESNGTISTRQLIRYYHAFGCLHLSAVIGSNPILTKSNDINFHEPFFKAWELFSQGRL >Potri.004G059200.2.v4.1 pep chromosome:Pop_tri_v4:4:4949426:4951614:1 gene:Potri.004G059200.v4.1 transcript:Potri.004G059200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059200.v4.1 MDQDTQGNEGIIGNLDQVYRGRIVNASDLSGNSSNLDNGVAIETLKVINSGEKVAAVVETGVLNASIEGLGSSERVASQGTSDRKRIEESMNEGTVANTIDMVNGAVLETVIVMNSGATSSVDGENKDLGAKNEELGLRCGMIDEETRHGLGDGEVLNQVERGRGWYSSNSRAVLETLIAIGPEENAGINGGNQRLELRGNEMRLSKGTMDESEKKVIKVGKSSCVVDMTSGGGGGTGGGGFKDNCDGERVCRICHLSSEGLLEATDAIATATTNSMDLIQIGCGCKDDLGIAHVYCAETWFKLKGNRICEICGETAMNIKGVGENPFLERRFIRSTGFSSESSGGCWRGQPFCNFLLACLVIAFVLPWFFRVKMF >Potri.010G195700.1.v4.1 pep chromosome:Pop_tri_v4:10:18968283:18969228:1 gene:Potri.010G195700.v4.1 transcript:Potri.010G195700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195700.v4.1 MSLIPSTLFGGRRSNIFDPFSLDIWDPFQDFPFTSTAISAPRSEFANETTAFANTRIDWKETPEAHVFKADLPGLKKEEVKVELEEGRVLQISGERSKEREEKNDKWHRVERSSGKFLRRFRLPENAKLDQLKANMENGVLTVTVPKEEVKKPDVKAIEITG >Potri.008G021400.4.v4.1 pep chromosome:Pop_tri_v4:8:1060842:1063886:-1 gene:Potri.008G021400.v4.1 transcript:Potri.008G021400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021400.v4.1 MLKEITAALFSGLAIWAYQATNPPPPKICGTPGGPPITAPRVKLRDGRHLAYKEQGLSRETAKYKIVYVHGFASTRHDTMSVANLSPEVVQELGLYFVSFDRPGYGESDPDPKRSPESIALDIEELADHLELGSKFHVMGFSMGGQVVWGCLKYIPHRLAGATLIAPVVNYWWPGFPANLSTEAYYQQPPQDQWTLRVAHHAPWLTYWWNTQKWFPASAVAAQKPEVFSRQDLELLFMATGGGKDNKQQAMHPQTIQQGEFETLHRDMMVGFGKWKFDPMDLENPFPENEGSVHLWQGDEDKMVPPSLQRYIVQRLPWINFHEVSGSGHLFPFIPEICEAIIKSSVLGKN >Potri.008G021400.3.v4.1 pep chromosome:Pop_tri_v4:8:1060785:1063796:-1 gene:Potri.008G021400.v4.1 transcript:Potri.008G021400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021400.v4.1 MLKEITAALFSGLAIWAYQATNPPPPKICGTPGGPPITAPRVKLRDGRHLAYKEQGLSRETAKYKIVYVHGFASTRHDTMSVANLSPEVVQELGLYFVSFDRPGYGESDPDPKRSPESIALDIEELADHLELGSKFHVMGFSMGGQVVWGCLKYIPHRLAGATLIAPVVNYWWPGFPANLSTEAYYQQPPQDQWTLRVAHHAPWLTYWWNTQKWFPASAVAAQKPEVFSRQDLELLFMATGGGKDNKQQAMHPQTIQQGEFETLHRDMMVGFGKWKFDPMDLENPFPENEGSVHLWQGDEDKMVPPSLQRYIVQRLPWINFHEVSGSGHLFPFIPEICEAIIKSSVLGKN >Potri.001G197900.2.v4.1 pep chromosome:Pop_tri_v4:1:19443165:19445154:-1 gene:Potri.001G197900.v4.1 transcript:Potri.001G197900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197900.v4.1 MAGLAPEGSQYDAKQYDAKMNDLLANDGQDFFTMYEEVFETFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRQDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTSDDDRMLFDIQKFYNVVVEELPSNVADLL >Potri.001G197900.3.v4.1 pep chromosome:Pop_tri_v4:1:19443199:19447378:-1 gene:Potri.001G197900.v4.1 transcript:Potri.001G197900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197900.v4.1 MAGLAPEGSQYDAKQYDAKMNDLLANDGQDFFTMYEEVFETFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRQDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTSDDDRMLFDIQKFYNVVVEELPSNVADLL >Potri.001G197900.1.v4.1 pep chromosome:Pop_tri_v4:1:19443055:19447310:-1 gene:Potri.001G197900.v4.1 transcript:Potri.001G197900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197900.v4.1 MAGLAPEGSQYDAKQYDAKMNDLLANDGQDFFTMYEEVFETFDSMGLQENLLRGIYAYGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRQDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTSDDDRMLFDIQKFYNVVVEELPSNVADLL >Potri.001G197900.4.v4.1 pep chromosome:Pop_tri_v4:1:19443197:19447260:-1 gene:Potri.001G197900.v4.1 transcript:Potri.001G197900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197900.v4.1 MPMVGFEKPSAIQQRGIVPFCKGLDVIQQAQSGTGKTATFCSGILQQLDYGLVQCQALVLAPTRELAQQIEKVMRALGDYLGVKVHACVGGTSVREDQRILQAGVHVVVGTPGRVFDMLRRQSLRQDYIKMFVLDEADEMLSRGFKDQIYDIFQLLPSKVQVGVFSATMPPEALEITRKFMNKPVRILVKRDELTLEGIKQFYVNVDKEEWKLETLCDLYETLAITQSVIFVNTRRKVDWLTDKMRGRDHTVSATHGDMDQNTRDIIMREFRSGSSRVLITTDLLARGIDVQQVSLVINYDLPTQPENYLHRIGRSGRFGRKGVAINFVTSDDDRMLFDIQKFYNVVVEELPSNVADLL >Potri.017G000100.1.v4.1 pep chromosome:Pop_tri_v4:17:8113:11616:1 gene:Potri.017G000100.v4.1 transcript:Potri.017G000100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G000100.v4.1 MQGALRTFLTHGNVVKDSLFQHLRVSSPVLRPVVFSRFESVSSAPIEETGFESTTIADILKEKGKNADGSWLWCTTDDTVYDAVKSMTHHNVGALVVVKHGEQESIAGIITERDYLRKIIVQGRSSKSTKVGDIMTEENKLITVTHDTKVLKAMQLMTDRRIRHIPVIDDKGMIGMVSIGDVVRAVVSEYREELDRLNAYIQGGY >Potri.014G120600.7.v4.1 pep chromosome:Pop_tri_v4:14:8092577:8098761:-1 gene:Potri.014G120600.v4.1 transcript:Potri.014G120600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120600.v4.1 MMRLHKVYHRLTLLSLSPSSPPPPPPLTSSLSLFTSVRTPGHLRTHHSKRFKSICTMTSRFPNLVPLNSIAAENVGGRSNDSVSSASTEDEALAGKYQLPPPEIKNIVDAPPLPALSFSPQRDKILFLKRRSLPPLAELARPEEKLAGMRIDGKCNTRSRMSFYTGIGIHQLMPDGILGPEREIHGYPDGAKINFVTWSLDGRHLAFSIRFDEEDNSSSKLRVWVANVETGQARPLFQSPNVYLNAVFDTFVWVDNSTLLVCAIPSSRGDLPKKPLVPSGPKIQSNEQKNVIQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGTTKEIGNPAVYTSMDPSPDQKYLLVSSIHRPYSFTVPCGRFPKKVEVWTTDGKFVREVCDLPLAEDIPIAISSVRKGMRNINWRADKPSTLYWAETQDGGDAKVEVSPRDIIYTQPAEPLEGEQPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPCSKDVSPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKIKKENDEGTYILLNGSGATSEGNIPFLDLFDINAGSKERIWESEKEKYYETVVSLMSDYEEGDLLLDRLKLLTSKESKTENTQYSIKKWPEKKVCQITNFPHPYPQLASLQKEMIKYQRNDGVQLTATLYLPPGYDPSKDGPLPCLFWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDKEANDRYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATGTYVEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYAARESILHVLWETDRWLQKHCVSNSSDASAELDACKDEVSKGVTDSDNQAVVASGGGGPELADFEHEGFYSLPRSLL >Potri.014G120600.8.v4.1 pep chromosome:Pop_tri_v4:14:8092587:8098745:-1 gene:Potri.014G120600.v4.1 transcript:Potri.014G120600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120600.v4.1 MRIDGKCNTRSRMSFYTGIGIHQLMPDGILGPEREIHGYPDGAKINFVTWSLDGRHLAFSIRFDEEDNSSSKLRVWVANVETGQARPLFQSPNVYLNAVFDTFVWVDNSTLLVCAIPSSRGDLPKKPLVPSGPKIQSNEQKNVIQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGTTKEIGNPAVYTSMDPSPDQKYLLVSSIHRPYSFTVPCGRFPKKVEVWTTDGKFVREVCDLPLAEDIPIAISSVRKGMRNINWRADKPSTLYWAETQDGGDAKVEVSPRDIIYTQPAEPLEGEQPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPCSKDVSPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKIKKENDEGTYILLNGSGATSEGNIPFLDLFDINAGSKERIWESEKEKYYETVVSLMSDYEEGDLLLDRLKLLTSKESKTENTQYSIKKWPEKKVCQITNFPHPYPQLASLQKEMIKYQRNDGVQLTATLYLPPGYDPSKDGPLPCLFWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDKEANDRYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATGTYVEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYAARESILHVLWETDRWLQKHCVSNSSDASAELDACKDEVSKGVTDSDNQAVVASGGGGPELADFEHEGFYSLPRSLL >Potri.014G120600.2.v4.1 pep chromosome:Pop_tri_v4:14:8092567:8098869:-1 gene:Potri.014G120600.v4.1 transcript:Potri.014G120600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G120600.v4.1 MMRLHKVYHRLTLLSLSPSSPPPPPPLTSSLSLFTSVRTPGHLRTHHSKRFKSICTMTSRFPNLVPLNSIAAENVGGRSNDSVSSASTEDEEALAGKYQLPPPEIKNIVDAPPLPALSFSPQRDKILFLKRRSLPPLAELARPEEKLAGMRIDGKCNTRSRMSFYTGIGIHQLMPDGILGPEREIHGYPDGAKINFVTWSLDGRHLAFSIRFDEEDNSSSKLRVWVANVETGQARPLFQSPNVYLNAVFDTFVWVDNSTLLVCAIPSSRGDLPKKPLVPSGPKIQSNEQKNVIQVRTFQDLLKDEYDEDLFDYYATSQLVLASLDGTTKEIGNPAVYTSMDPSPDQKYLLVSSIHRPYSFTVPCGRFPKKVEVWTTDGKFVREVCDLPLAEDIPIAISSVRKGMRNINWRADKPSTLYWAETQDGGDAKVEVSPRDIIYTQPAEPLEGEQPEILHKLDLRYGGISWCDDSLALVYESWYKTRRTRTWVISPCSKDVSPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKIKKENDEGTYILLNGSGATSEGNIPFLDLFDINAGSKERIWESEKEKYYETVVSLMSDYEEGDLLLDRLKLLTSKESKTENTQYSIKKWPEKKVCQITNFPHPYPQLASLQKEMIKYQRNDGVQLTATLYLPPGYDPSKDGPLPCLFWSYPGEFKSKDAAGQVRGSPNEFAGIGPTSALLWLARRFAILSGPTIPIIGEGDKEANDRYVEQLVASAEAAVEEVIRRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQNEDRTLWEATGTYVEMSPFMSANKIKKPILLIHGEEDNNSGTLTMQSDRFFNALKGHGALCRLVILPFESHGYAARESILHVLWETDRWLQKHCVSNSSDASAELDACKDEVSKGVTDSDNQAVVASGGGGPELADFEHEGFYSLPRSLL >Potri.001G193600.2.v4.1 pep chromosome:Pop_tri_v4:1:17943997:17947522:1 gene:Potri.001G193600.v4.1 transcript:Potri.001G193600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G193600.v4.1 MQGSFTGPRVFWSGSSLRTEATGYGLVFFVQLMLAGMNKEIKGLRCAVSGSGKITMLVLEKLIGYGSLPITVSDSKGYLVDEDGFDYMKISFLRDIKAQKRSLRDYSKTCARSKYYDEAKPWSVRGDVAFPCGYQNEIDQSDAINLVNSGCRILVVAGELELNHECNLLNWSPEDFESKLQIYQRALKAASDFGYQKESPEEAPYHVYMSSVFSAFLTIAQAMSDQGCV >Potri.011G095600.6.v4.1 pep chromosome:Pop_tri_v4:11:12327159:12329305:1 gene:Potri.011G095600.v4.1 transcript:Potri.011G095600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095600.v4.1 MVVCVFVYIISSIFVYQLSRALSISLSSFLLQTTTTMVLVPKPALQQFSFIRNIKPTTFFSGIPLIDLSKPDSKHLLVKACEEFGFFKVVNHGVPLEFISKLESEAVKFFSLPLSEKEKASPPNPFGYGKKSIGQNGDVGWVEYLLLTTNQESVSQRLSSVFGDNPEKFRCALNDYVSAVKKMACEILEMMADGLKIQNRNVFSKLLMDEQSDSVFRLNHYPPCPEIQALKDHNMIGFGEHTDPQIISVLRSNNTSGLQISLNDGSWISVPPDPSSFFINVGDSLQFCYSSNLLLIESVIDIEGVID >Potri.011G095600.3.v4.1 pep chromosome:Pop_tri_v4:11:12327159:12329305:1 gene:Potri.011G095600.v4.1 transcript:Potri.011G095600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095600.v4.1 MVVCVFVYIISSIFVYQLSRALSISLSSFLLQTTTTMVLVPKPALQQFSFIRNIKPTTFFSGIPLIDLSKPDSKHLLVKACEEFGFFKVVNHGVPLEFISKLESEAVKFFSLPLSEKEKASPPNPFGYGKKSIGQNGDVGWVEYLLLTTNQESVSQRLSSVFGDNPEKFRCALNDYVSAVKKMACEILEMMADGLKIQNRNVFSKLLMDEQSDSVFRLNHYPPCPEIQALKDHNMIGFGEHTDPQIISVLRSNNTSGLQISLNDGSWISVPPDPSSFFINVGDSLQFCYSSNLLLIESVIDIEGVID >Potri.011G095600.5.v4.1 pep chromosome:Pop_tri_v4:11:12327159:12329305:1 gene:Potri.011G095600.v4.1 transcript:Potri.011G095600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095600.v4.1 MVVCVFVYIISSIFVYQLSRALSISLSSFLLQTTTTMVLVPKPALQQFSFIRNIKPTTFFSGIPLIDLSKPDSKHLLVKACEEFGFFKVVNHGVPLEFISKLESEAVKFFSLPLSEKEKASPPNPFGYGKKSIGQNGDVGWVEYLLLTTNQESVSQRLSSVFGDNPEKFRCALNDYVSAVKKMACEILEMMADGLKIQNRNVFSKLLMDEQSDSVFRLNHYPPCPEIQALKDHNMIGFGEHTDPQIISVLRSNNTSGLQISLNDGSWISVPPDPSSFFINVGDSLQFCYSSNLLLIESVIDIEGVID >Potri.011G095600.1.v4.1 pep chromosome:Pop_tri_v4:11:12327159:12329305:1 gene:Potri.011G095600.v4.1 transcript:Potri.011G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G095600.v4.1 MVVCVFVYIISSIFVYQLSRALSISLSSFLLQTTTTMVLVPKPALQQFSFIRNIKPTTFFSGIPLIDLSKPDSKHLLVKACEEFGFFKVVNHGVPLEFISKLESEAVKFFSLPLSEKEKASPPNPFGYGKKSIGQNGDVGWVEYLLLTTNQESVSQRLSSVFGDNPEKFRCALNDYVSAVKKMACEILEMMADGLKIQNRNVFSKLLMDEQSDSVFRLNHYPPCPEIQALKDHNMIGFGEHTDPQIISVLRSNNTSGLQISLNDGSWISVPPDPSSFFINVGDSLQVMTNGRFKSVRHRVLANSIKARISMIYFGGPPLREKIAPLPSLMEGEESLYREFTWFEYKRSAYNSRLADNKLVLFERITAS >Potri.002G116300.1.v4.1 pep chromosome:Pop_tri_v4:2:8823567:8823954:1 gene:Potri.002G116300.v4.1 transcript:Potri.002G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G116300.v4.1 MSKLTTLFTVALLLSFALTCSTRPQPVLSDDSLDAMAAEAAVVESCEGLGEEECLMRRTLAAHVDYIYTQKRKP >Potri.012G034800.1.v4.1 pep chromosome:Pop_tri_v4:12:3109239:3121089:-1 gene:Potri.012G034800.v4.1 transcript:Potri.012G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034800.v4.1 MGSEQDMSNWTDLLHSSTKLVEQAAPSAQFPPLQRNLDQLEALSKKLKAKTIRTEAPSQSIAATRLLAREGINAEQLARDLKSFELKTTFEDVFPAEATSVEEYLQQVHEMAMVSAIQEAQKDNVRSFNDYMMKVLEEDWQKERRDFLQSLSRISSLPKTNLADTSTGVTRSRQIASLASSPHVSSGSSGMENLPLANKSFVEKKASAYAEAVKNLNRAREHGSQFKPATAFKGAYESLGAEVSGGKSVNMQKIWHLVQTLVGENTTMKQSISRKMSLVIGARRHLEWGHEKYIMDTIQNHPAQAALGGAVGNLQRVRAFLRIRLRDYGVLDFDAGDTHRQPPVDTTWQQIYFCLRTGYYEEARTVALSSRASLQFAPMLMEWINSGGMVPVDIAAAASEECEKMLRMGDRVGRAAYDKKKLLLYAVVSGCRWQIDHLLRDLPTLFNTIEDFLWFKLSAVRDYPAGTSTLVLNESLVPYSLEDLQAYLNKFEPSYYTKNGKDPLVYPYVLLLSVQMLPAILYLSREAADEGYDIDAVHISIALADHGVLTEGGGAGQKLGVMDAYAEVSSIIRQYGSAYLHHGNLSMALEYYAQAAATVGGGETSWTGRGNIDQQRQRSLMLKQLLTELLLRDGGIYFLLGPRGAGEEGELARFLIDFQARQQFLLEAARKCQEAGLDEKSIEIQKRVGAFAMALDTINKSLSEAICALSRGRLDGESRTAGLINSGNEILVTFKYYPDFSFQERDLVLEQETVLRQLEAILSVLKLARLGHFLDALRELAKLPFLPLDPRVPDITVDVLQNLSPRVQDCVPDLLKVALTCLDNVTDSDGSLRAMKAKITQFIANNMSRNWPRDLYEKVARSL >Potri.002G033701.1.v4.1 pep chromosome:Pop_tri_v4:2:2237951:2238480:1 gene:Potri.002G033701.v4.1 transcript:Potri.002G033701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033701.v4.1 MACVFAHKDIPDLAKYAIVPVINSLTDDDHLCQMMADALMKIEHGGRLEGTMVVYVGVGNNVVYSWLL >Potri.002G033701.3.v4.1 pep chromosome:Pop_tri_v4:2:2237574:2239691:1 gene:Potri.002G033701.v4.1 transcript:Potri.002G033701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033701.v4.1 MACVFAHKDIPDLAKYAIVPVINSLTDDDHLCQMMADALMKIEHGGRLEGTMVVYVGVGNNVVYSWLL >Potri.004G050150.2.v4.1 pep chromosome:Pop_tri_v4:4:4053058:4060220:-1 gene:Potri.004G050150.v4.1 transcript:Potri.004G050150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050150.v4.1 MVGMIDLNTTEEDETTPSSGSLSSPSSSSAASALSASGSGSGTSPVCLELWHACAGPLISLPKRGSIVVYVPQGHLEQLPDLPLGIYDLPPHVFCRVVDVKLHAEAASDDVYAQVSLVPESEEIEQKLREGVFEGDGEEEDVEATVKTTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYTQQRPSQELVAKDLHGSEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRAAQVKCGPTFPALWNQQLNQSSLADVANAISMRSAFRIYYNPRASSSEFIIPFNKFLKSLDQSFSAGMRVKMRFETEDAAERRYTGLITGISELDPTRWPGSKWKCLLVRWDDTEANRHSRVSPWEVEPSGSVSGSGSGSISSSNNSMAPGLKRSRSGLPSLKAEFPIPDGIGASDFRVSSRFQEVLQGQEIMSFNTLYDDVDGQNQHPSEIRSRFPGSHGSGIAAIGSGIRGSIPTSENSFKGIGFNESYRFHKVLQGQEIFPRSPYRRIPNANKARENCGLGLSDGVQRSSSRNGWSTMMQGYNTQMRPPTQVSSPSSVLMFQHASNQVSNPTSIFNSNDHEEQTTNTQSWFYPETHGGKFKLSSHSDPGLRGDSQCSTNPYVLSHEHLQHGISQPVVAQSAFRSSQDMVLCKSSCRLFGFSLTEDRHVVNKEDNIASITSPLNPESSFLPRVGEQLHPKPPAINNAVGSSCTKAILQHHAENYRIY >Potri.005G137500.1.v4.1 pep chromosome:Pop_tri_v4:5:10727503:10729539:1 gene:Potri.005G137500.v4.1 transcript:Potri.005G137500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137500.v4.1 MVKVAAAQVGVTSSRASAQTSKRRSRHIRISIEFRSNVTNHRRRRRQDVIIRSENPVPCKPDANSGDRTVTEERCSTSSPNLEDDDDDDDALMSASCCSSNGSCDDERIKFTDLEEGSVEVETSMYYSSRSGERETTPTSSDLGEESTSENMDSTANPPLKKPNPHQRSTPTAGLIRIADEEIEKFFGEIQKNIPQCFKDKYNFDFDKDEPLEGRYEWARLNP >Potri.003G108700.1.v4.1 pep chromosome:Pop_tri_v4:3:13134960:13136833:-1 gene:Potri.003G108700.v4.1 transcript:Potri.003G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108700.v4.1 MERPQSETGRRRRMEKNKERVQFLPPGRMLPVPPMVGPPAAATNEVRLAAIAVELNIRLRSADMPGAMQEHAFRFSRALLDANNLESKNPNPTHIAMSLKKEFDAMYGIAWHCIVGKSYGSFVTHSSGGFVYFSVDNLSFLFKTEVQPVKRPPPLRKLEA >Potri.014G154700.2.v4.1 pep chromosome:Pop_tri_v4:14:10868476:10873290:-1 gene:Potri.014G154700.v4.1 transcript:Potri.014G154700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154700.v4.1 MIWGIIRRNLASSQSVSRIKHPAIRPRGYGQNELLSNGKGCRHAVGSFSYCTSLGSQCCRAYSNKPRREITAMLRPEYFIPLRSRSFSSDTGDLVDAVVPFMGESITDGTLAKFLKNPGDRVEVDEPIAQIETDKVTIDVASPEAGTIQQLVAKEGETVEPGTKIAVISKSGEGVPQAAPPSQEKTASQPPPPAEKESIGKGTTKTETSSLKGKEKTLFPPQPAARAPSSPPKPSEPQLPPKERERRVPMTRLRKRVATRLKDSQNTFAMLTTFNEVDMTNLMKLRSDYKDAFVEKHGVKFGFMSGFVKATVSALQYQPVVNAVIDGDDIIYRDYIDISIAVGTPKGLVVPVIRNSDQMNFAEIEKNINTLAKKATAGTISIDEMAGGTFTISNGGVYGSLLSMPIINPPQSAILGMHSIVTRPMVVGGNIVPRPMMYIALTYDHRLIDGREAVYFLRRIKDVVEDPRRLLLDV >Potri.015G129800.2.v4.1 pep chromosome:Pop_tri_v4:15:14066773:14068884:1 gene:Potri.015G129800.v4.1 transcript:Potri.015G129800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129800.v4.1 MCDIEWFVFIVCFVFVIKKRTEKMAAAYGAMKAQKPGLEEAQEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRIPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSADVVKQITSITIEPGVEVEVTIAD >Potri.015G129800.1.v4.1 pep chromosome:Pop_tri_v4:15:14066753:14068919:1 gene:Potri.015G129800.v4.1 transcript:Potri.015G129800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129800.v4.1 MAAAYGAMKAQKPGLEEAQEQIHKIRITLSSKNVKNLEKVCTDLVRGAKDKRLRVKGPVRIPTKVLNITTRKSPCGEGTNTWDRFELRVHKRVIDLFSSADVVKQITSITIEPGVEVEVTIAD >Potri.006G148901.1.v4.1 pep chromosome:Pop_tri_v4:6:12900188:12905682:-1 gene:Potri.006G148901.v4.1 transcript:Potri.006G148901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148901.v4.1 MTSAFIGSSVKTTKGPLVAGTLVETVAAISRWGNQPSSEDVEEMIRDSALARHASLVKHLENKLAQAKGKLKKSEKDLAKVQENLEPTELPTDLETISDEERFLFRKIGLSMKPYLFLGRRGVFDGTIENMHLHWKYRELVKIIVERKGIAQVKHIAISLEAESGGVLVSVDRTTKGYAIIVYRGKNYMRPRAMRPENLLTRRQALARSVELQRYEALKHHITDLQERIELVTSELEEMEADKKSEVYKALYSKFDDASILNEDEEGEEEPILKVQYPNSEEDKLEDVPVYDSS >Potri.005G114100.1.v4.1 pep chromosome:Pop_tri_v4:5:8293775:8295793:1 gene:Potri.005G114100.v4.1 transcript:Potri.005G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G114100.v4.1 METPSSTKRVTRSQTVAALNTNNNIPLSRKIEDSSDKGVTKSRRRNAKQQLQDRSALIDITNDSPIVGIAMGILETPSSALAKQKNSRAKNNIPNTPGSGEALLRGQVKTLLQKVEEEAELSKLSLENRPFLHLQGFVNSPMGLLAPTPANTPQVPNLSGDDIVLASVTPLPVIEEKLKICQEVSEIFNVKKQESLESQKSLTRSLMLDFSEKSESSDSSKCSSALTYQGDNCEVITSESKDKSSLADDDNASIWSIQVNASTHDEDEEEVIEEEEEEEEHYYYRDEYEEAEEEEEADVGGLLDELCEGISQISVKEKAMAKFEGKHTRFVYNSDDEIVEEVVDCGDDDLRLKGLPTPKGKHIRFHTEEE >Potri.014G147900.1.v4.1 pep chromosome:Pop_tri_v4:14:10145644:10158286:1 gene:Potri.014G147900.v4.1 transcript:Potri.014G147900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147900.v4.1 MDSLRLLHFVTPTPTRRNQFHHSHSHHKLARPPGLCATTTFFNPRISIPIRGRIVCAVSSTQTREEERATKKSMLNVRIDHQVEFGENIVIVGSSKEMGSWKKKVPMKWTENGWVCKLELKGGEVVEFKFAIASKDNSLVWESGDNRALKLPREGSFAIVCRWGATGEAINFSPLELEQNGEEAEDVGENGSAGADITLEAGTSPFVGQWQGKAASFMRSNDHGNRGSERRWDTSGLQGSVLKLVEGDLNARNWRRKLEVVCELLVGSLQSKDRLEALIYSAIYLKWINTGQVPCFEDGGHHRPNRHAEISRLIFQELEQVSSRRDTSAQEVLVIRKIHPCLPSFKAEFTASVPLTRIRDIAHRGDIPHDLKQEIKHTIQNKLHRNAGPEDLVATEAMLARITKNPGEYSEAFVEQFKIFHHELKDFFNAGSLAEQLVSIRESLDERGCSALTLFMDCKKNLDSAEKSRTIFELIKTMQSLNALRDIIVKGLESGIGNDASDAAIAMRQKWRLCEIGLEDYSFVLLSRFLNALEAMGGAKWLADNVESKNISSWSDPLGALIVGVHQLALSGWKPEECEAIGAELLAWKEKGLLEKEGSEDGKIIWVLRLKATLDRARRLTEEYSEALLQTFPERVQMLGKALGIPENSIRTYTEAEIRAGVIFQVSKLCTLLLKAVRSTLGSHGWDILVPGAASGTLVQVESIVPGSLPSTIEGPIVLVVNKADGDEEVTAAGSNIVGIILLQELPHLSHLGVRARQERVVFVTCEDDDKVADMRKLTGKKVRLEASLTGVNLTLSSSDDIVPEDLSGNGSATVEPPGPHDPFLSAVKAHSNKGVSAGGLILLADADAQTSGAKAAACGRLASLTAASKKVSSDQGVLASFEVPKSMVIPFGSMELALEHSKSMDTFMSFLEQIETARLDGGELDKLCFKLQELISSLQLPKDTIDGIGRMFPDNARLIVRSSANVEDLAGMSAAGLYESIPNVSPSNPTAFANAVSQVWASLYTRRAVLSRRAAGVPQKDATMAVLVQEMLSPDLSFVLHTLSPTDRDQNSVEAEIAPGLGETLASGTRGTPWRLSCGKFDGHVRTLAFANFSEEMLVSGAGPADGDVTRLTVDYSKKPLTVDPIFRHQLGQRLCSVGFFLEREFGSPQDVEGCVVGKDIYVVQTRPQP >Potri.001G184000.5.v4.1 pep chromosome:Pop_tri_v4:1:16300959:16301589:1 gene:Potri.001G184000.v4.1 transcript:Potri.001G184000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184000.v4.1 MLLTMSLTSSLNSLTLSTPFLHGTTQLSHLSKPTSSLTLRPAKPFTFLPPIRAMKSLQGKVVCATSDKTVAVEVTRLAPHPKYKRRVRKKKKYQAHDPENQFKVGDLVQLEKSRPISKTKSFLAVPVPARKKKEKKEGEEGGVGSQELGIPLESQQELQA >Potri.010G115500.5.v4.1 pep chromosome:Pop_tri_v4:10:13483057:13488622:1 gene:Potri.010G115500.v4.1 transcript:Potri.010G115500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115500.v4.1 MFSRIGCILLCVGQDDFHGEAMNTLKYVVNQSDYTVQTLKNVTEYLSLAKTINIAQLVLPSNVMDDIDKLNVDLNAAADTLTEKTSENAGKIVKVFNAVRSALITVAAVMLILALLGFLLSILGHQHAIHIFVVSGWLLVAVTFILCGVFILLNNAISDTCLAMEEWVENPHAASALSSILPCVDQRTTNNTLVQSKEVITDIVNVVNTYIYTFANANPSQTEFNYYNQSGPSMPPLCYPFDSLYQDRQCEPREVSMANASVVWQNYTCMVSSSGLCTTVGRVIPDIYRQLVAAVNESYALEYYTPVLLSLQDCKFVRDTFLEITSSHCPQLEHYLKIVNAGLGLISVGVLLCLVLWILYASCPLSEEVFAKISSPIKCSSGCTGVKIGSTSSGKNDASLSITSVV >Potri.010G115500.1.v4.1 pep chromosome:Pop_tri_v4:10:13483044:13489505:1 gene:Potri.010G115500.v4.1 transcript:Potri.010G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115500.v4.1 MKMFLVPRFGFLFLVVSLSCSVLALPGNGPAKDPLKYILGQDNLGPFRNGISETAARAPGPSDYGSNNTLVLAAKRTDRPDILHGFKHYRGGWNITDPHYWASVGFTGAAGFILAFLWFFLFGFALVAHHCFKWRINIKDKGSSRSQRISLIMLILFTCAAAIGCILLCVGQDDFHGEAMNTLKYVVNQSDYTVQTLKNVTEYLSLAKTINIAQLVLPSNVMDDIDKLNVDLNAAADTLTEKTSENAGKIVKVFNAVRSALITVAAVMLILALLGFLLSILGHQHAIHIFVVSGWLLVAVTFILCGVFILLNNAISDTCLAMEEWVENPHAASALSSILPCVDQRTTNNTLVQSKEVITDIVNVVNTYIYTFANANPSQTEFNYYNQSGPSMPPLCYPFDSLYQDRQCEPREVSMANASVVWQNYTCMVSSSGLCTTVGRVIPDIYRQLVAAVNESYALEYYTPVLLSLQDCKFVRDTFLEITSSHCPQLEHYLKIVNAGLGLISVGVLLCLVLWILYASCPLSEEVFAKISSPIKCSSGCTGVKIGSTSSGKNDASLSITSVV >Potri.010G115500.4.v4.1 pep chromosome:Pop_tri_v4:10:13482970:13488583:1 gene:Potri.010G115500.v4.1 transcript:Potri.010G115500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115500.v4.1 MLILFTCAAAIGCILLCVGQDDFHGEAMNTLKYVVNQSDYTVQTLKNVTEYLSLAKTINIAQLVLPSNVMDDIDKLNVDLNAAADTLTEKTSENAGKIVKVFNAVRSALITVAAVMLILALLGFLLSILGHQHAIHIFVVSGWLLVAVTFILCGVFILLNNAISDTCLAMEEWVENPHAASALSSILPCVDQRTTNNTLVQSKEVITDIVNVVNTYIYTFANANPSQTEFNYYNQSGPSMPPLCYPFDSLYQDRQCEPREVSMANASVVWQNYTCMVSSSGLCTTVGRVIPDIYRQLVAAVNESYALEYYTPVLLSLQDCKFVRDTFLEITSSHCPQLEHYLKIVNAGLGLISVGVLLCLVLWILYASCPLSEEVFAKISSPIKCSSGCTGVKIGSTSSGKNDASLSITSVV >Potri.014G143600.1.v4.1 pep chromosome:Pop_tri_v4:14:9804853:9817886:-1 gene:Potri.014G143600.v4.1 transcript:Potri.014G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G143600.v4.1 MMKVLMVVLLLLLLGGSLFVVEAKYMVYNTSQGIVKDKINVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSLIPALLADKNRKFIYVEQAFFQRWWRDQSETMQHVVKQLVSSGQLEFINGGMCMHDEAVTHYIDMIDQTTLGHRFIKKDFGVTPRVGWQIDPFGHSAVQAYMLGAEIGFDSLFFGRIDYQDRAKRKNEKSLEVVWQASKSFGSSAQIFAGAFPENYEPPPGGFYFEVNDPSPVVQDDINLFDYNVQERVDDFVAAAVSQANITRTNHIMWTMGTDFKYQYAHSWFRQMDKLIHYVNMDGRVNALYSTPSIYTDAKHATNEHWPVKTGDFFPYADRANGYWTGYFASRPALKRYVRMMSGYYLAARQLEFYNGRSNRGPNTDSLADSLAIAQHHDAVTGTEKQHVANDYAKRLSIGYTEAEKLVASSLACLVESASHTGCQRSTTKFQQCPLLNISYCPASEVDLSQGRNLIVVVYNALGWARDDVIQFPVFNENVIVHDSEKREIVSQIIPIADAFVGLRNSHVNAYLGRSPVETPKYWLAFTVSVPPFGFSTYSISSAKRAGAHSSKSSVYTLRSEKSAVEVGQGNLKLTFSADKIKHANYVNSRSSVKESVEQTFSFYAGYNGTGNDKDPQNSGAYIFRPNGTFPINPESQVPLTVMRGPVLDEVHQQVSQWIYQITRLYKGREHVEVEFIVGPIPIEDGIGKEVATQITTTMETNKTFYTDSNGRDFIKRIRDYRTDWDLEVNQPFAGNYYPINLGIYFQDDKKEFSVLVDRALGGSSLVDGQIELMLHRRLLLDDSRGVAEALNETVCVLDQCKGLTIQGKYYYRIDPIGEGAKWRRSFGQEIYSPLLLAFSEEDGDNWMNSHVTTFSGFDSSYILPDNVAVLTLQELDDGKVLLRLAHLYEMGEDKDLSVMTSVELRKLFPKKKIGKAAEMSLSANQERAEMEKKRLVWKAEGSSRKQAVLRGGPVDPAKLVVELAPMEIRTFVIDFDHQFHHVFAA >Potri.014G079800.1.v4.1 pep chromosome:Pop_tri_v4:14:5146910:5153701:1 gene:Potri.014G079800.v4.1 transcript:Potri.014G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079800.v4.1 MGGGGAEHGHGAEGAHGDFRAKVWSMSGGPYCRPKHWRRNTAIAMFGVFLICIPIAMKSAELEQRPHHPVRPIPSQLWCKNFGNKDYNDVQ >Potri.008G081700.1.v4.1 pep chromosome:Pop_tri_v4:8:5121664:5124902:1 gene:Potri.008G081700.v4.1 transcript:Potri.008G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081700.v4.1 MGSRARWKQRWCTQTLTPLLEGPDPDMQEEGNKKESSWEIIREWFRLQRGLSAGNNFTVSLYGSIPAKRQDLRLLLGVLGCPLAPIPLVNDPIHRIHIKNTPIENSAAHYIIQQYLASTGCLKQQKCMKNMYSAGSVKMVRCETEISSGKNVKSLGTRSGESGCFVLWQMLPGMWSLELVVGENKVIAGSDGKTVWRHTPWLGTHAAKGPQRPLRRIIQGLDPKNTANLFAKAQCLGEKRIGEDDCFVLKVAADREAVFERSEGPAEVLRHVLYGYFCQKSGLLIYLEDSHLTRVQTPENETIYWETTIGSSIGDYRDVDGVLIAHQGRSIATVFRFEELSVQHSRTRMEEVWRIDDVVFNVPGLSMDYFIPPADIFDASP >Potri.007G044350.1.v4.1 pep chromosome:Pop_tri_v4:7:3853583:3854207:1 gene:Potri.007G044350.v4.1 transcript:Potri.007G044350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044350.v4.1 MKQNLISSQSSLCALPSPGGAKYHDNKGWSSERVPHPSSGSSRRHVSALTLFYSGRALPSKWEDAERWICSPVLGYGVAKSSRCHPLRRAKSKSGPINVHPEIVGVELVIVGRVMWERLASGPGWYDLVSECSSSSSQGMTLNVPLSIWKVNLAISTMLNMNPLKD >Potri.001G080100.2.v4.1 pep chromosome:Pop_tri_v4:1:6366244:6366986:-1 gene:Potri.001G080100.v4.1 transcript:Potri.001G080100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G080100.v4.1 MLKGVNPNHLRNFYNSGENPISVLKLTPARYDSIDDEIYSTDEFRMYAYKIKKCTRTRSHDWTECPYAHRGEKATRRDPREVPYTAIACPEFRNGHCLANLLMEFSRRFCQRKVCFFAHTPEQLRPQNKYTCPLVYRARMNGHENGIFGFGSSREDGATSHPTLGSLSPMAIRMDGRSYFDGASDFLNSLRNSKIADENDHDQEIRALKRSGISELDLELPHIDWISELVK >Potri.003G048300.1.v4.1 pep chromosome:Pop_tri_v4:3:7016953:7021735:1 gene:Potri.003G048300.v4.1 transcript:Potri.003G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048300.v4.1 MAMPAMGFLAWLDKKIVDPLYQILRRGLEPKQLAFSTALGIALGIFPICGVTVLLCGLAIALLGSLCHAPTVLLANFIATPIELSLVVPFLRFGEVMSGGPPFPLTSDALKKVLTGQASREVILSIAHALLGWLVAAPFILAALYIIFLPCFKVLVHKFSTVPSSPKKPSNSLAEIKLKVRDV >Potri.003G048300.3.v4.1 pep chromosome:Pop_tri_v4:3:7016866:7020635:1 gene:Potri.003G048300.v4.1 transcript:Potri.003G048300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G048300.v4.1 MAMPAMGFLAWLDKKIVDPLYQILRRGLEPKQLAFSTALGIALGIFPICGVTVLLCGLAIALLGSLCHAPTVLLANFIATPIELSLVVPFLRFGEVMSGGPPFPLTSDALKKVLTGQASREVILSIAHALLGWLVAAPFILAALYIIFLPCFKVLVHKFSTVPSSPKKPSNSLAEIKLKCCEEWSSASGRTI >Potri.002G042200.1.v4.1 pep chromosome:Pop_tri_v4:2:2772147:2773932:1 gene:Potri.002G042200.v4.1 transcript:Potri.002G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G042200.v4.1 MSITELLASISLLLLPIFFYLTKSSKSKASSISYPNAPKSYPLIGSSLAIFANKSRRIQWISDLIQNSPSATVVLHRFFLDDSHILTGNPANVQHMLKTQFHNYEKGSKTRRILFDFLGNGIFNINGDSWKFQRKVSSNEFNAKSLRKFVETVVDAELSQRLIPILSTAAANNAVLDLQDILQRFAFDNICKIAFGYDPAYLLPDLPEAEFAKTFDDAVNISSQRFNSVFPYLWKIKKFLNIGSEKRLKEASSQLRQFAKNIIKEKKQELSNKSSLESVDLLSRFLSSGHSDEDFVTDIVISFILAGRDTTSAALTWYFWLLSQNQEVEKEVLREIKEKSESPVYEEVKDMVYTHASLCESMRLYPPVPIDSKVAKHDDVLPDGTVVKKGSRVCYHPYAMGRLEVLWGSDWEKFKPERWLESAADGANKNGKWSFVGRDPYTYPVFQAGPRICLGKDMAFLQMKRVVAGILRRFKVVPVADDGFEPVFIADLTSKMKGGFPVRFKERSD >Potri.019G016102.1.v4.1 pep chromosome:Pop_tri_v4:19:2536170:2538435:1 gene:Potri.019G016102.v4.1 transcript:Potri.019G016102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH28 MGASATTTPELDAMNGGDGRFSYAKNSFLQGHSVNASKEKIGEAIAEKLDLKILLSTSKTIRIVDVGCSVGPNTFLAIQNIIESIERKYQAQYLNINQKPEFQVFFNDLTSNDFNTLFSSLPPNRQYFAAGVPGSFHGRLFPEGSIHFFYSCIALHILSKVPEELLDMNSPSWNKGRIHYINAPDEVVNAYATQYAKGIEIFLDARAKEMVSGGMAVMIFPANPTGIPYSQTFTGAMFELLESSLLDMAKEGKISEAQVDSFNLPMYVPSLEEMMELVQKNGCFDIEKMELTSPGVHASMTNTSSMGKAIVMHVRAGMERMLIQHFGSEIIDELFNRYAKKFEEFPHHVLPSKKVQLFVVLIRK >Potri.015G070600.2.v4.1 pep chromosome:Pop_tri_v4:15:9609683:9616317:-1 gene:Potri.015G070600.v4.1 transcript:Potri.015G070600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G070600.v4.1 MAIHLPIRQLFIDGEWREPILKKRIPIINPATEQIVGDIPAATAEDVEIAVEAARKAFSRNKGQDWPSTSGAYRAKYLRAIAAKITEKKSELGKLEVIDCGKPLDEALWDMDDVAGCFEYYADLAEGLDAKQKAPVSLPMETFKSYVLKEPLGVVALITPWNYPLLMGAWKVAPALAAGCTAILKPSELASVTCLELAEVCREVGLPPGVLNILTGLGTEAGAPLASHPHVDKVAFTGSSATGSKIMASAAQMVKPVSMELGGKSPIIVFEDVDLDKAVEWTLFGCFWTNGQICSATSRLLVHESIASEFLDRLVKWTKKIKISDPFEEGCRLGPVVSGGQYEKVLEFIATARSEGATILSGGDRPKHFTKGFFVEPTIITDVTTSMQIWREEVFGPVLCVKTFSTEDEAIELANDTHYGLGAAVISNDLERCDRVTKAFRAGIVWINCSQPCFCQAPWGGIKRSGFGRELGEWGLENYLSVKQVTQYISDEPWGWYQSPAKL >Potri.010G242600.2.v4.1 pep chromosome:Pop_tri_v4:10:21947752:21951241:-1 gene:Potri.010G242600.v4.1 transcript:Potri.010G242600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G242600.v4.1 MANELDGFNFEQRHGKARVRVARVWRNKSDHIHSMVEWGVSIILLSDCVNSYVRDDNSDIVATDTMKNTVYVKAKECSEQLSAENFAILLARHFTSFYKQVTTAIVKIVEKPWERVHINGQPHEHGFKLGSEKHTAEVTVQKSGVLKLTSGIEGLSVLKTTMSGFEGFIRDQYTALPETRERMLATEVTALWRYSYESASSIPKNPLYFTERYLDVKKSLANTFFGPPKEGVYSASVQRTLFQMAKAVLNRFPDISSIQLKMPNIHFLPVNISSKENTIVKFNDDVFLPTDEPHGSIEASLSRFWSKM >Potri.003G095400.1.v4.1 pep chromosome:Pop_tri_v4:3:12131778:12136560:1 gene:Potri.003G095400.v4.1 transcript:Potri.003G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095400.v4.1 MQLAMSVKTVKVSNVSLGASEQDLKEFFSFSGDIEYVEMKSENEQSQIAYVSFKDSQGADTAVLLSGATIVDLPVTVTLDPDYQLPPAALAALVATENKAPSDESALHKAEDVVTGMLAKGFILGKDAINKAKSFDEKHQLTSTASAKVASLDKKIGLTEKISASTTVVGDKVREVDQKFQVSEKTKLALAAAEQKVSSAGSAIMSNRYVFTGAAWVTGTFNKVAKAAKEKVGMSEEEQKRKMVDDYAQVHLSESPKASGESEQQPSKPPPAQGLIL >Potri.013G054100.1.v4.1 pep chromosome:Pop_tri_v4:13:3922987:3928690:1 gene:Potri.013G054100.v4.1 transcript:Potri.013G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G054100.v4.1 MEFPHGYYPQTHHHRRNDEEEERREHYPPPSFDQTPPPLFYRENEFAPAPRPYSHYYQESPQPPRPYFNETNYSPPPPPTSIQETQVFHTSSFDQTPPPLFYGENEFAPPPRPYSHYYQESPQPPRPYFNETNYSPPPPPTSIQETQVFHTSHHQGVDPSLDYPPAPTQVTHVSHEQTEARHSFRPHMPSFNHQHTHQPGAASGLDLYNKPSFKVYSKAQPEFHLTIRGGKVILAPSNPSDEFQNWYKDEKYSTRVKDSEGCPAFALVNKATGQAMKHSIGEAHPVQLIPYNPDVLDESILWTESKDLGDGFRAVRMVNNTHLNVDAFHGDKKSGGVHDGTSIVLWKWNKGDNQRWKIIPTRY >Potri.009G084600.1.v4.1 pep chromosome:Pop_tri_v4:9:7962403:7968567:-1 gene:Potri.009G084600.v4.1 transcript:Potri.009G084600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084600.v4.1 MRIHGEMPPEKEEEEKVKSLSLSIQQPQKLVVVGYALTSKKKKSFLQPKLEVLARNKGILFVAIDLNRPLLDQGPFDVVLHKLLGKDWCGAIEDYRKKNPEVAVLDPPDAIEQLLNRQSMLNDVTNLNLSDCYGKVRVPRQMVINNDPSSIPHEVTSAGLKLPLVAKPLVVDGTAKSHQMFLAYDQFSLSELEPPLVLQEFVNHGGVLFKIYIVGEAIKVVRRFSLPNVTKQELSKVEGVFRFPRVSSAAASADDADLDPSVAELPPLPLLEKLARELRHRLGLRLFNVDMIREHGTKDVFYVIDINYFPGYGKMPDYEHIFTDFLLSLMQSKYKNRPAQ >Potri.009G084600.8.v4.1 pep chromosome:Pop_tri_v4:9:7962402:7968567:-1 gene:Potri.009G084600.v4.1 transcript:Potri.009G084600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G084600.v4.1 MLNDVTNLNLSDCYGKVRVPRQMVINNDPSSIPHEVTSAGLKLPLVAKPLVVDGTAKSHQMFLAYDQFSLSELEPPLVLQEFVNHGGVLFKIYIVGEAIKVVRRFSLPNVTKQELSKVEGVFRFPRVSSAAASADDADLDPSVAELPPLPLLEKLARELRHRLGLRLFNVDMIREHGTKDVFYVIDINYFPGYGKMPDYEHIFTDFLLSLMQSKYKNRPAQ >Potri.006G001200.1.v4.1 pep chromosome:Pop_tri_v4:6:123251:126393:-1 gene:Potri.006G001200.v4.1 transcript:Potri.006G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001200.v4.1 MELIRQQCKNNAGAREICHTLLKRCTHLNKLNEGKIIHALLLNSRFRDDLVMQNTLLNLYAKCGDLVYARKLFDEMSSRDVVTWTALITGYSQHDRPQDALLLLPEMLRIGLKPNQFTLASLLKAASGVGSTDVLQGRQLHGLCLRYGYDSNVYVSCAILDMYARCHHLEEAQLIFDVMVSKNEVSWNALIAGYARKGQGDKAFCLFSNMLRENVKPTHFTYSSVLCACASMGSLEQGKWVHALMIKWGEKLVAFVGNTLLDMYAKSGSIEDAKKVFDRLAKRDVVSWNSMLTGYSQHGLGKVALQRFEEMLRTRIAPNDITFLCVLTACSHAGLLDEGRHYFDMMKKYNVEPQISHYVTMVDLLGRAGHLDRAIQFISEMPIKPTAAVWGALLGACRMHKNMELGGYAAECIFELDSHYPGTHVLLYNIYALAGRWNDAAKVRKMMKESGVKKEPACSWVEMENEVHVFVADDDAHPQRREIHNMWEQISDKIKEIGYVPDSSHVLLCMDQQEREAKLQYHSEKLALAFALLNTPPGSTIRIKKNIRICGDCHSAFKFVSKLVEREIIVRDTNRFHHFCDGACSCEDYW >Potri.007G128600.2.v4.1 pep chromosome:Pop_tri_v4:7:14372329:14373540:1 gene:Potri.007G128600.v4.1 transcript:Potri.007G128600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G128600.v4.1 MRTILLRIFLLYNLLNSFLLSLVPKKLRFLLPTSWYHHPHQAITNTKKPSSLLPSSSNFVLKRMDQAELKRVFQMFDRNGDGRITQKELNDSLENIGIFIPDKELTQMIEKIDVNGDGCVDIDEFGELYQSLMDEKDEEEDMREAFNVFDQNGDGFITVDELRSVLASLGLKQGRTFEDCKRMIMKVDVDGDGMVDYREFKKMMKGGGFSAVG >Potri.004G164034.1.v4.1 pep chromosome:Pop_tri_v4:4:18368581:18370345:-1 gene:Potri.004G164034.v4.1 transcript:Potri.004G164034.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164034.v4.1 MGAPLNLPLFPYPLMFAFNNELKDPSFSFSLLFTLISRSTLGGNTMRFFQTCRALHKHTNSQMTLLSMKHFTQLLTLQKKLEEQWVIPRTGVIPSLYSRGE >Potri.001G097001.1.v4.1 pep chromosome:Pop_tri_v4:1:7674097:7674872:1 gene:Potri.001G097001.v4.1 transcript:Potri.001G097001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097001.v4.1 MEAKRLILALFLLFLLSKSSAFPSRKSRVHKPCKRLVFYFHDIIYNGKNSKNATAAIVGAPAWGNKTILANQNHFGDLVVFDDPITLDNNLHSAPVGRAQGIYVYDKKEIFTAWLGFSFVFNSTEHKGSINFAGADPLMNKTRDVSVIGGTGDFIMARGIATLMTDAFEGEVYFRLRVDIQLYECW >Potri.016G074100.1.v4.1 pep chromosome:Pop_tri_v4:16:5562545:5568987:1 gene:Potri.016G074100.v4.1 transcript:Potri.016G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G074100.v4.1 MGDTIRPSPSSSTTLQLQTSCLVKIETNSETTEQSQDSHESKIGVSNLMAWLCLFMILSTCQTAWALDTRSESKLGYLTRELLESAREPEFFGWLKRIRRRIHEDPELAFEEYNTSQLIRSELDSLGIEYKWPFAKTGVVGSIGSGLQPWFGLRADMDALPIQEMVEWEHKSKNNGKMHACGHDAHVTMLLGAAKLLERMKDELKGTVKLVFQPGEESYGGAYHMLKEGALDNFQGIFGLHVAPEIPVGTVDSRPGPMLAASGRFIATIKGKGGHAARPQDTRDPVVAASFAILALQQIVSRETDPLDARVVSVGFVEAGQAGNVIPETVRFGGSIRSMTTEGLVSLQQRVMQIVEMQAAVHQCTASLDFMEEKMRPYPSTVNDEAMYKHAKQVGEALLGESNVLLAPMTMGAEDFSFYSQKMKAAFFFIGTKNETVKSVKRLHSPYFVIDEEVLSIGAAFHAAVAISYLDGHAIDTQ >Potri.012G133950.1.v4.1 pep chromosome:Pop_tri_v4:12:14921998:14922774:1 gene:Potri.012G133950.v4.1 transcript:Potri.012G133950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G133950.v4.1 MNKLKKVIVGKITPFLDRYQMFREIRSRVMIPEQKIVQGSNKGKKEISRVKKRTSLLSLSLSL >Potri.001G122200.2.v4.1 pep chromosome:Pop_tri_v4:1:10018715:10022488:-1 gene:Potri.001G122200.v4.1 transcript:Potri.001G122200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122200.v4.1 MAGRLSNVASRIMGGNGVVSRSVASSLRLRSGMGLPVGKHIVPDKPISVNDELTWDNGTAFPEPCIDRIADTVGKYEALAWLCGGLGFFASLGLLVVWNDKASTIPFAPKVYPYDNLKVELGGEP >Potri.001G122200.5.v4.1 pep chromosome:Pop_tri_v4:1:10021793:10022298:-1 gene:Potri.001G122200.v4.1 transcript:Potri.001G122200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122200.v4.1 MAGRLSNVASRIMGGNGVVSRSVASSLRLRSGMGLPVGKHIVPDKPISVNDELTWDNGTAFPEPCIDRIADTVGKYEALAWLCGGLGFFASLGLLVVWNDKASTIPFVS >Potri.002G180466.1.v4.1 pep chromosome:Pop_tri_v4:2:14206111:14208209:-1 gene:Potri.002G180466.v4.1 transcript:Potri.002G180466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180466.v4.1 MASSIENHVSQVDEAKDENFGYAMQLALSSVLPMTLHTAIQLGIFEIIAKAGPDVKLSAADIAAKLPTDNPDTPKMVDRILRLLASHQVLCCFVDGSERFYSLAPVSMYFVRNQNGVSLAPFMALNHENVILQSWSQLKDAVLEGGVAFHRVHGVHAFEYNGLDPRFNQVFNTAMYNQTTVVNGNMLEKYNGFKNLKQLVDIGGGLGHTMKAVTSKYPQIKGINFDLPHVIEHAPAYPGVEHVGGDMFESVPKGDAIFLKWILHNWSDDHCLKLLKNCYKAIPEDGKVIVMESVLPITAKTSPAAKAISQLDVLMMMSQNPGGKERTEDEFMALATAAGFRGIKFETFVCNFWVMEFFK >Potri.006G131400.19.v4.1 pep chromosome:Pop_tri_v4:6:10716363:10721480:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.006G131400.20.v4.1 pep chromosome:Pop_tri_v4:6:10717637:10721480:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.006G131400.22.v4.1 pep chromosome:Pop_tri_v4:6:10716392:10721413:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.006G131400.17.v4.1 pep chromosome:Pop_tri_v4:6:10716402:10721543:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.006G131400.12.v4.1 pep chromosome:Pop_tri_v4:6:10716368:10721815:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.006G131400.21.v4.1 pep chromosome:Pop_tri_v4:6:10716368:10721426:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.006G131400.15.v4.1 pep chromosome:Pop_tri_v4:6:10716417:10721543:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.006G131400.10.v4.1 pep chromosome:Pop_tri_v4:6:10716365:10721875:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.006G131400.18.v4.1 pep chromosome:Pop_tri_v4:6:10716352:10721480:1 gene:Potri.006G131400.v4.1 transcript:Potri.006G131400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131400.v4.1 MMQTPKAKTISLEVPRKKSPATPRTRQLKTPGAETDCVSPNPASRTPKDRSPKVTERKSPRSPATEKKRPSKISELESQLAQLQEDLKKAKEQLNTSESWKRRSQQEAEDTKKQLLTMSVRLEESQHQLMELSSSEDVRVQELRKISHDRDKAWESELEAVQKQHSIDYSALASAMNETQRLKTQLEMVVESEASQTKHVESVHAELKGLRLELTETLSLVEKMKIELSDTRESEAQALELASKTQKQLEEANATADMLQADGVKAMEAYRSLSLELEQSRAQEQSLEELVSKLQADLANVSEKTVENPTGDVQVSHESVENEETKQLEAEMNLLKIEVGQLKSLLEATETRCQEEYIQSTLQIRSAYEQVECTKLESGQREAKLETELKKAKNNIEELRANLMDKETELQGISEENEGLMLKIKKNQPSEREAELAMGMKNLEHDLAELKASLLDKEAQLQRVAEENETLRTEIKKGEIEQSKVNDEAVAMAETARASEHEALMKLGYLTEEADKSSRRAARMTEQLDAAQTANTEMEAELRRLKVQADQWRKAAEAAAAMLSTGNNGKFVERTGSLDSNYNPIAGNMGSPCSEDMDDSPRKKNRNMLKKIGVLWKKGQK >Potri.017G116400.2.v4.1 pep chromosome:Pop_tri_v4:17:12351256:12358303:1 gene:Potri.017G116400.v4.1 transcript:Potri.017G116400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116400.v4.1 MIIGRLLAGIGIGISSAIVPLYISEISPTEIRGALGSVNQLFICIGILLALVAGLPLAGNPIWWRTMFGISAVPAVLLALGMAFSPESPRWLFQQGKFSEAEKSIMTLYGKERVADVMTDLNVASQGSAEQEAGWFDLFSSRYWKVVSVGVALFFFQQMAGINAVVYYSTAVFRSAGIESDVAASALVGASNVFGTTIASSLMDRQGRKSLLITSFFGMAASMLLLSLSFTWKALAPYSGTLAVLGTVCYVLSFSLGAGPVPALLLPEIFASRIRAKAVALSLGMHWAANFVIGLYFLSFVNKFGISSVYLGFSGICLLGVLYIAANVVETKGRSLEEIERALDPAI >Potri.006G048000.5.v4.1 pep chromosome:Pop_tri_v4:6:3292471:3298490:1 gene:Potri.006G048000.v4.1 transcript:Potri.006G048000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048000.v4.1 MALSIRFNSLARFRLCTLSQQNGRRHAVTFIGRNDHTLSSVVSDFEPDELHDEGEAKQKDNALRLALTQLAGEFGRESMLSLQRFFSSRRASIISTGSLKLDLALGIGGLPKGRMVEIYGQEASGKTTIALHIIKEAQKLGGYCAFLDVENAMDHSLAKSMGVNTENLLISRPDCAENLLSVVNTLTKSGSVDVIVVDSVAALVPQREIDTVVGGSFEDIQSRLMTQALRKINYSLCQSRTLIIFLNQVRTSLKSGRAEEVTCGGNALKFYSAVRLRMIRTGLLKTGDKVTGLGVCAQVVKNKLAPAMTKAEIGIQFGRGFCFESEVLELACEQSLIKKEGSSYVIGREVFSNEHAAEQYLIENEGVLDQIVAKLRGKLLQRKM >Potri.006G048000.3.v4.1 pep chromosome:Pop_tri_v4:6:3292748:3297500:1 gene:Potri.006G048000.v4.1 transcript:Potri.006G048000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048000.v4.1 MALSIRFNSLARFRLCTLSQNGRRHAVTFIGRNDHTLSSVDFEPDELHDEGEAKQKDNALRLALTQLAGEFGRESMLSLQRFFSSRRASIISTGSLKLDLALGIGGLPKGRMVEIYGQEASGKTTIALHIIKEAQKLGGYCAFLDVENAMDHSLAKSMGVNTENLLISRPDCAENLLSVVNTLTKSGSVDVIVVDSVAALVPQREIDTVVGGSFEDIQSRLMTQALRKINYSLCQSRTLIIFLNQVRTSLKSGRAEEVTCGGNALKFYSAVRLRMIRTGLLKTGDKVTGLGVCAQVVKNKLAPAMTKAEIGIQFGRGFCFESEVLELACEQSLIKKEGSSYVIGREVFSNEHAAEQYLIENEGVLDQIVAKLRGKLLQRKM >Potri.006G048000.6.v4.1 pep chromosome:Pop_tri_v4:6:3292471:3297521:1 gene:Potri.006G048000.v4.1 transcript:Potri.006G048000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048000.v4.1 MALSIRFNSLARFRLCTLSQQNGRRHAVTFIGRNDHTLSSVVSDFEPDELHDEGEAKQKDNALRLALTQLAGEFGRESMLSLQRFFSSRRASIISTGSLKLDLALGIGGLPKGRMVEIYGQEASGKTTIALHIIKEAQKLGGYCAFLDVENAMDHSLAKSMGVNTENLLISRPDCAENLLSVVNTLTKSGSVDVIVVDSVAALVPQREIDTVVGGSFEDIQSRLMTQALRKINYSLCQSRTLIIFLNQVRTSLKSGRAEEVTCGGNALKFYSAVRLRMIRTGLLKTGDKVTGLGVCAQVVKNKLAPAMTKAEIGIQFGRGFCFESEVLELACEQSLIKKEGSSYVIGREVFSNEHAAEQYLIENEGVLDQIVAKLRGKLLQRKM >Potri.001G330800.3.v4.1 pep chromosome:Pop_tri_v4:1:33947986:33953152:1 gene:Potri.001G330800.v4.1 transcript:Potri.001G330800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G330800.v4.1 MASVSLSSLSLAHRRRPDAAAAAALTFSSSDLRFCSASFGFGLAKKNQRVFGLAKKNQRVRVSVCRASSLFIRNLDADDFRHPLDKQNTLILRAIPGLNELGKALLGSVTEQIMLLENIGTSVLVSKNQLSELHQLMTEAAQILNMEAPDLYVRQSPVPNAYTLAISGQKPFVVVHTSLVELLTRKELQAVLAHELGHLKCDHGVWLTFANFLTLGAYTVPGIGWLIAQNLEEQLFRWLRAAELTCDRAALLVAQDPKVVISVLMKLAGGSPSIADQLNVDAFLEQARSYDRASSSSVGLYIRNAQTKQLSHPLPVLRAREIDEWSRSLDYQQLLKRAIQITTPQNV >Potri.002G090600.2.v4.1 pep chromosome:Pop_tri_v4:2:6553587:6555925:-1 gene:Potri.002G090600.v4.1 transcript:Potri.002G090600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G090600.v4.1 MSSSSSSSLRTACRPHTVLTSFTCSSSSRHQPRSRIRVSFRTPPDCNKRHSSFFSPWLIHTNNNDPWFRVNHKRTIVRAWTEPKSPYETLELERDADEEDIKVAYRRLAKYYHPDVYDGRGTLEEGETAEARFIKIQAAYELLMDDEKRRQYNMDNRVNPMKASQAWMEWLMKKRTAFDQRGDMAIMAWAEQQQREMNLRVRRLSRTKTDPDEERRILAKEKKASMEYFSSTMKRHTLVLKKRDLMRKKAEEKKRSISQLLAAEGLELDTDADETL >Potri.006G247700.1.v4.1 pep chromosome:Pop_tri_v4:6:24759683:24763370:1 gene:Potri.006G247700.v4.1 transcript:Potri.006G247700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247700.v4.1 MSTLSEAYRDLPHHPHHIIPLDFDSVRTLPDSHVWQPTSHASESEDRLSIPTVDLKDPDAGKLIGHACEAWGAFQVTNHDIPLDLFHEVESEARRLFSLPTGQKLKALRSPGGATGYGLARISPFFNKYMWHEGFTIMGSSIDHARDLWPNDYRRFCDVMEDYQKKMKELAVTLMHLVLKSLDISEEEISRVVSAGGDSTALQLNSYPLCPDPNRAMGLAPHTDTSLLTILHQSTVNGLQIFKEGVGWVLVSPTNGSLVVNVGDLLHILSNAQFRSVLHRVVMKENQQRLSLAYFYCLPSDFHISPLALNSTQMPLYRSVSVRDYIGIKAKNLEKALSLIRI >Potri.010G104500.1.v4.1 pep chromosome:Pop_tri_v4:10:12643817:12648077:1 gene:Potri.010G104500.v4.1 transcript:Potri.010G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G104500.v4.1 MVSRSYSNLLELASGESPSFGRMSRRIPRIMTVAGIMSDIDDDPSESVCSDPSSSSTPKDRIIIVANQLPIRAQRKSDGSKSWIFSWDENSLLLQLKDGLGDDEIEVIYVGCLKEEVHPNEQDEVSQILLETFKCVPTFLPPDLFSRYYHGFCKQQLWPLFHYMLPLSPDLGGRFNRSLWQAYVSVNKIFADRIMEVINPEDDFVWVHDYHLMALPTFLRKRFNKVKLGFFLHSPFPSSEIYKTLPIREELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYESKRGYIGIEYCGRTVSIKILPVGIHMGQLQSVLSLPETEAKVKELIKQFSDQDRIMLLGVDDMDIFKGISLKLLAMEQLLMQHPEWQGKIVLVQIANPARGKGKDVKEVQAETHAAVKRINETFGKPGYDPIVLIDAPLKFYEKVAYYVVAECCLVTAVRDGMNLIPYEYIISRQGNDRLNKLLGQEPSTPKKSMLVISEFIGCSPSLSGAIRVNPWNIDAVADAMDFALEMAEPEKQLRHEKHYRYVSTHDVGYWARSFLQDLERTCRDHSRRRCWGIGFGLSFRVVALDPNFKKLSMERIVSAYKRTTTRAILLDYDGTLMPQASIDKSPSSKSIDIINNLCRDKNNMVFLVSARSRNTVAEWFSECEKLGLAAEHGYFLRLKRDAEWETRVPVADTTWKQIAEPVMQLYTETTDGSTIEDKETSLVWCYEDADPDFGSCQAKELLDHLESVLANEPVTVKSGQNIVEVKPQGVSKGLVAKRLLSIMQENEMSPDFVLCIGDDRSDEDMFEVITTSMAGPSIAENAEVFACTVGRKPSKAKYYLDDTAEIVRLMQGLASVSEQTVTV >Potri.017G032700.2.v4.1 pep chromosome:Pop_tri_v4:17:2234409:2236111:1 gene:Potri.017G032700.v4.1 transcript:Potri.017G032700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032700.v4.1 MIPSVYLDRQLEDDTEYGLSLFKPALDGCMEWLDSKETGSVVYASFGSLAALGEEQMAEIAWGLRRSDCYFLWVVRESEEKKLPCNFVEGSSEKGLIVTWSPQLEVLSHKSVGCFMTHCGWNSTLEALSLGVPMVAVPQWTDQPTNAKYIADVWRVGVRVKANEKGIVTKEELEKCTREVMEGERGSEMRRNSEKWKKLAKTAMGEGGSSDKNITEFAAKIASKFNETTDSKA >Potri.017G032700.1.v4.1 pep chromosome:Pop_tri_v4:17:2234372:2236122:1 gene:Potri.017G032700.v4.1 transcript:Potri.017G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032700.v4.1 MEKQERICHVVVIPYPAQGHINPMIQFSKRLASKGLQVTLVIFSSQTLSTPASLGSVKVVTISDSSDTGSSSIGDLLKQFQATVAPKLPQLVVELGISSGHPVSCLVYDSFMPWVLEIARQLGLIGASFFTQSCAVNSVYYQIHEGQLKIPLEKFPVSVPGLPPLDVDELPSFVHDMESEYSSILTLVVNQFLNFRGPDWVFVNSFNSLEEEVVNCLASQRSIKPIGPMIPSVYLDRQLEDDTEYGLSLFKPALDGCMEWLDSKETGSVVYASFGSLAALGEEQMAEIAWGLRRSDCYFLWVVRESEEKKLPCNFVEGSSEKGLIVTWSPQLEVLSHKSVGCFMTHCGWNSTLEALSLGVPMVAVPQWTDQPTNAKYIADVWRVGVRVKANEKGIVTKEELEKCTREVMEGERGSEMRRNSEKWKKLAKTAMGEGGSSDKNITEFAAKIASKFNETTDSKA >Potri.005G105100.1.v4.1 pep chromosome:Pop_tri_v4:5:7578568:7580439:1 gene:Potri.005G105100.v4.1 transcript:Potri.005G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105100.v4.1 MTSIQWADLAKELLEMIGKRLDSRVDTLRFRAVCTSWRSSVSLPSSDQEIPPLILNLPGPISYAPLFFQTTICRMDHVHKDPDSSSSSKSWLVKVGESNYGKLKLFNPLTNQEIKYSPIALNLLEFKFVELSKAFLLKSPHGFSVDGINKVVLFRVSANSSDENEFGILAIFHEVELAYWKYGAESWITLGGDENVQYDDITVCNERVYVVDRCGTVSWISPVLNVTQYYPSLYCSGGQKDLVECCGDLYVVDRYLDGERRRWVGIDGLMDSDDEILPNVGFTPTHAPKAIDFRVYKMDEDWGKWIEVKSLDDKVFVLGMDCSFSVSCKEFNGVKGNCIYYMDGDDYVGSGLSGGSIHVFQLEDRSIHKLAVIPEFSEIFWPPSNVSSV >Potri.005G105100.2.v4.1 pep chromosome:Pop_tri_v4:5:7578622:7580447:1 gene:Potri.005G105100.v4.1 transcript:Potri.005G105100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G105100.v4.1 MIGKRLDSRVDTLRFRAVCTSWRSSVSLPSSDQEIPPLILNLPGPISYAPLFFQTTICRMDHVHKDPDSSSSSKSWLVKVGESNYGKLKLFNPLTNQEIKYSPIALNLLEFKFVELSKAFLLKSPHGFSVDGINKVVLFRVSANSSDENEFGILAIFHEVELAYWKYGAESWITLGGDENVQYDDITVCNERVYVVDRCGTVSWISPVLNVTQYYPSLYCSGGQKDLVECCGDLYVVDRYLDGERRRWVGIDGLMDSDDEILPNVGFTPTHAPKAIDFRVYKMDEDWGKWIEVKSLDDKVFVLGMDCSFSVSCKEFNGVKGNCIYYMDGDDYVGSGLSGGSIHVFQLEDRSIHKLAVIPEFSEIFWPPSNVSSV >Potri.002G262900.1.v4.1 pep chromosome:Pop_tri_v4:2:25029051:25031477:1 gene:Potri.002G262900.v4.1 transcript:Potri.002G262900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262900.v4.1 MANTHQGKLFFFLYLLFISCSPSRILADSSSVSTQQELEHDIHYAPYRERETDSRIELNQQEVLLNKLEQLVRNLSEIVAKLEPKLSELPKVASVDREQNQEPERVNQGSFDGKRLVQKVEEEGLEAKTRDGERVGAVSVTKYSPFWSERFQFVSAVKLDSDATCIHVLPFRDYEGLSKYVAVGDDRGRVYVFLRNGDVVVEFYTMSSSPITAMVSYLSAYRNESTVVTGHQNGAVLVHKLRDVSNGEDWSTISMENVGKFAFLENGEQGSPISILEVHHVGRSRYILSSDVSGVIRVFRENGTVHGSAIPTSRPLAFLKQRLLFLTESGAGSIDLRSMKVRESECEGLNQTLARNYVFDATERSKAYGFTSGGDLINVLLLGDIMNFKCRVRSKRKFDMDGPLALQSIKGYLFVVNEEKVFVYNVSSQHYVRVGGPRLLFSALLDEIKSSFLNYQSADANIERRRVIPLIASDREKLLVLGLGSGYVGMYRSNLPIFKGEFNTMLWTSPVLFFILFLFGAWQFFAKKKEALTSWGPDDPFSSTSATTGAPLGSSASADRSFVDSSSRSSDMMDLRASGLRPPSRRYPSPSRYPGGATSSFRPSSADANARPTSVDPNYRASSELKFRGPALESTGYPKRRENLYANNQVVDDSN >Potri.015G003100.4.v4.1 pep chromosome:Pop_tri_v4:15:211116:214109:-1 gene:Potri.015G003100.v4.1 transcript:Potri.015G003100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G003100.v4.1 MGSTGETQMSPAQILDEEANFAMQLISSSVLPMVLKTAIELDLLEIMAKAGPGALLSPSDIASHLPTKNPDAPVMLDRILRLLASYSILICSLRDLPDGKVERLYGLASVCKFLTKNEDGVSVSPLCLMNQDKVLMESWYHLKDAILEGGIPFNKAYGMTAFEYHGTDPRFNKVFNKGMSDHSKIAMKKILETYKGFEGLASLVDVGGGTGAVLSTIVSKYPSIKGINFDLPHVIADAPAFPGVENVGGDMFVSVPKADAVFMKWICHDWSDEHCLRFLKNCYDALPENGKVILVECILPVAPDTSLATKGVMHVDAIMLAHNPGGKERTEKEFEGLARGAGFKGFEVMCCAFNTYVIEFRKQA >Potri.003G185666.1.v4.1 pep chromosome:Pop_tri_v4:3:19018520:19018732:1 gene:Potri.003G185666.v4.1 transcript:Potri.003G185666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185666.v4.1 MRLQSGCIALDLLEIAISGLIAWDCNEKSKINRWILRFNWTKNESIKNNWIQIELTWD >Potri.005G232200.4.v4.1 pep chromosome:Pop_tri_v4:5:23108342:23111736:1 gene:Potri.005G232200.v4.1 transcript:Potri.005G232200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232200.v4.1 MKMMMIIRRLPPITITLTSAFSCRFTSPKFFPEQIIISSKSTPCYPRISLLATFCSASQVPDPIIEQDSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLRHYNISTPLLSYHKFNESQREQRVLRRLKEGEIVVLISDAGTPGISDPGAELAKLCVDENIPVIPIPGPCAVVAALSASGLDTDEFTFVGFLSKHGPSRRERLTASANEARTQIFYVPPHKFSQFLEESSLLFGDSRYIRLIIFC >Potri.005G232200.1.v4.1 pep chromosome:Pop_tri_v4:5:23108295:23111737:1 gene:Potri.005G232200.v4.1 transcript:Potri.005G232200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232200.v4.1 MKMMMIIRRLPPITITLTSAFSCRFTSPKFFPEQIIISSKSTPCYPRISLLATFCSASQVPDPIIEQDSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLRHYNISTPLLSYHKFNESQREQRVLRRLKEGEIVVLISDAGTPGISDPGAELAKLCVDENIPVIPIPGPCAVVAALSASGLDTDEFTFVGFLSKHGPSRRERLTASANEARTQIFYVPPHKFSQFLEESSLLFGDSRRCVMAREMTKIHEEFWRGTLGEAKVRFLNQQPKGEMTLLIEGRTNCLVETPSEDQLEHELGELISRGHTLSTAVKLVAEGASVKRKTLYSLALKKFGKQLEADDNSN >Potri.005G232200.6.v4.1 pep chromosome:Pop_tri_v4:5:23108366:23111736:1 gene:Potri.005G232200.v4.1 transcript:Potri.005G232200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232200.v4.1 MKMMMIIRRLPPITITLTSAFSCRFTSPKFFPEQIIISSKSTPCYPRISLLATFCSASQVPDPIIEQDSSKRGPLKPGLYLVGTPIGNLEDITLRALRVLKSADVILSEDTRHSGKLLRHYNISTPLLSYHKFNESQREQRVLRRLKEGEIVVLISDAGTPGISDPGAELAKLCVDENIPVIPIPGPCAVVAALSASGLDTDEFTFVGFLSKHGPSRRERLTASANEARTQIFYVPPHKFSQFLEESSLLFGDSRRCVMAREMTKIHEEMRVPNQFWRGTLGEAKVRFLNQQPKGEMTLLIEGRTNCLVETPSEDQLEHELGELISRGHTLSTAVKLVAEGASVKRKTLYSLALKKFGKQLEADDNSN >Potri.007G013000.1.v4.1 pep chromosome:Pop_tri_v4:7:1000830:1003812:-1 gene:Potri.007G013000.v4.1 transcript:Potri.007G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013000.v4.1 MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKANLMVGNVLPLRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRGMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKADKV >Potri.007G013000.2.v4.1 pep chromosome:Pop_tri_v4:7:1000819:1002526:-1 gene:Potri.007G013000.v4.1 transcript:Potri.007G013000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013000.v4.1 MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKANLMVGNVLPLRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRGMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKADKV >Potri.010G187800.2.v4.1 pep chromosome:Pop_tri_v4:10:18415561:18418809:1 gene:Potri.010G187800.v4.1 transcript:Potri.010G187800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187800.v4.1 MASWVLSECGLRPLPRVYHQSRTGLTSKTTNLLKIRQPPVSKSYNLGSSFKVSSWSRQRNWALNVAIPVSAPVIEEEDKERESVKGVNEHEGEFFDPGAPPPFKLADIRAAIPKHCWVKNPWRSMSYVVRDVAVVCGLAVVAAYFNNWVVWPLYWFAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWQPLPEKIYKSLDNVTRTLRFTLPFPMLAYPVYLWSRSPGKKGSHFHPDSDLFAPNERKDVITSTACWTAMAALVACLSFVMGPVQMLKLYGIPYWIFVMWLDLVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLIEATEAAKPVLGKYYREPKKSGPLPFYLLGTLIRSMKQDHYVSDAGDVLYYQTDPKLYGPEKTE >Potri.018G135702.1.v4.1 pep chromosome:Pop_tri_v4:18:15600484:15602322:1 gene:Potri.018G135702.v4.1 transcript:Potri.018G135702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135702.v4.1 MARQNDPFWDFVGKLDGGRFNCTFCGYKYAAATSVTRIKWHLSGVQGRGVAICRQVPEDVQEAAFQAVHGGNKRHKGIASSSNFNDNAISTTPQEQNNEVDNLAGDAGRIQALGTMGQARERFLEEINNVMDF >Potri.008G099100.1.v4.1 pep chromosome:Pop_tri_v4:8:6201641:6203694:1 gene:Potri.008G099100.v4.1 transcript:Potri.008G099100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G099100.v4.1 MNHWLLILFSIFISISVSGILRFILNRYFINTKPTLYKLPPSPQSIPVISNLLQLVRITPSDIHSNLNSLHAKLGPIITIYSGSRPVIFIADRFLAHKVLIQNGAMFANRPPASATQKIVSSNQRIISLAFYGPTWRLLRRNLTENFLHPSRAKCFSLSRRWVLQILMNRLESQEKSGQPICVREHFLYAMFCLLVVMCFGDNINETQIKQIEEVQRRAFLSFNKFNILNLWPRMTKIVLRRRWEEFYQLRKCQQDVSIPLIRARKNLQEEERKRMETQHHHVVSYVDTLLGLELPDENKKLNEVEIANLCSEFLNAGTDTTTTALEWIMANLVKYPKIQDKLFMEIKGVVGNGDREEVSESDLKRLPYLKAVVLEGLRRHPPARLLAPHAAREDVVLNNEYLIPETAAINFLVAEMGWDPKAWEDPLAFKPERFLNHDNGIGREFDITGSREIKMMPFGAGRRICPGYQLAMLHLEYYVANLIWKFEWKAVDGDDVDLSEKAERTMVMKNPLQVHLSPR >Potri.013G001800.1.v4.1 pep chromosome:Pop_tri_v4:13:154740:155642:-1 gene:Potri.013G001800.v4.1 transcript:Potri.013G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001800.v4.1 MVGNKQKKSSFFSFLKGRRARRTGDDASYANDDGMSARKILPFDDDKGPLVSVKPDPRIDSKASVFIANFHAARISESERQIFQQAAGNAA >Potri.017G149800.1.v4.1 pep chromosome:Pop_tri_v4:17:14839862:14844561:1 gene:Potri.017G149800.v4.1 transcript:Potri.017G149800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G149800.v4.1 MADLERKAKEAFIDDHFELAVDLYTQAIALNPTNPDLFADRAQANIKLNNFTEAVADASRAIALDASLAKAYLRKGIACMKLEEYQTAKAALEVGASLAPGESRFTNLIKECDECIAEETGGSKNHAADAPVNTVSIEDVEPEDTSSQAPMVIPSKPKYRHEFYQKPEEVVVSIFAKGVQASWISVDFGEQILSVRIEVPGEDGYHFQPRLFGKIIPDKCKYNILSTKVEFRLAKAEPGLHWASLEYNKETAVVQRIAVSSEIVQKPTYSSSKPKRVDWDKIEAQVKKEEKEEKLDGDAALNKFFREIYQDADEDTRRAMKKSFVESNGTVLSTNWKEVGTKKVEGSPPDGMEMRKWEY >Potri.009G093000.8.v4.1 pep chromosome:Pop_tri_v4:9:8471460:8477394:1 gene:Potri.009G093000.v4.1 transcript:Potri.009G093000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093000.v4.1 MLRSLRSSDRVLRSRSQEKPKAPESSNNSGNVNSTGDKKGKRRKKRRGKNIVADEYSKIRAHLRYLLNRMSYEQSLITAYSGEGWKGLSLEKLKPEKELQRATSEITRRKVKIRDLFQHIDSLCSEGRFPSSLFDSEGQIDSEDIFCAKCGSKDLNADNDIILCDGACDRGFHQFCLIPPLLREDIPPDDEGWLCPGCDCKVDCIGLLNDSQGTNISISDSWEKVFPEAAATASGQKLDHNFGPSSDDSDDNDYEPDGPDIDKKSQEEESSSDESDFTSASDEFKAPPDGKEYLGLSSDDSEDDDYDPDAPVLEEKLKQESSSSDFTSDSEDLAATINGDGLSLEDECHMPIEPRGVSNGRKSKFDGKKMQSLNSELLSMLEPDLCQDESATVSGKRNVDRLDYKKLYDETYGNISTSSDDDYTDTVGPRKRRKNTGDVATVTANGDASVTENGMNSKNMNQELKENKRNPERGTCQNSSFQETNVSPAKSYVGASLSGSSGKSVRPSAYKKLGEAVTQRLYSYFKENQYPDRAAKASLAEELGITFEQVNKWFVNARWSFNHSSSTGTSKAESASGKGSCDGQVRDSESKNRKSNKQKTNTLKSRR >Potri.009G093000.6.v4.1 pep chromosome:Pop_tri_v4:9:8470125:8477327:1 gene:Potri.009G093000.v4.1 transcript:Potri.009G093000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093000.v4.1 MSEAENMGVSPSQVSSQTKSYSCPSQTKLENTHGFTAEYNCGGYSEEKHKLESEIIQTEAGDSGTAVLQSGAGETVEPSTEDVTNNSFTDLDPPPEDARGATFDESSRPILTAIDQKLEPGARSVNTACTHGESSKATDSGILQDEPGNTNAASSSCIANETSQASLENLANNSCTEDVGPPYGDASKGNQIDKSSYPQQTISGHTLELLSDRACCERSEERQKPGSELSENESTGIDTELYSGIAIENSEPLTQLVTKSSPIKHVGLLPGDSIIIPANEQTRPTHDDEDKGPDHEHLETPSRVAIGITRRGRPRGKSASRLSRKIYMLRSLRSSDRVLRSRSQEKPKAPESSNNSGNVNSTGDKKGKRRKKRRGKNIVADEYSKIRAHLRYLLNRMSYEQSLITAYSGEGWKGLSLEKLKPEKELQRATSEITRRKVKIRDLFQHIDSLCSEGRFPSSLFDSEGQIDSEDIFCAKCGSKDLNADNDIILCDGACDRGFHQFCLIPPLLREDIPPDDEGWLCPGCDCKVDCIGLLNDSQGTNISISDSWEKVFPEAAATASGQKLDHNFGPSSDDSDDNDYEPDGPDIDKKSQEEESSSDESDFTSASDEFKAPPDGKEYLGLSSDDSEDDDYDPDAPVLEEKLKQESSSSDFTSDSEDLAATINGDGLSLEDECHMPIEPRGVSNGRKSKFDGKKMQSLNSELLSMLEPDLCQDESATVSGKRNVDRLDYKKLYDETYGNISTSSDDDYTDTVGPRKRRKNTGDVATVTANGDASVTENGMNSKNMNQELKENKRNPERGTCQNSSFQETNVSPAKSYVGASLSGSSGKSVRPSAYKKLGEAVTQRLYSYFKENQYPDRAAKASLAEELGITFEQVNKWFVNARWSFNHSSSTGTSKAESASGKGSCDGQVRDSESKNRKSNKQKTNTLKSRR >Potri.009G093000.7.v4.1 pep chromosome:Pop_tri_v4:9:8470125:8477292:1 gene:Potri.009G093000.v4.1 transcript:Potri.009G093000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093000.v4.1 MSEAENMGVSPSQVSSQTKSYSCPSQTKLENTHGFTAEYNCGGYSEEKHKLESEIIQTEAGDSGTAVLQSGAGETVEPSTEDVTNNSFTDLDPPPEDARGATFDESSRPILTAIDQKLEPGARSVNTACTHGESSKATDSGILQDEPGNTNAASSSCIANETSQASLENLANNSCTEDVGPPYGDASKGNQIDKSSYPQQTISGHTLELLSDRACCERSEERQKPGSELSENESTGIDTELYSGIAIENSEPLTQLVTKSSPIKHVGLLPGDSIIIPANEQTRPTHDDEDKGPDHEHLETPSRVAIGITRRGRPRGKSASRLSRKIYMLRSLRSSDRVLRSRSQEKPKAPESSNNSGNVNSTGDKKGKRRKKRRGKNIVADEYSKIRAHLRYLLNRMSYEQSLITAYSGEGWKGLSLEKLKPEKELQRATSEITRRKVKIRDLFQHIDSLCSEGRFPSSLFDSEGQIDSEDIFCAKCGSKDLNADNDIILCDGACDRGFHQFCLIPPLLREDIPPDDEGWLCPGCDCKVDCIGLLNDSQGTNISISDSWEKVFPEAAATASGQKLDHNFGPSSDDSDDNDYEPDGPDIDKKSQEEESSSDESDFTSASDEFKAPPDGKEYLGLSSDDSEDDDYDPDAPVLEEKLKQESSSSDFTSDSEDLAATINGDGLSLEDECHMPIEPRGVSNGRKSKFDGKKMQSLNSELLSMLEPDLCQDESATVSGKRNVDRLDYKKLYDETYGNISTSSDDDYTDTVGPRKRRKNTGDVATVTANGDASVTENGMNSKNMNQELKENKRNPERGTCQNSSFQETNVSPAKSYVGASLSGSSGKSVRPSAYKKLGEAVTQRLYSYFKENQYPDRAAKASLAEELGITFEQVNKWFVNARWSFNHSSSTGTSKAESASGKGSCDGQVRDSESKNRKSNKQKTNTLKSRR >Potri.009G093000.5.v4.1 pep chromosome:Pop_tri_v4:9:8470125:8477373:1 gene:Potri.009G093000.v4.1 transcript:Potri.009G093000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093000.v4.1 MSEAENMGVSPSQVSSQTKSYSCPSQTKLENTHGFTAEYNCGGYSEEKHKLESEIIQTEAGDSGTAVLQSGAGETVEPSTEDVTNNSFTDLDPPPEDARGATFDESSRPILTAIDQKLEPGARSVNTACTHGESSKATDSGILQDEPGNTNAASSSCIANETSQASLENLANNSCTEDVGPPYGDASKGNQIDKSSYPQQTISGHTLELLSDRACCERSEERQKPGSELSENESTGIDTELYSGIAIENSEPLTQLVTKSSPIKHVGLLPGDSIIIPANEQTRPTHDDEDKGPDHEHLETPSRVAIGITRRGRPRGKSASRLSRKIYMLRSLRSSDRVLRSRSQEKPKAPESSNNSGNVNSTGDKKGKRRKKRRGKNIVADEYSKIRAHLRYLLNRMSYEQSLITAYSGEGWKGLSLEKLKPEKELQRATSEITRRKVKIRDLFQHIDSLCSEGRFPSSLFDSEGQIDSEDIFCAKCGSKDLNADNDIILCDGACDRGFHQFCLIPPLLREDIPPDDEGWLCPGCDCKVDCIGLLNDSQGTNISISDSWEKVFPEAAATASGQKLDHNFGPSSDDSDDNDYEPDGPDIDKKSQEEESSSDESDFTSASDEFKAPPDGKEYLGLSSDDSEDDDYDPDAPVLEEKLKQESSSSDFTSDSEDLAATINGDGLSLEDECHMPIEPRGVSNGRKSKFDGKKMQSLNSELLSMLEPDLCQDESATVSGKRNVDRLDYKKLYDETYGNISTSSDDDYTDTVGPRKRRKNTGDVATVTANGDASVTENGMNSKNMNQELKENKRNPERGTCQNSSFQETNVSPAKSYVGASLSGSSGKSVRPSAYKKLGEAVTQRLYSYFKENQYPDRAAKASLAEELGITFEQVNKWFVNARWSFNHSSSTGTSKAESASGKGSCDGQVRDSESKNRKSNKQKTNTLKSRR >Potri.001G206900.1.v4.1 pep chromosome:Pop_tri_v4:1:21150995:21152155:1 gene:Potri.001G206900.v4.1 transcript:Potri.001G206900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206900.v4.1 MGSVNLPPGFRFCPSDEELVVHFLHRKAALLPCHPDVIPDLGLYPYDPWQLDGKALSEGKQRYFYSRRTQNKITSNGCWKPMAGRGEELVLASDSNKTVGTKKYFLFYTADGVRTNWVMEEYRLSGFDTSASTKTRNRQKQDYSKWVICRVYERDLDEDDSGTDQLSCLDEVFLSLDDLDEISLPN >Potri.001G438300.4.v4.1 pep chromosome:Pop_tri_v4:1:46457963:46464599:1 gene:Potri.001G438300.v4.1 transcript:Potri.001G438300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438300.v4.1 MISPPPPNFGKFNSLHYVNAGYLFSLNHARLVVLMATIYAIYCVKVRVGWHGVFLSINLTFFSNDVLNFLLQWCDNVSESTQFEEHKESETVLEDEFSVECEFSIPVDESEKVQPCKSSSPAASSSVVNNQRESSTRKVVVEETSSTNEMKRILDSVDHYEALGFSRHKRIDVAILKKEYHKKAMRVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRREESKTRSVCQKSHGTSCQDNPDYCSEESRRIQCTKCGISHIWVCTNRTKAKARWCQDCCQYHQAKDGDGWVEYKGTLVFDRPQKMEIPRAFVCAESKIFDVSEWAICQGMQCRPNTHRPSFHVNMVGLEKTQRSNSSRYPWDLDAEMMDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKGKKQWRRTST >Potri.001G438300.3.v4.1 pep chromosome:Pop_tri_v4:1:46456956:46465061:1 gene:Potri.001G438300.v4.1 transcript:Potri.001G438300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438300.v4.1 MEDIGLVKQGWKWLQTQKHVYSRVKIAVGCLRDKIGVFIERHWPMVCSGCARFGRLMRFMLIYWKDCATRGFNSVIKLGSAALLLIMWSCFLSLTSMSCLLYVLLCMGAAGAAVQYLGYTPGLFIVGLFAILILWMYANFWITGTLFIVGGYLFSLNHARLVVLMATIYAIYCVKVRVGWHGVFLSINLTFFSNDVLNFLLQWCDNVSESTQFEEHKESETVLEDEFSVECEFSIPVDESEKVQPCKSSSPAASSSVVNNQRESSTRKVVVEETSSTNEMKRILDSVDHYEALGFSRHKRIDVAILKKEYHKKAMRVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRREESKTRSVCQKSHGTSCQDNPDYCSEESRRIQCTKCGISHIWVCTNRTKAKARWCQDCCQYHQAKDGDGWVEYKGTLVFDRPQKMEIPRAFVCAESKIFDVSEWAICQGMQCRPNTHRPSFHVNMVGLEKTQRSNSSRYPWDLDAEMMDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKGKKQWRRTST >Potri.001G438300.2.v4.1 pep chromosome:Pop_tri_v4:1:46457241:46464598:1 gene:Potri.001G438300.v4.1 transcript:Potri.001G438300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G438300.v4.1 MEDIGLVKQGWKWLQTQKHVYSRVKIAVGCLRDKIGVFIERHWPMVCSGCARFGRLMRFMLIYWKDCATRGFNSVIKLGSAALLLIMWSCFLSLTSMSCLLYVLLCMGAAGAAVQYLGYTPGLFIVGLFAILILWMYANFWITGTLFIVGGYLFSLNHARLVVLMATIYAIYCVKVRVGWHGVFLSINLTFFSNDVLNFLLQWCDNVSESTQFEEHKESETVLEDEFSVECEFSIPVDESEKVQPCKSSSPAASSSVVNNQRESSTRKVVVEETSSTNEMKRILDSVDHYEALGFSRHKRIDVAILKKEYHKKAMRVHPDKNMGSPLASESFKKLQCAYEVLSDSVKKRDYDEQLRREESKTRSVCQKSHGTSCQQDNPDYCSEESRRIQCTKCGISHIWVCTNRTKAKARWCQDCCQYHQAKDGDGWVEYKGTLVFDRPQKMEIPRAFVCAESKIFDVSEWAICQGMQCRPNTHRPSFHVNMVGLEKTQRSNSSRYPWDLDAEMMDEDEEEFELWLQQALASGLFCETSKRRKSWSPFKLPQKKGKKQWRRTST >Potri.006G254900.2.v4.1 pep chromosome:Pop_tri_v4:6:25254603:25265257:-1 gene:Potri.006G254900.v4.1 transcript:Potri.006G254900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254900.v4.1 MLQNSEDTVNKNPQPRLQVDKEQQESVSQVKSLVSVRRKLEMEEQWRLYEAYNELHGLAQELKTPFDAPAVLVVGHQTDGKSALVEGLMGFQFNHVGGGTKTRRPITLHMKYDPECEVPTCHLVSDDDPSFAQEKSLHEIQAYIEYENMRLEKESFQFSAKEIIIRVEYKHCPNLTIIDTPGLIAPAPGRKNQALQSQAHAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTIVVSTKLDTRIPQFARASDVEVFLSPPAHTLDGFILGDSPFFTSVPSGRVGSGHDSVYSSNDEFKQAISLREVEDIASLEEKLCRPLSMQERNRIGVSKLRSFLEELLQKRYMDSVPLIIPLLEKEYRTATRKLNEINKELSTLDEVKLKEKGRKFHDLFITKLSLLLKGTVVAPPDKFGETLQDERSNGGAFVGTDGLQFPLKLIPNAGMRLYGGAQYHRAMAEFRFVVGGNKCPQITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLLYVLKRLLPISVYLLQKDGEYLSGHDVFLKRVDSAFSNFAESTERACREKCMEDLESTTRYVTWSLHNKNRAGLRQFLDSFGGTEQSAMGVNPISASLESSMVSVANEKHDNRPKADVKLSHLASGTDSCSAVQTTETRLADLLDKTLWNRRLAPSSERIVYGLVQQIFHGIREYFLASAELKFNCFLLMPVVDKLPALLLQDLESAFVDDLDNVFDITNLRHSFSQRKLETEMDLKRIKRLKEKFRLINEQLTLHQLKAVSIE >Potri.006G254900.3.v4.1 pep chromosome:Pop_tri_v4:6:25255738:25265285:-1 gene:Potri.006G254900.v4.1 transcript:Potri.006G254900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254900.v4.1 MLQNSEDTVNKNPQPRLQVDKEQQESVSQVKSLVSVRRKLEMEEQWRLYEAYNELHGLAQELKTPFDAPAVLVVGHQTDGKSALVEGLMGFQFNHVGGGTKTRRPITLHMKYDPECEVPTCHLVSDDDPSFAQEKSLHEIQAYIEYENMRLEKESFQFSAKEIIIRVEYKHCPNLTIIDTPGLIAPAPGRKNQALQSQAHAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTIVVSTKLDTRIPQFARASDVEVFLSPPAHTLDGFILGDSPFFTSVPSGRVGSGHDSVYSSNDEFKQAISLREVEDIASLEEKLCRPLSMQERNRIGVSKLRSFLEELLQKRYMDSVPLIIPLLEKEYRTATRKLNEINKELSTLDEVKLKEKGRKFHDLFITKLSLLLKGTVVAPPDKFGETLQDERSNGGAFVGTDGLQFPLKLIPNAGMRLYGGAQYHRAMAEFRFVVGGNKCPQITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLLYVLKRLLPISVYLLQKDGEYLSGHDVFLKRVDSAFSNFAESTERACREKCMEDLESTTRYVTWSLHNKNRAGLRQFLDSFGGTEQSAMGVNPISASLESSMVSVANEKHDNRPKADVKLSHLASGTDSCSAVQTTETRLADLLDKTLWNRRLAPSSERIVYGLVQQIFHGIREYFLASAELKFNCFLLMPVVDKLPALLLQDLESAFVDDLDNVFDITNLRHSFSQRKLETEMDLKRIKRLKEKFRLINEQLTLHQLKAVSIE >Potri.006G254900.6.v4.1 pep chromosome:Pop_tri_v4:6:25255219:25265257:-1 gene:Potri.006G254900.v4.1 transcript:Potri.006G254900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254900.v4.1 MLQNSEDTVNKNPQPRLQVDKEQQESVSQVKSLVSVRRKLEMEEQWRLYEAYNELHGLAQELKTPFDAPAVLVVGHQTDGKSALVEGLMGFQFNHVGGGTKTRRPITLHMKYDPECEVPTCHLVSDDDPSFAQEKSLHEIQAYIEYENMRLEKESFQFSAKEIIIRVEYKHCPNLTIIDTPGLIAPAPGRKNQALQSQAHAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTIVVSTKLDTRIPQFARASDVEVFLSPPAHTLDGFILGDSPFFTSVPSGRVGSGHDSVYSSNDEFKQAISLREVEDIASLEEKLCRPLSMQERNRIGVSKLRSFLEELLQKRYMDSVPLIIPLLEKEYRTATRKLNEINKELSTLDEVKLKEKGRKFHDLFITKLSLLLKGTVVAPPDKFGETLQDERSNGGAFVGTDGLQFPLKLIPNAGMRLYGGAQYHRAMAEFRFVVGGNKCPQITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLLYVLKRLLPISVYLLQKDGEYLSGHDVFLKRVDSAFSNFAESTERACREKCMEDLESTTRYVTWSLHNKNRAGLRQFLDSFGGTEQSAMGVNPISASLESSMVSVANEKHDNRPKADVKLSHLASGTDSCSAVQTTETRLADLLDKTLWNRRLAPSSERIVYGLVQQIFHGIREYFLASAELKFNCFLLMPVVDKLPALLLQDLESAFVDDLDNVFDITNLRHSFSQRKLETEMDLKRIKRLKEKFRLINEQLTLHQLKAVSIE >Potri.006G254900.7.v4.1 pep chromosome:Pop_tri_v4:6:25255745:25265197:-1 gene:Potri.006G254900.v4.1 transcript:Potri.006G254900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254900.v4.1 MEEQWRLYEAYNELHGLAQELKTPFDAPAVLVVGHQTDGKSALVEGLMGFQFNHVGGGTKTRRPITLHMKYDPECEVPTCHLVSDDDPSFAQEKSLHEIQAYIEYENMRLEKESFQFSAKEIIIRVEYKHCPNLTIIDTPGLIAPAPGRKNQALQSQAHAVESLVRAKMQHKEFIILCLEDCSDWSNATTRRVVMQIDPELSRTIVVSTKLDTRIPQFARASDVEVFLSPPAHTLDGFILGDSPFFTSVPSGRVGSGHDSVYSSNDEFKQAISLREVEDIASLEEKLCRPLSMQERNRIGVSKLRSFLEELLQKRYMDSVPLIIPLLEKEYRTATRKLNEINKELSTLDEVKLKEKGRKFHDLFITKLSLLLKGTVVAPPDKFGETLQDERSNGGAFVGTDGLQFPLKLIPNAGMRLYGGAQYHRAMAEFRFVVGGNKCPQITREEIVNACGVEDIHDGTNYSRTACVIAVAKARDTFEPFLHQLGCRLLYVLKRLLPISVYLLQVQKDGEYLSGHDVFLKRVDSAFSNFAESTERACREKCMEDLESTTRYVTWSLHNKNRAGLRQFLDSFGGTEQSAMGVNPISASLESSMVSVANEKHDNRPKADVKLSHLASGTDSCSAVQTTETRLADLLDKTLWNRRLAPSSERIVYGLVQQIFHGIREYFLASAELKFNCFLLMPVVDKLPALLLQDLESAFVDDLDNVFDITNLRHSFSQRKLETEMDLKRIKRLKEKFRLINEQLTLHQLKAVSIE >Potri.010G177501.1.v4.1 pep chromosome:Pop_tri_v4:10:17726399:17728535:1 gene:Potri.010G177501.v4.1 transcript:Potri.010G177501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177501.v4.1 MEMLMLCLHSILFFIFLVSLNNINISSAETIYNVQTYGAKPNGKTDSTQAFLDAWAAACGSTDPTIIYIPEGRYLLGSVAFTGGNCKSPDIIVRIDGTLIAPEDYRILGLASNWLSFEGVSGVSIVGGALDAKGSPLWDCKSKGSNCPAGATTLSFVNSNNIKINGLLSLNSQMFHIVINGCQNVQVQGVRVIAAGDSPNTDGIHVQLSTDVVIMNSSIKTGDDCISIGPGTKNLWIERVRCGPGHGISIGSLAKSMDEAGVQNVTVKSTIFTGTTNGFRIKSWARHSTGFAQAIRFIGATMINVQNPIIIDQNYCPHNLNCPTEVSGIQISDVIYQGIRGTSATPVAIKFDCSFKYPCKGITLQNVNLTYLNKEARSTCTNAIGKTYGQVQPDNCL >Potri.018G007400.3.v4.1 pep chromosome:Pop_tri_v4:18:553565:559095:1 gene:Potri.018G007400.v4.1 transcript:Potri.018G007400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007400.v4.1 MEKSCTLLVHFDKGTPAIATEIKEALEGSDVSAKIEAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKKDQKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCDQDRAINYLLTNVDKVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSNAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHREIMVDRIMDVLRALSSPNLDIQRKTLDIVLELITPRNINEVVLMLKKEVMKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVAIFVREIIETNPKLRVSIITRLLDTFYQIRAARVCCCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAPTDASKNSQQPSSVTVSSRRPAILSDGTYATQSAASETAFSPPSIVQGSLAAGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSRGEVNKVSTQALLIMVSMIQLGQSPVLSHPIDCDSYDRIVLCIRLLCSTGDEVRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSYAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDRDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTTETLQNLCLELATMGDLKLVERPQNYTLAPESSRQIKANIKVSSTETGVIFGNIVYEASNVLERTVVVLNDIHIDIMDYISPAVCTDTAFRSMWAEFEWENKVAVNTIIQSEKDFLDHIIKSTNMKCLTAPSALDGDCGFLAANLYAKSVFGEDALVNVSIEKQLDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Potri.018G007400.2.v4.1 pep chromosome:Pop_tri_v4:18:554727:559181:1 gene:Potri.018G007400.v4.1 transcript:Potri.018G007400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007400.v4.1 MEKSCTLLVHFDKGTPAIATEIKEALEGSDVSAKIEAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKKDQKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCDQDRAINYLLTNVDKVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSNAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHREIMVDRIMDVLRALSSPNLDIQRKTLDIVLELITPRNINEVVLMLKKEVMKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVAIFVREIIETNPKLRVSIITRLLDTFYQIRAARVCCCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAPTDASKNSQQPSSVTVSSRRPAILSDGTYATQSAASETAFSPPSIVQGSLAAGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSRGEVNKVSTQALLIMVSMIQLGQSPVLSHPIDCDSYDRIVLCIRLLCSTGDEVRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSYAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDRDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTTETLQNLCLELATMGDLKLVERPQNYTLAPESSRQIKANIKVSSTETGVIFGNIVYEASNVLERTVVVLNDIHIDIMDYISPAVCTDTAFRSMWAEFEWENKVAVNTIIQSEKDFLDHIIKSTNMKCLTAPSALDGDCGFLAANLYAKSVFGEDALVNVSIEKQLDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Potri.018G007400.1.v4.1 pep chromosome:Pop_tri_v4:18:553539:559182:1 gene:Potri.018G007400.v4.1 transcript:Potri.018G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007400.v4.1 MEKSCTLLVHFDKGTPAIATEIKEALEGSDVSAKIEAMKKAISLLLNGETLPQLFITIVRYVLPSEDHTVQKLLLLYLEIIDKKDQKGRVLPEMILICQNLRNNLQHPNEYIRGVTLRFLCRLNETEIIEPLIPSVLQNLEHRHPFIRRNAILAVMSIYKLPQGEQLLVDAPEMIEKVLSTEQDQSAKRNAFLMLFTCDQDRAINYLLTNVDKVSEWGELLQMVVLELIRKVCRTNRGEKGKYIKIIISLLNAPSNAVIYECAGTLVSLSSAPTAIRAAANTYCQLLLSQSDNNVKLIVLDRLNELKSSHREIMVDRIMDVLRALSSPNLDIQRKTLDIVLELITPRNINEVVLMLKKEVMKTQNGELEKNGEYRQMLIQAIHSCAIKFPEVASTVVHLLMDFLGDSNVASAIDVAIFVREIIETNPKLRVSIITRLLDTFYQIRAARVCCCALWIIGEYCLSLSEVESGIATIKQCLGELPFYSVSEEGEAPTDASKNSQQPSSVTVSSRRPAILSDGTYATQSAASETAFSPPSIVQGSLAAGNLRSLLLTGDFFLGAVVACTLTKLVLRLEEVQPSRGEVNKVSTQALLIMVSMIQLGQSPVLSHPIDCDSYDRIVLCIRLLCSTGDEVRKIWLQSCRQSFVKMLSEKQLRETEELKAKAQVSYAQPDDLIDFYHLKSRKGMSQLELEDEVQDDLKRATGEFIKDRDDANKLNRILQLTGFSDPVYAEAYVTVHHYDIVLDVTVINRTTETLQNLCLELATMGDLKLVERPQNYTLAPESSRQIKANIKVSSTETGVIFGNIVYEASNVLERTVVVLNDIHIDIMDYISPAVCTDTAFRSMWAEFEWENKVAVNTIIQSEKDFLDHIIKSTNMKCLTAPSALDGDCGFLAANLYAKSVFGEDALVNVSIEKQLDGKLSGYIRIRSKTQGIALSLGDKITLKQKGGS >Potri.002G183100.6.v4.1 pep chromosome:Pop_tri_v4:2:14539811:14543208:-1 gene:Potri.002G183100.v4.1 transcript:Potri.002G183100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G183100.v4.1 MSRCRTTTRQLRHSCFGFPKETPKPNTLHHARTPLSTSSSSSYQMGNILLVAYLTKTLSESGTRSLDPDSIPLSESLVLQILRRNSLDSSKKMEFFKWCSVRHIYKHSVSTYSQMFSTLCRSGYLDEVPDLLNSMKNDGVVVGSETFKLLLDAFIRSGKFDSALDILDHMEELGSNPNPHMYDSIIVALAKKNQVGLALSIMFKLLEASDGNEENAVGVSLPGSVACNALLVALRNGEMKVEFKTVFAKLRGKGGFELNTWGYNICIHAFGCWGDLTTSLRLFKEMKEKSLASGSLDPDLCTYNSLIHVLCLAGKVKDAVIVYEELKVSGHEPDAFTYRILIQGCCKSYQMEDATKIFSEMQYNGFLPDTVVYNSLLDGMFKARKVMEACQLFEKMVQDGVRASCWTYNILIDGLCKNGRAEAGYNLFCGLKKKGQFVDAVTYSIVVLLLCRKGHLEEALHLVEEMEERGFVVDLITITSLLIAFHKQGRWDCTERLMKHIRDVNLLPNVLKWRADMEASLKNPPRSREDYTPMFPSTGGLQEIMSSISSPKSRSDDGATEDEKSSSADTDQWSSSPYMDHLANQAKSTDLSSQLFSLARGQRVQAKGAGSFDIDMVNTFLSIFLAKGKLSLACKLFEIFTDMGVDPVSYTYNSIMSSFVKKGYFNRAWDVFNEMGEKVCPPDIATYNLVIQGLGKMGRADLASSVLDKLMKQGGYLDIVMYNTLIDALGKAGRIDEANNLFEQMKISGLNPDVVTYNIMIEVHSKTGRLKDAYKFLKMMLDAGCLPNHVTDTTLDFLAKEIEKLRYQKASIMRQKDDSP >Potri.012G085100.1.v4.1 pep chromosome:Pop_tri_v4:12:11088511:11090509:-1 gene:Potri.012G085100.v4.1 transcript:Potri.012G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G085100.v4.1 MLQFPAFMTQYPVSTRTIPTSYLLPSQWPQPQSEELLLAMEESDFEDKCNEIRKGDSNLAVIGKTTVDNDKEEYDNDADDDDADNVEESEGEEFEQETS >Potri.016G007101.1.v4.1 pep chromosome:Pop_tri_v4:16:349015:349293:1 gene:Potri.016G007101.v4.1 transcript:Potri.016G007101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007101.v4.1 MDIPERVFQYRYHFAIAITAPLVLSLLLYAAPRLITVLAYFWPLFASTAVFLILVIVFGGVSQLQATGHYHGEKAGEGLLDYVSGQPQSTVD >Potri.003G096000.10.v4.1 pep chromosome:Pop_tri_v4:3:12170339:12176130:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MFGDCQVMSNMGGNVVSSDNLYSSPIGNPNFSFMSSMPFHTFSPIIPKEENGLVMRGKEEMESGSGSEQLEDRSGNEQESSEQPPKKKRYHRHTAAQIQEMEAMFKECPHPDDKQRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNLILRAENESLKNDNYRLQAELRSLICPNCGGQAMLGAIPFEELRLENARLRDELERVCCITSRYGGRQIHSMVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.003G096000.7.v4.1 pep chromosome:Pop_tri_v4:3:12170124:12176219:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MFGDCQVMSNMGGNVVSSDNLYSSPIGNPNFSFMSSMPFHTFSPIIPKEENGLVMRGKEEMESGSGSEQLEDRSGNEQESSEQPPKKKRYHRHTAAQIQEMEAMFKECPHPDDKQRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNLILRAENESLKNDNYRLQAELRSLICPNCGGQAMLGAIPFEELRLENARLRDELERVCCITSRYGGRQIHSMVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.003G096000.12.v4.1 pep chromosome:Pop_tri_v4:3:12172486:12176109:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.003G096000.8.v4.1 pep chromosome:Pop_tri_v4:3:12170339:12176237:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MFGDCQVMSNMGGNVVSSDNLYSSPIGNPNFSFMSSMPFHTFSPIIPKEENGLVMRGKEEMESGSGSEQLEDRSGNEQESSEQPPKKKRYHRHTAAQIQEMEAMFKECPHPDDKQRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNLILRAENESLKNDNYRLQAELRSLICPNCGGQAMLGAIPFEELRLENARLRDELERVCCITSRYGGRQIHSMVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.003G096000.4.v4.1 pep chromosome:Pop_tri_v4:3:12170041:12176161:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MFGDCQVMSNMGGNVVSSDNLYSSPIGNPNFSFMSSMPFHTFSPIIPKEENGLVMRGKEEMESGSGSEQLEDRSGNEQESSEQPPKKKRYHRHTAAQIQEMEAMFKECPHPDDKQRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNLILRAENESLKNDNYRLQAELRSLICPNCGGQAMLGAIPFEELRLENARLRDELERVCCITSRYGGRQIHSMVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.003G096000.11.v4.1 pep chromosome:Pop_tri_v4:3:12171947:12176152:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MLGAIPFEELRLENARLRDELERVCCITSRYGGRQIHSMVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.003G096000.1.v4.1 pep chromosome:Pop_tri_v4:3:12170112:12176138:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MFGDCQVMSNMGGNVVSSDNLYSSPIGNPNFSFMSSMPFHTFSPIIPKEENGLVMRGKEEMESGSGSEQLEDRSGNEQESSEQPPKKKRYHRHTAAQIQEMEAMFKECPHPDDKQRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNLILRAENESLKNDNYRLQAELRSLICPNCGGQAMLGAIPFEELRLENARLRDELERVCCITSRYGGRQIHSMVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.003G096000.5.v4.1 pep chromosome:Pop_tri_v4:3:12170339:12176154:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MFGDCQVMSNMGGNVVSSDNLYSSPIGNPNFSFMSSMPFHTFSPIIPKEENGLVMRGKEEMESGSGSEQLEDRSGNEQESSEQPPKKKRYHRHTAAQIQEMEAMFKECPHPDDKQRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNLILRAENESLKNDNYRLQAELRSLICPNCGGQAMLGAIPFEELRLENARLRDELERVCCITSRYGGRQIHSMVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.003G096000.9.v4.1 pep chromosome:Pop_tri_v4:3:12170339:12176151:1 gene:Potri.003G096000.v4.1 transcript:Potri.003G096000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096000.v4.1 MFGDCQVMSNMGGNVVSSDNLYSSPIGNPNFSFMSSMPFHTFSPIIPKEENGLVMRGKEEMESGSGSEQLEDRSGNEQESSEQPPKKKRYHRHTAAQIQEMEAMFKECPHPDDKQRMRLSQELGLKPRQVKFWFQNRRTQMKAQQDRSDNLILRAENESLKNDNYRLQAELRSLICPNCGGQAMLGAIPFEELRLENARLRDELERVCCITSRYGGRQIHSMVPVPSFVPPSLDLDMNMYSRPFPEYLGTCTDMMPVPVPVLEEPSSFPEAGVVLMEEGKGLAMELALSSMDELVKMCHANEPLWIRNIENGKEVLNLEEHGRMFPWPSNLKQNSSETRTEATRDCAVVIMNSITLVDAFLDANKWMELFPSIVARAKTVQVITPGISGASGSLHLMYAELQVLSPLVPTRETYFLRFCHQNVEEGTWAIVDFPLDRLHDNIQPSFPLYKRHPSGCVIQDMPNGYSRVTWIEHAEIEEKPVHQIFSQYVYSGMAFGAHRWLTVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRIFSLNISSSSGQSWTGLSDSYDDTVRITTRKITEPGQPNGVILSAVSTTWLPYPHYQVFDLLRDEHRRSQLELLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVELMLQECCTDQSGSLVVYTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSAVSEGNSMPSNSEDGNGHNNSGCLLTVGLQVLASTIPSAKLNLSSVTAINNHLCNTVNQITAALSSTTTTTTITTTSSCLDNGNAVGSCNIEPTAASKQV >Potri.004G017200.1.v4.1 pep chromosome:Pop_tri_v4:4:1226610:1231851:-1 gene:Potri.004G017200.v4.1 transcript:Potri.004G017200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017200.v4.1 MMQQPGPGGAMLPQQQQPPQQYQQPPYMMMMPPPPMAQTQPPPPHMWAQHQAHQASIPPPQQQQGQGQPPATADEVRTLWIGDLQYWMDENYIASCFAHTGEVASVKIIRNKQTSQIEGYGFIEMTSHGAAERILQTYNGTPMPNGEQNFRLNWASFSGGDKRDDSPDFTIFVGDLAADVTDFMLQETFRAHFPSVKGAKVVIDRLTGRTKGYGFVRFGDESEQLRAMTEMNGAFCSTRPMRVGLASNKKAVVGQQYPKASYQNPQPQNDGDPNNTTIFVGNLDSNVMDDHLKELFGQYGQLLHVKIPAGKRCGFVQFADRSSAEEALKMLNGAQLSGQNIRLSWGRNPSNKQAQPDANQYGGGYYGYGQQGYENYGYAPATQDPNMYYGGYPGYGNYQQGQQQQVGYS >Potri.019G032500.3.v4.1 pep chromosome:Pop_tri_v4:19:4515499:4518989:1 gene:Potri.019G032500.v4.1 transcript:Potri.019G032500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032500.v4.1 MKLRDKKERTSLLFSLLSLYQPASFTISQQTANQEDKHHNHPLPLSTREREMEAEMVYQYWCHMCSQMVNPATEADIKCPFCDSGFLEEMGSTREVNNSGFNLGSEHVFSLWDTILLDLTDGLGTSGLRSTAQENISSPSSPYEDDELDFESLPRRRGRRSSSSVQSLLQDLNLGAENYENDRESTGSSILILVNPFNEEAIILQSPDDMNQAENPSQNVSSSFRDYLIGPGVDLLLHHLAESGPNRYGTPPANKEAVKAMPTVSINQNLQCSICLEEFEIGSEAKEMPCKHKFHGECIAPWLELHSSCPVCRFLMPSDDSKTEVSQSRSDADTMENNDARNTWVPISWPFDGLFPLSGSQIGGSSISAPSSTTMPGSTSFTDET >Potri.019G032500.6.v4.1 pep chromosome:Pop_tri_v4:19:4516409:4518914:1 gene:Potri.019G032500.v4.1 transcript:Potri.019G032500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032500.v4.1 MEAEMVYQYWCHMCSQMVNPATEADIKCPFCDSGFLEEMGSTREVNNSGFNLGSEHVFSLWDTILLDLTDGLGTSGLRSTAQENISSPSSPYEDDELDFESLPRRRGRRSSSSVQSLLQDLNLGAENYENDRESTGSSILILVNPFNEEAIILQSPDDMNQAENPSQNVSSSFRDYLIGPGVDLLLHHLAESGPNRYGTPPANKEAVKAMPTVSINQNLQCSICLEEFEIGSEAKEMPCKHKFHGECIAPWLELHSSCPVCRFLMPSDDSKTEVSQSRSDADTMENNDARNTWVPISWPFDGLFPLSGSQIGGSSISAPSSTTMPGSTSFTDET >Potri.019G032500.4.v4.1 pep chromosome:Pop_tri_v4:19:4515766:4518989:1 gene:Potri.019G032500.v4.1 transcript:Potri.019G032500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032500.v4.1 MEAEMVYQYWCHMCSQMVNPATEADIKCPFCDSGFLEEMGSTREVNNSGFNLGSEHVFSLWDTILLDLTDGLGTSGLRSTAQENISSPSSPYEDDELDFESLPRRRGRRSSSSVQSLLQDLNLGAENYENDRESTGSSILILVNPFNEEAIILQSPDDMNQAENPSQNVSSSFRDYLIGPGVDLLLHHLAESGPNRYGTPPANKEAVKAMPTVSINQNLQCSICLEEFEIGSEAKEMPCKHKFHGECIAPWLELHSSCPVCRFLMPSDDSKTEVSQSRSDADTMENNDARNTWVPISWPFDGLFPLSGSQIGGSSISAPSSTTMPGSTSFTDET >Potri.006G272066.2.v4.1 pep chromosome:Pop_tri_v4:6:26490757:26496352:-1 gene:Potri.006G272066.v4.1 transcript:Potri.006G272066.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272066.v4.1 MAEGVLFNIAEEIIKTLGSLTAQEVALWWGIKDQLWKLNNTVTRIKAVIQDAEEQAQKQNHQIEDWLMKLREAAYDAEDLLDDFSIQVLRKQLMSGKRVSREVRLFFSRSNQFVYGLRMGHRVKALRERLDDIETDSKKFNFDVRGEERASLTTVREQTTSSEPEIIVGRESDKEAVKTFLMNSNYEHNVSVISVVGMGGLGKTTLAQHVFNDEQVKAHFGARLWVSVSGSLDVRKIIKGAVGRDSDDQLESLKNEFEEKIGKKKYLLVLDDVWDGEEGLDGEKWDRLKELLPRDAVGSKIVVTTRSHVIANFTSTIAPHVLEGLSVGESWDLFRRKAFPQGQGSGHVDERIRKEIVKRCCGVPLVIKAIARLMSLKDRAQWLPFIQQELPNRVQDDNIIHTLKLSYDPLPSFMKHCFAYCSLFPKGRRIDVKSLIQFWIAQGFISSSCSGGGCLDIVGLRCFEHLLWRSFFHEVEKDRLGNIKSCKMHDFMHDLATKVAGFQSIKVERGGNRICDLTRHVSFDTKLDLSQQIPIPLPYARSLRTVILFQGRKRGKGAWESICRDFRRLRVLVLSPSVIEEGSPLIQKLKHLKYLDLSNNYEMEALPNSVTNLINLQVLKLNGCSKLKELPRGISKLINLRHLDVGCILDGDLCEDLEYMPRGIGKLTSLQTLSCFVVAKKRSPKSEMIGGLDELRRLNELRGRLEIRVKGYEGGSCISEFEGAKLIDKQYLQSLTIWRNPKLDSDSDIDLYDKMMQSLQPNSSLQEWRVEGYGGMSFPSWVLDLSNLVRIRLESCRRLKHIPPLHGIPSLEELSIVGLDDLEYIDSEGVGGKGGSMFFPSLKRLGILACPRVKGWWKRWSRDEMKDDSDESTIEEGLRMFCFPCLSSLSIEFCPNLTSMPLFPTLDEGLDLRRTSSMPLQQTMEMTSPVSSSSSSSSFTRPLSKLKSLYVWSIDDMESLPEVGLQNLSSLQSLSISRCSRLKSLPLPDKGMPSLQKLLIRHCRGLKSLSESESQGRIAHLPSLQLLIIKDCSEELRGRTRGWGKESEEEWPNIKHILDIVIDRNYIQKEGRYVKGEGILIFP >Potri.006G272066.3.v4.1 pep chromosome:Pop_tri_v4:6:26492964:26496352:-1 gene:Potri.006G272066.v4.1 transcript:Potri.006G272066.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272066.v4.1 MAEGVLFNIAEEIIKTLGSLTAQEVALWWGIKDQLWKLNNTVTRIKAVIQDAEEQAQKQNHQIEDWLMKLREAAYDAEDLLDDFSIQVLRKQLMSGKRVSREVRLFFSRSNQFVYGLRMGHRVKALRERLDDIETDSKKFNFDVRGEERASLTTVREQTTSSEPEIIVGRESDKEAVKTFLMNSNYEHNVSVISVVGMGGLGKTTLAQHVFNDEQVKAHFGARLWVSVSGSLDVRKIIKGAVGRDSDDQLESLKNEFEEKIGKKKYLLVLDDVWDGEEGLDGEKWDRLKELLPRDAVGSKIVVTTRSHVIANFTSTIAPHVLEGLSVGESWDLFRRKAFPQGQGSGHVDERIRKEIVKRCCGVPLVIKAIARLMSLKDRAQWLPFIQQELPNRVQDDNIIHTLKLSYDPLPSFMKHCFAYCSLFPKGRRIDVKSLIQFWIAQGFISSSCSGGGCLDIVGLRCFEHLLWRSFFHEVEKDRLGNIKSCKMHDFMHDLATKVAGFQSIKVERGGNRICDLTRHVSFDTKLDLSQQIPIPLPYARSLRTVILFQGRKRGKGAWESICRDFRRLRVLVLSPSVIEEGSPLIQKLKHLKYLDLSNNYEMEALPNSVTNLINLQVLKLNGCSKLKELPRGISKLINLRHLDVGCILDGDLCEDLEYMPRGIGKLTSLQTLSCFVVAKKRSPKSEMIGGLDELRRLNELRGRLEIRVKGYEGGSCISEFEGAKLIDKQYLQSLTIWRNPKLDSDSDIDLYDKMMQSLQPNSSLQEWRVEGYGGMSFPSWVLDLSNLVRIRLESCRRLKHIPPLHGIPSLEELSIVGLDDLEYIDSEGVGGKGGSMFFPSLKRLGILACPRVKGWWKRWSRDEMKDDSDESTIEEGLRMFCFPCLSSLSIEFCPNLTSMPLFPTLDEGLDLRRTSSMPLQQTMEMTSPVSSSSSSSSFTRPLSKLKSLYVWSIDDMESLPEVGLQNLSSLQSLSISRCSRLKSLPLPDKGMPSLQKLLIRHCRGLKSLSESESQGRIAHLPSLQLLIIKDCSEELRGRTRGWGKESEEEWPNIKHILDIVIDRNYIQKEGRYVKGEGILIFP >Potri.006G272066.1.v4.1 pep chromosome:Pop_tri_v4:6:26492945:26494832:-1 gene:Potri.006G272066.v4.1 transcript:Potri.006G272066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272066.v4.1 MHDFMHDLATKVAGFQSIKVERGGNRICDLTRHVSFDTKLDLSQQIPIPLPYARSLRTVILFQGRKRGKGAWESICRDFRRLRVLVLSPSVIEEGSPLIQKLKHLKYLDLSNNYEMEALPNSVTNLINLQVLKLNGCSKLKELPRGISKLINLRHLDVGCILDGDLCEDLEYMPRGIGKLTSLQTLSCFVVAKKRSPKSEMIGGLDELRRLNELRGRLEIRVKGYEGGSCISEFEGAKLIDKQYLQSLTIWRNPKLDSDSDIDLYDKMMQSLQPNSSLQEWRVEGYGGMSFPSWVLDLSNLVRIRLESCRRLKHIPPLHGIPSLEELSIVGLDDLEYIDSEGVGGKGGSMFFPSLKRLGILACPRVKGWWKRWSRDEMKDDSDESTIEEGLRMFCFPCLSSLSIEFCPNLTSMPLFPTLDEGLDLRRTSSMPLQQTMEMTSPVSSSSSSSSFTRPLSKLKSLYVWSIDDMESLPEVGLQNLSSLQSLSISRCSRLKSLPLPDKGMPSLQKLLIRHCRGLKSLSESESQGRIAHLPSLQLLIIKDCSEELRGRTRGWGKESEEEWPNIKHILDIVIDRNYIQKEGRYVKGEGILIFP >Potri.015G061800.1.v4.1 pep chromosome:Pop_tri_v4:15:8595223:8597697:-1 gene:Potri.015G061800.v4.1 transcript:Potri.015G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061800.v4.1 MGQDNFAAQKRASGGGGAGGLPTTTTANGRARTMLPRGRQINKTFNNIKITILCGFVTILVLRGTVGIGSLSSSDADAVNQNLIEETNRILKEIRSDSEPDDPAESEINPNVTYSLGPKISNWNQERKVWLSQNPEFPNFVNGKPRILLLTGSPPSPCDNPIGDHYLLKAIKNKIDYCRIHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEIEWIWWMDSDAMFTDMVFEIPLSKYDKHNLVIHGYPDLLFEQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGAIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKAQWMDKVYIENQYYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVEQCMRSMERAFNFADNQVLKLYGFGHRGLLSPKIKRIRNETVTPLEYVDQFDIRRPVHDNSGSRS >Potri.015G061800.4.v4.1 pep chromosome:Pop_tri_v4:15:8595690:8597622:-1 gene:Potri.015G061800.v4.1 transcript:Potri.015G061800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061800.v4.1 MGQDNFAAQKRASGGGGAGGLPTTTTANGRARTMLPRGRQINKTFNNIKITILCGFVTILVLRGTVGIGSLSSSDADAVNQNLIEETNRILKEIRSDSEPDDPAESEINPNVTYSLGPKISNWNQERKVWLSQNPEFPNFVNGKPRILLLTGSPPSPCDNPIGDHYLLKAIKNKIDYCRIHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEIEWIWWMDSDAMFTDMVFEIPLSKYDKHNLVIHGYPDLLFEQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGAIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKAQWMDKVYIENQYYLHGYWAGLVDRYEEMMEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVEQCMRSMERAFNFADNQVLKLYGFGHRGLLSPKIKRIRNETVTPLEYVDQFDIRRPVHDNSGSRS >Potri.019G057400.1.v4.1 pep chromosome:Pop_tri_v4:19:9623016:9631924:1 gene:Potri.019G057400.v4.1 transcript:Potri.019G057400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057400.v4.1 MDNSSDVIDTVSGNGGGDSRNDAAASTSSAPSSPRAITSGYRLFDRQDSLHQLMGAGKAADVLLWKWWHVSFGVIMVATVSWFIFERSGLPFLTICSDVLLILIVLLFVRANIADMINKQLQSLPELVLSEEMVNSAAASFRVKINNVLLMAHDITLGKDFRLFFKVVVFLWLLSTVGSYFSFFTLAYIGTILSITIPALYSRYEERVDRCCGIIHRKLSHHYKIVDESVISRIPQSLSKDKDS >Potri.013G012400.1.v4.1 pep chromosome:Pop_tri_v4:13:822549:826222:-1 gene:Potri.013G012400.v4.1 transcript:Potri.013G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012400.v4.1 MALTHNFNHIFPTPSSSSKHKHSLTTTLPFSPKTHTNSHFFSTNIPSRIHNLQNPLPTFTRRLFLPSVSGIWDALTGGNNNAREAVMAIRRGMLLFRQGDVLGSLVEFDKAIELDTRQKAYLWQRGLSLYYVDRFEDGAEQFRIDVAQNPNDTEESIWCFLCEAQLYGVDEARKRFLEVGRDPRPVMREAYNMFKDGGDPEKFATAFSNGQGNEYFYASLYAGLYHEAQKEPEAAKVQIIAACRSPYGQRSDDYMASLAKVHCLCRNWSSD >Potri.016G068650.1.v4.1 pep chromosome:Pop_tri_v4:16:4881283:4881639:-1 gene:Potri.016G068650.v4.1 transcript:Potri.016G068650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068650.v4.1 MCSPISWAHRDGKQGAHLIDWDLQVTLSKSSGRLGYHTSSAPRGASSTWKGIIKGLDMLKEGYCWRDGDGIDEHISLV >Potri.006G103850.1.v4.1 pep chromosome:Pop_tri_v4:6:7977344:7977580:1 gene:Potri.006G103850.v4.1 transcript:Potri.006G103850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G103850.v4.1 MLKLSKLLHFKGFQITFVNTEYSHKRLLKSRGSDSLDGLPDFQFEAIPDGLLQTEDSDATRDIPSACESIPQFTSLAQ >Potri.001G402900.1.v4.1 pep chromosome:Pop_tri_v4:1:42865202:42867409:-1 gene:Potri.001G402900.v4.1 transcript:Potri.001G402900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402900.v4.1 MAVSLLAHEVSDLCLGKPALRSLALTTTIAEALFALKNSDDNFISVWNCDHAAKTNNDYKGNCEEEGCDVCECKCVGKVSMVDVVCYLCKDENLLFPSDALKAPVSVLLPEIPGMVVHVEPTSSLLEAIDLILQGAKNLVVPIKTRYSTRRKQHQKLSITSPTIHNGREFCWLTQEDIIRFFLGSIGLFAPLPALSIDTLGIISTEFLTIDYHSPAISELEAISRSLADETSVAVIDSDGILIGELSPFTLACCDDSVAAAITTLSSGDLMAYIDCGGPPEDLVKVVMARLKERGLEAMLQEFTNSSCYSTTSSCQSQSSSSDEESASSTPVSTLHRSGKYSRSMSYSARMVRRAEAIVCHPKSSLVAVMIQAIAHRVNYVWVIEDDCSLVGIVRFYDMLKVFRESLEDMA >Potri.006G263900.2.v4.1 pep chromosome:Pop_tri_v4:6:26025011:26026980:1 gene:Potri.006G263900.v4.1 transcript:Potri.006G263900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263900.v4.1 MGASSDHVEEMGPSSKEGSIVVFDEYGLISHQINSYNAFIDSGLQRAFDSFGEVAGEPGYVMCKQRDGERERASGVLDFLGSRENFPFTIQGIVPDIAALGKGIACGGSKRCATPVFTLSVDDIVDQLHRAKFSRWGNERVYNGRTSEMVCSLVFVGPIFYQRLIHMAEDKVKFRNTGPVHPLTSHPVAGFGGIKFGEIERDCLIAHGASANLHECLFTFSDSSEMHICQKCKKLPYGAKWCQELFSMGISLKFETRVS >Potri.014G101600.1.v4.1 pep chromosome:Pop_tri_v4:14:6721332:6721984:1 gene:Potri.014G101600.v4.1 transcript:Potri.014G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G101600.v4.1 MAEGAVGPRLYCCFKCRNLVSCHDDIVSKTFRANNGRAILFSHAMNIILGPKEDRRLITGVHTIADVYCSDCGELLGWKYWKAYEESQKYKEGKIVLENFKIVKENW >Potri.010G226300.3.v4.1 pep chromosome:Pop_tri_v4:10:20995823:20999669:-1 gene:Potri.010G226300.v4.1 transcript:Potri.010G226300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226300.v4.1 MGCSSSLPDRSTGRLGGLNNSESGGVADAKNLRVKLVLLGDSGVGKSCIVLRFVRGQFDPTSKVTIGASFLSQTIALQDSTTIKFEIWDTAGQERYAALAPLYYRGAAVAVIVYDITSPETFNKAQYWVKELQKHGSPDIVMALVGNKADLHEKREVPTQDGIEYAEKNGMFFIETSAKTADNINQLFEEIAKRLPRPSST >Potri.008G130200.8.v4.1 pep chromosome:Pop_tri_v4:8:8527519:8541992:1 gene:Potri.008G130200.v4.1 transcript:Potri.008G130200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130200.v4.1 MDDEVVQRLFHEGGRDYFQQQPSTSSSSSSSSSSILQSLPLHVSFDHGYYLLVKSLQELREKKEGLVTVGIGGPSGSGKTSLAEKVASVIGCDVISMENYRTGVDDVSDLDSIDFDALVQNLEDLTKGKDTLIPVFDYQQKRRIGSKGIKSISSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPMFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPGGHSAYAFHGTAHTDNFIEMYLRPPSASEEARTNDWIKVRQSGIKYYLSLGDQRIVDKHFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASSSVSDGNLSMSLETIDTLSETFIVLRGTDRKTVGAEAMRIGVNGPWITKSYLELILERKGVPRLNTPPLLPNTSTTSNQERAIVAPRPIRTTPNLVNRLEDLSQPWTRSPTKSKMEPMVETWHFTSSDTSHGSSVIGIT >Potri.008G130200.3.v4.1 pep chromosome:Pop_tri_v4:8:8527507:8542194:1 gene:Potri.008G130200.v4.1 transcript:Potri.008G130200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130200.v4.1 MDDEVVQRLFHEGGRDYFQQQPSTSSSSSSSSSSILQSLPLHVSFDHGYYLLVKSLQELREKKEGLVTVGIGGPSGSGKTSLAEKVASVIGCDVISMENYRTGVDDVSDLDSIDFDALVQNLEDLTKGKDTLIPVFDYQQKRRIGSKGIKSISSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPMFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPGGHSAYAFHGTAHTDNFIEMYLRPPSASEEARTNDWIKVRQSGIKYYLSLGDQRIVDKHFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASSSVSDGNLSMSLETIDTLSETFIVLRGTDRKTVGAEAMRIGVNGPWITKSYLELILERKGVPRLNTPPLLPNTSTTSNQERAIVAPRPIRTTPNLVNRLEDLSQPWTRSPTKSKMEPMVETWHFTSSDTSHGSSVIDSSTCRDNMKLAPLPDSYDLDRGLLLAVQAIQALLENKGSPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLENYFKSELVKDFKYDDFSSLDLSLLSKNIGDIRNGRRTKVPMFDLETGARSGFKELEVSEDCGVIIFEGVYALHPEIRISLDLWVAVVGGVHSHLISQVQRDKSRGGCFMSQNEIMMTVFPIFQQHIEPHLVHAHLKIRNDFDPVISPESSSFVLKSNKQVAYQDILKILDPVKLCSSVQNFIDIYLRLPGLPTNGQLADGDCIRVRICDGRFALLIREPLREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAYIYQDGKILIEVDHLQDTPSPYIQIKGVNKEAVAAAGSTLKLDGSYTTKSYLQIILERLPAMERSYSGIHAQQAARLQELVEFIQSQGSSSASESSPSREAAPLEGIIEDMQFRIKRLERWHTINTVLWTFLMSALVGYSLYQRKHQ >Potri.008G130200.7.v4.1 pep chromosome:Pop_tri_v4:8:8527578:8542194:1 gene:Potri.008G130200.v4.1 transcript:Potri.008G130200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130200.v4.1 MDDEVVQRLFHEGGRDYFQQQPSTSSSSSSSSSSILQSLPLHVSFDHGYYLLVKSLQELREKKEGLVTVGIGGPSGSGKTSLAEKVASVIGCDVISMENYRTGVDDVSDLDSIDFDALVQNLEDLTKGKDTLIPVFDYQQKRRIGSKGIKSISSGVVIVDGTYALHARLRSLLDIRVAVVGGVHFSLLSKVRYDIGDSCSLDYLIDSIFPMFRKHIEPDLHHAQIRINNSFVSSFREAIYKLKCRSESPGGHSAYAFHGTAHTDNFIEMYLRPPSASEEARTNDWIKVRQSGIKYYLSLGDQRIVDKHFIIRPKAEFEVGRMTLGGLLALGYTVVVSYKRASSSVSDGNLSMSLETIDTLSETFIVLRGTDRKTVGAEAMRIGVNGPWITKSYLELILERKGVPRLNTPPLLPNTSTTSNQERAIVAPRPIRTTPNLVNRLEDLSQPWTRSPTKSKMEPMVETWHFTSSDTSHGSSVIDSSTCRDNMKLAPLPDSYDLDRGLLLAVQAIQALLENKGSPVIVGIGGPSGSGKTSLAHKMANIVGCEVVSLENYFKSELVKDFKYDDFSSLDLSLLSKNIGDIRNGRRTKVPMFDLETGARSGFKELEVSEDCGVIIFEGVYALHPEIRISLDLWVAVVGGVHSHLISQVQRDKSRGGCFMSQNEIMMTVFPIFQQHIEPHLVHAHLKIRNDFDPVISPESSSFVLKSNKQVAYQDILKILDPVKLCSSVQNFIDIYLRLPGLPTNGQLADGDCIRVRICDGRFALLIREPLREGNFIIQPKVDFDISISTVAGLLNLGYQAVAYIEASAYIYQDGKILIEVDHLQDTPSPYIQIKGVNKEAVAAAGSTLKLDGSYTTKSYLQIILERLPAMERSYSGIHAQQAARLQELVEFIQSQGSSSASESSPSREAAPLEGIIEDMQFRIKRLERWHTINTVLWTFLMSALVGYSLYQRKHQ >Potri.001G179400.2.v4.1 pep chromosome:Pop_tri_v4:1:15712500:15717195:1 gene:Potri.001G179400.v4.1 transcript:Potri.001G179400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179400.v4.1 MGVNSNRVEDFSSHRINTEPVMPGMEIHTVCLSPKKTTLQKLKQRLSEIFFPDDPLYRFKNQTWRKKLLLGLQFLFPIFQWAPEYRLKLLRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYAILGSSSHLGVGPVSIASLIMGSMLSETVSPRDEPILYLKLAFTATFFAGLFQASLGLLRLGFVIDFLSKATLVGFMSGAAVIVSLQQLKGLLGISHFTSKMQFIPVMSSVFKHRDEWSWQTIVMGFSFLVFMLTTRHISMKRAKLFWVSAAAPLTSVILSTLLVFCLRSKTHKISFIGHLPKGLNPPSANMLYFSGPDLELAIKTGIVTGILSLTEGISVGRTFAALKNYQVDGNKEMMAIGLMNMAGSCSSCFVTTGSFSRSAVNYNAGAQTAVSNIVMATAVLVTLLFLMPLFYYTPNVILGAIIISAVIGLIDYQAAYCLWKVDKLDFLACLCSFFGVLFISVPLGLGIAVGVSVFKILLHVTRPNSLIMGNIKGTQIYHSLSRYKEASRVPSFLILAIESPIYFANSTYLQERILRWIREEDEWIKANDRSPLKCIILDMTAVTAIDTSGIDLLCELRKMMEKRSLKLVLANPVGSVMEKLHQSKMLDSFGLNGIYLAVGEAVADISALWKSQPDFPEDCNETRQCKETKR >Potri.001G179400.3.v4.1 pep chromosome:Pop_tri_v4:1:15712500:15717196:1 gene:Potri.001G179400.v4.1 transcript:Potri.001G179400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179400.v4.1 MGVNSNRVEDFSSHRINTEPVMPGMEIHTVCLSPKKTTLQKLKQRLSEIFFPDDPLYRFKNQTWRKKLLLGLQFLFPIFQWAPEYRLKLLRSDIISGLTIASLAIPQGISYAKLANLPPIVGLYSSFVPPLIYAILGSSSHLGVGPVSIASLIMGSMLSETVSPRDEPILYLKLAFTATFFAGLFQASLGLLRLGFVIDFLSKATLVGFMSGAAVIVSLQQLKGLLGISHFTSKMQFIPVMSSVFKHRDEWSWQTIVMGFSFLVFMLTTRHISMKRAKLFWVSAAAPLTSVILSTLLVFCLRSKTHKISFIGHLPKGLNPPSANMLYFSGPDLELAIKTGIVTGILSLTEGISVGRTFAALKNYQVDGNKEMMAIGLMNMAGSCSSCFVTTGSFSRSAVNYNAGAQTAVSNIVMATAVLVTLLFLMPLFYYTPNVILGAIIISAVIGLIDYQAAYCLWKVDKLDFLACLCSFFGVLFISVPLGLGIAVGVSVFKILLHVTRPNSLIMGNIKGTQIYHSLSRYKEASRVPSFLILAIESPIYFANSTYLQERILRWIREEDEWIKANDRSPLKCIILDMTAVTAIDTSGIDLLCELRKMMEKRSLKFVLFSCSLCWQIPSEV >Potri.001G179400.4.v4.1 pep chromosome:Pop_tri_v4:1:15712500:15717189:1 gene:Potri.001G179400.v4.1 transcript:Potri.001G179400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179400.v4.1 MGSMLSETVSPRDEPILYLKLAFTATFFAGLFQASLGLLRLGFVIDFLSKATLVGFMSGAAVIVSLQQLKGLLGISHFTSKMQFIPVMSSVFKHRDEWSWQTIVMGFSFLVFMLTTRHISMKRAKLFWVSAAAPLTSVILSTLLVFCLRSKTHKISFIGHLPKGLNPPSANMLYFSGPDLELAIKTGIVTGILSLTEGISVGRTFAALKNYQVDGNKEMMAIGLMNMAGSCSSCFVTTGSFSRSAVNYNAGAQTAVSNIVMATAVLVTLLFLMPLFYYTPNVILGAIIISAVIGLIDYQAAYCLWKVDKLDFLACLCSFFGVLFISVPLGLGIAVGVSVFKILLHVTRPNSLIMGNIKGTQIYHSLSRYKEASRVPSFLILAIESPIYFANSTYLQERILRWIREEDEWIKANDRSPLKCIILDMTAVTAIDTSGIDLLCELRKMMEKRSLKLVLANPVGSVMEKLHQSKMLDSFGLNGIYLAVGEAVADISALWKSQPDFPEDCNETRQCKETKR >Potri.008G169400.1.v4.1 pep chromosome:Pop_tri_v4:8:11711413:11719272:-1 gene:Potri.008G169400.v4.1 transcript:Potri.008G169400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169400.v4.1 MVKFSKELEAQLIPEWKEAFVNYWQLKKQIKKIKLSQKSKQPQQVLDHEFGLSIFDPIRSLAKNISSKLFHSDTETEIIQARSKSMEDGDEEVLYQTELVQLFSEEDEVAVFFESLDGELNKVNQFYKNKESEFLERGEILNKQLETLQDLKRVLNEHCRRKPNAGVLPLSCSSSPPRNSFCSESASESNETSADSQIDEIIAALERDGINSATRKKTKKGKPKMAMRIGIPAAAPTRTITAVTSMLWEDLVNNPKKELGAGDFINRKKIQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVSNQQASASYLKVVKRSHFISSDKVVRLMDDVESIFTKHFANNDRKKAMKFLKPQQQKESHMVTFFVGLLTGCFVSLFSLYAILAHLAGIFKPNSERSYVETVYPVFSVFTLLSFHLFMYGCNLFMWKGTRINYNFIFEFQPSTALKYRDAFLICTTFMTSVVAAMVIHLLLRASGFSPNHVDAIPGILLLIFIFVLICPFDIFYRPTRYCFIRIIRNIVCSPFYKVLMVDFFMADQLTSQIPLLRHMGSATCYFLAGSFKTHRYETCKSGRLYRELAYVISFLPYYWRAMQCARRWFDESDLNHLANMGKYVSAMVAAGARITYGRQENHLWFGIVLVTSVFSTVYQLYWDFVKDWGLLNSKSKNLWLRDNLILNNKSMYYMSIVLNIVLRVAWVETVMGFRFNMVESRMLDFLLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKAVPLPFRETDSDG >Potri.008G169400.4.v4.1 pep chromosome:Pop_tri_v4:8:11711413:11718039:-1 gene:Potri.008G169400.v4.1 transcript:Potri.008G169400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169400.v4.1 MEDGDEEVLYQTELVQLFSEEDEVAVFFESLDGELNKVNQFYKNKESEFLERGEILNKQLETLQDLKRVLNEHCRRKPNAGVLPLSCSSSPPRNSFCSESASESNETSADSQIDEIIAALERDGINSATRKKTKKGKPKMAMRIGIPAAAPTRTITAVTSMLWEDLVNNPKKELGAGDFINRKKIQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVSNQQASASYLKVVKRSHFISSDKVVRLMDDVESIFTKHFANNDRKKAMKFLKPQQQKESHMVTFFVGLLTGCFVSLFSLYAILAHLAGIFKPNSERSYVETVYPVFSVFTLLSFHLFMYGCNLFMWKGTRINYNFIFEFQPSTALKYRDAFLICTTFMTSVVAAMVIHLLLRASGFSPNHVDAIPGILLLIFIFVLICPFDIFYRPTRYCFIRIIRNIVCSPFYKVLMVDFFMADQLTSQIPLLRHMGSATCYFLAGSFKTHRYETCKSGRLYRELAYVISFLPYYWRAMQCARRWFDESDLNHLANMGKYVSAMVAAGARITYGRQENHLWFGIVLVTSVFSTVYQLYWDFVKDWGLLNSKSKNLWLRDNLILNNKSMYYMSIVLNIVLRVAWVETVMGFRFNMVESRMLDFLLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKAVPLPFRETDSDG >Potri.008G169400.2.v4.1 pep chromosome:Pop_tri_v4:8:11711413:11718039:-1 gene:Potri.008G169400.v4.1 transcript:Potri.008G169400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169400.v4.1 MAMRIGIPAAAPTRTITAVTSMLWEDLVNNPKKELGAGDFINRKKIQCAEKMIRGAFVELYRGLGLLKTYSSLNMVAFTKILKKFDKVSNQQASASYLKVVKRSHFISSDKVVRLMDDVESIFTKHFANNDRKKAMKFLKPQQQKESHMVTFFVGLLTGCFVSLFSLYAILAHLAGIFKPNSERSYVETVYPVFSVFTLLSFHLFMYGCNLFMWKGTRINYNFIFEFQPSTALKYRDAFLICTTFMTSVVAAMVIHLLLRASGFSPNHVDAIPGILLLIFIFVLICPFDIFYRPTRYCFIRIIRNIVCSPFYKVLMVDFFMADQLTSQIPLLRHMGSATCYFLAGSFKTHRYETCKSGRLYRELAYVISFLPYYWRAMQCARRWFDESDLNHLANMGKYVSAMVAAGARITYGRQENHLWFGIVLVTSVFSTVYQLYWDFVKDWGLLNSKSKNLWLRDNLILNNKSMYYMSIVLNIVLRVAWVETVMGFRFNMVESRMLDFLLASLEVIRRGHWNFYRLENEHLNNVGKFRAVKAVPLPFRETDSDG >Potri.006G267600.1.v4.1 pep chromosome:Pop_tri_v4:6:26221599:26226824:1 gene:Potri.006G267600.v4.1 transcript:Potri.006G267600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G267600.v4.1 MGYLNSVLSSSSQVYADDAPVSGGGLSQNGKFSYGYASSPGKRSSMEDFYETRIDGVDGEIVGLFGVFDGHGGARAAEYVKHNLFSNLIKHPKFISDTKSAISDAYNHTDSEFLKSENNQNRDAGSTASTAILVGDRLLVANVGDSRAVICRGGNAIAVSRDHKPDQTDERQRIEDAGGFVMWAGTWRVGGVLAVSRAFGDRLLKQYVVADPEIQEEKVDSSLEFLILASDGLWDVVTNEEAVEMIQPILDPEQAAKRLMQEAYQRGSADNITCVVVRFLGNQGGASGGGSV >Potri.017G148900.1.v4.1 pep chromosome:Pop_tri_v4:17:14767048:14772506:1 gene:Potri.017G148900.v4.1 transcript:Potri.017G148900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G148900.v4.1 MPSPPEPPQHRHNNHHLSNFLNSTTKTLFSLLSPPNILPLAPPQPKICISFHLPNAPLPLTQSTLSLFESTQPDSLSPSKSSSASVKDISSAESNSGFPSAVRIGRLNPNGNGGGPAFVGQVFSMCDLSGTGLMAVSTHFDVPFISKRTPEWLKKIFATVTKSERNGPVFRFFMDLGDAVAYVKRLNIPSGVVGACRLDLAYEHFKEKPHLFQFVPNEKQVKAANQLLKSIPHGDGSRRVDGVPVFSAQNLDIAIATTDGIKWYTPYFFDKNMLDNILEESVDQHFHALIQTRHMQRRRDVIDDNVAAEVIEEMGDSLLEPPEVQEVLDEMGHPAIPLSVISKAAEIQLLYAVDKVLLGNRWLRKATGIQPKFPYLVDSFERRSASSLRRALESTSCLANSKIDDSTSEHKLKDNVQTDHEQRKDLRLPFGDWFSHPWLKKHSKSERESDTRKEGLSKDCLKWKSESNPFLPKVTMVGVSTGDAGQLSKSSLKKTMEDLTKELEQTDEANDSFISNSSSEFKVNDRDPLFVANVGDYYSGMAKTGISR >Potri.007G070900.3.v4.1 pep chromosome:Pop_tri_v4:7:9242981:9246570:-1 gene:Potri.007G070900.v4.1 transcript:Potri.007G070900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070900.v4.1 MDQSQQTRQQQSQQQPVVAGAGQMAYASTPYQTAPMVASGTPSIAIPSPTQSPATFSNSPHQLTYQQAQHFHHQQQQQQQQQLQMFWTIQMQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPVGPPADLPYYYVPQHPVGPPGMIMGKPVDQAAAYEAQQPQPPVALMPWLQTQPQQQQSDP >Potri.007G070900.1.v4.1 pep chromosome:Pop_tri_v4:7:9242889:9246662:-1 gene:Potri.007G070900.v4.1 transcript:Potri.007G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070900.v4.1 MDQSQQTRQQQSQQQPVVAGAGQMAYASTPYQTAPMVASGTPSIAIPSPTQSPATFSNSPHQLTYQQAQHFHHQQQQQQQQQLQMFWTIQMQEIEQTTDFKNHSLPLARIKKIMKADEDVRMISAEAPVIFAKACEMFILELTLRSWIHTEENKRRTLQKNDIAAAISRTDVFDFLVDIIPRDELKEEGLGVTKATIPVGPPADLPYYYVPQHPVGPPGMIMGKPVDQAAAYEAQQPQPPVALMPWLQTQPQQQQSDP >Potri.012G097600.1.v4.1 pep chromosome:Pop_tri_v4:12:12145247:12146989:-1 gene:Potri.012G097600.v4.1 transcript:Potri.012G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G097600.v4.1 MAQRKRLRTITEKPDYFDQLPDDLVLNILCKLSSSASCPSDFINVLITCKTLKRLATNPLVLSKAGAKMFAMKAERWSDSAHQFLKQCVKAGNSEASYTLGMIRFYCLQNRGSGASLMAKAAIKSHALAMYSLAVIQFNGSGGSKTDKNLRAGVALCARASVLGHVDALRELGHCLQDGYGVPQNIVEGRRLLVQANAKELALSLRSMMTWKPQQQQQNLHHACTVMGTAITGCPLLSDFGCNLLAREVHPASKFLREWFESRSGALDDGLRLCSHSGCGRPETRTHEFRRCSVCGTVNYCSRGCQALDWKARHKVECVPMDQWHGVLEDGGDMVEMEEGDEYVDIVE >Potri.016G117600.1.v4.1 pep chromosome:Pop_tri_v4:16:12325726:12327956:1 gene:Potri.016G117600.v4.1 transcript:Potri.016G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G117600.v4.1 MDRSQILLLGLPIFLFCSDILNLFTTSPPPKPNPNDHHNIHQFQTKPQQVLQQQPLQFPTQKSSTIVGGLGNIGFGNVININFCVSCSYRGTAVTMKNMLESEFPGIQVILANHPASLPKRLLSKVVPAVQFGIIGIILAGEQIFPRLGFAAPPPWYYSLRANRFGSIASTWLFGNFIQSFLQSSGAFEVFCNGELVFSKLMEHRFPGEIELRDVVGKRLAIR >Potri.006G182100.1.v4.1 pep chromosome:Pop_tri_v4:6:18876479:18883164:1 gene:Potri.006G182100.v4.1 transcript:Potri.006G182100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182100.v4.1 MQQGDQTVLSLRPGGGRGSRLFNPRLEQSSSSSSTSSSISLGDLPHLRPRGGAPFLKTGDLRFEGRDHVQYTRDQLLQLREAIVISDEILKIKQEIEAEFFGEDQSWSRGETNPPTQSQSRYSEPDNRDWRGRYAHAQFSPSGEERSWDSNRENRDFGGRYDSRQQEGNQSNRQDQLNSQFSRAQLSSNQGGPAPALIKAEAPWSARRENLSEKDRVLKTVKGILNKLTPEKFDVLKGQLINSGITSADILKGVISLIFDKAVLEPTFCFMYAQLCSDLNEKLPQFPSDEPGGKDITFKRVLLNICQEAFEGADKLREEVRQMTGPEQELERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQELLGHDNKVCPAEENVEATCQFFNTIGKQLDESPRSRHINDVYFGQLKELSSKPQLAPRLRFIVQDVLDLRMNNWIPRREEIKAKTITEIHAEAEKNLGLRPGAAASIRNNRGVISAASGTAGPGDFPINRPGTGGMMPGMPGARRMPGMPGIDNDNWGAPRNHSMPRGDGLMQPAGRLQSPSFNKSRSVNTKLLPQGSGGFMSGKSSAPLQGRGGPPSRPSNFHFGAEPPAQAPIPSKTLPAPYVPPVADRPVVPASRVNPDELRRKTLSLLKEYFSVLLLDEALQCVEELKSPAYHPEVVKEAISIALEANPPRVEPVSKLLEYLFAKKVITVKDIGTGCLLYGSMLDDIGIDLPKAPYNFGEITGKLVLVGGLDFKVVIEVLKKMEDDMYQRAVFDAATRIISSSPDGQLVLDTQASDIKACQSLF >Potri.006G182100.2.v4.1 pep chromosome:Pop_tri_v4:6:18876441:18882999:1 gene:Potri.006G182100.v4.1 transcript:Potri.006G182100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182100.v4.1 MQQGDQTVLSLRPGGGRGSRLFNPRLEQSSSSSSTSSSISLGDLPHLRPRGGAPFLKTGDLRFEGRDHVQYTRDQLLQLREAIVISDEILKIKQEIEAEFFGEDQSWSRGETNPPTQSQSRYSEPDNRDWRGRYAHAQFSPSGEERSWDSNRENRDFGGRYDSRQQEGNQSNRQDQLNSQFSRAQLSSNQGGPAPALIKAEAPWSARRENLSEKDRVLKTVKGILNKLTPEKFDVLKGQLINSGITSADILKGVISLIFDKAVLEPTFCFMYAQLCSDLNEKLPQFPSDEPGGKDITFKRVLLNICQEAFEGADKLREEVRQMTGPEQELERRDKERLVKLRTLGNIRLIGELLKQKMVPEKIVHHIVQVSSEASNCITPQMILVGVFRIVYNYFAM >Potri.001G302600.1.v4.1 pep chromosome:Pop_tri_v4:1:31293177:31294936:-1 gene:Potri.001G302600.v4.1 transcript:Potri.001G302600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G302600.v4.1 MKTNTNTKLIFLHQSIHKSPPSTHRLWFLFIVTFFTLAFTLTLFTTTTFPTTTTTSTLTTSTTSLPPSITAALLHYATTTNITTPHMSSAELSTIAITLQHCSPHCNFLIFGLTHETLLWKSLNFHGRTVFLDESEYLVSNFEKNHPDIEAYDIQFTTKVSQMSDLLLVTKGKVNGECRPVQNLLFSDCKLGINDMPNHIYEITWDVILIDGPRGYFAAAPGRMSPIFTASVLARSSRGGNKKTHVFVHEFNREVERVYSEEFLCKESLVETVDSLGHFVLERMEANSFEFCKNSTSLLSSSPSSTAKTTSLSSGDDDFDD >Potri.006G177000.1.v4.1 pep chromosome:Pop_tri_v4:6:18234105:18235362:-1 gene:Potri.006G177000.v4.1 transcript:Potri.006G177000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177000.v4.1 MRAPSSVLKQQFLKKWIMGLQLFGSAKQNMSILERKKAIRLSADIALASTRDGRTCWSRALIANASKEDDSKVLVQHLLAPESERLKKASIGLIMDNKRVRCKKILKRSCCIKRVRKGAPQVVLAKSIAKRMVMRRTQVLKSLVPGGEFMDDISLIEETLDYIVSLRAQVDVMRNLAKATEVVNGK >Potri.001G294300.2.v4.1 pep chromosome:Pop_tri_v4:1:30585040:30586963:1 gene:Potri.001G294300.v4.1 transcript:Potri.001G294300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294300.v4.1 MEPIGATAEGEWSSLSGMYTSEEADFMEQLLVNCPPNQVDSSSSFGVPSSFWPNHESTMNMEGANECLLYSLDIADTNLYHFSQVSSGYSGGSSILFPNSSGESYYLSDSHPVLANTNSSMSMDFCMGDSYVVDGDDFSNQELSNGNVEESGGNQTVAALPEPESNLQPKRESKMPASELPLEDKSRKPPENSKKRSRRTGDAQKNKRNVRSKKSQKVASTGNNDEESNGGLNGPVSSGCCSEDESNASQELNGGASSSLSSKGTTTLNSSGKTRASKGAATDPQSLYARKRRERINERLRILQNLVPNGTKVDISTMLEEAVQYVKFLQLQIKLLSSEDLWMYAPIAYNGMDIGLDHLKLTTPRRL >Potri.011G052300.1.v4.1 pep chromosome:Pop_tri_v4:11:4170693:4173432:1 gene:Potri.011G052300.v4.1 transcript:Potri.011G052300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052300.v4.1 METKQPHKLPHSPAYNFLLQAGPRLYLLHQVHAHIIVSGYGRSRSLLTKLLNLACAAGSISYTRQIFLAVPNPDSFLFTSLIKSTSKSHNFSIYSLYFYSRMVLSNVSPSNYTFTSVIKSCADLSALKHGRVVHGHVLVHGFGLDVYVQAALVALYGKCGDLINARKVFDKIRERSIVAWNSMISGYEQNGFAKEAIGLFDRMKETGVEPDSATFVSVLSACAHLGAFSLGCWVHEYIVGNGLDLNVVLGTSLINMYIRCGNVSKAREVFDSMKERNVVAWTAMISGYGTNGYGSQAVELFHEMRRNGLFPNSITFVAVLSACAHAGLVNEGRRLFASIREEYHLVPGVEHNVCLVDMLGRAGLLDEAYNFIKEEIPENPAPAILTAMLGACKMHKNFDLGAQVAEHLLAAEPENPAHYVILSNIYALAGRMDQVEIVRNNMIRKCLKKQVGYSTVEVDQKTYLFSMGDKSHSETNAIYHYLDELMWKCSEAGYVPVSDSVMHELEEEEREYALRYHSEKLAIAFGLLKTSHGTPIRIVKNLRMCEDCHSAIKFISAISSREIIVRDKLRFHHFKVGSCSCLDYW >Potri.002G225500.3.v4.1 pep chromosome:Pop_tri_v4:2:21395744:21399424:1 gene:Potri.002G225500.v4.1 transcript:Potri.002G225500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G225500.v4.1 MLLIPFHMHQGVSLLTAATTIPSMRPPPCDDYRKQNHQCIEVKGNQLVLLYAALYTVALGGGGIKSNVSGFGSDQFDQTDPKEEKTMIFFFNRFYFCISMGSLFAVIVLVYVQDNVGRGWGYGISAGTMVIAVAVLICGTPRYRFKKPQGSPLTIIWRVSLLAWKKRRHPHPSHPSLLNGYDSATVPYSEKLKCLDKAAIMDDPTSIGAQKNDPWIVSTVMEVEEVKMVLKLIPIWSTCILFWTVYSQMTTFTIEQATFMDRKVGSLVIPSGSYSTFLFITILLFTSLNERLFVPLARKLTHNAQGLTSLQRIGAGLFLSILAMVAAASIEKERRETAIQQSTKISAFWLVPQYFLVGAGEAFVYVGQLEFFIREAPARMKSMSTGLFLSTISMGFFVSSLLVSLVDKATKKIWLRSNLNKGRLNNFYWLLAVLGGLNFFVFLAFARGHQYKVQHYIKPKNCNEKGFKPAGDLTALEMVKEEGMI >Potri.002G225500.2.v4.1 pep chromosome:Pop_tri_v4:2:21395735:21399505:1 gene:Potri.002G225500.v4.1 transcript:Potri.002G225500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G225500.v4.1 MVLAAGLGGEEGAHNDLAVDFRGIPVDKSRTGGWLAAGLILVTELSERICVMGISMNLVTYLVGVLHISSAKSANMVTNFMGTLNLLGLFGGFLADAKLGRYLTVAIFAIITALGVSLLTAATTIPSMRPPPCDDYRKQNHQCIEVKGNQLVLLYAALYTVALGGGGIKSNVSGFGSDQFDQTDPKEEKTMIFFFNRFYFCISMGSLFAVIVLVYVQDNVGRGWGYGISAGTMVIAVAVLICGTPRYRFKKPQGSPLTIIWRVSLLAWKKRRHPHPSHPSLLNGYDSATVPYSEKLKCLDKAAIMDDPTSIGAQKNDPWIVSTVMEVEEVKMVLKLIPIWSTCILFWTVYSQMTTFTIEQATFMDRKVGSLVIPSGSYSTFLFITILLFTSLNERLFVPLARKLTHNAQGLTSLQRIGAGLFLSILAMVAAASIEKERRETAIQQSTKISAFWLVPQYFLVGAGEAFVYVGQLEFFIREAPARMKSMSTGLFLSTISMGFFVSSLLVSLVDKATKKIWLRSNLNKGRLNNFYWLLAVLGGLNFFVFLAFARGHQYKVQHYIKPKNCNEKGFKPAGDLTALEMVKEEGMI >Potri.012G093900.1.v4.1 pep chromosome:Pop_tri_v4:12:11827797:11829347:-1 gene:Potri.012G093900.v4.1 transcript:Potri.012G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G093900.v4.1 MAHSFLSREFNDETLSETSGLDLSLAAMACYPHPYLPIFESNVVSRMLPFSDETRDVKRIKQSSSMVESIRSNGSSLYSGGSSICRSSSTNSLNNIPKLHFRDHIWTYTQRYLAAEAVEEAAAAMINAEEGGNEEEGNSDGMRLVQLLIACAEAVACRDKSHASALLSELRSNALVFGSAFQRVASCFVQGLIDRLSLVQPLGAVGFVAPTMNIIDIASDKKEEALRLVYEICPHIRFGHFVANNSILEAFEGESSVHVVDLGMTLGLPHGHQWRLLIQSLAERAGKPPSRLRITGVGLCVDRFRIIGDELEEYAKDMGINLEFSVVKSSLENLRPEDIKTSEDEVLVVNSILQLHCVVKESRGALNSVLQIILELSPKVLVLVEQDSSHNGPFFLGRFMEALHYYSAIFDSLDTMLPKYDTRRAKMEQFYFAEEIKNIVSCEGPARVERHERVDQWRRRMSRAGFQVAPIKMMAQAKQWLVQSKVCDGYTVVEEKGCLVLGWKSKPIIAASCWKC >Potri.006G015900.3.v4.1 pep chromosome:Pop_tri_v4:6:1012660:1016727:1 gene:Potri.006G015900.v4.1 transcript:Potri.006G015900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015900.v4.1 MLKGPKLAPVSKTLQIFIKTQSLSLFPSGFVRKFSGFNSKDNESAHETEWERLLKPFDLKELRRSFNKITPFQLCKLLELPLDVETSMEIFKWAGAQKGYCHSFSVYYLLIDKLGAAAGFKVIDRLLLQMKEEGIVFRESLFILIMKYYGRAGLPGQATRLLLDMKGVYCCEPSFRSYNVVLDVLVVGNCPSVASNVFYDMLSKGVSPNDYTFGLVMKALCMVNEVDNACLLLRDMTKHGCVPNSMIYQTLIDALSKRDRVDEALKLLEEMFLMGCPPDVNTFNTVIYGFCRLNRVLEGAKLVDRMILKGFTPNDMTYGYLMHGLCKTCRIDEAQALLSKVPGPNVVHFNTLVNGFVRNGRLNEATAFVYDKMINNGYVPDVFTFSTLVNGLCKKGLFGSALELVNDMDAKGCKPNLNTYTILIDGFCKKGQLEEAGLILREMLTKGFSLNTVGYNALISALCKHGKIHEALDMFGEMSSKGCKPDIFTFNSLIFGLCRVDEMEDALALYRDMVLEGVIANSVTFNTLIHAFLRRGEIQEALKLVNDMLFRGCPLDEITYNGLIKALCKTGAVEKGLGLFEEMIRKGLTPSIITCNILINGFCTAGKVHNALEFMRDMIHRGFSPDIVTYNSLINGLCKRGRIQEALNLFEKLQAEGIQPDSITYNTLICWLCREGAFDDACFLLYRGVENGFVPNDVTWNILVYNFGKQSNSEGQTITYAQFS >Potri.019G066100.1.v4.1 pep chromosome:Pop_tri_v4:19:10583887:10585635:1 gene:Potri.019G066100.v4.1 transcript:Potri.019G066100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066100.v4.1 MSIIKNNVSTGSSLWQPQHGIASANELQGWRTVASRLAFDSQLRKMEENQCANEDGCYRKSLFSTDISVRNPAAKMKQYPFDRQQEQLGNSKWYSYKTLPPAELLPRNEVMGGYIFVCNNETMQEDLRRQLFGLPHKYRDSVRAITPGLPLFLYNYTCHQLHGVFQAVSFGGSNIEPTAWEDKKCKGESRFPAQVRIGFKKKCKPLEEDAFRPILYHYDGPKFRLQLSVPEALALLDLFNQKEL >Potri.019G066100.2.v4.1 pep chromosome:Pop_tri_v4:19:10584029:10585531:1 gene:Potri.019G066100.v4.1 transcript:Potri.019G066100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066100.v4.1 MSIIKNNVSTGSSLWQPQHGIASANELQGWRTVASRLAFDSQLRKMEENQCANEDGCYRKSLFSTDISVRNPAAKMKQYPFDRQQEQLGNSKWYSYKTLPPAELLPRNEVMGGYIFVCNNETMQEDLRRQLFGNLSQSFCSRLPHKYRDSVRAITPGLPLFLYNYTCHQLHGVFQAVSFGGSNIEPTAWEDKKCKGESRFPAQVRIGFKKKCKPLEEDAFRPILYHYDGPKFRLQLSVPEALALLDLFNQKEL >Potri.019G066100.3.v4.1 pep chromosome:Pop_tri_v4:19:10583984:10585566:1 gene:Potri.019G066100.v4.1 transcript:Potri.019G066100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G066100.v4.1 MSIIKNNVSTGSSLWQPQHGIASANELQGWRTVASRLAFDSQLRKMEENQCANEDGCYRKSLFSTDISVRNPAAKMKQYPFDRQQEQLGNSKWYSYKTLPPAELLPRNEVMGGYIFVCNNETMQEDLRRQLFGLPHKYRDSVRAITPGLPLFLYNYTCHQLHGVFQVRIGFKKKCKPLEEDAFRPILYHYDGPKFRLQLSVPEALALLDLFNQKEL >Potri.001G329500.1.v4.1 pep chromosome:Pop_tri_v4:1:33839118:33841023:-1 gene:Potri.001G329500.v4.1 transcript:Potri.001G329500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329500.v4.1 MAVSFNLIGSFKGLSLSSSSSSSFFKGDVGSLKFGLKSSALLPFKAPVKAPLPLTIQMAHKKGAGSTKNGRDSKGKRLGVKIYGDQAAKPGAIIVRQRGTKFHPGKNVGIGKDHTIFSLIDGLVKFEKFGPDRKKISVYPREIQPENPNSYRARKRENFRLQRERKKARKEGIVAQPQLVLASNVDAADSNPVC >Potri.019G036450.1.v4.1 pep chromosome:Pop_tri_v4:19:4964760:4965913:1 gene:Potri.019G036450.v4.1 transcript:Potri.019G036450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036450.v4.1 MTRVLICCFGKGFNMSLQRVSGLQKTEKKGWCCRWYVKGEVAVFIVCGSMRVEEH >Potri.008G183300.1.v4.1 pep chromosome:Pop_tri_v4:8:12690828:12692645:1 gene:Potri.008G183300.v4.1 transcript:Potri.008G183300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183300.v4.1 MRREPTMAENILSSATQWIYTHSSFWDVSMALLGLFVFQCINDRLTNKGPMLWPVLGIIPTMFLHVNDMNNFVTRALSKAGGTFHHKGMWMGGAYGIVTADPSSIEYMLKTNFKNFPKGKYFRERFQDLLGDGIFNADDELWREQRQAVRAEMHSSRFIEHSLRTMKDLVHQKLLKLTDNLVRSGDSFDLQEVLLRFTFDNICSAAFGVDPGCLALDIPDVPFAKAFEKATELILFRFLIPPFIWKTMKFFGIGYEKTLKEAVGIVHEFAEKTVKGRRDEIRKHGSLCHQSDLLSRLIEIEYTGRGKEMQFPDKYFRDLCVNFILAGRDTTSVALAWFFWLVHSNPQVENRILREINDILSLRETQTKNEVIFTMEELNKMVYLQAALSESLRLYPSVPIEVKEVVQDDVLPDGSIVKKGARVFYCIFAMGRMDSIWGENCLEFKPERWIKDGKFVSENQFKYAVFNAGPRLCLGKKFAYLQMKMVAASILLRYSVKVVEGHDAIPKMTTTLYMKNGLLVTLMPRSVNTTAS >Potri.004G209200.1.v4.1 pep chromosome:Pop_tri_v4:4:21601509:21602482:1 gene:Potri.004G209200.v4.1 transcript:Potri.004G209200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209200.v4.1 MKISDREISHPIHPQHKLKLEYTEIPFTCDGCKEAGIGLKYSCRQKYCNDQFDLHKVCAVAPPIINNSFYKECVFEFHHHPPGEEKRVCDACRNEVLGFVYHCKRCGFDLHPCCANLPQVLDDGEHNLYLCHKLSGACHRCGGKGPGWSYRSRCKTYNLHLSCVKELLVESWEAMYLKVDQNKVREIQTRIPSLKVTLANHHGGARGGKVRKCCQMAGGAIRLIVSAILGDPTAIIAAVVGGFISK >Potri.001G393101.1.v4.1 pep chromosome:Pop_tri_v4:1:41666913:41667376:1 gene:Potri.001G393101.v4.1 transcript:Potri.001G393101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393101.v4.1 MARLSTVPFIFFLILICHAQSFEARKFLSLEKNENPSLKDNTTPSYYRVSEGHAIVIDTGFIISHLSKIDRILRSAPSPGTGN >Potri.013G157750.1.v4.1 pep chromosome:Pop_tri_v4:13:15259088:15260405:1 gene:Potri.013G157750.v4.1 transcript:Potri.013G157750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G157750.v4.1 MAGSSSSSPSMGFDFDEKLHVLAVEAVDDGLIDRKAIERLLINSEYKVTTAENKKKAIEYLGLADGHHTNHGVQDLKVNLIITDYCMRGMTGYELLKRIKESPTMKGDTSSCSVI >Potri.007G035500.2.v4.1 pep chromosome:Pop_tri_v4:7:2784577:2787317:-1 gene:Potri.007G035500.v4.1 transcript:Potri.007G035500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035500.v4.1 MVKPKRNVSFSNEFFKVYLSDFSSRQLSLPPAFGIQFNGKNEALPKNATLKDGNAKIWQVGLVKREGDWVIKDGWKEFACYHSLVDGDFLIFKYDGSSEFEVELYGNNGLKNNGLKNNGLKKEGRGLENKLSIHVKEEQETEEDFIGSRPSTGCKQKDSNIEPRITRSQGLFGKSGPKSSETKIAKLGECKGAKAPSSVPREVPRFVMNITKITRFARIPKKFLRENNIELPKEIKLRDESGKLWPVQTSLWDSGYTVLTSGFSEFCRMNKLVPRDQCVFTFICSKGNLCNVIQVQVVRRGPRT >Potri.015G059000.4.v4.1 pep chromosome:Pop_tri_v4:15:8229776:8234669:-1 gene:Potri.015G059000.v4.1 transcript:Potri.015G059000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059000.v4.1 MALDHDSAEGDHLVNVERDKCLEDTINNNASEAVFSEQEGALPIKNIALHSVLTKAILKYSRKKRKRAKKTSSLLKDTSIVNNTVHSVLTKRKCNVLLKYSRNRRKKSSSFVYHRKMIDSHDSSQHCVEPMVVASQTSLDQSNEVRSVHVMENNVERVQVVEHAAPVQVAANVLVEMSSDCSPGDFACEESKITEIRNSVGVEASVKEVKIPQACALERPHTGRVTKKLLILDVNGLLADIVSYVSAGYQANIVVSGKSVFKRPFCDDFLRFCFEKFDVGVWSSRTKRNVNPLIEFLFGDSRHKLLFCWDQSHCTDTGFTTVENRSKPLFLKELKKIWEYLESTLQLNKGEYDESNTLLLDDSPYKALCNPVHTAIFPPSYGYRDLADSSLGPEGDLRVYLERLAEAENVQEYVAQHPFGQRAITESDPSWGFYSRIASADSCQSQNVASTSVTCQP >Potri.015G059000.6.v4.1 pep chromosome:Pop_tri_v4:15:8230239:8234371:-1 gene:Potri.015G059000.v4.1 transcript:Potri.015G059000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G059000.v4.1 MIDSHDSSQHCVEPMVVASQTSLDQSNEVRSVHVMENNVERVQVVEHAAPVQVAANVLVEMSSDCSPGDFACEESKITEIRNSVGVEASVKEVKIPQACALERPHTGRVTKKLLILDVNGLLADIVSYVSAGYQANIVVSGKSVFKRPFCDDFLRFCFEKFDVGVWSSRTKRNVNPLIEFLFGDSRHKLLFCWDQSHCTDTGFTTVENRSKPLFLKELKKIWEYLESTLQLNKGEYDESNTLLLDDSPYKALCNPVHTAIFPPSYGYRDLADSSLGPEGDLRVYLERLAEAENVQEYVAQHPFGQRAITESDPSWGFYSRIASADSCQSQNVASTSVTCQP >Potri.006G119300.1.v4.1 pep chromosome:Pop_tri_v4:6:9379455:9382979:-1 gene:Potri.006G119300.v4.1 transcript:Potri.006G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119300.v4.1 MGKHYLVFLCLLGIFCFPLLHCYLALAAGTDDGSEQWGYVEVRPKAHLFWWHYKSPYRVEDPTKPWPIILWLQGGPGGSGVAFGNFLEIGPLDGNLNPRNSTWLLKADLLFVDSPVATGFSYVEDEALVVRSDEDAAADLTALLKELFNGNETLQKSPLYIFAESYGGKFAATLGVSALKAIEAGELKLQLGGVALGDSWISPEDFVFTWGPLLKDLSRMNSNGLNSSNSLAVKIQQQLAEGKYEDATSTWRELEDVVFSNSNNVDFYNFLLDYVNDPVIGSTTQESKGFVAADRYSRYLSTKMYPSPGSTGVRSTENLYDLMNGPIRQKLKIIPENVTWDGQGGLVFQALVGDFMKPRIQEVDELLAKGINVTIYNGQVDLICSTKGAEAWVNKLKWDGLQNFLSLDRSPLYCKSDNTTTKGFTSSYKNLFFYWILGAGHFVPVEQPCVSLQMVGNVTKSPNNF >Potri.013G063100.1.v4.1 pep chromosome:Pop_tri_v4:13:4696413:4697456:1 gene:Potri.013G063100.v4.1 transcript:Potri.013G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G063100.v4.1 MEGLKFVLVFVVLALASSFASASDPGPLQDFCVAIKETDGVFVNGKFCKDPEQVTAKDFFFPGLNVPRDTSSAVGSNVTAVNVAQIPGLNTLGISFARIDFAPHGGLNPPHTHPRATEILVVVEGTLYVGFVTSNLANGDNRLITKVLNPGDVFVFPVGLIHFQLNVGKTNAVAFASLSSQNPGVITIAKAVFGADPPINPNVLTKAFQVDKKVVDYLQKQLWTDNNN >Potri.011G087100.1.v4.1 pep chromosome:Pop_tri_v4:11:11045635:11048673:-1 gene:Potri.011G087100.v4.1 transcript:Potri.011G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G087100.v4.1 MDEVMTAADASSRYRSATSPPASLPSNIPLLSAFLAFSLAQFLKLFTTWFKEKRWDARRMLGSGGMPSSHSATVTALATAIGLQEGTGAPAFAVALVLACVVMYDATGVRLHAGRQAELLNQIVCELPPEHPVSNVRPLRDSLGHTPLQVVAGAVLGCIIAFLMRSSS >Potri.011G072000.1.v4.1 pep chromosome:Pop_tri_v4:11:6443155:6444338:-1 gene:Potri.011G072000.v4.1 transcript:Potri.011G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072000.v4.1 MVSKSLKRSPTKSIKDPGSHRRRRRHRKKSPGRTTSAVIISTINKTIFTCKRRLSKLFSKLARISTPNSRYKGYNILKNGSKYHDQKIESDSICRVHLFNEPLPPLISPGKRTVFLDLDETLVHSKAGPPPQKFDFVVRPEIDGETMNFYVLKRPGVDAFLEALGAKYEVVVFTAGLKEYASLVLDRIDTRGLISHRLYRDSCKEIDGKFVKDLSEMGRELRRVVIVDDNPNCYIYQPKNAIPVKPFTDDLGDSELENLIAFFERCDCFEDMRDAVKEYFGGEGDLEEQVEV >Potri.003G177300.1.v4.1 pep chromosome:Pop_tri_v4:3:18408335:18409890:-1 gene:Potri.003G177300.v4.1 transcript:Potri.003G177300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177300.v4.1 MADGDNTQIDREIQNLVNKLNERIGKLYQKRKVLENAKGSEIKALKKDRDEAWKLKSSLLNESGDTRQEIANVNNWLDQKTLLSGDDQDEGYNFSVLIVDDDLTVRDTNRRLMMSVETQKQLTMEFQEAKNGKEAVYLNLAGASYDLILMENHMPIMTGIQATQRLRKMGVKSQIVGISSESDQQAFIDAGLDNCIQKPLDIAKITTFLSDPNKRKRTDTSQA >Potri.005G073900.5.v4.1 pep chromosome:Pop_tri_v4:5:4923956:4931509:-1 gene:Potri.005G073900.v4.1 transcript:Potri.005G073900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073900.v4.1 MAIMPCGSTSIAQWGIRPQFMIRSYMPKRTMKARHGIDTMMSYLAAPSSSLFSRDSFPVLSYTGTSQTSNQRRGTRFVVRADSDYYSVLGVSKNASKSEIKSAYRKLARSYHPDVNKKPDAEQKFKEISNAYEVLSDDEKRSLYDRYGEAGLKGAGMDMGDFSNPFDLFESLFEGMGGMGGMGGMGGRASRNRAVDGQDEYYNLVLTFKEAVFGVEKEIEITRLESCGTCDGSGAKPGTKPSKCSTCGGQGQVVSSTRTPLGVFQQVMTCSSCGGTGETFSPCNTCSGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGSPGDLFVSIEVMPDRVLKRDDTNILYTCKVSYIDAILGTAIKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPVLSKSNMRGDQLVRVQVEIPKRLSSEEKKLIEELADLSKGKTATSRR >Potri.005G073900.6.v4.1 pep chromosome:Pop_tri_v4:5:4923986:4931546:-1 gene:Potri.005G073900.v4.1 transcript:Potri.005G073900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073900.v4.1 MAIMPCGSTSIAQWGIRPQFMIRSYMPKRTMKARHGIDTMMSYLAAPSSSLFSRDSFPVLSYTGTSQTSNQRRGTRFVVRADSDYYSVLGVSKNASKSEIKSAYRKLARSYHPDVNKKPDAEQKFKEISNAYEVLSDDEKRSLYDRYGEAGLKGAGMDMGDFSNPFDLFESLFEGMGGMGGMGGMGGRASRNRAVDGQDEYYNLVLTFKEAVFGVEKEIEITRLESCGTCDGSGAKPGTKPSKCSTCGGQGQVVSSTRTPLGVFQQVMTCSSCGGTGETFSPCNTCSGDGRVRRTKRISLKVPAGVDSGSRLRVRSEGNAGRRGGSPGDLFVSIEVMPDRVLKRDDTNILYTCKVSYIDAILGTAIKVPTVDGMVDLKIPAGTQPNTTLVMAKKGVPVLSKSNMRGDQLVRVQVEIPKRLSSEEKKLIEELADLSKGKTATSRR >Potri.015G017000.1.v4.1 pep chromosome:Pop_tri_v4:15:1187962:1188733:1 gene:Potri.015G017000.v4.1 transcript:Potri.015G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017000.v4.1 MAAFSKILRETDTKKRLSVPIRFLRSLPPFKLGSHAVTFEATDEKGEAWPFQCSIRKRGHPKPVLTKGWVAFVKSKKLQVGDKVRFIKRKNRATAAISYIVRAEKAIKIFGVTFGYARIDLVHFPN >Potri.007G074101.1.v4.1 pep chromosome:Pop_tri_v4:7:9708374:9711364:-1 gene:Potri.007G074101.v4.1 transcript:Potri.007G074101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074101.v4.1 MLQGRKQLKELVKKVQAARDEMQWGEEGPPPLLVKIAPDLSKEDLEDIAAVSLALRLDGLKMMFSCSSIIAIIISNTTISRPDFVKKYPVAEETGGLSGKPLLNLSTNILKEMYILTRGKIPLIGCGGVFSGEDAYKKIRAGATLVQLYTGFAYGGPALIPRMKAELVECLERDGFKSILEAVGADYR >Potri.005G077600.1.v4.1 pep chromosome:Pop_tri_v4:5:5280525:5286169:1 gene:Potri.005G077600.v4.1 transcript:Potri.005G077600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G077600.v4.1 MEQKKQNPTAENETLIVSRRIQRVSLHLAPVPRPLHEHDTQEQLGLFPCARSAKLEVETEKLSLYMRGKYREIQEKIYEYFHARPELQTPLEISKDDHRELCWRQMYGLIKEAGIRPLKYVVEDPGKYFAIVEAAGAVDIFLGIKLGVQYSLWGGSVINLGTKKHKDKYFEGIDSLEYPGCFAMTELHHGSNVQGLQTVATFDPITDEFIINTPNDGAIKWWIGNAAVHGKFASVFAKLMLPAHDAKGVSDMGVHAFIVPIRDLKTHQALPGIEIHDCGHKVGLNGVDNGALRFTSVRIPLDNLLNRFGDVSPDGKYTSSLPTINKRFAATLGELVGGRVALASSSTSVLKIANAIAIRYSLLRQQFGPPKQPEVSILDYQSQQHKLMPMLASTYAFHFATSYLVEIYSQMKTTHVEQLVGDVHTLSAGLKACVTSYTAKSLSVCREACGGHGYAAVNRFGILRNDHDIFQTFEGDNTVLLQQVAADLLKQYKQKFQGGTLAVTWNYLRESMNTYLSQPNPVTARWEGTEHLRDPKFQLDAFRYRTSRLLQSAAVRLRKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIETVEKCPDASTRAALKLVCDLYALNQIWNDIGTYRNVDYVAPNKAKAIHKLTDYLSFQVRNIAKELVDAFDLPNHVTRAPIAMQSEAYAQYTQYVGF >Potri.006G004900.1.v4.1 pep chromosome:Pop_tri_v4:6:363502:366469:1 gene:Potri.006G004900.v4.1 transcript:Potri.006G004900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004900.v4.1 MEEEKESEQNDQNTLESQPNSQPTPLSKNAQKKLLKQQKWEAKKAEKKAQVKEQKMKEAERKRKEWEERLSSCASEEERLKLIESRRELRKERMEKRAEEKEDKVQRLSKAKEFGQNIVIDLEFADLMTNSEIHSLVQQIMYCYAVNRRCTSPGHLWLTGCRGEMEIQLQRLPGFDKWIIEKESQSYIDALQDQKENLVYLTADSETVLDELDLKKIYIIGGLVDRNRWKGITMKKASEQGIQTAKLPIGSYLKMSSSQVLTVNQVVEILLKFVEIKDWKASFFQVIPQRKRGETDSEELQGVDGEELENEDDPSDKKKRCIEVRSTQ >Potri.015G079300.2.v4.1 pep chromosome:Pop_tri_v4:15:10541246:10549627:1 gene:Potri.015G079300.v4.1 transcript:Potri.015G079300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079300.v4.1 MSSSSRDSFSSLTPPSPPLDWKFSQVFGETTPGEDLQDVDVVSSIGFEKSGDYLAVGDRGGRVVIFQAKHGKHTPNSFLNRNELERLDCAFTTHPEYQYKTEFQSHEPEFDYLKSMEIEEKINRVKWCSTSNGSLFILSTNDKTIKLWKVSEKKLKKVREMEHHSVVCSENDLLGEGSFMSELDKPPTTNGYNLDWAEKIAKDTLSSQEVQTKITHTEEATRANCRKVYAHAHDFNINSISNNSDGETFISSDDLRINLWNLEISDQCFNIIDMKPSNMEDLTEVITVAEFHPIHCNLLAYSSSRGFTRLVDMRQSAICDHSARILQDAECRGSKSFFTELIASISDMKFTYDGQHILTRDYMNLKLWDMRMDSSPVAVFKIHEHLRPKLSDLYNDDSIFDKFECCISGDGLHFATGSYSNNLRIFSHGVGNEEGITIEASKNPNRRSILHSTSRPRRSSLSNMARGFYRQGHENYRSGSNEFSCNLSSKLLHLAWHPTTNFIACASGNSLLMYHA >Potri.014G078900.2.v4.1 pep chromosome:Pop_tri_v4:14:5104436:5105747:-1 gene:Potri.014G078900.v4.1 transcript:Potri.014G078900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078900.v4.1 MTETSSSSTTISKDLPSEHVLAVTRFFESVGIHASLPQNSTSKDFYSDLFRDLFKAGHVQRGHVSCIVPVLPVVGNYYNGLHGGAVGAIAERASIACARTVVADDKKLFLGELSICYLSAAKLNEVLLVEGSVLKSGRNLTVVASEFRIKETKKLVFTSRATFYHMPAAKL >Potri.011G051500.1.v4.1 pep chromosome:Pop_tri_v4:11:4104112:4106519:-1 gene:Potri.011G051500.v4.1 transcript:Potri.011G051500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051500.v4.1 MNTKLISKTLNQNQNAIISRSYYTNKTNKATLYSKLSPLGSTPSLEPELDSWIQNGKKVKVAELQRIIHDFRMRKRFTHALQVSEWMNKKGICIFSPSQHAVQLDLIGRVHGFVSAENYFNNLRDQDKNEKTYGALLNCYVQKRETDKSISHLQKMKEMGFAKSSLSYNDIMCLYTNVGQHEKVPQVLNEMKENNVSPDNFSYRLCMNSYGARGDLEGMEKMLNEMEHQPDIVVDWNSYAVAASSYIKGGLTDKAIDSLKKSETRLDKKDGTGYNHLISLYATLGEKTEVLRLWDLEKSTCERPINKDYINIMASLVKLDEFEEVEKVLKEWEASGNFYDVRVPNTLIIGYSGKGLYEKAKALLENLTEKGKATLPNIWGKVAAGFFDKNEVAKAFSCMKAALCLYEENKEWKPNQKVITGILSWLGDEGSAEDAEAFVSSLKNVIPMNREMYHAVLKAHIRAGKEVHGLLDGMKTCKIKEDEETKKILSMMQK >Potri.004G140900.1.v4.1 pep chromosome:Pop_tri_v4:4:16358833:16361733:1 gene:Potri.004G140900.v4.1 transcript:Potri.004G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140900.v4.1 MEIASILAYVCFFLSSLLSYPLLKKKNRIPKQRAKLPPGSMGWPYVGETLQLYTQDPNVFFATKQKRHGEVFKSHILGCPCVMLASPEGARFVLVTHAHLFKPTYPKSKEKMIGPSALFFHQGDYHSLLRKLVQSSLSPDKIRKLIPSMESVAISALESWSSGHIINTYHEMKKFSFDVGILSIFGHLDSNHREMLSDNYHIVDKGYNSFPTKIPGTAYHKALLARKRLNQILSEIICERKEKRLLEKDFLGHLLNFKNEKGEILTEDQIADNIIGVLFAAQDTTASVLTWILKYLHDDQRLLEAVKAEQMEIYEANGRGKKPLTWAQTRNMPLTYRVILESLRMASIISFTFREAVVDVEYNGYLIPKGWKVLPMFRNIHHNPEFFPDPHIFDPSRFEVAPKPNTFMPFGNGVHACPGNEIAKLEILILIHHIVTKFRWEVVGSQNGIQYGPFPVPRQGLPARFWR >Potri.013G043800.2.v4.1 pep chromosome:Pop_tri_v4:13:3035614:3036973:-1 gene:Potri.013G043800.v4.1 transcript:Potri.013G043800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043800.v4.1 MSQLSEQYSGRQEPRRGKKPTKHKKEPVKITYISSPTMVKATNASEFRAIVQELTGKDSKVEDPFDAYSVISNEEASQVPHYATPQFNVAGVQDVFPNNTPFLQTEDGFFWGDVSEMSFEFRSSCVFV >Potri.003G145800.1.v4.1 pep chromosome:Pop_tri_v4:3:16052704:16053414:1 gene:Potri.003G145800.v4.1 transcript:Potri.003G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145800.v4.1 MSFVPRIELEIQENESILPVNQTPPWPSAFLIKFCVNHSINVLYPTRKLQRFAGVETRICKLLEPVKIGQISTTLYCPQHQFLSHDKAERFFNQALEVFCVPVDQGIEDITNRFSSEIVLMAFDASFNRRSIVPVVVEIKVDLWLQYNPGIVKHFIAGYVGRLMERGARVDFSSGQLMKGIKLESSSMEQCSICLESLGGEEPALEQPCSHIYHPSCARRWFNDSSSCPLCLFGID >Potri.006G020200.3.v4.1 pep chromosome:Pop_tri_v4:6:1348675:1350775:1 gene:Potri.006G020200.v4.1 transcript:Potri.006G020200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020200.v4.1 MQKLHEEQASLILERRTADNEDEMMEVEAAVKAAMSVFNARGNSAATIDAAKSAAAAALVALKDQANLPVKLDEFGRDINLQKRMDMEKRAKARQRKKTRFDSKRLSYMEVDSSDQKIEGELSTDESESDSEKNAAYQSTRDLLLRTAEEIQ >Potri.003G119000.1.v4.1 pep chromosome:Pop_tri_v4:3:14054731:14056732:1 gene:Potri.003G119000.v4.1 transcript:Potri.003G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119000.v4.1 MASYANQECFQSNDDLPIVLLHRLPSFNSPLKDILQPHFHLLDPADSPEPASSFLSCHAKSVRALICIYNTPLSAETLNLLPSLELIVAASAGVDHIDLEECRCRGIIMTNASTAFAEDAADHAVALLIDVCRRISTGDRFVRAGLWPVKRDCSLGFKMGRKRVGIVGLGRIGFEVGKRLEAFGCSIAYNSRKKKPSVPFSYHANVLDLAEDSDALILCCSLTEQTHHIINKDVLEALGKEGVIINVGRGALIDEKVLVQFLLRGDIGGAGLDVFENEPDVPRELFELDNVVLSPHRAIFTSESLEALHELVFTNLKAFFSNKPLQSVFQIE >Potri.015G041100.1.v4.1 pep chromosome:Pop_tri_v4:15:3777470:3779562:1 gene:Potri.015G041100.v4.1 transcript:Potri.015G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G041100.v4.1 MRKPCCDKQYTNKGAWSQQEDQKLIDYIQKHGEGCWRSLPQAAGLLRCGKSCRLRWRNYLRPDLKRDGFGEDEEDLIIRLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHIRKKLESSHRNTGFTRLRAEISSAARSKRQANVPETQVFDSNGGKPEPSNKSSSDINLDLTLSIPSKKLESSDEN >Potri.015G128900.1.v4.1 pep chromosome:Pop_tri_v4:15:13984472:13985286:-1 gene:Potri.015G128900.v4.1 transcript:Potri.015G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128900.v4.1 MEAKIFIPSNALALLLAFLLCISLADATTTKNSSETYTNYLKKACNSTLYPQLCFESLSSYTSTIKTNDLKLSTKALTVTLKAASNTSKLVTSLSKGGNLSMTEAGIIKDCIDEMGDSIDMLKQSLKALGSLNGSDDHIQFQISNIKTWMSAALTDETTCTDGIAERKISDEAMRKIRKTIVHIAMLTSNALALLNKLPY >Potri.015G130500.1.v4.1 pep chromosome:Pop_tri_v4:15:14092006:14093034:-1 gene:Potri.015G130500.v4.1 transcript:Potri.015G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130500.v4.1 MGYWSAENATKAYLKTLRMGQKANEPDEAEFISALAAGNNAQLMVVACANAATTTTVALVAAAHQTGGRVVCILPGHQELQLSKKILGCDACHVEFVIGEARSLLLSHYSEADFVLIDCNLENHDGILGAVRAGRKRNGAVIVGYNAFSKRSWRSGGSKTQLLPIGGGLLVTRIATDAKIADGCGCGKRSHWVVKVDKCTGEEHVFRVRFPQGKQIEA >Potri.004G123900.1.v4.1 pep chromosome:Pop_tri_v4:4:11984526:11987271:1 gene:Potri.004G123900.v4.1 transcript:Potri.004G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123900.v4.1 MPTTTTTTTLLLLLFLLLTTTTPSPIPGLDSFLSHQSSLDPLSTNDSFPSLPPSLRKSLSLASPPPHIPSLISSLLSLTVQLSLNIRLVGPSFPSSSSSLLYSFLSNANIADRFHVITTDPIPSQNSHHLSIKHSPHLDISHASSTLSSRLSEALNSAVSETTSSLRTPLLSIPYDTVDRIIKQDFDREKPVHGVYVYLINLGSQPKNYAYSYSAGDSSPGLTKCLGTIWTGKERYLWIDLSAGPVDYGPALSGDGVLPRGEFHPLAAMHGRPKSQKALLADLASLIWSAYQVLLVPSLRIPVHFENSLIVEFIHIYGSESAKDLSGFDWKEIERTFMDEANEGGLLLRNQNLAFRNYEVNYDQCPICSFAISRSINSYTSRFLFENYTLIVSEYLDSKRLHQILSDSAEEFRRIAGIPEEDFSRVLPVYVFDLDYNMMLMLDRYHQSVAFRDMIIAVRTKTTQTVSDYSCNGRHMFTHTRMLERPLVGSILQSMWGVSPTHLSWSPRHNNTLVDYTWSVGQTPFGPFSEISSLSFVQKDSARRNVLLTLLNYSITSVIDVLESIAAHGGDRNLLKQNRHIEFVQRWNLFKYKLDKAISAISHLDFEMALYYLRSSDHDMYAIHSLVYHASQELEASLVCFKDPPFPWGSVSMSAVGVFALFYVYSKRERLFRNKRKQF >Potri.014G025900.2.v4.1 pep chromosome:Pop_tri_v4:14:1604876:1607620:1 gene:Potri.014G025900.v4.1 transcript:Potri.014G025900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025900.v4.1 MSTIKTCRETLLAAFTDNSDNYKKLVSKSYNNYSATSSLMDTEIPAVAVVPGQKRSRKGGDARNKKVVNGGGSGESEHEIHIWTERERRKKMRNMFSSLHALLPQLPAKLVQADKSSIVDEAVKYIKTLQQTLQTLQKQKVEKFQGAIIDFEPSVITSLTDTVGSREASFAALGPSKNSPLTSKMSQNSFSVSLSPACFQTWFSPNVVMNMCGDDAQFSLCSTRKPGLLATILYILEKHNLDVVSAHISSDQYRSIYMIHAHADGASDQYPEAMSVEDTFKLAAGEMNLWVMSC >Potri.014G025900.1.v4.1 pep chromosome:Pop_tri_v4:14:1604876:1607620:1 gene:Potri.014G025900.v4.1 transcript:Potri.014G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025900.v4.1 MSTIKTCRETLLAAFTDNSDNYKKLVSKSYNNYSATSSLMDTEIPAVAVVPGQKRSRKGGDARNKKVVNGGGSGESEHEIHIWTERERRKKMRNMFSSLHALLPQLPAKADKSSIVDEAVKYIKTLQQTLQTLQKQKVEKFQGAIIDFEPSVITSLTDTVGSREASFAALGPSKNSPLTSKMSQNSFSVSLSPACFQTWFSPNVVMNMCGDDAQFSLCSTRKPGLLATILYILEKHNLDVVSAHISSDQYRSIYMIHAHADGASDQYPEAMSVEDTFKLAAGEMNLWVMSC >Potri.007G007100.2.v4.1 pep chromosome:Pop_tri_v4:7:486455:490056:-1 gene:Potri.007G007100.v4.1 transcript:Potri.007G007100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007100.v4.1 MQGPFTGVRGPFTPSQWMELEHQALIYKYITARVPVPSNLIIPLKKSLNPYGLPFSSAGSFPPSSLGWGTFHLGYPGNNTDQEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQTGHAATGTASSKVVPMSNSMPTSITTSGATSNSIVITQQQLKNFQPAAASISSADARVNGAQDARRVSMMSSTINRKSDESTFCIPRQDILFEQCSQTEFGLVSYDSLLNPSQKSSYFNAKPYESFLNFSDEESHDQHPLRQFIDDWPKDQSNRSVISWPEELKSDCTQLSMSISMVSSDFSSSSSSLLREKLAFSPLRLSREFDPIQMGLRVSGDHNESSQKQANWIPISWGTSIGGPLGEVLTTSASHADSCKSSSALNLLREGWDGSPQLGSSPTGVLQKSTFGSLSNSSSGSSPRAESKKNNESASLYEDVVGSIIASDPLFHPCNQENG >Potri.007G007100.1.v4.1 pep chromosome:Pop_tri_v4:7:486448:490056:-1 gene:Potri.007G007100.v4.1 transcript:Potri.007G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G007100.v4.1 MDFGVLGLEGLVGPESSSHAPSHVSLPETKPKILGSVLTKQERSSSSASAQDDYWRASKMPKLDDFSSTKTMPLHQPAPLLRPNSMFSNDSRQQEHMLSFSSPKPEATPFLVKDAGLVERNTQNHTALSFPYYQHAPLSASRSAGYGTGNLNASMQGPFTGVRGPFTPSQWMELEHQALIYKYITARVPVPSNLIIPLKKSLNPYGLPFSSAGSFPPSSLGWGTFHLGYPGNNTDQEPGRCRRTDGKKWRCSRDAVADQKYCERHINRGRHRSRKPVEGQTGHAATGTASSKVVPMSNSMPTSITTSGATSNSIVITQQQLKNFQPAAASISSADARVNGAQDARRVSMMSSTINRKSDESTFCIPRQDILFEQCSQTEFGLVSYDSLLNPSQKSSYFNAKPYESFLNFSDEESHDQHPLRQFIDDWPKDQSNRSVISWPEELKSDCTQLSMSISMVSSDFSSSSSSLLREKLAFSPLRLSREFDPIQMGLRVSGDHNESSQKQANWIPISWGTSIGGPLGEVLTTSASHADSCKSSSALNLLREGWDGSPQLGSSPTGVLQKSTFGSLSNSSSGSSPRAESKKNNESASLYEDVVGSIIASDPLFHPCNQENG >Potri.005G168700.3.v4.1 pep chromosome:Pop_tri_v4:5:16876742:16878724:-1 gene:Potri.005G168700.v4.1 transcript:Potri.005G168700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168700.v4.1 MAATMDLYSSISLQSDPLVGELMEALEPFMKSASSIATPSPSQTPNYPSPSQTPNYPSSPSLPSLPSTSYDYFFSFSTPSHLQQQQQPFLYPDVCCSTSTAYPFSTGFSINDAMGLQQPSSSIGLNHLTPNQIHQIQTQIHQNNSHSYLRTCQQPQTLKFLSPKPVPMKQMGTPSKSTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHQGSHIGEYKPLHSSVDAKLQAICESLENSSQQKRGKVEKRSNSTKKETSLVVGTQEEEPVVKSETPSPVLTESDGSGGSSPLSDLTFPDIEEAPLEFDSGNFMLQKYPSYEIDWASILS >Potri.005G168700.2.v4.1 pep chromosome:Pop_tri_v4:5:16876765:16878698:-1 gene:Potri.005G168700.v4.1 transcript:Potri.005G168700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168700.v4.1 MAATMDLYSSISLQSDPLVGELMEALEPFMKSASSIATPSPSQTPNYPSPSQTPNYPSSPSLPSLPSTSYDYFFSFSTPSHLQQQQQPFLYPDVCCSTSTAYPFSTGFSINDAMGLQQPSSSIGLNHLTPNQIHQIQTQIHQNNSHSYLRTCQQPQTLKFLSPKPVPMKQMGTPSKSTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHQGSHIGEYKPLHSSVDAKLQAICESLENSSQQKRGKVEKRSNSTKKETSLVVGTQEEEPVVKSETPSPVLTESDGSGGSSPLSDLTFPDIEEAPLEFDSGNFMLQKYPSYEIDWASILS >Potri.005G168700.4.v4.1 pep chromosome:Pop_tri_v4:5:16876727:16878077:-1 gene:Potri.005G168700.v4.1 transcript:Potri.005G168700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168700.v4.1 MKSASSIATPSPSQTPNYPSPSQTPNYPSSPSLPSLPSTSYDYFFSFSTPSHLQQQQQPFLYPDVCCSTSTAYPFSTGFSINDAMGLQQPSSSIGLNHLTPNQIHQIQTQIHQNNSHSYLRTCQQPQTLKFLSPKPVPMKQMGTPSKSTKLYRGVRQRHWGKWVAEIRLPKNRTRLWLGTFDTAEEAALAYDKAAYKLRGDFARLNFPNLRHQGSHIGEYKPLHSSVDAKLQAICESLENSSQQKRGKVEKRSNSTKKETSLVVGTQEEEPVVKSETPSPVLTESDGSGGSSPLSDLTFPDIEEAPLEFDSGNFMLQKYPSYEIDWASILS >Potri.006G251200.4.v4.1 pep chromosome:Pop_tri_v4:6:25011113:25017137:-1 gene:Potri.006G251200.v4.1 transcript:Potri.006G251200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251200.v4.1 MDSPKTTTTTTTTTTTTISATATTVSLSDSPPVQESPFSNYISNLSPIKPVNTAHVAHGLLGINSPPLVFKSPHTASDRQINLLRRFQYPQISGAETSKIDDGSKKSIDGPEDMGKSSICLTSNLIVDSQTSDNVNNSEQDQPGSSSGCVDEYLSDPVDADCADSVNLVNPNVKKSDDALQSSESNLTNLKIVESDDINDKGTKGEVSQARPEQDGEDPKEQPTSENKMEKIKEEGSLAKQPSHVCPNFGSDLLVDHASRQQCYTSGAQVSQLQRGMSRRCLQFEQAQQETTKDGTYSPNPAINLFGSISPASSTELEILDSSQVELTISSHKEQTMSAMFSANISGKCPVAVSKPSGIGLHLNSIVNTLPMGSGASGPIMSHHLVENKISCSKLSNLVERVSLTAGDGVLQTKASLATSSTTSESFHNMESFNNLQPPEHQVTPHNKRRFSSEHAGNFEDFSPSSPKKKRKKASSTDGDGCKRCNCRKTKCLKLYCDCFAAGIYCAAICACQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQHVTEFQAIDVEDVDLFTPYSGRHKTGCNCKRSMCVKKYCECYQANVGCSNACRCEGCRNIHGRKEEYAMTQEIVSNRANEESLEGMADEKLEMVANNKFLHTELYDLRSLTPPTPSFEYLSHEKDAPKSRLLPGRYVLSSESDFSMLPSYAKSVSSPSNSQGNDMLPKTSITLDIVSHGQELDYNITEITGQFSPQFDELADFSDHTPLPNPSSIMMASSASSKTQDKANVSQPRVYPGSARLSSGSSLHWYSSPITPMTRLGETKNQAQDSDCGLYDILEDDTPEILKDSSAPITSVKASSPNKKRVSPPHSHIREFQSSSSAGLKSGRGRKFILKSVPSFPPLTPCLDSKDCTQQKY >Potri.006G251200.3.v4.1 pep chromosome:Pop_tri_v4:6:25011112:25017284:-1 gene:Potri.006G251200.v4.1 transcript:Potri.006G251200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251200.v4.1 MDSPKTTTTTTTTTTTTISATATTVSLSDSPPVQESPFSNYISNLSPIKPVNTAHVAHGLLGINSPPLVFKSPHTASDRQINLLRRFQYPQISGAETSKIDDGSKKSIDGPEDMGKSSICLTSNLIVDSQTSDNVNNSEQDQPGSSSGCVDEYLSDPVDADCADSVNLVNPNVKKSDDALQSSESNLTNLKIVESDDINDKGTKGEVSQARPEQDGEDPKEQPTSENKMEKIKEEGSLAKQPSHVCPNFGSDLLVDHASRQQCYTSGAQVAHPHEPIQLITYNGSEVSQLQRGMSRRCLQFEQAQQETTKDGTYSPNPAINLFGSISPASSTELEILDSSQVELTISSHKEQTMSAMFSANISGKCPVAVSKPSGIGLHLNSIVNTLPMGSGASGPIMSHHLVENKISCSKLSNLVERVSLTAGDGVLQTKASLATSSTTSESFHNMESFNNLQPPEHQVTPHNKRRFSSEHAGNFEDFSPSSPKKKRKKASSTDGDGCKRCNCRKTKCLKLYCDCFAAGIYCAAICACQGCFNRPEYEDTVLETRQQIESRNPLAFAPKIVQHVTEFQAIDVEDVDLFTPYSGRHKTGCNCKRSMCVKKYCECYQANVGCSNACRCEGCRNIHGRKEEYAMTQEIVSNRANEESLEGMADEKLEMVANNKFLHTELYDLRSLTPPTPSFEYLSHEKDAPKSRLLPGRYVLSSESDFSMLPSYAKSVSSPSNSQGNDMLPKTSITLDIVSHGQELDYNITEITGQFSPQFDELADFSDHTPLPNPSSIMMASSASSKTQDKANVSQPRVYPGSARLSSGSSLHWYSSPITPMTRLGETKNQAQDSDCGLYDILEDDTPEILKDSSAPITSVKASSPNKKRVSPPHSHIREFQSSSSAGLKSGRGRKFILKSVPSFPPLTPCLDSKDCTQQKY >Potri.013G020800.1.v4.1 pep chromosome:Pop_tri_v4:13:1351662:1355422:1 gene:Potri.013G020800.v4.1 transcript:Potri.013G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020800.v4.1 MATSIPSCSFHSYMAPSIIPNNSTSTTHFTLSFSHANLSGISLCTRSSRILAKFEKFEGDDASQDNLEEAMELQEQAQTVQEEDDSCLPSDLEGAVRQSSQASALFVSSGGMRAIVELLIPQLQFLDDEGAQAELWELSRIFLDTLIEETGSQRIKAIFPDAGAAALLKYLWKDAAFGFSSLSDRKPVQIEDDIVVMVVPDYQMLEKVQKIVSDLSDDPPRPLIMWNPRLISEDVGVGINVRNLRRYFLSTFTTVYSMRPLPAGAVFRCYPEMWKVFYDDKDRPNRYLLAQESVRRPDAEEIEIIFGNAEEKSEQGPSLFSKAAGIFSSLNRFMRVISK >Potri.011G093901.1.v4.1 pep chromosome:Pop_tri_v4:11:12119106:12119444:-1 gene:Potri.011G093901.v4.1 transcript:Potri.011G093901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G093901.v4.1 MSVINGAISATKTADCFSYGECFTATIPLHAVTKLDVAPQAILWLIKAREKRWTKKEKKGEGKPEGNDRGKNKGGERAAPALPTPSLAHHLLRPAATAILHHNRTSTAQRKR >Potri.017G128000.1.v4.1 pep chromosome:Pop_tri_v4:17:13147231:13152166:1 gene:Potri.017G128000.v4.1 transcript:Potri.017G128000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128000.v4.1 MADAVLSALASTIMGNLNSSFLRELGLAGSLETEREKLNRTIRTIRAVLQDAEEKQWTSEAIKVWLRDLKDAAYDADDLLSDFANEAQPHQQRRDLKNRVRAFFSINYNPLVFRRRMVHKLKSVREKLDAIAMERQKFHLREEAVEINADILNQRETGSLVKESGIYGRRKEKEDLINMLLTSSDDFSVYAICGMGGLGKTTLAQLVYNDGRIEEHFDLRVWVCVSVDFSIQKLTSAIIESIERSSPDIQQLDTLLRRLQEKLGGKKFLLILDDVWEDDHDNWSKLKDALSCGAKGSAVIVTTRLGTAADKMATTPVQRMATLSDEDSLLLFEQLALGMRSAEERGRLKEIGVAIVNKCGGVPLAIRALGSLMRSKKTVSEWLLVKESEIWDLPNEGSRILPALSLSYMNLMPPVKHCFAFCSIFPKDYVMEKDLLVALWMANGFISSNGKIDLHDRGEEIFHELVGRSFFQEVKDDGLGNITCKMHDLIHDLAQYIMNGESYLIEDNTRLSISKTVRHVGAYNTSWFAPEDKDFKSLHSIILSNLFHSQPVSYNLGLCFTQQKYLRALYIRIYNLNTLPQSICNLKHLKFLDVSGSGIKKLPEPTTSLPNLQTLNLRGCRQLVQLPEDTKHMKSLVYIDIRGCYSLRFMPCGMGELTCLRKLGIFVVGKEDGRGIGELGRLNNLAGELSITDLDNVKNSKDARSANLILKTALLSLTLSWNLEGNYNSPSGQSIPNNVHSEVLDRLQPHSNLKKLSIEGYGGSRFPNWMMNLMLPNLVEMELRDCYNCEQLPPFGKLQFLKYLQLYRMAGVKFIDSHVYGDAQNPFPSLERLVIYSMKRLEQWDACSFPLLRELEISSCPLLDEIPIIPSVKTLIIRGGNASLTSFRNFSSITSLSSLKSLTIQGCNELESIPEEGLQNLTSLEILEILSCKRLNSLPMNELCSLSSLRHLSIHFCDQFASLSEGVRHLTALEDLSLFGCHELNSLPESIQHITSLRSLSIQYCTGLTSLPDQIGYLTSLSSLNIRGCPNLVSFPDGVQSLNNLSKLIIDECPYLEKRCAKKRGEDWPKIAHIPSIEINFKEIQ >Potri.005G127200.1.v4.1 pep chromosome:Pop_tri_v4:5:9571615:9573845:1 gene:Potri.005G127200.v4.1 transcript:Potri.005G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127200.v4.1 MTRGNQSCKTRGGDIPPPPVQSITHSQFAEDFSSYEDACKLDPCLQSFDATLMEKTNHVINSLSTGDIATGSSGSFKAVTNCLLEMNQDVVKFILESKEDIWNNPELFVLVEEYFGSSIKTMDFCTELESCVTSARTSQLNIMAAIAHFEKEVELQDGVIEKKYVKTLEELQNFMVAGDPFTPKFFMLFQSVYEQQVSMLKKLQSHKRKLDKKLKSVKIWRRVSNVLFVSVFVTVMIFAVAAAAIAAPPVVTALASALADPMRSVGTWCNLLWHRYENALKEQKVLVNAIQVGTFITIKDMESIRVLVNKLEMEIKSLLHHADFAIREVDVVKLVIDEIKKKMAVFTETFEDLAAQAHRCNHDIILGRTMISKRIIEFAGK >Potri.012G053400.1.v4.1 pep chromosome:Pop_tri_v4:12:5079396:5079689:-1 gene:Potri.012G053400.v4.1 transcript:Potri.012G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053400.v4.1 MEKVASTFGAGVIKRYRRRRLYRRLSDKNNVRGRSRWKQWRIRVMPKLQQKSVLQMKLLRRFRDGYVKRMLCFARQFVQLNNGTVFLFRRISKAPQL >Potri.002G155400.2.v4.1 pep chromosome:Pop_tri_v4:2:11876309:11879137:1 gene:Potri.002G155400.v4.1 transcript:Potri.002G155400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155400.v4.1 MIKFGFSIWSCYGLRCVVRKPAVAMIAGVDGGGKTTSLGKLAYRLKKSGEKILMAAGDTFRAATSDQLEMWTEGSGCLHTNYSLMDELKACKKAAGKIVRGAPDVVGITGFILTKLDGSARGGCVVSVDDELGIPVKFVGVGEGVEDLQPFDAEAFVNAIFSTRRSCRR >Potri.003G124700.1.v4.1 pep chromosome:Pop_tri_v4:3:14470541:14473670:1 gene:Potri.003G124700.v4.1 transcript:Potri.003G124700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124700.v4.1 MENPSPAPPSTTQCWSNIVKNHQPSPPPAPSQQQQDQLYVESCKSTHGISVAVIDANAIIQGGEKLNNFADKFVTVPEVLAEIRDPVSRHRLAFIPFSIDCREPSPDSLNKVIKFARATGDLQTLSDVDLKLIALTYTLETQLHGTKHIRDAPPPVHTVNVKRLPEKDMPGWGSNVPNLEEWEALEQETGDGIDPSSKILPLKDISLNVMPADDQSEDGSTGIGGEANSENFEDVEHGSRKPRRFPPKKKDINLEGKKMVAGGIDASQGQFDDNAGDWMPAVSRSTHRRYLRRKGRREFNEALLEKDSQQDLEKSMDDSTIEVASVPDQVLHQSLISEESMLTEVKNCDGDVSLILKQMRLEEGSLEAAQGEKGENSTSAETEINDSRDMEASLDHIENIDVETRRVDIASAESDHLEISSQIHESVDASYSDGNDSEQSWMVRSLSESSVACVTSDFAMQNVLLQMGLRLLAPGGNQIRQLHRWILKCHACYTVTAEIGRIFCPKCGNGGTLRKVAVTVGENGIVLADHRPRITLRGTKFSLPSPQGGRDAITKNLILREDQLPQKFLYPKTKKKVNKEGDDIFSVRDDIFGHHNDKKTPFRPPIRQALAVFSGKRNPNDNHYARPKH >Potri.016G014100.1.v4.1 pep chromosome:Pop_tri_v4:16:747988:749716:-1 gene:Potri.016G014100.v4.1 transcript:Potri.016G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G014100.v4.1 MKKTQLVFVPAPGFGHLVSAVQLAKMVLERNDSFLITMLAINNPFYGGISKNTESLASIHTEIRFVEIPDTIPTPPPEALAVSPASAFTSYINDHKTLVKDTIVNLVMAHNPAPIASVVVDMFCTVFIDVAKELGVPSHVFFTSDAAFLAMTLYLSDREDKGEPKFSPTDPDYIIPCYSNPVPYRVMPVLHTDVEYEALANHGREFKDSNGIIVNTFSEAESHAVSALLARDDIPPIFNVGPLIDHKGKSLSGSDAVKRDEILKWLDDQPEKSVVFLCFGSGGGFDEAQLKEIAIGLERSGHRFLWSVRLKPSRGKLQASFFDNYGEILPQGFLERTKNIGMLCGWAPQVEILAHKAVGAFVSHCGWNSTLEALWYAVPIITWPLYAEQHMNAFQLVKDLGLAVELTLDFRRDCPTDFVKAEVITKAVKTVMEHGGELRNKAKETSEMAKKAVMEGGSSYVAFGNLIDQWLGCKP >Potri.012G090000.1.v4.1 pep chromosome:Pop_tri_v4:12:11519000:11520978:1 gene:Potri.012G090000.v4.1 transcript:Potri.012G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090000.v4.1 MALLQWLKECSKPTLLVVTIFLVVVLKFLMKEKLKKRKLNLPPSPAKLPIIGNLHQLGNMPHISLRGLAKKYGPIIFLQLGEIPTVVISSAGLAKEVLKTHDLVLSSRPQLFSAKHLFYGCTDIVFAPYGAYWRNIRKICILELLSAKRVHWYSFVREEEVARLIRRIAESYPGITNLSSMIALYANDVLCRIALGKDFSGGGEYDRHGFQKMLDDYQALLGGFSLGDYFPSMEFVHSLTGMKSKLQYTFRRFDQFFDEVIAEHRSSKGKQEEEKDLVDVLLDIQKDGSSEIPLTMDNIKAVILDMFAAGTDTNFITLDWAMTELIMNPHVMEKAQAEVRSVVGDRRVVQESDLRRLNYMKAVIKEIFRLHPAAPVLVPRESLEDVVIDGYNIPAKTRIYVNVWGMGRDPELWENPETFEPERFMGSGIDFKGQDFELIPFGAGRRSCPAITFGVATVEIALAQLLHSFDWKLPPGLEAKDIDNTEAFGISMHRTVPLHVIAKPHFD >Potri.016G110000.2.v4.1 pep chromosome:Pop_tri_v4:16:11256336:11263094:1 gene:Potri.016G110000.v4.1 transcript:Potri.016G110000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110000.v4.1 MDIFPRGYSGLWLQIASVWFLVMLMQNFRGSLSTSNYLIGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRARAFIVAEPQGSRVVYVNLDACMASQIVTIKVLERLKARYGGLYTEQNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSSYLNNPAEERSKYKYDVDKEMTLLKFVDDEWGAVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKKGHVENLDSQHANKSGTAKIPRRVSSIVPSINENRKEAMEVAASFKSSQGQPATRFSSVAKRVRNSLRLADRPQFVSAFCQTNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGKNEQCYGRGPGYPDEFESTRIIGERQFKKAVELFNKATEQLKGKVGYRHAYLNFSNLEVAQGNDVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWRLVRDFLKTPNQEQVDCQRPKPILLDTGEMDKPYAWAPSILPVQILRIGQLVILSVPGEFTTMAGRRLRDAVKMVLTSGASKEFGRNVHVVISGLTNTYSQYVTTFEEYEVQRYEGASTLYGPHTLSAYIQEFRKLAAALISGRPVEPGPQPPDLLDEQISLLTPVVLDSTRSGAKFGDVKSDVPLNSTFKRGDMVTVTFWSACPRNDLLTEGTFALVEILQGQKTWVPAYDDDDFCLRFIWSRPSKLSPQSYATIEWRIPQSAVSGVYRVRHFGAAKALFGSISHFTGSSSAFVVA >Potri.016G110000.6.v4.1 pep chromosome:Pop_tri_v4:16:11256537:11262994:1 gene:Potri.016G110000.v4.1 transcript:Potri.016G110000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110000.v4.1 MDIFPRGYSGLWLQIASVWFLVMLMQNFRGSLSTSNYLIGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRARAFIVAEPQGSRVVYVNLDACMASQIVTIKVLERLKARYGGLYTEQNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSSYLNNPAEERSKYKYDVDKEMTLLKFVDDEWGAVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKKGHVENLDSQHANKSGTAKIPRRVSSIVPSINENRKEAMEVAASFKSSQGQPATRFSSVAKRVRNSLRLADRPQFVSAFCQTNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGKNEQCYGRGPGYPDEFESTRIIGERQFKKAVELFNKATEQLKGKVGYRHAYLNFSNLEVAQGNDVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWRLVRDFLKTPNQEQVDCQRPKPILLDTGEMDKPYAWAPSILPVQILRIGQLVILSVPGEFTTMAGRRLRDAVKMVLTSGASKEFGRNVHVVISGLTNTYSQYVTTFEEYEVQRYEGASTLYGPHTLSAYIQEFRKLAAALISGRPVEPGPQPPDLLDEQISLLTPVVLDSTRSGAKFGDVKSDVPLNSTFKRGDMVTVTFWSACPRNDLLTEGTFALVEILQGQKTWVPAYDDDDFCLRFIWSRPSKLSPQSYATIEWRIPQSAVSGVYRVRHFGAAKALFGSISHFTGSSSAFVVA >Potri.016G110000.5.v4.1 pep chromosome:Pop_tri_v4:16:11256468:11263090:1 gene:Potri.016G110000.v4.1 transcript:Potri.016G110000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110000.v4.1 MDIFPRGYSGLWLQIASVWFLVMLMQNFRGSLSTSNYLIGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRARAFIVAEPQGSRVVYVNLDACMASQIVTIKVLERLKARYGGLYTEQNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSSYLNNPAEERSKYKYDVDKEMTLLKFVDDEWGAVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKKGHVENLDSQHANKSGTAKIPRRVSSIVPSINENRKEAMEVAASFKSSQGQPATRFSSVAKRVRNSLRLADRPQFVSAFCQTNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGKNEQCYGRGPGYPDEFESTRIIGERQFKKAVELFNKATEQLKGKVGYRHAYLNFSNLEVAQGNDVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWRLVRDFLKTPNQEQVDCQRPKPILLDTGEMDKPYAWAPSILPVQILRIGQLVILSVPGEFTTMAGRRLRDAVKMVLTSGASKEFGRNVHVVISGLTNTYSQYVTTFEEYEVQRYEGASTLYGPHTLSAYIQEFRKLAAALISGRPVEPGPQPPDLLDEQISLLTPVVLDSTRSGAKFGDVKSDVPLNSTFKRGDMVTVTFWSACPRNDLLTEGTFALVEILQGQKTWVPAYDDDDFCLRFIWSRPSKLSPQSYATIEWRIPQSAVSGVYRVRHFGAAKALFGSISHFTGSSSAFVVA >Potri.016G110000.4.v4.1 pep chromosome:Pop_tri_v4:16:11256336:11263162:1 gene:Potri.016G110000.v4.1 transcript:Potri.016G110000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110000.v4.1 MDIFPRGYSGLWLQIASVWFLVMLMQNFRGSLSTSNYLIGLGSYDITGPAADVNMMGYANTEQIASGVHFRLRARAFIVAEPQGSRVVYVNLDACMASQIVTIKVLERLKARYGGLYTEQNVAISGIHTHAGPGGYLQYVVYIVTSLGFVRQSFDVLVDGIEKSIIQAHENLRPGSIFVNKGELLDAGVNRSPSSYLNNPAEERSKYKYDVDKEMTLLKFVDDEWGAVGSFNWFATHGTSMSRTNSLISGDNKGAAARFMEDWFEKKGHVENLDSQHANKSGTAKIPRRVSSIVPSINENRKEAMEVAASFKSSQGQPATRFSSVAKRVRNSLRLADRPQFVSAFCQTNCGDVSPNVLGAFCIDTGLPCDFNHSTCNGKNEQCYGRGPGYPDEFESTRIIGERQFKKAVELFNKATEQLKGKVGYRHAYLNFSNLEVAQGNDVVKTCPAAMGFAFAAGTTDGPGAFDFKQGDDKGNAFWRLVRDFLKTPNQEQVDCQRPKPILLDTGEMDKPYAWAPSILPVQILRIGQLVILSVPGEFTTMAGRRLRDAVKMVLTSGASKEFGRNVHVVISGLTNTYSQYVTTFEEYEVQRYEGASTLYGPHTLSAYIQEFRKLAAALISGRPVEPGPQPPDLLDEQISLLTPVVLDSTRSGAKFGDVKSDVPLNSTFKRGDMVTVTFWSACPRNDLLTEGTFALVEILQGQKTWVPAYDDDDFCLRFIWSRPSKLSPQSYATIEWRIPQSAVSGVYRVRHFGAAKALFGSISHFTGSSSAFVVA >Potri.013G029200.4.v4.1 pep chromosome:Pop_tri_v4:13:1900382:1905307:-1 gene:Potri.013G029200.v4.1 transcript:Potri.013G029200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029200.v4.1 MEQEFNNTKAENLAEVSMKSQSMDNNNLETENPTEALSKSLSETNNNEDGNHAEASTKDQSSRKRTPKPLRANSIAIKKSSTSNSLKSKKAKSSPKIQGKNRKKNLLQSNEESRKNEHGDAKNLNSSEKNVSDKERIEKGQENQKNQERLVGSNKGRKNQKREEKLGGSDKSSTSEKNKGKLDGKEKNEQDEKKKEKLGGMIFMCSAKTKPDCFRYRVMGVTMNKKELILGVKPGLKLFLYDFDLKLMYGIYEASSSGGVKLEPRAFGGSFPVQVRFDVHKDCYPINESVFKKAIKDNYNEKNKFKTELTVQQVRKLSALFPPVRAPVHSPLTVTVQDRELYAGARELRIHSDRKAFARANYDARSYPVLSDVRDRRVEYREVGSTQRDEIPRDLFMSEKDYRTYGLSGERRTYGLSGEGINLPPSLHMSSTLDPYSRDQEREHLLRQPYPIYRDMVPLQREAVVADPFYLNQAYNPGGIRELLPATTSITATTSGSSLPALDPYTRDPYYTYHYGASSADAYLPPPRRDEVSSGSYYADGPRETCLFEADHLRRRATDQVDRLYSTNAADASSNYNQLLQYHGAKPETAPPSVSSRYSFAGPSVPYR >Potri.013G029200.2.v4.1 pep chromosome:Pop_tri_v4:13:1900110:1905443:-1 gene:Potri.013G029200.v4.1 transcript:Potri.013G029200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029200.v4.1 MEQEFNNTKAENLAEVSMKSQSMDNNNLETENPTEALSKSLSETNNNEDGNHAEASTKDQSSRKRTPKPLRANSIAIKKSSTSNSLKSKKAKSSPKIQGKNRKKNLLQSNEESRKNEHGDAKNLNSSEKNVSDKERIEKGQENQKNQERLVGSNKGRKNQKREEKLGGSDKSSTSEKNKGKLDGKEKNEQDEKKKEKLGGMIFMCSAKTKPDCFRYRVMGVTMNKKELILGVKPGLKLFLYDFDLKLMYGIYEASSSGGVKLEPRAFGGSFPVQVRFDVHKDCYPINESVFKKAIKDNYNEKNKFKTELTVQQVRKLSALFPPVRAPVHSPLTVTVQDRELYAGARELRIHSDRKAFARANYDARSYPVLSDVRDRRVEYREVGSTQRDEIPRDLFMSEKDYRTYGLSGERRTYGLSGEGINLPPSLHMSSTLDPYSRDQEREHLLRQPYPIYRDMVPLQREAVVADPFYLNQAYNPGGIRELLPATTSITATTSGSSLPALDPYTRDPYYTYHYGASSADAYLPPPRRDEVSSGSYYADGPRETCLFEADHLRRRATDQVDRLYSTNAADASSNYNQLLQYHGAKPETAPPSVSSRYSFAGPSVPYR >Potri.016G048600.2.v4.1 pep chromosome:Pop_tri_v4:16:3127766:3131703:-1 gene:Potri.016G048600.v4.1 transcript:Potri.016G048600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048600.v4.1 MAESTEKQRDSEPKQHEEADGDDYMGDLSQFLPPETTNPSKSSAKKTVNKETPTFQSFNKKSKNISWQEQRRLEREKKQQEEDEQTMARIEAPIPPSNIGFKLLKQMGYTPGSALGKEGSGRAEPVGIQIRRTRVGIGREEPHKEKRKREEIEAERNRMKESELMEEFGSRQKSQWRSRRVVVNFMKAKAALDQLENKEVVEPKKNEDEEDGEQDEEEEEEITEEDLQELLMKLRDEYQYCPFCGFQYETVEALQSNCPGINEDDH >Potri.016G048600.3.v4.1 pep chromosome:Pop_tri_v4:16:3127833:3131701:-1 gene:Potri.016G048600.v4.1 transcript:Potri.016G048600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G048600.v4.1 MARIEAPIPPSNIGFKLLKQMGYTPGSALGKEGSGRAEPVGIQIRRTRVGIGREEPHKEKRKREEIEAERNRMKESELMEEFGSRQKSQWRSRRVVVNFMKAKAALDQLENKEVVEPKKNEDEEDGEQDEEEEEEITEEDLQELLMKLRDEYQYCPFCGFQYETVEALQSNCPGINEDDH >Potri.005G050300.3.v4.1 pep chromosome:Pop_tri_v4:5:3187907:3190249:-1 gene:Potri.005G050300.v4.1 transcript:Potri.005G050300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050300.v4.1 MTVFMRKSAFRASASAFQLQQQQMEMGISPFLPDFPCFLFFNHHHFLTSTSTKKPSLPKNNDGFVSNNSNNVCVDDALASFYRMVRINPRPSAVEFGKFLGSFAKKKQYSTVVSLCNQMDLFRVTHNVYSLNILINCLCRLNHVDFSVSVLGKMFKLGIHPDAITFNALINGLCNEGKIKEAVELFNEMVKRGHEPNVISYNTIINGLFKSGNRNMADHVFKKMEQNGCKPNVVTYNTIIDNLCKNRLVNDAMEFLSEMLDRGIPPNVVTYNSIVHGFCNLGQLNEATRLFKEMVGRDVMPDTVSFTILVDGLCKEGMVSEARCVFETMTEKGVEPNIYTYNALMDGYCLQHQMNEAKKVFEIMVRKGCGPVVHSYNILINGYCQSRMMDEAKSLLAEMSEKELTPDTVTYSTLMQGLCQVGRPQEALNLFKEMCSTGLLPNLVTYSILLDGFWKHGHLDEALKLLQSMQEKKLEPDIVFYTILIEGMFIAGKLEVAKELFSKLFVDGIRPTVHTYSVMIKGLLKERLSDEAYRLFRKMEDDGFMPDSCSYNVIIQGFLQIRDSSTAVRLIDEMVGKGFSADSTTFQMLLDLESDDEIISRFMSGSSRGRKMK >Potri.012G137700.1.v4.1 pep chromosome:Pop_tri_v4:12:15117681:15122158:1 gene:Potri.012G137700.v4.1 transcript:Potri.012G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G137700.v4.1 MEFREIEEGGTVTRTWEEMDIDILVKIFQSLTVFELTSGIAHVCSAWRLAACDPWLWKTLDLSMLESNFIKIPLEPYVYVHGHSDKTLTRILKISLSLSRGNITSLFFHFNLYVSEDHLTYTAQRCPRLRRLVLPAWNRVETVMIKAIDLWKDLESLTMPSIVNSPRLVQAIATNCRNFSELKIMGPFDIYFASSLATYLPTLKVLSLRCSKLYKDTLIFILDNLRCLDVLNISHCLLIQIPPPPAPGRIVRRLDKTILEKASRLRKFLTCMRDTCIMCQRTRNDEGLMRWYKYEKGLWKEDEVRSLAL >Potri.018G106900.5.v4.1 pep chromosome:Pop_tri_v4:18:12558271:12563307:1 gene:Potri.018G106900.v4.1 transcript:Potri.018G106900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106900.v4.1 MSGAPRVRSMNVADSEARSVLGPTGNNKAGPLSARKPVSKQSRKVEKSPEEVKLGEEKKTLTVPAVGTLSPKSHSLNISSVLRRHELLLHSNLSLNASCSSDASTDSFHSRASTGRLTRSNSAGTRRKQYVLRPRSFVSEGGLESPPSPDDSQSKKSCAWVTPNTDPCYATFHDEEWGVPIHDDRKLFELLVLSGALAELTWPAILSKRHIFREVFADFDPIAVSKFNEKKILAPGSTATSLLSELKLRAIVENARQISKVIDEFGSFDKYIWSFVNYKPIVSRFRYPRQVPVKTPKADAISKDLVRRGFRSVGPTVIYSFMQVAGITNDHLISCFRFQECLDAAEGKVENGIKSEDIKTNDVMESKISIAIDELSFSSE >Potri.001G237200.6.v4.1 pep chromosome:Pop_tri_v4:1:25498266:25502603:-1 gene:Potri.001G237200.v4.1 transcript:Potri.001G237200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237200.v4.1 MNLQLLIFESNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGQPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMTELAETVKELINPGVEINMVENTPDDPRQRKPDITKAKALLGWEPKVKLRDGLPLMEEDFRLRLGVSKKK >Potri.001G237200.4.v4.1 pep chromosome:Pop_tri_v4:1:25498281:25503235:-1 gene:Potri.001G237200.v4.1 transcript:Potri.001G237200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237200.v4.1 MASNGDHQTTVKPVKPPPSPSPLRNSKFSKSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGQPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMTELAETVKELINPGVEINMVENTPDDPRQRKPDITKAKALLGWEPKVKLRDGLPLMEEDFRLRLGVSKKK >Potri.001G237200.5.v4.1 pep chromosome:Pop_tri_v4:1:25498301:25503063:-1 gene:Potri.001G237200.v4.1 transcript:Potri.001G237200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237200.v4.1 MASNGDHQTTVKPVKPPPSPSPLRNSKFSKSNMRILVTGGAGFIGSHLVDKLMENEKNEVIVADNYFTGSKDNLRKWIGQPRFELIRHDVTEPLLVEVDQIYHLACPASPIFYKYNPVKTIKTNVIGTLNMLGLAKRVGARILLTSTSEVYGDPLVHPQPESYWGNVNPIGVRSCYDEGKRVAETLMFDYHRQHGIEIRIARIFNTYGPRMNIDDGRVVSNFIAQALRGEPLTVQKPGTQTRSFCYVSDMVDGLIRLMEGENTGPINIGNPGEFTMTELAETVKELINPGVEINMVENTPDDPRQRKPDITKAKALLGWEPKVKLRDGLPLMEEDFRLRLGVSKKK >Potri.013G124050.1.v4.1 pep chromosome:Pop_tri_v4:13:13253685:13258213:-1 gene:Potri.013G124050.v4.1 transcript:Potri.013G124050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124050.v4.1 MATELEELVGFLSSPSPPVKKAAVEIVRDLTGSEDGLLSLSKYASTVLPSLSQLLKEKKEVSEPAAEALINLSLNSNLAAKMVEMGMIKTAMDVLYKPDSSITRLLVMLLVNLTQLDSGIVSLLQIEDEKMQGLFVMKLVRSFGRSSDETRDDPFDHVGSILVNISKKEAGRKMLLDSKRGLLKQILRQFDSTSPLRKKGVSGTLRNCCFEAENQLQNFLLISEFLWPALLLPVAGKKIYSEQDTSKMPLELGSALSIEREPWDDPEIRVEALESIYLIIVQEAGLRALWSVNGPRILQVGYEDEEDPKVMEAYERVGSLLVHGCGTEEPSTETSK >Potri.013G124050.2.v4.1 pep chromosome:Pop_tri_v4:13:13253692:13258213:-1 gene:Potri.013G124050.v4.1 transcript:Potri.013G124050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124050.v4.1 MFQEVSEPAAEALINLSLNSNLAAKMVEMGMIKTAMDVLYKPDSSITRLLVMLLVNLTQLDSGIVSLLQIEDEKMQGLFVMKLVRSFGRSSDETRDDPFDHVGSILVNISKKEAGRKMLLDSKRGLLKQILRQFDSTSPLRKKGVSGTLRNCCFEAENQLQNFLLISEFLWPALLLPVAGKKIYSEQDTSKMPLELGSALSIEREPWDDPEIRVEALESIYLIIVQEAGLRALWSVNGPRILQVGYEDEEDPKVMEAYERVGSLLVHGCGTEEPSTETSK >Potri.003G150600.1.v4.1 pep chromosome:Pop_tri_v4:3:16373322:16374195:-1 gene:Potri.003G150600.v4.1 transcript:Potri.003G150600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G150600.v4.1 MADLQKSKHPRQQPRSHQVVKATTAVTTGGSLLVVSSLTFTATVILLTVATPLLIIFSPVIVPAVITIYLLLMGFLASGGFGVTGITVMSWMYRYVTGRHPPGAEQLDQAGMKLVGKAREMKERGEQFGLQAAQ >Potri.008G101500.5.v4.1 pep chromosome:Pop_tri_v4:8:6406061:6410155:1 gene:Potri.008G101500.v4.1 transcript:Potri.008G101500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101500.v4.1 MNSSSCIGISTMKPCCRIIISYRSFSHFGVSLSRSNNNSVIHTNLSKSHPKSVYNHEFHCCNNRSWSQDTGHKCIVNLDRRGFNVCDWNWGHARGFSSGFLVDKGSSSRGVLVIPKVASDIRNHSTSVEGHVNTKGFESIYIQGGLNVKPLVIEKIETESDVAKEGKEETSSNRVEINGSEVNTNFFKGLNESTTPKVVEREVSKIEKEAWQLLRGTIVNYCGNPVGTVAANDPADRQPLNYDQVFIRDFVPSALAFLLNGEMEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVKTVPLDGSDGGFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLGLNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLIVNDETKNLVAAINNRLSALSFHIREYYWVDMRKINEIYRYNTEEYSTDAVNKFNIYPDQIPSWLVDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWAIVSSLGTSKQNEGILNLIEARWDDLMGHMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKPELAQKAIALAETRLSMDQWPEYYDTRSGRFIGKQSRLFQTWTISGFLTSKMLLENPDKASLLFLEEDYELLEICVCALSKTGRKKCSRFAARSQILV >Potri.008G101500.3.v4.1 pep chromosome:Pop_tri_v4:8:6406062:6410224:1 gene:Potri.008G101500.v4.1 transcript:Potri.008G101500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101500.v4.1 MNSSSCIGISTMKPCCRIIISYRSFSHFGVSLSRSNNNSVIHTNLSKSHPKSVYNHEFHCCNNRSWSQDTGHKCIVNLDRRGFNVCDWNWGHARGFSSGFLVDKGSSSRGVLVIPKVASDIRNHSTSVEGHVNTKGFESIYIQGGLNVKPLVIEKIETESDVAKEGKEETSSNRVEINGSEVNTNFFKGLNESTTPKVVEREVSKIEKEAWQLLRGTIVNYCGNPVGTVAANDPADRQPLNYDQVFIRDFVPSALAFLLNGEMEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVKTVPLDGSDGGFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLGLNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLIVNDETKNLVAAINNRLSALSFHIREYYWVDMRKINEIYRYNTEEYSTDAVNKFNIYPDQIPSWLVDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWAIVSSLGTSKQNEGILNLIEARWDDLMGHMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKPELAQKAIALAETRLSMDQWPEYYDTRSGRFIGKQSRLFQTWTISGFLTSKMLLENPDKASLLFLEEDYELLEICVCALSKTGRKKCSRFAARSQILV >Potri.008G101500.2.v4.1 pep chromosome:Pop_tri_v4:8:6406062:6410230:1 gene:Potri.008G101500.v4.1 transcript:Potri.008G101500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101500.v4.1 MNSSSCIGISTMKPCCRIIISYRSFSHFGVSLSRSNNNSVIHTNLSKSHPKSVYNHEFHCCNNRSWSQDTGHKCIVNLDRRGFNVCDWNWGHARGFSSGFLVDKGSSSRGVLVIPKVASDIRNHSTSVEGHVNTKGFESIYIQGGLNVKPLVIEKIETESDVAKEGKEETSSNRVEINGSEVNTNFFKGLNESTTPKVVEREVSKIEKEAWQLLRGTIVNYCGNPVGTVAANDPADRQPLNYDQVFIRDFVPSALAFLLNGEMEIVKNFLLHTLQLQSWEKTVDCYSPGQGLMPASFKVKTVPLDGSDGGFEEVLDPDFGESAIGRVAPVDSGLWWIILLRAYGKITGDYALQERVDVQTGIRLGLNLCLSDGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALRCAREMLIVNDETKNLVAAINNRLSALSFHIREYYWVDMRKINEIYRYNTEEYSTDAVNKFNIYPDQIPSWLVDWIPEEGGYLIGNLQPAHMDFRFFTLGNLWAIVSSLGTSKQNEGILNLIEARWDDLMGHMPLKICYPALEYEEWRIITGSDPKNTPWSYHNGGSWPTLLWQFTLACIKMGKPELAQKAIALAETRLSMDQWPEYYDTRSGRFIGKQSRLFQTWTISGFLTSKMLLENPDKASLLFLEEDYELLEICVCALSKTGRKKCSRFAARSQILV >Potri.014G057800.1.v4.1 pep chromosome:Pop_tri_v4:14:3675590:3678893:-1 gene:Potri.014G057800.v4.1 transcript:Potri.014G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057800.v4.1 MENGGNKGQENDHANLPWEIWELNTSRFDLVNSSNNTAAAAADTFFTTTATSVVESGSSCTHPEGTSAHGFLFPYQNSWLYHHSNNNSYQQLSLYGGDGSNLPPDPHLMCLKLGKRHYFENSTSNNNHSNHPVSDRHEVGGFSIGKRGKPYYSVGGGGGAHDGPSSSTSVNVPRCQVEGCHVALLNAKDYHRRHKVCEMHSKAPKVIVLGLEQRFCQQCSRFHVVSEFDDAKRSCRRRLAGHNERRRKSSQDPVSRNSSQEKLMAGRFPYIASPTGCALSLLSSKADSWVSPSDLSSRSSAALRELIAEHRTAILSRQLVLEKHLHHHAMEDLGESQPSSNFLITHQQQVLSEPHNWGRLNDTSTHVTLDLMQAPSSAFGFLSPRGKNKEEEEECSELWSTMEGAHVV >Potri.014G057800.2.v4.1 pep chromosome:Pop_tri_v4:14:3675591:3678837:-1 gene:Potri.014G057800.v4.1 transcript:Potri.014G057800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057800.v4.1 MENGGNKGQENDHANLPWEIWELNTSRFDLVNSSNNTAAAAADTFFTTTATSVVESGSSCTHPEGTSAHGFLFPYQNSWLYHHSNNNSYQQLSLYGGDGSNLPPDPHLMCLKLGKRHYFENSTSNNNHSNHPVSDRHEVGGFSIGKRGKPYYSVGGGGGAHDGPSSSTSVNVPRCQVEGCHVALLNAKDYHRRHKVCEMHSKAPKVIVLGLEQRFCQQCSRFHVVSEFDDAKRSCRRRLAGHNERRRKSSQDPVSRNSSQGMYVMIL >Potri.003G214900.1.v4.1 pep chromosome:Pop_tri_v4:3:21118277:21119947:1 gene:Potri.003G214900.v4.1 transcript:Potri.003G214900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214900.v4.1 MKLSKLMVVALFYAFLVGGPLAYGQLTPTFYDETCPSVVSIIRGVIAETLIFDRRIGASLIRLHFHDCFVNGCDGSILLDKTATIDTEKEAFANNNSARGFDVVDIMKERLEGVCPDTVSCADILAIAAEESVVLAGGPWWPVPLGRRDSLTANRTAANAFIPGPRDTLERLRSRFTVVGLNNNTDLVALSGAHTFGRAQCRTFIDRLYNFNNTGLPDPTLDTTSLATLQQLCPQGGNGTVLADLDPTTPDGFDNNYFSNLQANKGLLQSDQELFSTPGADDIIELVNIFSNDETAFFESFVESMIRMGNLSPLTGTEGEIRLNCRVVNANLAGKDSVLVSSV >Potri.001G131300.3.v4.1 pep chromosome:Pop_tri_v4:1:10684395:10687151:-1 gene:Potri.001G131300.v4.1 transcript:Potri.001G131300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131300.v4.1 MDFLLEGINEDTSECHFDDKDIQRCPFLRNINKPTSFSFSPVNFLNPVHGAKGPIFEDGPNFGMAFKLFHGKDGVVPLSNQSSFHDNTLEPEPAPQFNPLAAKAATISLSAFGPGGPFGFGSFNDKWKNQKKKSESASKKEPSSQKGNTSKHEAMGNEWLETGNCPIAKSYRAVSRVLPLVASTLQPPPSMKLRCPPAIVAARAALARTALVKNLRPQPLPAKMLVIALLGMAVNVPLGMWKEHTEKFSLQWFAAVHAAVPFIAMLRKSVLMPKTAMALTIGASILGQIIGSRAERHRLKTGASKERLKVKTAIAAAVDRYSPSQVSGNAGSNCGTEMSWDPLLIKASGSKSSTSVCF >Potri.001G131300.1.v4.1 pep chromosome:Pop_tri_v4:1:10684517:10687156:-1 gene:Potri.001G131300.v4.1 transcript:Potri.001G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131300.v4.1 MDFLLEGINEDTSECHFDDKDIQRCPFLRNINKPTSFSFSPVNFLNPVHGAKGPIFEDGPNFGMAFKLFHGKDGVVPLSNQSSFHDNTLEPEPAPQFNPLAAKAATISLSAFGPGGPFGFGSFNDKWKNQKKKSESASKKEPSSQKGNTSKHEAMGNEWLETGNCPIAKSYRAVSRVLPLVASTLQPPPSMKLRCPPAIVAARAALARTALVKNLRPQPLPAKMLVIALLGMAVNVPLGMWKEHTEKFSLQWFAAVHAAVPFIAMLRKSVLMPKTAMALTIGASILGQIIGSRAERHRLKTGASKERLKVKTAIAAAVDRYSPSQVSGNAGSNCGTEMSWDPLLIKASGSKSSTSVCF >Potri.003G184400.1.v4.1 pep chromosome:Pop_tri_v4:3:18905686:18909058:1 gene:Potri.003G184400.v4.1 transcript:Potri.003G184400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184400.v4.1 MEDGNRLQVVMFPWLATGHLIPFLQLSKLLAEKGHKIFFVSTPRNLNRLPKIPKQLSSEIILVSFPFPHVPNLPSCAESSTDVPYTKQQLLKKGFDLLEPPLTTFLESSKPDWIFYDYASHWLPSVAARLGISCAFFSLFTAACLSYIGPPSALMTIGDPRSKAEDFTVVPKWIPFESDLVFRLHEVTKYVEKTEEDETGPSDLIRFGFAAGGSDVVIIRSSPEFEPEWFNLLHDQLYKKPIIPVGFLPPIVEHNEEDDNIDGHEWSNIKEWLDKQKVHSVVYVAIGTEASLSGEELKELALGLENSTLPFFWVLNKIPGSTKNALDMLPDGFQERVKNRGIIHGGWAPQVKILSHDSVGGFMTHCGWNSIIEGLTFGRVLILLPILNEQGLNSRLLHGKKLGLEIPRKEQDGSFTWASVAESMRTAMVDDSGVSWRNRAREIRYLFGDVDRNNCFVARLVNYLTENKKARVP >Potri.003G184400.2.v4.1 pep chromosome:Pop_tri_v4:3:18905684:18909058:1 gene:Potri.003G184400.v4.1 transcript:Potri.003G184400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184400.v4.1 MEDGNRLQVVMFPWLATGHLIPFLQLSKLLAEKGHKIFFVSTPRNLNRLPKIPKQLSSEIILVSFPFPHVPNLPSCAESSTDVPYTKQQLLKKGFDLLEPPLTTFLESSKPDWIFYDYASHWLPSVAARLGISCAFFSLFTAACLSYIGPPSALMTIGDPRSKAEDFTVVPKWIPFESDLVFRLHEVTKYVEKTEEDETGPSDLIRFGFAAGGSDVVIIRSSPEFEPEWFNLLHDQLYKKPIIPVGFLPPIVEHNEEDDNIDGHEWSNIKEWLDKQKVHSVVYVAIGTEASLSGEELKELALGLENSTLPFFWVLNKIPGSTKNALDMLPDGFQERVKNRGIIHGGWAPQVKILSHDSVGGFMTHCGWNSIIEGLTFGRVLILLPILNEQGLNSRLLHGKKLGLEIPRKEQDGSFTWASVAESMRTAMVDDSGVSWRNRAREIRYLFGDVDRNNCFVARLVNYLTENKKARVP >Potri.007G115600.2.v4.1 pep chromosome:Pop_tri_v4:7:13564637:13565035:-1 gene:Potri.007G115600.v4.1 transcript:Potri.007G115600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G115600.v4.1 MLLLSADASAHFPFTFGCTHHAHSQLLFSSLHHTSHPAHVTISKCHTQHREMQGTKAYTVPFLGVDGTRIMWCLFAMKKSAWNPKELAFQLLKAKPRMIIRHSLANDILVWVPAKDPGHKFITHLSMPIMDQ >Potri.007G073000.2.v4.1 pep chromosome:Pop_tri_v4:7:9543809:9546862:1 gene:Potri.007G073000.v4.1 transcript:Potri.007G073000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073000.v4.1 MGRGKIAIRRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKLCQYCTEGLRMEQLIERYQKISGTRIPEHDSQEQLFGELAMLRNETRRLQSNMRRYTGEDTSSIPFEELDEVEQELERSVIKVRDRKNELLHQQLENLRRKERMLEEENSNMYRWIQEHRVALEYQHAAMEAKPVEPQQVLDQFPFCGEPSSVLQLSTISHQIDPYHLQLAQPCLQGSSV >Potri.007G073000.3.v4.1 pep chromosome:Pop_tri_v4:7:9543809:9546862:1 gene:Potri.007G073000.v4.1 transcript:Potri.007G073000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G073000.v4.1 MGRGKIAIRRIENQTTRQVTFSKRRAGLLKKTHELSVLCDAQIGLIIFSSTGKLCQYCTEGLRMEQLIERYQKISGTRIPEHDSQEQLFGELAMLRNETRRLQSNMRRYTGEDTSSIPFEELDEVEQELERSVIKVRDRKNELLHQQLENLRRKERMLEEENSNMYRWIQEHRVALEYQHAAMEAKPVEPQQVLDQFPFCGEPSSVLQLSTISHQIDPYHLQLAQPCLQGSSV >Potri.019G093600.3.v4.1 pep chromosome:Pop_tri_v4:19:13061613:13066158:-1 gene:Potri.019G093600.v4.1 transcript:Potri.019G093600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G093600.v4.1 MGNTMQKPQGTEVEGTEQLQQEEDSNFTCEICSDPMLSTRNFKNGIVCKHPFCLDCITKYIEITVEETSGCIECPGLNCKQLLDPLSCRPIISKPIFEKWCDRLCDSMVLGSESCYCPYRDCSVLVLNECKDKLKKINCPNCKKNFCFLCKIPWHTGYRCSESRHLRDRNDILAGELIEEKKWTRCYNCSHSVERVSGCRDIKCRCGFRFCHQCGGPFHSGLCKHKRCGYVLCNLFIFRCY >Potri.003G088500.1.v4.1 pep chromosome:Pop_tri_v4:3:11526346:11527683:-1 gene:Potri.003G088500.v4.1 transcript:Potri.003G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088500.v4.1 MKLSLNLQDDHQIQNPLLKAKLPISILNQPFTSILTTTTTNSISDLTLALSTNFPTGPSLKLTYTPSTTTTISPFSLSLKSGLGLFGSPHDSPLVFSAQFSLPNSSSNLILPSFSLQFKPQFGHFSLHKRTTTPSSNPNYDLNCGSQTTNRPHLESGSPSKSEPGNGFASDGSSGWQELKLEPCNGKEKEGFVNHNYIDDAYGIGFSPERQLMWKYGKKRGFFSGVGVKAKTALPLTKRVLMNLRWAVNFPGELGIKMPYLIVNKIGIERVEELKEVKKEKSNESNLGDLELLKGMCFWMTRDLEVLEAENREMKHYLENMRLGILARNSRKEINGFVKRVVPASSGNLGGFEQWRSNKNNGEGNGQREELKKPAKKVTDLESELQKAIKAASS >Potri.006G260000.1.v4.1 pep chromosome:Pop_tri_v4:6:25623715:25624236:-1 gene:Potri.006G260000.v4.1 transcript:Potri.006G260000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260000.v4.1 MGALVVNPQDCLKNPLQSQPPRMRFPRNPNPNNHRPNRAQPNRRKRSPNSSPPSRAAVPKNNNSLVTGQVKILKRGEEGLVKPSRVEAPKGSPIPKVVKNGNLGLGSTARLGPDPVLVPSRVRLTESNGFYAGSAFFTSPPPSSLPLPGFFRKKNDTVADAGSELRKLLGLSL >Potri.001G288800.1.v4.1 pep chromosome:Pop_tri_v4:1:30087974:30090909:1 gene:Potri.001G288800.v4.1 transcript:Potri.001G288800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288800.v4.1 MIKRSPSRNPRSKGIEAKNVLQICLLLGVCFWLIYQVKHSHDKKKELQGKDASFSQKTLSHDVLPKLGRKDPHPGLQDKTSEKNEEEEEEDTGMDEEGNKKEENRHEKEDEEGGQEGGGTKDDEVEREEEHMHEEEEIGEVTDHLEKEKEEQIEHEEDERNEEADSEENNDEGREVGDDEVDERDREKIEGEADPDGEFMDEEKEREDDEGEKKESQGNEGGGKEIQGNEDEDREVQTDNETQSEDQDHDGGKNSHVAREEHYMADDASSAVTHDTQIRSTEPEKEGLEKSNENLAAADLQQEKHSINDNPLDVNGDKNNSTSQLEQAENGHSLNATTDEKKNDETTLAKSEDESPNNTTVTVLSSDQSVSSNKSTEVSSEAGDKQVSENLEVPGTSQQNGMLNVSDSTQIRNATVDGHVTGDVANLQTTQSEQVNDGIISEGIQSDSNSKLLGQTENANSFGNDSSNSSSNSESGGSDKTIKKPEVIAAEDVNSGFSSGTNETTEATHDENSGTIHESGGTDENTISSTTNETGDAVIHDPNDSSIGQDEREARIDLGTLPDIGGVDNGNVAEE >Potri.013G096600.4.v4.1 pep chromosome:Pop_tri_v4:13:10001315:10012663:-1 gene:Potri.013G096600.v4.1 transcript:Potri.013G096600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096600.v4.1 MFKKAIEAKSHQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFVNRVHLYGVEGNFPMFFDVDGRGSEIFPTVFALWKVPEMLPSFILKGGEVSQFVIGGADLMFPGISIPAEGLPSFLVGEPWAVKVPGNPAPIAVGSTTMSTAEALKAGLRGKALRITHYYRDLLWESVEGHYVPNAGFLEDVVFEDPVFLSSAQVPDSCEGSGDSSNHENDGVDSKEVGESADVKDPTSDSHPTSKTQLDSDTDTVEQVTKAVGGFKVTDNIAADESTTVVEEQHTLSAEDVDAYLDKCLLQALHTTVNDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKSSAGLISVKEDKYKKETVLFSVNRSHLDYLSFKPERRQEQKVDQGGDQAIKETRSPKLLEVSEIYKPSVHVNPIFASVGADTGKLYSASEASDVVFKYIEKGHLVKTMNKSIVVLDPTLCDALFKGAIKKGSAYPTEIHKKDLGSTFVSRMQAHHVVTRGSESVVRKGSVRTIQIMTERRQGNKKVTKLSGMETFLMDADALASELQKKCACSTSVSELPGKKGHEVLIQGSVIDDVARHLVEQYGVPKRYIEILDKTKK >Potri.013G096600.1.v4.1 pep chromosome:Pop_tri_v4:13:10001326:10012663:-1 gene:Potri.013G096600.v4.1 transcript:Potri.013G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096600.v4.1 MFKKAIEAKSHQRLSGADRKKLKRTIRDRFPRASDADIDALLPPKAEITVSKFVNRVHLYGVEGNFPMFFDVDGRGSEIFPTVFALWKVPEMLPSFILKGGEVSQFVIGGADLMFPGISIPAEGLPSFLVGEPWAVKVPGNPAPIAVGSTTMSTAEALKAGLRGKALRITHYYRDLLWESVEGHYVPNAGFLEDVVFEDPVFLSSAQVPDSCEGSGDSSNHENDGVDSKEVGESADVKDPTSDSHPTSKTQLDSDTDTVEQVTKAVGGFKVTDNIAADESTTVVEEQHTLSAEDVDAYLDKCLLQALHTTVNDKDLPMPGSTLWSNHVLPCRPSGITLDIKKSSHKKLSKWLQAKSSAGLISVKEDKYKKETVLFSVNRSHLDYLSFKPERRQEQKVDQGGDQAIKETRSPKLLEVSEIYKPSVHVNPIFASVGADTGKLYSASEASDVVFKYIEKGHLVKTMNKSIVVLDPTLCDALFKGAIKKGSAYPTEIHKKDLGSTFVSRMQAHHVVTRGSESVVRKGSVRTIQIMTERRQGNKKVTKLSGMETFLMDADALASELQKKCACSTSVSELPGKKGHEVLIQGSVIDDVARHLVEQYGVPKRYIEILDKTKK >Potri.015G121101.1.v4.1 pep chromosome:Pop_tri_v4:15:13500185:13502154:1 gene:Potri.015G121101.v4.1 transcript:Potri.015G121101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121101.v4.1 MYFVAYNSSTIPLACLHRLLHLRSLATNLKPNPKMWIPSVMSCCSHGPYNSLLMKQGESQNPVVLKQKEVKLVKSLAPPLMAALVALSPIVNTPVSLGQTLDVQRGASLFSRTCIGCHDAGGNIIQPGATLFTKDLQRNGVDTEEEIYRITYFGKGRMPGFGESCTPRGQCTFGPRLQDEEIKLLAQFVKSQADQGWPNIEISQD >Potri.005G221300.2.v4.1 pep chromosome:Pop_tri_v4:5:22334842:22339238:-1 gene:Potri.005G221300.v4.1 transcript:Potri.005G221300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221300.v4.1 MAPSASSSSQLQINETQTLIPGIPNDIASQILSMIPYSHHSRIKPTCKSWHIFLSSTKTLFLLRHNLRHSNHLLIIFPQDPFISLPYLFDPQNLAWRPLPPMPCNPHVYGLCNFTSVSMGPNLYVLGGSLFDTRSYPIDRPSPTSSVFRFNFVDFLWEKLCPMISPRGSFACVAVPDWDQIIVAGGGSRHTWFGAAGSRISSVERYDVGKDEWVAIDGLPRYRAGCAGFLSGNGEEKEFWVVGGYGESRTISGIFPVDEYYKDAVVMDLEKNGCGKWREVGDMWGVAWRGRLGKIVVVEEEAEAEGLGVNQGRPVVFMLDGDEIFRYDMASNSWQKESSVPRKAPYNSSFGFVVLDGELHVMTPVQGGDLMETRRSRQQKRGGTLLVQIYHPKMKTWRSLVTKPPFYYPLDFKTAIMCTIQL >Potri.002G156000.5.v4.1 pep chromosome:Pop_tri_v4:2:11904383:11911229:1 gene:Potri.002G156000.v4.1 transcript:Potri.002G156000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156000.v4.1 MWRLARCASSNLSRSLRRRFFSTAAIPAPCIIHKRGTDILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYRSLEKNTQGQPYSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQKILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDIQGTAGVALAGLLGTVRAQGLPLSDFVNQKIVVVGAGSAGLGVLNMAIQALSRMSGNNEMAAKNKCYLLDKDGLITKERKNIDPAAAPFAKDLKDVEGLREGASPFEVVKKLKPHVLLGLSGVGGVFNEEVLKAMRESDSTKPAIFAMSNPTMNAECTAADAFKYAGPNIIFGSGSPFEDVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGAHIITDGMLQAAAECLASYMTDEEIQNGILYPSIDSIRHITAEVGAAVLRAAVEEDLAEGHGEAGPRELKHMSKAETVAYVSRNMWFPVYSPLVHEK >Potri.002G156000.6.v4.1 pep chromosome:Pop_tri_v4:2:11904444:11911234:1 gene:Potri.002G156000.v4.1 transcript:Potri.002G156000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156000.v4.1 MESYRSLEKNTQGQPYSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQKILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDIQGTAGVALAGLLGTVRAQGLPLSDFVNQKIVVVGAGSAGLGVLNMAIQALSRMSGNNEMAAKNKCYLLDKDGLITKERKNIDPAAAPFAKDLKDVEGLREGASPFEVVKKLKPHVLLGLSGVGGVFNEEVLKAMRESDSTKPAIFAMSNPTMNAECTAADAFKYAGPNIIFGSGSPFEDVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGAHIITDGMLQAAAECLASYMTDEEIQNGILYPSIDSIRHITAEVGAAVLRAAVEEDLAEGHGEAGPRELKHMSKAETVAYVSRNMWFPVYSPLVHEK >Potri.002G156000.2.v4.1 pep chromosome:Pop_tri_v4:2:11904334:11911287:1 gene:Potri.002G156000.v4.1 transcript:Potri.002G156000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156000.v4.1 MWRLARCASSNLSRSLRRRFFSTAAIPAPCIIHKRGTDILHDPWFNKDTGFPLTERDRLGLRGLLPPRVISFEQQYDRFMESYRSLEKNTQGQPYSVVSLAKWRILNRLHDRNETLYYRVLIDNIKDFAPIIYTPTVGLVCQNYSGLFRRPRGMYFSAKDKGEMMSMIYNWPAQQVDMIVLTDGSRILGLGDLGVQGIGIPIGKLDMYVAAAGINPQKILPVMLDVGTNNQKLLEDPLYLGLRQPRLEGEEYLSIVDEFMEAVHTRWPKAIVQFEDFQMKWAFETLQRYRKRFCMFNDDIQGTAGVALAGLLGTVRAQGLPLSDFVNQKIVVVGAGSAGLGVLNMAIQALSRMSGNNEMAAKNKCYLLDKDGLITKERKNIDPAAAPFAKDLKDVEGLREGASPFEVVKKLKPHVLLGLSGVGGVFNEEVLKAMRESDSTKPAIFAMSNPTMNAECTAADAFKYAGPNIIFGSGSPFEDVDLGNGKVGHVNQANNMYLFPGIGLGTLLSGAHIITDGMLQAAAECLASYMTDEEIQNGILYPSIDSIRHITAEVGAAVLRAAVEEDLAEGHGEAGPRELKHMSKAETVAYVSRNMWFPVYSPLVHEK >Potri.016G037500.3.v4.1 pep chromosome:Pop_tri_v4:16:2296110:2298812:1 gene:Potri.016G037500.v4.1 transcript:Potri.016G037500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037500.v4.1 MVRPYGKGHKRKKKGERYDKEEDEVEDKQVEEENTDDETEISAQDAEEKTEEEREELPELEGIPVGPSTQTAKKPGVIFVLEKASLEVAKVGKNYQILNSEEHANFLRRNNKNPADYRPDIIYQALLSILDSPLNKAGCLRAVYVKTDKGVLFEVKPYVRIPRTYKRFAGIMLQLLQKLSIAAVGKREKLLRVIKNPVTQHLPLNSRKIGFSHSSDKFVEMEKYVAGVGGDTDLVFVVGAMSHGKIECDYIDDFISVSEYPLSAAWCIARICEAVSKKWRVL >Potri.016G037500.2.v4.1 pep chromosome:Pop_tri_v4:16:2296232:2298586:1 gene:Potri.016G037500.v4.1 transcript:Potri.016G037500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037500.v4.1 MVRPYGKGHKRKKKGERYDKEEDEVEDKQVEEENTDDETEISAQDAEEKTEEEREELPELEGIPVGPSTQTAKKPGVIFVLEKASLEVAKVGKNYQILNSEEHANFLRRNNKNPADYRPDIIYQALLSILDSPLNKAGCLRAVYVKTDKGVLFEVKPYVRIPRTYKRFAGIMLQLLQKLSIAAVGKREKLLRVIKNPVTQHLPLNSRKIGFSHSSDKFVEMEKYVAGVGGDTDLVFVVGAMSHGKIECDYIDDFISVSEYPLSAAWCIARICEAVSKKWRVL >Potri.008G042700.4.v4.1 pep chromosome:Pop_tri_v4:8:2414680:2417353:1 gene:Potri.008G042700.v4.1 transcript:Potri.008G042700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042700.v4.1 MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGTVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDASSAKVTKSAAKKGGK >Potri.008G042700.1.v4.1 pep chromosome:Pop_tri_v4:8:2415427:2417278:1 gene:Potri.008G042700.v4.1 transcript:Potri.008G042700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042700.v4.1 MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGTVVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSEYPPLGRFAVRDMRQTVAVGVIKNVEKKDASSAKVTKSAAKKGGK >Potri.005G209600.1.v4.1 pep chromosome:Pop_tri_v4:5:21383259:21386072:-1 gene:Potri.005G209600.v4.1 transcript:Potri.005G209600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G209600.v4.1 MDSVTQTFQHRMSVKLWPPSQSTRLMLVERMSKNFITPSFISRKYGLLNKEEAEEGAKKIEEMAFAAANQHHEKEPDGDGSSAVQIYAKESSRLMLEVLKRGPKTQEDEEVKTVDEASAAVANLFDISGGRREFISGEEAEELLKPLKVPGNLYTRICFSNRSFGLDAAHVAESILLSIKGQLTEVDLSDCVAGRPESEALEVMGIFSSALEGCKLRYLNLSNNALGEKGVRAFGMLLKTQNILEELYLINDGISEEAARAVCELIPSTEKLKVLHFHNNMTGDEGAAAIAEMLKQSNLLEDFRCSSTRVGLEGGVALAEALGSCSHLRKLDLRDNMFGVEAGIALSKSLFAFADLTEVYLSYLNLEDEGAEALANALKESAPSLEVLDMAGNDITAKGASSLVACIVAKQFLTKLNLAENELKDEGAILIAKAIEAGHDQLNEVDLSTNAIRRAGARLLAQAVVHKPGFKSLNINGNYISDEGIDEVKDIFKSLPDVLGKLDENDPEGEDLDEDARDEDEDAIEEDELESKLKGLEIKHEE >Potri.007G053300.3.v4.1 pep chromosome:Pop_tri_v4:7:5282474:5283238:-1 gene:Potri.007G053300.v4.1 transcript:Potri.007G053300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053300.v4.1 MMRNIESNRPGARSRELWSSKNGFSFNQTNHARDMQQKSIAKLDLERPAELYVVESNADTVLELIDESEIQLTLGPSSYNRRRKRETPLTSDSGPSLSSTSTGSSHLNRTSSLTNQKINTRREELSGPELGLFQVPDITLGYQNGSKNSIGVEEQVGQDRLKQPPWLCQVLRLNIA >Potri.007G053300.1.v4.1 pep chromosome:Pop_tri_v4:7:5282475:5284919:-1 gene:Potri.007G053300.v4.1 transcript:Potri.007G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053300.v4.1 MEKFLKPYDKEYMRMAMLKHEETFKEQVCELHRLYRTQKNMMRNIESNRPGARSRELWSSKNGFSFNQTNHARDMQQKSIAKLDLERPAELYVVESNADTVLELIDESEIQLTLGPSSYNRRRKRETPLTSDSGPSLSSTSTGSSHLNRTSSLTNQKINTRREELSGPELGLFQVPDITLGYQNGSKNSIGVEEQVGQDRLKQPPWLCQVLRLNIA >Potri.017G155300.1.v4.1 pep chromosome:Pop_tri_v4:17:15181357:15185832:1 gene:Potri.017G155300.v4.1 transcript:Potri.017G155300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G155300.v4.1 MAKNPSTNNVTMEIETSIPSEVVDGIMVGLSPLSETLWRDRTKTEFVGDVSARLTWKDLTVMVTLSNGETQRVLEGLTGYAEPGTLTALMGPSGSGKSTLLDALSSRLAANAFLSGTVLLNGRKTKLSFGTAAYVTQDDNLLGTLTVRETISYSARLRLPDKMPWSEKRALVESTIIEMGLQDCADTVIGNWHLRGISGGEKRRVSIALEILIRPRLLFLDEPTSGLDSASAFFVTQTLRGLSRDGRTVIASIHQPSSEVFELFDRLYLLSGGKTVYFGQVSEAYEFFAQAGFPCPALRNPSDHFLRCINSDFDKVKATLKGSMKLRFEASDDPLEKITTAEAIRTLIDHYRTSQYCYAAREKVEEISKVKGNVLEAGGSQASFLMQAFTLTKRSFINMSRDFGYYWLRLVIYIVVTICIGTIYLNVGTGYNSILARGSCASFVFGFVTFMSIGGFPSFVEEMKVFQRERLNGHYGVVAFVISNTISAMPFLIMITFISGTVCYFMVRLHPGFEHYVFFVLCLYASVTVVESLMMTIASIVPNFLMGIIIGAGIQGIFMLVSGYFRLPNDIPKPVWRYPMSYISFHFWALQGQYQNDLKGLLFDNQSPDLPKIPGEYILENIFQIDVHRSKWVDLSVIFSMIVIYRIIFFIMIKISEDITPWIRGYIAKRRMQQKNGTQNTTVAPDGLIHSPSLRNYVADRPTEM >Potri.004G120000.1.v4.1 pep chromosome:Pop_tri_v4:4:11355095:11362398:-1 gene:Potri.004G120000.v4.1 transcript:Potri.004G120000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120000.v4.1 MTMSLKLGSLPLLGGNVAKKCASLKASKHKVFDVDGAGDAEFPSFLPKEVERIKDPFARSLAKRIERLPVQIGYSKSCIMSSCVKPLIRQSNKTTPVVLLHCFDSSCLEWRCTLPLLEEAGLEAWAIDVLGWGFSDLETRPPCDMASKRDHLYQLWKSHIRRPMILVGPSLGASVAIDFTVRYPEAVEKLVLINPSVYAEGTGHLAKLPESVAYAGVSLLKSLPLRLYANMLAFNNIPFLTILDWTNVGRLHCLLPWWKDATVSFMLSGGYNVISQIKQVKHKTLIICGEKDQIVSYKLVVKLHSELSNAIIREVYDSGHLPHVDNPKWVAKLIANFAEDDAGVDAKSPFCLAQVTASRG >Potri.010G128500.2.v4.1 pep chromosome:Pop_tri_v4:10:14509111:14510863:-1 gene:Potri.010G128500.v4.1 transcript:Potri.010G128500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128500.v4.1 METAICGRIALSPNHVFNPKPGDKNSVCRGPCASRGILMSISATVSGKGGGGGILEKPVIERTTPGRESEFDLRKSKKMAPPYRVILHNDNYNKREYVVQVLMKVIPGMTLDNAVNIMQEAHYNGLSVVIICTQADAEEHCMQLRGNGLLSSIEPASGGC >Potri.010G024400.1.v4.1 pep chromosome:Pop_tri_v4:10:3588501:3589064:1 gene:Potri.010G024400.v4.1 transcript:Potri.010G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024400.v4.1 MRPLDEKEMGEVFKKLMLFTGNNLKNIIESPSHEGPDPNPGRYCFRLHKNKVFYISESIVKRATNIGRKNLVSLGTCIGKFTHRGDFRLTTQSLNLLAANAKNKVWLKPTSEMSFLYGNHVLKGGLGKITDSINQNDGVVVFSMSDVPLGFGTAARSTQDCRKCDPNGIVVHHYSDIGEYLRDEDDL >Potri.005G008350.1.v4.1 pep chromosome:Pop_tri_v4:5:646606:647610:-1 gene:Potri.005G008350.v4.1 transcript:Potri.005G008350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008350.v4.1 MPKHFTDLVRSLLSFTQNGKSVELIKSFHSATKLRQAGVKFKVPRERNCLLDVDFRRLGTEFHIPQLEIDGNTERLFRNLMAMEKRLYPGQEYVCHYINLLSILVVKPKDAKLLMENKIVTYCKDEVAVRDLICSLASSSTTDLHSCYHDIFSAVDDYYKSSGAKNPAYFIEEFFGNFWKGVGTVSAAILLILTLVQTICAILGLR >Potri.005G222500.2.v4.1 pep chromosome:Pop_tri_v4:5:22401148:22407919:-1 gene:Potri.005G222500.v4.1 transcript:Potri.005G222500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222500.v4.1 MGMGTDLHDTLRSLCFNTDWNYAVFWKLKHRARMVLTWEDGYYDNCEQHDALENKCFRQTQENLHGGHYPRDPLGLAVAKMSYHVYSLGEGIVGQVAVSGKHQWIFADKHVTNSFSSYEFSDGWQSQFSAGIRTIVVVAVVPYGVVQLGSLNKVSEDVNLVTHIKDVFFALQDSTVSHVTSPSQHGMKNALCLKTAAELKNKQEVLEIPTPTNDESIDLLNLKSNASYLDHRSQLGMNIISDRMFGGETSVWKDLGRGSEHNTTMHSNSFMRENVSLSDLVLPNEKLGADLAGFPADLFDSTICDRDKSDSINLRPNVVLNAPESSDITFKRDLEKKLDHPAESTHFNSSDTFFKFSAGCELLEALGPSFLNRCMPFDYQTGKSEAGNIFEMPEGMSSSQMTFDFGSENLLEAVVGNVCHSGSDVKSEKSGCKSVQSLLTAEKLPEPSIQTKHIMNSAGYSINQSSVVEEDVHNLSNSTEVCGGMSSKGFSSTCPSTYSEQLDKRSESAKNSKKRAKPGENCRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLERTIKHMLFLENITKHADKLNKCAEPKMHQKGTDASNYEQGSSWAVEVGGHLKVSSIIVENLNKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGITEVQGEKTWICFVVEGQNNKIMHRMDILWSLVQILQPKTTN >Potri.005G222500.3.v4.1 pep chromosome:Pop_tri_v4:5:22401137:22407871:-1 gene:Potri.005G222500.v4.1 transcript:Potri.005G222500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222500.v4.1 MGMGTDLHDTLRSLCFNTDWNYAVFWKLKHRARMVLTWEDGYYDNCEQHDALENKCFRQTQENLHGGHYPRDPLGLAVAKMSYHVYSLGEGIVGQVAVSGKHQWIFADKHVTNSFSSYEFSDGWQSQFSAGIRTIVVVAVVPYGVVQLGSLNKVSEDVNLVTHIKDVFFALQDSTVSHVTSPSQHGMKNALCLKTAAELKNKQEVLEIPTPTNDESIDLLNLKSNASYLDHRSQLGMNIISDRMFGGETSVWKDLGRGSEHNTTMHSNSFMRENVSLSDLVLPNEKLGADLAGFPADLFDSTICDRDKSDSINLRPNVVLNAPESSDITFKRDLEKKLDHPAESTHFNSSDTFFKFSAGCELLEALGPSFLNRCMPFDYQTGKSEAGNIFEMPEGMSSSQMTFDFGSENLLEAVVGNVCHSGSDVKSEKSGCKSVQSLLTAEKLPEPSIQTKHIMNSAGYSINQSSVVEEDVHNLSNSTEVCGGMSSKGFSSTCPSTYSEQLDKRSESAKNSKKRAKPGENCRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLERTIKHMLFLENITKHADKLNKCAEPKMHQKGTDASNYEQGSSWAVEVGGHLKVSSIIVENLNKNGQMLVEMLCEECSHFLEIAEAIRSLGLTILKGITEVQGEKTWICFVVEGQNNKIMHRMDILWSLVQILQPKTTN >Potri.005G192900.1.v4.1 pep chromosome:Pop_tri_v4:5:20045793:20051384:-1 gene:Potri.005G192900.v4.1 transcript:Potri.005G192900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192900.v4.1 MASSKVMASSKPRNSDLSSTTSSRSRRAISSSLSATNTTEKLAHQSTDQLFQRESTMTVDGILRNVYASPSTESTLLGAQITLMETPNPPPPPPIETNGTDNQDMSVVIPQETKTADDIWREIVAGRKEMKDEPDEMMTLEDFLAKAGAVDVVGEDGDDVKMPQPERLSGGLYAFDPVPPSAFQVLDKVEGSIVGFGNGVEVELVAGSGGGGGGGRGKRGRTVVMEPLDKAAQQRQRRMIKNRESAARSRERKQAYQVELESLAVRLEEENEQLLKEKEERTKERFKQLMEKVIPVVEKRRPRRVLRRVNSLQW >Potri.001G394000.7.v4.1 pep chromosome:Pop_tri_v4:1:41781650:41788518:-1 gene:Potri.001G394000.v4.1 transcript:Potri.001G394000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394000.v4.1 MGKKRERHQNPEPFLPEDTDSIASSTKTRSKASKHHQKQQKVMISSGMSSKILKQALIQQKEIQAEERNPNFNALEEELPEPEGEECAEDQIDDFSGFSETQSQFNDYPEEIDENDEKLLEAFLSKDAGPQRTLADLIIEKLKKTDANVSSELQPIPKLDQSLIDLYKGVGEYLSKYTAGKIPKAFKHIPSMQLWEDILYLTEPQKWSPNAMYQATRIFSSNLGAKKAERFYRLVLLPRVRDDIRTNKRLHFSLYQALKKSLYKPAAFNKGILLPLCKSGTCTLREAVVVGSIIQKVSIPMLHSCVAVLKLAEMEYCGTTSYFIKLLLDKKYALPFRVLDAVVAHFMRFLEDTRIMPVIWHQSLLSFVQRYKNELQKEDKDNLRRLVLRQKHKLVSPEIIRELDNSRNRGEKDDPMSITSPVSVINKTIEEDRFDIPEVPMEED >Potri.001G394000.2.v4.1 pep chromosome:Pop_tri_v4:1:41781686:41788541:-1 gene:Potri.001G394000.v4.1 transcript:Potri.001G394000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394000.v4.1 MGKKRERHQNPEPFLPEDTDSIASSTKTRSKASKHHQKQQKMISSGMSSKILKQALIQQKEIQAEERNPNFNALEEELPEPEGEECAEDQIDDFSGFSETQSQFNDYPEEIDENDEKLLEAFLSKDAGPQRTLADLIIEKLKKTDANVSSELQPIPKLDQSLIDLYKGVGEYLSKYTAGKIPKAFKHIPSMQLWEDILYLTEPQKWSPNAMYQATRIFSSNLGAKKAERFYRLVLLPRVRDDIRTNKRLHFSLYQALKKSLYKPAAFNKGILLPLCKSGTCTLREAVVVGSIIQKVSIPMLHSCVAVLKLAEMEYCGTTSYFIKLLLDKKYALPFRVLDAVVAHFMRFLEDTRIMPVIWHQSLLSFVQRYKNELQKEDKDNLRRLVLRQKHKLVSPEIIRELDNSRNRGEKDDPMSITSPVSVINKTIEEDRFDIPEVPMEED >Potri.001G394000.3.v4.1 pep chromosome:Pop_tri_v4:1:41781647:41788541:-1 gene:Potri.001G394000.v4.1 transcript:Potri.001G394000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394000.v4.1 MGKKRERHQNPEPFLPEDTDSIASSTKTRSKASKHHQKQQKMISSGMSSKILKQALIQQKEIQAEERNPNFNALEEELPEPEGEECAEDQIDDFSGFSETQSQFNDYPEEIDENDEKLLEAFLSKDAGPQRTLADLIIEKLKKTDANVSSELQPIPKLDQSLIDLYKGVGEYLSKYTAGKIPKAFKHIPSMQLWEDILYLTEPQKWSPNAMYQATRIFSSNLGAKKAERFYRLVLLPRVRDDIRTNKRLHFSLYQALKKSLYKPAAFNKGILLPLCKSGTCTLREAVVVGSIIQKVSIPMLHSCVAVLKLAEMEYCGTTSYFIKLLLDKKYALPFRVLDAVVAHFMRFLEDTRIMPVIWHQSLLSFVQRYKNELQKEDKDNLRRLVLRQKHKLVSPEIIRELDNSRNRGEKDDPMSITSPVSVINKTIEEDRFDIPEVPMEED >Potri.011G150400.1.v4.1 pep chromosome:Pop_tri_v4:11:17762303:17764265:1 gene:Potri.011G150400.v4.1 transcript:Potri.011G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150400.v4.1 MAPTKAVVADDHLALPAGGKNTPLTNTIPISNVQTDIYGLEIWEGTLPIIDLGGIHGPRRSDTIKQLGHACQHYGGFMLKNHGISERLLNDIMSKAREFFHLPEEERMKLYSPDPTSLIRLATGFKDDNQNVFVSRESLKFHCHPIENYENLWPTNPPSYREVVSEYCVAAKRAEITLLEAVFEGLGMERKSIDQILDNHGQYASLNYYPTCDKSNLGLTFGLRGHTDPTILTMLLPDEVPGLEILQDGDWVPVKPIPNTLIVHVGDVLQGLSNCRYKSLLHRVIVNSEKERLSIASYCYPSNDTQMGPPKELIDDDHPLIYKDYTYEEFYTTMWKQRLPDASRLDSFKVSAA >Potri.002G176300.1.v4.1 pep chromosome:Pop_tri_v4:2:13670483:13672082:-1 gene:Potri.002G176300.v4.1 transcript:Potri.002G176300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176300.v4.1 MFDGGEYSPSATEPFSCMNGVTTSRKKKNKNKRRFSDEQIKSLESMFESETRLEPRKKMQLAKELGLQPRQVAIWFQNKRARWKSKQLERDFSILRANYNSLASRFETLKKEKQALVIQLQKINDLMKKPGEEGECCGQGPAVNSIEGKSENADTTMGESETNPRLSIERPEHGLGVLSDEDSSIKAEYFELEEEPNLISMVEPADGSLTSQEDWGSLDSDRLFDQSSSDYQWWDFWA >Potri.004G200800.1.v4.1 pep chromosome:Pop_tri_v4:4:21100163:21106161:-1 gene:Potri.004G200800.v4.1 transcript:Potri.004G200800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200800.v4.1 MSNSQAQNNQSMKQPQQSSMQSHAPNQRQHQQPLSFSSMKPPFLPPGDYHRFGDDRRRAVSDHEVEGIVVKPPTLKRKSDAADHQGESSDWNAGHGYMEVVTSPLQTPVSGKGGKTPKTSRLSKSSKSGSQSAAAALGSPGNNLTPSGPCRYDSSLGLLTKKFINLIKHAEDGILDLNKAAETLEVQKRRIYDITNVLEGIGLIEKKLKNRIQWKGLDVSRPGEADDNNVATLQAEVENLTMEERRLDEQTREMQERLRDLSEDENNQKWLFVTEEDIKSLPGFQNETLIAIKAPHGTTLEVPDPDEAVDYPQRRYRIVLRSTMGPIDVYLVSQFEEKIEDIQGVEPPPSYPSTSGFNENPATTMTMEESRGKEVEMQEQDGHRMCSELNTAHDFVSGIMKIVPSDVDSDADYWLLSDPGVSITDMWRNEPVVEWNDLDTLHNDYVMPNVCTPQPQTPPSNPTEVPPGTNTTAG >Potri.003G205900.1.v4.1 pep chromosome:Pop_tri_v4:3:20489784:20491966:1 gene:Potri.003G205900.v4.1 transcript:Potri.003G205900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205900.v4.1 MIKQFNRTTGQEWVIHIKRTLDEGIDDEDVGVPVCIFSVSKAIVSTKQEAYIPQLVAIGPYHHRRVELFEMERYKLIEAERVQKKYQNIRFSDIVEHLEENDATVRACYHAYLDFDREELAWTFAIDASFLLGYLRTFTIRTEEPSRNRSSSSLADMVNHLAKKKTIRQAILGDMLMLENQIPFFVLRKVNRYFQYENPDEALAMMLMKFCKHASPIKIVDGQQRVSEECLQKNHLLDLIYCTVAPKSEDIEREIEESNEGNEEHEENGCFKKTWKSIWSFLCFIIVVPLRFLIRILKSKAVKAAVTLPWKLIKSVCHLKPTSEITNLVSTAETVAAEIESVSALNDASLLIEELSIPSATKLFGIGVKFIPTKGGLKTISFDKPRGAFYLPVVNLDDDSEVLLRNLVAYEASLAPECTVLARYVELMSGILDTKEDVKILRDSGIVLNRLKSDEEAANLWHGITKFMKLTKVPILDNAIEEANSYYSNNWKVRMSTSFKKYVYSSWPVLTFLAANLLILLSALEAFCSVYGCSKWEANHAADNLAKLWRTS >Potri.007G104600.1.v4.1 pep chromosome:Pop_tri_v4:7:12802041:12804064:-1 gene:Potri.007G104600.v4.1 transcript:Potri.007G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G104600.v4.1 MNAIIATIATLLSLIFQQCYIARAKGPVTYIVGDDLGWTLDGYPESWTGGKKFYAGDILEFKYDTEDANVVVVEKKDHDDCSVSDSSVFYRSGDDKIQLQFGANYFICSWPLNQCQMGMKVAINATARPPSLLVH >Potri.014G003350.1.v4.1 pep chromosome:Pop_tri_v4:14:247048:248808:1 gene:Potri.014G003350.v4.1 transcript:Potri.014G003350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003350.v4.1 MEETLTRVSSIAAEGIRLAWGLEGQLRKLKQSLIMIRDVLQDAARRSVTDDSVKGWLEKLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKEINGALDEIRKDAAVFQLTSLHVDRAQEVSWDQDRQTDSFIDSSEIVGREDDVSIVMDMLTVAIVNIFCQPFSNHHDFCHCSSWFCTWVAVNASPFSYKERDGRECSVEKA >Potri.001G132500.3.v4.1 pep chromosome:Pop_tri_v4:1:10778456:10779454:1 gene:Potri.001G132500.v4.1 transcript:Potri.001G132500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132500.v4.1 MSSRPMSLALVKEFKKRIKAEEDSIKAEEKRRTEEKKREEEKKRLRVPNKDNGLDMDNYSWAQTLQEVTITVPVPPGTNSRDVVCEMKKKSAKVGLKGQPSILEGELFETIKVDDSLWNLEDQKTLSVHLTKCDRMNWWKSLFKGGSEIDIQKTEPEPSKLSDLDPETRSTVEKMMFDQRQKQLGLPTSKEIENEGLMKQLMAQHPSKPWQ >Potri.017G059900.2.v4.1 pep chromosome:Pop_tri_v4:17:4895452:4896625:-1 gene:Potri.017G059900.v4.1 transcript:Potri.017G059900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059900.v4.1 MEPKRNRSYSLQQLLAALLIILALSSQFRVAAMQASSENVQCSGSMVELSGQMAEGGLSMESETSRRTVRAIKFITPGALRPDAPFCAKVTRGEPYSSNCLPPPSNSYNRGCNNYNRCRS >Potri.002G081200.3.v4.1 pep chromosome:Pop_tri_v4:2:5731943:5735887:-1 gene:Potri.002G081200.v4.1 transcript:Potri.002G081200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081200.v4.1 MAEAIALMDIDEEENQNHLSKPNKGKKVVVPATPPGGKATPWVEKYRPQSLADVAAHRDIIDTIDRLTSENRLPHLLLYGPPGTGKTSTILAVARKLYGAQYHNMILELNASDDRGIDVVRKQIQDFASTQSFSFGAKASVKLVLLDEADAMTKDAQFALRRVIEKYTKNTRFALICNHVNKIIPALQSRCTRFRFAPLDPMHVGERLKHVIEAEGLDVPESGLEALKCLSNGDMRKALNILQSTHMASQQITEETVYLCTGNPLPQDVQQITHWLLNESFAESYKRISEIKTRKGLALVDIVREVTMFVFKIKMQSDIRVPLINDLADIEYRLSFGCNDKLQLGSLIASFTRARSALVAAAN >Potri.011G036532.1.v4.1 pep chromosome:Pop_tri_v4:11:2805278:2808530:-1 gene:Potri.011G036532.v4.1 transcript:Potri.011G036532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G036532.v4.1 MEAEKLFLLFSLIMLQFSSCTSQDSLKTNHTIKEGDLLISKGNIFALGFFSPGSSTNRYLGIWYHKIPEQTVVWVANRNDPIIGSSGFLFINQFGNLVLYRKDDQKLLVWSTNVSVEENDTCEAQLLDSGNLILVRKRSRKIVWQSFDYPTNIQLPGMKLGLDRKLGTDRFLTSWRSADDPGIGDFSLRINPNGSPQFFFYNATKPISRSPPWPWRSQMGLYKSAFVNDPDEIYCVYTVPDDSYLLRIIVDHLGLVKVLTWRESDGQWKDYWKAPQFHCDYYGHCGAYSTCELANLNEFGCACLPGFEPKYPLE >Potri.008G102300.4.v4.1 pep chromosome:Pop_tri_v4:8:6465064:6469508:-1 gene:Potri.008G102300.v4.1 transcript:Potri.008G102300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102300.v4.1 MQMLSPVTPGQFSFLLGIVPVFAAWIYTEYLEYKKNNTLAKARHSDVGLVELGNEAVKEDDRAVLLEGGVQSASPKARSSTSTFPIFRFFTMEEQFLIDNRLTLRAISEFGFFMVYFYICDRTDILGSSKKSYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEFYNAIRVFIASYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLVCCVVLNNSYMLYYICPMHTLFTLMVYAALGIFNKYNEIGSVMAAKIIACFLVVILMWEIPGVFEVVWSPFTFLFGYTDPAKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPMVEGWMEKLEETEAKRRISIKTAVATISLAVGYMWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNVTQHFRCYSLTLFAWLGKITLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFMLTTSIYVAVSYRLFDLTNTLKTAFVPSKDDKRLTNNIITAVAVSIVLYSLSFVFLKAPQMLI >Potri.008G102300.5.v4.1 pep chromosome:Pop_tri_v4:8:6465026:6469474:-1 gene:Potri.008G102300.v4.1 transcript:Potri.008G102300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102300.v4.1 MQMLSPVTPGQFSFLLGIVPVFAAWIYTEYLEYKKNNTLAKARHSDVGLVELGNEAVKEDDRAVLLEGGVQSASPKARSSTSTFPIFRFFTMEEQFLIDNRLTLRAISEFGFFMVYFYICDRTDILGSSKKSYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEFYNAIRVFIASYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLVCCVVLNNSYMLYYICPMHTLFTLMVYAALGIFNKYNEIGSVMAAKIIACFLVVILMWEIPGVFEVVWSPFTFLFGYTDPAKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPMVEGWMEKLEETEAKRRISIKTAVATISLAVGYMWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNVTQHFRCYSLTLFAWLGKITLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFMLTTSIYVAVSYRLFDLTNTLKTAFVPSKDDKRLTNNIITAVAVSIVLYSLSFVFLKAPQMLVSVTFINS >Potri.008G102300.6.v4.1 pep chromosome:Pop_tri_v4:8:6465066:6469545:-1 gene:Potri.008G102300.v4.1 transcript:Potri.008G102300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102300.v4.1 MQMLSPVTPGQFSFLLGIVPVFAAWIYTEYLEYKKNNTLAKAHSDVGLVELGNEAVKEDDRAVLLEGGVQSASPKARSSTSTFPIFRFFTMEEQFLIDNRLTLRAISEFGFFMVYFYICDRTDILGSSKKSYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEFYNAIRVFIASYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLVCCVVLNNSYMLYYICPMHTLFTLMVYAALGIFNKYNEIGSVMAAKIIACFLVVILMWEIPGVFEVVWSPFTFLFGYTDPAKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPMVEGWMEKLEETEAKRRISIKTAVATISLAVGYMWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNVTQHFRCYSLTLFAWLGKITLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFMLTTSIYVAVSYRLFDLTNTLKTAFVPSKDDKRLTNNIITAVAVSIVLYSLSFVFLKAPQMLVSVTFINS >Potri.008G102300.3.v4.1 pep chromosome:Pop_tri_v4:8:6464711:6469545:-1 gene:Potri.008G102300.v4.1 transcript:Potri.008G102300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102300.v4.1 MQMLSPVTPGQFSFLLGIVPVFAAWIYTEYLEYKKNNTLAKAHSDVGLVELGNEAVKEDDRAVLLEGGVQSASPKARSSTSTFPIFRFFTMEEQFLIDNRLTLRAISEFGFFMVYFYICDRTDILGSSKKSYNRDLFLFLYFLLIIVSAITSFKIHHDKSPFSGKPILYLNRHQTEEWKGWMQVLFLMYHYFAATEFYNAIRVFIASYVWMTGFGNFSYYYVRKDFSLARFAQMMWRLNFLVLVCCVVLNNSYMLYYICPMHTLFTLMVYAALGIFNKYNEIGSVMAAKIIACFLVVILMWEIPGVFEVVWSPFTFLFGYTDPAKPDLPRLHEWHFRSGLDRYIWIVGMIYAYYHPMVEGWMEKLEETEAKRRISIKTAVATISLAVGYMWYEYIYKLDKVTYNKYHPYTSWIPITVYICLRNVTQHFRCYSLTLFAWLGKITLETYISQIHIWLRSGIPDGQPKLLLSLIPDYPMLNFMLTTSIYVAVSYRLFDLTNTLKTAFVPSKDDKRLTNNIITAVAVSIVLYSLSFVFLKAPQMLI >Potri.010G084700.1.v4.1 pep chromosome:Pop_tri_v4:10:11173237:11173869:-1 gene:Potri.010G084700.v4.1 transcript:Potri.010G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084700.v4.1 MAYKVAALLVLCLVLVAAVELPKAAADQFGSCFNTCEQQCKAGGQGQTFCEMKCDTDCFDEEVAGKLHIKFP >Potri.015G031400.1.v4.1 pep chromosome:Pop_tri_v4:15:2393078:2395244:-1 gene:Potri.015G031400.v4.1 transcript:Potri.015G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031400.v4.1 MAGLILNNKSMALCLVLVICSFGLCLVSTHAELQRFGQPAKTDGTLSFLVLGDWGRKGAFNQSEVAVQMGRIGEKLDIDFVVSTGDNFYDNGLTGNQDKAFVESFTQIYTANSLQKQWYSVLGNHDYRGNAEAQLSQQLRKIDSRWLCLRSFIVNAELAEIFFVDTTPFVQSYFTNAEGHTYDWRGIGSPRSYIANLIKDLKLALSESSAKWKIVVGHHAIRSIGHHGDTEELVSKLHPILKANNVDFYMNGHDHCLEHISDTESPIQFLTSGAGSKAWRGDIKEQNKDGLKFFYDGQGFMSVQLTQNEAEIAFYDVSGTVLHRWTTTKLLHSST >Potri.019G022200.4.v4.1 pep chromosome:Pop_tri_v4:19:3420891:3422970:1 gene:Potri.019G022200.v4.1 transcript:Potri.019G022200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G022200.v4.1 MTFQGMISILFSSRCHFSATSLEKKRETSMDSPVSYQEYLVLSITSSSQARDCISFIPLAAYTGFLRCQKVYQITRGTYTCMAKASPPNVFKAYLEQFQKDFSLFLRLRSEEIIQGGRVVFTFISRSTDDPRSNDCCLISELLAKSLLDLAAKGLVLEADIDTFNLPFYHPYEGEVREIIEMEGSFDINKLETFAINWDANDDINNNNFVFDKDQCGRNVANIIRAAAEPMLVSHFGDDITDDLFKRYAEYVGEHLCVEKTKHIHIVFTMTKKE >Potri.019G022200.5.v4.1 pep chromosome:Pop_tri_v4:19:3421017:3422863:1 gene:Potri.019G022200.v4.1 transcript:Potri.019G022200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G022200.v4.1 MVVESVLCMNPGDGETSYAKNSFLQKTVLSKARPILEDTIKDMFSTALPTCFKLADLGCFSGPNTLLFVSEIMDVVYELCQQQNCKLPGISGVPGSFYHKLFPSKRLHFFHSSSSLHWLSKVMGTYTCMAKASPPNVFKAYLEQFQKDFSLFLRLRSEEIIQGGRVVFTFISRSTDDPRSNDCCLISELLAKSLLDLAAKGLVLEADIDTFNLPFYHPYEGEVREIIEMEGSFDINKLETFAINWDANDDINNNNFVFDKDQCGRNVANIIRAAAEPMLVSHFGDDITDDLFKRYAEYVGEHLCVEKTKHIHIVFTMTKKE >Potri.019G022200.3.v4.1 pep chromosome:Pop_tri_v4:19:3420891:3422970:1 gene:Potri.019G022200.v4.1 transcript:Potri.019G022200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G022200.v4.1 MTFQGMISILFSSRCHFSATSLEKKRETSMDSPVSYQEYLVLSITSSSQARDCISFIPLAAYTGFLRCQKVYQITRGTYTCMAKASPPNVFKAYLEQFQKDFSLFLRLRSEEIIQGGRVVFTFISRSTDDPRSNDCCLISELLAKSLLDLAAKGLVLEADIDTFNLPFYHPYEGEVREIIEMEGSFDINKLETFAINWDANDDINNNNFVFDKDQCGRNVANIIRAAAEPMLVSHFGDDITDDLFKRYAEYVGEHLCVEKTKHIHIVFTMTKKE >Potri.010G175500.10.v4.1 pep chromosome:Pop_tri_v4:10:17592411:17596831:-1 gene:Potri.010G175500.v4.1 transcript:Potri.010G175500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175500.v4.1 MAQQQQQQQQSPHKPIEEMMRNLKVDPSLELSESNTVPSKDGSPSDATSCISSAGDATGSVKEDDVFDHDSLTTDQALPYPAENYYYGYYYPGYDATFGGGSNDQVYYVAGDGTELQYPVMQADNGSLMYFMPGIHHNYSSYGTYVPVSMIGIDGQFVAQSPYSPSSVFQPSIPSPGYIPTPVPYGESLPHLWDPSVFAGHGTLGNYGHSGVLETPRTKPNRSSPSNPRGPVSKKALPSDLSKSLETKTSLPALDVSSGHGMRNQLKLPGKASQHVSPFQSDVLGKGYFPFSKFPSYNPGKGAVLYPNNTIKANARGWGSNEKLKTRSKTNGINDALLNEQNHGPRTTNAKGSVAFGGNAAESLAPGGNGNSNSITSVISRDQYNLPDFPTNYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLNSAYLDSQQKIAQIGCSCPVFLFFSVNASGQFCGVAEMTGRVDFNKNMDFWQQEKWNGYFPVKWHIIKDIPNPQLRHIILENNENKPVTNSRDTQEVKFPQGIEILNIFKNYVSKTSILDDFDFYESRQKVMHERRPRSLISHFDHKQKTNELISGFQSIDISATKNKVDTRVGDNTKE >Potri.010G175500.11.v4.1 pep chromosome:Pop_tri_v4:10:17592432:17596826:-1 gene:Potri.010G175500.v4.1 transcript:Potri.010G175500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175500.v4.1 MAQQQQQQQQSPHKPIEEMMRNLKVDPSLELSESNTVPSKDGSPSDATSCISSAGDATGSVKEDDVFDHDSLTTDQALPYPAENYYYGYYYPGYDATFGGGSNDQVYYVAGDGTELQYPVMQADNGSLMYFMPGIHHNYSSYGTYVPVSMIGIDGQFVAQSPYSPSSVFQPSIPSPGYIPTPVPYGESLPHLWDPSVFAGHGTLGNYGHSGVLETPRTKPNRSSPSNPRGPVSKKALPSDLSKSLETKTSLPALDVSSGHGMRNQLKLPGKASQHVSPFQSDVLGKGYFPFSKFPSYNPGKGAVLYPNNTIKANARGWGSNEKLKTRSKTNGINDALLNEQNHGPRTTNAKGSVAFGGNAAESLAPGGNGNSNSITSVISRDQYNLPDFPTNYDHAFFFVIKSYSEDDIHKSIKYNVWASTPNGNKRLNSAYLDSQQKIAQIGCSCPVFLFFSVNASGQFCGVAEMTGRVDFNKNMDFWQQEKWNGYFPVKWHIIKDIPNPQLRHIILENNENKPVTNSRDTQEVKFPQGIEILNIFKNYVSKTSILDDFDFYESRQKVMHERRPRSLISHFDHKQQKTNELISGFQSIDISATKNKVDTRVGDNTKE >Potri.014G045000.5.v4.1 pep chromosome:Pop_tri_v4:14:2895649:2898311:1 gene:Potri.014G045000.v4.1 transcript:Potri.014G045000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045000.v4.1 MAQPWELQLNPSPPGTELPKLNALPVTAKRRRDDFDGGETSAAKRQARADVIFRIVVPSGKIGKVIGKQGHRIQKIREDTKATIKIADAVARHEERVIIISSKENENGATDAENALQRIAELILNEDDGGSSAGGGVEIGKLVNAGHVAANTIRLLIAGSQAGSLIGMSGQNIVKLRNSSGAMITVLAPNQLPLCASAYESDRVVQISGDVPVVLKALEEIGCQLRENPPKQVISISPSYNYSAVPFQQYAPQAAADYVTMEMMVPETMMGGLIGRSGSNISRIRVESGAVIKVHGGKGAQKHRHIQLAGSSQQVALAKQRVDEYIYSQLVQQAGTQQLQI >Potri.015G101200.1.v4.1 pep chromosome:Pop_tri_v4:15:12064900:12068201:-1 gene:Potri.015G101200.v4.1 transcript:Potri.015G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101200.v4.1 MPEEDMVDIKFRLYDGSDIGPFRCSSSTSTVDMLKQRIVSDWPRGKTITPKVVNEIKLISSGKVLDNNKTVGQCRTPFGEVAGGVIIMHVVVQPSLAKTKTEKKIDNSPKQIACSCSIM >Potri.001G383600.7.v4.1 pep chromosome:Pop_tri_v4:1:40295684:40306642:-1 gene:Potri.001G383600.v4.1 transcript:Potri.001G383600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383600.v4.1 MFADVVHQHYEEGDVVWCHDYHLMFLPKCLKEYNNNMKVGWFLHTPFPSSEIHRTLPSRSDLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMLPEEREKRHRHNFVHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPSLPEDNAIRCYLQSTNRLLILGFNATLTEPVDTPGRRADQIKEMELKLHPELKEALTALCSDRNNTVVVLSGSDRKALDKNFGEYDMWLAAEHGMFLRLTKGDWMTAMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETLLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDGLVAARTKQNDGPKLPNERRPPMKLPASRSGPKSSQGKAQRALINPEKGTPNHSCGNSRRSSAEKTSWNVLDLKGDNYFSCAVGRTRTNARYLLGSSDDVVSFLRRLANAST >Potri.001G383600.6.v4.1 pep chromosome:Pop_tri_v4:1:40295680:40308346:-1 gene:Potri.001G383600.v4.1 transcript:Potri.001G383600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383600.v4.1 MPGNQDNGNSIHNSGRVGRLLKLRELRRNIRSAYSNELTDNHRGTEPCEYDLRAREGDSLNNSFIEQFLEGAIAQGCEKPDARPLRQRLLVVANRLPVSAVRRGEDSWSLEMSAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKSLTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVHQHYEEGDVVWCHDYHLMFLPKCLKEYNNNMKVGWFLHTPFPSSEIHRTLPSRSDLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMLPEEREKRHRHNFVHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPSLPEDNAIRCYLQSTNRLLILGFNATLTEPVDTPGRRADQIKEMELKLHPELKEALTALCSDRNNTVVVLSGSDRKALDKNFGEYDMWLAAEHGMFLRLTKGDWMTAMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETLLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDGLVAARTKQNDGPKLPNERRPPMKLPASRSGPKSSQGKAQRALINPEKGTPNHSCGNSRRSSAEKTSWNVLDLKGDNYFSCAVGRTRTNARYLLGSSDDVVSFLRRLANAST >Potri.001G383600.2.v4.1 pep chromosome:Pop_tri_v4:1:40296000:40308086:-1 gene:Potri.001G383600.v4.1 transcript:Potri.001G383600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383600.v4.1 MPGNQDNGNSIHNSGRVGRLLKLRELRRNIRSAYSNELTDNHRGTEPCEYDLRAREGDSLNNSFIEQFLEGAIAQGCEKPDARPLRQRLLVVANRLPVSAVRRGEDSWSLEMSAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKSLTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVHQHYEEGDVVWCHDYHLMFLPKCLKEYNNNMKVGWFLHTPFPSSEIHRTLPSRSDLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMLPEEREKRHRHNFVHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPSLPEDNAIRCYLQSTNRLLILGFNATLTEPVDTPGRRADQIKEMELKLHPELKEALTALCSDRNNTVVVLSGSDRKALDKNFGEYDMWLAAEHGMFLRLTKGDWMTAMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETLLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDGLVAARTKQNDGPKLPNERRPPMKLPASRSGPKSSQGKAQRALINPEKGTPNHSCGNSRRSSAEKTSWNVLDLKGDNYFSCAVGRTRTNARYLLGSSDDVVSFLRRLANAST >Potri.001G383600.4.v4.1 pep chromosome:Pop_tri_v4:1:40295720:40317391:-1 gene:Potri.001G383600.v4.1 transcript:Potri.001G383600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383600.v4.1 MPGNQDNGNSIHNSGRVGRLLKLRELRRNIRSAYSNELTDNHRGTEPCEYDLRAREGDSLNNSFIEQFLEGAIAQGCEKPDARPLRQRLLVVANRLPVSAVRRGEDSWSLEMSAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKSLTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVHQHYEEGDVVWCHDYHLMFLPKCLKEYNNNMKVGWFLHTPFPSSEIHRTLPSRSDLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMLPEEREKRHRHNFVHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPSLPEDNAIRCYLQSTNRLLILGFNATLTEPVDTPGRRADQIKEMELKLHPELKEALTALCSDRNNTVVVLSGSDRKALDKNFGEYDMWLAAEHGMFLRLTKGDWMTAMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETLLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDGLVAARTKQNDGPKLPNERRPPMKLPASRSGPKSSQGKAQRALINPEKGTPNHSCGNSRRSSAEKTSWNVLDLKGDNYFSCAVGRTRTNARYLLGSSDDVVSFLRRLANAST >Potri.001G383600.1.v4.1 pep chromosome:Pop_tri_v4:1:40295763:40312283:-1 gene:Potri.001G383600.v4.1 transcript:Potri.001G383600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383600.v4.1 MPGNQDNGNSIHNSGRVGRLLKLRELRRNIRSAYSNELTDNHRGTEPCEYDLRAREGDSLNNSFIEQFLEGAIAQGCEKPDARPLRQRLLVVANRLPVSAVRRGEDSWSLEMSAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKSLTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVHQHYEEGDVVWCHDYHLMFLPKCLKEYNNNMKVGWFLHTPFPSSEIHRTLPSRSDLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMLPEEREKRHRHNFVHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPSLPEDNAIRCYLQSTNRLLILGFNATLTEPVDTPGRRADQIKEMELKLHPELKEALTALCSDRNNTVVVLSGSDRKALDKNFGEYDMWLAAEHGMFLRLTKGDWMTAMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETLLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDGLVAARTKQNDGPKLPNERRPPMKLPASRSGPKSSQGKAQRALINPEKGTPNHSCGNSRRSSAEKTSWNVLDLKGDNYFSCAVGRTRTNARYLLGSSDDVVSFLRRLANAST >Potri.001G383600.5.v4.1 pep chromosome:Pop_tri_v4:1:40295848:40317227:-1 gene:Potri.001G383600.v4.1 transcript:Potri.001G383600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383600.v4.1 MPGNQDNGNSIHNSGRVGRLLKLRELRRNIRSAYSNELTDNHRGTEPCEYDLRAREGDSLNNSFIEQFLEGAIAQGCEKPDARPLRQRLLVVANRLPVSAVRRGEDSWSLEMSAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKSLTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVHQHYEEGDVVWCHDYHLMFLPKCLKEYNNNMKVGWFLHTPFPSSEIHRTLPSRSDLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMLPEEREKRHRHNFVHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPSLPEDNAIRCYLQSTNRLLILGFNATLTEPVDTPGRRADQIKEMELKLHPELKEALTALCSDRNNTVVVLSGSDRKALDKNFGEYDMWLAAEHGMFLRLTKGDWMTAMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETLLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDGLVAARTKQNDGPKLPNERRPPMKLPASRSGPKSSQGKAQRALINPEKGTPNHSCGNSRRSSAEKTSWNVLDLKGDNYFSCAVGRTRTNARYLLGSSDDVVSFLRRLANAST >Potri.001G383600.3.v4.1 pep chromosome:Pop_tri_v4:1:40295848:40317521:-1 gene:Potri.001G383600.v4.1 transcript:Potri.001G383600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G383600.v4.1 MPGNQDNGNSIHNSGRVGRLLKLRELRRNIRSAYSNELTDNHRGTEPCEYDLRAREGDSLNNSFIEQFLEGAIAQGCEKPDARPLRQRLLVVANRLPVSAVRRGEDSWSLEMSAGGLVSALLGVKEFEARWIGWAGVNVPDEVGQKSLTKALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVHQHYEEGDVVWCHDYHLMFLPKCLKEYNNNMKVGWFLHTPFPSSEIHRTLPSRSDLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQDHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSHWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMLPEEREKRHRHNFVHVTTHTAQEWAETFVSELNDTVIEAQLRTRQVPPSLPEDNAIRCYLQSTNRLLILGFNATLTEPVDTPGRRADQIKEMELKLHPELKEALTALCSDRNNTVVVLSGSDRKALDKNFGEYDMWLAAEHGMFLRLTKGDWMTAMPEHLNMEWVDSVKHVFEYFTERTPRSHFELRETLLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGSRSVEVRSVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDGLVAARTKQNDGPKLPNERRPPMKLPASRSGPKSSQGKAQRALINPEKGTPNHSCGNSRRSSAEKTSWNVLDLKGDNYFSCAVGRTRTNARYLLGSSDDVVSFLRRLANAST >Potri.013G110300.2.v4.1 pep chromosome:Pop_tri_v4:13:11859944:11861520:1 gene:Potri.013G110300.v4.1 transcript:Potri.013G110300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110300.v4.1 MAVQLPDDMIVEILCRLPVKVVMRLKVVSKAWHRLISNVCAPLFSAAAAAHPSGFLFLCSFQIIGGLGYFAAYASYPDVRDCVGQTDGFVDSYACMLPFMLSSDHYFDCCNGLLLFVRREQREALPHYYFVCNTTTRQCVAIPNPRPRTAPFAAAIAYDPAKSPHYKVVRFIYLEEKTSCPVKLDIFSSDTGKWVRRGVMLSTELPLAAADADKYGCIRRSIYMDGMIYKLSFVVNYLIRFDLNAPSDVAIELPHKNAAACHGFIGMSRGSLYYSNHDESGLMISIWLLEDRCKRDPFWKLTHRISVDSLTSKYPDGRNSGLHFHTYAIHPASDIIFLGKPNMVLSYDLKSNKSEEVFTLSSGLEISSGQHFVHLYSPCYAVLSNFDNNCG >Potri.018G127500.1.v4.1 pep chromosome:Pop_tri_v4:18:13853241:13864958:1 gene:Potri.018G127500.v4.1 transcript:Potri.018G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127500.v4.1 MQEPDLHDLSDDADYAASLQQGSASMMMTRSDSGKSTSSSVPEGAEVVYLKDNVTIHPTQYASERISGRLKLIKQGSSLFMTWIPYKGQITNASLSERDRSLYTIRAVPFTDVRSIRRYTRTLGWQYIIVVLSSGLAFPSLYFYNGGVKEFLATIKQHVFIARSLEDANVFLVNDFQNPFQRTLSSLELPVSIASRPSASVSDGGEYSSYELQGRIDGSIHEDIPRPSQNHGRQKHKSHDPARDLTIQVLEKFSLVTKFARDTSSQLFRESNSNGYGAIERKSSSHSPPDIPHEASMDAKVAPGEGPVPSDPLEFDKMTLVWGKPRQPPLGSEEWEIFLDSEGRIIDSKALKKRIFYGGVEHSTCKEVWPLLLGYHAYDSTYAEREYLKSTKKSEYEIVKQQWQSISTEQAKRFTKFRERKGRIDKDVVRTDRTLSFYEGDDNANVNILRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESEAFWCFVALMARLGPNFNRDQNGMHSQLFALSKLVELLDSPLHNYFKQNDCLNYFFCFRWVLIQFKREFEYKKTMRLWEVLWTHYLSEHLHLYVCVAILKRYRNKIMGEHMDFDTLLKFTNELSGHIDLDSILRDAEALCICAGENGAACIPPGTPPSLPIENENALLYTQEEDEVL >Potri.016G005100.1.v4.1 pep chromosome:Pop_tri_v4:16:245686:249800:1 gene:Potri.016G005100.v4.1 transcript:Potri.016G005100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G005100.v4.1 MGTVSQDAINQFKALMDQVEEPLKRTYQTVHQGYQAETLARFLKAREWNVTKAHKMLLDCLNWRVHNEIDNILMKPIVPTDLYRAVRDSHLIGMSGYSREGLPVFAHGVGLSTYDKASVHYYMQSHIQINEYRDRIVLPTASKKYGRPITTGVKVLDMSGLKLSALNQIKMVTLISTIDDLNYPEKTHTYYIVNAPYVFSACWKVVKPLLQERTRKKIQVLSGNGRDELLKIMDYASLPHFCKREGSGSSRHSGYANDNCFSLDHPFHQQLYNYVKQKSLESEPSQPIKQGSFHVDLPEPAVEGMEIAKTIESQMHNFEKRNGVSGPLNGLKINDDSDHRTTHCP >Potri.008G139800.1.v4.1 pep chromosome:Pop_tri_v4:8:9413920:9414769:1 gene:Potri.008G139800.v4.1 transcript:Potri.008G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139800.v4.1 MPPILLSFSPTYRGHTRFSRILSSRGKFLDVRNRWPKKNLRRYGVGLYPAAFTSTSDSINTVWSSTSP >Potri.015G010600.2.v4.1 pep chromosome:Pop_tri_v4:15:690821:694291:-1 gene:Potri.015G010600.v4.1 transcript:Potri.015G010600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G010600.v4.1 MALFRPQLERFLSTKLHCGHSSTKTPAKNFFFATRPKSQCSAIAIDAPSSLTDVAGIRWGSASLQGAREEMEDDIIIRSDGLEGFSFAAVFDGHAGFSSVKFLRDELYKECVAALQGGLLLNGKDFNAIRKALEEAFERVDAKLLDWLEKDGVQDESGSTATAMFIGNEKLVISHIGDSSVVLSRSGKMEVLTDAHRPYGSNKISLQEIKRIREAGGWIVNGRICGDIAVSRAFGDMRFKTKKNEMLEKGVKEGRWSEKFSSRVQFNGDLVIASPEVYQIAFGSDAEFVILASDGLWDYMNSLDAAAFVRNQLQKHGDVQLACEELARKAIDRRTQDNVSIIIADLGRTDWQNLPLQQQNVVLELGQAFATIGIVTLGIWMSSLFSS >Potri.001G387900.1.v4.1 pep chromosome:Pop_tri_v4:1:40719709:40722046:1 gene:Potri.001G387900.v4.1 transcript:Potri.001G387900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387900.v4.1 MASFISLSSSIPLAASSFLPSFPKTHRVSRVKKPNRPDIPIVSCKSGKNDHEQNPATRRDVLIGLGGLYGATSLSDPFAYANPIAPPDITQCELVTLPTESDPSNCCPPTSTKIKNFEFPSASSPMRIRPAAHLVDKAYLAKYAKAIALMKSLPDDDPRSFKSQADVHCAYCDGAYHQAGFPDLDLQIHFSWLFFPWHRLYLYYFERILGKLIDDPTFALPFWNWDAPAGMQMPAIFTDPKSPLYDPLRDANHQPPTLLDLNYAKGDANPDPAKAGELYASNLNVMYRQMVSGATKPTLFFGKPYRAGDDPSPGMGTIETTPHTQIHYWTGDPNQTNGENMGNFYSAGRDPIFYCHHSNVDRMWDLWKKIPGGKRKDIEDPDWLNSEFLFWDENKELVRVKVKDTLDTKKLRYGFQDVPIPWLKARATPKFTRQEKSRRSAKKSVVLTPISAFPVVLDKVISVEVSRPKKSRSATEKEDEDEVLVIEGIEYEENQLIKFDVLVNDEPDSPGGPDKSEFAGSFINVPHKHAKKSKTTMVLGITGLLEDLEAEGDDTLVVTLVPRTGGDSVTVANVKIEFVAD >Potri.007G147200.1.v4.1 pep chromosome:Pop_tri_v4:7:15530353:15536261:1 gene:Potri.007G147200.v4.1 transcript:Potri.007G147200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G147200.v4.1 MLLEAMPAAKKNLDQRITLAFNKLLKDIGNQVEFELPDSFNKSKSTAYTLIKRNIYLTKKIKRRLEDDGIFCSCSASLGSSRVCDRDCHCGMLLSSCSSGCKCGSSCSNKPFQHRQVKKMKLVQTEKCGSGIVADEDIKQGEFVIEYVGEVIDDKTCEERLWKMKHCGETNFYLCEINRDMVIDATYKGNKSRYINHSCSPNTEMQKWIIDGETRIGIFATRDIRKGEHLTYDYQFVQFGADQDCHCGSSGCRKKLGVKPSKPKMSSDAALKLVACQVAVSSPKLKAMMSGKDVYQNGDLHIGSSQRALSSLPTYSCNCIGEVVRLSRRTDKTYFGIIKRYDKYSRKHSIMFEDGTVKFLDMSKEDWDFVTS >Potri.013G030866.1.v4.1 pep chromosome:Pop_tri_v4:13:1993773:1995022:-1 gene:Potri.013G030866.v4.1 transcript:Potri.013G030866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G030866.v4.1 MGDGDMFQDSQAEVVQSSKTMSPVMSTLTVFTTRKKNCYSFSENKGNPLLVRASFFYGNYDKKSSPPSFDMHIDGNDWVTVKTSLDQLVNYEVVYVSKGDTTSICLAQTQPNQFPFISALEVRNLDSKMYNYLDPNYALFLRSRVAYGAKETVNDAYDRIWVPATVDSGITSVASDAITIDVVNAPDNPPQAVLQNAITISSTSDSISINPGFPDQEVSIYMNLYFSEVTQLDTTQNRSFKAYIDKKPVSDPIIPPYGEVTETFINFTASSNTSFSLAANPDSTLPPLVNAMEVFYISDRLTDGTNSKDVEGLSELQKVFSDALHEWSGDPCLPSPYTWEWISCSNDTIPRITAL >Potri.004G187450.1.v4.1 pep chromosome:Pop_tri_v4:4:20029594:20030408:-1 gene:Potri.004G187450.v4.1 transcript:Potri.004G187450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187450.v4.1 MAMIPSFFNNRRGGSIFDSFSAFDIWDPLKEFPFTSTSNSLLSRENSAFVNTRIDWKETPEAHVFKADLPGLKKEEVKVEIEDDRVLQISGDRNVEKEDKNDAWHRVERSSGKFLRRFRLPENAKMDQVKASMENGVLTVTVPKEEIKKPDVKAIEISG >Potri.003G117300.1.v4.1 pep chromosome:Pop_tri_v4:3:13954100:13957526:-1 gene:Potri.003G117300.v4.1 transcript:Potri.003G117300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117300.v4.1 MSVVNSRTLQIQRPDISQFHNQKISHVRKCCNNHLSVLTPSRSFKSFSELRSLGFSMPLKSNFHCGSSRFLVSRCESQKFSDSLEPDHDENYVTDLSQMVEQKEASIVDMLEQSNSLLPHIVLASTLLALVHPPSFTWFTTRYYAPALGFLMFAVGVNSSEKDFLEAFNRPAAILAGYVGQFVVKPSLGYLFGIISVSVFGLPTPIGAGIMLVSCVSGAQLSNYATFLTDPPMAPLSIVMTSMSTATAVFVTPLLSLLLIGKRLPVDVKGMVSSIMQIVVAPIAAGLLLNRLFPQISGVIRPFLPPLSVLVTACCVGAPLAINVDSVMSPFGVTIVSLIIAFHLSAFVVGYYFTGFVFHKASDLKALQRTLSYETGMQSSLLALALANRFFKDPLVAVPPAISTVIMSLMGFSLVMIWAKKKE >Potri.011G012000.1.v4.1 pep chromosome:Pop_tri_v4:11:1116449:1121110:1 gene:Potri.011G012000.v4.1 transcript:Potri.011G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G012000.v4.1 MAAGKYQESYSSRFPNCKYQVFLSFRGEDTRKNFTDHLYTALVQAGIHTFRDDNEIRKGENIDVELQKAIQQSKISIIVFSKDYASSRWCLDELVMIMERKRNADCIVLPVFYDVDPSQVGRQTGSFSAAFVEHEKSFNEEMERVNGWRIALKEVADLAGMVLGDGYEAPLVQSIVEKVLKNLDKKMFHVPLHFIGRDPLVQYINSWLQDGSHGVAIALLYGIGGVGKTAIAKSVYNQNSYKFEGKSFLSNFRSKDIVFLQRQLLSDILKKTVDGINDEDEGILKIKDALCCRRTLIVLDDVDKRDQFNAIIGMQNWLCKGSKIIVTTRNKGLFSANDIEWIRYKVEPLDIKKSLELFSWNAFVQADPVDGFVEASLRIVHHCNGLPLALRVIGSSLSGKGREIWESALQQMEVIPNFKVQEILRISYDFLDGDYPKNLFLDITCFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESLKCQRIWRHEDAFTVLKGTTDAENLRGLTIDMHALMEDNYAKVVCTDSMVRHRLNFFQQWLSDFSYGGKLQTGQTSLFPILSTDAFRKMTDVKFLELNYTNFHGSFEHFPKNLIWLCWHGLPLSSIPNNVCLEKLVVLDLSRSCLVDAWKGKLFLPKLKVLDLRDSHDLIRTPDFSGLSSLEKLILEDCIRLVQIHESIGDLQRLLILNLKNCTSLMELPEEMSTLNSLQELVLDGCSNLDSLNMELEHHQGRNFLQSNGVVASTSYITSLPLKLFFPSRFSARKMLRCTSFALPRSVTRLDLSGTTMCSLPESIKDLGLLDFLFLRNCKMLQALPELPSHLRVLDVSFCYSLQRLANLSRWTASEGCDQLFEFQDRIKQELIQKLDSHVFRVMERVSAQLQSSRFKITFIDGIFNVVVYVFDEDEKLRGFHEEGEEDKWLIQNEFVDNFSFKIPSPPPAHRICGFNMFTRFSVTSEYSRYDAFHIEIRNNTSGRYLLRQASIFPIIYAPGVREFQSLMHMKLFVGDPTFDNGDDVSISVLPHDPAIQIKAIGVQWLHEEEGKNDDIQSKDEVINAHNSSDDDDDDDAAHVAKVEIASRIFRNYYCALHGNIGWWYFAKKGLKLILI >Potri.001G166100.4.v4.1 pep chromosome:Pop_tri_v4:1:14133340:14138848:-1 gene:Potri.001G166100.v4.1 transcript:Potri.001G166100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G166100.v4.1 MQQQRLKQQQQALMQQALLQQQSLLQQQSLYHPGLLAPPQIEPIPSGNLPPGFDPSTCRSVYVGNIHTQVTEPLLQEVFASTGPVEGCKLIRKEKSSYGFIHYFDRRAAALAILSLNGRHLFGQPIKVNWAYASGQREDTSGHFNIFVGDLSPEVTDATLYACFSVYPSCSDARVMWDQKTGRSRGFGFVSFRNQQDAQSAINDLTGKWLGSRQIRCNWAAKGASSNDDKQSSDSKSVVELTNGTSEDCKEATNNEAPENNPQYTTVYVGNLAPEVAQPDLHRHFHALGAGVIEEVRVQRDKGFGFVRFSTHAEAALAIQMGNTQSLFGKQMKCSWGSKPTPPGTSSNPLPPPAAAPLPGLTATDILAYERQLAISKMGGIHALMHPQGQHPLKQATMGMGAAGASQAIYDGGFQNVAAAQQLMYYQ >Potri.009G152300.3.v4.1 pep chromosome:Pop_tri_v4:9:11982555:11984943:-1 gene:Potri.009G152300.v4.1 transcript:Potri.009G152300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152300.v4.1 MLGARLPSRRQETWVPVLISSQEKTTIQGYHADTGSSLGKIALSNSLLILSSHISRFQNCLRTTISKFIDHRPPLHPSVDPCQVFTGNFAPVDELEPTNCTVVEGELPGCLNGVYIRNGSNPQHIPNGPLHFFEGDGMLHSLKLSGGQATHCSRYVKTYKYMLEKEAGFPIFPNILSGFYSLPDVLTYVMAVGRVLCGHINLMRGFGLANTSLAFFSNKLLALCESDLPYVIGMAQEGDIETLGRWDFDRKLFASMTAHPKVDKDTKEAFAFQCNPSFSPYVTYFYFNEDGVKQREVPLLSINQPTPIHDFAITKRFAIFPETQLVVEPTNVMLGRGMPVVCEQKKVPRIGILPRYAESDSNTRWFPVPGFNAMHVTNAWENGDDEVVLVAPNVLSIANVFHKIEKVHFSLEKLTINTRAGKVSRNILSKRSLELGSINPSYIGKKNRYAYLGIAEKVPKMSGLAKIDLEKECEVSRRLYGSGCFGGEPLFVPRKANAVKSDEDEDDGFVVSYVHDDSSGQSNFTVMDAKSPNLDIVAKVKLPRRVPYGFHSLFVSQDSLFNSNCSK >Potri.007G062322.1.v4.1 pep chromosome:Pop_tri_v4:7:7084715:7084981:-1 gene:Potri.007G062322.v4.1 transcript:Potri.007G062322.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062322.v4.1 MSGNVHVRFREKGGGQKWPCCTSLSSSMGSALFFLGEYANMILMRCGALHLTFVGLPSSGACVPAFLCNKPLRLKTSGRWSRGAFGEG >Potri.001G072600.1.v4.1 pep chromosome:Pop_tri_v4:1:5451555:5454324:-1 gene:Potri.001G072600.v4.1 transcript:Potri.001G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G072600.v4.1 MALNPQFFQNGMPVPFENELFVLARDGVDFEVDKIPGCGKLKSKGTIYLSNIRMVFVAAKPVANFYAFDMPLLFVNGEKFNQPIFLCNNISGFVEPVVPENENRALYSAHSFKILFKEGGCGTFVPLFLNLISSVRQYNQQMNSAPVTRIDPLQAANTPVDEMMRHAYVDPNDPTRIFLQQPTPQSELRRRTYQPSSYERTM >Potri.008G138900.3.v4.1 pep chromosome:Pop_tri_v4:8:9341887:9348944:1 gene:Potri.008G138900.v4.1 transcript:Potri.008G138900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138900.v4.1 MAMPPGNVVIPDKVQFPAGAAGGGGGGNEIHQHQLQRHQWFPVDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEAGEYDLVVGCIQQRRSNWNHVLHMQQYFSVGEVIVALQQVVLRRQQQQQQQQQQQQNHHHQQRFYYDHGKVGGRDFKRSSSAGFNRGHRGGGGGGGGDAVKEGVNSSVENHSFNGNSSENIRSEKFEEVKSGGDGGKSDDKKADATAKSHTDNHKNSSGNAQGTFSGNSEAVAVDDRSSPEESDSHPSNNQNEKQNLAITPKTFVAEEKIDGQMVNVVDGLKLYENLLDGLEVSKLVSLVNELRATGRRGQCQGQTYILSKRPMKGHGREMIQLGLPIADAPAEDENATGTSKERRVESIPALLQDVIEHFVAMQVMTMKPDSCIIDIYNEGDHSQPHMWPPWFGKPVSVLFLTECELTFGKVIDTLHHGDYKGSLKLSVAPGSLLVMQGKSSDLAKHAIPMIKKQRMLVTFTKSQPKKLTSNDGPRLPSHAVAPSSHWGPPPSRSPNHLRHPVPKHYAAIPTTGVLLVPPIRPQIPPPNGVQPLFMTTPVAAPMPFPAPVPIPPVSTGWPTSSPRHPSARLPVPIPGTGVFLPPPGSGNASSALQLSATATEMNFPTETEKEKENGPGKSNHDTSASPKEKSAEKTQRQDSNGDVDGIAVKKEEQQSVSHTVAGQSAGAV >Potri.008G138900.2.v4.1 pep chromosome:Pop_tri_v4:8:9341812:9348954:1 gene:Potri.008G138900.v4.1 transcript:Potri.008G138900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138900.v4.1 MAMPPGNVVIPDKVQFPAGAAGGGGGGNEIHQHQLQRHQWFPVDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEAGEYDLVVGCIQQRRSNWNHVLHMQQYFSVGEVIVALQQVVLRRQQQQQQQQQQQQNHHHQQRFYYDHGKVGGRDFKRSSSAGFNRGHRGGGGGGGGDAVKEGVNSSVENHSFNGNSSENIRSEKFEEVKSGGDGGKSDDKKADATAKSHTDNHKNSSGNAQGTFSGNSEAVAVDDRSSPEESDSHPSNNQNEKQNLAITPKTFVAEEKIDGQMVNVVDGLKLYENLLDGLEVSKLVSLVNELRATGRRGQCQAGQTYILSKRPMKGHGREMIQLGLPIADAPAEDENATGTSKERRVESIPALLQDVIEHFVAMQVMTMKPDSCIIDIYNEGDHSQPHMWPPWFGKPVSVLFLTECELTFGKVIDTLHHGDYKGSLKLSVAPGSLLVMQGKSSDLAKHAIPMIKKQRMLVTFTKSQPKKLTSNDGPRLPSHAVAPSSHWGPPPSRSPNHLRHPVPKHYAAIPTTGVLLVPPIRPQIPPPNGVQPLFMTTPVAAPMPFPAPVPIPPVSTGWPTSSPRHPSARLPVPIPGTGVFLPPPGSGNASSALQLSATATEMNFPTETEKEKENGPGKSNHDTSASPKEKSAEKTQRQDSNGDVDGIAVKKEEQQSVSHTVAGQSAGAV >Potri.008G138900.1.v4.1 pep chromosome:Pop_tri_v4:8:9341979:9349345:1 gene:Potri.008G138900.v4.1 transcript:Potri.008G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138900.v4.1 MAMPPGNVVIPDKVQFPAGAAGGGGGGNEIHQHQLQRHQWFPVDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEAGEYDLVVGCIQQRRSNWNHVLHMQQYFSVGEVIVALQQVVLRRQQQQQQQQQQQQNHHHQQRFYYDHGKVGGRDFKRSSSAGFNRGHRGGGGGGGGDAVKEGVNSSVENHSFNGNSSENIRSEKFEEVKSGGDGGKSDDKKDATAKSHTDNHKNSSGNAQGTFSGNSEAVAVDDRSSPEESDSHPSNNQNEKQNLAITPKTFVAEEKIDGQMVNVVDGLKLYENLLDGLEVSKLVSLVNELRATGRRGQCQGQTYILSKRPMKGHGREMIQLGLPIADAPAEDENATGTSKERRVESIPALLQDVIEHFVAMQVMTMKPDSCIIDIYNEGDHSQPHMWPPWFGKPVSVLFLTECELTFGKVIDTLHHGDYKGSLKLSVAPGSLLVMQGKSSDLAKHAIPMIKKQRMLVTFTKSQPKKLTSNDGPRLPSHAVAPSSHWGPPPSRSPNHLRHPVPKHYAAIPTTGVLLVPPIRPQIPPPNGVQPLFMTTPVAAPMPFPAPVPIPPVSTGWPTSSPRHPSARLPVPIPGTGVFLPPPGSGNASSALQLSATATEMNFPTETEKEKENGPGKSNHDTSASPKEKSAEKTQRQDSNGDVDGIAVKKEEQQSVSHTVAGQSAGAV >Potri.008G138900.4.v4.1 pep chromosome:Pop_tri_v4:8:9341814:9348848:1 gene:Potri.008G138900.v4.1 transcript:Potri.008G138900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138900.v4.1 MAMPPGNVVIPDKVQFPAGAAGGGGGGNEIHQHQLQRHQWFPVDERDGFISWLRGEFAAANAIIDSLCHHLRAVGEAGEYDLVVGCIQQRRSNWNHVLHMQQYFSVGEVIVALQQVVLRRQQQQQQQQQQQQNHHHQQRFYYDHGKVGGRDFKRSSSAGFNRGHRGGGGGGGGDAVKEGVNSSVENHSFNGNSSENIRSEKFEEVKSGGDGGKSDDKKDATAKSHTDNHKNSSGNAQGTFSGNSEAVAVDDRSSPEESDSHPSNNQNEKQNLAITPKTFVAEEKIDGQMVNVVDGLKLYENLLDGLEVSKLVSLVNELRATGRRGQCQAGQTYILSKRPMKGHGREMIQLGLPIADAPAEDENATGTSKERRVESIPALLQDVIEHFVAMQVMTMKPDSCIIDIYNEGDHSQPHMWPPWFGKPVSVLFLTECELTFGKVIDTLHHGDYKGSLKLSVAPGSLLVMQGKSSDLAKHAIPMIKKQRMLVTFTKSQPKKLTSNDGPRLPSHAVAPSSHWGPPPSRSPNHLRHPVPKHYAAIPTTGVLLVPPIRPQIPPPNGVQPLFMTTPVAAPMPFPAPVPIPPVSTGWPTSSPRHPSARLPVPIPGTGVFLPPPGSGNASSALQLSATATEMNFPTETEKEKENGPGKSNHDTSASPKEKSAEKTQRQDSNGDVDGIAVKKEEQQSVSHTVAGQSAGAV >Potri.017G140200.4.v4.1 pep chromosome:Pop_tri_v4:17:14102287:14107790:-1 gene:Potri.017G140200.v4.1 transcript:Potri.017G140200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140200.v4.1 MMEKTTAKTEEEVAGRSLLDLVFSWSIRDVLNRDLYKNQVKRIPETFTSTSHYMKSFIPALIEETRADLCSNMMKVSQAPTREIFSIERSKEYKPPKDLFYKMWLNRMRKTGNVKGIYEPEVGDLIALTDARPKDIADLNRPGINYLLAYVQRLSNGLDDDDNHETLWLDDDDNNETLSILTSKPIQFEVENKQNKRESVFAGQEIQKKRRATFFVVYLANMTTNVRIWRSLNSDLQGGNTNVIQNVLETSSTDSQDCSHCLSEVNRSAALSGMEETIISSSNLNDSQEDAIVSCIGLSECQHQSTVKLIWGPPGTGKTKTVGLLLFSLLKLKCRTLTCAPTNIAVLQVTSGLLKLVTDSLEYDTYGLGDVVLFGNGERMKISENDDLEDIFLDHRVEVLYHCFAPSTGWKHTVDSMINLLEDPRHQYRRYLENMKKENEGGDRDDGKIEFQEMNSNKEKDEVVGDQNQKGRNSRKVLKKILLQALKDNKKTEKKKQKVSYHQDKLPKCLGKGDQNGKENKEDNILPFEEFVKKRFKILSEKLDLLIVGLYTHLPTSVISLEVVKNMIKALDSLSRLKTLLNGVSIGDEGLKLVLHDFEDEDNSACQFSWLATTRKDCIRILNSLPRAFDVPNMFERYQVRNFCLENACLIFCTASSSAKLHTEGMKPIKLLVVDEAAQLKECESTIPLQLSGLRHAVLIGDERQLPAMVQSEISKEAEFGRSLFERLVILGHEKHLLNMQYRMHPSISLFPNKEFYGGLIQDASTVKERNYQKLFLQGNMYGPYSFINVASGKEEFNNGGSKKNLVEVAVVSEVVASLFKEFTRARKRMSVGVISPYNAQVYAIQEKIGKTYSAHSDFAVNIRSVDGFQGGEEDVIIISTVRCNANGKIGFLANRQRVNVALTRARHCLWILGNGATLVNSDSIWKKLVTDAKERGCFYNAEEDKSLSKAITDAFLELDQLDALLNVNSPLFRNARWKFCFSNDFRKSILKVRNEARQEVISSLSKLSSGWRESPEERNIVVRHGTSSELLEQYRVNDQLKLIWTVDVIKENSNHTQILKVWDVLPLPDLPKLARRLDDVFGNYTVDKMNRCKHKCKEGNLVVPMRWPMGFDGAAGSSISETDLAELLSQPLASLVIRDESEASVTSSRQAPWRNRNRKYGYSSGRMDSKPRW >Potri.017G140200.2.v4.1 pep chromosome:Pop_tri_v4:17:14102361:14107772:-1 gene:Potri.017G140200.v4.1 transcript:Potri.017G140200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140200.v4.1 MMEKTTAKTEEEVAGRSLLDLVFSWSIRDVLNRDLYKNQVKRIPETFTSTSHYMKSFIPALIEETRADLCSNMMKVSQAPTREIFSIERSKEYKPPKDLFYKMWLNRMRKTGNVKGIYEPEVGDLIALTDARPKDIADLNRPGINYLLAYVQRLSNGLDDDDNHETLWLDDDDNNETLSILTSKPIQFEVENKQNKRESVFAGQEIQKKRRATFFVVYLANMTTNVRIWRSLNSDLQGGNTNVIQNVLETSSTDSQDCSHCLSEVNRSAALSGMEETIISSSNLNDSQEDAIVSCIGLSECQHQSTVKLIWGPPGTGKTKTVGLLLFSLLKLKCRTLTCAPTNIAVLQVTSGLLKLVTDSLEYDTYGLGDVVLFGNGERMKISENDDLEDIFLDHRVEVLYHCFAPSTGWKHTVDSMINLLEDPRHQYRRYLENMKKENEGGDRDDGKIEFQEMNSNKEKDEVVGDQNQKGRNSRKVLKKILLQALKDNKKTEKKKQKVSYHQDKLPKCLGKGDQNGKENKEDNILPFEEFVKKRFKILSEKLDLLIVGLYTHLPTSVISLEVVKNMIKALDSLSRLKTLLNGVSIGDEGLKLVLHDFEDEDNSACQFSWLATTRKDCIRILNSLPRAFDVPNMFERYQVRNFCLENACLIFCTASSSAKLHTEGMKPIKLLVVDEAAQLKECESTIPLQLSGLRHAVLIGDERQLPAMVQSEISKEAEFGRSLFERLVILGHEKHLLNMQYRMHPSISLFPNKEFYGGLIQDASTVKERNYQKLFLQGNMYGPYSFINVASGKEEFNNGGSKKNLVEVAVVSEVVASLFKEFTRARKRMSVGVISPYNAQVYAIQEKIGKTYSAHSDFAVNIRSVDGFQGGEEDVIIISTVRCNANGKIGFLANRQRVNVALTRARHCLWILGNGATLVNSDSIWKKLVTDAKERGCFYNAEEDKSLSKAITDAFLELDQLDALLNVNSPLFRNARWKFCFSNDFRKSILKVRNEARQEVISSLSKLSSGWRESPEERNIVVRHGTSSELLEQYRVNDQLKLIWTVDVIKENSNHTQILKVWDVLPLPDLPKLARRLDDVFGNYTVDKMNRCKHKCKEGNLVVPMRWPMGFDGAAGSSISETDLAELLSQPLASLVIRDESEASVTSSRRPGGTGTGNMDIAVEEWIQSLGGEVQVLITNVAVQQVLEWRLQLSD >Potri.017G140200.3.v4.1 pep chromosome:Pop_tri_v4:17:14102361:14107841:-1 gene:Potri.017G140200.v4.1 transcript:Potri.017G140200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140200.v4.1 MMEKTTAKTEEEVAGRSLLDLVFSWSIRDVLNRDLYKNQVKRIPETFTSTSHYMKSFIPALIEETRADLCSNMMKVSQAPTREIFSIERSKEYKPPKDLFYKMWLNRMRKTGNVKGIYEPEVGDLIALTDARPKDIADLNRPGINYLLAYVQRLSNGLDDDDNHETLWLDDDDNNETLSILTSKPIQFEVENKQNKRESVFAGQEIQKKRRATFFVVYLANMTTNVRIWRSLNSDLQGGNTNVIQNVLETSSTDSQDCSHCLSEVNRSAALSGMEETIISSSNLNDSQEDAIVSCIGLSECQHQSTVKLIWGPPGTGKTKTVGLLLFSLLKLKCRTLTCAPTNIAVLQVTSGLLKLVTDSLEYDTYGLGDVVLFGNGERMKISENDDLEDIFLDHRVEVLYHCFAPSTGWKHTVDSMINLLEDPRHQYRRYLENMKKENEGGDRDDGKIEFQEMNSNKEKDEVVGDQNQKGRNSRKVLKKILLQALKDNKKTEKKKQKVSYHQDKLPKCLGKGDQNGKENKEDNILPFEEFVKKRFKILSEKLDLLIVGLYTHLPTSVISLEVVKNMIKALDSLSRLKTLLNGVSIGDEGLKLVLHDFEDEDNSACQFSWLATTRKDCIRILNSLPRAFDVPNMFERYQVRNFCLENACLIFCTASSSAKLHTEGMKPIKLLVVDEAAQLKECESTIPLQLSGLRHAVLIGDERQLPAMVQSEISKEAEFGRSLFERLVILGHEKHLLNMQYRMHPSISLFPNKEFYGGLIQDASTVKERNYQKLFLQGNMYGPYSFINVASGKEEFNNGGSKKNLVEVAVVSEVVASLFKEFTRARKRMSVGVISPYNAQVYAIQEKIGKTYSAHSDFAVNIRSVDGFQGGEEDVIIISTVRCNANGKIGFLANRQRVNVALTRARHCLWILGNGATLVNSDSIWKKLVTDAKERGCFYNAEEDKSLSKAITDAFLELDQLDALLNVNSPLFRNARWKFCFSNDFRKSILKVRNEARQEVISSLSKLSSGWRESPEERNIVVRHGTSSELLEQYRVNDQLKLIWTVDVIKENSNHTQILKVWDVLPLPDLPKLARRLDDVFGNYTVDKMNRCKHKCKEGNLVVPMRWPMGFDGAAGSSISETDLAELLSQPLASLVIRDESEASVTSSRQAPWRNRNRKYGYSSGRMDSKPRW >Potri.008G062600.1.v4.1 pep chromosome:Pop_tri_v4:8:3772506:3776461:-1 gene:Potri.008G062600.v4.1 transcript:Potri.008G062600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062600.v4.1 MENLQKTQAVVVVTGGDDVDVDAVDGSSHPNNKQSVGEEHIGSSSESGSCSEIVKERSSVSVVDLECGAPEIKLHLAKVERDCRICHLTMDAGNLESGVPFELGCSCKDDLAAAHKQCAEAWFKIKGNKTCEICGSVARNVTGANETELAEQWNQATDGAMATTTGPVQPAETRNFWQGHQFLNFLLACMIFAFVISWLFHFNVPS >Potri.008G062600.2.v4.1 pep chromosome:Pop_tri_v4:8:3775840:3776229:-1 gene:Potri.008G062600.v4.1 transcript:Potri.008G062600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G062600.v4.1 MENLQKTQAVVVVTGGDDVDVDAVDGSSHPNNKQSVGEEHIGSSSESGSCSEIVKERSSVSVVDLECGAPEIKLHLAKVERDCRICHLTMDAGNLESGVPFELGCSCKDDLAAAHKQCAEAWFKIKGNK >Potri.005G017600.1.v4.1 pep chromosome:Pop_tri_v4:5:1156089:1165055:1 gene:Potri.005G017600.v4.1 transcript:Potri.005G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G017600.v4.1 MLKLLSSTSRQIQTHLTSPCLRVTTESQPSSFLKSLSSLTGLTQRNHKSLSFYQRAFFCSGSSSGDGGDGGGFVEVEVRSGASDTEAEVGAADASNSSAIVPTNPRPEDYLTVLALPLPHRPLFPGFYMPIYVKDPKLLAALQESRKRQAPYCGAFLLKDEPGTDPSVVTGSESEKNIGDLKGKDLYNHLHEVGTLAQITTIQGDQVILIGHRRLRITEMASEDPLTVKVDHLKDKPYNKDDDVIKATSFEVISTLRDVLKTSSLWRDHVQTYTQHVGDFNFPRLADFGAAISGASKLQCQEVLEELDVHKRLKLTLELVKKEIEISKIQESIAKAIEEKISGEQRRYLLNEQLKAIKKELGLEADDKTALSAKFRERLEPNRDKIPVHVLQVIEEELTKLQLLEASSSEFNVTRNYLDWLTALPWGSYSDENFDVLRAQKILDEDHYGLTDVKERILEFIAVGKLRGISQGKIICLSGPPGVGKTSIGRSIARSLNRKFFRFSVGGLSDVAEIKGHRRTYIGAMPGKMVQCLKNVGTANPLVLIDEIDKLGRGHSGDPASALLELLDPEQNANFLDHYLDVPIDLSKVLFVCTANVVDMIPNPLLDRMEVIAIAGYITDEKVHIARDYLEKATREACGIKPEQVEVTDAALLALIENYCREAGVRNLQKHIEKIYRKIALQLVRQGAIIESAVPVAELNEAKVECVETSTESVDVSSNKQNNETPEEAEIVHTGQTLEEAEIVQMNPQPDDLQSSTDQPTDSKDTAETEKIEESEVTKAIEKVLIDTSNLVDFVGKPVFHAERLYYQTPIGVVMGLAWTAMGGSTLYIETTQVEQGDGKGALNLTGQLGEVMKESAQIAHTVARAILLEKEPDNLFFANTKLHLHVPAGATPKDGPSAGCTMITSLLSLAMKKPVRKDLAMTGEVTLTGKILPIGGVKEKTLAARRSDVKTIIFPSANRRDFDELLPNVKEGLDVHFVDDYSQIFELAFGYEENENK >Potri.007G078200.2.v4.1 pep chromosome:Pop_tri_v4:7:10241844:10245392:-1 gene:Potri.007G078200.v4.1 transcript:Potri.007G078200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078200.v4.1 MAAAPQATVLNQQPLFEDQEMPTQMGFFSFPSHLTYPQLGSCHQSSKGFIIPPSLAADAPSTTNIAETLLLSSATHKQREGTFASDLGGPHLLSLQRSRANLWAWGEVNECLSRKRSGSGEDHLGLSSIKMKKIKARRKVREPRFCFKTMSDVDVLDDGYKWRKYGQKVVKNTQHPRSYYRCTQDNCRVKKRVERLAEDPRMVITTYEGRHAHSPSLDLEESQAPSQLSNFFF >Potri.008G176100.1.v4.1 pep chromosome:Pop_tri_v4:8:12183651:12189502:1 gene:Potri.008G176100.v4.1 transcript:Potri.008G176100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G176100.v4.1 MFGTPSSTPAFGTPSSTPPFGIPSSTPLFGTPSTPAFANGGFGSSLFSTPFTSQTQQQQQQQQQQQQTSLFQQPSTTGFGFQQQQQQTPFATPLQTTPFPSPPPPQLSTQMALVAPLPFSLADRDIQAIVDAYKEDPGNLKYAFKYLLLSVTDPRHRGKLAGVSDIMWAESMAKLEGMEGTDRERLWPQLVRGFKDLSHRLKLQDEVIVSDAERLRMTQSNIKMLQRHFQAVALPRIERMRQKEQCLQRHLLRVMRIMEALEGKGFRLPLTKAEAELAEKLAAIIRQLKGSGAELSRRVQNLLTVSRVQENGIGAGGSLYLPGSTKIHEQSLADMQEVLQQQTEAIARLGNVLKRDIRDMEIMMAEGTEMTE >Potri.013G161200.2.v4.1 pep chromosome:Pop_tri_v4:13:15495275:15503666:1 gene:Potri.013G161200.v4.1 transcript:Potri.013G161200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161200.v4.1 MQDQVMSLKQRGIRAEFLGSAQTDGSVHTKAQTGHFHLLFMTPEKACSTPLSFWLKLLEAGICLFAVDEAHCISEWGHDFRVEYKQLYKLRDVLLEVPFVALTATATEKVRIDIINSLKMNNPYVAVGSFDRKNLFYGVKHFNRSLQFVDELVQEISKYARKAGSTIIYCTTIKDVEQIHKSLKEAGIKTGIYHGQMSSKAREESHRSFVRDELLVMVATIAFGMGIDKPNIRQVIHYGCPKSIESYYQESGRCGRDGIPSVCWLYYTRADFAKADFYCGGLRTENQRRAVMESLMAAQHYCSLTTCRRKFLLSYFGEKFSAEKCGNCDNCMVSKRERDMSKESFLLMSCIQSCEGNWGLNMPVDVLRGSRAKKILNAHFDKLPFHGLGKDYSSNWWKSLAYQLISHGYLMETFRDTYKFVRVSPKGKQYIQSARPDHQPALILPLTDEMVEDEEQQCTTGGVGELNSMATLECEQLSEAEARIFHMLLDERTKLAKSIGTAPYAVCGDQTIKKIALVRPSTKARLANIDGVNQHLVVRHGDYLLQTIRDLSQELNLSLDGGANLQTANTRKANQVPNHKKLTPAKLDAWKMWHENGLPIQKIANFPGRSAPIKEGSVVEYLLEAAQGGLQIEWTRLCGEVGLSRERLSEIEGAISKVGSREKLKPIKDELPEDISYAHIKICLAMQNCGFLPEVTPPSHLDTSKADEFVESESGMVSTGTSCLDTVHEVEISVKIMATHCCSDHNEETSSLMVRIAQDQEVHPINDANVLSPRKRQKVDIPEESTTALEATESSILDWLKNYDEGVFIRDILEHFNGSKEELVIDLLSSLESDFVIFKKNDLYMLL >Potri.013G161200.1.v4.1 pep chromosome:Pop_tri_v4:13:15494712:15503663:1 gene:Potri.013G161200.v4.1 transcript:Potri.013G161200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G161200.v4.1 MLSTLKQYFGYANFRAYQKEVIDQILDKRDCLAVMATGSGKSLCYQVPPLLLEKTAVVISPLISLMQDQVMSLKQRGIRAEFLGSAQTDGSVHTKAQTGHFHLLFMTPEKACSTPLSFWLKLLEAGICLFAVDEAHCISEWGHDFRVEYKQLYKLRDVLLEVPFVALTATATEKVRIDIINSLKMNNPYVAVGSFDRKNLFYGVKHFNRSLQFVDELVQEISKYARKAGSTIIYCTTIKDVEQIHKSLKEAGIKTGIYHGQMSSKAREESHRSFVRDELLVMVATIAFGMGIDKPNIRQVIHYGCPKSIESYYQESGRCGRDGIPSVCWLYYTRADFAKADFYCGGLRTENQRRAVMESLMAAQHYCSLTTCRRKFLLSYFGEKFSAEKCGNCDNCMVSKRERDMSKESFLLMSCIQSCEGNWGLNMPVDVLRGSRAKKILNAHFDKLPFHGLGKDYSSNWWKSLAYQLISHGYLMETFRDTYKFVRVSPKGKQYIQSARPDHQPALILPLTDEMVEDEEQQCTTGGVGELNSMATLECEQLSEAEARIFHMLLDERTKLAKSIGTAPYAVCGDQTIKKIALVRPSTKARLANIDGVNQHLVVRHGDYLLQTIRDLSQELNLSLDGGANLQTANTRKANQVPNHKKLTPAKLDAWKMWHENGLPIQKIANFPGRSAPIKEGSVVEYLLEAAQGGLQIEWTRLCGEVGLSRERLSEIEGAISKVGSREKLKPIKDELPEDISYAHIKICLAMQNCGFLPEVTPPSHLDTSKADEFVESESGMVSTGTSCLDTVHEVEISVKIMATHCCSDHNEETSSLMVRIAQDQEVHPINDANVLSPRKRQKVDIPEESTTALEATESSILDWLKNYDEGVFIRDILEHFNGSKEELVIDLLSSLESDFVIFKKNDLYMLL >Potri.001G006250.2.v4.1 pep chromosome:Pop_tri_v4:1:429581:430926:-1 gene:Potri.001G006250.v4.1 transcript:Potri.001G006250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006250.v4.1 MEMPACFSRGNKNDHLGLCCAWVGTTRQKAWPRHMLRILKKTACHAHSL >Potri.014G018900.1.v4.1 pep chromosome:Pop_tri_v4:14:1161902:1164942:1 gene:Potri.014G018900.v4.1 transcript:Potri.014G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018900.v4.1 MKMERQVMRVKDLNLGLQLIATLLVLCCCYTHAVAEEKSQQTKKTFIVHMDMSKMAATYEDHFQWYDSSLKSVSESADMLYAYNNIIHGFSTRLTPEEAELLEKQPGILAVLPEMIYKLHTTHSPEFLGLGKSDAVPPASASMSEVIVGVLDTGVWPEIKSFDDTGLGPIPSTWKGTCAVGKNFNSSSCNRKLIGAQYFSKGYEAAFGPIDETMESKSPRDDDGHGTHTATTAAGSAVSGASLFGYAFGTARGMATQARVAAYKVCWLGGCFSSDILAAMEKAVADGVNVISMSIGGGISDYNRDTVAIGAFRAVAQGILVSCSAGNGGPSPGSLTNVAPWITTVGAGTLDRDFPAYVSLGNGKNYSGISLYSGKPLSDSLLPLVYAGNVSNSTSGNLCMTGTLVPSQVAGKIVICDRGLNSRVQKGMVVRDSGGLGMILANTELYGEELVADAHLLPTSTVGQRTADAIKNYAFSDPKPMATIASGGTKLGVEPSPVLAAFSSRGPNLVTPEVLKPDLIAPGVNILAGWTGAVGPTGLTSDKRHVSFNIISGTSMSCPHVSGLAALVKAAHQDWSPAAIKSALMTTAYATYKNGENILDVATGQPSTPFDFGAGHVNPVAALDPGLVYDASVDDYINFFCALNYSASDIKQITNKDFTCDSSKKYSLGDLNYPSFSVPLETASGKGGGAGVTSTVKYTRTLTNVGVPATYKLSMTSKTPSVKILVEPESLSFAKEYEKKTYTVTFTATSMPSGTNSFAHLEWSDGKHVVGSPIAFSWT >Potri.014G006501.2.v4.1 pep chromosome:Pop_tri_v4:14:543490:544799:-1 gene:Potri.014G006501.v4.1 transcript:Potri.014G006501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006501.v4.1 MDWSSFTKGYFLNREALVAVLLLIDASVLPQKIDLDCANWLGRNNIPMTFVFTKCDKMKGGKGIRRVENIRNFQELIRQNYQQHPAWIMTSIVIGLGRDELLLHMSQLRNYWDQ >Potri.006G159000.1.v4.1 pep chromosome:Pop_tri_v4:6:14242528:14243560:-1 gene:Potri.006G159000.v4.1 transcript:Potri.006G159000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G159000.v4.1 MPRRTAQVPNSFYLINTLHIFKESIRVMLLHPTHFHSISIFLFSPLPISLFISHFLTRSSPQLPFSTTSITDHLLEHYGVLPQLASKTLIHIIICFPSSITFGLLGQAATVQLVSDSYNGINLNGRRLLVRSGAAWIKLLRTCFWELLILLALWVIFVATLVSVPGTLFAYGICSRMLGLRVILGFLGAPFCLAFAHLVVVANLAKVLAVLESECCGFKSLVKASNMMAGRQQTALVMALLSNMGLGLVQCLFEFKMSKGISFWEGPMLVSMYSTVLVFDTVTTVVFYYACKP >Potri.003G179000.1.v4.1 pep chromosome:Pop_tri_v4:3:18534167:18541576:-1 gene:Potri.003G179000.v4.1 transcript:Potri.003G179000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G179000.v4.1 MESADISRGSDSFRGSSRGVSSVWRNSTVEVFSRSSRDEDDEEALKWAALEKLPTYDRLRKGILTSASRGIISEVDIENLGVQERKQLLERLVKVADEDNEKFLWKLKNRVERVGIEFPTIEVRYENLNIEAEAYVGSSALPSFAKFIFNIIEGFFIALHVLPSRKKPLTILKDVSGIIKPSRLTLLLGPPNSGKTTLLLALAGKLDPSLKFSGHVTYNGHEMNEFIPQRTAAYVSQHDLHIGEMTVRETLEFSARCQGVGHLHEMLAELSRREKEANIKPDPDVDVFMKAVATQGQEANVITDYVLKILGLEVCADTLVGDEMIRGISGGQRKRVTTGEMLVGPSRALLMDEISTGLDSSTTYQIVNSLKQTIHVLNCTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLGFFEHMGFKCPDRKGAADFLQEVTSKKDQEQYWAIKDQPYRFVRVNEFSEAFQSFNVGRKIADELSIPFDKTKNHPAALVNKKYGAGKMDLLKANFSREYLLMKRNSFVYIFKICQLTVVALISMSLFFRTKMHHDTVADGGIYTGALFFTVIMIMFNGMSELSMTIAKLPVFYKQRELLFFPPWAYSIPPWILKIPVTFVEVAAWVFLTYYVIGFDPNVERLLRQYFLLLLINQMASALFRFIAAAGRNMIVANTFGSFALLTLFALGGFILSREQIKKWWIWGYWLSPLMYGQNAIVVNEFLGHSWSHNPGNSTEPLGIQVLKSREFFTEANWYWIGVGATVGFMLLFNICFVLALTFLNAFEKPQAFIFEESEREGSVGKTGGAVQLSNHRSSHKNKTENGDEIIRNGFASIGEASDKRKRGMVLPFEPHSITFDDVIYSVDMPQEMKIQGVVEDRLVLLKGVSGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKKQETFARIAGYCEQNDIHSPHVTVYESLLYSAWLRLPPEVDSETRKMFIEEVMELVELDSLRNALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELFLMKRGGEEIYVGPLGHHSTHLIKYFEAIEGVSKIKDGYNPATWMLEVTASSQEMALEVDFANIYKNSDLFRRNKALIAELSTPAPGSKDVHFPTRYSTSFFTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIALMFGTMFWDLGSKVKTTQDLINAMGSMYAAVLFLGFQNGTAVQPVVAVERTVFYRERAAGMYSALPYAFAQALIELPYVFVQAAVYGVIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMAVAVTPNHHIAAIVSTAFYAIWNLFSGFIIPRTRIPIWWRWYYWGCPVSWSLYGLVVSQYGDIQEPITATQTVEGYVKDYLGFDHDFLGVVAAVVLGWTVLFAFIFAFSIKAFNFQRR >Potri.014G042550.1.v4.1 pep chromosome:Pop_tri_v4:14:2759498:2760603:1 gene:Potri.014G042550.v4.1 transcript:Potri.014G042550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G042550.v4.1 MWKRQVLSLSNILSKAARRIYVPQLPLQIPRCLFGKCQKADKVAKVASGKVESIAQSFGDALRNDSLLPKQTYGNDRHVMCTKQRLQCIRKELKPFPLCVLTGLSLEGFSR >Potri.013G001150.2.v4.1 pep chromosome:Pop_tri_v4:13:89110:93469:1 gene:Potri.013G001150.v4.1 transcript:Potri.013G001150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001150.v4.1 MATETAPSHQTPTSDHESIENKVTEEVKPVEPETVSLAGKPEEEDLQPNELASQPTLLDKSEAVEDKEVEPPAVVVKKIDGVPAINVPVDDKKELEKDSISDSHTPSVPALVADVVNGEPVAPAIHSVLKEAEEQQLSTSVEELLQEKPKMVDVPVSLDEAIAKTEEPSKVLPIKESEPIEAKDSEDSAVSKEVDKVESIIPEVEVKLEEQSEVGKQVEEPKTEAATKQPKEPLEVLPVKSEAVAVKDSEDSQLVSKEDDKPESEEQSEVIKVEPKEKSVEVIAETQEPVEALPTKELEAVQVKDIDDSQELFKEADKVGTIVPELREVKLESEVTEHVEKTDEQSVEAIKETQDSSEVHPIKESEAVPLKDIDDLVAVPGVDKPQPVIPEADKPEPVVLEVEVKQEEQSEVTQQIEKPESVVPETEVKLEEQSEVTKLDEKTEEHEVEVKPEGQSIIREQVEQSEIAEQIEKPESVIPVTEVKLEGQSELTKLDEKTEEQEVEVKPDGQSVIRKQAEQSEVAEQIEKPESVIPETEVKLEEQSEVSKLDEKTEEHEVEVKPEGQYEVSEQVEIKSTDEKRGQVAAITQVAQAEIKEDGGKSSLPEIIQKAGPEAEGTDLAEASLKEIVVEAEKGREEKEARTIKAEGEKIASDTVKEELAQPIKVEEVSNAASNAKITEKSFEGEKTVESVEPALENKKEEIPAIDETHKDGTIEGKLDEATTVVSEPVKESQDSVSEAKEEEETAKTNEENSEQEKVDEIAKSDTQNLEYSTQDAEDAKESQDLPREVPAKPTQKHSNNILTRVKQSLVKAKKAIIGKSPTPKTVSSDSKGDVKVNN >Potri.013G001150.1.v4.1 pep chromosome:Pop_tri_v4:13:88977:93556:1 gene:Potri.013G001150.v4.1 transcript:Potri.013G001150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G001150.v4.1 MATETAPSHQTPTSDHESIENKVTEEVKPVEPETVSLAGKPEEEDLQPNELASQPTLLDKSEAVEDKEVEPPAVVVKKIDGVPAINVPVDDKKELEKDSISDSHTPSVPALVADVVNGEPVAPAIHSVLKEAEEQQLSTSVEELLQEKPKMVDVPVSLDEAIAKTEEPSKVLPIKESEPIEAKDSEDSAVSKEVDKVESIIPEVEVKLEEQSEVGKQVEEPKTEAATKQPKEPLEVLPVKSEAVAVKDSEDSQLVSKEDDKPESEEQSEVIKVEPKEKSVEVIAETQEPVEALPTKELEAVQVKDIDDSQELFKEADKVGTIVPELREVKLESEVTEHVEKTDEQSVEAIKETQDSSEVHPIKESEAVPLKDIDDLVAVPGVDKPQPVIPEADKPEPVVLEVEVKQEEQSEVTQQIEKPESVVPETEVKLEEQSEVTKLDEKTKEQEVEVKPEGQFVIRKQVEQSEVAEQIKKPELVIPEIEVKLEEQSEVTKLHEKTEEHEVEVKPEGQSVIREQVEQSEVAEQIEKPESVIPEIEVKLEEQSEVTKLDEKTEEHEVEVKPEGQSIIREQVEQSEIAEQIEKPESVIPVTEVKLEGQSELTKLDEKTEEQEVEVKPDGQSVIRKQAEQSEVAEQIEKPESVIPETEVKLEEQSEVSKLDEKTEEHEVEVKPEGQYEVSEQVEIKSTDEKRGQVAAITQVAQAEIKEDGGKSSLPEIIQKAGPEAEGTDLAEASLKEIVVEAEKGREEKEARTIKAEGEKIASDTVKEELAQPIKVEEVSNAASNAKITEKSFEGEKTVESVEPALENKKEEIPAIDETHKDGTIEGKLDEATTVVSEPVKESQDSVSEAKEEEETAKTNEENSEQEKVDEIAKSDTQNLEYSTQDAEDAKESQDLPREVPAKPTQKHSNNILTRVKQSLVKAKKAIIGKSPTPKTVSSDSKGDVKVNN >Potri.002G026800.2.v4.1 pep chromosome:Pop_tri_v4:2:1784984:1786054:1 gene:Potri.002G026800.v4.1 transcript:Potri.002G026800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G026800.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.013G119600.3.v4.1 pep chromosome:Pop_tri_v4:13:12811831:12813298:-1 gene:Potri.013G119600.v4.1 transcript:Potri.013G119600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119600.v4.1 MDGIIFHTLDKLFAKTGVSPSEIDIIVSSVSLFSPVPSLTARVINHYKMREDIKAFNLSGMGCSASVVAVDLVKQLFKTYKNSLAIVVSTESMGPNWYSGKDKSMMLSNILFRTGGCSMLLTNNRALKHKALLELTCSVRTHIGSNDEAYSSCIQVEDDLGHKGFRLTRDLPKAGAKALTMNLRVLLPKVLPLSELLRYKISYYRNKIMKRPTSKAAGPGLDLRSGIDHFCVHPGGRAIIDEVGKSLALNDYDLEPARMALYRFGNTSSGGLWYVLGYMEAKKRLKKGDKILMISLGAGFKCNNCVWKVMKDLEDTNVWQDCIDQYPPKTIDNPFSEKFDWINDESMNFARIEDFLPQIQLLA >Potri.013G119600.1.v4.1 pep chromosome:Pop_tri_v4:13:12811837:12813729:-1 gene:Potri.013G119600.v4.1 transcript:Potri.013G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G119600.v4.1 MELFLAMLPLLLWYIIFNLFKLGYQKKDHCCYMLSYQCHKATEDQKLDTGSCAKIVTRNKNLGIEEYRFLLRTMVSSGIGEQTYCPKNIIEGREESATHMDAVSEMDGIIFHTLDKLFAKTGVSPSEIDIIVSSVSLFSPVPSLTARVINHYKMREDIKAFNLSGMGCSASVVAVDLVKQLFKTYKNSLAIVVSTESMGPNWYSGKDKSMMLSNILFRTGGCSMLLTNNRALKHKALLELTCSVRTHIGSNDEAYSSCIQVEDDLGHKGFRLTRDLPKAGAKALTMNLRVLLPKVLPLSELLRYKISYYRNKIMKRPTSKAAGPGLDLRSGIDHFCVHPGGRAIIDEVGKSLALNDYDLEPARMALYRFGNTSSGGLWYVLGYMEAKKRLKKGDKILMISLGAGFKCNNCVWKVMKDLEDTNVWQDCIDQYPPKTIDNPFSEKFDWINDESMNFARIEDFLPQIQLLA >Potri.017G058800.1.v4.1 pep chromosome:Pop_tri_v4:17:4725458:4726088:-1 gene:Potri.017G058800.v4.1 transcript:Potri.017G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058800.v4.1 MFLYNNNALFVVANKGFDSRTQQEMSSLSSDWSCGLDSLFLSQIEIAYSGIVEHCLILGMDEDCTFRIV >Potri.018G045500.2.v4.1 pep chromosome:Pop_tri_v4:18:3870135:3874647:-1 gene:Potri.018G045500.v4.1 transcript:Potri.018G045500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045500.v4.1 MESTKGPQPCPMLLFMLLFLFLNSRMSNAENQELELLLSFKTSLNDPSKYLSNWNTSATFCNWLGITCTNSSRISGIELSGKNISGKISSLIFHFPYIQTIDLSSNQLSGKLPDDIFLSSSLRYLNLSNNNFTGPIPSGSIPLLETLDLSNNMLSGKIPQEIGSFFSLKFLDLGGNALVGKIPPSITKLTSLKVFTLASNQLVGQIPHELGQMRSLKLIYLGYNNLSGEIPTEIGQLISLNHLDLVYNNLIGQIPSSLGNLTDLQYLFLYQNKFTGPIPKSIFGLTKLISLDLSDNFLSGEIPELIIQLKNLEILHLFSNHFTGKIPVALSSLPRLQVLQLWSNKLSGEIPKDLGKHNNLTVLDLSTNSLSGRIPEGLCSSGNLFKLILFSNSLEGEIPKSLSACKSMRRIRLQDNSLSGELSSEFTKLPLVYFLDISANKLLGRIDSRKWEMPSLQMLSLARNSFFGGLPDSFGSDNLENLDLSHNQFSGAIPNKFGSLSELMQLNLSKNKLSGEIPDELSSCEKLVSLDLSQNKLSGQIPAGFAEMPVLGQLDLSYNELSGEVPANLGKEESLVQVNISHNHFHGSLPSTGAFLAINASAVAGNDLCGGDKTSGLPPCRRVKSPLWWFYVACSLGALVLLALVASGFVFFRGKRNSELKRVENEDGTWELLLFNSKVSRSIAIEDIIMSLKEENLISRGKEGASYKGKSIANDMQFILKKTNDVNSIPPSEVAELGKLQHPNIVKLFGLCRSNKGAYVVHEYIDGKQLSEVLRNLSWERRQQIAIGIAKALRFLHCYCSPRVLVGYLSPGKIIVDGKYVPHLIVSLPGSLCIDNTKCFISSAYVAPETRETKDISEKSDMYGFGLVLIELLTGKGPADAEFGVHESIVKWARYCYSDCHLDMWIDPMIRRNASINENEMVETMNLALQCTATEPTARPCANEVSKTLESASKTSSCVLGLKFSSLFKYFN >Potri.018G045500.3.v4.1 pep chromosome:Pop_tri_v4:18:3870136:3874617:-1 gene:Potri.018G045500.v4.1 transcript:Potri.018G045500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045500.v4.1 MESTKGPQPCPMLLFMLLFLFLNSRMSNAENQELELLLSFKTSLNDPSKYLSNWNTSATFCNWLGITCTNSSRISGIELSGKNISGKISSLIFHFPYIQTIDLSSNQLSGKLPDDIFLSSSLRYLNLSNNNFTGPIPSGSIPLLETLDLSNNMLSGKIPQEIGSFFSLKFLDLGGNALVGKIPPSITKLTSLKVFTLASNQLVGQIPHELGQMRSLKLIYLGYNNLSGEIPTEIGQLISLNHLDLVYNNLIGQIPSSLGNLTDLQYLFLYQNKFTGPIPKSIFGLTKLISLDLSDNFLSGEIPELIIQLKNLEILHLFSNHFTGKIPVALSSLPRLQVLQLWSNKLSGEIPKDLGKHNNLTVLDLSTNSLSGRIPEGLCSSGNLFKLILFSNSLEGEIPKSLSACKSMRRIRLQDNSLSGELSSEFTKLPLVYFLDISANKLLGRIDSRKWEMPSLQMLSLARNSFFGGLPDSFGSDNLENLDLSHNQFSGAIPNKFGSLSELMQLNLSKNKLSGEIPDELSSCEKLVSLDLSQNKLSGQIPAGFAEMPVLGQLDLSYNELSGEVPANLGKEESLVQVNISHNHFHGSLPSTGAFLAINASAVAGNDLCGGDKTSGLPPCRRVKSPLWWFYVACSLGALVLLALVASGFVFFRGKRNSELKRVENEDGTWELLLFNSKVSRSIAIEDIIMSLKEENLISRGKEGASYKGKSIANDMQFILKKTNDVNSIPPSEVAELGKLQHPNIVKLFGLCRSNKGAYVVHEYIDGKQLSEVLRNLSWERRQQIAIGIAKALRFLHCYCSPRVLVGYLSPGKIIVDGKYVPHLIVSLPGSLCIDNTKCFISSAYVAPETRETKDISEKSDMYGFGLVLIELLTGKGPADAEFGVHESIVKWARYCYSDCHLDMWIDPMIRRNASINENEMVETMNLALQCTATEPTARPCANEVSKTLESASKTSSCVLGLKFSSLFKYFN >Potri.018G045500.4.v4.1 pep chromosome:Pop_tri_v4:18:3870171:3874323:-1 gene:Potri.018G045500.v4.1 transcript:Potri.018G045500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045500.v4.1 MESTKGPQPCPMLLFMLLFLFLNSRMSNAENQELELLLSFKTSLNDPSKYLSNWNTSATFCNWLGITCTNSSRISGIELSGKNISGKISSLIFHFPYIQTIDLSSNQLSGKLPDDIFLSSSLRYLNLSNNNFTGPIPSGSIPLLETLDLSNNMLSGKIPQEIGSFFSLKFLDLGGNALVGKIPPSITKLTSLKVFTLASNQLVGQIPHELGQMRSLKLIYLGYNNLSGEIPTEIGQLISLNHLDLVYNNLIGQIPSSLGNLTDLQYLFLYQNKFTGPIPKSIFGLTKLISLDLSDNFLSGEIPELIIQLKNLEILHLFSNHFTGKIPVALSSLPRLQVLQLWSNKLSGEIPKDLGKHNNLTVLDLSTNSLSGRIPEGLCSSGNLFKLILFSNSLEGEIPKSLSACKSMRRIRLQDNSLSGELSSEFTKLPLVYFLDISANKLLGRIDSRKWEMPSLQMLSLARNSFFGGLPDSFGSDNLENLDLSHNQFSGAIPNKFGSLSELMQLNLSKNKLSGEIPDELSSCEKLVSLDLSQNKLSGQIPAGFAEMPVLGQLDLSYNELSGEVPANLGKEESLVQVNISHNHFHGSLPSTGAFLAINASAVAGNDLCGGDKTSGLPPCRRVKSPLWWFYVACSLGALVLLALVASGFVFFRGKRNSELKRVENEDGTWELLLFNSKVSRSIAIEDIIMSLKEENLISRGKEGASYKGKSIANDMQFILKKTNDVNSIPPSEVAELGKLQHPNIVKLFGLCRSNKGAYVVHEYIDGKQLSEVLRNLSWERRQQIAIGIAKALRFLHCYCSPRVLVGYLSPGKIIVDGKYVPHLIVSLPGSLCIDNTKCFISSAYVAPETRETKDISEKSDMYGFGLVLIELLTGKGPADAEFGVHESIVKWARYCYSDCHLDMWIDPMIRRNASINENEMVETMNLALQCTATEPTARPCANEVSKTLESASKTSSCVLGLKFSSLFKYFN >Potri.018G045500.5.v4.1 pep chromosome:Pop_tri_v4:18:3870170:3874314:-1 gene:Potri.018G045500.v4.1 transcript:Potri.018G045500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045500.v4.1 MESTKGPQPCPMLLFMLLFLFLNSRMSNAENQELELLLSFKTSLNDPSKYLSNWNTSATFCNWLGITCTNSSRISGIELSGKNISGKISSLIFHFPYIQTIDLSSNQLSGKLPDDIFLSSSLRYLNLSNNNFTGPIPSGSIPLLETLDLSNNMLSGKIPQEIGSFFSLKFLDLGGNALVGKIPPSITKLTSLKVFTLASNQLVGQIPHELGQMRSLKLIYLGYNNLSGEIPTEIGQLISLNHLDLVYNNLIGQIPSSLGNLTDLQYLFLYQNKFTGPIPKSIFGLTKLISLDLSDNFLSGEIPELIIQLKNLEILHLFSNHFTGKIPVALSSLPRLQVLQLWSNKLSGEIPKDLGKHNNLTVLDLSTNSLSGRIPEGLCSSGNLFKLILFSNSLEGEIPKSLSACKSMRRIRLQDNSLSGELSSEFTKLPLVYFLDISANKLLGRIDSRKWEMPSLQMLSLARNSFFGGLPDSFGSDNLENLDLSHNQFSGAIPNKFGSLSELMQLNLSKNKLSGEIPDELSSCEKLVSLDLSQNKLSGQIPAGFAEMPVLGQLDLSYNELSGEVPANLGKEESLVQVNISHNHFHGSLPSTGAFLAINASAVAGNDLCGGDKTSGLPPCRRVKSPLWWFYVACSLGALVLLALVASGFVFFRGKRNSELKRVENEDGTWELLLFNSKVSRSIAIEDIIMSLKEENLISRGKEGASYKGKSIANDMQFILKKTNDVNSIPPSEVAELGKLQHPNIVKLFGLCRSNKGAYVVHEYIDGKQLSEVLRNLSWERRQQIAIGIAKALRFLHCYCSPRVLVGYLSPGKIIVDGKYVPHLIVSLPGSLCIDNTKCFISSAYVAPETRETKDISEKSDMYGFGLVLIELLTGKGPADAEFGVHESIVKWARYCYSDCHLDMWIDPMIRRNASINENEMVETMNLALQCTATEPTARPCANEVSKTLESASKTSSCVLGLKFSSLFKYFN >Potri.018G045500.1.v4.1 pep chromosome:Pop_tri_v4:18:3870136:3873961:-1 gene:Potri.018G045500.v4.1 transcript:Potri.018G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045500.v4.1 MESTKGPQPCPMLLFMLLFLFLNSRMSNAENQELELLLSFKTSLNDPSKYLSNWNTSATFCNWLGITCTNSSRISGIELSGKNISGKISSLIFHFPYIQTIDLSSNQLSGKLPDDIFLSSSLRYLNLSNNNFTGPIPSGSIPLLETLDLSNNMLSGKIPQEIGSFFSLKFLDLGGNALVGKIPPSITKLTSLKVFTLASNQLVGQIPHELGQMRSLKLIYLGYNNLSGEIPTEIGQLISLNHLDLVYNNLIGQIPSSLGNLTDLQYLFLYQNKFTGPIPKSIFGLTKLISLDLSDNFLSGEIPELIIQLKNLEILHLFSNHFTGKIPVALSSLPRLQVLQLWSNKLSGEIPKDLGKHNNLTVLDLSTNSLSGRIPEGLCSSGNLFKLILFSNSLEGEIPKSLSACKSMRRIRLQDNSLSGELSSEFTKLPLVYFLDISANKLLGRIDSRKWEMPSLQMLSLARNSFFGGLPDSFGSDNLENLDLSHNQFSGAIPNKFGSLSELMQLNLSKNKLSGEIPDELSSCEKLVSLDLSQNKLSGQIPAGFAEMPVLGQLDLSYNELSGEVPANLGKEESLVQVNISHNHFHGSLPSTGAFLAINASAVAGNDLCGGDKTSGLPPCRRVKSPLWWFYVACSLGALVLLALVASGFVFFRGKRNSELKRVENEDGTWELLLFNSKVSRSIAIEDIIMSLKEENLISRGKEGASYKGKSIANDMQFILKKTNDVNSIPPSEVAELGKLQHPNIVKLFGLCRSNKGAYVVHEYIDGKQLSEVLRNLSWERRQQIAIGIAKALRFLHCYCSPRVLVGYLSPGKIIVDGKYVPHLIVSLPGSLCIDNTKCFISSAYVAPETRETKDISEKSDMYGFGLVLIELLTGKGPADAEFGVHESIVKWARYCYSDCHLDMWIDPMIRRNASINENEMVETMNLALQCTATEPTARPCANEVSKTLESASKTSSCVLGLKFSSLFKYFN >Potri.004G232600.6.v4.1 pep chromosome:Pop_tri_v4:4:23785180:23788830:-1 gene:Potri.004G232600.v4.1 transcript:Potri.004G232600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232600.v4.1 MAESGESNKNKLKLYSYWRSSCSQRVRIALNLKGLEYEYIPVNLLKGEHFSPDFLKLNPLGYVPALVDGEIVISDSFAILMYLEEKYPQHPLLPSDLQKKALNYQAANVVCSSIQPLQNLAVLKYIKEKVGPDEVIPWVQSHINKGFAALEKLLKDSAGKYATGNEVSMADLFIEPQIHGAIKRFNVDMTQFPLLSRLHVAYSELPAFQNAMPENQPDASPSSVT >Potri.004G232600.7.v4.1 pep chromosome:Pop_tri_v4:4:23785337:23788833:-1 gene:Potri.004G232600.v4.1 transcript:Potri.004G232600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232600.v4.1 MAESGESNKNKLKLYSYWRSSCSQRVRIALNLKDFLKLNPLGYVPALVDGEIVISDSFAILMYLEEKYPQHPLLPSDLQKKALNYQAANVVCSSIQPLQNLAVLKYIKEKVGPDEVIPWVQSHINKGFAALEKLLKDSAGKYATGNEVSMADLFIEPQIHGAIKRFNVDMTQFPLLSRLHVAYSELPAFQNAMPENQPDASPSSVT >Potri.001G157400.1.v4.1 pep chromosome:Pop_tri_v4:1:13248572:13253848:-1 gene:Potri.001G157400.v4.1 transcript:Potri.001G157400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157400.v4.1 MQLQQQRRKVPRSLVCGFMLFGLGLISLFTGHVASDLEWYSQRLVKRSFFYSRLEGRRREGIDIWKSKYSNLFYGCSERGRNFPSAIRERASNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHRSYWKDDSDFVNIFDIDRFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPPEYYLDQVLPILLRRRVVQLTKFDYRLASNLDEELQKLRCRVNYHALRFTKPIQEIGERLVTKMRKMAKRYIAVHLRFEPDMLAFSGCYFGGGEKERFELGEIRKRWETLPDLSPDGERERGKCPLTPHEVGLMLRALGFANNTYLYVASGEIYGGEETLRPLRELFPNFYTKEMLAVEDLKPFLPFSSRLAAIDYIACDESDVFVTNNNGNMAKILAGRRRYAGHKRTIRPNAKKLSALFMARDQMGWDTFSKKVKASQRGFMGEPDEVRPGRGDFHEYPSCICERPFTDDENSKGEDRPSARIPVNLKEKVHSKYVGENQGDKSLQRLKKSMGEEPISLRENEGDEIFPD >Potri.005G200300.1.v4.1 pep chromosome:Pop_tri_v4:5:20606153:20610009:1 gene:Potri.005G200300.v4.1 transcript:Potri.005G200300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200300.v4.1 MAEKPIRFGIIGCADIARKLSRAITLAPNAELSAVASRSLDKASAFAKANNFPPSAKIYGSYEDLLDDPEIDAVYVPLPTSLHVKWACLVAQKKKHILLEKPVGLNVTELDKIVEACEANGVQIMDGTMWIHNPRTHKMKEFLNDKERFGELKNMHSCFTYAGDEDFLSNDIRVSSDLDALGALGDAGWYGIRSILWAVDYELPKTVTALPGTVLNEAGVILSCGASLHWEDGKVATFHCSFLAHLTMDITAIGTAGTLHLNDFIIPFGEKEASFTTVSKSWFTDLVTGWVPLPSQHTVTTDLPQEACMVTEFARLVGNIKANGAKPDPKWPSISRKTQLILDAVTTSIAQGSKPVEI >Potri.007G025200.6.v4.1 pep chromosome:Pop_tri_v4:7:1923302:1925098:-1 gene:Potri.007G025200.v4.1 transcript:Potri.007G025200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025200.v4.1 MGDMASSSFPQPSTNWSAEQNKLFENALAIYDKDTPDRWGKIAKIVKGTTEDEVKQQYEILLDDIKSIESDKVPLPNYKNEGSSKENIIGNEEERLQ >Potri.007G025200.7.v4.1 pep chromosome:Pop_tri_v4:7:1923302:1925098:-1 gene:Potri.007G025200.v4.1 transcript:Potri.007G025200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025200.v4.1 MGDMASSSFPQPSTNWSAEQNKLFENALAIYDKDTPDRWGKIAKIVKGTTEDEVKQQYEILLDDIKSIESDKVPLPNYKNEGSSKENIIGNEEERLQ >Potri.007G025200.5.v4.1 pep chromosome:Pop_tri_v4:7:1923265:1925098:-1 gene:Potri.007G025200.v4.1 transcript:Potri.007G025200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025200.v4.1 MGDMASSSFPQPSTNWSAEQNKLFENALAIYDKDTPDRWGKIAKIVKGTTEDEVKQQYEILLDDIKSIESDKVPLPNYKNEGSSKENIIGNEEERLQ >Potri.013G053001.2.v4.1 pep chromosome:Pop_tri_v4:13:3853129:3858433:-1 gene:Potri.013G053001.v4.1 transcript:Potri.013G053001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053001.v4.1 MIRRGYLSSPILASLCVAVFFIHALADDIPSPDGTSATCVLDFKEFPYQPTGECIGQQERIKDWNSFGTTLCCRDVLNVLTKLLAWRASNTSGYIFLPEDQWANCSGGFTRQNLVSPYSCGFDKLYNGRSACSSFSLSSIKEQTYYKNAVASCSDFSSSYDDGCGNCIEAILEARDFQLRQLDVKDDDKEEKIVCGVAVLISVVAANLNNQSLIDDFYSCLPALDEFNEGYMKIKYSVVKVVFAVFIAIIVLLLVILLIKYVSKGKKKRPKPVPQSEEITAWSGLYRFSKAEIENAINHSKVRKSLGRGSAGQVYKGVLPSGQVVAIKHIHNSSSCDSFQREVEGLSRVRHPNLVCLFGCCIEGGERYLVYEYCAAGNLSQNLRRKDTVLTWERRVKILRDCALGLRYLHHFIDGCIVHRDIKLTNILLTENLEPKLSDFGLAKMLGMEESKVFTDVRGTMGYMDPEYMSNAKLTCASDIYSFGIVALQLLSGQKVIELDLDARDQLIRKAKDVNAGKRPLTDFEDPGLNKKVNSVDFEAILQIAVLCVAKSSKGRPTIDDVFEEIEKAWKNTQAEMKANSAIGSSMSKSMEVIPV >Potri.003G068350.1.v4.1 pep chromosome:Pop_tri_v4:3:9557251:9557550:-1 gene:Potri.003G068350.v4.1 transcript:Potri.003G068350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068350.v4.1 MALAASRFLLFTLSHTQTQQQQQQQQQQQQLSLSLSRSLQSKTKGIRINPPTKTKTLTPRTPPLIFQEFRFQNPTVLFMKDKKKENPSIEYCGTDPRKN >Potri.007G064300.1.v4.1 pep chromosome:Pop_tri_v4:7:8026286:8032032:1 gene:Potri.007G064300.v4.1 transcript:Potri.007G064300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064300.v4.1 MKVLLGLSLTGVFGGVVVKAATESSATTRKLDQTPTWAVASVCAVIIIISILLEKFLHKVGTWFTEKHKQALFEALEKVKAELMILGFISLLLTFGQYNIAKICVPQDVAGTMLPCKKQGVTDKSSSTKGEEEPGRRLLWFDRRFLAGAKGAVKCKDGYEQLISVDGLHQLHILIFFLACFHVLFSVITMTLGRLKTRAWKRWELETLSHDYEFSNDPSRFRLTHQTSFVRSHTSFWTRIPFFFYVGCFIRQFFISVSRSDYLTLRNGFITVHLAPGSKFNFQKYIKRSLEDDFKLVVGVSPVLWASFVIFLLLNVNGLQALFWASIIPVIIILAVGTKLQAILTMMALEITDRHAVVQGMPLVQASDKYFWFGRPQLLLHLIHFALFQNAFQITYFLWIWYSFGLNSCFHDNFDLVIAKVALGVGALILCSYITLPLYALVTQMGSRMKKSVFDDQTSKALKNWHMLVKKRHAKGGKTPSRTLGSVSPSVSSASSAHALQRFKTTGHSTRSSYTLNDQDESDLEAEALSPTTATTSFIVRVDDDDDDVTEVDVPRQEEETRNEDDFSFAKPAPPTKP >Potri.007G064300.2.v4.1 pep chromosome:Pop_tri_v4:7:8026338:8032106:1 gene:Potri.007G064300.v4.1 transcript:Potri.007G064300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064300.v4.1 MKVLLGLSLTGVFGGVVVKAATESSATTRKLDQTPTWAVASVCAVIIIISILLEKFLHKVGTWFTEKHKQALFEALEKVKAELMILGFISLLLTFGQYNIAKICVPQDVAGTMLPCKKQGVTDKSSSTKGEEEPGRRLLWFDRRFLAGAKGAVKCKDGYEQLISVDGLHQLHILIFFLACFHVLFSVITMTLGRLKTRAWKRWELETLSHDYEFSNDPSRFRLTHQTSFVRSHTSFWTRIPFFFYVGCFIRQFFISVSRSDYLTLRNGFITVHLAPGSKFNFQKYIKRSLEDDFKLVVGVSPVLWASFVIFLLLNVNGLQALFWASIIPVIIILAVGTKLQAILTMMALEITDRHAVVQGMPLVQASDKYFWFGRPQLLLHLIHFALFQNAFQITYFLWIWYSFGLNSCFHDNFDLVIAKVALGVGALILCSYITLPLYALVTQMGSRMKKSVFDDQTSKALKNWHMLVKKRHAKGGKTPSRTLGSVSPSVSSASSAHALQRFKTTGHSTRSSYTLNDQDESDLEAEALSPTTATTSFIVRVDDDDDDVTEVDVPRQEEETRNEDDFSFAKPAPPTKP >Potri.003G211200.2.v4.1 pep chromosome:Pop_tri_v4:3:20904271:20906549:-1 gene:Potri.003G211200.v4.1 transcript:Potri.003G211200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211200.v4.1 MGRGRGKGKKLTVSNHDDTGSGEEEKIPAQKRRGRPQKPLKDDIDEEEVEKIEDEDVEKGKTDITSKDSKSPPAAENGKKRKRYSQAKEKPDSVKEENGVGTRSSTDDSTKSNGFRHNGSRRKSKPRRAAEAGVECK >Potri.003G211200.3.v4.1 pep chromosome:Pop_tri_v4:3:20904270:20906544:-1 gene:Potri.003G211200.v4.1 transcript:Potri.003G211200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211200.v4.1 MGRGRGKGKKLTVSNHDDTGSGEEEKIPAQKRRGRPQKPLKDDIDEEEVEKIEDEDVEKGKTDITSKDSKSPPAAENGKKRKRYSQAKEKPDSVKEENGVGTRSSTDDSTKSNGFRHNGSRRKSKPRRAAEAGVECK >Potri.018G096056.1.v4.1 pep chromosome:Pop_tri_v4:18:11651683:11652775:1 gene:Potri.018G096056.v4.1 transcript:Potri.018G096056.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096056.v4.1 MKLQFHTQFVRISLVVLQLINPSLQWPEPEYSSAPIPAPWPEQFHALLYMNLSSTHLQITNLWYDWPRGRNVNIIQKQLSVLLYDTEWNNGTTFYYTLSEPHSCRIMVNDVGIPRPDFLDGAEYLGTAVTDGYLCNVWEKIDTIWYYEDVYTKRPVRWDFNDGISTHVITFDVGAVLLDDSVTQAPAYCFNQEIKNM >Potri.002G235200.1.v4.1 pep chromosome:Pop_tri_v4:2:22822789:22842312:-1 gene:Potri.002G235200.v4.1 transcript:Potri.002G235200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235200.v4.1 MSTLNLGWHYKSFDPQTALRCGAYPAFSHQANALAFRGSESMGHALKIPFGNTSARRRLMNHIHPPLRVVCVDYPRPDLDNSVNFLEVALLSSSFRSSLRPSKPLKVVIAGAGLAGLSTAKYLADASHKPVLLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKLGEFSRFDFPEVLPAPLNGILAILKNNEMLTWPEKVKFAIGLLPAMVGGQAYVEAQDGLSAQEWMRKQGVPDRVTTEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIQSLGGEVKLNSRIKKIELNDDGTVKRFLVNSGDVIEGDVYVFATPVDILKLLLPDNWKEIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSVTCKEYYDPKQSMLELVFAPAEEWISRSDSEIIDATMGELAKLFPDEISTDQSKAKIVKYHVVKTPRSVYKTVPNCEPCRPSQRSPIEGFYLSGAVLSGKLCAQAIVQDYELLVARGQRELTEATMS >Potri.019G057625.1.v4.1 pep chromosome:Pop_tri_v4:19:9672401:9672760:1 gene:Potri.019G057625.v4.1 transcript:Potri.019G057625.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057625.v4.1 MTNEPWNLLPLLIKGRVDEWEKDLVLENKHNLKQLSVLMWDQVSSLPPVVQFPWSHPNSGLPSALIASV >Potri.014G127000.1.v4.1 pep chromosome:Pop_tri_v4:14:8536606:8538650:1 gene:Potri.014G127000.v4.1 transcript:Potri.014G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127000.v4.1 MMPSKLISLVALAVVFLPFLASPSLADVPSSDPVSPGTLCKDTPDPSFCKSVLPVQSTNVYDSARLCVRKSLSQSRKFLNLVNGYLSRRSTLSVAAIRALEDCQFLANLNMEFLLSSFQTVNATSKTLPSLQADNVQTLLSAILTNQQTCLDGLQATSSASSVSNDLSVPLSNDTKLYSVSLAFFTEGWVPKKKRGSTWQPKSKQFAFRHGRLPMKMSARTRAIYESVSTRKLLQTVNNDIEVSDIVTVSQDGQGNFTTINDAVAAAPNNTDGSNGYFMIYVTAGIYEEYVSIAKNKKYLMMVGDGINQTVITGNRSVVDGWTTFNSATFAVVAPNFVAVNITFRNTAGAVKHQAVAVRSGADLSAFYGCSFEGYQDTLYTHSLRQFYRECDIYGTVDFIFGNAAVVLQNCNLYPRLPMSGQFNAITAQGRTDPNQNTGTSIHNCNIKAADDLASSNATVQTYLGRPWKQYSRTVYMQSFMDGLINPAGWQIWSGDFALNTSYYAEYNNTGPGSATTNRVTWPGFHVINATDAVNFTVSSFLLGNDWLPQTAVPFSSGLI >Potri.011G135700.1.v4.1 pep chromosome:Pop_tri_v4:11:16813415:16815679:-1 gene:Potri.011G135700.v4.1 transcript:Potri.011G135700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135700.v4.1 MENSMSCKPFSSSSNEKTTDDSFEESGWTMYFEDFFAQNNREDRDHDFNEHSSFSFDHDGSSSMVSDAASLAVKKSAGDHHGEKVVGLPINNKSFKYNSSLKKRRTKGALLDDVLEDTASSPVNSPKVNDDTMISQYKRNTKQKDKMEISQDKGSASSQIDMRSDLGFILRESDSTELKKRGLCLVPLSMVVNYLG >Potri.002G102100.8.v4.1 pep chromosome:Pop_tri_v4:2:7462064:7465648:-1 gene:Potri.002G102100.v4.1 transcript:Potri.002G102100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102100.v4.1 MASALETLCGQAFGAKRYHMLGIYMQRSWIVLFFCCFLLLPFYVFAAPLLKFLGQPDDVAEQSGLVALWLIPLHFSFAFQFPLQRFLQSQLKNQVIAWISLASLGVNVLTSWLLVYVLDFGVIGAAIALDISWWVIVIGLFIYTSCGWCPSTWTGFSAQAFCGLWEFVKLSAASGVMLCLENWYYRILILMTGYFKNATLAVDALSVCMSINGWEIMIPLAFFAATGVRVSNELGAGNGKGAKFATIVSVVQSSIVGLIFCVIIMSLHNKIALIFTSSSSVIQEVDNLALLLAITILLNSVQPVLSGVAVGAGWQALVAYVNLGCYYIIGLPLGFLIGWVFKLGVKGIWGGMIFGGTAVQTVILVIITMRSDWDKEAEKARINVNKWSQSKPDVQ >Potri.002G102100.1.v4.1 pep chromosome:Pop_tri_v4:2:7462064:7465904:-1 gene:Potri.002G102100.v4.1 transcript:Potri.002G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G102100.v4.1 MGTISRNGDDLHDTLLPDDPVNHDVGQENQDPLASRVWTETKKLWEIVGPAIFSRVATFSMNIITQAFAGHLGDVELAAISIANTVIVGFNFGLLLGMASALETLCGQAFGAKRYHMLGIYMQRSWIVLFFCCFLLLPFYVFAAPLLKFLGQPDDVAEQSGLVALWLIPLHFSFAFQFPLQRFLQSQLKNQVIAWISLASLGVNVLTSWLLVYVLDFGVIGAAIALDISWWVIVIGLFIYTSCGWCPSTWTGFSAQAFCGLWEFVKLSAASGVMLCLENWYYRILILMTGYFKNATLAVDALSVCMSINGWEIMIPLAFFAATGVRVSNELGAGNGKGAKFATIVSVVQSSIVGLIFCVIIMSLHNKIALIFTSSSSVIQEVDNLALLLAITILLNSVQPVLSGVAVGAGWQALVAYVNLGCYYIIGLPLGFLIGWVFKLGVKGIWGGMIFGGTAVQTVILVIITMRSDWDKEAEKARINVNKWSQSKPDVQ >Potri.001G339200.3.v4.1 pep chromosome:Pop_tri_v4:1:34819343:34823835:-1 gene:Potri.001G339200.v4.1 transcript:Potri.001G339200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339200.v4.1 MCSKSSLTRQRKKAAKEEARVLIPARKTRSKTRKPKYLSLKLELSPKTKTPQKSKTQMTHSKQLKNLFPLHPENIVQQYKDMHEHDQVAFLLETASTDDTSTTLQGLLDTTTSEDGPLSCYIEIDQERCDHGRASLLERTAMKCKERDLSDERWVSYWEVVEKKEQEEVSSSSCNGVADTPGKTLQAQDDNKNGLVGLKLDYQEILNAWSDKGPLYIEGESPQTVPDIYDGSNQLMDEWGSLWRVPDMCGSKTKEGKEIWKSGQREASVLRYKEKRQNRLFAKRIRYEVRKLNAEKRPRLKGRFVKRSWEM >Potri.014G070200.1.v4.1 pep chromosome:Pop_tri_v4:14:4453577:4456657:1 gene:Potri.014G070200.v4.1 transcript:Potri.014G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070200.v4.1 MNPPHKTETDLFHNHHDLVPPLPPPPHQIIIPTPQTQSQPSIQDDLSLPEIVLFCSPSSPDNSPSQSSSDNDDSLSHHQNNLHHNSNRILNPHAPAFINPEPHISTQFYTFNAESHTLMIRCILEQRLATPDEIRAATPCTVLKSWRNVWKDRNEDTAYLTGWKRIQEKLMAHVDSTSGNEFLCFKNNSQQFVSHIDQWQDIVTSFHGDADLKHLGLRETIERIKQVWTVGAKFYGIPESFIRVCVAACPVCSTSEGSNSRNKRRRFEYTESFDVPAKEVPTKLQQLAAKHKVVLCIRQKYIRYKPFMAEVKDYACHRAGEPVAKKSRMLKREPYASKRCGCGFRIRAIVPITNYNEKDKTFVYQEEGMAMFKLYAVHSGHEPGPLDGNARIIHRVVGHKGGFMMDQEMVYGVREDVDSEGFGLLGKDDGEFRLSVLQQVQELRAEIGLLEGRLRKIPSELLGSVAQELYDVVNKVRSIGDESSKTIGLLSHKSHSDDVLVGGNDLAHWTDHHERLYGNGKEAELIEDDEDSFGRTLGDVVPWDQMRAECRSEKDLLSEPSKPEKWLKCSDFDEKSILDCEDTKLTKPMRHDEGIVTDVGLIQVDSFYHENAKWYDSPCGLDTSADCEDSEFRHGEIV >Potri.004G148200.4.v4.1 pep chromosome:Pop_tri_v4:4:17017566:17017769:1 gene:Potri.004G148200.v4.1 transcript:Potri.004G148200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G148200.v4.1 MSAVVEIWVSELAKLKEKVTPRKPFLSKPKEGGVEEEREVKKSKVVQGETTMSEATVCLLMDRFAPV >Potri.016G052400.1.v4.1 pep chromosome:Pop_tri_v4:16:3387838:3388710:1 gene:Potri.016G052400.v4.1 transcript:Potri.016G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052400.v4.1 MVTQVIISKAFFLLLAIAAFAVVSAQESEMAPAPAPGMDAGAGFSLPVSGAVVGFSLALSLLGFLKR >Potri.014G196800.1.v4.1 pep chromosome:Pop_tri_v4:14:17759845:17762097:1 gene:Potri.014G196800.v4.1 transcript:Potri.014G196800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G196800.v4.1 MATAASASLSLLPPKPQISSKRHENQRRLLLHKLSRRDAALLSFLALVPSAPASAFSVGISGPKDWLKDQKKKTAKYILAPIDASREILRSAYLLLTDSQPEFKEEKLEEVQRLLKSAARDCVPQDRNSFVAFQANTGVEVCTFRLIVKNAASLLDKNDPAKLEAEAILDDLIRSFTSLDGLANEANVQLASDRQKVADALMNTISSLDKFEQGVKDCLEA >Potri.006G141100.1.v4.1 pep chromosome:Pop_tri_v4:6:11835531:11838220:1 gene:Potri.006G141100.v4.1 transcript:Potri.006G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G141100.v4.1 MAPATTGGSSSVPSLPPPCPKSPPEYPDLYGKRREMAKVQMLEREIGFLEEELKSLQGLQPASRCCKEVTDFVVANSDPLIPTSRKKRRTCLFWKWLCGIRCFNLSWICCCCSSGCSLHLECPTCCECNPRNCCSCISCPTLKWRCCCCCPLPRSHCCRKISCNRNCCCTCQLPSCPDCSCCSWTCSCPKCPKVTPLCCNCKKACCNPCCLFF >Potri.002G084900.2.v4.1 pep chromosome:Pop_tri_v4:2:6057187:6059948:-1 gene:Potri.002G084900.v4.1 transcript:Potri.002G084900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084900.v4.1 MGGHGAVEVAKTVIEVADVAWKAMEFTQHHHLHENHQHEPGNDTKNKPVDEKLESLRSENKRLRNLLEQNLKLLQNLAESPCLLHECPTHLYSHLVATVDSENFLTKLKSLQQASVNETGIQFPFKEATGDDMQLVEVLISVDNQEPSRWVWVTEDMVPSNTEERSGIDDENYVVVTEENVVDGVAYFMAKCLVANPKAQKLTPEELQKILAKALGGVSKLEKVFDIWHAGTMFYTLGTWGLALAGLYRSRSVLRLAAKGIHATSKVVLKAL >Potri.013G072400.1.v4.1 pep chromosome:Pop_tri_v4:13:5938322:5943754:-1 gene:Potri.013G072400.v4.1 transcript:Potri.013G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G072400.v4.1 MEKGVKLFKTWSSPFGIRIVWALKVKGVQFEQIDEDLVNKSPLLLLYNPVHKKIPVLVHDGKPVVESLIILEYIEGTWKQNPLFPEDPLERAAARFWAKFGDDKVMPSIWEAFIKGREEEECAFAPAFENLKFLEEELKGKQFFGGERIGIVDIAFGWLANLVPVFEEIHAIKMIDEERFPLLHAWMQEFSKAPVIADCWPPHEKLVNKFRAIRDQSLLAAAPHA >Potri.006G028900.1.v4.1 pep chromosome:Pop_tri_v4:6:1893747:1894604:-1 gene:Potri.006G028900.v4.1 transcript:Potri.006G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G028900.v4.1 MQDILSRFSPGFRFDPTGEQLISYYLARKVHGRLTPEETDMIKVCNILYGEGARPPWEIFKLAGHKVGDDALYFFTTLIKKTRKSGAWRMSRTVGTDGGTWHGDGVEEVTCRLDNREFKGTKRRFRYQNNQRPDQNGCWNLLEYGSESISDNIVICKLKISEHGLKESRKRKSMRPLAGTVSNEDNGGCSATGDTFYSIQNIGPMTAAYENQQMEPASYNGGVGVCPAGDNAVTPENAAPAVSAIPVMDACLTESLNNETSWSANFEALPDFRSLWSPRWIEDHF >Potri.004G221800.2.v4.1 pep chromosome:Pop_tri_v4:4:22673035:22674670:-1 gene:Potri.004G221800.v4.1 transcript:Potri.004G221800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G221800.v4.1 MVEFDYKELVKATGSFSPSRLLGKGSHGSVYKGTLEENKLVAIKKSSVGSDHVSIDNSKKLENEICVLSSLGESPYVINFLGTTRDDDHYSTCKEKNRLLVMEYMPYGSLHDLLHVDATPPSWPKRVEIAIQIARAIQFLHEGKPLVIHRDIKSANVLFDLNWKAKLADFGLAVLRVDSSSSPDQETQPAGTIGYLDPCYTTPSKLSTKNDVFSYGVVLLEIVSCQKAIDVSRLPASIVDWAVPLIEKQRLMEVCDSRIALPTFMEGTIKHLLYVASRCVSCKEENRPSISEIVMGMDKNCLVGRVKIPSWTSLMRSVMLMRSPRKFLKQWQEEKCDDLHSNISKGKVYLWEILANITQN >Potri.002G218500.1.v4.1 pep chromosome:Pop_tri_v4:2:20891762:20892451:1 gene:Potri.002G218500.v4.1 transcript:Potri.002G218500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218500.v4.1 MSVEILDGATIVNFLEDEEAFNAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGVDVETDPDELALVYDSLFVQFDHDLNGTVDLEEFKSETKQMMLAMASGMGFLPVQMVLEEDSFLKKAVEWESAKLVA >Potri.001G289900.1.v4.1 pep chromosome:Pop_tri_v4:1:30234823:30235905:1 gene:Potri.001G289900.v4.1 transcript:Potri.001G289900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289900.v4.1 MYLPVSFNQAIGATTPFFSANFAFLITCKEESVEVSCALLPVVFGIVLASNSEHLFHLFGFLVCAGSTAGRALKSVVQGILLTSEAEKLHSRRELPKPANHVFLPSLQLQN >Potri.015G143200.1.v4.1 pep chromosome:Pop_tri_v4:15:14870061:14871249:1 gene:Potri.015G143200.v4.1 transcript:Potri.015G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143200.v4.1 MKNFSSKFHPYSHQHTQESQNQETRKQKETIEEEEEERARCEWDFSLSTIVSSSNNNNTASISDALGVIEFDQTNSIIATDHARARDYYICTPAKLSSFRWKPRSDGRVLGSGDYDGVVMEYNLERRIPIFERDEHGGRRVWSVDYSHCDPTMGASGSDDGTMQMWDTRSESGECVATVQPSVRRSAVCCVEFNPFGGPIVAIGCADRKVYGYDLRMMADPVFVLDGHRKTVTYIKFLDNATLVSASIDGCLKLWNSDNSNVIRTYHGHVNNRNFVGLSVWRNGGLLGCGSENNKVFVYDKRWSEPVWVHESSSPIMGKDRRGSGFVSSVCWRQVGEDQCTLVTGGSDGILQVFRGKRKTRTG >Potri.004G101000.1.v4.1 pep chromosome:Pop_tri_v4:4:8764395:8765545:-1 gene:Potri.004G101000.v4.1 transcript:Potri.004G101000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101000.v4.1 MLASMATQPKIQTHLQPSTFPSQSLLRKPLKTPIFSIKPKPSCFHLKSLSTLKTPARLKLSAPKAQRTLPEEEKENETSTEKEKLGVVVKPIEKSRVVLKFIWMQKVIGIALNQVIPGHGTIPLSPYYFWPKEDAWEQLRLLLVGKPWISRKQLHDLLNQATDIIELWQDTGSNQ >Potri.005G045200.1.v4.1 pep chromosome:Pop_tri_v4:5:2865274:2876106:1 gene:Potri.005G045200.v4.1 transcript:Potri.005G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G045200.v4.1 MPPQRKGAAARVSEDTEDLTRHPLQAILLADSFATKFRPITLERPKVLLPLVNVPMIDYTLAWLESAGVEEVFVFCCAHSKQVIEYLEKSEWILQPNFSVVTIESHNSVSAGDALRLIYERNVINGDFVLISGDTVSNMSLTQVIQEHKERRKKDSNAVMTMVIKQSKLSPITHQSRLGTDELFLAIDPQTKQLLFYEEKTDNLRGIIPLDNALLGDNPSICLHNDKQDCYIDICSQEVLSLFTDNFDYQHLRRHFVKGLLVDDIMGYKIFTHEIHSSYAARIDNYRSYDTISKDIIQRWTYPFVPDVMFSGNSATHLEREGMYRASEIEQSRSARIGPFTVIGKGTRIGNNSNISNSVIGKGCSIGSNVSITGSYIWDSVTIEDGCDIRHAIICDGVVIKSGAALEPGVVLSFKVVIGQQFIVPSYSKVSLYQQPTVEDSDEELEYADNSSGTVDSSITCTMDTLNREMMSETPASQLGPGGVGHVWSICEGGHEEEWRHSVAPIPADKLAEATQSLEDDLEFLNLDGNALSTSGELKPGRNGTDSEDDDAEDSRDDSIYYEKEVEATFLRAVNENIKVPDVILEMNSLRLSYNMTSADCAGAIFYAMMKQALEIPHATAGELRKNAASIIDAWNNLLKFYSKEIDDQIEVIMKFEEMCLESVKEFSPHFSQILHILYDKDILEEDAILRWADEKKDAEESDKVFVKQSEKLIQWLREASEEED >Potri.007G010900.1.v4.1 pep chromosome:Pop_tri_v4:7:850358:853604:1 gene:Potri.007G010900.v4.1 transcript:Potri.007G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010900.v4.1 MARILSLLRRLYLTVYNWAVFLGWSQVLFLAVKTLKDSGHEHVYNAVEKPLQLAQTAAVLEILHGLVGLVRSPITATLPQIGSRLYLTWIILYSFPEIRSHFLVTSLVISWSITEIIRYSFFGVKEVLGFAPSWLMWLRYSTFLLLYPTGISSEVGLVYFALPYIKGSEKYCLRMPNKWNFSFDNFYAAILVLGIYIPGSPHMYSYMLGQRKKALSKSKRE >Potri.009G090900.1.v4.1 pep chromosome:Pop_tri_v4:9:8350304:8353709:-1 gene:Potri.009G090900.v4.1 transcript:Potri.009G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090900.v4.1 MDLGCLDLGCISVLDKHSSDSFVLDPVNKECDSREKVSSGSKIGKNKSPKGTGQSALNSLSKFTSQIKKPSHRKNSPINWFPRKKGDSYLQRKIKMLQELDGMNMTLDEALGDSNPHYSRVLREKIEAREAANKAVEARKAALVEASWCRILKAARIQSKEAEELLLKAEKNAAEAFEAAKAMEVIMFDIPNSPRMPCQVQKSTVKVGGPTAHTVTASFATVFEVDKQVAAAVKTAFTKLANCPTFNKEEFKDLLRKISQNPDMDDSNSEFSECESESGSEFELISKDMDCKFPSPGTRISKYKRRQSLDKLDMIKLVDVMLERLRCLNEDELSSLATIVATCGLNAALAEVENSKVHDPVFAADYTSSQALNLPRRMSSVGSGTMRRNEVRLGLPSLDKFLVKHMSKLEREVQEAKDRRRNELKAGNQGNTDKTGDGKVNIDGKKTSKSIPDLGSILMKHSSKLEKEIEEAKKHSRKSFEIISKKPVSDLITSEGISDLGSILIKHPSKLEKEVLEIRKNSGKTFDMDGKDLGGAINGQRKDVPEVPSLDKFLVKHVSTLEKEVQEAKNRKKNESVEKGRVEKENVDLNKEENILEGEKMQALSSGSNCGNYRHQNKYGGNVTAGCEGLDRVLVKRVSRLEKEKTASSLNQEEMNVKRSGRKVLTQTNEGDLDQILVKQKSRLEREKMASAQQSGEVPARLSVSRREARERELQEAWGGLSLGNSIRPHLSKLEKEKAAWIKAEEEARRQATEEV >Potri.016G068700.1.v4.1 pep chromosome:Pop_tri_v4:16:4882027:4885991:-1 gene:Potri.016G068700.v4.1 transcript:Potri.016G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068700.v4.1 MDSSSSSTTTRMFHPRSALRENPQRKKSYENLDRFIPNRSAMDMDFAHYMLTEGRKAKESPPSQSLYQKLLAEAFNMNGRRILAFKNKPPTLVDPIPLFSSSSVHSSKPVKPQRHIPQRPEMTLDAPDIVDDFYLNLLDWGNNNVLAIALGTTVYLWNASNSSISEVVTVDEEDGPVTSISWAPDGRHLAVGLDNSNVQLWDSATNQMLRTLRGGHRLRVTSLAWNHHLLTTGGKDAKVINNDVRIREHIVESYEGHRQEVCGLKWSASGQQLASGGNDNLLFIWDRFMASSNSPRHWLHKLEDHTAAVKALAWCPFQSNLLASGGGGNDRHIKFWNTQTGTCLNSVDTGSQVCALQWNKHERELLSSHGFTENQLILWKYPSMVKMAELSGHTSPVLFMTQSPDGYTVASAAGDETLRFWNVFGNPKAAKPAPKAIAEPFANVSHFR >Potri.015G057300.1.v4.1 pep chromosome:Pop_tri_v4:15:7969207:7972675:1 gene:Potri.015G057300.v4.1 transcript:Potri.015G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057300.v4.1 MNAAIEKSVSPDGISKMLSIPKPDSFTHLPEIILQVTNLEPKGKSYGFDASDGKMKIKAIFSSRLSSEILSGNIQNLCLIRVLDYTVNEIPSKSEKFLIITKCEVVSPALEKEIKEVVEQEESDMGMPLKKPKLENEVKSENIKEAKGLILKPKQEIVAKSAAQIVHEQHGNMAPAARMAMTRRVHPLVSLNPYQGNWTIKVRVTSKGNMRTYRNARGEGCVFNVELTDGDGTQIQATMFNEAAKKFYDRFELGKVYYISKGTLRVANKQFKTVQNDYEMTLNENSEVEEASDEAACIPETKFKFVPIDQLGPYVNSKDLVDVIGIVQSVSPTTSIRRKSNNETVPKRDIVVADETKKTVVVSLWNELATSVGQELHDIADKSPVVAIKSLKVGDFQGISLSTLGKSIVQVNPVIAESEKLRNWYDSEGKETSMASVGSGLSPSTKSGARSMYSDRVSLSHITSNPSLGEDKPAFFSIRAYISFIKPDQTMWYRACKTCNKKVTDALGGGYWCEGCQKNDAECSLRYIMVVKVSDGSGEGWVSAFNEEAEKIIGCSADELDLLKSQIEENTYQQRLKEATWNPHLLRISVSQNEYNNEKRQRMTVRAVAPVDFAAESRFLLEEISKMKGSQ >Potri.013G153900.1.v4.1 pep chromosome:Pop_tri_v4:13:14927340:14930872:1 gene:Potri.013G153900.v4.1 transcript:Potri.013G153900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G153900.v4.1 MLRFLARSSLKNPKWNPKPIIAIPQSPLHNHFSSKSGKSSAPKGNNNKADNQKPKKNPKRSGLDDSKTAVSDAKETTDERVLRARLLAQDEKNPSLNAGPNGRPLFTNISSLSRLSRKDTCSYFKFGEKELNQMLPEGLPMGMVKEFEESMRSALLVRQSFLDLRDNFRRIVDPPLLSSDGKGLKVRKQIVLDGPSNCGKSITLAMLVHWAREEGWLVFYVPRGREWTHGGYFYKNPITGLWDTPIQAENALKDFVKYNESLLKQLPCHIFDPVPLGEGAGIGLLKGVDSVPVPESSTLYDLVQIGIKQTHAAVGVVLRLRKELSLVKDIPVLFAIDQYNNWFTFSEYEEPVTMRSCRPVHARELAMVNAFRSMMHNSMMVGAFSHSTAVGKLRKDLPDVPLDARVNLTRYGLDEAAAVCHYYLRQRLLPREAFSEESWKKIYYLSNGNGAEMRVLLPLMQ >Potri.002G097000.1.v4.1 pep chromosome:Pop_tri_v4:2:7080680:7082640:-1 gene:Potri.002G097000.v4.1 transcript:Potri.002G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097000.v4.1 MSSSNSITEPSPKFQLPTRRSIMAEAKAASPAPEWLSTRNAALSNFQKIVAELMGTYILVFVGCGAALTDKVQRLNMLGIAIVWGAVLMAAIYALGHVSGAHFNPAVSIALAVVRKFSWKEVPMYILAQVLGSTLASLTLRMLFHEQGNIQPIVNQYSDPTSDLEAIVWEFIITFILMFTICGVATDPRASKDLSGVAIGGAVMFNAMIAGPITGASMNPARSLGPALVSGVYKNLWVYIVSPILGAMAAAAVYSVLRVPEPAKPEDTNKSTYNNLNLHADP >Potri.006G117000.6.v4.1 pep chromosome:Pop_tri_v4:6:9158560:9164192:1 gene:Potri.006G117000.v4.1 transcript:Potri.006G117000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117000.v4.1 MVADSWFINWRWNSRKVSAETDKEAIGVLAYEVAGLMSKVVNLWNYLSDREIHRLREEIVNSVGVKRLVAEDHDCLMDLALNEILENFRLIARSVARLGRKCKDPPFLLFERFVNDPVGNNLEWFGWQYRLKKMERKVKKMEKFVAVTMQLSQELEVLAELEQTLRRLRANADLDRVKLLQFQKKVMWQRQEVRNLREMSPWIRTYDYVVRLLARSLLTILERIKHVFEINQLPSAQGNSNCKQRNPDCLPQTRSFSVLMQSSILPSEDILHGFSSVPTGTSGSTSGLAAEKYKISKQQLQPHHQSSSLHGKQSRLKTKGLSHVGSFKECMMSGSDSPILLTCNSVVGGSLRLTSDYMKKVDLMEKSNMESLSRSNRFYSKLALFNSKQGLLKAPSSTLGAAALALHYANLIILIDKVASSTHMIDFETRDDLYGMLPTTIRSALKARLKAHAKSLAPFVYDASLAAEWNLALSQILEWLSPLAHNMIRWQSKQNFERAHEVSSTNVLLFQTLHFADQAKTEAAITELLVGLNYIWHGEHDEKALPEIPGCRASSFYEPKRDHHAYYMAQD >Potri.006G117000.3.v4.1 pep chromosome:Pop_tri_v4:6:9158760:9164195:1 gene:Potri.006G117000.v4.1 transcript:Potri.006G117000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117000.v4.1 MGQAMVADSWFINWRWNSRKVSAETDKEAIGVLAYEVAGLMSKVVNLWNYLSDREIHRLREEIVNSVGVKRLVAEDHDCLMDLALNEILENFRLIARSVARLGRKCKDPPFLLFERFVNDPVGNNLEWFGWQYRLKKMERKVKKMEKFVAVTMQLSQELEVLAELEQTLRRLRANADLDRVKLLQFQKKVMWQRQEVRNLREMSPWIRTYDYVVRLLARSLLTILERIKHVFEINQLPSAQGNSNCKQRNPDCLPQTRSFSVLMQSSILPSEDILHGFSSVPTGTSGSTSGLAAEKYKISKQQLQPHHQSSSLHGKQSRLKTKGLSHVGSFKECMMSGSDSPILLTCNSVVGGSLRLTSDYMKKVDLMEKSNMESLSRSNRFYSKLALFNSKQGLLKAPSSTLGAAALALHYANLIILIDKVASSTHMIDFETRDDLYGMLPTTIRSALKARLKAHAKSLAPFVYDASLAAEWNLALSQILEWLSPLAHNMIRWQSKQNFERAHEVSSTNVLLFQTLHFADQAKTEAAITELLVGLNYIWHGEHDEKALPEIPGCRASSFYEPKRDHHAYYMAQD >Potri.006G117000.4.v4.1 pep chromosome:Pop_tri_v4:6:9158560:9164214:1 gene:Potri.006G117000.v4.1 transcript:Potri.006G117000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117000.v4.1 MVADSWFINWRWNSRKVSAETDKEAIGVLAYEVAGLMSKVVNLWNYLSDREIHRLREEIVNSVGVKRLVAEDHDCLMDLALNEILENFRLIARSVARLGRKCKDPPFLLFERFVNDPVGNNLEWFGWQYRLKKMERKVKKMEKFVAVTMQLSQELEVLAELEQTLRRLRANADLDRVKLLQFQKKVMWQRQEVRNLREMSPWIRTYDYVVRLLARSLLTILERIKHVFEINQLPSAQGNSNCKQRNPDCLPQTRSFSVLMQSSILPSEDILHGFSSVPTGTSGSTSGLAAEKYKISKQQLQPHHQSSSLHGKQSRLKTKGLSHVGSFKECMMSGSDSPILLTCNSVVGGSLRLTSDYMKKVDLMEKSNMESLSRSNRFYSKLALFNSKQGLLKAPSSTLGAAALALHYANLIILIDKVASSTHMIDFETRDDLYGMLPTTIRSALKARLKAHAKSLAPFVYDASLAAEWNLALSQILEWLSPLAHNMIRWQSKQNFERAHEVSSTNVLLFQTLHFADQAKTEAAITELLVGLNYIWHGEHDEKALPEIPGCRASSFYEPKRDHHAYYMAQD >Potri.006G117000.5.v4.1 pep chromosome:Pop_tri_v4:6:9158560:9164200:1 gene:Potri.006G117000.v4.1 transcript:Potri.006G117000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117000.v4.1 MVADSWFINWRWNSRKVSAETDKEAIGVLAYEVAGLMSKVVNLWNYLSDREIHRLREEIVNSVGVKRLVAEDHDCLMDLALNEILENFRLIARSVARLGRKCKDPPFLLFERFVNDPVGNNLEWFGWQYRLKKMERKVKKMEKFVAVTMQLSQELEVLAELEQTLRRLRANADLDRVKLLQFQKKVMWQRQEVRNLREMSPWIRTYDYVVRLLARSLLTILERIKHVFEINQLPSAQGNSNCKQRNPDCLPQTRSFSVLMQSSILPSEDILHGFSSVPTGTSGSTSGLAAEKYKISKQQLQPHHQSSSLHGKQSRLKTKGLSHVGSFKECMMSGSDSPILLTCNSVVGGSLRLTSDYMKKVDLMEKSNMESLSRSNRFYSKLALFNSKQGLLKAPSSTLGAAALALHYANLIILIDKVASSTHMIDFETRDDLYGMLPTTIRSALKARLKAHAKSLAPFVYDASLAAEWNLALSQILEWLSPLAHNMIRWQSKQNFERAHEVSSTNVLLFQTLHFADQAKTEAAITELLVGLNYIWHGEHDEKALPEIPGCRASSFYEPKRDHHAYYMAQD >Potri.003G055900.1.v4.1 pep chromosome:Pop_tri_v4:3:8187968:8189010:1 gene:Potri.003G055900.v4.1 transcript:Potri.003G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055900.v4.1 MAKHIRALKSIVDDNDESYAVQKAFAQLTEEFFRENTLLLIVCLLKLTLEVQRDATQVVANLRRQKVQLRLIASEYLQKNTDVLDLLVAGCGNIDMANHYGEMMIACLRHQSAARYVLESPVHLKKFFDYIQLLNSDVAADDALTLKELIDKAY >Potri.001G146800.1.v4.1 pep chromosome:Pop_tri_v4:1:12118510:12122113:-1 gene:Potri.001G146800.v4.1 transcript:Potri.001G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146800.v4.1 MPDPIPDRVKRLWDHWNIRSAILASLSLQAFLILFASQRKRTAHKLVIFLIWSGYLLADTVANFAIGHISTSQGTSDRKHRDNNDLLAFWAPFLLVHLGGPDTITAFALEDNELWLRHMLTFATQGFATLYVFFLTLTSNKVWIPTVLLFLAGVIKYFERTYSLYSASLDQFRDSMLEDPDPGPNYAKLMEEYDSKIEAKIPTEIIIIEEPDKQMPTTARDTQIKKLKDDLEVVQRAYYYFNIFKGLIVDLIFSFKDRNESRKFFHSIDAEDALKVLEVELNFIYEVLFTKAVVVHSVIGYVFRLLSFILVLVALALFRFIVKNKKDTFHPLDVKFTYVLLLGSVSLDTIAFVRAIFSDWTVADLNKPGKHPDSCWKSCVAFFSAWKVPLFNVKRAIFKLIGLRSWSESVKGYNLVRYCVNRPKGRIGIFMDKVLDFVGLKDFFDGIFRVSNKRFTSELWEVIFDELQKKSDSADDPEDAKTICSARGNLALQDNDWDKNLKEKLMPYVVNVTYDESLLLWHITTELLYNKDGNADQRSDDKSFCKLLLECMCNSYDDTDQKYDEKELSMLLSDYMMYLLIMQPAMMAAVAGIGKIRFRDTCAEAERFFKRRDLGSNKEWGACDSILGVNTEVKPVDVKGDRSKSVLFDACRLAKLLEREEKRWELLSKVWVELLSYAAGHCRATAHAQQVSKGGELITFVWLLMAHFGLADQFQINKGHARAKLIVGK >Potri.002G130700.1.v4.1 pep chromosome:Pop_tri_v4:2:9914792:9917649:-1 gene:Potri.002G130700.v4.1 transcript:Potri.002G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130700.v4.1 MASSSLAFPSLQTQFQSLKKPSSPKPSTRRFSVRPIRASISEKPSVPGPPATVSPSEPTKLPIRKIPGDHGLPLIGPFKDRMDYFYNQGRDEYFKSKIQKYQSTVFRANMPPGPFIAPNPHVVVLLDGKSFPVLFDVTKVEKKDLFTGTFMPSTELTGGYRVLSYLDPSEPKHAKLKQLMFYLLKSRRDHVIPEFNASYTELFMSLEKNLALGGKASFGEANDQAAFNFLARSWFGTDPAETTLGLDGPGLVSKWVLFNLGPVLKLGLPKYLEDLTVHSFRLPPSLIKKSYQRLYDFFYASSGFLLDEAENLGISREEACHNLLFTTCFNSFGGMRILFPNMMKWLGRAGTKLHARLAEEIRSVVRSNDGSITMRGMEEMPLMKSVVYEALRIEPPVSLQFGRAKRDLIIESHDAAFEVKEGEMLFGFQPFATKDPKIFTQAEEFVADRFIGEGEKMLEHVLWSNGPETEKPTLGNKQCAGKDFVVLVSRLFVVELFLRYDSFEIEVGTSPLGAAVTVTSLKRASF >Potri.006G075900.1.v4.1 pep chromosome:Pop_tri_v4:6:5593136:5596009:1 gene:Potri.006G075900.v4.1 transcript:Potri.006G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075900.v4.1 MAEQTETVSSSENQSSNPQNSPEEKLEQPSVPPAFSGFPAYADGGFQMYPIMYPAPVPGLNPMQNQEQANHGPGIYAVAVPQFMGPIAGLPSKTLIPLTLNIPTRPSHEAGATGDQAQGGQQQQPQQQQQQHPAHPRQIVVRRFQVAFQLDLFLILKLVAVIFLFNQDGSRQRLLVLVFFASLVYLYQTGALTPLVRWLSQSMQRAAVPPRPPRPAARVENAGAPRQNEYIALAEGQAGVENENRPTEDGNQAAENENVAEPGGDNGGHRWWGIVKEIQMIVFGFITSLLPGFHNID >Potri.004G050800.1.v4.1 pep chromosome:Pop_tri_v4:4:4109735:4112778:1 gene:Potri.004G050800.v4.1 transcript:Potri.004G050800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050800.v4.1 MSSAQDPFYIVKEEIQESIDKLQSSFHQWERISSDSGEQVRLTKELLAACESIEWKVDELDKAISVAARDPSWYGIDEAELEKRRRWTSTARTQVGNVKKAVVAGKELNLSGTASVNGMRRELMRMPDAQQTDKSNQYTQDNDDFIQSESDRQMLLIKQQDDELDELSASVERIGGVGLTIHEELLAQERIIGDLDTEMDTTSNRLDFVQKKVAMVMKKASAKGQLMMIVFLVVLFIILFVLVFLT >Potri.017G103101.1.v4.1 pep chromosome:Pop_tri_v4:17:11388482:11396651:1 gene:Potri.017G103101.v4.1 transcript:Potri.017G103101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G103101.v4.1 MDNIGKETIEAIFLDMPGIKEALWNMKAFSKMTKLRLLKIDNVQLSEGPEDLSNKLRFLEWNSYPSKSLPAGLQVDELVELHMANSSIEQLWYGYKSAVNLKIINLSNSLNLSKTPDLTGIPNLESLIIEGCTSLSEVHPSLAHHKKLQYMNLVNCKSIRILPNNLEMESLKICTLDGCSKLEKFPDIVGNMNELMVLRLDETGITELSSSIRHLIGLGLLSMNSCKNLESIPSSIGFLKSLKKLDLSGCSELKYIPENLGKVESLEEFDVSGTSIRQLPTSVFLLKNLEVLSLDGCKRLAVLPSLSGLCSLEVLGLRACNLREGALPEDIGWLSSLRSLDLSQNNFVSLPQSINRLSELEMLVLEDCTMLESLPQVPSKVQTVYLNGCISLKTIPDPIKLRSSKRSEFICLNCWELYNHNGRDNMGLTMLERYLQGLSNPRTGFGIAVPGNEIPGWFNHQSKGSSISVQVPSWSMGFVACVAFSAYGERPLRCDFKANGRENYPSLMCISCNSIQVLSDHIWLFYLSFDYLKELKEWQHESFSNIELSFHSYERRVKVKNCGVCLLSSLYITPQPSSAHFIVTSKEAASSYKASLTFSSSYHQWKANVFPGIRVTDTSNGVSYLKSDRSRRFIIPVEKEPEKVMAIRSRLFEAIEESGLSIIIFSRDCASLPWCFGELVKIVGFMDEMRLDTVFPVSYDVEQSKIDDQTESYKIVFDKNEENFRENKEKVQRWMNILSEVEISSRSRSLKRNGKRNVWIQKSEHLSEQLLLFEQLVLEQLPLEQLSLEQLQLLEQQLSEQLSEQQLLEQQLAVQQLLLHEFWGRIQLALA >Potri.003G159300.1.v4.1 pep chromosome:Pop_tri_v4:3:16966666:16968854:-1 gene:Potri.003G159300.v4.1 transcript:Potri.003G159300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159300.v4.1 MEEEKQVLIKVVTEKLQNMANTSMKNVLWSKRSIYRIPASVTAHNETAYMPQTVSFGPYHHDDIHLKPMEEHKQRALVYFLNKTGIPLQSVVESLNEEMQVLKDSYDMLGESWKNDTSKFLQMMILDGCFMLEIIRLATHNSLDYSADDPVFSSHGRLYMIPHIRRDMLLLENQLPMLVLYRLVAVESDGAKDEESVNELIHNFFHPNASVSSLDKCLHVLDLYRKSLLQGDPIKKMRRPRVKGGLHGDDNDIIRSATEINEAGIQFKKSKIKSLKEISLHRGVLELPVIEVDDTTEATFLNLMAFERFHVGASNEVTSYVFFMDSIIDNERDVALLNSRGIIQNAIGSDKAVAKLFNSLSKDIALESNSNLQVVQMHVNAYCKKPWNAWRANLIHTYFRNPWAILSVIAALILFALTIAQTVYSILPYYNSNNSTSLSPPMIFAAPPPLSTPPPTPPPIHPPKLSRRH >Potri.001G104432.1.v4.1 pep chromosome:Pop_tri_v4:1:8416359:8416755:1 gene:Potri.001G104432.v4.1 transcript:Potri.001G104432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104432.v4.1 MRLVDPDLNHPRYGPKYPNIGWVTYKPHILLQDVSSGRAQPSFDFDNGHARDLSSKQSFCPSVCEEDFCTAFLSTGCVAFWKLRFDPAFISYFYKLKVIFLQLL >Potri.015G120200.1.v4.1 pep chromosome:Pop_tri_v4:15:13448611:13449746:1 gene:Potri.015G120200.v4.1 transcript:Potri.015G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120200.v4.1 MSSSNKPHYDADINKVFYEEDKNSWTFKPRGFSIIWGNDKRYWNLPDQSSSDETPAELVQVCWLELTGTTKDPLKEGKYKIKFEVSMKKDAFGWNGCPVFMMAKLGKKGRYRWSKVDLSDVSTDKKSVTSDFVIDVSKGTDDNKLYFGLYEVWTGKWKGGLQIHQAIVEKVPSEVKT >Potri.006G179300.2.v4.1 pep chromosome:Pop_tri_v4:6:18579502:18580516:1 gene:Potri.006G179300.v4.1 transcript:Potri.006G179300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G179300.v4.1 MGYKIESVFIMVGIVSCLISVAHAAQGNAVFYDPPYTPSKCYGNRNDGVMVAGVSDALWNGGAACGRKYRVSCIRGANEAPKPCKQGSVVVTVVDYCRRGCNGVINLSKDAFSRIADPNAGKVVIQYDQV >Potri.009G151500.1.v4.1 pep chromosome:Pop_tri_v4:9:11937341:11940668:-1 gene:Potri.009G151500.v4.1 transcript:Potri.009G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151500.v4.1 MAEDRNGDRSEESDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQGKLGWGHFSTVWLAWDTQGSRYVALKVQKSAQHYTEAAMDEIKILEQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHMAKEICFHILVGLDYLHRQLSIIHTDLKPENVLLLSMIDTSKDHRKSGAPLILPTSKNKIVAESSSSKEIKSLNGDLTRNQKKKIRKKAKKAAQSCAQKEASSENDADPKPTSPEDSNVDAKSNEDSVDEQSNGSVIKDDSANTDGQKDACQAKRSRRGSRSTRQKLLAAADLKCKLVDFGNACWTYKQFTSDVQTRQYRCPEVLLGSKYSTPVDLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGVMPRKVALGGRNSRDFFNRYGDLRHIRRLRFWPLTKVLMEKYDFSEQDANDLNNFLVPLLDFVPEKRPTAAQCLNHPWIAAGPRLLEPSMPSFKHETKDGNISETEKDEREAMEAGIGNIVIDGASKKSKKLPYGKSFEIHII >Potri.009G151500.3.v4.1 pep chromosome:Pop_tri_v4:9:11937359:11940557:-1 gene:Potri.009G151500.v4.1 transcript:Potri.009G151500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151500.v4.1 MAEDRNGDRSEESDYTSEDEGTEDYRRGGYHAVRIGDTFKNGRYVVQGKLGWGHFSTVWLAWDTQGSRYVALKVQKSAQHYTEAAMDEIKILEQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHMAKEICFHILVGLDYLHRQLSIIHTDLKPENVLLLSMIDTSKDHRKSGAPLILPTSKNKIVAESSSSKEIKSLNGDLTRNQKKKIRKKAKKAAQSCAQKEASSENDADPKPTSPEDSNVDAKSNEDSVDEQSNGSVIKDDSANTDGQKDACQAKRSRRGSRSTRQKLLAAADLKCKLVDFGNACWTYKQFTSDVQTRQYRCPEVLLGSKYSTPVDLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGVMPRKVGVLHVF >Potri.009G151500.2.v4.1 pep chromosome:Pop_tri_v4:9:11937340:11940520:-1 gene:Potri.009G151500.v4.1 transcript:Potri.009G151500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151500.v4.1 MDEIKILEQIAEGDPDDKKCVVKLLDHFKHSGPNGQHVCMVFEYLGDNLLTLIKYSDYRGVPLHMAKEICFHILVGLDYLHRQLSIIHTDLKPENVLLLSMIDTSKDHRKSGAPLILPTSKNKIVAESSSSKEIKSLNGDLTRNQKKKIRKKAKKAAQSCAQKEASSENDADPKPTSPEDSNVDAKSNEDSVDEQSNGSVIKDDSANTDGQKDACQAKRSRRGSRSTRQKLLAAADLKCKLVDFGNACWTYKQFTSDVQTRQYRCPEVLLGSKYSTPVDLWSFACICFELATGDVLFDPHSGDNYDRDEDHLALMMELLGVMPRKVALGGRNSRDFFNRYGDLRHIRRLRFWPLTKVLMEKYDFSEQDANDLNNFLVPLLDFVPEKRPTAAQCLNHPWIAAGPRLLEPSMPSFKHETKDGNISETEKDEREAMEAGIGNIVIDGASKKSKKLPYGKSFEIHII >Potri.004G183675.1.v4.1 pep chromosome:Pop_tri_v4:4:19741141:19742801:-1 gene:Potri.004G183675.v4.1 transcript:Potri.004G183675.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183675.v4.1 MQNLLCLGIQNQPLFLPLHLLWHFLLQFRQHQPRLKLRPREKRTRKLMLKRQMGKSLFLLPKMQKKGNHQPTKNGDPMQVDGAETDTAEPEPSFEILTNPARVVPAQEKFLKFLEDSRYDPVKSAPSGFVLLSDLQPSEPEVFSSKKNPSTVSLAAGDSITGEQGSAVMDVDEKPVSSNF >Potri.005G059600.1.v4.1 pep chromosome:Pop_tri_v4:5:3764556:3771655:1 gene:Potri.005G059600.v4.1 transcript:Potri.005G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G059600.v4.1 MANNRGYPHFRRFLSRRQYILTTALFTIFAFVFSIFLLLYGFAPKEDDILKDDTRLEEPQQSQSVQQQVSDENLWGASLSHGLHPCIKPTVRHKAAQGSDRYITVRSNGGLNQMRTGISDMVAVAHIMNATLVIPQLDKRSFWQDTSTFSDIFDELHFITTLQDDVRIVKALPKELESIPRARKHFTSWSGMGYYEEMARLWKDYQVIHVAKSDSRLANNDLPLDIQRLRCRALYRALRFSPSIEILGKKLVERLRSHSGRYIALHLRYEKDMLSFTGCTYGLTEAESEELRIMRENTNHWKVKKINSTEQRVGGFCPLTPKEVGIFLESLGYPPITTIYIASGEIYGGEARLSELKSRFPNIISKEALATAKELEPFANHGSQTAALDYIISVESNVFVPSHSGNMARAVEGHRRFLGHRRTINPDRKGLVELFDKLESGELKLGSSFSHLVQEMHKNRQGAPRKRHGPLPGIKGRARLRTEESFYENPYPECICRSKKAVRNEVNALKLDVT >Potri.004G174400.1.v4.1 pep chromosome:Pop_tri_v4:4:18966250:18967715:-1 gene:Potri.004G174400.v4.1 transcript:Potri.004G174400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MYB221 MGRSPCCEKAHTNKGAWTKEEDDRLIAYIRTHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFTEEEDELIIKLHSLLGNKWSLIAGRLPGRTDNEIKNYWNTHIRRKLLNRGIDPATHRPLNEPAQEASTTISFSTTTSVKEESLSSVKEESNKEKIISAAAFICKEEKTPVQERCPDLNLELRISLPCQNQPDRHQAFKTGGSTSLCFACSLGLQNSKDCSCSVIVGTIGSSSSAGSKTGYDFLGMKSGVLDYRGLEMK >Potri.001G051900.1.v4.1 pep chromosome:Pop_tri_v4:1:3908102:3913598:-1 gene:Potri.001G051900.v4.1 transcript:Potri.001G051900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051900.v4.1 MEKAINRQRVLLEHLRPSSSHNFESTLSASACLAGDSAAYQRTSAYGDDVVIVAAYRTPQCKSKRGGFKDTHADDLLAPVLKALIEKTNLDPREVGDIVVGSVLAPGSQRASECRMAAFYAGFPETVPIRTVNRQCSSGLQAVADVAASIKAGFYEIGIGAGLESMTINQMSWDGDVNPKLKNFQKAQDCLLPMGITSENVAHRFGVTRQEQDQAAVDSHRKAAAATASGRFKDEIIPVATKIVDPKTGDEKPIVVSVDDGIRPETSVAGLGKLKTVFKKDGTTTAGNSSQISDGAGAVLLMKRSVAVQKGLPILGVFRTFAAVGVDPAIMGVGPAVAIPAAVKAAGLELEDIDLFEINEAFASQFVYCRKKLELDPQKINVNGGAMAIGHPLGATGARCVATLLHEMKRRGRDCRFGVVSMCIGTGMGAAAVFERGDGCDELCNGGRKVESNNLLSRDSR >Potri.014G140500.2.v4.1 pep chromosome:Pop_tri_v4:14:9533179:9536098:-1 gene:Potri.014G140500.v4.1 transcript:Potri.014G140500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G140500.v4.1 MASATFSVAKPSLQASGKGFTDFSGLRSSSAFLPFTKKTSDDFVSAVSLQTSALGSSSGGYRKSAAEAKLKVAINGFGRIGRNFLRCWHGRKDSPLDVIAINDTGGVKQASHLLKYDSTLGIFAADVKPVGDNGISVDGKVIKVVSSRNPLDLPWKDLEIDLVIEGTGVFVDREGAGKHITAGAKKVLITAPGKGDIPTYVVGVNADAYSSDEPIISNASCTTNCLAPFVKVLDQKFGIIKGTMTTTHSYTGDQRLLDASHRDLRRARAAALNIVPTSTGAAKAVALVLPTLKGKLNGIALRVPTPNVSVVDLVVQVSKKTFAEEVNAAFRESAEKELNGILSVCDEPLVSVDFRCSDVSSTVDSSLTMVMGDDMVKVIAWYDNEWGYSQRVVDLADIVANNWK >Potri.001G243900.5.v4.1 pep chromosome:Pop_tri_v4:1:26145780:26148423:1 gene:Potri.001G243900.v4.1 transcript:Potri.001G243900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243900.v4.1 MAHSQSRTGAIAPFDVKHGHGKRPGNPTTPTVEELLQAEEVTRWSPHTTPTFCKDHELEYDQSPNQKKSVITKVKEKARKWRSTLRKKKHNDDGYTTPSWGVSLDYVEDEEDPEYLGAPMYESEMAPEGYKETARQHPRAVPVISETHLLPSSETCAAEVKPITETVSGKQENEKSPKTPTQTVAEKLAPACNTVSVATHAIASKIQSLAVSTPETVISETHVLPSSVTCAAEDKPITETVSGKQENEKSPKTLTETVAEKLAPAYNTVSIATHAISSKIQSLAISTPEAPGAAGLDPVEEGRAASSVAGPTKVALDQVTSDPSRDPVAAASGYHFCAGEKKWDKGVSMKEYLMHMSGPGEDDRALSQVTLQATSPRKTAGDVSVVDKVRDAVNSLLQVQESSQPTVFHSAKNSSSDITISTDAHEVTEEENHGRIHKAN >Potri.014G031100.1.v4.1 pep chromosome:Pop_tri_v4:14:1951944:1953555:-1 gene:Potri.014G031100.v4.1 transcript:Potri.014G031100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031100.v4.1 MDTQPQRNSREKAVDKPREGKLKQEGKEGLQAQQQALPTSPASPPSASSSPSHEFSFTISLHSSSAPVPDKAKTPPNSFAIDLSPADDIFFHGHLLPLHLLSHLPVSPRSSINSFDSFTLPIKELLDDQRPNKSSNNYCSTSNGNSGSGSGSSSNHVNNSNNCSHHQSNNYSDTKGRSKPKSFSLFGWRKGCEVKEKEEDKDKHKKNQRFDMSQVLKRYAKMVRPLMFFKGRKENLQSHRQPYSFSGNLSWRNKQELRGRRGEYSAPASMRTSPSNSGLLLATTALPSSTSDSTMEELQAAIQAAIAHCKNSIATEEKIKC >Potri.016G004500.2.v4.1 pep chromosome:Pop_tri_v4:16:216944:217687:1 gene:Potri.016G004500.v4.1 transcript:Potri.016G004500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004500.v4.1 MYMKVVSASKGSKILLLLVVIIGLMVTSAVAKGGRGFGGGGTLGGSRAAGTGGVIGGARATTGGKTSASVTWGPTSTFWLLLMNFIFLMV >Potri.004G078500.1.v4.1 pep chromosome:Pop_tri_v4:4:6489719:6490573:-1 gene:Potri.004G078500.v4.1 transcript:Potri.004G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078500.v4.1 MAPSKLIFTLFILLSSLSFSCSARPCKTLFISSYSLSIKPLNPNPNNPSSGFFIVTEIEETSTSTFHSNFFNRRFIPFIPNNNYEKSQEIHDKKGFQETAQVGSVWPGFGGYDLSSLRDRTKDILSVVVALLFGVGCGALTAATMYLVWSFFSPSQPRYSHYFDGDFSDDEEEDVKKIGYVKIPEAEQVKCGSV >Potri.012G044300.2.v4.1 pep chromosome:Pop_tri_v4:12:3980151:3982058:1 gene:Potri.012G044300.v4.1 transcript:Potri.012G044300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G044300.v4.1 MRCFSFYLTNLTNLLNFLFPFLIKIPSKCLPVQIENMVALVSRTGRHLQRYEKGYRLVVGCIPYRYKKSQEPTSVEDLEVLVISAQNGQGMLFPKGGWENDECMEEAAKRETEEEAGVIGVVQDKLGPWHYKSKRSCIMHESYMFPLLVKKELDCWPEKNIRKRRWVSINEAREVCHNWWMREALEELFRQQSRNLQAQS >Potri.001G110700.1.v4.1 pep chromosome:Pop_tri_v4:1:8915316:8916588:1 gene:Potri.001G110700.v4.1 transcript:Potri.001G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110700.v4.1 MVMGGSNSFSPDKQESSLSSLLSDSSGSQQDSPSSNEKVLLATSRPKKRAGRRIFKETRHPIFRGVRKRNGDKWVCELREPNKKSRIWLGTYPTPEMAARAHDVAALAFRGKSACLNFADSAWRLPVPISNEAKDIRRAASEAAELFRTSDLGGQVMEDFRREDRGEVRSSTNDDIRDLPSENVGYIDEEAEFNMPGLLASMAEGLLLSPPHYTGDWNDGEIDADWSLWSS >Potri.011G056500.1.v4.1 pep chromosome:Pop_tri_v4:11:4568995:4569985:1 gene:Potri.011G056500.v4.1 transcript:Potri.011G056500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G056500.v4.1 MKLNFHQKKMATHQKNKISIDVSAEHSSESLSFSTLLSAKDLESKSPPTNSVNKVREHDQGFLGRTAPVLASRGSNKNHPSDMSISNTKLQLQSFLYQSEQTTVTRNLSSKKATHGNYKRSSDKSTDGSDKPTRKEKKPTKKERAASSSSFFQEIFQSFVSPCRECHAHKPTVKSMPAEPIKLR >Potri.016G038200.1.v4.1 pep chromosome:Pop_tri_v4:16:2357526:2362380:-1 gene:Potri.016G038200.v4.1 transcript:Potri.016G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038200.v4.1 MTSSLSLKPYFSSLYTEVSNNRGLGSGFLPTSFWVKKDCSLRGKNLIIVKKFEVNCLKDKDMDVRTSALVDGVAECLNEIEIKEPSVSTILMNFENKFDPYGAMSTPLYQTATFKQSSATENGQYDYTRSGNPTRDALESLLAKLDKGDRALCFTSGMAALAAVTHLVGTGQEIVAGDDIYGGSDRLLSQVTPKAGIVVKRVNTSDLHEVASAIGPQTKLVWLESPTNPRQQISDIRKIAEMAHAHGALVLVDNSILSPVLSQPLELGADIVMHSVTKFIAGHSDVMAGVLVVKGESLARDLYFLQNAEGSGLAPFDCWICLRGIKTMALRVEKQQENAQKIAEFLAAHPRVKKVNYAGLPGHPGRDLHYSQAKGAGSVLSFLTGSLALSKHVVETTKYFSITVSFGSVKSLISMPCFMSHASIPAAVREARGLTEDLIRISVGIEDVNDLIADLDHAIRTGPL >Potri.001G247600.1.v4.1 pep chromosome:Pop_tri_v4:1:26416077:26419126:1 gene:Potri.001G247600.v4.1 transcript:Potri.001G247600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247600.v4.1 MSRLFNVCLIASWYSSNIGVLLLNKYLLSSYGFKYPIFLTLCHMMACSLLSYIAISWLKIVPLQTIRSRWQFLKISALGVIFCSSVVTGNVSLRYLPVSFNQAVGATTPFFTAVFAYLLTFRREGWLTYVTLIPVVAGCVIASGGEPSFHLFGFLMCIGATAARALKSVVQGILLSSEGEKLHSMNLLMYMAPVAVLVLVPAAFFMERDVVGITISLARDDTKFIFYLLFNSSLAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVISILIFQNPVSVTGIFGYSITVTGVFLYSEAKKRSRSN >Potri.003G087200.4.v4.1 pep chromosome:Pop_tri_v4:3:11366414:11374835:-1 gene:Potri.003G087200.v4.1 transcript:Potri.003G087200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087200.v4.1 MASSTMGSKSLKEYLKKYGSDNQEEEKKVKKKKKKVKPNASGVLVVDEDPVWQKPVKLEEEENDSADDENPQVDEDIEVKRMKRLELIRARRAYNAIAEDGSGWVILPPNHANSADPYPDMSPLHKRKVRNDTPSPEHEGKPLHNGGDDADLSPPRQQQRQHHSPSPAPDTNLNSDLSPPRNRRYRNDTPSPEPRLKPSREASDLSPPRRQQKHHHSPSPKPYTKSKHTSVLNSDLSPPRRRRTDTESPKLKPLKEDTDLSPPRQQRRRHYTPSPKPEPDLSPPRKRKKDVGRSGLPDNSHLSLQLSTQSGNSRASMAQDISPPRKNRKESSDPVSSKEPPKTGLITGRDMREEIKTKKEASLLFDKMDPSISGRGVEPVYRDKKTGERISKDDYLKSKQKVEEKPKEKKLEWGKGLAQKREVETRLEELELEKDKPFARTRDDPELDKMLMERVRWGDPMAHLVKKKHSELDLADLGDSEKMKESGFIIPQDIPSHSWIKRGLDAAPNRYGIRPGRHWDGVDRSNGYEKQLVKRVNEKQATEREAYLWSVSDM >Potri.003G087200.5.v4.1 pep chromosome:Pop_tri_v4:3:11366411:11374818:-1 gene:Potri.003G087200.v4.1 transcript:Potri.003G087200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087200.v4.1 MASSTMGSKSLKEYLKKYGSDNQEEEKKVKKKKKKVKPNASGVLVVDEDPVWQKPVKLEEEENDSADDENPQVDEDIEVKRMKRLELIRARRAYNAIAEDGSGWVILPPNHANSADPYPDMSPLHKRKVRNDTPSPEHEGKPLHNGGDDADLSPPRQQQRQHHSPSPAPDTNLNSDLSPPRNRRYRNDTPSPEPRLKPSREASDLSPPRRQQKHHHSPSPKPYTKSKHTSVLNSDLSPPRRRRTDTESPKLKPLKEDTDLSPPRQQRRRHYTPSPKPEPDLSPPRKRKKDVGRSGLPDNSHLSLQLSTQSGNSRASMAQDISPPRKNRKESSDPVSSKEPPKTGLITGRDMREEIKTKKEASLLFDKMDPSISGRGVEPVYRDKKTGERISKDDYLKSKQKVEEKPKEKKLEWGKGLAQKREVETRLEELELEKDKPFARTRDDPELDKMLMERVRWGDPMAHLVKKKHSELDLADLGDSEKMKESGFIIPQDIPSHSWIKRGLDAAPNRYGIRPGRHWDGVDRSNGYEKQLVKRVNEKQATEREAYLWSVSDM >Potri.003G087200.7.v4.1 pep chromosome:Pop_tri_v4:3:11366361:11374813:-1 gene:Potri.003G087200.v4.1 transcript:Potri.003G087200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087200.v4.1 MASSTMGSKSLKEYLKKYGSDNQEEEKKVKKKKKKVKPNASGVLVVDEDPVWQKPVKLEEEENDSADDENPQVDEDIEVKRMKRLELIRARRAYNAIAEDGSGWVILPPNHANSADPYPDMSPLHKRKVRNDTPSPEHEGKPLHNGGDDADLSPPRQQQRQHHSPSPAPDTNLNSDLSPPRNRRYRNDTPSPEPRLKPSREASDLSPPRRQQKHHHSPSPKPYTKSKHTSVLNSDLSPPRRRRTDTESPKLKPLKEDTDLSPPRQQRRRHYTPSPKPEPDLSPPRKRKKDVGRSGLPDNSHLSLQLSTQSGNSRASMAQDISPPRKNRKESSDPVSSKEPPKTGLITGRDMREEIKTKKEASLLFDKMDPSISGRGVEPVYRDKKTGERISKDDYLKSKQKVEEKPKEKKLEWGKGLAQKREVETRLEELELEKDKPFARTRDDPELDKMLMERVRWGDPMAHLVKKKHSELDLADLGDSEKMKESGFIIPQDIPSHSWIKRGLDAAPNRYGIRPGRHWDGVDRSNGYEKQLVKRVNEKQATEREAYLWSVSDM >Potri.003G087200.6.v4.1 pep chromosome:Pop_tri_v4:3:11366414:11374637:-1 gene:Potri.003G087200.v4.1 transcript:Potri.003G087200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087200.v4.1 MASSTMGSKSLKEYLKKYGSDNQEEEKKVKKKKKKVKPNASGVLVVDEDPVWQKPVKLEEEENDSADDENPQVDEDIEVKRMKRLELIRARRAYNAIAEDGSGWVILPPNHANSADPYPDMSPLHKRKVRNDTPSPEHEGKPLHNGGDDADLSPPRQQQRQHHSPSPAPDTNLNSDLSPPRNRRYRNDTPSPEPRLKPSREASDLSPPRRQQKHHHSPSPKPYTKSKHTSVLNSDLSPPRRRRTDTESPKLKPLKEDTDLSPPRQQRRRHYTPSPKPEPDLSPPRKRKKDVGRSGLPDNSHLSLQLSTQSGNSRASMAQDISPPRKNRKESSDPVSSKEPPKTGLITGRDMREEIKTKKEASLLFDKMDPSISGRGVEPVYRDKKTGERISKDDYLKSKQKVEEKPKEKKLEWGKGLAQKREVETRLEELELEKDKPFARTRDDPELDKMLMERVRWGDPMAHLVKKKHSELDLADLGDSEKMKESGFIIPQDIPSHSWIKRGLDAAPNRYGIRPGRHWDGVDRSNGYEKQLVKRVNEKQATEREAYLWSVSDM >Potri.005G175500.1.v4.1 pep chromosome:Pop_tri_v4:5:18101581:18103542:1 gene:Potri.005G175500.v4.1 transcript:Potri.005G175500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G175500.v4.1 MAAKGQVDLLLRLDHAKTQLYHVKAVIISGMGFFTDAYDLFCISLVTKLLGRIYYQVEGSPKPGILPPNVAAAVNGVALCGTLAGQLFFGWLGDKLGRKRVFGITLLLMMISSIGSGLSFGSSPKTVMATLCFFRFWLGFGIGGDYPLSATIMAEYSNKKTRGAFIAAVFAMQGFGILAGGVVAMAVSATFGAVYKAPAYSVDPVGSTVPQADYVWRIILMLGALPAALTYYWRMKMPETPRYTALVAKNAEKASRDMSKVMGIEVQAQKEVGEEKVINKSNSFGLLSEEFLRRHGLHLLGTASTWFLLDIAYYSQNLFQKDIFSAVGWLPKATTMNAMEELFKIARAQFLIALCGTVPGYWFTVFLIDHIGRFTIQLIGFFFMTVFMFVLAIPYHHWTLKENNIGFVVIYGLTFFFANFGPNSTTFIVPAEIFPARFRSTCHGISAAAGKAGAIIGAFGFLYAAQNQDKSKVDHPGYPAGIGMKNSLMVLGMMNVLGFLLTFLVPEPKGRSLEEISGENEEVDQAHPTGVETV >Potri.015G073500.1.v4.1 pep chromosome:Pop_tri_v4:15:9921989:9924766:1 gene:Potri.015G073500.v4.1 transcript:Potri.015G073500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073500.v4.1 MDRILIWMLPILMFFILPKSNSEDENVIEALVQFMEKLSAGNSQNYQNWGWDRNSDPCVGNVNFVGTWKGVDCKKSQNVKKIVLDNFNLTGTFEAAFVCTAKFLVFLSLKENNISGFMPKEIGNCGRLRHLYVKGNRFAGDIPDTFPQLRKLKSIDISDNNFSGELPADMSRISGLLTFFAENNQLSGEIPDFDFSYLKDFNVANNNFSGPIPDVKGKFGADSFSGNPELCGKPLSKACPPSKKGSKHSSTDRFLIYSGYIILAVVVLLLLALYLFKKNKPKEETAKVVKKGRVANASKEHSSTPSESKTGGNRSEYSIASVEAGMTSSSLVVLPSPVVNGLKFEDLLRAPAELLGRGKHGSLYKVMFDNATILAVKRIKDWDISAADFKRRMEMIDQVRHPRVLPPVAFYCSKQEKLLVYEYQQNGSLFKLLHGSQNGRVFDWGSRLNVAASIAESLAFMHEQLQEGGIAHGNLKSTNILFNKNMEPCISEYGLIVAQGQDQSFLSQSDSFKSNALGGDGAYSTFKVDVYGFGVVLLELLTGKLVENNGFDLASWVHSVVREEWTAEVFDRALIAEGASEERMVNLLQVALKCINPSPNERPAINQISAMINTIKEDEERSIISEP >Potri.008G160650.1.v4.1 pep chromosome:Pop_tri_v4:8:11035360:11035668:-1 gene:Potri.008G160650.v4.1 transcript:Potri.008G160650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160650.v4.1 MAFNGNSRVTIVFTLFSILLTLSTLQCGAAMRPLHEEQLLKKRFPLIESLQRGPVPPSAASPCIPGVSGTCELNEMNFVGRANRQPPPAFPSSVTEQSKASN >Potri.008G111100.4.v4.1 pep chromosome:Pop_tri_v4:8:7051376:7057109:-1 gene:Potri.008G111100.v4.1 transcript:Potri.008G111100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111100.v4.1 MHNTYISSTLLSVGTKGSLSFSFNDYSNLLSERFERNPFLLQSCSSSCNKSCCCCCCCSCSCSASSSSFSTTTTIRRVPINPGLFFGFRQSTIIQCPPSRRLILGGRDRYYYRSPAYGLDHGCYEDSCSFKEKNGSERVTRRRVGGSGGVRLHGRRCFSGVDDVEAVISLLSEEMSEECLRDGERNQGLSKRVGTEKRGNYSGGDHKGRRRKNVGRRSLESDTKCKFGLANVELRKEEFTRKEGSEDREEKKIVLEGENCRGKRGSSSVSSYYSLSSAEDFESDMEAQDEHVDCLKESSHGYKELRSGEGRLKGQVVEEFKRHRDGTEWKGEVLEARTSSRRTGVEWDLRKKSEKKLTEIEETRSGRESLQMQSRMARTTESDYKNVSGSHKQIDDEEEKSLAVNLEKGTRKQYGQMGDPVKEQSEFRRNYQEITNKQESSGTNVETTSQSQKRFSGREENLVDVNLVWEGRDERYEVGETAAENNIKRNTHQLIDTSTLENVRTERVSNLQRQSEPRMKILEEDRALGSFYETNEQQFQMGGQTRRQVQSRCLQQLSKIPEVHDSSSKNTLLLQSETRMKKQEGRESVVSSSGTEAKEHQPRTNQKALQGTETRKGSGDVTNISLNVTGASLVHASDVKTVTNFGGTSGKRIVDQESESTSAVEPIRETRERTDKIEENVTQFKSRNEVWRPTYESRHNERTSQEAALDSQASANMVSQVGIQEVDVGEGNQRTSQAIMMPPPPQLLARGTACVNPPSKNADQEISRGTSESGASALYIISGGGTPVFQQETYGKNEKDEIYREPSNLILTGDALGSTHRLEESSMQFVGEFVEKARHEVLASEIQKEKTVSDTKLAYEAEKQRQKSSGQYDSEDLQFKRQDSRQSSRGSREKGPSDEMWHVTDPSIQEPTETEAPAGSTETESGVVRRTGRSLWSIISNVVLLRWGSHAETPKSAWRSGGKSSSNDSVTSEAWFSGHEPDENSDENMKRERESMPKEAASSHQLQPTNTFSQDQAKASDTFVSKNIIRQLEGYTSSRPIMLKSKSTSKGISTPSEEENLGWSQDGNDFQVATSSTEVDESLLVLLPSTSTSDPIVEESSGTAKTNVSVSGSMEQPDSEMLIGVSGSEGKGVESKQRRLQRNKQVERDRFDEWEEAYLRESELRKTDEMFMREALLEAKKAADSWEVPVGAVLVHHGRIIARGHNLVEELRDSTAHAEMICIREASNKLRTWRLSETTLYITLEPCPMCAGAILQARIKTLVWGAPNKLLGADGSWIRLFPDAGEENGSELSNKPSAPVHPFHRKMTIRRGILESECADVMQQFFQLRRRKKEKKEDSPPQPSCLPITNPQLKILGKMHGFFHAMFCL >Potri.001G310801.1.v4.1 pep chromosome:Pop_tri_v4:1:32110262:32111677:-1 gene:Potri.001G310801.v4.1 transcript:Potri.001G310801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310801.v4.1 MSTIRRVIRVVPKRESSDNSSLTSSTSFLFKISIEEKEESDKYGETPHPHLSLSPSSNSSLPNQPQHRINHHKQHNNNNSSHFYSSSQTTQNDHHSRNSSSTPTNYPAASAPQLPEHPLTNQFRTTMVSWNTMGKRRKIHNGKEKGSRMRSIR >Potri.001G468100.1.v4.1 pep chromosome:Pop_tri_v4:1:49249848:49251790:1 gene:Potri.001G468100.v4.1 transcript:Potri.001G468100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G468100.v4.1 MSAFVGKYADELIKTAKYIATPGKGILAADESTGTIGKRLSSINVENIESNRQALRELLFTSGKALPYLSGVILFEETLYQKASDGKPFVEVLQENNIIPGIKVDKGVVELAGTNGETTTQGFDSLGARCQQYYKAGARFAKWRAVLKIGPTEPSELSIQQNAQGLARYAIICQENGLVPIVEPEILTDGSHDIKKCAAVTETVLAAVYKALNDQHVLLEGTLLKPNMVTPGSDSPKVTPEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATLNLNAMNKLEVLKPWTLSFSFGRALQKSTLKTWAGKKENVEKAQEVFLVRCKGNSEATLGKYTGGGAGGLASESLFEKGYKY >Potri.001G468100.2.v4.1 pep chromosome:Pop_tri_v4:1:49249850:49251700:1 gene:Potri.001G468100.v4.1 transcript:Potri.001G468100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G468100.v4.1 MVTPGSDSPKVTPEVIAEYTVTALRRTVPPAVPGIVFLSGGQSEEEATLNLNAMNKLEVLKPWTLSFSFGRALQKSTLKTWAGKKENVEKAQEVFLVRCKGNSEATLGKYTGGGAGGLASESLFEKGYKY >Potri.010G174100.1.v4.1 pep chromosome:Pop_tri_v4:10:17485942:17488292:1 gene:Potri.010G174100.v4.1 transcript:Potri.010G174100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174100.v4.1 MFHTKKPSTMNSHDRPMCVQGDSGLVLTTDPKPRLRWTVELHERFVDAVTQLGGPDKATPKTIMRVMGVKGLTLYHLKSHLQKFRLGKQPHKDFNDHSIKDASALDLQRSAASSSGMMSRSMNEMQMEVQRRLHEQLEVQRHLQLRTEAQGKYIQSLLEKACQTLAGDQNLASGSYKGMGNQGIPGMGAMKEFGTLNFPAFQDLNIYGGDQLDLQHNMDRPSLDGFMPNNDNICLGKKRPSPYDGSGKSPLIWPDDLRLQDLGSGPACLEPQDDPFKGDQIQMAPPSMDRGTDLDSISDMYEIKPALQGDALDEKKFEASAKLKRPSPRRSPLAAERMSPMINTGAMPQGRNSPFG >Potri.014G061450.1.v4.1 pep chromosome:Pop_tri_v4:14:3959718:3960788:-1 gene:Potri.014G061450.v4.1 transcript:Potri.014G061450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061450.v4.1 MDGSDTHDKASWTKTMLHTFCDICITAIERGMRPNTHFDKAGWKFVIQSFKDQTGLSLTKAQLKNKWDGIKKDWRVWKKLITETGVGWSTELGTISATDEWWQLKIQEMRGAKKFRHVGIEPSLCAKYDIMFANIVATGEYAWTPSQGLLSDEDDRDAAGMRNTTNEKTNMEEGSGDSEEDAIPDFIHDVSNMVGGSNVANSSSNPNTEKKKKGTGMGAQLFSCMNQLVQTVSKPRESITPSRDKKGCSIDEVMAELHSIDGVTFGSALHTFATQFFCARSKREMWAAMGCIDRKISWLKIMFDQQRKT >Potri.008G208300.2.v4.1 pep chromosome:Pop_tri_v4:8:15756886:15757685:-1 gene:Potri.008G208300.v4.1 transcript:Potri.008G208300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G208300.v4.1 QLSTITPKKPNCALHKVTKVRLTSGFEISTCIHGIDHNSQEHYVVLVRGESVKDLPGVRYHIVRGTLYAVGVKDRQQGRSKYGVKKPK >Potri.005G084700.1.v4.1 pep chromosome:Pop_tri_v4:5:5840210:5843337:-1 gene:Potri.005G084700.v4.1 transcript:Potri.005G084700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084700.v4.1 MEDRKDQKNAPWLSVPQFGDWDQKGELPDYSVDFSKIREMRKQNKRDASRASLGKEEELINPTATTAKTAQTHDHRHHYHQDHHDSPATRRSIFSYFNCCVKA >Potri.001G238500.1.v4.1 pep chromosome:Pop_tri_v4:1:25629959:25634921:-1 gene:Potri.001G238500.v4.1 transcript:Potri.001G238500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G238500.v4.1 MEEEENGGGEVVDILIETVNKIASISDYRCTVKKQYCNLARRLKLLIPMLEEIRDSKDSIIPQQTLKALVLLKQALDSAKDLLIFGCEGSKIYLVLEREQIMNKYHEVTAKLEQALSGISYEGLDISDEVKEQVELVLAQFRRAKGRVDATDVELYEDLLSLYNKTNDSASDLAVIRRISEKLQLMGIVDLTQESLALHEMVAATGGDPGESIEKMSMLLKKIKDFVQTENPNLDAPEREKNLPPSGSGLVDGSHQMPVIPDDFRCPISLELMKDPVIVSTGQTYERSCIEKWLGAGHDTCPKTQQKLTSTAPTPNYVLRSLIAQWCEANGIEPPKRPSSSGTSKTVSTCSLAERTKIEILLHKLTSGCLEDQRSAAGEIRLLAKHNADNRVAIAQAGAIPLLVGLLSTPDPRIQEHAITALLNLSICEDNKGSIVSAGAVPGIVHVLKKGSMEARENAAATLFSLSVVDENKVTIGFLGAIPPLVTLLSEGTQRGKKDAATALFNLCIYQGNKGKAVRAGVVPTLMCLLTETGGGMVDEALAILAILASHPEGKATIGAAEAVPVLVEVIRNGSPRNRENAAAVLVHLCSGDQKHMVEAQEHGVMGPLVDLAQNGTDRGKRKAQQLLERISRFVEQQNHSQAQTEAHIQQPQPSSMANFGDS >Potri.006G256200.1.v4.1 pep chromosome:Pop_tri_v4:6:25355810:25358408:1 gene:Potri.006G256200.v4.1 transcript:Potri.006G256200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256200.v4.1 MAILYALVARGSVVLAEFSSTATNASAIARQILDKIPGNDDSNVSYSQDRYIFHVKRTDGLAVLCMADETAGRRIPFAFLEDIHQRFARTYGRAVITAQAYAMNDEFSRVLSQQMEYYTNDPNADRINRLKGEMSQVRNVMIENIDKVLERGDRLELLVDKTANMQGNTFRFRKQARRFSSAVWWRNVKLTVALILLLLVIIYVVLAVVCHGVTLPTCRK >Potri.001G190500.1.v4.1 pep chromosome:Pop_tri_v4:1:17378619:17382049:-1 gene:Potri.001G190500.v4.1 transcript:Potri.001G190500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G190500.v4.1 MKMGRASRWLKGLLGMKKDKDKEREDVATQISSDKKEKKRWSFAKSGKDDAPANRSGSMKDDAWLRSYLSETEREQNKHAIAVAAATAAAADAAVAAAQAAVAVVRLTSHGRGTMFGGGRERWAAVKIQTVFRGYLARKALRALKGLVKLQAVVRGYLVRKRATATLHSMQALIRAQNAIRSQRARRSFNKENRFSEHRPRKAIERFDDTRSEFHSKRLSTSCDMTAFDESPKIVEIDTYKPRSRSRRINVASSECGEELPYQAISSPLPCPMPARISIPECKGYQDFEWYFNGEECRFSTSHSTPRFANSAQSIAPATPAKSICGDAYFRPYLNFPNYMANTQSFKAKLRSHSAPKQRPEPGSKKRLSLNEIMASRNSISSVRMQRPCTQVDEHLVIDGFVSEEVHPYQK >Potri.001G220900.1.v4.1 pep chromosome:Pop_tri_v4:1:23039149:23040187:-1 gene:Potri.001G220900.v4.1 transcript:Potri.001G220900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220900.v4.1 MANQIPLALTFTLLLVCFKHAGALSVTFSFKNNCPFTVWPASLNNADSPQLSSTGFALTAGASSSLSTPVPWSGRFWGRTRCNTDSSGKFTCATGDCASGRIECHGAGGIPPASLAEFALRANDGHDYYDISLVDGFNIPLSVIPQGGSSECRSTSCAANVNAVCDSKLAVKGSDGTVIACKSACLAFNQPQFCCTGEFSTPDKCLATNYSSTFKQQCPEAYSYAYDDKSSLLTCPSGSNYVINFCP >Potri.014G123500.1.v4.1 pep chromosome:Pop_tri_v4:14:8306527:8307698:1 gene:Potri.014G123500.v4.1 transcript:Potri.014G123500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G123500.v4.1 MEHRSGAVIDIFSTVTDNGGHRDGVDPKPTKKKRSPMHILRVANYMLSLKSGKSKTAHTDAVSKWKKLLSSMRPLHINSNQSAQRSIGDRAPMAPLTSKEVVEQSKVYAPSAASEDVEQFELFTPPWSPAPKSTGSSSGQTSQYASAQNLQELDDQSDEDDEDSYYDDKFGDEKIDMKAEEFIAKFYGQMKLQHKI >Potri.003G038800.1.v4.1 pep chromosome:Pop_tri_v4:3:4327483:4334724:-1 gene:Potri.003G038800.v4.1 transcript:Potri.003G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038800.v4.1 MGVNERYTQWKTLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNTNIVATHTDSPDVLIWDVDSQPNRHAVLGATESCPDLILTGHKDDAEFALAMCPTEPFVLSGGKDKLVVLWSIQDHISTLAAEPGLSKSPGSGGFPNKSASKAGGGNDKRTKSPSIGPRDVYQGHTDTVEDVQFCPSSAQEFCSVGDDSCLVLWDARAGCTPVVKVEKAHNADLHCVDWNPHDVNLILTGSADNSVHMFDRRNLNLGGVGAPVHKFEGHNAAVLCVQWSPDKSSVFGTSAEDGILNIWDYEKIGKKQDSTGLKVPTAPPGLFFRHAGHRDKVVDFHWNASDPWTIVSVSDDGESTGGGGTLQIWRMIDLIHRAEEDVLVELENFKSHILACERS >Potri.006G271692.1.v4.1 pep chromosome:Pop_tri_v4:6:26459771:26461166:-1 gene:Potri.006G271692.v4.1 transcript:Potri.006G271692.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G271692.v4.1 MPLFPTLNEGLHLWRTSSMPLQETMKMKSPVSSSSSSSFIRPLSKLKELGIYFIDDMESLPEVGLQNLSSLGQLSESQGMIPYLPSLQFLRIDGCSEEVSGRTGGWERRASRNGLSLNIL >Potri.012G104700.1.v4.1 pep chromosome:Pop_tri_v4:12:12668119:12670596:-1 gene:Potri.012G104700.v4.1 transcript:Potri.012G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G104700.v4.1 MAEIHPPETHLNSGGFGGGGGGGGSSGGGGALTSTETVGSKRQRRPSVRLGEIGGGDQLYESQHHRRTASNSWKQQQQQILGFKEASKSSKTRALTNLSEFNETPDGDNDINLDSVAIGSWRVKHSSKKRGSLNVSAKRVRSNWVSKFDDSGGGVGNGGEGEEKYSGGEDIGEEEEEIYREFDLENSESLLKEQSPIHDSLENLGDGNEREVYYNRRVVRGRNYRNVNQDYLPEGVELSSPSDNDTRDYRNNGRCGDGSGSGGEDGVRIWLNSLGLGKYAPVFEIHEVDDEVLPMLTLEDLKDMGINAVGSRRKMFCAIQKLGKGFS >Potri.001G204200.1.v4.1 pep chromosome:Pop_tri_v4:1:20684338:20691050:1 gene:Potri.001G204200.v4.1 transcript:Potri.001G204200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204200.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFSMHSRTVAVVMTTAKVLTAAVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECTLWMPTRTGLELQLSYTLRQQNPVEYTVPIQLPVINQVFSSNRAMKISPNSPVARLRPLSGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETELTPEQRLMVETILRSSNLLATLINDVLDLSRLEDGSLRLDLGSFNLHAVFKEVLNLIKPIASVKKLPVTLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKQGSISITAFVAKSESLRDSRAPDFFPVPSDNHFYLRVQVKDAGQGINPPDIPKLFTKFAHAQTLATKNSSGSGLGLAICKRFVNLMEGHIWIESEGPGKGCMAIFIVKLGIPENTSESKNPFLPKANHGQTTFPGLKVLVLDDNGVSRMVTKELLVHLGCDVTTASSRDECLHVVSQDHKVVCMDAGMPDGFEAAVCLHEKFTKRHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSELLEHRVLFEAM >Potri.001G204200.5.v4.1 pep chromosome:Pop_tri_v4:1:20684370:20690998:1 gene:Potri.001G204200.v4.1 transcript:Potri.001G204200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204200.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFSMHSRTVAVVMTTAKVLTAAVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECTLWMPTRTGLELQLSYTLRQQNPVEYTVPIQLPVINQVFSSNRAMKISPNSPVARLRPLSGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETELTPEQRLMVETILRSSNLLATLINDVLDLSRLEDGSLRLDLGSFNLHAVFKEVLNLIKPIASVKKLPVTLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKQGSISITAFVAKSESLRDSRAPDFFPVPSDNHFYLRVQVKDAGQGINPPDIPKLFTKFAHAQTLATKNSSGSGLGLAICKRFVNLMEGHIWIESEGPGKGCMAIFIVKLGIPENTSESKNPFLPKANHGQTTFPGLKVLVLDDNGVSRMVTKELLVHLGCDVTTASSRDECLHVVSQDHKVVCMDAGMPDGFEAAVCLHEKFTKRHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSELLEHRVLFEAM >Potri.001G204200.7.v4.1 pep chromosome:Pop_tri_v4:1:20684945:20690998:1 gene:Potri.001G204200.v4.1 transcript:Potri.001G204200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204200.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFSMHSRTVAVVMTTAKVLTAAVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECTLWMPTRTGLELQLSYTLRQQNPVEYTVPIQLPVINQVFSSNRAMKISPNSPVARLRPLSGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETELTPEQRLMVETILRSSNLLATLINDVLDLSRLEDGSLRLDLGSFNLHAVFKEVLNLIKPIASVKKLPVTLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKQGSISITAFVAKSESLRDSRAPDFFPVPSDNHFYLRVQVKDAGQGINPPDIPKLFTKFAHAQTLATKNSSGSGLGLAICKRFVNLMEGHIWIESEGPGKGCMAIFIVKLGIPENTSESKNPFLPKANHGQTTFPGLKVLVLDDNGVSRMVTKELLVHLGCDVTTASSRDECLHVVSQDHKVVCMDAGMPDGFEAAVCLHEKFTKRHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSELLEHRVLFEAM >Potri.001G204200.6.v4.1 pep chromosome:Pop_tri_v4:1:20684652:20690998:1 gene:Potri.001G204200.v4.1 transcript:Potri.001G204200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204200.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFSMHSRTVAVVMTTAKVLTAAVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECTLWMPTRTGLELQLSYTLRQQNPVEYTVPIQLPVINQVFSSNRAMKISPNSPVARLRPLSGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETELTPEQRLMVETILRSSNLLATLINDVLDLSRLEDGSLRLDLGSFNLHAVFKEVLNLIKPIASVKKLPVTLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKQGSISITAFVAKSESLRDSRAPDFFPVPSDNHFYLRVQVKDAGQGINPPDIPKLFTKFAHAQTLATKNSSGSGLGLAICKRFVNLMEGHIWIESEGPGKGCMAIFIVKLGIPENTSESKNPFLPKANHGQTTFPGLKVLVLDDNGVSRMVTKELLVHLGCDVTTASSRDECLHVVSQDHKVVCMDAGMPDGFEAAVCLHEKFTKRHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSELLEHRVLFEAM >Potri.001G204200.8.v4.1 pep chromosome:Pop_tri_v4:1:20684428:20690977:1 gene:Potri.001G204200.v4.1 transcript:Potri.001G204200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204200.v4.1 MESCNCIEPQWPAEELLMKYQYISDFFIALAYFSIPLELIYFVKKSAVFPYRWVLVQFGAFIVLCGATHLINLWTFSMHSRTVAVVMTTAKVLTAAVSCATALMLVHIIPDLLSVKTRELFLKNKAAELDREMGLIRTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLALEECTLWMPTRTGLELQLSYTLRQQNPVEYTVPIQLPVINQVFSSNRAMKISPNSPVARLRPLSGKYMPGEVVAVRVPLLHLSNFQINDWPELSTRRYALMVLMLPSDSARQWHVHELELVEVVADQVAVALSHAAILEESMRARDLLMEQNVALDHARREAETAIRARNDFLAVMNHEMRTPMHAVIALSSLLQETELTPEQRLMVETILRSSNLLATLINDVLDLSRLEDGSLRLDLGSFNLHAVFKEVLNLIKPIASVKKLPVTLNLAPDLPEYAIGDEKRLMQTILNVVGNAVKFSKQGSISITAFVAKSESLRDSRAPDFFPVPSDNHFYLRVQVKDAGQGINPPDIPKLFTKFAHAQTLATKNSSGSGLGLAICKRFVNLMEGHIWIESEGPGKGCMAIFIVKLGIPENTSESKNPFLPKANHGQTTFPGLKVLVLDDNGVSRMVTKELLVHLGCDVTTASSRDECLHVVSQDHKVVCMDAGMPDGFEAAVCLHEKFTKRHERPLIVALTGNTDKVTKENCMRVGMDGVILKPVSVDKMRSVLSELLEHRVLFEAM >Potri.005G130900.4.v4.1 pep chromosome:Pop_tri_v4:5:10063279:10067652:-1 gene:Potri.005G130900.v4.1 transcript:Potri.005G130900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130900.v4.1 MSLRQESLLLFITFITIFIATTSPTTKAQKSGSNCASSCGIGKSARVVPYPFGFSNGCPIQLNCESTEGEIKIGEFQVQNITPNGILVNLPADCNRSIETIRPLFGLNYGPSWQNSLLLQNCSKPSNSCVISRSPFQGELHSKNCEAAKNDNLSCYSLPYSGIDTLSYEGVNSTQCSSVFSSLALGSDSPVVSFQYERIELEWWLEGHCRDTFCSKNANCSEVKLQNGTVGFRCHCYDGFAGDGFTTGNGCRRVSKCSASRYMSGHCGGTTRVVVLLGGLIAGASLMAVFALLCYFVKKKSTSMRNRSSAKRLLCEAAGNSSVPFFQYKEIERATNGFSEKQRLGTGAYGTVYSGKLHNDDLVAIKKIKQRDTDSLDLVMNEIKLLSSVSHPNLVRLLGCCLEEGEPILVYEFMPNGTLCQHLQRERGNGLPWTVRLTVAAETANAIAYLHSVVNPPIYHRDIKSSNILLDYNYRSKVADFGLSRLGMEESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITAQKVVDFSRPHSEVNLAALAIDRIGRGCVDEIVDPYLDPDRDAWTLSSIHSVAELAFRCLAFHRDMRPTMMEVAEELEQIRLSAWVPTMHMASPSSSSHFSDHGSQKSLGVSVGKKAAVASRRLLVPQRTDSLTSLEEVKDSSPVSVQDPWLSEQSSPSTNSLLDNVVH >Potri.005G130900.1.v4.1 pep chromosome:Pop_tri_v4:5:10063188:10067741:-1 gene:Potri.005G130900.v4.1 transcript:Potri.005G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130900.v4.1 MSLRQESLLLFITFITIFIATTSPTTKAQKSGSNCASSCGIGKSARVVPYPFGFSNGCPIQLNCESTEGEIKIGEFQVQNITPNGILVNLPADCNRSIETIRPLFGLNYGPSWQNSLLLQNCSKPSNSCVISRSPFQGELHSKNCEAAKNDNLSCYSLPYSGIDTLSYEGVNSTQCSSVFSSLALGSDSPVVSFQYERIELEWWLEGHCRDTFCSKNANCSEVKLQNGTVGFRCHCYDGFAGDGFTTGNGCRRVSKCSASRYMSGHCGGTTRVVVLLGGLIAGASLMAVFALLCYFVKKKSTSMRNRSSAKRLLCEAAGNSSVPFFQYKEIERATNGFSEKQRLGTGAYGTVYSGKLHNDDLVAIKKIKQRDTDSLDLVMNEIKLLSSVSHPNLVRLLGCCLEEGEPILVYEFMPNGTLCQHLQRERGNGLPWTVRLTVAAETANAIAYLHSVVNPPIYHRDIKSSNILLDYNYRSKVADFGLSRLGMEESSHISTAPQGTPGYLDPQYHQYFHLSDKSDVYSFGVVLVEIITAQKVVDFSRPHSEVNLAALAIDRIGRGCVDEIVDPYLDPDRDAWTLSSIHSVAELAFRCLAFHRDMRPTMMEVAEELEQIRLSAWVPTMHMASPSSSSHFSDHGSQKSLGVSVGKKAAVASRRLLVPQRTDSLTSLEEVKDSSPVSVQDPWLSEQSSPSTNSLLDNVVH >Potri.016G087800.1.v4.1 pep chromosome:Pop_tri_v4:16:6940433:6943106:-1 gene:Potri.016G087800.v4.1 transcript:Potri.016G087800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G087800.v4.1 MSLSCKTSDIGTLPLPLFLLLCLVLLTTKATSTDFDFGTLTLSSLKLLGDAHLNNGNVRLTRDLDVPNSGAGKVLYSKPIRFRQPSTHAITSFSTFFSFSVANLNPSSIGGGLAFVLSPDSDTLGAAGGFLGLLNTNDMPKAASSFVAVEFDTLMDVEFKDINGNHVGLDLSSMVSTQIGDLGAINIDLKSGDLVNAWIDYDGTNLSFNISVSYSNLKPKEPILSFNLDLDQYVNDFMYVGFSGSTQGSTEVHSIEWWSFSSSFQLSSGSESSSPVPPPPTASLMNPTANSVKSPPPTLAPSGLESVGGKSAKSDSCHNQLCKQGAGAVAGVVTASAFFVIFAGVLIWVFSKRSKRVKESESFASEVIKMPKEFSYRELKSATKCFNANRIIGHGAFGIVYKGILPETGDIVAVKRCSHNSQGKNEFLSELSIIGTLRHRNLVRLQGWCHEKGEILLVYDLMPNGSLDKALFEARTPLPWPHRRKVLLGVASALAYLHQECENQVIHRDIKTSNIMLDEGFNARLGDFGLARQIEHDKSPDATVAAGTMGYLAPEYLLTGRATEKTDVFSYGAVVLEVASGRRPIEKETSGVGKVTLNGNLVEWVWNLHREGRLLAAADDRLEGQFDESEMRRVLLVGLACSHPDPMARPTMRGVVQMLVGEAEVPLVPRAKPTMSFSTSHLLLSLQDSVSDCNGMITICTSSSENSFIGGGHDLV >Potri.002G160200.3.v4.1 pep chromosome:Pop_tri_v4:2:12230330:12236279:1 gene:Potri.002G160200.v4.1 transcript:Potri.002G160200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160200.v4.1 MESGREIVEEPVARVIEDKIFVAVGKSVKECKLMLLWALQNSGGKRICIIHVLQPSQMIPLMGTKFRASALKEQEVRAYREVERQAMHKMLDEYLSICRKMGVRAEKLYVEMESIEKGILELISHHGIKKLVMGAAADKRHSKNMMDIKSKKAISVCLQAPASCHIWFICKGHLIHTREGALDGTGTDVGSSSQQTSPHTEAGQLSHMRSQSIALGQNHFVKLTNPAQDLVRRVRSMNVNGRGGRLSTPASPDGGPSTPSSRSDADGSSDEYDVLSRSASQNSALSSCTSRGMVNVALVPFVGTEESETGSELSTLPQHKEDLCQSSPPSVLDGSTEDPLYDQLEKAMSDAENSRREAFEEAVRRAKAEKYAFEATRKAKASENLYTEESKRRKEVEEELAKEKEELERINRECDEVMEELRIAEDQKSLLEKQIKESDQMVKELEQKIISAVGLLQNYKKEQDELQKERDNALKEVEELRRSQTEASGTHMSQFFSEFSLSEIEEATQHFDPSLKIGEGGYGSIYKGVLRQTQVAVKMLHSNSLQGPAEFQQEVDVLSKMRHPNLITLIGACPEAWTLIYEYLPNGSLEDRLSCRDNSPPLSWQTRIRIAAELCSVLIFLHSSKQHSIVHGDLKPANILLDENFVTKLSDFGICRLLHHKEGSSNNTAICRTDPKGTFSYMDPEFLSTGELTPKSDVYSFGIILLRLLTARQPLGITKEVQCELDKGNLKTLLDPLAGDWPFVQAEQLAHLALRCCEMSRKNRPDLLSEVWRVLEPMKASCGGSSFFQLGSEEHFQPPSYFICPIFQEVMRDPHVAADGYTYEAEALKGWLDSGHDTSPMTNLKLAHRDLIPNRALRSAIQEWLQQQ >Potri.002G160200.4.v4.1 pep chromosome:Pop_tri_v4:2:12230358:12236278:1 gene:Potri.002G160200.v4.1 transcript:Potri.002G160200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160200.v4.1 MESGREIVEEPVARVIEDKIFVAVGKSVKECKLMLLWALQNSGGKRICIIHVLQPSQMIPLMGTKFRASALKEQEVRAYREVERQAMHKMLDEYLSICRKMGVRAEKLYVEMESIEKGILELISHHGIKKLVMGAAADKRHSKNMMDIKSKKAISVCLQAPASCHIWFICKGHLIHTREGALDGTGTDVGSSSQQTSPHTEAGQLSHMRSQSIALGQNHFVKLTNPAQDLVRRVRSMNVNGRGGRLSTPASPDGGPSTPSSRSDADGSSDEYDVLSRSASQNSALSSCTSRGMVNVALVPFVGTEESETGSELSTLPQHKEDLCQSSPPSVLDGSTEDPLYDQLEKAMSDAENSRREAFEEAVRRAKAEKYAFEATRKAKASENLYTEESKRRKEVEEELAKEKEELERINRECDEVMEELRIAEDQKSLLEKQIKESDQMVKELEQKIISAVGLLQNYKKEQDELQKERDNALKEVEELRRSQTEASGTHMSQFFSEFSLSEIEEATQHFDPSLKIGEGGYGSIYKGVLRQTQVAVKMLHSNSLQGPAEFQQEVDVLSKMRHPNLITLIGACPEAWTLIYEYLPNGSLEDRLSCRDNSPPLSWQTRIRIAAELCSVLIFLHSSKQHSIVHGDLKPANILLDENFVTKLSDFGICRLLHHKEGSSNNTAICRTDPKGTFSYMDPEFLSTGELTPKSDVYSFGIILLRLLTARQPLGITKEVQCELDKGNLKTLLDPLAGDWPFVQAEQLAHLALRCCEMSRKNRPDLLSEVWRVLEPMKASCGGSSFFQLGSEEHFQPPSYFICPIFQEVMRDPHVAADGYTYEAEALKGWLDSGHDTSPMTNLKLAHRDLIPNRALRSAIQEWLQQQ >Potri.002G160200.1.v4.1 pep chromosome:Pop_tri_v4:2:12230221:12236315:1 gene:Potri.002G160200.v4.1 transcript:Potri.002G160200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160200.v4.1 MAVVSRACCDNATSESIDVCGIRVAGNMESGREIVEEPVARVIEDKIFVAVGKSVKECKLMLLWALQNSGGKRICIIHVLQPSQMIPLMGTKFRASALKEQEVRAYREVERQAMHKMLDEYLSICRKMGVRAEKLYVEMESIEKGILELISHHGIKKLVMGAAADKRHSKNMMDIKSKKAISVCLQAPASCHIWFICKGHLIHTREGALDGTGTDVGSSSQQTSPHTEAGQLSHMRSQSIALGQNHFVKLTNPAQDLVRRVRSMNVNGRGGRLSTPASPDGGPSTPSSRSDADGSSDEYDVLSRSASQNSALSSCTSRGMVNVALVPFVGTEESETGSELSTLPQHKEDLCQSSPPSVLDGSTEDPLYDQLEKAMSDAENSRREAFEEAVRRAKAEKYAFEATRKAKASENLYTEESKRRKEVEEELAKEKEELERINRECDEVMEELRIAEDQKSLLEKQIKESDQMVKELEQKIISAVGLLQNYKKEQDELQKERDNALKEVEELRRSQTEASGTHMSQFFSEFSLSEIEEATQHFDPSLKIGEGGYGSIYKGVLRQTQVAVKMLHSNSLQGPAEFQQEVDVLSKMRHPNLITLIGACPEAWTLIYEYLPNGSLEDRLSCRDNSPPLSWQTRIRIAAELCSVLIFLHSSKQHSIVHGDLKPANILLDENFVTKLSDFGICRLLHHKEGSSNNTAICRTDPKGTFSYMDPEFLSTGELTPKSDVYSFGIILLRLLTARQPLGITKEVQCELDKGNLKTLLDPLAGDWPFVQAEQLAHLALRCCEMSRKNRPDLLSEVWRVLEPMKASCGGSSFFQLGSEEHFQPPSYFICPIFQEVMRDPHVAADGYTYEAEALKGWLDSGHDTSPMTNLKLAHRDLIPNRALRSAIQEWLQQQ >Potri.010G192900.2.v4.1 pep chromosome:Pop_tri_v4:10:18814409:18819535:1 gene:Potri.010G192900.v4.1 transcript:Potri.010G192900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192900.v4.1 MEEIQNRKMNNLNCSGGGVGGGMSDVVLECVMPYIHDPRDRDAVSLVCRRWYELDALTRKHVTIALCYSTSPDRLQRRFKHLESLKMKGKPRAAMFFNLIPDDWGGFVTPWVNEIAESFNCLKSLHFRRMIVKDSDLELLASSRGKVLQVLKLDKCSGFSTDGLSHIGRSCRQLRTLFLEESAIAYEKDGDWLHELATNNTVLETLNFYMTDLTKVRLEDLELLAKNCRSLVSVKISDCEILELVGFFRAASAIEEFCGGSFNEPDQPGKYSAVVFPPKLCRLGLSYMEKNVMSIVFPFASLLKKLDLLYVLLGTEDHCVLVQRCPNLEVLETRNVIGDRGLEALARSCKRLKRLRIERGADEQEMEDVDGRVSQRGLIALAQGCLELEYIAVYVSDISNAALEHMGAYSKNLNDFRLVLLEQEDRITDLPLDNGVRALLRGCEKLQRFGLYLRSGGLTDVGLGYIGQYSRHVRWMILGSVGESDEGLLAFSMGCPSLQKLEMRACCFTERALARAALQLTSLRYLWVHGYRETSNGHRDLLTMVRPFWNIELIPSRRVATVNNAGEDIVSENPAHILAYYSLAGPRTDFPDTVIPLDPARVVAA >Potri.010G222500.1.v4.1 pep chromosome:Pop_tri_v4:10:20704775:20709190:1 gene:Potri.010G222500.v4.1 transcript:Potri.010G222500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G222500.v4.1 MLPSPLRKLAREEFVSCFLINFRLSYYNSKYNCDIGPFKVDISNRRNYSSQVLLDPLGEEQPVNSQIYNHSCTRDSSSPYISILQSSSLQHRFKKWQDQRKHKLTASTFSGAIGFWRGRRVQLWLEKLGAKEPFSGNMATCWSNAKEEEALERYKLITGNTILFPRFQVYGKNNLKDDWLAASPDGIIDKYYGLNSRGVLEIKCPFFNGDMKRASPWKRIPLYCIPQAQGLMEILDKDWMDFYVWTPNGSSLFRLYRDEAYWDALKIALSDFWFNHVLPAKELCSKNVITDPLKELGSLKPAPRHELYRYIVYESKHAVDSSHLLMREINGHLQN >Potri.003G185776.2.v4.1 pep chromosome:Pop_tri_v4:3:19060575:19069480:-1 gene:Potri.003G185776.v4.1 transcript:Potri.003G185776.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185776.v4.1 MEDAAAAASSAVVVEEERLDVLNKTGQKTGISKPRGEVHRDGDYHRAVHVWIYSESTQELLLQRRADCKDSWPGQWDISSAGHISAGDSSLVSAQRELQEELGISLPKDAFELIFIYLQECVINDGKFINNEFNDVYLVTTVDPIPLEAFTLQETEVSAVKYISFEEYRSLLVKEDPDYVPYDVDEQYGQLFEIIMKRYTENTVARSLSLQKQLCRYASVSLDAELTGLSNTDRKTLGLLIKAAKLMDEIFYLQVWYSNPALRDWLKEHASASELDKLKWMYYSINKSPWSCLDENEAFLTTTDSAIKLLPEATKPVSGWKGLEYKAAFPMLKSPGANFYPPDMDKKEFKLWNDSLTEKEQNDAMGFFTVIKRHSEFSLDSSSPNHAVHGTNHLMTAHDLYSVPYSKEYNSFLRKAAELLHEAGDLAGSPSLKRLLHSKADAFLSNDYYESDIAWMELDSKLDVTIGPYETYEDAIFGYKATFEAFIGIRDDKATAQLKLFGDNLQFLEQNLPMDSAYKSKNVNAAPIRVIRLLYNAGDVKGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKHILQPIADVCISKEQKGLVDFESFFTHTICHECCHGIGPHTITLPDGQKSTVRKELQELHSALEEAKADIVGLWALKFLINQDLLPRSMVKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWMYEKEAFILHPDETFSVDFAKVEEAVESLSREILTIQAKGDKEAADLLLQKYCKMTRPLKHALEKLESVQVPVDIYPIFSTVNEISE >Potri.003G185776.1.v4.1 pep chromosome:Pop_tri_v4:3:19060454:19069564:-1 gene:Potri.003G185776.v4.1 transcript:Potri.003G185776.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185776.v4.1 MEDAAAAASSAVVVEEERLDVLNKTGQKTGISKPRGEVHRDGDYHRAVHVWIYSESTQELLLQRRADCKDSWPGQWDISSAGHISAGDSSLVSAQRELQEELGISLPKDAFELIFIYLQECVINDGKFINNEFNDVYLVTTVDPIPLEAFTLQETEVSAVKYISFEEYRSLLVKEDPDYVPYDVDEQYGQLFEIIMKRYTENTVARSLSLQKQLCRYASVSLDAELTGLSNTDRKTLGLLIKAAKLMDEIFYLQVWYSNPALRDWLKEHASASELDKLKWMYYSINKSPWSCLDENEAFLTTTDSAIKLLPEATKPVSGWKGLEYKAAFPMLKSPGANFYPPDMDKKEFKLWNDSLTEKEQNDAMGFFTVIKRHSEFSLDSSSPNHAVHGTNHLMTAHDLYSVPYSKEYNSFLRKAAELLHEAGDLAGSPSLKRLLHSKADAFLSNDYYESDIAWMELDSKLDVTIGPYETYEDAIFGYKATFEAFIGIRDDKATAQLKLFGDNLQFLEQNLPMDSAYKSKNVNAAPIRVIRLLYNAGDVKGPQTVAFNLPNDERIVKDRGTSMVMLKNVSEAKFKHILQPIADVCISKEQKGLVDFESFFTHTICHECCHGIGPHTITLPDGQKSTVRKELQELHSALEEAKADIVGLWALKFLINQDLLPRSMVKSMYVSFLAGCFRSVRFGLEEAHGKGQALQFNWMYEKEAFILHPDETFSVDFAKVEEAVESLSREILTIQAKGDKEAADLLLQKYCKMTRPLKHALEKLESVQVPVDIYPIFSTVNEISE >Potri.005G024400.1.v4.1 pep chromosome:Pop_tri_v4:5:1540804:1542616:-1 gene:Potri.005G024400.v4.1 transcript:Potri.005G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024400.v4.1 MSKATASGAKGKKKGVTFTVDCTKPVEDKIMDIASLEKFLQERIKVGGKAGALGDTVTVTREKSKITVTSDSNFSKRYLKYLTKKYLKKHNVRDWLRVISSNKDRNVYELRYFNIAENEGEEED >Potri.015G046100.2.v4.1 pep chromosome:Pop_tri_v4:15:4492252:4493618:-1 gene:Potri.015G046100.v4.1 transcript:Potri.015G046100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046100.v4.1 MLEDLVMPAEIAGRRIRYRIDGSKIMKDFLDPKEHNSTEYELEAFSAVYRKLSGKDVVFEYPVTEA >Potri.015G046100.3.v4.1 pep chromosome:Pop_tri_v4:15:4492603:4492969:-1 gene:Potri.015G046100.v4.1 transcript:Potri.015G046100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046100.v4.1 MLEDLVMPAEIAGRRIRYRIDGSKIMKDFLDPKEHNSTEYELEAFSAVYRKLSGKDVVFEYPVTEA >Potri.002G139400.1.v4.1 pep chromosome:Pop_tri_v4:2:10452922:10455501:1 gene:Potri.002G139400.v4.1 transcript:Potri.002G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139400.v4.1 MLLQPSLHHHKVSLSSTISYSHPLPWKNPNFTLHQTVNYKKLPVITCSISQIHNYGTVDYERRPMMKWNAIYRRISLMENPELGSGSVLNQWENDGKRLTKWELCRVVKELRKYKRYQQALEVYDWMNNRQERFGLSPSDAAIQLDLIAKVRGVSSAEDFFLRLPNTFKDRRIYGALLNAYVRNRMREKAESLIDEMRGKDYVTHALPYNVMMTLYMNINEYDKVDLIISEMNEKNIKLDIYSYNIWLSSCGLQGSADKMEQVFEQMKSDGSINPNWTTFSTMATMYIKMGKFEKAEDCLRRVESRITGRDRIPYHYLLSLYGNVGNKEEVYRVWNIYKSIFPSIPNLGYHAMISSLVRMDDIEGAEKIYEEWLSIKTSYDPRIANLFMAAFVYQGNLDKAESFFDHMLEEGGKPNSHSWEILAQGHISERRTSEALSCLKEAFATPGSKSWKPNPANVSSFFKLCEEEVDMASKEALASFLRQSGHLKDKAYALLLGMPVTGDELSTKEERTEDQIDNEENDGDNGSEMLVSQLQGSL >Potri.008G147000.3.v4.1 pep chromosome:Pop_tri_v4:8:10014632:10017482:1 gene:Potri.008G147000.v4.1 transcript:Potri.008G147000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147000.v4.1 MMSTKMMVRRIRKVAPFLSSSSSSPLKSGCGWFSSKSSTDVAAVDVADVSAEEELLYPSVASTVKPVATLPTLLQPRVVVYDAVCHLCHRGVKWVIEADKYGKIKFCCLQSKAAEPYLALCGLNREDVLRRFLFIEGPGSYHQASTAALRVMSYLPQPYSAPSTLLIIPTPIRDAVYDYVAKHRYGWFGKADECLVLKEKELLERFIDRDEIIRGGRSDL >Potri.010G209600.3.v4.1 pep chromosome:Pop_tri_v4:10:19900862:19904231:-1 gene:Potri.010G209600.v4.1 transcript:Potri.010G209600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G209600.v4.1 MATNSADRRELLMPTKYQDRENNPERTKMLNLPKSKSEQKVGVVYYLTRNGQFEHPHFMEVPLSSSQGLQLKDVTDRLNHLRGRGMAIMYSWSSKRRYKNGFVWQDLSETDYVQPCHGHEYILKGSLLLETSLSFRSHNTTSSRNSKVFSDIISSSSEDSNSPVIRRKNCSLTTFDELDEYKVYRARITGEIASKGTSNVSTHATDKRRVSIGNVNFTNKSH >Potri.018G128200.1.v4.1 pep chromosome:Pop_tri_v4:18:13924497:13927060:1 gene:Potri.018G128200.v4.1 transcript:Potri.018G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128200.v4.1 MALRKAIGAVKDQTSISIAKVAANTSAELEVLVVKATSHDEDPAGEKYYREIISRISSSRGYVNACVATISRRISKTRDWIVALKALMLVHRVLIDGNPLFEEALLFATRNGMRVLNMSDFRDEAHSNSWDHTGFVRFYAMFLDEKVEFSVFERKVREDERKFDEGGDGFGRGENRDEFEYGMPKRSSSYGDLVRREQKMEVAAIREMKPERLLGILDQQLRILDRVLACRPTGIAKNDRLVLVALYQVVKESFGLYTEVCEALGVLLDRFTEMEYAYCLKGFDIYAGAAKIIDELVVFYVWCKDIGIGRSSEYPEVQKITENILGALGESLREMTNRRTKSSERSIEEKVPAKQDQEPGMNEVKSLPPPESYTPPPPPPSQQPQPQPQPQQMTEDLVNLKDGGISADEQGNELALALFSGPPTTNANGAWVAFPSPREPEVTSAWQTPAAQSSQADWELALVESASNLSKQRATLGGGFDSLLLNGMYDQGAARQHVSTTQLTGGSASSVGKSATPVLALPAPDGTMQPVQNQDPFAASLTVPPPSYVQIAEMERKQHFLVNEQQLWQHYGRDGMHGQVGLARINGASGYYGPSPHPMVMPSGMPQVSGMRQQGGHYYPSY >Potri.017G026100.2.v4.1 pep chromosome:Pop_tri_v4:17:1721912:1725314:1 gene:Potri.017G026100.v4.1 transcript:Potri.017G026100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026100.v4.1 MVGKSLVQVSNCPPMLSSSSMINVSHGSSNFLPFLLARPQKKLIGVRAMSADTGHSQQPPSSSEKMNALAVILEVPRNIWRQTLKPLSDFGFGRRSIWEGGVGLFLVSGAVLVALSLAWLRGFLLRSQFRKYTAVLEFAQASGICTGTQVRIRGVTVGEVVRVNPSLKSIEAVVEVEDDKNFIPKNSLIEVNQSGLLMETMIDITPRDPIPTPSVGPLDAECVKEGLIVCDRQKLKGHQGVSLDALVGIFTRIGREVEEIGVAKSYSLAERVAAVIEEAKPLLTKIKAMTEDIHPLLSEVRDSGLLKEVEDLTRNLSQASEDLRRAHTSIMTPENTELIQKSIYSLIFTMKNIENISSDILGFTGDEATRRNLKALIKSLSRLL >Potri.017G080801.1.v4.1 pep chromosome:Pop_tri_v4:17:8959764:8960135:-1 gene:Potri.017G080801.v4.1 transcript:Potri.017G080801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G080801.v4.1 MLFPEVSLWRGGGMPIPMGLLYYSYSANASTRGIIPHTISQNSNFLKPVGLDSYFSAGNHKCHIIMTFSRNIFSVLFLTRWRIPHLLICILFFTPILYGYFNSFLIFFVLSTNTFLFLSNNKR >Potri.009G008300.1.v4.1 pep chromosome:Pop_tri_v4:9:1623128:1623879:-1 gene:Potri.009G008300.v4.1 transcript:Potri.009G008300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G008300.v4.1 MRTTSTTMFYLLFTYFLLSSNSLGITMAGRAIPSSVPSTMMPPLDSQGEDYVERRPLFNHRRPFFGTEPDVKGCLPKGYRHSSAPSRYVNNLPLISCSKLHGKR >Potri.007G044400.5.v4.1 pep chromosome:Pop_tri_v4:7:3855451:3860272:-1 gene:Potri.007G044400.v4.1 transcript:Potri.007G044400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044400.v4.1 MDRKLVVLGIPWEIDTEGLREYMTKFGGLEDCIVMKERSSGRSRGFGYVTFVSAEDAKAVLSSEHFLGKRMLEVKVATPKEEMRAPSKKATRIFVARIPPSVTETTFRSHFEKYGEIIDLYMPKDHSSKAHRGIGFITYASADSVDNLMAETHELGGSTVVVDRATPKEDDFRPNGRMAPGGYGAYNAYISAATRYAALGAPTLYDHPGPFYGRGESSRGMGKKIFVGRLPQEASAEDLRQYFGRFGHILDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMSGPEPFGSYAGPMRNFGRMYGTLDYDDASAYMVPSKWGYGMGSARPSRADWRYRPY >Potri.007G044400.1.v4.1 pep chromosome:Pop_tri_v4:7:3855404:3860209:-1 gene:Potri.007G044400.v4.1 transcript:Potri.007G044400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G044400.v4.1 MDRKLVVLGIPWEIDTEGLREYMTKFGGLEDCIVMKERSSGRSRGFGYVTFVSAEDAKAVLSSEHFLGKRMLEVKVATPKEEMRAPSKKATRIFVARIPPSVTETTFRSHFEKYGEIIDLYMPKDHSSKAHRGIGFITYASADSVDNLMAETHELGGSTVVVDRATPKEDDFRPNGRMAPGGYGAYNAYISAATRYAALGAPTLYDHPGPFYGRGESSRGMGKKIFVGRLPQEASAEDLRQYFGRFGHILDVYVPKDPKRTGHRGFGFVTFAEDGVADRVSRRSHEICGHQVAIDSATPIDDAGPSGNFMMSGPEPFGSYAGPMRNFGRMYGTLDYDDASAYMVPSKWGYGMGSARPSRADWRYRPY >Potri.017G070500.1.v4.1 pep chromosome:Pop_tri_v4:17:7615183:7626389:-1 gene:Potri.017G070500.v4.1 transcript:Potri.017G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070500.v4.1 MGVQGLWDLLAPVGRRVSVETLAGKKLAIDASIWLVQFMKAMRDDKGEMVRNAHLLGFFRRICKLLYLRTKPVFVFDGATPALKRRTVIARRRLRENAQAKIRKTAEKLLLNQLKSMRLKELAKDLEKQNAANKKGKQTKILEENKRVLSESEKLDEMLAASIAAEEGGSLDNNASTSAAAAAALEDMDSDGDGDEEMILPPMHEVEDPAVLAALPPLMQLDLLMRERSFAEKGKSNQDKQLENQNDKHKNNAKGKEILSDHTEFEGSNMGRDHVAAESYNQEKLDEMLAASMVAEEEGSDEDEEMILPHGKVDPAVLAALPPSMQLDLLVQMREKLIAENRQRYQKVKKVPEKFSELQIQAYLKTVAFRREIDQVQKAAAGNDVGGVQASRIASDANREFIFSSSFSGDKELLTTDGVKRREGHEQQKEPLKQPSSDFVAGVASICKSNTVTGFSQDESSSAFDDDVETYLDERGRMRVSRVRAMGMHMTRDLQRNLDLMKEIEKEKTLSIKTPSARSVHNRNKIGTPRCFPNENHNGESSHGIDGNSTNLNKMNEQSLLSNETSVQISFEVGDESKHFSSDDEVFASLVAEKPVKISSAGNSTSRRYSDDSASDSDWEEGIVKGKANSSPNDVELRTKLSPKVSNVSDDSEVEWMEGDSDIHDNSSYLAESKRKLVSKGTLEEEAALQDAIRRSLHDKSSYPAKSRNQVSGGSIEDEAGLQDAIMRSLNDLGSEKSIHSESDPKNVKSSRGHAYEGVGFLNQEDNGSAMLRKDATQQSKSISEILGFENLGDAGEVNISQAFPSVGSQLKSSKAHNPDDVVMLINESRESYVHSNPAWISQDVDKRENGCQGMPSIESIGPLEAKENHLNLEPASEIENGGLSASHEKYSRDGFHTAIAASTYLPLTELIDDRNDKKAEPSMFIGGEKISSEAEPPCLSVENSFPEDSVNGSDFAEKLDGEKKAEDHLSERECYISKSASMDNENEQVNFTEASLEKEMLILDQEYSNLGDEQRKLERNAESVTSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELANYVDGAVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIEKELGLSRERLIRMALLLGSDYTEGVSGIGIVNAIEVVTAFPEEDGLQKFRDWIESPDPAILGKFDVQTGLGVRKKESKVGGSEAKCTGNGMEGTNPSGLNIPQAHEEKQSADHSQVIKQVFMDKHRNVSKNWHIPSSFPSEAVISAYSCPQVDKSTEPFTWGKPDLHALHRLCWEKFGWHIQKSDELLLPVLKEYNKHETQLRLEAFYSFNERFAKIRSKRIKKAVKGITGNQYSDMMDDPVEEVSKSRTGNISGKSGENEPQTHSKRTARTAPGNKSSFLEKSKPKWSRKRTAEQPVFPEVENTEATVRPCSDRGFLRNGKGRGRGRGRGRGRGKANLCFEQSDSSSCDVDSGHDEHEVHVDESSGPHELRKSTRLRKPVNYTVDDLEIDDVEKSLDQGDKEGFDKEALHQGFSSSQEARGDGGIGIKDNEQLEVGDSSLQSFSRDYLEGGDGFCFDGEEVGVPGVDRNSYFSKVELSDDHLERGGGFCLDESDAGMDQGTNQNPPTTGDLLEDVEHGTELLNEVRDGGRTSACETELNADSVVAAAHAGGHLRSSVSGPEITKNETGATGGAGSLSAMPYLLKRKRRKM >Potri.017G070500.2.v4.1 pep chromosome:Pop_tri_v4:17:7615182:7626363:-1 gene:Potri.017G070500.v4.1 transcript:Potri.017G070500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070500.v4.1 MGVQGLWDLLAPVGRRVSVETLAGKKLAIDASIWLVQFMKAMRDDKGEMVRNAHLLGFFRRICKLLYLRTKPVFVFDGATPALKRRTVIARRRLRENAQAKIRKTAEKLLLNQLKSMRLKELAKDLEKQNAANKKGKQTKILEENKRVLSESEKLDEMLAASIAAEEGGSLDNNASTSAAAAAALEDMDSDGDGDEEMILPPMHEVEDPAVLAALPPLMQLDLLMRERSFAEKGKSNQDKQNDKHKNNAKGKEILSDHTEFEGSNMGRDHVAAESYNQEKLDEMLAASMVAEEEGSDEDEEMILPHGKVDPAVLAALPPSMQLDLLVQMREKLIAENRQRYQKVKKVPEKFSELQIQAYLKTVAFRREIDQVQKAAAGNDVGGVQASRIASDANREFIFSSSFSGDKELLTTDGVKRREGHEQQKEPLKQPSSDFVAGVASICKSNTVTGFSQDESSSAFDDDVETYLDERGRMRVSRVRAMGMHMTRDLQRNLDLMKEIEKEKTLSIKTPSARSVHNRNKIGTPRCFPNENHNGESSHGIDGNSTNLNKMNEQSLLSNETSVQISFEVGDESKHFSSDDEVFASLVAEKPVKISSAGNSTSRRYSDDSASDSDWEEGIVKGKANSSPNDVELRTKLSPKVSNVSDDSEVEWMEGDSDIHDNSSYLAESKRKLVSKGTLEEEAALQDAIRRSLHDKSSYPAKSRNQVSGGSIEDEAGLQDAIMRSLNDLGSEKSIHSESDPKNVKSSRGHAYEGVGFLNQEDNGSAMLRKDATQQSKSISEILGFENLGDAGEVNISQAFPSVGSQLKSSKAHNPDDVVMLINESRESYVHSNPAWISQDVDKRENGCQGMPSIESIGPLEAKENHLNLEPASEIENGGLSASHEKYSRDGFHTAIAASTYLPLTELIDDRNDKKAEPSMFIGGEKISSEAEPPCLSVENSFPEDSVNGSDFAEKLDGEKKAEDHLSERECYISKSASMDNENEQVNFTEASLEKEMLILDQEYSNLGDEQRKLERNAESVTSEMFAECQELLQMFGLPYIIAPMEAEAQCAYMELANYVDGAVTDDSDVFLFGARNVYKNIFDDRKYVETYFMKDIEKELGLSRERLIRMALLLGSDYTEGVSGIGIVNAIEVVTAFPEEDGLQKFRDWIESPDPAILGKFDVQTGLGVRKKESKVGGSEAKCTGNGMEGTNPSGLNIPQAHEEKQSADHSQVIKQVFMDKHRNVSKNWHIPSSFPSEAVISAYSCPQVDKSTEPFTWGKPDLHALHRLCWEKFGWHIQKSDELLLPVLKEYNKHETQLRLEAFYSFNERFAKIRSKRIKKAVKGITGNQYSDMMDDPVEEVSKSRTGNISGKSGENEPQTHSKRTARTAPGNKSSFLEKSKPKWSRKRTAEQPVFPEVENTEATVRPCSDRGFLRNGKGRGRGRGRGRGRGKANLCFEQSDSSSCDVDSGHDEHEVHVDESSGPHELRKSTRLRKPVNYTVDDLEIDDVEKSLDQGDKEGFDKEALHQGFSSSQEARGDGGIGIKDNEQLEVGDSSLQSFSRDYLEGGDGFCFDGEEVGVPGVDRNSYFSKVELSDDHLERGGGFCLDESDAGMDQGTNQNPPTTGDLLEDVEHGTELLNEVRDGGRTSACETELNADSVVAAAHAGGHLRSSVSGPEITKNETGATGGAGSLSAMPYLLKRKRRKM >Potri.001G340300.1.v4.1 pep chromosome:Pop_tri_v4:1:34956745:34959903:1 gene:Potri.001G340300.v4.1 transcript:Potri.001G340300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340300.v4.1 MASGLPLRHPAQGFNASQQLIKSDRGSMLTMSDDNVMMNQIVGTHAPDGREVDVKPLLLLVEDILKRATLQIDSSLTTSKAHAEMEDKTYHVNFVSMLDALSYTIDRISSEIAYKALGGTDAHATTVSLFNMLTSYSWDAKLVLTLSAFALNYGEFWLLAQISSSNQLAKSMAILRQLPSIMEHSGPLKPRFDAINNLIKVMMDVARCVVEFKDLPPAYISNEVPALSTAMAHIPTAVYWTMRSVVACAAQITSLTTKGHEFSISTTDAWELSTLAHKLSNILDHLRKQLDTCYQYIDEKRNVESFQMLKNLFEMIHIDNMKVLKALIYAKDDIQPLIDGSSKKRVHLDVLRRKNVLLLISGLDMSTDELSILEQIYNESRHHGARLDSQYEVVWVPIVDRSVQWSDPMKQKFESMQSSMPWFTVYHPSLIEKAVMRFIKEVWHFRNKPILVVLDPQGKVVCPNALHMMWIWGSSAFPFTSLREESLWKDETWRLELLVDGIDPVILNWIKEGKYIFLYGGDDDEWVRKFTNTARAVAQAARIPLEMVYVGKSSKREKIRRVIATITVEKLSYVWQDLTMIWFFWTRLESMLYSRIQLGKLDDHDPMMQEIKKLLSYDREGGWAVLSNGSNVVVNGHKTTALQTLLEYDLWKEQVPVKGFDLAYRDHQGRIHDISRPCCRFDFPMTMGRIPETMKCPECNRTMEKFSSFLCCHDEVIPDELFK >Potri.008G155800.3.v4.1 pep chromosome:Pop_tri_v4:8:10653576:10654633:1 gene:Potri.008G155800.v4.1 transcript:Potri.008G155800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RDM1 MKRTIPWTDPVDVISSDESSSSDSDIELNDGLDGQRLSNYVTIDQPIKETTSEGVLIRRAEMYQDYMRHIPIPVQRGSAIPFSSWAGLGKSIKQLYQQPLHYLTNILLNKWDQQRIGSEDEHTPLDIMIHPCKAEATIWLVEEVHRRTSSHHHVAKIWQSDPMHHAFVDSIFPKL >Potri.018G053800.9.v4.1 pep chromosome:Pop_tri_v4:18:5333432:5341820:1 gene:Potri.018G053800.v4.1 transcript:Potri.018G053800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053800.v4.1 MSKMKHLLRKLHIGDHHNRFGGETRPVSSSNTSPSTTPSPSNERIEPVESTAVDRTAVEAISSSNSSGIDFNLLEEEFQVQLALAISASDPDSTLDTESAQIDAAKRISLRSCPVVPVTDTDSLAESLSLRYWSYSVVNYNEKVMDGFYDVCGLTSNSVVQGNMPLLVDLQAISISENVDYEVIMVNRYVDAELQDLEKKAYIMSLESTVSDGLIQKIADVVVDRMGGPVSDAGEMSSRWKRRSKELQNTLNSIILPLGCLDVGLSRHRALLFKVIADRINLPCMLVKGSYYTGTDDGAVNLIKMDDGSEYIIDLMGAPGTLIPPEVPSSHLPTAGFDISGFASLTETPKDSTALMGEGSGVPAISTNLDRIPHVGSSTSGEGLYVSIKTNENDLNLVEKNQIEKFEYDFGKLRLSGSEKPSSAQKIKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFSDMNLGESKLLEKAHPENRVNLGDQLLCCLDDMLTGHEQTLMSLTREGMLNNIRCDYEQEQFAEGSADEPRKLNVNISNSDLSFPSDVTSEGFVLLNNRTNENLQIDTSGIDMVSIHASGIAGSAMHENPLHDSFLFSGLEPCQLQPEHALVSSENQCFQEKTGRLFNMETGKESDFKLMETANSGLHTSNGYSERINPMLGEVAEWEIPWEDLEIGERIGIGSYGEVYHGDWNGTEVAVKKFLNQGFSGDVLVQFKCEAEIMLRLRHPNVVLFMGAVTRPPHLSILTEFLPRGSLYRLLHRPNSQIDEKRRMQMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVNKNWLVKVCDFGLSRIKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDIYSFGVILWELATCQIPWKGLNPMQVVGAVGFQNRHLEIPGYIDPAIAQIIRDCWQLEPNLRPSFAQLITRLRCAQHLLVETPNHTNQTAD >Potri.018G053800.8.v4.1 pep chromosome:Pop_tri_v4:18:5333398:5342141:1 gene:Potri.018G053800.v4.1 transcript:Potri.018G053800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053800.v4.1 MSKMKHLLRKLHIGDHHNRFGGETRPVSSSNTSPSTTPSPSNERIEPVESTAVDRTAVEAISSSNSSGIDFNLLEEEFQVQLALAISASDPDSTLDTESAQIDAAKRISLRSCPVVPVTDTDSLAESLSLRYWSYSVVNYNEKVMDGFYDVCGLTSNSVVQGNMPLLVDLQAISISENVDYEVIMVNRYVDAELQDLEKKAYIMSLESTVSDGLIQKIADVVVDRMGGPVSDAGEMSSRWKRRSKELQNTLNSIILPLGCLDVGLSRHRALLFKVIADRINLPCMLVKGSYYTGTDDGAVNLIKMDDGSEYIIDLMGAPGTLIPPEVPSSHLPTAGFDISGFASLTETPKDSTALMGEGSGVPAISTNLDRIPHVGSSTSGEGLYVSIKTNENDLNLVEKNQIEKFEYDFGKLRLSGSEKPSSAQKIKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFSDMNLGESKLLEKAHPENRVNLGDQLLCCLDDMLTGHEQTLMSLTREGMLNNIRCDYEQEQFAEGSADEPRKLNVNISNSDLSFPSDVTSEGFVLLNNRTNENLQIDTSGIDMVSIHASGIAGSAMHENPLHDSFLFSGLEPCQLQPEHALVSSENQCFQEKTGRLFNMETGKESDFKLMETANSGLHTSNGYSERINPMLGEVAEWEIPWEDLEIGERIGIGSYGEVYHGDWNGTEVAVKKFLNQGFSGDVLVQFKCEAEIMLRLRHPNVVLFMGAVTRPPHLSILTEFLPRGSLYRLLHRPNSQIDEKRRMQMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVNKNWLVKVCDFGLSRIKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDIYSFGVILWELATCQIPWKGLNPMQVVGAVGFQNRHLEIPGYIDPAIAQIIRDCWQLEPNLRPSFAQLITRLRCAQHLLVETPNHTNQTAD >Potri.018G053800.10.v4.1 pep chromosome:Pop_tri_v4:18:5333415:5342124:1 gene:Potri.018G053800.v4.1 transcript:Potri.018G053800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053800.v4.1 MSKMKHLLRKLHIGDHHNRFGGETRPVSSSNTSPSTTPSPSNERIEPVESTAVDRTAVEAISSSNSSGIDFNLLEEEFQVQLALAISASDPDSTLDTESAQIDAAKRISLRSCPVVPVTDTDSLAESLSLRYWSYSVVNYNEKVMDGFYDVCGLTSNSVVQGNMPLLVDLQAISISENVDYEVIMVNRYVDAELQDLEKKAYIMSLESTVSDGLIQKIADVVVDRMGGPVSDAGEMSSRWKRRSKELQNTLNSIILPLGCLDVGLSRHRALLFKVIADRINLPCMLVKGSYYTGTDDGAVNLIKMDDGSEYIIDLMGAPGTLIPPEVPSSHLPTAGFDISGFASLTETPKDSTALMGEGSGVPAISTNLDRIPHVGSSTSGEGLYVSIKTNENDLNLVEKNQIEKFEYDFGKLRLSGSEKPSSAQKIKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFSDMNLGESKLLEKAHPENRVNLGDQLLCCLDDMLTGHEQTLMSLTREGMLNNIRCDYEQEQFAEGSADEPRKLNVNISNSDLSFPSDVTSEGFVLLNNRTNENLQIDTSGIDMVSIHASGIAGSAMHENPLHDSFLFSGLEPCQLQPEHALVSSENQCFQEKTGRLFNMETGKESDFKLMETANSGLHTSNGYSERINPMLGEVAEWEIPWEDLEIGERIGIGSYGEVYHGDWNGTEVAVKKFLNQGFSGDVLVQFKCEAEIMLRLRHPNVVLFMGAVTRPPHLSILTEFLPRGSLYRLLHRPNSQIDEKRRMQMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVNKNWLVKVCDFGLSRIKHHTFLSSKSTAGTPEWMAPEVLRNEPANEK >Potri.018G053800.7.v4.1 pep chromosome:Pop_tri_v4:18:5333444:5342074:1 gene:Potri.018G053800.v4.1 transcript:Potri.018G053800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053800.v4.1 MSKMKHLLRKLHIGDHHNRFGGETRPVSSSNTSPSTTPSPSNERIEPVESTAVDRTAVEAISSSNSSGIDFNLLEEEFQVQLALAISASDPDSTLDTESAQIDAAKRISLRSCPVVPVTDTDSLAESLSLRYWSYSVVNYNEKVMDGFYDVCGLTSNSVVQGNMPLLVDLQAISISENVDYEVIMVNRYVDAELQDLEKKAYIMSLESTVSDGLIQKIADVVVDRMGGPVSDAGEMSSRWKRRSKELQNTLNSIILPLGCLDVGLSRHRALLFKVIADRINLPCMLVKGSYYTGTDDGAVNLIKMDDGSEYIIDLMGAPGTLIPPEVPSSHLPTAGFDISGFASLTETPKDSTALMGEGSGVPAISTNLDRIPHVGSSTSGEGLYVSIKTNENDLNLVEKNQIEKFEYDFGKLRLSGSEKPSSAQKIKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFSDMNLGESKLLEKAHPENRVNLGDQLLCCLDDMLTGHEQTLMSLTREGMLNNIRCDYEQEQFAEGSADEPRKLNVNISNSDLSFPSDVTSEGFVLLNNRTNENLQIDTSGIDMVSIHASGIAGSAMHENPLHDSFLFSGLEPCQLQPEHALVSSENQCFQEKTGRLFNMETGKESDFKLMETANSGLHTSNGYSERINPMLGEVAEWEIPWEDLEIGERIGIGSYGEVYHGDWNGTEVAVKKFLNQGFSGDVLVQFKCEAEIMLRLRHPNVVLFMGAVTRPPHLSILTEFLPRGSLYRLLHRPNSQIDEKRRMQMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVNKNWLVKVCDFGLSRIKHHTFLSSKSTAGTPEWMAPEVLRNEPANEKCDIYSFGVILWELATCQIPWKGLNPMQVVGAVGFQNRHLEIPGYIDPAIAQIIRDCWQLEPNLRPSFAQLITRLRCAQHLLVETPNHTNQTAD >Potri.018G053800.1.v4.1 pep chromosome:Pop_tri_v4:18:5333418:5342075:1 gene:Potri.018G053800.v4.1 transcript:Potri.018G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053800.v4.1 MSKMKHLLRKLHIGDHHNRFGGETRPVSSSNTSPSTTPSPSNERIEPVESTAVDRTAVEAISSSNSSGIDFNLLEEEFQVQLALAISASDPDSTLDTESAQIDAAKRISLRSCPVVPVTDTDSLAESLSLRYWSYSVVNYNEKVMDGFYDVCGLTSNSVVQGNMPLLVDLQAISISENVDYEVIMVNRYVDAELQDLEKKAYIMSLESTVSDGLIQKIADVVVDRMGGPVSDAGEMSSRWKRRSKELQNTLNSIILPLGCLDVGLSRHRALLFKVIADRINLPCMLVKGSYYTGTDDGAVNLIKMDDGSEYIIDLMGAPGTLIPPEVPSSHLPTAGFDISGFASLTETPKDSTALMGEGSGVPAISTNLDRIPHVGSSTSGEGLYVSIKTNENDLNLVEKNQIEKFEYDFGKLRLSGSEKPSSAQKIKVKNVSKYVISAAKNPEFAQKLHAVLLESGASPPPDLFSDMNLGESKLLEKAHPENRVNLGDQLLCCLDDMLTGHEQTLMSLTREGMLNNIRCDYEQEQFAEGSADEPRKLNVNISNSDLSFPSDVTSEGFVLLNNRTNENLQIDTSGIDMVSIHASGIAGSAMHENPLHDSFLFSGLEPCQLQPEHALVSSENQCFQEKTGRLFNMETGKESDFKLMETANSGLHTSNGYSERINPMLGEVAEWEIPWEDLEIGERIGIGSYGEVYHGDWNGTEVAVKKFLNQGFSGDVLVQFKCEAEIMLRLRHPNVVLFMGAVTRPPHLSILTEFLPRGSLYRLLHRPNSQIDEKRRMQMALDVAKGMNYLHTSHPTIVHRDLKSPNLLVNKNWLVKVCDFGLSRIKHHTFLSSKSTAGTPEWMAPEVLRNEPANENFGVILWELATCQIPWKGLNPMQVVGAVGFQNRHLEIPGYIDPAIAQIIRDCWQLEPNLRPSFAQLITRLRCAQHLLVETPNHTNQTAD >Potri.013G107175.2.v4.1 pep chromosome:Pop_tri_v4:13:11605535:11606679:1 gene:Potri.013G107175.v4.1 transcript:Potri.013G107175.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107175.v4.1 MDSGSMELKVMYCKDVNSFNFFKKLLVYVLVSIVRDDGGGDTDKKQLELQKQQQRTPTDTEGDGNPEWNHQMHFDLTEVSFQDCDHFFIHFDLCHEGLYFGDKTIGKVRVPLKDLIQEANGIVRFLSYEVRTPDGKPNGVLKFSCKVKNMGTNSSQAGITGYPIVNNQPYPTSEVQSLSEQAHYPTLDLEGNSQETDTVSQVHYTSDWIQYSSQENYYPPPEAYYPPPPPPPEAYYPPPPPPEAYYPPPPPGPPPPMVDGAWGCNCPPQPPLFHTWPPGANRQLPTYADEEMRANDLRLGRVHHSSFWNGR >Potri.013G107175.1.v4.1 pep chromosome:Pop_tri_v4:13:11605531:11607209:1 gene:Potri.013G107175.v4.1 transcript:Potri.013G107175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107175.v4.1 MDSGSMELKVMYCKDVNSFNFFKKLLVYVLVSIVRDDGGGDTDKKQLELQKQQQRTPTDTEGDGNPEWNHQMHFDLTEVSFQDCDHFFIHFDLCHEGLYFGDKTIGKVRVPLKDLIQEANGIVRFLSYEVRTPDGKPNGVLKFSCKVKNMGTNSSQAGITGYPIVNNQPYPTSEVQSLSEQAHYPTLDLEGNSQETDTVSQVHYTSDWIQYSSQENYYPPPEAYYPPPPPPPEAYYPPPPPPEAYYPPPPPGPPPPMVDGAWGCNCPPQPPLFHTWPPGANRQLPTYADEEMRANDLRLGRVHHSSFWNGRSWKM >Potri.014G106600.1.v4.1 pep chromosome:Pop_tri_v4:14:7161909:7163995:1 gene:Potri.014G106600.v4.1 transcript:Potri.014G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106600.v4.1 MVGVQYASSFYLKINQPPPRTNMGSFIESHIGQVDEAKDENFGYAMQLALSSVLPMTMYSAIQLGIFEIIAKAGPDAKLSASDVAAQLPTKNPDAPMMLDRILRLLASHDVLGCSVDGSERLYSLAPVSKHYVRNKDGVSLGPFMALIQDNVFLQSWSQLKDAVLEGGVAFDRVHGAHAFEYPGLDPRFNQVFNTAMYNQTTVVLENMLEAYTGFKNLKQLVEIGGGMGHTIKAIISKYPQIKGINFDLPHVIEHAPSCPGVEHVGGDMFESVPKGDAIFLKWILHDWSDDHCLKLLKNCYKAIPDNGKVIVMESVLSITAKTSPAARAISQLDVLMMTQNPGGKERTEHEFMALATGAGFRGIKYEAFVCNFWVMEFFK >Potri.006G193700.1.v4.1 pep chromosome:Pop_tri_v4:6:20101154:20102963:1 gene:Potri.006G193700.v4.1 transcript:Potri.006G193700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193700.v4.1 MELALSLGDTSKPFKFLDKTPKLSSKDLGFCMGLGSGFTASTRSHDKLGSHENNHQEDERRVSSDPPLQLVLLPFSPVPRRHQPPSKTRFPWLTDNLVSEPGSTEGSGRGFDVNRLSMDDADEGAALSSPNSAASSFQMDFGIRSGRGNKRDLEAIEASRASDDEENGLTRKKLRLSKDQSAFLEESFKEHSTLNPKQKLALAKQLNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTKENRRLQKELQELRALKTSQPFYMQLPATTLTMCPSCERVATTTTTTNPSTSTTTSKTLSLPAKPRLFPSSHGQVQAHQAAS >Potri.002G013700.1.v4.1 pep chromosome:Pop_tri_v4:2:846787:852932:1 gene:Potri.002G013700.v4.1 transcript:Potri.002G013700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G013700.v4.1 MPLNLAGKTAVLCTFLCVSVSIISSVKAEDPYRFFDWNVTYGFIYPLGVRQQGILINGQFPGPDIHSVTNDNLIINVYNSLDEPFLLSWNGIQQRRNSFEDGVIGTTCPIPPGKNFTYILQVKDQIGSFYYFPSLGFHKAAGGFGGIRILSRPRIPVPFDDPAGDYTILIGDWYLSNHTDLKAVLDGGRKLPFPDGILINGRGRNGYYLTVEQGKTYRLRISNVGLQNSLNFRIQNHKMKLVEVEGTHTLQTTYSSLDVHVGQSYSVLFTADQPGQDYYIVVTSRFTSTVLNTTGILHYSNSAGAVSGPFPGGPTIEVDWSLNQARSIRTNLTASGPRPNPQGSYHYGMINTTRTIIVANSAGQVNGNQRYGVNSVSFIAPDTPMKIADYFKIQGVFKENGITDRPYGGGLYLDTAVLTVPYRAYVEIVFQNDEDILQSWHLDGYSFFVVGMDGGQWTTASRDQYNLRDAVARCTTQVYPKAWTAIYIPLDNVGMWNLRTEFWARQYLGQQFYLRVYTDSTSLRDEFPIPKNALLCGRASGRRTRPL >Potri.001G215200.1.v4.1 pep chromosome:Pop_tri_v4:1:22192385:22199871:1 gene:Potri.001G215200.v4.1 transcript:Potri.001G215200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G215200.v4.1 MGVSGKWFKALVGLKKSEKSQSLDKDENRTSASKFRHRRKHSVEFDGDKFEEEFDNHDNVATVGDTNVVSVPDASESPSASLQVQDVAHNQQVLREEWAATRIQTAFRGFLARRALRALKGLVRLQALVRGHAVRKQAAITLRCMQALVRVQARVRARRVRLALESQTAQHKLQQQLANEARVREIEEGWCDSVGSVEQIQAKLLKRQEAAAKRERAMAYALAHQWQAGSRLQAAPSGFEPDKSSWGWNWLERWMAVRPWENRFLDINLRDGVMIYEDETAAEVKNGSKSQLKTTTKKGVASNLQSTISSQKTGPSHSDGGSSSPSKSAGIFDVPDTLFTKPKPKLVLEDLVEEANSRRAIVTRSHSNPKERTTQLDKQARKRLSLPNSGGGTGAQVARHSRNATRGAPSSQKPIKDRSKLNGKGDPNTAKSVAQAV >Potri.013G131700.3.v4.1 pep chromosome:Pop_tri_v4:13:13782304:13784413:-1 gene:Potri.013G131700.v4.1 transcript:Potri.013G131700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131700.v4.1 MENSLSNLITRSLLFKMNGFVFIIFYLIAFVHSKLIRFYFHFWLSSMVKPKRLLSLLLRLIAFGATLAAVIIMATSHEKGSFFALSYEAKYSDTPAFKYFVIANAIVTVYGFLALFIPSESPLWRLVLALDLVFTMLLISSISAALAVAQVGKKGNSSAGWLPVCGQVTKYCNQVTGALVAGFIAIITYIILLLYSIYTFLNSLLGKTPCRLSSPGI >Potri.013G131700.1.v4.1 pep chromosome:Pop_tri_v4:13:13782167:13784114:-1 gene:Potri.013G131700.v4.1 transcript:Potri.013G131700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131700.v4.1 MVKPKRLLSLLLRLIAFGATLAAVIIMATSHEKGSFFALSYEAKYSDTPAFKYFVIANAIVTVYGFLALFIPSESPLWRLVLALDLVFTMLLISSISAALAVAQVGKKGNSSAGWLPVCGQVTKYCNQVTGALVAGFIAIITYIILLLYSIYTFLNSLLGKTPCRLSSPGI >Potri.008G073200.2.v4.1 pep chromosome:Pop_tri_v4:8:4526765:4534035:1 gene:Potri.008G073200.v4.1 transcript:Potri.008G073200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073200.v4.1 MKITISFFNSLRYYFLIIAILHRVGSLDPVSAVCELSFVVRDKVYSFNLVSPLPNFPHGVLSEDGFYKAAVNETVLWFQLCDGMIFNHDPPRCVECLDCGGASRCGMECSALMARNIEGYDVCTTIGKVTSTSTNIIDNQNPHKGVIVKMTSSGSKHNCSLSVSVICDSNRVHGPHSLEKLGTCDYAAVLQHPSGCATINGHGKGWGWFGTLMIIVFCLFGGYLLAGAVYRHFYIGVHGLDMIPNLDFWTRLPHRTQSFFASLVRRFRGPTEGYRSSYSPVNF >Potri.009G148700.1.v4.1 pep chromosome:Pop_tri_v4:9:11734547:11736616:1 gene:Potri.009G148700.v4.1 transcript:Potri.009G148700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148700.v4.1 MTRPQSKDKNKMVSLGPVTSQMGKAPEAKEAANASSSIRQIKDQPREDQPISDFKTVNTVRPTPTATQSNYPILQAHNPHDQRTNGHRLNATGKIGDQFIDQPVQESGMDEGESPLQLRQEKVLNNREEKESTAYLKRERFLLTPKNLKRWKVSKNSIVSASQKEILDNLWNHSRAGTMAPFPLRQVEREFTRLNKGRSIVGQIANKARQEEDWCSYQPLCPWIEADISAYDENAQLPQTTYHDLIFRTYFRDYDLWLISYCHLQEGNVKAYLSLFGNEAAAEESKIKFIRQKRRDNKIMILGVINPDILNFSLNMGHVKYLKIESENQFCNSPPMFRKFARFLIQQSNGDSARIWVKIISASPLHNNKEWRNIADMRARKPEFFPSIQVIREKVPDKSQHWISKEDTSHGGLNPKIVSQSNIRMEGLDRIQQALSDYSYHYIWRDCGRFIFQALQGNNLQNSISDAIIAGELMPVKYSTQMESKAVQEEKDNA >Potri.007G137600.1.v4.1 pep chromosome:Pop_tri_v4:7:14892222:14894073:-1 gene:Potri.007G137600.v4.1 transcript:Potri.007G137600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G137600.v4.1 MATAAAEKRKPVFIKVEELKPGTNGHNLTVKILDSKPVPVPKPRRAPMSLSQHPQRPSRINECLVGDETGCIVFTARNEQADMMKPGATVILRNAKIDMFKGSMRLAVDKWGRVEVAEPANFAVKENNNLSLVEYELVTVQA >Potri.017G102800.1.v4.1 pep chromosome:Pop_tri_v4:17:11379262:11379897:1 gene:Potri.017G102800.v4.1 transcript:Potri.017G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102800.v4.1 MKLLAAPCVDPSEFDAKGITIEFIVVKVSQDGSPVKSRQSFQVKRGELLLNPLKERTYRNLLWSIGIRLPSTARAQLIEAISRGASSLPCEADHIFVCVVALEPWYEIHFNVMTGIHVDEDKEISWDFVENPFNHVLQACKPPIPCLKKVKIQDNMGSNDALCCPICLQDFSVGSEAAATTCSHVYHSHCIVKWLLRSASCPMCRSKLPTG >Potri.019G070700.5.v4.1 pep chromosome:Pop_tri_v4:19:11192973:11200010:1 gene:Potri.019G070700.v4.1 transcript:Potri.019G070700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070700.v4.1 MASSSAVAHKWKYDVFLSFRGKDTRNNFTSHLYDALCRKKIKTFIDDGLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDEQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVIRPESRLVDQIVHHILKKLNYSSSSDLKGLVGMDSRMKQIEALLCTQLPEVCVVGIWGMGGIGKTTIAGEIFNKIAREYEGHYFLANVREELEKNGGLFRMRDDLFSKITEEENLHIRTPRIGHPFIKDRICRKKVLIVFDDVNNVDQIEMLLGGCDFFGPGSRIILTSRDKQVLKKYSDKIFEVGGLNYQEALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSFLFGRTRKEWGSALNKVEKLPQKEVHGVLRISFDALDREEKSIFLDIACFFKGQNIDFIKRILDGCGFSADIGISVLVDKCLVTISGNKIGMHDLLQHMAHEIVSMESVKDPGKRSRLWHFDDVYEVLTRNLGTKNVEGIFVDLDSSEKIMKVSSRAFARMYNLRLLKVYNSGFGNNKKVLLPHGLDSISNELRYLHWDGYPLRSLPFNFIPQNLVEINISSSKVEQLWQGNQNLVNLKEVNLSSCKHLTEIPDLSQAANLESLNLQSCTSLTEVPQSIRYLDKLSDFNLRSCTSLISLPSSINLKSLKTLNLSGCLNLKNYPEIAENVQYLNLNETSVPELPRSIEHLGRLVALNLRDCKQLGNLPEDVCSLKSLEIADLSGCSNITMFPAFPEKVRYIYLSGTAIEEVPSSIDRLSRLFSLDLMGCKRLKNLPCTFSRLASLEKLSLSGCSIITEFPELPVSIKELYLDGTAIREIPSSVEHLSNLVELSLQNCTRFEILPGSIFNLESLKKLNLFGCSQFQTFPSVDKYGYLTYLCLDGTAIRALPWTIKVLASVSRLEIKNCRNLECNLLPEVQSLLNFNEREVKLYRLRQLYLNDCNLLCLPGSLSCLSSLEVLDLSGNSFTLLPILSKLLKLESLILRNCFRLTCIPTLPPRLMKLDAHNCSSVSGVLGRYSTEVEGNIFELLFTNCFGFHDKTVCKCNDIIEYSLRKIKVYAKRLYTHMLFVLPGTSSVCFPGRNVPDWFVNQTQGFSLTIKQPSQCARNQFLGFVLCAVVDFGYLFKSSDGFQVNCIYHIKNEYGDSHHLQSYFGGWFDGEHVREVSNDMLFLGYDPCLEFTESYLFGKCSEVVIEFYSEDRNNNPLKSCNVIKCGVCLLSAEDDNS >Potri.019G070700.7.v4.1 pep chromosome:Pop_tri_v4:19:11193441:11199776:1 gene:Potri.019G070700.v4.1 transcript:Potri.019G070700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070700.v4.1 MASSSAVAHKWKYDVFLSFRGKDTRNNFTSHLYDALCRKKIKTFIDDGLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDEQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVIRPESRLVDQIVHHILKKLNYSSSSDLKGLVGMDSRMKQIEALLCTQLPEVCVVGIWGMGGIGKTTIAGEIFNKIAREYEGHYFLANVREELEKNGGLFRMRDDLFSKITEEENLHIRTPRIGHPFIKDRICRKKVLIVFDDVNNVDQIEMLLGGCDFFGPGSRIILTSRDKQVLKKYSDKIFEVGGLNYQEALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSFLFGRTRKEWGSALNKVEKLPQKEVHGVLRISFDALDREEKSIFLDIACFFKGQNIDFIKRILDGCGFSADIGISVLVDKCLVTISGNKIGMHDLLQHMAHEIVSMESVKDPGKRSRLWHFDDVYEVLTRNLGTKNVEGIFVDLDSSEKIMKVSSRAFARMYNLRLLKVYNSGFGNNKKVLLPHGLDSISNELRYLHWDGYPLRSLPFNFIPQNLVEINISSSKVEQLWQGNQNLVNLKEVNLSSCKHLTEIPDLSQAANLESLNLQSCTSLTEVPQSIRYLDKLSDFNLRSCTSLISLPSSINLKSLKTLNLSGCLNLKNYPEIAENVQYLNLNETSVPELPRSIEHLGRLVALNLRDCKQLGNLPEDVCSLKSLEIADLSGCSNITMFPAFPEKVRYIYLSGTAIEEVPSSIDRLSRLFSLDLMGCKRLKNLPCTFSRLASLEKLSLSGCSIITEFPELPVSIKELYLDGTAIREIPSSVEHLSNLVELSLQNCTRFEILPGSIFNLESLKKLNLFGCSQFQTFPSVDKYGYLTYLCLDGTAIRALPWTIKVLASVSRLEIKNCRNLECNLLPEVQSLLNFNEREVKLYRLRQLYLNDCNLLCLPGSLSCLSSLEVLDLSGNSFTLLPILSKLLKLESLILRNCFRLTCIPTLPPRLMKLDAHNCSSVSGVLGRYSTEVEGNIFELLFTNCFGFHDKTVCKCNDIIEYSLRKIKVYAKRLYTHMLFVLPGTSSVCFPGRNVPDWFVNQTQGFSLTIKQPSQCARNQFLGFVLCAVVDFGYLFKSSDGFQVNCIYHIKNEYGDSHHLQSYFGGWFDGEHVREVSNDMLFLGYDPCLEFTESYLFGKCSEVVIEFYSEDRNNNPLKSCNVIKCGVCLLSAEDDNS >Potri.019G070700.4.v4.1 pep chromosome:Pop_tri_v4:19:11192973:11200010:1 gene:Potri.019G070700.v4.1 transcript:Potri.019G070700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070700.v4.1 MASSSAVAHKWKYDVFLSFRGKDTRNNFTSHLYDALCRKKIKTFIDDGLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDEQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVIRPESRLVDQIVHHILKKLNYSSSSDLKGLVGMDSRMKQIEALLCTQLPEVCVVGIWGMGGIGKTTIAGEIFNKIAREYEGHYFLANVREELEKNGGLFRMRDDLFSKITEEENLHIRTPRIGHPFIKDRICRKKVLIVFDDVNNVDQIEMLLGGCDFFGPGSRIILTSRDKQVLKKYSDKIFEVGGLNYQEALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSFLFGRTRKEWGSALNKVEKLPQKEVHGVLRISFDALDREEKSIFLDIACFFKGQNIDFIKRILDGCGFSADIGISVLVDKCLVTISGNKIGMHDLLQHMAHEIVSMESVKDPGKRSRLWHFDDVYEVLTRNLGTKNVEGIFVDLDSSEKIMKVSSRAFARMYNLRLLKVYNSGFGNNKKVLLPHGLDSISNELRYLHWDGYPLRSLPFNFIPQNLVEINISSSKVEQLWQGNQNLVNLKEVNLSSCKHLTEIPDLSQAANLESLNLQSCTSLTEVPQSIRYLDKLSDFNLRSCTSLISLPSSINLKSLKTLNLSGCLNLKNYPEIAENVQYLNLNETSVPELPRSIEHLGRLVALNLRDCKQLGNLPEDVCSLKSLEIADLSGCSNITMFPAFPEKVRYIYLSGTAIEEVPSSIDRLSRLFSLDLMGCKRLKNLPCTFSRLASLEKLSLSGCSIITEFPELPVSIKELYLDGTAIREIPSSVEHLSNLVELSLQNCTRFEILPGSIFNLESLKKLNLFGCSQFQTFPSVDKYGYLTYLCLDGTAIRALPWTIKVLASVSRLEIKNCRNLECNLLPEVQSLLNFNEREVKLYRLRQLYLNDCNLLCLPGSLSCLSSLEVLDLSGNSFTLLPILSKLLKLESLILRNCFRLTCIPTLPPRLMKLDAHNCSSVSGVLGRYSTEVEGNIFELLFTNCFGFHDKTVCKCNDIIEYSLRKIKVYAKRLYTHMLFVLPGTSSVCFPGRNVPDWFVNQTQGFSLTIKQPSQCARNQFLGFVLCAVVDFGYLFKSSDGFQVNCIYHIKNEYGDSHHLQSYFGGWFDGEHVREVSNDMLFLGYDPCLEFTESYLFGKCSEVVIEFYSEDRNNNPLKSCNVIKCGVCLLSAEDDNS >Potri.019G070700.2.v4.1 pep chromosome:Pop_tri_v4:19:11193022:11199776:1 gene:Potri.019G070700.v4.1 transcript:Potri.019G070700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070700.v4.1 MASSSAVAHKWKYDVFLSFRGKDTRNNFTSHLYDALCRKKIKTFIDDGLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDEQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVIRPESRLVDQIVHHILKKLNYSSSSDLKGLVGMDSRMKQIEALLCTQLPEVCVVGIWGMGGIGKTTIAGEIFNKIAREYEGHYFLANVREELEKNGGLFRMRDDLFSKITEEENLHIRTPRIGHPFIKDRICRKKVLIVFDDVNNVDQIEMLLGGCDFFGPGSRIILTSRDKQVLKKYSDKIFEVGGLNYQEALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSFLFGRTRKEWGSALNKVEKLPQKEVHGVLRISFDALDREEKSIFLDIACFFKGQNIDFIKRILDGCGFSADIGISVLVDKCLVTISGNKIGMHDLLQHMAHEIVSMESVKDPGKRSRLWHFDDVYEVLTRNLGTKNVEGIFVDLDSSEKIMKVSSRAFARMYNLRLLKVYNSGFGNNKKVLLPHGLDSISNELRYLHWDGYPLRSLPFNFIPQNLVEINISSSKVEQLWQGNQNLVNLKEVNLSSCKHLTEIPDLSQAANLESLNLQSCTSLTEVPQSIRYLDKLSDFNLRSCTSLISLPSSINLKSLKTLNLSGCLNLKNYPEIAENVQYLNLNETSVPELPRSIEHLGRLVALNLRDCKQLGNLPEDVCSLKSLEIADLSGCSNITMFPAFPEKVRYIYLSGTAIEEVPSSIDRLSRLFSLDLMGCKRLKNLPCTFSRLASLEKLSLSGCSIITEFPELPVSIKELYLDGTAIREIPSSVEHLSNLVELSLQNCTRFEILPGSIFNLESLKKLNLFGCSQFQTFPSVDKYGYLTYLCLDGTAIRALPWTIKVLASVSRLEIKNCRNLECNLLPEVQSLLNFNEREVKLYRLRQLYLNDCNLLCLPGSLSCLSSLEVLDLSGNSFTLLPILSKLLKLESLILRNCFRLTCIPTLPPRLMKLDAHNCSSVSGVLGRYSTEVEGNIFELLFTNCFGFHDKTVCKCNDIIEYSLRKIKVYAKRLYTHMLFVLPGTSSVCFPGRNVPDWFVNQTQGFSLTIKQPSQCARNQFLGFVLCAVVDFGYLFKSSDGFQVNCIYHIKNEYGDSHHLQSYFGGWFDGEHVREVSNDMLFLGYDPCLEFTESYLFGKCSEVVIEFYSEDRNNNPLKSCNVIKCGVCLLSAEDDNS >Potri.019G070700.6.v4.1 pep chromosome:Pop_tri_v4:19:11193022:11199776:1 gene:Potri.019G070700.v4.1 transcript:Potri.019G070700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070700.v4.1 MASSSAVAHKWKYDVFLSFRGKDTRNNFTSHLYDALCRKKIKTFIDDGLERGEEITGALLRTIEESRISVIIFSRNYASSPWCVDELVKILECKKAYGQIVLPVFYHVDPSDVDEQTGSFGNAFAELERNFKQKMDKVPRWRADLTSAANISGWDSQVIRPESRLVDQIVHHILKKLNYSSSSDLKGLVGMDSRMKQIEALLCTQLPEVCVVGIWGMGGIGKTTIAGEIFNKIAREYEGHYFLANVREELEKNGGLFRMRDDLFSKITEEENLHIRTPRIGHPFIKDRICRKKVLIVFDDVNNVDQIEMLLGGCDFFGPGSRIILTSRDKQVLKKYSDKIFEVGGLNYQEALHLFSLHAFKDNQPPYNYMELSVRAINYAKGNPLALKVLGSFLFGRTRKEWGSALNKVEKLPQKEVHGVLRISFDALDREEKSIFLDIACFFKGQNIDFIKRILDGCGFSADIGISVLVDKCLVTISGNKIGMHDLLQHMAHEIVSMESVKDPGKRSRLWHFDDVYEVLTRNLGTKNVEGIFVDLDSSEKIMKVSSRAFARMYNLRLLKVYNSGFGNNKKVLLPHGLDSISNELRYLHWDGYPLRSLPFNFIPQNLVEINISSSKVEQLWQGNQNLVNLKEVNLSSCKHLTEIPDLSQAANLESLNLQSCTSLTEVPQSIRYLDKLSDFNLRSCTSLISLPSSINLKSLKTLNLSGCLNLKNYPEIAENVQYLNLNETSVPELPRSIEHLGRLVALNLRDCKQLGNLPEDVCSLKSLEIADLSGCSNITMFPAFPEKVRYIYLSGTAIEEVPSSIDRLSRLFSLDLMGCKRLKNLPCTFSRLASLEKLSLSGCSIITEFPELPVSIKELYLDGTAIREIPSSVEHLSNLVELSLQNCTRFEILPGSIFNLESLKKLNLFGCSQFQTFPSVDKYGYLTYLCLDGTAIRALPWTIKVLASVSRLEIKNCRNLECNLLPEVQSLLNFNEREVKLYRLRQLYLNDCNLLCLPGSLSCLSSLEVLDLSGNSFTLLPILSKLLKLESLILRNCFRLTCIPTLPPRLMKLDAHNCSSVSGVLGRYSTEVEGNIFELLFTNCFGFHDKTVCKCNDIIEYSLRKIKVYAKRLYTHMLFVLPGTSSVCFPGRNVPDWFVNQTQGFSLTIKQPSQCARNQFLGFVLCAVVDFGYLFKSSDGFQVNCIYHIKNEYGDSHHLQSYFGGWFDGEHVREVSNDMLFLGYDPCLEFTESYLFGKCSEVVIEFYSEDRNNNPLKSCNVIKCGVCLLSAEDDNS >Potri.001G322401.1.v4.1 pep chromosome:Pop_tri_v4:1:33175376:33177015:-1 gene:Potri.001G322401.v4.1 transcript:Potri.001G322401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322401.v4.1 MTTLKLSGFCDCEGCWRKVNDALSGIKGIKGRLTDKKKFLVAVTGAVDTEALKARLAKIRKGVKVEVIFQGDGEKKEEEKKPKEEANFNGPYDDPQPNAYFNGPYNDPQPQGLADGSGLYNDPQPYAYFNGSYNDPRLLGLADGSGLYNDPQPYTYFNGSYNDPQPLGLADGSGPYNDAEAGPSNRGGNSTEPMGEQNLEHELARYLCDYGLDYGPHFPFGKDVVPPYVYFNGSYNDPQPPGLADGSGSYNNPPPQGLVNGSGPYSYPPAQDQAHGNGPYNYHPSQDFADEISNACSIM >Potri.010G050300.1.v4.1 pep chromosome:Pop_tri_v4:10:8204436:8208191:1 gene:Potri.010G050300.v4.1 transcript:Potri.010G050300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G050300.v4.1 MAVVPQKMRRERSMFSSSDDTAMMKQIQATHAPDGREFPVKPLLHIVEDIFLRATPALGMTSIVQQQGAHQAQLDELEEKALQNGFHETIEMLSYNINKISCEMSCKCSGGGDAHATTLAIFNLVSNYSWDEKVVLALAGFAVNYGEFWLVAQLYLTNPLAKAVALLKQLPDIIERADNLKPKFEALTSLIKAMMDVAKCIVEFKELPSQYITPDTPEMLTATAHIPTAVYWTIRSIVACASQIMGLIGMGHEYIASTTEAWELSSLAHKVNNIHSHLMKQLTLCLQHIDEKRHIEAFQTLVSLFEAFHIDNMKILKALIYAKDDQLPLFDGSTKKRASLDVLRRRSVLLLISDLEISHEELSMLQQMYSEAREQPGRPESQYEVVWLPVVDRSSPWSETKQKLFEDFQRIMPWYSVYHPSLLDVAVIRYIKEVWHFNKRPLLVVLDPQGRVVNPNAIHMMWIWGSLAFPFTSLKEEALWKEETWKIELLADSIDPMILSWIDQGKYICLYGGEDMEWIRKFTVTAKDVASRAGITLEMLYVGKSNPREKVRKNNSIITTEKLSHVLPDLTLIWFFWVRLESMWHSKVQHKRTVENDAIMQEIMTMLSFDGSDQGWAVISRGPADMAKAKGETILKSFADFEIWKEGAQEKGFLPALIDHLHELHTPFHCNRLILPGATGSIPERVVCAECGRPMEKFIMYRCCTD >Potri.007G133900.4.v4.1 pep chromosome:Pop_tri_v4:7:14672732:14676700:1 gene:Potri.007G133900.v4.1 transcript:Potri.007G133900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133900.v4.1 MKDTSQYAMAYDLQDTEDWIREYESENKRSAAFVAGWNKRIDELKVLMDQIKEPGLRGSYLKDSEKAEMYRLHKENPEVYTVEKLSKDYRIMRQRVHAILWLKEIEEEEEKKLGHPLDDSIELLLDKFPEFFKSHDREFHVASLTYKPEFKVMPEGWDGTIKDMDEVHYEISKKEDEILYQEFVLRMNFNKMKLAGQVKCHKYSRRRTSQGWNFTVEKLGKKGKRGGGGGWKFVSLPDGSSRPLNDTEKIYVKRETPRRRRRSRIFD >Potri.007G133900.2.v4.1 pep chromosome:Pop_tri_v4:7:14672126:14676661:1 gene:Potri.007G133900.v4.1 transcript:Potri.007G133900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133900.v4.1 MHSIHRIISRLSSTALSTSANAARFLKEQTRPTFGNGKRVALVNGIGAYQDIGSRFFSAKSGGSEGGDDWDSAVGSFGGSESVTDGDGLGWGAASSWSTGLTKDHFDGVEVVGQRTSSSSTDSGKDGGDGMKDTSQYAMAYDLQDTEDWIREYESENKRSAAFVAGWNKRIDELKVLMDQIKEPGLRGSYLKDSEKAEMYRLHKENPEVYTVEKLSKDYRIMRQRVHAILWLKEIEEEEEKKLGHPLDDSIELLLDKFPEFFKSHDREFHVASLTYKPEFKVMPEGWDGTIKDMDEVHYEISKKEDEILYQEFVLRMNFNKMKLAGQVKCHKYSRRRTSQGWNFTVEKLGKKGKRGGGGGWKFVSLPDGSSRPLNDTEKIYVKRETPRRRRRSRIFD >Potri.001G369700.2.v4.1 pep chromosome:Pop_tri_v4:1:38750607:38754544:1 gene:Potri.001G369700.v4.1 transcript:Potri.001G369700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369700.v4.1 MRNLPDDHEKHHFEIDKAADEEGNDNPIEEVRLTVPITDDPTQAVLTVRTWVLGLAACILLSFVNQFFQYRSNQLSIGSVTIQILVLPIGKFMAAKLPKKQVSIPFTKWSFSLNPGPFSMKEHVLITIFANCGAGGVYAVYIITITKAFYHKGLHPVAAMLLAQTTQLLGYGWAGMFRKILVDSPYMWWPATLVQVSLFRALHEKERRKKGERTRLQFFAIVFVASFAYYIVPGHFFPSLSALSFVCWIWKRSITAQQIGAGLNGLGIGSFGLDWATVASFLGTPLAYPFFAIANTMVGFILVMYVLVPIAYWSNFREAKRFPIFTSHTFDEDGQIFNITRVLNEKTFDLNLAEYENYSKLYLSIFFAFLYGLSFASLTATLTHVALFDGKNIIKMWKKTTTAVKDEFSDVHTRIMKKNYAVVPQWWFTVILVISLALSLLAVEGFDRQLQLPWWGLLLACFIALIFTLPVGVVQATTNMQIGLNVITELVIGYMYPGKPLANVAFKTYGYISMTQALSFLGDFKIGHYMKIPPKSMFIVQLVGTVVSSTVYFATAWWLLSSVENICNPDLLPDGSPWTCPGSDVFYNASIIWGVVGPLRMFTDKGVYPEQNWWFLVGFLAPVPMWFLERKFPEKKWIKLIHIPLILSATASMPTAKTVHYWSWASVGFIFNYIIYRRYKGWWAKHTYILSAALDAGVAFLGVILYFSLQSKDIYGPAWWGADNSDHCPLAKCPTAPGIKVKGCPVL >Potri.001G369700.3.v4.1 pep chromosome:Pop_tri_v4:1:38750478:38754226:1 gene:Potri.001G369700.v4.1 transcript:Potri.001G369700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369700.v4.1 MRNLPDDHEKHHFEIDKAADEEGNDNPIEEVRLTVPITDDPTQAVLTVRTWVLGLAACILLSFVNQFFQYRSNQLSIGSVTIQILVLPIGKFMAAKLPKKQVSIPFTKWSFSLNPGPFSMKEHVLITIFANCGAGGVYAVYIITITKAFYHKGLHPVAAMLLAQTTQLLGYGWAGMFRKILVDSPYMWWPATLVQVSLFRALHEKERRKKGERTRLQFFAIVFVASFAYYIVPGHFFPSLSALSFVCWIWKRSITAQQIGAGLNGLGIGSFGLDWATVASFLGTPLAYPFFAIANTMVGFILVMYVLVPIAYWSNFREAKRFPIFTSHTFDEDGQIFNITRVLNEKTFDLNLAEYENYSKLYLSIFFAFLYGLSFASLTATLTHVALFDGKNIIKMWKKTTTAVKDEFSDVHTRIMKKNYAVVPQWWFTVILVISLALSLLAVEGFDRQLQLPWWGLLLACFIALIFTLPVGVVQATTNMQIGLNVITELVIGYMYPGKPLANVAFKTYGYISMTQALSFLGDFKIGHYMKIPPKSMFIVQLVGTVVSSTVYFATAWWLLSSVENICNPDLLPDGSPWTCPGSDVFYNASIIWGVVGPLRMFTDKGVYPEQNWWFLVGFLAPVPMWFLERKFPEKKWIKLIHIPLILSATASMPTAKTVHYWSWASVGFIFNYIIYRRYKGWWAKHTYILSAALDAGVAFLGVILYFSLQSKDIYGPAWWGADNSDHCPLAKCPTAPGIKVKGCPVL >Potri.001G369700.1.v4.1 pep chromosome:Pop_tri_v4:1:38750672:38754231:1 gene:Potri.001G369700.v4.1 transcript:Potri.001G369700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G369700.v4.1 MRNLPDDHEKHHFEIDKAAEDEEGNDNPIEEVRLTVPITDDPTQAVLTVRTWVLGLAACILLSFVNQFFQYRSNQLSIGSVTIQILVLPIGKFMAAKLPKKQVSIPFTKWSFSLNPGPFSMKEHVLITIFANCGAGGVYAVYIITITKAFYHKGLHPVAAMLLAQTTQLLGYGWAGMFRKILVDSPYMWWPATLVQVSLFRALHEKERRKKGERTRLQFFAIVFVASFAYYIVPGHFFPSLSALSFVCWIWKRSITAQQIGAGLNGLGIGSFGLDWATVASFLGTPLAYPFFAIANTMVGFILVMYVLVPIAYWSNFREAKRFPIFTSHTFDEDGQIFNITRVLNEKTFDLNLAEYENYSKLYLSIFFAFLYGLSFASLTATLTHVALFDGKNIIKMWKKTTTAVKDEFSDVHTRIMKKNYAVVPQWWFTVILVISLALSLLAVEGFDRQLQLPWWGLLLACFIALIFTLPVGVVQATTNMQIGLNVITELVIGYMYPGKPLANVAFKTYGYISMTQALSFLGDFKIGHYMKIPPKSMFIVQLVGTVVSSTVYFATAWWLLSSVENICNPDLLPDGSPWTCPGSDVFYNASIIWGVVGPLRMFTDKGVYPEQNWWFLVGFLAPVPMWFLERKFPEKKWIKLIHIPLILSATASMPTAKTVHYWSWASVGFIFNYIIYRRYKGWWAKHTYILSAALDAGVAFLGVILYFSLQSKDIYGPAWWGADNSDHCPLAKCPTAPGIKVKGCPVL >Potri.012G032000.1.v4.1 pep chromosome:Pop_tri_v4:12:881220:881844:-1 gene:Potri.012G032000.v4.1 transcript:Potri.012G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G032000.v4.1 MAVSNISFGVFAAIVAIIFAISMPLAHGQSSAPAPSPTSDGAAIDQGVACILMLVALVLTYLIH >Potri.011G165200.2.v4.1 pep chromosome:Pop_tri_v4:11:18986267:18994068:1 gene:Potri.011G165200.v4.1 transcript:Potri.011G165200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165200.v4.1 MPHETNHGSLFTSPCKNLRGLRGLIPSNEACYPDEIINDRELAQRKAEEAASRRYQAADWLRQMDKGASRTLPKEPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVPNLTVQSTEGAAQSAIQYFENMRNFLVAVKDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGLIKIESFQKGSPSSLVGSESADESVDESESSQYEQVLEFLHLSSEVSIEETKTANALAFLFDHFGLRLLQAYLQEINGIEELPLNGMVIDTLLRKAVKDFSALLVSQGTQLGLFLKKILKGDIGSLSKNEFIEAISQYLRQRASLASSDFSKFCICGGKRETIQHTVSSSSGHTEVIDLHQKQLEDLRFYYKEMRRQVKQIQADWEEEVSRLEQHIRDLEVASSSCHQVLEENRQLYNQVQDLKGTIRVYCRVRPFLRGQSNGQSTVDYIGENGNIMIVNPLKNGKEARKVFSFNKVFGTNVTQEQIYADTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTSEQTWGVNYRALRDLFQISTTRGDVIRYEVGVQMVEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASWIPVSSTQDVLDLMKIGQRNRAVGATALNERSSRSHSVLTVHVYGKELVSGSILKGCLHMVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHVPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNSIGETISTLKFAERVASVELGAARSNKETGEIRELKEEISNLKEALERKEAEIEQIKGGSTRSTAESQRTRAVSPFYVPRYGASANLKSETSHRPIDDSRSSEARSCSSGKQRRSSFPSSLTDKETLPRIPFLGEERLASSTKPRSPSPPVRRSTSTDRGALSRSRVKERVENQPVARVPFPAIVPVNKSIAAIPVIPSADNSSKGPYIGSQEALKQDNISKAFYNLQKVSTRKYYPEHEEEQCRQALNIRQGGIKKSKNESKVKAKNQMPAKFHEVDVGTTMLSDIDAGEKIEEPRKSDSSEPENERLLPVSPTIGALMVKKLQMNFSKNSQNLEPRVVQVVEPLLAGKLENKLPNNVTRNAKEAGNTSMPEFRRSRSTPRGKFTILP >Potri.011G165200.3.v4.1 pep chromosome:Pop_tri_v4:11:18986352:18994068:1 gene:Potri.011G165200.v4.1 transcript:Potri.011G165200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165200.v4.1 MPHETNHGSLFTSPCKNLRGLRGLIPSNEACYPDEIINDRELAQRKAEEAASRRYQAADWLRQMDKGASRTLPKEPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVPNLTVQSTEGAAQSAIQYFENMRNFLVAVKDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGLIKIESFQKGSPSSLVGSESADESVDESESSQYEQVLEFLHLSSEVSIEETKTANALAFLFDHFGLRLLQAYLQEINGIEELPLNGMVIDTLLRKAVKDFSALLVSQGTQLGLFLKKILKGDIGSLSKNEFIEAISQYLRQRASLASSDFSKFCICGGKRETIQHTVSSSSGHTEVIDLHQKQLEDLRFYYKEMRRQVKQIQADWEEEVSRLEQHIRDLEVASSSCHQVLEENRQLYNQVQDLKGTIRVYCRVRPFLRGQSNGQSTVDYIGENGNIMIVNPLKNGKEARKVFSFNKVFGTNVTQEQIYADTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTSEQTWGVNYRALRDLFQISTTRGDVIRYEVGVQMVEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASWIPVSSTQDVLDLMKIGQRNRAVGATALNERSSRSHSVLTVHVYGKELVSGSILKGCLHMVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHVPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNSIGETISTLKFAERVASVELGAARSNKETGEIRELKEEISNLKEALERKEAEIEQIKGGSTRSTAESQRTRAVSPFYVPRYGASANLKSETSHRPIDDSRSSEARSCSSGKQRRSSFPSSLTDKETLPRIPFLGEERLASSTKPRSPSPPVRRSTSTDRGALSRSRVKERVENQPVARVPFPAIVPVNKSIAAIPVIPSADNSSKGPYIGSQEALKQDNISKAFYNLQKVSTRKYYPEHEEEQCRQALNIRQGGIKKSKNESKVKAKNQMPAKFHEVDVGTTMLSDIDAGEKIEEPRKSDSSEPENERLLPVSPTIGALMVKKLQMNFSKNSQNLEPRVVQVVEPLLAGKLENKLPNNVTRNAKEAGNTSMPEFRRSRSTPRGKFTILP >Potri.011G165200.4.v4.1 pep chromosome:Pop_tri_v4:11:18986314:18994065:1 gene:Potri.011G165200.v4.1 transcript:Potri.011G165200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165200.v4.1 MPHETNHGSLFTSPCKNLRGLRGLIPSNEACYPDEIINDRELAQRKAEEAASRRYQAADWLRQMDKGASRTLPKEPSEEEFCLALRNGLILCNVLNKVNPGAVLKVVPNLTVQSTEGAAQSAIQYFENMRNFLVAVKDMKLLTFEASDLEKGGSSSKVVDCILCLKGYYEWKQAGGIGVWRYGGLIKIESFQKGSPSSLVGSESADESVDESESSQYEQVLEFLHLSSEVSIEETKTANALAFLFDHFGLRLLQAYLQEINGIEELPLNGMVIDTLLRKAVKDFSALLVSQGTQLGLFLKKILKGDIGSLSKNEFIEAISQYLRQRASLASSDFSKFCICGGKRETIQHTVSSSSGHTEVIDLHQKQLEDLRFYYKEMRRQVKQIQADWEEEVSRLEQHIRDLEVASSSCHQVLEENRQLYNQVQDLKGTIRVYCRVRPFLRGQSNGQSTVDYIGENGNIMIVNPLKNGKEARKVFSFNKVFGTNVTQEQIYADTQPLVRSVLDGYNVCIFAYGQTGSGKTYTMSGPDLTSEQTWGVNYRALRDLFQISTTRGDVIRYEVGVQMVEIYNEQVRDLLVSDGSNRRLDIRNNSQLNGLNVPDASWIPVSSTQDVLDLMKIGQRNRAVGATALNERSSRSHSVLTVHVYGKELVSGSILKGCLHMVDLAGSERVDKSEAVGERLKEAQHINRSLSALGDVISALAQKSPHVPYRNSKLTQVLQDSLGGHAKTLMFVHINPELNSIGETISTLKFAERVASVELGAARSNKETGEIRELKEEISNLKEALERKEAEIEQIKGGSTRSTAESQRTRAVSPFYVPRYGASANLKSETSHRPIDDSRSSEARSCSSGKQRRSSFPSSLTDKETLPRIPFLGEERLASSTKPRSPSPPVRRSTSTDRGALSRSRVKERVENQPVARVPFPAIVPVNKSIAAIPVIPSADNSSKGPYIGSQEALKQDNISKAFYNLQKVSTRKYYPEHEEEQCRQALNIRQGGIKKSKNESKVKAKNQMPAKFHEVDVGTTMLSDIDAGEKIEEPRKSDSSEPENERLLPVSPTIGALMVKKLQMNFSKNSQNLEPRVVQVVEPLLAGKLENKLPNNVTRNAKEAGNTSMPEFRRSRSTPRGKFTILP >Potri.001G323300.3.v4.1 pep chromosome:Pop_tri_v4:1:33237641:33239103:1 gene:Potri.001G323300.v4.1 transcript:Potri.001G323300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323300.v4.1 MNGTNQESQPFYPSISSPMSKEASPFHRTMSDSLPSTSRHSSFSSPPYDENSEKWGTRVMGNPAVPSCHPDNKKAALWGATDDEAKKFHHPYLQYSPIEKSSRSSKESILQVFNSWGTKADTIAQNIWHNLRTNSSVPGAAWGKVNLTAKALTGGGFEALYKQTFTSTNPNEKLKKTFACYLSTTTGPVAGTLYLSDAHAAFCSDRPLSFTAPSGQGAWSYYKNYLN >Potri.001G323300.1.v4.1 pep chromosome:Pop_tri_v4:1:33237641:33239103:1 gene:Potri.001G323300.v4.1 transcript:Potri.001G323300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323300.v4.1 MNGTNQESQPFYPSISSPMSKEASPFHRTMSDSLPSTSRHSSFSSPPYDENSEKWGTRVMGNPAVPSCHPDNKKAALWGATDDEAKKFHHPYLQYSPIEKSSRSSKESILQVFNSWGTKADTIAQNIWHNLRTNSSVPGAAWGKVNLTAKALTGGGFEALYKQTFTSTNPNEKLKKTFACYLSTTTGPVAGTLYLSDAHAAFCSDRPLSFTAPSGQGAWSYYKVMIPLSKIGTINPVVMRENQSERYIQIVTIDGHDFWFMGFVNYEKASRHLTESISSSVGSIAAEPMVV >Potri.011G124300.1.v4.1 pep chromosome:Pop_tri_v4:11:15493500:15494855:-1 gene:Potri.011G124300.v4.1 transcript:Potri.011G124300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124300.v4.1 MDVSIISRELIKPSSPSIHHLLPFKLSLLDQLLPTTYVPMVFFYPRNNNQDFKGLQISIQLKRSLSQTLSTFYPFSGRVRNNSIIDNYEKGAPFVETRVKGSLFDFLIQPQLNLLNKFLPCQPFGYQSDPEATPQVAIQVNTFDCGGTALGLCFSHKIIDVATAIAFLDSWAANTRGHYLEQINPALFEASSRFPPQNKFLVQFPLWVAENYLFKEGNVTKRFVFDADAIATLRAKAKSKRVPNPSRTETLTAFIWKSCTEACRSLCALPRPSVSLHAVNIRQRTKPSFSRYSIGNLWWRSLTACELADTKIELNDLVSLTRESFTNINDDLLKDFQGENGLQGISESLLKQLVGIGSRNPEIFLFSSWLNFDLNDVDFGWGKPIWVGLTGEVGRPSGWANATFFKQTGRNNEIEVWMTLNEKIMSVVERNPEFLEFSTPNPSIFMPHVSS >Potri.001G448800.3.v4.1 pep chromosome:Pop_tri_v4:1:47519766:47521917:-1 gene:Potri.001G448800.v4.1 transcript:Potri.001G448800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G448800.v4.1 MAVGENVSVDLGNFRAESSVSSSSNDQDHHIHNNNVLIQPLYMKVSQVGHHQAPPYNHHHQQRSNGGESYKREIRELQELFSKLNPMAAEFVPPSLSNNNSFGTVNGLNGVNGGFYGNSSSSNNLVVNGNGFDRNGQVNGNAARRKKNYGQVKRRISSRTSMAQREDTVRRTVYVSDIDQQVTEEQLAALFINCGQVVDCRICGDPNSVLHFAFIEFTDEEGARAALSLSGTMLGYYPVKVLPSKTAIAPVNHTFLPRNDDEREMCARTIYCTNIDRNFTQSDIKLFFESLCGEVYRLRLLGDHHHPTRIAFVEFVMVIASLFISNAELSLSFNIKQI >Potri.008G189500.1.v4.1 pep chromosome:Pop_tri_v4:8:13293583:13298797:1 gene:Potri.008G189500.v4.1 transcript:Potri.008G189500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G189500.v4.1 MEHNREVEIDGNSNPIQISRLALDIGGSLIKLVFFSRNSGDDEDPPKDLVGVSSGVNGRLHFAKFETAKINDCLQFISANKLLLGGGFQHQENCTNDRSFIKATGGGAYKFSELFKEKLGISLEKEDEMDCLVTGANFLLKAVNQEAFTYLNGSKEFVQIDHNDLYPYLLVNIGSGVSMIKVDGDGKFERVSGTSVGGGTFWGLGKLLTKSQSFDELLELSHRGDNRAIDTLVGDIYGGMDYLKIGLSSTTIASSFGKATSHNKELEDYKPEDISRSLLRMISNNIGQISYLNALRFGLKRIIFGGFFIRSHSYTMDTIAVAVHFWSKGEAKAMFLRHEGFLGALGAFMSYETHSLDDLVVNQVMPLPVNASLGTDTNYDPLKGDLNGTESIQCSVYRA >Potri.017G139300.1.v4.1 pep chromosome:Pop_tri_v4:17:14033275:14037267:1 gene:Potri.017G139300.v4.1 transcript:Potri.017G139300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139300.v4.1 MIGEATSSSGGGKDDSPAAVSAKKNKKPNYSRFTQQELPACKPILTPGWVITSFIVVGVVFIPIGLASLYASEHVVEIVERYDKDCIPPDYRNNSLHYIQTSEINKTCTMTINVPKHMKSPVFIYYELDNFYQNHRRYVKSRSDKQLRSKASEGVTETCKPEAVTSNGQPIVPCGLVAWSLFNDTYRFSVKKEVLDVSKKNIAWKSDQEHKFGSDVYPKNFQSGSLIGGGKLDSSIPLSEQVDLIVWMRTAALPNFRKLYGKIEKDLQANTTITVTIENNYNTYSFGGKKKLVLSTTSWIGGKNSFLGRAYIAIGGLCLFLAVCFVFVYVFKPRPLGDPSYLSWNRNPSGQMN >Potri.010G127200.1.v4.1 pep chromosome:Pop_tri_v4:10:14428411:14430368:1 gene:Potri.010G127200.v4.1 transcript:Potri.010G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127200.v4.1 MAATQALLCSNYYCSLVTAATKTQFNSKGPPSISPHHKLQHIRAFNSKTQCSPTTPLFLSQNSNFLCHATRRKPPTVPNTKTISSAEEGDDNARKVVQIILWVVEGVYILWLFLLPYAPGDPVWAISSETVNSLIGLSLNFFFILPFINSVGIRLIDAPVLHPMSEGLFNFVIGWTFMFAPLLFTDCKRDRYRWSLDVLWGFQMFLTNTFLIPYMAIRLNEADSDYTPSKRSQLGTIMTTGAPVVGLIGGAACLISVLWALFGRIDGNFGSITDRCEFLVSYLESERLAYAFIWDIFLYIIFQPWLIGENLQNVQKSKVGVVNYIRFVPVVGLVAYLLCLNLDE >Potri.006G113500.1.v4.1 pep chromosome:Pop_tri_v4:6:8799277:8800279:-1 gene:Potri.006G113500.v4.1 transcript:Potri.006G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G113500.v4.1 MALSVAMAVDATASTSNRWSMASLWSALPSSSKSAASTMRFPSSRCQCPRQSISLSRTRRQQQQQRRPLLTRSFTALNPLSSSSLGFSDNSTFGHAFPSVDNGSRFFAMRHGRRVPKLNRPPDQRRALLRGLTTQLLKHGRIKTTRARASAMRKYVDKMITLAKDGSLHKRRQALGFIYEKQIVHALFAEVPDRYGERNGGYTRIIRTLPRRGDNAPMAYIELV >Potri.016G015500.1.v4.1 pep chromosome:Pop_tri_v4:16:811594:813734:-1 gene:Potri.016G015500.v4.1 transcript:Potri.016G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G015500.v4.1 MAKFALANLLILLVNLGTLLTSLACPYCPYPAPPSKPPQYPPKIPPVHPPPKVKPPPKYPPKIPPVHPPPKVKPPPKYPPKIPPVHPPPKVKPPSKYPPKIPPVHPPPKVKPPPKCPPKIPPVHPPPTVKPPHDPKPPKPHPPKPPVVPKPPIVHPPFKPKPPIVHPPYTPKPPIVHPPFKPKPPIVHPPFKPKPPIVHPPFIPKPPYVPKPPVLPPTPPALPPPKPPVIPPIKPPTPPALPPPTLPPPKPPVTPPIKPPTPPTLPPPKPPVTPPIMPPTPPTLPPPKPPVTPPIMPPTPPTPPTLPPPKPPVTPPIMPPAPPTLPPPKPPVTPPIKPPTPPIVNPPPPETPCPPPPPPPPKQETCSIDTLKLGACVDVLGGLVHIGIGSSAKDACCPVLQGLLDLDAAICLCTTIKAKLLNISIIIPIALEVLVDCGKTPPEGFKCPA >Potri.002G058100.1.v4.1 pep chromosome:Pop_tri_v4:2:3984884:3988345:1 gene:Potri.002G058100.v4.1 transcript:Potri.002G058100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058100.v4.1 MANSCSGEVQERDMVVVQEEEAHPYAFHVSGPRNVASPNWRDLISSSWKKENYKRTVFSCFIQAVYLLEIDRQENRTEENALAPNWWRGFKYKLTQTLIDERDGSIFGAVLEWDRAAALADMVLMRPSGAPKAVLALRGTLLKGPTIRRDIEDDLRFLAWESLKGSVRFKVALEALKSVAEMHGSSNVCVAGHSLGAGFALQVGKALAKEGVYVEAHLFNPPSVSMAMSLRNIGEKAGFAWKRFKSMLPSNGETQATGDDGEKTSTLGLRSWIPPFGYKTPSMGLKNWAPNFYVNNSDYICCSYTDPDGTEDKKEADKENAGPANGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDVQLQQALHNSKLMSRQLKSLYTFPATQPTQRIPQ >Potri.002G058100.2.v4.1 pep chromosome:Pop_tri_v4:2:3984884:3987986:1 gene:Potri.002G058100.v4.1 transcript:Potri.002G058100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G058100.v4.1 MIFVYRKKENYKRTVFSCFIQAVYLLEIDRQENRTEENALAPNWWRGFKYKLTQTLIDERDGSIFGAVLEWDRAAALADMVLMRPSGAPKAVLALRGTLLKGPTIRRDIEDDLRFLAWESLKGSVRFKVALEALKSVAEMHGSSNVCVAGHSLGAGFALQVGKALAKEGVYVEAHLFNPPSVSMAMSLRNIGEKAGFAWKRFKSMLPSNGETQATGDDGEKTSTLGLRSWIPPFGYKTPSMGLKNWAPNFYVNNSDYICCSYTDPDGTEDKKEADKENAGPANGQVAAKLFVMSKGKQKFLEAHGLEQWWSDDVQLQQALHNSKLMSRQLKSLYTFPATQPTQRIPQ >Potri.002G093500.2.v4.1 pep chromosome:Pop_tri_v4:2:6798450:6807185:-1 gene:Potri.002G093500.v4.1 transcript:Potri.002G093500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093500.v4.1 MGSRGRLVFDLNEPPAEDDEETDHVVCLQPHKALPSANPHHSDLFVASMDPKGLNNNHAFSHASSVSGFQPFVRPKVAYGPEMGFEKKMAEEQNLKFASPAKTITEDDKKEAPSLVSGSADIKAVEREEGEWSDAEGSADACAGSSMCQQGKASQDQVKSELEGCTSGAVSMNVSSSVKVIDNANAESSGHVSPGLDQGQNDHKSNNSRNSNDNANGDVSTDGQEEIASVSKQCEVRGMEASHALKSSNNLGKRKIDQHKEAMLGKKRNRQTMLINIDEAKQAGSMKSSTPRRQPTVTRSVKEVHNGPPPAERVGERPSHPIIKDQKQADLLCNGGGNSVESCLHKSECTGNVNSVQPAKNRKVNGDSDFSVDSPLPPLPKQNSWRQPAESSWKHPADLRQPKNSQFSNRKPALTSQSSMDSKLGNKKYLPVKKPTVASTPYQDTSVERLIREVTNEKFWHHPEDSELQCVPGHFESVEEYVKVFEPLLFEECRAQLYSTWEDSAETNAHVMVRIKSIERRERGWYDVIVLPVNECKWTFKEGDVAVLSTRRARIVRSKRNNSSSSNEDEEEPEISGHVAGTVRRHIPLDSRDPPGAILHFYEGDSYDPHRKVDEDHILRKFQPRGTWYLTVLGSLATTQREYVALHAFCRLNLQMQTAILKPSPDHFPKYEQQTPAMPECFTQNFVDHLRRTFNGPQLAAIQWAAMHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPQSYKHANESNPDNIAMGSIDEVLHNMDQNLFRSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEISKWMQDLRVQEAYFSAHIADLQNKLNVAAVDGRSQGSVGVDPDILMARDQNRDALLQNLAAAVESRDKVLVEISRLLILEPRFRAGSNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPLLRPYLFYDVTHGRESHRGGSVSYQNVHEAQFCLQLYEHLQKSLKSLGMGRISVGIITPYKLQLKCLQQEFLAVLKSEEGKDIYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALISDAKARNCYMNMDSLPKDFLVSKGVLGKGSSNVRGLKLGGPRHRSFDKHMDSKSRMPSEDDENSGASVISRNGSYRPFKPAMDSSFDEFDQSGDKSRDAWQYGIQKKQGSSAIVGKRDS >Potri.002G093500.4.v4.1 pep chromosome:Pop_tri_v4:2:6798451:6807091:-1 gene:Potri.002G093500.v4.1 transcript:Potri.002G093500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093500.v4.1 MGSRGRLVFDLNEPPAEDDEETDHVVCLQPHKALPSANPHHSDLFVASMDPKGLNNNHAFSHASSVSGFQPFVRPKVAYGPEMGFEKKMAEEQNLKFASPAKTITEDDKKEAPSLVSGSADIKAVEREEGEWSDAEGSADACAGSSMCQQGKASQDQVKSELEGCTSGAVSMNVSSSVKVIDNANAESSGHVSPGLDQGQNDHKSNNSRNSNDNANGDVSTDGQEEIASVSKQCEVRGMEASHALKSSNNLGKRKIDQHKEAMLGKKRNRQTMLINIDEAKQAGSMKSSTPRRQPTVTRSVKEVHNGPPPAERVGERPSHPIIKDQKQADLLCNGGGNSVESCLHKSECTGNVNSVQPAKNRKVNGDSDFSVDSPLPPLPKQNSWRQPAESSWKHPADLRQPKNSQFSNRKPALTSQSSMDSKLGNKKYLPVKKPTVASTPYQDTSVERLIREVTNEKFWHHPEDSELQCVPGHFESVEEYVKVFEPLLFEECRAQLYSTWEDSAETNAHVMVRIKSIERRERGWYDVIVLPVNECKWTFKEGDVAVLSTRRARIVRSKRNNSSSSNEDEEEPEISGHVAGTVRRHIPLDSRDPPGAILHFYEGDSYDPHRKVDEDHILRKFQPRGTWYLTVLGSLATTQREYVALHAFCRLNLQMQTAILKPSPDHFPKYEQQTPAMPECFTQNFVDHLRRTFNGPQLAAIQWAAMHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPQSYKHANESNPDNIAMGSIDEVLHNMDQNLFRSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEISKWMQDLRVQEAYFSAHIADLQNKLNVAAVDGRSQGSVGVDPDILMARDQNRDALLQNLAAAVESRDKVLVEISRLLILEPRFRAGSNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPLLRPYLFYDVTHGRESHRGGSVSYQNVHEAQFCLQLYEHLQKSLKSLGMGRISVGIITPYKLQLKCLQQEFLAVLKSEEGKDIYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALISDAKARNCYMNMDSLPKDFLVSKGVLGKGSSNVRGLKLGGPRHRSFDKHMDSKSRMPSEDDENSGASVISRNGSYRPFKPAMDSSFDEFDQSGDKSRDAWQYGIQKKQGSSAIVGKRDS >Potri.002G093500.5.v4.1 pep chromosome:Pop_tri_v4:2:6798467:6803200:-1 gene:Potri.002G093500.v4.1 transcript:Potri.002G093500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G093500.v4.1 MVRIKSIERRERGWYDVIVLPVNECKWTFKEGDVAVLSTRRARIVRSKRNNSSSSNEDEEEPEISGHVAGTVRRHIPLDSRDPPGAILHFYEGDSYDPHRKVDEDHILRKFQPRGTWYLTVLGSLATTQREYVALHAFCRLNLQMQTAILKPSPDHFPKYEQQTPAMPECFTQNFVDHLRRTFNGPQLAAIQWAAMHTAAGTSSGVTKRQEPWPFTLVQGPPGTGKTHTVWGMLNVIHLVQYQHYYTSLLKKLAPQSYKHANESNPDNIAMGSIDEVLHNMDQNLFRSLSKLCPKPRMLVCAPSNAATDELLARVLDRGFIDGEMKVYRPDVARVGVDSQSRAAQAVSVERRTEQLLIKSREEISKWMQDLRVQEAYFSAHIADLQNKLNVAAVDGRSQGSVGVDPDILMARDQNRDALLQNLAAAVESRDKVLVEISRLLILEPRFRAGSNFNLEEARASLEASFANEAEIVFTTVSSSGRKLFSRLTHGFDMVVIDEAAQASEVAVLPPLALGAARCVLVGDPQQLPATVISKAAGTLLYSRSLFERFQQAGCPTMLLSVQYRMHPQIRDFPSRYFYQGRLTDSESVANLPDETYYKDPLLRPYLFYDVTHGRESHRGGSVSYQNVHEAQFCLQLYEHLQKSLKSLGMGRISVGIITPYKLQLKCLQQEFLAVLKSEEGKDIYINTVDAFQGQERDVIIMSCVRASSHGVGFVADIRRMNVALTRARRALWVMGNANSLVQSDDWAALISDAKARNCYMNMDSLPKDFLVSKGVLGKGSSNVRGLKLGGPRHRSFDKHMDSKSRMPSEDDENSGASVISRNGSYRPFKPAMDSSFDEFDQSGDKSRDAWQYGIQKKQGSSAIVGKRDS >Potri.010G059600.2.v4.1 pep chromosome:Pop_tri_v4:10:8966485:8967920:-1 gene:Potri.010G059600.v4.1 transcript:Potri.010G059600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059600.v4.1 MCGEFTPKLVELYKTLKEKGENFEVVLVSLDDEEEDFKESFETMPWLALPLKDKSCEKLVRYFELRTIPNLVIIGQDGKTLNPNVAELIEEHGIEAYPFTPEKLDELAAIERAKLESQTLESVLVNGENDFVIGKSGSKVPVSDLVGKNILLYFSAQWCPPCRAFLPKLIEAYHAIKAKDNAFEVIFISSDSDQTTFDEFYSEMPWLALPFGDGRKQILSRKFKIQGIPAAVAIGPSGRTITKEARMHLTAYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRKTYICDGCGETGNRWSFHCKQCDFDLHPKCALKEDEDTGTEKGKEGWNCDGDACRRA >Potri.010G059600.1.v4.1 pep chromosome:Pop_tri_v4:10:8966490:8969014:-1 gene:Potri.010G059600.v4.1 transcript:Potri.010G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059600.v4.1 MATEDVSLDLSTLLSSEERDFLIRNNGDQVKVSDLVGKIVGFYFSGSWCGPCRNFTPLLVEVYEHLSSKGGFEVVFISSDGDDESFNTYFSEMPWLAIPFSETETRQRLKELFKVRGIPRLVIFDTNGKVSCDNGVSTVKEHGVDGYPFNLDRLNFLKEQEENAKKNQTISSILVSSSRDYVISNDGKKIPVLDLEGKLVGLYFSVHAHRMCGEFTPKLVELYKTLKEKGENFEVVLVSLDDEEEDFKESFETMPWLALPLKDKSCEKLVRYFELRTIPNLVIIGQDGKTLNPNVAELIEEHGIEAYPFTPEKLDELAAIERAKLESQTLESVLVNGENDFVIGKSGSKVPVSDLVGKNILLYFSAQWCPPCRAFLPKLIEAYHAIKAKDNAFEVIFISSDSDQTTFDEFYSEMPWLALPFGDGRKQILSRKFKIQGIPAAVAIGPSGRTITKEARMHLTAYGADAFPFTEEHLKQLEEELEEKAKGWPEKVKHELHTEHELIRTKRKTYICDGCGETGNRWSFHCKQCDFDLHPKCALKEDEDTGTEKGKEGWNCDGDACRRA >Potri.001G414677.1.v4.1 pep chromosome:Pop_tri_v4:1:43728265:43731171:1 gene:Potri.001G414677.v4.1 transcript:Potri.001G414677.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414677.v4.1 MFGYAKCERKLTLDWQRGEGFLKLGRTKLPDLIEFRLVESVNFNECETECFKNCSCAAYTHSNTSGAGNGCIMWFGDLIDIREQSRTLMGQDIFMRVPTSELVGESQKEEVDVPLFELATIANATNYFSQANVLGEGGFGHVYKGQLLSGQEIAVKKVSKNSKQGAEEFRSEVVLIAKLQHRNLEGLLGICIQVEERMLIYEYMANKSLDYFIFVSYRGGNDVQIILEAHYWAWKERFNVVLGIARGVLYLHQDSKLHIVRRDLKPSNILLDTKLNAKISDFGLARISGDDQEGKTKRVVGAYGYTSR >Potri.007G108401.1.v4.1 pep chromosome:Pop_tri_v4:7:13073657:13076324:-1 gene:Potri.007G108401.v4.1 transcript:Potri.007G108401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108401.v4.1 MSSTAGQVICCKAAVAWEAGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLNDGKSRFSIRGQPIYHFVGTSTFSEYTVVHVGCVAKINPAAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNSNRFDEAKKFGVTEFVNPKDHNKPVQEVIAEMTNGGVDRSVECTGSVNAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPMNVLNERTLKGTFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVPFSEINKAFEYMLSGAGLRCIIRMGA >Potri.007G097650.1.v4.1 pep chromosome:Pop_tri_v4:7:12271243:12271613:1 gene:Potri.007G097650.v4.1 transcript:Potri.007G097650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097650.v4.1 MLFFEIFCCQGILWLELGSIQQRFQGIYVLVNLNVNLLFKIKISDFLIIMFHSVDKL >Potri.001G355200.1.v4.1 pep chromosome:Pop_tri_v4:1:36896162:36897961:-1 gene:Potri.001G355200.v4.1 transcript:Potri.001G355200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355200.v4.1 MASSKSDSLDVHSVISVMELVKEPIISVPKEYVHMDQQNPTFSVRTDHPLPTLPTIDFKLLVSVDTTDLELEKLHSTCKEWGFFQLVNHGVSSSLLEQLKHEIEEFYNLPLEDKRKYMVRPDDFQGYGNTKLDEILDWGDRFYMITNPIHHRKPHLFPELPPSFRNLLECYLLELQRLAMKLLGFIAEALKVDLKEIGEIFDDGLQSVRMTCYPPCPQPELVVGFRPHSDATGITILNQVNGVDGLQIKRDGVWIPVKFIPDALVVNVGDILEILSNGVYKSIEHRATTNSKEERLSMAFFVSPKFEAEVGPLTSLISPQNPPLFRRIGMEKYVKDFFSRKLQGKSFLEDMKIEGAEEATLLDGN >Potri.001G168901.1.v4.1 pep chromosome:Pop_tri_v4:1:14413699:14415233:-1 gene:Potri.001G168901.v4.1 transcript:Potri.001G168901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G168901.v4.1 MTLKAIHEPSNCGFRGAEVHQWCTKLKIFGHLSLLWHRGSGQTQSYCLLPGRQQAVLKTSAWLLLRIAVPRNSNSSCSCQFHCLFLELSSMERKLGFSRAQLPSKY >Potri.002G259200.1.v4.1 pep chromosome:Pop_tri_v4:2:24733081:24735588:-1 gene:Potri.002G259200.v4.1 transcript:Potri.002G259200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259200.v4.1 MESGKKAEAGPSTTTRGGFDEKLERKRETSETPPAVAAAIDDDEEDDGDAVDNGVPVAGFVPGPLLSLKEQIEKDKEDDSLRRWKEKLLGCVESDLNGQLEPEVKFHSIGIISDDIGEINTPLPVDESQSGHPLFALREGSRYQLKLTFSVLHNIVSGLAYSNTVWKGGIQVDQSKGMLGTFAPQREPYVHTLEEDTTPSGVLARGTYSAKLKFEDDDRRCHMELKYSFEIKKRR >Potri.001G449900.2.v4.1 pep chromosome:Pop_tri_v4:1:47595660:47596262:1 gene:Potri.001G449900.v4.1 transcript:Potri.001G449900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449900.v4.1 MNSMFSSFDALCAELLGQKVRSSLALTTMDCNTKRVLTAGDNSMVAEDKLIKNREGSSSRSLARKQEKKVPRFAPELDGLNCFETIVNY >Potri.017G111400.8.v4.1 pep chromosome:Pop_tri_v4:17:11915005:11920193:-1 gene:Potri.017G111400.v4.1 transcript:Potri.017G111400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111400.v4.1 MGLLLIEKKEWGSKHEELMQAFAEATEAVKREQAAHLIALSDAEKQEENLRRALGVEKQCVLDLEKAVREMRSENADIKFTADSKLAEANALVMSIEEKSLEVEAKLRAADAKLAEVSRKSSEIQRKLLDVESRESALRRERLSFIAEKEVYETTFSKQREDLQEWEKKLQEGEERLSKSQRIINQREERANENDRILKQKEKDLEEAQKKIEDANSILKRKEDDISNRLTNLTIKEKEFDATRKKLEVKEVELRVLEEKLNERERVEIKKLTDEHNAILDVKKHEFELEAEQKKKSLDEDLKNKVIELEKRETEINHKEEKAAKREQALDKKLEKCKEKENEFESKSKSLKEREKAIRSEQKNLEGEKNQLESAKENFLNLKAELEKTRASNEEQLLKIHEEKERLKVSEEERSEYARLQAELKEEINKCRLQEELLLKEADDLKQQKGNFEREWEDLDEKRAEAEKELKSIHEQKEKFEKYRLSEEERIRNERKETENYIKRELEALQVAKESFEANMEHERSVMAEKAQNERNQMLHSIEMQKTELENELQKRQEEMDRLLQEKEKLFEEEREREFKNINFLRDVARREMEDMKLERLRIEKEKQEVDEKKRHLQEQQIEMREDIDKLGNLSRKLKDHREQFIKEKERFIVFVEQNKGCKNCGELTSEFVLSDLISSQEIEKADALPTSKLVNNHVTTDDGNPAASEKHDSEMSPTLAHSVSPVSWLRKCTSKILKFSAGKRIEPAALQNLTDGTPLSGEQVNAEEMSKRLDFTENEPELSFAIVNDSLDAQRVLSDTSIREVEAGHDLSINDQSNNNGTAPEIQEDSQPSGLKHDPQPRKRGRPRVSRTRSVKEVVQDAKALLGGALELNEAEDSGHLKSESRDESSLADKGGPRNARKRNRTQTSQISVSDRYGDDSEGHSDSVTAGDRRKRRQKVVPNQTQGQTQYNLRRRELGVAVVTVKASSNLNNEKEKEDDGVSSPQDGNLLRSAPAASAGAASENGESMHFARCANIMDTLDGDGSARRMDENAALSEEINGTPEGAGEYGIADENRSETPRGENEDEDEDDDEEESLHPGEVSIGKKLWTFLTT >Potri.017G111400.4.v4.1 pep chromosome:Pop_tri_v4:17:11914763:11922341:-1 gene:Potri.017G111400.v4.1 transcript:Potri.017G111400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111400.v4.1 MFTPQKKVWSGWSLTPRSEAGQKNGSESGSDPKGKSVGFVEQVTPNGVRPNLDGEYLADKVSKLENELFEYQYNMGLLLIEKKEWGSKHEELMQAFAEATEAVKREQAAHLIALSDAEKQEENLRRALGVEKQCVLDLEKAVREMRSENADIKFTADSKLAEANALVMSIEEKSLEVEAKLRAADAKLAEVSRKSSEIQRKLLDVESRESALRRERLSFIAEKEVYETTFSKQREDLQEWEKKLQEGEERLSKSQRIINQREERANENDRILKQKEKDLEEAQKKIEDANSILKRKEDDISNRLTNLTIKEKEFDATRKKLEVKEVELRVLEEKLNERERVEIKKLTDEHNAILDVKKHEFELEAEQKKKSLDEDLKNKVIELEKRETEINHKEEKAAKREQALDKKLEKCKEKENEFESKSKSLKEREKAIRSEQKNLEGEKNQLESAKENFLNLKAELEKTRASNEEQLLKIHEEKERLKVSEEERSEYARLQAELKEEINKCRLQEELLLKEADDLKQQKGNFEREWEDLDEKRAEAEKELKSIHEQKEKFEKYRLSEEERIRNERKETENYIKRELEALQVAKESFEANMEHERSVMAEKAQNERNQMLHSIEMQKTELENELQKRQEEMDRLLQEKEKLFEEEREREFKNINFLRDVARREMEDMKLERLRIEKEKQEVDEKKRHLQEQQIEMREDIDKLGNLSRKLKDHREQFIKEKERFIVFVEQNKGCKNCGELTSEFVLSDLISSQEIEKADALPTSKLVNNHVTTDDGNPAASEKHDSEMSPTLAHSVSPVSWLRKCTSKILKFSAGKRIEPAALQNLTDGTPLSGEQVNAEEMSKRLDFTENEPELSFAIVNDSLDAQRVLSDTSIREVEAGHDLSINDQSNNNGTAPEIQEDSQPSGLKHDPQPRKRGRPRVSRTRSVKEVVQDAKALLGGALELNEAEDSGHLKSESRDESSLADKGGPRNARKRNRTQTSQISVSDRYGDDSEGHSDSVTAGDRRKRRQKVVPNQTQGQTQYNLRRRELGVAVVTVKASSNLNNEKEKEDDGVSSPQDGNLLRSAPAASAGAASENGESMHFARCANIMDTLDGDGSARRMDENAALSEEINGTPEGAGEYGIADENRSETPRGENEDEDEDDDEEESLHPGEVSIGKKLWTFLTT >Potri.006G274133.1.v4.1 pep chromosome:Pop_tri_v4:6:26611233:26613474:1 gene:Potri.006G274133.v4.1 transcript:Potri.006G274133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274133.v4.1 MFDNLQATDHHVNLSDNLEFNLSVQVSPSEPIESIMMPLKAAKPLLGTLHLKKVVMRFFWDQVVPIVDDWGSCVGLLHREDCTELNAPLMTMMRSPPPCVTTTTSIGHVVDLILEKMYRMVVVVKYSNLNSSTNSSGSKTVGVFTAEQLFKLVVPIQRPLEQERTLGRRWCENFDT >Potri.006G274133.2.v4.1 pep chromosome:Pop_tri_v4:6:26611134:26613520:1 gene:Potri.006G274133.v4.1 transcript:Potri.006G274133.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274133.v4.1 MLVAMRIEVLLGFTNSIFSPYLLPQVSPSEPIESIMMPLKAAKPLLGTLHLKKVVMRFFWDQVVPIVDDWGSCVGLLHREDCTELNAPLMTMMRSPPPCVTTTTSIGHVVDLILEKMYRMVVVVKYSNLNSSTNSSGSKTVGVFTAEQLFKLVVPIQRPLEQERTLGRRWCENFDT >Potri.017G130600.1.v4.1 pep chromosome:Pop_tri_v4:17:13358312:13361698:-1 gene:Potri.017G130600.v4.1 transcript:Potri.017G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130600.v4.1 MKPKPSLLLLITFFVFLSLNSFSTVESDLASERAALVTLRDAVGGRSLLWNLSDNPCQWVGVFCDQKGSTVVELRLPGMGLSGRLPVALGNLTSLQSLSVRFNALSGPIPADIGNIVSLRNLYLQGNFFSGEIPEFLFRLQNLVRLNLANNNFSGVISPSFNNLTRLDTLYLEENQFTGSIPDLNLPLDQFNVSFNNLTGPVPQKLSNKPLSSFQGTLLCGKPLVSCNGASNGNGNDDKLSGGAIAGIAVGCVIGFLLLLMILIFLCRRKRDKTVGSKDVELPKEIAVEIPSGKAAGEGGNVSAGHAVAVVKSEAKSSGTKNLVFFGNTARAFGLEDLLKASAEVLGKGTFGTAYKATLDVGLVVAVKRLKEVTVPEKEFREKIEGAGKMNHENLVPLRAYYYSQDEKLLVHDYMPMGSLSALLHGNKGSGRTPLNWETRSGIALGAARGIAYIHSQGPASSHGNIKSSNILLTTSLEARVSDFGLAHLAGLTPTPNRIDGYRAPEVTDARKVSQKADVYSFGILLLELLTGKAPTHSQLNDEGVDLPRWVQSVVKEEWTAEVFDLELLRYQTVEEDMVQLLQLAIDCTAQYPDNRPSMSKVRSQIEDLCRSSSQEHDIVDDKPS >Potri.006G136400.9.v4.1 pep chromosome:Pop_tri_v4:6:11210208:11225646:1 gene:Potri.006G136400.v4.1 transcript:Potri.006G136400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136400.v4.1 MASSTENEGPSYSPRISKTAIDDEEEEEEEELLPPPDQVSMQKFRLYKTQSNFYMIGRDKSRTYWRVLKIDRLDPSELNIREDSTTYTERECYDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNVYAVCKSEMIPLPNSALQSSISDSMNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNLCDRETGQVLYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATLFVSGREFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGFPMQISSVVQNRGSIPLFWSQETSRLIIKPDIILSKKDQNYEATRLHFENLAKRYGNPIIILNLIKTQEKKPRESILRTEFANAIDHINKNLSEENHLRFLHWDLHKHSKKRNATNVLLLLGKVAACALTLTGFFYCQVTSDLKLEGCTDSPFTENAENGNLSPQYNSNNDNEDGSNLEEKSNGGNSVVNGNHSIKCPMFQMGVLRTNCIDCLDRTNVAQYAFGLAALGHQLNAVGVVDNPKIDLDAPLADDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYHSNAYMDAEKQDAINVFLGHVKPQQGKPALWELDSDQHHNVGRNGQTNVDEDARSIFKRSLSDGNILRGSGSPLSAMNARQQKFCRSAFPDQFQEENNVLSESSPEISTCESNIAFSRYTPSMPRKQLFRYVQRDRYLESDNVFFSGHGDTFNCSNFVDIDLLSSSGNPCEEEPFERSSLLTNYPISGLSSENVNGIMGGTTPSTIEYGSSSKESQQSGMELERSGMELSYSNPANSNVLKEFSDSFVQWVNCGETLPLKGC >Potri.006G136400.3.v4.1 pep chromosome:Pop_tri_v4:6:11210205:11225848:1 gene:Potri.006G136400.v4.1 transcript:Potri.006G136400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136400.v4.1 MASSTENEGPSYSPRISKTAIDDEEEEEEEELLPPPDQVSMQKFRLYKTQSNFYMIGRDKSRTYWRVLKIDRLDPSELNIREDSTTYTERECYDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNVYAVCKSEMIPLPNSALQSSISDSMNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNLCDRETGQVLYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATLFVSGREFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGFPMQISSVVQNRGSIPLFWSQETSRLIIKPDIILSKKDQNYEATRLHFENLAKRYGNPIIILNLIKTQEKKPRESILRTEFANAIDHINKNLSEENHLRFLHWDLHKHSKKRNATNVLLLLGKVAACALTLTGFFYCQVTSDLKLEGCTDSPFTENAENGNLSPQYNSNNDNEDGSNLEEKSNGGNSVVNGNHSIKCPMFQMGVLRTNCIDCLDRTNVAQYAFGLAALGHQLNAVGVVDNPKIDLDAPLADDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYHSNAYMDAEKQDAINVFLGHVKPQQGKPALWELDSDQHHNVGRNGQTNVDEDARSIFKRSLSDGNILRGSGSPLSAMNARQQKFCRSAFPDQFQEENNVLSESSPEISTCESNIAFSRYTPSMPRKQLFRYVQRDRYLESDNVFFSGHGDTFNCSNFVDIDLLSSSGNPCEEEPFERSSLLTNYPISGLSSENVNGIMGGTTPSTIEYGSSSKESQQSGMELERSGMELSYSNPANSNVLKEFSDSFVQWVNCGETLPLKLNSFSPEL >Potri.006G136400.1.v4.1 pep chromosome:Pop_tri_v4:6:11210208:11225846:1 gene:Potri.006G136400.v4.1 transcript:Potri.006G136400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136400.v4.1 MASSTENEGPSYSPRISKTAIDDEEEEEEEELLPPPDQVSMQKFRLYKTQSNFYMIGRDKSRTYWRVLKIDRLDPSELNIREDSTTYTERECYDLLRRIHEGNKATGGLKFVTTCYGIVGFIKFLGPYYMLLITKRRQIGAICGHNVYAVCKSEMIPLPNSALQSSISDSMNENRYKKLLCTVDLTKDFFFSYSYHVMRSLQKNLCDRETGQVLYETMFVWNEFLTRGIRNHLQNTLWTVALVYGFFKQATLFVSGREFKLTLIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIVFEDVPEGFPMQISSVVQNRGSIPLFWSQETSRLIIKPDIILSKKDQNYEATRLHFENLAKRYGNPIIILNLIKTQEKKPRESILRTEFANAIDHINKNLSEENHLRFLHWDLHKHSKKRNATNVLLLLGKVAACALTLTGFFYCQVTSDLKLEGCTDSPFTENAENGNLSPQYNSNNDNEDGSNLEEKSNGGNSVVNGNHSIKCPMFQMGVLRTNCIDCLDRTNVAQYAFGLAALGHQLNAVGVVDNPKIDLDAPLADDLMGFYERMGDTLAHQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYHSNAYMDAEKQDAINVFLGHVKPQQGKPALWELDSDQHHNVGRNGQTNVDEDARSIFKRSLSDGNILRGSGSPLSAMNARQQKFCRSAFPDQFQEENNVLSESSPEISTCESNIAFSRYTPSMPRKQLFRYVQRDRYLESDNVFFSGHGDTFNCSNFVDIDLLSSSGNPCEEEPFERSSLLTNYPISGLSSENVNGIMGGTTPSTIEYGSSSKESQQSGMELERSGMELSYSNPANSNVLKEFSDSFVQWVQPALRCFSIDIKRLLRGQKDK >Potri.009G077700.1.v4.1 pep chromosome:Pop_tri_v4:9:7484309:7485203:1 gene:Potri.009G077700.v4.1 transcript:Potri.009G077700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077700.v4.1 MNATPFSPPDLLQDNNSESDTETNPDDTPEYYQPISAVDYDDSHSDQSNSDEEHHNNPHFSDYHQHHLDNGHCARQAEDGISTLNLNEDVEGKSSSSEDGDEEELEEERVGEESETAILRAFREDESRRNAPLTPENATRVMEAMRGVSLGSFVPDWAGGVGGEQWIDELRRLRQPPGAGNQPSFQN >Potri.001G054200.1.v4.1 pep chromosome:Pop_tri_v4:1:4093203:4094844:1 gene:Potri.001G054200.v4.1 transcript:Potri.001G054200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054200.v4.1 MNARVLFHLYRKLGEYLSCSLPSFIKMLFSNRCKDDIGFGQPGVPEDDRVIIDAVPDKLPWLLLPHDTQCESLKFYDLSRNKVHERNLPEVLLGGVSVEVSKGWLAIAKEGKYIRDTKYSSYLSFKPIPDTEIYLFNPLSGAIHQLPSLSTLVPYYYSFVINCIFNMGGFNVAEFIRRVELSSADPSKSIIALRFNEFEANNCGNLALCRLGDKCWSIFPRETNRDIEFRDILFYNGDLCVLSQKIEHVETHIFKLAEDDEVRIKFIPVSNAGRNPFIEVERLRHDIATIKHVFYEPYLVESVNGELLIILAHNVCYVYHDEDELEEERLDEDELEEERLDEDEDDLEEERFGEDDDDLESFYDEEDDIEEGDFERFYCKVDGFSVYEVGPNAGMILRSVQSLSDQLIFLTSGGRSVSVPAENLPCGIQRNCIFFLENDNYFSEVSSDDQKREEMLLRRVSRESGICYLESGRVERLFPSFNRLLKCKVGWFTPSP >Potri.013G011550.1.v4.1 pep chromosome:Pop_tri_v4:13:748026:748542:1 gene:Potri.013G011550.v4.1 transcript:Potri.013G011550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G011550.v4.1 MLLKEEPMCPQQKESVCFSTNLQVIVALLSGHQTSTCLWIHVNLSSWGLGPNQNEWVSPKWDPISGCLLYIYIYIQKIPSKTACPHQHRCCPLWVLSPIVIFCPFGF >Potri.003G223400.4.v4.1 pep chromosome:Pop_tri_v4:3:21630662:21641538:-1 gene:Potri.003G223400.v4.1 transcript:Potri.003G223400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223400.v4.1 MGFGKEGETKTEFEEEKEGDHVESSDYYFDKIGKPIPILSDQTVSPFPLQNPPLPSRPLALSQSRSLIFLAHPSGFLVARTKDVMDAAMDIKEKGSSSSSSIQHVSLVDVPIGKVHILTLSTDSSTLAVSVAAHIHFFHVHSLLDKEQKPSFSCSLSEPSSSTVKDIQWRRRPDNSYLVLSNQGKLYHGALAAVTHTLKHITDNVDAVEWSLKGKYIAVARGSLISILSSNFKERFSISLPFRSWIADSDDNCTVKVDSIRWVRHDSIIVGCFQQTADGKEENYFLQVISRKDGKIYDSSSKPVVLSFYDLFSGLVDDIVPYGSGPYLSLDYLEQCGLAITANKKNTDQHIVLLGWSVEDEMSETAVIDIERDTWLPRIELQENGDDNLIMGLCVDRVSLYGKVKVEVGVEEQKELSPYCVLMCVTLEGKLVMFQVASATGANIQPEVDSSLEDEEEDIALEPEGCDQSNLSSGLHEETLEEISLGLQPQHASNKELQLNKDGGIPTQKDLVPSVKNEIPEKLEIKSLSVQQSAKLGQSSLKASFPEIPREVGSNALPGVPSQSWASGKVTLSASTLIQGNRPDYNNVQVGAANVPSDLGSKSFCMKDTAGQSTSFNASVRPALDGEQRGSIVSGTIESLPAFRSSQLSSHENFASARSPNHRLKYSKDNYKTSSLRSSEPNLSKQFGNIKELAKELDTLLECIEEKGGFRDACTVFLRGSVEALEEGMGTLSENCRMWKSVMDERLGEIHHLLDKTVQVLARKIYVDGIVKQASDSQYLELWNRQKLSSELELKRRCILKLNQELTNQLIQLERHFNTLELQSFGGNAGFHTDRRTLQIRYMPSRQLQSLHSLQNTMSSQLAAAEQLSECLSKQMSMLSIESPVRQKNVKKELFETIGIPYDASFSSPDATKVGDTTSLKKLLLSSGSAATKGKSRRHQSSAMKSSDSETSRRRRDSLDQSWASFEPTKTTVKRVLLQENQKKNVNKSFLLKDRQIFSSGLGDISTVHQEDQTSRSFLHPLESKGLHYGSPKQTFEKKPTVPFKWATDPPMSSQPLGLRSPILQNNNVAMVSVSSSLVSLPGGEIRSREAYNMTADKSKSMFSQIEKPDSVSTNETRHIQQTETRINKNSADSTVPPMQTPSFPKKPNEIPVSTTSSVLAKSAMQSVRPGPADTKSSFFESPNKNYEPPHSLLGASSVAPTQPGKVPEINFATSKSQPSEKVSSSPSAFIPHAVSSSLMSNISPNISSSISARMSSAAMSPSTSLTSSKVPRTELPSHAPPLTSEVSPELQPPLGKTLPSSNPGPSCLTSESLETDIQPLMEKPARNVNPTPTPSVSESLKTEPQPPAGKNPPSVTPTTPTPSASESPKTEVPHPTGEVSSKSDVDVPATAPHPNPTTFGLKLEPSASSVLTTGLSTGFAPVTQPSLNHSGSTASKVALNSQPQQPSSHNVPFGAPIPTSDSVSGKNESLDVAVTEEVEMEEEAPEASCTNELNLGNLGGFGIGSTPIPTAPRANPFGSPFGSTGSNVASSSLTMTVPSGELFRPASFNFQSPQPSQKPPPTNMGAFSGGFGTGAVAQAPAQSQFGQPAHIGSGQQALGSVLGTFGQSRQFGTGLPGSGFAPTSGFGGGLAASSSTGGFASAATAGGFAGAASTGGGFAALASSGAGFAGVAAGGGFGSVASGVGFGGVASGGSGIAGVASGGGGFAAAASSAGGFAAAPASGSGFGTSGSGFGAFGSQQGTGGFSGFPGNAGGSQQGIGGFSAFSGNPAGTGKPAELFTQMRK >Potri.003G223400.9.v4.1 pep chromosome:Pop_tri_v4:3:21630660:21641534:-1 gene:Potri.003G223400.v4.1 transcript:Potri.003G223400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223400.v4.1 MGFGKEGETKTEFEEEKEGDHVESSDYYFDKIGKPIPILSDQTVSPFPLQNPPLPSRPLALSQSRSLIFLAHPSGFLVARTKDVMDAAMDIKEKGSSSSSSIQHVSLVDVPIGKVHILTLSTDSSTLAVSVAAHIHFFHVHSLLDKEQKPSFSCSLSEPSSSTVKDIQWRRRPDNSYLVLSNQGKLYHGALAAVTHTLKHITDNVDAVEWSLKGKYIAVARGSLISILSSNFKERFSISLPFRSWIADSDDNCTVKVDSIRWVRHDSIIVGCFQQTADGKEENYFLQVISRKDGKIYDSSSKPVVLSFYDLFSGLVDDIVPYGSGPYLSLDYLEQCGLAITANKKNTDQHIVLLGWSVEDEMSETAVIDIERDTWLPRIELQENGDDNLIMGLCVDRVSLYGKVKVEVGVEEQKELSPYCVLMCVTLEGKLVMFQVASATGANIQPEVDSSLEDEEEDIALEPEGCDQSNLSSGLHEETLEEISLGLQPQHASNKELQLNKDGGIPTQKDLVPSVKNEIPEKLEIKSLSVQQSAKLGQSSLKASFPEIPREVGSNALPGVPSQSWASGKVTLSASTLIQGNRPDYNNVQVGAANVPSDLGSKSFCMKDTAGQSTSFNASVRPALDGEQRGSIVSGTIESLPAFRSSQLSSHENFASARSPNHRLKYSKDNYKTSSLRSSEPNLSKQFGNIKELAKELDTLLECIEEKGGFRDACTVFLRGSVEALEEGMGTLSENCRMWKSVMDERLGEIHHLLDKTVQVLARKIYVDGIVKQASDSQYLELWNRQKLSSELELKRRCILKLNQELTNQLIQLERHFNTLELQSFGGNAGFHTDRRTLQIRYMPSRQLQSLHSLQNTMSSQLAAAEQLSECLSKQMSMLSIESPVRQKNVKKELFETIGIPYDASFSSPDATKVGDTTSLKKLLLSSGSAATKGKSRRHQSSAMKSSDSETSRRRRDSLDQSWASFEPTKTTVKRVLLQENQKKNVNKSFLLKDRQIFSSGLGDISTVHQEDQTSRSFLHPLESKGLHYGSPKQTFEKKPTVPFKWATDPPMSSQPLGLRSPILQNNNVAMVSVSSSLVSLPGGEIRSREAYNMTADKSKSMFSQIEKPDSVSTNETRHIQQTETRINKNSADSTVPPMQTPSFPKKPNEIPVSTTSSVLAKSAMQSVRPGPADTKSSFFESPNKNYEPPHSLLGASSVAPTQPGKVPEINFATSKSQPSEKVSSSPSAFIPHAVSSSLMSNISPNISSSISARMSSAAMSPSTSLTSSKVTTGSNQTVTSTSLSSVSVSPVFSSGSLSFQVPRTELPSHAPPLTSEVSPELQPPLGKTLPSSNPGPSCLTSESLETDIQPLMEKPARNVNPTPTPSVSESLKTEPQPPAGKNPPSVTPTTPTPSASESPKTEVPHPTGEVSSKSDVDVPATAPHPNPTTFGLKLEPSASSVLTTGLSTGFAPVTQPSLNHSGSTASKVALNSQPQQPSSHNVPFGAPIPTSDSVSGKNESLDVAVTEEVEMEEEAPEASCTNELNLGNLGGFGIGSTPIPTAPRANPFGSPFGSTGSNVASSSLTMTVPSGELFRPASFNFQSPQPSQKPPPTNMGAFSGGFGTGAVAQAPAQSQFGQPAHIGSGQQALGSVLGTFGQSRQFGTGLPGSGFAPTSGFGGGLAASSSTGGFASAATAGGFAGAASTGGGFAALASSGAGFAGVAAGGGFGSVASGVGFGGVASGGSGIAGVASGGGGFAAAASSAGGFAAAPASGSGFGTSGSGFGAFGSQQGTGGFSGFPGNAGGSQQGIGGFSAFSGNPAGTGKPAELFTQMRK >Potri.003G223400.3.v4.1 pep chromosome:Pop_tri_v4:3:21630737:21641535:-1 gene:Potri.003G223400.v4.1 transcript:Potri.003G223400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223400.v4.1 MGFGKEGETKTEFEEEKEGDHVESSDYYFDKIGKPIPILSDQTVSPFPLQNPPLPSRPLALSQSRSLIFLAHPSGFLVARTKDVMDAAMDIKEKGSSSSSSIQHVSLVDVPIGKVHILTLSTDSSTLAVSVAAHIHFFHVHSLLDKEQKPSFSCSLSEPSSSTVKDIQWRRRPDNSYLVLSNQGKLYHGALAAVTHTLKHITDNVDAVEWSLKGKYIAVARGSLISILSSNFKERFSISLPFRSWIADSDDNCTVKVDSIRWVRHDSIIVGCFQQTADGKEENYFLQVISRKDGKIYDSSSKPVVLSFYDLFSGLVDDIVPYGSGPYLSLDYLEQCGLAITANKKNTDQHIVLLGWSVEDEMSETAVIDIERDTWLPRIELQENGDDNLIMGLCVDRVSLYGKVKVEVGVEEQKELSPYCVLMCVTLEGKLVMFQVASATGANIQPEVDSSLEDEEEDIALEPEGCDQSNLSSGLHEETLEEISLGLQPQHASNKELQLNKDGGIPTQKDLVPSVKNEIPEKLEIKSLSVQQSAKLGQSSLKASFPEIPREVGSNALPGVPSQSWASGKVTLSASTLIQGNRPDYNNVQVGAANVPSDLGSKSFCMKDTAGQSTSFNASVRPALDGEQRGSIVSGTIESLPAFRSSQLSSHENFASARSPNHRLKYSKDNYKTSSLRSSEPNLSKQFGNIKELAKELDTLLECIEEKGGFRDACTVFLRGSVEALEEGMGTLSENCRMWKSVMDERLGEIHHLLDKTVQVLARKIYVDGIVKQASDSQYLELWNRQKLSSELELKRRCILKLNQELTNQLIQLERHFNTLELQSFGGNAGFHTDRRTLQIRYMPSRQLQSLHSLQNTMSSQLAAAEQLSECLSKQMSMLSIESPVRQKNVKKELFETIGIPYDASFSSPDATKVGDTTSLKKLLLSSGSAATKGKSRRHQSSAMKSSDSETSRRRRDSLDQSWASFEPTKTTVKRVLLQENQKKNVNKSFLLKDRQIFSSGLGDISTVHQEDQTSRSFLHPLESKGLHYGSPKQTFEKKPTVPFKWATDPPMSSQPLGLRSPILQNNNVAMVSVSSSLVSLPGGEIRSREAYNMTADKSKNSTVPPMQTPSFPKKPNEIPVSTTSSVLAKSAMQSVRPGPADTKSSFFESPNKNYEPPHSLLGASSVAPTQPGKVPEINFATSKSQPSEKVSSSPSAFIPHAVSSSLMSNISPNISSSISARMSSAAMSPSTSLTSSKVPRTELPSHAPPLTSEVSPELQPPLGKTLPSSNPGPSCLTSESLETDIQPLMEKPARNVNPTPTPSVSESLKTEPQPPAGKNPPSVTPTTPTPSASESPKTEVPHPTGEVSSKSDVDVPATAPHPNPTTFGLKLEPSASSVLTTGLSTGFAPVTQPSLNHSGSTASKVALNSQPQQPSSHNVPFGAPIPTSDSVSGKNESLDVAVTEEVEMEEEAPEASCTNELNLGNLGGFGIGSTPIPTAPRANPFGSPFGSTGSNVASSSLTMTVPSGELFRPASFNFQSPQPSQKPPPTNMGAFSGGFGTGAVAQAPAQSQFGQPAHIGSGQQALGSVLGTFGQSRQFGTGLPGSGFAPTSGFGGGLAASSSTGGFASAATAGGFAGAASTGGGFAALASSGAGFAGVAAGGGFGSVASGVGFGGVASGGSGIAGVASGGGGFAAAASSAGGFAAAPASGSGFGTSGSGFGAFGSQQGTGGFSGFPGNAGGSQQGIGGFSAFSGNPAGTGKPAELFTQMRK >Potri.003G223400.8.v4.1 pep chromosome:Pop_tri_v4:3:21630735:21641535:-1 gene:Potri.003G223400.v4.1 transcript:Potri.003G223400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223400.v4.1 MGFGKEGETKTEFEEEKEGDHVESSDYYFDKIGKPIPILSDQTVSPFPLQNPPLPSRPLALSQSRSLIFLAHPSGFLVARTKDVMDAAMDIKEKGSSSSSSIQHVSLVDVPIGKVHILTLSTDSSTLAVSVAAHIHFFHVHSLLDKEQKPSFSCSLSEPSSSTVKDIQWRRRPDNSYLVLSNQGKLYHGALAAVTHTLKHITDNVDAVEWSLKGKYIAVARGSLISILSSNFKERFSISLPFRSWIADSDDNCTVKVDSIRWVRHDSIIVGCFQQTADGKEENYFLQVISRKDGKIYDSSSKPVVLSFYDLFSGLVDDIVPYGSGPYLSLDYLEQCGLAITANKKNTDQHIVLLGWSVEDEMSETAVIDIERDTWLPRIELQENGDDNLIMGLCVDRVSLYGKVKVEVGVEEQKELSPYCVLMCVTLEGKLVMFQVASATGANIQPEVDSSLEDEEEDIALEPEGCDQSNLSSGLHEETLEEISLGLQPQHASNKELQLNKDGGIPTQKDLVPSVKNEIPEKLEIKSLSVQQSAKLGQSSLKASFPEIPREVGSNALPGVPSQSWASGKVTLSASTLIQGNRPDYNNVQVGAANVPSDLGSKSFCMKDTAGQSTSFNASVRPALDGEQRGSIVSGTIESLPAFRSSQLSSHENFASARSPNHRLKYSKDNYKTSSLRSSEPNLSKQFGNIKELAKELDTLLECIEEKGGFRDACTVFLRGSVEALEEGMGTLSENCRMWKSVMDERLGEIHHLLDKTVQVLARKIYVDGIVKQASDSQYLELWNRQKLSSELELKRRCILKLNQELTNQLIQLERHFNTLELQSFGGNAGFHTDRRTLQIRYMPSRQLQSLHSLQNTMSSQLAAAEQLSECLSKQMSMLSIESPVRQKNVKKELFETIGIPYDASFSSPDATKVGDTTSLKKLLLSSGSAATKGKSRRHQSSAMKSSDSETSRRRRDSLDQSWASFEPTKTTVKRVLLQENQKKNVNKSFLLKDRQIFSSGLGDISTVHQEDQTSRSFLHPLESKAGLHYGSPKQTFEKKPTVPFKWATDPPMSSQPLGLRSPILQNNNVAMVSVSSSLVSLPGGEIRSREAYNMTADKSKSMFSQIEKPDSVSTNETRHIQQTETRINKNSADSTVPPMQTPSFPKKPNEIPVSTTSSVLAKSAMQSVRPGPADTKSSFFESPNKNYEPPHSLLGASSVAPTQPGKVPEINFATSKSQPSEKVSSSPSAFIPHAVSSSLMSNISPNISSSISARMSSAAMSPSTSLTSSKVPRTELPSHAPPLTSEVSPELQPPLGKTLPSSNPGPSCLTSESLETDIQPLMEKPARNVNPTPTPSVSESLKTEPQPPAGKNPPSVTPTTPTPSASESPKTEVPHPTGEVSSKSDVDVPATAPHPNPTTFGLKLEPSASSVLTTGLSTGFAPVTQPSLNHSGSTASKVALNSQPQQPSSHNVPFGAPIPTSDSVSGKNESLDVAVTEEVEMEEEAPEASCTNELNLGNLGGFGIGSTPIPTAPRANPFGSPFGSTGSNVASSSLTMTVPSGELFRPASFNFQSPQPSQKPPPTNMGAFSGGFGTGAVAQAPAQSQFGQPAHIGSGQQALGSVLGTFGQSRQFGTGLPGSGFAPTSGFGGGLAASSSTGGFASAATAGGFAGAASTGGGFAALASSGAGFAGVAAGGGFGSVASGVGFGGVASGGSGIAGVASGGGGFAAAASSAGGFAAAPASGSGFGTSGSGFGAFGSQQGTGGFSGFPGNAGGSQQGIGGFSAFSGNPAGTGKPAELFTQMRK >Potri.002G192700.2.v4.1 pep chromosome:Pop_tri_v4:2:15547351:15549830:-1 gene:Potri.002G192700.v4.1 transcript:Potri.002G192700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192700.v4.1 MMGRFVSRERELEVDLESGGTTSDEDRMSDPISANGQAKALLMEAWTVPVGFQGFVNSSSFGEVGAEDVELLIDKNSEGKEGQQKAFVDRKDVEEKHIKKNLRKPPKPPRPPRSLSLDASDQKLMKEITELAMRKRARIERLKALKKMRAAKASSSSSSLSAMFITIIFFLIIIYQELLQRQHD >Potri.002G192700.4.v4.1 pep chromosome:Pop_tri_v4:2:15547350:15549830:-1 gene:Potri.002G192700.v4.1 transcript:Potri.002G192700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192700.v4.1 MMGRFVSRERELEVDLESGGTTSDEDRMSDPISANGQAKALLMEAWTVPVGFQGFVNSSSFGEVGAEDVELLIDKNSEGKEGQQKAFVDRKDVEEKHIKKNLRKPPKPPRPPRSLSLDASDQKLMKEITELAMRKRARIERLKALKKMRAAKASSSSSSLSAMFITIIFFLIIIYQAKSAE >Potri.006G006200.1.v4.1 pep chromosome:Pop_tri_v4:6:441842:448040:-1 gene:Potri.006G006200.v4.1 transcript:Potri.006G006200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006200.v4.1 MSLTATSTSPLQLPFTTSNGNYLQRNDFGAVGISRFLSSKTKGSPLIRCQSTSTEEPKTRNPLDKASNLLTNLLSGGNLGSMPIAEGAVSDLFSRPLFFSLFDWFIEHGSVYKLAFGPKAFVVVSDPIVARHILRENAFSYDKGILADILEPIMGKGLIPADFDTWKLRRKVIAPGFHALYLEAMVKVFTQCSERSVLKIDELLEGEDLHGKKTVELDLEAEFSSLALDIIGLGVFNYDFGSVTKESPVIKAVYGALFEAEHRSTFYVPYWKFPLARWLVPRQRKFQKDLKVINECLDGLIRNAKETRQETDVEKLQQRDYSNLKDASLLRFLVDMRGADVDDRQLRDDLMTMLIAGHETTAAVLTWAVFLLAQNPSKMKKAQAEIDQVLGQGRLTFELIKQLKYIRLIVVESLRLYPQPPLLIRRSLKPDVLPGGYKGDKDGYAIPAGTDIFVSVYNLHRSPYFWDNPNEFEPERFLVTRNNDGIEGWSGFDPSRSPGALYPNEIISDFAFLPFGGGPRKCVGDQFALMESTIALTLLLQKFDVELRGSPEEVELVTGATIHTKNGLWCRLKKRSDVH >Potri.010G229351.1.v4.1 pep chromosome:Pop_tri_v4:10:21220842:21222726:1 gene:Potri.010G229351.v4.1 transcript:Potri.010G229351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229351.v4.1 MRIRKSFACLNHGFWGWFWILTCLAQPINNGSSRQELADPLCIDSLPTCSRILLMEVKKIQ >Potri.013G122100.1.v4.1 pep chromosome:Pop_tri_v4:13:13140838:13141535:-1 gene:Potri.013G122100.v4.1 transcript:Potri.013G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G122100.v4.1 MANDKQWILHDWSDEDCVKILKRCKQAITSKGLQKVGKVIIIDMVRENQNGDEGSIETQLLFDREMMVAFSGLERNEKEWAKLFFDSGCLNYKIHPVPGTRAVIELYP >Potri.002G245600.1.v4.1 pep chromosome:Pop_tri_v4:2:23671845:23675532:-1 gene:Potri.002G245600.v4.1 transcript:Potri.002G245600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245600.v4.1 MLLKVSCNAAVAAYAGDQIQAQCRVLGQSPTRLNFPRRRRAVVFSSPVAKAPLVQAVVSEGRASRVESGSGGLADRLRLGSLAEDGLSYKEKFIVRCYEVGINKTATVETIANLLQEVGCNHAQSVGYSTDGFATTTTMRKLHLIWVTARMHIEIYKYPAWSDVVEIETWCQAEGRIGTRRDWILKDCATGQVIGRATSKWVMMNQDTRRFQKVSDDVRAEHLVFGPRELRLAFPEDNNRSLKKISKLEDPAQHSRLGLVPRRADLDMNQHVNNVAYIGWVLESLPQEIIDTHELQAITLDYRRECQHDDVVDSLTSLEPIKESGAVSELRGINGSATATANNQECLNFLHLLRLSRDGLEINRGRTEWRKKPAL >Potri.009G032900.1.v4.1 pep chromosome:Pop_tri_v4:9:4358231:4360207:1 gene:Potri.009G032900.v4.1 transcript:Potri.009G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G032900.v4.1 MNPVFKDPTADTVLKCISQILMEEESNEQTFIARDFSLRAAEKPFSDILDQKSSSSDSEVSDPNQIIKTVSTHCCNIYGCNSSETADNMVVSSFIHNREAPSVNYTVPLSLQDNSPPFFPESIFQTFPEQVDSSVNVALGASQLDVLFKGGTEETWEFHHQANEMILDFENNKVTPVMATKEKSYWDHSACELKERKNHYKVEKKSETRRNKHSSVYAEAAEQYGMFAEVFPSSGGDDEPVWLNLNETFQNGPGMIPYLREQSRGSNYGMLFRKNHVSCRELVDTRTLLIHCAEAVASNDHGSAIELLTQIRQHSTPFGDGSQRLAHCFSNALEARMAGNGSEVYASLAANRVTSERILKACRRFISASPFMVMSNLFSTQTIMDLSENAARLHIINFGILYDFPWPSLIQHLSVRPGGPPVLRITGIEFPQTGYRSAETIEEIGLYLASYCDKFNVPFEYNAISQKWENVQLEDLKIDRDEVTVVSSLYRFRHLLDETVVLNGHRNAVLNLIKRINPAVFIHGIVNGAYNSPFFVSRFREALFYFSSLFDMLEAITAREDPERLVFEQEVFGKEILNVIACEGCDRIERPEKYKQWQARNVRAGFRQLPLKEGIMEKVREQVKSSYHKDFLMDQDGQWMLQGWKGRILFAISCWKSA >Potri.016G033000.1.v4.1 pep chromosome:Pop_tri_v4:16:1859128:1862265:-1 gene:Potri.016G033000.v4.1 transcript:Potri.016G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033000.v4.1 MASRVQQQQAIRGEAVVGVNKNVKKIAAAAEVKNRRVLGDIGNLVTVRGIEGKQQPNRPVTRSFGAQLLANAQAAAAAENNKKQVYVKAEKVPAAGVDGVAAEARKVAVRKPAQKKVTVKPKPEEVTEINPDTEEKPGNKKKEGEGSTKKNKPTLSSVLTARSKAACGVANKPKGQVIDIDAADVNNDLAGVEYVEDIYKFYKLVENESRPNDYMDRQPEINEKMRAILVDWLIDVQHKFELSPETLYLTINIIDRFLSVKTVPRKELQLVGMSATLMASKYEEIWAPEVNDLVCISDRAYTHEQILVMEKTILANLEWTLTVPTHYVFLARFIKASIPEKGMENMVYFLAELGLMHYDTVMFCPSMVAASAVYVARCTLNKTPSWTDTLKKHTGFSEPQLKDCAGLLVYFHSKAAEHRLQSVYRKYSKPERGAVALLPPAKSLLPGGLS >Potri.008G058300.1.v4.1 pep chromosome:Pop_tri_v4:8:3469965:3477727:1 gene:Potri.008G058300.v4.1 transcript:Potri.008G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058300.v4.1 MITDTYSKVLPDISKRSMLKNEDLSKLIREQRLQQEATSEIEKELNIYRSGSAPPTVEGSLSSIGGLFDGTGIPGIKNSNRGGFSSEEVLRSDPAYVNYYYSNVNLNPRLPPPSLSKEDWRFAQRLHGSGGGSNSVVGDRRRGSRGGENEGHRSLFAVQPGFGGGMEENGNENGVEWGGDGLIGLPGLGLGSRQKSIAEIIQNDMGHANPISRHPSRPASRNAFDDDMETSEAQFSQLHGDLASLDALRSSSNKQGMSAVQNIGASASHTYASALGATLSRSTTPDPQLVARAPSPRIPPIGGGRTNSMDKRDVSGSHSFNGISTSFNDSELVAALSGLKMSTNGLVDEENHSQSRSQHEIDDRHNLFNLQGDQNYVKQQSYLNKSSASSNLKLPSTLTLSGRGGSPSNHQNADNMNSPYANYGFSGYPVNPSSPSMIGSALANGSLPPLFGNAAAAAMAGSGLDSQALGAIGPNLMASAAELQNLSRFGNQTAGVPLVDPLYLQYLRSDEYAAAQLATAQLAALNEPMLDREYVGNAYDLLQKLQLETLLSSQNSQYGVPYLGKSGSLNHNYYGNTGFGLGMSYSGSPLGGPVLPNLLAGSGGPVRHSERNMRFSPGMRNLSGGVMGSWHSEAGSNLDESFPSSLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATAEENNMVFDEIMPQALSLMTDVFGNYVIQKFFEHGSASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVELDQQTKMVTELNGHILRCVRDQNGNHVIQKCIECVPEDAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHDTKTQRIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSAIIKKLTGQIVQMSQQKFASNVIEKCLTFGTPAERQALVDEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQLGLILSRIKVHLNALKKYTYGKHIVLRVEKLVAAGERRISFLTLNPATA >Potri.008G058300.2.v4.1 pep chromosome:Pop_tri_v4:8:3472493:3477722:1 gene:Potri.008G058300.v4.1 transcript:Potri.008G058300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058300.v4.1 MGHANPISRHPSRPASRNAFDDDMETSEAQFSQLHGDLASLDALRSSSNKQGMSAVQNIGASASHTYASALGATLSRSTTPDPQLVARAPSPRIPPIGGGRTNSMDKRDVSGSHSFNGISTSFNDSELVAALSGLKMSTNGLVDEENHSQSRSQHEIDDRHNLFNLQGDQNYVKQQSYLNKSSASSNLKLPSTLTLSGRGGSPSNHQNADNMNSPYANYGFSGYPVNPSSPSMIGSALANGSLPPLFGNAAAAAMAGSGLDSQALGAIGPNLMASAAELQNLSRFGNQTAGVPLVDPLYLQYLRSDEYAAAQLATAQLAALNEPMLDREYVGNAYDLLQKLQLETLLSSQNSQYGVPYLGKSGSLNHNYYGNTGFGLGMSYSGSPLGGPVLPNLLAGSGGPVRHSERNMRFSPGMRNLSGGVMGSWHSEAGSNLDESFPSSLLDEFKSNKTKCFELSEIAGHVVEFSADQYGSRFIQQKLETATAEENNMVFDEIMPQALSLMTDVFGNYVIQKFFEHGSASQIRELADQLTGHVLTLSLQMYGCRVIQKAIEVVELDQQTKMVTELNGHILRCVRDQNGNHVIQKCIECVPEDAIQFIVSTFYDQVVTLSTHPYGCRVIQRVLEHCHDTKTQRIMMDEILQSVCMLAQDQYGNYVVQHVLEHGKPHERSAIIKKLTGQIVQMSQQKFASNVIEKCLTFGTPAERQALVDEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQLGLILSRIKVHLNALKKYTYGKHIVLRVEKLVAAGERRISFLTLNPATA >Potri.014G066300.1.v4.1 pep chromosome:Pop_tri_v4:14:4127561:4129170:-1 gene:Potri.014G066300.v4.1 transcript:Potri.014G066300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066300.v4.1 MAIISFQNPFHLPIILAFFLVNSCHCFHPKLFNVSKIQSNSDWSPAGATWYGSPTGAGSDGGACGYGNAVEQAPFSSFISAGGDSIYKSGQGCGACYQVKCTSSSNAACSGNPVTVVITDQCPGSPCAQESFHFDLSGTAFGAMAISGKEDQLRNAGVLQIQHQRVPCNWPGKTVTFHVDSGSNPYYFATVVEYEDGDGELKSVELKQALDSDSWVPMQKSWGAVWKLDAGSLLRAPLSIKLTSLESGKTIVASGVIPAGWAPGQTYRSLVNFN >Potri.001G349600.1.v4.1 pep chromosome:Pop_tri_v4:1:36176350:36179363:1 gene:Potri.001G349600.v4.1 transcript:Potri.001G349600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349600.v4.1 MGFVQTEERRKTEIEELKRMLVGCGRLNRRKDDEELQGRRASSKQAAEFNGEEELVCVTSGVSYLGVAIVNRLLTRGYSVRIIVDNEEDKERLRELETSGEMRTSKNGIDAVMAKLTEVESLVEAFQGCHGVFHTSAFTDPAGLSGYTKSMAEIEVKASENVMEACSRTPSVRNCVVTSSLLTCLWRDTTTHELPPVVNHESWSDESLCIRKKLWYAVGKLRAEKAAWKRAEERGLKLATICPGLITGPEYFGRNPTATIAYLKGGQEMFKDGLLATVDVMKLAEAHTCVYEAMNKTACGRYICFDRVIQVEDEAERLAMEIGISANQIVSEDASTCGPARFVLSNKKLCNLMSRTHRNCYNQS >Potri.010G045200.1.v4.1 pep chromosome:Pop_tri_v4:10:7715982:7716317:1 gene:Potri.010G045200.v4.1 transcript:Potri.010G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045200.v4.1 MGFSKKPPAVDGGLDLDGGKRWVIAGIPLRAPLKPISTNPVEKEINEDDDQSNCTTASTTPTSEEARIPSRLVCPPAPRKRKATFKCNYTSGVREFFTPPDLETLFVQRAN >Potri.003G091000.2.v4.1 pep chromosome:Pop_tri_v4:3:11692888:11701694:-1 gene:Potri.003G091000.v4.1 transcript:Potri.003G091000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091000.v4.1 MGSSVTENMFGSKQYSSDKFHIKEESGTCNECTGSCSCCMAASLLRMKADVGFSYEISKGKVDAQYSRSGADMLSPVDSSCNSRNRSTSEISNLLSACSSHDSFSENEESKDTLRASGTSEHSEMLVEENDQQTARKNPGLSRTILFHDSNILFKNHQKPKELECIGDDASCISGSEYTDKIAGDHHCYTDRKNVSSSSTSIDSFPAIENAANVRPTLCSLAKGQFDTIDNNQPRTLIKFTKESSPTIAVFSNKSNQIDISSARDFYIGANSSKGKPSECSEEQIESPLMRAATFWVDAQIHEEENHTEPVKSEIGRKDGEAAVAKCSDQKGDEPAKWQPTPKAQPMVHDGELDHIQDEVKVCDICGDVGQEEKLATCSKCSDGAEHIYCMREKLEKVPEGNWMCEDCMLGDENKRQKKNNFEKEEAVQLEKSSLNEIIKNSKNSGAFSCNISLESNTKGMGVDKNRRNDSSSCHFPAKRPADDSETFTAKRMALEEGHNSSMLSKESYPCSKVEICRGSSLKNIDTRKMKPAYEGSLSKSKSPLDISSKVELQQSEGGVNKQNLGRVTATCGNKEGVGRIPCKSTSFKKASSDHVNAADSKVKKISSNLAHVEEMKRLRHVKGQNLAVSETTFHKSLKKSPVADNHASASMRDERTPHGGEASVLPLSTPRHHDMTAVQGDGKSSSSLTSIRDVALRNFPNEEQKRLLNDASNDEAFAARSNQNNLISIHPSNEPSYLKGLTWLPSAVDIPSWVSVVPQLECIWQGGFGIQRSGVFISSCDGIQAHASSCASPKVHEIVCKFPQKILAEQASSLVMWPTQPPESEAKEENIALYFFAKDLESYESNYKILMEYMTKNNLGLKANIDGLDLLIFSSKLLPKRSQRWNQMLFLWGVFRGRKINCSQETPNPQRNCFSELNVAHSGLQNLHSSAHASGIMSPSNIFNNIQQTSDSVTSFEVPTLARMGESCENKVSSPLKKLLVFQISDKWLSSDGTSMKSERLSRNVKNNDPSQGQEKEKEKGKQIDPNRESDIEMVTVDEECEGKRIKKFNGMLEHNTSGDFQFLGDRLPSGVIDLSPVYMKSVQCQEGPMNGENPLESGNLNLELSLGFGKSRDHGAVSLLPNVGHNRSKDTKDSNEVTSNKNNECSTSLTLSLASATSIEEKEAKQVMEPQLLFPTMDASLFLSL >Potri.003G091000.7.v4.1 pep chromosome:Pop_tri_v4:3:11692993:11700778:-1 gene:Potri.003G091000.v4.1 transcript:Potri.003G091000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091000.v4.1 MGSSVTENMFGSKQYSSDKFHIKEESGTCNECTGSCSCCMAASLLRMKADVGFSYEISKGKVDAQYSRSGADMLSPVDSSCNSRNRSTSEISNLLSACSSHDSFSENEESKDTLRASGTSEHSEMLVEENDQQTARKNPGLSRTILFHDSNILFKNHQKPKELECIGDDASCISGSEYTDKIAGDHHCYTDRKNVSSSSTSIDSFPAIENAANVRPTLCSLAKGQFDTIDNNQPRTLIKFTKESSPTIAVFSNKSNQIDISSARDFYIGANSSKGKPSECSEEQIESPLMRAATFWVDAQIHEEENHTEPVKSEIGRKDGEAAVAKCSDQKGDEPAKWQPTPKAQPMVHDGELDHIQDEVKVCDICGDVGQEEKLATCSKCSDGAEHIYCMREKLEKVPEGNWMCEDCMLGDENKRQKKNNFEKEEAVQLEKSSLNEIIKNSKNSGAFSCNISLESNTKGMGVDKNRRNDSSSCHFPAKRPADDSETFTAKRMALEEGHNSSMLSKESYPCSKVEICRGSSLKNIDTRKMKPAYEGSLSKSKSPLDISSKVELQQSEGGVNKQNLGRVTATCGNKEGVGRIPCKSTSFKKASSDHVNAADSKVKKISSNLAHVEEMKRLRHVKGQNLAVSETTFHKSLKKSPVADNHASASMRDERTPHGGEASVLPLSTPRHHDMTAVQGDGKSSSSLTSIRDVALRNFPNEEQKRLLNDASNDEAFAARSNQNNLISIHPSNEPSYLKGLTWLPSAVDIPSWVSVVPQLECIWQGGFGIQRSGVFISSCDGIQAHASSCASPKVHEIVCKFPQKILAEQASSLVMWPTQPPESEAKEENIALYFFAKDLESYESNYKILMEYMTKNNLGLKANIDGLDLLIFSSKLLPKRSQRWNQMLFLWGVFRGRKINCSQETPNPQRNCFSELNVAHSGLQNLHSSAHASGIMSPSNIFNNIQQTSDSVTSFEVPTLARMGESCENKVSSPLKKLLVFQISDKWLSSDGTSMKSERLSRNVKNNDPSQGQEKEKEKGKQIDPNRESDIEMVTVDEECEGKRIKKFNGMLEHNTSGDFQFLGDRLPSGVIDLSPVYMKSVQCQEGPMNGENPLESGNLNLELSLGFGKSRDHGAVSLLPNVGHNRSKDTKDSNEVTSNKNNECSTSLTLSLASATSIEEKEAKQVMEPQLLFPTMDASLFLSL >Potri.019G003800.2.v4.1 pep chromosome:Pop_tri_v4:19:946540:948316:-1 gene:Potri.019G003800.v4.1 transcript:Potri.019G003800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003800.v4.1 MELMEDVVIVGAGIAGLATAVALKRVGVRALVLEKSEGLRSTGTALTLTPNAWLALDALGVSHKLIPLYTPSFKGYVTNVSTGEVQEVLYPRQGIRTLHRKVLLEALAEELATDSIRFSSRLAAIQSLEQGGDASMAVVHLEDGTTIKSKVLIGCDGVHSVVARWLGLAELVHSGRSAVRGLAVFPQGHGFKQEVRFFLDESTNRPGFVPLNDRELYWFLVSQGENMEGEAEQIQREVLEKCTEKFPSEYLDVVRHADLSSLSWAPLMFRPPWGIIFGKLSKGNVTVAGDAMHPMTPDLGNGGGASLEDAVVLGRHIGNSIINNGGLIVPGDMARAIDDYVKERRWRAAMLVTASYLSGRMQQGDKWWIKFLRDRAFYKYFFSWLARLAVVYDCGKLPAISYGEADRSSKKD >Potri.009G168600.5.v4.1 pep chromosome:Pop_tri_v4:9:12848944:12853874:1 gene:Potri.009G168600.v4.1 transcript:Potri.009G168600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168600.v4.1 MGNTCRGSFKGILHQGYNQPDEQSTVTASNSKRHASSDHSNSEHSFSSLTSQELTPKKDSNLPLISPTKKDTIMRRSVDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTEILTGMEYACKSICKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPLISDSAKDLIRKMLCSSPSERLTAHEVLCHPWICENGVAPDRALDPAILSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFMAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYKEFVAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIKEVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGALYLGNM >Potri.009G168600.4.v4.1 pep chromosome:Pop_tri_v4:9:12848905:12853891:1 gene:Potri.009G168600.v4.1 transcript:Potri.009G168600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168600.v4.1 MGNTCRGSFKGILHQGYNQPDEQSTVTASNSKRHASSDHSNSEHSFSSLTSQELTPKKDSNLPLISPTKKDTIMRRSVDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTEILTGMEYACKSICKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPLISDSAKDLIRKMLCSSPSERLTAHEVLCHPWICENGVAPDRALDPAILSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFMAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYKEFVAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIKEVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGALYLGNM >Potri.009G168600.3.v4.1 pep chromosome:Pop_tri_v4:9:12848914:12854497:1 gene:Potri.009G168600.v4.1 transcript:Potri.009G168600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168600.v4.1 MGNTCRGSFKGILHQGYNQPDEQSTVTASNSKRHASSDHSNSEHSFSSLTSQELTPKKDSNLPLISPTKKDTIMRRSVDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTEILTGMEYACKSICKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPLISDSAKDLIRKMLCSSPSERLTAHEVLCHPWICENGVAPDRALDPAILSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFMAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYKEFVAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIKEVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGALYLGNM >Potri.009G168600.2.v4.1 pep chromosome:Pop_tri_v4:9:12848886:12854032:1 gene:Potri.009G168600.v4.1 transcript:Potri.009G168600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168600.v4.1 MGNTCRGSFKGILHQGYNQPDEQSTVTASNSKRHASSDHSNSEHSFSSLTSQELTPKKDSNLPLISPTKKDTIMRRSVDNQAYYVLGHKTPNIRDLYTLGRKLGQGQFGTTYLCTEILTGMEYACKSICKRKLISKEDVEDVRREIQIMHHLAGHKNIVTIKGAYEDPLYVHIVMELCSGGELFDRIIQRGHYSERKAAELTKIIVGVVEACHSLGVMHRDLKPENFLLVNKDDDFSLKAIDFGLSVFFKPGQIFTDVVGSPYYVAPEVLLKHYGPEADVWTAGVILYILLSGVPPFWAETQQGIFDAVLKGYIDFDSDPWPLISDSAKDLIRKMLCSSPSERLTAHEVLCHPWICENGVAPDRALDPAILSRLKQFSAMNKLKKMALRVIAESLSEEEIAGLKEMFMAMDTDNSGAITFDELKAGLRRYGSTLKDTEIRDLMDAADVDNSGTIDYKEFVAATVHLNKLEREEHLVAAFQYFDKDGSGYITVDELQQACAEHNMTDVLLEDIIKEVDQDNDGRIDYGEFVAMMQKGNAGIGRRTMRNSLNMSMRDAPGALYLGNM >Potri.002G112500.1.v4.1 pep chromosome:Pop_tri_v4:2:8491552:8492102:-1 gene:Potri.002G112500.v4.1 transcript:Potri.002G112500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G112500.v4.1 MSVSSIFGSPFLLAPKPSTTPSFKPVNGEFKTVPIGTGFGHGGMSIECSSRPQKKATAHHRKTRPRKSQPWDIKRKPTVYAPLPPLPPDWTLVSSSGDGDGDGDGDGADLPSTTALEAPVTSG >Potri.004G212751.1.v4.1 pep chromosome:Pop_tri_v4:4:21945746:21947087:1 gene:Potri.004G212751.v4.1 transcript:Potri.004G212751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G212751.v4.1 MRKGAASVGAAAVGRRWVLRWEEAVKGTPAGKVRMASPKLVVEGIGCCCVAWWRPALMTLWAAVCWLRPCSVVGEERKSAERGKTWCVAGEGGDGCGRKEALGSCGEESFQPGGWGPRENGRKRMIWFSERMEMGALVL >Potri.018G064800.1.v4.1 pep chromosome:Pop_tri_v4:18:7734902:7743580:1 gene:Potri.018G064800.v4.1 transcript:Potri.018G064800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064800.v4.1 MVSYVSAFLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYSITPARLRLLYEDVWLRSSDGVRLHAWFIKLLPECRGPTVLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGIAKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGTGSKGPKILNFLVRSPWSTIDIVGQINQPILFLSGLQDEMVPPSHMQMLYAKVASHNRECIFVEFPNGMHMDTWLAGGDHYWRTIQQFIGNHVPEIKEHESSHDDKVAAIKSSL >Potri.018G064800.5.v4.1 pep chromosome:Pop_tri_v4:18:7735118:7743480:1 gene:Potri.018G064800.v4.1 transcript:Potri.018G064800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064800.v4.1 MVSYVSAFLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYSITPARLRLLYEDVWLRSSDGVRLHAWFIKLLPECRGPTVLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGIAKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGTGSKGPKILNFLVRSPWSTIDIVGQINQPILFLSGLQDEMVPPSHMQMLYAKVASHNRECIFVEFPNGMHMDTWLAGGDHYWRTIQQFIGNHVPEIKEHESSHDDKGDSFSS >Potri.018G064800.4.v4.1 pep chromosome:Pop_tri_v4:18:7735006:7743576:1 gene:Potri.018G064800.v4.1 transcript:Potri.018G064800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064800.v4.1 MVSYVSAFLYGVGGIVVAGMALLVAFQEKLVYVPVLPGLTKSYSITPARLRLLYEDVWLRSSDGVRLHAWFIKLLPECRGPTVLFFQENAGNIAHRLEMVRIMIQRLQCNVFMLSYRGYGASDGYPSQHGIAKDAQAALDHLSQRTDIDTSRIVVFGRSLGGAVGALLTKNNPDKVAALILENTFTSILDMAGVLLPFLKWFIGGTGSKGPKILNFLVRSPWSTIDIVGQINQPILFLSGLQDEMVPPSHMQMLYAKVASHNRECIFVEFPNGMHMDTWLAGGDHYWRTIQQFIGNHVPEIKEHESSHDDKDTEGM >Potri.007G077500.1.v4.1 pep chromosome:Pop_tri_v4:7:10189997:10190362:1 gene:Potri.007G077500.v4.1 transcript:Potri.007G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G077500.v4.1 MEKSKSFSGYSNAYSEIRLGFEERSGSYSFNGPAGKVDDVELESSGNPELKRRKRVAQYNMYTMEGKIKSSLRNSFKWIKSKLVDDYFDD >Potri.001G201900.8.v4.1 pep chromosome:Pop_tri_v4:1:20180035:20188737:1 gene:Potri.001G201900.v4.1 transcript:Potri.001G201900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201900.v4.1 MDMYTYDLSLLDFSDPFFDPISTSHLHHLLHRSSSSSSSSSKSSTVIDRHDKPKSPPRHRHDGTSPLPLGMDWCLPPRKWDGRNSVWPHDPHTGWSYCVTIPSWILVPKSRGSDPVAFYRVQVGVLSPEGLTTTRGILRRFSDFLNLFYELKKAFPLKTLPPAPPKTILRMKSRTSVEERRCSLEDWMEKLLSDIDVSRSAPVGTFLELEAAARSSFDDANQQGEDVDSSVIGMVPSFLLQTSSDGSVLAGSTSVASYHDYDSPGEMSGFATPRIERDINDDLGMEASMSDQNISDPTETPVKHSRFSKSSVLENLERVKSITGRDKHADSTSKAKSFLGDETVPLPEQEYLRLDGHIRQLSTESIESDISSVRASEISNLGMGNLFGDNSIDCPEGTESSKIIDASVSSDLQLSRDVLVALPSDERHKLNRVLNTLQQRLATAKTDVEDLIARLNQEIAVRQFLTTKVCLTMLLCFLAQL >Potri.001G201900.1.v4.1 pep chromosome:Pop_tri_v4:1:20180059:20188748:1 gene:Potri.001G201900.v4.1 transcript:Potri.001G201900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201900.v4.1 MDMYTYDLSLLDFSDPFFDPISTSHLHHLLHRSSSSSSSSSKSSTVIDRHDKPKSPPRHRHDGTSPLPLGMDWCLPPRKWDGRNSVWPHDPHTGWSYCVTIPSWILVPKSRGSDPVAFYRVQVGVLSPEGLTTTRGILRRFSDFLNLFYELKKAFPLKTLPPAPPKTILRMKSRTSVEERRCSLEDWMEKLLSDIDVSRSAPVGTFLELEAAARSSFDDANQQGEDVDSSVIGMVPSFLLQTSSDGSVLAGSTSVASYHDYDSPGEMSGFATPRIERDINDDLGMEASMSDQNISDPTETPVKHSRFSKSSVLENLERVKSITGRDKHADSTSKAKSFLGDETVPLPEQEYLRLDGHIRQLSTESIESDISSVRASEISNLGMGNLFGDNSIDCPEGTESSKIIDASVSSDLQLSRDVLVALPSDERHKLNRVLNTLQQRLATAKTDVEDLIARLNQEIAVRQFLTTKVKDLEVDLETTRNNCKENMQQAVLIERERFTQTQWDVEELRRQCLELELKLKHEQDEKACSESAKISILHDNKMLLQQLDVARKDFEDLNKDHEELQVKSKADVKLLVKEVKSLRNSQRELKQELSRLMKEKLEVERAVHKEKQITENVIAANAKLLHECEILHNRLEECSVNFLIEEEDKLIVDTSSPSDAIDLLTTSDNRIGLLLAEAQLLAQDLENSVARLDETHNTNDSDRTENELRKMLTETFIDNARLRMQVNSIIRCALNIHLKSDKDDEDEEAPLRKTVLSKFLER >Potri.002G021150.1.v4.1 pep chromosome:Pop_tri_v4:2:1328007:1328213:-1 gene:Potri.002G021150.v4.1 transcript:Potri.002G021150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021150.v4.1 MVEKKENGIWEKDTAEVQFLGPFRCESMVNPSQLFAQQVIKICSTCLESLKASEEAVQVGLPIATSDK >Potri.004G189000.1.v4.1 pep chromosome:Pop_tri_v4:4:20148941:20154165:-1 gene:Potri.004G189000.v4.1 transcript:Potri.004G189000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189000.v4.1 MGEVSQKIMIPESFQFQVSSYDISGQLKLIWDLIKAPLIVPLLTLGVYISLAMSLMLLMERVYMGIVIILVKLFWKKPEKRYKWEPMQDDIESGNLNFPVILVQIPMFNEKEVYKLSIGAASNLSWPADRLVIQVLDDSTDPAIKQMVELECQRWASKGINIRYQIRENRTGYKAGALKEGLKRSYVKHCEYVCIFDADFQPEPDFLRRAIPFLVHNPDVALVQARWRFVNADECLLTRMQEMSLDYHFTVEQEVGSATHAFFGFNGTAGVWRIAAINDAGGWKDRTTVEDMDLAVRASLRGWKFVYLGDLHVKSELPSTFKAFRFQQHRWSCGPANLFRKMVMEIVRNKRVNFWKKVYVIYSFFFVRKIIAHMVTFCFYCVVLPLTILVPEVKVPIWGAVYIPSVITILNSVGTPRSIHLLFYWILFENVMSLHRTKAALIGLLEAGRVNEWVVTEKLGNTLQKAADARKANTKAPRKFRFKFTDRINTLELGFAAFLFLCGCYDFVNGKNNYFIYLWLQTVTFFITGIGYVGTIIPSS >Potri.013G006800.2.v4.1 pep chromosome:Pop_tri_v4:13:448254:451348:1 gene:Potri.013G006800.v4.1 transcript:Potri.013G006800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006800.v4.1 MPHPPQNRSFYNLLIQYADQKSLKKGQILHAHIIKIPYLSSCNYLANNLIKFYAKCGHLHGAKLVFENLKHKNVVSYNCLIHGLSHNGSKGSNFVLELFRRMIANNILPDAHTFPGVFTAAALNLGCNFDARQVHVLGIKTASIDDVFVGSSLVNFYCKVGCVFEARKLFDRMPERNLVSWTTMISGYASKQMAKEALGVFGLMRLVEGNLNEFVFTSVLSALVCPEFVDSGKQVHCVVVKNGVLEFVSVLNALVTMYAKCGNLNYSLMLFEMCSDKNAITWSALITGYSQAGDSHKALKLFSKMHYAGFVPSEFTLVGVLKACSDVAAIEEGKQTHGYLLKSGYETQIYTATALVDMYAKFGFTGDARKGFDFLLEPDLVLWTSIIAGYVQNGKNEEALSMYGRMQMRKILPNELTMASVLKACSNLAALEQGKQIHARTIKYGLGPELSIRSALSTMYAKCGSLEEGVLIFRRMLQRDIVSWNAMISGLSQNGHGREALELFEEMRLEGTKPDHITFVTVLSACSHMGIVKRGWAYFNMMFDEFGLVPRVEHYACMVDVLSRAGKLNEAKEFIESAIIDHGMCLWRILLPACRNHCNYELGAYAGEKLMELGSRESSAYVLLSSIYTAMGRLADVVRVRRMMKVRGVRKETGCSWIELKSHVHVFVVGDQIHPQIEEIQGAIWRLRKHMKDDGYRPGHESASVSV >Potri.013G006800.3.v4.1 pep chromosome:Pop_tri_v4:13:448199:451012:1 gene:Potri.013G006800.v4.1 transcript:Potri.013G006800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006800.v4.1 MPHPPQNRSFYNLLIQYADQKSLKKGQILHAHIIKIPYLSSCNYLANNLIKFYAKCGHLHGAKLVFENLKHKNVVSYNCLIHGLSHNGSKGSNFVLELFRRMIANNILPDAHTFPGVFTAAALNLGCNFDARQVHVLGIKTASIDDVFVGSSLVNFYCKVGCVFEARKLFDRMPERNLVSWTTMISGYASKQMAKEALGVFGLMRLVEGNLNEFVFTSVLSALVCPEFVDSGKQVHCVVVKNGVLEFVSVLNALVTMYAKCGNLNYSLMLFEMCSDKNAITWSALITGYSQAGDSHKALKLFSKMHYAGFVPSEFTLVGVLKACSDVAAIEEGKQTHGYLLKSGYETQIYTATALVDMYAKFGFTGDARKGFDFLLEPDLVLWTSIIAGYVQNGKNEEALSMYGRMQMRKILPNELTMASVLKACSNLAALEQGKQIHARTIKYGLGPELSIRSALSTMYAKCGSLEEGVLIFRRMLQRDIVSWNAMISGLSQNGHGREALELFEEMRLEGTKPDHITFVTVLSACSHMGIVKRGWAYFNMMFDEFGLVPRVEHYACMVDVLSRAGKLNEAKEFIESAIIDHGMCLWRILLPACRNHCNYELGAYAGEKLMELGSRESSAYVLLSSIYTAMGRLADVVRVRRMMKVRGVRKETGCSWIELKSHVHVFVVGDQIHPQIEEIQGAIWRLRKHMKDDGYRPGHESASVSV >Potri.019G039401.1.v4.1 pep chromosome:Pop_tri_v4:19:5444169:5445701:1 gene:Potri.019G039401.v4.1 transcript:Potri.019G039401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039401.v4.1 MSPQRKMRNSPKSPSARTNSPVKGLVSPSSHSATVINRITTQPSTRHQSKRSSQRNSGRISPGNQHTKLWPNGERHRKSPIGSSRMLIGFNSSK >Potri.001G405700.2.v4.1 pep chromosome:Pop_tri_v4:1:43312918:43316566:1 gene:Potri.001G405700.v4.1 transcript:Potri.001G405700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405700.v4.1 MNPGQLTLLASTFCVMLTMHFSGQLLAEHLLSWKKPKEQKAIIIIILMAPIYAIDSFVGLVDFQGSKAFFMLLESVKECYEALVIAKFLALLYSYLNISISKNIVPDDIKGREIHHSFPMTLFQPHTVRLNHHTLKLLKYWTWQFVVIRPICSILMISLQILGLYSGWVSWTFTIILNISVSLALYSLVLFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQGVVLDILVALGIIRSHHFWLDVEHIEEALQNALVCLEMVFFSAFQKYAYSATPYRDDIAAINVKLDRKKD >Potri.001G405700.3.v4.1 pep chromosome:Pop_tri_v4:1:43312918:43316576:1 gene:Potri.001G405700.v4.1 transcript:Potri.001G405700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405700.v4.1 MNPGQLTLLASTFCVMLTMHFSGQLLAEHLLSWKKPKEQKAIIIIILMAPIYAIDSFVGLVDFQGSKAFFMLLESVKECYEALVIAKFLALLYSYLNISISKNIVPDDIKGREIHHSFPMTLFQPHTVRLNHHTLKLLKYWTWQFVVIRPICSILMISLQILGLYSGWVSWTFTIILNISVSLALYSLVLFYHVFAKELAPHKPLAKFLCIKGIVFFCFWQGVVLDILVALGIIRSHHFWLDVEHIEEALQNALVCLEMVFFSAFQKYAYSATPYRDDIAAINVKLDRKKD >Potri.003G135300.1.v4.1 pep chromosome:Pop_tri_v4:3:15322170:15325849:1 gene:Potri.003G135300.v4.1 transcript:Potri.003G135300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G135300.v4.1 MRLPGADLFGPRIGMDSDFSPVEYRSDYDFGFAFNDSNFSDRVLKIEIVADLPDAKSVGDGCSSITEWARNRKRRREDIMKDKAVEVVGQNKDEQVLNFNIPDTENNVAYENQDVEAVVMTEGSPTDAQLDFNQRGDAAGPSSDSSWSMDCSTILRVKCIYISSAILAAKSLFFHKLFLNGIKESEQRDVTVQIHASEEEALLDLLNFMYSNTLSATRATALLDVLLAADKFKVASCMRYCSRLLRSIPMTCESALLYLDLPSSILMAEAVQPLTDAAKQFLAVKYKEISKFQEEVLKLPLAGIEAVLSSDDLQAASEDTLYDLVLKWARTHYPKLEERKEVLAKRLVLLIRFPYMTCRKLKKVLNCNEFHPELASKVVLEALFFKAETSHRQRAIAADEPNAVNRRFVERAYKYRPVKVVELELPHQQCVVYLDLKREECAQLFPTGRVYSQAFHLGGQGFFLSAHCNMDQQGTYHCFGLFLGMQEKGSVSFAVDYEFAARSKQTEEYVSKYKGNYTFTGGKAVGYRNLFGVHWQAFIEDDSNNFINGILHLRAELTIRQ >Potri.013G033300.3.v4.1 pep chromosome:Pop_tri_v4:13:2152085:2161913:-1 gene:Potri.013G033300.v4.1 transcript:Potri.013G033300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033300.v4.1 MTSVVSSQAMYCFFSTPEITPRFRVRVQSGSGGGNSKVSPSDSALVIGEKDKKFGGRIDSWNASLKCGIKKKSVKDVISSDLDVLWDDGYGTKTAKDFFEGAKEMIRPDGGPPRWFCPTECGQPLKDSPILLFCPGIVGVGLALTLHHKALGKVFEVRCLHIPVNDRTPFEGLVKFVEETVRLEHASSPNKPIYLVGDSFGGCLVLAVAARNPEIDLVVILANPATSFDRSQLRPLIPLWEALPDGLYNALPYLLSFVMGNPVEMARVNIEYRLPPRLQIEQLFQNLIALLPHLSDLVDIIPKDTLIWKLKLLKSAASYANSRLHAVKAEVLVLSSGNDHMLPSGDEAQRLKRTLKNCTVRYFKDNGHNILMEGGVNLLTVIKGTGKYRRSRRIDLVLDFIPPSMSEFKQGYDEVIGLLRFATGSAMFSTLNDGKIVKGLHGVPNEGPVLLVGYHMLMGLEVYSLVPEFLREKNIMVRGVTHPVVFRERQGVSSPEFSLADWMKVMGAVPVTASNLFNLLSAKSHVLLYPGGAREALHNRGEEYKLFWPDQQEFVRMAARFGATIVPFGTVGEDDVAELVLDYNDFMKIPVINDYIRDANRNSIRLRDKSKGEVANQELYLPGILPKVPGRFYFLFGKPIETKDRKEEILEDRENANQLYLHIKSEVERCIAYLLKKREEDPYRSIVDRTVYRALHSPLHEVPAFDP >Potri.013G033300.4.v4.1 pep chromosome:Pop_tri_v4:13:2152085:2161855:-1 gene:Potri.013G033300.v4.1 transcript:Potri.013G033300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033300.v4.1 MTSVVSSQAMYCFFSTPEITPRFRVRVQSGSGGGNSKVSPSDSALVIGEKDKKFGGRIDSWNASLKCGIKKKSVKDVISSDLDVLWDDGYGTKTAKDFFEGAKEMIRPDGGPPRWFCPTECGQPLKDSPILLFCPGIVGVGLALTLHHKALGKVFEVRCLHIPVNDRTPFEGLVKFVEETVRLEHASSPNKPIYLVGDSFGGCLVLAVAARNPEIDLVVILANPATSFDRSQLRPLIPLWEALPDGLYNALPYLLSFVMGNPVEMARVNIEYRLPPRLQIEQLFQNLIALLPHLSDLVDIIPKDTLIWKLKLLKSAASYANSRLHAVKAEVLVLSSGNDHMLPSGDEAQRLKRTLKNCTVRYFKDNGHNILMEGGVNLLTVIKGTGKYRRSRRIDLVLDFIPPSMSEFKQGYDEVIGLLRFATGSAMFSTLNDGKIVKGLHGVPNEGPVLLVGYHMLMGLEVYSLVPEFLREKNIMVRGVTHPVVFRERQGVSSPEFSLADWMKVMGAVPVTASNLFNLLSAKSHVLLYPGGAREALHNRGEEYKLFWPDQQEFVRMAARFGATIVPFGTVGEDDVAELVLDYNDFMKIPVINDYIRDANRNSIRLRDKSKGEVANQELYLPGILPKVPGRFYFLFGKPIETKDRKEEILEDRENANQLYLHIKSEVERCIAYLLKKREEDPYRSIVDRTVYRALHSPLHEVPAFDP >Potri.014G022700.1.v4.1 pep chromosome:Pop_tri_v4:14:1367921:1369788:1 gene:Potri.014G022700.v4.1 transcript:Potri.014G022700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022700.v4.1 MFKLLMLLLMFLSSLAANVSQDIFILAGQSNMAGRGGVEHGKWPDGNVPPECRPNPSTLRLSAKLTWEEAHEPLHADIDVGKTCGIGPGMAFVDGLRANGSRIGVVGLVPCAVGGTKISKWARGTQLYSQLVSRAGASVKDGGTIRAILWYQGESDTVTKEDADAYKGNMETLITNLRTDLNIPSLPVIQVALASGEGKFIETVRSSQLAINLPNVKCIDAQGLALQRDNLHLTTMSQVQVGLKLASAFIDSFGNMP >Potri.001G267700.4.v4.1 pep chromosome:Pop_tri_v4:1:28275241:28279406:-1 gene:Potri.001G267700.v4.1 transcript:Potri.001G267700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267700.v4.1 MNGNRQMEVHYMNTDFPYTTTESFMDFFEGLTHAPVNYAHNGPMHDQDNAYWSMNMNAYKFGFSGLGSTSYYSPYEVNDNLPRMDVSRMAWEYPSVVSAEEPTTTDTQFEGDANMGVHAIPEESISNQVSTNSPQALWQDDVDPDTMTYEELVDLGETVGTQSKGLSPELISLLPTSKCKFGSFFSRKRSGERCVICQMKYKRGDKQIKLLCKHAYHSECITKWLGINKVCPVCNDEVFGEESRN >Potri.001G267700.2.v4.1 pep chromosome:Pop_tri_v4:1:28275229:28279406:-1 gene:Potri.001G267700.v4.1 transcript:Potri.001G267700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267700.v4.1 MNGNRQMEVHYMNTDFPYTTTESFMDFFEGLTHAPVNYAHNGPMHDQDNAYWSMNMNAYKFGFSGLGSTSYYSPYEVNDNLPRMDVSRMAWEYPSVVSAEEPTTTDTQFEGDANMGVHAIPEESISNQVSTNSPQALWQDDVDPDTMTYEELVDLGETVGTQSKGLSPELISLLPTSKCKFGSFFSRKRSGERCVICQMKYKRGDKQIKLLCKHAYHSECITKWLGINKVCPVCNDEVFGEESRN >Potri.015G137900.3.v4.1 pep chromosome:Pop_tri_v4:15:14608309:14611192:1 gene:Potri.015G137900.v4.1 transcript:Potri.015G137900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137900.v4.1 MQPRCLKEVSQACLSGCCPSPILGFSEPLNKISKPRSTSATCRQNFAKTTTSSIFPNTHFTNPESLPSLQESFNGFIEVYPQYSDTYQVDQTRAQEYNHLALSNHTCLDYIGIGLFSYAQLQKLDSEKQILPSASSPPQNMHIPFFSVSYKTGNLKTQLLHGGQESALESAMKKRIMSFLNISENDYSMVFTANRTSAFKLLAESYPFKTSRKLLTVYDYESEAVEAMINSSDKKGAQVMSAEFSWPRLRIQSAKLRKMVEMKSKRKKTKRGLFVFPLHSRMTGARYPYLWMNIAKENGWHILIDACALGPKDMDSFGLSLIRPDFLICSFYKIFGENPSGFGCLFVKKSTVPLLEDSVSAGMVSLVPANKMFRLVDEFSGTDSDFEHLSKLGLQEDELDSSNSFSGPISSQTMHSGRVEQGETSESQTTGTTAKQKVSKTSDIVESGKSAEVMRQENGILEIECRGLDQVDSLGLTRISNRARCLINWMVNALLKLKHPNTGEIPLVRIYGPRVKFDRGPALAFNLFDWKGEKVEAPLVQKLADRSNISLSYGFLHHISFSDEYEEEKATVLEKRVNGAKGTVTNKRKEKADFGITVVTVALGVLANFEDTYRFWAFIAQFLDADFVEKAKWRYTALNQKTVEV >Potri.002G208100.5.v4.1 pep chromosome:Pop_tri_v4:2:18300819:18305439:-1 gene:Potri.002G208100.v4.1 transcript:Potri.002G208100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208100.v4.1 MKPRQQQKRLCDYCNDTTALLYCRADSAKLCFSCDHEVHSTNQLFSKHTRSLLCDACHASPVSIFCQTEHSVFCQNCDWERHSLSSLSSTHIRRPIEGFTGCPSGNELMTILGFEDLGLKKSLFFSEESDGFLGSELDDGCSDLFLWDSPAVCIDDLIVSSDSGSNFQTLGVPPLPKNRNAACGQHKEEILCQLRELVRLKPDPYGNADIDPANILQSLDADPQPPNLNTIGDPGAFTSYKENLPDWLADYGEAANQVLFLSTLPSSNFEESCAVPDKEFNIIGSASHVHDDHAAEPQHLTIETLPALPNVVTHELNSQERDSAISRYKEKKKTRRYSKHIRYESRKVRAEGRTRIRGRFAKMDH >Potri.002G208100.6.v4.1 pep chromosome:Pop_tri_v4:2:18301364:18305460:-1 gene:Potri.002G208100.v4.1 transcript:Potri.002G208100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208100.v4.1 MKPRQQQKRLCDYCNDTTALLYCRADSAKLCFSCDHEVHSTNQLFSKHTRSLLCDACHASPVSIFCQTEHSVFCQNCDWERHSLSSLSSTHIRRPIEGFTGCPSGNELMTILGFEDLGLKKSLFFSEESDGFLGSELDDGCSDLFLWDSPAVCIDDLIVSSDSGSNFQTLGVPPLPKNRNAACGQHKEEILCQLRELVRLKPDPYGNADIDPANILQSLDADPQPPNLNTIGDPGAFTSYKENLPDWLADYGEAANQVLFLSTLPSSNFEESCAVPDKEFNIIGSASHVHDDHAAEPQHLTIETLPALPNVVTHELNSQERDSAISRYKEKKKTRRYSKHIRYESRKVRAEGRTRIRGRFAKMDH >Potri.012G108301.1.v4.1 pep chromosome:Pop_tri_v4:12:12949968:12950762:-1 gene:Potri.012G108301.v4.1 transcript:Potri.012G108301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108301.v4.1 MVQRLTYRKRHSYATKSNQHRVVKTPGGKLVYQTTKKRASGPKCPVTGKRIQGGHGIVSGRRGSGDPCVNVTIGDKQ >Potri.001G007232.1.v4.1 pep chromosome:Pop_tri_v4:1:513830:514469:1 gene:Potri.001G007232.v4.1 transcript:Potri.001G007232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G007232.v4.1 MHAVNTNIFLQVPSLLISSCRSYLRSKEIQTGEVVDGFSCGGECS >Potri.001G068000.1.v4.1 pep chromosome:Pop_tri_v4:1:5111833:5114738:-1 gene:Potri.001G068000.v4.1 transcript:Potri.001G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068000.v4.1 MEFSNIEESNGIITEEEENGTEINEIEQRKVRLMRAFVEREDPSVKEVDDLMIRRFLRARELDIEKASTLFLKYLSWRRSIIPNGFISSSEIPNELAQNKLFMQGIDKQNRPIVVVFGARHKPYKGSLEEFKRFVAYTLDRICARMPAGQEKFVSIADLEGWGYTNSDIRGYLAALSILQDCFPERLGKLFIVHVPYIFMTAWKVVYPFIDSKTKKKIIFVENKKLRSTLLGDIDESQLPDVYGGKLSLVPIQDD >Potri.001G414700.1.v4.1 pep chromosome:Pop_tri_v4:1:43706422:43707938:-1 gene:Potri.001G414700.v4.1 transcript:Potri.001G414700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G414700.v4.1 MKGCELCGSSARMFCESDQASLCWDCDEKVHSANFLVAKHCRTLLCQVCQSPTPWKSSGSKLAPTVSVCESCFAVHKNNKKQLQDLNVMASDQESREAGNDYDESENDREFDDDDTDDDSDEYDEEEDEEEDGDNQVVPWSGLTASSSPSIAPPVASSSSSEEEISCAGGNGFLKRMRDSNVDLDSDDETGCSSSHNLRGGSMSTEEGNSLSSSRPWKQARTSVHVEEDGHDGQAMSRSSAIIDSLKRLQKDLVANGENASAAILGICKLSRDQSL >Potri.008G078000.1.v4.1 pep chromosome:Pop_tri_v4:8:4823996:4824933:-1 gene:Potri.008G078000.v4.1 transcript:Potri.008G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G078000.v4.1 MGASITLGGANSSVSQSHYHTHKVFLFCNYILLGAASSCIFLTLSLRLVPSVCGFFLILLHVFTIVGAVSGCAAASSGTNKWYAAHMVATVLTAIFQGSVSVLIFTRTGGFLGNLKSYVREEDGEVILKLAGGLCVVIFCLEWVVLTLAFFLKYYAYVEGDANDGGNIATRRSAKVQQDEDLKDWPWPFQV >Potri.005G035600.1.v4.1 pep chromosome:Pop_tri_v4:5:2284287:2286785:-1 gene:Potri.005G035600.v4.1 transcript:Potri.005G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G035600.v4.1 MDQQDSNSNKENQSCSSSDSNPCPICLAPFLQESYLDTCFHKFCYKCILQWTKVVSRKESRRPSSVKCPLCKTDNFSLIYGYDGSSFQRHYVNQGFEDSSFFSKAHKYRLQCYYTEPGILNDAINVSRYWKLRKYLQPNRWLQSWLRREVQALLQEEDIEVILYHILGTVNSFFSRNEHMRQTKTPETKQEEFKAVVSNAARPFLTAKTDRFVIELELFLASGLNIEAYDEVYLQQMGWNTPKTTEAGGESIEHNPVVPYLYIFDANSEND >Potri.002G111300.2.v4.1 pep chromosome:Pop_tri_v4:2:8393564:8394773:1 gene:Potri.002G111300.v4.1 transcript:Potri.002G111300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111300.v4.1 MIFDLLLQAGLILLGVFMFLAMHNIPQKFLSKLRYRNRTDLQAKRHFVLGAQLIAQARSPSNSRSTATSLAKQAEDEANKAISLDPKDAASHILKALSLDLQGFRTSALESINVALSPLAVKSLSASEKGDALFKRAELAMGMNRRGRVEPAIQDLTEAVKLNKDNAKAFCLLGECYEANKMIEEAKEAYEEALRLQPELASAKEKLDLLRS >Potri.006G091300.7.v4.1 pep chromosome:Pop_tri_v4:6:6944166:6948367:-1 gene:Potri.006G091300.v4.1 transcript:Potri.006G091300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091300.v4.1 MDWGNVTAEDLIDALREVDWSSPPRPLSEFFSRFTLPKSSSKWNSRLKCNLYYYRTNYFIMMILIMGLGFLRRPLAIVAALLTALSIAFMNDSFAGTFSEKVMRTVRQFSPHLAAKMRPPLTPGIRGRPSAKRAIYICGRPRWFFVMIFSFVSFTLWYVSCGLLTVLWALAIGLLATILHASFRTPNLKARLNTFREEFRAVWRNYSEL >Potri.018G018600.2.v4.1 pep chromosome:Pop_tri_v4:18:1269659:1275952:1 gene:Potri.018G018600.v4.1 transcript:Potri.018G018600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018600.v4.1 MKFMKLGSKPDAFQADGKSLRYVASELAADVIINVGEVKFNLHKFPLLSKSNRLQKLVSKAGENSDEIDMVDFPGGPKAFEICAKFCYGMIVTLNAYNVVAARCAAEYLEMTEDVDRGNLIFKIEVFLNSSIFRSWKDSIIVLQTTKSLLPWSEDLKIVGRCIDSIASKTSVDPASITWSYTYNRKLSVPDKIVEEGINFREKIESVPKDWWVEDICELDIDLYKRVMITVKSKGRMDGKVIGEALKTYAVRWLPDSFDDSVSDARTWRYKYLVETLICLLPPDKGVGCSCSFLLKLLKFAILVGVNDSPREDLVKRISLKLHEASVKDLLVPARPPQNTLYDVELIQCIVNRYLMHEKYNQDEKNQTNGDFILGHEHVTLMNLGKLIDGYLAEIAHDPNLTIASFIDLSRSIPDSARPIQDGLYKAIDIYLKEHLSLTKAEKKKICGLMDVKKLTMEASMHAAQNERLPLRVVVQVLFFEQVRAASGVQALNNNARDTSNSTTNTDEEWEKTAADDNKSLKKQMSRMKIKDEDFRKNGKLLKKNSKSTKSGVQLLPSRSRRIFDRLWVVGRGHVENRSSETSGSSQSPTSVAPGDTKSFGSSSRQRRHSIS >Potri.004G062900.2.v4.1 pep chromosome:Pop_tri_v4:4:5307078:5308223:-1 gene:Potri.004G062900.v4.1 transcript:Potri.004G062900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062900.v4.1 MVSKSLKRSPTKSMKDPRNHRPRPRRCNKRKSPVKTTSSIISTINKTIFTCKRRLSKLFSKLARISTPNSRYKDYKILKTGSKYHDLEVEKDTVCRALFFNERLPPLISPDKRTVFLDLDETLVHSKAGPPPQEFDFVVRPKIDGEMMIFYVLKRPGVDAFLEALGTKYEVVVFTAGLKEYATLVLDRIDPKGVISHRLYRDSCKQVDGKFVKDLSEMGRDLKRVVIVDDNPSCYIYQPENAIPVKPFLDDLGDLELGKLATFFDRCDCFEDMRDAVKEYVGGEGDVEVQVEV >Potri.018G044100.1.v4.1 pep chromosome:Pop_tri_v4:18:3683969:3688102:1 gene:Potri.018G044100.v4.1 transcript:Potri.018G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G044100.v4.1 MASLAFSAKLGRCISPSNAMVSDQYESKREGLSFGKGIFARKRDPRDSVVTKVATQAPTVIPSLEKELAGDRNHVAWTSVQQERWEGELAVEGEIPLWLNGTYLRNGPGLWHIGSYNFRHLFDGYATLVRLHFENGRLIAGHRQIESEAYKAAKNNNKLCYREFSEVPKFDNFLAYIGELANLFSGASLTDNANTGVVKLGDGRVVCLTETQKGSIIVDPNTLDTLGKFEYSDSLGGLIHSAHPIVTDTEFLTLLPDLLKPGYLVVRMEPGSNERKVIGRVDCRGGPAPGWVHSFPVTEHYVIVPEMPLRYCAQNLLKAEPTPLYKFEWHPDSKGFMHVMCKASGNIVASVEVPLYVTFHFINAYEEKDEDGRVTAIIADCCEHHADTTILERLRLQNLRAFMGEDVLPDARVGRFIIPLDGSPYGKLEAALDPEEHGKGMDMCSFNPAYLGKKYRYAYACGAQRPCNFPNTLTKIDLFEKKAKNWYEEGAVPSEPFFVARPGATEEDDGVLISMISEKNGDGYALLLDGSTFEEIARAKFPYGLPYGLHGCWVPKK >Potri.009G114800.2.v4.1 pep chromosome:Pop_tri_v4:9:9717290:9718269:1 gene:Potri.009G114800.v4.1 transcript:Potri.009G114800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114800.v4.1 MKSSFAFFVLFSLFSQFADVIGARKDTGEYWRAVMKDQPMPEAIQGLIRETTLSSVSNEKADCHTTESNEKNNFVKDFGPQPTVTSYDNGIKPAKDKSFSKDFHPNTQLFLYNDGVVKGERSFAEDLEPRPNVSVYHDDAALKGENSFPEDFEPGPNVSVYDDGVGLKGKKSFSDEFEPRPSVTAYSN >Potri.009G114800.1.v4.1 pep chromosome:Pop_tri_v4:9:9717292:9718284:1 gene:Potri.009G114800.v4.1 transcript:Potri.009G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G114800.v4.1 MKSSFAFFVLFSLFSFADVIGARKDTGEYWRAVMKDQPMPEAIQGLIRETTLSSVSNEKADCHTTESNEKNNFVKDFGPQPTVTSYDNGIKPAKDKSFSKDFHPNTQLFLYNDGVVKGERSFAEDLEPRPNVSVYHDDAALKGENSFPEDFEPGPNVSVYDDGVGLKGKKSFSDEFEPRPSVTAYSN >Potri.019G113701.3.v4.1 pep chromosome:Pop_tri_v4:19:13482113:13487998:1 gene:Potri.019G113701.v4.1 transcript:Potri.019G113701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G113701.v4.1 MMSPSPSTPSTLTTAQPQGIKYDVFLSFRGEDTRVGFTSHLYAALKREQILTFIDNQLVRGDEISASLLRTIEEAKLSVIVFSANYASSKWCLEELAKIFERRKNNGQIVIPVFYQVDPSHVRNQAGSFGDALARLIKEKALTMDTEQSFGDALTDAANLSGWRLGNSELEAEFIEKIVGDVLEKLHAMSSSHTMAGLFGIGVRVSEVESLLNMESPDVLIVGIWGMGGIGKTTIAKAVYDNVRSRFQGIFFANFRQQSDLQRSFLSQLLGQEILNRGLLSFQDIFVRNRLCRKKVFIVMDDVDNSMALEEWRDLLDGRNSSFGPGSKVLITSRDKQVLNNVVDETYEVEGLNYEEAIQLFSLKALKNCFPTIDQRDLIKQIARHVQGNPLALKVLGSSFYGKSIEEWRSALNKLAQDPQIEKALRISYDGLDSEQKSIFLDIAHFLRRWKKNQAIRILDCFYGRSVTFDISTLIDKCLITTDNSVDGYERLEMHDLLREMAFNIVRAEYKFPGKRSRLCHPPDVVQVLEENKGTEEIEGISLDMSKLSRQIHLKSDAFEMMDGLRFLNFYGRPYSQDDKMHLPPTGLEYLPNKLRYLRWDGFPSKSLPLAFRAEHLVELHLRESKLVKLWTGVKDVGNLRTIDLSKSSYLTELPDLSMAKNLVSLRLKDCPSLTEVPSSLQYLDKLEYINLRCCYNLRSFPMLYSKVLRKLSIYQCLDLTTCPTISQNMKSLRLWGTSIKEVPQSITGKLKVLDLWGCSKMTKFPEVSGDIEELWLSETAIQEVPSSIQFLTRLRELEMNGCSKLESLPEITVPMESLEYLGLSETGIKELPSSIQSLTRLRDLDMSGCSKLESLPEITVPMESLVELNLSKTGIKELPSISFKHMTSLKILKLDGTPLKELPSSIQFLTRLQSLDMSGCSKLESFPQITVPMESLVELNLSKTGIKEIPSISFKHMTSLKILKLDGTPLKELPSSIQFLTRLQSLDMSGCSKLESFPEITVPMESLAELNLSKTGIKELPLSIKDMVCLKKLTLEGTPIKELPLSIKDMVCLEELTLHGTPIKALPELPPSLRYLRTRDCSSLETVTSIINIGRLQLRWDFTNCFKVDQKPLIEAMHLKIQVSL >Potri.019G113701.2.v4.1 pep chromosome:Pop_tri_v4:19:13482113:13487662:1 gene:Potri.019G113701.v4.1 transcript:Potri.019G113701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G113701.v4.1 MMSPSPSTPSTLTTAQPQGIKYDVFLSFRGEDTRVGFTSHLYAALKREQILTFIDNQLVRGDEISASLLRTIEEAKLSVIVFSANYASSKWCLEELAKIFERRKNNGQIVIPVFYQVDPSHVRNQAGSFGDALARLIKEKALTMDTEQSFGDALTDAANLSGWRLGNSELEAEFIEKIVGDVLEKLHAMSSSHTMAGLFGIGVRVSEVESLLNMESPDVLIVGIWGMGGIGKTTIAKAVYDNVRSRFQGIFFANFRQQSDLQRSFLSQLLGQEILNRGLLSFQDIFVRNRLCRKKVFIVMDDVDNSMALEEWRDLLDGRNSSFGPGSKVLITSRDKQVLNNVVDETYEVEGLNYEEAIQLFSLKALKNCFPTIDQRDLIKQIARHVQGNPLALKVLGSSFYGKSIEEWRSALNKLAQDPQIEKALRISYDGLDSEQKSIFLDIAHFLRRWKKNQAIRILDCFYGRSVTFDISTLIDKCLITTDNSVDGYERLEMHDLLREMAFNIVRAEYKFPGKRSRLCHPPDVVQVLEENKGTEEIEGISLDMSKLSRQIHLKSDAFEMMDGLRFLNFYGRPYSQDDKMHLPPTGLEYLPNKLRYLRWDGFPSKSLPLAFRAEHLVELHLRESKLVKLWTGVKDVGNLRTIDLSKSSYLTELPDLSMAKNLVSLRLKDCPSLTEVPSSLQYLDKLEYINLRCCYNLRSFPMLYSKVLRKLSIYQCLDLTTCPTISQNMKSLRLWGTSIKEVPQSITGKLKVLDLWGCSKMTKFPEVSGDIEELWLSETAIQEVPSSIQFLTRLRELEMNGCSKLESLPEITVPMESLEYLGLSETGIKELPSSIQSLTRLRDLDMSGCSKLESLPEITVPMESLVELNLSKTGIKELPSISFKHMTSLKILKLDGTPLKELPSSIQFLTRLQSLDMSGCSKLESFPQITVPMESLVELNLSKTGIKEIPSISFKHMTSLKILKLDGTPLKELPSSIQFLTRLQSLDMSGCSKLESFPEITVPMESLAELNLSKTGIKELPLSIKDMVCLKKLTLEGTPIKELPLSIKDMVCLEELTLHGTPIKALPELPPSLRYLRTRDCSSLETVTSIINIGRLQLRWDFTNCFKVDQKPLIEAMHLKIQSGEEIPRGGIIEMVLPGSEIPEWFGDKGVGSSLTIQLPSNCHQLKGIAFCLVFLLPLPSRDLYFDVHVKYKNGEHFASRERQVISYNLGTCDSDHMILQYRLVNQLPENYGNEVTFKFYLLEEDNKGRMVGDESQRPFELKSWGVYLHFDENLPADTDLP >Potri.019G113701.4.v4.1 pep chromosome:Pop_tri_v4:19:13483932:13487669:1 gene:Potri.019G113701.v4.1 transcript:Potri.019G113701.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G113701.v4.1 MGGIGKTTIAKAVYDNVRSRFQGIFFANFRQQSDLQRSFLSQLLGQEILNRGLLSFQDIFVRNRLCRKKVFIVMDDVDNSMALEEWRDLLDGRNSSFGPGSKVLITSRDKQVLNNVVDETYEVEGLNYEEAIQLFSLKALKNCFPTIDQRDLIKQIARHVQGNPLALKVLGSSFYGKSIEEWRSALNKLAQDPQIEKALRISYDGLDSEQKSIFLDIAHFLRRWKKNQAIRILDCFYGRSVTFDISTLIDKCLITTDNSVDGYERLEMHDLLREMAFNIVRAEYKFPGKRSRLCHPPDVVQVLEENKGTEEIEGISLDMSKLSRQIHLKSDAFEMMDGLRFLNFYGRPYSQDDKMHLPPTGLEYLPNKLRYLRWDGFPSKSLPLAFRAEHLVELHLRESKLVKLWTGVKDVGNLRTIDLSKSSYLTELPDLSMAKNLVSLRLKDCPSLTEVPSSLQYLDKLEYINLRCCYNLRSFPMLYSKVLRKLSIYQCLDLTTCPTISQNMKSLRLWGTSIKEVPQSITGKLKVLDLWGCSKMTKFPEVSGDIEELWLSETAIQEVPSSIQFLTRLRELEMNGCSKLESLPEITVPMESLEYLGLSETGIKELPSSIQSLTRLRDLDMSGCSKLESLPEITVPMESLVELNLSKTGIKELPSISFKHMTSLKILKLDGTPLKELPSSIQFLTRLQSLDMSGCSKLESFPQITVPMESLVELNLSKTGIKEIPSISFKHMTSLKILKLDGTPLKELPSSIQFLTRLQSLDMSGCSKLESFPEITVPMESLAELNLSKTGIKELPLSIKDMVCLKKLTLEGTPIKELPLSIKDMVCLEELTLHGTPIKALPELPPSLRYLRTRDCSSLETVTSIINIGRLQLRWDFTNCFKVDQKPLIEAMHLKIQSGEEIPRGGIIEMVLPGSEIPEWFGDKGVGSSLTIQLPSNCHQLKGIAFCLVFLLPLPSRDLYFDVHVKYKNGEHFASRERQVISYNLGTCDSDHMILQYRLVNQLPENYGNEVTFKFYLLEEDNKGRMVGDESQRPFELKSWGVYLHFDENLPADTDLP >Potri.008G026400.1.v4.1 pep chromosome:Pop_tri_v4:8:1364990:1368391:-1 gene:Potri.008G026400.v4.1 transcript:Potri.008G026400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026400.v4.1 MDRLSSASNMFPAGRDGVTGQIGLIWQVIIEPLIVPVLKLLVVVCLGMSIMLFIERVYMGVVIVFVKLFGKKPNKRYKWEPIKDDIELGNSAYPMVLVQVPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKSMVEVECQRWASKGINIKYEIRDNRNGYKAGALKEGMKHSYVKQCDYVAIFDADFQPEPDFLWRTIPFLAHNPEIALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSATYAFFGFNGTAGVWRISAVNEAGGWKARTTVEDMDLAVRASLKGWKFVYVGDLKVKNELPSTFKAYRYQQHRWSCGPANLFRKMAIEIVKNKKVSLWKKCYVIYSFFFVRKIVAHIVTFLFYCVVLPATVLVPEVTVPKWGAVYIPSVVTLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEAGRVNEWVVTEKLGDALKAKLPAKATKKPRIRIGERLHLLELCAGAYLFFCGCYDFAFGKNRYYIFLFLQSIAFFIAGFGYIGTFVPHS >Potri.019G116866.1.v4.1 pep chromosome:Pop_tri_v4:19:14364539:14365145:-1 gene:Potri.019G116866.v4.1 transcript:Potri.019G116866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116866.v4.1 MSSASKAWLVAAAVGGVEALKDQGFCRWNYTLRSLHQHAKNHVRSASQAKKLSSSSSAMISDKVKEVTAKQSEESLRKVMYLSCWGPY >Potri.004G138600.4.v4.1 pep chromosome:Pop_tri_v4:4:16034629:16040202:-1 gene:Potri.004G138600.v4.1 transcript:Potri.004G138600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138600.v4.1 MAAELVNSATNDKLAEVDWTKNIEICELVAHDERQARDVVKAIKKRLGSKNANTQLYAVMLLEMLMNNIGEQVHRQVIDTGILPILVKIVKKKTELPIRERVFLLLDATQTSLGGASGKFPQFYTAYYDLVSAGVQFPQRPHERPSSERPSNNQTAQENKKITLNGELAASRHEMVAQPAPVEPQAVPESSIIQKASNALEVLKEVLDAVDSQNGAKNEFTLDLVEQCSFQKQRVMHLVMTSRDEKLVSRAIELNEQLQKVLARHDAILSGRSTVSDRNTFSDRTTTAPNHFNHEESEEEEEPEQLFRRLRKGKACARPEDEGNSEERPPLGIIGSTIPGERLNRPLIRPLPSEQPQEPSAHPAPVVIPPPPAKHIEREKFFQETKADGSDVDSHMRGLSLHCHNASSSRAGSIDFSE >Potri.003G191901.1.v4.1 pep chromosome:Pop_tri_v4:3:19492851:19493730:-1 gene:Potri.003G191901.v4.1 transcript:Potri.003G191901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191901.v4.1 MERQQLFHVSDSCSILKKIKNPNKRKECECQHAEREVFAGSPPPFNEHPFWFKKPKTSSGIGSIVVASRNPGRVVKEPKLFDENWVFKNSVDSDSRNKESAEELERRVAFNLRTMRSSFSLGDLLEERSRGVSTQLSLYHHDPFEIKKKMKPSDLGNLCRLLVSADLVEKHILPFLNEDQTKQVIIPNQERNGLKVWVRDIDTESMHQLVFKRWSTSKSYIFNDGWTKHFVKRRNLVEGDEIGLYWDNDQSRFHFSVLSRAAATG >Potri.013G080200.1.v4.1 pep chromosome:Pop_tri_v4:13:6920020:6922162:1 gene:Potri.013G080200.v4.1 transcript:Potri.013G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G080200.v4.1 MLKGRKISGRGETVAANYAFGPLEDDVIIKHRLLTRTTTTRGEPPLKKLQKKFASYVSEIEKDEDNYNDCVKLSKAFLQELSTFEIPLLKSKAVIDSNVREKENFNELKDDINRQILQAQADIEDLKKQLEESKIERQHKEECEAIRKLIATQPPRSLMQKVITDLEKEIAALEAENTASSRLLELRKKQFVLLLHVVDELQNTIEEDEKNLIEEMRVATEEQKNGMEDTGGVSEAMAVD >Potri.008G025000.1.v4.1 pep chromosome:Pop_tri_v4:8:1259055:1263718:1 gene:Potri.008G025000.v4.1 transcript:Potri.008G025000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025000.v4.1 MNNSDQEKQDQRTRSSMEDSTAITIEFLRARLLAERSVSRTARQRADELAERVAELEEQLRIVSLQRMKAEKATVDVLAILESNGISDDSEIFGSSSDQDTPCESKVGKKTKQEESSVISKVTKYKLEEHSGSGHDFSSSQGRNLSWKGRKHSPRSLEKCKDPSLRRRSSFASTSSSPKHHQGKSCRQVRNKESRLTIGAFRTNPDKVDSPENGVATTSEVFPNCSEPEVGRIENGEEKTLPPISVGLENGQRADSNELEDNVYGSDRDMEKALEHQAQLIDRYKAMEKVQREWEEKFRENNGSTPDSYDAGNRSDVTEEGYEIKAQVQQHTGTVAAQSNRAKSEVEKASNIQPNGILRPSHVNIGQLQEWKSSSAPTSESPAQDFAFRAEKQKQNENEESLGNNYHPSPHSSHDHPQSHSSHDSPGSQSATSFPSNTDSGFSKGQFSGRQNELYALVPHRASNELGGVLDALKLARQSLQQKISTLPLIEGGSIRNSVDPSLPPPIPGDKVDIPLGNAGLFRLPFDFLAEGSTRKNLDSTNAGLSLRNYYPDTGVPAAAINRFVSRFPTATGSRFPTADQFLASQSYSATGSRFPTEDQFLASQDVEAGSRISSQRPFFYPYLDTVSPPSARYSYPTNPSYPGPMPQLPSREPPSFLPSTTAGVPPADHFSFPDYHIRPNMYR >Potri.018G123000.1.v4.1 pep chromosome:Pop_tri_v4:18:13536384:13539957:1 gene:Potri.018G123000.v4.1 transcript:Potri.018G123000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123000.v4.1 MTTVASPRKSSFTEVLQRVYGNLGSLSSSSNSSNGFYSNNLQKHKGCVHESEDDFEDEDGTMELELVQIGAERTKNVLILMSDTGGGHRASAEAIREAFKLEYGDEYNIIVKDVWKEYTGWPLNDMEGQYKFMVKHVQLWKVAFHSTSPRWIHSCYLAAIAAYYAKEVEAGLMEYKPDIIISVHPLMQHIPLWVLKWQGLQKQVIFATVITDLNSCHPTWFHPGVNRCYCPSKEVAKRAALDGLEDSQIRVFGLPIRPSFALAVLSKDELREELELDPDLPAVLLMGGGEGMGPVKKTALALGESLFDKELGKPLGQLIIICGRNKALKSTLESHEWTIPVKVRGFETQMEKWMGACDCIITKAGPGTIAEALIRGLPIILNDYIPGQEKGNVPYVVDNGAGVFTRSPKETARIVKEWFSTKTDERKRMSENALKLAQPEAVFDIVKDIHELAQARGPLANIPYILTSSFASII >Potri.014G082400.1.v4.1 pep chromosome:Pop_tri_v4:14:5303542:5309512:1 gene:Potri.014G082400.v4.1 transcript:Potri.014G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082400.v4.1 MGSQCTKLTPCCLDSQFKAAVVEVPSVGNEEKSEVNDLPTFREFTFEQLKNATSGFAVENIVSEHGEKAPNVVYKGKLENQRRIAVKRFNRMAWPDARQFLEEARSVGQLRNNRLVNLLGCCCEGDERLLVAEFMPNETLAKHLFHWETQPIKWAMRLRVVLHLAEALEYCTSKGRALYHDLNAYRILFDEDGNPKLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDHLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSNDDGTELVRLASRCLQYELRERPSLKFLVAALTPLQKETDVASHILMGIPHSASSSPLSPFGEACLRKDLTAIHEILDNIGYKDDQGVANELSFQMWTDEMQETLNTKKKGDASFKQKDFRVAIECYTQFIDVGTMVSPTVFARRSLSYLMSDMPQEGLSDAMQAQVISPVWHVASYLQAVALATLGMENEAHAALKEGTNIEAKKNQDSGQK >Potri.001G021801.1.v4.1 pep chromosome:Pop_tri_v4:1:1685966:1688313:1 gene:Potri.001G021801.v4.1 transcript:Potri.001G021801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G021801.v4.1 MKRFITAQQSHNQELTLRRSTRLTDKFQKLPDELTTKIFSKLEDDPKTLIRCSAVSKKWASFVFKTAHLSFIFSCTPERSRFFPSSKLHNHISSSAIPAIMNAFSNLESIEIKLCRCPSRTPQPPCCQNITKMNVVWTSDDSQADTCMAFDVGLLSTIEGAKLSHRLSGEKKFATLLSSSVMDFYWTMLDHRPKSLRRVVIMSAKMDPEGFRSGGKVFIRYEQLSKLRDSVSNSRVNESWLEDPQNVVYWHKKHTDKEHLLQEQVWLVYHWQFILANRKFTLKDLNLKKADLREVLDGLDAAAAGGDNGTRKRRFQYQQ >Potri.019G129100.1.v4.1 pep chromosome:Pop_tri_v4:19:15168291:15172279:-1 gene:Potri.019G129100.v4.1 transcript:Potri.019G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129100.v4.1 MTSLLHKPFFSIFLHVLFLLLHMFYYSSFFVIADHTSSKTSIFGTAISAANSKVAGGNNTEAEALLKWKASLDNQSQSLLSSWFGISPCINWTGITCDSSGSVTNLSLPHFGLRGTLYDLNFSSFPNLFSLNLQRNSIHGTVPSGIDNLPKITELNLCDNNLTGSIPSKIGLMKSLNILYLCGNILSGSIPCEIGKLTSLSLLSLSANNLTGVIPFSIGNLTNLSLLHLFQNQLSGPIPSSIGNMSFLIDLQLQQNNLTGFIPSSVGNLRSLSILYLWGNKLSGSIPGEIGLLESLNDLDFSSNNLTGAIPNSIGNLTNLSFFHLFQNQLSGPIPTSIGNMIMLIDVELGQNNLIGSIPSSVGNLRNLSIFYLWRNKLSGSIPKDIGLLESLYDLDFSSNILTGEIPNSIKNLTNLSFLSLYQNQLSGPIPSFIGNMSMLVDIELDENNLNGLIPSSIGNLKNLSFLYLGENNLYGYVPSEIGKLKSLEKLTFGENKLRGSLPLKMNNLTHLKFLDLSYNEFTGHLPQELCHGEVLERFIACNNYFSGSIPKSLKNCTGLHRLRLDRNQLTGNISEDFGIYPHLNYVDLSYNNFYGELSLKWGDYRNITSLKISNNNVSGEIPTELGKATQLQLIDLSSNHLEGTIPKELGGLKLLYNLTLSNNHLSGAIPSDIKMLSSLKILDLASNNLSGSIPKQLGECSNLLLLNLSNNKFTNSIPQEMGFLRSLQDLDLSCNFLAQEIPWQLGQLQMLETLNVSHNMLSGLIPRTFKDLLSLTVVDISYNELHGPIPDTKAFHNASFEALRDNMGICGNASGLKPCNLPKSSRTVKRKSNKLVILIVLPLLGSLLLVLVVIGALFILRQRARKRKAEPGNIEQDRNLFTILGHDGKLLYENIIAATEEFNSNYCIGEGGYGTVYKAVMPAEQVVAVKKLHRSQTDKLSDFKAFETEVCVLANIRHRNIVKLYGFCSHAKHSFLVYEFIERGSLRKIITSEEQAIELDWMKRLNVVKGMAGALSYLHHSCSPPIIHRDITSNNVLLDLEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMMGRHPGDLISTISSQASSSSSSKPPISQQTLLKDVLDQRISLPKKGAVEGVVHIMKIALACLHPNPQSRPTMGRISSELVTQWPSLPKEFYTISLEDLFLHTVSVVD >Potri.010G017600.1.v4.1 pep chromosome:Pop_tri_v4:10:2797888:2801959:-1 gene:Potri.010G017600.v4.1 transcript:Potri.010G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G017600.v4.1 MRVPMDYISVLRFCFTLLLIVRVATPTDTMRTTQPIRDGDSIVSAGGTYELGFFSPGKSRNRYLGIWYCKISVLTPVWVANRETPLNDSSGVLMLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAQLLDSGNLFVKEEGDNNMENSLWQSFDYPGNTLIPGSKLGRNRITGMDWHLTSWKSSDDPSRGNISIILVPEGYPEYAAVEDSKVKYRGGPWNGLGFSGLPRLKPNPIYTFEFVFNDKEIFYRETLVNNSTHWRAVATQNGDLQLLLWMEQTQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLTGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKVRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMAASELDNGDSAKVNTKSKVKKRIIVSSVLSTGILFVGLCLVLYVWKKKQQKNSNLHRRSNNKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKSLLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDENMLVYELLPNKSLDFYIFDDTQSLQLDWPKRYNIITGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVGGYRNRGFRHPDHHLNLIGHAWRLFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMLYVVLMLGNEDELPHPKQPGFFTERDLVEGSYSSSQSKPPSANVCSISVLEAR >Potri.008G086700.3.v4.1 pep chromosome:Pop_tri_v4:8:5419659:5423061:1 gene:Potri.008G086700.v4.1 transcript:Potri.008G086700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086700.v4.1 MKANRTATLIKLLVLLCLSVLCVSKDFDFFYFVQQWPGSYCDTKQSCCYPTTGKPAADFGIHGLWPNYQDGNYPQNCDSKNPFNPDKVADLRSSMQKNWPTLACPSGNGVSFWTHEWEKHGTCSESVLDQHGYFQAALSLQKQANLLQALASAGINPDGGSYSMSNIKRAIQEAVGFTPWIECNTDASGNSQLYQIYLCVDTTGKNLIECPVFPKGKCGSEIEFPSF >Potri.002G217200.8.v4.1 pep chromosome:Pop_tri_v4:2:20431015:20438602:1 gene:Potri.002G217200.v4.1 transcript:Potri.002G217200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217200.v4.1 MDDFPGLLARDFGFKPQGKSAPMAPPRSTNSNSTNFNLGSGGPVHTRSSSSHKSAPLFDNQAGTDGLLFNDVFGGPPKYSESRGGASATASSFDFDSIFKEQNPKSASLPISDKPVYDDDIFDGLPGLKSSSSGGGSASAPKFDDAFGSVSSPPKQQHRRPVRDSSPFDDLLGNLGKKEAEPKRESGRVDKDSTAFDDLLPGFGRISSHTVNRSTSESGLFQKQSLNSARSVPGVMEDPFVVLESTSSHATSSSGLFTEPLGEISKTGNPGNTKVDSSSVERGVFEDLDHLDDLGKSVPYKRWENRSPLRTGPSTGGSYSSASQESVDTYPVENAGGRSQKKTTDDYHESHEAIFNMPTASTDFHRSFGQNASPPSYVDVNANEINSSPRSEEVSESSDDVWLSVSEIPLFTQPTSATPPSRPPPPRPPRISKSEIGSFSSTNSRKKVNEYPSFQNSTSYSQSPRSDRAARNSVTSQIDELEDFVTGRTQNNNNEFADVLPVDDVDKTSSAAASAAMKEVMDKAEAKIRQMREREYLKAARNKEAGQLDKDMLDAQQRELKERGERFDRERQQREKEEEEREHRRFEKERERTREIERERGEKEREQRRLERERGRAREIERERDKARQVVERATREARERAATEALLKAERAAVEKAAAEARERAERAAVQRAQAEARERAAAGAKERAERAAAEVRERANAEAREREARERAAFARAEADARLRAERAAAERAAAEARERAASAAMANHQKNENDLESFFSTRANSAPRPRANTSDPFSDSQNNRGSEAVRKTSVGATSSMRKASSAINIVDDLTSLFGGGAGSSGEFQEVEGETEERRKARLERHQRTQERAAKALAEKNQRDLQAQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLSIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >Potri.002G217200.2.v4.1 pep chromosome:Pop_tri_v4:2:20431019:20438546:1 gene:Potri.002G217200.v4.1 transcript:Potri.002G217200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217200.v4.1 MDDFPGLLARDFGFKPQGKSAPMAPPRSTNSNSTNFNLGSGGPVHTRSSSSHKSAPLFDNQAGTDGLLFNDVFGGPPKYSESRGGASATASSFDFDSIFKEQNPKSASLPISDKPVYDDDIFDGLPGLKSSSSGGGSASAPKFDDAFGSVSSPPKQQHRRPVRDSSPFDDLLGNLGKKEAEPKRESGRVDKDSTAFDDLLPGFGRISSHTVNRSTSESGLFQKQSLNSARSVPGVMEDPFVVLESTSSHATSSSGLFTEPLGEISKTGNPGNTKVDSSSVERGVFEDLDHLDDLGKSVPYKRWENRSPLRTGPSTGGSYSSASQESVDTYPVENAGGRSQKKTTDDYHESHEAIFNMPTASTDFHRSFGQNASPPSYVDVNANEINSSPRSEEVSESSDDVWLSVSEIPLFTQPTSATPPSRPPPPRPPRISKSEIGSFSSTNSRKKVNEYPSFQNSTSYSQSPRSDRAARNSVTSQIDELEDFVTGRTQNNNNEFADVLPVDDVDKTSSAAASAAMKEVMDKAEAKIRQMREREYLKAARNKEAGQLDKDMLDAQQRELKERGERFDRERQQREKEEEEREHRRFEKERERTREIERERGEKEREQRRLERERGRAREIERERDKARQVVERATREARERAATEALLKAERAAVEKAAAEARERAERAAVQRAQAEARERAAAGAKERAERAAAEVRERANAEAREREARERAAFARAEADARLRAERAAAERAAAEARERAASAAMANHQKNENDLESFFSTRANSAPRPRANTSDPFSDSQNNRGSEAVRKTSVGATSSMRKASSAINIVDDLTSLFGGAGSSGEFQEVEGETEERRKARLERHQRTQERAAKALAEKNQRDLQAQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLSIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >Potri.002G217200.6.v4.1 pep chromosome:Pop_tri_v4:2:20431015:20438526:1 gene:Potri.002G217200.v4.1 transcript:Potri.002G217200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217200.v4.1 MDDFPGLLARDFGFKPQGKSAPMAPPRSTNSNSTNFNLGSGGPVHTRSSSSHKSAPLFDNQAGTDGLLFNDVFGGPPKYSESRGGASATASSFDFDSIFKEQNPKSASLPISDKPVYDDDIFDGLPGLKSSSSGGGSASAPKFDDAFGSVSSPPKQQHRRPVRDSSPFDDLLGNLGKKEAEPKRESGRVDKDSTAFDDLLPGFGRISSHTVNRSTSESGLFQKQSLNSARSVPGVMEDPFVVLESTSSHATSSSGLFTEPLGEISKTGNPGNTKVDSSSVERGVFEDLDHLDDLGKSVPYKRWENRSPLRTGPSTGGSYSSASQESVDTYPVENAGGRSQKKTTDDYHESHEAIFNMPTASTDFHRSFGQNASPPSYVDVNANEINSSPRSEEVSESSDDVWLSVSEIPLFTQPTSATPPSRPPPPRPPRISKSEIGSFSSTNSRKKVNEYPSFQNSTSYSQSPRSDRAARNSVTSQIDELEDFVTGRTQNNNNEFADVLPVDDVDKTSSAAASAAMKEVMDKAEAKIRQMREREYLKAARNKEAGQLDKDMLDAQQRELKERGERFDRERQQREKEEEEREHRRFEKERERTREIERERGEKEREQRRLERERGRAREIERERDKARQVVERATREARERAATEALLKAERAAVEKAAAEARERAERAAVQRAQAEARERAAAGAKERAERAAAEVRERANAEAREREARERAAFARAEADARLRAERAAAERAAAEARERAASAAMANHQKNENDLESFFSTRANSAPRPRANTSDPFSDSQNNRGSEAVRKTSVGATSSMRKASSAINIVDDLTSLFGGAGSSGEFQEVEGETEERRKARLERHQRTQERAAKALAEKNQRDLQAQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLSIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >Potri.002G217200.7.v4.1 pep chromosome:Pop_tri_v4:2:20431015:20438740:1 gene:Potri.002G217200.v4.1 transcript:Potri.002G217200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217200.v4.1 MDDFPGLLARDFGFKPQGKSAPMAPPRSTNSNSTNFNLGSGGPVHTRSSSSHKSAPLFDNQAGTDGLLFNDVFGGPPKYSESRGGASATASSFDFDSIFKEQNPKSASLPISDKPVYDDDIFDGLPGLKSSSSGGGSASAPKFDDAFGSVSSPPKQQHRRPVRDSSPFDDLLGNLGKKEAEPKRESGRVDKDSTAFDDLLPGFGRISSHTVNRSTSESGLFQKQSLNSARSVPGVMEDPFVVLESTSSHATSSSGLFTEPLGEISKTGNPGNTKVDSSSVERGVFEDLDHLDDLGKSVPYKRWENRSPLRTGPSTGGSYSSASQESVDTYPVENAGGRSQKKTTDDYHESHEAIFNMPTASTDFHRSFGQNASPPSYVDVNANEINSSPRSEEVSESSDDVWLSVSEIPLFTQPTSATPPSRPPPPRPPRISKSEIGSFSSTNSRKKVNEYPSFQNSTSYSQSPRSDRAARNSVTSQIDELEDFVTGRTQNNNNEFADVLPVDDVDKTSSAAASAAMKEVMDKAEAKIRQMREREYLKAARNKEAGQLDKDMLDAQQRELKERGERFDRERQQREKEEEEREHRRFEKERERTREIERERGEKEREQRRLERERGRAREIERERDKARQVVERATREARERAATEALLKAERAAVEKAAAEARERAERAAVQRAQAEARERAAAGAKERAERAAAEVRERANAEAREREARERAAFARAEADARLRAERAAAERAAAEARERAASAAMANHQKNENDLESFFSTRANSAPRPRANTSDPFSDSQNNRGSEAVRKTSVGATSSMRKASSAINIVDDLTSLFGGGAGSSGEFQEVEGETEERRKARLERHQRTQERAAKALAEKNQRDLQAQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLSIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >Potri.002G217200.9.v4.1 pep chromosome:Pop_tri_v4:2:20431019:20438546:1 gene:Potri.002G217200.v4.1 transcript:Potri.002G217200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217200.v4.1 MDDFPGLLARDFGFKPQGKSAPMAPPRSTNSNSTNFNLGSGGPVHTRSSSSHKSAPLFDNQAGTDGLLFNDVFGGPPKYSESRGGASATASSFDFDSIFKEQNPKSASLPISDKPVYDDDIFDGLPGLKSSSSGGGSASAPKFDDAFGSVSSPPKQQHRRPVRDSSPFDDLLGNLGKKEAEPKRESGRVDKDSTAFDDLLPGFGRISSHTVNRSTSESGLFQKQSLNSARSVPGVMEDPFVVLESTSSHATSSSGLFTEPLGEISKTGNPGNTKVDSSSVERGVFEDLDHLDDLGKSVPYKRWENRSPLRTGPSTGGSYSSASQESVDTYPVENAGGRSQKKTTDDYHESHEAIFNMPTASTDFHRSFGQNASPPSYVDVNANEINSSPRSEEVSESSDDVWLSVSEIPLFTQPTSATPPSRPPPPRPPRISKSEIGSFSSTNSRKKVNEYPSFQNSTSYSQSPRSDRAARNSVTSQIDELEDFVTGRTQNNNNEFADVLPVDDVDKTSSAAASAAMKEVMDKAEAKIRQMREREYLKAARNKEAGQLDKDMLDAQQRELKERGERFDRERQQREKEEEEREHRRFEKERERTREIERERGEKEREQRRLERERGRAREIERERDKARQVVERATREARERAATEALLKAERAAVEKAAAEARERAERAAVQRAQAEARERAAAGAKERAERAAAEVRERANAEAREREARERAAFARAEADARLRAERAAAERAAAEARERAASAAMANHQKNENDLESFFSTRANSAPRPRANTSDPFSDSQNNRGSEAVRKTSVGATSSMRKASSAINIVDDLTSLFGGGAGSSGEFQEVEGETEERRKARLERHQRTQERAAKALAEKNQRDLQAQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLSIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >Potri.002G217200.4.v4.1 pep chromosome:Pop_tri_v4:2:20430924:20438603:1 gene:Potri.002G217200.v4.1 transcript:Potri.002G217200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G217200.v4.1 MDDFPGLLARDFGFKPQGKSAPMAPPRSTNSNSTNFNLGSGGPVHTRSSSSHKSAPLFDNQAGTDGLLFNDVFGGPPKYSESRGGASATASSFDFDSIFKEQNPKSASLPISDKPVYDDDIFDGLPGLKSSSSGGGSASAPKFDDAFGSVSSPPKQQHRRPVRDSSPFDDLLGNLGKKEAEPKRESGRVDKDSTAFDDLLPGFGRISSHTVNRSTSESGLFQKQSLNSARSVPGVMEDPFVVLESTSSHATSSSGLFTEPLGEISKTGNPGNTKVDSSSVERGVFEDLDHLDDLGKSVPYKRWENRSPLRTGPSTGGSYSSASQESVDTYPVENAGGRSQKKTTDDYHESHEAIFNMPTASTDFHRSFGQNASPPSYVDVNANEINSSPRSEEVSESSDDVWLSVSEIPLFTQPTSATPPSRPPPPRPPRISKSEIGSFSSTNSRKKVNEYPSFQNSTSYSQSPRSDRAARNSVTSQIDELEDFVTGRTQNNNNEFADVLPVDDVDKTSSAAASAAMKEVMDKAEAKIRQMREREYLKAARNKEAGQLDKDMLDAQQRELKERGERFDRERQQREKEEEEREHRRFEKERERTREIERERGEKEREQRRLERERGRAREIERERDKARQVVERATREARERAATEALLKAERAAVEKAAAEARERAERAAVQRAQAEARERAAAGAKERAERAAAEVRERANAEAREREARERAAFARAEADARLRAERAAAERAAAEARERAASAAMANHQKNENDLESFFSTRANSAPRPRANTSDPFSDSQNNRGSEAVRKTSVGATSSMRKASSAINIVDDLTSLFGGGAGSSGEFQEVEGETEERRKARLERHQRTQERAAKALAEKNQRDLQAQREQAERHRIAETLDVEIKRWAAGKEGNLRALLSTLQYVLWPECGWQPVSLTDLITAAAVKKVYRKATLSIHPDKVQQKGANLQQKYVAEKVFDLLKEAWNKFNSEELF >Potri.011G117700.3.v4.1 pep chromosome:Pop_tri_v4:11:14882934:14884891:-1 gene:Potri.011G117700.v4.1 transcript:Potri.011G117700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117700.v4.1 MTPAFHFERLRGMIPAFSACCCDLVQRWKKLAGPQGSCELDVASEFNILASDVIARAAFGSSYEEGKKIFDLQKDQVILVHEALFSIYFPGLRFIPSKKNKKRYSIDKEIKAALRNIIHKKEQAMQNGDLGDADLLGLLLKGRDDADNDMKIEDVIEECKLFFFAGQETTANLLTWTLIVLSMHPVWQEKAREEVLQICGKRSPDIDSIKQLRIVSMILNEVLRLYPPVNILYRHTLKETTVRGMSIPAGVDLLLPFLFLHYDPEYWGDNAEEFKPERFSEGVSKASKDEIAFYPFGWGPRFCLGQNFALTEAKMALTMILQNFWFELSPSYTHAPGNVITL >Potri.017G113501.1.v4.1 pep chromosome:Pop_tri_v4:17:12081987:12084375:-1 gene:Potri.017G113501.v4.1 transcript:Potri.017G113501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113501.v4.1 MASLVSRRKPLGDLSNSMKTDAPRIPRDASKTKSISKASGKVQTAGRKPLSDISNSRKPETKKKSFNAKLSVLTEKPDRTSAIAEEKFLHNHEECIKAQTRAMDIDEFLQSIGLNDDFSKKLGISCSPPPTITMKSPPRPLQLEAMTEQLHEDKSWEYKLDTSSPFRTPISPKQYMDWWKDHDDDNCINFKLIETP >Potri.006G171268.1.v4.1 pep chromosome:Pop_tri_v4:6:17391097:17393640:-1 gene:Potri.006G171268.v4.1 transcript:Potri.006G171268.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171268.v4.1 MSEARNDLKHIAVLAFPVATHGPPLLSLVRRLSASASYAKFSFFSTKESNSKLFSKEDGLENIKPYNVSDGLPENYNFAGNLDEVMNYFFKATPGNFKQAMKVAVKEVGKDFTCIMSDAFLWFAADFAQELHVPWVPLWTSSSRSLLLVLETDLVHQKMRSIINEPEDRTIDILPGFSELRGSDIPKELFHDVKESQFAAMLCKIGLALPQAAVVASNSFEELDPDAVILFKSRLPKFLNIGPFVLTSPDPFMSDPHGCLEWLDKQKQESVVYISFGSVISLPPQELAELVEALKECKLPFLWSFRGNPKEELPEEFLERTKEKGKVVSWTPQLKVLRHKAIGVFVTHSGWNSVLDSIAGCVPMICRPFFGDQTVNTRTIEAVWGTGLEIEGGRITKGGLMKALRLIMSTDEGNKMRKKLQHLQGLALDAVQSSGSSTKNFETLLEVVAK >Potri.019G090500.1.v4.1 pep chromosome:Pop_tri_v4:19:12865195:12866329:-1 gene:Potri.019G090500.v4.1 transcript:Potri.019G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G090500.v4.1 MCCGDGDCRPLGFLLGLPFAFLSLIISAVGVVIWIVGLLLSCVCPCCLCVTVIVELALELIKAPIHVMEWFTSQIPC >Potri.004G106000.1.v4.1 pep chromosome:Pop_tri_v4:4:9302805:9308627:-1 gene:Potri.004G106000.v4.1 transcript:Potri.004G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106000.v4.1 MESVVATVSGYHGSERFNLIKLISQSGASYVGAMSNSTTHLVCWKFEGRKYELASKFDTLVVNHRWVEECVKQGKRVPEYPYMLESGQEVGPLVLDVPNVDKLGSLNKKRKPLSDKSNNCEDSERRIVDVDCEDSGLAAWSDSFLLNDVKSLVPDVGKNNSNLYKSKLKKAKKPSKQENCSGSRYCFQDPTFSGLVGLEHGGSSYNSSRHEESDIVPNMHFVRGRRNTFSNTGSTLAEPSRKGRRLMKKNIARDNLETLLSDSDQEWHPVRLNKNNNSKSTAKRTGCKRKLDIFEAEVTSDVAVINNRGSAVESLDEIEEGTHWNHLPVSEYSNSFPEGALTASEIADSSGSAAENLNAKVKDMDQFESVARLPAPAELSCVICWTEFSSTRGVLPCGHRFCYSCIQEWADHMASRRRISTCPLCKAGFSIITKVEDAATSDQKIYSQTIPHASSTVDVFFSMLQEQNKFGAEPSFGSVCCECRSREPEDLLIRCHLCETRCIHSYCLDPPLSPWICTHCKDLQMLYHHNR >Potri.017G010500.1.v4.1 pep chromosome:Pop_tri_v4:17:799286:803693:1 gene:Potri.017G010500.v4.1 transcript:Potri.017G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G010500.v4.1 MVLEKEEDALEPVSPSSQYFNSSALNVSVLGVLETEVPIDDSKTIPLVRDVFLPINPRFSSIMVIDENGEKRWKKVEVELKDHVFVPIFPEEMSPQFYDEYFEDYLSKLSMLQLPQSQPLWEIHLIKYPTSNAASTIIFKIHHAIGDGYSLMGALLSCLQRADNPSLPLTLPSVQPRVDTSGDHRTIFKTVPKIFSLLFNTVSDFLGSLMKSSLVEDDLSPIRSGDIGIEFRPIAPTTMTFSLGQIKQIKATLGVTINDVITGAILLGTRLYMQEMSKGSSDHSNCTALVMLNTRMFRSYQSITEMVKPKAESPWGNHFAFLHVQLPELVASTELNPIEFVRKAQQIIKRKRSSLAVYLTAAFIEIVKKLKGHEVAAQYIHKTMVNASMTVTNMIGPVEKMSLANHPIKGMYFAVAGNPQSLNITIVSYVDKLRLTLGAEKGFIDAQKLKSCIEEAFQMILKSVACEIQQKN >Potri.004G226800.1.v4.1 pep chromosome:Pop_tri_v4:4:23048971:23050387:-1 gene:Potri.004G226800.v4.1 transcript:Potri.004G226800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226800.v4.1 MRPKGQQITNLKMLLFGVFLAFFVLFVLRSGMPSSQESPSPTSQPGVLNPMKEARETNCSQACTQIPRSLTQALVHYTTSTITPQQTRKEISVSAKVLEKKSPCNFLVFGLGHDSLMWSALNYGGRTVFLEEDEAWIAQIKRRFPMLESHHVTYDSKVNEAANLMEVGKGPECTAVGDPKFSMCQLAMKGLPGEVYEIEWDLIMVDAPTGYHDEAPGRMTAIFTAGMMARNRKEGETDVFVHDVNREVEDKFSKSFLCEGYMKKQVGRLRHFTIPSHRDDLDRPFCPE >Potri.001G275200.1.v4.1 pep chromosome:Pop_tri_v4:1:28870261:28883229:1 gene:Potri.001G275200.v4.1 transcript:Potri.001G275200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275200.v4.1 MSSASLAAPERPRAGPSNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNTGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPSAALVIGHNRVFQSDTNEAVEGSFHQWRDKHPVKSMVVGRPILLALEDIDGGPSFLEKALRFLEKFGTKVEGILRQSADVEEVDHRVQEYEQGKTEFESDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSAIVETFPEPNRRLLQRILKMMHTISSHAHENRMNPSAVAACMAPLLLRPLLAGECELEDDFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLHRCSISADSQIENSASDDSSDDENMDMKDNGYHDAENEVDQDTDNDPERALSGKLSETSGSASSDLYDYKAFGGDDSDIGSPRTNNAPAESSNISVDPVQMRDSNAQLIGQQSKPKKGNENSTSEMDASSVLPIGESYQSMGAILASADPVSPILISGLESSAEKSAGKVSASNLNGKRSTFWGRSNARKTPSMESVDSSAEEEFAIQRLEIAKNDLRHRIAKEARGNAILQASLERRKQALHERRLALEQDVARLQEQLQAERDLRAALEVGLSMSSGQFSNSRGMDSKTRAELEEIALAEADVTRLKQKVAELHHQLNQQRQHHYGSLSDASDCYQHVQNHNPPQRFLQQDFDTTLAFVNHERKQRTEEGLLGTDRRHLKGPGLATGSSSRQPPRNQFMESASISDSKSTEASTNMSMDELCGIDSAPSTSRAVEVMDYPRHPSAASSALVELTTRLDFFKERRSQLMEQLHNLDLNYGTTSSQDFIYRPSSPPWN >Potri.001G275200.5.v4.1 pep chromosome:Pop_tri_v4:1:28870291:28883196:1 gene:Potri.001G275200.v4.1 transcript:Potri.001G275200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275200.v4.1 MSSASLAAPERPRAGPSNTVFKSGPLFISSKGIGWKSWKKRWFILTRTSLVFFKNDPSALPQRGGEVNLTLGGIDLNNTGSVVVREDKKLLTVLFPDGRDGRAFTLKAETSEDLYEWKTALEHALSQAPSAALVIGHNRVFQSDTNEAVEGSFHQWRDKHPVKSMVVGRPILLALEDIDGGPSFLEKALRFLEKFGTKVEGILRQSADVEEVDHRVQEYEQGKTEFESDEDAHVVGDCVKHVLRELPSSPVPASCCTALLEAYKIDRKEARINAMRSAIVETFPEPNRRLLQRILKMMHTISSHAHENRMNPSAVAACMAPLLLRPLLAGECELEDDFDVNGDNSAQLLAAANAANNAQAIITTLLEEYENIFDDENLHRCSISADSQIENSASDDSSDDENMDMKDNGYHDAENEVDQDTDNDPERALSGKLSETSGSASSDLYDYKAFGGDDSDIGSPRTNNAPAESSNISVDPVQMRDSNAQLIGQQSKPKKGNENSTSEMDASSVLPIGESYQSMGAILASADPVSPILISGLESSAEKSAGKVSASNLNGKRSTFWGRSNARKTPSMESVDSSAEEEFAIQRLEIAKNDLRHRIAKEARGNAILQASLERRKQALHERRLALEQDVARLQEQLQAERDLRAALEVGLSMSSGQFSNSRGMDSKTRAELEEIALAEADVTRLKQKVAELHHQLNQQRQHHYGSLSDASDCYQHVQNHNPPQRFLQQDFDTTLAFVNHERKQRTEEGLLGTDRRHLKGPGLATGSSSRQPPRNQFMESASISDSKSTEASTNMSMDELCGDGLSKTSISSIFSFGRINNSAGFFQGKAFSAHGTTPQP >Potri.013G110450.1.v4.1 pep chromosome:Pop_tri_v4:13:11864079:11865972:-1 gene:Potri.013G110450.v4.1 transcript:Potri.013G110450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G110450.v4.1 MHVMKSCLYRWSAVQCETFHDLLSTKTNLKRRILMARQIVEGLRFIHTNQFVHRDLATKYIFVGAFQDEKEIKIEDFGISEQFKFECESNLINLSFYCSKLIVYFLLIFFWQRYYVRKVKKFKLTLKETKVKRLLREKDPSMQKQIYTVCELLCSCCCTLTISGTERK >Potri.014G180300.6.v4.1 pep chromosome:Pop_tri_v4:14:13917658:13921186:1 gene:Potri.014G180300.v4.1 transcript:Potri.014G180300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G180300.v4.1 MASRPGILTDWPWKPLGSFKHVILAPWVIHSTYSFMIKDGKDLSTFLAFPLLLWRMLHNQLWISLSRYRTAKGNNRIIDKAIEFDQVDRESSWDDQILFNGILFYVGIHTIPGASHLPMWRLDGVIITALIHMGPVEFLYYWLHRLLHHHYLYSRYHSHHHSSIVTEPITSVIHPFAEHISYFILFAIPLITTILTGTASVASLAGYITYIDVMNNMGHCNFELVPKWLFTIFRPLKYLMYTPSFHSLHHTQFRTNYSLFMPIYDYIYGTMDKSSDTLYEDSLKRPEEAPDVVHLTHLTTPDSIYHSRLGLAYLASNPQKSKWYLSLMWPVTLWTMMLTWIYGRAFVVERNRFHKLRLQTWTIPKYNIQYNLRWHTASINTLIEEAVLEAEEKGVKVLSLGLLNQGEELNRYGELYVQRYPRLKTKVVDGSSLAVAAVLNSIPKGTTQVLHRGNLSKVAYAVVLNLCRRGIQVAVPYEDDYKRLKKSFGSRSDQNNLILSKNYSIKTWLVGDGLKGEDQKKATEGTLFIPFSQFPPKKLRKDCFYHSTPAMAAPASLENVDSCENWLPRRVMSAWRVAGIVHALEGWNEHECGYTMSDIDKVWQASIQHGFKPLVITAQSKF >Potri.006G146100.1.v4.1 pep chromosome:Pop_tri_v4:6:12442632:12443511:-1 gene:Potri.006G146100.v4.1 transcript:Potri.006G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146100.v4.1 MSHMLLEILAEEALKGNKPSSTFKAESFVKVASEISQKFNVQCEPKHVDNHFKTVKKEWGIITKLENKSDFGWDDCLKMITISKDVYDEEVKIHLNHDKYLNKKLDMYEAMTIVVGKDMATGNYTKSYVDVNLEENTEEQSISIENEGEYEETSRGKETSSSSAQKRQHRKRNCMYEDDGVEKLSKKIGNVAFVIQSLSKNQLDVNELFTEVMKIEGFDEITLGDAFDHLVQNEMLAKTFMAKNANLRKIWVQNLITC >Potri.005G187800.1.v4.1 pep chromosome:Pop_tri_v4:5:19536844:19540972:-1 gene:Potri.005G187800.v4.1 transcript:Potri.005G187800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187800.v4.1 MLRKFFFLKTSPSSNLHSFHHQLTFSAIPNGSNHPSHTLKPRIYTHILQNCLQKSKQVKTHSLFDEIPQRLSQFSTTNKIIHAQSLKLGFWSKGVLGNVIVDLYAKCADVDYAERAFKQLEDKDILAWNSILSMHSKQGFPHLVVKYFGLLWNSGVWPNEFTFAIVLSSCARLEMVKCGRQVHCNVVKMGFESISYCEGALIGMYAKCNFLTDARSIFDGAVELDKVSWTSMIGGYIKVGLPEEAVKVFQEMEKVGQEPDQVAFVTVINAYVDLGRLDNASDLFSRMPNRNVVAWNLMISGHAKGGYGVEAIEFFQNMRKAGIKSTRSTLGSVLSAIASLAALDFGLLVHAEALKQGLHSNVYVGSSLVSMYAKCGKMEAAKKVFDTLNEQNVVLWNAMLGGYVQNGYANEVMELFFNMKSCGFYPDDFTYSSILSACACLKYLDLGRQLHSVIIKNKFASNLFVGNALVDMYAKSGALEDARQQFELIRNRDNVSWNVIIVGYVQEEDEVEAFHLFRRMNLLGILPDEVSLASILSACASVRGLEQGKQVHCLSVKTGQETKLYSGSSLIDMYAKCGAIDSAHKILACMPERSVVSMNALIAGYAQINLEQAVNLFRDMLVEGINSTEITFASLLDACHEQQKLNLGRQIHSLILKMGLQLDDEFLGVSLLGMYMNSLRTTDASVLFSEFSNPKSAVVWTAMISGLSQNDCSVGALQLYKEMRSCNVLPDQATFVSALRACAVVSSIKDGRETHSLIFHTGFDSDELTSSALVDMYAKCGDVKSSMQVFKEMSRKKDVISWNSMIVGFAKNGYAEDALRVFDEMKQSHVTPDDVTFLGVLTACSHSGRVSEGRLIFDMMVNLYGMQPRADHCACMVDLLGRWGSLKEAEEFINKLNFEPDAKVWATMLGACRIHGDDIRGQQAAEKLIELEPQNSSPYVLLSNIYAASGNWDEVNTLRREMREKGVKKLPGCSWIVVGQETNMFVAGDKSHHSASEIDAILKDLTPLMRENDYVVQLDFFGDDEE >Potri.016G030900.2.v4.1 pep chromosome:Pop_tri_v4:16:1720112:1723150:1 gene:Potri.016G030900.v4.1 transcript:Potri.016G030900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G030900.v4.1 MKNKSSLIILSVLVLLCGCYTELGLCGQDNFLKMKLGGVHDCKGSQNSAEIDSLARFAVQEHNKKENAILEFVRVLKAKEQVVAGKLYHLTVEATDAGNNKMYEVKVWVKPWMNFKQLQEFKHVEGGTSSDLGVKPGH >Potri.016G030900.1.v4.1 pep chromosome:Pop_tri_v4:16:1719892:1722196:1 gene:Potri.016G030900.v4.1 transcript:Potri.016G030900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G030900.v4.1 MKNKSSLIILSVLVLLCGCYTELGLCGQDNFLKMKLGGVHDCKGSQNSAEIDSLARFAVQEHNKKENAILEFVRVLKAKEQVVAGKLYHLTVEATDAGNNKMYEVKVWVKPWMNFKQLQEFKHVEGGTSSDLGVKPDDHGSGWQLVPTNDLEVQDAANHAVKSIQKRSNSLSPYELVEILLAKAKVIEDYAKFNLLLKLRRGIKEENFKVEVIKNMEGKFHVNLMP >Potri.017G137000.1.v4.1 pep chromosome:Pop_tri_v4:17:13839108:13841144:1 gene:Potri.017G137000.v4.1 transcript:Potri.017G137000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137000.v4.1 MRISRSNIKNCFLVFCASLLVARSCHGSKHVALFIFGDSLYDAGNNKYIEDAPIFSDFWPYGETFFKHPTGRPCDGRLIPDFIAQYANLPLIPPYLQPGDHQFMDGENFESKGDLVLAENLQGMVISSEIQQHLQSSSHRFINGVNFASSGAGALVETHHGWVINLSTQLSYFKHMKRQLRLQLGEAEAKKLLSTAVYIFSIGGNDYFAALTPTHSLLQFYSREEYVGMVIGNITTVIQEIYKIGGRRFGLSTLIALGCLPSLRAAKQEKTGVSGCLDEATMFAKLHNRALPKALKELEGQLEGFRYSIFDAYVAGRERINNPSKYGFKEVQEACCGSGPYRSFPTCGQKGYQLCDNASEYFFFDSAHPTESANNQFAKLMWSGSLDIAKPYNLKTLFEE >Potri.005G138200.2.v4.1 pep chromosome:Pop_tri_v4:5:10831654:10837198:1 gene:Potri.005G138200.v4.1 transcript:Potri.005G138200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138200.v4.1 MWHEARRSERKVHDLMDAARKRAQRRAVYLAKRRGDPMQSIQVIGNRSRMYRDDGLYQATQDQQGLIPWNGKQDVLIDRFDGRALLDFIREFGTRRAPQKSEEEEELEEFVNFQRYRDLIKHRRRGFTDEEGLQHVHQELEAKLTASFASNSNSQPQQPPASKGPYSQVGFSYDGDGKEEFHFSDGDHSESEDDDDDDEDFNSDDSNDEGMERIAKEFGVKRYGWLVYMDKKTKEEEKRQKEVIKGDPAIRKLSRKERRKASQIEREREREAARITGTGVLHHDPYRESRRSPTYEAYSRSRKVRSRSRSFSPSHSRRYTRGGHPDEVHRSKPRTPKIEYITEFGAPGDRDEPKREGYSPPPSPPSQADLLNRPSSCHILEALHIDPASGVSLDSDKSTKVSKSAVSTPSGLAKLTKASTSGGPLKQQQGEKKETPQERLKRIMSKQLNKQIKKDTAAETAKKREQERQRQAKLAETNQLSRYRRRSRSRSYSNSPPRRYRSSRSRSRSRSSRRYHSRSRSQSRSRSHSHSRTHTQSRSPCSRSPRVRSR >Potri.005G138200.3.v4.1 pep chromosome:Pop_tri_v4:5:10831616:10837256:1 gene:Potri.005G138200.v4.1 transcript:Potri.005G138200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138200.v4.1 MWHEARRSERKVHDLMDAARKRAQRRAVYLAKRRGDPMQSIQVIGNRSRMYRDDGLYQATQDQQGLIPWNGKQDVLIDRFDGRALLDFIREFGTRRAPQKSEEEEELEEFVNFQRYRDLIKHRRRGFTDEEGLQHVHQELEAKLTASFASNSNSQPQQPPASKGPYSQVGFSYDGDGKEEFHFSDGDHSESEDDDDDDEDFNSDDSNDEGMERIAKEFGVKRYGWLVYMDKKTKEEEKRQKEVIKGDPAIRKLSRKERRKASQIEREREREAARITGTGVLHHDPYRESRRSPTYEAYSRSRKVRSRSRSFSPSHSRRYTRGGHPDEVHRSKPRTPKIEYITEFGAPGDRDEPKREGYSPPPSPPSQADLLNRSEHSTFNSCKDRLDSLYCAAAYPFCYLKQTFVV >Potri.009G156500.2.v4.1 pep chromosome:Pop_tri_v4:9:12203252:12207657:1 gene:Potri.009G156500.v4.1 transcript:Potri.009G156500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156500.v4.1 MLETSDYLKDDCLKINCTVGVVVSATNSSQLNSIQVPESDIGAHFGMLLDNMEGSDVIFNVAGEKFHAHKLVLSARSPFFRSKFFDDGVEEDEQEIVISDLEPVVFKAMLHFIYRDTLTEDVDMATSSSSPVCSVSETLTTKLLAAADRYGLDRLRLMCESHLCKDISVNSVSSILALADCHHATELKAVCLKFAAENLAAVMRSDGFTYLKENCPSLQSELLKTVAGCEEDCSSEGGKSRSVWAQLSDGCDTNGRRVRQRT >Potri.009G156500.1.v4.1 pep chromosome:Pop_tri_v4:9:12203246:12207672:1 gene:Potri.009G156500.v4.1 transcript:Potri.009G156500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G156500.v4.1 MTEPNPLVSPTTSRSVTETVNGSHKFVIQGYSLAKGMGVGKHIASDNFTVGGYQWAIYFYPDGKNPEDHSAYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLEGGPYTLKYRGSMWGYKRFFRRAMLETSDYLKDDCLKINCTVGVVVSATNSSQLNSIQVPESDIGAHFGMLLDNMEGSDVIFNVAGEKFHAHKLVLSARSPFFRSKFFDDGVEEDEQEIVISDLEPVVFKAMLHFIYRDTLTEDVDMATSSSSPVCSVSETLTTKLLAAADRYGLDRLRLMCESHLCKDISVNSVSSILALADCHHATELKAVCLKFAAENLAAVMRSDGFTYLKENCPSLQSELLKTVAGCEEDCSSEGGKSRSVWAQLSDGCDTNGRRVRQRT >Potri.002G168900.1.v4.1 pep chromosome:Pop_tri_v4:2:12968897:12970225:-1 gene:Potri.002G168900.v4.1 transcript:Potri.002G168900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168900.v4.1 MDRRRRKQAKTTTHCSDQATSQLFLCDDLVEVSSIEWEFINMSEQEEDLIYRMYKLVGDRWALIAGRIPGRKAEEIERYWIMRHCEGFASRRKEQKRDVIPNTS >Potri.002G168900.5.v4.1 pep chromosome:Pop_tri_v4:2:12968491:12970322:-1 gene:Potri.002G168900.v4.1 transcript:Potri.002G168900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168900.v4.1 MDRRRRKQAKTTTHCSDQATSQLFLCDDLVEVSSIEWEFINMSEQEEDLIYRMYKLVGDRWALIAGRIPGRKAEEIERYWIMRHCEGFASRRKEQKRDCVIVTVIVISLAYRP >Potri.019G010700.10.v4.1 pep chromosome:Pop_tri_v4:19:1524940:1529253:1 gene:Potri.019G010700.v4.1 transcript:Potri.019G010700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010700.v4.1 MASSNNSTHTEAAEMEQIITEFFPKSLQIILESRSPFMSSRNYSGEQMVSLSPSSSSSSSPSVRPRDKWFNLALRECPAALENLDLWHQSYLEPMIVDVILVQVPPVRWDPVNYPPQREPVRNFSTKDQYPFHWNSDQEELGCEGKIEKIVERWEVQYEGRKSRDSGTGSRKLSNTFQTCYMKSILLFRSLYATVRLLPAYKIFRDLNSSGKIRSYTLTHRVSSFVEPFTREEEAEMQRFVFTPVDTSCGRLCLSVLYHSSLSDVSSESSTPVSPQFIPDYVGSPLAEPVKRFPSLPVSQGSLLSLPFSRQHSWYYDHNKASPPSFSFSPSPNRSGKHGSISNPSSCRFQPSSLPPHPPEVPSVHKKNTSFDEHYPSPSYTPSPSPSPPIYIPESHLSTAVSQSESAPVSIPATKHASSPLLSNKQNLPPSPPLKATTSCNPKTDESTGLVHPGATDEKLFSPRKDDIRKYASVKTLSNSSQQISFSRTSSRPETFDKKGHLSDPLEPGGLFPIKKSQDAAVGALVHTLKKPPTLCQDFSGSAALPPDSRSKMWSCNLQEYNPISVAPAGQQAAVSGVASSGLIASKTAADALEELKRYEEMKDLLLSQAGRSNA >Potri.019G010700.14.v4.1 pep chromosome:Pop_tri_v4:19:1524591:1529283:1 gene:Potri.019G010700.v4.1 transcript:Potri.019G010700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010700.v4.1 MASSNNSTHTEAAEMEQIITEFFPKSLQIILESRSPFMSSRNYSGEQMVSLSPSSSSSSSPSVRPRDKWFNLALRECPAALENLDLWHQSYLEPMIVDVILVQVPPVRWDPVNYPPQREPVRNFSTKDQYPFHWNSDQEELGCEGKIEKIVERWEVQYEGRKSRDSGTGSRKLSNTFQTCYMKSILLFRSLYATVRLLPAYKIFRDLNSSGKIRSYTLTHRVSSFVEPFTREEEAEMQRFVFTPVDTSCGRLCLSVLYHSSLSDVSSESSTPVSPQFIPDYVGSPLAEPVKRFPSLPVSQGSLLSLPFSRQHSWYYDHNKASPPSFSFSPSPNRSGKHGSISNPSSCRFQPSSLPPHPPEVPSVHKKNTSFDEHYPSPSYTPSPSPSPPIYIPESHLSTAVSQSESAPVSIPATKHASSPLLSNKQNLPPSPPLKATTSCNPKTDESTGLVHPGATDEKVLYMLITMVLCCQGI >Potri.019G010700.13.v4.1 pep chromosome:Pop_tri_v4:19:1525154:1529302:1 gene:Potri.019G010700.v4.1 transcript:Potri.019G010700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010700.v4.1 MASSNNSTHTEAAEMEQIITEFFPKSLQIILESRSPFMSSRNYSGEQMVSLSPSSSSSSSPSVRPRDKWFNLALRECPAALENLDLWHQSYLEPMIVDVILVQVPPVRWDPVNYPPQREPVRNFSTKDQYPFHWNSDQEELGCEGKIEKIVERWEVQYEGRKSRDSGTGSRKLSNTFQTCYMKSILLFRSLYATVRLLPAYKIFRDLNSSGKIRSYTLTHRVSSFVEPFTREEEAEMQRFVFTPVDTSCGRLCLSVLYHSSLSDVSSESSTPVSPQFIPDYVGSPLAEPVKRFPSLPVSQGSLLSLPFSRQHSWYYDHNKASPPSFSFSPSPNRSGKHGSISNPSSCRFQPSSLPPHPPEVPSVHKKNTSFDEHYPSPSYTPSPSPSPPIYIPESHLSTAVSQSESAPVSIPATKHASSPLLSNKQNLPPSPPLKATTSCNPKTDESTGLVHPGATDEKVLYMLITMVLCCQGI >Potri.019G010700.7.v4.1 pep chromosome:Pop_tri_v4:19:1524817:1529283:1 gene:Potri.019G010700.v4.1 transcript:Potri.019G010700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010700.v4.1 MASSNNSTHTEAAEMEQIITEFFPKSLQIILESRSPFMSSRNYSGEQMVSLSPSSSSSSSPSVRPRDKWFNLALRECPAALENLDLWHQSYLEPMIVDVILVQVPPVRWDPVNYPPQREPVRNFSTKDQYPFHWNSDQEELGCEGKIEKIVERWEVQYEGRKSRDSGTGSRKLSNTFQTCYMKSILLFRSLYATVRLLPAYKIFRDLNSSGKIRSYTLTHRVSSFVEPFTREEEAEMQRFVFTPVDTSCGRLCLSVLYHSSLSDVSSESSTPVSPQFIPDYVGSPLAEPVKRFPSLPVSQGSLLSLPFSRQHSWYYDHNKASPPSFSFSPSPNRSGKHGSISNPSSCRFQPSSLPPHPPEVPSVHKKNTSFDEHYPSPSYTPSPSPSPPIYIPESHLSTAVSQSESAPVSIPATKHASSPLLSNKQNLPPSPPLKATTSCNPKTDESTGLVHPGATDEKVLYMLITMVLCCQGI >Potri.019G010700.12.v4.1 pep chromosome:Pop_tri_v4:19:1524797:1529354:1 gene:Potri.019G010700.v4.1 transcript:Potri.019G010700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010700.v4.1 MASSNNSTHTEAAEMEQIITEFFPKSLQIILESRSPFMSSRNYSGEQMVSLSPSSSSSSSPSVRPRDKWFNLALRECPAALENLDLWHQSYLEPMIVDVILVQVPPVRWDPVNYPPQREPVRNFSTKDQYPFHWNSDQEELGCEGKIEKIVERWEVQYEGRKSRDSGTGSRKLSNTFQTCYMKSILLFRSLYATVRLLPAYKIFRDLNSSGKIRSYTLTHRVSSFVEPFTREEEAEMQRFVFTPVDTSCGRLCLSVLYHSSLSDVSSESSTPVSPQFIPDYVGSPLAEPVKRFPSLPVSQGSLLSLPFSRQHSWYYDHNKASPPSFSFSPSPNRSGKHGSISNPSSCRFQPSSLPPHPPEVPSVHKKNTSFDEHYPSPSYTPSPSPSPPIYIPESHLSTAVSQSESAPVSIPATKHASSPLLSNKQNLPPSPPLKATTSCNPKTDESTGLVHPGATDEKVLYMLITMVLCCQGI >Potri.019G010700.11.v4.1 pep chromosome:Pop_tri_v4:19:1524591:1529225:1 gene:Potri.019G010700.v4.1 transcript:Potri.019G010700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010700.v4.1 MASSNNSTHTEAAEMEQIITEFFPKSLQIILESRSPFMSSRNYSGEQMVSLSPSSSSSSSPSVRPRDKWFNLALRECPAALENLDLWHQSYLEPMIVDVILVQVPPVRWDPVNYPPQREPVRNFSTKDQYPFHWNSDQEELGCEGKIEKIVERWEVQYEGRKSRDSGTGSRKLSNTFQTCYMKSILLFRSLYATVRLLPAYKIFRDLNSSGKIRSYTLTHRVSSFVEPFTREEEAEMQRFVFTPVDTSCGRLCLSVLYHSSLSDVSSESSTPVSPQFIPDYVGSPLAEPVKRFPSLPVSQGSLLSLPFSRQHSWYYDHNKASPPSFSFSPSPNRSGKHGSISNPSSCRFQPSSLPPHPPEVPSVHKKNTSFDEHYPSPSYTPSPSPSPPIYIPESHLSTAVSQSESAPVSIPATKHASSPLLSNKQNLPPSPPLKATTSCNPKTDESTGLVHPGATDEKLFSPRKDDIRKYASVKTLSNSSQQISFSRTSSRPETFDKKGHLSDPLEPGGLFPIKKSQDAAVGALVHTLKKPPTLCQDFSGSAALPPDSRSKMWSCNLQEYNPISVAPAGQQAAVSGVASSGLIASKTAADALEELKRYEEMKDLLLSQAGRSNA >Potri.019G010700.9.v4.1 pep chromosome:Pop_tri_v4:19:1524739:1529207:1 gene:Potri.019G010700.v4.1 transcript:Potri.019G010700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G010700.v4.1 MASSNNSTHTEAAEMEQIITEFFPKSLQIILESRSPFMSSRNYSGEQMVSLSPSSSSSSSPSVRPRDKWFNLALRECPAALENLDLWHQSYLEPMIVDVILVQVPPVRWDPVNYPPQREPVRNFSTKDQYPFHWNSDQEELGCEGKIEKIVERWEVQYEGRKSRDSGTGSRKLSNTFQTCYMKSILLFRSLYATVRLLPAYKIFRDLNSSGKIRSYTLTHRVSSFVEPFTREEEAEMQRFVFTPVDTSCGRLCLSVLYHSSLSDVSSESSTPVSPQFIPDYVGSPLAEPVKRFPSLPVSQGSLLSLPFSRQHSWYYDHNKASPPSFSFSPSPNRSGKHGSISNPSSCRFQPSSLPPHPPEVPSVHKKNTSFDEHYPSPSYTPSPSPSPPIYIPESHLSTAVSQSESAPVSIPATKHASSPLLSNKQNLPPSPPLKATTSCNPKTDESTGLVHPGATDEKLFSPRKDDIRKYASVKTLSNSSQQISFSRTSSRPETFDKKGHLSDPLEPGGLFPIKKSQDAAVGALVHTLKKPPTLCQDFSGSAALPPDSRSKMWSCNLQEYNPISVAPAGQQAAVSGVASSGLIASKTAADALEELKRYEEMKDLLLSQAGRSNA >Potri.017G090700.1.v4.1 pep chromosome:Pop_tri_v4:17:10374705:10377882:-1 gene:Potri.017G090700.v4.1 transcript:Potri.017G090700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090700.v4.1 MAVVQDQLEIKFRLTDGSDIGPKTFPAATSVATLKENILAQWPKEKENGPRTLKDVKLISAGKILENNRTVGECRSPLCDIPGGVTTMHVVVQPSSVEKGKKGANQAKQSKCVCVIL >Potri.018G115200.3.v4.1 pep chromosome:Pop_tri_v4:18:13155874:13158509:1 gene:Potri.018G115200.v4.1 transcript:Potri.018G115200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G115200.v4.1 MQIQWHRPVILPLPGAGSDRCSVRPASRCALPSRSHDTQVFTRMPWLNSWLGTGGPDKKQPEPDLTCIVHVPSL >Potri.010G232200.1.v4.1 pep chromosome:Pop_tri_v4:10:21394905:21400905:-1 gene:Potri.010G232200.v4.1 transcript:Potri.010G232200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G232200.v4.1 MNCLRHLPRSSSALPLQGFNFHHRRPSSPPLFSFNMAGTMDYHDLQLRVAVKAAPGSPSSAEMSGTDVEEEEEEKSEIYSHNMTEAMGAVLTYRHELGMNYNFIRPDLIVGSCLQTPEDVDKLRKIGVKTVFCLQQDPDLEYFGVDISAIRDYAKACGDIQHLRAQIRDFDAFDLRIQLPAVVSKLRKAINQNGGVTYIHCTAGMGRAPAVALAYMFWVQGHKLNEAHDLLMSKRSSFPKLNAIKSATADILTGLRKKLVTLKWEDNNYSTVEISGLDIGWGQRIPLELDEERKFWILKRELMEGVYEYKYIVDGEWIVNKNELVTTVNRDGHINNYVQVLDDDADSANAVLRKRLTCEDDPVLTREERLKIRMCLETLPGDDE >Potri.011G008932.1.v4.1 pep chromosome:Pop_tri_v4:11:826524:827250:-1 gene:Potri.011G008932.v4.1 transcript:Potri.011G008932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008932.v4.1 MKSLTIYLLLAMLMALAYTLSATTEEDSFVAIENDDDSTNEDENTDLPWLGTQETTSSLRGANRFLAQKTRAAMTCNKYPRVCRAKGSPGPDCCKKKCVNVLTDRLNCGMCGKKCKYAEICCKGDCVKPMSNKKHCGGCNNKCKKGNACVYGMCSYA >Potri.008G013200.2.v4.1 pep chromosome:Pop_tri_v4:8:663153:663537:-1 gene:Potri.008G013200.v4.1 transcript:Potri.008G013200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013200.v4.1 MGRTGSRGQVTQVRVKFIDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >Potri.008G013200.1.v4.1 pep chromosome:Pop_tri_v4:8:663183:664133:-1 gene:Potri.008G013200.v4.1 transcript:Potri.008G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013200.v4.1 MDSQIKHAVVVKIMGRTGSRGQVTQVRVKFIDDQNRFIMRNVKGPVREGDILTLLESEREARRLR >Potri.010G105100.2.v4.1 pep chromosome:Pop_tri_v4:10:12682414:12684703:-1 gene:Potri.010G105100.v4.1 transcript:Potri.010G105100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105100.v4.1 MSNKAEIGLLGSLPVMNGGNGTYSYAKNSTLQSYYPERLAKCFILSMPWFFVSFWRMISRFLGKGTLEKIVIVNDDEERKCFVKEIGEEVLPEELGGRATLVALQDVTVPPLEG >Potri.012G007800.2.v4.1 pep chromosome:Pop_tri_v4:12:351936:360105:1 gene:Potri.012G007800.v4.1 transcript:Potri.012G007800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007800.v4.1 MGFTPPCLSQSLFFILFLFHFHSTISSPLSSNYQSLSLLQFKQSFSIDSSASSEYCQYPLPKTESWKEGTDCCLWDGVTCDLKTGHVTGLDLSCSMLYGTLLPNNSLFSLHHLQQLDLSFNDFNTSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNYDLKPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLIDCRLQGKLPSLMGKFKHLQYLRLRGNNLTGSIPCDFEQLIELVSLDLSENFYLSPEPICFDKLVRNLTKLRELNLASVNMSLVAPNSLTNLSSSLSSLSLGKCGLQGKFPGNIFLLPNLEFLYLSNNEGLTGSFPSSNLKNDLISNLKSLEYMSLRNCNIIRSDLALLGNLTQLTYLDLSSNNFIGEIPSSIGNNTFSNLKYLLLFDNLFNGTIPSFLFALPSLQFLNLHNNNLIGNISEFQHHSLVNLDLSSNHLHENLEALILVSNSKLTGEISSSICKLRSLEVLDLSDNSLSGSIPLCLGNFSSKLSVLIKKKQSQQQIQQQANVSEEGKDDEEHLFMASQASNTSELNTWLIDSGCTSHMSKFLSIFSSIDRSVQPKIKLGNGDIVQAKGKGTVAVSTNRGTKTITNVLYIPELDQNLLSVAQMLRNGYEVSFKEKFCFITDSHNSEIAKIKMDGNSFYLKLDAVKGHVFSAKIDESILWHKRFGHYNLNSLKLLYDAGMVENMSEIHVTAHTCGSCELGKQHRQPFPKVQQDIGATSDTAVLKVRPLSDVYERCNLVHAEPTNYTEAAGVPAWIEAMKSEIDSIERNGTWRLTELPEDKKEIGVKWVFRTKFNPDGSIFKHKARLVVKGFAQVAGVDYGDTFAPVARHDTIRLLLALAGQKGWRVYHLDVKSAFLNGILLEEIYVQQPEGFVVAGMNNLQGTIPSTFSKGNSLEYLDLNGNELEGEISPSIINCTMLEVLDLGNNKIEDTFPYFLETLPELQILILKSNNLQGFVKGPTADNSFFKLWIFDISDNNFSGPLPTGYFNTLEAMMISDQNMIYLNTTNDIVCVHSIEMTWKGVEIEFPKIRSTIRVLDLSNNSFTGEIPKVIGKLKALQQLNLSHNFLTGHIQSSVGNLTNLESLDLSSNLLTGRIPMQMAHLTFLATLNLSHNQLEGPIPSGEQFNTFDARSFEGNSGLCGFQVLKECYGDEAPSLPPSSFNEGDDSTLFGEGFGWKAVTIGYGCGFLFGVATGYVVFRTNKPSWLLRMVEDIWNLKSKNTKKNFRRYGARRN >Potri.002G032600.11.v4.1 pep chromosome:Pop_tri_v4:2:2165986:2172074:1 gene:Potri.002G032600.v4.1 transcript:Potri.002G032600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032600.v4.1 MSSPSDSLGRPCLEGFSSNEEKRERKSDFENSEEERKTRIGSLKRKAMKASSKLRRSLTKSKKKGTGGCGGGEGVSAAIEDVRDVEELRVVDSFKQLLMADDLLPARHDDYHMLLRFLKARKFDVEKAKQMWANMLQWRKDFGTDTILEDFEFSELKEVLKYYPQGYHGVDKDGRPVYIERLGKVDSSKLMEVTTLERYLRYHVQEFEKTFTIKFPACSIAAKRHIDSSTTILDVQGLGLKNFNKSARELIIQLQKIDGDNYPETLCRMFVINAGPGFKLLWKTVKSFLDPNTASKIYVLGNKYQSKLLEIIGSSELPEFLGGSCTCTDQGGCMRSDKGPWKDPNILKMVLTGEAQYSRQIVTISNSEGRVIAYDKPFNPMIKTSDTSTAESGSEVEDVGSPKPTGSYLLPSLVPVSEEARVAGKTSAAIFPDNNGNIPIIDKTVDAEFQDSSTSTGTPSLLSVEKISEGISARTWAVLVAVFITLLAFFRSMAFWKAKKHSASDSASDITDLTFESAPKEEFRPPSPTPGFTEADLLSSVMKRLGELEQKVDTLQTIPFQMPCEKEELLNAAVYRVDALEAELIATKKALHEALIRLEEVLAYVDGCEKASFQKKKFCW >Potri.002G032600.10.v4.1 pep chromosome:Pop_tri_v4:2:2166027:2172079:1 gene:Potri.002G032600.v4.1 transcript:Potri.002G032600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032600.v4.1 MSSPSDSLGRPCLEGFSSNEEKRERKSDFENSEEERKTRIGSLKRKAMKASSKLRRSLTKSKKKGTGGCGGGEGVSAAIEDVRDVEELRVVDSFKQLLMADDLLPARHDDYHMLLRFLKARKFDVEKAKQMWANMLQWRKDFGTDTILEDFEFSELKEVLKYYPQGYHGVDKDGRPVYIERLGKVDSSKLMEVTTLERYLRYHVQEFEKTFTIKFPACSIAAKRHIDSSTTILDVQGLGLKNFNKSARELIIQLQKIDGDNYPETLCRMFVINAGPGFKLLWKTVKSFLDPNTASKIYVLGNKYQSKLLEIIGSSELPEFLGGSCTCTDQGGCMRSDKGPWKDPNILKMVLTGEAQYSRQIVTISNSEGRVIAYDKPFNPMIKTSDTSTAESGSEVEDVGSPKPTGSYLLPSLVPVSEEARVAGKTSAAIFPDNNGNIPIIDKTVDAEFQDSSTSTGTPSLLSVEKISEGISARTWAVLVAVFITLLAFFRSMAFWKAKKHSASDSASDITDLTFESAPKEEFRPPSPTPGFTEADLLSSVMKRLGELEQKVDTLQTIPFQMPCEKEELLNAAVYRVDALEAELIATKKALHEALIRLEEVLAYVDGCEKASFQKKKFCW >Potri.002G032600.6.v4.1 pep chromosome:Pop_tri_v4:2:2166217:2172050:1 gene:Potri.002G032600.v4.1 transcript:Potri.002G032600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032600.v4.1 MSSPSDSLGRPCLEGFSSNEEKRERKSDFENSEEERKTRIGSLKRKAMKASSKLRRSLTKSKKKGTGGCGGGEGVSAAIEDVRDVEELRVVDSFKQLLMADDLLPARHDDYHMLLRFLKARKFDVEKAKQMWANMLQWRKDFGTDTILEDFEFSELKEVLKYYPQGYHGVDKDGRPVYIERLGKVDSSKLMEVTTLERYLRYHVQEFEKTFTIKFPACSIAAKRHIDSSTTILDVQGLGLKNFNKSARELIIQLQKIDGDNYPETLCRMFVINAGPGFKLLWKTVKSFLDPNTASKIYVLGNKYQSKLLEIIGSSELPEFLGGSCTCTDQGGCMRSDKGPWKDPNILKMVLTGEAQYSRQIVTISNSEGRVIAYDKPFNPMIKTSDTSTAESGSEVEDVGSPKPTGSYLLPSLVPVSEEARVAGKTSAAIFPDNNGNIPIIDKTVDAEFQDSSTSTGTPSLLSVEKISEGISARTWAVLVAVFITLLAFFRSMAFWKAKKHSASDSASDITDLTFESAPKEEFRPPSPTPGFTEADLLSSVMKRLGELEQKVDTLQTIPFQMPCEKEELLNAAVYRVDALEAELIATKKALHEALIRLEEVLAYVDGCEKASFQKKKFCW >Potri.002G032600.12.v4.1 pep chromosome:Pop_tri_v4:2:2166790:2172618:1 gene:Potri.002G032600.v4.1 transcript:Potri.002G032600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032600.v4.1 MKASSKLRRSLTKSKKKGTGGCGGGEGVSAAIEDVRDVEELRVVDSFKQLLMADDLLPARHDDYHMLLRFLKARKFDVEKAKQMWANMLQWRKDFGTDTILEDFEFSELKEVLKYYPQGYHGVDKDGRPVYIERLGKVDSSKLMEVTTLERYLRYHVQEFEKTFTIKFPACSIAAKRHIDSSTTILDVQGLGLKNFNKSARELIIQLQKIDGDNYPETLCRMFVINAGPGFKLLWKTVKSFLDPNTASKIYVLGNKYQSKLLEIIGSSELPEFLGGSCTCTDQGGCMRSDKGPWKDPNILKMVLTGEAQYSRQIVTISNSEGRVIAYDKPFNPMIKTSDTSTAESGSEVEDVGSPKPTGSYLLPSLVPVSEEARVAGKTSAAIFPDNNGNIPIIDKTVDAEFQDSSTSTGTPSLLSVEKISEGISARTWAVLVAVFITLLAFFRSMAFWKAKKHSASDSASDITDLTFESAPKEEFRPPSPTPGFTEADLLSSVMKRLGELEQKVDTLQTIPFQMPCEKEELLNAAVYRVDALEAELIATKKALHEALIRLEEVLAYVDGCEKASFQKKKFCW >Potri.012G128700.1.v4.1 pep chromosome:Pop_tri_v4:12:14434454:14438537:1 gene:Potri.012G128700.v4.1 transcript:Potri.012G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128700.v4.1 MGFAVFLLAFFLFLSRTKWVLANTEIRALMDMKDALDPEARYLSSWNINGSPCDGSFEGVACNENGQVANISLQGKGLNGKVSPAITGLKYLTGLYLHYNSLYGEIPREIANLTALSDLYLNVNNLSGEIPPEIGNMANLQVLQLCYNQFTGSIPSELGSLERLSVLALQSNHLTGAIPASLGDLGMLMRLDLSYNHFFGSVPTKVADAPLLEFLDIRNNSLSGNVPLALKRLDDGFLYENNLGLCGAGFMSLKACNASGLMPGRPEPYGTKVNGLPREIPETANLRLPCNQSQCSNQSKAHHASAAVVTFVVTILLAAIGILTFIQYRRRKQKLASSFEISDSRLSTDQAKGVYRKNGSPLISLEYPNGWDPLADGRNLSGNAQDVFQSFRFNLEEVETATQYFSKVNLLGKINFSATYRGILRDGSTVAIKSISKSSCKSEEAEFLKGLNTLTSLRHENLVSLRGFCCSRGRGECFLIYDFVPNGNLLRYLDVKDGDGHVLEWSTRVSIVRGIARGVAYLHGYNANKPSLIHQNITAEKVLIDQRCNPLLADSGFQNLLTNDIVFSALKTSAAMGYLAPEYTTTGRFTDKSDVYAFGVIVFQVLSGKQKVSNLVRLGADACRFQDYIDPNLHGRFFEYEAAKLARIAWLCTLESPMERPSMEAVVHELGSCSSCL >Potri.001G228200.2.v4.1 pep chromosome:Pop_tri_v4:1:24737759:24740462:1 gene:Potri.001G228200.v4.1 transcript:Potri.001G228200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G228200.v4.1 MAFPCFRTTLNLLLFLVLTPSVFAQTPPNVTSGSYLIASDTSVPWKSPSGEFAFGFHQINNQKLFLLGIWFDTIPEKTLVWYANGDDMAPEGSKVELTLDGSFRLTSPQGREIWKPQSSVDGVAYAALLNNGNFILTDNSSKSLWETFKDPRDTMLPTQILEVGGKLSSRLKESSYSKGRFLLRLQPNDGSVLLKTLALPTGYEYEAYFKSNTSDGASPQNSGYQLVFDKSGQLNVLLDSRSVVNLTKGRESPAGDYFYRATLDVDGPFTLYAHPRAQTNGRWGQTWVAIWSVPDNICTDSNGDLGGGPCGYNSYCKLGTNRRPICECLPGFSLFDTSNEFGGCQLNLMPNCEQGKSKPEDLYALQEVPNTYWPSSSNYEQLQSLNEDDCGRLCLSDCNCVVAVIKEGTCWKKKMPLSNGRQDYSIYGKALVKVSKSAVSLDEPSRRKSNTGNKDQRALLLAGAILLGSSALLIFLFIVAVSLFLLQPHHRRRKLTRSSSILETNLRSFTYKDLKEATDGFKEQLGRGSFGTVYKGLLTSQSSRNYVAVKKLERMVQEGEKEFKTEASAIAKTHHKNLVRLLGFCDEGPNRLLVYEFMSNGTLAGFLFGISRPDWNKRIQMAFGIARALTYLHEECSTQIIHCDIKPQNILLDGTFTARISDFGLAKLLMNEQTRTHTAIRGTRGYVAPEWFRNMPITAKVDVYSYGIMLLEIICCRKSLDMENEKEEEIILADWAHDCYKGGKLDELVKADEEAKNDMKTLETLVMVSIWCIQEDPSLRPSMRTVTQMLEGIVQVSAPPCPSPFSSIC >Potri.003G198100.1.v4.1 pep chromosome:Pop_tri_v4:3:19999828:20001600:1 gene:Potri.003G198100.v4.1 transcript:Potri.003G198100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX46 MAEFENNQEITPNSKPTTNKMTSSSIFNRSLTIHSTSVTKPYLQSTSGFYNSFESMKGKVKKLRSLFESPKPNPNELQIQATKKLQSVKSMGPDYNRFPVNDNRIRLPGTEDRIVVYLTSLRGIRRTYEDCYAVKMIFRGFRVWVDERDISMDSAYKKELQSVLGEKNVSLPQVFIRGNHVGGAEVIKQMFETGEMARVLDGFPRRLAGFVCAGCGDVRFVPCGNCSGSRKLFDEDEGVLKRCLECNENGLIRCSDCCS >Potri.014G002301.1.v4.1 pep chromosome:Pop_tri_v4:14:193083:193567:1 gene:Potri.014G002301.v4.1 transcript:Potri.014G002301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G002301.v4.1 MLPTAINQLERKGPSCNYALNLIIPNTLRFIFGKRGSFFLSGEANAVALLYGKKRRERKKREKMSSPREAIKNLCFSTQECSAGQGNIRTVHLRRLPLYLQASSVSSSFPRSHSLTIVFFLCYCFTMSFWKFIGI >Potri.013G055500.1.v4.1 pep chromosome:Pop_tri_v4:13:4058613:4062548:1 gene:Potri.013G055500.v4.1 transcript:Potri.013G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055500.v4.1 MATKQKLIVEVVDARNLLPKDGHGSSSPYVVIDFYGQRKRTKSAIRDLNPTWNETLEFNVGKPSNVFGDMLELDVYHDKNYGPTRRINHLGRIRLSSSQFVRKGEEALIYYPLEKKYLFSWTQGEIGLRIYYQDEVTPPPPPPPQPAAAREEEAKADTNQESSPPQPTAEAAAPAESEATQPAEAQKSDVETGATTESNKEQPAEEAKSNEEPPAQAEAAAAPPPSDNAPAPIQVDKPPESDVGPSPPPQGPGGQNKEDPSPSSAAVNEPAQEDGDGIVLEPTFRKWGPAPPEIVAASTGSFPEIKVSGINAPQPIIRPVAPTSNYTLEPQESISIERSAFDLVEKMHYLFVRVVKARYLPTSGNPVVRIEVSNSRVQSKPARKTLCFEWDQTFAFGRDAPDSSSIVEISVWDPHDPKSSEMAAAANFLGGICFDVTEIPLRDPPDSPLAPQWYRLEGGGAYRSDLMLATWVGTQADDSFPDAWKTDTAGNINSRAKVYLSPKLWYLRATVLEAQDIFPLMPLKETAVQVKAQLGFQVQKTKTSVSRNGTPSWNEDLLFVAAEPCSDQLIFTLENRQPKGPVTIGMVRIALSATERRVDDRKVASRWFSLEDPRSEKAGYRGRVQLRLCFDGGYHVMDEAAHMSSDYRPTARQLWKQPVGTFELGIIGCKNLSPMKTVDGKGCTDAYCVAKYGPKWVRTRTVCDSLDPKWNEQYTWKVYDPCTVLTIGVFDSSGVYEIDGDKTATRPDFRMGKVRVRLSNLETGKVYRNRYPLILLTNNGVKKMGEIEVAVKFVRATPTLDFLHVYTQPLLPLMHHLKPLGVVQQELLRNSAVKIIATHLSRSEPSLRREVVSYMLDVDTHAFSMRKIRANWIRIINVIASVIDIVRWIDDTRVWKNPTSTVLVHALLIMLVWFPDLIVPTLAFYVFVIGAWNYRFRSRAPLPHFDPKLSLADSADRDELDEEFDPLPSSRPPEMVRTRYDKMRMLGARVQTVLGDFATQGERLQALVTWRDPRATGIFVGLCFVVAMILYMVPSKMVAMASGFYVFRHPIFRDRMPSPALNFFRRLPSLSDRIM >Potri.013G055500.2.v4.1 pep chromosome:Pop_tri_v4:13:4060189:4062553:1 gene:Potri.013G055500.v4.1 transcript:Potri.013G055500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G055500.v4.1 MAAAANFLGGICFDVTEIPLRDPPDSPLAPQWYRLEGGGAYRSDLMLATWVGTQADDSFPDAWKTDTAGNINSRAKVYLSPKLWYLRATVLEAQDIFPLMPLKETAVQVKAQLGFQVQKTKTSVSRNGTPSWNEDLLFVAAEPCSDQLIFTLENRQPKGPVTIGMVRIALSATERRVDDRKVASRWFSLEDPRSEKAGYRGRVQLRLCFDGGYHVMDEAAHMSSDYRPTARQLWKQPVGTFELGIIGCKNLSPMKTVDGKGCTDAYCVAKYGPKWVRTRTVCDSLDPKWNEQYTWKVYDPCTVLTIGVFDSSGVYEIDGDKTATRPDFRMGKVRVRLSNLETGKVYRNRYPLILLTNNGVKKMGEIEVAVKFVRATPTLDFLHVYTQPLLPLMHHLKPLGVVQQELLRNSAVKIIATHLSRSEPSLRREVVSYMLDVDTHAFSMRKIRANWIRIINVIASVIDIVRWIDDTRVWKNPTSTVLVHALLIMLVWFPDLIVPTLAFYVFVIGAWNYRFRSRAPLPHFDPKLSLADSADRDELDEEFDPLPSSRPPEMVRTRYDKMRMLGARVQTVLGDFATQGERLQALVTWRDPRATGIFVGLCFVVAMILYMVPSKMVAMASGFYVFRHPIFRDRMPSPALNFFRRLPSLSDRIM >Potri.014G133200.1.v4.1 pep chromosome:Pop_tri_v4:14:8931409:8933021:-1 gene:Potri.014G133200.v4.1 transcript:Potri.014G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G133200.v4.1 MELIQNIRCVRLDNPSSIFSFSPSKRHFSFSREFNKNPHVSFPNQPLPKPISCKISTEQDSFTINYLVHSCGLPLESAILTSQKVQFQSPERPDSVLALLRNHGFSRTQISSLVKKRPFLLLSNPTNTLLPKLDFFLSLGMSRPHLARTLSSDPTLLTRSLENQIVPSYNFLKTILRSDEKIVSAFKRTTWIFLEDLSKNLIPNLELLRKVGVPQSCISLLLTHFPEAMMENHDEFSENVEEVRKMGFDPNKSTFVLAVHALCGKCNKSIWERCFEVYERWGWTKDDILSAFRKHPHCMMLSEKKIMKGMDFFVNKMGWPSKEIVHCPVILFLSLEKRIIPRCKVIQVLWSKGLIKKDISLNTVLLPVEKRFLERFVTKFEEEVPQLLSVYEGKVDPEGV >Potri.004G035200.1.v4.1 pep chromosome:Pop_tri_v4:4:2757198:2759740:-1 gene:Potri.004G035200.v4.1 transcript:Potri.004G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035200.v4.1 MGAEIEDNGAIQIPPTRVGHIKKRALKNKAVSVSFNQKDLRDYVTGFHKRKKKRRKEAIKQQEEKLRLKRIASRKQRKLEKEFALHGGAPPATNESDEYEEDHEESEPIASVNGTTKYDNGDMQVTVTTSEISREDKDGSSEKTQAEVPRLVEADKTHKLSVSKKKSFKKVSKRKSRTKPQKKRDRKKGKAKNKL >Potri.016G075500.6.v4.1 pep chromosome:Pop_tri_v4:16:5662926:5667078:-1 gene:Potri.016G075500.v4.1 transcript:Potri.016G075500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075500.v4.1 MFETGFHGVIKRAVVIGNGFAGAENQCIGLLRALGLSSRYSLYRVMRPRGTVNEWLHWLPVSVHKKVDNVIRRIYDDSRCFLGKKVMPVLVENGGKNVGLLNVLEADANQIAKMARDTFDRDGPLLVVASGRDTISVASSIRQLAPDNVFVVQIQHPRLNLNRFDLVITPCHDYYPLTPEAQEQIPWFLRSWITPREPPDSNVVLTVGALHQADSAALRIAASLWHDELALLLKPMLVVNIGGPTSKMLCICVYYLHALIFGTFFLEFLIFCQSLQINSV >Potri.016G075500.2.v4.1 pep chromosome:Pop_tri_v4:16:5662999:5667078:-1 gene:Potri.016G075500.v4.1 transcript:Potri.016G075500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G075500.v4.1 MFETGFHGVIKRAVVIGNGFAGAENQCIGLLRALGLSSRYSLYRVMRPRGTVNEWLHWLPVSVHKKVDNVIRRIYDDSRCFLGKKVMPVLVENGGKNVGLLNVLEADANQIAKMARDTFDRDGPLLVVASGRDTISVASSIRQLAPDNVFVVQIQHPRLNLNRFDLVITPCHDYYPLTPEAQEQIPWFLRSWITPREPPDSNVVLTVGALHQADSAALRIAASLWHDELALLLKPMLVVNIGGPTRNCQYGEDLAKQLTEMLRNVLWSCGSLRISFSRRTPEKVSKILLKEFSANAKVYIWDGRGPNPHMGHLAWADAFVITADSVSMLSEACSTGKPVYVVGAERCTWKFAEFQKSLHERGVVRPFTGKEDISETWSYPPLNDSAEAASRVIEAVSKRGWITHA >Potri.004G105400.1.v4.1 pep chromosome:Pop_tri_v4:4:9263142:9266454:1 gene:Potri.004G105400.v4.1 transcript:Potri.004G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105400.v4.1 MAGLLAWAADVVGAHGNNHELSEDRIPIVLTEDQQKYVQELDSKASSLSRSIQGLRLRLPSPDISQRLPHILAHSLASNAALALQLNAHSATKEQAQLRKVTLQEENAAYEKAILNCENKLQERMQETDLLLQKLQEMDETEQTLMEELENAETALDARQSGKSVESVVASETTAEAGPDAEAEKSAILEKLDNKKKELSSMEEIVQDLEKRWVQVQENELKQPTPAQREKLLDKQLHSLMEQLAAKQAQAEGLVGEIHLKEMELERLKGLWRKIESSNVEGNTARNRFGRSTSEKGSASTDYMVDKLPYSTGGRTEHQQRLMLLRSAFVMYILFLNIVVLIKLSF >Potri.011G074150.1.v4.1 pep chromosome:Pop_tri_v4:11:7851883:7852230:1 gene:Potri.011G074150.v4.1 transcript:Potri.011G074150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074150.v4.1 MSRRLILQQARGQSPGLLPLLGSLRFHVLFHSPMGVLFTLPSRYYFAIGHPGVFSLARWSLLIHTGFHVPHATGSERKLVMLSAIGLSPSRVQHSTASPSSTTLVLLSHNPVFTV >Potri.004G018500.2.v4.1 pep chromosome:Pop_tri_v4:4:1309071:1311214:1 gene:Potri.004G018500.v4.1 transcript:Potri.004G018500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G018500.v4.1 MSLFSCCRMEKNNSFKGSRSSWNSKGYGKQGKTLSIVGNMSYKITSSKRKNINQVFTFRELAVATSNFSHHCLVGEGGFGRVYKGYIENIDQIVAVKKLDRKGLQGNREFFSEVLTLSMVKHLNLVKLIGYCADGDQKLLVYEFMANGSLENHLLDLPSGKDPLDWSTRMKIASGAAQGLEYLHGVADPQIIYRDFKASNILLDEDFNPKLSDFGLAKLGPTGGKDHVSTRVMGTYGYCAPEYQMTGQLTTRSDVYSFGVVLLEIISGRRVIDKSRPTEEQNLIHWAAPLLKDRSKFSAMADPLLEGNYPKKSLYQALAIAAMCVHEEAEARPLMADVVTALEFLTKPTEEKKATMASTESIHYVDSVKGGNAKEELEA >Potri.008G160700.5.v4.1 pep chromosome:Pop_tri_v4:8:11039826:11051945:1 gene:Potri.008G160700.v4.1 transcript:Potri.008G160700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160700.v4.1 MLLSHLQSSHPWILPSRIPRLNQTPSSPKRKSFPCRFWWIKSPASGACRFGLCNTGGNCKAINQSTWYKFDSAVYTDIPDNSHPKFLSLKPNSSSEDCQAKLTIGSIAMCCLLTHLNSANTLIKIVKDLLPSLAGTFGTTNSPFACVSNSLNKPTPLQLDVSLPSFQDIKWSLSRLLYLFNMQIERNVATSFVVLLGACFSFVVIGGFLFFKFRGSQSLEDCFWEAWACLCSSSTHLRQRTRVERVIGFVLAIWGILFYSRLLSTMTEQFRHNMQRLREGAQMQVLETDHIIICGVNSRLTFILKQLNKYHEFAVRLGTATARRQKILLMSDLPRKQMDKLADNIAKDLSHIDVLTKSCSLSLTKSFARAAADKARSIIILPTKGDGYEIDTNAFLSVLALQPIARMDSVPTIVEVSNTRTCELLKSISGVKVEPVENVASKLFVQCSRQKGLIKIYRHLLNYQKNVFNLCSFPALAGIKYWQLRRGFEEVVVCGLYRNGKIFFHPNDDEVVQQADKILFIGPVHGKRSPQIAYSSVFKEGASFFQNLEVPEDNSDNLNSAIELRKTRLENIVKRSNRSGSKASDWSLGPKERILFLGWRPDVVEMIDEYDNYLGPGSVLEILSDVPLDERKRTSSVAYQRKLKNIQVSHRIGNPMNFDALQETILDIQNSFNKDEDISFSIVVISDREWLLGDPSRADKQSAFSLLLAENICNKLGVKVQNLVAEIVDSKLGKQISRIKPSLTYIAAEEVMSLVTAQVAENSELNEVWKDILNAEGDEIYVKDINLYMKEGENPSFAELSERAYLRREVAIGYVKDSRKVINPNVKSEPLSLSLTDALIVISELEGEQPIVL >Potri.010G148600.2.v4.1 pep chromosome:Pop_tri_v4:10:15931859:15934821:-1 gene:Potri.010G148600.v4.1 transcript:Potri.010G148600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148600.v4.1 MAGHNESEKKSSDAVNDLQTFRAENLQSNMKVIYYSRTFLSIIGGVIAGILGLTGLTGFVFYFLMMTITSVSLIAKAKFSIHTYFDSWNRVLLDGFLGGLMSFVLFWTFAYDIVHIF >Potri.002G021800.1.v4.1 pep chromosome:Pop_tri_v4:2:1366460:1370402:1 gene:Potri.002G021800.v4.1 transcript:Potri.002G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021800.v4.1 MREILHIQGGQCGNQIGAKFWEVICDEHGIDQNGKYSGDAASSDLQLERINVYYNEASGGKYVPRAVLMDLEPGTMESIRSGPYGQIFRPDNFVHGQSGAGNNWAKGHYTEGAELIDAVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLSTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQGYISLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIAPKGLTMASTFVGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATIEEDGEYEEEGEENYDA >Potri.008G009700.1.v4.1 pep chromosome:Pop_tri_v4:8:473443:475989:1 gene:Potri.008G009700.v4.1 transcript:Potri.008G009700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009700.v4.1 MDLNDLNKVWEIKPLKKIGEEDARKVLERVAKQVQPIMKKRKWKVKILSEFCPANPALLGLNIGGGAEVKLRLRRPNNEWDFFPYEQVLDTMLHELCHNEYGPHNSGFYNLLDEIRKESEELMAKGITGTGEGFDLPGRRLGGFSRQPPLSLLRQSALAATENRARRDALLPSGPKRVGGDSNIKAALSPIQAAAMAAEKRLQDDLWCGSKSSDSVVTVNGNIERPEGSSTSISSKGIATQISPGTSMNAREPRHDHPTWQCNTCTLLNQPMALVCEACGTQRLKDVAKFKSWSCKFCTLENSVELDRCMACGEWRYSYGPPATLYRHLRR >Potri.005G242600.1.v4.1 pep chromosome:Pop_tri_v4:5:23898076:23899712:1 gene:Potri.005G242600.v4.1 transcript:Potri.005G242600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242600.v4.1 MAVQAQLYPERLGLLPMCGMQDCFFNNPVSGLEPGLGFVFQETQQQSLFLEHHSSQNFGFDCNIGAASSTTRDSSLSMSLSQYLDVQLDMQRREVDCMLQFQAGRLRTILQQQRKQQLGITLKSVESKVSSLIRQKEEDLAQATKKTMELEVCLRKVELESERCQRVAREKEAMVVDLSKSLEQLRGRLVMASNEVQDAESFCCGTCDREQDQESQKRMVCKGCNSRSSCIIFLPCRHLCSCKSCDAFLGSCPVCKSVKEASMEVFWV >Potri.011G048700.1.v4.1 pep chromosome:Pop_tri_v4:11:3885618:3886624:1 gene:Potri.011G048700.v4.1 transcript:Potri.011G048700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G048700.v4.1 MESSLPSTQILDSGDSAERKNEAKNYNEVMSTFPKVKGLNGYDYYLYQGFWYAPFFLEGLLSVQERFNPQSTDIFVASCPKTGTTWLKALTFAIFTRSRLSGSTTSSLLTKMPHDCVPFLEYHLAQNPSNRDLAIPLVSTHVPCTCLPKSIISSCCKIIYISRDAKDAFVSLWYFLARLQMSKNVEPLPLEEAFELFCNGIANFGPYWDHVLGYWRASFEFPDIVLDIRGNEERHRCSC >Potri.001G001250.1.v4.1 pep chromosome:Pop_tri_v4:1:104243:105430:1 gene:Potri.001G001250.v4.1 transcript:Potri.001G001250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001250.v4.1 MTRASFEPTNTTVKRVLLQESQKKSVNRSSLLKDSQHVSSSLVDRSAVHQENRTFPSSFLQPSESKGLQYGFTKQAVEIKPPSAFKWATGPLLPSQPLALRSPTLQSNNAMTLSVSSSLVLLAGDKHTRETYNMNADKSKTIESLKHGPANTKGSFFKSASKNHEPPCSSVGATPVAPALPGKVPHINVATSTSQPSEKASSSPAVSISLSVSSSTMINISANIPSSVSTLISSGTMPSSTASTSLSSVSFSPVLPSSSPLSSQAPKTVLPSYTPSLTSETSKELQPPLGKNSTF >Potri.001G170700.1.v4.1 pep chromosome:Pop_tri_v4:1:14654404:14663906:-1 gene:Potri.001G170700.v4.1 transcript:Potri.001G170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170700.v4.1 MVTTTAAAAAGGGGASTSSGQQQTHTLSAKVRKTIQSIKEIVGNFSDADIYMVLKETNMDPNETAQKLLNQDPFHEVKRKREKKKENTSYRGSVDSRKHSENFGQGMRPHTFSDRNAQRGGYTRTASPGNRGINREFRVVRDNRVNQNTSREPKPALLHGSTSAKEQGSGVVTEKGSSTGISSNLKPSDARSSHQASNGPIDSEPRHNRDANSSVGDRKVVSEEKRSVASNATTSRVQVAKSNNSQQHNALQASSNPVVGVYSSSTDPVHVPSPDSRSSGVVGAIKREVGVVGGRRQSFENAVKDLSSSNSFSESFRPFTAISKTDQVSQTAAIEPMPSVPVNRSFLNNQYNNRPHQQAVGHPKASQHNKEWKPKSSQKSSVTSPGVIGTPTKSSSPPTDNSKNMELDAANLQDKFSRINIHENQNVIIAQHIRVPETDRCKLTFGSFGVGFDAPRTPGFQAVGISEESNGESAISLPASAPDSSSDDASGGKQIELLDDQARNYGSDSPAASLESEHPLPVNSSSPPNLDNYADIGLVRNSSPSYAPSESQQQQDHPELPSFSAYDPQTGYDISYFRPQIDETVRGQGLPSPQEALTTHTANVPASTMSTVQQQPPMAQMYPQVHVSQFTNLVPYRQFISPVYVPPMPMPGYSSSPAYPHPSNGNSYLLMPGGGSHLNANGLKYGIQHYKPVPGNNPAGFGNFVSPSGYAINAPGVVGSATGLEDSSRMKYKDGNLYVPNPQAEASEIWIQNPREIPGMQSAPYYNMPGQTHTAYLPSHTGHASFNAAAAQSSHMQFPGLYPPTPQPTAMPSPHHLGPVMGGNVGVGVAPSAPGAQVGAYQQPQLGHLNWTTNF >Potri.001G170700.2.v4.1 pep chromosome:Pop_tri_v4:1:14654355:14663916:-1 gene:Potri.001G170700.v4.1 transcript:Potri.001G170700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170700.v4.1 MVTTTAAAAAGGGGASTSSGQQQTHTLSAKVRKTIQSIKEIVGNFSDADIYMVLKETNMDPNETAQKLLNQDPFHEVKRKREKKKENTSYRGSVDSRKHSENFGQGMRPHTFSDRNAQRGGYTRTASPGNRGINREFRVVRDNRVNQNTSREPKPALLHGSTSAKEQGSGVVTEKGSTGISSNLKPSDARSSHQASNGPIDSEPRHNRDANSSVGDRKVVSEEKRSVASNATTSRVQVAKSNNSQQHNALQASSNPVVGVYSSSTDPVHVPSPDSRSSGVVGAIKREVGVVGGRRQSFENAVKDLSSSNSFSESFRPFTAISKTDQVSQTAAIEPMPSVPVNRSFLNNQYNNRPHQQAVGHPKASQHNKEWKPKSSQKSSVTSPGVIGTPTKSSSPPTDNSKNMELDAANLQDKFSRINIHENQNVIIAQHIRVPETDRCKLTFGSFGVGFDAPRTPGFQAVGISEESNGESAISLPASAPDSSSDDASGGKQIELLDDQARNYGSDSPAASLESEHPLPVNSSSPPNLDNYADIGLVRNSSPSYAPSESQQQQDHPELPSFSAYDPQTGYDISYFRPQIDETVRGQGLPSPQEALTTHTANVPASTMSTVQQQPPMAQMYPQVHVSQFTNLVPYRQFISPVYVPPMPMPGYSSSPAYPHPSNGNSYLLMPGGGSHLNANGLKYGIQHYKPVPGNNPAGFGNFVSPSGYAINAPGVVGSATGLEDSSRMKYKDGNLYVPNPQAEASEIWIQNPREIPGMQSAPYYNMPGQTHTAYLPSHTGHASFNAAAAQSSHMQFPGLYPPTPQPTAMPSPHHLGPVMGGNVGVGVAPSAPGAQVGAYQQPQLGHLNWTTNF >Potri.008G171100.1.v4.1 pep chromosome:Pop_tri_v4:8:11825729:11828556:-1 gene:Potri.008G171100.v4.1 transcript:Potri.008G171100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171100.v4.1 MAANEAGIEVTAPTTGELVTEQPQPQPQPQPHKLERKWTFWFDNQSKQGAAWGTCLRKVYSFDTVEEFWCLYEQVFKPSKLPGNADFHLFKDGIEPKWEDPVCASGGKWSVTSSRKADLDTMWLETLMALIGEQFDEPDDICGVVASVRQRQDKLALWTKAAANEAAQMSIGRKWKEIIDVNDKITYSFHDDSKRERNAKSRYNV >Potri.018G138500.2.v4.1 pep chromosome:Pop_tri_v4:18:14401860:14406216:-1 gene:Potri.018G138500.v4.1 transcript:Potri.018G138500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138500.v4.1 MAESAVSTVVQRLGDLLIQEAVFLDGVNEEVYGMQVELQRMQSFLRDADRRQDEEESVKNWVSEIRETAYDAEDIIEEFALKVALRRRSGMVNVMKRYATLAKETIELHNVGNEIQIIKNRISSLTNSLQTYGIIQRNDDWSPGLGRQQQQLRRSYSHIVEEDIVGLEEDVKVLAEQLVNSNGIVSICGMGGIGKTTLAKKVYHNSKVRHHFDAFAWAYVSQQCQVREVWEGILFKLTNPSKEQREEIANLRDEELVKRLYQVQLEKKCLVILDDIWTIPTWNNLCPAFPYWKTAGSKILLTTRKMDVALHPDPTCFLHVPPQLNDDESWELLKKKACVDNNYPDVRIRAEIERLGREMVGRCTGLPLAIIVLGGLLATKKTTFEWDVVRKNIISHLRRGKGDEQLLGVAEVLALSYHELPYQLKPCFLHLAHFPEDCEIQTKKMLRMWVAEGFVSSVYNGVEEETMEDVAQRYLGELVERCMVQVVERGTTGRIRTCRMHDLMRDLCVSKAKQENFLEVFNQSLASDHPADSFPWSMVREARSIGRLRRLAVVLEGDLHKFIPSGYKRNSHLRSLLYFHEKACHVENWGSLKSVFKNFKLLRVLDLEGIQSHGGKLPKEIGKLIHLRFLSLRDTDIDELPSTIGNLRYLQTLDLLTWNSTVQIPNVVWRLHRLRHLYLPESCGEYSYKWQLANLVNLQTLVNFPAEKCEITDLVRLNHLKKLVIDDPKFGAIFRSPRARFYRLQSLSFVSNEDSTVVQVIQGCPNLYKLHIEGQIEKLPDCQQFSANLAKLNLLGSKLTEDPMPTLEKLPNLRILRLQMDSFLGNKMVCLDKGFPQLKSLFLYDLPNLEEWEVVEGAMANLFHLEISNCTSLKTVPEGLRFITSLREMEIRSMLKAFRTRLEHGGEDYYKVQHVPSIAFRYCDY >Potri.015G013500.1.v4.1 pep chromosome:Pop_tri_v4:15:873381:874913:1 gene:Potri.015G013500.v4.1 transcript:Potri.015G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G013500.v4.1 MAASICSSFNNDMVIDILSRLPVKTLLRFKSVSKPMLSVITNPRFITSHLHQSTKNSSLVFHFSHDEFPISMLYYTEPTTLRVVHIPPSMKDHSLKPRIRIKGSCGGLLFMEIYFGCCMFHYGFWNPATRQFKKVTGPQQCINLLAEGFGYGSKINDYKLVRIGYFLHPRTLITRYDRRRVDSVVRALVFSWKTDSWRTVEDGALLGGRFSDAVAVKGDLYWKVSGVENLANEGVLAFDSDTDMFRRIELPGLNQSSPNYSMTITGFKDSLGLFVFLEGSSNSSFDLWVLNESRMGGNIKSWSKLLTVGSMSRIGWPISAWRGKIILKSPNEKDGFFLYDPISQEVIDVPISSSGAYDYAETLASVDGTSNLMLEDAPL >Potri.005G115500.2.v4.1 pep chromosome:Pop_tri_v4:5:8430625:8433872:1 gene:Potri.005G115500.v4.1 transcript:Potri.005G115500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115500.v4.1 MAGEDGDLPSLTLQVSEPHETWKQEMERRQSQVDVLQVKLMEVKACMQGSEGDAKKELEVLWRRVKTTATLLTYLKSKARVMAVPDLAHTSCGIKELEGVGLVDRSGTPLSSWSRNVDLPSFGPDEEACMRLGQQQGSYDEQDEAYIGELLKCVQMVSNVMEGLVKRVIIAESETAVEKDKVTLSQEENRRKAIQIENMSSKLEEMERFALGTNVILNEMRQRVEDLVEETSRQRQRAAENEQELCRVKRDFESLKSYVSSLISVRETLLSSERQFQTIERLFERLVAKTTQLEGEKMQKETEVQKLMEENVRLSALLDKKEAQLLAMNEQCKVMALNASNI >Potri.018G034400.2.v4.1 pep chromosome:Pop_tri_v4:18:2624608:2628326:1 gene:Potri.018G034400.v4.1 transcript:Potri.018G034400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034400.v4.1 MDVASTELHSENQTDTFPLLMERQENPNSSEHIIDIRNEVSVSPSHNRISTGLEASLHEDRPLSGVRAPTSPPPTSLSNGTNSRSSSLIRRGEARRRRSPLNSGLWISVELVLTLSQIIASIVVLSVSRKEHPRAPLFAWIVGYASGCVATLPLLYWRYSHRNQALEQDSAQNHQGSAHINVPAGPFSLSVSRNSEGEDRRSATTSPRGGQNAVLNARLKVLLEYFKMALDCFFAVWFVVGNVWIFGSHSSAEEAPNLYRLCIVFLTFSCIGYAMPFILCATICCCLPCIISILGFREDLTQTRGATPESIDALPTHKFKLIKNRNGEDSSSGAADGGIVAAGTEKERVISGEDAVCCICLAKYANNDELRELPCSHFFHKDCVDKWLKINASCPLCKSEVGESILGSLPGLNSSQRRVENGAGNGMASTMF >Potri.009G024300.1.v4.1 pep chromosome:Pop_tri_v4:9:3627477:3628114:-1 gene:Potri.009G024300.v4.1 transcript:Potri.009G024300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024300.v4.1 MMMESSLGDVLLKVAMFVIVQGLVYLILSKSSNIFSKTNDKRSSSFKTARSVSIRRILAVLQDLPPGGELSPASSKSTQVQSPTVEKSEDRR >Potri.001G380500.1.v4.1 pep chromosome:Pop_tri_v4:1:40076006:40080846:-1 gene:Potri.001G380500.v4.1 transcript:Potri.001G380500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G380500.v4.1 MMSMTCHNLDFGRAKLDLVACGCSSNASIDRYSVRNYAKSVSKSCNRDYGSRRLVCSRRDIARCRVSPTKTPETLPAGVAEGPAGLLKLKKDSRESISITNLFEVVADDLQTLNQNLQSIVGAENPVLMSAAEQIFGAGGKRMRPALVFLVSRATAEVVGMKELTTEHRRLAEIIEMIHTASLIHDDVLDESDMRRGKETVHQLYGTRVAVLAGDFMFAQSSWYLANLENIEVIKLISQVIKDFASGEIKQASSLFDCDVELEEYLIKSYYKTASLIAASTKGAAIFSGVDSSVSMQMYDYGKNLGLSFQVVDDILDFTQSAEQLGKPAGSDLAKGNLTAPVIFALEQSPKLREIIESEFCESGSIDEAIELVKSSGGIERAQELAKEKADLAIQNLRCLPQGSYQSALEEMVLYNLERIH >Potri.010G114100.5.v4.1 pep chromosome:Pop_tri_v4:10:13380364:13383903:-1 gene:Potri.010G114100.v4.1 transcript:Potri.010G114100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114100.v4.1 MIVPTGVGASIGGFAGDALPVARALSTVVDCLITHPNVLNAAMLYWPMPNVLYVEGYALDRFAEGLWALQPVHQNKVGLVLDGGIEEELRIHHLQVADATRASLGLPVLEYIVTDTPLEVEKWVDSKTGQSTGRIKHPGALLRAVQTLINRSQVNAVAVVGRFPDDDVEDVDDYRQGVGIDLLAGVEAVISHLVVKEFQIPCAHAPAMLPLLLTEDLCPKSAAEEIGYTFLPCVLTGLSKAPQYLVKDSQSLEKGCLLASDVDSVVLPINACAGDGALAFARSKINKPLIITVEENETVLDDTPDKLGIETVKVANYWEAIGVIAAHKAGINPHSLRRNRIGNIKRTFAIPANGFAVSSATQMT >Potri.010G114100.1.v4.1 pep chromosome:Pop_tri_v4:10:13380282:13384226:-1 gene:Potri.010G114100.v4.1 transcript:Potri.010G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114100.v4.1 MATATLHNSRPFIPLTTKSRPSTRLRISCFAPPYCSNKFRREYTSVMIVPTGVGASIGGFAGDALPVARALSTVVDCLITHPNVLNAAMLYWPMPNVLYVEGYALDRFAEGLWALQPVHQNKVGLVLDGGIEEELRIHHLQVADATRASLGLPVLEYIVTDTPLEVEKWVDSKTGQSTGRIKHPGALLRAVQTLINRSQVNAVAVVGRFPDDDVEDVDDYRQGVGIDLLAGVEAVISHLVVKEFQIPCAHAPAMLPLLLTEDLCPKSAAEEIGYTFLPCVLTGLSKAPQYLVKDSQSLEKGCLLASDVDSVVLPINACAGDGALAFARSKINKPLIITVEENETVLDDTPDKLGIETVKVANYWEAIGVIAAHKAGINPHSLRRNRIGNIKRTFAIPANGFAVSSATQMT >Potri.001G161100.1.v4.1 pep chromosome:Pop_tri_v4:1:13649948:13655511:1 gene:Potri.001G161100.v4.1 transcript:Potri.001G161100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161100.v4.1 MKQHHKLTLVLCGIWVFTLLYGEMFAFWLPFQSSCSWPHLSSPPTSTINGVQSPSDYVKVAVVTDQQLMDKTSIGLPPKSFLLETVKFYADLYMRRAFFASILPFKPNVVLFLGDSFDGGPFLSDEEWQESLHRFKHIFGLNDDGILRNIKVYFIPGNHDIGYASISSHNPKVVERYEGEFGIRNHRFTIGKVEFVAVDSQTLDGQPQGNLASMSWEFIKNASIDVQLLPRVLLSHIPLYRRDYTYCGPHRSSPIINQRISHSAHNHEIVYQNYLTEESSNQLLEMIKPALVLSGHDHDQCSVTHESKFGPVKEHTVGTLSWQMGNLYPSFMLLSATNSASSNISAPEAAVMTQLCFLPMQTHIYIWYLSLFALTLLTLLLWPTSGVDFGHHLSDLMASIRLYSSMFKGTKEKTEDEDCEYEMMWDAEGSMHLVKKARDTPLLHPSDKASVERGNAVMRPTARKNAPRNMEVSMNIDINADTGFDKLSHRTSKSKTKIIIHRLVRTFQMVTVIATVNVPLYMMLLFKDWIDK >Potri.002G250800.1.v4.1 pep chromosome:Pop_tri_v4:2:24060930:24062849:-1 gene:Potri.002G250800.v4.1 transcript:Potri.002G250800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250800.v4.1 MEDYYYSRSHVPAFGSWDWNNDMPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYENDVVTPTMIVVPRRREKMRRPRAKEEKREQSWAVTSDVKESPSPPPMSTRPTPKPVDEDLYKISPELLYAKTKKRGGLCFFSSCLMPACAL >Potri.002G250800.3.v4.1 pep chromosome:Pop_tri_v4:2:24060928:24062752:-1 gene:Potri.002G250800.v4.1 transcript:Potri.002G250800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250800.v4.1 MPFTQCFESARQAGLLRYSYSEDRDLYVAGDLYENDVVTPTMIVVPRRREKMRRPRAKEEKREQSWAVTSDVKESPSPPPMSTRPTPKPVDEDLYKISPELLYAKTKKRGGLCFFSSCLMPACAL >Potri.008G149300.1.v4.1 pep chromosome:Pop_tri_v4:8:10176308:10179744:-1 gene:Potri.008G149300.v4.1 transcript:Potri.008G149300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149300.v4.1 MASFTSLSSSMSDAPMILVPAYSSSSSSLGAGVEDAFEDDACSICLDPFTPQDPATDTCCKHEYHLQCIVEWSQRSKECPICWQLLVLKDPASQELLAAVETERLFRPRNSNPASMIVHHLDEDYDVEQDSYSDDSDFDDHVMQHLSASAASRARYVCKRERHRSTGLGPSQVLVFTSPEHVSTVQQTCTSPEEGQILSYGSSVINSSTPGTPSVKIQNLSSVAPPVVNQVSTTAVNSPFKPRILFRQPPIDPPQGQGSSDLSSLSESIKSKWFAASARYKESLSKGTRGMKEKLLARNNSVKELSKEVQREMSAGIAGVARMIERLDLTSKRAGPSMSDSDFTRGTSNFSWKGKGVEQNIIAQALAKQSEEIAHDTSLGASSHASATVPAQVEISHAQVWKPLKF >Potri.004G098700.1.v4.1 pep chromosome:Pop_tri_v4:4:8502850:8504297:-1 gene:Potri.004G098700.v4.1 transcript:Potri.004G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098700.v4.1 MAIELCSDSSAGVSPRISFSHDLCISDIVPVEKRPLRPSSLGNIDFDFCVRKSFDRDSSSADELFSDGKILPTEIKKKTASAKQVDPSMPPGQALQDDVSSNDGFKKDCLNEMKSSSYEEEYQKQSSKSFWRFKRSSSLNCASGYGKSLCPLPLLSRSYSTGSTPSSKRAPLTKDTNHKQHRQSFLKPSQSSSSTNYQKPPLKKNYGPYGNGVRVSPVLNVSSGNLFGLGSIFFNGKDKNKKK >Potri.003G216200.1.v4.1 pep chromosome:Pop_tri_v4:3:21196170:21197157:-1 gene:Potri.003G216200.v4.1 transcript:Potri.003G216200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216200.v4.1 MARVPIFAPKFIILSLIFSFATILVNGDQDHEFVRSMDRKLLGLKKEKLSHFKVYWHDILTGPNPSSIQVVPPLNTSITAFGLVRMIDNPLTLGPEMSSRMVGKAQGFYAQASQQDLGLLMAMNFAFIEGKYNGSTITVLGRNEVFSTVREMPVIGGSGLFRFARGYVQARTHMVDLKTGDATVEYNVYVFHY >Potri.003G202700.1.v4.1 pep chromosome:Pop_tri_v4:3:20229888:20232027:1 gene:Potri.003G202700.v4.1 transcript:Potri.003G202700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202700.v4.1 MVSAPMDLFVSEITTPSTPLLFAAATSTIGMSTSSSSSICSSEDSPATSFRFELQDVGCRSYPSKSLSGAYSYRPLAVLSGHVGSVSCLALCGEFILSASQGKDIIVWQQPDLRMFTKFGQGDGSVKALVTVGSKVFTAHQDSRIRVWKVSRRSENVFRLVDTMPTTKDYLGKFMKQSNYVQTRRHHKKLWIEHADSISCLTVYNGLIYSGSWDKTLKVWRISDLKCLESIKAHDDAINGLVACKGIVYSASADGKIKAWGKEGKSSHSLKGILEGHKDVSLNSVIVSDDGKWVYGGASDGFVMGWEGSYDFLSWKLVSETKAHQMAVLCMCLMGEFLFSGSADKSISIWKREAFGKLSKIGVINGHEGPVKCLQASPNNVGSGFLLYSGGLDKSLRVWWVPKQSTAQNTEEKSISLCS >Potri.011G108300.1.v4.1 pep chromosome:Pop_tri_v4:11:13781340:13783257:1 gene:Potri.011G108300.v4.1 transcript:Potri.011G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108300.v4.1 MSTLSFSPFFPKPQHVTKTKRLNHPYVPRVSCKATDDTQNPPTRRDVLIGLGGLYSATNLADRTAFAKPITPPDLTKCELVDLPNPENPSNCCTPLPKKIIDFRPPSPFSPLRTRRAAHLVDEDYVAKYAEAISLMKSLPEDDPRNFYQQANVHCAYCNGAYEQVGFPKLEIDVHSCWFFFPWHRYYLYFYERILGKLINDPTFALPFWNWDSPSGMQMPYIFTDPKSPLYDQFRDQNHQPPILLDLDYGAGYPNPTNANQLYSSNLTVMYKQMVSGAAKPTLFFGKSYRAGEDTSPGAGTIETTPHNNIHRWTGDPTQENNEDMGNFYSAARDPIFFCHHSNVDRMWTIWKTIPGGTRRDISDPDWLNSEFLFYNENAELVRCKVSDCLDNTGLRYTYQNVEIPWLESKPIPRRLGKKAAETKTALTPITAFPLVLDKTIVTVVSRPKKSRSRKEKEEEDEVLVIEGIEYDKGKFVKFDVFINDDVEMPSKPENTEFAGSFVNVSHKHAKKSKTRLILGITELLEDLESDGDDSIVVALVPRSNSVSDPVVISGVKIEFVKE >Potri.010G137500.2.v4.1 pep chromosome:Pop_tri_v4:10:15206716:15207808:-1 gene:Potri.010G137500.v4.1 transcript:Potri.010G137500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137500.v4.1 MMYIPFFGTRFGTPRSKPVKGGSRCNIVDHGKVDDLGLNDTQDGFESDPSWEFPSLDESEEKEHREQEDDQEVDGREKLCTYEEDSWQILVPKSLTKKEENKTFFELLSFWRKKEKEEICKRF >Potri.010G137500.3.v4.1 pep chromosome:Pop_tri_v4:10:15206716:15207738:-1 gene:Potri.010G137500.v4.1 transcript:Potri.010G137500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G137500.v4.1 MMYIPFFGTRFGTPRSKPVKGGSRCNIVDHGKVDDLGLNDTQDGFESDPSWEFPSLDESEEKEHREQEDDQEVDGREKLCTYEEDSWQILVPKSLTKKEENKTFFELLSFWRKKEKEEICKRF >Potri.002G155350.1.v4.1 pep chromosome:Pop_tri_v4:2:11871810:11871986:1 gene:Potri.002G155350.v4.1 transcript:Potri.002G155350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155350.v4.1 MLDEGKEKMDLYTHTIDNNHQFFLSTSKRPSLLIEGGLVLLQGQTLECPLKCQLQQIC >Potri.006G166800.1.v4.1 pep chromosome:Pop_tri_v4:6:16595761:16598351:1 gene:Potri.006G166800.v4.1 transcript:Potri.006G166800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G166800.v4.1 MAGGLKALWALSVVLLVSNWQHWTYGKATPQVPCYFVFGDSLFDNGNNNYLTTPVKVNYLPYGVDFPLGATGRCSNGLNIADTIAEQLGFDSFITDFGVGGFTNFLDGVNYGSSGAGILDETGYLSRDLFTMNIQLYNHKITVSRIAKQLGGDDVAKKYLSKCIYVSDMGHNDYLNNYFLDTYNSSEIYSPDEYAQHLIKNYKTQLEDLYSTGARKIAVFGLIRVGCMPSNIQQYPNELDDSSCAYKLNDDVKIFNSLLQTMLEELNEKHKDAVFTYINSYDIDSDVTNAGFKHTRESCCQVLQSGAVPCQSLSIPCANRSEYVYWDGAHFTEAKAWAFGKRAFKRQLPKDAHPYDISELVKLELHDNDVNNVNLAQL >Potri.008G045900.1.v4.1 pep chromosome:Pop_tri_v4:8:2649421:2653345:1 gene:Potri.008G045900.v4.1 transcript:Potri.008G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045900.v4.1 MAPVDPHSFTDSTHPLTTHIALTLYFHFPSSTIHAAALLTLQTPHTGQLSLDTRSLTIHKILDPETLTPLPFTLSSSEHQINGRHLTVSLNNHSSILILYSTSPSSSALQWLSPPQTFKKIHPFVYTQCQSVHARSVFPCQDTPAARVCYSAKLNVPRQLSAVMSARHCDRRDPVGSDLDGVLTKEATGFGFDLKSLWCEEGRIVEEFVMDQPIPPYLFAFAVGELGFREVGPRTRVYSEAVDGVLDAAAREFAGTEEMIRQAERLFGEYDWERFDLLVLPPSFPYGGMENPRMVFLTPTVIKGDASGAQVVAHELAHSWTGNLITNKNNEHFWLNEGFTTYAERRIVEVVKGEDIAALNVGIGWRGLNEEMERFKDNMEFTKLKNNQEGVDPDDIYSQVPYEKGFQFLWRIERQIGRPAFDEFLKKYIATFKFKSIDTETFLDFLKANVPEIEKEIDLQQWTEGTGIPPDAHEPVSNLYTKIVSLANDFKLGRMPREDEVADWKGQEWELYLENLPKAVEASQVLALDARYRLSESKDYEVKVGFLQLAISSRCRDYYGEVEKTLKEVGRMKYLRPLYSGLVHGAGKDEEKILAKRVFAEARECYHPIAQGVVEAIFAKHV >Potri.018G143900.5.v4.1 pep chromosome:Pop_tri_v4:18:14886573:14894235:-1 gene:Potri.018G143900.v4.1 transcript:Potri.018G143900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143900.v4.1 MKTSQVWRLGMGDMQILPVARHRPPSKRPTWIIVLVSMVSLFLVCAYIYPPQSRNACYVFSSRGCQVLTDWLPPAPTRELTDEEIASRVVIREILSASLTPTKNAKIAFMFLTPGPLPFEKLWDKFFSGHEDRFSVYVHASKEKPVHVSRYFVNQDIRSDQVIWGKISMIDAERRLLANALRDPDNQHFVLLSDSCVPLYKFDYIYNYLMFTNISYVDRFYDPGPHGNGRYSEHMLPEVEMKDFSKGAQWFSMKRQHAVMVLADSLYYSKFRDYCKPGLEGKNCIADEHYLPTYFHMVDPGGIANWSVTHVDWSERKWHPKLYRSQDVTYDLLRNITSIDLSIHVTSDEKKEVQVQPCLWNGITRPCYLFARKFHKETIDDLLQLFSNYTAL >Potri.018G143900.7.v4.1 pep chromosome:Pop_tri_v4:18:14886573:14894318:-1 gene:Potri.018G143900.v4.1 transcript:Potri.018G143900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143900.v4.1 MKTSQVWRLGMGDMQILPVARHRPPSKRPTWIIVLVSMVSLFLVCAYIYPPQSRNACYVFSSRGCQVLTDWLPPAPTRELTDEEIASRVVIREILSASLTPTKNAKIAFMFLTPGPLPFEKLWDKFFSGHEDRFSVYVHASKEKPVHVSRYFVNQDIRSDQVIWGKISMIDAERRLLANALRDPDNQHFVLLSDSCVPLYKFDYIYNYLMFTNISYVDRFYDPGPHGNGRYSEHMLPEVEMKDFSKGAQWFSMKRQHAVMVLADSLYYSKFRDYCKPGLEGKNCIADEHYLPTYFHMVDPGGIANWSVTHVDWSERKWHPKLYRSQDVTYDLLRNITSIDLSIHVTSDEKKEVQVQPCLWNGITRPCYLFARKFHKETIDDLLQLFSNYTAL >Potri.018G143900.6.v4.1 pep chromosome:Pop_tri_v4:18:14886573:14894318:-1 gene:Potri.018G143900.v4.1 transcript:Potri.018G143900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143900.v4.1 MKTSQVWRLGMGDMQILPVARHRPPSKRPTWIIVLVSMVSLFLVCAYIYPPQSRNACYVFSSRGCQVLTDWLPPAPTRELTDEEIASRVVIREILSASLTPTKNAKIAFMFLTPGPLPFEKLWDKFFSGHEDRFSVYVHASKEKPVHVSRYFVNQDIRSDQVIWGKISMIDAERRLLANALRDPDNQHFVLLSDSCVPLYKFDYIYNYLMFTNISYVDRFYDPGPHGNGRYSEHMLPEVEMKDFSKGAQWFSMKRQHAVMVLADSLYYSKFRDYCKPGLEGKNCIADEHYLPTYFHMVDPGGIANWSVTHVDWSERKWHPKLYRSQDVTYDLLRNITSIDLSIHVTSDEKKEVQVQPCLWNGITRPCYLFARKFHKETIDDLLQLFSNYTAL >Potri.015G093700.1.v4.1 pep chromosome:Pop_tri_v4:15:11614751:11615629:1 gene:Potri.015G093700.v4.1 transcript:Potri.015G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093700.v4.1 MARKLIVLALVFVTIVGLAAAAAPAPSTTDFPPAAAPAPSTTDFTAAEAPLSNDFIGTDDGGAASAPSADGTTVVPGPMGSTAVAEGPSEKDGVATLKFSAVAGVAAVAGYFFFF >Potri.005G195700.1.v4.1 pep chromosome:Pop_tri_v4:5:20273200:20275549:1 gene:Potri.005G195700.v4.1 transcript:Potri.005G195700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX37 MAITAKSFTFLLISSLFLVSCFCAKEARDTFPVVNGLSWSFYDYSCPKAESIIRKQLKKVFKNDIGQAAGLLRLHFHDCFVQGCDGSVLLDGSASGPSEQDAPPNLTLRPKAFEIIDDLRERIHKECGRVVSCADIAAIAARDSVYLSGGPEYDVPLGRRDGLNFATQNATLANLPPPTANASTILRSLAAKNLDATDVVALSGGHTIGIGHCGSFTERLYPTQDPTMEKTFAEDLKGICPTNSSTNTTVLDIRSPNKFDNKYYVDLVNRQGLFTSDQDLYSYKKTRGIVTSFAEDEALFFEKFVVAMIKMSQLSVLTGNQGEIRANCSVRNSDNSYLVSEVEEDLETKSELR >Potri.002G086200.1.v4.1 pep chromosome:Pop_tri_v4:2:6170767:6171712:-1 gene:Potri.002G086200.v4.1 transcript:Potri.002G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086200.v4.1 MKGVGGPLLCIGDLLNDLAEKEEEEEDVVSAAAADRWSPPSSVSDSKNNSLQSLDLTKLFQEEYDRLNQALDGTDHSWTALTLKLCTSLETANKLVQSTNSNVGLLSEKVEGLEKIVRRGDSAVAAARAIHVSLNQKGGPFNGSQNV >Potri.014G041400.1.v4.1 pep chromosome:Pop_tri_v4:14:2709906:2713479:1 gene:Potri.014G041400.v4.1 transcript:Potri.014G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041400.v4.1 MENSKTSDKLSAFLESGIYRFENSNVVFIDPVRMLNRSYTRFRSSPSAYYSRFFDSKETIVTSDSKKRKRKEKKTPHDLNEREQAATLRHQQARPMLLKAHGFLMEATDLLAIMKDLRGGSNICSSTELSEQHSFIELGKVWQAPLYEIVLKGAWKGLERVVPVFNNLVVNETSDDMEAEFLNNKYILPRESCFYMSDLGQVHNLIHECNVGFNLIVIDPPWENGSARQKLRYPTLPNWYFLSLPIKQLAHPDGALVALWMTNREKLHNFVQKELFPSWGVSHEATYYWLKVKADGSLISDLDLFHHRPYECLLLGYFHGQGTNSKQPPRLRPIKHDQIIISIPGEYSRKPPIGELLLEHVPDFKPARCIELFAREMTAGWTSWGNEPLHFQQSTSFVRDEIDDTS >Potri.011G068600.11.v4.1 pep chromosome:Pop_tri_v4:11:6016998:6021193:1 gene:Potri.011G068600.v4.1 transcript:Potri.011G068600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068600.v4.1 MAEDKEMNARVADGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVISLKHCFFSTTDNNELFLNLVMEYVPESMYRVLKHYSNAKQAMPLIYVKLYTYQIFRGLAYIHTVPRVCHRDLKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFSGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPALFNFKQELNGASPELVNKLIPDHVKRQMGLNLMHLAGT >Potri.011G068600.10.v4.1 pep chromosome:Pop_tri_v4:11:6016965:6021702:1 gene:Potri.011G068600.v4.1 transcript:Potri.011G068600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068600.v4.1 MAEDKEMNARVADGNDPVTGHIISTTIGGKNGEPKQTISYMAERVVGTGSFGIVFQAKCLETGETVAIKKVLQDRRYKNRELQLMRVMDHPNVISLKHCFFSTTDNNELFLNLVMEYVPESMYRVLKHYSNAKQAMPLIYVKLYTYQIFRGLAYIHTVPRVCHRDLKPQNLLVDPLTHQVKLCDFGSAKVLVKGEANISYICSRFYRAPELIFGATEYTTSIDIWSAGCVLAELLLGQPLFSGENAVDQLVEIIKVLGTPTREEIRCMNPNYTDFRFPQIKAHPWHKVFHKRMPPEAIDLASRLLQYSPSLRCTALEACAHPFFDELREPNARLPNGRPLPALFNFKQELNGASPELVNKLIPDHVKRQMGLNLMHLAGT >Potri.001G103800.1.v4.1 pep chromosome:Pop_tri_v4:1:8364114:8366625:-1 gene:Potri.001G103800.v4.1 transcript:Potri.001G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G103800.v4.1 MEEAAATQRDQSTDTESVIIQPDLDLPAMCPDEPDRREPKTNLNQGEIFKALEIIERDSLAIAGSFTSLFASLRFALSEATSTSVDHMQCFGDATARLQESVLDAATKGNRYINSCMRLNEEMKGIDTLATQLKNLRRNVDVLDSAVTKLLRFP >Potri.008G217700.4.v4.1 pep chromosome:Pop_tri_v4:8:16229156:16232230:1 gene:Potri.008G217700.v4.1 transcript:Potri.008G217700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217700.v4.1 MSMTTADVKSSERFEEGMVVIQEAVDRAIGIAEGTDNVQGFSSEDYMRYYTTIYELSTPNPLGEYSRELYDYYKKIFEEYITSKVLPALNGKRDQDLLQEIVRRWSILKTMTLWLFRFFNYLDRYFIARRKLPSLQQTSYNTFYNLVYAETFGPVKDAVIAMINREREGEQIDQALVKSILAINAENGVGSLKQHKQNLEEAILKDTAAFYSQKASYWMQKKSYNEYMLAVSQCLTHEKDTVSPYLQAENQKKLLEVVEQELLNAHANELERKKQVDEFPLADRKQTCSEAVLPSAQ >Potri.008G217700.2.v4.1 pep chromosome:Pop_tri_v4:8:16229152:16232380:1 gene:Potri.008G217700.v4.1 transcript:Potri.008G217700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G217700.v4.1 MSMTTADVKSSERFEEGMVVIQEAVDRAIGIAEGTDNVQGFSSEDYMRYYTTIYELSTPNPLGEYSRELYDYYKKIFEEYITSKVLPALNGKRDQDLLQEIVRRWSILKTMTLWLFRFFNYLDRYFIARRKLPSLQQTSYNTFYNLVYAETFGPVKDAVIAMINREREGEQIDQALVKSILAINAENGVGSLKQHKQNLEEAILKDTAAFYSQKASYWMQKKSYNEYMLAVSQCLTHEKDTVSPYLQAENQKKLLEVVEQELLNAHANELERKKQVDEFPLADHLQ >Potri.015G035000.3.v4.1 pep chromosome:Pop_tri_v4:15:2919367:2921557:1 gene:Potri.015G035000.v4.1 transcript:Potri.015G035000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035000.v4.1 MLSVSYNSKSFSNFSKTLSREVKIPIFSFRKKKEERTKFGVYNLRELQTEQTLARILVLNLLCKRNMRNLSFYLTNLTNFLNFLFPFLIKIPSKSLPVQIETMVSLVSRTGRNLQRYEKGCRLVVGCIPYRYKKSQEPTSVEELEVLVISAQNGQGMLFPKGGWENDESMEEAAMRETEEEAGVIGVVGGKLGPWQYKSKRSSIMHESYMFPLLVQEELDSWPESKIRKRRWVSINEAREVCHNWWMRDALEELVRRRRLNPQAQS >Potri.015G035000.1.v4.1 pep chromosome:Pop_tri_v4:15:2919367:2922670:1 gene:Potri.015G035000.v4.1 transcript:Potri.015G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035000.v4.1 MLSVSYNSKSFSNFSKTLSREVKIPIFSFRKKKEERTKFGVYNLRELQTEQTLARILVLNLLCKRNMRNLSFYLTNLTNFLNFLFPFLIKIPSKSLPVQIETMVSLVSRTGRNLQRYEKGCRLVVGCIPYRYKKSQEPTSVEELEVLVISAQNGQGMLFPKGGWENDESMEEAAMRETEEEAGVIGVVGGKLGPWQYKSKRSSIMHESYMFPLLVQEELDSWPESKIRKRRWVSINEAREVCHNWWMRDALEELVRRRRLNPQAQS >Potri.011G132900.1.v4.1 pep chromosome:Pop_tri_v4:11:16637099:16638385:1 gene:Potri.011G132900.v4.1 transcript:Potri.011G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132900.v4.1 MASTLLHSLSFPNPLCLNQLYQSQTPTTPSTLPFRKDQHSHFFSIKCTSSNSAPLTESDDTESSFPPPVSTTLNNSDYPIEKRRRSQIIRDRKAKTDLVKGEPPNYEVGWKRTKEIKLEKPVGYVIMDFLDKLVELMDKEFGSTALLAKVGEIVAERAREEAEVLRDEGKVEDRMVTELFRVLKLMEMDLAMVKAAVKEETLNERLEQAKARCRQAILVAISF >Potri.002G171300.1.v4.1 pep chromosome:Pop_tri_v4:2:13188295:13191841:1 gene:Potri.002G171300.v4.1 transcript:Potri.002G171300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G171300.v4.1 MDSLTLTKPIFNSFYFTPTNPRTKLWCSGHFSFFKSTHLTKFKNYPICFSYKNPSNHQNPKPRNKNNRTFLSNPLRALVPIMEHIKALASSQTKKWASQLQAYSDDSEKVMGEHNGDFTLNGAFGLALLSITSHAKVKISPFVATLAANPTFISGLFAWFIAQSMKVFLNFFVERKWDLRLLFASGGMPSSHSALCTALTTSVAFCHGVADSLFPVCLGFSLIVMYDAIGVRRHAGMQAEVLNMIVEDLFQGHPISQRKLKELLGHNPSQVLAGALLGILVACVCCQGCLVVT >Potri.008G018000.1.v4.1 pep chromosome:Pop_tri_v4:8:908411:909274:1 gene:Potri.008G018000.v4.1 transcript:Potri.008G018000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018000.v4.1 MQKNDNQFHSRGICQRLFQFFMNNLIARPFKSITLRHADAEPRSSTAVPVDDSWRNEVGSMVEEQAPLILKSTIGEEKLHHQGQERSKDTGSGSEIQVHFKQTEEELEHWTSVDKLGSCVHEPMKDSPRINDGDHPKLKKGDIIPIPLSAKGQLPDDKLCLQKSKKVTEPSHDKEEPPLAEVNGVAIGITARRKAQQEAESNVSTMARGRGPKKFVSIQDSKEEDRAKKGKNIISEDWTLPGEQEPKEVYPRHLISVASNINEKSDAFIQRKKEAMRRNFSVERKKP >Potri.017G144481.1.v4.1 pep chromosome:Pop_tri_v4:17:14482109:14483223:1 gene:Potri.017G144481.v4.1 transcript:Potri.017G144481.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144481.v4.1 MGHKIKSIIERLSEISSLKCDFNLSEQTNDYSHEETEMNRSFERFSGLVGRDEDKERIINLLVEPFKVDDAHPLVFSIVGMGGLGKTALAKSVYENEIVKSHFELKMEACVSDGFGLKQVTQKIIKSATGERCADLDEGELIQKLKKF >Potri.017G144481.2.v4.1 pep chromosome:Pop_tri_v4:17:14482109:14483223:1 gene:Potri.017G144481.v4.1 transcript:Potri.017G144481.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144481.v4.1 MNRSFERFSGLVGRDEDKERIINLLVEPFKVDDAHPLVFSIVGMGGLGKTALAKSVYENEIVKSHFELKMEACVSDGFGLKQVTQKIIKSATGERCADLDEGELIQKLKKF >Potri.010G168700.1.v4.1 pep chromosome:Pop_tri_v4:10:17106811:17109169:-1 gene:Potri.010G168700.v4.1 transcript:Potri.010G168700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168700.v4.1 MKANSSTLIKLLVLLCLSVLSVSQDFDFFYFVQQWPGSYCDTMTSCCYPTTGKPAADFGIHGLWPNYNDGTYPKNCDASSPYDPKKVADLRSGMQKNWPTLACPSGTGVAFWTHEWEKHGTCSESILDQHGYFQAALDLKKQVNLLQALTNAGINPDGGSYSLSSIKSAIQEAVGFTPWIECNTDTSRNSQLYQIYLCVDTSGKNVIDCPVFPRGKCDSEIEFPSF >Potri.004G152100.2.v4.1 pep chromosome:Pop_tri_v4:4:17330148:17334282:-1 gene:Potri.004G152100.v4.1 transcript:Potri.004G152100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152100.v4.1 MENSAQRRLERVSGHLLSPIEVNNGLSQVLIKGAHGKHEEEGDPVVIGGMVLDIHATPSLPLNPRTTTPGKVHYVLGGVARNIAECMSKLGTKPYMISALGNDMAGKLLLEHWNSASLSTEGIMKHQDIKTPVICNIFDTEGELAAAVASVEAVEKFLTSSWIQQSKQNIFCAPVMMVDANLSLPALEASCQLAAESNTPVWFEPVSVAKSRRIVSVAKYVTFASPNEDELIAMANALSHENMFRHIERDSNSRCSVESLFQFLKPAILVLLEKGIKIVAVTLGADGVFLCSRGPNVVRFSLDRTKKYGVSGQLYDKVVSSCPSSRFSGALQIERSSHLFSVHFPALPASVVRLTGAGDCLVGGTLASLCSGLDIMQSIAVGIAAAKSAVEGEANVPSEFSLATITDDARSIYSAAKIPFHQSML >Potri.017G062200.3.v4.1 pep chromosome:Pop_tri_v4:17:5152984:5159882:1 gene:Potri.017G062200.v4.1 transcript:Potri.017G062200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G062200.v4.1 MSAVTGGCFFMAVHCRVMPSSSSTSKPKLKPISRTTTTNLNGNRGFKKKREEVQTEEIREKQNPYVLELEKKEMEFTSSMKSFHDYFEQCKNFIKQDSGPPRWFSPLECGSRLDNSPLLLFLPGIDGVGLGLCKHHHTLGKIFDIWCLHVPVKDRTPFLGLVKFVERTVRAENYHSPKRPIYLVGESLGACLALAVAARNPDINLSLLLSNPATSFEKSPLQPLVSFLEIIPIEHQLWLSYMLSLMTGDSLKIVMDNAVKGIPVQQIIGGLSKDVIAMSSHLNDLAALLPRETLLWKQQMLKPASEFANSRLHAVKAQTVVLSSGKDQLLPSEEEGQRLFRALPKCENIKFNDSGHFLLLENGIDLATIIKGTVAFYRLGIHHDYVSDYVPPTPSELKKLYELNRLFLIATSAVMLSTLEGGKVVKGLAGIPSDGPVLFVGYHMLMGYELAPMVSQLLLERNILLRGLAHPLLFMRKKKEGTLPPLSDFDLIRVMGAVPVSGTNLFKLLSSKAHVLLYPGGAREAAHRKGEQYKLFWPEHPEFVRTAARFGAKIVPFGVVGEDDFGEVVFDLDDQMKIPFLRDEIRRSTEEEGIRVRAQINGELGNENMHYPWILPKFPGRFYYYFGKPIETEGRELELRDKDKAQELYLEIKSEVEKCLAFLKEKRESDPYRNIVTRLTYQAMHGFNSEVPTFEI >Potri.017G062200.2.v4.1 pep chromosome:Pop_tri_v4:17:5152988:5159831:1 gene:Potri.017G062200.v4.1 transcript:Potri.017G062200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G062200.v4.1 MSAVTGGCFFMAVHCRVMPSSSSTSKPKLKPISRTTTTNLNGNRGFKKKREEVQTEEIREKQNPYVLELEKKEMEFTSSMKSFHDYFEQCKNFIKQDSGPPRWFSPLECGSRLDNSPLLLFLPGIDGVGLGLCKHHHTLGKIFDIWCLHVPVKDRTPFLGLVKFVERTVRAENYHSPKRPIYLVGESLGACLALAVAARNPDINLSLLLSNPATSFEKSPLQPLVSFLEIIPIEHQLWLSYMLSLMTGDSLKIVMDNAVKGIPVQQIIGGLSKDVIAMSSHLNDLAALLPRETLLWKQQMLKPASEFANSRLHAVKAQTVVLSSGKDQLLPSEEEGQRLFRALPKCENIKFNDSGHFLLLENGIDLATIIKGTVAFYRLGIHHDYVSDYVPPTPSELKKLYELNRLFLIATSAVMLSTLEGGKVVKGLAGIPSDGPVLFVGYHMLMGYELAPMVSQLLLERNILLRGLAHPLLFMRKKKEGTLPPLSDFDLIRVMGAVPVSGTNLFKLLSSKAHVLLYPGGAREAAHRKGEQYKLFWPEHPEFVRTAARFGAKIVPFGVVGEDDFGEVVFDLDDQMKIPFLRDEIRRSTEEEGIRVRAQINGELGNENMHYPWILPKFPGRFYYYFGKPIETEGRELELRDKDKAQELYLEIKSEVEKCLAFLKEKRESDPYRNIVTRLTYQAMHGFNSEVPTFEI >Potri.005G258400.1.v4.1 pep chromosome:Pop_tri_v4:5:24842005:24842781:1 gene:Potri.005G258400.v4.1 transcript:Potri.005G258400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258400.v4.1 MASLSLILSSSNFSLRSDAFGDKLLSIRPVAIKTTGRDSSKRAMKAMRVKASMGGGGGGVARFKGTLVRNQLLTEMIEEKVIEAKEACKGDATSVECKVAWDEVEEVSQAKADFRLRLEKQDPLEYYCQDNPETDECRIYED >Potri.010G152400.4.v4.1 pep chromosome:Pop_tri_v4:10:16194223:16201183:-1 gene:Potri.010G152400.v4.1 transcript:Potri.010G152400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152400.v4.1 MATAEPASATVGPRYAPEDPTLPKPWTGLIDGSTGLLYYWNPETNITQYEKPPSVPPQMPPALPPNASTPKLAQIPMAHSSQPNGLVAQAAQQTMQASQQQGQQISQLHQQYGQVTSQQQGPQVVQVSNQQGAPQQGSQLAQAIQQPGQLRSMQHPGQHLLSHAGQQMPQQGGQQVPQQVGQYIMQHQSLQMPQPQGHQYAYQQPMQYMTYQQNVLPQGQQSSQQQAHLSAQGLQFPNQHEYKAVLPKREEVEFQQGNQTGFSPARFQQTGGSSSQNLSSAGSNPVCMPHSGVQLGQAQQFGSSSVNIQHPPSMVQMQQIGTDFHQQHGPRFQNHMGPSVMHNQQSNLPPAGLNMGYENNAHGRSGNDHYINAKVEGPVMSPHQPEFTAMSRARKQQDSRTGSVPFQNVGPGHGGGFNADGHPNHNMYSHATSGPPFPNNALMRPSFIETADISNLSPAEVYRQEHEVSATGDNVPAPFMTFEATGFPSEILRDIHSAGFVSPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPAFILLQQRRNNAQNGPTVLVLAPTRELATQIQDEVMKFGRSSRVSCTCLYGGAPKIPQLKELERGADIVVATPGRLNDILEMKRIDFRQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPQRQTLMFTATWPKEVRKIASDLLVHPVQVNIGSVDVLAANKSITQYVEVVPQMEKDRRLEQILRTQERGSKAIIFCSTKRLCDQLARSIGRNFGAAAIHGDKSQGERDWALNQFRSGKSPILVATDVAARGLDIKDIRIVINYDFPSGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAADLVKLLEGANQHVPVEVREMALRGGPSFGKDRGGLNRFDAGRGGGRWASGGRGGMRDGGFGGRGGMRDGGFGGRGGMRENSFGGRGGMRENSFGGRGGMRDGNFGGRGGRNDLFSGRENRGRGFGGSGGGHVGWGRNDRGPNDRHSYMDGRGRGHGRFDGRRDMTDKSRGRSFSRSPDRARTRGYSRSRSRSRSRSRSWTRSRSRSPRDSRSRSPRHSRSRSRSPRRSRSRSGIRSHSPQHASSHSLSPRRSPDYDRFERPRERNIDEKDMTVPELKASEQEMSPMSPGTHGNAFSGAELLPATGSAEPVQQEATGDPGLPSVAEA >Potri.010G152400.5.v4.1 pep chromosome:Pop_tri_v4:10:16194102:16200189:-1 gene:Potri.010G152400.v4.1 transcript:Potri.010G152400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152400.v4.1 MPPALPPNASTPKLAQIPMAHSSQPNGLVAQAAQQTMQASQQQGQQISQLHQQYGQVTSQQQGPQVVQVSNQQGAPQQGSQLAQAIQQPGQLRSMQHPGQHLLSHAGQQMPQQGGQQVPQQVGQYIMQHQSLQMPQPQGHQYAYQQPMQYMTYQQNVLPQGQQSSQQQAHLSAQGLQFPNQHEYKAVLPKREEVEFQQGNQTGFSPARFQQTGGSSSQNLSSAGSNPVCMPHSGVQLGQAQQFGSSSVNIQHPPSMVQMQQIGTDFHQQHGPRFQNHMGPSVMHNQQSNLPPAGLNMGYENNAHGRSGNDHYINAKVEGPVMSPHQPEFTAMSRARKQQDSRTGSVPFQNVGPGHGGGFNADGHPNHNMYSHATSGPPFPNNALMRPSFIETADISNLSPAEVYRQEHEVSATGDNVPAPFMTFEATGFPSEILRDIHSAGFVSPTPIQAQTWPIALQSRDIVAIAKTGSGKTLGYLIPAFILLQQRRNNAQNGPTVLVLAPTRELATQIQDEVMKFGRSSRVSCTCLYGGAPKIPQLKELERGADIVVATPGRLNDILEMKRIDFRQVSLLVLDEADRMLDMGFEPQIRKIVNEIPPQRQTLMFTATWPKEVRKIASDLLVHPVQVNIGSVDVLAANKSITQYVEVVPQMEKDRRLEQILRTQERGSKAIIFCSTKRLCDQLARSIGRNFGAAAIHGDKSQGERDWALNQFRSGKSPILVATDVAARGLDIKDIRIVINYDFPSGIEDYVHRIGRTGRAGATGVSYTFFSEQDWKYAADLVKLLEGANQHVPVEVREMALRGGPSFGKDRGGLNRFDAGRGGGRWASGGRGGMRDGGFGGRGGMRDGGFGGRGGMRENSFGGRGGMRENSFGGRGGMRDGNFGGRGGRNDLFSGRENRGRGFGGSGGGHVGWGRNDRGPNDRHSYMDGRGRGHGRFDGRRDMTDKSRGRSFSRSPDRARTRGYSRSRSRSRSRSRSWTRSRSRSPRDSRSRSPRHSRSRSRSPRRSRSRSGIRSHSPQHASSHSLSPRRSPDYDRFERPRERNIDEKDMTVPELKASEQEMSPMSPGTHGNAFSGAELLPATGSAEPVQQEATGDPGLPSVAEA >Potri.014G138000.1.v4.1 pep chromosome:Pop_tri_v4:14:9385617:9390020:-1 gene:Potri.014G138000.v4.1 transcript:Potri.014G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G138000.v4.1 MMIPWGGISCCLSGAALYLLGRSSGRDAEVLKSVAKVNQLKELAKLLDIESKVLPLVVAISGRVGAESPISCEFSGLRGVIVEETAEQHFLKHNDAGSWIQDSALMLSMSKEVPWYLDDGTDRVYVVGARGASGFVLTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGTSLTVVGEAVKDDIGTVRIQRPHKGPFYVSPKSIDELIGNLGKWARWYKYASLGLTVFGAFLITKHVIRYIMERRRRWELQSRVLAAAKRSGQDNEGSNDKAENGSDGAKRERPIPDLCVICLEQEYNAVFLPCGHMCCCITCCSQLSNCPLCRRRIEQVVKTFRH >Potri.008G127900.1.v4.1 pep chromosome:Pop_tri_v4:8:8317795:8320681:1 gene:Potri.008G127900.v4.1 transcript:Potri.008G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127900.v4.1 MANKEEPKTAPQPDRWYNLTLGPSFKEQPSNKYCTLRYEFKPASIDKTKPGLFHKNKDNRVSVEFQNNQLGKPKVTFEGSSEDYKENDAVLFFDGQNFRLESLHRAVKQLRHLRLPGESAAQSFPTVEAPVLSPVGKGVKPGHIGRTGFPAVPVEVERIDVGGTQVSGTKAASRGITEYPTRPPNVSTSSPSPRNDVEEHQDIDIEDIFGAGSPDDGNAIEEKVDAGFDINVPQQNNTDDEIADVDDSGDEADKGLNAAEALRAQKNADGRDKQTSSSSSSSGSGSSGSGSSSSSSSEDSDEDSVNSI >Potri.001G091400.2.v4.1 pep chromosome:Pop_tri_v4:1:7227827:7228740:1 gene:Potri.001G091400.v4.1 transcript:Potri.001G091400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091400.v4.1 MLSSLTSYFSSIVLDSTEGIRRRKGANLIYRDELMKKTSVPKKIKKGGDCLASQEDNEKKKLEKRGCAGSAVEEKGVVRVKVRMTKQEASRLMSKCKEGGVLEFKDVAHELAQLPVDRVSVLSSVGGYGGVLHSIPEEN >Potri.009G059700.9.v4.1 pep chromosome:Pop_tri_v4:9:6199264:6205851:1 gene:Potri.009G059700.v4.1 transcript:Potri.009G059700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059700.v4.1 MLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLEDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFGHSIKPSLKGIVSKSPKKSKDHGKKLWNKKYSNKKDSYAASISKTVPLQQDIHSCVHDLDDYKNDDTESQAKGGLGRCSSPVPGIVNHTEGTCSIYQPGGLKHKYVNEVMVSDGERTSKVVKIKSNKPRDLDSGYDTEKHAGKSKSVKAKKLVINLGARKINITSPKSDAQSCQGEQDWKASNVDHTGKTKGLIKFARREGNLIKFGKVKAEASNFSPKSDGGSHADGYETVPLDYARVSSAKKSLEGSRAAVGPAGEVTKLRSDKLSVGKQSEVRADTHTESNDESGDTPILQSLQKDSKFSLKLKIKKPNFENQSSLIPLREEEKSNIRGQRSKRKRSLNFMEKTMYNEDEGMSQSHLDSEMMEANWILKKLGYDAIGKRVEVHQPSDNSWHKGVVSDIVEDTSMLSITLDDDRVKTLELGKQAVRFVSQKQKRSKT >Potri.009G059700.3.v4.1 pep chromosome:Pop_tri_v4:9:6199059:6205835:1 gene:Potri.009G059700.v4.1 transcript:Potri.009G059700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059700.v4.1 MAFHVACPITCRRICFCSLGFPRDLHSTKPKADFLFDVARIDEFLKDPLGIRASREGTVLVSVPKVVPVPAQIPPTHSLEVVSARDREGDGGVGEEAFSTQTKRVAIQRQAAAAKASAEYYAKVESGDTVASKDTPGEDAGPLCQICFVGETGGSERARKMLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLEDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFGHSIKPSLKGIVSKSPKKSKDHGKKLWNKKYSNKKDSYAASISKTVPLQQDIHSCVHDLDDYKNDDTESQAKGGLGRCSSPVPGIVNHTEGTCSIYQPGGLKHKYVNEVMVSDGERTSKVVKIKSNKPRDLDSGYDTEKHAGKSKSVKAKKLVINLGARKINITSPKSDAQSCQGEQDWKASNDAVDHTGKTKGLIKFARREGNLIKFGKVKAEASNFSPKSDGGSHADGYETVPLDYARVSSAKKSLEGSRAAVGPAGEVTKLRSDKLSVGKQSEVRADTHTESNDESGDTPILQSLQKDSKFSLKLKIKKPNFENQSSLIPLREEEKSNIRGQRSKRKRSLNFMEKTMYNEDEGMSQSHLDSEMMEANWILKKLGYDAIGKRVEVHQPSDNSWHKGVVSDIVEDTSMLSITLDDDRVKTLELGKQAVRFVSQKQKRSKT >Potri.009G059700.12.v4.1 pep chromosome:Pop_tri_v4:9:6199061:6205850:1 gene:Potri.009G059700.v4.1 transcript:Potri.009G059700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059700.v4.1 MVVWGRRLFQRRLSAWLFKGRLLLLRHLRSIMLRSSLVASKDTPGEDAGPLCQICFVGETGGSERARKMLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLEDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFGHSIKPSLKGIVSKSPKKSKDHGKKLWNKKYSNKKDSYAASISKTVPLQQDIHSCVHDLDDYKNDDTESQAKGGLGRCSSPVPGIVNHTEGTCSIYQPGGLKHKYVNEVMVSDGERTSKVVKIKSNKPRDLDSGYDTEKHAGKSKSVKAKKLVINLGARKINITSPKSDAQSCQGEQDWKASNVDHTGKTKGLIKFARREGNLIKFGKVKAEASNFSPKSDGGSHADGYETVPLDYARVSSAKKSLEGSRAAVGPAGEVTKLRSDKLSVGKQSEVRADTHTESNDESGDTPILQSLQKDSKFSLKLKIKKPNFENQSSLIPLREEEKSNIRGQRSKRKRSLNFMEKTMYNEDEGMSQSHLDSEMMEANWILKKLGYDAIGKRVEVHQPSDNSWHKGVVSDIVEDTSMLSITLDDDRVKTLELGKQAVRFVSQKQKRSKT >Potri.009G059700.7.v4.1 pep chromosome:Pop_tri_v4:9:6199264:6205830:1 gene:Potri.009G059700.v4.1 transcript:Potri.009G059700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059700.v4.1 MLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLEDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFGHSIKPSLKGIVSKSPKKSKDHGKKLWNKKYSNKKDSYAASISKTVPLQQDIHSCVHDLDDYKNDDTESQAKGGLGRCSSPVPGIVNHTEGTCSIYQPGGLKHKYVNEVMVSDGERTSKVVKIKSNKPRDLDSGYDTEKHAGKSKSVKAKKLVINLGARKINITSPKSDAQSCQGEQDWKASNDAVDHTGKTKGLIKFARREGNLIKFGKVKAEASNFSPKSDGGSHADGYETVPLDYARVSSAKKSLEGSRAAVGPAGEVTKLRSDKLSVGKQSEVRADTHTESNDESGDTPILQSLQKDSKFSLKLKIKKPNFENQSSLIPLREEEKSNIRGQRSKRKRSLNFMEKTMYNEDEGMSQSHLDSEMMEANWILKKLGYDAIGKRVEVHQPSDNSWHKGVVSDIVEDTSMLSITLDDDRVKTLELGKQAVRFVSQKQKRSKT >Potri.009G059700.1.v4.1 pep chromosome:Pop_tri_v4:9:6199066:6205835:1 gene:Potri.009G059700.v4.1 transcript:Potri.009G059700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059700.v4.1 MAFHVACPITCRRICFCSLGFPRDLHSTKPKADFLFDVARIDEFLKDPLGIRASREGTVLVSVPKVVPVPAQIPPTHSLEVVSARDREGDGGVGEEAFSTQTKRVAIQRQAAAAKASAEYYAKVESGDTVASKDTPGEDAGPLCQICFVGETGGSERARKMLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLEDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFGHSIKPSLKGIVSKSPKKSKDHGKKLWNKKYSNKKDSYAASISKTVPLQQDIHSCVHDLDDYKNDDTESQAKGGLGRCSSPVPGIVNHTEGTCSIYQPGGLKHKYVNEVMVSDGERTSKVVKIKSNKPRDLDSGYDTEKHAGKSKSVKAKKLVINLGARKINITSPKSDAQSCQGEQDWKASNVDHTGKTKGLIKFARREGNLIKFGKVKAEASNFSPKSDGGSHADGYETVPLDYARVSSAKKSLEGSRAAVGPAGEVTKLRSDKLSVGKQSEVRADTHTESNDESGDTPILQSLQKDSKFSLKLKIKKPNFENQSSLIPLREEEKSNIRGQRSKRKRSLNFMEKTMYNEDEGMSQSHLDSEMMEANWILKKLGYDAIGKRVEVHQPSDNSWHKGVVSDIVEDTSMLSITLDDDRVKTLELGKQAVRFVSQKQKRSKT >Potri.009G059700.11.v4.1 pep chromosome:Pop_tri_v4:9:6199254:6205851:1 gene:Potri.009G059700.v4.1 transcript:Potri.009G059700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059700.v4.1 MVVWGRRLFQRRLSAWLFKGRLLLLRHLRSIMLRSSLVASKDTPGEDAGPLCQICFVGETGGSERARKMLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLEDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFGHSIKPSLKGIVSKSPKKSKDHGKKLWNKKYSNKKDSYAASISKTVPLQQDIHSCVHDLDDYKNDDTESQAKGGLGRCSSPVPGIVNHTEGTCSIYQPGGLKHKYVNEVMVSDGERTSKVVKIKSNKPRDLDSGYDTEKHAGKSKSVKAKKLVINLGARKINITSPKSDAQSCQGEQDWKASNVDHTGKTKGLIKFARREGNLIKFGKVKAEASNFSPKSDGGSHADGYETVPLDYARVSSAKKSLEGSRAAVGPAGEVTKLRSDKLSVGKQSEVRADTHTESNDESGDTPILQSLQKDSKFSLKLKIKKPNFENQSSLIPLREEEKSNIRGQRSKRKRSLNFMEKTMYNEDEGMSQSHLDSEMMEANWILKKLGYDAIGKRVEVHQPSDNSWHKGVVSDIVEDTSMLSITLDDDRVKTLELGKQAVRFVSQKQKRSKT >Potri.009G059700.10.v4.1 pep chromosome:Pop_tri_v4:9:6199061:6205851:1 gene:Potri.009G059700.v4.1 transcript:Potri.009G059700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059700.v4.1 MVVWGRRLFQRRLSAWLFKGRLLLLRHLRSIMLRSSLVASKDTPGEDAGPLCQICFVGETGGSERARKMLPCKSCGKKYHRSCLKTWARHRDLFHWSSWTCPSCQTCEVCRKTGDPNKFVFCKRCDGAYHCYCQHPPHKNVSSGPYLCPKHTRCHSCGSSVPGNGLSVRWFLGYTCCDACGRLFVKGNYCPVCLKVYRDSESTPMVCCDICQRWVHCHCDGISDEKYLQFQVDGNLQYQCATCRGECYQVKDLEDAIQELWRRRDKADRGLIASLRAAAGLPAQEDIFSISPYSDGDGNGPEALRNDFGHSIKPSLKGIVSKSPKKSKDHGKKLWNKKYSNKKDSYAASISKTVPLQQDIHSCVHDLDDYKNDDTESQAKGGLGRCSSPVPGIVNHTEGTCSIYQPGGLKHKYVNEVMVSDGERTSKVVKIKSNKPRDLDSGYDTEKHAGKSKSVKAKKLVINLGARKINITSPKSDAQSCQGEQDWKASNDAVDHTGKTKGLIKFARREGNLIKFGKVKAEASNFSPKSDGGSHADGYETVPLDYARVSSAKKSLEGSRAAVGPAGEVTKLRSDKLSVGKQSEVRADTHTESNDESGDTPILQSLQKDSKFSLKLKIKKPNFENQSSLIPLREEEKSNIRGQRSKRKRSLNFMEKTMYNEDEGMSQSHLDSEMMEANWILKKLGYDAIGKRVEVHQPSDNSWHKGVVSDIVEDTSMLSITLDDDRVKTLELGKQAVRFVSQKQKRSKT >Potri.003G153266.5.v4.1 pep chromosome:Pop_tri_v4:3:16586695:16588736:1 gene:Potri.003G153266.v4.1 transcript:Potri.003G153266.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G153266.v4.1 MHEHMFCINGTILFHQQTLNMSFGIRCGHNRAGGAETSALINEIANSYGANHVPIEQHLSPLPGESPNTAHAKMKRKAPETALDRDKKREIGKAYRLQCREKKMKTEQDLVLLTEENNNLKKENEQLKREGVKQPEMVQTRKEEMKVVKNELCHLKDQLQIQNAVVEVLSSQVASRKNNMDLQCENKQLGFQKCLLIKKINDNDYLNDVIQLQENYTKLEQDKNALQVIIDALCAKIKQG >Potri.001G182150.1.v4.1 pep chromosome:Pop_tri_v4:1:16090482:16091647:1 gene:Potri.001G182150.v4.1 transcript:Potri.001G182150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182150.v4.1 MVFGYAGAAAFQHFPKLFLHAFHISLTTETFPVTTVNYSPRNLTIFFLVSVADQCIIDELKPQFPSPNCSPLSSLATTPFLFCPKTTNPGASFFPSVHSFQTKIENTNLHRSVPPLLQLQKTPKKFVLEKVHDRDTKQSCNTVVVCRTKTETEDKAAEPCWFGHLKR >Potri.018G025600.2.v4.1 pep chromosome:Pop_tri_v4:18:1870316:1879009:-1 gene:Potri.018G025600.v4.1 transcript:Potri.018G025600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025600.v4.1 MKHHTTTIATSMEAAATSSSCSSSAPTPSPSDQSSLATSSSTTTATPTSTTTTIDDITVGTTRDGTNGAAAEAVTIDRRGEYSAICKWTVQNFPRVKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLSIFNPLDDSKTIHRDSWHRFSSKKKSHGWCDFTPASTVFDSKLGYLFNNDCVLITADILILNESVSFIRDNSSSTSNNEVQSGVSLSISSNSVVVGPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSQVFPAGECNLRISVYQSSVNGTDYLSMCLESKDTEKTSVSDRSCWCLFRMSVLNQKAGGSNHVHRDSYGRFAADNKSGDNTSLGWNDYMKMADFVGAESGFLVDDTAVFSTSFHVIKEFSSFSKNGGLNGGRIGGGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFIDQDTESTNSASQIDGVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLETDAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSDDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSSNDAKKATKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDSSDAHPKPSLDGSGAASPLESDRESGATESARFPVHERLDSGLDDSTRASAVQSSDINGTGIPGQALPGQPIHPPVTTAGGASGNASLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVSLVPKLVEHAEHPLVAYALLERLQKPDAEPALRIPVFGALSQLECGSDVWERVLFQSFDLLADSNDEPLAATIDFIFKAASQCQHLPEAVRSVRSRLKILGADVSPFVLDFLSKTVNSWGDVAETILRDIDCDDDLGDSCSTLPCGLFLFGENASAAERLQVVDEQTFHSSSHFSDIYILIEMLSIPCLALEASQTFERAVGRGAIMAQSVAIVLERRLAQRLNFNARFVAENFQQEDAILEGEASEQLRVQRDDFSVVLGLAETLALSRDLCVKGFVKMLYMILFKWYANEPCRGRMLKRLVDHATSTTDNSRDVDLDLDILAILVCEEQEIVKPVLSMMREVAELANVDRAALWHQLCASEDEIIRMRDERKAEISNMAREKANLSQKLSDSEATNNRLKSEMRAEMDRFAREKKELSEQIHEVESQLEWVRSERDDEIIKLTVEKKVLQDRLHDAETQLSQLKSRKRDELKRVVKEKNALTERLKSAEAARKRFDEELKRYATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMTRHAPLYGAGLEALSMQELETISRIHEEGLRQIHVLQQRKGSPASPHVSPHTLPHNHGMYPAAPPPMAVGLPPLISNGVGIHSNGHINGAVGPWFNHT >Potri.018G025600.3.v4.1 pep chromosome:Pop_tri_v4:18:1870490:1878991:-1 gene:Potri.018G025600.v4.1 transcript:Potri.018G025600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025600.v4.1 MEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFIDQDTESTNSASQIDGVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLETDAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSDDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSSNDAKKATKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDSSDAHPKPSLDGSGAASPLESDRESGATESARFPVHERLDSGLDDSTRASAVQSSDINGTGIPGQALPGQPIHPPVTTAGGASGNASLRSKTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVSLVPKLVEHAEHPLVAYALLERLQKPDAEPALRIPVFGALSQLECGSDVWERVLFQSFDLLADSNDEPLAATIDFIFKAASQCQHLPEAVRSVRSRLKILGADVSPFVLDFLSKTVNSWGDVAETILRDIDCDDDLGDSCSTLPCGLFLFGENASAAERLQVVDEQTFHSSSHFSDIYILIEMLSIPCLALEASQTFERAVGRGAIMAQSVAIVLERRLAQRLNFNARFVAENFQQEDAILEGEASEQLRVQRDDFSVVLGLAETLALSRDLCVKGFVKMLYMILFKWYANEPCRGRMLKRLVDHATSTTDNSRDVDLDLDILAILVCEEQEIVKPVLSMMREVAELANVDRAALWHQLCASEDEIIRMRDERKAEISNMAREKANLSQKLSDSEATNNRLKSEMRAEMDRFAREKKELSEQIHEVESQLEWVRSERDDEIIKLTVEKKVLQDRLHDAETQLSQLKSRKRDELKRVVKEKNALTERLKSAEAARKRFDEELKRYATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMTRHAPLYGAGLEALSMQELETISRIHEEGLRQIHVLQQRKGSPASPHVSPHTLPHNHGMYPAAPPPMAVGLPPLISNGVGIHSNGHINGAVGPWFNHT >Potri.018G025600.4.v4.1 pep chromosome:Pop_tri_v4:18:1870310:1876238:-1 gene:Potri.018G025600.v4.1 transcript:Potri.018G025600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025600.v4.1 MAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLETDAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSDDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSSNDAKKATKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDSSDAHPKPSLDGSGAASPLESDRESGATESARFPVHERLDSGLDDSTRASAVQSSDINGTGIPGQALPGQPIHPPVTTAGGASGNASLRSKSDFQTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVSLVPKLVEHAEHPLVAYALLERLQKPDAEPALRIPVFGALSQLECGSDVWERVLFQSFDLLADSNDEPLAATIDFIFKAASQCQHLPEAVRSVRSRLKILGADVSPFVLDFLSKTVNSWGDVAETILRDIDCDDDLGDSCSTLPCGLFLFGENASAAERLQVVDEQTFHSSSHFSDIYILIEMLSIPCLALEASQTFERAVGRGAIMAQSVAIVLERRLAQRLNFNARFVAENFQQEDAILEGEASEQLRVQRDDFSVVLGLAETLALSRDLCVKGFVKMLYMILFKWYANEPCRGRMLKRLVDHATSTTDNSRDVDLDLDILAILVCEEQEIVKPVLSMMREVAELANVDRAALWHQLCASEDEIIRMRDERKAEISNMAREKANLSQKLSDSEATNNRLKSEMRAEMDRFAREKKELSEQIHEVESQLEWVRSERDDEIIKLTVEKKVLQDRLHDAETQLSQLKSRKRDELKRVVKEKNALTERLKSAEAARKRFDEELKRYATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMTRHAPLYGAGLEALSMQELETISRIHEEGLRQIHVLQQRKGSPASPHVSPHTLPHNHGMYPAAPPPMAVGLPPLISNGVGIHSNGHINGAVGPWFNHT >Potri.018G025600.5.v4.1 pep chromosome:Pop_tri_v4:18:1870296:1875318:-1 gene:Potri.018G025600.v4.1 transcript:Potri.018G025600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025600.v4.1 MDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSSNDAKKATKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDSSDAHPKPSLDGSGAASPLESDRESGATESARFPVHERLDSGLDDSTRASAVQSSDINGTGIPGQALPGQPIHPPVTTAGGASGNASLRSKSDFQTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVSLVPKLVEHAEHPLVAYALLERLQKPDAEPALRIPVFGALSQLECGSDVWERVLFQSFDLLADSNDEPLAATIDFIFKAASQCQHLPEAVRSVRSRLKILGADVSPFVLDFLSKTVNSWGDVAETILRDIDCDDDLGDSCSTLPCGLFLFGENASAAERLQVVDEQTFHSSSHFSDIYILIEMLSIPCLALEASQTFERAVGRGAIMAQSVAIVLERRLAQRLNFNARFVAENFQQEDAILEGEASEQLRVQRDDFSVVLGLAETLALSRDLCVKGFVKMLYMILFKWYANEPCRGRMLKRLVDHATSTTDNSRDVDLDLDILAILVCEEQEIVKPVLSMMREVAELANVDRAALWHQLCASEDEIIRMRDERKAEISNMAREKANLSQKLSDSEATNNRLKSEMRAEMDRFAREKKELSEQIHEVESQLEWVRSERDDEIIKLTVEKKVLQDRLHDAETQLSQLKSRKRDELKRVVKEKNALTERLKSAEAARKRFDEELKRYATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMTRHAPLYGAGLEALSMQELETISRIHEEGLRQIHVLQQRKGSPASPHVSPHTLPHNHGMYPAAPPPMAVGLPPLISNGVGIHSNGHINGAVGPWFNHT >Potri.018G025600.1.v4.1 pep chromosome:Pop_tri_v4:18:1870316:1879015:-1 gene:Potri.018G025600.v4.1 transcript:Potri.018G025600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025600.v4.1 MKHHTTTIATSMEAAATSSSCSSSAPTPSPSDQSSLATSSSTTTATPTSTTTTIDDITVGTTRDGTNGAAAEAVTIDRRGEYSAICKWTVQNFPRVKARALWSKYFEVGGYDCRLLIYPKGDSQALPGYISIYLQIMDPRGTSSSKWDCFASYRLSIFNPLDDSKTIHRDSWHRFSSKKKSHGWCDFTPASTVFDSKLGYLFNNDCVLITADILILNESVSFIRDNSSSTSNNEVQSGVSLSISSNSVVVGPVSDVLSGKFTWKVHNFSLFKEMIKTQKIMSQVFPAGECNLRISVYQSSVNGTDYLSMCLESKDTEKTSVSDRSCWCLFRMSVLNQKAGGSNHVHRDSYGRFAADNKSGDNTSLGWNDYMKMADFVGAESGFLVDDTAVFSTSFHVIKEFSSFSKNGGLNGGRIGGGARKSDGHMGKFTWRIENFTRLKDLLKKRKITGLCIKSRRFQIGNRDCRLIVYPRGQSQPPCHLSVFLEVTDSRNTSSDWSCFVSHRLSVVNQRMEEKSVTKESQNRYSKAAKDWGWREFVTLTSLFDQDSGFLVQDTVVFSAEVLILKETSIMQDFIDQDTESTNSASQIDGVGKRSSFTWKVENFLSFKEIMETRKIFSKFFQAGGCELRIGVYESFDTICIYLESDQSVGSDPDKNFWVRYRMAVVNQKNPAKTVWKESSICTKTWNNSVLQFMKVSDMLETDAGFLVRDTVVFVCEILDCCPWFEFSDLEVLASEDDQDALTTDPDELIDSDDSEGISGDEEDIFRNLLSRAGFHLTYGDNPSQPQVTLREKLLMDAGAIAGFLTGLRVYLDDPAKVKRLLLPTKLSSSNDAKKATKADESSPSLMNLLMGVKVLQQAIIDLLLDIMVECCQPSEGSSNDDSSDAHPKPSLDGSGAASPLESDRESGATESARFPVHERLDSGLDDSTRASAVQSSDINGTGIPGQALPGQPIHPPVTTAGGASGNASLRSKSDFQTKWPEQSEELLGLIVNSLRALDGAVPQGCPEPRRRPQSAQKIALVLDKAPKHLQPDLVSLVPKLVEHAEHPLVAYALLERLQKPDAEPALRIPVFGALSQLECGSDVWERVLFQSFDLLADSNDEPLAATIDFIFKAASQCQHLPEAVRSVRSRLKILGADVSPFVLDFLSKTVNSWGDVAETILRDIDCDDDLGDSCSTLPCGLFLFGENASAAERLQVVDEQTFHSSSHFSDIYILIEMLSIPCLALEASQTFERAVGRGAIMAQSVAIVLERRLAQRLNFNARFVAENFQQEDAILEGEASEQLRVQRDDFSVVLGLAETLALSRDLCVKGFVKMLYMILFKWYANEPCRGRMLKRLVDHATSTTDNSRDVDLDLDILAILVCEEQEIVKPVLSMMREVAELANVDRAALWHQLCASEDEIIRMRDERKAEISNMAREKANLSQKLSDSEATNNRLKSEMRAEMDRFAREKKELSEQIHEVESQLEWVRSERDDEIIKLTVEKKVLQDRLHDAETQLSQLKSRKRDELKRVVKEKNALTERLKSAEAARKRFDEELKRYATENVTREEIRQSLEDEVRRLTQTVGQTEGEKREKEEQVARCEAYIDGMESKLQACQQYIHTLEASLQEEMTRHAPLYGAGLEALSMQELETISRIHEEGLRQIHVLQQRKGSPASPHVSPHTLPHNHGMYPAAPPPMAVGLPPLISNGVGIHSNGHINGAVGPWFNHT >Potri.008G123600.9.v4.1 pep chromosome:Pop_tri_v4:8:8035081:8040126:-1 gene:Potri.008G123600.v4.1 transcript:Potri.008G123600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123600.v4.1 MSSGEGRRRRDNLVPLAALISREMRIEKMDKPTVKYGHAAQSRKGEDHFLIKMDCQRVPGNSSSTFSVFAIFDGHNGNAAAIYTRENLLNHILGAMPRGLGREEWIQALPRALVAGFVKTDKEFQSRGETSGTTATFVIVDRWTVTVASVGDSRCILDAQGGAVSSLTVDHRLEENVEERKRVTASGGEVGRLSIVGGVEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSNAGGRLIVASDGIWDALSSEMAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPDNSIQPPTPPKKHNMLRALLFRKKCHDSSSKLSKKLSAIGTVEELFEEGSAMLAERLGNDYSTSQSTSGLFTCVVCQIDLAPSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVKVA >Potri.008G123600.10.v4.1 pep chromosome:Pop_tri_v4:8:8035082:8039734:-1 gene:Potri.008G123600.v4.1 transcript:Potri.008G123600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123600.v4.1 MACLSSGETSGTTATFVIVDRWTVTVASVGDSRCILDAQGGAVSSLTVDHRLEENVEERKRVTASGGEVGRLSIVGGVEVGPLRCWPGGLCLSRSIGDMDVGEFIVPVPYVKQVKLSNAGGRLIVASDGIWDALSSEMAAKSCRGLPAELAAKQVVKEALRTRGLKDDTTCIVVDIIPPDNSIQPPTPPKKHNMLRALLFRKKCHDSSSKLSKKLSAIGTVEELFEEGSAMLAERLGNDYSTSQSTSGLFTCVVCQIDLAPSEGISVHAGSIFSTSSKPWQGPFLCADCRNKKDAMEGKRPSGVKVA >Potri.019G132601.2.v4.1 pep chromosome:Pop_tri_v4:19:15464072:15472097:-1 gene:Potri.019G132601.v4.1 transcript:Potri.019G132601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132601.v4.1 MLKEEGTGQIYGLVHGQTRRSARIWALEEKAHQLSLQRRTHKPPPSPSALESKKKRRGRKRKSLLLYMGVNSVAPPMNQKEDGNPIDGGASIETDSSLQGMPKKQTLEFIVDILQRRDTQEIFAQPVDPDEVVGYYDIIKEAMDFGTIRAKLQEGMYTSLDQFQRDVFLISSNAMKFNSSTTVYYSEARAISELAQRVFNSLRTEPEKFELEHSRMRRHPAQKGHGETGSVLNKLVKLAGFRDGASLNSTSLKRATRTHPASTRLNPLVDHTKNEMPSGSRDGRNLESSETARRMTYMPQTSSINGKGSIISELYNTPKVLTPIPDSSIRYKESLKQFVKDLGPTAQMVANKKLGKHPIETPNFASWMPTSSCKKTDLVPVSSALNRPARLTGVNDGRMPRNSFNSISPASFEGKMVFPSGGVNGYNTREMIPTPNCLQGIRAHPNYGGSNNSALMGENAAGTSKNTDACASSEAKMRGTSGNMNLLMATLMQITAFQNRNSQTPSGYFPLTGMYPAQPSQLITEPQPWLNNHSEPLYLPSLSTKLASTSQAATSLPQFDFQCANGEGSSTGAASVVEHKYGTKHPQAVQLQPAERIQPPPPWDPQAVYNNLPIMRKMVSEQQIPSRQNALIRKQQSQVASMQPMPVWHNKLC >Potri.019G132601.3.v4.1 pep chromosome:Pop_tri_v4:19:15464081:15469215:-1 gene:Potri.019G132601.v4.1 transcript:Potri.019G132601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132601.v4.1 MADLELQIMEYGNPIDGGASIETEQPADSSLQGMPKKQTLEFIVDILQRRDTQEIFAQPVDPDEVVGYYDIIKEAMDFGTIRAKLQEGMYTSLDQFQRDVFLISSNAMKFNSSTTVYYSEARAISELAQRVFNSLRTEPEKFELEHSRMRRHPAQKGHGETGSVLNKLVKLAGFRDGASLNSTSLKRATRTHPASTRLNPLVDHTKNEMPSGSRDGRNLESSETARRMTYMPQTSSINGKGSIISELYNTPKVLTPIPDSSIRYKESLKQFVKDLGPTAQMVANKKLGKHPIETPNFASWMPTSSCKKTDLVPVSSALNRPARLTGVNDGRMPRNSFNSISPASFEGKMVFPSGGVNGYNTREMIPTPNCLQGIRAHPNYGGSNNSALMGENAAGTSKNTDACASSEAKMRGTSGNMNLLMATLMQITAFQNRNSQTPSGYFPLTGMYPAQPSQLITEPQPWLNNHSEPLYLPSLSTKLASTSQAATSLPQFDFQCANGEGSSTGAASVVEHKYGTKHPQAVQLQPAERIQPPPPWDPQAVYNNLPIMRKMVSEQQIPSRQNALIRKQQSQVASMQPMPVWHNKLC >Potri.019G132601.4.v4.1 pep chromosome:Pop_tri_v4:19:15464081:15469215:-1 gene:Potri.019G132601.v4.1 transcript:Potri.019G132601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132601.v4.1 MADLELQIMEYGNPIDGGASIETDSSLQGMPKKQTLEFIVDILQRRDTQEIFAQPVDPDEVVGYYDIIKEAMDFGTIRAKLQEGMYTSLDQFQRDVFLISSNAMKFNSSTTVYYSEARAISELAQRVFNSLRTEPEKFELEHSRMRRHPAQKGHGETGSVLNKLVKLAGFRDGASLNSTSLKRATRTHPASTRLNPLVDHTKNEMPSGSRDGRNLESSETARRMTYMPQTSSINGKGSIISELYNTPKVLTPIPDSSIRYKESLKQFVKDLGPTAQMVANKKLGKHPIETPNFASWMPTSSCKKTDLVPVSSALNRPARLTGVNDGRMPRNSFNSISPASFEGKMVFPSGGVNGYNTREMIPTPNCLQGIRAHPNYGGSNNSALMGENAAGTSKNTDACASSEAKMRGTSGNMNLLMATLMQITAFQNRNSQTPSGYFPLTGMYPAQPSQLITEPQPWLNNHSEPLYLPSLSTKLASTSQAATSLPQFDFQCANGEGSSTGAASVVEHKYGTKHPQAVQLQPAERIQPPPPWDPQAVYNNLPIMRKMVSEQQIPSRQNALIRKQQSQVASMQPMPVWHNKLC >Potri.019G132601.1.v4.1 pep chromosome:Pop_tri_v4:19:15464072:15472097:-1 gene:Potri.019G132601.v4.1 transcript:Potri.019G132601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132601.v4.1 MLKEEGTGQIYGLVHGQTRRSARIWALEEKAHQLSLQRRTHKPPPSPSALESKKKRRGRKRKSLLLYMGVNSVAPPMNQKEDGNPIDGGASIETEQPADSSLQGMPKKQTLEFIVDILQRRDTQEIFAQPVDPDEVVGYYDIIKEAMDFGTIRAKLQEGMYTSLDQFQRDVFLISSNAMKFNSSTTVYYSEARAISELAQRVFNSLRTEPEKFELEHSRMRRHPAQKGHGETGSVLNKLVKLAGFRDGASLNSTSLKRATRTHPASTRLNPLVDHTKNEMPSGSRDGRNLESSETARRMTYMPQTSSINGKGSIISELYNTPKVLTPIPDSSIRYKESLKQFVKDLGPTAQMVANKKLGKHPIETPNFASWMPTSSCKKTDLVPVSSALNRPARLTGVNDGRMPRNSFNSISPASFEGKMVFPSGGVNGYNTREMIPTPNCLQGIRAHPNYGGSNNSALMGENAAGTSKNTDACASSEAKMRGTSGNMNLLMATLMQITAFQNRNSQTPSGYFPLTGMYPAQPSQLITEPQPWLNNHSEPLYLPSLSTKLASTSQAATSLPQFDFQCANGEGSSTGAASVVEHKYGTKHPQAVQLQPAERIQPPPPWDPQAVYNNLPIMRKMVSEQQIPSRQNALIRKQQSQVASMQPMPVWHNKLC >Potri.007G085800.3.v4.1 pep chromosome:Pop_tri_v4:7:11046929:11051097:1 gene:Potri.007G085800.v4.1 transcript:Potri.007G085800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085800.v4.1 MEATYGSVTVTLTNGLLMCAGEVLTTLLVSALFKTQLLKALDPSGLLLTNKPRMDGDEAQGHDKPVDAEGDDDNDDDDDDEEEGGDGLGEGEEELSSEDGGDLGNNPNNNKSNSKKGPGGGAAGGAEENGEEEEDDDEEGEGQDDDDDDEDDDDDDDDGGEEDEEEVEEVENEDEEEEDEDEEALQPPKKRKK >Potri.007G085800.4.v4.1 pep chromosome:Pop_tri_v4:7:11047243:11051039:1 gene:Potri.007G085800.v4.1 transcript:Potri.007G085800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085800.v4.1 MEATYGSVTVTLTNGLLMCAGEVLTTLLVSALFKTQLLKALDPSGLLLTNKPRMDGDEAQGHDKPVDAEGDDDNDDDDDDEEEGGDGLGEGEEELSSEDGGDLGNNPNNNKSNSKKGPGGGAAGGAEENGEEEEDDDEEGEGQDDDDDDEDDDDDDDDGGEEDEEEVEEVENEDEEEEDEDEEALQPPKKRKK >Potri.007G085800.1.v4.1 pep chromosome:Pop_tri_v4:7:11047946:11051039:1 gene:Potri.007G085800.v4.1 transcript:Potri.007G085800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085800.v4.1 MEATYGSVTVTLTNGLLMCAGEVLTTLLVSALFKTQLLKALDPSGLLLTNKPRMDGDEAQGHDKPVDAEGDDDNDDDDDDEEEGGDGLGEGEEELSSEDGGDLGNNPNNNKSNSKKGPGGGAAGGAEENGEEEEDDDEEGEGQDDDDDDEDDDDDDDDGGEEDEEEVEEVENEDEEEEDEDEEALQPPKKRKK >Potri.015G112700.1.v4.1 pep chromosome:Pop_tri_v4:15:12882269:12893582:-1 gene:Potri.015G112700.v4.1 transcript:Potri.015G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112700.v4.1 MERDQDSCGTQLIDGNGVFNVEGLDNFSKITKLSQCGLSYAIVAIMGPQSSGKSTLLNHLFQTNFREMDAIRGRSQTTKGIWMAKCVGIEPFTIAMDLEGTDGRERGEDDTAFEKQSALFALAVADIVLINMWCHDIGREQAANKPLLKTVFQAMMRLFSPRKTTLLFVIRDKTRTPLEYLEPILREDIQKIWATVTKPEAHKSTPLSEFFNVEVTALSSYEEKEEQFEREVAELRQRFFHSISPGGLAGDRQGVVPASGFSFSAQQIWKIIKENKDLDLPAHKVMVATVRCEEIANEKLRYLSSDQGWLALEEAVQAGPVSGFGKKLSSILEFYLSEYENEAIYFDEGVRNAKQQQLESRALDVVHHAYVTMLGHLRSKALESFKTRLEQSLHKGEGFAASVRACAQSCMVEFDKGCEDASIRQANWDASKVREKLHRDIEAHAASVRSTMLTEMIAKYEKQLTDMLSGPVEALFEAGENDAWTSIRKLLKRETEVAVSEFSTAVASFELDKPTIDTMVQNLREYGRNVVEKKAREEAGKVLIRMKDRFTTIFNHDNDSMPRVWTGKEDIRAITKDARSASLKILSTLAAIRLDEKSDDIENVLFSSLSDGTVSVPSSKDRSIGASSDSLASSTWKEVSPKATLLTPVQCKSLWRQFKAETEYSVTQAISAQEAHKRSNNWLPPPWAIVAMVVLGFNEFMVLLRNPLYLLVLFVVYLLSKALWVQMDITGEFRNGALPGILSISSRLLPTVMNLLRRLAEEAQGRPTPEAPMQQSFSFQNNRNQTQLNPTSSTIPESSVSSSCMSSTDSEYSSPNLMHRRSTKISEEEFS >Potri.015G106200.5.v4.1 pep chromosome:Pop_tri_v4:15:12484074:12486487:1 gene:Potri.015G106200.v4.1 transcript:Potri.015G106200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106200.v4.1 MNIILKPQHLLSKKPNLTHFFSSKTPIPLPYGTETNDPSYKDVPKPVRDKSERKPYVTPMKMLIKRAKEEKEARKLQPCRMLENPPDNGLLVPQLVPVAYQVYEAREVLLSGISKLVKVIPVQKCRFCHELHIGHVGHEIRTCTGPGSGMRSSTHVWRKGRVHDVVFSPKSYHLYDRVGKPRVVHDESRRVPRIPAIVELCIQAGVDLEKHPTKRRTKPVYSIEGRIVDFEQAKENDENERNLHDENLDPLMGSDLGTKFDEARNFILDKETDQLEESLEGVTDLREISIGTMESWFKMISGAKKIMEKYGVLTCGYCPEVQVGPKGHKVRMCKATKHQHRDGLHAWQEATIDDLVAPNYVWHVRDTNGLPLDNKLKRYYGKAPAVVELCVQAGAPVPDQYRSMMRLDVVPPDRDEVDLVA >Potri.018G053150.1.v4.1 pep chromosome:Pop_tri_v4:18:5215886:5220405:-1 gene:Potri.018G053150.v4.1 transcript:Potri.018G053150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053150.v4.1 MPLFSHRYISSSPPPSQPPTIPKPQNPTLSLPQPAQTCSNGALIDRPKLLGFVGTQTGFESGDRRAALRSTWFPCDPDGILRPSSLLLYGKLEQATGLSFRFLIGRSKDAKKMALLEKEVDKYKDFMLAYFKTAFQLFEADYYVKADDDIYLRPGPVITDPHKKWYEKSGHLIGKEYFLHAYGPIYVPSAEVVASLAAARNNSLRMFSNEDVTIGSWMVAMNVHHEDNREICDPRSTPTSIAVWDIPKCSTQVGSWDCFHCLIFPSGVATKVPVNGNVAADINSGEVARRTVGYSGDDLTNVCRDASSKWPRRKIVGKKRDEIKNV >Potri.015G036400.3.v4.1 pep chromosome:Pop_tri_v4:15:3104076:3109164:1 gene:Potri.015G036400.v4.1 transcript:Potri.015G036400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036400.v4.1 MVLKTMFLCNSSLIISKILVNIKSPSAIFSSITKSHNHCSYYYFFSSSSSSKNKNWSLIHQIVKAFHNQQNPKPLNPILLSKLQLYHVPDVIISLQPKPFSAIRFFEWAESFFISPLSAPSFCALLHVLLQNQLFSRAACVFDKFIMQFGNDYDTLDAFRDGFCDLDSTNHSVVYGFLIESYCRKGMFDKSVDIFMHVCVKGIFVSPNVVYLLLGSLIDSHCVEVIVDKYGELCSAMREQPFSVYEFVMNRFMNKGEVEMGLRFHKALVQGGFGLDIITCNKILKGIWMQNDIGVADDYFNMVVRIGPKPNVVTFSTLIDAYCKEGNLDKAFVLFDVMAGNGVTPDLIVYSILIDGLFKAGRLEDGQRLLLVALDKGIKLDVVGFSSAMDAYVKIGDLGRVIQIYKRMLNEGISPNVVSCSILIKGFCQNGRILEACGLFVQILKLGFEPSILTYSALIAGFCKSGNLRDGFYLYEDMIKKRCEPDTIVYSVLINGLCKQGLVGDALRFFFQAVNRGLSPNVFTLNTLLDSFCRLKCIVGAMKVYYLMGMLNIKADTVTYTILIKGAAQFGRVDEALMLFFQMLKKDFKPDVITYCTLIDGLCKLKKSSAGLCIFDFMCKNAVAPDIAIYNVLINMHSREGHLEAALGLFVHVVERGPKPDVFTFNTMICCYCNFKRLDDAVQLFAKMTSEQLRPNAITFTILIDAFCREGRMDDAMLMFSKMLEEGPEPNLVTYSCLIHGYFKSQSMMESGLKLYNEMLENNIAPNIVSYSILIDGLCKRGLMKEASCAFRCALDKHLLPDVIAYTILIRGYCKVGRLTEAMMFYDNMLLNRLTPDRFLERTLEEYQLKKAGAKHLCA >Potri.015G036400.2.v4.1 pep chromosome:Pop_tri_v4:15:3106224:3110864:1 gene:Potri.015G036400.v4.1 transcript:Potri.015G036400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036400.v4.1 MVLKTMFLCNSSLIISKILVNIKSPSAIFSSITKSHNHCSYYYFFSSSSSSKNKNWSLIHQIVKAFHNQQNPKPLNPILLSKLQLYHVPDVIISLQPKPFSAIRFFEWAESFFISPLSAPSFCALLHVLLQNQLFSRAACVFDKFIMQFGNDYDTLDAFRDGFCDLDSTNHSVVYGFLIESYCRKGMFDKSVDIFMHVCVKGIFVSPNVVYLLLGSLIDSHCVEVIVDKYGELCSAMREQPFSVYEFVMNRFMNKGEVEMGLRFHKALVQGGFGLDIITCNKILKGIWMQNDIGVADDYFNMVVRIGPKPNVVTFSTLIDAYCKEGNLDKAFVLFDVMAGNGVTPDLIVYSILIDGLFKAGRLEDGQRLLLVALDKGIKLDVVGFSSAMDAYVKIGDLGRVIQIYKRMLNEGISPNVVSCSILIKGFCQNGRILEACGLFVQILKLGFEPSILTYSALIAGFCKSGNLRDGFYLYEDMIKKRCEPDTIVYSVLINGLCKQGLVGDALRFFFQAVNRGLSPNVFTLNTLLDSFCRLKCIVGAMKVYYLMGMLNIKADTVTYTILIKGAAQFGRVDEALMLFFQMLKKDFKPDVITYCTLIDGLCKLKKSSAGLCIFDFMCKNAVAPDIAIYNVLINMHSREGHLEAALGLFVHVVERGPKPDVFTFNTMICCYCNFKRLDDAVQLFAKMTSEQLRPNAITFTILIDAFCREGRMDDAMLMFSKMLEEGPEPNLVTYSCLIHGYFKSQSMMESGLKLYNEMLENNIAPNIVSYSILIDGLCKRGLMKEASCAFRCALDKHLLPDVIAYTILIRGYCKVGRLTEAMMFYDNMLLNRLTPDRFLERTLEEYQLKKAGAKHLCA >Potri.015G036400.4.v4.1 pep chromosome:Pop_tri_v4:15:3107729:3110864:1 gene:Potri.015G036400.v4.1 transcript:Potri.015G036400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036400.v4.1 MIKKRCEPDTIVYSVLINGLCKQGLVGDALRFFFQAVNRGLSPNVFTLNTLLDSFCRLKCIVGAMKVYYLMGMLNIKADTVTYTILIKGAAQFGRVDEALMLFFQMLKKDFKPDVITYCTLIDGLCKLKKSSAGLCIFDFMCKNAVAPDIAIYNVLINMHSREGHLEAALGLFVHVVERGPKPDVFTFNTMICCYCNFKRLDDAVQLFAKMTSEQLRPNAITFTILIDAFCREGRMDDAMLMFSKMLEEGPEPNLVTYSCLIHGYFKSQSMMESGLKLYNEMLENNIAPNIVSYSILIDGLCKRGLMKEASCAFRCALDKHLLPDVIAYTILIRGYCKVGRLTEAMMFYDNMLLNRLTPDRFLERTLEEYQLKKAGAKHLCA >Potri.010G073900.1.v4.1 pep chromosome:Pop_tri_v4:10:10172383:10172832:1 gene:Potri.010G073900.v4.1 transcript:Potri.010G073900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073900.v4.1 MANTLRNSNSAAARSQPSRLQRRRPASLQISPASSSSSCWKVAIPLLSPLITSPTAMDMKSREDPQPPPRSQGTEGEKPVVFTKWQHPAAPLCYEPAKFKPSFSVPV >Potri.001G240000.1.v4.1 pep chromosome:Pop_tri_v4:1:25752508:25755316:-1 gene:Potri.001G240000.v4.1 transcript:Potri.001G240000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240000.v4.1 MAKPRTLFLLLLTFYYHFSSSSAAYTVGVNYGTVANNLPSPSQVASFLKTQTTIDRVKIFDTNPDILRAFANTGISVTVTVGNGDIPSLAKLPAAQSWIAANILPFHPQTSIKYIAVGNEVLATSDKVLIAHTLPAMKALTSALELANITTIHVATPHSLGILSSSEPPSTGRFRKGYDQRIFAPFLDYHRKTKSPFMVNPYPYFGFKAETLNYALFKPNSGVFDAATGNNYTNMFDAQLDAVYSAMKRLGYGDVDIVVAETGWPSVGDPNQPGVSMENAISYNKNLVKHVNSGKGTPLMPNRTFETYVFSLFNENLKPSVSERNFGLFKPDLTPVYDVGILRDDKALAPTPGTAADAPSSSSPGRQRQWCVPKSDASDDALQKNIDYVCSNGVDCKPIQQGGPCFVPDTVKSHASYAMNAFYQASGRHDYDCDFSHTGVLTSIDPSYEACDYPFDGLKWQQKSVTGISRRLYCTVEEILIVASGLIFVHFFLF >Potri.001G240000.4.v4.1 pep chromosome:Pop_tri_v4:1:25752546:25755240:-1 gene:Potri.001G240000.v4.1 transcript:Potri.001G240000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240000.v4.1 MAKPRTLFLLLLTFYYHFSSSSAAYTVGVNYGTVANNLPSPSQVASFLKTQTTIDRVKIFDTNPDILRAFANTGISVTVTVGNGDIPSLAKLPAAQSWIAANILPFHPQTSIKYIAVGNEVLATSDKVLIAHTLPAMKALTSALELANITTIHVATPHSLGILSSSEPPSTGRFRKGYDQRIFAPFLDYHRKTKSPFMVNPYPYFGFKAETLNYALFKPNSGVFDAATGNNYTNMFDAQLDAVYSAMKRLGYGDVDIVVAETGWPSVGDPNQPGVSMENAISYNKNLVKHVNSGKGTPLMPNRTFETYVFSLFNENLKPSVSERNFGLFKPDLTPVYDVGILRDDKVISKNSSLLLLITRNKTSVEEINFSSLFFNGFN >Potri.001G240000.3.v4.1 pep chromosome:Pop_tri_v4:1:25752547:25755301:-1 gene:Potri.001G240000.v4.1 transcript:Potri.001G240000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240000.v4.1 MAKPRTLFLLLLTFYYHFSSSSAAYTVGVNYGTVANNLPSPSQVASFLKTQTTIDRVKIFDTNPDILRAFANTGISVTVTVGNGDIPSLAKLPAAQSWIAANILPFHPQTSIKYIAVGNEVLATSDKVLIAHTLPAMKALTSALELANITTIHVATPHSLGILSSSEPPSTGRFRKGYDQRIFAPFLDYHRKTKSPFMVNPYPYFGFKAETLNYALFKPNSGVFDAATGNNYTNMFDAQLDAVYSAMKRLGYGDVDIVVAETGWPSVGDPNQPGVSMENAISYNKNLVKHVNSGKGTPLMPNRTFETYVFSLFNENLKPSVSERNFGLFKPDLTPVYDVGILRDDKALAPTPGTAADAPSSSSPGRQRQWCVPKSDASDDALQKNIDYVCSNGVDCKPIQQGGPCFVPDTVKSHASYAMNAFYQASGRHDYDCDFSHTGVLTSIDPSKLSWPSSSPR >Potri.008G106500.1.v4.1 pep chromosome:Pop_tri_v4:8:6726355:6730524:1 gene:Potri.008G106500.v4.1 transcript:Potri.008G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G106500.v4.1 MSISSSTPYLSPVPSKTTALNSQQKQHLRKPDHAISLLQNCKNPKDLIQLHTLLIKTSLIKEKYAFGRLLLSFASFDNLGSLNYAQKLFDTVDIPRNSFMYTTMIKAYANFGNPREAFAFYSRMLCDQRYVYPNDFTFTYVFSACSKFNGVFEGKQAHAQMIKFPFEFGVHSWNSLLDFYGKVGEVGIVVRRVFDKIEGPDVVSWNCLINGYVKSGDLDEARRLFDEMPERDVVSWTIMLVGYADAGFLSEASCLFDEMPKRNLVSWSALIKGYIQIGCYSKALELFKEMQVAKVKMDEVIVTTLLSACARLGALDQGRWLHMYIDKHGIKVDAHLSTALIDMYSKCGRIDMAWKVFQETGDKKVFVWSSMIGGLAMHSFGEKAIELFAKMIECGIEPSEITYINILAACTHSGLVDVGLQIFNRMVENQKPKPRMQHYGCIVDLLGRAGLLHDAFRVVETMPVKADPAIWRALLSACKLHRNVELGEQVGRILIKMEPQNDMNYVLFSNVYAAVNRWDISGKLRREMKVRGMQKNPGCSSIELNGAVHEFVSRDHSHPQSQVIYELLHILTNHMVQEDHEPMMTIMAENQGIR >Potri.003G068400.1.v4.1 pep chromosome:Pop_tri_v4:3:9557647:9560240:1 gene:Potri.003G068400.v4.1 transcript:Potri.003G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LSM1 MSWAGPEDIYLSTSLASYLDKKLLVLLRDGRKLMGILRSFDQFANAVLEGACERAIVGDLYCDIHLGLYVIRGENVVLIGELDLEREELPPHMTRVSEAEIKRAQKAEREATDLKGTMRKRMEFLDLD >Potri.010G231366.1.v4.1 pep chromosome:Pop_tri_v4:10:21334940:21335633:1 gene:Potri.010G231366.v4.1 transcript:Potri.010G231366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G231366.v4.1 MLLDPGLRGKACIIGLVLIVFFFPLLSSSLSARVLFRHSCFSSSRYLIFLWDSLFALFTWASSFSAPHPGHLLLFLQLNPLPVEKASPHGKLYHVCSLLIQQ >Potri.003G164500.2.v4.1 pep chromosome:Pop_tri_v4:3:17374512:17376452:1 gene:Potri.003G164500.v4.1 transcript:Potri.003G164500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G164500.v4.1 MAVFSYQQQHPASVVDEFQLDSNTNIASGTLFSPNLPYECLQESSLHAERILETIHADDNSIAKVPFISTTYHSSTTARHNSSSSMVADLQYCEENRVSQAMTPTLWQLRKHSSPAIKVKRESKRQKLNLNSSVSRNAKRVRPAKKQKKVPVEPPTGYVHVRARRGEATDSHSLAERVRREKISSRMKLLQSLVPGCDKITGKALVLDEIISYVQFLKDRVQSFEAQLDLLNGAFIDEFEVNFNRETREWQELFISELQLPSILESGSSLLPSLVEKTDAPASLLQPHLKNY >Potri.009G017700.1.v4.1 pep chromosome:Pop_tri_v4:9:2984705:2992795:1 gene:Potri.009G017700.v4.1 transcript:Potri.009G017700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017700.v4.1 MGLLLREVLKTLCCVNQWCYAVFWKIGYQNPKLLIWEECHSESTLCSVSPSTSGTENLVLPFREREGYLGSEVHSSQFGVHEGNRLRLLINKMMANNQVIIVGEGIIGRAAFTGNHEWILANNYCKDAHPPEVLNEAHHQFSAGMQTIAVVPVCPYGVLQLGSSLAIPENIGFVNIVKSSILQIGCIPGALLSDNHMENESTERIGIPISCGMPLPVCFSGNYKVPNSTPYLADNFNPQIISSQAASRIVSRPSCSQPRQIQDNQLATSSAIHIHNVTKTLAKSCDDFCEPKIIPVMKPDNPFMGQLPNGVVGAEVVPSNPGAWLNQQTDSRPEFNHQPITSQSDANNNIIKLLDRQIFSDGGARNHVGHNKNESDSLTMSHVRTNGGLFLTSPGGSHISGQLPNEMGGQTRPHSIPCSLLKLQKLADINHSSTFLAGVGIQNAGSSRAEEVHLSSLLGRFSASGILSGSSNHEYHPTDVKPTKNEIPAMEKKVDSDLFQALNIPLTQPGEHIYLGEKILGPVNDCLKNASGSQNTVIVNAMLDEPCAQLPSGDDLYDILGVGFKNKLLNDQWNNLLREEACVKTQDMVKDALAFTSIREANSDIFSLNEGISDSNMFSDMGTDLLDAVVSRVHAAAKQSSDDNVSCKTSLTKISTSSFPSGSPTYGSIGMADQVQSELISLPGKAGTIASTSFRSGCSKDDAGSCSQTTSIYGSQLSSWVEQGHNALHDSSVSTAFSKKNDGTSKPNHKRLKPGENLRPRPKDRQMIQDRVKELREIVPNGAKCSIDSLLERTIKHMLFLQSVTKHADKLKQTGDSKLINKEGGLHLKDNFEGGATWAFEVGSRSMVCPIIVEDLNPPRQMLVEMLCEEKGFFLEIADLIRGLGLTILKGVMEARNDKIWACFAVEANRDITRMEIFMSLVQLLEQTVKGSAGPVGALENGDMMVHLAFPQTTSIPATGMPSGLQ >Potri.003G052700.1.v4.1 pep chromosome:Pop_tri_v4:3:7754578:7758308:1 gene:Potri.003G052700.v4.1 transcript:Potri.003G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052700.v4.1 MESIGVLMTCPPFDPYLVEQLEKRFTLFKFHSIPDKAHFLNSNKASIRAVVGNASAGADAQLIHQLPNLEIVSSFSVGIDKIDLAKCRERGIRVTNTPDVLTDDVADLAIGLMLAVLRRLCPSDRYVRSGQWKRGDYKLTTKFTGKSVGIIGLGRIGLAIAKRAEAFSCPISYHTRAEKSDVKYKYYPSVVELAANCQILVVACALTEETRHIINREVINALGPKGVLINIGRGPHVDEPELVSALVEGRLGGAGLDVFQDEPNVPEELFGLENVVLLPHVGSGTMESRKEMADLVVGNLEAHFLNKPLLTPVL >Potri.001G020250.1.v4.1 pep chromosome:Pop_tri_v4:1:1566964:1567509:-1 gene:Potri.001G020250.v4.1 transcript:Potri.001G020250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020250.v4.1 MLIETCFLPFLSLFFLPCERASFVYSLLQVKLLSQPQTLPTNIKERVLVAANTSTSSSIKAVIFLPLLQNPGKGR >Potri.007G097700.2.v4.1 pep chromosome:Pop_tri_v4:7:12282812:12284927:1 gene:Potri.007G097700.v4.1 transcript:Potri.007G097700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G097700.v4.1 MIIQTWHYLMTAEKIQSLCVSCTSMILGPGFIPSNKHLLQFLCLPSHDSPNYNSIISTSGC >Potri.002G000800.1.v4.1 pep chromosome:Pop_tri_v4:2:61996:65939:1 gene:Potri.002G000800.v4.1 transcript:Potri.002G000800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G000800.v4.1 MAMMMEEEDGMDSLFEGMVLFTPHQFTDEQLHRQPVEDPLKHPEESQSDDTVNNNSNNTLAEAGAGAGAEEASHHQQLSEPLDENLFSSFQTLTQSQSQSQSQSDPISPSPTTDPTTQISSTSRKKRRASFRIGYARDRTYPPDLNNHNDDDGHDADKNEDDASQSPSSIVANPIDTSLSDSQFKNKKDETLSQFDHLKSQISEKLDAARLLIASVSSARKDSISRRRKAAEDLNLASANHAHLEHQLEVACEAEDFETAERISDSLAAAEKEKQTLLLALKDAEAHCDTIDSKMYHALDSQIAAEQECASLLQHFAKDAENNADLVLKNAQILSSKEIDDWFSSSQVLEAKKIELDIESHFINEARSRVSDSIQHSVEDDRNEKEILCKKKDVLTKELDHLLDLVKQKEMEIDENDTRIKAVDERIAVVVSDFKEIQSSINAKFDDLQSRLSQMHLQSEALSTKRKEIDRFLTEEEERGAKLRELVRVSKDEAKVYQEVVVLRKSLKSSILKSREEKLRLAKTEEELTLDVQMLQQEVSAARGSLQELSSTKSSIQQNISSLKQRSLFIDKRVPELEAEKKVAAAARNFKEAARIAAEAKSLGVEKDSLEIGLQKATSELKKLEEDIKDTVDRLQTTEGLILSKEKEVAMARFQRLLLISGAATAERFAALERGDTKEANLLLAEAEAANDEAKKLQPTYNFKEEEFLIPKQFIPVELVSNLGRKQLAELAASAHFPASQ >Potri.002G224100.1.v4.1 pep chromosome:Pop_tri_v4:2:21219500:21221267:-1 gene:Potri.002G224100.v4.1 transcript:Potri.002G224100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224100.v4.1 MEFPVINMEKLNGEERAATMEKIRDACENWGFFELLNHGIAHEFLDTVERMAKEHYKKGMEQRFKELVASKALVGVQTEIKDMDWESTFHLRHLPMSNIAEIPDLDDEYRKVMKEFALKLEKLAEELLDLLCENLGLEKGYLKRAFCGSSGSPNFGTKVSNYPPCPKPDLVKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVIAQTDGTRMSIASFYNPGNDAVIYPAPALVEKEAEEKKHLYPKFVFDDYMKLYAGLKFQAKEPRFEAMKAVETNV >Potri.006G072700.1.v4.1 pep chromosome:Pop_tri_v4:6:5286580:5291132:-1 gene:Potri.006G072700.v4.1 transcript:Potri.006G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072700.v4.1 MMYEQRQQQMMNRVDRRKINGDRRKAIEEERLEVVDLSGMSLETLPHPSLNLATICKLYLSNNDLQMIPESLTARMLNLVVLDVHSNQLKSLPNSIGCLSKLKVLNVSGNLIESLPRTIENCRCLEELNANFNKLSRLPDTIGFELVNLKKLSVNSNKLVFLPMSTSHLTSLKILDARLNNLRSLPEDLENLINLEVLNVSQNFQYLEALPYAIGVLISLVELDVSYNKITTLPDSMGCLRKLQKLYVEGNPLISPPMEVVERGLHVVKEYLSEKMNAGHKSPTKKSWVGKLVKYGTFNGRRIGSRKDRQWFHVSPDHYHSFDGVASPGYDRKSSKYTGNRSIEGLASPRHLRMFSPRRLFSSKNFF >Potri.002G169800.1.v4.1 pep chromosome:Pop_tri_v4:2:13058037:13062948:-1 gene:Potri.002G169800.v4.1 transcript:Potri.002G169800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G169800.v4.1 MPIKFLPPLRFLNPSLPVTRFPLMNVGLSLNTPRPIPIFSRVFPFKLKYLGFASQFSTRSFRPEPIRSRPEFSRLTGGGVERGESRPSKSLIEDEAELSDWVSDLRTNSIRGQVTSEDEPDSDMGRRSRSKTGRARETDSGGNKGGGAGGFSMKRRRESNSNEFSEPTRRRTESRFGSPTTNRGTVGLPKERRGRRERDLGVKRDGKGLRGKRGFTDDDVVDSGEDERKGLMQNLGGLITEEESDGDDDGGNDNGFFEKKALSSIGLENDFEVKDRPSLSANSDSFMSETRFDQCSISPLSLKGINHAGYEKMTVVQAATLPIILKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPHDRDQKRPPILVLVVCPTRELATQAATEAKVLLKYHPAIGVQVVIGGVRIALEQKSMQANLCQILVATPGRLKDHIENTAGFATRLMGVKVLVLDEADRLLDMGFRKDIEKIIAAIPKQRQTLMFSATVPEEVRQICHSALKRDHEFINTVQEGAEDTHSKVRQMHVVAPLDKQFPFLYAILKDHMADDPDYKVIVFCTTARVTGLVARLLGELNLNIREIHSRKAQTYRTRVSDEFRKSKSLILVTSDVSARGVDYPDVTLVIQVGLPASREQYIHRLGRTGRKGKEGEGILLLAPWEEFFLSTVKDLPITKAPVPSIDPDTKKKMERALSQVDMNSKESAYQAWLGYYNSQKKVGNDKHRLVELANEFSRSMGLDTPPAIPKLVLGKMGLRNVPGLRSK >Potri.006G033600.1.v4.1 pep chromosome:Pop_tri_v4:6:2104341:2105840:1 gene:Potri.006G033600.v4.1 transcript:Potri.006G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033600.v4.1 MEVLSVQLLIILFLLSLWIYLYVTTSSQKKSTNKGFKIYPIVGVLPEFIKNRARVHDWFTETLSHCPGNTDVLRLPGIEPGIITANPLNVEYFLKTNFENYPKGELLITTLEDFLGRGIFNSNGELWRVQRKTASYVFNTKSLRSFVMDNVVVEISTRLVPILAKASETRQVLDLQDMLERFAFDNICKVAFNVDPAGLGGDRTDGSEFMQAFDDAAALSTERFLCSLPLLWKFYKFFDIGSERKLKKSIKIVHEFADNIIQSRMEQKMEKKDEDLLSRFIGNDENSNEFLRDIVISFILAGRDTTSSALSWFFWLLSLNPDVESNILKELETIRSRNRRKIGDAYSFEELRDMHYLHATISETLRLYPPVPVDILACRSDDVLPDGAFVGRKWFVVYCAYAMGRMESIWGKSCLEFLPERWLENGIYRQESPFKFPVFHAGPRMCLGKHMAYIQMKSIAASVIERFKIDVQNKDKCPEHVLSLTLRMKSGLQVRVKER >Potri.004G187300.1.v4.1 pep chromosome:Pop_tri_v4:4:20025812:20027081:1 gene:Potri.004G187300.v4.1 transcript:Potri.004G187300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G187300.v4.1 MATLGCNDTDAKKTEVESLQVLRPVGSQGGGTEGLPYLYHRPMHKGLAKADDDYVTEAFKQLPMPDQEKLIEELSSQLHQDVMVEKLFDESEEAYRLRCFTELHMKLPSDSRQGFNNEFRRRYYSIRGLPLKLPFNSYNRFKNNYNQCYPCNTIGTQVSGTIVSGICEGFGQQIVQQIFEGIVGGSEESSLTLEFFKVKTLDLRGANEVGTGTTSTTTR >Potri.002G151000.1.v4.1 pep chromosome:Pop_tri_v4:2:11529379:11536256:1 gene:Potri.002G151000.v4.1 transcript:Potri.002G151000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151000.v4.1 MSGGGGDAPLIPPANNGGEFLLSLLRRPNHHPTPSQQQQQQSQSLFMTPQLQNHNQNQHNPQPQPLGFDPAVAAVGPSLPVPSRQVLHPNGRDLLSNSPPLWPHNLGFPQKNNAFPHPRGNQCLAEDLQRLGFSNVETRANNNNNDDSIQHLLQQKQQFEQKLQFGSFSSEIQSPAEVLVNANLVREVGPGGRSFNGLERNRHLEKQANSNSRRNSEVRQPGGSSGGWGNQHRNQHLHQEQHRNYRSPPPGFSNKPRGGGNWDYGSRRRELELNITRENGDYSEMNNEKVRRSEGSVELGLTRQLDRPGPPAGSNLHSVLGSEIGESLINLDGENGEDGKDDGGELDDLGEELVDSLLLNGQSEGKKDKKQSNKESRSDNRGKKILSQRMRMLKKQTQCCLDIDRLNAAFLAIYESLIPPEEEKMKQELFLMSLEKLVNKEWPEARLYLYGSGANSFGVSKSDIDVCLAIEDAEINKSEVLLKLADILQSGNLQNVQALTRARVPIVKLMDPATGISCDICINNVLAVVNTKLLRDYAQIDVRLRQLAFIVKHWAKSRGVNATYQGTLSSYAYVLMCIHFLQQRRPAILPCLQEMRTTYSVTVDDIQCAYFDQVEKLRGFGSRNKETIARLVWAFFNYWAYGHDYANAVISVRTGSILSKHEKEWTRRIGNDRHLICIEDPFEISHDLGRVVDKFSIKVLREEFERAADILQYDPNPCATLFEPYIPS >Potri.008G192200.8.v4.1 pep chromosome:Pop_tri_v4:8:13524936:13535132:1 gene:Potri.008G192200.v4.1 transcript:Potri.008G192200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192200.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGEASGQPQMIGGGGGGGGGGGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEEEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSISEVYQEVAALFRDHHDLLLEFTHFLPDSSAAASALFPSARNSAPRDRSSAMPTMRQMHVDKKERAMASHAERDISVDRPDPDHDRAMIRADKDQRRRVEKEKERREDRDRRDCERDDRDYDHDGNRDFNQRFPHKRKPARRVEDSAAEQGGDGDESFGGMNPVSSAYDDKNAVKSALSQELAFCDKVKETLHNPENYQEFLRCLHLYTREIITRSELQSLVGDLLGKYPDLMDGFNEFLALCEKKEGLLAGVVSKSNLPRVLKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVAFGNKDTGGHKMSLFPSKDKLPAKPINELDLSNCERCTPSYRLLPKSYMIPPASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCVERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYLDPDTHEDLYQLIKYSCAEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGGGAVTNSKHSNPSRNGDESIQPEQSSSSRAWMLNGENRVKENGSPDADHVARKSDTSTSTLQHDKVLINAAAADELSGVTKQAPSNDRLLNSNASLVTGAELSNGRTLVESGLSATPSRPSNGTVEGGLGIGSSNEILPSTEGGEFSRPPVSTNGVATEVIKSNRYNDESAAQFKIEREEGELSPNGDFEEDNFAVYGEAGLEAAHKVKDSAVSRQYQARQGEECGEAGGENDADADDEGGESAQRSSEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPSLHDKEKGFRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKHGRFVDIVCHENARVLLHDENIYRIECSSAPTRLSIQLMDFGHDKPEVTAVSMDPNFASYLHNDFLSVVPDKKEKPGIFLKRNKHRYSDADECQAMEGFRVLNGLECKIACNSSKVSYVLDTEDFLFRPQKKSKTLQQNGSCHDDQAKISKRVQRFHRLLSSSS >Potri.008G192200.9.v4.1 pep chromosome:Pop_tri_v4:8:13524909:13535131:1 gene:Potri.008G192200.v4.1 transcript:Potri.008G192200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192200.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGEASGQPQMIGGGGGGGGGGGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEEEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSISEVYQEVAALFRDHHDLLLEFTHFLPDSSAAASALFPSARNSAPRDRSSAMPTMRQMHVDKKERAMASHAERDISVDRPDPDHDRAMIRADKDQRRRVEKEKERREDRDRRDCERDDRDYDHDGNRDFNQRFPHKRKPARRVEDSAAEQGGDGDESFGGMNPVSSAYDDKNAVKSALSQELAFCDKVKETLHNPENYQEFLRCLHLYTREIITRSELQSLVGDLLGKYPDLMDGFNEFLALCEKKEGLLAGVVSKSNLPRVLKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVAFGNKDTGGHKMSLFPSKDKLPAKPINELDLSNCERCTPSYRLLPKSYMIPPASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCVERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYLDPDTHEDLYQLIKYSCAEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGGGAVTNSKHSNPSRNGDESIQPEQSSSSRAWMLNGENRVKENGSPDADHVARKSDTSTSTLQHDKVLINAAAADELSGVTKQAPSNDRLLNSNASLVTGAELSNGRTLVESGLSATPSRPSNGTVEGGLGIGSSNEILPSTEGGEFSRPPVSTNGVATEVIKSNRYNDESAAQFKIEREEGELSPNGDFEEDNFAVYGEAGLEAAHKVKDSAVSRQYQARQGEECGEAGGENDADADDEGGESAQRSSEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPSLHDKEKGFRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKHGRFVDIVCHENARVLLHDENIYRIECSSAPTRLSIQLMDFGHDKPEVTAVSMDPNFASYLHNDFLSVVPDKKEKPGIFLKRNKHRYSDADECQAMEGFRVLNGLECKIACNSSKVSYVLDTEDFLFRPQKKSKTLQQNGSCHDDQAKISKRVQRFHRLLSSSS >Potri.008G192200.6.v4.1 pep chromosome:Pop_tri_v4:8:13524933:13535133:1 gene:Potri.008G192200.v4.1 transcript:Potri.008G192200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192200.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGEASGQPQMIGGGGGGGGGGGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEEEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSISEVYQEVAALFRDHHDLLLEFTHFLPDSSAAASALFPSARNSAPRDRSSAMPTMRQMHVDKKERAMASHAERDISVDRPDPDHDRAMIRADKDQRRRVEKEKERREDRDRRDCERDDRDYDHDGNRDFNQRFPHKRKPARRVEDSAAEQGGDGDESFGGMNPVSSAYDDKNAVKSALSQELAFCDKVKETLHNPENYQEFLRCLHLYTREIITRSELQSLVGDLLGKYPDLMDGFNEFLALCEKKEGLLAGVVSKSNLPRVLKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVAFGNKDTGGHKMSLFPSKDKLPAKPINELDLSNCERCTPSYRLLPKSYMIPPASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCVERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYLDPDTHEDLYQLIKYSCAEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGGGAVTNSKHSNPSRNGDESIQPEQSSSSRAWMLNGENRVKENGSPDADHVARKSDTSTSTLQHDKVLINAAAADELSGVTKQAPSNDRLLNSNASLVTGAELSNGRTLVESGLSATPSRPSNGTVEGGLGIGSSNEILPSTEGGEFSRPPVSTNGVATEVIKSNRYNDESAAQFKIEREEGELSPNGDFEEDNFAVYGEAGLEAAHKVKDSAVSRQYQARQGEECGEAGGENDADADDEGGESAQRSSEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPSLHDKEKGFRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKHGRFVDIVCHENARVLLHDENIYRIECSSAPTRLSIQLMDFGHDKPEVTAVSMDPNFASYLHNDFLSVVPDKKEKPGIFLKRNKHRYSDADECQAMEGFRVLNGLECKIACNSSKVSYVLDTEDFLFRPQKKSKTLQQNGSCHDDQAKISKRVQRFHRLLSSSS >Potri.008G192200.5.v4.1 pep chromosome:Pop_tri_v4:8:13524909:13535133:1 gene:Potri.008G192200.v4.1 transcript:Potri.008G192200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192200.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGEASGQPQMIGGGGGGGGGGGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEEEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSISEVYQEVAALFRDHHDLLLEFTHFLPDSSAAASALFPSARNSAPRDRSSAMPTMRQMHVDKKERAMASHAERDISVDRPDPDHDRAMIRADKDQRRRVEKEKERREDRDRRDCERDDRDYDHDGNRDFNQRFPHKRKPARRVEDSAAEQGGDGDESFGGMNPVSSAYDDKNAVKSALSQELAFCDKVKETLHNPENYQEFLRCLHLYTREIITRSELQSLVGDLLGKYPDLMDGFNEFLALCEKKEGLLAGVVSKKSLWNEGNLPRVLKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVAFGNKDTGGHKMSLFPSKDKLPAKPINELDLSNCERCTPSYRLLPKSYMIPPASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCVERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYLDPDTHEDLYQLIKYSCAEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGGGAVTNSKHSNPSRNGDESIQPEQSSSSRAWMLNGENRVKENGSPDADHVARKSDTSTSTLQHDKVLINAAAADELSGVTKQAPSNDRLLNSNASLVTGAELSNGRTLVESGLSATPSRPSNGTVEGGLGIGSSNEILPSTEGGEFSRPPVSTNGVATEVIKSNRYNDESAAQFKIEREEGELSPNGDFEEDNFAVYGEAGLEAAHKVKDSAVSRQYQARQGEECGEAGGENDADADDEGGESAQRSSEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPSLHDKEKGFRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKHGRFVDIVCHENARVLLHDENIYRIECSSAPTRLSIQLMDFGHDKPEVTAVSMDPNFASYLHNDFLSVVPDKKEKPGIFLKRNKHRYSDADECQAMEGFRVLNGLECKIACNSSKVSYVLDTEDFLFRPQKKSKTLQQNGSCHDDQAKISKRVQRFHRLLSSSS >Potri.008G192200.7.v4.1 pep chromosome:Pop_tri_v4:8:13524909:13535132:1 gene:Potri.008G192200.v4.1 transcript:Potri.008G192200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192200.v4.1 MKRSRDDVYMGSQLKRPVLSSSTKGEASGQPQMIGGGGGGGGGGGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEEEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSISEVYQEVAALFRDHHDLLLEFTHFLPDSSAAASALFPSARNSAPRDRSSAMPTMRQMHVDKKERAMASHAERDISVDRPDPDHDRAMIRADKDQRRRVEKEKERREDRDRRDCERDDRDYDHDGNRDFNQRFPHKRKPARRVEDSAAEQGGDGDESFGGMNPVSSAYDDKNAVKSALSQELAFCDKVKETLHNPENYQEFLRCLHLYTREIITRSELQSLVGDLLGKYPDLMDGFNEFLALCEKKEGLLAGVVSKSNLPRVLKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVAFGNKDTGGHKMSLFPSKDKLPAKPINELDLSNCERCTPSYRLLPKSYMIPPASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCVERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYLDPDTHEDLYQLIKYSCAEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGGGAVTNSKHSNPSRNGDESIQPEQSSSSRAWMLNGENRVKENGSPDADHVARKSDTSTSTLQHDKVLINAAAADELSGVTKQAPSNDRLLNSNASLVTGAELSNGRTLVESGLSATPSRPSNGTVEGGLGIGSSNEILPSTEGGEFSRPPVSTNGVATEVIKSNRYNDESAAQFKIEREEGELSPNGDFEEDNFAVYGEAGLEAAHKVKDSAVSRQYQARQGEECGEAGGENDADADDEGGESAQRSSEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPSLHDKEKGFRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKHGRFVDIVCHENARVLLHDENIYRIECSSAPTRLSIQLMDFGHDKPEVTAVSMDPNFASYLHNDFLSVVPDKKEKPGIFLKRNKHRYSDADECQAMEGFRVLNGLECKIACNSSKVSYVLDTEDFLFRPQKKSKTLQQNGSCHDDQAKISKRVQRFHRLLSSSS >Potri.008G192200.10.v4.1 pep chromosome:Pop_tri_v4:8:13526032:13535159:1 gene:Potri.008G192200.v4.1 transcript:Potri.008G192200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192200.v4.1 MIGGGGGGGGGGGGGGGGGQKLTTNDALAYLKAVKDIFQDKREKYDDFLEVMKDFKAQRIDTAGVIARVKELFKGHRDLILGFNTFLPKGYEITLPLEEEQPPQKKPVEFEEAINFVNKIKTRFQGDDHVYKSFLDILNMYRKENKSISEVYQEVAALFRDHHDLLLEFTHFLPDSSAAASALFPSARNSAPRDRSSAMPTMRQMHVDKKERAMASHAERDISVDRPDPDHDRAMIRADKDQRRRVEKEKERREDRDRRDCERDDRDYDHDGNRDFNQRFPHKRKPARRVEDSAAEQGGDGDESFGGMNPVSSAYDDKNAVKSALSQELAFCDKVKETLHNPENYQEFLRCLHLYTREIITRSELQSLVGDLLGKYPDLMDGFNEFLALCEKKEGLLAGVVSKSNLPRVLKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVAFGNKDTGGHKMSLFPSKDKLPAKPINELDLSNCERCTPSYRLLPKSYMIPPASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCVERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYLDPDTHEDLYQLIKYSCAEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGGGAVTNSKHSNPSRNGDESIQPEQSSSSRAWMLNGENRVKENGSPDADHVARKSDTSTSTLQHDKVLINAAAADELSGVTKQAPSNDRLLNSNASLVTGAELSNGRTLVESGLSATPSRPSNGTVEGGLGIGSSNEILPSTEGGEFSRPPVSTNGVATEVIKSNRYNDESAAQFKIEREEGELSPNGDFEEDNFAVYGEAGLEAAHKVKDSAVSRQYQARQGEECGEAGGENDADADDEGGESAQRSSEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPSLHDKEKGFRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKHGRFVDIVCHENARVLLHDENIYRIECSSAPTRLSIQLMDFGHDKPEVTAVSMDPNFASYLHNDFLSVVPDKKEKPGIFLKRNKHRYSDADECQAMEGFRVLNGLECKIACNSSKVSYVLDTEDFLFRPQKKSKTLQQNGSCHDDQAKISKRVQRFHRLLSSSS >Potri.008G192200.11.v4.1 pep chromosome:Pop_tri_v4:8:13528750:13535127:1 gene:Potri.008G192200.v4.1 transcript:Potri.008G192200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192200.v4.1 MDGFNEFLALCEKKEGLLAGVVSKSNLPRVLKVEDRDRDRDRERDDGVKDRDREIRERDRLDKSVAFGNKDTGGHKMSLFPSKDKLPAKPINELDLSNCERCTPSYRLLPKSYMIPPASQRTELGAEVLNDHWVSVTSGSEDYSFKHMRKNQYEESLFRCEDDRFELDMLLESVNVTTKRVEELLEKINNNTIKMDSPIRIDEHLTALNLRCVERLYGDHGLDVMDVLRKNTSLALPVILTRLKQKQEEWARCRADFNKVWAEIYAKNYHKSLDHRSFYFKQQDTKSLSTKALLAEIKEISENKRKEDDVLLAFAAGNRRPIIPNLEFEYLDPDTHEDLYQLIKYSCAEVCTTEQLDKVMKIWTTFLEPMLGVPSRPQGAEDTEDVVKAKNQSSKSGESEGSPSGGGAVTNSKHSNPSRNGDESIQPEQSSSSRAWMLNGENRVKENGSPDADHVARKSDTSTSTLQHDKVLINAAAADELSGVTKQAPSNDRLLNSNASLVTGAELSNGRTLVESGLSATPSRPSNGTVEGGLGIGSSNEILPSTEGGEFSRPPVSTNGVATEVIKSNRYNDESAAQFKIEREEGELSPNGDFEEDNFAVYGEAGLEAAHKVKDSAVSRQYQARQGEECGEAGGENDADADDEGGESAQRSSEDSENASENGDVSGSESGDGEDCSREEHEEDGDHDEHDNKAESEGEAEGMADAHDVEGEGTILPFSERFLLNVKPLAKHVPPSLHDKEKGFRVFYGNDSFYVLFRLHQTLYERIQSAKVNSSSAERKWRASNDTSPTDLYARFMSALYNLLDGSSDNTKFEDDCRAIIGTQSYVLFTLDKLIYKLVKQLQTVATDEMDNKLLQLYAYEKSRKHGRFVDIVCHENARVLLHDENIYRIECSSAPTRLSIQLMDFGHDKPEVTAVSMDPNFASYLHNDFLSVVPDKKEKPGIFLKRNKHRYSDADECQAMEGFRVLNGLECKIACNSSKVSYVLDTEDFLFRPQKKSKTLQQNGSCHDDQAKISKRVQRFHRLLSSSS >Potri.006G037900.1.v4.1 pep chromosome:Pop_tri_v4:6:2468711:2468914:1 gene:Potri.006G037900.v4.1 transcript:Potri.006G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G037900.v4.1 MVRELSLRSIVTMVVLTVSLLVLPLVLPPLSPPPLLFLFIPVFIMILLLFLALSPSQAEPNIAVTSV >Potri.003G010532.1.v4.1 pep chromosome:Pop_tri_v4:3:1106371:1107122:-1 gene:Potri.003G010532.v4.1 transcript:Potri.003G010532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010532.v4.1 MEIFTKQLTPIDFDRGLVLPPRSNLEPLQHFQGTIELSTIVESAAGTRLPEPVTIHCSTIRGSLVFKTGWFGIAHDFALKSGDTVTFYQEVNGGAQFKLRVRNVC >Potri.005G226300.2.v4.1 pep chromosome:Pop_tri_v4:5:22705851:22707579:-1 gene:Potri.005G226300.v4.1 transcript:Potri.005G226300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226300.v4.1 MYLQFYINDNGDKVYTTKKESPLGLPTESAHPARFSPDDKYSRQRFLLKKRFGLLPTQQSPLKY >Potri.013G091600.2.v4.1 pep chromosome:Pop_tri_v4:13:9393736:9395241:-1 gene:Potri.013G091600.v4.1 transcript:Potri.013G091600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091600.v4.1 MVLPFLRKRSKIIEIAAARDMVFALAQSGVCAAFSRETNQRICFLNVTPDEVIRSLSTRIEYIQRGQPDAGFALFESESLKWPGFVEFDDVNSKVLTYSAQDSIYKVFDLKNYTMLYSIANKNVQEIKISPGIMLLILTKSGGCVPLEILSIEDVTVLKSFNHLLHRNKKVDFIEKFNEKLLVKQENENLQILDARITVVIFKYFFIHTQKCTKIIRMDHN >Potri.001G405650.1.v4.1 pep chromosome:Pop_tri_v4:1:43309232:43310369:-1 gene:Potri.001G405650.v4.1 transcript:Potri.001G405650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405650.v4.1 MILLIFVSGFIQAKARVPLFYCGTPGIRCYNQYILCPFECPSNYSHNAKEKVCYADCNSPHCKAQCKHHKPNCEAPGSACYDPCFIGDDGIVFYFHGKSNEQFSLVYDFDLQINSRFIGHRPAGRPRDFTWIQALGILYNSQTFSLEATKAATWDSEDDHLKLTYNGQDLVIPEGSFSTWYSPEKDLKVERVSSKNSAIITFEDRANILVNVVPVTEEDDKIHKYQVPANDCFVHLEVNLSSFTYPRQLIEYLAGLTGLILRTPQSLVSQCQFWEVKTSTEPHPFSLLIAPPAYSLQKVVQTKRPPLSCSMAL >Potri.013G088900.2.v4.1 pep chromosome:Pop_tri_v4:13:8882203:8882898:-1 gene:Potri.013G088900.v4.1 transcript:Potri.013G088900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088900.v4.1 MPCSFGGWIFKNSSIPITKKPDFNDPVLRAKLAKGMGHNYYGEPVWPNDLLYIFLVVILGTITCNVGLAILEPLMIGELADPFATPLEILSEWYFFPVFQILCIVPNKLLGVLLMVYVPTRLLTLLFLENVNKFQNLFCHPIVTTIFLLVL >Potri.014G189600.1.v4.1 pep chromosome:Pop_tri_v4:14:16362841:16369827:1 gene:Potri.014G189600.v4.1 transcript:Potri.014G189600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G189600.v4.1 MSSWKIFSDSGNNFRWEVTGQIIHTKPEPKQSGALIPPSSSRTHLPSMADLLLQGCPKLLENGNAPIFRTGSGKSVALKQSSIAKALSVLRDDDDAGEACGGENELSFSKLRKKGNEDNGNAPIFHTGSGKSVVLKQSSIAKALSVLGDDDGYSGNPGEVHGRNNERCFSNSLFHTGSGKSVDISSAGLVRAKRLLGMEEENYSSNFQGFKCPRKSSTVNEQFGWQDVMHSGTKVSMKNNGVIGDDLPAPRSSLVSKTVILESELTKEVNTNLLEPEIQKPPPIKFHTAGGRSLSVSSEALKRARSLLGDPDLGTFLNEGDAVDQGLSVFEGSGFGDASSNKENVFYSAFTHPRASSKHISKTFISPLKSSANYVQSSINPKNVISGSNLIKKFDAVHNDSISKVNNNATYVQKPVRNGLCTSATMVANSLDNITGSRMNSLQRTSSRMIPLQKSLCAPLPDISNTIGTAYSNNGQANGEKRKLGRGISISPFKKPRSSKFTTPLNRNVSSVPSGLSTVSYESSSCRKKVSTRYPFQVPRMYIKEYFGGHLSDKRLSEYFTDQVRQIKSNNADKYMFCDESGRDSIGAEAFYDMLLQSGALSQYASKEWVINHYKWIVWKLACYERCCPEKSAAKFLSVSNVLEELKYRYEREVNHGHRSAIKRILEGDAPPSSMMVLCISSIYFGCEPKVEVPSVALDGAEHSNAAKLELTDGWYSVDALLDISLSMHLDAGKLFVGQKLRIWGAGLCGWAGPVSSFEALKTVSLSLHINGTYRAHWADRMGFCKGIGAPLAFRCIKSNGGPVPRVLVGVTRVYPVLYKDKLSNGSRTIVRSERMEAKLVQLNNQRRSVIIEGIVSEFQRGMKSSNIYTDIDSEEGAKIFKILETSAEPEVLMAEMSPQQLASFASYQSKLEATRQLDMEKAIGKALQDAGLGEREVTPFIRVRVVGLTNYQEKGARAPKEGLITIWNPTEKQKSDLVEGQAYAVAGLLPVSSDSNTLYLQARGSTTKWQPLSSLAMQQFQPFFSPRAPVLLSNLGEVPLCREFDIAALVLHVGDIYTAAQQKKQWVFVTDSSISRFDSEDTSKSLLAISFCSPYMDNDSFTPINYNLAGSTIGLCNLIKRAKDQTYHLSIAEATENSTYSLNFDSSNFLHLKNAAASTQSWAKTSTSIINKLKERVLFIIGD >Potri.009G097500.1.v4.1 pep chromosome:Pop_tri_v4:9:8747165:8747844:-1 gene:Potri.009G097500.v4.1 transcript:Potri.009G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097500.v4.1 MTMNRDQNLEHEGMNEDDVFYAEIRKQVLLLTEDEDEDFLQTRHLNSTSANKQRLKRLTTTVTIAAQPGSYFSCWESENSSSVPKWLGSLWRNDNAGTEVFIPQLVNPRTRQRSGKKRNGRRTVYNQVERKQS >Potri.007G017500.1.v4.1 pep chromosome:Pop_tri_v4:7:1337746:1340420:-1 gene:Potri.007G017500.v4.1 transcript:Potri.007G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G017500.v4.1 MAGLFSLGRGSSSSNNQEDQQNNNNPPTEIPQESWFWYKSEDIPYKSFELWQQQHQELLHQRHQNPQQDLYSPAVGLGVGPSRTSINISDESSSRSAAAAEAFMMMRPGGSGTGGAGSISCQDCGNQAKKDCIHMRCRTCCKSRGFECQTHVKSTWVPASKRRERQQQLNLLQQQQQLQIRGENPKRQRENPSSSLACTRLANSMSGLELGNFPSEVSSPALFRCVRVSGIDESEEMLAYQTAVNIGGHVFKGILYDHGPDSNYMAPGETSSGGGGVQPLNLITAGTATGGGGVTVASTTAAFLDPSSLYPAPLNTFMAGTQFFPNPRS >Potri.T124908.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:60763:64625:1 gene:Potri.T124908.v4.1 transcript:Potri.T124908.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124908.v4.1 MAPSLSKNALDVMGLVDGEQPLSITLEGKNFLANGHPVLTEVPTNIIATPSPFLSSNKTKNLVGCFVGFDAHEPKSHHVVPIGKLSGIRFMSIFRFKVWWTTHWIGNSGKDVEHETQIMILDRNDLGRPYVLLLPLLEGPFRASLQPGVNDNVDICVESGSSQVCGSSFRSCLYMHVGDDPYSLVKEAMKVIRVHLGTFRLLEEKTPPGIVDKFGWCTWDAFYLTVHPKGVREGVKGLVEGGCPPGMVLIDDGWQSICHDDDPISEQEGMNRTAAGEQMPCRLVKFEENYKFRDYESPKVPSGRGMSAFIRDLKEEFGTIEHVYIWHAVCGYWGGVRPAVGGNMPESRVISPKLSPSLQMTMEDLAVDKIVNNGVGLVQPELAYKMYEGLHSHLESAGIDGVKVDVIHLLEMLSEEFGGRVALAEAYYKALTASVRKHFKGNGVIASMEHCNDFMFLGTEAIALGRVGDDFWCTDPSGDPNGTYWLQGCHMVHCAYNSLWMGNFIHPDWDMFQSTHPCAEFHAASRAISGGPIYVSDSVGKHNFKLLKALVLPDGSILRCQYYALPARDCLFEDPLHDGKTMLKIWNLNKYTGVLGIFNCQGGGWCPVARRNKSANQFSQSVTCSASPKDIEWNSGKSPISVKGVDVFAVYMFKEKKVRLLKSSEKLEISLEPFNYDLLTVSPVTVLPRKSIQFAPIGLVNMLNTGGAIQSVMVVDDESLIRIGVKGSGEMRVFASGNPVSCKIDGVDVEFCFHDQMVTIQVPWPSSPKLSVMEFLF >Potri.001G136300.1.v4.1 pep chromosome:Pop_tri_v4:1:11116420:11121994:1 gene:Potri.001G136300.v4.1 transcript:Potri.001G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136300.v4.1 MLHPVYAPTATHVMMERNESDEKITGFIFLCNGETKPECYRYRVFGLPRGNLDIVEKIKPGTKLFLFDFGMKRLYGIYTAISRGGMNLEPAAFNGKFPAQVRFKIVSDCLPLAESALKHAIKDNYQGSKFRQELSTEQVKTLVSLFWPIDLPPSISVVPIVANVAPAHAFPSAITVERVLPSARPYSLYLPGATHPRTLPGGWVQPTKHLHYVHQNTLPQSNQKYSAEAPQSTYDPQRSYEVAMETGPRNHVARHENQYHVPQLPRERDTVLLSDNVANYYSQYLPSATTSRISSQEQGLAPSYALPASSSTQQPLQSTYQSHYTPLIHENQGLVYNDHLQWSMLGTSGAAEAYVPVSARYSFAGAASSYQ >Potri.010G197900.1.v4.1 pep chromosome:Pop_tri_v4:10:19112622:19113940:-1 gene:Potri.010G197900.v4.1 transcript:Potri.010G197900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197900.v4.1 MSTFIYFLCLSLLTSVSKSMIDSTSLYLSPTILFPDYQNMLISFKIYIYTPPNALSFSSPTESNFFTCLQNSPFVTQNPEEAHLYFVPFSSNLSTRSVARFIRDLRMEFPYWNRTLGADHFYVSCAGLGYESDRNLVELKKNSVQISCFPTTEGRFVPHKDITFPPHAQGNRTAKYLGFVRYNEVKESNLVNELRKDSDFLIESEPSNGMTLVGRLGSSVFCLFEYGADVSGIGEALRFGCVPVMVMDRPMQDLPLMDVIGWQKIAIFVGSRGGVKEVKRVLDRTCKDDECAGRRRLGVVASQHFVWNHMPQPYDSFHMVMYQLWLRRHAIRYARREFV >Potri.010G227500.5.v4.1 pep chromosome:Pop_tri_v4:10:21090168:21093719:1 gene:Potri.010G227500.v4.1 transcript:Potri.010G227500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227500.v4.1 MGKKQKRNSDSDEDEIFYHRYSSATTSSQHPPSSTKPHGGSGGLAPSKSTLYVSNLDFSLTNSDLHTLFSTFGKVARVTVLKDRTTRKSRGVAFIQFVSRSDAVTAVEQMDKKILNGRTLSASIAADNGRATEFIKKRVYKDKSKCYECGEDGHLSYECPRNRLGIRERPVVKRGRGGGGGGGGGGGRGGGDWEEEEEEGEFEEEKWAAAVDGGVEERLLKGGEVEKKKVKVKKASYFSDESDEEE >Potri.010G227500.4.v4.1 pep chromosome:Pop_tri_v4:10:21090168:21093686:1 gene:Potri.010G227500.v4.1 transcript:Potri.010G227500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227500.v4.1 MGKKQKRNSDSDEDEIFYHRYSSATTSSQHPPSSTKPHGGSGGLAPSKSTLYVSNLDFSLTNSDLHTLFSTFGKVARVTVLKDRTTRKSRGVAFIQFVSRSDAVTAVEQMDKKILNGRTLSASIAADNGRATEFIKKRVYKDKSKCYECGEDGHLSYECPRNRLGIRERPVVKRGRGGGGGGGGGGGRGGGDWEEEEEEGEFEEEKWAAAVDGGVEERLLKGGEVEKKKVKVKKASYFSDESDEEE >Potri.010G227500.6.v4.1 pep chromosome:Pop_tri_v4:10:21090168:21093686:1 gene:Potri.010G227500.v4.1 transcript:Potri.010G227500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227500.v4.1 MGKKQKRNSDSDEDEIFYHRYSSATTSSQHPPSSTKPHGGSGGLAPSKSTLYVSNLDFSLTNSDLHTLFSTFGKVARVTVLKDRTTRKSRGVAFIQFVSRSDAVTAVEQMDKKILNGRTLSASIAADNGRATEFIKKRVYKDKSKCYECGEDGHLSYECPRNRLGIRERPVVKRGRGGGGGGGGGGGRGGGDWEEEEEEGEFEEEKWAAAVDGGVEERLLKGGEVEKKKVKVKKASYFSDESDEEE >Potri.006G213200.2.v4.1 pep chromosome:Pop_tri_v4:6:21958197:21960359:1 gene:Potri.006G213200.v4.1 transcript:Potri.006G213200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213200.v4.1 MLFLNVTPNEFTFGTVIHSSTSLGDLNLGRQFQACAMKMGLNYMVFVGSAVLDSYAKLGSIQEAQRAFEDIQHPNIVSYTALIHGYLKKGRFEEAFELFKQMPERNIVSWNAMIGGFSQMGQNEEAVNLFVEMIREGLVPCQYTFPCAIIAAANIAALEMGRSFHACAIKTMGEFSVFVGNSLISFYAKCGSMEDSLRLFYELPERTIVSWNAVICGFAQNGRGENAVDFYERMRNTGLRPDSVTLLGLLWACNHAGLVDKGYSYFNRARLEHPSLLKPKHYACMVDLLSRCGCFKEAQEFLRDLPFNPGVGFWKALLGGCKIHSNTELGALAGRNILDLDPKDASSYIMLSNAHSAAGRWQDASVIRQEIHARGLKRIPASSWIEIKTKVHVFVNCDMSHHQKDDIYSVLNFLYWEMDSD >Potri.006G213200.1.v4.1 pep chromosome:Pop_tri_v4:6:21958197:21960359:1 gene:Potri.006G213200.v4.1 transcript:Potri.006G213200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213200.v4.1 MMQPVQIYRRLIQMKPGFCRLSQLQTLPRKIHSVAFKSNHFLAHEYILKPEHSHFSRNALQVFDTIPDLDVVSATTIIGQFARLHQYREAIQLFSRMLFLNVTPNEFTFGTVIHSSTSLGDLNLGRQFQACAMKMGLNYMVFVGSAVLDSYAKLGSIQEAQRAFEDIQHPNIVSYTALIHGYLKKGRFEEAFELFKQMPERNIVSWNAMIGGFSQMGQNEEAVNLFVEMIREGLVPCQYTFPCAIIAAANIAALEMGRSFHACAIKTMGEFSVFVGNSLISFYAKCGSMEDSLRLFYELPERTIVSWNAVICGFAQNGRGENAVDFYERMRNTGLRPDSVTLLGLLWACNHAGLVDKGYSYFNRARLEHPSLLKPKHYACMVDLLSRCGCFKEAQEFLRDLPFNPGVGFWKALLGGCKIHSNTELGALAGRNILDLDPKDASSYIMLSNAHSAAGRWQDASVIRQEIHARGLKRIPASSWIEIKTKVHVFVNCDMSHHQKDDIYSVLNFLYWEMDSD >Potri.011G093700.1.v4.1 pep chromosome:Pop_tri_v4:11:12079433:12081959:1 gene:Potri.011G093700.v4.1 transcript:Potri.011G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G093700.v4.1 MSFNRLNPHLPLANPITRSRNQKTTSLPFKRNKFLFFHLELALKTLTRETKPYQDSVTMSPRKIQFCIFLFILFNLKVSPLVLASSSNVSFEFPSFNLRNLTLLGDSYLRNGVIGLTRDVTVPSSSSGTVVYNNPVPFFDQESNTTASFSTRFSFSILGVNENSYGDGLSFFLSQDNQTLGSPGGYLGLVNSSQLTKNKFVAIEFDTRLDAHFNDPNDHHVGLDIDSLNSIKTADPILQDIDLKSGDLITSWIDYKNDLRVLKVYMSYSSLKPGNPILTVDIDLSEYLKRDMYVGFSGSTEGSTERHLIANWSFRTSWFLPVNPNSNRHNVSDSSVTVTTPVIPISNAANKRHKRLGLGLGIAGPAFFCAFLVAFGYFSVRKWQKIKRVKSLKAELVTGPKEFSYKELKLATRGFHSSRIIGRGAFGNVYKALFNSSGTLAAVKRSKHSHEGKTEFLAELSIIACLRHKNLIPLLGWCVEKGEVLLVYEFMPYGSLDRMLYEEGSELGIFLNWAHRQKIAVGLASSLTYLHHECEQQVIHRDIKTSNIMLDGNLNARLGDFGLARLMEHDKSPASTLTAGTMGYLAPEYLHYGKATEKTDVFSYGVVILELVCGKRPIEREPVSQKMVNLVDWVWGLYGEGNIIEAADPRLNGEFEEEMRKLLLVGLSCANPDSTGRPTMRRVLQILNGEAEPIAVPRKKPSLTFSCGLALTLEDIFSNCD >Potri.004G103300.1.v4.1 pep chromosome:Pop_tri_v4:4:9091452:9092957:1 gene:Potri.004G103300.v4.1 transcript:Potri.004G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G103300.v4.1 MEVENQVNTIDVCQVTPYFDSSESATELSLPLTFHDIMWLKFPPVERIFFYKHTESTPTFFNSVILPKLKHSLSHTLLHFLPLAGNLIWPPQAIKPIILYTPDDGVQLTVAESSADFHLLSGNEVHEAADSRPYIPELPVTDSKASVIALKITLFPNNGFCIGISAHHSVLDGKSSIMFIKAWAHFCKLGDEDKRQYPALLTELTPVFDRIGIQDPEGLGMVYLNNWLELKWPGVDLNPRSLQLLPAIVVRSSSVRATFELSREDIKKLRERVLANLVKEGSNETHPVHLSTFVLVLAHGFGCILKAIGVQSNRKVIMRFAADCRARLDPPMHENYFGNCVSSCAAFTEAESLLEENGFMYVAEMLSELVKTLEKGVLDGAKEKMARNMKEAAGGAALLSVAGSHQFEVYGTDFGWGKPEKVEITSIDRTGAISLAESKDGNGGVEIGLVLEKHEMEKFTSLFVDGLKNHY >Potri.016G082800.1.v4.1 pep chromosome:Pop_tri_v4:16:6388304:6391989:1 gene:Potri.016G082800.v4.1 transcript:Potri.016G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082800.v4.1 MVKPCWRPCVEDDATEKVDGLLWYKNLGNHVYGEFSMAVIQANSLMEDQSQLESGPLSSTNSGPQGTFVGVYDGHGGTEASKFINHNVFSNFKKIVSEQQDVSEITIKKAFASTEEGFLSLVKKQWLSKPHMASVGSCCLVGVICNGLLYIANAGDSRVVLGRAERGTREVTALQLSTEHNANIETVRNELRCLHPNDSQIVVQKHKVWRVKGIIQVSRSIGDAYLKKSEFNREPLQPKFRLPEPFHKPILNPEPEILVRKLQPGDRFLIFASDGLWEHLSNQEAVDIVQNFPRKGIAKMLVKAALRKAAKKREMRYTDLKRIERGVRRHFHDDISVIVVYIDSHLISRSSIGGHPLSIRGGSHYI >Potri.014G005300.4.v4.1 pep chromosome:Pop_tri_v4:14:410370:417963:1 gene:Potri.014G005300.v4.1 transcript:Potri.014G005300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005300.v4.1 MEGFLTFAMEETLTRVISIASEGIRLAWGLEGQLQKLKQSVTMIKAVLKDAARRPVTDDSVKLWLEKLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKEINGSLDEIQKLATRFGLGIACQHVESAPEVIRDIDRETDSLLESSEVVVGREDDVYEVMKLLTGSIGQQVLSVVPIFGMAGLGKTTIAKKVCQLAREKNHFDVTLWVCVSNDFSKRRILGEMLQKIDKSTAFFFLLGFFSFSLTKKSVWCKGRKGTIKQRSVKEVLTVGSLEGVSFFLERQMRLLCFIERREGKGRKEKTEKMSSTKGSNEGSLLFHTVVYVCVCIGRYRARQYENRWSACQ >Potri.008G142000.4.v4.1 pep chromosome:Pop_tri_v4:8:9567883:9571134:1 gene:Potri.008G142000.v4.1 transcript:Potri.008G142000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142000.v4.1 MGSCGRSGAVRQYVRSKVPRLRWTPELHRCFVHAIERLGGQDKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDLRGQDNSSTQQKRRSFDDHDECVEDVKPIEESDSHLMYRPFSSKRARIETRSSISEENLQCSQGIWETVSNPYSFDDYMGIMEEGNGGGFKWEQAHSKPQSTAFSPSLDLYYLNTSKREAEESDLVKDK >Potri.008G142000.1.v4.1 pep chromosome:Pop_tri_v4:8:9567883:9571945:1 gene:Potri.008G142000.v4.1 transcript:Potri.008G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142000.v4.1 MGSCGRSGAVRQYVRSKVPRLRWTPELHRCFVHAIERLGGQDKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDLRGQDNSSTQQKRRSFDDHDECVEDVKPIEESDSHLMYRPFSSKRARIETRSSISEENLQCSQGIWETVSNPYSFDDYMGIMEEGNGGGFKWEQAHSKPQSTAFSPSLDLYYLNTSKREAEESDLVKIAKLEDRMCKPGKVYKSEVTESERAEDEEPGVCELSLALSLPLPSSQRSNASSTSKISETFSSYSRSNLKDCSGFSSGKRDINLDLSIALCGA >Potri.008G142000.3.v4.1 pep chromosome:Pop_tri_v4:8:9567883:9570339:1 gene:Potri.008G142000.v4.1 transcript:Potri.008G142000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G142000.v4.1 MGSCGRSGAVRQYVRSKVPRLRWTPELHRCFVHAIERLGGQDKATPKLVLQLMDVKGLTISHVKSHLQMYRSMRSDLRGQDNSSTQQKRRSFDDHDECVEDVKPIEESDSHLMYRPFSSKRARIETRSSISEENLQCSQGIWETVSNPYSFDDYMGIMEEGNGGGFKWEQAHSKPQSTAFSPSLDLYYLNTSKREAEESDLVKVENLNQTLSLFLLPAFLMGLSVTLVFGFIFSSLLTSVGWPLFRFHIHAYINRYT >Potri.014G109900.1.v4.1 pep chromosome:Pop_tri_v4:14:7355858:7369177:1 gene:Potri.014G109900.v4.1 transcript:Potri.014G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109900.v4.1 MSNAVVEKEPEQVMKLRGGSVLGKKTILKSDHFPGCQNKRLTPQIDGAPNYRQADSLPVHGVAIPTIEGCRNVIKHIRGRKDGKQAQVLWFNLREEPLVYINGRPFVLRDVERPFSNLEYTGINRSRVEEMEARLKEDILMEAARYGNKILVTDELPDGQMVDQWEPVSCDSVKTPLEVYEDLQEEGYLYDYERVPVTDEKSPEEQDFDTLVDRIYQTDLNADIIFNCQMGRGRTTTGMVIATLVFLNRIGDSGIQRTNSVGRIFDFGLNVNENLPNSEDALRRGEYAVVRSLIRVLEGGVEGKKQVDKVIDKCASMQNLREAIANYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERVALRSSSFVHSSFADWMRARPELYSIIRRLLRRDPMGALGYASLKPSLMKIAESADGRPHEMDVVAALRNGEVLGSQTVLKSDHCPGCQNPGLPERVDGAPNFREVPGFPVYGVANPTIDGILSVIRRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYSGIGRERVERMEARLKEDILREAERYGGAIMVIHETNDGQIFDAWEHVNSDSIKTPLEVFKGLVTDGFPIKYARVPITDGKAPKSSDFDTLAINIASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDYGRPIRVLADDMTHEEMESGSSSGEETGGDPAASTSDIASVKTDMEQGRAFGIDDILLLWKITRLFDNGMECREALDAIIDRCSALQNIRQAVLQYRKMVNQQHVEPRVRRVALSRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGESRMAFKSWLHQRSEVQAMKWSIRLKPGRFFTVPEELRTPQESQHGDAVMEATVRVRNGSVLGKGSILKMYFFPGQRTSSHIQIQGAPHVYKVDGYPVYSMATPTITGAKEMLAYLSAKPKIEGSLTRKVILTDLREEAVVYINGTPYVLRELNKPVDVLKHVGITGPVVELMEARLKEDIVSEIRQSGGRILLHREEYNPATNQSCVIGYWENISVDDVKTPAEVYAALKDEGYDITYRRIPLTREREALTSDVDAIQYCKEDCEGSYLFVSHTGFGGVGYAMAIICIRLDAEAKFTSKISQTVVGRRSLSILSEANLPSELSDEEALRMGDYRDILSLTRVLAHGPKSKADVDIVIEKCAGAGHLRDDILYYNKELRKSPGDDDEQRAYLMDMGIKALRRYFFLITFRSYLYSTKASETKFTSWMDSRPELRHLCNNLRMDK >Potri.014G109900.4.v4.1 pep chromosome:Pop_tri_v4:14:7356199:7369103:1 gene:Potri.014G109900.v4.1 transcript:Potri.014G109900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109900.v4.1 MSNAVVEKEPEQVMKLRGGSVLGKKTILKSDHFPGCQNKRLTPQIDGAPNYRQADSLPVHGVAIPTIEGCRNVIKHIRGRKDGKQAQVLWFNLREEPLVYINGRPFVLRDVERPFSNLEYTGINRSRVEEMEARLKEDILMEAARYGNKILVTDELPDGQMVDQWEPVSCDSVKTPLEVYEDLQEEGYLYDYERVPVTDEKSPEEQDFDTLVDRIYQTDLNADIIFNCQMGRGRTTTGMVIATLVFLNRIGDSGIQRTNSVGRIFDFGLNVNENLPNSEDALRRGEYAVVRSLIRVLEGGVEGKKQVDKVIDKCASMQNLREAIANYRNSILRQPDEMKREASLSFFVEYLERYYFLICFAVYIHSERVALRSSSFVHSSFADWMRARPELYSIIRRLLRRDPMGALGYASLKPSLMKIAESADGRPHEMDVVAALRNGEVLGSQTVLKSDHCPGCQNPGLPERVDGAPNFREVPGFPVYGVANPTIDGILSVIRRIGSSKGGRPVFWHNMREEPVIYINGKPFVLREVERPYKNMLEYSGIGRERVERMEARLKEDILREAERYGGAIMVIHETNDGQIFDAWEHVNSDSIKTPLEVFKGLVTDGFPIKYARVPITDGKAPKSSDFDTLAINIASASKDTAFVFNCQMGRGRTTTGTVIACLLKLRIDYGRPIRVLADDMTHEEMESGSSSGEETGGDPAASTSDIASVKTDMEQGRAFGIDDILLLWKITRLFDNGMECREALDAIIDRCSALQNIRQAVLQYRKMVNQQHVEPRVRRVALSRGAEYLERYFRLIAFAAYLGSEAFDGFCGQGESRMAFKSWLHQRSEVQAMKWSIRLKPGRFFTVPQEELRTPQESQHGDAVMEATVRVRNGSVLGKGSILKMYFFPGQRTSSHIQIQGAPHVYKVDGYPVYSMATPTITGAKEMLAYLSAKPKIEGSLTRKVILTDLREEAVVYINGTPYVLRELNKPVDVLKHVGITGPVVELMEARLKEDIVSEIRQSGGRILLHREEYNPATNQSCVIGYWENISVDDVKTPAEVYAALKDEGYDITYRRIPLTREREALTSDVDAIQYCKEDCEGSYLFVSHTGFGGVGYAMAIICIRLDAEAKFTSKISQTVVGRRSLSILSEANLPSELSDEEALRMGDYRDILSLTRVLAHGPKSKADVDIVIEKCAGAGHLRDDILYYNKELRKSPGDDDEQRAYLMDMGIKALRRYFFLITFRSYLYSTKASETKFTSWMDSRPELRHLCNNLRMDK >Potri.015G108300.1.v4.1 pep chromosome:Pop_tri_v4:15:12629027:12631873:-1 gene:Potri.015G108300.v4.1 transcript:Potri.015G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108300.v4.1 MINKMASLRRALVTVHRILNSTTTTNHISVTSPLRSQYGLFSRYSTLNKNDNKKPSLDFELSNEESKRRLCNRLLYRSRQRGFLELDLVLGKWVEEHIYSMDENGVKALIDVLDLENPDLWKWLTGQEQPPEAVSINPVFSAVRDKIMNNLSSHAAPETRAIPGQPWVRGWDDIKKSAGSPVAGNQ >Potri.014G060900.1.v4.1 pep chromosome:Pop_tri_v4:14:3924077:3927419:-1 gene:Potri.014G060900.v4.1 transcript:Potri.014G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060900.v4.1 MIADELLSIEPQELQFPFELKKQISCSLQLRNKSDNYVAFKVKTTNPKKYCVRPNTGVVLPRLSCDVIVTMQAQKEMPLDMQCKDKFLLQSVITSPGATAKDITPEMFNKEAGHAVEECKLRVVYVAPAGPPSPVREESEEGSSPRASVSDNGNFSTSEQSAVSRSNVQRPQPEDNSPEAKALISKLTEEKNSAVQQNRQLQKELELLRHHSSRSRGGIPFIYTILVALVGIILGYLMKRT >Potri.004G054600.1.v4.1 pep chromosome:Pop_tri_v4:4:4461127:4463183:-1 gene:Potri.004G054600.v4.1 transcript:Potri.004G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054600.v4.1 MSGIGLQVTASIADRWRELSGEKSWNGLLNPLDIDLRRSIINYGDRATAIGNAFNKTSLRSANCCGFSRYAPRDFFSKTGIQTRNPYKYQVTDFIYGEVDAKILLLDDSESTWSAYVAVATNEGKALLGRRDIVVSWRGTSLSVEWLKDFDAELISVPEIFGNDVAKMHKGFHSLYTAKDDKSTYSKTSARDQALAAVSKLVDQYKDEEISITVTGHSLGAAIATLNALDIVVKGYNKTTGEQNKAFPVTAIVFASPRVGDANFKKLCEGLEDLHVLRVTNEKDIVPNLPLDIPPSFSFKHVGEELRIDTRKSPYVKSMDDLGDFHNLELYIHGVAGTQGSEGGFNLEVDRDIALVNKDLDGLKDEYNIPAGWWGIEDNKGMVLGDDGRWKLLSSA >Potri.018G142750.1.v4.1 pep chromosome:Pop_tri_v4:18:14798837:14801435:1 gene:Potri.018G142750.v4.1 transcript:Potri.018G142750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142750.v4.1 MSKSSSSQVITCKAAVCWGVGEPLKVEEIQVEPPKFSEIRVKVLCASLCHTDTLYAKGSLIPLFPRVLGHEGVGVVESIGEGVRDLKEGDLVIPAYLGECQECENCTSGKTNLCLKYPLILNGLMPDGTSRISINGQKLYHLITCSTWSEYMVIDTNYVVKIDPSIDLPHASFLSCGFSTGFGSAWREANVEKGSSVAVIGLGAVGLGAIEGARMQGAAKIIGIDKNEKKREKGQAFGMTDFINPDEYFNKTISELIKDITGGLGVDYCFECTGVGPLINEALLATKPGKGETFVVGAGTDLTVSINFLPLLCGGTLKGSLFGGLKIKSHLPILLDKCKNKEFNLDELLTHQVMLDDINKAFQLLEQPDCVKVLIKM >Potri.013G065800.1.v4.1 pep chromosome:Pop_tri_v4:13:4889078:4891848:1 gene:Potri.013G065800.v4.1 transcript:Potri.013G065800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065800.v4.1 MAIFSAKPRKSTIFLNISFLFLFLFYIATSYFPDQSNEPEVTTKHSLVLQEELTKTDGCSRIHDYTDYKSKCVYIKSNIGCRSKGYINYLQIFYCTCGKFSMLGHVMLLLWLAVLFYLLGNTAADYFCPSLESLSKLLKLSPTIAGVTLLSLGNGAPDVFASIVSFTRSSNGDVGLNSILGGAFFVSSVVVGVISLLTSPRQISVDKCSFIRDVCFFLFSLCCLLLIILVGKISLWGAISFLAIYLGYVSVVCIMHFQYRKKNHLTGDGNQEEFLERGIPLLGYVDDEKPILVDKTTDEDQQSSLIFCNLDSSFCYYLGRLLYVLEFPLYIPRRLTIPVVSEERWSRPYGVVSVALAPILLAALCTSQREKEFGSRSSLVTYMTSGLIGMVLGNLAYVTTKKSSPPKKSLFPWLAGGFLMSVTWSYIIAEELVSLLISLGYILGINPSVLGLTVLAWGNSLGDLIANVAMAVNGGADGVQIAISGCYAGPMFNTLLGLGISLIISSGSKYPSSFVIPKDPSLYETLGFLIGGLLWALVILPRKNMRLDRSLGIGLLAIYLCFLSLRLARSLGLLKLHGVS >Potri.013G008600.2.v4.1 pep chromosome:Pop_tri_v4:13:566377:571445:1 gene:Potri.013G008600.v4.1 transcript:Potri.013G008600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008600.v4.1 MEGFYRFNPTISCSPNDIARLENLPVVNFTDATTSNNEFHSQVGNLLQAGHGYTPVTESDMHDVIKTQIANHPRYPDLVSAYVECRKVGAPPEMVSLLEDIGRCSYQINTCYEIGADPELDEFMESYCEVLHRYKEELSKPFDEATTFLSSIESQLSSLCKGTLTKIFDYGSDEPAWTSEEELSCGEVEASEIPGSLGFHSSDQNLKGVLLSKYSGHLSSLRKEFLKQRKKGKLPKDAKTLLLDWWNHHYRWPYPTEEEKAKLSEITGLDQKQINNWFINQRKRHWKPSKDMRFALMESVGGDTAGPTCYGIVGDDIGT >Potri.013G008600.1.v4.1 pep chromosome:Pop_tri_v4:13:566377:571357:1 gene:Potri.013G008600.v4.1 transcript:Potri.013G008600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008600.v4.1 MEGFYRFNPTISCSPNDIARLENLPVVNFTDATTSNNEFHSQVGNLLQAGHGYTPVTESDMHDVIKTQIANHPRYPDLVSAYVECRKVGAPPEMVSLLEDIGRCSYQINTCYEIGADPELDEFMESYCEVLHRYKEELSKPFDEATTFLSSIESQLSSLCKGTLTKIFDYGSADEPAWTSEEELSCGEVEASEIPGSLGFHSSDQNLKGVLLSKYSGHLSSLRKEFLKQRKKGKLPKDAKTLLLDWWNHHYRWPYPTEEEKAKLSEITGLDQKQINNWFINQRKRHWKPSKDMRFALMESVGGDTAGPTCYGIVGDDIGT >Potri.003G163800.6.v4.1 pep chromosome:Pop_tri_v4:3:17314135:17321003:1 gene:Potri.003G163800.v4.1 transcript:Potri.003G163800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G163800.v4.1 MEVAETKCSSGYGKPPWIFKGSALYQLHLVKSETARAFIPKEFRLVEAFGYTLGGFFLASYEDSPAGVFDELVVIAGTVWNPPTSCAWAARVLVNSGDACDHGRKEVGLPSQVAKFSKKITAIPRQRKSKFTGFLDKIGLGTASSSTKSCMDVLVTETNDRSTTDICNINLTAVVPGMKFDKWKGPAIKMSLPSFSGRTEYNPSLLKYSCNIECRVRAVRAAKVSRPSLTSKQDAEESLSEHERQNLTISVMLSKPILALEFSCLKMQVEAPVVVSQHSTSRFATTVTD >Potri.001G466700.1.v4.1 pep chromosome:Pop_tri_v4:1:49157554:49158555:-1 gene:Potri.001G466700.v4.1 transcript:Potri.001G466700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466700.v4.1 MLSHPIHQANEKSPYGDLTREEFYKKHQILHQESFMFNKKKMKIFTQFWRPDDPTSQLKGIVAMVHGYSSESSWLNELTAIAIAKAGFLVCALDLQGHGYSDGLRGHIPNIQYVVSDCIMFFDSVKANSPNLPAFLYGESLGGAISILICLKQGYTWDGLILSGAMCGISAKFKPMWPLEKLLPLAALFAPTWRVVASKPVSSRSYKEEWKRRLVANNPNRPKSGKPPAATALEFLRVCEYIRKHCYDLGVPFLMVHGEDDFACDFRSASFVYESATSKDKTLKIFPGMWHMLVGEPKENVELVFGTILTWLRDHAAQPKPKPKPKPKPKPKP >Potri.005G050060.1.v4.1 pep chromosome:Pop_tri_v4:5:3174554:3175153:-1 gene:Potri.005G050060.v4.1 transcript:Potri.005G050060.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050060.v4.1 MQGLCQLGRPKEALNLFKEMCSYGPHPNLVTYVILLDGFCKHGHLDEALKLLKSMKEKKLEPNIVHYTILIEGMFIAGKLEVAKELFSKLFGDGTRPDIRTYTVMIKGLLKEGLSDEAYDLFRKMEDDGFLPNSCSYNVMIQGFLQNQDSSTAIRLIDEMVGKRFSVNLSTFQMLLDLESQDEIISQFMRGSSQGRKMK >Potri.004G043700.1.v4.1 pep chromosome:Pop_tri_v4:4:3449439:3455154:1 gene:Potri.004G043700.v4.1 transcript:Potri.004G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G043700.v4.1 MAAAAATSFSTTLTTPTPSKTLKSAPSRSLLCNSNLGFSSSVSSKTFKPLKASNGNVTGGVLGAKMVSSVPSVKPLISLDFETSVFKKEKVSLAGHDEYIVRGGRDLFHLLPDAFKGIKQIGVLGWGSQGPAQAQNLRDSLAEAKSDIKVKIGLRKGSRSFAEARAAGFTEENGTLGDIWETVSGSDLVLLLISDAAQADNYEKVFSHMKPNSILGLSHGFLLGHLQSMGLDFPKNISVIAVCPKGMGPSVRRLYVQGKEVNGAGINSSFAVHQDVDGRATDVALGWSVALGSPFTFATTLEQEYRSDIFGERGILLGAVHGIVESLFRQYTENGMSEDEAYKNTVECITGIISRTISTKGMLAVYNSLTPEGKKEFETAYSASFYPCMDILYECYEDVASGSEIRSVVLAGRRFYEKEGLPDFPMGKIDQTRMWKVGERVRATRPAGDLGPLHPFTAGVYVALMMAQIEILRKKGHSYSEIINESLIESVDSLNPFMHARGVSFMVDNCSTTARLGSRKWAPRFDYIVTQQALVAVDNGTPINRDLISNFFSDPVHGAVEVCAQLRPTVDISVPPDADFVRPELRQSSN >Potri.002G088300.2.v4.1 pep chromosome:Pop_tri_v4:2:6381961:6383355:-1 gene:Potri.002G088300.v4.1 transcript:Potri.002G088300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088300.v4.1 MAQTLAMPVVPSLSAICNGLKSTSLSNSISLPISNPPKAGSLSIRCARVGGVEIPNSKRVEYSLQYIHGIGRSNARQILSDLSMENKITKDLSEEELIILRDEVSKYTIEGDLRRFNALNIRRLKEIQCYRGIRHIQGLPCRGQRTKNNCRTLKGKRVSIPGKKKAPR >Potri.014G145700.11.v4.1 pep chromosome:Pop_tri_v4:14:9954730:9961470:-1 gene:Potri.014G145700.v4.1 transcript:Potri.014G145700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145700.v4.1 MMKELTPRTKDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFKIGFITTDDFTNADILEATYPAVAQSLHGDWIRDTAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNSNAPGTLITRTRDMSKAVLTSIVMKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKVFNVLHIKGINVQMISQGASKVNISLIVNDDEAEQCVRSLHSAFFESDVSELDGKCVSDNGSVQLRSEE >Potri.014G145700.10.v4.1 pep chromosome:Pop_tri_v4:14:9954642:9961502:-1 gene:Potri.014G145700.v4.1 transcript:Potri.014G145700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145700.v4.1 MMKELTPRTKDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFKIGFITTDDFTNADILEATYPAVAQSLHGDWIRDTAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNSNAPGTLITRTRDMSKAVLTSIVMKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKVFNVLHIKGINVQMISQGASKVNISLIVNDDEAEQCVRSLHSAFFESDVSELDGKCVSDNGSVQLRSEE >Potri.014G145700.6.v4.1 pep chromosome:Pop_tri_v4:14:9954642:9961502:-1 gene:Potri.014G145700.v4.1 transcript:Potri.014G145700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145700.v4.1 MANTLHFSATLQAAPSPVFSKRMNKMHCQSLRVQMVNYNSEATNLALSRSNRVLKVSCEAASVDVLEMNKTDNQAETQLTCVMKFGGSSLASAERMREVAELILSFRNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDSIEELIIIKELHLLTAKELGVDESVIAKHLEELEQLLKGIAMMKELTPRTKDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFKIGFITTDDFTNADILEATYPAVAQSLHGDWIRDTAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNSNAPGTLITRTRDMSKAVLTSIVMKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQASELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKVFNVLHIKGINVQMISQGASKVNISLIVNDDEAEQCVRSLHSAFFESDVSELDGKCVSDNGSVQLRSEE >Potri.014G145700.9.v4.1 pep chromosome:Pop_tri_v4:14:9954730:9961470:-1 gene:Potri.014G145700.v4.1 transcript:Potri.014G145700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145700.v4.1 MANTLHFSATLQAAPSPVFSKRMNKMHCQSLRVQMVNYNSEATNLALSRSNRVLKVSCEAASVDVLEMNKTDNQAETQLTCVMKFGGSSLASAERMREVAELILSFRNERPVIVLSAMGKTTNKLLLAGEKAVSCGVTNVDSIEELIIIKELHLLTAKELGVDESVIAKHLEELEQLLKGIAMMKELTPRTKDYLVSFGECMSTRIFAAYMNKIGAKARQYDAFKIGFITTDDFTNADILEATYPAVAQSLHGDWIRDTAIPIVTGFLGKGWRSCAITTLGRGGSDLTATTIGKALGLREIQVWKDVDGVLTCDPNIYPHAEPVPYLTFDEAAELAYFGAQVLHPQSMRPAREGDIPVRVKNSYNSNAPGTLITRTRDMSKAVLTSIVMKRNVTMLDIVSTRMLGQFGFLAKVFSIFEDLGISVDVVATSEVSISLTLDPSKLWSRELIQQELDHVVEELEKIAVVNLLQHRSIISLIGNVQRSSLILEKVFNVLHIKGINVQMISQGASKVNISLIVNDDEAEQCVRSLHSAFFESDVSELDGKCVSDNGSVQLRSEE >Potri.005G161100.2.v4.1 pep chromosome:Pop_tri_v4:5:15742284:15743032:-1 gene:Potri.005G161100.v4.1 transcript:Potri.005G161100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161100.v4.1 MAYKTLVCLMLLALLAGSALAQAPGAAPTAQPTKSPSPAPAAPTTPPPAPTPAPSVPAPTPAPSVPAPTPATAPSTSPSSSPASSPPSPLAPGTGGGSIATPPSDTASPPSPSNADGLNRATMAGALIGVAGVWSLLM >Potri.003G082200.3.v4.1 pep chromosome:Pop_tri_v4:3:10900010:10904451:1 gene:Potri.003G082200.v4.1 transcript:Potri.003G082200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082200.v4.1 MENSSGGSSDYSNSRIVVTNEKKPMQGIKIENPFTFKVLQVFTGFGFGCGIGIGQGMPVNMGALPMVGQVMSATRGATDAFSGITRHVNTALWKLGAKNIQAGVGCGVGFGHGFGIGLAVKPGAVQKMQACFLEVLMKMMTKLGIAPNLSIGQGALPMSLQSGVSMLTESSIQNPLGNITQLARKLPDQTSQSLYGYGNVSSHSSSESSTSKGSDTSFGSRTEKVISSFLQNPILKEDGTDINELAGRLRSENNMLQMVLRHQQIIEELMEENQKLRQILVEDLKIPPNKLQASHSTINKSPCTDCFECRRRQRKK >Potri.006G024300.3.v4.1 pep chromosome:Pop_tri_v4:6:1639923:1642594:1 gene:Potri.006G024300.v4.1 transcript:Potri.006G024300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024300.v4.1 MTSDNSGNCLAWAARDKSGFLSPYTFYRRAVGKDDVSLKITHCGICYADVAYTSGTFGKAIYPVVPGHEIVGIVQEVGSEVQSFKVGDHVGVGAYVNSCRDCEYCNDELEIHCSNGVVFTFNGLDVDGTVTKGGYFSFIVVHKRYCYKIPQDYPLSLAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHLAVKFGKAFGLNVTVFSTSMSKKEEALNLLGADNFVVSSDNEQMKALDKSLDFIIDTASGDHPFDPYMSILKTAGILVLVGAPSEIKLAPLNLILGMRTLTGSVTGGTKQTQEMLDFCGAHKIYPEVEVIPIQYANEALERLIKKDVKYRFVIDIENSLN >Potri.001G115500.1.v4.1 pep chromosome:Pop_tri_v4:1:9362440:9364932:-1 gene:Potri.001G115500.v4.1 transcript:Potri.001G115500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G115500.v4.1 MAAICFTKLPNPSSTTAQQALNRQRHHHITQPNSLIVPPHSLNSFTSLPFSRKILTTRLSTHLPISATVLSSLPTAKPERLGSSGEKVPKWSWKAIKAFTMAELEARKLKFATTGTEALLLGILIEGTSVAAKYLWANGITVFKAREETIKVLGKADMYYFPPERPPLTDDAQKVLDWALDHKLKSGDSGGEVTTSDLLLGIWSEVECPGHKILAVLGFNDEKAKELEASSSGPGFIDG >Potri.010G184000.1.v4.1 pep chromosome:Pop_tri_v4:10:18160982:18164617:-1 gene:Potri.010G184000.v4.1 transcript:Potri.010G184000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT10 MNIKRSLKFSKMKQPFTASSSSSSSSSSLIRKARLSPYLLTLLVFIVFVAILYGEDFMCLLGQLDPNLDRPATSRTEKKWEKLPFSTGRTPEGCDFFSGRWVWDDSNRPLYEESECPYIQPQLTCQEHGRPEKDYQHWRWQPHGCDLPSFNATLMLETLRGKRMMFVGDSLNRGQYVSMVCLLHRLIPEDQKSMETFGSLTVFTAKEYNATIEFYWAPFLLESNSDDAVIHRVSDRIVRRGSINKHGKNWKGVDIIVFNTYLWWMTGQDIKILQGSFEDETKDIIELSPEDAYRMAMKSMLRWVRKNMNRKKTRVFFTSMSPTHQKSIDWGGEPGHSCYNETTLVDNATYWGSDCKRSIMEVIGDEFSRSRFPITFLNITLLSNYRKDAHTSIYKKQWSPLTPEQIANPVSYADCVHWCLPGLQDTWNELLFAKLFYP >Potri.004G080400.1.v4.1 pep chromosome:Pop_tri_v4:4:6619587:6621016:-1 gene:Potri.004G080400.v4.1 transcript:Potri.004G080400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080400.v4.1 MSKEDLLLLDFWVSPFCMRVKIALAEKGLDYESKEEDLFGGKSELLLKSNPVYQKVPVLLHNGKPLNESAIIVGYIDEKWPSPPLLPACAYGRSQARFWADYIDKKLFDATCTVWRSTGEAVEVAKKDFIEVLKVLEEALGEKTFFGGETFGFVDIVAIPMASWFYASEKFGNFTVEAECPKLSAWIKRSMQRESVAKVLPDPEKVYDFVVMFRKMQGIE >Potri.001G318400.1.v4.1 pep chromosome:Pop_tri_v4:1:32826642:32828131:1 gene:Potri.001G318400.v4.1 transcript:Potri.001G318400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G318400.v4.1 MSKLPQDIMVDILTYLPVKSLLRFKCVCKLWHSLISDPKFVKSHLKTAREVNSNKSQRLLLSTRTPQSVDFEAASEGDEDNAVQELEYPDVVRCSPTYFIGIMGSCDGLICLFVDYAKLVLWNPSTRDYKEMPKPSCDHGFDFFAGIGYDSSNDDYKFVIPSCTTADGSEQIMVEVLTLKTNVWRKVPEICQGTTLVGAYRGLFCNGAVHWLGKQENGSEKEYVAVSFDVAEERFKEVVPLPDHFDTVVLGMSGNSLCAFGECHGSYFEAWIHEQEYDSSASFRRLFRLPADRLSQEPKVVLCLTKKGELLLDYDEWQLALYHPVADKNKCIRAYRDSNLCDLAIYTESLVSINGDDSRDPRRRCWRKMVIA >Potri.005G170700.1.v4.1 pep chromosome:Pop_tri_v4:5:17535189:17537679:1 gene:Potri.005G170700.v4.1 transcript:Potri.005G170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170700.v4.1 MDPSSANSLNGFYSFLTRGIDDLERVYLSNNFMSIQFLQRVLSLLRSFHSQLTLLVQKLHLPVGDKWLDEYMDESSKLWEACHVLKSGLSGIENYYSAGFNITSSLENHRHLSPQLSRQVTRAISGCRREAVGLEEENRALMETRIQPLSLRFDEKISIESKLNGFNGFRGVLYAMRNVSSLLLMILLYGLVYCWPESSFLRGGYEGCLFFGSAFLISTGRLQQRVAAEINQINGRPGILLYEFRRSKMAMEELRGELERKCGQGGAVEWETEVGIRERVDNLRGCFGVLRSGAENIVGQLDDFFDEIVEGRKKLLDFCSHR >Potri.015G048700.4.v4.1 pep chromosome:Pop_tri_v4:15:5106993:5111439:-1 gene:Potri.015G048700.v4.1 transcript:Potri.015G048700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048700.v4.1 MVILLVATTSDPASIGPASALLAMPGWHAGPSLQDAVSFVNKEVRLIKVDNSLVKEDHLDKRWEEATGELVDEIIFLSKHAASSSRPALTVHPIGTPHISEGEVLVAGGKPGWAAPPNPRIGPWLRLLRTIAESQKLTPEFEVTLEATHHGPLTNSPTMFVEIGSTEEYWRRQDAAQAIALLVWEGLGLGGGIAEGDWGRNGGSNKILLGIGGGHYVPRHMDIVLKDGVWVGHLLSGYSLPMEDPGQSKTQLNTEAVHGTWRESIKVAFEATKSAFPGGEILAHLDHKFVIP >Potri.015G048700.2.v4.1 pep chromosome:Pop_tri_v4:15:5106835:5111441:-1 gene:Potri.015G048700.v4.1 transcript:Potri.015G048700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048700.v4.1 MVILLVATTSDPASIGPASALLAMPGWHAGPSLQDAVSFVNKEVRLIKVDNSLVKEDHLDKRWEEATGELVDEIIFLSKHAASSSRPALTVHPIGTPHISEGEVLVAGGKPGWAAPPNPRIGPWLRLLRTIAESQKLTPEFEVTLEATHHGPLTNSPTMFVEIGSTEEYWRRQDAAQAIALLVWEGLGLGGGIAEGDWGRNGGSNKILLGIGGGHYVPRHMDIVLKDGVWVGHLLSGYSLPMEDPGQSKTQLNTEAVHGTWRESIKVAFEATKSAFPGGEILAHLDHKSFKSWQRTAVTTFLVEQNIKIGKASDFS >Potri.006G065200.1.v4.1 pep chromosome:Pop_tri_v4:6:4671274:4674711:1 gene:Potri.006G065200.v4.1 transcript:Potri.006G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065200.v4.1 MSWLFKSLQSNDPGSPPHSPPPPSVKDHLSAIGVSIGRQLRGVANFLAPPPPSRPEAAKSQPSDSSQSSRALIGIRNDLAEIGDSLKYGLSKLTFNFLRFKDDDHNNSSQEGDYEDGVAGINEEVIGFVKEISLRPEYWVDFPLPLQNDFRMTDAQREHASNIERFVPSLAQLRYNLRSETGDGRFWMVYFILLIPRLNEGDFEILSTPQIVETRNVLLEKLRNKKNVKLESSKNSISETQGENTTSREEVAGIVYATEGLKINDEENSKQFLKEQIDNSISMDNRKKLEGKEDVSFSDLEDDYSDSSTRLSASRKAQSIRAPSPSGSSDWVQLNESSDILGGLRKARQSFSRDKDSDAESTDWHKVDEFD >Potri.007G121800.1.v4.1 pep chromosome:Pop_tri_v4:7:13928969:13933035:1 gene:Potri.007G121800.v4.1 transcript:Potri.007G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121800.v4.1 MKTRYVKRMTRSLLTQLSSPHSHRFFSSTTTTKSPLRHHQTTLISTRPTYSPPKFLRITTFATKNESIFDAADELREDDNKHDVASERKEPLHEFSRNVVVLTCESKAEGGKCVVYLVGTAHVSQASCREVEAVIRHVKPQVVFLELCASRVGLLTIRNLKVPTMKEMIEKWKKTQNAAQIFLSWFYATVGDKLGVVPGSEFQVAFEEARKCEAKVVLGDRPAQITFRRTQGKLPFWHKVKFLCAVFVQTLFSSSSKSIDTMIKDLDDVKKVTISTKKLSKQYPTVMETVVDERDQYMSSILLRIAKEHTSVVAVIGKGHLQGIKKYWEQPIELKDLLELPPQKPPFSALKVLAVAGMAIGSAFIFQARNS >Potri.001G242600.5.v4.1 pep chromosome:Pop_tri_v4:1:26027639:26033606:-1 gene:Potri.001G242600.v4.1 transcript:Potri.001G242600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242600.v4.1 MQLSLSPPLAFATSRYSQVQVVFLMVPGVLFCCFLLLLVYLQWQYHPKDKRLPPGSMGWPYIGETLKLYAENPNSFFFNRQKRFGDIFKTHILGCPCVMISSPEAARIVLVTRAHLFKPTYPTSKEKMIGPEALFFHQGAYHSRLKKLVQASFLPSAIRGSVSEIEQIVLRFLPSWKNTTINTLQEMKRYAFDVAMISAFGEKQDLEMEGIKHLYQRLEKGYNSMPLDLPGTPFHKAMKARKQLNETLKKLIQKRRQSRRQGGGLLGVLLGDKDDEKLKNQLSDSQIADNIIGVIFAAHDTTASVLTWILKYLHDNEDLLEAVTREQEGIRSKIVEANRGLTWDDTRRMPLTSRVIQETLRTASILSFTFREAVQDVEFEGYFIPKGWKVLPLFRSIHHCADFFPRPQKFDPSRFEVPPKPNTFMPFGNGLHSCPGSELAKLEMLILLHHLTTTYRWQTVGDDDGIQYGPFPVPKCGLPVRVSRRNKSVIL >Potri.006G088648.1.v4.1 pep chromosome:Pop_tri_v4:6:6707565:6709856:-1 gene:Potri.006G088648.v4.1 transcript:Potri.006G088648.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088648.v4.1 MLFRIVFLVRVFVSLAIAASQDLNFTFSGFRSTNLSLDGLAELTSNGLLRLTNETKLRTSHAFYPNPVTFKNSINSTAFTFSTAFVFAIIPEYASFGGHGIAFVIAPTRGLPGALPSQHLGLFNETNNGNQTNHVVAVELDTIYNSDFNDINDNHVGIDINGLVSERSASAGYYSQLNGKLTNLTLSSGHPMQVWMEYDGMEKQFNVTIAPIDVDKPSRPLLTLSCDLSPILNSSMYIGFSSSTGSVLASQYVLGWSFKMNGLAKGLNISRLPKLPRVGPKKTSKFLTIGLPVLCLSLVLVAVSSISYAIRRARKFAEVLEDWELDYGPHRFKLKDLYTATKGFRDEEQLGSGGFGRVYKGVLPTSKIQIAVKRVSHESRQGMREFVAEIVSIGRLRHRNLVPLLGYCRRKGELLLVYDYMPNGSLDKYLYDQPTVALNWSQRFRVIKGVASGLFYLHEEWEQVVVHRDVKASNVLLDGELNGRLGDFGLARLYDHGTDPQTTHVVGTLGYLAPEHARTGKATTSTDVFAFGAFLLEVASGRRPIQPTEDIILVDWVFSRWLGGEILEARDPNLGTEYIA >Potri.018G001550.1.v4.1 pep chromosome:Pop_tri_v4:18:111150:116888:-1 gene:Potri.018G001550.v4.1 transcript:Potri.018G001550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001550.v4.1 MESATSSKRQRVGSVQRAARDTEDEEEEKMFDVRSVNKDLDIDSKIAALKVSLSVVCLLSRTGGKNLCRCSGTVVECERKQESGGGGGGGEFVATILTSANLLRFPSYSRYTFLAPDITVEVYLSKGKPLKGQVLGYDFHYNLAIIQITTDYPLPTAILTDIDVSMPLTPTPLLTDSKPFGLRPHTVDSSLFKLRGGDKVIALARTLTCQCLLVESGTLSIYKSSGLHCQELLYTSCKTTQVYIGGPLINCDGEVIGIVFHYDGYAAFLPINIASRCLQLLKRDRGVCHPWLGMTLTNSYAAKASVLEEIIQKFPHISNGIIVKDVMKGSPAAHAGIVSKDIIVECDGEVVKCSLEFCRKVWNRASQSVEVVVMRPSSSKPVKLTMVVDELKPASYNCWPVPADKEYAAVIWRSIDLAAQRATTWLDT >Potri.003G024000.2.v4.1 pep chromosome:Pop_tri_v4:3:2505270:2536506:-1 gene:Potri.003G024000.v4.1 transcript:Potri.003G024000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024000.v4.1 MESALAISATTVPLFILSKALNRHFTTNTTRSLLRISSTQLVTRRLSSIYSRNSDFLPSSPSLKGLRVGVPLVRLRLECVSSSAASFGTTSGGGGGGGEFGGGGGGGGSNGGDAESNSVAEAVGAEEAPVLSPDVIILDVGGMTCGGCAASVKRILESQSQVFSASVNLATETAIVRPVSEAKIVPNWQKQLGEALAKHLTSCGFISNLRDEGRDNVFKVFEKKMDEKRDRLKESGHQLAVSWALCAVCLLGHVSHIFATKASWIHVFHSVGFHLSLSLFTLLGPGRQLIHDGVKSLFKGAPNMNTLVGLGALSSFAVSSLAALVPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKAASDMTGLLSVLPTKARLVVNGDATDLGSIVEVPCSSLSVGDQIVVLPGDRVPADGTVRAGRSTIDESSFTGEPLPVTKLPGSHVSAGSINLNGTLTIEVKRPGGETAMGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMAISAATFMFWSMFGTHILPAALNQGNPVSLALQLSCSVLVVACPCALGLATPTAVLVGTSLGATRGLLLRGGNVLEKFSMVNSVVFDKTGTLTIGRPVVTKVVSLGGMEITDSQLKPNATWSEVEVLKLAAGVESNTIHPVGKAIVEAARAASCTSVKALYHKFKDKRFLALNMQVTDGTFMEEPGSGAVATIENKVVSVGTLDWIQRHGVCENPFQEVEDIKNQSVVYVGVDNTLAGLIYFEDQIREDARHVVESLSCQGINVYMLSGDRKKNAEYVASLVGIPKEKVLSGVKPDEKKKFISELQKDQNIVAMVGDGINDAAALAESHVGVAMGEGVGAASEVSSIVLMGNRLSQVLDALELSRLTMKTVKQNLWWAFAYNIVGIPIAAGVLLPITGTILTPSIAGALMGFSSIGVMMNSLLLRLKFSSKQKKVHGAFPDPKIYLDSVLLDQKEKIKPPWSDSRWR >Potri.003G024000.3.v4.1 pep chromosome:Pop_tri_v4:3:2505255:2536485:-1 gene:Potri.003G024000.v4.1 transcript:Potri.003G024000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G024000.v4.1 MESALAISATTVPLFILSKALNRHFTTNTTRSLLRISSTQLVTRRLSSIYSRNSDFLPSSPSLKGLRVGVPLVRLRLECVSSSAASFGTTSGGGGGGGEFGGGGGGGGSNGGDAESNSVAEAVGAEEAPVLSPDVIILDVGGMTCGGCAASVKRILESQSQVFSASVNLATETAIVRPVSEAKIVPNWQKQLGEALAKHLTSCGFISNLRDEGRDNVFKVFEKKMDEKRDRLKESGHQLAVSWALCAVCLLGHVSHIFATKASWIHVFHSVGFHLSLSLFTLLGPGRQLIHDGVKSLFKGAPNMNTLVGLGALSSFAVSSLAALVPKLGWKAFFEEPIMLIAFVLLGRNLEQRAKIKAASDMTGLLSVLPTKARLVVNGDATDLGSIVEVPCSSLSVGDQIVVLPGDRVPADGTVRAGRSTIDESSFTGEPLPVTKLPGSHVSAGSINLNGTLTIEVKRPGGETAMGDIVRLVEEAQSREAPVQRLADKVSGHFTYGVMAISAATFMFWSMFGTHILPAALNQGNPVSLALQLSCSVLVVACPCALGLATPTAVLVGTSLGATRGLLLRGGNVLEKFSMVNSVVFDKTGTLTIGRPVVTKVVSLGGMEITDSQLKPNATWSEVEVLKLAAGVESNTIHPVGKAIVEAARAASCTSVKVTDGTFMEEPGSGAVATIENKVVSVGTLDWIQRHGVCENPFQEVEDIKNQSVVYVGVDNTLAGLIYFEDQIREDARHVVESLSCQGINVYMLSGDRKKNAEYVASLVGIPKEKVLSGVKPDEKKKFISELQKDQNIVAMVGDGINDAAALAESHVGVAMGEGVGAASEVSSIVLMGNRLSQVLDALELSRLTMKTVKQNLWWAFAYNIVGIPIAAGVLLPITGTILTPSIAGALMGFSSIGVMMNSLLLRLKFSSKQKKVHGAFPDPKIYLDSVLLDQKEKIKPPWSDSRWR >Potri.019G075500.1.v4.1 pep chromosome:Pop_tri_v4:19:11670919:11672431:1 gene:Potri.019G075500.v4.1 transcript:Potri.019G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075500.v4.1 MEERNTGGVSSSYRGVRKRKWGKWVSEIREPGKKNRIWLGSFETPEMAAAAYDVAALHFRGHDARVNFPDLVHDLPKPTSSSSEDIRMAAHEAAMSLRPFTAESSHGGSSTSNVGPITVRLSASQIQAINESPLDSPKMWMHMSEIAILEESMVFSNNIEEDEWDNKQTYSLWDP >Potri.005G141800.1.v4.1 pep chromosome:Pop_tri_v4:5:11362222:11364400:-1 gene:Potri.005G141800.v4.1 transcript:Potri.005G141800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141800.v4.1 MGQSSSTTNYSINRFLTPATILSESSDLADEINIGPLRDHTEDIPDDCLAYIFQLLKAGDRKSSSLVCKRWLRVDAQSRRRLSLIAQSEIISYVPTIFTRFDSVAKLSLRCGRKSVSLNDDALLMISIRCENLTRLKLRGCRELTELGMANFAKNCKNLTKFSCGSCNFGVEGINWMLKYCTDLEELTIKRLRSVNNGNELVIVPGAAALSLKSICLKELVNGQCFEPLVVECKKLKTLKVIRCLGDWDSVLVKIGNGNGILSDVHLERLQVSDIGLGAIAKCVNIDSLHIVRNPDCSNLGLVSVAENCRKLRKLHIDGWNINRIGDEGLIAVAKQCPELQELVLICVHVTHLSMAAIAVNCQRLERLALCGIGAIGDAEIACIAAKCVELKKLCIKGCAISDTAIEALAWGCPNLVKVKIKKCRGVSSEVVNWLLRRKGSLVVSFDAAESEGLDASGSDVGGQESGVEFPVMGDRVVVGDGPSVSIGRLALLRAKLGFFASRNLVPCAFNKSSNHGDSSSSNL >Potri.010G122200.1.v4.1 pep chromosome:Pop_tri_v4:10:13998335:14012620:1 gene:Potri.010G122200.v4.1 transcript:Potri.010G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122200.v4.1 MSTPFSGIDGGGAGGVAVMAGPVNPIDPSAPSKTCLKNSALKSPILIFLFFHKAIRSELDGLHRAAIAFATTGGDIKPLLERYYLFRSIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVLFDQLFELLNSNMQNEESYRRELASRTGALQTSIDQHMSKEEEQVFPLLIEKFSFEEQASLAWQFLCSIPVNMMAEFLPWLSSSISSDEHQDMHKCLCKIIPEEKLLRQVIFSWMKGAKLSETCKSCEDNSKAWCQDSGAPTLGCQSMKGHCACESSRMGKRKYMELNCDATLSTEFHPIDEILLWHNAIKRELNDITEAARSIQHSGDFSNLSSFNKRLQFIAEVCIFHSIAEDKIIFPAVDAELSFAQEHAEEEVQFDKLRCLIESIQNAGAYTSLTDFYTKLCSQADQIMDNIQKHFQNEEVQVLPLARKHFSAKRQRELLYQSLCVMPLKLIECVLPWLVGSLSEEAARSFLQNMYMAAPASDSALVTLFSGWACKGGSKNVCLSSSAIGCCPVRILAGTEEDTKQQSCKCSPRSSVDEKSSFVQVDGADDCRRPGKCGNLLAQEDSNGCPSSEPVDTQKSSCSNKSCCVPGLGVSSNNLGISSLAAAKSLRSSFSPSAPSLNSSLFNWEMDTSPTNIGCSSRPIDNIFQFHKAIRKDLEYLDVESGKLNECNETLLRQFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISSALSELTQLQDYLKNTNHADELIGKHANLSDCNYTVRQYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDRHFSVEEQDKIVGQIIGTTGAEVLQSMLPWVTSALTLEEQNRMMDTWKQATKNTMFSEWLNEWWEGTFAATPHATTSESCISLGTDLHESLDQSDHTFKPGWKDIFRMNQNELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQARTGDHSNGGDLLGCSPSFRGPEKQEFGCEHYKRNCKLRATCCGKLFACRFCHDKVSDHSMDRKATSEMMCMRCLKIQPVGPVCTSISCGGFSMAKYYCSICKFFDDERAVYHCPFCNLCRVGTGLGADFFHCMKCNCCLAMKLADHKCREKGLETNCPICCDDMFTSSASVKALPCGHFMHSTCFQAYTCSHYICPICSKSLGDMSVYFGMLDALLASEELPEEYRDRCQDILCNDCDKKGTAPFHWLYHKCRFCGSYNTRVIKVDSTDSNCSTSNQ >Potri.010G122200.9.v4.1 pep chromosome:Pop_tri_v4:10:13998560:14012627:1 gene:Potri.010G122200.v4.1 transcript:Potri.010G122200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G122200.v4.1 MAGPVNPIDPSAPSKTCLKNSALKSPILIFLFFHKAIRSELDGLHRAAIAFATTGGDIKPLLERYYLFRSIYKHHCNAEDEVIFPALDIRVKNVARTYSLEHEGESVLFDQLFELLNSNMQNEESYRRELASRTGALQTSIDQHMSKEEEQVFPLLIEKFSFEEQASLAWQFLCSIPVNMMAEFLPWLSSSISSDEHQDMHKCLCKIIPEEKLLRQVIFSWMKGAKLSETCKSCEDNSKAWCQDSGAPTLGCQSMKGHCACESSRMGKRKYMELNCDATLSTEFHPIDEILLWHNAIKRELNDITEAARSIQHSGDFSNLSSFNKRLQFIAEVCIFHSIAEDKIIFPAVDAELSFAQEHAEEEVQFDKLRCLIESIQNAGAYTSLTDFYTKLCSQADQIMDNIQKHFQNEEVQVLPLARKHFSAKRQRELLYQSLCVMPLKLIECVLPWLVGSLSEEAARSFLQNMYMAAPASDSALVTLFSGWACKGGSKNVCLSSSAIGCCPVRILAGTEEDTKQQSCKCSPRSSVDEKSSFVQVDGADDCRRPGKCGNLLAQEDSNGCPSSEPVDTQKSSCSNKSCCVPGLGVSSNNLGISSLAAAKSLRSSFSPSAPSLNSSLFNWEMDTSPTNIGCSSRPIDNIFQFHKAIRKDLEYLDVESGKLNECNETLLRQFTGRFRLLWGLYRAHSNAEDDIVFPALESKETLHNVSHSYTLDHKQEEKLFEDISSALSELTQLQDYLKNTNHADELIGKHANLSDCNYTVRQYNELATKLQGMCKSIRVTLDQHVFREELELWPLFDRHFSVEEQDKIVGQIIGTTGAEVLQSMLPWVTSALTLEEQNRMMDTWKQATKNTMFSEWLNEWWEGTFAATPHATTSESCISLGTDLHESLDQSDHTFKPGWKDIFRMNQNELEAEIRKVSRDSTLDPRRKAYLIQNLMTSRWIAAQQKSPQARTGDHSNGGDLLGCSPSFRGPEKQEFGCEHYKRNCKLRATCCGKLFACRFCHDKVSDHSMDRKATSEMMCMRCLKIQPVGPVCTSISCGGFSMAKYYCSICKFFDDERAVYHCPFCNLCRVGTGLGADFFHCMKCNCCLAMKLADHKCREKGLETNCPICCDDMFTSSASVKALPCGHFMHSTCFQAYTCSHYICPICSKSLGDMSVYFGMLDALLASEELPEEYRDRCQDILCNDCDKKGTAPFHWLYHKCRFCGSYNTRVIKVDSTDSNCSTSNQ >Potri.006G235066.1.v4.1 pep chromosome:Pop_tri_v4:6:23744438:23746642:-1 gene:Potri.006G235066.v4.1 transcript:Potri.006G235066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235066.v4.1 MGRHSGFIAMHATLASRDVDCCLIPESPFYLEGKGGLFEFIKRRIKENGHMVIVVAEGAGQDLLAKYLQSMNQQDASGNNLLQDVGLWISHKINDHFARVQKMAITLKYIDPTYMIRAVPGNASDNVYCSLLAQSAVHGAMAGYTGFTVGPVNGRHSYIPFERINERQNQVVITDRMWARLLSSTNQPSFISPRVVAESKKNQYKPPTQLLHGDRCENNH >Potri.005G228200.2.v4.1 pep chromosome:Pop_tri_v4:5:22828989:22832115:1 gene:Potri.005G228200.v4.1 transcript:Potri.005G228200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228200.v4.1 MNLKRLVNRQVVNNLSRFNGRVRTGRDFSTITASIHSSNSSCDGVVPYNCSNSTPMLSFNRYMHTIGETKLIGSSFNPKHNANDSEDEDETMNEFLSRFVWIMRGKLTEVYKDSDKETINGMLLVIVGEVVSEMEKGGLEQMLDVSVATLSQGFSRDLWKTVWEVSNAVLADMEKERKKEKMKGFLQCEEVQELCRFAAEIGIRGDMMRDFRFKWAREKMEESDFYEGLKRLREEVRAQEKAEEEGKNAVAMGEEAAIMDEEKPKVVTLPMRHGKINYKIYGLDLSNPKWAKVADRIHESEKLDWPEEPKPISGKCKIVTEKILELKEEDDPSPLLAQWAELQKPSRIDWFTLLDKLKEQNTRLYPKVEEVLLSEKSFQANIRDYSKIIDAHAKQNRIEDAERIVEKMNENGIQPDALTTNILVHMYSKAGNLDRAREALESLRRLGFRPDREIYNSMIMAYVNAGQPKLAELLMREMEARDIKPTMEIYMTLLRSFSQHGDVGGAGRIATTMQFAGFQPNLESCTLLVETFGQVGDPDQARNNFDYLMRLGHKPDDRCTAGMIAAYEKKNMLDKALKLLLELEQDGFEPGLATDTVLVDWLGKLQLVDEVEQLLGRIAEQGEAPPLKIQVSLCDMYARAGVERKALQALGVVEAKKEQLGLHDFERVVGGLIAGGFVQEAQRVHGLMEAQGFASEHLKVALTASQAFSRKRPSK >Potri.005G228200.3.v4.1 pep chromosome:Pop_tri_v4:5:22828989:22831939:1 gene:Potri.005G228200.v4.1 transcript:Potri.005G228200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228200.v4.1 MNLKRLVNRQVVNNLSRFNGRVRTGRDFSTITASIHSSNSSCDGVVPYNCSNSTPMLSFNRYMHTIGETKLIGSSFNPKHNANDSEDEDETMNEFLSRFVWIMRGKLTEVYKDSDKETINGMLLVIVGEVVSEMEKGGLEQMLDVSVATLSQGFSRDLWKTVWEVSNAVLADMEKERKKEKMKGFLQCEEVQELCRFAAEIGIRGDMMRDFRFKWAREKMEESDFYEGLKRLREEVRAQEKAEEEGKNAVAMGEEAAIMDEEKPKVVTLPMRHGKINYKIYGLDLSNPKWAKVADRIHESEKLDWPEEPKPISGKCKIVTEKILELKEEDDPSPLLAQWAELQKPSRIDWFTLLDKLKEQNTRLYPKVEEVLLSEKSFQANIRDYSKIIDAHAKQNRIEDAERIVEKMNENGIQPDALTTNILVHMYSKAGNLDRAREALESLRRLGFRPDREIYNSMIMAYVNAGQPKLAELLMREMEARDIKPTMEIYMTLLRSFSQHGDVGGAGRIATTMQFAGFQPNLESCTLLVETFGQVGDPDQARNNFDYLMRLGHKPDDRCTAGMIAAYEKKNMLDKALKLLLELEQDGFEPGLATDTVLVDWLGKLQLVDEVEQLLGRIAEQGEAPPLKIQVSLCDMYARAGVERKALQALGVVEAKKEQLGLHDFERVVGGLIAGGFVQEAQRVHGLMEAQGFASEHLKVALTASQAFSRKRPSK >Potri.005G228200.1.v4.1 pep chromosome:Pop_tri_v4:5:22828989:22832144:1 gene:Potri.005G228200.v4.1 transcript:Potri.005G228200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228200.v4.1 MNLKRLVNRQVVNNLSRFNGRVRTGRDFSTITASIHSSNSSCDGVVPYNCSNSTPMLSFNRYMHTIGETKLIGSSFNPKHNANDSEDEDETMNEFLSRFVWIMRGKLTEVYKDSDKETINGMLLVIVGEVVSEMEKGGLEQMLDVSVATLSQGFSRDLWKTVWEVSNAVLADMEKERKKEKMKGFLQCEEVQELCRFAAEIGIRGDMMRDFRFKWAREKMEESDFYEGLKRLREEVRAQEKAEEEGKNAVAMGEEAAIMDEEKPKVVTLPMRHGKINYKIYGLDLSNPKWAKVADRIHESEKLDWPEEPKPISGKCKIVTEKILELKEEDDPSPLLAQWAELQKPSRIDWFTLLDKLKEQNTRLYPKVEEVLLSEKSFQANIRDYSKIIDAHAKQNRIEDAERIVEKMNENGIQPDALTTNILVHMYSKAGNLDRAREALESLRRLGFRPDREIYNSMIMAYVNAGQPKLAELLMREMEARDIKPTMEIYMTLLRSFSQHGDVGGAGRIATTMQFAGFQPNLESCTLLVETFGQVGDPDQARNNFDYLMRLGHKPDDRCTAGMIAAYEKKNMLDKALKLLLELEQDGFEPGLATDTVLVDWLGKLQLVDEVEQLLGRIAEQGEAPPLKIQVSLCDMYARAGVERKALQALGVVEAKKEQLGLHDFERVVGGLIAGGFVQEAQRVHGLMEAQGFASEHLKVALTASQAFSRKRPSK >Potri.001G104500.1.v4.1 pep chromosome:Pop_tri_v4:1:8421743:8429077:1 gene:Potri.001G104500.v4.1 transcript:Potri.001G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G104500.v4.1 MTLVAQAGPSSSILKEISRCKAAQNVVIAMARSTDASKLKETPPRFSSLSCNSSKTPQILSSESLSVGMKRGHRVVVAASPPTEDAVIATEPLTKEDLIGYLASGCKPKENWRIGTEHEKFGFEIGTLRPMKYEQIAGLLHGIAERFDWDRIMEGDNIIGLQQGKQSISLEPGGQFELSGAPVETLHQTCAEVNSHLYQVKAVAEEMGIGFLGIGFQPKWGLKDIPVMPKGRYDIMRNYMPKVGSLGLDMMFRTCTVQVNLDFISEADMIRKFRAGLALQPIATALFANSPFTEGKPNGYLSLRSHIWTDTDNNRAGMLPFVFNDTFGFEQYVDYALDVPMYFVYRKKKYIDCTGMSFRDFLAGKLPCIPGELPTLNDWENHLTTIFPEVRLKRYLEMRGADGGPWRRLCALPAFWVGLLYDEDSLQSVLDMTADWTSEERQMLRNKVPKTGLKTPFRGGLLRHVAEEVLKLAKDGLERRGFKEVGFLNAVSDVVSTGVTPAEKLLELYHGKWGRSIDPVFEELLY >Potri.006G245900.2.v4.1 pep chromosome:Pop_tri_v4:6:24633858:24635116:-1 gene:Potri.006G245900.v4.1 transcript:Potri.006G245900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245900.v4.1 MAAGICTGGILLLLGVTGLMQLVYKLIPLPVVRGIQLSQGLSFAMTAVKYIRKVQDFSKSKSGGDRHWLGVDGLVLAIVCACFVIVVNGAGEEGNTERDGDDINLDGRDQRPKRRGPRQIVASLPSAFMVFLLGVILAFIRRPGVVRGFKFGPSSIEVVKISKHAWKQGFIKGTIPQLPLSVLNSVIAVCKLSSDLFPGKDFSASSVSVSVGLMNIVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGAAKMLLGLVLGSSLVMVLKQFPVGVLGVLLLFAGIELALASRDMNTKEESFVMLICAAVSLVGSSASLGFVCGTIVHVLLHLRNWRKEQPCPAV >Potri.006G245900.1.v4.1 pep chromosome:Pop_tri_v4:6:24633859:24635469:-1 gene:Potri.006G245900.v4.1 transcript:Potri.006G245900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245900.v4.1 MESSANQIPLQDIQAQAPRSRFLSNVVDKVRDNLVFRSKIWAELNGAMGDLGTYIPIVLALTLSVDLNLGTTLIFTGIYNILTGAIYGVPMPVQPMKSIAAVAISNSAEFGVPEIMAAGICTGGILLLLGVTGLMQLVYKLIPLPVVRGIQLSQGLSFAMTAVKYIRKVQDFSKSKSGGDRHWLGVDGLVLAIVCACFVIVVNGAGEEGNTERDGDDINLDGRDQRPKRRGPRQIVASLPSAFMVFLLGVILAFIRRPGVVRGFKFGPSSIEVVKISKHAWKQGFIKGTIPQLPLSVLNSVIAVCKLSSDLFPGKDFSASSVSVSVGLMNIVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGAAKMLLGLVLGSSLVMVLKQFPVGVLGVLLLFAGIELALASRDMNTKEESFVMLICAAVSLVGSSASLGFVCGTIVHVLLHLRNWRKEQPCPAV >Potri.009G089200.1.v4.1 pep chromosome:Pop_tri_v4:9:8240088:8244763:1 gene:Potri.009G089200.v4.1 transcript:Potri.009G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089200.v4.1 MTAVIPPQFSGRELSNPPTDGISNLRFSNHSDHLLVSSWDKTVRLYDAGTNVLRGEFMHGGAVLDCCFHDDSSGFSASADNTVRRLVFNYEKEDILGRHDAHVRCVEYSYAAGQVITGSWDKTLKCWDPRGASGQERTLIGTYLQPERVYSLSLVGNRLVVATAGRHVNVYDLRNMSQPEQRRESSLKYQTRCVRCYPNGTGYALSSVEGRVAMEFFDSSEASQAKKYAFKCHRKSEAGRDIVYPVNAIAFHPVYGTFATGGCDGFINIWDGNNKKRLYQYSKYPSSIAALSFSRDGSLLAVASSYTYEEGDKPHEPDAIFVRSVNEIEVKPKPKPYPNPPA >Potri.003G176500.4.v4.1 pep chromosome:Pop_tri_v4:3:18344795:18354182:-1 gene:Potri.003G176500.v4.1 transcript:Potri.003G176500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176500.v4.1 MEDMNSDQVFEIPDTPERAAARSINGAQFRKESISSVPGCLRKSGFVDEKSFNPPRTSRGRIFSENGLNRRLHLLPQKSPINVDEYDSPSNSALDSHPHQNAPLFRRPAIVNNSRPENRHSKGAQYMEKSKAGRATSSSKKPFCMEGDDLFDLTEMSEPDRLLDFVFPHSASKDLQAKETREGQLSSNGGSSVQLAPLPSRISGSTSKGKEKIDVNTCNGSGSASNNVKEIDHASGHQHKIEKQLPACHLSVTSPRVGGKKRLVRNGCISPHNIATRAQKLAESSQDGSPGDERNHARNKLSDGPPNIDLREIVAEDNDCYRAKGKKAIVHPSASKEHDANMTRSSATNNKASRESRDGCRDALFGGWRSTHKRSKTQDQPLSYMEQGILGRDDHARCSTNEHDDRLVERDSSSGGKLHHVGNLVATYGLTSRNQGECSTIVPDDTEVLFLGSSRESSSSRSSRVHNHQHDGNLEPIYEIDELLTEVRNNDPQLIGFRSNEDSDVTARQVEADEMLARELQERLYHEEPTFGGGEIDENIAWVLQQEEDALPATSGHNHPVPHLRNSLVAHSSRQRLPRSSHNPSNRRGNQVQVTTTRASGLRSRLSNRTPVRISRERNPFPTVFPGGLNFQFPSGMDLEMRLNILENLEASMTATRMLHVQRDFNENDYEMLLALDENNSQHGASANQINCLPESVVQTDNFGETCAVCLEAPTIGEKIRHLPCLHKFHKDCIDPWLSRKTSCPICKSSITS >Potri.003G176500.5.v4.1 pep chromosome:Pop_tri_v4:3:18345661:18353871:-1 gene:Potri.003G176500.v4.1 transcript:Potri.003G176500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176500.v4.1 MEDMNSDQVFEIPDTPERAAARSINGAQFRKESISSVPGCLRKSGFVDEKSFNPPRTSRGRIFSENGLNRRLHLLPQKSPINVDEYDSPSNSALDSHPHQNAPLFRRPAIVNNSRPENRHSKGAQYMEKSKAGRATSSSKKPFCMEGDDLFDLTEMSEPDRLLDFVFPHSASKDLQAKETREGQLSSNGGSSVQLAPLPSRISGSTSKGKEKIDVNTCNGSGSASNNVKEIDHASGHQHKIEKQLPACHLSVTSPRVGGKKRLVRNGCISPHNIATRAQKLAESSQDGSPGDERNHARNKLSDGPPNIDLREIVAEDNDCYRAKGKKAIVHPSASKEHDANMTRSSATNNKASRESRDGCRDALFGGWRSTHKRSKTQDQPLSYMEQGILGRDDHARCSTNEHDDRLVERDSSSGGKLHHVGNLVATYGLTSRNQGECSTIVPDDTEVLFLGSSRESSSSRSSRVHNHQHDGNLEPIYEIDELLTEVRNNDPQLIGFRSNEDSDVTARQVEADEMLARELQERLYHEEPTFGGGEIDENIAWVLQQEEDALPATSGHNHPVPHLRNSLVAHSSRQRLPRSSHNPSNRRGNQVQVTTTRASGLRSRLSNRTPVRISRERNPFPTVFPGGLNFQFPSGMDLEMRLNILENLEASMTATRMLHVQRDFNENDYEMLLALDENNSQHGASANQINCLPESVVQTDNFGETCAVCLEAPTIGEKIRHLPCLHKFHKDCIDPWLSRKTSCPICKSSITS >Potri.003G176500.7.v4.1 pep chromosome:Pop_tri_v4:3:18345642:18354094:-1 gene:Potri.003G176500.v4.1 transcript:Potri.003G176500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176500.v4.1 MEDMNSDQVFEIPDTPERAAARSINGAQFRKESISSVPGCLRKSGFVDEKSFNPPRTSRGRIFSENGLNRRLHLLPQKSPINVDEYDSPSNSALDSHPHQNAPLFRRPAIVNNSRPENRHSKGAQYMEKSKAGRATSSSKKPFCMEGDDLFDLTEMSEPDRLLDFVFPHSASKDLQAKETREGQLSSNGGSSVQLAPLPSRISGSTSKGKEKIDVNTCNGSGSASNNVKEIDHASGHQHKIEKQLPACHLSVTSPRVGGKKRLVRNGCISPHNIATRAQKLAESSQDGSPGDERNHARNKLSDGPPNIDLREIVAEDNDCYRAKGKKAIVHPSASKEHDANMTRSSATNNKASRESRDGCRDALFGGWRSTHKRSKTQDQPLSYMEQGILGRDDHARCSTNEHDDRLVERDSSSGGKLHHVGNLVATYGLTSRNQGECSTIVPDDTEVLFLGSSRESSSSRSSRVHNHQHDGNLEPIYEIDELLTEVRNNDPQLIGFRSNEDSDVTARQVEADEMLARELQERLYHEEPTFGGGEIDENIAWVLQQEEDALPATSGHNHPVPHLRNSLVAHSSRQRLPRSSHNPSNRRGNQVQVTTTRASGLRSRLSNRTPVRISRERNPFPTVFPGGLNFQFPSGMDLEMFFTLYATTGGASV >Potri.003G176500.6.v4.1 pep chromosome:Pop_tri_v4:3:18345678:18353986:-1 gene:Potri.003G176500.v4.1 transcript:Potri.003G176500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176500.v4.1 MEDMNSDQVFEIPDTPERAAARSINGAQFRKESISSVPGCLRKSGFVDEKSFNPPRTSRGRIFSENGLNRRLHLLPQKSPINVDEYDSPSNSALDSHPHQNAPLFRRPAIVNNSRPENRHSKGAQYMEKSKAGRATSSSKKPFCMEGDDLFDLTEMSEPDRLLDFVFPHSASKDLQAKETREGQLSSNGGSSVQLAPLPSRISGSTSKGKEKIDVNTCNGSGSASNNVKEIDHASGHQHKIEKQLPACHLSVTSPRVGGKKRLVRNGCISPHNIATRAQKLAESSQDGSPGDERNHARNKLSDGPPNIDLREIVAEDNDCYRAKGKKAIVHPSASKEHDANMTSSATNNKASRESRDGCRDALFGGWRSTHKRSKTQDQPLSYMEQGILGRDDHARCSTNEHDDRLVERDSSSGGKLHHVGNLVATYGLTSRNQGECSTIVPDDTEVLFLGSSRESSSSRSSRVHNHQHDGNLEPIYEIDELLTEVRNNDPQLIGFRSNEDSDVTARQVEADEMLARELQERLYHEEPTFGGGEIDENIAWVLQQEEDALPATSGHNHPVPHLRNSLVAHSSRQRLPRSSHNPSNRRGNQVQVTTTRASGLRSRLSNRTPVRISRERNPFPTVFPGGLNFQFPSGMDLEMRLNILENLEASMTATRMLHVQRDFNENDYEMLLALDENNSQHGASANQINCLPESVVQTDNFGETCAVCLEAPTIGEKIRHLPCLHKFHKDCIDPWLSRKTSCPICKSSITS >Potri.003G176500.3.v4.1 pep chromosome:Pop_tri_v4:3:18344638:18353986:-1 gene:Potri.003G176500.v4.1 transcript:Potri.003G176500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G176500.v4.1 MEDMNSDQVFEIPDTPERAAARSINGAQFRKESISSVPGCLRKSGFVDEKSFNPPRTSRGRIFSENGLNRRLHLLPQKSPINVDEYDSPSNSALDSHPHQNAPLFRRPAIVNNSRPENRHSKGAQYMEKSKAGRATSSSKKPFCMEGDDLFDLTEMSEPDRLLDFVFPHSASKDLQAKETREGQLSSNGGSSVQLAPLPSRISGSTSKGKEKIDVNTCNGSGSASNNVKEIDHASGHQHKIEKQLPACHLSVTSPRVGGKKRLVRNGCISPHNIATRAQKLAESSQDGSPGDERNHARNKLSDGPPNIDLREIVAEDNDCYRAKGKKAIVHPSASKEHDANMTRDGCRDALFGGWRSTHKRSKTQDQPLSYMEQGILGRDDHARCSTNEHDDRLVERDSSSGGKLHHVGNLVATYGLTSRNQGECSTIVPDDTEVLFLGSSRESSSSRSSRVHNHQHDGNLEPIYEIDELLTEVRNNDPQLIGFRSNEDSDVTARQVEADEMLARELQERLYHEEPTFGGGEIDENIAWVLQQEEDALPATSGHNHPVPHLRNSLVAHSSRQRLPRSSHNPSNRRGNQVQVTTTRASGLRSRLSNRTPVRISRERNPFPTVFPGGLNFQFPSGMDLEMRLNILENLEASMTATRMLHVQRDFNENDYEMLLALDENNSQHGASANQINCLPESVVQTDNFGETCAVCLEAPTIGEKIRHLPCLHKFHKDCIDPWLSRKTSCPICKSSITS >Potri.001G008400.2.v4.1 pep chromosome:Pop_tri_v4:1:572512:573977:-1 gene:Potri.001G008400.v4.1 transcript:Potri.001G008400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008400.v4.1 MANSHLGITLLSLILFFSLSKTTLSQDPPRSVFEILPKFGLPSGLLPNTVKSYSLSDDDLKGIQVQKFFLWLDVDEIKVDLPPSDSIYFQVGWINKKLDADQFKTVHSCRAGVSSGSCRGLWKQFLELPAPDDDVQMLLTE >Potri.001G008400.1.v4.1 pep chromosome:Pop_tri_v4:1:571782:573981:-1 gene:Potri.001G008400.v4.1 transcript:Potri.001G008400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008400.v4.1 MANSHLGITLLSLILFFSLSKTTLSQDPPRSVFEILPKFGLPSGLLPNTVKSYSLSDDGNFTVYLEKECYVEFDYLVYYEKKITGKLGYGSITDLKGIQVQKFFLWLDVDEIKVDLPPSDSIYFQVGWINKKLDADQFKTVHSCRAGVSSGSCRGLWKQFLELPAPDDDVQMLLTE >Potri.013G024750.1.v4.1 pep chromosome:Pop_tri_v4:13:1577796:1579096:1 gene:Potri.013G024750.v4.1 transcript:Potri.013G024750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024750.v4.1 MEITPSQAANRSLSAERTESFLDSNVIANNDRLFLLYFIIGNYFGPDLKGEGPQKSLFRRAAEGLPMYTFDQLTGSCMGTVEMERIYYHVLRKAEKHLAVKLLPLQQFFLGGLLTPGTNRYPQFTDMFPAHLHPHSVMENGDKFVSSIIFINNPDTFHIDLKDIERLKRLTGLENLFLDRDAATSIRSYADGTLYDVIVHEAGHGIELPPTSTRFSRKRAKPADRIPRSRHHHVQGSGSSTSRQATNRRLAEDGPALFFLPSSADKEQLSDLIAATKNGVALTGTAAMGQVGQVVGLVDIGECDDAYYFRVSLPGVRKDPNEFSYKIEADGKVLIKGVTITGERTVYKFSQKFEMLSRNLCPPGQFSISFQLPGPVDPRQLTSNFGDDGILDALIMKSRETTQS >Potri.007G068900.2.v4.1 pep chromosome:Pop_tri_v4:7:8934484:8938794:1 gene:Potri.007G068900.v4.1 transcript:Potri.007G068900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068900.v4.1 MRSPNTQTVAYLSKAHPKFNASNPSQLDYSSYMKSLQLCIETKAKKPGHLIHNQILTNGFASNIHLSTKLIIFYSKLGDTVSARKVFDRMPERTVVSWSAQISGYSQNGCYQDALLVFLDMLRAGFKANQFTYGSVLRACTGLRCLQRGMQIQGCLEKSRFASNLIVQSALLDLHSKCGKMEDACYLFGMMEERDVVSWNAIIGAYAVQGFSGDSFRMFRSMMQEGMSPDLFTFGSVLKASGMASDVIRVCQIHQLIIQLGYGSHISLSGSLIDAYAKCESLASAHCLYKSMPMKDMISCTALMTGYARDSNYSSKALDLFKEIQQMHMKIDDVILCSMFNICANISSLSVGRQIHALALKCKPTYDVAMGNALIDMYAKSGEIKDANRAFNEMEEKNVISWTSLITGYGNHGHGHEAIALFKKMEYEGLKPNDITFLSLLFACNHCGLTGEGWECFNNMISKYNILPRAEHFSCMVDLFARGGLLEEAYNLINKMNIKPNASLWGAILGACYIYGNMPLAEEAAIHLFKMDPENSVNYVVLADIYAAAGSWDNAWKMRKLMEERNLKKAPGYSFIPSIPQSS >Potri.007G068900.3.v4.1 pep chromosome:Pop_tri_v4:7:8934596:8938794:1 gene:Potri.007G068900.v4.1 transcript:Potri.007G068900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068900.v4.1 MRSPNTQTVAYLSKAHPKFNASNPSQLDYSSYMKSLQLCIETKAKKPGHLIHNQILTNGFASNIHLSTKLIIFYSKLGDTVSARKVFDRMPERTVVSWSAQISGYSQNGCYQDALLVFLDMLRAGFKANQFTYGSVLRACTGLRCLQRGMQIQGCLEKSRFASNLIVQSALLDLHSKCGKMEDACYLFGMMEERDVVSWNAIIGAYAVQGFSGDSFRMFRSMMQEGMSPDLFTFGSVLKASGMASDVIRVCQIHQLIIQLGYGSHISLSGSLIDAYAKCESLASAHCLYKSMPMKDMISCTALMTGYARDSNYSSKALDLFKEIQQMHMKIDDVILCSMFNICANISSLSVGRQIHALALKCKPTYDVAMGNALIDMYAKSGEIKDANRAFNEMEEKNVISWTSLITGYGNHGHGHEAIALFKKMEYEGLKPNDITFLSLLFACNHCGLTGEGWECFNNMISKYNILPRAEHFSCMVDLFARGGLLEEAYNLINKMNIKPNASLWGAILGACYIYGNMPLAEEAAIHLFKMDPENSVNYVVLADIYAAAGSWDNAWKMRKLMEERNLKKAPGYSFIPSIPQSS >Potri.007G068900.6.v4.1 pep chromosome:Pop_tri_v4:7:8934657:8938794:1 gene:Potri.007G068900.v4.1 transcript:Potri.007G068900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068900.v4.1 MRSPNTQTVAYLSKAHPKFNASNPSQLDYSSYMKSLQLCIETKAKKPGHLIHNQILTNGFASNIHLSTKLIIFYSKLGDTVSARKVFDRMPERTVVSWSAQISGYSQNGCYQDALLVFLDMLRAGFKANQFTYGSVLRACTGLRCLQRGMQIQGCLEKSRFASNLIVQSALLDLHSKCGKMEDACYLFGMMEERDVVSWNAIIGAYAVQGFSGDSFRMFRSMMQEGMSPDLFTFGSVLKASGMASDVIRVCQIHQLIIQLGYGSHISLSGSLIDAYAKCESLASAHCLYKSMPMKDMISCTALMTGYARDSNYSSKALDLFKEIQQMHMKIDDVILCSMFNICANISSLSVGRQIHALALKCKPTYDVAMGNALIDMYAKSGEIKDANRAFNEMEEKNVISWTSLITGYGNHGHGHEAIALFKKMEYEGLKPNDITFLSLLFACNHCGLTGEGWECFNNMISKYNILPRAEHFSCMVDLFARGGLLEEAYNLINKMNIKPNASLWGAILGACYIYGNMPLAEEAAIHLFKMDPENSVNYVVLADIYAAAGSWDNAWKMRKLMEERNLKKAPGYSFIPSIPQSS >Potri.007G068900.5.v4.1 pep chromosome:Pop_tri_v4:7:8934657:8938794:1 gene:Potri.007G068900.v4.1 transcript:Potri.007G068900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068900.v4.1 MRSPNTQTVAYLSKAHPKFNASNPSQLDYSSYMKSLQLCIETKAKKPGHLIHNQILTNGFASNIHLSTKLIIFYSKLGDTVSARKVFDRMPERTVVSWSAQISGYSQNGCYQDALLVFLDMLRAGFKANQFTYGSVLRACTGLRCLQRGMQIQGCLEKSRFASNLIVQSALLDLHSKCGKMEDACYLFGMMEERDVVSWNAIIGAYAVQGFSGDSFRMFRSMMQEGMSPDLFTFGSVLKASGMASDVIRVCQIHQLIIQLGYGSHISLSGSLIDAYAKCESLASAHCLYKSMPMKDMISCTALMTGYARDSNYSSKALDLFKEIQQMHMKIDDVILCSMFNICANISSLSVGRQIHALALKCKPTYDVAMGNALIDMYAKSGEIKDANRAFNEMEEKNVISWTSLITGYGNHGHGHEAIALFKKMEYEGLKPNDITFLSLLFACNHCGLTGEGWECFNNMISKYNILPRAEHFSCMVDLFARGGLLEEAYNLINKMNIKPNASLWGAILGACYIYGNMPLAEEAAIHLFKMDPENSVNYVVLADIYAAAGSWDNAWKMRKLMEERNLKKAPGYSFIPSIPQSS >Potri.007G068900.4.v4.1 pep chromosome:Pop_tri_v4:7:8934657:8938794:1 gene:Potri.007G068900.v4.1 transcript:Potri.007G068900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068900.v4.1 MRSPNTQTVAYLSKAHPKFNASNPSQLDYSSYMKSLQLCIETKAKKPGHLIHNQILTNGFASNIHLSTKLIIFYSKLGDTVSARKVFDRMPERTVVSWSAQISGYSQNGCYQDALLVFLDMLRAGFKANQFTYGSVLRACTGLRCLQRGMQIQGCLEKSRFASNLIVQSALLDLHSKCGKMEDACYLFGMMEERDVVSWNAIIGAYAVQGFSGDSFRMFRSMMQEGMSPDLFTFGSVLKASGMASDVIRVCQIHQLIIQLGYGSHISLSGSLIDAYAKCESLASAHCLYKSMPMKDMISCTALMTGYARDSNYSSKALDLFKEIQQMHMKIDDVILCSMFNICANISSLSVGRQIHALALKCKPTYDVAMGNALIDMYAKSGEIKDANRAFNEMEEKNVISWTSLITGYGNHGHGHEAIALFKKMEYEGLKPNDITFLSLLFACNHCGLTGEGWECFNNMISKYNILPRAEHFSCMVDLFARGGLLEEAYNLINKMNIKPNASLWGAILGACYIYGNMPLAEEAAIHLFKMDPENSVNYVVLADIYAAAGSWDNAWKMRKLMEERNLKKAPGYSFIPSIPQSS >Potri.001G138200.1.v4.1 pep chromosome:Pop_tri_v4:1:11289525:11291835:-1 gene:Potri.001G138200.v4.1 transcript:Potri.001G138200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138200.v4.1 MVLWEITLGTAYFLGLKRAYKLASRIQLRVISPKYPRIRQFVQRRTRAIFDVALKVHLNVQQRDIEVGRNLGNRILRWLHQMKPSAQIHGPSPEKPTNGASSNMSVTNQVTNTSHLKASGIGQTSRCQESYRHLFTSARSTWSKPFPSIAMMMRPSRPAGIFTQYRHLSIQGPEMSRPNSIGGGCGFQGVFRKDMMQYILQN >Potri.017G059701.1.v4.1 pep chromosome:Pop_tri_v4:17:4861594:4862330:1 gene:Potri.017G059701.v4.1 transcript:Potri.017G059701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059701.v4.1 MASSNALLVLGVFMLLMTIFVAGDVADTIRHKPPYLSYKGLGCDPKKNPWCLNAPLSRRGCKAEHRCREG >Potri.001G290200.1.v4.1 pep chromosome:Pop_tri_v4:1:30256026:30256220:1 gene:Potri.001G290200.v4.1 transcript:Potri.001G290200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290200.v4.1 MAIQLENLVQSIKSKVRALKKSKKPYIKMDKSASVRVEIRSRKARKLIDKTLQVADRPGKRVIS >Potri.010G206900.2.v4.1 pep chromosome:Pop_tri_v4:10:19724510:19728197:1 gene:Potri.010G206900.v4.1 transcript:Potri.010G206900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206900.v4.1 MRIVGLTGGISSGKSTVSNLFKSHDIPVVDADIVARDVLKKGAGGYKRVVAAFGEDILQANGEVDRPKLGQIVFSDPGKRQLLNRLLAPFISSGIFWEILKLWLKGCKVIVLDIPLLFEAKMDKWTKPIIVVWVDTETQLQRLMARDRINEEDARNRTNAQMALDLKRSKADIVIDNSGTIEDLEEQFQKVLVQVTEPLTWTEFWLSRQGAFSALASIIIGVVVGKKCS >Potri.004G179877.1.v4.1 pep chromosome:Pop_tri_v4:4:19466171:19467081:1 gene:Potri.004G179877.v4.1 transcript:Potri.004G179877.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179877.v4.1 MRGGGLLFWSRGRCARKKNPSGQWLRVLRSAAPSRRQSKWRGWFGSFLWPGEGAALVWFCKRRAAACARCCWLREKRKKVLWRGRLGKKPEENQLPFFPPCPIRQVCLYEGKGSSGEGRGTGDHQSSCQLLWGSCLGSSPDFQPKKRDQKMVLDPGSGSSALWAQGSRKDIKSPPSLIVKLPPLGNSV >Potri.019G124400.3.v4.1 pep chromosome:Pop_tri_v4:19:14870949:14883916:1 gene:Potri.019G124400.v4.1 transcript:Potri.019G124400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124400.v4.1 MKMTNFLVHSFLLFAFTATSIFPRAVHAEAVIDVFGDEVRTGDRYIIGAASNDFAVTSSRIICNSDVLFSPMSDGLPVIFSPVVESNDSVIHEDSNLNVDFDAATCRMAGVSTMWKIELRPTARGFVVTTGGVAGLNRFKITKYEGGNNLYQLSYCPISEPICECSCVPLGKVVNRLAPSTVPFPVVFVPSDRASKIEYKMM >Potri.019G124400.2.v4.1 pep chromosome:Pop_tri_v4:19:14870949:14873313:1 gene:Potri.019G124400.v4.1 transcript:Potri.019G124400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G124400.v4.1 MKMTNFLVHSFLLFAFTATSIFPRAVHAEAVIDVFGDEVRTGDRYIIGAASNDFAVTSSRIICNSDVLFSPMSDGLPVIFSPVVESNDSVIHEDSNLNVDFDAATCRMAGVSTMWKIELRPTARGFVVTTGGVAGLNRFKITKYEGGNNLYQLSYCPISEPICECSCVPLGKVVNRLAPSTVPFPVVFVPSDRASKIEYKMM >Potri.006G209700.1.v4.1 pep chromosome:Pop_tri_v4:6:21737789:21739170:-1 gene:Potri.006G209700.v4.1 transcript:Potri.006G209700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G209700.v4.1 MVHVYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRAKRELWRVQYALSRIRNAARMLLTLDEKNQRRIFEGEALLRRMNRYGLLEESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAASKKAAGGDGDEEDEE >Potri.019G028500.1.v4.1 pep chromosome:Pop_tri_v4:19:4057515:4057760:-1 gene:Potri.019G028500.v4.1 transcript:Potri.019G028500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G028500.v4.1 MNPLISAASVIAAGLAVGLASIGPRVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALTLLFANPFV >Potri.019G030900.1.v4.1 pep chromosome:Pop_tri_v4:19:4308121:4312974:1 gene:Potri.019G030900.v4.1 transcript:Potri.019G030900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030900.v4.1 MGAIKAASGDAVLTFMWVFVSSMFGLFTNLIVTALGLQTLVWAPLVITTFIVFTFVFLFNLIGEALGGASFNPTGTASFYAAGVGGDTLFSMALRFPAQAAGAVGGALAIMEVMPVQYKHMLGGPTLQVDLHTGGLAEGVLTFLMSFAVLVIILKGPRNPLVQTLFLAIATITLVVAGSTYTGPSMNPANAFGWAYVRKWHNTWEQLYVYWICPFIGAILASWVFRAVFPPPAPKQKKA >Potri.009G146100.1.v4.1 pep chromosome:Pop_tri_v4:9:11586778:11590173:1 gene:Potri.009G146100.v4.1 transcript:Potri.009G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146100.v4.1 MDVDAQPTMDETILVGDDLMMGPPSPIIPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELALYRHCAERRDKELRQRLQDSECKLGSSMPLDEAKERAAQLESEVTSLERRLILASGIEGIEGFRQRWSLHGRLTDTKKRLESLTQGIENRKNEVKNDERAPSTPTRRWFFW >Potri.009G146100.3.v4.1 pep chromosome:Pop_tri_v4:9:11586723:11590227:1 gene:Potri.009G146100.v4.1 transcript:Potri.009G146100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G146100.v4.1 MDVDAQPTMDETILVGDDLMMGPPSPIIPPEIASHVLEGVDLCDGILRNLFLCLQINDIEPFCQDELALYRHCAERRDKELRQRLQDSECKLGSSMPLDEAKERAAQLESEVTSLERRLILASGIEGIEGFRQRWSLHGRLTDTKKRLESLTQGIENRKNEVKNDERAPSTPTRRWFFW >Potri.017G075700.1.v4.1 pep chromosome:Pop_tri_v4:17:8390726:8393094:-1 gene:Potri.017G075700.v4.1 transcript:Potri.017G075700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075700.v4.1 MSPLALPATSMQVLDPLPSSNIFLPLLPLIKIHIYTTISRKPRRRARSLLLYAARKDIWTRNTTTVPYRRKQRQEPVYLDHSVDMTELLLSISQTQNELQLYSLLSPYKDRQLSIRFMVSVISRESDWQRSLALLDWINDIARYSPSVFAYNVVLRNVLRAKQWDHAHGLFDEMRNRALAPDRYTYSTLITHFGKAGMFDASLFWLQQMEQDRVSGDLVLYSNLIELSRKLCDYSKAISIFMRLKRSGIMPDLVAYNSMINVFGKAKLFREAKLLMKEMREVGVMPDTVSYSTLLSVYVENEKFVEALSVFAEMNEAKCPLDLTTCNVMIDVYGQLDMAKEADRLFWSMRKMGIEPNVVSYNTLLRVYGETELFGEAIHLFRLMQKKDIEQNVVTYNTMIKVYGKSLEHEKATNLMQEMQNRGIEPNAITYSTIISIWGKAGKLDRAAMLFQKLRSSGVEIDQVLYQTMIVAYERSGLVAHAKRLLHELKHPDSIPRETAIKILARAGRIEEATWVFRQAFDAGEVKDISVFGCMVDLFSRNRKPANVIEVFEKMRGAGYFPDSNVIALVLNAYGKLHEFEKADALYKEMQEEECVFPDEVHFQMLSLYGARKDFMMIEALFERLDSDPNINKKELHLVVASIYERKNRLNDASRIMNRMSKGGVLRSPA >Potri.008G134300.1.v4.1 pep chromosome:Pop_tri_v4:8:8926408:8927417:-1 gene:Potri.008G134300.v4.1 transcript:Potri.008G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134300.v4.1 MGFKCLFNRKSKKSDSSIDSPSGMAASINGSKSPSVRLRLQVEELEQVFKKFDVNGDGKISSAELDSFWKKLGHEASEEELQRMITEFDADGDGFIDLQEFVALNTQGVDTNEVMENLKDAFSVYDIDGNGSISAEELHKVMASLGEPCSMAECRKMISGVDRDGDGMIDFEEFKVMMMMGARWDSMDTLRGTRG >Potri.018G016800.1.v4.1 pep chromosome:Pop_tri_v4:18:1151687:1155767:1 gene:Potri.018G016800.v4.1 transcript:Potri.018G016800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G016800.v4.1 MDKGKAVMGSGRRWAVDFTDNSTTPSSRDIPDPPGFYRTSQEQDDSAVTKQKKDAEANWKSQKAWEVAQAPFKNLLMMGFMMWMAGNTVHLFSIGITFSALWQPIGALQGVGKVFEPYKDSKVDLLAPKLIFIALNLGGLALGIWKLNTLGLLPTHVSDWVSSLPPAKEVEYSGGGFPLR >Potri.012G110500.1.v4.1 pep chromosome:Pop_tri_v4:12:13070697:13071746:1 gene:Potri.012G110500.v4.1 transcript:Potri.012G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G110500.v4.1 MACMPLVSGFMPKSTMVLRMASTRLCLQRKTLIMVVNLPIARRGAEVSHGGGGKINQTRKSMDAVRMAEEKLSLGGFNSVKENKKQSKEKVDDGITVNTETSG >Potri.016G090500.2.v4.1 pep chromosome:Pop_tri_v4:16:7391823:7396063:-1 gene:Potri.016G090500.v4.1 transcript:Potri.016G090500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090500.v4.1 MEIIETTTLQQQSMSSKFKRICVFCGSSQGKKTSYQVAAIDLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVISMFTILIPCYALLLLDCLMLMDTTIPYSRLLTKLWKRDLLIPVHATLSFLHQQQKSW >Potri.016G090500.1.v4.1 pep chromosome:Pop_tri_v4:16:7391823:7396063:-1 gene:Potri.016G090500.v4.1 transcript:Potri.016G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G090500.v4.1 MEIIETTTLQQQSMSSKFKRICVFCGSSQGKKTSYQVAAIDLGNELVSRNIDLVYGGGSIGLMGLVSQAVHDGGRHVIGVIPKTLMPRELTGETVGEVKAVADMHQRKAEMAKHSDAFIALPGGYGTLEELLEVITWAQLGIHDKPVGLLNVDGYYNSLLSFIDKAVEEGFINPSARNIIVSAPTAKELVKKLEEYVPCHERVASKLSWEIEQLGCSQNHDISR >Potri.002G056700.3.v4.1 pep chromosome:Pop_tri_v4:2:3860495:3864827:-1 gene:Potri.002G056700.v4.1 transcript:Potri.002G056700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056700.v4.1 MKTSEANGARQYNKSEHPRLRWTPVLHEHFVEAVESLGGKYKATPKRILQMMSVKELRISHIKSHLQMYRSMKGPRNFNVIIPMRKHLQAERKLLDGKGISSSFSSQRVLQGERSDSKCDMFSDIESNGILQAQEEGGDPEQQDSGTSFVGSQSREENIDRLPAETCELSLSSFIPSMASCTTEERELWPLINDQHHREYTSTNKCINIQDLQFESNHINLDLTI >Potri.002G056700.4.v4.1 pep chromosome:Pop_tri_v4:2:3860455:3864682:-1 gene:Potri.002G056700.v4.1 transcript:Potri.002G056700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056700.v4.1 MKTSEANGARQYNKSEHPRLRWTPVLHEHFVEAVESLGGKYKATPKRILQMMSVKELRISHIKSHLQMYRSMKGPRNFNVIIPMRKHLQAERKLLDGKGISSSFSSQRVLQGERSDSKCDMFSDIESNGILQAQEEGGDPEQQDSGTSFVGSQSREENIDRLPAETCELSLSSFIPSMASCTTEERELWPLINDQHHREYTSTNKCINIQDLQFESNHINLDLTI >Potri.002G056700.5.v4.1 pep chromosome:Pop_tri_v4:2:3860495:3864827:-1 gene:Potri.002G056700.v4.1 transcript:Potri.002G056700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056700.v4.1 MKTSEANGARQYNKSEHPRLRWTPVLHEHFVEAVESLGGKYKATPKRILQMMSVKELRISHIKSHLQMYRSMKGPRNFNVIIPMRKHLQAERKLLDGKGISSSFSSQSNGILQAQEEGGDPEQQDSGTSFVGSQSREENIDRLPAETCELSLSSFIPSMASCTTEERELWPLINDQHHREYTSTNKCINIQDLQFESNHINLDLTI >Potri.002G056700.6.v4.1 pep chromosome:Pop_tri_v4:2:3860495:3864647:-1 gene:Potri.002G056700.v4.1 transcript:Potri.002G056700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056700.v4.1 MKTSEANGARQYNKSEHPRLRWTPVLHEHFVEAVESLGGKYKATPKRILQMMSVKELRISHIKSHLQMYRSMKGPRNFNVIIPMRKHLQAERKLLDGKGISSSFSSQSNGILQAQEEGGDPEQQDSGTSFVGSQSREENIDRLPAETCELSLSSFIPSMASCTTEERELWPLINDQHHREYTSTNKCINIQDLQFESNHINLDLTI >Potri.002G056700.2.v4.1 pep chromosome:Pop_tri_v4:2:3860164:3864486:-1 gene:Potri.002G056700.v4.1 transcript:Potri.002G056700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056700.v4.1 MKTSEANGARQYNKSEHPRLRWTPVLHEHFVEAVESLGGKYKATPKRILQMMSVKELRISHIKSHLQMYRSMKGPRNFNVIIPMRKHLQAERKLLDGKGISSSFSSQRVLQGERSDSKCDMFSDIESNGILQAQEEGGDPEQQDSGTSFVGSQSREENIDRLPAETCELSLSSFIPSMASCTTEERELWPLINDQHHREYTSTNKCINIQDLQFESNHINLDLTI >Potri.002G056700.8.v4.1 pep chromosome:Pop_tri_v4:2:3860495:3864649:-1 gene:Potri.002G056700.v4.1 transcript:Potri.002G056700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056700.v4.1 MKTSEANGARQYNKSEHPRLRWTPVLHEHFVEAVESLGGKYKATPKRILQMMSVKELRISHIKSHLQMYRSMKGPRNFNVIIPMRKHLQAERKLLDGKGISSSFSSQRIQAQALLAVSPGKKILTGYQLKLVSSHCRLSSHQWRAVPPKKESYGH >Potri.002G056700.7.v4.1 pep chromosome:Pop_tri_v4:2:3860495:3863150:-1 gene:Potri.002G056700.v4.1 transcript:Potri.002G056700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056700.v4.1 MYRSMKGPRNFNVIIPMRKHLQAERKLLDGKGISSSFSSQRVLQGERSDSKCDMFSDIESNGILQAQEEGGDPEQQDSGTSFVGSQSREENIDRLPAETCELSLSSFIPSMASCTTEERELWPLINDQHHREYTSTNKCINIQDLQFESNHINLDLTI >Potri.003G143100.1.v4.1 pep chromosome:Pop_tri_v4:3:15850983:15853519:-1 gene:Potri.003G143100.v4.1 transcript:Potri.003G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143100.v4.1 MVSADLVRTVVGIIGNVISLLLFFSPVPTFVQIWRKKSVEQFSPAPYLATMINCMVWVLYGLPIVHPNSTLVWTINGTGVAIEMVYLLLFLIYSDKKGRFKVLQILLVEVVSIALLATLVLTLVHTTKKRTAIVGIVAIVFNTMMYASPLSVMKIVITTKSVEYMPFYVSLASFANSVAWSAYAFIKFDPFILAPNGTGALFAVAQLILYAVYYRSTQRQIAARQAKGDVGLSELVVNGSSRKTNSSRNGHGSEG >Potri.003G143100.2.v4.1 pep chromosome:Pop_tri_v4:3:15850983:15854193:-1 gene:Potri.003G143100.v4.1 transcript:Potri.003G143100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143100.v4.1 MINCMVWVLYGLPIVHPNSTLVWTINGTGVAIEMVYLLLFLIYSDKKGRFKVLQILLVEVVSIALLATLVLTLVHTTKKRTAIVGIVAIVFNTMMYASPLSVMKIVITTKSVEYMPFYVSLASFANSVAWSAYAFIKFDPFILAPNGTGALFAVAQLILYAVYYRSTQRQIAARQAKGDVGLSELVVNGSSRKTNSSRNGHGSEG >Potri.014G014300.3.v4.1 pep chromosome:Pop_tri_v4:14:824677:826119:-1 gene:Potri.014G014300.v4.1 transcript:Potri.014G014300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014300.v4.1 MEDPVTLCTGQTYERSNILKWFSLGHCTCPTTMQELWDDTVTPNRTMQHLIYSWFSQKFLVMKKRSEDVQGRAIELLENLKKVKGQARVQTLKELRQVVAAHSTARKAVLDNGGTALVSSLLGPFTTHAVGSEAVGILVNLDIDFASKSNLRQPAKISLMVDMLNEGSIETKINVTRLIEMLMEGSDFENENLSSLSLLVGLLRLVKDKRHPNGLLAGLGLLKSICSHESVRGSVVSIGTVPPLVELLPSLNSECLELALYILEVLSSIPEGRLALKGCASTIPNVVKLLMRVSEACMQLALSILWAVCMLAPEECTALAVEAGLAAKLLLVIQSGCNPELKQQSVELLKLCSLNYTSTIVISECKLTRTIQ >Potri.014G014300.2.v4.1 pep chromosome:Pop_tri_v4:14:824678:826226:-1 gene:Potri.014G014300.v4.1 transcript:Potri.014G014300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014300.v4.1 MIEELESIEVPSVFICPISLDPMEDPVTLCTGQTYERSNILKWFSLGHCTCPTTMQELWDDTVTPNRTMQHLIYSWFSQKFLVMKKRSEDVQGRAIELLENLKKVKGQARVQTLKELRQVVAAHSTARKAVLDNGGTALVSSLLGPFTTHAVGSEAVGILVNLDIDFASKSNLRQPAKISLMVDMLNEGSIETKINVTRLIEMLMEGSDFENENLSSLSLLVGLLRLVKDKRHPNGLLAGLGLLKSICSHESVRGSVVSIGTVPPLVELLPSLNSECLELALYILEVLSSIPEGRLALKGCASTIPNVVKLLMRVSEACMQLALSILWAVCMLAPEECTALAVEAGLAAKLLLVIQSGCNPELKQQSVELLKLCSLNYTSTIVISECKLTRTIQ >Potri.014G014300.1.v4.1 pep chromosome:Pop_tri_v4:14:824769:826929:-1 gene:Potri.014G014300.v4.1 transcript:Potri.014G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014300.v4.1 MPMYKPNSYRNVKLDVGVGGQVLDLETAVKDGVLDGVGGGVLVSAGVAEKLDLKKMIEELESIEVPSVFICPISLDPMEDPVTLCTGQTYERSNILKWFSLGHCTCPTTMQELWDDTVTPNRTMQHLIYSWFSQKFLVMKKRSEDVQGRAIELLENLKKVKGQARVQTLKELRQVVAAHSTARKAVLDNGGTALVSSLLGPFTTHAVGSEAVGILVNLDIDFASKSNLRQPAKISLMVDMLNEGSIETKINVTRLIEMLMEGSDFENENLSSLSLLVGLLRLVKDKRHPNGLLAGLGLLKSICSHESVRGSVVSIGTVPPLVELLPSLNSECLELALYILEVLSSIPEGRLALKGCASTIPNVVKLLMRVSEACMQLALSILWAVCMLAPEECTALAVEAGLAAKLLLVIQSGCNPELKQQSVELLKLCSLNYTSTIVISECKLTRTIQ >Potri.015G014800.3.v4.1 pep chromosome:Pop_tri_v4:15:984399:987369:-1 gene:Potri.015G014800.v4.1 transcript:Potri.015G014800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014800.v4.1 MDDGHPGELLIERSSSSRASPRGLVDRSPSSSHERRYANRTGVRHSVDIEESARRRSGSISARDLPSADDRLGRDLPLEKPLSDESTPADSSFYNRTNQNNSALIPPHAFRGGGGSPSFMGSLEEDSRVNTRYKRGGGDPNLGRGQGNAWRGTPNWSSPMPNGYMPFQHGPHGGFQAMMPHFASPPLFSARPSMEINHSGIPYHIPDADRFSGHLRPLGWHNMMDGSGPSQMHGWDGNNGVFRDEPHAYGQEWDQNRHQLNGRGWETGTDIWKTQNGDVNMDSPAASVKEDFPVQAPMENVLAGQVGHQSQNENTHQKVQAEIVETKSAVASAKESLRSMPKTTHEKMPDPPKLQSNDRSHFARAYLSKLDISTELASPELYSQCMSLLSMEQGANADEDIVMLVNLKDGARAVPKSFDSIYSLSLLPATKDSVFQRAMDYYKKERVGLRGLPIVNGGTINAISTTKVKDEPIDDGQKAEEPVLNQDEEMHDVPKLNLDQKKAEDVPLADTHEESVELVSKDYAQARTPSQDFPDQALSQDNLEKPVEIPSGNKIDGVPSEPGNSEGVEGSIPSPDNASQASSISPAEGVEDNALQCAEEGRGSGDAICGPLFFSDDSLKASGALMPGSNESESVILSRIHHSPESTH >Potri.015G014800.1.v4.1 pep chromosome:Pop_tri_v4:15:984409:992132:-1 gene:Potri.015G014800.v4.1 transcript:Potri.015G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014800.v4.1 MPRSSRHKSSKHSSREYSDSEKNSSLKEKKAAAAVKEENISNSSSVRVSSEKRKLDLKENKENKESLNGEYVEEYSSSSSKRRKDRAEENNDRWNGGEDERGEKKGKEKGSEERSKSRRRDDSVEKKSEGRYRESSRKEEREREREKEREREREKKGKEGRSEKRIEVEEYSRGGKQVSEKTANDQLRSPESENQSDRRIRRKRDDSVDGDKQQDDCGDVNGKRLSSREDVVKDGKPKDEKHNDDRYRDKYHEDTGRENRHRDDKQKDERGTRDNIRSDEKHARDEKDGPEIRKKSKPQDGERERDHDHEFDIVRDRDHDRNRDRERDRDRDRDRERERDRDRDHERNLDYDGAHIDDRSARYKDSRGRKRSPEDHDDYNDTKSKGIKAPYPDMEKKSLSSGRVESDDRGRSQSRQAHLDNNVSGNRRRTSPDTSSHGAVEEYRHFKAEESKYRDAVIEQRSKASSSREATDFPVTSERASKYRSSDKPIKMDDGHPGELLIERSSSSRASPRGLVDRSPSSSHERRYANRTGVRHSVDIEESARRRSGSISARDLPSADDRLGRDLPLEKPLSDESTPADSSFYNRTNQNNSALIPPHAFRGGGGSPSFMGSLEEDSRVNTRYKRGGGDPNLGRGQGNAWRGTPNWSSPMPNGYMPFQHGPHGGFQAMMPHFASPPLFSARPSMEINHSGIPYHIPDADRFSGHLRPLGWHNMMDGSGPSQMHGWDGNNGVFRDEPHAYGQEWDQNRHQLNGRGWETGTDIWKTQNGDVNMDSPAASVKEDFPVQAPMENVLAGQVGHQSQNENTHQKVQAEIVETKSAVASAKESLRSMPKTTHEKMPDPPKLQSNDRSHFARAYLSKLDISTELASPELYSQCMSLLSMEQGANADEDIVMLVNLKDGARAVPKSFDSIYSLSLLPATKDSVFQRAMDYYKKERVGLRGLPIVNGGTINAISTTKVKDEPIDDGQKAEEPVLNQDEEMHDVPKLNLDQKKAEDVPLADTHEESVELVSKDYAQARTPSQDFPDQALSQDNLEKPVEIPSGNKIDGVPSEPGNSEGVEGSIPSPDNASQASSISPAEGVEDNALQCAEEGRGSGDAICGPLFFSDDSLKASGALMPGSNESESVILSRIHHSPESTH >Potri.015G115701.2.v4.1 pep chromosome:Pop_tri_v4:15:13149873:13151963:1 gene:Potri.015G115701.v4.1 transcript:Potri.015G115701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G115701.v4.1 MTRFLKTFRGRGNQLQERMGCLVQTGLDYNVVSSFIKQVPMVPNQTKDALEKKIDCMTNFLGYSVNSLEEFPAYLCYDFERVKLRFRMYIRLREKGAAKPKVSMGTILACSCAICKTLCRCPLLRTSYVGKFKECISFKPRSEMAAIN >Potri.005G136300.5.v4.1 pep chromosome:Pop_tri_v4:5:10540285:10543717:1 gene:Potri.005G136300.v4.1 transcript:Potri.005G136300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136300.v4.1 MLDLFICHLNPSAMRCLAQKLEEEGLDSELRRYCERILRVRSTGWTQGIFANFAAESMVPKGPEWGGGNWEIKTPANLNSVPQWELAGEVMSYMKTDDGTIPAIITDHIGVYLGSIKGRGNVVEVREDSLVKAFIPAGDNKPNGLPNSLAKSISNKSNGLPAGHVKLDALMGLETLTKHAGTSAADQQAKAEEEFKKTMYGSATDGSSSDEEGVSKTKKLQIRIRDKPVSSTTVDVNKIKEATRQFKLGDGLGPPMRTKSLTGSQDLGQILSQPPTSANAASTAPADLFGTDPLTQTVPVSQPGLMVMGGGVTTGPIPEDFFQNTIPSLQVAASLPPPGTFLAKLDQISQGVGRNNIAPNPAAASVTDIGLPDGGVSPQPSQLAASFVSIGLVDGGVPPQASGQAAIPPQSQVQVPQVQLSTPPLDLSVLGVPDSGKSPAPASPPSSVRPGQVPRGAAAPVCFKTGLAHLEQNQLPDALSCFDEAFLALAKDNSRGADIKAQATICAQYKIAVTLLKEIARLQKVQGPSALSARGEMARLSRHLSSLPLLARHRINCLRTAIMRNMEVQNFAYAKQMLELLLSKAPPSKQDELRSLINMCVHRGLSNKSIDPLEDPSQFCAATLSRLSTIGYDVCDLCRAKFSALSAPGCIICGMGSIKRSDALTGPVPSPFG >Potri.002G117500.1.v4.1 pep chromosome:Pop_tri_v4:2:8907929:8913956:1 gene:Potri.002G117500.v4.1 transcript:Potri.002G117500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G117500.v4.1 MLGAVYRNSSIEWRPSPVVSLATSADESQVAAAREDGSLEIWLVSPGSVGWHCQLTIHGDPNSRVSSLVWCRAGSKGLPCGRLFSSSIDGSVSEWDIFHLKQKNVLESTGVSIWQMAVAPSTDSEIHTEHKSQHLGNGYLNNRYKGGEASEDSSESEDDSGSDEQHEQIVVEDPRLAIACDDGCVRIYTIPASDELIYNRTLPRVSGRVLSVTWSPDASRIYSGTSDGFVRCWDAKLGNEIYRITAGLGGLGSGPDLCIWSLLALRCGTLVSADSTGAVQFWDSEHGTLLQAHTSHKGDVNALAAAPSHNRVFSAGSDGQVILYKLSSEAVESVYDTSSKMLKKWIYVGYVRAHTHDVRALTVAVPISREDPMPDDKVKRIRHKKKPIEFSYHKWAHLGVPMLISAGDDTKLFAYSAQEFTKFSPHDICPAPQRVPIQLALNTVFNQNCLLLVQSSSWLDILCVKTKGGSMTDTGPGPSRGRATTDILARIKTKRSRKIICSTISNAGVLFAYSDHVKPSLFELKKEVRRSAWTVNKKPLPQNLPYAHSMVFSADSSRLMIAGHDRKIYVVDVGSSELVHTFTPCREEFDEELPPSEPPITKMFTSCDGQWLAAINCFGDTYVFNLETQRQHWFIARLDGASVTAGGFPPQNNNVLVITTSSNQVYAFDVEAKQLGEWSMRHSFVLPKRYQEFPGEVIGLSFLPLSSPPSVIIYSARAMCLIDFGMPVDREEDGDLVNSQHSSLKKLQATTLNGGLKRKLKEYQPEAKHRKNFELLAFRDPVLFFSHLSENSILILDKPWMDVVKTFDAQPVHRHIFGT >Potri.006G097500.1.v4.1 pep chromosome:Pop_tri_v4:6:7449332:7451140:1 gene:Potri.006G097500.v4.1 transcript:Potri.006G097500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097500.v4.1 MPSSNTTIVSRCTIHPDQKSTTIETLKLSVSDLPMLSCQYIQKGVLLPYPPYSIDDLITFLKHSLSTALSHFPALAGRLQTDPTGHIHIVCNDAGVDFIQAKARHLSIHTILSPTDVPECFKGFFAFDKTLSYSGHSKPLMAVQVTELQDGIFIGCSVNHAVTDGTSFWHFFNTFAEICKGSKKISNSPDFSRNTLFNSPAVLKFPAGGPKVTFSGDEPLRERVFHFRREAILKLKFRANNSDLICNSAEIFGKQRNDSWKAANGESNGKVAPLFLMKGKTAEISSFQSLCAQLWRSVTRARKLMPSKMTTFRMAVNCRHRLEPRLEPYYFGNAIQSIPTAASAGELLSKDLSFGAELLHRNVVAHGDGTVRKGISDWEKEPRLFPLGNFDGASITMGSSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGGDGKGSVDLEVVLSPDTMIGLENDGEFMQYVSEISGCTPTP >Potri.006G097500.2.v4.1 pep chromosome:Pop_tri_v4:6:7449585:7451146:1 gene:Potri.006G097500.v4.1 transcript:Potri.006G097500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097500.v4.1 MLSCQYIQKGVLLPYPPYSIDDLITFLKHSLSTALSHFPALAGRLQTDPTGHIHIVCNDAGVDFIQAKARHLSIHTILSPTDVPECFKGFFAFDKTLSYSGHSKPLMAVQVTELQDGIFIGCSVNHAVTDGTSFWHFFNTFAEICKGSKKISNSPDFSRNTLFNSPAVLKFPAGGPKVTFSGDEPLRERVFHFRREAILKLKFRANNSDLICNSAEIFGKQRNDSWKAANGESNGKVAPLFLMKGKTAEISSFQSLCAQLWRSVTRARKLMPSKMTTFRMAVNCRHRLEPRLEPYYFGNAIQSIPTAASAGELLSKDLSFGAELLHRNVVAHGDGTVRKGISDWEKEPRLFPLGNFDGASITMGSSPRFPMYDNDFGWGRPLAVRSGRANKFDGKISAFPGGDGKGSVDLEVVLSPDTMIGLENDGEFMQYVSEISGCTPTP >Potri.003G036600.3.v4.1 pep chromosome:Pop_tri_v4:3:4610817:4612112:-1 gene:Potri.003G036600.v4.1 transcript:Potri.003G036600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G036600.v4.1 MKLIVNMIMGSMMATFSEGLLLSEKVGLDPNVLVEVVSLGAISAPMYSLKGPSMVKSLYPTAFPLKHQQKDMRLALGLAESVSQPTHCSSCK >Potri.004G179100.2.v4.1 pep chromosome:Pop_tri_v4:4:19333911:19338953:-1 gene:Potri.004G179100.v4.1 transcript:Potri.004G179100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179100.v4.1 MQQKLEVYNEILRRLQESNHEEANLPGFDDQLWTPFNSLPTRYALDVNVERAEDVLTHKRLLRLAHDPANRPAIEIRLVQVFLGFEGYMHEITFSTDDKPKLLSQLTSLVADIGLNIQEAHAFSTVDGWPYEETEQLRDALAKEVSKIEDREQFRIKYDTNHVAIPNDGTDVWEIDPKYLKFENKVASGSYGDLYKGTYCSQEVAIKILKPERVNSDLQKEFAQEVYIMRKVRHKNVVQFIGACTKPPSLCIVTEFMYGGSVYDYLHKQGGVFKLPNLLKVAIDVSKGMDYLHQNKIIHRDLKAANLLLDENEVVKVADFGVARVKAQTGIMTAETGTYRWMAPEVIEHKPYDHKADVFSFGIVLWELLTGKIPYEYLTPLQAAVGVVQKGLRPTIPKNTQPKLAELLEKCWQQDPALRPDFSEIIEILQQIAKEQVGDCGEWRKEKSSGRFLSVLRRK >Potri.007G072500.1.v4.1 pep chromosome:Pop_tri_v4:7:9467633:9470895:-1 gene:Potri.007G072500.v4.1 transcript:Potri.007G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072500.v4.1 METLSSLCTSQALMSRPSSPAIFCTSGSVSFTGFSLKTQAFSKKKQTLSFVKASVAVEQQTQEAKVALIRIGTRGSPLALAQAHETRDKLMASHSDLAEEGAIQIVIIKTTGDKIQSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLSAASLADLPAGSIIGTASLRRKSQILHRYPSLSVEENFRGNVQTRLRKLNEGVVKATLLALAGLKRLNMTENVTSILPLDDMLPAVAQGAIGIACRSNDDKMVNYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYARKDENGDCIFKGLVASPDGRRVLETSRKGPYAFDDMIAMGKDAGKELLSQAGPGFFDR >Potri.007G072500.3.v4.1 pep chromosome:Pop_tri_v4:7:9467637:9469804:-1 gene:Potri.007G072500.v4.1 transcript:Potri.007G072500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G072500.v4.1 MFDSPLALAQAHETRDKLMASHSDLAEEGAIQIVIIKTTGDKIQSQPLADIGGKGLFTKEIDEALINGDIDIAVHSMKDVPTYLPEKTILPCNLPREDVRDAFISLSAASLADLPAGSIIGTASLRRKSQILHRYPSLSVEENFRGNVQTRLRKLNEGVVKATLLALAGLKRLNMTENVTSILPLDDMLPAVAQGAIGIACRSNDDKMVNYLASLNHEETRLAVACERAFLETLDGSCRTPIAGYARKDENGDCIFKGLVASPDGRRVLETSRKGPYAFDDMIAMGKDAGKELLSQAGPGFFDR >Potri.010G179400.1.v4.1 pep chromosome:Pop_tri_v4:10:17835235:17840747:-1 gene:Potri.010G179400.v4.1 transcript:Potri.010G179400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179400.v4.1 MALKLNQITFPSIHNLPSQSGSMRSPHRVLMASTLNPISTKEVQNLKKKPKCPPQEVHVQVTHSMPPQKLEIFKSMENWAEENLLVLLKPVESCWQPQDFLPEPESDGFYEQVKELRERARELPDDYFVVLVGDMITEEALPTYQTMLNTLDGGIRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKHIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDMKLAQICGIIAADEKRHETAYTKIVEKLFEIDPDATILALADMMRKKISMPAHLMFDGQDDNLFENYSTVAQRIGVYTAKDYADILEFLVGRWKVEKLTGLSGEGRKAQDFVCGLPPRIRRLEERAQGRVKQASTAPFSWVFGRELKL >Potri.010G179400.4.v4.1 pep chromosome:Pop_tri_v4:10:17835280:17839243:-1 gene:Potri.010G179400.v4.1 transcript:Potri.010G179400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179400.v4.1 MPPQKLEIFKSMENWAEENLLVLLKPVESCWQPQDFLPEPESDGFYEQVKELRERARELPDDYFVVLVGDMITEEALPTYQTMLNTLDGGIRDETGASLTPWAIWTRAWTAEENRHGDLLNKYLYLSGRVDMKHIEKTIQYLIGSGMDPKTENNPYLGFIYTSFQERATFISHGNTARLAKEHGDMKLAQICGIIAADEKRHETAYTKIVEKLFEIDPDATILALADMMRKKISMPAHLMFDGQDDNLFENYSTVAQRIGVYTAKDYADILEFLVGRWKVEKLTGLSGEGRKAQDFVCGLPPRIRRLEERAQGRVKQASTAPFSWVFGRELKL >Potri.011G126101.1.v4.1 pep chromosome:Pop_tri_v4:11:16111467:16115841:-1 gene:Potri.011G126101.v4.1 transcript:Potri.011G126101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126101.v4.1 MGVPTEHCILVLLFCSSLLLIVETGTAIDTINTTHSIRDGDTIVSAEGTYVLGFFSPGESKNRYVGIWYGKIPVMTIVWVANRETPLNDSSGVLRLTDLGILVILNQNGTIIWSSNSSRSASNPAAQLLDSGNLVVKEEGDNLENSLWQSFEHPTDTILPGMKLGRNRITGMEWYMTSWKSPDDPSRGNFTCMLIPYGYPELVVMQGSKMKYRSGPWDGLRFSGIPNLQPNPVYKFEFVISEEEIFYRESLVDKSMLWRFMTDQSGNIPSLAWIEQTQSWLLYETANSDNCDRYALCGANGLCNIQSSPVCECFVGFVPKVPTDWAVTVWSSGCVRRTPLNCSGDGFRKLSGVKMPETKASWFDKSLDLEECKNTCLKNCSCTAYSNMDIRGGGSGCLLWFGDLIDNRRFSENEQNIYIRMAASELDNGDGAEINAKSNVKKIIIISTLSTGIFLLGLVLVLYVWRRKHQKKGKSTGAFKRRSNNKHKKEDLKLPLFDLDTLACATDNFSVDNKLGEGGFGSVYKGTLTDGREIAVKRLSKNSRQGIGEFKTEVEYIVKFQHRNLVQLLGCCFEGDEKMLIYELLPNKSLDFYIFNETEDTLLDWPTRYNIIIGIARGLLYLHQDSRLRVIHRDLKASNILLDYELNPKISDFGLARSFGGNEIEANTIKVAGTYGYISPEYAIEGLYSVKSDVFSFGVLVLEIVSGYKNRGFSHPEHSLNLLGHAWRLFRDGRPMELVRQSIIEACNLSQVLRSIHVALLCVQDNREDRPDMSYVVLMLSNDNTLPQPKHPGFFIERDPAEASSTGESTAYSANKCSITLLQPR >Potri.009G037900.2.v4.1 pep chromosome:Pop_tri_v4:9:4682984:4687285:1 gene:Potri.009G037900.v4.1 transcript:Potri.009G037900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037900.v4.1 MAGIFSSLSSYCILLVFIIVADLSLCTAQNDKQVYIVYMGSLPTGEYSPTSHHLSLLEEIVEGRSADGALVRSYNRSFNAFAARLSHAEVERISGLKEVVSVFPSRRSQLLTTRSWDFMGFPENVKRNPTVESNIIIGVIDSGIWPESESFADKGFGPPPAKWKGTCAGGKNFTCNNKIIGARVEFTSGAEATARDTEGHGSHTASTAAGNTVSGANFYGLAQGNARGAVPSARIAVYMACEEFCDDHKILAAFDDAIADGVDIITISIAKDVPFPYENDTIAIGAFHAMEKGILTVQAAGNSGPDPFTVSSHAPWIISVAASSTDRRIIDKTVLGNGQTFVGSSVNSFALNGTKIPLIYGKAVTSNCTEDDAWSCFNNCMNSSLVKGKIVICDMTDASVTDEAFRARALGSIMLNDTFEDVSNVVPLPASSLNPHDSDLVMSYLKSTKNPQATILKSEITEHNTAPVVASFSSRGPNNIVPEILKPDISAPGVEILAAYSPVASPSVNADDKRSVKYNVVSGTSMSCPHVAGAAAYVKSFHPNWSPSAITSALMTTALPMNTAKHADAEFGYGAGHINPIKAVDPGLVYEATRDDYIRMLCSMNNTLFSKCPQHIEGSPKDLNYPSMAVRVEENRAFTVKFPRTVRNVGLAKSSYKSNITTGSQINVMVEPSILSLKSVDERQSFVVTVAGKGLPANSMVSSSLVWNDGTHSVRSPIVVYTIKPSN >Potri.009G037900.3.v4.1 pep chromosome:Pop_tri_v4:9:4683654:4687285:1 gene:Potri.009G037900.v4.1 transcript:Potri.009G037900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037900.v4.1 MFSDVLIFPFHFRSADGALVRSYNRSFNAFAARLSHAEVERISGLKEVVSVFPSRRSQLLTTRSWDFMGFPENVKRNPTVESNIIIGVIDSGIWPESESFADKGFGPPPAKWKGTCAGGKNFTCNNKIIGARVEFTSGAEATARDTEGHGSHTASTAAGNTVSGANFYGLAQGNARGAVPSARIAVYMACEEFCDDHKILAAFDDAIADGVDIITISIAKDVPFPYENDTIAIGAFHAMEKGILTVQAAGNSGPDPFTVSSHAPWIISVAASSTDRRIIDKTVLGNGQTFVGSSVNSFALNGTKIPLIYGKAVTSNCTEDDAWSCFNNCMNSSLVKGKIVICDMTDASVTDEAFRARALGSIMLNDTFEDVSNVVPLPASSLNPHDSDLVMSYLKSTKNPQATILKSEITEHNTAPVVASFSSRGPNNIVPEILKPDISAPGVEILAAYSPVASPSVNADDKRSVKYNVVSGTSMSCPHVAGAAAYVKSFHPNWSPSAITSALMTTALPMNTAKHADAEFGYGAGHINPIKAVDPGLVYEATRDDYIRMLCSMNNTLFSKCPQHIEGSPKDLNYPSMAVRVEENRAFTVKFPRTVRNVGLAKSSYKSNITTGSQINVMVEPSILSLKSVDERQSFVVTVAGKGLPANSMVSSSLVWNDGTHSVRSPIVVYTIKPSN >Potri.010G156001.1.v4.1 pep chromosome:Pop_tri_v4:10:22764495:22766137:1 gene:Potri.010G156001.v4.1 transcript:Potri.010G156001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G156001.v4.1 MSVMMIETTSLEDQVTNLTKLVEGLSTSLKEKEHEIAKLMNKLESMNEGGQTSATKALQVDQSDVIEDSTIRAARNIRGISDGIFTTNQLKELIKEAITDQVESSIQPSYSYAKPYTQRIDLLKMPLSYHPPKFQQFDGKGNPRQHVAHFVETCNNAGTNGDLMIKQFVRSLKGNAFDWYTDLESGLIDTWEQLERKFLNRFYSTRRVEELVIDYIHRWRNLSLNCRDRLTETSALDMCIQGMHWGLRYILQGIKPKSFEELATRAHKMELSIAAAESSSLPMQEPMRNKLEGHRFEKSTAKVEGKQSLVLNSTTIRVPAGVKRNDRATSATFQKGERKKPSLKERQEKVYPFPDSDISRMLDDLLEANIIELPEVKRPDEANQVDNPNYCKYHRLISHPIEKCFVLKDKIMRLHENGDIVFDNEVAASNITTMVNLGPHQSLPTISFGSFEPIKLDIILPTSFTASSSQTPCITLAPHVDNSKLDSSENYDDKGWTLIMHRRGRKRHIQMTKPTRMRISMVTKLIDEPIRRKI >Potri.011G039812.1.v4.1 pep chromosome:Pop_tri_v4:11:3092134:3103024:1 gene:Potri.011G039812.v4.1 transcript:Potri.011G039812.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039812.v4.1 MPYCHVILLPNISKVFIVYKGLRMSSHGICSYTCRNSTVSHEYVDFMMNLSTSTICKFFMGVLIGLWQGDKIQNLGKGSWAEGSEHGWQNLYL >Potri.009G033600.1.v4.1 pep chromosome:Pop_tri_v4:9:4393369:4398501:-1 gene:Potri.009G033600.v4.1 transcript:Potri.009G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033600.v4.1 MIFSKLGRSLSRSSRSINTLRAGNGGVLRSSAVINNIEGGFIDGRGGLGLLRGYLTSIGSRGTHNPFLSDLNSILANPRIRRFFSTEAPKKKNYENFYPKGKKEVPKGEKQKSESKENSSNADHDESDFNKGTFMKAFYLLAPLFVVQLLFYPFSFGDGDKQQISFQEFKNRLLEPGLVDHIVVSNKSVAKVYVKSSAQNQTSDDLVQGPVNGVPARGRGGQHKYYFTIGSVDTFEEKLEEAQEALGIDPHNYVPVTYASEMVWYQELMRFAPTLLLLGTLMYMGRRMQGGLGVGGGGGGKGGRGIFNIGKAHVTKADKNSKNKIYFKDVAGCDEAKQEIMEFVHFLKSPKKYEELGAKIPKGALLVGPPGTGKTLLAKATAGESGVPFLSISGSDFMEMFVGVGPSRVRNLFQEARQCAPSIIFIDEIDAIGRARGRGGFSGSNDERESTLNQLLVEMDGFGTTAGVVVLAGTNRPDILDKALLRPGRFDRQICIDKPDIKGRDQIFQIYLKKIKLDHEPSYYSQRLAALTPGFAGADIANVCNEAALIAARSEGSLVTMEHFEAAVDRVIGGLEKKNKVISKLERKTVAYHESGHAVAGWFLEHAEPLLKVTIVPRGTAALGFAQYVPNENLLFTKEQLFDMTCMTLGGRAAEQVLLGKISTGAQNDLEKVTKMTYAQVAVYGFSDKVGLLSFPQKEDSFEMTKPYSNETGAIIDSEVREWVGKAYGHTVQLVEKHKEQVAQIAELLLEKEVLHQEDLIRVLGERPFKSSEVSNYDIFKQGFEEEEKKVETPASTTDGDEDQSSPIEAVPA >Potri.017G143132.1.v4.1 pep chromosome:Pop_tri_v4:17:14354133:14357708:1 gene:Potri.017G143132.v4.1 transcript:Potri.017G143132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143132.v4.1 MTEVILHVYDVTNSGSEKTNNTILNINKIFKDTIGLGGIFHSAVQVYGEDEWSFGFCEHGTGVFSCPSGKNPMYTYRERIVLGKTSFSIFKVNQILRELSREWPGSDYDLLAKNCNHFCDEFCERLGVPKLPGWVNRFANAGDAAMEIAGNTAFRFRQAKTEIVSASKVAYRFLVGVTSSNGSAPESPANSNTGVPRFQASWFKNLITNGAKPSGTEVDDQDEDVLLQRQLSKQGSDQLSRQNSQQEPELPPLHRNFRHTSS >Potri.007G051000.5.v4.1 pep chromosome:Pop_tri_v4:7:4958891:4968028:1 gene:Potri.007G051000.v4.1 transcript:Potri.007G051000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051000.v4.1 MEISLLEVLLKTISTFLHLSKDDNISSDPVQKYYQKAEEILKLLKPIFDAIVDSEVASDEVLNKDFLELGRSVDELREIFESWQPLSSKVYFVLQIESLTLKIRNLGLDSFQLLKSSHQQLPDELSSSSLENCIQKIKHSVYEQTSSIIKEAISGQEEGVGPSSEILVKIADSLCLRSNQEILIEAVALEKIKENAEQAEKTAEAEFIDQMIALVTHIHERLVLIKQSQSSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPIKSMSFNQPSPLLVHVESIASRDSHVLPHLRENQPLSPESNRSAGSPGRSMISSSGIHREGSSPLHPRSTSEGSLSGAVGNGQGLDIARISLMSSEERSGSSEERYLDSVCHRSASPSRNEVSTAVRADGLQSQNHNRSASASSALTHAAFPQGASGDANESTEFSNHFTSYSSDTSGEVKPEPQASSTLNTPHREPEFPSFLVDTRSRSQTAWRRPSDRLVPRIVSSPAIETRADLAGIEMEVRKLVEDLRSTSIDIQRDATAKLRLLAKHNMDNRIVIANCGSIGLLVNLLCSTDKKIQENAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAVGPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVEAGAVKHLVDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQEGGIPVLVEVVELGSVRGKENAAAALLQLCTNSSRFCHMVLQEGAVPPLVALSQSGTPRAKEKAQSLLSYFRNQRHGNAGRA >Potri.007G051000.8.v4.1 pep chromosome:Pop_tri_v4:7:4958882:4968081:1 gene:Potri.007G051000.v4.1 transcript:Potri.007G051000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051000.v4.1 MEISLLEVLLKTISTFLHLSKDDNISSDPVQKYYQKAEEILKLLKPIFDAIVDSEVASDEVLNKDFLELGRSVDELREIFESWQPLSSKVYFVLQIESLTLKIRNLGLDSFQLLKSSHQQLPDELSSSSLENCIQKIKHSVYEQTSSIIKEAISGQEEGVGPSSEILVKIADSLCLRSNQEILIEAVALEKIKENAEQAEKTAEAEFIDQMIALVTHIHERLVLIKQSQSSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPIKSMSFNQPSPLLVHVESIASRDSHVLPHLRENQPLSPESNRSAGSPGRSMISSSGIHREGSSPLHPRSTSEGSLSGAVGNGQGLDIARISLMSSEERSGSSEERYLDSVCHRSASPSRNEVSTAVRADGLQSQNHNRSASASSALTHAAFPQGASGDANESTEFSNHFTSYSSDTSGEVKPEPQASSTLNTPHREPEFPSFLVDTRSRSQTAWRRPSDRLVPRIVSSPAIETRADLAGIEMEVRKLVEDLRSTSIDIQRDATAKLRLLAKHNMDNRIVIANCGSIGLLVNLLCSTDKKIQENAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAVGPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVEAGAVKHLVDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQEGGIPVLVEVVELGSVRGKENAAAALLQLCTNSSRFCHMVLQEGAVPPLVALSQSGTPRAKEKAQSLLSYFRNQRHGNAGRA >Potri.007G051000.3.v4.1 pep chromosome:Pop_tri_v4:7:4958882:4968081:1 gene:Potri.007G051000.v4.1 transcript:Potri.007G051000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051000.v4.1 MEISLLEVLLKTISTFLHLSKDDNISSDPVQKYYQKAEEILKLLKPIFDAIVDSEVASDEVLNKDFLELGRSVDELREIFESWQPLSSKVYFVLQIESLTLKIRNLGLDSFQLLKSSHQQLPDELSSSSLENCIQKIKHSVYEQTSSIIKEAISGQEEGVGPSSEILVKIADSLCLRSNQEILIEAVALEKIKENAEQAEKTAEAEFIDQMIALVTHIHERLVLIKQSQSSSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTRQTLAHTNLIPNYTVKALIANWCESNNVKLPDPIKSMSFNQPSPLLVHVESIASRDSHVLPHLRENQPLSPESNRSAGSPGRSMISSSGIHREGSSPLHPRSTSEGSLSGAVGNGQGLDIARISLMSSEERSGSSEERYLDSVCHRSASPSRNEVSTAVRADGLQSQNHNRSASASSALTHAAFPQGASGDANESTEFSNHFTSYSSDTSGEVKPEPQASSTLNTPHREPEFPSFLVDTRSRSQTAWRRPSDRLVPRIVSSPAIETRADLAGIEMEVRKLVEDLRSTSIDIQRDATAKLRLLAKHNMDNRIVIANCGSIGLLVNLLCSTDKKIQENAVTALLNLSINDNNKTAIANADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAVGPLVDLLGNGTPRGKKDAATALFNLSIFHENKARIVEAGAVKHLVDLMDPAAGMVDKAVAVLANLATIPEGRNAIGQEGGIPVLVEVVELGSVRGKENAAAALLQLCTNSSRFCHMVLQEGAVPPLVALSQSGTPRAKEKAQSLLSYFRNQRHGNAGRA >Potri.001G400500.1.v4.1 pep chromosome:Pop_tri_v4:1:42686477:42689128:1 gene:Potri.001G400500.v4.1 transcript:Potri.001G400500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400500.v4.1 MQSFSISNNASISSYAYPKTASWKIRGESSDCCLWDGVECDEDTGYVIGLDLGGSSLHGSINSTSSLFQLVHLRRLNLGGNDFNYSQVPSRIALLSSLTYLNLSNSMFYGEIPLEITELSHLTSLDLGRNVDSSARNLLELGSFDLRRLAQNFTGLEQLDLSSVNISSTVPDALANLSSLTFLNLEDCNLQGLIPSSFGDLTKLGYLNLGHNNFSGQVPLSLANLTQLEVLSLSQNSFISPGLSWLGNLNKIRALHLSDINLVGEIPLSLRNMTRISQLHLSNNRLTGKIPLWISNLTQLTLVHLRHNELQGPIPESMSKLVNLEELKLEYNHLSGTIEFSMFASLKHLTMLQIRRNNLTVLTNISDNTTLPKFKYLALGDCNLSEFPDFLRSQDELIYLHLGRNRIQGQIPKWLGDIGHKTLSILILRNNLFSGFEQSWELSLLTKLQWLELDSNKLEGQLPIPPPSLIGYSISNNSLTGEILPSLCNLRSLGFLDLSYNKLSGMFPNCLGDFSDSLLVLNLSNNFFHGRIPQAFRDESNLRMIDLSHNQLEGQLPRSLTNCRMMEILDLSYNRISDKFPFWLANLPELQVLILRSNQFFGSIKSPGAMLEFRKLQIIDLSYNNFTGILPSEFFQTLRSMRFSDLKEFTYMQTIHTFQLPVYSRDFTYRYEINLANKGVYMKYWQIPNVIAAIDLSSNAFQGDIPQSIGTLEKVNALNLSNNHLSGDIPSVLGNLANLESLDLSQNMLSGEIPQYLTQLTFLAYFNVSHNQLEGPIPQGKQFNTFDNSSYEGNSGLYMKHLPKKSECSEPPQHPNLPKHQGFNNILPKDIEWIAVVIGYGSGLVVGVVVGLRVSARIPEWFVKTFGRTQGNRRRREVRGVRR >Potri.007G100800.1.v4.1 pep chromosome:Pop_tri_v4:7:12514671:12516563:-1 gene:Potri.007G100800.v4.1 transcript:Potri.007G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100800.v4.1 MALQVNFSFTSHSPCQQNHTPKLLSPKTFSSTSLPKASFSNQKQNPESINDSQKETLILSLGSSKRRKLNLSVLALLINGSLPNLSNNSILAQELELARYTDSKEGFTLLTPSSYVKVDKAGATVLFEEVNKGSNNIGVVVTPVRLASLDEFGSPQFVADKLIQAEKKKESTKDAEVISVAERSGHGGLQVYEFEYKVDSTRGGMKRIFSAAFVSSKKLYILNIAHSDKPESPLDTDTRTILEEVIHSFDAATMT >Potri.007G069800.3.v4.1 pep chromosome:Pop_tri_v4:7:9059298:9075356:1 gene:Potri.007G069800.v4.1 transcript:Potri.007G069800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069800.v4.1 MYTGIFKCRNQRWCSFFHPSKHFIRPNCQDRPLSCTTVVRDHFSHVSFIKRQLLHSISSRSTAFGNSDSGLHIRSNMCWTNIQFRTCSSGANGRNTSEDKHGPVKDGASFDNEKTQRERVSEDAKHCDAHAQLGEQDQKEWLHNEKLAIESKRKESPFLTRREKFKNEFLRRIVPWEKLHVSWDNFPYYINEHTKNTLVECVTSHLKHKKCTTSYGARLTSSSGRIMLQSVPGTELYRERTVKALARDLQVPLLVLDSSVLAPYDFGDDEIESDDSAGEESCSESEVEDDNDAVNEEEWTSSAEAKSDCSDDDAVDLEANAEAALKKLLPCSLEEFEKRVSGECDSSSESSKNESTGTSEIPKRPLNKGDRVKYIGPSIRIEADDRPLSSGQLGEVYEVNGDRVAVILDISNDNKEDDGEKDEKLMEQPAKAPVYWIDAKDIEHDPDTGIEYCYIAMEVLCEVLCSVQPLIVYFPDSSQWLSRAVPKSNRKDFLSKVQEMFDKLSGPVVLICGQNKAETGSKEKERFTMLLPNLGRLAKLPLSLKHLTDGLRGAKRSNENDITKLFTNILCLYPPKEEDLLRTFNKQVEEDRRIVISRSNLIELHKVLEENEMSCMDLLHINTDGLILTKRKAEKVIGWAKNHYLSSCLLPCIKGDRLSLPRESLEMAIVRLKEQETISEKPSQNLKNLAKDEYESNFISAVVAPGEIGVKFNDVGALEEVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIHVDLPDAENRMKILRIILYRENLEADFQFDKLANATEGYSGSDLKNLCIAAAYRPVEELLEEEKGGKNGATPALRPLNLEDFIQSKAKVGPSVSFDAASMNELRKWNEQYGEGGNRKKSPFGF >Potri.007G069800.2.v4.1 pep chromosome:Pop_tri_v4:7:9059338:9075346:1 gene:Potri.007G069800.v4.1 transcript:Potri.007G069800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069800.v4.1 MYTGIFKCRNQRWCSFFHPSKHFIRPNCQDRPLSCTTVVRDHFSHVSFIKRQLLHSISSRSTAFGNSDSGLHIRSNMCWTNIQFRTCSSGANGRNTSEDKHGPVKDGASFDNEKTQRERVSEDAKHCDAHAQLGEQDQKEWLHNEKLAIESKRKESPFLTRREKFKNEFLRRIVPWEKLHVSWDNFPYYINEHTKNTLVECVTSHLKHKKCTTSYGARLTSSSGRIMLQSVPGTELYRERTVKALARDLQVPLLVLDSSVLAPYDFGDDEIESDDSAGEESCSESEVEDDNDAVNEEEWTSSAEAKSDCSDDDAVDLEANAEAALKKLLPCSLEEFEKRVSGECDSSSESSKNESTGTSEIPKRPLNKGDRVKYIGPSIRIEADDRVILGKIPTSDGLKNAYTTIRGRPLSSGQLGEVYEVNGDRVAVILDISNDNKEDDGEKDEKLMEQPAKAPVYWIDAKDIEHDPDTGIEYCYIAMEVLCEVLCSVQPLIVYFPDSSQWLSRAVPKSNRKDFLSKVQEMFDKLSGPVVLICGQNKAETGSKEKERFTMLLPNLGRLAKLPLSLKHLTDGLRGAKRSNENDITKLFTNILCLYPPKEEDLLRTFNKQVEEDRRIVISRSNLIELHKVLEENEMSCMDLLHINTDGLILTKRKAEKVIGWAKNHYLSSCLLPCIKGDRLSLPRESLEMAIVRLKEQETISEKPSQNLKNLAKDEYESNFISAVVAPGEIGVKFNDVGALEEVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIHVDLPDAENRMKILRIILYRENLEADFQFDKLANATEGYSGSDLKNLCIAAAYRPVEELLEEEKGGKNGATPALRPLNLEDFIQSKAKVGPSVSFDAASMNELRKWNEQYGEGGNRKKSPFGF >Potri.007G069800.1.v4.1 pep chromosome:Pop_tri_v4:7:9059147:9075360:1 gene:Potri.007G069800.v4.1 transcript:Potri.007G069800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069800.v4.1 MYTGIFKCRNQRWCSFFHPSKHFIRPNCQDRPLSCTTVVRDHFSHVSFIKRQLLHSISSRSTAFGNSDSGLHIRSNMCWTNIQFRTCSSGANGRNTSEDKHGPVKDGASFDNEKTQRERVSEDAKHCDAHAQLGEQDQKEWLHNEKLAIESKRKESPFLTRREKFKNEFLRRIVPWEKLHVSWDNFPYYINEHTKNTLVECVTSHLKHKKCTTSYGARLTSSSGRIMLQSVPGTELYRERTVKALARDLQVPLLVLDSSVLAPYDFGDDEIESDDSAGEESCSESEVEDDNDAVNEEEWTSSAEAKSDCSDDDAVDLEANAEAALKKLLPCSLEEFEKRVSGECDSSSESSKNESTGTSEIPKRPLNKGDRVKYIGPSIRIEADDRPLSSGQLGEVYEVNGDRVAVILDISNDNKEDDGEKDEKLMEQPAKAPVYWIDAKDIEHDPDTGIEYCYIAMEVLCEVLCSVQPLIVYFPDSSQWLSRAVPKSNRKDFLSKVQEMFDKLSGPVVLICGQNKAETGSKEKERFTMLLPNLGRLAKLPLSLKHLTDGLRGAKRSNENDITKLFTNILCLYPPKEEDLLRTFNKQVEEDRRIVISRSNLIELHKVLEENEMSCMDLLHINTDGLILTKRKAEKVIGWAKNHYLSSCLLPCIKGDRLSLPRESLEMAIVRLKEQETISEKPSQNLKNLAKDEYESNFISAVVAPGEIGVKFNDVGALEEVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIHVDLPDAENRMKILRIILYRENLEADFQFDKLANATEGYSGSDLKNLCIAAAYRPVEELLEEEKGGKNGATPALRPLNLEDFIQSKAKVGPSVSFDAASMNELRKWNEQYGEGGNRKKSPFGF >Potri.007G069800.4.v4.1 pep chromosome:Pop_tri_v4:7:9059338:9075198:1 gene:Potri.007G069800.v4.1 transcript:Potri.007G069800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G069800.v4.1 MYTGIFKCRNQRWCSFFHPSKHFIRPNCQDRPLSCTTVVRDHFSHVSFIKRQLLHSISSRSTAFGNSDSGLHIRSNMCWTNIQFRTCSSGANGRNTSEDKHGPVKDGASFDNEKTQRERVSEDAKHCDAHAQLGEQDQKEWLHNEKLAIESKRKESPFLTRREKFKNEFLRRIVPWEKLHVSWDNFPYYINEHTKNTLVECVTSHLKHKKCTTSYGARLTSSSGRIMLQSVPGTELYRERTVKALARDLQVPLLVLDSSVLAPYDFGDDEIESDDSAGEESCSESEVEDDNDAVNEEEWTSSAEAKSDCSDDDAVDLEANAEAALKKLLPCSLEEFEKRVSGECDSSSESSKNESTGTSEIPKRPLNKGDRVKYIGPSIRIEADDRPLSSGQLGEVYEVNGDRVAVILDISNDNKEDDGEKDEKLMEQPAKAPVYWIDAKDIEHDPDTGIEYCYIAMEVLCEVLCSVQPLIVYFPDSSQWLSRAVPKSNRKDFLSKVQEMFDKLSGPVVLICGQNKAETGSKEKERFLPLSLKHLTDGLRGAKRSNENDITKLFTNILCLYPPKEEDLLRTFNKQVEEDRRIVISRSNLIELHKVLEENEMSCMDLLHINTDGLILTKRKAEKVIGWAKNHYLSSCLLPCIKGDRLSLPRESLEMAIVRLKEQETISEKPSQNLKNLAKDEYESNFISAVVAPGEIGVKFNDVGALEEVKKALNELVILPMRRPELFSHGNLLRPCKGILLFGPPGTGKTLLAKALATEAGANFISITGSTLTSKWFGDAEKLTKALFSFASKLAPVIIFVDEVDSLLGARGGSFEHEATRRMRNEFMAAWDGLRSKDSQRILILGATNRPFDLDDAVIRRLPRRIHVDLPDAENRMKILRIILYRENLEADFQFDKLANATEGYSGSDLKNLCIAAAYRPVEELLEEEKGGKNGATPALRPLNLEDFIQSKAKVGPSVSFDAASMNELRKWNEQYGEGGNRKKSPFGF >Potri.002G030000.1.v4.1 pep chromosome:Pop_tri_v4:2:2000008:2000886:1 gene:Potri.002G030000.v4.1 transcript:Potri.002G030000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G030000.v4.1 MAEEGQVIACHTVDVWKEQFEKGKGTQKLIVVDFTASWCPPCKFIAPVFADLAKKFTNVTFLKVDVDELKPVAAEWEVEAMPTFIFLKDGKLVDKIVGADKDGLPALVEKHSVYTA >Potri.006G218000.2.v4.1 pep chromosome:Pop_tri_v4:6:22317991:22322163:-1 gene:Potri.006G218000.v4.1 transcript:Potri.006G218000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218000.v4.1 MANERDLLSTEIVKHGVESSGPNAGSPTFSVRVRKRLPDFLQSVNLKYVKLGYHYLINHAIYLATIPVLVLVFSAEVGSLSREELWKKLWQDARYDLATVLASFGVLVFTVSVHFMSRPRSIYLIDFACYRPDDDLKVTREQFIEQARKSGKFDEASLEFQNRILKSSGLGDETYIPKAIMSHENCATMKEGRFEASTVMFGALDELFEKTRVRPKDVGILVVNCSVFNPTPSLSAMIINHYKMRGNILSFNLGGMGCSAGIIAVDLARDMLQANPNNYAVVVSTEMVGYNWYPGRDRSMLIPNCFFRMGCSAVLLSNRRRDFRHAKYRLEHIVRTHKGADDRSFRCIYQDEDEQKFKGLKVSKDLVQIGGEALKTNITTLGPLVLPFSEQLLFFTTLIWRHLSGKSNGSPSSPPAKPYIPDYKLAFEHFCVHAASKTVLDELQRNLELSEKNIEASRMTLHRFGNTSSSSVWYELAYLEAKERVKRGDRIWQIAFGSGFKCNSVVWRSMGRVRKPSRNNPWLDSIDRYPVPL >Potri.013G127100.2.v4.1 pep chromosome:Pop_tri_v4:13:13458624:13463851:1 gene:Potri.013G127100.v4.1 transcript:Potri.013G127100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127100.v4.1 MVPAQPVMDIKPDCKLQNSTNIVIDRENKNVQDTSDGLGLRCKNECEETINQVNTLLDGKATIPKDFEDVEVDIVTCTKINETKSALVEDPDATEYSSSFADTTSDPEKCSGLSEAEMESQFFGDSDLASPFDDAFSSIFQTRKKKLTNHWRNFVRPLMWRCKWTELKIKEIKSQASKYAREIAACEQKKHSGIYQSTFEGFCSKSLPFSNECYRRKAVKRRKRKRVEDTNDAASYMTHHNLFSYLENKKSNPEGTSMIDDFSNTAITDQHVDGNDKSGVDNDEMFIEFGDGNKSLEQVLRKIEIVHSRVHKLKNQLDMLMSKNASKFSSSENLSLLAACDAQTSSAPSPTFSAGNGETISAGAIYPATQSIPGYDIGDLVMPESAMSGFGEAVHVPDIIESTVGLLSDADVTFHQPQIGDSSENIVDNVLIQNQAAEGEQDTFMATNVQLIEKHHEPERGEEGESSDPFLTPKSEPDSMEKNMGSQGQSVLKSCLASDLQIPRNKRKRGERKAGSGGWNKKCSGEPDSQ >Potri.013G127100.3.v4.1 pep chromosome:Pop_tri_v4:13:13458593:13463797:1 gene:Potri.013G127100.v4.1 transcript:Potri.013G127100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G127100.v4.1 MVPAQPVMDIKPDCKLQNSTNIVIDRENKNVQDTSDGLGLRCKNECEETINQVNTLLDGKATIPKDFEDVEVDIVTCTKINETKSALVEDPDATEYSSSFADTTSDPEKCSGLSEAEMESQFFGDSDLASPFDDAFSSIFQTRKKKLTNHWRNFVRPLMWRCKWTELKIKEIKSQASKYAREIAACEQKKHSGIYQSTFEGFCSKSLPFSNECYRRKAVKRRKRKRVEDTNDAASYMTHHNLFSYLENKKSNPEGTSMIDDFSNTAITDQHVDGNDKSGVDNDEMFIEFGDGNKSLEQVLRKIEIVHSRVHKLKNQLDMLMSKNASKFSSSENLSLLAACDAQTSSAPSPTFSAGNGETISAGAIYPATQSIPGYDIGDLVMPESAMSGFGEAVHVPDIIESTVGLLSDADVTFHQPQIGDSSENIVDNVLIQNQAAEGEQDTFMATNVQLIEKHHEPERGEEGESSDPFLTPKSEPDSMEKNMGSQGQSVLKSCLASDLQIPRNKRKRGERKAGSGGWNKKCSGEPDSQ >Potri.006G017700.1.v4.1 pep chromosome:Pop_tri_v4:6:1110003:1110658:1 gene:Potri.006G017700.v4.1 transcript:Potri.006G017700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G017700.v4.1 MAALRWLVHSACHVLGYPNEDSNMQSRNKVVGCPNEHANGVMIKNSRLEMNPCTGFQMPLHYPRYAKADYEKMEEWKVDMLLREYGISFKGNLEEKRAYAMGAFLWPDQY >Potri.004G204600.1.v4.1 pep chromosome:Pop_tri_v4:4:21305655:21310846:-1 gene:Potri.004G204600.v4.1 transcript:Potri.004G204600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G204600.v4.1 MLHDCEHLREESQAMEYLQDLIVYLLIRFSTPLWSTLISGAFVLISLSLSFYLLFEHLSAYKNPEEQKFLIGVILMVPFYAVESFVSLLDPSISVDIEILRDCYESFAMYCFGRYLVACLGGEERTIEFLKREGRSSSKAPLLEHSHERGTIKHPFPMNYILKPWRLGQWFYQVVKFGIVQYMLIKSLTAVLAVILEAFGVYCEGDFKLKCGYPYIAVILNFSQSWALYCLVQFYTATKDELAHIKPLYKFLTFKSIVFLTWWQGVAIALLCSLGLFKSSIAQGLQFKSSLQDFIICIEMGIASIVHLYVFPAKPYELMGDRFPGSVSVLGDYASVDCPIDPDEVRDSERPTKLRLPQPDIDVRSGMTIKESVQDVVVGGGGFIVNDVKFTVNQAVEPVEKGIIKFNEKLHKISQDMKKHKEKRRTKDDSCIATASPARRVIRGIDDPLLNGSISDTGVARGKKHHRGTSGHTSGESGGESSSDQSYLIRGRRWVTKD >Potri.004G204600.2.v4.1 pep chromosome:Pop_tri_v4:4:21305655:21310853:-1 gene:Potri.004G204600.v4.1 transcript:Potri.004G204600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G204600.v4.1 MLHDCEHLREESQAMEYLQDLIVYLLIRFSTPLWSTLISGAFVLISLSLSFYLLFEHLSAYKNPEEQKFLIGVILMVPFYAVESFVSLLDPSISVDIEILRDCYESFAMYCFGRYLVACLGGEERTIEFLKREGRSSSKAPLLEHSHERGTIKHPFPMNYILKPWRLGQWFYQVVKFGIVQYMLIKSLTAVLAVILEAFGVYCEGDFKLKCGYPYIAVILNFSQSWALYCLVQFYTATKDELAHIKPLYKFLTFKSIVFLTWWQGVAIALLCSLGLFKSSIAQGLQFKSSLQDFIICIEMGIASIVHLYVFPAKPYELMGDRFPGSVSVLGDYASVDCPIDPDEVRDSERPTKLRLPQPDIDVRSGMTIKESVQDVVVGGGGFIVNDVKFTVNQAVEPVEKGIIKFNEKLHKISQDMKKHKEKRRTKDDSCIATASPARRVIRGIDDPLLNGSISDTGVARGKKHHRGTSGHTSGESGGESSSDQSYLIRGRRWVTKD >Potri.012G071400.1.v4.1 pep chromosome:Pop_tri_v4:12:9459564:9462166:1 gene:Potri.012G071400.v4.1 transcript:Potri.012G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071400.v4.1 MMEQPAEEHRENYRGVKAMPFVIGNETFEKLGSTGTLSNLLVYLTTVFHMKSITATTLINIFNGTTNIATLLGAFLCDTYFGRYKTLAFASIASFLGMVVITLTAAISKLHPPQCASGTQDTAACPALTPWQMTFLLSGLGLLVIGGGGIRPCNLAFGADQFNPQTESGRKGITSFFNWYYFTFTFAMMISLTIVVYVQSDINWAWGLAIPAFLMFFSCVLFFAGSRIYVKVKPDGSPLTSVVQVIVAAIKKRKLELPEQPWLSLFNHIPARSINSNLPYTDQFRFLDKAAILTTEDQIMPNGSTATPWRLCSLQQVEEVKCLLRVIPIWASALLYYIGLIQQQTYVVFQALQSNRGLGNTNFKIPAASYAIFSMLGLTIWIPIYDRLIVPWLAKHTKKEGGITILQKMGVGMALGIVTMLISGIVEERRRALAISSPIGVDSRSNVNVSSLSALWLAPQLILIGISEAFTIIAQIELYYKQFPENMRSIGGSLSFVGVAVSSYLSAFLISMVHQITKKSACGDWLPEDLNKGRLDLFYYLVAALGVINMGYFIVCAKWYKYKGNDGTSNTLEIGENLMFKRN >Potri.002G134100.1.v4.1 pep chromosome:Pop_tri_v4:2:10107376:10113981:1 gene:Potri.002G134100.v4.1 transcript:Potri.002G134100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134100.v4.1 METELKSMNPDPPKPEQPYTSSRDEGSYSKDDRPLLKSEPNRVNSATTEKNIEELEKKFAAFVRSDVYGPMGRGELPLVEKVLSAIAVVTLFPIRFVLALVILVVYYVICRVCTLFSAPNRDEEEEQEDFAHMGGWRRAVIVWCGRFLSRLLLFVLGFYWICESYRDIELPNQIKSSSQVYIFIAAAALRYVSVFLGASVNNDLIMIVVNEGKDQSEDLERSGAIISNHVSYLDILYHMSASFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESNSSDFKGVSGVVTKRVKEAHENRSAPMMMLFPEGTTTNGEFLLPFKTGAFLATAPVHPVILRYPYQRFSLAWDSISGARHVFYLFCQFINHMEAIWLPVYYPSQEEKDDPKLYASNVRRLMTCESHLIMSDIGLAEKRIYHAALNGLF >Potri.002G134100.2.v4.1 pep chromosome:Pop_tri_v4:2:10107376:10113981:1 gene:Potri.002G134100.v4.1 transcript:Potri.002G134100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134100.v4.1 METELKSMNPDPPKPEQPYTSSRDEGSYSKDDRPLLKSEPNRVNSATTEKNIEELEKKFAAFVRSDVYGPMGRGELPLVEKVLSAIAVVTLFPIRFVLALVILVVYYVICRVCTLFSAPNRDEEEEQEDFAHMGGWRRAVIVWCGRFLSRLLLFVLGFYWICESYRDIELPNQIKSSSQNEGKDQSEDLERSGAIISNHVSYLDILYHMSASFPSFVAKRSVAKLPLVGLISKCLGCVYVQRESNSSDFKGVSGVVTKRVKEAHENRSAPMMMLFPEGTTTNGEFLLPFKTGAFLATAPVHPVILRYPYQRFSLAWDSISGARHVFYLFCQFINHMEAIWLPVYYPSQEEKDDPKLYASNVRRLMTCESHLIMSDIGLAEKRIYHAALNGLF >Potri.004G141300.2.v4.1 pep chromosome:Pop_tri_v4:4:16400199:16403476:-1 gene:Potri.004G141300.v4.1 transcript:Potri.004G141300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141300.v4.1 MGTYRSREGQDLSSDTDSEYQSDEEEPIYDYVERQETPQATSSSKKTLDEIDSKLKSLKLKYPPNSQTTINPVKLYLHIGGNTPNAKWVLSDKRTSYKFIKNNGPDDSDSDHDESRGDGDSFWVLKVGSRVKARVSMEMQLKMFGDQRRVDFVNKGVWALKFFSDEEYRKFVSLFQDCLFENVHGLSATEENKLKIYSKDFIGWFKHEIADDSMWEDAVEEEDSKSGKQPVRVDQDLLEEFEEAANGDVQSLSLGALDNSFLVNDLGVQVFRNYSKGIHGKGICVKFDSNRSGGSSLEQSTPKKAMLMKAETNMMLTSPFKEGKPHSTGIKQLDIDTGKIVTEWKFEKDGTDITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDKRGIVQDVVKGGDSPVLNWTQGHQFSRGTNFQCFASAGDGSIVVGSRDGKIRLYSRTSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLILICTLFTDKDGKTKTGFSGRMGNKIPAPRLLKLTPLDSHMAGASNKFHGGHFSWVRTHNCTCFCSMF >Potri.004G141300.1.v4.1 pep chromosome:Pop_tri_v4:4:16400226:16403696:-1 gene:Potri.004G141300.v4.1 transcript:Potri.004G141300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141300.v4.1 MGTYRSREGQDLSSDTDSEYQSDEEEPIYDYVERQETPQATSSSKKTLDEIDSKLKSLKLKYPPNSQTTINPVKLYLHIGGNTPNAKWVLSDKRTSYKFIKNNGPDDSDSDHDESRGDGDSFWVLKVGSRVKARVSMEMQLKMFGDQRRVDFVNKGVWALKFFSDEEYRKFVSLFQDCLFENVHGLSATEENKLKIYSKDFIGWFKHEIADDSMWEDAVEEEDSKSGKQPVRVDQDLLEEFEEAANGDVQSLSLGALDNSFLVNDLGVQVFRNYSKGIHGKGICVKFDSNRSGGSSLEQSTPKKAMLMKAETNMMLTSPFKEGKPHSTGIKQLDIDTGKIVTEWKFEKDGTDITMRDITNDTKGSQLDPSESTFLGLDDNRLCQWDMRDKRGIVQDVVKGGDSPVLNWTQGHQFSRGTNFQCFASAGDGSIVVGSRDGKIRLYSRTSMRQAKTAFPGLGSPITHVDVTYDGKWVLGTTDTYLILICTLFTDKDGKTKTGFSGRMGNKIPAPRLLKLTPLDSHMAGASNKFHGGHFSWVTENGKQERHLVATVGKFSVIWDFQRVKNSAHDCYRNQQGLKSCYCYKTVLKDESIVESRFMHDKYAVSGSPEAPLVVATPMKVSSISLSGKRSRG >Potri.003G101100.2.v4.1 pep chromosome:Pop_tri_v4:3:12598647:12604006:-1 gene:Potri.003G101100.v4.1 transcript:Potri.003G101100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101100.v4.1 MSEDLRGKLGPDHFSYYASEVERLLQDEDNHFLTSQTAEILGNKHEHAKWEDRLQPSCDLGSSFSNSVGPRLSDFQREMLKLRLQQSVVVLTSEVNEMLDPVLSMCRLQSQLTARPALSKADAKQVPSQKVMVSSYSSSTNTPLAASPSNSSTEDDDMKFLLENNSSHVEKTLKKYSDELLSTLEHMEQKLEELLDAVASNCRPMTHTEKQQLQKLIKKLPPKKLDRVVEIVQRSKPIESQDHDEIFVNLEEKDNATVWRLYYYAEAAEKARLL >Potri.005G240000.1.v4.1 pep chromosome:Pop_tri_v4:5:23681599:23685967:-1 gene:Potri.005G240000.v4.1 transcript:Potri.005G240000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240000.v4.1 MSYDGFPPFISAQLQYLLNHYPHTIQIEQARSGTRYFPGSLDRFTLLIPYCLDYMKWDVIYNAEFPLAAPDVIFGAEDEDFHPFHVLCGEDGDSRLVKNSLTDWNNKDPTRLLALVIELRDKYRSYQEKRVGEVDDDRLKFEISTIVSREGIEMHMSSGVEKPEEVKFAVPLMNMNINKMVPACPWRHPQKIYLQVIYPVGRKYAPSPSAPRLKLMCTPELKALFSIDDVKLPSWLDGMCMAEYLPHLEELLQRQVLEAVALIDVRRQFIEALAPLLGRPLEADPVFCRKASFLVCSGPFTIMLHVFLSTNFPKQQPSLMFQSTQHFNSLGMPVKSPLITEYPWSPRWETSQMADRISDFLVDESLNFKRHCNESHLQH >Potri.011G036400.4.v4.1 pep chromosome:Pop_tri_v4:11:2790155:2793836:-1 gene:Potri.011G036400.v4.1 transcript:Potri.011G036400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G036400.v4.1 MEAEKLFLPFSLLMLHFSSCTSLDSLKTNQTIKEGDVLISKGNNFALGFFSPGSSSNRYLGIWYHKVPEQTVVWVANRNDPIIGSSGFLFVDQYGNLVLYGNDDQKLPVWSTNVSVEENDTCAAQLLDSGNLILVRKRSRKTVWQSFDYPTNILLPGMKLGLDRKLGIDRFLTSWRSADDPGIGDFSLMINPNGSPQIIVYNGTEPISRSPPWPWRSQMGLYESTFVNDPDEIYWVYTVPDDSYLLRIIVDHSGLLKVLTWRESDGQWKDYWKAPVFQCDYYGLCGAYSTCELANLNEFGCACLPGFEPKYPLEWSARDGSGGCVRKRLQTSSFCQHGEGFVKVENVVLPESSAAAWVDMSKSRAACEVECKRNCSCSAYAIIGIPGKNYGCLNWYKELVDIRYDRSNSYDLYVRVDAYELDDTKRKSNDSREKTMQAVLAPSIALSWFLISLFAYLWFKKRAKKGSELQVNSTSTELEYFKLSTVTAATNNFSPANKLGQGGFGSVYKGLLANGKEVAIKRLSRSSGQGTEEFKNEVMVIAMLQHRNLVKLLGYCTQDGEQMLIYEYLPNKSLDSFLFDESRRLLLDWRKRFDIIVGIARGILYLHQDSRLRIIHRDLKCSNILLDADMNPKISDFGMAKIFEGNRTEDRTRRVVGTYGYMPPEYVVFGNFSAKSDVFSFGVMLLEIASGKKNNRFYQQNPPLTLIGYVWELWREDKALEIVDPSLTELYDPRDALKCIQIGLLCVQEDATDRPSMLAVVFMLSNETEIPSPKQPAFLFRKSDNNPDIALDVEDGQCSLNEVTITEIACR >Potri.004G118950.1.v4.1 pep chromosome:Pop_tri_v4:4:11266154:11267394:-1 gene:Potri.004G118950.v4.1 transcript:Potri.004G118950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118950.v4.1 MSEEDEFEDVGPADFDYLKKHVMQCYHKDDEGEISGDARNRSATKTKLNRGILEEDLKIELLRRLKSTTQADVDLGFSPGIIRWSQVVVFHLERKLSMWYFSHTVG >Potri.012G083800.1.v4.1 pep chromosome:Pop_tri_v4:12:10934265:10938261:-1 gene:Potri.012G083800.v4.1 transcript:Potri.012G083800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083800.v4.1 MLDTNGGASLPSTGTDAEKRRVSYFYEPTIGEYYYGQGHSMKPHRIRMAHNLIINYALHRRMEINRPFPAGPEDIGWFHSDDYVEFLSSVSPQSASDPAHGRQLKRFNIGEDCPVFYGLFEFCQASAGGSIGCAVKLNRGDADIALNWAGGLHHAKRSEASGFCYVNDIVLGILELLKVHKRVLYVDIDVHHGDGVEEAFYTTDRVMTVSFHKYGDFFPGTGHIKDIGVGKGKNYALNIPLKDGMDDECFRALFRPLIQKVMEVYQPDAVVLQCGADSLSGDRLGCFNLSVKGHADCLRFIRSFNVPLMILGGGGYTIRNVARCWCYETAVAVGVEPDNKLPYNEYYEYFGPEYTLHADPSNMENLNTPKDMERIRNILLEQLSRLPNAPSVPFQTTPATTEVPEEDEENMDQRPKRHVWNGVDYESDHDEDEKPEPGFF >Potri.002G185800.1.v4.1 pep chromosome:Pop_tri_v4:2:14746718:14751633:1 gene:Potri.002G185800.v4.1 transcript:Potri.002G185800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185800.v4.1 MFLTRFTGRTLLAAAKSETPAASAAAAAATATSGHNPLKDFFEFDRSQDEDKLIVYGRSWKASELRIKAWDDLHKLWYVMLKEKNMLMTQRQMLHAQNFRFPNPERLPKVRKSMCRIKHVLTERAIEELDPMRSAEMKRMINTL >Potri.002G185800.3.v4.1 pep chromosome:Pop_tri_v4:2:14746718:14750316:1 gene:Potri.002G185800.v4.1 transcript:Potri.002G185800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185800.v4.1 MFLTRFTGRTLLAAAKSETPAASAAAAAATATSGHNPLKDFFEFDRSQDEDKLIVYGRSWKASELRIKAWDDLHKLWYVMLKEKNMLMTQRQMLHAQNFRFPNPERLPKVRKSMCRIKHVLTERAIEELDPMRSAEMKRMINTL >Potri.003G218300.1.v4.1 pep chromosome:Pop_tri_v4:3:21319093:21320652:-1 gene:Potri.003G218300.v4.1 transcript:Potri.003G218300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218300.v4.1 MASVFKNFIASSVMTLLCFSLCFYPFALAQDSGTATFNTPPYVPSKCYGYEDRGVMIAAASEGIFNNGEACGLYYQVTCVSGTNEGTPFPCLDNGSVVVMITDLCPPDSCRGTIDLSQEAFASIADPNSGVINISYQQI >Potri.002G206700.1.v4.1 pep chromosome:Pop_tri_v4:2:17293099:17293341:1 gene:Potri.002G206700.v4.1 transcript:Potri.002G206700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G206700.v4.1 MKPHNLSSDGSLPRDAKRTIAERLKQAIDAATVSMTMARNGAGEGNSALLYSKEIERRNWSSQAEDPIRTMMFLGSWGHT >Potri.011G112700.8.v4.1 pep chromosome:Pop_tri_v4:11:14295029:14298442:-1 gene:Potri.011G112700.v4.1 transcript:Potri.011G112700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112700.v4.1 MTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFSLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVEWLQTITRLPILVKGVLTAEDARLSVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLASEGEAGVRKVLQMLREEFELTMALSGCRSLKEITRDHIVADWDHPRARLAPKL >Potri.011G112700.6.v4.1 pep chromosome:Pop_tri_v4:11:14295058:14299085:-1 gene:Potri.011G112700.v4.1 transcript:Potri.011G112700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112700.v4.1 MEITNVMEYEAIAKQKLPKMVFDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDMATTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFSLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVEWLQTITRLPILVKGVLTAEDARLSVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLASEGEAGVRKVLQMLREEFELTMALSGCRSLKEITRDHIVADWDHPRARLAPKL >Potri.011G112700.1.v4.1 pep chromosome:Pop_tri_v4:11:14295067:14299829:-1 gene:Potri.011G112700.v4.1 transcript:Potri.011G112700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112700.v4.1 MEITNVMEYEAIAKQKLPKMVFDYYASGAEDQWTLAENRNAFSRILFRPRILIDVSKIDMATTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFSLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVEWLQTITRLPILVKGVLTAEDARLSVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLASEGEAGVRKVLQMLREEFELTMALSGCRSLKEITRDHIVADWDHPRARLAPKL >Potri.011G112700.7.v4.1 pep chromosome:Pop_tri_v4:11:14295052:14298713:-1 gene:Potri.011G112700.v4.1 transcript:Potri.011G112700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112700.v4.1 MIHRFRPRILIDVSKIDMATTVLGFKISMPIMIAPTAMQKMAHPEGEYATARAASAAGTIMTLSSWATSSVEEVASTGPGIRFFQLYVYKDRNVVAQLVRRAERAGFKAIALTVDTPRLGRRESDIKNRFSLPPFLTLKNFEGLDLGKMDKADDSGLASYVAGQIDRTLSWKDVEWLQTITRLPILVKGVLTAEDARLSVQAGAAGIIVSNHGARQLDYVPSTIMALEEVVKAAQGRVPVFLDGGVRRGTDVFKALALGASGIFIGRPVVFSLASEGEAGVRKVLQMLREEFELTMALSGCRSLKEITRDHIVADWDHPRARLAPKL >Potri.011G106200.1.v4.1 pep chromosome:Pop_tri_v4:11:13472237:13475333:-1 gene:Potri.011G106200.v4.1 transcript:Potri.011G106200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106200.v4.1 MENGFRSFCSLLTFALGLLIISCLRQSNATLLYDNLGGSKLGGCDFFQGSWVEDDAYPLYNTSACPFIEKEFDCQGNGRPDKLYLQYRWKPVACELPRFNGEDFLTRFKGKKILFVGDSLSFNQWQSLTCMLHASAPRSNFTISRKGGLSTFSVMDGEVSVKLSRNPFLVDLVTEKIGKVLKLDSIENGRDWKGYDMLIFNTWHWWTHKGSQKPWDYIQEGKTIQKDMDRLVAFRKGLTTWSSGDEWNETKSNCNGQTQPINGSSYPGGSPPAAAVVRDVLRNMKVSVTLLDVTALSQLRKDGHPSIYGSDGKEGNDCSHWCLAGVPDTWNELLYATLIS >Potri.011G106200.2.v4.1 pep chromosome:Pop_tri_v4:11:13472237:13475333:-1 gene:Potri.011G106200.v4.1 transcript:Potri.011G106200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106200.v4.1 MENGFRSFCSLLTFALGLLIISCLRQSNATLLYDNLGGSKLGGCDFFQGSWVEDDAYPLYNTSACPFIEKEFDCQGNGRPDKLYLQYRWKPVACELPRFNGEDFLTRFKGKKILFVGDSLSFNQWQSLTCMLHASAPRSNFTISRKGGLSTFSVMDGEVSVKLSRNPFLVDLVTEKIGKVLKLDSIENGRDWKGYDMLIFNTWHWWTHKGSQKPWDYIQEGKTIQKDMDRLVAFRKGLTTWSRWVDTNINPNVTEVFFQGISPTHYNGDEWNETKSNCNGQTQPINGSSYPGGSPPAAAVVRDVLRNMKVSVTLLDVTALSQLRKDGHPSIYGSDGKEGNDCSHWCLAGVPDTWNELLYATLIS >Potri.018G076150.1.v4.1 pep chromosome:Pop_tri_v4:18:9433919:9434947:1 gene:Potri.018G076150.v4.1 transcript:Potri.018G076150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076150.v4.1 MLLLFASIHNKLFIRRLFTYVYSVNCYDWERERAGDEANEYGVLWTMPLVLPLVFFFSDSSILLLSYFCSGLSSLSLSLSLSIGFGPLFFFFFVCVCSLVFLF >Potri.003G079700.1.v4.1 pep chromosome:Pop_tri_v4:3:10671175:10679014:-1 gene:Potri.003G079700.v4.1 transcript:Potri.003G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079700.v4.1 MERNQMNGRVSPSSSTDCGGTARFTASLSQPNYSSRAVQEALEHLASIDLIELCSEAKVERCRATRDLRSCGRYVQYVLNSCSHASLCSECSQRCDICPICRIPIPKTGIRLRPRLYYECIESGLVSKRCDERFQEKEDADNELTTDVQRLYSLFDVALENNLVSLICHYVTDVCMDESAVSSDPVIAFLLDEVVVKDWCKRTFKNIIAELQGIYNLETEEMKTRLSLLLKLSVHLVGISNVLEVLELSFKDSLSAQLHDLQLLQENILKAKQHMEIIAWCVRHHFLENVGSRYSNLSSWRSVVLERKSAAIKRSWPDVPNQSAESSMQAGSLFIEDALANLEIDQGHMQEKGEESELALLLKDGRLFFRSKLEGLAVCYPFENLRAAADVLFLHGSSDLLLAKQAIFLYYLFDRHWAMPDESWRHIADDFSAAFGITRHSLLESLTFYLLDDHTEAALQEACNLLPEISGPSTHPKIAQVLLERKNPETALMVLRWSGHDGSQMVSLNDAVTAVRIRVQCALLTEAFMHQRMLCTKVRENKFKARPPRDASDDLKGECRTWENWVEILVNEICYLCIKNNLVDRMISLPWNLDEEKYLHNCLLDYAFHDPSTTIGSLLVVFYLQRYRYVEAYHVHSKLQGVEQEFISKNSLSEEVLSRMRSASHHRGELAVQSIKLLPKIQQEQLKTGKLSPEIRNTSGEEVEIQERADLPLAQEPKSSSLLISLPADSSLVSQTNNNVTVKPAALKTPPRFGASIKSPHLEMGNCDSSSVLHQRLFRTPERTQKYQVSFNKNFKFDGISTPGIHQGSHMKTTPLKETSRTSLEVLPNSNLHHSLFDEISPEREQNGFPKQLRNTTPPYSHRITANPVAMSGSNNGLPNDKNDRSRNKGSIGDPKDIAWSDREEFIVDEREVNDGLRWRSDETSDEEEEHIPERIVGVDSYAATSRRVRKSRFARR >Potri.004G215600.1.v4.1 pep chromosome:Pop_tri_v4:4:22157110:22160032:1 gene:Potri.004G215600.v4.1 transcript:Potri.004G215600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G215600.v4.1 MGDPWEFAVHAINGRWFSVFASFLIMAGAGATYLFGTYSKDIKATLGYDQTTLNLLGFFKDLGANVGVFSGLLAEVTPTWFVLLVGSAMNFAGYFMIWLAVTQKIARPAVWQMCLYICIGANSQNFANTGALVTCVKNFPESRGVMLGLLKGFVGLSGAILTQFYLAIYGTDSKSLILLIGWLPAALSVIFVYTVRERKPERQPNELRVFYHFLYVSIVLALFLMAMNIVEKQVDFSKAAYAGSAAVVCAMLFVPLIIAIREDWVQWNLKNQDGMKPATETTVDRALDIAPEVKSEVSKDKEEKAKESCFVSICHKPERGEDYTILQALLSMDMLILFAATFCGLGGSLTAVDNLGQIGESLGYPTKTIKSFVSLVSIWNYFGRVFSGFVSESLLVKYKMPRPLMMTFVLLLACVGHLLIAFPFPGSVYVASVIMGFAFGAQLPLLFAIISELFGLKYYSTLFNCGQLASPLGSYILNVKITGHLYDHEALKELAKKGMNRSSVKELICMGVQCYRVPFIILSSVTLFGALISLVLVMRTRKFYSSDIYKKFREIHGVS >Potri.010G228883.1.v4.1 pep chromosome:Pop_tri_v4:10:21176448:21178015:1 gene:Potri.010G228883.v4.1 transcript:Potri.010G228883.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228883.v4.1 MDQPKTSPINPSSSADNELSKDGAWVSQATGNQTSSWGAVAGDSWNKAASNFGSTSGASVGWGKATLPNEDLAGSSRGTGDNWGRGNLRAENSLIDSAVAWDKGKTVSGNQTSSWGDAATGKNQVDSWGKCNDAIGAGSWEKKKRSGTGEDCWSNKSTGWNQQKSQDGGDTWGEAAENQEKGTAQNDSWGEAGEKWESKNSSEKPTEAWGKAGGGSTQTETEDVNKGSGWMKAEVDSATQTANWSPGKKFSEDASGWNKDGSSNQNQIDSWNKPKPSGADRGSWNKQGESSWGKQEGGSSWNIPDRDQEFGGWNKESDGGRGSGGRRGRGGGHGGRDHFGRGRSFGDGQSSGWKAGEENSTAYDQGGGWGKSKGFKESRDDGWKPISSGGDSGSGWNKNWGADKEIS >Potri.006G059700.2.v4.1 pep chromosome:Pop_tri_v4:6:4213961:4224608:-1 gene:Potri.006G059700.v4.1 transcript:Potri.006G059700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G059700.v4.1 MGSNVADKIPKAGEAEGSETNIEIKIKTLDSQTYTLRVDKQMPVPALKEQIASVTGVLSEQQRLICRGKVLKDDQLLSAYHVEDGHTLHMVVRQPIPQSSEGLSNHPGNDPASGSSRHTGQVAPSVVIETFSVPDQGDGVPPEISRIVSAVLGSFGFSNIEGGSEGVDVVRERGMSRTSAAGGSTDTSQLQSEQTGTRGLSDRAQNIFGLPSAVSLGSMNPPVIPDSLTTLSQYLSHMRREFDAIGRVGENNAQADAARRTEQIDSNSMSQSGTGQERLPTPASLAEVIRSSRQLLTEQVAECLLQLAMQLENQADITDPAVRHTTQSSALRTGVQLHNLGALLLELGRTIMTLRLGQAPSEAIVNAGPAIFINQSGPNPLMVQPLPFQPGTSFGAIPVGSRQPGSGLANSTGTGTGILPRRIDIQIRRGSSTATPNNREDRGQTQQTAGLRNPPTISGGENPSNQTTSRVSEGSSFAGEAVRVVPLRTVVAAVPGTFGRLPSDSSGNSIGLYYPVLGRFQHVASGHGNGGQGSQASGEHHAAGVQTDQPPTLEPAVHRQNTEQRTRDGSLPPNSRQEPSISRSISINILSASGSQNNLDSEQPIPSGIMQLLRTILPGDVHMEDASSRLTTTSSVLETAEPSTATAEAEAEVEPRATDEGIFLSNLLREIMPLISQSGGAEPNDIPPVEACSSGHQRPQDSSTNAENSGVGTSHRYSDTEPSPPNSKRQKTE >Potri.010G056900.6.v4.1 pep chromosome:Pop_tri_v4:10:8771398:8775714:1 gene:Potri.010G056900.v4.1 transcript:Potri.010G056900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056900.v4.1 MAAGRHGGYHDNEFKDQESDFELLKEDFAYSKDQYDRIGNGNAENDRGQVHHLRDSARVRQKDIKETGVTNGGYRSSSSRSDSGSSGGGGALRSRRCGFSVRATDKEPGELSSESGSDDAIDPESHAHKGSEVLKVTPVEKKRKFSPVVWDRDDKATSSLSKSWSSPAAAALPPPPPLPKAYRQSPNVIPDGGVEISPVISSKNLTLQSSSPLKGSVVKGSAGNSASNSPVELAFSLKGSVVKGSVGNSAPESSIELASSPVEEQWGNDQEAQHIEDEDYAPMRNISSSRWADGNNSPVDEGEIVEDQEVPKRRKKMPHLESLDFRVRNKSSTPDPGDLRREGSDAARGRSSESDERGTCARSSSGDDHPGNDSGRDDYMEIDDEHDNNDSDTDPEDEDENDSHETPEPAGPPQRSINMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMRQPFSQSEVKCLMLQLLEGTKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKDPLFNGKTEVDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKQQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHDWFREVPLPKSKDFMPTFPAQHAQDRRLRRMMKSPDPLEEQRRKELQQGELGTGGLFG >Potri.010G056900.4.v4.1 pep chromosome:Pop_tri_v4:10:8771387:8776118:1 gene:Potri.010G056900.v4.1 transcript:Potri.010G056900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056900.v4.1 MAAGRHGGYHDNEFKDQESDFELLKEDFAYSKDQYDRIGNGNAENDRGQVHHLRDSARVRQKDIKETGVTNGGYRSSSSRSDSGSSGGGGALRSRRCGFSVRATDKEPGELSSESGSDDAIDPESHAHKGSEVLKVTPVEKKRKFSPVVWDRDDKATSSLSKSWSSPAAAALPPPPPLPKAYRQSPNVIPDGGVEISPVISSKNLTLQSSSPLKGSVVKGSAGNSASNSPVELAFSLKGSVVKGSVGNSAPESSIELASSPVEEQWGNDQEAQHIEDEDYAPMRNISSSRWADGNNSPVDEGEIVEDQEVPKRRKKMPHLESLDFRVRNKSSTPDPGDLRREGSDAARGRSSESDERGTCARSSSGDDHPGNDSGRDDYMEIDDEHDNNDSDTDPEDEDENDSHETPEPAGPPQRSINMLQGCRSVDEFERLNKIDEGTYGVVYRARDKKTGEIVALKKVKMEKEREGFPLTSLREINILLSFHHPSIVDVKEVVVGSNLDSIFMVMEYMEHDLKGLMESMRQPFSQSEVKCLMLQLLEGTKYLHDNWVLHRDLKTSNLLLNNRGELKICDFGLARQYGSPLKPYTHLVVTLWYRAPELLLGAKQYSTAIDMWSLGCIMAELLSKDPLFNGKTEVDQLDKIFRILGTPNETIWPGFSKLPGVKVNFVKQQYNLLRKKFPATSFTGSPVLSDSGFDLLNKLLTYDPEKRITAEAALNHDWFREVPLPKSKDFMPTFPAQHAQDRRLRRMMKSPDPLEEQRRKELQQGELGTGGLFG >Potri.014G087400.3.v4.1 pep chromosome:Pop_tri_v4:14:5658915:5662687:1 gene:Potri.014G087400.v4.1 transcript:Potri.014G087400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087400.v4.1 MTVIDLVTRVDSICKKFDKYDVDKQKNLNAAGDDAFARLYAVVEADLDAVLQKSEAAKIEKSRATAVAMNAEIRRTKARLLEEIPKLQRLAFKQVKGLSKEEREVRSDLVAALKDRIEAVADGNISAAKQDGRFDDEYFQQTEESDRFRQEYEMRRVKQDQGLDVIAEGLDTLKNMAHDMNEEVDRQVPLMDEIDDKVDRAASDLKTTNVRLKDTINKMRSSRNFCIDIILLVIILGIAAYLYNVLK >Potri.014G087400.1.v4.1 pep chromosome:Pop_tri_v4:14:5658915:5662687:1 gene:Potri.014G087400.v4.1 transcript:Potri.014G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087400.v4.1 MTVIDLVTRVDSICKKFDKYDVDKQKNLNAAGDDAFARLYAVVEADLDAVLQKSEAAKIEKSRATAVAMNAEIRRTKARLLEEIPKLQRLAFKQVKGLSKEEREVRSDLVAALKDRIEAVADGNISAAKQGGDSAPSASHGGIKFDSTYDGRFDDEYFQQTEESDRFRQEYEMRRVKQDQGLDVIAEGLDTLKNMAHDMNEEVDRQVPLMDEIDDKVDRAASDLKTTNVRLKDTINKMRSSRNFCIDIILLVIILGIAAYLYNVLK >Potri.005G091400.1.v4.1 pep chromosome:Pop_tri_v4:5:6351671:6356007:-1 gene:Potri.005G091400.v4.1 transcript:Potri.005G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G091400.v4.1 MSRQAKRLVSSLSSKISSFSYSQSRSFTAAPPPPPAVFVDKNTRVICQGITGKNGTFHTEQAIEYGTKMVGGVTPKKGGTEHLGLPVFNSVSEAKAETKANASVIYVPPPFAAAAILEAVEAELDLVVCITEGIPQHDMVRVKAAIKKQTKTRLIGPNCPGIIKPGECKIGIMPGYIHKPGRVGIVSRSGTLTYEAVFQTTAVGLGQSTCVGIGGDPFNGTNFVDCVEKFLADPQTEGIVLIGEIGGTAEEDAATLIKESGTEKPVVAFIAGVTAPPGRRMGHAGAIVSGGKGTAQDKIKTLRDAGVIVVESPAKIGTAMLDVFKERGLVN >Potri.003G154800.1.v4.1 pep chromosome:Pop_tri_v4:3:16629416:16632021:-1 gene:Potri.003G154800.v4.1 transcript:Potri.003G154800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154800.v4.1 MPKCPPLITNSIFKALNNCLYPIPSKFPSLSMSSNFSALAIPSTKTIETEPQSHPLHRNASDREHGIEHDPPISDKIFKSGPKMGSYKLGDSTFYSLIDNYANLGDFKSLEKVLDRMRCEKRVVVEKCFVVIFKAYGKAHLPEKAVGLFDRMAYEFECKRTVKSFNSVLNVIIQEGLFYRALEFYNHVIGAKGVNISPNVLTFNLVIKTMCKVGLVDDAVQMFRDMPVSKCQPDVYTYCTLMDGLCKADRIDEAVSLLDEMQIDGCFPSPVTFNVLINGLCKKGDLARVAKLVDNMFLKGCAPNEVTYNTLIHGLCLKGKLEKAISLLDRMVSSKCVPNVVTYGTIINGLVKQGRALDGARVLALMEERGYHVNEYVYSALISGLFKEGKSQEAMQLFKEMTVKECELNTIVYSAVIDGLCRDGKPDEALEVLSEMTNNRCKPNAYTYSSLMKGFFEAGNGHKAIEMWKDMAKHNFTQNEVCYSVLIHGLCKDGKVKEAMMVWAQMLGKGCKPDVVAYGSMINGLSNAGLVEDALQLYNEMLCQEPDSQPDVVTYNILLNALCKQSSISRAIDLLNSMLDRGCDPDLVTCIIFLRTLREKLDPPQDGREFLDGLVVRLLKRQRVLGASKIVEVMLQKLLPPKPSTWTRVVEDLCNPKKVQAAIQKCWSILYC >Potri.003G154800.2.v4.1 pep chromosome:Pop_tri_v4:3:16629730:16631980:-1 gene:Potri.003G154800.v4.1 transcript:Potri.003G154800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154800.v4.1 MPKCPPLITNSIFKALNNCLYPIPSKFPSLSMSSNFSALAIPSTKTIETEPQSHPLHRNASDREHGIEHDPPISDKIFKSGPKMGSYKLGDSTFYSLIDNYANLGDFKSLEKVLDRMRCEKRVVVEKCFVVIFKAYGKAHLPEKAVGLFDRMAYEFECKRTVKSFNSVLNVIIQEGLFYRALEFYNHVIGAKGVNISPNVLTFNLVIKTMCKVGLVDDAVQMFRDMPVSKCQPDVYTYCTLMDGLCKADRIDEAVSLLDEMQIDGCFPSPVTFNVLINGLCKKGDLARVAKLVDNMFLKGCAPNEVTYNTLIHGLCLKGKLEKAISLLDRMVSSKCVPNVVTYGTIINGLVKQGRALDGARVLALMEERGYHVNEYVYSALISGLFKEGKSQEAMQLFKEMTVKECELNTIVYSAVIDGLCRDGKPDEALEVLSEMTNNRCKPNAYTYSSLMKGFFEAGNGHKAIEMWKDMAKHNFTQNEVCYSVLIHGLCKDGKVKEAMMVWAQMLGKGCKPDVVAYGSMINGLSNAGLVEDALQLYNEMLCQEPDSQPDVVTYNILLNALCKQSSISRAIDLLNSMLDRGCDPDLVTCIIFLRTLREKLDPPQDGREFLDGLVVRLLKRQRVLGASKIVEVMLQKLLPPKPSTWTRVVEDLCNPKKVQAAIQKCWSILYC >Potri.005G009201.1.v4.1 pep chromosome:Pop_tri_v4:5:773236:789143:-1 gene:Potri.005G009201.v4.1 transcript:Potri.005G009201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009201.v4.1 MKSFLQDAEEGGESDQGLRAWVKQVRDVAYDAEDILEEFMLRFAPSHGSGFIHYLRNSYRSIRKLSAWHRLAVQLQSIKARVKAISERRNAFSLNRIDMPSTSSATVEKWHDPRLAALYLDEADVVGIENPKHLLVSWLVEGEENLSSISVVGMGGLGKATLVKKVYDSHPIRRSFDTHSWVTVSKSFASTELLRVALQGFLVTANEPVPDNLQSMTDFQLIDALRNYLWRRRYVIVLDDVWNVNAWETIKYAFPDCNCGSRIIFTTRLSNLAESIERTLVMFMNSKLY >Potri.005G223000.1.v4.1 pep chromosome:Pop_tri_v4:5:22439869:22441590:1 gene:Potri.005G223000.v4.1 transcript:Potri.005G223000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G223000.v4.1 MEKLISNNNQVQIRSLPEKYIFPPEKRPGKLPVSACKFVPVIDLEETAGDNRAVISQKILKASQEFGFFQVVNHGVPEDLMKDTMRMFKEFFELPAEDKAIFYTEDARSKKHCKLYPSSLIYATEDVHLWRDNLKHDCHPLEKCILDWPVKPTRYRQVVGSYAAEVTKLVPRILELICEGLGLESGYFEGKLGEVTSLSVNHYPPCPDPSLALGLSKHCDPNLITILLQDDVCGLQIFKDNEWIGVDPVPNAFVVNIGYQLQIMSNNKLKSVEHRAVTNSRTARTSAAFFFNPSDDIMIAPAKALINASNPPVFRACQYIEFLRNYMTRNGSDIDDALEHFKLRA >Potri.004G067900.1.v4.1 pep chromosome:Pop_tri_v4:4:5774274:5775332:-1 gene:Potri.004G067900.v4.1 transcript:Potri.004G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067900.v4.1 MRSTLLVLSSFLVFALASTRPSIAGDLDATEAVLDTDGEKLRAGTEYYILPVFRGRGGGLTMASTRDETCPLDVVQDPLEISKGLPLTFTPVNPKKGVIRVSTDLNIKFSASSICVQSTVWKIQKSVNSEIQWFVTTGGVEGNPGIETITNWFKIEKAGDDYKLVFCPTVCDCGALCRDVGIYIHDNGVRTLSLSDALQPFLVNFKKVSSSSSSF >Potri.001G258400.2.v4.1 pep chromosome:Pop_tri_v4:1:27380941:27383670:1 gene:Potri.001G258400.v4.1 transcript:Potri.001G258400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G258400.v4.1 MCQWPNQSKKSQTMTPLSPMESNSFTEDMSYSIDTEHSFSSLLEFAAGNDVEGFRRSVFDESEVKQVGLWYGRHGGSRKMVLEQRTPLMIAAKYGSVDIVKLILSLPEVDINFCCGPDKSTALHCAVSGGSVNAISVVKLLLLAGADTNAFDANGCRPIDVIVAPSKFPHLKIALEELLKNGSVCQWDMMPVSCPSLRSSSPSLSSLIDEGSSSSPSGSILSPVTRMPNDVHSSAKKGYPVDPTIPDIKNSVYASDEFRMFSFKIQRCSRAYAHDWTECPFVHPGENARRRDPRKFHYSCAPCPGHRNGTCRRGDLCEYAHGIFESWLHPTQYKTRLCKEGTNCMRRVCFFAHTSNELRSLNMSTGAASSKVDVMDFTTASKLLPSSPSAVSSTSPSTFNPLKHLSSNSSHPSVPWPQQTIPNLHSSLQASRLRSSLNARDISSEELNGLRDFAFQQHLPLNEPSSFSQLQYNGSYTDLFSPSNTLNHSNLDKIFYANVSSPQHPEQLGGVASVFSPTYSSAALNQQQQHQKSKASRIQGISSYINYPVSSLGSQLSAHVRQEKMLQQLQSSLLSQKFSSKPSYDLGSNGTNSGSIWKSENRNVDRFIQADEMGQPRTSCSIEHVGEEPDVSWVHSMLKDSPSETNEATAIPVSAILDGSTSNPHIESSDYVALQAWLDGLQLDQNVA >Potri.014G165600.4.v4.1 pep chromosome:Pop_tri_v4:14:12013054:12016712:-1 gene:Potri.014G165600.v4.1 transcript:Potri.014G165600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165600.v4.1 MEPNTEEAVMAKEIAEKRFAERDFTGAKNYALKAKTLCPGLEGISQMVATFEVYIASQAKCNGEIDYFSVLGLKPSADKDAVKRQYRKMAVLLHPDKNKTVGADGAFKLVSEAWTMLSDSLKKNSYDVKRNKKMASCVVQTNLSSVHAAGVTGYSHCSNSPTAHGLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGAAPVSGSFPYCPWSYVPGNGHRSHGYDGVAYVPTTSTLYSGNGVSGLHTGHGYEYVSNLSFQWSSFSGTPGSVVGPNGSCALSADTVYQANGSASAAKVKPAANGRRSMKTATAKINSDVSASCNESSGSKTGRPDKKRKVAVGSGFRNGCEEKEPKSGSEVGLANGYKNVEHDAKLSSPIEVPTRHSSIAPAFDARKLLIDKARTDIRKKLEEMRLASAAAVKENMEDQSTEAGEAPKQANSDVAGHQTKSNKIGPISITVPDPDFHDFDKDRAEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILITYLNSKTDGEFGAVNWIDSGFTKSCGHFRAQNSDVVDQVNIFSHVLKGEKAGRGGCVRIYPKSGDVWAVYRNWSPDWNISTPDDVRHQYEMVEVLDKYSEELGVCVAPLNKLAGFKTVYQRNAGKDAMRWIPRREMVRFSHQVPSWSLEGEASNLPGKCWDLDPAATPDELLHAATEAKA >Potri.014G165600.5.v4.1 pep chromosome:Pop_tri_v4:14:12013059:12016687:-1 gene:Potri.014G165600.v4.1 transcript:Potri.014G165600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165600.v4.1 MEPNTEEAVMAKEIAEKRFAERDFTGAKNYALKAKTLCPGLEGISQMVATFEVYIASQAKCNGEIDYFSVLGLKPSADKDAVKRQYRKMAVLLHPDKNKTVGADGAFKLVSEAWTMLSDSLKKNSYDVKRNKKMASCVVQTNLSSVHAAGVTGYSHCSNSPTAHGLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGAAPVSGSFPYCPWSYVPGNGHRSHGYDGVAYVPTTSTLYSGNGVSGLHTGHGYEYVSNLSFQWSSFSGTPGSVVGPNGSCALSADTVYQANGSASAAKVKPAANGRRSMKTATAKINSDVSASCNESSGSKTGRPDKKRKVAVGSGFRNGCEEKEPKSGSEVGLANGYKNVEHDAKLSSPIEVPTRHSSIAPAFDARKLLIDKARTDIRKKLEEMRLASAAAVKENMEDQSTEAGEAPKQANSDVAGHQTKSNKIGPISITVPDPDFHDFDKDRAEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILITYLNSKTDGEFGAVNWIDSGFTKSCGHFRAQNSDVVDQVNIFSHVLKGEKAGRGGCVRIYPKSGDVWAVYRNWSPDWNISTPDDVRHQYEMVEVLDKYSEELGVCVAPLNKLAGFKTVYQRNAGKDAMRWIPRREMVRFSHQVPSWSLEGEASNLPGKCWDLDPAATPDELLHAATEAKA >Potri.014G165600.7.v4.1 pep chromosome:Pop_tri_v4:14:12013059:12016720:-1 gene:Potri.014G165600.v4.1 transcript:Potri.014G165600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165600.v4.1 MEPNTEEAVMAKEIAEKRFAERDFTGAKNYALKAKTLCPGLEGISQMVATFEVYIASQAKCNGEIDYFSVLGLKPSADKDAVKRQYRKMAVLLHPDKNKTVGADGAFKLVSEAWTMLSDSLKKNSYDVKRNKKMASCVVQTNLSSVHAAGVTGYSHCSNSPTAHGLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGAAPVSGSFPYCPWSYVPGNGHRSHGYDGVAYVPTTSTLYSGNGVSGLHTGHGYEYVSNLSFQWSSFSGTPGSVVGPNGSCALSADTVYQANGSASAAKVKPAANGRRSMKTATAKINSDVSASCNESSGSKTGRPDKKRKVAVGSGFRNGCEEKEPKSGSEVGLANGYKNVEHDAKLSSPIEVPTRHSSIAPAFDARKLLIDKARTDIRKKLEEMRLASAAAVKENMEDQSTEAGEAPKQANSDVAGHQTKSNKIGPISITVPDPDFHDFDKDRAEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILITYLNSKTDGEFGAVNWIDSGFTKSCGHFRAQNSDVVDQVNIFSHVLKGEKAGRGGCVRIYPKSGDVWAVYRNWSPDWNISTPDDVRHQYEMVEVLDKYSEELGVCVAPLNKLAGFKTVYQRNAGKDAMRWIPRREMVRFSHQVPSWSLEGEASNLPGKCWDLDPAATPDELLHAATEAKA >Potri.014G165600.6.v4.1 pep chromosome:Pop_tri_v4:14:12012871:12016668:-1 gene:Potri.014G165600.v4.1 transcript:Potri.014G165600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165600.v4.1 MEPNTEEAVMAKEIAEKRFAERDFTGAKNYALKAKTLCPGLEGISQMVATFEVYIASQAKCNGEIDYFSVLGLKPSADKDAVKRQYRKMAVLLHPDKNKTVGADGAFKLVSEAWTMLSDSLKKNSYDVKRNKKMASCVVQTNLSSVHAAGVTGYSHCSNSPTAHGLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGAAPVSGSFPYCPWSYVPGNGHRSHGYDGVAYVPTTSTLYSGNGVSGLHTGHGYEYVSNLSFQWSSFSGTPGSVVGPNGSCALSADTVYQANGSASAAKVKPAANGRRSMKTATAKINSDVSASCNESSGSKTGRPDKKRKVAVGSGFRNGCEEKEPKSGSEVGLANGYKNVEHDAKLSSPIEVPTRHSSIAPAFDARKLLIDKARTDIRKKLEEMRLASAAAVKENMEDQSTEAGEAPKQANSDVAGHQTKSNKIGPISITVPDPDFHDFDKDRAEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILITYLNSKTDGEFGAVNWIDSGFTKSCGHFRAQNSDVVDQVNIFSHVLKGEKAGRGGCVRIYPKSGDVWAVYRNWSPDWNISTPDDVRHQYEMVEVLDKYSEELGVCVAPLNKLAGFKTVYQRNAGKDAMRWIPRREMVRFSHQVPSWSLEGEASNLPGKCWDLDPAATPDELLHAATEAKA >Potri.014G165600.8.v4.1 pep chromosome:Pop_tri_v4:14:12013065:12016668:-1 gene:Potri.014G165600.v4.1 transcript:Potri.014G165600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165600.v4.1 MEPNTEEAVMAKEIAEKRFAERDFTGAKNYALKAKTLCPGLEGISQMVATFEVYIASQAKCNGEIDYFSVLGLKPSADKDAVKRQYRKMAVLLHPDKNKTVGADGAFKLVSEAWTMLSDSLKKNSYDVKRNKKMASCVVQTNLSSVHAAGVTGYSHCSNSPTAHGLDTFWTVCTSCKVQYEYLRKYVNKRLSCKNCRGTFIAVETGAAPVSGSFPYCPWSYVPGNGHRSHGYDGVAYVPTTSTLYSGNGVSGLHTGHGYEYVSNLSFQWSSFSGTPGSVVGPNGSCALSADTVYQANGSASAAKVKPAANGRRSMKTATAKINSDVSASCNESSGSKTGRPDKKRKVAVGSGFRNGCEEKEPKSGSEVGLANGYKNVEHDAKLSSPIEVPTRHSSIAPAFDARKLLIDKARTDIRKKLEEMRLASAAAVKENMEDQSTEAGEAPKQANSDVAGHQTKSNKIGPISITVPDPDFHDFDKDRAEECFKPKQIWALYDEDDGMPRLYCLIRQVVSVKPFKILITYLNSKTDGEFGAVNWIDSGFTKSCGHFRAQNSDVVDQVNIFSHVLKGEKAGRGGCVRIYPKSGDVWAVYRNWSPDWNISTPDDVRHQYEMVEVLDKYSEELGVCVAPLNKLAGFKTVYQRNAGKDAMRWIPRREMVRFSHQVPSWSLEGEASNLPGKCWDLDPAATPDELLHAATEAKA >Potri.014G108800.1.v4.1 pep chromosome:Pop_tri_v4:14:7297623:7298372:-1 gene:Potri.014G108800.v4.1 transcript:Potri.014G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108800.v4.1 MATSKILAPLCLMVLVFGLCLSMVESQSYGVCEGFDPEAPRCAVRCSVPDYVCGTDGVTYTCGCKDAFCNGVDVVKKGKC >Potri.004G063600.3.v4.1 pep chromosome:Pop_tri_v4:4:5387432:5390776:1 gene:Potri.004G063600.v4.1 transcript:Potri.004G063600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G063600.v4.1 MAVTVSFLSSTVTLLAPNESRPEEESGTGSKITVMPVIVASAIVLFFLVMGIICWKFYFQDKYKRERGTLKSTIWALINGHRNALTVASGGGVCAPKPELQDDLMGLDLKTGSFTLRQLGAATNNFDSANKIGEGGFGSVYKGELSDGTVIAVKQLSPKSRQGNREFVNEIGMISGLKHPNLVKLYGCCIEGDQLLLVYEYMENNSLARALFGAETCALMLDWPTRFKICVGIARGLAFLHEGSVIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLSEAENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYNPTNESVCLLDWAFVLQKRGNLMALVDPKLRSEFNKEEAEKMMKVALLCANASPSLRPSMPAVVSMLEGQTSIQEVISDPSIYGDGSQFKHLKYDHFQQVSDQSCSTQDHVFSSDKTWNVSTSTSAPDLYPPSPESMY >Potri.007G071300.4.v4.1 pep chromosome:Pop_tri_v4:7:9316463:9320314:1 gene:Potri.007G071300.v4.1 transcript:Potri.007G071300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071300.v4.1 MASLTSPTFTTNTRCLQRPQNSHSPSQQPRLSQRPELNRRHFISQTASLSSLPLLSPLILNPQQANAAAEDSLSEWERVYLPIDPGVVLLDIAFVPDDTNHGFLLGTRQTIMETKDGGNTWVQRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTADSGETWERIPLSAQLPGDMVYIKATGEKSAEMVTDEGAIYITSNRGYNWRAAVQESVSATLNRTVSSGISGASYYTGTFNTVNRSPNGNYVAVSSRGNFYLTWEPGQAFWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLYLSKGTGISEEFEEIPVQSRGFGILDVGYRSKEEAWAAGGSGVLLRTTNGGKTWTRDKAADNIAANLYSVKFIDDKKGFVLGNDGVLLRYLG >Potri.007G071300.5.v4.1 pep chromosome:Pop_tri_v4:7:9316439:9320351:1 gene:Potri.007G071300.v4.1 transcript:Potri.007G071300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071300.v4.1 MASLTSPTFTTNTRCLQRPQNSHSPSQQPRLSQRPELNRRHFISQTASLSSLPLLSPLILNPQQANAAAEDSLSEWERVYLPIDPGVVLLDIAFVPDDTNHGFLLGTRQTIMETKDGGNTWVQRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTADSGETWERIPLSAQLPGDMVYIKATGEKSAEMVTDEGAIYITSNRGYNWRAAVQESVSATLNRTVSSGISGASYYTGTFNTVNRSPNGNYVAVSSRGNFYLTWEPGQAFWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLYLSKGTGISEEFEEIPVQSRGFGILDVGYRSKEEAWAAGGSGVLLRTTNGGKTWTRDKAADNIAANLYSVKFIDDKKGFVLGNDGVLLRYLG >Potri.007G071300.3.v4.1 pep chromosome:Pop_tri_v4:7:9316444:9320496:1 gene:Potri.007G071300.v4.1 transcript:Potri.007G071300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071300.v4.1 MASLTSPTFTTNTRCLQRPQNSHSPSQQPRLSQRPELNRRHFISQTASLSSLPLLSPLILNPQQANAAAEDSLSEWERVYLPIDPGVVLLDIAFVPDDTNHGFLLGTRQTIMETKDGGNTWVQRSIPSAEDEDFNYRFNSISFKGKEGWIVGKPAILLYTADSGETWERIPLSAQLPGDMVYIKATGEKSAEMVTDEGAIYITSNRGYNWRAAVQESVSATLNRTVSSGISGASYYTGTFNTVNRSPNGNYVAVSSRGNFYLTWEPGQAFWQPHNRAIARRIQNMGWRADGGLWLLVRGGGLYLSKGTGISEEFEEIPVQSRGFGILDVGYRSKEEAWAAGGSGVLLRTTNGGKTWTRDKAADNIAANLYSVKFIDDKKGFVLGNDGVLLRYLG >Potri.002G159500.1.v4.1 pep chromosome:Pop_tri_v4:2:12156505:12157999:-1 gene:Potri.002G159500.v4.1 transcript:Potri.002G159500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G159500.v4.1 MPECHSAELPILDISQPLQPSALASLAEACQEWGFFRISNHGISKELYNKLYSLSQQLFGLPAETKLELGPSSSINTYTPHFIASPFFECLRVFGPNFFASAQSSADTLFGQQSFEFRAALQEYGTKMTELSKRIVEILSMSLGEGFDNKYYESEFKNCHGYLRIINYNPPKGLVDEVEGLGMHTDMSCVTIVYQDEVGGLQVKSREGKWMDISPGEETLVVNIGDLLQAWSNDKLRSSEHRIVLKKPVNRLSLAFFWCFEDEKVIMAPNEVVGEGNARIYEPFVCSDYLKFRESSERGKFEKVGFTVKDFAGISL >Potri.001G133000.3.v4.1 pep chromosome:Pop_tri_v4:1:10827546:10829924:-1 gene:Potri.001G133000.v4.1 transcript:Potri.001G133000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133000.v4.1 MTQEIWVVPFFGQGHLLPSMELCKHVASRNFRTTLIIPSNFSSAVPSSIHQYPLLEIAELPSSPPPLQQHPGPDPLLPPHKHDNQMAQSLENLISTRSLNPVSRQPACVIVDVMMSWTAEVFAKFEVPTIGFFTSGACSAAMEYAMWKAHLDDLKPGEIRLLQGLPEEMALTHSDLKSRPHRPPGGRGGPPGLGGPPGPMGGPPGPMGGFPGPPGDVGPSKMMGPPKPGAPPPWLEEVKGSIAYMINTCDDLEHPFIQYLVDQVKKPVWDIGPLLPELYWKSAGSLLHDHEIRTSRGSNVTEEEVIAWLDSKPPGSAVYVSFGSEVGLEMEENRHLANALEALNRPFIWVIQPGSGRPGPPPGLPSADTEEDYFPHDLDKRVGQRGMIIRGWAPQLLILSHPSVGGFLSHCGWNSTMEAIGRGIPILAWPIRGDQDYNAKLIVKHLKVGCMISDDFSQSIKKDDIIKGIESLMRDEDVKKRAALLSAKFKHGFPASSVDSLDAFRDFINQKGSRLSSAT >Potri.001G133000.4.v4.1 pep chromosome:Pop_tri_v4:1:10827529:10829716:-1 gene:Potri.001G133000.v4.1 transcript:Potri.001G133000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133000.v4.1 MTQEIWVVPFFGQGHLLPSMELCKHVASRNFRTTLIIPSNFSSAVPSSIHQYPLLEIAELPSSPPPLQQHPGPDPLLPPHKHDNQMAQSLENLISTRSLNPVSRQPACVIVDVMMSWTAEVFAKFEVPTIGFFTSGACSAAMEYAMWKAHLDDLKPGEIRLLQGLPEEMALTHSDLKSRPHRPPGGRGGPPGLGGPPGPMGGPPGPMGGFPGPPGDVGPSKMMGPPKPGAPPPWLEEVKGSIAYMINTCDDLEHPFIQYLVDQVKKPVWDIGPLLPELYWKSAGSLLHDHEIRTSRGSNVTEEEVIAWLDSKPPGSAVYVSFGSEVGLEMEENRHLANALEALNRPFIWVIQPGSGRPGPPPGLPSADTEEDYFPHDLDKRVGQRGMIIRGWAPQLLILSHPSVGGFLSHCGWNSTMEAIGRGIPILAWPIRGDQDYNAKLIVKHLKVGCMISDDFSQSIKKDDIIKGIESLMRDEDVKKRAALLSAKFKHGFPASSVDSLDAFRDFINQKGSRLSSAT >Potri.015G132900.1.v4.1 pep chromosome:Pop_tri_v4:15:14246305:14247982:1 gene:Potri.015G132900.v4.1 transcript:Potri.015G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132900.v4.1 MRRAHCTFLLCFAFVFAVLSKADQPINKFQPHVHKAFTPGLIQQTVGSSCAYTVVISTSCLSPKYTTDQISIIFGDAFGNQVYDSKLVNPFTITFEQCSTNTFQVTGSCSLEICYLYFYRNGTVGWIPQSVEIYGSFSTPAVFFFNSTTVPEGEWYGINKCQNFPSAPPPSSALRLQIIPGWFLYLILGILATSIFLSY >Potri.008G088100.1.v4.1 pep chromosome:Pop_tri_v4:8:5501975:5502253:-1 gene:Potri.008G088100.v4.1 transcript:Potri.008G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088100.v4.1 MAVRAPGILTLSMDRNLGPKLDYSVREIKGDLEEFKKFPQFFSFSLERKIKPRHRMLVEYGLKMPLSRMLKVNEGEFNARLFEMLLRMVEGR >Potri.005G103800.1.v4.1 pep chromosome:Pop_tri_v4:5:7528442:7529645:1 gene:Potri.005G103800.v4.1 transcript:Potri.005G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G103800.v4.1 MLKIVTMAAFSPKSTPPYNVRSVSFPARSHPSVAKLEQELNKISSWQVPTSLKAETVLCRLSSLGEIYRCVEDLLNLPMTQQALLQHRNEKLMNDMLDDLMRYLDVSGKTRDAAVLMKESIRDIQSALRRSKAGGELSIESNVNAYFCARKKMKKEVAKSLASLKHADNIFGDSPLFNASDHLLSAIVRVLREASLVTVSIFRSLLLFLSVPMLKPGPSKWYLVSKLVHKGVVTCEGQQENLNELESVDAALSSLVVPNSGKDFEARDIHSVQKRLGILDTSIEEIENELDSLFRHLIHARVSLLNILSQ >Potri.015G068600.2.v4.1 pep chromosome:Pop_tri_v4:15:9418575:9435698:1 gene:Potri.015G068600.v4.1 transcript:Potri.015G068600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068600.v4.1 MELQNSVKEALNALYHHPDDVFRMEADRWLQNFQRTIDAWQVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLRSSLNTLLKKFHRGPPKVRTQISIAVAALAVQVPPEDWGDGGIVNWLKDEMTSHPEYIPGFLELLTVLPEEVFNYKIAARPERRRQFENELTSQIEVALNILTACLKISELKEQVLEAFSSWIRLRHGIPGSVLACHPLVYTALSSLNSETLSEAVVNVISELIHYTTAGNSGGIPVQMPLIQVIVPQVMSLKEQLRDSSKDEEDVKAIARLFADMGDSYVELIATGSDESMIIVNALLEVASHPEYDIASMTFNFWHNLQHILTKRDSYTSFGNEVSIEVERSRRLQVFHSAYESLVSLVSFRVKYPQDYQTLSVEDLKEFKQTRYAVTDVLIDAASVLGGDATLRILYVKLYEARTCLGNGHNQWHPAEAALFCIRAISNYVSTVEAEVMPKIMSLLLELPHEPQLLQTVCLTIGAYSKWLDASSDGFPLLSSVIKVLLSGMSKSEDSAAAAAVAFRHICDDCRRKLCGYFDELFSIYHSAVIEGGSFKVSAEDSLHMVEAFSMVITELPADQAKQALEKLCLPVVTPLQEIISHGPEVLEKKPARELTVHIDRLAYIFRYVNHPEAVADAIQRLWPILKAIFDIRAWDMQTMESLCRACKYAVRTSGRFMGITIGDMLEEIQGLFQQHHQPCFLYLSSEVIKDFTARPDIADDCFLLASRCIRYCPQVFIPSTVFPSLVDCSMIGITVQHREASNSILTFLSDVFDLAKSTMGEQYLTIRDSVIIPRGVTITRILVASLTGALPSSRLETVTYALVALTRAYGASALEWARGSVSLIPSTAVTEVERINFCQALADAASGIDVNSLMAPIEELSDVCRRNRTVQEIVQGALRPLELNLVTVS >Potri.015G068600.1.v4.1 pep chromosome:Pop_tri_v4:15:9418539:9435708:1 gene:Potri.015G068600.v4.1 transcript:Potri.015G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068600.v4.1 MELQNSVKEALNALYHHPDDVFRMEADRWLQNFQRTIDAWQVADNLLHDATSNLETLIFCSQTLRSKVQRDFEELPSEAFRPLRSSLNTLLKKFHRGPPKVRTQISIAVAALAVQVPPEDWGDGGIVNWLKDEMTSHPEYIPGFLELLTVLPEEVFNYKIAARPERRRQFENELTSQIEVALNILTACLKISELKEQVLEAFSSWIRLRHGIPGSVLACHPLVYTALSSLNSETLSEAVVNVISELIHYTTAGNSGGIPVQMPLIQVIVPQVMSLKEQLRDSSKDEEDVKAIARLFADMGDSYVELIATGSDESMIIVNALLEVASHPEYDIASMTFNFWHNLQHILTKRDSYTSFGNEVSIEVERSRRLQVFHSAYESLVSLVSFRVKYPQDYQTLSVEDLKEFKQTRYAVTDVLIDAASVLGGDATLRILYVKLYEARTCLGNGHNQWHPAEAALFCIRAISNYVSTVEAEVMPKIMSLLLELPHEPQLLQTVCLTIGAYSKWLDASSDGFPLLSSVIKVLLSGMSKSEDSAAAAAVAFRHICDDCRRKLCGYFDELFSIYHSAVIEGGSFKVSAEDSLHMVEAFSMVITELPADQAKQALEKLCLPVVTPLQEIISHGPEVLEKKPARELTVHIDRLAYIFRYVNHPEAVADAIQRLWPILKAIFDIRAWDMQTMESLCRACKYAVRTSGRFMGITIGDMLEEIQGLFQQHHQPCFLYLSSEVIKIFGSDPSCAYYLKILIETLFKCTTCLLTNIKDFTARPDIADDCFLLASRCIRYCPQVFIPSTVFPSLVDCSMIGITVQHREASNSILTFLSDVFDLAKSTMGEQYLTIRDSVIIPRGVTITRILVASLTGALPSSRLETVTYALVALTRAYGASALEWARGSVSLIPSTAVTEVERINFCQALADAASGIDVNSLMAPIEELSDVCRRNRTVQEIVQGALRPLELNLVTVS >Potri.001G346900.1.v4.1 pep chromosome:Pop_tri_v4:1:35843768:35845775:-1 gene:Potri.001G346900.v4.1 transcript:Potri.001G346900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346900.v4.1 MEKSSRIKGAESVLNLEPNSSIAIGYHALFGSHDDLMLLEIDEKLLPDILHERVALRGQLDEDSVLCTQSKTYAIKFVGNSNSPFLIPPSGQFALCENSQDFDGEINDFAPVIKVAPGNMELVEVAPKLDRLKLLLSENPYSYEDVLEMDFMEDVEKNKARLYNWDDLVERVQASDEELRNGLCALSAVEIDGFWRIVDEKYMDMILRMLLHNSILNDWSLDALNEDDVVSVLVSDGFPDKLACHCLHVYGSKVDGDVGRSCVWRLDESRVCVHFARQILSTGKKKMETFMAEWLQRIPGRMQASFNMLEGEVLTEKLGVETWVYSFSVSSLPLTPAERFNMLFRERSKWEWKDLQPYIRDLKVPGLSSEGLLLKYTRRTQPTLDADPVFSSR >Potri.009G113500.1.v4.1 pep chromosome:Pop_tri_v4:9:9635890:9639798:-1 gene:Potri.009G113500.v4.1 transcript:Potri.009G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G113500.v4.1 MRSLRVGLIHHVRSIKSGFTLPILTSNQLIHLYSKHCLINEAQKLFDEMPRRNIYSWNTIISAHVKSQNLAQAKSIFDSASVRDLVTYNSMLSGYADVDGYERNALELFVEMHSKSNDIGVDDFTVTSMVKLFAKLSNLCYGRQLHSYMVKTGNDRSGFVVSSLIDMYSKCGCYKEACGIFRGSEKEGGIDLVSKNAMVAACCREGEMEMALGLFWRENELNDSVSWNTLISGYVQNGYPLEALKLFVCMGENGVKWNEHTFGSVLSACADLRNLKIGKEMHAWILKNGLSSSAFVESGIVDVYCKGGNMKYAESFHLTSGTGSSFSITSMIVGYSSQGNMVEACRLFDSLEEKNSIVWAALFGGYVKLNQCEAIFELLCLYIAKEAAIPDALILVSALSVCAFQAALGPGKQIHGYVYRMGIEMDIKMTTAMIDMYSKCGSIPYAEKMFLKVIERDLVLYNVMVAGYAHHGYEIKAINLFQEMLERGVGPDAITFVALLSACRHRGLVDLGERTFYSMTEDYLILPETDHYACMIDLYGRASQLEKMVLFMQRIPIEYQDAAVAGAFFNACRLNKNTELAREAEEKLLKIEGDSGARYVQLANAYAAEGNWAEMGRIRKEMRGKEAKKFAGCSWVYLDNGVHTFISGDRTHSKAVCIYSMLDFLTSELYEIAGVFC >Potri.017G095500.1.v4.1 pep chromosome:Pop_tri_v4:17:10818691:10819894:-1 gene:Potri.017G095500.v4.1 transcript:Potri.017G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G095500.v4.1 MKSLSLSALTLSSSSLTTMLLNTKCCGASISLPEMDGDVPLYSIADSNLDLEFMMDSEINRILVAPRRVGGGALNPHKPAFVTLNVPQCSSHLKQFSLLDH >Potri.014G068200.4.v4.1 pep chromosome:Pop_tri_v4:14:4309681:4313887:1 gene:Potri.014G068200.v4.1 transcript:Potri.014G068200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068200.v4.1 MASTSSLTLSQALLARAISHHATDQRRDSRLSLVSLPAFSGLKSTTSTASRATTTTNRRRRVSSRHVRAAAVETLDATTETSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKSFRQWGSRTPGHPENFETPGVEVTTGPLGQGVANAVGLALAEKHLAARFNKPDSEIVDHYTYAILGDGCQMEGISNEACSLAAHWGLGKLIAFYDDNHISIDGDTEIAFTEDVDKRFEGLGWHVIWVKNGNNGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRQNLGWPFEPFHVPEDVKQHWSRHIPAGAAFEAEWNTKFAEYEKKYSEEAAEFKSIMTGELPTGWEKALPTYTPESPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGSICNGIALHSPGLIPYCATFFVFTDYMRGAIRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNSKRPSILALSRQKLPQLPGTSIEGVEKGGYIISDNSSGNKPDVILMGTGSELEIAAKAAEELRKEGKAVRVVSFVSWELFDEQSVAYKESVLPAAVTARVSIEAGSTFGWQKLVGAKGKAIGIDRFGASAPAGKIYKEFGITAEAVIAAAKEVS >Potri.014G068200.2.v4.1 pep chromosome:Pop_tri_v4:14:4309727:4314048:1 gene:Potri.014G068200.v4.1 transcript:Potri.014G068200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068200.v4.1 MASTSSLTLSQALLARAISHHATDQRRDSRLSLVSLPAFSGLKSTTSTASRATTTTNRRRRVSSRHVRAAAVETLDATTETSLVEKSVNTIRFLAIDAVEKANSGHPGLPMGCAPMGHILYDEVMRYNPKNPYWFNRDRFVLSAGHGCMLQYALLHLAGYDSVKEEDLKSFRQWGSRTPGHPENFETPGVEVTTGPLGQGVANAVGLALAEKHLAARFNKPDSEIVDHYTYAILGDGCQMEGISNEACSLAAHWGLGKLIAFYDDNHISIDGDTEIAFTEDVDKRFEGLGWHVIWVKNGNNGYDEIRAAIKEAKAVKDKPTLIKVTTTIGYGSPNKANSYSVHGSALGAKEVDATRQNLGWPFEPFHVPEDVKQHWSRHIPAGAAFEAEWNTKFAEYEKKYSEEAAEFKSIMTGELPTGWEKALPTYTPESPADATRNLSQQNLNALAKVLPGLLGGSADLASSNMTLLKMFGDFQKDTPEERNVRFGVREHGMGSICNGIALHSPGLIPYCATFFVFTDYMRGAIRISALSEAGVIYVMTHDSIGLGEDGPTHQPIEHLASFRAMPNILMLRPADGNETAGAYKVAVLNSKRPSILALSRQKLPQLPGTSIEGVEKGGYIISDNSSGNKPDVILMGTGSELEIAAKAAEELRKEGKAVRVVSFVSWELFDEQSVAYKESVLPAAVTARVSIEAGSTFGWQKLVGAKGKAIGIDRFGASAPAGKIYKEFGITAEAVIAAAKEVS >Potri.013G024600.2.v4.1 pep chromosome:Pop_tri_v4:13:1571626:1576080:-1 gene:Potri.013G024600.v4.1 transcript:Potri.013G024600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024600.v4.1 MASPYRASDIRRGNSVPKPINPHQQVLEVAPLNSVPYIGPPAPFGDRVGSSTPGVQSQMHRVESPTQGDVDTKPAEAGSSMVFFPSQTTQKVLDDIMDSARNGIGLAGSAATGNVGPIVGAMDIGESDDAYLFRVSLPGVSRDEKDFSCDIDPDGTVFIKGVTTTGESTVCKHSQIFRMQTRNLCPPGHFSITFQLPGPVDHQQFKGNFGIDGMLEGIVKKR >Potri.008G056200.3.v4.1 pep chromosome:Pop_tri_v4:8:3308755:3310935:-1 gene:Potri.008G056200.v4.1 transcript:Potri.008G056200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G056200.v4.1 MAGQSDSNMSLFSPEEIEFMAEDEPVEIVPNLRMDSLNFICLAVALKKRGKCTIRPPQWMSIENLAQFLELERDSHAFQPLPFHYLEISRLLFDHAREDIPDMYMTNLEKFTASTVTWKNMSAMEVNIIRAFAGRALQAFYKHDNEQQATDTDRMLDKQPQIPTDRPKRNLKPR >Potri.012G039300.1.v4.1 pep chromosome:Pop_tri_v4:12:3499698:3504729:1 gene:Potri.012G039300.v4.1 transcript:Potri.012G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039300.v4.1 MSSTVRKLIQKKFKIRGYTLKIDALDQILSFTNRFLGAEDEALDLLLDHLQSQSQKLGVRSSILDKEPVSRAITALLEADDAVDEDFIANGAVSSSTSAIRVIDAFLIPKFRYDPIKKQFHEHTGGLHIHGDASAKAALYKDRFLLLYQRVLRDSHFSKPAFHTEMSHYGSCEIAPIQSLVGQMGRRWVMGVISQLEDGHFYLEDLTASVEIDLSKAKVTTGFLSENTIVVAEGEMLVNGIYQVITCGFPPLEERDKSLKSLAQHDFFGGGTLTKEETLRLAELEKRSLNDMFVILSDIWVDSEEAMGKLETVLDGFESQEVVPSLFVFMGNFCSHPCNLSFHSFSSLRSQFGKLGQMIGAHERLKEHSRFMFIPGPEDAGPSTVLPRCPLPKYLTEELQKHIPNAIFASNPCRVKFYTQEIVFFRHDLLYRMRRSCLMPPSTEETDDPFEHLVATITHQSHLCPLPLIVQPIIWNYDHCLHLYPSPHTIVLGDRSEQKAFKYTGITCFNPGSFSNDSTFVAYRPCTQEVELSAL >Potri.016G061100.1.v4.1 pep chromosome:Pop_tri_v4:16:4246007:4247362:1 gene:Potri.016G061100.v4.1 transcript:Potri.016G061100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061100.v4.1 MPAGHGLRSRTRDLFARPFRKKGYIPLTTYLRTYKIGDHVDVKVNGAVHKGMPHKFYHGRTGRVWNVTKRAIGVEVNKQVGNRIIRKRIHVRVEHLLPSRCTEEFRLRKKKNDQLKAEAKARGEVICTKRQPEGPKPGFMVEGATLETVTPIPYDVVNDLKGGY >Potri.017G142966.1.v4.1 pep chromosome:Pop_tri_v4:17:14339296:14340967:-1 gene:Potri.017G142966.v4.1 transcript:Potri.017G142966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142966.v4.1 MLPKNATRSSNDEVHNSHCITGTRKLSPRSLNADAAEVSTVIESANKNTSQKKNSTTSKTRGSLNKTIEKFKDDVRHRKSTLGNKDLLEGEGNDEDQGRRLKNAYNRARRLRMKQGEASRGRHKPMS >Potri.010G237200.2.v4.1 pep chromosome:Pop_tri_v4:10:21717077:21719498:-1 gene:Potri.010G237200.v4.1 transcript:Potri.010G237200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237200.v4.1 METLSPSLCTTTLASISKKLPIKASIFKLYNPKKNKNHIKTQSLSSSLISCVSLSTKATTTSTSTPLTSTKTNNHWIVLMETPPKGVNSKPEIIDYYVKTLERALGSEIDAQMCIYDACYDTHFGFCCDIDEDASLELARLPGVLSVRPDPDYNSVEKDYSSGVKLSTLSNPQIGSKLLFPSGNTKHWLVRIDKPGVGVVTKAQMVDYYAQILTKVMGYEKDAQMCIYHVSWQSNFGFCCELDEECAQELAGVPGVLSVLPDKDFESENKDYRGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFVKYTTEEAASSALKEMNGKIINGWMIVVDVAKSNPPRYSRGRPRQAA >Potri.010G237200.1.v4.1 pep chromosome:Pop_tri_v4:10:21716984:21719495:-1 gene:Potri.010G237200.v4.1 transcript:Potri.010G237200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237200.v4.1 METLSPSLCTTTLASISKKLPIKASIFKLYNPKKNKNHIKTQSLSSSLISCVSLSTKATTTSTSTPLTSTKTNNHWIVLMETPPKGVNSKPEIIDYYVKTLERALGSEIDAQMCIYDACYDTHFGFCCDIDEDASLELARLPGVLSVRPDPDYNSVEKDYSSGVKLSTLSNPQIGSKLLFPSGNTKHWLVRIDKPGVGVVTKAQMVDYYAQILTKVMGYEKDAQMCIYHVSWQSNFGFCCELDEECAQELAGVPGVLSVLPDKDFESENKDYRGDSLINSANPPDSSEASQITHVRTKKLFITGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFVKYTTEEAASSALKEMNGKIINGWMIVVDVAKSNPPRYSRGRPRQAA >Potri.012G135900.1.v4.1 pep chromosome:Pop_tri_v4:12:15016455:15017833:1 gene:Potri.012G135900.v4.1 transcript:Potri.012G135900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G135900.v4.1 MDSPTHHHHRTIEKIDKEQSITTANLSSQPELKDETLTDPEESQEFEEHNNNKRINNGSSSSSLVLHQVQRKQQQVVIAAKRNRKDRHTKVEGRGRRVRMPATCAARIFQLTRELGHKSEGETIRWLLEHAEPAIIAATGTGTVPAIAVSVNGTLKIPTETPARTTAEADIDADGLVPNKKRRRPCNSDFVDLAEAAHQNSVSSGLAPIASTSPQGLVPIWPIGTLLFPQGSSVGVGESNQAQFWAFPAASTTPFFNMAAKPISSLVSAMQPGVQSAGNVGVGFGGGMSPSNNIGSMSSSSSGSSTTSASTGGGGGGSQMLRDFSLEIYDKKELQYLGHPVNHDHQQAPCSESCILR >Potri.002G033900.1.v4.1 pep chromosome:Pop_tri_v4:2:2253413:2255775:1 gene:Potri.002G033900.v4.1 transcript:Potri.002G033900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033900.v4.1 MFRNQYDTDVTTWSPAGRLFQVEYAMEAVKQGSAAIGLRSKTHVVLACVNKANSELSSHQKKIFKVDDHIGVAIAGLTADGRVLSRYMRTECINYSFNYESPLPVGRLVVQLADKAQVCTQRSWKRPYGVGLLVGGTDESGAHLYYNCPSGNYFEYQAFAIGSRSQAAKTYLERRFENFMDSSRDDLIKDALIAVRETLQGETLKSSICTVAVVGVDEAFHILDQETVQQLINAFEIVGETEAGAAEEEGTAAEEGAAAHQDAAPMDI >Potri.007G129101.1.v4.1 pep chromosome:Pop_tri_v4:7:14388027:14389699:1 gene:Potri.007G129101.v4.1 transcript:Potri.007G129101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G129101.v4.1 MDIDEGIEIVESSVGKEMVENAGKDTVVEPHKGMEFESEDAAKVFYYEYARQIGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGNVRKPRMSAREGCKAIWFMLSLISLENGW >Potri.005G063200.1.v4.1 pep chromosome:Pop_tri_v4:5:4063632:4065571:1 gene:Potri.005G063200.v4.1 transcript:Potri.005G063200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063200.v4.1 MLRSKNQCLYMYIYVSIHILSCVYKKSDLSSVALKPIPSCSISLCLFIFLYLLKIFLVWGDFCHNFAVVLEYLCEFKLHVMEDFRGSSSSDSGRTSCHRGHWRPAEDEKLRQLVDQYGPHNWNFIAEHLQGRSGKSCRLRWYNQLDPNINKRPFTEEEEERLLRAHHIQGNRWASIARLFPGRTDNAVKNHYHVVMARRKRERCLTVHGKRSNFQIQYPSDHHHRNSSTNPGRNFGFFRPQGSPDFGSKLGFQNNIIERAGNKIPMSSTNSSPSWTNISASTITNDSLSFDVFDAKRKDYIHSSSSSIYPKGGPLALNESLHCTYHPSSTIDGGDKYLNVVGQQIPNAKKVVPNNHFAFTSYGDGYGSNKEKIIESQLVRLDSDHHDSAALWKLPMASQQEQGDHGGQVKNQDVAFIDFLGVGIS >Potri.005G063200.2.v4.1 pep chromosome:Pop_tri_v4:5:4063632:4065571:1 gene:Potri.005G063200.v4.1 transcript:Potri.005G063200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063200.v4.1 MLRSKNQCLYMYIYVSIHILSCVYKKSDLSSVALKPIPSCSISLCLFIFLYLLKIFLVWGDFCHNFAVVLEYLCEFKLHVMEDFRGSSSSDSGRTSCHRGHWRPAEDEKLRQLVDQYGPHNWNFIAEHLQGRSGKSCRLRWYNQLDPNINKRPFTEEEEERLLRAHHIQGNRWASIARLFPGRTDNAVKNHYHVVMARRKRERCLTVHGFQNNIIERAGNKIPMSSTNSSPSWTNISASTITNDSLSFDVFDAKRKDYIHSSSSSIYPKGGPLALNESLHCTYHPSSTIDGGDKYLNVVGQQIPNAKKVVPNNHFAFTSYGDGYGSNKEKIIESQLVRLDSDHHDSAALWKLPMASQQEQGDHGGQVKNQDVAFIDFLGVGIS >Potri.017G132000.1.v4.1 pep chromosome:Pop_tri_v4:17:13459335:13461430:1 gene:Potri.017G132000.v4.1 transcript:Potri.017G132000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G132000.v4.1 MESDMVMHYGGCHCRRVRWRVQAPSSVVALNCNCSICSMRASIQFIVPSEKFELLGDSKEFLTTYTFGTHTAKHTFCKFCGITSFYVPRANPDGFSITLRCVDPGTLTHVEIKNFDGQNWERSYNQAFASSSQGAGC >Potri.013G050700.2.v4.1 pep chromosome:Pop_tri_v4:13:3594626:3595587:1 gene:Potri.013G050700.v4.1 transcript:Potri.013G050700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G050700.v4.1 MITGGDSIIGRFVCYHFALEGATVAFTDMILKAKTTMKIIYKRVVDQVMSKYGQIDILVSSTVVQHYSTTLEKVTEVWLERLLRTNIYGHFFWTKDCLKHMKEGSWLLDYSSTKSAIVSITRALALKLINEGIGVNAEAPGPLWTPLQPASLPGRAGQPYEIAPSFVFLTSDDCSSYLTSGVIVNTSCC >Potri.003G116500.3.v4.1 pep chromosome:Pop_tri_v4:3:13844398:13849558:-1 gene:Potri.003G116500.v4.1 transcript:Potri.003G116500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G116500.v4.1 METTVSSNSGGAGVTVVASDAPSNYQIAPRSDSNPNSTPGSAPPAPPQAPPQAPPPHPSPAAATMPLKKKRGRPRKYGPDGSVTMALSPKPISSAAPAPSPPVIDFSVVKQKKIKPVSKAKFELENLGEWVACSVGANFTPHIITVNAGEDVTMKIISFSQQGPRAICVLSANGVISSVTLRQPDSSGGTLTYEGRFEILSLSGSFMPTETGGTRSRSGGMSVSLASPDGRVVGGGVAGLLVAASPVQVVVGSFLAGNQHEQKPKKQKHDSLSGVMPTAAIPISIADPKSNFSSPSFRGDSWSPLPPESRNKPADINLTLPAG >Potri.003G154150.1.v4.1 pep chromosome:Pop_tri_v4:3:16599993:16600364:1 gene:Potri.003G154150.v4.1 transcript:Potri.003G154150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154150.v4.1 MEGKDVFLRYCKILFATARRRCVSSRTSSEMELLGVRETSAGTPRCKGRNKTCRSSMWFEKTDSTRCPNCEKQPFPVW >Potri.003G154150.2.v4.1 pep chromosome:Pop_tri_v4:3:16599993:16600364:1 gene:Potri.003G154150.v4.1 transcript:Potri.003G154150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G154150.v4.1 MVVHISRKPSNIYIYLQVVYFLSIVHLQIFLLTSNSLCYSCFSNVQEGKDVFLRYCKILFATARRRCVSSRTSSEMELLGVRETSAGTPRCKGRNKTCRSSMWFEKTDSTRCPNCEKQPFPVW >Potri.001G397800.1.v4.1 pep chromosome:Pop_tri_v4:1:42332741:42335792:1 gene:Potri.001G397800.v4.1 transcript:Potri.001G397800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G397800.v4.1 MVGSGGADRSKEAVGMMALHEALRSVCLNSDWTYSVFWTIRPRPRVRGGNGCKVGDDNGSLMLMWEDGFCRGRVGDCLEEIDGEDPVRKAFSKMSIQLYNYGEGLMGKVASDKCHKWVFKEPTECEPNISNYWQSSFDALPSEWTDQFESGIQTIAVIQAGHGLLQLGSCKIIPEDLHFVLRMRHTFESLGYQSGFYLSQLFSSTRNTSSSSSIPTKQSAIPTRSTQPLFNWGQRPLPSAAPSLLSSQNFQNPAARLGFPQAKDEPHMFILPHSSETRMEEMMGEHENDIKWPNGLSFFNALTGRADDAKLLFNPESLGNKGDRNHHPHILEGKSPNPNSDASNMNNAGGMNPNEFLSLDCHPDSARKMENKFKRSFTLPARMTSSSSTSVDHHQHHPVEYRNPESGVYSDVMETFLE >Potri.016G070700.1.v4.1 pep chromosome:Pop_tri_v4:16:5167327:5170663:-1 gene:Potri.016G070700.v4.1 transcript:Potri.016G070700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070700.v4.1 MDMKPHPLLIQQQQQQQQPMQLQEPPAAASQNPNPPYSADAPPKQVALAMERLGQASRLIADVRLGADRLLEALFIAAVPHQSNKPLQLFVKEDASMRQHLQDLRSVGRQLEESGVLNETLRSRSNSWGLHLPVVCPDGAVVAYAWKRQLAGQAGASAVDRTRLALKAFTDQKRRFFPHLDEGQDVQSTEPASKKHCIPKDLLLNYQEELSDCKTLSDVLTCLEKEMLNLKVLTYERLDWFKRASSLPASASENPLDTSKDHGFHSLSKLRTVSQSAAATDKIAVIELFFPSVFRAIISLHPAGSIDPDAVAFFSPDEGGSYIHARGFSVHHVFRKISEHAAMALQHFLGVSSRTALYSIVHWICCYQTLFTKVCSKCGKLLAMDRKSMLLLPPVYRPYLHFSTLQIASTQTNSSAKDHGLENLGAFHIGCFTEEL >Potri.013G111900.1.v4.1 pep chromosome:Pop_tri_v4:13:12054256:12061208:1 gene:Potri.013G111900.v4.1 transcript:Potri.013G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111900.v4.1 MPVYNGVETTNGDSPDGPDRSKAPPPRDSRDLPSLMLSSCYPITLKFMEVGYRVKFENRNKGSNIKRILGHEPTICDQIQERTILNGITGMASPGEILAVLGPSGSGKSTFLNALAGRIQSNSFTGTILANNRKPTKQIMKRTGFVTQDDILYPHLTVRETLVFCSLLRLPKSLSKQEKTLVAESVISELGLTKCENTIIGNSFIRGISGGERKRVSIAHEMLINPSLLILDEPTSGLDATAAYRLVLTLGSLAQKGKTIVTSMHQPSSRVYQMFDSVMVLSEGRCLYFGKGSEAMSYFETTGYSPSFPMNPADFLLDLANGVFQTDGVSERDKPNVKQSLIASYNTLLAPKARAAFMETKENGIGSYNSKEHRSSDRISIDAWFNQFSILLQRSLKERKHESFNTLRVFQVIMAAVFAGLMWWHSDFRDVQDRLGLLFFMSIFWGVFPSSNSVFVFPQERAIFVKERASGMYTLSSYFMSRIVGDLPMELILPTIFLSVTYWMAGLKPELGAFLLTLLVLLGYVLVSQGLGLALGAAIMDAKQASTIVTITMLAFVLTGGFYVHKLPSCMAWIKYISTTFYSYKLLINVQYGEGKRLSSLLGCSLPHGSDRASCKFVEQDVAGQISPVVSVSVLIFMFVGYRLLAYLALRRIKA >Potri.006G148700.4.v4.1 pep chromosome:Pop_tri_v4:6:12756410:12763666:1 gene:Potri.006G148700.v4.1 transcript:Potri.006G148700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148700.v4.1 MARLLRNASSLRRALVAPEGIRRGVLGTSTQICNFATKGKRKSKSDGSESGEENMSKKELALQQALDQITSQFGKGAIMWFGRSEAPKNVPVVSTGSFALDIALGCGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVSKVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSQSQTILVFINQVRAKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGTQVQVKIVKNKLAPPFKTVQFELEFGKGISRESEIIELALKHKLIKKSGSFYDCNGKKYHGKEAFKEFLAHNDDVQEELMMKLREKLLEGETGQELGDESTDGEPTQEIISPDSTDEEVAAAEA >Potri.006G148700.1.v4.1 pep chromosome:Pop_tri_v4:6:12756410:12763744:1 gene:Potri.006G148700.v4.1 transcript:Potri.006G148700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148700.v4.1 MARLLRNASSLRRALVAPEGIRRGVLGTSTQICNFATKGKRKSKSDGSESGEENMSKKELALQQALDQITSQFGKGAIMWFGRSEAPKNVPVVSTGSFALDIALGCGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSQSQTILVFINQVRAKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGTQVQVKIVKNKLAPPFKTVQFELEFGKGISRESEIIELALKHKLIKKSGSFYDCNGKKYHGKEAFKEFLAHNDDVQEELMMKLREKLLEGETGQELGDESTDGEPTQEIISPDSTDEEVAAAEA >Potri.006G148700.5.v4.1 pep chromosome:Pop_tri_v4:6:12756393:12763667:1 gene:Potri.006G148700.v4.1 transcript:Potri.006G148700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148700.v4.1 MARLLRNASSLRRALVAPEGIRRGVLGTSTQICNFATKGKRKSKSDGSESGEENMSKKELALQQALDQITSQFGKGAIMWFGRSEAPKNVPVVSTGSFALDIALGCGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSQSQTILVFINQVRAKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGTQVQVKIVKNKLAPPFKTVQFELEFGKGISRESEIIELALKHKLIKKSGSFYDCNGKKYHGKEAFKEFLAHNDDVQEELMMKLREKLLEGETGQELGDESTDGEPTQEIISPDSTDEEVAAAEA >Potri.006G148700.3.v4.1 pep chromosome:Pop_tri_v4:6:12756409:12763666:1 gene:Potri.006G148700.v4.1 transcript:Potri.006G148700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148700.v4.1 MARLLRNASSLRRALVAPEGIRRGVLGTSTQICNFATKGKRKSKSDGSESGEENMSKKELALQQALDQITSQFGKGAIMWFGRSEAPKNVPVVSTGSFALDIALGCGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVSKVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSQSQTILVFINQVRAKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGTQVQVKIVKNKLAPPFKTVQFELEFGKGISRESEIIELALKHKLIKKSGSFYDCNGKKYHGKEAFKEFLAHNDDVQEELMMKLREKLLEGETGQELGDESTDGEPTQEIISPDSTDEEVAAAEA >Potri.006G148700.2.v4.1 pep chromosome:Pop_tri_v4:6:12756410:12763666:1 gene:Potri.006G148700.v4.1 transcript:Potri.006G148700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148700.v4.1 MARLLRNASSLRRALVAPEGIRRGVLGTSTQICNFATKGKRKSKSDGSESGEENMSKKELALQQALDQITSQFGKGAIMWFGRSEAPKNVPVVSTGSFALDIALGCGGFPKGRVVEIFGPEASGKTTLALHVIAEAQKQGGYCVFVDAEHALDSSLAKAIGVNTENLLLSQPDCGEQALSLVDTLIRSGSVDVVVVDSVSKVAALVPKSELDGEMGDAHMAMQARLMSQALRKLSHSLSQSQTILVFINQVRAKLSTFGFGGPTEVTCGGNALKFYASVRLNIRRVGFIKKGEETTGTQVQVKIVKNKLAPPFKTVQFELEFGKGISRESEIIELALKHKLIKKSGSFYDCNGKKYHGKEAFKEFLAHNDDVQEELMMKLREKLLEGETGQELGDESTDGEPTQEIISPDSTDEEVAAAEA >Potri.012G002800.1.v4.1 pep chromosome:Pop_tri_v4:12:1220948:1223653:1 gene:Potri.012G002800.v4.1 transcript:Potri.012G002800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002800.v4.1 MDLSVVCLLMLVLVCHGAGHNETFQEAKCKKHGPAIRFPFRLDKQPEYCGYDPGFVLSCNERNETLLQLPTSVTLNIKKIDYKSRLIIAADPDNCLPRHLRNFSLSKSPFKFADQYQYDCTLFNCTSKHGDYYDRIPCLSVPGYDIYAYSSNNFLGYTDLTSCTKMYNVTSIPSEMTGGDNILHLNWSEPAACGICEEHGMFCRWKNNTKLGTECYKKPKSKKGKIRKIEGAVATVGSVLVLLVLLAAYRVYSSDKAAKNNQKRIENFLADYKALKPARYTYADIKRITDEFKDKLGQGAYGTVFKGKLSDEIFVAVKILNNSTGNGEEFINEVATMGKIHHVNVIRLVGYCADGFRRALVYDYLPNESLEKFVSSEHGETSSLSWERLQDIALGMAKGIEYLHQGCDQRILHFDIKPHNILLDDHFNPKISDFGLAKLCSKDQSAVSMTTARGTMGYIAPEVFSRNFGHVSYKSDVYSFGMVLLEMVGGRKTIDDKVENSNQIYFPEWVYNSLDKGEELRIRIEKEGDAQIAKKLTLVGLWCIQWHPVDRPSMNTVVQMLEGGDKLTMPPSPFASAGPGRMHANMPGRPHYQALEVISETE >Potri.011G066800.1.v4.1 pep chromosome:Pop_tri_v4:11:5832119:5834952:1 gene:Potri.011G066800.v4.1 transcript:Potri.011G066800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066800.v4.1 MDSKKSQMSLIIFLLLALLTCLSSSLPGEHPIVVNDFSELVSEESIIEIFQQWRDRHQKVYEHAAESEKRYRNFKRNLKYIIEKAGKKTAALGHSVGLNKFADLSNEEFKELYLSKVKKPINIKRSTARDWRQRNLQTCDAPSSLDWRKKGVVTAVKDQGDCGSCWSFSTTGAIEGINAIVTGDLISLSEQELVDCDTTNYGCEGGYMDYAFEWVINNGGIDTEANYPYTGVDGTCNTTKEEIKVVSIDGYTDVDETDSALLCATVQQPISVGMDGSALDFQLYTGGIYDGDCSDDPNDIDHAVLIVGYGSENGEDYWIVKNSWGTEWGMEGYFYIKRNTDLPYGVCAINAEASYPTKESSSPSPTSPPSPPSPLSPPPPPPPPTPVPPPPCPQPSDCGDFAYCPSDETCCCILKVFDYCIVYGCCQYENAVCCADSVYCCPSDYPICDVEEGLCLKSQGDYLGVPASKRHMAKHKFPWTKLEEKTTTDRHALRWKRNPFDAMR >Potri.010G131300.1.v4.1 pep chromosome:Pop_tri_v4:10:14758509:14760096:1 gene:Potri.010G131300.v4.1 transcript:Potri.010G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131300.v4.1 MEISYKDFKVGKCEGQKVVDGETMPLVLQPPEPNKSDTESLISALKQNKDWFEQMLIKNSAVLLRGFDVKNAEDFNDIIEAFGWDDIRYIGPAPRTQVYKRIWTANEGPLSEFIYYHHEMVLIKESPKKVVLFCEIPPPEGGQTPFVPSFRVTERMLEEFPEAVEEVEAKGLKYTFTALSKDDTSSMRGRGWEDAFGTSDKAEAERRAKAIGMDMEWLPNGGVKTILGPRSLTKVFDGRKGRRMWFNTVVGMHGKESSSAMLADGTEIPENFVKRCGQIIEEESIQFKWEKGDVLFLDNMALLHGRRPSLPPRKVLVATCK >Potri.019G095700.6.v4.1 pep chromosome:Pop_tri_v4:19:13377546:13383147:1 gene:Potri.019G095700.v4.1 transcript:Potri.019G095700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095700.v4.1 MGKKGSWFSAIKRVFSPHSKDKLASESDKRSTKEKKKKGLGKLRHGETTSFIPLFREPSSIEKILDEAERENKLIFRPPTPPEELTTPPFVPPRADSPRVASQRVTSPRAATPRAVSPRAASPRVASPRAASPRNAQRHKEIYYRPEPTLRNHHASATKIQAAYRGYVARRSFRALKGLVRLQGVIRGQNVKRQTMNAMKHMQLLVRVQSQIQSRRIQMLENQARRQAQNRNDKEVDSTLGKWGQLSEAGNNEDWDDSVLTKEEIDARLQKRVEAVVKRERAMAYAYSHQLWKATPKSAQSALMDIRSNGFPWWWNWLERQLPPTNPPESQALRNFQLTPPRPRSDMKASPRPPSRSHKQQHFGFDNMDTPTPRSSKSTAFVPTRQARTPLHRTPQANSPSLSKYSMARASAANSPFNLPLKDDDSLMSCPPFSVPNYMSPTVSAKAKERANSNPKERFPGTPTSEKRRLSFPLTQGIGSFKWNKGSFTSKDSSSQRGLDRHQSLQSIGNLSVDSTVSMPATRKPFNRFV >Potri.019G095700.2.v4.1 pep chromosome:Pop_tri_v4:19:13377158:13383331:1 gene:Potri.019G095700.v4.1 transcript:Potri.019G095700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095700.v4.1 MGKKGSWFSAIKRVFSPHSKDKLASESDKRSTKEKKKKGLGKLRHGETTSFIPLFREPSSIEKILDEAERENKLIFRPPTPPEELTTPPFVPPRADSPRVASQRVTSPRAATPRAVSPRAASPRVASPRAASPRNAQRHKEIYYRPEPTLRNHHASATKIQAAYRGYVARRSFRALKGLVRLQGVIRGQNVKRQTMNAMKHMQLLVRVQSQIQSRRIQMLENQARRQAQNRNDKEVDSTLGKWGQLSEAGNNEDWDDSVLTKEEIDARLQKRVEAVVKRERAMAYAYSHQLWKATPKSAQSALMDIRSNGFPWWWNWLERQLPPTNPPESQALRNFQLTPPRPRSDMKASPRPPSRSHKQQHFGFDNMDTPTPRSSKSTAFVPTRQARTPLHRTPQANSPSLSKYSMARASAANSPFNLPLKDDDSLMSCPPFSVPNYMSPTVSAKAKERANSNPKERFPGTPTSEKRRLSFPLTQGIGSFKWNKGSFTSKDSSSQRGLDRHQSLQSIGNLSVDSTVSMPATRKPFNRFV >Potri.019G095700.5.v4.1 pep chromosome:Pop_tri_v4:19:13377225:13383189:1 gene:Potri.019G095700.v4.1 transcript:Potri.019G095700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095700.v4.1 MGKKGSWFSAIKRVFSPHSKDKLASESDKRSTKEKKKKGLGKLRHGETTSFIPLFREPSSIEKILDEAERENKLIFRPPTPPEELTTPPFVPPRADSPRVASQRVTSPRAATPRAVSPRAASPRVASPRAASPRNAQRHKEIYYRPEPTLRNHHASATKIQAAYRGYVARRSFRALKGLVRLQGVIRGQNVKRQTMNAMKHMQLLVRVQSQIQSRRIQMLENQARRQAQNRNDKEVDSTLGKWGQLSEAGNNEDWDDSVLTKEEIDARLQKRVEAVVKRERAMAYAYSHQLWKATPKSAQSALMDIRSNGFPWWWNWLERQLPPTNPPESQALRNFQLTPPRPRSDMKASPRPPSRSHKQQHFGFDNMDTPTPRSSKSTAFVPTRQARTPLHRTPQANSPSLSKYSMARASAANSPFNLPLKDDDSLMSCPPFSVPNYMSPTVSAKAKERANSNPKERFPGTPTSEKRRLSFPLTQGIGSFKWNKGSFTSKDSSSQRGLDRHQSLQSIGNLSVDSTVSMPATRKPFNRFV >Potri.005G215200.3.v4.1 pep chromosome:Pop_tri_v4:5:21833018:21838474:-1 gene:Potri.005G215200.v4.1 transcript:Potri.005G215200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215200.v4.1 MEESLQLQIQRFEKLPSPTEFASQIESKNVPAVFNGCIKDWKAFVKWNPANGGLDHLQERVGSSTVEAMLSKTAPVFYGDIRSHERVQLPFSTFIDFCKQSMRNTDSSGGSLLQSERHHDAVTDVDQESMLSGDVPQQIYLAQVPIMNSEDRERVQLEGLREDIQTPTFLETKELASINLWMNNAQSRSSTHYDPHHNVLCVVAGSKQVVLWPPSASPFLYPMPVYGEASNHSSIALENPDFSLYPRAKCSMDYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIMSNMLEHMDAYYLRRILRRLMDKEMNQVLPKASHSTEKLKSTCELPSNGADHGCCDLNPACKKQGLQGKDLKLHELEPFSLQALHELVSVVHDRVNITDQSQCIQSTPPNDSKVSVKHECDKTLTSKLFFLEDDPVAKILWTFDPSTLQNVLLAMAHNFPRTLEALMLHLLSPVGAEVLTRKFDEVDQHTTEDDRNKFYQGFYGAFDDQFAAMDAILNGKELFALQVH >Potri.005G215200.1.v4.1 pep chromosome:Pop_tri_v4:5:21831312:21838473:-1 gene:Potri.005G215200.v4.1 transcript:Potri.005G215200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215200.v4.1 MEESLQLQIQRFEKLPSPTEFASQIESKNVPAVFNGCIKDWKAFVKWNPANGGLDHLQERVGSSTVEAMLSKTAPVFYGDIRSHERVQLPFSTFIDFCKQSMRNTDSSGGSLLQSERHHDAVTDVDQESMLSGDVPQQIYLAQVPIMNSEDRERVQLEGLREDIQTPTFLETKELASINLWMNNAQSRSSTHYDPHHNVLCVVAGSKQVVLWPPSASPFLYPMPVYGEASNHSSIALENPDFSLYPRAKCSMDYSQKVILHAGDALFIPEGWFHQVDSDDLTIAVNFWWRSNIMSNMLEHMDAYYLRRILRRLMDKEMNQVLPKASHSTEKLKSTCELPSNGADHGCCDLNPACKKQGLQGKDLKLHELEPFSLQALHELVSVVHDRVNITDQSQCIQSTPPNDSKVSVKHECDKTLTSKLFFLEDDPVAKILWTFDPSTLQNVLLAMAHNFPRTLEALMLHLLSPVGAEVLTRKFDEVDQHTTEDDRNKFYQGFYGAFDDQFAAMDAILNGKELFALQAFKDSLDKFLGVNIDVPKPSIR >Potri.005G182800.1.v4.1 pep chromosome:Pop_tri_v4:5:18952033:18956441:1 gene:Potri.005G182800.v4.1 transcript:Potri.005G182800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182800.v4.1 MRMLLREGEGMADSGDSTVIVGVKLDPASRELLTWALVKVAQPGDTVIALHILDNNEIVDREGKSSLLSLVKAFDNVLAVYEGFCNLKQVDLKLKICRGSSIRRILVREAKSYTATKVIVGATRNHLSIWPSTSVAKYCAKKLPKDCSVLAFNNGKVVFQRERTPNNTGTKDHVQNGLLGVVHKTISFEKNSRVSNEGGTNEASRNDQDNGLTLEQVLMKARSSSLASIMKENCSVCGSVMKPADDSCNQSAEASCGDRDGDDKSLALVPVPRVEEPTSSVSTLIGQVPELKPGWPLLRSSVLPNRKTSNRSLVRQISVVQWAMRLPSRQLSLSTVNSDHKQDVSDKGEEQLNLDGESGAIVAVGMETATAPLSPDHNSRSLPKELEGLHEKYSATCRLFQCQELLSATSNFLAENLIGKGGSSQVYKGCLPDGKELAVKILKPSEDVLKEFVQEIEIITTLSHKNIISLLGFCFEGKNLLLVYDFLSRGSLEENLHGNKKDPRAFGWNERYKVALGIAEALDYLHSCSAQPVIHRDVKSSNILLSDDFEPQLSDFGLAKWAPTSSSHIICNDVAGTFGYLAPEYFMYGKVNNKIDVYAFGVVLLELLSGKKPISNDLPKGQESLVMWAKPILNGGKVSQLLDPILGDSCDRDQMERMVLAATLCVRRAPRARPQMSLVVKLLQGDAEVTRWARLQVNAVEESDVLDDEACPRSNLQSHLNLALLDVENDSLSSSSLEQSISLQDYLQGRWSRSSSLD >Potri.017G015700.2.v4.1 pep chromosome:Pop_tri_v4:17:1143067:1144635:-1 gene:Potri.017G015700.v4.1 transcript:Potri.017G015700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015700.v4.1 MASFGKQVFFFFFLVIFSSIQARESTFFSKFTHYSITKNNGKKESSISSVPIQAPTLAPAPAPAIDFLPDVPLLAPAPAPVLGEIENGYGLFGEGSGTSPRKETSTTTTTTTTAVDENELLNEELDGVPFDKKYENSNNNNNGYTSNYNNNGYKVGSARYETGNQNNNGYTSNYNNNGYKVGSARYESGNQNNNGYTNNYYNNGYKLAGESYETDNQNSENGYTKSYNNNGNTNNNNYNNNGYTTNYNNNGYETERQGMSDTRFMEGGKYYYHVKNTERQGMSDTRFMEGGKYYNNVKNIERQGMSDTRFMEGGKYYSNVKNENYYPANEYVSGKVSTQNQGLYGNDENPNEYNTMEEFESQEGYEESQEESLP >Potri.007G113700.1.v4.1 pep chromosome:Pop_tri_v4:7:13430680:13431425:-1 gene:Potri.007G113700.v4.1 transcript:Potri.007G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113700.v4.1 MASCKSFILALFIALTFSSMNVSIAARHLLQLPPLPKIPMPPLPSIPNLPQPTLPTLPTTQPSLPKPTLPPLPSIPTIPTFPTVPKVTLPPLPSMPSIPTIPTIPSIPFLSPPPATTSP >Potri.002G144400.2.v4.1 pep chromosome:Pop_tri_v4:2:10883819:10885041:-1 gene:Potri.002G144400.v4.1 transcript:Potri.002G144400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144400.v4.1 MSVITYPCYFLLSLLCLSLHACNARPLADINNNPEKKFHIIPYQNDQKEISVTTVPTVESSSSNEFGAAKKDSIAKTIGDNIAQKLKDSKAKQKLDSVDEIEKNPGAVKKESLVSVSWRVPQKKRGERHPGFNLDYSPPKTHPPSHN >Potri.002G048500.3.v4.1 pep chromosome:Pop_tri_v4:2:3227223:3231912:-1 gene:Potri.002G048500.v4.1 transcript:Potri.002G048500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G048500.v4.1 MQPGETVSRSALEKPLHQLTEDDISQLTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLETTPETESPRRRLYIPPPDNPPRAPANSSVSVGGESADAPILVSAEELVPSRQPDPPNPVVPADPPPPVFVAATENDSVSPRTTGAAKESAGQMTIFYCGKVNVYDNVPRDKAQVIMHLAASPFAPPQEASSNVIPALWPIPCQLETPGVKATPNSTVVIFPNLPTVKGADDGQLPQEESNIAREDNLEGSTSRKASLQRYLEKKKDRLKNKRKVAMTSASVDIYLNHRVGDQISNDHWNLNDACSSPQPRPPQTPNRCNSIDNLAKNGSLSADLNEKDAPEI >Potri.012G126100.4.v4.1 pep chromosome:Pop_tri_v4:12:14261074:14266285:1 gene:Potri.012G126100.v4.1 transcript:Potri.012G126100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G126100.v4.1 MDLKSNHNAPVLTDSAPLSKSRLRGYHHGLMLPYSPSGAPFSSNLLLSIPRRKTGVLDDVRSCGWLDAMKSSSPTHKKFAKDINHELSAPDPEVAYRTWLLKYPSALASFEQIANFAKGKRIALFLDYDGTLSPIVENPDNAFMSADMRSIVKEVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVRQSVSDDHRNCIKSTDKQGNEVNLFQPAREFLPMIDEVYSSLVRITEDIKGATVENNKFCVSVHYRNVDQDNWKSVGERVQDVIKKYPRLRLTHGRKVLEIRPAINWDKGKALEFLLESLDLSNCDDVLPIYVGDDRTDEDAFKVLRERNCGYGIFVSKSPKESNAYYSLRDPAEVMEFLKSLVTWKKSSAL >Potri.012G126100.2.v4.1 pep chromosome:Pop_tri_v4:12:14262488:14266349:1 gene:Potri.012G126100.v4.1 transcript:Potri.012G126100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G126100.v4.1 MDLKSNHNAPVLTDSAPLSKSRLRGYHHGLMLPYSPSGAPFSSNLLLSIPRRKTGVLDDVRSCGWLDAMKSSSPTHKKFAKDINHELSAPDPEVAYRTWLLKYPSALASFEQIANFAKGKRIALFLDYDGTLSPIVENPDNAFMSADMRSIVKEVAKYFPTAIISGRSRDKVYEFVGLTELYYAGSHGMDIMGPVRQSVSDDHRNCIKSTDKQGNEVNLFQPAREFLPMIDEVYSSLVRITEDIKGATVENNKFCVSVHYRNVDQDNWKSVGERVQDVIKKYPRLRLTHGRKVLEIRPAINWDKGKALEFLLESLDLSNCDDVLPIYVGDDRTDEDAFKVLRERNCGYGIFVSKSPKESNAYYSLRDPAEVMEFLKSLVTWKKSSAL >Potri.006G008300.1.v4.1 pep chromosome:Pop_tri_v4:6:577570:579236:1 gene:Potri.006G008300.v4.1 transcript:Potri.006G008300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008300.v4.1 MAKFAVANLLILLLNLGALLTSLACPTCPYTPHPKPPKRPPIKPPKPPVTPPIKPPKPPIKPPKPPVTPPIKPPKPPIKPPKPPVTPPIKPPKPPVTPPVIPIPPTLPPPKPPVTPPVIPTPPILPPPEPPVIPTPPIVKPPPTPPKQETCPIDTLKLGACVDVLGGLVHIGIGSSAKDECCPLLEGLVDLDAAVCLCTVIKAKLLNINLILPIALELLVDCGKNPPEGFKCPS >Potri.014G074300.1.v4.1 pep chromosome:Pop_tri_v4:14:4767471:4774789:-1 gene:Potri.014G074300.v4.1 transcript:Potri.014G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074300.v4.1 MANHDLESPLLSSQPSDPPHIILNVHDSDSSIQQSNNHKPNPNTSSRNPFEFIGSDGLSVPAPSTLDPFRNDTPDIEGLYELIKIVICLPIAIVRLVLFGVCLATGYVATKIALLGWRDKHNPMPKWRSRLMWLTRGCTRCILFSFGYHWIKRKGKLAPREIAPIVVSNHVSYIDPIFYFFEFFPTIVAAESHDSMPFVGTIIRAMQVIYVNRFSPSSRKLAVNEIKRKASCGRFPRVLLFPEGTTTNGKVLISFQLGAFIPGYAVQPVIVRYPHVHFDQSWGNVSLGMLMFRMFTQFHNFMEVEYLPIVSPLDNCKENPSHFAKRTSHAIASALNVVQTNHSYGDLMLLMKASELKQEKPSSYMVEMAKVESLFHINSLEAVNFLDKFLSMNPDASGRVKFNDFLRAFRLRTCTLSEELFGFLDVEKNGSITFKQFLYGSAHVMKRPLFHQSCELAFAQCDTRGHNQISEQELGETIRHAIPDFDEDEIHELFSIFDMDGDGSVSKDNFLYCLRQNPLLIALFKPCLVHKDSSQVGQGILEEIV >Potri.003G196700.3.v4.1 pep chromosome:Pop_tri_v4:3:19869421:19871770:1 gene:Potri.003G196700.v4.1 transcript:Potri.003G196700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196700.v4.1 MSSFQEGKDCLGWAARDASGVLSPYHFKRRAIGADDISVKITYCGICYGDIVYTRNKHEDSKYPVVPGHEIAGIVKEVGSNVQRFKTGDPVGVGTYINSCRNCDECNEGLEVQCPNGMVPTINAVDVDGTITKGGYSSFIVVHERYCYKIPENYPLALAAPLLCSGITVYTPMIHYKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLNVTVFSTSISKKEEALNVLGADKFIVSTDEEEMKTLSRTLDFIIDSASGDHPFDPYMSLLKTNGLFVMVCYPKEVKLDPLSLFTGMRSITGSFTGGTKLTQEMLEFCAAHKIYPEIEVIPIEYAYEAFERMLKGDVKYRFVIDIENSLK >Potri.003G196700.2.v4.1 pep chromosome:Pop_tri_v4:3:19869586:19871755:1 gene:Potri.003G196700.v4.1 transcript:Potri.003G196700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G196700.v4.1 MSSFQEGKDCLGWAARDASGVLSPYHFKRRAIGADDISVKITYCGICYGDIVYTRNKHEDSKYPVVPGHEIAGIVKEVGSNVQRFKTGDPVGVGTYINSCRNCDECNEGLEVQCPNGMVPTINAVDVDGTITKGGYSSFIVVHERYCYKIPENYPLALAAPLLCSGITVYTPMIHYKMNQPGKSLGVIGLGGLGHMAVKFGKAFGLNVTVFSTSISKKEEALNVLGADKFIVSTDEEEMKTLSRTLDFIIDSASGDHPFDPYMSLLKTNGLFVMVCYPKEVKLDPLSLFTGMRSITGSFTGGTKLTQEMLEFCAAHKIYPEIEVIPIEYAYEAFERMLKGDVKYRFVIDIENSLK >Potri.011G046100.1.v4.1 pep chromosome:Pop_tri_v4:11:3608745:3610205:-1 gene:Potri.011G046100.v4.1 transcript:Potri.011G046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046100.v4.1 MGSLPSPSQPTTPPPSPEFSPSSYRVYASFCHKNVSNWIECYNPSNNTWSYVSSIPGLIENHVLKGFAMVTLGDSIYIIGGLQCSRARPPHNLDESDEFIDLGVEVLRSVLRYNVRSSQWSQCTPLGVPRYDFACAICENKIYVAGGKPSLDSRRGISCAEAYDPTLNVWNPLPGMSTLRYKCVGVTWQGKIHVVGGFAMRGDSDKTVPFITERSSAEVYDPQTGKWDLAAGMWQLDVPPNQIVEIDGRLFSSGDCLKAWKGHIEAYDGKLNIWNVVDGSHLQTLSSPISTSEASDENWPPIQRIYLTMAPIGTQLFFMAGYRMAGELSRIMSMVLIFDTTATRHAWRSSEPMEEEGVKELCSHCCVVRISQAT >Potri.006G273200.3.v4.1 pep chromosome:Pop_tri_v4:6:26579390:26581847:1 gene:Potri.006G273200.v4.1 transcript:Potri.006G273200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273200.v4.1 MAVDIVISVIGKIGEFMVEPIGRKFEYLIHYNSNMETLKDQVQLLEEVRKDVQGSVDAAIAKGETIKNEVRNWMSRVDGVILEARKILEDDAVPNKRWFLDLASRCRLSRESENKITAIAKIKVDGQFDNVSMPAAPPEIVSQDFVIFESTRLAIMEIMEALEGNIINFIGIYGMAGVGKTTLVKEIERRAKEDMLFDAVVMAVVSRTVEVKNIQQQIADMLGFKFDEKREQGRAGRLHARLKNVDKILIILDDIWDALDLAAIGIPFGDDDHQDPENVNCKVRKIVVTTRCRLVCNSMTTGIETSKIIHLNALSENESWGLLKMNTGEVIDSPELNSVAKKVCGECGGLPIALVNVGRAMRDKALEEWEEAALALQKPMPSNIEGTDEIVYKCLKLSYDHLKNREAKSMFLLCCLFPEDYNICIEVLVRYGIGLEMFKDVLTIQEARRRAHSITKNLKDSCLLLAGNETGCIKMNNVVRDVAKTIASDIYFVKAGVKLMEWPNAETLKHYTGISVMYNQINGYPASWDCSDLQILLMQGNCIEQPMPDGVFKGMTALKVFDQSDIISKGDPYFSRKLEPGFSYLTSLRTLIIKNCRIAAPAAIGNMKMLEVLSLANCKLLDLPQEIGELTNIRLLDLEDCHHSRKKLNAIFPPNVISRWSRLEELYSSSFMKYTREHIAELKSLSHLTTLMMEVPDFGCIPEGFSFPELEVFKIAIRGSVHHKQSNYLEVCGWVNAKKFSRFLH >Potri.007G039400.1.v4.1 pep chromosome:Pop_tri_v4:7:3211363:3213546:1 gene:Potri.007G039400.v4.1 transcript:Potri.007G039400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G039400.v4.1 MELSLDLSLVCEPKTINECLKEVSMVKDGSQKLTKLYDYVERLEDERRKIDAFKRELPLCMLLLNEAIARLKEEAMQCSELNDLIPLKGNSNEDGNDKKNWMSSVQLWNTNNHLDCKKQDSKSEPKQKSEEDDDRSTCENPVQLGDHSNKGEAFVPFKALSGFEGSKRKEEKEVVSQVTGLSPMTPVPDLSSCNWTRKSNNCSNQTKILSKSQQQAYRKQRRCWSPELHRRFVDALQQLGGCQVATPKQIREHMQVDGLTNDEVKSHLQKYRLHLRKVPASPAAPASQDQCKDPSTGNISQSNSPKGSLHASGSAKATSNTGGDSMEAEDDDKSESHSWNGALHKPGEAHV >Potri.014G150100.1.v4.1 pep chromosome:Pop_tri_v4:14:10360114:10365364:1 gene:Potri.014G150100.v4.1 transcript:Potri.014G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150100.v4.1 MGSGKPERHSKTKEKKGLSLRNPSKKINFKKKTGINKKTDQSKNEENQNKSEASTPASASQQLSFFISEFQSANGVQLSSIELESIKETCFAELSQESGQDVMALGSHMKAAFGPSWKQVLCEGKLVEGIIDPGSPAVLIISTSALRSLELLRGVRSLTKECHAAKLFSKHMKVEEQVAMLKNRVNFASGTPSRVKKLIDIEALGLSRLTMIVLDMQADVKGYSLFTLPQVRDEFWDLYKDFFHQRLLQGHLRICLFGPIPSANGKEFKGKKEESLMNK >Potri.014G150100.5.v4.1 pep chromosome:Pop_tri_v4:14:10360115:10365382:1 gene:Potri.014G150100.v4.1 transcript:Potri.014G150100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150100.v4.1 MGSGKPERHSKTKEKKGLSLRNPSKKINFKKKTGINKKTDQSKNEENQNKSEASTPASASQQLSFFISEFQSANGVQLSSIELESIKETCFAELSQESGQDVMALGSHMKAAFGPSWKQVLCEGKLVEGIIDPGSPAVLIISTSALRSLELLRGVRSLTKECHAAKLFSKHMKVEEQVAMLKNRVNFASGTPSRVKKLIDIEALGLSRLTMIVLDMQADVKGYSLFTLPQVRYNLVLILSLYCLR >Potri.013G008750.1.v4.1 pep chromosome:Pop_tri_v4:13:577796:578466:-1 gene:Potri.013G008750.v4.1 transcript:Potri.013G008750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008750.v4.1 MKHFLTLLTIAFIIIMASTYGEVEAVPDNCVKPCVGVYDDTRCIADCRKQRFRSGKCEKRLKIPLCCCSLLG >Potri.001G298900.1.v4.1 pep chromosome:Pop_tri_v4:1:30967688:30973135:-1 gene:Potri.001G298900.v4.1 transcript:Potri.001G298900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298900.v4.1 MESGEWVFVTRPTEKDLWNPTSTELEDSRPLKVTFSGPAKYWTDGIPIGNGRLGAMVWGGVSSELIQLNEDTLWTGTPTDFTDPAIPQALSEVRNLVDSGKFSEATKAAARMFGKYTNVYKLLGDIKLEFNGSTYAEGTYYRELDLDTATGRVKYTVDDVEFTREHFASNPDQVIVTKISGSKAQSVSFAVSLDSILEHQCYLTDENQLVMEGICPRKRMTTEVKANDDPKGMKFTAVLDLQISNGARLVRLLDDNKLKVVGADWAVLLLVASSSFEGPFVDPSDSKKNPTSDSLQAMNSIKKLSYSQLYSRHLDDFQNLFHRVSLQLEKSSAIGDGVSEIKNLMPSVIEDFEGNKDVVVPTVERIKSFESDEDPSLVELLFQFGRYLLISCSRPGTQVANLQGIWNKDLYPAWDSAPTLNINLEMNYWPSLPCNLRECQEPLFDFIKSLSINGSKVAQVNYITSGWVAHHRSDIWEKASADMGNPKWAIWPMAGAWVCTHLWEHYTYTLDKDFLINTAYPLLEGCASFLMDWLIEGNDGYLETNPSTSPEHMFIAPDGNSASVSYSSTMDMAIINEVFSAIVSASEVLGRSEDALVQKVLKAQPRLYPPKIAPDGSIMEWALNFKDPEVKHRHISHLFGLFPGHSITLKKNPELCKAAENTLYKRGEDGPGWSTVWKTAVWARLQNSEHAYTMVKHLIRLVDPADQKIGFEGGLYSNLFAAHPPFQIDANLGFPAAVSEMLVQSTMTDLYLLPALPRDKWAKGCVKGLQARGGNTVNICWDKGDLQEVGLWLKKDGSCSLQRLHYRGTTVTTSLSSGIIYTFNSQLQCIKSFSLSEVAFPRV >Potri.001G298900.3.v4.1 pep chromosome:Pop_tri_v4:1:30967795:30973636:-1 gene:Potri.001G298900.v4.1 transcript:Potri.001G298900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298900.v4.1 MESGEWVFVTRPTEKDLWNPTSTELEDSRPLKVTFSGPAKYWTDGIPIGNGRLGAMVWGGVSSELIQLNEDTLWTGTPTDFTDPAIPQALSEVRNLVDSGKFSEATKAAARMFGKYTNVYKLLGDIKLEFNGSTYAEGTYYRELDLDTATGRVKYTVDDVEFTREHFASNPDQVIVTKISGSKAQSVSFAVSLDSILEHQCYLTDENQLVMEGICPRKRMTTEVKANDDPKGMKFTAVLDLQISNGARLVRLLDDNKLKVVGADWAVLLLVASSSFEGPFVDPSDSKKNPTSDSLQAMNSIKKLSYSQLYSRHLDDFQNLFHRVSLQLEKSSAIGDGVSEIKNLMPSVIEDFEGNKDVVVPTVERIKSFESDEDPSLVELLFQFGRYLLISCSRPGTQVANLQGIWNKDLYPAWDSAPTLNINLEMNYWPSLPCNLRECQEPLFDFIKSLSINGSKVAQVNYITSGWVAHHRSDIWEKASADMGNPKWAIWPMAGAWVCTHLWEHYTYTLDKDFLINTAYPLLEGCASFLMDWLIEGNDGYLETNPSTSPEHMFIAPDGNSASVSYSSTMDMAIINEVFSAIVSASEVLGRSEDALVQKVLKAQPRLYPPKIAPDGSIMEWALNFKDPEVKHRHISHLFGLFPGHSITLKKNPELCKAAENTLYKRGEDGPGWSTVWKTAVWARLQNSEHAYTMVKHLIRLVDPADQKIGFEGGLYSNLFAAHPPFQIDANLG >Potri.018G096049.3.v4.1 pep chromosome:Pop_tri_v4:18:11646131:11651362:1 gene:Potri.018G096049.v4.1 transcript:Potri.018G096049.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096049.v4.1 MAKRRMRANFEFLEKIGVDRWCFHDRDIAPDGETLEESNKNLDEVVALAKELQGTKIRPLWGTAQLFMHPRYMHGGATSSELGVYAYAAAQVKKAMEVTHDLGGENYVFWGGREGYQSLLNTDMERELDHLARFCEAAVAYKKKIGFIGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFMTDISEATMVMISVIRNGGLAPGGFNFDAKLRRESTEVEDIFLAHISGMDTLARGLRSAAKLIQDGSLAELVRKRYQSFDTEIGAQIEAGKGDFETLEKLAMKWGEPKVPSAKQELAEMIFQSAL >Potri.018G096049.2.v4.1 pep chromosome:Pop_tri_v4:18:11644418:11651352:1 gene:Potri.018G096049.v4.1 transcript:Potri.018G096049.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096049.v4.1 MKGGRILLLLVCSSVITLGVFAAGPPTCPADLGGKCSDSGEWEGEFFPGIPKIKYEGPSSKNPLAFKWYNAEEEILGKKMKDWMRFSIAFWHTFRGTGGDPFGAPTKYWPWEDGTNSLAMAKRRMRANFEFLEKIGVDRWCFHDRDIAPDGETLEESNKNLDEVVALAKELQGTKIRPLWGTAQLFMHPRYMHGGATSSELGVYAYAAAQVKKAMEVTHDLGGENYVFWGGREGYQSLLNTDMERELDHLARFCEAAVAYKKKIGFIGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFMTDISEATMVMISVIRNGGLAPGGFNFDAKLRRESTEVEDIFLAHISGMDTLARGLRSAAKLIQDGSLAELVRKRYQSFDTEIGAQIEAGKGDFETLEKLAMKWGEPKVPSAKQELAEMIFQSAL >Potri.018G096049.1.v4.1 pep chromosome:Pop_tri_v4:18:11644407:11651312:1 gene:Potri.018G096049.v4.1 transcript:Potri.018G096049.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096049.v4.1 MVSFNKMKGGRILLLLVCSSVITLGVFAAGPPTCPADLGGKCSDSGEWEGEFFPGIPKIKYEGPSSKNPLAFKWYNAEEEILGKKMKDWMRFSIAFWHTFRGTGGDPFGAPTKYWPWEDGTNSLAMAKRRMRANFEFLEKIGVDRWCFHDRDIAPDGETLEESNKNLDEVVALAKELQGTKIRPLWGTAQLFMHPRYMHGGATSSELGVYAYAAAQVKKAMEVTHDLGGENYVFWGGREGYQSLLNTDMERELDHLARFCEAAVAYKKKIGFIGTLLIEPKPQEPTKHQYDWDAATTANFLRKYGLIGEFKLNIECNHATLSGHSCHHELETARINGLLGNIDANTGDPQIGWDTDQFMTDISEATMVMISVIRNGGLAPGGFNFDAKLRRESTEVEDIFLAHISGMDTLARGLRSAAKLIQDGSLAELVRKRYQSFDTEIGAQIEAGKGDFETLEKLAMKWGEPKVPSAKQELAEMIFQSAL >Potri.001G128500.1.v4.1 pep chromosome:Pop_tri_v4:1:10531543:10534138:-1 gene:Potri.001G128500.v4.1 transcript:Potri.001G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G128500.v4.1 MKESSQPWRPFTAKYCCSVEDQTFFGNFSRCRTSKSDISKNINAPAPSFRRLSFSDLSRSSSTRINEELAQSFGPDLFDFQLSELRAITQNFSSNFLLGEGGFGTVHKGYVDDNLRQGLKAQAVAVKLLDIEGLQGHREWLAEVIFLGQLRHPNLVKLIGYCCEEEERLLVYEFMPRGSLENHLFKRISVSLPWSTRLKIAIGAAKGLAFLHGAEKPVIYRDFKTSNVLLDSDFTVKLSDFGLAKMGPEGSDTHVTTRVMGTYGYAAPEYVSTGHLTTKSDVFSFGVVLLELLTGRRATDKSRPKREQNIIDWAKPYLTSSRRLRCIIDPRLAGQYSVKGAKQMALLARQCVSLNPKDRPKMPSIVETLEALQHYKDMAVACGQSQASTKSATRNGVSSGGRLEGRGASYRKSAPVTSSKKT >Potri.001G130900.1.v4.1 pep chromosome:Pop_tri_v4:1:10671323:10675841:-1 gene:Potri.001G130900.v4.1 transcript:Potri.001G130900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130900.v4.1 MDVSDDEMEKETETPENGKKGFIYLAFRLTLALLFPIFAFLSLSILLGFLAIFMGHLSITTPLSLPSQCKILSSSVDLRSSKICEPGFLNYKAKHVFYPYNRSKFRCRYDYYWASVFEVEYKDYSLGQTQFALAEAPNEALPLNCRPNFGAAWLTKDKFKVNKTYDCWYTSGILKVSLYRDDLFSCQAKDPSQVEMIKRFFILSKEMLHSSLVQKKGKAGYWRWETIAGVIAGFSTSIITISFIRILQHIKSWFRLPSVARMFSHTNIVFFKRACFLVAYISFMGWLTIQYGKRLGLPEIHRVYNY >Potri.007G109200.1.v4.1 pep chromosome:Pop_tri_v4:7:13149763:13150914:-1 gene:Potri.007G109200.v4.1 transcript:Potri.007G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109200.v4.1 MMEGDTTMAARIDRKTSIEFEPRTLRMEQIQFAREAALYVLNTSSIEAALSIFTEGVEPVVGVARRNGDAINGMEMSKEKQYLENEDEDEDEDLQLPGIRDIASAPF >Potri.017G143215.2.v4.1 pep chromosome:Pop_tri_v4:17:14360993:14363424:-1 gene:Potri.017G143215.v4.1 transcript:Potri.017G143215.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143215.v4.1 MDSIACNKVQPVVRKVKKKQVKGEMDRLRQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSCKIVLNKEEVFNTWSCAGNIDLFVGEKRPSLPHKDCSGNSFERIGWVPCTYGTGCQWGERENNDLTFSYESLRRSMPVQYFDDGSWGTTEFSAGLIAAQAVSSLQIAEDAHGDTFLFDGMLRR >Potri.017G143215.1.v4.1 pep chromosome:Pop_tri_v4:17:14361042:14363405:-1 gene:Potri.017G143215.v4.1 transcript:Potri.017G143215.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143215.v4.1 MDSIACNKVQPVVRKVKKKQVKGEMDRLRQAEKKKRRLEKALATSAAIRSELEKKKQKKKEEQQRLDEEGAAIAEAVALHVLLGEDSDDSCKIVLNKEEVFNTWSCAGNIDLFVGEKRPSLPHKDCSGNSFERIGWVPCTYGTGCQWGERENNDLTFSYESLRRSMPVQYFDDGSWGTTEFSAGLIAAQAVSSLQIAEDAHGDTFLFDGMLRR >Potri.019G087800.2.v4.1 pep chromosome:Pop_tri_v4:19:12668760:12670230:1 gene:Potri.019G087800.v4.1 transcript:Potri.019G087800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087800.v4.1 MDKGSSTKEEIQGDVLQISHSPSIFVAGFNALLRCLGLGTVDHQRITQESSSTSSSKQEDDEKASEESPQYPPPTRTSDPQADPPTDTSEDPSTDAAVSALARRTPPVSRGGGGQTNTTTS >Potri.019G087800.3.v4.1 pep chromosome:Pop_tri_v4:19:12668760:12670230:1 gene:Potri.019G087800.v4.1 transcript:Potri.019G087800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087800.v4.1 MDKGSSTKEEIQGDVLQISHSPSIFVAGFNALLRCLGLGTVDHQRITQESSSTSSSKQEDDEKASEESPQYPPPTRTSDPQADPPTDTSRRGSNCSKPLVKWRNTSTVQSHWPM >Potri.001G281600.1.v4.1 pep chromosome:Pop_tri_v4:1:29530564:29531620:1 gene:Potri.001G281600.v4.1 transcript:Potri.001G281600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G281600.v4.1 MAGSSPCASCKLLRRRCAKDCIFAPYFPSDDPHKFAIVHKIFGASNVSKMLQEIPIHQRADAVGSLVYEANARVRDPVYGCVGAISYLQNQVSQLQMQLAVAQAEILCIQMQQEPNLPTQLDQDDKSLLLASCNNFNSIPQYFNFASSSNVIQDPLKRESLWT >Potri.005G204200.1.v4.1 pep chromosome:Pop_tri_v4:5:20932587:20933774:1 gene:Potri.005G204200.v4.1 transcript:Potri.005G204200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204200.v4.1 MASSSLSQVSTSTTSLPFLIPKHKHFFKLYPSSLSCTKTLARSEGTASGVTTEQDPPSFSGSLSSTRTQLDLLEQLTSTSSPADGYESDGSSGKLTIRDQLARLVGDRDDDFSIPLGKKNLKKVSANFLTVSQKRNIRRQAYLNEVSQRNDSVFFATIGAFIILPPTLILGIAIIIGYVQLFP >Potri.001G325400.2.v4.1 pep chromosome:Pop_tri_v4:1:33429656:33436544:-1 gene:Potri.001G325400.v4.1 transcript:Potri.001G325400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325400.v4.1 MYVVPPPKGSSDTGSNGVGSGDLRVYQTWKGSNIFFLRGRFIFGPDVRSLALTVFLIVAPVALFCIFVARKLMDDFSDDWGISIMAVAVVFTIYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGSADVGSGQTPQLRLPRVKEVEFNGMTVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLIMGSENISTWKAMIKTPSSIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPFYKGLVENFKEIFCSSISPSKNNFRAKVPREPALPTRTLGGGFMSPNMGKAVGDIEMGRKTVWGDMSAMADGEGQPANNDRLNIKDGELGELSPDIRTTVDEVGDRGGLHPRRSSWGRKSGSWEMSPEVLALAARVGESNLVGGGSSSGSLTTENRQS >Potri.001G325400.1.v4.1 pep chromosome:Pop_tri_v4:1:33429669:33436535:-1 gene:Potri.001G325400.v4.1 transcript:Potri.001G325400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325400.v4.1 MYVVPPPKGSSDTGSNGVGSGDLRVYQTWKGSNIFFLRGRFIFGPDVRSLALTVFLIVAPVALFCIFVARKLMDDFSDDWGISIMAVAVVFTIYDLVLLLLTSGRDPGIIPRNAHPPEPEGFDGSADVGSGQTPQLRLPRVKEVEFNGMTVKVKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVLSTTLLCIYVFAFCWVYIRKIMGSENISTWKAMIKTPSSIVLIVYTFISMWFVGGLTAFHLYLISTNQTTYENFRYRYDRRANPFYKGLVENFKEIFCSSISPSKNNFRAKVPREPALPTRTLGGGFMSPNMGKAVGDIEMGRKTVWGDMSAMADGEGQPANNDRLNIKDGELGELSPDIRTTVDEVGDRGGLHPRRSSWGRKSGSWEMSPEVLALAARVGESNLVGGGSSSGSLTTENRQS >Potri.004G020650.1.v4.1 pep chromosome:Pop_tri_v4:4:1493173:1495210:-1 gene:Potri.004G020650.v4.1 transcript:Potri.004G020650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020650.v4.1 MATKIKGIYKGFKYISQIFVVKEREMEIGYPTDVKHVAHIGWDGHSGSAPSWMNEFKTPPDFSTTTVANPRDSSSVILSPWSSQDFDHSLGHQTMPNVFNDIPPSDLPNVPKKPKIRKKKTSSSSPKSSSSTSRSSRKTKQKAMQYELESTPKVQVQ >Potri.017G105801.1.v4.1 pep chromosome:Pop_tri_v4:17:11520530:11530515:1 gene:Potri.017G105801.v4.1 transcript:Potri.017G105801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G105801.v4.1 MWRNVAKQATLKSQSKSLNFPSFPRTYSFLGASKEPIFFEKLKFHSLNNTSPRLSFRAIGEISTHEDFMGKPSFIFLRNSDSTNGNWCAGFCPRGYVSVAEAVSSTDVEDNVYGADEVQELLPEMRKEEEKEEHFRRRRLHANPGVGSRKHRVLRRRQVKIEAEAWELATKEYKELLKDMCEHKLAPNLPYMKSLFLGWFEPFRDAIAKEQELIRTGKSRQGYAPYFDLLPADKMSVIAMHQLAAMVMTGGEHGCARVVTAACMIGDAIEQEIRIHNILEKTRKKKEKTYDSKNGVEGESPAVMKEQENLRKKVTDLIKKQKLPAVRKIVKGKDDSKPWNADARAKVGSRLIELLLQTAYIQPPCDQLADSPSEPRPAFVHTFRTVSYENKKGAKKYGVIQCDPLVLKGLEKTARHMVIPYMPMLVPPLRWRGYDKGAHLFLPSYVMRIHGAKQQREAVKRAPRKQLRPVFEALDTLGNTRWRVNKRVLSVVDRIWSNGGCLADLVDHSDVPLPEKPETEDEALLKKWKWKLRGVKKENKERHAQRCDIELKLAVARKMKDEEGFYYPHNIDFRGRAYPMHPYLNHLGSDLCRGILEFAEGRPLGKSGLRWLKIHIANLFAGGVDKLSYEGRIAFTENHLDDIFDSADKPLDGKRWWLHAEDPFQFLAVCINLTEALRSSSPETYISHIPVHQDGSCNGLQHYAALGRDKLGAAAVNLVAGEKPADVYSGIAARVLDIMRRDAQKDPDVFPDALRAKELINQVDRKLVKQTVMTSVYGVTYIGARDQIKRRLKERGITEESDIFGCSCYAAKVTLTALGEMFEAARSIMNWLGDCAKIIASENEPVRWTTPLGLPVVQPYRKLGTRSIKTSLQVLILQKETDKVMVQRQRTAFPPNFVHSLDSSHMMMTAVACKGAGLNFAGVHDSYWTHACDVDEMNRILREKFVELYETPILENLLESFEKSFPTLSFPPLPDRGDFELREVLESPYFFN >Potri.010G132500.1.v4.1 pep chromosome:Pop_tri_v4:10:14863287:14864427:-1 gene:Potri.010G132500.v4.1 transcript:Potri.010G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G132500.v4.1 MASVLWALILACLSFPLAITDAQTPAVSPSTPTTTTPPPTTTPTTPTQSPASAVTPPTATTPISSPSPKVAPATTPVVPPPQPPQSSPAATPIPPPATPPPQVSPAPAPATPPPAPAPAKEVPAPAPATPPPAPVPAPAIPPPAPSPSLVPSPAPAPGKHKMKRKHKHKHHHHAPAPAPNPPSPPAPPTVTTASEDTAPAPSPNGGNTLYHQEGRARMWARMWARTVLAFASLLVVTGYSF >Potri.007G062502.1.v4.1 pep chromosome:Pop_tri_v4:7:7177831:7177989:-1 gene:Potri.007G062502.v4.1 transcript:Potri.007G062502.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062502.v4.1 MAREAHAERARRKISVEEKQPSSFPSLPGPKAVQSFLVKSRIWGFLLRYLII >Potri.012G035001.1.v4.1 pep chromosome:Pop_tri_v4:12:3133003:3134884:-1 gene:Potri.012G035001.v4.1 transcript:Potri.012G035001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G035001.v4.1 MGDIVQLASPLNRIENLCKEILIRIPDELESSFRSEQCIYIVPAALRDLNEAAFTPRVISIGPIHHNNEKLKAMEIQKLRYLKEFFKLRVEKEKSGILLTALLSTILEKEVDICCRYVADTSKFNSKLSGDQFVKMVLLDAVFIFELFLRNEEYLCDSSKYQDDFIIGKPWLRAAIRRDLILLENQLPFSTLNELYKLAMSRTDCISLMDLSFRYFEKYKRKYEPSKTILHFTDLVRCFLSFKHPDLKLEKGGPIKTLYSATMLQQAGIKFKALPDESLLDIRAWERLSKEERIVEKKGELHMPPLEIDNNTECLLRNLMVFEQLHYPGEEHICRYVKLLDSLVDVDKDVDLLIENKVIISKLGDSDAVAKLINTLCREMVEIPSSFDPLSRLLNDYYESSWNKNRTYLLSVYFKNVWIGTGTVVGSLILAIALTRFILYFVR >Potri.003G207700.1.v4.1 pep chromosome:Pop_tri_v4:3:20671101:20671776:1 gene:Potri.003G207700.v4.1 transcript:Potri.003G207700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207700.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.005G238500.2.v4.1 pep chromosome:Pop_tri_v4:5:23610719:23612358:-1 gene:Potri.005G238500.v4.1 transcript:Potri.005G238500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238500.v4.1 MEGEEGVIVLKRAEIDTRAPFRSVKEAVTLFGEKVLAEELYANKLKQMHVGGIEYGQGTSRLGTVTAELKETKQSLENAKEQRTLMANCLSSLQEELERTRRELQQLKEREVERQLIESEIEVVKVVEDTTKFEVKMQTSNEEGTQFQNKRYVTFANPPSLTQVLMPQGVEALERHPSLRKKKKKPLIPLIGGIFSKKFGK >Potri.005G238500.1.v4.1 pep chromosome:Pop_tri_v4:5:23610719:23612648:-1 gene:Potri.005G238500.v4.1 transcript:Potri.005G238500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G238500.v4.1 MEGEEGVIVLKRAEIDTRAPFRSVKEAVTLFGEKVLAEELYANKLKQMHVGGIEYGQGTSRLGTVTAELKETKQSLENAKEQRTLMANCLSSLQEELERTRRELQQLKEREVERQLIESEIEVVKVVEDTTKFEVKMQTSNEEGTQFQNKRYVMQTSNEEGTQFQNKRYVTFANPPSLTQVLMPQGVEALERHPSLRKKKKKPLIPLIGGIFSKKFGK >Potri.005G190500.1.v4.1 pep chromosome:Pop_tri_v4:5:19811588:19815184:1 gene:Potri.005G190500.v4.1 transcript:Potri.005G190500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SDC1 MEVVDAVSVHVNGKAEIEDFDISAVVQPEPLPPIVAAENGDKQNGREIVLGKNVHTTCLEVTEPEANDEFTGDKEAYMASVLARYRKNLMERTKYHLGYPYNLDFDYGALGQLQHFSINNLGDPFIESNYGVHSRQFEVGVLDWFARLWEIEKNEYWGYITNCGTEGNLHGILVGREVFPDGVLYASRESHYSVFKAARMYRMDCEKVDCLISGEIDCADFKAKLLANKDKPAIINVNIGTTVKGAVDDLDLVIQTLEESGFSQDRFYIHCDGALFGLMMPFVKRAPKVTFKKPIGSVSVSGHKFVGCPMPCGVQITRMEHIDVLSRNVEYLASRDATIMGSRNGHAPIFLWYTLNRKGYKGFQKEVQKCLRNAHYLKDRLHDAGISAMLNELSSTVVFERPLDEEFVRRWQLACQGNIAHVVVMPSVTIEKLDDFLNELVEKRSIWYRDGGVQPPCIAADVGCENCACALHKLSILS >Potri.005G220900.2.v4.1 pep chromosome:Pop_tri_v4:5:22297975:22299783:1 gene:Potri.005G220900.v4.1 transcript:Potri.005G220900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220900.v4.1 MSIIELLASLALLLVPLFFYLTKNSKSKASSKSNPNLKSYPLIGSSVAIFANRNRFIQWTSDLIQNSPTATVVIRRFLDHSRVLTGNPANVQHMLKTQFYNYEKGSKARRTLFDFLGNGIFNTDGDSWKFQRQVSSHEFNTKALRKFVETVVDTEVSQRLIPILSTAAANNTVLDLQDILQRFAFDNICKIAFGYDPAYLLPDLPEAAFAKTFEEAAKISSDRLATIFPLLWKIKRVFNIGSEKRLKEASSELREFARNIIKEKKKELSNKSSLETVDLLSRFLSSGHSDEDFVTDIVISFILAGRDTTSAALTWYFWLLSQNPEVEKEIVGEINDKSESPVFEEVKDMVYTHASLCESMRLYPPVPRDSKVAMQDDVLPDGTVIKKGMRVSYHPYAMGRLEMLWGPDWEKFKPERWLQGAGDGVNSNGKWSFVGRDPYSYPVFQAGPRICLGKDMAFLQMKRVVAGILRRFKVVPAAEEGFEPVFVSYLTSKMQGGFPVRFEERAN >Potri.008G181000.7.v4.1 pep chromosome:Pop_tri_v4:8:12476716:12481000:-1 gene:Potri.008G181000.v4.1 transcript:Potri.008G181000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181000.v4.1 MMENNGFSSPRNDSFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARDALNLLRERKGGYDIVISDVYMPDMDGFKLLEQVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIETLERVESFQMTRNGSDQYEDGHVLCGEDLTSIKKRKHIESKHDEKDTGDSISTKKARVVWSVDLHQKFVKAVNQIGFDTTSLPSFDGAMTGSVCLAEVGPKKILDMMNVPWLTRENVASHLQKYRLYLSRLQKENDFKNPAGGIKQSDSPLRDSAGSFGSQNSINLQHNDVSNGSYRFSGSSLVVHNGDPRSHDSDRKRLVSTPVEEPKRTLTVNVPNPCIPRSSQMEFGHPLAPPQSEVDFGALDSTFPTKYPWCGIPEIQLKKEHNPLHLNDEFSHLPLPGQKQLTQADYPQPAPAISSAPSLTPSHNEYRSNVNHASSTAIAVDSSPIQTKTNVANHQAIELISKSTPSLENQGFNMNSITEFESSRKNINLGMLPFTTLEEDLQVCWVPGDCYMNLGLQNIEVLEYFDPGLITDVPVNLNDGLRFDYEFNDPTEYSLIDQSLFIA >Potri.008G181000.5.v4.1 pep chromosome:Pop_tri_v4:8:12476735:12481000:-1 gene:Potri.008G181000.v4.1 transcript:Potri.008G181000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181000.v4.1 MMENNGFSSPRNDSFPAGLRVLVVDDDPTWLKILEKMLKKCSYEVTTCGLARDALNLLRERKGGYDIVISDVYMPDMDGFKLLEQVGLEMDLPVIMMSVDGETSRVMKGVQHGACDYLLKPIRMKELRNIWQHVFRKKIHEVRDIETLERVESFQMTRNGSDQYEDGHVLCGEDLTSIKKRKHIESKHDEKDTGDSISTKKARVVWSVDLHQKFVKAVNQIGFDKVGPKKILDMMNVPWLTRENVASHLQKYRLYLSRLQKENDFKNPAGGIKQSDSPLRDSAGSFGSQNSINLQHNDVSNGSYRFSGSSLVVHNGDPRSHDSDRKRLVSTPVEEPKRTLTVNVPNPCIPRSSQMEFGHPLAPPQSEVDFGALDSTFPTKYPWCGIPEIQLKKEHNPLHLNDEFSHLPLPGQKQLTQADYPQPAPAISSAPSLTPSHNEYRSNVNHASSTAIAVDSSPIQTKTNVANHQAIELISKSTPSLENQGFNMNSITEFESSRKNINLGMLPFTTLEEDLQVCWVPGDCYMNLGLQNIEVLEYFDPGLITDVPVNLNDGLRFDYEFNDPTEYSLIDQSLFIA >Potri.018G063500.5.v4.1 pep chromosome:Pop_tri_v4:18:7500514:7505303:-1 gene:Potri.018G063500.v4.1 transcript:Potri.018G063500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063500.v4.1 MAERALTRVHSIRERVDETLKAHRNEIVALLTRIESKGKGILQHHQIVAEFEAIPEDTRKTLAGGAFAEVLRSTQEAIVVPPWIALALRPRPGVWEYIRLNVQALVVEELRVAEYLHFKEELVDGGCNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIRNLDSLQYVLRKAEEFLSTLKPDTPYSQFEHKFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLQRIKKQGLDIIPRILIITRLLPDAVGTTCGQRLERVYGSEHCDILRVPFRDGKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTDEKRRLTSFHPEIDELLYSPVENEEHLCVLKDRNKPILFTMARLDRVKNLSGLVEWYGKNTKLRELVNLVVVGGDRRKESKDLEEQAEMKKMYSHIEKYNLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVNGKSGFHIDPYHGEKAAELLVDFFEKCKVDPAHWDKISHGGLQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRLESRRYMEMFYALKYRKLAESVPLTKE >Potri.018G063500.1.v4.1 pep chromosome:Pop_tri_v4:18:7500285:7506672:-1 gene:Potri.018G063500.v4.1 transcript:Potri.018G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063500.v4.1 MAERALTRVHSIRERVDETLKAHRNEIVALLTRIESKGKGILQHHQIVAEFEAIPEDTRKTLAGGAFAEVLRSTQEAIVVPPWIALALRPRPGVWEYIRLNVQALVVEELRVAEYLHFKEELVDGGCNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIRNLDSLQYVLRKAEEFLSTLKPDTPYSQFEHKFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLQRIKKQGLDIIPRILIITRLLPDAVGTTCGQRLERVYGSEHCDILRVPFRDGKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTDEKRRLTSFHPEIDELLYSPVENEEHLCVLKDRNKPILFTMARLDRVKNLSGLVEWYGKNTKLRELVNLVVVGGDRRKESKDLEEQAEMKKMYSHIEKYNLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVNGKSGFHIDPYHGEKAAELLVDFFEKCKVDPAHWDKISHGGLQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRLESRRYMEMFYALKYRKLAESVPLTKE >Potri.018G063500.4.v4.1 pep chromosome:Pop_tri_v4:18:7500372:7506549:-1 gene:Potri.018G063500.v4.1 transcript:Potri.018G063500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063500.v4.1 MAERALTRVHSIRERVDETLKAHRNEIVALLTRIESKGKGILQHHQIVAEFEAIPEDTRKTLAGGAFAEVLRSTQEAIVVPPWIALALRPRPGVWEYIRLNVQALVVEELRVAEYLHFKEELVDGGCNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIRNLDSLQYVLRKAEEFLSTLKPDTPYSQFEHKFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLQRIKKQGLDIIPRILIITRLLPDAVGTTCGQRLERVYGSEHCDILRVPFRDGKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTDEKRRLTSFHPEIDELLYSPVENEEHLCVLKDRNKPILFTMARLDRVKNLSGLVEWYGKNTKLRELVNLVVVGGDRRKESKDLEEQAEMKKMYSHIEKYNLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVNGKSGFHIDPYHGEKAAELLVDFFEKCKVDPAHWDKISHGGLQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRLESRRYMEMFYALKYRKLAESVPLTKE >Potri.018G063500.3.v4.1 pep chromosome:Pop_tri_v4:18:7500517:7506632:-1 gene:Potri.018G063500.v4.1 transcript:Potri.018G063500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063500.v4.1 MAERALTRVHSIRERVDETLKAHRNEIVALLTRIESKGKGILQHHQIVAEFEAIPEDTRKTLAGGAFAEVLRSTQEAIVVPPWIALALRPRPGVWEYIRLNVQALVVEELRVAEYLHFKEELVDGGCNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIRNLDSLQYVLRKAEEFLSTLKPDTPYSQFEHKFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLQRIKKQGLDIIPRILIITRLLPDAVGTTCGQRLERVYGSEHCDILRVPFRDGKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTDEKRRLTSFHPEIDELLYSPVENEEHLCVLKDRNKPILFTMARLDRVKNLSGLVEWYGKNTKLRELVNLVVVGGDRRKESKDLEEQAEMKKMYSHIEKYNLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVNGKSGFHIDPYHGEKAAELLVDFFEKCKVDPAHWDKISHGGLQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRLESRRYMEMFYALKYRKLAESVPLTKE >Potri.018G063500.6.v4.1 pep chromosome:Pop_tri_v4:18:7500514:7504951:-1 gene:Potri.018G063500.v4.1 transcript:Potri.018G063500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063500.v4.1 MAERALTRVHSIRERVDETLKAHRNEIVALLTRIESKGKGILQHHQIVAEFEAIPEDTRKTLAGGAFAEVLRSTQEAIVVPPWIALALRPRPGVWEYIRLNVQALVVEELRVAEYLHFKEELVDGGCNGNFVLELDFEPFNASFPRPTLSKYIGNGVEFLNRHLSAKLFHDKESLHPLLAFLKVHCHKGKNMMLNDRIRNLDSLQYVLRKAEEFLSTLKPDTPYSQFEHKFQEIGLERGWGDTAERVLEMIRLLLDLLEAPDPCTLETFLGRIPMVFNVVIMSPHGYFAQDNVLGYPDTGGQVVYILDQVRALENEMLQRIKKQGLDIIPRILIITRLLPDAVGTTCGQRLERVYGSEHCDILRVPFRDGKGMVRKWISRFEVWPYLETFTEDVAAEIAKELQGKPDLIIGNYSDGNIVASLLAHKLGVTECTIAHALEKTKYPDSDIYWKKFDEKYHFSCQFTADLFAMNHTDFIITSTFQEIAGSKDTVGQYESHTAFTLPGLYRVVHGIDVFDPKFNIVSPGADESIYFPYTDEKRRLTSFHPEIDELLYSPVENEEHLCVLKDRNKPILFTMARLDRVKNLSGLVEWYGKNTKLRELVNLVVVGGDRRKESKDLEEQAEMKKMYSHIEKYNLNGQFRWISSQMNRVRNGELYRYICDTKGAFVQPALYEAFGLTVVEAMTCGLPTFATCNGGPAEIIVNGKSGFHIDPYHGEKAAELLVDFFEKCKVDPAHWDKISHGGLQRIQEKYTWQIYSQRLLTLTGVYGFWKHVSNLDRLESRRYMEMFYALKYRKLAESVPLTKE >Potri.008G165500.1.v4.1 pep chromosome:Pop_tri_v4:8:11412790:11415404:1 gene:Potri.008G165500.v4.1 transcript:Potri.008G165500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G165500.v4.1 MRDFAGTPGTLTGLALRLSQCVFAAGSITAMTTTTSFFDFTAFCYLIASMGLQVIWSFGLALLDVYALVKKKALHNTVLLSLFVVGDWVTAILSLAAASASAGITVLYFHDLGNCGFVGECQKYQMSVALAFFSWITIAVSSLIMLWLLAAG >Potri.001G084700.3.v4.1 pep chromosome:Pop_tri_v4:1:6764211:6772474:-1 gene:Potri.001G084700.v4.1 transcript:Potri.001G084700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G084700.v4.1 MGRMGKMYTVNPNDYKLLEEVGYGASAVVYRAIYIPFNEVVAIKCLDLDRCNSNLDDIRREAQTMSLIDHPNVIRAYCSFVVDQNLWVVMPFMAEGSCLHLMKIAYQEGFEESAIGSILKETLKALEYLHRQGHIHRDVKAGNILLDTNGIVKLADFGVSACMFDTGDRQRSRNTFVGTPCWMAPEVLQPGSGYNSKADIWSFGITALELAHGHAPFSKYPPMKVLLMTIQNAPPGLDYDRDKKFSKSFKEMVAMCLVKDQTKRPTAEKLIKHSFFKNAKPPELSVKKLFADLPPLWNRVKAIQLKDAAQLALKKMPSAEQEALSQSEYQRGVSAWNFDLEDLKAQASLVRDDDDIPETREEDESIKFGGGKAAIGSQSSSVKVNSNSEIQLVEYSRQLSGGELPQADNFIRKGKLPESDLLETSSLEKVGWKRNGSSSEAKASTSESVMAQAKAKTVKSRQTHSGPLMPGTVFSHSLSERGRTSERFENEIHPTAERATREVRKAPSFSGPLMLPNRASANSLSAPIKSSGGFRDSLDEKSKTNLVQIKGRFSVTSENLDLVKDIPLSTVPRRSSQSPLRKSASVGEWMFEPKQMPVNQPPKEVSNNSVHALLFPHLQNLFQQTSIQQDIIMSLLNSLQPAEAIEAAQNGKLPPLPHGSENNGSVEAASSEREKSLLIKITELQNRMVNLTDELNAEKLKYEQLQQQLKAISGREENGERSEVDA >Potri.001G326000.3.v4.1 pep chromosome:Pop_tri_v4:1:33499330:33502400:-1 gene:Potri.001G326000.v4.1 transcript:Potri.001G326000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326000.v4.1 MINALCGSMGSLKSENSCNKLQPTSPNESSSVTESKKTTQSFEFEQNSLTPTSLNLPAVKFELDGDVEVQSPDSSMWESFFTDNFDSDFMISSPVRNLPSPQTSSYNHNYAHAMQGQSLSGCSPPRYLSQLGAAFSSIHKGKGQSPLHRMCNSPNNQFMQVESLSLPGIEDFLDDFQRDGYGEGYQQPPKMSGSSGSSTQLFDMSTTVPAMLDCLTIQNPSRFCSGSVSETSSGSPMTQESDIFQMGSIGIAPSSQKLLQENQQQPQPLPQPTTQPQPPPTTQSLQQQPQSLNHTLMVSLPIGSEQEQDSGLQLLNLLLACAEAVSNEDYMLARRYLHHLNRVVSPLGDSMQRVASCFTEALSARLAATLTTKPSTSSSKAFSPFPPNSMEILKIYQILYQACPYVKFAHFTANQAIFEAFETEERVHVIDLDILQGYQWPAFMQALAARPGGAPFLRITGVGSSMENVRETGRCLTELAHSLHVPFEYHPVAEELVDLKPHMFNRRVGEALAVNSVNRLHRVPGNCLGNLLAMIRDQAPNIVTVVEQEASHNGPYFLGRFLEALHYYSAIFDSLDSTFPPDSSQRAKVEQYIFAPEIRNIVACEGAERFERHERLEKWRKLMEGKGFKGVPLSANAVTQSKILLGLYSCDGYRLTEDKGCLLLGWQDRAILAASAWRC >Potri.001G326000.2.v4.1 pep chromosome:Pop_tri_v4:1:33499330:33502411:-1 gene:Potri.001G326000.v4.1 transcript:Potri.001G326000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326000.v4.1 MINALCGSMGSLKSENSCNKLQPTSPNESSSVTESKKTTQSFEFEQNSLTPTSLNLPAVKFELDGDVEVQSPDSSMWESFFTDNFDSDFMISSPVRNLPSPQTSSYNHNYAHAMQGQSLSGCSPPRYLSQLGAAFSSIHKGKGQSPLHRMCNSPNNQFMQVESLSLPGIEDFLDDFQRDGYGEGYQQPPKMSGSSGSSTQLFDMSTTVPAMLDCLTIQNPSRFCSGSVSETSSGSPMTQESDIFQMGSIGIAPSSQKLLQENQQQPQPLPQPTTQPQPPPTTQSLQQQPQSLNHTLMVSLPIGSEQEQDSGLQLLNLLLACAEAVSNEDYMLARRYLHHLNRVVSPLGDSMQRVASCFTEALSARLAATLTTKPSTSSSKAFSPFPPNSMEILKIYQILYQACPYVKFAHFTANQAIFEAFETEERVHVIDLDILQGYQWPAFMQALAARPGGAPFLRITGVGSSMENVRETGRCLTELAHSLHVPFEYHPVAEELVDLKPHMFNRRVGEALAVNSVNRLHRVPGNCLGNLLAMIRDQAPNIVTVVEQEASHNGPYFLGRFLEALHYYSAIFDSLDSTFPPDSSQRAKVEQYIFAPEIRNIVACEGAERFERHERLEKWRKLMEGKGFKGVPLSANAVTQSKILLGLYSCDGYRLTEDKGCLLLGWQDRAILAASAWRC >Potri.016G041800.4.v4.1 pep chromosome:Pop_tri_v4:16:2615077:2627076:1 gene:Potri.016G041800.v4.1 transcript:Potri.016G041800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041800.v4.1 MFPALIHTITHLKGGIFGVPLFHKRESQFSIRTPWGLSFPPAYVDTYSLSRRRKIYSQEKGFRYQSMEKPESDVAIIDYLQDVPLSDSYEAALEALSSLIRQQKRGDQKTIGGKYGKLDRMRMYLKILDLEERVAGLKIIHVAGTKGKGSTCTFCEAILRESGFRTGLFTSPHLIDVRERFRINGVDISEVKFLLYFWNCWNQLKEHETEDLPMPPLFQFLTVLAFKVFVCEQVDVSIIEVGLGGRNDSTNVIEKPVVCGITSLGMDHTETLGNTIGQIASHKAGVFKHQIPAFTVLQVSEAMDVLQENARELMVPLKVVEPLDSKALNGLKLSLSGDHQFSNAGLAVSLCKSWLQRTGNWEKLFQKDNREANLPEAFLRGLSTAHISGRAQIVHDSSSSSSHVSSEVAETSGDLIFYLDGAHSPESIEACAKWFSVAVKGNNQSPPLVLSSSHNIENINIVWKNGHVQHEKCNIQEFNKISKKILLFNCMEVRDPQILLPRLVSTCASSVIPSDISSKDLSWQFSLQRLWERIVHGIDTDLLEKSTKMDAAETSPHREFLYEDASNCSPSNGYLACSAVIPSLPLTIKWLRDCVRENPSLRLQVLVTGSLHLVGDVLKVIRR >Potri.016G041800.3.v4.1 pep chromosome:Pop_tri_v4:16:2615092:2627081:1 gene:Potri.016G041800.v4.1 transcript:Potri.016G041800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041800.v4.1 MFPALIHTITHLKGGIFGVPLFHKRESQFSIRTPWGLSFPPAYVDTYSLSRRRKIYSQEKGFRYQSMEKPESDVAIIDYLQDVPLSDSYEAALEALSSLIRQQKRGDQKTIGGKYGKLDRMRMYLKILDLEERVAGLKIIHVAGTKGKGSTCTFCEAILRESGFRTGLFTSPHLIDVRERFRINGVDISEVKFLLYFWNCWNQLKEHETEDLPMPPLFQFLTVLAFKVFVCEQVDVSIIEVGLGGRNDSTNVIEKPVVCGITSLGMDHTETLGNTIGQIASHKAGVFKHQIPAFTVLQVSEAMDVLQENARELMVPLKVVEPLDSKALNGLKLSLSGDHQFSNAGLAVSLCKSWLQRTGNWEKLFQKDNREANLPEAFLRGLSTAHISGRAQIVHDSSSSSSHVSSEVAETSGDLIFYLDGAHSPESIEACAKWFSVAVKGNNQSPPLVLSSSHNIENINIVWKNGHVQHEKCNIQEFNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTFFSKAIFVPSISTYNKVTSGTSVIPSDISSKDLSWQFSLQRLWERIVHGIDTDLLEKSTKMDAAETSPHREFLYEDASNCSPSNGYLACSAVIPSLPLTIKWLRDCVRENPSLRLQVLVTGSLHLVGDVLKVIRR >Potri.016G041800.2.v4.1 pep chromosome:Pop_tri_v4:16:2614920:2627126:1 gene:Potri.016G041800.v4.1 transcript:Potri.016G041800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G041800.v4.1 MFPALIHTITHLKGGIFGVPLFHKRESQFSIRTPWGLSFPPAYVDTYSLSRRRKIYSQEKGFRYQSMEKPESDVAIIDYLQDVPLSDSYEAALEALSSLIRQQKRGDQKTIGGKYGKLDRMRMYLKILDLEERVAGLKIIHVAGTKGKGSTCTFCEAILRESGFRTGLFTSPHLIDVRERFRINGVDISEVKFLLYFWNCWNQLKEHETEDLPMPPLFQFLTVLAFKVFVCEQVDVSIIEVGLGGRNDSTNVIEKPVVCGITSLGMDHTETLGNTIGQIASHKAGVFKHQIPAFTVLQVSEAMDVLQENARELMVPLKVVEPLDSKALNGLKLSLSGDHQFSNAGLAVSLCKSWLQRTGNWEKLFQKDNREANLPEAFLRGLSTAHISGRAQIVHDSSSSSSHVSSEVAETSGDLIFYLDGAHSPESIEACAKWFSVAVKGNNQSPPLVLSSSHNIENINIVWKNGHVQHEKCNIQEFNKISKKILLFNCMEVRDPQILLPRLVSTCASSGTFFSKAIFVPSISTYNKVTSGTSVIPSDISSKDLSWQFSLQRLWERIVHGIDTDLLEKSTKMDAAETSPHREFLYEDASNCSPSNGYLACSAVIPSLPLTIKWLRDCVRENPSLRLQVLVTGSLHLVGDVLKVIRR >Potri.001G175500.1.v4.1 pep chromosome:Pop_tri_v4:1:15088933:15092552:-1 gene:Potri.001G175500.v4.1 transcript:Potri.001G175500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G175500.v4.1 MAADYAFKEEDMEIDEGFGFPRAYAKLCRDHGVVGTYTHGPPFAFTPYAMQQHEILRTRELDQMFPVIEPKAKQTAKPKIFISLLWRQLNHLGNAGFDPAVIRVDPYGNVLYFHADKASPLAWDIDHWFPCPRGGLTVPRNLRILQRQVCKRKHNKLEFLVPWWDLQLGISVNQFLSIFASSSSDFRHRAFSFLFSGGESEELNASQTVESHSFPQHFIESIEKLGLAPAAVVVSRRESYDSSLALKSLDYNRQIRPHSPAIASRKMKPGVLKENENSDFVTNPYQAIVMARDSLKQKEAAHKMQAEILSLDDEVNEIKRNDDEEKLSIQDLELTLIKRRRRAEKCRRLAEAQSSYRTMLERMIRDAMHQSVVYKEQVRLNQAATHALMARLEAQKAICDASEKELHKKYKQRDELEKQIRPEWEQGRKRSRMDDTLPEDADSKTVLYLPGIRTRTPSHKELRVFLEEQKATEAGLPANEDAKQDEIEEELKQPEMTIMREEHDKSIIALENEIPIEYRLQALKIGEGKREKIQFPFIQDQEIEEDEESRKQRGKGNVERWLQFLLENSGEEIERQNSNGCETNTSGDIITKLNQKFPQEEARSSTRVQGNDKGKRLEEIIEIEPLKEEENGSVGGEAIASSNSFEGKERIESQKERVLTRSESARTLRRIPSSTSLILGMRKGVECIRKKPMVTGDDDEMVIGLQGTASSSHPSRVSRKQ >Potri.005G247600.1.v4.1 pep chromosome:Pop_tri_v4:5:24189229:24192484:-1 gene:Potri.005G247600.v4.1 transcript:Potri.005G247600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247600.v4.1 MADNSRFDHDLVLAHKFPETTFTYTERDAAVYALGVGACGRNAIDSDELKYVYHENGQQFVQVLPTFAALFSLGSLTNGSIDLPGLQYDPRLLLHGQQYIQIYKPFPSSASLINKVSLAGLHDKGKAAILELETKSYEKQSGELLCMNRSTIYLRGAGGFSNSSHPYSYSNYPVNQAAAPKIPKSQPFAVFEDCTQPSQALLYRLSGDYNPLHSDPMIAEVAGFSRPILHGLCSLGFAVRAVIKCICRGDANIIKTISGRFLLHVYPGETLITEMWLEGSRVIYQAKVKERNRAVLSGFVDLRHVTPSL >Potri.011G030212.1.v4.1 pep chromosome:Pop_tri_v4:11:2418916:2421960:-1 gene:Potri.011G030212.v4.1 transcript:Potri.011G030212.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030212.v4.1 MLYKDCVGKGNYTTNSTYQENLNQLLTSIYTNTEINNGFYNFSYGQDADTVYSIALCRPDISPDVCRVCIRNASDFLVRLCPNFVEAIGGLDNCMVRYTNRSIFNRMEKGPYFWVYDDRVNVSDVVGFNQSRMTLLGRLSDQAAAGDSRYKYAMDQIDVPKNFQKIYALVQCTPDLSASECRDCLYNASGLIPQCCDARQGGRVIYPSCNFRYEIDRFYDPPTNSIPPPPDSTSNNTVPSPPASTSQGKKGKKRNVIIIIVIVPIAVSVILIVCVCIFLRARKQKEEEEVKDLYEMEDVELFQLDFGTVREATGNFSEDNKLGQGGFGTVYKGTLANGQDIAVKRLSRTSGQGELEFKNEVMLVAKLQHRNLVRLLGFCFEKEERILVYEFLPNSSLNNLIFDPVKRVLLDWETLYKIIEGIARGLLYLHEDSRLRIIHRDLKAANILLDENMNPKISDFGMARMFVMDQAQDSTSRVVGTFGYMAPEYVIRGHFSVKSDVYSFGVLVLEIVSGRKIGGSGIGDDGEDLLTYSWRKWNEGTPLDMIDPTLNIGPRSEIMRCINIGLVCVQENEALRPTMAQVSMMLSNYSVTLAAPSKPAFFMHGETSILPLMNASMLTESDESRTKSPQWSNKEVSISEIDLDDIQR >Potri.001G378400.3.v4.1 pep chromosome:Pop_tri_v4:1:39861200:39863397:-1 gene:Potri.001G378400.v4.1 transcript:Potri.001G378400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378400.v4.1 MVLISKPALEQFSFIRNRKPTTVFSGIPLIDLSKPDSKHLLVEACEEFGFFKVINHGVPMEFISKLESEAVNFFSLPLSEKEKVGPPSPFGYGNKSIGQNGDVGWVEYLLLTTNQESISQRFSSVFGDNPEKFRCALNDYVSAVKKMACEILEMMADGLKLQQRNVFSKLLMDEQSDSVFRLNHYPPCPEIEALTDQNMIGFGEHTDPQIISVLRSNNTSGLQISLSDGSWISVPPDQNSFFVNVGDSLQVHQNCCFQYCKKQVSAPQNQYQ >Potri.001G378400.1.v4.1 pep chromosome:Pop_tri_v4:1:39861495:39863397:-1 gene:Potri.001G378400.v4.1 transcript:Potri.001G378400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378400.v4.1 MVLISKPALEQFSFIRNRKPTTVFSGIPLIDLSKPDSKHLLVEACEEFGFFKVINHGVPMEFISKLESEAVNFFSLPLSEKEKVGPPSPFGYGNKSIGQNGDVGWVEYLLLTTNQESISQRFSSVFGDNPEKFRCALNDYVSAVKKMACEILEMMADGLKLQQRNVFSKLLMDEQSDSVFRLNHYPPCPEIEALTDQNMIGFGEHTDPQIISVLRSNNTSGLQISLSDGSWISVPPDQNSFFVNVGDSLQVMTNGRFKSVRHRVLTNSMKARVSMIYFGGPPLSEKIAPLPSLIKGKESLYKEFTWFEYKRSAYSSRLADNRLVLFERIAAS >Potri.005G110700.1.v4.1 pep chromosome:Pop_tri_v4:5:8048778:8050995:-1 gene:Potri.005G110700.v4.1 transcript:Potri.005G110700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G110700.v4.1 MSASRFIKCVTVGDGAVGKTCLLISYTSNTFPTDYVPTVFDNFSANVVVNGATVNLGLWDTAGQEDYNRLRPLSYRGADVFILAFSLISKASYENVSKKWIPELKHYAPGVPIVLVGTKLDLRDDKQFFIDHPGAVPITTAQGEELRKLIGAPAYIECSSKTQQNVKAVFDAAIRVVLQPPKQKKKKSKAQKACSIL >Potri.013G141912.1.v4.1 pep chromosome:Pop_tri_v4:13:15590316:15592568:1 gene:Potri.013G141912.v4.1 transcript:Potri.013G141912.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psaA MIIRSPEPEVKILVDRDPIKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFHGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQITSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFILNRDLLAQLYPSFAEGATPFFTLNWSKYSEFLTFRGGLDPVTGGLWLTDIAHHHLAIAILFLVAGHMYRTNWGIGHGIKDILEAHKGPFTGQGHKGLYEILTTSWHAQLSLNLAMLGSLTIVVAHHMYAMPPYPYLATDYGTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDPTTRYNDLLDRVLRHRDAIISHLNWVCIFLGFHSFGLYIHNDTMSALGRPQDMFSDTAIQLQPVFAQWIQNTHALAPGATAPGATASTSLTWGGDDLVAVGGKVALLPIPLGTADFLVHHIHAFTIHVTVLILLKGVLFARSSRLIPDKANLGFRFPCDGPGRGGTCQVSAWDHVFLGLFWMYNAISVVIFHFSWKMQSDVWGSISDQGVVTHITGGNFAQSSITINGWLRDFLWAQASQVIQSYGSSLSAYGLFFLGAHFVWAFSLMFLFSGRGYWQELIESIVWAHNKLKVAPATQPRALSIIQGRAVGVTHYLLGGIATTWAFFLARIIAVG >Potri.015G014150.1.v4.1 pep chromosome:Pop_tri_v4:15:945916:947311:-1 gene:Potri.015G014150.v4.1 transcript:Potri.015G014150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014150.v4.1 MINHRRGVLTDRIPSVANIDQTLMSHFGAETDGQTSTRKRGQNFMEQRGPGSSDQLTREKADLYHDLPSSESWEEEE >Potri.010G096400.1.v4.1 pep chromosome:Pop_tri_v4:10:12006704:12009702:1 gene:Potri.010G096400.v4.1 transcript:Potri.010G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G096400.v4.1 MEEHLSPLAVTHLLQHTLRSLCVHENSQWVYAVFWRILPRNYPPPKWDGQGAYDRSRGNRRNWILVWEDGFCNFAASTAEINSGDCPSSSVYGNCEFQHYQGLQPELFFKMSHEIYNYGEGLIGKVAADHSHKWIYKEPNDQEINFLSAWHNTADSQPRTWEAQFQSGIKTIALIAVREGVVQLGAVHKVIEDLSYVVLLRKKFSYIESIPGVLLPHPSSSAYPYKVDGYGTVSDTWHYQGSNIAPQSPTEFYDHFNQVPFKITPSMSSLEALLSKLPSVVPPPQPAAAHHQAAGYCDSQSHYVSSIQRGMEKVAKEEIDEEYTRADQQDVGESSSSLSAADYRKQQFQQFQDLNVNVRNTSGYFE >Potri.019G004500.1.v4.1 pep chromosome:Pop_tri_v4:19:1022466:1024373:1 gene:Potri.019G004500.v4.1 transcript:Potri.019G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G004500.v4.1 MSSSTLFSLTLCFLVLFNCCFAQIEQVTSRHDQQQARRRSFQQSECQLQRINALEPARRIKSEAGVTEIWDENDEQFQCAGVAVIRHTIQQRGLLLPAYSNAPKLVYVEQGRGIQGAVFPGCPETFQSSGQFSRDQSQSSEDQHQKVRQVREGDVVALPSGVADWFYNDGDSPLVLVQLLDTSNPANQLDQDFRNFFLAGNPQRELQSQRSSYQRDQFEGQRGRQDEGESRRHQQDRHRNVFGGFDEKILAEAFNIDTRLARSMRNEKDNRGIIVRAEHELQVVSPHQSREEEREIEYRGGRGGGFNGIEETFCTARLKHNINDPERADFFNPRAGRLTTVNSLNLPILRSVQLSVERGVLYPNAMMSPHWNMNAHSIIYITRGNGRIQIVGDNGQTIFDGEVREGQVVTAPQSFAVVKKAGSQGFEWVSFKTNDNAQVSELAGRVSTIRGLPVEVVANSFQISREDARRLKNNREEVSVFSPSQSGRSDEIA >Potri.019G108400.2.v4.1 pep chromosome:Pop_tri_v4:19:14087597:14089567:-1 gene:Potri.019G108400.v4.1 transcript:Potri.019G108400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108400.v4.1 MAGANPTDQQEGGQWLINIKTHFADLTPLSTGWSICKVPRKLRDMNAGAYNPQIISIGPLHDGTDVNVLDMEKHKWHYALSLLERTRDAEKTLDECGETILRNHDALVRAYYPGSIKRVYSNTDFAKMLLFDGCFILELFLRFSSDDAKRQYDPIFTTSWMISTLQRDLALLENQIPFFVLTELYKHIVRHTATDQPRLVELALRFFRPELNTNEGIGAVISGGNFCHLLGLLHTSYLPSSLRVDQRGGAGPWKLLSCATELCAAGIKFQKDTTKHQLDLCFENGVFKIPPLQIHDSTDSLFRNLIAFEQSVQGCRQYMTSYALLMDRLVDTSSDVELLAKKRIIQNDLGGYEDVTDLFNNICKQVVVREFNFTQLCEQVDAYYNKSWHGYKASLKQDYFKNPWTIISFIAAIVLLSLTTLQTIYSVISYYHN >Potri.019G108400.1.v4.1 pep chromosome:Pop_tri_v4:19:14087598:14089606:-1 gene:Potri.019G108400.v4.1 transcript:Potri.019G108400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108400.v4.1 MAGANPTDQQEGGQWLINIKTHFADLTPLSTGWSICKVPRKLRDMNAGAYNPQIISIGPLHDGTDVNVLDMEKHKWHYALSLLERTRDAEKTLDECGETILRNHDALVRAYYPGSIKRVYSNTDFAKMLLFDGCFILELFLRFSSDDAKRQYDPIFTTSWMISTLQRDLALLENQIPFFVLTELYKHIVRHTATDQPRLVELALRFFRPELNTNEGIGAVISGGNFCHLLGLLHTSYLPSSLRVDQRGGAGPWKLLSCATELCAAGIKFQKDTTKHQLDLCFENGVFKIPPLQIHDSTDSLFRNLIAFEQSVQGCRQYMTSYALLMDRLVDTSSDVELLAKKRIIQNDLGGYEDVTDLFNNICKQVVVREFNFTQLCEQVDAYYNKSWHGYKASLKQDYFKNPWTIISFIAAIVLLSLTTLQTIYSVISYYHN >Potri.005G051900.1.v4.1 pep chromosome:Pop_tri_v4:5:3286440:3288473:1 gene:Potri.005G051900.v4.1 transcript:Potri.005G051900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051900.v4.1 MAFSKALPFVAMLIVVLPMVAMADIDGNMTTFYERLCQEVDCGKGTCVGNTSYPLSYMCQCQTGWKQTQYDDDVNDEHKFLPCVIPNCTINYGSCQPAPPPAPQKEVPQNGSIFDPCYWMYCGEGTCTNNGTYRYNCSCNPGFSNLLNISYYPCYSQCTLGSDCAEIIRVANSTSGGTGSGTGNGTTPGENPASTILPLKFQWIIILVISMLMALK >Potri.002G115501.2.v4.1 pep chromosome:Pop_tri_v4:2:8797277:8799524:1 gene:Potri.002G115501.v4.1 transcript:Potri.002G115501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G115501.v4.1 MLSLFLWLLYLLATDSSLQCVVINYILLISAAVEIACACARMANNPQFSGGGRYHDDKTRIKDAMKLGKITMVMATRRIKRGKRTKIGNTGNGIKVPLVMQILSRMGRRSLKNHADIAVTHAYTPKSDGESHESRQKRHKRDNQDGSHRNGGYEELEDGEIRKQPMAAEIDI >Potri.010G072600.1.v4.1 pep chromosome:Pop_tri_v4:10:10080943:10081557:-1 gene:Potri.010G072600.v4.1 transcript:Potri.010G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G072600.v4.1 MDEDKTKSKDEGGEVKYRGVRKRPWGKFAAEIRDSARQGARVWLGTFSTAEEAARAYDRAAYAMRGHLAVLNFPNEYPNMAGNASVGSSSSTPFSSSGYPGSSSSSSSTQQEVFEFECLDDKLLEELLEQEEKRSKKT >Potri.012G013501.1.v4.1 pep chromosome:Pop_tri_v4:12:1610376:1611245:-1 gene:Potri.012G013501.v4.1 transcript:Potri.012G013501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013501.v4.1 MENFAKILSKTDVQKRLSVPTKYLSSLPSFNGDRAVEFQAMDESGCVWAFKCSTRRKRHPKPVLTKGWLAFVACKNLKAGDKVAFYKLKNKCRTATHVCKVRVAKQVKIFGSVFGHSPIRAP >Potri.006G258300.1.v4.1 pep chromosome:Pop_tri_v4:6:25500779:25505169:-1 gene:Potri.006G258300.v4.1 transcript:Potri.006G258300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258300.v4.1 MGKPTGKKKNPGTATPPASPRTTGDMRQTKSSKAFDEDTAIFINMSQELKEEGNKLFQRRDHEGAMLKYEKALKLLPRNHIDVAYLRTNMAACYMQMGLGEYPRAIIECNLALEVVPKYSKALLKRARCYEALNRLDLAFRDVNNVLSMEPNNMTGLEILESVMKAMSEKGISFDEKLIGLDNVDETGVARLRKVVKEKVKKKKKISGKGEEKKIGGKVEEKKVENKDKVVVREKKVSPVVKDKEVVMKTIEEEKVVTKDVKEEKVIDKTVKLVFGEDIRMARLPANCSIGLLRDIVRDRFPGLNGVLMKYRDPEGDLITITTNDELRLAESSSGAQGSLRFYVVEVSLDQEPAYEGMEIEEEVHEDAKKTSDVVENGNVGKSVEVEKGSNRIDDWIVQFARLFKNHVGFDSDSFLDLHELGMKLYSEAMEDTVTSEEAQELFDIAADKFQEMAALALFNWGNVHMSRARKRIFFSEDGSRESVLAQVKIAYEWAKKEYMKAGTRYQEALRIKPDFYEGLLALGQQQFEQAKLCWYHAIGSKIDLESGPCEEVLDLYNKAEDSMERGMQMWEEMEEQRLNGLSKFDKYKDQLQKMDLDGLLRDPSPEEAAEQASNMSSQIYLLWGTMLYERSVVEYKLELPTWEECLEVSVEKFELAGASPTDIAVMIKNHCSNSSALEGLGFKVDEIVQAWNEMYDAKRWEIGVPSFRLEPLFRRRVPKLHDMLEHV >Potri.010G166100.6.v4.1 pep chromosome:Pop_tri_v4:10:16964702:16975384:-1 gene:Potri.010G166100.v4.1 transcript:Potri.010G166100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G166100.v4.1 MYSSRGSNAYGQQSYGAQSGYTQNLGTAYSGSSAGGHDGGSQHSLASRHSLILGGPQEADVGGYRGHASATAHYGSQYGAAYGSTAMSGAQQAPTLSAKGSGGLSLDSRGTYPSTLPDSPKFSSADYISSSSHGYGHKSDQLFAEKIPDYPAIDRRPYGERQGTYMGRDMQGDTATRYVDSVGFGHQHQPEIYERMDQASILRQEQLLKPQSLQSASLDGGARQIDYLAARGAASRHTTQDLMSFGGRIDADPRNSSLLSSSTYNGQHAPSILGAAPRRSVEDLLYPQSSSNPGYGVSLPPGRDYGTGKGLHGTSLESDYLGSHPRINERMDDRASYLREFELREEERRRELLREREKDREKERERERERERERERERERKRERERILERREKERERERERERERERKRGLEIRRERTPPRASMDRRGSSLLKEGRSLRRDSPSHEASHRRHSPVKEKRRDYVCKVGTFSLVDIERDFLSIDKRYPKLFASPEFSKVIVNWPKGNLKLSIHTPVSFEHDFVEDSSEAEKKDLSTTFLSQKFGKPENGSTVWNAKIILLSGLSKNALEELSSEKRCDDRVPHICNILRFAVLKRDRSFMAVGGPWDSADGGDPSIDDSVLIQTALRHAKDVTQLDLHNCRNWNRFLEIHYDRFGIDGFFSHREVTVLFVPDLSECLPSLDAWREQWLAHKKAVADREHQLSLKKERARKKEGEKDKGTDSARDSKKSAQMENVKESASSVINNKDKDGNYIKGKTTECRSGENDKKAEKKDEPETADEGKNVDKKDQGGAAGLQTAGTMKSGKKIIRRIVKQKVTNKTADSENSISKKNELADEGVEGNSGRSEISLEQSESPADTSGVKTFVRKKVIRKVPVGKSTQNKENDLQSEMKAGKDCTEDKPKNTSDTSTPIVTQGTGIKTTIKKKIIKKVLKRKLTGAGASGGTGDLKKDDKKDEEKVVQAGKETENTGEKTAETGNQEREAKDSEKKVIHNTKSKSPIAEKQASVPIFNKIKAVKEDEKEIDQKSSSGTKTEVKADRLKVAPKDSANSKGGKLKDDEKSKEEKKDKDGKEVREKRKPEEPPRHPGFILKTKGNKESKPRFLSLSLDSLLDYTDKDVEESTFELSLFAESLYEMLQYQMGSRLLTFLQKVRIKFVTKRNQYKRQREEIDEKEKEKEKEKEKEKEKDMDMDMDMDKESSRKRLKTSELPVKAKSANSEMSSADQPNDEKTVMEEDTSVDPINETKQEEESESEEDPEEDPEECEEMEDPEEYEEMDDAGHDSSNEQKDEGKTSRDAEHDEPLAGDEKDKAEEVAQDKTDIKDVESKAKSGADLSDKKDDKVKTEKTELSGKEAVIDKELLEAFRFFDRNRTGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDRILYNKLVRMTGV >Potri.010G166100.2.v4.1 pep chromosome:Pop_tri_v4:10:16964607:16975376:-1 gene:Potri.010G166100.v4.1 transcript:Potri.010G166100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G166100.v4.1 MYSSRGSNAYGQQSYGAQSGYTQNLGTAYSGSSAGGHDGGSQHSLASRHSLILGGPQEADVGGYRGHASATAHYGSQYGAAYGSTAMSGAQQAPTLSAKGSGGLSLDSRGTYPSTLPDSPKFSSADYISSSSHGYGHKSDQLFAEKIPDYPAIDRRPYGERQGTYMGRDMQGDTATRYVDSVGFGHQHQPEIYERMDQASILRQEQLLKPQSLQSASLDGGARQIDYLAARGAASRHTTQDLMSFGGRIDADPRNSSLLSSSTYNGQHAPSILGAAPRRSVEDLLYPQSSSNPGYGVSLPPGRDYGTGKGLHGTSLESDYLGSHPRINERMDDRASYLREFELREEERRRELLREREKDREKERERERERERERERERERKRERERILERREKERERERERERERERKRGLEIRRERTPPRASMDRRGSSLLKEGRSLRRDSPSHEASHRRHSPVKEKRRDYVCKEERNLTAIDLYVMVGTFSLVDIERDFLSIDKRYPKLFASPEFSKVIVNWPKGNLKLSIHTPVSFEHDFVEDSSEAEKKDLSTTFLSQKFGKPENGSTVWNAKIILLSGLSKNALEELSSEKRCDDRVPHICNILRFAVLKRDRSFMAVGGPWDSADGGDPSIDDSVLIQTALRHAKDVTQLDLHNCRNWNRFLEIHYDRFGIDGFFSHREVTVLFVPDLSECLPSLDAWREQWLAHKKAVADREHQLSLKKERARKKEGEKDKGTDSARDSKKSAQMENVKESASSVINNKDKDGNYIKGKTTECRSGENDKKAEKKDEPETADEGKNVDKKDQGGAAGLQTAGTMKSGKKIIRRIVKQKVTNKTADSENSISKKNELADEGVEGNSGRSEISLEQSESPADTSGVKTFVRKKVIRKVPVGKSTQNKENDLQSEMKAGKDCTEDKPKNTSDTSTPIVTQGTGIKTTIKKKIIKKVLKRKLTGAGASGGTGDLKKDDKKDEEKVVQAGKETENTGEKTAETGNQEREAKDSEKKVIHNTKSKSPIAEKQASVPIFNKIKAVKEDEKEIDQKSSSGTKTEVKADRLKVAPKDSANSKGGKLKDDEKSKEEKKDKDGKEVREKRKPEEPPRHPGFILKTKGNKESKPRFLSLSLDSLLDYTDKDVEESTFELSLFAESLYEMLQYQMGSRLLTFLQKVRIKFVTKRNQYKRQREEIDEKEKEKEKEKEKEKEKDMDMDMDMDKESSRKRLKTSELPVKAKSANSEMSSADQPNDEKTVMEEDTSVDPINETKQEEESESEEDPEEDPEECEEMEDPEEYEEMDDAGHDSSNEQKDEGKTSRDAEHDEPLAGDEKDKAEEVAQDKTDIKDVESKAKSGADLSDKKDDKVKTEKTELSGKEAVIDKELLEAFRFFDRNRTGYIRVEDMRLIIHNLGKFLSHRDVKELVQSALLESNTGRDDRILYNKLVRMTGV >Potri.015G117700.1.v4.1 pep chromosome:Pop_tri_v4:15:13205280:13207383:-1 gene:Potri.015G117700.v4.1 transcript:Potri.015G117700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117700.v4.1 MCRRTSSCNDKARALTLKIIAIVSILVTSMIGVSAPLFTRSIPALHPDRSLLVIVKAFAAGIILATGFMHVLPDSFDMLSSSCLPENPWHKFPFTGFVAMLSAILTLMVDSLATSVYSKKSTVGVNPESITHGAEPDEEMAVGYFHGHGNGHGHHYEAKLAGGAKQLLRYRVVAMVLELGIIVHSVVIGLSLGASSNTCTIKGLVAALCFHQMFEGMGLGGCILQAEYKPLKKAVMAFFFAVTTPFGIAIGIALSKMYKENSPNALITVGLLNASSAGLLIYMALVDLLAADFMGPKLQGSIKLQVKSYMAVLLGAGGMSLMAKWA >Potri.013G114200.1.v4.1 pep chromosome:Pop_tri_v4:13:12254551:12258594:1 gene:Potri.013G114200.v4.1 transcript:Potri.013G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114200.v4.1 MTTRYVQQRTSIFLSFLFLLFPAVLSELSSNQTSIMVNLSKFLNFSNSSWDATRDPCSWKGVTCSSGNSSVTGLFLSMFGLSNSNSLADVCKIETLRSLDLSKNRLSLIPDDFVNDCGRIDGLKLLNISQNKLDGPLPTFNGFVGLEFLDLSFNSLSGNVSPQLDGLLALKSLNLSFNKFSGPLPVNVGKSLLLESLQLSMNHFQGTIPQDIANCQNLSVIDFSGNALDGSIPSRIGNLKKLRFLILSSNNLSGDIPANISNIPTLFRFAANQNKFDGKIPSGITRYLTLFDLSFNKLRGPIPGDILSQSKLQLVDLSYNQLDGSIPSSISASLLRLRLGGNNLNGSIPSSFDSLENLTYLELDNNRLTGVIPPELGSCQSLALLNLAQNDLAGSVPSLLGNLNDLQVLKLQHNNLVGEIPSEITRLQKLSILNISWNSLTGSIPSSISNLQSLAHLNLQCNKLQGPIPATVNSMNSLLELQLGQNQLNGTIPLMPVKLQISLNLSSNLFQGPIPGTLSRLKDLEVLDLSNNNFSGEIPSSFTEMESLNQLILSNNQLSGVIPGFKPYVSLSARGNAGLINKTATITPQESPKKGKSVAVPVVLAVVAAVLAVGAVSIIVVSLSRRFLKVNNQQSQSGEELPPPQVIEGILLTTNGIHRSNIDFTKTMEIAADPLNIELKTRFSTYYKATMPSGARYFVKKLNWSDKIFQLGSHHKFGQELEDLGKLSNSNVMTPLAYVLSMDSAYLFYEYAEKGTLFYVLHGKLGDALDWASRYSIAVGVAQGLTFLHGCTSGPILLLDLSSQNIFLKSLKEPLVGDIELHKVIDPTKSTGSLSTVAGSVGYIPPEYAYTMRVTMAGNVYSFGVVLLELLTGKPAVSEGTELAKWVLSKSKQQDKWDHILDYNISRTSPAVRGQMLAVLKIALSCVSVSTEARPKMKSVLRLIVNAR >Potri.001G444875.1.v4.1 pep chromosome:Pop_tri_v4:1:47071979:47073200:-1 gene:Potri.001G444875.v4.1 transcript:Potri.001G444875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444875.v4.1 MRCIWKGLVPSNLTTLVVNECKRLTHIFTDSMIATLVQLNYLKISNCEELEQIIAKDIDDEKNKILLGRCHQSLCFPNSCSNEIIEDNKLKSHASPVDVEKEMVLHNLQWLFLEKLPSIVCFSHGCYDFLFPHLWMLEVRQCPKLTTKFATTSNGSMSAQSEVSQVAEDSSTGCSLPTNTCRMWTRNNGWEEKEEEEKGDDGGLIINSFR >Potri.003G019700.1.v4.1 pep chromosome:Pop_tri_v4:3:2028498:2029616:1 gene:Potri.003G019700.v4.1 transcript:Potri.003G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019700.v4.1 MNPILSTLQYWLVNHPKILHFSWTPGQTFGSSYQFLALTVAAYLFLTFLLSRIPLPSSLRRPHILKPITALHSFVLFLLSFIMALGCTLSIISPTARPHFHHIICFPPHTPQSGPLFFWAYVFYLSKILEFVDTLLIILSNSIQRLTFLHVYHHATVVVMCYLWLMTSQSLFPVALITNSLVHMVMYYYYLWSAMGVRPKWKRLVTDCQIVQFVFSFAISGLMLYYHFTGSGCSGIWGWCFNAVFNASLLALFLDFHGKSYANKKAAAHKDKGL >Potri.017G118250.1.v4.1 pep chromosome:Pop_tri_v4:17:12505924:12510386:-1 gene:Potri.017G118250.v4.1 transcript:Potri.017G118250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118250.v4.1 MSWLILLLTIALVCGSAPVFADDDERYLNCMKSFDCGDIKDVGYPFSGSDRPDYCGYPGFELGCSNQDPEITIMQSTYKLLGINNQSRTLNVSRSDYTENLCPTLLSNTSLNPNLLSSTSDHAEVTLYYGCPSPSPPALSSQFTCNINDTVMVGNFITVNYSVLSITDPGLISYLTTCNNSVKFPAHQSAIVPILVSSNVSQFLEAINQGFELEWSANDSLCDTCESSGGQCGYNKTTTAFTCYCADQPQDFECSSSPQAPSQSTRSNRLLKIGLSSAGAVVGAFLGCWIMAFIQRKRRKAALEKTEELPIATPSSKGLATSTNLSQTTPSLTSLKSDIDKGSTYFGVRVFSYDELEEATNFFDSSRELGDGGFGIVYYGVLRDGHEVAVKRLYENNMKRTEQFMNEIEILAHLQHRNLVKLHGCTSRHSRELLLVYEYIPNGTVADHLHGRQSNSGLLTLPVRLSIAIETASALVYLHASDVIHRDVKTNNILLDNDFCVKVADFGLSRLFPTNVTHLTTAPQGTPGYVDPEYYQCYQLTDKSDVYSFGVVLIELISALQAVDTNRRRHDINLSNMAVNKIQNHALNELVDPFLGFDKDFVVRRMVTSVAELAFRCLQQQREMRPTMEEVLEILKRIENENYGAEKADVLDISEDDVGLLKHASSPLQLSADSMSDQFLGSSSSTNTPHSY >Potri.016G129100.1.v4.1 pep chromosome:Pop_tri_v4:16:13243709:13245035:1 gene:Potri.016G129100.v4.1 transcript:Potri.016G129100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129100.v4.1 MPCQVMQEKTLQSFYAAGREKSTENSPCSPGRLEGRVAVVTGGARGIGEATVRLFARHGAKVVIADVEDTLGTLLANSLAPSVSFVHCDVSLEEDIENLINSTVSHYGKLDVLFNNAGVLGNQSKNKSIVNFDAEEFDRVMQVNVRGVALGIKHAARVMIPRGVGCIISTASVAGVMGGLGPHAYTASKHAIVGLTKNTACELGRYGIRVNCISPFGVATSMLVNAWRSGDEEEDCLNFGLPCEKEVEKMEDFVRGLANLKGPTLRARDIAEAALYLASDESKYVSGHNLVVDGGITTSRNCVGL >Potri.004G166400.2.v4.1 pep chromosome:Pop_tri_v4:4:18517250:18518069:1 gene:Potri.004G166400.v4.1 transcript:Potri.004G166400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166400.v4.1 MSCFRPEKKRSVPNKLWKGLVSTLQKKLKSLETSRFRSNKTCQYRLQHHHHHLYDCHFKHSYHDQREDNYGIHTINMAGPLLMESTHARYLDMERKINRAVFVTNDTVRGYEGCNKEEGGVAMVETGDDEQEAGEKSDDGTSFASCETTEQHGVDAFAEEFISKMKGIWRHENQKSEEDCIESWKEHRVDAIAEDFINKTRGSWKLEKQKSVEEYIQRWARLHEYY >Potri.004G171801.1.v4.1 pep chromosome:Pop_tri_v4:4:18726848:18728266:1 gene:Potri.004G171801.v4.1 transcript:Potri.004G171801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G171801.v4.1 MLSGYAKSGMTNPARKLFDKMPKREVVSWNTMVIGFYKELRRLGIGYNEYSFAGLLRSWAAGFLCKMVISSLVADAYAKCGEMSDATRLFDGMNVKDVLAWTTMNSVAWTALISGYVRHGLVYKAPELFTNMMVLGIRRNQFTFSSSVRACASLASVKLGKQIDGYLIRKNFRPNTIVQGVVLWNPMIYALEQHDHGEEAIQMFDDMVGNGLRPDKITLVDGLRLLESMTGGNGIFPDQEHFARLIDLLGQARHFDKLMGQLENMHCKINEQIWNALISVSEQHIELEPQSPAAYILLSSVYAELGRWDLVEKIENTVHAFTASDRLHRLKEVIYSFLEQLVGWMEEEVSSPVAER >Potri.010G157400.1.v4.1 pep chromosome:Pop_tri_v4:10:16426558:16436054:-1 gene:Potri.010G157400.v4.1 transcript:Potri.010G157400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157400.v4.1 MWRMDHPSPSRRTRTSKTPNKSELYSTVVIHNSDSDSEPESKSKTDDNNIYATMLYKGGGENNSKDDDVDVEEEDEESLPPLLKRLPKDFGGGDDDDDADFGTMIVKASRGRHQNQSWSSSSSVAPPRKPYSAPFTEFESRINDIGDNSDGDDDGRGEFGTFLVKSTVVRRSGSGGGGSTMGKAVASMQASGELGFGKERKGSGLLGEEGKQHQQKQSKMSSSSIPESVTREDPTTKYELLNELGKGSYGAVYKARDLRSSELVAIKVISLTEGEEGYEEIRGEIEMLQQCSHPNVVRYLGSYQGEEYLWIVMEYCGGGSVSDLMNVAEEPLEEYQIAYICREALKGLAYLHSIFKVHRDIKGGNILLTEQGEVKLGDFGVAAQLTRTMSKRNTFIGTPHWMAPEVIQESRYDGKVDVWALGVSAIEMAEGLPPRSTVHPMRVLFMISIEPAPMLEDKEKWSLVFHDFVAKCLTKEPRSRPMASEMLKHKFIDRCKVGASAMLPKIEKARQIRTAMSLQAQNLAPAESEPTEGPQLNEVYGDTVPSNRLPMVNEVHSSSDGVDMAGGDYGTFVVHGGEETDKTGLQTALYDAGGILQDHPGNIEGLSVSGTGGKSADPWLDNATGVAANNPLVGESLPALQTIQTSTPEVSGYSEQNLKKNTVSKVHVEGGGGLGSSTLKNETVSRKAFALQDKLWSIYAAGNTVPIPFLRATDISPIALLSDNVLGGIQCDNSGTVAAEALQELFSGDGPSKKGRRIQNEMPLPPGVYQRLTSSSTLLNLAQALAYHKMCYEEMPLQELQATQEKQTIQNLCDTLRTILRL >Potri.016G036300.1.v4.1 pep chromosome:Pop_tri_v4:16:2153910:2158463:-1 gene:Potri.016G036300.v4.1 transcript:Potri.016G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036300.v4.1 MASNHHRHIISNGEADPVPKPTLTTSASFKSRRLVKQNANNNSNSISALSRAGSDVDEIITLLHGSDPVRVELNRLENELRDKDRELGDALAEIKSLKNSERSKEKAVEELTDELDKVDEKLKATEALLENKNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDEMPPIEAIIAPLEAELKLARLEVAKLQDDNKALDRLTKAKEAALLEAERTVQIALVKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLMQTVRELEEAVLAGGAAANAVRDYQRKVQEMNGERKTLEREVARAKVSANRVATVVANEWKDGNDKVMPVKQWLEERRFFQGEMQQLRDKLAVAERAAKAEAQLKEKYQIRFRVLEERLKAPNSISREGRNTSNGPSRRQSLGGVENFSRLSSNGYLSRKAPNSQAGSLRPNNAVTLLKHAKMSSRSFDGGSRSLDDKLLVGAAGKDNGPPKASDQTHSTERTETGEIENETSIEKSKSEHEDYVSGVLYDMLQKEVITLRRACHEKDQSLKDKDDAIEMLAKKVDTLNKAMEIEGKKMRREVAAMEKEVAAMRVSKEHDHRTRRGSAPRASQLLSSRSARNS >Potri.001G334600.1.v4.1 pep chromosome:Pop_tri_v4:1:34291501:34292306:1 gene:Potri.001G334600.v4.1 transcript:Potri.001G334600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334600.v4.1 MATEPKPATEDVKIDLFEDDDEFEEFEINEEWKEKEEGKEVTQQWEDDWDDDDVNDDFSLQLKKELENTPKN >Potri.006G114800.2.v4.1 pep chromosome:Pop_tri_v4:6:8918114:8922469:-1 gene:Potri.006G114800.v4.1 transcript:Potri.006G114800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114800.v4.1 MAEKSFKYVIIGGGVSAGYAAREFCKQGVKPGELAIISKEAVAPYERPALSKAYLFPEGTARLPGFHVCVGSGGERLLPEWYKEKGIELILSTEIVKADLAAKTLVSAAGEIFKYHILIIATGSTVIKLTDFGVQGADAKNILYLREIDDADKLVEAIKGKKNGKAVIVGGGYIGLELSAALRINNIDVTMVYPEPWCMPRLFTAGIAAFYEGYYANKGVKIVKGTVAVGFNADSNGEVKEVKLKDGRVLEADIVVVGVGGRPLTTLFKGQVEEEKGGIKTDAFFKTSISDVYAVGDVATFPLKLYNDIRRVEHVDHARKSAEQAVKAIKSNEEGKTIDVYDYLPFFYSRSFDLSWQFYGDNVGDAVLFGDNDPASPKPKFGSYWIKDGKVVGVFLEGGTPDENKAIAKVARVQPPVENLDVLTKEGLSFACKI >Potri.002G022002.1.v4.1 pep chromosome:Pop_tri_v4:2:1385733:1386044:-1 gene:Potri.002G022002.v4.1 transcript:Potri.002G022002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G022002.v4.1 MASLYPGIFNKGHSVLFSCWMFEPFFLMNSLEFDTKYDVCLKAITASLFSKIVVGSERGNTAVHDHLITVMGGMHISLSQMLFLFVYHTWYLELKNWVLISVL >Potri.002G094800.1.v4.1 pep chromosome:Pop_tri_v4:2:6926034:6929205:-1 gene:Potri.002G094800.v4.1 transcript:Potri.002G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094800.v4.1 MAEITTYSMEHGLGCGPEWRAFLRRHFWPRKTSVHSLPAESTNNILGLPSIANNSERQRSKPQPVVLDSSNLPKSSPQVEKKQKSLHRSRPSTFHQNKEGSSSGGIKVSQTNKTNLRRDSNNDSRELGRIVITNHQKSNDNKVLVRATSGNVMLRGHLGNLRQSGNGNFIGNDSPNATVRTVDYLHKNLQEANLSSRPRNCYSKLGSNSVMGNIVRQPSGEFRQGQGLITSMDPEVLKNKGNERYRQGRYEQALVWYDRAISLDSNKATYRSNRSAALIGLGRLTEAVVECKEAIRLDPSYQRAHYRLATLYFRLGETEKALYHYKQSGPNTDSKEVAQAQALQMHLNRCTEARKLKEWNRLLKETGRSISSGADSAPQVYAMQAEALLRLHRHQEAYTAYQKGPNFSVDFYTKLFGLTVAPYILMIGAQIYMAAGRFEDAMATAQQAARLDLRNGEVSNVVKSVRAVASARLSGNSLFKASKFTEACIAYSEGLEYDAYNSILLCNRAACRSKLGQYEKAVEDCTVALSVQPNYSKARLRRAHCNAELGRWEASIQDFEMLIRESPADEEVGRALLDSQVQLKKQRGEDTKDLKYGSKF >Potri.004G181500.2.v4.1 pep chromosome:Pop_tri_v4:4:19572692:19574172:-1 gene:Potri.004G181500.v4.1 transcript:Potri.004G181500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G181500.v4.1 MISLKKLVKLARKWRKLAVIRGKRITLPQTISSIDSDDCSTSSTVEKGHFVVYTTDEKCIRELFSLAEEGFGLTSNGPLILPCDAIFMEYAITLIQQHAAKDVEKALLMTIFSSRCSSYDLCIFIKMLEINSCQFFIF >Potri.004G228600.6.v4.1 pep chromosome:Pop_tri_v4:4:23176988:23184116:1 gene:Potri.004G228600.v4.1 transcript:Potri.004G228600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228600.v4.1 MTSKEEEEEEEEEEEEEEKTAMRRKAKRKEKKKLKRKQARREIAEKEREEEERRLNDPEEQRRLKEMEEEEKERMERERKAFEERERQWMEVMERKRKEEEEEERRKVLEEKEEEVNTLLQDGKENGCDEDDDWEYIEEGPAEIIWQENEIIVRKKKVRVPKKIADRQGTKEDVDRPTSNPLPPQSEAFTEYQNASVVSAQQMLENVAQQVPNFGTEQDKAHCPFHLKTGACRFGQRCSRVHFYPDKACTLLIKNMYNGPGLAWEQDEGLEYTDEEVECSYEEFYEDVHTEFLKYGEIVNFKVCKNGSFHLRGNVYVHYKSLDSAILAYHSINGRYFAGKQVKCEFINLTRWKVAICGEFMKSRLKTCSHGSACNFIHCFRNPGGDYEWADWDRPPPRFWVKKMASLFGYSAESSYEKQTVEEKLRQTRMSSNMLTADRDRHDRMRRSRSRDMDCAIHSSHKSGDIEDDFPEVTHWRSHSKDDGSNSDGRQSDRDTERDRDRDRDRDRERYRHHYHARKRSKHHSKVSERSTDYGENNSRSHEIDQNELSDDGRNRHEHFGSTKSSGRRTFIDDDKDSKDEAHRMYGYRLDRDINREESRHRTGKSSRHQSRVRSPDDHGDGESRTLDSGSSREGSPRDRDRHHHHISKSLGNQKNVLEFPDNHWDNGPRTYSTNSSNDLLDRDRVRHFGRGRKRSEHSEPEFSDDEGAIVKRLKDKSLVGKSDIRKSHLQRKPTGGHSTRRNYSSKETVDVNSPVESHKLDGNTVYGQVKQCSGRWESNSPGSRYNFSEEIDEQDRWEPES >Potri.001G299800.1.v4.1 pep chromosome:Pop_tri_v4:1:31077203:31077953:-1 gene:Potri.001G299800.v4.1 transcript:Potri.001G299800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299800.v4.1 MGRELKSVSVFSVLLLLLSLLLSSLVYGTEARPLSSSRLSKDVNVGEIEGLIGGFSARAVKNSGPSPGIGHKYKNFQSLGEASKSGPSPGEGHRQVTNVNKP >Potri.007G011300.1.v4.1 pep chromosome:Pop_tri_v4:7:889316:893452:1 gene:Potri.007G011300.v4.1 transcript:Potri.007G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011300.v4.1 MLQRAASNAYSWWWASHIRTKQSKWLEQNLHDMEDKVQSVIKLIEEDGDSFAKRAEMYYKKRPELIHFVEDSYRAYRALAERYNHISTELQNANNTIAYVFPEKVQFAMEEDEDEAPSSKFENKLPEVSRANIPKVPKIPKDVKGLFTSASKKLQSKKSMKGASNATVSKSGLSKSEGLLEIDKIQRQILALQTEKEFAKSSYEGGLAKYWEIELQIKEMQEKVCNLQDEFGAGIVIEDEEARTLMASAALKSCQETLAQLQEKQERSAEEAVEERKRITNAREKLKSLKDEFLHGEINPENPRAKDEPIKAVEGLESIHQQVSGTTEEKQDIELLREKIKENLDVGSNASVTAAELVEKIDELVNKIINLEASVSSQTALIQRLRTETDELQAEIQTLEEDKATLINGKNDLREQLREMEEKLHGIQNLNQSVEDQNNSLHAHFTEAHSSIDHLSAKLLCVKSDDEFDVKPETVDRSLVEVESQEAALNPDDSIEKPQNVKTKEHLKVSGQSHEDFKGSEGALNPDDGLGEQQNQKPNHELKVSYSSQKGKETPAEVSWLADFKEQEEKMNDADGSMNFTENQGEKEEIKEHGQNSSQPQKTTDLNNSMEELPGLEIEEKVFKKDSPSLMDDLNVEIQEQETTLVDEPDWKQLFMNGMENRERALLTEYTSILRNFKEVKKKLSEAEKKNGDSLFDATVQVRELKSANAKKDEQIQFLRQKLILLQAGLGEDSELIKSMVTECPTTEKEVTEDFRVILMDQPETISEIEKRFRMSIDEVLEENLDFWLRFSTTFQQIQKFETQVQDLQSELLKLEEKKRMEDGSSNAEYSLKSDAKPLYKYLREIHTELTVWLEKSMQLKDEVKGRFTSLCDIQDEITSALKESAEDDEFKFTSYQAAKFQGEILNMKQENNKVADELQAGLDHITTLQLEVERTLAKLNEEFKLSGSKNRQNIQLSHSVSRSRVPLRSFIFGTKPKKHRTSIFSCMHPALYRRHFRSGPNA >Potri.011G133600.2.v4.1 pep chromosome:Pop_tri_v4:11:16680607:16682708:-1 gene:Potri.011G133600.v4.1 transcript:Potri.011G133600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133600.v4.1 MGNTLLMVATLIATVTFAAAFTLPGGFNNDLGLKQGVALLESSKHLRWFVFSDAIAMTSSIIAACIIFWGAVSNDESYVYYLASATVLTCIALQSAGIAFLSGIVAVLPDQPFVDSVTYIVGIAFHVINFLFLLQLLRVFLVSEICQFLIFYFWKMKSRINK >Potri.001G035600.4.v4.1 pep chromosome:Pop_tri_v4:1:2596468:2597815:-1 gene:Potri.001G035600.v4.1 transcript:Potri.001G035600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035600.v4.1 MQPNIDLLIKEGVTFDRVAKLIISQPGAIQQKHSRMVYTVNALKNLGIEPNTPMFMHALRVMLQTSDPTRKKKVGVLKSLGWTEEEILKDFKHDRLILGCSEEKIRDVMDFFAGTLRLKPQTVITNSWFLHYSIDKRLRPRYNVLKTLKSKNPIDGDIRIAWLLTSLSEKKFSENFVTKS >Potri.001G035600.2.v4.1 pep chromosome:Pop_tri_v4:1:2596468:2597815:-1 gene:Potri.001G035600.v4.1 transcript:Potri.001G035600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035600.v4.1 MQPNIDLLIKEGVTFDRVAKLIISQPGAIQQKHSRMVYTVNALKNLGIEPNTPMFMHALRVMLQTSDPTRKKKVGVLKSLGWTEEEILKDFKHDRLILGCSEEKIRDVMDFFAGTLRLKPQTVITNSWFLHYSIDKRLRPRYNVLKTLKSKNPIDGDIRIAWLLTSLSEKKFSENFVTKYADNVPGLLDFLQCG >Potri.001G035600.3.v4.1 pep chromosome:Pop_tri_v4:1:2596400:2597815:-1 gene:Potri.001G035600.v4.1 transcript:Potri.001G035600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G035600.v4.1 MQPNIDLLIKEGVTFDRVAKLIISQPGAIQQKHSRMVYTVNALKNLGIEPNTPMFMHALRVMLQTSDPTRKKKVGVLKSLGWTEEEILKDFKHDRLILGCSEEKIRDVMDFFAGTLRLKPQTVITNSWFLHYSIDKRLRPRYNVLKTLKSKNPIDGDIRIAWLLTSLSEKKFSENFVTKS >Potri.005G068000.10.v4.1 pep chromosome:Pop_tri_v4:5:4416005:4419552:-1 gene:Potri.005G068000.v4.1 transcript:Potri.005G068000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068000.v4.1 MAAERKRLFITNGSLLQEKLISSSNGRYYPFHNFSIEELEKATNNYAPHCFLSYNLLGTWYKGSLDGRVLSICIPRYPNVQASRNQIINEIVNDVAIAAQLSRQRNFLRLIGCCLETPVPLLVYESVKRGNVSEQIHVTGEFHSQPMTWKCRLKIAREIAHAVSYLHTAFSRPIVHRGINPLNIFLDDYNVAKLSNFSQSLYICEDEIIKTDRIIGTLGYLPPEYLEHGEITEKFDVYSFGTLLLELLTGRRPYNLIARRAGYFRFWMNRMEGHFAGNCLKYHVKCHSINEVVDYRILAGGGINEQQQWQAAVELALKCLETSKDKRPAMEEVTKILWQIERSLATFPERHSSVYDDGRIFMEEMIASYEGRCHVLQSFSGEELKKMTNNYHPDYIFCCSNIGIWYKGNTENGNISMYKISNVNWCEHVKNEFKFAAELSSHKNVLKLLGYCLETSIPTLVYESTGNGTLFDEIHFHPAPFSFHIRLVIARQIADLVAYLHTELSIPIISKNIKSANIFLDKHHIPKLSNFSQSVQIIDGEAFPTNQIEGTKGYMSPEYITEGIVTQEFDVYNFGVLLFELLIGLRLFDLFHLVDKEGGLLLDHLQNFVKWHSINEVVDPKIPKNEEGHDEQQKWEVVLELAFRCMVTAKEERPKMVEVATELNKL >Potri.005G068000.11.v4.1 pep chromosome:Pop_tri_v4:5:4415949:4419533:-1 gene:Potri.005G068000.v4.1 transcript:Potri.005G068000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068000.v4.1 MAAERKRLFITNGSLLQEKLISSSNGRYYPFHNFSIEELEKATNNYAPHCFLSYNLLGTWYKGSLDGRVLSICIPRYPNVQASRNQIINEIVNDVAIAAQLSRQRNFLRLIGCCLETPVPLLVYESVKRGNVSEQIHVTGEFHSQPMTWKCRLKIAREIAHAVSYLHTAFSRPIVHRGINPLNIFLDDYNVAKLSNFSQSLYICEDEIIKTDRIIGTLGYLPPEYLEHGEITEKFDVYSFGTLLLELLTGRRPYNLIARRAGYFRFWMNRMEGHFAGNCLKYHVKCHSINEVVDYRILAGGGINEQQQWQAAVELALKCLETSKDKRPAMEEVTKILWQIERSLATFPERHSSVYDDGRIFMEEMIASYEGRCHVLQSFSGEELKKMTNNYHPDYIFCCSNIGIWYKGNTENGNISMYKISNVNWCEHVKNEFKFAAELSSHKNVLKLLGYCLETSIPTLVYESTGNGTLFDEIHFHPAPFSFHIRLVIARQIADLVAYLHTELSIPIISKNIKSANIFLDKHHIPKLSNFSQSVQIIDGEAFPTNQIEGTKGYMSPEYITEGIVTQEFDVYNFGVLLFELLIGLRLFDLFHLVDKEGGLLLDHLQNFVKWHSINEVVDPKIPKNEEGHDEQQKWEVVLELAFRCMVTAKEERPKMVEVATELNKL >Potri.005G068000.8.v4.1 pep chromosome:Pop_tri_v4:5:4416084:4419552:-1 gene:Potri.005G068000.v4.1 transcript:Potri.005G068000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068000.v4.1 MAAERKRLFITNGSLLQEKLISSSNGRYYPFHNFSIEELEKATNNYAPHCFLSYNLLGTWYKGSLDGRVLSICIPRYPNVQASRNQIINEIVNDVAIAAQLSRQRNFLRLIGCCLETPVPLLVYESVKRGNVSEQIHVTGEFHSQPMTWKCRLKIAREIAHAVSYLHTAFSRPIVHRGINPLNIFLDDYNVAKLSNFSQSLYICEDEIIKTDRIIGTLGYLPPEYLEHGEITEKFDVYSFGTLLLELLTGRRPYNLIARRAGYFRFWMNRMEGHFAGNCLKYHVKCHSINEVVDYRILAGGGINEQQQWQAAVELALKCLETSKDKRPAMEEVTKILWQIERSLATFPERHSSVYDDGRIFMEEMIASYEGRCHVLQSFSGEELKKMTNNYHPDYIFCCSNIGIWYKGNTENGNISMYKISNVNWCEHVKNEFKFAAELSSHKNVLKLLGYCLETSIPTLVYESTGNGTLFDEIHFHPAPFSFHIRLVIARQIADLVAYLHTELSIPIISKNIKSANIFLDKHHIPKLSNFSQSVQIIDGEAFPTNQIEGTKGYMSPEYITEGIVTQEFDVYNFGVLLFELLIGLRLFDLFHLVDKEGGLLLDHLQNFVKWHSINEVVDPKIPKNEEGHDEQQKWEVVLELAFRCMVTAKEERPKMVEVATELNKL >Potri.005G068000.9.v4.1 pep chromosome:Pop_tri_v4:5:4415942:4419552:-1 gene:Potri.005G068000.v4.1 transcript:Potri.005G068000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068000.v4.1 MAAERKRLFITNGSLLQEKLISSSNGRYYPFHNFSIEELEKATNNYAPHCFLSYNLLGTWYKGSLDGRVLSICIPRYPNVQASRNQIINEIVNDVAIAAQLSRQRNFLRLIGCCLETPVPLLVYESVKRGNVSEQIHVTGEFHSQPMTWKCRLKIAREIAHAVSYLHTAFSRPIVHRGINPLNIFLDDYNVAKLSNFSQSLYICEDEIIKTDRIIGTLGYLPPEYLEHGEITEKFDVYSFGTLLLELLTGRRPYNLIARRAGYFRFWMNRMEGHFAGNCLKYHVKCHSINEVVDYRILAGGGINEQQQWQAAVELALKCLETSKDKRPAMEEVTKILWQIERSLATFPERHSSVYDDGRIFMEEMIASYEGRCHVLQSFSGEELKKMTNNYHPDYIFCCSNIGIWYKGNTENGNISMYKISNVNWCEHVKNEFKFAAELSSHKNVLKLLGYCLETSIPTLVYESTGNGTLFDEIHFHPAPFSFHIRLVIARQIADLVAYLHTELSIPIISKNIKSANIFLDKHHIPKLSNFSQSVQIIDGEAFPTNQIEGTKGYMSPEYITEGIVTQEFDVYNFGVLLFELLIGLRLFDLFHLVDKEGGLLLDHLQNFVKWHSINEVVDPKIPKNEEGHDEQQKWEVVLELAFRCMVTAKEERPKMVEVATELNKL >Potri.005G068000.12.v4.1 pep chromosome:Pop_tri_v4:5:4415983:4419532:-1 gene:Potri.005G068000.v4.1 transcript:Potri.005G068000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068000.v4.1 MAAERKRLFITNGSLLQEKLISSSNGRYYPFHNFSIEELEKATNNYAPHCFLSYNLLGTWYKGSLDGRVLSICIPRYPNVQASRNQIINEIVNDVAIAAQLSRQRNFLRLIGCCLETPVPLLVYESVKRGNVSEQIHVTGEFHSQPMTWKCRLKIAREIAHAVSYLHTAFSRPIVHRGINPLNIFLDDYNVAKLSNFSQSLYICEDEIIKTDRIIGTLGYLPPEYLEHGEITEKFDVYSFGTLLLELLTGRRPYNLIARRAGYFRFWMNRMEGHFAGNCLKYHVKCHSINEVVDYRILAGGGINEQQQWQAAVELALKCLETSKDKRPAMEEVTKILWQIERSLATFPERHSSVYDDGRIFMEEMIASYEGRCHVLQSFSGEELKKMTNNYHPDYIFCCSNIGIWYKGNTENGNISMYKISNVNWCEHVKNEFKFAAELSSHKNVLKLLGYCLETSIPTLVYESTGNGTLFDEIHFHPAPFSFHIRLVIARQIADLVAYLHTELSIPIISKNIKSANIFLDKHHIPKLSNFSQSVQIIDGEAFPTNQIEGTKGYMSPEYITEGIVTQEFDVYNFGVLLFELLIGLRLFDLFHLVDKEGGLLLDHLQNFVKWHSINEVVDPKIPKNEEGHDEQQKWEVVLELAFRCMVTAKEERPKMVEVATELNKL >Potri.004G157100.2.v4.1 pep chromosome:Pop_tri_v4:4:17804829:17809525:-1 gene:Potri.004G157100.v4.1 transcript:Potri.004G157100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157100.v4.1 MDSCCVVLRANTPVAKASKGGFNNGDTEFWGERIRGSFNNIWANQFAKSLKVDKSVNKFTPGVAFAVLTSNNGKETVTLQPPRFGRRRADPKNVASIILGGGAGTQLFPLTRRAATPAVPVGGCYRLIDIPMSNCINSGINKIFVLTQFNSASLNRHLAHTYFGNGINFGDGFVEVLAATQTPGEAGMKWFQGTADAVRQFTWVFEDAKNRSIENILILSGDHLYRMDYMDFVQHHVDSNADITISCVAVGESRASDYGLVKIDSKGQIFQFTEKPKGSELREMQVDTTHLGLSPQDALKSSYIASMGVYVFKTDILLKLLRWRYPTSNDFGSEIIPAAVMEHNVQAYIFKDYWEDIGTIKSFYEANLALAEEPPKFEFYDPKTPFYTSPGFLPPTKIDKCRIVDAIISHGCFLRECTVQHSVVGERSRLDYGVELKDTVMLGADHYQTEAEIASLLAEGKVPIGVGRNTKIRNCIIDKNAKIGKDVIITNKDGVQEADREEKGFYIRSGITIILEKATIEDGTVI >Potri.008G206200.8.v4.1 pep chromosome:Pop_tri_v4:8:15230586:15232654:-1 gene:Potri.008G206200.v4.1 transcript:Potri.008G206200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206200.v4.1 MTCYGGVAAAAALPSLPPTTLTTLPSSSSNTSVRDVGSGGAEHPNTVLKPTVDGFKWRLLISYDGTHYKGWQFQQTPPTIQCILEKALTRATKLERKDLHLVGASRTDGGVHAWGQVAHFVTPFNYDSLDSIHAALNGLLPPDIRVREISAVVPEFHARFSAKGKTYHYKIFNDTVMDPFQRLYAYHVTHKLNAAVMREAANHFIGTRDFSAFVNSSRNDGVPNPVKTIFRFDIIKMGALLQLEVEGSGFMYRQVRNMVALLLQIGKEAISPDIVPKILATRDRKELAKYTLAAPPHGLCLVAVKYNEEHLQLPLVCPTTSLGRHHSISKCKVPFY >Potri.008G206200.1.v4.1 pep chromosome:Pop_tri_v4:8:15230599:15232690:-1 gene:Potri.008G206200.v4.1 transcript:Potri.008G206200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G206200.v4.1 MTCYGGVAAAAALPSLPPTTLTTLPSSSSNTSVRVRDVGSGGAEHPNTVLKPTVDGFKWRLLISYDGTHYKGWQFQQTPPTIQCILEKALTRATKLERKDLHLVGASRTDGGVHAWGQVAHFVTPFNYDSLDSIHAALNGLLPPDIRVREISAVVPEFHARFSAKGKTYHYKIFNDTVMDPFQRLYAYHVTHKLNAAVMREAANHFIGTRDFSAFVNSSRNDGVPNPVKTIFRFDIIKMGALLQLEVEGSGFMYRQVRNMVALLLQIGKEAISPDIVPKILATRDRKELAKYTLAAPPHGLCLVAVKYNEEHLQLPLVCPTTSLGRHHSISKCKVPFY >Potri.009G080800.2.v4.1 pep chromosome:Pop_tri_v4:9:7711099:7720900:1 gene:Potri.009G080800.v4.1 transcript:Potri.009G080800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080800.v4.1 MLESITCCHSPKGHNIRNKSSPIRACSRHISHFHVHSFNARFFTKQPTRMPSCGLNYWTSQFSFVSGNIFEGKSLLTSRLCGSRGMYMSRQRLGRWERSRLCAAVDVGSAIDVINDLGLDTLTFLGVTVVVVPVFKTIRASPILGFFFAGIVLNQFGFIRNLTDVKVLSEWGILFLLFEMGLELSLARLKALAKYAFGMGLTQVVLSTLAFTAFELPPNGAIGTKILEFLFHSRPDLVNIRSIDEAVVIGAALSLSSSAFVLQLLAEKGELPTRFGSATLGILLLQDIAVVPLLVILPVLESQNLVEESIWPMLAQESLKALGGLGLLSLGGKYLLRRVFEVVAEARSSEAFVALCLLTVAGTSLLTQKLGFSDTLGAFLAGALLAETNFRTQIEADIRPFRGLLLGLFFVTTGTSIDTQLLFREWPNILSLLAGLIAIKTMIITAIGPRVGLTLQESVRIGLLLSQGGEFAFVVFSLANSLGVLPLELNKLLIIVVVLSMALTPLLNEVGRRAAEFIEDKFDTEDKAAEVNFNVREPIVIVGFGQMGQVLANFLSAPLASGIDGGFVGWPYVAFDLNVSVVKASRKLGFPILYGDGSLPAVLQSASISSPKAFMIMFTGRRRTTEAVQRLRLAFPVIPIYARAQDLTHLLELKKAGATDAILENAEMSLQLGSKLLKDFGVMSDDVNFLSQLVRESMELQAQEALSKNDAREFDITKPFQVRVSDSIGAQAPIPSTSSGSKSLSINQTDESHVLRFQGEADQAAHDSELQEPEDLQGKGVLYCELDGENGFPVRTDDAMVEKNVLDPSAPCMATTEDP >Potri.008G212700.1.v4.1 pep chromosome:Pop_tri_v4:8:17417381:17418456:-1 gene:Potri.008G212700.v4.1 transcript:Potri.008G212700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G212700.v4.1 MGVFTYESEASTVIPPARLFKALFVDAAEVMPKALPQAIKSIVTLEGDGGPGTIKQTYFGDGSLSFKERTDAIDKENLSYAYTVFEGAVLANTYEKIFNESKIEASPDGGSVCKTSTTYYTVGNVDAKADEIKDGQEKQMGLFKAIEAYLLANPDA >Potri.016G039401.1.v4.1 pep chromosome:Pop_tri_v4:16:2420730:2421530:-1 gene:Potri.016G039401.v4.1 transcript:Potri.016G039401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039401.v4.1 MMKRPACWEFVLILGFRCLQGRRQTTEPILVSVYCCSLVLSVSL >Potri.002G177500.1.v4.1 pep chromosome:Pop_tri_v4:2:13819684:13821304:-1 gene:Potri.002G177500.v4.1 transcript:Potri.002G177500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G177500.v4.1 MKEEQLPSFNHRHTDNNKKTRLVFRLLNSPAVYVILLLLSYALGYLSAPSSRSPPQPLPSPEILKVGDNLNTQLDNFRVKTHCAKPLPPQLVHQTILDRVFNSTSPYDNFPPAYVSGLLREKKFKGWGSYGEVFEHLIQKVKPKVIIEVGTFLGASALHMAELTRKLGLDSQILCIDDFRGWPGFRDRFGYVNMINSDVLLLYQFMQNVIHKNATGSVLPMPFSSGSALEKLCEWGVFGDLIEIDAGHDFNSAWADINRAYRILRPGGIIFGHDYFTAADNRGVRRAVNLFAQMNGLKIHTDGQHWVIDSP >Potri.018G069450.3.v4.1 pep chromosome:Pop_tri_v4:18:8372900:8376102:-1 gene:Potri.018G069450.v4.1 transcript:Potri.018G069450.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069450.v4.1 MLPKHTVCTSAVGLLTFGAHFTCWVLRGGKNYSERIEVNNAEIVESEQGVVAADDARCSEIGASMLRQGGHAVDAAVSTALCVGVVNPVGSGIGGGAFMIVRSSSTSKTQAFDMRETAPGAASQNMYANNLNNKYSGALSMGVPGEIAGLHEAWLQHGRLNWRTLFQPAIKLARDGFVVAPYLASSIAKSAKKIMNDPGLQQVFAPNGRLLQAGDKCSNLELAQSLEAVAEQGPQAFYNGTVGEKFVKDVRDAGGILTMEDLKNYKVDIMDALAANVTGYTIYGMPPPSSGTLGMSLVLNILNSYGSSKAAEGNLGLHRLIEAMKHMFAVRMNLGDPAFINTAKYMSEMLSQSYADKIQKMIVDNTTFPPEYYMNMESRWSQLRDHGTSHFCIVDAERNAVSMTTTINYGFGAGVLSPSTGILLNNEMGDFSAPTEITPDMLPPAPANFIEPNKRPLSSMTPIIVTKDNQLAGVMGGSGGLFIIPAVIQVFLNHFVLRMEPLTAVESPRVYHKLIPNTVLYENWTVIDGKHIELAGDRKAFLEERGHQLLAQAGGAIVQLVVQTLQSPIHVDSENSRDSVNSQILHGTLTAVSDPRKDGRPAAI >Potri.018G069450.2.v4.1 pep chromosome:Pop_tri_v4:18:8372774:8376505:-1 gene:Potri.018G069450.v4.1 transcript:Potri.018G069450.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069450.v4.1 MGRQSTVAPLLGTDVSSTNYHIYGKKNWSRKALCFFLASVTIITTVGLLTFGAHFTCWVLRGGKNYSERIEVNNAEIVESEQGVVAADDARCSEIGASMLRQGGHAVDAAVSTALCVGVVNPVGSGIGGGAFMIVRSSSTSKTQAFDMRETAPGAASQNMYANNLNNKYSGALSMGVPGEIAGLHEAWLQHGRLNWRTLFQPAIKLARDGFVVAPYLASSIAKSAKKIMNDPGLQQVFAPNGRLLQAGDKCSNLELAQSLEAVAEQGPQAFYNGTVGEKFVKDVRDAGGILTMEDLKNYKVDIMDALAANVTGYTIYGMPPPSSGTLGMSLVLNILNSYGSSKAAEGNLGLHRLIEAMKHMFAVRMNLGDPAFINTAKYMSEMLSQSYADKIQKMIVDNTTFPPEYYMNMERWSQLRDHGTSHFCIVDAERNAVSMTTTINYGFGAGVLSPSTGILLNNEMGDFSAPTEITPDMLPPAPANFIEPNKRPLSSMTPIIVTKDNQLAGVMGGSGGLFIIPAVIQVFLNHFVLRMEPLTAVESPRVYHKLIPNTVLYENWTVIDGKHIELAGDRKAFLEERGHQLLAQAGGAIVQLVVQTLQSPIHVDSENSRDSVNSQILHGTLTAVSDPRKDGRPAAI >Potri.018G069450.6.v4.1 pep chromosome:Pop_tri_v4:18:8372906:8376505:-1 gene:Potri.018G069450.v4.1 transcript:Potri.018G069450.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069450.v4.1 MYANNLNNKYSGALSMGVPGEIAGLHEAWLQHGRLNWRTLFQPAIKLARDGFVVAPYLASSIAKSAKKIMNDPGLQQVFAPNGRLLQAGDKCSNLELAQSLEAVAEQGPQAFYNGTVGEKFVKDVRDAGGILTMEDLKNYKVDIMDALAANVTGYTIYGMPPPSSGTLGMSLVLNILNSYGSSKAAEGNLGLHRLIEAMKHMFAVRMNLGDPAFINTAKYMSEMLSQSYADKIQKMIVDNTTFPPEYYMNMERWSQLRDHGTSHFCIVDAERNAVSMTTTINYGFGAGVLSPSTGILLNNEMGDFSAPTEITPDMLPPAPANFIEPNKRPLSSMTPIIVTKDNQLAGVMGGSGGLFIIPAVIQVFLNHFVLRMEPLTAVESPRVYHKLIPNTVLYENWTVIDGKHIELAGDRKAFLEERGHQLLAQAGGAIVQLVVQTLQSPIHVDSENSRDSVNSQILHGTLTAVSDPRKDGRPAAI >Potri.018G069450.1.v4.1 pep chromosome:Pop_tri_v4:18:8372716:8376630:-1 gene:Potri.018G069450.v4.1 transcript:Potri.018G069450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069450.v4.1 MGRQSTVAPLLGTDVSSTNYHIYGKKNWSRKALCFFLASVTIITTVGLLTFGAHFTCWVLRGGKNYSERIEVNNAEIVESEQGVVAADDARCSEIGASMLRQGGHAVDAAVSTALCVGVVNPVGSGIGGGAFMIVRSSSTSKTQAFDMRETAPGAASQNMYANNLNNKYSGALSMGVPGEIAGLHEAWLQHGRLNWRTLFQPAIKLARDGFVVAPYLASSIAKSAKKIMNDPGLQQVFAPNGRLLQAGDKCSNLELAQSLEAVAEQGPQAFYNGTVGEKFVKDVRDAGGILTMEDLKNYKVDIMDALAANVTGYTIYGMPPPSSGTLGMSLVLNILNSYGSSKAAEGNLGLHRLIEAMKHMFAVRMNLGDPAFINTAKYMSEMLSQSYADKIQKMIVDNTTFPPEYYMNMESRWSQLRDHGTSHFCIVDAERNAVSMTTTINYGFGAGVLSPSTGILLNNEMGDFSAPTEITPDMLPPAPANFIEPNKRPLSSMTPIIVTKDNQLAGVMGGSGGLFIIPAVIQVFLNHFVLRMEPLTAVESPRVYHKLIPNTVLYENWTVIDGKHIELAGDRKAFLEERGHQLLAQAGGAIVQLVVQTLQSPIHVDSENSRDSVNSQILHGTLTAVSDPRKDGRPAAI >Potri.018G069450.5.v4.1 pep chromosome:Pop_tri_v4:18:8372925:8376460:-1 gene:Potri.018G069450.v4.1 transcript:Potri.018G069450.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069450.v4.1 MYANNLNNKYSGALSMGVPGEIAGLHEAWLQHGRLNWRTLFQPAIKLARDGFVVAPYLASSIAKSAKKIMNDPGLQQVFAPNGRLLQAGDKCSNLELAQSLEAVAEQGPQAFYNGTVGEKFVKDVRDAGGILTMEDLKNYKVDIMDALAANVTGYTIYGMPPPSSGTLGMSLVLNILNSYGSSKAAEGNLGLHRLIEAMKHMFAVRMNLGDPAFINTAKYMSEMLSQSYADKIQKMIVDNTTFPPEYYMNMESRWSQLRDHGTSHFCIVDAERNAVSMTTTINYGFGAGVLSPSTGILLNNEMGDFSAPTEITPDMLPPAPANFIEPNKRPLSSMTPIIVTKDNQLAGVMGGSGGLFIIPAVIQVFLNHFVLRMEPLTAVESPRVYHKLIPNTVLYENWTVIDGKHIELAGDRKAFLEERGHQLLAQAGGAIVQLVVQTLQSPIHVDSENSRDSVNSQILHGTLTAVSDPRKDGRPAAI >Potri.018G069450.4.v4.1 pep chromosome:Pop_tri_v4:18:8372653:8376505:-1 gene:Potri.018G069450.v4.1 transcript:Potri.018G069450.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G069450.v4.1 MYANNLNNKYSGALSMGVPGEIAGLHEAWLQHGRLNWRTLFQPAIKLARDGFVVAPYLASSIAKSAKKIMNDPGLQQVFAPNGRLLQAGDKCSNLELAQSLEAVAEQGPQAFYNGTVGEKFVKDVRDAGGILTMEDLKNYKVDIMDALAANVTGYTIYGMPPPSSGTLGMSLVLNILNSYGSSKAAEGNLGLHRLIEAMKHMFAVRMNLGDPAFINTAKYMSEMLSQSYADKIQKMIVDNTTFPPEYYMNMESRWSQLRDHGTSHFCIVDAERNAVSMTTTINYGFGAGVLSPSTGILLNNEMGDFSAPTEITPDMLPPAPANFIEPNKRPLSSMTPIIVTKDNQLAGVMGGSGGLFIIPAVIQVFLNHFVLRMEPLTAVESPRVYHKLIPNTVLYENWTVIDGKHIELAGDRKAFLEERGHQLLAQAGGAIVQLVVQTLQSPIHVDSENSRDSVNSQILHGTLTAVSDPRKDGRPAAI >Potri.007G012500.2.v4.1 pep chromosome:Pop_tri_v4:7:975999:976310:1 gene:Potri.007G012500.v4.1 transcript:Potri.007G012500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G012500.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.013G036000.3.v4.1 pep chromosome:Pop_tri_v4:13:2359429:2362033:-1 gene:Potri.013G036000.v4.1 transcript:Potri.013G036000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036000.v4.1 MEDDNAEDQTISVASSFAGYQEAVQDRDHKFLSRAVEEAYKGVECGDGGPFGAVVVRNDEVVMSCHNMVLKNTDPTAHAEVTVIREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRLKRLVYGAEAEAAIAIGFDDFIADALRGTGFYQKAHLEIKKADGSGAVIAEQVFEKTKSKFTMY >Potri.013G036000.2.v4.1 pep chromosome:Pop_tri_v4:13:2359429:2362047:-1 gene:Potri.013G036000.v4.1 transcript:Potri.013G036000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036000.v4.1 MEDDNVMEAEDQTISVASSFAGYQEAVQDRDHKFLSRAVEEAYKGVECGDGGPFGAVVVRNDEVVMSCHNMVLKNTDPTAHAEVTVIREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRLKRLVYGAEAEAAIAIGFDDFIADALRGTGFYQKAHLEIKKADGSGAVIAEQVFEKTKSKFTMY >Potri.013G036000.4.v4.1 pep chromosome:Pop_tri_v4:13:2359429:2362033:-1 gene:Potri.013G036000.v4.1 transcript:Potri.013G036000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036000.v4.1 MEDDNAVQDRDHKFLSRAVEEAYKGVECGDGGPFGAVVVRNDEVVMSCHNMVLKNTDPTAHAEVTVIREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRLKRLVYGAEAEAAIAIGFDDFIADALRGTGFYQKAHLEIKKADGSGAVIAEQVFEKTKSKFTMY >Potri.013G036000.1.v4.1 pep chromosome:Pop_tri_v4:13:2359633:2361903:-1 gene:Potri.013G036000.v4.1 transcript:Potri.013G036000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036000.v4.1 MEDDNEAVQDRDHKFLSRAVEEAYKGVECGDGGPFGAVVVRNDEVVMSCHNMVLKNTDPTAHAEVTVIREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRLKRLVYGAEAEAAIAIGFDDFIADALRGTGFYQKAHLEIKKADGSGAVIAEQVFEKTKSKFTMY >Potri.013G036000.5.v4.1 pep chromosome:Pop_tri_v4:13:2359633:2361908:-1 gene:Potri.013G036000.v4.1 transcript:Potri.013G036000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036000.v4.1 MEDDNDQTISVASSFAGYQEAVQDRDHKFLSRAVEEAYKGVECGDGGPFGAVVVRNDEVVMSCHNMVLKNTDPTAHAEVTVIREACKKLNRIELSDCEIYASCEPCPMCFGAIHLSRLKRLVYGAEAEAAIAIGFDDFIADALRGTGFYQKAHLEIKKADGSGAVIAEQVFEKTKSKFTMY >Potri.011G079450.1.v4.1 pep chromosome:Pop_tri_v4:11:8561158:8561827:1 gene:Potri.011G079450.v4.1 transcript:Potri.011G079450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079450.v4.1 MIAIRYGSMPIARKTGDLNESVFDVDDDTVPLRFPNGFTFLTPGELVNNQSCRFSCSISFMLSN >Potri.009G092500.5.v4.1 pep chromosome:Pop_tri_v4:9:8433447:8439308:1 gene:Potri.009G092500.v4.1 transcript:Potri.009G092500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092500.v4.1 MRAFNQKLRAVDLQDSPFGKDFLRELSQRGLACQILNLRSSHFRKLNMAGKFMQIHTLNLDFSTSLTSFLEDCFTCMPNLICLSMCETRVANLWTTISALSKLSCLVELRFQKWLCNDSASPSASSGGNLEDQPDVGLPISCTDIGEQLTDIEEETYLNPGTDEAFRNLFSFNNIAINQPVQSMMEDSSDDSEVDFSSNWREFGYTDLLANFSSGWNRQVNLQNEVSSGASLNQKEESLTGSFGRHIADVPLKYIPRHASPICFEKHYREYMIASLPNLKVLDNLPVRKIDRERAAVTFSQYFEYLPYNRKHKESVVSILHKREIKETRSHIQSKNQKISYSHGNSQYFYTRSLGAAKVGSSAWPFLHSLSVSGCDLGDGSRSFRPRQFEYHPSLSSLMVFGTLDGEVVVVNHENGKVVRYVPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIEHLPPTVTGRYLGAGSITFDDFDQLTSVHINSTDELFLASGYSKNVALYDINYGRRIQVFTDMHREHINVVKFSNHSPSIFATSSFDQDVKLWDLRLKPIRPCYTTSSSRGNVMVCFSPDDHYLLASAVDNEVRQLLAVDGRLHLSFDIKPTGSDQNYTRSYYMNGRDYIISGSCDEHVVRVCCAQTGRRLRDISLEGKGSGTSMYVQSLRGDPFRDFNMSILAAHMRPNSKYEIVKVNLLASCDNAKGYSKSQDSCPSNSMGG >Potri.009G092500.1.v4.1 pep chromosome:Pop_tri_v4:9:8433153:8439346:1 gene:Potri.009G092500.v4.1 transcript:Potri.009G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G092500.v4.1 MATDIPSFNIPTLEQMYIDSCKRRDVLPNTEILSGFFKAEVKKSCNELCSLEIILDHLKDIDVPPLLDVCATIETSEIEAVDIRNGPSCSLNGECALSLMRAFNQKLRAVDLQDSPFGKDFLRELSQRGLACQILNLRSSHFRKLNMAGKFMQIHTLNLDFSTSLTSFLEDCFTCMPNLICLSMCETRVANLWTTISALSKLSCLVELRFQKWLCNDSASPSASSGGNLEDQPDVGLPISCTDIGEQLTDIEEETYLNPGTDEAFRNLFSFNNIAINQPVQSMMEDSSDDSEVDFSSNWREFGYTDLLANFSSGWNRQVNLQNEVSSGASLNQKEESLTGSFGRHIADVPLKYIPRHASPICFEKHYREYMIASLPNLKVLDNLPVRKIDRERAAVTFSQYFEYLPYNRKHKESVVSILHKREIKETRSHIQSKNQKISYSHGNSQYFYTRSLGAAKVGSSAWPFLHSLSVSGCDLGDGSRSFRPRQFEYHPSLSSLMVFGTLDGEVVVVNHENGKVVRYVPSLGAMNSVLGLCWLKKYPSKLIAGSDNGSLKLYDIEHLPPTVTGRYLGAGSITFDDFDQLTSVHINSTDELFLASGYSKNVALYDINYGRRIQVFTDMHREHINVVKFSNHSPSIFATSSFDQDVKLWDLRLKPIRPCYTTSSSRGNVMVCFSPDDHYLLASAVDNEVRQLLAVDGRLHLSFDIKPTGSDQNYTRSYYMNGRDYIISGSCDEHVVRVCCAQTGRRLRDISLEGKGSGTSMYVQSLRGDPFRDFNMSILAAHMRPNSKYEIVKVNLLASCDNAKGYSKSQDSCPSNSMGG >Potri.006G105800.1.v4.1 pep chromosome:Pop_tri_v4:6:8130334:8138473:-1 gene:Potri.006G105800.v4.1 transcript:Potri.006G105800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105800.v4.1 MPLTRYQIRNEYRLADPELFKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMTTAARGHGLMARVQQLEAEFPSIEKAFLSQTNHSPFFSSSGADWHPNLQMEQNLITRGGLPHFVMDSYEECRGPPQLFLLDKFDVAGAGACLKRYTDPSFFKVEAASSGIATVEVQRGKKIRKKKGSRYKNGETPEVVPTSHAKLHELFLEERSENGHSDPARLVKLKRRLFNGSPFDLKPGKSYMQKFVLTPSPDRKQVCEDSVTRSPLKLTLDNSSESRYEIHEVSVASPVKQSSHGGESTSSSPSEREATLKTFMDELNGEPVDSRIIKVLNPIVDREMDEYPLIVQKMVIEEESSVDADGKAEGTVDGDHSDDMTSEVENYMDALTTMDSGMETDNEYKPMNGQDFMDVRAHGADSDANEEQLDAQANFSDSQSIGNSSLSEGGNSSFKKGTSSFSYSDTLSNVAENTASDGEGAGKWFPSISSTENYPRDIADLPSDSPSVFVESGITESHHLVTFNDTEEDKIPDSGEASRSSCLTDWNLVFLHAAPVAGSMVSPLAGPELDEASSGSIEPGSESPNSDRNGLNLADFPSQLGHDTSLTDSSKTHSVGELDHEDQKMLTDAVVLVSNVSDLAFEKKGSDDSVNGVLQTDYAAEHSTMTPAEERFPKSTLPVVELDSGVLSLPDNLDFVKPDVLVSEVDDAIATRETRAENLTLVVDTSETECVSEHHFSDMTIDASQLELDSSKLGVPCSEVNINLEEIPNGFDAEENIAFTKVDITRGDAASFEHQSLSSDKPILEDHVNLDDAVTETGQAEDMAVSSAASSGANNEDVSNVICPSSELVCSPPRNATEPLEALSIPEDPHLTRLDLDEVISAKPLSESQVQMEVTSIDWDSNPYKPVSEDHPNQEVSEVHNLSLELSNQESETKDNHQHHYAEASDNTVCLPLCYLPESGNTLEQSTEVQDDQFSAESSHADNTNTLLSSQTSSTGYLVGTGIPLEHTLELQSDQLDRGCLKLGEASSISTDLQSESSCLKDLSSQEHLLQSFCQERNATVLETNPFDSAFPSFGVLPVPEASQVYPEAMPPLPPLPPMQWRLGKIQPASLDADRDMIDNSEGTFPLIQPFMVDQQVHFDFPSLDREIAHPSNPFLSLPVEESRMFPHSTTESMGNSLLPTPLLSETPIIDNDAHCQQDHLRSDTTQSVSSSLALPEMSDERHEHGFLPLGGESAQSSSNPFSLEPNIEHTTAVNDPMPTQGLPIHPFNQSAPKTGLDMKFPGQSSQSSEEELGNSYGKSAAPLTMEEEPHHDFVTSQGLTMWPPTALAMTPPTSEVGKPNGNKIPRPRNPLIDAVAAHDKSKLRKVAELVRPQVGPKVEERDSLLEQIRTKSFNLKPATVTRPSIQGIQGPKTNLKVAAILEKANAIRQALTGSDEDNDSDSWSDS >Potri.006G105800.2.v4.1 pep chromosome:Pop_tri_v4:6:8130330:8138434:-1 gene:Potri.006G105800.v4.1 transcript:Potri.006G105800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105800.v4.1 MPLTRYQIRNEYRLADPELFKAADKDDPEALLEGVAMAGLVGVLRQLGDLAEFAAEIFHDLHEEVMTTAARGHGLMARVQQLEAEFPSIEKAFLSQTNHSPFFSSSGADWHPNLQMEQNLITRGGLPHFVMDSYEECRGPPQLFLLDKFDVAGAGACLKRYTDPSFFKVEAASSGIATVEVQRGKKIRKKKKGSRYKNGETPEVVPTSHAKLHELFLEERSENGHSDPARLVKLKRRLFNGSPFDLKPGKSYMQKFVLTPSPDRKQVCEDSVTRSPLKLTLDNSSESRYEIHEVSVASPVKQSSHGGESTSSSPSEREATLKTFMDELNGEPVDSRIIKVLNPIVDREMDEYPLIVQKMVIEEESSVDADGKAEGTVDGDHSDDMTSEVENYMDALTTMDSGMETDNEYKPMNGQDFMDVRAHGADSDANEEQLDAQANFSDSQSIGNSSLSEGGNSSFKKGTSSFSYSDTLSNVAENTASDGEGAGKWFPSISSTENYPRDIADLPSDSPSVFVESGITESHHLVTFNDTEEDKIPDSGEASRSSCLTDWNLVFLHAAPVAGSMVSPLAGPELDEASSGSIEPGSESPNSDRNGLNLADFPSQLGHDTSLTDSSKTHSVGELDHEDQKMLTDAVVLVSNVSDLAFEKKGSDDSVNGVLQTDYAAEHSTMTPAEERFPKSTLPVVELDSGVLSLPDNLDFVKPDVLVSEVDDAIATRETRAENLTLVVDTSETECVSEHHFSDMTIDASQLELDSSKLGVPCSEVNINLEEIPNGFDAEENIAFTKVDITRGDAASFEHQSLSSDKPILEDHVNLDDAVTETGQAEDMAVSSAASSGANNEDVSNVICPSSELVCSPPRNATEPLEALSIPEDPHLTRLDLDEVISAKPLSESQVQMEVTSIDWDSNPYKPVSEDHPNQEVSEVHNLSLELSNQESETKDNHQHHYAEASDNTVCLPLCYLPESGNTLEQSTEVQDDQFSAESSHADNTNTLLSSQTSSTGYLVGTGIPLEHTLELQSDQLDRGCLKLGEASSISTDLQSESSCLKDLSSQEHLLQSFCQERNATVLETNPFDSAFPSFGVLPVPEASQVYPEAMPPLPPLPPMQWRLGKIQPASLDADRDMIDNSEGTFPLIQPFMVDQQVHFDFPSLDREIAHPSNPFLSLPVEESRMFPHSTTESMGNSLLPTPLLSETPIIDNDAHCQQDHLRSDTTQSVSSSLALPEMSDERHEHGFLPLGGESAQSSSNPFSLEPNIEHTTAVNDPMPTQGLPIHPFNQSAPKTGLDMKFPGQSSQSSEEELGNSYGKSAAPLTMEEEPHHDFVTSQGLTMWPPTALAMTPPTSEVGKPNGNKIPRPRNPLIDAVAAHDKSKLRKVAELVRPQVGPKVEERDSLLEQIRTKSFNLKPATVTRPSIQGIQGPKTNLKVAAILEKANAIRQALTGSDEDNDSDSWSDS >Potri.016G003800.6.v4.1 pep chromosome:Pop_tri_v4:16:193029:196133:-1 gene:Potri.016G003800.v4.1 transcript:Potri.016G003800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003800.v4.1 MYGRAGLERFKKAQSTEPFSVPVNSAPKTTTQPAVKTVSQPSVQYSQSKTQSQFRDQPYVAQKPAAPEAGPLLGHTQPVTQVGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVLDRISLDRRRHIFGRQIHTCDFVLDHQSVSRQHAAVIPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEAGQSLRFAASTRTYILRKNDAALFPRPPPPSEINLPPPPDPSDEEAVVAYNTFLNRYGLTKPDQPTKSSESSGSLSRRHDDQQLGRAAKRIKKTRVAFRDQVGGELVQVVGFSDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQFSAKEHNASQKGVTSRLQEVLNKVKTTTKSGMYDDLYGESFAGKVGSSWAYSSDSSVGNLASPTKDGQGNAIGSLSGKPENNPSAYDDNDDDDLFGD >Potri.016G003800.5.v4.1 pep chromosome:Pop_tri_v4:16:193024:196138:-1 gene:Potri.016G003800.v4.1 transcript:Potri.016G003800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003800.v4.1 MYGRAGLERFKKAQSTEPFSVPVNSAPKTTTQPAVKTVSQPSVQYSQSKTQSQFRDQPYVAQKPAAPEAGPLLGHTQPVTQVGGGQSTWQPPDWAIEPRPGVYYLEVLKDGEVLDRISLDRRRHIFGRQIHTCDFVLDHQSVSRQHAAVIPHKNGSIFVIDLGSAHGTFVANERLTKDTPVELEAGQSLRFAASTRTYILRKNDAALFPRPPPPSEINLPPPPDPSDEEAVVAYNTFLNRYGLTKPDQPTKSSESSGSLSRRHDDQQLGRAAKRIKKTRVAFRDQVGGELVQVVGFSDGADVETEPGPIGVKEGSLVGKYESLVQVTVIPKGKEQFSAKEHNASQKGVTSRLQEVLNKVKTTTKSGMYDDLYGESFAGKVGSSWAYSSDSSVGNLASPTKDGQGNAIGSLSGKPENNPSAYDDNDDDDLFGD >Potri.010G119400.1.v4.1 pep chromosome:Pop_tri_v4:10:13774960:13778775:-1 gene:Potri.010G119400.v4.1 transcript:Potri.010G119400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119400.v4.1 MAWRNMMSYFSLPDFRGIFQGRVRQAGMGTHTIKSHGAKLARDHMHDWLILLLLVVIEVILYVIHPFYRFVGKDMMTDLKYPLKENTVPVWTVPLYAVLLPVAVFLLVYIRRKDVYDLHHSILGLLFSVLITAVITDAIKNAVGRPRPDFFWRCFPDGKDLYDRWGNVICHGKGSDVKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIKAFDRKGHVAKLCIVFLPLLAASLVAISRIDDYWHHWQDVFAGGLLGLVVATFCYAQFFPPPYTDEGWGPYAYFQALEESRSNTNAGQSMNALDLQPVNAHVVSQQGRQNGDDFASLEELESGRM >Potri.015G073051.1.v4.1 pep chromosome:Pop_tri_v4:15:9885912:9886784:-1 gene:Potri.015G073051.v4.1 transcript:Potri.015G073051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G073051.v4.1 MMHFRCCFIHFDFKSACYHYSCQFLFTAATTMVELGLLFMNVVSVIYTRIYCFHIHMFFFFFCFSFPGYHDVACFVSSSYFLSKPLIWYLVIGTVNKRS >Potri.006G273566.1.v4.1 pep chromosome:Pop_tri_v4:6:26596486:26596872:1 gene:Potri.006G273566.v4.1 transcript:Potri.006G273566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273566.v4.1 MGKQRWSAFGPLKRAVKKINFLLSFNFRKWRLASILSIASSSSSRRTGRLSFNDRIGLHGAIEDVESDHQKYKPVRTFERVRSYGSSDEDIDKRAEIFINNFRQQLLIERQVSLQLRYYRGNSFGRDY >Potri.004G140701.7.v4.1 pep chromosome:Pop_tri_v4:4:16311552:16318989:1 gene:Potri.004G140701.v4.1 transcript:Potri.004G140701.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140701.v4.1 MEEGNLRGDIPLPDQKKKSNSELIRTSSESSGLTVDNANAIPQGQGPSAAWTDEKHRLYLDSLESSFVNKQLRHSFSLRGWLREMGGRPCSSQPQFMVLRHCRWEKKRNEPLLESTADSHFIEETTRLTNQWEAAHHDLQGHVAACGGGIRVRENATVSCGLARSSEQHSMCCLCYQNSIGSTIEVSDQNFVEEHQGEELTCIHMLKRLCH >Potri.004G140701.8.v4.1 pep chromosome:Pop_tri_v4:4:16311552:16318989:1 gene:Potri.004G140701.v4.1 transcript:Potri.004G140701.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140701.v4.1 MEEGNLRGDIPLPDQKKKSNSELIRTSSESSGLTVDNANAIPGQGPSAAWTDEKHRLYLDSLESSFVNKQLRHSFSLRGWLREMGGRPCSSQPQFMVLRHCRWEKKRNEPLLESTADSHFIEETTRLTNQWEAAHHDLQGHVAACGGGIRVRENATVSCGLARSSEQHSMCCLCYQNSIGSTIEVSDQNFVEEHQGEELTCIHMLKRLCH >Potri.004G140701.2.v4.1 pep chromosome:Pop_tri_v4:4:16311593:16318812:1 gene:Potri.004G140701.v4.1 transcript:Potri.004G140701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140701.v4.1 MEEGNLRGDIPLPDQKKKSNSELIRTSSESSGLTVDNANAIPQGQGPSAAWTDEKHRLYLDSLESSFVNKQLRHSFSLRGWLREMGGRPCSSQPQFMVLRHCRWEKKRNEPLLESTADSHFIEETTRLTNQWEAAHHDLQGHVAACGGGIRVRENATVSCGLARSSEQHSMCCLCYQNSIGSTIEVSDQNFVEEHQGEELTCIHMLKRLGRSGAEASRNDEKI >Potri.004G140701.6.v4.1 pep chromosome:Pop_tri_v4:4:16311509:16318812:1 gene:Potri.004G140701.v4.1 transcript:Potri.004G140701.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140701.v4.1 MEEGNLRGDIPLPDQKKKSNSELIRTSSESSGLTVDNANAIPGQGPSAAWTDEKHRLYLDSLESSFVNKQLRHSFSLRGWLREMGGRPCSSQPQFMVLRHCRWEKKRNEPLLESTADSHFIEETTRLTNQWEAAHHDLQGHVAACGGGIRVRENATVSCGLARSSEQHSMCCLCYQNSIGSTIVSDQNFVEEHQGEELTCIHMLKRLGRSGAEASRNDEKI >Potri.004G140701.1.v4.1 pep chromosome:Pop_tri_v4:4:16311509:16318989:1 gene:Potri.004G140701.v4.1 transcript:Potri.004G140701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140701.v4.1 MEEGNLRGDIPLPDQKKKSNSELIRTSSESSGLTVDNANAIPQGQGPSAAWTDEKHRLYLDSLESSFVNKQLRHSFSLRGWLREMGGRPCSSQPQFMVLRHCRWEKKRNEPLLESTADSHFIEETTRLTNQWEAAHHDLQGHVAACGGGIRVRENATVSCGLARSSEQHSMCCLCYQNSIGSTIEVSDQNFVEEHQGEELTCIHMLKRLGRSGAEASRNDEKI >Potri.004G140701.5.v4.1 pep chromosome:Pop_tri_v4:4:16311593:16318812:1 gene:Potri.004G140701.v4.1 transcript:Potri.004G140701.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140701.v4.1 MEEGNLRGDIPLPDQKKKSNSELIRTSSESSGLTVDNANAIPGQGPSAAWTDEKHRLYLDSLESSFVNKQLRHSFSLRGWLREMGGRPCSSQPQFMVLRHCRWEKKRNEPLLESTADSHFIEETTRLTNQWEAAHHDLQGHVAACGGGIRVRENATVSCGLARSSEQHSMCCLCYQNSIGSTIEVSDQNFVEEHQGEELTCIHMLKRLGRSGAEASRNDEKI >Potri.004G140701.4.v4.1 pep chromosome:Pop_tri_v4:4:16311509:16318812:1 gene:Potri.004G140701.v4.1 transcript:Potri.004G140701.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140701.v4.1 MEEGNLRGDIPLPDQKKKSNSELIRTSSESSGLTVDNANAIPQGQGPSAAWTDEKHRLYLDSLESSFVNKQLRHSFSLRGWLREMGGRPCSSQPQFMVLRHCRWEKKRNEPLLESTADSHFIEETTRLTNQWEAAHHDLQGHVAACGGGIRVRENATVSCGLARSSEQHSMCCLCYQNSIGSTIVSDQNFVEEHQGEELTCIHMLKRLGRSGAEASRNDEKI >Potri.004G140701.3.v4.1 pep chromosome:Pop_tri_v4:4:16311509:16318989:1 gene:Potri.004G140701.v4.1 transcript:Potri.004G140701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140701.v4.1 MEEGNLRGDIPLPDQKKKSNSELIRTSSESSGLTVDNANAIPGQGPSAAWTDEKHRLYLDSLESSFVNKQLRHSFSLRGWLREMGGRPCSSQPQFMVLRHCRWEKKRNEPLLESTADSHFIEETTRLTNQWEAAHHDLQGHVAACGGGIRVRENATVSCGLARSSEQHSMCCLCYQNSIGSTIEVSDQNFVEEHQGEELTCIHMLKRLGRSGAEASRNDEKI >Potri.003G044900.1.v4.1 pep chromosome:Pop_tri_v4:3:5108116:5116055:1 gene:Potri.003G044900.v4.1 transcript:Potri.003G044900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G044900.v4.1 MSEMRFSDPSRLHLKKELTQIRKAARVLRDPGTTSSWKSARSAAAASTSASAWKHFENENAIQNGGTTASHSNNSSTHLGSHFKSVLNNNGSDKKVFLYNWKSQKYSSEKSALPRNDADDNCESCSVQESLDDSLSDARNVGDSKSDTYLGETRSPAMIFRRRDANLVSPSMRRAMGVKKKGKKTNTRLDVLSRYQEKEMNLRRLLKGHPSMGLSLGLGRDAIVEQSDDTEEYSNSEDLRKISGASPLLLKLKHKNWSHSPSKFLRTSRKEDSSYCHSTPALSTSSCNKYRNRNPSTVGSWDATTTSMNDGDDEDGDHLDLPGRHGCGIPCYWSKRTPRYRGVCGSSCCSPSLSDTLRRKGSSMLCGSQSMYHRRLRSCSLSNKRRIGSRTGQAFLPLLADSGDGIGGSSIGTGHSDDELSTNYGELDLEALCRLDGRRWSSCRNQDGLEIVALNGDGEDEGTVQNIRSLSQKYKPAFFSELIGQNIVVQSLINAISRGRIARVYLFQGPRGTGKTSAARIFASALNCMSTEEIKPCGCCRECNDSSSGKTRDLWEVDGTDKKGIDKVRYLLKKISRGPPLGSSRYKVFLIDECHLLPSKMWLAFLKFLEEPPQRVVFIFVTTDPDNVPRTVQSRCQKYLFSKIKDRDIVARLRKISNEENLDVELNALDLIALNADGSLRDAETMLDQLSLLGKKITTSLVNELVGDVSDEKLLELLELAMSSETAETVKRARDLMDSGIDPMVLMSQLASLIMDIIAGTYNVVYAKHGDSLIGTQNLTEAELERLKHALRLLSEAEKQLRISSDRSTWFTATLLQLGSTPSMDLTLSSSSRRQSSRTTEEDPSSVSKESNVYKPNSDAQYFPRRSSSPSSLYRAINGHSSHQGEYEFNAKPPRLMDSNDEMTGNKVFRYKNSDKLDDIWEKCIEKCHSQTLRQLLHAHGKLLSISEVDGALAVYVAFEDEDIKARAERFLSSITNSIEIVLRCNVEVRIVLVSDGLDSLIYANQSELQEGHRQTETTLANERGGKANWSGAVVGYSDLESQEESAKLSRGSFNDANAGEKQEMPMQRIESIIREQRLETAWLQVAEKGTPGSLSHLKPEKNQVLPQEDTYQQNQMESIDSATLSSQKWEDELNHELKVLKMQDQRVLRKDQIGKMVDYYPMSPSLLHGSSYVANGSKESLGYESSSAGGGCSGLFCWNNSRSNRAKAKATPVGPRGRSGRFSLFGECAKQKKSESRNTR >Potri.004G183401.1.v4.1 pep chromosome:Pop_tri_v4:4:19730467:19731359:1 gene:Potri.004G183401.v4.1 transcript:Potri.004G183401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183401.v4.1 MLDLYAIQCPMHACLYLLSFLSVVHPYMLPLESRGQSHGVTHIGLAKYEEDDFQCNSDCFLNNFLC >Potri.018G039100.1.v4.1 pep chromosome:Pop_tri_v4:18:3095486:3101566:1 gene:Potri.018G039100.v4.1 transcript:Potri.018G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039100.v4.1 MVNAMVERATSDMLIGPDWAMNIEICDICNRDPSQAKDVVKGIKKKLGSRNSKVQLLSLTLLETIIKNCGDIVHMHVAEKDLLHEMVRIAKKKPDLHVKEKILVLVDTWQEAFGGARARYPQYYAAYQELLRAGAVFPPRSERSTPLFTPPQTQPLSSYPQNLRNIEYPQGAAESPAESEFPTLSLTEIQNARGIMDVLSEMLNALDPRNKEGIRQEVIVDLVDQCRTYKQRVVHLVNSTTDESLLCQGLALNDDLQRVLARHESISSGTPVPVQDEKLKAESSGALVDIGAPLVDTGDNKGKQPDGGSTSNSSAGAQTLNQLLLPAPPATNAPTTPAAANTKVDLLSGDDYNSPKAETSLALVPVGEAQATTPLSQQNALVLFDMFSDGNNAPNAVNMQATNLAGPTNSLTPQFQQQQNFQTPDAGVYRNGNAPNMGSPRYQQSVYMQASGPAWNGHLPQQQQQPASPVYGAQNGGSLPPPPWEAQPADASPVTGAQYPQSMQVTQMAVTHSQPLPSGMHPQGPQPGGNEQVGMYMQPITTGHLPAVNNHSAPSNQFLGMNPHAMQGGQYMGMYPQPMQAGPMASMYPQQMYGNQMAGYGYGLQQGTQYLEQRMNGVSVRDDNGLRNSSYQVSTTPYVPSGKPSKPEDKLFGDLVDISKFKPGKSTPGRAGGL >Potri.018G039100.2.v4.1 pep chromosome:Pop_tri_v4:18:3095531:3101544:1 gene:Potri.018G039100.v4.1 transcript:Potri.018G039100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039100.v4.1 MHVAEKDLLHEMVRIAKKKPDLHVKEKILVLVDTWQEAFGGARARYPQYYAAYQELLRAGAVFPPRSERSTPLFTPPQTQPLSSYPQNLRNIEYPQGAAESPAESEFPTLSLTEIQNARGIMDVLSEMLNALDPRNKEGIRQEVIVDLVDQCRTYKQRVVHLVNSTTDESLLCQGLALNDDLQRVLARHESISSGTPVPVQDEKLKAESSGALVDIGAPLVDTGDNKGKQPDGGSTSNSSAGAQTLNQLLLPAPPATNAPTTPAAANTKVDLLSGDDYNSPKAETSLALVPVGEAQATTPLSQQNALVLFDMFSDGNNAPNAVNMQATNLAGPTNSLTPQFQQQQNFQTPDAGVYRNGNAPNMGSPRYQQSVYMQASGPAWNGHLPQQQQQPASPVYGAQNGGSLPPPPWEAQPADASPVTGAQYPQSMQVTQMAVTHSQPLPSGMHPQGPQPGGNEQVGMYMQPITTGHLPAVNNHSAPSNQFLGMNPHAMQGGQYMGMYPQPMQAGPMASMYPQQMYGNQMAGYGYGLQQGTQYLEQRMNGVSVRDDNGLRNSSYQVSTTPYVPSGKPSKPEDKLFGDLVDISKFKPGKSTPGRAGGL >Potri.008G197200.2.v4.1 pep chromosome:Pop_tri_v4:8:13951798:13957342:1 gene:Potri.008G197200.v4.1 transcript:Potri.008G197200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G197200.v4.1 MNRIHKITDQCDDKVAAEGSSSSQGPNEIFYHEIAQLTKLRSRPHELLSKDMPGRSRLPVSTMKMLVGREGNYSGRGRFSSADSCHVLNQLDSRTYVSQFSDDGNLFVAGCQGSHIRIYNVDKGWKVKKDILAKSLRWTITDACLSPNQHYLVYASLSPVVHIVNIESAATESLANVTEIHDGLNFSGNDDDDDDFGIFSVKFSTDGSDVNTVCFADEAGHLLYSGSDDNLCKVWDRRCFISKGKAAGVLMGHLEGITFIDSRGDGRYFISNGKDQTTKLWDIRKMSSNAKDYTPKRRYSDCDYRWMECPPHAKTLKHPHDQSLITYIGHSILRTLIRCYFSPEYSTGQKYIYTGSSDCSVSGALVATLDHHEGLVRDCSWHPFYPMIISSSWDCVLARWEFPGCG >Potri.006G032900.1.v4.1 pep chromosome:Pop_tri_v4:6:2051859:2054121:1 gene:Potri.006G032900.v4.1 transcript:Potri.006G032900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032900.v4.1 MASPSESWMQEFNEASKLGDEISAMISGKNSLPQSGPETQRQFSAARRKATILRTKLDILQSLLSALPSKQPLSGKEMNRRQEMLKNLSTKVNQMASALNMFSAANRENLLGPDSKTDDVINRASGFDNQGLVGFQRQIMREQDEGLEKLEETVISTKHIALAVNEELTLHTRLLDDLDEHVDVTNSRLQRVQKNLAILNKRTKGGCACWVFLVIAIVILIVVVWLLIKYL >Potri.019G033000.1.v4.1 pep chromosome:Pop_tri_v4:19:4602826:4604466:-1 gene:Potri.019G033000.v4.1 transcript:Potri.019G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033000.v4.1 MDFCQNVTVSGEYHHQQEHVLASPPPCSTLTAAAASNSPLDDLFSAQNTEVDFSMEWLSVFVEDCLSSTGNCLPAPTNDAQKTNTEENPPKPLQQKPQDQENPSSLKKLAVPGKARSKRRRTAGDRSRNLLTSWCYTNQAFNMACSDPPLLQQTHWLADSELITPIKDGSDNRGTDGEVQEKSGAEGDVEEDLGKVLEVGSSSSKDSTGSLESDNGQQQPRRCTHCLAQRTPQWRAGPSGPKTLCNACGVRYKSGRLLPEYRPAKSPTFVSYLHSNSHKKVMEMRMANSAVFNT >Potri.009G135000.1.v4.1 pep chromosome:Pop_tri_v4:9:10926177:10927450:-1 gene:Potri.009G135000.v4.1 transcript:Potri.009G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135000.v4.1 MTEAVELARFLTKLFMCLAGLASSALMYPNVCIATRKNYPTANHHLVFLFMVTPALYATHCFLRFLDQRNLLDLFYNKQTELISRTRERLGTLICFLRGFYGLWIYLNANNSTDMEPSLDLSKNFAATSILVLSYVKYIGKSEDSLAVARAVIQHSILAAILAMLDGLHMKSLAIALSPIIPSIIVLVTPKMEKLHLDIENLEDEGIYCKE >Potri.017G051600.1.v4.1 pep chromosome:Pop_tri_v4:17:3710406:3713307:1 gene:Potri.017G051600.v4.1 transcript:Potri.017G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051600.v4.1 MDGDDVDMAAVETAEAVPELDDMKKRLKEMEDEAAALREMQAKVEKEMGSVQDPSASATASQANKEEVDSRSVFVGNVDYACTPEEVQQHFQACGTVNRVTIRSDKYGQPKGYAYVEFVEPEAVQEALLLNESELHGRQLKVTAKRTNVPGMKQFRARRPSPYMGFPPRAAIMPPYLFSPYGYGKVMRYRMPMRYSPYG >Potri.007G092700.2.v4.1 pep chromosome:Pop_tri_v4:7:11835492:11840801:1 gene:Potri.007G092700.v4.1 transcript:Potri.007G092700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092700.v4.1 MGKQQKSLRSKAVHFVSDLTTVILNPISDKPSKHPTPLPHPPPEDVSESKRSQLEFVTEEDTGHLVEEPDTSSFTAFLYSLLSSSESGNNPKLDEQNDHSAQMGDQLSENVAKESGTKKGLFSRGKQTLRAVYQATRIGGNRSQESKGNSDLKNVDENDDFGGLEVKMPRQNMKEPVALGDLPGISEPSLLLSEKERSTLYVSLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWSGHSLLVVGDRKGAVFGGLVEAPLRPTNKKYQGTNSTFVFTNKPGHPVIFRPTGANRYFTLCSTDFLAIGGGGRFALYMDSDLLNGSSSVSETYGNPCLAHTEDFEVKEVELWGFVYGSKYEEILALSRTESPGICRF >Potri.007G092700.5.v4.1 pep chromosome:Pop_tri_v4:7:11835527:11840802:1 gene:Potri.007G092700.v4.1 transcript:Potri.007G092700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092700.v4.1 MGKQQKSLRSKAVHFVSDLTTVILNPISDKPSKHPTPLPHPPPEDVSESKRSQLEFVTEEDTGHLVEEPDTSSFTAFLYSLLSSSESGNNPKLDEQNDHSAQMGDQLSENVAKESGTKKGLFSRGKQTLRAVYQATRIGGNRSQESKGNSDLKNVDENDDFGGLEVKMPRQNMKEPVALGDLPGISEPSLLLSEKERSTLYVSLPALVQGRKWLLLYSTWRHGISLSTLYRRSMLWSGHSLLVVGDRKGAVFGGLVEAPLRPTNKKYQVDTNL >Potri.018G126300.1.v4.1 pep chromosome:Pop_tri_v4:18:13742853:13748635:-1 gene:Potri.018G126300.v4.1 transcript:Potri.018G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126300.v4.1 MSSFCNQIEEIKSLIYSNKSLAYSTLSHLQEQSVNDPSLLQTLADNSRDLVSLITVDISIDDEEVAAQALKCSGFMIYHPSLVSTIPVDDANLVLEALAKVIMSTKIKSVCNLGVWCISMQQFEASILVGCFNSVLQAVVHALDNPIGSLSTTFEAMQAVMKLAAQLSERMRESSHIWAPPIFRRLLSTDKRERDISERCLLKIRPTIIPPPPALSKALAEDMKLKLLTVMKDLLNQGLKIQTLQAWGWFIRLQGSHAMKYRHLTNDMLKVPEKTFSDHNPQVQIASLVAWEGLVDAFIHPALLTSETNEPIKNGIQQVRTSGGSSCQIQASGFSKSIKLIMTPLIGIISSKCDVSVYSSCLKTWCYLLHKLDISVNHPWVIELVLDPIFGAVFRFGPDVKTFWLWNLCLDLLDDFILAKCRNLDHETSSQVSHHSTSSKWSWKLQPIKWLPWTIGQLDFLVKMMDIIISHASIATITPENRSSACDAALRIFRSFLKGVQMDFRSSSTKYNDIMLCLNTLLRFIKKICEDVTSEGGRSSELHHTSLQFLEAVVQDLEPSILGSPLYKVSLNFTCIHLQMVDNIRNVKYLGISSVAYMDMVSPLVYLSVLYICVVIPSTPATRGMELLLQGLRRFFKTILLLYDPVENLSVAVGLLYKHMEFRHLDIWTAIAKGLEDFFNGLTVKDLSLLKMDSNRDFPRAVCHLLSYPFVVCSCSWPTQKKDSGSLKESLVSPERKLKLQQVAEVWKSLYGALCASKFNKFSETSSLTEELCSMLNGCVDQNISMLDHGTEQYFHRDDIGLTYLSGTAVTCVMEQQILTLAASSVGNNVEHARDPKTFSGIKNSLEFSSRFLKLSWSMMEADPSTILFVTSRVFSVLVCFVRCLHTKPSILSFIETISCPLLQWLSHRETQEASTNEQLHHLWSEILNCLRRCQPPIVFDSSLLKLQAPLLEKTLDHPKSTISELTVTFWNSTYGKQIKLDYPESLLDILDKLSRNKRINLQTKSLPFLVKCHSISEVTAQRSRVTATNSRNSKRVELVEDTANQFEPENRLGSSSKRKRVGLTDHQKEVRRAQQGRGMDCSGHGPGIRTYTSVDFSQGNEDSQESQEIRDPESILELLRRTA >Potri.010G146800.1.v4.1 pep chromosome:Pop_tri_v4:10:15787105:15795454:1 gene:Potri.010G146800.v4.1 transcript:Potri.010G146800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146800.v4.1 MAMESISSGLLLLLLNLTLGFILPHSDSAPQAFRRDPGHPQWHHSAFQDVRDSVRSDVSRMLHSRAEVPFQVPLEVNVVLVGFNGDGGYRFGVDSHKLEEFLKIGFQTHRPSCMETGEPLDIEHHVVFNVFPAGQPELIALEKALKETMVPAGNARETDFGREVPLFEVEAATVEPVFHKLYSYIFDLDNSEYSAKDNDRPAPNAIFLVNFDKVRMDPRNREIDLDNLMYGNLKELSDEDLRKQEGDYIYRYRYNGGGATQVWLSSDRFVVIDLSAGPCTYGKIETEEGSVSSRTLPRIRNMMFPGGVGAAGDHSTRDTFVGQLAALISTTVEHVVAPDVRFETVDLTTRLLIPIIVLHNHNRYNVIENGHNYSINVEEIESEVKKMVHDGQEVVIVGGSYALHSHEKLAIAVSKAMRGHSLQETKKDGRFHVHTKTFLDGAVLKEEMERSGDVLAAGLVEIADPALSSKFFLRQNWMDESNGSSDSILKHKPLWASQTSNSVKKRRKQLQKKQGDLQRTYGTRVIPVFVLSLADVDPNLMMEDESLVWTSKDVVIVLQHLNEKIPLSYVSEIERRHAFPSLAQRHILAGLASTVGGLSAPYEKASHVHERPIVNWLWATGCHPFGPFSNTSKVSKMLQDVALRNTIYARVDSALHRIREMSEDVQTFAAEYLKTPLGEPVKGKKNKTTTELWLEKFYKKTTNLPEPFPHELVERLEKYLDSLEEQLVDLSSLLYDHRLQEAHLNSSEILQSALFTHQYVEYVLISEREKMRCCDIEYKYPVHSSQTYIYGGILLAGFFVYFVVIFFSNPVR >Potri.009G006200.2.v4.1 pep chromosome:Pop_tri_v4:9:1276998:1284456:-1 gene:Potri.009G006200.v4.1 transcript:Potri.009G006200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006200.v4.1 MDAPLDFEFEDPLLNSPVIVKKSKKVIGLDDLLTDHYQEKSKVIERESKRAKAKKKYDSDEDDFDKEALLSKQINECHNQMQELSGEAEIATWGVHVFGNQKRLPVLTLPELGSCSLLQSFMSSELNSLVELTTEKVETFLEGLLVNGWLSKLVNTRSYIEKSIAKWTFYLMLYSSKEELRASACDFWCNILSPRDKTEKLPIRIEWFPSYCELRSALKTYGFLFNYLSRTESIITNSGVRGPPKNIRAWIKFVAACCCVRSKRPVFSASEAEELVEVAVFLSSDRQLEGLLVLLDEFVQSVISYFTDEEWNISCEKIARSISCRSPRDLNCLQTVECISGVSTRGNHLRSAISYQILLNCFDNKASNEEEILSFLISINVKDRSCDLFQMYIYLVLTENWLLSNPMFELKPVIYEMWGVYLRNCSCQITSTDMRPYASKVRTKASYILFGTTNK >Potri.009G012700.1.v4.1 pep chromosome:Pop_tri_v4:9:2282451:2286128:-1 gene:Potri.009G012700.v4.1 transcript:Potri.009G012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G012700.v4.1 MAAVGVLALQGSFNEHIAALARLGVKGMEIRKPEQLQNVTSLIIPGGESTTMAKLAEFHNLFPALREFVQMGKPVWGTCAGLIFLANKAIGQKTGGQELVGGLDCTVHRNYFGSQIQSFEAELTVPELACKEGGPETFRGVFIRAPAILEVGPGVDVLAECPVPSTNVLYSSSAVQIQEENSVPEEKVIVAIKQRNLLGTAFHPELTADTRWHSYFLKMASEAGEATSGSIVPAGGVDLSSYDGKPRIDLPIFQ >Potri.003G057700.3.v4.1 pep chromosome:Pop_tri_v4:3:8483470:8486311:-1 gene:Potri.003G057700.v4.1 transcript:Potri.003G057700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G057700.v4.1 MNTFKLNFTPFSFHLLLFSLLALTVNGTLQDDVCAMKNCGEGACKASNASVLGFDCECYSGWKKIQIGPLTFPYCGLPNCTVDFGCGNGAPPPPPPPPPPPPFDLLNPCNLVWCGDGTCVANGTGHICRCNEDSANLFNMTGFACFKKCYLGADCSDVGLGRSPPSPASSATSILNGLFEASNPFKHLCALSTILLAPAFLTWL >Potri.019G023008.2.v4.1 pep chromosome:Pop_tri_v4:19:586947:589738:1 gene:Potri.019G023008.v4.1 transcript:Potri.019G023008.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023008.v4.1 MLFLYEASYFLVEGESILEDARDFTTKNLEKYVKKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNFMDRLLDYMKLCFLAFYNSVNEMTYDILKYQGVDILPYLKKAWADLCKSYLLEAKWYFSGYTPTLQEYMDNAWISIAAPVILVHAYFYVSNPTTEEASQFMEEYLDIIRWSSMILRLADDLGTSTDELKRGDISKSIQCYMHEAEVSEEKAREHIKSLIGNTWKKINDYQFVNPRISQTFIGIAMNLARMAQCMYQYGDGHGVGHLETKDRVKSLLIKPL >Potri.019G023008.1.v4.1 pep chromosome:Pop_tri_v4:19:586357:589648:1 gene:Potri.019G023008.v4.1 transcript:Potri.019G023008.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023008.v4.1 MVATEAAGQIVRRSANYQTSIWEYDFVQSLTSKYKGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFVDEIKSTLKSLFYKNHIENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHDDLKGMLFLYEASYFLVEGESILEDARDFTTKNLEKYVKKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNFMDRLLDYMKLCFLAFYNSVNEMTYDILKYQGVDILPYLKKAWADLCKSYLLEAKWYFSGYTPTLQEYMDNAWISIAAPVILVHAYFYVSNPTTEEASQFMEEYLDIIRWSSMILRLADDLGTSTDELKRGDISKSIQCYMHEAEVSEEKAREHIKSLIGNTWKKINDYQFVNPRISQTFIGIAMNLARMAQCMYQYGDGHGVGHLETKDRVKSLLIKPL >Potri.008G185350.1.v4.1 pep chromosome:Pop_tri_v4:8:12870987:12871193:1 gene:Potri.008G185350.v4.1 transcript:Potri.008G185350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185350.v4.1 MPMMFFHFLKIIFDISTSKRSKKYKLHSILAKKKKNLKFGEKQVQPQNQTAPKCHVDLPLSLYPSMDF >Potri.006G278000.1.v4.1 pep chromosome:Pop_tri_v4:6:26861204:26863833:-1 gene:Potri.006G278000.v4.1 transcript:Potri.006G278000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278000.v4.1 MEWRKCYLDVILVPLGFMISMAYHGWLWHKVRTQPLTTIIGTNASGRRFWVSAIMKDNEKKNILAVQTLRNLIMGSTLMATTSILLSAGLAAIISSTYSVKKPLNDAVYGAHGEFMVALKYVTILTIFMFSFFCHSLSIRFVNQVNLLINTPPDPMSMVTSEYVSELLEKGFVLNTVGNRLFYSAMPLLLWIFGPVLVFLCSVTMVPVLYNLDFVFGNGQGKIMNRNGEIGDFV >Potri.006G278000.2.v4.1 pep chromosome:Pop_tri_v4:6:26861420:26863845:-1 gene:Potri.006G278000.v4.1 transcript:Potri.006G278000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278000.v4.1 MQDNEKKNILAVQTLRNLIMGSTLMATTSILLSAGLAAIISSTYSVKKPLNDAVYGAHGEFMVALKYVTILTIFMFSFFCHSLSIRFVNQVNLLINTPPDPMSMVTSEYVSELLEKGFVLNTVGNRLFYSAMPLLLWIFGPVLVFLCSVTMVPVLYNLDFVFGNGQGKIMNRNGEIGDFV >Potri.009G136400.16.v4.1 pep chromosome:Pop_tri_v4:9:10994501:10998192:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MDGERRAQPTCPKASNPYHKCEEFCSNRTAEPKPGGVKKETGGAKPCPKASNPYHKCEEFCSNRTADANPRGVKKQSERAQPCPRASNPSHKCDEFCSNRTSEANPQGVEKESGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.20.v4.1 pep chromosome:Pop_tri_v4:9:10994501:10998181:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MLSSSLQHVVVAGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.19.v4.1 pep chromosome:Pop_tri_v4:9:10994679:10998162:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MAENGRVHPDCVNAANPYHECGVACLEKISQGQGRKEKKKSGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.15.v4.1 pep chromosome:Pop_tri_v4:9:10995463:10998235:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MDGERRAQPTCPKASNPYHKCEEFCSNRTAEPKPGGVKKETGGAKPCPKASNPYHKCEEFCSNRTADANPRGVKKQSERAQPCPRASNPSHKCDEFCSNRTSEANPQGVEKESGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.2.v4.1 pep chromosome:Pop_tri_v4:9:10994589:10998238:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MAENGRVHPDCVNAANPYHECGVACLEKISQGQGRKEKKKSGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.17.v4.1 pep chromosome:Pop_tri_v4:9:10994501:10998271:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MAENGRVHPDCVNAANPYHECGVACLEKISQGQGRKEKKKSGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.12.v4.1 pep chromosome:Pop_tri_v4:9:10994679:10998171:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MAENGRVHPDCVNAANPYHECGVACLEKISQGQGRKEKKKSDYHNGVNGSWLSKNMDGERRAQPTCPKASNPYHKCEEFCSNRTAEPKPGGVKKETGGAKPCPKASNPYHKCEEFCSNRTADANPRGVKKQSERAQPCPRASNPSHKCDEFCSNRTSEANPQGVEKESGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.13.v4.1 pep chromosome:Pop_tri_v4:9:10994501:10998239:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MAENGRVHPDCVNAANPYHECGVACLEKISQGQGRKEKKKSDYHNGVNGSWLSKNMDGERRAQPTCPKASNPYHKCEEFCSNRTAEPKPGGVKKETGGAKPCPKASNPYHKCEEFCSNRTADANPRGVKKQSERAQPCPRASNPSHKCDEFCSNRTSEANPQGVEKESGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.18.v4.1 pep chromosome:Pop_tri_v4:9:10994501:10998193:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MAENGRVHPDCVNAANPYHECGVACLEKISQGQGRKEKKKSGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.009G136400.14.v4.1 pep chromosome:Pop_tri_v4:9:10994463:10998162:1 gene:Potri.009G136400.v4.1 transcript:Potri.009G136400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136400.v4.1 MAENGRVHPDCVNAANPYHECGVACLEKISQGQGRKEKKKSDYHNGVNGSWLSKNMDGERRAQPTCPKASNPYHKCEEFCSNRTAEPKPGGVKKETGGAKPCPKASNPYHKCEEFCSNRTADANPRGVKKQSERAQPCPRASNPSHKCDEFCSNRTSEANPQGVEKESGSFLDTALSFGRKKKESESQQNSPRAVNNAPAVKGAVNNAPAVKAVRRAPPSPLILPTKKDEEPENSRSFSSSQPHSDESYSEDHALDKVPVQSPGPMHVSGKITPDPPKSPSKISLACYKIPTPAEPQQNGKLHGSPKAAPYPSANHEGRVTNGPITEYLNFSFSGISRASEGSDGEEVQSVVSDSCVSVGKYHVRANVASILQLIFEKYGDIATGSRLESASMRAYYLECLCFVVQELQCTPFKQLTKSKVREMLAVLKDVESAQIDVSWLRDILNDLAEGMELSNQHQAAEESKSNCDDLIESKKKELESMMEDLALKEKAVADAKAQITETRTHLSNLELESSKLGETISSIQSRVEKFHEKPLADEIL >Potri.013G105200.2.v4.1 pep chromosome:Pop_tri_v4:13:11427015:11431764:-1 gene:Potri.013G105200.v4.1 transcript:Potri.013G105200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105200.v4.1 MALSLVHSTLFSKTSQLFLHTKTGFSHFSSSIPFSSSALPSNPKTWRRPVISVLELGGVKIARDDVVRDDPTNNVPDTIFSKLGLQLHRRDQHPLGILKNTIYDYFDTNYSNKFDKFDELCPIVSVKQNFDDVLVPADHVSRSYNDTYYVDSQTVLRCHTSAHQAELLRKGHTHFLVTGDVYRRDSIDSTHYPVFHQMEGFRVFSPDEWEASGVDGTSFAAEDLKKCLEGLATHLFGAVEMRWVDTYFPFTNPSFELEIYFQEKWLEVLGCGVTEQEILKRNGKLNNVAWAFGLGLERLAMVLFDIPDIRLFWSNDERFTSQFSKGQLGIKFKPFSKYPPCYKDMSFWINESFTENNLCEVVRGIAGDLVEEVSLIDNFSNKKGMTSHCYRIAYRSMERSLTDEEINDLQMSVREQVQSKLNVVLR >Potri.014G124800.2.v4.1 pep chromosome:Pop_tri_v4:14:8370867:8372212:1 gene:Potri.014G124800.v4.1 transcript:Potri.014G124800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124800.v4.1 MINPSSLSVSFLILFILHTDYFSSTTSNITGVSKDQIQCTMCSACENPCQPLPSTPPPPPPVSTCPPPPAVVSGSPPPPSMPNSGTIYYSPPPPSMPNSGTIYYSPPPPNSVPTYAYSPPPPPADVAGFYPPPRYGNYPAPPPPNPILPYFPFYYYNPPPSSSPNSVLLKMNPIVFFIFLLLSFFPLLLLN >Potri.001G432366.1.v4.1 pep chromosome:Pop_tri_v4:1:45771367:45780879:-1 gene:Potri.001G432366.v4.1 transcript:Potri.001G432366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G432366.v4.1 MALESAGGSIIAMLAELMEPVGRQFRYMFCFNNFAQELKEREENLVSEKDRLQDVVRAAERNAEEIYKDVKKWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKLGESSEKFTKVADKRPPQRIEFLTSKEFTPSKSSEEALEQIMEALKDDNVNMIGLYGMGGVGKTTLVKEVGRIATESQLFDEVLMATVSQNPNVIDIQNRMADMLGLKIEENSKEGRADRLRQRLKKVEKMFITLDDVWKHIDLKEIGIPFGDDHRGCKILLTTRRKNIFSSMKCQQKVFLRVLPEKEAWDLFRINAGLDDGDSTLNEVARDVARECHGLPIALVTMGRALRDESAVKWKRVSKQLKNSQFPDMEQIEEKNAYACLKLSYDYLKSKETKLCFLLCCLFPEDYNIPVEDLTRYAVGYGLHQDGEPIEDAREQVHVAIKDLKACCLLLGTETEEHVRMHDLVRDVAIQIASSKEYGFMVLEKWPTSIKSFEGCKTISLMGNKLAELPEGLVCPQLKVLLLELDDGMNVPDKFFEGMREIEVLSLMGGCLSLQSLGVDQVWMQGPHLVEKDAET >Potri.003G133400.1.v4.1 pep chromosome:Pop_tri_v4:3:15146372:15147260:1 gene:Potri.003G133400.v4.1 transcript:Potri.003G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133400.v4.1 MAETEQVKPLAPAAFQIRSDEEETMPVQLKTRRRNCIKCCGCITAMLLIVAVTIVVLVLTVFHVKDPVIKMNRVFVQRLELANGTLRTDVNVTLLADVSVKNPNAASFKFEKGTTTIYYGGAVVGEANTPPGMAKARRTLHMNVTVDLIPAKLLAVPRSFSDIRSAGELNMTSSTIISGKVRILHTFKKYIVVGVNCTVTYNLASREIHGGNCKPHLSI >Potri.006G226400.2.v4.1 pep chromosome:Pop_tri_v4:6:23081209:23084846:1 gene:Potri.006G226400.v4.1 transcript:Potri.006G226400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G226400.v4.1 MGLSFSILLSAWSAILGHKFFGSKDTVENTVVRSLSFGRRDGEMGPRTNSFKRDGSETTGKFDGSDKMSMERSLSFDSWDSNETKAKPSNSTKTSNSLKFKANEIVHLTKPTISLPEPPVIFFSPRPISELDAAATKVQKVYKSYRTRRNLADCAVVVEELWWKALDFATLKRSSVSFFSIEKHETAMSKWARAKTRVAKLGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDIWSDSMSTQPFFYWLDIGDGKEVNLEKCPRSKLQRQCIQYLGPKEREAFEVIVEGGKLVYRQAGILINTTEDTKWIFVLSTSRSLYVGQKNKGVFQHSSFLAGAATTAAGRLVAQDGVLQAIWPYSGHYLPNEDNFKEFISFLEEHNVDLTNVKKCSIDDDHDSFKVVDDKEIKEVFTTITSTDTKANDVDGPIDNTTANTQQDSTDANAAKLQAPVFDLSKRLSCKWTSGYGPRIGCVRDYPAELQSRALEQVNLSPRTNPGAGSCVPIPSPRPSPKIRVSPRLAYMGLPSPRVSVN >Potri.011G073266.2.v4.1 pep chromosome:Pop_tri_v4:11:7369360:7393106:1 gene:Potri.011G073266.v4.1 transcript:Potri.011G073266.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073266.v4.1 MGTNIFLSTLYSSMEWTSSNLKDYSLPGRLSPELANLTYVQKMSLESNQFSGVVPPELGKLVSLKTLILSGNKLVGTLPEELAQIKNLTDFRVSDNNLNGTVPEFIGKWNQLRKLELYATGLQGPIPPAIFHLEKLSDLRIADMPGPEFQLPKKPIERKYLVLRNINLTGTIPENAWKVEKTLDLTFNKLVGEIPPNTIRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNRRIWYEGDKGSESNAAARSYYRLRSNRGFSSTGDFMDDDNFYNDKYTLQSNSNLIDSGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTYEKLYNKVARRVFDIYIQGIQVQKVFNFTEEAKGSNRNFTIPFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCYMFFFCLSFETYRLQGV >Potri.011G073266.1.v4.1 pep chromosome:Pop_tri_v4:11:7371047:7393106:1 gene:Potri.011G073266.v4.1 transcript:Potri.011G073266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073266.v4.1 MLMMFQLCQVMVMISFSSSITLLASDQLHPGEDEALRQIGKTVNEDGQISLKFVDSCQQKGVVETELNSAPPNLEGNSTIGCNCSITDDNYCHITSFLLKDYSLPGRLPPELANLTYVKKIDFTRNYIYGTIPVEWASMKNLSSISLTANRLSGNIPGHLGSFTALTYLSLESNQFSGVVPPELGELVSLETLILSGNKLVGTLPEELAQIKNLTDFRVSDNNLNGTVPEFIGKWNQLRKLELYATGLQGPIPPAIFHLEKLSDLRIADMPGPEFQLPKKPIERKYLVLRNINLTGTIPENAWKVEKTLDLTFNKLVGEIPPNTIRRQFTFLSGNKLTGTVQDSFLQNSPNLDVSYNNFSRAPRCNSSNENNINWFRSSSSNNKLSDLLPCSEISRCPKYYRSFHINCGGQDVKNRRIWYEGDKGSESNAAARSYYRLRSNRGFSSTGDFMDDDNFYNDKYTLQSNSNLIDSGLYATARKTPLSITYYGYCLENGNYTVRLHFAEIEFTYEKLYNKVARRVFDIYIQGIQVQKVFNFTEEAKGSNRNFTIPFNTTVTDRTLEIRLYWAGKGTTVIPIRGNYGPIISAISVCYMFFFCLSFETYRLQGV >Potri.005G084800.2.v4.1 pep chromosome:Pop_tri_v4:5:5851314:5857853:1 gene:Potri.005G084800.v4.1 transcript:Potri.005G084800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G084800.v4.1 MDLSTKFHSTFFTGATLFNPQQKTSLLKPNPSLLSTKFTINNTNSFNFPTRRPNSKIKARLSTATVETSVAEPETDIESLFSSNSDVDFDKNRLRKQSNRGASGISSGIKLENISKSYKGVTVLKDVTWEVKKGEKVGLVGVNGAGKTTQLRIMTGLEEPDSGNVIKAKANMKIAFLSQEFEVSMSRTVKEEFMSAFKEEMEIAKRLEKVQKAIEGSVEDLELMGRLLDEFDLLQRRAQAVDLDEVDAKISKLMPQLGFSPEDSDRLVAAFSSGWQMRMSLGKILLQDPDLLLLDEPTNHLDLDTIEWLEGYLQKQDVPMVIISHDRAFLDQLCTKIVETDMGVSRTFEGNYSQYIISKAEWVEAQLAAWEKHQREIEHTRDLISRLGSGANSGRASSAEKKLERLQEEDQIEKPFQRKQMKIRFPERGRSGRSVVAIRNLEFGYEDKVLFNKTNLMIERGEKIAILGPNGCGKSTLLKLIMGLEKPSRGEIVLGEHNVLPNYFEQNQAEALDLDKTVLQTVEEVAEDWRLDDIKGLLGRCNFKVDMLDRKVSLLSGGEKARLAFCKFMVKPSTLLVLDEPTNHLDIPSKEMLEEAISEYKGTVITVSHDRYFIKQIVNRVVEVKDGKLQDYAGDYNYYLEKNLDARVRELEREAELEDKAPKVKAKSKMSKAEKEARKKQKMQTFQAAKQKSKGLKNSKRWN >Potri.019G041700.2.v4.1 pep chromosome:Pop_tri_v4:19:5783961:5786252:-1 gene:Potri.019G041700.v4.1 transcript:Potri.019G041700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G041700.v4.1 MATSLAPVSISSGGSQLKARPLWSTKSISLAKSPGLAVGRKSNPVGTSPKLAVRAQYNDGSSGGGGDFVAGFLLGGAVFGTVAYIFAPQIRRSLLNEDEYGFRKAKRPIYYDEGLEKTRKTLNAKISQLNSAIDKVSSRLRGGNNSPPVPLEADPEMEATM >Potri.001G349100.7.v4.1 pep chromosome:Pop_tri_v4:1:36106590:36116020:-1 gene:Potri.001G349100.v4.1 transcript:Potri.001G349100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349100.v4.1 MTMREGLHFSKLLPFSCFKSQPSENHGLIGQKGYSRVVYCNDPDNPEAIKLNYRGNYVSNTKYTALNFIPKSLFEQFRRVANFYFLVVACVSFSPLAPYTAPSVAVPLLVVIGATMAKEGIEDWRRRKQDIEANNRRVKVYHKNSTFHETRWKKLRVGDIVKVSKDEYFPADLLLLSSSYEDGICYVETMNLDGETDLKLKHALEVTSSLREEESLKKFMAMIKCEDPNEKLYSFVGTLYYNGYDYPLLPRQILLRDSKLRNTEFIYGVVIFTGHDTKVMQNAVDPPPSKRSKIERRMDKIVYLLFSMLVLISFIGSIFFGIETTKDFRGGRFRRWYLRPDDTTVFFDPKRAPISAFFHFLTGLMLYGYLIPISLYVSIEIVKVLQSIFINQDQDMYYKETNKPAQARTSNLNEELGQVEYIMSDKTGTLTCNSMEFVKCSIAGVAYGYGMTEVERAVARIAGDGPLEADDTRNSGNSIKGFNFRDERIMNGKWVNEPHSDVIQKFFRILAVCNTAVPERNKETGEISYEAESPDEAAFVIAAREIGFELFKRKQSSISLHELVNGEKVTRVYQILQILEFSSYRKRMSAIVRTMENKILLLCKGADSVIFERLSYEGRLFEAKTKEHVKKFAEAGLRTMLLAYRELGEGEHKEWAAEFSNAKANVTAYRDVLMDEIADKIERDLILLGATAIEDKLQKGVPECIDKLAKATIKIWVLTGDKMETAINIGYACSLLREGMKLIIITLDLPEIKALERQGDMEAISKASFQSVQKQLEDGKIQVDSAKEGRNEFGLVVEGKSLAFALDNKLEKNFLNLALACASVLCCRSTPKQKALVTRLVKMDSSKTTLAIGDGGNDVSMLQEADIGVGISGVEGMEAVMSSDFAIAQFCFLERLLLVHGHWCYRRIAMMVCYFFYKNITFGFTLFWFEAYASFSGQPAYNDWYMSFYNVFFTSLPVIALGVFDQDVSARLCLKYPLLYREGIKNILFSWPHILLWMCNGVLTSIIIFFFTINSMINQAFRRDGQVVDYEILGATMYTCVVWAVNCQIALSIHYFTWIQHFFIWGSIAFWYIFMVIYGFLPPGVSTTAHKVFVEACAPSILYWLVTLLVVISTLLPYFSYRAFQSRFLPMYHDDLISVRRRPRG >Potri.001G349100.8.v4.1 pep chromosome:Pop_tri_v4:1:36106650:36116020:-1 gene:Potri.001G349100.v4.1 transcript:Potri.001G349100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G349100.v4.1 MTMREGLHFSKLLPFSCFKSQPSENHGLIGQKGYSRVVYCNDPDNPEAIKLNYRGNYVSNTKYTALNFIPKSLFEQFRRVANFYFLVVACVSFSPLAPYTAPSVAVPLLVVIGATMAKEGIEDWRRRKQDIEANNRRVKVYHKNSTFHETRWKKLRVGDIVKVSKDEYFPADLLLLSSSYEDGICYVETMNLDGETDLKLKHALEVTSSLREEESLKKFMAMIKCEDPNEKLYSFVGTLYYNGYDYPLLPRQILLRDSKLRNTEFIYGVVIFTGHDTKVMQNAVDPPPSKRSKIERRMDKIVYLLFSMLVLISFIGSIFFGIETTKDFRGGRFRRWYLRPDDTTVFFDPKRAPISAFFHFLTGLMLYGYLIPISLYVSIEIVKVLQSIFINQDQDMYYKETNKPAQARTSNLNEELGQVEYIMSDKTGTLTCNSMEFVKCSIAGVAYGYGMTEVERAVARIAGDGPLEADDTRNSGNSIKGFNFRDERIMNGKWVNEPHSDVIQKFFRILAVCNTAVPERNKETGEISYEAESPDEAAFVIAAREIGFELFKRKQSSISLHELVNGEKVTRVYQILQILEFSSYRKRMSAIVRTMENKILLLCKGADSVIFERLSYEGRLFEAKTKEHVKKFAEAGLRTMLLAYRELGEGEHKEWAAEFSNAKANVTAYRDVLMDEIADKIERDLILLGATAIEDKLQKGVPECIDKLAKATIKIWVLTGDKMETAINIGYACSLLREGMKLIIITLDLPEIKALERQGDMEAISKASFQSVQKQLEDGKIQVDSAKEGRNEFGLVVEGKSLAFALDNKLEKNFLNLALACASVLCCRSTPKQKALKICRSRDW >Potri.014G172300.10.v4.1 pep chromosome:Pop_tri_v4:14:12809692:12814461:1 gene:Potri.014G172300.v4.1 transcript:Potri.014G172300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MALCRNAKGPLSRMLTTRPGVGYGQSYSTAAIATPIYQSADDKTAFRYAFGVLSRRFCSQTPPAAAVQMSLIKQLRERTSAPIKDVKASLVQCNWDIEAAQTDLRKRGKVLAMKKAGRVATEGLLALAQNEGKAAVIELNCETDFVARNEIFQYLALTLAKQALLVENASQLVSGVHPVGLESLEELKLNLEHPKISGDTTVQNAITEVAAMMGENVRLRRGFVMSAPSPGVLSTYLHTSPQPGLGRIVGLLSLEIEDGNSPLEALQRVGSELAVHLVAAKPLFLTKEGVSSDALESEREILKSQAESTGKSQMAIEKMVEGRLRKYYEEVVLMEQKFVMNDTMNVKTLLSNLSKEVGSPVKIGNFFRMEVGEGIQREEALGADEPVAQAA >Potri.014G172300.2.v4.1 pep chromosome:Pop_tri_v4:14:12809694:12813760:1 gene:Potri.014G172300.v4.1 transcript:Potri.014G172300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EFTS MALCRNAKGPLSRMLTTRPGVGYGQSYSTAAIATPIYQSADDKTAFRYAFGVLSRRFCSQTPPAAAVQMSLIKQLRERTSAPIKDVKASLVQCNWDIEAAQTDLRKRGKVLAMKKAGRVATEGLLALAQNEGKAAVIELNCETDFVARNEIFQYLALTLAKQALLVENASQLVSGVHPVGLESLEELKLNLEHPKISGDTTVQNAITEVAAMMGENVRLRRGFVMSAPSPGVLSTYLHTSPQPGLGRIVGLLSLEIEDGNSPLEALQRVGSELAVHLVAAKPLFLTKEGVSSDALESEREILKSQAESTGKSQMAIEKMVEGRLRKYYEEVVLMEQKFVMNDTMNVKTLLSNLSKEVGSPVKIGNFFRMEVGEGIQREEALGADEPVAQAA >Potri.001G110800.1.v4.1 pep chromosome:Pop_tri_v4:1:8917031:8918499:-1 gene:Potri.001G110800.v4.1 transcript:Potri.001G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G110800.v4.1 MDNFCQFSDQHPLRSNSMPNDRQEASSFSDSSTSRRVVHSDEEVLLATSFPKKRAGRRIFRETRHPVFRGVRKRNGNKWVCEMREPNKKSRIWLGTYPTPEMAARAHDVAALALRGKSACLNFADSAWRLPVPVSKDSKDITRAANEAAELFRPQEFGGHPAKQQDSNAVLEDCSSEVCSDDCKTFQENDVFFKEAVFAMPGENDVFFEEAVFDMPGLLVDMAEGLLLSPPRYVRNDCNDLDHMENGSDLSLWSY >Potri.002G245100.2.v4.1 pep chromosome:Pop_tri_v4:2:23636984:23640159:1 gene:Potri.002G245100.v4.1 transcript:Potri.002G245100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G245100.v4.1 MRTYIIKSLFKHPFTFSVTIRSKTTSAQYVASRARDATFEKLMDKYKNFVKVIAIQDLILSNPNKTPPCISLDFLSKLSQKLHLNRGAPSFLRKYPHIFHIFYDPAKSQAFCRLTDTALEISRKEEEAVNASLPLVVDRLVRLLFMSTSKSLPLRAVFKVWRELGLPDDFEDSVIVKNPNLFRLCDGNEPRTHVLKLVGEFPDNHFTAAVENWRVTECCREDCSVDRMDMRYSFKHVYPPGMRLSKTFRAKAKEWQSLPYLGPYEDLMEKKKKTKAGLKGLEKRAVAIVHEFLSLTVEKMVEVEKISHFRNCFGIDMNIRDLFLDHPGMFYLSTKGKRHTVFLREAYERGRLIDPNPVYDVRRKLLDLVFLGRHDMLTSTSRSVEIDKSEESGSENENVD >Potri.009G168100.1.v4.1 pep chromosome:Pop_tri_v4:9:12811174:12816324:1 gene:Potri.009G168100.v4.1 transcript:Potri.009G168100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G168100.v4.1 MAAPPPPNPINKPRNPKKHSLKDLKTLGHQLLSSRTHINNLPLLLTYISPNFPPQHVLESLLSLHSFFSPILPDLPSSSRSSTHNKDNDEPDADVIYKTWLRSKFDEFVKSLVDVAVSPKAEDALKEVVLDTLMEFVKTGNGGRFNSAIYHRFLVNIVQSTESLDFVLELLASKYFKYIDIRYFTYINIEKFAKNLELKDISDGKTESGDKVGESDSRESLELSIYKIHYIISNIPPLEDPKQNSDYELWGGSGFSVKKGESKGPSQHLKTEDKDLKSEKHDNDVLSAGNYAKKMKLKFTKAWISFLRLPLPIDVYKEVLSNLHQAVIPHLSNPIMLCDFLTRSYDIGGVVSVMALSSLFILMTKHGLEYPNFYEKLYVLLLPSIFMAKHRAKFFQLLDSCLKSPLLPAYLAAAFAKKLSRLALVVPPSGALVIIALIHNLLRRHPSINCLVHQEDCNDTTDNNSEAEGGDNENEFGASTNIAARKAGIDHFDNEESNPLKSHALGSSLWEIDSLRHHYCPPVSRFVQSLENDLTVRAKTTEVNVEDFSSGSYATIFGEEIRRRVKQVPVAFYKAIPTSLFSETDFSGWSFKEEEESKGKKSENGILNSSGDKDGCCTKRQRVEC >Potri.006G003501.1.v4.1 pep chromosome:Pop_tri_v4:6:284547:284850:1 gene:Potri.006G003501.v4.1 transcript:Potri.006G003501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003501.v4.1 MEPYACVRNQVAFLLLRIVIQWDVRLIYRSGLVQVVWLLPHAVQQREGLLQLEMLKSQKHNNWSPFHTDIITNL >Potri.001G371300.1.v4.1 pep chromosome:Pop_tri_v4:1:38897315:38898334:-1 gene:Potri.001G371300.v4.1 transcript:Potri.001G371300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371300.v4.1 MLPPSQEDELKASHFTALSLSTDIQVSQQIHIPSQVAKKVQSTSLPYTRNSASPMKVKRKRCLDGAALTIGTGSSSNLLKMKGVDHPTEQVSCASLGGDYSRKQPPPIAAVSGLVNTSEARVVDEASPYHQFVRGVRSGNANKKNDDRRAGTDSTSYVNALNRACLYQQSASGISCENDSKKNAHPRARRTCGKTSISDKIQHRMIKNRESAARSRARKQALEAQQQVENTELKKENDLLKRAVRFLLAILRTKRMKLPALSRSFSAPL >Potri.017G041500.1.v4.1 pep chromosome:Pop_tri_v4:17:2779467:2781615:1 gene:Potri.017G041500.v4.1 transcript:Potri.017G041500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G041500.v4.1 MKTELRGNTTSISLQNPSLFNTPQSSLSGALKGCLGSLDGACIEKLLLHCASALEHNDGTLVQQVMWVLNNVASLVGDPNQRLTSWFLRALVSRASKVCPTAMDFDGSSTIRRRQMSVTELAVYVDLIPWHRFGFCASNSAIFKAIEGYSKVHILDFSITHCMQWPTLIDALAKRPEGPPSLRITVPSCRPPVPPFLNVSCEEVGLRLSNFAKFRDVPFEFNVIDDPSYLASTEIMPKESSHDFHFESLLNHLTPSVLNLRDDEALVINCQNWLRYLSNIEQQGSSVQYSSLRDAFLRTVKAFNPCIVIVVDEDSDLSAPSLSSRITTCFNYLWIPFDALETFLPKDSSQRIEYESDIGHKIENIISFEGLQRIERLEPGIKVSERMKNAGFFSVPFCEDTIGEVRSLLEEHASGWGMKRGEDHMLMLTWKGHNSVFATAWVPIDLQD >Potri.015G022300.2.v4.1 pep chromosome:Pop_tri_v4:15:1640290:1643226:-1 gene:Potri.015G022300.v4.1 transcript:Potri.015G022300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022300.v4.1 MSECLSDFLEEVQPEFGDTTFDGDDLFAIFESLDSVTDFPPVIPLDEVVACSKESEETRRLVSQKSSSSSALQDFDETNNELETSPKSKRQKIAASAAAIASSDQEVNPDGQQRISHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRIVSSPRPPLSPRKPPLSPRLNLPNSPRTPQPCSPYKPRLQQGYISPTMATSLEPSPTSSSSSSINDNINELIANSKSAIADVEVKFSGPNVLLKTVSPRIPGQAVKIVSALEGLALEILHVSISTVDHETMLNSFTIKIGIECQLSAEDLAQQIQQTFC >Potri.015G022300.3.v4.1 pep chromosome:Pop_tri_v4:15:1640430:1643057:-1 gene:Potri.015G022300.v4.1 transcript:Potri.015G022300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022300.v4.1 MSECLSDFLEEVQPEFGDTTFDGDDLFAIFESLDSVTDFPPVIPLDEVVACSKESEETRRLVSQKSSSSSALQDFDETNNELETSPKSKRQKIAASAAAIASSDQEVNPDGQQRISHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKQRKVYSEVLSPRIVSSPRPPLSPRKPPLSPRLNLPNSPRTPQPCSPYKPRLQQGYISPTMATSLEPSPTSSSSSSINDNINELIANSKSAIADVEVKFSGPNVLLKTVSPRIPGQAVKIVSALEGLALEILHVSISTVDHETMLNSFTIKVKDVTSSKFNFLHHFNYHLIYKFKLIMERKKKNLCTK >Potri.013G109500.1.v4.1 pep chromosome:Pop_tri_v4:13:11813244:11814717:-1 gene:Potri.013G109500.v4.1 transcript:Potri.013G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109500.v4.1 MPPEGELRYPSPPLTDSTTTIDDEAGWVWAQIKAEARRDAESEPALASYLYSTILSHSSLERSLSFHLGNKLCSSTLLSTLLYDLFLNTFTSDPGLRVAAVADLRAARARDPACVSFSHCLLNYKGFLACQAHRVAHKLWNQSRRPLALALHSRISDVFAVDIHPAAKIGKGILFDHATGVVIGETAVIGNNVSILHHVTLGGTGKASGDRHPKIGDGVLIGAGATILGNVKIGEGAKIGAGSVVLIDVPPRTTTVGNPARLVGGKEKPAKLEECPGESMDQTSFISDWSDYII >Potri.017G130100.1.v4.1 pep chromosome:Pop_tri_v4:17:13302859:13304892:1 gene:Potri.017G130100.v4.1 transcript:Potri.017G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G130100.v4.1 MAILGLALAAFILAAKATTLASAASLVTFIFGDSLTEVGNNKYLQYSLARSDYPWYGIDFPGGRATGRFTNGRTIGDIISAKLGIPSPPPFLSLSKNDDALLTGVNYASGGAGILNDTGLYFIQKLSFYDQIECFKKTKESIRAKIGEDAANKLCNEAMYFIGLGSNDYVNNYLQPFLADGQQYTPDEFVELLISTLDKQLSMLYQLGARKVVFHGLGPLGCIPSQRVKSKTGRCLKRVNEYVLEFNSRVKKLIATLNRRFPNAKLTFADAYGDVLDLIDNPTAYGLKISNTSCCNVDTTIGGLCLPNSKLCSNRKDYVFWDAFHPSDAANAILAEKLFSTLFSGPPSVAPTPSH >Potri.013G060500.3.v4.1 pep chromosome:Pop_tri_v4:13:4451857:4454129:-1 gene:Potri.013G060500.v4.1 transcript:Potri.013G060500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060500.v4.1 MEDEMVDQYWCHMCSQMVNPVTEAEIKCPFCESGFLEEMGSVREVNNNIFDLGSEHAFSLWDTFLLDLMGGLGTSGSRRIAQEHISSTSSQDEDDELDFESLLRRRGRLSTSSVQRLLQDLHLRSENPENDRESSGGSSSSSSVILVNPFNEEAIILHSPDNMNQPENPSQNVSISFQDYLIGPDVDLLLHHLAESGPNRYGTPPAEKEAVKAMPTVSITQNLQCSVCLEEFDIGCEAKEMPCKHKFHGECIVPWLELHSSCPVCRFLMPSDDSTTGVSQSRSDEERTESNDARIINNGRRYWVPIPWPFDGLFPLSGSQNGGSSSSAPSSTTMRGSASYSDET >Potri.013G060500.5.v4.1 pep chromosome:Pop_tri_v4:13:4451856:4453645:-1 gene:Potri.013G060500.v4.1 transcript:Potri.013G060500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060500.v4.1 MEDEMVDQYWCHMCSQMVNPVTEAEIKCPFCESGFLEEMGSVREVNNNIFDLGSEHAFSLWDTFLLDLMGGLGTSGSRRIAQEHISSTSSQDEDDELDFESLLRRRGRLSTSSVQRLLQDLHLRSENPENDRESSGGSSSSSSVILVNPFNEEAIILHSPDNMNQPENPSQNVSISFQDYLIGPDVDLLLHHLAESGPNRYGTPPAEKEAVKAMPTVSITQNLQCSVCLEEFDIGCEAKEMPCKHKFHGECIVPWLELHSSCPVCRFLMPSDDSTTGVSQSRSDEERTESNDARIINNGRRYWVPIPWPFDGLFPLSGSQNGGSSSSAPSSTTMRGSASYSDET >Potri.013G060500.4.v4.1 pep chromosome:Pop_tri_v4:13:4451856:4454021:-1 gene:Potri.013G060500.v4.1 transcript:Potri.013G060500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060500.v4.1 MEDEMVDQYWCHMCSQMVNPVTEAEIKCPFCESGFLEEMGSVREVNNNIFDLGSEHAFSLWDTFLLDLMGGLGTSGSRRIAQEHISSTSSQDEDDELDFESLLRRRGRLSTSSVQRLLQDLHLRSENPENDRESSGGSSSSSSVILVNPFNEEAIILHSPDNMNQPENPSQNVSISFQDYLIGPDVDLLLHHLAESGPNRYGTPPAEKEAVKAMPTVSITQNLQCSVCLEEFDIGCEAKEMPCKHKFHGECIVPWLELHSSCPVCRFLMPSDDSTTGVSQSRSDEERTESNDARIINNGRRYWVPIPWPFDGLFPLSGSQNGGSSSSAPSSTTMRGSASYSDET >Potri.010G007844.1.v4.1 pep chromosome:Pop_tri_v4:10:1268648:1269143:-1 gene:Potri.010G007844.v4.1 transcript:Potri.010G007844.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007844.v4.1 MARQADLLVKIGREGFAAIDEHFGRAKRWPPVMKVPYAHPTYYYVHQANQIPATKLIDSN >Potri.007G046900.3.v4.1 pep chromosome:Pop_tri_v4:7:4296430:4301732:-1 gene:Potri.007G046900.v4.1 transcript:Potri.007G046900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046900.v4.1 MKQPQTSSTSHFTMPLSNPLLLFFLLLLLTTLTTSQLEQTTYLPPLDAVSILSFKSKADLDNKLFYTLNERFDYCQWQGIKCAQGRVVRVVLQGFGLRGTFPPFTLSRLDQLRVLSLQNNSLSGPIPDLSPLFNLKSLFLNHNSFSASFPPSILLLHRLTILDLSYNNLAGQLPVNLSSLDRLNSLQLEFNQFNGTLPSLDLRLLVFFNVSGNNLTGPIPLTPTLSRFDTSSFSLNPFLCGEIINKACKPRSPFFDSSASPTASSPAGVPFGQSAQAGGGVVVSITPPSKQKPSRSGVVLGFTVGVSVLVLSLLCFVLVLVKKQKQERHAEEEKEQVVTGTTSPVRTPSNNPAMQSQVGEKGHEIINTKAKEGLVQQVRKAEKSGSLVFCGGKTQVYTLEQLMRASAELLGRGTIGTTYKAVLDNQLIVTVKRLDASKTAITSSDVFERHMDVVGALRHPNLVPIAAYFQAKGERLVIFDYQPNGSLFNLIHGSRSTRAKPLHWTSCLKIAEDVAQGLAYIHQTSNLVHGNLKSANVLLGADFEACITDYCLAMLADTSSSENPDSAACKAPETRKASRRATSKSDVYAFGVLLLELLTGKHPSQHPYLVPADMLDWVRTVRDDGSGDDNQLGMLTEVASVCSLTSPEQRPAMWQVLKMIQEIKDNVMVEDNAADGYS >Potri.008G224210.1.v4.1 pep chromosome:Pop_tri_v4:8:19013543:19015246:1 gene:Potri.008G224210.v4.1 transcript:Potri.008G224210.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224210.v4.1 MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCSRGESGSSRAGRGTGWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Potri.004G059400.1.v4.1 pep chromosome:Pop_tri_v4:4:4957103:4960151:1 gene:Potri.004G059400.v4.1 transcript:Potri.004G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059400.v4.1 MMILMATILPPKLSLPLNNKKSRGKHSFHSKYVFFSNKPYPKFAPLSPNLHFSYDTVSVFASPAQSKDNKITDWNTKIYEVCEMGNIDKAIKLLYMSPKTEIESRTCCSILQLSAELKSLQDGKKVHSFICSSGISIDSVLGSKLVFMYVTCGDLREGRLIFDKIRNEKVFLWNLMMNGYTKIGDFKESVSLFRQMLDLGVEVNSHTVSCVLKCFAALGSVKEGKWVHGFLLKLGLGSYNAVVNSLIAFYLKIRRVDVARKLFDELSNRDVISWNSMISGYVANGFSEKGVELFKKMLYLGVDMDLATMVSILQACANCGDVSLGRAVHGSGVKACVHWKTTFCNTLLDMYAKCGVLDGAIRVFDLMSVRTVVTWTSLIAAYAREGLSDEAIRLFHEMDREGVSPDIFTITTVLHACACNGSLENGKDVHNYIRENDMQSNIFVCNALMDMYAKCGSMEDANSVFLEMPVKDIISWNTMIGGYSKNSLPNEALSLFGDMVLEMKPDGTTLACILPACASLASLDRGKEVHGHILRNGFFSDQQVANALVDMYVKCGVPVLARLLFDMIPTKDLITWTVMIAGYGMHGFGNNAITTFNEMRQAGIEPDEVSFISILYACSHSGLLDEGWRFFNVMQDECNVKPKLEHYACIVDLLARSGKLAMAYKFIKSMPIEPDATIWGALLSGCRIHHDVKLAEKVAEHVFELEPENTGYYVLLANTYAEAEKWEEVKKLRQKIGRRGLKKNPGCSWIEVKSKVHIFLAGNSSHPQAKKIEVLLKRLRSKMKEEGYFPKTRYALINADSLQKETALCGHSEKLAMAFGILNLPPARTIRVSKNLRVCGDCHEMAKFISKTLGREIVLRDSNRFHHFKDGVCCCRGFW >Potri.008G021200.3.v4.1 pep chromosome:Pop_tri_v4:8:1051921:1054312:-1 gene:Potri.008G021200.v4.1 transcript:Potri.008G021200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021200.v4.1 MIKEITVVLCLGFAVWAYQAAHPPPPKLCGAPGGPPVTAPRIKLRDGRHLSYKENGFPREKAKAKIILVHGFACTKHDIMSLTDLVPHVVEELGLYFVSFDRPGYGESDPDPKRTPKSIALDIEELADHLELGSKFYVMGFSMGGQVVWGCLKYIPHRLAGATLIAPVVNYWWPGFPANLSTEAYYRQIPQEQWMLSVAHHAPWLTYWWNTQKLFPASAVIARKPEIFSRQDLELASMAAEKSEDRPPATPQGEFESLHRDYNVGFGKWEFDPMELENPFPNNEGSIHLWQGDEDAVVPVSLQRYIAQGIPWINYHELPGAGHLSLVIPQNFEQIVKAPFPGKE >Potri.008G021200.2.v4.1 pep chromosome:Pop_tri_v4:8:1051751:1054382:-1 gene:Potri.008G021200.v4.1 transcript:Potri.008G021200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021200.v4.1 MIKEITVVLCLGFAVWAYQAAHPPPPKLCGAPGGPPVTAPRIKLRDGRHLSYKENGFPREKAKAKIILVHGFACTKHDIMSLTDLVPHVVEELGLYFVSFDRPGYGESDPDPKRTPKSIALDIEELADHLELGSKFYVMGFSMGGQVVWGCLKYIPHRLAGATLIAPVVNYWWPGFPANLSTEAYYRQIPQEQWMLSVAHHAPWLTYWWNTQKLFPASAVIARKPEIFSRQDLELASMAAEKSEDRPPATPQGEFESLHRDYNVGFGKWEFDPMELENPFPNNEGSIHLWQGDEDAVVPVSLQRYIAQGIPWINYHELPGAGHLSLVIPQNFEQIVKAPFPGKE >Potri.010G059100.1.v4.1 pep chromosome:Pop_tri_v4:10:8926462:8931384:-1 gene:Potri.010G059100.v4.1 transcript:Potri.010G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G059100.v4.1 MFGTPSSTPAFGTPSSTPAFGTPSSTPLFGTPSSTPAFGTPSSTPAFGTPSTPAFASGGFGSSLFSTPFTSQTQQQQQQQQQQQQQTPFFQQPSATGFGFQQQQQQTPFATPLQMTPFPTPQLTTQMAPVAPLPFSLADRDIQAIVDAYKEEPGNPKYAFKYLLLSVTDPQHRVKPAGVSEIMWAEAMAKLEGMDSADRERLWPQLVQGFKDLSNRLKLQDEVIVSDAERLRMTQSNVKMLQRHFQAETLPWIERMRQKEQSLQRRLLRVMRIMEALEGKGCRLPLTKGEAELAEKLVAIIRQLKGSGAEFSRRVHNLLTVSRVQANGIGAGGSLYLPGSTKIHEESLADMQEVLQQQTEAIARLGNVLKRDIRDMEIMMAEDT >Potri.013G066660.1.v4.1 pep chromosome:Pop_tri_v4:13:5010133:5010705:-1 gene:Potri.013G066660.v4.1 transcript:Potri.013G066660.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066660.v4.1 MDNQSFFKYSWETLPKKWVKKMERSEHGNRSDTNSDYLFQLLCFLKFHTYTRVQVLIDICGVDYPSRKRRFEVVYNLLSTRYNSRIRVQTSADEVTRIFPVVSLFPSAGRWEREVWDMFGVSFINHPDLRRILTDYGFEGHPLRKDLPLSGYVEVRYDDPEKRVVFEPIEMTQEFRYFDFASPWEQRSDG >Potri.003G038700.2.v4.1 pep chromosome:Pop_tri_v4:3:4319650:4323528:-1 gene:Potri.003G038700.v4.1 transcript:Potri.003G038700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G038700.v4.1 MEKMGGRISATLILAFLFISSAHCFYLPGVAPRDFQKDTILSVKVNKLSSTKTQLPYDYYYLNYCKPKKIENNAENLGEVLRGDRIENSVYTFKMMNEKLCKVACRQKKLDAESAKNFKEKIDDEYRVNMILDNLPVAVLRQRRDGIQSTTYEHGFRVGFKGNYAGSKEEKYFINNHLSFRVMYHKDPETESSRIVGFEVTPNSVNHEYKEWDDNDPQLTTCNKDTKMLIQGSTVPQEVDVGKEIVFTYDVTFKESDIKWASRWDTYLLMNDDQIHWFSIINSLMIVLFLSGMVAMIMMRTLYRDIANYNQLETQDEAQEETGWKLVHGDVFRAPINSGLLCVYAGTGVQIFGMTLVTMIFALLGFLSPSNRGGLMTAMVLLWVFMGLFAGYSSARLHKMFKGTDWKRNTLKTAFMFPGILFAIFFVLNALIWGEKSSGAVPFGTMFALVCLWFGISVPLVFVGSYLGFKKPAIEDPVKTNKIPRQVPEQAWYMKPVFSILIGGILPFGAVFIELFFILTSIWLNQFYYIFGFLFIVFVILLITCAEITVVLCYFQLCSEDYHWWWRSYLTAGSSALYLFLYSIFYFFTKLEITKFVSGVLYFGYMIIISYAFFVLTGTIGFYACFWFVQKIYASVKID >Potri.011G029000.1.v4.1 pep chromosome:Pop_tri_v4:11:2190167:2193899:1 gene:Potri.011G029000.v4.1 transcript:Potri.011G029000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029000.v4.1 MYASTVPMDSSKLVLLLSFFYILLHQPSLTAAQPKYFVSYNCNYNNTGNYTTNSTYQRNLNSLLSSLASDTQIDYGFYNLSVGEFPDRVNAIALCRGDVAVDVCRSCVNDSTRTILEVCPNKMGAFAVYAFCMIRYSNTSIFGVVNDQPFIYQANGRNVLDVTLFNQALQTLFARLRDKAASGNSLKKFATGNQSAGVETVYAIVQCTPDLSEGQCSSCLLGVFRMITNCCGGNVQGKIGAKLITPSCNLRWEIGPFFNGTLEILPSPPPPQISSPTSLPAPAQGKKSNTGRIIVVTVVPAVGAVILVICMCLFIRTRKQREKERIETGDEIESAESLQFAFSTIQDATEDFSEKNKLGHGGFGAVYKGALPSGQEIAVKRLSKDSGQGDLEFKNEVLLVARLQHRNLVRLLGFCLQGIERLLIYEFVPNASLDHFIFDPIKRVHLNWERRYKIIGGIARGLLYLHEDSRLRIIHRDLKASNILLDEEMNPKISDFGMARLFVVDQTQGNTSRIVGTYGYMAPEYAMQGHFSVKSDVFSFGVLVLEIVTGKKNSFRNGNDIEHLLSHAWRNWREGTAQDMIDPVLCSGSATEMMRCIHIGLLCVQENVAERPTMASVVLMLSSSSLTLQIPSQPAFFMNSSTYQSDLSSSMGHNSRVTESSLSESEVMIPLSKNEVSITELYPR >Potri.005G007500.1.v4.1 pep chromosome:Pop_tri_v4:5:533045:536078:-1 gene:Potri.005G007500.v4.1 transcript:Potri.005G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G007500.v4.1 MGEIRSPYFSLAQYYYGDNPDIHSPYFSYGGEDYHGDNAEIHPPYSSAGDYHGDNAEIHQTYYSAGDYAEIHPPYSSAGDYFGDNAEIHSPYSSAADYLADNTEIHSEALFHQDIYGNSYNAQQFVKHEKVLRPVRVALKYEQESDVCIYRVGDHQSKKAMYSPQQISIGPFHHGNRNLRFMERKKYEYYEQFWEDRVSKERERKGAEDEFWDALEEDKNKIHQCYEDGSRRIKISQRFLGLILYDAVFILELFLKYREGREKYIKDSILKETWLRAAIRRDLILLENQLPFFILEKLYKLLPENIKRENTEFKTLACFYFERHFPKKSSSAETTPLHFTDLVRSLLSFTGNGKPVEQIKSFHSATRLRQAGVKFKVPREQDHCLLDVDFRRLRKEFHIPQLQIHGDTESLFRNLMALEKRLYPGQEYICHYINLLSILVVKPKDAKLLMENKIVTYCKDEVAVRDLICSLASSSTTDLHSCYHDIFSAVDDYYKSSWAKNPAYFIEEFFGNFWKGVGTVCAAILLILTLVQTICAILGLR >Potri.003G052150.1.v4.1 pep chromosome:Pop_tri_v4:3:7666860:7667156:1 gene:Potri.003G052150.v4.1 transcript:Potri.003G052150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052150.v4.1 MGRYCGRFVSGSYCEECSCADCHNNVENEGVRREATECVNCKNLEGSELGMDVLWGNHGKTKACIQQAKAASSDAIVTSSHIFSQESKKIMKKISRTI >Potri.004G056301.1.v4.1 pep chromosome:Pop_tri_v4:4:4633543:4634136:-1 gene:Potri.004G056301.v4.1 transcript:Potri.004G056301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056301.v4.1 MVGCCWAFSAVAAIEGIIKLKTGNLISLSKQQLVNRDVGNKGCHGGLMDTAFQYIIRNEGLTSEDNYPYQGVDGTCSSEKAASIAAEITGDENAPKNNENALLQAVAKQPVSVGVDGGGNDFQFYKSGVFNGDCGTQQNHAVTAIGYGTDSDGTDYWLVKNSWGTSWGESGYTRMQRGIGASEGLCGVAMDASYPTA >Potri.009G127300.1.v4.1 pep chromosome:Pop_tri_v4:9:10499801:10500272:-1 gene:Potri.009G127300.v4.1 transcript:Potri.009G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G127300.v4.1 MGIRLFNAKQVVRRILLSGEESSNVPKGHFVVYVGETQKRCVVPISYLKNPSFQKLLRHVEEEYGFNHPMGGLTIPCSEQVFHDLICCSS >Potri.015G066700.1.v4.1 pep chromosome:Pop_tri_v4:15:9235287:9237900:1 gene:Potri.015G066700.v4.1 transcript:Potri.015G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G066700.v4.1 MTLKGGTSQACASCKYQRRKCSPECPLAPYFPPDQPRLFQNTHKLFGVRKILKILENLDPHQHEEAMRSIIYQANIRDRFPVHGCWGIICKLHFQIRQAEEELRAVLAHLEMYRQQQHQHQIAALTEDVPSQLELGMAPPQPCLAQQYSYSIVGSNTGYSSNYLDSNDNAGNSLWGQHPYATNYNINSMPIIQSQLAASQPLATQQEIVQDYDEMHPFFDSIDDRQSYIETKEPYESSSEESLKDTAPSMEHAAENELKSAAACFSLTSIN >Potri.010G017100.3.v4.1 pep chromosome:Pop_tri_v4:10:2639657:2650169:-1 gene:Potri.010G017100.v4.1 transcript:Potri.010G017100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G017100.v4.1 MDSIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFSPGKSKSRYLGIWYGKISVQTAVWVANRETPLNDSSGVVRLTNQGLLVLLNRSGSIIWSSNTSTPARNPVAQLLDSGNLVVKEEGDNNMENSLWQSFDYPGNTLIPGMKVGRNIKTGMDWYVTSWKSPDDPSRGNITGILVPEGYPELLLLEDSKPKHRAGPWNGLQFSGMPQVKPNPVYIFEFVYNDKEIYYTEQLHNSSRHWRVVLPQSGDIQHILWIEQTQSWLLYETANTDNCETYALCGANGICSINNSPVCNCLKGFEPKVPRDWDKTDWSSGCVRKTALNCSRDGFRKLRGVKMPETRKSWFNRSMDLEECKNTCLKNCSCTAYTNLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMDASELAPPTPTLFGVFAFHHRRSPFITGVCTPLIRCLYNSSLSILACIGKVFTCCLHQEHKRLPVACATNNFSVSNKLGEGGFGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDEKMLVYELLPNKSLDFYIFDETRSLLLDWPKRYNIINGIARGLLYLHQDSRLRIIHRDLKTSNVLLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYKNRGFHHPDHHLNLIGHAWILFKQGRPLELAAGSKVETPYLSEVLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEASYSSRQSKPPSANVCSVSVLEAR >Potri.003G067266.1.v4.1 pep chromosome:Pop_tri_v4:3:9449048:9449815:1 gene:Potri.003G067266.v4.1 transcript:Potri.003G067266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G067266.v4.1 MAERANLFFHNKVIDGTAIKRIISRFIDHFGMAYTSHILDQVKTLGFHQATATSISLGIDDLLTIPSKGWLVQDAEQQILILEKHHHYGNVHAIEKLRQSIEIWYATSEYLRQEMNLNFRMTEPFNPVHIIGLMSDPQGQMIDLPIQSNLREGLSLTEYIISCYGARKGVVDTAIRTSDAGYLTRRLVEVVKHIVVCRTDCGTTQRISVSSRNGMIPERIFIQTLIGRVLADNIYIW >Potri.013G131800.1.v4.1 pep chromosome:Pop_tri_v4:13:13784281:13785439:1 gene:Potri.013G131800.v4.1 transcript:Potri.013G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G131800.v4.1 MAALSFSLTSLSKTPKFSPSKTPTTKSTILNLLPYKLLNLNKFSTISKPNSFSLTVTKPSKNSKPKSHFQPIRSLFTGIVEEMGKVQKLGDTKDGGVDLKIAAKTVLEGVNLGDSIAVNGTCLTVTDFTNQDFTVGLSPETLRKTSLIELKTGSLVNLERAVQPISRMGGHFVQGHVDGTGVIVEKVPEGDSLWIKVKTEKGLLKYIVPKGFIAVDGASLTVVDVFEEEGCFNFMLVSYTQQKVVVPLKEVGQKVNLEVDILGKYVERLLSSRC >Potri.012G115800.1.v4.1 pep chromosome:Pop_tri_v4:12:13370109:13372652:-1 gene:Potri.012G115800.v4.1 transcript:Potri.012G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G115800.v4.1 MLQTLSTHLSSTSTSTSTTTPLLLLSKPFLSPSAKSQLSHSKPFNFPRTLKPISYYKPPMNILSKLGFGTRSPDPSTMDPTIPQGPDDDLPAPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYTQGLSHNPSYEDVCTGTTKHSEVVRVQYDPKEGSFETLLDAFWARHDPTTLNRQGNDVGTQYRSGIYYYTPEQEKAAKESMEQQQKLSNRKIVTEILPAKKFYRAEEYHQQYLAKGGRFGFKQSSEKGCNDPIRCYG >Potri.006G193800.6.v4.1 pep chromosome:Pop_tri_v4:6:20115145:20117243:1 gene:Potri.006G193800.v4.1 transcript:Potri.006G193800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193800.v4.1 MQRVRLSSQQAPVHKLGDSQITLSPKFRVGVIQSSLLNPSPEFESSLQCEPLIPGLPDDIALNCLLRVPVQSHAACKAVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFSFHKCTGKIQWQVLDLINFSWHTIPAMPCKHKVCPHGFRCVSVAHDGTLFVCGGMVSDVDFPLDLVLKYEMQKNRWTVMNRMITARSFFASGVIEGMIYVAGGNSSDLFELDSAEVLDPVKGNWRRIANMGTNMASYDAAVLDGKLLVTEGWLWPFFFSPRGQIYDPRTDKWENMAFGLREGWTGSSVVVYGRLFVVSDLERMKLKVYDAESDSWETIEGSPLPEQISKPFAVNAWDCKIYVVGRNLHVVVGHISRLQQKGICEKKWGFSVRWHVVDPPDSFCDLTPSSSQVLFA >Potri.006G193800.5.v4.1 pep chromosome:Pop_tri_v4:6:20115151:20117849:1 gene:Potri.006G193800.v4.1 transcript:Potri.006G193800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G193800.v4.1 MQRVRLSSQQAPVHKLGDSQITLSPKFRVGVIQSSLLNPSPEFESSLQCEPLIPGLPDDIALNCLLRVPVQSHAACKAVCKRWHLLLGNKERFFTRRKELGFKDPWLFVFSFHKCTGKIQWQVLDLINFSWHTIPAMPCKHKVCPHGFRCVSVAHDGTLFVCGGMVSDVDFPLDLVLKYEMQKNRWTVMNRMITARSFFASGVIEGMIYVAGGNSSDLFELDSAEVLDPVKGNWRRIANMGTNMASYDAAVLDGKLLVTEGWLWPFFFSPRGQIYDPRTDKWENMAFGLREGWTGSSVVVYGRLFVVSDLERMKLKVYDAESDSWETIEGSPLPEQISKPFAVNAWDCKIYVVGRNLHVVVGHISRLQQKGICEKKWGFSVRWHVVDPPDSFCDLTPSSSQVLFA >Potri.001G351000.1.v4.1 pep chromosome:Pop_tri_v4:1:36352993:36354399:-1 gene:Potri.001G351000.v4.1 transcript:Potri.001G351000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351000.v4.1 MAMPKERFFLILISFSSLIYPSQSRLSYNYYSKSCPNFNKIIQETVTSKQITSPSTAAGTLRLFFHDCLPNGCDASILISSTPFNSAERDADINLSLPGDAFDLVTRAKTALELSCPNTVSCADILTIATRDLVTMVGGPYYNVLLGRKDYRISKSSYVEGNLPRPTMPMSKIISLFAVKGFSVQEMVALSGAHTIGFSHCKEFKSYLYNDTHYNQRFVQALRNACADYPKNPTLSVFNDIMTPNNFDNKYFDNLGKGLGLLESDHGLYNNPMTNPFVEIYAKDEKKFFQDFARAMEKLSVYGIKTGRRGEIRRRCDAIN >Potri.001G351000.3.v4.1 pep chromosome:Pop_tri_v4:1:36352993:36354430:-1 gene:Potri.001G351000.v4.1 transcript:Potri.001G351000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351000.v4.1 MAMPKERFFLILISFSSLIYPSQSRLSYNYYSKSCPNFNKIIQETVTSKQITSPSTAAGTLRLFFHDCLPNGCDASILISSTPFNSAERDADINLSLPGDAFDLVTRAKTALELSCPNTVSCADILTIATRDLVTMVGGPYYNVLLGRKDYRISKSSYVEGNLPRPTMPMSKIISLFAVKGFSVQEMVALSGAHTIGFSHCKEFKSYLYNDTHYNQRFVQALRNACADYPKNPTLSVFNDIMTPNNFDNKYFDNLGKGLGLLESDHGLYNNPMTNPFVEIYAKDEKKFFQDFARAMEKLSVYGIKTGRRGEIRRRCDAIN >Potri.018G137400.3.v4.1 pep chromosome:Pop_tri_v4:18:15714118:15718837:1 gene:Potri.018G137400.v4.1 transcript:Potri.018G137400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137400.v4.1 MGSRGGGKPFGSGANTSLSSKGKNVAEASGPAVEQLSQGVADIGLDSVQDDGEWEVIAKKSKNRAGSSAAKPWGSQTTNNKAWGNPDVIQKLGMRNNGGSGRNPGNAWSTQPVDPRGPTGRGAARPQTFNRGMQSNYAAPQPVIRPPLEHGWSWQARAGATALRSSEDQKNEENDEVKKENDDYDDKDDEDDGDSLDDTDDELLSEDFDSDTSQQSHETRKKSRWFKKFFDSLDSLSIEEVNEPARQWHCPACQGGPGAIDWYRGLQPLITHAKTKGSKRVKLHRELAELLDEELSRRGTSVIPAGEVFGKWKGLKDDEKDHEIVWPPMVIVMNTRLEQDDNDKWLGMGNQELLDYFSGYAAVKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFAEQGTDRNAWDRRRVLFYQGGKRQLYGYMALKEDLDLFNQHSQGKSRLKYELRSYHEMVVNQIRQMAEDNQQLIYLKNKVVKEQRHSEQLEKYCGIVAEKLRKTIEENRIVRQRTQMHHEQNKEELDFQEQFFKEQLQTIRDQREAKEEDFEKLQQDKRQQVKELNANPSNTEEYRSRVEKVEEFIELQDKEMEEYVAERDNLIEEHGEKMTAMKRRHLEEELEMEKEYDARLASLMKKYTPNQAEGSANV >Potri.018G137400.2.v4.1 pep chromosome:Pop_tri_v4:18:15714191:15718839:1 gene:Potri.018G137400.v4.1 transcript:Potri.018G137400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137400.v4.1 MGSRGGGKPFGSGANTSLSSKGKNVAEASGPAVEQLSQGVADIGLDSVQDDGEWEVIAKKSKNRAGSSAAKPWGSQTTNNKAWGNPDVIQKLGMRNNGGSGRNPGNAWSTQPVDPRGPTGRGAARPQTFNRGMQSNYAAPQPVIRPPLEHGWSWQARAGATALRSSEDQKNEENDEVKKENDDYDDKDDEDDGDSLDDTDDELLSEDFDSDTSQQSHETRKKSRWFKKFFDSLDSLSIEEVNEPARQWHCPACQGGPGAIDWYRGLQPLITHAKTKGSKRVKLHRELAELLDEELSRRGTSVIPAGEVFGKWKGLKDDEKDHEIVWPPMVIVMNTRLEQDDNDKWLGMGNQELLDYFSGYAAVKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFAEQGTDRNAWDRRRVLFYQGGKRQLYGYMALKEDLDLFNQHSQGKSRLKYELRSYHEMVVNQIRQMAEDNQQLIYLKNKVVKEQRHSEQLEKYCGIVAEKLRKTIEENRIVRQRTQMHHEQNKEELDFQEQFFKEQLQTIRDQREAKEEDFEKLQQDKRQQVKELNANPSNTEEYRSRVEKVEEFIELQDKEMEEYVAERDNLIEEHGEKMTAMKRRHLEEELEMEKEYDARLASLMKKYTPNQAEGSANV >Potri.018G137400.4.v4.1 pep chromosome:Pop_tri_v4:18:15714195:15719297:1 gene:Potri.018G137400.v4.1 transcript:Potri.018G137400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137400.v4.1 MGSRGGGKPFGSGANTSLSSKGKNVAEASGPAVEQLSQGVADIGLDSVQDDGEWEVIAKKSKNRAGSSAAKPWGSQTTNNKAWGNPDVIQKLGMRNNGGSGRNPGNAWSTQPVDPRGPTGRGAARPQTFNRGMQSNYAAPQPVIRPPLEHGWSWQARAGATALRSSEDQKNEENDEVKKENDDYDDKDDEDDGDSLDDTDDELLSEDFDSDTSQQSHETRKKSRWFKKFFDSLDSLSIEEVNEPARQWHCPACQGGPGAIDWYRGLQPLITHAKTKGSKRVKLHRELAELLDEELSRRGTSVIPAGEVFGKWKGLKDDEKDHEIVWPPMVIVMNTRLEQDDNDKWLGMGNQELLDYFSGYAAVKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFAEQGTDRNAWDRRRVLFYQGGKRQLYGYMALKEDLDLFNQHSQGSLSLSLSPHTHRLKHPCTWTHIVSDIPQEIFYCNNFISYLIWLFLAAMFDVFIYFMIGLTFYVG >Potri.018G137400.1.v4.1 pep chromosome:Pop_tri_v4:18:15714191:15719342:1 gene:Potri.018G137400.v4.1 transcript:Potri.018G137400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137400.v4.1 MGSRGGGKPFGSGANTSLSSKGKNVAEASGPAVEQLSQGVADIGLDSVQDDGEWEVIAKKSKNRAGSSAAKPWGSQTTNNKAWGNPDVIQKLGMRNNGGSGRNPGNAWSTQPVDPRGPTGRGAARPQTFNRGMQSNYAAPQPVIRPPLEHGWSWQARAGATALRSSEDQKNEENDEVKKENDDYDDKDDEDDGDSLDDTDDELLSEDFDSDTSQQSHETRKKSRWFKKFFDSLDSLSIEEVNEPARQWHCPACQGGPGAIDWYRGLQPLITHAKTKGSKRVKLHRELAELLDEELSRRGTSVIPAGEVFGKWKGLKDDEKDHEIVWPPMVIVMNTRLEQDDNDKWLGMGNQELLDYFSGYAAVKARHSYGPQGHRGMSILIFESSARGYLEAERLHKHFAEQGTDRNAWDRRRVLFYQGGKRQLYGYMALKEDLDLFNQHSQGKSRLKYELRSYHEMVVNQIRQMAEDNQQLIYLKNKVVKEQRHSEQLEKYCGIVAEKLRKTIEENRIVRQRTQMHHEQNKEELDFQEQFFKEQLQTIRDQREAKEEDFEKLQQDKRQQVKELNANPSNTEEYRSRVEKVEEFIELQDKEMEEYVAERDNLIEEHGEKMTAMKRRHLEEELEMEKEYDARLASLMKKYTPNQAEGSANV >Potri.001G182700.2.v4.1 pep chromosome:Pop_tri_v4:1:16126377:16130579:-1 gene:Potri.001G182700.v4.1 transcript:Potri.001G182700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G182700.v4.1 MARIQAKHWVLKLLGAILSGSLVAVLILVLAEPSLTQEGSRTGGTETHRAMRMLSLVREKGRVGYTHVWPEMRFGWKIVLGSIIGFFGAACGSVGGVGGGGIFVPMLSLIIGFDTKSATAMSKCMITGGAAATVYYNLKLRHPTLELPIIDYDLALLFQPMLVLGISIGVALNVIFANWMITILLIVLFIVTSTKAFFKGVETWKKETKTKKEATRSLESNDDRNEEVEGEPPPVGPTVGAQTETKEYKKEQVSIIKNVYWWELSLLVAVWLIILALQIGKNYSTTCSMAYWLLNILQIPVAFGVSSYEAFNLYKGRRKIASKGDAVTNWKIRQLVLYCIIGLLAGVVGGMLGLGGGFILGPLFLEMGIPPQVSSATATFAMMFSASMSVVEYYLLKRFPVPYALYFFAVATVAALVGQHVIRKLISILGRASLIIFTLAFTIFVSAILLGGVGIARMVKRIERKEYMGFENICS >Potri.008G054801.1.v4.1 pep chromosome:Pop_tri_v4:8:3218402:3218876:-1 gene:Potri.008G054801.v4.1 transcript:Potri.008G054801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054801.v4.1 MGNGLDGPAIGIDMWTTYSCVGVWQQDIVENVPNDQGNRMTPSCAAFTGIERLIGDAAMNQAVMNPINTIFDIYMLKDAKRWVGRRFSDTFVQSNIQHWPFKVLEVPRDKPLIVVNYNGEEK >Potri.008G155700.1.v4.1 pep chromosome:Pop_tri_v4:8:10634956:10640467:-1 gene:Potri.008G155700.v4.1 transcript:Potri.008G155700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G155700.v4.1 MTDGNSKKSKLSWSKKMVRKWFNIKSKNEEFQADDVHGGEVQYRTSFSEREPCTIKKSKTEKFSKNPEQVRRNRMNLDHPRIIDVQNYSIFVASWNVAGRSPLSNLSLDDWLHASPPADIYVLGFQEIVPLNAGNVLGAEDNGPAKKWLALIRKTLNNLPGTSGSGGCYTPSPIPEPIVEIDADFEGSSRQKNASFFHRRSFQTTNSWRMDNDPSIPQPRLDRRFSVCDRVIFGHRPSDYDPSNRWGHRPSDYSRPSDYSRPSDYSRWGSSDDDNGPGESPSTVLYSPMSNGNSYSASTEDGYRRPGHSRYCLVASKQMVGIFLTIWVRSDLREHVKNMKVSCVGRGLMGYLGNKGSISVSMSLHQTSFCFICSHLTSGQKEGDELRRNADVMEILKKTRFPRVHNSGDEKSPETILEHDRVIWLGDLNYRIALSYRAAKALVEMQNWRALLENDQLRIEQRRGRVFRGWSEGKIYFPPTYKYSTNSDRYAGDDMHPKEKRRTPAWCDRILWHGEGLQQSSYVRGESRFSDHRPVYGIFWAEVESSHGPLRKSTSYSSSRIEVEELLPYSHGYTELNFF >Potri.006G237300.1.v4.1 pep chromosome:Pop_tri_v4:6:23924914:23925956:1 gene:Potri.006G237300.v4.1 transcript:Potri.006G237300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237300.v4.1 MIPAFLSKQEVLVVIMSEQRLLKAVSSVSYEIGKYETLEDIATIDEVKQAECECCGLKEDCTPDYISEVKGSYSGNWVCGLCSEAVKERMAQGPKVAMHEAVRSHKDFCLKFNTTRLNPQLSLTCAMRDIAKRSSENRGSKNSSTSRIARSTSCVPRIDLNQY >Potri.019G102500.2.v4.1 pep chromosome:Pop_tri_v4:19:13827855:13829099:-1 gene:Potri.019G102500.v4.1 transcript:Potri.019G102500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G102500.v4.1 MDYAQRRVHPSDVEAAMETAPAYPSKYVMLNNSNSSSVRPPPQRRNIPSSVVVAYSDSTLCSGKLPAFHQPFENTTMIRVVLTGKSEFGSGLQEALMDNRETGRIPLLVIVKAPISVMVKSLALRQVMVNVNCSLVVDNLAPNKRVRILSSTYTYAFEGITLKI >Potri.009G050200.1.v4.1 pep chromosome:Pop_tri_v4:9:5549045:5558832:-1 gene:Potri.009G050200.v4.1 transcript:Potri.009G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050200.v4.1 MNPSNFGNLNSGFSNSTQFSNQDPNFSSRSSSAKGGLSRPRLVKVRRQSNPQNFNANEDIWARSGFNPFRPDTSRVELGGSGSTGFDSGSGGNEAFLFGASGSNVGFNSDSSKGIIEDLKSLRIGGGTNVNENDKSGFVFSSDGNKSFGVDESMQKLSIDDKEKVVDGESKLSANARFESGDNVGGSIGRNVGSVLSDELEKKLNIEEAGDATNGGGSFRAEDIKKFGLKSSEKRSDIFADASENALPDRIKKLSIRDFVDTYNVNNEKDSFAFGSRESTGGYVGGENESILSRERGCKLNLGSVKGEYSSQTDMRFSSSRIFMPTVNKGDEKFHDCGDPTEFVFEGGMLGTDVGGFQVPIDQPKVDAQPIGVAGPSHAFSSSSLAGGNAFRVPPTGGLEKTDWFSFTSKQDSAGSLFVEFETPNPKGYIFTGSNPTMEFSTMFKDLKVKKKRGKLSQPVKVPLWPGQDFVDREGGSKEIPEASESYSPMDISPYQETLSDARNSRETSVASEESFTLDNQHQSTDSQPAVLNDAIDEDLVVATQQMDNEEDTKYGETKEQNSEYCSDKNIGAENYLEESISGAETESFKSANEEIDSINDVMVASAESEASSSANLDSDLRTQFFSAVSSEDAVSSGFTFAASSTAQASPKRHHKKKNLAKVDNDSFNSSANSKGSYASSSLQFTPFSGPSSPLSPVRSKKAGSSGPSHVVGDTRELLRGQEINQGSVSASVAAQEACEKWRIRGNQAYTSGDLSKAEDCYTKGVNCVSKTETSRSCLRALMLCYSNRAATRMSLGRMRDALLDCKMAAAIDPNFLRVQVRAANCYLALGEVEDAVQYFKRCLRLGIDVRVDQKTAVEASDGLQKAQKVSECMQHAALLLKRGAPNDAESALQVIAEGLLISSYSEKLLEMKAESLFMLRKYEELIQLCEHTFDSAKKNSPPLHADYHVENLGPELTKGTSFMIWRCRFIFKSYFHLGRLEEAIVSLEKQEELTSIARSSLSRNDIETQESLVPLAATVQELLRHKAAGNEAFQAGKHSEAIEHYSAALSRNIESRPFAAICFCNRAAAYKALRQITDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAANDLQRVVAILIKQAEEKTKHFGHSDRTTNLANDLRQAHLRLSTIEEEARKEIPLNMYLILGIEPSASASEVKKAYRKAALRHHPDKAGQSLARSDNVDDGLWKEIGEEVHKDADRLFKMIGEAYAMLSDPAKRSQYDLEEAMRNDPKKRSGSSTYRTHTEAQNYPFESSSRRHWKGVWRSHGR >Potri.009G050200.2.v4.1 pep chromosome:Pop_tri_v4:9:5549057:5558855:-1 gene:Potri.009G050200.v4.1 transcript:Potri.009G050200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050200.v4.1 MNPSNFGNLNSGFSNSTQFSNQDPNFSSRSSSAKGGLSRPRLVKVRRQSNPQNFNANEDIWARSGFNPFRPDTSRVELGGSGSTGFDSGSGGNEAFLFGASGSNVGFNSDSSKGIIEDLKSLRIGGGTNVNENDKSGFVFSSDGNKSFGVDESMQKLSIDDKEKVVDGESKLSANARFESGDNVGGSIGRNVGSVLSDELEKKLNIEEAGDATNGGGSFRAEDIKKFGLKSSEKRSDIFADASENALPDRIKKLSIRDFVDTYNVNNEKDSFAFGSRESTGGYVGGENESILSRERGCKLNLGSVKGEYSSQTDMRFSSSRIFMPTVNKGDEKFHDCGDPTEFVFEGGMLGTDVGGFQVPIDQPKVDAQPIGVAGPSHAFSSSSLAGGNAFRVPPTGGLEKTDWFSFTSKQDSAGSLFVEFETPNPKGYIFTGSNPTMEFSTMFKDLKVKKKRGKLSQPVKVPLWPGQDFVDREGGSKEIPEASESYSPMDISPYQETLSDARNSRETSVASEESFTLDNQHQSTDSQPAVLNDAIDEDLVVATQQMDNEEDTKYGETKEQNSEYCSDKNIGAENYLEESISGAETESFKSANEEIDSINDVMVASAESEASSSANLDSDLRTQFFSAVSSEDAVSSGFTFAASSTAQASPKRHHKKKNLAKVDNDSFNSSANSKGSYASSSLQFTPFSGPSSPLSPVRSKKAGSSGPSHVVGDTRELLRGQEINQGSVSASVAAQEACEKWRIRGNQAYTSGDLSKAEDCYTKGVNCVSKTETSRSCLRALMLCYSNRAATRMSLGRMRDALLDCKMAAAIDPNFLRVQVRAANCYLALGEVEDAVQYFKRCLRLGIDVRVDQKTAVEASDGLQKAQKVSECMQHAALLLKRGAPNDAESALQVIAEGLLISSYSEKLLEMKAESLFMLRKYEELIQLCEHTFDSAKKNSPPLHADYHVENLGPELTKGTSFMIWRCRFIFKSYFHLGRLEEAIVSLEKQEELTSIARSLSRNDIETQESLVPLAATVQELLRHKAAGNEAFQAGKHSEAIEHYSAALSRNIESRPFAAICFCNRAAAYKALRQITDAIADCSLAIALDGNYLKAISRRATLYEMIRDYGQAANDLQRVVAILIKQAEEKTKHFGHSDRTTNLANDLRQAHLRLSTIEEEARKEIPLNMYLILGIEPSASASEVKKAYRKAALRHHPDKAGQSLARSDNVDDGLWKEIGEEVHKDADRLFKMIGEAYAMLSDPAKRSQYDLEEAMRNDPKKRSGSSTYRTHTEAQNYPFESSSRRHWKGVWRSHGR >Potri.005G026900.2.v4.1 pep chromosome:Pop_tri_v4:5:1699426:1707050:-1 gene:Potri.005G026900.v4.1 transcript:Potri.005G026900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026900.v4.1 MQMAMARSSSGLAYPERFYAAASYAGFDGSHNSTTTVSSKFQNDTALLLYALYQQATIGPCNVPKPSSWKAVEQSKWKSWQGLENMASTEAMRLFVKILEEDDPSWYSRASNSISESVTDTQVNHYSDEHVIENGNSFPDTKTISTENGTLVETQDKDVVSEDVGSVAVYDQWITPPITGQLPKARYEHGAAIVQDKMYIYGGNHNGRYLNDLHVLDLRSWSWYKVNFKAENEPQEGQSPAKLTPCAGHSLIPWENKLLSIAGHTKDPSETIQVKVFDLQSCTWSTLKTYGKAPISRGGQSVTLVGTSLVIFGGQDAKRSLLNDLHILDLETMTWDEIDAIGVSPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWTRPAQQGEIPTPRAGHAGVTVGENWFIVGGGDNKTGVSETAVLNMSTLGWSVVTSVQGRVPLASEGLSLVLSSYNGEDILVSFGGYNGHYSNEVNVLKPSHKSTLESKIAETPVPDSVSAIHNITNPTRDVDSEFEGGHEGKIREIVMDNMDQGSTKSRGEGTSEHLLATLKAEREELNSSLSKEKLQTLHLKQELTDAETRNTDLYKELQSVRGQLATDQSRCFKLEVDVAELRQKLQNMEALQKELELLQRQKAASEHALNANHRQGSGGVWGWLAGTPDSGNQEEDDA >Potri.017G042300.3.v4.1 pep chromosome:Pop_tri_v4:17:2973823:2975166:-1 gene:Potri.017G042300.v4.1 transcript:Potri.017G042300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G042300.v4.1 MLETLRVLIHFFNLQHKHPFFNSVIPKKRVKILYKKNLFPDALCRCTHCRIGSKSTPMMHRGPAGPRTLCNACGLKWANKAWKFLGVPVIKFVNLLQGSGHRHSLSFKW >Potri.001G372500.1.v4.1 pep chromosome:Pop_tri_v4:1:39045315:39047128:1 gene:Potri.001G372500.v4.1 transcript:Potri.001G372500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G372500.v4.1 MKRDGSSTSSSSGRSESDKERTKLRERQRRAITTRIFHGLRKYGGYQLSPRSDINQVLRELAKEAGWVVEPDGTTYRYKVVSRCPTCGVIPNTSTNTTPTTSSTVIACGGGGGGGGGDCSPTTSPRCNIDPTMINPYNNNSHAGSTSLYGHEHGSAGGCTGDVDNNNSLAFYMYNGLASGLHHPSTATATAAAAIIGGGMKVQVPSQQQLQGTYIQEARASNQNTPVGSLDQ >Potri.019G129600.1.v4.1 pep chromosome:Pop_tri_v4:19:15224596:15225431:-1 gene:Potri.019G129600.v4.1 transcript:Potri.019G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G129600.v4.1 MELPTSLSTFFHHLFDLIKKFLAFGAVSDFIHKLSDLTDTFLASDTVVYVLQWFKKENVPTMVAVVVIVLLFCSCCGCLVKIVCSCCGCLARSVRSCCGCLAWSVRSCCGCLARSVRSCCGGAATSVKTMIAPGRDYRMPRSIFEANPSGYFRDLRKG >Potri.003G087350.2.v4.1 pep chromosome:Pop_tri_v4:3:11386457:11387791:1 gene:Potri.003G087350.v4.1 transcript:Potri.003G087350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087350.v4.1 MGSSAIGQEKVNFLIHEGRRAATAIHDATDDAVHGVFNTWFSLYRSSLDEVEEAQRLDNAVKEDDRVYHAL >Potri.003G087350.1.v4.1 pep chromosome:Pop_tri_v4:3:11385048:11387976:1 gene:Potri.003G087350.v4.1 transcript:Potri.003G087350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087350.v4.1 MPNLLPSSSSKPHDHGPQSTHCIWFDFQSKVNFLIHEGRRAATAIHDATDDAVHGVFNTWFSLYRSSLDEVEEAQRLDNAVKEDDRVYHAL >Potri.001G204350.1.v4.1 pep chromosome:Pop_tri_v4:1:20704490:20710327:-1 gene:Potri.001G204350.v4.1 transcript:Potri.001G204350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204350.v4.1 MEKQEAINTQLAQSMTDFKDTLAKFTSALSFQEKGKFPSQPQQNPKGQYHANASSSGSQHMDQAKSVIILRSGKIIEKPSLEPCENDDESISEGKEGVESDHCKEKTDSPPALPFLHAMTKQRKVNHNSEIFETFKQVRINIPLLDAIKQVPSYAKFLKDLCTVKRKLNVKKKAFLAEQVSAILQNNNALKYKDPGCPTISCFIGEHKIERALLDLGASVNLLPYSVFQSLNLGELKPTSVTLLLADRSVKVPRGIVEDVLVQVDKFIYPVDFIVLDTKPVEACNSFPVILGRPFLATSNALINCRNGLMKLSFGNMTLEMNIFNICKQPGDDNDLQEVDSIEELVYDQLESTLSKIELDESEDLQMIYSQEEITDEKDTENVDADLLSKVTTDSTSDITPIDDYFPDKSLLSLSSMPWFAKNINFLATGDLPTHWSTEDKGKFLNEVKKFYWDDPYLFEYCPDQIFRRCIPDNEVSNVIKLCHSEACGSHFSSKKTAAKILQNGFYWPTMFKDTHAFCKTCENCQKVDSGQKVLLYNSQLHLCPGKLRSRWSGPFIEKHVYPYGAFDIENPKNDNVSKGNGHRLKPYFDNFPSENESIGLNDPIDKG >Potri.001G122400.1.v4.1 pep chromosome:Pop_tri_v4:1:10025316:10027613:-1 gene:Potri.001G122400.v4.1 transcript:Potri.001G122400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122400.v4.1 MQKSASASSLGPGGLDLSQIFFKPIQNTSPPSPTKRHTKISVIGTGNVGMAIAQTILTQDLADELALVDAIPDKLRGEMLDLQHAAAFLPRTKILASTDYSVTVGSDLCIVTAGARQIAGESRLNLLQRNVAMFQGIIPPLAQYSPDTILMIVSNPVDVLTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLEKQQIPYEKDALEKIHKAVVESAYEVISLKGYTSWAIGYSAANLARSILRDQRKIHPVSVLANGFYGIGDGDVFLSLPAQLGRGGVLGVTNVHLTDEEAQRLRNSAKTISEVQSQLGL >Potri.001G122400.2.v4.1 pep chromosome:Pop_tri_v4:1:10025316:10027613:-1 gene:Potri.001G122400.v4.1 transcript:Potri.001G122400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122400.v4.1 MQKSASASSLGPGGLDLSQIFFKPIQNTSPPSPTKRHTKISVIGTGNVGMAIAQTILTQDLADELALVDAIPDKLRGEMLDLQHAAAFLPRTKILASTDYSVTVGSDLCIVTAGARQIAGESRLNLLQRNVAMFQGIIPPLAQYSPDTILMIVSNPVDVLTYVAWKLSGFPSNRVIGSGTNLDSSRFRFLIADHLDVNAQDVQAYIVGEHGDSSVALWSSISVGGVPVLSFLEKQQIPYEKDALEKIHKAVVESAYEVISLKGYTSWAIGYSAANLARSILRDQRKIHPVSVLANGFYGIGDGDVFLSLPAQLGRGGVLGVTNVHLTDEEAQRLRNSAKTISEVQSQLGL >Potri.006G131200.1.v4.1 pep chromosome:Pop_tri_v4:6:10697475:10700631:1 gene:Potri.006G131200.v4.1 transcript:Potri.006G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131200.v4.1 MATPLIMGMAVAATAYAGRYGIQAWQAFKARPPTARMRKFYEGGFQSVMTRREAALILGVRESTAADKVKEAHRRVMVANHPDAGGSHYLASKINEAKDILLGKTKGGGSAF >Potri.014G171500.2.v4.1 pep chromosome:Pop_tri_v4:14:12653591:12654467:1 gene:Potri.014G171500.v4.1 transcript:Potri.014G171500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G171500.v4.1 MKQKIVIKVTVNGPKSRSKSLQIAVGFSGVESAGLGGQDKSQIEVVGHGVDAVELTNCLRKKVGYAEIVSVAAVGEKKEEKKPEAVVQPVIWSMYGGGVPQTYIHPIHTPNYHQDPSCSIM >Potri.004G138100.1.v4.1 pep chromosome:Pop_tri_v4:4:15985666:15986294:-1 gene:Potri.004G138100.v4.1 transcript:Potri.004G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G138100.v4.1 MEKKCFGLFFLLLLVLASQEMVVPAEARVCLSQSHHYKGPCLRGHNCAMVCKTEGFAGGECKGFISRCFCAKLC >Potri.003G095200.2.v4.1 pep chromosome:Pop_tri_v4:3:12119351:12122373:1 gene:Potri.003G095200.v4.1 transcript:Potri.003G095200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095200.v4.1 MATPKHVKFCTHQKVGILLIFSVGFGFFLQSSSSSEETRCESSSNSNNSYCGFRKYIMESYFEKYDSLLEPNFQNFIVDELLFNTCKLLPDNLNHLLRVSVPWRHLIGEGSHRHISSTIRFHMQPDSMSQLRAHFCKVIIIERLPTGVFADPFELQHLLKRGVFTDVAVFGDTNLELPSVLSNQSAVEIHMKVAPTSLLGHISELEISADLPLHARYPPLDDSGYSEVEFGEPEIFLRCSMEENGDHESCLLMPTNDRTGSRTDNVVWRIPSGIRAHAGIVSAVTFLAASISTLLIVLTSIFYSDSKLCNNLKES >Potri.004G152750.1.v4.1 pep chromosome:Pop_tri_v4:4:17388018:17388101:-1 gene:Potri.004G152750.v4.1 transcript:Potri.004G152750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152750.v4.1 MPMMFFHFLKIIFDISTSKRSKTYKPY >Potri.010G041000.2.v4.1 pep chromosome:Pop_tri_v4:10:7194070:7195670:-1 gene:Potri.010G041000.v4.1 transcript:Potri.010G041000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041000.v4.1 MAESKPGLRKPVFTKVEQLRPGTGGHTLTVKVVSAKMVLQKGRADGPQVRQMKIAECLVGDETGLIIFTARNDQVDLMQEGTTVILRNAKIDMFKGSMRLAVDRWGRVEVTEPADFTVKEDNNLSLIEYELVNVVEE >Potri.006G001400.1.v4.1 pep chromosome:Pop_tri_v4:6:132437:142240:-1 gene:Potri.006G001400.v4.1 transcript:Potri.006G001400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001400.v4.1 MASPGIPHQQGGGGVIGGGGGGFDMNKLLKPSSANPMSIMQPPNQQQQQGPSPNSNTITTENLTTSPSFPLPSSSPPYLTPSSSYPPPTGPYHPFHHPHYLSPYPPPPPPFQQLHNQFLTNTNIHHQNRPQPISSFAPPPPLSPSNSGGAVLMDILTNQNQQQPPLSSNLSGPFPSYASSAVSTATSAPPVPSAPPVSLASPTQQCCPPPVRMLSTKLPKGRHLNGNHVVYDIDVRLQGEVQPQLEVTPITKYVSDPGLVLGRQIAVNRNYICYGLKPGAIRILNINTALRSLLRGHNQKVTDMAFFAEDVHLLASACVDGCVFIRKINEGPDEEEKPQIFERILLALHIIADGELVHPRVCWHPHKQEILVVAIGNLILKIDTNKVGKGAGFSAEQPLACPVDKLIEGVQLVGKHDGEVIELSMCQWMTTRLASASTDGVVKIWEDCKAVPLAVFRPHDGNPVNSVAFLTAPDHPDHIVLITGGPLNQELKIWASASEEGWLLPSNAESWQCNQTLTLKSSVESNAEDAFFDQVVALPCAGLFLLANAKKNAIYAVHLEYGPYPAATRMDYIAEFTVTMPILSLTGTSDSLPNGEHIVQVYCVQTQAIQQYALNLSQCLPPPLENMELERTESNVSHAFDASNSDGSTIMESSHGSKPTYMSAGNIASIPPMTSNSSENAPAANHPESLCSSDVNSSLDIASSGGQTKATASHNNADNTNTVPPLLPMSPRLPRKLSGLQSLSNSTDTSLQLSDHAGDQSVPDYLVDRRIETVKENASDTSSGDNLSKGEKNVKQTDIAMVSETPIMFKHPTHLITPSEILSRAVSSENSQTTQGLNVTEAKIQDVLVNNDIESAEVELKVVGETGTDQNNDFDLPRESHTAVAEKKEKSFYSQASDLGIQMARDCCVEAYSVGPVQQVDEGSITEVLDRPPSDEDEKQDMTKDVPAKRGEPETSVEVPQPPAPTTKAKKPKGKSSQVSVQSSPSPSPFNSTDSSKEPGCSPCAQSSDAALPQILDMQDTLDQLMNMQKEMQKQMNTMISVLVSKEGKRLEASLGRSIEKVVRANTDALWVRFQEENTKLEKLERDRIQQLANLITNFINKDLPTALEKTLKKEIAAIGPAVARAITPILEKSISSSIMESFQKGVGEKAVNQLEKTVSSKLEVTVARQIQSQFQTSGKQALQDALRSTLEASIIPAFEMSCKAMFDQVDATFQKELSKHINDTQQQFNSMHSPLAIALRDAINSASSLTQTLSGELADGQRQLLAMAAAGANSEVGNPSAKLGNGPLPGLHEMPEAPLDPTKELSRLIAERKYEEAFTVALHRNDVTIVSWLCSQVDLQGILSMSPLPPLSQGVLLALLQQLACDISNETSRKLGWMTDVAAAINPVDPMIAVHVRPIFEQVYQIVINQRSLPSTSASEAPGIRLLLVVINSVLRSCK >Potri.010G138400.1.v4.1 pep chromosome:Pop_tri_v4:10:15253390:15258174:1 gene:Potri.010G138400.v4.1 transcript:Potri.010G138400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138400.v4.1 MPNPEQSLSLPGYLQENETSQEFRDLIVSIPTEKGWIANHLHQYQGFWHTTRQLQGVLACQKHLQAQDGDIFLVTTPKSGSTWLKAVMFALVNRVSFPDTKQHPLLTNNPHALLPFLEMEYIGKENLDFTNYTFPRLFSTHLPLLSLPRSVEDSECKLVYLCRNPKDIFVSLWHFTNKLRPIDWGASSLEETFDKFCRGVNLYGPFWDHVLGYWKESLERPHRVFFLKYEEMKNEPRIQLRRLSEFLGCPFSLEEENSGVLDEILELCSFENLSNLEVNNIGRLHSGEEHQAFFRRGEVGDSMNYLTAEMVEKIDMITEQKLHCHGLKF >Potri.010G034500.1.v4.1 pep chromosome:Pop_tri_v4:10:6274012:6275647:1 gene:Potri.010G034500.v4.1 transcript:Potri.010G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G034500.v4.1 MMSRKRDRSNFTRYDRPAPYPKRRRPPPPPPPQSQPNDIKPSTKPPPPPAVVVVDLPPDCSVLDLKSRFAIYGEISRTRIDRDAIGYITYRSKDSAEAAITASLDSSFGIAINSKKVQVLRATDPLVQWREGVGAGGKRDSGSPSSSSSKLLRGGIPLSRHGRGNKLASAVVNPTSSSSDGPSVLDVPFKGREIIAYDDIL >Potri.005G232400.2.v4.1 pep chromosome:Pop_tri_v4:5:23130492:23133472:-1 gene:Potri.005G232400.v4.1 transcript:Potri.005G232400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232400.v4.1 MSFENGEKKPVAVGPWGGQDGFRWDDGVHSTVRQLVIAYGAGIDSLQIEYDKKGSSIWSQIHGGNGGMKTAVKLDYPHEFLTSIHGYYGSLDGWGPVFVRSLTFQSNKKTYGPFGVEHGTYFSFPMSGGKIVGFHGMSGWYLDAIGIYLKPLQKQKASKALVQPKSYLNNGTENLSYTMMQGAGNGFDIFVAVKQKDDFGSPLPNKLLAQNPREYSNGGTNRKDDFGSPLPGKLSRQISRDFSDMETNKKDDLGSPLPSKLSTQISRELSDGETNKKVRSTWTAERVPSKAEGVIIYGPWGGAGGSKFDDGTYTGIRQIHLSRHVGIVSIRVQYDRDGQAIWGSKHGGTGGFKSDKIIFDYPYEILTRVTGTYGSLMYMGPNIIRSLTFYTNKGKHGPFGEEQGPSFTNKIDEGKIVGFHGREGILLDAIGVNVLAGTVKPAKHHLSDAIKQTEADVAQIDNSPWSNKLVVAKRGQTEEVACGVIKEPSPCGPGPWGGDGGKQWDDGVFSGIKQIFITKVEAICSIQIEYDRNGQSVWSVKHGGNGGTATHRVKLQYPHEVLICLSGYYGPVGCDEKSPKVIKSLTFHTSRGKYGPFGEEIGTYFTSTTTEGKVVGFHGRSSSYMDAIGVHMQHWLGNQRSSKPSMFKIFG >Potri.005G232400.3.v4.1 pep chromosome:Pop_tri_v4:5:23130585:23133657:-1 gene:Potri.005G232400.v4.1 transcript:Potri.005G232400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232400.v4.1 MSFENGEKKPVAVGPWGGQDGFRWDDGVHSTVRQLVIAYGAGIDSLQIEYDKKGSSIWSQIHGGNGGMKTAVKLDYPHEFLTSIHGYYGSLDGWGPVFVRSLTFQSNKKTYGPFGVEHGTYFSFPMSGGKIVGFHGMSGWYLDAIGIYLKPLQKQKASKALVQPKSYLNNGTENLSYTMMQGAGNGFDIFVAVKQKDDFGSPLPNKLLAQNPREYSNGGTNRKDDFGSPLPGKLSRQISRDFSDMETNKKDDLGSPLPSKLSTQISRELSDGETNKKTAERVPSKAEGVIIYGPWGGAGGSKFDDGTYTGIRQIHLSRHVGIVSIRVQYDRDGQAIWGSKHGGTGGFKSDKIIFDYPYEILTRVTGTYGSLMYMGPNIIRSLTFYTNKGKHGPFGEEQGPSFTNKIDEGKIVGFHGREGILLDAIGVNVLAGTVKPAKHHLSDAIKQTEADVAQIDNSPWSNKLVVAKRGQTEEVACGVIKEPSPCGPGPWGGDGGKQWDDGVFSGIKQIFITKVEAICSIQIEYDRNGQSVWSVKHGGNGGTATHRVKLQYPHEVLICLSGYYGPVGCDEKSPKVIKSLTFHTSRGKYGPFGEEIGTYFTSTTTEGKVVGFHGRSSSYMDAIGVHMQHWLGNQRSSKPSMFKIFG >Potri.014G152000.4.v4.1 pep chromosome:Pop_tri_v4:14:10632507:10638357:1 gene:Potri.014G152000.v4.1 transcript:Potri.014G152000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152000.v4.1 MFQPNMMEGQLHRLDMTQNTPEGDMARIRDDEFDSTNTKSGSENQDGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLISAPMPPRPLDLGVGNFGGQPGIGGEIYGAGDLLRSITAPTEADKPMIIELAVAAMEELVRMAQMDEPLWMGSLDGTNAVLDEDEYVRIFPRGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPGARCRRRPSGCLIQEMLNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPAGDVGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDESTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAVLPDGTGAHVGGMEEAAGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.014G152000.7.v4.1 pep chromosome:Pop_tri_v4:14:10632696:10638359:1 gene:Potri.014G152000.v4.1 transcript:Potri.014G152000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152000.v4.1 MFQPNMMEGQLHRLDMTQNTPEGDMARIRDDEFDSTNTKSGSENQDGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLISAPMPPRPLDLGVGNFGGQPGIGGEIYGAGDLLRSITAPTEADKPMIIELAVAAMEELVRMAQMDEPLWMGSLDGTNAVLDEDEYVRIFPRGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPGARCRRRPSGCLIQEMLNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPAGDVGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDESTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAVLPDGTGAHVGGMEEAAGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.014G152000.5.v4.1 pep chromosome:Pop_tri_v4:14:10632558:10638385:1 gene:Potri.014G152000.v4.1 transcript:Potri.014G152000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152000.v4.1 MPAGMMIPARNMPSMIGVNGNAGGFGSSSGLALGQIMFQPNMMEGQLHRLDMTQNTPEGDMARIRDDEFDSTNTKSGSENQDGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLISAPMPPRPLDLGVGNFGGQPGIGGEIYGAGDLLRSITAPTEADKPMIIELAVAAMEELVRMAQMDEPLWMGSLDGTNAVLDEDEYVRIFPRGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPGARCRRRPSGCLIQEMLNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPAGDVGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDESTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAVLPDGTGAHVGGMEEAAGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.014G152000.6.v4.1 pep chromosome:Pop_tri_v4:14:10633151:10638385:1 gene:Potri.014G152000.v4.1 transcript:Potri.014G152000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152000.v4.1 MPAGMMIPARNMPSMIGVNGNAGGFGSSSGLALGQPNMMEGQLHRLDMTQNTPEGDMARIRDDEFDSTNTKSGSENQDGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLISAPMPPRPLDLGVGNFGGQPGIGGEIYGAGDLLRSITAPTEADKPMIIELAVAAMEELVRMAQMDEPLWMGSLDGTNAVLDEDEYVRIFPRGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPGARCRRRPSGCLIQEMLNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPAGDVGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDESTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAVLPDGTGAHVGGMEEAAGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.014G152000.2.v4.1 pep chromosome:Pop_tri_v4:14:10632549:10638387:1 gene:Potri.014G152000.v4.1 transcript:Potri.014G152000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152000.v4.1 MPAGMMIPARNMPSMIGVNGNAGGFGSSSGLALGQPNMMEGQLHRLDMTQNTPEGDMARIRDDEFDSTNTKSGSENQDGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLISAPMPPRPLDLGVGNFGGQPGIGGEIYGAGDLLRSITAPTEADKPMIIELAVAAMEELVRMAQMDEPLWMGSLDGTNAVLDEDEYVRIFPRGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPGARCRRRPSGCLIQEMLNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPAGDVGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDESTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAVLPDGTGAHVGGMEEAAGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.014G152000.8.v4.1 pep chromosome:Pop_tri_v4:14:10633151:10638359:1 gene:Potri.014G152000.v4.1 transcript:Potri.014G152000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G152000.v4.1 MFQPNMMEGQLHRLDMTQNTPEGDMARIRDDEFDSTNTKSGSENQDGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENARLREEIDRISAIAAKYVGKPVVNYPLISAPMPPRPLDLGVGNFGGQPGIGGEIYGAGDLLRSITAPTEADKPMIIELAVAAMEELVRMAQMDEPLWMGSLDGTNAVLDEDEYVRIFPRGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYFVRYCKQHADGTWAVVDVSLDNLRPSPGARCRRRPSGCLIQEMLNGYSKVTWVEHVEVDDRGVHNLYKQLVSSGHAFGAKRWVATLDRQCERLASAMATNIPAGDVGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDESTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCADQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAVLPDGTGAHVGGMEEAAGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.017G116200.1.v4.1 pep chromosome:Pop_tri_v4:17:12335151:12336954:-1 gene:Potri.017G116200.v4.1 transcript:Potri.017G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116200.v4.1 MRSIATCYSEHAIKVSDSYCSGPSNQAYVSPNITSSIPDTVSCTYKVKLSPQKHLLITLTWCNKFIFQGLNINISSDNVSSPSRKGADSGHQLQEIKGTSAFQSRNSKIEVFWDLSTAYFDAGPEPIRGFYVVVLVDSELGLVLGDINHIEEVSTNYSKTRLPSPRPSLVSRSEHFSGKTMYSTKAQFCDTGKAHDILIKCGGDQEDGSKNPVLSVWIDDKKIFQVKRLRWNFRGNQIIFLDGLLVDVMWDLHEWFFKEESGCAVFMFRIRSGLDSRLWLEEEDKNLEHKGQERPEFSLLICACKNPG >Potri.017G102700.1.v4.1 pep chromosome:Pop_tri_v4:17:11373757:11378562:1 gene:Potri.017G102700.v4.1 transcript:Potri.017G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G102700.v4.1 MEDGNMQEQVNGNLSEDKSCMPSYKQEEEAVKKKYGGIMPKKPPLISKDHERAFFDSADWALGKQGAEKPKGPLEALRPKLQPTQQQTRYRKSPYAPADGEDGGSAPSEDATANE >Potri.018G018100.3.v4.1 pep chromosome:Pop_tri_v4:18:1236815:1238546:1 gene:Potri.018G018100.v4.1 transcript:Potri.018G018100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018100.v4.1 MSLMKCHLFKGLNLSLCLLRLLLLSLHERLSLYQDKLEQFINISKEPSQRSTTLNYRAATRFIEHSLPDLTPEQRKSMRDISRGEGSKIKYVERSTHKKRKYDTSEKQSVKAAAQEFLEKAARELFGGNTDGFKGPLVKVDSASDDNPVD >Potri.018G018100.2.v4.1 pep chromosome:Pop_tri_v4:18:1236815:1238546:1 gene:Potri.018G018100.v4.1 transcript:Potri.018G018100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G018100.v4.1 MESSSSSSGGVPESTIEAVERTVANLKLVETHLLEFLSLANPDVLDEMPPLQRAQSLFMLAKATSTIFALRLRTTGIHPDEHPIKTELERLSLYQDKLEQFINISKEPSQRSTTLNYRAATRFIEHSLPDLTPEQRKSMRDISRGEGSKIKYVERSTHKKRKYDTSEKQSVKAAAQEFLEKAARELFGGNTDGFKGPLVKVDSASDDNPVD >Potri.015G133100.1.v4.1 pep chromosome:Pop_tri_v4:15:14256128:14260032:1 gene:Potri.015G133100.v4.1 transcript:Potri.015G133100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G133100.v4.1 MGGGDIHIDHQEEGLRRRGCGCSCSKNDFLPEESFQSFGNYLQALKETPMRFKDRLLTRSKDTTEIHEIKARSEHEMKKTLTWWDLIWFGIGAVIGAGIFVLTGLEAREHAGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVSRAWTSYFATLCNHKPDDFRIIAHSLPDDYGHLDPIAVLVGVVICILAVLSTKGSSRFNYVASIIHVVVILFIIVAGLAKADTKNYADFAPNGAHGIFTASAVLFFAYVGFDAVSTMAEETKNPARDIPIGLVGSMAITTLAYCLLAVTLCLMVPYKMIDVDAPFSVAFESVGWGWAKYIVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAHVNAKTGTPVNATVVMLAATAIIAFFTKLDILSNLLSISTLFIFMLVAVSLLVRRYYVSGVTTPVNRVKLIVCIVAILVSSIATALIWGTSDQASWIGYVITIPIWFFATLALKISVPQARDPKLWGVPLVPWLPSASILINMFLLGSIDVQSFKRFAVWTGILLIYYLLFGLHASYDTAKASGENKDEGGFKNVEDGTVSSQNDAL >Potri.009G148800.1.v4.1 pep chromosome:Pop_tri_v4:9:11747017:11748691:1 gene:Potri.009G148800.v4.1 transcript:Potri.009G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G148800.v4.1 MQSLSTPSPIKSLIRPRPRVTRASPNKLVLQCIYRSDSVSFPNGVGSNRADWQSSCAILASKVVAQQQPIDKSISAGDSGGVADHVAAVNGHKTSVDLNLVPIEKATSNSNNSSIKPHQPQKALTISDLCPAPMHGSQLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYVNRVISHPQALAQCELTLTKLGLQAVREAVDDTAGAAEYIAANNLRDTAAIASARAAELYGMQVLADGIQDDSSNVTRFVMLAREPIIPRTDRPFRTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDANVGTAKHFEYMFYVDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSREDDNDDGGNEKNPF >Potri.009G149900.1.v4.1 pep chromosome:Pop_tri_v4:9:11845577:11850002:1 gene:Potri.009G149900.v4.1 transcript:Potri.009G149900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149900.v4.1 MGAVAAHGEDGKVSQQGADHQLKDLDAGALFVLKSKGSWLHCGYHLTTSIVAPPLLSLPFAFTFLGWAAGVAFLLIGALVTFYSYNLLSLVLEHHAQKGNRQLRFRDMANQILGRKWGKYFVGPIQFMVCYGAVVACTLLGGQCMKTIYLMSKPEGPMKLYEFIIIFGCLMLILAQIPSFHSLRNINLVSLVLTLAYSACATGGSIHIGTSFKEPKDYSLHGDTQDRLFGIFNAIAIIATSYGNGIIPEIQATVAPPVKGKMFKGLCICYTVLSLTFFSVAISGYWAFGNNSEPLVISNFLADGQTLVPKWFVLMVNIFIILQLSAVAVVYLQPTNEVLENTFSDPKRKEFSARNVIPRAVSRSMSVIIATTIAAMLPFFGDINSLIGAFGFIPLDFVLPVVFFNLTFKPSKRSIVFWLNVTIAVVFSAVGVIAAVAAVRQIGLDAKTYRLFANV >Potri.013G146300.1.v4.1 pep chromosome:Pop_tri_v4:13:14377106:14378755:1 gene:Potri.013G146300.v4.1 transcript:Potri.013G146300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146300.v4.1 MANPVAAEDGPSHRYPWLDGIMIKIDNLSPLSNWSIWKVSYSLRTVNEDAYNPHIISIGPLHKGEHKLLSMEVHKLHYMRSLLQRTPDLHETLKSSAEAIQKFEKKVRACYAEPIEMSSSELAEILLVDGCFMLELFIRNSKDDLRVQDDPLFHSSWIITLQRDLALLENQIPFFVLERLYSQVVESSTIGQSLPSLPELALGFFKSVLYINDENFRIIRRRPYPHLLDLIHNCYLPPALSGRSNIIGKWISTQPATLLDEAGIVFRKGTERTLFDLTFGNGAVLEIPPLRIHDSTVPLFQNLIAYEELSRGTAQYITSYFALMSRLVYDRGDAELLESKGIIQNDTSGWKDVSVLFNDMCKQVAVQDFCYAELCQDLNSNFRTRRALYKATLRKTYFRSPWTTVSVMAACVLLSLAVIQTVYTVLSYYPQN >Potri.002G192800.3.v4.1 pep chromosome:Pop_tri_v4:2:15558632:15563326:-1 gene:Potri.002G192800.v4.1 transcript:Potri.002G192800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192800.v4.1 MATRPNPDIDDDFSEIYKEYTGPPSSTVSNAHDREKVNKRSHVDSDEEEETPDPNAVPTDFTSREAKFWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVAARDKHIKALFTEKVIQRIEKDNGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEGDQKGSSSSHKSRSRSPERSPVGSRLRRSDSQRGHPHDTPQYHQRFGRQDKIMEDHRGDLHKFARGSPQAYGNDGGRSHSSHSKSPARPLYAGNSYGSHDGHSHSTAGFRTTGWDTERRGSDLQSGHRFEYSTFPQTLEELELEHKREAMELARICDKEEDDENYKHRETIREIGENYMQKLAILRSAHTRQWEEFLQQDAQMHQQQPRQPTSVSGFGGYKQHSYSEYDASSATPHYAGTSLTMDSRPRYSNNMENYSSRPHDTYGEFQHPRREAFGKAYNRY >Potri.002G192800.6.v4.1 pep chromosome:Pop_tri_v4:2:15558594:15563244:-1 gene:Potri.002G192800.v4.1 transcript:Potri.002G192800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192800.v4.1 MLCHISGSLKGCPSTLGANRKSQDFFERVAARDKHIKALFTEKVIQRIEKDNGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEGDQKGSSSSHKSRSRSPERSPVGSRLRRSDSQRGHPHDTPQYHQRFGRQDKIMEDHRGDLHKFARGSPQAYGNDGGRSHSSHSKSPARPLYAGNSYGSHDGHSHSTAGFRTTGWDTERRGSDLQSGHRFEYSTFPQTLEELELEHKREAMELARICDKEEDDENYKHRETIREIGENYMQKLAILRSAHTRQWEEFLQQDAQMHQQQPRQPTSVSGFGGYKQHSYSEYDASSATPHYAGTSLTMDSRPRYSNNMENYSSRPHDTYGEFQHPRREAFGKAYNRY >Potri.002G192800.7.v4.1 pep chromosome:Pop_tri_v4:2:15558642:15563232:-1 gene:Potri.002G192800.v4.1 transcript:Potri.002G192800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G192800.v4.1 MLCHISGSLKGCPSTLGANRKSQDFFERVAARDKHIKALFTEKVIQRIEKDNGCKIKMEEKFIIVSGKDRLILAKGVDAVHKVIKGEGDQKGSSSSHKSRSRSPERSPVGSRLRRSDSQRGHPHDTPQYHQRFGRQDKIMEDHRGDLHKFARGSPQGRDSIGYVFGIHRKLLMVMMEVEVTQAILNLLHVPFMLATRMVHMMVIVIAQLVLEQQGGILRGGGLICNQAIDLNTLLSLRR >Potri.009G077800.2.v4.1 pep chromosome:Pop_tri_v4:9:7486289:7489780:-1 gene:Potri.009G077800.v4.1 transcript:Potri.009G077800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G077800.v4.1 MPVSFTTTTNLPLILRTLPSSTMASSSLAIPSVSLKTLSFTSSDAFNKGIRGFALKNGNPSMVFMSLSVGSQTQTVVVDDTLFLDYKPTSAFLFPGQGAQAVGMGKEAHSVSAASELYKKANDILGYDLLELCIGGPKEKLDSTVISQPAIYVTSLAAVEVLRARDGGQQIIDSVDVTCGLSLGEYTALAFAGAFSFEDGLKLVKLRGEAMQEAADAAKGAMVSVIGLDSDKVQQLCDAANQEVDEADRVQIANYLCPGNYAVSGGVKGVEAVEAKAKSFKARMTVRLAVAGAFHTSFMEPAVSRLEAALAATEIRQPRIPVISNVDAQPHADPATIKKILARQVTSPVQWETTVKTLLTKGLKKSYELGPGKVIAGIFKRMDKKAEIENISA >Potri.015G046600.1.v4.1 pep chromosome:Pop_tri_v4:15:4608010:4609044:-1 gene:Potri.015G046600.v4.1 transcript:Potri.015G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G046600.v4.1 MSPANLHDHKAKTKDMYPPPPLKVNKDSHMIKKSFPSPPSSSSSSASSLAVTGPIKPHPVIIYTHSPKIIHTNPKDFMALVQKLTGLSQSEDIDPSAPQVRKENGYRVVAKEENKKVKINDDNESSSAITDHENYGSIGDRGQCFMPRHPNYMTNIPIFTPNLAEFLCANQPCYNNYTTDPLFLSFSKYDLTNFCLGGED >Potri.001G368900.1.v4.1 pep chromosome:Pop_tri_v4:1:38654406:38657080:1 gene:Potri.001G368900.v4.1 transcript:Potri.001G368900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368900.v4.1 MSDTGRPLPKFGEWDVNDPASAEGFTVIFNKARDEKKTGGKPESPGKVDDSHVKSGVNPAKPQPKKWFCCIQSPPADS >Potri.005G257500.1.v4.1 pep chromosome:Pop_tri_v4:5:24800803:24803061:-1 gene:Potri.005G257500.v4.1 transcript:Potri.005G257500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G257500.v4.1 MVSAMDLFEKLEKVGEGTYGKVYRAREKATGKIVALKKTRLHEDDEGVPPTTLREVSILRMLSRDPHIVRLLDVKQGQNKEGKTVLYLVFEYMDTDLKKFIRSFRQTGENIPVKTVKSLMYQLCKGVAFCHGHGVLHRDLKPHNLLMDRKTTMLKIADLGLARAFTLPIKKYTHEILTLWYRSPEVLLGATRYSTAVDVWSVGCIFAELATKQALFPGDSELQQLLHIFRLLGTPNEEMWPGVSNLMNWHEYPQWKPQSLSSAVTNLDKDGLDLLSQMLQYDPSKRISAKKAMEHPYFDDLEKDRL >Potri.004G209400.2.v4.1 pep chromosome:Pop_tri_v4:4:21607824:21609821:-1 gene:Potri.004G209400.v4.1 transcript:Potri.004G209400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209400.v4.1 MEMVTAQNGNSSLLWFFRDRGFDDNTIHEMFRKCKRLQDTQRDRASENWAYLKTIGIQERKLPSIISKCPKILTLGLNEKLIPMVECLATLGSKPREVASAITKFPHILSHSVEEKLCPLLAFFQAIGVPEKQLGRILLLNPRLVSYSIDSKLKEIVDFLASLGLTKDGMIGKVLVKHPFITGYSVEKRLRPTSEFLKSVGLTELDLRTVVMNFPEVLCRDVNKILKPNFAYLRRCGFNDRQIAALVTGYPPILIKSVKNSLEPRIKFLVEVMGRQIDEVVDYPSFFQHGLKKTLESRHKLLKQRKLDCSLSDMLGCNQKKFLMKYALLQGSA >Potri.004G209400.1.v4.1 pep chromosome:Pop_tri_v4:4:21607786:21609817:-1 gene:Potri.004G209400.v4.1 transcript:Potri.004G209400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209400.v4.1 MEMVTAQNGNSSLLWFFRDRGFDDNTIHEMFRKCKRLQDTQRDRASENWAYLKTIGIQERKLPSIISKCPKILTLGLNEKLIPMVECLATLGSKPREVASAITKFPHILSHSVEEKLCPLLAFFQAIGVPEKQLGRILLLNPRLVSYSIDSKLKEIVDFLASLGLTKDGMIGKVLVKHPFITGYSVEKRLRPTSEFLKSVGLTELDLRTVVMNFPEVLCRDVNKILKPNFAYLRRCGFNDRQIAALVTGYPPILIKSVKNSLEPRIKFLVEVMGRQIDEVVDYPSFFQHGLKKTLESRHKLLKQRKLDCSLSDMLGCNQKKFLMKYALLQGSA >Potri.001G211000.10.v4.1 pep chromosome:Pop_tri_v4:1:21556946:21558394:1 gene:Potri.001G211000.v4.1 transcript:Potri.001G211000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211000.v4.1 MPRDLSRSPSYRRRRSPSLVGSRYNRKKSRRERSRSPYSYSRRRSRSISPRRHKSRSSTPRRRKSRSPTPRRYKRQRSSSSSMSPTHKSSSPSLGSVERKIVSEKMRKEEEEEKKRYINFQAS >Potri.001G211000.6.v4.1 pep chromosome:Pop_tri_v4:1:21556756:21561979:1 gene:Potri.001G211000.v4.1 transcript:Potri.001G211000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211000.v4.1 MPRDLSRSPSYRRRRSPSLVGSRYNRKKSRRERSRSPYSYSRRRSRSISPRRHKSRSSTPRRRKSRSPTPRRYKRQRSSSSSMSPTHKSSSPSLGSVERKIVSEKMRKEEEEEKKRRQQEAELKLIEEETAKRVEEAIQRKVEKSLNSEEIKVEIQRRLEEGRKRLIDEVADQLEKEKEASLIEARQKEEQARKEKEELERMLEENRRRIEESQRKEALEQQRREEERYRELEELQRQKEEAMRRKKQQEEEERVKQMKLLGKNKSRPKLSFALGSK >Potri.001G211000.2.v4.1 pep chromosome:Pop_tri_v4:1:21556692:21561915:1 gene:Potri.001G211000.v4.1 transcript:Potri.001G211000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211000.v4.1 MPRDLSRSPSYRRRRSPSLVGSRYNRKKSRRERSRSPYSYSRRRSRSISPRRHKSRSSTPRRRKSRSPTPRRYKRQRSSSSSMSPTHKSSSPSLGSVERKIVSEKMRKEEEEEKKRRQQEAELKLIEEETAKRVEEAIQRKVEKSLNSEEIKVEIQRRLEEGRKRLIDEVADQLEKEKEASLIEARQKEEQARKEKEELERMLEENRRRIEESQRKEALEQQRREEERYRELEELQRQKEEAMRRKKQQEEEERVKQMKLLGKNKSRPKLSFALGSK >Potri.001G211000.9.v4.1 pep chromosome:Pop_tri_v4:1:21556717:21561928:1 gene:Potri.001G211000.v4.1 transcript:Potri.001G211000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211000.v4.1 MCILTFFTSNHVSVSYRRRSRSISPRRHKSRSSTPRRRKSRSPTPRRYKRQRSSSSSMSPTHKSSSPSLGSVERKIVSEKMRKEEEEEKKRRQQEAELKLIEEETAKRVEEAIQRKVEKSLNSEEIKVEIQRRLEEGRKRLIDEVADQLEKEKEASLIEARQKEEQARKEKEELERMLEENRRRIEESQRKEALEQQRREEERYRELEELQRQKEEAMRRKKQQEEEERVKQMKLLGKNKSRPKLSFALGSK >Potri.009G045300.2.v4.1 pep chromosome:Pop_tri_v4:9:5157953:5162992:-1 gene:Potri.009G045300.v4.1 transcript:Potri.009G045300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045300.v4.1 MAEEKHNRSAEEEEMKKKRKRKRNKNKKEGKEAEEKNSNEIEGGEEEEEEEEEEELRQVEEVKEKKKKKKKSKKEGEDMSEEEDKEKETVKKVKSGGGIMSTESFDSLGLSEPTRKAIQEIGFEYMTQIQARAIPPLLVGKNVLGAARTGSGKTLAFLIPAVELLYNVHFAPRNGTGVVVICPTRELAIQTHAVAKDLLKYHSQTLGLVIGGSARRGEAERIVKGVNLLVATPGRLLDHLQNTKGFIYKNLKCLVIDEADRILEANFEEEMKQIIKLLPKARQTALFSATQTKKVEDLARLSFQTAPVYIDVDDGRTKVTNEGLQQGYCVVPSAKRFILLYSFLKRNLSKKVMVFFSSCNSVKFHSDLLRYIHVECFDIHGKQKQQKRTSTFFDFCKAEKGILLCTDVAARGLDIPAVDWIVQFDPPDEPKEYIHRVGRTARGEGAKGNALLFLIPEELQFLRYLKAAKVPVKEYEFDQKKLANVQSHLEKLVANNYYLNQSAKDAYRSYILAYNSHSMKDIFNVHRLDLQAVAASFCFSSPPKMNLNMDSSASKFRKKANQGSRNGFSEINPYGRQSDGDEKRQFVRY >Potri.007G105500.1.v4.1 pep chromosome:Pop_tri_v4:7:12862661:12867001:-1 gene:Potri.007G105500.v4.1 transcript:Potri.007G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105500.v4.1 MDSSSSSPEFDYLFKLLMIGDSGVGKSSLLLSFTSDTFEDLSPTIGVDFKVKFVNIGGKKLKLAIWDTAGQERFRTLTSSYYRGAQGIVMVYDVTRRDTFTNLSEIWAKEIDLYSTNQDCIKLLVGNKVDKESDRVVTKKEGINFAREYGCLFIECSAKTRVNVQQCFEELVLKVLDTPSLLAEGSKGVKKNIFNEKRPQPDASTSSCC >Potri.017G003500.1.v4.1 pep chromosome:Pop_tri_v4:17:205474:207503:1 gene:Potri.017G003500.v4.1 transcript:Potri.017G003500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G003500.v4.1 MAGLPLPMIPHPITLFFIIFFAFSPLVKAAQHSVLSYGAKPDGKTDSTKAFAAAWAQACASTQPATISIPKGSFSLGQVRFQGPCKNRAILVRIDGTLVAPSDYKVIGNAKNWLMFEHVNGVTVSGGTLDGQGAGLWSCKNSGKGCPRGATSLEFSNSNNIAITGLASLNSQLFHIVINGCQNVKVQGVRVSAAGNSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSSLWIENVACGPGHGISIGSLGKESQEAGVQNVTVKTSTTFTGTENGLRIKSWGRPSNGFARDILFQHAVMNNVQNPIVIDQNYCPGEKNCPGQVSGVKISDVTYQDIHGSSATEVAVKFDCSKKYPCTGIKLEDVKLTYKNQPAEASCSNAGGVASGLVQPTSCL >Potri.008G111600.1.v4.1 pep chromosome:Pop_tri_v4:8:7078591:7084341:-1 gene:Potri.008G111600.v4.1 transcript:Potri.008G111600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111600.v4.1 MATVSPSPNSPPLAVSSPSNSTPPPPSTTSSSPPASSPPPPTSPSSQPNANPPNPRNPSTPPPPPQPAPPALSNPPPATPLTPPPTTSQSPPLSGSAPNSNSPPPPSATPPVSSPPPPPPPSSNPPSISPPPPLVNPPTSSPPPPSSIPPPQSLPPPPSSIPPPQSLPPPSTPPLQSSPPPPPSSRPPQNSPPPPPPTPSQLPTNPPPPPASVSPPRRSPPPPASTPPENSPPPPASIAPLPSNVPPPPMLTPPTATAPLPPPVPSYSTPPALSPPTIRLSPPPPSLVSPPSPTNNTAPNSPESSNSTGNGGIGIGGIVAIGAAIGIIMLILVGLALWCMRKQRKEISGLNGVYVMPSSLGSSPRSGSTFTKTQSTAPLIASGSSSDCFSLPPESSGLGNSGPLFAFEELVKATNGFSSQNLLGEGGFGTVYKGYLPDGRDVAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCISETRRLLVYDYVPNNTLHFHLHEVGRPALDWATRVKIAAGAARGLAYLHEDCHPRIIHRDIKSSNILLDINFEAKVSDFGLAKLALDTNTHVTTRVMGTFGYMAPEYASSGKLTDKSDVFSYGVVLLELITGRKPVDASQPVGDESLVEWARPLLNHALENEEFESLADPRLEKNYIESEMFQMIEAAAVCVRHSATKRPRMGQVVRAFHTLANADLTNGMRVGESELFNSAQQSEEIRLFRRMAFGSQNYSTDFFSPDT >Potri.008G111600.3.v4.1 pep chromosome:Pop_tri_v4:8:7078593:7084302:-1 gene:Potri.008G111600.v4.1 transcript:Potri.008G111600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G111600.v4.1 MLTPPTATAPLPPPVPSYSTPPALSPPTIRLSPPPPSLVSPPSPTNNTAPNSPESSNSTGNGGIGIGGIVAIGAAIGIIMLILVGLALWCMRKQRKEISGLNGVYVMPSSLGSSPRSGSTFTKTQSTAPLIASGSSSDCFSLPPESSGLGNSGPLFAFEELVKATNGFSSQNLLGEGGFGTVYKGYLPDGRDVAVKQLKIGGGQGEREFKAEVEIISRIHHRHLVSLVGYCISETRRLLVYDYVPNNTLHFHLHEVGRPALDWATRVKIAAGAARGLAYLHEDCHPRIIHRDIKSSNILLDINFEAKVSDFGLAKLALDTNTHVTTRVMGTFGYMAPEYASSGKLTDKSDVFSYGVVLLELITGRKPVDASQPVGDESLVEWARPLLNHALENEEFESLADPRLEKNYIESEMFQMIEAAAVCVRHSATKRPRMGQVVRAFHTLANADLTNGMRVGESELFNSAQQSEEIRLFRRMAFGSQNYSTDFFSPDT >Potri.012G018300.2.v4.1 pep chromosome:Pop_tri_v4:12:1993641:1996642:-1 gene:Potri.012G018300.v4.1 transcript:Potri.012G018300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018300.v4.1 MALRSKRSQAPKPISRSKAAAADYSDVYCEKCGSGESPGELLLCDKCDKGFHLFCLRPILVAVPKGSWFCPSCSKQKMPNSFPLVQTKIIDFFRIQRSTESIQKLSQDIQKKRKRSSSLVVSKKRRKLLPFSPSEDPEKRLEQMRSLATALTASGTEFSNELTYRPGMAPRSVNQPALEKGGMQVLSKEDAETLNLCKRMMNRGEWPPLMVVFDPKEGFTVEADRFIKDLTIITEYVGDVDYLKNRENDDGDSMMTLLHADNPSQSLVICPDMRGNIARFINGINNHTQEKEAESKMCEV >Potri.012G018300.1.v4.1 pep chromosome:Pop_tri_v4:12:1993641:1996642:-1 gene:Potri.012G018300.v4.1 transcript:Potri.012G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G018300.v4.1 MALRSKRSQAPKPISRSKAAAADYSDVYCEKCGSGESPGELLLCDKCDKGFHLFCLRPILVAVPKGSWFCPSCSKQKMPNSFPLVQTKIIDFFRIQRSTESIQKLSQDIQKKRKRSSSLVVSKKRRKLLPFSPSEDPEKRLEQMRSLATALTASGTEFSNELTYRPGMAPRSVNQPALEKGGMQVLSKEDAETLNLCKRMMNRGEWPPLMVVFDPKEGFTVEADRFIKDLTIITEYVGDVDYLKNRENDDGDSMMTLLHADNPSQSLVICPDMRGNIARFINGINNHTQEGRKKQNLKCVRYDVNGECRVLLIANRDISKGERLYYDYNGYEHEYPTEHFV >Potri.001G405800.2.v4.1 pep chromosome:Pop_tri_v4:1:43320314:43324133:1 gene:Potri.001G405800.v4.1 transcript:Potri.001G405800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405800.v4.1 MTTLLQFSIYFSPLKKYQKPITYHSLPSSKLAFLKKDSFKSRSYREKWSLLGGRKDGIWIKEEGLKRKRRVGLVRFNQGFGGGGGGGGDNSGTARLLGNIALAAGLTYLSMTGQLGWVFDTVGWILDTLISIWLLAVFIPIVGLGVFLWWAGRDILQGTCPNCGNEFQIFKSTLNDELQLCPFCSQPFSVVGDEFVSDSVRFSKKSTPFGQAFSDLSSGFKKGKESSSAVVDVEAEVKDVD >Potri.019G057200.1.v4.1 pep chromosome:Pop_tri_v4:19:9596664:9606977:-1 gene:Potri.019G057200.v4.1 transcript:Potri.019G057200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G057200.v4.1 MSLSRLQQPCYLQQCPSVLSPSIPLLCSFSFRVSPYSQRQIRLHFDGHTSSAAACCSLSQQQQSQILSSVTNGSTVSDRKEIRLGLPSKGRMAADTLDLLKDCQLSVKHVNPRQYVAEIPQLTNLEVWFQRPKDIVRKLLSGDLDLGIVGFDTFSEFGLGNEDLIIVHDALGYGECRLSIAIPKYGIFENINSLRELAQMPQWTTEKPLRVATGFSHLGPKFMKDNGFTHVTFSTADGALEAAPAMGIADAILDLVSSGTTLKENNLKEIEGGVVLESQAVLVATRKSLIQREDVRSITKEILERFEAHLRAGGQFTVTANMRGSSTEEVAARVLSQPSLSGLQGPTVSPVFCKRDGEVVSDFYAIVICVPKKALYESVQQLREIGGSGVLISPLTYIFEEVTPRWQELLLKLDL >Potri.011G074700.2.v4.1 pep chromosome:Pop_tri_v4:11:14429026:14429658:-1 gene:Potri.011G074700.v4.1 transcript:Potri.011G074700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074700.v4.1 FTYLNKVYDWFEERLEIQTIADDITSKYVPPHVNIFYCLGGITLTCFLVQVATGFAMTFYYRPTVTEAFASVQYIMTEANFGWLIRSVHRWSASMMVLMMILHVFRVYLTGGFKKPRELTWVTGVVLGVLTASFGVTGYSLPWDQIGYWAVKIVTGVPEAIPVIGSPLVELLRGSASVGQSTLTRFYSLHTFVLPSSYCRIYVNAFSNDT >Potri.013G006700.3.v4.1 pep chromosome:Pop_tri_v4:13:440252:443450:1 gene:Potri.013G006700.v4.1 transcript:Potri.013G006700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G006700.v4.1 MSTKRLLSANNFHPFPPMMKNYLQWAQNTPTQKSGTPFNAKGTSILATDLLKSYFERGLTSQARNLFDEMPERDVVAWTTMISGYTHCNEYTQAWSVFVDMVKNGNDPPNAFTISSVLKACKGMKRVFCGRLVHGLAIKRRFMEGFIYVDNALMDMYASCGVGMRDACVVFHDIKEKNVVSWTTLIAGYTHRGNGNRALQIFREMLLDGVALNPHSISIAVRACASIGSQNFGRQIHTAVIKHGFESDLPVTNSILDMYCRCGCLSEANKYFNDMTEKDLITWNTLIAGYERSDSIEPFFIFSQMESEGFTPNCFTFTSLIATCANVAALCCGQQVHGGIFRRGLDRNLELANALIDMYAKCGNIFDSCKIFSEMSCRNLVSWTSMMIGYGAHGYGKKVVELFDEMVKSGIRPDQVVFMAVLSACSHAGLVDQGLRYINCMINDYHIKPNQEIYGCVVDLLGRPGRVEDAYQLIRSMPFMADESVWGALLGACKAHNFSRLGKLAAKKALALRPNMVETYVMLSNIYAAEGKWGEAARMRKLMKRAGCRKVAGRSWIEVRNQVYSFVVGNKMGSHKEWVYEVLELPVQHMKEAGYVPEVDCLIHDQEDGT >Potri.013G012200.4.v4.1 pep chromosome:Pop_tri_v4:13:812019:813437:1 gene:Potri.013G012200.v4.1 transcript:Potri.013G012200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012200.v4.1 MTFCGIFDGHGQWGHFVAKKVRESMATSLLCNWQETLAQCSLDPDIDLESDKKHQRFNMWKHSYLKTCAAVDQELEQHRKIDSFYSGTTALTIVRQGEHIFVANVGDSRAVLATTADDGSLVQVQLTVDFKPNLPQETERILQCRGRVFCLDDEPGVHRVWQPDAESPGLAMSRAFGDYCVKNFGLISVPEVTQRHLTSEDQFVILATDGVWDVISNQEAVQIVSSTPDRAKAAKRLVQSAVHAWKRKRKGIAMDDISAICLFVHSSPQSQQVHAVSTPK >Potri.013G012200.2.v4.1 pep chromosome:Pop_tri_v4:13:810214:813758:1 gene:Potri.013G012200.v4.1 transcript:Potri.013G012200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012200.v4.1 MGHFSSMFNGLAKSFTIRKVKSNGNGDGREAAEAMAKDAKKNEMILRSSGCVNVDGSKNFASVFSRRGEKGVNQDCCIVWEEFGCQADMTFCGIFDGHGQWGHFVAKKVRESMATSLLCNWQETLAQCSLDPDIDLESDKKHQRFNMWKHSYLKTCAAVDQELEQHRKIDSFYSGTTALTIVRQGEHIFVANVGDSRAVLATTADDGSLVQVQLTVDFKPNLPQETERILQCRGRVFCLDDEPGVHRVWQPDAESPGLAMSRAFGDYCVKNFGLISVPEVTQRHLTSEDQFVILATDGVWDVISNQEAVQIVSSTPDRAKAAKRLVQSAVHAWKRKRKGIAMDDISAICLFVHSSPQSQQVHAVSTPK >Potri.001G083500.1.v4.1 pep chromosome:Pop_tri_v4:1:6613721:6615721:-1 gene:Potri.001G083500.v4.1 transcript:Potri.001G083500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G083500.v4.1 MEEILSSSSSSSLMSFAQETSSTLQQRLQFFLHSRPEWWVYSIFWQASKDASGRLVLSLGDGHFRGNKKYASKESNKQNHSKFGFNLERKSLFNEDMDMDRLVEGDVAEWYYTVSVTRAFAVGDGILGRAFSSGAFIWLTGDHELQIYDCERVKEARMHGIQTFVCVSTPSGVLELGSPDLISEDWGLVQLAKSIFGADINAGSVPKQANQESQPQIPNRTVSNFLDFGMFSSPQKERTTCLENQKESDTRKEPSGQGRSSSDSGRSDSDAGFTENNIGFKKRGRKPSGKELPLNHVEAERQRRERLNHRFYALRSVVPNVSKMDKASLLADAATYIKELKSKVNELEGKLRAVSKKSKISGNANIYDNQSTSTSTMTNHIRPTPNYMSNNAMEVDVKILGSEALIRVQSPDVNYPAARLMDALRELEFSVHHASVSKVKELVLQDVVIIIPDGLVTEEVMRAAIFQRMQN >Potri.018G064700.4.v4.1 pep chromosome:Pop_tri_v4:18:7721618:7727300:1 gene:Potri.018G064700.v4.1 transcript:Potri.018G064700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064700.v4.1 MKPVLLLSTPDSVSNHSQADCSYSMVRAPYADPYFGGLCNPYELHAFIQPHLGSHMVGMTAGRVPLPVDLADDGPIYVNAKQYRGIIRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSKKKLQESDPTPSQCNVTDTIHSHVKNDASELESYQSGTGQSGASNTTCSDITSVSYSNVTFRQPERRFSGIATHLGGGMEINSRLICRGTQHHTSVVQ >Potri.018G064700.1.v4.1 pep chromosome:Pop_tri_v4:18:7721626:7727465:1 gene:Potri.018G064700.v4.1 transcript:Potri.018G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G064700.v4.1 MALRIQNLLKKNFDEPYFTVSCPSWWNSNEQQFLPSLSKNTSFKVDSPNQPYHESKQLGFHLLDQESSSTLSIGQSHNEMSSVGGINSQDQCISSESDESCGKGVKGKMKPVLLLSTPDSVSNHSQADCSYSMVRAPYADPYFGGLCNPYELHAFIQPHLGSHMVGMTAGRVPLPVDLADDGPIYVNAKQYRGIIRRRQSRAKLEAQNKLVKNRKPYLHESRHIHALNRVRGSGGRFLSKKKLQESDPTPSQCNVTDTIHSHVKNDASELESYQSGTGQSGASNTTCSDITSVSYSNVTFRQPERRFSGIATHLGGGMEINSRLICRGTQHHTSVVQ >Potri.010G174600.2.v4.1 pep chromosome:Pop_tri_v4:10:17526139:17530196:-1 gene:Potri.010G174600.v4.1 transcript:Potri.010G174600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G174600.v4.1 MAPVSLPPGFRFHPTDEELVVYYLDRKINGRTIELEIIPEVDLYKCEPWDLPDKSFLPSKDLEWYFLSPRDKKYPNGSRTNRATKAGYWKATGKDRPVNSQKRPVGMKKTLVYYRGRAPHGIRTNWVMHEYRLIDSLCGAAPSSLKDSYALCRVFKKTIHIPKKKEEKNNGNEEKDAAWVSEEQLLGDDTSGIESSKGREAEDENFFNNDYCKFPSETSSSDVTQGTPIETAIADDLQAPFPSDEANSSASLYSMGVDFSSNLIQDMQMPNCSSLHYQFPFPPLELEDFPQISITESMKPSKPEIIDDYMMYKDCMNGTLEEIFSLCSSQDNSNTVLPMQD >Potri.008G169200.4.v4.1 pep chromosome:Pop_tri_v4:8:11685465:11690475:1 gene:Potri.008G169200.v4.1 transcript:Potri.008G169200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169200.v4.1 MSASSARRLRDRNGVAAATAAQKPTKTVTLNSTFYNNNRNSAIKGSLPGKENPTRPNSRVQKPSILPVPRVDKAAVGDGSEGRMRWSTSSAPRGRSPSPSEFIRVFRDSRVCKGESDQRVVSSAGKKNGIRGLKENGGFSVELKKRNGLCEGNDLKILESKKQLCGLKVLNDNCNKQVNLRKSSELDSNLDSKAANVCKFDKLYEEKSEPEFKSDSFRESSEKSSVKGKVLENLKDKGLSDEGSGSKVGVKYPSKLHDKLAFLEGKVKRIASDIKKTKEMLDMNNPDASKVILSDIQDKISGIEKAMGNVAGTGTAVVVEKSESEKVEDVKSSVKGLNTEELEERLFPHHKLLRNRTSLKAPRASCQSHESGCELKVGEKFSGPIEENPKAFELLYSLGKEDKKVTMRDAKVGLESFEVQEMGDDSVSGKQDSSNMFNLKCEDLVLTTDETLDEFDDQENGDTIMIGEETEDTCVYEVKEIGTKNSTGGWFVSEGESILLSHDDGSCSFYDIANCEEKAVYKPPGGVSPNIWRDCWMIRAPGADGCSGRYVVAASAGNTLDSGFCSWDFYAKDVRAFHIEDGGTTASRTVLGPLPNNTASRRNALSSILLPETRQWWYKPCGPLMISAASSQKVVKVHDIRDGEQIMKWEVQKPVLAMDYSSPLQWRNRGKVVVAEAETISVWDVNSVNPQSLLSVSLAGRKISALHVINTDAELGGGVRQRATSAEAEGNDGVFCTHDSINVLDFRNPSGIGLKIPKIGASVQSVFSRGDSIYIGCANTRFAGKKHPCSQVQHFSMRKQRLVNTYSLPESNAQPHYSAITQVWGNSNVVMGVCGLGLFAFDALKDDAPQSLTGDIGSTQKVKDVIGPDDLDSPSFDYLASCALLVSRDRPALWKRLL >Potri.008G169200.5.v4.1 pep chromosome:Pop_tri_v4:8:11685390:11690536:1 gene:Potri.008G169200.v4.1 transcript:Potri.008G169200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169200.v4.1 MSASSARRLRDRNGVAAATAAQKPTKTVTLNSTFYNNNRNSAIKGSLPGKENPTRPNSRVQKPSILPVPRVDKAAVGDGSEGRMRWSTSSAPRGRSPSPSEFIRVFRDSRVCKGESDQRVVSSAGKKNGIRGLKENGGFSVELKKRNGLCEGNDLKILESKKQLCGLKVLNDNCNKQVNLRKSSELDSNLDSKAANVCKFDKLYEEKSEPEFKSDSFRESSEKSSVKGKVLENLKDKGLSDEGSGSKVGVKYPSKLHDKLAFLEGKVKRIASDIKKTKEMLDMNNPDASKVILSDIQDKISGIEKAMGNVAGTGTAVVVEKSESEKVEDVKSSVKGLNTEELEERLFPHHKLLRNRTSLKAPRASCQSHESGCELKVGEKFSGPIEENPKAFELLYSLGKEDKKVTMRDAKVGLESFEVQEMGDDSVSGKQDSSNMFNLKCEDLVLTTDETLDEFDDQENGDTIMIGEETEDTCVYEVKEIGTKNSTGGWFVSEGESILLSHDDGSCSFYDIANCEEKAVYKPPGGVSPNIWRDCWMIRAPGADGCSGRYVVAASAGNTLDSGFCSWDFYAKDVRAFHIEDGGTTASRTVLGPLPNNTASRRNALSSILLPETRQWWYKPCGPLMISAASSQKVVKVHDIRDGEQIMKWEVQKPVLAMDYSSPLQWRNRGKVVVAEAETISVWDVNSVNPQSLLSVSLAGRKISALHVINTDAELGGGVRQRATSAEAEGNDGVFCTHDSINVLDFRNPSGIGLKIPKIGASVQSVFSRGDSIYIGCANTRFAGKKHPCSQVQHFSMRKQRLVNTYSLPESNAQPHYSAITQVWGNSNVVMGVCGLGLFAFDALKDDAPQSLTGDIGSTQKVKDVIGPDDLDSPSFDYLASCALLVSRDRPALWKRLL >Potri.019G003700.1.v4.1 pep chromosome:Pop_tri_v4:19:941994:943817:-1 gene:Potri.019G003700.v4.1 transcript:Potri.019G003700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G003700.v4.1 MEMMEDVVIVGAGIAGLATAVALKRVGVRALVLERSEGLRATGTALTLTPNAWLALDALGVSHKLTPLYTPSIKGYVTNVSTGEVQEVLYPRQGIRTLHRKVLLEALAEELATDSIRFSSRLAAIQSLEQGGDASMAAVHLEDGTTIKSKVLIGCDGVHSLVARWLGLAELVHSGRSAVRGLAVFPQGHGFKQEFPIFMDENNRAGFVPLNDRQLYWFFVSQGENMEGEPEQMQRDVLEKCTEKFPSEYLDVVRHADLSSLSWAPLMFRPPWGIIFGKLSKGNVTVAGDAMHPMTPDLGNGGGASLEDAVVLGRHIGNSVMNNGGLIIPGDMAKAIDDYVKERRWRAAMVVTASYLSGRMHHGDRWWIKFLRDRALYKYFFGWLSRLVFVYDCGRLPAISFGEMDLSRKKD >Potri.019G120300.1.v4.1 pep chromosome:Pop_tri_v4:19:14622085:14625474:1 gene:Potri.019G120300.v4.1 transcript:Potri.019G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120300.v4.1 MSISVSLLPIGAGEGIIVPGRYVSANQSIISASGTFALGFFSAGNSTPRYYLGIWYNKIQKKSVVWVANRESPTDSLGTFSLGVDGNLVVLDAAGKTVWSSNVKIAHSAINSMMGVLMDSGNFLLRSGETILWQSFDHPSDTFLPGMKVGNNRKTGQRRQLTSWIDAEDPQPGLFSFGLDTTGPLQFFIWKDHVPYSRTNVYSNSMSLTKLSRWLPFAYYITVKLEGDDIYLSYSISDISAILRITLVPNGRLEALIWEEKNSKWFSMWQWPKVYCDFYGHCSPFSSCDKKGSPVYCKCLTGFQPKVQQEWHMTNWTSDTWVRQKALTCDKGDGFLKIETIKLPDHSYLVENMSANDCESRCLQNCSCTAYALVNASQGNSVNCLSWYGDLMDIVHDIEGQILYVRVHDRELVENDGSSDNFSSRRKRSIIILVAVISLGVLTVLSGYFTWRKRFGKQEIIEESFTGTSTTIGGEAGNGDTELNIFSLNRIQAATNDFSEDNKLGEGGFGTVYKGDLAIQEVAIKRLSKKSGQGLEEFMNESKLISKLQHTNLVRLLGCCAEGEERILVYEYMRNRSLDKFLFDPSEKANLNWSKRFRIIEGIAQGLLYLHKYSRLKVIHRDLKASNILLDDVMNPKISDFGLARMFGSDQTEADTKRVVGTYGYMSPEYAQYGKFSEKSDVFSFGVLLLEIVTGKRNAEFFRNDLPQTLQGWAWELWNEARGLDLIDPSIKDSFESPDRIFRCIHVGLLCVQESPADRPTMPLVVQMLSNDNASLPSPKEPAFSSLSRQRSSNFVAFHNTSTIYSNNELTISLPEAR >Potri.019G120300.3.v4.1 pep chromosome:Pop_tri_v4:19:14622080:14625458:1 gene:Potri.019G120300.v4.1 transcript:Potri.019G120300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120300.v4.1 MSISVSLLPIGAGEGIIVPGRYVSANQSIISASGTFALGFFSAGNSTPRYYLGIWYNKIQKKSVVWVANRESPTDSLGTFSLGVDGNLVVLDAAGKTVWSSNVKIAHSAINSMMGVLMDSGNFLLRSGETILWQSFDHPSDTFLPGMKVGNNRKTGQRRQLTSWIDAEDPQPGLFSFGLDTTGPLQFFIWKDHVPYSRTNVYSNSMSLTKLSRWLPFAYYITVKLEGDDIYLSYSISDISAILRITLVPNGRLEALIWEEKNSKWFSMWQWPKVYCDFYGHCSPFSSCDKKGSPVYCKCLTGFQPKVQQEWHMTNWTSDTWVRQKALTCDKGDGFLKIETIKLPDHSYLVENMSANDCESRCLQNCSCTAYALVNASQGNSVNCLSWYGDLMDIVHDIEGQILYVRVHDRELVENDGSSDNFSSRRKRSIIILVAVISLGVLTVLSGYFTWRKRFGKQEIIEESFTGTSTTIGGEAGNGDTELNIFSLNRIQAATNDFSEDNKLGEGGFGTVYKVLFHF >Potri.009G105700.1.v4.1 pep chromosome:Pop_tri_v4:9:9231174:9237570:-1 gene:Potri.009G105700.v4.1 transcript:Potri.009G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G105700.v4.1 MGTCTSKPPKPNLNAPKDINPPPQTPSQNEHPTTQTRTAKSPLTSLPNSKASPFFPFYTPSPFKKTPFNPTASTPLRFFKKSLARPSLAKYFKAVLRRQNKKEKSGVEPNSEEGDKNEEAVELDKRFGFSKEFTSRLEVGEEVGRGHYGYTCSAKFKKGARKGQQVAVKVIPKPKMTTAIAVEDVRREVRILRGLTGHNNVVHFYDAFEDLDNVYIVMELCKGGELLDRILSRGGKYSEDDAKAVMVQILNVIAFCHLQGVVHRDLNLENFLYTSKEENSKLKVIDFGLSDFARPDERLDDIVGSICYVAPEVLHRSYSFEADVWSIGVIAYILLCGSRPFWARTESGLFLEILKADPSFDEAPWLALSLEAKDFVKRLLNKDPRKRITAAQALSHPWIRDFNGVKVNLDILIFKHMKAYMRSSSLRKAALKALSKTLTVDELFYLKEQFASLEPNESGSITLENLRMVLMKNATNAMKDSRIPDFLSSLNPFQHGRIDFEEFCAAALNVHQLEAHDQWKQLARSAYELFEKDGNRALVIEELASELGLGPSIPVHAILNDWISHADGKLSFHGFVKLLHGTSSRTIAKAQ >Potri.014G031600.4.v4.1 pep chromosome:Pop_tri_v4:14:1967154:1969543:-1 gene:Potri.014G031600.v4.1 transcript:Potri.014G031600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031600.v4.1 MAAKVTAYEESRRKRMEENKKRMEALNLHKLSRALKISTPTKSSPLKRSKPRVVEKQVVVVRRSNRIANKPAPFFKEAVIDRVVIPRRISKAADLSNLVFATDEARAKAIEKAEKLQSDLGSDYPTFIKSMLQSHVTGGFWLGCLPLDFCRRNLPRRGDFITLIDEDADEYQVTYLARQNGLSGGWKGFAVAHGLLDGDAVVFQLIKPTTCKVYIIRVNGSEQGNSL >Potri.014G031600.5.v4.1 pep chromosome:Pop_tri_v4:14:1966209:1969494:-1 gene:Potri.014G031600.v4.1 transcript:Potri.014G031600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031600.v4.1 MAAKVTAYEESRRKRMEENKKRMEALNLHKLSRALKISTPTKSSPLKRSKPRVVEKQVVVVRRSNRIANKPAPFFKEAVIDRVVIPRRISKAADLSNLVFATDEARAKAIEKAEKLQSDLGSDYPTFIKSMLQSHVTGGFWLGCLPLDFCRRNLPRRGDFITLIDEDADEYQVTYLARQNGLSGGWKGFAVAHGLLDGDAVVFQLIKPTTCKVYIIRVNGSEQGNSL >Potri.014G031600.1.v4.1 pep chromosome:Pop_tri_v4:14:1965350:1969543:-1 gene:Potri.014G031600.v4.1 transcript:Potri.014G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031600.v4.1 MAAKVTAYEESRRKRMEENKKRMEALNLHKLSRALKISTPTKSSPLKRSKPRVVEKQVVVVRRSNRIANKPAPFFKEAVIDRVVIPRRISKAADLSNLVFATDEARAKAIEKAEKLQSDLGSDYPTFIKSMLQSHVTGGFWLGCLPLDFCRRNLPRRGDFITLIDEDADEYQVTYLARQNGLSGGWKGFAVAHGLLDGDAVVFQLIKPTTCKVYIIRVNGSEQGNSL >Potri.014G031600.3.v4.1 pep chromosome:Pop_tri_v4:14:1966209:1969494:-1 gene:Potri.014G031600.v4.1 transcript:Potri.014G031600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G031600.v4.1 MAAKVTAYEESRRKRMEENKKRMEALNLHKLSRALKISTPTKSSPLKRSKPRVVEKQVVVVRRSNRIANKPAPFFKEAVIDRVVIPRRISKAADLSNLVFATDEARAKAIEKAEKLQSDLGSDYPTFIKSMLQSHVTGGFWLGCLPLDFCRRNLPRRGDFITLIDEDADEYQVTYLARQNGLSGGWKGFAVAHGLLDGDAVVFQLIKPTTCKVVYIIRVNGSEQGNSL >Potri.013G060266.1.v4.1 pep chromosome:Pop_tri_v4:13:4437939:4445537:1 gene:Potri.013G060266.v4.1 transcript:Potri.013G060266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G060266.v4.1 MKVNILFLLFSLLITNFSLISSTKSSFISLLGVAPQDENYYKTETIKCRNGSKKFARVQLNDDFCDCPDGTDEPGTSACPHGKFSCMNVGHLPVSIHSSKVNDGICDCCDGSDEYDGEVKCPNTCWEAGKVTRDKLKKKIDIYKEGVTIRKKEVEQAKQAIAKDKEELSKLKNNEKSLKGLVKNLKARKEQIEKAEEKERLVKEKEEMMKMAAEDKSDDEHKESSSSTT >Potri.010G062480.1.v4.1 pep chromosome:Pop_tri_v4:10:9181808:9184738:-1 gene:Potri.010G062480.v4.1 transcript:Potri.010G062480.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062480.v4.1 MLKESVDAPIKCAEQNPGFSQGKPVGAFTIYRCLVHWRLFEAERTSVFDCLIQMIGSAIENQDDINHMAYWLSNTSMLLFLLQRTLKGSGANPNLPPPPTSFLGMMAKVSVHRLLRPILKLVKIYSWSRLSIQLCFLNNS >Potri.018G152500.3.v4.1 pep chromosome:Pop_tri_v4:18:16239693:16245903:-1 gene:Potri.018G152500.v4.1 transcript:Potri.018G152500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G152500.v4.1 MMDLSGKKSATRSVLVPSTTHQRQHSNLERFLQCVTPTAPPKFLPESCIRDLNSLWQPPGTKDMVEYFTLGDLWDCYDEWSAYGAGTQVVLSSGDTIMQYYVPYLSAIQIYSNKSVVASRDSREYNDVVEFESDSWSDDSMSDKLSRSLSNNSSKTWDTISEDSSFDHEGSLSMRDKLGSLSFQYFEISSPYWRVPLLEKITELARNKPGLMTLKNVDLSPASWMAVAWYPIYHIPSQGNEKDLSSCFLTYHTLSSSFQDCVNEDGEGNVCISLPPFGLATYKLQGNLWINPETSDDERMIYLESAADSWLKQLNVHHHDYSFFTSCQYTM >Potri.008G024900.2.v4.1 pep chromosome:Pop_tri_v4:8:1254604:1256478:-1 gene:Potri.008G024900.v4.1 transcript:Potri.008G024900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024900.v4.1 METSSKSNIRVLMFPWLAYSHIHAFLELAKKLSKRNFYIYLCSTPANLAYIKEKEPSVEFVELHLPSLPELPPHYHTTKGLPRHLLSNLMKALDMSSCSFSGILTALKPDLLISDFLQPWAPAKALSLKIPTVLFMVSAAMPYCFLLDLVKISGSTALPLRSNYPHEYDYENDNLTLQDRLLQCLEGSSNLMLIRSLRELEGKYVDELSVLAMKKIVLVGPLVQELSEEENEKTEIIEWLNKKDPCSVLFVSFGSECYLSREDREELAHGLLLSNVSFIWVLRFPQGEKISAEEALPEGLVEMVGEKGLFIEDWAPQKRILDHPSIGGFLSHCGWGSIMESMKTGVPIIAMPMHVDQPCNAKLLQEVGVALEIKREQNGKLGREEVAKVVREVVVEETGEQVRRKVRELRERIRGKEDEDMDEVVNELVKLCGREANKPL >Potri.001G247100.3.v4.1 pep chromosome:Pop_tri_v4:1:26369352:26374435:-1 gene:Potri.001G247100.v4.1 transcript:Potri.001G247100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247100.v4.1 MGFDNECIPDIQSLAGEYFCPVCRLLVYPNEALQSQCTHLYCKPCLTYVVSTTRACPYDGYLVTEADSKPLIESNKTLAETIGKITVHCLYHRSGCPWQGTLSDCTSHCSGCAFGNSPVVCNRCGTQIVHRQVQEHAQNCPGVQPQPQPAEGAQDATSTGMPTTGNQGQAAIRTGTSTSQAQTSTTSTPAKDTIQQISTTTQAQTSVQADVPTAEQWYQQQPQYQQYYQQYPGYDPYQQHYQQYYPYQQQAVSQYQQPQVSMHAQAPQPLPQPQPQPQVPINTLPQNLAQVNPQQLTHHTVQQQSLTQLPANPPAQGYPPPQAQSNTQPHPAQPLPQHVPQYQQPPSQMLHPQPQIQAQVNSQLHPQKNPVPQSHVQAQSQTPLPVLQPLAPQPNQTVNPNPQPQPQPQPQPQHYPFHAVTGHHSYLQPQIHQQMPLGAPQHPRGGPQSQSQQPVQMQSQFIQQPPLLPPPQSHAAFQNPQQPGLLPSPVQVPSIPPAQQQPVHSHADQPGLPVQQRPVMQPIVQPMNQQYVQHQQPFPGQPWGAVHNQMHHQGLYGQQHPQTQLHPHGPVQSFQQPSHAYPHPQQNVPLPRGAHPHQAQSLAVGTGVSPHGVLSVQSYPQSTAVMQARPVQIGANQQSGNILKTNNQVEFSSEQQAWVASRPISERQGDIEKGAEGESSAHNTIKKELNELDAGLGASASEMKTIKSESDLKQVDDENKPTGEAKDIPGAPAAANGEPSIKQVKEDHRDVTDKQKDISNADQKKVELSLSEYMDGKDGLSLETAPSHLEEQSKKSQKDKTPTSQGFGGFPPNGHMQSQPVSVVDQGKLHPLPIHQGPAALQQRPVGPSWLQAPHGPPHHMQLPGHPPSHHGRLPPGHMPSHYGPPQGPYTHAPTSQGERTSSYVHETSMFGNQRPSYPGGRQGILSNAVGTNGAQDPNSDRFRSFPDEHLNPFPHDPARRNAHQGEFEEDLKHFTAPSCLDTKPVPKSGGHFSSSRPLDRGPHGFGVDGAPKHLDKGSHGLNYDSGLNVEPLGGSAPPRFFPPIHHDRTLHRSEAEGSLGFHDNLAGRTDFARTRPGLLGPPMPGYDHRDMDNLAPRSPGRDYPGMSMQRFGALPGLDDIDGRAPQRSSDPITSSLHDSRFPLFPSHLRRGELNGPGNFHMGEHLSGDLMGHDGWPAHLRRGERLGPRNPPSHLRLGERGGFGSFPGHARMGELAGPGNLYHQQLGEPGFRSSFGGSYAGDLQYSENSRKRKSSMGWCRICKVDCETFEGLDLHSQTREHQKMAMDMVVTIKQNVKKHKSAPSDHSSLEDTSKLRNASFEGRGNKH >Potri.008G130400.1.v4.1 pep chromosome:Pop_tri_v4:8:8551524:8557273:-1 gene:Potri.008G130400.v4.1 transcript:Potri.008G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130400.v4.1 MEPNASNNMQPDNCLEITPMEVHKVVPPPHRSTIQKLKSRLKETFFPDDPLLQFKRQPLGTKWILAAQYVFPILQWGPNYSFKLFKSDIVSGLTIASLAIPQGISYAKLASLPPIVGLYSSFVPPLVYAVLGSSRDLAVGPVSIASLILGSMLRQEVSPINDPLLFLQLAFSSTFFAGLFQASLGLLRLGFIIDFLSKAILIGFMAGAAVIVSLQQLKSLLGITHFTKQMGLVPVLSSAFHNINEWSWQTILMGFCFLVFLLLARHVSMRKPKLFWVSAGAPLVSVILSTILVFAFKAQHHGISVIGKLQEGLNPPSWNMLHFHGSNLGLVIKTGLVTGIISLTEGIAVGRTFAALKNYQVDGNKEMMAIGLMNVIGSATSCYVTTGAFSRSAVNHNAGAKTAVSNVVMSVTVMVTLLFLMPLFQYTPNVVLGAIIVTAVIGLIDFPAACQIWKIDKFDFVVMLCAFFGVIFISVQDGLAIAVAISIFKILLQVTRPKTLILGNIPGTDIFRNLHHYKDATRIPGFLILSIEAPINFANTTYLKERIVRWINEYETEEDIKKQSSIRFLILDLSAVSAIDTSGVSLFKDLKKAVENKGVELVLVNPVGEVLEKLLRADDARDIMGPDTLYLTVGEAVAALSSTMKGQSSSNV >Potri.001G179200.9.v4.1 pep chromosome:Pop_tri_v4:1:15649823:15661252:-1 gene:Potri.001G179200.v4.1 transcript:Potri.001G179200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179200.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKIKVLEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQILKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSADGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIHGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLFWADSGDLVAIASDTSFYILKYNREIVSSYLDNGKPVDEQGIEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLAGQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEAAKEIASEVQSESKWKQLGELAMSSGKLEMAEECMRHATDLSGLLLLYSSLGDAEGISKLGSLAKEQGKINVAFLCLFMLGKVEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAGTRGVHPPAEDYQYHADKPHITLVEAFRNMQVEEEEPLENGDFDHESDEQNGDEHNAEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEPEEEWGTNNEETPSA >Potri.001G179200.4.v4.1 pep chromosome:Pop_tri_v4:1:15650275:15661252:-1 gene:Potri.001G179200.v4.1 transcript:Potri.001G179200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179200.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKIKVLEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQILKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSADGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIHGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLFWADSGDLVAIASDTSFYILKYNREIVSSYLDNGKPVDEQGIEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLAGQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEAAKEIASEVQSESKWKQLGELAMSSGKLEMAEECMRHATDLSGLLLLYSSLGDAEGISKLGSLAKEQGKINVAFLCLFMLGKVEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAGTRGVHPPAEDYQYHADKPHITLVEAFRNMQVEEEEPLENGDFDHESDEQNGDEHNAEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEPEEEWVLTPHH >Potri.001G179200.11.v4.1 pep chromosome:Pop_tri_v4:1:15650337:15661252:-1 gene:Potri.001G179200.v4.1 transcript:Potri.001G179200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179200.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKIKVLEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQILKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSADGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIHGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLFWADSGDLVAIASDTSFYILKYNREIVSSYLDNGKPVDEQGIEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLAGQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEAAKEIASEVQSESKWKQLGELAMSSGKLEMAEECMRHATDLSGLLLLYSSLGDAEGISKLGSLAKEQGKINVAFLCLFMLGKVEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAGTRGVHPPAEDYQYHADKPHITLVEAFRNMQVEEEEPLENGDFDHESDEQNGDEHNAEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEPEEEWGTNNEETPSA >Potri.001G179200.12.v4.1 pep chromosome:Pop_tri_v4:1:15650431:15661252:-1 gene:Potri.001G179200.v4.1 transcript:Potri.001G179200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179200.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKIKVLEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQILKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSADGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIHGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLFWADSGDLVAIASDTSFYILKYNREIVSSYLDNGKPVDEQGIEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLAGQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEAAKEIASEVQSESKWKQLGELAMSSGKLEMAEECMRHATDLSGLLLLYSSLGDAEGISKLGSLAKEQGKINVAFLCLFMLGKVEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAGTRGVHPPAEDYQYHADKPHITLVEAFRNMQVEEEEPLENGDFDHESDEQNGDEHNAEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEPEEEWGTNNEETPSA >Potri.001G179200.8.v4.1 pep chromosome:Pop_tri_v4:1:15650840:15661252:-1 gene:Potri.001G179200.v4.1 transcript:Potri.001G179200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179200.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKIKVLEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQILKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSADGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIHGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLFWADSGDLVAIASDTSFYILKYNREIVSSYLDNGKPVDEQGIEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLAGQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEAAKEIASEVQSESKWKQLGELAMSSGKLEMAEECMRHATDLSGLLLLYSSLGDAEGISKLGSLAKEQGKINVAFLCLFMLGKVEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAGTRGVHPPAEDYQYHADKPHITLVEAFRNMQVEEEEPLENGDFDHESDEQNGDEHNAEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEPEEEWGTNNEETPSA >Potri.001G179200.2.v4.1 pep chromosome:Pop_tri_v4:1:15649752:15661252:-1 gene:Potri.001G179200.v4.1 transcript:Potri.001G179200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179200.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKIKVLEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQILKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSADGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIHGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLFWADSGDLVAIASDTSFYILKYNREIVSSYLDNGKPVDEQGIEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLAGQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEAAKEIASEVQSESKWKQLGELAMSSGKLEMAEECMRHATDLSGLLLLYSSLGDAEGISKLGSLAKEQGKINVAFLCLFMLGKVEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAGTRGVHPPAEDYQYHADKPHITLVEAFRNMQVEEEEPLENGDFDHESDEQNGDEHNAEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEPEEEWGTNNEETPSA >Potri.001G179200.10.v4.1 pep chromosome:Pop_tri_v4:1:15650272:15661340:-1 gene:Potri.001G179200.v4.1 transcript:Potri.001G179200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G179200.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMYIRVYNYNTMDKIKVLEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLDGHTHNVSAVCFHPELPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRIVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIKSVGADFEVTDGERLPLAVKELGTCDLYPQILKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSADGEYAVRESTSKIKIFSKNFQEKKSIRPTFSAERIHGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLFWADSGDLVAIASDTSFYILKYNREIVSSYLDNGKPVDEQGIEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDRPMYLLGYLAGQSRVYLIDKEFNVMGYTLLLSLIEYKTLVMRGDLERASEVLPSIPKEHHNSVARFLESRGMIEDALEVATDPDYRFELAIQLGRLEAAKEIASEVQSESKWKQLGELAMSSGKLEMAEECMRHATDLSGLLLLYSSLGDAEGISKLGSLAKEQGKINVAFLCLFMLGKVEDCLQLLVESNRIPEAALMARSYLPSKVSEIVAIWRKDLNKVNPKAAESLADPEEYPNLFDDWQVALSVESRAAGTRGVHPPAEDYQYHADKPHITLVEAFRNMQVEEEEPLENGDFDHESDEQNGDEHNAEEQNGEEGSQEEAVVVDADSTDGAVLVNGNEPEEEWGTNNEETPSA >Potri.001G107950.1.v4.1 pep chromosome:Pop_tri_v4:1:8668293:8669269:-1 gene:Potri.001G107950.v4.1 transcript:Potri.001G107950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107950.v4.1 MSSLKTLSIFSFLFAALHFPSVHAATFDITNKCPYTVWAAAKPGGGRQLNNGETWTISADPGTTQARIWARTNCQFDGAGRGNCQTGDCNGLLACQGYGSPPNTLAEYAIGQFANQDFIDISNIDGFNVPMEFSSASAGCTRVIKCTADIVGQCPNELKVLGGCNGPCPVFKTDEYCCNSGTCGPTTFSKYFKERCPDAYSYPKDDPTSLFTCPTGTNYKVIFCP >Potri.019G061600.1.v4.1 pep chromosome:Pop_tri_v4:19:10166118:10169443:1 gene:Potri.019G061600.v4.1 transcript:Potri.019G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061600.v4.1 MERSVKQMLKLIEEDGVSLAKKAEMCRQTRPDLISKIKEFNSMQQSLAECYEYVTTELTNSIPSEFDVQGVDNSESGHGHDPPLLTPDQKLGFHKASNRAPSVSSHGASSDLSLKEGSESFSFSSSDSESESFNSSGNAYYSLPVNTDRSELHKKIIVMGTDLSSMEEKLRMHEEENRDSMLNGEENRNYEELLSRIIGYEEELRLTKVKLQLSEDDVTRLKIELEKSVFFRDLSGTLQAQLELALKDIQMREDDLQVERKRVLELQKKVAEGSEELQGQLKVAEEEITMLNAKLNTESRRVLDLQERITCYKSDLSDHDHEIKALKDAQENLSVEKAHLQSEILDLSEKQNMLEVKLREWDLQGKFMEDKLRQCEAEKMQMKNLHDAQEIALQGEISQLKVELIDRGEHVEVLNKKFDSLKSKYDMLMAEKDGMSAKVNTLIADVNSRDNQIRQMEGHLQQLHTEHEKLIAGSQSSRKLVDELRLKVVELEKEVDGQRVELSAVAEEKRAAIRQLCFSLEHYRSGYKELREAFLGHKRHSVMAS >Potri.019G061600.2.v4.1 pep chromosome:Pop_tri_v4:19:10166462:10169420:1 gene:Potri.019G061600.v4.1 transcript:Potri.019G061600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G061600.v4.1 MVKMLMTEMERSVKQMLKLIEEDGVSLAKKAEMCRQTRPDLISKIKEFNSMQQSLAECYEYVTTELTNSIPSEFDVQGVDNSESGHGHDPPLLTPDQKLGFHKASNRAPSVSSHGASSDLSLKEGSESFSFSSSDSESESFNSSGNAYYSLPVNTDRSELHKKIIVMGTDLSSMEEKLRMHEEENRDSMLNGEENRNYEELLSRIIGYEEELRLTKVKLQLSEDDVTRLKIELEKSVFFRDLSGTLQAQLELALKDIQMREDDLQVERKRVLELQKKVAEGSEELQGQLKVAEEEITMLNAKLNTESRRVLDLQERITCYKSDLSDHDHEIKALKDAQENLSVEKAHLQSEILDLSEKQNMLEVKLREWDLQGKFMEDKLRQCEAEKMQMKNLHDAQEIALQGEISQLKVELIDRGEHVEVLNKKFDSLKSKYDMLMAEKDGMSAKVNTLIADVNSRDNQIRQMEGHLQQLHTEHEKLIAGSQSSRKLVDELRLKVVELEKEVDGQRVELSAVAEEKRAAIRQLCFSLEHYRSGYKELREAFLGHKRHSVMAS >Potri.015G000500.1.v4.1 pep chromosome:Pop_tri_v4:15:694:984:1 gene:Potri.015G000500.v4.1 transcript:Potri.015G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G000500.v4.1 MGLAKDRDDQEMMLKKRNEELEKALEESKRREAKMISELQRTWERLRVAEEAEESLCSQLGELEAEAANQARAYHSRILSLMNELSQAHNLLHLTN >Potri.005G152200.1.v4.1 pep chromosome:Pop_tri_v4:5:12975325:12977837:-1 gene:Potri.005G152200.v4.1 transcript:Potri.005G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G152200.v4.1 MEAATKEEQIQVKEKEAPQLATVLKEIKEGLDIVRNKVQALTAKVKTNNFPTTEGISYLEAKHLLLLSYCQSLVFYLIRKAKGLSIEKHPVVRSLVEIRLFLEKIRMIDKKLEYQIQKLTRDAGSARDQVDVSENESKASKKPEDNLKYRPNPDLLESKTDMLAQNGGVYRPPKIAPMIMEEDKMSKQERNALRRQKETLRKAKHGFMKELIDDMEDRPAEVKEYAGLDSWESQRYVEQFEDRARQEEELFTRVPLTKKEKRKQKDLKKSRNGLLGLTDGFNDEIKTLALDDDTNEQTTTISNGGSAMGKLKKRKRTY >Potri.018G151300.1.v4.1 pep chromosome:Pop_tri_v4:18:16176082:16178934:1 gene:Potri.018G151300.v4.1 transcript:Potri.018G151300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151300.v4.1 MVEARIVISKQNKGGGTKKMKMNGAAPAAAPAAAAAEEEEEEAVIRIFVGGLGESVSSEDLRNIFSSNKSLGLGIQSVEIIRSKGRSFAYIDFFSSSNNSLSKLFNTYNGCAWKGGKLRLEKAKEHYLARLTCEWAQDQDEDQHPLLPTPNLDHAQDDPTNKKLSISSKPSNKELLSENKQLRLFFPGLGKIKSIPFRGTGKHRYSFRRVEVPPLPKHFCDCEEHSEPPAAAAKCRHIPIMEEQGAGMDKEELTLMNSVMNKLFQMENVSDNACCEIELDKKVDDSMKTTDKPPLEENEGDIDEDDDNLIINMVSVPQETILTHQRRRFNVRQTSTDEPTQKVLQKQKRNTTPSNKKRKIVLNEESNTSEGMPAMPGGNGSLLEQQSKSDNASETLPGHSSSKEEQPKCDKVADSRDSENNKSWKQENQNEHFSRIKEVGGHKEALSTKLDSASNKPGRGYAWLNKSSWTQLVSGNNSNAFSITHILPGVTFAKVEPSKPDGLEVPSSMKSMHGDIFKKSNIVPTVDGTLAFGVRKEGNVQNRVAMSPQTVVGNAEASAPVVEKKTNSETKPAYTRDVSIGETCSFMRTADSVKEWARTKAALSGSRKRKNNEK >Potri.006G043400.3.v4.1 pep chromosome:Pop_tri_v4:6:2923739:2927858:-1 gene:Potri.006G043400.v4.1 transcript:Potri.006G043400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043400.v4.1 MTLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPSGSRINTVGSPGSRSNVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLAMLTQKQLANMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSIARRSLMPHHHHHLHDLTSAADLEDQKIRRMKRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAANVNYQAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHMEPNKLRLCLELVQSAAMVLSREEGNVNATSTLIYPSMSDEHNTSSSGSNLANLNLDSRLVYLNLGAAGSGQMGSRMDEEDDSNHNNQRDHHAMSRHDPTMYHHHSHDF >Potri.006G043400.2.v4.1 pep chromosome:Pop_tri_v4:6:2923635:2927858:-1 gene:Potri.006G043400.v4.1 transcript:Potri.006G043400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043400.v4.1 MTLEDSLRSLSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGPDPPSGLDPSGSRINTVGSPGSRSNVIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCTSAVDLALDTLAAARYFGVEQLAMLTQKQLANMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEVLAKHLPIDVVAKIEELRLKSSIARRSLMPHHHHHLHDLTSAADLEDQKIRRMKRALDSSDVELVKLMVMGEGLNLDEALALHYAVENCSREVVKALLELGAANVNYQAGPAGKTPLHIAAEMVSPDMVAVLLDHHADPNVRTVDGVTPLDILRTLTSDFLFKGAVPGLAHMEPNKLRLCLELVQSAAMVLSREEGNVNATSTLIYPSMSDEHNTSSSGSNLANLNLDSRLVYLNLGAAGSGQMGSRMDEEDDSNHNNQRDHHAMSRHDPTMYHHHSHDF >Potri.019G019200.1.v4.1 pep chromosome:Pop_tri_v4:19:3132770:3136009:1 gene:Potri.019G019200.v4.1 transcript:Potri.019G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G019200.v4.1 MGFTVSPQNSIVEVHCLQGLQRCSNQAGSSFGVVSCSALKGSTFYLNCTINCSYRNQLYWHGKEFLRSGRTLFSLQCRGVQSVSIDRVDENDQDDWNVGSHVSGSGRDFRVEMTTRNHGSSILFADGPLVENDEETNNEILHNLCKKGSLMEATKLIDIMSRVNQIPNSTCSTNLIRGLVRIGRIERASRVLKTMVMSGLVPDVITYNMMVGGFCKRRQLRSAIDLLEDMSLSGCPPDVITYNTIIRSLFDNGKFDQAVEFWRGQLRRGCLPYLIPYTILIELVWKHCGTVRALEVLEDMAIEGCYPDLVTYNSLVNFASKEGKYEDAALIIYNILSHGMEPNAITYNSLLHSLCSCGLWDEVDEILAIMKKTSHPPTVVTYNILINGLCKCGLVERAINFFVQMVSENCSPDIITYNTLLTALCKEGMVDEALQVFVLLSDSNCSPGLITYNTVIDGLTRRGYMDEALKLYNQMIEKGIAPDGITHRSLVWGFCWIDQVEDAVEILREMGKRDHRINSSAYRLVINGLCRNKRVDIAIQVLEMMISGRYKPDEEIYSTLIKSVADAGMVEEADELHQKLIERKVLRTILDKP >Potri.010G003000.5.v4.1 pep chromosome:Pop_tri_v4:10:289775:296415:1 gene:Potri.010G003000.v4.1 transcript:Potri.010G003000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003000.v4.1 MADENGLQGDRKFEQAAATTSHSEIVESEIQAAEKSKEKKESTNVVPYYKLFSFADPTDYLLMFVGTIAAIGNGACMPIMTILFGQVVNAFGSTSTNTEEVTHEVSQVALKFVYLGLGAMVAALLQVSCWMVTGERQAARIRNLYLGAILRQEIGFFDNETHTGEIIGRMSGDTILIQDAMGEKVGKFLQLFTTFTAGFVIAFIKGWKLTLVMASSIPLLVLSGAVMAITVSKMASRGQTAYSHAANIVDQSIGSIRTVVSFTGEKQAVVQYNKSLTEAVKTGVQEGLAIGVGFGVVAFIVFSTYALAVWFGAKMILNDGYNGGDVVNVNFAVLTGSMSLGQSSSCLSAFSAGRAAAFKLFEVIDRKSQIDSYNSNGRTLDDIQGDIELKDIHFSYPARPDEQIFNGFSLAIPPGTTAALVGKSGSGKSTIIGLIERFYDPHAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFACSIKDNIAYGKDGATSEEIKTASELANAAKFIDKLPQGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMINRTTVVVAHRLSTVRNADAIAVLHHGKIVEKGSHKELTKDPEGAYYQLIRLQETRTAQNNDVLNNPDGPESLADSDRHLSKRSSFRRSISRGSSLEHSSRHSFSAAFGVPTGIDLPDTATAEPYILDSEPSEPLPEVPLFRLAYLNKPEIPVLVLAALAAIVAGAILPVFGILVSSMIKTFFEPPNKLKKDSEFWALMFVGIGAISLFIQPVKHCFFAVAGCKLIKRIRSMCFEKVIYMEVGWFDQPEHSSGAIGARLSADAAMVKGLVGDALGMLVQNLGTAVVALFIAFQACWQLAFIMLAVLPLLGVNGFIQQKFMKGFSADAKKMYEEASQVANDAVRNIRTVASFCSEAKVTGLYQQACKGPLKTGMRQGLVSGIGFGLSFFLLYAVYAACFYAGSRLVNAGATTFSEVFRVFFALTMASFGISQTSSLGPDIMKAKAAAASVFAILDRNSKIDSTDDSGTAIENFKGDIEFQHVSFIYPTRPDVQIFRDLCLKIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGYITLDGVEIQKLQIKWLRQQMGLVSQEPLLFNDTIRANIAYGKEGIATEAEILAASELANAHKFISSLQQGYDTVVGDRGIQLSGGQKQRVAIARAIIKAPKILLLDEATSALDAESERVVQDALEKVMVNRTTVIVAHRLSTIKNADVIAVVKNGVIAEKGRHDTLMNIKDGVYASLVSLHTSASSS >Potri.010G003000.11.v4.1 pep chromosome:Pop_tri_v4:10:289519:296424:1 gene:Potri.010G003000.v4.1 transcript:Potri.010G003000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003000.v4.1 MADENGLQGDRKFEQAAATTSHSEIVESEIQAAEKSKEKKESTNVVPYYKLFSFADPTDYLLMFVGTIAAIGNGACMPIMTILFGQVVNAFGSTSTNTEEVTHEVSQVALKFVYLGLGAMVAALLQVSCWMVTGERQAARIRNLYLGAILRQEIGFFDNETHTGEIIGRMSGDTILIQDAMGEKVGKFLQLFTTFTAGFVIAFIKGWKLTLVMASSIPLLVLSGAVMAITVSKMASRGQTAYSHAANIVDQSIGSIRTVVSFTGEKQAVVQYNKSLTEAVKTGVQEGLAIGVGFGVVAFIVFSTYALAVWFGAKMILNDGYNGGDVVNVNFAVLTGSMSLGQSSSCLSAFSAGRAAAFKLFEVIDRKSQIDSYNSNGRTLDDIQGDIELKDIHFSYPARPDEQIFNGFSLAIPPGTTAALVGKSGSGKSTIIGLIERFYDPHAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFACSIKDNIAYGKDGATSEEIKTASELANAAKFIDKLPQGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMINRTTVVVAHRLSTVRNADAIAVLHHGKIVEKGSHKELTKDPEGAYYQLIRLQETRTAQNNDVLNNPDGPESLADSDRHLSKRSSFRRSISRGSSLEHSSRHSFSAAFGVPTGIDLPDTATAEPYILDSEPSEPLPEVPLFRLAYLNKPEIPVLVLAALAAIVAGAILPVFGILVSSMIKTFFEPPNKLKKDSEFWALMFVGIGAISLFIQPVKHCFFAVAGCKLIKRIRSMCFEKVIYMEVGWFDQPEHSSGAIGARLSADAAMVKGLVGDALGMLVQNLGTAVVALFIAFQACWQLAFIMLAVLPLLGVNGFIQQKFMKGFSADAKKMYEEASQVANDAVRNIRTVASFCSEAKVTGLYQQACKGPLKTGMRQGLVSGIGFGLSFFLLYAVYAACFYAGSRLVNAGATTFSEVFRVFFALTMASFGISQTSSLGPDIMKAKAAAASVFAILDRNSKIDSTDDSGTAIENFKGDIEFQHVSFIYPTRPDVQIFRDLCLKIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGYITLDGVEIQKLQIKWLRQQMGLVSQEPLLFNDTIRANIAYGKEGIATEAEILAASELANAHKFISSLQQGYDTVVGDRGIQLSGGQKQRVAIARAIIKAPKILLLDEATSALDAESERVVQDALEKVMVNRTTVIVAHRLSTIKNADVIAVVKNGVIAEKGRHDTLMNIKDGVYASLVSLHTSASSS >Potri.010G003000.9.v4.1 pep chromosome:Pop_tri_v4:10:289814:296493:1 gene:Potri.010G003000.v4.1 transcript:Potri.010G003000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003000.v4.1 MADENGLQGDRKFEQAAATTSHSEIVESEIQAAEKSKEKKESTNVVPYYKLFSFADPTDYLLMFVGTIAAIGNGACMPIMTILFGQVVNAFGSTSTNTEEVTHEVSQVALKFVYLGLGAMVAALLQVSCWMVTGERQAARIRNLYLGAILRQEIGFFDNETHTGEIIGRMSGDTILIQDAMGEKVGKFLQLFTTFTAGFVIAFIKGWKLTLVMASSIPLLVLSGAVMAITVSKMASRGQTAYSHAANIVDQSIGSIRTVVSFTGEKQAVVQYNKSLTEAVKTGVQEGLAIGVGFGVVAFIVFSTYALAVWFGAKMILNDGYNGGDVVNVNFAVLTGSMSLGQSSSCLSAFSAGRAAAFKLFEVIDRKSQIDSYNSNGRTLDDIQGDIELKDIHFSYPARPDEQIFNGFSLAIPPGTTAALVGKSGSGKSTIIGLIERFYDPHAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFACSIKDNIAYGKDGATSEEIKTASELANAAKFIDKLPQGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMINRTTVVVAHRLSTVRNADAIAVLHHGKIVEKGSHKELTKDPEGAYYQLIRLQETRTAQNNDVLNNPDGPESLADSDRHLSKRSSFRRSISRGSSLEHSSRHSFSAAFGVPTGIDLPDTATAEPYILDSEPSEPLPEVPLFRLAYLNKPEIPVLVLAALAAIVAGAILPVFGILVSSMIKTFFEPPNKLKKDSEFWALMFVGIGAISLFIQPVKHCFFAVAGCKLIKRIRSMCFEKVIYMEVGWFDQPEHSSGAIGARLSADAAMVKGLVGDALGMLVQNLGTAVVALFIAFQACWQLAFIMLAVLPLLGVNGFIQQKFMKGFSADAKKMYEEASQVANDAVRNIRTVASFCSEAKVTGLYQQACKGPLKTGMRQGLVSGIGFGLSFFLLYAVYAACFYAGSRLVNAGATTFSEVFRVFFALTMASFGISQTSSLGPDIMKAKAAAASVFAILDRNSKIDSTDDSGTAIENFKGDIEFQHVSFIYPTRPDVQIFRDLCLKIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGYITLDGVEIQKLQIKWLRQQMGLVSQEPLLFNDTIRANIAYGKEGIATEAEILAASELANAHKFISSLQQGYDTVVGDRGIQLSGGQKQRVAIARAIIKAPKILLLDEATSALDAESERVVQDALEKVMVNRTTVIVAHRLSTIKNADVIAVVKNGVIAEKGRHDTLMNIKDGVYASLVSLHTSASSS >Potri.010G003000.3.v4.1 pep chromosome:Pop_tri_v4:10:289463:296415:1 gene:Potri.010G003000.v4.1 transcript:Potri.010G003000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003000.v4.1 MADENGLQGDRKFEQAAATTSHSEIVESEIQAAEKSKEKKESTNVVPYYKLFSFADPTDYLLMFVGTIAAIGNGACMPIMTILFGQVVNAFGSTSTNTEEVTHEVSQVALKFVYLGLGAMVAALLQVSCWMVTGERQAARIRNLYLGAILRQEIGFFDNETHTGEIIGRMSGDTILIQDAMGEKVGKFLQLFTTFTAGFVIAFIKGWKLTLVMASSIPLLVLSGAVMAITVSKMASRGQTAYSHAANIVDQSIGSIRTVVSFTGEKQAVVQYNKSLTEAVKTGVQEGLAIGVGFGVVAFIVFSTYALAVWFGAKMILNDGYNGGDVVNVNFAVLTGSMSLGQSSSCLSAFSAGRAAAFKLFEVIDRKSQIDSYNSNGRTLDDIQGDIELKDIHFSYPARPDEQIFNGFSLAIPPGTTAALVGKSGSGKSTIIGLIERFYDPHAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFACSIKDNIAYGKDGATSEEIKTASELANAAKFIDKLPQGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMINRTTVVVAHRLSTVRNADAIAVLHHGKIVEKGSHKELTKDPEGAYYQLIRLQETRTAQNNDVLNNPDGPESLADSDRHLSKRSSFRRSISRGSSLEHSSRHSFSAAFGVPTGIDLPDTATAEPYILDSEPSEPLPEVPLFRLAYLNKPEIPVLVLAALAAIVAGAILPVFGILVSSMIKTFFEPPNKLKKDSEFWALMFVGIGAISLFIQPVKHCFFAVAGCKLIKRIRSMCFEKVIYMEVGWFDQPEHSSGAIGARLSADAAMVKGLVGDALGMLVQNLGTAVVALFIAFQACWQLAFIMLAVLPLLGVNGFIQQKFMKGFSADAKKMYEEASQVANDAVRNIRTVASFCSEAKVTGLYQQACKGPLKTGMRQGLVSGIGFGLSFFLLYAVYAACFYAGSRLVNAGATTFSEVFRVFFALTMASFGISQTSSLGPDIMKAKAAAASVFAILDRNSKIDSTDDSGTAIENFKGDIEFQHVSFIYPTRPDVQIFRDLCLKIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGYITLDGVEIQKLQIKWLRQQMGLVSQEPLLFNDTIRANIAYGKEGIATEAEILAASELANAHKFISSLQQGYDTVVGDRGIQLSGGQKQRVAIARAIIKAPKILLLDEATSALDAESERVVQDALEKVMVNRTTVIVAHRLSTIKNADVIAVVKNGVIAEKGRHDTLMNIKDGVYASLVSLHTSASSS >Potri.010G003000.7.v4.1 pep chromosome:Pop_tri_v4:10:289340:296762:1 gene:Potri.010G003000.v4.1 transcript:Potri.010G003000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003000.v4.1 MADENGLQGDRKFEQAAATTSHSEIVESEIQAAEKSKEKKESTNVVPYYKLFSFADPTDYLLMFVGTIAAIGNGACMPIMTILFGQVVNAFGSTSTNTEEVTHEVSQVALKFVYLGLGAMVAALLQVSCWMVTGERQAARIRNLYLGAILRQEIGFFDNETHTGEIIGRMSGDTILIQDAMGEKVGKFLQLFTTFTAGFVIAFIKGWKLTLVMASSIPLLVLSGAVMAITVSKMASRGQTAYSHAANIVDQSIGSIRTVVSFTGEKQAVVQYNKSLTEAVKTGVQEGLAIGVGFGVVAFIVFSTYALAVWFGAKMILNDGYNGGDVVNVNFAVLTGSMSLGQSSSCLSAFSAGRAAAFKLFEVIDRKSQIDSYNSNGRTLDDIQGDIELKDIHFSYPARPDEQIFNGFSLAIPPGTTAALVGKSGSGKSTIIGLIERFYDPHAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFACSIKDNIAYGKDGATSEEIKTASELANAAKFIDKLPQGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMINRTTVVVAHRLSTVRNADAIAVLHHGKIVEKGSHKELTKDPEGAYYQLIRLQETRTAQNNDVLNNPDGPESLADSDRHLSKRSSFRRSISRGSSLEHSSRHSFSAAFGVPTGIDLPDTATAEPYILDSEPSEPLPEVPLFRLAYLNKPEIPVLVLAALAAIVAGAILPVFGILVSSMIKTFFEPPNKLKKDSEFWALMFVGIGAISLFIQPVKHCFFAVAGCKLIKRIRSMCFEKVIYMEVGWFDQPEHSSGAIGARLSADAAMVKGLVGDALGMLVQNLGTAVVALFIAFQACWQLAFIMLAVLPLLGVNGFIQQKFMKGFSADAKKMYEEASQVANDAVRNIRTVASFCSEAKVTGLYQQACKGPLKTGMRQGLVSGIGFGLSFFLLYAVYAACFYAGSRLVNAGATTFSEVFRVFFALTMASFGISQTSSLGPDIMKAKAAAASVFAILDRNSKIDSTDDSGTAIENFKGDIEFQHVSFIYPTRPDVQIFRDLCLKIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGYITLDGVEIQKLQIKWLRQQMGLVSQEPLLFNDTIRANIAYGKEGIATEAEILAASELANAHKFISSLQQGYDTVVGDRGIQLSGGQKQRVAIARAIIKAPKILLLDEATSALDAESERVVQDALEKVMVNRTTVIVAHRLSTIKNADVIAVVKNGVIAEKGRHDTLMNIKDGVYASLVSLHTSASSS >Potri.010G003000.10.v4.1 pep chromosome:Pop_tri_v4:10:289573:296437:1 gene:Potri.010G003000.v4.1 transcript:Potri.010G003000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003000.v4.1 MADENGLQGDRKFEQAAATTSHSEIVESEIQAAEKSKEKKESTNVVPYYKLFSFADPTDYLLMFVGTIAAIGNGACMPIMTILFGQVVNAFGSTSTNTEEVTHEVSQVALKFVYLGLGAMVAALLQVSCWMVTGERQAARIRNLYLGAILRQEIGFFDNETHTGEIIGRMSGDTILIQDAMGEKVGKFLQLFTTFTAGFVIAFIKGWKLTLVMASSIPLLVLSGAVMAITVSKMASRGQTAYSHAANIVDQSIGSIRTVVSFTGEKQAVVQYNKSLTEAVKTGVQEGLAIGVGFGVVAFIVFSTYALAVWFGAKMILNDGYNGGDVVNVNFAVLTGSMSLGQSSSCLSAFSAGRAAAFKLFEVIDRKSQIDSYNSNGRTLDDIQGDIELKDIHFSYPARPDEQIFNGFSLAIPPGTTAALVGKSGSGKSTIIGLIERFYDPHAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFACSIKDNIAYGKDGATSEEIKTASELANAAKFIDKLPQGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMINRTTVVVAHRLSTVRNADAIAVLHHGKIVEKGSHKELTKDPEGAYYQLIRLQETRTAQNNDVLNNPDGPESLADSDRHLSKRSSFRRSISRGSSLEHSSRHSFSAAFGVPTGIDLPDTATAEPYILDSEPSEPLPEVPLFRLAYLNKPEIPVLVLAALAAIVAGAILPVFGILVSSMIKTFFEPPNKLKKDSEFWALMFVGIGAISLFIQPVKHCFFAVAGCKLIKRIRSMCFEKVIYMEVGWFDQPEHSSGAIGARLSADAAMVKGLVGDALGMLVQNLGTAVVALFIAFQACWQLAFIMLAVLPLLGVNGFIQQKFMKGFSADAKKMYEEASQVANDAVRNIRTVASFCSEAKVTGLYQQACKGPLKTGMRQGLVSGIGFGLSFFLLYAVYAACFYAGSRLVNAGATTFSEVFRVFFALTMASFGISQTSSLGPDIMKAKAAAASVFAILDRNSKIDSTDDSGTAIENFKGDIEFQHVSFIYPTRPDVQIFRDLCLKIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGYITLDGVEIQKLQIKWLRQQMGLVSQEPLLFNDTIRANIAYGKEGIATEAEILAASELANAHKFISSLQQGYDTVVGDRGIQLSGGQKQRVAIARAIIKAPKILLLDEATSALDAESERVVQDALEKVMVNRTTVIVAHRLSTIKNADVIAVVKNGVIAEKGRHDTLMNIKDGVYASLVSLHTSASSS >Potri.010G003000.8.v4.1 pep chromosome:Pop_tri_v4:10:289340:296603:1 gene:Potri.010G003000.v4.1 transcript:Potri.010G003000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003000.v4.1 MADENGLQGDRKFEQAAATTSHSEIVESEIQAAEKSKEKKESTNVVPYYKLFSFADPTDYLLMFVGTIAAIGNGACMPIMTILFGQVVNAFGSTSTNTEEVTHEVSQVALKFVYLGLGAMVAALLQVSCWMVTGERQAARIRNLYLGAILRQEIGFFDNETHTGEIIGRMSGDTILIQDAMGEKVGKFLQLFTTFTAGFVIAFIKGWKLTLVMASSIPLLVLSGAVMAITVSKMASRGQTAYSHAANIVDQSIGSIRTVVSFTGEKQAVVQYNKSLTEAVKTGVQEGLAIGVGFGVVAFIVFSTYALAVWFGAKMILNDGYNGGDVVNVNFAVLTGSMSLGQSSSCLSAFSAGRAAAFKLFEVIDRKSQIDSYNSNGRTLDDIQGDIELKDIHFSYPARPDEQIFNGFSLAIPPGTTAALVGKSGSGKSTIIGLIERFYDPHAGEVLIDGVNLKEFQLKWIRQKIGLVSQEPVLFACSIKDNIAYGKDGATSEEIKTASELANAAKFIDKLPQGLDTMVGENGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDTESERIVQEALDRIMINRTTVVVAHRLSTVRNADAIAVLHHGKIVEKGSHKELTKDPEGAYYQLIRLQETRTAQNNDVLNNPDGPESLADSDRHLSKRSSFRRSISRGSSLEHSSRHSFSAAFGVPTGIDLPDTATAEPYILDSEPSEPLPEVPLFRLAYLNKPEIPVLVLAALAAIVAGAILPVFGILVSSMIKTFFEPPNKLKKDSEFWALMFVGIGAISLFIQPVKHCFFAVAGCKLIKRIRSMCFEKVIYMEVGWFDQPEHSSGAIGARLSADAAMVKGLVGDALGMLVQNLGTAVVALFIAFQACWQLAFIMLAVLPLLGVNGFIQQKFMKGFSADAKKMYEEASQVANDAVRNIRTVASFCSEAKVTGLYQQACKGPLKTGMRQGLVSGIGFGLSFFLLYAVYAACFYAGSRLVNAGATTFSEVFRVFFALTMASFGISQTSSLGPDIMKAKAAAASVFAILDRNSKIDSTDDSGTAIENFKGDIEFQHVSFIYPTRPDVQIFRDLCLKIRSGKTVALVGESGSGKSTVISLLQRFYDPDSGYITLDGVEIQKLQIKWLRQQMGLVSQEPLLFNDTIRANIAYGKEGIATEAEILAASELANAHKFISSLQQGYDTVVGDRGIQLSGGQKQRVAIARAIIKAPKILLLDEATSALDAESERVVQDALEKVMVNRTTVIVAHRLSTIKNADVIAVVKNGVIAEKGRHDTLMNIKDGVYASLVSLHTSASSS >Potri.006G251400.1.v4.1 pep chromosome:Pop_tri_v4:6:25029173:25031739:1 gene:Potri.006G251400.v4.1 transcript:Potri.006G251400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251400.v4.1 MMVYRYVQKFLTGAKCFEYKRNRVIYLNLRLFHSSGETQEEVLPIEWYEKVFPKITELTHQLKHVDMIDGRLVNVNDGSVIVDEYIQNKMHTLKSLVRFFVGSPSVQQKVSENVKGLLVDGKCRNPGVSFSKSSEREPMVVDSLTKVSNFLNVSAQQRKVVRFTICQQVTQHRIWRAALEEILNELKSEMDLLNYNCLGQGNNMGYQIVSSCLKFLSDMDTSSEHDSTSWMRLAPAKRVGYPPSCGDWEDVLEMFHDLIQCLKSEKWSVHHVGKLEAMKEGLSQIKDVSIDKSLGYKDVQHQENLVQKKLSNTLGHSSRCLFTLLLYYLYGHVRDIEVDIRGGIYGGGGESAFLLSMGRILTSDEEEMVWSGMKQLDRALRVFKFVWEIAGMKGVLELQGHLWCVGAMDRTLTYRGNRFFVHGISL >Potri.006G251400.2.v4.1 pep chromosome:Pop_tri_v4:6:25030229:25031095:1 gene:Potri.006G251400.v4.1 transcript:Potri.006G251400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251400.v4.1 MDTSSEHDSTSWMRLAPAKRVGYPPSCGDWEDVLEMFHDLIQCLKSEKWSVHHVGKLEAMKEGLSQIKDVSIDKSLGYKDVQHQENLVQKKLSNTLGHSSRCLFTLLLYYLYGHVRDIEVDIRGGIYGGGGESAFLLSMGRILTSDEEEMVWSGMKQLDRALRVFKFVWEIAGMKGVLELQGHLWCVGAMDRTLTYRGNRFFVHGISL >Potri.002G002501.1.v4.1 pep chromosome:Pop_tri_v4:2:178698:179886:-1 gene:Potri.002G002501.v4.1 transcript:Potri.002G002501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002501.v4.1 MNPSNFKLSGHNLSIQAAKALMQIANSVLQSGPQLFFLNLFSERLPFHGDRSRKAGFIHHPRSSMSSDLWHVRTKHHISGVLMIISPFSSKWLFPLQTQLYAKTSTELSPRHDSTP >Potri.017G099200.3.v4.1 pep chromosome:Pop_tri_v4:17:11117936:11124825:-1 gene:Potri.017G099200.v4.1 transcript:Potri.017G099200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099200.v4.1 MAGEDLEDYTIIKEGEAEILMNVKNQVFYNKTQVNNRDLSIAVLRTFVSKRKEEHEAKLSKRTKSADKASGKDASEPAVVEESNGSALDNEKSNIECEVHKKISQVEPCSISEEPMKSAEGNHRGELKPPKVLEALSASGLRSLRYACEVEGIGKVVALDNDKASVEACQKNIKFNGSVAISKVESHLADARVYMLTHPKEFDMVDLDPYGSPSVFLDSAVQSVVDGGMLMCTATDMAVLCGGNGEVCYSKYGSYPLRGKYCHEMALRILLASIESHANRYKRYIVPILSVQMNFYVRVFVRVYTSASAMKNTPLKLSYVYQCIGCDSYHLQPIGRTVSKDSSVRYLPGFGPAVPQECSDCGKKYNMGGPIWSAPIHDQEWVTSILKSVKSMKDRYPAYDRISAVLTTISEELPDVPLFLSLHNLCATLKCTSPSAVIFRSAVINAGYRISGTHVNPLGLKSDAPMDVIWDIMRCWVKNHPVKAQAPDQPGSVILAKEPVLQANFARAVASLSKAQAKKVARFLPNPERHWGPKLRAGRQITSKHISLLGPEAVSEHLNHENSEEPKAKRPKTDDISDPASSS >Potri.014G022800.1.v4.1 pep chromosome:Pop_tri_v4:14:1371661:1374882:1 gene:Potri.014G022800.v4.1 transcript:Potri.014G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022800.v4.1 MAATSSWNFMVTPTKPSLSWKLSFFSPLTTVNVTRRQNRTAKRFVVFATVFLDPKPTVLVAEKLGEAGLELLKTFANVDCSYNLDHEELCTKISLCDALIVRSGTKVTREVFERSGGRLKVVGRAGVGIDNVDLSAATEHGCLVVNAPTANTIAAAEHGIALLTAMARNIAQADASIKSGKWQRSKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVDLVSFEEAISTADFISLHMPLTPATSKMFNDESFSQMKKGVRIVNVARGGVIDEEALVRALDSGTVAQAALDVFTEEPPSKDSKLVLHENVTVTPHLGASTTEAQEGVAIEVAEAVVGALKGQLAATAVNAPMLPAEILSELAPFVTLSEKLGRLAVQLVAGGRGVQSVKVTYASARGPDDLDTRLLRAMITKGLIEPISSVFINLVNADFSAKQRGLRITEERILLDGSPENPLEFIQVQIANVESKFSIAMSDSGEIKVEGRVKDGKPHLTMVGSFGVDVSMEGSLILCRQVDQPGMVGSVGSILGEENVNVSFMSVGRIAPRKQAVMTIGVDEEPSKEALKRIREIPAVEEIVFLKL >Potri.014G022800.2.v4.1 pep chromosome:Pop_tri_v4:14:1371834:1374834:1 gene:Potri.014G022800.v4.1 transcript:Potri.014G022800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G022800.v4.1 MGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVDLVSFEEAISTADFISLHMPLTPATSKMFNDESFSQMKKGVRIVNVARGGVIDEEALVRALDSGTVAQAALDVFTEEPPSKDSKLVLHENVTVTPHLGASTTEAQEGVAIEVAEAVVGALKGQLAATAVNAPMLPAEILSELAPFVTLSEKLGRLAVQLVAGGRGVQSVKVTYASARGPDDLDTRLLRAMITKGLIEPISSVFINLVNADFSAKQRGLRITEERILLDGSPENPLEFIQVQIANVESKFSIAMSDSGEIKVEGRVKDGKPHLTMVGSFGVDVSMEGSLILCRQVDQPGMVGSVGSILGEENVNVSFMSVGRIAPRKQAVMTIGVDEEPSKEALKRIREIPAVEEIVFLKL >Potri.006G080700.2.v4.1 pep chromosome:Pop_tri_v4:6:5993553:6005923:1 gene:Potri.006G080700.v4.1 transcript:Potri.006G080700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080700.v4.1 MAGDLSTDSLRFIIVISAFLTLLLATGSYGSPSGSRKTGKSSLSSVFSLFNLKEKSRFWSESVIHSGDFDDLESSSPAKMGPINFTEAGNIASYLKLQEVDSMYLPVPVNFIFIGFEGKGNQAFKLHSEEIERWFTKIDHIFEHTRVPKIGEVLTPFYKIYVDKEQHHHLPLVSHINYNFSVHAIQMGEKVTYIFEHAINLLARKDDVSDNSDNKDVLWQVDMDVMDALFSSLVDYLQLDNAYNVFILNPKHDLKRAKYGYRRGLSDSEITFLKENKSLQTKILQSGGVSESVLALDKIKRPLYEKHPMTAFTWTITEETDTVEWYNICLDALNNAEKLYQGKDTSDIIQNKVLQLLKGKNEDMKLLLEKELKSGGFSDFPAECLTDTWIGRDRWAFIDLTAGPFSWGPAVGGEGVRTERSLPNVQKTIGAVAEISEDEAEERLQEAIQEKFSVLGDKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKNELQSLDHEKHDESHKKKAVEALKRMESWNLFSDTHEEFRNYTVARDTFLAHLGATLWGSMRHVISPSLSDGAFHYYEKISFQFFFVTHEKVRNVKHLPVDLEALKNGLSSLLVSSQKAMFSENLVVLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTTRSYLDSSILQHQLQRHLHDHGSLKGAHAHSRSTLEVPIFWFIYGEPLLVDKHYQAKALSDMVIVVQSEPSSWESHLQCNGQSVLWDLRSPVKAALASVSEHLAGLLPLHLVYSHAHETAIEDWVWSVGCNPFSITSRGWHMSQFQSDTIARSYIITALEESIQLVNAAIRRLLMEHTSEKTFKMFQSEERELVNKYNYVVSLWRRISTIHGELRYMDAMRLLYTLEDASERFANQVNATMAVLHPIHCMRERKVHVVIDMTTVPAFLVVLGVLYMVLKPRRPKPKIN >Potri.006G080700.1.v4.1 pep chromosome:Pop_tri_v4:6:5993585:6005871:1 gene:Potri.006G080700.v4.1 transcript:Potri.006G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G080700.v4.1 MAGDLSTDSLRFIIVISAFLTLLLATGSYGSPSGSRKTGKSSLSSVFSLFNLKEKSRFWSESVIHSGDFDDLESSSPAKMGPINFTEAGNIASYLKLQEVDSMYLPVPVNFIFIGFEGKGNQAFKLHSEEIERWFTKIDHIFEHTRVPKIGEVLTPFYKIYVDKEQHHHLPLVSHINYNFSVHAIQMGEKVTYIFEHAINLLARKDDVSDNSDNKDVLWQVDMDVMDALFSSLVDYLQLDNAYNVFILNPKHDLKRAKYGYRRGLSDSEITFLKENKSLQTKILQSGGVSESVLALDKIKRPLYEKHPMTAFTWTITEETDTVEWYNICLDALNNAEKLYQGKDTSDIIQNKVLQLLKGKNEDMKLLLEKELKSGGFSDFPAECLTDTWIGRDRWAFIDLTAGPFSWGPAVGGEGVRTERSLPNVQKTIGAVAEISEDEAEERLQEAIQEKFSVLGDKDHQAIDILLAEIDIYELFAFKHCKGRRVKLALCEELDERMRDLKNELQSLDHEKHDESHKKKAVEALKRMESWNLFSDTHEQEFRNYTVARDTFLAHLGATLWGSMRHVISPSLSDGAFHYYEKISFQFFFVTHEKVRNVKHLPVDLEALKNGLSSLLVSSQKAMFSENLVVLSEDPALAMAFSVARRAAAVPLLLVNGTYRKTTRSYLDSSILQHQLQRHLHDHGSLKGAHAHSRSTLEVPIFWFIYGEPLLVDKHYQAKALSDMVIVVQSEPSSWESHLQCNGQSVLWDLRSPVKAALASVSEHLAGLLPLHLVYSHAHETAIEDWVWSVGCNPFSITSRGWHMSQFQSDTIARSYIITALEESIQLVNAAIRRLLMEHTSEKTFKMFQSEERELVNKYNYVVSLWRRISTIHGELRYMDAMRLLYTLEDASERFANQVNATMAVLHPIHCMRERKVHVVIDMTTVPAFLVVLGVLYMVLKPRRPKPKIN >Potri.001G267800.4.v4.1 pep chromosome:Pop_tri_v4:1:28285535:28289526:-1 gene:Potri.001G267800.v4.1 transcript:Potri.001G267800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267800.v4.1 MADQIQHPSVMKKVAGQFLLSSVSQDFGCDGAFQRPALYQRRAYGNYSNPAFQYPMGRTCAVATDLSIVPSTASSVLVQAPAEKGLASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIQDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLIDVYRKTMASDGLAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Potri.001G267800.5.v4.1 pep chromosome:Pop_tri_v4:1:28286197:28289525:-1 gene:Potri.001G267800.v4.1 transcript:Potri.001G267800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267800.v4.1 MADQIQHPSVMKKVAGQFLLSSVSQDFGCDGAFQRPALYQRRAYGNYSNPAFQYPMGRTCAVATDLSIVPSTASSVLVQAPAEKGLASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIQDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLIDVYRKTMASDGLAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Potri.001G267800.1.v4.1 pep chromosome:Pop_tri_v4:1:28286095:28289581:-1 gene:Potri.001G267800.v4.1 transcript:Potri.001G267800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267800.v4.1 MADQIQHPSVMKKVAGQFLLSSVSQDFGCDGAFQRPALYQRRAYGNYSNPAFQYPMGRTCAVATDLSIVPSTASSVLVQAPAEKGLASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIQDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLIDVYRKTMASDGLAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Potri.001G267800.3.v4.1 pep chromosome:Pop_tri_v4:1:28286116:28289416:-1 gene:Potri.001G267800.v4.1 transcript:Potri.001G267800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G267800.v4.1 MADQIQHPSVMKKVAGQFLLSSVSQDFGCDGAFQRPALYQRRAYGNYSNPAFQYPMGRTCAVATDLSIVPSTASSVLVQAPAEKGLASFAIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKSGRLSEPYKGIGDCFKRTIQDEGFGSLWRGNTANVIRYFPTQALNFAFKDYFKRLFNFKKDRDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDAKAAKKGGGRQFNGLIDVYRKTMASDGLAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVLLTGSMQDSFFASFALGWLITNGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFSQILKNEGAKSLFKGAGANILRAVAGAGVLAGYDKLQLIVFGKKYGSGGA >Potri.007G018400.1.v4.1 pep chromosome:Pop_tri_v4:7:1421896:1427813:-1 gene:Potri.007G018400.v4.1 transcript:Potri.007G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018400.v4.1 MEWFMGFWILVGIFVGWCWYSKEKSSSSSSNSDMKMEQKSGVLPKGSFGWPLIGETLDFIAAGYTSQPVSFMEKRRSLYGKVFKTHILGTPIIVSTDPAVNKVVLQNHGNIFIPAYPKSVREIFGEYSILQTNGTLQKKVHALIGGFLRSPQFKTRITKDIEHHVKLTLTSWKDLPLLFVQEETQKFTFKVLVKALLSLDPSDDLDFLKREFDEFIKGLICLPIKLPGTRLYKSLKAKERIFKLVKKIVEQRKLGLEKSEDQEHQNTIPNDAVDVLLRDISGEQNDKQSLSLDFIISHIIELMIPGEETVPMSMTLAVKFLSDCPVALELLTEENMKLKRQKTDSGDDYCWTDYMSLQFTQNVINETLRVANIINAVWRKALKDVEIKGILIPEGWCVLTSFSSVHMDEENYENPHEFDPWRWEKTGASVKNNCFTPFGGGQRLCPGLELSRLEISIFLHHLVTTYRWIAEKDDIIYFPTVKLKKKLPIRVTSIGNSS >Potri.009G098400.1.v4.1 pep chromosome:Pop_tri_v4:9:8798131:8800148:-1 gene:Potri.009G098400.v4.1 transcript:Potri.009G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G098400.v4.1 MDSMPYQLHILFFPYMAHGHMIPTVDMARLFARRGVKATIVSTPLNAPLCSKTIERDRQLGLDISIHIIKFPSAEAGLPEGCENLSSIPSPDMLSNFLKAIGMLQQPLEQLLEECHPSCLVADMVFPWATEAANKLRIPRLFFSGTGFFPACVFDSLKRYEPHKGVDSDFEPFVVPGLPDQIKLTRLRLPAYIKERTENELTKLMDKISESMVRSYGVLTNSFLELEPAYSEHYRMEIKRKAWHIGPLSLCNRDMKDKAERGNVSSIDEHECMRWLAKKNPNSVLYICFGSFFNLSAAQLLEIAMALEASGQNFIWVVRERKQTKLAEKEEWLPEGFEKRMEGKGLIVSGWAPQVLILDHKAVGGFMTHCGWNSTLEGVTAGVPMVTWPLGAEQFCNEKLITDVLKIGIGVGAQEWSRYEKKIIVRKEDIEKAIIQLMVGEEAEEIRNRARVLKEMARRATEEGGSSYSDLTAFLEELRTLETSKQERAAH >Potri.011G117800.1.v4.1 pep chromosome:Pop_tri_v4:11:14893079:14894534:1 gene:Potri.011G117800.v4.1 transcript:Potri.011G117800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G117800.v4.1 MESQGSLCLLWALLACYLFSFSVAYNSFYVGGNDGWVINPSESYNHWAERNRFQVNDSLVFKYNKGSDSVLRVTKDDYNSCNTKKPLKTMDSGSSVFQFDKSGPFFFISGNEDNCRKGQKLIVAVLAVRTKQTPTPAYPPATSPKAPSPEGHNPAQAPSRSSAPIAKPPTSSHVPSVSPVSPSPIANAPSSNAPTGAPGPSPVTKTPQISPVPSKSPSPSPYAKPPAPAHSPESLTGSPGPSPVPLKSPSPLANTPSPSYHPVASPTPARSPSPSSPTPAKPPSSSTPSPTPESSSGPSLSPRSNEADLAPAPAPAASWAATPSTTMVIVASLLISSAISGWP >Potri.008G086800.1.v4.1 pep chromosome:Pop_tri_v4:8:5429232:5431585:1 gene:Potri.008G086800.v4.1 transcript:Potri.008G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G086800.v4.1 MKLNFSILIKLAIIQYLSVLCVSEDFGFFYFVQQWPGSYCDTKHSCCYPRTGKPVADFGIHGLWPQNEDGSYPQNCNRDNALDEDQISDLTSSLQKDWPSLSCPSSTGFRFWSHEWEKHGTCAESEEIDQHGYFEAALKLKEKANLLQALDNAGIKPDDEFYDLDSIKEAIKDATGFTPGIECNIDASKNSQLYQVFMCVDISGSEFIECPVLPKRRCASKVQFPKF >Potri.017G022262.1.v4.1 pep chromosome:Pop_tri_v4:17:1475468:1476084:1 gene:Potri.017G022262.v4.1 transcript:Potri.017G022262.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G022262.v4.1 MDFILLILESVSGLWDRALTRLREYLVGNPHDEQEKEWQKVLINTCFTSALQIALHFHQITDSKLDSLHLLCILVAIIFSCLFVSHFINPVKFPTTSKVLGKVAVFLAATALFITISIPFPPGVKWAAWIIYAISLLVIVICNFCY >Potri.006G134900.1.v4.1 pep chromosome:Pop_tri_v4:6:11103220:11103963:1 gene:Potri.006G134900.v4.1 transcript:Potri.006G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134900.v4.1 MASFKIFQSLLALVFMIISSAFLGTSIRLQIAGKDGIRNLISATCNHTLYFEMCVSALRSDPRSQTSDLVGLANIALNISIAHGSETLAFLKVLKSNAGNDTQLSGILSECTEEYIEGTENLEEAIHALRIRSFDDMNTLVSTAMTDSDTCEQGFKEMNRSSPLTDKNESFSKLCSIFLSITTLLS >Potri.006G020400.2.v4.1 pep chromosome:Pop_tri_v4:6:1365070:1366241:1 gene:Potri.006G020400.v4.1 transcript:Potri.006G020400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020400.v4.1 MQKLHEEQASLILEGRTADNEDEMMEVEAAVKAAMSVFNARGNSAATIDAAKSAAAAALVALKDQANLPVKLDEFGRDINLQKRMDMEKRAKARQRRKTRFDSKRLSYMEVDSSDQKIEGELSTDESESDSEKNAAYQSTRDLLLRTAEEIQ >Potri.006G020400.1.v4.1 pep chromosome:Pop_tri_v4:6:1365056:1366167:1 gene:Potri.006G020400.v4.1 transcript:Potri.006G020400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020400.v4.1 MQKLHEEQASLILEGRTADNEDEMMEVEAAVKAAMSVFNARGNSAATIDAAKSAAAAALVALKDQANLPVKLDEFGRDINLQKRMDMEKRAKARQRRKTRFDSKRLSYMEVDSSDQKIEGELSTDESESDSEKNAAYQSTRDLLLRTAEEIQ >Potri.003G142900.3.v4.1 pep chromosome:Pop_tri_v4:3:15835293:15838749:1 gene:Potri.003G142900.v4.1 transcript:Potri.003G142900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142900.v4.1 MGDGNNRSSNNNEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHTDMSLYLAFDYAEHDLYEIIRHHRDKVNNLIHQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQAPLKALSDNGVVVTIWYRAPELLLGAKHYTSAIDMWAVGCIFAELLTLKPLFQGAEAKSASNPFQLDQLDKIFKVLGHPTLEKWPTLASLPHWQNDVHRIQEHKYENTGLHSVVPLSPKGAPFDLLSKMLEYDPQKRITAAQALEHDYFRSEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGIPGAHGVNNRSAPRPMPIGLQRMQTQGMTAYNLASQAGMGGGMNPGNIPMPRGVAQPHQQHQLRRKDPPGTGTGYPPQQKSRR >Potri.003G142900.2.v4.1 pep chromosome:Pop_tri_v4:3:15835220:15838723:1 gene:Potri.003G142900.v4.1 transcript:Potri.003G142900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142900.v4.1 MGDGNNRSSNNNEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHTDMSLYLAFDYAEHDLYEIIRHHRDKVNNLIHQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQAPLKALSDNGVVVTIWYRAPELLLGAKHYTSAIDMWAVGCIFAELLTLKPLFQGAEAKSASNPFQLDQLDKIFKVLGHPTLEKWPTLASLPHWQNDVHRIQEHKYENTGLHSVVPLSPKGAPFDLLSKMLEYDPQKRITAAQALEHDYFRSEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGIPGAHGVNNRSAPRPMPIGLQRMQTQGMTAYNLASQAGMGGGMNPGNIPMPRGVAQPHQQHQLRRKDPPGTGTGYPPQQKSRR >Potri.003G142900.4.v4.1 pep chromosome:Pop_tri_v4:3:15835293:15838710:1 gene:Potri.003G142900.v4.1 transcript:Potri.003G142900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142900.v4.1 MGDGNNRSSNNNEKPEWLQQYNLIGKIGEGTYGLVFLAKTKSPANRGKSIAIKKFKQSKDGDGVSPTAIREIMLLREITHENVVKLVNVHINHTDMSLYLAFDYAEHDLYEIIRHHRDKVNNLIHQYTVKSLLWQLLNGLNYLHSNWIIHRDLKPSNILVMGEGEEHGVVKIADFGLARIYQAPLKALSDNGVVVTIWYRAPELLLGAKHYTSAIDMWAVGCIFAELLTLKPLFQGAEAKSASNPFQLDQLDKIFKVLGHPTLEKWPTLASLPHWQNDVHRIQEHKYENTGLHSVVPLSPKGAPFDLLSKMLEYDPQKRITAAQALEHDYFRSEPLPGRNALVPSQPGEKVINYPTRPVDTNTDFEGTTSLQPPQPVSSGNAVSGGIPGAHGVNNRSAPRPMPIGLQRMQTQGMTAYNLASQAGMGGGMNPGNIPMPRGVAQPHQQHQLRRKDPPGTGTGYPPQQKSRR >Potri.017G026600.6.v4.1 pep chromosome:Pop_tri_v4:17:1763766:1774077:1 gene:Potri.017G026600.v4.1 transcript:Potri.017G026600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026600.v4.1 MAPPPLKSNKAGTLKSETDQQNSAEVKESNNLWVGNISREVADSDLMELFAQFGALDSVTTYSARSYAFVYFKHVEDAKQAKDALQGSSLRGNQIKIEFARPAKPSKYLWVGGISSSVSEERLEEEFLKFGKIEDFKFLRDRKIAYVEYLKLEDAFEAMKNMNGKKIGGDQIRVDFLRSQSTRREQLPDFLDSREDQFSATHYGVRRPQLPQSLGGRKDGQPSNILWVGYPPSVRIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKEYSSFYPGVKGPRPEMFNEHPFTPMDVMFDQPGGPGNFGSPFPPSGIHRPNLPVRPFGPQGVFDTLLQGGEFNDLAPSHSTRDPASGILPSPASGIRPSMRSVSSGWDVLDPSQFPREAKRSRIDAAPSIDDDSFPARKMDDRDLGLDKSYGLGPRGAYPSLQGNSSLSPVGGRFKGHFDNDFIWRGIVAKGGTPVCHARCVPVGKGIESEIPHVINCSARTGLDMLAKHYAEAIGFDIVFFLPDSEEDFASYTEFLRYLGLKNRAGVAKFDDGTTLFLVPPSDFLKNVLKVAGPERLYGVVLKLPQQVPSNTSIQEQLPQPIHFSQYTDNQIPPPEADYNQLRQGEERGMPIHHNRFLHEDSKLPPKSFYPSTTESIAVPPVPQEYAPNLSAGPSTAGVLTPELIATLATFLPTNKQSSSSESNQPALGSSIVRPQFSSVAPDRGISSQGWKHDNQVSGNASHLQMGNQFNSQVQVQSQFQPYPSVPNTYSHSATVVPSNSQIQDSTASLSHQSVTSSRPLTNFSMPSQSGQFALSPQVQGANYSQTQSGIPPSADRGNWELPNQVQQFQPALSGSGQGTSEVEADKNQRYQSTLQFAANLLLQIQQQQQQQKTATNPAAHGSGNQQ >Potri.017G026600.7.v4.1 pep chromosome:Pop_tri_v4:17:1763763:1771204:1 gene:Potri.017G026600.v4.1 transcript:Potri.017G026600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026600.v4.1 MAPPPLKSNKAGTLKSETDQQNSAEVKESNNLWVGNISREVADSDLMELFAQFGALDSVTTYSARSYAFVYFKHVEDAKQAKDALQGSSLRGNQIKIEFARPAKPSKYLWVGGISSSVSEERLEEEFLKFGKIEDFKFLRDRKIAYVEYLKLEDAFEAMKNMNGKKIGGDQIRVDFLRSQSTRREQLPDFLDSREDQFSATHYGVRRPQLPQSLGGRKDGQPSNILWVGYPPSVRIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKEYSSFYPGVKGPRPEMFNEHPFTPMDVMFDQPGGPGNFGSPFPPSGIHRPNLPVRPFGPQGVFDTLLQGGEFNDLAPSHSTRDPASGILPSPASGIRPSMRSVSSGWDVLDPSQFPREAKRSRIDAAPSIDDDSFPARKMDDRDLGLDKSYGLGPRGAYPSLQGNSSLSPVGGRFKGHFDNDFIWRGIVAKGGTPVCHARCVPVGKGIESEIPHVINCSARTGLDMLAKHYAEAIGFDIVFFLPDSEEDFASYTEFLRYLGLKNRAGVAKFDDGTTLFLVPPSDFLKNVLKVAGPERLYGVVLKLPQQVPSNTSIQEQLPQPIHFSQYTDNQIPPPEADYNQLRQGEERGMPIHHNRFLHEDSKLPPKSFYPSTTESIAVPPVPQEYAPNLSAGPSTAGVLTPELIATLATFLPTNKQSSSSESNQPALGSSIVRPQFSSVAPDRGISSQGWKHDNQVSGNASHLQMGNQFNSQVQVQSQFQPYPSVPNTYSHSATVVPSNSQIQDSTASLSHQSVTSSRPLTNFSMPSQSGQFALSPQVQGANYSQTQSGIPPSADRGNWELPNQVQQFQPALSGSGQGTSEVEADKNQRYQSTLQFAANLLLQIQQQQQQQKTATNPAAHGSGNQQ >Potri.017G026600.5.v4.1 pep chromosome:Pop_tri_v4:17:1763768:1775143:1 gene:Potri.017G026600.v4.1 transcript:Potri.017G026600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026600.v4.1 MAPPPLKSNKAGTLKSETDQQNSAEVKESNNLWVGNISREVADSDLMELFAQFGALDSVTTYSARSYAFVYFKHVEDAKQAKDALQGSSLRGNQIKIEFARPAKPSKYLWVGGISSSVSEERLEEEFLKFGKIEDFKFLRDRKIAYVEYLKLEDAFEAMKNMNGKKIGGDQIRVDFLRSQSTRREQLPDFLDSREDQFSATHYGVRRPQLPQSLGGRKDGQPSNILWVGYPPSVRIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKEYSSFYPGVKGPRPEMFNEHPFTPMDVMFDQPGGPGNFGSPFPPSGIHRPNLPVRPFGPQGVFDTLLQGGEFNDLAPSHSTRDPASGILPSPASGIRPSMRSVSSGWDVLDPSQFPREAKRSRIDAAPSIDDDSFPARKMDDRDLGLDKSYGLGPRGAYPSLQGNSSLSPVGGRFKGHFDNDFIWRGIVAKGGTPVCHARCVPVGKGIESEIPHVINCSARTGLDMLAKHYAEAIGFDIVFFLPDSEEDFASYTEFLRYLGLKNRAGVAKFDDGTTLFLVPPSDFLKNVLKVAGPERLYGVVLKLPQQVPSNTSIQEQLPQPIHFSQYTDNQIPPPEADYNQLRQGEERGMPIHHNRFLHEDSKLPPKSFYPSTTESIAVPPVPQEYAPNLSAGPSTAGVLTPELIATLATFLPTNKQSSSSESNQPALGSSIVRPQFSSVAPDRGISSQGWKHDNQVSGNASHLQMGNQFNSQVQVQSQFQPYPSVPNTYSHSATVVPSNSQIQDSTASLSHQSVTSSRPLTNFSMPSQSGQFALSPQVQGANYSQTQSGIPPSADRGNWELPNQVQQFQPALSGSGQGTSEVEADKNQRYQSTLQFAANLLLQIQQQQQQQKTATNPAAHGSGNQQ >Potri.017G026600.1.v4.1 pep chromosome:Pop_tri_v4:17:1763786:1770162:1 gene:Potri.017G026600.v4.1 transcript:Potri.017G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G026600.v4.1 MAPPPLKSNKAGTLKSETDQQNSAEVKESNNLWVGNISREVADSDLMELFAQFGALDSVTTYSARSYAFVYFKHVEDAKQAKDALQGSSLRGNQIKIEFARPAKPSKYLWVGGISSSVSEERLEEEFLKFGKIEDFKFLRDRKIAYVEYLKLEDAFEAMKNMNGKKIGGDQIRVDFLRSQSTRREQLPDFLDSREDQFSATHYGVRRPQVLPQSLGGRKDGQPSNILWVGYPPSVRIDEQMLHNAMILFGEIERIKSFPSRHYSFVEFRSVDEARRAKEGLQGRLFNDPRITIMFSSSGLAPGKEYSSFYPGVKGPRPEMFNEHPFTPMDVMFDQPGGPGNFGSPFPPSGIHRPNLPVRPFGPQGVFDTLLQGGEFNDLAPSHSTRDPASGILPSPASGIRPSMRSVSSGWDVLDPSQFPREAKRSRIDAAPSIDDDSFPARKMDDRDLGLDKSYGLGPRGAYPSLQGNSSLSPVGGRFKGHFDNDFIWRGIVAKGGTPVCHARCVPVGKGIESEIPHVINCSARTGLDMLAKHYAEAIGFDIVFFLPDSEEDFASYTEFLRYLGLKNRAGVAKFDDGTTLFLVPPSDFLKNVLKVAGPERLYGVVLKLPQQVPSNTSIQEQLPQPIHFSQYTDNQIPPPEADYNQLRQGEERGMPIHHNRFLHEDSKLPPKSFYPSTTESIAVPPVPQEYAPNLSAGPSTAGVLTPELIATLATFLPTNKQSSSSESNQPALGSSIVRPQFSSVAPDRGISSQGWKHDNQVSGNASHLQMGNQFNSQVQVQSQFQPYPSVPNTYSHSATVVPSNSQIQDSTASLSHQSVTSSRPLTNFSMPSQSGQFALSPQVQGANYSQTQSGIPPSADRGNWELPNQVQQFQPALSGSGQGTSEVEADKNQRYQSTLQFAANLLLQIQQQQQQQKTATNPAAHGSGNQQ >Potri.002G180500.1.v4.1 pep chromosome:Pop_tri_v4:2:14226032:14228125:-1 gene:Potri.002G180500.v4.1 transcript:Potri.002G180500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G180500.v4.1 MASSIENHVSQVDEAKDENFGYAMQLALSSVLPMTLHTAIQLGIFEIIAKAGPDVKLSAADIAAKLPTDNPDTPKMVDRILRLLASHQVLCCFVDGSERFYSLAPVSMYFVRNQNGVSLAPFMALNHENVILQSWSQLKDAVLEGGVAFHRVHGVHAFEYNGLDPRFNQVFNTAMYNQTTVVNGNMLEKYNGFKNLKQLVDIGGGLGHTMKAVTSKYPQIKGINFDLPHVIEHAPAYPGVEHVGGDMFESVPKGDAIFLKWILHNWSDDHCLKLLKNCYKAIPEDGKVIVMESVLPITAKTSPAAKAISQLDVLMMMSQNPGGKERTEDEFMALATAAGFRGIKFETFVCNFWVMEFFK >Potri.005G219500.1.v4.1 pep chromosome:Pop_tri_v4:5:22203278:22206051:1 gene:Potri.005G219500.v4.1 transcript:Potri.005G219500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219500.v4.1 MEGVEEANRAAVESCHRVISLLSQPQDQVQYRNLMVETGEAVFRFKKVVSLLNTGLGHARVRKLKKLPTPLSQSILLDNPLSSTDHPSKTPQFLQSSSYLESQPIQELGSIAKNCLSLGTPSLELSSNGKNPLQLGQPTPAAHYQFLQQQQLHRLQLQQQQQMKQQAEMMFRKSNSGISLNFDSSSCTPTMSSTRSFISSLSIDGNVANLEGSAFHLTGAARSSDQSSQQHKRKCSGRGEDGSMKCGSSVRCHCSKKRKHRVKRSIKVPAISNKLADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSMRGCPARKHVERCLEDPSMLIVTYEGEHNHPRIPAQSTNT >Potri.014G106100.2.v4.1 pep chromosome:Pop_tri_v4:14:7114725:7115543:1 gene:Potri.014G106100.v4.1 transcript:Potri.014G106100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106100.v4.1 MAKEHQRKENNPHLLTTKEKGAGHKNPRHALFTFITTFLLLAGLAVLIVWLIYRPHKPRFTVVGAAVYDLNTTSPPFISTSMQFTIFTRNPNTRVSIMYDKLTAYVSYRNQAITPPLLLPPLYQEKKSTVVMSPVLVGAGVPVSVEVSDGLVRDEAYGVVALRVVLLGRLRWKAGGIKTGRYGVYVKCDIVVGLKKGFVGPVPLLGSPQCKVDI >Potri.014G106100.3.v4.1 pep chromosome:Pop_tri_v4:14:7114747:7115545:1 gene:Potri.014G106100.v4.1 transcript:Potri.014G106100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G106100.v4.1 MQFTIFTRNPNTRVSIMYDKLTAYVSYRNQAITPPLLLPPLYQEKKSTVVMSPVLVGAGVPVSVEVSDGLVRDEAYGVVALRVVLLGRLRWKAGGIKTGRYGVYVKCDIVVGLKKGFVGPVPLLGSPQCKVDI >Potri.014G135520.9.v4.1 pep chromosome:Pop_tri_v4:14:9110758:9115289:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKGAAVYSSELLLNGIVIQKLEYESALGN >Potri.014G135520.3.v4.1 pep chromosome:Pop_tri_v4:14:9110758:9115289:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKGAAVYSSELLLNGIVIQKLEYERHRLFVNEAKGNRVSKR >Potri.014G135520.11.v4.1 pep chromosome:Pop_tri_v4:14:9110758:9115289:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQMIY >Potri.014G135520.4.v4.1 pep chromosome:Pop_tri_v4:14:9110757:9115290:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKGAAVYSSELLLNGIVIQKLEYERSELVHRLARISNYIV >Potri.014G135520.2.v4.1 pep chromosome:Pop_tri_v4:14:9110757:9115290:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGAGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKGAAVYSSELLLNGIVIQKLEYERSELVHRLARISNYIV >Potri.014G135520.8.v4.1 pep chromosome:Pop_tri_v4:14:9110758:9115289:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKGAAVYSSELLLNGIVIQKLEYESALGN >Potri.014G135520.7.v4.1 pep chromosome:Pop_tri_v4:14:9110757:9114880:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKAVYSSELLLNGIVIQKLEYERSELVHRLARISNYIV >Potri.014G135520.13.v4.1 pep chromosome:Pop_tri_v4:14:9110758:9115289:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKVFL >Potri.014G135520.5.v4.1 pep chromosome:Pop_tri_v4:14:9110758:9115289:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKGAAVYSSELLLNGIVIQKLEYERSELVHRLARISNYIV >Potri.014G135520.1.v4.1 pep chromosome:Pop_tri_v4:14:9110757:9115290:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGAGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKGAAVYSSELLLNGIVIQKLEYERHRLFVNEAKGNRVSKR >Potri.014G135520.6.v4.1 pep chromosome:Pop_tri_v4:14:9110757:9114880:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGAGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKAVYSSELLLNGIVIQKLEYERSELVHRLARISNYIV >Potri.014G135520.12.v4.1 pep chromosome:Pop_tri_v4:14:9110895:9114907:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKGQRVFITPNIKPEKEMITSLVNALHGQV >Potri.014G135520.10.v4.1 pep chromosome:Pop_tri_v4:14:9110931:9115275:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MEANQGQLQQFQDTVTFDDDTAVLDSPLVETQVEKLGFYTQVLGDSVENAKHELVSQVIPDSEDEEIHGDQLENAADGVSDVETGTRIKGNGVVGLQMRQPSPRFQWLKDFAEDFVSDGSAGEDKGALINAEDTDEKTDCPRLHTCDQEFARLNYVDSEEPGESSQASALGYVDHFLSDNDVNFSPRTELRNTVRKKSPPVLSAKGCRNLAKSIKTRTPICKHKTFGGVDFFSKRMDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKIMEKSQIFALKIPDDLLILSCEEDHAICVPLLDKGAAVYSSELLLNGIVIQKLEYERHRLFVNEAKGNRVSKR >Potri.014G135520.14.v4.1 pep chromosome:Pop_tri_v4:14:9111942:9115213:1 gene:Potri.014G135520.v4.1 transcript:Potri.014G135520.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135520.v4.1 MDESFDCGGCQQRYVPRHQKAGYIDGKGGCRSDNDSEENYEDLHKKVTSSPHTDSRVAGHSVKETYRTGQEYEFISENTSDNKPMEQFFDLASGHELEICSDERNTSDTLDIGCNTQIAAEAMEALFYGPPADSSAGEAFQDPNDPLVDSSKDVTNSKVHLKELSYEKGVLCSLEDITRVPKQRKVYARKGASVSSWKQPSHQELHRDLSETTKRKRSKPLVGELTGRSFIYATKSSATTSRKTIDQRKDEEPARRNKIKECDNYGSLSASVESISPGKQQILQDPFASQDSHPTLGAKFKRTNGGSANPGVRTDDFMEGSIITYKRKRSHLVAKPSKISTTTGRCPKFCFNTSEGGRINGLSQEKLASMEVSTSNSSLKLNAWSYPKGKRTRRGLPSHLNIATSQYTPFTIADGKDHPRKPLNINLPRSSPMKKLIRLGNPKSLPGSRWKDLRKRRDTAYLGVLFSQHLGDDIIRQQKKILARLGISVASSLADATHFVVDRFVRTRNMLEAIALGKPVVTHLWLESCGQASLLIDEKNFILRDAKKEKDIGFSLPVSLARANQQPLLKVFL >Potri.010G077100.1.v4.1 pep chromosome:Pop_tri_v4:10:10422087:10428455:-1 gene:Potri.010G077100.v4.1 transcript:Potri.010G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G077100.v4.1 MALVPSRQLYIDSFQSSFSKFHGTPLQFFRYSSSFPLRSHSGYACSITDKNPSTKSTSFPTDKSKTLNLSTGSSWFFNWNKPNKQNLPRTPQAVFDYRSNNSNSSGSGSTMEKIVEKLKKHGYMDGDVNENKERMQERVIEKGSVEDIFYVEEGMLPNARGGFSKESPLGVEDVFRSDGEVRFPWEKPKKEEDEGKWTARSKSRTSLAELTLPESELRRLRNLTYGTKSKTRVGGRGVTQEVVDAIHDKWKTSEIARVKVEGAPALNMKRMHEILENKTGGLVIWRSGATVSLYRGVSYEDPALKWKKRIFKKKETSSNSLPAATSITIGSQSKNSPDNEIHAPRPKTEINVEAANQKETKTQTDVKYEDEVDKLLDGLGPRYTDWPGLDPLPVDADMLPGVIPGYQPPFRILPYGVRPTLGRQDSTSLRRLARVLPPHFAVGRSRQLQGLAVAMIKLWEKSSIVKVALKRGVQLTTSERMAEDIKKLTGGLLLSRNKDFLVFYRGKDFLSPEVSEALLERERLAKSLQDEEEQARLRASALVIPSDEIMEESGIAGSLEETLDADAKWGKRLDDCHKEKIIREAEIVRHASIVRRLEKKLAFAQRKLRRAERTLNKVEGFLKPSERQADPESITDEERFMFRKLGLRMKAFLLLGRRGVFDGTVENMHLHWKYRELVKIILKAKSFEQVKKIALALEAESGGVLVSVDKISKGYAIIVYRGKDYQRPSMLRPKNLLTKRKALARSIEIQRSEALQNHVSALEIKVEKIRSEIEQMGFVKDKGDEELYDRLDSAYLTDDDADDTEDEGDEAYLETYNSENDVDYDDETDSTVHNAHLDTNLLNNVQIQESETEPEDYYGDEVYLGTYDSESDDGGDDSETVNNLDSESPYKFSM >Potri.001G001300.1.v4.1 pep chromosome:Pop_tri_v4:1:106579:109375:-1 gene:Potri.001G001300.v4.1 transcript:Potri.001G001300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001300.v4.1 MGEEGDNSNNSSSSSSNSSSWEVMEDLQRTVKESKDSAIRSALSFQQSSSSHLRSFQDHVPEAISKFNSYENTFFSKVKEELLTAKDHPAAAIGLTLTAGLFLMRGPRRFLFRNTLGRFQSEEAQFLRAEKNVKEFSFSVDLMKKESRKLLERASLAEKEMKNGHTELLDTGIQIQRLAKSVYKVETKTADLMDGLREIPGRDALKLRAEVASMTSLLKQQRAVLDKRIMKISELGIPV >Potri.010G082000.2.v4.1 pep chromosome:Pop_tri_v4:10:10960493:10962914:-1 gene:Potri.010G082000.v4.1 transcript:Potri.010G082000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082000.v4.1 MNRRGRAIKEEYAGGSSSTYSSGMASTAIPQPMEGLHDPGPPPFLTKTYDIIEDSSTNHIISWSRGNNSFVVWDPQAFSISLLPRYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGKKHLLKTVRRRKAPQTQTSQQALEDCVEVGTFRLDGEVDRLSRDKQVLMVELVKLRQQQQTTRACLQLMEQRVKRNENKQQHMMSFLARAMQNPTFVQQLVQQKDMMKELEEEISKKKRRPIDQGRNNVEVGEFGHGEGVGTFVKIEPREFGGFSEFEVPEFVNLAMNMQELNENQLINLDEECIEKGEEYENNGKDFDEAFWEDLLNEDIGEQGEDEEDVNVLVEQLGYLGYSPK >Potri.005G187700.2.v4.1 pep chromosome:Pop_tri_v4:5:19527636:19532166:-1 gene:Potri.005G187700.v4.1 transcript:Potri.005G187700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G187700.v4.1 MGRCWFDAVFIVVISFWLLVSDDVDGYPAEDLVLNLPGQPKVGFRQHAGYVDVDVRNVRSLFYYFLEAEKDPDQKPLALWLNGDPSCSSIGGGAFTKLGPFFPRGDGRDLRRNLMSWNRAFNLLFVESLAGVGWSYSNTSSDYTIGDAKTAKDMHMFFLKLYDKFPEFKSRELFLTGESYAEHCIPQLAEVPLDHNAQSTDFKFNIKGVAIGNPLLRLNRDVLAKFKIFWSDGMISDEIRLKIMNECDFYDYTFASPHNVTDTCNNAISQSNSIIGHYINKYDMILDVCYPSIVNQELRLRKMATKMSVGVDVCMTYERRFYFNLLEVQKALYANRTKLLSPWFMCSNVLHYSDTNGNINILPILKKDHSKSYSSLGFQSRYAQADPDIHVNKKKKFYCTPAGLPNTRQRTCSRCRLQDHNLTRNLVSQRPVRATAYMVPYAQPSRALHLFSSFVRGRRLLNTTHIPMDD >Potri.006G122300.2.v4.1 pep chromosome:Pop_tri_v4:6:9720978:9725097:-1 gene:Potri.006G122300.v4.1 transcript:Potri.006G122300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122300.v4.1 MLRKIMKGGHRKPSKSDDNDFGFGPPPNSGSGPANNVVVNHSSRAAPGPPSPRYGPTVITPPPMNTVEPLPFFRDVPVSERPNLFLRKLQLCCFHFDFTDTLKSMREKEIKRQTLLELVDFIQSGSGKITENCQEEMIKMVSVNIFRCLPPHENTGQEPTDPEEEEPYLEPSWPHLQLVYELLLRYVVMSDTDTKVAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKQISVYHHQLSYCIIQFVEKDYKLADTVIRGFLKYWPVTNCQKEVLFLGELEEVLEATQSAEFQRCMVPLFRQIARCLTSSHFQVAERALFLWNNEHIVDLIAQNRTVVLPIIFEALEKNIQSHWNQAVHGLSVNIRKMFLDMDTELFEVCQRQYAEKESRARDVEEQRELTWKRLADVAAQRGGEDMITV >Potri.006G122300.3.v4.1 pep chromosome:Pop_tri_v4:6:9720948:9726146:-1 gene:Potri.006G122300.v4.1 transcript:Potri.006G122300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122300.v4.1 MLRKIMKGGHRKPSKSDDNDFGFGPPPNSGSGPANNVVVNHSSRAAPGPPSPRYGPTVITPPPMNTVEPLPFFRDVPVSERPNLFLRKLQLCCFHFDFTDTLKSMREKEIKRQTLLELVDFIQSGSGKITENCQEEMIKMVSVNIFRCLPPHENTGQEPTDPEEEEPYLEPSWPHLQLVYELLLRYVVMSDTDTKVAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKQISVYHHQLSYCIIQFVEKDYKLADTVIRGFLKYWPVTNCQKEVLFLGELEEVLEATQSAEFQRCMVPLFRQIARCLTSSHFQVAERALFLWNNEHIVDLIAQNRTVVLPIIFEALEKNIQSHWNQAVHGLSVNIRKMFLDMDTELFEVCQRQYAEKESRARDVEEQRELTWKRLADVAAQRGGEDMITV >Potri.006G122300.4.v4.1 pep chromosome:Pop_tri_v4:6:9722073:9725067:-1 gene:Potri.006G122300.v4.1 transcript:Potri.006G122300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122300.v4.1 MLRKIMKGGHRKPSKSDDNDFGFGPPPNSGSGPANNVVVNHSSRAAPGPPSPRYGPTVITPPPMNTVEPLPFFRDVPVSERPNLFLRKLQLCCFHFDFTDTLKSMREKEIKRQTLLELVDFIQSGSGKITENCQEEMIKMVSVNIFRCLPPHENTGQEPTDPEEEEPYLEPSWPHLQLVYELLLRYVVMSDTDTKVAKRYVDHSFVLKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIYETERHSGIGELLEILGSIINGFALPMKEEHKLFLVRALIPLHKPKQISVYHHQLSYCIIQFVEKDYKLADTVIRGFLKYWPVTNCQKEVLFLGELEEVLEATQSAEFQRCMVPLFRQIARCLTSSHFQVCDCYNLLSS >Potri.003G184200.1.v4.1 pep chromosome:Pop_tri_v4:3:18900545:18902156:1 gene:Potri.003G184200.v4.1 transcript:Potri.003G184200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184200.v4.1 MKRKAKHIEEEESMKYNNNNGGVAWSRGPLIGKGGFGSVYLASLKNPKSRNGYYPPVMAVKSAEVSASCSLQKEKEVFNCLNGCPFIIKCFGEETTSNKDGEMFYNVLLEYASGGNLACLIKKSDGVGLPELDVKRYTRSILEGICYIHSRGYVHCDLKPENILLVSNRAKAGEFVAKIGDFGLAKKSEKRNKKMKIDPYLRGTALYMAPETVANHVQESPCDIWALGCVVLEMLTGKPAWDLKPHVTTEELLRKIGDGYELPKIPSQISKDAKDFLKRCFVANPMFRFTAEMLLDEPFMSGVDLDGVEFVETLDAESVEWTVTLCGTDDEFSDPSLYEEWSFTSDEGSCFSPWSDDEEGITSDVDAMKHASRVEANAVQYPATCTIPAGA >Potri.014G135590.1.v4.1 pep chromosome:Pop_tri_v4:14:9156478:9160747:-1 gene:Potri.014G135590.v4.1 transcript:Potri.014G135590.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135590.v4.1 MMIRIRSRDGLERVSIDNPNITISQFKTLIQNQLQIPIHNQTLSTNQNLLLAKSPPDLLQFTDMSNPSTLLSSLNISHGSIIFLAYEGHRTIAGPAVHPAGSFGRKMTMDDLIAKQMRVGRQENPHCDSVSFDRDCANAFQHYVNETLAFAVKRGGFMYGTVSEEGKVEVNFIYELPQQGTEEILMLLRDSDEEKIVDAIAAGLGMRRVGFIFNQTIMHDKKDYTLSNREVLQAAELHAESELKEWVTAVVKLEVNEDGGADVHFEAFQMSDMCIRLFKEGWFETEIGEDVDPKLSIMKKDVVVGSKDVKEVDNDFFLVVIKILDHLGPLSTTFPIENRITQVTMRALRSHLDRAKNLPFVKRISDFHLLLFLAKFLDLNSDVPALAECVLTQTAVPEGYQLLIESMANTS >Potri.002G149600.1.v4.1 pep chromosome:Pop_tri_v4:2:11279651:11280535:-1 gene:Potri.002G149600.v4.1 transcript:Potri.002G149600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G149600.v4.1 MSASTCSKLSPCSPLYIAFSNQQPSKGHSLRYHRLNRKRRLTKQTKEKKMAVLVKKEMEIKNLKLYMENKSIIEENEKLRKKALLLHQENQALSYLLQKKSSNTLHDHLAPNN >Potri.004G128921.1.v4.1 pep chromosome:Pop_tri_v4:4:14066479:14067714:1 gene:Potri.004G128921.v4.1 transcript:Potri.004G128921.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128921.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQKRIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMQKLDRVLVNEKWNLKFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRHNRNKLLSLTREDGEVVEGHEAVKIRSNCILPSCVRS >Potri.006G239000.8.v4.1 pep chromosome:Pop_tri_v4:6:24103245:24107172:-1 gene:Potri.006G239000.v4.1 transcript:Potri.006G239000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239000.v4.1 MGNEFRYPFTIEIWKLLWFIGLAVAVVLTFQHFELPYGNIISSLSSARKDLGAGNSNLLTHAASSTPEMVSNTTQSNGLNTTAISPDRAQETDNSHGTETPANVNNDVVPERSRGLNESSLIDSRGKESSPEQLVDTNTNSTSYVHNGVVSEGISGLNKSSGIDNHGKESKPEQLVMEPVNSLGNGSAPQETERSLSREDVTSISENIGASDARIAPIAPELLPVDSPPNITLQMNAEPSTIAHIVPIESNTSKVDKDAAPSLENDGKTGDQKKDLTLLHNNPSVTSFPEVKKEPQTPSLEVVSISEMKNLQLQRWSSPNSRRPRWPSVVDQELLNAKSQIQNAPIVENDPVLYAPLYWNISMFKKSYELMEDILKVYIYKEGEMPIFHQPLLNGIYASEGWFMKLLEGNKKFVTKDSKKAHLFYLPFSSRYLEIRLYVPNSHSHKNLIEYLKKYLDMISEKYPFWNRTQGADHFLAACHDWAPSETRQHMANCIRALCNSDAKEDFVYGKDASLPETYVLTQENPLRDLGGNRASKRSILAFFAGSMHGYLRPILLQHWENKDPDMKIFGRLPKVKGRGKMNYARYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFLEVLNWESFAVFVLEKDIPNLKKILLSIPAKKYRRMQMRVKRVQQHFLWHARPVKYDVFHMILHSIWYNRVFQMQPR >Potri.006G239000.6.v4.1 pep chromosome:Pop_tri_v4:6:24103244:24107242:-1 gene:Potri.006G239000.v4.1 transcript:Potri.006G239000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239000.v4.1 MGNEFRYPFTIEIWKLLWFIGLAVAVVLTFQHFELPYGNIISSLSSARKDLGAGNSNLLTHAASSTPEMVSNTTQSNGLNTTAISPDRAQETDNSHGTETPANVNNDVVPERSRGLNESSLIDSRGKESSPEQLVDTNTNSTSYVHNGVVSEGISGLNKSSGIDNHGKESKPEQLVMEPVNSLGNGSAPQETERSLSREDVTSISENIGASDARIAPIAPELLPVDSPPNITLQMNAEPSTIAHIVPIESNTSKVDKDAAPSLENDGKTGDQKKDLTLLHNNPSVTSFPEVKKEPQTPSLEVVSISEMKNLQLQRWSSPNSRRPRWPSVVDQELLNAKSQIQNAPIVENDPVLYAPLYWNISMFKKSYELMEDILKVYIYKEGEMPIFHQPLLNGIYASEGWFMKLLEGNKKFVTKDSKKAHLFYLPFSSRYLEIRLYVPNSHSHKNLIEYLKKYLDMISEKYPFWNRTQGADHFLAACHDWAPSETRQHMANCIRALCNSDAKEDFVYGKDASLPETYVLTQENPLRDLGGNRASKRSILAFFAGSMHGYLRPILLQHWENKDPDMKIFGRLPKVKGRGKMNYARYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFLEVLNWESFAVFVLEKDIPNLKKILLSIPAKKYRRMQMRVKRVQQHFLWHARPVKYDVFHMILHSIWYNRVFQMQPR >Potri.006G239000.5.v4.1 pep chromosome:Pop_tri_v4:6:24103245:24107144:-1 gene:Potri.006G239000.v4.1 transcript:Potri.006G239000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239000.v4.1 MGNEFRYPFTIEIWKLLWFIGLAVAVVLTFQHFELPYGNIISSLSSARKDLGAGNSNLLTHAASSTPEMVSNTTQSNGLNTTAISPDRAQETDNSHGTETPANVNNDVVPERSRGLNESSLIDSRGKESSPEQLVDTNTNSTSYVHNGVVSEGISGLNKSSGIDNHGKESKPEQLVMEPVNSLGNGSAPQETERSLSREDVTSISENIGASDARIAPIAPELLPVDSPPNITLQMNAEPSTIAHIVPIESNTSKVDKDAAPSLENDGKTGDQKKDLTLLHNNPSVTSFPEVKKEPQTPSLEVVSISEMKNLQLQRWSSPNSRRPRWPSVVDQELLNAKSQIQNAPIVENDPVLYAPLYWNISMFKKSYELMEDILKVYIYKEGEMPIFHQPLLNGIYASEGWFMKLLEGNKKFVTKDSKKAHLFYLPFSSRYLEIRLYVPNSHSHKNLIEYLKKYLDMISEKYPFWNRTQGADHFLAACHDWAPSETRQHMANCIRALCNSDAKEDFVYGKDASLPETYVLTQENPLRDLGGNRASKRSILAFFAGSMHGYLRPILLQHWENKDPDMKIFGRLPKVKGRGKMNYARYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFLEVLNWESFAVFVLEKDIPNLKKILLSIPAKKYRRMQMRVKRVQQHFLWHARPVKYDVFHMILHSIWYNRVFQMQPR >Potri.006G239000.7.v4.1 pep chromosome:Pop_tri_v4:6:24103244:24107172:-1 gene:Potri.006G239000.v4.1 transcript:Potri.006G239000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239000.v4.1 MGNEFRYPFTIEIWKLLWFIGLAVAVVLTFQHFELPYGNIISSLSSARKDLGAGNSNLLTHAASSTPEMVSNTTQSNGLNTTAISPDRAQETDNSHGTETPANVNNDVVPERSRGLNESSLIDSRGKESSPEQLVDTNTNSTSYVHNGVVSEGISGLNKSSGIDNHGKESKPEQLVMEPVNSLGNGSAPQETERSLSREDVTSISENIGASDARIAPIAPELLPVDSPPNITLQMNAEPSTIAHIVPIESNTSKVDKDAAPSLENDGKTGDQKKDLTLLHNNPSVTSFPEVKKEPQTPSLEVVSISEMKNLQLQRWSSPNSRRPRWPSVVDQELLNAKSQIQNAPIVENDPVLYAPLYWNISMFKKSYELMEDILKVYIYKEGEMPIFHQPLLNGIYASEGWFMKLLEGNKKFVTKDSKKAHLFYLPFSSRYLEIRLYVPNSHSHKNLIEYLKKYLDMISEKYPFWNRTQGADHFLAACHDWAPSETRQHMANCIRALCNSDAKEDFVYGKDASLPETYVLTQENPLRDLGGNRASKRSILAFFAGSMHGYLRPILLQHWENKDPDMKIFGRLPKVKGRGKMNYARYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFLEVLNWESFAVFVLEKDIPNLKKILLSIPAKKYRRMQMRVKRVQQHFLWHARPVKYDVFHMILHSIWYNRVFQMQPR >Potri.006G239000.4.v4.1 pep chromosome:Pop_tri_v4:6:24103250:24107584:-1 gene:Potri.006G239000.v4.1 transcript:Potri.006G239000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239000.v4.1 MGNEFRYPFTIEIWKLLWFIGLAVAVVLTFQHFELPYGNIISSLSSARKDLGAGNSNLLTHAASSTPEMVSNTTQSNGLNTTAISPDRAQETDNSHGTETPANVNNDVVPERSRGLNESSLIDSRGKESSPEQLVDTNTNSTSYVHNGVVSEGISGLNKSSGIDNHGKESKPEQLVMEPVNSLGNGSAPQETERSLSREDVTSISENIGASDARIAPIAPELLPVDSPPNITLQMNAEPSTIAHIVPIESNTSKVDKDAAPSLENDGKTGDQKKDLTLLHNNPSVTSFPEVKKEPQTPSLEVVSISEMKNLQLQRWSSPNSRRPRWPSVVDQELLNAKSQIQNAPIVENDPVLYAPLYWNISMFKKSYELMEDILKVYIYKEGEMPIFHQPLLNGIYASEGWFMKLLEGNKKFVTKDSKKAHLFYLPFSSRYLEIRLYVPNSHSHKNLIEYLKKYLDMISEKYPFWNRTQGADHFLAACHDWAPSETRQHMANCIRALCNSDAKEDFVYGKDASLPETYVLTQENPLRDLGGNRASKRSILAFFAGSMHGYLRPILLQHWENKDPDMKIFGRLPKVKGRGKMNYARYMKSSKYCICAKGYEVNSPRVVEAIFYECVPVIISDNFVPPFLEVLNWESFAVFVLEKDIPNLKKILLSIPAKKYRRMQMRVKRVQQHFLWHARPVKYDVFHMILHSIWYNRVFQMQPR >Potri.004G226700.1.v4.1 pep chromosome:Pop_tri_v4:4:23043674:23048988:1 gene:Potri.004G226700.v4.1 transcript:Potri.004G226700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226700.v4.1 MATESGKSFARRDRLLEIEKKVSGWWDEKDVFRAEPGEGTPKPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAFHRLNGANVLLPFGFHCTGMPIKASADKLAREIQKFGNPPVFPKEVESVELQPEPEDANAGQPPDKFKGKKSKAVAKSGGQMFQWEIMRSVGLSDSEIAEFQKPEKWLTYFPPLAMEDLKDFGLGCDWRRSFITTDMNPYFDSFVQWQMRKLKDMGKIVKDKRYTVYSPLDDQPCADHDRASGEGVQPQDYTLIKMEVMPPFPPKFKALEGRNVFLAAATLRPETMYGQTNAWVLPEGKYGAFEVNDTDVFILTERAALNLAYQGFSKTPKQPSCLVELTGYDLIGLPLKSPLSFNKVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALQVLKAKPAFREKYGVKDEWVVPFDIIPIINIPEYGDKAAEKVCMDLKIKSQNEKEKLAEAKRLTYLKGFTDGTMLVGECAGRKVQEAKLLIRTKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYLTYDDLEWKKLAEECLSQMNLYTDETKHGFEHTLGWLNRWACSRSFGLGTRIPWDPDFLVESLSDSTIYMAYYTVAHFLHNEDMYGSNKTHPIRPEEMTDDVWNFIFCDGSYPKSSKIEPSILNKMKQEFTYWYPFDLRVSGKDLIQNHLTFCIFNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGVDDANFVFETANSAILRLTKEIAWIEEVLAAEVSLRTGPPSTYADRVFENEINIAVLTTKKNYEKCMFREALKTGCYDLQAARDEYRLSCGSGGMNRDLVWRYIDVQTRLITPICPHYAEHVWRELLRKDGLVVNAGWPTADFPDETLKASNKYLQDSIVLMRKLLQKQIMGSKKSNKKGAPAATLTEEKITGLIYVNEQFDGWKAECLNILQSKFDRNTGTFAPEGEILEALQKSSVGQDANFKKVQKLCMPFLRFKKEEAIAIGVQALNLKLPFGEIEVLQENSDLIKRQIGLELVEILSANDHDARAKAGSFSSLLDQNPPSPGQPTAIFLLSNR >Potri.004G226700.2.v4.1 pep chromosome:Pop_tri_v4:4:23043660:23048988:1 gene:Potri.004G226700.v4.1 transcript:Potri.004G226700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G226700.v4.1 MATESGKSFARRDRLLEIEKKVSGWWDEKDVFRAEPGEGTPKPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAFHRLNGANVLLPFGFHCTGMPIKASADKLAREIQKFGNPPVFPKEVESVELQPEPEDANAGQPPDKFKGKKSKAVAKSGGQMFQWEIMRSVGLSDSEIAEFQKPEKWLTYFPPLAMEDLKDFGLGCDWRRSFITTDMNPYFDSFVQWQMRKLKDMGKIVKDKRYTVYSPLDDQPCADHDRASGEGVQPQDYTLIKMEVMPPFPPKFKALEGRNVFLAAATLRPETMYGQTNAWVLPEGKYGAFEVNDTDVFILTERAALNLAYQGFSKTPKQPSCLVELTGYDLIGLPLKSPLSFNKVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALQVLKAKPAFREKYGVKDEWVVPFDIIPIINIPEYGDKAAEKVCMDLKIKSQNEKEKLAEAKRLTYLKGFTDGTMLVGECAGRKVQEAKLLIRTKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYLTYDDLEWKKLAEECLSQMNLYTDETKHGFEHTLGWLNRWACSRSFGLGTRIPWDPDFLVESLSDSTIYMAYYTVAHFLHNEDMYGSNKTHPIRPEEMTDDVWNFIFCDGSYPKSSKIEPSILNKMKQEFTYWYPFDLRVSGKDLIQNHLTFCIFNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFRTLRQAIEEFSADATRFSLADAGDGVDDANFVFETANSAILRLTKEIAWIEEVLAAEVSLRTGPPSTYADRVFENEINIAVLTTKKNYEKCMFREALKTGCYDLQAARDEYRLSCGSGGMNRDLVWRYIDVQTRLITPICPHYAEHVWRELLRKDGLVVNAGWPTADFPDETLKASNKYLQDSIVLMRKLLQKQIMGSKKSNKKGAPAATLTEEKITGLIYVNEQFDGWKAECLNILQSKFDRNTGTFAPEGEILEALQKSSVGQDANFKKVQKLCMPFLRFKKEEAIAIGVQALNLKLPFGEIEVLQENSDLIKRQIGLELVEILSANDHDARAKAGSFSSLLDQNPPSPGQPTAIFLLSNR >Potri.004G077400.1.v4.1 pep chromosome:Pop_tri_v4:4:6399302:6403599:1 gene:Potri.004G077400.v4.1 transcript:Potri.004G077400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G077400.v4.1 MTTEAATSSFQKTVLLHDWWLIKAEQEFQGKKIAVAGLTSPEKKPVRVFHSAAITKRYDVFTLQTADGVNVLLQGYINRTLTVENGFSSQVFRHFCFGFPPDWEECGTKFLNSNCESAAEPPVSQNECRPIFLSLPVDDGVNNLKNDDSKNLSPLSSCRVNDVSWVKDSVVVPAKPSGHHVDVALSSEKIGSKKSKTRSFGKLMAKRSSSLERISIKNDASGECSALTDYNVGNITKSNFDQTRSVRTSGVVLAVSLEVSSSLKKKKRENEGNKDGLKSGSDFSMPSLPQGPQVMLRCIENNTTQGPSLSRSPTRGDSDILNQSEKCLVENSVFSSMRSGAEANIISPSMEETETLDANLIDSGHRKSTASVINLIDIPKQKSVSGHSVRYKAKRLISVEGKPKRNSGTTLDIAEAMLRASRKMNTLSGNSKSKEKKETIAVEKGGLSMKQARRKVIFDADIREMTTCIHSPESLNLKRSRSGRLLLPTLDFWRNQIPVYDENRNITGVQEELGVVKPSRGVESKTQKSKRE >Potri.009G129000.2.v4.1 pep chromosome:Pop_tri_v4:9:10574711:10578110:-1 gene:Potri.009G129000.v4.1 transcript:Potri.009G129000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129000.v4.1 MSSINVDVSLKGSLRNAETLCDMAEIEEMDFSRIFDRPPRPLNMDRQRSCDERSLSELSTGLPIPSPRPSSRVENNFRLIDHLNCLPSPGRRSGFNTPLSQFGVETHPTVAEAWEALRRSLVYFRGEPVGTIAALDNSEEQVNYDQVFVRDFVPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVTHNETLMADFGESAIGRVAPVDSGFWWIFLLRAYTKSTGDTSLAEKPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMVDRRMGVYGYPIEIQALFFMALRCALLLLKQDEEGNEFVERITKRLHALSFHMRSYYWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPVRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVIYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAETRLIKDNWPEYYDGKLGRFIGKQARKSQTWSIAGYLVAKMMLEDPSHLGTVALEEDKQMKPPIRRSNSWTF >Potri.009G129000.3.v4.1 pep chromosome:Pop_tri_v4:9:10574735:10578110:-1 gene:Potri.009G129000.v4.1 transcript:Potri.009G129000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129000.v4.1 MSSINVDVSLKGSLRNAETLCDMAEIEEMDFSRIFDRPPRPLNMDRQRSCDERSLSELSTGLPIPSPRPSSRVENNFRLIDHLNCLPSPGRRSGFNTPLSQFGVETHPTVAEAWEALRRSLVYFRGEPVGTIAALDNSEEQVNYDQVFVRDFVPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVTHNETLMADFGESAIGRVAPVDSGFWWIFLLRAYTKSTGDTSLAEKPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMVDRRMGVYGYPIEIQALFFMALRCALLLLKQDEEGNEFVERITKRLHALSFHMRSYYWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPVRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVIYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAETRLIKDNWPEYYDGKLGRFIGKQARKSQTWSIAGYLVAKMMLEDPSHLGTVALEEDKQMKPPIRRSNSWTF >Potri.009G129000.1.v4.1 pep chromosome:Pop_tri_v4:9:10574594:10578253:-1 gene:Potri.009G129000.v4.1 transcript:Potri.009G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G129000.v4.1 MSSINVDVSLKGSLRNAETLCDMAEIEEMDFSRIFDRPPRPLNMDRQRSCDERSLSELSTGLPIPSPRPSSRVENNFRLIDHLNCLPSPGRRSGFNTPLSQFGVETHPTVAEAWEALRRSLVYFRGEPVGTIAALDNSEEQVNYDQVFVRDFVPSALAFLMNGEPEIVKNFILKTLRLQSWEKKIDRFQLGEGVMPASFKVLHDPVTHNETLMADFGESAIGRVAPVDSGFWWIFLLRAYTKSTGDTSLAEKPECQKGMRLILSLCLSEGFDTFPTLLCADGCCMVDRRMGVYGYPIEIQALFFMALRCALLLLKQDEEGNEFVERITKRLHALSFHMRSYYWIDLKQLNDIYRYKTEEYSHTAVNKFNVIPDSLPEWIFDFMPVRGGYFIGNVSPARMDFRWFCLGNCIAILSSLATPEQSTAIMDLIESRWEELVGEMPLKVIYPAIESHEWRIVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAETRLIKDNWPEYYDGKLGRFIGKQARKSQTWSIAGYLVAKMMLEDPSHLGTVALEEDKQMKPPIRRSNSWTF >Potri.008G123100.1.v4.1 pep chromosome:Pop_tri_v4:8:7993260:7994583:-1 gene:Potri.008G123100.v4.1 transcript:Potri.008G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G123100.v4.1 MAELDYETKHNSTTTSTRLKLFGFNVSEDEELIATTYSSSLKSSSCNTPDSSSFGTATATGDSRKYECQYCSREFANSQALGGHQNAHKKERQQLKRAQMQATRNAAAAAVSFGRNPMISAFAPPPHLLAQAAGQVVVPEASQSWVYLPRAAPPFHVSHGSVFPRGVGGRGVGSLTYGGSVGDFGLASSASGPYQQVQSRAHISRVIGDLNGPTSLSRFSKSDGGPSFDDKLSLDLHLSLSPAGP >Potri.018G025100.1.v4.1 pep chromosome:Pop_tri_v4:18:1844925:1851757:1 gene:Potri.018G025100.v4.1 transcript:Potri.018G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G025100.v4.1 MAGNDWINSYLEAILDVDPGIVDAKSSLLLRERGRFSPTRYFVEEVITGFDETDLHRSWLRAAAMRSTQERNTRLENMCWRIWNLARKKKQLEGEEAQRIAKRHLERERGRKEATADMSEDLSEGEKGDVPGDLSAHGGSVRGRMPRISSVDVMENWANQHKEKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGTMPGVYRVDLLTRQVSAPDVDWSYGEPTEMLNLISSENSTGELGESSGAYIIRIPFGPKDKYIRKELLWPYIPEFVDGALGHIMQMSNVLGEQIGGGNPVWPVAIHGHYADAGDSAALLSGALNVPMVFTGHSLGRDKLEQLMKQGRQSREEVNATYKIMRRIEAEELTLDASEIIITSTKQEIEEQWRLYDGFDPVLERKLRARVKRGVSCHGRFMPRTVVIPPGMEFHHITPHDGDSDGEEEKNKDHPASPDPPIWSEIMRFFSNPRKPMILALARPDPKKNITTLVKAFGECRPLRELANLTLIMGNRDDIDEMSGANASYLLSVIKLVDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAYGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIADALLKLVSDKQLWARCRQNGLKNIHLFSWPEHCKAYLARIVSCKPRQPQWQKIEEGFQNSESDSPGDSLRDIQDLSLNLKLSLDGEKNGSGNLDNSLDNEDNAVDGKYKLENAVLTVSKGAGGGLQKDGAKERADNNTSSSKFPSLRRRKHIFVIAVDCDTTSDFLEILKMVVEVANENSAGLIGFILSTAMTISEINSLLNSGGLNPLDFDAFICNSGSNLYYPSSSSDDSGLPFVLDLDYHSQIEYRWGGEGLRKTLVRWAISVNDKNGQGKIVEEDEPRSSSYCFALKVKDLSLIPPVKELRKLMRIQALRCHVIYCQQGAKINVIPVLASRSQALRYLYVRWATDLSNIVIFTGECGDTDYEGLLGGLHKTVVLKGVGSSSLKLHANRSYPLEDVAPFDNPNFVQAGGCNAEDIKESLEKLGILKG >Potri.012G053200.1.v4.1 pep chromosome:Pop_tri_v4:12:5036953:5045068:-1 gene:Potri.012G053200.v4.1 transcript:Potri.012G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053200.v4.1 MSNGLIVSSSPAALRLHWDVFLSFRGEDTRDSFTKHLYDSLNKQEIRVFLDASGMIQGDEIAPTLMEAIQDSASSIIILSPRYANSHWCLEELARICELRRLILPVFYQVDPSNVRRQKGPFEQDFESHSKRFGDDKVVKWRAAMNKVGGISGFVFDTSGEDHLIRRLVNRVLQELRKTPVGIATYTVGLDSRLEKLKMRFTDDKSNRVQVLGLYGMGGIGKTTLATALFNKLVGHFESRCFISNIKDISQEDGGLVTLQNKLLGDLFPDRPPVNDINDGIAVIKELCHEKRVLVVLDDVDDVNQLNVLAGKRDWFGEGSRVIVTTRNRDVLVEHLVNEFYEVRELGSSEALKLFSYHALRRDNPTEEYLNISKEIVSLTGGLPLALEVFGSTLFNERGIKKWEDVLKKLREIRPGNLQDVLRISFDGLDDEEKCVFLDIACLFIKMRMKREEAIDILNGCGFRAETAITVLTVKCLIKIGGDYELWMHDQLRDMGRQIVRDENLLDPGMRSRLWDRGDIMTMLKHKKGTRHVQGLILDFEKKNYVRTQKISWVKALNPSSSLDYLIEKCKLFLQLRAEEGELILDTEALKSLVNLRLLQINHAKVKGKFKSFPASLKWLQWKNCPLKKLPSDYAPHELAVLDLSESGIQRVWGWTRNKVAENLMVMNLRRCYNLEASPDLSGCKKLEKLDFKGCIQLTKIHESLGNVRTLLQLNLDKCINLVEFPRDVSGLRLLQNLILSSCLKLEELPQDIGSMNSLKELVVDETAISMLPQSLYRLTKLEKLSLNDCKFIKRLPERLGNLISLKELSLNHSAVEELPDSIGSLSNLEKLSLMRCQSLTTIPESIRNLQSLMEVSITSSAIKELPAAIGSLPYLKTLFAGGCHFLSKLPDSIGGLASISELELDGTSISELPEQIRGLKMIEKLYLRKCTSLRELPEAIGNILNLTTINLFGCNITELPESFGRLENLVMLNLDECKRLHKLPVSIGNLKSLCHLLMEKTAVTVLPENFGNLSSLMILKMQKDPLEYLRTQEQLVVLPNSFSKLSLLEELNARAWRISGKLPDDFEKLSSLDILDLGHNNFSSLPSSLCGLSLLRKLLLPHCEELKSLPPLPPSLEELDVSNCFGLETISDVSGLERLTLLNITNCEKVVDIPGIGCLKFLKRLYMSSCKACSLTVKRRLSKVCLRNIRNLSMPGSKFPDWFSQENVVHFSEQKNRAIKAVIVSVVVSLDREIPEDLRYSPLVPDIQAIVLDQNIPIYSTTLYLRGIPKINEDQIHICRYSNIQPLVSMLKDGCKIQVRKRNPPVIEGIELKKSGILLVYEDDDDYDGNEESLDESQQSVSQKLANFFNSYEEDNQVC >Potri.012G053200.2.v4.1 pep chromosome:Pop_tri_v4:12:5036979:5041986:-1 gene:Potri.012G053200.v4.1 transcript:Potri.012G053200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G053200.v4.1 MRFTDDKSNRVQVLGLYGMGGIGKTTLATALFNKLVGHFESRCFISNIKDISQEDGGLVTLQNKLLGDLFPDRPPVNDINDGIAVIKELCHEKRVLVVLDDVDDVNQLNVLAGKRDWFGEGSRVIVTTRNRDVLVEHLVNEFYEVRELGSSEALKLFSYHALRRDNPTEEYLNISKEIVSLTGGLPLALEVFGSTLFNERGIKKWEDVLKKLREIRPGNLQDVLRISFDGLDDEEKCVFLDIACLFIKMRMKREEAIDILNGCGFRAETAITVLTVKCLIKIGGDYELWMHDQLRDMGRQIVRDENLLDPGMRSRLWDRGDIMTMLKHKKGTRHVQGLILDFEKKNYVRTQKISWVKALNPSSSLDYLIEKCKLFLQLRAEEGELILDTEALKSLVNLRLLQINHAKVKGKFKSFPASLKWLQWKNCPLKKLPSDYAPHELAVLDLSESGIQRVWGWTRNKVAENLMVMNLRRCYNLEASPDLSGCKKLEKLDFKGCIQLTKIHESLGNVRTLLQLNLDKCINLVEFPRDVSGLRLLQNLILSSCLKLEELPQDIGSMNSLKELVVDETAISMLPQSLYRLTKLEKLSLNDCKFIKRLPERLGNLISLKELSLNHSAVEELPDSIGSLSNLEKLSLMRCQSLTTIPESIRNLQSLMEVSITSSAIKELPAAIGSLPYLKTLFAGGCHFLSKLPDSIGGLASISELELDGTSISELPEQIRGLKMIEKLYLRKCTSLRELPEAIGNILNLTTINLFGCNITELPESFGRLENLVMLNLDECKRLHKLPVSIGNLKSLCHLLMEKTAVTVLPENFGNLSSLMILKMQKDPLEYLRTQEQLVVLPNSFSKLSLLEELNARAWRISGKLPDDFEKLSSLDILDLGHNNFSSLPSSLCGLSLLRKLLLPHCEELKSLPPLPPSLEELDVSNCFGLETISDVSGLERLTLLNITNCEKVVDIPGIGCLKFLKRLYMSSCKACSLTVKRRLSKVLYLVPIRVHVLE >Potri.002G155200.1.v4.1 pep chromosome:Pop_tri_v4:2:11852501:11856335:1 gene:Potri.002G155200.v4.1 transcript:Potri.002G155200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155200.v4.1 MMPSANSSLTSPQSDAIDSSPLLAHSIANHLLRSRRLLRRPPQLRGAAARILRRASSRRMMLREPSVRVRENAAEQLEERQSDWGYSKPVVVIDVLWSLAIVIIAVGVLGLSLEEKPRVPFRAWIVAYILLCSCHVVCVVVEYRKRRNLGLRESGILSSDSGDSLDFSTQQSENDGQNTSVAKRVESAMTTFSIIWWIIGFYWVTTAGRQNVAKDSPQLYWLCIAFLAADTLFVIICIAVACLIGIAVCCFLPCIIGILYAMADQEGATKEEIDRLLKYKFHRIGNCEKVNDESQESFGGMMTECDTDTPIERALSREDTECCICLSAYEDGSELRELPCGHHFHCMCIDKWLCINATCPLCKFDILKADSQSGSEEA >Potri.008G044000.3.v4.1 pep chromosome:Pop_tri_v4:8:2494907:2497724:-1 gene:Potri.008G044000.v4.1 transcript:Potri.008G044000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044000.v4.1 MGCFKNEKAGKALKLWDEMKEKEIAPSVITYNSIIAGLCQMGKTDQARDKLNELLESDLVPGEITYSAIIHGHCWEDQVEKAFQFHNKMVENKVKPNAFTCNVLLRGICREGMLGKPLKLFNTWISKGNAISYNKIILALCKEKRFEEASDLLAEVDKKKLEPDCYTYNAAILGGLTDAGRTEDAEEFI >Potri.004G116900.1.v4.1 pep chromosome:Pop_tri_v4:4:10979248:10980684:1 gene:Potri.004G116900.v4.1 transcript:Potri.004G116900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116900.v4.1 MLKKSSSRSLQCRPGGLLTMLCPLLLISLLTVVILSSDVSYLHFFDTNTLPHLFFDTSPNDISHSPIVLPYSLHSQISNLQTQLGALLGQLHNESSESKVAKFSDQVLRIAVSVDKLVNSLSSISGNAPASGDGMSRVEEDLSEAGEGEDQEPPGVKVFNTGELHEYTSPKLNRPSGKKNFLGVEAISPSIGLTCAHMATTIKRFMSYKMYGMCPDDWDLAQKLITSGCDPLPRRRCLSRASPNYNKPFPVNSSLWTQPSDANILWSHYKCKGYSCLVSNETRGRRGFFKCADCFDLSKRRWEIPTNESVSAEFTIDQVLALKPREIRIGLDFSPTTGTFAALMKERNVTIASATLNLGAPFNEVIALRGLLPLYLSIGSRLPFFDNTLDIIHSTLFLDGWIGKELLQFVLFDWDRALRPKGLLWVDRFFCSKEVMKLYLDEFESLSYKKLLWRVVPKTDKDGDELFFSAVLEKPIRR >Potri.013G097832.1.v4.1 pep chromosome:Pop_tri_v4:13:10204775:10230790:1 gene:Potri.013G097832.v4.1 transcript:Potri.013G097832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097832.v4.1 MANSSIEQLWYGGKSAVNLKIINLSNSLNLIKTPDFTGIPNLENLILEGCTSLFEVHPSLARHKKLQYVNLTDCVSIRIFPSNLEMESLKVCILDGCSKLEKFPDIVGNMNKLMVLHLDETGSTKLSSSIRHLIGLEVLSMNNCKNLESIPSSICCLKSLKKLDLSGCSELQNIPQNLGKVKSLEEFDVSGTSIRQLPASLFLLKNLKVLSLDGFKRLVVLSSLSGLCSLEVLGLRACNLREGALPEDIGCLSSLTSLDLSRNNFVSLPRSINMLYELEKLVLEDCTMLESLPEVPSKVQTVYLNGCISLKTIPDPIKLSSSKISEFICLNCWELYNHNGQDSMGLTMLERYLKGLSNPRPGFGIAVPGNEIPGWFNHQRKGSSISVQVPSCGMGFVACVAFSANGESPSLFCHFKANGRENYPSPMCISCNSIQVLSDHIWLFYLSFDHLKEWKHESFSNIELSFHSSEQRVKVKNCGVCLLSSVYITSPFLKTVITIGKKPRGDT >Potri.012G128800.1.v4.1 pep chromosome:Pop_tri_v4:12:14440033:14442132:1 gene:Potri.012G128800.v4.1 transcript:Potri.012G128800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G128800.v4.1 MAKFFLFSCFVYLILFFANDDVEAVNEDIGIYELKMGDFSVKLTNYGATVISVILPDKNGKLDDIVLGFDSVDDYKNDTTYFGAIVGRVANRIGRAQFTLDGTDYKLVPNDGRNMLHGGPKGFSEVIWGVGSHDDNHVKFTYYSFDGEEGFPGDVAVSVTYMLVETNKLVVKMRAMPLNKRTPVNLALHAYWNLGGHSSGDILSHTIQLLASEITPVDEELIPTGEIVAVEDTPYDFHKPREIGSMFTQLPDGYDINYVLDNVNPGHLKKVAVVQEGVSGRKLELWTNQPGVQFYTSNMLDNVKGKGGFVYTQYAGICLETQGFPDAVNHPRFPSQIVNPGDIYENIMIYRFTAH >Potri.001G456500.4.v4.1 pep chromosome:Pop_tri_v4:1:48261431:48268813:1 gene:Potri.001G456500.v4.1 transcript:Potri.001G456500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456500.v4.1 MSNKDNEVGSAREVPGTLETEQLVDAQEAPVEQADNPSPSNADAVDIPLELNSRLQQPHQDSALSTPFDDKRSLVMPKVESVKDVKANGTYNSEPETCAGDGIAISSSHVETAKPTVDAEIKPSDIQGATGHDTWAVVKWSNEPAEPQAGSSDNEAKGDNKRGSDDAKNNIDMPSPKSNGNSTPRRTFLLDENSGGSESGTEEEQNDFLSELHSFFSEKSMEFKPPKFYGDLLNCLKLWRSVMRLGGYDKVTSCKLWRQVGESFKPPKTCTTISWTFRGFYEKVLLDYERHITNAGEPDIPIASKSEPKQLKPPKLSRSEPVHVDTQASGSGRTRRDAAARAMQGWHSLRFLGNGEVSSPIIKDKNTVPVQKREKELKNIGLLKRKKPSYVEHAVKSPRTKSARLDVEVTDIGAPADWVKINVQKTKDSFDVYALVPGLLREEVRVQSDPAGRLVISGEPEHEDNPWGVAPFKKVVSLPSRIDPHQTTAVVTLHGQLYVRVPFEESD >Potri.001G456500.1.v4.1 pep chromosome:Pop_tri_v4:1:48261431:48269378:1 gene:Potri.001G456500.v4.1 transcript:Potri.001G456500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G456500.v4.1 MLYVLCVESEMSNKDNEVGSAREVPGTLETEQLVDAQEAPVEQADNPSPSNADAVDIPLELNSRLQQPHQDSALSTPFDDKRSLVMPKVESVKDVKANGTYNSEPETCAGDGIAISSSHVETAKPTVDAEIKPSDIQGATGHDTWAVVKWSNEPAEPQAGSSDNEAKGDNKRGSDDAKNNIDMPSPKSNGNSTPRRTFLLDENSGGSESGTEEEQNDFLSELHSFFSEKSMEFKPPKFYGDLLNCLKLWRSVMRLGGYDKVTSCKLWRQVGESFKPPKTCTTISWTFRGFYEKVLLDYERHITNAGEPDIPIASKSEPKQLKPPKLSRSEPVHVDTQASGSGRTRRDAAARAMQGWHSLRFLGNGEVSSPIIKDKNTVPVQKREKELKNIGLLKRKKPSYVEHAVKSPRTKSARLDVEVTDIGAPADWVKINVQKTKDSFDVYALVPGLLREEVRVQSDPAGRLVISGEPEHEDNPWGVAPFKKVVSLPSRIDPHQTTAVVTLHGQLYVRVPFEESD >Potri.014G111500.2.v4.1 pep chromosome:Pop_tri_v4:14:7493071:7499497:-1 gene:Potri.014G111500.v4.1 transcript:Potri.014G111500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G111500.v4.1 MMEVDTGHKLQDDQMSDCRSFGSNYHPSSQSRKISIGILIDSLPKKRSGGTKEDEAAVPNIERVNSKKESSVESKKKGKGAIDATTKGKQTETPGRVPSPWTTTRSFHQKSPISEGVLHAVGTSSLPRSTGRRNRISTAKNVPVTHSVEFFAKHTSNSHSGDGKEKFGGFTYKRKGGEDRNSQPGEEFTFATAQEGSMLDKVATDDKTEERTETLKMKLWEILGNVSSQKIQPSNSQAHQIGANNLNPEQILNQADDVVVKPRQSPDTIETDSESPNHTMKRPVTRSLTRKRASTKQKPEKNKVGPSSSYRQKLKEKNVFSFEERLPGKQNVAVNGGSSMSTMKKGQIKSCGIEPCKTHVSEDYNADKIQEGIHKSERSLPAEKTSSLSNKKGSIHCSLQNKRECREPKNGNKERDSNQSAREASFSAEKTFSLSNKMGNFHDSPRNKREHLELKNRNQERYSHQSGREDSHQSPWTHMTDQQKDFNSPAAPEHGDQQENFDTPSSNSTVNPQDDFQSPTFKINSPTLSSFPSSMPKSDQRKQVFASPEQAMRSFTVGKIHSFWTLRNSNAGCFASNSQTESPDIAAELMDSPPNKTLPVKGKRDVEGGLSESSPECGYSESSEDGSPLVKGRREEENCSTETATAEKSKFMLHPTKRFCNHKGEKVRVFSPTSPSPTGIVETELTPEISEQNQGDELERVILLFVMALENFRKKMKLETGKKSSDILVSVSEKMHLQLQNIESQIQTDLGKLSSVSKSKRKRLESRFEEQQEQLKLIHDKFKQDIYQHLQECKITLEGLELHQIDFKGTVKKQKASHQKLLMQAEETVKTQLDDAQRRITAVHKSAREKMLQLKYVVGKGLNEGGLS >Potri.011G068100.1.v4.1 pep chromosome:Pop_tri_v4:11:5948477:5952952:1 gene:Potri.011G068100.v4.1 transcript:Potri.011G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G068100.v4.1 MASRKKHLEGIALLSMYNDDEEDDDEEMEDLEHQPNQSNDMEQDTLHDDNAMLTEEFQDTEEAEAATASRNSTPEGSLLRPLTPLQQQLQQGGTINLETKRSSSRRGRLAIVDYGHDEVAMSPEPEEGEFEEELQSANGAIQEKSSPATAQILSPSVRATPQSSEHLEPSQPGEINDTTKESEAFNAEGANEVPAEGVDLLDKFLSPPPKEKCPEDLQKKIDKFLALKKIGRSFNAEVRNRKDYRNPDFLLHAVRYQDIDQIGSCFSKDVFDPHGYDQSDYYLEIEADMRRERERKEQELKRSPKVEFVSGGTQPGVVVPPTKFSLPIPVVTASGTHSSANAADAAPREGRQNKKSKWDKVDGDGRNPLPAGGHDSLAAAAALLSAANVGSGYTGFVQQKRREAEEKRSGERKLERRS >Potri.001G392700.1.v4.1 pep chromosome:Pop_tri_v4:1:41593817:41599788:-1 gene:Potri.001G392700.v4.1 transcript:Potri.001G392700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G392700.v4.1 MPSSPKFFHSRLSQPSNSRRSTVLILSCLLIGISGFIFGLTSFLTCSHTYRCFNNSPPRSVRVVWGSNSNNNDNGVGNALLLDGEERRERQKVMGFVGIQTGFGSSGRRRSLRKTWMPSDRQGLQRLEESTGLAFRFVIGRTNDKSKMAELKREIAEYDDFLLLDIEEQYSQLPYKTLAFFKAAYALFDSEFYVKADDDIYLRPDRLSTLLAKERAHSQTYLGCLKKGPVFTDPKLKWYEPLSYLLGKEYFLHAYGPIYALSADVVASLVALRNNSFRMFSNEDVTIGAWILAMNVNHEDNRALCSPECTPSSIAVWDIPKCSGLCNPEARLLELHQQESCSKSPTMESDD >Potri.018G036900.1.v4.1 pep chromosome:Pop_tri_v4:18:2927811:2928901:1 gene:Potri.018G036900.v4.1 transcript:Potri.018G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036900.v4.1 MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARVRKYNWSEKAIRRKTTGTGRMKYLRHLPRRFKTNFREGTQAAPRNKGAAAASS >Potri.012G007700.1.v4.1 pep chromosome:Pop_tri_v4:12:328262:331774:-1 gene:Potri.012G007700.v4.1 transcript:Potri.012G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007700.v4.1 MEGGRVKKCFVSSQAVMGMLMVQVMATGMQLLSKIILNNGTFVLALMTYRHIVAAVCMAPFAFYFERGMIKSKMNWSVFFWLFVNSLCGILFAMGLFYYGLKDTSATYAVNFLNLVPIVTFVFSIILRLEKLGLSTRAGKIKISGAILCVSGAMIACLYKGRTFHLIHKTLQHHVQVKSSVLHKTRGTILLIGSCLSYSSWYILQAKLLKVFPFKYHTTMITCIFASIQSAAIGLCIDRSNAAWKLEWNLQLLTIIYSGSLASAATFCLISWAVVRRGPSYPPMFNPLTLIFVAVLEALIIGAEITAGQLLGMVLIIIGLYSFLLGKTKEMKNMPKSNIEAAEAATTVESTKVQPASPLTTTNEDIESGSVKDVVNP >Potri.017G081300.1.v4.1 pep chromosome:Pop_tri_v4:17:9076224:9077038:1 gene:Potri.017G081300.v4.1 transcript:Potri.017G081300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081300.v4.1 MSSRRPRQSSVPRITDDQIIDLVSKLRQLLPEISQRRSDKVSASKVLQETCNYIRNLHREVDDLSERLSQLLATIDADSPEAAIIRSLIM >Potri.017G090800.3.v4.1 pep chromosome:Pop_tri_v4:17:10385043:10392464:-1 gene:Potri.017G090800.v4.1 transcript:Potri.017G090800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090800.v4.1 MKNNQYDARTFAFMLRAMMEKLEREIRESKFSELMNKHFAASSIPKSIHCLSLRLTDEYSSNAHARKQLPSPEFLPLLSDNSYHHFVLSTDNILAASVVVTSTIQSSLKPDNIVFHIITDKKTYAGMHSWFALNPVSPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRNYYHGNHIAGANLSDTTPRRFASKLQARSPKYISILNHLRIYIPELFPSLDKVVFLDDDVVIQRDLSPLWEIDLKGKVNGAVETCKGEDEWVMSKHFKNYFNFSHPLIAKNLDPDECAWAYGMNIFDLRAWRKTNIRETYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNKTNIESVKKAAVIHYNGQAKPWLEIGFEHLRPFWTKYVNYSNDFIRNCHILDSV >Potri.017G090800.2.v4.1 pep chromosome:Pop_tri_v4:17:10385222:10392709:-1 gene:Potri.017G090800.v4.1 transcript:Potri.017G090800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090800.v4.1 MQLHISRSMRSITISSSSNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVKNEEIPDGVKLPASFSHLVSEMKNNQYDARTFAFMLRAMMEKLEREIRESKFSELMNKHFAASSIPKSIHCLSLRLTDEYSSNAHARKQLPSPEFLPLLSDNSYHHFVLSTDNILAASVVVTSTIQSSLKPDNIVFHIITDKKTYAGMHSWFALNPVSPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRNYYHGNHIAGANLSDTTPRRFASKLQARSPKYISILNHLRIYIPELFPSLDKVVFLDDDVVIQRDLSPLWEIDLKGKVNGAVETCKGEDEWVMSKHFKNYFNFSHPLIAKNLDPDECAWAYGMNIFDLRAWRKTNIRETYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNKTNIESVKKAAVIHYNGQAKPWLEIGFEHLRPFWTKYVNYSNDFIRNCHILDSV >Potri.017G090800.1.v4.1 pep chromosome:Pop_tri_v4:17:10385221:10392477:-1 gene:Potri.017G090800.v4.1 transcript:Potri.017G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G090800.v4.1 MQLHISRSMRSITISSSSNNGFIDLMKIKVAARHISYRTLFHTILILAFLLPFVFILTALVTLEGVNKCSSFDCLGRRLGPRLLGRVDDSGRLVKDFYKILNQVKNEEIPDGVKLPASFSHLVSEMKNNQYDARTFAFMLRAMMEKLEREIRESKFSELMNKHFAASSIPKSIHCLSLRLTDEYSSNAHARKQLPSPEFLPLLSDNSYHHFVLSTDNILAASVVVTSTIQSSLKPDNIVFHIITDKKTYAGMHSWFALNPVSPAIVEVKGVHQFDWLTRENVPVLEAVENHNGIRNYYHGNHIAGANLSDTTPRRFASKLQARSPKYISILNHLRIYIPELFPSLDKVVFLDDDVVIQRDLSPLWEIDLKGKVNGAVETCKGEDEWVMSKHFKNYFNFSHPLIAKNLDPDECAWAYGMNIFDLRAWRKTNIRETYHSWLKENLKSNLTMWKLGTLPPALIAFKGHVHPIDPSWHMLGLGYQNKTNIESVKKAAVIHYNGQAKPWLEIGFEHLRPFWTKYVNYSNDFIRNCHILDSV >Potri.004G152600.1.v4.1 pep chromosome:Pop_tri_v4:4:17378388:17379404:-1 gene:Potri.004G152600.v4.1 transcript:Potri.004G152600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G152600.v4.1 MKNQQDLGTSDHQGISTKRKHSRNNNNNGNSRDLEEFKIPNEMQDLDEDEKDFDLTLSLSFGPRSKKKTTLHTTKPQLSLSLPPPVTSKSLSVTYQTLPQHQEIPHIEIAAARNMTPRSLDPFWLSTSHALLAEVPLTEPLLYTTAPINQKSPMAGPSRAPRTRRNPSLGVPREGKGETVPVLYSWAIDRRAMVHSLDYLLSRKIETITGFVQCKRCEKQYEIGFDLEAKFAEIGAFIAKNKSFMHDRAPSVWMNPTLPKCQFCEQENSSKPVIANKKRKINWLFLLLGQMLGCCTLDQLKYFCKHTKNHRTGAKDRVLFLAYLGLCKQLDPNGLFDR >Potri.008G140100.1.v4.1 pep chromosome:Pop_tri_v4:8:9427987:9429129:1 gene:Potri.008G140100.v4.1 transcript:Potri.008G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G140100.v4.1 MKLWRRASGALKDQNSLLAISLSRQTWYRNSDLEAAIIKATSHDESYVDYRNAQRVFTWIRTSPVSLKPLIWALTTRMEKTRSWVVAIKGLMLMHGVFCCKTPAVQRIGRLPFDLSNFTDGHSKQAKMWGFNTFIRSYFSFLDQRSALFYVQQNQTEEPMVQELVKLRNWQSLLDMLLQIKPMADNMKEVLITEAMDCVIIEIFDVYGRICKGIARVLMGIYSAGKLEATMAFKILQKAKVQGEDLALYFEFCRNFGVFNALEVPKVTQIPEADIKDLERIINGVPEAKSYKNVNDEDDNKAIVVKEDVAIVEEKEPNSRLKTIVTDKWEIFEEDVNINSQGNHETSHFRATSSAENPPNYLPIVPVYHEQEIPDFICFY >Potri.008G031500.1.v4.1 pep chromosome:Pop_tri_v4:8:1691893:1694351:-1 gene:Potri.008G031500.v4.1 transcript:Potri.008G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G031500.v4.1 MSVEESWTTRIITEEEAGTAQSKSGFDLLTGIYHSLHQLGENFQIPTRHDIDTSTYVLSQFPHPDHAQTKVALIDLATNHQVNYSQLHRSIRALASGLYNGLGVRKGDVVFLLSQNSILYPTICLAIFSIGAILSPANPVNTKSEISKQIQDSGAKLVISAPEELHKLLEIGVPTLVTTRESNGDSLSVEELIEYSDPLELPQVGITQSDTAAILYSSGTTGTSKGVILTHSNFIAVMTLLKWSVFATSSQNDTFLCFIPIFHIYGLAFFGLGLFCAGITTVLMRRFDFQAMLDAVQAYKINNIPAVPPVILGLVKNGSKVKCDLSSLRRVGSGAAPLSKELSDEFRRRFPWVELRQGYGLTESCAAATFFISDEQAKKHPASCGRLVPTFSAKIVDTETGSALPPGRKGELWLKSPTIMKGYLGNEAATAATIDPDGWLKTGDMGYLDEDGFLHLVDRIKELIKHNGYQVAPAELEAILLGHPQVLDAAVIPVEDEEAGQIPMAYVVRAAGSELTEEQVIQFVANQVAPYKKVRRVGFISAIPKSAAGKILRKELVSHSQQITSKL >Potri.001G237400.1.v4.1 pep chromosome:Pop_tri_v4:1:25516246:25522059:-1 gene:Potri.001G237400.v4.1 transcript:Potri.001G237400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237400.v4.1 MGDPTHKSVRDQGQGQGQTVVYKGKTVASDHKSFPRSACKLIVYSRHGTGPVGQVAIFLLKVATLETVRRVSRSKCPQVWNGLQALQLLCYPPFKWIQRWAPFKGLVKGVQMFSSPLLVLSVATAISDQSNCSGENSNGSNNSHEADSETSSESLSALSSFETRTSDVASQSLASEIWLIQLYRELENQGIALPERINEDELRRFYSAANGDFSCFLLSIKKTIRWRETYRILSQQELENWSNMVFWHGLDVLNRPCLIVRLGLACANFPSHERARFAQAIISQVEHGILHLVDEDSPQITVLVDCDGLSPLKIPMQMMRSCSSLLHDNFPNRLGHLVVIRLPPVVRVIAQTFIQVLKPVTRKKLRIEGKMYHRVLLECLKTLPSCLGGNCSCEICSDVRIMQQPQSTNEISTASPFFSGGGEDLPSPHLTTQADVHVNDNWNHLLRTLVIGILMVWVLVAILAGIYDPERHLFQFPQGKE >Potri.001G237400.4.v4.1 pep chromosome:Pop_tri_v4:1:25516114:25522370:-1 gene:Potri.001G237400.v4.1 transcript:Potri.001G237400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237400.v4.1 MFSSPLLVLSVATAISDQSNCSGENSNGSNNSHEADSETSSESLSALSSFETRTSDVASQSLASEIWLIQLYRELENQGIALPERINEDELRRFYSAANGDFSCFLLSIKKTIRWRETYRILSQQELENWSNMVFWHGLDVLNRPCLIVRLGLACANFPSHERARFAQAIISQVEHGILHLVDEDSPQITVLVDCDGLSPLKIPMQMMRSCSSLLHDNFPNRLGHLVVIRLPPVVRVIAQTFIQVLKPVTRKKLRIEGKMYHRVLLECLKTLPSCLGGNCSCEICSDVRIMQQPQSTNEISTASPFFSGGGEDLPSPHLTTQADVHVNDNWNHLLRTLVIGILMVWVLVAILAGIYDPERHLFQFPQGKE >Potri.001G237400.3.v4.1 pep chromosome:Pop_tri_v4:1:25516119:25522356:-1 gene:Potri.001G237400.v4.1 transcript:Potri.001G237400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237400.v4.1 MGDPTHKSVRDQGQGQGQTVVYKGKTVASDHKSFPRSACKLIVYSRHGTGPVGQVAIFLLKVATLETVRRVSRSKCPQVWNGLQALQLLCYPPFKWIQRWAPFKGLVKGVQMFSSPLLVLSVATAISDQSNCSGENSNGSNNSHEADSETSSESLSALSSFETRTSDVASQSLASEIWLIQLYRELENQGIALPERINEDELRRFYSAANGDFSCFLLSIKKTIRWRETYRILSQQELENWSNMVFWHGLDVLNRPCLIVRLGLACANFPSHERARFAQAIISQVEHGILHLVDEDSPQITVLVDCDGLSPLKIPMQMMRSCSSLLHDNFPNRLGHLVVIRLPPVVRVIAQTFIQVLKPVTRKKLRIEGKMYHRVLLECLKTLPSCLGGNCSCEICSDVRIMQQPQSTNEISTASPFFSGGGEDLPSPHLTTQADVHVNDNWNHLLRTLVIGILMVWVLVAILAGIYDPERHLFQFPQGKE >Potri.001G237400.2.v4.1 pep chromosome:Pop_tri_v4:1:25515820:25522368:-1 gene:Potri.001G237400.v4.1 transcript:Potri.001G237400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237400.v4.1 MGDPTHKSVRDQGQGQGQTVVYKGKTVASDHKSFPRSACKLIVYSRHGTGPVGQVAIFLLKVATLETVRRVSRSKCPQVWNGLQALQLLCYPPFKWIQRWAPFKGLVKGVQMFSSPLLVLSVATAISDQSNCSGENSNGSNNSHEADSETSSESLSALSSFETRTSDVASQSLASEIWLIQLYRELENQGIALPERINEDELRRFYSAANGDFSCFLLSIKKTIRWRETYRILSQQELENWSNMVFWHGLDVLNRPCLIVRLGLACANFPSHERARFAQAIISQVEHGILHLVDEDSPQITVLVDCDGLSPLKIPMQMMRSCSSLLHDNFPNRLGHLVVIRLPPVVRVIAQTFIQVLKPVTRKKLRIEGKMYHRVLLECLKTLPSCLGGNCSCEICSDVRIMQQPQSTNEISTASPFFSGGGEDLPSPHLTTQADVHVNDNWNHLLRTLVIGILMVWVLVAILAGIYDPERHLFQFPQGKE >Potri.T126004.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:119694:122872:-1 gene:Potri.T126004.v4.1 transcript:Potri.T126004.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126004.v4.1 MISRPKNGWHSILPLNFRGKAVTGFCIFPKVKSAGYSPENAPVYLNVYDLTNANGYVYWAGFGIFHSGVEVHGVEYAFGAHDYPSSGVFEVEPRQCPGFKFRKSIFMGTTRLDPKQVREFMERQSANYNGDTYHLIVKNCNHFCEDTCYKLTGNRIPKWVNRLARIGSLCNCILPEALKATKVQHDPNYQERESEKKRLRSSFSCFSSISMPQKEVSMSSLFLHSHYKGCLPPWELKRSRKGSLKEG >Potri.008G032300.1.v4.1 pep chromosome:Pop_tri_v4:8:1733493:1735179:-1 gene:Potri.008G032300.v4.1 transcript:Potri.008G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032300.v4.1 MEASEEVTTRVAKGKRTKRLRVQSAIPFGLTTDSSSGDGGTNWSPTTSIDEFQDSTEEEEDMANCLILLAKGHSRDFPKQQQHHHHRDHDHDHDSRGGVYTAKFNSRKFLETANSTGSGRVGYYVYECKTCSRTFPSFQALGGHRASHKKPKAIHNDEKKQNLSISSDEEDGHYKNVSSLSLQLSENNTNRGTYSNHNKGKIHECSVCGAVFTSGQALGGHMRRHRGPLVSSTTTLSLTPMTIESEEPKRARNVLSLDLDLNLPAPEDDKFAFASKQQQKQQQQKQQNTSLVFTSPALVDCHY >Potri.010G213300.1.v4.1 pep chromosome:Pop_tri_v4:10:20146777:20153353:1 gene:Potri.010G213300.v4.1 transcript:Potri.010G213300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213300.v4.1 MDRSSKRTRDDRDRDHHKHRSRDDKHRDSSDSHHHRSERESHHRDHHKSSRRDDTKRERSREREESVDRRERSHDHKSSSSSRREDRERSYDAREEREGSRERKREKREREIEEEDYFERKKRKERGGSEDRVVVDKEKRSRRRFGEKVKEEDNSIDTNNNGNSFENVKSVDLSEVRVKEEVNGQPTGGGSGSTTENGGVSTANLIVPYLQGATLATFTKTPSNLPETSVAPVHPLPTKVSSISNTNENKGVSIARSHEVPGKSSTDGTTSAAGKSGNLSLDALAKAKKALQMQKELSEKLKKLPLSSKGNKSSGGSLQGPLSSATITTAVSVEAMPSSSTSSTSTMVSVKPPATGMAPPPDITSMPNYEAVKRAQELAAKMGFRQDPEFAPLINFFPGQLPAEVSALQKPSKAPVLRVDALGREIDEHGNVVNVTKPNNLSTLKVNINKQKKEAFQILKPELDVDPESNPYFDAKMGINKNKFLRPKRMTFQFVEEGKWLKEAEIMKLRNQFGEEREKDMKARQALHAKAKAAPDINPNLIEVSERVTTKAKPKDPIPDIEWWDVPLLTSGTYGEDVDDLKTQRRLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTRLEKEIRTAAAEREQAHIDRNTARKLTPAERREKKERKLFDDPNTVETIVSIYRINDLSDKKTRFKVDVNAHENRLTGCTVITEGICVVVVEGGSKSIKRYGKLMLRRINWAEAVNEDEGGDNDEKPMNKCVLVWQGSVAKPNFHRFSLHECVTEAAARKYFADAGVAHYWDLAVNFSEDQM >Potri.010G213300.3.v4.1 pep chromosome:Pop_tri_v4:10:20146777:20152929:1 gene:Potri.010G213300.v4.1 transcript:Potri.010G213300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213300.v4.1 MDRSSKRTRDDRDRDHHKHRSRDDKHRDSSDSHHHRSERESHHRDHHKSSRRDDTKRERSREREESVDRRERSHDHKSSSSSRREDRERSYDAREEREGSRERKREKREREIEEEDYFERKKRKERGGSEDRVVVDKEKRSRRRFGEKVKEEDNSIDTNNNGNSFENVKSVDLSEVRVKEEVNGQPTGGGSGSTTENGGVSTANGATLATFTKTPSNLPETSVAPVHPLPTKVSSISNTNENKGVSIARSHEVPGKSSTDGTTSAAGKSGNLSLDALAKAKKALQMQKELSEKLKKLPLSSKGNKSSGGSLQGPLSSATITTAVSVEAMPSSSTSSTSTMVSVKPPATGMAPPPDITSMPNYEAVKRAQELAAKMGFRQDPEFAPLINFFPGQLPAEVSALQKPSKAPVLRVDALGREIDEHGNVVNVTKPNNLSTLKVNINKQKKEAFQILKPELDVDPESNPYFDAKMGINKNKFLRPKRMTFQFVEEGKWLKEAEIMKLRNQFGEEREKDMKARQALHAKAKAAPDINPNLIEVSERVTTKAKPKDPIPDIEWWDVPLLTSGTYGEDVDDLKTQRRLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTRLEKEIRTAAAEREQAHIDRNTARKLTPAERREKKERKLFDDPNTVETIVSIYRINDLSDKKTRFKVDVNAHENRLTGCTVITEGICVVVVEGGSKSIKRYGKLMLRRINWAEAVNEDEGGDNDEKPMNKCVLVWQGSVAKPNFHRFSLHECVTEAAARKYFADAGVAHYWDLAVNFSEDQM >Potri.010G213300.2.v4.1 pep chromosome:Pop_tri_v4:10:20146816:20153473:1 gene:Potri.010G213300.v4.1 transcript:Potri.010G213300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213300.v4.1 MDRSSKRTRDDRDRDHHKHRSRDDKHRDSSDSHHHRSERESHHRDHHKSSRRDDTKRERSREREESVDRRERSHDHKSSSSSRREDRERSYDAREEREGSRERKREKREREIEEEDYFERKKRKERGGSEDRVVVDKEKRSRRRFGEKVKEEDNSIDTNNNGNSFENVKSVDLSEVRVKEEVNGQPTGGGSGSTTENGGVSTANGATLATFTKTPSNLPETSVAPVHPLPTKVSSISNTNENKGVSIARSHEVPGKSSTDGTTSAAGKSGNLSLDALAKAKKALQMQKELSEKLKKLPLSSKGNKSSGGSLQGPLSSATITTAVSVEAMPSSSTSSTSTMVSVKPPATGMAPPPDITSMPNYEAVKRAQELAAKMGFRQDPEFAPLINFFPGQLPAEVSALQKPSKAPVLRVDALGREIDEHGNVVNVTKPNNLSTLKVNINKQKKEAFQILKPELDVDPESNPYFDAKMGINKNKFLRPKRMTFQFVEEGKWLKEAEIMKLRNQFGEEREKDMKARQALHAKAKAAPDINPNLIEVSERVTTKAKPKDPIPDIEWWDVPLLTSGTYGEDVDDLKTQRRLKMEKITIYVEHPRPIEPPAEPAPPPPQPLKLTKKEQKKLRTQRRLAREKDKQEMIRQGLIEPPKPKVKMSNLMKVLGSEATQDPTRLEKEIRTAAAEREQAHIDRNTARKLTPAERREKKERKLFDDPNTVETIVSIYRINDLSDKKTRFKVDVNAHENRLTGCTVITEGICVVVVEGGSKSIKRYGKLMLRRINWAEAVNEDEGGDNDEKPMNKCVLVWQGSVAKPNFHRFSLHECVTEAAARKYFADAGVAHYWDLAVNFSEDQM >Potri.015G101400.1.v4.1 pep chromosome:Pop_tri_v4:15:12078784:12080942:1 gene:Potri.015G101400.v4.1 transcript:Potri.015G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101400.v4.1 MALHFTWVFGFGLLGNIISCLVCLAPLPTFYQICKKKTSQGFQSIPYVIALFSAMLWLFYASFSENAMLLITINSFAFFMEIGYIAVYLFYATKKDKILTFKLLLLFNIFGFGLICALSLLLTEGTKRVHVLGWICMVFALCVFVAPLGVVRKVIRTKSVEFMPFALSFFLTLSAVMWFFYGYLKKDKFVAIPNILGFIFGILQMVLYLIYRNPKKNEVAEPKTQELSEQYCSDINIAMPKLNEGGNEVFEAHSAKDQTKEAMDVTNKV >Potri.019G100701.4.v4.1 pep chromosome:Pop_tri_v4:19:13699925:13701234:1 gene:Potri.019G100701.v4.1 transcript:Potri.019G100701.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100701.v4.1 MWVLREKAGDGSETLNVNGLVAETKSMVVLPGFCVFCLASGLFPSSKNRRKLFHTLENQRTSLFPWKWVSWSISVS >Potri.019G100701.1.v4.1 pep chromosome:Pop_tri_v4:19:13699925:13701234:1 gene:Potri.019G100701.v4.1 transcript:Potri.019G100701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100701.v4.1 MSLISDFVCAECETEFKTVLGADSMWVLREKAGDGSETLNVNGLVAETKSMVVLPGFCVFCLASGLFPSSKNRRKLFHTLENQRTSLFPWKWVSWSISVS >Potri.019G100701.5.v4.1 pep chromosome:Pop_tri_v4:19:13699925:13701234:1 gene:Potri.019G100701.v4.1 transcript:Potri.019G100701.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G100701.v4.1 MWVLREKAGDGSETLNVNGLVAETKSMVVLPGFCVFCLASGLFPSSKNRRKLFHTLENQRTSLFPWKWVSWSISVS >Potri.009G058900.1.v4.1 pep chromosome:Pop_tri_v4:9:6146765:6153892:1 gene:Potri.009G058900.v4.1 transcript:Potri.009G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058900.v4.1 MAAETKKIPLSTSDSLNMNQEKTIEETYQKKSQLEHILLRPDTYIGSIEKHAQILWVFENDKMVHRSVTYVPGLYKIFDEILVNAADNKQRDPKMDSLKVVIDVENNLVSVYNNGDGVPVDMHKEEGVYVPELIFGHLLTSSNYDDTMKKTTGGRNGYGAKLTNIFSTEFVIETADGKRKKKYKQVFSNNMGKRSEPIITKCKESENWTKVTFKPDLAKFSMTHLEEDVVALMKKRVVDMAGCLGKTVKVELNGSRVPVKSFQDYVNMYLNSAAESGSERPKCFYDKVGERWEVCVSLTEGQFQQASFVNSIATIKGGTHVDYVTNQITNYVMNAVNKKHKNGNIKAHNVKNYLWVFVNCLIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKSDIVDNLLSWAKFKENKELKKTDGTKTAKVNVPKLEDANEAGGRYSEKCTLILTEGDSAKALAIAGVAGLSQMERNFYGVFPLRGKLLNVREATSKQLKENKEIESIKKILGLQHDKQYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFLVEFITPIVKATHRNGTVLSFYSMPEYEYWKESLSGNASGWSIKYYKGLGTSTSKEGKDYFKNLHKHKKDFLWMDELDGDAIELAFSKKKIEARKNWLRQHEPGTHLDHNQKIIKYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVSQFSGYVSEHSAYHHGEQSLAGTIIGMAQDFVGSNNINLLQPNGQFGTRSVGGKDHASARYIYTQLSPITRFLFPKDDDGLLNYLDEDGQTIEPTWYMPIIPTVLVNGCEGIGTGWSTFIPNYNPRDVVANIRRLLNGEMMEPMNPWYRGFKGTIEKGASKEGGCSSYTVNGVINEVNETTLRITELPIRRWTDDYKAFLNSVTEGTRDENGNLPKDPFVKDFRKYGDDAAVHFEVQLSEENMLVAKQEGLLKKFKLTTTISTSNMHLFDSAGVIKKYDNPEQILENFFHLRLEYYETRKKVLLENLEFELLKLENKVRFILGVVRGEIIVNNRKRADLLLELHQKGFNPIPKKSKAVVAGATDDTEEAEDSPDVSGVRASDYDYLLSMAIGTLTLERVQQLCADRDKLNGEVDSLRKTTPKVLWVKDLEALEMQLDMLDEYDAEAEEARRKLKGGGAKGEAGFKVSKQAPKYPRKNTKKAINEEVSVETTGTSSSFAIETASSENAAAVVKPKGRAGSKKAPAKMQEKLSPVLDDFDEDDEIESLKDRLNAYRLDSSPEQSADMETDVLQVPARRGAARKKPLANVSVISDSEDEPNLDDDADVEVKALPETKKKGGRKAAAANDKAAKPPAATKRRGPASQQSQGLGQKLLTEMLKPAEGAGISPEKKVRKVRASPFNKKSGSVLGRIHKEDDTGSEPMSASSSENTDVIDVPARARPQRANRKQTRYVLSDSESEKEDSDFEQANDDSDSSSD >Potri.009G058900.2.v4.1 pep chromosome:Pop_tri_v4:9:6146765:6153832:1 gene:Potri.009G058900.v4.1 transcript:Potri.009G058900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058900.v4.1 MAAETKKIPLSTSDSLNMNQEKTIEETYQKKSQLEHILLRPDTYIGSIEKHAQILWVFENDKMVHRSVTYVPGLYKIFDEILVNAADNKQRDPKMDSLKVVIDVENNLVSVYNNGDGVPVDMHKEEGVYVPELIFGHLLTSSNYDDTMKKTTGGRNGYGAKLTNIFSTEFVIETADGKRKKKYKQVFSNNMGKRSEPIITKCKESENWTKVTFKPDLAKFSMTHLEEDVVALMKKRVVDMAGCLGKTVKVELNGSRVPVKSFQDYVNMYLNSAAESGSERPKCFYDKVGERWEVCVSLTEGQFQQASFVNSIATIKGGTHVDYVTNQITNYVMNAVNKKHKNGNIKAHNVKNYLWVFVNCLIDNPAFDSQTKETLTLRQSSFGSKCELSEDFLKKVAKSDIVDNLLSWAKFKENKELKKTDGTKTAKVNVPKLEDANEAGGRYSEKCTLILTEGDSAKALAIAGVAGLSQMERNFYGVFPLRGKLLNVREATSKQLKENKEIESIKKILGLQHDKQYSNVKSLRYGHLMIMTDQDHDGSHIKGLLINFIHSFWPSLLKVPSFLVEFITPIVKATHRNGTVLSFYSMPEYEYWKESLSGNASGWSIKYYKGLGTSTSKEGKDYFKNLHKHKKDFLWMDELDGDAIELAFSKKKIEARKNWLRQHEPGTHLDHNQKIIKYSDFINKELILFSMADLQRSIPSMVDGLKPGQRKILFCSFKRNFVKEAKVSQFSGYVSEHSAYHHGEQSLAGTIIGMAQDFVGSNNINLLQPNGQFGTRSVGGKDHASARYIYTQLSPITRFLFPKDDDGLLNYLDEDGQTIEPTWYMPIIPTVLVNGCEGIGTGWSTFIPNYNPRDVVANIRRLLNGEMMEPMNPWYRGFKGTIEKGASKEGGCSSYTVNGVINEVNETTLRITELPIRRWTDDYKAFLNSVTEGTRDENGNLPKDPFVKDFRKYGDDAAVHFEVQLSEENMLVAKQEGLLKKFKLTTTISTSNMHLFDSAGVIKKYDNPEQILENFFHLRLEYYETRKKVLLENLEFELLKLENKVRFILGVVRGEIIVNNRKRADLLLELHQKGFNPIPKKSKAVVAGATDDTEEAEDSPDVSGVRASDYDYLLSMAIGTLTLERVQQLCADRDKLNGEVDSLRKTTPKVLWVKDLEALEMQLDMLDEYDAEAEEARRKLKGGGAKGEAGFKVSKQAPKYPRKNTKKAINEEVSVETTGTSSSFAIETASSENAAAVVKPKGRAGSKKAPAKMEKLSPVLDDFDEDDEIESLKDRLNAYRLDSSPEQSADMETDVLQVPARRGAARKKPLANVSVISDSEDEPNLDDDADVEVKALPETKKKGGRKAAAANDKAAKPPAATKRRGPASQQSQGLGQKLLTEMLKPAEGAGISPEKKVRKVRASPFNKKSGSVLGRIHKEDDTGSEPMSASSSENTDVIDVPARARPQRANRKQTRYVLSDSESEKEDSDFEQANDDSDSSSD >Potri.T002428.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:263240:266707:1 gene:Potri.T002428.v4.1 transcript:Potri.T002428.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002428.v4.1 MTEPQSSHSRPEGAYDVFLSFRGEDTRKTFVDHLYTALVQAGIHTFRDDDELPRGEEISEHLLEAIRESKISIVVFSKGYASSRWCLNELVEILKCKRKKTGQIVLPIFYDIDPSDVRKQTGSFAEAFDKHEEERFEEKLVKEWRKALEDAGNLSGWSLNDMANGHEAKFIKGIIKDVLNKLRRECLYVPEHLVGMDLAHDIYDFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCNGLDGSCFLSDINETSKQFNGLALLQKQLLHDILKQDVANINCVDRGKVMIKDRLCRKRVLVVADDVAHLDQLNALMGERSWFGPGSRVIITTRDSSLLRKADLTYEIEELEPDESLQLFSWHAFKDTKPAEDYIELSKKAVDFCGGLPLALQVIGALLYRENNDKWEGEIDNLSRIPNQDIQGKLLISFDALDGELQNAFLDIAFFFIGKEKEYVAKLLGACCRYNPEVLEKLRERSLIEILEETVTMHDLLREMGREVVFKAKGCMECTSEKHYGMYFSSRRVRML >Potri.T002428.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:263268:267247:1 gene:Potri.T002428.v4.1 transcript:Potri.T002428.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002428.v4.1 MANGHEAKFIKGIIKDVLNKLRRECLYVPEHLVGMDLAHDIYDFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCNGLDGSCFLSDINETSKQFNGLALLQKQLLHDILKQDVANINCVDRGKVMIKDRLCRKRVLVVADDVAHLDQLNALMGERSWFGPGSRVIITTRDSSLLRKADLTYEIEELEPDESLQLFSWHAFKDTKPAEDYIELSKKAVDFCGGLPLALQVIGALLYRENNDKWEGEIDNLSRIPNQDIQGKLLISFDALDGELQNAFLDIAFFFIGKEKEYVAKLLGACCRYNPEVLEKLRERSLIEILEETVTMHDLLREMGREVVFKAKGCMECTSEKHYGMYFSSRRVRML >Potri.017G082500.1.v4.1 pep chromosome:Pop_tri_v4:17:9309270:9311229:1 gene:Potri.017G082500.v4.1 transcript:Potri.017G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G082500.v4.1 MGRPPCCDKIGVKKGPWTPEEDIILVSYIQEHGPGNWRAVPTSTGLLRCSKSCRLRWTNYLRPGIKRGNFTDHEEKMIIHLQALLGNRWAAIASYLPQRTDNDIKNFWNTHLKKKLRKLQAGQEGQSRDGLSSTGSQQISRGQWERRLQTDINMARQALCEALSPGKPSSLLTGLKPSCGYEKPATEPIYASSTENISRLLKGWMISGPKQSLKNSTTQNSFIDTAGADSLSSEGTPDKADKNGTGLSQAFESLFGFDSFDSSNSDFSQSMSPDTGLFQDESKPNSSAQVPLSLIERWLFDEGAMQGKDYINEVTIDEDNLF >Potri.012G061900.11.v4.1 pep chromosome:Pop_tri_v4:12:6428179:6432958:1 gene:Potri.012G061900.v4.1 transcript:Potri.012G061900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061900.v4.1 MYREARGLSVKTTAKEEAMSHIVKHKDSPRPSQASRYADGSYGVGKTGKQNASPVDLKESLGVLAKLREAPWYNNETKERPRSLYEAKDGSWHTIRKDAPRFSCDGQEINHLSFESRDTIKSTPKLTELPRLSLDSRVISMRGSNTDSRSNYLSKDIQSSSNSNEEIFNLQQSCETQKRPPSVVAKLMGLEELPDSAYNSYSQPGLIQNLPVEHDNSFSRSLKINDLNRPIRIPKSPRNSIKDPVSPRWKNPDLVMKPISRQPIEPAPWKQLNGSRSSQEQPFKPAKLSGKTSNSITSVFCDIEMRLKDLEFNQSGKDLRALKQILEAMQAKGLLETSKEEQASNFVPQRVQEPKCSSPGQKPRLLNQQNNHVGVPTNKSSDTLRSCESPIVIMKPAKLVEKSGIPASSVITTAGLHKIPTSGYADSKKGSINSRTTKDQSPRNSKRDSSASSSDKRTAVKNTKSTQSSTRSQQGPKESYTDSVKSSGSVSLRLQQKKLDLEKLSCPPTPPSDTGKPRRQSNRQPTEIGSPGGKHRVKYPKFAESDDQFSQISDESRTSSHQGDDTSLQSDGTVFDLKKGIEVTSTQLFTENYGDLSPTLNATRSLVSGSLQKKSTSMFEEDRTSRELLVAPEHPSPVSVLDALVYRDDALSPVKQIPNMLKGEGAKDSHDQQSEDQWNLADNLSNSVTSVLSIEINPRKLQNIENLVQKLRRLNSTHNEASTDYIASLCENPNPDHRYISEILLASGLLLRDVGSGLTTFQLHPSGYPINPELFMVLEQTKASNSVSKEECRPGKSFHSKPNLEKFHRKLIFDAVNEILVKKLASVGPSPEPWLKSDKLAKKALSAQKLLKELCSDMEQLQIKKSECSLEDEEDGLKSFLWDDVMHRSESWIDFHSEISGIVLDVERLVFKDLVNEIVISEAAGLRTKPRRCRQLFGK >Potri.012G061900.10.v4.1 pep chromosome:Pop_tri_v4:12:6428668:6432980:1 gene:Potri.012G061900.v4.1 transcript:Potri.012G061900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061900.v4.1 MYREARGLSVKTTAKEEAMSHIVKHKDSPRPSQASRYADGSYGVGKTGKQNASPVDLKESLGVLAKLREAPWYNNETKERPRSLYEAKDGSWHTIRKDAPRFSCDGQEINHLSFESRDTIKSTPKLTELPRLSLDSRVISMRGSNTDSRSNYLSKDIQSSSNSNEEIFNLQQSCETQKRPPSVVAKLMGLEELPDSAYNSYSQPGLIQNLPVEHDNSFSRSLKINDLNRPIRIPKSPRNSIKDPVSPRWKNPDLVMKPISRQPIEPAPWKQLNGSRSSQEQPFKPAKLSGKTSNSITSVFCDIEMRLKDLEFNQSGKDLRALKQILEAMQAKGLLETSKEEQASNFVPQRVQEPKCSSPGQKPRLLNQQNNHVGVPTNKSSDTLRSCESPIVIMKPAKLVEKSGIPASSVITTAGLHKIPTSGYADSKKGSINSRTTKDQSPRNSKRDSSASSSDKRTAVKNTKSTQSSTRSQQGPKESYTDSVKSSGSVSLRLQQKKLDLEKLSCPPTPPSDTGKPRRQSNRQPTEIGSPGGKHRVKYPKFAESDDQFSQISDESRTSSHQGDDTSLQSDGTVFDLKKGIEVTSTQLFTENYGDLSPTLNATRSLVSGSLQKKSTSMFEEDRTSRELLVAPEHPSPVSVLDALVYRDDALSPVKQIPNMLKGEGAKDSHDQQSEDQWNLADNLSNSVTSVLSIEINPRKLQNIENLVQKLRRLNSTHNEASTDYIASLCENPNPDHRYISEILLASGLLLRDVGSGLTTFQLHPSGYPINPELFMVLEQTKASNSVSKEECRPGKSFHSKPNLEKFHRKLIFDAVNEILVKKLASVGPSPEPWLKSDKLAKKALSAQKLLKELCSDMEQLQIKKSECSLEDEEDGLKSFLWDDVMHRSESWIDFHSEISGIVLDVERLVFKDLVNEIVISEAAGLRTKPRRCRQLFGK >Potri.012G061900.4.v4.1 pep chromosome:Pop_tri_v4:12:6426062:6432979:1 gene:Potri.012G061900.v4.1 transcript:Potri.012G061900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061900.v4.1 MAAKLLHSLADDNPDLQKQIGCMTGIFQIFDRHQVLTGRRLNTKMLPPGDFHYKNGRSERENTAVDSHLNRSLIEKQRISTESSRASFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPETHSRDPVITQPNTSAHSGRQSFDLRDVVKDSMYREARGLSVKTTAKEEAMSHIVKHKDSPRPSQASRYADGSYGVGKTGKQNASPVDLKESLGVLAKLREAPWYNNETKERPRSLYEAKDGSWHTIRKDAPRFSCDGQEINHLSFESRDTIKSTPKLTELPRLSLDSRVISMRGSNTDSRSNYLSKDIQSSSNSNEEIFNLQQSCETQKRPPSVVAKLMGLEELPDSAYNSYSQPGLIQNLPVEHDNSFSRSLKINDLNRPIRIPKSPRNSIKDPVSPRWKNPDLVMKPISRQPIEPAPWKQLNGSRSSQEQPFKPAKLSGKTSNSITSVFCDIEMRLKDLEFNQSGKDLRALKQILEAMQAKGLLETSKEEQASNFVPQRVQEPKCSSPGQKPRLLNQQNNHVGVPTNKSSDTLRSCESPIVIMKPAKLVEKSGIPASSVITTAGLHKIPTSGYADSKKGSINSRTTKDQSPRNSKRDSSASSSDKRTAVKNTKSTQSSTRSQQGPKESYTDSVKSSGSVSLRLQQKKLDLEKLSCPPTPPSDTGKPRRQSNRQPTEIGSPGGKHRVKYPKFAESDDQFSQISDESRTSSHQGDDTSLQSDGTVFDLKKGIEVTSTQLFTENYGDLSPTLNATRSLVSGSLQKKSTSMFEEDRTSRELLVAPEHPSPVSVLDALVYRDDALSPVKQIPNMLKGEGAKDSHDQQSEDQWNLADNLSNSVTSVLSIEINPRKLQNIENLVQKLRRLNSTHNEASTDYIASLCENPNPDHRYISEILLASGLLLRDVGSGLTTFQLHPSGYPINPELFMVLEQTKASNSVSKEECRPGKSFHSKPNLEKFHRKLIFDAVNEILVKKLASVGPSPEPWLKSDKLAKKALSAQKLLKELCSDMEQLQIKKSECSLEDEEDGLKSFLWDDVMHRSESWIDFHSEISGIVLDVERLVFKDLVNEIVISEAAGLRTKPRRCRQLFGK >Potri.012G061900.6.v4.1 pep chromosome:Pop_tri_v4:12:6425945:6432958:1 gene:Potri.012G061900.v4.1 transcript:Potri.012G061900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061900.v4.1 MADQKGRTQQFHLNRSLIEKQRISTESSRASFSSSCSSSLSSLDCNKTAQPEASSFDRIIFPETHSRDPVITQPNTSAHSGRQSFDLRDVVKDSMYREARGLSVKTTAKEEAMSHIVKHKDSPRPSQASRYADGSYGVGKTGKQNASPVDLKESLGVLAKLREAPWYNNETKERPRSLYEAKDGSWHTIRKDAPRFSCDGQEINHLSFESRDTIKSTPKLTELPRLSLDSRVISMRGSNTDSRSNYLSKDIQSSSNSNEEIFNLQQSCETQKRPPSVVAKLMGLEELPDSAYNSYSQPGLIQNLPVEHDNSFSRSLKINDLNRPIRIPKSPRNSIKDPVSPRWKNPDLVMKPISRQPIEPAPWKQLNGSRSSQEQPFKPAKLSGKTSNSITSVFCDIEMRLKDLEFNQSGKDLRALKQILEAMQAKGLLETSKEEQASNFVPQRVQEPKCSSPGQKPRLLNQQNNHVGVPTNKSSDTLRSCESPIVIMKPAKLVEKSGIPASSVITTAGLHKIPTSGYADSKKGSINSRTTKDQSPRNSKRDSSASSSDKRTAVKNTKSTQSSTRSQQGPKESYTDSVKSSGSVSLRLQQKKLDLEKLSCPPTPPSDTGKPRRQSNRQPTEIGSPGGKHRVKYPKFAESDDQFSQISDESRTSSHQGDDTSLQSDGTVFDLKKGIEVTSTQLFTENYGDLSPTLNATRSLVSGSLQKKSTSMFEEDRTSRELLVAPEHPSPVSVLDALVYRDDALSPVKQIPNMLKGEGAKDSHDQQSEDQWNLADNLSNSVTSVLSIEINPRKLQNIENLVQKLRRLNSTHNEASTDYIASLCENPNPDHRYISEILLASGLLLRDVGSGLTTFQLHPSGYPINPELFMVLEQTKASNSVSKEECRPGKSFHSKPNLEKFHRKLIFDAVNEILVKKLASVGPSPEPWLKSDKLAKKALSAQKLLKELCSDMEQLQIKKSECSLEDEEDGLKSFLWDDVMHRSESWIDFHSEISGIVLDVERLVFKDLVNEIVISEAAGLRTKPRRCRQLFGK >Potri.015G031200.3.v4.1 pep chromosome:Pop_tri_v4:15:2387095:2389408:1 gene:Potri.015G031200.v4.1 transcript:Potri.015G031200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031200.v4.1 MEAATATVIGSSIGISTPAKSVARLGFLATNKQDFVHFPIKRHSSVSYSKLGHRRVAYGSRRCMAVRASSSSSSDSSGSIVPIAPLQLESPIGQFLSQILVSHPHLVPAAVEQQLEQLQTDRDSEKQKEDPSATGTDLVLYRRIAEAKANDRRKALEEILYALVVQKFMDANVSLIPTIGPSSAESSGQVDTWPSQDEKLEQLHSPEAYEMIQNHLTLILGNRVGDSTAVAQISKLRIGQVYAASVMYGYFLKRVDQRFQLEKTMKILPNGVDETESDIHKAVGQDVKPGGRGLSYQALSSHPEAISGGISPGGFGHGLKASRLRNYVMSFDAETLQRYATIRSKEAVSIIEKHTEALFGRPEIVITPQGTIDTSKDEVVTISLGGLKRLALEAVTFGSFLWDVESYVDSRYHFVLN >Potri.019G022400.1.v4.1 pep chromosome:Pop_tri_v4:19:3434613:3436651:1 gene:Potri.019G022400.v4.1 transcript:Potri.019G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH24 MVVESVLCMNPGDGETSYAKNSFFQKAVLSKARPILEDTIKDMFSTALPTCFKLADLGCSSGPNTLLFVSEIMDVVYELCQQQNCKLPEFQVFLNDLPGNDFNTVFKSLPFFYEKFGEEKGDLYGQRCYISGVPGSFYHRLFPSKSLHFFHSSYSLHWLSKVPEGISDNKGNIYMAKASPPNVFKAYLEQFQKDFSLFLRLRSEEIIQGGRVVLTFLGRSIDDPRSKDCCLFWELLAKSLLDLAAKGLVVEADIDTFNLPYYNPYEGEVREIIEMEGSFDINKLETFAINWDANDDISNKNFVFDKDQCGRNVANIVRAVAEPMLVSHFGDEIMDELFKRYAEHVGEHLCVEKTKHINIVLTMTKKE >Potri.001G170800.2.v4.1 pep chromosome:Pop_tri_v4:1:14676204:14682260:-1 gene:Potri.001G170800.v4.1 transcript:Potri.001G170800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170800.v4.1 MAVKASGAYRNCKPCSGSSNNNSNRNYAESDAASDSARFHCSYRRTGSSNSTPRMWGKEMEARLKGLSGGEGTPASVSGRTESVAFMEEDEPKEWVAQVEPGVLIAFHSLPDGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVRQFNHQAVPLPTPPRSEDESSKPESAKDSPVIPPLGKGCPHNFHLPTGMDYSSSDSLDHHPMQSHQYYDPAGLASTPKLSGIAGAKTETSSIDGSVRTSMSRESDRSEELSISNASDMETEWVEQDEPGVYITIRALPGGSRELRRVRFSRERFEETQARLWWEENRARIQEQYL >Potri.001G170800.1.v4.1 pep chromosome:Pop_tri_v4:1:14676201:14682449:-1 gene:Potri.001G170800.v4.1 transcript:Potri.001G170800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G170800.v4.1 MLTCIACSKQLNNGSLQQREREEDVDVAALETPRTKQAIKALTAQIKDMAVKASGAYRNCKPCSGSSNNNSNRNYAESDAASDSARFHCSYRRTGSSNSTPRMWGKEMEARLKGLSGGEGTPASVSGRTESVAFMEEDEPKEWVAQVEPGVLIAFHSLPDGGNDLKRIRFSREMFNKWQAQRWWAENYDKVMELYNVRQFNHQAVPLPTPPRSEDESSKPESAKDSPVIPPLGKGCPHNFHLPTGMDYSSSDSLDHHPMQSHQYYDPAGLASTPKLSGIAGAKTETSSIDGSVRTSMSRESDRSEELSISNASDMETEWVEQDEPGVYITIRALPGGSRELRRVRFSRERFEETQARLWWEENRARIQEQYL >Potri.016G135100.1.v4.1 pep chromosome:Pop_tri_v4:16:13933562:13938170:1 gene:Potri.016G135100.v4.1 transcript:Potri.016G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G135100.v4.1 MGERGSVNAAAMHTAMNAVQALGRGFDVNYDKRLLYCKGVAGSKVVEIDGEHTRDLLLAGGILLPNVSRDIRSSLDPIGRQSSGVCTFHEMVEYFNQKANLSGGLPLGSFNSAFSFTGSKHIDAAATKTLSMDGYYIPLAKVQLKRSPLVLHENVIRAVPTFWDPPSLASFIENFGTHVITSVTIGGKDVIYVKQHQSSPLSTMEIKHYVQDIGNQRFSDTEGHMSSGPMKLKDKGGDSGIFNSQGIYPQPTSAPYLTGKEDVTVIFRRRGGDDLEQNHIRWARTVESSPDVIEMTFVPIADLLVGVPGKEHLSRAIALYLEYKPQIEELRYFLEFQIPRIWAPVQDNIPGHQRKEPVCPSLQFSMMGQKLYVSQEQISVGRKPVTGLRLCLEGAKQNRLRIHLQHLASLPKILLPYWDTHVAIGAPKWLGPEEQDSRWFEPVKWKNFSHVSSAPVENPETFIGDQSCVYIVTGAQLGVWDFGSRNVLYMKLLYSRLPGCTIRRSLWDHMPNDKSKKVPAVNNTNSGDSSSASRENVAGNKLAKFVDMSEMSKGPQDPPGHWLVTGGKLGVEKGRIVLRVKYSLLNY >Potri.001G096200.1.v4.1 pep chromosome:Pop_tri_v4:1:7633380:7637384:-1 gene:Potri.001G096200.v4.1 transcript:Potri.001G096200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096200.v4.1 MHKGVHLTNGGGGGGDSRLRRHEKRQHFFKEKGLDLSHFLADPSPSSPDQPESAGSLRRHIAASLIQHHRSIERSNHALQPVSPASYGSSMEVAPYNPAVTPSSSLDFKGRIGESHYSLKTSTELLKVLNRIWSLEEQHVSNVSLIKALKIELDHARIRIKELLRYQQADRHEIDDLMKQIAEDKLVQKSKEQERLHAAIQSLRDELEDERKLRKRSESLHRKLARELSEVKSSFSNALKEMERERKSRKLLEDLCDEFAKGIKDYEQEVHTLNKKSDRDWVRRADGDRLILHISESWLDERMQMRLEEAQHGFSENNSIVDKLGFEIETFLKTKRMGNSKSSNNVLPRERRNSMESVPLNETVSAPQDVGDEQDSTGSDSHCFKHNRPGNDDFHLHGDEAVAGHTDEMEKSTRTKKKLASRERSRCQNPSNLQVKFEEHKAQAMPCNGNKKSEVMELEGVKTGEGNPTEVSISRRSENCDATEGGSLERKSKVDEIHGSNSNYVIDNLIRSHISSSEAVNLHLENDAGEASCSYPPRRYASPVRQWMSKLTSPDLDISESSTKPPPNLKENTLKAKLLEARSKGPRSRLRIFKGSS >Potri.006G033100.2.v4.1 pep chromosome:Pop_tri_v4:6:2059307:2060072:1 gene:Potri.006G033100.v4.1 transcript:Potri.006G033100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G033100.v4.1 MRKGTLAPPSTGLRDKRSKKRKRVEPKVARCVTSEPMMVLAREPKTGFEVCTAKGTSDNDDAVDVIEKEGEKVRNAGDVCNNEAAATTFTDLDANLRPLAAVGDGKAKVDGGSCNVVLALCAVPVMGTDLNEGLASDPLHSDSEGDNDYPTDYWSDTFPKMLLQGIPENSLQEEEIEMIPNRFYFDYLL >Potri.006G235301.3.v4.1 pep chromosome:Pop_tri_v4:6:23767238:23769195:-1 gene:Potri.006G235301.v4.1 transcript:Potri.006G235301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235301.v4.1 MAQLLRLNVPMAMKLAFDSFKSSTFKTRDEAVSDFGGYDSIELSVMLCNDEFIRKLNEEWRDEDHATDVLSMSLHVLGLNVPILMFGDLVISIETAARQAEERGHKLIDEIRALLVHGLLHLLGFDHEISEEGWSGDGGGIEEGILLESLGWKGKGLIQSAYNAETNMNHHMENSNDR >Potri.006G235301.2.v4.1 pep chromosome:Pop_tri_v4:6:23767238:23769195:-1 gene:Potri.006G235301.v4.1 transcript:Potri.006G235301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235301.v4.1 MAQLLRLNVPMAMKLAFDSFKSSTFKTRDEAVSDFGGYDSIELSVMLCNDEFIRKLNEEWRDEDHATDVLSMSLHVLGLNVPILMFGDLVISIETAARQAEERGHKLIDEIRALLVHGLLHLLGFDHEISEEGWSGDGGGIEEGILLESLGWKGKGLIQSAYNAETNMNHHMENSNGRKKAVFDSINQSSAISSAMWMVR >Potri.006G235301.1.v4.1 pep chromosome:Pop_tri_v4:6:23767238:23769195:-1 gene:Potri.006G235301.v4.1 transcript:Potri.006G235301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235301.v4.1 MAQLLRLNVPMAMKLAFDSFKSSTFKTRDEAVSDFGGYDSIELSVMLCNDEFIRKLNEEWRDEDHATDVLSMSLHVLGLNVPILMFGDLVISIETAARQAEERGHKLIDEIRALLVHGLLHLLGFDHEISEEGWSGDGGGIEEGILLESLGWKGKGLIQSAYNAETNMNHHMENSNGRKKAVFDSINQSSAISSAMWMFNLLDQCIGIKRGFVKGCEGGDRNWKRVNCLRWTGSGKF >Potri.006G235301.4.v4.1 pep chromosome:Pop_tri_v4:6:23767238:23769195:-1 gene:Potri.006G235301.v4.1 transcript:Potri.006G235301.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G235301.v4.1 MAQLLRLNVPMAMKLAFDSFKSSTFKTRDEAVSDFGGYDSIELSVMLCNDEFIRKLNEEWRDEDHATDVLSMSLHVLGLNVPILMFGDLVISIETAARQAEERGHKLIDEIRALLVHGLLHLLGFDHEISEEGWSGDGGGIEEGILLESLGWKGKGLIQSAYNAETNMNHHMENSNDR >Potri.004G015401.3.v4.1 pep chromosome:Pop_tri_v4:4:1063109:1066937:-1 gene:Potri.004G015401.v4.1 transcript:Potri.004G015401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015401.v4.1 MVELQSCASLVNASALCSIEQEVKEENTVNVIAEISAELQREREKNAELMEKISVLEAQIQERDHKGSLITHRQGSSINATERRLKRFKRQKLKVIQNDVQNGNVVDVGMVSQIKHEIPFSIPPKDANLEDCLVNWMSMDETQFSQLEKLKGDDSAADCNDTDDTDEEDEADNKIDHINGQMDVVHVGAIELKENLHEDSVGEAIKLSCFESSFGGQSEQTFQHLNQARNEDRKNDGLPPEKQLVHDCADARNHDNREIKNKESHRTEADDFVPKEACYTRPGNVSLLRKPPKIAFCPKEVKRILESEVLLLRNAQSHTIRKIIVFASLGIRHGCDDMYELDFNHFSILRKGEPYVSPKDPGEYVLYENPGIQRKIFYPNRQNPTLCPVQILEEEKDMRRSDPSCPSCLFLCIKYGGRTRNLPQNEYVRQRMGRNKLKSFGPLLCRMAMLVHIRSGSFFFKALGITLLFMAGFPDDLVQRETKYRNLDLLQKYYRTDEDAEGEELFLSPEKTCEVASPGSKKLTGKTTSTKSKGKKQSNSSSKPHNLPRSSIPEYVPSTSAPPAPFGHMGYSSMQTHAMAAFQAIPYQTPPDTPAVPSQVIDSPNVSYHNQTPYHMFPPQPANSFMPMIYWSAPSAFPSSPYANTYGYRPFPSTANYISIHHQPYYSHPSLCSPIPKVPEKNEKNEVILKESDIDSDSSSSSTETKVPLLS >Potri.008G009800.13.v4.1 pep chromosome:Pop_tri_v4:8:480040:481290:1 gene:Potri.008G009800.v4.1 transcript:Potri.008G009800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009800.v4.1 MKPIQPPPPQSPSSTNRPTNNSRPRRRPDLTLPLPQRDPALAVPLPLPPNSVGSNPSSSSVSSARAQLSFSELDRINRIGSGSGGTVYKVVHRPTGRFYALKVIYGNHEDSVRNQICREIEILRDVNHPNVVKCHDMFDHNGEIQVLLEFMDNGSLEGTHINHEAYLSDVARQILNGIAYLHKRKIVHRDIKPSNLLINSKKNVKIADFGVSRILAQTMDPCNSSVGTIAYMSPERINTDLNQGLYDGYAGDIWSLGVSILEFYLGRFPFGVGRQGDWASLMCAICMSQPPEAPASASREFRNFIACCLQREPSRRWTANQLLQHPFIVRRGGGGEGGGGNGTHSLMLPPPPRPIA >Potri.013G091100.2.v4.1 pep chromosome:Pop_tri_v4:13:9309780:9313402:-1 gene:Potri.013G091100.v4.1 transcript:Potri.013G091100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G091100.v4.1 MPIELPTQTYVKALSSVLYNATEASSSHLKNKEDSNHFQLSEQMSQVTNVPITLDLNAYQAERRGRTTLSVEVTASSRPLDLNSEACIANNLVRNDIAEHADNCNEVPLLKKHEDKHGNKCVTSVGIGLDLNAEDDSSVNQELFRTNKDHDKSRDVSECGSATGPVQEKDPLRMWKEMKQNGFLSSSHGGISIQSGFMSSSHGGIPMPKQCGRKPKDDVHKKKMELAKREQVDRLTKIAAPSGLLNGSNLGIINHVRNKKQVHSIIEALGDFNIVGTVHARNFVSYSAVSKDDVLALKLSSSTNALEESRTVLNEESANSTSVSCLSVRAASVSSQWLELLHQDIKGRIAALCRSRKRVRAVITTELHFLSKEFSAIEVDSSYIMQSSSEVVSNNTTAALHQARWRPLFDQLDKALYEEEKQLERWLCQVKEMQVHFDQGLQHLSYKAILGYPRTEKADSLQKELAVRAAAASIYSTCNFLTSKENVSCF >Potri.008G160800.1.v4.1 pep chromosome:Pop_tri_v4:8:11054025:11057290:1 gene:Potri.008G160800.v4.1 transcript:Potri.008G160800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G160800.v4.1 MAHGGYGKRRVAERKPPVGRRSKGLGVDKKPKQKPKSVSIKNQIRSIDRMLRKELPLQVREAQEKRLEELKKQQEIHTRLALERKIFLRDRKIKFFERRKIDRRIRRLEKLLRASSGQLPQDADVADQLSKLKEDLEYVRFFPKTEKYVSLFTGGDDSDIVDRRNRLREQIKANLVAAAASGKDLEETGSEDDGLLDLSEDDFFLAETSSDEADADDEWTDKSTREQASSASGKAASGMSSDERNQTAARALMPPPRPSNNLQANPVHAQSRFGASSSKNSWKQRAGISTSSNTSSSISGSAFRAGGSSSTRTGHSSNLSSNSDAHKPRRKRRPKKKKQQA >Potri.002G021700.1.v4.1 pep chromosome:Pop_tri_v4:2:1360690:1364357:1 gene:Potri.002G021700.v4.1 transcript:Potri.002G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G021700.v4.1 MPFDGFSPLISSQLQYLLNHFPHTIQIEQAWSGTRYFPGSLDRFTLLIPYCLDYIKWDIIYNAEFPLAAPDVIFGPEDDGFHPFIVVGREEGDSRLVKNSLTDWNNKDPSRLLALVMELRDKYRSYQERRVGEVDDDRLKFEISTIVSREGIEMHMSSGVEKPGEVKFAVPLMDMNINKMVLGCPWSHPQKIYLQVIYPVGRKYAPATSAPRLKLMCTPELKALFSIDEVKLPAWLDGMCMAEYLPHLEELLQRQVLEAVTLIDVRRQFIEALSPVIGRPLEADPVFCRKASFLVCSGPFTFLVHFFLSTQFPKQRPSLMFQSIQHFNSLGIPVKSPLITEYLWSPRWEPSQMAERISDFLVDESLNFKRHCNESQLQH >Potri.005G195800.1.v4.1 pep chromosome:Pop_tri_v4:5:20287074:20287684:1 gene:Potri.005G195800.v4.1 transcript:Potri.005G195800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G195800.v4.1 MEKSKSFPQYSSSFSGEFGFEDRSNSYNFNGPCQKGNGFATSSDPELKRKKRIASYNVFTMEGKLKSNVRNSFKWIKSKFSDVRYGM >Potri.019G040301.1.v4.1 pep chromosome:Pop_tri_v4:19:5560137:5563784:-1 gene:Potri.019G040301.v4.1 transcript:Potri.019G040301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040301.v4.1 MTTKPSAFTLNYIIHVSLKAILTIFTFSIAISKYFLFWASLLFLWLLVLFYLFGNTASEYFCSSLEDLSKLLNLSPTIVGVTLLSLGNSAPNVFASVVSFMGDGTSDFGFNTVLGGASFVTCVVVGILSILAKQEEFRVNRDAFVRDICFFLLVLASLIFILIYGKINMWGAMGFLLMYIVYVMVVYISQVHGNGSINESERDHNSSNGSDLSIPILSSMEKGEHNYVKESGFECGPEVEMNKCCFCVRLSAPCSTLLRILEMPLSLPRRLTIPVVCEKRWSKPTAVASVTLAPVLLSTLWNAQDECATFNTILIVNGIGLMFGMTFGVLASVKIENSGPPKKCLLPWLAAGFLMSVTWSYILAQELVGLLVSLGYIFGISPSILGLTVLSWGNSIGDLITNLILAVNRGPEGAQVAISGCYAGPIFNILFGLGLSLFGSACYAYPSRVVIPKDPYLLETVGFLVGGLLWALVVLPGRNMRLDWVLGGGLLAIYLMSASSRVIQTFSSPM >Potri.015G093800.1.v4.1 pep chromosome:Pop_tri_v4:15:11617833:11619547:1 gene:Potri.015G093800.v4.1 transcript:Potri.015G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G093800.v4.1 MVNAREFEVVFRHLDENGDGKVSPSELSRCLGLIAGEFLVKEAELAVESLDSDGDGLLGLEDLVRLMEAGGEEEKLHDLREAFRLYDIDNCGFIRAKDLKTMLGRLGESRSIDECEVMINKFDLNGDGVLSFEEFMVMME >Potri.010G024200.1.v4.1 pep chromosome:Pop_tri_v4:10:3580911:3581780:1 gene:Potri.010G024200.v4.1 transcript:Potri.010G024200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024200.v4.1 MDQRTLEINVISARDLKDVNYISKMDVYAVVSISGVDSKQQPKQKTKTPIDRDGGKNPTWNFPIKFTIPETSLAENHLSLVFKLKCERALGDKDIGEVNVPIKELLDSAGDGKSMKFVSYQVRKPSGKPKGEINFSFKFGEIEKVVVPEASSSAAKATNSNSQPVAAYPAGPTSVPYGGPGSYPPPPAGYGYPPPPPGYGYPPPPPYGGYPPPPPQGYGYPPPPPGYGYPPVQGGYGYPPPVQGQQPQKKNKFGMGLGAGLLGGAIGGLLIGDMVSDAAGFDDAGGFDF >Potri.001G311300.1.v4.1 pep chromosome:Pop_tri_v4:1:32185022:32188350:1 gene:Potri.001G311300.v4.1 transcript:Potri.001G311300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G311300.v4.1 MSSLPYPSKQSSASDHRLNYQSTSLRYNHEIKATLEVKTVVPPPSSRVGGEGAATDHNGVFLTWEDLWVTVSNGKKGSKSILQGLTGYAQPGELLAIMGPSGCGKSTLLDTLAGRLGSNTRQTGDILINGHKQRLAYGTSAYVTQDETLITTLTVKEAVYYSAQLQLPDSMSRAEKKERAEVTIREMGLQDAMNTRIGGWGSKGLSGGQKRRVSICIEILTHPKLLFLDEPTSGLDSAASYYVMSRIVRFDRKDENRRTVIASIHQPSGEVFQLFDSLCLLSAGKVVYFGPASQANEFFALNGFPCPTLQNPSDHFLKTINKDFETDPEQGLEDAITAEVAINTLTKAYKSSDHYQQVKRLVTEICEKDCGTLEERSHSSFLTQCLVLTRRSFVNMYRDLGYYWLRVAIYVALALGLATLFYNLGSDNDSIQDRGSLLMFIASFLTFMTIGGFPSFVEDMKVFERERLNGHYGATAFVFGNTFSAFPYLLLISVIPGAITYYLPGLHKGYEHFIYFVLVLFACMMLVESLMMTVASVVPNFLMGIITGAGIQAFMVLGGGFFRLPNDLPQPFWKYPMYYIAFHKYAYQGMFKNEFEGLTFPSNQAGGPRTIPGEQILRDRWQVEMGVSKWVDLAILLGMVVLYRILFLVIIKTTEKIKPIITAIVSVRPKQTTQIMEIPLTTPSHGDQSVV >Potri.001G427210.2.v4.1 pep chromosome:Pop_tri_v4:1:45553906:45559464:-1 gene:Potri.001G427210.v4.1 transcript:Potri.001G427210.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G427210.v4.1 MSCLSIEELPDEIGELKELRLLDVTGCQRLRRIPVNLIGRLKKLEELLIGHLSFKGWDVVGCDSTGGMNASLTELNSLSQFAVLSLRIPKVECIPRDFVFPVSLRKYDIILGNAFGYGYYLSTSTRLYWAVTSLNAKTFEQLFLHKLESVVVRGCGDVFTLFPARLRQGLKNLKEVVIDNCESLEEVFELGEEKELPLLSSLTKLELSRLPELKCIWKGPTSHVSLQSLNFLELGYLDKLAFIFTPSLAQSLPQLQTLDITACGELKHIIREEDGEREIIPESPCFPQLKNIFIQRCGKLEYVFPVSMSPSLPNLEQMTIYYADNLKQIFYSGEGDALTTDGIIKFPQLRELSLGLRSNYGFIGPRNFDFQLPSLQNLNIKGHEEVGNWLAQLQQNGFLQRLKSVAVDDCGDVRTPFPAKLLRAVKNLSSVNINGCKSLEEVFELGEPDEGSREEKELPLLSSLTGLRLSRLPELKCIWKGPTRHISLQSLAHLYLNSLDKLIFILTPSLARSLPKLEILEISECGELKHIIREEDGEREIIPESPCFPQLKNIFIERCGKLEYVFPVSMSPSLPNLEQMTIYYADNLKQIFYSGEGDALTTDGIIKFPRLSDLVLSSISNYSFFGPTNLAAQLPSLRFLKINGHKELGNLFAQLQGFTNLKELSLESVPDLRGLLLSKLTTLEMAAHGEQNGSLHRLERVRVDDCGDVRAPFPAKLLRALKNLSSVNINGCKSLEEVFELGEPDEGSREEKELPLLSSLTGLRLSGLPELKCMWKGPTRHVSLQSLAYLDLWSLDKLTFIFTPSLARSLPKLERLYIGKCGQLKHIIREEDGEKEIIPEPPGQDGQASPINVEKEIVLPNLKELSIQQLSSIVCFSFGWCDYLLFPRLEKLEVHLCPKLTTKFASTPDGSMSAQSEVSEVAEDSSIYREWTRDKGWKER >Potri.001G427210.1.v4.1 pep chromosome:Pop_tri_v4:1:45553929:45558730:-1 gene:Potri.001G427210.v4.1 transcript:Potri.001G427210.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G427210.v4.1 MSCLSIEELPDEIGELKELRLLDVTGCQRLRRIPVNLIGRLKKLEELLIGHLSFKGWDVVGCDSTGGMNASLTELNSLSQFAVLSLRIPKVECIPRDFVFPVSLRKYDIILGNAFGYGYYLSTSTRLYWAVTSLNAKTFEQLFLHKLESVVVRGCGDVFTLFPARLRQGLKNLKEVVIDNCESLEEVFELGEEKELPLLSSLTKLELSRLPELKCIWKGPTSHVSLQSLNFLELGYLDKLAFIFTPSLAQSLPQLQTLDITACGELKHIIREEDGEREIIPESPCFPQLKNIFIQRCGKLEYVFPVSMSPSLPNLEQMTIYYADNLKQIFYSGEGDALTTDGIIKFPQLRELSLGLRSNYGFIGPRNFDFQLPSLQNLNIKGHEEVGNWLAQLQQNGFLQRLKSVAVDDCGDVRTPFPAKLLRAVKNLSSVNINGCKSLEEVFELGEPDEGSREEKELPLLSSLTGLRLSRLPELKCIWKGPTRHISLQSLAHLYLNSLDKLIFILTPSLARSLPKLEILEISECGELKHIIREEDGEREIIPESPCFPQLKNIFIERCGKLEYVFPVSMSPSLPNLEQMTIYYADNLKQIFYSGEGDALTTDGIIKFPRLSDLVLSSISNYSFFGPTNLAAQLPSLRFLKINGHKELGNLFAQLQCTKFLLMQGFTNLKELSLESVPDLRGLLLSKLTTLEMAAHGEQNGSLHRLERVRVDDCGDVRAPFPAKLLRALKNLSSVNINGCKSLEEVFELGEPDEGSREEKELPLLSSLTGLRLSGLPELKCMWKGPTRHVSLQSLAYLDLWSLDKLTFIFTPSLARSLPKLERLYIGKCGQLKHIIREEDGEKEIIPEPPGQDGQASPINVEKEIVLPNLKELSIQQLSSIVCFSFGWCDYLLFPRLEKLEVHLCPKLTTKFASTPDGSMSAQSEVSEVAEDSSIYREWTRDKGWKER >Potri.003G122000.1.v4.1 pep chromosome:Pop_tri_v4:3:14299596:14300444:-1 gene:Potri.003G122000.v4.1 transcript:Potri.003G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122000.v4.1 MAPSLFLVLVLLISTSQCSATLQDKQPDLIEKSCSIIVGYEDCVRILRSDPRAIKATNVKELAYIILDLCIANATETLREIPKLQEKYKKDGQIEEALRRCAVEYESADKVYFRKAVEQLGTKSYREAQNSAHVGGALGTSCEQEFYFQAPEFSPLWLRNHDLAVLGTVAEGIVSLLRLNKS >Potri.011G027300.1.v4.1 pep chromosome:Pop_tri_v4:11:2012521:2014055:1 gene:Potri.011G027300.v4.1 transcript:Potri.011G027300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G027300.v4.1 MASSKLSPCLIFLQIIFLVFVFNSANAQLKVGFYKDTCPKAEAIVKEVMDQVMKVAPSLSGPLLRMHFHDCFVRGCEGSVLLNSSTGQAEKDSPPNLSLRGYQVIDRVKTALEKECPGVVSCADILAIVARDVTVATIGPFWEVETGRRDGRVSTFSEPLTNLPPFFANISQLISMFRSKGLSVKDLVVLSGGHTIGTSHCSSFSSRLYNSTGKDGTDPTLDSEYIEKLKNKCKVGDQTTLVEMDPGSVRTFDNSYYTLVAKRRGLFQSDAALLDNSETKAYVKLQSAATHRSTFFKDFGVSMINMGRVEVLTGKAGEIRNVCSKVN >Potri.012G106675.1.v4.1 pep chromosome:Pop_tri_v4:12:12852078:12853890:-1 gene:Potri.012G106675.v4.1 transcript:Potri.012G106675.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106675.v4.1 MYPKQCPRKEAYKSSVQLKSTDKAILSGQHELAITNSSCFLLLAALVPLTPSVLMLVPAKVLARMSSIPFIPLLSNSSWYDFNLAQAEAVSILGFWKFWQAFGTGTFWCPVGTWKMKLILVCVKSTTTYRIFKVSSRLSYH >Potri.002G224600.3.v4.1 pep chromosome:Pop_tri_v4:2:21286494:21289219:-1 gene:Potri.002G224600.v4.1 transcript:Potri.002G224600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G224600.v4.1 MEPYFLSSFLVLVCIFTSADAGSIGVNYGRIANNLPAAAKVVQLVKSQGLERIKVYDTDPIVLKALSGCGIKVTVDLPNELLYSAAKNPYFARTWVQKNVVAYHPSTQIEAIAVGNEVFVDPHNTTKFLIPAMRNIHQALVKFNLHSSIKISSPVALSALQSSYPSSAGSFRPELIEPVFKPMLDFLRQTGSYLMVNAYPFFAYESNSDVISLDYALLRENPGVVDSGNGLRYFSLFDAQIDAVFAALSALKYDDIKIVVTETGWPSKGDENEIGSGVENAAAYNGNLVRRILTGGGTPLRPQADLTVYLFALFNENEKDGPTSERNYGLFYPDEQKVYDIPFTVEGLKSYKDSNRSSDTGSHQVAAPVNGGVSKSTTGKTWCVANPDAGKQKLQAGLDFACGEGGADCRPIQPGATCYDPNTLVAHSSFAFNSYYQKQGRGMGDCYFGGAAYVVTQEPKFGQCEFPTGY >Potri.010G169900.1.v4.1 pep chromosome:Pop_tri_v4:10:17178922:17184324:-1 gene:Potri.010G169900.v4.1 transcript:Potri.010G169900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169900.v4.1 MAVKITADLRFPEVGLPSSPFSGQRRLNANSKGGKVSVIRAIQSQEEAAAAGPTSTRYQARRTRRPQNIEGDFFVDHTCIDCDTCRWMAPQVFTRIGEMSAVFKQPTSGEERLKALQALLSCPTSSIHTEKPASDILQAQKTFPTPIDQQRIPGVYHCGYHSEKSYGAASFLIVHPEGNIIIDSPRYTERLARNIEMLGGARYMFLTHEDDVADHRKWSERLSCDRILHSVDVDNSTADVETKLQGTGPWNLGRDVQLIHTPGHTEGSICLFYKPLKILFTGDHLLMTETGLSICERYNKCSVPMQIDSVLKLLEIDFNWIIPGHGRRVEFKDREEKDSILKAFVEENYSQCR >Potri.006G124966.1.v4.1 pep chromosome:Pop_tri_v4:6:9981517:9982124:1 gene:Potri.006G124966.v4.1 transcript:Potri.006G124966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G124966.v4.1 MTMAITNHSSPPQSPSTKTVRLEYATQLGLKGWLRNRRDGSVEALFSGDSDNVQEMEQRCRRGPPDAMVTGFQVFPSTDDPGTGFQRKATV >Potri.001G029600.4.v4.1 pep chromosome:Pop_tri_v4:1:2202245:2211174:-1 gene:Potri.001G029600.v4.1 transcript:Potri.001G029600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029600.v4.1 MLLEAQNADGQTALHLACRRGSSELVRAILEYREADVDVLDKDGDPPLVFALAAGSPECVRALIERGANVRSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNAIDDEGESVLHRAVSKKYTDCALVILENGGCGSMAVPNSKNLTPLHLCVATWNVAVVRRWVEVASPEEIADAIDIPSPVGTALCMAAAAKKDHETEGRELVRILLFAGADPTAQDAQHGRTALHTAAMANDVELVKIILDAGVDVNIRNVQNTIPLHVALARGAKSCVGLLLSAGANCNMQDDEGDNAFHIAAETAKMIRENLEWLILMLRNSNAAVEVRNHSGKTLRDFLEALPREWISEDLMEALVNRGVHLSPTIFEVGDWVKFKRSVTTPTHGWQGAKHKSVGFVQTVVDKDNLIVSFCSGEARVLANEVLKVIPLDRGQHVQLKQDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDNSLLLELSYLPNPWHCEPEEVEPVAPFKIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGVIHSLEEDGDMGVAFCFRSKPFCCSVTDVEKVPPFEMGQEIHVLSSVTQPRLGWSNESPATVGKIVRIDMDGALNVRVTGRHSLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSIQETGYLELACCFRKGRWIAHHTDIEKVPCFKVGQHVRFRTGLSEPRWGWRGAQPDSRGIITSVHADGEVRIAFFDLPGLWRGDPADLEVEHIFEVGEWVKLRGDVSNWKSVGPGSVGVVQGIGYDGDEWDGSIYVGFCGEQERWAGPTSHLERVERLMVGQKVRVKLSVKQPRFGWSGHSHGSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELVEDEELHIGDWVKVRASVSTPTHQWGEVNHSSTGVVHRMENGDLWVSFCFLEKLWLCKALEMERIRPFKVGDKVKIREGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFHWREGRPWIGDPADIVLDES >Potri.001G029600.1.v4.1 pep chromosome:Pop_tri_v4:1:2202412:2214993:-1 gene:Potri.001G029600.v4.1 transcript:Potri.001G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029600.v4.1 MKVPCCSVCQTRYDEEERVPLLLQCGHGFCKDCLSRMFSASTDTTLVCPRCRHVSVVGNSVTALKKNFAVLALLHSSSSSSAAANFDCDYTDDEGDGDEEDFEEERCSRGSHASSSGACGPVIDVGAHPEVKLVKKIGEGRSKSGMETWTAVIGGGGVHGKKVCRHRVAVKKVEIGEEMEVDWVLGQLESLRKAAMWCRNVCTFHGVVKMDGCLGIVTDRCYGSVESEMQRNEGRLTLEQILRYGADIARGVAELHAAGVVCMNIKPSNLLLDSSGRAVVSDYGLAAILKKPACRKARSECDSAKIHSCMDCTMLSPNYTAPEAWEPVKKSLNLFWDDAIGISVESDAWSFGCALVEMCTGSIPWAVLSADEIYRAVVKGRKLPPQYASVVGVGMPRELWKMIGECLQFKASKRPAFSAMLAIFLRHLQELPRSPPASPDNSFAKYPRSYVKEPPLASDLEVFQDNPGHLHRLVSEGDVSGVRELLAKVASRNDNFPISMLLEAQNADGQTALHLACRRGSSELVRAILEYREADVDVLDKDGDPPLVFALAAGSPECVRALIERGANVRSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNAIDDEGESVLHRAVSKKYTDCALVILENGGCGSMAVPNSKNLTPLHLCVATWNVAVVRRWVEVASPEEIADAIDIPSPVGTALCMAAAAKKDHETEGRELVRILLFAGADPTAQDAQHGRTALHTAAMANDVELVKIILDAGVDVNIRNVQNTIPLHVALARGAKSCVGLLLSAGANCNMQDDEGDNAFHIAAETAKMIRENLEWLILMLRNSNAAVEVRNHSGKTLRDFLEALPREWISEDLMEALVNRGVHLSPTIFEVGDWVKFKRSVTTPTHGWQGAKHKSVGFVQTVVDKDNLIVSFCSGEARVLANEVLKVIPLDRGQHVQLKQDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDNSLLLELSYLPNPWHCEPEEVEPVAPFKIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGVIHSLEEDGDMGVAFCFRSKPFCCSVTDVEKVPPFEMGQEIHVLSSVTQPRLGWSNESPATVGKIVRIDMDGALNVRVTGRHSLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSIQETGYLELACCFRKGRWIAHHTDIEKVPCFKVGQHVRFRTGLSEPRWGWRGAQPDSRGIITSVHADGEVRIAFFDLPGLWRGDPADLEVEHIFEVGEWVKLRGDVSNWKSVGPGSVGVVQGIGYDGDEWDGSIYVGFCGEQERWAGPTSHLERVERLMVGQKVRVKLSVKQPRFGWSGHSHGSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELVEDEELHIGDWVKVRASVSTPTHQWGEVNHSSTGVVHRMENGDLWVSFCFLEKLWLCKALEMERIRPFKVGDKVKIREGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFHWREGRPWIGDPADIVLDES >Potri.001G029600.2.v4.1 pep chromosome:Pop_tri_v4:1:2202381:2214913:-1 gene:Potri.001G029600.v4.1 transcript:Potri.001G029600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029600.v4.1 MKVPCCSVCQTRYDEEERVPLLLQCGHGFCKDCLSRMFSASTDTTLVCPRCRHVSVVGNSVTALKKNFAVLALLHSSSSSSAAANFDCDYTDDEGDGDEEDFEEERCSRGSHASSSGACGPVIDVGAHPEVKLVKKIGEGRSKSGMETWTAVIGGGGVHGKKVCRHRVAVKKVEIGEEMEVDWVLGQLESLRKAAMWCRNVCTFHGVVKMDGCLGIVTDRCYGSVESEMQRNEGRLTLEQILRYGADIARGVAELHAAGVVCMNIKPSNLLLDSSGRAVVSDYGLAAILKKPACRKARSECDSAKIHSCMDCTMLSPNYTAPEAWEPVKKSLNLFWDDAIGISVESDAWSFGCALVEMCTGSIPWAVLSADEIYRAVVKGRKLPPQYASVVGVGMPRELWKMIGECLQFKASKRPAFSAMLAIFLRHLQELPRSPPASPDNFAKYPRSYVKEPPLASDLEVFQDNPGHLHRLVSEGDVSGVRELLAKVASRNDNFPISMLLEAQNADGQTALHLACRRGSSELVRAILEYREADVDVLDKDGDPPLVFALAAGSPECVRALIERGANVRSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNAIDDEGESVLHRAVSKKYTDCALVILENGGCGSMAVPNSKNLTPLHLCVATWNVAVVRRWVEVASPEEIADAIDIPSPVGTALCMAAAAKKDHETEGRELVRILLFAGADPTAQDAQHGRTALHTAAMANDVELVKIILDAGVDVNIRNVQNTIPLHVALARGAKSCVGLLLSAGANCNMQDDEGDNAFHIAAETAKMIRENLEWLILMLRNSNAAVEVRNHSGKTLRDFLEALPREWISEDLMEALVNRGVHLSPTIFEVGDWVKFKRSVTTPTHGWQGAKHKSVGFVQTVVDKDNLIVSFCSGEARVLANEVLKVIPLDRGQHVQLKQDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDNSLLLELSYLPNPWHCEPEEVEPVAPFKIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGVIHSLEEDGDMGVAFCFRSKPFCCSVTDVEKVPPFEMGQEIHVLSSVTQPRLGWSNESPATVGKIVRIDMDGALNVRVTGRHSLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSIQETGYLELACCFRKGRWIAHHTDIEKVPCFKVGQHVRFRTGLSEPRWGWRGAQPDSRGIITSVHADGEVRIAFFDLPGLWRGDPADLEVEHIFEVGEWVKLRGDVSNWKSVGPGSVGVVQGIGYDGDEWDGSIYVGFCGEQERWAGPTSHLERVERLMVGQKVRVKLSVKQPRFGWSGHSHGSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELVEDEELHIGDWVKVRASVSTPTHQWGEVNHSSTGVVHRMENGDLWVSFCFLEKLWLCKALEMERIRPFKVGDKVKIREGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFHWREGRPWIGDPADIVLDES >Potri.001G029600.3.v4.1 pep chromosome:Pop_tri_v4:1:2202380:2211629:-1 gene:Potri.001G029600.v4.1 transcript:Potri.001G029600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G029600.v4.1 MPRELWKMIGECLQFKASKRPAFSAMLAIFLRHLQELPRSPPASPDNSFAKYPRSYVKEPPLASDLEVFQDNPGHLHRLVSEGDVSGVRELLAKVASRNDNFPISMLLEAQNADGQTALHLACRRGSSELVRAILEYREADVDVLDKDGDPPLVFALAAGSPECVRALIERGANVRSRLREGFGPSVAHVCAYHGQPDCMRELLLAGADPNAIDDEGESVLHRAVSKKYTDCALVILENGGCGSMAVPNSKNLTPLHLCVATWNVAVVRRWVEVASPEEIADAIDIPSPVGTALCMAAAAKKDHETEGRELVRILLFAGADPTAQDAQHGRTALHTAAMANDVELVKIILDAGVDVNIRNVQNTIPLHVALARGAKSCVGLLLSAGANCNMQDDEGDNAFHIAAETAKMIRENLEWLILMLRNSNAAVEVRNHSGKTLRDFLEALPREWISEDLMEALVNRGVHLSPTIFEVGDWVKFKRSVTTPTHGWQGAKHKSVGFVQTVVDKDNLIVSFCSGEARVLANEVLKVIPLDRGQHVQLKQDVKEPRFGWRGQSRDSIGTVLCVDDDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRPTLTTAKHGLGSVTPGSIGIVYCIRPDNSLLLELSYLPNPWHCEPEEVEPVAPFKIGDRVCVKRSVAEPRYAWGGETHHSVGRISEIENDGLLIIEIPNRPIPWQADPSDMEKVEDFKVGDWVRVKASVSSPKYGWEDITRNSIGVIHSLEEDGDMGVAFCFRSKPFCCSVTDVEKVPPFEMGQEIHVLSSVTQPRLGWSNESPATVGKIVRIDMDGALNVRVTGRHSLWKVSPGDAERLSGFEVGDWVRSKPSLGTRPSYDWNSIGKESLAVVHSIQETGYLELACCFRKGRWIAHHTDIEKVPCFKVGQHVRFRTGLSEPRWGWRGAQPDSRGIITSVHADGEVRIAFFDLPGLWRGDPADLEVEHIFEVGEWVKLRGDVSNWKSVGPGSVGVVQGIGYDGDEWDGSIYVGFCGEQERWAGPTSHLERVERLMVGQKVRVKLSVKQPRFGWSGHSHGSVGTIAAIDADGKLRIYTPVGSKTWMLDPSEVELVEDEELHIGDWVKVRASVSTPTHQWGEVNHSSTGVVHRMENGDLWVSFCFLEKLWLCKALEMERIRPFKVGDKVKIREGLVTPRWGWGMETHASKGQVVGVDANGKLRIKFHWREGRPWIGDPADIVLDES >Potri.014G046200.1.v4.1 pep chromosome:Pop_tri_v4:14:2954358:2956873:-1 gene:Potri.014G046200.v4.1 transcript:Potri.014G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046200.v4.1 MLDQKQQQGILWSPMERECLFLLQRCRTRKTLLQIHALILRNAIDANVNILTKFITTCGQLSSTRHARHLFDNRSHRGDTFLCNSMIKSHVVMRQLADAFTLYKDLRRETCFVPDNFTFTVLAKCCALRMAVWEGLETHGHVVKIGFCFDMYVSTALVDMYAKFGNLGLARKVFNDMPDRSLVSWTALIGGYVRRGDMGNAWFLFKLMPGRDSAAFNLLIDGYVKVGDMESARSLFDEMPERNVISWTSMIYGYCNNGDVLSARFLFDAMPEKNLVSWNAMIGGYCQNKQPHEALKLFRELQSSTVFEPNEVTVVSILPAIATLGALELGEWVHRFVQRKKLDAAVNVCTSLVDMYLKCGEISKARKVFSEIPKKETATWNALINGFAMNGLASEALEAFSEMQQEGIKPNDITMTGVLSACSHGGLVEEGKGQFKAMIESGLSPKIEHYGCLVDLLGRAGCLDEAENLIKSMPFEANGIILSSFSFACGFSNDVTRAQRVLNQAVNMEPGNNGIYVMMRNLYAMEERWKDVKEINGLMRRRGAKKEVGSSAIEVDSRVSEFISGGIAHPQLDVIESVIGQLWIHMRDSVVAQTP >Potri.003G202800.1.v4.1 pep chromosome:Pop_tri_v4:3:20234165:20239253:-1 gene:Potri.003G202800.v4.1 transcript:Potri.003G202800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202800.v4.1 MSDSKKVLVFGSFTEDETKSLLGKQSPGKVEKPVEKIELRFGSLNFGTGITFGNFDTTLNTQLASANGNVTSQSKSLVMKEKDVKVAKEGDGVLGSPRENGSIGNSNHGSSLSNGVDVLKTESIDLTSLHLSEKEDGPASPLQSSSFHVLDSEGIKDGDHDGTTNDSSIHVRKEDVWKANDGPLPAVKSLLPRGLINTGNLCFLNATLQALLSCSPFVQLLQELRIRDIPKVGFPTLTAFSEFVCDFDMPTSSNLKKDAVETGRPFRPAVFEAVLKNFTPDVPNSISGRPRQEDAQEFLSFIMHQMHDELLKLEGQSSGTNGFKTSVVSSTEEDEWETVGPKNKSAVTRTQSFIPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIHLEVVRTIEDALHLFSAPENLEGYRTSAIGKSGVVTAKKSVKIQTLSKIMILHLMRFSYGSQGSAKLLKPVHFPLEFILSRELLVSPSTESRKYELVATVTHHGREPSKGHYTADVRHSNGQWLRFDDASVTAITTSKVLHDQAYVLFYKQV >Potri.003G202800.3.v4.1 pep chromosome:Pop_tri_v4:3:20234199:20239247:-1 gene:Potri.003G202800.v4.1 transcript:Potri.003G202800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G202800.v4.1 MSDSKVLVFGSFTEDETKSLLGKQSPGKVEKPVEKIELRFGSLNFGTGITFGNFDTTLNTQLASANGNVTSQSKSLVMKEKDVKVAKEGDGVLGSPRENGSIGNSNHGSSLSNGVDVLKTESIDLTSLHLSEKEDGPASPLQSSSFHVLDSEGIKDGDHDGTTNDSSIHVRKEDVWKANDGPLPAVKSLLPRGLINTGNLCFLNATLQALLSCSPFVQLLQELRIRDIPKVGFPTLTAFSEFVCDFDMPTSSNLKKDAVETGRPFRPAVFEAVLKNFTPDVPNSISGRPRQEDAQEFLSFIMHQMHDELLKLEGQSSGTNGFKTSVVSSTEEDEWETVGPKNKSAVTRTQSFIPSELSDIFGGQLRSVVKARGNKASATVQPFLLLHLDIHLEVVRTIEDALHLFSAPENLEGYRTSAIGKSGVVTAKKSVKIQTLSKIMILHLMRFSYGSQGSAKLLKPVHFPLEFILSRELLVSPSTESRKYELVATVTHHGREPSKGHYTADVRHSNGQWLRFDDASVTAITTSKVLHDQAYVLFYKQV >Potri.006G121500.3.v4.1 pep chromosome:Pop_tri_v4:6:9636373:9639379:-1 gene:Potri.006G121500.v4.1 transcript:Potri.006G121500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G121500.v4.1 MAVHLDNLMEEEENEEDNALFEGDGLVDQDSDIPPHLLDLARAAQLGDLDALRNALDNLNGSIDEPVEDGDTALHLTCLYGYLPCVQLLLERGANLEAKDEDGAIPLHDACAGGFTEIAQLLLNTASSAERVKRMLEAVDDEGDTPLHHAARGEHADVIRLLLASGASATTANSYGKIPSELPEPDTEAHRILESAGSASS >Potri.004G098800.1.v4.1 pep chromosome:Pop_tri_v4:4:8531427:8535598:1 gene:Potri.004G098800.v4.1 transcript:Potri.004G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G098800.v4.1 MPNWELKNCCEGDQVSYLVTIAIFSVVILALWRTFIMTPFKLITVFLHEASHAIACKLTCGQVEGMQVHANEGGVTQTRGGVYWLILPAGYLGSSFWGMALVLASTNLITARIAAGGLAVALLIVLFIAQNWTLRGLCIGFIIFLAVVWVLQEKTTVRILRYVILFIGVMNSLFSVYDIYDDLISRRVHTSDAEKFAEICPCPCTGVGWGVIWGIISFLFLSASIYLGLVILS >Potri.002G081400.2.v4.1 pep chromosome:Pop_tri_v4:2:5757836:5761147:-1 gene:Potri.002G081400.v4.1 transcript:Potri.002G081400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081400.v4.1 MEKKRKRIVSLVTMTLLFFIVMAANFRGCFSAASQTPIKGKSTTPANDRVGSSVFFRVTGNVYPTGHYSVILNIGNPPKAFDLDIDTGSDLTWVQCDAPCKGCTKPLDKLYKPKNNRVPCASSLCQAIQNNNCDIPTEQCDYEVEYADLGSSLGVLLSDYFPLRLNNGSLLQPRIAFGCGYDQKYLGPHSPPDTAGILGLGRGKASILSQLRTLGITQNVVGHCFSRVTGGFLFFGDHLLPPSGITWTPMLRSSSDTLYSSGPAELLFGGKPTGIKGLQLIFDSGSSYTYFNAQVYQSILNLVRKDLSGMPLKDAPEEKALAVCWKTAKPIKSILDIKSFFKPLTINFIKAKNVQLQLAPEDYLIITKDGNVCLGILNGGEQGLGNLNVIGDIFMQDRVVVYDNERQQIGWFPTNCNRLPKS >Potri.002G081400.1.v4.1 pep chromosome:Pop_tri_v4:2:5757832:5761147:-1 gene:Potri.002G081400.v4.1 transcript:Potri.002G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081400.v4.1 MEKKRKRIVSLVTMTLLFFIVMAANFRGCFSAASQTPIKGKSTTPANDRVGSSVFFRVTGNVYPTGHYSVILNIGNPPKAFDLDIDTGSDLTWVQCDAPCKGCTKPLDKLYKPKNNRVPCASSLCQAIQNNNCDIPTEQCDYEVEYADLGSSLGVLLSDYFPLRLNNGSLLQPRIAFGCGYDQKYLGPHSPPDTAGILGLGRGKASILSQLRTLGITQNVVGHCFSRVTGGFLFFGDHLLPPSGITWTPMLRSSSDTLYSSGPAELLFGGKPTGIKGLQLIFDSGSSYTYFNAQVYQSILNLVRKDLSGMPLKDAPEEKALAVCWKTAKPIKSILDIKSFFKPLTINFIKAKNVQLQLAPEDYLIITKDGNVCLGILNGGEQGLGNLNVIGDIFMQDRVVVYDNERQQIGWFPTNCNRLPNVDREYNEGFSQPYAAYFGILEEHCPATYASEKTKLFTKK >Potri.007G034600.1.v4.1 pep chromosome:Pop_tri_v4:7:2711676:2714669:1 gene:Potri.007G034600.v4.1 transcript:Potri.007G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G034600.v4.1 MSGREDSESDAPEEFTAEQGIQQDEEIRRVQKESKARFVREAKEKRRRLAQRKTAQPPKVNESVRDVVEAKTETETETEKESLGSGGMLPSDIVQLLAAREKKVFLSDSEDENAEEKRLPNKKKRRSLGKETVILKDMAPPQCIQNSLEFLKKKKMQLSRSSSVLNNPNQALRLISTSGLFSKK >Potri.010G065300.1.v4.1 pep chromosome:Pop_tri_v4:10:9400879:9402978:-1 gene:Potri.010G065300.v4.1 transcript:Potri.010G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065300.v4.1 MSHMILSPFQLLELNVISAQDLVKVSRKMKTYAVAWVHPDRRLSTRVDSEGCNNPTWNDKFVFRVDDRFLHGDTSAVMIEIYALHWFRDIHIGTVRVIVGNLIPPPRPHHHNQFQLGMRFVALQVRRPSGRPQGILNIGVALLDSSMRSMPLYSQISVSAVGYRQLMGEGELNHHKDDSDDKSSGIHSNSFLLPWLPKPELRRTKSDSSSMFGSIVMAKRKMIMKGKGSSMISGSEVEERKMLNKGRSKASSLTGGSEIVKEDENGAFGKASLTSESLTKTDTKSTELDHILKPSPKFTGLDLGSPCNNNFRYATPKKANFVSKPVITESQLGPSASEVAAVIARNKHRRVEETESEIIGEMSLDGSMEALQSKLERWRTELPPAYDASNISSFPTSGTSKGGKVVKRHNHKHTDDDGTFSCFGRFCGLECSIVCGGPPRRKTTRQGIRSHSMDNLRYSV >Potri.011G150200.3.v4.1 pep chromosome:Pop_tri_v4:11:17736980:17738339:1 gene:Potri.011G150200.v4.1 transcript:Potri.011G150200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150200.v4.1 MLQHQVKNHGIPEMKINSMLGTAREFFHLPDEERLKFRSTDPNSVIRLVTGFQDKTRNIFVSRQSLKFHSHPVEEYKSQWPSNPPSFRENVGEYCASVREVEVAILEAISESLGLERDYIDKILKGHYVSINYYPACQESELDVTYGVRTHTDPTIITILMQDDVPGLQVINDDKWINVNPLPNAVVVHVGDILQALSNYRYKSLLHQAIVNCEKERVSIASYCYPSDDAMIGPAKKLVGKDHPAIYKDFTYREFHESMWRVKCSTAKRLDLFKARAD >Potri.011G150200.1.v4.1 pep chromosome:Pop_tri_v4:11:17736436:17738341:1 gene:Potri.011G150200.v4.1 transcript:Potri.011G150200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150200.v4.1 MAPTILPQAGDHLASTGANKAPYTYTDPLSEVPTLSGVDVSADGSTPIIDMEALLGPHRSEIIKQIGLACEKNGFFAVKNHGIPEMKINSMLGTAREFFHLPDEERLKFRSTDPNSVIRLVTGFQDKTRNIFVSRQSLKFHSHPVEEYKSQWPSNPPSFRENVGEYCASVREVEVAILEAISESLGLERDYIDKILKGHYVSINYYPACQESELDVTYGVRTHTDPTIITILMQDDVPGLQVINDDKWINVNPLPNAVVVHVGDILQALSNYRYKSLLHQAIVNCEKERVSIASYCYPSDDAMIGPAKKLVGKDHPAIYKDFTYREFHESMWRVKCSTAKRLDLFKARAD >Potri.016G126900.1.v4.1 pep chromosome:Pop_tri_v4:16:13006999:13010099:-1 gene:Potri.016G126900.v4.1 transcript:Potri.016G126900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126900.v4.1 MASPVCFLTIRMLFLVLLSLFHLRACYSSSSMQPLCHEDESYALLQLKESLAINESASSDPSAYPKVASWRVDGESGDCCSWDGVECDGDSGHVIGLDLSSSCLHGSINSNSSLFHLVQLRRLNLSGNDFNNSKMPSEIRNLSRLFDLNLSYSNFSGQIPAEILELSKLVSLDLRWNSLKLRKPGLQHLVEALTNLEVLHLSGVSISAEVPQIMANLSSLSSLFLSYCGLQGEFPMGIFQLPNLRFLRIRYNPYLTGYLPEFQSGSQLEILYLTGTSFSGKLPASIRNHKSMKELDVAECYFSGVIPSSLGNLTKLNYLDLSDNFFSGKIPPSFVNLLQLTNLSLSFNNFTSGTLDWLGNLTKLNRVDLRGTDSYGDIPSSLRNLTQLTFLALNENKLTGQIPSWIGNHTQLILLGLGANKLHGPIPESIYRLQNLGVLNLEHNLFSGTLELNFPLKFRNLFSLQLSYNNLSLLKSNNTIIPLPKLKILTLSGCNLGEFPSFLRDQNHLGILDLADNKLEGRIPKWFMNMSTTTLEDLYLARNLLTGFDQSFDVLPWNNLRSLQLHSNKLQGSLPIPPPEIYAYGVQNNKLTGEIPIVICNLISLSVLDLSNNNLSGKLTHCLGNISSTASVLNLHNNSFSGDIPDTFTSGCSLKVIDFSENKLEWKIPKSLANCTKLEILNLEQNKINDVFPSWLGMLPDLRVLILRSNGLHGVIGKPETNVEFRRLQIVDLSNNSFKGKLPLEYLRNWTAMKNVRNEHLIYMQVGISYQIFGDSMTIPYQFSMTITNKGVMRLYEKIQDSLSAIDLSSNGFEGGIPEVLGDLKELHLLNLSNNFLSGGIPPSLSNLKELEALDLSQNKLSGEIPVKLAQLTFLEVFNVSHNFLSGPIPRGNQFGTFENTSFDANPGLCGEPLSKECGNDEDSLPAAKEDEGSGYPLEFGWKVVVVGYASGVVNGVIIGCVMNTRKYEWVVKNYFARRQNKEVDF >Potri.002G250700.1.v4.1 pep chromosome:Pop_tri_v4:2:24057822:24060021:-1 gene:Potri.002G250700.v4.1 transcript:Potri.002G250700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G250700.v4.1 MKMIVAADADAGFPSSKDSGPAPAMTFWASKWKGWLPQVEGLANASMKVNENKLTVASKKTCVCAPTSHAGSFRCHLHRATRSTAAQMSEEYNNDKESSENLDFMKMILYRKFSNEKPQLSRFGRAAAAAAVNNAYSNSN >Potri.001G002500.1.v4.1 pep chromosome:Pop_tri_v4:1:161382:166381:1 gene:Potri.001G002500.v4.1 transcript:Potri.001G002500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G002500.v4.1 MASTFTAMSSAGTLAAPNARALDKKFAFSSNKLSSFASISASRFGRPQNVVLPRSRSLKVNAAKELHFNKDGSAIRKLQTGVNKLADLVGVTLGPKGRNVVLESKYGSPKIVNDGVTVAKEVELEDPVENIGAKLVRQAAAKTNDLAGDGTTTSVVLAQGLIAEGVKVVAAGANPVLITRGIEKTTRALVNELKLMSKEVEDSELADVAAVSAGNNYEVGNMIAEAMSKVGRKGVVTLEEGKSADNSLYVVEGMQFDRGYISPYFVTDSEKMAVEYDNCKLLLVDKKITNARDLIAILEDAIKGGYPVVIVAEDIEQEALATLVVNKLRGALKIAALKAPGFGERKSQYLDDIAILTGGTVVREEVGLALDKVGSEVLGHASKVVLTKDTTTIVADGSTQEAVNKRVAQIKNLIEAAEQDYEKEKLNERIAKLSGGVAVIQVGAQTETELKEKKLRVEDALNATKAAVEEGIVVGGGCTLLRLASKVDAIKDSLENDEEKVGADIVKRALSYPLKLIAKNAGVNGSVVSEKVLASDNPKFGYNAATGNYEDLMAAGIIDPTKVVRCCLEHASSVAKTFLMSDCVVVEIKEPESVPAGNPMDNSGYGY >Potri.009G085500.1.v4.1 pep chromosome:Pop_tri_v4:9:8022800:8024489:-1 gene:Potri.009G085500.v4.1 transcript:Potri.009G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G085500.v4.1 MASSSSKQLWEYLQEQQKPFVLDAYLSERKIMLNKKQKGPRSYDLNKRRIEQTRKILKLLLLKFTRTSQNQPVSSCHKAQKHEPVSKTIEKPRQFGEIEWLPTGSSSVAVRESFRCNVKDSHFYENHAPHRPKTSQPLTHSSLKQKAAADINFQWECMEENEQLSPVSVLNELPSINKVSRFLPKQEGKAASASSTVLSRNAEEDNMLSAFLCDLLVKSLIEKHSLAGFADLQEVVGPAFSQHLKNNRVLRQNMQLLFDCVNEAIEVHRRMHRRKQHAQEFKSLQELGKIICEQICSWGKQEATEQININVSSTVEYGRYSQQRIGTEIGDAILNEIIQEVLDLFLQ >Potri.003G198600.1.v4.1 pep chromosome:Pop_tri_v4:3:20025050:20029260:-1 gene:Potri.003G198600.v4.1 transcript:Potri.003G198600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G198600.v4.1 MASSNLFFDDIRNKPEVIDPPQNEDLTDIGESVNDPAQTALKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVQNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCIGIYPYYSKLKHESQCVFRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGGGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Potri.009G061000.6.v4.1 pep chromosome:Pop_tri_v4:9:6307640:6312079:1 gene:Potri.009G061000.v4.1 transcript:Potri.009G061000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G061000.v4.1 MCSGPEKSAESPSTSTPSTVENSPITKEMNCLTVETEDSFSSLLELAANNDVEGFKRFIEQDASSIKEVGFWYGRQKGSKQIVLDQRTPLMVAATYGSVDVLKFILDHTKADVNLSCGKDKTTALHCATSGGSIKVVDAVKLLLSAGADPNCLDVNGDRPGDVIVVPPNLQSMKVTLEELLSKSDSDISVAERDFNGSVGVCNLRVSISKSNSSSPTLSSSSENGSPHSPSVLIYSPRASKFNNLPANSTSERKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRLGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRQVCFFAHTYEELRPLYVSTGSAIPSPRSSQSAASVMDMAAALSLLPGSPSSVSAMSPTPFNQPMSPANGISHSSMAWSQPNVPTLHLPGSNLQSSRLRSSLSARDIPPEGFNLLPDFDSQQQILNDLTCFSESRNNSSFSVSGRSKTLTPSNLEELFAAEMSSPRYADQAAYLNQVQQQQSMLSPISTSVFSPKNVEHPLLQAVFGVGSPGRMSSRVMEPISPMGSRLSALAQREKKQQQLRSLSSRDLGSNHPMASVAGSPGNSWSKWGSPNGKVDWSVHGDELGSLRRSSSFELGNNGEEPDLSWVQSLVKESPPEMLKEKFANPVSSTASPGTGLNSNSRIDSVLESWLEQMQIDQQQQLVV >Potri.009G061000.7.v4.1 pep chromosome:Pop_tri_v4:9:6307641:6311624:1 gene:Potri.009G061000.v4.1 transcript:Potri.009G061000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G061000.v4.1 MCSGPEKSAESPSTSTPSTVENSPITKEMNCLTVETEDSFSSLLELAANNDVEGFKRFIEQDASSIKEVGFWYGRQKGSKQIVLDQRTPLMVAATYGSVDVLKFILDHTKADVNLSCGKDKTTALHCATSGGSIKVVDAVKLLLSAGADPNCLDVNGDRPGDVIVVPPNLQSMKVTLEELLSKSDSDISVAERDFNGSVGVCNLRVSISKSNSSSPTLSSSSENGSPHSPSVLIYSPRASKFNNLPANSTSERKEYPIDPSLPDIKNSIYATDEFRMFSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRLGDMCEYAHGVFECWLHPAQYRTRLCKDGTSCNRQVCFFAHTYEELRPLYVSTGSAIPSPRSSQSAASVMDMAAALSLLPGSPSSVSAMSPTPFNQPMSPANGISHSSMAWSQPNVPTLHLPGSNLQSSRLRSSLSARDIPPEGFNLLPDFDSQQQILNDLTCFSESRNNSSFSVSGRSKTLTPSNLEELFAAEMSSPRYADQAAYLNQVQQQQSMLSPISTSVFSPKNVEHPLLQAVFGVGSPGRMSSRVMEPISPMGSRLSALAQREKKQQQLRSLSSRDLGSNHPMASVAGSPGNSWSKWGSPNGKVDWSVHGDELGSLRRSSSFELGNNGEEPDLSWVQSLVKESPPEMLKEKFANPVSSTASPGTGLNSNSRIDSVLESWLEQMQIDQQQQLVV >Potri.004G014512.1.v4.1 pep chromosome:Pop_tri_v4:4:989801:992463:1 gene:Potri.004G014512.v4.1 transcript:Potri.004G014512.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014512.v4.1 MSVIILLVHLSSFLVAHLFISGVVSTTFTITNKCSYTVWPGIFSREGSAALSTTGFSLEKNESRTLTAPASWRGRFWGRTYCTEDSSGNFSCISGDCGSGKLECSGNPGAPPATLAEFAIGDFNGLDFFDVSVADGFNLPLLVVPSRQNCTSTGCVADLNESCPSELRVTTSTEGKTAACMSACQVTGLSMFCCTGNATCKPSLYSQFFKTPCPHAYSYAYDDQTSTFTCAPTDYQVTFCPGNTTVITENNSRPSPGEITPIPSPEIRPNTTSRRQWVPIIAGIVGGVLAIISFVVIIVWRVRLSKSNDTEEDVEDDYIKQVPGMPVRFSYEDLRVATNDFKETLGRGGFGSVFKGVLADGTGIAVKRLDKLGQGKRAFLAEVETIGSVHHFNLVRLIGFCAEKSYRLLVYEHMSNSSLDNWIFKKIQGSSLDWQTRKKIILDIAKGLAYLHEECRQTIMHLDIKPQNILLDPNFNAKISDFGLSKLIDREMSQVQLSMRGTPGYLAPEWHQELGRITVKVDVYSFGIVLLEVVCARRSVDHSQPESAFHLLRMLQNKAEYILKFLDEYMQSDRDEIIRMIKIAAWCLQDDPEKRPLMSTVVKVLEGVMEVDSNLVYKFSHALAPPPVADDYILSAPPPASVLSNPR >Potri.007G025400.1.v4.1 pep chromosome:Pop_tri_v4:7:1937481:1939781:-1 gene:Potri.007G025400.v4.1 transcript:Potri.007G025400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025400.v4.1 MIKAVLVINTQGKPRLTKFYDFLTVEKQQELIRGVFGVLCTRAEKVSNFMEVDSIFGPDSRLVYKHYATLYFVFVFDSSENELAMLDLIQVFVETLDKCFRNVCELDIVFNYSKLHAIIDEIISGGQVLETSSTEVMRAVEEISKSEAASNSISLVPKTVSGWRSR >Potri.017G123400.1.v4.1 pep chromosome:Pop_tri_v4:17:12806607:12808323:1 gene:Potri.017G123400.v4.1 transcript:Potri.017G123400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123400.v4.1 MGALDYLSNFCTVTSTRSKRKPMQTVEIKVKMDCDGCERRVKNAVTSMKGVKTVEVIRKQSRVVVSGYVDPNKVLRRVKSTGKVAEFWPYIPQHLVYYPYVSGAYDKRAPAGYVRNVVQAYPASNAPEDNIVSLFSDDNVNACSIM >Potri.012G058000.6.v4.1 pep chromosome:Pop_tri_v4:12:5708745:5717641:-1 gene:Potri.012G058000.v4.1 transcript:Potri.012G058000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058000.v4.1 MAGGRRKKQRFSRIHAFPCGRASFRSEHSLIGGPGFSRIVYCNEPECFEAGLQNYASNYVRTTKYTLATFLPKSLFEQFRRVANFYFLLCAILSFTPLSPYSAISNVVPLVVVIGATMGKEVIEDWRRKKQDIEMNNRKVKVHYGEGVFDHAKWMDLKVGDIVRVEKDEYFPADLILLSSSYDEAICYVETTNLDGETNLKLKQAPDVTSNLHEDSGFQDFKAIIRCEDPNANLYSFIGSLDLGEDQHALMPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTAPPSKRSKIEKRMDKVIYLLFFLLVLISFIGSIFFGISTKEDLEDGRMKRWYLRPDKTTIYYDPHRAPAAAILHFFTALMLYGYLIPISLYVSIEIVKVLQSIFINRDLHMYHEETDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGRGVTEVEKDMARRKGSPLPQEETEEEDIVEGVAEGKPSVKGFNFVDERITNGHWVNEPHADVVQKFLRLLAICHTAIPEIDEETGRISYEAESPDEAAFVIAARELGFKFYERTQTSILLHELDLVSGTKVERSYQLLNIIEFNSSRKRMSVIVRNEKGKLLLLCKGADSVMFERLARDGREFEEPTREHIGEYADAGLRTLVLAYRELDEEEYDEFNHEFTEAKNSLSADREDMIEEVAEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIISSDTPENKALEKMEDKAAGVTALKASVVHQMNEGKALLTASSETSEALALIIDGKSLTYAIEDDVKNLFLELAIGCASVICCRSSPKQKALVTRLVKSKTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWIRIFGWAFNGVSSAVLIFFFCIRAMEHQAFRKGGEVVGLEILGATMYTCVVWVVNCQMALSINYFTYIQHLFIWGGIVFWYIFLMVYGAMDPYLSTTAYKVFVEACAPAPSYWLITLLVLLSSLIPYFIYSAIQMRFFPLYHQMIHWLRNDGQTEDPEYCNMVRQRSLRPTTVGYTARYVAKSKRLKEKKHQDR >Potri.012G058000.2.v4.1 pep chromosome:Pop_tri_v4:12:5708855:5717422:-1 gene:Potri.012G058000.v4.1 transcript:Potri.012G058000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058000.v4.1 MAGGRRKKQRFSRIHAFPCGRASFRSEHSLIGGPGFSRIVYCNEPECFEAGLQNYASNYVRTTKYTLATFLPKSLFEQFRRVANFYFLLCAILSFTPLSPYSAISNVVPLVVVIGATMGKEVIEDWRRKKQDIEMNNRKVKVHYGEGVFDHAKWMDLKVGDIVRVEKDEYFPADLILLSSSYDEAICYVETTNLDGETNLKLKQAPDVTSNLHEDSGFQDFKAIIRCEDPNANLYSFIGSLDLGEDQHALMPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTAPPSKRSKIEKRMDKVIYLLFFLLVLISFIGSIFFGISTKEDLEDGRMKRWYLRPDKTTIYYDPHRAPAAAILHFFTALMLYGYLIPISLYVSIEIVKVLQSIFINRDLHMYHEETDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGRGVTEVEKDMARRKGSPLPQEETEEEDIVEGVAEGKPSVKGFNFVDERITNGHWVNEPHADVVQKFLRLLAICHTAIPEIDEETGRISYEAESPDEAAFVIAARELGFKFYERTQTSILLHELDLVSGTKVERSYQLLNIIEFNSSRKRMSVIVRNEKGKLLLLCKGADSVMFERLARDGREFEEPTREHIGEYADAGLRTLVLAYRELDEEEYDEFNHEFTEAKNSLSADREDMIEEVAEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIISSDTPENKALEKMEDKAAGVTALKASVVHQMNEGKALLTASSETSEALALIIDGKSLTYAIEDDVKNLFLELAIGCASVICCRSSPKQKALVTRLVKSKTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWIRIFGWAFNGVSSAVLIFFFCIRAMEHQAFRKGGEVVGLEILGATMYTCVVWVVNCQMALSINYFTYIQHLFIWGGIVFWYIFLMVYGAMDPYLSTTAYKVFVEACAPAPSYWLITLLVLLSSLIPYFIYSAIQMRFFPLYHQMIHWLRNDGQTEDPEYCNMVRQRSLRPTTVGYTARYVAKSKRLKEKKHQDR >Potri.012G058000.4.v4.1 pep chromosome:Pop_tri_v4:12:5708750:5717422:-1 gene:Potri.012G058000.v4.1 transcript:Potri.012G058000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058000.v4.1 MAGGRRKKQRFSRIHAFPCGRASFRSEHSLIGGPGFSRIVYCNEPECFEAGLQNYASNYVRTTKYTLATFLPKSLFEQFRRVANFYFLLCAILSFTPLSPYSAISNVVPLVVVIGATMGKEVIEDWRRKKQDIEMNNRKVKVHYGEGVFDHAKWMDLKVGDIVRVEKDEYFPADLILLSSSYDEAICYVETTNLDGETNLKLKQAPDVTSNLHEDSGFQDFKAIIRCEDPNANLYSFIGSLDLGEDQHALMPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTAPPSKRSKIEKRMDKVIYLLFFLLVLISFIGSIFFGISTKEDLEDGRMKRWYLRPDKTTIYYDPHRAPAAAILHFFTALMLYGYLIPISLYVSIEIVKVLQSIFINRDLHMYHEETDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGRGVTEVEKDMARRKGSPLPQEETEEEDIVEGVAEGKPSVKGFNFVDERITNGHWVNEPHADVVQKFLRLLAICHTAIPEIDEETGRISYEAESPDEAAFVIAARELGFKFYERTQTSILLHELDLVSGTKVERSYQLLNIIEFNSSRKRMSVIVRNEKGKLLLLCKGADSVMFERLARDGREFEEPTREHIGEYADAGLRTLVLAYRELDEEEYDEFNHEFTEAKNSLSADREDMIEEVAEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIISSDTPENKALEKMEDKAAGVTALKASVVHQMNEGKALLTASSETSEALALIIDGKSLTYAIEDDVKNLFLELAIGCASVICCRSSPKQKALVTRLVKSKTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWIRIFGWAFNGVSSAVLIFFFCIRAMEHQAFRKGGEVVGLEILGATMYTCVVWVVNCQMALSINYFTYIQHLFIWGGIVFWYIFLMVYGAMDPYLSTTAYKVFVEACAPAPSYWLITLLVLLSSLIPYFIYSAIQMRFFPLYHQMIHWLRNDGQTEDPEYCNMVRQRSLRPTTVGYTARYVAKSKRLKEKKHQDR >Potri.012G058000.5.v4.1 pep chromosome:Pop_tri_v4:12:5708746:5718023:-1 gene:Potri.012G058000.v4.1 transcript:Potri.012G058000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058000.v4.1 MAGGRRKKQRFSRIHAFPCGRASFRSEHSLIGGPGFSRIVYCNEPECFEAGLQNYASNYVRTTKYTLATFLPKSLFEQFRRVANFYFLLCAILSFTPLSPYSAISNVVPLVVVIGATMGKEVIEDWRRKKQDIEMNNRKVKVHYGEGVFDHAKWMDLKVGDIVRVEKDEYFPADLILLSSSYDEAICYVETTNLDGETNLKLKQAPDVTSNLHEDSGFQDFKAIIRCEDPNANLYSFIGSLDLGEDQHALMPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTAPPSKRSKIEKRMDKVIYLLFFLLVLISFIGSIFFGISTKEDLEDGRMKRWYLRPDKTTIYYDPHRAPAAAILHFFTALMLYGYLIPISLYVSIEIVKVLQSIFINRDLHMYHEETDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGRGVTEVEKDMARRKGSPLPQEETEEEDIVEGVAEGKPSVKGFNFVDERITNGHWVNEPHADVVQKFLRLLAICHTAIPEIDEETGRISYEAESPDEAAFVIAARELGFKFYERTQTSILLHELDLVSGTKVERSYQLLNIIEFNSSRKRMSVIVRNEKGKLLLLCKGADSVMFERLARDGREFEEPTREHIGEYADAGLRTLVLAYRELDEEEYDEFNHEFTEAKNSLSADREDMIEEVAEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIISSDTPENKALEKMEDKAAGVTALKASVVHQMNEGKALLTASSETSEALALIIDGKSLTYAIEDDVKNLFLELAIGCASVICCRSSPKQKALVTRLVKSKTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWIRIFGWAFNGVSSAVLIFFFCIRAMEHQAFRKGGEVVGLEILGATMYTCVVWVVNCQMALSINYFTYIQHLFIWGGIVFWYIFLMVYGAMDPYLSTTAYKVFVEACAPAPSYWLITLLVLLSSLIPYFIYSAIQMRFFPLYHQMIHWLRNDGQTEDPEYCNMVRQRSLRPTTVGYTARYVAKSKRLKEKKHQDR >Potri.012G058000.7.v4.1 pep chromosome:Pop_tri_v4:12:5708746:5717231:-1 gene:Potri.012G058000.v4.1 transcript:Potri.012G058000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058000.v4.1 MAGGRRKKQRFSRIHAFPCGRASFRSEHSLIGGPGFSRIVYCNEPECFEAGLQNYASNYVRTTKYTLATFLPKSLFEQFRRVANFYFLLCAILSFTPLSPYSAISNVVPLVVVIGATMGKEVIEDWRRKKQDIEMNNRKVKVHYGEGVFDHAKWMDLKVGDIVRVEKDEYFPADLILLSSSYDEAICYVETTNLDGETNLKLKQAPDVTSNLHEDSGFQDFKAIIRCEDPNANLYSFIGSLDLGEDQHALMPQQLLLRDSKLRNTDYIYGVVIFTGHDTKVMQNSTAPPSKRSKIEKRMDKVIYLLFFLLVLISFIGSIFFGISTKEDLEDGRMKRWYLRPDKTTIYYDPHRAPAAAILHFFTALMLYGYLIPISLYVSIEIVKVLQSIFINRDLHMYHEETDKPARARTSNLNEELGQVDTILSDKTGTLTCNSMEFIKCSVAGTSYGRGVTEVEKDMARRKGSPLPQEETEEEDIVEGVAEGKPSVKGFNFVDERITNGHWVNEPHADVVQKFLRLLAICHTAIPEIDEETGRISYEAESPDEAAFVIAARELGFKFYERTQTSILLHELDLVSGTKVERSYQLLNIIEFNSSRKRMSVIVRNEKGKLLLLCKGADSVMFERLARDGREFEEPTREHIGEYADAGLRTLVLAYRELDEEEYDEFNHEFTEAKNSLSADREDMIEEVAEKIERDLILLGATAVEDKLQNGVPECIDKLAQAGIKIWVLTGDKMETAINIGFACSLLRQGMKQIIISSDTPENKALEKMEDKAAGVTALKASVVHQMNEGKALLTASSETSEALALIIDGKSLTYAIEDDVKNLFLELAIGCASVICCRSSPKQKALVTRLVKSKTGKTTLAIGDGANDVGMLQEADIGVGISGVEGMQAVMSSDIAIAQFRFLERLLLVHGHWCYRRISSMICYFFYKNIAFGFTLFFYEAYASFSGQPAYNDWFLSLYNVFFTSLPVIALGVFDQDVSARFCLKFPLLYQEGVQNVLFSWIRIFGWAFNGVSSAVLIFFFCIRAMEHQAFRKGGEVVGLEILGATMYTCVVWVVNCQMALSINYFTYIQHLFIWGGIVFWYIFLMVYGAMDPYLSTTAYKVFVEACAPAPSYWLITLLVLLSSLIPYFIYSAIQMRFFPLYHQMIHWLRNDGQTEDPEYCNMVRQRSLRPTTVGYTARYVAKSKRLKEKKHQDR >Potri.005G098300.1.v4.1 pep chromosome:Pop_tri_v4:5:7057387:7059316:-1 gene:Potri.005G098300.v4.1 transcript:Potri.005G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098300.v4.1 MASACVNNMGMSPENFPPKTYQSYGWLSPRISFSREEDSNNTTTSKTTSAKSSSAAASSAPLPPPHPQQLDPPETKDSVDFEFRLDNSFTMLPADELFSDGKLMPLQINTGNAKPSLPPSTSTSVNELTEAVRLPEPGREPVKSCRRLEMEISGTDPYLFSPKAPRCSSRWKELLGLKKLHQNPKPETQKPSTRTALFSSSSSNPKSLKHILHRNSKTCNCNTSSSSSSFSYTLLDNSLSLPLLRDLDCESLSISSSRLSLSSSSSSHEHEDLPRLSLDSDKPSTNLNLMQNPVPNPFILNRNQNQNPPRMRMVKPLSENGNNNSGTSSTTRVGRSPMRRAAGESSEVSISSGVSVDSPRMNSSGKIVFQSLERSSSSPSSFNGGPRFKHRGMERSYSANVRVTPVLNVPVCSLRGSSRSGFGFGQLFSSSPQKRDGFSKGYQQQQQQNITSSSNKYRSERG >Potri.004G099900.1.v4.1 pep chromosome:Pop_tri_v4:4:8632203:8634272:1 gene:Potri.004G099900.v4.1 transcript:Potri.004G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099900.v4.1 MKKARVSAVYEGRIGKRSKEENENDSRIARMHARARKNGLRAKKNRCFVVTERRRKARSNTKRKVEASPNKEACMLKDQGKTLDNVEAVEIIDDEPILDVAVILDSETVHQMVAETMRGEVIVEENEGPAWWEEYWPVSYRTNENQAMEVLVKGFVGGMTEEELWWDDIWNFRDMDDHFPKAHRARERI >Potri.001G157200.5.v4.1 pep chromosome:Pop_tri_v4:1:13226253:13232878:1 gene:Potri.001G157200.v4.1 transcript:Potri.001G157200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157200.v4.1 MRNSEMDGLSVDGDGERAKNRENGGSWPPPRIQHRRSKSASERNSVISGGRVLHSLKKDQNEIHMLPLSTRAHRTQSPLHDYPICNDKNASSNHRASLEKDIEQLQLRLQQEKSIRMVLEKSMGRVSSTLSPGHRHFSTQCQTKELIAEIELLEEEVANREQQMLSIYRNVFESCVSRAPSKQNSGMPSPAHIKHASRKHPSVISSAFCSSKKFPLRPLQALVSPAESGKRTPKASDAPSSHGKSDIHSEKNCYNLVKVHERVPAAEKTSVLQTLKDHLYQCPSKLSEEMVRCMAAVYYWLCSAESVAPGKNRSPLLSRSSTNVILPGRGIGEDRDWSCKSMVEISWISNDKSQFSRASYAINNYRVLVEQLERVTVTRMENSAKTAFWINVYNSLVMHAHLAYGIPHSSLRRLALFHKAAYNIGGHIISANSIEQSIFCLRTPRVGRWFETILSTALRKKSGEERQLISSKYGPSDPQALVCFSLCTGAFSDPALKVYTASNVKEELEVAKREFLETNVVVRKSKKVFLPKVLERFAKEASINLDDLLKWVAENVDNKLHDSIQKCIDHKSNKKASQVVEWLPYSSRFRYVFSKDLTEKPCWV >Potri.001G157200.6.v4.1 pep chromosome:Pop_tri_v4:1:13226253:13232873:1 gene:Potri.001G157200.v4.1 transcript:Potri.001G157200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157200.v4.1 MRNSEMDGLSVDGDGERAKNRENGGSWPPPRIQHRRSKSASERNSVISGGRVLHSLKKDQNEIHMLPLSTRAHRTQSPLHDYPICNDKNASSNHRASLEKDIEQLQLRLQQEKSIRMVLEKSMGRVSSTLSPGHRHFSTQTKELIAEIELLEEEVANREQQMLSIYRNVFESCVSRAPSKQNSGMPSPAHIKHASRKHPSVISSAFCSSKKFPLRPLQALVSPAESGKRTPKASDAPSSHGKSDIHSEKNCYNLVKVHERVPAAEKTSVLQTLKDHLYQCPSKLSEEMVRCMAAVYYWLCSAESVAPGKNRSPLLSRSSTNVILPGRGIGEDRDWSCKSMVEISWISNDKSQFSRASYAINNYRVLVEQLERVTVTRMENSAKTAFWINVYNSLVMHAHLAYGIPHSSLRRLALFHKAAYNIGGHIISANSIEQSIFCLRTPRVGRWFETILSTALRKKSGEERQLISSKYGPSDPQALVCFSLCTGAFSDPALKVYTASNVKEELEVAKREFLETNVVVRKSKKVFLPKVLERFAKEASINLDDLLKWVAENVDNKLHDSIQKCIDHKSNKKASQVVEWLPYSSRFRYVFSKDLTEKPCWV >Potri.002G235400.1.v4.1 pep chromosome:Pop_tri_v4:2:22859768:22862991:1 gene:Potri.002G235400.v4.1 transcript:Potri.002G235400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235400.v4.1 MEKDKLFMSEGANTAAPIWNSCSFGMEMQTDELNCSSGQLANCFLNPNWDNLLDQSDPFESALSSIVSSPVASSVNANVISNAGVGGDSVLIRELIGRLGNICNSGDMSPQSYINNNNNSTNTSCYSTPLNSPPKLSISMMDSQMRGNLPILGNSLVNHPSLAPFPADPGFVERAARYSCFGSNNLGGLNGQFGLNESELINRMMPRVEPGKLSRVSSNNSMKVAGSQANVQESNKSSPQDGNLNSDKKFSRLSRPSTPENGDSREESSVSEQIPGGELSMKSQTDANSRKRKSIPRGKAKETPSPSPSASDVKVAAENDESSAKKSKSEDTNGSDKDSAKAMEEENGNHKQKKDNSNPPEPPKDYIHVRARRGQATDSHSLAERVRREKISERMKFLQDLVPGCNKVTGKAVMLDEIINYVQSLQRQVEFLSMKMATVNPKMEINMETFLSKDIFQSRGSMPHGLYPLDSSTPAFPYGYQSQQGLALQDGMSRNAESQFSMNPLNAALRRSSSMQLPALDGFGDASHQASAMWQDDLQSVVQMGYGQNQQQDFQGSVPPTQMKIEL >Potri.010G105600.1.v4.1 pep chromosome:Pop_tri_v4:10:12708438:12714673:-1 gene:Potri.010G105600.v4.1 transcript:Potri.010G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G105600.v4.1 MAALQRVASSLSTSASSYGSCKGAGADLMFSDQFPAGLRVLVVDDDITCLRLLEKMLCRCLYNVTTCSQATAALKLLRERKGCFDVVLSDVHMPDMDGFKLLELVGLEMDLPVIMMSADGRTSAVMRGIRHGACDYLIKPIREEELKNIWQHVIRKKWNENKEQEHSGSFEDNDWYKRGNDDTENASSVNEGAEGVLKGQKKRNIAKEEDDNELEIDDPSASKKPRVVWSVELHQQFVSAVNQLGIDKAVPKRILELMNVPGLTRENVASHLQKFRLYLKRLSGVAQQGGISNTFCGPLDSNVKVNPLGRFDIQALAASSQIPPQTLAALHAELFGRPTGSLVTTVDQPALLQASMQGPKCIPVEHGVAFGQPLVKCQTNISKHFPRNVISVEDVASGFGAWPSNSVGTVGSSSNLGGMNSQNSNMLMDILQQQKQQQQPLQQSSLSEPSRSINVQPSCLVVPSQSPATFQAGNSPASVDQNCSYNRSTMIDYSLLSAQSNNSSLNIGQILNGDLKTTGVSGYSGSVSISPLSPCSVDPDNTSQQGRSSTMAIRAVRQLPGLVPNISNLQGSYSARSSEVLDQRPLRNLGFVAKCTSIPSRLAVDEFESTMSSLDHEKSYMEISGNKVKQEPNIEYMDNARVGIPILQQLPPAPSDLMSVFTE >Potri.010G168800.1.v4.1 pep chromosome:Pop_tri_v4:10:17110069:17112424:-1 gene:Potri.010G168800.v4.1 transcript:Potri.010G168800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G168800.v4.1 MKMATAPFPPVIQQSPSQHSSYYNTTYPSSLLSCLPKCTSLKELKQIQAFSIKTHLQNDLQILTKLINSCTQNPTTASMDYAHQLFEAIPQPDIVLFNSMFRGYSRSNAPLKAISLFIKALNYNLLPDDYTFPSLLKACVVAKAFQQGKQLHCLAIKLGLNENPYVCPTLINMYAGCNDVDGAQRVFDEILEPCVVSYNAIITGYARSSRPNEALSLFRQLQARKLKPNDVTVLSVLSSCALLGALDLGKWIHEYVKKNGLDKYVKVNTALIDMYAKCGSLDGAISVFESMSVRDTQAWSAMIVAYAMHGQGQDVMSMFEEMARAKVQPDEITFLGLLYACSHTGLVDEGFRYFYSMSEVYGIIPGIKHYGCMVDLLGRAGLLHEAYKFIDELPIKPTPILWRTLLSSCSSHGNLELAKQVMNQILELDDSHGGDYVILSNLCARAGKWEDVDTLRKLMIHKGAVKIPGCSSIEVDNVVHEFFSGDGVHYVSTALHRALDELVKELKSVGYVPDTSLVVHPDMEDEEKEITLRYHSEKLAISFGLLNTPPGTTIRVVKNLRVCGDCHSAAKLISSLIDREIILRDVQRFHHFKDGKCSCGDYW >Potri.019G079200.3.v4.1 pep chromosome:Pop_tri_v4:19:11977599:11984099:1 gene:Potri.019G079200.v4.1 transcript:Potri.019G079200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079200.v4.1 MLSCWVEDPDGVAFKRHLARVPDYLWVGEDGMKVQSFGSQLWDATFCFQALYTSELGEEIKPTLAKSFDFIKKCQVVDNPAGDFRGMYRHISKGSWTFSDQDHGWQVSDCTAEALKCVLFAQMLPTEDIGEKLDPRMIFEAVNIILSLQGPRGGLAAWEPIRGEMWLEKLNPMEFLENIVIEHDYTECTSSAIQVFVMFMKMYPGHRNKEIETSIARAVEYLETIQMPDGSWYGNWGVCFIYSTWFALVGLAAAGKTYYNNQAMRRGVDFLLRIQSPDGGWGESYLACPNKIYTPLEENRSTYVHTAWAMLGLIHAGQGDRDPTPLHRAAKLLINSQAEDGSYPQQEITGVFKNNCTLLYPIYKNVFPLWALAEYRKNVPLPSKKL >Potri.001G339400.2.v4.1 pep chromosome:Pop_tri_v4:1:34830297:34831365:-1 gene:Potri.001G339400.v4.1 transcript:Potri.001G339400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339400.v4.1 MDGSDTHDKASWSKAMLHTFCDICITAIERGKRPNTHFDKAGWKFIIQSFKDQTGLSLSKSQLKNKWDGIKKDWRVWKKLITETGVGWSSELGTISATDEWWQSKIQEMRGAKKFRHVGIEPSLCAKYDIMFTNIVATREYAWTPSQGFLSDEDNVAAGMRNTTNEDTNMEEGSGDSEDDAIPDFNRDVSNMVGGSNVANSSSNPSSSKRKSTHQTTPQLQKKKRGTGMGAVLVAQGSQLLVEIKKGCSIEEVIEELHSIDGVAFGSALHTFATKFFCARSKREMWAAMGCIDRKMSLLKIMFDQHRQA >Potri.001G360200.5.v4.1 pep chromosome:Pop_tri_v4:1:37694616:37700168:1 gene:Potri.001G360200.v4.1 transcript:Potri.001G360200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360200.v4.1 MWGPPSAMVEDHSTGSNQGIVPRIFHMLFSEIQRQQEDSQMKQINYQCRCSFLEIYNEQIGDLLDPGQRNLEIKDDPKNGLYVENLTEEYVSSYEDVTQLLIKGLSSKKVGATSINSKSSRSHIVFTMIIESWCKGTSSKCFSSSKISRISLFDLAGLDRNKLVDADRQFVQEGKSVKKSLSQLGQLVNTLAKENQPGKFAVFPYQGSCLTHLLRESLGGNAKLTVMCCISPNNRNNGETLRTLRFGQRVKFIKNDPVINEISEDDVNDLSDQIRQLKEELIRAKSDVHNSFEGRSGYFKGRNVRESLNHLRVSLNRSLMLPRIDTDSDNEVNIDENDVRELHQQLNKLHCSPDENSRDLSDNGDSAHFSSVEESFETDLVSDSELNGPHEFESEEINLEKEKEGESQDDFPAAPEASDPPLRTSINISPCRQSAVLHEPMLSESPKIGNTRKSMVIPSLFSASQNNMSDSSNFQSDVPPQSLKQSENIRSSLCSSKMFPGPTESLAASLQRGLQIIDYHQRNSASNRSSVSFSFEPLSLKPCSEVDKVNVSLQKLAEHGSYASLLCTSCKQKINDSSNEVQDSLTWVVAEEEARKPNQLISQVVKDSGNGLAITNNGEEKDLENLCMEQATKIEQLNQLVEKYKQEREHYIMTGQEGDEILSRKSKNQMTLFEGSADEEYQSLKDRNKLRSVENNQLEIREEEYEVEDAMDKNTYFDLKEKEALLQEIQNLQMKLQSYTDASKNRSTEKLRSSLLIQSIQLCKSADTQNNSLEEYERERQRWTEMESDWISLTDDLRVDLQCSRQHAEKVEMELRLEKKCTEELDDALHRAVLGHARMVEHYADLQEKYNDLEGKHRAIMEGIAEVKRAAAKAGKKGGTRFAKSLQAELSSLRVEREREREFLKKENKSLKIQLRDTAEAVHAAGELLVRLREAEQAASVAEENFNVVQQENEKLKKQTEKLKRKHKMEMITMKQYMAESRLPESALQPLYRDNSDGVHNTIPDDDQAWRAEFGAIYQEHY >Potri.001G360200.4.v4.1 pep chromosome:Pop_tri_v4:1:37693884:37699945:1 gene:Potri.001G360200.v4.1 transcript:Potri.001G360200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360200.v4.1 MKGEASENNRFLGTLSSSSIRNLLPRSKRKSKKFSENTPPPLHPNIQINDPPLSPSIPKLFPPSKSFSSSASLNRSDGQNVQSLSPRDPPPLLGQVDGSHGALEDLDPAVKVVVRIRAVNDVKREGGDGVVRKLSSNSVSIGDRKFNFDSVLDSNSNQEDVFQLVGVPLVKSALAGYNASILSYGETGSGKTYTMWGPPSAMVEDHSTGSNQGIVPRIFHMLFSEIQRQEDSQMKQINYQCRCSFLEIYNEQIGDLLDPGQRNLEIKDDPKNGLYVENLTEEYVSSYEDVTQLLIKGLSSKKVGATSINSKSSRSHIVFTMIIESWCKGTSSKCFSSSKISRISLFDLAGLDRNKLVDADRQFVQEGKSVKKSLSQLGQLVNTLAKENQPGKFAVFPYQGSCLTHLLRESLGGNAKLTVMCCISPNNRNNGETLRTLRFGQRVKFIKNDPVINEISEDDVNDLSDQIRQLKEELIRAKSDVHNSFEGRSGYFKGRNVRESLNHLRVSLNRSLMLPRIDTDSDNEVNIDENDVRELHQQLNKLHCSPDENSRDLSDNGDSAHFSSVEESFETDLVSDSELNGPHEFESEEINLEKEKEGESQDDFPAAPEASDPPLRTSINISPCRQSAVLHEPMLSESPKIGNTRKSMVIPSLFSASQNNMSDSSNFQSDVPPQSLKQSENIRSSLCSSKMFPGPTESLAASLQRGLQIIDYHQRNSASNRSSVSFSFEPLSLKPCSEVDKVNVSLQKLAEHGSYASLLCTSCKQKINDSSNEVQDSLTWVVAEEEARKPNQLISQVVKDSGNGLAITNNGEEKDLENLCMEQATKIEQLNQLVEKYKQEREHYIMTGQEGDEILSRKSKNQMTLFEGSADEEYQSLKDRNKLRSVENNQLEIREEEYEVEDAMDKNTYFDLKEKEALLQEIQNLQMKLQSYTDASKNRSTEKLRSSLLIQSIQLCKSADTQNNSLEEYERERQRWTEMESDWISLTDDLRVDLQCSRQHAEKVEMELRLEKKCTEELDDALHRAVLGHARMVEHYADLQEKYNDLEGKHRAIMEGIAEVKRAAAKAGKKGGTRFAKSLQAELSSLRVEREREREFLKKENKSLKIQLRDTAEAVHAAGELLVRLREAEQAASVAEENFNVVQQENEKLKKQTEKLKRKHKMEMITMKQYMAESRLPESALQPLYRDNSDGVHNTIPDDDQAWRAEFGAIYQEHY >Potri.001G360200.3.v4.1 pep chromosome:Pop_tri_v4:1:37693846:37699985:1 gene:Potri.001G360200.v4.1 transcript:Potri.001G360200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G360200.v4.1 MKGEASENNRFLGTLSSSSIRNLLPRSKRKSKKFSENTPPPLHPNIQINDPPLSPSIPKLFPPSKSFSSSASLNRSDGQNVQSLSPRDPPPLLGQVDGSHGALEDLDPAVKVVVRIRAVNDVKREGGDGVVRKLSSNSVSIGDRKFNFDSVLDSNSNQEDVFQLVGVPLVKSALAGYNASILSYGETGSGKTYTMWGPPSAMVEDHSTGSNQGIVPRIFHMLFSEIQRQQEDSQMKQINYQCRCSFLEIYNEQIGDLLDPGQRNLEIKDDPKNGLYVENLTEEYVSSYEDVTQLLIKGLSSKKVGATSINSKSSRSHIVFTMIIESWCKGTSSKCFSSSKISRISLFDLAGLDRNKLVDADRQFVQEGKSVKKSLSQLGQLVNTLAKENQPGKFAVFPYQGSCLTHLLRESLGGNAKLTVMCCISPNNRNNGETLRTLRFGQRVKFIKNDPVINEISEDDVNDLSDQIRQLKEELIRAKSDVHNSFEGRSGYFKGRNVRESLNHLRVSLNRSLMLPRIDTDSDNEVNIDENDVRELHQQLNKLHCSPDENSRDLSDNGDSAHFSSVEESFETDLVSDSELNGPHEFESEEINLEKEKEGESQDDFPAAPEASDPPLRTSINISPCRQSAVLHEPMLSESPKIGNTRKSMVIPSLFSASQNNMSDSSNFQSDVPPQSLKQSENIRSSLCSSKMFPGPTESLAASLQRGLQIIDYHQRNSASNRSSVSFSFEPLSLKPCSEVDKVNVSLQKLAEHGSYASLLCTSCKQKINDSSNEVQDSLTWVVAEEEARKPNQLISQVVKDSGNGLAITNNGEEKDLENLCMEQATKIEQLNQLVEKYKQEREHYIMTGQEGDEILSRKSKNQMTLFEGSADEEYQSLKDRNKLRSVENNQLEIREEEYEVEDAMDKNTYFDLKEKEALLQEIQNLQMKLQSYTDASKNRSTEKLRSSLLIQSIQLCKSADTQNNSLEEYERERQRWTEMESDWISLTDDLRVDLQCSRQHAEKVEMELRLEKKCTEELDDALHRAVLGHARMVEHYADLQEKYNDLEGKHRAIMEGIAEVKRAAAKAGKKGGTRFAKSLQAELSSLRVEREREREFLKKENKSLKIQLRDTAEAVHAAGELLVRLREAEQAASVAEENFNVVQQENEKLKKQTEKLKRKHKMEMITMKQYMAESRLPESALQPLYRDNSDGVHNTIPDDDQAWRAEFGAIYQEHY >Potri.001G020300.16.v4.1 pep chromosome:Pop_tri_v4:1:1566767:1571243:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.20.v4.1 pep chromosome:Pop_tri_v4:1:1566675:1571130:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.19.v4.1 pep chromosome:Pop_tri_v4:1:1566677:1571189:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.3.v4.1 pep chromosome:Pop_tri_v4:1:1566295:1571208:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.22.v4.1 pep chromosome:Pop_tri_v4:1:1567139:1571072:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.21.v4.1 pep chromosome:Pop_tri_v4:1:1566736:1571105:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.17.v4.1 pep chromosome:Pop_tri_v4:1:1566519:1571205:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.15.v4.1 pep chromosome:Pop_tri_v4:1:1567510:1571106:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGKQCKQSNSRCLFCTLDIVAQSLYHSLYSGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.2.v4.1 pep chromosome:Pop_tri_v4:1:1566777:1571056:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.001G020300.18.v4.1 pep chromosome:Pop_tri_v4:1:1566762:1571294:1 gene:Potri.001G020300.v4.1 transcript:Potri.001G020300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G020300.v4.1 MDGYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLCSPTNPSKSPSPPSPLYSSNPITISRQNSSSSTSRLGFNIPPSLTIPNPSSNFSSSWSDLPNPDDLISPNGSSLNPASAPFYANGVRGGGESDLMDEFQLQDQLSFLNDNSANLGPKSSDLFYSQLDALSSPTGASDSVMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGESDGAGVVVGSPNGNNKIDMMDQCHELLRSKSAQQQRLAAASQLMGGSAASFPYSPKSMNFLLQQQQNDSQRAAAALMMGEDMHKFARSRLDRNDLINPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFLYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSTGSPVPSPTHSPNIFNQSLAFPPLHSNTEVPQENCSSPMPAISVAAPTEKQISNANSGKECTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGSGDYYSAFIHGVPDLSHEKDANIPASSSANNSLVTTSLISPNSSLEMASFKSFNCQMPRFSSGHGAIGMYANTDGPTCPVGI >Potri.011G005700.3.v4.1 pep chromosome:Pop_tri_v4:11:474524:479419:1 gene:Potri.011G005700.v4.1 transcript:Potri.011G005700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005700.v4.1 MRFKRKESEWLRVQGSELWNNDGGENKILLVLKLSFDHLPSHLKRCFAFCAVFPKKFEICKEKLIHQWIAGGLAQRSAHDRVSKPEDIGSDYLNDLLRMSFLEVVSGCGDSSTTRIKMHDLAISVAGNEFLAAGKTEQQGTLEQSHSLPKVCDFFTTTRHAVVDCNSSSGLIHKALYRAKGLRTHNLLSLGDASEKAIRNLISSFKYLRILNLSGFGIKHLHKSVGDLTYPRYLDLSNTPIEKLPASICNLQLQTLDLSSCYNLQKLPKKTRIMTSLRHLKIKNCTRLARLPGFIGRLRNLQSMPIFIAGKTWEEGILQLLELQNLPGELKIKHLENVERRHVARTCLISEDLPGNRRDYCLENMQLNSLGLSWGDADEHKLSVSMRGPRSQTGHHSVETARILLDSTLKPNSRIKKLFVNGYPGTEFPNWMNTAALCNLIQLELANCTNSESLPTLGELPLLKVLRIQGMDSVVNIGNEFFGGMRAFSSLTEFSLKDFPKLETWSTNPVEAFTCLNKLTIINCPVLITMPWISSTCRDQKLPPSDATVSSTATINLHSHYWQFSGVTLYTKSTHRKQLASLVFDNFLLSQTSFIACKCWATPELEVSKNRLVSGATLFATWFDKSDFSGVLGDY >Potri.011G005700.4.v4.1 pep chromosome:Pop_tri_v4:11:476290:478904:1 gene:Potri.011G005700.v4.1 transcript:Potri.011G005700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G005700.v4.1 MLRSVAQLRSISTLIIGNSPELLYIPKALIENNLLLSSLTISSCPKLRSLPANVGQLQNLKFLKIGWFQELHSLPHGLTNLTSLESLEIIECPNLVSLPEQSLEGLSSLRSLSIENCHSLTSLPSRMQHATALERLTIMYCSNLVSLPIGLQHLSALKSLSILSCTGLASLPEGLQFITTLQNLEFHDCPEVMELPAWVENLVSLRSLTISDCQNICPELEKRCQRGNGVDWQKISHTPYIYVGSSTLQQRRDTC >Potri.002G032100.3.v4.1 pep chromosome:Pop_tri_v4:2:2112318:2115043:-1 gene:Potri.002G032100.v4.1 transcript:Potri.002G032100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032100.v4.1 MNVLQGMATPVEPPNGVRNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETTEKVAIKKIHNAFENRVDALRTLRELKLLRHLLHENVIGLKDVMMPIQRRSFKDVYLVYELMDTDLHQIIKSSQALTNEHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCELKICDFGLARTSNGKNQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIISILGSQREEDLEFIDNPKAKKYIKSLPYSPGTPLSRLYPNAHPLAIDLLQKMLVFDPSKRITVTGALEHPYMSLLYDPSSNPPAQVPIDLDIDEELGEEMIREMMWKEMLHYHPEAAAVNGEVRS >Potri.002G032100.2.v4.1 pep chromosome:Pop_tri_v4:2:2111664:2115971:-1 gene:Potri.002G032100.v4.1 transcript:Potri.002G032100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G032100.v4.1 MATPVEPPNGVRNQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETTEKVAIKKIHNAFENRVDALRTLRELKLLRHLLHENVIGLKDVMMPIQRRSFKDVYLVYELMDTDLHQIIKSSQALTNEHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCELKICDFGLARTSNGKNQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIISILGSQREEDLEFIDNPKAKKYIKSLPYSPGTPLSRLYPNAHPLAIDLLQKMLVFDPSKRITVTGALEHPYMSLLYDPSSNPPAQVPIDLDIDEELGEEMIREMMWKEMLHYHPEAAAVNGEVRS >Potri.003G181900.1.v4.1 pep chromosome:Pop_tri_v4:3:18740224:18742171:-1 gene:Potri.003G181900.v4.1 transcript:Potri.003G181900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181900.v4.1 MMETEQVADEDCHVNNGARKILLGKYEMGRLLGQGTFAKVYRGKNLVTQENVAIKVLHKDQVKRTGLMEQIKREISIMHLVKHPNIVELKEVMATKSKVFFVMEFVKGGELFAKIKKGGLEEDLARKYFQQLICAVDYCHSRGVSHRDLKPENLLLDDKEDLKVSDFGFSALPEQKWNDGLLHTRCGTPAYVAPEVLRKKGYDGAKADTWSCGVILFVLLSGHLPFRNENAMKMYVKILKAEYEFPPWISRDAKRLISNLLVVDPEKRITIPEIRANSWFQKGLAQSAVSPNDLEDTENGVRSEDQEEFPGKTGSKHSPPFYNAFELISSMSSGFDLSSLFETKRRRGSMFTSKCPASMILSTLKSVAKKLNFRVAVSDTEFKVKLLGKEEGRKGKLAVTAEVFELAAELVVVELSKCSGDTFEYTKFCEEDVRPALKDIVWSWQGDNIDSHEGQVGTSN >Potri.014G093300.4.v4.1 pep chromosome:Pop_tri_v4:14:6042278:6049165:1 gene:Potri.014G093300.v4.1 transcript:Potri.014G093300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093300.v4.1 MAMHFKDLPSLAFRDAQRNTIRYCKQDSGRVDDRVYSDYKCKDYCPDVFRRIQELRNIDRSDYMMSIRSDLTLREILSAGKDTRVIPVSTDDRLVIGIVPKSEKKVMLRVLPSYCFHLEMNRDSLLSILYGIHYMKPIGGPKVYFSVYSRVIPSDANIFRVFDLKGSWKGRKINKPRAKENIILKDMDFGFRFYLDPLIQEKLLGQIKHDCEFLEAQGIMDYSLLICIALPPQDKDSVDSRSSYSEVTSSCHSPMNSNDSRNSHVQNTGYGDSSPVNSVDSRSFDSDRISSCSSEEVEGTQSIYSDISKELDSSKFRLGVGMPARTIRSKLIKAGHVPSTRSAGGLECADVVLYFSIVDIFQNYNLAKRLEHAYKSIKYDSKSIVTVNPKAYASRFQDFMSLIFHVDC >Potri.014G093300.2.v4.1 pep chromosome:Pop_tri_v4:14:6042278:6049166:1 gene:Potri.014G093300.v4.1 transcript:Potri.014G093300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G093300.v4.1 MAMHFKDLPSLAFRDAQRNTIRYCKQDSGRVDDRVYSDYKCKDYCPDVFRRIQELRNIDRSDYMMSIRSDLTLREILSAGKDTRVIPVSTDDRLVIGIVPKSEKKVMLRVLPSYCFHLEMNRDSLLSILYGIHYMKPIGGPKVYFSVYSRVIPSDANIFRVFDLKGSWKGRKINKPRAKENIILKDMDFGFRFYLDPLIQEKLLGQIKHDCEFLEAQGIMDYSLLICIALPPQDKDSVDSRSSYSEVTSSCHSPMNSNDSRNSHVQNTGYGDSSPVNSVDSRSFDSDRISSCSSEEVEGTQSIYSDISKELDSSKFRLGVGMPARTIRSKLIKAGHVPSTRSAGGLECADVVLYFSIVDIFQNYNLAKRLEHAYKSIKYDSKSIVTVNPKAYASRFQDFMSLIFHVDC >Potri.004G195300.2.v4.1 pep chromosome:Pop_tri_v4:4:20796428:20802916:-1 gene:Potri.004G195300.v4.1 transcript:Potri.004G195300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G195300.v4.1 MAAMMQPQIILLKEGTDTSQGKAQLVSNINACTAVADVVRTTLGPRGMDKLIHDDKGNVTISNDGATIMKLLDIIHPASKILVDIAKSQDSEVGDGTTTVVLLAGEFLKEAKPFVEEGVHPQNLIRSYRTACNLAIEKVKELAVSIEGKSLEEKKSLLAKCAATTLSSKLIGGEKEFFASMVVDAVIAIGNDDRLNMIGIKKVPGGTMRDSFLVNGVAFKKTFSYAGFEQQPKKFVNPKMLLLNIELELKSEKENAEIRLSDPSQYQSIVDAEWNIIYDKLDKCVQSGAKVVLSRLAIGDLATQYFADRDIFCAGRVSEEDLQRVSAASGGTVQTTVNNIIDEVLGTCEIFEEKQVGNERFNIFSGCPSGRTATIVLRGGADQFIEEAERSLHDAIMIVRRAMKNSTVVAGGGAIDMEISRYLRQHARTIAGKSQLFINSYAKALEVIPRQLCDNAGFDATDVLNKLRQKHALPSGEGAPYGVDINTGGIADSFSNFVWEPSVVKINAINAATEAACLILSVDETVKNPKSESAQGEAAAGAMGGRGGGGFRGRGRGMRRR >Potri.002G236000.4.v4.1 pep chromosome:Pop_tri_v4:2:22915351:22919529:1 gene:Potri.002G236000.v4.1 transcript:Potri.002G236000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236000.v4.1 MNFLPKSNDQQIFPASKQSPQIDLHHAFTTLQDHCSTFLQNLSHQFPLFNPNFQTHAKQSLDTLISRLNPNSPLSSKNPLWARIPHEPVIQPGTSMSTETIEERLAGVPVYALSNSNEEFVLVSGLSTGKSLGLFCFKQEDAEALLEQMKSMDPGMRKGGSKVVPVALNKVFQLKVDGVAFRLIPEPSQVKNALMERERAGLSDDCFSGVPVFQSRSLVLKSQNRSYRPVFFRKEDLEKSLLRASREQHKVNPAFKQGDIEVAVFEEIIKCMKEGSATTWDDVVFIPPGFDVSTTPAKQ >Potri.017G140400.2.v4.1 pep chromosome:Pop_tri_v4:17:14119574:14120912:-1 gene:Potri.017G140400.v4.1 transcript:Potri.017G140400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140400.v4.1 MMEKTTAKTEEEVAGRSLLDLVFSWSITDVLNRDLYKNQVKKIPETFTSTSHYMKSFIPALIEETRADLCSNMMKVSQAPTREIFSIERSKEYKPPKDLFYKMWLNRMRKTGNVKGIYEPEVGDLIALTDARPKDIADLNRPGINYLLAYVQRLSNGLDDDDNHETLWLDDDDNNETLSILTSKPIQFERRKQAE >Potri.010G201801.1.v4.1 pep chromosome:Pop_tri_v4:10:19399393:19400727:1 gene:Potri.010G201801.v4.1 transcript:Potri.010G201801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201801.v4.1 MVDVDKGCVFYKHFQVGGMGDGIDKAMTSPRISSDPGRWRRGSPRVSASS >Potri.006G146600.5.v4.1 pep chromosome:Pop_tri_v4:6:12498730:12505013:-1 gene:Potri.006G146600.v4.1 transcript:Potri.006G146600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146600.v4.1 MTPRTDLENSSLLNDRRERPLGSDKERVNIRAVTKAVRDDFNSASPTSSAKMNPSIRAPRSGSGIMPKLSPVVHRATAPNDWELSHCTNKPPAVGANNRKRTASARSSSPPVAHWAGQRPQKIYRTARRTNLVPIVNNDESPTLDSVSDVSGNEIGVGFARRLSGNSPQQVKLKGDTLSSAVLSESEESGATEVKSKDKSRKSDEIDEKAGQNVQKISPLGLPSRKNKLVSGEDIGDGVRRQGRTGRGFTSTRSLVPTAVEKLGNVGTAKQLRSARLGFDKNESKTGRPPTRKLSDRKAYTRQKNTTVNATADFLVGSEDGHEELLAAASAVINPGLALLSSFWRQMETFFGFISDVDIAHLKQQGSIVFTAPSATPVHSDANNYSTVPNGYGLFEHDREVELELAAETRTSELLPDQLMPVDREIPLSQLLLAALTSEEDCTLGNADLEFDAYGTDFELHEELESNCVNHLDNFQFSGHVAFSGCKVSGKPDHDETDNDISGIPNMGIDSSFRNTINGVLSDHALVPGMACSKFQYDNMKIEEKLRLEVLSLGIFPESMPDMPMDDEGICGHISKLEENQHGQVSRKKGLLDKLLKHASEMKELQEKEFEQRAHDKLVTMAYEKYMTCWGPNATGGKSSSSKMAKQAALAFVKQTLERCHKFEVTGNSCFSEPSFRDMFLSGTARLNGAQSVDTPTDGESAKLYGNTSTRSLEARVSASMGSQPSPRTLHVGQNGDSHISNPSDLLPPVNRLSEQITGKEDTWSNRMKKRELLLDDVVGSPSSAPSGIGGSLSSSTKGKRSERDREGKGHNREVLSRNGSNKIGRPTLSNQKGERKTKTKPKQKTTQLSVSVNGLVGKISEQPKTTLPSKAKSSENNSNSKAKEKDRFGLDVLDDAIDLSNLQLPGIDVLDDSQGQDLGSWLNIDDDGLQEHGDIDFMGLEIPMDDLSDLNMMV >Potri.006G146600.1.v4.1 pep chromosome:Pop_tri_v4:6:12498722:12509529:-1 gene:Potri.006G146600.v4.1 transcript:Potri.006G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146600.v4.1 MATSSKFDMSSDSPDRPIYSSGHRGSHLAAQMDRSSSFRESMENPILSSVPNMARSSAVVAQGDVVNFFQCMRFDPKVVAADHKSSRQGDFKRHMNAALGISADDSSGSLKGKVVLSPSPEEIKRVRDGLRGSSAKGRERVKIFTEALSAFNKLFPTIPSRKRSRLEGYSNDRPNASVSSDRSVLVPSLGKMGIQNHSATSGFELEQQKSEERTKNIVPNKRTRTSLVDVRGNALVRPSGTVDRDREMLRLANSGAVQGEDRSLSIGVDGWEKTKMKKKRSGIKPDVASNMVSTKPSDGYRESKQGALQRPGTDARSRLNIDSHGFRPGVSNGAVGVGKIDGISQPTGLSVRSMTPRTDLENSSLLNDRRERPLGSDKERVNIRAVTKAVRDDFNSASPTSSAKMNPSIRAPRSGSGIMPKLSPVVHRATAPNDWELSHCTNKPPAVGANNRKRTASARSSSPPVAHWAGQRPQKIYRTARRTNLVPIVNNDESPTLDSVSDVSGNEIGVGFARRLSGNSPQQVKLKGDTLSSAVLSESEESGATEVKSKDKSRKSDEIDEKAGQNVQKISPLGLPSRKNKLVSGEDIGDGVRRQGRTGRGFTSTRSLVPTAVEKLGNVGTAKQLRSARLGFDKNESKTGRPPTRKLSDRKAYTRQKNTTVNATADFLVGSEDGHEELLAAASAVINPGLALLSSFWRQMETFFGFISDVDIAHLKQQGSIVFTAPSATPVHSDANNYSTVPNGYGLFEHDREVELELAAETRTSELLPDQLMPVDREIPLSQLLLAALTSEEDCTLGNADLEFDAYGTDFELHEELESNCVNHLDNFQFSGHVAFSGCKVSGKPDHDETDNDISGIPNMGIDSSFRNTINGVLSDHALVPGMACSKFQYDNMKIEEKLRLEVLSLGIFPESMPDMPMDDEGICGHISKLEENQHGQVSRKKGLLDKLLKHASEMKELQEKEFEQRAHDKLVTMAYEKYMTCWGPNATGGKSSSSKMAKQAALAFVKQTLERCHKFEVTGNSCFSEPSFRDMFLSGTARLNGAQSVDTPTDGESAKLYGNTSTRSLEARVSASMGSQPSPRTLHVGQNGDSHISNPSDLLPPVNRLSEQITGKEDTWSNRMKKRELLLDDVVGSPSSAPSGIGGSLSSSTKGKRSERDREGKGHNREVLSRNGSNKIGRPTLSNQKGERKTKTKPKQKTTQLSVSVNGLVGKISEQPKTTLPSKAKSSENNSNSKAKEKDRFGLDVLDDAIDLSNLQLPGIDVLDDSQGQDLGSWLNIDDDGLQEHGDIDFMGLEIPMDDLSDLNMMV >Potri.006G146600.4.v4.1 pep chromosome:Pop_tri_v4:6:12498716:12506426:-1 gene:Potri.006G146600.v4.1 transcript:Potri.006G146600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146600.v4.1 MLRLANSGAVQGEDRSLSIGVDGWEKTKMKKKRSGIKPDVASNMVSTKPSDGYRESKQGALQRPGTDARSRLNIDSHGFRPGVSNGAVGVGKIDGISQPTGLSVRSMTPRTDLENSSLLNDRRERPLGSDKERVNIRAVTKAVRDDFNSASPTSSAKMNPSIRAPRSGSGIMPKLSPVVHRATAPNDWELSHCTNKPPAVGANNRKRTASARSSSPPVAHWAGQRPQKIYRTARRTNLVPIVNNDESPTLDSVSDVSGNEIGVGFARRLSGNSPQQVKLKGDTLSSAVLSESEESGATEVKSKDKSRKSDEIDEKAGQNVQKISPLGLPSRKNKLVSGEDIGDGVRRQGRTGRGFTSTRSLVPTAVEKLGNVGTAKQLRSARLGFDKNESKTGRPPTRKLSDRKAYTRQKNTTVNATADFLVGSEDGHEELLAAASAVINPGLALLSSFWRQMETFFGFISDVDIAHLKQQGSIVFTAPSATPVHSDANNYSTVPNGYGLFEHDREVELELAAETRTSELLPDQLMPVDREIPLSQLLLAALTSEEDCTLGNADLEFDAYGTDFELHEELESNCVNHLDNFQFSGHVAFSGCKVSGKPDHDETDNDISGIPNMGIDSSFRNTINGVLSDHALVPGMACSKFQYDNMKIEEKLRLEVLSLGIFPESMPDMPMDDEGICGHISKLEENQHGQVSRKKGLLDKLLKHASEMKELQEKEFEQRAHDKLVTMAYEKYMTCWGPNATGGKSSSSKMAKQAALAFVKQTLERCHKFEVTGNSCFSEPSFRDMFLSGTARLNGAQSVDTPTDGESAKLYGNTSTRSLEARVSASMGSQPSPRTLHVGQNGDSHISNPSDLLPPVNRLSEQITGKEDTWSNRMKKRELLLDDVVGSPSSAPSGIGGSLSSSTKGKRSERDREGKGHNREVLSRNGSNKIGRPTLSNQKGERKTKTKPKQKTTQLSVSVNGLVGKISEQPKTTLPSKAKSSENNSNSKAKEKDRFGLDVLDDAIDLSNLQLPGIDVLDDSQGQDLGSWLNIDDDGLQEHGDIDFMGLEIPMDDLSDLNMMV >Potri.006G146600.3.v4.1 pep chromosome:Pop_tri_v4:6:12498726:12509532:-1 gene:Potri.006G146600.v4.1 transcript:Potri.006G146600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G146600.v4.1 MATSSKFDMSSDSPDRPIYSSGHRGSHLAAQMDRSSSFRESMENPILSSVPNMARSSAVVAQGDVVNFFQCMRFDPKVVAADHKSSRQGDFKRHMNAALGISADDSSGSLKGKVVLSPSPEEIKRVRDGLRGSSAKGRERVKIFTEALSAFNKLFPTIPSRKRSRLEGYSNDRPNASVSSDRSVLVPSLGKMGIQNHSATSGFELEQQKSEERTKNIVPNKRTRTSLVDVRGNALVRPSGTVDRDREMLRLANSGAVQGEDRSLSIGVDGWEKTKMKKKRSGIKPDVASNMVSTKPSDGYRESKQGALQRPGTDARSRLNIDSHGFRPGVSNGAVGVGKIDGISQPTGLSVRSMTPRTDLENSSLLNDRRERPLGSDKERVNIRAVTKAVRDDFNSASPTSSAKMNPSIRAPRSGSGIMPKLSPVVHRATAPNDWELSHCTNKPPAVGANNRKRTASARSSSPPVAHWAGQRPQKIYRTARRTNLVPIVNNDESPTLDSVSDVSGNEIGVGFARRLSGNSPQQVKLKGDTLSSAVLSESEESGATEVKSKDKSRKSDEIDEKAGQNVQKISPLGLPSRKNKLVSGEDIGDGVRRQGRTGRGFTSTRSLVPTAVEKLGNVGTAKQLRSARLGFDKNESKTGRPPTRKLSDRKAYTRQKNTTVNATADFLVGSEDGHEELLAAASAVINPGLALLSSFWRQMETFFGFISDVDIAHLKQQGSIVFTAPSATPVHSDANNYSTVPNGYGLFEHDREVELELAAETRTSELLPDQLMPVDREIPLSQLLLAALTSEEDCTLGNADLEFDAYGTDFELHEELESNCVNHLDNFQFSGHVAFSGCKVSGKPDHDETDNDISGIPNMGIDSSFRNTINGVLSDHALVPGMACSKFQYDNMKIEEKLRLEVLSLGIFPESMPDMPMDDEGICGHISKLEENQHGQVSRKKGLLDKLLKHASEMKELQEKEFEQRAHDKLVTMAYEKYMTCWGPNATGGKSSSSKMAKQAALAFVKQTLERCHKFEVTGNSCFSEPSFRDMFLSGTARLNGAQSVDTPTDGESAKLYGNTSTRSLEARVSGIFSDVSEFASGIHSCVDVFSLNLFFMGSCCL >Potri.007G078400.3.v4.1 pep chromosome:Pop_tri_v4:7:10311143:10314815:-1 gene:Potri.007G078400.v4.1 transcript:Potri.007G078400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1 MQSRFTSLATRTLKSTTTKAKNCNFSSSTTESSTKQHPQFPETLAGLRARLSAESPALGDFIDLQSNNTYSVEVGTKKKSLPKPKWMREAIPGGEKYVQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPTNVAEAIASWGLDYVVITSVDRDDLTDQGSGHFAETVRKLKTLKPNMLIEALVPDFRGDRGCVEKVATSGLDVFAHNIETVEELQSSVRDRRANFKQSLDVLVMAKEYAPAGTLTKTSVMLGCGETPEQVVKTMEKVRAAGIDVMTFGQYMRPSKRHMPVSEYITPDAFEKYRTLGMEMVCFCLVFF >Potri.007G078400.1.v4.1 pep chromosome:Pop_tri_v4:7:10311167:10314870:-1 gene:Potri.007G078400.v4.1 transcript:Potri.007G078400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:LIP1 MQSRFTSLATRTLKSTTTKAKNCNFSSSTTESSTKQHPQFPETLAGLRARLSAESPALGDFIDLQSNNTYSVEVGTKKKSLPKPKWMREAIPGGEKYVQIKKKLRELKLHTVCEEAKCPNLGECWSGGETGTATATIMILGDTCTRGCRFCNVKTSRTPPPPDPNEPTNVAEAIASWGLDYVVITSVDRDDLTDQGSGHFAETVRKLKTLKPNMLIEALVPDFRGDRGCVEKVATSGLDVFAHNIETVEELQSSVRDRRANFKQSLDVLVMAKEYAPAGTLTKTSVMLGCGETPEQVVKTMEKVRAAGIDVMTFGQYMRPSKRHMPVSEYITPDAFEKYRTLGMEMGFRYVASGPMVRSSYKAGEFYIKSMIESDRSASSQLPIS >Potri.008G008900.3.v4.1 pep chromosome:Pop_tri_v4:8:436390:437808:1 gene:Potri.008G008900.v4.1 transcript:Potri.008G008900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008900.v4.1 MASNAAAPFWRAAGMTYITYSNICANLVRNCLKEPYKTEALSREKVHFAVTKFVDGNPQKPIVRSDSGTE >Potri.011G049900.1.v4.1 pep chromosome:Pop_tri_v4:11:3978602:3980107:-1 gene:Potri.011G049900.v4.1 transcript:Potri.011G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049900.v4.1 MLAIFNKGLVNPPQELYSPASLCSSRKPKLPEEIVKDFVSANPPNAFSLSFGDAALLAYIQPGNSYPRHQRLLCGLDGIYCIFLGSLNNLCSLNKQYGLSKCTNEAMFIIEAYRTLRDRGPYPAHKVLQDLDGRFGFVVYDTKAGQVFAALGENEGVGLFWGIAADGSVVISDDLEVIKGSCAKSFAPFPSGCMFHSEQGLMSFEHPSSKMKAMPRIDSEGAMCGANFKVDVHSRISSMPRVGSEANWALGGSTA >Potri.007G085700.2.v4.1 pep chromosome:Pop_tri_v4:7:11022839:11028473:-1 gene:Potri.007G085700.v4.1 transcript:Potri.007G085700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085700.v4.1 MNSTSTQFVTPGRMGIYDPMHQIGMWGENFKSNGNPNTSTMFIAGNPNASASMIIAPDTKLDNQSEDTSQGTLGHSNKYDQEASKPADKVQRRLAQNREAARKSRLRKKAYVQQLESSRVKLIQLEQELDRARQQGLYIGGGVDASQLGFGGPTNSGIATFEMEYAHWLEEQNRHICDMRTALNAHISDVELRIRVESDMSHYFELFRLKATAAKADVFYVMSGLWKSSAERFFLWIGGFRPSELLKILVPCMEPLTEQQLMDVLNLRQSCQQAEDALSQGLEKLQQNVAETVAAGKLGEASYSHHMETAMEKLEALARFVQQADHIRQETLQQMSRILTTRQAARGLLALGEYFQRLRALSSLWATPREPA >Potri.007G085700.3.v4.1 pep chromosome:Pop_tri_v4:7:11022953:11028473:-1 gene:Potri.007G085700.v4.1 transcript:Potri.007G085700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G085700.v4.1 MNSTSTQFVTPGRMGIYDPMHQIGMWGENFKSNGNPNTSTMFIAGNPNASASMIIAPDTKLDNQSEDTSQGTLGHSNKYDQEASKPADKVQRRLAQNREAARKSRLRKKAYVQQLESSRVKLIQLEQELDRARQQGLYIGGGVDASQLGFGGPTNSGIATFEMEYAHWLEEQNRHICDMRTALNAHISDVELRIRVESDMSHYFELFRLKATAAKADVFYVMSGLWKSSAERFFLWIGGFRPSELLKILVPCMEPLTEQQLMDVLNLRQSCQQAEDALSQGLEKLQQNVAETVAAGKLGEASYSHHMETAMEKLEALARFVQQADHIRQETLQQMSRILTTRQAARGLLALGEYFQRLRALSSLWATPREPA >Potri.007G026000.2.v4.1 pep chromosome:Pop_tri_v4:7:1974788:1975902:1 gene:Potri.007G026000.v4.1 transcript:Potri.007G026000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026000.v4.1 MELVCRSFRVERGSSIKFGRSNSSLLISESISSECTRVARYENLSASMRMSNDQDIGYRSRKSRAYTFISKVFSFRKISSDCETRQQADMVTQEEKKEKKKRSSWLPDPERRWPVQGW >Potri.009G059800.1.v4.1 pep chromosome:Pop_tri_v4:9:6207182:6210356:-1 gene:Potri.009G059800.v4.1 transcript:Potri.009G059800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G059800.v4.1 MATLSFNPTRIPHKPISKTASFSKPSELLFPFSLKPSKHHVKPLHLQSNIITKLSVSTQEEEVETEKEDLEEDDPTAETVYLDQETDPDSIVEWELDFCSRPILDVRGKKVWELVVCDDSLSLQFTKYFPNNVINSITLKDAIVSISVDLGVPLPERIRFFRSQMLTIITKACKEIGIKPIPSKRCISLLLWLEERYETVYTRHPGFQKGAKPLLALDNPFPMELPDNLFGEKWAFVQLPFSAVREEIASLETRFFFGASLDLDLLGIEIDDKTMIPGLAVASSRAEPLAAWMNGLEVVAIEADTSRACLILSVGIATRYVYATYKKTPVTTAEAEAWEAAKKACGGLHFLAIQNDLDSDDCVGFWLLLDLPPPPV >Potri.012G082950.1.v4.1 pep chromosome:Pop_tri_v4:12:10826687:10826917:-1 gene:Potri.012G082950.v4.1 transcript:Potri.012G082950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082950.v4.1 MLNVRALARYYAALVDGGLVPPPHSSLSKPLLGTHPHIPKFPSENTSKKQEGKKSKAASSASKKKGNGYCQMGNSD >Potri.001G043300.4.v4.1 pep chromosome:Pop_tri_v4:1:3139749:3142475:-1 gene:Potri.001G043300.v4.1 transcript:Potri.001G043300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043300.v4.1 MGSEATSNGSANSGGFKSRVQRYLYSGDTKHVMAGMAVITLVFGVPWFLMNRGTKHASHQDYMEKADKARLDRLSSGSAAT >Potri.011G145300.1.v4.1 pep chromosome:Pop_tri_v4:11:17399019:17403698:1 gene:Potri.011G145300.v4.1 transcript:Potri.011G145300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145300.v4.1 MSDSLPSGPPSDPATATATATNSPDEATTTTSSTTTTTSTPEGPTPPPVQIPLSWPADSSLTLDWIQNLISAFDWSSKNLSPSELPLVLPVPVFDTLVLTASKILHKEPNCVRIDECNDNSRVVVVGDVHGQLHDVNFLLQDAGFPCEDRFFVFNGDYVDRGAWGLETFLLLLAWKVFLPQRVYLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASIIAGHVYTAHGGLFRSVSITPLKRSKGKKNRRITLNSETKPLCLGSLEELSKARRSVLDPPWEGLNLIPGDVLWSDPTMKSGLSPNTERGIGLLWGPDCTEDFLKKFQLKLIIRSHEGPDAREKRPGLGGMDVGYTIDHVVPSGKLITLFSAPDYPQFQATEDRFNNKGAYIVLKPPYFDVPEFHTFEAITPRPVVNAYYNYEDVIDSDEELDLASMVTAT >Potri.011G145300.3.v4.1 pep chromosome:Pop_tri_v4:11:17399017:17403605:1 gene:Potri.011G145300.v4.1 transcript:Potri.011G145300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145300.v4.1 MSDSLPSGPPSDPATATATATNSPDEATTTTSSTTTTTSTPEGPTPPPVQIPLSWPADSSLTLDWIQNLISAFDWSSKNLSPSELPLVLPVPVFDTLVLTASKILHKEPNCVRIDECNDNSRVVVVGDVHGQLHDVNFLLQDAGFPCEDRFFVFNGDYVDRGAWGLETFLLLLAWKVFLPQRVYLLRGNHESKYCTSVYGFEKEVLAKYGDKGKHVYRKCLGCFEGLPLASIIAGHVYTAHGGLFRSVSITPLKRSKGKKNRRITLNSETKPLCLGSLEELSKARRSVLDPPWEGLNLIPGDVLWSDPTMKSGLSPNTERGIGLLWGPDCTEDFLKKFQLKVVQAQICV >Potri.011G053612.2.v4.1 pep chromosome:Pop_tri_v4:11:4358241:4358914:1 gene:Potri.011G053612.v4.1 transcript:Potri.011G053612.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053612.v4.1 MKEPKSWQTCQVGASASSIESLLFHDITSQQQQLKTTERERESVQVLHLKRELWPLSLHKTVCAAQHLLSFNSSKMRIGFTSFLHFSHIAVALDTGRCVSTSMCACITRL >Potri.011G053612.1.v4.1 pep chromosome:Pop_tri_v4:11:4358241:4358914:1 gene:Potri.011G053612.v4.1 transcript:Potri.011G053612.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053612.v4.1 MKEPKSWQTCQVGASASSIESLLFHDITSQQQQLKTTERERESVQVLHLKRELWPLSLHKTVCAAQHLLSFNSSKMRIGFTSFLHFSHIAVALDTGRCVSTSMCACITRFESLSDRLP >Potri.006G072800.1.v4.1 pep chromosome:Pop_tri_v4:6:5295513:5298783:1 gene:Potri.006G072800.v4.1 transcript:Potri.006G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G072800.v4.1 MTMITATTMAVLLKPMITAHRLLLFQTQKHTSIIAKQQKQHGSLLVASSVRQSDNYCQNLVDEFDPNIPIEKALTPPSSWYTDPSFFDFELHRVFYKGWQAVGYTEQIKNPRDFFTGRLGNVEFLVCRDDDGKIHAFHNVCRHHASLVASGNGQKSCFVCPYHGWTYGLDGALLKATRITGIQNFDVNEFGLKPLNVATWGPFVLLNLDKEILPQQEADNTVGSEWLGSCSEYLAANGVDSSLSYLCRRVYDIECNWKVFCDNYLDGGYHVPYAHKGLASGLKLNSYSTKTYEKVSIQSCDGGSTESEDDIDRLGSKALYAFIYPNFMINRYGPWMDTNLVLPLGPRKCQVIFDYFIEAHLKDDKDFIERSLVDSERVQIEDIVLCEGVQRGLETPAYCSGRYAPMVEHAMHHFHQLLHYILKE >Potri.001G252500.1.v4.1 pep chromosome:Pop_tri_v4:1:26868905:26874135:1 gene:Potri.001G252500.v4.1 transcript:Potri.001G252500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G252500.v4.1 MPTPVSVARQCLTDEAARALDEAVAVARRRNHCQTTSLHAVSALLALPASTLRDACSRATTNAFSSRRQFRALDLSVGVSLDRLPSSRTLDEDPPISNSLMAAIKRSQANQRRHPDNFHLHQIHCNQQAASVLKVEMKHFILSILDDPIVSRVFGEAGFRSYDIKIAIVHPPVSQSSKYSPVGCAPIFLCNLPGSNITGPGRPPGFSFPFSSGLDDDDVGDDDVCRRIGEALVRRDGKGRNLLLVGVYASKALKGFVDSVNKENKGGVLPSEINGVSVISIEDEIIHFVSELGGDKEKMGLKFEELGQELEQYSGPGIVVNFGDMKVLVGENVCGDAVSYLVSKLTSLLEGFRGKIWLVGTADSYDTYLKSVGRFSSVEKDWDLRVLPIASYKSPVGDFSSKSSLLGSFVPFGGFFSTPSDFKKPTNSINQSIICCHLCNAKYEKDVAAILKMGSTTSVADQSSEKLPSLLQMAELDTGKAVDAVKTRDDDTALNAKILGLRNKWNDICQRLHHAQPFFKFDVSQATSQVSIAEGFQCVADEKKSRSNSSSRDSSLNESQCVNLNLGVCLNQQKIFPAKHCVDSETEDVNHGSKQLEEVPRLKQKEKESPWFTPCPLSNVSLPSDRTSSSSVTSVTTHLGLGTLYATSAQEHNITKLRDPMEHLQHFSGSGSAEFDDNTSLQIAKSSSFSGPFSGGKFNLRDFKSVMRAISEKVGWQDRATYAIGEAVSRCKAGHGRHHGSNSKGDISFILLGPDRIGKKKIASALAEVMFGSTQSFISLDLGSHDKVSSSNSIFDSQELQYDDELGRSMTFVDRIASKLSKKPHSLIFLENIDKADPLVQHSLSYALRTGRFPDSRGREVSTNNTIFVATSTIIVGNTNFLSENKSIKFSEEMILGAKSWQMQILVEHAAEATSKRSEMKVRISREITSAVSYGNKRKLDATSDLMEQESSCESSKQAHKALRSYLDLNLPVEDTGECANYGDTDSDSISESSQAWLEDFSDQVDEKVVFKTFDFDSLAEKIVKEIGKQFQMAFGYEILLEIDDEVMVQILAAAWLSEKERAMEDWIEEVVGRGFRKAKLKSQFSAQCVVKLVTCKGLVLKEQAPGIRLPSRINL >Potri.012G025300.1.v4.1 pep chromosome:Pop_tri_v4:12:2451826:2454750:-1 gene:Potri.012G025300.v4.1 transcript:Potri.012G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G025300.v4.1 MKNYSLSLAQFPCSILFLLYFLTTISSSFSSNFSSSTQLCSHHQALSLLQFKQSFSIQRSPFWFARNYQYDQYPKTESWKEGTDCCLWDGVTCDLKTGQVTKLDLSFSMLHGTLRSNNSLFSLHHLQKLVLSYNDFNFSNISSQFGQFSNLMHLNLTHSNFAGQVPSEISHLSKLVSLDISNKHLSLETVSFAKIVQNLTKLRVLYLDYIDMSLVAPNSLTNLSSSLTLLSLVDCGLQGEFPSNIFLLPNLDSLILADNKGLTGSFPSSNVSNVLWQLELSDTRISVYLENDFISKLKSLEYIWLRNCDIRRTNIALLGNLTQLRMLDLSHNNLSSEIPSSFENLSNLESLYLFSNLFNGTIPSFLFALPSLGYLDLHNNHFIGHISEFQYNSLEYLDLSNNHFHGPVPSSIFKQEYLEVLILASNNKLSGEISYSICKLKYLEILDLSNNSLSGSIPQCLSNFSNTLSILNLGMNNLQGTISLAFSEGNSLGYLILNDNELEGEIPSSIINCTMLEVLDLGNNKIKDTFPHFLERLPKLQVLVLKSNKLQGFVKDPTTYNSFSKLHIFDISSNNLSGPLPTGFLNSLEAMMASDQNRIYMTSNNYYGFADIYAYSVEMTWKGSEFEFAKVQGILRVLDLSSNSFTGEIPKLIGKLKGLQQLNLSHNYLTGHIQSSLGILNNLESLDLSSNLLTGRIPIQLVDLTFLQVLDLSHNRLEGPIPKGKQFNTFDHRSFEGNSGLCGFPMPEECSNGEAPPLPPSNFIAGDDSTLLEDGFGWKAVAIGYGCGFMFGVIMGYVVFKTRRPAWFLKMVEDQWSLNASRTKKNASRNGARRK >Potri.002G123300.2.v4.1 pep chromosome:Pop_tri_v4:2:9331727:9333706:1 gene:Potri.002G123300.v4.1 transcript:Potri.002G123300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123300.v4.1 MAVELMMGYSGDCFATKMQENAVREAAASGIQSVEEVIKLLKQNQLEQQHYQELSAASSSSNLGTDNIMTVTDMAVNNFKKVISLLGRTTRTGHARFRRAPDTPPTQQQIREEPESQQEKRQVQEPGPSVRAINSQPTEQASAFRVYQPTPIHRLPPLPHNQQQKSPLLVTKKGLSDRNEIPTTINFSNSPSISSATSFMSSLTGETDGFQRSMPSRFHFTQPSAGKPPLSSSSLKRKCNSMDDAALKCGSSSGRCHCSKKRKSRAKRVVRVPAISNKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDSMMLIVTYEGEHNHSHPFDDAPAALVPESS >Potri.002G123300.1.v4.1 pep chromosome:Pop_tri_v4:2:9331727:9333643:1 gene:Potri.002G123300.v4.1 transcript:Potri.002G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123300.v4.1 MAVELMMGYSGDCFATKMQENAVREAAASGIQSVEEVIKLLKQNQLEQQHYQELSAASSSSNLGTDNIMTVTDMAVNNFKKVISLLGRTTRTGHARFRRAPDTPPTQQQIREEPESQQEKRQVQEPGPSVRAINSQPTEQASAFRVYQPTPIHRLPPLPHNQQQKSPLLVTKKGLSDRNEIPTTINFSNSPSISSATSFMSSLTGETDGFQRSMPSRFHFTQPSAGKPPLSSSSLKRKCNSMDDAALKCGSSSGRCHCSKKSRKSRAKRVVRVPAISNKMADIPPDDYSWRKYGQKPIKGSPHPRGYYKCSSVRGCPARKHVERALDDSMMLIVTYEGEHNHSHPFDDAPAALVPESS >Potri.018G147100.1.v4.1 pep chromosome:Pop_tri_v4:18:15885197:15887004:1 gene:Potri.018G147100.v4.1 transcript:Potri.018G147100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147100.v4.1 MNIDLFNACGCQGAFSSSNKRSPSDLNTTPSLNQLKKPFLGSQENTTTTTTANYPNSFHRCFSDPCSEPVANQLALQSPLDSSKMVGTTAVSLPSQPALRRSVLDPSPNESCSRSSSFKWLKKMRDNMKEINLLWDEIIMPADEEPPCENHEEDDKATELENINAIKSDLETDCEESVTVERTGECLIIHFKCSCGEGYQILLYGRNCYYKLM >Potri.005G046000.8.v4.1 pep chromosome:Pop_tri_v4:5:2915189:2919616:-1 gene:Potri.005G046000.v4.1 transcript:Potri.005G046000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046000.v4.1 MMLMRNSAFRAIASSAIWVLQQHMEMGIFPFLPYFPSFLFFHRHHITTSTFTKNPSLPKKNGGFASNSSNTISVDDALASFYRMARMNPRPSIVEFGKFLGSIAKMKQYSTVVYLCNQMDLFGVTHTVYSLNILINCLCRLNHVDFAVSVWGKMFKLGIQPDVITFTTLINGVCNEGKIKVAVELYNEMVRSGHEPDVISYNTLINGLCNSGNTNMAVHVFKKMEQNGCKPNVVTYNTIIDSLCKDRLVNDAMDFLSEMVGRGIPPDAITYNSIVHGLCCLGQLNEATRLFKRMEQNGCKPDVVTYNIIIDSLYKDRLVNDAADFLSEMVDQGIPPDVVTYTTILHGLCYLGQLNEAIRLFKKMEQKGCKPDVVAYNTIIDSLCKDRLVNDAMEFLSEMVDRGIPPNAVTYSTILHGFCNLGQLDEATQLFKEMVGRNVMPNTLTFSILVDGLCQEGMVSEARWVFETMTEKGVEPNIYTYNALMNGYCLRCKMNEARKVFEIMVGKGCAPDLHSYNILINGYCNSRRMDKAKALLTQMSVKKLTPNTVTYNTIMKGLCYVGRLLDAQELFKKMCSSGMLPTLMTYSILLNGLCKHGHLDEALKLFKSMKEKKLEPDIILYTILIEGMFIGGKLEVAKGLFSKLSADGIQPPGRTYNVMIKGLLKEGLSDEAYELFRKWKMMKHNVII >Potri.011G094500.2.v4.1 pep chromosome:Pop_tri_v4:11:12191440:12194102:-1 gene:Potri.011G094500.v4.1 transcript:Potri.011G094500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G094500.v4.1 MVHVNFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRAKRELWRVQYALSRIRNAARMLLTLDEKNPRRIFEGEALLRRMNRYGLLEENQNKLDYVLALTVESFLERRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQAVNIPSFMVRVDSQKHIDFSLTSPLGGGRPGRVKRKNQKAAAKKASGGDGDEEDEE >Potri.009G017800.3.v4.1 pep chromosome:Pop_tri_v4:9:3005824:3008434:1 gene:Potri.009G017800.v4.1 transcript:Potri.009G017800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017800.v4.1 MEIGSASGDKAGVFTQGRRWLNALPVKFKDKVADTATNIKKVGQDDPRRVIHSLKVGLALALVSIFYYYQPLYSNFGVTAMWAIMTVVVVFEFSVGATLGKGLNRGMATLLASALGVGAHHLANLSGHVGEPILLGSLVFLQAAISTFLRFFPKIKARYDYGLLIFILTFSLISISGFRDDEILELAHKRVLTIFVGGFACVIISIVVFPVWAGEDLHNLIALNIEKLGNFLEGFGDEYFKRTGDAESKDDKKYLEGYKSVLNSKTGEESLANFAAWEPGHGRFQFRHPWKQYLKVGTLARECAYRIEALNGYLNADIQASSEVRSRIQEACTNVSIESGKALKELSLTMKKMGLQWLQSLMKWSNALKTLLNPSMNSLP >Potri.009G017800.2.v4.1 pep chromosome:Pop_tri_v4:9:3005825:3008433:1 gene:Potri.009G017800.v4.1 transcript:Potri.009G017800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017800.v4.1 MEIGSASGDKAGVFTQGRRWLNALPVKFKDKVADTATNIKKVGQDDPRRVIHSLKVGLALALVSIFYYYQPLYSNFGVTAMWAIMTVVVVFEFSVGATLGKGLNRGMATLLASALGVGAHHLANLSGHVGEPILLGSLVFLQAAISTFLRFFPKIKARYDYGLLIFILTFSLISISGFRDDEILELAHKRVLTIFVGGFACVIISIVVFPVWAGEDLHNLIALNIEKLGNFLEGFGDEYFKRTGDAESKDDKKYLEGYKSVLNSKTGEESLANFAAWEPGHGRFQFRHPWKQYLKVGTLARECAYRIEALNGYLNADIQASSEVRSRIQEACTNVSIESGKALKELSLTMKKMAYGKTLTC >Potri.009G017800.1.v4.1 pep chromosome:Pop_tri_v4:9:3005825:3008433:1 gene:Potri.009G017800.v4.1 transcript:Potri.009G017800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G017800.v4.1 MEIGSASGDKAGVFTQGRRWLNALPVKFKDKVADTATNIKKVGQDDPRRVIHSLKVGLALALVSIFYYYQPLYSNFGVTAMWAIMTVVVVFEFSVGATLGKGLNRGMATLLASALGVGAHHLANLSGHVGEPILLGSLVFLQAAISTFLRFFPKIKARYDYGLLIFILTFSLISISGFRDDEILELAHKRVLTIFVGGFACVIISIVVFPVWAGEDLHNLIALNIEKLGNFLEGFGDEYFKRTGDAESKDDKKYLEGYKSVLNSKTGEESLANFAAWEPGHGRFQFRHPWKQYLKVGTLARECAYRIEALNGYLNADIQASSEVRSRIQEACTNVSIESGKALKELSLTMKKMVQPSSADSHIENAKSAAKNLKSLLKSGLWEDTDLLKVIPGITVASILNEVVKCTENIAESVHELASIAQFKSVERTVSPEKLHSGQPQNIKSAQMVNYSHVVVNVRESTMAASPSEISSAPKAIKHNMEV >Potri.003G052800.1.v4.1 pep chromosome:Pop_tri_v4:3:7760471:7761019:-1 gene:Potri.003G052800.v4.1 transcript:Potri.003G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G052800.v4.1 MLEGKALIEDTDMPLKMQIQAMASASQALDLYDVLDCKSVASHIKKEFDLRYGGGWQCVVGSNFGCFFTHSKGTFIYFTLETLNFLIFKGASSP >Potri.015G044875.1.v4.1 pep chromosome:Pop_tri_v4:15:4348739:4356653:-1 gene:Potri.015G044875.v4.1 transcript:Potri.015G044875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G044875.v4.1 MDPNNSFAFKKLLSIYNCIFLFTYLATQTVSQHPKFKSCEPKNCGAGPNISCPFWLSQEQEPFCGHPNFMLTCNISYATNSMRVANAAVYEETCPPLLHNISLDRTPLTISPGYTNFSFFYNCFEDIGSLLGENYREVLKMGFLMNWTAHDCSTCERSGGRCGFENNEFNCFCRDGPRLKSCDAGSSLNVGREIIIIIIIIGILFICVILYSRRKASSTTTPIFLKKKHNQDLEVFIRNHRPLALKRYNLSDVKKMTNFFKDKLGQGGYGIVYKGKLQDGHLVAVKVMQASKGDGEEFINEVASISKTSHVNIVTLLGFCLEGDKRVLIYDFMVNGSLEKFINHENALQANQQLGWIKLYQILIGVARGLEYLHRGCNTRIVHFDINPRNILLDADFPPKIYMNSTISDFGLAKPCARKEINVSLLEARGTIGYIAPEVFSRNCGQVSHKSDVYNYGMMALEIVGGRKNHEAEMSSSSEKYFPDWIYKHLELVDECELNGTTNAEQSDIVRQIAIVGFWCILTNPSDRPSMRKVIEMLEGPLGALKIPPKPRLYSPPILLSYSSTTSLA >Potri.012G129900.1.v4.1 pep chromosome:Pop_tri_v4:12:14518699:14520567:1 gene:Potri.012G129900.v4.1 transcript:Potri.012G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129900.v4.1 MALETWLIKVKTAISHSFDSVITSNPIPKPSKRASVGVLAFEIAGLMSKVFHLWQSLSDKNIIRVRNDSISLEGVRKIVSNDESFLLGLACAEMAENLRLIAKSVSRLSKRCEDSGLRRFERLFDDFTNLGNDANCWVLSWKDMETKTKKMDRYVTVTATLYKEMEELSALENGLRKALQCGELEGTSKEQKVLDLQQKILWQRQEVKYLKERSLWNRSFDTVVLILAKSIFTVLARIKLVFGIAHGYPTSLPRSLSASATVHPTENPTTCNIVSGPLKSSKLEGNKDSSNGFFESNSKLLKPPPTTLGAAALALHYANLIIVMEKMIKSPQLVGVDARDDLYSMLPNSIRSSLRARLKGVGFSASDPVLAGEWRDALGRILAWLSPLAHNMIKWQSERSFEQQNLLPKTNVLLLQTLSFANKEKTEAAITELLVGLNYIWRFEREMTAKAFFECANFNGFLNPHQSST >Potri.010G250900.6.v4.1 pep chromosome:Pop_tri_v4:10:22382599:22384688:-1 gene:Potri.010G250900.v4.1 transcript:Potri.010G250900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250900.v4.1 MGEEATKVAVPESVLKKQKREEEWALAKKQELAATKKKNTENRKLIFNRAKLYAKEYQEQEKQLVQWKREARLKGGFYVDPEAKLLFIIRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTFGYPNLKSVRELIYKRGFGKLNQQRIPLTDNSIIEQGLGKHGIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Potri.010G250900.7.v4.1 pep chromosome:Pop_tri_v4:10:22382646:22384256:-1 gene:Potri.010G250900.v4.1 transcript:Potri.010G250900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250900.v4.1 MGEEATKVAVPESVLKKQKREEEWALAKKQELAATKKKNTENRKLIFNRAKLYAKEYQEQEKQLVQWKREARLKGGFYVDPEAKLLFIIRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTFGYPNLKSVRELIYKRGFGKLNQQRIPLTDNSIIEQGLGKHGIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Potri.010G250900.5.v4.1 pep chromosome:Pop_tri_v4:10:22382600:22384686:-1 gene:Potri.010G250900.v4.1 transcript:Potri.010G250900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250900.v4.1 MGEEATKVAVPESVLKKQKREEEWALAKKQELAATKKKNTENRKLIFNRAKLYAKEYQEQEKQLVQWKREARLKGGFYVDPEAKLLFIIRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTFGYPNLKSVRELIYKRGFGKLNQQRIPLTDNSIIEQGLGKHGIICVEDLIHEIMTVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNRENYINELIRRMN >Potri.001G271000.2.v4.1 pep chromosome:Pop_tri_v4:1:28576228:28577238:-1 gene:Potri.001G271000.v4.1 transcript:Potri.001G271000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271000.v4.1 MVKLCGVKVLPFLVLVLMLTVLAEQGQAHPCGSTFFSALIQMIPCRAAVAPFSPIQPSELCCSAVKALGQPCLCTLVNGPPISGVDRNMALQLPDKCSANFEPCEIMKK >Potri.015G034900.2.v4.1 pep chromosome:Pop_tri_v4:15:2889741:2892984:-1 gene:Potri.015G034900.v4.1 transcript:Potri.015G034900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034900.v4.1 MIINYLCRLKSNLKALQLGSFRSRISTINTVSISKEETVISFLKNCSCMKDLKQIHARVIQLGFEQNRFVVGKVIVFCAAAEHGDMNYAVSVFEKIGDPDAFIFNTMIRGFGKANDPRKAFDYYKRMQERGLVSDSFTFSFLLKVCGQLGLVLLGRLMHCSTLKRGLNSHVFVRNTLVHMYGTFKDIEASRQLFEEIPNPELVAWNIIIDCHVSCGKFNEALEMFSRMLKFGIEPDEATFVVILSACSALGALDFGRWVHSCISNIGHGCITEVNNSLLDMYAKCGALQEAFEIFNGMNKKNTVTWNTMILGLASHGYANEALALFSNMLEQKLWAPDDITFLVVLSACSHGGMVDKGWRFFDIMKKEYHIQPTIKHYGCMVDILGRAGFVEEAYRLISNMPMQCNAIVWRTLLAACRLHGNVELGKQVRKQLLELEPDHSSDYVLLANTYASAGRWNEAMRVRKTMHKRGVQKPEPGNSVVGRHPSLRSDEPLLSFL >Potri.012G064200.1.v4.1 pep chromosome:Pop_tri_v4:12:7739614:7743541:1 gene:Potri.012G064200.v4.1 transcript:Potri.012G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G064200.v4.1 MCRIERKCGGGGGEKDKETMGVVKGVEKIRSSISRPSSKMKLWMIRATTSVLLWTCVVQLTTIGEMWGPRVLKGWPSCWPPQSVSAALQENVPTVPARVLPPKRVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDEEHFITSLRDEVRILKELPPRLKQRVELGMTYTMPPVSWSDISYYHNQILPLIKKYKVVHLNRTDARLANNRQPLELQKLRCRVNYSSLRFTTQIEELGKRVIRLLRQNGPFLVLHLRYEMDMLAFSGCSQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDPNIQVYIAAGEIYGGERRMSSLASAYPKLVRKETLLEPSDLRYFQNHSSQMAALDYLVALESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRLLVDLIDRYTNGSLNWDEFSYAVKESHSDRMGGPMKRLVIPDRPKEEDYFYSNPEECLQSSDDPLST >Potri.012G064200.2.v4.1 pep chromosome:Pop_tri_v4:12:7739614:7743671:1 gene:Potri.012G064200.v4.1 transcript:Potri.012G064200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G064200.v4.1 MFHLLALGVYKNNGYLMVSCNGGLNQMRAAICDMVAIARYLNVTLIVPELDKTSFWADPSEFQDIFDEEHFITSLRDEVRILKELPPRLKQRVELGMTYTMPPVSWSDISYYHNQILPLIKKYKVVHLNRTDARLANNRQPLELQKLRCRVNYSSLRFTTQIEELGKRVIRLLRQNGPFLVLHLRYEMDMLAFSGCSQGCNNEEVEELTRMRYAYPWWKEKIINSDLKRKDGLCPLTPEETALTLRALDIDPNIQVYIAAGEIYGGERRMSSLASAYPKLVRKETLLEPSDLRYFQNHSSQMAALDYLVALESDIFVPTYDGNMAKVVEGHRRFLGFKKTILLDRRLLVDLIDRYTNGSLNWDEFSYAVKESHSDRMGGPMKRLVIPDRPKEEDYFYSNPEECLQSSDDPLST >Potri.015G120400.6.v4.1 pep chromosome:Pop_tri_v4:15:13461304:13466853:-1 gene:Potri.015G120400.v4.1 transcript:Potri.015G120400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120400.v4.1 MNSIIGIEMSRSLVFSTNIPLKFSQKPISFSLFNHSKLCVPQRFSENSQCGLQRFQLLSMKLARNVCTKAALSEMKYPRVAASSTGPIPPSQLIQVVETAAKTGAEVVMDAVNKPRNITYKGLTDLVTDTDKMSEAAILEVGRRNFGDHLILGEEGGIIGDTLSDYLWCIDPLDGTTNFAHGYPSFAVSVGVLFQGNPAAASVVEFVGGPMAWNTRTFSATAGGGAFCNGQKIHASPTAQVEQSLLVTGFGYEHDDPWATNIELFKEFTDVSRGVRRLGAAAVDMCHVALGIVEAYWEYRLKPWDMAAGVLIVEEAGGTVSRMDGGKFCVFDRSVLVSNGVLHAKLLERIAPATEKLKSKGIDFSLWYKPENYRTDL >Potri.002G140300.1.v4.1 pep chromosome:Pop_tri_v4:2:10536977:10537501:-1 gene:Potri.002G140300.v4.1 transcript:Potri.002G140300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140300.v4.1 MSHYSGCMDSQKPHFLEACFLCRKPLGYNSDIFMYRGNTPFCSKECRQEQIEVDESTRKKSWKMSSSSSRSTRKSDPKDSTSKKTVRTGTVAVA >Potri.018G111000.1.v4.1 pep chromosome:Pop_tri_v4:18:12852983:12856884:1 gene:Potri.018G111000.v4.1 transcript:Potri.018G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111000.v4.1 MAPCASLLLPVFAILATLPVIQSVPTFFPRPSYDQSLAKQPKASKPKIPYKTHYFPQVLDHFTFQPKSSKIFYQKYLVNSHYWHRGAPIFVYTGNEGDIEWFAANTGFLLDIAPKFRALLVFIEHRFYGESMPFGNKSYKSAETLGYLNSQQALADFALLIRSLKHNLSSEASPVVVFGGSYGGMLAAWFRLKYPHIAIGALASSAPILQFDDITPWSSFYDAVSQDFKEASLNCYEVIKGSWAELEALSAQNEGLAELSRTFRACQDLHSLDSVWEWLWSAFVYTAMVNYPTEANFMMPLPAYPVQAMCKIIDGFPSGASKITRVFAAASLYYNYSRAEKCFKLEHGPDAHGLHGWNWQACTEMVMPMTCSEESMFPTSSFSYKEFAEDCMKTFGVKPRPHWITTEFGGKRIDLVLKRSGGNIIFSNGMQDPWSRGGVLKNISSSIIALVTEKGAHHVDFRSATKDDPEWLKELRRQEVEIIQGWIDQYYPDLKRTNKE >Potri.013G072200.1.v4.1 pep chromosome:Pop_tri_v4:13:5908284:5910539:-1 gene:Potri.013G072200.v4.1 transcript:Potri.013G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G072200.v4.1 MARANKYASVNFNHVYDKNISNSSSSNNTNNNPSKHPSSTSFYSTISSPNSPNNLYKSHLPSSSPRTHGRMLVLTRPTPKPISTIQTTPLTPSPKTPPGHPAQVQIPVQTGAEPEQDRISLRPLGRTGVGSIVSSPVRGQEKQKEVGASLGSPKPDKFVPPHLRPGFAGREERPGPEVFRGKEVGQRQQQQFFGSPGRFGEDGRPKSGGYETMRRGDESNLGFVRPRSSGNRPSSSG >Potri.013G072200.2.v4.1 pep chromosome:Pop_tri_v4:13:5908473:5910406:-1 gene:Potri.013G072200.v4.1 transcript:Potri.013G072200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G072200.v4.1 MARANKYASVNFNHVYDKNISNSSSSNNTNNNPSKHPSSTSFYSTISSPNSPNNLYKSHLPSSSPRTHGRMLVLTRPTPKPISTIQTTPLTPSPKTPPGHPAQVQIPVQTGAEPEQDRISLRPLGRTGVGSIVSSPVRGQEKQKEVGASLGSPKPDKFVPPHLRPGFAGREERPGPEVFRGKEVGQRQQQQFFGSPGRFGEDGRPKSGGYETMRRGDESNLGFVRPRSSGNRPSSSGWYDSS >Potri.012G078300.1.v4.1 pep chromosome:Pop_tri_v4:12:10225933:10227006:-1 gene:Potri.012G078300.v4.1 transcript:Potri.012G078300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078300.v4.1 MAFREVEPIPEETTSNSLLTNTRCGFLFPCFSSRRSSSVGLSFWERIKSSPSSNGDDPHPNQWWSKGMRAFKKIREWSEVVAGPKWKTFIRRFNRNKNSGSGNGRHHGKFQYDPFSYSLNFDEGPGGQNGNLDDLDDYNGFRDFSSRYASVSASGRPVAVDASKSKDVAVMV >Potri.012G117034.1.v4.1 pep chromosome:Pop_tri_v4:12:13561997:13563101:-1 gene:Potri.012G117034.v4.1 transcript:Potri.012G117034.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G117034.v4.1 MAEDCCSFQLISGDGVLKVKGLENFTRTTNLAQRRLSYAVVAIIGPQSSGKSTLLNKLFRTDFWMMDAHGGRGQTTQGIWMGKGIGIEPFTIAMDVEGSDSSERGQVQIN >Potri.008G171600.2.v4.1 pep chromosome:Pop_tri_v4:8:11853552:11862645:1 gene:Potri.008G171600.v4.1 transcript:Potri.008G171600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G171600.v4.1 MHCSISGEVPEQPVVSKKSGLLYEKRLIERHISEYGKCPITGEPLTMDDIVPVKTGKIVKPRTVQTASIPGMLGMFQNEWDGLMLSNFALEQQLHTARQELSHALYQHDAACRVIARLKKERDEARSLLAQAERQIPMSASEAVTENASVLSNGKRAAEDDDLGQPGKRVRPGISASIITELTDCNATLSQQRKKRQIPPTLAPIGALERYTQLSSHPLHKTSKPGILSIDIQYSKDIIATGGVDATAVVFDRLSGQIVSTLSGHSKKVTSVKFVAEGEFFLTGSADKTIRVWQGSEDGNYDCRHILKDHTAEVQAVTVHATNNYFVTASLDNTWCFYDLSSGLCMTQVADTSKTDGYTSAAFHPDGLILGTGTSEAVVKIWDVKSQANVAKFEGHVGPVTAISFSENGYFLATAAHDSVKLWDLRKLKNFRTLNLYDSDTPTNSVEFDHSGSYLGIAGSDIRVYQVGSVKADWNCIKTLPDLSGTGRATCLKFGPDANYIAVGSMDRNLRVFGLPGDEAPLES >Potri.014G070400.1.v4.1 pep chromosome:Pop_tri_v4:14:4474703:4477891:1 gene:Potri.014G070400.v4.1 transcript:Potri.014G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070400.v4.1 MSTATTNPINTGAGGGSSGGGGGGGGGGGGGGGPCGACKFLRRKCVPGCIFAPYFDSEQGAAHFAAVHKVFGASNVSKLLLHIPVHKRLDAVVTICYEAQARLRDPVYGCVAHIFALQQQVVNLQAELSYLQAHLAALEVPSPPPPPPPTLVTPPPLSIADLPSASSIPAAYDLSCLFDPMMQPSWSNMQPQRQMDIRQFGGSGGSSGTGGGDLQALARELLHRRGSPPPGFMSCSDTLASPSISK >Potri.001G161600.2.v4.1 pep chromosome:Pop_tri_v4:1:13696921:13705411:1 gene:Potri.001G161600.v4.1 transcript:Potri.001G161600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161600.v4.1 MFSSMNSPGQLTQWSANGDDPCGQNWKGIACSGSRVTEIKLPGLALSGSLGYQLDSLTAAMNLDLSNNNLAGALPYQLPPNLQRLNLANNKLSGGIPYSISLMRSLTYLNLGHNQLQSQLGDMFGQLTSLSTLDVSFNLLTGDLPESFSSLSSMKSMYLQSNQFTGAIDVLANLPLENLNVANNRFTGWIPSQLNSVNLQKDGNNWNSGPAPPPPPGTPPAHKGPSPKSGGNDSSSGSGAGGGSKKSGIGAGGIAGIIISIFLVGGIVAFFLVKRRSRRSSDIEKLDNQPLAPLSSTNDVPEMKSMQTSSAVNTKIFDTSASINLRPPPIDRHKSFDEEEFSPKPVVVKKPVTTPINVTSYSVADLQMATGSFSVDHLLGEGLFGRVYRAEFDDGKVVAVKKLDSATLPSDMSDDFTEIVASISLLHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSIVHKNIKSANILLDTELNPHLSDSGLASCLPHADQVLNQNAGSGYGAPEVAMSGHYTLKSDVYSFGAVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALSKMVDPELKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTIGNEQGETPRAVNPDTQDYMS >Potri.001G161600.1.v4.1 pep chromosome:Pop_tri_v4:1:13696885:13705462:1 gene:Potri.001G161600.v4.1 transcript:Potri.001G161600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G161600.v4.1 MMENWRRVELVLTLLVCVLGCVHGATDPNDASALRVMFSSMNSPGQLTQWSANGDDPCGQNWKGIACSGSRVTEIKLPGLALSGSLGYQLDSLTAAMNLDLSNNNLAGALPYQLPPNLQRLNLANNKLSGGIPYSISLMRSLTYLNLGHNQLQSQLGDMFGQLTSLSTLDVSFNLLTGDLPESFSSLSSMKSMYLQSNQFTGAIDVLANLPLENLNVANNRFTGWIPSQLNSVNLQKDGNNWNSGPAPPPPPGTPPAHKGPSPKSGGNDSSSGSGAGGGSKKSGIGAGGIAGIIISIFLVGGIVAFFLVKRRSRRSSDIEKLDNQPLAPLSSTNDVPEMKSMQTSSAVNTKIFDTSASINLRPPPIDRHKSFDEEEFSPKPVVVKKPVTTPINVTSYSVADLQMATGSFSVDHLLGEGLFGRVYRAEFDDGKVVAVKKLDSATLPSDMSDDFTEIVASISLLHHPNVTELVGYCSEHGQHLLVYEFHKNGSLHDFLHLSDEYSKPLIWNSRVKIALGTARALEYLHEVCSPSIVHKNIKSANILLDTELNPHLSDSGLASCLPHADQVLNQNAGSGYGAPEVAMSGHYTLKSDVYSFGAVMLELLTGRKPFDSSRPRSEQSLVRWATPQLHDIDALSKMVDPELKGLYPVKSLSRFADVIALCVQPEPEFRPPMSEVVQALVRLVQRANMSKRTIGNEQGETPRAVNPDTQDYMS >Potri.010G114600.1.v4.1 pep chromosome:Pop_tri_v4:10:13418630:13420922:-1 gene:Potri.010G114600.v4.1 transcript:Potri.010G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G114600.v4.1 MGALDDLSDYLSDLFTVARKKRKRKPMQTVDIKVKMDCDGCERRVKNSVSSMKGVKSVEVNRKQSRVTVSGNVEPNKVLKKVKSTGKRAEFWPYVPYNLVAYPYAAQAYDKKAPAGYVKNVVQALPSPNATDERFTSMFSDENPNACSIM >Potri.006G069500.1.v4.1 pep chromosome:Pop_tri_v4:6:5100355:5103297:1 gene:Potri.006G069500.v4.1 transcript:Potri.006G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G069500.v4.1 MKFGKSLSNQIEETLPEWRDKFLSYKELKKRLKLIEPNNNTSKNNGESRPMKKPRLSAASADAGGGDCTDGGGADSKEVSMTREEIDFIKLLEDELEKFNSFFVEKEEEYIIRLKELQDSVAKAKNSNEEMIIIRKEIVDFHGEMVLLENYSALNYTGLVKILKKYDKRTGALIRLPFIQRVLRQPFFTTDLLYKLVKECEAMLDRLFPLREPPSSFEAADGDDSCDPSTSSTTTNDSTISFPKELAEIELMESSYMKSTISALRVLKEIRSKSSTVSVFSLPPLQMSGLEDTWKKVPILEQEAK >Potri.004G044500.1.v4.1 pep chromosome:Pop_tri_v4:4:3522320:3526319:1 gene:Potri.004G044500.v4.1 transcript:Potri.004G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G044500.v4.1 MVGGFEVGAVPFNPDGWGPPDATVTATTTSTLPLNVPFAPFSRSEKLGRIADFTRNLNQGQGGAGGRKNAASDSVFDFTADDSFPSAADNDSSFRLVDGKPPPRPKFGPKWRFNQHNRPQLPQRRDEEVEAKKREAEKERARRDRHYNQNRSNQNQPRREAAVFKSSVEIQPEWNMLDQIPFSTFTKLSFSVPEPEDLILCGGLEFYDKSFDRITPKAERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDNILATLMCATRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAKDDINSAYSLSVEAAYINQNFSQQVLFRDGNKVAFDEPNPFANEGEEVASVAYKYRRWKLDDDMHLVARCEVQSVVEVNKQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLAKWTAQALLASADMMKLGYVSRVHPRDHFNHVILAVVGYKPKDFASQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQAQPPEENAENAEANGVTNDVEDKEIDVQA >Potri.004G148400.1.v4.1 pep chromosome:Pop_tri_v4:4:17039240:17041482:-1 gene:Potri.004G148400.v4.1 transcript:Potri.004G148400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G148400.v4.1 MKHERGISTMVAELSIQRPTFGALLHSDPAIFNQDDDESSNKNSPTSTNMSPRYYSSFTPPSGDLSPYLSPWSQLSPYTKSPWIIPSPISHKLSKNGLVGSISREEGHVYSIAASGDFLYTGSDSKNVRVWKNLKEFSGFKSNSGLVKAIVVCGEKIFTCHQDGKIRVWTISTRNPSVYRRVGTLPTLKDCVKGSLNPKNYVEVRRHRNVLRIKHFDAVSCLSLNQDQGLLYSGSWDKTLKVWRVSDYKCLESINAHDDAINSVVTGFDSLVFTGSADGTVKAWRRELQGRGTRHFLVQTLLKHENAVTALSVNQESAVIYCGSSDGLVNFWEREKLLSHGGVLRGHKMAVLCLASAGNLVFSGSADKSICVWRREGGGVHTCLAVLTGHGGPVKCLAVVEDQESDEGDQRWIVYSGSLDKSVKVWCVTENAPKWREYPSPRLGFSSHTQNKRQFHG >Potri.016G052200.1.v4.1 pep chromosome:Pop_tri_v4:16:3380950:3381153:1 gene:Potri.016G052200.v4.1 transcript:Potri.016G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G052200.v4.1 MAQFSIPKALVLMLVIATFAAAVSAQDSEMAPAPAPGMDAGAGFSLPVSGAIVGFSLVVSLLGFLKH >Potri.006G100500.3.v4.1 pep chromosome:Pop_tri_v4:6:7692329:7695130:1 gene:Potri.006G100500.v4.1 transcript:Potri.006G100500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100500.v4.1 MAGTGVVAVYGNGTITETKKSPFSVKVGLAQMLRGGVIMDVVTAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADEDNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRMLRNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPVKRGRAIVQAVTHYSDPELLAEVSCGLGEAMVGLNLNDKKVERFASRSD >Potri.006G100500.2.v4.1 pep chromosome:Pop_tri_v4:6:7692328:7696517:1 gene:Potri.006G100500.v4.1 transcript:Potri.006G100500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G100500.v4.1 MAGTGVVAVYGNGTITETKKSPFSVKVGLAQMLRGGVIMDVVTAEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGVDYVDESEVLTLADEDNHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRMLRNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPVKRGRAIVQAVTHYSDPELLAEVSCGLGEAMVGLNLNDKKVERFASRSD >Potri.004G031000.2.v4.1 pep chromosome:Pop_tri_v4:4:2408486:2409484:1 gene:Potri.004G031000.v4.1 transcript:Potri.004G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031000.v4.1 MKLLKLFFALSATIATVAALHSDHYSENSNMQRQLSLCEIQEAVTSLRGVGRVLAQQNTIANSTCNKLPRICRLKRIPGPDCCNEKCVDVNTYRFNCGM >Potri.005G242100.3.v4.1 pep chromosome:Pop_tri_v4:5:23865209:23872035:-1 gene:Potri.005G242100.v4.1 transcript:Potri.005G242100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242100.v4.1 MDCIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSRLMERLSNYAASSPDVLPEFLQVEAFAKLSSAIGKVIEAQVDMPIVGAVTLYVSLLTFTLHVHPERLDYVDQVLGACVKLLFGKPKLKEGRATKQIVALLSAPLEKYNDIVTALTLSNYPCVMDCLHDETNKVMAMVIIQSIMKNNTCISTADEIEVLFELLKGLIKGLDGTAADELDEEDFNEEQNSVARLIHMLYNDDSEEMLKIICTVRKHIMAGGPTRLPFTVPPLIFSALRLVRKLQAQDGNVVGEEEPATPKKIFQLLDETIEALSSVPSPELALRLYLQCAQAANDCDLEPVAYEFFTQAFILYEEEVVDSKAQVTAMHLIIGALQRMNVLGVENRDTLTHKATGYSAKLLKRPDQCRAVYACSHLFWVDEKDGIKDGERVLLCLKRALRIANAAQQMANAVSGTSGPVTLFVEILNKYLYFFEKGNPQVTSAAIQGLVELIANEMQSDSTTPDPASDAFFASTIRYIQFQKQKGGVVGEKFGPIKV >Potri.005G242100.1.v4.1 pep chromosome:Pop_tri_v4:5:23865204:23872090:-1 gene:Potri.005G242100.v4.1 transcript:Potri.005G242100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G242100.v4.1 MILAGIEDEDKWLAEGIAGIQHNAFYMHRALDANNLRDALKCSALMLSELRTSKLSPHKYYDLYMRAFDELRKLEMFFKDESRHGVSIVDLYELVQHAGNILPRLYLLCTVGSVYIKSKEAPAKDALKDLVEMCRGVQNPIRGLFLRSYLAQVSRDKLPNLGSEYEGGEDTAMDAVEFVLQNFTEMNKLWVRMQHQGPVRIREKLEKERNELRDLVGKNLHVLSQIEGVNLEIYRDTVLPRVLEQIVNCKDELAQYYLMDCIIQVFPDEYHLQTLETLLGACPQLQPTVDIKTVLSRLMERLSNYAASSPDVLPEFLQVEAFAKLSSAIGKVIEAQVDMPIVGAVTLYVSLLTFTLHVHPERLDYVDQVLGACVKLLFGKPKLKEGRATKQIVALLSAPLEKYNDIVTALTLSNYPCVMDCLHDETNKVMAMVIIQSIMKNNTCISTADEIEVLFELLKGLIKGLDGTAADELDEEDFNEEQNSVARLIHMLYNDDSEEMLKIICTVRKHIMAGGPTRLPFTVPPLIFSALRLVRKLQAQDGNVVGEEEPATPKKIFQLLDETIEALSSVPSPELALRLYLQCAQAANDCDLEPVAYEFFTQAFILYEEEVVDSKAQVTAMHLIIGALQRMNVLGVENRDTLTHKATGYSAKLLKRPDQCRAVYACSHLFWVDEKDGIKDGERVLLCLKRALRIANAAQQMANAVSGTSGPVTLFVEILNKYLYFFEKGNPQVTSAAIQGLVELIANEMQSDSTTPDPASDAFFASTIRYIQFQKQKGGVVGEKFGPIKV >Potri.001G014050.1.v4.1 pep chromosome:Pop_tri_v4:1:981950:984464:1 gene:Potri.001G014050.v4.1 transcript:Potri.001G014050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014050.v4.1 MPAMQSHVSQKLEEPDKEGRTSNSSASSKRNVKGPRFSASVVLALPAPCTQPARGKKVYRTQKELPLKKRKKSRKLEIERTQAEKEEAATVKPARTKEAFNKHDTSQVRTCINCLLNCESSPPHSSTYDSHGLQNEEDKLVETATLHGEKPNRKRGRPRKDSVHQSMATLGTSPF >Potri.010G148100.1.v4.1 pep chromosome:Pop_tri_v4:10:15893336:15899110:1 gene:Potri.010G148100.v4.1 transcript:Potri.010G148100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G148100.v4.1 MAKHEETPVPCYSSLEAVYGDGSQLEEAKLRFDHLKSKFLQVFGHPPDVFARSPGRVNLIGEHIDYEGYSVLPMAIRQDTIIAIRKNNAEKVLRIANVNDKYTQCDYPADPNQAIDLKNHRWGHYFICGYKGYYEFAKSKGVNVGEPVGLDVIVDGTVPTGSGLSSSAAFVCSATIAIMAAFDVNFPKKEIAQLTCECERHIGTQSGGMDQAISVMAKTGFAELIDFNPIQATDVQLPAGGTFVLAHSLAESQKAVTAATNYNNRVVECRLASIVLGIKLGMKQQDAISNVKTLSDVEGLCVSFANSHGSSDPVIAVKEFLKEKPYTAEEIEEITGESLRSIFKNSPSSLDVLKAAEHYKLHQRAAHVYSEAKRVHAFKDTVSSDLSDEDKLKKLGELMNESHYSCSVLYECSCPELEELVKICRDSDALGARLTGAGWGGCAVALVKEPIVPQFILNLKEKFYQSRIDKGVISKNDLGLYVFASKPSSGAAIFRF >Potri.001G119200.1.v4.1 pep chromosome:Pop_tri_v4:1:9688862:9689146:1 gene:Potri.001G119200.v4.1 transcript:Potri.001G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119200.v4.1 MGDRADRLSQSVREIGHMGRAVGQDFVWHMSNVQTWVSAALTDEKTCLDGFSSHLMDGNVKAAIKLRITNVAQVTSNALALVTRFASRHRAKNS >Potri.015G143400.2.v4.1 pep chromosome:Pop_tri_v4:15:14890362:14892947:1 gene:Potri.015G143400.v4.1 transcript:Potri.015G143400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G143400.v4.1 MGCKSSDMPKLKPKPKHRKGLWSPEEDQRLRNYVLKHGHGCWSSVPINAGLQRNGKSCRLRWINYLRPGLKRGTFSAQEEETILALHHMLGNKWSQIAQHLPGRTDNEIKNHWHSYLKKNLLKDEGMESLKRTKSDSSNSDIMELSPSPKRLKMQASSFESSMSAEKSSADINRSVPQMFESPNEPKGSSLLPKVMFAEWLSLESFASLGEPMDSKTTLDHNTIFQDNFLHDYLLDERAFGGEYHNSLSDGSSGDIFSSEFRFESQSPGNEFDFSSGEDLCSDFNLSNISDVMYI >Potri.014G057901.1.v4.1 pep chromosome:Pop_tri_v4:14:3691162:3692236:1 gene:Potri.014G057901.v4.1 transcript:Potri.014G057901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G057901.v4.1 MLMKNKYGEAPLFRAAAFDQTEIVKYLTQQPARIENDELLLVHRQRDDYQSIVHVAVLGEYFGLLSSLFQVKC >Potri.010G204400.1.v4.1 pep chromosome:Pop_tri_v4:10:19593306:19596482:-1 gene:Potri.010G204400.v4.1 transcript:Potri.010G204400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G204400.v4.1 MKVTVVSRSGREVIKGGLELSDSATVADLQEAIHKRTKKFYPSRQRLTLPLPPGSKERPVVLSYKKNLKEYCDGNLGNLTVVFKDLGPQVSYRTLFFCEYLGPLVLYPVFYYFPVYEFFGYKGKRIIHPVQTYALYYWCFHYFKRIMETFFVHRFSHATSPLSNVFRNCAYYWTFGSFIAYYVNHPLYTPVSDLQMKIGFGFGLVCQLANLYCHMLLRNLRRPGGNGGYQIPSGFLFNIVTCANYTTEIYQWLGFNVATQTVSGYFFLVVATSIMTNWALAKHRRLKKLFDGKDGRPRYPRRWVILPPFL >Potri.006G244000.1.v4.1 pep chromosome:Pop_tri_v4:6:24501832:24509006:1 gene:Potri.006G244000.v4.1 transcript:Potri.006G244000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244000.v4.1 MVEAKKVENIEFKWGKQRGVGGKKKDVKFYESFSYDGVEYALYDSVYMYEEGETEPYIGKLLKIWENADKTKKVKVLWFFCPREISNYLGDEKTAENELFLASGEGVGSTNVNPLEAIAGKCNVVCSSKDSRNPQPSDEELQEADFVFYRTFDVGNCRILDKIDDKIAGIEVKFLLNRVGNQSSSGVPKLDSKKKEVSGNFVATNDTRILTRTESYLGEKATSSSHVKFNEVTKINDRLVDNSGETASSSSKVKQISDIKPSLANQKCSPGENSASNLGLGEMTKVDEQEGIPSDIIASSSKDDVGWSESKVDKVFADQVLIEEKVKVAKDCGDLDDRPSKKAKLDDLAKASYDNKVKGVQKVSHDSNGSNSKSVAQTTPASEDKSKSNLTKDHHENNSGLSKRPKPDEKLTRLANGKFPEASLRQSSEEGSKTNCHIQEVTRRPEADRSKWFRGLPWEERMQTAHEQGTLVLLQNLDPSYTSAEVEDIIWHAFKQSCTVKMIQRTALASPHSGQAFVIFQKREVAEMAVAKLDEGCLMLSNGRPLVGSIAAPCFPGKQSTFFGHLVINKLRIHKQREMKEAVSTSHCSQPNTLEYEMAMDWCLLQERSDLALRKLRQQQRQELRKLWVTLKCK >Potri.006G244000.3.v4.1 pep chromosome:Pop_tri_v4:6:24501828:24509011:1 gene:Potri.006G244000.v4.1 transcript:Potri.006G244000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244000.v4.1 MVEAKKVENIEFKWGKQRGVGGKKKDVKFYESFSYDGVEYALYDSVYMYEEGETEPYIGKLLKIWENADKTKKVKVLWFFCPREISNYLGDEKTAENELFLASGEGVGSTNVNPLEAIAGKCNVVCSSKDSRNPQPSDEELQEADFVFYRTFDVGNCRILDKIDDKIAGIEVKFLLNRVGNQSSSGVPKLDSKKKEVSGNFVATNDTRILTRTESYLGEKATSSSHVKFNEVTKINDRLVDNSGETASSSSKVKQISDIKPSLANQKCSPGENSASNLGLGEMTKVDEQEGIPSDIIASSSKDDVGWSESKVDKVFADQVLIEEKVKVAKDCGDLDDRPSKKAKLDDLAKASYDNKVKGVQKVSHDSNGSNSKSVAQTTPASEDKSKSNLTKDHHENNSGLSKRPKPDEKLTRLANGKFPEASLRQSSEEGSKTNCHIQEVTRRPEADRSKWFRGLPWEERMQTAHEQGTLVLLQNLDPSYTSAEVEDIIWHAFKQSCTVKMIQRTALASPHSVVYAAGQAFVIFQKREVAEMAVAKLDEGCLMLSNGRPLVGSIAAPCFPGKQSTFFGHLVINKLRIHKQREMKEAVSTSHCSQPNTLEYEMAMDWCLLQERSDLALRKLRQQQRQELRKLWVTLKCK >Potri.002G134600.8.v4.1 pep chromosome:Pop_tri_v4:2:10140372:10145939:-1 gene:Potri.002G134600.v4.1 transcript:Potri.002G134600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134600.v4.1 MGSVSDAEKKPAVIFVLGGPGSGKGTQCANVVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMQESGNDKFLIDGFPRNEENRAAFEAVTKIEPAFVLFFNCPEEEMEKRILSRNQGREDDNIETIRKRFNVFLESSLPVVEYYDSKGKVRKVDAAKSVEEVFEAVKAIFTPKEEKVIAA >Potri.002G134600.2.v4.1 pep chromosome:Pop_tri_v4:2:10140500:10145940:-1 gene:Potri.002G134600.v4.1 transcript:Potri.002G134600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G134600.v4.1 MGSVSDAEKKPAVIFVLGGPGSGKGTQCANVVEHFGYTHLSAGDLLRAEIKSGSENGTMIQNMIKEGKIVPSEVTIKLLQKAMQESGNDKFLIDGFPRNEENRAAFEAVTKIEPAFVLFFNCPEEEMEKRILSRNQGREDDNIETIRKRFNVFLESSLPVVEYYDSKGKVRKVDAAKSVEEVFEAVKAIFTPKEEKVAV >Potri.001G466800.1.v4.1 pep chromosome:Pop_tri_v4:1:49179326:49184797:1 gene:Potri.001G466800.v4.1 transcript:Potri.001G466800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G466800.v4.1 MGSEEVLGSSAVIMDPTISPCNGSMVQLMDDGEENENLGVDLLNDLDLYLEDIKDRLTISRVVSDSVIKGIVSAVEQEAARKIAEKELELTRLKKGLHLYIVGSDDGSVCSGMRQEQKHIKNELYSDTFVEHDRLQESLRNLKIDVTGQLTNLKKEIHKVKGSYSMRRRNSSSEIVGLGGILLEKVPDKLIDVDKMVDGLGTTLDSFCEHAEDMVHFPKSLFFEWQQEREFQAEIEGLVIKNSIRGLQEELEQQRLCDQNTQFYSNGSASWLEKVKELSSLRQELDAIAKSLSVPESGQLISHGSLEHRKSSGHHFSNGNHDESVITRPENLEAAELLKDKNKEELFHYLKTEMTKMKRDHESKVQKITEELFALKAVYLKERGSTLPGRKDKDLDTLRKKIPEVILKLDNILIENEKVPAMSDSAESLDTMKDRLESLRIENCELQDLLAQKKKEIKLLSSQVSDAAEKTLQHSRTEVNLFRMITNLKSSIEDAHIEATISEHLYKLLLKEFMGQIKCFSKESDLEYNSMEGSSENIFREAAQNVKPASKLEIEDSDMESIIMQGVLEIGLQEAFKEAEEKLSSLNLKYIDENEARLSLEREAMEKLEQEIHLLTATIKEKDKLEQESVDELEKEKENFELVSQELDSLKAQTNQQGLLTENLRETAEERSRLLAASQEKLSLVEAREREHREELASTIVLVNGLSRAVTDFENRATKEIERKSLRLENLNSQFGSLIQKVSRLKRTGFLYKKNLESRCSDLQKAEAEVDLLGDKVENLQRLLEKIYIALDHYSLILKHYPGITEILKLIRRELNGESMTAYTHDPASSLQSNAFGSNAKKFEDKRV >Potri.005G002500.1.v4.1 pep chromosome:Pop_tri_v4:5:241738:242394:-1 gene:Potri.005G002500.v4.1 transcript:Potri.005G002500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G002500.v4.1 MVGNKQKKSSSSSFFSFFKARKPRRTGDQDAYYGNDDVMSPRKIRPFDDDKGPLVSVKPDPRVDSRASVFIANFHAARMSESERQIFQQAAGKAA >Potri.003G129300.1.v4.1 pep chromosome:Pop_tri_v4:3:14792807:14794440:-1 gene:Potri.003G129300.v4.1 transcript:Potri.003G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129300.v4.1 MPTFTTTTMASRNDNLNLQKLILNPSTGSSIVTINVGGQIFQTTDQTLTLAGPSSLFSQLSELTHLGPHFIDRDPELFSILLSLLRTGNLPSKAKSYDLQDLIEESKFYKIESLLVNSLSNPSQFDPFNLQETLILPLNSRDTASAVATTPYGTLHVSHGSKITSFDWSLQRKSTTLTQFTAIDSMLAISPNLVATGATDFSGLQILDLEKKGFVLETLNWENVTKSGSTVQAIGSSNDMLFTSFESSRRNSNSIMVYDLKTFSPVTEIGHYEIFGADLDSAIPATKLKWVESYNVVMAAGSHSGPSGVLGNVKFWDIRSGNVVWEFKEKVDCFSDITVSDSLSSIFKVGVNSGEVFNADLRKLGSEGHDSWVCLGDDRKVGNVRKEGVGCKIEAHGNQVFCSKGGDIELWSEVVMGSLRKREEGLPERVFRKNLMGRVKDMEGSRVTNLAFGGNKMFVTRKDRQSVEVWQSSVRGF >Potri.001G037101.1.v4.1 pep chromosome:Pop_tri_v4:1:2695770:2699407:-1 gene:Potri.001G037101.v4.1 transcript:Potri.001G037101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037101.v4.1 MATEPAFFDLPINNDENLRALLEAGFAEELQFQEAPQASLISCQMPSIVSSSTPSKTNMEAISGHKIEPPPRVIEKGEPSLSSCDMCLERKEKYQIIKNESSGQIFCLRCSKTLELSKKECCSKELSMDMGSRNDTAKGAVKAVSLDSAPDEGELGFNESKHRKVAETIVAKQQGPQSPNPKAWEHTDVDKNPAIPSNADSEMPADRGNGGFQTRSRYFKSTAARSLDRPPLGKISNTRGGIDRTPGKGSDAGQERVANGIQNNLIDVVDDLSDSDAFDDNDESGSDSDPSEKSPENTKKRPLLKEFFGILEKLAPADVNEPTRQWHCPVCQGGSGANKWYQGLRALILHAKTKLGKRVKLHQEFAQLLEEKLCTKGTSDIPAAKVLSKWRGIKDEKKNDEIVWPPMVIIRNTSLKKDENNKWVGMTGKELLDSFSSYDAIMKVQQAYNRQGHRGISVLIFESSARGFLEAERLHKHFEEQGTGRDTCNHRPVYFLPNEERQLHGFMAMKEDVDCFNQYSKGKPKLRCEMRSYQEMVVNQIRKMSEDSHQLIWMNNKLAEEQRHRKLLEEFNVMMGERLENAKKEIDILRQKIKLQHEQNMEETDFQEQFFKDQIKIILEERDKEGGDPQSPDEE >Potri.013G065700.5.v4.1 pep chromosome:Pop_tri_v4:13:4866728:4882783:-1 gene:Potri.013G065700.v4.1 transcript:Potri.013G065700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065700.v4.1 MLANPSTSTTLHYRPNSTHNPPFSHTNHSCKLSLSPKCPRFTNFAKSKCSSLSLLLSRRKRERLVTVVKAFEDTSAVNDGPQSLPQPISVKIPVGDRHIMVETGHLGRQASGSVTVTDGETIIYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGRLKDHEVLICRLIDRPLRPTMLKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIALALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESKLDLLLAGTDSAIFMIEGYCNFLPEEKLLEAVQIGQDAVRTICNEVNALVKKCGKPKMLDAIKLPPPELYKHMEEIAGDELVKVLQIRNKVPRRKALQSLEEKVLSILTEKGYVSKDQSFGIPETVADLLEVEEEDEEVVVDGEVDEGDVHIKPNGRRSSPSLFSEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRTPEGIRPIDSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPSENDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLPVMRTALLQARDGRKHILAEMLKCSPSPSKRLSKYAPLIHIMKVNPEKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSIEKSISIISQLTMVPAVGDIYKNCEIKSVAPYGVFVEIAPGHEGLCHISELSSNWLPKAEDAFKVGDRVDVKLIEVNGKGQLRLSRKALLPEVTSEKSSAEQQARDLTEGNTEQSKDKSRDTKFVNPTKVDSVEDAPLSKKKAYKRLTSSARDGPKNSSTTVSSIASKDENSLVNGEAKIG >Potri.013G065700.7.v4.1 pep chromosome:Pop_tri_v4:13:4865540:4879954:-1 gene:Potri.013G065700.v4.1 transcript:Potri.013G065700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065700.v4.1 MLKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIALALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESKLDLLLAGTDSAIFMIEGYCNFLPEEKLLEAVQIGQDAVRTICNEVNALVKKCGKPKMLDAIKLPPPELYKHMEEIAGDELVKVLQIRNKVPRRKALQSLEEKVLSILTEKGYVSKDQSFGIPETVADLLEVEEEDEEVVVDGEVDEGDVHIKPNGRRSSPSLFSEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRTPEGIRPIDSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPSENDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLPVMRTALLQARDGRKHILAEMLKCSPSPSKRLSKYAPLIHIMKVNPEKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSIEKSISIISQLTMVPAVGDIYKNCEIKSVAPYGVFVEIAPGHEGLCHISELSSNWLPKAEDAFKVGDRVDVKLIEVNGKGQLRLSRKALLPEVTSEKSSAEQQARDLTEGNTEQSKDKSRDTKFVNPTKVDSVEDAPLSKKKAYKRLTSSARDGPKNSSTTVSSIASKDENSLVNGEAKIG >Potri.013G065700.2.v4.1 pep chromosome:Pop_tri_v4:13:4865204:4882805:-1 gene:Potri.013G065700.v4.1 transcript:Potri.013G065700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065700.v4.1 MLANPSTSTTLHYRPNSTHNPPFSHTNHSCKLSLSPKCPRFTNFAKSKCSSLSLLLSRRKRERLVTVVKAFEDTSAVNDGPQSLPQPISVKIPVGDRHIMVETGHLGRQASGSVTVTDGETIIYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGRLKDHEVLICRLIDRPLRPTMLKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIALALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESKLDLLLAGTDSAIFMIEGYCNFLPEEKLLEAVQIGQDAVRTICNEVNALVKKCGKPKMLDAIKLPPPELYKHMEEIAGDELVKVLQIRNKVPRRKALQSLEEKVLSILTEKGYVSKDQSFGIPETVADLLEVEEEDEEVVVDGEVDEGDVHIKPNGRRSSPSLFSEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRTPEGIRPIDSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPSENDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLPVMRTALLQARDGRKHILAEMLKCSPSPSKRLSKYAPLIHIMKVNPEKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSIEKSISIISQLTMVPAVGDIYKNCEIKSVAPYGVFVEIAPGHEGLCHISELSSNWLPKAEDAFKVGDRVDVKLIEVNGKGQLRLSRKALLPEVTSEKSSAEQQARDLTEGNTEQSKDKSRDTKFVNPTKVDSVEDAPLSKKKAYKRLTSSARDGPKNSSTTVSSIASKDENSLVNGEAKIG >Potri.013G065700.6.v4.1 pep chromosome:Pop_tri_v4:13:4865216:4882765:-1 gene:Potri.013G065700.v4.1 transcript:Potri.013G065700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065700.v4.1 MLANPSTSTTLHYRPNSTHNPPFSHTNHSCKLSLSPKCPRFTNFAKSKCSSLSLLLSRRKRERLVTVVKAFEDTSAVNDGPQSLPQPISVKIPVGDRHIMVETGHLGRQASGSVTVTDGETIIYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGRLKDHEVLICRLIDRPLRPTMLKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIALALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESKLDLLLAGTDSAIFMIEGYCNFLPEEKLLEAVQIGQDAVRTICNEVNALVKKCGKPKMLDAIKLPPPELYKHMEEIAGDELVKVLQIRNKVPRRKALQSLEEKVLSILTEKGYVSKDQSFGIPETVADLLEVEEEDEEVVVDGEVDEGDVHIKPNGRRSSPSLFSEVDVKLVFKEVTSKFLRRRIVEGGKRSDGRTPEGIRPIDSSCGLLPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYSFPPSCVGEVGRIGAPSRREIGHGMLAERALEPILPSENDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGVTAFQMDIKVGGITLPVMRTALLQARDGRKHILAEMLKCSPSPSKRLSKYAPLIHIMKVNPEKVNIIIGSGGKKVKSIIEETGVEAIDTQDDGIVKITAKDLSSIEKSISIISQLTMVPAVGDIYKNCEIKSVAPYGVFVEIAPGHEGLCHISELSSNWLPKAEDAFKVGDRVDVKLIEVNGKGQLRLSRKALLPEVTSEKSSAEQQARDLTEGNTEQSKDKSRDTKFVNPTKVDSVEDAPLSKKKAYKRLTSSARDGPKNSSTTVSSIASKDENSLVNGEAKIG >Potri.005G029600.1.v4.1 pep chromosome:Pop_tri_v4:5:1898435:1901051:1 gene:Potri.005G029600.v4.1 transcript:Potri.005G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029600.v4.1 MDYEIAGLVLAVLLWVAWAVVTQRRYRRFEEQGQLPPGPRPLPVVGNIFLLGWAPHESFANLARVHGPIMTIWLGSMCNVVISSSEVAREMFKNHDAVLAGRKIYEAIRGDFGNEGSIITAQYGPHWRMLRRLCTTEFFVSSRLDAMQGARTRCIDGMLQYIEDDSANGTSAIDLGRYFFLMAFNLIGNLMFSKDLLDPKSEKGAKFFQHAGIVLELAGKPNMADFFPILRWLDPQGVRRKTQFHVARAFEIAGGFIKERTESVQKENSRDDKRKDYLDVLLEFRGDGVEEPSRFSSTTINAIVLEMFTAGTDTTTSTLEWAMAELLRNPNVMKTVQSELRSTIGPNKKLEDKDIENLPYLKAVIRETLRLHPPLPFLVPHMAMNPCKMLGYYIPKETTILVNVWAIGRDSKTWDDPLVFKPERFLEPNMVDYKGRHFEFIPFGSGRRMCPAMPLASRVLHLALGSLLLSFDWILPDGLKPEDMDMTEKIGITLRKNVPLKVIPTPYKGSSHH >Potri.005G029600.2.v4.1 pep chromosome:Pop_tri_v4:5:1898435:1901048:1 gene:Potri.005G029600.v4.1 transcript:Potri.005G029600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G029600.v4.1 MDYEIAGLVLAVLLWVAWAVVTQRRYRRFEEQGQLPPGPRPLPVVGNIFLLGWAPHESFANLARVHGPIMTIWLGSMCNVVISSSEVAREMFKNHDAVLAGRKIYEAIRGDFGNEGSIITAQYGPHWRMLRRLCTTEFFVSSRLDAMQGARTRCIDGMLQYIEDDSANGTSAIDLGRYFFLMAFNLIGNLMFSKDLLDPKSEKGAKFFQHAGIVLELAGKPNMADFFPILRWLDPQGVRRKTQFHVARAFEIAGGFIKERTESVQKENSRDDKRKDYLDVLLEFRGDGVEEPSRFSSTTINAIVLVSSISFPMVLFSVLSLHTILCFCSEAAKPVLI >Potri.009G121500.1.v4.1 pep chromosome:Pop_tri_v4:9:10171692:10177220:-1 gene:Potri.009G121500.v4.1 transcript:Potri.009G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G121500.v4.1 MASDSSPGRNNNGPSSPDEFASSPIGNTYSSPATRTRRRRRSTTPLPYNTPPTNQSRFATSESTPTTTPHRTRHHVSTPSSDGGPPLSSDAGDDIDEATPTFVWGTNISVQDVKAAIQMFLKHFRDGQSQGSEIYEEGKYMKGIHGVLEMEGEWLDVDAHDVFNYDVELYGKMVRYPLEVLAIFDIVLMDIVSLIQPLFEKHVQVRIFNLKSSTTMRNLNPSDIEKMVSLKGMIIRCSSIIPEIREAVFKCIVCGYLSDPVVVDRGRISEPTACLKQECLAKNSMSLVHNRCRFADKQIVRLQETPDEIPDGGTPHTVSLLMHDKLVDAGKPGDRIEVTGIYRAMSVRVGPTQRTVKSLFKTYVDCLHIKKTDKSRMLAEDPMDVDNGNASRRIEEDFHFDEAKIEQLKKLSRQPDIYDRLTRSLAPNIWELDDVKRGLLCQLFGGNALKLPSGASFRGDINILLVGDPGTSKSQLLQYIHKLSPRGIYTSGRGSSAVGLTAYVSKDPETGETVLESGALVLSDRGICCIDEFDKMSENARSMLHEVMEQQTVSIAKAGIIASLNARTSVLACANPIGSRYNPRLSVIDNIHLPPTLLSRFDLIYLILDKADEHTDRHLAKHIVSLHFENPESAVHDVLDIATLTAYVSYARKYIQPQLSDEAAEELTRGYVEMRRRGNFPGSSKKVITATPRQMESLIRLSEALARIRFSELVEKHDVIEAFRLLEVAMQQSATDHSTGTIDMDLITTGVSASERMRRENLASAARSIITEKMQLEGPSMRLLELLDELKKQSSFAEVHLHDLRSAVATLASEGFVVLHGDSVKRV >Potri.013G123900.1.v4.1 pep chromosome:Pop_tri_v4:13:13247540:13250006:1 gene:Potri.013G123900.v4.1 transcript:Potri.013G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123900.v4.1 MQRGRDSNNRVAMHQACASCKHQRKRCAEDCVLAPYFPAERMQEFQAVHKVFGVSNVIKLVKDVDKERQKETADSLVWEASCRQDDPVLGCYGKFKKIQEELELYKMQTPLPNQNILRQRQGGAAYNKQPLLLAWNATHGINNRGNGIGGGLANNNVANYCHDNSSLMVDSVPHSYPWQFVRGQDKSNPERDATSLLLPIQPSPPPHPFSVNGFNQQQYYHPGQFGSLNGRPMDSTLFMGEEDGP >Potri.013G123900.2.v4.1 pep chromosome:Pop_tri_v4:13:13247540:13250006:1 gene:Potri.013G123900.v4.1 transcript:Potri.013G123900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G123900.v4.1 MMQRGRDSNNRVAMHQACASCKHQRKRCAEDCVLAPYFPAERMQEFQAVHKVFGVSNVIKLVKDVDKERQKETADSLVWEASCRQDDPVLGCYGKFKKIQEELELYKMQTPLPNQNILRQRQGGAAYNKQPLLLAWNATHGINNRGNGIGGGLANNNVANYCHDNSSLMVDSVPHSYPWQFVRGQDKSNPERDATSLLLPIQPSPPPHPFSVNGFNQQQYYHPGQFGSLNGRPMDSTLFMGEEDGP >Potri.015G027300.1.v4.1 pep chromosome:Pop_tri_v4:15:2048644:2051078:-1 gene:Potri.015G027300.v4.1 transcript:Potri.015G027300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G027300.v4.1 MAEHEGEREMAEHEGEREREPVVESKVDKITEKTHDHDSSSSSSDSDDDKSDAVKSKIFRLFGREKPVHKVLGGGKPADVFLWRNKKISAGVLGGATAVWVFFELLEYHLITLVCHLSIFSLAVLFLWSNASNFLNKSPPKIPEVLLPEKWVREVASGLRIEINRGFAVLHDIASGRDLKKFLAVIAGLLVLSLVGSCCNFLTLLYISFVLLHTVPVLYEKYEDQVDGNSEKAWIQIKKQYAVLDEKYISKIPRGPFKEKKKD >Potri.004G204100.1.v4.1 pep chromosome:Pop_tri_v4:4:21289078:21291701:-1 gene:Potri.004G204100.v4.1 transcript:Potri.004G204100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G204100.v4.1 MWTIGLCVVALLVIYCTHLVRKWKNPSCNGVLPPGSMGLPLIGETLHLIIPSYSLDLHPFIKKRIQRFGPIFRTNILGRPVVVSTDPELNHLILQQEGKLVEMWYLDTFSKLFNQEGESRTSAVGVIHKYIRSLSLTHFGVETLKKGLLPEIEDMIKKTLQMWSTQESIEVKYGSAVMVFNYIAKHMFGYDDSENTSEDKISEKFTKISEFLMSIPLNIPGTTYHKCLKDREKATKFLKDKLEERRNSPDMYRGDLLDQVIADLNKEDKEKFLSDDFIITVIFGLLFAGFESVSKILTLTLKLLADHPSVLQELIAEHEGILKNRRNSDPVLTWDEYKSMTFTLQVINESLRLGNGAPGLLRRALKDIEFKGFTIPAGWIIMAVTSASHLNPDVYKDPLAFNPARWKDMDPYLVSKNFMPFSGGTRQCAGAEFSKLLMATFLHVLLTKYEWTRIKGGRVVQGPMLGFRDGFHVKFSPNHN >Potri.004G020800.1.v4.1 pep chromosome:Pop_tri_v4:4:1498712:1498930:-1 gene:Potri.004G020800.v4.1 transcript:Potri.004G020800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G020800.v4.1 MVNPAGWSVWEGEFPSKTLYYGEYSNQGPGAGAGKRVKWPGYHVITSANEAMKFTVAELIQGGMAEGYWSCL >Potri.016G082700.9.v4.1 pep chromosome:Pop_tri_v4:16:6379455:6380992:1 gene:Potri.016G082700.v4.1 transcript:Potri.016G082700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082700.v4.1 MEGEDSLRTLECLRGRLLAERQASKIAKEEAELMGNKLIELEDKLREEIKLRKKAEKKHKFLMKKLESLKIWPASEGSEKSSSSEISGFCSASSTSTAGHKDPEESESKPQVIITADSQDMKDNGSETTTSNQNICPVSDSIGETQDSNADNNLKDCSLDKSRHETVACSQDSKIDDQSSASIKASVVEMEKNAGNESDNEAYVNNSLALVPLSLPASTKKSELKVVNRSVIEVLDALRHAREQIQSSMERRHMIRVCPT >Potri.016G082700.8.v4.1 pep chromosome:Pop_tri_v4:16:6379018:6383560:1 gene:Potri.016G082700.v4.1 transcript:Potri.016G082700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082700.v4.1 MTATGKDGKVWSVRESKKMEGEDSLRTLECLRGRLLAERQASKIAKEEAELMGNKLIELEDKLREEIKLRKKAEKKHKFLMKKLESLKIWPASEGSEKSSSSEISGFCSASSTSTAGHKDPEESESKPQVIITADSQDMKDNGSETTTSNQNICPVSDSIGETQDSNADNNLKDCSLDKSRHETVACSQDSKIDDQSSASIKASVVEMEKNAGNESDNEAYVNNSLALVPLSLPASTKKSTRGAWSSPICKFNISPRKESSLFLWVASSRKPSQPIDCGSSVMNPIPMEDSRIKVPSTQFSKTHNYKVTGTNQSYEVVLRKIEFYLGENRYSNLSGISLLY >Potri.016G082700.3.v4.1 pep chromosome:Pop_tri_v4:16:6379018:6383560:1 gene:Potri.016G082700.v4.1 transcript:Potri.016G082700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082700.v4.1 MTATGKDGKVWSVRESKKMEGEDSLRTLECLRGRLLAERQASKIAKEEAELMGNKLIELEDKLREEIKLRKKAEKKHKFLMKKLESLKIWPASEGSEKSSSSEISGFCSASSTSTAGHKDPEESESKPQVIITADSQDMKDNGSETTTSNQNICPVSDSIGETQDSNADNNLKDCSLDKSRHETVACSQDSKIDDQSSASIKASVVEMEKNAGNESDNEAYVNNSLALVPLSLPASTKKSTRGAWSSPICKFNISPRKESSLFLWVASSRKPSQPIDCGSSVMNPIPMEDSRIKVPSTQFSKTHNYKVTGTNQSYEVVLRKIEFYLGENRYSNLSGISLLY >Potri.016G082700.6.v4.1 pep chromosome:Pop_tri_v4:16:6379017:6380998:1 gene:Potri.016G082700.v4.1 transcript:Potri.016G082700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082700.v4.1 MANVRESKKMEGEDSLRTLECLRGRLLAERQASKIAKEEAELMGNKLIELEDKLREEIKLRKKAEKKHKFLMKKLESLKIWPASEGSEKSSSSEISGFCSASSTSTAGHKDPEESESKPQVIITADSQDMKDNGSETTTSNQNICPVSDSIGETQDSNADNNLKDCSLDKSRHETVACSQDSKIDDQSSASIKASVVEMEKNAGNESDNEAYVNNSLALVPLSLPASTKKSELKVVNRSVIEVLDALRHAREQIQSSMERRHMIRVCPT >Potri.016G082700.5.v4.1 pep chromosome:Pop_tri_v4:16:6379018:6381066:1 gene:Potri.016G082700.v4.1 transcript:Potri.016G082700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082700.v4.1 MANVRESKKMEGEDSLRTLECLRGRLLAERQASKIAKEEAELMGNKLIELEDKLREEIKLRKKAEKKHKFLMKKLESLKIWPASEGSEKSSSSEISGFCSASSTSTAGHKDPEESESKPQVIITADSQDMKDNGSETTTSNQNICPVSDSIGETQDSNADNNLKDCSLDKSRHETVACSQDSKIDDQSSASIKASVVEMEKNAGNESDNEAYVNNSLALVPLSLPASTKKSELKVVNRSVIEVLDALRHAREQIQSSMERRHMIRVCPT >Potri.016G082700.4.v4.1 pep chromosome:Pop_tri_v4:16:6379018:6381078:1 gene:Potri.016G082700.v4.1 transcript:Potri.016G082700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G082700.v4.1 MTATGKDGKVWSVRESKKMEGEDSLRTLECLRGRLLAERQASKIAKEEAELMGNKLIELEDKLREEIKLRKKAEKKHKFLMKKLESLKIWPASEGSEKSSSSEISGFCSASSTSTAGHKDPEESESKPQVIITADSQDMKDNGSETTTSNQNICPVSDSIGETQDSNADNNLKDCSLDKSRHETVACSQDSKIDDQSSASIKASVVEMEKNAGNESDNEAYVNNSLALVPLSLPASTKKSELKVVNRSVIEVLDALRHAREQIQSSMERRHMIRVCPT >Potri.006G231500.1.v4.1 pep chromosome:Pop_tri_v4:6:23467988:23469777:1 gene:Potri.006G231500.v4.1 transcript:Potri.006G231500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G231500.v4.1 MEEQDDQVSMTVEDFDGGEAILEDSAGSETDDQLAHFAGLLKIGEETREYDGVKKTFLTGMKGHAKDTQVVAIYKNSASTSPMKARFAAFKAFEQVVSQKNGGQGNANVKYGWYSGSKEGISQIISHGFGWCNGQSHGVGVYLSPTSFLLDGLESSRADENDTRHILLCKVIMGKMEVIPAGSKQRYPSSGEFDSGVDNLEAPRRLVVWTTFMNSHILPDYIISFKAPSSTTLLINRISEIRNLGFVSVSALHLTMVKFLGPAKGALISRTYDDFAKRKVTGVQLFQAVRQITGDDQLLIEIFKSSTNKRVRRASRRTCAAQAAAELRRR >Potri.014G177300.6.v4.1 pep chromosome:Pop_tri_v4:14:13394545:13397795:-1 gene:Potri.014G177300.v4.1 transcript:Potri.014G177300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177300.v4.1 MASVTLHIQSPPLNNDVVSLPRNHFPIVTFKTTFFGSSLTANGKPLSLPKTFRTQPVITSSSSSSSSSSTHTFDVVIIGAGIIWLTIARQFLIGSDLSVAVVDKDVPCSGATGAGQGYLWMVHQEPESDTWDLTMRSYKLWQMFAEKVRAQGLDPLQELGWKRTGSLLVGRTAKEAATLKKKVKRLSEAGLRAKYLTSDALRLKEPELEVGKDGGAAFLPDDCQLDAQRAVAFIQKANRHFSIKGRYAEFFHDPVTGLLRSDSSSEVEGVRTFKNTLYSKKAVIVAAGCWSGSLAHDLFRESDILLNVPVKPRKGHLVVLENFSSFRLDHGLMEMGYVDHLHDALDRKSSHSVKVEEGQTPSVSMTATMDTMGNLVLGSSRQFTGYSTKVDESIINHIWKRAGEFFPKLKELPLEDFTLDRKVRIGLRPYMPDGKPVIGPVPGLMNVIIATGHEGGGLSMALGTAEMVADMVLGNLGIVDLAAFALQGRCC >Potri.014G177300.8.v4.1 pep chromosome:Pop_tri_v4:14:13394564:13397795:-1 gene:Potri.014G177300.v4.1 transcript:Potri.014G177300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177300.v4.1 MASVTLHIQSPPLNNDVVSLPRNHFPIVTFKTTFFGSSLTANGKPLSLPKTFRTQPVITSSSSSSSSSSTHTFDVVIIGAGIIWLTIARQFLIGSDLSVAVVDKDVPCSGATGAGQGYLWMVHQEPESDTWDLTMRSYKLWQMFAEKVRAQGLDPLQELGWKRTGSLLVGRTAKEAATLKKKVKRLSEAGLRAKYLTSDALRLKEPELEVGKDGGAAFLPDDCQLDAQRAVAFIQKANRHFSIKGRYAEFFHDPVTGLLRSDSSSEVEGVRTFKNTLYSKKAVIVAAGCWSGSLAHDLFRESDILLNVPVKPRKGHLVVLENFSSFRLDHGLMEMGYVDHLHDALDRKSSHSVKVEEGQTPSVSMTATMDTMGNLVLGSSRQFTGYSTKVDESIINHIWKRAGEFFPKLKELPLEDFTLDRKCLMGSQ >Potri.014G177300.4.v4.1 pep chromosome:Pop_tri_v4:14:13394556:13397795:-1 gene:Potri.014G177300.v4.1 transcript:Potri.014G177300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177300.v4.1 MASVTLHIQSPPLNNDVVSLPRNHFPIVTFKTTFFGSSLTANGKPLSLPKTFRTQPVITSSSSSSSSSSTHTFDVVIIGAGIIWLTIARQFLIGSDLSVAVVDKDVPCSGATGAGQGYLWMVHQEPESDTWDLTMRSYKLWQMFAEKVRAQGLDPLQELGWKRTGSLLVGRTAKEAATLKKKVKRLSEAGLRAKYLTSDALRLKEPELEVGKDGGAAFLPDDCQLDAQRAVAFIQKANRHFSIKGRYAEFFHDPVTGLLRSDSSSEVEGVRTFKNTLYSKKAVIVAAGCWSGSLAHDLFRESDILLNVPVKPRKGHLVVLENFSSFRLDHGLMEMGYVDHLHDALDRKSSHSVKVEEGQTPSVSMTATMDTMGNLVLGSSRQFTGYSTKVDESIINHIWKRAGEFFPKLKELPLEDFTLDRKVRIGLRPYMPDGKPVIGPVPGLMNVIIATGHEGGGLSMVISVSLFSTEEKWLGVFPFLFFFSPSKNKFRLLSLTILF >Potri.014G177300.5.v4.1 pep chromosome:Pop_tri_v4:14:13394545:13397790:-1 gene:Potri.014G177300.v4.1 transcript:Potri.014G177300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177300.v4.1 MASVTLHIQSPPLNNDVVSLPRNHFPIVTFKTTFFGSSLTANGKPLSLPKTFRTQPVITSSSSSSSSSSTHTFDVVIIGAGIIWLTIARQFLIGSDLSVAVVDKDVPCSGATGAVTGQGYLWMVHQEPESDTWDLTMRSYKLWQMFAEKVRAQGLDPLQELGWKRTGSLLVGRTAKEAATLKKKVKRLSEAGLRAKYLTSDALRLKEPELEVGKDGGAAFLPDDCQLDAQRAVAFIQKANRHFSIKGRYAEFFHDPVTGLLRSDSSSEVEGVRTFKNTLYSKKAVIVAAGCWSGSLAHDLFRESDILLNVPVKPRKGHLVVLENFSSFRLDHGLMEMGYVDHLHDALDRKSSHSVKVEEGQTPSVSMTATMDTMGNLVLGSSRQFTGYSTKVDESIINHIWKRAGEFFPKLKELPLEDFTLDRKVRIGLRPYMPDGKPVIGPVPGLMNVIIATGHEGGGLSMALGTAEMVADMVLGNLGIVDLAAFALQGRCC >Potri.014G177300.7.v4.1 pep chromosome:Pop_tri_v4:14:13394564:13397795:-1 gene:Potri.014G177300.v4.1 transcript:Potri.014G177300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G177300.v4.1 MASVTLHIQSPPLNNDVVSLPRNHFPIVTFKTTFFGSSLTANGKPLSLPKTFRTQPVITSSSSSSSSSSTHTFDVVIIGAGIIWLTIARQFLIGSDLSVAVVDKDVPCSGATGAGQGYLWMVHQEPESDTWDLTMRSYKLWQMFAEKVRAQGLDPLQELGSLLVGRTAKEAATLKKKVKRLSEAGLRAKYLTSDALRLKEPELEVGKDGGAAFLPDDCQLDAQRAVAFIQKANRHFSIKGRYAEFFHDPVTGLLRSDSSSEVEGVRTFKNTLYSKKAVIVAAGCWSGSLAHDLFRESDILLNVPVKPRKGHLVVLENFSSFRLDHGLMEMGYVDHLHDALDRKSSHSVKVEEGQTPSVSMTATMDTMGNLVLGSSRQFTGYSTKVDESIINHIWKRAGEFFPKLKELPLEDFTLDRKVRIGLRPYMPDGKPVIGPVPGLMNVIIATGHEGGGLSMALGTAEMVADMVLGNLGIVDLAAFALQGRCC >Potri.007G121700.2.v4.1 pep chromosome:Pop_tri_v4:7:13921283:13928291:-1 gene:Potri.007G121700.v4.1 transcript:Potri.007G121700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121700.v4.1 MAERKLFKTKLCVLYRKGRCHRQNCSFAHGNAELRQSMPSRYGTRDYRGGDLRDKLDQRLSPKRQYSPGKDANRRDSFRGSSPSRSLEKSNDRKRRKKQQYDGQSDFSGSLKVSDRADDQVKERKGASSELKEMQSNINKLEHHKSELMILLEKKTEEADSLISRIQELEAQLSAEKEECKRITSNIKKFVKAYKHYVQAQDELKRSEVRFKKLGDQLVSGTATDCNEEDSSIKIVNDGEINGHCAISAQNEMRKQNNSSPIEKSLGGKQYTAEKSTQGNLTNGGHQAETLRLSKLSQFHSHPVQLNSNLEFGVVDNRNNSHFPIGNEGKQKKGKGVSASIPLDKVPSTSMAARAIDDLVEIEMEENVEVVENISRGIVRGGATYEARSLPFSLPPPPPIHRNTHSKYEGEDENVDVGGLEEEMVDIDIV >Potri.007G121700.1.v4.1 pep chromosome:Pop_tri_v4:7:13921319:13928265:-1 gene:Potri.007G121700.v4.1 transcript:Potri.007G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G121700.v4.1 MAERKLFKTKLCVLYRKGRCHRQNCSFAHGNAELRQSMPSRYGTRDYRGGDLRDKLDQRLSPKRQYSPGKDANRRDSFRGSSPSRSLEKSNDRKRRKKQQYDGQSDFSGSLKVSDRADDQVKERKGASSELKEMQSNINKLEHHKSELMILLEKKTEEADSLISRIQELEAQLSAEKEECKRITSNIKKFVKAYKHYVQAQDELKRSEVRFKKLGDQLVSGTATDCNEEDSSIKIVNDGEINGHCAISAQNEMRKQNNSSPIEKSLGGKQYTAEKSTQGNLTNGGHQAETLRLRNEGKQKKGKGVSASIPLDKTKGSCSVLHVPSTSMAARAIDDLVEIEMEENVEVVENISRGIVRGGATYEARSLPFSLPPPPPIHRNTHSKYEGEDENVDVGGLEEEMVDIDIV >Potri.002G047400.3.v4.1 pep chromosome:Pop_tri_v4:2:3100192:3102613:1 gene:Potri.002G047400.v4.1 transcript:Potri.002G047400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G047400.v4.1 MNPSIPQNLDEYSASATTIKFDRPIPLLRGPIPAGPPDDPSVSPHVLAFRSPQSWAVAYKTCESKIISQCEEGARFGCAITASNKCKPPWWRGFIGGARLEDLKERERCEDRELEGCLVAAKDKCVGFAKEKCWKPFRDARIAVREEEVVRNLVSLVSVPQRSSKWISLIGFGKFNFGVTNFRASEFLGSNKNHAWFFKSM >Potri.015G048800.3.v4.1 pep chromosome:Pop_tri_v4:15:5116591:5122425:-1 gene:Potri.015G048800.v4.1 transcript:Potri.015G048800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G048800.v4.1 MGCCASQNVDSKASRIARWRSTGIVAFRDAKLKAFPDEVLDLDKAVRTLDLTHNKLVDIPMEISKLINLQRLVLADNLVERLPMNLGKLQSLKVMTLDGNRIASLPDELGQLVRLERLSISDNILTCLPETIGSLRNLSLLNVSNNKLKTLPESIGSCFSLEELQANDNLIEDLPASVCNLIHLKSLSLNNNSVGQIPPNLLKDCKALQSISLHDNPISMDQFQQMEGFQEFEGRRKRKFDKQIDSNVMIGSKGLDEGVDL >Potri.009G033100.1.v4.1 pep chromosome:Pop_tri_v4:9:4369294:4371780:1 gene:Potri.009G033100.v4.1 transcript:Potri.009G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G033100.v4.1 MVIESMEPPSFPNILQAPTLGSSSDEDTAYSSDTNDFSHVVFKYINDMLMEDDLGDKTCMLQDCLALQAAEKSLYDVLGEEHPPSSDHRPPCLAQINESADENYTPTTSVQSSVLQSFYSPESVFVVPYVHTETHSFRQFNGVTGSANKLLPYSHSVKFSSMRKASDPPEPEEEVVADRIQNNGENYSSNQTRGRRNYQYDSNDYLEEGRSKKQPSVSESTHWELLDDDFLHSIESGVHISCPLYDNSRSAAYNKFLHNEQLTASHMRMRTLANKRETDQWTQLILCAEAAGRGDQKTASAKLKQIRQHSSPFGDANQRLAHYFANGLEERLAGTGMLLSGPITQNSTTAADILKAYQLYVTICPFRKMTNLCANRTIARVADKATSVHIIDFGISYGFQWPCFMYRHSLRPGGPPKIRITGIDLPQPGFRPAERVEETGRRLKRLADRMNVPFEYNAIAQKWETIQYEDLKIARDRDEVIVVNCMYRFKNLPDDTMASNSPRDAVLKLIKRINPDVFLHGVRNGSYNAPFFVKRFREALFHYSAYFDMLEANAPREDQERLLFEREMIGRDVINVVACEGTQRIERPETYKQWQMRNLRNGFRQIPLHQSIIKRMKSIKPDYHKDFIVDEDGQWVLLGWKGKIFHAISAWKPVQE >Potri.005G096200.1.v4.1 pep chromosome:Pop_tri_v4:5:6802346:6802831:1 gene:Potri.005G096200.v4.1 transcript:Potri.005G096200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096200.v4.1 MSPLSKTIIAFCTIHRHADGDDDGEYGYDYAPAACMEGDGDDDDSDYDYAPAAPMEGDDDDDGDYDYAPAA >Potri.008G039000.1.v4.1 pep chromosome:Pop_tri_v4:8:2180249:2184056:1 gene:Potri.008G039000.v4.1 transcript:Potri.008G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039000.v4.1 MFEAKLRDGYTQVLQIPKLHSGPMIREFQVMNPPKEQMRVAEKCLDSQLWHACAGSMVQMPAVNSKVFYFPQGHAEHAQGSVDFGHFQIPALIPCKVSAIKYMAEPETDEVYAKIRLTPSSNSDLMFGDGCGEDSDDRLPNGIESQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTAEPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGNECSSGWNSFGGYSGFLREDESKLTRRNGNGDMKGKVKPESVIEAASLAANGQPFEVVYYPRASTPEFCVRASAVRTAMHIQWCPGMRFKMAFETEDSSRISWFMGTISSVQFADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLAELVSNMPAIHLSPFSPPRKKLRLPQPPDFPLLGQIPMPSFTGIPLRSNSPLCCVSDNIPAGIQGARHAQFELSSSDLHFNKLQSGLFPVDFQRRDHAASPSRISSGNFMGNTKKSENISCLLTMGNSSQSLKESSETKTPHFVLFGQLIVTDQQSSQSCSGDTNANSSSDGNLGKASSDGSGSALQQNGPMENSSDERSTWYKDHQKTDLGLETDHCKVFLESEDIGRTLDLSVLGSYEELHRKLASMFGIESSEMLSNVLYRDAAGATKHAGDEPFSEFLKTARRLTILSYASRDNFGR >Potri.008G039000.2.v4.1 pep chromosome:Pop_tri_v4:8:2180633:2183964:1 gene:Potri.008G039000.v4.1 transcript:Potri.008G039000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039000.v4.1 MIREFQVMNPPKEQMRVAEKCLDSQLWHACAGSMVQMPAVNSKVFYFPQGHAEHAQGSVDFGHFQIPALIPCKVSAIKYMAEPETDEVYAKIRLTPSSNSDLMFGDGCGEDSDDRLPNGIESQEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYTAEPPVQTILAKDVHGETWKFRHIYRGTPRRHLLTTGWSNFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGIGGGNECSSGWNSFGGYSGFLREDESKLTRRNGNGDMKGKVKPESVIEAASLAANGQPFEVVYYPRASTPEFCVRASAVRTAMHIQWCPGMRFKMAFETEDSSRISWFMGTISSVQFADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLAELVSNMPAIHLSPFSPPRKKLRLPQPPDFPLLGQIPMPSFTGIPLRSNSPLCCVSDNIPAGIQGARHAQFELSSSDLHFNKLQSGLFPVDFQRRDHAASPSRISSGNFMGNTKKSENISCLLTMGNSSQSLKESSETKTPHFVLFGQLIVTDQQSSQSCSGDTNANSSSDGNLGKASSDGSGSALQQNGPMENSSDERSTWYKDHQKTDLGLETDHCKVFLESEDIGRTLDLSVLGSYEELHRKLASMFGIESSEMLSNVLYRDAAGATKHAGDEPFSEFLKTARRLTILSYASRDNFGR >Potri.003G213050.2.v4.1 pep chromosome:Pop_tri_v4:3:21001500:21005124:1 gene:Potri.003G213050.v4.1 transcript:Potri.003G213050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G213050.v4.1 MGLPLAIKRALKLSNVAYLCSPALLVFLPDQFKSGGTMGQFITEQIILYIGSFSVFLCWELSHHLHQENVQYLLLGGKLTECPTTFFFPGRYLFAPPKGSAAAETNPSEPLLAALKESIPDSLPQYLAYLDLCMVCENNVDDWCRAAFFEETGETYKKVVAACLRPQEQLTSNLSEVLEGCYVDKAHQLSNQLQLPTDSQLDSKHYESLNNFQKYAWCAKAVASLTERSHEEDRFGVAQLIGSNVAVLSTLISSLLAIEVFMGKKTSLQPQHLMGPVTIK >Potri.003G213050.1.v4.1 pep chromosome:Pop_tri_v4:3:21001559:21005124:1 gene:Potri.003G213050.v4.1 transcript:Potri.003G213050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G213050.v4.1 MGLPLAIKRALKLSNVAYLCSPALLVFLPDQFKSGGTMGQFITEQIILYIGSFSVFLCWELSHHLHQENVQYLLLGGKLTECPTTFFFPGRYLFAPPKGSAAAETNPSEPLLAALKESIPDSLPQYLAYLDLCMVCENNVDDWCRAAFFEETGETYKKVVAACLRPQEQLTSNLSEVLEGCYVDKAHQLSNQLQLPTDSQLDSKHYESLNNFQKYAWCAKAVASLTERSHEEDRFGVAQLIGSNVAVLSTLISSLLAIEVFMGKKTSLQPQHLMGPVTIK >Potri.005G170800.1.v4.1 pep chromosome:Pop_tri_v4:5:17538428:17544064:-1 gene:Potri.005G170800.v4.1 transcript:Potri.005G170800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G170800.v4.1 MDLTQAAQSGLSLGFHSSPIPLQLLDQKQETRIDNEENQTEDEQFSILGHQMCIKRPRDNSSQSSASSSTTNSSKRVAMDPGLESRRAMVRAWGNHPLPIADPEIHEIMEKEKQRQFKGIELIASENFVCRAVMEALGSHLTNKYSEGLPGSRYYTGNQNIDQIELICWSRALAAFGLDSDKWGVNVQPYSCTSANFAVYTGLLLPGDRIMGLDSPSGGHLSHGYYTPGGKRVSASSIFFESLPYKVNPQTGYIDYDKMEEKAMDFRPKILICGGSSYPREWDYARFRQVADRIGAVLMCDMAHISGLVAAKGCVSPFEYCDIVTSTTHKSLRGPRGGIIFYRKGPKLRKQGMLSHGDGSSHYDFEEKINFAVHPSLQGGPHNNHIAALAIALKQVATPEYKAYMQQVRKNAQALASALLRRKCRLVTGGTDNHLVLWDLTTWGLAGKCYEKVCEMCQITLNKSAIFGDNGAICPGGVRIGTPAMTSRGCLEADFEKIADFLLKASHITTVVQREHGKKDFLKGLHNNKEIVELRNRVEIFASQFAMPGFDV >Potri.019G062732.1.v4.1 pep chromosome:Pop_tri_v4:19:10288433:10288995:1 gene:Potri.019G062732.v4.1 transcript:Potri.019G062732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062732.v4.1 MLERSCESCFFLELFKAWCPLTLHADCNVVLDRLSSWRRVIITRHLRPDLGTGYRITSIYICFW >Potri.016G022400.2.v4.1 pep chromosome:Pop_tri_v4:16:1229300:1231747:1 gene:Potri.016G022400.v4.1 transcript:Potri.016G022400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022400.v4.1 MGSILKPHVVVIPCPLQGHIKTMLKLAKLLHYKGLHITFVSTEFNHKRFLRSRGPHALDDLPGFHFRTIPDGLPPSDIDATQEIPSLCHAMNKNFLAPFKDLLLQLKNTVSENNPPVTCIVSDPFAPFSIKAGEEVGLPVVMYATTNACGYMGCKQLYALREKGFTPIKDMSNLSNGYLETKVDWAPGMKDVRLKDFPFIQTTDPDEVVFNFAIGVAETSVKARAIAFHTFDALEPEVLDGLSTIFPRVYSIGPLQLLLNQFEENGLKSIGYSLWKEDHECLQWLETKEPKSVVYVNFGSITVMTADQLVEFAMGLVNSNIPFLWITRPDLVVGESAVLPAEFEEETEKRGFITSWCPQEEVLNHPAVGGFLTHSGWGSTIESLCAGLPLACWPFFADQAMNCRYSCNEWGVGMEIDNNVKREEVEMLVKELMEGEKGEKMRGKAMEWKRLAEEAVGPEGTSSINLDKFIHEIISSNN >Potri.011G031200.1.v4.1 pep chromosome:Pop_tri_v4:11:2538547:2544362:-1 gene:Potri.011G031200.v4.1 transcript:Potri.011G031200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031200.v4.1 MASRNQNRPPRSPSSKKEGVEGIPLDKRRRIAMGRTGGATNVERKPFGSVNRKLDVTATSDVGSCAEGSDCGNVEFTKEEVDALVNERLKMKKFDHKGNLELVSELNARLKVCIKWFQKRDESHVEEEGKLQIALDALEKKCTETEAEMKNKEERFSATISELRQDNACLQERLSKEESEKLDAIACHRKENEARIALEALQASLSKDLEKAQQDILAANQRASSVDDMYKRLQEYNLSLQQYNSKLHAELEVARESLKRVEKEKSTIVENHSTLRGRYSSLQDQLNLARTAQDEALNQKDTLANEVKCLRGELQQVREDRDRQVAQVQALTSDVVKYKESTGESCAKLEYLMEKTKSLEETCSSQREQICLLEHQLTAANEMLKMSDLSSIETRTEFEKQKRTVRELQERLAETENQLVEGEKLRKKLHNTILELKGNIRVFCRVRPVLPDDGAGSEPPVISYPTSTEALGRGIDVIQSAGQKYPFTFDKVFNHDASQQEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEAPEQKGLIPRSLEQIFQTSQSLMAQGWKYKMQASMLEIYNETIRDLLSTNKSSSTENGAPGKQYTIKHDANGNTYVTDLTIVDVCRMEEISSLLRQAAQSRSVGKTQMNEQSSRSHFVFTLRISGVNEGTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINRSLSCLSDVIFSLAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPASVGESLCSLRFAARVNACEIGIPRRQMLAQMPARPADSRLSYG >Potri.011G031200.2.v4.1 pep chromosome:Pop_tri_v4:11:2538583:2544346:-1 gene:Potri.011G031200.v4.1 transcript:Potri.011G031200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031200.v4.1 MASRNQNRPPRSPSSKKEGVEGIPLDKRRRIAMGRTGGATNVERKPFGSVNRKLDVTATSDVGSCAEGSDCGNVEFTKEEVDALVNERLKMKKFDHKGNLELVSELNARLKVCIKWFQKRDESHVEEEGKLQIALDALEKKCTETEAEMKNKEERFSATISELRQDNACLQERLSKEESEKLDAIACHRKENEARIALEALQASLSKDLEKAQQDILAANQRASSVDDMYKRLQEYNLSLQQYNSKLHAELEVARESLKRVEKEKSTIVENHSTLRGRYSSLQDQLNLARTAQDEALNQKDTLANEVKCLRGELQQVREDRDRQVAQVQALTSDVVKYKESTGESCAKLEYLMEKTKSLEETCSSQREQICLLEHQLTAANEMLKMSDLSSIETRTEFEKQKRTVRELQERLAETENQLVEGEKLRKKLHNTILELKGNIRVFCRVRPVLPDDGAGSEPPVISYPTSTEALGRGIDVIQSGQKYPFTFDKVFNHDASQQEVFVEISQLVQSALDGYKVCIFAYGQTGSGKTYTMMGRPEAPEQKGLIPRSLEQIFQTSQSLMAQGWKYKMQASMLEIYNETIRDLLSTNKSSSTENGAPGKQYTIKHDANGNTYVTDLTIVDVCRMEEISSLLRQAAQSRSVGKTQMNEQSSRSHFVFTLRISGVNEGTEQQVQGVLNLIDLAGSERLSRSGATGDRLKETQAINRSLSCLSDVIFSLAKKEDHVPFRNSKLTYLLQPCLGGDSKTLMFVNISPDPASVGESLCSLRFAARVNACEIGIPRRQMLAQMPARPADSRLSYG >Potri.003G078900.1.v4.1 pep chromosome:Pop_tri_v4:3:10594068:10598781:-1 gene:Potri.003G078900.v4.1 transcript:Potri.003G078900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G078900.v4.1 MAAPFFSTPFQPYVYQSHQGAVIPFQILGGEAQMVQIMLKPQEKIIAKPGSMCFMSGSIEMENVLIPENEVGVWQWFFGKAVTSVIFRNPGPSDGFVGIATPSLARILPIDLAKFGGEILCLPDAFLCSINDVKVSNALDHRARNVMPNIEGFLRQKLTGQGLAFILAGGSVAQKVLEVGEVLAVDVSCIVALNTTVNVQIKYNGPVRRAVFGGDNLVTATLTGPGIVFIQSLPFQLFSQRIARAVTSPNMRENPKFFVQIAIFFFLAYVVIVSSLILTDV >Potri.001G014501.1.v4.1 pep chromosome:Pop_tri_v4:1:1020849:1022571:1 gene:Potri.001G014501.v4.1 transcript:Potri.001G014501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014501.v4.1 MGSRNPQHKGTKGYVAPEWFRNMLITVKVDVYNFGVLLLEIICCRRSVDTEVGEERAILTDWAYDCYQEGMMHALVESDEEALNDMKKLERFVMVAIWCIQEDPNLRPTMKMVMLMLEGIIQVPVPPCPSPFSIAS >Potri.014G119000.1.v4.1 pep chromosome:Pop_tri_v4:14:8011627:8014157:-1 gene:Potri.014G119000.v4.1 transcript:Potri.014G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G119000.v4.1 MTKSPNHLLWTGSWVVRQLCTAAEVVPVVLEKRKRLYKRLSELGASGESVSKTLNDFVLEGGKTNKVDLLACIRELRKYGRFDYAIEVMEWMQKRKMNVSHAVYLDLIAKKEGIAAAENYFDGLSPSEQNHSTHGALLSCYCRELMSEKALTLFEKMDKMKFLLTSLPFNNLISLHLRLDQPEKVLPIVQEMKQKGVSPCTFTYNMWMQSYGCLNDFEGVERVLDEMKMDGQKNFSWTTYTNLATIYVKAGHFDKAESALKKVEEQIERGREIKKKRRQGDYREAYHFLITLYAGTSNLGEVNRVWNSLKSNFHTTTNVSYLTMLHTLAKLKDVEGLLKCFKEWESSCHSYDMRLANVAIRACLEHDMYEEAALIFDDALKRTEGLFFNAREMFMVFFLKNHQLDLALKHMKAAFSEVKEIEWQPEPKTVSAFFAYFEDEKDVNGAERLCKILKHINRLDSNAYDLLLKTYIAAGKLAPEMRQRLEEDGIEINPELENLLERVCPK >Potri.006G058900.8.v4.1 pep chromosome:Pop_tri_v4:6:4163978:4166386:-1 gene:Potri.006G058900.v4.1 transcript:Potri.006G058900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058900.v4.1 MLEVTVKDKDLVKDDFIGRVLFDMNEIPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLVPSDQGRYPEVYVKAILGNQVLRTRVSPSRSINPMWNEDLMFVASEPFEEPLILSVEDRIAPNKDEVLGRCAIPMHHVDRRLDHNPVNTRWFNLEKHVIVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGVLELGILNAQGLMPMKPKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHRNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATVIVSVRLSRSEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIALGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWHYRRRSRHPPHMDTRLSHAESAHPDELDEEFDTFPTSQSADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYITPFQVVAVLIGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >Potri.006G058900.9.v4.1 pep chromosome:Pop_tri_v4:6:4163973:4166282:-1 gene:Potri.006G058900.v4.1 transcript:Potri.006G058900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058900.v4.1 MNEIPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLVPSDQGRYPEVYVKAILGNQVLRTRVSPSRSINPMWNEDLMFVASEPFEEPLILSVEDRIAPNKDEVLGRCAIPMHHVDRRLDHNPVNTRWFNLEKHVIVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGVLELGILNAQGLMPMKPKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHRNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATVIVSVRLSRSEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIALGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWHYRRRSRHPPHMDTRLSHAESAHPDELDEEFDTFPTSQSADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYITPFQVVAVLIGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >Potri.006G058900.6.v4.1 pep chromosome:Pop_tri_v4:6:4164018:4166613:-1 gene:Potri.006G058900.v4.1 transcript:Potri.006G058900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058900.v4.1 MMQKPPQDDFLLKETNPHLGGGRITGDKLTSTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQASMLEVTVKDKDLVKDDFIGRVLFDMNEIPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLVPSDQGRYPEVYVKAILGNQVLRTRVSPSRSINPMWNEDLMFVASEPFEEPLILSVEDRIAPNKDEVLGRCAIPMHHVDRRLDHNPVNTRWFNLEKHVIVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGVLELGILNAQGLMPMKPKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHRNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATVIVSVRLSRSEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIALGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWHYRRRSRHPPHMDTRLSHAESAHPDELDEEFDTFPTSQSADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYITPFQVVAVLIGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >Potri.006G058900.5.v4.1 pep chromosome:Pop_tri_v4:6:4163894:4167719:-1 gene:Potri.006G058900.v4.1 transcript:Potri.006G058900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058900.v4.1 MMQKPPQDDFLLKETNPHLGGGRITGDKLTSTYDLVEQMQYLYVRVVKAKDLPAKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQASMLEVTVKDKDLVKDDFIGRVLFDMNEIPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLVPSDQGRYPEVYVKAILGNQVLRTRVSPSRSINPMWNEDLMFVASEPFEEPLILSVEDRIAPNKDEVLGRCAIPMHHVDRRLDHNPVNTRWFNLEKHVIVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGVLELGILNAQGLMPMKPKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHRNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATVIVSVRLSRSEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIALGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWHYRRRSRHPPHMDTRLSHAESAHPDELDEEFDTFPTSQSADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYITPFQVVAVLIGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >Potri.006G058900.7.v4.1 pep chromosome:Pop_tri_v4:6:4164016:4166490:-1 gene:Potri.006G058900.v4.1 transcript:Potri.006G058900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058900.v4.1 MQYLYVRVVKAKDLPAKDVTGSCDPYVEVKLGNYKGTTRHFEKKTNPEWNQVFAFSKERIQASMLEVTVKDKDLVKDDFIGRVLFDMNEIPKRVPPDSPLAPQWYRLEDRKGDKFKGELMLAVWMGTQADEAFPEAWHSDAATVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLVPSDQGRYPEVYVKAILGNQVLRTRVSPSRSINPMWNEDLMFVASEPFEEPLILSVEDRIAPNKDEVLGRCAIPMHHVDRRLDHNPVNTRWFNLEKHVIVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGVLELGILNAQGLMPMKPKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHRNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATVIVSVRLSRSEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIALGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWHYRRRSRHPPHMDTRLSHAESAHPDELDEEFDTFPTSQSADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYITPFQVVAVLIGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >Potri.006G058900.10.v4.1 pep chromosome:Pop_tri_v4:6:4163927:4166140:-1 gene:Potri.006G058900.v4.1 transcript:Potri.006G058900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058900.v4.1 MLAVWMGTQADEAFPEAWHSDAATVSGTDSLANIRSKVYLSPKLWYLRVNVIEAQDLVPSDQGRYPEVYVKAILGNQVLRTRVSPSRSINPMWNEDLMFVASEPFEEPLILSVEDRIAPNKDEVLGRCAIPMHHVDRRLDHNPVNTRWFNLEKHVIVEGEKKKEIKFASRIHMRICLEGGYHVLDESTHYSSDLRPTAKQLWKHSIGVLELGILNAQGLMPMKPKDGRGTTDAYCVAKYGQKWVRTRTIIDSFTPKWNEQYTWEVFDPCTVITIGVFDNCHLHGGDKPGGSRDSRIGKVRIRLSTLETDRVYTHSYPLLVLHRNGVKKMGEIHLAVRFTCSSLLNMMHMYSHPLLPKMHYIHPLTVSQLDSLRHQATVIVSVRLSRSEPPLRKEIVEYMLDVGSHMWSMRRSKANFFRIMNVFGGLIALGKWFDQICNWKNPITTVLIHILFIILVLYPELILPTIFLYLFLIGVWHYRRRSRHPPHMDTRLSHAESAHPDELDEEFDTFPTSQSADIVRMRYDRLRSIAGRIQTVVGDLATQGERLQSLLSWRDPRATALFVLFCLIAAIVLYITPFQVVAVLIGLYVLRHPRFRHKLPSVPLNFFRRLPARTDSML >Potri.005G030271.1.v4.1 pep chromosome:Pop_tri_v4:5:1957672:1962883:-1 gene:Potri.005G030271.v4.1 transcript:Potri.005G030271.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030271.v4.1 MNDLTVQMIEEICDRIKTVQISDSSPFLSSTTGETINSEEESVSNRIRQVSPSQGPTPPILQKIINLSDKIQNLKKEHSNLSNQVKTAKDSFLGPNILDTLQKLGNEYELLKKKYLQELSERKRLYNEVIELKGNIRVFCRCRPLNQVEITNGSNYVVEFDSSQDNELQIISSDSSKKQFKFDHVFGPEDNQEAVFAQTKPIVASVLDGYNVCIFAYGQTGTGKTFTMEGSPENRGVNYRTLDELFRVSQERSGIMRYGLFVSMMEVYNEKIRDLLIDSSNQPPKKLEIKQTAEGTQEVPGLVETRVTGTEDVWDLLKSGSRARSVGSTSANELSSRSHCLLRVTVKGENLIDGQKTRSHLWMVDLAGSERVGKIDVEGERLKESQFINKSLSALGDVISALASKTGHIPYRNSKLTHMLQSSLGGDCKTLMFVQISPSATDLGETLCSLNFASRVRGIESGPARKQADLTELLKYKQMVEKLKHDEKETKKLQDSLQSLQLRLAAREHICRTLQEKVRELENQLGEERKTRLKQETRAFAAAASQSTKQVVEKRKVDKKPPLCPSKLRMPLRKITNFMPPPSPLQKQKTGSVLSSMHDKENNPRTTTAGANTKSLVKPRRMSVAVRPPPPMSAQVFRPKRRVSIATHRSEPTSNMTTPLQTSQYKNGNVVGRQTFVRDPRKPRNSKLFSPLPEFRTASETTPTVMRTSSKFMGSPPAQAGSWKPKHPTAVALQRKSLVWSPLKLRSFQNRRPSLLPYRPSSTNEVQ >Potri.005G030271.2.v4.1 pep chromosome:Pop_tri_v4:5:1958235:1962877:-1 gene:Potri.005G030271.v4.1 transcript:Potri.005G030271.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030271.v4.1 MNDLTVQMIEEICDRIKTVQISDSSPFLSSTTGETINSEEESVSNRIRQVSPSQGPTPPILQKIINLSDKIQNLKKEHSNLSNQVKTAKDSFLGPNILDTLQKLGNEYELLKKKYLQELSERKRLYNEVIELKGNIRVFCRCRPLNQVEITNGSNYVVEFDSSQDNELQIISSDSSKKQFKFDHVFGPEDNQEAVFAQTKPIVASVLDGYNVCIFAYGQTGTGKTFTMEGSPENRGVNYRTLDELFRVSQERSGIMRYGLFVSMMEVYNEKIRDLLIDSSNQPPKKLEIKQTAEGTQEVPGLVETRVTGTEDVWDLLKSGSRARSVGSTSANELSSRSHCLLRVTVKGENLIDGQKTRSHLWMVDLAGSERVGKIDVEGERLKESQFINKSLSALGDVISALASKTGHIPYRNSKLTHMLQSSLGGGDCKTLMFVQISPSATDLGETLCSLNFASRVRGIESGPARKQADLTELLKYKQMVEKLKHDEKETKKLQDSLQSLQLRLAAREHICRTLQEKVRELENQLGEERKTRLKQETRAFAAAASQSTKQVVEKRKVDKKPPLCPSKLRMPLRKITNFMPPPSPLQKQKTGSVLSSMHDKENNPRTTTAGANTKSLVKPRRMSVAVRPPPPMSAQVFRPKRRVSIATHRSEPTSNMTTPLQTSQYKNGNVVGRQTFVRDPRKPRNSKLFSPLPEFRTASETTPTVMRTSSKFMGSPPAQAGSWKPKHPTAVALQRKSLVWSPLKLRSFQNRRPSLLPYRPSSTNEVQ >Potri.014G145800.2.v4.1 pep chromosome:Pop_tri_v4:14:9962806:9966635:-1 gene:Potri.014G145800.v4.1 transcript:Potri.014G145800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G145800.v4.1 MLRSYLARGLRFIADDVLISRQGRSYSTGSGRRLEGKVALITGGASGIGKTTAHEFIKHGARVIIADVDSEIGPQAANELGPAAHFVQCDVTAEAQVEKAVGIALTNHGKLDIMYNNAGITGPSFPPSIADLDLDEFDKVMQINVRGMVAGIKHAARAMIPAGSGCILCTSSISGLMGGLGSHSYSASKSTIPGIVKSVASELCENGVRINCISPGPIPTTLSLAQIGLVYPGASQEQLIEIVNGLGKLKGAKCEEIDVAEAALYLASDEAKYITGHNLVVDGGLTCFKNLSLPSPREFV >Potri.015G106532.1.v4.1 pep chromosome:Pop_tri_v4:15:12509722:12511447:-1 gene:Potri.015G106532.v4.1 transcript:Potri.015G106532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106532.v4.1 MVQRLTYRKRHSYATKSNQHRVVKTPGGKLIYQTTKKRASGPKCPVTGKRIQGIPHLRPAEYKRSRLSRNRRTVNRAYGGVLSGSAVRERIIRAFLVEEQKIVKKVLKIQKSKEKQASKS >Potri.006G125032.1.v4.1 pep chromosome:Pop_tri_v4:6:9987685:9989539:-1 gene:Potri.006G125032.v4.1 transcript:Potri.006G125032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125032.v4.1 MKENWSCLQRLVLFFPGMAAADLEKKYTQPNMPLNIFSPNKFLAQSTSTSHHYSPISHSRSVHYPIRTPQLPQAPVHATTASAPTSQPLSSTTRKTKVSNSTTSFRPRQLQLSSPTSLGRQTSQIRRWINVMLQSQESLIEVNHGSSTWDCKSIFLYL >Potri.010G063400.2.v4.1 pep chromosome:Pop_tri_v4:10:9241335:9242118:-1 gene:Potri.010G063400.v4.1 transcript:Potri.010G063400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063400.v4.1 MKALMIQPDVFSVNKGTASASEILAGALKDNKRAVLYGEPTFWKGKIQSVFQLSDGSGLAVTVARYETPAHTASLSHILGVIPDHPLPKSFPKDEGGFCGCLQDSESTCYVNRGQLFARFLCFDIIFGLKTA >Potri.016G010800.1.v4.1 pep chromosome:Pop_tri_v4:16:504601:508151:-1 gene:Potri.016G010800.v4.1 transcript:Potri.016G010800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G010800.v4.1 MVSLEDSHSQHSTSSRFPLTSSPSSSKIIHRHVGRSMRTVRSTLFQTDSSFSNSAASTPFVSENLTESVIDMRLGELAATLSIHSNNISNIKNKTSSSSASPAKSAEEFLDISEAFSDFSGCSSDISGELQRLACLPSSPLHRDGELERKNPSTEIVAEPCHGFLQRESFSTEIIESISPEDLQPTVKICVDGLQSPSILVRRSAAEKLRFLAKNRADNRALIGESGAISALIPLLKQSDPWAQEHAVTALLNLSLYEENKKKITKSGAIKSLVYVLKTGTENAKQNAACALLSLALIEVNKSSIGACGAIPPLVSLLINGSNRGKKDALTTLYKICSIKQNKERAVIAGAVKPLVGMVVEAGAGMMAEKAMVVLSSLAAIQEGRDAIVEEGGIAALVEAIEDGSVKGKEFAVVTLLQLCSDSVRNRGLLVREGAIPPLVALSQNGSIRSKNKAERLLGYLREPRQEAASSSSP >Potri.010G216000.3.v4.1 pep chromosome:Pop_tri_v4:10:20300224:20304354:-1 gene:Potri.010G216000.v4.1 transcript:Potri.010G216000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216000.v4.1 MRFQKTVASGFPQIKNKAAPFLFQYQASRSFSSQLQSGQKRRSSFGIAFDIDGVILRGKDPTGGSPQALRRLYGDSGNLNVPFLFLTNGGGIPESRRASELSELLGVKILPSQVLQGHSPFKSFMERYENQLIVATGKGEPAVVMSEYGFKKVVSLDEYASCFENIDPLAQYKKWTTKQGLDRSSLTLNTVPRYDVSSETVKAVFVVSDPVDWGRDIQVLCDVLRCGGLPGQENGHQPQPPLYFAADDLEYQAAFPSNRLGMGAFRIALESVFNRIHYNPLEYVCFGKPDPFVFKNAEAMLKQLQPSYHSDNFKEPGDSGLRSFKTLYMIGDNPSVDVKGAQQAGHPWFSILTRTGVFRGKHNHAEFPADLASQTMKLSACCKLIFINMMGSHVSPLTNYPGY >Potri.010G216000.2.v4.1 pep chromosome:Pop_tri_v4:10:20299546:20304486:-1 gene:Potri.010G216000.v4.1 transcript:Potri.010G216000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216000.v4.1 MRFQKTVASGFPQIKNKAAPFLFQYQASRSFSSQLQSGQKRRSSFGIAFDIDGVILRGKDPTGGSPQALRRLYGDSGNLNVPFLFLTNGGGIPESRRASELSELLGVKILPSQVLQGHSPFKSFMERYENQLIVATGKGEPAVVMSEYGFKKVVSLDEYASCFENIDPLAQYKKWTTKQGLDRSSLTLNTVPRYDVSSETVKAVFVVSDPVDWGRDIQVLCDVLRCGGLPGQENGHQPQPPLYFAADDLEYQAAFPSNRLGMGAFRIALESVFNRIHYNPLEYVCFGKPDPFVFKNAEAMLKQLQPSYHSDNFKEPGDSGLRSFKTLYMIGDNPSVDVKGAQQAGHPWFSILTRTGVFRGKHNHAEFPADLVIDTVEEAVDYILERECIS >Potri.010G216000.4.v4.1 pep chromosome:Pop_tri_v4:10:20299546:20304486:-1 gene:Potri.010G216000.v4.1 transcript:Potri.010G216000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216000.v4.1 MRFQKTVASGFPQIKNKAAPFLFQYQASRSFSSQLQSGQKRRSSFGIAFDIDGVILRGKDPTGGSPQALRRLYGDSGNLNVPFLFLTNGGGIPESRRASELSELLGVKILPSQVLQGHSPFKSFMERYENQLIVATGKGEPAVVMSEYGFKKVVSLDEYASCFENIDPLAQYKKWTTKQGLDRSSLTLNTVPRYDVSSETVKAVFVVSDPVDWGRDIQVLCDVLRCGGLPGQENGHQPQPPLYFAADDLEYQAAFPSNRLGMGAFRIALESVFNRIHYNPLEYVCFGKPDPFVFKNAEAMLKQLQPSYHSDNFKEPGDSGLRSFKTLYMIGDNPSVDVKGAQQAGHPWFSILTRTGVFRGKHNHAEFPADLVIDTVFQELVYICLA >Potri.015G068100.1.v4.1 pep chromosome:Pop_tri_v4:15:9359766:9363167:1 gene:Potri.015G068100.v4.1 transcript:Potri.015G068100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068100.v4.1 MDPPLINETSFSAANPSSYTLTEIWPFPPPPPSSTRLGLRMDNLADPDGSLEESTLTDQSSGNGTRIRKTRDFSSEKDDSSKMVSTTTSANDLNDSNGKRRKISGSRSENNDSRAETEASSAANNKTAEQSSKPSEPPKQDYIHVRARRGQATDSHSLAERARREKISERMNMLQDLVPGCNKVIGKALVLDEIINYIQSLQCQVEFLSMKLEAVNSRMNTSPTTEHLHPKDLGAQPFVATGMISGPQPTREYVQGSQSEWLHMQVGGSFERAT >Potri.014G157000.1.v4.1 pep chromosome:Pop_tri_v4:14:11077825:11083711:-1 gene:Potri.014G157000.v4.1 transcript:Potri.014G157000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157000.v4.1 MIKQILGKLPRKPSRSSSNDSNNDGGLNAHSSLNSSHGANSINNSKPSSNSSKTSNPSLGASRLNNGILAPNSKMNQGKKSAPQLGPVMTSGVYEALPSFRDVPNSEKPNLFIKKVNMCCVVFDFSDPSKNLKEKDIKRQTLLELVDYISTVTSKFNEMAMQEITKMVAANLFRTLPSANYDNKILEMFDPEDEEPAMEPAWPHLQIVYEFLLRFVASSETDAKLAKRYIDHSFVLRLLDLFDSEDQREREYLKTILHRIYGKFMVHRPFIRKAINNIFYRFIFETERHNGIAEMLEILGSIINGFALPLKEEHKLFLVRALIPLHKPKCVSMYHQQLSYCITQFVEKDFKLADTVIRGLLKYWPITNSSKEVMFLGELEEVLEATQAAEFQRCMVPLFHQIGRCLNSSHFQVAERALFLWNNDHIRNLITQNRRVILPIIFPALERNTRGHWNQAVQSLTLNVRKIFSDADQELFDECLVKFEEDEVKQMETREKRELTWKRLEDLATSKAVSNEAVLVPSSVSSIAIATGTSPRTTAGG >Potri.008G088600.11.v4.1 pep chromosome:Pop_tri_v4:8:5541559:5546170:1 gene:Potri.008G088600.v4.1 transcript:Potri.008G088600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088600.v4.1 MDGQRNDHGKRSQHSQSDYGGGKRRNPGDDPSDQHTVTNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERVVTIFSSSEETNLFEDTGEYVCPAQDALFMVHDRVIAEDLNNAAAAEEEEEDRFGEVQQVTVRMLVPTDQIGCVIGKGGQVIQNIRSETRAQIRITKDEHLPPLALSTDELLLIHGEPSVVRKALYQVATRLHENPSRSQHLLLSSSANTYQSGGMFVTANAGAPLLGLYGNYKGSWSSSFYPDQRDEASAKDFSLRLVCPIANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGNDCIIFISAKEFFEDQSPTMNAALRMQPRCSEKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNLTRANIRIISEDNLPKVAGEDDEMVQITGSLEVASNALLQVILRLKANIFGRDGALTTFPPALPYIPVSLDTSDGPKYGSRDRQSRGRGYSSSSSGYGSHDVNPSDNYGSNGGPLIGGEGSYGAYGGFSSGRSSGAGLSGQNPVSQRKKHGY >Potri.008G088600.12.v4.1 pep chromosome:Pop_tri_v4:8:5540900:5544700:1 gene:Potri.008G088600.v4.1 transcript:Potri.008G088600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088600.v4.1 MDGQRNDHGKRSQHSQSDYGGGKRRNPGDDPSDQHTVTNEDTVYRYLCPLRKIGSIIGKGGEIAKQLRADSKSNIRISEAMPGYDERVVTIFSSSEETNLFEDTGEYVCPAQDALFMVHDRVIAEDLNNAAAAEEEEEDRFGEVQQVTVRMLVPTDQIGCVIGKGGQVIQNIRSETRAQIRITKDEHLPPLALSTDELLLIHGEPSVVRKALYQVATRLHENPSRSQHLLLSSSANTYQSGGMFVTANAGAPLLGLYGNYKGSWSSSFYPDQRDEASAKDFSLRLVCPIANIGGVIGKGGGIIKQIRQESRASIKVDSSGAEGNDCIIFISAKEFFEDQSPTMNAALRMQPRCSEKTEKESGDSVITTRLLVGRSQIGCLMGKGGAIISEMRNLTRANIRIISEDNLPKVAGEDDEMVQITGSLEVASNALLQVILRLKANIFGRDGALTTFPPALPYIPVSLDTSDGPKYGSRDRQSRGRGYSSSSSGYGSHDVNPSDNYGSNGGPLIGGEGSYGAYGGFSSGRSSGAGLSGQNPVSQRKKHGY >Potri.008G091900.3.v4.1 pep chromosome:Pop_tri_v4:8:5738083:5741496:-1 gene:Potri.008G091900.v4.1 transcript:Potri.008G091900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091900.v4.1 MADEKEHQQPKQQQPSSRPITITLPPRSFTETFLSSGPPGSMGFSPGPMTLLSGFFSDSDDCKSFSQLLAGATASPNFKPTDDKSSAGDFSRPGNLSIVPPSPMFTMPLGLCPVALPDSPGFELFSPEGFGMIHQQALAQVTAQAAQANSNMHVQQEYSTSAMSSTQFLTSINNSAAQQQQQQQMAGSVTDSRVTVQELSGIPHADRIRSESSSLAVDKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLDGQVTEIIYKGQHNHEPPQPNKRGKEGINGNSNSQGNFEMATLQSGYVRKTRDRKDQESSQATPEHVSGMSDSEEVSDTETGGRIDEDEPGHKRRITTEVRVTEPASSHRTVTESRIVVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRWFLNSIRL >Potri.008G091900.1.v4.1 pep chromosome:Pop_tri_v4:8:5738090:5741516:-1 gene:Potri.008G091900.v4.1 transcript:Potri.008G091900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091900.v4.1 MADEKEHQQPKQQQPSSRPITITLPPRSFTETFLSSGPPGSMGFSPGPMTLLSGFFSDSDDCKSFSQLLAGATASPNFKPTDDKSSAGDFSRPGNLSIVPPSPMFTMPLGLCPVALPDSPGFELFSPEGFGMIHQQALAQVTAQAAQANSNMHVQQEYSTSAMSSTQFLTSINNSAAQQQQQQQMAGSVTDSRVTVQELSGIPHADRIRSESSSLAVDKPTDDGYNWRKYGQKQVKGSEFPRSYYKCTHPNCPVKKKVERSLDGQVTEIIYKGQHNHEPPQPNKRGKEGINGNSNSQGNFEMATLQSGYVRKTRDRKDQESSQATPEHVSGMSDSEEVSDTETGGRIDEDEPGHKRRITTEVRVTEPASSHRTVTESRIVVQTTSEVDLLDDGYRWRKYGQKVVKGNPYPRSYYKCTTPGCKVRKHVERAAADPRAVITAYEGKHNHDVPAAKNSSHITVNSNASQLKPQTLEKRASNNSNNQQPIARLRLK >Potri.001G127400.1.v4.1 pep chromosome:Pop_tri_v4:1:10455105:10457083:-1 gene:Potri.001G127400.v4.1 transcript:Potri.001G127400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127400.v4.1 MKMAPPSSQVSFTVKRQEPRLILPAKPTPSEVKQLSDLDDQEGLRFHMPFIAFFRNTNKKGGNGTYPDPVKVIRDALAHALVCYYPLAGRLKEGDSRKLMVDCTGELGVLFIEADADITLEHLGDAIQPPCPYLEHFLYDIPGSSGIIGCPLMLIQVTRLTCGGFIVAARVNHTMTDALGIFYFLNTIGEIARGANEPSYRPVWKREILNARDPPRVTCVHHEYDTTVGTEATMDTHADLIDKSFFFGFQEIDCIRKHLPSHLQSSSTFEVLIACIWKCRTIAIEHNPNEMLRVSYMVGAGGKRGIKLPPGYYGNAYAMPSAISKAELVCKNPLGYALELVRKIKTQMSEEYMRSVADYMVIKGRPHYSTAGHFIVADSSRVPFREIDFGWGKPVYAGVAKAAPNTSFCVRFKKSTGEDGILIQMSLPLQAMDKFQKELAKFTGERPMHVSGDKKHTIFKSML >Potri.015G134550.1.v4.1 pep chromosome:Pop_tri_v4:15:14347068:14347995:1 gene:Potri.015G134550.v4.1 transcript:Potri.015G134550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G134550.v4.1 MLRWPYLCGLRCFTEGLLPVFVCWTLISSLLVLSPGPLAGSSHCLLFLPFIVVSSCALLSASDAFLACFLHVVVVGSLVWITFITVDSL >Potri.017G028800.2.v4.1 pep chromosome:Pop_tri_v4:17:1953493:1960163:1 gene:Potri.017G028800.v4.1 transcript:Potri.017G028800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028800.v4.1 MAISALGPATSLRLTPGSTLRLLSFYSKSNPSNLSGILKTITKPHAIPPKFHHLLQLSGLPCRRFFCSVISGALQSSESAKVEKKGEIGNRVGEFRRKLKIADIKGGPDEGLDRVGKTIIVMGWVRTLRVQSSVTFIEVNDGSCLSNLQCVMDLEAEGYDQVESGLVTTGASIWMQGTVVKSQGSKQKVELKVIKIVAVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPGSQEAANSPVDGIPKTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVRHILENCKEDMEFFNTWIEKGIIDRLSDVVEKDFVQLTYTDAIELLLKAKKKFEFPLEWGCDLQSEHERYITEEVFGGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLEYIEDRLDELKLNKESFWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >Potri.017G028800.6.v4.1 pep chromosome:Pop_tri_v4:17:1953567:1960192:1 gene:Potri.017G028800.v4.1 transcript:Potri.017G028800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028800.v4.1 MAISALGPATSLRLTPGSTLRLLSFYSKSNPSNLSGILKTITKPHAIPPKFHHLLQLSGLPCRRFFCSVISGALQSSESAKVEKKGEIGNRVGEFRRKLKIADIKGGPDEGLDRVGKTIIVMGWVRTLRVQSSVTFIEVNDGSCLSNLQCVMDLEAEGYDQVESGLVTTGASIWMQGTVVKSQGSKQKVELKVIKIVAVGKSDPSYPIQKKRVSREFLRTKAHLRPRTNTFGAVARVRNALAYATHKFFQENGFVWVSSPIITASDCEGAGEQFCVTTLIPGSQEAANSPVDGIPKTKDGLIDWSQDFFGKPAFLTVSGQLNAETYATALSDVYTFGPTFRAENSNTSRHLAEFWMIEPELAFADLNDDMACATAYLQYVVRHILENCKEDMEFFNTWIEKGIIDRLSDVVEKDFVQLTYTDAIELLLKAKKKFEFPLEWGCDLQSEHERYITEEVFGGCPVIIRDYPKDIKAFYMRQNDDGKTVAAMDMLVPRVGELIGGSQREERLEYIEDRLDELKLNKESFWWYLDLRRYGSVPHAGFGLGFERLVQFATGIDNIRDAIPFPRVPGSAEF >Potri.018G096500.1.v4.1 pep chromosome:Pop_tri_v4:18:11733951:11738960:1 gene:Potri.018G096500.v4.1 transcript:Potri.018G096500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096500.v4.1 MAIPKKKFTLPFFTLLLVNLWSNQMVIMAMESIPIGVVLDLNSTVGEMAESCMSMAVSDFYALNVDFKTRLALFTRDSSSDVVAATSSVLDLMKKQVHAIIGPQKSSQAKFVIELGGKAEVPIVSFSATSPTLSATQSKYFVRTVQDDSSQVKAIASIVQAYGWREIVLIYEDTEYGNGLVPLLLEAFQEIDTRVPYGSRIPLYFNDTQIMRELSKLKAMQKSIFLVHMSASLGSRLFLLAKDAGMMSEGYAWLVTAGLSTLLDPLGSEVMDSMRGVLGIKPHVPTSKKLESFKSRWRKNFTISKPQSKINELNLFGLWAYDTVWAIAMAVEKAGIVHSRYVKPNTSEGTVDIAALGKSETGPRLLSSILSTRFQGLSGDFHLAGGERVPSAFEILNLIGKAERVIGYWTPERGLSRNLYTNGKIASSTSKNRLKEPIWPGDTTQQPKRLRIGVPLKTGFNEFIEVEWNPEDDKPIVSGFTRDVFVSVVEALPFPLPYEFIPFVNKNKQSAGTYNDLLDQIKLKNFDAAVGDITIIANRSTYVDFTLPFSESGITMVVLTKRDERENMWIFLKPLSLELWLTTGIAFILTGLVVWVLEHRENKVFRGKPAQQLGTTLWFSFSTLFFAHREKVVNNWTRFVLIIWIFVVLIISQSYTASLASILTVKRLQPTFVDVKEIRKNGYFVGHQKDSFVKDFLVKQLNFNDTMLREYSTPEEYHDALSRGTHNGGVAAIFAEIPYIKLFLAKYCSKFQMVGPTYKTDGFGFAFPLGSPLVPYISRAILNVTQDKDKMDEIERRNFGGETTCSDQAAMVPSGGLGLPSFGGLFIITGVASMSALLIYVTKFLYIHWPASNTMDQERSFYLRVLELAKHFDKEDPSAHHLNGAGSRVHAVPSVEIVGASPDIDDARSHSRTSSEGSGDIIGDQDHDNHTPRNSAANPEPPHTP >Potri.017G153200.1.v4.1 pep chromosome:Pop_tri_v4:17:15072370:15073239:-1 gene:Potri.017G153200.v4.1 transcript:Potri.017G153200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153200.v4.1 MNYPMLLLCLLLLAFACTKQSIAAAEPVLDIDGEKLVAGTEYYILPVFRGRGGGITMASNKTSCPLAVVQDRLEVSKGLPLTFTPAADDKKGVILVSTDLNIKFLAKTTCPQSTVWKITKSSNSKVQWFVSTGGVEGNPGFNTVTNWFQIEKADDDYKIVFCPTKVCNCGVLCRDIGIYIEDNGTRTLSLSDALQPFKVQFKKALKKYS >Potri.014G165100.1.v4.1 pep chromosome:Pop_tri_v4:14:11957381:11959576:-1 gene:Potri.014G165100.v4.1 transcript:Potri.014G165100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G165100.v4.1 MATSAIQQSAFAGQTALKQSNELVRKVGSSGDGRITMRRTVKSAPQSIWYGPDRPKYLGPFSEQTPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPEILAKNGVKFGESVWFKAGSQIFSEGGLDYLGNPNLIHAQSILAIWASQVVLMGFVEGYRVGGGPLGEGLDPIYPGGAFDPLGLADDPDAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPIENLLDHLADPVANNAWAYATNFVPGK >Potri.005G141700.6.v4.1 pep chromosome:Pop_tri_v4:5:11344843:11345772:-1 gene:Potri.005G141700.v4.1 transcript:Potri.005G141700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141700.v4.1 METGQPSGTLSGDDLSSLSSTPATTISRWSFAVSRRYQHFLDKTVPHILYRWISCLVVVLIYAIRVYLVQGFYIVTYGLGIYLLNLLIGFLSPQIDPEIHDGPTLPTRGSDEFRPFVRRLPEFKFWYSITKACCIAFVMTFFFVFDVPVFWPILLIYWVMLFLLTMRRQISHMIKYRYVPFSTGKQRYDGKKGPSTETADLSKE >Potri.005G141700.5.v4.1 pep chromosome:Pop_tri_v4:5:11344536:11345845:-1 gene:Potri.005G141700.v4.1 transcript:Potri.005G141700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G141700.v4.1 METGQPSGTLSGDDLSSLSSTPATTISRWSFAVSRRYQHFLDKTVPHILYRWISCLVVVLIYAIRVYLVQGFYIVTYGLGIYLLNLLIGFLSPQIDPEIHDGPTLPTRGSDEFRPFVRRLPEFKFWYSITKACCIAFVMTFFFVFDVPVFWPILLIYWVMLFLLTMRRQISHMIKYRYVPFSTGKQRYDGKKGPSTETADLSKE >Potri.019G038310.1.v4.1 pep chromosome:Pop_tri_v4:19:5295740:5295931:1 gene:Potri.019G038310.v4.1 transcript:Potri.019G038310.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038310.v4.1 MLLSLISCMLLLWADPKSMWASPSAMFMSLGSLNLCSKHQWIVAHMKDRCPDLSFSSFLAMVR >Potri.003G010200.1.v4.1 pep chromosome:Pop_tri_v4:3:960278:961097:1 gene:Potri.003G010200.v4.1 transcript:Potri.003G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010200.v4.1 MGVFTFVCRSSSNEWSAKQISDGNIEASASSTFELQRKLVQSALSADSSGAVQSSFSYVTPSSAVFQVVIGGSAGGAFFGGGGGGAVAAPAGGATAAAEAPAAEEKKKEEEPESDDDMGFSLFD >Potri.006G129000.2.v4.1 pep chromosome:Pop_tri_v4:6:10464250:10469213:1 gene:Potri.006G129000.v4.1 transcript:Potri.006G129000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129000.v4.1 MGNEEVSPTKEGENFSNPDDPPIPKVGRSTEITEDNPEGQHQRHRELSLEIQSNSLEDARFDFVTIQTPPIPSSTPKRVIFSPMPSPSFAQMNEPPDPLSSKIKSNIRSLLPKLSFKYRNSTLDIEKAAAMLAQGGSSETTKQKPFISRTLSLTKLFTLRTTRTSSLPVTPIAHSNPESMHGGSMINPPSSVKRPIHRSHSVPDFRKDGSIRKLDSLGGLFRVVPSTPRVAEEAVSIMTTSNASPRNDTDGNDDGGEDIPEEEAVCRICLIVLGEGSDTLKMECSCKGELALAHQECAVKWFSVKGNRTCEVCKQDVLNLPVTLLRVQNSQAVGSQGQTQHSEVPQHRVWQDVPVLVIVSMLAYFCFLEQLLVGKMGSGAIAVSLPFSCILGLLASMTSTTMVRRRYVWVYATIQFGLVVLPAHLLYSLLHIQAVLCVLLATFTGFGATMFGKYVIVEIMRWRRRWIDQPNEQRGSQDLAQPHQQPTVVDETVTNPNHLPS >Potri.019G107500.1.v4.1 pep chromosome:Pop_tri_v4:19:14185920:14186906:-1 gene:Potri.019G107500.v4.1 transcript:Potri.019G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G107500.v4.1 MASIIEMRVHIDCAGCESKVKNALEKVKGIDDIDIDMGLQKVTVTGWADQKKVLKTVRKTGRRAELWQLPYNPQHHSYSDHSYNQHQVNGPLTYYAPQPSSSYNYYKHGYDSNDHGYYHHPVHSSIFNHQTGAVFSDENPHGCSIM >Potri.004G215100.3.v4.1 pep chromosome:Pop_tri_v4:4:22122077:22124294:-1 gene:Potri.004G215100.v4.1 transcript:Potri.004G215100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G215100.v4.1 MGRAPCCDKANVKKGPWSPEEDSKLKEYIEKYGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNLKHGEFSDEEDRVICSLFASIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMAMAPQSQRKPPTFQSPHQTPPLSHSLPSMYKDSGGSFSYYSQNRSFTGFEPISQIPSSLLSTNSVSLATNSLLFQTTQEGLFSPMQYYHPVKDNYMVFGSEASCSSNSDGSCSLISYGREIKQEDMGFHSLMSSNGYGDQNQKVMLGSGNNGVEILNQWVEKPNGNIGETPSDYDFIEDVKQQVSSSGNHNDVNGSNNNDNLLIDENKTPETVMYHYYY >Potri.001G387801.1.v4.1 pep chromosome:Pop_tri_v4:1:40700409:40701545:-1 gene:Potri.001G387801.v4.1 transcript:Potri.001G387801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387801.v4.1 MLLDPLPSLNRVFSMIQQQERQHLMIPSIKSPDLMAMMAKPFFNSSKNFSKATSQKTNRPYCSYCKLPVHSLENCFKVGNADPPQCTHCNMTGHIAERCYKLHGYPPGHKLHGKTKGIAATITQSRALSDGDHEEDSTESMMFTRSQYQQLLSLLHSKETSSAMASLSVTQPSSSSPTPHVNNSRVSGLSIMDNDWDG >Potri.016G132101.1.v4.1 pep chromosome:Pop_tri_v4:16:13635429:13635755:1 gene:Potri.016G132101.v4.1 transcript:Potri.016G132101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G132101.v4.1 MCGLNLKSTLFRSYSSRSFCVFWAQFQPFNFSLYKLQKKPVFFVYMINSFEFHKNMSIKYSLSLIFHSFIIYFNNCHLKKICTLQLIIGDTFPIQKFSWVDKLIENLN >Potri.006G232300.3.v4.1 pep chromosome:Pop_tri_v4:6:23511563:23516657:-1 gene:Potri.006G232300.v4.1 transcript:Potri.006G232300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232300.v4.1 MQATSGAAVMGSLQQPVLSKGPAFPMKRSVIIGFPYQVKLNSVKPCRASSLEGSLVTGRPPSSVSVPIPETGADISSFKDYGLGEADPEVLEIINKEKDRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELETLCQKRALASFNLDGKKWGVNVQPLSGSPANFEVYTALLKPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTAILFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFEYCDVVTTTTHKSLRGPRGGMIFFKKDPVLGVDMESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQKQVICNSRALANRMVELGYKLVSGGSDNHLILVDLRPLGLDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTETEFVATADLIHEGVQISLEAKKSVSGSKLQDFLKFVKSPDFPLTDKVSDLQRRVEALTTQFPIPGL >Potri.006G232300.6.v4.1 pep chromosome:Pop_tri_v4:6:23511824:23516525:-1 gene:Potri.006G232300.v4.1 transcript:Potri.006G232300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232300.v4.1 MQATSGAAVMGSLQQPVLSKGPAFPMKRSVIIGFPYQVKLNSVKPCRASSLEGSLVTGRPPSSVSVPIPETGADISSFKDYGLGEADPEVLEIINKEKDRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELETLCQKRALASFNLDGKKWGVNVQPLSGSPANFEVYTALLKPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTAILFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFEYCDVVTTTTHKSLRGPRGGMIFFKKDPVLGVDMESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQKQVICNSRALANRMVELGYKLVSGGSDNHLILVDLRPLGLDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTETEFVATADLIHEGVQISLEAKKSVSGSKLQDFLKFVKSPDFPLTDKVSDLQRRVEALTTQFPIPGL >Potri.006G232300.5.v4.1 pep chromosome:Pop_tri_v4:6:23511939:23516631:-1 gene:Potri.006G232300.v4.1 transcript:Potri.006G232300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232300.v4.1 MQATSGAAVMGSLQQPVLSKGPAFPMKRSVIIGFPYQVKLNSVKPCRASSLEGSLVTGRPPSSVSVPIPETGADISSFKDYGLGEADPEVLEIINKEKDRQFKSLELIASENFTSRAVMEAVGSCLTNKYSEGLPGKRYYGGNEYIDELETLCQKRALASFNLDGKKWGVNVQPLSGSPANFEVYTALLKPHDRIMGLDLPHGGHLSHGFMTPKRRVSGTSIYFESMPYRLDESTGLVDYDMLEKTAILFRPKLIIAGASAYPRDFDYPRMRKIADAVGAFLMMDMAHISGLVAASVVADPFEYCDVVTTTTHKSLRGPRGGMIFFKKDPVLGVDMESAINNAVFPGLQGGPHNHTIGGLAVCLKHAQSPEFKAYQKQVICNSRALANRMVELGYKLVSGGSDNHLILVDLRPLGLDGARVEKILDMASITLNKNSVPGDKSALVPGGIRIGSPAMTTRGFTETEFVATADLIHEGVQISLEAKKSVSGSKLQDFLKFVKSPDFPLTDKVSDLQRRVEALTTQFPIPGL >Potri.017G047300.1.v4.1 pep chromosome:Pop_tri_v4:17:3357934:3359469:1 gene:Potri.017G047300.v4.1 transcript:Potri.017G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047300.v4.1 MVRKSKGRQKLEMVKIPNESNLMVTFSKRRSGLFKKASELCTLCGAEVSIIVFSPGKKVFSFGHPSVEKVMERYLSGNIPQTSGAFHLIEAHRNARVHELNMQLTQVANQLEVEKKRGEELDRMRKASQSRNWWEKPLQELDLAQLQQLRAALQDLKQDVTRHAKQILIQNSAPQPFIAANPSTSGNHLFDTRNTGFISNMTVPPFNTNMSGNPFNTNMTASPFNASSAMPPFGYSLGYGTSFF >Potri.001G377500.3.v4.1 pep chromosome:Pop_tri_v4:1:39705936:39713559:1 gene:Potri.001G377500.v4.1 transcript:Potri.001G377500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377500.v4.1 MAAVAEITSEAAVASNNTTTAANKNDNNNNLDSETKPASESEFTVQKLVDMFTKLNPLAKEFFPSSYSKNNPNELHFNNFAVPVKQSANDNFPKRKRNNFNQGRRKLNGRAYRAQQEDSIRRTVYVSDIDQHVTEERLAGLFSGCGQVVDCRVCGDPHSVLRFAFVEFADEQGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQVEVKNFFESICGEVTRLRLLGDQVHSTRIAFVEFAMVSYDVDVIENS >Potri.001G377500.1.v4.1 pep chromosome:Pop_tri_v4:1:39705804:39714392:1 gene:Potri.001G377500.v4.1 transcript:Potri.001G377500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G377500.v4.1 MAAVAEITSEAAVASNNTTTAANKNDNNNNLDSETKPASESEFTVQKLVDMFTKLNPLAKEFFPSSYSKNNPNELHFNNFAVPVKQSANDNFPKRKRNNFNQGRRKLNGRAYRAQQEDSIRRTVYVSDIDQHVTEERLAGLFSGCGQVVDCRVCGDPHSVLRFAFVEFADEQGARAALNLGGTMLGYYPVRVLPSKTAILPVNPTFLPRSEDEREMCTRTVYCTNIDKKVSQVEVKNFFESICGEVTRLRLLGDQVHSTRIAFVEFAMAESAIVALNCSGMALGSQPVRVSPSKTPVRPRVTRPAMH >Potri.006G024250.2.v4.1 pep chromosome:Pop_tri_v4:6:1636996:1639110:1 gene:Potri.006G024250.v4.1 transcript:Potri.006G024250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024250.v4.1 MASDKSENCLGWAARDESGVLSPYEFNRRAVGKDDISVRITHCGMCYADVLYTRGKSRKSLYPVVPGHEIVGIVQEAGSDVHRFKIGDHVGVGTYVNSCRDCEYCDDGLEVHCADGIVTTFNGVDVDGTITRGGYSSFIVVHERYCYNIPDSYPLALAAPLLCAGITVYTPMIRHKMNQPGKSLGVIGLGGLGHLAVKFGKAFGLYVTVFSTSMSKKEEALTILGADNFVVSSDKEQMKTLDKSLDFIIDTASGDHIFDPYISTLKTAGVLVLVGAPNEIKLSPLNLLLGMITISGSVVGGTKRTQEMLDFCGTHKIHPEIEIIPIQSVNEALERLIKNDVKYRFVIDIENSLE >Potri.002G056800.1.v4.1 pep chromosome:Pop_tri_v4:2:3870532:3873815:-1 gene:Potri.002G056800.v4.1 transcript:Potri.002G056800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G056800.v4.1 MSGALLWVICPKENAGFAATTSYSLVPKIRAQVRSHNSKGCSRLNFSSGVFAAASLSSAVANPPRSSVEKVYEVVLKQAALVKEQKKERALDVRKEKGQTDSMTRGDLLNGAYNRCGEVCAEYAKTFYLGTLLMTPERRRAVWAIYVWCRRTDELVDGPNASHITPKALDRWEQRLNELFEGRPYDMYDAALSNTVSKYPVDIQPFKDMIEGMRMDLKKSRYKDFDELYLYCYYVAGTVGLMSVPVMGIAPESKASTESVYNAALALGIANQLTNILRDVGEDARRGRIYLPQDELAQAGLSDEDIFNGKVTDKWRSFMKVQIKRARMFFDEAEKGVAELNDASRWPVWASLLLYKQILDSIEANDYDNFSKRAYVGKTKKFASLPVAYGRALMGGSSKFAKPASS >Potri.004G012400.1.v4.1 pep chromosome:Pop_tri_v4:4:755232:757572:-1 gene:Potri.004G012400.v4.1 transcript:Potri.004G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012400.v4.1 MFRLLCKSIVIGSSVRASSVHYFLENPSILSCLRYISSVNTDDNIKEHSFTVSYLMNKCGFSLKSALEVSKQVHFETPDKPDSVLAVFKNCGFSKSHILNLVRRRPAVLLSKPNTTLLPKLEFFQSKGFSSPDGIKIISSYPWVFKYSLENQLVPAFDFLENSLQSDAVAIKAIKRFPRILNVTVENMARVVDVLLDNGVPEKNIALLIRSRPSIMVSNLENLKKLIEEVTLMGFHPSKSQFVVAIRVLTSVTRTTWEKKLDVHRKWGLSEEEILEAFVKFPWFMSLSEEKIMAVMDLFVNNLGWESSYIAKNPTFSSYSLEKRLIPRALVLQFLVSKGLVEKSFRSLAFFNTPEDKFRQMFIDHHADSTQILKFYEEKLNLSSVVNSSTF >Potri.010G089300.2.v4.1 pep chromosome:Pop_tri_v4:10:11433115:11435656:1 gene:Potri.010G089300.v4.1 transcript:Potri.010G089300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G089300.v4.1 MDYCSKSSCNPSSPFDCLLFDLDDTLYSSKLGIAEALRKNIDEFLVEKCGFPENKAPSHRVELFKSYGSSLAGLRALGYDIDADDYHSFVHGRLPYDLIKPDSQLRNLLRSITQRKIIFTNSDRNHAIMALKRLGIEDCFDQIICFETMNPNLSKSTSPDEFPVLLKPSVDAMKVALRVADVDPRRTLFLDDNVRNVAAGKALGLRTALVGKTVTSKEADYVLEHIHNLAEVIPEIWVGGTESGDRRISRPRSEMDAILSPTTVGA >Potri.008G150500.1.v4.1 pep chromosome:Pop_tri_v4:8:10293350:10300555:1 gene:Potri.008G150500.v4.1 transcript:Potri.008G150500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150500.v4.1 MSFARNHRSHGGTGHDDRWNSLNGYNFNHGNRNIDANRNTNYSYNWNHSNIRDYSSGKFRDHVNGYANPPSGAPAFKRRKFSADTWGDGGRHYPQYNAYEYADLSYNNSVPLPTRSNDEVSTSISCKRDCSKLEEDEPVFLSKDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTIGTGMVLCHRFFVRRSHACHDRYLIAVAALFLAAKSEETPRPLNNVVRVSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFEINVQHPYGPLTTILDKLGLSQTVLVNLAQNLVGEGLRSSLWLQFKPHHIAAGAAFLAAKFLNFDLAFYQNIWREFETTPAILQDVSQQLMELFQ >Potri.008G150500.3.v4.1 pep chromosome:Pop_tri_v4:8:10293397:10300555:1 gene:Potri.008G150500.v4.1 transcript:Potri.008G150500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150500.v4.1 MSFARNHRSHGGTGHDDRWNSLNGYNFNHGNRNIDANRNTNYSYNWNHSNIRDYSSGKFRDHVNGYANPPSGAPAFKRRKFSADTWGDGGRHYPQYNAYEYADLSYNNSVPLPTRSNDEVSTSISCKRDCSKLEEDEPVFLSKDEIERHSPSRKDGIDALRETHLRYSYCAFLQNLGLRLELPQTTIGTGMVLCHRFFVRRSHACHDRYLIAVAALFLAAKSEETPRPLNNVVRVSCEIFHKQDITFLSYLLPVDWFEQYRERVIEAEQMILTTLNFEINVQHPYGPLTTILDKLGLSQTVLVNLAQNLVGEGVYTRLTCSPV >Potri.010G012100.1.v4.1 pep chromosome:Pop_tri_v4:10:2234294:2235452:-1 gene:Potri.010G012100.v4.1 transcript:Potri.010G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G012100.v4.1 MARSLPNAKLLVASLADGLSLSVFRRGYAAAAPISAAVTASFGRGGSRTSAMTGKMEDGAVAKEDSEAYSAWAPDPVTGYYRPANYVAEIDPAELREMVLSHRVRPQ >Potri.010G012100.4.v4.1 pep chromosome:Pop_tri_v4:10:2234639:2235378:-1 gene:Potri.010G012100.v4.1 transcript:Potri.010G012100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G012100.v4.1 MSLSVYRRGYAAAAPISAAVTASFGRGGSRTSAMTGKMEDGAVAKEDSEAYSAWAPDPVTGYYRPANYVAEIDPAELREMVLSHRVRPQ >Potri.003G101600.2.v4.1 pep chromosome:Pop_tri_v4:3:12638178:12642834:-1 gene:Potri.003G101600.v4.1 transcript:Potri.003G101600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101600.v4.1 MERYKLIKEVGDGTFGSVWRAINKQSGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLREVIRENDILYFVFEYMECNLYQLTKDREKLFSEAEVRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVSKSIIKIADFGLAREVNSQPPYTEYVSTRWYRAPEVLLQSYLYSSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVIGSPTTDTWADGLNLARAINYQFPQFAGVHLPTLIPSASEDAINLIKSLCSWDPCTRPSAADALQHPFFQSCFYVPPSLRPRAAITRTPASAGTKGGLEQQCGRNLPGALSNSKLTNSFPSPKLHASLSTGVQRKLDMVNQDSRKPDKSLKSSTKPRYQPPGRKSPTFMSKGRVARGASETADKFASMTIASRRQSLGQQPKPPTMKAGVQWTGESGGMFLRPTQQFPHGRNYPRKVVG >Potri.003G101600.1.v4.1 pep chromosome:Pop_tri_v4:3:12637858:12644343:-1 gene:Potri.003G101600.v4.1 transcript:Potri.003G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G101600.v4.1 MERYKLIKEVGDGTFGSVWRAINKQSGEVVAIKKMKKKYYSWEECVNLREVKSLRKMNHPNIVKLREVIRENDILYFVFEYMECNLYQLTKDREKLFSEAEVRNWCFQVFQGLAYMHQRGYFHRDLKPENLLVSKSIIKIADFGLAREVNSQPPYTEYVSTRWYRAPEVLLQSYLYSSKVDMWAMGAIMAELFTLRPLFPGTSEADEIYKICSVIGSPTTDTWADGLNLARAINYQFPQFAGVHLPTLIPSASEDAINLIKSLCSWDPCTRPSAADALQHPFFQSCFYVPPSLRPRAAITRTPASAGTKGGLEQQCGRNLPGALSNSKLTNSFPSPKLHASLSTGVQRKLDMVNQDSRKPDKSLKSSTKPRYQPPGRKSPTFMSKGRVARGASETADKFASMTIASRRQSLGQQPKPPTMKAGVQWTGESGGMFLRPTQQFPHGRNYPRKVVG >Potri.009G122300.1.v4.1 pep chromosome:Pop_tri_v4:9:10231422:10236379:1 gene:Potri.009G122300.v4.1 transcript:Potri.009G122300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G122300.v4.1 MTALVHHPTLSFLSPFSQSQQHGHCSSRKLLVTRKDQLYKTVGKPRPIMALASNKESGILVGERLYLGMDFGTSGARYALIDKQGIIHSEGKREYPVFMSEEKMDWVRSWRAALFSLLEDVPVHLRPLVASISIDGTSATTLIVDRNTGEPLWRPFLYNESCPDALPTVKSVAPANHTVCSGSSTLCKLVSWWNIEESNKKSALLLHQADWLLWLLHGKLGVSDYNNALKVGYDPASDSYPPWLHSQPYSQLLPSVIAPGTSIGNLKEDIRTQFGFHEDCIVCAGTTDSIAAFLAARATQPGKAVTSLGSTLAIKLLSTTRIDDARFGVYSHRLDDKWLVGGASNTGGAVLKQFFTDEQLQKLSEQINPMEASPLDYYPLKAVGERFPVADPNLVPRLHPRPESDVEYLHGILESIAHIEATAYNLLKDLGATQVEEVLTAGGGAKNEKWTKIRERVLGLPVSRAKQTEAAYGAALLALKGAQHHSC >Potri.002G068366.1.v4.1 pep chromosome:Pop_tri_v4:2:4737052:4737192:-1 gene:Potri.002G068366.v4.1 transcript:Potri.002G068366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G068366.v4.1 MFLKNRSSSPPLFQHGKASIGDLIYRYISSDQLYPECLLDWLDLSF >Potri.017G075800.1.v4.1 pep chromosome:Pop_tri_v4:17:8393930:8398548:-1 gene:Potri.017G075800.v4.1 transcript:Potri.017G075800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G075800.v4.1 MDGIPSIIFLFCNMLLLTRYGSSYPLCTDLRSPFIPKSPLAFCQYSGSVCCNSTEDVELHKQFKSLNVSDYGCASLLKSTLCSRCDPFSAELYRIVSAPRLVPVLCNSTVSVNSSQSQLAATDFCSRVWDECHNLSISNSPFAIDKGGSVVNSSSKLTELWESKGAFCNEFGGASDDGSVCFDGGPVSLNSSESPSLPSGICLEKIANGSYLNMVAHPDGSNRVFLANQPGKIWLATVPAEGSGETLGLDESNPFLDLTDEVYYDTALGMMGIAFHPNFHQNGRFFASFNCDKVKWPECSGRCSCNSDMGCDPSKLPSENGAQPCQYHSIIAEFSANGTSSQPSLATSVQPQEVRRIFTMGLPFTAHHGGQILFGPEDGYLYFMMGDGGSIGDPYNFSQNKKSLLGKIMRLDIDNIPSGQKITDLGLWGNYSVPGDNAFSEDKGLEPEIWALGLRNPWRCSFDAERPSYFLCADVGQDQYEEVDLITKGGNYGWRVYEGQFLYHPPTAPGGNTSVSSINPIFPVMGYNHSEVNNEEGSASITGGYFYRSMTDPCMYGRYLFSDLYAGAIWAGTENPKDSGNFTSTKLPVSCAHDTPIPCTTAAGSSFPSLGFIFSFGQDNRKDTFILASSGVYRIARPSRCSYVCSMENVTAPVPSSPSPSPSAGKRLSKPLTVLLNILFSASLLILSNFQHI >Potri.007G049600.1.v4.1 pep chromosome:Pop_tri_v4:7:4692724:4694133:1 gene:Potri.007G049600.v4.1 transcript:Potri.007G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G049600.v4.1 MGVEDQVHVLVVTMAWQGHINPMLKLAKRLVSKGVHVTIATTEGTRYLATQKPNIPTSFTTAENTTVRTPQISLEFFSDGLDLEFDRLKYFDSYIESLETIGYINLSNLIQDFTNDGKKFSCIISNPFMPWVPKIATKYGIPCAVLWIQACTVYSIYYHYFKNPNSFPTLISPHDQFIELPGMPKLQVKDFPSFILPSCSHPIQKLVSSFIQNLDEVKWVLGNSFDELEEEVIKSMASLHPICPIGPLVSSSLLGQEESINGSVDMWIPEDSCIEWLDKKPPSSVVYISFGSVASFSQKQIDNIAMGLKNSNRPFLWVIKPPENTGGELSYDFLKETEGRGLVVAWCPQEKVLMHQAVACFITHCGWNSTLETMVAGVPVIAYPDWTDQPTVAKLVTSMFNVGVRLEVENGVASSEEIERCIMEVTDGPEAAKIQKRALELKEAAKKAVADGGSSDANIDQFIREFIEK >Potri.018G132400.2.v4.1 pep chromosome:Pop_tri_v4:18:14206326:14207238:1 gene:Potri.018G132400.v4.1 transcript:Potri.018G132400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132400.v4.1 MKVNKICQIVRFKLFMHRWKLRSLGNKKSSHQESGSLTKKTPPAGYLAVYVGMQEKRFLIPTRFLNLPVFVGLLKKTEEEFGFQCNGGLVLLCEVEFFEEVLRLLEKDETRFGKFGLEDFFKIVSCEVGFDSCKETTSSTSHVFTPLLEKARV >Potri.001G187700.1.v4.1 pep chromosome:Pop_tri_v4:1:16812103:16813720:-1 gene:Potri.001G187700.v4.1 transcript:Potri.001G187700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G187700.v4.1 MKITKMASLAVLFCTCFVIATGLGNAEKVFKVGDEFGWQEPGQNSSAVYTQWATRNRFQVGDSLSFEYNNDSVIEVDKWGYYHCDGSKPIVAFNNGHGVFKLDRPGPFYFISGTPNHCMGGQRLLIEVMGLHHHSPLTATPPAGQLAPSPQPSSGVFVSVTLGSLSTLLMGTLIALLWCLP >Potri.010G185900.1.v4.1 pep chromosome:Pop_tri_v4:10:18299407:18301957:-1 gene:Potri.010G185900.v4.1 transcript:Potri.010G185900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185900.v4.1 MNVALPPIMISSVFLPTGSLVESLIHISNEVASVEKLPFVQFRNISTMIRRIKLLSSLFEDIRETNSPLPPSSILCLTELFSVIQRVKLLIQGCKDGSSLWGLIQTQFLSNQFHVLVKEMGGALDILPLSLLNLSADTREQVELLHRQAKRFDLLVDPRELQRREELLQIMTRNNQKNSGNKGFDDFVKLKEVLSCIGLRSPLEYEEEISKLEAEAEKQAGTGGLIVVSNINNIISLVTSSKSVIFVDRDKEEIKENFKQRSAFMNRNQDVSSSSQSILSNIPDEFRCPISLDLMKDPVIVASGHTYDRNSIAQWINSGHQTCPKSGQRLIHMALIPNYALKSMVHQWCQDNNVPLIDDSSSSFSKSESSSGKSKLSEKAIDHISATKAAMDAVKMTAEFLVGKIAMGSPEIQRQATYELRLLAKTGMGNRRIIAEAGAIPFLVTLLSSTDPKTQENAVTAMLNLSILENNKTLIMSAGSIDSIIDVLESGKTMEARENAAATIFSLSIINDCKVTIGTRPRAFSALVGLLREGTSAGKKDAASALFNLSVYEANKASVVVAGAVPLLVEMLMDDKAGITDDALALLALLLGCSEGLEEIRKSKVLVPLLIDLLRFGSTKGKENSITLLLGLCKDGGEEVARRLLINPRSIPSLQSLSADGSLKARRKADALLRLLNRCCSQSHNPVG >Potri.005G001300.1.v4.1 pep chromosome:Pop_tri_v4:5:164371:165730:-1 gene:Potri.005G001300.v4.1 transcript:Potri.005G001300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G001300.v4.1 MAANKVAYLSLLYMTLGLVLVATVSADPDLLQDVCVADLSSGVKVNGFTCKENISAEDFFFAGLAKPGLTNNTFGSLVTAANVQKIPGLNTLGVSMSRIDYAPGGLNPPHTHPRATEMVFVLEGQLDVGFITTANVLISKTIKVGETFVFPKGLVHFQKNNGQVSAAVIAAFNSQLPGTQSIAATLFAAQPPVPDHVLTKAFQVGTKEVRKIKSRFAPKN >Potri.010G080600.1.v4.1 pep chromosome:Pop_tri_v4:10:10802638:10805010:-1 gene:Potri.010G080600.v4.1 transcript:Potri.010G080600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080600.v4.1 MPFPMKIQPIDIDSQARVRAEPAKPVLKSRLKRLFDRQLPNVLRISSVDKAPGSEAPCGNNSKDDQFEPSSVCLAKMVQSYMEESNDKPFRGRHRCNCFNGTGNDSSDDEFDVFGNGFGESMGTAPSGDACDFLKSLIPCASVAERNLLADTAMILEKNKNHKQKDGFLRKIVTDGLASLGYNSSICKSKWDKSPSFPAGEYEYVDVIVEGERLLIDIDFKSEFEIARSTGAYKAILQSLPYIFVGKSERLGQIVSIVSEAAKQSLKKKGMHFPPWRKAEYMRAKWLSPCTRLHENVSNNNSNETEELKEEFPESDDCCGEFELIFGENSTLNSESSISSPEKSSGEDEKVKVRTVAWQPPAVKSKGVERGARMVTGLASLLKEKP >Potri.010G080600.2.v4.1 pep chromosome:Pop_tri_v4:10:10802811:10805010:-1 gene:Potri.010G080600.v4.1 transcript:Potri.010G080600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G080600.v4.1 MPFPMKIQPIDIDSQARVRAEPAKPVLKSRLKRLFDRQLPNVLRISSVDKAPGSEAPCGNNSKDDQFEPSSVCLAKMVQSYMEESNDKPFRGRHRCNCFNGTGNDSSDDEFDVFGNGFGESMGTAPSGDACDFLKSLIPCASVAERNLLADTAMILEKNKNHKQKDGFLRKIVTDGLASLGYNSSICKSKWDKSPSFPAGTCEYEYVDVIVEGERLLIDIDFKSEFEIARSTGAYKAILQSLPYIFVGKSERLGQIVSIVSEAAKQSLKKKGMHFPPWRKAEYMRAKWLSPCTRLHENVSNNNSNETEELKEEFPESDDCCGEFELIFGENSTLNSESSISSPEKSSGEDEKVKVRTVAWQPPAVKSKGVERGARMVTGLASLLKEKP >Potri.004G001800.1.v4.1 pep chromosome:Pop_tri_v4:4:129697:130782:1 gene:Potri.004G001800.v4.1 transcript:Potri.004G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G001800.v4.1 MKKLYRKGKVHPSTPVISDHLSFLPVTILALTAALSPEDREVLAYLISCSGNNNIFYSNWSNINSRKSSCNQKTTSYTKSSSSSSSHDHPPMFNCDCFRCYMSYWIRWDSSPNRQLIHEIIDAFEDWLLKQGKSSATSGNKNRKDRKRKGNSRGSGELIKRPELRMKDKQLDESDSLVDGNSSGSGGSEGVGGGGEEGTEKGSVRRLVSFIGERIWGVLG >Potri.006G208900.7.v4.1 pep chromosome:Pop_tri_v4:6:21675469:21687813:1 gene:Potri.006G208900.v4.1 transcript:Potri.006G208900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208900.v4.1 MAWTENDAGNVREKEPTGDNGFLKGSQPSPDPSGSRVGSSPAQKGFDGKDALSYANILRSRNKFADALALYESALENDSGNVEAYIGKGICLQMQNMERLAFDSFAEAIKLDPENACALTHCGILYKDEGRLLEAAESYHKALKADPSYKPASECLAIVLTDLGTSLKLSGNTQEGIQKYYDALKVDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAAIERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVTYYKKALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDVGNITMAISAYEQCLEIDPDSRNAGQNRLLAMNYINEGHDDKLFQAHREWGRRFMRLYPQYTSWDNPKVPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYMVVVYSAVVKSDAKTNRFREKVLKKGGMWRDIYGIDEKKVASMIREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSFTDPPHTKQKHVEELVRLPECFLCYIPSPEAGPVTPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFGCDSVRQRFLTVLEQLGLEPLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCITMAGAVHAHNVGVSLLSKVGLGHLVAKNEEEYVQLALQLASDISALSNLRMSLRELMSKSPVCDGPNFTLGLETTYRNMWHRYCKGDVPSLRRIELLQQQGIPEDVPIKNSDSTTITSSRDGPPESRDGLPESVKANGFSAVSPPTVNHSCGENRSQVNNTINSGKLS >Potri.006G208900.4.v4.1 pep chromosome:Pop_tri_v4:6:21675309:21687736:1 gene:Potri.006G208900.v4.1 transcript:Potri.006G208900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208900.v4.1 MAWTENDAGNVREKEPTGDNGFLKGSQPSPDPSGSRVGSSPAQKGFDGKDALSYANILRSRNKFADALALYESALENDSGNVEAYIGKGICLQMQNMERLAFDSFAEAIKLDPENACALTHCGILYKDEGRLLEAAESYHKALKADPSYKPASECLAIVLTDLGTSLKLSGNTQEGIQKYYDALKVDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAAIERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVTYYKKALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDVGNITMAISAYEQCLEIDPDSRNAGQNRLLAMNYINEGHDDKLFQAHREWGRRFMRLYPQYTSWDNPKVPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYMVVVYSAVVKSDAKTNRFREKVLKKGGMWRDIYGIDEKKVASMIREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSFTDPPHTKQKHVEELVRLPECFLCYIPSPEAGPVTPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFGCDSVRQRFLTVLEQLGLEPLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCITMAGAVHAHNVGVSLLSKVGLGHLVAKNEEEYVQLALQLASDISALSNLRMSLRELMSKSPVCDGPNFTLGLETTYRNMWHRYCKGDVPSLRRIELLQQQGIPEDVPIKNSDSTTITSSRDGPPESRDGLPESVKANGFSAVSPPTVNHSCGENRSQVNNTINSGKLS >Potri.006G208900.3.v4.1 pep chromosome:Pop_tri_v4:6:21675310:21687739:1 gene:Potri.006G208900.v4.1 transcript:Potri.006G208900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208900.v4.1 MAWTENDAGNVREKEPTGDNGFLKGSQPSPDPSGSRVGSSPAQKGFDGKDALSYANILRSRNKFADALALYESALENDSGNVEAYIGKGICLQMQNMERLAFDSFAEAIKLDPENACALTHCGILYKDEGRLLEAAESYHKALKADPSYKPASECLAIVLTDLGTSLKLSGNTQEGIQKYYDALKVDPHYAPAYYNLGVVYSEMMQYDTALSCYEKAAIERPMYAEAYCNMGVIYKNRGDLESAIACYERCLAVSPNFEIAKNNMAIALTDLGTKVKLEGDINQGVTYYKKALYYNWHYADAMYNLGVAYGEMLKFEMAIVFYELAFHFNPHCAEACNNLGVIYKDRDNLDKAVECYQLALSIKPNFSQSLNNLGVVYTVQGKMDAAASMIEKAIMANPTYAEAYNNLGVLYRDVGNITMAISAYEQCLEIDPDSRNAGQNRLLAMNYINEGHDDKLFQAHREWGRRFMRLYPQYTSWDNPKVPERPLVIGYVSPDYFTHSVSYFIEAPLVYHDYANYMVVVYSAVVKSDAKTNRFREKVLKKGGMWRDIYGIDEKKVASMIREDKVDILVELTGHTANNKLGMMACRPAPVQVTWIGYPNTTGLPTIDYRITDSFTDPPHTKQKHVEELVRLPECFLCYIPSPEAGPVTPTPALSNGFITFGSFNNLAKITPKVLQVWARILCAVPNSRLVVKCKPFGCDSVRQRFLTVLEQLGLEPLRVDLLPLILLNHDHMQAYSLMDISLDTFPYAGTTTTCESLYMGVPCITMAGAVHAHNVGVSLLSKVGLGHLVAKNEEEYVQLALQLASDISALSNLRMSLRELMSKSPVCDGPNFTLGLETTYRNMWHRYCKGDVPSLRRIELLQQQGIPEDVPIKNSDSTTITSSRDGPPESRDGLPESVKANGFSAVSPPTVNHSCGENRSQVNNTINSGKLS >Potri.005G119200.1.v4.1 pep chromosome:Pop_tri_v4:5:8758550:8760304:1 gene:Potri.005G119200.v4.1 transcript:Potri.005G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119200.v4.1 MASLLKELPSMSTILSLYASISGLSMLIRTILNEMIPRGMRDLIAKNFSDFFATYFSSDFTFIIEDRWQAVENETFRAVEVYLPTKVGPSTKSLLIGTNDTNNIFAPPKPGIPVDVKVVDFFQGMHFEWTLCEKEAKKYYHRQKKFFELKCKSNYREQVMQSYLPYISKTAAAILNNRETLNISTYDNEDSTWESTVFKHPATFDTLAMDPDLKKFIIEDLDLFVQRKDYFQSVGRAWKRGYLLYGPPGTGKSTLVAAIANYLRFNIYDLQLQGVRNDAQLRRILTSTTNRSILLIEDIDCNTKSSRSRDRNKNPKEDHDDDDDEGSDQLDNKLSFDPGVTLSGLLNFIDGLWSSCGDERIIIFTTNYKEKLDPALLRPGRMDVHIYMGHCTPAAFRKLAFKYLGIKEHVLFKCIEDLIQSPVITPAEVAQHLMKRGEPQVALQSLIEFISMKEAEMVEKNEAKKDEQEVIKEEVGKQDEKQSKILTTSAYLT >Potri.011G143400.3.v4.1 pep chromosome:Pop_tri_v4:11:17301017:17301827:-1 gene:Potri.011G143400.v4.1 transcript:Potri.011G143400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143400.v4.1 MKKINLILRKCKSLSRQLGRSSSYSSLRSKSTREDLWGDHKQENENHETIFVGSTRKRYVISSKYLSHPLVNALIEKSRQKPGEDNILVVKCEVVFFDHLLWMLENADPNASFDSLEELADLYMF >Potri.014G018801.3.v4.1 pep chromosome:Pop_tri_v4:14:1153272:1154547:-1 gene:Potri.014G018801.v4.1 transcript:Potri.014G018801.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018801.v4.1 MERQHVVSWLLILLGLGLCSYVLAEAKKEGRLLRGRSFMDHEVKRVLGVKAGYRVSSGGYGAGSGSGYGQGGGRGDGSDKGYGPGSGGGGYGSGSGGGYGSGGGGGGGGGNGNGNGNGMGQGGGFGGGWGGGFGGGSGSSGNGGGNGYGSGYGGGIGGGGGGGYDHNNVHITGSGSENRS >Potri.014G018801.1.v4.1 pep chromosome:Pop_tri_v4:14:1153272:1154546:-1 gene:Potri.014G018801.v4.1 transcript:Potri.014G018801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018801.v4.1 MERQHVVSWLLILLGLGLCSYVLAEAKKEGRLLRGRSFMDHEVKRVLGVKAGYRVSSGGYGAGSGSGYGQGGGRGDGSDKGYGPGSGGGGYGSGSGGGYGSGGGGGGGGGNGNGNGNGMGQGGGFGGGWGGGFGGGSGSSGNGGGNGYGSGYGGGIGGGGGGGYDHNNVHITGSGSENRS >Potri.003G047001.1.v4.1 pep chromosome:Pop_tri_v4:3:6494301:6495779:-1 gene:Potri.003G047001.v4.1 transcript:Potri.003G047001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G047001.v4.1 KDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAMILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLQYSGMHYTWSNQCPENLIMRKLDRVLVNEKWNLNFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKLELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNRNKLLSLTREDGEVVEGHEAVKSEVIAYFHRVLGVDQMPRVLNEEVMESAINLKLSSTQQHVLAQDVTREEIKHAMFSLKNNKAPGPDGFNAGFFKRMWHIVGEDVINAVRSFFQTRRMLKEMNATSISLIPKVANPTRLTDFRPISCCNTVYKCI >Potri.001G242100.1.v4.1 pep chromosome:Pop_tri_v4:1:25995030:25997617:1 gene:Potri.001G242100.v4.1 transcript:Potri.001G242100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G242100.v4.1 MNNLFQEYPNSMNRFVFDHASVSFSPNRDLVNGYKLNDTLSSPNPSFNYFNPESPSDSTSSSSSSNSCSEVYGPSNNVTLKFISDVLLEEDLEGKTCMLQDCLTLQAAEKSLYDVLGQEYPHSSNQILSCFNQNVESPNDGVTWINNTGSTNGYHTANNLVEKSDWIFDQADLELSQVPQSSPVLSLEKTPLGTDFQGPAHPYEMLSKGEGEAGISLSTSDYLMSSPERSSSNPPDKEERGYSPNSSRGRKNHQREDSDDLEEERGKKHSALSPAESEQSELFDEVLLCSGAQNVSASCALLDKSQNGAGRNEQRKGSNGRAARAKRKENKEEVVDLSSLLTQCAQAVAIGDQRTASELLKQIRQHSSPFGDANQRLAHYFANALDTRLAGTMTPTFAPIASHRTSAAESVKAYQVYVRACPFKRMSNFFANRTILKLAKKATRLHIIDFGILYGFQWPCLIQRLSERPGGPPRLRITGIELPQPDFRPAERVEETGRRLEKYCERFKVPFEYDAIAQKWETIRYEDLRIDEDEMIVVNSLYRLRNLPDDTVVENSARDAVLKLINKIKPDMFIHGVVNGAFNAPYFVTRFREALYHYSSLFDMFEANVSREDENRMLFEKERYGREIINVIACEGTSRVERPETYKQWQSRNLRAGFRQLTLDPELFKDVRSVVKSEYHKDFVVDADGQWMLQGWKGRIIHALSVWEPVQE >Potri.019G052200.1.v4.1 pep chromosome:Pop_tri_v4:19:8734889:8739413:1 gene:Potri.019G052200.v4.1 transcript:Potri.019G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G052200.v4.1 MGQAFRKLFDTFFGNTEMRVVMLGLDAAGKTTILYKLHIGEVLSTVPTIGFNVEKVQYKNVVFTVWDVGGQEKLRPLWRHYFNNTDGLIYVVDSLDRERIGRAKAEFQTIVKDPFMLNSIILVFANKQDMKGAMTPMEVCEGLGLFELQNRKWHIQGTCALRGDGLYEGLDWLSGTFKEMRAAGYSSVGTSSF >Potri.009G100500.1.v4.1 pep chromosome:Pop_tri_v4:9:8916447:8919189:1 gene:Potri.009G100500.v4.1 transcript:Potri.009G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G100500.v4.1 MILTMGHFTPPKLLFALCLLFPIAASFSTSNDRKTYIIHMDKTGMPSTFSTQHDWYVSTLSSLSSPDDIPPIHLYSYKHVMDGFSAVLSQTHLDQLESLPGHVATFPESIGHLHTTHTPKFLGLNKRAGAWPAGKFGDDVIIGVLDTGIWPESESFNDKNMPPVPQRWRGICETGTEFNTSHCNKKLIGARKFSQGMKQVGLNISSTDDYDSPRDYMGHGSHTSSTAGGSPVQHADYFGYAKGTATGMAPLARIAMYKVIFYSGDSDGYDAAATDTLAGMDQAIEDGVDIMSLSLGFFETPFYENPIAIGAFAALKKGIFVTCSAGNSGPHGYTMFNGAPWLTTIGAGTIDRQFGAEVTLGNGSIIVTGTSIYPENLFISRVPVYFGLGNRSKEVCDWNSLDPKDVAGKFLFCDYDDESSQFRQMSENDRYGPDIAGATGAIFSEDDAEFLHPDYFYMPFVIVSTKDGNLLKNYIMNTTNATVSVKFGLTLLGTKPAPKVAYFSSRGPDRRSPWTLKPDILAPGYHILAAWVPNRGFAPIREDDYLLTDYALVSGTSMSCPHVAGIAALLKAAHRDWSPAAIRSALMTTADVMDNADGRIIDMTTEVAGTPLDFGAGHVNPNKAMDPGLVYDIVAEDYINYLCAMNYTSQQVQIITGTSNFTCQYASLDLNYPSFLVLLNNTNTSTTTFKRVLTNVADNSSVYRAVISAPQGMKALVQPTTLIFSGKNSKAEFNMTVEIDLEAASVTPQSDYFGNYGFLSWYEVNGRHVVRSPVVSAIASPKT >Potri.005G161600.2.v4.1 pep chromosome:Pop_tri_v4:5:15868834:15871332:1 gene:Potri.005G161600.v4.1 transcript:Potri.005G161600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G161600.v4.1 MPLGLITGIGRAMRRKRTSSLDILSSKRAPRNYYKGKNCKPTGFHTRKGGYVVVPEKLPNYVVPDLTDFMLKPFVSQCQTDVKTTEASESAK >Potri.014G096000.1.v4.1 pep chromosome:Pop_tri_v4:14:6266252:6268051:1 gene:Potri.014G096000.v4.1 transcript:Potri.014G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096000.v4.1 MTQKPHVAILPSPGMGHLIPLTELAKKFALNYDLSSTFIVPSIGPPPEAQKKVLGSLPEGINYISLPPVSFDDLPGIRAETQISLTVTRSLSSIRDVLKSLVASTRLVALVLDLFGTDVIDIALELSVPSYIASLSTGMTLSLHFYLPKLDQMVSCEYRDLPEPVLLPGCGISVHGRDLPDPIQDRKDDAYKWFLHHSKRHSLAEGILLNSFVDLEPETIKALQDQEFGNLPPIYPVGPIIYSGLSIGANGHECLQWMDDQPNGSVLYISFGSGGTLSFEQLNELAMGLEISEQKFLWVVRSPDKSASASYFSAKSNTDPYSFLPKGFLDRTKGQGLVVPSWAPQIQVLSHGSTGGFLTHCGWNSTLESIVHGVPLIAWPLYAEQKTNAVLLSAGLKVALRPEVDGNGLVGREEIAKVVKGLMQGEEGATIRNRMKGLKEAAAKAVSEEGSSTKSLHELVSKWKN >Potri.001G344400.1.v4.1 pep chromosome:Pop_tri_v4:1:35552060:35557360:-1 gene:Potri.001G344400.v4.1 transcript:Potri.001G344400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344400.v4.1 MRIAHPARSLISFTLNFSSPPPTKPLSFHSLTSKTTERPRLRVFQFSMEKTENVSTTATEDAANYGFTRSEMYKSNLAGTVGPYDRHVFLCFKNPDAWLPHVEEDDLPKLVATALKTRKNDITVKTKVTICEGGEGSEFENGDVLIFPDMIKYKDLKDSDVDGFVDDVLVSGKPWASGVQEVLTGSHVFVCAHGSRDKRCGVCGPVLIEKLKEGIESRGLNDKVFVSACSHVGGHKYAGNLIIYSPNSEGKTMGHWYGYVTPEDVPEILDQHIEKGIVIERIWRGQMGLSTEEGEKVGEQKLRNGKDKTKSKKPEENSAEAAKDNVASCCQGANGFSCCRDGSSEIIKEKKLEENIEGHGKGGLDKLSRWIGSLEQGDVLAAGAVVGAVATIAVAYSFYKRSG >Potri.007G040300.3.v4.1 pep chromosome:Pop_tri_v4:7:3324913:3326795:-1 gene:Potri.007G040300.v4.1 transcript:Potri.007G040300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040300.v4.1 MATGFVKRRVATVLSIDGGGIRGIIPGSLLAFLESKLQELDGSQARIADYFDIIAGTSTGGLVATMLAAPNKENRPMYAAKDIIDFYLEHSPKIFPQKSNLLGPLSIFFGGPKYDGKYLRSLTNNLLGDLTITQTLTNVILPTFDMKLLQPVIFSTTEGKTNALKNARLADICVATSAAPTYLPAHFFTTKDPNGTSTRNFDLIDGAIAANNPALLAVSEIRNQIRMNTGEFAGVKPTERKGLVLSLGTGEAKSGVTYDAPTAANWSMINWVSYSGKTPIIDMFSSASSDMVDYHISTLFQSLDSKEWYLRIQGDMII >Potri.007G040300.2.v4.1 pep chromosome:Pop_tri_v4:7:3324271:3326768:-1 gene:Potri.007G040300.v4.1 transcript:Potri.007G040300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040300.v4.1 MATGFVKRRVATVLSIDGGGIRGIIPGSLLAFLESKLQELDGSQARIADYFDIIAGTSTGGLVATMLAAPNKENRPMYAAKDIIDFYLEHSPKIFPQKSNLLGPLSIFFGGPKYDGKYLRSLTNNLLGDLTITQTLTNVILPTFDMKLLQPVIFSTTEGKTNALKNARLADICVATSAAPTYLPAHFFTTKDPNGTSTRNFDLIDGAIAANNPALLAVSEIRNQIRMNTGEFAGVKPTERKGLVLSLGTGEAKSGVTYDAPTAANWSMINWVSYSGKTPIIDMFSSASSDMVDYHISTLFQSLDSKEWYLRIQDDKLSGDAASVDIATPQNLQRLKEIGAALLKKTESRVNLDTGKYEEIEGGRTNEAALAKFAQFLSDEKKSIDPIPMANCSSSLFCNTDSDTTNLKRKYVVRSVSFCAVMIP >Potri.007G040300.1.v4.1 pep chromosome:Pop_tri_v4:7:3324200:3326768:-1 gene:Potri.007G040300.v4.1 transcript:Potri.007G040300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040300.v4.1 MATGFVKRRVATVLSIDGGGIRGIIPGSLLAFLESKLQELDGSQARIADYFDIIAGTSTGGLVATMLAAPNKENRPMYAAKDIIDFYLEHSPKIFPQKSNLLGPLSIFFGGPKYDGKYLRSLTNNLLGDLTITQTLTNVILPTFDMKLLQPVIFSTTEGKTNALKNARLADICVATSAAPTYLPAHFFTTKDPNGTSTRNFDLIDGAIAANNPALLAVSEIRNQIRMNTGEFAGVKPTERKGLVLSLGTGEAKSGVTYDAPTAANWSMINWVSYSGKTPIIDMFSSASSDMVDYHISTLFQSLDSKEWYLRIQDDKLSGDAASVDIATPQNLQRLKEIGAALLKKTESRVNLDTGKYEEIEGGRTNEAALAKFAQFLSDEKKHRQTN >Potri.003G061300.1.v4.1 pep chromosome:Pop_tri_v4:3:8784664:8786178:1 gene:Potri.003G061300.v4.1 transcript:Potri.003G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061300.v4.1 MSRPGDWNCRSCQHLNFQRRDSCQRCGDPRTGGDLGGFGARGGSAFGFTGSDVRPGDWYCTAGNCGAHNFASRSSCFKCGVYKEIDSSGGFDSDFSRSRGFGGSTGGSNRSGWKSGDWICTRWGCNEHNFASRMECFKCNAPRDLSNTTSY >Potri.003G061300.3.v4.1 pep chromosome:Pop_tri_v4:3:8784637:8786196:1 gene:Potri.003G061300.v4.1 transcript:Potri.003G061300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061300.v4.1 MSRPGDWNCRSCQHLNFQRRDSCQRCGDPRTGGDLGGFGARGGSAFGFTGSDVRPGDWYCTAGNCGAHNFASRSSCFKCGVYKEIDSSGGFDSDFSRSRGFGGSTGGSNRSGWKSGDWICTRWGCNEHNFASRMECFKCNAPRDLSNTTSY >Potri.015G014700.2.v4.1 pep chromosome:Pop_tri_v4:15:978858:980148:-1 gene:Potri.015G014700.v4.1 transcript:Potri.015G014700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G014700.v4.1 MKNIDSSTFKVEERKKESEEEVDVLFVTEKDLKDGNIDMDAKVTGFDALVAVCHIAYKKLKQKKREEKQKVDAIFGDGKIDGPVREKALKKPADYFELKKKERFAGEKLLSSATKSGGTSNGGGILRRRFNKVNEPMSDQVHDGFTTRKRTSEERPSCSTDRYKRNGFRQGIMTGVSDGGWNQDLFLRKDTVFKRSYAHSKSNKRLKEEMPNCGLGSPAELPQEVKERIASMKGKDVELVVDKLLYATDVNAGNSRLSIPHRQVIAKNFLTDEEKRALMDDNCLKVKILEPSLEMVSDMNLKQWNMFKEKGSVSSSYVFITHWNSLRRRSNLHRQDRIQVWSFRVENDLYFALVKVGER >Potri.004G054100.1.v4.1 pep chromosome:Pop_tri_v4:4:4406274:4411105:1 gene:Potri.004G054100.v4.1 transcript:Potri.004G054100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G054100.v4.1 MAHPSSALAPAPVPGHTFSGTLGHHLARRLVEIGVSDVFSVPGDFNLTLLDHLIDEPELNLIGCCNELNAGYAADGYARAKGVGACVVTFTVGGLSVLNAIAGAYSENLPIICIVGGPNSNDYGTNRILHHTTGLPDFTQELRCFQTVTCVQAVVTNLDDAHEQIDTAISTALKESKPAYISISCNLSGIPHPTFSREPVPFFLAPKVSNYLGLEAAVEATAEFLNKAVKPVIIGGPKLRVAKAQKAFIELADASGYPIAVMPSGKGLVPEHHPHFIGTYWGAVSTSFCAEIVESADAYVFVGPIFNDYSSVGYSLLIKKEKSIIVQPNRVTIGNGLSLGWVFMADFLSALAKKLKKNSTALENYRRIFVPPGTPLMREKDEPLRVNVLFKHIQNMLGGDSAVISETGDSWFNCQKLCLPENCGYEFQMQYGSIGWSVGATLGYAQAARDKRVIACIGDGSFQVTAQDISTMIRCGQRTIIFLINNGGYTIEVEIHDGPYNVIKNWDYTGLVNAIHNGEGKCWTAKVRTEDELTAAIATATGEQKDSLCFIEIFVHKDDTSKELLEWGSRVSAANSRPPNPQ >Potri.011G120400.1.v4.1 pep chromosome:Pop_tri_v4:11:15137576:15138774:-1 gene:Potri.011G120400.v4.1 transcript:Potri.011G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120400.v4.1 MDGMASRRYQEEEAGSKQHYPTVQISQQEATVMTQDRSPTEEFRIKLAAIALSLNVRLRSSDMPVDMQERALRYARSFLDKSPSSAAPKPRPNLTLLARALKKEFDSAYGVAWHCVVGKSFGSFVTHSQGGFIYFSIDSLFILLFKTEVQLVTELEPSSQSVDSL >Potri.004G193400.1.v4.1 pep chromosome:Pop_tri_v4:4:20724679:20726008:1 gene:Potri.004G193400.v4.1 transcript:Potri.004G193400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G193400.v4.1 MAALQISNCESQISTELLIARVTQIHASISKLSSLRPSKQVNSLFSNLVKLCILPSSIDITALPEEVQAMRESLINLCGHAEGLLELEFATFLSKIHQPLNNLNLFPYYGNYVKLANIEYRILNESVVLQPKKVAFVGSGPMPLTSFIMATHHMKFTHFDNFDIDEAANDVARQIVASDVELEKRMKFETGDIMEVKEKLSEYDCIFLAALVGMSKADKVKILGHIRKYMKEGGVLLVRSAKGARAFLYPVVEEQDVLGFELLSIFHPTNDVINSVVLLRKPAF >Potri.014G095400.1.v4.1 pep chromosome:Pop_tri_v4:14:6192080:6198023:-1 gene:Potri.014G095400.v4.1 transcript:Potri.014G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G095400.v4.1 MASEDVKTSESAVTTIVNLAEEAKLAREGVKAPSHAILSICKSLVAGGVAGGVSRTAVAPLERLKILLQVQNPHNIKYNGTIQGLKYIWRTEGFRGLFKGNGTNCARIVPNSAVKFFSYEQASKGILSLYQQQTGNEDAQLTPLLRLGAGACAGIIAMSATYPMDMVRGRLTVQTDKSPYQYRGMFHALSTVLRQEGPRALYKGWLPSVIGVVPYVGLNFAVYESLKDWLVKARPFGLVEDSELSVTTRLACGAAAGTIGQTVAYPLDVIRRRMQMVGWKDAASIVTGDGRSKAPLEYNGMIDTFRKTVRHEGFGALYKGLVPNSVKVVPSIAIAFVTYEMVKDVLGVEIRISD >Potri.017G029900.1.v4.1 pep chromosome:Pop_tri_v4:17:2032584:2036379:1 gene:Potri.017G029900.v4.1 transcript:Potri.017G029900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029900.v4.1 MGNWSHSVGDFLIRVLMTSKWNCGFVVQFVVLSDLWWLVSGLGSMSSIAISYGEKGPAFCGIKSDGSHLVNCYGSNSAIIHETPAYFHFIGLTAGDGFVCGLLLESNRPYCWGSSGYLRPGVPQPMMEEAEYVEISAGDYHLCGLRKPLTGRRRNLSLIDCWGYNMTRNHVFDGQIQSISAGSDFNCGLFSENRTVFCWGDQASSRVISLVPQEMRFQKIAAGGYHVCGILEGVNSRAFCWGRSLDLEEEISVISAAYVNQGNVDLPPSDPMLSVVGGKFHACGIKSYNREVICWGYIVKPSTPTPTGIKVYEIAAGNYFTCGILAEKSLVPVCWGLGFPSSLPLAVSPGLCKTTPCPPGSYEFVGASTPCTSPGSRACLSCSNGCPAEMYQKTKCTSKSDRQCDYNCSSCYSSECFSNCSSLYSNNAKEKNRFWSLQLPVIIAEIGFAMFLVVVVTTTAILYVRYRLRNCQCSAKQSKTKKNSGSGSSVSKDNGRIRPDMDEIKLRRAQMFTYEELEKATSGFKEESLVGKGSFSCVYKGVLRNGTLVAVKKAIVCSDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNPALKEQMNWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKAGDISAILDPVLKPPSDPEALKRIANVACKCVRMRGKERPSMDKVTTALERALAQLMGSPSNDQPILPTEVVLGSSRLHKKSSQRSSNRSAVSETDVVEGEDQRIEFRAPSWITFPSVTSSQGRKSSASDADVDGKTSTRNLGYVANVGDGLRSLEEEIGPASPQERLFLQHNF >Potri.017G029900.3.v4.1 pep chromosome:Pop_tri_v4:17:2033938:2036383:1 gene:Potri.017G029900.v4.1 transcript:Potri.017G029900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029900.v4.1 MTRNHVFDGQIQSISAGSDFNCGLFSENRTVFCWGDQASSRVISLVPQEMRFQKIAAGGYHVCGILEGVNSRAFCWGRSLDLEEEISVISAAYVNQGNVDLPPSDPMLSVVGGKFHACGIKSYNREVICWGYIVKPSTPTPTGIKVYEIAAGNYFTCGILAEKSLVPVCWGLGFPSSLPLAVSPGLCKTTPCPPGSYEFVGASTPCTSPGSRACLSCSNGCPAEMYQKTKCTSKSDRQCDYNCSSCYSSECFSNCSSLYSNNAKEKNRFWSLQLPVIIAEIGFAMFLVVVVTTTAILYVRYRLRNCQCSAKQSKTKKNSGSGSSVSKDNGRIRPDMDEIKLRRAQMFTYEELEKATSGFKEESLVGKGSFSCVYKGVLRNGTLVAVKKAIVCSDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNPALKEQMNWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKAGDISAILDPVLKPPSDPEALKRIANVACKCVRMRGKERPSMDKVTTALERALAQLMGSPSNDQPILPTEVVLGSSRLHKKSSQRSSNRSAVSETDVVEGEDQRIEFRAPSWITFPSVTSSQGRKSSASDADVDGKTSTRNLGYVANVGDGLRSLEEEIGPASPQERLFLQHNF >Potri.017G029900.2.v4.1 pep chromosome:Pop_tri_v4:17:2033617:2036381:1 gene:Potri.017G029900.v4.1 transcript:Potri.017G029900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029900.v4.1 MSSIAISYGEKGPAFCGIKSDGSHLVNCYGSNSAIIHETPAYFHFIGLTAGDGFVCGLLLESNRPYCWGSSGYLRPGVPQPMMEEAEYVEISAGDYHLCGLRKPLTGRRRNLSLIDCWGYNMTRNHVFDGQIQSISAGSDFNCGLFSENRTVFCWGDQASSRVISLVPQEMRFQKIAAGGYHVCGILEGVNSRAFCWGRSLDLEEEISVISAAYVNQGNVDLPPSDPMLSVVGGKFHACGIKSYNREVICWGYIVKPSTPTPTGIKVYEIAAGNYFTCGILAEKSLVPVCWGLGFPSSLPLAVSPGLCKTTPCPPGSYEFVGASTPCTSPGSRACLSCSNGCPAEMYQKTKCTSKSDRQCDYNCSSCYSSECFSNCSSLYSNNAKEKNRFWSLQLPVIIAEIGFAMFLVVVVTTTAILYVRYRLRNCQCSAKQSKTKKNSGSGSSVSKDNGRIRPDMDEIKLRRAQMFTYEELEKATSGFKEESLVGKGSFSCVYKGVLRNGTLVAVKKAIVCSDKQKNSKEFHTELDLLSRLNHAHLLNLLGYCEEGGERLLVYEFMAHGSLHQHLHGKNPALKEQMNWVRRVTIAVQAARGIEYLHGYACPPVIHRDIKSSNILIDEEHNARVSDFGLSLLGPANSSSPLAELPAGTLGYLDPEYYRLHYLTTKSDVYSFGVLLLEILSGRKAIDMQYEEGNIVEWAVPLIKAGDISAILDPVLKPPSDPEALKRIANVACKCVRMRGKERPSMDKVTTALERALAQLMGSPSNDQPILPTEVVLGSSRLHKKSSQRSSNRSAVSETDVVEGEDQRIEFRAPSWITFPSVTSSQGRKSSASDADVDGKTSTRNLGYVANVGDGLRSLEEEIGPASPQERLFLQHNF >Potri.002G233300.1.v4.1 pep chromosome:Pop_tri_v4:2:22628185:22634634:1 gene:Potri.002G233300.v4.1 transcript:Potri.002G233300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233300.v4.1 MANSKYEYVKSFEVEDEIMFPNLIVVRIGDRHFQRFSEVHEFEKPNDEKALKLMSLCATLVLQEYPDIVFSFGFSDEYSFVFKQTTKFYQRRASKVVSIIVSFFTSVYVTKWKEFFPEKELKYPPSFHARPIVCASLEVLQEYLAWRQQHCHITNQYNTCLWELVKSGKTEKEALEILKGTQKQERNELLFQHFGINYRTLPQMFRQGSCVLRTEVEDIVKYSENGTPIKRMRRDKTTVHSKNIAGRSFWNEHQSLLKELGGFTKDVGKINSDYIRSFLFESKLMASTWIVIRIDGCHFHRFSEVHDFEKPNDEQALNLMNSCAVAVLQEFADVVFSYGVSDEYSFVLKKDSQFCQRKASNIVSIMVSFFTSMYVMNWKAFFPQKELKYCPAFDGRAVCYPSTEILRDYLAWRQVDCHINNQYNTCFWMLVKSGKSKSEAQRTLKGTQAQEKKEMLAWFGIDDYNALPVMFRQGSSVFRDGMAPNENGAAGEKPCYKVIVEHCNIIEQSFWEEHPGILG >Potri.002G020249.1.v4.1 pep chromosome:Pop_tri_v4:2:1251877:1252147:1 gene:Potri.002G020249.v4.1 transcript:Potri.002G020249.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020249.v4.1 MMKEDLIKNLGTIAKSGTSAFVEKMQSSEDLNLIGQFGVGFYSVYLAADYVEVPSKHNEDKL >Potri.013G008100.2.v4.1 pep chromosome:Pop_tri_v4:13:517045:519835:1 gene:Potri.013G008100.v4.1 transcript:Potri.013G008100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G008100.v4.1 MCREQVTIPEDKPCIFLEGRDRTLTTITYNAHESTDTSATFTSSPSNIVAKGITFKNSYNLPFKQNINYGIKIPGVGVAPALSARIYGDKSAFYDCAFLGVQDTLWDVEGRHHFFNCYIEGAVDFIFGAGQSFYEGCSINVTSKGVITAQGREFPNDPSGFIFSGCTISGIEGVRAFLGRAYRPFSRVIFQDSYFSKVVDPLGWNAWGYAGQEENFTYVEVDCKGPGSNKSKRVPWVRKPSTGQHELFSKPSFIDQDGWLAKLPL >Potri.002G197800.1.v4.1 pep chromosome:Pop_tri_v4:2:16068154:16070177:1 gene:Potri.002G197800.v4.1 transcript:Potri.002G197800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G197800.v4.1 MGWLSRFLAAIAFLAIGVLFSPETFGSKSVTHLSAYLKLAHLLCFSTAFGSALWVTFIGGIIMFKNLPRHQFGNLQSKMFPAYFLLVGVCCAISVAAFGYLHPWKSASTAEKYQLGFLLSSFAFNLTNLFVFTPMTIEMMKQRHKVEREENIGTEVGWTKNREVAKANPKLAAMNKKFGMIHGLSSLANIMSFGSLAMHSWYLAGKINL >Potri.015G094500.1.v4.1 pep chromosome:Pop_tri_v4:15:11672151:11674820:1 gene:Potri.015G094500.v4.1 transcript:Potri.015G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G094500.v4.1 MNNNSNNPVRSIGVPPSFANPGAMAQSTHVNHQPPQLLSQSQPQTQGGPAFPGHFQLSEPQARVLGYTQFAQAAHTQFQSHIQSTNHSVAQLQNANSANVGVQSPPVPTPSSSSAKKTSYKPPSRPSSGSSNANMASLFKTMELAPAVRRKKRKLHEKEIPDKVVPVLPESALYTQLLEFEARVDAAMARKKMDIQESLKNPSRVWKTLRVYVFNTFENQVLGSNERKSAEPPSWSLKIIGRILEDGKDPVLTGMTQKPYPKFSSYFKKITIYLDQSLYLDNHVILWESTRSPVLHEGFEVKRKGNKEFTARIRLEMNYVPEKFKLSPTLSEILGIEVETRPRILVAIWHYVKSRKLQNPNDPSFFTCDPPLQKLFGEEKMKFSQVLQRISLHLTPPQPILLEHSIKLSGNCPAGTACYDFIVDVPLPLQKDLAAFLTSTERNKEIDACDELICNSIKKIHEHRQRQAFFLGFSQSPAELINALIASQSNDLKLVAGDASRNAEKEQRSGFYNQPWVEDAVIRYLNRKSTVNDAPGSS >Potri.001G046000.2.v4.1 pep chromosome:Pop_tri_v4:1:3355703:3358916:-1 gene:Potri.001G046000.v4.1 transcript:Potri.001G046000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G046000.v4.1 MNRPDRLSRQIQMSLQNLSTNQSTCSIFKVPRGQRFVNERAYEPEIVSIGPYHRGKDDLKMMEEYKKHYLQKFLQRRPENSLTSYVAAMRGLEAQARRYYDQTVSLGEDAFVEMLLLDGCFIVELICKLATSGLQQGDQNDPVIGNLLILSRISYDILLLENQLPFCVLLKLSSMAMPNEKVPFIDKASGFFEWMYPGSRLERSNTISSHECKHLLDLVYHKWLLPSPSELPSGIEAKNTKLEFIRSAKELKDAGIKFGKQEGSFGLFLGVRFEKDMIKIPCLKIDDTTESLFRNLIAYEQCSQRQHLYVTDYIKLMDCLINTREDVRILRHRGIIENGLGDDEMVCTLFNKLGINVMISDRGRFYYAQLFEGVEKHCAQRRNVWLVKLRRNHFNSPWSLISFLAALTLLLLTLVQTVFTVMSYFKPDPKTGIK >Potri.005G252500.2.v4.1 pep chromosome:Pop_tri_v4:5:24525780:24527049:-1 gene:Potri.005G252500.v4.1 transcript:Potri.005G252500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G252500.v4.1 MDDAFINALVRQQRLGNRVDRVFTTAAYDNMVRELRENIGMPFEKDHLKNRLKTLKNNFKECFDIFNGANGFVWSPENKVWVAKPETWKAFVKAKPNAKKWMTTPIAHYDELSLLFAKDRTKSNGANTTKEKADQWVGSGSPYLLDGFNLPNEVTLNLEDSNEMNGGTSQLATPVEANSQADSQGCSHSATSLKGKKRKASSVDAVERELRGIKDAIKEVARAIREGNLIAERGRPRVYSEQEVFSELVKIGVETHLRYKAYTFLVANSGRVRAFFGCPPGERREFLLHMMYSPDDSSTLD >Potri.010G054400.4.v4.1 pep chromosome:Pop_tri_v4:10:8575115:8579345:-1 gene:Potri.010G054400.v4.1 transcript:Potri.010G054400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054400.v4.1 MLKQILSKLPRKSSKSTANRDHSGNHAANSNASSGSRSSGGKSANSSISSIAVNSSVPDVAIHHGVNSKLNGTLGFSQYEGLPGFKDVPNSEKQSLFIKKLNMCCFLFDFTDPTKNLKEKEIKRQTLLELVDYVTSISGKFSETAMQEVMKMVSANLFRTFTPQPRENKVIDGVDLEEDEPSMDSAWPHLQIVYELFLRFVSSTETDAKLAKRYIDQPFVRKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKSINNIFYQFIFETEKYNGIAELLEILGSIINGFALPLKEEHKMFLVRAMIPLHKPRCLAMYHQQLSYCITQFVEKDCKLADTVIQGLLKYWPVTNSSKEVMFLNELEEVLEATQPSEFQRCIVPLFQQLARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNARNHWNQAVRSLTLNVRKIFEDLDHELFNECLLKFQEDEKKEVEIKARSEAAWKRLEEIAAQKTASDDTVVVPC >Potri.010G054400.5.v4.1 pep chromosome:Pop_tri_v4:10:8575137:8579344:-1 gene:Potri.010G054400.v4.1 transcript:Potri.010G054400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054400.v4.1 MLKQILSKLPRKSSKSTANRDHSGNHAANSNASSGSRSSGGKSANSSISSIAVNSSVPDVAIHHGVNSKLNGTLGFSQYEGLPGFKDVPNSEKQSLFIKKLNMCCFLFDFTDPTKNLKEKEIKRQTLLELVDYVTSISGKFSETAMQEVMKMVSANLFRTFTPQPRENKVIDGVDLEEDEPSMDSAWPHLQIVYELFLRFVSSTETDAKLAKRYIDQPFVRKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKSINNIFYQFIFETEKYNGIAELLEILGSIINGFALPLKEEHKMFLVRAMIPLHKPRCLAMYHQQLSYCITQFVEKDCKLADTVIQGLLKYWPVTNSSKEVMFLNELEEVLEATQPSEFQRCIVPLFQQLARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNARNHWNQAVRSLTLNVRKIFEDLDHELFNECLLKFQEDEKKEVEIKARSEAAWKRLEEIAAQKTASDDTVVVPC >Potri.010G054400.1.v4.1 pep chromosome:Pop_tri_v4:10:8575115:8579352:-1 gene:Potri.010G054400.v4.1 transcript:Potri.010G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054400.v4.1 MLKQILSKLPRKSSKSTANRDHSGNHAANSNASSGSRSSGGKSANSSISSIAVNSSVPDVAIHHGVNSKLNGTLGFSQYEGLPGFKDVPNSEKQSLFIKKLNMCCFLFDFTDPTKNLKEKEIKRQTLLELVDYVTSISGKFSETAMQEVMKMVSANLFRTFTPQPRENKVIDGVDLEEDEPSMDSAWPHLQIVYELFLRFVSSTETDAKLAKRYIDQPFVRKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKSINNIFYQFIFETEKYNGIAELLEILGSIINGFALPLKEEHKMFLVRAMIPLHKPRCLAMYHQQLSYCITQFVEKDCKLADTVIQGLLKYWPVTNSSKEVMFLNELEEVLEATQPSEFQRCIVPLFQQLARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNARNHWNQAVRSLTLNVRKIFEDLDHELFNECLLKFQEDEKKEVEIKARSEAAWKRLEEIAAQKTASDDTVVVPC >Potri.010G054400.2.v4.1 pep chromosome:Pop_tri_v4:10:8575116:8579322:-1 gene:Potri.010G054400.v4.1 transcript:Potri.010G054400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054400.v4.1 MLKQILSKLPRKSSKSTANRDHSGNHAANSNASSGSRSSGGKSANSSISSIAVNSSVPDVAIHHGVNSKLNGTLGFSQYEGLPGFKDVPNSEKQSLFIKKLNMCCFLFDFTDPTKNLKEKEIKRQTLLELVDYVTSISGKFSETAMQEVMKMVSANLFRTFTPQPRENKVIDGVDLEEDEPSMDSAWPHLQIVYELFLRFVSSTETDAKLAKRYIDQPFVRKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKSINNIFYQFIFETEKYNGIAELLEILGSIINGFALPLKEEHKMFLVRAMIPLHKPRCLAMYHQQLSYCITQFVEKDCKLADTVIQGLLKYWPVTNSSKEVMFLNELEEVLEATQPSEFQRCIVPLFQQLARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNARNHWNQAVRSLTLNVRKIFEDLDHELFNECLLKFQEDEKKEVEIKARSEAAWKRLEEIAAQKTASDDTVVVPC >Potri.010G054400.3.v4.1 pep chromosome:Pop_tri_v4:10:8575120:8579382:-1 gene:Potri.010G054400.v4.1 transcript:Potri.010G054400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G054400.v4.1 MLKQILSKLPRKSSKSTANRDHSGNHAANSNASSGSRSSGGKSANSSISSIAVNSSVPDVAIHHGVNSKLNGTLGFSQYEGLPGFKDVPNSEKQSLFIKKLNMCCFLFDFTDPTKNLKEKEIKRQTLLELVDYVTSISGKFSETAMQEVMKMVSANLFRTFTPQPRENKVIDGVDLEEDEPSMDSAWPHLQIVYELFLRFVSSTETDAKLAKRYIDQPFVRKLLDLFDSEDPREREYLKTILHRIYGKFMVHRPFIRKSINNIFYQFIFETEKYNGIAELLEILGSIINGFALPLKEEHKMFLVRAMIPLHKPRCLAMYHQQLSYCITQFVEKDCKLADTVIQGLLKYWPVTNSSKEVMFLNELEEVLEATQPSEFQRCIVPLFQQLARCLNSSHFQVAERALFLWNNDPIENLIIQNRKVILPIIFPALEKNARNHWNQAVRSLTLNVRKIFEDLDHELFNECLLKFQEDEKKEVEIKARSEAAWKRLEEIAAQKTASDDTVVVPC >Potri.010G193100.1.v4.1 pep chromosome:Pop_tri_v4:10:18830213:18833916:-1 gene:Potri.010G193100.v4.1 transcript:Potri.010G193100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G193100.v4.1 MEYYQARTMLLVIFIFPALVECKVRLYNFRVVLTNTTKLCSTKSIPTINGKFPGPTIYAREGDNVNIRLTNQVQYNVTVHWHGVRQLRTGWADGPAYITQCPIQPGQSYLYNFTLTGQRGTLLWHAHISWLRATIHGAIVIFPKKGVPYPFPKPDKEKIIILSEWWKADVEAVVNQATMTGLPPNISDAHTVNGHTGAVPGCTSPGFTLHVESGKTYLLRIINAALNDELFFKIAGHNITVVEVDATFTKPFSTDTIFIGPGQTTNALLTADKSIGKYLIAVSPFMDTVVAVDNVTAIAFLRYKGTLAFSPPVLTTTPAINATPATSTFMDKLRSLNSKKYPANVPLTVDHDLYFTIGVGIDPCATCTNGSKAVADINNVSFIMPTTALLQAHYYNISGVFTDDFPAKPPISFNYTGNNTAMNLKTTNGTRAYRLAFNSAVQVVLQGTTIIAPESHPFHLHGFNFFVVGKGIGNFDPDNDPKKFNLADPVERNTVSVPTAGWIAIRFKADNPGVWFLHCHLEVHTTWGLKMAFVVDNGKGPNESILPPPSDLPTC >Potri.010G158500.1.v4.1 pep chromosome:Pop_tri_v4:10:16505706:16507669:-1 gene:Potri.010G158500.v4.1 transcript:Potri.010G158500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G158500.v4.1 MSSTSNAWILTYILLSLPVHFTISAAVHPIPINGTCHDTCGTMPVKYPFGSGFGCGHPDFSRYVRCNSDTLEFSTGTGIYNISEIDYTTGSLIITDPFMSTCSSMQNSGSFSLDRASPFSLTGENIFVLLGCSTNSPLFDPAEDLCAMGSRSRVCRGLYSCKGVTGIGLPQNAPPSTCCVYESPIQLAGYTLDLPKLQCSSYTSVYSFGGSEGDPVKWKFGISLQYNGSYYSNLCKDCESSGGLCGFAGFDQSFACICRSGKNTSTSCFGQGYTWSGTRESKIQTKLSFGGILLLWLVLSI >Potri.009G072800.1.v4.1 pep chromosome:Pop_tri_v4:9:7115696:7121482:1 gene:Potri.009G072800.v4.1 transcript:Potri.009G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072800.v4.1 MSLACIRSSCNLLASCFAIVFLVLLQTKVATSALIMSMRNHHNHHQHRLPRLQANQSTCALFVGTWVRDESYPLYQSSNCPTIIDAEFNCQMYGRPDSDYLKYRWQPLNCELPRFNGLEFLLNMRGKSMMFVGDSLGRNQWESLICLISSSVPRTSTQMSRGDPFSIFKFSDYDVSISFYKAPYLVDIDVVQGKRVLRLEEISGNANAWRNVDVLIFNTGHWWSHQGSLQGWDYMESGGTFYQDMDRLVALERGLRTWAKWVDSNIDTTRTRVFFQSISPTHYNPSEWSEGTTVATTRNCYGETTPMNGMTYPGAYPDQMRVVDTVIRGMHTPAYLLDITMLSELRKDGHPSIYSGDLSPQQRADPSGSADCSHWCLPGLPDTWNQLFYAALFF >Potri.004G150000.4.v4.1 pep chromosome:Pop_tri_v4:4:17197083:17202290:-1 gene:Potri.004G150000.v4.1 transcript:Potri.004G150000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150000.v4.1 MKCRFGSTLKQFNFMEVRVSRHKHSNSDPFQTKVVADKQEPNNIFEEFCHLKSKMRQLEDGIEAKKRQSPNTEAQSSLKQEILDLQDRLQDQVLVRRTLEKALNCKPFSHDIMTDKSIPKPAMELIREIAVLELEVVYLERYLLSQYRKTFEQQVSSLSTKDERDHERFKMSSNTHKGMFPSVPGKENDIMSDKDHSADNASHLTSLTKERNDTRGPEKLLDSSIHRCHSSMSQRSIGTSPTTRSVARAVDSCHSLPLSMLELARNDTSNAISLADHLGTSIRYDVPDSPNWLSEEMIRRISTIFCELADPPLINPDYVSSKISISSSPNEFSSQGQGDTWSPQYGNYSSFNSSLDNPFHVGASKEFSGPYCSMVKVQRICRDTQKLRYIQHKLQDFRSLVSRLEGVNPRKMKHDEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVSVDMIQNSILGCRLLRPGPWLRQLFSTKTKFKNGDGRKAYSIHHPEPRLYFALCAGSYSDPAVRAYTPKRVYEDLEAAKEEYIQSTFIVNKEKKLLLSKIVESFAKDLELCPAGLVEMIEHLLPNYLKKRIQECQYRKFGKKIEWIPHNFSFRYLLSKELA >Potri.004G150000.2.v4.1 pep chromosome:Pop_tri_v4:4:17197142:17201675:-1 gene:Potri.004G150000.v4.1 transcript:Potri.004G150000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150000.v4.1 MKCRFGSTLKQFNFMEVRVSRHKHSNSDPFQTKVVADKQEPNNIFEEFCHLKSKKMRQLEDGIEAKKRQSPNTEAQSSLKQEILDLQDRLQDQVLVRRTLEKALNCKPFSHDIMTDKSIPKPAMELIREIAVLELEVVYLERYLLSQYRKTFEQQVSSLSTKDERDHERFKMSSNTHKGMFPSVPGKENDIMSDKDHSADNASHLTSLTKERNDTRGPEKLLDSSIHRCHSSMSQRSIGTSPTTRSVARAVDSCHSLPLSMLELARNDTSNAISLADHLGTSIRYDVPDSPNWLSEEMIRRISTIFCELADPPLINPDYVSSKISISSSPNEFSSQGQGDTWSPQYGNYSSFNSSLDNPFHVGASKEFSGPYCSMVKVQRICRDTQKLRYIQHKLQDFRSLVSRLEGVNPRKMKHDEKLAFWINVHNALVMHAYLVYGIPQNNMKRMSLILKAAYNVGGHTVSVDMIQNSILGCRLLRPGPWLRQLFSTKTKFKNGDGRKAYSIHHPEPRLYFALCAGSYSDPAVRAYTPKRVYEDLEAAKEEYIQSTFIVNKEKKLLLSKIVESFAKDLELCPAGLVEMIEHLLPNYLKKRIQECQYRKFGKKIEWIPHNFSFRYLLSKELA >Potri.017G125300.1.v4.1 pep chromosome:Pop_tri_v4:17:12940528:12942928:-1 gene:Potri.017G125300.v4.1 transcript:Potri.017G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125300.v4.1 MEKSKRNVSEKMLLQKQNKNNKKGDDKKNRFLISISFLGSAGPIRVVVNGDDLVSGIISTALKIYAREGRLPVLGFDASNFLLYCVNAASDALNPWEPIGSHEGRNFVLCKKQVQQETTEVRAEIIAKKPSGWKAWIMAQQVLKF >Potri.007G100700.2.v4.1 pep chromosome:Pop_tri_v4:7:12508471:12512714:-1 gene:Potri.007G100700.v4.1 transcript:Potri.007G100700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100700.v4.1 MGFRRPEAAQVQEMDPGEKRLNELGYKQELRREMTLFKTLAISFSTMTLFTGITPLYGSSLLYAGPASLVWGWVVVSFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPRWGPFASWCCAWLETIGLVAGIGTQAYAGSQTLQSIILLCTGTNKDGGYFAPKWLFLCMYIGLTLIWAVLNTFALEVIAFIDVISIWWQVIGGLVIVIMLPLVSLTTQSASYVFTHFETAPDSTGISSKPYVVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITVFGWAYILALTFSIQDFGYLYDPSNETAGAFVPAQILYDAFQGRYHNSAGAIVLLFIIWGSFFFGGLSITTSAARVVYALSRDEGIPFSSIWRKIHPKHKVPSNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARIVMDEKNFKAGPFYLGRARRPVCIIAFLWICYTCSVFLLPTYYPLSWNTFNYAPVAIGVGLSSIMLWWMLDARKWFKGPVRNIDISNGKV >Potri.007G100700.3.v4.1 pep chromosome:Pop_tri_v4:7:12509357:12512531:-1 gene:Potri.007G100700.v4.1 transcript:Potri.007G100700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G100700.v4.1 MHTLDASFRDLTFSWMQIKQTTGSLYFWAAHLAGPRWGPFASWCCAWLETIGLVAGIGTQAYAGSQTLQSIILLCTGTNKDGGYFAPKWLFLCMYIGLTLIWAVLNTFALEVIAFIDVISIWWQVIGGLVIVIMLPLVSLTTQSASYVFTHFETAPDSTGISSKPYVVVLSFLVSQYSLYGYDAAAHLTEETKGADKNGPIAILSSIGIITVFGWAYILALTFSIQDFGYLYDPSNETAGAFVPAQILYDAFQGRYHNSAGAIVLLFIIWGSFFFGGLSITTSAARVVYALSRDEGIPFSSIWRKIHPKHKVPSNAVWLCAAICILLGLPILKVNVVFTAITSICTIGWVGGYAVPIFARIVMDEKNFKAGPFYLGRARRPVCIIAFLWICYTCSVFLLPTYYPLSWNTFNYAPVAIGVGLSSIMLWWMLDARKWFKGPVRNIDISNGKV >Potri.007G023300.1.v4.1 pep chromosome:Pop_tri_v4:7:1778800:1781522:-1 gene:Potri.007G023300.v4.1 transcript:Potri.007G023300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023300.v4.1 MVSSRGFLSWLIFLFIGILGFIPFPAEAAIKKYQFDIQVKNVSRLCHAKPIVTVNGRFPGPTIYVREGDRVMVNVTNYAQYNMSIHWHGLKQYRNGWADGPAYITQCPIQTGSSYTYDFNVTGQRGTLWWHAHILWLRATVYGAIVIMPKQGTPYPFPQPNMEVPILLGEWWNTDVEEVEKQGTEMGLPPNMSDAHTINGKPGPLFPCSEKHTFAMEIESGKTYLLRIINAALNDELFFGIAGHNMTVVEVDAVYTKPFTTQTILIAPGQTTNVLVLANQVPGRYFMATRAFLDVPLPVDNKTATAIMQYKGIPNTVLPSFPQLPASNDTEFALGYNRKLRSLNTPQFPANVPLKVDRNLFYTVGFGKDSCPTCVNGTRLLASLNNISFVMPQIGLLQAHYFNISGVFKTNFPDKPPTPFNYTGAPLTASLGTVHGTRLSKIAFNSTVELVLQDTNLLTVESHPFHLHGYNFFVVGTGIGNFDPAKDPAKYNLVDPVERNTVGVPTGGWTAIRFRADNPGVWFMHCHLELHTGWGLKTAFVVEEGPGSDQSILPPPKDLPPC >Potri.010G081351.1.v4.1 pep chromosome:Pop_tri_v4:10:10888211:10892153:-1 gene:Potri.010G081351.v4.1 transcript:Potri.010G081351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081351.v4.1 MVPSYALLALVHPPLLAHGFDALLLTVREIVPHSPRAQPKKVFNAPTIYMETLTNLSAKLHGSLLGVTIFNLHHQGPLLTFSIYKFCFYLFFPCSPLSQSSQSSYIPSTTKNKNQINDKIILIIHNSPSIPSSSFYLYLLHPLTLFIPSHLLLFLKY >Potri.010G081351.2.v4.1 pep chromosome:Pop_tri_v4:10:10888211:10892066:-1 gene:Potri.010G081351.v4.1 transcript:Potri.010G081351.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081351.v4.1 MVPSYALLALVHPPLLAHGFDALLLTVREIVPHSPRAQPKKVFNAPTIYMETLTNFPLSQSSQSSYIPSTTKNKNQINDKIILIIHNSPSIPSSSFYLYLLHPLTLFIPSHLLLFLKY >Potri.001G279500.1.v4.1 pep chromosome:Pop_tri_v4:1:29337121:29339837:1 gene:Potri.001G279500.v4.1 transcript:Potri.001G279500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G279500.v4.1 MKKSKNTETRESNYREIHWELRPGGMLVQKMDVGDGSSGPMIKIKVSHGLCHYDIAVPAQSTFGDLKKVLAHETGLESKEQRLLFKGKEKENDEYLHMVGVKDMSKVILFEDPASKERKLEEMKRNQDTLKAYEAVARVRAEVDKLCEKVVALETNIRSGTKIAEKEFSVLTELLMIQLLKLDSIEADGQAKVQRKIEVRRIQSFVDTLENLKVRNSKSFSHNSNAVSVTTKWETFASGVGSLSAPVPLQSATKLNQDWELFD >Potri.015G145300.7.v4.1 pep chromosome:Pop_tri_v4:15:15000056:15009042:-1 gene:Potri.015G145300.v4.1 transcript:Potri.015G145300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145300.v4.1 MAAGAHSVVEPPSEGLEYTWSSRGPTSDGDLGVSISAPGGAVAPVPTWTLQKRMLMNGTSMASPSACGGVALLISAMKAEGIPVSPYSVRKALENTSGPVGELPADKLSTGQGLMQVDRAHEYIRQSRNIPCICYEIMVNQSGKSTPTSRGIYLREASACQQPTEWTVQVQPKFHEGASNLEELVPFEECIELHSTEKVVVRAPEYLLLTNNGRSFNIVVNPTKLSEGLHYYEVYGVDCKAPWRGPIFRIPVTITKPMTVKNHPPFISFSRMSFLPGHIERRYIEVPFGATWVEATMKTSGFDTTRRFFVDTVQICPLQRPMKWESVVTFSSPTAKSFAFPVVGGQTMELAVAQFWSSGIGSHETTIVDFEILFHGIAINKEEIILDGSEAPIRIDAEALLSSENLVPAATLNKIRVPYRPVDAKLGTLTENRDKLPSGKQTLALTLTYKFKLEDGAEVKPQVPLLNNRIYDTKFESQFYMVSDTNKRVYAMGDVYPSATKLPKGEYNLRLYLRHDNMQYLEKMKQLLLFIERNLDDKDVIRLNFFSEPDGPVMGDGAFKSSVLVPGKKEAIYLGPPVKDKLPKNAPQGSVLLGAISYGKLSLAGQEGEESSQKNPVSYQISYVVPPNKVDEDKGKSSSTSLKTVSERLEEEVRDAKIRVLSSLKQDTDEERSEWKKLSTSLKSDYPNYTPLLAKILEGLLSQSKVEDKIHHHEDVMDAADEVIDSIDKDELAKFFSLKSDPEDEETEKKKKAMETTRDELAEALYQKGLALVENESLKVRKAETEGTKDLFEDNFKGLQKWVDAKSSKYGTLLVLRERRRGRLGAALKALNEMMQDNGDPPKKKLYELKLSLLDEIGWKHLSTYEKEWMLVRFPPSLPLF >Potri.015G145300.2.v4.1 pep chromosome:Pop_tri_v4:15:14999887:15012299:-1 gene:Potri.015G145300.v4.1 transcript:Potri.015G145300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145300.v4.1 MPGDSITETSGGYGGGDENRPLRNFKLNESTFLASLMPKKEIGADHFVEAHPQYDGRGVIIAIFDSGVDPAASGLQVTSDGKPKVLDVIDCTGSGDIDTSKVVKADADGCIQGASGASLVVNSSWKNPSGEWHVGYKFLYELLTDTLTSRLKKERKKKWDKKNQEEIAKAVKHLDEFNEKHSNPEEADLKRVREDLQARIDLLRKQADSYDDKGPVIDAVVWHDGDLWRAALDTQSVEDDSDCGQLANFVPLTNYRIERKHGVFSKLDACAFVLNVYSDGNILSIVTDCSPHGTHVAGIAAAFHPKEPLLNGIAPGAQLISCKIGDTRLGSMETGTGLIRALIAAVEHKCDLINMSYGEPTLLPDYGRFVDLVNEVVNKHRLIFVSSAGNGGPALSTVGAPGGTTSSIIGVGAYVSPSMAAGAHSVVEPPSEGLEYTWSSRGPTSDGDLGVSISAPGGAVAPVPTWTLQKRMLMNGTSMASPSACGGVALLISAMKAEGIPVSPYSVRKALENTSGPVGELPADKLSTGQGLMQVDRAHEYIRQSRNIPCICYEIMVNQSGKSTPTSRGIYLREASACQQPTEWTVQVQPKFHEGASNLEELVPFEECIELHSTEKVVVRAPEYLLLTNNGRSFNIVVNPTKLSEGLHYYEVYGVDCKAPWRGPIFRIPVTITKPMTVKNHPPFISFSRMSFLPGHIERRYIEVPFGATWVEATMKTSGFDTTRRFFVDTVQICPLQRPMKWESVVTFSSPTAKSFAFPVVGGQTMELAVAQFWSSGIGSHETTIVDFEILFHGIAINKEEIILDGSEAPIRIDAEALLSSENLVPAATLNKIRVPYRPVDAKLGTLTENRDKLPSGKQTLALTLTYKFKLEDGAEVKPQVPLLNNRIYDTKFESQFYMVSDTNKRVYAMGDVYPSATKLPKGEYNLRLYLRHDNMQYLEKMKQLLLFIERNLDDKDVIRLNFFSEPDGPVMGDGAFKSSVLVPGKKEAIYLGPPVKDKLPKNAPQGSVLLGAISYGKLSLAGQEGEESSQKNPVSYQISYVVPPNKVDEDKGKSSSTSLKTVSERLEEEVRDAKIRVLSSLKQDTDEERSEWKKLSTSLKSDYPNYTPLLAKILEGLLSQSKVEDKIHHHEDVMDAADEVIDSIDKDELAKFFSLKSDPEDEETEKKKKAMETTRDELAEALYQKGLALVENESLKVRKAETEGTKDLFEDNFKGLQKWVDAKSSKYGTLLVLRERRRGRLGAALKALNEMMQDNGDPPKKKLYELKLSLLDEIGWKHLSTYEKEWMLVRFPPSLPLF >Potri.003G147900.1.v4.1 pep chromosome:Pop_tri_v4:3:16197379:16198453:1 gene:Potri.003G147900.v4.1 transcript:Potri.003G147900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G147900.v4.1 MDTELDFARARILELKAELEYERKARKKLETMSKRLAKELAEERRGREALERVCEELAREISSDKEEIDHMKREMGEEREMIRMAEVLREERVQMKLAEAKMLFEEKLLELVGTTTQAEPHQNSTSRMEQKSQEDKEPEIATPLKTTAILSGQLNRLVLGEKSCYDNSESTGAILSEKPSFNDNTSSISSMVIQRSRASPEPENPHIKRGMKGFVEFPRVVRAIGSKNKHRGTKLECQKAQLRILLKQKSPIRSNNLIVS >Potri.005G251300.9.v4.1 pep chromosome:Pop_tri_v4:5:24427663:24433366:-1 gene:Potri.005G251300.v4.1 transcript:Potri.005G251300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251300.v4.1 MIEEKEKKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSMFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVNVLPLPKNHMLLWKQVDSGEYEGTDDGEEELEGGQMSYERRGLENFLESWELSDVLFIVGKEERLVPAHKVILQASGNFPLSSSNEDVIQLQDATYPILHALLQYIYTGHTQISESQLGSLWALSLQFEVMPLGKFCEEIVERFKLNKKLFDSGKNVELSYPSSQPHCCMAFPSQLPINVQRLKQLQSTGDYSDINIYIEGHGLVAQPHKVILSLWSVPFSKMFTNGMSESRSSEVFLRDVSPEAFKVMLEFMYSGELGLEDSVEFGTLLLQALLLADQFGVTLLYQECCKTLLECLSEDSVGPILQAVSLIPSCKLIEETCERKFAMHFDYCTTTSLDFILLDETNFSNIIQHQDLTVTSEERVLNAIFMWCMRDKELCGWEVVAELLALSTPDLLFRDRLQSLNNLLPFVRFPLMPYDLLKKLGQSNIRRHVPIFDDLVREGICYAEFGSLRPGNDQNPRFQHRRSSFKELQYICDGDSNGVLYFAGTSYGEHQWINPVLAKRITITASSPPSRYTDPKTLVSRTYQGTSFAGPCMEDGHIRAWWMVDIGQDHQLMCNHYTLRQDGSRAFIRFWNLQGSLDGKTWTNLRVHENDQTMCKADQFASWPITGPHALLPFRFFRVVLTGPTTDASNPHNLCICFLELYGYFH >Potri.005G251300.8.v4.1 pep chromosome:Pop_tri_v4:5:24427417:24433372:-1 gene:Potri.005G251300.v4.1 transcript:Potri.005G251300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251300.v4.1 MIEEKEKKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSMFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVNVLPLPKNHMLLWKQVDSGEYEGTDDGEEELEGGQMSYERRGLENFLESWELSDVLFIVGKEERLVPAHKVILQASGNFPLSSSNEDVIQLQDATYPILHALLQYIYTGHTQISESQLGSLWALSLQFEVMPLGKFCEEIVERFKLNKKLFDSGKNVELSYPSSQPHCCMAFPSQLPINVQRLKQLQSTGDYSDINIYIEGHGLVAQPHKVILSLWSVPFSKMFTNGMSESRSSEVFLRDVSPEAFKVMLEFMYSGELGLEDSVEFGTLLLQALLLADQFGVTLLYQECCKTLLECLSEDSVGPILQAVSLIPSCKLIEETCERKFAMHFDYCTTTSLDFILLDETNFSNIIQHQDLTVTSEERVLNAIFMWCMRDKELCGWEVVAELLALSTPDLLFRDRLQSLNNLLPFVRFPLMPYDLLKKLGQSNIRRHVPIFDDLVREGICYAEFGSLRPGNDQNPRFQHRRSSFKELQYICDGDSNGVLYFAGTSYGEHQWINPVLAKRITITASSPPSRYTDPKTLVSRTYQGTSFAGPCMEDGHIRAWWMVDIGQDHQLMCNHYTLRQDGSRAFIRFWNLQGSLDGKTWTNLRVHENDQTMCKADQFASWPITGPHALLPFRFFRVVLTGPTTDASNPHNLCICFLELYGYFH >Potri.005G251300.1.v4.1 pep chromosome:Pop_tri_v4:5:24427407:24433087:-1 gene:Potri.005G251300.v4.1 transcript:Potri.005G251300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251300.v4.1 MIEEKEKKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSMFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSSWDKHVGYRNVNVLPLPKNHMLLWKQVDSGEYEGTDDGEEELEGGQMSYERRGLENFLESWELSDVLFIVGKEERLVPAHKVILQASGNFPLSSSNEDVIQLQDATYPILHALLQYIYTGHTQISESQLGSLWALSLQFEVMPLGKFCEEIVERFKLNKKLFDSGKNVELSYPSSQPHCCMAFPSQLPINVQRLKQLQSTGDYSDINIYIEGHGLVAQPHKVILSLWSVPFSKMFTNGMSESRSSEVFLRDVSPEAFKVMLEFMYSGELGLEDSVEFGTLLLQALLLADQFGVTLLYQECCKTLLECLSEDSVGPILQAVSLIPSCKLIEETCERKFAMHFDYCTTTSLDFILLDETNFSNIIQHQDLTVTSEERVLNAIFMWCMRDKELCGWEVVAELLALSTPDLLFRDRLQSLNNLLPFVRFPLMPYDLLKKLGQSNIRRHVPIFDDLVREGICYAEFGSLRPGNDQNPRFQHRRSSFKELQYICDGDSNGVLYFAGTSYGEHQWINPVLAKRITITASSPPSRYTDPKTLVSRTYQGTSFAGPCMEDGHIRAWWMVDIGQDHQLMCNHYTLRQDGSRAFIRFWNLQGSLDGKTWTNLRVHENDQTMCKADQFASWPITGPHALLPFRFFRVVLTGPTTDASNPHNLCICFLELYGYFH >Potri.005G251300.10.v4.1 pep chromosome:Pop_tri_v4:5:24427664:24433060:-1 gene:Potri.005G251300.v4.1 transcript:Potri.005G251300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251300.v4.1 MPLGKFCEEIVERFKLNKKLFDSGKNVELSYPSSQPHCCMAFPSQLPINVQRLKQLQSTGDYSDINIYIEGHGLVAQPHKVILSLWSVPFSKMFTNGMSESRSSEVFLRDVSPEAFKVMLEFMYSGELGLEDSVEFGTLLLQALLLADQFGVTLLYQECCKTLLECLSEDSVGPILQAVSLIPSCKLIEETCERKFAMHFDYCTTTSLDFILLDETNFSNIIQHQDLTVTSEERVLNAIFMWCMRDKELCGWEVVAELLALSTPDLLFRDRLQSLNNLLPFVRFPLMPYDLLKKLGQSNIRRHVPIFDDLVREGICYAEFGSLRPGNDQNPRFQHRRSSFKELQYICDGDSNGVLYFAGTSYGEHQWINPVLAKRITITASSPPSRYTDPKTLVSRTYQGTSFAGPCMEDGHIRAWWMVDIGQDHQLMCNHYTLRQDGSRAFIRFWNLQGSLDGKTWTNLRVHENDQTMCKADQFASWPITGPHALLPFRFFRVVLTGPTTDASNPHNLCICFLELYGYFH >Potri.008G094200.4.v4.1 pep chromosome:Pop_tri_v4:8:5879527:5886155:1 gene:Potri.008G094200.v4.1 transcript:Potri.008G094200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G094200.v4.1 MLLRRGIALVIIVSVAFQIQTCLSQINRASFPKGFVFGTASSAFQYEGAVKEDGRGPSVWDKFSHTFGKIIDFSNADVAVDQYHHFDEDIKLMKDMGMDAYRFSISWTRIYPNGTGKINQAGVDHYNKFINALLAQGIEPYVTLFHWDLPQALHDRYNGWLSPQIIKDFATFAETCFQNYGDRVKNWITFNEPHTVSIQGYDVGLQAPGRCSILLHLFCRAGNSATEPYIVAHNMLLSHGAAADIYRKKYKAKQQGSVGISLDVIWFEPATNSTKDIEAAQRAQDFQLGWFIEPLILGDYPISMRNRVGDRLPKFTENDAALVKGSLDFVGINHYTTFYARSNDSLLGDVIGKVLNDSVADSGAITLPFGENKKPIGDRANSIWLYIVPQGMRSLMNHIRQKYGNPPVIITENGMDDPNNALTPIKDALKDGKRIKYHNDYLTNLLASIKEDGCNVKGYFVWSLLDNWEWAAGYTSRFGLYFVDYKDKLKRYPKDSVQWFKKFLTSS >Potri.009G054450.1.v4.1 pep chromosome:Pop_tri_v4:9:5840167:5840787:1 gene:Potri.009G054450.v4.1 transcript:Potri.009G054450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054450.v4.1 MLMAWPAAQVIVPVGIIFKLSGLIVINLIQFSPSLFVPCPRICTEGLTK >Potri.003G143600.1.v4.1 pep chromosome:Pop_tri_v4:3:15887832:15891747:1 gene:Potri.003G143600.v4.1 transcript:Potri.003G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G143600.v4.1 MAGTWRARGAVVSAILLFGCLFAISIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNLAAVNPERTIFDVKRLIGRKFEDKEVQKDMKLVPYKIVNKDGKPYIQVKIKDGETKVFSPEEISAMILTKMKETAEAFLGKKIKDAVVTVPAYFNDAQRQATKDAGVIAGLNVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSVLTIDNGVFEVLSTNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDISKDNRALGKLRRECERAKRALSSQHQVRVEIESLHDGMDFSEPLTRARFEELNNDLFRKTMGPVKKAMDDAGLEKNQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAFGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNTVIPTKKSQVFTTYQDQQTTVSIQVFEGERSLTKDCRLLGKFDLTSIPPAPRGTPQIEVTFEVDANGILNVKAEDKGTGKSEKITITNDRGRLSQEEIERMVREAEEFAEEDKKVKERIDARNSLETYVYNMKNQINDKDKLADKLESDEKEKIETATKDALEWLDDNQNAEKEDYEEKLKEVEAVCNPIITAVYQRSAGAPGGGSAEDSEDDSQDEL >Potri.007G113150.1.v4.1 pep chromosome:Pop_tri_v4:7:13393311:13393421:1 gene:Potri.007G113150.v4.1 transcript:Potri.007G113150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113150.v4.1 MEIERIKVLLLLWCRIKSTRVALVGGNHTASRFCTR >Potri.003G079800.3.v4.1 pep chromosome:Pop_tri_v4:3:10700543:10703093:1 gene:Potri.003G079800.v4.1 transcript:Potri.003G079800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G079800.v4.1 MMMAEKEDLGLSLSLSVPQNQHSLQFNLMPSLVPSTAASSLSGFNPQKPSWNATFPPSDQNSDPYRAETRSFLRGIDVNRLPSTADCEEEAGVSSPNSTISSISGKRSEREGINGEEHEMERDYSRGISDEEDGDTSRKKLRLSKDQAAILEESFKEHNTLNPKQKMALAKQLGLRPRQVEVWFQNRRARTKLKQTEVDCEFLKRCCENLTAENRRLQKEVQELRALKLSPQFYMQMTPPTTLTMCPSCERVAVPPSASSTVDARSHPHMGPTPPHHRPIPINPWAPAAPITRGPTPFDVLRPRS >Potri.002G126000.1.v4.1 pep chromosome:Pop_tri_v4:2:9574620:9578693:1 gene:Potri.002G126000.v4.1 transcript:Potri.002G126000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126000.v4.1 MSTSKAIRSLITRENVWKHLFRSAINHNSHKFDQTRCLSSLAGILNPSISRGTYNNRKDFDLASGNLYNNTTIKRGFLGCGDGEEGSVLSKVYEERRVLGYSPEQLFDVVAAVDLYHGFVPWCQRSEILKQYPDGSFDAELEIGFKFLVESYVSHVELNRPKFLKTTSSESNLFDHLINIWEFNPGPVPGSCELYFLVDFKFQSPLYRQVASMFFKEVVSRLVGSFDERCRLIYGPGVSVLEKSYGEKA >Potri.004G170300.2.v4.1 pep chromosome:Pop_tri_v4:4:20473131:20476988:1 gene:Potri.004G170300.v4.1 transcript:Potri.004G170300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170300.v4.1 MAAELLLTFAMEETLTRVSSIAAEGIRHAWGLEGQLRKLNQSLTMIQAVLQDAARKPLTDKSAKLWLERLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKKINETLDEIRKDAAGFGLGLTSLPVDRAQEVSWDIDRETDSFLDSSEIVGREDDVSKVMDMLTSLTKNQHVLPVVPIMGMAGLGKTTVAKKVCEVVREKKHFDVTLWVCVSNDFNNVRILAAMLQMIDKTTGGLNSLDAILQNLKKELENKTFFLVLDDVWNEDHGKWDDLKEQLLKINSKNGSAVVVTTRSKKVADMMETSPGIQHEPGRLSADQCWSIIKQKVIRGGGATIASDLESIGKEIAKKCGGIPLLAKVLGGTLHGKQAQEWKSILNSRIWDSQDGNKALRILRLSLDYLSSPTLKKCFAYCSIFHKDFEIGREELVQLWMAEGFLRPSNGRMEDEGNKCFNDLLANSFFQDVDRNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHIRHLNLMSRGDDEAALTAVDARKSRTVFSMIDVFNRSWKFKSLRTLKLRRSNITELPDSICKLRHLRYIDVSDTAIRELPESITKLYHLETLRFTDCKSLEKLPKKMRNLVSLRHLHFDDPKLVPAEVRLLTRLQTLPLFVVGPNHMVEELGCLNELRGALKICKLEQVRDREEAEKAKLRGKRMNKLVFEWSDEGNSSVNNEGVLEGLQPHPDIRNMTIEGYGGEDFPSWMSNLPLNNLTILSLNGCSKCRQLPTLGCLPRLKILEIRGMPNVKCIGNEFPSSGGGAAVLFPALKELTLSHMDGLEEWMVPGGEGYQVFPCLEELRIWRCGKLESIQICGLSSLVQFDIGLCDELRYLSGEFHGFTSLQNLEIRGCSKLASIPSVQHCTVLVKLGIYDCPELMSIPGDFQELKYSLKELFIEGCKLGALPSGLQCCASLEELWIWDWKNCLLFED >Potri.011G112800.4.v4.1 pep chromosome:Pop_tri_v4:11:14330782:14337740:1 gene:Potri.011G112800.v4.1 transcript:Potri.011G112800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112800.v4.1 MGGFQLQKLQMSWQPSLLSQKRKNGPPLGLKNLGNSCYLNSVLQCLTYTPPLANFCLRLQHSSLCDSVANGDRKRDCPFCILEKRIVRSLSLDLTLDAPAKIQSCLKIFAEHFRCGRQEDAHEFLRYVIDACHNTCLRLKKLRRKGIENGGGGGVSVVKEIFGGALQSQVKCLCCNYESNKVDEIMDISLDVLNSYSVRDAMQKFFQPEVLDGNNKYKCEKCQKLVAARKQMSILQAPNVLVIQLKRFEGIFGAKIDKAIAFEEVLVLSSFMSKTSQDPQPEYNLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCNDSYVTLSTLQEVLSEKVYVLFFSRTNQRPASAASSFASYGVKSCESNGCVEFKSSKGAVPLKALPTKPQVEQSSKKDISAMSRIDRVPSSPPVKSSICGNSGSKSTPLSVNGKVDHLKGQNKGMNGNVKETVHVEKHDKDVSTVTSSNGFEKHKNVDAVEGENCHAFAAASENGHSQNAAFNSVKPLVCDSNGTTSKVTTGRVHDHLELQNGSMKCCADISGLKRKLKEDTSILLAQDAQSLAKIEEFKEALKQQASLILGSCGFFDKVYDFMRARKRLCVHEAGNKPSGTELKKLLIADAKRTFISQIPESLKEDLVKRLQSFSQEKRHSSAVLGNSTVS >Potri.011G112800.1.v4.1 pep chromosome:Pop_tri_v4:11:14330760:14337375:1 gene:Potri.011G112800.v4.1 transcript:Potri.011G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G112800.v4.1 MGGFQLQKLQMSWQPSLLSQKRKNGPPLGLKNLGNSCYLNSVLQCLTYTPPLANFCLRLQHSSLCDSVANGDRKRDCPFCILEKRIVRSLSLDLTLDAPAKIQSCLKIFAEHFRCGRQEDAHEFLRYVIDACHNTCLRLKKLRRKGIENGGGGGVSVVKEIFGGALQSQVKCLCCNYESNKVDEIMDISLDVLNSYSVRDAMQKFFQPEVLDGNNKYKCEKCQKLVAARKQMSILQAPNVLVIQLKRFEGIFGAKIDKAIAFEEVLVLSSFMSKTSQDPQPEYNLFGTIVHSGYSPESGHYYAYIKDAMGRWYCCNDSYVTLSTLQEVLSEKVYVLFFSRTNQRPASAASSFASYGVKSCESNGCVEFKSSKGAVPLKALPTKPQVEQSSKKDISAMSRIDRVPSSPPVKSSICGNSGSKSTPLSVNGKVDHLKGQNKGMNGNVKETVHVEKHDKDVSTVTSSNGFEKHKNVDAVEGENCHAFAAASENGHSQNAAFNSVKPLVCDSNGTTSKVTTGRVHDHLELQNGSMKCCADISGLKRKLKEDTSILLAQDAQSLAKIEEFKEALKQQASLILGSCGFFDKVYDFMRARKRLCVHEAGNKPSGTELKKLLIADAKRTFISQIPESLKEDLVKRLQSFSQEKRHSSAVLGNSTVS >Potri.002G185700.1.v4.1 pep chromosome:Pop_tri_v4:2:14732221:14733781:-1 gene:Potri.002G185700.v4.1 transcript:Potri.002G185700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G185700.v4.1 MASDASMATLSSSVQEDDQYDHDHDTDDGYHPPSLHNLSRLSMCTSSMYTNEDDDYQDCDGMTMFASRLTIESFDADEELSDDQKEGNHKNILHLSSDSDKETGCYSLPATPPRWRNRGGPRNQVQLIGVTDYASENEAQKGIMRQKMRKNLRKRRVIRERRMDNSNTSFKKKEEEITGLSNYGSCNSFSGESEGGGLVVITRPKGGRRSLCMDLEEVKACRDLGFELEHERMLELPSSRVSLSGSTLDTSSGGDSPIANWRISSPGDDPRDVKARLKVWAQAVAVASASRHGGI >Potri.015G049900.5.v4.1 pep chromosome:Pop_tri_v4:15:5251350:5255960:-1 gene:Potri.015G049900.v4.1 transcript:Potri.015G049900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049900.v4.1 MSRSPSFAVKQEHSLKTDLESLQQWVVAFCIIRFDLEQGQLIEECYPPGSLSNEEELDVAFSSFPDSVSQNQNRSSIHDCIFFFRIQRRKSSEQRNVNSSETVEIDDKEVSSNSMKEKVINRRKIRNDTKQLKYLYGYVFNRQRHDERLRRGGEQKSVVILSHNPYSSVFRPLLQIMGPLYFDIGKKALEHIAAYVSMWPAPVPGKHMELPIGNALLKVSLPPAHSLSFEIGMFEESASAMAPFLPNNQSIPQGLFHDSDIFGTFRSILLQLWLLWELLLIGEPILIIGPTPPQCCEAVASLVSLVAPLPCSIDFRPYFTIHDPDFKHLNSLREGDTFPPMILGVTNLFFLKALRNIPHIVSVGSPALNSNQVPFASRSAGRIHGRPEGFGLQQLSLKKFSPSSLLSAVKLRRDGPLCLMTEHKEAVWSTYVATTKPDTSILNRLIDAGMLPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSLPFIDPPPLPPFDADEFLANLSARGVGKFLSKRMKSNWLDLYKRFLNGPNFMPWFQRRLTVAEQEQHRLWRQARMKADIKLLISKMPELEIVDYFNAIERHLHGEIQMEKLGRAAVDFTETCQKLKKDLQAVFNVLPKDMQQLLLMNPERAALLQLSSEPTKLPGRPSLQIGVVSSTSSR >Potri.015G049900.1.v4.1 pep chromosome:Pop_tri_v4:15:5251109:5255960:-1 gene:Potri.015G049900.v4.1 transcript:Potri.015G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049900.v4.1 MSRSPSFAVKQEHSLKTDLESLQQWVVAFCIIRFDLEQGQLIEECYPPGSLSNEEELDVAFSSFPDSVSQNQNRSSIHDCIFFFRIQRRKSSEQRNVNSSETVEIDDKEVSSNSMKEKVINRRKIRNDTKQLKYLYGYVFNRQRHDERLRRGGEQKSVVILSHNPYSSVFRPLLQIMGPLYFDIGKKALEHIAAYVSMWPAPVPGKHMELPIGNALLKVSLPPAHSLSFEIGMFEESASAMAPFLPNNQSIPQGLFHDSDIFGTFRSILLQLWLLWELLLIGEPILIIGPTPPQCCEAVASLVSLVAPLPCSIDFRPYFTIHDPDFKHLNSLREGDTFPPMILGVTNLFFLKALRNIPHIVSVGSPALNSNQVPFASRSAGRIHGRPEGFGLQQLSLKKFSPSSLLSAVKLRRDGPLCLMTEHKEAVWSTYVATTKPDTSILNRLIDAGMLPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSLPFIDPPPLPPFDADEFLANLSARGVGKFLSKRMKSNWLDLYKFLNGPNFMPWFQRRLTVAEQEQHRLWRQARMKADIKLLISKMPELEIVDYFNAIERHLHGEIQMEKLGRAAVDFTETCQKLKKDLQAVFNVLPKDMQQLLLMNPERAALLQLSSEPTKLPGRPSLQIGVVSSTSSR >Potri.015G049900.4.v4.1 pep chromosome:Pop_tri_v4:15:5251088:5255992:-1 gene:Potri.015G049900.v4.1 transcript:Potri.015G049900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049900.v4.1 MSRSPSFAVKQEHSLKTDLESLQQWVVAFCIIRFDLEQGQLIEECYPPGSLSNEEELDVAFSSFPDSVSQNQNRSSIHDCIFFFRIQRRKSSEQRNVNSSETVEIDDKEVSSNSMKEKVINRRKIRNDTKQLKYLYGYVFNRQRHDERLRRGGEQKSVVILSHNPYSSVFRPLLQIMGPLYFDIGKKALEHIAAYVSMWPAPVPGKHMELPIGNALLKVSLPPAHSLSFEIGMFEESASAMAPFLPNNQSIPQGLFHDSDIFGTFRSILLQLWLLWELLLIGEPILIIGPTPPQCCEAVASLVSLVAPLPCSIDFRPYFTIHDPDFKHLNSLREGDTFPPMILGVTNLFFLKALRNIPHIVSVGSPALNSNQVPFASRSAGRIHGRPEGFGLQQLSLKKFSPSSLLSAVKLRRDGPLCLMTEHKEAVWSTYVATTKPDTSILNRLIDAGMLPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSLPFIDPPPLPPFDADEFLANLSARGVGKFLSKRMKSNWLDLYKRFLNGPNFMPWFQRRLTVAEQEQHRLWRQARMKADIKLLISKMPELEIVDYFNAIERHLHGEIQMEKLGRAAVDFTETCQKLKKDLQAVFNVLPKDMQQLLLMNPERAALLQLSSEPTKLPGRPSLQIGVVSSTSSR >Potri.015G049900.6.v4.1 pep chromosome:Pop_tri_v4:15:5251316:5255905:-1 gene:Potri.015G049900.v4.1 transcript:Potri.015G049900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G049900.v4.1 MKEKVINRRKIRNDTKQLKYLYGYVFNRQRHDERLRRGGEQKSVVILSHNPYSSVFRPLLQIMGPLYFDIGKKALEHIAAYVSMWPAPVPGKHMELPIGNALLKVSLPPAHSLSFEIGMFEESASAMAPFLPNNQSIPQGLFHDSDIFGTFRSILLQLWLLWELLLIGEPILIIGPTPPQCCEAVASLVSLVAPLPCSIDFRPYFTIHDPDFKHLNSLREGDTFPPMILGVTNLFFLKALRNIPHIVSVGSPALNSNQVPFASRSAGRIHGRPEGFGLQQLSLKKFSPSSLLSAVKLRRDGPLCLMTEHKEAVWSTYVATTKPDTSILNRLIDAGMLPRVEESMSVVNNEILRRHFLELTTNFLAPFGPYFRATTPSEGSLPFIDPPPLPPFDADEFLANLSARGVGKFLSKRMKSNWLDLYKRFLNGPNFMPWFQRRLTVAEQEQHRLWRQARMKADIKLLISKMPELEIVDYFNAIERHLHGEIQMEKLGRAAVDFTETCQKLKKDLQAVFNVLPKDMQQLLLMNPERAALLQLSSEPTKLPGRPSLQIGVVSSTSSR >Potri.012G083700.2.v4.1 pep chromosome:Pop_tri_v4:12:10927489:10929855:1 gene:Potri.012G083700.v4.1 transcript:Potri.012G083700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G083700.v4.1 MMTSEIMELIKVTVFSPYRVFLRDSYITAHPDSDFSIPRKARRILTPDMTKVAQSLNNIQPRSLFLFGKQELSGENWLNFEGAKFLRVLDLEDAKIRRLPDEVADLIHLAYLGLKNNDINELPDRLGNLRALQSLDIRWCGDLTELSTEILKLVRLRHLKMFKSRNVSGMKLPGGVGTLGSLLTLTGVHAGGEIAGELRKLTQLRRLGVMDVAEENANELYASIMVMQGLLSLSLEAKHTFDQGHLVLRDSFSPPSILRKLRLEGLLEKTPNWLGSMESLTKLRLGFSHLSENPTLVLQVLPNLEKLTLWHAYDGRQLGKDFCKPGGFPKLEVLIIASRVLEEWTELEEGALPRLKYLHFHNCLNLRMLPEGLQFVTTVEELVLLPLLDEHEERLKPDGGLENYKIRNIPKITFIPASVVASRFNERFSTPPTPATDKE >Potri.002G074500.1.v4.1 pep chromosome:Pop_tri_v4:2:5207066:5211030:1 gene:Potri.002G074500.v4.1 transcript:Potri.002G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074500.v4.1 MISGRDPDALFSGGGISFLNGSGGAQFSYGYSSFKGKRASMEDFYETSISEVDGQMVAFFGVFDGHGGARTAEYLKNNLFKNLSSHPDFIRDTKTAIVEAFRQTDAEYLHEEKAHQKDAGSTASTAVLLGDRLLVANVGDSRVVACRAGSAIPLSIDHKPDRSDERQRIEEAGGFVVWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIDGVEFIIVASDGLWNVLTNKDAVALVQDITDAEAASRKLIQEAYARGSTDNITCVVVRFDWSPVSSSDLSSSSDSRTHEQAHQDPKLSQ >Potri.002G074500.2.v4.1 pep chromosome:Pop_tri_v4:2:5207111:5211010:1 gene:Potri.002G074500.v4.1 transcript:Potri.002G074500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G074500.v4.1 MEDFYETSISEVDGQMVAFFGVFDGHGGARTAEYLKNNLFKNLSSHPDFIRDTKTAIVEAFRQTDAEYLHEEKAHQKDAGSTASTAVLLGDRLLVANVGDSRVVACRAGSAIPLSIDHKPDRSDERQRIEEAGGFVVWAGTWRVGGVLAVSRAFGDKLLKPYVVAEPEIQEEEIDGVEFIIVASDGLWNVLTNKDAVALVQDITDAEAASRKLIQEAYARGSTDNITCVVVRFDWSPVSSSDLSSSSDSRTHEQAHQDPKLSQ >Potri.002G178400.1.v4.1 pep chromosome:Pop_tri_v4:2:13924337:13936372:-1 gene:Potri.002G178400.v4.1 transcript:Potri.002G178400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178400.v4.1 MLYVCVYIYIYRCPRIIIFSTYLLSILKKMEIFQQILLSTSGLLLAVFMVACSPPENHIKCSSTNTNCTITNSYGTFPDRSLCQAANVAYPTTEEELISIVAGATEAERKVKVATRYSHSIPKLVCPDGQNGLLISTNYLNRTLEIDVQSMKMSVESGVTLRQLINEAAKAGLALPYSPYWWGLTIGGLLSTGAHGSTLWGKGSAIHDYVVALTIISPGGPEDGYAKVRSLDESNSTELNAAKVSLGVLGVISKVTLQLQPLFKRSISYVVKKDTDLGDQVASFGRQHEFADITWYPSQGKAVYRIDDRISSNTSGNGLYDYIAFRSTLSLGLAAIRATEDAQESLKDPDGKCASAKLITSTLVNLAYGLTNNGIVFTGYPIIGYHNRLQSSGTCLDSPEDALITACPWDSRIKGEYFFQATFSISLSVVKSFIQDVQNLVKLEPRALCGLEQYNGILMRYVKASSAYLGKEDDALDFDMTFYRNKDPTKPRLYEDIYEEIEQLAVFKYGGLPHWGKNRNLVFNGALKKYKNAGAFLRVKEMYDPLGLFSNEWADQVLGLKGEVNIIKEGCALEGLCICSQDIHCAPRDGYLCRAGKIYQDARVCALVSNSEQ >Potri.004G096425.1.v4.1 pep chromosome:Pop_tri_v4:4:8315905:8316657:1 gene:Potri.004G096425.v4.1 transcript:Potri.004G096425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096425.v4.1 MVKSQLDNFGSKEETNQTKPIHLSTYVLVYAYTLVCMLEAKGLNSNDKIKILITVDCRPRLNPPLPKNYIGNCVSSFDVVVEGEDLMKENGVAYVAKRLTEMIKGLENRSVFEGAKERLPYNDWEPDIRQVRAAGTNRFGMYGADFGWGKPSNVEVTTIDRLDAFSIMESKDESGGVEVGLVLKEHEMKLFGSLFASGLRM >Potri.007G132100.12.v4.1 pep chromosome:Pop_tri_v4:7:14543124:14548874:-1 gene:Potri.007G132100.v4.1 transcript:Potri.007G132100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132100.v4.1 MEKQRERIEELLKFTLESHINQTLEFNLGLSKDFCINLLEEDPNDMLCHSTPTPTPTDSFDGVALYPLYKRLASALYRSVKSGAVCRTYEKMVFGDKDSNLKQKEENWDQLIKEKGLELINVLEGISCEIHVQEPYFSLLKDGRKTIEGRCATGDYIRIEPGDLILVNKIVVLKVEDVRRYASFSKMLQAENLEKVLPGVKTVEEGVKIYRKFYTEEKEMSNGVLAICVSKLAAQPYLSLASILFGLSYGGVRSLLGLADTGGTVSNALPPPRSTLLSSFIFPYNPNIKGSALTHGARALAKHAERSRDRYWGILGGSDSTKNRLAMNVISRIIASCCWSNIHVVPQHGAVFEIRVADGYGARWSKDGTKFIGFLEPYIEDGHSRGWKH >Potri.007G132100.3.v4.1 pep chromosome:Pop_tri_v4:7:14543766:14548997:-1 gene:Potri.007G132100.v4.1 transcript:Potri.007G132100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132100.v4.1 MEKQRERIEELLKFTLESHINQTLEFNLGLSKDFCINLLEEDPNDMLCHSTPTPTPTDSFDGVALYPLYKRLASALYRSVKSGAVCRTYEKMVFGDKDSNLKQKEENWDQLIKEKGLELINVLEGISCEIHVQEPYFSLLKDGRKTIEGRCATGDYIRIEPGDLILVNKIVVLKVEDVRRYASFSKMLQAENLEKVLPGVKTVEEGVKIYRKFYTEEKEMSNGVLAICVSKLAAQPYLSLASILFGLSYGGVRSLLGLADTGGTVSNALPPPRSTLLSSFIFPYNPNVKLWFVMNCFMLYAISKGVLLALSGPFYIRNWIALNCQFKIWIQ >Potri.007G132100.13.v4.1 pep chromosome:Pop_tri_v4:7:14543757:14548819:-1 gene:Potri.007G132100.v4.1 transcript:Potri.007G132100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132100.v4.1 MEKQRERIEELLKFTLESHINQTLEFNLGLSKDFCINLLEEDPNDMLCHSTPTPTPTDSFDGVALYPLYKRLASALYRSVKSGAVCRTYEKMVFGDKDSNLKQKEENWDQLIKEKGLELINVLEGISCEIHVQEPYFSLLKDGRKTIEGRCATGDYIRIEPGDLILVNKIVVLKVEDVRRYASFSKMLQAENLEKVLPGVKTVEEGVKIYRKFYTEEKEMSNGVLAICVSKLAAQPYLSLASILFGLSYGGVRSLLGLADTGGTVSNALPPPRSTLLSSFIFPYNPNCLDSWSKGLGKAC >Potri.007G132100.1.v4.1 pep chromosome:Pop_tri_v4:7:14543124:14548874:-1 gene:Potri.007G132100.v4.1 transcript:Potri.007G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G132100.v4.1 MEKQRERIEELLKFTLESHINQTLEFNLGLSKDFCINLLEEDPNDMLCHSTPTPTPTDSFDGVALYPLYKRLASALYRSVKSGAVCRTYEKMVFGDKDSNLKQKEENWDQLIKEKGLELINVLEGISCEIHVQEPYFSLLKDGRKTIEGRCATGDYIRIEPGDLILVNKIVVLKVEDVRRYASFSKMLQAENLEKVLPGVKTVEEGVKIYRKFYTEEKEMSNGVLAICVSKLAAQPYLSLASILFGLSYGGVRSLLGLADTGGTVSNALPPPRSTLLSSFIFPYNPNIKGSALTHGARALAKHAERSRDRYWGILGGSDSTKNRLAMNVISRIIASCCWSNIHVVPQHGAVFEIRVADGYGARWSKDGTKFIGFLEPYIEDGHSRGWKH >Potri.004G039500.1.v4.1 pep chromosome:Pop_tri_v4:4:3140292:3143402:-1 gene:Potri.004G039500.v4.1 transcript:Potri.004G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039500.v4.1 MADLENLLLEAAGRTGKSGRNRNSILPSRRRKREGSYSDGGSDSRDDDSDDDRGYANRKPSGSQVPLKKRLETNERDGEEGSQDEGDYDGGGSDREGGSSDESDIGDDLYKDEDDRKKLAQMSELDRELILADRADKKGDKHLTEKIRLKRDNDKPTRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDVSRGSAGSRGFSQVKKNNFTSASLSSSSSESGSRSHSEDEGSTGDGGMADSDEDVEPGSKGPTYEDIKEITIRRTKLAKWFMEPWFEELIIGCFVRVGIGRSKSGPVYRLCMVRNVDAAEPDKPYKLENKSTYKYLNVTWGADTSAARWQMAMVSDSGPTEEEYKQWVREVECGGGRLPSKQDILEKKEAIRKSNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEIAQSKHDEAEVERINARIEELEASRHAKVKDAKAIRLAEMNRKNRVENFRNASEMKPVNTGLKAGEAGYDPFSRRWTRSRNYYVSKPAEADDPVATKISEANETVAVAASNQVVAGVMSEAGVAATEAALEAAADAGKLVDTSAPVDQGTESNTMHSFELEISLIALQEFGGPQGAQAGFMARKQRIEATVGCRVPENDGRRHALTLTVGDYKRRRGLL >Potri.004G039500.2.v4.1 pep chromosome:Pop_tri_v4:4:3140316:3143271:-1 gene:Potri.004G039500.v4.1 transcript:Potri.004G039500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G039500.v4.1 MADLENLLLEAAGRTGKSGRNRNSILPSRRRKREGSYSDGGSDSRDDDSDDDRGYANRKPSGSQVPLKKRLETNERDGEEGSQDEGDYDGGGSDREGGSSDESDIGDDLYKDEDDRKKLAQMSELDRELILADRADKKGDKHLTEKIRLKRDNDKPTRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDVSRGSAGSRGFSQVKKNNFTSASLSSSSSESGSRSHSEDEGSTGDGGMADSDEDVEPGSKGPTYEDIKEITIRRTKLAKWFMEPWFEELIIGCFVRVGIGRSKSGPVYRLCMVRNVDAAEPDKPYKLENKSTYKYLNVTWGADTSAARWQMAMVSDSGPTEEEYKQWVREVECGGGRLPSKQDILEKKEAIRKSNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEIAQSKHDEAEVERINARIEELEASRHAKVKDAKAIRLAEMNRKNRVENFRNASEMKPVNTGLKAGEAGYDPFSRRWTRSRNYYVSKPAEADDPVATKISEANETVAVAASNQVVAGVMSEAGVAATEAALEAAADAGKLVDTSAPVDQGTESNTMHSFELEISLIALQEFGGPQGAQAGFMARKQRIEATVGCRVPENDGRRHALTLTVGDYKRRRGLL >Potri.005G103700.2.v4.1 pep chromosome:Pop_tri_v4:5:7521378:7522674:1 gene:Potri.005G103700.v4.1 transcript:Potri.005G103700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G103700.v4.1 MLTTSTLSNHIYFYYPLVFLYKENRIIGKAYQVQTFSEIKASGEKKRENIKAIMACKYHVRSISLPSRSHPTTQRIEEELNKLKAWEVSSNSTSGSICNGLSGLEDLYKCMDDLLNLASTQQVLSRYENEKCLDELLDGSVRLLDVCSIGRDILLRFREQVQALQSAFRRRKGDSSTESSVATFTCFRKKMKKDAKKLIASLKQMDNKLGASSLLDQDQHLSAVIRVIREVNVINCSIFQSLLMFLSTSSKPNQSRWSLVSKLMHKGVIACEEKQENVNEIETVDAALSEVSDSEKVKIAQKRLEALEMSIDDLGNCLERLSRPLIKSRASLLNIISQ >Potri.015G005700.1.v4.1 pep chromosome:Pop_tri_v4:15:339282:340019:1 gene:Potri.015G005700.v4.1 transcript:Potri.015G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G005700.v4.1 MVIFSWRKMGNCLAHNCVRPPFIGSCIGFPQAKHEKVLHVVKTDGKVLEFSAPILVKDIAVNFPGSGIGLTNEAIEHLPPSYELKLGNVYHVLPPPPGTSPVVDREEEASSGGVKRIKVVITKQQLQQLLKKEISLEEVLLGLEQKSSSLDSPRNWKPKLESIPEGLE >Potri.005G020300.1.v4.1 pep chromosome:Pop_tri_v4:5:1296132:1298080:1 gene:Potri.005G020300.v4.1 transcript:Potri.005G020300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020300.v4.1 MDREGGSKGGSCYYTVLGIRRDASFSDIRSAYRKLAMKWHPDKWAQNPGVAGEAKRRFQQIQEAYSVLSDQSKKSMYDAGLYDPLEEEDEEFCDFMQEMISMMNNVKDEGDSFEDLQKMFVEMVGGNGASFDLNDDAASKKRARVTTSKGNAAKRSTSCC >Potri.014G027800.1.v4.1 pep chromosome:Pop_tri_v4:14:1742832:1747235:1 gene:Potri.014G027800.v4.1 transcript:Potri.014G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027800.v4.1 MASNSYGEHFYQNKLLLDPTEASFLDLILFLVSSNIKGRGFIECHEEQGLRNFNSRWIVVISVLVQKILLFFRKPMAMIGNALEMWLNLLLSNGGFFKLLLNILKGKVVKTPDRSSEKFISVIGNLDLRVELDKKSSPGDKKYNASLSWMAAKLAYENGAFVESIVKDHWNMKFLGFFDFWNDHLNQASTHAFMFQDTKADPNLFVLAFRGTEPFDAYGWATDVDLSWYKFKGIGQIHRGFMKALGSQNNGWPKEIIEPDHDHLYAYYETRQMLRDIVSKNEQAKFIVTGHSLGGALAILFVAVLTMHGEAELLERLEGVYTFGQPRVGDEEFGEYMIDGLKKHKVKYLRYVYCNDMVPRVPFDNNSFFYKHFWECKYYTSCYKEKVMQEEPNKNYFSLLMAIPKFLNAVWELIRSFIIPCLKGPDYRESWLMTLIRVVGLVIPGLSAHCPQDYTNSTRLGS >Potri.014G027800.2.v4.1 pep chromosome:Pop_tri_v4:14:1742833:1747234:1 gene:Potri.014G027800.v4.1 transcript:Potri.014G027800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G027800.v4.1 MAAKLAYENGAFVESIVKDHWNMKFLGFFDFWNDHLNQASTHAFMFQDTKADPNLFVLAFRGTEPFDAYGWATDVDLSWYKFKGIGQIHRGFMKALGSQNNGWPKEIIEPDHDHLYAYYETRQMLRDIVSKNEQAKFIVTGHSLGGALAILFVAVLTMHGEAELLERLEGVYTFGQPRVGDEEFGEYMIDGLKKHKVKYLRYVYCNDMVPRVPFDNNSFFYKHFWECKYYTSCYKEKVMQEEPNKNYFSLLMAIPKFLNAVWELIRSFIIPCLKGPDYRESWLMTLIRVVGLVIPGLSAHCPQDYTNSTRLGS >Potri.016G079200.5.v4.1 pep chromosome:Pop_tri_v4:16:6019275:6024398:1 gene:Potri.016G079200.v4.1 transcript:Potri.016G079200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079200.v4.1 MPRSGGSGASADEPDIPEKSVKPEEQVDLDGDNEAEETMEEEVEYEEVEEEEEVEEIEEEVEEEVEEEEIEEAADEADSKKGSDGEEEIDEQRKHAELLALPPHGSEVYLGGIPPDASEGDLKEFCESIGEVTEVRIMKGKDSSESKGYAFVSFRTKELASKAIEELNNTEFKGKKVKCSTSQANHRLFIGNVPRNWGEENMKKAVKKIGPGVNSVELLKDPQNPSRNRGFAFIEYYNHACAEYSRKKMSNPEFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPEDITQDGLRQLFEHHGKVTKVVLPPAKPGHEKSRFGFVHFAERSSAMKALKNTEKYEIDGHVLDCSLAKPHTDQKPSGGPNSQNSSLYSNFPPQLGYGLAGGTYGGFGAGFGGAGFGAAGFTQPVIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQAHSSPQPQGGRGRGAGSSSGGRRGNDRGRSRYNPY >Potri.016G079200.7.v4.1 pep chromosome:Pop_tri_v4:16:6019328:6024389:1 gene:Potri.016G079200.v4.1 transcript:Potri.016G079200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079200.v4.1 MPRSGGSGASADEPDIPEKSVKPEEQVDLDGDNEAEETMEEEVEYEEVEEEEEVEEIEEEVEEEVEEEEIEEAADEADSKKGSDGEEEIDEQRKHAELLALPPHGSEVYLGGIPPDASEGDLKEFCESIGEVTEVRIMKGKDSSESKGYAFVSFRTKELASKAIEELNNTEFKGKKVKCSTSQANHRLFIGNVPRNWGEENMKKAVKKIGPGVNSVELLKDPQNPSRNRGFAFIEYYNHACAEYSRKKMSNPEFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPEDITQDGLRQLFEHHGKVTKVVLPPAKPGHEKSRFGFVHFAERSSAMKALKNTEKYEIDGHVLDCSLAKPHTDQKPSGGPNSQNSSLYSNFPPQLGYGLAGGTYGGFGAGFGGAGFGAAGFTQPVIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQAHSSPQPQGGRGRGAGSSSGGRRGNDRGRSRYNPY >Potri.016G079200.9.v4.1 pep chromosome:Pop_tri_v4:16:6019329:6024282:1 gene:Potri.016G079200.v4.1 transcript:Potri.016G079200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079200.v4.1 MPRSGGSGASADEPDIPEKSVKPEEQVDLDGDNEAEETMEEEVEYEEVEEEEEVEEIEEEVEEEVEEEEIEEAADEADSKKGSDGEEEIDEQRKHAELLALPPHGSEVYLGGIPPDASEGDLKEFCESIGEVTEVRIMKGKDSSESKGYAFVSFRTKELASKAIEELNNTEFKGKKVKCSTSQANHRLFIGNVPRNWGEENMKKAVKKIGPGVNSVELLKDPQNPSRNRGFAFIEYYNHACAEYSRKKMSNPEFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPEDITQDGLRQLFEHHGKVTKVVLPPAKPGHEKSRFGFVHFAERSSAMKALKNTEKYEIDGHVLDCSLAKPHTDQKPSGGPNSQNSSLYSNFPPQLGYGLAGGTYGGFGAGFGGAGFGAAGFTQVS >Potri.016G079200.3.v4.1 pep chromosome:Pop_tri_v4:16:6019219:6024428:1 gene:Potri.016G079200.v4.1 transcript:Potri.016G079200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079200.v4.1 MPRSGGSGASADEPDIPEKSVKPEEQVDLDGDNEAEETMEEEVEYEEVEEEEEVEEIEEEVEEEVEEEEIEEAADEADSKKGSDGEEEIDEQRKHAELLALPPHGSEVYLGGIPPDASEGDLKEFCESIGEVTEVRIMKGKDSSESKGYAFVSFRTKELASKAIEELNNTEFKGKKVKCSTSQANHRLFIGNVPRNWGEENMKKAVKKIGPGVNSVELLKDPQNPSRNRGFAFIEYYNHACAEYSRKKMSNPEFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPEDITQDGLRQLFEHHGKVTKVVLPPAKPGHEKSRFGFVHFAERSSAMKALKNTEKYEIDGHVLDCSLAKPHTDQKPSGGPNSQNSSLYSNFPPQLGYGLAGGTYGGFGAGFGGAGFGAAGFTQPVIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQAHSSPQPQGGRGRGAGSSSGGRRGNDRGRSRYNPY >Potri.016G079200.8.v4.1 pep chromosome:Pop_tri_v4:16:6019305:6024371:1 gene:Potri.016G079200.v4.1 transcript:Potri.016G079200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079200.v4.1 MPRSGGSGASADEPDIPEKSVKPEEQVDLDGDNEAEETMEEEVEYEEVEEEEEVEEIEEEVEEEVEEEEIEEAADEADSKKGSDGEEEIDEQRKHAELLALPPHGSEVYLGGIPPDASEGDLKEFCESIGEVTEVRIMKGKDSSESKGYAFVSFRTKELASKAIEELNNTEFKGKKVKCSTSQANHRLFIGNVPRNWGEENMKKAVKKIGPGVNSVELLKDPQNPSRNRGFAFIEYYNHACAEYSRKKMSNPEFKLDDNAPTVSWADPKNAGSSAASQVKAVYVKNLPEDITQDGLRQLFEHHGKVTKVVLPPAKPGHEKSRFGFVHFAERSSAMKALKNTEKYEIDGHVLDCSLAKPHTDQKPSGGPNSQNSSLYSNFPPQLGYGLAGGTYGGFGAGFGGAGFGAAGFTQPVIYGRGPTPAGMAMMPMLLPDGRIGYVLQQPGMQAHSSPQPQGGRGRGAGSSSGGRRGNDRGRSRYNPY >Potri.001G262800.1.v4.1 pep chromosome:Pop_tri_v4:1:27865009:27868514:1 gene:Potri.001G262800.v4.1 transcript:Potri.001G262800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G262800.v4.1 MGKVSILGLVLAVLGVLAGEFVCNGDTLYINCSLSDRKALTDFKHGLEDPENRLSSWKGTHCCQWRGISCDNTNGAVISVDLHNPYPVSSAESSTRYGYWNLSGEIRPSLLKLKSLQHLDLSLNTFNNIPIPTFLGSMRSLRYLNLSEAGFSGAVPLNLGNLSSLEFLDVSSPFSGLAVSSLEWVRGLVSLKHLAINGVDLSMVGSNWLGVLNVLPHLAEIHLSGCGLSGSVLSHSSVNFTSLSVIDLSLNHFDSIFPDWLVNISSLSYVDLSNCGLYGRIPLAFSELPSLQYLSLAMNDNLSASCSQLFQGSWKKIEVLSLAANKLHGKLPSSIGNMSSLTNFDLFSNSVEGGIPSSIGKLCNLKIFDLSGNNLTGSLPEVLERTSCVSNNPMPSLIYLRLSVNHLVGNLPAWLGELENLAELTLDYNMIQGPIPASLGNLHNLTILGLAGNQLNGSLPDSFGQLSQLWSLDVSFNHLSGFITELHFSRLHKLKFLHLSSNSFNFNVSSNWIPPFQLRNLDLGSCHLGPSFPAWLRTQKEVGFLDFSNASISDTIPNWFWEISSNLSLVNVSFNQLQGLLPNPLSVAPFADVDFSSNLLEGPIPLPTVGIESLDLSNNHFSGSIPQNITKSMPDLIFLSLSNNQLTGAIPASIGDMLILQVIDLSNNSLERNIPSSIGNSSLLKALDLSHNNLSGVIPELLGQLNQLQSIHLSNNNLTGKLPLSLQNLSSLETLDLGNNRLSGNIPLWIGGGFPQLRILSLRSNAFSGEIPSNLANLSSLQVLDLADNKLTGAIPETLGDFKAMSKEQYVNQYLLYGKYRGLYYGERFVMNIKGGPQKYTKTLSLVTSIDLSINSLNGEFPDQITKLVGLVTLNLSKNQVSGHVPDNISSLRQLSSLDLSSNRLSGAIPSSLPALSFLSYLNLSNNNLSGMIPYRGQMTTFEASSFSGNPGLCGPPLVLQCQGDDSGKGGTSTIEDSDDGFIDSWFYLSIGLGFAAGILVPILVFAIKKPWRLSYFGFVDKIVDRAL >Potri.003G120600.4.v4.1 pep chromosome:Pop_tri_v4:3:14176707:14184425:-1 gene:Potri.003G120600.v4.1 transcript:Potri.003G120600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120600.v4.1 MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALQQQPDISEISQLREAYREVGRDLLKLLFFIEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQEEHQGSYLSIYDQPALPFQEPVVDSMKAAVDRLTHSTNFLNFLAQHALIMQEELPTSEGPVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIVLFIGNVMYALAYDFNSIAILLIGRLFCGLGSARAVNRRYISDCVPLKMRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTFNQETLPGWVMSLAWLLYLVWLWISFREPCLETEESSAAQESTTEPVENDALEKGLKKPLLLNSEDKQETEDGDGEFDGSDGAPEESRGPATSIGSAYKLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFGWSTSSVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLTSEIMVCIGILLSFHIISPYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLLPSLVICVASIVATCFTYNSLY >Potri.003G120600.5.v4.1 pep chromosome:Pop_tri_v4:3:14176726:14184398:-1 gene:Potri.003G120600.v4.1 transcript:Potri.003G120600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120600.v4.1 MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALQQQPDISEISQLREAYREVGRDLLKLLFFIEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQEEHQGSYLSIYDQPALPFQEPVVDSMKAAVDRLTHSTNFLNFLAQHALIMQEELPTSEGPVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIVLFIGNVMYALAYDFNSIAILLIGRLFCGLGSARAVNRRYISDCVPLKMRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTFNQETLPGWVMSLAWLLYLVWLWISFREPCLETEESSAAQESTTEPVENDALEKGLKKPLLLNSEDKQETEDGDGEFDGSDGAPEESRGPATSIGSAYKLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFGWSTSSVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLTSEIMVCIGILLSFHIISPYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLLPSLVICVASIVATCFTYNSLY >Potri.003G120600.1.v4.1 pep chromosome:Pop_tri_v4:3:14176711:14184439:-1 gene:Potri.003G120600.v4.1 transcript:Potri.003G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120600.v4.1 MVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALQQQPDISEISQLREAYREVGRDLLKLLFFIEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQEEHQGSYLSIYDQPALPFQEPVVDSMKAAVDRLTHSTNFLNFLAQHALIMQEELPTSEGPVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIVLFIGNVMYALAYDFNSIAILLIGRLFCGLGSARAVNRRYISDCVPLKMRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTFNQETLPGWVMSLAWLLYLVWLWISFREPCLETEESSAAQESTTEPVENDALEKGLKKPLLLNSEDKQETEDGDGEFDGSDGAPEESRGPATSIGSAYKLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFGWSTSSVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLTSEIMVCIGILLSFHIISPYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLLPSLVICVASIVATCFTYNSLY >Potri.003G120600.2.v4.1 pep chromosome:Pop_tri_v4:3:14176707:14184398:-1 gene:Potri.003G120600.v4.1 transcript:Potri.003G120600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120600.v4.1 MGVLATILCCLSLLTLKLCTSFFFQQEMVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALQQQPDISEISQLREAYREVGRDLLKLLFFIEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQEEHQGSYLSIYDQPALPFQEPVVDSMKAAVDRLTHSTNFLNFLAQHALIMQEELPTSEGPVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIVLFIGNVMYALAYDFNSIAILLIGRLFCGLGSARAVNRRYISDCVPLKMRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTFNQETLPGWVMSLAWLLYLVWLWISFREPCLETEESSAAQESTTEPVENDALEKGLKKPLLLNSEDKQETEDGDGEFDGSDGAPEESRGPATSIGSAYKLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFGWSTSSVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLTSEIMVCIGILLSFHIISPYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLLPSLVICVASIVATCFTYNSLY >Potri.003G120600.3.v4.1 pep chromosome:Pop_tri_v4:3:14176707:14184438:-1 gene:Potri.003G120600.v4.1 transcript:Potri.003G120600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G120600.v4.1 MGVLATILCCLSLLTLKLCTSFFFQQEMVAFGKKLKERQIQEWQGYYINYKLMKKKVRQYAQQIEVGTQDRRHVLKDFSRMLDNQIEKIVLFLLEQQGLLASRIAKLNEQQEALQQQPDISEISQLREAYREVGRDLLKLLFFIEINAIGLRKILKKFDKRFGYRFTDYYVKTRANHPYSQLQQVFKHVGLGAVVGAISRNLHELQEEHQGSYLSIYDQPALPFQEPVVDSMKAAVDRLTHSTNFLNFLAQHALIMQEELPTSEGPVDDQRYHFMSLLLNLVNTFLYMVNTYIIVPTADDYSMSLGAAATVCGIVIGSMAVAQVFSSVYFSAWSNKSYFKPLVFSSIVLFIGNVMYALAYDFNSIAILLIGRLFCGLGSARAVNRRYISDCVPLKMRMQASAGFVSASALGMACGPALAGLLQTNFKIYKLTFNQETLPGWVMSLAWLLYLVWLWISFREPCLETEESSAAQESTTEPVENDALEKGLKKPLLLNSEDKQETEDGDGEFDGSDGAPEESRGPATSIGSAYKLLTPSVKVQLLIYFMLKYAMEVLLSESSVVTTYYFGWSTSSVAIFLACLGLTVLPVNIVVGSYISNMFEDRQILLTSEIMVCIGILLSFHIISPYTVPQYVCSGLIMFVSAEVLEGVNLSLLSRVMSSRLSRGTYNGGLLSTEAGTLARVVADGTITLAGYLGESKLLNVTLLPSLVICVASIVATCFTYNSLY >Potri.014G108700.1.v4.1 pep chromosome:Pop_tri_v4:14:7294413:7295176:-1 gene:Potri.014G108700.v4.1 transcript:Potri.014G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G108700.v4.1 MATSIRVAQLCIVMVLVLGLCLTMVQAQRGSVNLCPGSTSRGTCTGPINCFRADPVCGANGVTYGCGCPEAACARVRVVKLGAC >Potri.006G174700.7.v4.1 pep chromosome:Pop_tri_v4:6:17866571:17874799:-1 gene:Potri.006G174700.v4.1 transcript:Potri.006G174700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G174700.v4.1 MDSSTASTSEESAGKIVASRSSVIQSSRVCGLSVFRINKEDLQRKLSIPKYLRHAIRDSIRSKDVNAAVDRCQEGSGGGREAAPDGPMVVFVNSKSGGRHGPELKERLQQLMGEEQVFDLSDVNPREFVDYGLVCLEKLADLGDYCAKDTRDKLRIMVAGGDGTVGWVLGSLTELHTQDRKPVPPVAVIPLGTGNDLSRSFGWGGAFPFAWKSAVKKSLLKAITGPVCHLDSWHLLVSMPSGKVVDPPHSLKPTEECSLDQGLTIEGELPEKVNCYEGVFYNYFSIGMDARVAYGFHHLRNEKPNLAQGPLSNKLIYSGYSCTQGWFVTPCTSDPSLRGLKNILRMHVKKVNCTEWEQIPVPKSVRAIVALNLHNYGSGRNPWGSPKRQYLEKKGFVEAHVDDGLLEIFGLKHGWHASFVMVELISAKHIAQAAAIRLEVRSGEWKDSYMQMDGEPWKQPMSKEYSTFVEIKRVPFQSLMVNGL >Potri.011G141501.2.v4.1 pep chromosome:Pop_tri_v4:11:17175555:17177108:-1 gene:Potri.011G141501.v4.1 transcript:Potri.011G141501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141501.v4.1 MMLKYSRAATNLRELEELGCTIMHGVDAHTMIKHPLLNQKLFDRIVYNSPATALKRRESNTRQIEKHQRLVEGFLESAYDILEVIGEIHVTHKTTEPYRKWDIERLAEDAGCAWLRKLRSRKLTIQE >Potri.001G098300.1.v4.1 pep chromosome:Pop_tri_v4:1:7802712:7812209:-1 gene:Potri.001G098300.v4.1 transcript:Potri.001G098300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098300.v4.1 MRASLPKHERRWASDTLPGNATMSTGTSPGTESGEEFVEVTLDLQGDDTIVLRSVEPATSTVINIDDVSFTTGGSVSAGAVTPVSRSPTIRRSSSNKLLQFSQELKAEAVAKARQFSHELKAELRRFSWSHGQAARILSASGNNGGGAFESALAARALRKQRAQLDRTRSGAHKALRGLRFISKNSKKTNGVDAWSEVQSNFEKLAEDGYLNRADFAQCIGMKDSKEFALELFDALGRRRRLKADKICGDELYEFWSQITDQSFDSRLQIFFDMVDKNEDGRITEEEVKEIIMLSASANKLSRLKEQAEEYAALIMEELDPERFGYIELWQLETLLLQKDTYLNYSQALSYTSQALSQNLQGLRKRSPIRRVSKQCVYFFQENWRRIWVLTLWVMIMIGLFAWKFFQYKQKNAFQVMGYCLLTAKGAAETLKFNMALILLPVCRNTITWLRSTRLGPLVPFDDNINFHKTIAAAIVIGVILHAGNHLVCDFPRLINSSDEQYRAYLSDDFGGNKPSYAKLARGTEGVTGILMVISMAIAFTLATRWFRRSLIKFPKPFDRLTGYNAFWYSHHLFVIVYILLTIHGVFLYMVHKWYKKTTWMYLSVPVLLYAGERALRFFRSGFYTVRLLKVAIYPGNVLTLQMSKPPQFRYKSGQYMFVQCPAVSLFEWHPFSITSAPGDDYLSVHIRQLGDWTQELRRVFSEACERPVAGKSGLLRADETTKKSLPKLLIDAPYGAPAQDYRKYDVLLLVGLGIGATPFISILKDLLNNIVKMEEQADSVLDISRTSDLSIGSNDTSTSNKVSPKRKKAVRTTNAYFYWVTREQSSFDWFKGVMNEVAELDQRGVIEMHNYLTSVYEEGDARSTLITMVQALNHAKNGVDIVSGTRVRTHFARPNWKKVLSKLCSKHCNARIGVFYCGAPVLAKELGKLCYDFNQKGSTKFEFHKEHF >Potri.018G119500.1.v4.1 pep chromosome:Pop_tri_v4:18:13501051:13506705:-1 gene:Potri.018G119500.v4.1 transcript:Potri.018G119500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G119500.v4.1 MGSPILPDLGTEILIPVCAIIGIGFSLFQWLLVSKVKLTPGSAASNNSGGAGKNGHGDYLIEEEEGLNDHNIVLKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFVFLGSVEGFSTKSQPCTYDPLKLCKPALATAGFSTIAFVLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFITAFRSGAVMGFLLAANGLLVLYIAINLFKLYYGDDWEGLFEAITGYGLGGSSMALFGRVAGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIVSSVGIIVCLLTTLFATDFFEIKAVNEIEPALKNQLIISTVLMTIGVAIVSWIALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLVIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAVSIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRAAISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIAPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGVSEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Potri.T085200.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:174540:176897:1 gene:Potri.T085200.v4.1 transcript:Potri.T085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085200.v4.1 MGRGRGKGKKLTVSNHDDTGSGEEEKIPAQKRRGRPQKPLKDGIDEEEVEKIEDEDVEKGKTDITSKDSKSPTAAENGKKRKRYSQAKEKPDSVKEENGVGTRSSTDDSTKSNGFRHNGSRRKSKPRRAAEAGVECK >Potri.006G085500.1.v4.1 pep chromosome:Pop_tri_v4:6:6362816:6365646:-1 gene:Potri.006G085500.v4.1 transcript:Potri.006G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G085500.v4.1 MELPFISLLPYGILFIISAVSLSYLINKHKYSLSSLNNLPPGNTGLPLIGESLEFLTTGQKGQPEKFILDRMAKFSSKVFKTSLFCEPTAVFCGAAGNKFLFSNENKLVTAWWPDSVNKIFPSSQQTSSQEESKKMRKLFPLFFKPESLQRYISVMDVIAQRHLASDWEGKQEVSVFPLAKTYTFWLACRLFLSMEDPEEVQKFAKPFNDLAAGIISIPIDLPWTPFNRGVKASNVVHKELLKIIKQRKIDLAENKASPTQDILSHMLTTADDNGQCMKEIDIADKILGLLVGGHDTASAAITFIVKYLAELPHVYNKLLEEQREIAKTKTPGELLNWEDIQRMRYSWNVACEVMRVAPPLQGAFREAMTEFNYAGFTIPKGWKLYWSANTTHKNPECFPEPENFDPSRFEGNGPAPYTFVPFGGGPRMCPGKEYARLEILVFLHNLVKKFRWEKLLPKERIIVDPMPIPSKGLPIRLHPHEAA >Potri.013G153801.1.v4.1 pep chromosome:Pop_tri_v4:13:14923382:14924204:-1 gene:Potri.013G153801.v4.1 transcript:Potri.013G153801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G153801.v4.1 MELMIRTVHVLFTFPIKPPPKLPYSNLKSKNNKSKTQSPLSFFLPLRLHRSLCSLKLETKRASLEEIEGEHNKRVVVALYDALVNKDIETAHRLLAPDLEWWFHGPPIHQQHLMNLLTGQPPSSSSSVRPNNNSFIFQYPLSNVVAFGSMVLVEGFNKDWNVSWVHAWTVTNGIITQVREYFNTSVTVTRFGDGGSSISSSPAISTSQSRASCQSVWQSKVSDNKSVPGLVLAL >Potri.006G061000.3.v4.1 pep chromosome:Pop_tri_v4:6:4341999:4346466:-1 gene:Potri.006G061000.v4.1 transcript:Potri.006G061000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061000.v4.1 MDKARVKWVQYEERSALLRIKSSFNYPSGTFLQSWGKVADCCTWEGVDCNFTTGRVVELHLSSIREEGLGDLYLNVSLFRPFQELQSLGLSGNFIVGCVENEGFERLSGLDSLVDLYLGENKFDNSILSSLGGLSSLRTLYLDGNQLKGAISVDELNNLTSLRWLEFGDNEIESFKSIHGTGDELLRLRNLESLGLNGNRFNDSALSSLKGLSSLKSLDIAYNQLKGSFNVTELDALINLERLDLEGNEIDKFVLSKDTRGFGNVSFISLSQSTSNGRALPFTLLQSLTKFPNLRTLYLDENNLEGSFGTTLDKDLASLKNLEELDLSFSTVDNSFLQIVGKITTLNSLRLNGCRLKGSIPKAQGLCQLKHLQNLEISGNDLSGALPWCLANLTSLQQLHMSSNNFIGDISFSPLTSLTSIRELSLSDNHFQIPVSLSSFLNHSQLKYFDGSNNEIYVEELEEHNLAPKFQLECIRLSGNGYGGAFSFPKFLLHQYSLQIIDFSNLKMRGGFPIWLLENNTNLNELHLVNNSLSGTFQLPIHPHQTLSELDISNNNFESHIPREIGSYFPSLTFLSMSDNHFSGRVPSSFDFLLSLQVLDLSNNNISGTLPSLFNSSDILHVYLSRNMLQGSLEHAFQKSFDLITLDLSHNHLTGSIPKWIGESSQLSFLLLGYNNLDGSIPTQLCKLNKLSFIDLSHNNFSGHILPCLRFKSIIRFILRGGYPSEFNLREPLVIATKSLSYPYPPSILYFMTGMDLSCNSLSGAIPPEIGNLNHIHVLNLSNNHLIGPIPQTLSNLSEVESLDLSNNSLNGEIPPQLVQLHSLAYFSVANNNLSGKTPEMVAQFSTFSKSSYEGNPLLCGPPLLNSCTKEVPPPPPPGPSTDEKEESSVIIDAQVFCVSFVVTYIMVLFGIAAVLYINPDWRRAWFYFIEKSINTCYYFVADNLLKPFRIRVWKPLV >Potri.006G061000.2.v4.1 pep chromosome:Pop_tri_v4:6:4341499:4345856:-1 gene:Potri.006G061000.v4.1 transcript:Potri.006G061000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061000.v4.1 MSSNNFIGDISFSPLTSLTSIRELSLSDNHFQIPVSLSSFLNHSQLKYFDGSNNEIYVEELEEHNLAPKFQLECIRLSGNGYGGAFSFPKFLLHQYSLQIIDFSNLKMRGGFPIWLLENNTNLNELHLVNNSLSGTFQLPIHPHQTLSELDISNNNFESHIPREIGSYFPSLTFLSMSDNHFSGRVPSSFDFLLSLQVLDLSNNNISGTLPSLFNSSDILHVYLSRNMLQGSLEHAFQKSFDLITLDLSHNHLTGSIPKWIGESSQLSFLLLGYNNLDGSIPTQLCKLNKLSFIDLSHNNFSGHILPCLRFKSIIRFILRGGYPSEFNLREPLVIATKSLSYPYPPSILYFMTGMDLSCNSLSGAIPPEIGNLNHIHVLNLSNNHLIGPIPQTLSNLSEVESLDLSNNSLNGEIPPQLVQLHSLAYFSVANNNLSGKTPEMVAQFSTFSKSSYEGNPLLCGPPLLNSCTKEVPPPPPPGPSTDEKEESSVIIDAQVFCVSFVVTYIMVLFGIAAVLYINPDWRRAWFYFIEKSINTCYYFVADNLLKPFRIRVWKPLV >Potri.002G125400.1.v4.1 pep chromosome:Pop_tri_v4:2:9544118:9548659:-1 gene:Potri.002G125400.v4.1 transcript:Potri.002G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125400.v4.1 MGTNFNFKNFPNDPSDAVGCRPPGNSPLTRQSSIYSLTFDELQNTMGGSLGKDFGSMNMDELLKNIWSAEETQTMATATSTVVEEGGGLQRQGSLTLPRTLSQRTVDEVWKDMSKEYVINGTSAGAANNVPQRQPTLGEMTLEEFLLRAGVAREDTQVAPKVNTNGGFFGDLSRSANNSLAIGFQQNRGVGLNNDNTNQISLQSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNMGYVTQPNNDNTNQISLQSSNLPLNVNGVRSNQAQVQQQQQQQIFPKQPNMGYVTQMPLQSGPGIRGGMLGIGDQGIDSGLMQGGGMGVVGLGGIATGSPANQLSSDGIGKSNGDTSSVSPVPYVFRESVRGRRPGGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEELRKKQAEMMEIQKNQVAEMMNMQQGGKKRCLRRTQTGPW >Potri.002G125400.5.v4.1 pep chromosome:Pop_tri_v4:2:9544148:9548565:-1 gene:Potri.002G125400.v4.1 transcript:Potri.002G125400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125400.v4.1 MGTNFNFKNFPNDPSDAVGCRPPGNSPLTRQSSIYSLTFDELQNTMGGSLGKDFGSMNMDELLKNIWSAEETQTMATATSTVVEEGGGLQRQGSLTLPRTLSQRTVDEVWKDMSKEYVINGTSAGAANNVPQRQPTLGEMTLEEFLLRAGVAREDTQVAPKVNTNGGFFGDLSRSANNSLAIGFQQNRGVGLNNDNTNQISLQSSNLPLNVNGVRSNQAQVQQQQQIFPKQPNMGYVTQPNNDNTNQISLQSSNLPLNVNGVRSNQAQVQQQQQQQIFPKQPNMGYVTQMPLQSGPGIRGGMLGIGDQGIDSGLMQGGGMGVVGLGGIATGSPANQLSSDGIGKSNGDTSSVSPVPYVFRESVRGRRPGGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKEENEELRKKQAEMMEIQKNQVAEMMNMQQGGKKRCLRRTQTGPW >Potri.012G023400.1.v4.1 pep chromosome:Pop_tri_v4:12:2357235:2358225:-1 gene:Potri.012G023400.v4.1 transcript:Potri.012G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023400.v4.1 MGSGDKNHLSFHIHLPNHHHHHHHHHHHHHHHDHHGKKQLKDIPKGCLAVMVGQGEEQQRFVIPVIYINHPLFMQLLKEAEEEFGFDQEGPITIPCHVEEFRNVQGMIEEEKSSQDHQQQQHHHHHHHHHILCFRV >Potri.012G016200.9.v4.1 pep chromosome:Pop_tri_v4:12:1857698:1861084:1 gene:Potri.012G016200.v4.1 transcript:Potri.012G016200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016200.v4.1 MGKKGKGWFTSVKRVFKSSSPKELPVGKKKDNAEKWQHEAPEVVSLEHFPTGSSPDVTNDESNVSTPVTEDRNHAIAVAVATAAAAEAAVVAAQAAAKVVRLAGYGRQSKEERAAILIQSFYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRSMQALVRVQARVRARRLELAHEKLQRKTEEEDERRLPVDEDFMNPKNPLKSYKWDRRNQSSDNFKENASKKHDAVMKRERALAYAYAFQQQQQQQLLSQNSPNGKETGHFVNEHEKMQWGWNWLERWMSAQSYNVRQSGPNEGSYVTVNTTTTTTTTEDMSEKTVEMDMVTPTGTSNPNMGMLDTNPYSNRPQWQSSSSNVRSYMAPTQSAKAKVRSQSLIKQRAPATPLWNPSTKKDSSIVGPGCDSSSSGGGTTTYHAPRSPSPKHNGMRLHSRRHAGGYSPDFNGGDDWRLPPLDGHGWRNDFG >Potri.012G016200.1.v4.1 pep chromosome:Pop_tri_v4:12:1857698:1861105:1 gene:Potri.012G016200.v4.1 transcript:Potri.012G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016200.v4.1 MGKKGKGWFTSVKRVFKSSSPKELPVGKKKDNAEKWQHEAPEVVSLEHFPTGSSPDVTNDESNVSTPVTEDRNHAIAVAVATAAAAEAAVVAAQAAAKVVRLAGYGRQSKEERAAILIQSFYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRSMQALVRVQARVRARRLELAHEKLQRKTEEEDERRLPVDEDFMNPKNPLKSYKWDRRNQSSDNFKENASKKHDAVMKRERALAYAYAFQQQQQQQLLSQNSPNGKETGHFVNEHEKMQWGWNWLERWMSAQSYNVRQSGPNEGSYVTVNTTTTTTTTEDMSEKTVEMDMVTPTGTSNPNMGMLDTNPYSNRPQWQSSSSNVRSYMAPTQSAKAKVRSQSLIKQRAPATPLWNPSTKKDSSIVGPGCDSSSSGGGTTTYHAPRSPSPKHNGMRLHSRRHAGGYSPDFNGGDDWRLPPLDGHGWRNDFG >Potri.012G016200.10.v4.1 pep chromosome:Pop_tri_v4:12:1858790:1860978:1 gene:Potri.012G016200.v4.1 transcript:Potri.012G016200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016200.v4.1 MCVFQKDNAEKWQHEAPEVVSLEHFPTGSSPDVTNDESNVSTPVTEDRNHAIAVAVATAAAAEAAVVAAQAAAKVVRLAGYGRQSKEERAAILIQSFYRGYLARRALRALKGLVRLQALVRGHNVRKQAQMTMRSMQALVRVQARVRARRLELAHEKLQRKTEEEDERRLPVDEDFMNPKNPLKSYKWDRRNQSSDNFKENASKKHDAVMKRERALAYAYAFQQQQQQQLLSQNSPNGKETGHFVNEHEKMQWGWNWLERWMSAQSYNVRQSGPNEGSYVTVNTTTTTTTTEDMSEKTVEMDMVTPTGTSNPNMGMLDTNPYSNRPQWQSSSSNVRSYMAPTQSAKAKVRSQSLIKQRAPATPLWNPSTKKDSSIVGPGCDSSSSGGGTTTYHAPRSPSPKHNGMRLHSRRHAGGYSPDFNGGDDWRLPPLDGHGWRNDFG >Potri.012G016200.11.v4.1 pep chromosome:Pop_tri_v4:12:1857741:1861076:1 gene:Potri.012G016200.v4.1 transcript:Potri.012G016200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016200.v4.1 MCILQARRALRALKGLVRLQALVRGHNVRKQAQMTMRSMQALVRVQARVRARRLELAHEKLQRKTEEEDERRLPVDEDFMNPKNPLKSYKWDRRNQSSDNFKENASKKHDAVMKRERALAYAYAFQQQQQQQLLSQNSPNGKETGHFVNEHEKMQWGWNWLERWMSAQSYNVRQSGPNEGSYVTVNTTTTTTTTEDMSEKTVEMDMVTPTGTSNPNMGMLDTNPYSNRPQWQSSSSNVRSYMAPTQSAKAKVRSQSLIKQRAPATPLWNPSTKKDSSIVGPGCDSSSSGGGTTTYHAPRSPSPKHNGMRLHSRRHAGGYSPDFNGGDDWRLPPLDGHGWRNDFG >Potri.012G002700.5.v4.1 pep chromosome:Pop_tri_v4:12:1215135:1216117:-1 gene:Potri.012G002700.v4.1 transcript:Potri.012G002700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002700.v4.1 MRMDQLTVIKTLNSRRRRLKIRRLKYTCQNNKSHVTISGGDDGIERKGGDLCGEEKKAGGIFAAKASDDGGSKEISISLTPLRNDVVLQDEVVLSYGSVSVIGGRKEMEDTVKVELGFLSFNDGEKKYDFFGVYDGHGGALVAEACKERLHRVLVEEIMEGKEGGGGVEWEKVMEECFRKMDEEVVKDKMIGSTAVVAVVGKEEVVVANCGDSRAVICRGGVAVPLSVDHKVMIFGFVEIDRVFFFFFVPTFNLDLDHQSLN >Potri.012G002700.2.v4.1 pep chromosome:Pop_tri_v4:12:1213198:1216117:-1 gene:Potri.012G002700.v4.1 transcript:Potri.012G002700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G002700.v4.1 MRMDQLTVIKTLNSRRRRLKIRRLKYTCQNNKSHVTISGGDDGIERKGGDLCGEEKKAGGIFAAKASDDGGSKEISISLTPLRNDVVLQDEVVLSYGSVSVIGGRKEMEDTVKVELGFLSFNDGEKKYDFFGVYDGHGGALVAEACKERLHRVLVEEIMEGKEGGGGVEWEKVMEECFRKMDEEVVKDKMIGSTAVVAVVGKEEVVVANCGDSRAVICRGGVAVPLSVDHKPDRPDELERVEAAGGRVINWNGHRVLGVLATSRSIGDQYLKPFVSSKPEVTVDKRTENDEFLVLASDGLWDVISNEFACQIIKRCLHGRMRRKSQGVVSESCRAAEAAAVLAELAMARGSKDNISVIVVELKRRNSFSC >Potri.006G148800.2.v4.1 pep chromosome:Pop_tri_v4:6:12864713:12868539:-1 gene:Potri.006G148800.v4.1 transcript:Potri.006G148800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G148800.v4.1 MMDAVFLLSEVERANFLRYFMQCFGSTYICLWSYLPQPSNYLFFWDGYYHEESNQPSSSSGSVARMLFDQYRQERFFAVNDRVPGVAFKNKIPFIELKEFELQRSASTDAQRRFYQEARIKTAVFMGCNSGEIELGWSNATHQFNIENEMRNWFPKDFSRQSPLRELPLVVDPNIPSSSSSSLRSLSMDSPEISSLIFTIPSSSHMPEAHREAPSLLPPILPSTSSPLQHTLQLLQPVLPTPTGNILQQVLQSLQQEPSPQQQAIQSSQSTPSTTSLLQEAMQPLQAIQSSTSPHQQALQAFALERNIQLPTPESEDAVMTRAILAVLTFPSPSSSSSSHSLPHMHRVRQGASAFNNYRSALAPKTQTRASLHRHSMLTRVITYYRRLNIERREHMLGGRPSSTQLHHMISERKRREKINESFKALRSILPPEAKKDKASILTRTREYLTSLKAQVEELTRKNQKLEAQLSKAAVSQVRDSSYERLDVRVTHISESTSEQRIIDLVVNLRGESPILDTVITRILEFLRQVTDVSLISIEASTHTAESTSFNRVILRLNIEGTDWDESGFQEAVKRVVEDLAR >Potri.011G133100.19.v4.1 pep chromosome:Pop_tri_v4:11:16642334:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFRES >Potri.011G133100.20.v4.1 pep chromosome:Pop_tri_v4:11:16641607:16649129:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFRES >Potri.011G133100.16.v4.1 pep chromosome:Pop_tri_v4:11:16641539:16649084:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFREKNISGALMVLEQMKLADVKPDSATYSCLISNCDNEDQITKCYEEMKVAGIQVSKQSIYGAH >Potri.011G133100.3.v4.1 pep chromosome:Pop_tri_v4:11:16642436:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLFSSLDSTSKTAFCFHVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFRELFFYVVPITFSANILYAVLTCTWDNC >Potri.011G133100.9.v4.1 pep chromosome:Pop_tri_v4:11:16641539:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFREKNISGALMVLEQMKLADVKPDSATYSCLISNCDNEDQITKCYEEMKVAGIQVSKQSIYGAH >Potri.011G133100.13.v4.1 pep chromosome:Pop_tri_v4:11:16641539:16649129:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFREKNISGALMVLEQMKLADVKPDSATYSCLISNCDNEDQITKCYEEMKVAGIQVSKQSIYGAH >Potri.011G133100.8.v4.1 pep chromosome:Pop_tri_v4:11:16641607:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFREKNISGALMVLEQMKLADVKPDSATYSCLISNCDNEDQITKVI >Potri.011G133100.15.v4.1 pep chromosome:Pop_tri_v4:11:16641607:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFRELFFYVVPITFSANILYAVLTCTWDNC >Potri.011G133100.1.v4.1 pep chromosome:Pop_tri_v4:11:16641607:16649129:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFREKNISGALMVLEQMKLADVKPDSATYSCLISNCDNEDQITKVI >Potri.011G133100.5.v4.1 pep chromosome:Pop_tri_v4:11:16641539:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLFSSLDSTSKTAFCFHVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFREKNISGALMVLEQMKLADVKPDSATYSCLISNCDNEDQITKCYEEMKVAGIQVSKQSIYGAH >Potri.011G133100.14.v4.1 pep chromosome:Pop_tri_v4:11:16641607:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFRELFFYVVPITFSANILYAVLTCTWDNC >Potri.011G133100.18.v4.1 pep chromosome:Pop_tri_v4:11:16641607:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFRES >Potri.011G133100.17.v4.1 pep chromosome:Pop_tri_v4:11:16642335:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLFSSLDSTSKTAFCFHVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFRES >Potri.011G133100.12.v4.1 pep chromosome:Pop_tri_v4:11:16641607:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLFSSLDSTSKTAFCFHVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFREKNISGALMVLEQMKLADVKPDSATYSCLISNCDNEDQITKVI >Potri.011G133100.6.v4.1 pep chromosome:Pop_tri_v4:11:16641539:16649133:-1 gene:Potri.011G133100.v4.1 transcript:Potri.011G133100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G133100.v4.1 MPKFKILSNLVRAAIKTTTASTTTASDTTFKSLVSHFESITPPKPETSSKSKKQPETKPQSPPSPAVKSSPGALGLEDFYSETIPTAKDMAKTAKVIEKIINDVLKIKSLGLEEADDEKVVENVFKTPWLSNWKKNNIGIQRKEISYERKQKWIFKNSQVYCFDRLVDTCAFKLGTDATMDVFGMLGRETGLKEFNALMKMCIEQCRETDDENVAKEQISEVLELFISMKEQGFPIEEETYGPFLMLLIDKGMVEEFYFFYGIIKDTNPSEIARLGYYDMCFYIRVNDEKKIQELCNCICTDYGDENISLRENYLLALCESDQKNYLLQLLETVDITKLSLLGNAVSIFKSLGRLSLEYYVEKFLLVLKNCDYGAEDISTLIFSYATSIPNLAVEDVVSKFKTLHTVMEMSPSSTSYERLIVYSCNSLKVHHAIDMVDQLCEEGFTISINTIHSMLNASEASLDFNLVQRIYSLIYHLDLTPTNETFRRMIGLSVKMKDFDGAIGLLNDLKKLNLTPTAGMYNAIMDGYFREKNISGALMVLEQMKLADVKPDSATYSCLISNCDNEDQITKCYEEMKVAGIQVSKQSIYGAH >Potri.014G055900.4.v4.1 pep chromosome:Pop_tri_v4:14:3569362:3574923:-1 gene:Potri.014G055900.v4.1 transcript:Potri.014G055900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055900.v4.1 MANQASVEKNAREIKEYIEEIYWGSQKRVMLLGHSKGGVDAAAALSLYWSDLKDKVVGLALTQSPYGGSPIASDILREGQLGDYVNIRRLMEIIICKVIKGDMQALEDLTYERRKEFLTKHQLPSELPVVSFHTEAGITPAVLATLSHVAHAELPLMAPLSAGELPKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCRDAEVPGSTVVRPKCKLDHAWMVYSSLNGDPSDWDASQVCEALLTLLVEVGQRKRHEFAMKDE >Potri.014G055900.1.v4.1 pep chromosome:Pop_tri_v4:14:3569356:3574923:-1 gene:Potri.014G055900.v4.1 transcript:Potri.014G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055900.v4.1 MEEEPGNPRRSSEESTTPFLSLNDGLIPQLFTSVPSLDEAASYLAQSTSLFTRCFSDYSVEYPSSGFSVTHEQELTTFSSTQNGASLDSDHPSSSGSHSATDTPPMHAGVTINSSEGPSQNTSALVQSNNSGQSGLSMFQGLIERARRTVRGSADDIGWLQRASGMPSVEDGTGRFMEILDNIRHGLHKLPNSIVYLLVPGLFSNHGPLYFVSTKTSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSQKRVMLLGHSKGGVDAAAALSLYWSDLKDKVVGLALTQSPYGGSPIASDILREGQLGDYVNIRRLMEIIICKVIKGDMQALEDLTYERRKEFLTKHQLPSELPVVSFHTEAGITPAVLATLSHVAHAELPLMAPLSAGELPKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCRDAEVPGSTVVRPKCKLDHAWMVYSSLNGDPSDWDASQVCEALLTLLVEVGQRKRHEFAMKDE >Potri.014G055900.3.v4.1 pep chromosome:Pop_tri_v4:14:3569363:3574887:-1 gene:Potri.014G055900.v4.1 transcript:Potri.014G055900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055900.v4.1 MVCATLLVNLSGLFSNHGPLYFVSTKTSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSQKRVMLLGHSKGGVDAAAALSLYWSDLKDKVVGLALTQSPYGGSPIASDILREGQLGDYVNIRRLMEIIICKVIKGDMQALEDLTYERRKEFLTKHQLPSELPVVSFHTEAGITPAVLATLSHVAHAELPLMAPLSAGELPKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCRDAEVPGSTVVRPKCKLDHAWMVYSSLNGDPSDWDASQVCEALLTLLVEVGQRKRHEFAMKDE >Potri.014G055900.2.v4.1 pep chromosome:Pop_tri_v4:14:3569358:3574885:-1 gene:Potri.014G055900.v4.1 transcript:Potri.014G055900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055900.v4.1 MEEEPGNPRRSSEESTTPFLSLNDGLIPQLFTSVPSLDEAASYLAQSTSLFTRCFSDYSVEYPSSGFSVTHEQELTTFSSTQNGASLDSDHPSSSGSHSATDTPPMHAGVTINSSEGPSQNTSALVQSNNSGQSGLSMFQGLIERARRTVRGSADDIGWLQRASGMPSVEDGTGRFMEILDNIRHGLHKLPNSIVYLLVPGLFSNHGPLYFVSTKTSFSKMGLACHIAKIHSEASVEKNAREIKEYIEEIYWGSQKRVMLLGHSKGGVDAAAALSLYWSDLKDKVVGLALTQSPYGGSPIASDILREGQLGDYVNIRRLMEIIICKVIKGDMQALEDLTYERRKEFLTKHQLPSELPVVSFHTEAGITPAVLATLSHVAHAELPLMAPLSAGELPKLPVVIPLGAAMAACAQLLQIRYGEKSDGLVTCRDAEVPGSTVVRPKCKLDHAWMVYSSLNGDPSDWDASQVCEALLTLLVEVGQRKRHEFAMKDE >Potri.016G070800.1.v4.1 pep chromosome:Pop_tri_v4:16:5172356:5175100:1 gene:Potri.016G070800.v4.1 transcript:Potri.016G070800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G070800.v4.1 MQSKISSFFKSPSSSSSPPKCLQEDPPSFSDVDLAIWQNSQHQFVNTYARRAAPKLILGDQRSEGNKGQRSEVLPKPISKDPCSKPETEACGKVLNKKRSYAQFHLDLGQSDFNLRACSTCGVKYAPGDEGDEKEHKIFHKNYTHGIQFKVFRSERVVHMPCSEAGRIVLVLDSDPPALRNKLQEVIQMMEIELGDGWIFHKLCKVYVFVSSQRVAGCLVAEPIKEAFKVLTCSVDERPKCAAKKNSRPNSTTLQFGEVILQREAMRKVTAVDSLNVLNGNHNGAVVCEEEAVPALCGIRAIWVTPSNRRKRIASQLLDAARRSFCMGVVLEQSQLAFSPPTSAGKALASSYAGTTSFLAYKPKNVGS >Potri.004G228100.1.v4.1 pep chromosome:Pop_tri_v4:4:23119958:23123232:-1 gene:Potri.004G228100.v4.1 transcript:Potri.004G228100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G228100.v4.1 MARNRWDREDAPEKHIGLLQLVQMLSLLVIFVAGIIIGIATSPHINRYFDSLAQLTFTNNIASPKISDDNCTILRTCEKVDCLTMEGFVHPDNLTHSMTDDEVLWRASMLPYKKGYPFDRVPKVAFMFLTRGPLPLLPLWERFFRGHAGYFSIYVHTPEDYELNVSTDSPFYGRKIPSKDVEWGSISMVDAEKRLLANALLDFSNERFVLLSESCIPIYKFSIVYKYLIRSKHSFVESYDAPTRYARGRYNQKMLPDIHLYQWRKGSQWFEIQRDLAVYLVSDTKYHTIFKKYCRPACYPDEHYIPTYLNMFHGSLNANRTVTWVDWSIVAPHPPTYDGIDVTEGFIQSIRNKGNQCSYNSEMTSVCYLFARKFAPSALVPLLNLTSTVMGF >Potri.004G153900.2.v4.1 pep chromosome:Pop_tri_v4:4:17471932:17475833:-1 gene:Potri.004G153900.v4.1 transcript:Potri.004G153900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153900.v4.1 MEERLMARLESAVARLEALSLRGGSVAGSGGDDASATDPSIVAFEDFMGAYLGRVSSAGEKIGGQVLAVTKILEQALNMQKELLIKIKQTQKPDLAGLAEFLKPLNEVIMKANSMTEGRRSDFFNHLKAAADSSTALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKILVEYKSKDPNHVEWAKALKELYLPGLRDYVKSHYPLGPVWSATAKAKAAAPSAPAPPPPPPASLFTSESSSPSSSKPKVGMAAVFQEISSGKPVTSGLRKVTDNMKTKNRADRTGVVGASEKESRTSSPSFSKAGPPKLELQMGRKWVVENQIGRKNLVIDDCDAKQSVYIFGCKDSVLQVQGKVNNITIDKCTKMGVVFTDVVAACEIVNCSGVEVQCQGSAPTISVDNTGGCQLYLSKGSLGASITTAKSSEINILVPGAEPDGDLVEHALPQQFIHTFKDGQFETTPVSHSGG >Potri.004G153900.5.v4.1 pep chromosome:Pop_tri_v4:4:17471930:17475774:-1 gene:Potri.004G153900.v4.1 transcript:Potri.004G153900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153900.v4.1 MEERLMARLESAVARLEALSLRGGSVAGSGGDDASATDPSIVAFEDFMGAYLGRVSSAGEKIGGQVLAVTKILEQALNMQKELLIKIKQTQKPDLAGLAEFLKPLNEVIMKANSMTEGRRSDFFNHLKAAADSSTALAWIAYTGKDCGMSMPIAHVEESWQMAEFYNNKILVEYKSKDPNHVEWAKALKELYLPGLRDYVKSHYPLGPVWSATAKAKAAAPSAPAPPPPPPASLFTSESSSPSSSKPKVGMAAVFQEISSGKPVTSGLRKVTDNMKTKNRADRTGVVGASEKESRTSSPSFSKAGPPKLELQMGRKWVVENQIGRKNLVIDDCDAKQSVYIFGCKDSVLQVQGKVNNITIDKCTKMGVVFTDVVAACEIVNCSGVEVQCQWTTREAVNYI >Potri.019G011169.1.v4.1 pep chromosome:Pop_tri_v4:19:167184:181255:1 gene:Potri.019G011169.v4.1 transcript:Potri.019G011169.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011169.v4.1 MSRPNDPFWEYAEKMDDGSMTCTFCGHCFSQGTSVTRIKLHLAGVKRRGVKICKNVPEEVRDAACDAVNNSPPEKKLKSVAGSSSNEVANAISASTQEQNNEVTHVEMAQQGGPFFTGELAWANDLIGEAELVQLERGSSHERPSINQTDEPRGDSSRPTDDQLCSPSVNNDANMNDVQNMVAVRIEPVPVQVLEQSNAEVDSLAGHAGRIQVGVHGMEQGAEEERICPNSAANGMENTGDGSSQHSRRLMIDAHDNTGEEATQRIDLVQRLEGETWGQMNAISAFLMGEEDVENNRGRLVQPGAACSSGGAACNTNKIKGDALPTRKMVGQAFEEHKKTISSLLMRNEVSSIGIYGMGGVGKTTLGTHIHNQLLERPETPVYWITVSHNTSIPRLQTSLAGRIGLDLSKVDEELHRAVALKKELMKKQKWILILDDLWKAFDLQKLGVPDQVEEGCKLILTSRSAKVCQQMKTQHTIKVQPISEKEAWTLFIERLGHDIAFSSEVEGIALNVVRECAGLPLGIITIAASMRGVDEPHEWRNTLKKLKESKYKEMEDEVFRLLRFSYDQLNDLALQQCLLYCALYPEDHRIEREELIGYLIDEEIIEGMRSRQAAFDEGRTMLDKLEKVCLLERACYGDHSTTVKMHDLIRDMAHQILQTNSPVMVGGYNDKLPDVDMWKENLVRVSLKHCYFEEIPSSHSPRCPNLSTLLLCDNPYLQFIADSFFTQLHGLKVLDLSRTEIIELPDSVSELVSLTALLLKQCEYLIHVPSLEKLTALRRLDLSGTWELEKIPQDMQCLSNLRYLRMDGCGVKEFPTGILPKLFHLQLFMLEGKTNYDYVQVTVKGKEVGCLRELENLVCNFEGQSDFVEYLNSRDKTRSLSTYDIFVGPLDEDFYSEMKRGYGKSKTVWLSSLCNNGDGNFQVMFPNDIQKLIIFNCSCDVSSLIEHSIKLEVIHIKDCNSMESLISSSLFCPSPTSLPSYNGVFSTLKEFNCYGCSSMKKLFPLVLLPNLVNLVNITVIDCAKMEEIIGGRRSDEENSSNNTEFKLPKLRSLALSWLPKLKRICSAKLICDSLQQIEVSYCNSMESLVPSSWISLVNLEKITVRGCQKMEEIIGGRRSDEESSSNNTEFKLPKLRSLALEDLPELKSICSAKLTCDSLQQIEVWNCNSMESLVPSSWISLVNLEKITVRGGEKMEEIIGGRRSDEESSSTEFKLPKLRSLALFNLPELKSICSAKLTCDSLQQIEVWNCNSMEILVPSSWISLVNLEKITVSACKKMKEIIGGTRSDEESSSNNTEFKLPKLRSLALSWLPELKRICSAKLICDSLRMIEVYKCQKLKRMPLWKKDYTSKRMVGVSSGVGAS >Potri.019G011169.2.v4.1 pep chromosome:Pop_tri_v4:19:175701:181087:1 gene:Potri.019G011169.v4.1 transcript:Potri.019G011169.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011169.v4.1 MEQGAEEERICPNSAANGMENTGDGSSQHSRRLMIDAHDNTGEEATQRIDLVQRLEGETWGQMNAISAFLMGEEDVENNRGRLVQPGAACSSGGAACNTNKIKGDALPTRKMVGQAFEEHKKTISSLLMRNEVSSIGIYGMGGVGKTTLGTHIHNQLLERPETPVYWITVSHNTSIPRLQTSLAGRIGLDLSKVDEELHRAVALKKELMKKQKWILILDDLWKAFDLQKLGVPDQVEEGCKLILTSRSAKVCQQMKTQHTIKVQPISEKEAWTLFIERLGHDIAFSSEVEGIALNVVRECAGLPLGIITIAASMRGVDEPHEWRNTLKKLKESKYKEMEDEVFRLLRFSYDQLNDLALQQCLLYCALYPEDHRIEREELIGYLIDEEIIEGMRSRQAAFDEGRTMLDKLEKVCLLERACYGDHSTTVKMHDLIRDMAHQILQTNSPVMVGGYNDKLPDVDMWKENLVRVSLKHCYFEEIPSSHSPRCPNLSTLLLCDNPYLQFIADSFFTQLHGLKVLDLSRTEIIELPDSVSELVSLTALLLKQCEYLIHVPSLEKLTALRRLDLSGTWELEKIPQDMQCLSNLRYLRMDGCGVKEFPTGILPKLFHLQLFMLEGKTNYDYVQVTVKGKEVGCLRELENLVCNFEGQSDFVEYLNSRDKTRSLSTYDIFVGPLDEDFYSEMKRGYGKSKTVWLSSLCNNGDGNFQVMFPNDIQKLIIFNCSCDVSSLIEHSIKLEVIHIKDCNSMESLISSSLFCPSPTSLPSYNGVFSTLKEFNCYGCSSMKKLFPLVLLPNLVNLVNITVIDCAKMEEIIGGRRSDEENSSNNTEFKLPKLRSLALSWLPKLKRICSAKLICDSLQQIEVSYCNSMESLVPSSWISLVNLEKITVRGCQKMEEIIGGRRSDEESSSNNTEFKLPKLRSLALEDLPELKSICSAKLTCDSLQQIEVWNCNSMESLVPSSWISLVNLEKITVRGGEKMEEIIGGRRSDEESSSTEFKLPKLRSLALFNLPELKSICSAKLTCDSLQQIEVWNCNSMEILVPSSWISLVNLEKITVSACKKMKEIIGGTRSDEESSSNNTEFKLPKLRSLALSWLPELKRICSAKLICDSLRMIEVYKCQKLKRMPLWKKDYTSKRMVGVSSGVGAS >Potri.006G221200.1.v4.1 pep chromosome:Pop_tri_v4:6:22661712:22663262:1 gene:Potri.006G221200.v4.1 transcript:Potri.006G221200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221200.v4.1 MAPRSSKCNKKEANRGAWTAEEDQKLAQVIEIHGPKRWRSVAAKAGLNRCGKSCRLRWMNHLRPNIKRGNISDQEEDLIVRLHKLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKKIKQNEKPVRSSTWQEPDTVKTKVLDMDNVAKRSEEGTSKTLEDSKFSFGGDGFFDFSNEDPLNLEWMSEFLELDETLYGFP >Potri.012G034901.3.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126759:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCLLVYEFLKNGSLDRWIFPSYSFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGR >Potri.012G034901.9.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126758:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MAACLVVFLLVLVGHGAGAGAGAGYIGLGSRLVARDQNEAWVSDNGTFAFGFASAANARDKFQVAIWFVDLPGDRTVVWSANRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCLLVYEFLKNGSLDRWIFPSYSFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPG >Potri.012G034901.5.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126758:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCLLVYEFLKNGSLDRWIFPSYSFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPG >Potri.012G034901.4.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126758:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCLLVYEFLKNGSLDRWIFPSYSFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGR >Potri.012G034901.11.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126758:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGR >Potri.012G034901.8.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126758:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MAACLVVFLLVLVGHGAGAGAGAGYIGLGSRLVARDQNEAWVSDNGTFAFGFASAANARDKFQVAIWFVDLPGDRTVVWSANRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCLLVYEFLKNGSLDRWIFPSYSFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGR >Potri.012G034901.2.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126758:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCLLVYEFLKNGSLDRWIFPSYSFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGR >Potri.012G034901.12.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126759:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSH >Potri.012G034901.6.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126647:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCLLVYEFLKNGSLDRWIFPSYSFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPG >Potri.012G034901.7.v4.1 pep chromosome:Pop_tri_v4:12:3122694:3126636:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCLLVYEFLKNGSLDRWIFPSYSFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPG >Potri.012G034901.10.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126758:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSHCFRDRLLDWSTRFDIAIATAQGIAYFHEQCRNRIIHCNIKPENILLDENFCPKVSDFGLAKFMGREHSHVVTMVRGTRGYLAPEWISNRPITVKADVYSYGMLLLEIVGGRRNLDMSFDAEDFFYPGR >Potri.012G034901.13.v4.1 pep chromosome:Pop_tri_v4:12:3122693:3126759:-1 gene:Potri.012G034901.v4.1 transcript:Potri.012G034901.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G034901.v4.1 MNLPETYDAAPEAYANYSYWPGPDILNVTGDVVAVLDEAGSFGIVYGESSNGAVYVYKNDGDYNGLGLASSQSSTRLSILRRLILKTNGNLRLYRWDNDVNGSRQWVPEWAAVSNPYEIAGICGNGVCNLDRSKTIASCSCLPGTFKAGGDNFCSENSSLIGRCDSRNANQTSEFKIAAVQQTNYYFSDFSVIANYSDIPTVSKCGDACLSDCQCVASVYGLDDENPYCWILRSLDFGGYEDPGSTLFVKVRANESMTLGGNKSGSDNGDTKRKVLVVPIVLSMTFLVVLLCLLLYHNVHKKRSLKRAMESSPILPGAPIHFTYRDLQVRTCNFSQLLGTGGFGSVYKGSLGDGTLVAVKKLDKVLPHGEREFITEVNTIGSMHHMNLVRLCGYCSEGSH >Potri.001G413500.1.v4.1 pep chromosome:Pop_tri_v4:1:43841363:43842169:-1 gene:Potri.001G413500.v4.1 transcript:Potri.001G413500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413500.v4.1 MTMLCLKAARSRTAKPFSFPFSSQNHQLKPFFLSFEPYSASSKSQIKMSSSTPSQTVEHIVLFKVKENTDPTKINTMINSLNRLISLDSVLHLNAGALYRTKSSPIPFTHMLHSRYSSKENLSAYAVHPSHVSVVKESVQPICDDVMAVDWVTDDLNGGGSLVPPPGSAIRLSFLKLKEGLGDEVKDEILGLIKGIKGQYGGIHQISCGENFSARAKGYSIASLAVFPGLSELDSKEELVNLEKAKFRDYLQSFIVLDYVVQPSTSTL >Potri.001G413500.2.v4.1 pep chromosome:Pop_tri_v4:1:43841048:43842099:-1 gene:Potri.001G413500.v4.1 transcript:Potri.001G413500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G413500.v4.1 MSSSTPSQTVEHIVLFKVKENTDPTKINTMINSLNRLISLDSVLHLNAGALYRTKSSPIPFTHMLHSRYSSKENLSAYAVHPSHVSVVKESVQPICDDVMAVDWVTDDLNGGGSLVPPPGSAIRLSFLKLKEGLGDEVKDEILGLIKGIKGQYGGIHQISCGENFSARAKGYSIASLAVFPGLSELDSKEELVNLEKAKFRDYLQSFIVLDYVVQPSTSTL >Potri.004G157600.5.v4.1 pep chromosome:Pop_tri_v4:4:17859512:17864919:1 gene:Potri.004G157600.v4.1 transcript:Potri.004G157600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G157600.v4.1 MADTMSGPLDRDIKGGLEKSDAEYSEDDRKTKLGSLKKKAISASNKFRNSLTKRGRRHSRVMSIAIEDNLNAEELQAVDAFRQALILDELLPSKHDDHHMMLRFLRARKFDIEKAKQMWSDMLSWRKEFGADTIMEDFEFKEIDEVLKHYPQGYHGIDKEGRPVYIERLGEIDANKLIQVTTLDRYMKYHVQEFEKTFNVKFPACSIAAKKHIDQSTTILDVQGVGLKQFTKTARELIGRISKIDGDNYPETLNRMFIINGGPGFRLLWSTVKQFIDPKTAQKIHFLGNKYQSKLLEAIDASELPEIFGGTCTCADKGGCMRSDKGPWNDPDILKMVHNGEAKCHRKTFSGIHEKGISEDDQPCIKKNISFSKEVTVDAADYGHPKNHVEHAILSPVPESPLSKRCSSDQDYENSIPMVKRTIDTAWPTPLNNRDGLSKECPPVHGACKAQGGSTQILNGILTVVMGIVTMVRISRNMPRKLAEVAAYGSQVYYADEMAKSHVLAAPSISTSEYKNIMLRMAEMEEKLCVLSSKPQAMPAEKEEMLNAAIKRADSLEQELSMVKTALEDAVAKQQELLAYIEKKKKKKSIFGF >Potri.018G088000.1.v4.1 pep chromosome:Pop_tri_v4:18:10577310:10579558:1 gene:Potri.018G088000.v4.1 transcript:Potri.018G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088000.v4.1 MRLSLLLLPHALPCNSHNLPLSSLSLLHRTMAAAATTNVEPISPSNTRVGWIGTGVMGRSMCGHLIKAGYKVTVFNRTLSKAQPLIDMGARLAQSPLAVASQSDVVFSIVGFPSDVRSVLLDSTSGALPGLRPGGVLVDMTTSEPSLAAEISAAATIKDCHSVDAPVSGGDRGAKNGSLAIFAGGDKTVIDRLSPLFALMGRVNYMGATGKGQFAKLANQITIASTMVGLVEGIIYAHKAGLNVESYLNAISTGAAGSKSLDLYGSRILKRDFEAGFYVNHFVKDLGICLKECQNMGLALPGLALAQQLYLSLKAHGEGNLGTQALILALERLNNVSLENLASSNSAAN >Potri.014G159300.5.v4.1 pep chromosome:Pop_tri_v4:14:11323851:11331561:-1 gene:Potri.014G159300.v4.1 transcript:Potri.014G159300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159300.v4.1 MEEVSTGAIVPAVKPEPKPSTSTAAAVASPEPSSAPSAEEAELEKDFLCPICMQIIKDAFLTVCGHSFCYMCITTHLRNKNDCPCCSHYLTTNQLFPNFLLQKLLKKASARQTSKNASPIEHFRQSLQQGCEVSIKDLDTLMSLLAERKRKMEQEEAERNMQVLLDFLHYLRKQKVDELNEVRTDLRYIKEDIDAVEKHRIELYRARDRYSMKLRMLGDDLTVRKPWPSTIDKNHSGVVTSSLNARGLTTGNIPIKKMDGKAQVSSHGLQRKDTSGGSDPQYNHSGLSAVKKKRVHAQFNDLQDCYLQKRRQLANHPHNQSERDKNVIHREGYNAGLADFQSVLGTFTQYSRLRVIAELRHGDIFHSANIVSSIEFDRDDEFFATAGVSRRIKVFDFSSVVNEPADVHCPVVEMSTRSKLSCLSWNKFTKNQIASSDYEGIVTVWDVTTRQSVMEYEEHEKRAWSVDFSRTEPSMLVSGSDDCKVKVWCTKQEASVLNIDMKANICCVKYNPGSSNYIAVGSADHQIHYYDLRNVTNPLYVFSGHRKTVSYVKFLSNSELASASTDSTLRLWDVKENLPVRTFRGHTNEKNFVGLSVSSEYIACGSETNEVFVYHKEISKPVTWHRFGSPEMDDADEDAGSYFISAVCWKSDSPTMLSANSQGTIKVLVLAA >Potri.015G051466.1.v4.1 pep chromosome:Pop_tri_v4:15:6330811:6332221:-1 gene:Potri.015G051466.v4.1 transcript:Potri.015G051466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G051466.v4.1 MHLVEGVGGPLDIVLSGNGHLDHGPFNGRCKARNWKTLISLFPVLHFKACPSVRSILHAVGQRSLHLSLIMMVRQGLFLLPFLLTRWGSDPQKGGGSQLNISTIGGNFPRIRSPILVHPG >Potri.009G131200.2.v4.1 pep chromosome:Pop_tri_v4:9:10679907:10685346:-1 gene:Potri.009G131200.v4.1 transcript:Potri.009G131200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G131200.v4.1 MENGVERVVVEEKTNVGNEGFGDKVEEERVVVGSDESKDLEDEVFEEAIESHEQLQEEEEEEGMKVVSDGGVFESVGDLISAVVDESSNLGNETEKLEEALFIPAESGNPDELGGVVGEEKVEDLVGEDSVDKIDEGGTAKEARGSESSGGEVAEIVDNGVTEVLKAEGEGEVDSKQGIKLDEEILLKDDEREELKEDELSTEYQGTSGNSGMSQNLIKMDAEHLDEKSGELKGNGESAKEDGNNELIGGEEVSEITVNGETQALRSEAEVNSNREIESSKELNNDGDYAQEVGNNETSGDAGVSEIAGNIGTEALKGENEADPNREILLSKEILPEDGEREELKEDNAEVSEIAGNIGTEALKGEYEADPDREIELSKEILSEDGEREELKEGNAEVSEIAGNIGTEALKGECEADPNRESELSKEILSEDGEREELKEGNAEVSEIAGNIGTEALKGECEADPNREIELSKEILSEDGEREELKEDKLGSEYQEANESINLSGDLQGDKSEGLDDNLEKPDIKHDVEKNVDFDSAIVGLDAGIGVHKSEHFRDISAVVDTENHDDSNGKLKDVSAVIASEQNGETHELKAASSVPQTVVEEVKLVPGVLASSSLEKSVTERNEEIQACASNVRAEDNKGSEVHHAANNTNGVSKSTTVTEEPKEKADKGQEDKQTTPANIERKIKHVPKIASSSAKSSSAAPAPSRPAGLGRAAPLLEPAPRAVQQPRANGAVSHTQSQQIEDPTNGESEEFDETREKLQMIRVKFLRLAHRLGQTPHNVVVAQVLYRLGLAEQLRGRSGGRVAGFSFDRASAMAEQLEAAGQEPLDFSCTIMVLGKTGVGKSATINSIFDEVKFGTDAFQLGTKKVQDVVGTVQGIKVRVIDTPGLLPSWSDQRQNEKILHSVKRFIKKTPPDIVLYLDRLDMQSRDFGDMPLLRTITDIFGPSIWFNAIVVLTHAASAPPDGPNGTASSYDMFVTQRSHAVQQAIRQAAGDMRLMNPVSLVENHSACRTNRAGQRVLPNGQVWKPHLLLLSFASKILAEANALLKLQDSTPAKPFATRSRAPPLPFLLSSLLQSRPQVKLPEEQYGGEDGLDDDLDDSSDSEDESEYDELPPFKSLTRAQISKLTKAQKKAYFDELEYREKLFMKKQLKEEKRRQKMMKKMAAAAKDLPSEYIENAEEEGGGAASVPVPMPDLALPASFDSDNPTHRYRYLDTSNQWLVRPVLETHGWDHDVGYEGINVERLFVVKDKIPLSFSGQVTKDKKDASVQMELASSVKHGEGKATSLGFDMQTVGKDLAYTLRSETRFSNFRKNKATAGLSVTLLGDVLSTGVKVEDKLIAGKRFQMVMSGGAMSGRGDVAYGGSLEIQLRDKDYPLGRSLSTLGLSVMDWHGDLAIGCNLQSQIPIGRSTNLIGRANLNNRGAGQISIRLNSSEQLQLALIGLIPLLKKLIEYPQQLQLGQ >Potri.005G122400.3.v4.1 pep chromosome:Pop_tri_v4:5:9058509:9061554:1 gene:Potri.005G122400.v4.1 transcript:Potri.005G122400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122400.v4.1 MEKRVVVTMIMVMVLWVEGCWCWSASSATEDLMESTKERVSLAAEDARAKAEEMKHGAAETMQDAEEKGKSWTGWAYEKFTDGIGLDQENARDGAQNLMDRAGDAASKTTDTMNSVASETARYASLKAGEAAEMAHEKAGDIKDFASEKAGRAKQMTSNIKASDAKERLSGAMEYGKDNLEDAYDEVKEKWSIAKDKVLDGANNMEDTVGEALRNAKDKAANAYGDASQNMNTVTDEAYDAEERMGEAVNYGRNRASDAYNKASNIASDWANDSKEAVSGAMEHGRDRAADAYDEAKKYTKEDSNMASEKAGDAKDAISEAMWYGKEKASDAYDVAKEEVFRISNIASDKANKAKEASAGAMGSGRGGERDAFDEAKSHKANYEAAKEKLSKATGDLGDKMRRESADL >Potri.010G094900.2.v4.1 pep chromosome:Pop_tri_v4:10:11885785:11888111:1 gene:Potri.010G094900.v4.1 transcript:Potri.010G094900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094900.v4.1 MASCSKALFSSKLDICSPFSSRPPLSLLPNFSSSFSSTTFFAFGQLKERSLRYSSDLQPSSSIINKAQTQPRRHICRAAEYKFPDPIPEFAVAETEKFKTHLSKKLTKKDIYGDSLEEVVGICTEIFSTFLHAEYGGPGTLLVTPFIDMADTVNEQGLPGGPEAARVAVKWAQAHVDNDWKEWTGGDSN >Potri.003G023601.1.v4.1 pep chromosome:Pop_tri_v4:3:2467914:2470662:1 gene:Potri.003G023601.v4.1 transcript:Potri.003G023601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023601.v4.1 MSLSLIQGYSSAEEEAAAAATEEEEDYQDFSEEEEEEEGHSGSRWKASYKAPTNGSSSSSSILPSAIDAFSQVSGPPQFLSNSVEEYGVGADHIQQQQGKHRKTWKRSSNNNNYNQRKDKKKDLPSGAVVEAKPVLVAISERVRSDVGAGELSPSVPSTIEEGGKRISTATNPAAEDAAELLRMCLQCGIPKTFSSASGMVCPVCGDRPPNDTSKESKKKGSAIKDKEKSKRMKGQSSHATWKSETEMHLRQQFD >Potri.001G334100.2.v4.1 pep chromosome:Pop_tri_v4:1:34247917:34249498:-1 gene:Potri.001G334100.v4.1 transcript:Potri.001G334100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G334100.v4.1 MGDYHFVYKDVEGASTQWDDIQRKLGNLPEKPPAFKPPPFTPASDQDSIPKDKSFIDSKTEEELEFLEDDLDLDDDRFLQEYRSLFYQFIQLSFSCCFCLGFQIIEKTNYMHWDLGFLYAEWGKKTLILTDCLLIVYSNYPDHNLPTLLVYNNGAVKANYAGLRSFGRRCTPEGVALVLCQSDPVLNDGQGGTDRSRDSVIEGVRRKLIEKVVKEHEDDDGSSSD >Potri.003G069802.1.v4.1 pep chromosome:Pop_tri_v4:3:9695982:9697183:1 gene:Potri.003G069802.v4.1 transcript:Potri.003G069802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069802.v4.1 MDFLSCYIYFMALIYFIFCLLFPVPLLTGQTTARNRESWFLGANPICPKIPFNHIPMAGGYCVAPLIGAKGLDEQKPGFI >Potri.008G088750.1.v4.1 pep chromosome:Pop_tri_v4:8:5554658:5554843:-1 gene:Potri.008G088750.v4.1 transcript:Potri.008G088750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G088750.v4.1 MSCFNYTGQKQAYLSKDLKNASSTLPSSRREDYVKKANELVDNMDEVCALICIRCRRMMMC >Potri.010G228400.1.v4.1 pep chromosome:Pop_tri_v4:10:21138112:21140319:-1 gene:Potri.010G228400.v4.1 transcript:Potri.010G228400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228400.v4.1 MIHKTPALTCTAILTVLLAFSSVSVAVTDLYDNPQDPTILQVTDNPTLDNRKFMKNGLNLLGTEEKFKMFIKEHNKEYATREEYVHRFGIFGKNLIRAVEHQALDPTAIHGVTPFMDLTEEEFERMYAGVLGGGTVPVEKGSVSFMDASGLPDSFDWREKGAVTDVKIQGSCGSCWAFSTTGSVEGANFIATGKLLNLSEQQLVDCDRVCDKTDKASCDDGCGGGLMTNAYRYLIEAGGLQEESSYPYTGKSGECKFDPEKIAVKVANFTSIAVDENQIAANLVHHGPLAIGLNAIFMQTYIGGVSCPLICGKKWLNHGVLLVGYGARGYSILRFGYKPYWIIKNSWGNHWGEKGYYRLCRGHGMCGMNKMVSAVVTKVA >Potri.016G129600.1.v4.1 pep chromosome:Pop_tri_v4:16:13272811:13273525:1 gene:Potri.016G129600.v4.1 transcript:Potri.016G129600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G129600.v4.1 MSANAIESPMPESFLKVEMQNKGIVDALYKGLSNGHMGTVAKLIASDLEWWFHGPPRCQHMMRILTGESSHTKFKFEPRSIEVVGDCVIAEGWEGAQVYWVHVWTLKDGVITQFREYFNTWLTVKDISPHGWEIRHENHTLWQSHPRDLFSRSLPGLILGI >Potri.003G070200.1.v4.1 pep chromosome:Pop_tri_v4:3:9715495:9718924:-1 gene:Potri.003G070200.v4.1 transcript:Potri.003G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070200.v4.1 MASLTPGVLLKLLRSINSNVRVRGEYRSVLLQVISIVPALSGSELWPNQGFFIKVSDSSHSTYVSLSKEDNELILNNKLQLGQFFYVDRVEAGTPVPTLSGVRPVAGRNPFIGNPKDLMQMLVPSEGPVPVNDGVNSSKPRELSEVKGENARQKIVIKEEKAGVASRYMQGVLTGSLKVGEVDSSGIGKSNESDNSGPGKKVGLMNGKQQENKGQARPATPYQNQPDALSSKPDVAVSSNKEFPASSKRTSAKRLSNKQDDTNFNSLSSNNNKIPFPEATSWTSLPSNLMKPGKGMLRRRYLATLVAAEAQKEASTAASLIKCLNMFAELCASASPENPHLTLTKFFTLQQLIDQPNVTAPLKDKSLQSFTHFSSPETQKTSKKTSLSKAKATLKSPKPSMELSATEKQEWAKGDGKKEIKELQQTLLNETRAWFLRFLEGALDAGFHVAAQEKKGKDFATQRMEPENNHIAVALSQLKHANEWLDILRSKLSSEDNNELVETVDRLKQKIYACLLAHVDSAASALENRSNRG >Potri.012G142300.1.v4.1 pep chromosome:Pop_tri_v4:12:15383719:15390098:-1 gene:Potri.012G142300.v4.1 transcript:Potri.012G142300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142300.v4.1 MELAVAMLLILLNLASWCVLSEGRLLVDMTLVSDASSIGAFCLDGSLPAYHLHRGFGAGASNWLLQFEGGGWCNDIQSCLDRAKTKHGSSLYMNKLEDFNGILSNDASLNPDFYNWNRVKLRYCDGGSFSGDAKFDNGTSVLYFRGQKIWEAMILDLLPKGLGNADKALLSGCSAGGLSSFLQCENFYRALPTNTSVKCLSDAGFFLDERDITLNYTMRTFFENLVSLQGIEKNLDKNCTSFLDNPKLCMFPQYFLNYMTTPFFILNTAYDVYQFHHALVPPSADMNGDWKRCKLSIASCTPQQLDILQGFRGDMLAALSSFLKDSTSGGMFINSCFAHCQSETQETWFALGSPSIQDKTIAGAVGDWYFSRNISKLIDCAYPCDASCHNILAQVS >Potri.016G102500.1.v4.1 pep chromosome:Pop_tri_v4:16:10424281:10426971:1 gene:Potri.016G102500.v4.1 transcript:Potri.016G102500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102500.v4.1 MIIVLTKQHTSCKPILGLMGSSQTIIPPKKNCFLTVLFLFLSTSSAQNVLRRGSSLSVEDDSDILISPDKTFSCGFYGMGQNAYWFSIWFTNSKDRTVVWMANRDRPANGRGSRVSLRRDGAMVLTDVDGSIIWETNTTSTDVGRAELLDTGNLVLKDPGGKILWQSFDFPTDTLLPNQLFTKRTKLVARLHIGSYASGYFSFFFDNDNVLRLIYDGPDISSIYWPNPDFDVFGNGRTNYNSSRTAVFDEMGHFISSDQLQFSAPDTGLLRIKRRLTMDHDGNLRLYSLNNETGLWVISWQALSQLCTVHGICGINSICVNTPDPKCSCPPGYEITEPSNWNKGCKPLFNSTLSQSQQVKFVLLPHVDYWGFDLNYSDSATFNSCMKICLEDYRCNAFSYRLDGRRLCYTKGVLFNGYQSPSFPGNIYLRLPVSFETSQFGILNGTDLICQSAESETTIGSPSMYNFDTKRTRWVYFYSFASAIGFIEILFVVSGWWFLFRKRGSPNLAEDGYHLVLSPFRRFTYTELKKATNNFKEELGRGGSGAVYKGILTDERVVAVKRLENMYQGEDVFWAEVSTIGKINHMNLVRMWGFCSEGKHRLLVYEYMEYQSLDKHLFSPTFLEWKDRFKAALGIAKGLAYLHHECLEWVIHCDVKPGNILLDSEFEPKIADFGLAKLSQRGGNSSDFSQIRGTKGYMAPEWATNLPITAKVDVYSYGVVVLEIVKGIPLSNWVIEGREEHDESDLTRFVRVVKTKIQCGEASWIEEIVDPRLNGQFSRSQATTIVELGMSCVEEDRNKRPTMDSVVQALLECLDES >Potri.017G039966.1.v4.1 pep chromosome:Pop_tri_v4:17:2650839:2651567:1 gene:Potri.017G039966.v4.1 transcript:Potri.017G039966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039966.v4.1 MSFSNFASSLCLITFSLLLHTVFGAGPNFHLCSSPENFTANGPYESNLKKLTSYLYYKAPPTGFGMGSRGHTPDQTYGLDLCRGDVSTSDCKTCVVEASSEIRKRCPYNKAAIIWYDNCLLKYSNTGFFGQVDTGNKFYMWNVHVVSKPAPFNKKTKELLSQLANEAQATPKLFATGERELGKSTKLYGLVQCTGDLSSAVCKKCLDGIIGELPSCCDGKQGGRVVSGSCNFIYEIYPFVNA >Potri.006G050200.8.v4.1 pep chromosome:Pop_tri_v4:6:3484666:3497056:-1 gene:Potri.006G050200.v4.1 transcript:Potri.006G050200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050200.v4.1 MSSSTKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDHGKFYMGDSYIVLQTTPGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLAYFKPCIIPLEGGVATGFKKAEEEAFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVVSEDDIILETTPAKLYSITDGEVKMVDGELSKGLLENNKCYLLDCGSEVFLWVGRVTQVEERKAASQAAEEFVVSQNRPKATRITRLIQGYETHSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGLKGMTKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGNNSIEEDQKMAARLANTMSNSLKGRPVQGRIFQGKEPPQFVALFQPLVILKGGQSSGYKNSLAEKGSPDETYTADSVALFRISGTSVHNNKAVQVEAVATSLNPAECFLLQSGSSIFTWHGNQSTFEQQQLAAKIAEFLKPGVALKHAKEGTESSSFWFALGGKQSYTIKKVSPETVRDPHLFEFSLNKGKFQVEEIYNFSQDDLLTEDILILDTHAEVFVWVGQSVDPKEKQIVFDIGQKYIEMAVSLDGLSPFVPLYKVTEGNEPSFFTTYFLWDPIKATVQGNSFQKKVALLFGLGHHAVEDKSNGNQGGPTQRASALAALSSAFNPSGKSSHLDRSNGSSQGGPTQRASALAALSSAFNSSPGSKTTAPRPSGIGQGSQRAAAVAALSSVLTAEKKTPETSPSRSPRSETNLPTEGKSETQSEVEGSEGVAEVKEMEETASVPESNGEDSERKQDTEQEENDDGNGQSTFSYDQLKAHSDNPVKGIDFKRREAYLSDEEFQTVFGVTKEAFYKMPKWKQDMQKKKFDLF >Potri.006G050200.9.v4.1 pep chromosome:Pop_tri_v4:6:3484711:3497044:-1 gene:Potri.006G050200.v4.1 transcript:Potri.006G050200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050200.v4.1 MSSSTKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDHGKFYMGDSYIVLQTTPGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLAYFKPCIIPLEGGVATGFKKAEEEAFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVVSEDDIILETTPAKLYSITDGEVKMVDGELSKGLLENNKCYLLDCGSEVFLWVGRVTQVEERKAASQAAEEFVVSQNRPKATRITRLIQGYETHSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGLKGMTKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGNNSIEEDQKMAARLANTMSNSLKGRPVQGRIFQGKEPPQFVALFQPLVILKGGQSSGYKNSLAEKGSPDETYTADSVALFRISGTSVHNNKAVQVEAVATSLNPAECFLLQSGSSIFTWHGNQSTFEQQQLAAKIAEFLKPGVALKHAKEGTESSSFWFALGGKQSYTIKKVSPETVRDPHLFEFSLNKGKFQVEEIYNFSQDDLLTEDILILDTHAEVFVWVGQSVDPKEKQIVFDIGQKYIEMAVSLDGLSPFVPLYKVTEGNEPSFFTTYFLWDPIKATVQGNSFQKKVALLFGLGHHAVEDKSNGNQGGPTQRASALAALSSAFNPSGKSSHLDRSNGSSQGGPTQRASALAALSSAFNSSPGSKTTAPRPSGIGQGSQRAAAVAALSSVLTAEKKTPETSPSRSPRSETNLPTEGKSETQSEVEGSEGVAEVKEMEETASVPESNGEDSERKQDTEQEENDDGNGQSTFSYDQLKAHSDNPVKGIDFKRREAYLSDEEFQTVFGVTKEAFYKMPKWKQDMQKKKFDLF >Potri.006G050200.10.v4.1 pep chromosome:Pop_tri_v4:6:3484690:3494245:-1 gene:Potri.006G050200.v4.1 transcript:Potri.006G050200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050200.v4.1 MSSSTKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDHGKFYMGDSYIVLQTTPGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLAYFKPCIIPLEGGVATGFKKAEEEAFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVVSEDDIILETTPAKLYSITDGEVKMVDGELSKGLLENNKCYLLDCGSEVFLWVGRVTQVEERKAASQAAEEFVVSQNRPKATRITRLIQGYETHSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGLKGMTKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGNNSIEEDQKMAARLANTMSNSLKGRPVQGRIFQGKEPPQFVALFQPLVILKGGQSSGYKNSLAEKGSPDETYTADSVALFRISGTSVHNNKAVQVEAVATSLNPAECFLLQSGSSIFTWHGNQSTFEQQQLAAKIAEFLKPGVALKHAKEGTESSSFWFALGGKQSYTIKKVSPETVRDPHLFEFSLNKGKFQVEEIYNFSQDDLLTEDILILDTHAEVFVWVGQSVDPKEKQIVFDIGQKYIEMAVSLDGLSPFVPLYKVTEGNEPSFFTTYFLWDPIKATVQGNSFQKKVALLFGLGHHAVEDKSNGNQGGPTQRASALAALSSAFNPSGKSSHLDRSNGSSQGGPTQRASALAALSSAFNSSPGSKTTAPRPSGIGQGSQRAAAVAALSSVLTAEKKTPETSPSRSPRSETNLPTEGKSETQSEVEGSEGVAEVKEMEETASVPESNGEDSERKQDTEQEENDDGNGQSTFSYDQLKAHSDNPVKGIDFKRREAYLSDEEFQTVFGVTKEAFYKMPKWKQDMQKKKFDLF >Potri.006G050200.4.v4.1 pep chromosome:Pop_tri_v4:6:3484640:3497057:-1 gene:Potri.006G050200.v4.1 transcript:Potri.006G050200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050200.v4.1 MSSSTKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDHGKFYMGDSYIVLQTTPGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLAYFKPCIIPLEGGVATGFKKAEEEAFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVVSEDDIILETTPAKLYSITDGEVKMVDGELSKGLLENNKCYLLDCGSEVFLWVGRVTQVEERKAASQAAEEFVVSQNRPKATRITRLIQGYETHSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGLKGMTKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGNNSIEEDQKMAARLANTMSNSLKGRPVQGRIFQGKEPPQFVALFQPLVILKGGQSSGYKNSLAEKGSPDETYTADSVALFRISGTSVHNNKAVQVEAVATSLNPAECFLLQSGSSIFTWHGNQSTFEQQQLAAKIAEFLKPGVALKHAKEGTESSSFWFALGGKQSYTIKKVSPETVRDPHLFEFSLNKGKFQVEEIYNFSQDDLLTEDILILDTHAEVFVWVGQSVDPKEKQIVFDIGQKYIEMAVSLDGLSPFVPLYKVTEGNEPSFFTTYFLWDPIKATVQGNSFQKKVALLFGLGHHAVEDKSNGNQGGPTQRASALAALSSAFNPSGKSSHLDRSNGSSQGGPTQRASALAALSSAFNSSPGSKTTAPRPSGIGQGSQRAAAVAALSSVLTAEKKTPETSPSRSPRSETNLPTEGKSETQSEVEGSEGVAEVKEMEETASVPESNGEDSERKQDTEQEENDDGNGQSTFSYDQLKAHSDNPVKGIDFKRREAYLSDEEFQTVFGVTKEAFYKMPKWKQDMQKKKFDLF >Potri.006G050200.7.v4.1 pep chromosome:Pop_tri_v4:6:3484682:3497057:-1 gene:Potri.006G050200.v4.1 transcript:Potri.006G050200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050200.v4.1 MSSSTKALDPAFQGVGQRPGTEIWRIENFQPVPLPKSDHGKFYMGDSYIVLQTTPGKGGAYLYDIHFWIGKDTSQDEAGTAAIKTIELDAVLGGRAVQHRELQGHESDKFLAYFKPCIIPLEGGVATGFKKAEEEAFETRLYVCRGKRVVRMKQVPFARSSLNHDDVFILDTENKIYQFNGANSNIQERAKALEVIQFLKEKYHDGTCDVAIVDDGKLDTESDSGEFWVLFGGFAPIGKKVVSEDDIILETTPAKLYSITDGEVKMVDGELSKGLLENNKCYLLDCGSEVFLWVGRVTQVEERKAASQAAEEFVVSQNRPKATRITRLIQGYETHSFKSNFDSWPAGSAAPGAEEGRGKVAALLKQQGVGLKGMTKSAPVNEEVPPLLEGGGKMEVWCINGSAKTPLPKEDIGKFYSGDCYIILYTYHSGDRKEDYLLCCWFGNNSIEEDQKMAARLANTMSNSLKGRPVQGRIFQGKEPPQFVALFQPLVILKGGQSSGYKNSLAEKGSPDETYTADSVALFRISGTSVHNNKAVQVEAVATSLNPAECFLLQSGSSIFTWHGNQSTFEQQQLAAKIAEFLKPGVALKHAKEGTESSSFWFALGGKQSYTIKKVSPETVRDPHLFEFSLNKGKFQVEEIYNFSQDDLLTEDILILDTHAEVFVWVGQSVDPKEKQIVFDIGQKYIEMAVSLDGLSPFVPLYKVTEGNEPSFFTTYFLWDPIKATVQGNSFQKKVALLFGLGHHAVEDKSNGNQGGPTQRASALAALSSAFNPSGKSSHLVQDRSNGSSQGGPTQRASALAALSSAFNSSPGSKTTAPRPSGIGQGSQRAAAVAALSSVLTAEKKTPETSPSRSPRSETNLPTEGKSETQSEVEGSEGVAEVKEMEETASVPESNGEDSERKQDTEQEENDDGNGQSTFSYDQLKAHSDNPVKGIDFKRREAYLSDEEFQTVFGVTKEAFYKMPKWKQDMQKKKFDLF >Potri.001G026900.3.v4.1 pep chromosome:Pop_tri_v4:1:2009304:2015569:-1 gene:Potri.001G026900.v4.1 transcript:Potri.001G026900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026900.v4.1 MKNTNTEAFRVSLSSAVSSSSQGSGRDDNDALGDVYIWGEGTGEGILGGGVHKSGGSDIQMDSFVPKALESAVLLDVQAIACGRQHAALVTKQGEVFSWGEELGGRLGHGVDSDVSHPKFVDGLKNFNVELVACGEYLSCAVTLSGDLYIWGGNAYNFGLLGCGSEATQWVPRKLSGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRSSVSTPREVESLKGLRTMRVACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKEARLVPTYVATLVEPSFCQVACGHSLTVALTTSGQVYTMGSPVYGQLGNPQADGKVPTRVEGKLMKNFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNFPSIVEALKDKQVKGIICGTSFTAAICLHKWVSGIDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKPYRVCDYCFSKIRRSTGTDSSVHSTLRRRGSENQGLNEITEKSENSNTRSHAKLGRNFSMESSKEVESISSRRNRKSSSISTQVSPSAYDVSQRNAFNNSKSFGSSKKFFSASVPGSRIVSRATSPTSRRSSPPRATTPTPALSVHELPKVAVDGTGRLNDSLREEVVKLRAQVEELTHKAQLQEVELERTTSQLKEAVAVAEEETAKCKAAKEVIKSLTAQLKDVAERVPVGAARNNNSPSFYYSSNTPLRAVSPGVLEQLRGPRTCHEQDSNGSNSLVVSNVSAPTTNQTPHHSEVAHIEATLRNKNRTAKVEPTNGDEWVEQDEPGVYITLVSLPGGAKDLKRVRFSRKRFSEKQAEQWWASNRARLYQQYNVPMGERSLVSVGREGLAH >Potri.001G026900.2.v4.1 pep chromosome:Pop_tri_v4:1:2009328:2020484:-1 gene:Potri.001G026900.v4.1 transcript:Potri.001G026900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026900.v4.1 MATLEPALRVGPLERDVELAITALKRGAQLLKYGRRGRPKFCPFRLSNDESALIWISGKEEKHLKLSHVSRIIPGQRTPIFQRYPRPEKEYQSFSLIYNDRSLDLICKDKEEAEVWFTGLKALISHHQLWKKREETRNDGLLSEANSPRAYTLRSSPLSFAFGSDDSSMKDGTDPLRLRTPYDSPPKAGLEKALSDAVYTVPPKVLFPLESACGPGSDETTGRMKNTNTEAFRVSLSSAVSSSSQGSGRDDNDALGDVYIWGEGTGEGILGGGVHKSGGSDIQMDSFVPKALESAVLLDVQAIACGRQHAALVTKQGEVFSWGEELGGRLGHGVDSDVSHPKFVDGLKNFNVELVACGEYLSCAVTLSGDLYIWGGNAYNFGLLGCGSEATQWVPRKLSGPLEGIHVSSVSCGPWHTAVVTSAGQLFTFGDGTFGVLGHGDRSSVSTPREVESLKGLRTMRVACGVWHTAAVVEVMVGSSSSSNCSSGKLFTWGDGDKGRLGHGDKEARLVPTYVATLVEPSFCQVACGHSLTVALTTSGQVYTMGSPVYGQLGNPQADGKVPTRVEGKLMKNFVEELACGAYHVAVLTSRTEVYTWGKGANGRLGHGDTDDRNFPSIVEALKDKQVKGIICGTSFTAAICLHKWVSGIDQSMCSGCRLPFNFKRKRHNCYNCGLVFCHSCSSKKSLKASMAPNPNKPYRVCDYCFSKIRRSTGTDSSVHSTLRRRGSENQGLNEITEKSENSNTRSHAKLGRNFSMESSKEVESISSRRNRKSSSISTQVSPSAYDVSQRNAFNNSKSFGSSKKFFSASVPGSRIVSRATSPTSRRSSPPRATTPTPALSVHELPKVAVDGTGRLNDSLREEVVKLRAQVEELTHKAQLQEVELERTTSQLKEAVAVAEEETAKCKAAKEVIKSLTAQLKDVAERVPVGAARNNNSPSFYYSSNTPLRAVSPGVLEQLRGPRTCHEQDSNGSNSLVVSNVSAPTTNQTPHHSEVAHIEATLRNKNRTAKVEPTNGDEWVEQDEPGVYITLVSLPGGAKDLKRVRFSRKRFSEKQAEQWWASNRARLYQQYNVPMGERSLVSVGREGLAH >Potri.001G317500.3.v4.1 pep chromosome:Pop_tri_v4:1:32759478:32765843:-1 gene:Potri.001G317500.v4.1 transcript:Potri.001G317500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G317500.v4.1 MEDLNRTAPKLPIPGKRNILITSALPYVNNVPHLGNIIGCVLSADVFARYCRLRGYNAIYICGTDEYGTATETKAMEENSTPKQICDKYYAIHREVYKWFNISFDEFGRTSSPQQTEICQAIFKKLLENNWLSENSMQQLYCDTCERFLADRLVEGNCPTEGCNYDSARGDQCENCGKLLNPTELINPRCKQCKNTPRIRDTNHLFLELPLLKDKLVHYIESTSVAGGWSQNAIQTTNAWLKEGLKPRCITRDLKWGVPVPLEEFKDKVFYVWFDAPIGYVSITSCYTPDWEKWWKNPENVELYQFMGKDNVPFHTVMFPSTLLGTGENWTLMKTISVTEYLNYEAGKFSKSKGVGVFGNDAKDTNIPVEVWRYYLLTNRPEVSDTLFTWSDLQAKSNNELLNNLGNFINRVLSFLAKPPGSGYGSVIPDAPGAGSHPLTNKLAEEVGKYVEQYLEAMEKVKLKHGLKTAMSISSEGNAYLQESQFWRLYKEDQPSCSIVIKTSLGLVYLLACLLEPFMPSFSVEVFKQLNMPPEQASLCDEKGDMDKAKRPWEILPAGHKIGTPEPLFKELKIEEVEDYRKKFAGSQADRLEREEVEKASKLAEELKKKATVGGKKQQTKKPAGEAKSRGAVEPEISITRLDIRVGLIKKAQKHPDADSLYVEEIDLGEGDCRTVVSGLVKYIPLEEMQNRKVCILCNLKPANMRGIKSEAMVLAASSSDHTKVELVDPPQSAVVGERVTFPGFEGEPDDVLNPKKKVWETLQVDLQTNSDLVACYKDIPLTTSAGVCKVATINNGSIR >Potri.004G072400.2.v4.1 pep chromosome:Pop_tri_v4:4:6078937:6084732:1 gene:Potri.004G072400.v4.1 transcript:Potri.004G072400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072400.v4.1 MRYHPFEDIAVSASETSSDAMLTPQETSRTIVEAKSKATLMLTGVINDDFHENIIWPDLPYVTDEHGNIYFQVKNDEDILQALTTENNFVQAIIGFDAMEMLSEMESLGTSEIDFGVDEIEDEDSDVEDGGDEDEDDDDYDEDLVAVLDDSDEEDDSDEELGDWAKLETMRSSHPMYFAKKLAQVASDDPIDWMEQPPAGLAIQGLIRPAFMEEHSDIQRHMSGNQSCDADINKVGKSVEGKLEESGVVNGHEHKSGSSEDSSMWAEESEKDEAPRSGTSFYKLEMIKIQLISAHGHQTMVEVEDFMKAKPDAIALSAARIISLMKAGGERITQAFKSLCWRCKGIQVEEAAIIDVDSLGFDLRVCSGTQIQTLRFAFNSQATTEYSAERQLNDLLFPRIQSRLPKKKPTHQNDH >Potri.004G072400.1.v4.1 pep chromosome:Pop_tri_v4:4:6079006:6084733:1 gene:Potri.004G072400.v4.1 transcript:Potri.004G072400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072400.v4.1 MMMAMAMMIETTTAVRFPPSTTPAANFCSSLPRSSSAISWNKFQGLNGGSFFRRSSRLKSKTQASAENLDSNLESSEQNGKMRYHPFEDIAVSASETSSDAMLTPQETSRTIVEAKSKATLMLTGVINDDFHENIIWPDLPYVTDEHGNIYFQVKNDEDILQALTTENNFVQAIIGFDAMEMLSEMESLGTSEIDFGVDEIEDEDSDVEDGGDEDEDDDDYDEDLVAVLDDSDEEDDSDEELGDWAKLETMRSSHPMYFAKKLAQVASDDPIDWMEQPPAGLAIQGLIRPAFMEEHSDIQRHMSGNQSCDADINKVGKSVEGKLEESGVVNGHEHKSGSSEDSSMWAEESEKDEAPRSGTSFYKLEMIKIQLISAHGHQTMVEVEDFMKAKPDAIALSAARIISLMKAGGERITQAFKSLCWRCKGIQVEEAAIIDVDSLGFDLRVCSGTQIQTLRFAFNSQATTEYSAERQLNDLLFPRIQSRLPKKKPTHQNDH >Potri.010G087000.2.v4.1 pep chromosome:Pop_tri_v4:10:11273303:11274213:1 gene:Potri.010G087000.v4.1 transcript:Potri.010G087000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087000.v4.1 MAVAKGACLNHISRESSDVRRLANFYKDIFGFEEIESPKLEFKVLWIKISPDLALHLIERSPDTKLPEGPYSASSPVLDPTHLPRGHHVCFSVSNFDSFVQSLKDKGIETFQRSALNRPIRQVFFFDPDGNGLEVASRDE >Potri.005G182700.1.v4.1 pep chromosome:Pop_tri_v4:5:18923777:18925463:-1 gene:Potri.005G182700.v4.1 transcript:Potri.005G182700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G182700.v4.1 MAIPVIDFSKVNGTGEERAKTMAQIANGCEEWGFFQLMNHGIPEELLERVKKVSSEYFKLEREETFKNSTVAKTLNDLAGKKSGEKLESVDWEDVITLLDNNEWPSKTPGFKETMTEYRAELKKLAEKVMEVMDENLDLPKGYIKKAFNDGEGDGAFFGTKVSHYPPCPHPELVNGLRAHTDAGGVILLFQDDEVGGLQILKDGQWIDVQPMKNTIVINTGDQIEVLSNGRYKSTWHRVLASPDGNRRSIASFYNPSLKATVAPAPALVEKDSEKINQTYPKFLFGDYMSVYAEQKFLPKEPRFQAATAM >Potri.007G113800.1.v4.1 pep chromosome:Pop_tri_v4:7:13432808:13434294:-1 gene:Potri.007G113800.v4.1 transcript:Potri.007G113800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G113800.v4.1 MVRSSKGRQKLEMVKIANESNLMVTFSKRRSGLFKKASEISTLCGAEVAIIVFSPGKKVFSFGHPSVEKVVERYVSGNIPQTSGAFHLIEAHRKARISELNMKLTQAQNQLEMEKKRGEELDKLRRASQSQNWWDSPLQELSVAQLEQLKASLLTLKQNLAMQAQQILLQNSAPPQPFFSPNPGAAGNLPFDTINTGFNSNMAVAPFSSNTTMTAFNANMTGTPFNQSSTTMTPYGYNLGYGNGFF >Potri.017G015800.2.v4.1 pep chromosome:Pop_tri_v4:17:1149409:1153395:-1 gene:Potri.017G015800.v4.1 transcript:Potri.017G015800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G015800.v4.1 MLAVSPFRNTATTKNESQEQMESYSTIVNGEFPDFCDGDLLESIDFDDLFVSINEKDVLPNLEMDPEILAEFSVSGSGGEESDVNTSMSNEKVEDNSIRRKDEEDKFSGSGLDSSLSSRGEEIVSKKDESVVVNPVPSEDGEKGRKPTTQGKNNNNQRKRKMKVDWTPELHRRFVQAVEQLGVDKAVPSRILELMGIDCLTRHNIASHLQKYRSHQKHLLAREAEAASWSQRRQMYGTAAASGGGGKTDISAWHAPTMGFPPIIPMHHHFRPLHVWGHPSMDQSLMHMWPKHLAHSPYSPLPPPPTWHQPDPSYWHHHAHQRGPNVLNPGTPCYPQPPATRFHAPPVPGIPPHAMYKVDPGTGVPARHNSGPDPFLDLHPTKESVDAAIGDVLSKPWLPLPLGLKPPATDSVLVELQKQGVPKIPPT >Potri.008G124550.1.v4.1 pep chromosome:Pop_tri_v4:8:8089507:8090243:-1 gene:Potri.008G124550.v4.1 transcript:Potri.008G124550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G124550.v4.1 MRPNYFHINATMEANGHNIPTCLLDAWWGRQTGPEKHNISNKKFTRGSDKLPLTIAADQGKNLISMTVKFLRL >Potri.019G095800.1.v4.1 pep chromosome:Pop_tri_v4:19:13387317:13388498:1 gene:Potri.019G095800.v4.1 transcript:Potri.019G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095800.v4.1 MARSVIFLVAIFLSFCTLSCIAQQQPCTTYKFSNNKQFSSCSDLPVLSSSLHWNYLPLSSRVEVAFRHTGVTDRRWIAWAINPTSGGMIGSQAIVSFQRTDGSLAVYTSPITSYGTRLEQGNLSFTVLDLSATNQNNEMIIYASLELNGNISTVNHLWQVGPMSENTPMMHSVAPSSPNVKSMGSLDFLSGRIKATRSSSTTLKNVHGILNTVSWGILMPVGAVIARYLKRFESAGPLWFYLHVSCQLLAYILGGLSGFGTGIFLGVRSHGIEHSCHKIIGIVLFCLATAQVFGGLVRPDKDSKYRPFFNWFHFLAGCSTLILSIFNIYKGFDILHAARFWRLTYSGIILTLLLVTLLLEICTRWCLPITKRSMSNTVDKNTSTVAAVAAMDV >Potri.017G049000.4.v4.1 pep chromosome:Pop_tri_v4:17:3494260:3496206:-1 gene:Potri.017G049000.v4.1 transcript:Potri.017G049000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G049000.v4.1 MAAETASLLPSQAFPSQPTKITSIKTLAESPGLTSIPATYTFTPDLHDHVTCVPEGSIPVIDYSLLISGTPDQRSKIVHELGRACQDWGFFMVINHGVPENLLSSILDGCKGFFDLPEEEKQEFKGNHVLDPIRSGTSFNVSVEKAFYWRDFLKVFVHPVFYSPTKPAGLSEISLEYSQRVREVARGLLKGISESLGLEGSYIDKALNLEQGKQIFIANLYPTCPQPELAMGMPPHSDHGFLTLLIQNGIGGLQIQHKGKWVDVGALPNSFLVNTGDHLEVHSDFLVMLSFITIRLGVDPRFLRLNNSVKNAKFLFFCY >Potri.013G101000.1.v4.1 pep chromosome:Pop_tri_v4:13:10855023:10857205:-1 gene:Potri.013G101000.v4.1 transcript:Potri.013G101000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101000.v4.1 MSSINLAVLLVLGLLLVTAQQSMQMSLRNPTAEIETSNCKIAFLRLGLVFTSDNNEKALLDSGLYEPDSENPSVDIAGRRFHIGTLNNSLIIYVKTGSHSVNVATAVQILLLRFRTSGIIFFGSSGSLDEKMLVPGDVAVPKAVAFTGVWEWKKFRSEEGKLVFGDFNYPENGENLLGTVEYETINMFSPNEAPKKVFWLPITTSWYNAATKALKDLKLRQCYSDECLPGKPKVVFGSKGSTSDFYVRNKAYGDFLNDNFNATTADTASASVALTSLSNEKLFVVFEGVSNVAGKTSSDSGVSYLASYNAFLAATKFINSIPTPRLACE >Potri.018G076700.3.v4.1 pep chromosome:Pop_tri_v4:18:9213334:9222488:-1 gene:Potri.018G076700.v4.1 transcript:Potri.018G076700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G076700.v4.1 MLDFTPLPGHATLTTTTSRSLLSSALNKSLVFRHHAASASNRTLPGRITCETMSSSTGTAFPTLRSVTIPYTDLKNKDADLSAKIEEGFGPNGLGILSISDVPGFSSLRQNLLHLSPRLANLPQKVKDELEDPHSRYNFGWSHGKEKLESGKPDLLKGSFYANPILDVPTTDMCLKQRYPSYCSSNIWPGSALPELETAFKALGKLMHDVGLMVAYHCDQYVSKGMEVDQNESLEQILIRSRCHKGRLLYYFPALRSDCVPDGDSTSSWCGWHTDHGSLTGLTCAMFKRDGVEIPCPDSIAGLYIKTRTDQIVKVVFGEGEVAYQIGETAEILSRGYLCATPHSVQAPKGEEASGVDRSTFALFMQPDWDERLNFPKEMHIHKDLVLANSCLTFGEYSEMLLDKYYHLKT >Potri.011G066700.1.v4.1 pep chromosome:Pop_tri_v4:11:5823999:5827111:1 gene:Potri.011G066700.v4.1 transcript:Potri.011G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G066700.v4.1 MAKKFNTNVHFHDIPDAILSSIFSLITDTRSRNAMSLVCLKWHLIERSTRTCLSLRGNIRDLFLLPTCFRAVSNLDLSLVSPWGRPILDSSPNTTLLAQVLHCTFPSVVTLTVYARNPSILHLLAPQWPNLRQIKLVRWHKRSPTTLGSDFLALFEHCHSLASLDLSHFYCWTEDLPPALEAYPSIAASLSHLNILNYTSSDQGFKSHEILAITSACPNLREFLAACIFDHRYIGFVGDETLLSLATNCPRLSLLHLVDSSSLSAARGDPDNDGYTTEDARIRQTMLIEMFSALPLLEELVLDVCHNVRDTWVALEMLNSKCPRLKSLKLGQFHGICKGIDARPDGIALCSRLESLSIKNCADLTDSGLISISLGCPRLTKFEVQGCKKITKLGMTKLASILRKTLTEVKISCCKHLNTVTSLQALEPIRDCLQRLHIDCVWEMVEQSGSEATSSAKYDGFKCSDKRRGIWEDANLKKKYKKYNDNENGYASSNWAKLQCLSLWIPVGELLNPLVLAGLDNCPMLEEIQIKVEGDCRHQSRLSPDGFGLSSLLCYPRLSKMHIDCQAAIGYALTAPSGHVDLSPWERFYLNGIGRLNLTELNYWPPQDIDYNKRSLSLPAAGLLAQCRTMRKLFVHGTANEHFMMFLLKVQTLRDVQLREDYYPAPENDNSTEMRIDSCSRFEDALNRRAIPD >Potri.007G061601.1.v4.1 pep chromosome:Pop_tri_v4:7:6865729:6866475:1 gene:Potri.007G061601.v4.1 transcript:Potri.007G061601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061601.v4.1 MIIRSPEPEVKILVDRDPIKTSFEEWARPGHFSRTIAKGPDTTTWIWNLHADAHDFDSHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFDGARFSNYEAWLSDPTHIGPSAQVVWPIVGQEILNGDVGGGFRGIQRTSGFFQIWRASGITSELQLYCTAIGALVFAALMLFAGWFHYHKAAPKLAWFQDVESMLNHHLAGLLGLGSLSWAGHQVHVSLPINQFLNAGVDPKEIPLPHEFLLNRDLLA >Potri.012G134000.1.v4.1 pep chromosome:Pop_tri_v4:12:14923473:14925360:1 gene:Potri.012G134000.v4.1 transcript:Potri.012G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134000.v4.1 MANTNSNVPQADQSPPPQPSNPSIQVPDPPSSILLPILQDDQYAPHSTSSNKSPRLIPSPAGSLGQPLTVRQDEYSPRFGFPGQPVSGRQDDQSPRSNRSSGGSSTQPTSGRHPSYKGIRLRSGKWVSEIREPRKTTRVWLGTYPTPEMAAAAYDAAALALKGPDAPLNFPESILSYPIPASASSSDIRAAAASAASTRSPRPEAGPNPERDRLETEGTSSSTTTADIQSGQEFIDEEELLNLPSLLVDMAGGMLVSPPRINTPSSDDSPGNSDAENLWSYH >Potri.015G029000.5.v4.1 pep chromosome:Pop_tri_v4:15:2229549:2237369:1 gene:Potri.015G029000.v4.1 transcript:Potri.015G029000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029000.v4.1 MVRKRRTELPRSGGESSESQETGAGRGAQPPAERSGPPQQGGGGGGYQGGRGPQSQQVGRGGGYGGGRGRGGMQQQHYGGAPEYQGRGRGQPQHGERGYGSGRSGGGRGGPPSGGPFRAPAPELHQATPAPYPAGMTPQPMPSEARSSMPMLSEASSSMQPLEPSPAAVSQQMQQLSIQQEGSSSQATQPPPASSKSMRFPLRPGKGSTGIRCIVKANHFFAELPDKDLHQYDVSITPEVSSRGVNRAVMAQLVKLYQESHLGKRLPAYDGRKSLYTAGALPFQAKEFKIILIDEDDGTGGQRREREFKVVIKFAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTARYCPVGRSFYSPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDSDRIKIKKALRGVRVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTLKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQERERDIMQTVYHNAYHNDPYAKEFGIRISEKLASVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGRVNNWICINFSRTVQDSVARGFCYELAQMCHISGMDFALEPLLPPVGARPEQVERVLKTRYHDAMTKLQPHSKELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANDHRDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSLTSGMASGRGGGGAGGRATRGPAANAAVRPLPALKENVKRVMFYC >Potri.015G029000.4.v4.1 pep chromosome:Pop_tri_v4:15:2230640:2237495:1 gene:Potri.015G029000.v4.1 transcript:Potri.015G029000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029000.v4.1 MVRKRRTELPRSGGESSESQETGAGRGAQPPAERSGPPQQGGGGGGYQGGRGPQSQQVGRGGGYGGGRGRGGMQQQHYGGAPEYQGRGRGQPQHGERGYGSGRSGGGRGGPPSGGPFRAPAPELHQATPAPYPAGMTPQPMPSEARSSMPMLSEASSSMQPLEPSPAAVSQQMQQLSIQQEGSSSQATQPPPASSKSMRFPLRPGKGSTGIRCIVKANHFFAELPDKDLHQYDVSITPEVSSRGVNRAVMAQLVKLYQESHLGKRLPAYDGRKSLYTAGALPFQAKEFKIILIDEDDGTGGQRREREFKVVIKFAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTARYCPVGRSFYSPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDSDRIKIKKALRGVRVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTLKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQERERDIMQTVYHNAYHNDPYAKEFGIRISEKLASVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGRVNNWICINFSRTVQDSVARGFCYELAQMCHISGMDFALEPLLPPVGARPEQVERVLKTRYHDAMTKLQPHSKELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANDHRDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSLTSGMASGRGGGGAGGRATRGPAANAAVRPLPALKENVKRVMFYC >Potri.015G029000.3.v4.1 pep chromosome:Pop_tri_v4:15:2229549:2237488:1 gene:Potri.015G029000.v4.1 transcript:Potri.015G029000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029000.v4.1 MVRKRRTELPRSGGESSESQETGAGRGAQPPAERSGPPQQGGGGGGYQGGRGPQSQQVGRGGGYGGGRGRGGMQQQHYGGAPEYQGRGRGQPQHGERGYGSGRSGGGRGGPPSGGPFRAPAPELHQATPAPYPAGMTPQPMPSEARSSMPMLSEASSSMQPLEPSPAAVSQQMQQLSIQQEGSSSQATQPPPASSKSMRFPLRPGKGSTGIRCIVKANHFFAELPDKDLHQYDVSITPEVSSRGVNRAVMAQLVKLYQESHLGKRLPAYDGRKSLYTAGALPFQAKEFKIILIDEDDGTGGQRREREFKVVIKFAARADLHHLGLFLQGKQADAPQEALQVLDIVLRELPTARYCPVGRSFYSPDLGRRQSLGEGLESWRGFYQSIRPTQMGLSLNIDMSSTAFIEPLPVIDFVTQLLNRDVSSRPLSDSDRIKIKKALRGVRVEVTHRGNMRRKYRISGLTSQATRELTFPVDERGTLKSVVEYFYETYGFVIQHTQWPCLQVGNQQRPNYLPMEVCKIVEGQRYSKRLNERQITALLKVTCQRPQERERDIMQTVYHNAYHNDPYAKEFGIRISEKLASVEARILPPPWLKYHDTGREKDCLPQVGQWNMMNKKMVNGGRVNNWICINFSRTVQDSVARGFCYELAQMCHISGMDFALEPLLPPVGARPEQVERVLKTRYHDAMTKLQPHSKELDLLIVILPDNNGSLYGDLKRICETDLGLVSQCCLTKHVFKMSKQYLANVALKINVKVGGRNTVLVDALSRRIPLVSDRPTIIFGADVTHPHPGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIKELLISFRRATGQKPQRIIFYRDGVSEGQFYQVLLHELDAIRKACASLEPNYQPPVTFVVVQKRHHTRLFANDHRDRNAVDRSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENKFTADGLQSLTNNLCYTYARCTRSVSIVPPAYYAHLAAFRARFYMEPETSDSGSLTSGMASGRGGGGAGGRATRGPAANAAVRPLPALKENVKRVMFYC >Potri.008G201400.3.v4.1 pep chromosome:Pop_tri_v4:8:14327536:14330995:-1 gene:Potri.008G201400.v4.1 transcript:Potri.008G201400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G201400.v4.1 MAGPQCCAHPPTLNPSVGAGHVEKVGGLDTYVSGSPDSKLAILLVSDVYGYEAPNLRKLADKIAAAGFYAVVPDFFYGDPFAPDNAERPIKVWLKDHGMHKGFEDAKPVIEALNSKGVSAIGAVGFCWGAKVVVELGKSSAFIKAAVLCHPSFVTVDDFKEFKVPISILGAETDQLSPPALLKQFEELLASKSEVDSFVKIFPKVAHGWTVRYDVEDEAAVKSAEEAHGNLLEWFAKYVK >Potri.017G004800.2.v4.1 pep chromosome:Pop_tri_v4:17:317624:319367:1 gene:Potri.017G004800.v4.1 transcript:Potri.017G004800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G004800.v4.1 MASDHSVRGEEVISNKQVILKDYVSGFPRESNLYLTTSNIKLKVPEEESGKDAVLVKNLYLSCDPFMRGRMQRDLPPGEPEQSSYSLGSPIVGYGVARVVDSRHSDFKKGDLVWGRTISWEEYSLITTPEYLFKINHTDDIPLSYYTGILGMPGIAAYFCFFDIGSPKEGDRVFVSSASGAVGQLVGQFAKLIGCYVVGSAGSKEKVELLKTKFGFDDAFNYKEEHDLDAALKRYFPEGIDIYFENVGGKMLDAVLLNMRYHGRIALCGMISQYNLEQPESVENLIAVLFKHIRMEGFSVAEYYDQYSQVLGFCSALY >Potri.019G087700.1.v4.1 pep chromosome:Pop_tri_v4:19:12646403:12653242:-1 gene:Potri.019G087700.v4.1 transcript:Potri.019G087700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G087700.v4.1 MERKVGDLLFLWLLILVLLLHPVWLVLGNMEGDALHSLRSNLNDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQLGLLKNLQYLELYSNNISGPIPSDLGNLTNLVSLDLYLNSFTGPIPDTLGKLSKLRFLRLNNNSLAGPIPMSLTNISALQVLDLSNNRLSGVVPDNGSFSLFTPISFANNLDLCGPVTGHPCPGSPPFSPPPPFVPPPPVSTPGGNSATGAIAGGVAAGAALLFAAPALAFAWWRRRKPQEFFFDVPAEEDPEVHLGQLKRFSLRELQVATDSFSNKNILGRGGFGKVYKGRLADGSLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPPSQPPLDWPTRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKGLLKEKKLEMLVDPDLQNKYVEAEVEQLIQVALLCTQGTPMERPKMSEVVRMLEGDGLAERWDEWQKVEVLRQEVEFSPHPNSDWIVDSTENLHAVELSGPR >Potri.016G101300.1.v4.1 pep chromosome:Pop_tri_v4:16:10255179:10256265:-1 gene:Potri.016G101300.v4.1 transcript:Potri.016G101300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101300.v4.1 MDISLTTTGKSDQKACSSDDLQGSSHVRSYTCSFCKRGFTNAQALGGHMNIHRRDRAKLKQALDENFLSLNITKSTEETSSAPETPCAPSIEDDCATPRNKASEEFQQLPFIVDAKVGTDGSEENKMEFNQATSQAGLDLELRLGSEPHESSTRSSTRKFS >Potri.011G023401.1.v4.1 pep chromosome:Pop_tri_v4:11:1740184:1742780:1 gene:Potri.011G023401.v4.1 transcript:Potri.011G023401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023401.v4.1 MAEGGISSFWGPVTSTTECCEKNYAYSSYIAEFHNTISNIPCIVLALVGLINALRQRFEKRFSVLHISNMILAIGSMIFHATLQRVQQQSDETPMVWEMLLYMYILYSPDWHYRSTMPIFLFLYGVVFAAVHSVVRFGIGFKVHYAILCLLCIPRMYKYYIYTQDASAKRLAKMYVATLLIGTLCWLFDRIFCKEISSWPINPQGHALWHVFMGFNSYLANTFLMFCRARQRGWSPKVVHFMGVLPYVKIEKPKAQ >Potri.001G359500.3.v4.1 pep chromosome:Pop_tri_v4:1:37589220:37592929:-1 gene:Potri.001G359500.v4.1 transcript:Potri.001G359500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359500.v4.1 MCVPLTPSLVSDAGTGGNPLLGEQAAEESKDAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGIGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKSLLTDPKAAKLVDRMSGSQEAKGIPVPLKSSTSSSTVPTRPSPRKLFF >Potri.001G359500.1.v4.1 pep chromosome:Pop_tri_v4:1:37589210:37592974:-1 gene:Potri.001G359500.v4.1 transcript:Potri.001G359500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G359500.v4.1 MATLQLQLANPNTSSPSFSTSFQKQLCRFSQRRRLSSSKHGSVSCSFAPMESAKIKVVGVGGGGNNAVNRMIGSDLQGIDFYAINTDAQALVQSAAQNPLQIGELLTRGLGTGGNPLLGEQAAEESKDAIANALKGSDLVFITAGMGGGTGSGAAPVVAQISKEAGYLTVGVVTYPFSFEGRKRSLQALEAIEKLQKNVDTLIVIPNDRLLDIADEQTPLQDAFLLADDVLRQGVQGISDIITIPGLVNVDFADVKAVMKNSGTAMLGIGVSSSKNRAEEAAEQATLAPLIGSSIQSATGVVYNITGGKDITLQEVNRVSQVVTSLADPSANIIFGAVVDDRYNGEIHVTIIATGFSQSFQKSLLTDPKAAKLVDRMSGSQEAKGIPVPLKSSTSSSTVPTRPSPRKLFF >Potri.012G141500.1.v4.1 pep chromosome:Pop_tri_v4:12:15325213:15326915:-1 gene:Potri.012G141500.v4.1 transcript:Potri.012G141500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G141500.v4.1 MAQQKVVLKVLTMTDVKTKQKAIEAAADIYGVDSIAADLKDQKLTVIGQMDTVAVVKRLKKVAKVDIISVGPAKEEKKEVKKEVKKEEKKEEKKEEKKGEKKEEKK >Potri.002G255500.1.v4.1 pep chromosome:Pop_tri_v4:2:24433586:24444306:1 gene:Potri.002G255500.v4.1 transcript:Potri.002G255500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G255500.v4.1 MGTKGDGESQEERPHSPYSVLQHLSEEAFRVAGEALHSVYPGTDPGFKSMQRSQSEIFTNGAGSLRSSSFRKLKTRMQNAWRGGGDSRERGYLPSFNPEVLANQKRQWYQFNSKSLNHSKYKEPTSLFEHFIVAGLHPDANLEKVEDAFAREKKWESDMEKSGLLDFNSMQRRAPSFPTLEPQILFKYPPGKRLAMRSKDLAAFCFPGGVKTRLLERTPSLSELNELVYGQEHLGRDDFSFIFSLKVADNDTLYGVCLHVTEIVQRPPGILGSQSPLSQSTGRCCRFLVSAPRCYCVLTRVPFFELHYEMLNSIIAQERLNRITQFVSEMSLTACMPSVSKQHGQMNENVDCLDREYDADWMASAIPVDSAVALTAAAAGIISDDVIPTLSPKIWEPQSPDSVAASEASDSSQAREVDKDGRKYLQYFDDYASLSPENHCDALERIYGGDENGHVSPVLGMFSCSRSRRLDRLGSFDTLFSSVRSMVSDDDDDELFPDHEKDFGDDLILEWAKENKNDLLQIICGYHAMPLPQRGNGIVFQPLDHLQAIEYKRPPTSDLGFCNSYLASFKAAEVNAKLAAAEEALALSIWTTATVCRVLSLENVLALVAGVLLEKQVVVVCPNLGVLSAVVLSLVPMIRPFQWQSLLLPILPRQMLDFLDAPVPFIVGIQHRPADLKIKTSNLVHVNVLKNQVKMCHLPTLPRYKELVSELRPLHDRLSLESSVAKRHPVYRCNEVQAEAATRFLTVMRRYLESLCSDLRSYTITSVQSNNDRVSLLLKDSFIDSFNSRDRSFIKHFVDTQLFAVLSDSRLSSFEHGSF >Potri.011G022300.2.v4.1 pep chromosome:Pop_tri_v4:11:1665800:1670581:1 gene:Potri.011G022300.v4.1 transcript:Potri.011G022300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022300.v4.1 MEEIGKSAGSSFSKEQQQIYKEWFNLADSDGDGRFTGNDATKFFAMSNLSRQQLKQVWALADSKRQGFLGLTEFVTAMQLVSLAQAGHELTPDTIKTASKMEDVKPPLMDGIDALLAKNKSSRISENDINGSTQLRLSTGTPQVGAKSSRKMPLNAVTSIIDGLKRLYIEKLKPLEATYHFNDFVSPLLTNSDFDARPMVMLLGQYSTGKTTFIKHLLRCNYPGAHIGPEPTTDRFVVVMSGHDERSIPGNTVAVQADMPFSGLTHFGGAFLSKFECAQMPHPLLDEITIVDTPGVLSGEKQRTQRSYDFTGVISWFAAKCDLILLLFDPHKLDISDEFKRVIASLRGNDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPINEETASQMFCELFEKEQNDLLMDLVDIPKKACDRRINEFVKRARAAKMHAYIISHLKKEMPAIMGKAKTQQRLIDNLEDEFAKVQREFHLPPGDFPNVEHFKEVLNGYSIDKFEKLKPKMIQAVDDMLGYEIPELLKSFRNPYA >Potri.008G152701.2.v4.1 pep chromosome:Pop_tri_v4:8:10489862:10494582:1 gene:Potri.008G152701.v4.1 transcript:Potri.008G152701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152701.v4.1 MDSHTTITTKTSKPLQAYRSSLTTSSPIESRTNLFIINNSIFISFFPLYFAKFGFFFGWCSAASARIGEVKRVTNETNVSVKFNLDGTGIADSTTGIPFLDHMLDRLSSHGLFDVHVRATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSAPLDEALIHVSLDLSGRPYLGYDLQIPTQRVGTYDTQLAGRNSHHIIEATFKAFARALRQATEYDPRRLGTVPSSKGVHYPLGSQFSWHIVELFNIRRFSCPFHIILLVTRTLKHV >Potri.008G152701.3.v4.1 pep chromosome:Pop_tri_v4:8:10489862:10493897:1 gene:Potri.008G152701.v4.1 transcript:Potri.008G152701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152701.v4.1 MDSHTTITTKTSKPLQAYRSSLTTSSPIESRTNLFIINNSIFISFFPLYFAKFGFFFGWCSAASARIGEVKRVTNETNVSVKFNLDGTGIADSTTGIPFLDHMLDRLSSHGLFDVHVRATGDIHIDDHHTNEDVALAIGTALLQALGDRKGINRFGDFSAPLDEALIHVSLDLSGRPYLGYDLQIPTQRVGTYDTQLAGRNSHHIIEATFKAFARALRQATEYDPRRLGTVPSSKGVLSRT >Potri.014G117300.1.v4.1 pep chromosome:Pop_tri_v4:14:7890210:7893926:-1 gene:Potri.014G117300.v4.1 transcript:Potri.014G117300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117300.v4.1 MVVPSPTPIRTKTTKALGIPTVDLSLDNSSVSQLIVRACEEYGFFKVINHGVNKEVVTRLEEEAARFFGKPAAEKQQAGPASPFGYGCKNIGCHGDTGELEYLLLHTNLLSVSERSKTISNDPSGFSCAVSDYIRAVRQLACEILDLAAEGLWVPDKHVFSRLIRDVHSDSVLRLNHYPAVEEIADWDPSPIRIGFGEHSDPQILTILRSNDVAGLQICLHDGLWVPVPPDSTGFYVIVGDSFQVLTNGRFESVRHRVLTNSSQPRMSMMYFGAPPLTAWIAPLSHMVSQQNPSLYKPFTWSEFKKAAYSLRLRDTRLDLFKIHATEKSASL >Potri.007G142400.1.v4.1 pep chromosome:Pop_tri_v4:7:15174962:15177455:-1 gene:Potri.007G142400.v4.1 transcript:Potri.007G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G142400.v4.1 MSDQEVSNKQVALKNYVTGFPKESDMQIITTNTIKLRVPEGTKDAVLVKNLYLSCDPYMRERMSAGDGGFSSFELGKPLTGYGVAKILDSTHPNYKKGDLAWGFTGWEEYSLIVSPRILIKIEHADVPLSYYTGILGMPGMTAYAGFYEICTPKKGEYVYISAASGAVGQIVGQFAKLSGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEPDLTAALKRYFPEGIDIYFENVGGKMLDAVLANMRTLGRIAACGMISQYNLEKHEGVHNLTLIVWKQIRMQGFLAASYYHLYPKFLEMALPYIKQGKIVYVEDKAEGLESGPTSLLSLFTGQNVGKKLLVVARE >Potri.006G168100.1.v4.1 pep chromosome:Pop_tri_v4:6:16829792:16830302:1 gene:Potri.006G168100.v4.1 transcript:Potri.006G168100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G168100.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.018G039600.1.v4.1 pep chromosome:Pop_tri_v4:18:3157326:3159575:-1 gene:Potri.018G039600.v4.1 transcript:Potri.018G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G039600.v4.1 MFSFRWLLGALHLTIWGPVTLTMTHAMLPPPPVQCNDNGCTLYNSYGVWNDRKDCHVPSITYPTTEEEMRLAVAHANQNNLKVKVVSRFSHTIPKLACPDDAQHGNSMLISTLKYNSSIEIDTANLAVTADAGVGLRELIDEVERSGLSLVPAPYWEGVSVGGVISTGAHGSSWWGKGGAVHDHVIGLNLIVPARESEGYAKIIRIGAKDPLLNAAKVSLGMLGVISKVKLSLEPAFKRSVAYNFTDDAHIEDIFMDHGKKYEFADVTWYPSRHTAVYRYDYRVPLSTSGNGEYDFLGFQPNSIVVSKATRSSEKLLESARNVNGKCALATTFVGFKKLVANGLKNGLIFTGYPVVGHQGKMQTSGSCLYSTKIDTSCAWDPRIGGLFFYETTAIFPALKFGDFLRDVKKLRDLKPENFCGVDIYNGFLIRFIKASQAYLGQSEDSIVLDYNYYRADDSSTPRLNQDVWEEVEQMAFFKYGAKPHWAKNRNLAFLDLQHKYPNFSKFLAAKKQLDPKNMFSGEWSDEILYGKEAAKADGCALEGQCICSEDIHCSPKKGYFCEQGLVYKEARVCRYSSSATK >Potri.017G051100.1.v4.1 pep chromosome:Pop_tri_v4:17:3648263:3649463:1 gene:Potri.017G051100.v4.1 transcript:Potri.017G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G051100.v4.1 MSLSSRMEVAVETSVPAEKFHDIFSTSTITQLSSMSPAKVQAIHLLKGEWEKPGCTISWNFYIDGAPTAAKVMEDIDNTKLSTTFNVIEGDLMGAYKSFKAIVQATPKGHGSVVRWTMIYEKLSENIPAPTAFVDFAVDLTKDINAHMTQAQA >Potri.004G161200.7.v4.1 pep chromosome:Pop_tri_v4:4:18098623:18104318:1 gene:Potri.004G161200.v4.1 transcript:Potri.004G161200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G161200.v4.1 MGSLGAILKHPVDIYPLLKLKMAAKHAEKQIPREPHWAFCYSMLPRVSRSFALVIQQLDTELRNAVCVFYLVLRALDTVEDDTSIPTDVKVPILIAFHRHIYDHDWHFSCGTKEYKVLMDQFHNVSNAFLELGKGYQEAIEDITKRMGAGMAKFILKEVESIDDYDEYCHYVAGLVGLGLSKLFHASGLEDLAPDSISNSMGLFLQKTNIIRDYLEDINEIPKSRMFWPREIWSKYVNKLEDLKYEENSVKAVQCLNDMVTNALIHMDDCLKYLSELRDPAIFRFCAIPQIMAIGTLALCYNNVNVFRGVVKMRRGLTAQVIHQTKTMDDVYGAFFDFSCMLKFKVDNSDPNAIKTLSRLEAAQKSCRESGALNKRKSYIIRNEPKYNSVLIVLLFIILSIIFAYLSANRSSY >Potri.003G018900.1.v4.1 pep chromosome:Pop_tri_v4:3:1938110:1962744:-1 gene:Potri.003G018900.v4.1 transcript:Potri.003G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G018900.v4.1 MGTRFRSGSCVLVILVCVVGFCGFGSVSCGENRRPKNVQVAVRAKWEGTPILLEAGELLSKERKDIYWEFIDSWLHSKKEDNDSYTAKDCLKKIMKHGHALLSDTLASLFDFSLILRSASPRLVLYRQLAEESLSSFPLLDDSFSNNASGGLAKTNDTNEMKRSDPLLVGRNPEIPGGKCCWVDTGAALFYDVADLLLWLHSPTGMAEDSFQQPELFDFDHVHFESLSGSPVTILYGALGTDCFKEFHSALVEAAKQGKVKYVVRPVLPSGCESKVGRCVAVGASDSLNLGGYGVELALKNMEYKAMDDSAIKKGVTLEDPRTEDLSQEVRGFIFSKILERKPELTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVHASDPLQSMQEINQNFPSVVSSLSRMKLKDSVKDEITANQRMIPPGKSLMALNGALINIEDIDLYLLVDMVQQELSLADQFSKLKVPHSTIRKLLSTASPPESSMIRVDFRSSHVHYLNNLEEDAMYKRWRNNINEILMPVFPGQLRYIRKNLFHAVYVLDPATSCGLESVDMILSLYENNFPMRFGLILYSSKFIKKATSRGLHLSAEENDGEIEEDISSLIIRLFIYIKESYGTPTAFQFLSNVNRLRMESDSEDDVPETHHVDGAFVDTILPKVKTPPQDILLKLAKEQTYKELSQESSMFVFKLGLNKLQCCLLMNGLVFDSSEEVLMNAMNDELPRIQEQVYYGQINSHTDVLDKFLSESGIGRYNPQIIAEGKAKPRFISLTSGVLGGKSVVNDINFLHSPGTVDDVKPVTHLLAVDITSKKGINLLHEGIRYLIEGSKGARLGVLFSSSQDSDLPGLLLVKVFEITTASYSHKKNVLNFLEHLCSFYEQKYIQASSVAAESTQTFIDKVYDLADANELPQKAYKSILSEFSADKVKNQLNKVSQFFYLLLGLESGVNAVITNGRVMFPGDEGTFLSHDLHLLETMEFKQRVKHIGEIIEEVQWQDVDPDMLTSKFVSDIIMYVSSAMAMRERSSESARFEILNAEHSAVIIDNENSSVHIDAVVDPLSAAGQKVSSLLRVLRKYVQPSMRIVLNPMSSLVDLPLKNYYRYVVPTMDDFSSTDLTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDHEPPRGLQLILGTKSNPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYAFREGGDGSQEKHLSKLITINDLRGKVVHLEVVKKKGMEHEKLLISSDDDNNSQRKGTHDSWNSNLFKWASGFIGGGGLSKKNESALMEHEKRGRHGKTINIFSIASGHLYERFLKIMILSVWKNTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPSWLHKQTEKQRIIWAYKILFLDVIFPLSLERVIFVDADQVVRADMGELYDMDIKGRPLAYTPFCDNNRDMDGYRFWSQGFWKEHLRGRPYHISALYIVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLQGAKRIVSEWVNLDSEARHFTAKILGDEVNPQELVSPNQSQAKILGDEVNPQELVSPNQSQDYQTDNSLEEDAESKSEL >Potri.003G018900.3.v4.1 pep chromosome:Pop_tri_v4:3:1938177:1962560:-1 gene:Potri.003G018900.v4.1 transcript:Potri.003G018900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G018900.v4.1 MKHGHALLSDTLASLFDFSLILRSASPRLVLYRQLAEESLSSFPLLDDSFSNNASGGLAKTNDTNEMKRSDPLLVGRNPEIPGGKCCWVDTGAALFYDVADLLLWLHSPTGMAEDSFQQPELFDFDHVHFESLSGSPVTILYGALGTDCFKEFHSALVEAAKQGKVKYVVRPVLPSGCESKVGRCVAVGASDSLNLGGYGVELALKNMEYKAMDDSAIKKGVTLEDPRTEDLSQEVRGFIFSKILERKPELTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVHASDPLQSMQEINQNFPSVVSSLSRMKLKDSVKDEITANQRMIPPGKSLMALNGALINIEDIDLYLLVDMVQQELSLADQFSKLKVPHSTIRKLLSTASPPESSMIRVDFRSSHVHYLNNLEEDAMYKRWRNNINEILMPVFPGQLRYIRKNLFHAVYVLDPATSCGLESVDMILSLYENNFPMRFGLILYSSKFIKKATSRGLHLSAEENDGEIEEDISSLIIRLFIYIKESYGTPTAFQFLSNVNRLRMESDSEDDVPETHHVDGAFVDTILPKVKTPPQDILLKLAKEQTYKELSQESSMFVFKLGLNKLQCCLLMNGLVFDSSEEVLMNAMNDELPRIQEQVYYGQINSHTDVLDKFLSESGIGRYNPQIIAEGKAKPRFISLTSGVLGGKSVVNDINFLHSPGTVDDVKPVTHLLAVDITSKKGINLLHEGIRYLIEGSKGARLGVLFSSSQDSDLPGLLLVKVFEITTASYSHKKNVLNFLEHLCSFYEQKYIQASSVAAESTQTFIDKVYDLADANELPQKAYKSILSEFSADKVKNQLNKVSQFFYLLLGLESGVNAVITNGRVMFPGDEGTFLSHDLHLLETMEFKQRVKHIGEIIEEVQWQDVDPDMLTSKFVSDIIMYVSSAMAMRERSSESARFEILNAEHSAVIIDNENSSVHIDAVVDPLSAAGQKVSSLLRVLRKYVQPSMRIVLNPMSSLVDLPLKNYYRYVVPTMDDFSSTDLTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDHEPPRGLQLILGTKSNPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYAFREGGDGSQEKHLSKLITINDLRGKVVHLEVVKKKGMEHEKLLISSDDDNNSQRKGTHDSWNSNLFKWASGFIGGGGLSKKNESALMEHEKRGRHGKTINIFSIASGHLYERFLKIMILSVWKNTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPSWLHKQTEKQRIIWAYKILFLDVIFPLSLERVIFVDADQVVRADMGELYDMDIKGRPLAYTPFCDNNRDMDGYRFWSQGFWKEHLRGRPYHISALYIVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLQGAKRIVSEWVNLDSEARHFTAKILGDEVNPQELVSPNQSQAKILGDEVNPQELVSPNQSQDYQTDNSLEEDAESKSEL >Potri.003G018900.2.v4.1 pep chromosome:Pop_tri_v4:3:1938105:1962716:-1 gene:Potri.003G018900.v4.1 transcript:Potri.003G018900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G018900.v4.1 MGTRFRSGSCVLVILVCVVGFCGFGSVSCGENRRPKNVQVAVRAKWEGTPILLEAGELLSKERKDIYWEFIDSWLHSKKEDNDSYTAKDCLKKIMKHGHALLSDTLASLFDFSLILRSASPRLVLYRQLAEESLSSFPLLDDSFSNNASGGLAKTNDTNEMKRSDPLLVGRNPEIPGGKCCWVDTGAALFYDVADLLLWLHSPTGMAEDSFQQPELFDFDHVHFESLSGSPVTILYGALGTDCFKEFHSALVEAAKQGKVKYVVRPVLPSGCESKVGRCVAVGASDSLNLGGYGVELALKNMEYKAMDDSAIKKGVTLEDPRTEDLSQEVRGFIFSKILERKPELTSEIMAFRDYLLSSTISDTLDVWELKDLGHQTAQRIVHASDPLQSMQEINQNFPSVVSSLSRMKLKDSVKDEITANQRMIPPGKSLMALNGALINIEDIDLYLLVDMVQQELSLADQFSKLKVPHSTIRKLLSTASPPESSMIRVDFRSSHVHYLNNLEEDAMYKRWRNNINEILMPVFPGQLRYIRKNLFHAVYVLDPATSCGLESVDMILSLYENNFPMRFGLILYSSKFIKKATSRGLHLSAEENDGEIEEDISSLIIRLFIYIKESYGTPTAFQFLSNVNRLRMESDSEDDVPETHHVDGAFVDTILPKVKTPPQDILLKLAKEQTYKELSQESSMFVFKLGLNKLQCCLLMNGLVFDSSEEVLMNAMNDELPRIQEQVYYGQINSHTDVLDKFLSESGIGRYNPQIIAEGKAKPRFISLTSGVLGGKSVVNDINFLHSPGTVDDVKPVTHLLAVDITSKKGINLLHEGIRYLIEGSKGARLGVLFSSSQDSDLPGLLLVKVFEITTASYSHKKNVLNFLEHLCSFYEQKYIQASSVAAESTQTFIDKVYDLADANELPQKAYKSILSEFSADKVKNQLNKVSQFFYLLLGLESGVNAVITNGRVMFPGDEGTFLSHDLHLLETMEFKQRVKHIGEIIEEVQWQDVDPDMLTSKFVSDIIMYVSSAMAMRERSSESARFEILNAEHSAVIIDNENSSVHIDAVVDPLSAAGQKVSSLLRVLRKYVQPSMRIVLNPMSSLVDLPLKNYYRYVVPTMDDFSSTDLTVNGPKAFFANMPLSKTLTMNLDVPEPWLVEPVIAVHDLDNILLENLGDTRTLQAVFELEALVLTGHCSEKDHEPPRGLQLILGTKSNPHLVDTLVMANLGYWQMKVSPGVWYLQLAPGRSSELYAFREGGDGSQEKHLSKLITINDLRGKVVHLEVVKKKGMEHEKLLISSDDDNNSQRKGTHDSWNSNLFKWASGFIGGGGLSKKNESALMEHEKRGRHGKTINIFSIASGHLYERFLKIMILSVWKNTQRPVKFWFIKNYLSPQFKDVIPHMAQEYGFEYELVTYKWPSWLHKQTEKQRIIWAYKILFLDVIFPLSLERVIFVDADQVVRADMGELYDMDIKGRPLAYTPFCDNNRDMDGYRFWSQGFWKEHLRGRPYHISALYIVDLVKFRETAAGDNLRVFYETLSKDPNSLSNLDQDLPNYAQHTVPIFSLPQEWLWCESWCGNATKSRAKTIDLCNNPMTKEPKLQGAKRIVSEWVNLDSEARHFTAKILGDEVNPQELVSPNQSQAKILGDEVNPQELVSPNQSQDYQTDNSLEEDAESKSEL >Potri.008G037300.1.v4.1 pep chromosome:Pop_tri_v4:8:2051803:2054233:-1 gene:Potri.008G037300.v4.1 transcript:Potri.008G037300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G037300.v4.1 MAAARNTLQLRRLLSALAHNNQPFTSSLNKEHSWKLLPSASSLFTRNDFYGRGLQTLAKPANQANEESENHENGLKPNCSSANAPAQVNSNEGSATTYSSLSNLKTSPRHDLAMIFTCKVCETRSVKTVCRESYEKGVVVARCGGCNNLHLIADHLGWFGQPGSIEEILAARGEEVKKGSADTFNLTLEDLAGKKIFKE >Potri.004G119600.1.v4.1 pep chromosome:Pop_tri_v4:4:11306762:11307073:-1 gene:Potri.004G119600.v4.1 transcript:Potri.004G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G119600.v4.1 MSSTLKKSKVSLGRTERRRRRRPHGRSCRAQRSICSGGFVTSPNKVSDKMEALKNLIPATHNGEVKADQLFQETADYIVLLRTQVLVLKGLIDFYGSSTERDN >Potri.010G049500.2.v4.1 pep chromosome:Pop_tri_v4:10:8108119:8109739:-1 gene:Potri.010G049500.v4.1 transcript:Potri.010G049500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G049500.v4.1 MVLIRHRMQQHLKLDPLPELEIDECALCPWFPKPMSGTTIQGVGDFLDLEKLCVLGRGNQGSVYKVRHGQTLAIYALKIIQQGINDAYVSHETEILNCIDSPFVVKCHGIFEPRAGEKAILMEYMDAGTLDTIFRANGPFSETSLANIAYQVLNGLKYLHEHNIVHLDIKPSNLLVSKDMKVKIADFGVSKIVHGTGTRAATNHHNMCEGTHAYMSPERLDSHTFGSGYVYAGDVWSLGVTLLELYVGHFPFFPADKRPSDWMELVLVVCFGEFPSFPKEASEEFRSFIKCCLEKEPSKRWTVSQLLSHPYVCLGERLGK >Potri.014G179000.1.v4.1 pep chromosome:Pop_tri_v4:14:13560979:13565787:1 gene:Potri.014G179000.v4.1 transcript:Potri.014G179000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179000.v4.1 MASSKPHPHLLDQVLVEDNSFSKTLILNRPKQLNALSHQMVSRLLELFLAYEKDPNVKLLLLKGSGRAFCAGGDVAAVVRDIREAHWKSGADFFCKEFTLNYIMATYTKPQVSILDGIVMGGGAGASMHGRFRVATENSVFAMPETALGLFPDVGASYYLSRLPGFFGEYVGLTGARLDGAEMLLCGLATHLVPSAKLPLLEEALVNLDSSDPARISAIIDEYSERPYLKGKSAYHRLDVIDKCFSLRTVEAILSALEKEAVNITEDWFSAAIQSLKKASPTSLKISLKSIREGRLQGVGQCLVREYRMVCHVMQGKLSKDFFEGCRAILLDKDKNPKWEPSQLDLISDAVVEEYFSKVDDEEWEELKLPARFNLPGHAIAKL >Potri.017G087500.1.v4.1 pep chromosome:Pop_tri_v4:17:10060008:10065564:1 gene:Potri.017G087500.v4.1 transcript:Potri.017G087500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G087500.v4.1 MSEIAARSFVPCQHQVLVGHFSSRKWKEKLNRHERQCHALPEPKSKQPLIKNQMAMNSATYSSRMTTDIPLYESPGASFDGYLEDKPRVFSAIFPDKRRSQQLNEEEWRIQMLPINFLFLTVWPVVEMRLRCKSGGRDYPPGVPEEITKVLELDVIRWKLQGLDNIFQPSQFSLGVKGALYPDRQGVRTRLKGQLEMNISFVLPPVLAMVPENVRQPVAESVLRGLVENMKLRVNSSLLADYSKFKQETPKNRV >Potri.006G138450.1.v4.1 pep chromosome:Pop_tri_v4:6:11504029:11505288:-1 gene:Potri.006G138450.v4.1 transcript:Potri.006G138450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138450.v4.1 MMLGPLPCTPCAFDFDFTAKNEVDCIPQLSSFHAITPLLAAMFFLSSITPPSSWLSSLDFCIIGLLRPTYVFLKIRFYGCLRLSFSLLLPFSISWRMLDR >Potri.018G030300.2.v4.1 pep chromosome:Pop_tri_v4:18:2246602:2254356:-1 gene:Potri.018G030300.v4.1 transcript:Potri.018G030300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G030300.v4.1 MEKKTAKKKNPLVFMDVCIDGDPKERMVFELFSDIAPKTAENFRALCTGEKGIGPKSERPLHYKGSFFHRIVKGSMAQGGDILKRDGTFGESIYGDKFPDESPKLKHDGPGLLSMSIADRDALGSQFIVTFRANHHLDRKYVVFGKLVQGDKVLKNIEDVGDEEGRPTVTVKIVNCGEFIEDKKKVNKLKTEKHQKSSRDRKKRRKRNHLSDSENSSDSDMESSESDSDSDSMSSSSDISSSSEDRRRKRKRTSKRDKHRRGKKRDKRRDKRRKRHDKRSKRRSRRSSDSLTDAESESETSSDDDAQAKERKCRGPSQKTAEDQSPMVLEEEAASFPRKRRQEPDILEKEDGEFPKENGSRRSDGIEADAKSFGSEDRQPDIRDGHPGKSRSQSMSPKRTSGKSISPRRSLSKSPSVSPKRSSSRSHSASRSHPHVSQRSISRSPVRSGSSRSTARSFSRSPVRAKKARSISTSPVRSRSRRSISRSPVRLPPRRSISRSPVRSRSQSLQKSISRSPVRDSRSISRSPVRSSRRSISRSPVRSSRRSVSRSPVRSSRRSVSRSPVRSLRRSVSKSPVRSSRRSISRSPVRSSRRSISRSSGRAPSRRSISRSPVRAPSRNNRRSYSRSPSIRKARSPDRRSLSRSVSPNGSPKRIRRGRGFSQRYAYARRYRTPSPERSPVRSYRYSGRDRFTSYRRYSPRRYRSPQRGRTPPRYRGRRSRTRSPSVSRSPRYRNRRYSRSPVRSRSPVDVSKSRVSPRVDRRRSPSRSRSLSKSQSSLDSQSPKRLSKDRSRSSSRSPGGKKGLVSYDDGSPDSSQR >Potri.001G219500.2.v4.1 pep chromosome:Pop_tri_v4:1:22926034:22931168:-1 gene:Potri.001G219500.v4.1 transcript:Potri.001G219500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G219500.v4.1 MAAQTWIWTSLTVVVTVILTVVNANSEGDALFTLRKSLSDPDNVLQSWDPTLVNPCTWFHITCNQDNRVTRVDLGNSNLSGHLVPELGRLEHLQYLELYKNNIQGIIPTELGNLKSLVSLDLYNNNISGTIPPSLGKLKSLVFLRLNDNRLTGPIPRDLANVSSLKVVDVSSNDLCGTIPTNGPFEHIPLNNFENNPRLEGPELLGLASYGTNCS >Potri.T085601.6.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:208793:212420:1 gene:Potri.T085601.v4.1 transcript:Potri.T085601.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085601.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHSGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQSSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPINFFRRLPARSDSML >Potri.T085601.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:209128:212501:1 gene:Potri.T085601.v4.1 transcript:Potri.T085601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085601.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHSGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQSSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPINFFRRLPARSDSML >Potri.T085601.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:209064:212479:1 gene:Potri.T085601.v4.1 transcript:Potri.T085601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085601.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHSGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQSSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPINFFRRLPARSDSML >Potri.T085601.5.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:209039:212425:1 gene:Potri.T085601.v4.1 transcript:Potri.T085601.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085601.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHSGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQSSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPINFFRRLPARSDSML >Potri.T085601.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:208476:212534:1 gene:Potri.T085601.v4.1 transcript:Potri.T085601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085601.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHSGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQSSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPINFFRRLPARSDSML >Potri.T085601.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:208880:212541:1 gene:Potri.T085601.v4.1 transcript:Potri.T085601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085601.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHSGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQSSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPINFFRRLPARSDSML >Potri.T085601.7.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:208949:212388:1 gene:Potri.T085601.v4.1 transcript:Potri.T085601.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T085601.v4.1 MQKPPQSVDFALKETSPNIGAGSVTGDKLSCTYDLVEQMQYLYVRVVKAKDLPPKDITGSCDPYVEVKLGNYKGVTKHFEKKSNPEWNQVFAFSKDRIQASVLEVFVKDKDVVLDDLIGRMMFDLIDVPKRVPPDSPLAPQWYRLEDRKGDKIKAGELMLAVWMGTQADEAFPDAWHSDAASVGPDGVNKIRSKVYISPKLWYVRVNVIEAQDLVPGDKSRFPEVFVRGTLGNQALRTRTSQTKTVNPMWNEDLIFVVAEPFEEPLILTAEDRLGPNKDEVLGKCVIPLQLVQRRLDHKPVNTRWFNLEKHVIVDGEQKKETKFASRIHLRICLDGGYHVLDESTHYSSDLRPTAKQLWRSSIGILELGVLSAVGLMPMKKKDDRGTTDAYCVAKYGQKWIRTRTIVDSFAPRWNEQYTWEVFDPCTVITIGVFDNGHIHSGGGGKDSRIGKVRIRLSTLETDRVYTHSYPLLAIQSSGVRKTGEVQLAVRFTCSSLVNMLHMYSHPLLPKMHYVHPLSVMQLDSLRHQAMHIVSMRLSRSEPPLRKEVVEYMLDVDSHMWSMRRSKANFFRIMAVLSGLIAVGKWFDQICNWKNSLTTILIHILFIILVLYPELILPTIFLYLFLIGLWNYRWRPRHPPHMDTRLSHADAAHPDELDEEFDSFPTSRPSDIVRMRYDRLRSIAGRVQTVVGDLATQGERFQSLISWRDPRATTLFVTFCLIAAIVLYVTPFQVLALLIGLYVLRHPRFRHKLPSVPINFFRRLPARSDSML >Potri.016G106725.1.v4.1 pep chromosome:Pop_tri_v4:16:11153124:11154764:-1 gene:Potri.016G106725.v4.1 transcript:Potri.016G106725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106725.v4.1 MDSCPLGGAYGIVYSATDRATNQGVAVKIIPFLQAEITKRLAREIYLLYEIEHPNIVRLQRAFFHDDKLCLVFELFSCNMRELLGQDHRNSNIQT >Potri.003G045000.2.v4.1 pep chromosome:Pop_tri_v4:3:5126601:5134550:1 gene:Potri.003G045000.v4.1 transcript:Potri.003G045000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045000.v4.1 MRIQIEDNGKVFEACQNSMEKFATVTSIKDKPSVSSSSGKISSTKSLKRTYSSSNQEYRKNSVNVLKCLPGTNEQLNQELVTMPDKTVIGDNILVEYRVVTGKENPSKVRSELYSRALLQDDNRNRCGLEKRSKYREDKQSGSLKAGDLERNDDAAETSMVDSVTALEITPDDVVGVIGEKQFWKARTAIVNQQRVFAAQVFELHRLIKVQKLIAGSPHLLLEDNLYVGRASLKVSQINKVPSKCAMVDKPKDHSQKQHTSADFAGENVVGKLPLPSTNDETSKEPISQRSNYSGSAPPAPVATTAKPSPWCYPPPGNQWLVPVMSPSEGLVYKPYAGPCPPVSRFMEPVYGSCGPISLAPGGGDFLNAAYSVSASNHEEIGILPGNPHFGQTFFQPFGMPVMNPSICDSAVEQIRPRIGPQSKDNQLAVGDVNFNIPLQSSCNMSNQMSRVISCCVENFQGLKESEIQGSSAGSLSKMPKANALPLFPMEPTLQASYPNAQTNEQQARVIKVVPHNRRSATESAARIFQSIQEERKQYD >Potri.003G045000.3.v4.1 pep chromosome:Pop_tri_v4:3:5126596:5133863:1 gene:Potri.003G045000.v4.1 transcript:Potri.003G045000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045000.v4.1 MRGAKDEEKMTSPMFPRLHVNDAEKGGPRAPPRNKMALYEQLCIPSQRFSSGSGSMLPVLPNNGSSLAPSISSSHLNQELVTMPDKTVIGDNILVEYRVVTGKENPSKVRSELYSRALLQDDNRNRCGLEKRSKYREDKQSGSLKAGDLERNDDAAETSMVDSVTALEITPDDVVGVIGEKQFWKARTAIVNQQRVFAAQVFELHRLIKVQKLIAGSPHLLLEDNLYVGRASLKVSQINKVPSKCAMVDKPKDHSQKQHTSADFAGENVVGKLPLPSTNDETSKEPISQRSNYSGSAPPAPVATTAKPSPWCYPPPGNQWLVPVMSPSEGLVYKPYAGPCPPVSRFMEPVYGSCGPISLAPGGGDFLNAAYSVSASNHEEIGILPGNPHFGQTFFQPFGMPVMNPSICDSAVEQIRPRIGPQSKDNQLAVGDVNFNIPLQSSCNMSNQMSRVISCCVENFQGLKESEIQGSSAGSLSKMPKANALPLFPMEPTLQASYPNAQTNEQQARVIKVVPHNRRSATESAARIFQSIQEERKQYD >Potri.009G020400.1.v4.1 pep chromosome:Pop_tri_v4:9:3283623:3288409:-1 gene:Potri.009G020400.v4.1 transcript:Potri.009G020400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020400.v4.1 MGGESMKLCNIVCFLLGFVTLCYSFTDPNDLKILLDFQNGLENPELLKWPAKGNDPCGPPSWPHVFCSDGRVTQIQVQNMGLKGPLPQNFNQLSKLYNIGLQRNNFNGKLPTFKGLSELVYAFLNGNNFDTIPSDFFEGLSSIAVLALDGNSLNESTGWSLPSELASSVQLTNFSVSSCNLAGPLPDFLGSMPSLSNLELSYNRLSGEIPASFGQSLMSILLLNNQEGGGMSGSIDVIANMTSLSQLWLHGNSFSGTIPEKIGDLSLLRDLNLNGNKLVGYIPQSLADMQLENLDLNNNQLMGPVPVFKAGKVSYDSNPLCQSKPGVECAPEVYALLDFLSGVNYPSNIAPQWSGNDPCHGPWLGLNCDSNSKVSVINLPRRNLTGTLNPSIAKLDSLVQIGLGGNDIEGTIPSNLTNLKSLRLFDVSENNLGPPLPKFRNSVKLVVDGNPLLVGGNQSQQSPSPASSLPPVSFTPPENSPPENSPPGSAQPSPFTMPSSPPSPTSSSHANRSTSTKVPAQTKRNFERTKLVIVGGILAGSLLAVVLIALCLYSCFKKKKETSNPPCSIVVHPRDPSDSENFVKIAVSDNITGSLSTQTGTSSVSNTSSLTENSRAIEAGNVIISVQVLRKVTDNFAQKNQLGSGGFGTVYKGELEDGTKIAVKRMEAGVVSGKAVDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYLSEGALSMHLFHWKKLNLEPLSWTRRLSIALDVARGMEYLHSLARQTFIHRDLKSSNILLGDDFRAKVSDFGLVKLAPDGEKSVVTRLAGTFGYLAPEYAVMGKITTKADVFSYGVVLMELLTGLTALDEERSEESRYLAEWFWKIKSSKEKLMAAIDPTLNASEEIFESIYTIAELAGHCTLREPNHRPDMGHAVNVLAPLVEKWKPINDESEDFSGIDYSLPLPEMLKVWQDGESTGRSYTSLNDSKSSIPARPAGFAESFTSADGR >Potri.010G091200.6.v4.1 pep chromosome:Pop_tri_v4:10:11605446:11614450:-1 gene:Potri.010G091200.v4.1 transcript:Potri.010G091200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091200.v4.1 MFPDKQLFDWGMMRLPRPLYGIGDAFAMEADDQFRKKRDAERLSRLEDEERNHVETRKRKFFTEILNAVREFQLQVQATHKRRKQRNDGIQAWHGRQRQRATRAEKLRLQALKADDQEAYMRLVKESKNERLTMLLEETNNLLANLGAAVKRQKDSKHSDGIEPLRDSEADSPELDASRNESELDTYPEEDVIIDSNLNDDTGDLLEGQRQYNSAIHSIQEMVTEQPYILKGGQLRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKEKKGVCGPHLIVAPKAVLPNWINEFSTWISEAEIKAFLYDGCLEERKAIREQLSREGNLQVLITHYDLIMRDKAFLKKIQWQYMIVDEGHRLKNHECALAKTIGGYQMKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSEDKFEEWFNAPFADRGEVSLTDEEQLLIIRRLHNVIRPFILRRKKNEVEKYLPGKTQVLLKCDLSAWQKVYYQQVTEMGRVGLHTGSGKSKSLQNLTMQLRKCCNHPYLFVGDYNMWRKDEIMRASGKFELLDRLLPKLHATDHRVLLFSQMTRLMDILEIYLQLHDYKYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKKGIDAKVIQAGLFNTTSTAQDRKDMLEEIMHRGTSSLGTDVPSEREINRLAARSQEEFRIFEDMDKDRRKKEDYRSRLMEEHEVPEWAYQAPDNKEDKAKGFEQNSTGVLGKRRRKEVIYSDTLSDLQWIKTVENGEDMPKLSGKGKKQEHTRSEANDSASNSARTDKKVLEMRNEYTPVASEGTSEDTYASAPKRPKSDEAVSQKPDYQVSEKSEQGGGESGLNKHIFTWNTYKKKRSSYVIPSSSSNTKGQNSNGKGNGWA >Potri.010G091200.2.v4.1 pep chromosome:Pop_tri_v4:10:11605446:11616176:-1 gene:Potri.010G091200.v4.1 transcript:Potri.010G091200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091200.v4.1 MVAQLEHHLQQDSPAASFSSSLEDHVQKTKSLISALNFVSRNLPLPLDLFNTVSSIYSDVGNADFDGGAQERSQLQGNPGISIRTDLMTGFEDALSKQRLNCMSGFSLAELRENRYQSHILHRLNELEELPSTRGEDLQMKCLLELHGLKLAELQSKVQSEVSSEYWLRLNCMFPDKQLFDWGMMRLPRPLYGIGDAFAMEADDQFRKKRDAERLSRLEDEERNHVETRKRKFFTEILNAVREFQLQVQATHKRRKQRNDGIQAWHGRQRQRATRAEKLRLQALKADDQEAYMRLVKESKNERLTMLLEETNNLLANLGAAVKRQKDSKHSDGIEPLRDSEADSPELDASRNESELDTYPEEDVIIDSNLNDDTGDLLEGQRQYNSAIHSIQEMVTEQPYILKGGQLRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKEKKGVCGPHLIVAPKAVLPNWINEFSTWISEAEIKAFLYDGCLEERKAIREQLSREGNLQVLITHYDLIMRDKAFLKKIQWQYMIVDEGHRLKNHECALAKTIGGYQMKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSEDKFEEWFNAPFADRGEVSLTDEEQLLIIRRLHNVIRPFILRRKKNEVEKYLPGKTQVLLKCDLSAWQKVYYQQVTEMGRVGLHTGSGKSKSLQNLTMQLRKCCNHPYLFVGDYNMWRKDEIMRASGKFELLDRLLPKLHATDHRVLLFSQMTRLMDILEIYLQLHDYKYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKKGIDAKVIQAGLFNTTSTAQDRKDMLEEIMHRGTSSLGTDVPSEREINRLAARSQEEFRIFEDMDKDRRKKEDYRSRLMEEHEVPEWAYQAPDNKEDKAKGFEQNSTGVLGKRRRKEVIYSDTLSDLQWIKTVENGEDMPKLSGKGKKQEHTRSEANDSASNSARTDKKVLEMRNEYTPVASEGTSEDTYASAPKRPKSDEAVSQKPDYQVSEKSEQGGGESGLNKHIFTWNTYKKKRSSYVIPSSSSNTKGQNSNGKGNGWA >Potri.010G091200.7.v4.1 pep chromosome:Pop_tri_v4:10:11605432:11612583:-1 gene:Potri.010G091200.v4.1 transcript:Potri.010G091200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091200.v4.1 MRLVKESKNERLTMLLEETNNLLANLGAAVKRQKDSKHSDGIEPLRDSEADSPELDASRNESELDTYPEEDVIIDSNLNDDTGDLLEGQRQYNSAIHSIQEMVTEQPYILKGGQLRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKEKKGVCGPHLIVAPKAVLPNWINEFSTWISEAEIKAFLYDGCLEERKAIREQLSREGNLQVLITHYDLIMRDKAFLKKIQWQYMIVDEGHRLKNHECALAKTIGGYQMKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSEDKFEEWFNAPFADRGEVSLTDEEQLLIIRRLHNVIRPFILRRKKNEVEKYLPGKTQVLLKCDLSAWQKVYYQQVTEMGRVGLHTGSGKSKSLQNLTMQLRKCCNHPYLFVGDYNMWRKDEIMRASGKFELLDRLLPKLHATDHRVLLFSQMTRLMDILEIYLQLHDYKYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKKGIDAKVIQAGLFNTTSTAQDRKDMLEEIMHRGTSSLGTDVPSEREINRLAARSQEEFRIFEDMDKDRRKKEDYRSRLMEEHEVPEWAYQAPDNKEDKAKGFEQNSTGVLGKRRRKEVIYSDTLSDLQWIKTVENGEDMPKLSGKGKKQEHTRSEANDSASNSARTDKKVLEMRNEYTPVASEGTSEDTYASAPKRPKSDEAVSQKPDYQVSEKSEQGGGESGLNKHIFTWNTYKKKRSSYVIPSSSSNTKGQNSNGKGNGWA >Potri.010G091200.5.v4.1 pep chromosome:Pop_tri_v4:10:11605446:11616116:-1 gene:Potri.010G091200.v4.1 transcript:Potri.010G091200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G091200.v4.1 MVAQLEHHLQQDSPAASFSSSLEDHVQKTKSLISALNFVSRNLPLPLDLFNTVSSIYSDVGNADFDGGAQERSQLGNPGISIRTDLMTGFEDALSKQRLNCMSGFSLAELRENRYQSHILHRLNELEELPSTRGEDLQMKCLLELHGLKLAELQSKVQSEVSSEYWLRLNCMFPDKQLFDWGMMRLPRPLYGIGDAFAMEADDQFRKKRDAERLSRLEDEERNHVETRKRKFFTEILNAVREFQLQVQATHKRRKQRNDGIQAWHGRQRQRATRAEKLRLQALKADDQEAYMRLVKESKNERLTMLLEETNNLLANLGAAVKRQKDSKHSDGIEPLRDSEADSPELDASRNESELDTYPEEDVIIDSNLNDDTGDLLEGQRQYNSAIHSIQEMVTEQPYILKGGQLRSYQLEGLQWMLSLFNNNLNGILADEMGLGKTIQTISLIAYLKEKKGVCGPHLIVAPKAVLPNWINEFSTWISEAEIKAFLYDGCLEERKAIREQLSREGNLQVLITHYDLIMRDKAFLKKIQWQYMIVDEGHRLKNHECALAKTIGGYQMKRRLLLTGTPIQNSLQELWSLLNFLLPHIFNSEDKFEEWFNAPFADRGEVSLTDEEQLLIIRRLHNVIRPFILRRKKNEVEKYLPGKTQVLLKCDLSAWQKVYYQQVTEMGRVGLHTGSGKSKSLQNLTMQLRKCCNHPYLFVGDYNMWRKDEIMRASGKFELLDRLLPKLHATDHRVLLFSQMTRLMDILEIYLQLHDYKYLRLDGSTKTEERGTLLKKFNAPDSPYFMFLLSTRAGGLGLNLQTADTVIIFDSDWNPQMDQQAEDRAHRIGQKKEVRVFVLVSVGSVEEVILERAKQKKGIDAKVIQAGLFNTTSTAQDRKDMLEEIMHRGTSSLGTDVPSEREINRLAARSQEEFRIFEDMDKDRRKKEDYRSRLMEEHEVPEWAYQAPDNKEDKAKGFEQNSTGVLGKRRRKEVIYSDTLSDLQWIKTVENGEDMPKLSGKGKKQEHTRSEANDSASNSARTDKKVLEMRNEYTPVASEGTSEDTYASAPKRPKSDEAVSQKPDYQVSEKSEQGGGESGLNKHIFTWNTYKKKRSSYVIPSSSSNTKGQNSNGKGNGWA >Potri.007G080500.1.v4.1 pep chromosome:Pop_tri_v4:7:10482809:10487577:-1 gene:Potri.007G080500.v4.1 transcript:Potri.007G080500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G080500.v4.1 MGGGGGVLLGMPGPWADDNREPSDLYTSKIGGLPDWPFPAENLAPNLLICGACGSKLCLVAQVYAPISSGTLNIEDRTILVFGCIIPNCGNTPLSWRALRVQKVDSERESSVSTEEVVPSTPPVSVSKTNWLGDDSDEDIDLEALSKALSEAGTLASHSKKKDGNRRSESVVKNSTLVARTGVDMETPVVPCFYMYTQEPSSKDIVSSICSTYSELSVKEEQICNYNDDEMGDAGEQEVYEYDKALSADRTYLKFKKQLDANPDQCSRHLYGGKPLLATAELGDPGNCKLCGGFRHFEMQLMPQLISFLLDGADDCQKNVLENWNWMTLVIYTCSKSCSNSFDREKSTTSGWTVAEEAVLVQFEKALHESILPGYFS >Potri.003G019800.3.v4.1 pep chromosome:Pop_tri_v4:3:2039430:2040818:1 gene:Potri.003G019800.v4.1 transcript:Potri.003G019800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G019800.v4.1 MEFLFQTVKHCVMNHLAKKPVFSTLQYWLVNNPHILNFSWNQGQTLGASPLFLTLTVLSYLSLTFILSHVTLPSVGPRILRFLTAIHNIILLTVSFTMAIGCTLSIIFHSPNVDCIVCYPINTPPRGPLFFWSHIFYLSKIFEFMDTLLIILSNSIRRLTFLHVYHHATVVVMCYISLHTSQSLFPGVIVINSSVHVIMYFYYFLCSLGIRPKWKKFVTDCQIVQFFSSFGIMAWIFYYHFTGLGCSGIWGWCFDSVFITSLLVLFLDFHSKNYSNKNEAKKNI >Potri.009G134900.1.v4.1 pep chromosome:Pop_tri_v4:9:10921745:10925487:1 gene:Potri.009G134900.v4.1 transcript:Potri.009G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134900.v4.1 MDDGELDYSNQELFLSPNMDDLPSSCSYGFLDELLMDTPHACTHTHTCNPPGPDNPHTHVCFHAHTKLLSSSEDKVESEDTAESIEKKSKKRPLGNREAVRKYREKKKAKAASLEDEVKRLRALNQQLLKRLQGQAALEAEVARLKCLLVDIRGRIEGEIGSFPYQKSANNVNLTNFPGSLVMNPCNIRCDDQVYCQHPGLDGKGGEGEALKGQGFNSCEFENLQCMEYQNSGMRDLPGCSIGNEEMNGNSSSANKRKGGACEATVS >Potri.006G200200.2.v4.1 pep chromosome:Pop_tri_v4:6:20782145:20786867:1 gene:Potri.006G200200.v4.1 transcript:Potri.006G200200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200200.v4.1 MEVLEVDEAKKPEVHMTSAAAFVEGGIQESCDDACSICLEDFCESDPSTVTNCKHEFHLQCILEWCQRSSECPMCLRSISLKDPTSQELFEAVERERKIRAAPSRNATIFHHPTLGDFELQHLPVGVSDSELEERIIQHLAAAAAMGRTGHFGRGGGQRNRSSPHGRPHFLVFSTHPGAQPSGHVSSSLSQLGRENEPAAGSVASPSTPLTSVEDEPSQQTLQLPPILTDQSSSASGSTVMRQGLSFNNRSTSSQSAPPNQDRAGPSEFQSFSVSLKSRLNAVSMRYKESISRSTRGWKDRLFSRNSSVSDLGSEVRRDVNAGIATVSRMMERLETRDNSGANQVSVSTHWTDRPAAERSNQNNAETHIESPVNEGSTPVSCAASSAST >Potri.006G200200.4.v4.1 pep chromosome:Pop_tri_v4:6:20782052:20786575:1 gene:Potri.006G200200.v4.1 transcript:Potri.006G200200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200200.v4.1 MTSAAAFVEGGIQESCDDACSICLEDFCESDPSTVTNCKHEFHLQCILEWCQRSSECPMCLRSISLKDPTSQELFEAVERERKIRAAPSRNATIFHHPTLGDFELQHLPVGVSDSELEERIIQHLAAAAAMGRTGHFGRGGGQRNRSSPHGRPHFLVFSTHPGAQPSGHVSSSLSQLGRENEPAAGSVASPSTPLTSVEDEPSQQTLQLPPILTDQSSSASGSTVMRQGLSFNNRSTSSQSAPPNQDRAGPSEFQSFSVSLKSRLNAVSMRYKESISRSTRGWKDRLFSRNSSVSDLGSEVRRDVNAGIATVSRMMERLETRDNSGANQVSVSTHWTDRPAAERSNQNNAETHIESPVNEGSTPVSCAASSAST >Potri.019G067133.1.v4.1 pep chromosome:Pop_tri_v4:19:10692072:10693849:-1 gene:Potri.019G067133.v4.1 transcript:Potri.019G067133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067133.v4.1 MGLKVVSSAIISFSLFLLLASTAKAQSKGVFDVTKYGSDKDITEALTNAWKDACASTNPSKVLIPSGTYSLRKLTLAGPCKAAIELQVDGMLKAPVDPNQFSGGHWVNFGHVDKFTLSGSGTFDGQGKAAWSKSTCQKDKDCDSLPMNLRFDFITNALVRDITTRDSKNFHVNVLGCKNLTFQHFTVSAPGESVNTDGIHVGQSTGIYIIDSKIGTGDDCISVGDGTEELHITGVTCGPGHGISVGSLGKYPNEKPVSGIFVKNCTLSDTTNGVRIKSWPALYGGVASNMHFEDIVMNNVQNPVIIDQVYCPWNQCSLKAPSKVKISGVSFKNIRGTSATPVVVQIACSSGFPCEKVELADIKLAYSGPDGPSKSQCSNVKPIISGIMSAPGC >Potri.004G110300.1.v4.1 pep chromosome:Pop_tri_v4:4:9880423:9880809:-1 gene:Potri.004G110300.v4.1 transcript:Potri.004G110300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110300.v4.1 MGSFGKSISSALFFYIGILIITPGFAIRTYEENPELSQHLEPCHAKVTKRCAIEISNSIYNNNTPLEYCCQKHITTGKACHDDFIKLFMSRLPKEKVTFVVAKGDQIWNHYAAIAALASIASTLSILP >Potri.002G014500.1.v4.1 pep chromosome:Pop_tri_v4:2:897710:900576:1 gene:Potri.002G014500.v4.1 transcript:Potri.002G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G014500.v4.1 MAVDWTQLPPELIEIISKKITIYSDYLHFQAVCHAWKSSIPKTPNHLPPQLPWLLLPQSQSNQSSYRAFFNLSTYKFHSLNLPEASHRKKHCGSSHGWLIILDDSPSILVINPLTRRKIHLPAISSFPNVVSFDYANVGREYLLVNPQGERNTASLRQMRDGFIKKVVMSSSPLEKKGDFFVAMAILCSTGDLAYCKNGDECWNIVENSRSFSEDVIYFNGLFYAVNKAGQIVVCDVNGNSPKVSFIETPRQIGGDMQYLVSSGDGLLLVTRYLDLDVEFDIFIYKTARFEVFKLDLNGPRWERVTSLGDMMLFIGENSSLALSASGLSGCMGDCIYYTDDYSANNYDGHIGEHDLGIFKLSDGSIEPLPCYPRNSYSRLQWPPPLWISPNPY >Potri.004G182250.1.v4.1 pep chromosome:Pop_tri_v4:4:19636915:19638218:-1 gene:Potri.004G182250.v4.1 transcript:Potri.004G182250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182250.v4.1 MAVSSNQKTGFHARSNSFPSRPNPIITQLDEHLCRSRASEGASTSSSLGGKLSSLQDLHDCVNKLLLLPLTQQAIAQENNGKWVDELLDGSLQVLDICNTAKDALLQTKECVYELQSILRRKGCREVGLTSEVKKYLTWRKIVNKAIRKALKGMENKDNFSTSNGDHETTTIFNMIKEVEVVSLKELHSLLSFISGPKAKTTGWSLVCKLVHQKKVAYADEETDINEFSKVDAALLALVDQNTSRSDKIKGVQSNLESLELCIQDLESGLECLFRNLIKNRVSLLNILNHY >Potri.006G224900.4.v4.1 pep chromosome:Pop_tri_v4:6:22958777:22961382:1 gene:Potri.006G224900.v4.1 transcript:Potri.006G224900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224900.v4.1 MAEPYNMYDALQDRGSVSRLSFPGYVSTEAPPLASHSFPVSTEFPGASSDFLQRDINPLQLGSYGLNGYSGVGFRPEPVIGGVMPGASGKGYSSPLEDPSLLAQRGDASMHAIGGAIPGSTGKGYPSPLEDPSLLSQRGDASVRVTAAIPDMINDRPGSLRSADGPPVPKGESNILFVDGLPTDCTRREVGHLFRPFIGYKEIRVVHKEARKSGDRATVLCFVEFTDANCAATAMEALQGYKFDDKKPDSPTLKIQFARFPFRPPSDRDGKRIGTHASC >Potri.006G194500.5.v4.1 pep chromosome:Pop_tri_v4:6:20190048:20197496:1 gene:Potri.006G194500.v4.1 transcript:Potri.006G194500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194500.v4.1 MYCSRCNGLLLEGFMQIVMYGKSLQQEGGAGHLRCDILEASKNLNDCGSHVTNGCQDEIQDPSVYPWGGLTTTRDGSLTLLKCYLFSKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLMDFWSALGEETRQSLLRMKEEDFIERLMCRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFHYEVSDDSVQADWNQTFSDTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSAQVTGLDLGGLTACFITLRAWKADGRCTELSVKAHALKGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEETEEEEDDDSMDKDGNEFDGECSRPQKHAKSPELAREFLLDAATVIFKEKVEKAFREGTARQNAHSIFVCLALKLLEDRVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRKKERLKGKERDKEKKCPESNDITMLPDLLKDGSSPSVDEELNTICCRDSLSETGNISLSRPGSSDIQDEQFSYGFETCIMEKDSYDSPDGKVANLKEGTGSFSTEQAKYSRRRLKLRKEVQLDSFLKWPDRRRFAVISESGAVVNRSELRHHSDDCDTPSRPVNGLYRQSRINGPKSNGRNCGLKFSENFHCPHNRMNDRYDFHSCSCHQNIECRVKVEPHVSSLRVDRESKSVGKSETVMDMSKQFYRGNKYSPVDHIREVCGRIKSKSNMGNNPKKVWEPVESRKKYSWSSSDSDVIMSSSTKVEAVDLDSKLLKSSGETCSSEVTGNSIEIDHDENNMNESRDCSLETVEDCQGGYHEEVNGCCSTETGYEEIISCPEKNFASSETSDPSIGSTLSSDNCSSCLSEGDSNTVSSNNGHLESSSTSDSEDACQQSEGRETSTCSGNAFSNCNEVGLDKRPSTNGAEVFGSREPFVLQPDGQRMNILVNPPTTTVQDPENGIPAVSMGLQHQVVFPPLHNHNLQFPMFQAPSTMGYYHQTPVSWPAAPANGLMPFPHPNHYLYAGPLGYDLNGNSRICMQYGSVPHLATPVFNSGPVPVYQQGEYLNSEVRTETRMMQENFTEANKERMVPARSHSNEAPPSGEGGKVDNSAKLHNSNTGVDNSAKLHNSNTGFSLFHFGGPVALSTGCKSDPVPSKDGIAGDLSSKVSADENDPACNKETAMEEYNLFAASNGIRFSFF >Potri.006G194500.1.v4.1 pep chromosome:Pop_tri_v4:6:20188757:20197678:1 gene:Potri.006G194500.v4.1 transcript:Potri.006G194500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194500.v4.1 MPGLTQRNDQFSNATSPGGSYSISANSFWSKHRDDVSFNQLQKFWSELPPQARQKLLRIDKQALFEQARKNMYCSRCNGLLLEGFMQIVMYGKSLQQEGGAGHLRCDILEASKNLNDCGSHVTNGCQDEIQDPSVYPWGGLTTTRDGSLTLLKCYLFSKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLMDFWSALGEETRQSLLRMKEEDFIERLMCRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFHYEVSDDSVQADWNQTFSDTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSAQVTGLDLGGLTACFITLRAWKADGRCTELSVKAHALKGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEETEEEEDDDSMDKDGNEFDGECSRPQKHAKSPELAREFLLDAATVIFKEKVEKAFREGTARQNAHSIFVCLALKLLEDRVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRKKERLKGKERDKEKKCPESNDITMLPDLLKDGSSPSVDEELNTICCRDSLSETGNISLSRPGSSDIQDEQFSYGFETCIMEKDSYDSPDGKVANLKEGTGSFSTEQAKYSRRRLKLRKEVQLDSFLKWPDRRRFAVISESGAVVNRSELRHHSDDCDTPSRPVNGLYRQSRINGPKSNGRNCGLKFSENFHCPHNRMNDRYDFHSCSCHQNIECRVKVEPHVSSLRVDRESKSVGKSETVMDMSKQFYRGNKYSPVDHIREVCGRIKSKSNMGNNPKKVWEPVESRKKYSWSSSDSDVIMSSSTKVEAVDLDSKLLKSSGETCSSEVTGNSIEIDHDENNMNESRDCSLETVEDCQGGYHEEVNGCCSTETGYEEIISCPEKNFASSETSDPSIGSTLSSDNCSSCLSEGDSNTVSSNNGHLESSSTSDSEDACQQSEGRETSTCSGNAFSNCNEVGLDKRPSTNGAEVFGSREPFVLQPDGQRMNILVNPPTTTVQDPENGIPAVSMGLQHQVVFPPLHNHNLQFPMFQAPSTMGYYHQTPVSWPAAPANGLMPFPHPNHYLYAGPLGYDLNGNSRICMQYGSVPHLATPVFNSGPVPVYQQGEYLNSEVRTETRMMQENFTEANKERMVPARSHSNEAPPSGEGGKVDNSAKLHNSNTGVDNSAKLHNSNTGFSLFHFGGPVALSTGCKSDPVPSKDGIAGDLSSKVSADENDPACNKETAMEEYNLFAASNGIRFSFF >Potri.006G194500.4.v4.1 pep chromosome:Pop_tri_v4:6:20189000:20197409:1 gene:Potri.006G194500.v4.1 transcript:Potri.006G194500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194500.v4.1 MPGLTQRNDQFSNATSPGGSYSISANSFWSKHRDDVSFNQLQKFWSELPPQARQKLLRIDKQALFEQARKNMYCSRCNGLLLEGFMQIVMYGKSLQQEGGAGHLRCDILEASKNLNDCGSHVTNGCQDEIQDPSVYPWGGLTTTRDGSLTLLKCYLFSKSLKGLQNVFDSARARERERELLYPDACGGGGRGWISQGMASYGRGHGTRETCALHTARLSCDTLMDFWSALGEETRQSLLRMKEEDFIERLMCRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFHYEVSDDSVQADWNQTFSDTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSAQVTGLDLGGLTACFITLRAWKADGRCTELSVKAHALKGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEETEEEEDDDSMDKDGNEFDGECSRPQKHAKSPELAREFLLDAATVIFKEKVEKAFREGTARQNAHSIFVCLALKLLEDRVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRKKERLKGKERDKEKKCPESNDITMLPDLLKDGSSPSVDEELNTICCRDSLSETGNISLSRPGSSDIQDEQFSYGFETCIMEKDSYDSPDGKVANLKEGTGSFSTEQAKYSRRRLKLRKEVQLDSFLKWPDRRRFAVISESGAVVNRSELRHHSDDCDTPSRPVNGLYRQSRINGPKSNGRNCGLKFSENFHCPHNRMNDRYDFHSCSCHQNIECRVKVEPHVSSLRVDRESKSVGKSETVMDMSKQFYRGNKYSPVDHIREVCGRIKSKSNMGNNPKKVWEPVESRKKYSWSSSDSDVIMSSSTKVEAVDLDSKLLKSSGETCSSEVTGNSIEIDHDENNMNESRDCSLETVEDCQGGYHEEVNGCCSTETGYEEIISCPEKNFASSETSDPSIGSTLSSDNCSSCLSEGDSNTVSSNNGHLESSSTSDSEDACQQSEGRETSTCSGNAFSNCNEVGLDKRPSTNGAEVFGSREPFVLQPDGQRMNILVNPPTTTVQDPENGIPAVSMGLQHQVVFPPLHNHNLQFPMFQAPSTMGYYHQTPVSWPAAPANGLMPFPHPNHYLYAGPLGYDLNGNSRICMQYGSVPHLATPVFNSGPVPVYQQGEYLNSEVRTETRMMQENFTEANKERMVPARSHSNEAPPSGEGGKVDNSAKLHNIILDFPCSILVDLSLSQQDVSQILYLQKMELLEIYLQKSRQMKMIQRAIRRLLWKNTTCLQQVMA >Potri.006G194500.3.v4.1 pep chromosome:Pop_tri_v4:6:20191788:20197608:1 gene:Potri.006G194500.v4.1 transcript:Potri.006G194500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G194500.v4.1 MSPSHRFDSKRFCRDCRRNVIREFKELKELKRMRREPRCTSWFCVADTAFHYEVSDDSVQADWNQTFSDTVGSYHHFEWAVGTGEGKSDILEFENVGMNGSAQVTGLDLGGLTACFITLRAWKADGRCTELSVKAHALKGQQCVHCRLVVGDGFVTITRGESIRSFFEHAEETEEEEDDDSMDKDGNEFDGECSRPQKHAKSPELAREFLLDAATVIFKEKVEKAFREGTARQNAHSIFVCLALKLLEDRVHVACKEIITLEKQMKLLEEEEKEKREEEERKERRRTKEREKKLRKKERLKGKERDKEKKCPESNDITMLPDLLKDGSSPSVDEELNTICCRDSLSETGNISLSRPGSSDIQDEQFSYGFETCIMEKDSYDSPDGKVANLKEGTGSFSTEQAKYSRRRLKLRKEVQLDSFLKWPDRRRFAVISESGAVVNRSELRHHSDDCDTPSRPVNGLYRQSRINGPKSNGRNCGLKFSENFHCPHNRMNDRYDFHSCSCHQNIECRVKVEPHVSSLRVDRESKSVGKSETVMDMSKQFYRGNKYSPVDHIREVCGRIKSKSNMGNNPKKVWEPVESRKKYSWSSSDSDVIMSSSTKVEAVDLDSKLLKSSGETCSSEVTGNSIEIDHDENNMNESRDCSLETVEDCQGGYHEEVNGCCSTETGYEEIISCPEKNFASSETSDPSIGSTLSSDNCSSCLSEGDSNTVSSNNGHLESSSTSDSEDACQQSEGRETSTCSGNAFSNCNEVGLDKRPSTNGAEVFGSREPFVLQPDGQRMNILVNPPTTTVQDPENGIPAVSMGLQHQVVFPPLHNHNLQFPMFQAPSTMGYYHQTPVSWPAAPANGLMPFPHPNHYLYAGPLGYDLNGNSRICMQYGSVPHLATPVFNSGPVPVYQQGEYLNSEVRTETRMMQENFTEANKERMVPARSHSNEAPPSGEGGKVDNSAKLHNSNTGVDNSAKLHNSNTGFSLFHFGGPVALSTGCKSDPVPSKDGIAGDLSSKVSADENDPACNKETAMEEYNLFAASNGIRFSFF >Potri.010G033800.2.v4.1 pep chromosome:Pop_tri_v4:10:6094121:6100438:-1 gene:Potri.010G033800.v4.1 transcript:Potri.010G033800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G033800.v4.1 MGLGSEEGKVKSSWDVCKSKERKKKKKDGTEAGCWVKLRFIGSCISSRSKVDSSVSGTSTHFESKSTNDTSIDQPAALVVSTSTTSNTESNSSTSKLEEELKVASRLRKFTFNDLKFATRNFRPESLLGEGGFGCVFKGWIEENGTAPVKPGTGLTVAVKTLNHDGLQGHKEWLAEVNFLGDLVHPNLVKLIGYCIEDDQRLLVYEFMPRGSLENHLFRRSLPLPWSIRMKIALGAAKGLAFLHEETERPVIYRDFKTSNILLDADYNAKLSDFGLAKDGPEGDKTHVSTRVMGTYGYAAPEYVMTGHLTSRSDVYSFGVVLLEMITGRRSMDKNRPNGEHNLVEWARPHLGERRRFYRLIDPRLEGHFSIKGAQKAAQLAAHCLSRDPKARPLMSEVVNALKPLPNLKDMASTSYYFQTMQAERVGSSPNARNGVRSQAGLLSRNGHQQRSLSIPHGSHASPYHYQYPHKSPKPNGKP >Potri.009G080700.3.v4.1 pep chromosome:Pop_tri_v4:9:7707338:7707679:1 gene:Potri.009G080700.v4.1 transcript:Potri.009G080700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080700.v4.1 MGFNSLKPPLLSSTKTILSSTPTRHAFSKLISPLPSISTSFTKTHFNFLSPKPTAHSISATASIGSIYQTKRQFRGGGVIAMAAPGSVQKSEEEWRVVLSPEQFRILRQKGTE >Potri.009G080700.1.v4.1 pep chromosome:Pop_tri_v4:9:7707236:7710158:1 gene:Potri.009G080700.v4.1 transcript:Potri.009G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G080700.v4.1 MGFNSLKPPLLSSTKTILSSTPTRHAFSKLISPLPSISTSFTKTHFNFLSPKPTAHSISATASIGSIYQTKRQFRGGGVIAMAAPGSVQKSEEEWRVVLSPEQFRILRQKGTEYPGTGEYDKVFEEGVYGCAGCGTPLYRSTTKFNSGCGWPAFYEGLPGAINRIPDPDGMRIEITCAACGGHLGHVFKGEGFRTPTDERHCVNSVSLKFEPANSSQ >Potri.008G185200.2.v4.1 pep chromosome:Pop_tri_v4:8:12857971:12864227:1 gene:Potri.008G185200.v4.1 transcript:Potri.008G185200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185200.v4.1 MVELRHSSSLGARASSSPMKRDEDASPLIHGSTHDDDHRHQFSRDRDRPFCSFLSDDPRVFSPLNSKISLILISIFVIVGLISAFSIFNRLNAPYLCKKDGIVLHCPHVKEAPSLWENPVSATTSWKPCAERRDDGISDLPPENETNGYIFIHAEGGLNQQRIAICNAVAVAKIMNATLILPVLKQDQIWKDQTKFEDIFDVDHFIDYLKNDVRIVRDIPEWFTDKSELFTSIRRTVKNIPKYAPAQFYIDNVLPRIKEKKIMALKPFVDRLGYDNVPPEINRLRCRVNYHALKFLPEIEEMSDLLVSRMRNRTGISNPFMALHLRFEKGMVGLSFCDFVGTRDEKDRMAEYRKKEWPRRYKNGSHLWQLALQKRKEGRCPLEPEEVAVILRAMGYPKETQIYVASGQVYGGQNRIAPLKNMFPSLVTKEELATKEELDGFRKHVTSLAALDFLVCLKSDVFVMTHGGNFAKLIIGARRYMGHRHKSIKPDKGLMSKSFGDPYMGWATFVEDVVVTHQTRTGLPEETFPNYDLWENPLTPCMCRA >Potri.018G083200.2.v4.1 pep chromosome:Pop_tri_v4:18:10080092:10086254:1 gene:Potri.018G083200.v4.1 transcript:Potri.018G083200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083200.v4.1 MRGHRTGEYSMYKRMSSRDFTVAADVEDNSVVSQSIPDQEITNPSWRLSFPHVLAATISAFLFGYHLGVVNEPLESISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGIGRRRAFQLCALPMIVGASISATTKTLAGMLLGRLLVGTGMGLGPPVSSLYVTEVSPSFVRGTYGSFIQIATCLGLMAALFIGIPVREIAGWWRICFWVSTVPAGILALSMMFCAESPHWLYKQGRTAEAEAEFERLLGGAHVKFAMQELSKLDRGDDSDDVHFSELLYGRCFRVVFIGSTLFALQQLSGINAIFYFSSTVFKNAGVPSDLANVFVGIANLSGSVIAMVLMDKMGRKVLLLWSFSGMAVSMGLQVVAASSNMLGSGTLYLSVGGMLMFVFTFAIGAGPVPGLLLPEIFPSRIRAKAMAVCMSVHWVINFFVGLLFLRLLEQLGPRLLYTIFGTFCLMAVVFVKRNVMETKGKSLQEIEIALLPPE >Potri.018G083200.4.v4.1 pep chromosome:Pop_tri_v4:18:10080146:10086184:1 gene:Potri.018G083200.v4.1 transcript:Potri.018G083200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083200.v4.1 MRGHRTGEYSMYKRMSSRDFTVAADVEDNSVVSQSIPDQEITNPSWRLSFPHVLAATISAFLFGYHLGVVNEPLESISLDLGFNGNTLAEGLVVSTCLGGALIGSLFSGWIADGIGRRRAFQLCALPMIVGASISATTKTLAGMLLGRLLVGTGMGLGPPVSSLYVTEVSPSFVRGTYGSFIQIATCLGLMAALFIGIPVREIAGWWRICFWVSTVPAGILALSMMFCAESPHWLYKQGRTAEAEAEFERLLGGAHVKFAMQELSKLDRGDDSDDVHFSELLYGRCFRGICIFIFGYAPTDFVSMFVLHFGIYSCYCRHQFLSSALSSLMFSLGFVIHMFLLQLFLLGQPYLLYNSYLA >Potri.002G252050.1.v4.1 pep chromosome:Pop_tri_v4:2:24125395:24126320:-1 gene:Potri.002G252050.v4.1 transcript:Potri.002G252050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252050.v4.1 MGLRNNLLHCTYPYKENPILQYSPISFSSLSKSTSTELKRVSQVVTFLFVFYLL >Potri.001G134601.1.v4.1 pep chromosome:Pop_tri_v4:1:10974415:10977563:-1 gene:Potri.001G134601.v4.1 transcript:Potri.001G134601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134601.v4.1 MIQGSSSINIPRLECQKDALLQEEADLVGIEKPKKQLIEWLLGSKSGREVISVVGMGGLGKSTLVKKVYDDSDVKKHFKFCAWITVSQSFKREDLLKDMIQQLFRVHSKPDPKGVDNMNYNKLRSVIHEFLRQKKYLIVLDDVWHTSAWRAFQHALPNNICGSRILVTTRNTEVASTSCMDSPDKVYPLNPLSQEESWTLFCKKIFQDNPRPPHLKNVSETILGRCEGLPLAIVAISGVLATKDKSKTDEWEMVHLSLGVGLEENDMLMSARKILSLSYNDLPYYLKSCLLYFSIFPVGNRIKRMRLIRLWIAEGFVKGKEGMTVEEVAQDYLNELMKRSLVQVVRATSDGRVKTCRVHDLLREIMITKAKDQDFVAIAKEEGTIWPEKVRRVSMHNVMPSKQQRHVASRFRSLLTFWGADCSYESPVHNLFSGRLRLLHVLDLEGAPLKEFPNEVVSLFLLKYLSLRNTRVSFIPSSISKLKNLETLDLKHAQVSILPAEIRKLRKLCYLLVYRYEIDSDDWIPTKYGFKAPAHIGGLQSIQKLCFVEAHQGRNLMLELGRLKQLRRLGIVKLKKKHGKALCSSIERLTNLRALSLTSITESEIIDLDYLASPPQFLQRLYLAGCMEKFPDWISSLDSLVKLVLKWSKLSEDPLLSLQYLPNLVHLEFVQVYNGEILCFQAKGFQRLKFLGLNKLDRLRMIIVEQGAMPSLEKMIVQSCKSLRRVPSGIEHLSTLKVLEFFNMPKELVMTLHPNGEDGDYLKVAHVPDVYSTYWNNGNWDIFSLLSAKLEDKHSAQLSPGLYKRKYTWK >Potri.017G141950.1.v4.1 pep chromosome:Pop_tri_v4:17:14226585:14235590:1 gene:Potri.017G141950.v4.1 transcript:Potri.017G141950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141950.v4.1 MFWRLLSDVKEEEDALYEMIVQEDSESGPQQSTEESKGLLNRWKRLCIYLGTVGMLVAFIMGLDMSWTALTAALSFAILDFEDAGPCLQKVSYSLLVFFCGMFITVEGFNRTGIPSSLWSLMEPHARIDHASGIAVLAIVILLLSNVASNVPTVLLLGAKVAASATAISPSKEKKAWLILAWVSTVAGNLSLLGSAANLIVCEQALRAHPSYNITFWSHLKFGFPSTLIVTTIGLALVYCYDV >Potri.013G120000.1.v4.1 pep chromosome:Pop_tri_v4:13:12886441:12888721:-1 gene:Potri.013G120000.v4.1 transcript:Potri.013G120000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120000.v4.1 MSGGKPSSKRKGVEEYEDASVNTEVELHVEHEAIFHDHVPIVSYYNDRITPLLDAVDRLRQLQVMTEGIQLPTIVVVGDQSSGKSSVLESLACINLPRGDGICTRVPLIMRLKHHPSLVPEIFLQFNDKTVPTDEAHVADAINLATHEIAGNGKDISNTELTLVVKKNGVPDLTLVDLPGITRVPVHGQPENIYEQIAKIIMKYISPDESVILNVLSASVDFSTCESIMMSQKVDKKGERTIAVVTKVDKSPEGLLEKVTRNDVNVGLGYVCVRNRIGNESYEDARKEEAALFAAHQLLSKIDKSTVGIQVLAQKLVQIQANIIAKCLPDIVRKIDEKLKTSISEWNRMPRRLLSVAEVMAVFTGIIGSSKESLRKILLRGEIDEYLYEKEMHCTARLVEMLNQFSTELHNCSDHTKKFMMYEIEVLEETKGIELPNILPHTAFLTILQQKVEEISELPIGFVEKVWTYIEGVVISVLDRHSENYHQLQLFIRRVAHKLVAKMKDRSIDWVTEIVQMEKETDYTCNPEYMKEWNKLMAQQHTVIEDIMQRGRYYLVKIEGFGDVKVGHLMEYKLILPKAFDLKMRVTAYWKVVLMRLVDNMALHLQLSIRNLVNKEMEKEIFNELVSTAGDVIERMLEEPPSVANKREKLNTSIKLLRESKEVLANIRDKIEWGDH >Potri.002G037100.1.v4.1 pep chromosome:Pop_tri_v4:2:2444507:2448497:1 gene:Potri.002G037100.v4.1 transcript:Potri.002G037100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037100.v4.1 MGLRDIGATLPPGFRFYPNDEELVCHYLYKKITNEEVLKGTLVEIDLHTCEPWQLPEVAKLNATEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVLDPTTREVVGMRKTLVFYKNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKAEDSTRFMFESTTDSGSTVNLTASPFTADHQTLARGYQQMISLSSTPPHQDQTSLLNLLQLSQDRNSKYPMDTDISSKAVDEYGFLWDDMNLEENSLGDGVVASNLEDMRFEIDHNSMDVFL >Potri.002G037100.3.v4.1 pep chromosome:Pop_tri_v4:2:2437411:2448497:1 gene:Potri.002G037100.v4.1 transcript:Potri.002G037100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G037100.v4.1 MGLRDIGATLPPGFRFYPNDEELVCHYLYKKITNEEVLKGTLVEIDLHTCEPWQLPEVAKLNATEWYFFSFRDRKYATGFRTNRATTSGYWKATGKDRTVLDPTTREVVGMRKTLVFYKNRAPNGIKTGWIMHEFRLETPHMPPKEDWVLCRVFHKSKAEDSTRFMFESTTDSGSTVNLTASPFTADHQTLARGYQQMISLSSTPPHQDQTSLLNLLQLSQDRNSKYPMDTDISSKAVDEYGFLWDDMNLEENSLGDGVVASNLEDMRFEIDHNSMDVFL >Potri.001G299700.1.v4.1 pep chromosome:Pop_tri_v4:1:31061587:31065043:-1 gene:Potri.001G299700.v4.1 transcript:Potri.001G299700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G299700.v4.1 MAFTEFRPLAEKSLIEYIKATSVLSDKIGNNFGDLKIKEVGDGNLNFVYIVASPSGSFVIKQALPYIRCIGESWPMTKERAYFEALALREHGRLCPENVPEVYHFDRTMSVIAMRYLESPHIILRKGLIAGIEYPLLAEHMSDYIAKTLYYTSLLYRTTTEHKRDVAEFCGNVELSRLTEQVVFSDPYKISQYNRWTSPYLDRDAEAVREDNILKLEVAELKSMFCERAQALVHGDLHTGSVMVTHDSTQVIDPEFAFYGPMGFDIGAFIGNLILAFYAQDGHADQMNDRKRYKEWILRTIRETWSLFYKKFTALWDEHKDGSGEAYLPEIYNNPELRLLVQRKFMQDLLHDTLGFGAAKMIRRIVGVAHVEDFESITDASKRAKCEQQALDLAKMVLKERKKFQSIDEVVLAIQQVQ >Potri.017G028150.2.v4.1 pep chromosome:Pop_tri_v4:17:1855883:1874257:1 gene:Potri.017G028150.v4.1 transcript:Potri.017G028150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028150.v4.1 MLTKNLFFTMNYMYYCLAFFLSSFLVFKLVFQRSRNLPPSPFGFPIIGHLHLVSKPPMHKVLAILSNKCGPVFTLKLGSRNIVAVCSLSAAEECYIKNDIVFANRPQSIFVHYWSYNYAAFLFAPYGHLWRTLRRFSVTELFSRSCLDRSAAITEEVRTLVRLILSKVSDDGAKKVDLNYFFTITSLNVIMKMNAGKKWVEEEKAACIDSGKQCIEDVQKIFPSNPGTPLLDFFPFLKWFGYRGEEESVIKVYKERDEFLQGLIEEVKRKETSSVTSNPAEGVKDQTTVIGSLLALQKSDPELYTDEVVKGTMATLYLAGVDTVDFTTEWAMTFLLNHPERLERVKAEIDREVGHERLVQESDLPKLRYVRCVVNETLRLYPPAPLLLPHAPSEDCIIGGYKIPRGTIVMVNAWAIHRDPKLWEDPESFKPERFEGLNNEGEKQGFIPFGIGRRACPGNHMAMRRVMLALAALIQCFEWERVGKELVDMSIVDALISVQKAKPLEAICTPRPFTTTLISPP >Potri.017G028150.1.v4.1 pep chromosome:Pop_tri_v4:17:1855886:1858023:1 gene:Potri.017G028150.v4.1 transcript:Potri.017G028150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G028150.v4.1 MLTKNLFFTMNYMYYCLAFFLSSFLVFKLVFQRSRNLPPSPFGFPIIGHLHLVSKPPMHKVLAILSNKCGPVFTLKLGSRNIVAVCSLSAAEECYIKNDIVFANRPQSIFVHYWSYNYAAFLFAPYGHLWRTLRRFSVTELFSRSCLDRSAAITEEVRTLVRLILSKVSDDGAKKVDLNYFFTITSLNVIMKMNAGKKWVEEEKAACIDSGKQCIEDVQKIFPSNPGTPLLDFFPFLKWFGYRGEEESVIKVYKERDEFLQGLIEEVKRKETSSVTSNPAEGVKDQTTVIGSLLALQKSDPELYTDEVVKGTMATLYLAGVDTVDFTTEWAMTFLLNHPERLERVKAEIDREVGHERLVQESDLPKLRYVRCVVNETLRLYPPAPLLLPHAPSEDCIIGGYKIPRGTIVMVNAWAIHRDPKLWEDPESFKPERFEGLNNEGEKQGFIPFGIGRRACPGNHMAMRRVMLALAALIQCFEWERVGKELVDMSIVDALISVQKAKPLEAICTPRPFTTTLISPP >Potri.013G024100.3.v4.1 pep chromosome:Pop_tri_v4:13:1534027:1538829:-1 gene:Potri.013G024100.v4.1 transcript:Potri.013G024100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024100.v4.1 MERDPDYGAFMDKFVLEPTSSAHDQPLHGLTFAVKDIFDVEGYVTGFGNPDWARTHSAATSTAPAVLAVLRGGATCVGKTIMDEMAYSINGENIHYGTPINPCAPDRVPGGSSSGSAVAVGAKIVDFSLGTDTGGSVRVPASYCGILGFRPSHDAVPSAGVIPMAQSFDTVGWFARDPVILSRVGHILLQSPVMDPIKPSQVIIAEDCFQLSNIPNDRLGQVLVKSVEKIYGGHIVKHTVLGDYVKEKVPSLKHFMSKEIKEQEYNIPSLAALSNAMRSLQRYEFKDNHGEWITSVKPELGPGIAERVWEAVRTTGENVDACPSVKTELHTALATLLQDFGILAIPTVPGPPPKLQADPTTLEIFRAKAFSLLSIAGVSGFCQVSIPLGMYDNLPVAVSLLAKQGSDAFLLNVVESLYGTLKEQVEITEK >Potri.013G024100.6.v4.1 pep chromosome:Pop_tri_v4:13:1534093:1538829:-1 gene:Potri.013G024100.v4.1 transcript:Potri.013G024100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G024100.v4.1 MLFASGGVCVFANFYMNWFFFLVVIDEFVFCELNYGNRFDVEGYVTGFGNPDWARTHSAATSTAPAVLAVLRGGATCVGKTIMDEMAYSINGENIHYGTPINPCAPDRVPGGSSSGSAVAVGAKIVDFSLGTDTGGSVRVPASYCGILGFRPSHDAVPSAGVIPMAQSFDTVGWFARDPVILSRVGHILLQSPVMDPIKPSQVIIAEDCFQLSNIPNDRLGQVLVKSVEKIYGGHIVKHTVLGDYVKEKVPSLKHFMSKEIKEQEYNIPSLAALSNAMRSLQRYEFKDNHGEWITSVKPELGPGIAERVWEAVRTTGENVDACPSVKTELHTALATLLQDFGILAIPTVPGPPPKLQADPTTLEIFRAKAFSLLSIAGVSGFCQVSIPLGMYDNLPVAVSLLAKQGSDAFLLNVVESLYGTLKEQVEITEK >Potri.015G068850.1.v4.1 pep chromosome:Pop_tri_v4:15:9443992:9444681:-1 gene:Potri.015G068850.v4.1 transcript:Potri.015G068850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G068850.v4.1 MNKKIIFQLSETDFQDDWLCSHGKYMPSPLHYTTHCHDIYKAPFEYIAQKVNKYWIPDLSPLVCCYSRDQQVSQQNNCQSGAQISSNTTKY >Potri.002G094700.1.v4.1 pep chromosome:Pop_tri_v4:2:6923580:6925341:1 gene:Potri.002G094700.v4.1 transcript:Potri.002G094700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G094700.v4.1 MKGRESRRAPSADLLVCFPSRAHLTLMPKPICSPARPLEPSKPHQNRHHHRQQRPHHLKKSSPRGGGSRASPLLWTKTRQMDSELSEPTSPKVTCAGQIKVRHKASSCKNWQSVMEEIERIHISKKSTKKSTWLDSLGFKKDIMQFLTCLRNIRFDFRCFGSFPAQSDITSNDEEEYEEYGEYQENHVGADGRIDKEDSRTIFSKWFMMLQENQNSTTGFFKEDAKQKERSCNDESLAAPSVPPPNALLLMRCRSAPAKSWQEEEEEEEEEEEEQEQDRKQEEKKGKNLKALMEEEGKNSKKENLVVMSYDTDFYKLSTDIAKETWVVGGMKDTVARSRSWKR >Potri.006G114700.1.v4.1 pep chromosome:Pop_tri_v4:6:8905172:8909295:-1 gene:Potri.006G114700.v4.1 transcript:Potri.006G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G114700.v4.1 MEVETQTLSHFSYSLDGNDISHDLRTHESPRFEMLSEEAQVTNSCDTDDPVGGACLDSAAAVKLQKVYRGYRTRRRLADSAVVAEELWWQAIDYARLNHRTISFFNMQESAASRWNRISLNASRVGKGLSMDVKAQKLAFQHWIEAIDPRHRYGHVLHLYYEEWCKADSGQPFFYWLDVGDGKELDLKECPKSKLREQCIKYLGPQEREHYEYIISEGKIIHKQTGDLLDTTQGAKWIFVMSTSKRLYAGEKRKGTFHHSSFLAGGATVAAGRLMAEHGILKSISPYSGHYRPSEDSFKSFLSFLNDNGVNLDEVQINKASEDSDTYDDGKYNGSGRMIDLIRSLEPPKLKIEEDPISELPEVKQAEKKGEYKRTLSGGLQSPRAEVPKATILQRINSKKAAKSYQLGHQISLKWSTGAGPRIGCVADYPLEVRVQALEFVNLSPRTPRTSTCWRTSGLASPAAQPAQDLPGGDGTSH >Potri.011G000500.1.v4.1 pep chromosome:Pop_tri_v4:11:32413:34695:-1 gene:Potri.011G000500.v4.1 transcript:Potri.011G000500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G000500.v4.1 MSLFSCFRLKKKSSFKGSRSSWTNKGFGKQGKTLSFIRNMSRIIDRSKRRNISQVFTFRELAVATKKFNPHCLVGEGGFGRVYKGYIESIDQIIAVKQLDRNGLQGNREFFSEVLTLSLVQHSNLVKLIGYCADGDQRLLVYEFMASGSLENHLLDLRPGKEPLDWTTRMKIASGAAKGLEYLHDVADPQIIYRDFKASNILLDEDFNPKLSDFGLAKLGPTGGKEHVSTTVMGTYGYCAPEYQMTGQLTKMSDVYSFGVVFLEIISGRRVIDMSRPTEEQNLIHWAAPLFKDRSQFTAIADPLLGGKYPKKSLYQALAIAAMCIQEEADRRPLIADVVMALEYLAMPIDEKKATMTSTERIHHVDSVKGGNAKEELGV >Potri.001G152200.2.v4.1 pep chromosome:Pop_tri_v4:1:12754569:12756496:-1 gene:Potri.001G152200.v4.1 transcript:Potri.001G152200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G152200.v4.1 MGSKILPQALAVIPRSPTQFPVPKKLGFSSTINRSSYLSNSASSSRFSVSRVSSTSLSSNLEAFFKDFSRQSFVTRAESESGGGEVVEETENVEEEVVVEGSEEEEVQAEAEVEGAVKEPRKPRVKLGDIIGILNKRAVETSEMERPIPDIRTGDIVEIKLEVPENRRRLSIYKGIVISKQNAGIHTTIRIRRIIAGIGVEIVFPLYSPNIKEIKVIKHRKVRRARLYYLRDKLPRLSTFK >Potri.016G061600.1.v4.1 pep chromosome:Pop_tri_v4:16:4280257:4281140:-1 gene:Potri.016G061600.v4.1 transcript:Potri.016G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G061600.v4.1 MASFTSLFCAVFLVFSALMVHHAAAQEMCHNLIPGNGNCDASTCQMQCSSLNQGTGACTQTFTNRFNCICNWECS >Potri.014G088500.1.v4.1 pep chromosome:Pop_tri_v4:14:5751138:5756914:-1 gene:Potri.014G088500.v4.1 transcript:Potri.014G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G088500.v4.1 MTSLESSSGGDPNIKGMPAHDGRYVQYNVYGNLFEVSRKYVPPIRPIGRGANGIVCAAVNSETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQTENFNDVYIVYELMDTDLHQIIRSNQLLTDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEIMTGQPLFPGKDYVHQLRLITELIGSPDDFSLGFLRSENARRYVRQLPQYPRQKFAARFPNMSAGAVELLEKMLVFDPNRRITVDEALCHPYLEPLHGINEEPVCPKPFSFDFEQPSFTEENIKELIWRESVNFNPDS >Potri.009G034001.1.v4.1 pep chromosome:Pop_tri_v4:9:4444834:4445034:1 gene:Potri.009G034001.v4.1 transcript:Potri.009G034001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034001.v4.1 MKREGSFERMVVVVEENDGCSTPKHRRIPEPVVCPPPPKKKPFKFWKKRDPPKDGYFNPPELEQLL >Potri.014G151351.1.v4.1 pep chromosome:Pop_tri_v4:14:10529301:10530581:1 gene:Potri.014G151351.v4.1 transcript:Potri.014G151351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151351.v4.1 MSLVNSDTCIKSSLSFLTNSKPNLTTPSLLLSSSPCSFLYLHQYISSTRNPANLVQIHIDVPNHDFLGEMKLDILQGHHLTATSSL >Potri.005G179200.2.v4.1 pep chromosome:Pop_tri_v4:5:18528933:18534020:-1 gene:Potri.005G179200.v4.1 transcript:Potri.005G179200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179200.v4.1 MAQYLSQLTLSPPKSQPMASLSGGAATTSRLLPSASRVRLSICSASSSLSLASSSSYSPSSLKCLRFSPLIFKDQKRSSMSTVAAASDAAQLKSAREDIKELLKSKFCHPILVRLGWHDAGTYNKNIEEWPKRGGANGSLRFEIELKHAANAGLVDALKLIQPIKDKYSGVTYADLFQMASAAAIEEAGGPKIPMKYGRVDVSVPDECPEEGRLPDAGPPKPADHLREVFYRMGLDDKEIAALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKDIKERKDEDLLVLPTDAALFEDPSFKVYAEKYAEDKEAFFKDYAEAHAKLSNLGAKFDPPEGIMLDGVAGEKFVAAKYSSGKRELSETMKQKIRAEYQAVGGSPDKPLQSNYFLNIIIVIAVLALLTSLLGN >Potri.005G247100.1.v4.1 pep chromosome:Pop_tri_v4:5:24157752:24161568:-1 gene:Potri.005G247100.v4.1 transcript:Potri.005G247100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G247100.v4.1 MGSVSSEDWLDQVSERFELDSYSLSADVSGSESDTSSSSFSCRRYDLQGGASTSFTSSTPDFAGNSVSPLPLPVMLPVVGDRHVAASPDEMEEKPETDLSEIALMKERFAKLLLGEDMSGGGQGVCTAVAISNAITNLSASVFGELWRLEPLAPQRKVMWQREMEWLLCISDSIVELVPSMQEFPGGGTYEVMVPRPRSDLYVNLPALKKLDAMLISILDLFSESEFWYVDRGIVVAGDDDVEELPMSSSLRRPSIRQEEKWWLPFPKVPLAGLSEDTRKKLQQCRECTSQILKAALAINNSVLAEMEIPDTYFESLPKSGKACLGRIMYHYITAKHFSPDYLLDYLDLSSEYTTLEIANRIEAATHFWSQSYQNKHLIRARNGKSSWSGKVKGFVGEIPKRKLLAKRAEVLIHNLRLRFPGLPQTALDVNKIQYNKDVGHAIMESYSRVMESLAFNIMARIDDLLYVDDATKQRATTESASPCFQGQCGSRPSKQKWISSSHASFQHGPCSSALTVPTVGSSSEVIRITNGRKPHSLKKSNLRDSVDQTLEKLTV >Potri.014G184400.2.v4.1 pep chromosome:Pop_tri_v4:14:14841121:14842984:-1 gene:Potri.014G184400.v4.1 transcript:Potri.014G184400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184400.v4.1 MTSNDQNLMNKLLPAMQNVQNALNDASLGGKIKVSTVHTMGVLKQSEPPSSGSFDPSYGDLMKALLEFSRANGSPFAINPYPYFAYRCDTRPETLAFCLFQPNAGRMYGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPFKGDDNDVGPSIENAKAYNGNLIAHLRSMVGTSCRKSVDTYLFALYDEDLKPGPGSERSFGLFKTDLTMVYDFGFSTSSQIQSPVAAPQGATTSNSSCSCSCSCNCTNTISIISSNKINLVRIFNLDLLYEFMGLFFIYLFFYDLQT >Potri.006G258250.1.v4.1 pep chromosome:Pop_tri_v4:6:25497339:25498829:-1 gene:Potri.006G258250.v4.1 transcript:Potri.006G258250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G258250.v4.1 MYGGIRLFPSGGKALLLDEVIHYFQSLQQQAEETNEWTSLITSLLLEGISAIFDELGFVLMGMVVAFLALLLSVVDLIREARKE >Potri.016G003700.7.v4.1 pep chromosome:Pop_tri_v4:16:183274:189961:-1 gene:Potri.016G003700.v4.1 transcript:Potri.016G003700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003700.v4.1 MKFMKLGTRPDTFFTENATRSVISDIPSDLVIRINNINFLLHQLQFSLLPKCGLLQRLCSDSEDSNTVTIELHDIPGGEDAFELCAKYCYGITINLSAHNFVFAFCAAKFLRMTEAVEKGNFVLKLESFFNSCILEGWKDSIVTLQTTVKLTEWSENLGIVRRCVDSIVEKILTPPAKVTWSYTYTRKGFNKQQQSVPKDWWTEDISDLDIDLFRCIIIAIKSTYMLPPQLIGEALHVYACRWLPDATKITPPESSVSQTDDVAENHRKIIEIIVTMIPADKGSVSVGFLLRLLSIASHLGASTVTKTELIRRSSLQLEEATVSDLLFPTHSSSNQHYYDIDLVAAVLDSFLLLWRRTSPAPIENSQSMRSIRKVGKLIDTYLQVVARDINLPVSKVLSVAEALPDIARKDHDDLYKGINIYLKEHPELSKADKKRLCRPLDCQKLSPEVRTHAVKNERLPLRTVVQVLFFEQDKGSRANDQRMSAQEQLLSRGKQIPLVRDELSKLQLEQHEQTAPLEGIGKTPAPSESSSRNHQKMKRTDKKIALESEKRVVREEIEEVETKDGGSSGSKINAKKMMKNRSGSDHSRDKSRDR >Potri.016G003700.2.v4.1 pep chromosome:Pop_tri_v4:16:183291:190076:-1 gene:Potri.016G003700.v4.1 transcript:Potri.016G003700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003700.v4.1 MKFMKLGTRPDTFFTENATRSVISDIPSDLVIRINNINFLLHQFSLLPKCGLLQRLCSDSEDSNTVTIELHDIPGGEDAFELCAKYCYGITINLSAHNFVFAFCAAKFLRMTEAVEKGNFVLKLESFFNSCILEGWKDSIVTLQTTVKLTEWSENLGIVRRCVDSIVEKILTPPAKVTWSYTYTRKGFNKQQQSVPKDWWTEDISDLDIDLFRCIIIAIKSTYMLPPQLIGEALHVYACRWLPDATKITPPESSVSQTDDVAENHRKIIEIIVTMIPADKGSVSVGFLLRLLSIASHLGASTVTKTELIRRSSLQLEEATVSDLLFPTHSSSNQHYYDIDLVAAVLDSFLLLWRRTSPAPIENSQSMRSIRKVGKLIDTYLQVVARDINLPVSKVLSVAEALPDIARKDHDDLYKGINIYLKEHPELSKADKKRLCRPLDCQKLSPEVRTHAVKNERLPLRTVVQVLFFEQDKGSRANDQRMSAQEQLLSRGKQIPLVRDELSKLQLEQHEQTAPLEGIGKTPAPSESSSRNHQKMKRTDKKIALESEKRVVREEIEEVETKDGGSSGSKINAKKMMKNRSGSDHSRDKSRDR >Potri.006G105700.1.v4.1 pep chromosome:Pop_tri_v4:6:8122730:8125182:-1 gene:Potri.006G105700.v4.1 transcript:Potri.006G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G105700.v4.1 MSSLILNKSAHIKIRLFQGFNSLKHLKHVHAALLRLGLDEDSYLLNKVLRFSFNFGNTNYSHRIFHQTKEPNIFLFNTMIHGLVLNDSFQESIEIYHSMRKEGLSPDSFTFPFLLKACARLLDSKLGIKLHGLVVKAGCESDAFVNTSLVSLYGKCGFIDNAFKVFDDIPEKNVAAWTAIISGYIGVGKCREAIDMFRRLLDMGLRPDSFSLVRVLAACTRIGDLRSGEWIDDYITKIGMVRNVFVATSLVDFYVKCGNMERACSVFDGMLEKDIVSWSSMIQGYASNGLPKEALDLFFKMLNEGFRPDCYAMVGVLCACARLGALELGNWASNLMDRNEFLGNPVLGTALIDMYAKCGRMDSAWEVFRGMRKKDIVVWNAAISGLAMSGHVKAAFGLFGQMEKSGIEPDGNTFVGLLCACTHAGLVDEGRQYFNSMERVFTLTPEIEHYGCMVDLLGRAGFLDEAHQLVKSMPMEANAIVWGALLGGCRLHRDTQLVEGVLKQLIALEPSNSGNYVLLSNIYSASHKWEDAAKIRSIMSERGIKKVPGYSWIEVDGVVHEFLVGDTSHPLSEKIYAKLGELVKDLKASGYVPTTDYVLFDIEEEEKEHFIGCHSEKLAIAFGLISTAPNDKIRVVKNLRVCGDCHEAIKHISRFTGREIIVRDNNRFHCFNDGSCSCKDYW >Potri.008G220400.1.v4.1 pep chromosome:Pop_tri_v4:8:18253552:18260804:1 gene:Potri.008G220400.v4.1 transcript:Potri.008G220400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220400.v4.1 MKGSRTKGTTTIEVGADGVALITLINPPVNALSSDVLNSLKDSYEQALRRDDVKAIVITGAKGKFSGGADISSFEEVQEGKVNEPKPDFIFSEVLGDTLEAAKKPSVAAIDGLALGGGLEVAMACHARISTPTAQLSLPELQLGLIPGFGGTQRLPRLVGITKALEMMLTSKPVKGEEAHALGLVDAVVSPNELVSTARQWALDIFECRRPWIASLYKTEKLDSLGEAREIFKFARAQAQKRAPNLLHPIVCINVVEHGIVSGPRAGLYKEFESFQELVRSDISKSLVHIFFALHGTTKVPGITDLGFVPRLVKKVAVLGGGLMGSGIATALILSNYPVILKEVNDQFLQAGIGRVRANLQSRVKKGKMTQEKFEKTMSLLKGSLDYESFKDVDMVIEAVIENVSLKQQIFSDLEKYCPPHCILASNTSTIDLNLIGKQTKSQDRIIGAHFFSPAHVMPLLEIVRTKQTSPQVIVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAAIFLVEHGVDLYQIDRVISKFGMPMGPFRLADLVGFGVAIATGMQFVENFPERTYKSMLLPLMQEDKRGGETTCKGFYLYDDRRKAKPDPELRKYIEKARSISGVAVDPKLAKLPEKDIVEMIFFPVVNEACRVFAEGIAVKAADLDIASLMGMGFPPYRGGIMFWADSFGSKYIYSRLEEWSKTYGEFFEPCAFLAERGAKGAPLSSPVEQAKSRL >Potri.008G220400.4.v4.1 pep chromosome:Pop_tri_v4:8:18253515:18260460:1 gene:Potri.008G220400.v4.1 transcript:Potri.008G220400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220400.v4.1 MKGSRTKGTTTIEVGADGVALITLINPPVNALSSDVLNSLKDSYEQALRRDDVKAIVITGAKGKFSGGADISSFEEVQEGKVNEPKPDFIFSEVLGDTLEAAKKPSVAAIDGLALGGGLEVAMACHARISTPTAQLSLPELQLGLIPGFGGTQRLPRLVGITKALEMMLTSKPVKGEEAHALGLVDAVVSPNELVSTARQWALDIFECRRPWIASLYKTEKLDSLGEAREIFKFARAQAQKRAPNLLHPIVCINVVEHGIVSGPRAGLYKEFESFQELVRSDISKSLVHIFFALHGTTKVPGITDLGFVPRLVKKVAVLGGGLMGSGIATALILSNYPVILKEVNDQFLQAGIGRVRANLQSRVKKGKMTQEKFEKTMSLLKGSLDYESFKDVDMVIEAVIENVSLKQQIFSDLEKYCPPHCILASNTSTIDLNLIGKQTKSQDRIIGAHFFSPAHVMPLLEIVRTKQTSPQVIVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAAIFLVEHGVDLYQIDRVISKFGMPMGPFRLADLVGFGVAIATGMQFVENFPERTYKSMLLPLMQEDKRGGETTCKGFYLYDDRRKAKPDPELRKYIEKARSISGVAVDPKLAKLPEKDIVEMIFFPVVNEACRVFAEGIAVKAADLDIASLMGMGFPPYRGGIMFWADSFGSKYIYSRLEEWSKTYGEFFEPCAFLAERGAKGAPLSSPVEQAKSRL >Potri.001G435000.2.v4.1 pep chromosome:Pop_tri_v4:1:46101283:46102732:-1 gene:Potri.001G435000.v4.1 transcript:Potri.001G435000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435000.v4.1 MGNKLAELPEGLVCPQLKVLLLELDDGMNVPDKFFEGMREIEVLSLKGGCLSLQSLELSTKLQSLVLIRCGCKDLIWLRKMQRLKILVFKWCLSIEELPDEIGELKELRLLDVTGCQRLRRIPVNLIGRLKKLEELLIGHRSFDGWDVVGCDSTGGMNASLKELNSLSQLAVLSLRIPKMKCIPRDFVFPVSLLKYDMILGNWLVAGGYPTTTRLNLAGTSLNAKTFEQLVLHKLESVSVTDCGDVFTLFPARLRQVLKNLKEVFVESCRSLEEVFELGEADEGSSEEKEMLLLSSLTELRLRGLPELKCIWKGPTRHVSFQSFIHLSLNSLDKLAFIFTPSLAQSLPKLEVLFINNCGELKHIIREEDGEREIIPESLCFPELKTINKSFCDKL >Potri.005G083500.2.v4.1 pep chromosome:Pop_tri_v4:5:5739809:5745905:-1 gene:Potri.005G083500.v4.1 transcript:Potri.005G083500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:NCS6 MAENHKKAAGRLCYLCNQRRAALKRPKTLEQICRECFYEVFEEEIHQVIVKNQLFKPGERIAIGASGGKDSTVLAYVLSELNRRHNYGLDLFLLSVDEGITGYRDDSLETVKRNEIQYGLPLKIVSYKDLYGWTMDEIVKMIGLKNNCTFCGVFRRQALDRGAALLKVDKLVTGHNADDIAETVLLNILRGDIARLSRCTSITTGEDGPIPRCKPFKYTYEKEIVMYAYFKRLDYFSTECIYSPNAYRGFAREFIKDLERMRPRAILDIIKSGEDFRISTSTKMPEQGTCERCGYISSQKWCKACVLLEGLNKGLPKLGIGRSRGLDNNHRKDVKQSNGTKNIEGKQCGSLDF >Potri.013G077200.2.v4.1 pep chromosome:Pop_tri_v4:13:6533059:6547790:-1 gene:Potri.013G077200.v4.1 transcript:Potri.013G077200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077200.v4.1 MAPSATASESLSPSTTVDTTENNLQPFFVLHRARSRKSEKKPTGTWKTRRRIDLSPSLPKNGENLDAEKAEAWDDCRHVSIRMEAFDDVWSKIKSTIKDVLRDVNTNVFNEIHHWVRESFNMITSFAIPTFPEATGSFPMVTDAASKQLFTGLVLTKNMEFVDDLLTFEELGSYLKSQGCHVANLSSLEFSVKNGIGGCLRSLLRQFLMVTVDAADVSILATWYREQGSCNYPVVIIIEDMERCSGSVLSDFILMLSEWVLKIPVVLIMGVATTLDAPKSILQSNALHHLCPCKFILGTPPERMDAVVEAVLVKQCSGFGISHKVAVFMRNYFVSQDGTITSFIRALKCFQIACAQHFFMEPLSFMLWFLLGDDNLMLQGEKCGLSPELMLKHALNLPSYQSYGRNKIAKQHGETLVNGLAELKKLQCQWSIVILCLYEAGKCDKVTLLDLFCEALDPESFKSRAPDNGGGLENDSGVSSSDCYKHQQYHTTRNSGFICQAVRKLRDLPAVQLRKLLKGWEKYTVNVPQIHDKVKKLLSEMKFEDGKSLGQDLSTISKRHSSRKHLKIEDSKAVNEKAAKLLESMVRNYMQPLECVPFHEIVCFKNVNALQTALIGDPRRRIQVDLLDVRNIIRCSCCISSSNSLLPSMHDSSIMYTLAQEHGDLINLHDWYQSFKSIVICLSNKGKHGSNYSPSLKKRKATTEPAKPSEASIQARFCRAVTELQITGLLRMPSKRRPDYVQRVAFGL >Potri.013G077200.1.v4.1 pep chromosome:Pop_tri_v4:13:6533053:6547800:-1 gene:Potri.013G077200.v4.1 transcript:Potri.013G077200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077200.v4.1 MAPSATASESLSPSTTVDTTENNLQPFFVLHRARSRKSEKKPTGTWKTRRRIDLSPSLPKNGENLDAEKAEAWDDCRHVSIRMEAFDDVWSKIKSTIKDVLRDVNTNVFNEIHHWVRESFNMITSFAIPTFPEATGSFPMVTDAASKQLFTGLVLTKNMEFVDDLLTFEELGSYLKSQGCHVANLSSLEFSVKNGIGGCLRSLLRQFLMVTVDAADVSILATWYREQGSCNYPVVIIIEDMERCSGSVLSDFILMLSEWVLKIPVVLIMGVATTLDAPKSILQSNALHHLCPCKFILGTPPERMDAVVEAVLVKQCSGFGISHKVAVFMRNYFVSQDGTITSFIRALKIACAQHFFMEPLSFMLWFLLGDDNLMLQGEKCGLSPELMLKHALNLPSYQSYGRNKIAKQHGETLVNGLAELKKLQCQWSIVILCLYEAGKCDKVTLLDLFCEALDPESFKSRAPDNGGGLENDSGVSSSDCYKHQQYHTTRNSGFICQAVRKLRDLPAVQLRKLLKGWEKYTVNVPQIHDKVKKLLSEMKFEDGKSLGQDLSTISKRHSSRKHLKIEDSKAVNEKAAKLLESMVRNYMQPLECVPFHEIVCFKNVNALQTALIGDPRRRIQVDLLDVRNIIRCSCCISSSNSLLPSMHDSSIMYTLAQEHGDLINLHDWYQSFKSIVICLSNKGKHGSNYSPSLKKRKATTEPAKPSEASIQARFCRAVTELQITGLLRMPSKRRPDYVQRVAFGL >Potri.013G077200.4.v4.1 pep chromosome:Pop_tri_v4:13:6533116:6547780:-1 gene:Potri.013G077200.v4.1 transcript:Potri.013G077200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077200.v4.1 MAPSATASESLSPSTTVDTTENNLQPFFVLHRARSRKSEKKPTGTWKTRRRIDLSPSLPKNGENLDAEKAEAWDDCRHVSIRMEAFDDVWSKIKSTIKDVLRDVNTNVFNEIHHWVRESFNMITSFAIPTFPEATGSFPMVTDAASKQLFTGLVLTKNMEFVDDLLTFEELGSYLKSQGCHVANLSSLEFSVKNGIGGCLRSLLRQFLMVTVDAADVSILATWYREQGSCNYPVVIIIEDMERCSGSVLSDFILMLSEWVLKIPVVLIMGVATTLDAPKSILQSNALHHLCPCKFILGTPPERMDAVVEAVLVKQCSGFGISHKVAVFMRNYFVSQDGTITSFIRALKIACAQHFFMEPLSFMLWFLLGDDNLMLQGEKCGLSPELMLKHALNLPSYQSYGRNKIAKQHGETLVNGLAELKKLQCQWSIVILCLYEAGKCDKVTLLDLFCEALDPESFKSRAPDNGGGLENDSGVSSSDCYKHQQYHTTRNSGFICQAVRKLRDLPAVQLRKLLKGWEKYTVNVPQIHDKVKKLLSEMKFEDGKSLGQDLSTISKRHSSRKHLKIEDSKAVNEKAAKLLESMVRNYMQPLECVPFHEIVCFKNVNALQTMHKLSELTGLYVEI >Potri.015G074700.1.v4.1 pep chromosome:Pop_tri_v4:15:10080032:10082554:1 gene:Potri.015G074700.v4.1 transcript:Potri.015G074700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G074700.v4.1 MLKITTTSVTAPPPHLSTSSSTTTTTQKNLLNHINRRHLLTALSISISTSHLSIPVADARGLFQMPPPRLTNQYYLVRAGESEFESLGIINTNPVAKTSVDSGLSEKGKKQIVKAALQLKEMGACDTGCWIWPSITQRAYQTAEIIASVNRISRSYIVPEYSFLDARGLGAYEGKNLEAVSEVYASDTISPRNKPPPIDDGTPNESVADVFVRVTQLMSILETQYSEETIIIVSPDSDNLTILQAGLVGLDLRRHRDLSFAPGEVRFVDISRIPTYKQPASAVYKCRNPPICN >Potri.005G149601.1.v4.1 pep chromosome:Pop_tri_v4:5:12718088:12718959:1 gene:Potri.005G149601.v4.1 transcript:Potri.005G149601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G149601.v4.1 MCLLGLVELHCSAMAAFLCGNQAALGHHGVPSSFAFVNQGSFWRFHK >Potri.010G006300.2.v4.1 pep chromosome:Pop_tri_v4:10:573876:585524:-1 gene:Potri.010G006300.v4.1 transcript:Potri.010G006300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006300.v4.1 MASSEQLMGGGSVGGGGGGGGGPRYVKMQSEPSTPLQPQSSSIISSFFSFRQGSTPESCRIFDELPKGTIVSVSRPDLSDISPVQLSYTIEVQYKQFKWTLLKKAAQVFYLHFALKKRLFFEEIQEKQEQVKDWLQNLGIGEHTPMVQDDDDADDETVPLHHDEIAKNRDVPSSAALPVIRPALGKQHSMSDDAKVAMQQYLNHFLGNMDIVNSREVCKFLEVSKLSFLPEYGPKLKEEYVMVKHLPQIVKNDDSRKCACCCFSCCNDNWQKVWAVLKPGFLALLADPFATKPLDIIVFDVLPTSDGSGEGRVSLAAEIKERNPLRHSFKVTCGNRSIDLRSKSGARVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLSDDGSQAQWFIDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFRDHASSRLDSLLEIKAKQGIQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRSPDHFSTGVYLWSHHEKLVIVDHQVCFIGGLDLCFGRYDTCEHRVGDCPPQEWPGKDYYNPRESEPNSWEDMMKDELDRGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNFAKRNKAPYEEAIPLLMPQQHMVIPHYRGQNKEKEVERRDIEDNVKGIKRQDSFSSGSSLQDIPLLLPQEADGPDGSGVGPKRNGLESTPGRSHPHAFRKSKIESVVPDMPMTSFVDDHDSLNLHVKMSPDLAAEPGTKTSDLEWWESQERVDQIGSVDESGQVGSRVSCHCQVIRSVSQWSAGTSQIEESIHCAYCSLIEKAENFVYIENQFFISGLSGDDIIQNRVLEALYQRIMRAFNDKKCFRVIIVIPLLPGFQGGVDDGGAASVRAIMHWQYRTICRGQNSVLHNLYDLLGPKTQDYISFYGLRAYGQLFNGGPVVTSQVYVHSKIMIVDDRATLIGSANINDRSLLGSRDSEIGVLIEDKEFVDSLMGGKPWKAGKFALSLRLSLWSEHLGLHAKEIHKVIDPVIESTYKDRWMSTAKTNTMIYQDVFSCVPSDLIHTRAALRQSTAFWKDRLGHTTIDLGIAPQKLESYQNGDIKNTDPLERLKSVRGHLVSFPLDFMCKEDLRPVFNESEYYASQVFY >Potri.010G006300.4.v4.1 pep chromosome:Pop_tri_v4:10:573876:585524:-1 gene:Potri.010G006300.v4.1 transcript:Potri.010G006300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006300.v4.1 MVQDDDDADDETVPLHHDEIAKNRDVPSSAALPVIRPALGKQHSMSDDAKVAMQQYLNHFLGNMDIVNSREVCKFLEVSKLSFLPEYGPKLKEEYVMVKHLPQIVKNDDSRKCACCCFSCCNDNWQKVWAVLKPGFLALLADPFATKPLDIIVFDVLPTSDGSGEGRVSLAAEIKERNPLRHSFKVTCGNRSIDLRSKSGARVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLSDDGSQAQWFIDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFRDHASSRLDSLLEIKAKQGIQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRSPDHFSTGVYLWSHHEKLVIVDHQVCFIGGLDLCFGRYDTCEHRVGDCPPQEWPGKDYYNPRESEPNSWEDMMKDELDRGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNFAKRNKAPYEEAIPLLMPQQHMVIPHYRGQNKEKEVERRDIEDNVKGIKRQDSFSSGSSLQDIPLLLPQEADGPDGSGVGPKRNGLESTPGRSHPHAFRKSKIESVVPDMPMTSFVDDHDSLNLHVKMSPDLAAEPGTKTSDLEWWESQERVDQIGSVDESGQVGSRVSCHCQVIRSVSQWSAGTSQIEESIHCAYCSLIEKAENFVYIENQFFISGLSGDDIIQNRVLEALYQRIMRAFNDKKCFRVIIVIPLLPGFQGGVDDGGAASVRAIMHWQYRTICRGQNSVLHNLYDLLGPKTQDYISFYGLRAYGQLFNGGPVVTSQVYVHSKIMIVDDRATLIGSANINDRSLLGSRDSEIGVLIEDKEFVDSLMGGKPWKAGKFALSLRLSLWSEHLGLHAKEIHKVIDPVIESTYKDRWMSTAKTNTMIYQDVFSCVPSDLIHTRAALRQSTAFWKDRLGHTTIDLGIAPQKLESYQNGDIKNTDPLERLKSVRGHLVSFPLDFMCKEDLRPVFNESEYYASQVFY >Potri.010G006300.1.v4.1 pep chromosome:Pop_tri_v4:10:573875:585524:-1 gene:Potri.010G006300.v4.1 transcript:Potri.010G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006300.v4.1 MASSEQLMGGGSVGGGGGGGGGPRYVKMQSEPSTPLQPQSSSIISSFFSFRQGSTPESCRIFDELPKGTIVSVSRPDLSDISPVQLSYTIEVQYKQFKWTLLKKAAQVFYLHFALKKRLFFEEIQEKQEQVKDWLQNLGIGEHTPMVQDDDDADDETVPLHHDEIAKNRDVPSSAALPVIRPALGKQHSMSDDAKVAMQQYLNHFLGNMDIVNSREVCKFLEVSKLSFLPEYGPKLKEEYVMVKHLPQIVKNDDSRKCACCCFSCCNDNWQKVWAVLKPGFLALLADPFATKPLDIIVFDVLPTSDGSGEGRVSLAAEIKERNPLRHSFKVTCGNRSIDLRSKSGARVKDWVAAINDAGLRPPEGWCHPHRFGSFAPPRGLSDDGSQAQWFIDGRAAFDAIASSIEDAKSEIFICGWWLCPELYLRRPFRDHASSRLDSLLEIKAKQGIQIYILLYKEVALALKINSVYSKRKLLSIHENVRVLRSPDHFSTGVYLWSHHEKLVIVDHQVCFIGGLDLCFGRYDTCEHRVGDCPPQEWPGKDYYNPRESEPNSWEDMMKDELDRGKYPRMPWHDVHCALWGPPCRDVARHFVQRWNFAKRNKAPYEEAIPLLMPQQHMVIPHYRGQNKEKEVERRDIEDNVKGIKRQDSFSSGSSLQDIPLLLPQEADGPDGSGVGPKRNGLESTPGRSHPHAFRKSKIESVVPDMPMTSFVDDHDSLNLHVKMSPDLAAEPGTKTSDLEWWESQERVDQIGSVDESGQVGSRVSCHCQVIRSVSQWSAGTSQIEESIHCAYCSLIEKAENFVYIENQFFISGLSGDDIIQNRVLEALYQRIMRAFNDKKCFRVIIVIPLLPGFQGGVDDGGAASVRAIMHWQYRTICRGQNSVLHNLYDLLGPKTQDYISFYGLRAYGQLFNGGPVVTSQVYVHSKIMIVDDRATLIGSANINDRSLLGSRDSEIGVLIEDKEFVDSLMGGKPWKAGKFALSLRLSLWSEHLGLHAKEIHKVIDPVIESTYKDRWMSTAKTNTMIYQDVFSCVPSDLIHTRAALRQSTAFWKDRLGHTTIDLGIAPQKLESYQNGDIKNTDPLERLKSVRGHLVSFPLDFMCKEDLRPVFNESEYYASQVFY >Potri.013G038501.2.v4.1 pep chromosome:Pop_tri_v4:13:2605261:2606197:-1 gene:Potri.013G038501.v4.1 transcript:Potri.013G038501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038501.v4.1 MLLEAKGSWGEAEKAYSSLLEDNPFDQVVHKRRVALAKAQGNLTGAIDLLNKHLETFMADHDAWRELAEIYISLQMYKQAAFCYI >Potri.001G436400.1.v4.1 pep chromosome:Pop_tri_v4:1:46233688:46236164:-1 gene:Potri.001G436400.v4.1 transcript:Potri.001G436400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G436400.v4.1 MEKKDEGNPPMAVMGSRDENEDVKSTMRTAETMLRLVPVALCVSALVVMLKNTQTNDYGSLSYSDLGAFRYLVNANGICAGYSLLSAVIVAMPRAWTMPQAWTFFLLDQVLTYVILAAGTVSTEVLYLANKGDTSIAWSAACASFGGFCHKALISTVITFVAVIFYAALSLVSSYKLFSKYDAPVVTQSGEGIKTVTLGSPPPPPPPPPSNLHLHLHAKLACPAHNNSPN >Potri.002G005500.1.v4.1 pep chromosome:Pop_tri_v4:2:307680:310354:1 gene:Potri.002G005500.v4.1 transcript:Potri.002G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005500.v4.1 MALKVLSALDAAKIQYYHFKAIIIAGMGLFTDAYDLFCIPPIMKLLGRVYYEDKPGQDKYQIPRIVLATMLGTALLGTVIGQLVFGRLGDRMGRRHVYGISLILMVFSSIGCGFSFCRTKTCVLVSLGIFRFFLGLGIGGDYPLSATIMSEFANKKTRGAFVAALFSMQGLGILASSMVTMVVSKIFEAAASKNLSGNHTPEDADIVWRLILILGAVPAGLTYYWRMMMPETARYTALVENNVLQATKDMEKVLDVSISRIAEDDLIYLQQDPPSYSLLSKQFFRRHGVDLFSCATTWLLLDIAFYSSSLFQSQIYKMHLDLKNTNVYEETFKVAVFQAMVALVAAIPGYWFTVYFIDRIGRRKIQMMGFLCMGIVYFALGIPYQYWGEHKNKGFLFLYGLTFFFANFGPNTTTFIVPAELFPARFRSTCHGISGAVGKVGAFFGTLGFLWASNHNGPDDLPRIGPMRIALVSLGVICLFGMAVTYLFTRETNGRSLEENENEDENTELCLFRCHMDADRHPNTSVPQ >Potri.012G103500.2.v4.1 pep chromosome:Pop_tri_v4:12:12582980:12584525:1 gene:Potri.012G103500.v4.1 transcript:Potri.012G103500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103500.v4.1 MENFNFVRDGMSGLPPGFRFQPTEEELVFQYLKRKILSWPLPASVIPEVNVCKYDPWELPGDMEQERYFFSNKEAKYPNGNRVNRASSSGYWKATGLDRQIVSSSWKNNHVVGMKKTLVFYRGKAPHGSRTDWVMHEYRLVNLGVETTDSNFPQTENSAQQNSSFQMDKWVVCRIFLKNKGAATTEITETRTNDNVGGTQPRLFDFMGRDEIVFDSASSACSSSSSLAGISSNEQDHEQSST >Potri.012G103500.1.v4.1 pep chromosome:Pop_tri_v4:12:12582980:12584525:1 gene:Potri.012G103500.v4.1 transcript:Potri.012G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G103500.v4.1 MENFNFVRDGMSGLPPGFRFQPTEEELVFQYLKRKILSWPLPASVIPEVNVCKYDPWELPGDMEQERYFFSNKEAKYPNGNRVNRASSSGYWKATGLDRQIVSSSWKNNHVVGMKKTLVFYRGKAPHGSRTDWVMHEYRLVNLGVETTDSNFPQTENSAQNSSFQMDKWVVCRIFLKNKGAATTEITETRTNDNVGGTQPRLFDFMGRDEIVFDSASSACSSSSSLAGISSNEQDHEQSST >Potri.006G045300.1.v4.1 pep chromosome:Pop_tri_v4:6:3064780:3066315:-1 gene:Potri.006G045300.v4.1 transcript:Potri.006G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045300.v4.1 MQITVDIILGGKRITLDVASTDNISSVKAKIKETEGIPIEQQYLYYDSRLLLDTDILEHCGVKNDDTLRLVNLEGLEQDDDDEDYDDDDDNDHGRQDPFTGVLLMSASVPRRRRRRSRRSRPRRQDDVIIVYPPMPRPPPSPECKCQ >Potri.005G211000.1.v4.1 pep chromosome:Pop_tri_v4:5:21520621:21521534:1 gene:Potri.005G211000.v4.1 transcript:Potri.005G211000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211000.v4.1 MGWLQSFVSPLKKFWDRLHSSHRKRTGIYILYKDVKSCPCEDVHVLWSILVESHPAAASLQSK >Potri.014G124700.2.v4.1 pep chromosome:Pop_tri_v4:14:8366917:8367828:1 gene:Potri.014G124700.v4.1 transcript:Potri.014G124700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G124700.v4.1 MIVMANRMRMLLLTLSTVITINFSLSSTISEVSKEQIPCTMCYSCDNPCQPLPSPPPPAIPECPPPPPPPSPPPPAIPECPPPAPPPSPPPPAIPECPPPPAPINECPACPTPPTPFPPVLPPKQSYWPGAGYSFNGPPAGYGNNPVPYFPFDNNNPPPSFSFKSVHLKLQSIVLCIFLSLTFLCFF >Potri.001G257500.1.v4.1 pep chromosome:Pop_tri_v4:1:27320360:27326218:1 gene:Potri.001G257500.v4.1 transcript:Potri.001G257500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G257500.v4.1 MKKSGGAADKKRVRRSSGAVPNGTRDLNSDTPPRKQAAKKDVFQLFAEKVRDHKGLVSRWAVLQETRVEYFRGKDFVSFLKNHPEIKDILESNKNLEVEEIVDTLLSKNLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPDQVFSESDSFFAWTFVKQRPLWQTLLSLSWPVLTLAICLFPIYPHGCKLLILYSCAGLLLLIFSLLSLRATVFGALYIILGKRVWLFPNILAEEATLGELFRLWPNKDEEERPKWTTRLFYALLAVLVILLLRHHAPDEAARARYQKRMSNIIDDVLEWSPSLALSGMMEKQPTLVNATEPNDFTDSGQTDSEKVPPAGDEGGETILEQHEDEEIKNIEDAADQHQHQDHI >Potri.007G061981.1.v4.1 pep chromosome:Pop_tri_v4:7:7023288:7023578:1 gene:Potri.007G061981.v4.1 transcript:Potri.007G061981.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061981.v4.1 MAQKQIWSGIPLFPVLVMFFISCLAETNRAPFDLPEAEAESVAGYNVEYARDAILNSPLLAEANVPGSRGLILTETRGGSLPISKIFDLREAKKSA >Potri.008G051400.3.v4.1 pep chromosome:Pop_tri_v4:8:3015860:3017824:-1 gene:Potri.008G051400.v4.1 transcript:Potri.008G051400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051400.v4.1 MSMESFISLYNQARAYLLVIFVQFGYSVMSILAKLALNLGMKPHVLVAYRMAVASILFTPFALVLERNSRPKMTWWMFAKIALLSFFEPVLDQNLFYAGMKITTPTFVLAMCNILPAMTFVMACIFKLEKVDMRRLHFQAKVVGTLVTIGGAMLLPLAHGPLINLPWTKRNFCRGQSAHSVHIQDLIKGAVMVIFGCLSWSSFIILQAMILESYPAKLSLAALMCIMGTVESTILAFAVERANTAVWSVYFDIRLLAAVYGGILSGLAYYIFGLLVKERGPVFMSASNPLSLVMVAILGSFIFKEKFYLGL >Potri.008G051400.2.v4.1 pep chromosome:Pop_tri_v4:8:3015859:3017825:-1 gene:Potri.008G051400.v4.1 transcript:Potri.008G051400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051400.v4.1 MSMESFISLYNQARAYLLVIFVQFGYSVMSILAKLALNLGMKPHVLVAYRMAVASILFTPFALVLERNSRPKMTWWMFAKIALLSFFEPVLDQNLFYAGMKITTPTFVLAMCNILPAMTFVMACIFKLEKVDMRRLHFQAKVVGTLVTIGGAMLLPLAHGPLINLPWTKRNFCRGQSAHSVHIQDLIKGAVMVIFGCLSWSSFIILQAMILESYPAKLSLAALMCIMGTVESTILAFAVERANTAVWSVYFDIRLLAAVYGNTLRTSLLYFRIVSKGKGSGVYECFKSSKPGDGCNFGVLHLQREILSRQGYRRHRDRSRPVSCSMG >Potri.008G051400.1.v4.1 pep chromosome:Pop_tri_v4:8:3015860:3017824:-1 gene:Potri.008G051400.v4.1 transcript:Potri.008G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051400.v4.1 MSMESFISLYNQARAYLLVIFVQFGYSVMSILAKLALNLGMKPHVLVAYRMAVASILFTPFALVLERNSRPKMTWWMFAKIALLSFFEPVLDQNLFYAGMKITTPTFVLAMCNILPAMTFVMACIFKLEKVDMRRLHFQAKVVGTLVTIGGAMLLPLAHGPLINLPWTKRNFCRGQSAHSVHIQDLIKGAVMVIFGCLSWSSFIILQAMILESYPAKLSLAALMCIMGTVESTILAFAVERANTAVWSVYFDIRLLAAVYGGILSGLAYYIFGLLVKERGPVFMSASNPLSLVMVAILGSFIFKEKFYLGRAIGAIVIVLGLYLVLWGKSKDQTTTSNGGGGTPYEQTASAVGNLQASNHGLVASNVNAA >Potri.008G223166.1.v4.1 pep chromosome:Pop_tri_v4:8:18776521:18777226:-1 gene:Potri.008G223166.v4.1 transcript:Potri.008G223166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G223166.v4.1 MQQEIPLVLGFLLLVFAVLRLGKKSKGHDSTRTPPPGPWKLPLIGNIHQLASSATMPHYLCAHWAKKYGPIMQIQIGEVPTVIISSPDAAKEVLKTQEINFAERPALLVSEIMLYNGQGMSFAKFGDHWKLMRKACIWGLFSATRKLSFRSIREEEVSNLISSIRSKEGSPINLRELLLDLSNETITRTSIGKKCKNKARFLHTIEQVSKSVGGVNIFL >Potri.004G029200.2.v4.1 pep chromosome:Pop_tri_v4:4:2281237:2281916:1 gene:Potri.004G029200.v4.1 transcript:Potri.004G029200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029200.v4.1 MDLGSLTSIYDRFHFGNIISLIWFQFELFFLGFRARELFYYLKVGQVDYGEDHNKAYGHLQFGRTYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFNGYENTSDKWVLSITSLSGAFNGTTRTYLDGMQ >Potri.006G077800.1.v4.1 pep chromosome:Pop_tri_v4:6:5779769:5788021:1 gene:Potri.006G077800.v4.1 transcript:Potri.006G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G077800.v4.1 MKSPATGAGGTATTSTATNGEGAEKKSINPELWQACAGPLVNLPAAGTHVVYFPQGHSEQVAASMKKDVDAQIPNYPNLPSKLLCLLHNVTLHADPETDEVYAQMTLQPVSSFDKDALLRSDLALKSNKPQTEFFCKTLTASDTSTHGGFSVPRRAAEKIFPPLNFSLQPPAQELVARDLHDNVWTFRHIYRGQPKRHLLTTGWSLFVSGKRLFAGDSVLFIRDEKQQLLLGIRRANRQPTNLSSSVLSSDSMHIGILAAAAHAAANNSPFTVFYNPRASPSEFVIPLAKYYKAVYSNQISLGMRFRMMFETEESGTRRYMGTITGISDLDPVRWKNSQWRNLQVGWDESTAGERRNRVSIWEIEPVTAPFFICPPPFFRSKRPRQPGMPDDDSSDFDSLFKRTMPWLGDEFCMKDPQALPGLSLVQWMNMQQNPSLANSMQPNYMQSLSGSVLQNLPGADLSRQLGLSSPQMPQPNNVQFNAQRLPQQAQQLDQLPKLQSSLIPLGSIMQPQQQMGDITQQSRQNLMAQTLPSSQVQAQLLQPQTLAQTNNILQQQPSIQSHQLLRNLPQTLHHQQQQNQQQHIMGQNQQQSLMQSQLSDHVNQHMQISDNHIQLQLLQKLQQQQQSLLAQQSAMQQAGQLGQLQDSQRQLLDASQSFSRSMAPSQMLEIPQTAPTSLPQPNTIPQQLTKNNNQNNVRFSHPPQQPKLQQQHTGILPLSEMAGHMGLLPSSMANQLSAAGSSILTAAAGQGQSGITDDVPSCSTSPSTNNCPNIVQPMINSRAHRSTAMGEDMAQSAATLLNPSALETVSSNGNLVKDLLQKSEVKPSLNISKNQSPGFFTPQTYLNGVAAQTDYLDTSSSTTSICLSQNDVHLQQNNNSLSYNPQPMLLRDTIHDGELQADLRNNIPCGTNIDSQLTMPVSSDNLFTKGMVGLGKDFSNNFSSAGMLTSCENSKDPQQDLSSSMVSQSFGVPEMPFNSINSAINDNSCLNRGAWAPPQQQFQRMRTYTKVYKRGAVGRSIDIARYSGYAELKQDLARRFGIEGQFEDQQRIGWKLVYRDLDDDVLLVGDDPWEEFVNCVRCIKILSPQEVQQMSLDGDFGNSVLPNQACSSSDNVNA >Potri.011G046600.1.v4.1 pep chromosome:Pop_tri_v4:11:3669308:3673608:1 gene:Potri.011G046600.v4.1 transcript:Potri.011G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G046600.v4.1 MDLVPKVTSFLTLVFIALSSLEPVVCIRHWQLSGQPLVDEHLVTNLPGQPDVNFKHYAGYLTVNEQNGRALFYWFYEATTHPDNKPLVLWLNGGPGCSSVGYGATQEIGPFIVDTNGDGLKYNPYSWNTEANMLFLESPVGVGFSYSNTTSDYNILGDEFTANDTYAFLHKWFLLFPSYRSRAFYIAGESYAGKYVPELAELINDKNNDTSLYIDLNGILLGNPETSDAEDWRGMVDYAWSHAVISDETHKIIRQSCNFDSNDTWSNDDCAEAVDELLKQYKEIDIYSLYTSVCIGDSASSDDKFTQIMFRRSSKMMPRIMGGYDPCLDEYAKAFYNRPDVQKALHVSDGHHLKNWSICNTKIFVEWLEPRPSVLPIYKKLITAGLRIWVYSGDTDGRVPVLSTRYSLSSLGLPITKAWRPWYHQKEVSGWFQEYEGLTFATFRGAGHAVPLFKPSNSLAFFSAFLLGESLPSVR >Potri.003G057800.1.v4.1 pep chromosome:Pop_tri_v4:3:8491260:8497619:-1 gene:Potri.003G057800.v4.1 transcript:Potri.003G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MVGSGSSPATAALSGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLTTLDDPLIRTKWMNLKKSLSEETEIVKQLDADRRAFKEAPAARRVASPPIHAKSSFVFQPLDEYPTSSAAPIDDPDVWRPPSRDTTSRRPTRASQVGLRKSPQDGAWARGASTRTGTTGRGAKTAASSRVNSGVRASTTGKKGTGTGKSGRGDSANGDAEDGKRRPQYEGPDPDLAEMLERDVLETTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNSSTGEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPSFESRKELIRINLKTVEVSTDVNIDEVARRTDGYSGDDLTNVCRDASLNGMRRKIAGKTRDEIKNMPKDEISNDPVAMCDFEEALRKVQRSVSPSDIEKHEKWFSEFGSA >Potri.003G057800.4.v4.1 pep chromosome:Pop_tri_v4:3:8491257:8497546:-1 gene:Potri.003G057800.v4.1 transcript:Potri.003G057800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KATNA1 MVGSGSSPATAALSGLQDHLKLAREYALEGLYDTSIIFFDGAIAQINKHLTTLDDPLIRTKWMNLKKSLSEETEIVKQLDADRRAFKEAPAARRVASPPIHAKSSFVFQPLDEYPTSSAAPIDDPDVWRPPSRDTTSRRPTRASQVGLRKSPQDGAWARGASTRTGTTGRGAKTAASSRVNSGVRASTTGKKGTGTGKSGRGDSANGDAEDGKRRPQYEGPDPDLAEMLERDVLETTPGVRWDDVAGLSEAKRLLEEAVVLPLWMPEYFQGIRRPWKGVLMFGPPGTGKTLLAKAVATECGTTFFNVSSATLASKWRGESERMVRCLFDLARAYAPSTIFIDEIDSLCNARGASGEHESSRRVKSELLVQVDGVNNSSTGEDGSRKIVMVLAATNFPWDIDEALRRRLEKRIYIPLPSFESRKELIRINLKTVEVN >Potri.017G138451.1.v4.1 pep chromosome:Pop_tri_v4:17:13973287:13975562:-1 gene:Potri.017G138451.v4.1 transcript:Potri.017G138451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138451.v4.1 MDQLLKCDANYVPLTPITFLKRANAVYANRTSVIYEGTRFTWSQTYERCCRLADSLRSLNVGKNDVVSVLAPNIPAVYEMHFAVPMAGAVLNTINTRLDARNIATILSHSGAKVFFVDYQYKELASKALSFLDGAVPSIIACIDDIDTPTGVQFGQLEYEQLVQRGNPGYTDELVQDEWDPIALNYTSGTTSAPKGVVYSHRGAYLSSLSLILGWEMGNAPVYLWSLPMFHCNGWTFTWGVAARGGTNVCLRNTSAKDMYNNIAEHAVTHMCCAPIVFNILLEARPHERREITSPVEILTGGAPPPASLLQKIERLGFHVTHAYGLTEATGPALVCEWQKKWNKLPQQDQAKLKARQGISILTLADADVKDLDTMVSVPRDGKTMGEIVLRGSSIMKGYFKDPEATSKAFRNGWLATGDVGVIHPDGYLEIKDRSKDVIISGGENISSVELESVLYRHPRVLEAAVVAMPHPRWGESPCAFISVKKNSSGDTNDVKESDIIAYCKKNLPRFTVPKRVEFMAELPKTSTGKVLKIQLRALAQNFVVNA >Potri.006G149900.2.v4.1 pep chromosome:Pop_tri_v4:6:13032967:13035338:-1 gene:Potri.006G149900.v4.1 transcript:Potri.006G149900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149900.v4.1 MSWTAGGDWMCSACQHQNFKKREMCQRCGYPKYGGPDPATYICNATKVLAGDWYCSAMNCQAHNYASRSSCYNCGALRNDHAAGGYGSNAYGSDGSDPPGWKTGDWICTRLGCGVHNYASRMECFKCRTPREYSGGY >Potri.014G150600.1.v4.1 pep chromosome:Pop_tri_v4:14:10391049:10398787:-1 gene:Potri.014G150600.v4.1 transcript:Potri.014G150600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G150600.v4.1 MYQQPSSSSSSPQKSNLPSGLTRYGSAPGSFLTRAVDSVIGADRELSGLGSTPLVGRQQHFSGDSPSITSESTCKVNSSSCDRKAPKSGGGGGLQRSYGLNEIAHGAGSLVRQRSSPAGFLSHLATENGGFSITRGTGGYNSRNGSGGGPSRLKSQLSFTRQDSLSQISEVSENVVEGIGSDNGSQNSTHSYSAASFGMESWDTPNSIVFSGHPSKQARTGDGDIYSCFNALETQFSLPQTSLEMATVEKLLQIPEDSVPCKIRAKRGCATHPRSIAERERRTRISGKLKTLQDLVPNMDKQTSYADMLELAVKHIKGLQNEVEKLHKELEGCTCGCKQSTP >Potri.018G142100.2.v4.1 pep chromosome:Pop_tri_v4:18:14753662:14757976:1 gene:Potri.018G142100.v4.1 transcript:Potri.018G142100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142100.v4.1 MSSPCLSGGGRTYGFDLEIVKSSSTSSTRTSHTSSPSSTISESSNSPLAISTRKPRTTRKRPNQTYNEAAALLSSAYPNVFSSKHLTKSSKFTKPHDNSILLDQSPDLLLPLRVFDNSGFLLHQPIQEKPRFGNESKVANLRDKSSCQSSGEVDFHGNSMELCDGFDEDFDAESILDEEIEEGIDSIMGNLSVGNEMVDEVPNGISPSYGHDGGQTNSWYGNSMEYNFGGKSQFGHGMAMRRGVRALRQVDEGNWWHFPFVDMLQISPRLNTSSTTTTTTTTTAAATRNANGNNSPDCNSIPKPKPKSKLKMKSNSSSEKKKKKLEKVEMPAVVEMKNEELEEENPIKENSIPQSNQRLILKLNYDNVLNAWSDRGSPFSEETMGSAEGTDVSAGTN >Potri.018G142100.3.v4.1 pep chromosome:Pop_tri_v4:18:14754590:14755877:1 gene:Potri.018G142100.v4.1 transcript:Potri.018G142100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142100.v4.1 MSSPCLSGGGRTYGFDLEIVKSSSTSSTRTSHTSSPSSTISESSNSPLAISTRKPRTTRKRPNQTYNEAAALLSSAYPNVFSSKHLTKSSKFTKPHDNSILLDQSPDLLLPLRVFDNSGFLLHQPIQEKPRFGNESKVANLRDKSSCQSSGEVDFHGNSMELCDGFDEDFDAESILDEEIEEGIDSIMGNLSVGNEMVDEVPNGISPSYGHDGGQTNSWYGNSMEYNFGGKSQFGHGMAMRRGVRALRQVDEGNWWHFPFVDMLQISPRLNTSSTTTTTTTTTAAATRNANGNNSPDCNSIPKPKPKSKLKMKSNSSSEKKKKKLEKVEMPAVVEMKNEELEEENPIKENSIPQSNQRLILKLNYDNVLNAWSDRGSPFSEETMGSAEGTDVSVCFSSPAGK >Potri.018G142100.1.v4.1 pep chromosome:Pop_tri_v4:18:14753662:14757976:1 gene:Potri.018G142100.v4.1 transcript:Potri.018G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G142100.v4.1 MSSPCLSGGGRTYGFDLEIVKSSSTSSTRTSHTSSPSSTISESSNSPLAISTRKPRTTRKRPNQTYNEAAALLSSAYPNVFSSKHLTKSSKFTKPHDNSILLDQSPDLLLPLRVFDNSGFLLHQPIQEKPRFGNESKVANLRDKSSCQSSGEVDFHGNSMELCDGFDEDFDAESILDEEIEEGIDSIMGNLSVGNEMVDEVPNGISPSYGHDGGQTNSWYGNSMEYNFGGKSQFGHGMAMRRGVRALRQVDEGNWWHFPFVDMLQISPRLNTSSTTTTTTTTTAAATRNANGNNSPDCNSIPKPKPKSKLKMKSNSSSEKKKKKLEKVEMPAVVEMKNEELEEENPIKENSIPQSNQRLILKLNYDNVLNAWSDRGSPFSEETMGSAEGTDVSARLAQIDLFSENGMREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFVRRPNPSTSSDEHEERKKKTFKL >Potri.010G146700.5.v4.1 pep chromosome:Pop_tri_v4:10:15780717:15785064:1 gene:Potri.010G146700.v4.1 transcript:Potri.010G146700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146700.v4.1 MATVGSCCLVGVICQQTLFVANLGDSRVVLGKKVGNTGGIAAIQLSTEHNANLEAIRHELEDLHPNDSQIAVLKRGVWKVKGIIQVSRSIGDVYMKHARFNREPIDAKFRLPEPMDMPILSANPTILSHPLHPNDSFLVFASDGLWEQLSNEKVVDIVHSNPRAGSAKRLVKAALQEAARKRETRYSDLQKIDKKVRRHFHDDITVIVLFLNHDLISKGAVQTPPVSIRSALEH >Potri.010G146700.1.v4.1 pep chromosome:Pop_tri_v4:10:15780710:15785114:1 gene:Potri.010G146700.v4.1 transcript:Potri.010G146700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G146700.v4.1 MLQALMNLLSLCFRPFGQGSSSNSGTKFQSFVGISKEGKDGLLWYRDIGRYGSGEFSMAVVQANQVLEDQSQIESGPFGTFVGVYDGHGGPEAARFVCDHLFRHFQAETQGVVTSETIQRAFCLTEEGFTNFVSELWSTRPQMATVGSCCLVGVICQQTLFVANLGDSRVVLGKKVGNTGGIAAIQLSTEHNANLEAIRHELEDLHPNDSQIAVLKRGVWKVKGIIQVSRSIGDVYMKHARFNREPIDAKFRLPEPMDMPILSANPTILSHPLHPNDSFLVFASDGLWEQLSNEKVVDIVHSNPRAGSAKRLVKAALQEAARKRETRYSDLQKIDKKVRRHFHDDITVIVLFLNHDLISKGAVQTPPVSIRSALEH >Potri.007G092800.3.v4.1 pep chromosome:Pop_tri_v4:7:11845211:11849347:1 gene:Potri.007G092800.v4.1 transcript:Potri.007G092800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092800.v4.1 MVTTKLKGGTTRLERTNPRVWLYSVLLTLQYGAQPLISKRFTRREVIVTTSVLTCELAKVVCALVLMVRDGSLKKMFSQWTLVGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKIIFTAFFTYIMLRQKQSIQQIGALLLLIMAAVLLSIGEGSSKGSSSSDPEQILFYGIIPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTTKSPDGEAIRQHGYFYGWTPLTMVMILPIMQLFSKITMAYLAKYLKWQWVGVGI >Potri.007G092800.1.v4.1 pep chromosome:Pop_tri_v4:7:11845254:11849343:1 gene:Potri.007G092800.v4.1 transcript:Potri.007G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G092800.v4.1 MVTTKLKGGTTRLERTNPRVWLYSVLLTLQYGAQPLISKRFTRREVIVTTSVLTCELAKVVCALVLMVRDGSLKKMFSQWTLVGSLTASGLPAAIYALQNSLLQISYKNLDSLTFSILNQTKIIFTAFFTYIMLRQKQSIQQIGALLLLIMAAVLLSIGEGSSKGSSSSDPEQILFYGIIPVLVASVLSGLASALCQWASQVKKHSSYLMTVEMSIVGSLCLLASTTKSPDGEAIRQHGYFYGWTPLTMIPVVANALGGILVGLVTSYAGGVRKGFVIVSALLVTALLQFMFEGKPPSVYCLVALPLVMSSISIYQKYPYRVNKKES >Potri.010G134950.1.v4.1 pep chromosome:Pop_tri_v4:10:15048675:15050596:-1 gene:Potri.010G134950.v4.1 transcript:Potri.010G134950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134950.v4.1 MEMLTCTEGRDIITVDEEETWCTYRYQENVSQEDKSYRSRQKLVKTGRKKMVLLTGLSIMDLVVAGISLVIGLGIFVFIASILCSAAFLHNAKHVS >Potri.017G112600.2.v4.1 pep chromosome:Pop_tri_v4:17:12036940:12041226:1 gene:Potri.017G112600.v4.1 transcript:Potri.017G112600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112600.v4.1 MASTKEQSPYTNFQRREEPEFNLREWEFRAQISREHTRSRRFSGSNIRSFREDARSFRSNITISSTASSPGYSIREEIDPSTYSFTTALKALQARSGYYNSWECSSPDGFALHSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNLTNRITMSAPLVYSNHSRQIQTKTTTSIAAHDDIVNHFPIKEDKMEGMLNTRDVGTQSTPPDVSSSSSPSPASTPSIIERKRCEVEGGGTPNCNSKLKAQGQVQVKETRGKEESTENESTREESQNRKDEKMWMCSIRKQGGCLSWMRKRQRERHKPRNSNIFSFNVKGAAKKES >Potri.017G112600.5.v4.1 pep chromosome:Pop_tri_v4:17:12037003:12041241:1 gene:Potri.017G112600.v4.1 transcript:Potri.017G112600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112600.v4.1 MASTKEQSPYTNFQRREEPEFNLREWEFRAQISREHTRSRRFSGSNIRSFREDARSFRSNITISSTASSPGYSIREEIDPSTYSFTTALKALQARSGYYNSWECSSPDGFALHSKWNEAEKYICNPLSGEVPMECLSAKTLSGRSFRNLTNRITMSAPLVYSNHSRQIQTKTTTSIAAHDDIVNHFPIKEDKMEGMLNTRDVGTQSTPPDVSSSSSPSPASTPSIIERKRCEVEGGGTPNCNSKLKAQGQVCSANILNPIRSFIFTCSKLKAEPEACFSCQVSLSPLLF >Potri.012G073900.1.v4.1 pep chromosome:Pop_tri_v4:12:9744250:9746757:-1 gene:Potri.012G073900.v4.1 transcript:Potri.012G073900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073900.v4.1 MVAGSSSTGSGVEGAAETRPKEILLFGVRVVVDNMRKIVSLNNMNDYEHLNDNEEDEEAAAGASASAAVSGYMSADDTVQHSSSASERRSQRKRGLPWTEEEHKRFLVGLQKMGKGDWRGISRNFVRTRTSTQVASHAQKHFLRNSNVNRRRRRSSLFDITTDMVTETPMEEQQALCQDSKSNNQAPKSNPPLQANSTTSFPGVLPFPIRRRTVSPAVSPLQIESPIMENRSLGQGNQSLNYSTNLVLTVPVVPAPCTSVMPDLNLNLKPVADSSPLSLDLSSSSDQRASSSRHSAFQTMSSLKNGDNIIVVA >Potri.002G175900.1.v4.1 pep chromosome:Pop_tri_v4:2:13652594:13654871:1 gene:Potri.002G175900.v4.1 transcript:Potri.002G175900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175900.v4.1 MSQLNRIHAHTLKKGIDYSKTLIVELLRIPDIPYAHKVFNQSPYPTVFLYNKLIKAYSSQNQPRQCLSLYSQMLLKGCPPNELTFTFLFPACASFYSLLHGKVIHTHFIKSGFDFDVYALTALVDMYAKLGVLMLARQVFDEMTVRDIPTWNSLIAGYSRSGDMEGALELFKLMPSRSVVSWTTMISGYSQNGMYTKALEMFLKMEKDKEVRPNEVTIASVFSACAKLGALEVGERIESYARDNGLMKNLYVSNTLLEMYARCGKIDAARHVFNEIGKRRNLCSWNSMMMGLAVHGRSNEALQLYDQMLGEGIEPDDVTFVGLILACTHGGLVAKGWQLFQSMETNFSIVPKLEHYGCMVDLLGRAGELQEAYDLVKSMPMKPDSVIWGTLLGACSFHSNVEFAEIAAESLFQVEPWNPGNYVILCNIYASAQRWDGVAKLRKLMKGGQITKAAGYSVIEGEGEIHKFIVEDKSHPRHYEIYALLNEISTKMKLQITEDDFKPELEGLLLMEEM >Potri.002G218700.1.v4.1 pep chromosome:Pop_tri_v4:2:20901419:20902203:1 gene:Potri.002G218700.v4.1 transcript:Potri.002G218700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218700.v4.1 MSVEILDGATIVNFLEDEEAFNAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGVDVETDPDELALVYGSLFVQFDHDLNGTVELEEFKSETKQMMLAMATGMGFLPVQMVLEEDSFLKKAVEWESTKLVA >Potri.011G118200.5.v4.1 pep chromosome:Pop_tri_v4:11:14932881:14933738:-1 gene:Potri.011G118200.v4.1 transcript:Potri.011G118200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118200.v4.1 MLTGSTETAKQASSKTTQDPPTTPTQTSRNYAIPPIKNMPAKRQQNSFKLYERRNNSFKNSLMINTLLPSFANSSNASPVTGFSPRNKPEILSPSLLDFPKLTLSPVTPLNEDPFNKCSPSLGNSSSEEERAIAEKGFYLHPSPISTPRDSEPQLLSLFPVTSPKVSGSSS >Potri.011G118200.4.v4.1 pep chromosome:Pop_tri_v4:11:14933091:14934014:-1 gene:Potri.011G118200.v4.1 transcript:Potri.011G118200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118200.v4.1 METSARFQETRNPSPINSPHGNGSNNGVQVHTSTVTPIPISRSDTNPYPTTFVQADTSTFKQVVQMLTGSTETAKQASSKTTQDPPTTPTQTSRNYAIPPIKNMPAKRQQNSFKLYERRNNSFKNSLMINTLLPSFANSSNASPVTGFSPRNKPEILSPSLLDFPKLTLSPVTPLNEDPFNKCSPSLGNSSSEEERAIAEKGFYLHPSPISTPRDSEPQLLSLFPVTSPKVSGSSS >Potri.001G404100.1.v4.1 pep chromosome:Pop_tri_v4:1:43007593:43009259:1 gene:Potri.001G404100.v4.1 transcript:Potri.001G404100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404100.v4.1 MGVQETDPLAQLSLPPGFRFHPTDEELLVQYLCRKVAGHHFSMQIIGEIDLYKFDPWLLPSKAIFGEKEWYFFSPRDRKYPNGSRPNRVAGSGYWKATGTDKIITSDGRKVGIKKALVFYIGKAPKGTKTNWIMHEYRLIESSRKHGSTKLDEWVLCRIYKKKSIAAQKSMSGVSSKEPSTNSPSSSFSSHFDDDVLDPLPEIDDRFLDLPRTNSLKPRQHEEKINLATLGSGSFDWATLAGLNSVPQLVQTQPCVSYSNSNVHDVYVPSMSQLCHMDTSAERMENLVEEEVQSGVRTRLDSVGNPVFFQQNSSVRPQSFSNSFDPYGLRHSIQPGSGFGFNQ >Potri.002G017000.1.v4.1 pep chromosome:Pop_tri_v4:2:1032968:1036687:-1 gene:Potri.002G017000.v4.1 transcript:Potri.002G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G017000.v4.1 MGLCFSRLSFSKFCSHDIHISSTSDEDSDQPKPPKRPAQEHYNPSPPQPPPVATKPAPSSKTSGKSQTTLTPSSTSNIGSILGKPYVDITKIYDLDKELGRGQFGITYLCTEKATQRKYACKSISRGKLVTKKDIEDVKMEILILEHLTGQPNIVEFRGAYEDKQNLYLIMELCSGGELFDRIIAKGSYSEMEAATIIRQIVNVVHVCHFMGVMHRDLKPENFLLASKDDKAPIKATDFGLSVFIEEGKVYSDIVGSAYYIAPEVLKRSYGKEIDVWSAGIILYILLSGVPPFWAETEKGIFDAVLEGNLDLQSPPWPNISSSAKDLIRKMLTRDPKRRITAAQALEHPWMKVDGDASDKPIDSAVLIRMKQFRAMNKLKKLALKVIAENLSEEEIKGLKQMFNNMDTDESGTITYDELKSGLSRLGSKLSGVEIKQLMDAADVDKSGTIDYLEFITATMHRHRLEMEENLYKAFQYFDKDGSGFISRDELRQAMAQYGMGDDATINEVIEDVDTDKDGKINYEEFASMMRKGTQDYEINLI >Potri.001G274300.1.v4.1 pep chromosome:Pop_tri_v4:1:28788971:28792311:-1 gene:Potri.001G274300.v4.1 transcript:Potri.001G274300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274300.v4.1 MAKRLIPTFNRILVEKIIPPSKTNSGILLPEKTSKLNSGKVVAVGPGARDKDGKLIPVTLKEGETVLLPEYGGTEVKLGEKEYFLYRDEDIMGTLHD >Potri.001G274300.2.v4.1 pep chromosome:Pop_tri_v4:1:28790973:28792212:-1 gene:Potri.001G274300.v4.1 transcript:Potri.001G274300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274300.v4.1 MAKRLIPTFNRILVEKIIPPSKTNSGILLPEKTSKLNSGKVVAVGPGARDKDGKLIPVTLKEGETVLLPEYGGTEVKLGEKE >Potri.006G197000.1.v4.1 pep chromosome:Pop_tri_v4:6:20489388:20492113:-1 gene:Potri.006G197000.v4.1 transcript:Potri.006G197000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G197000.v4.1 MRGRSYDYSPSPPRGYGRRHRNPSPRGRDGGRGRDLPTSLLVRNLRHDCRPEDLRGLFGRFGQLKDIYLPRDYYTGEPRGFGFVQYLESADAADAKHHLDGEILLGQELTVVFAEENRKKPAEMRQRDRVRGGRYYDQRRSPSPLYGRSYSHSPVYYSPSPRRRHYSRSISPRDRSPYSRSPHGSRSYSRSPVRSWSPYGSRSRSRSLEDSR >Potri.010G236850.1.v4.1 pep chromosome:Pop_tri_v4:10:21683272:21684848:1 gene:Potri.010G236850.v4.1 transcript:Potri.010G236850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236850.v4.1 MAVKVAAAFIFMLFFLTTACQAKLSSTFYHKSCPNAESAIRTAIRTAIARERRMAASLIRLHFHDCFVQGCDASILLDETSSIKSEKTAGANKNSARGYEVIDKAKAEVEKICPGVVSCADIIAVAARDASAYVGGPSWAVKLGRRDSTTASPTLAITELPAFSDDLGRLISRFQQKGLTARDMVALSGSHSLGQAQCFTFRDRIHSDNNIDAGFASTRKRRCPLVGSDSTLAPLDLVTPNSFDNNYFKNLMQKKGLLQSDQELFSGGSTDSIVSEYSRNPAKFSSDFASAMIKMGDISPLTGTAGQIRRICSAVN >Potri.001G469000.1.v4.1 pep chromosome:Pop_tri_v4:1:49309066:49312647:1 gene:Potri.001G469000.v4.1 transcript:Potri.001G469000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469000.v4.1 MRLLRLSPLALSVLLLYLFQTCAAKKSYIVYMGESSFSPLSSTGESSSELDVQHMTKSHFDLLGSCLESKENVQDVMIYSYTKCINGFAANLNEAQVAAMKGNPGVISVFENKERMLHTTHSWEFMGFEANGAPTLSSLQKKANFGEGVIIANLDTGVWPESKSFNDEGMGPVPSRWKGTCQAGGGFKCNKKLIGARYFNKGFASASPTPIPTEWNTARDTEGHGSHTLSTAGGSFVPGASIFGYGNGTAKGGSPKAHVAAYKVCWPSDNGGCFDADILAAFDAAIGDGVDVISMSLGPHQAVEFLQDGMAIGSFNAIKKGIPVVASAGNSGPVAGSVAHGAPWLFTIGASTLDREFSATVTLGNKKFFKGSSVASKGLPAGKFYPLINAAEARLPTAPAADAQLCQNGTLDPKKVAGKIIVCLRGINSRVVKGHEAELAGAVGMILANDEESGSEILSDPHMLPAAHLTFTDGQAVMNYIKSTKNPTASISPVHTDLGVVPNPVMAAFSSRGPSLIEPAILKPDVTAPGVDVIAAYTEALGPSELPFDKRRTPYITMSGTSMSCPHVSGIVGLLRAIHPDWSPAALKSAIMTTAKTISNSKKRILDADGQPATPFAYGAGHVNPNRAADPGLVYDTNEIDYLNFLCAHGYNSTFIIEFSGVPYKCPENASLAEFNYPSITVPDLNGPVTVTRRVKNVGAPGTYTVKAKAPPEVSVVVEPSSLEFKKAGEEKIFKVTFKPVVNGMPKDYTFGHLTWSDSNGHHVKSPLVVKHA >Potri.016G068500.2.v4.1 pep chromosome:Pop_tri_v4:16:4863501:4866052:-1 gene:Potri.016G068500.v4.1 transcript:Potri.016G068500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068500.v4.1 MEEFSSHYPQEESAAAASMEEIQLQHHMAFSNNNTNHHLMQQYPTQLLSYDHSSNWDPNIIQFQEMHQVLDQNSSFDATANTQSSLPPDLLNLFNLPRCTSTSTLLPNSSISFTNPAHKAPLGFMGVDNTSARFDPYTLAPQPHLFRELVQSLPPHGYTLPTPLFGGGQGDDHVDGQSGGGLSYQDGDHGDGVFEFTDEMACIGKGIKKTGKVTKHFATERQRREHLNGKYTALRNLVPNPSKNDRASVVGEAIDYIKELLRTVQELKLLVEKKRCGRERSKWRKTEDDGGVEVLDNSDIKVEPDQSAYSNGSLRSSWLQRKSKDTEVDVRLIEDEVTIKLVQRKRVNCLLYVSKVLDELQLDLHHAAGGLIGDYYSFLFNTKINEGSCVYASAIANRLIEVVDRQYASSTTTVPAAGSCY >Potri.016G068500.1.v4.1 pep chromosome:Pop_tri_v4:16:4863501:4866052:-1 gene:Potri.016G068500.v4.1 transcript:Potri.016G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G068500.v4.1 MYVETACFEPNNSMVEDVTDDGFCHAIPLMAGNSTTNSFEEHLKLSMEEFSSHYPQEESAAAASMEEIQLQHHMAFSNNNTNHHLMQQYPTQLLSYDHSSNWDPNIIQFQEMHQVLDQNSSFDATANTQSSLPPDLLNLFNLPRCTSTSTLLPNSSISFTNPAHKAPLGFMGVDNTSARFDPYTLAPQPHLFRELVQSLPPHGYTLPTPLFGGGQGDDHVDGQSGGGLSYQDGDHGDGVFEFTDEMACIGKGIKKTGKVTKHFATERQRREHLNGKYTALRNLVPNPSKNDRASVVGEAIDYIKELLRTVQELKLLVEKKRCGRERSKWRKTEDDGGVEVLDNSDIKVEPDQSAYSNGSLRSSWLQRKSKDTEVDVRLIEDEVTIKLVQRKRVNCLLYVSKVLDELQLDLHHAAGGLIGDYYSFLFNTKINEGSCVYASAIANRLIEVVDRQYASSTTTVPAAGSCY >Potri.014G149400.1.v4.1 pep chromosome:Pop_tri_v4:14:10282982:10286731:-1 gene:Potri.014G149400.v4.1 transcript:Potri.014G149400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149400.v4.1 MEEDFEFPTGSNNMELEDEMDMGIPDPDEAVIKVGEEKEIGKNGLKKKLVKEGEGWDTPSAGDEVEVHYTGTLVDGTQFDSSRERGTPFKFKLGQGQVIKGWDEGIKTMKRGENAVFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKIIVEGEKWDNPKDLDEVFVKYEARLENGTVVSKSDGVEFTVGEGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGENGRTAAGDEGAVPPNATLEIMLELLSWKTVSDVMKDKKVMKKILKEGEGYERPDDGTVVQVKLIGKLEDGTIFVKKGHEEEPPFEFKIDEEQVIDGLDRAVKTMKKGEVALVTIQPEYAFGRSESQQDLATVPVNATVYYEVEMISFTKEKESWDMNTQEKIEAAGKKKEEGNAWFKAGKYERASRRYEKAAKFIEYDSSFTDEEKQQSKVLKISCKLNNAACKLKLKDYKEAEKLCSKVLELDGKNVKALYRRAQAYIQLVDLDLADIDIKRALEIDPDNRDVKLEYKILKDKVREYNKKEAQFYSNIFAKMNKLEQTNSTVNRQNPNLFKSVVMVTLCLLLTVFLVYGVWYGNADGSKAGSNANDHR >Potri.014G149400.2.v4.1 pep chromosome:Pop_tri_v4:14:10283020:10286700:-1 gene:Potri.014G149400.v4.1 transcript:Potri.014G149400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149400.v4.1 MEEDFEFPTGSNNMELEDEMDMGIPDPDEAVIKVGEEKEIGKNGLKKKLVKEGEGWDTPSAGDEVEVHYTGTLVDGTQFDSSRERGTPFKFKLGQGQVIKGWDEGIKTMKRGENAVFTIPPELAYGESGSPPTIPPNATLQFDVELLSWTSVKDICKDGGIFKKIIVEGEKWDNPKDLDEVFVKYEARLENGTVVSKSDGVEFTVGEGYFCPALAKAVKTMKKGEKVLLTVKPQYGFGENGRTAAGDEGAVPPNATLEIMLELLSWKTVSDVMKDKKVMKKILKEGEGYERPDDGTVVQVKLIGKLEDGTIFVKKGHEEEPPFEFKIDEEQVIDGLDRAVKTMKKGEVALVTIQPEYAFGRSESQQDLATVPVNATVYYEVEMISFTKEKESWDMNTQEKIEAAGKKKEEGNAWFKAGKYERASRRYEKAAKFIEYDSSFTDEEKQQSKVLKISCKLNNAACKLKLKDYKEAEKLCSKVLELDGKNVKALYRRAQAYIQLVDLDLADIDIKRALEIDPDNRDVKLEYKILKDKVREYNKKEAQFYSNIFAKMNKLEQTNSTMAAKQDPMPMTIDSKA >Potri.002G130500.1.v4.1 pep chromosome:Pop_tri_v4:2:9901017:9902431:1 gene:Potri.002G130500.v4.1 transcript:Potri.002G130500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G130500.v4.1 MSSLVSKSQSSLSKPEDINNSTNTHTKDQEILINSVTSFIYLKPVHPTETRDKEEVLQRIRQRKRVNKVRDRLQGFLGWLFSSKSENEVSVKWVDDAFAAP >Potri.002G128900.1.v4.1 pep chromosome:Pop_tri_v4:2:9756500:9757721:1 gene:Potri.002G128900.v4.1 transcript:Potri.002G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128900.v4.1 METMNMCSTSSSDSSASESSFNDNKTPRSTDKSARIKGPWSSEEDMILTGLVERHGPKNWSLISRYIKGRSGKSCRLRWCNQLSPNVEHRPFSPAEDEAILVAHARYGNRWATIARLLPGRTDNAVKNHWNSTLKRRARQRQSQMNLEGNFDSNYGNNYECIDINMTPGSMADGMVREEEDALTALTLAPPGIGGAVCGSNGGMVVERTAESLPAGFWDVMRDVIAREVREYVSSTL >Potri.002G253100.1.v4.1 pep chromosome:Pop_tri_v4:2:24187151:24187678:-1 gene:Potri.002G253100.v4.1 transcript:Potri.002G253100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253100.v4.1 MAPEMIPVRRSDDRCRSGIPVLQLRNRISALLVDSDRSSQIAQSSFLRYYGVDTQTASNGLSAFVLASASSSTFDLIIIDISLPVMNGLGVASYQVYACQGINCKIIGMTGCWCEMHEQAILDAGANKAIEKPFFPATLLPILRELDDEL >Potri.006G214400.1.v4.1 pep chromosome:Pop_tri_v4:6:22087094:22091488:-1 gene:Potri.006G214400.v4.1 transcript:Potri.006G214400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214400.v4.1 MGNSHAHGHHRKFRNNGNVPSPDKTASAPSPSQPVPPSIYQPEHPLIPNSSIHASYMATSLPYSHVDSALRALAAQAEGFGRCAIGGLHGPIYYVTTLLDDGPGSLRDGCRKKEPLWIVFEVSGTIQLGSYLNVSSYKTIDGRGQRIKLTGKGLRLKECEHVIICNLEFEGGRGPDVDGIQIKPKSKHIWIDRCSLRDYDDGLIDINRESTDITVSRCHFAQHDKTILIGADPTHVGDRCIRVTIHHCFFDGTRQRHPRVRFGKVHLYNNYIRNWGIYAVCASVESQIYSQCNIYEAGQKKIAFKYLSEKAADKEEASSGCIRSEGDLFVIGAQAGLMAEDGEFCMFHPSEYYPTWTIEPPTDSLKQVLQHCTGWQCVPRPADHPLAAQ >Potri.002G041100.1.v4.1 pep chromosome:Pop_tri_v4:2:2682607:2683727:1 gene:Potri.002G041100.v4.1 transcript:Potri.002G041100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041100.v4.1 MTGSGSPCGACKFLRRKCVRGCVFAPYFCHEQGAQHFAAIHKVFGASNVSKLLAHLPVSDRSEAAVTISYEAQARLQDPIYGCVSHIFALQQQVVNLQAQLASLKEQAAQSFLNGSATTNPNDKYYGKPSYPQELQSWFHSENSSTVPQLNPNNLTNNMPYCENGIMDPNSMGNYGNSSSSFDSFEEASHSMSSFDMQTDNLQWTYQHADDLQSMAFGYTQHS >Potri.006G184900.9.v4.1 pep chromosome:Pop_tri_v4:6:19109303:19111798:1 gene:Potri.006G184900.v4.1 transcript:Potri.006G184900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184900.v4.1 MEEGSSKPSNSLWDKIRNLKHITMEGYKRKPISHWILLALSIVAMLVAFPASSLLTRVYYSNGGASKWIISWVAVAGWPLTALILFPSYFFLDNSPTPLTFKLLVSYIVLGFLSAADNLMYAYAYAYLPASTAALLASSSLVFSALCGYFIVHNKLNASMVNAIVIITAAMAMIALDSDSDRYDYVTDHQYTMGFIWDILGSALHGLIFALSELVFVKLMGRRSFHVVLEQQVMVSFFGFVFTTIGVILNNDFEGMASEARSFKGGKSSYILVLVWGTITFQLGVLGGTAVLYLASTVMAGVLNAIRVPITAIAAVILLHDPMSGFKILSLLITFWGFTSYIYGNSSASSEESPS >Potri.006G184900.2.v4.1 pep chromosome:Pop_tri_v4:6:19109193:19112159:1 gene:Potri.006G184900.v4.1 transcript:Potri.006G184900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184900.v4.1 MIETRLLQPETIMEEGSSKPSNSLWDKIRNLKHITMEGYKRKPISHWILLALSIVAMLVAFPASSLLTRVYYSNGGASKWIISWVAVAGWPLTALILFPSYFFLDNSPTPLTFKLLVSYIVLGFLSAADNLMYAYAYAYLPASTAALLASSSLVFSALCGYFIVHNKLNASMVNAIVIITAAMAMIALDSDSDRYDYVTDHQYTMGFIWDILGSALHGLIFALSELVFVKLMGRRSFHVVLEQQVMVSFFGFVFTTIGVILNNDFEGMASEARSFKGGKSSYILVLVWGTITFQLGVLGGTAVLYLASTVMAGVLNAIRVPITAIAAVILLHDPMSGFKILSLLITFWGFTSYIYGNSSASSEESPS >Potri.014G116800.1.v4.1 pep chromosome:Pop_tri_v4:14:7845352:7847378:-1 gene:Potri.014G116800.v4.1 transcript:Potri.014G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G116800.v4.1 MAPELVQAALKPAGFTKPASLPSRAYVTFLAGNGDYVKGVVGLAKGLRKVKTAYPLIVAVLPDVPEEHRRILESQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWEFVEYSKMIYLDGDIQVYDNIDHLFDLPDGHFYAVMDCFCEKTWSHTPQYKIGYCQQCPDKVNWPAEMGQPPSLYFNAGMFVFEPSISTYHDLLKTLKVTPPTPFAEQDFLNMYFKDIYKPIPLVYNLVLAMLWRHPDNVELDKVKVVHYCAAGSKPWRYTGKEENMQREDIKMLVEKWWGIYNDESLDYMKFVADGIDAEPVNLQSFIAALYEAGAVQYVTAPSAA >Potri.018G077250.1.v4.1 pep chromosome:Pop_tri_v4:18:9513831:9519797:1 gene:Potri.018G077250.v4.1 transcript:Potri.018G077250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G077250.v4.1 MQLKIDMPRPVHDQELERWKAVKFPVPKLADYGFSEPNKILQKEFYTYAKEDNFNALFGLLSDKLEHVSSEEVLNVIFKHVAASGNSLLHVAASHGGEGVTQLLCHHFPLLITRKNFLGDNALHLAARAGRFDTIQNLVKHVKIHHKTLELASLLRMKNNKGNTPLHDAVIKGCQEVACFLVYEDLEVSYHKNKEDKSPLYLAVESCDEEMIASLIKAMSEGDLEKLADGKPDIMLPEDKKGGNLLHLAASMGFLFGARLLVSRCPVAASQRNDEGNLPIHVACQKGHLEVVRELLTYWFDPMDFINEKGQNILHVAAESGQRKIVDEILRNRDLEALTNEKDYDGNTPLHLAAMYGRPEIVQALVSDKRVDKRIVNNEKLKPSDVVAKLLQGGRFEAPNSDGMNKLIDTKHEDDAARGVWNKSQDVEVRKMREVLKVLVEAGDKTEFDINLNYDLTTLTTEERNRGVGNLLVVAVLVAGVTFAGAITVPGSGSDLSSDSSKNLMRAYIFFDMLAMNFSLIAAIILCQMSLGRTSYVTSSMEVATSLNFYSLLCMGLAYTFILAITVQEHTGFFIIIITLQAYLFFTQLVCSYGLMLSTANSVLSFLRANPFKFMARMERRMDVRTSLTGRE >Potri.018G077250.3.v4.1 pep chromosome:Pop_tri_v4:18:9514012:9519788:1 gene:Potri.018G077250.v4.1 transcript:Potri.018G077250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G077250.v4.1 MQLKIDMPRPVHDQELERWKAVKFPVPKLADYGFSEPNKILQKEFYTYAKEDNFNALFGLLSDKLEHVSSEEVLNVIFKHVAASGNSLLHVAASHGGEGVTQLLCHHFPLLITRKNFLGDNALHLAARAGRFDTIQNLVKHVKIHHKTLELASLLRMKNNKGNTPLHDAVIKGCQEVACFLVYEDLEVSYHKNKEDKSPLYLAVESCDEEMIASLIKAMSEGDLEKLADGKPDIMLPEDKKGGNLLHLAASMGFLFGARLLVSRCPVAASQRNDEGNLPIHVACQKGHLEVVRELLTYWFDPMDFINEKGQNILHVAAESGQRKIVDEILRNRDLEALTNEKDYDGNTPLHLAAMYGRPEIVQALVSDKRVDKRIVNNEKLKPSDVVAKLLQGGRFEAPNSDGMNKLIDTKHEDDAARGVWNKSQDVEVRKMREVLKVLVEAGDKTEFDINLNYDLTTLTTEERNRGVGNLLVVAVLVAGVTFAGAITVPGSGSDLSSDSSKNLMRAYIFFDMLAMNFSLIAAIILCQMSLGRTSYVTSSMEVATSLNFYSLLCMGLAYTFILAITVQEHTGFFIIIITLQAYLFFTQLVCSYGLMLSTANSVLSFLRANPFKFMARMERRMDVRTSLTGRE >Potri.012G009345.1.v4.1 pep chromosome:Pop_tri_v4:12:440412:441301:1 gene:Potri.012G009345.v4.1 transcript:Potri.012G009345.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G009345.v4.1 MGAETVVRVATYGAWVKPEQTSGSDSTSSPLPSYTGSEEQHHLQRRKRCNPVGFSFSSWSRIYFFFSLFWICFVLGFAFFSASLFSAGCSSGRRFSVGNGAASVGAAGGRRTAVGR >Potri.009G010900.4.v4.1 pep chromosome:Pop_tri_v4:9:2110621:2115510:1 gene:Potri.009G010900.v4.1 transcript:Potri.009G010900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010900.v4.1 MGLIRNSTMKSGDYLEGMLSDYVGGKAKSKVQRSSSARLVTALTCLQFAFAVYATFLLYYMSPTIDLRTKPDFAWATRIAQQWKHFIIPPHVLGRYQEAASLVTAEIGPINPSEVCEHEKIDFQQKKSNDAQMIKLKRELYDEVLDFQSKSTGTETLSELMAMKSKWDLRGPNKPRVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLSFGSPNELSLKRIVNSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGRKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKTLFIEAPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKAFSTGYVTQWAAMHPQKIDALFYAHSVDEVKALAPLIEKFRSTVGKKAYIVVSGGNFCPCEDAATALNWPKIVCKERRFKIFDLAVAAQTEISNSEVPVIQAVYSSVKGLIKIHNPSVLIAVNDIDPNVKKALKMATETNTNGTTMVLLPRPSISKVLWMADLRSTALPNWNKMRISVNIITQNRAPSLTRLLKSLSDAYYVGDEIPISFNVDSKVDEETIRLVSSFNWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPFYYLWIKYALLAYHYDPQVSLPELSSISLYTPKLVEVVKERPRWNATEFFKRIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKTDFEVPLLKEDFRSFLPNGKFPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLKCDNATEIVSVDHETGLPKQCAKF >Potri.009G010900.5.v4.1 pep chromosome:Pop_tri_v4:9:2110531:2115423:1 gene:Potri.009G010900.v4.1 transcript:Potri.009G010900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010900.v4.1 MGLIRNSTMKSGDYLEGMLSDYVGGKAKSKVQRSSSARLVTALTCLQFAFAVYATFLLYYMSPTIDLRTKPDFAWATRIAQQWKHFIIPPHVLGRYQEAASLVTAEIGPINPSEVCEHEKIDFQQKKSNDAQMIKLKRELYDEVLDFQSKSTGTETLSELMAMKSKWDLRGPNKPRVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLSFGSPNELSLKRIVNSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGRKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKTLFIEAPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKAFSTGYVTQWAAMHPQKIDALFYAHSVDEVKALAPLIEKFRSTVGKKAYIVVSGGNFCPCEDAATALNWPKIVCKERRFKIFDLAVAAQTEISNSEVPVIQAVYSSVKGLIKIHNPSVLIAVNDIDPNVKKALKMATETNTNGTTMVLLPRPSISKVLWMADLRSTALPNWNKMRISVNIITQNRAPSLTRLLKSLSDAYYVGDEIPISFNVDSKVDEETIRLVSSFNWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPFYYLWIKYALLAYHYDPQVSLPELSSISLYTPKLVEVVKERPRWNATEFFKRIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKTDFEVPLLKEDFRSFLPNGKFPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLKCDNATEIVSVDHETGLPKQCAKF >Potri.009G010900.3.v4.1 pep chromosome:Pop_tri_v4:9:2110824:2115511:1 gene:Potri.009G010900.v4.1 transcript:Potri.009G010900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010900.v4.1 MGLIRNSTMKSGDYLEGMLSDYVGGKAKSKVQRSSSARLVTALTCLQFAFAVYATFLLYYMSPTIDLRTKPDFAWATRIAQQWKHFIIPPHVLGRYQEAASLVTAEIGPINPSEVCEHEKIDFQQKKSNDAQMIKLKRELYDEVLDFQSKSTGTETLSELMAMKSKWDLRGPNKPRVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLSFGSPNELSLKRIVNSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGRKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKTLFIEAPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKAFSTGYVTQWAAMHPQKIDALFYAHSVDEVKALAPLIEKFRSTVGKKAYIVVSGGNFCPCEDAATALNWPKIVCKERRFKIFDLAVAAQTEISNSEVPVIQAVYSSVKGLIKIHNPSVLIAVNDIDPNVKKALKMATETNTNGTTMVLLPRPSISKVLWMADLRSTALPNWNKMRISVNIITQNRAPSLTRLLKSLSDAYYVGDEIPISFNVDSKVDEETIRLVSSFNWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPFYYLWIKYALLAYHYDPQVSLPELSSISLYTPKLVEVVKERPRWNATEFFKRIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKTDFEVPLLKEDFRSFLPNGKFPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLKCDNATEIVSVDHETGLPKQCAKF >Potri.009G010900.2.v4.1 pep chromosome:Pop_tri_v4:9:2109792:2115626:1 gene:Potri.009G010900.v4.1 transcript:Potri.009G010900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010900.v4.1 MGLIRNSTMKSGDYLEGMLSDYVGGKAKSKVQRSSSARLVTALTCLQFAFAVYATFLLYYMSPTIDLRTKPDFAWATRIAQQWKHFIIPPHVLGRYQEAASLVTAEIGPINPSEVCEHEKIDFQQKKSNDAQMIKLKRELYDEVLDFQSKSTGTETLSELMAMKSKWDLRGPNKPRVTVILNHFKRKTLCAQLDSLLHQTLPFHHVWVLSFGSPNELSLKRIVNSYNDSRISFISSSYDFKYYGRFQMALQTEADLVYIVDDDMIPGRKMLQILSHVAGTEKYKNSVLGSIGRILPFRQKDFTFPSYRKFRSKEAGLYLPDPAYDITVDKIVQVDFLSSSWFLSAELVKTLFIEAPMTFMTGEDLHLSYQLQKYRNAGSFVLPVDPNDKETWGDSEHRLAYVSETTVIFKDIVQVRDDQWWKAFSTGYVTQWAAMHPQKIDALFYAHSVDEVKALAPLIEKFRSTVGKKAYIVVSGGNFCPCEDAATALNWPKIVCKERRFKIFDLAVAAQTEISNSEVPVIQAVYSSVKGLIKIHNPSVLIAVNDIDPNVKKALKMATETNTNGTTMVLLPRPSISKVLWMADLRSTALPNWNKMRISVNIITQNRAPSLTRLLKSLSDAYYVGDEIPISFNVDSKVDEETIRLVSSFNWPHGPKTLRRRIIQGGLIRAVSESWYPSSDDDYGLLLEDDIEVSPFYYLWIKYALLAYHYDPQVSLPELSSISLYTPKLVEVVKERPRWNATEFFKRIHPNTPYLHQLPCSWGAVFFPKQWREFYVYMNMRFTEDAKANPVQIPKSRTNGWQASWKKFLIDMMYLRGYVSLYPNFPNQASFSTNHMEPGAHISAKDNVVKHDKTDFEVPLLKEDFRSFLPNGKFPPASKLPSLNLFNQPVSLKGLKAAGAKLGQDVLKCDNATEIVSVDHETGLPKQCAKF >Potri.001G268200.7.v4.1 pep chromosome:Pop_tri_v4:1:28325153:28326581:1 gene:Potri.001G268200.v4.1 transcript:Potri.001G268200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268200.v4.1 MSKRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTFYQKGICSYGSRCRYEHVKPSRPESTASSSLTVPCQPLGSNSVSLALPARNVSNGVTTVPGVPPELSSRPFIAPTAPEWNLESAQHDFLEDGEVIEPRNVKPADRSICSFAAAGSCPRGDKCPHIHGDLCAYCGKHCLHPFRPEERDEHLKACEKKQKNLDLLKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPTSGMDVNTSLRACPICRKLSYFVIPSVIWYSSKEEKQEIVDTYKAKLR >Potri.001G268200.8.v4.1 pep chromosome:Pop_tri_v4:1:28325641:28328647:1 gene:Potri.001G268200.v4.1 transcript:Potri.001G268200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268200.v4.1 MIVIFNCLLMQICTFYQKGICSYGSRCRYEHVKPSRPESTASSSLTVPCQPLGSNSVSLALPARNVSNGVTTVPGVPPELSSRPFIAPTAPEWNLESAQHDFLEDGEVIEPRNVKPADRSICSFAAAGSCPRGDKCPHIHGDLCAYCGKHCLHPFRPEERDEHLKACEKKQKNLDLLKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPTSGMDVNTSLRACPICRKLSYFVIPSVIWYSSKEEKQEIVDTYKAKLR >Potri.001G268200.6.v4.1 pep chromosome:Pop_tri_v4:1:28324845:28328647:1 gene:Potri.001G268200.v4.1 transcript:Potri.001G268200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268200.v4.1 MSKRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTFYQKGICSYGSRCRYEHVKPSRPESTASSSLTVPCQPLGSNSVSLALPARNVSNGVTTVPGVPPELSSRPFIAPTAPEWNLESAQHDFLEDGEVIEPRNVKPADRSICSFAAAGSCPRGDKCPHIHGDLCAYCGKHCLHPFRPEERDEHLKACEKKQKNLDLLKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPTSGMDVNTSLRACPICRKLSYFVIPSVIWYSSKEEKQEIVDTYKAKLRSIDCKHFDFGNGNCPFGTSCFYKVKCPLGLFIGMLR >Potri.001G268200.5.v4.1 pep chromosome:Pop_tri_v4:1:28324823:28328649:1 gene:Potri.001G268200.v4.1 transcript:Potri.001G268200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268200.v4.1 MGFCFLFLFFFVMVLGVRMSSIDHDFVGFFFFYYYYCCFRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTFYQKGICSYGSRCRYEHVKPSRPESTASSSLTVPCQPLGSNSVSLALPARNVSNGVTTVPGVPPELSSRPFIAPTAPEWNLESAQHDFLEDGEVIEPRNVKPADRSICSFAAAGSCPRGDKCPHIHGDLCAYCGKHCLHPFRPEERDEHLKACEKKQKNLDLLKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPTSGMDVNTSLRACPICRKLSYFVIPSVIWYSSKEEKQEIVDTYKAKLR >Potri.001G268200.1.v4.1 pep chromosome:Pop_tri_v4:1:28324841:28332166:1 gene:Potri.001G268200.v4.1 transcript:Potri.001G268200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268200.v4.1 MSKRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTFYQKGICSYGSRCRYEHVKPSRPESTASSSLTVPCQPLGSNSVSLALPARNVSNGVTTVPGVPPELSSRPFIAPTAPEWNLESAQHDFLEDGEVIEPRNVKPADRSICSFAAAGSCPRGDKCPHIHGDLCAYCGKHCLHPFRPEERDEHLKACEKKQKNLDLLKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPTSGMDVNTSLRACPICRKLSYFVIPSVIWYSSKEEKQEIVDTYKAKLRSIDCKHFDFGNGNCPFGTSCFYKHAYRDGRLEEVVLRHLGTDDGQTVIAKNIWLSDFLGSLQIR >Potri.001G268200.3.v4.1 pep chromosome:Pop_tri_v4:1:28324873:28332159:1 gene:Potri.001G268200.v4.1 transcript:Potri.001G268200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268200.v4.1 MSKRVLCKFFAHGACLKGEHCEFSHDWKDPPNNICTFYQKGICSYGSRCRYEHVKPSRPESTASSSLTVPCQPLGSNSVSLALPARNVSNGVTTVPGVPPELSSRPFIAPTAPEWNLESAQHDFLEDGEVIEPRNVKPADRSICSFAAAGSCPRGDKCPHIHGDLCAYCGKHCLHPFRPEERDEHLKACEKKQKNLDLLKYSQEIECSVCLDRVLSKPTAAERKFGLLSECDHPFCISCIRNWRSSSPTSGMDVNTSLRACPICRKLSYFVIPSVIWYSSKEEKQEIVDTYKAKLRSIDCKHFDFGNGNCPFGTSCFYKHAYRDGRLEEVVLRHLGTDDGQTVIAKNIWLSDFLGSLQIR >Potri.007G090900.8.v4.1 pep chromosome:Pop_tri_v4:7:11647629:11650704:1 gene:Potri.007G090900.v4.1 transcript:Potri.007G090900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090900.v4.1 MTNQNVVVADTNSGLNLAITVHVTNSSIFTTAAQKPPAAPGGYISISRKKLLKNLEINGGARINAWVDSMRASSPTHIKSTPSVNEDQSSWILHHPSALEMFEQIIDASKGKQIVMFLDYDGTLSPIVDDPDKAFMSKQMRATVRKLARFFPTAIVSGRCRDKVYNFVRLAELYYAGSHGMDIKGPAKGSKYKKGGDGVVFQAASEFLPMIDEVYEELVEKTKTTPGAKVENNKFCLSVHYRCVDEKKWSGLAQVVKSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKVLRERGQGFGILVSKIPKDTSASYSLQEPTQVMDFLRRLVEWKRLAFQGRSRVV >Potri.007G090900.3.v4.1 pep chromosome:Pop_tri_v4:7:11647628:11650703:1 gene:Potri.007G090900.v4.1 transcript:Potri.007G090900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090900.v4.1 MRATVRKLARFFPTAIVSGRCRDKVYNFVRLAELYYAGSHGMDIKGPAKGSKYKKGGDGVVFQAASEFLPMIDEVYEELVEKTKTTPGAKVENNKFCLSVHYRCVDEKKWSGLAQVVKSVLKEYPKLRLTQGRKVLEIRPTIKWDKGKALEFLLESLGFANCTDVFPVYIGDDRTDEDAFKVLRERGQGFGILVSKIPKDTSASYSLQEPTQVMDFLRRLVEWKRLAFQGRSRVV >Potri.008G036800.3.v4.1 pep chromosome:Pop_tri_v4:8:2031569:2034746:-1 gene:Potri.008G036800.v4.1 transcript:Potri.008G036800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036800.v4.1 MKCFHFSNKERNEEPKTCNQICSARSTSSTSTSMSTDRDLRKSGSEFNSQNVSDFSTDSSTKNSFAALSQRQSNLRVFTFSELKTATKNFSRSVMIGEGGFGGVYRGVIRSMEDSSKKIDIAVKQLGRRGLQGHKEWVTEVNFLGIAEHPNIVKLVGYCAEDDERGVQRLLVYEFMPNKSVQDHLSSKFQKVLPWATRVKIAQDAARGLAYLHEGMDFQIIFRDVKSSNILLDDQWNAKLSDFGLARLGPSDGLSHVSTAVVGTIGYAAPEYIRTGRLTSKSDVWSFGVFLYELITGRRPLDRNRPKNEQKLLEWVRPHLSGARKFRLILDPRLEGKYNIKTAQKLAAVANCCLVRQAKTRPKMSEILEMINKIVDTTDNGSPLLPMKSLAPKDAPERSKRERIKMRFVDPIIGESGCWSAWRTRQ >Potri.008G036800.1.v4.1 pep chromosome:Pop_tri_v4:8:2031608:2034892:-1 gene:Potri.008G036800.v4.1 transcript:Potri.008G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036800.v4.1 MKCFHFSNKERNEEPKTCNQICSARSTSSTSTSMSTDRDLRKSGSEFNSQNVSDFSTDSSTKNSFAALSQRQSNLRVFTFSELKTATKNFSRSVMIGEGGFGGVYRGVIRSMEDSSKKIDIAVKQLGRRGLQGHKEWVTEVNFLGIAEHPNIVKLVGYCAEDDERGVQRLLVYEFMPNKSVQDHLSSKFQKVLPWATRVKIAQDAARGLAYLHEGMDFQIIFRDVKSSNILLDDQWNAKLSDFGLARLGPSDGLSHVSTAVVGTIGYAAPEYIRTGRLTSKSDVWSFGVFLYELITGRRPLDRNRPKNEQKLLEWVRPHLSGARKFRLILDPRLEGKYNIKTAQKLAAVANCCLVRQAKTRPKMSEILEMINKIVDTTDNGSPLLPMKSLAPKDAPERSKRERIKMRFVDPIIGESGCWSAWRTRQ >Potri.018G114300.2.v4.1 pep chromosome:Pop_tri_v4:18:13092901:13100147:-1 gene:Potri.018G114300.v4.1 transcript:Potri.018G114300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114300.v4.1 MAFDQTPTPKDTRPLNIARTIPEEPRIVAAIASATSSSVTTPVTAGRKHEFFASPEGSIPVIYPASVSDAGFVGLGYGNAYSGAAPWAPLMPVPVSVGSMNVGANGSGVPFGYNPNLGNRIVGNAVDRAGNDMVLGSGSSPNFGNRVNVNGSIEAVNTGLGCNTNLGSHASGGADHGSEDGGDDSVSGKKVKFLCSFGGKILPRPSDGTLRYAGGQTRIISVRRDVSINELQRKMMDTYQQPVVIKYQLPDEDLDALVSVSCADDLDNMMEEYEKLLERSSDGSAKLRVFLFSDSQLDTSGSVQFGDLHDSGQRYFDAVNGVVDGGGRITRKGSMASVTSTQNSDFSGTEAVESSGPGQGDVTWSPSTSLLSPGDNLDASHDSTPKLVFADTNPPAYAGVSAVPLGIPLAKSGPPQTSCSQPEVEFERSVPITAQPQHRVHDFQQVGSGILPHAPQSRAYVDPRQENMNQADYRHVPPLMGFPNNHVLGTPGPIFTQQHFHESNAGATSLQYVPAVHMTMTPSGSHMAIRPNVVQPLIQPQQTRLEHYPEENAFGTRIVQVPVDSSYNAYRAQLPPAVVGGYGWTQVPQPEPVVYSDGSVSHQQVLFPEKIPRMEDCYMCQKALPHAHSDPLVPAPRESGMSYSNSLNHSLRLEDTMKAPPMNRVMITGASGERIMEQGAGAQPAVHSHIGTPQSEAIVSSQNLEAPHENERTFLKTDNSGQPKISAPYGMIGLPGDVQSPYGMFAGGIPASRMEDCIQQHSVSMQPQVLLSKPANSDAPHAVAVPIQASEHLVQESPKEYYGKLPGVVSQEDALDSYISCEQLRPVDGMMEALHIRPPEINVNNYQKKSPVDKFKKEEILDHKTQKIAGREVLLDNTFNKPQVVLESNHIKQFEMLPASTEVSYLHISQPMELHEVAQPPILGNKASHPQPKIGVPALDSAEVSYGIPAFSGVEPAFVNDRIPPFAEWKNDSQLHSKVVPSDVEALSSTGNMPSSLSPSGGVGNAQDFSNSLFSSQDPWKSRHDNQFPPPRPNKIATKKEAFTTRDPFIENHSGEVDLITGVLLEDGVSKPLSNSNKDLERAQSSKGSAEELIRQELKAVAEGVAASVFQSDTSNPEQNVSERNEPAYEPNQEKEDSNESVEMQHKAKLEDMKNKLPDKVNFGFPVSEGRGRLQIIKNSDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMRDDFWNEAIKLADLHHPNVVAFYGVVDDGLGGSVATVTEFMVNGSLRNALQKNERNLDKRKRLLIAMDVAFGMGYLHGKNVVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYSDLHYGAIIGGIVSNTLRPPVPETCDPEWRSLMERCWSSEPSDRPNFTEIANDLRAMVAKIPPRGQNPSQ >Potri.018G114300.3.v4.1 pep chromosome:Pop_tri_v4:18:13092837:13100034:-1 gene:Potri.018G114300.v4.1 transcript:Potri.018G114300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G114300.v4.1 MAFDQTPTPKDTRPLNIARTIPEEPRIVAAIASATSSSVTTPVTAGRKHEFFASPEGSIPVIYPASVSDAGFVGLGYGNAYSGAAPWAPLMPVPVSVGSMNVGANGSGVPFGYNPNLGNRIVGNAVDRAGNDMVLGSGSSPNFGNRVNVNGSIEAVNTGLGCNTNLGSHASGGADHGSEDGGDDSVSGKKVKFLCSFGGKILPRPSDGTLRYAGGQTRIISVRRDVSINELQRKMMDTYQQPVVIKYQLPDEDLDALVSVSCADDLDNMMEEYEKLLERSSDGSAKLRVFLFSDSQLDTSGSVQFGDLHDSGQRYFDAVNGVVDGGGRITRKGSMASVTSTQNSDFSGTEAVESSGPGQGDVTWSPSTSLLSPGDNLDASHDSTPKLVFADTNPPAYAGVSAVPLGIPLAKSGPPQTSCSQPEVEFERSVPITAQPQHRVHDFQQVGSGILPHAPQSRAYVDPRQENMNQADYRHVPPLMGFPNNHVLGTPGPIFTQQHFHESNAGATSLQYVPAVHMTMTPSGSHMAIRPNVVQPLIQPQQTRLEHYPEENAFGTRIVQVPVDSSYNAYRAQLPPAVVGGYGWTQVPQPEPVVYSDGSVSHQQVLFPEKIPRMEDCYMCQKALPHAHSDPLVPAPRESGMSYSNSLNHSLRLEDTMKAPPMNRVMITGASGERIMEQGAGAQPAVHSHIGTPQSEAIVSSQNLEAPHENERTFLKTDNSGQPKISAPYGMIGLPGDVQSPYGMFAGGIPASRMEDCIQQHSVSMQPQVLLSKPANSDAPHAVAVPIQASEHLVQESPKEYYGKLPGVVSQEDALDSYISCEQLRPVDGMMEALHIRPPEINVNNYQKKSPVDKFKKEEILDHKTQKIAGREVLLDNTFNKPQVVLESNHIKQFEMLPASTEVSYLHISQPMELHEVAQPPILGNKASHPQPKIGVPALDSAEVSYGIPAFSGVEPAFVNDRIPPFAEWKNDSQLHSKVVPSDVEALSSTGNMPSSLSPSGGVGNAQDFSNSLFSSQDPWKSRHDNQFPPPRPNKIATKKEAFTTRDPFIENHSGEVDLITGVLLEDGVSKPLSNSNKDLERAQSSKGSAEELIRQELKAVAEGVAASVFQSDTSNPEQNVSERNEPAYEPNQEKEDSNESVEMQHKAKLEDMKNKLPDKVNFGFPVSEGRGRLQIIKNSDLEELQELGSGTFGTVYHGKWRGTDVAIKRINDRCFAGKPSEQERMRDDFWNEAIKLADLHHPNVVAFYGVVDDGLGGSVATVTEFMVNGSLRNALQKNESRNLDKRKRLLIAMDVAFGMGYLHGKNVVHFDLKSDNLLVNLRDPHRPICKVGDLGLSKVKCQTLISGGVRGTLPWMAPELLNGSSSLVSEKVDVFSFGIVLWELLTGEEPYSDLHYGAIIGGIVSNTLRPPVPETCDPEWRSLMERCWSSEPSDRPNFTEIANDLRAMVAKIPPRGQNPSQ >Potri.009G151700.1.v4.1 pep chromosome:Pop_tri_v4:9:11948835:11954424:-1 gene:Potri.009G151700.v4.1 transcript:Potri.009G151700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G151700.v4.1 MFNGMMDPELIKIAQEQMSRMTPADFARIQQQVMSNPELVKMASEGMRNMRPEDLKQAAEQLKHTRPEEMAEIGEKMINASPEEIAAMHARADAQATYEMNAAQMLKKQGNELHSQGKFKDASQKYLLAKQNLKGIPSSKGRTLLLACSLNLMSCYLKTKQYDECIKEGSEVLGYDAKNAKALYRRGQAYRELGQLEVAVSDLRKAHEVSPDDETIADILRNAEERLAQEGGYHAPRGVIIEEITEEAETVSENLKSSSARESVDTSKSGREARSGSSTSNPEPLEALKDDPEAMRSFQNFISNANPETLAALSGAKAGEVSPEMFKTASNMIGKMPPEELQKMLQMASSFQGENPLTAGSSDSGFNGFRPGAVPPNVTPDMLKTASDMMSKMPSEELQKMFEMASSLRGNGLAPAAAAALNTEGPSLSARLKPTETQEYFAVNGNNGISETSSSGDFLSSSRNAPPSSFPASTSDMQEQMRNQMKDPAMRQMFTSMMKNLSPEMMANMSEQFGIKLSQEDAAKAQQAMSSFSPEDLDKMMRWADRIQRGAEGAKKAKNWLLGRPGMILAICMLILAVILRWLGFIGR >Potri.006G097901.5.v4.1 pep chromosome:Pop_tri_v4:6:7492510:7496709:-1 gene:Potri.006G097901.v4.1 transcript:Potri.006G097901.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097901.v4.1 MEGREEDARVGQNRYRERQPIGTAAQTQDVRDYTEPPPAPLLEPGELSLWSFYRAGIAEFVATFLFLYITVLTSNCNGGGKIPHQVSHGWNSGHCLVFWWDDFCSCLSHCWHFSSRNYFFKERVCVGNK >Potri.006G097901.7.v4.1 pep chromosome:Pop_tri_v4:6:7488264:7496709:-1 gene:Potri.006G097901.v4.1 transcript:Potri.006G097901.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097901.v4.1 MEGREEDARVGQNRYRERQPIGTAAQTQDVRDYTEPPPAPLLEPGELSLWSFYRAGIAEFVATFLFLYITVLTSNCNGGGKIPHQVSHGWNSGHCLVFWWDDFCSCLSHCWHFSSRNYFFKERVCVGNK >Potri.006G097901.2.v4.1 pep chromosome:Pop_tri_v4:6:7492509:7496709:-1 gene:Potri.006G097901.v4.1 transcript:Potri.006G097901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G097901.v4.1 MEGREEDARVGQNRYRERQPIGTAAQTQDVRDYTEPPPAPLLEPGELSLWSFYRAGIAEFVATFLFLYITVLTSNCNGGGKIPHQVSHGWNSGHCLVFWWDDFCSCLSHCWHFRKTFTGRRLIMLFARRV >Potri.018G105300.3.v4.1 pep chromosome:Pop_tri_v4:18:12448060:12451026:-1 gene:Potri.018G105300.v4.1 transcript:Potri.018G105300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105300.v4.1 MKKMKGVAAAADSPPPSYATMYEDPRIMFKHQSLMQDYEELYKETEAKKRKLQMVRQKKLTLMAEVRFLRRRYKYLTQNKPKKAPMERSFVQPQNLVPASKNLKKEKSYSGNNAALRPPVPRFDLNQKGKLYIEREATLRNSTPIFDLNQKQMTHIGKEAALRKTAPIPDLNQKERIYRGKEATVRNNTPIFDLNEISVFV >Potri.018G105300.2.v4.1 pep chromosome:Pop_tri_v4:18:12448520:12450062:-1 gene:Potri.018G105300.v4.1 transcript:Potri.018G105300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105300.v4.1 MKKMKGVAAAADSPPPSYATMYEDPRIMFKHQSLMQDYEELYKETEAKKRKLQMVRQKKLTLMAEVRFLRRRYKYLTQNKPKKAPMERSFVQPQNLVPASKNLKKEKSYSGNNAALRPPVPRFDLNQKGKLYIEREATLRNSTPIFDLNQKQMTHIGKEAALRKTAPIPDLNQKERIYRGKEATVRNNTPIFDLNEISREDERLMVTC >Potri.002G061800.1.v4.1 pep chromosome:Pop_tri_v4:2:4267642:4268671:1 gene:Potri.002G061800.v4.1 transcript:Potri.002G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061800.v4.1 MHERHFFLDEIVKKARLAIIEKKKERLRGSLDECFNSGLITIYQMMKGFERISESLDDLALDVPDVRNNSQIFTLRELSLQGG >Potri.008G003300.1.v4.1 pep chromosome:Pop_tri_v4:8:121882:125299:-1 gene:Potri.008G003300.v4.1 transcript:Potri.008G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003300.v4.1 MGKKPKEDASGAPSKAKASNKDGKKEKLSVTAMLASMDQKPDKPKKGSSSTLTSSKPKPRSAPSYTDGIDLPPSDDEVEGQGLEEEQQQDGTNKRTNQRRSELKPLDVALSDKELKKREKKELLTAHAIKHAKQEALKDDHDAFTVVIGSRASVLDGEDEGDANVKDITIENFSVSARGNELLKNASVKIAHGRRYGLVGPNGMGKSTLLKLLAWRKIPVPKNIDVLLVEQEVIGDDKTALQAVVSANEELVKLREEVSLLQKSTFAAEGENNGGDDEDDAGERLAELYDKLQLMGSDAAESQASKILAGLGFTKDMQGRPTRSFSGGWRMRISLARALFVQPTLLLLDEPTNHLDLRAVLWLEEYLCRWKKTVVVVSHDRDFLNTVCNDVIHLHDQKLDSYRGNFNDFEVGYEQRRKETNKKFEIYNKQMKAAKRSGSRVQQEKVKDRAKFAAAKETAKNKGKGKVDEDQAPPEAPKKWRDYSVEFHFPEPTELTPPLLQLIEVSFSYPNRDDFKLSNVDVGIDMGTRVAIVGPNGAGKSTLLNLLAGDLVPSEGEVRRSQKLRIGRYSQHFVDLLTMDETPVQYLLYLHPDQEGLSKQEAVRGKLGKFGLPSHNHLTPIAKLSGGQKARVVFTSISMSKPHILLLDEPTNHLDMQSIDALGDALDEFTGGVVLVSHDSRLISRVCEDEEKSEIWVVEDGTVTSYPGTFEEYKEELQREIKAEVDDD >Potri.019G123200.2.v4.1 pep chromosome:Pop_tri_v4:19:14774705:14775714:-1 gene:Potri.019G123200.v4.1 transcript:Potri.019G123200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G123200.v4.1 MKQQLISSFSIFLLFLHCASTFAQIPAAAPAQAPAVVVAPPPAATPTQAAAPHGITNVTKILEKAGHFTIFIRLLRSTQEESHLFSALNDSSTGLTIFAPTDSAFSELKSGTLNTLRDGDKSELVKFHVVPTFLSTSQFQTVSNPLGTWAGTGSRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFASNAPAPAPVAPAPEKPSKAVPAVTVESPAASVDISSALIFTNNILVGSFGLLASAMFSL >Potri.009G025200.1.v4.1 pep chromosome:Pop_tri_v4:9:3690564:3691268:1 gene:Potri.009G025200.v4.1 transcript:Potri.009G025200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025200.v4.1 MNSSSVVVAAMAALMMFLLLAPTSDAAISCSDVIKDLRPCVNYLTSGTGKPPSACCAGASALQSAASSTADKKAACECIKSASKSLNPNPQLAQALPANCGISLPYTISPSVDCSKIS >Potri.005G231100.4.v4.1 pep chromosome:Pop_tri_v4:5:23036959:23039633:1 gene:Potri.005G231100.v4.1 transcript:Potri.005G231100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231100.v4.1 MLQGMAAPVEPPNGVRIQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIHNAFENRVDALRTLRELKLLRHLRHENVIALKDVMMPTQRRSFNDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNGNNQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQSEEDLEFIDNMKAKKYIKSLPYSPGTPFSHLYPNAHPLAIDLLQKMLIFDPSKRITVTGALEHPYMSPLYDPSCNPPAQVPIDLDIDEELGEEMIREMMWKEMLLYHPEAAAANGEVCAPNLVC >Potri.005G231100.2.v4.1 pep chromosome:Pop_tri_v4:5:23036276:23040359:1 gene:Potri.005G231100.v4.1 transcript:Potri.005G231100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231100.v4.1 MAAPVEPPNGVRIQGKHYYSMWQTLFEIDTKYVPIKPIGRGAYGIVCSSVNRETNEKVAIKKIHNAFENRVDALRTLRELKLLRHLRHENVIALKDVMMPTQRRSFNDVYLVYELMDTDLHQIIKSSQALSNDHCQYFLFQLLRGLKYLHSANILHRDLKPGNLLINANCDLKICDFGLARTSNGNNQFMTEYVVTRWYRAPELLLCCDNYGTSIDVWSVGCIFAELLGRKPIFPGTECLNQLKLIINILGSQSEEDLEFIDNMKAKKYIKSLPYSPGTPFSHLYPNAHPLAIDLLQKMLIFDPSKRITVTGALEHPYMSPLYDPSCNPPAQVPIDLDIDEELGEEMIREMMWKEMLLYHPEAAAANGEVCAPNLVC >Potri.013G126200.1.v4.1 pep chromosome:Pop_tri_v4:13:13377524:13380592:1 gene:Potri.013G126200.v4.1 transcript:Potri.013G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126200.v4.1 MAWKGIWQLKKLVVSYCDWGGSSRGIRAFIESNLPAYKDSNPQLEVITELSRGQHPCLKAFYKNKNERVVCVKNLASEDVLLHATRLRNALGRKVKKLPTRHVTKHPSVQGTWTTDVRF >Potri.008G154600.9.v4.1 pep chromosome:Pop_tri_v4:8:10594597:10597280:-1 gene:Potri.008G154600.v4.1 transcript:Potri.008G154600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154600.v4.1 MSQHSSIPSPTDKFRKPTSFPKLLTAFTFKNFSETSEAIMSPTSILDSKPFSGLKNPFWPDPNPSPKTPEPETRRHWDKLDAKGIGLGIVDALDDEKTDSNLSKPESRTVLFGSQLKIQIPPFPPSFLSTTDQSPKSPGEFGIKTRNSQLGSFSSGYSPSPVKKSLFGSANSGMETPNSPRVFAGCLSASEMELSEDYTCVITHGPVPRTTHIFDNCIVESCCGVVGFSTSLKKDNNRFLGDGSSYPPNNFLSFCSACKNNLEQGKDIYMYRGERAFCSSECRYQAMQLEEGIDGVDPDDA >Potri.008G154600.6.v4.1 pep chromosome:Pop_tri_v4:8:10594597:10596901:-1 gene:Potri.008G154600.v4.1 transcript:Potri.008G154600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154600.v4.1 MSQHSSIPSPTDKFRKPTSFPKLLTAFTFKNFSETSEAIMSPTSILDSKPFSGLKNPFWPDPNPSPKTPEPETRRHWDKLDAKGIGLGIVDALDDEKTDSNLSKPESRTVLFGSQLKIQIPPFPPSFLSTTDQSPKSPGEFGIKTRNSQLGSFSSGYSPSPVKKSLFGSANSGMETPNSPRVFAGCLSASEMELSEDYTCVITHGPVPRTTHIFDNCIVESCCGVVGFSTSLKKDNNRFLGDGSSYPPNNFLSFCSACKNNLEQGKDIYMYRGERAFCSSECRYQAMQLEEGIDGVDPDDA >Potri.008G154600.5.v4.1 pep chromosome:Pop_tri_v4:8:10594597:10596914:-1 gene:Potri.008G154600.v4.1 transcript:Potri.008G154600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154600.v4.1 MSQHSSIPSPTDKFRKPTSFPKLLTAFTFKNFSETSEAIMSPTSILDSKPFSGLKNPFWPDPNPSPKTPEPETRRHWDKLDAKGIGLGIVDALDDEKTDSNLSKPESRTVLFGSQLKIQIPPFPPSFLSTTDQSPKSPGEFGIKTRNSQLGSFSSGYSPSPVKKSLFGSANSGMETPNSPRVFAGCLSASEMELSEDYTCVITHGPVPRTTHIFDNCIVESCCGVVGFSTSLKKDNNRFLGDGSSYPPNNFLSFCSACKNNLEQGKDIYMYRGERAFCSSECRYQAMQLEEGIDGVDPDDA >Potri.008G154600.7.v4.1 pep chromosome:Pop_tri_v4:8:10594598:10596932:-1 gene:Potri.008G154600.v4.1 transcript:Potri.008G154600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154600.v4.1 MSQHSSIPSPTDKFRKPTSFPKLLTAFTFKNFSETSEAIMSPTSILDSKPFSGLKNPFWPDPNPSPKTPEPETRRHWDKLDAKGIGLGIVDALDDEKTDSNLSKPESRTVLFGSQLKIQIPPFPPSFLSTTDQSPKSPGEFGIKTRNSQLGSFSSGYSPSPVKKSLFGSANSGMETPNSPRVFAGCLSASEMELSEDYTCVITHGPVPRTTHIFDNCIVESCCGVVGFSTSLKKDNNRFLGDGSSYPPNNFLSFCSACKNNLEQGKDIYMYRGERAFCSSECRYQAMQLEEGIDGVDPDDA >Potri.017G079400.1.v4.1 pep chromosome:Pop_tri_v4:17:8787369:8791044:1 gene:Potri.017G079400.v4.1 transcript:Potri.017G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G079400.v4.1 MVSASPLQKLYNTCNEVFDSCSAGIIPSPDNIQKLKAVLDDFKPADVGLSPEMPHFRASVAGETPVIRYIYIHECEKFSIGIFCLPPSSAIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDVPPSTSAVVSPSKSKQPVVQQPEARLAEVKVNSNFTAPCNTSILYPTDGGNMHCFTAVTACAVLDVLGPPYGSDSDGRHCQFYFDFPFSNISVDGLSLPEGGKEGFAWLQERKKPEDLIVVGELYGDPTTVET >Potri.006G002100.1.v4.1 pep chromosome:Pop_tri_v4:6:191087:194228:1 gene:Potri.006G002100.v4.1 transcript:Potri.006G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002100.v4.1 MSRLPRTLLYLVFVVILLSGSFPVGESAIGVNWGTISFHRLKPSTVVDLLKDNKIKKVKLFDADPDALTALVGSGIEVMVGIPNEMLAVLSSSTDASDLWVRQNVSRYVVKGGADIRYVAVGNEPFLTSYSGQFQSFVVPALLNLQQSLVKANLAGYVKLVVPCNADAYESSLPSQGAFRPELTQIMTQLVSFLNSNGSPFVVNIYPFLSLYGHSDFPQDYAFFEGSTHPVTDGSNVYYNAFDGNFDTLVSALNKLGYGQMPIVIGEVGWPTDGAFGANLSAARFFNQGLINHVLSNKGTPLRPRVPPVDIYLFSLLDEGAKSVLPGNFERHWGIFSFDGQAKYALNLGLGNRLLKNAKNVEYLPSRWCVADPSKDLTNVANHMRIACSVADCTTLDYGGSCNGIGAKGNISYAFNSYYQLQMQNAQSCDFDGLGMVTFLDPSVGDCRFLVGVTDHKKSIAFRPTHRRTTIWTSILWWVWFFLML >Potri.001G048900.2.v4.1 pep chromosome:Pop_tri_v4:1:3653061:3660509:1 gene:Potri.001G048900.v4.1 transcript:Potri.001G048900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048900.v4.1 MESADIYRASSSLRDSLRAGSFVWRNSTVEAFSRSSREEDDEEALKWAALEKLPTYDRLRKGILMSASRGVSSEVDIEKLGVQERKQLLERLVKAADEDNEKFLWKLKNRIERVGIEFPTIEVRYEHLNIGAEAYVGSGALPSFAKFTFSIIEDLLIALRIIPSRKKPLTILKDVSGIIKPSRLTLLLGPPSSGKTTLLLALAGKLDPSLKYSGRVTYNGHGMNEFVPQRTASYTSQQDLHIGEMTVRETLAFSARCQGVGNLHDMLAELSRREKEANIKPDPDIDVFLKAVATQGQEANVITDYVLKILGLEVCADTLVGDEMIRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTHQIVNSLKQTIHILNYTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLGFFEHLGFQCPERKGVADFLQEVTSRKDQEKYWARKDQPYRFVTVNEFAEAFQSLSVGRRVIEELSIPFDKTKNHPAALVNKKYGAGKMDLLKANFSREFLLMKRNSFVYIFKIFQLTMMAIISMTLFFRTKMPRDTVEDGGIYAGALFFTAIMIMFNGMAELSMTIAKLPVFYKQRELLFFPPWTYSIPPWILKIPITFVEVAAWVFLTYYVIGFDPNIGRFFKLYAVLVLINQMASALFRFIAAAGRNMIVANTFGSFVLLAVFALGGVILSREQIKKWWIWGYWASPLMYGQNAIVVNEFLGNSWSHIPAGSTESLGIQVLKSREFFTEAYWYWIGIGATAGFILLFNVCFVVALTVLDAYEKPQAVISEEPESGDSEGAVQLSNRGISHQTNTDSIGEASNNRKKGMVLPFEPHSITFDDVIYSVDMPQEMKVQGVAEDRLALLKGVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKKQETFARISGYCEQNDIHSPQVTVYESLLYSAWLRLPSEVDSETRKMFIEEVMDLVELNPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPVGRHSTHLIKYFEEIEGVSKIKDGYNPATWMLEVTSSSQEMALGVDFANIYKNSNLLRRNKALIAELSTPAPGSKDIYFSTQYSTSFFTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIGLMFGTMFWDLGSKVGTAQDLSNAMGSMYAAVLFLGFQNGSAVQPVVAVERTVFYRERAAGMYSALPYAFAQVLIEIPYVFVQSAVYGVIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMSVAVTPNHHIAAIVSTAFYLIWNLFSGYIVPRPRIPIWWRWYYWACPVSWSLYGLVVSQYGDIQKNLTETETVKQYVKNYFGFDHDFVGVVAAAVLGWTVLFAFIFAFSIRAFNFQRR >Potri.001G048900.4.v4.1 pep chromosome:Pop_tri_v4:1:3653134:3660483:1 gene:Potri.001G048900.v4.1 transcript:Potri.001G048900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048900.v4.1 MESADIYRASSSLRDSLRAGSFVWRNSTVEAFSRSSREEDDEEALKWAALEKLPTYDRLRKGILMSASRGVSSEVDIEKLGVQERKQLLERLVKAADEDNEKFLWKLKNRIERVGIEFPTIEVRYEHLNIGAEAYVGSGALPSFAKFTFSIIEDLLIALRIIPSRKKPLTILKDVSGIIKPSRLTLLLGPPSSGKTTLLLALAGKLDPSLKYSGRVTYNGHGMNEFVPQRTASYTSQQDLHIGEMTVRETLAFSARCQGVGNLHDMLAELSRREKEANIKPDPDIDVFLKAVATQGQEANVITDYVLKILGLEVCADTLVGDEMIRGISGGQRKRVTTGEMLVGPSRALFMDEISTGLDSSTTHQIVNSLKQTIHILNYTAVISLLQPAPETYDLFDDIILLSDGQIVYQGPRENVLGFFEHLGFQCPERKGVADFLQEVTSRKDQEKYWARKDQPYRFVTVNEFAEAFQSLSVGRRVIEELSIPFDKTKNHPAALVNKKYGAGKMDLLKANFSREFLLMKRNSFVYIFKIFQLTMMAIISMTLFFRTKMPRDTVEDGGIYAGALFFTAIMIMFNGMAELSMTIAKLPVFYKQRELLFFPPWTYSIPPWILKIPITFVEVAAWVFLTYYVIGFDPNIGRFFKLYAVLVLINQMASALFRFIAAAGRNMIVANTFGSFVLLAVFALGGVILSREQIKKWWIWGYWASPLMYGQNAIVVNEFLGNSWSHIPAGSTESLGIQVLKSREFFTEAYWYWIGIGATAGFILLFNVCFVVALTVLDAYEKPQAVISEEPESGDSEGAVQLSNRGISHQTNTATSGVEINRSGSDSIGEASNNRKKGMVLPFEPHSITFDDVIYSVDMPQEMKVQGVAEDRLALLKGVSGAFRPGILTALMGVSGAGKTTLMDVLAGRKTGGYIEGDIKISGYPKKQETFARISGYCEQNDIHSPQVTVYESLLYSAWLRLPSEVDSETRKMFIEEVMDLVELNPLRSALVGLPGVNGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFDAFDELFLMKRGGEEIYVGPVGRHSTHLIKYFEEIEGVSKIKDGYNPATWMLEVTSSSQEMALGVDFANIYKNSNLLRRNKALIAELSTPAPGSKDIYFSTQYSTSFFTQCMACLWKQHWSYWRNPPYTAVRFLFTTFIGLMFGTMFWDLGSKVGTAQDLSNAMGSMYAAVLFLGFQNGSAVQPVVAVERTVFYRERAAGMYSALPYAFAQVLIEIPYVFVQSAVYGVIVYAMIGFEWTAAKFFWYLFFMYFTLLYFTFYGMMSVAVTPNHHIAAIVSTAFYLIWNLFSGYIVPRPRIPIWWRWYYWACPVSWSLYGLVVSQYGDIQKNLTETETVKQYVKNYFGFDHDFVGVVAAAVLGWTVLFAFIFAFSIRAFNFQRR >Potri.010G219800.1.v4.1 pep chromosome:Pop_tri_v4:10:20534441:20539302:1 gene:Potri.010G219800.v4.1 transcript:Potri.010G219800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219800.v4.1 MDDEASNSSSGNNNNNNKNLTNDNNNKSPVLGGFLDASKAEKSVWLMKCPSIVSRFLRSQEHEVGDGDASSPPVAKVIVSVDPLKSNDDDNSATEFTMEMAGTGPGDGLKSYSMEMSKDLVDMSVFSESSQGKLSVEGRILNKFDVRPHSENLENYRKICRERTKKYMVKSRQIKVIDNDTGSHMMPMPGMIISGLADKKKLPIKASDMKRTRRDRREMEGIMFKLFEKQPNWTLKQLVQETDQPEQFVKDMLKDLCVYNNKGSNQGSYELKPEYKKSNEEPAPE >Potri.009G064300.1.v4.1 pep chromosome:Pop_tri_v4:9:6522498:6524049:-1 gene:Potri.009G064300.v4.1 transcript:Potri.009G064300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064300.v4.1 MSALLTTLALLFTLIYTVTSSCTPSDLAALLAFKSSLNEPYLGIFNTWSGTNCCSNWYGISCDPTTGRVADINLRGESEDPIFEKAGRSGYMTGSINPSLCKLDRLSTLILADWKGVSGEIPGCVASLSNLRILDLIGNQISGKIPANIGNLQRLTVLNLADNGLTGEIPASLTALANMKHLDLSSNKLTGQLPADFGNLKMLSRALLSKNQLSGAIPNSISGMYRLADLDLSVNKISGSVPGWLGSMRVLSTLNLDSNMISGQLPASLLSSTGLGILNLSRNAIEGNIPDAFGPKSYFMALDLSYNNLKGPIPGSLSSAAYVGHLDLSHNHLCGTIPVGTPFDHLEASSFSFNDCLCGNPLKTC >Potri.002G152025.1.v4.1 pep chromosome:Pop_tri_v4:2:11642961:11643263:-1 gene:Potri.002G152025.v4.1 transcript:Potri.002G152025.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152025.v4.1 MRCFFFFLTIITINPLKRVIPHQLHQQQLGLWQPINQCCYNLMANKLCKVRFLPYTSFQLLNHSPIHKSLPCLQGFQQQLLQYVFHGLVCLEGIDDQLFN >Potri.010G245000.1.v4.1 pep chromosome:Pop_tri_v4:10:22061068:22061686:1 gene:Potri.010G245000.v4.1 transcript:Potri.010G245000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G245000.v4.1 MALHSTLSSPSPTLQLPPLFSSTRPLKSRALLLNLNSFTNSATRSLRLLSTRRLSPVTAAVSAAETTGSTGTDSGEKKPLFEVKDLTAVIAESKQEIPKGVNVLVYEGEVHAIMGKMGLVRAHFQSNRKECSV >Potri.006G205400.2.v4.1 pep chromosome:Pop_tri_v4:6:21361091:21362764:1 gene:Potri.006G205400.v4.1 transcript:Potri.006G205400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205400.v4.1 MSTNKKTFLLNTVSLNLGCSSCKKPKLSNICQPKPKPKPKLQTPTYQKHKKDLYCSSSSTSSSKITTNQSPNGHENHDTPNTFSPAMDTPPHFFSDTGNNMKCSTAVRGFGRVGGESVAVEKDSDDPYLDFRHSMLQMILEKEIYSKDDLRQLLDCFLQLNSPYYHGVIIRAFTEIWNGVFSMRTDTTSTERLDVERHSYS >Potri.006G205400.1.v4.1 pep chromosome:Pop_tri_v4:6:21361091:21362764:1 gene:Potri.006G205400.v4.1 transcript:Potri.006G205400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G205400.v4.1 MSTNKKTFLLNTVSLNLGCSSCKKPKLSNICQPKPKPKPKLQTPTYQKHKKDLYCSSSSTSSSKITTNQSPNGHENHDTPNTFSPAMDTPPHFFSDTGNNMKCSTAVRGFGRVGGESVAVEKDSDDPYLDFRHSMLQMILEKEIYSKDDLRQLLDCFLQLNSPYYHGVIIRAFTEIWNGVFSMRTDTTSTGSEKQLHYYYGC >Potri.001G121100.1.v4.1 pep chromosome:Pop_tri_v4:1:9883589:9887791:-1 gene:Potri.001G121100.v4.1 transcript:Potri.001G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121100.v4.1 MPPPQLPPPISRHVAVIGAGAAGLVSARELRREGHDVVVFERDNQVGGTWVYNPRVEPDPLSLDPNRRIIHSSLYSSLRTNLPREVMGFKDYPFIAKNDKKRDQRRFPGHREVLLYLQDFASEFGIEEMVRFDTEVVHVGPVEDNIGKWIVRSKRKISDDDREVSFGFDVDEEIYDAVVICNGHYTEPRIAQIPGISSWPGKQMHSHNYRTPEGFQDQVAILIGSSASSDDISREIAGVAKEVHVASRSVADETYQEQPGYDNMWLHSMIESVHDDGSVIFRNGRVVVADIILHCTGYKYHFPFLDTNGIVTMDENRVAPLYKQVFPPVLAPWLSFVGLPWKVVPFPLVELQTKWIAGVLSGHIALPSPEEMMEDVKAFYETLESSNKPKHYTHNLGGCQFEYDNWLASQCGCPGIEEWRRQMYDAASKSKRLRPEIYRDEWDDDDLVLEAYGDFTKYT >Potri.001G254000.1.v4.1 pep chromosome:Pop_tri_v4:1:27020005:27025102:1 gene:Potri.001G254000.v4.1 transcript:Potri.001G254000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254000.v4.1 MESSSSALSRLSNLHRHLHPPQSNSKIQEGLLKLSPEVAEALSSGHPVVALESTIISHGMPYPKNLKTAKEVEAIVRENGAVPATVAILDGVPCIGLSTGELERLATLGTKAQKTARRDIAHVVANRGNGATTVSATMFFASMVGIHVFVTGGIGGVHRHGEQTMDISSDLTELGRTPVAVISAGVKSILDIPRTLEYLETQGVCVAAYKTNEFPAFFTERSGCKVHCRVDTPDDCARLIDANLKLKLGSGILIAVPIPKEHSASGGLIESSIQIALREARDKNITGNAETPFLLAKVNELTGGASLASNIALVKNNALVGAKIAVALAQLKEHSNRGDAE >Potri.009G078200.1.v4.1 pep chromosome:Pop_tri_v4:9:7529125:7532342:-1 gene:Potri.009G078200.v4.1 transcript:Potri.009G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078200.v4.1 MGGKRFAVLLCAEDSEYVIKMHGGYFGVFVRMLAEEGETWIVYRVASGEFPEDDETDTFDGFVITGSCNDAHGNDVWICKLLSLLKKLDSKKKKILGICFGHQILCRALGGKTGRAVSGWDIGIRTINFSASSKLSSSVKMPAVLSVIECHRDEVKELPARAEVIAWSDKTGVEMFRCGDHIMGIQGHPEYTKDILFHLINRLLQRDFIVDSYADKIKANVDGTEPDREAWKKLCINFLKG >Potri.005G097600.1.v4.1 pep chromosome:Pop_tri_v4:5:6951718:6955889:1 gene:Potri.005G097600.v4.1 transcript:Potri.005G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097600.v4.1 MSQHTTGGTDFSLPDEILAVIPLDPYEQLDLARKITSMAIASRVSNLESEMGRMKQKMLEKDHIIFELQEKLGNLQRVCQESESKLSLTLDDNVKLSKERDSLAMTAKKLGRDLAKLETFKRQLMQSLSDDSSSQAESVDIGTCDQSVPRVYPDKDEGMNGYVTHHSFSGCTDIRNNNSIDEASRHAGQRFSITPYITPRRTPTGTPNIISTSVSPKGYSAAGSPQRTSGATSPTKPQYDKQASLSSWYPSSQQSSAANSPPRGRAIPGRPPRMDGKEFFRQARSRLSYEQFSAFLANIKKLNGQEQTREETLRKAGEIFGTENKDLYLSFQGLLNRNIH >Potri.005G097600.4.v4.1 pep chromosome:Pop_tri_v4:5:6951804:6955882:1 gene:Potri.005G097600.v4.1 transcript:Potri.005G097600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G097600.v4.1 MSQHTTGGTDFSLPDEILAVIPLDPYEQLDLARKITSMAIASRVSNLESEMGRMKQKMLEKDHIIFELQEKLGNLQRVCQESESKLSLTLDDNVKLSKERDSLAMTAKKLGRDLAKLETFKRQLMQSLSDDSSSQQAESVDIGTCDQSVPRVYPDKDEGMNGYVTHHSFSGCTDIRNNNSIDEASRHAGQRFSITPYITPRRTPTGTPNIISTSVSPKGYSAAGSPQRTSGATSPTKPQYDKQASLSSWYPSSQQSSAANSPPRGRAIPGRPPRMDGKEFFRQARSRLSYEQFSAFLANIKKLNGQEQTREETLRKAGEIFGTENKDLYLSFQGLLNRNIH >Potri.010G124301.3.v4.1 pep chromosome:Pop_tri_v4:10:14198854:14200512:1 gene:Potri.010G124301.v4.1 transcript:Potri.010G124301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124301.v4.1 MAMAAVEASLLSLCCPSSPFKGNLTGLKPKACNFTCLKLHSKKKRPLVIISQAAAAASVVTTSPGVRFRLDNLGQQPGSRKKGKRKGRGISAGQGNSCGFGMRGQKSRSGPGVRKGFEGGQVPLYRRIPKLRGIAGGMHVGLPKYVPVT >Potri.010G124301.4.v4.1 pep chromosome:Pop_tri_v4:10:14198860:14201955:1 gene:Potri.010G124301.v4.1 transcript:Potri.010G124301.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124301.v4.1 MAAVEASLLSLCCPSSPFKGNLTGLKPKACNFTCLKLHSKKKRPLVIISQAAAAASVVTTSPGVRFRLDNLGQQPGSRKKGKRKGRGISAGQGNSCGFGMRGQKSRSGPGVRKGFEGGQVPLYRRIPKLRGIAGEWLTLRNTQFWRPLRGYVDHIISQIEWLTLYACGVAKICPCHLKDITAAGFQEGDEVSLETLKEKGLINPSGRERTLPLKVLGDGELSVKLNIKARAFSAAAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAAAAASEPTAA >Potri.014G081600.1.v4.1 pep chromosome:Pop_tri_v4:14:5266156:5269429:1 gene:Potri.014G081600.v4.1 transcript:Potri.014G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081600.v4.1 MREKEKYANDIWHSGFERYLTKQPWRTVKKRDAATVLVAFFLILFLGALVFVGWFDASVFRGISRKPTLTPTGMPSEFPLRCATGNLKQTCPENYPTKHNPTTNPDRPSNLTSTCPSYFQWIHDDLRHWKETGITQDMIERARKTAHFRLVIVNGKAYVEKYRQSIQTRDMFTLWGILQLLRLYPGRLPDLELMFDCDDRPVIPSKHFRGPNAAPPPLFRYCSDWQSLDIVFPDWSFWGWAETNIRPWKNLLKEIKEGNSRTKWKDRTPYAYWRGNPWVSPIRQDLLKCNVSEQNDWNTRLYLQDWVKQSKEGYRESNLQDQCTHRYKIYIEGWAWSVSEKYILACDSVTLYVRPRYHDFFIRGMVPLQHYWPIRDNSKCTSLKFAVEWGNNHTKEAQAIGEAASNFIHEDMKIDYVYDYIFHLLNEYAKLLKFKPKIPPGADELCPETMACPTNGIHRKFMEESMVLSPSDAIPCTLPPHDPSVLGSLRDRKDKSTKQVESWENEYWEKLSKKQ >Potri.008G143700.6.v4.1 pep chromosome:Pop_tri_v4:8:9769759:9776227:-1 gene:Potri.008G143700.v4.1 transcript:Potri.008G143700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143700.v4.1 MGSRVPVQHCNLRSAGSFIATNSLHDLNTVDSRPSNLDSMSADADHTLNADDDSDAVDCIHDSYSNSLPLHSVGVEEDHTSLENTGSSGGAYDILTFDDVSPIESARARFLQIIVDHFISDHVIEVADNESEYAAHPGQDKLTKRKSGDVQYEGDPRFALPLMYVANMYETLVNDVNMRLASLNGVRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPSSMPIVDAEWFRRLTGRSEVAVSAQDYKFYSPRHKFRRVLSNIPGLATLPTEDNSSTMTTAQGFRSVSEPQNEQQTPSKHHVQLLSHQPQFHPINQNHHQEVHQSQHATQFSQNHQCGPPSHMPEIAHATHSPTIPQHMVYLQPLTGGHVGGRLHSMPTSPPKYCDECGAPYLRETSKFCSECGTKRLGT >Potri.008G143700.8.v4.1 pep chromosome:Pop_tri_v4:8:9769763:9776346:-1 gene:Potri.008G143700.v4.1 transcript:Potri.008G143700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143700.v4.1 MGSRVPVQHCNLRSAGSFIATNSLHDLNTVDSRPSNLDSMSADADHTLNADDDSDAVDCIHDSYSNSLPLHSVGVEEDHTSLENTGSSGGAYDILTFDDVSPIESARARFLQIIVDHFISDHVIEVADNESEYAAHPGQDKLTKRKSGDVQYEGDPRFALPLMYVANMYETLVNDVNMRLASLNGVRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPSSMPIVDAEWFRRLTGRSEVAVSAQDYKFYSPRHKFRRVLSNIPGLATLPTEDNSSTMTTAQGFRSPQNEQQTPSKHHVQLLSHQPQFHPINQNHHQEVHQSQHATQFSQNHQCGPPSHMPEIAHATHSPTIPQHMVYLQPLTGGHVGGRLHSMPTSPPKYCDECGAPYLRETSKFCSECGTKRLGT >Potri.008G143700.9.v4.1 pep chromosome:Pop_tri_v4:8:9769720:9776346:-1 gene:Potri.008G143700.v4.1 transcript:Potri.008G143700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143700.v4.1 MGSRVPVQHCNLRSAGSFIATNSLHDLNTVDSRPSNLDSMSADADHTLNADDDSDAVDCIHDSYSNSLPLHSVGVEEDHTSLENTGSSGGAYDILTFDDVSPIESARARFLQIIVDHFISDHVIEVADNESEYAAHPGQDKLTKRKSGDVQYEGDPRFALPLMYVANMYETLVNDVNMRLASLNGVRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPSSMPIVDAEWFRRLTGRSEVAVSAQDYKFYSPRHKFRRVLSNIPGLATLPTEDNSSTMTTAQGFRSPQNEQQTPSKHHVQLLSHQPQFHPINQNHHQEVHQSQHATQFSQNHQCGPPSHMPEIAHATHSPTIPQHMVYLQPLTGGHVGGRLHSMVRFLC >Potri.008G143700.10.v4.1 pep chromosome:Pop_tri_v4:8:9769713:9776333:-1 gene:Potri.008G143700.v4.1 transcript:Potri.008G143700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143700.v4.1 MGSRVPVQHCNLRSAGSFIATNSLHDLNTVDSRPSNLDSMSADADHTLNADDDSDAVDCIHDSYSNSLPLHSVGVEEDHTSLENTGSSGGAYDILTFDDVSPIESARARFLQIIVDHFISDHVIEVADNESEYAAHPGQDKLTKRKSGDVQYEGDPRFALPLMYVANMYETLVNDVNMRLASLNGVRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPSSMPIVDAEWFRRLTGRSEVAVSAQDYKFYSPRHKFRRVLSNIPGLATLPTEDNSSTMTTAQGFRSPQNEQQTPSKHHVQLLSHQPQFHPINQNHHQEVHQSQHATQFSQNHQCGPPSHMPEIAHATHSPTIPQHMVYLQPLTGGHVGGRLHSMVRFLC >Potri.008G143700.7.v4.1 pep chromosome:Pop_tri_v4:8:9769763:9776347:-1 gene:Potri.008G143700.v4.1 transcript:Potri.008G143700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G143700.v4.1 MGSRVPVQHCNLRSAGSFIATNSLHDLNTVDSRPSNLDSMSADADHTLNADDDSDAVDCIHDSYSNSLPLHSVGVEEDHTSLENTGSSGGAYDILTFDDVSPIESARARFLQIIVDHFISDHVIEVADNESEYAAHPGQDKLTKRKSGDVQYEGDPRFALPLMYVANMYETLVNDVNMRLASLNGVRDKTIGVALEAAGGLYRRMAKKFPKKGSCIFKRRELATSLETRTRFPELVIQEEKRVRFVVVNGLDIVEKPSSMPIVDAEWFRRLTGRSEVAVSAQDYKFYSPRHKFRRVLSNIPGLATLPTEDNSSTMTTAQGFRSPQNEQQTPSKHHVQLLSHQPQFHPINQNHHQEVHQSQHATQFSQNHQCGPPSHMPEIAHATHSPTIPQHMVYLQPLTGGHVGGRLHSMPTSPPKYCDECGAPYLRETSKFCSECGTKRLGT >Potri.008G210900.1.v4.1 pep chromosome:Pop_tri_v4:8:17760876:17762158:-1 gene:Potri.008G210900.v4.1 transcript:Potri.008G210900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210900.v4.1 MCGGAIISDFVTVKRGRRLTVEDLWSELDPFSEFLRFDHHSNDNNGSKKDPSNLLFPQKPSYTIQVQQVITEKVEKPSHATEKENGNKKAQRTRKSVYRGIRQRTWGKWAAEIRDPHKGARVWLGTYNTAEEAAKAYDEAAKRIRGDKAKLNFPSQTPPTPEAPPPAKKRCIVAPETAYVASPFTPPLQEPYSGYQNEDYELEEQILKLESFLGLEPDQMAARLRENGGDNRDSGDLWMLDDLVTHHQYRRQINY >Potri.008G180400.1.v4.1 pep chromosome:Pop_tri_v4:8:12445130:12446973:1 gene:Potri.008G180400.v4.1 transcript:Potri.008G180400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180400.v4.1 MAVEIITREAVKPSSPTPDHLREFNLRLLDQLAPVAYEPLVLFYSNFQQKFTVAHKSERLKRSLSETLSRFYPLAGRIKDDASIECNDFGAVFVEARVSCLLSKLLEKPDAEVIRKLIPIEIESPEELTGSLVLVQANFFACGGLAIGVCISHKVADPVTFSTFIKAWAAAAFRSGDSTVLPLFNASSVFPPQNIPLARPAALEFIRDRCVTKRVVLDASKVAALQVKAVSESVTCPTRVEAVTALIWKCAMNAARSNSEHLRYSILSQSVNLRKKMVRPLPEHTIGNLAGYFASCATECEVELQSLVRQLRKGLQDFGENYLKKLGKDKASMAICESFQEAASMLPEGTVDFYVSTSFCRLPFYGIDFGWGKPTWVTIPTGAYKNVASIMDAKDGKGIEAWVTLTEDDMAFFERDRELLAAASFDPVASDLIMPMSSL >Potri.002G227701.1.v4.1 pep chromosome:Pop_tri_v4:2:21708154:21709656:1 gene:Potri.002G227701.v4.1 transcript:Potri.002G227701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G227701.v4.1 MGVISSKWVSFLLLFLCIVLHLSAISLSDDKLDKTRYQDDDCRWNPRRCGGRFGRGRGGGLGGGGGRGGGAGGGGLGGGGGRGGGFGGGAGGGGGLGGGGGRGGGAGGGGGLGGGGGKGGGFGGGAGGGGGLGGGAGGGVGGGGGFGGGGGGGVGGGGGHGGGFGAGGGVGGGAGGGAGGGGGFGGGSGGGVGGGSGHGGGFGAGGGVGGGAGGAGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGVGGGLGGGAGGGGGGGGGGGGGLGGGSGHGGGFGAGGGLGGGGGGGLGGGAGGGGGAGGGHGGGAGGGFGVGIGIGVGVGAGAGHGSGSGSGGGGGGR >Potri.010G246400.1.v4.1 pep chromosome:Pop_tri_v4:10:22127532:22131608:-1 gene:Potri.010G246400.v4.1 transcript:Potri.010G246400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246400.v4.1 MSTATTSFFEPASVTSSGRPVLHQAEVECHLLSPVDLETEPTTTATTTINSLDFPALKSGLGILTTHRLLWLPSNATTDSSSPISIPLSSVTHIFSPKKSIKSMFHSPRIRFQVSMHSRSVVVTLVIRGKGDIDGFLTKFWDCWRGRAWETGNDSGGGSSSGSVPASGSVSGGGLYSSDGSVRLVGVSGILRKEQEMWESTDKSLQEAFHDLNALMRKAKEMVILAEKMRQKLLSGSSSQSSSGNDEEMGSKEEMQDWLLSVGIVSPVTKESAGAMYHQQLSRQLADFVRIPLEKAGGMINLIDIYCLFNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQNKSHSDEEVFARIKNLVSKPEALRSGITASDAAMTLGIAPAMAKEHLLTAESKGLLCRDISPDGFRFFINLFPEINSDDIHMVKDHGIYSLWIKSW >Potri.010G246400.5.v4.1 pep chromosome:Pop_tri_v4:10:22127533:22131608:-1 gene:Potri.010G246400.v4.1 transcript:Potri.010G246400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246400.v4.1 MSTATTSFFEPASVTSSGRPVLHQAEVECHLLSPVDLETEPTTTATTTINSLDFPALKSGLGILTTHRLLWLPSNATTDSSSPISIPLSSVTHIFSPKKSIKSMFHSPRIRFQVSMHSRSVVVTLVIRGKGDIDGFLTKFWDCWRGRAWETGNDSGGGSSSGSVPASGSVSGGGLYSSDGSVRLVGVSGILRKEQEMWESTDKSLQEAFHDLNALMRKAKEMVILAEKMRQKLLSGSSSQSSSGNDEEMGSKEEMQDWLLSVGIVSPVTKESAGAMYHQQLSRQLADFVRIPLEKAGGMINLIDIYCLFNRARGTELISPEDLLQACSLWEKFDVPVMLRKFDSGVKVIQNKSHSDEEVFARIKNLVSKPEALRSGITASDAAMTLGIAPAMAKEHLLTAESKGLLCRDISPDGFRFFINLFPEINSDDIHMVKDHGIYSLWIKSW >Potri.005G093900.2.v4.1 pep chromosome:Pop_tri_v4:5:6584414:6589453:1 gene:Potri.005G093900.v4.1 transcript:Potri.005G093900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093900.v4.1 MATSVVPAGRSARRAAAEDEKLVFETTEGVEPVASFDEMGLKEDLLRGIYNYGFEKPSAIQQRALMPIIKGRDVIAQAQSGTGKTSMIALTVCQLVDTASREVQALILSPTRELAEQTQRVITVIGENINIQVHACIGGKSVGEDIRKLEHGVHVVSGTPGRVCDMIKRRSLRTRAIRVLVLDESDEMLSRGFKDQIYDVYRYLPPELQVVLISATLPNEILEITSKFMTDPVKILVKRDELTLEGIKQFFVAVEKEEWKFETLTDLYDTLTITQAVIFCNTKRKVDWLTAKMVEFNFTVSSMHGDMPQKERDAIMSNFRSGETRVLITTDVWARGLDVQQVSLVINYDLPNNRELYIHRIGRSGRFGRKGVAINFVKSDDIRILRDIEQYYSTQIDEMPMNIGDLI >Potri.006G206000.1.v4.1 pep chromosome:Pop_tri_v4:6:21413792:21414837:-1 gene:Potri.006G206000.v4.1 transcript:Potri.006G206000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G206000.v4.1 MSRRNGSLPKLDLKLNLSPPRVNPRVESPGRSATVSPTSPPSSCVSSERSHDDALRYSSSPEATSMVLVGCPRCLMYVMLSENDPKCPKCKSTVLLDFLHDNTTTTTLKTRRS >Potri.006G126800.1.v4.1 pep chromosome:Pop_tri_v4:6:10179038:10182990:-1 gene:Potri.006G126800.v4.1 transcript:Potri.006G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126800.v4.1 METITKNGYQNGSSESLCTQRDPLSWGVAAEAMKGSHLDEVKRMVAEYRKPVVNLAGQTLTIAQVASIAGHDASNVKVELSESARPRVKASSDWVMDSMDKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTETCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITKLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPNGEVLDAVEAFKAAGIDSGFFELQPKEGLALVNGTAVGSGLASMVLFETNVLAVLSELISAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYMKAAKKLHEMDPLQKPKQDRYALRTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVSRNKALHGGNFQGTPIGVSMDNVRLAIASIGKLLFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTSHVQSAEQHNQDVNSLGLISSRKTAESVDILKLMSTTFLVALCQAIDLRHLEENLRSAVKNTVSHVSKRVLTTGANGELHPSRFCEKELLKVVDREDVFAYADDPCSATYPLMQKLRQVLVDHALANGENEKNTSTSVFQKITAFEEELKALLPKEVESARAAYDSGNSAIENKIKECRSYPLYKFVREELGTGLLTGEKVRSPGEEFDKVFTAMCQGKIIDPMLECLGEWNGAPLPIC >Potri.009G111569.1.v4.1 pep chromosome:Pop_tri_v4:9:9537307:9540990:1 gene:Potri.009G111569.v4.1 transcript:Potri.009G111569.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G111569.v4.1 MAISLQLCRVSLRSDLSSDNRVPIRRRRTTFSVRCAGGDDSTSSVSLESEFDAKVFRHNLTRSKNYNRRGFGHKEETLELMNREYTSDIIKKLKENGYEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDKIWITNEIIHNPTVNKRLEEMEVENVPVEEGKKQFEVVNGGDVVILPAFGAAVDEMLTLSSKNVQIVDTTCPWVSKVWTTVEKHKKGDYTSIIHGKYAHEETVATASFAGKYIIVKDMKEAMYVCDYILGGELNGSSSTREEFLEKFKNAVSKGFDPDSDLVKLGIANQTTMLKGETEDIGKLVERIMMRKYGVENVNDHFISFNTICDATQERQDAMYKLVEEKLDLMLVVGGWNSSNTSHLQEIAEHHGIPSYWIDSEQRIGPGNKIAYKLNHGELVEKENWLPQGPITIGVTSGASTPDKVVEDALIKVFDIKRDEALQVA >Potri.006G083850.1.v4.1 pep chromosome:Pop_tri_v4:6:6246308:6250698:1 gene:Potri.006G083850.v4.1 transcript:Potri.006G083850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083850.v4.1 MLSYILKHGRHYNSFSLLLTFSSLSLSNRNQPKHKSINPLIKTKSNRNSPSLLLFWCWQGISRLKLNNTRMTHSYGPATDYLFRIHDPRTYYRHAPCGAN >Potri.002G059000.1.v4.1 pep chromosome:Pop_tri_v4:2:4050760:4055527:1 gene:Potri.002G059000.v4.1 transcript:Potri.002G059000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059000.v4.1 MSSESLNAELSKKTSVFGLKLWVLIGVSVGVFIISILCALSAWVTFRRKSRRSVDKYSHSKIPNTSKDIKVDRVGVQNFNDHPESLFLTVNDKLSDKNSEKMQVHLGMSKSSDPDNASQCSSIYHHERACSSHSGEEGSSGTFRKQSSLSHAGLVTASPLIGLPEFSHLGWGHWFTLRDLEFATNSFAVENVLGEGGYGVVYKGTLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMHHHGILTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLLEWLKMMVGTRRAEEVVDPNLEVKPTTRALKRALLVALRCVDPDAERRPKMTQVVRMLEADEYPLREDRRNRKTRTTSMEIESMREESTESENKGVDSESRLAETTHG >Potri.002G059000.3.v4.1 pep chromosome:Pop_tri_v4:2:4051544:4055480:1 gene:Potri.002G059000.v4.1 transcript:Potri.002G059000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059000.v4.1 MSSESLNAELSKKTSVFGLKLWVLIGVSVGVFIISILCALSAWVTFRRKSRRSVDKYSHSKIPNTSKDIKVDRVGVQNFNDHPESLFLTVNDKLSDKNSEKMQVHLGMSKSSDPDNASQCSSIYHHERACSSHSGEEGSSGTFRKQSSLSHAGLVTASPLIGLPEFSHLGWGHWFTLRDLEFATNSFAVENVLGEGGYGVVYKGTLINGTEVAVKKLLNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGVHRMLVYEYVNNGNLEQWLHGAMHHHGILTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDEFNAKVSDFGLAKLLGSGESHITTRVMGTFGYVAPEYANTGLLNEKSDIYSFGVLLLEAVTGRDPVDYGRPANEVNLLEWLKMMVGTRRAEEVVDPNLEVKPTTRALKRALLVALRCVDPDAERRPKMTQVVRMLEADEYPLREDRRNRKTRTTSMEIESMREESTESENKGVDSESRLAETTHG >Potri.001G033500.3.v4.1 pep chromosome:Pop_tri_v4:1:2493231:2497851:1 gene:Potri.001G033500.v4.1 transcript:Potri.001G033500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G033500.v4.1 MDMMATSWRLTPQSLPLLSPKVKSFRVYSSSSSSSLSLSPIIQKTSSLIQNSGVIACLRANSAELAYEAATAALNGGISVLEIVMSTPGVFQVLRQLVKDYPTLALGVGTALNAEDARNATNAGAKFFMSPATVKDIMDDVVKDEILYIPGVMTPTEILSAYDAGAKMVKVYPVSALGGVQYISALKKPFPHIPMVASQGIKIDSIGEYISSGASSVVLSDAIFDKGAMTQRNFNVIHQLASLAALEGKEAVERKRSCTTN >Potri.011G135500.5.v4.1 pep chromosome:Pop_tri_v4:11:16790974:16797603:-1 gene:Potri.011G135500.v4.1 transcript:Potri.011G135500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135500.v4.1 MVSTNKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMMFLFGMELNNYLTVNTSTTVIVDNSSDGEFLRIDFNISFPSLSCEFASVDVSDVLGTNRLNITKTIRKFSIDHDLKPTGSEFHSGPVLHQIKHGDEVDEEGGEGSVSLKAHNFDQYSHQYPILVVNFFAPWCYWSNRLKPSWEKAAKIIRERYDPEMDGRILLAKVDCTEEGDLCRRNHIQGYPSIRIFRKGSNLREDHGRHDHESYYGDRDTESLVKTMEALVAPIAMESQRQALEHKPENATQHVKRPAPSAGGCRIEGYVRVKKVPGNLMISALSGAHSFDSKQMNLSHVISHFSFGMKVLPRVMSDVKRLLPYIGRSHDKLNGRSFINHRDVGANVTIEHYLQVVKTEVVTRRSSSERKLIEEYEYTAHSSLSQTVYMPTAKFHFELSPMQVLITENSKSFSHFITNVCAIIGGVFTVAGILDSILHHTVRMMKKVELGKNF >Potri.011G135500.1.v4.1 pep chromosome:Pop_tri_v4:11:16790798:16797593:-1 gene:Potri.011G135500.v4.1 transcript:Potri.011G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G135500.v4.1 MVSTNKLKSVDFYRKIPRDLTEASLSGAGLSIVAALAMMFLFGMELNNYLTVNTSTTVIVDNSSDGEFLRIDFNISFPSLSCEFASVDVSDVLGTNRLNITKTIRKFSIDHDLKPTGSEFHSGPVLHQIKHGDEVDEEGGEGSVSLKAHNFDQYSHQYPILVVNFFAPWCYWSNRLKPSWEKAAKIIRERYDPEMDGRILLAKVDCTEEGDLCRRNHIQGYPSIRIFRKGSNLREDHGRHDHESYYGDRDTESLVKTMEALVAPIAMESQRQALEHKPENATQHVKRPAPSAGGCRIEGYVRVKKVPGNLMISALSGAHSFDSKQMNLSHVISHFSFGMKVLPRVMSDVKRLLPYIGRSHDKLNGRSFINHRDVGANVTIEHYLQVVKTEVVTRRSSSERKLIEEYEYTAHSSLSQTVYMPTAKFHFELSPMQVLITENSKSFSHFITNVCAIIGGVFTVAGILDSILHHTVRMMKKVELGKNF >Potri.008G042500.1.v4.1 pep chromosome:Pop_tri_v4:8:2407331:2409920:1 gene:Potri.008G042500.v4.1 transcript:Potri.008G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042500.v4.1 MGKEKSHINIVVIGHVDSGKSTTTGHLIYKLGGIDKRVIERFEKEAAEMNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGTIVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKNVEKKDASSAKVTKSAVKKGGK >Potri.008G042500.2.v4.1 pep chromosome:Pop_tri_v4:8:2408075:2410046:1 gene:Potri.008G042500.v4.1 transcript:Potri.008G042500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G042500.v4.1 MNKRSFKYAWVLDKLKAERERGITIDIALWKFETTRYYCTVIDAPGHRDFIKNMITGTSQADCAVLIIDSTTGGFEAGISKDGQTREHALLAFTLGVKQMICCCNKMDATTPKYSKARYDEIIKEVSSYLKKVGYNPDKIPFVPISGFEGDNMIERSTNLDWYKGPTLLDALDQIQEPKRPSDKPLRLPLQDVYKIGGIGTVPVGRVETGVIKPGTIVTFGPTGLTTEVKSVEMHHEALQEALPGDNVGFNVKNVAVKDLKRGFVASNSKDDPAKEAANFTSQVIIMNHPGQIGNGYAPVLDCHTCHIAVKFAEILTKIDRRSGKELEKEPKFLKNGDAGMIKMIPTKPMVVETFSQYPPLGRFAVRDMRQTVAVGVIKNVEKKDASSAKVTKSAVKKGGK >Potri.011G028600.1.v4.1 pep chromosome:Pop_tri_v4:11:2145294:2148963:1 gene:Potri.011G028600.v4.1 transcript:Potri.011G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028600.v4.1 MASSRLFFSLCLLFVEVLALAKAQQPVDQPKMSYHDCVGKGNYTTNSTYQANLNQLLTSIYTNTEINNGFYNFSNGQDADTVYSIALCRPDISPDACRSCIRNASDSLVRLCPNFVEAIGGLDDCTVRYTNRSIFNRMEKGPYFWVYDDRVNVSDVVGFNQSRMTLLDRLRDEAAAGDSRYKYAMDQIAAPAPNFQTIYALVQCTPDLSASECRDCLYNASGLIPKCCDARQGGRVIYPSCNFRYEIDRFYDPSINSIPPPPDSTSTNTVPSPPASTSQGKKGMKRNVLIIISMVPIAVSVILIVCVCIFLRARRKQKGEEEEEEEEEAKDLNEMEDVELLQLDFGTVREATGNFSEDNKLGQGGFGAVYKGTLTSGQDIAVKRLSRTSGQGEIEFKNEVMLVAKLQHRNLVRLLGFCYEKEERILVYEFLPNSSLNNLIFDPVKRVLLDWETLYEIIDGIARGLLYLHEDSRLRIIHRDLKAANILLDENMNPKISDFGMARMFAMDQAQDSTSRVVGTFGYMAPEYVIRGHFSVKSDVYSFGVLVLEIVSGRKIGGRGIGDEGEDLLTYSWRKWNDGTPLDIIDPTLNDGPRNEIMRCIIIGLLCVQEKEALRPTMAQVSMMLSSYSVTLAAPSKPAFFMHREDSFPSPVNASRLIESDESRTRSSRWSNNEVSITELDPR >Potri.014G099800.2.v4.1 pep chromosome:Pop_tri_v4:14:6560316:6561548:1 gene:Potri.014G099800.v4.1 transcript:Potri.014G099800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099800.v4.1 MRIRKNGEFSPLMFLESTLGPHQTVPKTICQLNQSPWDVIPFSKEICSPSLHQFGEGDHRCFSGKESFGKSTGAVQRSPEKKGFNTNEEMKGENELKIKRGVKILHFDKAKGKEFLCINECKDAQSICDHHLNLVKPHKRSSGSGCLGVTDPSIKKPATRALKGQTRATNKGHFPSSNANQYYYYSGFGPLRGRRRERGESTDVRETSVPVTVNGVAQNTTPSPIPIIYIDDGSEERDSDH >Potri.005G222700.1.v4.1 pep chromosome:Pop_tri_v4:5:22417233:22420383:-1 gene:Potri.005G222700.v4.1 transcript:Potri.005G222700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222700.v4.1 MNSLLYSPIPSSKSKKPKLDSESSSLTPKSKPSKSKKPKLDSESSSLTPKSKPSNSTIATQTPTQQSQLPSRLRNRRVALSLKEVRHIASQDHGTNQTKSARRQIASWPEDSTTDTTTSKLPKPRKNQSRDGHNKIPDKYEMLGEFFDNLDSSIRLLRMKGSMSTFSNISPKIESLTDRRFTHKHLAQLKYIMPEAIEIKRVLKFDEQTSCMKPDLQVTVNADAIQCDDGKLKTESEKIYLRKVFRSRLVDFYRDHPQGDDIPEEMLPEPFNRSPLLAGTPAIEEEKPAMASLLSRSFKRRFSSQKGTKIEAENSLQRSVSSALEPCPSKISSNERTSYSAPSPAKVSSTPTCDQDCLSATPSKVKDAINDGDDSPTKMASDQSTPAKLALTPVALISTTPALHQHKRCTSPYNDGSSNSPDKLVRRPPSRSLIFETPVKHAMDEQRETGDVSDDDDVLKIFPESLLQSIREKEQKAKEERDPAISQAKKRRQMIACLPKLFNKIHFLFQSIRQSVLTKEELIHKIIASHSDIADRREVDEQLNLLLELVPEWISEKLASSGDSIFRINKMYSPETVRARLEEAK >Potri.T084900.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:141987:144621:1 gene:Potri.T084900.v4.1 transcript:Potri.T084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084900.v4.1 MQCLKKQYLHIMDFQLPYCFIFLLLLLLPFSSNGQAHSNISLGLSLTAASDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDLVQGGSRVQLTADGELVLNDQSGRQIWSPVFGGSGAAYAAMLDTGNFVVASQAGANLWQSFDEPTDTLLPTQNLNLGAQLIAPYLEKNYSHGRFMFILQTDGNLLLYTTRYPTTTVNYAYWSTQDSIGSGYRVVFNQSGYMYLAAQNGTMLNSVFSNSVSMQDFYLRATIDYDGVFRQYAYPKTASSSTRWPMAWTTLPNFIPSNICVVIRGPVGSGACGFNSYCILEDDQRPTCKCPPGYTFFDPNDERKGCKKNFISQDCDHPSQEIDNFMIRDMLNTNFPYTDYDDFTSVDEDWCRQACLSDCYCAVATYNSGSCWKKRGPLSNGVTDPSIGDKALLKVRKGNGTAGSSAKKSDRSTLITTGSVLLGSSIFLIVLSLLGIYVFFTRWNQQKRKVVPQLHVMPEMNLQNFTYNELETATGGFKEELGRGAFGIVYRGALANEDKPLIAVKKLEKMAGEGDTEFNTEVKVIGRTNHKNLVQLVGFCNEGENRLLVYEYMSSGSLSNYIFGYSRPSWHRRMQIAFGVARGLLYLHEECSSQIIHCDIKPPNILLDEYLNARISDFGLAKLLKTDQTKTTTAIRGTKGYVAPEWFKNLPITTKVDTYSFGILLLELVCCRKNFEINAMQEHQIVLADWACDCLKEGKLNLLVEEDEEAMEDMKRVERFVMVAIWCIQEDPSLRPGMKKVVQMLEGGVQVSVPPDPSSFISTI >Potri.T012300.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:199141:203267:1 gene:Potri.T012300.v4.1 transcript:Potri.T012300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012300.v4.1 MSQSYKGASESPESCGSGEESTRKGACLLEIKRTGMVQWGISRHIESIDNNPPPGENNPQLPSPIIKHGQKNPQLPSAITGHGNNPQFPSAIVKEEVKDDEARTTESLVLPEAKKRKHHALQEPREARAVRCSKAKQTSLVYKCKQIKRENPISVKKENVMDRWSVDRYNQAEKSMLEVMKAEGAVFEKPISRSALRMVARKHIGDTGLLDHLLKHIDGKVAPGGTERFCRCYNTQGKMEYWLESADLVKIKQEAGVPDPNYVPPSWLRPGSVAPLDSVSAEELTLLRKEVAKMTRDMEELVSKNQEQHQANHVGDIYKEFVEWRGKTDQRLMEISSSLGGLQGKYKEMMAWKSKIEQQLKEMSNSLSSLQSSKQCNTLSPVSERWEDWLESTNLDNIQGEDFAPWLENMDLVNVGYNASLKEPYNASQLWLKPCDSPSQEPVCARELELLKEEMAKMKRDMQGVVPNRMEEDKANMTSDSSATANSKTELDNSFFQEMFKDFGKWRKKMEQQMLEISNAVNTLQASK >Potri.T012300.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:199203:203526:1 gene:Potri.T012300.v4.1 transcript:Potri.T012300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012300.v4.1 MSQSYKGASESPESCGSGEESTRKGACLLEIKRTGMVQWGISRHIESIDNNPPPGENNPQLPSPIIKHGQKNPQLPSAITGHGNNPQFPSAIVKEEVKDDEARTTESLVLPEAKKRKHHALQEPREARAVRCSKAKQTSLVYKCKQIKRENPISVKKENVMDRWSVDRYNQAEKSMLEVMKAEGAVFEKPISRSALRMVARKHIGDTGLLDHLLKHIDGKVAPGGTERFCRCYNTQGKMEYWLESADLVKIKQEAGVPDPNYVPPSWLRPGSVAPLDSVSAEELTLLRKEVAKMTRDMEELVSKNQEQHQANHVGDIYKEFVEWRGKTDQRLMEISSSLGGLQGKYKEMMAWKSKIEQQLKEMSNSLSSLQSSKQCNTLSPVSERWEDWLESTNLDNIQGEDFAPWLENMDLVNVGYNASLKEPYNASQLWLKPCDSPSQEPVCARELELLKEEMAKMKRDMQGVVPNRMEEDKANMTSDSSATANSKTELDNSFFQEMFKDFGKWRKKMEQQMLEISNAVNTLQASK >Potri.010G128700.1.v4.1 pep chromosome:Pop_tri_v4:10:14528180:14531330:1 gene:Potri.010G128700.v4.1 transcript:Potri.010G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G128700.v4.1 MMLQYRDLAKLKTKKIVFEDVIAARDSATLEHLKELSSKRRVIEESINQTSYITEAIAREISGGLTSRCEQERLRLEHYLPLLENFISHADLISSNSQMVQWTSQLKIRWSSATSSSSFFDLRGPKFFQIDNLRFELVMIHFLYGATLRERASEFLSTDLKQSAFIFREAAGVFHYLAHEVIPSLQSPISAERPSEASSALSAAMSFICLAEAQAVYTRRAEEKGNTGFGVLAKLHYGVVELLSEATSAIHSGTGEGKTISSRFLEFISSCKALHELRSKKHLAEGLRNDGQVGVSVGVLCDALISSKKKTPGEDSWKAVFKNEIEIVANTLRKFENENEFVWHEKIPHGDELPSPQGIKIVEIVPFKPKKWERELAFKL >Potri.010G007800.1.v4.1 pep chromosome:Pop_tri_v4:10:1144606:1145427:1 gene:Potri.010G007800.v4.1 transcript:Potri.010G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007800.v4.1 MKITNVLGLSFLFFAFIGTSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTINGQVLCNSDVILSTLNESLPITFSPVIQSTDSVIREGTHLNVNFAGPSAMCLMGGVTPMWKIGFSTTLKGYIVTTGGVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVGVNGDKNLVPGAGPLLVMFEPDE >Potri.014G176150.1.v4.1 pep chromosome:Pop_tri_v4:14:13231730:13236961:-1 gene:Potri.014G176150.v4.1 transcript:Potri.014G176150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G176150.v4.1 MVQEGSSNSIRGEAGGCLIVMKSFKFIFILYLMHKIIGITYLLCRALQQKSLDILNAMDLVSTTKALLQTLRDAGFDLLLANVQFVCTKYEIDIPHINASYKKATGRSCQQQGSVTVYQHYHYDIFNSIIDFQLEELNSRFSDGIVELLILSSALEPKDNFKSFKVDAIYKLAEKFYPEDFNEQEMYYLRSQLKRYQIDVIHHESFQNMSTISELCRGLAETNKSQYYHLIDRLIRFVLTLPVSTVTTERIFSAMKHVKTVLRNKMKEEFLADSIMIYIERELVEDIDSDSIIDEFYSTKHRRVQL >Potri.015G090600.1.v4.1 pep chromosome:Pop_tri_v4:15:11366128:11368511:1 gene:Potri.015G090600.v4.1 transcript:Potri.015G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090600.v4.1 MVREQRVESFYTRLRESVSTSSLSPLLIFPSTSDADSLCALKIIFHILESDSVRYACYPVSSFQEIHKYAGLTSLSSEPISILLVNWGCQRDLRLLLNLGPAARVFVVDSHRPIHLHNLNDQNESVVVLYTGDDEQQADLAYDFKVSELANASELNSDDEGEENSDSEDEEDSESEGDEDDGSSRKRRRVSNEDEADPVQLFRKLKREYYRRGTFHGKPSGCLMYDLSHLLRKNTNELLWLACVSLTDQFVHERLTDERYLAGVMELEQHINSSGNLEAVTVVTLKDGTKIRAPESSRIAYEDEPRLMLLREWNLFDSMLCSSYIATKLKTWNDNGMKKLKLLLARMGFALVDCQQKFQYMNLEVKRKMKDEFERFLPEYGLSDFYYRSFLRLHGYSSRVSAADVVYGVTALLESFVSSDGSCASKQFGVAYDALSLNNLDKLKAGMQQAIKVQRAILRQGSAAITKSGSIRSGRKFRWVKLEDSVDTKLLGYPQALTKFCYFLMDALREKGARAKPLICACLSQEPNKMLIVGVCGKPRLGAVQGNAFGIAFRNAAEEIGAEFFHELFESSWIVLDKGVVNNFMIRLTEKL >Potri.002G003100.2.v4.1 pep chromosome:Pop_tri_v4:2:194227:201221:1 gene:Potri.002G003100.v4.1 transcript:Potri.002G003100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-ALPHA MDSDFGIPRELSDLQKLRSLYQPGLPPCLQGTTVRVEFGDVTTCADPADVHAISRAFPHTYGQPLAHFLRATAKVPDAQIITDHPAVRVGIVFCGRQSPGGHNVVWGLQNALKIHNPKSTLLGFLGGSEGFFAQKTLEITDDILETYKNQGGYDLLGRTKDQIRTTEQVRAALTACKDLKLDALVIIGGVTSNTDAAQLAETFAVEKCQTKVVGVPVTLNGDLKNQFVETNVGFDTICKVNSQLISNVCTDALSAEKYYYFIRLMGRKASHVALECTLQSHPNMVILGEEVAASKLTLFDLTKKICDAVQARAEQDKNHGVILLPEGLIESIPEVYALLKEIHSLLKQGVAPDSISSQLSPWASALLEFLPPFIKRELLLHPESDDSAQLSQIETEKLLAHLVEAEMNRRLKEGTYKGKKFNAICHFFGYQARGSLPSKFDCDYAYVLGHICYHILAAGLNGYMATVSNLKNPSNKWRCGAAPITAMMTVKHWAQSPGASSIGKPAIHPATVDLKGKAYELLRVNAGRFLMEDLYRNPGPLQFDGPGADSKAVSLSVEDADYMGRIKKLQEYLDKVRNIVKPGCSQDVLKAALSVMSSVTEVLSVMSSTSSSGLTTL >Potri.012G105100.1.v4.1 pep chromosome:Pop_tri_v4:12:12710732:12714061:-1 gene:Potri.012G105100.v4.1 transcript:Potri.012G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105100.v4.1 MAKNNNSCQSLIPSFLYSSSAGSSYNGGVPHLLQQSKLSPSSNSGDHGVLSKNFAIPAPSEKIEMFSPAYYLACTAGGIFSCGLTHMTVTPLDLVKCNMQIDPSKYKSITSGFGVLLKEQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDMAGPEYAAKYKTLIYLAGSASAELIADVALCPMEAVKVRVQTQPGFARGLADGMPKFLRSEGYSGLYKGLVPLWGRQIPYTMMKFASFETIVEQLYKNVIPTPKDQCSKNLQLGVSFAGGYLAGILCAIVSHPADNLVSFLNSARGATAGDAIRQLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGAAPAPAVAKP >Potri.001G132700.1.v4.1 pep chromosome:Pop_tri_v4:1:10789226:10794737:1 gene:Potri.001G132700.v4.1 transcript:Potri.001G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132700.v4.1 MSSLFGLGRNQRTFRPKKSAPSGSKGAQLRKHIDATLGSGNLREAVRLPPGEDLNEWLAVNTVDFFNQVNLLFGTLTEFCTPENCSTMSAGPKYEYRWADGVQIKKPIEVSAPKYVEYLMDWIEAQLDDESIFPQRLGAPFPPNFKEVVKTIFKRLFRVYAHIYHSHFQKIVSLKEEAHLNTCFKHFILFTHEFGLIDKKELAPLQELIESIIVPY >Potri.001G263000.1.v4.1 pep chromosome:Pop_tri_v4:1:27871539:27873974:-1 gene:Potri.001G263000.v4.1 transcript:Potri.001G263000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G263000.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGMQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGY >Potri.005G112900.1.v4.1 pep chromosome:Pop_tri_v4:5:8177290:8180191:-1 gene:Potri.005G112900.v4.1 transcript:Potri.005G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112900.v4.1 MAAAVTAAVSFPSSTKSTSLSSRTTLIAPERITLKKAPVYYRDASASGRVISIRAQVTTEAPVKVEKVSKKDDEGVVVNKFKPKNPYTGRCLLNTKITGDDAPGETWHMVFSTEGEVPYREGQSIGVIPDGIDKNGKPHKLRLYSIASSAIGDFGDSKTVSLCVKRLVYTNEQGEIVKGVCSNFLCDLKPGAEVKITGPVGKEMLMPKDPNATIIMLGTGTGIAPFRSFLWKMFFEKHDDYKFNGLAWLFLGVPTSSSLLYKEEFEKMKEKAPDNFRLDFAVSREQTNDKGEKMYIQTRMAEYAEELWELLKKDNTYVYMCGLKGMEKGIDEIMVSLAAKDGIDWLEYKRSLKKAEQWNVEVY >Potri.009G053300.8.v4.1 pep chromosome:Pop_tri_v4:9:5764853:5767884:1 gene:Potri.009G053300.v4.1 transcript:Potri.009G053300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053300.v4.1 MVGALSVVDPHTSPCLCLDALPTTNIGLKSSGDLVLQRSSMKRKQLTRPGSLELGSSFVDSWHDWRLSSKAISGIVSKSSRKQRKDRGLVVVNELGGQYEDSFEDVKMQLINYFTYKAVRTVLNQLYEMNPTQYTWLYNFVAQNKPGDGKRFIRSLGKEKQDLAERVMVTRLHLYGKWVKKCDHAEIYKEISDENLELMRERLMETVKWPSDDTNTEKIG >Potri.011G113000.1.v4.1 pep chromosome:Pop_tri_v4:11:14415528:14416802:1 gene:Potri.011G113000.v4.1 transcript:Potri.011G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113000.v4.1 MANEVVLLDLKASPFAARVRIALEEKGIEYKSQVEDLSNKSSTLLKMNPVHQQIPVLIHNGKPICESMVIVQYIDEAWSHKPSLLPSGPYRRAHARFWADYIDKKIYPIGRNLWASEGEVKESSKKDLIQCFKILEEQLGDKLYFGDESFGYIDLALIPFYSFFYTFETLGNLCMVAEFPKLVEWGERCLQKESVSKSLSGQNEVYEVILQIKQKLGIE >Potri.001G015000.1.v4.1 pep chromosome:Pop_tri_v4:1:1059325:1061675:1 gene:Potri.001G015000.v4.1 transcript:Potri.001G015000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015000.v4.1 MGRGRGKGKKLTVSNHDDTGSGEEEKIPAQKRRGRPQKPLKDDIDEEEVEKIEDEEVENGKTGITSKETKSPTAAENGKKRKRYSQAKEKPDSVKEENGVGTRSSTDDSTKSNGFRHNRSRRKNKPRRAAEAGVECK >Potri.011G075600.2.v4.1 pep chromosome:Pop_tri_v4:11:7952493:7955020:1 gene:Potri.011G075600.v4.1 transcript:Potri.011G075600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G075600.v4.1 MEIPQGTSTPADKKHVYSVWAFPPEDVGARLKRLMAGLASEFGGPQFEPHITVVGAIGLTEQDALEKFHSACDGLQAYTATVDRVATGTFFYQCVYLLLHPLPEVVEASAHCSGHFGYKSSTPYMPHLSLLYGDLTDDEKKEAQEKANILDESISGLSFPITRLALWKTDTQDLTLKSWEKIAECSLSPK >Potri.001G173600.5.v4.1 pep chromosome:Pop_tri_v4:1:14913609:14920216:1 gene:Potri.001G173600.v4.1 transcript:Potri.001G173600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173600.v4.1 MPGSDVYRQSDIQPKPQLMPCFQKEVQSYSSSGCIDEHLADPFKEDNIMNSSMSSLTSHKETTTKADDTNAGNGKATVLMSDQTGEELPSVSMNVFDATAREKETERFGEFLQCLSGNVDDHVESDIFGGTFFGEQHHELFSPQRDGAYKYSKESLGSLSKESVKEADQRHRGIRRHLHFGAAISCKHAGNGTHETANLCLPGRQTDFESLVPPHVETRGISGIWQARSCSQTATLWSSFSPSACESVKSAQNYGNRAVSACIPSGGDLHLNSFVRSESVGSEFSTSKKLGSNLHQQEEKLMSDRDHYLCKEIDGIPVLSFLGEIYSHLGYEQQESQAAAGAGSSSYQSSSIMQPPCDSLHLIPYEQQASLCKGTMPSSEYADIVELNQMSPERNRKKAKYTIKSEGCRRCNCKKSRCLKLYCECFAAGIYCLDTCSCVNCINKPEYEDTVLDMRQQTEARNPLAFAPKVVNNATNSPANMMEEGKWMKTSSSRHKKGCNCKKSKCSKKYCECFQGGVGCCNGCRCEGCYNPYGNKTETSYRRAERWNNPSREQLDTLESHNDCIKAERPNQFSSTWEELADIGHLTPPSHCLLGAVASSGSLNIRDCSKQFLGQSQQESSVLSPSGYLNWHHSPSSLTPKLYGCEALPELSSDSFFCNMMEDATPETLKNTCTPSTEGVKSCSPNQKWVSPPKIRSHELRSSSSQGLRSGCKFILQDLPSFPPLTPYSKSQAAIHQNDGDHKASTGYQ >Potri.001G173600.4.v4.1 pep chromosome:Pop_tri_v4:1:14913609:14920216:1 gene:Potri.001G173600.v4.1 transcript:Potri.001G173600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173600.v4.1 MPGSDVYRQSDIQPKPQLMPCFQKEVQSYSSSGCIDEHLADPFKEDNIMNSSMSSLTSHKETTTKADDTNAGNGKATVLMSDQTGEELPSVSMNVFDATAREKETERFGEFLQCLSGNVDDHVESDIFGGTFFGEQHHELFSPQRDGAYKYSKESLGSLSKESVKEADQRHRGIRRHLHFGAAISCKHAGNGTHETANLCLPGRQTDFESLVPPHVETRGISGIWQARSCSQTATLWSSFSPSACESVKSAQNYGNRAVSACIPSGGDLHLNSFVRSESVGSEFSTSKKLGSNLHQQEEKLMSDRDHYLCKEIDGIPVLSFLGEIYSHLGYEQQESQAAAGAGSSSYQSSSIMQPPCDSLHLIPYEQQASLCKGTMPSSEYADIVELNQMSPERNRKKAKYTIKSEGCRRCNCKKSRCLKLYCECFAAGIYCLDTCSCVNCINKPEYEDTVLDMRQQTEARNPLAFAPKVVNNATNSPANMMEEGKWMKTSSSRHKKGCNCKKSKCSKKYCECFQGGVGCCNGCRCEGCYNPYGNKTETSYRRAERWNNPSREQLDTLESHNDCIKAERPNQFSSTWEELADIGHLTPPSHCLLGAVASSGSLNIRDCSKQFLGQSQQESSVLSPSGYLNWHHSPSSLTPKLYGCEALPELSSDSFFCNMMEDATPETLKNTCTPSTEGVKSCSPNQKWVSPPKIRSHELRSSSSQGLRSGCKFILQDLPSFPPLTPYSKSQAAIHQNDGDHKASTGYQ >Potri.001G173600.2.v4.1 pep chromosome:Pop_tri_v4:1:14913609:14920216:1 gene:Potri.001G173600.v4.1 transcript:Potri.001G173600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173600.v4.1 MPGSDVYRQSDIQPKPQLMPCFQKEVQSYSSSGCIDEHLADPFKEDNIMNSSMSSLTSHKETTTKADDTNAGNGKATVLMSDQTGEELPSVSMNVFDATAREKETERFGEFLQCLSGNVDDHVESDIFGGTFFGEQHHELFSPQAAGDQHDKLGASFQIFPEFLQRDGAYKYSKESLGSLSKESVKEADQRHRGIRRHLHFGAAISCKHAGNGTHETANLCLPGRQTDFESLVPPHVETRGISGIWQARSCSQTATLWSSFSPSACESVKSAQNYGNRAVSACIPSGGDLHLNSFVRSESVGSEFSTSKKLGSNLHQQEEKLMSDRDHYLCKEIDGIPVLSFLGEIYSHLGYEQQESQAAAGAGSSSYQSSSIMQPPCDSLHLIPYEQQASLCKGTMPSSEYADIVELNQMSPERNRKKAKYTIKSEGCRRCNCKKSRCLKLYCECFAAGIYCLDTCSCVNCINKPEYEDTVLDMRQQTEARNPLAFAPKVVNNATNSPANMMEEGKWMKTSSSRHKKGCNCKKSKCSKKYCECFQGGVGCCNGCRCEGCYNPYGNKTETSYRRAERWNNPSREQLDTLESHNDCIKAERPNQFSSTWEELADIGHLTPPSHCLLGAVASSGSLNIRDCSKQFLGQSQQESSVLSPSGYLNWHHSPSSLTPKLYGCEALPELSSDSFFCNMMEDATPETLKNTCTPSTEGVKSCSPNQKWVSPPKIRSHELRSSSSQGLRSGCKFILQDLPSFPPLTPYSKSQAAIHQNDGDHKASTGYQ >Potri.001G173600.3.v4.1 pep chromosome:Pop_tri_v4:1:14913609:14920216:1 gene:Potri.001G173600.v4.1 transcript:Potri.001G173600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G173600.v4.1 MPGSDVYRQSDIQPKPQLMPCFQKEVQSYSSSGCIDEHLADPFKEDNIMNSSMSSLTSHKETTTKADDTNAGNGKATVLMSDQTGEELPSVSMNVFDATAREKETERFGEFLQCLSGNVDDHVESDIFGGTFFGEQHHELFSPQAAGDQHDKLGASFQIFPEFLQRDGAYKYSKESLGSLSKESVKEADQRHRGIRRHLHFGAAISCKHAGNGTHETANLCLPGRQTDFESLVPPHVETRGISGIWQARSCSQTATLWSSFSPSACESVKSAQNYGNRAVSACIPSGGDLHLNSFVRSESVGSEFSTSKKLGSNLHQQEEKLMSDRDHYLCKEIDGIPVLSFLGEIYSHLGYEQQESQAAAGAGSSSYQSSSIMQPPCDSLHLIPYEQQASLCKGTMPSSEYADIVELNQMSPERNRKKAKYTIKSEGCRRCNCKKSRCLKLYCECFAAGIYCLDTCSCVNCINKPEYEDTVLDMRQQTEARNPLAFAPKVVNNATNSPANMMEEGKWMKTSSSRHKKGCNCKKSKCSKKYCECFQGGVGCCNGCRCEGCYNPYGNKTETSYRRAERWNNPSREQLDTLESHNDCIKAERPNQFSSTWEELADIGHLTPPSHCLLGAVASSGSLNIRDCSKQFLGQSQQESSVLSPSGYLNWHHSPSSLTPKLYGCEALPELSSDSFFCNMMEDATPETLKNTCTPSTEGVKSCSPNQKWVSPPKIRSHELRSSSSQGLRSGCKFILQDLPSFPPLTPYSKSQAAIHQNDGDHKASTGYQ >Potri.001G049800.1.v4.1 pep chromosome:Pop_tri_v4:1:3762216:3766736:1 gene:Potri.001G049800.v4.1 transcript:Potri.001G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049800.v4.1 MDFQIRSVLHLFVIFSLLFSLAKAETAGSVFFIDSQTRQYLRTPSPKDVVQSMSLREVGAAVSVLLGFAPSDALSAASSSKLNEVLMPNPFNRPRAVFMLEVTGEIPSVAEQANAMFNGAFKSKIVLGSDKAGIELPGEEVSVVSLDEELADFTDKEISDFASWLGGSYVVDPLEAWNGELAIPLASGATTSFHMSKKANREFIASLLALFRNSRRAVEMHEDLSQSTQPPAELLKGCFDGLKALGEQYGPEGAAQKGLELLLTTLSKMFDSLQTAYKGQIAGVIFFNTAPASESETVLDVMLTSQPSARWLEETKTPSNGTIAEVALVRMTLAWITGIVLIIATLLGIYYLLNMPITRDTLLYSNVKLD >Potri.010G188400.5.v4.1 pep chromosome:Pop_tri_v4:10:18482110:18487465:1 gene:Potri.010G188400.v4.1 transcript:Potri.010G188400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188400.v4.1 MAGPETETGLAAPDVEAVLDFLRKNGLKDAELALKEDINERNTELGSFDFEKFLFVLPPVRIPANGRQLEVEGFGGGGERLQPSSGSPSADEFVSLGSSTTASGVYSSEFSNPYGLHSASQANSKTSSDRLSQFGTARDYRDFDLQNDFCWYDEKEEGHFMTPCFNGPDYFSCPSEDKFVMTSETEKQFENYLGLYDKSEGETEGTAGYLDKQCLFNVTSVNNKNKAHSMSYYHFDRRNQLEGDIEGDGSSASNCKCCEKTGGTCSKNPVDCIYLSSKETDLGDFQLKGGEGLADYDIAHVHMGNKNANYYCKKGLNSDWIEGFKSTSDIIENVIDDFEVGDGGEVNGEARELEAAIGGEDVNAAELLMYYNQEDEYEVFNLRVIHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVIQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKIVNKHDPADERHILRLYDYFYHQEHLFIVCELLKANLYEFQKFSQESDGKAYFTLSRLQVITRQCLEALEYLHHLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFKSDNLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVLFPNDAVVMILARMIGMLGPIHSEMLVMGQETHKYFTEEYDLYYSNEVCHVSFSSKDRCGLADC >Potri.010G188400.1.v4.1 pep chromosome:Pop_tri_v4:10:18482110:18487239:1 gene:Potri.010G188400.v4.1 transcript:Potri.010G188400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188400.v4.1 MAGPETETGLAAPDVEAVLDFLRKNGLKDAELALKEDINERNTELGSFDFEKFLFVLPPVRIPANGRQLEVEGFGGGGERLQPSSGSPSADEFVSLGSSTTASGVYSSEFSNPYGLHSASQANSKTSSDRLSQFGTARDYRDFDLQNDFCWYDEKEEGHFMTPCFNGPDYFSCPSEDKFVMTSETEKQFENYLGLYDKSEGETEGTAGYLDKQCLFNVTSVNNKNKAHSMSYYHFDRRNQLEGDIEGDGSSASNCKCCEKTGGTCSKNPVDCIYLSSKETDLGDFQLKGGEGLADYDIAHVHMGNKNANYYCKKGLNSDWIEGFKSTSDIIENVIDDFEVGDGGEVNGEARELEAAIGGEDVNAAELLMYYNQEDEYEVFNLRVIHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVIQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKIVNKHDPADERHILRLYDYFYHQEHLFIVCELLKANLYEFQKFSQESDGKAYFTLSRLQVITRQCLEALEYLHHLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFKSDNLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVLFPNDAVVMILARMIGMLGPIHSEMLVMGQETHKYFTEEYDLYYSNEAYDS >Potri.010G188400.4.v4.1 pep chromosome:Pop_tri_v4:10:18481943:18487434:1 gene:Potri.010G188400.v4.1 transcript:Potri.010G188400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188400.v4.1 MAGPETETGLAAPDVEAVLDFLRKNGLKDAELALKEDINERNTELGSFDFEKFLFVLPPVRIPANGRQLEVEGFGGGGERLQPSSGSPSADEFVSLGSSTTASGVYSSEFSNPYGLHSASQANSKTSSDRLSQFGTARDYRDFDLQNDFCWYDEKEEGHFMTPCFNGPDYFSCPSEDKFVMTSETEKQFENYLGLYDKSEGETEGTAGYLDKQCLFNVTSVNNKNKAHSMSYYHFDRRNQLEGDIEGDGSSASNCKCCEKTGGTCSKNPVDCIYLSSKETDLGDFQLKGGEGLADYDIAHVHMGNKNANYYCKKGLNSDWIEGFKSTSDIIENVIDDFEVGDGGEVNGEARELEAAIGGEDVNAAELLMYYNQEDEYEVFNLRVIHRKNRTGFEENKDLPIVLNTVIAGRYYVTEYLGSAAFSKVIQAHDLHTGIDVCLKIIKNDKDFFDQSLDEIKLLKIVNKHDPADERHILRLYDYFYHQEHLFIVCELLKANLYEFQKFSQESDGKAYFTLSRLQVITRQCLEALEYLHHLGIIHCDLKPENILIKSYRRCEIKVIDLGSSCFKSDNLCLYVQSRSYRAPEVILGLPYDQKIDLWSLGCILAELCSGEVLFPNDAVVMILARMIGMLGPIHSEMLVMGQETHKYFTEEYDLYYSNEETNQIEYLIPEESSLEHHLQISDVGFIDFMRNLLELNPLRRLTAKEALEHPWLSHSY >Potri.005G085100.1.v4.1 pep chromosome:Pop_tri_v4:5:5867280:5872894:-1 gene:Potri.005G085100.v4.1 transcript:Potri.005G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085100.v4.1 MFWRDRERENKDQNGGPPCGQVRVLIVGDSGVGKTSLVHLIIKSSSIARPPQTIGCTVGVKHITYGNSGSSSSSIKGDSERDFFIELWDVSGHERYKDCRSLFYSQINGVIFVHDLSQRRTKTSLQKWASEIAATGTFSAPLSSGGPGGLPVPYIVVSNKADVAAKEGTRGSSGNLVDAARHWVEKQGLLPSSEEIPLTESFPGSGGLISAAKEARYDKEAMVRFFRMLIRRRYFSDELPAPSPWSASPVQRSVQRLDENISDEDPFYKATSLAGDSYKYNMLPPLPAQRNLTPPPTLYPQQPVSVRESYGIPRFPLSGSQEISNTARSKRMDINV >Potri.018G065600.2.v4.1 pep chromosome:Pop_tri_v4:18:7824884:7828697:1 gene:Potri.018G065600.v4.1 transcript:Potri.018G065600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065600.v4.1 MAENRTGTSLFSPCKMGKFSLSHRVVLAPMTRCRALNGIPGDALAEYYAQRSTPGGFLITEGALISPTAPGFPHVPGIYSDAQVEAWKKVVDAVHAKGSVIFCQLWHVGRASHQVYQPGGVPPISSTNKPISNRWRILMPDGTYGIYPAPRALKTSEILELVEHYSQAALNAIRAGFDGVEIHGAHGYLIDQFLKNGINDRVDEYGGSIENRCRFMMQVIQAVVSAVGAERVAFRMSPAIDHLDATDSDPLNLGLSVIERINKLQLQVGSKLTYLHVTQPRYTASGQTESRRTGSEDEEAQMIRTWRRAYQGTFMCSGGFTRELGIQAVTEGDADLVSYGRLFISNPDLVLRLKLNAPLNKYIRKTFYTQDPVVGYTDYPFLSKANGVQVPLSRL >Potri.001G177900.5.v4.1 pep chromosome:Pop_tri_v4:1:15490926:15493826:-1 gene:Potri.001G177900.v4.1 transcript:Potri.001G177900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177900.v4.1 MMFSLILPSSSKRMSLSGTPNYSSNDGGRRADFSTIASGFPVKVHVQTLLRKTPSSLQSPAKSLKHEMDITSAPERKLVKQLRASNTHSFVADSTPAVNGKYQKLTDDWESKKLDLITGGRLLQDGLVYRQNISVRSFEIGGDRKMSFGALLNHLQDTALNQSRITGLTADGFGSTREMSRNNLIWVVSTLHIVVDRHPTWTDVVEVDTWMYASGKNGLGRDWIFRDSKTGETLATATSVCVMMNKKTRRLSKFAKEMRDEIEPYLMDCECPIINKDSRKILKLDVSTADQICTGLSVSLFNELRVSTQN >Potri.001G177900.4.v4.1 pep chromosome:Pop_tri_v4:1:15490925:15493826:-1 gene:Potri.001G177900.v4.1 transcript:Potri.001G177900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177900.v4.1 MMFSLILPSSSKRMSLSGTPNYSSNDGGRRADFSTIASGFPVKVHVQTLLRKTPSSLQSPAKSLKHEMDITSAPERKLVKQLRASNTHSFVADSTPAVNGKYQKLTDDWESKKLDLITGGRLLQDGLVYRQNISVRSFEIGGDRKMSFGALLNHLQDTALNQSRITGLTADGFGSTREMSRNNLIWVVSTLHIVVDRHPTWTDVVEVDTWMYASGKNGLGRDWIFRDSKTGETLATATSVCVMMNKKTRRLSKFAKEMRDEIEPYLMDCECPIINKDSRKILKLDVSTADQICTGLSSVPRSFMERYYLNAMTLEYKKECDMDSVLQSLSKMVGGGNSDSFSANKVIEYDHMLRLENGCEILRGRTVWKLKDTTNS >Potri.001G177900.2.v4.1 pep chromosome:Pop_tri_v4:1:15490926:15493826:-1 gene:Potri.001G177900.v4.1 transcript:Potri.001G177900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177900.v4.1 MMFSLILPSSSKRMSLSGTPNYSSNDGGRRADFSTIASGFPVKVHVQTLLRKTPSSLQSPAKSLKHEMDITSAPERKLVKQLRASNTHSFVADSTPAVNGKYQKLTDDWESKKLDLITGGRLLQDGLVYRQNISVRSFEIGGDRKMSFGALLNHLQDTALNQSRITGLTADGFGSTREMSRNNLIWVVSTLHIVVDRHPTWTDVVEVDTWMYASGKNGLGRDWIFRDSKTGETLATATSVCVMMNKKTRRLSKFAKEMRDEIEPYLMDCECPIINKDSRKILKLDVSTADQICTGLSPGWNDMDINQHVSNVKYIDWILESVPRSFMERYYLNAMTLEYKKECDMDSVLQSLSKMVGGGNSDSFSANKVIEYDHMLRLENGCEILRGRTVWKLKDTTNS >Potri.001G177900.3.v4.1 pep chromosome:Pop_tri_v4:1:15490926:15493826:-1 gene:Potri.001G177900.v4.1 transcript:Potri.001G177900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177900.v4.1 MMFSLILPSSSKRMSLSGTPNYSSNDGGRRADFSTIASGFPVKVHVQTLLRKTPSSLQSPAKSLKHEMDITSAPERKLVKQLRASNTHSFVADSTPAVNGKYQKLTDDWESKKLDLITGGRLLQDGLVYRQNISVRSFEIGGDRKMSFGALLNHLQDTALNQSRITGLTADGFGSTREMSRNNLIWVVSTLHIVVDRHPTWTDVVEVDTWMYASGKNGLGRDWIFRDSKTGETLATATSVCVMMNKKTRRLSKFAKEMRDEIEPYLMDCECPIINKDSRKILKLDVSTADQICTGLSPGWNDMDINQHVSNVKYIDWILESVPRSFMERYYLNAMTLEYKKECDMDSVLQSLSKMVGGGNSDSFSANKVIEYDHMLRLENGCEILRGRTVWKLKDTTNS >Potri.010G175700.1.v4.1 pep chromosome:Pop_tri_v4:10:17612605:17614924:1 gene:Potri.010G175700.v4.1 transcript:Potri.010G175700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175700.v4.1 MGKKFVKYFVVDAFTDSAFKGNPAAVCLLEYEKDEKWLQAVAAEFNISETCYLTPITTDVAVTSNPRFRLRWFTPVAEVNLCGHATLAASHTLFSNGLVSSDIIEFDTLSGILTAKKVPQSINPENVSNGEAKESFLIELDFPVVPLTEFFIDAVSISKALNSAPIIDLKKTTFGDLFIVLPSGKDVAEINPEFDEILKCPGRGVIVSGVAPPESGFDFYSRFFCPKVGINEDPVCGSAHCALAPYWSKKLGKCDFMAYQASPRSGILDIHLDEQNQRVLLRGKAVTVMEGSVLV >Potri.011G015750.2.v4.1 pep chromosome:Pop_tri_v4:11:1442094:1444090:-1 gene:Potri.011G015750.v4.1 transcript:Potri.011G015750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015750.v4.1 MLLTCLIPWIAKSCCSTAWQEKVQNQKDKYKSAVELAKLLSRNDTSWEVTYSSIDQSKPKIHRYGEIGGQEGMSLAARIPERMDDVGETPLILATKSGIVEIVEEILRLYPQAVEHVDDEGRNVLHVAIKYRELKIFELVTKMEVPMKRLVRKIDNEGNSILHTVGVKRKDFVSEKMEGPAFLLQEELLWFERVEKVTPPHFISHHNSQNLSAECLFITANSELRSSAKEWMKSTAEGSSVVAVLIATVAFAAAYTVPGGPNQSTGVPVLVNKPFFVVFTVSDVLSLTFALTSVVTFLSILSSPFRFKDFKHTLPNKLMAGFTFLFLSVAMMMVAFGSTIFLTIYNKENWAKVTLYTVSFIPVCIFALSYFPLYSSLSKTYKYLLENFPLTKLVLSKPCTMMSKCLKCCQVQTSESHIP >Potri.018G127700.1.v4.1 pep chromosome:Pop_tri_v4:18:13865748:13867122:-1 gene:Potri.018G127700.v4.1 transcript:Potri.018G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127700.v4.1 MESREAKPKAKKGLWKPEEDLILKTYVETHGEGNWSTVSKKSGLMRGGKSCRLRWKNYLRPNIKRGGMSQEEEDMIIRMHKLLGNRWSLIAGRLPGRTDNEVKNYWNTHLNKRLPSGKRKSTDSNPDQDDNNTKENKSKRLRALGDSQPTGNTSPIGSTEELEGKNQEKEERSAVSDVWAQQDAQSMMNYYIESPMVPDNNATFVFDDEPFLAYWDSFVLFESVGCGGDW >Potri.006G181200.1.v4.1 pep chromosome:Pop_tri_v4:6:18776627:18780012:1 gene:Potri.006G181200.v4.1 transcript:Potri.006G181200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181200.v4.1 MGACKLSMFSFLCLIIILVVVSGEESPQLVKDRISLLSFRSGIVLDPEGALESWNSSSNHVCHWTGVKCDNASDRVIQLDLSGLSLHGRISPILANLSSLLVLDLSRNFFEGHIPAELGYLFQLRQLSLSWNLLGGNIPEELGFLHQLVYLDLGSNRLAGDIPAPLFCNGSSSLEYMDLSNNSLTGKIPLKNECELSALRFLLLWSNRLVGRVPRALSKSTNLKWLDLESNMLTGELPSEIVRKMPKLQFLYLSYNDFVSHDGNTNLEPFFASLVNSSDLQELELAGNNLRGEIPPIIGNLSTNFVQIHLDENLLYGSIPPHIANLVNLTLLNLSSNLLNGTIPLELCRMGKLERVYLSNNSLSGEIPAALANISHLGLLDLSKNKLTGPIPDSFANLSQLRRLLLYENQLSGTIPPSLGQCVNLEILDLSRNTISGIIPSEVAGLKSLKLYLNLSSNHLHGPLPLELSKMDMVLAIDLSSNNLSGSIPPQLGSCIALEHLNLSGNVLEGLLPATIGQLPYLKELDVSSNQLSGNIPQSLEASPTLKHLNFSFNKFSGNTSNKGAFSSLTIDSFLGNEGLCGEIKGMPNCRRKHAHHSLVLPVLLSLFATTLLCIFAYPLALRSKFRRQMVIFNRGDLEDEDKETKDLKHPRISYRQLIEATGGFSASSLIGSGQFGHVYKGVLQDNTRIAVKVLDTKTAGEISGSFKRECQVLKRAKHRNLIKIITICSKPDFKALVLPLMSNGSLERHLYPSHGLNTGLDLIQLVSICNDVAEGVAYLHHYSPVRVVHCDLKPSNILLDEDMTALVTDFGIARLIKGADDSNPTDDSVSFSSTDGLLCGSVGYIAPEYGMGKRASTQGDVYSFGVLLLEIITGRRPTDVLFHEGSSLHGWIKSHYPHNVKPIVDQAVLRFAPSGMPVYCNKIWSDVILELIELGLICTQNNPSTRPSMLEVANEMGSLKQYLSSPPPLLIDEAYPKAGA >Potri.009G104600.1.v4.1 pep chromosome:Pop_tri_v4:9:9168860:9170471:-1 gene:Potri.009G104600.v4.1 transcript:Potri.009G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104600.v4.1 MGSNESSNEIDRKFRFLTAYKDGRVEIHYPTQKIPPSNDPNTGVQSKDVTISTEPPVSARIYLPKILDPTKKVPVLYYIHGGGFCFESAFSPLFHSHLMALVAEANVIAVSLEYGLWPERPLPGSYVDAWAGLKWIASHVKGNGPEPWLNDNADFSRFFMGGDSGGANMSNFLAVQIGSYGLPGVRLIGMIMVHPFFGGMEDDEMWMFMYPTNCGKQDPKLKPPPEDLAKLGCEKVLVFLAEKDHLREVGGIFYEDLKRSGYKGALEVVEHEGVAHEFHLFDPAHDKSLSLVKKFASFLNEV >Potri.003G056300.12.v4.1 pep chromosome:Pop_tri_v4:3:8244546:8255087:1 gene:Potri.003G056300.v4.1 transcript:Potri.003G056300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056300.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMHIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPEIPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDASFYILKYNRDIVSSYLDSGKPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDHPMYLLGYLASQSRVYLIDKEFNVTGYTLLLSLIEYKTLVMRGDLERASELLPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGRLEVAKEIAIEVQSESKWKQLGELAMSNGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLGSLAIEQGKINVAFLCLFMLGKVEDCLQLLVERNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNPKAAESLADPEEYPNMFDDWQIALSVESRAAETRGVYPPAEDYQTHADKPHITLVEAFRNMQIEEEEPLENGDFDHEAEEENGDEQNGEEGSQEEAVVVDAESTDGAVLVNGNEPEEEWGTNNEGTPSA >Potri.003G056300.15.v4.1 pep chromosome:Pop_tri_v4:3:8244547:8254973:1 gene:Potri.003G056300.v4.1 transcript:Potri.003G056300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056300.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMHIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPEIPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDASFYILKYNRDIVSSYLDSGKPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDHPMYLLGYLASQSRVYLIDKEFNVTGYTLLLSLIEYKTLVMRGDLERASELLPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGRLEVAKEIAIEVQSESKWKQLGELAMSNGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLGSLAIEQGKINVAFLCLFMLGKVEDCLQLLVERNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNPKAAESLADPEEYPNMFDDWQIALSVESRAAETRGVYPPAEDYQTHADKPHITLVEAFRNMQIEEEEPLENGDFDHEAEEENGDEQNGEEGSQEEAVVVDAESTDGAVLVNGNEPEEEWVLTPHH >Potri.003G056300.13.v4.1 pep chromosome:Pop_tri_v4:3:8244551:8254924:1 gene:Potri.003G056300.v4.1 transcript:Potri.003G056300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056300.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMHIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPEIPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDASFYILKYNRDIVSSYLDSGKPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDHPMYLLGYLASQSRVYLIDKEFNVTGYTLLLSLIEYKTLVMRGDLERASELLPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGRLEVAKEIAIEVQSESKWKQLGELAMSNGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLGSLAIEQGKINVAFLCLFMLGKVEDCLQLLVERNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNPKAAESLADPEEYPNMFDDWQIALSVESRAAETRGVYPPAEDYQTHADKPHITLVEAFRNMQIEEEEPLENGDFDHEAEEENGDEQNGEEGSQEEAVVVDAESTDGAVLVNGNEPEEEWGTNNEGTPSA >Potri.003G056300.14.v4.1 pep chromosome:Pop_tri_v4:3:8244459:8255463:1 gene:Potri.003G056300.v4.1 transcript:Potri.003G056300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056300.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMHIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPEIPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDASFYILKYNRDIVSSYLDSGKPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDHPMYLLGYLASQSRVYLIDKEFNVTGYTLLLSLIEYKTLVMRGDLERASELLPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGRLEVAKEIAIEVQSESKWKQLGELAMSNGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLGSLAIEQGKINVAFLCLFMLGKVEDCLQLLVERNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNPKAAESLADPEEYPNMFDDWQIALSVESRAAETRGVYPPAEDYQTHADKPHITLVEAFRNMQIEEEEPLENGDFDHEAEEENGDEQNGEEGSQEEAVVVDAESTDGAVLVNGNEPEEEWVLTPHH >Potri.003G056300.10.v4.1 pep chromosome:Pop_tri_v4:3:8244551:8255394:1 gene:Potri.003G056300.v4.1 transcript:Potri.003G056300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056300.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMHIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPEIPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDASFYILKYNRDIVSSYLDSGKPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDHPMYLLGYLASQSRVYLIDKEFNVTGYTLLLSLIEYKTLVMRGDLERASELLPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGRLEVAKEIAIEVQSESKWKQLGELAMSNGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLGSLAIEQGKINVAFLCLFMLGKVEDCLQLLVERNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNPKAAESLADPEEYPNMFDDWQIALSVESRAAETRGVYPPAEDYQTHADKPHITLVEAFRNMQIEEEEPLENGDFDHEAEEENGDEQNGEEGSQEEAVVVDAESTDGAVLVNGNEPEEEWGTNNEGTPSA >Potri.003G056300.9.v4.1 pep chromosome:Pop_tri_v4:3:8244551:8255937:1 gene:Potri.003G056300.v4.1 transcript:Potri.003G056300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056300.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMHIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPEIPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDASFYILKYNRDIVSSYLDSGKPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDHPMYLLGYLASQSRVYLIDKEFNVTGYTLLLSLIEYKTLVMRGDLERASELLPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGRLEVAKEIAIEVQSESKWKQLGELAMSNGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLGSLAIEQGKINVAFLCLFMLGKVEDCLQLLVERNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNPKAAESLADPEEYPNMFDDWQIALSVESRAAETRGVYPPAEDYQTHADKPHITLVEAFRNMQIEEEEPLENGDFDHEAEEENGDEQNGEEGSQEEAVVVDAESTDGAVLVNGNEPEEEWGTNNEGTPSA >Potri.003G056300.11.v4.1 pep chromosome:Pop_tri_v4:3:8244601:8255305:1 gene:Potri.003G056300.v4.1 transcript:Potri.003G056300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056300.v4.1 MPLRLEIKRKLAQRSERVKSVDLHPTEPWILVSLYSGTVCIWNYQSQTMAKSFEVTELPVRSAKFIARKQWVVAGADDMHIRVYNYNTMDKIKVFEAHTDYIRCVAVHPTLPYVLSSSDDMLIKLWDWEKGWACTQIFEGHSHYVMQVTFNPKDTNTFASASLDRTIKIWNLGSPDPNFTLDAHQKGVNCVDYFTGGDKPYLITGSDDHTAKVWDYQTKSCVQTLEGHTHNVSAVCFHPEIPIIITGSEDGTVRIWHSTTYRLENTLNYGLERVWAVGYMKGSRRVVIGYDEGTIMVKIGREEPVASMDNSGKIIWAKHNEIQTVNIRSVGADFEVTDGERLPLAVKELGTCDLYPQSLKHNPNGRFVVVCGDGEYIIYTALAWRNRSFGSALEFVWSSDGEYAVRESTSKIKIFSKNFQEKKSVRPTFSAERIYGGTLLAMCSNDFICFYDWAECRLIRRIDVTVKNLYWADSGDLVAIASDASFYILKYNRDIVSSYLDSGKPVDEQGVEDAFELLHETNERVRTGLWVGDCFIYNNSSWRLNYCVGGEVTTMYHLDHPMYLLGYLASQSRVYLIDKEFNVTGYTLLLSLIEYKTLVMRGDLERASELLPSIPKEHHNSVAHFLESRGMIEDALEVATDPDYRFELAIQLGRLEVAKEIAIEVQSESKWKQLGELAMSNGKLEMAEECMKHATDLSGLLLLYSSLGDAEGISKLGSLAIEQGKINVAFLCLFMLGKVEDCLQLLVERNRIPEAALMARSYLPSKVSEIVALWRKDLNKVNPKAAESLADPEEYPNMFDDWQIALSVESRAAETRGVYPPAEDYQTHADKPHITLVEAFRNMQIEEEEPLENGDFDHEAEEENGDEQNGEEGSQEEAVVVDAESTDGAVLVNGNEPEEEWGTNNEGTPSA >Potri.006G076300.6.v4.1 pep chromosome:Pop_tri_v4:6:5633970:5637113:1 gene:Potri.006G076300.v4.1 transcript:Potri.006G076300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076300.v4.1 MEEKSFLDRMLGHLRETCKYSTGYPKDLGPSRVIHFTSEREFVQLLHQGYPVVVAFTIRGNYTKHLDQVLEVAAAEFYPHVKFLRVECPKYPGFCITRQRKEYPFIEIFHSPEQAANRGRVGGPNITKYSAKALPFNYDLSAYGFREFFKRYGIQSSQEPK >Potri.001G314000.4.v4.1 pep chromosome:Pop_tri_v4:1:32493356:32497177:1 gene:Potri.001G314000.v4.1 transcript:Potri.001G314000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314000.v4.1 MKKRFQEMGKYMRKAKTTSDVAVMDLTHGVRTRARTLALKKQQGVKASPPSSPAGYLQLRSRRLEKKPPPIPSLHHDSPRRQHHRQGGQNSSKLGQQQQQEEVEIPSPNLKSSSGSGQEKERGESKVDSREVEENNNSNSKDLGSFGDNVLDIEGRDRSTRESTPCNLTRGTEDARTPGSTTKPANPTESSRRLHNSTRRHIPTAHEMDEFFGPAEEEQLRQFTEKYNFDPVSDKPLHGRYEWEKLDR >Potri.004G188100.1.v4.1 pep chromosome:Pop_tri_v4:4:20083988:20086858:1 gene:Potri.004G188100.v4.1 transcript:Potri.004G188100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188100.v4.1 MQSLSPPSPIKSLIRPRPRVIRGSPNKLALQCIYRSDSVSFPNGVGSNRADWQSSCSILASKVVAQQQPTDKSSRSGDVDGGADHVAAVNGHKTSMDLNLVPIEKTASSNSNSSIKPHQPQKPLTITDLCPAPMHGSHLRVAYQGVPGAYSEAAAGKAYPNCEAIPCDQFEVAFQAVELWIADRAVLPVENSLGGSIHRNYDLLLRHRLHIVGEVQLPVHHCLLALPGVRKEYINRVISHPQALAQCELTLTKLGLQAAREAVDDTAGAAEYIAANNLRDTAAIASARAAELYGMQVLADGIQDDSSNVTRFVMLAREPIIPRTDRPFKTSIVFAHDKGTSVLFKVLSAFAFRNISLTKIESRPHRNRPIRLVDDGNVGTAKHFEYMFYIDFEASMAEVRAQNALAEVQEFTSFLRVLGSYPMDMTPWCPSRGEDDDDDEKNPF >Potri.005G156600.4.v4.1 pep chromosome:Pop_tri_v4:5:14901054:14904300:1 gene:Potri.005G156600.v4.1 transcript:Potri.005G156600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156600.v4.1 MASLRPSSVKGDPVQFSPFSAITNSFMGFHRNWLSLRTKLGRVDNTWLRLSPSLKEKSVLRLDAWSISAGLAQELKKFKIQNMSETDGRVDFFDEMKQRFMSFKKQKYLGEVEHFKTLAEAQSPKFMVIACVDSRVCPSNILGFQPGEAFMVRNVANLVPPLENGRTETNAALEFAVKTLQVQNIFVIGHSCCAGIQTLMTMQDDENSSFTEKWVANAKVAKLRTKEAIRLSFDQQCKHCEKESINCSLLNLLTYPWIEERVRKGTLSLQGGYYDFLRCTFEIWTLDFKESNVSHGSRISVKDKAFWC >Potri.005G156600.5.v4.1 pep chromosome:Pop_tri_v4:5:14900969:14904260:1 gene:Potri.005G156600.v4.1 transcript:Potri.005G156600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156600.v4.1 MVRNVANLVPPLENGRTETNAALEFAVKTLQVQNIFVIGHSCCAGIQTLMTMQDDENSSFTEKWVANAKVAKLRTKEAIRLSFDQQCKHCEKESINCSLLNLLTYPWIEERVRKGTLSLQGGYYDFLRCTFEIWTLDFKESNVSHGSRISVKDKAFWC >Potri.005G156600.1.v4.1 pep chromosome:Pop_tri_v4:5:14900969:14904295:1 gene:Potri.005G156600.v4.1 transcript:Potri.005G156600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156600.v4.1 MIWGIRSKTSSIITTMASLRPSSVKGDPVQFSPFSAITNSFMGFHRNWLSLRTKLGRVDNTWLRLSPSLKEKSVLRLDAWSISAGLAQELKKFKIQNMSETDGRVDFFDEMKQRFMSFKKQKYLGEVEHFKTLAEAQSPKFMVIACVDSRVCPSNILGFQPGEAFMVRNVANLVPPLENGRTETNAALEFAVKTLQVQNIFVIGHSCCAGIQTLMTMQDDENSSFTEKWVANAKVAKLRTKEAIRLSFDQQCKHCEKESINCSLLNLLTYPWIEERVRKGTLSLQGGYYDFLRCTFEIWTLDFKESNVSHGSRISVKDKAFWC >Potri.015G119401.2.v4.1 pep chromosome:Pop_tri_v4:15:13364966:13388771:1 gene:Potri.015G119401.v4.1 transcript:Potri.015G119401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119401.v4.1 MGTPPYLMKRVYEAASKGDWDSMKTAYKGTDDKYMMSPITVLKDTAFHLAVYSKKDEPLQSLLRIVSGNSIPGNPCTLQNAYGNTVLHEAVFTGNMKAVELLLQFTPKEQCEYDPSKQLETKNELGETPLYRAASCGKKEIVEYLVIKMKQIYKGKLLEEHRRREKLDKEKNNNSEKVDLKPILHAAIEGQHFETALTLLKRDPSLDDMTDEQGRTCLHLLAEMPSAFKSGRAMLKYSIRNLIYCCLSASNGDDDQSKSKKETEALTLLERDPSLDMTEEQGRTCLHLLAEMPSAFKSGYAMLKYSIRKIYCCLSASNGDDDQSKSKKGHSAANGDQSKSKKGYKVLNFKVELHGNNISEEIQYGANYISYIDIFFICKQVVNDDFKEFQIRDGQDNKVFDDASTLKMLSLHEHQNQIVLVVDTAPKSRPQYYSSEPHKGQNIMHVAVMHRQLEIYNYVKKKFKPIMVRLCSRIDNNGYTLLHQVAHMKHYRGGTKPSPALKLQEEIQWFKRVQRAVPPYLSEKRAPREDPPDNEHKVTAHNFFLQMELEDHLRVVVPPDNEYKLTAHKFLQMEPEDHLRVVVLPYKAMQRDKDCKLTALELFQEEHKAQLKLAQEWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSNDLGFPIFLHNRFFLAFTVLDVIALASSLTSVVMFLSILTSPFEYENFYHNIPRKLIWGFTLLFLSVMTTMLAFACTLFLIIHFRKKWTTGLISFAAFFPVTVFALMQFPLYVSFLSTTKDLFKEVGKYLPRYCCPFRCQRCRKMRRIFCLAY >Potri.015G119401.1.v4.1 pep chromosome:Pop_tri_v4:15:13365448:13388833:1 gene:Potri.015G119401.v4.1 transcript:Potri.015G119401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119401.v4.1 MGTPPYLMKRVYEAASKGDWDSMKTAYKGTDDKYMMSPITVLKDTAFHLAVYSKKDEPLQSLLRIVSGNSIPGNPCTLQNAYGNTVLHEAVFTGNMKAVELLLQFTPKEQCEYDPSKQLETKNELGETPLYRAASCGKKEIVEYLVIKMKQIYKGKLLEEHRRREKLDKEKNNNSEKVDLKPILHAAIEGQHFETALTLLKRDPSLDDMTDEQGRTCLHLLAEMPSAFKSGRAMLKYSIRNLIYCCLSASNGDDDQSKSKKETEALTLLERDPSLDMTEEQGRTCLHLLAEMPSAFKSGYAMLKYSIRKIYCCLSASNGDDDQSKSKKGHSAANGDQSKSKKGYKVLNFKVELHGNNISEEIQYGANYISYIDIFFICKQVVNDDFKEFQIRDGQDNKVFDDASTLKMLSLHEHQNQIVLVVDTAPKSRPQYYSSEPHKGWQAVKIKKEKDKHESALKLAKELIEKNKRHWWQSINVADSNRVNIETPGKGGRGQGVDPNPLFIAISNGIEEIAKEILEKFPQGVELVNETGQNIMHVAVMHRQLEIYNYVKKKFKPIMVRLCSRIDNNGYTLLHQVAHMKHYRGGTKPSPALKLQEEIQWFKRVQRAVPPYLSEKRAPREDPPDNEHKVTAHNFFLQMELEDHLRVVVPPDNEYKLTAHKFLQMEPEDHLRVVVLPYKAMQRDKDCKLTALELFQEEHKAQLKLAQEWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSNDLGFPIFLHNRFFLAFTVLDVIALASSLTSVVMFLSILTSPFEYENFYHNIPRKLIWGFTLLFLSVMTTMLAFACTLFLIIHFRKKWTTGLISFAAFFPVTVFALMQFPLYVSFLSTTKDLFKEVGKYLPRYCCPFRCQRCRKMRRIFCLAY >Potri.001G069100.1.v4.1 pep chromosome:Pop_tri_v4:1:5203970:5204888:1 gene:Potri.001G069100.v4.1 transcript:Potri.001G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G069100.v4.1 MVLQNDIDLLNPPAELEKRKHKLKRLVQSPNSFFMDVKCQGCFNITTVFSHSQTVVVCGNCQTVLCQPTGGRAKLTEGCSFRKKSE >Potri.013G052900.1.v4.1 pep chromosome:Pop_tri_v4:13:3848471:3850285:1 gene:Potri.013G052900.v4.1 transcript:Potri.013G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052900.v4.1 MGSLSTNTFSPLDPNGFTNDSKMVIDFIADYYKNIENNPVQSQVKPGYLLTQLPDTAPYCEESLEDVLKDVTDSIIPGLTHWQSPNFFAYFQANASTAGFVGEMLCTGLNVVGFNWIASPAATELESIVMDWMGKMLKLPSTFLFSGNGGGVLHGSTCEAIVCTLVAARDETLRMIGAENITKLVVYASDQTHSTLLKGVKLVGIPSSNFRCLSTSFSSEFSLSPQALEDAIENDIKAGLVPLFLCATVGTTACGAVDPVMDLGEIARKYNLWFHIDAAYAGSACICPEFRHYLDGVELADSLSMNPHKWLLTNMDCCCLWVKQPRLLIESLSSDAEFLRNNASESSDVVDYKDWQIALSRRFRALKLWIVIRRHGLANLMCHIRSDVNLAKRFESLVAKDSRFEVVVRRRFSLVCFRLKHNDECQGLELNRKLLAAVNESGRAFMTHAVVGGLFIIRCAIGSTLTEERHVDDLWKLIQEKAADLLSKKQVLLDN >Potri.019G017900.4.v4.1 pep chromosome:Pop_tri_v4:19:2961080:2965248:-1 gene:Potri.019G017900.v4.1 transcript:Potri.019G017900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017900.v4.1 MRRGGGAGGGGGGGGRGYGNYTNPCLTMHQPWASLLVYGIKRIEGRSWTTPIRGRLWIHAASKVPEEDTIKTMEDFYREIYAVNGITDLKFPEHYPVSRLLGCVEVVGCVKCEELASWEAVPEGVRLEGQTDFCWLCEQPQKLLVPFEMRGYQGVYNLERKIHEAAIRGLVPVKGPMPVKFPLPNPQDPFSLKPGSISVGFSDNKASVVEKSEGLSAAIAGARAAATQFNKKDQNLLSNTTTNSYSNSTSSGRPDSKSLEEDKMLESCSVGGSGEEASVPSKTEQLNPIQCEESSSRGQTREDLKQIPGASAKIFAAAVRGLKQS >Potri.002G209000.3.v4.1 pep chromosome:Pop_tri_v4:2:17908432:17909225:-1 gene:Potri.002G209000.v4.1 transcript:Potri.002G209000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX24 MDVVNVMIQGKPVVIFRKSSCCMSHSVESLIRGFGANLTIYELDRITNGQQIERALVQLGFRQSLPAVFIGQQLVGNERQVMSLHVQNQLVPLLIQAGAIWMWNK >Potri.002G209000.2.v4.1 pep chromosome:Pop_tri_v4:2:17906792:17909279:-1 gene:Potri.002G209000.v4.1 transcript:Potri.002G209000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX24 MDVVNVMIQGKPVVIFRKSSCCMSHSVESLIRGFGANLTIYELDRITNGQQIERALVQLGFRQSLPAVFIGQQLVGNERQVMSLHVQNQLVPLLIQAGAIWMWNK >Potri.002G209000.1.v4.1 pep chromosome:Pop_tri_v4:2:17908799:17909116:-1 gene:Potri.002G209000.v4.1 transcript:Potri.002G209000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX24 MDVVNVMIQGKPVVIFRKSSCCMSHSVESLIRGFGANLTIYELDRITNGQQIERALVQLGFRQSLPAVFIGQQLVGNERQVMSLHVQNQLVPLLIQAGAIWMWNK >Potri.001G100100.1.v4.1 pep chromosome:Pop_tri_v4:1:8001360:8002195:-1 gene:Potri.001G100100.v4.1 transcript:Potri.001G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100100.v4.1 MSRGGSYGGGQSSLGYLFGSDEQPSAPPPLRPVNLPPYGVDITVEKPPDSGSAEKKQVSNNYHRAQGQNTGNFITDRPSTKVKSVPGGDSSLGYLFGDK >Potri.010G227901.1.v4.1 pep chromosome:Pop_tri_v4:10:21107572:21108229:-1 gene:Potri.010G227901.v4.1 transcript:Potri.010G227901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G227901.v4.1 MKEDAVDMLWKQVKHKLPGVQLAVHRNRRLEVPDWWRRRRMIPELSYTTLYIHNIFSSCTLITLYGNNLRQLQSPPVIICHYTESRYVHFDKSASYQRRFSFEDEDGQQCIIACVIFFYSFPSPNSSNVSSECEMTCGYRHPEFSRQPFLFCCTCSS >Potri.009G115600.1.v4.1 pep chromosome:Pop_tri_v4:9:9768140:9772599:-1 gene:Potri.009G115600.v4.1 transcript:Potri.009G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115600.v4.1 MSDSDTETNITANHYNSLPHKLASQTPFLNLKLYVLIAILLLCILLVSFSIFLCVRLRRAARKRNKMRVKHSSGSIPLVSKEIVEIKDLVLKNKEKDEGKMGNVGFVKMENVGGGGGGDLEMGKKSGESGCSTDDVSSVDENIGWGRWYSLKELEIATRGFAEENVIGEGGYGVVYRGVLQGGYVVAVKNLLNNKGQAEKEFKVEVEVIGKVRHKNLVRLIGYCAEGARRMLVYEYVDNGNLEQWLHGDVGPVSPLTWVIRMKIAIGTAKGLAYLHEGLEPKVVHRDVKSSNILLDRKWNPKVSDFGLAKLLGSEASYVTTRVMGTFGYVSPDYASTGMLNEGSDVYSFGILLMEMITGRSPIDYSRPAGEMNLVDWFKGMVASRRAEELVDPLIEVQPAPRALKRTLLVCLRCIDLDSCKRPKMGQIVHMLEADDFPFRTELRAVRENDPPPSTDSISDKIPHPTKLAGSGADVERSRRR >Potri.017G093600.1.v4.1 pep chromosome:Pop_tri_v4:17:10627584:10635156:1 gene:Potri.017G093600.v4.1 transcript:Potri.017G093600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093600.v4.1 MVEESSSRIPTDDSTANSDTSSSQSRQRRKRKWDQPAESLVSAGVPVSDAVQLGNVGSLVGISLPGAASVSGALLTNPQIAIVPPMFLVPSMPQNTAAVVPKLNQPKVQDELIIAREIVINDAESSVRYKLTKRQTQEEIQKFTGAVVITRGKYRPPNAPPDGEKPLYLHISAAAHLKDTAERILAVDRAAAMVDEMLKQGQSSQPASSIIQMPAVNGVKALSTCVFLGFDTDPTLNIAARIRGPNDQYISHIMNETGVTVVLRGRGSGNCESQSTGESQQPLHLFLSASNPKGLEDAKRLSENLLDTISLECGASRASSCKVYNAVPPPQTLTGAHAAGIEHKLNTSAVTGLMLPTMSSTPPIPASLVSVSGVATVCSQGTVSQSGAMLSCGQPQPSVAGYSQPFVMGGTSYSGYGGIYPQATPLQQVAQVLRQPPSPIPSIVSPTTSIANAAPNSGMNSIAEKRPTQKRKFQEVPVGSKGPAKLHQGSELSKSGKSLQADLGVRNISTMPAPKKLVHPSSNGMPPPPPRAMPPPPPPPKFTSSTPAARLQDKSNCWNKTMSDAVPVVSDTLVKLMEYGEEDDDPEDTSEESPNGKSSVVAVRKPFWAL >Potri.009G073000.1.v4.1 pep chromosome:Pop_tri_v4:9:7151447:7153650:1 gene:Potri.009G073000.v4.1 transcript:Potri.009G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073000.v4.1 MQQIQRQTNQRTKGVTKSERSKTQNKHHTRRKKMSCSVAVSNSPVFSPSTTLFRSKTVVSIPSPPAETIALTLTHSKPTTQSPSSSTTSCSSPSPFRYRLQKPLTGFNSSSSLASGSGAAATLLKRKRPTRLDIPVVMGFGGGLATPREVEGAELEREGYGYSVYCKRGRREAMEDRFSAVVDLEGDAKQAFFAIFDGHGGAKAAEFAAGNLDKNILDEVARRDEEDIKDAVKYGYLNTDAQFLKEDIRGGSCCVTALIRKGNLVVSNAGDCRAVMSRGGVAEALTADHRPSREDEKDRIESMGGYVDLIHGTWRIQGSLAVSRGIGDNHLKQWVIAEPDTNVVRIKPDHEFLILASDGLWDKVGNQEAVDIARRLCLGVEKAEPLSACKKLADLSVLRGSCDDISVMLIQLGRYV >Potri.003G124500.1.v4.1 pep chromosome:Pop_tri_v4:3:14451914:14452733:-1 gene:Potri.003G124500.v4.1 transcript:Potri.003G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G124500.v4.1 MRQAGTYSGILSGGLSGKTGPHSLPLARIKKIMKKSGDDVKMISGEAPIVFSKACELFIEELTQRSWMITIQGKRRTLHKEDVASAVTATDIFDFLVNLVSNSSHSMDINPVEIENN >Potri.016G072900.1.v4.1 pep chromosome:Pop_tri_v4:16:5430698:5431888:-1 gene:Potri.016G072900.v4.1 transcript:Potri.016G072900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072900.v4.1 MKLPFLSKNNANTDHSSRALWPWPAYCQQPRTLSFSFRTSGGMLKTINPGFLDATNTDVVDSTSPESWFTNSCESASFSTASDDQSGAGESIETVIKGLRSERLFFKPGETNSILEEAKAGGEFPFKESVVLSMDSRDPYLDFKKSMEEMVEAHGLTDWEGLEELLSCYLKVNGKSNHGYIIGAFVDLLVGLAIASSSSSSSTITTASTTQHHHDSPSSPLSFYTSSASSDDSSSTPCCVSSLGNEVDIISPCLTSLEAENEIKKY >Potri.012G137500.9.v4.1 pep chromosome:Pop_tri_v4:12:15093134:15097066:1 gene:Potri.012G137500.v4.1 transcript:Potri.012G137500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G137500.v4.1 MDFDNSLRSQRIRDMKEGEAGRLLRYFQRQHIENPSFIHSIQVDIDDKVSNIFWADDKMVVDYDHFGDVVCLDTSYRMNKDLQPFVQFIGVNHHNQAIIFAAALLFDDTVESLKWLFNTFLEAMSGKKPKVILTDQDAAIVEAINSILPETSHRICVWQMYQNALKHLSLVVKDMESFSNDFRSCIYDYNNEEAFVHAWEGLLDKYGLQQNDRLRWMFREREKWSIAYGRNTFFLDMKGSHVAEDLSNNLRSYLNSDQDALQIYKIFERVADEQRFKETHANDEMTRSMPRLLGNVALLKHASGIYTPKAFELFQKEYEKCLNVVVTQCNEKGFLLEYKVSTFGQTQEYTVIFNSADDTVVCNCMKFENVGYLCGHALKVLDNWNIKVVPSRYILKRWTKDTRLGRVRDSGEFTAKENLKLAVASRYKDLCRNIIKISARAAESEDAFQFALRQLDELIEGVEKILMLKAEEGQGITSSSTVVNGFESENAEFFLDEEEIEDQGEDNRVDGTKEKESAAPDRHQLKNINEKSCKKKRFQLRQTPSPNTSSCISSPPQARVMTEGQSHNPLLQGLYNFEANQVVQCMYQQQNPVMDHEDNPNMYQQSVFYADQHVSPTQIPLLQEPLIRSAYHESLTNNALFRQAMDLDLQHPQSSSILLYDHRFRTFDTSYLGLK >Potri.015G116300.1.v4.1 pep chromosome:Pop_tri_v4:15:13093027:13094557:-1 gene:Potri.015G116300.v4.1 transcript:Potri.015G116300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G116300.v4.1 MVQAKDSELYKPVLMESYTSPDSIVHVSGASYPAVVFWFELLVVALKFESSLTHHQVHFLCFEVAVLIIHQSKGNCELQIQTFREFSAQTSYSQK >Potri.006G056000.1.v4.1 pep chromosome:Pop_tri_v4:6:3958867:3959599:-1 gene:Potri.006G056000.v4.1 transcript:Potri.006G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056000.v4.1 MAAQVIIPKAFLLFLLIAAFAVVSAQDSESMAPAPAPGMEAGAGFSLPVSGAMVGFSLVVSLLGFLKH >Potri.003G076000.1.v4.1 pep chromosome:Pop_tri_v4:3:10339029:10340649:-1 gene:Potri.003G076000.v4.1 transcript:Potri.003G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076000.v4.1 MATSVALRRATASPLFAKLTNPVRSVSVFRSFNTDSQSQVANTGGIAPGDYGRVELDRRSSSDRSPARRGDTTPSFFSDAFDPLFPKRSLSQVLNLMDQFLDNPFLPAASRGAGASIARRGFDVKEDENGLFICMDMPGLSKEDVKVIVEQNTLVIKGEESKEGDGSGRRRYSSRLELPSNLYKLDGIKGEMKNGVLKLMVPKVKEEEMKNVHEVKIE >Potri.001G039450.1.v4.1 pep chromosome:Pop_tri_v4:1:2817139:2822080:-1 gene:Potri.001G039450.v4.1 transcript:Potri.001G039450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G039450.v4.1 MIPRSVSLNFFLLFLVREIATVSALIMAKPNCIDTCGNISIPFPFGIGTGCYMNDWFSVDCNKTTADSPSRAFLSRINMEFLGISLEDRVVRVNSPIISSGCAGRGANLAINMTRSPFAFSSSNRFAAMGCNNHALLTQIQPEIVGCTTSTCSANNLTSFSTEGKENCYCSGNNCCQTSIPSNLQVFNASLGPTEDPNDQGRNQCKLAFIVDGEWSLDNIKSPKAVQYMQHVPVILDWFVYGDDIPVENSDAKYCSPPVKLVSGRWGLRTVTLYSNSITCRCNLGYDGNPYLPDGCTDIDECKIPKGNWCSGMTKCVNVPGWHKCELDKAKITFLILGAATGLLLLLVGIWRLYKLEKKRKNIELKKKFFKQNGGLLLQQQLSSSDGSIQKTKIFTSKELEKATDRFNDNRILGQGGQGTVYKGMLADGSIVAVKKSKMMDEEKWEEFINEVVILSQLNHRNVVKLLGCCLETEVPLLVYEFIPNGNLFEYIHDQKEEFEFSWEMRLRIATEVARALSYLHSAASIPIYHRDIKSTNILLDEKFKAKVSDFGTSRSITIDQTHLTTHVQGTFGYLDPEYFQSSQFTGKSDVYSFGVVLAELLSGQKPISYERPEERRGLATHFILLMEENKIFDILDERLMGQDREEEVIAVANLARRCLNLNGRKRPTMREVAIELEQIRLSKGALHAQQSSKELENIWEEVPNVWEIAGPTTSVTIGDFRNGTAPSLDVQTLISHETW >Potri.001G004000.3.v4.1 pep chromosome:Pop_tri_v4:1:249127:251932:-1 gene:Potri.001G004000.v4.1 transcript:Potri.001G004000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004000.v4.1 MEKEKKSEAAGDTDFVLQWGSTKRLRCVKVKKAQNLGNKSKLNDSLPKKKLTSRGVATEKDFPSRLIKNSDLLSNNRKSSVLSPDKEDRYYTTRGSMGLDDNSKILMDNVKEEKVVWPRLFIALSNKEKEEDFMAMKGCKPPQRPKKRAKLIQRTLLLVSPGAWLSDLCQERYEVREKKTSKKRPRGLKAMGSMESDSE >Potri.002G129800.2.v4.1 pep chromosome:Pop_tri_v4:2:9844252:9846965:1 gene:Potri.002G129800.v4.1 transcript:Potri.002G129800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129800.v4.1 MGEETCKECRSWEEKIYWTHFQCVQFSQFLHSGFDQRLAIPEIFARHLRKKLPDTVNLKGPSGAAWEVGLTTYNNTLFFNHGWQEFVKDHALEENDFLIFKYNGESDFDVLMFDMQSMCEKVAPYFVKKYESAERGNGCRTKRKTVKSSVGVVYASPKGVVGGSQPEEFKDNDTDAIPVGQPIVSRVTDKKICRGIKSTEGTEEDVVGGSQQEECTYNGSDTIPPLRQPIISPIGKKKITREIKSIKPVQYWRVVKSRGPSTREEIEAEPDVQSLGQPVDTVHHARKGREVTEEEKRNAVQLAARAVTENGFLVLMKPTHVYGRFFVAIPSAWLATHLPIKGNQDVILRFKDRAWHTRFFYHKSRNNGGLTAGWKKFALDNNLHEFDVCVFEPLDLVNYPIILNVSIFRVVEEASPITSQEDEKKSQGHA >Potri.002G129800.3.v4.1 pep chromosome:Pop_tri_v4:2:9844256:9846965:1 gene:Potri.002G129800.v4.1 transcript:Potri.002G129800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129800.v4.1 MGEETCKECRSWEEKIYWTHFQCVQFSQFLHSGFDQRLSMCEKVAPYFVKKYESAERGNGCRTKRKTVKSSVGVVYASPKGVVGGSQPEEFKDNDTDAIPVGQPIVSRVTDKKICRGIKSTEGTEEDVVGGSQQEECTYNGSDTIPPLRQPIISPIGKKKITREIKSIKPVQYWRVVKSRGPSTREEIEAEPDVQSLGQPVDTVHHARKGREVTEEEKRNAVQLAARAVTENGFLVLMKPTHVYGRFFVAIPSAWLATHLPIKGNQDVILRFKDRAWHTRFFYHKSRNNGGLTAGWKKFALDNNLHEFDVCVFEPLDLVNYPIILNVSIFRVVEEASPITSQEDEKKSQGHA >Potri.016G094100.3.v4.1 pep chromosome:Pop_tri_v4:16:8462446:8463132:1 gene:Potri.016G094100.v4.1 transcript:Potri.016G094100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G094100.v4.1 MEKKAFIPLLYLTSIVFLPWWVSFSFNKSLGSWIINWWNTSKSKTFLNDIQEKSILEKLIEFEELFLLDEMIKEYPETHLQKFRIGIHKETIQLIKMHNADRIDTILHFLTNIISFVILSGYSFLVNEELFILNSWVQEFIYNLSDTIKALFILLLTDLCIGFHSPHGWELMISSFYKDFGFAHNDQIISGLVSTFPVIFDTIFKYWIFRYLNRVSPSLIVIYHSMND >Potri.012G114100.1.v4.1 pep chromosome:Pop_tri_v4:12:13320929:13332276:1 gene:Potri.012G114100.v4.1 transcript:Potri.012G114100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114100.v4.1 MSKENSCALAKIITEEQRARISHNFKAAKALLARKRPLDSISAATANKFPHKNDDVISLKSPRFPLAEVNTNLPPSRLVQKGVTRVSELVNGSDGESKCLSESFVTPVKKPEGSGFSDCLSTLSSSASILDDDDFNESILEEIDAICEQKSVVKVEKESPNRSFSVECECDQGNEGSLASLESGMRDVLDSPTEEKSICPCGNMPEEYVKYLQGLNDRQREAACSDISVPLMLIAGPGSGKTSTMVGRVLMLLNEGISPSNILAMTFTTAAAAEMRDRIGAVAGKAKAKELMISTFHSFSLQLCRSHAEKLGRTSEFLIYGHGHQRRAIIEAVRLLEKEKSGVQNDDASKLAQDSKDVVSLEYFKDKSKKWQKFVTQAKASGKTPADFHRRGDEIGAAILGNYNDILRSCNALDYHDLISCSVKLLTDFPEVFEDCKDSWKAIVIDEFQDTSAMQYSLLRLLSSHNHITIVGDDDQSIFSFNGAEISGFDSFRHDFANYKEIRLNKNYRSTRYIVEAASSLIQNNMKRCQFKDVLTDNSCGSKIAIKECQNERAECAFVVDKILEAASDGSHSKPSYGSIAILYRRQVSGKIFQLAFRERKIPFNVHGVAFYRKKVVKAIIAMLRTTLPGCDDGSYHQVFKALLPFEKEEKRRVIEHVDKISTVRKCSFIAAACDVFSAKISGTFKRSQLTQGRKVLSTLQMISKLVHREQSISAVITSVANMVPQKYLLEQRAVVDSDGGKWLNEDSDLRSVLQYLLDDVSDVLSMQVASKEGESDVQEQKGCVSLLKEFIDYITEREKENFRSRRHNNENSVTLTTIHQSKGLEWDTVFIVKANESEIPLLHEFNGSAKENGNSIEEERRLFYVAMTRARKKLFITYVAMDSNWQMLHPSRFLKEIPDHLREIQAEVCLQDLQTKHREIRNETVDFVIDLPKEQKFSDLETESNDFLVNQINEASKESLETIDSYNGNIFLRRFAVEERSVISHLFHQWAKKQAFQNPKRLLNKVGFVIDERLRVEKNKHKDVLRALKSCLSCDEAFQYAEYVLRWEQIPADQRAHLMHEKQEHFQKLRIENSMSSSAPTSKQIGYLQNLGCTVTPTSRLHASRLIEQYKSL >Potri.003G088700.1.v4.1 pep chromosome:Pop_tri_v4:3:11540472:11547124:1 gene:Potri.003G088700.v4.1 transcript:Potri.003G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088700.v4.1 MATINNMSTRMCRDHRILSSSGNLSDVFVLESRFRKRCFFQNSKFTVRSMKANEQNQTRKLASSNGPLTASEKVSSPFELLTNNQTLGKENINPIARRKTKIVCTIGPSTSSREMIWKLAETGMNVARLNMSHGDHSSHKKTIDLVKEYNAQSDDNVIAIMLDTKGPEVRSGDVPQPIILKEGQEFNFTIKRGVSTEDTVSVNYDDFINDVEAGDMLLVDGGMMSLSVKSKTKDAVKCVVVDGGELKSRRHLNVRGKSATLPSITDKDWEDIKFGVDNQVDFYAVSFVKDAKVVHELKDYLTSCNADIHVIVKIESADSIPNLQSIISASDGAMVARGDLGAELPIEDVPLLQEDIIRRCHNMQKPVIVATNMLESMIDHPTPTRAEVSDIAIAVREGADAVMLSGETAHGKYPLKAVKVMHTVALRTESSLPFNTTAPTHNVYKSHMGEMFAFHATIMANTLNTPIIVFTRTGSMAILLSHYRPASTIFAFTNEERIKQRLALYQGAMPIYMQFSDDAEETFARALKLLLSKGLLMEGQNVTLVQSGAQPIWRRESTHHIQVRKVQA >Potri.019G032650.1.v4.1 pep chromosome:Pop_tri_v4:19:4528640:4531961:-1 gene:Potri.019G032650.v4.1 transcript:Potri.019G032650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032650.v4.1 MGKRDCRKLLRAKLLMPAMVLQTGTLFPPNKHAFLPLQAYRSATLNVKDQGTALDELHMDPRLRISHGNNPASKNVRLPLASTNCNQIRLANKHPLNACDVDSSSRLHNSHTGLLVRCLRALLPLIIRLS >Potri.006G055400.1.v4.1 pep chromosome:Pop_tri_v4:6:3927359:3931763:1 gene:Potri.006G055400.v4.1 transcript:Potri.006G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055400.v4.1 MESLFPNLELSPISTKSPKPTSFLLSSPRFSSLKSRIITKKWSVSVPCVCSSSPSVKKTESKLHSNGQDFIKSVGKGVIGFAAAATTLASVCYDAPAFAESLTVAFPVSRAPEVNTVQRTLVEAWGLIRETFVDPTFNHQDWDLKLQQTMVEMFPLNSADVAYTKISGMLSTLGDPFTRIISPKEYQSFRIGSDGNLQGVGIFINIEPRTGHLVVLSCVKGSPAARAGIHEGDELIEINGERLDGVTSEAAAQKLRGTAGTSVKVKVHSGMESSRDAGIREVNLPREYITLSPISSTIIPHKTPDGHLTKTGYVKLSAFSQSAAIDMANTILDMETQGVHSYILDLRNNPGGLVKAGLDVAQIWLDGDETLVNTIDRDGNMLPINMVDGHAITHDPLVVLVNEGSASASEILAGALHDNGRAILVGHKTFGKGKIQSVTELHDGSALFVTVAKYLSPALHDIDQVGIMPDVQCTTDMLNSPRDSLLKNESSTSSLEGDSCILVAEHELDIQEFGGTAS >Potri.002G151950.2.v4.1 pep chromosome:Pop_tri_v4:2:11639940:11641434:1 gene:Potri.002G151950.v4.1 transcript:Potri.002G151950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151950.v4.1 MIFIEPQLRYFADLVIFDMLSITCGSPHREEKSVLQTIIKNLAINCGFIMGALLMFFGIMDYKKILEQAKSPQRHASATFFLYQIHPKSLSIIFDKAKEGLKEGPENKSSWQIKSSVSNWPNPSPIALHQASCPGGHYCKLRSCLLRDLLISQL >Potri.003G075400.2.v4.1 pep chromosome:Pop_tri_v4:3:10271601:10273213:-1 gene:Potri.003G075400.v4.1 transcript:Potri.003G075400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075400.v4.1 MRVFLKERVFYPIKYGADPTGERDSSDAILKALSYAFQVQNELELLPGINDLAGVVIDLQGGNYKISKPIRFPAGGGNILVHAGTLRASDDFPSDRYLVELWSPSSTVVPKPSNIHPDGGEKKNVGIYYEDVTFRDILFDSSYRGGGMFIIDSARTRIHNCFFIHFTTEGILVQKGHETFISSCFLGQHVTIGGDPKEKNYTGTAIDLASNDNAITDVAIFSAAIGVLLRGQANILTGVHCYNKATGFGGVGILVKPQGSLTRMDNCYLDWTAIVIEDPVQIHVTNGFFLGDANVVLKAAKGKMSGVTIVDNMFKSDANSMNPIVQLDGNFASIDQVVIDNNNAVGMTVKSTAGKLTVPGNGTKWVADFSSILVFPDRINHFQYSFNFQGVPVAFPAHGVTSLSNNVVVVESDRSVNGVVSVAVDQYNRKGE >Potri.008G186601.1.v4.1 pep chromosome:Pop_tri_v4:8:13010382:13022720:1 gene:Potri.008G186601.v4.1 transcript:Potri.008G186601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186601.v4.1 MTLPYTPSPSTRNTISPETRVLHSSHFYLPRNRSSFFSNESSLLKKESLPFKPREKRSTKSFLPILRLKNSKLTHPFASISSFAEAGGEEEGNEGIEIKKHQETVKNKEDDSPGMARAFDISSRTASAISIVIAFAALSLPFCLKTLGQGLDLKTKFLSYVTLLFGFYMAWNIGANDVANAMGTSVGSGALTMRQAVLTAAVLEFSGALLMGTHVTSTMQKGILVANVFQGKDTLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIIGSMVGFGLVYGGRGAVFWSSLARVTSSWVISPLMGAMVSFLVYKFIRRFVYSAPNPGQAAAAAAPIAVFLGVTGISFAAFPLSEIFPLALAQALACGTTGAFLVDRIIRKKLGHLLVKASSTQPELKENAIHSKNIGFLSDFAGPKGTQLEIVYGVFGYMQILSACFMSFAHGGNDVSNAIGPLAAALSILHGGASGTDIVIPIDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLVASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVVSWAVTIPAGAIFAVFYTWILTKLLSYIL >Potri.019G128000.2.v4.1 pep chromosome:Pop_tri_v4:19:15081075:15086892:-1 gene:Potri.019G128000.v4.1 transcript:Potri.019G128000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128000.v4.1 MSAEASLRYKINKKLKLDVGKLKNSKERNQSYKGENVARRNLKSREKGGKDRCGGGEEGDHEIYGKGRFELVNSDRKRKRIYADQYGDRGTTNNRKVKNSKALSKRGQSQVIDDSVKRKSRTVSPLRSIWVSNKLKASRKVEDTSVQVSSVKRHTKVNEVDTLYDDRNCLLKKQTKSKFESGKQSAKAKEEDKLESGRNALLKKQKKSSYDSSKRLDHSQSKSAKVSPSVSIKKSVQNKKSPADSEIADEQPHKRKRIRLDPYDTSNKRLDDGIISDESTKERKKELEKDAGMSMNAQFRAIQPSPSILSFVEDNFLGRRRSIELKRAGYNTDLSAPLDNIPFSTSSERERIEENIFRNKLTFFAAAKVSSSFPPPGLPEIAFAGRSNVGKSSLLNSLTRQWGVARTSDKPGLTQTINFFELGNVCLVDLPGYGFAYAKEEVKDSWEELVKEYVSMRVNLKRVCLLIDTKWGMKPRDRELIDLMERYQTKYQVVMTKTDLVFPIDVARRAMQIEESLKANKSLVQPVMMVSSKSGAGIRSVRTVLSKIARFAKL >Potri.017G084000.1.v4.1 pep chromosome:Pop_tri_v4:17:9668821:9672307:-1 gene:Potri.017G084000.v4.1 transcript:Potri.017G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084000.v4.1 MAPALSLSLPHIPICKSQDLANDVQIPKSTNRDSPSLKTPLVLDIKLSKRELLNATSVVLLSEGLSVAKPARAAEPESPVTSTSSRMSYSRFFEYLDEGAVRKVDLFENGTVAIAEIFNPTLEKIQRVKIQLPGLPQELLRKLEEKNVDFAAHPTEPNWTAALLDSLGNLAFPLILLGSLLLRTSSTNTPGGPGLPFGLGRSKAKFQIEPNTGVTFDDVAGVDEAKQDFQEIVEFLKTPEKFAAVGARIPKGVLLVGPPGTGKTLLAKAIAGEAGVPFFSLSGSEFIEMFVGVGASRVRDLFNKAKANSPCIVFIDEIDAVGRQRGTGIGGGNDEREQTLNQLLTEMDGFSGNSGVIVIAATNRPEILDSALLRPGRFDRQVTVGLPDVRGREEILNVHSKNKKLDKGVSLSVIAMRTPGFSGADLANLMNEAAILAGRRGKYKITLKEIDDSIDRIVAGMEGTKMTDGKCKTLVAYHEVGHAVCATLTPGHDLVQKVTLIPRGQARGLTWFIPGEDPTLISKQQLFSRIVGGLGGRAAEEVIFGESEITTGAAGDLQQITQIAKQMVTMFGMSELGPWALTDPAAQSSDVVLRMLARNSMSEKLAEDIDSSVRNIIERAYEIAKEHIRNNREAIDKLVEVLLEKETLSGDEFRAILSEFTDIHVDKIDRTPVRELINA >Potri.006G252100.1.v4.1 pep chromosome:Pop_tri_v4:6:25065913:25069296:-1 gene:Potri.006G252100.v4.1 transcript:Potri.006G252100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252100.v4.1 MARGGNQLFDDNRDGFLRISGLGSQWNSFEDVQYLPAGGLFASVNQMGMGDNGSLKSLYNDLCVKYLSFVGVQEEEGVLKKKEKGGLKLKIKIKNPSLRRLISGGIAGAISRTAVAPLETIRTHLMVGSSGHSTNEVFNNIIQTDGWKGLFRGNFVNVIRVAPSKAIELFAYDTVNKKLSPAPGEQPKLPIPASLIAGACAGVSSTLCTYPLELVKTRLTIQRGVYNGIVDAFLKILREEGPGELYRGLAPSLIGVIPYAAANYFAYDTLRKAYRKILKQEKIGNIETLLIGSAAGAISSSATFPLEVARKHMQVGALSGRQVYKNVIHALASILEQEGIQGLYKGLGPSCMKLVPAAGISFMCYEACKKILVEDEEEA >Potri.015G042200.2.v4.1 pep chromosome:Pop_tri_v4:15:3919551:3922158:1 gene:Potri.015G042200.v4.1 transcript:Potri.015G042200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042200.v4.1 MMNDNLLTRSFSKHERKKLGYGALAACLLITLSFFIVFNPYLGPLPLLNFMLSTGDDQKLFAVNDTSSSLQMDKEIITINDASSSQEAVARDAEMVRNEKKLINDTGSSRQTINEIVIKDSLIVNDTSSSQQIATDADAATMNEKIELRCNIMGRSEFCEIKGDIRIDGNSSTAFIVSSETDILTAENTSWSIRPYARKEALGEKDFARKWSVKLVTDRPDIPRCTRNHSVPAILFSVGGYSGNFFHAFTDIIVPLYSTAQPFNREVQFLITNRKSSWIAKFKTLLEALSRYEIIDIDDRHDMHCFQSLTIGLKGRNNKELSIDSSTSPYSMKDFTQFLRRWYSLKKITAAKIRDGDKRKPRLLIISRKRSRAFTNVGEIAELAESLSYQVIVAEPGPDVSGFAKIINSCDVVMGVHGAGLTNIVFLPENAILIQVVPFGRVEWASRVSFEDPAKDMNIRYLDYKIKVEESTLIQQYPADHVVLRDPSVIGKQGWLAFRSIYLDKQNVTLDVNRFRPTLVKALELLHQ >Potri.002G070600.2.v4.1 pep chromosome:Pop_tri_v4:2:4953159:4955527:-1 gene:Potri.002G070600.v4.1 transcript:Potri.002G070600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G070600.v4.1 MDSSDFPISRSPRKELQGPRPPALKIRKDSHKIRKPPVAPQPFQQQPQNQPPTQQRPPVIIYTVSPKVIHTNPNDFMTLVQRLTGSSSTSTSSNPFNDDCGAISPAARFATIEKANSPKDQQKQQQLGGDLGYVEGIMEIDRVMERPSLGPGILSPGPASLPPIPPNFFSPDQNSVSFFHDLSPILHGNRNFIEGSFMPSPSTFVWPRINSPSTPSTDLFNNFNAYNNLFDF >Potri.016G037700.2.v4.1 pep chromosome:Pop_tri_v4:16:2309661:2312591:-1 gene:Potri.016G037700.v4.1 transcript:Potri.016G037700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037700.v4.1 MHINSSFLNHQEHFSFFITMATKFLFMAIPLLLSTLVIVMLSSETSNLEPLSDAKVSQLNEIPIVGAIGPESFAFDSLGEGPYTSLSDGRIIKWQGDKKRWIDFAVTSPNRDGCGGPHDHHQMEHVCGRPLGSCFDETHGDLYIADAYMGLFRVGPEGGLATKIATHAQGIPFRFTNSLDIDQSSGAIYFTDSSTQYQRRDYLSVVLSGDKSGRLMKYDTASKQVTVLLKNLTFPNGVALSKDGSFVLLAETTSCRILRYWIKTSKAGALEVFAQLQGFPDNIKRSPRGGYWVGINSKREKLSELLFSYPWIGKVLLKLPLDITKFQTALAKYRGGGLAVRLSENGDIVEVFEDRDGNRLKSISEVMEKDGKLWIGSIDLPFAGRFKL >Potri.016G037700.3.v4.1 pep chromosome:Pop_tri_v4:16:2309755:2312553:-1 gene:Potri.016G037700.v4.1 transcript:Potri.016G037700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037700.v4.1 MHINSSFLNHQEHFSFFITMATKFLFMAIPLLLSTLVIVMLSSETSNLEPLSDAKVSQLNEIPIVGAIGPESFAFDSLGEGPYTSLSDGRIIKWQGDKKRWIDFAVTSPNRDGCGGPHDHHQMEHVCGRPLGSCFDETHGDLYIADAYMGLFRVGPEGGLATKIATHAQGIPFRFTNSLDIDQSSGAIYFTDSSTQYQRSLDIYQSSGAIYFTDSSTQYQRRDYLSVVLSGDKSGRLMKYDTASKQVTVLLKNLTFPNGVALSKDGSFVLLAETTSCRILRYWIKTSKAGALEVFAQLQGFPDNIKRSPRGGYWVGINSKREKLSELLFSYPWIGKVLLKLPLDITKFQTALAKYRGGGLAVRLSENGDIVEVFEDRDGNRLKSISEVMEKDGKLWIGSIDLPFAGRFKL >Potri.008G041300.1.v4.1 pep chromosome:Pop_tri_v4:8:2322694:2325208:-1 gene:Potri.008G041300.v4.1 transcript:Potri.008G041300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041300.v4.1 MEVRPNQTVDNSPQHRRHVPATPSKQPVAPSNTVDTASVSQRLQKELMSLMMIGGDLGVSAFPEGESIFAWIGTIMGGKGTAYEGLSYKLSLRFPLDYPFKPPQVKFETMCFHPNVDQFGNICLDILQDKWSSAYDCRTILLSIQSLLGEPNPDSPLNNYAAKLWNNEEDYKSMVHRQYYAGEAFES >Potri.007G084750.1.v4.1 pep chromosome:Pop_tri_v4:7:10944790:10945965:1 gene:Potri.007G084750.v4.1 transcript:Potri.007G084750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084750.v4.1 MTVLKFTIPLRTHHLKLNERLFTHKVNVQVFRWVQKQRWYVADNGCCYSKLISVMGKKDQTRMAMWLFSEMRDSGCRPGTPVYNALITAQLHSKDKTKTLTKGWMHPNN >Potri.004G017633.1.v4.1 pep chromosome:Pop_tri_v4:4:1258160:1260054:1 gene:Potri.004G017633.v4.1 transcript:Potri.004G017633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017633.v4.1 MMTQVFLFLLVFLLSVYLLLTKNASKRLPPGSLGLPIIGQSLSFLSAMRKNTAEEWLQDRIRKYGPISKMSILGAPTLFIHGQAANKFVFSCDSNTLDSQQPSSISRVCGKRNIMELSGHDHKRVRGALLSFLKPEILKQYVSKMDEEIRKHLEMHWHGKKTVLAMPSIKTLTFNIMSSLIIGIEQSAKRDMLLHLFQQLMEGIVSVPFNFPFTRFNRSLQTSGKIRQILEDLIREKRAALEHGTAFPQQDLITTLLSLRNEENSAVLTDGEIIDNAIVIMIAGYDTSSVLLSLLIRLLADDPSIYASILQEQAEISKNKASGELLTWDDLTRMKHTWSVALETLRMTPPVFSMFRKVLKDIEYEGYLIPKGWQVMLSTSMTHMDDSIFPHASRFDPERFQNKASVPPYSFLSFGGGARICPGYEFARLETLITIHYLVNRFIWKLCHPGISFSREPFPLFKDGLEIEIEPRTPL >Potri.T084351.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:64378:65690:1 gene:Potri.T084351.v4.1 transcript:Potri.T084351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084351.v4.1 MAFPILHLSFSLLIMLPPFAVSQTGGNITVGASLSTSENTSWLSPSGDFAFGFHPLYGNKYLFLLAICTLCFCSSFIYRNKVANVREENNVESNLRSFTYKELTEATEGFKDDLGRGAFGGVYKGAIKTGFTNFIAVKKLDGVVEHGEKEFKTEVTVIGQTHHKNLVRLLGFCDEGQHRLLVYEFLSNGTLADFLFGSLRPSWKQRTQIAFGIARGLLYLHEECSTQIIHCDIKPQNILIDDYYNARISDFGLAKLLAINQSQTKTAIRGTKGYVAPEWFRNTPVTVKVDVYSFGVLLLEIICCRRSVDLEISGTGAILIDWAYDCYRHGTLDALIEDDMEAMNDVSTLERAMKVAIWCIQEVPSLRPTMRKVTQMLEGVVEVPAPPNPFPFSEISCS >Potri.018G050100.1.v4.1 pep chromosome:Pop_tri_v4:18:4838735:4839558:1 gene:Potri.018G050100.v4.1 transcript:Potri.018G050100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050100.v4.1 MDLLHSVMLYFSLALLLSSSSEATDTTFSRNSALWLTYKSPPPPFHNKHKSPPPPPHKYKSPPPPHHKCKYSPPPPVYTYRSPPPPTPMHHKSPPPSPHMFKSPPPPPYRYISPPPPPPHPPCHAYKYLSPPPPPSYKYASPPPPKHHHHHKHKWSPYPFITYMSPPPPHHNYPDYHYSSPPPPPPIVAY >Potri.018G050100.2.v4.1 pep chromosome:Pop_tri_v4:18:4834368:4844392:1 gene:Potri.018G050100.v4.1 transcript:Potri.018G050100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050100.v4.1 MADTLVCVRRVKQEASEEWDESMPLPGDIIEGFAENNADELFVPAKAKSDLSSQLGKISPQTETVWLKVRRGERTLKLRARVMPDKSAVLQRRFTIKAANDDRHVAVLGDLTLEQCTELQEMSRKVVNMDSWAFSKRGVKYDWKMKVDNYLPDQRSSVVSSILFMPLQGEHSIEATTGRCMAWFSAAVSSGAPIVFVNIQTEQTLNVKSNNTPVQILQGIRLWFLPGVAEILLEMIPEPGETRFGMDIKRIDEGFICVCSVTKGSAAERAGLSDLFEEANKVGHLLVISRLEGKSLMPSSVCSMGLIHCCDQNEVKDTLISSIDEMDSIQIHLMAWPNQTHSSNTKANGVAHLQPPKALLLSSSSEATDTTFSRNSALWLTYKSPPPPFHNKHKSPPPPPHKYKSPPPPHHKCKYSPPPPVYTYRSPPPPTPMHHKSPPPSPHMFKSPPPPPYRYISPPPPPPHPPCHAYKYLSPPPPPSYKKQPPNILTKPSTQTTTFIIAIIDLLLSHSHFTIAKNLLEKHGMFSDLIRYKFSACFLLECYLGVRVKASQINLAKTFFDQIVNDAFVKPDALTYTSMIRGFFKVGMIENSRKMFDEMICEPNFDNLYTSINGYCKKGGMENARIFLRRMMESTDCLPDTVTLIDGYCKKGGFNEATKWDGCVDEARKLLMKMSLNRVRENVANHLSILKGLSIMEKKEIKLDAKEPGVVINDSSCLPTRGCLPNFMSYSTVICCLCKSQVSGCCEDGDKEMAMLIFYESINRNHVINVQNFSAFVKMMCEKRKICGRCSLVYVDSYQRVLDVQLQKQSGLG >Potri.015G084900.1.v4.1 pep chromosome:Pop_tri_v4:15:10964130:10968084:-1 gene:Potri.015G084900.v4.1 transcript:Potri.015G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084900.v4.1 MATTPMDISIQKSIETTTTHDESDGHGVSFPSSSPSPIHTHHKFLVSVEVCLKPSSTARIEDVRLAVERMLEKRSLSYADGPIPVPIDDQFLFENVQRISVCDTDEWVNNHDILLFWQVKPVVHVFQLSEEGPCEELSGDGQLSSFNEWILPAMEFDGMWESLIYESGLKQRLLRYAASALLFTEKGVNPFLVSWNRLILLHGPPGTGKTSLCKALAQKLSIRFNSRYPQCQLIEVNAHSLFSKWFSESGKLVAKLFQKIQEMIEEENNLVFVLIDEVESLAAARKAALSGSEPSDSIRVVNALLTQMDKLKASPNVIILTTSNITAAIDIAFVDRADIKAYVGPPTLQARYEILRSCLQELLRTGIVSNFQGSDHLVLPDYSTLREKLNAPTEAQPVLNFCKQLLEAAEACEGMSGRSLRKLPFLAHGALSDPYSCNASEFLCAMIDTARREHSELPES >Potri.014G042600.1.v4.1 pep chromosome:Pop_tri_v4:14:2760859:2765435:-1 gene:Potri.014G042600.v4.1 transcript:Potri.014G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G042600.v4.1 MERFSQRESVLLGYNLQRQSKNHPGSSSNSQHGNSDIDFIDVFGGPPRRSSLQEVRSSFAETTDSFVSRSGDVDTMLSRNSLSGLNEKPVFGDENVNRRRYPRNDFFDDIFRGNKSLSSPPKKHDLDSLSSTPGSRVLSPTGQLPPRADPWSPSLPAQFSLSAKLSKRTDLPTFNSSAHSMHKNKDGASYGVGNYAHSASQTDHVRDELTNDISRQSTLSKELSLTSEESSNSTKHEETDTNTNLKSDSDSSDVPTNGNQSHFSIYKWASEGIPFVMSLRGATKSRLDENCELQRCSSASGWIASEGIARELRSANPHDIDVPSFSSHIELNQQDNRFLFDKSIQCEVEPCQIVEDTIFPVPELDTPSTHQVIVEDGPEMDLSEKTKERISVVTLEDRKTELKPPRSLLSENDDEQCIDEMTRKNGLKERKAESTKKPSAVFDVSENVKDQDEKRTTANNVEVDKADFQYPPTKSRDSLEKNRLRGKVKEFVKIFNRAGSEKPNFDLNDSQHQSSGRKERIKFNTDDTRNEKMHSRNVNNKNMPDASILVKKCLKQSEKQHPETKANNLRSESVSSGRKDSSVSTAAYIPDGLESTIADTDMSFLLITELAQDEERELQTSDNHEEIQVIDDKIQKWSKGKEGNIRSLLSTLQYVLWSGSGWNPVPLVDIIEGNAVKRTYQKALLCLHPDKLQQKGATSHQKYTAEKIFDILQEAWTLFNSLGAV >Potri.011G051700.2.v4.1 pep chromosome:Pop_tri_v4:11:4126454:4127185:1 gene:Potri.011G051700.v4.1 transcript:Potri.011G051700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051700.v4.1 MESDGEVVGDWNTYCVAADRCLKAGIMEMAMTMLKKLEGQITEKTKSIAFDTLLKLYARKGNKDELYRIWKSDEKRDKIYNKGYMSMISSLLMLDDIEAAEMMFKEWESRGLSYYFRVPNILINAYCRNNLLEKAGSLIDHAMMKGSEPSADAWYSLASGYLEVNQIPMAKEAMKKAILVCPGWKPIKETLASCLDHLEGKGDQNKAEEFIELLRTENVFSPVAHNRLRTYIKGLKSQSDGLL >Potri.017G019400.1.v4.1 pep chromosome:Pop_tri_v4:17:1650507:1655057:1 gene:Potri.017G019400.v4.1 transcript:Potri.017G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G019400.v4.1 MEDDRESVPNKGKDIASTSCVLNIKPNTGMTDILTQLQNKMGSLTSQQDRAEWCCIYRVPNSFRKVRPKAYTPKLISIGPLHRGDERLKDMEEQKLRYFKGFSERDGIYKKKIEDLLIIIQDKEARIRASYSENFSEITSSEFIEMILLDAVFIIEFLEDSNDIEHYSESVKPWMIFDIREDLMLLENQLPFFIIKEIYEKGFRKQATCFPFLDLANVYLGKYTCSQALQNTGRDVEGSRHFTDLLRNFMLKGAIQPRCNFNPIKLKYNAVMLREAGVKFQVTEDKCLVNITFEEGVLKIPRLEVDYCFERLVRNIMALEQCCYPFKAYVCSYIKFMDHLIDSAEDVALLAENGIILNWLGDDAAVSNMINKLCETITGTYTFYDDICGKMNAHYKNRWNHRKATLKLVYFPNVWRGTATVAAAILLILTLIQTITSVKSVF >Potri.012G045200.1.v4.1 pep chromosome:Pop_tri_v4:12:4105851:4111257:1 gene:Potri.012G045200.v4.1 transcript:Potri.012G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G045200.v4.1 MASMSRTLTRNFRNTLQLRSGGSLDSLPKYWIPSSSSSSPFCSSASAATISAQPPEKESGSRLSKWLLFLPGAITFGLGTWQVLRRQDKIKMLEYREGRLAMEPMKFNDISPSSEQLDDLEFRRVACKGVFYDKMSIYVGPRSRNISGITENGYYIITPLMPVSKNPECVQSPILVNRGWVPRSWKDNSLEVSQDDEQPSDIAMASAQGSEKSSWWRFWSRKPKTIEEKIPSIAPVEVVGVVRGSEKPSIFVPANDPSSFQWFYVDVPAIARVCGLPENTIYVEDINENFNSGCPYPVPKDVNALIRSSVMPQDHLNYTLTWYSLSAAVTFMAFKRLRPKKSRR >Potri.019G099400.1.v4.1 pep chromosome:Pop_tri_v4:19:13620629:13622141:-1 gene:Potri.019G099400.v4.1 transcript:Potri.019G099400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099400.v4.1 MRFPRGVMVAKTGGTIMQSRSGRSNISSTKTERKVVERNRRNQMKSLYSSLNSLLPNQNFKEAQPLPDQIDRAINYIKSLEEKLEKAREKKESLTRSRKGSYTCTFDPLSSAASKSPQLKIHEIGSVREIVLTSGLGNQFLFYEIISILHEEGVEVVSANFQALGDSFFHIVHAQMKGSADGFGAARVTERLNRFISGSTSEIELDSELWDFANHPETNWEF >Potri.002G143200.1.v4.1 pep chromosome:Pop_tri_v4:2:10765703:10768460:-1 gene:Potri.002G143200.v4.1 transcript:Potri.002G143200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143200.v4.1 MSQSPEEKTTNPNPKNNQKPPPIPTPTPTSLNSKTRTLSLPELWFKEQALKHVTLKMKSLSNSPLASPPSDPDKIFGLPSNDPTRPDYTSLLSDELLLQVFNKVPISQYVSNSLVCKRWFFLHGRLVHSIRVIDFGFVNSGRVFTRFPNLENIDIVHACIKMPRNSGILITRNNLSVYVGTKLLSGGFIEENDLLSSDLIDNGLQLISKSYPNLRRIVVFGTSENGLLSVSSKCEMLQELELHCCGDMSLKGISGCRNLQVLKLIGCVDGFYNSVVSDIGLTILAQGCRRLVKLELCGCEGSYDGIKAIGQCCQMLEELTICDHRMDGGWLAALSFCENLKTLRLQSCKSVDSSPGLLEHLGSCPTLEELHVQRCQMRDKQAVKALFLVCKTVREIVLQNCWRLEDEVFAAASVCRRVRLLSLEGCSLLTTGGLESVILNWKELQRLTVTSSNNIKDSEITPDLATLFSVLKELKWRPDSRSLLSSGLAGTGVGNKGGRFFKGLKG >Potri.010G151600.4.v4.1 pep chromosome:Pop_tri_v4:10:16143044:16150201:-1 gene:Potri.010G151600.v4.1 transcript:Potri.010G151600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151600.v4.1 MKSLKIALSMFKMKKWSGGVVIIALAIILVFSYSLMGTRTQKKQSYDFFRNHPAGDSHLKDNHPAKSPQLELKKATKSSKKPHYINVEGLSDLYAQNNISKDESNALVVWFQMRLLLSRSDALPETNQGIREASIAWKDLLSKIKENKAAQLSNINKTEDKNCPYSVSTIDLTTSSGETILDIPCGLAEDSSISVLGIPDGHSRSFQIQLLGSQLPVESNPPIILQYNVSLPGDNMTEEPFVVQNTWTKEYGWGKEERCPSHRSVNIPKVDGLVLCNEKVVRSTMEENGNASSVGDVSANVSQGIAHERANFPFVEGNAFTATLWVGLEGFHMTVNGRHETSFVYREKLEPWLVSGVKVTGGVDILSALARGLPVPEDNDLVVDVEHLKAPLVTRKRLVMLIGIFSTGNNFERRMALRRSWMQYEAARSGDVAVRFFIGLHKNSQVNLELWKEALVYGDIQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDQVLTSLKEKPSNGLLYGRISLDSSPHRDRDSKWYISNEEWPHDAYPPWAHGPGYIISRDIAKFIVRGHQERDLKLFKLEDVAMGIWIEQFKNSGQEVHYMTDDRFYNAGCETDYILAHYQSPRLVLCLWEKLQKEHQPACCE >Potri.010G151600.7.v4.1 pep chromosome:Pop_tri_v4:10:16143069:16150107:-1 gene:Potri.010G151600.v4.1 transcript:Potri.010G151600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151600.v4.1 MKSLKIALSMFKMKKWSGGVVIIALAIILVFSYSLMGTRTQKKQSYDFFRNHPAGDSHLKDNHPAKSPQLELKKATKSSKKPHYINVEGLSDLYAQNNISKDESNALVVWFQMRLLLSRSDALPETNQGIREASIAWKDLLSKIKENKAAQLSNINKTEDKNCPYSVSTIDLTTSSGETILDIPCGLAEDSSISVLGIPDGHSRSFQIQLLGSQLPVESNPPIILQYNVSLPGDNMTEEPFVVQNTWTKEYGWGKEERCPSHRSVNIPKVDGLVLCNEKVVRSTMEENGNASSVGDVSANVSQGIAHERANFPFVEGNAFTATLWVGLEGFHMTVNGRHETSFVYREKLEPWLVSGVKVTGGVDILSALARGLPVPEDNDLVVDVEHLKAPLVTRKRLVMLIGIFSTGNNFERRMALRRSWMQYEAARSGDVAVRFFIGLHKNSQVNLELWKEALVYGDIQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDQVLTSLKEKPSNGLLYGRISLDSSPHRDRDSKWYISNEEWPHDAYPPWAHGPGYIISRDIAKFIVRGHQERDLKLFKLEDVAMGIWIEQFKNSGQEVHYMTDDRFYNAGCETDYILAHYQSPRLVLCLWEKLQKEHQPACCE >Potri.010G151600.5.v4.1 pep chromosome:Pop_tri_v4:10:16143365:16150148:-1 gene:Potri.010G151600.v4.1 transcript:Potri.010G151600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151600.v4.1 MKSLKIALSMFKMKKWSGGVVIIALAIILVFSYSLMGTRTQKKQSYDFFRNHPAGDSHLKDNHPAKSPQLELKKATKSSKKPHYINVEGLSDLYAQNNISKDESNALVVWFQMRLLLSRSDALPETNQGIREASIAWKDLLSKIKENKAAQLSNINKTEDKNCPYSVSTIDLTTSSGETILDIPCGLAEDSSISVLGIPDGHSRSFQIQLLGSQLPVESNPPIILQYNVSLPGDNMTEEPFVVQNTWTKEYGWGKEERCPSHRSVNIPKVDGLVLCNEKVVRSTMEENGNASSVGDVSANVSQGIAHERANFPFVEGNAFTATLWVGLEGFHMTVNGRHETSFVYREKLEPWLVSGVKVTGGVDILSALARGLPVPEDNDLVVDVEHLKAPLVTRKRLVMLIGIFSTGNNFERRMALRRSWMQYEAARSGDVAVRFFIGLHKNSQVNLELWKEALVYGDIQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDQVLTSLKEKPSNGLLYGRISLDSSPHRDRDSKWYISNEEWPHDAYPPWAHGPGYIISRDIAKFIVRGHQERDLKLFKLEDVAMGIWIEQFKNSGQEVHYMTDDRFYNAGCETDYILAHYQSPRLVLCLWEKLQKEHQPACCE >Potri.010G151600.1.v4.1 pep chromosome:Pop_tri_v4:10:16143109:16150079:-1 gene:Potri.010G151600.v4.1 transcript:Potri.010G151600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151600.v4.1 MKSLKIALSMFKMKKWSGGVVIIALAIILVFSYSLMGTRTQKKQSYDFFRNHPAGDSHLKDNHPAKSPQLELKKATKSSKKPHYINVEGLSDLYAQNNISKDESNALVVWFQMRLLLSRSDALPETNQGIREASIAWKDLLSKIKENKAAQLSNINKTEDKNCPYSVSTIDLTTSSGETILDIPCGLAEDSSISVLGIPDGHSRSFQIQLLGSQLPVESNPPIILQYNVSLPGDNMTEEPFVVQNTWTKEYGWGKEERCPSHRSVNIPKVDGLVLCNEKVVRSTMEENGNASSVGDVSANVSQGIAHERANFPFVEGNAFTATLWVGLEGFHMTVNGRHETSFVYREKLEPWLVSGVKVTGGVDILSALARGLPVPEDNDLVVDVEHLKAPLVTRKRLVMLIGIFSTGNNFERRMALRRSWMQYEAARSGDVAVRFFIGLHKNSQVNLELWKEALVYGDIQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDQVLTSLKEKPSNGLLYGRISLDSSPHRDRDSKWYISNEEWPHDAYPPWAHGPGYIISRDIAKFIVRGHQERDLKLFKLEDVAMGIWIEQFKNSGQEVHYMTDDRFYNAGCETDYILAHYQSPRLVLCLWEKLQKEHQPACCE >Potri.010G151600.6.v4.1 pep chromosome:Pop_tri_v4:10:16143358:16150079:-1 gene:Potri.010G151600.v4.1 transcript:Potri.010G151600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151600.v4.1 MKSLKIALSMFKMKKWSGGVVIIALAIILVFSYSLMGTRTQKKQSYDFFRNHPAGDSHLKDNHPAKSPQLELKKATKSSKKPHYINVEGLSDLYAQNNISKDESNALVVWFQMRLLLSRSDALPETNQGIREASIAWKDLLSKIKENKAAQLSNINKTEDKNCPYSVSTIDLTTSSGETILDIPCGLAEDSSISVLGIPDGHSRSFQIQLLGSQLPVESNPPIILQYNVSLPGDNMTEEPFVVQNTWTKEYGWGKEERCPSHRSVNIPKVDGLVLCNEKVVRSTMEENGNASSVGDVSANVSQGIAHERANFPFVEGNAFTATLWVGLEGFHMTVNGRHETSFVYREKLEPWLVSGVKVTGGVDILSALARGLPVPEDNDLVVDVEHLKAPLVTRKRLVMLIGIFSTGNNFERRMALRRSWMQYEAARSGDVAVRFFIGLHKNSQVNLELWKEALVYGDIQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDQVLTSLKEKPSNGLLYGRISLDSSPHRDRDSKWYISNEEWPHDAYPPWAHGPGYIISRDIAKFIVRGHQERDLKLFKLEDVAMGIWIEQFKNSGQEVHYMTDDRFYNAGCETDYILAHYQSPRLVLCLWEKLQKEHQPACCE >Potri.010G151600.8.v4.1 pep chromosome:Pop_tri_v4:10:16143221:16150084:-1 gene:Potri.010G151600.v4.1 transcript:Potri.010G151600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G151600.v4.1 MKSLKIALSMFKMKKWSGGVVIIALAIILVFSYSLMGTRTQKKQSYDFFRNHPAGDSHLKDNHPAKSPQLELKKATKSSKKPHYINVEGLSDLYAQNNISKDESNALVVWFQMRLLLSRSDALPETNQGIREASIAWKDLLSKIKENKAAQLSNINKTEDKNCPYSVSTIDLTTSSGETILDIPCGLAEDSSISVLGIPDGHSRSFQIQLLGSQLPVESNPPIILQYNVSLPGDNMTEEPFVVQNTWTKEYGWGKEERCPSHRSVNIPKVDGLVLCNEKVVRSTMEENGNASSVGDVSANVSQGIAHERANFPFVEGNAFTATLWVGLEGFHMTVNGRHETSFVYREKLEPWLVSGVKVTGGVDILSALARGLPVPEDNDLVVDVEHLKAPLVTRKRLVMLIGIFSTGNNFERRMALRRSWMQYEAARSGDVAVRFFIGLHKNSQVNLELWKEALVYGDIQLMPFVDYYSLISLKTIAICIMGTKILPAKYIMKTDDDAFVRIDQVLTSLKEKPSNGLLYGRISLDSSPHRDRDSKWYISNEEWPHDAYPPWAHGPGYIISRDIAKFIVRGHQERDLKLFKLEDVAMGIWIEQFKNSGQEVHYMTDDRFYNAGCETDYILAHYQSPRLVLCLWEKLQKEHQPACCE >Potri.011G110900.1.v4.1 pep chromosome:Pop_tri_v4:11:14112861:14116538:1 gene:Potri.011G110900.v4.1 transcript:Potri.011G110900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110900.v4.1 MDSSKDRENFVYVAKLAEQAERYDEMVDAMKKVAKLDVELTVEERNLLSVGYKNVIGARRASWRILSSIEQKEESKGNETNVKRIKEYRKKVEAELTGVCNDIMTVIDEHLIPSSIPGESSVFYHKMKGDYYRYLAEFKSGNERKEAADQSLKAYETATSTAARDLSPTHPIRLGLALNFSVFYYEIMNSPERACHLAKQSFDEAISELDTLSEESYKDSTLIMQLLRDNLTLWTSDIPEDGEDQKMETSARAGGGEDAE >Potri.009G007100.1.v4.1 pep chromosome:Pop_tri_v4:9:1455123:1457086:1 gene:Potri.009G007100.v4.1 transcript:Potri.009G007100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007100.v4.1 MGRAPCCDKANVKKGPWSPEEDSKLKEYIEKYGTGGNWIALPQKAGLKRCGKSCRLRWLNYLRPNIKHGEFSDEEDRVICSLFANIGSRWSIIAAQLPGRTDNDIKNYWNTKLKKKLMAMAPQSQRKTPPFPSSDQTDPPLPSHSLLSIYKDSGGSFSYYSQNKSFTGFDPISQIPSSLLSNNNVSFATNSLLFQPTSQEGLFSPMQYYHPAVKDNFLVFGSEASCSSNSDGSCSQISYGRYEIKQEDMGFHSLMSNNGYENYQNQKFMLSYGNHGVENLNQWVEKPNGNTGETPSDYDLVEDVKQLISSSSNNNIIPCNDSLLIDENKTQEKVMYYY >Potri.004G213500.1.v4.1 pep chromosome:Pop_tri_v4:4:22023492:22028293:1 gene:Potri.004G213500.v4.1 transcript:Potri.004G213500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213500.v4.1 MTTMEVGKVLYIVVVDEEEKRDKGKGKGKGKDSFRYTRPVLQSTLQLMGCKARHAFKISQRVFELMRSVSHSKEIEITGVDASNGNNEKEDGLSSGVFLGKTEVGNSLVSEEDRYKSIPFELYKRRTTVVVRREAFLNFVCDALTEYKYVGPNQREDLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEFLDPVAVAEAKAKRKAKKLAGTGTLHSKDEVSDGFTTGKSGSGAPKVSSGATEVISPKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRWDAGEQLYDPTTNTVALVDEEYRNQCAANSLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPVDSVDGNGKLGTGHGTDSGIGTPMYGPLQIGKAEPVNLQFGNFGISAWPSDGGTSHAGSVDESRADGTDTGSRYYSSCCSSPRKPDGAAKELKEEHSVNGSDEEVDDPPEVDSDEDLSDDDDKHDHEEIGSVDEEYTKSDEEYDDLAMQDVQENGYWSDDDEEPKDRLPPISGGNVSPNKIDKYRQNLERFLGTRSEQLAEPLCSYSSLLVEQGERRMLSSGSLKIRKRSLSIPAIRKHGSVISDPILSGAPQR >Potri.004G213500.4.v4.1 pep chromosome:Pop_tri_v4:4:22023140:22029445:1 gene:Potri.004G213500.v4.1 transcript:Potri.004G213500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213500.v4.1 MTTMEVGKVLYIVVVDEEEKRDKGKGKGKGKDSFRYTRPVLQSTLQLMGCKARHAFKISQRVFELMRSVSHSKEIEITGVDASNGNNEKEDGLSSGVFLGKTEVGNSLVSEEDRYKSIPFELYKRRTTVVVRREAFLNFVCDALTEYKYVGPNQREDLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEFLDPVAVAEAKAKRKAKKLAGTGTLHSKDEVSDGFTTGKSGSGAPKVSSGATEVISPKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRWDAGEQLYDPTTNTVALVDEEYRNQCAANSLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPVDSVDGNGKLGTGHGTDSGIGTPMYGPLQIGKAEPVNLQFGNFGISAWPSDGGTSHAGSVDESRADGTDTGSRYYSSCCSSPRKPDGAAKELKEEHSVNGSDEEVDDPPEVDSDEDLSDDDDKHDHEEIGSVDEEYTKSDEEYDDLAMQDVQENGYWSDDDEEPKDRLPPISGGNVSPNKIDKYRQNLERFLGTRSEQLAEPLCSYSSLLVEQGERRMLSSGSLKIRKRSLSIPAIRKHGSVISDPILSGAPQSNTQAEYVLPLRLCSQPCQHSTQQPGLASAWNQTLEHRHR >Potri.004G213500.6.v4.1 pep chromosome:Pop_tri_v4:4:22023266:22029361:1 gene:Potri.004G213500.v4.1 transcript:Potri.004G213500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G213500.v4.1 MTTMEVGKVLYIVVVDEEEKRDKGKGKGKGKDSFRYTRPVLQSTLQLMGCKARHAFKISQRVFELMRSVSHSKEIEITGVDASNGNNEKEDGLSSGVFLGKTEVGNSLVSEEDRYKSIPFELYKRRTTVVVRREAFLNFVCDALTEYKYVGPNQREDLVLACRIRERKESVTVLLCGTSGCGKSTLSALLGSRLGVTTVISTDSIRHMMRSFVDEKQNPLLWASTYHAGEFLDPVAVAEAKAKRKAKKLAGTGTLHSKDEVSDGFTTGKSGSGAPKVSSGATEVISPKQMAVEGFKAQSEMVIDSLDRLITAWEERKESVVVEGVHLSLNFVMGLMKKHPSIIPFMIYITNEDKHLERFAVRAKYMTLDPAKNKYVKYIRNIRTIQDYLCKRADKHLVPKINNTNVDKSVAAIHATVFSCLRRWDAGEQLYDPTTNTVALVDEEYRNQCAANSLSSKGMFQLIQRKGSSRHLMALLNTDGSVAKAWPVDSVDGNGKLGTGHGTDSGIGTPMYGPLQIGKAEPVNLQFGNFGISAWPSDGGTSHAGSVDESRADGTDTGSRYYSSCCSSPRKPDGAAKELKEEHSVNGSDEEVDDPPEVDSDEDLSDDDDKHDHEEIGSVDEEYTKSDEEYDDLAMQDVQENGYWSDDDEEPKDRLPPISGGNVSPNKIDKYRQNLERFLGTRSEQLAEPLCSYSSLLVEQGERRMLSSGSLKIRKRSLSIPAIRKHGSVISDPILSGAPQR >Potri.009G018200.2.v4.1 pep chromosome:Pop_tri_v4:9:3052037:3053578:1 gene:Potri.009G018200.v4.1 transcript:Potri.009G018200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018200.v4.1 MSKFPLSCKDKRIQKRIKGLSELEIDVAWQLVQMSRDNYKNDKSQQGSCQGDASYNDHAFHAIFEEEEEENVICEEDDDGYPKTRNKRFRSIDHIYKVTQPLLLGVLVHSKKIENACVLRPMPIS >Potri.001G260900.1.v4.1 pep chromosome:Pop_tri_v4:1:27705878:27719666:-1 gene:Potri.001G260900.v4.1 transcript:Potri.001G260900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G260900.v4.1 MAAIKSVNRSASVALAPDSPYMAAGTMAGAVDLSFSSSANLEIFKLDFQSEDHDLPVVGECQSSERFNRLAWGRNGSGSNTYGLGLIAGGLVDGNIDILNPLSLIRSEASESALVGHLSRHKGPVRGLEFNSITPNLLASGADDGEICIWDLAAPAEPSHFPPLKGTGSASQGEISYVSWNCRVQHILASTSSNGITVVWDLKKQKPAISFGDSIRRRCSVLQWHPDVATQLVVASDEDGSPSLRLWDMRNIIEPVKEYVGHTKGVIGMSWCPNDSSYLLTCAKDNRTICWNTVTAEIVCELPAGTNWNFDVHWYPRMPGVISASSFDGKVGIYNIEGCSRYTAGDNDFGRAKLRAPKWCECPVGASFGFGGKLVSFRPKLHAAGASEVFLHNLVTEDSLVSRSSEFESAIQNGEKSLLKALCDKKSQESESEDDRETWGFLKVMFEEDGTARTRMLAHLGFSVPIEEKDAVQENGLNQEINAVRLDDTPTDKVGYENNKEATIFSADDGEDFFNNLPSPKADASLAPSGDNLDLGNSAPIAEEISQEPETLEESADPSFDDSIQCALVVGDYKGAVAQCISANKIADALVIAHAGGTSLWENTRDQYLKLSPSPYLKIVSAMVNNDLLTLVNTRPLKYWKETLALLCTFAPSEEWSMLCNSLASKLIAAGNTLAATLCYICAGNIDKTVEIWSRSLSTESEGKSYIDLLQDLMEKTIVLALASGQKQFSASLCKLVEKYAEILASQGLLTTALEYLKLLGSDDLSPELTIIRDRIALSMEPEKEAKTPAFENTQQQGGSFYGAQHPGFGVADAPHTYYQGAVTQQMNQSVPGSLYSENNQQPIDSSYGRGFGAPSPYQPSPPPPAYQPAPLPQMFVPTPTPQAPKTNFAPPPPHAAASQQPTRPFVPANVPMLRNAEQYQQPTLGSQLYPGTANPAYNPVQPPTGSQGPITAQTGAIPGHRMPQVVAPGPTPMGFRPVHSGVVQRPGIGSMQPPSPTQPASMQPAVVPAAPPPTVQTVDTSNVPAHHRPVITTLTRLFKETSEALGGARANPARKREIEDNSRKIGALFAKLNSGDISENASDKLFQLCQALDMNDFSTALQIQVLLTTSEWDECNFWLATLKRMIKTRQGAGVRSS >Potri.001G260900.5.v4.1 pep chromosome:Pop_tri_v4:1:27706517:27719504:-1 gene:Potri.001G260900.v4.1 transcript:Potri.001G260900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G260900.v4.1 MAAIKSVNRSASVALAPDSPYMAAGTMAGAVDLSFSSSANLEIFKLDFQSEDHDLPVVGECQSSERFNRLAWGRNGSGSNTYGLGLIAGGLVDGNIDILNPLSLIRSEASESALVGHLSRHKGPVRGLEFNSITPNLLASGADDGEICIWDLAAPAEPSHFPPLKGTGSASQGEISYVSWNCRVQHILASTSSNGITVVWDLKKQKPAISFGDSIRRRCSVLQWHPDVATQLVVASDEDGSPSLRLWDMRNIIEPVKEYVGHTKGVIGMSWCPNDSSYLLTCAKDNRTICWNTVTAEIVCELPAGTNWNFDVHWYPRMPGVISASSFDGKVGIYNIEGCSRYTAGDNDFGRAKLRAPKWCECPVGASFGFGGKLVSFRPKLHAAGASEVFLHNLVTEDSLVSRSSEFESAIQNGEKSLLKALCDKKSQESESEDDRETWGFLKVMFEEDGTARTRMLAHLGFSVPIEEKDAVQENGLNQEINAVRLDDTPTDKVGYENNKEATIFSADDGEDFFNNLPSPKADASLAPSGDNLDLGNSAPIAEEISQEPETLEESADPSFDDSIQCALVVGDYKGAVAQCISANKIADALVIAHAGGTSLWENTRDQYLKLSPSPYLKIVSAMVNNDLLTLVNTRPLKYWKETLALLCTFAPSEEWSMLCNSLASKLIAAGNTLAATLCYICAGNIDKTVEIWSRSLSTESEGKSYIDLLQDLMEKTIVLALASGQKQFSASLCKLVEKYAEILASQGLLTTALEYLKLLGSDDLSPELTIIRDRIALSMEPEKEAKTPAFENTQQQGGSFYGAQHPGFGVADAPHTYYQGAVTQQMNQSVPGSLYSENNQQPIDSSYGRGFGAPSPYQPSPPPPAYQPAPLPQMFVPTPTPQAPKTNFAPPPPHAAASQQPTRPFVPANVPMLRNAEQYQQPTLGSQLYPGTANPAYNPVQPPTGSQGPITAQTGAIPGHRMPQVVAPGPTPMGFRPVHSGVVQRPGIGSMQPPSPTQPASMQPAVVPAAPPPTVQTVDTSNVPAHHRPVITTLTRLFKETSEALGGARANPARKREIEDNSRKIGALFAKLNSGDISENASDKLFQLCQALDMNDFSTALQIQVLLTTSEWDECNFWLATLKRMIKTRQGAGVRSS >Potri.004G081000.2.v4.1 pep chromosome:Pop_tri_v4:4:6684738:6689018:1 gene:Potri.004G081000.v4.1 transcript:Potri.004G081000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081000.v4.1 MAPVSLPPGFRFHPTDEELVAYYLRRKINAHRIELEIIPEVDLYKCEPWDLPGKSLLPSKDLEWYFFSPRDRKYPNGSRTNRATKAGYWKATGKDRKVISQMRAVGMKKTLVYYRGRAPHGARTGWVMHEYRLDERECEINTGLQDAYALCRVSKRTANIPKIGEHYDSTTNQMPCEHSSSIEQYSENHGRCEEYESTNYTMHRNVGNCSTSYRAIGSPLNIGESRNGKWIQSMDGSFGMSAPQFPNYSTVPYPPSKVDIALECARLQHRFTLPPLEVEDFPQFGFTDIKMMHQPSMPESTSTHQTDILQEILSVAHASQELINQSSFQDTWGGNYATADHDFTFMAGKDVQHNVYSDMMMNSTRWADKPWVDPSTSSMSIEMSDLDETFKAERMVENLRWVGMSNDELEKSFTEETKIVPIENISNFRSREEHGVLGENEHTGDCMRFNDSEDFSLGFINDEPNDDNFIEESNIVDDLASSPSFEVVEEIKVNHGLFVSTRQATETFFHQLVPSQTVKIYLNPAVVAANFSIEKVENSQRYDKKPSKTTAKENFTGRKSSAQYPWRYLSSNVVCMIVILLMHCFYLGENVENGKLTDDFMRSGSVEEEGFCPSKNVNPMKKPAGKLIIKRDDNEKEKDLLVTIRGGEGSKLGLFLKKLGLFLTISFALCTILANHAMAS >Potri.002G057300.2.v4.1 pep chromosome:Pop_tri_v4:2:3910025:3915018:-1 gene:Potri.002G057300.v4.1 transcript:Potri.002G057300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057300.v4.1 MTSNGASARAAADTENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPAVKGTIIFDANSTITASPVNFHGLPKYDGCCFYIGTPQKNDYFLCAETPGAARAWVATLHATQLVLKAHKEAVDSLSGSGSAKLGTVAAVVAAANSIAQECSKEIEAAMQISLRNALGMMNNRIIDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTIRDIADKLSETAEAAETAASAAHIMDEQRRIACSEIERLSKASEKQLESSMLKLKDFEEKIVALSKERDQLIKQRDSAIQEANLWRSEIAKARERAVILEGAVVRAEEKARVAEADVEARIKEAVEKEAAAVKEKEELLAYANVLQAQLQRQHLDTEQVFEEKTETPNVVGRTLPLTKHVDMSDENVDKACLSVSRAVPASGESVVHMAVDQSNPRPVEDAEWSDIQATESTIADVREVAPETEGSSLDISVVSPPVNNHHEQGANTYHQP >Potri.002G057300.1.v4.1 pep chromosome:Pop_tri_v4:2:3910023:3915027:-1 gene:Potri.002G057300.v4.1 transcript:Potri.002G057300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057300.v4.1 MTSNGASAQRAAADTENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPAVKGTIIFDANSTITASPVNFHGLPKYDGCCFYIGTPQKNDYFLCAETPGAARAWVATLHATQLVLKAHKEAVDSLSGSGSAKLGTVAAVVAAANSIAQECSKEIEAAMQISLRNALGMMNNRIIDGPMDDLTIMKETLRVKDEELQNLARDLRARDSTIRDIADKLSETAEAAETAASAAHIMDEQRRIACSEIERLSKASEKQLESSMLKLKDFEEKIVALSKERDQLIKQRDSAIQEANLWRSEIAKARERAVILEGAVVRAEEKARVAEADVEARIKEAVEKEAAAVKEKEELLAYANVLQAQLQRQHLDTEQVFEEKTETPNVVGRTLPLTKHVDMSDENVDKACLSVSRAVPASGESVVHMAVDQSNPRPVEDAEWSDIQATESTIADVREVAPETEGSSLDISVVSPPVNNHHEQGANTYHQP >Potri.008G196100.3.v4.1 pep chromosome:Pop_tri_v4:8:13849517:13851916:-1 gene:Potri.008G196100.v4.1 transcript:Potri.008G196100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196100.v4.1 MSWNCQVPTIGLVMGERGLISRMLCAKFSGYLTFGTLESGFVSAPGQPTIKDLLDLYNFRQIGPDTKVFGIIGKPVGHSKSPFLYNEAFKSVGFNGVYVHLLVDDIANFLQTCSSTDFAGFSHLMDPWLKEKGSYAVTGFENHSCTIPRKEAAVKCCDEVDPVAKSIGAVNCITRRQKDGKGKLFGYNTDYVGAISAIEDGLRERAKELAETIGADAVSLADLDNFHPEYGMILANTTSIGMQPKKVLFQSTL >Potri.004G065500.3.v4.1 pep chromosome:Pop_tri_v4:4:5619409:5621489:-1 gene:Potri.004G065500.v4.1 transcript:Potri.004G065500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065500.v4.1 MNPKKMAHNNFFSSLRQVEKRMKLENPTTVETNYEESLSTPLYLHTDQEPINNNSLASSNEESSEPPLPFLSPSLQSSPKTSHFYPKIIKESKTNDIDDIQHLIQLLGLSDIRERNRRHQEEDDVNERVCVGGGGGECCGCEGGFFEKIVGVKGPKCEKEVERLEGWIRYFLGDGGEERREPLMLAFLLLGKAAYELEGADGGGLDFPSTIEEFLKLDPPEE >Potri.004G065500.2.v4.1 pep chromosome:Pop_tri_v4:4:5619509:5621482:-1 gene:Potri.004G065500.v4.1 transcript:Potri.004G065500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065500.v4.1 MNPKKMAHNNFFSSLRQVEKRMKLENPTTVETNYEESLSTPLYLHTDQEPINNNSLASSNEESSEPPLPFLSPSLQSSPKTSHFYPKIIKESKTNDIDDIQHLIQLLGLSDIRERNRRHQEEDDVNERVCVGGGGGECCGCEGGFFEKIVGVKGPKCEKEVERLEGWIRYFLGDGGEERREPLMLAFLLLGKAAYELEGADGGGLDFPSTIEEFLKLDPPEE >Potri.004G065500.1.v4.1 pep chromosome:Pop_tri_v4:4:5620295:5621498:-1 gene:Potri.004G065500.v4.1 transcript:Potri.004G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G065500.v4.1 MNPKKMAHNNFFSSLRQVEKRMKLENPTTVETNYEESLSTPLYLHTDQEPINNNSLASSNEESSEPPLPFLSPSLQSSPKTSHFYPKIIKESKTNDIDDIQHLIQLLGLSDIRERNRRHQEEDDVNERVCVGGGGGECCGCEGGFFEKIVGVKGPKCEKEVERLEGWIRYFLGDGGEERREPLMLAFLLLGKAAYELEGADGGGLDFPSTIEEFLKLDPPEE >Potri.015G097000.1.v4.1 pep chromosome:Pop_tri_v4:15:11808319:11810266:1 gene:Potri.015G097000.v4.1 transcript:Potri.015G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097000.v4.1 MGRNTEGEVLYSAGSKAMMEGQPKKSLFASITKHEVENSRSSSMILKKVHTVVPAHILAEAISTIRGLDLRWSGPITTTEMQYVEQYVLAKYPQYAGFIGEKVDLSTLCINEEISEPSPDDKNKSPRTGLREVSTPSFGSNHPDLDRTQLEPSRLLDILTEKSSFPGSFVSIPEIQAQHKVLRHCGLPDDEYLVLFTQNYKDAMMLVGESYPFFRGNFYMTAIGEEMDYVKEFASYKESKVIPTPENWLNLRIKGSQLSQYFRRKCKHCPKGLFSYPADVNGTRYSMHWVSEAHRNSWHVLLDATALVVGKDRLNLSLHRPDFVLCSPDNTPANPSTITCLLVRKKSFDTTIASS >Potri.017G046800.2.v4.1 pep chromosome:Pop_tri_v4:17:3312472:3313169:1 gene:Potri.017G046800.v4.1 transcript:Potri.017G046800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G046800.v4.1 MASLNCFILALFIAVSLSRGEAARHLLQLPPLPSVPSLPKPTLPPLPSIPSLPQPTLPTLPTTQQPSLPKPSLPPLPSMPTLPTVVPKATLPPLPSMPTLPTVVPKLSLPPLPSMPSIPNIPLPTTIPSIPFLSPPPAGN >Potri.007G098200.2.v4.1 pep chromosome:Pop_tri_v4:7:12311187:12315519:1 gene:Potri.007G098200.v4.1 transcript:Potri.007G098200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098200.v4.1 MASKLKKGMIGVKAWLVISESGQSFVEEVGKHSIMKRTGLPARDLRALDPVLSYPSSILGRERAIVVNLEHIRAIITATEVLMINSNNPLIVQFVEDLQHRIAFGNANATPPQQAMDHDGTGLGDAADTTSPTYNSGDMKSTEIAGESANSMMNDLVGAGPKVLPFEFKALEACLESACRCLESETQTLEEEAYPALDELTSNISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDNDMAEMYLTEKIYAYAADQTCSIEEVYDGEQEVDDESVDDSKSGDDSEIYTSSKPNVEELEMLLEAYFAQIDGTLQKLSHMRDYVDDTEDFINIMLDDKQNQLLQMGVMLGAANMILNAGIVVVGLFGMNIHIELFDGKPIQFLEAVIGACGGCVALFIVALGWGKKKNILAL >Potri.007G098200.1.v4.1 pep chromosome:Pop_tri_v4:7:12310434:12315635:1 gene:Potri.007G098200.v4.1 transcript:Potri.007G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098200.v4.1 MASKLKKGMIGVKAWLVISESGQSFVEEVGKHSIMKRTGLPARDLRALDPVLSYPSSILGRERAIVVNLEHIRAIITATEVLMINSNNPLIVQFVEDLQHRIAFGNANATPPQQAMDHDGTGLGDAADTTSPTYNSGDMKSTEIAGESANSMMNDLVGAGPKVLPFEFKALEACLESACRCLESETQTLEEEAYPALDELTSNISTLNLERVRQIKSRLVAISGRVQKVRDELEHLLDDDNDMAEMYLTEKIYAYAADQTCSIEEVYDGEQEVDDESVDDSKSGDDSEIYTSSKPNVEELEMLLEAYFAQIDGTLQKLSHMRDYVDDTEDFINIMLDDKQNQLLQMGVMLGAANMILNAGIVVVGLFGMNIHIELFDGKPIQFLEAVIGACGGCVALFIVALGWGKKKNILAL >Potri.001G092600.1.v4.1 pep chromosome:Pop_tri_v4:1:7343618:7344721:-1 gene:Potri.001G092600.v4.1 transcript:Potri.001G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092600.v4.1 MADKLAGTITVTVLFFLCTVAFSRTPLDLETLPELPKSEPKATPVLLLPSQGLETAVKHPVEVKIEEKRDPGSSTTESIETVVPLTVVTFRPINRQFFPRRPLLPLRPRHRCRHGQGMVKPRFYGNDMIVSGGKVHGHGLDDKETRGVGRQIPVKRARIYFFDDVANGEQREWRYKKHQKHLQVEGEEREKEEKHEHEQEHKWGLLKGFRKFLKHNF >Potri.005G168500.1.v4.1 pep chromosome:Pop_tri_v4:5:16938957:16945732:1 gene:Potri.005G168500.v4.1 transcript:Potri.005G168500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168500.v4.1 MRPQNLRFFTFTIFTVIIVLQVHSTQPPFSCDSSNPSTKTFPFCKTTLPISQRANDLVSRLTLEEKISQLVNSAQPIPRLGIPGYQWWSEALHGVAYAGPGIRFNGTIKRATSFPQVILSAASFDANQWYRISQAIGKEARALYNAGQATGMTFWAPNINIFRDPRWGRGQETPGEDPLMTGKYAVSYVRGLQGDSFKGGEIKGPLQASACCKHFTAYDLENWNGTSRYVFDAYVTAQDLADTYQPPFKSCVEEGRASGIMCAYNRVNGIPNCADSNFLSRTARAQWGFDGYIASDCDAVSIIHDAQGYAKTPEDAVVAVLKAGMDVNCGSYLQQHTKAAVDQKKLTISEIDRALHNLFSVRMRLGLFNGNPTGQQFGNIGPDQVCSQENQILALDAARNGIVLLKNSAGLLPLSKSKTMSLAVIGPNANSVQTLLGNYAGPPCKLVTPLQALQSYIKHTIPYPGCDSVQCSSASIVGAVNVAKGADHVVLIMGLDDTQEKEGLDRRDLVLPGKQQELIISVAKAAKNPVVLVLLSGGPVDISFAKNDKNIGSILWAGYPGEAGAIALAEIIFGDHNPGGKLPMTWYPQEFVKVPMTDMRMRPETSSGYPGRTYRFYKGPTVFEFGYGLSYSKYTYELRAVSQNKLYLNQSSTMHKINNFDSVLSLLVSELGTEFCEHNKFPVRIEVKNHGEMAGKHPVLLFARQTKQGNGRPRKQLVGFHSVQLSAGERAEIEFEVSPCEHLSRTNEDGLMVMEEGTHFLVVEGQEYPISIVI >Potri.001G205300.1.v4.1 pep chromosome:Pop_tri_v4:1:20846769:20856934:-1 gene:Potri.001G205300.v4.1 transcript:Potri.001G205300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205300.v4.1 MNTVKANIKNPKVWVLVIGVTVAVGMVAAAETRRRKAKFKEDFGAFVQRFQILPFPQPPPPAAKQTLAGLTFAINDIFELEDYVTGFGNPDWARTHEAAEKTAVTVTALLKNGAVCVGKTVMGELGFGVSGENIHYGTPINPEMPAHVPGGSSSGSAVAVAAGLVDFALGTDTIGCIRIPAAFCGLLSYRPSHGAVSTIGILPNSQSLDTVGWLARDPSILLRVGHTLLKLNTVEPRRARRLIFADDLFQLSKVPKQKAEVVINKAIENLSGYQPQQHINFGQHISLNVPSLKGFLDQSTNMQNGISNLKALSSAMVSLQRHEFKTNHEDWVKSVEPKLALDVSDNLLTAINTTHENIKALYDIRKELRACMQILLKDDGILVIPTVADPPLKLNSKKRDTVESHNRALILSSIASMSGCCQVTIPLGKNDGCPISVSFITFHGGDKFLLDTVLDMYSSLKEQINFLSNPAPLKDANENFDASELLKEKGNAAYKGKQWNKAVNYYSEAIKLNGKNATYYSNRAAAYLQLGCFQKAEEDCNMAISLDKKNVKAYLRRGTARESLLFYKDAAQDFKHALVLEPQNKVARHAEKRLRKLMS >Potri.002G120200.1.v4.1 pep chromosome:Pop_tri_v4:2:9126291:9129742:1 gene:Potri.002G120200.v4.1 transcript:Potri.002G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120200.v4.1 MELVKELLVTGVVAVLFSFLIAKLVSLAASGGDSSSKNANREVITAAEIVGEEEGKVITEELRFRERLQVEGLKTETKTEFVEQATEKIDEFITGIFAFENVNEAVNREEILLEIEARELALELIEAILREEEYKLKGGEFDNEEVKHVNLASSINENREDESVGIELDAVETDSKEKMNEIEVNDDEDDDWEGIERSELETMFGEAARFVVESGDKDGRFAGVGSDVQMELYGLHKVATEGPCLQKPPMALKVSARAKWNAWQRLGNMSPEAAMEQYIVLVSDRAPGWMEDKPGGDSKPGSSEVTNPVAVTPDLSTFSSRQPDCTEAMTCKNPEPKLGAEERDLTGASNWITGPKNDKHCSAA >Potri.005G064400.1.v4.1 pep chromosome:Pop_tri_v4:5:4160436:4162435:1 gene:Potri.005G064400.v4.1 transcript:Potri.005G064400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G064400.v4.1 MAILEYFHIIIALVCILLFCHWCRNTVSPVTNWPVVGMLPGLLFKAQNIHEYATRLLKQSGGTFEFKGPWFANMNIFLTADPLNVRHISTTNFVNYPKGPEYKKIFEPYGDGVLNSDFESWKSFRKLIHSMIKDNKFQVSLERSLREKILKGLIPVLEHVSRQEIELDMQDVFQRFTFDNICLLVLGFDPQSLSVDLPEIAYKTAFDVVEEAMFYRHIVPESIWKLQKWLNVGEEKRLSQAMDTIDNLLEQCISSKKEEIRQRKAQNMVQVEDNDQDDYDLITACIKEGEAAEQMDASKRTDKYLRDMGFNFIAAGKDTVNAALTWFFWLVATHHEVEEKIVEEIRANMKSKGDHTINGMFFNLEELNKLVYLHGAICETLRLYPAVPFNYRVSVEADTLPSGHLVKENTKVMFSLYSMGSMEEIWGDDCLAFKPERWISERGGIIHVPSYKYIAFNTGPRSCLGKEITFIQMKTIATAILLNFHLQVVEGHPVSPGLSVMMRMKHGLKLRATKRCV >Potri.T046554.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:28591:31245:-1 gene:Potri.T046554.v4.1 transcript:Potri.T046554.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T046554.v4.1 MKIMNILQIHNLKPQFPCTFRTVPHHSLSGSLNFSLRKSTRLSSSSFLLLLVTPIRPTFKIFTVSRIEAPFFENNEEDEEEDEERNSATNLTEFEDLAPDGVVYQNTLRLVECSMFAAVTGLVYFLSNSLSIENYFGCFFSLPIVISSLRWGVAGGRKTMVATAMLLFVLSGPVKALTYLLTHGLVGFTMGSLWRMGANWGLSIFLCTIARATGAVGYVLTSSFLIRENILALITINIHASLTFIFAAAGINTVPSMNFIYSLFGILVVLNSGFFVFLLHLLYSVFLTRLGMKDSLRLPRWLEKAL >Potri.012G059600.1.v4.1 pep chromosome:Pop_tri_v4:12:5956571:5958033:-1 gene:Potri.012G059600.v4.1 transcript:Potri.012G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059600.v4.1 MNIAVKIPQYSFSIIAWLFLFLFFFHGWCYFFLNSNNIIHNNNNVHVSPRTLSNRKMLVSNVDFTAIMSRHHQRHMPMHSDPTRGEIDPLYGVEKRLVPTGPNPLHH >Potri.002G016401.1.v4.1 pep chromosome:Pop_tri_v4:2:1003772:1004651:1 gene:Potri.002G016401.v4.1 transcript:Potri.002G016401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016401.v4.1 MVELSRTCNDVDFLLVTGDESEKGREICKREKIEKVPHFSFYKSMEKIHEEKGITQDKLTGHVLYYGDNHSAVLRLHCRENARGEVDRSWSQADCS >Potri.001G389400.1.v4.1 pep chromosome:Pop_tri_v4:1:41210666:41212632:-1 gene:Potri.001G389400.v4.1 transcript:Potri.001G389400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G389400.v4.1 MATEEACTIIKVGDKYRSFLHEDTENTTQWRHGGPPNFDTVNQLFEEGRTKEWPKGSLEEVVQNAIKTWDMEIEHKTRVQDIKTINPDTFKLIVNGREGLAAEETLRIGSYNALLKSSLPKEFQYYKADEETFESSHDAFRSALPRGFAWEVLSVYSGPPVISFKFRHWGFFEGPFKGHAPTEEKVEFYGFGILKVDESLRAEDVEVYYDPAELFGGLLKGALISPCQSEDNTVNTATATRGCPFSK >Potri.007G112000.1.v4.1 pep chromosome:Pop_tri_v4:7:13332304:13333172:1 gene:Potri.007G112000.v4.1 transcript:Potri.007G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112000.v4.1 MKMIQKRLRSLAARLNLKKQKKGYEKQVPIPSKHFPVYVGDQELEGNLKRYDVPVACTSSIIFQALLRQFDDILAVDEGPITLSCSKQMFESVLKLSLDGLRIEEVKKLTDFHH >Potri.004G156200.2.v4.1 pep chromosome:Pop_tri_v4:4:17742289:17743982:-1 gene:Potri.004G156200.v4.1 transcript:Potri.004G156200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156200.v4.1 MEILQEDEEYNWREVKLPSLIPVIPEPGLERETGERRRGRDILIAIDHGPNSKHAFDWALIHLCRLADTLHLVHAVSSVQNTVVYETSQQLMEKLAVEALQVAMVRTVARIVQGDAGKVICNEAERLKPAAVVMSTRGRSLVQSVLQGSVSEYCFHHCKAAPVIIVPGKEDGDESLI >Potri.008G207100.2.v4.1 pep chromosome:Pop_tri_v4:8:15755153:15756055:-1 gene:Potri.008G207100.v4.1 transcript:Potri.008G207100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G207100.v4.1 MYRNESFILDSMRIFMKAFHLLLFDESFISPEYMPWLYFISSTSLVMKEPMISFSRNFQTNNFIEIFQFLILLCSTLCIPLSVEYIECIEMVITEFLLFVLTAILGGMFLCDANDLITIFVAPKCFSLCSYLLSGYTKKDVQSNEATTKYLLMGEASSSILVHGFSWLYGSSGGEIELQEKVNGLINTQMYNSQEISIVLIFITVGIRFKLSPTPSHQWTPDVYEGVRFVRKTPTSLSISKMLGFFKTPWTSRREMLSPLRPRHNFYLFK >Potri.002G115900.1.v4.1 pep chromosome:Pop_tri_v4:2:8810052:8811140:-1 gene:Potri.002G115900.v4.1 transcript:Potri.002G115900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G115900.v4.1 MSFAQEAVQFECPVQGPGFTDNEIQELLSLLRSPSPGQNSGSSGSNQALYSLDERKRRRMLSNRESARRSRWRKKRHLEDLTQQLNRLKIANRELKNRLGSILNQSHVLWRENDRLMLESIALKSRLSDLCYVFAAMQKSQQQCSSL >Potri.012G013900.2.v4.1 pep chromosome:Pop_tri_v4:12:1648317:1658128:-1 gene:Potri.012G013900.v4.1 transcript:Potri.012G013900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013900.v4.1 MIIKRNLKSQMPSLRRCNRLGDNAACEEEDNSASTRRKKRKLTSNNHHSNCGVTGGYYPINLLPEVAAGVIPVSLKSSRGFAASLCTEVSCSPPESNGRDSMTRRAANGNGGSSNNTIGNDNGNRTVEVSRPPLVRTSRGRVQVLPSRFNDSVIDNWRKESKTNSRDYSFDDNDNDKDDDDYVVDDDDDDVDYDVQLKSSRKVKEKERTGLRLRRMGGNVKKQSRHCGGKYVDTCEEEEEEVRFKGGFDTKKYYSSCSRSTLTTVHENLVVVVDDNECGGVLDLSSGERKEDGLFGPEDFYSGDLVWAKSGMKYPFWPAIVIDPMTQAPELVLRSCIADAACVMFFGCSGNDGDQRDYAWVQRGMIFPFLDFVDRFQEQSELDDCKPGDFQMAVEEAFLAEQGFTEKLMQDINTAAGNPIFDESVYRWLQEATGSNQDLDFHSPNQDMIWKNNDTRPCEGCGTSLPLKPAKKIKGTSPGGQLLCKTCARLTKSKHFCGICKKVWNHSDSGSWVRCDGCKVWVHAECDKISSNRFKDLGGTDYYCPACKAKFNFELSDSEKSQLKCKSNRSNGQPALPNKVTVICSGVEGIYFPSLHMVVCKCEFCGSEKQALSEWERHTGSKIKNWRTSIRVKDSMLPLEQWMMQIADYHARAVSTKPPKRPLIKERKQKLLAFLQERYEPVYAKWTTERCAVCRWVEDWDYNKIIICNRCQIAVHQECYGARNVQDFTSWVCKACETPDIKRECCLCPVKGGALKPTDVETLWVHVTCAWFRPEVSFASDEKMEPALGILSIPSNSFVKICVICKQIHGSCTQCCKCSTYYHAMCASRAGYRMELHCLEKNGRQTTKMISYCAYHRAPNLDTVLIIQTPVGVFSAKNLVQNKKRAGTRLISSNRTKLEEVSTEEATESESLSAARCRVFKRVNNNKKRTEEEAISHRLTRPCHHPLGEIQSLNAFRVVEEPKSFSSFRERLYYLQKTENDRVCFGRSGIHGWGLFARRNIQEGEMVLEYRGEQVRGSIADLREARYRLEGKDCYLFKISEEVVVDATDKGNIARLINHSCMPNCYARIMSVGDNESRIVLIAKTNVSAGDELTYDYLFDPNEPDEFKVPCLCKAPNCRKYMN >Potri.002G077000.1.v4.1 pep chromosome:Pop_tri_v4:2:5381141:5383009:1 gene:Potri.002G077000.v4.1 transcript:Potri.002G077000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077000.v4.1 MRGHLCTASRVDTECETDSDSETMRCISCKEYYSRCDAGTCKECYEEASDTEEELKREIEDLKAKVAFLRFWSPLDLHITHRSPAGPCFTDVVLIASSDDGFIGTPSVPVPAHKAVLVSRSPVFKAMLENEMEESRSGTIKISDVSYDALRSFVNYLYTAEACLDEQMACDLLVLAEKYEVKHLKAYCEKFLVSKLNWDNSVMSYAFAHQHNAKHMLETALSLITDNMDKLTKRKEYIELVEEDPRLVVEIYEAYLSKQVNTAAHKDSSSMKP >Potri.001G040200.1.v4.1 pep chromosome:Pop_tri_v4:1:2883430:2884231:-1 gene:Potri.001G040200.v4.1 transcript:Potri.001G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G040200.v4.1 MSGSGCSCGSDCKCGSGCKCGMYPDLGISESTTTETIIAGLAPVHMFYERSEMNFGAENGCKCGSSCTCDPCSCK >Potri.001G467300.1.v4.1 pep chromosome:Pop_tri_v4:1:49210660:49214758:1 gene:Potri.001G467300.v4.1 transcript:Potri.001G467300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467300.v4.1 MPAPLRNLLLSPNIFSFTLLLSINSLLLRSCYSIDEQGQALLAWKNSLNTSTDVLNSWNPLDSSPCKWFGVHCNSNGNIIEINLKAVNLQGPLPSNFQPLKSLKSLILSSTNLTGAIPKAFGDYLELTLIDLSDNSLSGEIPEEICRLRKLQNLSLNTNFLEGAIPSDIGNLSSLVYLTLFDNQLSGEIPQSIGALSRLQIFRAGGNKNLKGEVPQEIGNCTNLVVLGLAETSISGSLPSSIGKLKRIQTVAIYTALLSGSIPEEIGDCSELQNLYLYQNSISGPIPRRIGKLSKLQSLLLWQNSIVGAIPDELGRCTELTVIDLSENLLTGSIPRSFGNLLKLEELQLSVNQLTGTIPVEITNCTALSHLEVDNNEISGEIPAGIGSLKSLTLFFAWQNNLTGNIPESLSECENLQALDLSYNSLFGSIPKQIFGLQNLSKLLILSNDLSGFIPPDIGNCTNLYRLRLNGNRLGGTIPSEIGNLKILNFVDLSNNLLVGGIPLSISGCQNLEFLDLHSNGITGSVPDTLPKSLQYVDVSDNRLTGSLTHRIGSLTELTKLNLAKNQLSGGIPAEILLCSKLQLLNLGDNGFSGEIPKELGQIPALEISLNLSCNQFSGKIPSQFSDLSKLGVLDISHNKLEGSLDVLANLQNLVFLNVSFNDFSGELPNTPFFRKLPLSDLASNQGLYIAGGVVTPGVHLGPGAHTRSAMKLLMSVLLSASAVLILLAIYMLVRARIGSHGLMEDDTWEMTLYQKLEFSVDDIVKNLTSANVIGTGSSGVVYRVILPNGEMIAVKKMWSSEESGAFNSEIQTLGSIRHRNIVRLLGWCSNKNLKLLFYDYLPHGSLSSLLHGAGKGGAEWEARYDVLLGVAHALAYLHHDCLPPILHGDVKAMNVLLGPGYEPYLADFGLARVVNNNSDDDFCKPTQRPQLAGSYGYMAPEHASMQRITEKSDVYSFGVVLLEVLTGRHPLDPTLPGGAHLVQWVREHLASKKDPADILDSKLIGRADPTMHEMLQTLAVSFLCISTRVDDRPMMKDVVAMLKEIRHVDTVRAEPDLSKGVNLTAVRSSPPARIVVSQGSSNCSFDFSDDSIKY >Potri.002G082100.1.v4.1 pep chromosome:Pop_tri_v4:2:5828261:5833030:1 gene:Potri.002G082100.v4.1 transcript:Potri.002G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G082100.v4.1 MASTVSFWSCIFLLSLIVALSAGEDESKEYVLTLDHSNFNETVSKHDFIVVEFYAPWCGHCKKLAPEYEKAASILSSNDPQVVLAKVDANEDANKEIASQYDVKGFPTIVILRKGGKSVQEYKGPREADGIVEYLKKQSGPASAELKSDDDATGFIGDKKVVIVGVFPKFSGEEFENFLAVAEKLRSDYEFGHTLDAKYLPRGESSVSGPLVRLFKPFDELFVDSKDFNVDALEKFVEESSIPIVTLFNKDPSNHPFVVKYFDSPLAKAMLFMNFSSENGDSIRTKYQEVAGLHKGDGLVFLLGDVEASQGALQYFGLKEDQVPLIVIQTTDGQKYLKANLVSDQIAPWLKEYKEGKVPPFKKSEPIPEVNDEPVKVVVADSLDELVTKSGKNVFLEFYAPWCGHCQKLAPILEEVAISFQSDADVVIAKLDATANDIPSDTYDVKGFPTIFFRSATGKLVQYEGDRTKQDIIDFIEKNRDKIGQQEPAKEEEPAKEQEPAKDEL >Potri.012G017600.1.v4.1 pep chromosome:Pop_tri_v4:12:1945192:1948484:1 gene:Potri.012G017600.v4.1 transcript:Potri.012G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017600.v4.1 MGFSLKHRAGSFMVAAIFLLGSLLTVSIAKEEATKLGTVIGIDLGTTYSCVGVYKNGHVEIIANDQGNRITPSWVAFTDSERLIGEAAKNQAAANPERTIFDVKRLIGRVYGDKEVQKDMKLFPYKIVNKDGKPYIEVKIKDGETKVFSPEEISAMVITKMKETAEAFLGKKIKDAVITVPAYFNDAQRQATKDAGVIAGVRVARIINEPTAAAIAYGLDKKGGEKNILVFDLGGGTFDVSILTIDNGVFEVLATNGDTHLGGEDFDQRIMEYFIKLIKKKHGKDVSKDNRALGKLRRECERAKRALSSQHQVRVEIESLFDGVDFSEPLTRARFEELNNDLFRKTMGPVKKAMEDAGLEKHQIDEIVLVGGSTRIPKVQQLLKDYFDGKEPNKGVNPDEAVAYGAAVQGGILSGEGGDETKDILLLDVAPLTLGIETVGGVMTKLIPRNSVIPAKKSQTFTTYQDQQTTVTIQVFEGERSLTKDCRSLGKFDLSGIAPAPRGTPQIEVTFEVDANGILNVKAEDKASGKSEKITITNDKGRLSQEEIERMVREAEEFAEDDKKVKEKIDARNSLETYVYNMKNQVNDKDKLADKLEADEKEKIETAVKEALEWLDDNQSAEKEDYEEKLKEVEAVCNPIITSVYQRSGGAPGGAADGGDDDDSNDEL >Potri.005G030519.1.v4.1 pep chromosome:Pop_tri_v4:5:1980324:1980722:-1 gene:Potri.005G030519.v4.1 transcript:Potri.005G030519.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G030519.v4.1 MYVLKNLEILDLHSNNLVSNSSLSFLILKLLQRLYLGRNKLQGSIPDEMGQMENLGLLDLANNSITGSIRCSLGNLSQLRYLYLSSAFFLMKPLSSAFFLMKPLSIKIEPPNLATLSMLILKPPICSVYRTF >Potri.006G199300.1.v4.1 pep chromosome:Pop_tri_v4:6:20685693:20686452:-1 gene:Potri.006G199300.v4.1 transcript:Potri.006G199300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199300.v4.1 MNSSMAGKLQCPRPAPLMVSKNSSKIKKTAAPNQGRSSPVIVYLKSPDIIHVRPEDFMGTVQRLTGKAETFSTTSSSSPPTSCVPWLAADHHETMKMGI >Potri.002G019300.2.v4.1 pep chromosome:Pop_tri_v4:2:1160477:1164775:-1 gene:Potri.002G019300.v4.1 transcript:Potri.002G019300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019300.v4.1 MLKMNASGGWRLRLDQRGLNAMKGELSVLEGILAEDLKKMEQVFYGNLIGNSCAECLNCDLSEGAKFVHQVLTSSDNDFQDSLGTKDCSRCYKGLVKRVSPVSSSCSIQKVLKKAVKRCAALSVELNPGNSPGNRRSWDKFFANHLPATIVVWAAQEAKIMLKGDLIKLMGGFKLNPKPCLDTNHAGLREERVPDVNEITSCTFRRIEEVSRDDSAYLKDSNGYKAKVTFRSISVIRRALPESELGWPLLPRTNRSALDTLRNSEVGNMSLVERNSNEMKIPSNLKTDYSIVKECKIEGTVIQLFHTSENRSRDGSSNGEDARFGFKPEVSSHPDYVLTKNSTRSGPGWPLLRVKTSASLESFQESQAEKFSVVQWVSSLTDRSEEATTKFQIDFVSKEVESYVENKMRGYKDKNMGACLAAPMKLPKKMDCFFKVCTSGCKQFGYEELKRATRQFSSENFVGEGGCSNVYKGYLPGGKQVAVKILKQYKEAWSDFSLEIDIMSSLKHKHITPLIGICVEDNHLILVYDFLSQGSLDERLQGKRRKSVLPWKVRFKVAIAIAEALNHLHNECSRPVIHRDVKSSNILLSKDFQPQLSDFGLAIWGPADSAYAIHSDVVGTFGYIAPEYFMNGRVSDKIDVYSFGIVLLELLTGKKPIISKDLKGQESLIKWATPLLESGNLKALLDPKTNGNFDVVQMQRMVLAATLCVRQTARLRPKISQILELLRGEKDEGEWVNSYANDLKKSSDEELDDLFLDFGCKPGLETSFLQLNDDDASHSSVDTASLSRVDVTTPSRAGRKSRSMLRDYLKESQG >Potri.002G019300.3.v4.1 pep chromosome:Pop_tri_v4:2:1160836:1164681:-1 gene:Potri.002G019300.v4.1 transcript:Potri.002G019300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019300.v4.1 MLKMNASGGWRLRLDQRGLNAMKGELSVLEGILAEDLKKMEQVFYGNLIGNSCAECLNCDLSEGAKFVHQVLTSSDSLGTKDCSRCYKGLVKRVSPVSSSCSIQKVLKKAVKRCAALSVELNPGNSPGNRRSWDKFFANHLPATIVVWAAQEAKIMLKGDLIKLMGGFKLNPKPCLDTNHAGLREERVPDVNEITSCTFRRIEEVSRDDSAYLKDSNGYKAKVTFRSISVIRRALPESELGWPLLPRTNRSALDTLRNSEVGNMSLVERNSNEMKIPSNLKTDYSIVKECKIEGTVIQLFHTSENRSRDGSSNGEDARFGFKPEVSSHPDYVLTKNSTRSGPGWPLLRVKTSASLESFQESQAEKFSVVQWVSSLTDRSEEATTKFQIDFVSKEVESYVENKMRGYKDKNMGACLAAPMKLPKKMDCFFKVCTSGCKQFGYEELKRATRQFSSENFVGEGGCSNVYKGYLPGGKQVAVKILKQYKEAWSDFSLEIDIMSSLKHKHITPLIGICVEDNHLILVYDFLSQGSLDERLQGKRRKSVLPWKVRFKVAIAIAEALNHLHNECSRPVIHRDVKSSNILLSKDFQPQLSDFGLAIWGPADSAYAIHSDVVGTFGYIAPEYFMNGRVSDKIDVYSFGIVLLELLTGKKPIISKDLKGQESLIKWATPLLESGNLKALLDPKTNGNFDVVQMQRMVLAATLCVRQTARLRPKISQILELLRGEKDEGEWVNSYANDLKKSSDEELDDLFLDFGCKPGLETSFLQLNDDDASHSSVDTASLSRVDVTTPSRAGRKSRSMLRDYLKESQG >Potri.019G133500.1.v4.1 pep chromosome:Pop_tri_v4:19:15516865:15522583:-1 gene:Potri.019G133500.v4.1 transcript:Potri.019G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G133500.v4.1 MAAALSFPCALDTATKQFHLLSSVLSGIIACGIVYKLTAFVSRLYFKGYGKLTDAQKVEWNNRGFSTFHALFVASASLYLLLLSGLFYEDSRDELVVNRTSTLSNSTLGISIGYFLSDLAMILFHFPALGGMEYLLHHGLSMFSIILALLSGQAQIYILMVLFSEITTPFVNLRWYLDVAGQKSSKLYIWNGVLLFMGWLVARILLFIFFFSHMFIHFDQVKQIFPLGFYSILVIPGTLAVMNVLWFWKIVKGLMKTLSKARHGQ >Potri.009G035500.1.v4.1 pep chromosome:Pop_tri_v4:9:4545967:4548138:1 gene:Potri.009G035500.v4.1 transcript:Potri.009G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G035500.v4.1 MVIASFKSLHFLLGLFVSLKLLALAQEENQFIYHGFTGANLLLSEIAKIHPNGLLELTNTSKQQIGRAFFPFPFQFNTSLFNNSRSLSFSTQFAFSMVPELPTLGGQGMAFAISPSVDFTGAVAAQYFGILNSTSDGLPSNHLLAVELDTVQSQDLKDINESHVGIDVNSLISIESAPVTYFSDEEKENKSLTLISGHAMHVWIDYDEVEMLLNVTVAPVTRTKPTLPLLSTPLDLSSVMLNSMYVGFSSSTGAMASNNYILGWSFNRGGQAQSLDVSKLPTLPTRRKSRKKPQLRIVVPTITAIILLVAISGDVFIIRRKKYEELREDWEQEYGPQRISYKDLYKATKGFTDKELLGCGGFGKVYRGVLPSSNMQVAVKKVSHDSRQGTKEFVAEIVSMGRLRHRNLVQLFGYCRRKGELLLVYDYMPNGSLDKLLFRNETPSLNWGRRYQIIRGVASALLYLHEEWEQVVLHRDVKASNVLLDADLNGRLGDFGLAKFHDHGSTPQTTKVVGTVGYLAPEITRTGKSTTCSDVFSFGTFMLEVACGRKPVESERPPEEVVLVDWVLECWNRGAILGTVDPRIEGNHVEEEMEVVLKLGLLCTHRTPAARPSMRQTVQYLDGNATLPDLPLHGAGIGLVPVSNEVSREHVLTIPISSDEISSYSLSDSESILSGR >Potri.013G092400.1.v4.1 pep chromosome:Pop_tri_v4:13:9483640:9486233:1 gene:Potri.013G092400.v4.1 transcript:Potri.013G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092400.v4.1 MATSTGGVPPGFRFHPTEEELLHYYLKKKVSFQKFDMEVIREVDLNKMEPWELQETCKIGSTPQNEWYFFSHRDRKYPTGSRTNRATNAGFWKATGRDKCIRNTDKKIGMRKTLVFYRGRAPHGQKTDWIMHEYRLEDGDDAQGNAGEDGWVVCRVFKKKNLFKVSNEGGTASMDSSDQQLHTSSNQSRTSMYRDSQYLIRQHHNHGSTQQTFEPNKPELALYHHHMETPHQYSLFPSQTLMPTHKPLGYDYSALPSESPVMVKQLMSKARDCESGSESLRYQACEPGLEVGTCEAPQQMVAGRDEQGMNDQWAVLVTSHLGNEDSSKGVTFNAANAPSAHPINQSSLRGEMDFWGYGK >Potri.001G158800.1.v4.1 pep chromosome:Pop_tri_v4:1:13422522:13423601:-1 gene:Potri.001G158800.v4.1 transcript:Potri.001G158800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158800.v4.1 MASSSSDWVQLYEQANIHGQATPSFGFSDATNVATSGASHIINPSSSITSSAGDQTLTPKGCGSKPIKRRSRASKKTPSTLLTATTANFRALVQQFTGCPSIPISIGNQKGPITLNFGLGSAQDHSYATAEMAPFDNIYYHGQSQMQGRQQPRENAQQLHQDQGLLDHLPNSNAYFSLSSDLGPNLDRPADDGLFNMDDIALQELAKESFSDENMNNIDCF >Potri.017G057400.3.v4.1 pep chromosome:Pop_tri_v4:17:4538311:4542159:1 gene:Potri.017G057400.v4.1 transcript:Potri.017G057400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057400.v4.1 MATSLRLYLTCIRNTLEASLCLQNFPCQEVERHNKPEVEMKTSPELLLNSILICRNEAEKCLIETSINSLRISLKVKQADELENILTKKFLRFLSMRAEAFQVLRRKPVQGYDISFLITNFHCEEMQKRKLIDFIVQFMEDIEKEISELKMSVNTRGRLVATEFLKQFI >Potri.001G329700.1.v4.1 pep chromosome:Pop_tri_v4:1:33850466:33851877:-1 gene:Potri.001G329700.v4.1 transcript:Potri.001G329700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329700.v4.1 MPAIPEEPLLAENPDRFCMFPIQYPSIWEMYKKAEASFWTAEEVDLSSDVGHWENLTPDEKHFISHVLAFFAASDGIVLENIAGRFMKEVQVSEARAFYGFQIAIENIHSEMYSLLLETYIKDSTEKNRLFHAIETVPCVAKKAEWALRWIDGGESFAERLIAFACVEGIFFSGSFCAIFWLKKRGLMPGLTFSNELISRDEGLHCDFACLLYSLLRKKLSEERVKGIVKEAVDIEREFVVDSLPCALVGMNGELMSQYIEFVADRLLGALGCGKVYNVANPFDWMELISLQGKTNFFEKRVGEYQKASVMSSINGNGDNHVFKMDEDF >Potri.002G225700.2.v4.1 pep chromosome:Pop_tri_v4:2:21404643:21405420:1 gene:Potri.002G225700.v4.1 transcript:Potri.002G225700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G225700.v4.1 MKNERSEILSVARKALTKAYNPNSLTITDKLFFAGLSWSVDEKSLKDAFSSFGDTVADRFVSFSKEDEAVSAKDAMDWKVTMALIVNNFIAGIVRSSIEDKLCSEGVRGGPVGVPRLPNGGDGASNGNA >Potri.002G100101.1.v4.1 pep chromosome:Pop_tri_v4:2:7313365:7314684:-1 gene:Potri.002G100101.v4.1 transcript:Potri.002G100101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100101.v4.1 MFVSDIQNKANSKPPEDYIHVRARKGQATDSHSLVERVRREKISERMKLLQDLVPGCNKVTGKAFMLDEIINYVQSLQRQVEVARKAAPTTGGVKKPNGYRPRIHKYQKSTELLIRKLPFQRLSQNFKADFRFQSQAVLALPRQLKHALLDCLRILIFVQSLPCGLLSCLGMFSLPGEPVVKKHKNLWVFTLGFFSCFLPFLSVFCHFLSVEQTNL >Potri.001G023200.1.v4.1 pep chromosome:Pop_tri_v4:1:1794896:1795899:-1 gene:Potri.001G023200.v4.1 transcript:Potri.001G023200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023200.v4.1 MAIMNINSMMVLAFFAMTGILISSDHVARGQGCQGDLQGLITQCARFVQRAGPQKDPSQECCSVIKSVDIPCVCKYITGEIEAVVDMGKVVHVAASCGKPLDHGMKCGSYTVP >Potri.011G125200.5.v4.1 pep chromosome:Pop_tri_v4:11:15805294:15809610:1 gene:Potri.011G125200.v4.1 transcript:Potri.011G125200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125200.v4.1 MESLPFFIFFSTLFIQSLHFLSFSADIITPDLPVKDGQTLISVSQSFELGFFSPGTSKYRYVGIWYKKSPETVVWVANRNNPLTDHFGVLTIDNRGNLVLLDQIKNIIWSSKSSSIIAGPVAQLLDSGNLVVRDNGSSRNTESYRWQSFDQPSDTLLPGMKLGWNLKTGQERYLITWRSISDPSPGDFTYRLDIHGLPQLFIVVGSVKKVRSGPWNGIFFGGTPKVHNSVFEPILVRNEDEIYYTYRLLNNSVCSRLTLNQSGAVERLVMYGQNSGWTTIYSVPVDTCENYGQCGANGICRTRTSPICECLKGFKSIPEEELDIQNFYGSRKCETRLTLDCQSGEGFLKLPGVKLPDLLEFRLNESMNLKECEAECFKNCSCSAFATTNLSGGGDGSGCLMWFGNLIDIREQSGSTIGQDIHIRVPASELEMARSSKRKKMLKTALVASMSALLGIFVSGLVLCISWRKIKRKVGMDRRKEGMEAPLFDLDTIATATNNFAPDSIIGAGGFGSVYKGKLLTGQEIAVKKLSMNSGQGVEEFRNEVVLIAKLQHRNLVGLLGSCIHREERMLIYEYMPNKSLDYFIFGLIPLTHCLFCHISSLSICNVLHVLN >Potri.011G125200.1.v4.1 pep chromosome:Pop_tri_v4:11:15805295:15809651:1 gene:Potri.011G125200.v4.1 transcript:Potri.011G125200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125200.v4.1 MESLPFFIFFSTLFIQSLHFLSFSADIITPDLPVKDGQTLISVSQSFELGFFSPGTSKYRYVGIWYKKSPETVVWVANRNNPLTDHFGVLTIDNRGNLVLLDQIKNIIWSSKSSSIIAGPVAQLLDSGNLVVRDNGSSRNTESYRWQSFDQPSDTLLPGMKLGWNLKTGQERYLITWRSISDPSPGDFTYRLDIHGLPQLFIVVGSVKKVRSGPWNGIFFGGTPKVHNSVFEPILVRNEDEIYYTYRLLNNSVCSRLTLNQSGAVERLVMYGQNSGWTTIYSVPVDTCENYGQCGANGICRTRTSPICECLKGFKSIPEEELDIQNFYGSRKCETRLTLDCQSGEGFLKLPGVKLPDLLEFRLNESMNLKECEAECFKNCSCSAFATTNLSGGGDGSGCLMWFGNLIDIREQSGSTIGQDIHIRVPASELEMARSSKRKKMLKTALVASMSALLGIFVSGLVLCISWRKIKRKVGMDRRKEGMEAPLFDLDTIATATNNFAPDSIIGAGGFGSVYKGKLLTGQEIAVKKLSMNSGQGVEEFRNEVVLIAKLQHRNLVGLLGSCIHREERMLIYEYMPNKSLDYFIFDHERSALLGWQERFVIILGIARGLLYLHQDSKLQIVHRDLKPSNVLLDSNLIPKISDFGLARISGDDGKETKTRRVIGTYGYMAPEYAIDGKFSVKSDVFSLGVLLLEIISGKKNRGFVHPDHHHHLLGHAWLMWNEGRASELIDTGLEDTSGKSQLLRCIQVGLLCVQKLPEDRPVMSTVVFMLANEGAVLPQPKQPGFFIERGSVSEATSRNEDSYSTNEANITILEAR >Potri.015G082366.1.v4.1 pep chromosome:Pop_tri_v4:15:10759839:10762737:-1 gene:Potri.015G082366.v4.1 transcript:Potri.015G082366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G082366.v4.1 MLIKFQSLFLQLSKTHQTLSRTKQLHALVTKTHLVQDPFYATKLVRFYALNNDLSSARNLFDKTPQRSVFLWNSIIRAYAQAHKFDDAFLLYTKMIGFDVIPDKYTYACLIRACCEDFYVDGLRIVHGGVIVSGLGLDSVTCSALVTGYSKMGLVGEASKVFCGVFEPDLVLWNAMISGCGYCGFGDKGLLFFNEMRDNGNKRPDGYTFVALISGLANSSSLELGQGIHGLCLKSGFDFNDHVGSSLVSMYSRFSCINLAYSVFRSLCQPDLVTWSALITGFSQAGDHEKALLFYKNLNLAGKKPDSVLIASVLVATAQLANVGPGAQIHGYIVRYGFESHVMVSSALIDMYSKCGFVGLGLRVFENMPNRNIVSYNSIISGLGLHGLASQAFDMFTEIVEKGLKPDESTFSALLCACCHAGLVKDGREIFRRMKDEFWIQARTEHYVHIVKLLGMAGELDEAYNFILSLKQPVDSGIWGALLSCCDAHGDSELAEIVAQQLFDGEPKKGAYRVMLSNVYAGDGRWVDVEKMRDYITTAGAEKMPGLSRIGSY >Potri.009G070900.5.v4.1 pep chromosome:Pop_tri_v4:9:7006731:7009784:-1 gene:Potri.009G070900.v4.1 transcript:Potri.009G070900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070900.v4.1 MNAPDRYERFVVPEGTKKVSYERDTKIINAASFTVEREDHTIGNILRMQLHRDDNVLFAGYKLPHPLKYKIIVRIHTTSQSSPMQAYNQAINDLDKELDHLKNAFEAELANRPGQY >Potri.007G035700.2.v4.1 pep chromosome:Pop_tri_v4:7:2793527:2795766:-1 gene:Potri.007G035700.v4.1 transcript:Potri.007G035700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035700.v4.1 MASCSKRDNNGSLFTPKKTHFIRIILSDIMKNQKIAIPRRFLRKHGESLSKSAVIKVPSAATWKVEFLKHKDEVSFAKGWEMFIAYYSIDYGDFLVFEHEWNSLFTVFIIDKSFTEVGYPWNNTDAAESNQEDKLEDHEDDDDDDASVEFIDISSGSQETGKGTKGKEKLGCSRNSEEYEGDHGGRPAENKCHPSGTPLVISCYFT >Potri.007G035700.3.v4.1 pep chromosome:Pop_tri_v4:7:2793527:2795766:-1 gene:Potri.007G035700.v4.1 transcript:Potri.007G035700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035700.v4.1 MASCSKRDNNGSLFTPKKTHFIRIILSDIMKNQKIAIPRRFLRKHGESLSKSAVIKVPSAATWKVEFLKHKDEVSFAKGWEMFIAYYSIDYGDFLVFEHEWNSLFTVFIIDKSFTEVGYPWNNTDAAESNQEDKLEDHEDDDDDDASVEFIDISSGSQETGKGTKGKEKLGCSRNSEEYEGDHGGRPAENKCHPSGTPLEKKNE >Potri.015G102100.1.v4.1 pep chromosome:Pop_tri_v4:15:12131494:12132894:1 gene:Potri.015G102100.v4.1 transcript:Potri.015G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102100.v4.1 MEKLNLVRDGMIRLPPGFRFQPTDEELVFQYLKRKILSWPLPASVIHEVNVCKYDPWELPGDTEQERYFFSNKETKYPNGNRVNRSSASGYWKATGLDKQIISSSWKNNHVVGMKKTLVFYRGKATHGSRTDWVMHEYRLVNVGEETTDNFPQTENSAQNSSYQLDKWVVCRVFLKNKGGTRNGIIETCTNNNARRTQPRFFDFMARDEVVFYSVPSSSSSSSNSSSITDISSNEEDDEQSAQ >Potri.010G167200.6.v4.1 pep chromosome:Pop_tri_v4:10:17020562:17023093:1 gene:Potri.010G167200.v4.1 transcript:Potri.010G167200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167200.v4.1 MALAGIFLVGFLAMVSSVYGYGGGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGLSCGSCYEIRCVNDPKWCLPGSIVITATNFCPPNNALPNNAGGWCNPPQHHFDLSQPVFQHIAQFRAGIVPVSYRRVPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSRTGWQPMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVVSYNAAPSSWSFGQTFSGAQFR >Potri.010G167200.5.v4.1 pep chromosome:Pop_tri_v4:10:17020562:17022801:1 gene:Potri.010G167200.v4.1 transcript:Potri.010G167200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G167200.v4.1 MRARCVLKRKLKWFTPLCRKMALAGIFLVGFLAMVSSVYGYGGGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFNSGLSCGSCYEIRCVNDPKWCLPGSIVITATNFCPPNNALPNNAGGWCNPPQHHFDLSQPVFQHIAQFRAGIVPVSYRRVPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHSVSIKGSRTGWQPMSRNWGQNWQSNNYLNGQSLSFKVTTSDGRTVVSYNAAPSSWSFGQTFSGAQFR >Potri.006G083100.6.v4.1 pep chromosome:Pop_tri_v4:6:6169523:6177184:1 gene:Potri.006G083100.v4.1 transcript:Potri.006G083100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083100.v4.1 MAACVSPYCTLGDSRKPMGMLSVVGARMRVSTENHLGMGRFGSLKMTESKSKSKSKSNNLPQCISKIPEQYQNSSSKDPFLNLHPEVSMLRVRGEEGNNKVTTTAPARNGSSPSNHNEANIKVIGVGGGGSNAVNRMIESSMKGVEFWVVNTDVQSMSMSPVFPENRLQIGQDLTRGLGAGGNPEIGMNAAKESKQAIEEAVYGADMVFVTAGMGGGTGTGGAPIISGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAVSQTTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMANAGSSLMGIGIATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRPFQASQLAPGEVTSGINRRPSTFTEGGSVEIPEFLKKKGRSRYPRA >Potri.006G083100.5.v4.1 pep chromosome:Pop_tri_v4:6:6169491:6177303:1 gene:Potri.006G083100.v4.1 transcript:Potri.006G083100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083100.v4.1 MAACVSPYCTLGDSRKPMGMLSVVGARMRVSTENHLGMGRFGSLKMTESKSKSKSKSNNLPQCISKIPEQYQNSSSKDPFLNLHPEVSMLRVRGEEGNNKVTTTAPARNGSSPSNHNEANIKVIGVGGGGSNAVNRMIESSMKGVEFWVVNTDVQSMSMSPVFPENRLQIGQDLTRGLGAGGNPEIGMNAAKESKQAIEEAVYGADMVFVTAGMGGGTGTGGAPIISGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAVSQTTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMANAGSSLMGIGIATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRPFQASQLAPGEVTSGINRRPSTFTEGGSVEIPEFLKKKGRSRYPRA >Potri.006G083100.4.v4.1 pep chromosome:Pop_tri_v4:6:6169592:6177306:1 gene:Potri.006G083100.v4.1 transcript:Potri.006G083100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083100.v4.1 MAACVSPYCTLGDSRKPMGMLSVVGARMRVSTENHLGMGRFGSLKMTESKSKSKSKSNNLPQCISKIPEQYQNSSSKDPFLNLHPEVSMLRVRGEEGNNKVTTTAPARNGSSPSNHNEANIKVIGVGGGGSNAVNRMIESSMKGVEFWVVNTDVQSMSMSPVFPENRLQIGQDLTRGLGAGGNPEIGMNAAKESKQAIEEAVYGADMVFVTAGMGGGTGTGGAPIISGVAKSMGILTVGIVTTPFSFEGRRRAVQAQEGIAALRDNVDTLIVIPNDKLLTAVSQTTPVTEAFNLADDILRQGVRGISDIITVPGLVNVDFADVRAIMANAGSSLMGIGIATGKTRARDAALNAIQSPLLDIGIERATGIVWNITGGSDLTLFEVNAAAEVIYDLVDPTANLIFGAVIDPSLSGQVSITLIATGFKRQEENEGRPFQASQLAPGEVTSGINRRPSTFTEGGSVEIPEFLKKKGRSRYPRA >Potri.009G013000.1.v4.1 pep chromosome:Pop_tri_v4:9:2312550:2321314:1 gene:Potri.009G013000.v4.1 transcript:Potri.009G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013000.v4.1 MASSSPKNQLSSSSETLNLPSETSLTQTNPMNAQDSPIPPEQQIQPSNNQDDTATTTGEKRKREKTTTTTTEAETETETDPSLNPFHKTSLCSYFRRHMGASCSHGSACKYAHGEEELRPRPDNTWDPTSERAKKAIKLDTTNNNDSKEEDEDEEIMMTDIAADGDGDGGCLDTGLSKCLVHLPRKWLSDHLRKFLSDQGILFKSAKKKKGMSVGFLSFEDAEQLKKAIEELEGRSIGNKNIKVADVIPRQFEQKIKSAMAAPQNIPQTMEPALDGENAGISRPSDAIGDGDGNNDNSTQDGSSSQAKCARDVATPLAHMPYDDQLEHKKNSIMQMLKKLTRNARKACPNGVSLPEWILKSREIGGLPCELEGILESPLRNGYRNKCEFSVGYSLQGKPTVGFMLGNFREGVTAVEEPLGCPNVSSVACKYASIFQEFLQQSGLPIWNRFKNTGFWRQLTVREGRLPRKAADIAIPEAHISEVMLIVQVSSVGFDDDMITNELRGLAHAFTTGAAGSAPPLPLTALVIQDHQGLSNVAPADAPLRTLLLPNANGGSEVQATSNVAEARIHDYISNLRFSISPTAFFQVNTLAAEKLYSLAGDWAGLGPDSLLFDICCGTGTIGLTLADRVGMVVGIEMNASAVSDAHRNAEINGIKNCRFVCAKAEDVIGSLLKEYLDVPQKEGEQLNASEIRDKEIAMVEVNNAPMDDVLDSSKNPSHDLGNGEGASGESENDGQEIQSQLQKSCTSKEGSSSMLHFKNVVAIVDPPRGGLHPTVIKVLRTHSRVRRLVYISCNPETLVANAIELCTPSPEKVEKGNRNRAWRKMSSAGLARHRVKSMPVSEPFRPVKSVAVDLFPHTSHCEMVMLLER >Potri.001G055300.2.v4.1 pep chromosome:Pop_tri_v4:1:4169118:4170882:1 gene:Potri.001G055300.v4.1 transcript:Potri.001G055300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055300.v4.1 MEVENSKRKDLDLKHLGFVRIAAIQVLVCVSNLYDYAKRNSGPLRSAVGTVEGTVNAVVGPVYEKFKGVPDHLLVFLDHKVDEATIKFDKRAPPVAKQVVSQARYLIEKASEKAKVLANEFQAGGPRAAVHYVSTESKHLFLTESVKVWVKLDQYPSVHKVAEVAVPTAAHWSEKYNHFVKEMSQKGYVVFGYLPVVPVDEISNAFKQGEAEKKEDATAHKDSDSSDSD >Potri.008G019100.1.v4.1 pep chromosome:Pop_tri_v4:8:948384:951265:1 gene:Potri.008G019100.v4.1 transcript:Potri.008G019100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019100.v4.1 MYHPTRGGVRGGRDQFSWDDVKADKHRENYLGHSIKAPVGRWQKGKDLHWYARDKKSRSSNADALKEEIQRIKEEEEQAMREALGFAPKRSSRPQGNRLDKHEYSELVKRGSTVEDLGAGHAKAARVDGLGFSRAPRAWEDPSTLPSIAKEAPLEPVKVATRDPSTGNSEEDRPEEDGSSRKKRRHEEKEKKHEKHDRREKHHPHDPDNRRKRQKDKERRRHDSDSN >Potri.011G153300.1.v4.1 pep chromosome:Pop_tri_v4:11:18029557:18031795:1 gene:Potri.011G153300.v4.1 transcript:Potri.011G153300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:WND1A MPEDMVNLSINGQSQVPPGFRFHPTEEELLHYYLRKKVAYERIDLDVIREVDLNKLEPWDIQEKCKIGSTPQNDWYFFSHKDKKYPTGTRTNRATAAGFWKATGRDKIIYSGFKRIGLRKTLVFYKGRAPHGQKSDWIMHEYRLDDSTHETNVSNPIGEAIPEEGWVVCRVFRKKNYQKTLESPKGSSSSLDSKAHQILGSGNEGVLDQILMYMGRTCKMENETFSNMNISNNSNSSSLRFLSNNCISEGLHERFMHLPRLESPTLPSIPIRSPSFDQDRSFISCYHQSYDEMLTENEPSSPNQVGNGIFDMTSSSMTDHDSKSGQLNDWVTLDRLVASQLNGHEVETSKQLSCFSTDPNASFGLSPDDGMQLSHKQHSHGSSSSNIQANSSHVYSNENDLWSLTKSSSPSSSSDPLCHLSV >Potri.016G012900.5.v4.1 pep chromosome:Pop_tri_v4:16:681123:688830:1 gene:Potri.016G012900.v4.1 transcript:Potri.016G012900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012900.v4.1 MSPPQFPAVDSLPQQRSSTVDHRGSSKRKLDDVDDHDAVFSDLISVRMRKDDTESSTGHNLQQPPSISSTNQLPTRVSDASASASSSTTDFPSTPSPLRRSQSRLQFFIRMISDGTHIVITANLTDSVKSLHERIRVMTGIPVIEQRLIYEGKQLQYENKLSDYSIEKDSILHLVGRMRSTRHPRTCQLINDMVSYICRICKSILPCGFNPYVSKHIKELMNEFFSLTPKDDNEDALGHLNVFLSNSAPAALVTLYVSSVKGNKECAEGAIRHFLNSCRISLPKSLHLQCVPIVMEFCNLLRKVGSDDPLYIVCRSCLGSLLENGGGACGWRYRGGEEGKGAVVMQEIFPFVSELGSKLFKDLMGSVGPSVAVVKDFSAFLVPLHSMISEQGACRGPVSMPLNKRAFNYPLYAKEIEHLHVIFFDLLNIMEKCLGKMQDSSHLKMNGEGELNHTGWSQYLAILKELNNIAKLYKGAEEKFWTVLRLRKASLCVLIVRYAKRTEDHQWLLRNKDVTDFESRRHLAMMMFPEVKEDYEELHEMLIDRSQLLAESFEYIVHADSDALHDGLFLEFKNEEATGPGVLREWFFLVTQALFDPQIALFVACPSDRRRFYPNPASKVDPMHLEYFTFSGRVMALALMHKVQVGIVFDRAFFLQLAGMHITLEDIRDADPCLYSSCKQILQMDPEFIDSDALSLTFVQEVEELGSRKVVELCSGGKSIVVNSKNREKYVDLLIQHRFVTSISEPVSRFARGFADILSNSGQPKLFFQSLELEDLDWMLYGSENAICVEDWKAHTEYNGYKETDPQISWFWKIIGEMSPDQRKVLLFFWTSVKYLPVEGFRGLASRLYIYKSTEPHNHLPSSHTCFYRLCFPPYPSMAIMQDRLRLITQEHVGCSFGTW >Potri.007G093000.1.v4.1 pep chromosome:Pop_tri_v4:7:11854247:11858634:-1 gene:Potri.007G093000.v4.1 transcript:Potri.007G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093000.v4.1 MFGALTAMPYILIVTMKKSLLVVQSQSSESDSDGTDQAHNQSIVVPTTVIAIADSIQKKDNSWVVTSQIATDLSIQVQDVTFTVHKYPLVSKCGYIGRLELQPSISNFGYELKLENFPGGSEAFKIILKFCYGLPLDLNPSNSAPLRCASEFLEMSEEIDDGNLISKTEAFLTFVVLSSWKDTITVLKSCETLSPWAENLQIVRRCCDSIAWKAPRDNSMVNDEGWCFDDVASLRIDHFMRIITAIRARGAKPEIIGKCIMRYADRWLPGMDAELEGLRGYGYGKNELQFSILIGRKEDEGIEHSKEQKSIIESLVSILPSQPEAAPCKFLLKMLKMAMVYSASQALIAELEKRAGMMLENANVNDLLIPNYKSEDQGKMVKSLEKQTMHNTDVVQRMVEYFLMHEQEKQQLPPTSGKSSVSKLLENYLAEVAKDPNLSITKFQVLADALPERARSCDDGLYRAIDTYLKTHPSLSEYDQRRLCKIMSCGKLSLDARMHAAQNDRLPLRTVIQVLFSEQVKMRAAMQGKEPAASDNNSEQEITQTSTKTEIMTLRAELENVKTQMTELQRDYSELQHEYEKQNNKHRNGSAWNFGWTKIRTSALFHRKLDGNEGGEGHQRSNSLGSKINFRRRLSIS >Potri.006G247500.2.v4.1 pep chromosome:Pop_tri_v4:6:24745284:24750487:1 gene:Potri.006G247500.v4.1 transcript:Potri.006G247500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247500.v4.1 MAVVLTMAQQSKNNNANSSHNNSVYVNNSTATSQQQQKQDLKAMFHDFLGMKGTTDSPVVLAPKNKDGSPSASASLGASSGGGRGPLSSTSDLASERQAGNHLEGIPFYGPRSDISGPEISNRLAGSKRSNSDSAFTGSRDGIPQMAHDSIESLHLMKMLKNGGGRERPRRSNDDEVFYGMQPMRPSSASLILQPSAGSRLDANVSKQDRSIPMGIGAYPPRGGQFVPFTHQVPTNRFRDTNAGPSIVSQSAADEGSRTGIKGPGILSSINAGSGISEKNSSRGLPSGGKPKTGIHISEPESSNPSSRQGLTSASRQMTIFYGGQAHVFDDVHPNKADVIMALAGSNGGSWSTTYSPKPTASQGSESYMTSGGEYELRGRLSVTGNATRGVGSSDRISTPTGGHHGSIVIAKERRNAVQAGEPSNEEK >Potri.006G247500.4.v4.1 pep chromosome:Pop_tri_v4:6:24745269:24750488:1 gene:Potri.006G247500.v4.1 transcript:Potri.006G247500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G247500.v4.1 MAVVLTMAQQSKNNNANSSHNNSVYVNNSTATSQQQQKQDLKAMFHDFLGMKGTTDSPVVLAPKNKDGSPSASASLGASSGGGRGPLSSTSDLASERQAGNHLEGIPFYGPRSDISGPEISNRLAGSKRSNSDSAFTGSRDGIPQMAHDSIESLHLMKMLKNGGGRERPRRSNDDEVFYGMQPMRPSSASLILQPSAGSRLDANVSKQDRSIPMGIGAYPPRGGQFVPFTHQVPTNRFRDTNAGPSIVSQSAADEGSRTGIKGPGILSSINAGSGISEKNSSRGLPSGGKPKTGIHISEPESSNPSSRQGLTSASRQMTIFYGGQAHVFDDVHPNKVCIPFVC >Potri.001G354300.1.v4.1 pep chromosome:Pop_tri_v4:1:36809443:36810032:-1 gene:Potri.001G354300.v4.1 transcript:Potri.001G354300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354300.v4.1 MGSFMKVNAHPYDCLRKNSHQLSQVTGCCKMEERKMEKKGGNWGLKLKLEYAIVLCFRNVAAATTALFFLGNARAKLK >Potri.016G056600.4.v4.1 pep chromosome:Pop_tri_v4:16:3803156:3807552:-1 gene:Potri.016G056600.v4.1 transcript:Potri.016G056600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056600.v4.1 MKVEESTENELEQSESSTVMREKKAIYLVWEDVTAVVAKNSGKGATRKLLNRQSGYALPDRIMAIMGPSGSGKSTLLDALAGRLSSNVIMSGNVLLNGKQRKIGNGSISYTTQEDILFGTLTVKETLTYSAHLRLPSTMTKDEINSVIENTIMKMGLQDCADNKVGNWHLRGLSGGEKRRLSISLEILTQPYVLFLDEPTSGLDSASALFVIHALKNIALDGRIVACSIHQPSSYIFDLFDDLCLLSSGETIYFGEAKLAVKFFAEAGFPCPTRRNPSDHFLRCINSDFDKMASTLLRSLRDSAVSVPSNFETSFTTEDIKAKLIEKYRSSEYSINTRTKIRQIALINEELMSGLIEIRINWWKQLYTLTIRSFVNMIRDLGYFWVRTLFYILISLGAGIMYFDVGLSNSAFVTRAKCYTYVYDFLICLSVGGLPSFLEEWKVSYHELLNRHYGEAVFMLSNFFASFIFLVIMSLSSGTIVFYMVKFHLGFSHYCYLCMNFFFCFAIMESVAMIVALLVPNFLMGIGVSAFAVMFLSMASGLYRPLPDLPKFFWRYPMAYISFTSWAVQGQFKNDMLGLEFEPFVQGEPKIQGKQILHDFFGVRVNYSKWWDLAALLLLLLCHKLLFLSILKYKQRLVLLLRRFCAKRTLKALALRPSFRKEKYGSSRRNQPLHPLSAQEGLASPLS >Potri.016G056600.7.v4.1 pep chromosome:Pop_tri_v4:16:3803156:3807552:-1 gene:Potri.016G056600.v4.1 transcript:Potri.016G056600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056600.v4.1 MKVEESTENELEQSESSTVMREKKAIYLVWEDVTAVVAKNSGKGATRKLLNRQSGYALPDRIMAIMGPSGSGKSTLLDALAGRLSSNVIMSGNVLLNGKQRKIGNGSISYTTQEDILFGTLTVKETLTYSAHLRLPSTMTKDEINSVIENTIMKMGLQDCADNKVGNWHLRGLSGGEKRRLSISLEILTQPYVLFLDEPTSGLDSASALFVIHALKNIALDGRIVACSIHQPSSYIFDLFDDLCLLSSGETIYFGEAKLAVKFFAEAGFPCPTRRNPSDHFLRCINSDFDKMASTLLRSLRDSAVSVPSNFETSFTTEDIKAKLIEKYRSSEYSINTRTKIRQIALINEELMSGLIEIRINWWKQLYTLTIRSFVNMIRDLGYFWVRTLFYILISLGAGIMYFDVGLSNSAFVTRAKCYTYVYDFLICLSVGGLPSFLEEWKVSYHELLNRHYGEAVFMLSNFFASFIFLVIMSLSSGTIVFYMVKFHLGFSHYCYLCMNFFFCFAIMESVAMIVALLVPNFLMGIGVSAFAVMFLSMASGLYRPLPDLPKFFWRYPMAYISFTSWAVQGQFKNDMLGLEFEPFVQGEPKIQEISAAAEKVLREKNFESPCLETFVQEGKIWLLKEKPTSPSIICSRGSCISFVLACIHVFKILF >Potri.014G139100.1.v4.1 pep chromosome:Pop_tri_v4:14:9470158:9470910:1 gene:Potri.014G139100.v4.1 transcript:Potri.014G139100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139100.v4.1 MGTVKILAIALSAAFLLLDPMTKAVPDPPQRSLCMPQYSLVNHACSVILGYSLPNHPLTSDDGHGHGHGHGHGNGHGHSHGRRRHRHRQRHGGSHNGSSSEDNCCKWLKELDEECVCDVLYRLPPFLSKPTHTYTVYVAEACNVTYSCDMHL >Potri.005G190901.1.v4.1 pep chromosome:Pop_tri_v4:5:19839860:19842485:-1 gene:Potri.005G190901.v4.1 transcript:Potri.005G190901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G190901.v4.1 MADEELVDQKKYLEDSCKPKCVKPLLEYEACVKRVEGDDTSQKHCTGQYFDYWFCIDKCVAPKLLSKLK >Potri.004G097200.1.v4.1 pep chromosome:Pop_tri_v4:4:8369164:8370189:1 gene:Potri.004G097200.v4.1 transcript:Potri.004G097200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097200.v4.1 MATLHKFKLLATQCAVTATPTQSPTTSPVFHIRRRRKTLRMLLSKNSDVRRRIPRREDESEEEEDPLPEKRVRRKLKDLFVSSPPFEEMERRSGERGGGEEVGLISGGAAAVRRGGVGGGALRPVAASLRYRLLRRAWRPVLVTIPE >Potri.013G076600.1.v4.1 pep chromosome:Pop_tri_v4:13:6470341:6472075:-1 gene:Potri.013G076600.v4.1 transcript:Potri.013G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076600.v4.1 MEIWLLVLISLSLCAFLKALFNHVFLSQTHNLPPAPFTFPVIGNILWIRKSTSELERAIRSLNQKLGPMVTLHMGSRPAIFIADRSLAYIALIQKGAVFANRPPAPATSRVLGSNQHNINSSFYGPTWRLLRRNLTSEILHPSRVKTFGHARKWVLNILMNQFKLLSKSGDPVRVVDHFQYAMFCLLVFMCFGDKLEEKQIQEVEQVQRRMVVNISRFNILNFWPSLSKIVLRKRWAEFLQLHKDREDVILSLIRERKKLKEQRLRKLNMEENKDEYVLSYVDTLLDLQLPDENRKLNDLEIVSLCNEFLNGGTDTTTTALQWIMANLVKHPQIQEKLLLEIKEVVGEGEEVVKEDDLQKMPYLKAIILEGLRRHPPARMVLPHAVTEDTVLGGFLVPKNGTVNFLVADIGWDSKAWEDPMAFKPERFLNSEREAFDITGSREIKMMPFGAGRRICPGYGLAMLHLEYFVANLILNFEWKAVDGDDIDLSEKQELTIVMKNPLRAHLSRRVAS >Potri.019G013750.1.v4.1 pep chromosome:Pop_tri_v4:19:1796910:1797877:-1 gene:Potri.019G013750.v4.1 transcript:Potri.019G013750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013750.v4.1 MSSFREQYFSQQEQPKKGQKSTKNKREPIKIKYISSPTMVKATNATEFRAIVQELTGKDSKVEDPSDANEEASHQVPRHGTPRFDVESVDGAFNNNTPPFLQAEDGFVWGDVSERAFELQYPCVFV >Potri.013G162100.1.v4.1 pep chromosome:Pop_tri_v4:13:15567625:15567741:1 gene:Potri.013G162100.v4.1 transcript:Potri.013G162100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbL MTQSNPNEQNVELNRTSLYWGLLLIFVLAVLFSNYFFN >Potri.001G159900.1.v4.1 pep chromosome:Pop_tri_v4:1:13529994:13532773:-1 gene:Potri.001G159900.v4.1 transcript:Potri.001G159900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159900.v4.1 MEPAMQGKMFTILMGLASLFIVHVNGETSLPLDHQKLISDGRYHDQMQKMQAFKHSLLTRRQLATPPISSSPAPAPQATLQPTGSRPRVYQVTSYGADPTGKVDSTEALLKAIADAFNGPSEGFLMKGITNLGGAHINLQGGNYLISKPLRLPAAGAGNLMISGGTLTASDDFPTDGYLIDLSASSSSSSSYNYEYITIKDLMLDCKFRGGGISVINSLRTSIDNCYITHFNTEGISVQNGHETYIRNSFLGQHITAGGDPGERKFSGTAINLMGNDNAVTDVVIFSAAIGVMVSGQANTLSGVHCYNKATGFGGTGIYLKLPSLTQTRIVNCYLDYTGIVAEDPVQLTISSCFFLGDAYILLKSINGLAKGINIVDNMFAGSDKGIEIVQLDQSKGPFKKIDQVVVDRNNVEGMNLKATAARGSAQGNGTSWTVDFSPVLLFPNLINHVQYSLSSSGTKFPSHALRNVSQNRVVIESDIAVAARVFVTVEQGSTS >Potri.017G032500.1.v4.1 pep chromosome:Pop_tri_v4:17:2225174:2227988:1 gene:Potri.017G032500.v4.1 transcript:Potri.017G032500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G032500.v4.1 MEKQERICHVAVIPYPAQGHINPMIQFSKQLASKGLQVTLVIFSSQTLSTPASLGSVKVVTVSDSSDTGSSSIGDLLKQFQATVAPKLPQLVVELGISSGHPVSCLVYDSFMPWVLEIARQLGLIGASFFTQSCAVSSVYYQIHEGQLKIPLEKFPVSVPGLPPLDVDELPSFVHDMESEYSSILTVVVNQFLNFRGADWVFVNSFNTLEEEVVNCLASQRSIKPIGPMIPSVYLDRQLEDDTEYGLSLFKPALDGCMQWLESKETGSVVYVSFGSMAALGEEQMAEIAWGLRRSDCYFLWVVRESEEKKLPCNFVEGSSEKGLIVTWSPQLEVLSHKSVGCFVTHCGWNSTLEALSLGVPMVAMPQWTDQPTNAKYIEDVWRVGVRVKANEKGIVSKEELEKCTREVMEGERGSEMRRNSEKWKKLAKTAMGEGGSSDKNITEFAAKIASKFNETTDSKA >Potri.002G031400.1.v4.1 pep chromosome:Pop_tri_v4:2:2066983:2070362:1 gene:Potri.002G031400.v4.1 transcript:Potri.002G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031400.v4.1 MATISNDGEDAARRRTAEADYRKKLLNHKELESRVRSTRENLKAAKKEFNKTEDDLKSLQSVGQIIGEVLRPLDNERLIVKASSGPRYVVGCRSKVDKEKLTSGTRVVLDMTTLTIMRALPREVDPVVYNMLHEDPGNVSYSAVGGLSDQIRELRESIELPLMNPELFIRVGIKPPKGVLLYGPPGTGKTLLARAIASNIDANFLKVVSSAIIDKYIGESARLIREMFGYARDHQPCIIFMDEIDAIGGRRFSEGTSADREIQRTLMELLNQLDGFDQLGKVKMIMATNRPDVLDPALLRPGRLDRKIEIPLPNEQSRMEILKIHAAGIAKHGDIDYEAVVKLAEGFNGADLRNVCTEAGMSAIRAERDYVIHEDFMKAVRKLNEAKKLESTAHYNADFGKD >Potri.018G063901.1.v4.1 pep chromosome:Pop_tri_v4:18:7614467:7632578:-1 gene:Potri.018G063901.v4.1 transcript:Potri.018G063901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G063901.v4.1 MARLNLFYLFVTLLLSISLRPCYGLPEKTTSALFIFGDSTADPGNNNYINTTAGMRADWKPYGQNGFFEAPTGRFSDGRVFVDFIAEYAKLPIIPPFYQPSADLTNGVNFASGGAGVLPQTNQGLVVDLQTQLRSFEEVQKSLTENLGEAEAKALLSEAVYFISVGSNDYVAGYLGNPKMQEYFVPEVYVEMVIGNLTNAIQVLYEKGARKFGFLSLCPLGCMPLMRARNPKSSEGGCFEAASGLALAHNNALNAVLTSLEQLLKGFKYCNPEFYTWLYDRINNPASYGFKEGVNACCGTGPYNGVYSCGGKRKPVEFQLCDNADDYIWWDSGHPTERIHEQIAKTLWKDGPSVGPYKLEDLFFDKEKLTIADLLDAPDEEHFQY >Potri.002G101200.1.v4.1 pep chromosome:Pop_tri_v4:2:7399553:7400627:-1 gene:Potri.002G101200.v4.1 transcript:Potri.002G101200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101200.v4.1 MEYGLSHLHEISPFHILRALWNQVDLIILTPYLLIPLYNTPNDDPKSNPNTFFSSLLFSRKMAGLISRSVPCAILVVLCTVVPILAKDHTVGDSSGWAIGMDYSTWTSGKTFSVGDSLVFNYGGGHTVDEVRASDYSTCTTGNAITSDSSGATTIALKTAGTHYFICGVPGHCGSGMKVAVTVAAAGSSTSPSSGTPSSDGTTTSPAGSNVTNYKPSSNNVPDSSLGINISPFVALAGTCVAVFVMVFS >Potri.003G015400.2.v4.1 pep chromosome:Pop_tri_v4:3:1701996:1707755:1 gene:Potri.003G015400.v4.1 transcript:Potri.003G015400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015400.v4.1 MENYELVKDIGSGNFGVARLMRHKQTRELVAMKYIERGRKIDENVAREIINHKSLRHPNIIRFREVVLTPTHLAIVMEYAAGGELFERICNAGRFSEDEARYFFQQLISGVSYCHSMQICHRDLKLENTLLDGSPAPQLKICDFGYSKSSLLHSRPKSTVGTPAYIAPEVLSRREYDGKLADVWSCGVTLYVMLVGAYPFEDHEDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSHIFVANPSRRITIKEIKSHPWFLKNLPRELTEAAQAMYYRRENPTFSLQSVEEIMKIVEEAKIPPPVSRSIGGFGWEGEEDGDMKEDDAEAHEEKIDNGEDEYEKRVKEAQASGEFHVS >Potri.013G113400.3.v4.1 pep chromosome:Pop_tri_v4:13:12189560:12191381:-1 gene:Potri.013G113400.v4.1 transcript:Potri.013G113400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G113400.v4.1 MVSAKTTFILAILCLALMHELQIRTVEAGKINCKSKCEYRCSKASRHKMCIRACNTCCQRCNCVPPGTSGNEDTCPCYANMTTHGGRHKCP >Potri.019G032700.2.v4.1 pep chromosome:Pop_tri_v4:19:4541708:4544411:1 gene:Potri.019G032700.v4.1 transcript:Potri.019G032700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032700.v4.1 MYQHHQHQGKNIHSSSRNSIPPERHLFLQVGNGPGDSGLVLSTDAKPRLKWTTDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANSGINKSGTVAVVGDRMPEVNATHINNLSIGSQTNNEALQVQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSSKCLNSAFSELKDLQGLCPPLTQPTHPNDFSMDSCLTSIEGSQKEQEIHNTGMGLRPYNGNALLEPKVIAGEHALQQTELKWGEDQRDNKMFLSSMRNDTDRRTFSAERSCSNLSIGVGLQGERGNVSSSFAEARFKGRSEDDSFQDKTNRRIDAIKLENEKLSPGYRLSYYATKLDLNSHGEIDAASGCRQLDLNGFSWN >Potri.019G032700.1.v4.1 pep chromosome:Pop_tri_v4:19:4541705:4544412:1 gene:Potri.019G032700.v4.1 transcript:Potri.019G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032700.v4.1 MYQHHQHQGKNIHSSSRNSIPPERHLFLQVGNGPGDSGLVLSTDAKPRLKWTTDLHERFIEAVNQLGGADKATPKTVMKLMGIPGLTLYHLKSHLQKYRLSKNLHGQANSGINKSGTVAVVGDRMPEVNATHINNLSIGSQTNKSLHFSEALQVQIEVQRRLHEQLEVQRHLQLRIEAQGKYLQSVLEKAQETLGRQNLGTVGLEAAKVQLSELVSKVSSKCLNSAFSELKDLQGLCPPLTQPTHPNDFSMDSCLTSIEGSQKEQEIHNTGMGLRPYNGNALLEPKVIAGEHALQQTELKWGEDQRDNKMFLSSMRNDTDRRTFSAERSCSNLSIGVGLQGERGNVSSSFAEARFKGRSEDDSFQDKTNRRIDAIKLENEKLSPGYRLSYYATKLDLNSHGEIDAASGCRQLDLNGFSWN >Potri.010G210200.2.v4.1 pep chromosome:Pop_tri_v4:10:19935062:19937881:1 gene:Potri.010G210200.v4.1 transcript:Potri.010G210200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210200.v4.1 MASLQNSPSVYHTLSPYSLPQVGGAQKNHGMLSFCRRGLSFLVRAEQSSPNSTSLSQVRFGRRELIAVSVIAPWVSMVNQTPPSFAAESKKGFLLVTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLESISVNVIPTVKQDIRDFGPPQQVAETLIKKVLAPPSQKTKLIEAKEHGADGKIYYTFEFVAQAPNFTRHALSAIAIGNGKFYTLTTGANERRWEKMKDKLQMVIDSFEIFNV >Potri.010G210200.5.v4.1 pep chromosome:Pop_tri_v4:10:19935015:19937483:1 gene:Potri.010G210200.v4.1 transcript:Potri.010G210200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210200.v4.1 MASLQNSPSVYHTLSPYSLPQVGGAQKNHGMLSFCRRGLSFLVRAEQSSPNSTSLSQVRFGRRELIAVSVIAPWVSMVNQTPPSFAAESKKGFLLVTDKKDGYSFLYPFGWQEVVIEGQDKVFKDVIEPLESISVNVIPTVKQDIRDFGPPQQVAETLIKKVLAPPSQKTKLIEAKEHGADGKIYYTFEFVAQAPNFTRHALSAIAIGNGMECIFYYFTEKWIV >Potri.010G044300.1.v4.1 pep chromosome:Pop_tri_v4:10:7611082:7615889:1 gene:Potri.010G044300.v4.1 transcript:Potri.010G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044300.v4.1 MRLLRVVIMCWMDTRTRNRRGYFDHRRRQHQCTQQTNTTTTADLAKETRQGNFSNMASSWCYKKMSPIISVFLCFLLVASSNICPVFSSETRSGHATNQTFRPQEELQKLKIIRERLDKINKPAVKSIQSPDGDLIDCVLSHLQPAFDHPQLKGQKPLEPPERPKGHDPSSMVTENFQSWGLSGESCPEGTVPIRRTTEQDMLRASSVRRFGRKLRRHVRRDTNSNGHEHAVGYVTGDQYYGAKASINVWAPRVSNQYEFSLSQMWVISGSFGDDLNTIEAGWQVSPELYGDNYPRFFTYWTTDAYQATGCYNLLCSGFVQTNSRIAIGAAISPTSSYSGGQFDISLLVWKDPKHGNWWLEFGNGVLVGYWPSFLFTHLRDHASMVQFGGEIVNSRPSGFHTSTQMGSGHFAGEGFGKASYFRNLQVVDWDNNLIPLSNLRVLADHPNCYDIQGGINRVWGNYFYYGGPGRNVRCP >Potri.018G024800.2.v4.1 pep chromosome:Pop_tri_v4:18:1828690:1833072:1 gene:Potri.018G024800.v4.1 transcript:Potri.018G024800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024800.v4.1 MLLASRLLRLQLFRFVIILVLVLSYVENGNAGLTSTFVRTQWPAADIPLDNEVFAIPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGSNSVKYGTSENSYDFSAEGTVTNYTFYKYKSGYIHHCLVDGLEYDSKYYYKIGEGDSSRVFWFQTPPEIDPDASYTFGIIGDLGQTYNSLSTLEHYMKSGGQSVLFAGDLSYADRYQYDDVGIRWDSWGRFVEQSAAYQPWIWSAGNHEIEYMPEMEEVLPFKSFLHRFATPHTASKSTNPLWYAIRRASAHIIVLSSYSPFVKYTPQWMWLREELKRVNREKTPWLIVVMHVPIYNSNAAHYMEGESMRAVFESWFVRSKVDFIFAGHVHAYERSYRISNIHYNVTTGDRYPVPDKSAPVYLTVGDGGNQEGLVGRFVDPQPDYSAFREASYGHSTLEIRNRTHAFYQWNRNDDGKPETTDSVIFHNQYWASNMHRRRHLKAREHASC >Potri.018G024800.16.v4.1 pep chromosome:Pop_tri_v4:18:1828719:1833066:1 gene:Potri.018G024800.v4.1 transcript:Potri.018G024800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024800.v4.1 MLLASRLLRLQLFRFVIILVLVLSYVENGNAGLTSTFVRTQWPAADIPLDNEVFAIPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGSNSVKYGTSENSYDFSAEGTVTNYTFYKYKSGYIHHCLVDGLEYDSKYYYKIGEGDSSRVFWFQTPPEIDPDASYTFGIIGDLGQTYNSLSTLEHYMKSGGQSVLFAGDLSYADRYQYDDVGIRWDSWGRFVEQSAAYQPWIWSAGNHEIEYMPEMEEVLPFKSFLHRFATPHTASKSTNPLWYAIRRASAHIIVLSSYSPFVKYTPQWMWLREELKRVNREKTPWLIVVMHVPIYNSNAAHYMEGESMRAVFESWFVRSKVDFIFAGHVHAYERSYRISNIHYNVTTGDRYPVPDKSAPVYLTVGDGGNQEGLVGRFVDPQPDYSAFREASYGHSTLEIRNRTHAFYQWNRNDDGKPETTDSVIFHNQYWASNMHRRRHLKAREHASC >Potri.018G024800.17.v4.1 pep chromosome:Pop_tri_v4:18:1828679:1833073:1 gene:Potri.018G024800.v4.1 transcript:Potri.018G024800.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024800.v4.1 MLLASRLLRLQLFRFVIILVLVLSYVENGNAGLTSTFVRTQWPAADIPLDNEVFAIPKGYNAPQQVHITQGDYDGKAVIISWVTPDEPGSNSVKYGTSENSYDFSAEGTVTNYTFYKYKSGYIHHCLVDGLEYDSKYYYKIGEGDSSRVFWFQTPPEIDPDASYTFGIIGDLGQTYNSLSTLEHYMKSGGQSVLFAGDLSYADRYQYDDVGIRWDSWGRFVEQSAAYQPWIWSAGNHEIEYMPEMEEVLPFKSFLHRFATPHTASKSTNPLWYAIRRASAHIIVLSSYSPFVKYTPQWMWLREELKRVNREKTPWLIVVMHVPIYNSNAAHYMEGESMRAVFESWFVRSKVDFIFAGHVHAYERSVCTFLFFMPYRPINTSSYRYVANQFVYLYLPLPLNGIPIRSFS >Potri.004G219450.1.v4.1 pep chromosome:Pop_tri_v4:4:22521439:22522378:-1 gene:Potri.004G219450.v4.1 transcript:Potri.004G219450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219450.v4.1 MLGSPIPINMPKKLFKKTLSKTDIDYRMTIPMDSLSAFQIPKGKYSKEVAVFDIDGRRWRFRCSTRRKDPHPKPVLSSGWIKFVKNRCLKEGDEVIFSVADNDGAEGLQFGIQARKKLKLLGQEFWVDPL >Potri.019G116400.1.v4.1 pep chromosome:Pop_tri_v4:19:14341899:14344895:-1 gene:Potri.019G116400.v4.1 transcript:Potri.019G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G116400.v4.1 MPFWQTSTGTGTGNGNATSSSTNTTTSSSSTSSSSMSSNNSNGNNGCQQNQSNQLGETRSSLYYSTNAMSFVTKSLLPTRRRLRLDPPNKLFFPYEPGKQVRSAIGIKNTSKSHVAFKFQTTAPKSCYMRPPGAILAPGESLIATVFKFVEPPENNERLLDQKSRVKFKIMSLKVKGEMEYVPEMFDEQKDQVAVEQILRVVFLDPECPSPALEKLKRQLAEAEAELEARKKPPEDAGPRVVGEGLVIDEWKERRERYLARQQVEVDSV >Potri.011G047200.1.v4.1 pep chromosome:Pop_tri_v4:11:3742391:3743444:-1 gene:Potri.011G047200.v4.1 transcript:Potri.011G047200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047200.v4.1 MAYISSLSFSPTTFRSSFLRPFSPKVLEHISTPSTVSCKATNDLDPKKPTNRRDVLFGLGGLYYASSALCDAYANDAKPVSAVDKTRRGRGDLGPGKAAQAAETKNMVLTAISAFPLDLDKVVSTEVSRPKKSRSKKEKKDEEEVLVIEGIDFERGEFVKFDVYVNDEDDPSPRGPNKAEFAGSFVNVPHTSRTKGKASLTLAINELLNNLEAEDDDSVVVTLVPRSGKSPVIGGVKIEYVKE >Potri.012G070000.5.v4.1 pep chromosome:Pop_tri_v4:12:9208077:9214276:1 gene:Potri.012G070000.v4.1 transcript:Potri.012G070000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070000.v4.1 MLLLYVLYLIVQRCYYHKPCSVVVSMNGCQGNPRDRVPIGSIETRTFPAVTSPALATDTLNLAISELKANPPLFTSGILRLQVPIQQQIEAIDWLHSQHQIHPRCFFSGRRQSKDFTEVTNGNGYQKSNNVVSVAGVGSAVLFRDVHPFCYNDWKSIKRFLSANCPLIRAYGAIRFDARANISSEWEPFGSFYFVIPQVELDELDGCSMLATTIAWDNAFSWTWEQAVDAVEATMTQISSNVLKLSKEVTRSFILSNSHVPCKMYWDLAVERALQIINRSSSPLTKVVLARSSKFVIGNDIDPIAWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRNCLGISSEAMAGTRARGGSMALDLQIQLDLLSSPKDHLEFTIVRDNIRKKLEAVCDRIVVEPNKAIRKFHRVQHLYARLAGELRSEDDEFKILSSLHPTPAVCGFPTEEARLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGALIYAGTGIVKGSNPSLEWDELELKTSQFTKLLKLEGPSRQKIENSGIIN >Potri.012G070000.1.v4.1 pep chromosome:Pop_tri_v4:12:9207835:9214874:1 gene:Potri.012G070000.v4.1 transcript:Potri.012G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070000.v4.1 MATATIAHFMDLESIKYSIAAQPVSRRQSLHLFYHRCYYHKPCSVVVSMNGCQGNPRDRVPIGSIETRTFPAVTSPALATDTLNLAISELKANPPLFTSGILRLQVPIQQQIEAIDWLHSQHQIHPRCFFSGRRQSKDFTEVTNGNGYQKSNNVVSVAGVGSAVLFRDVHPFCYNDWKSIKRFLSANCPLIRAYGAIRFDARANISSEWEPFGSFYFVIPQVELDELDGCSMLATTIAWDNAFSWTWEQAVDAVEATMTQISSNVLKLSKEVTRSFILSNSHVPCKMYWDLAVERALQIINRSSSPLTKVVLARSSKFVIGNDIDPIAWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRNCLGISSEAMAGTRARGGSMALDLQIQLDLLSSPKDHLEFTIVRDNIRKKLEAVCDRIVVEPNKAIRKFHRVQHLYARLAGELRSEDDEFKILSSLHPTPAVCGFPTEEARLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGALIYAGTGIVKGSNPSLEWDELELKTSQFTKLLKLEGPSRQKIENSGIIN >Potri.012G070000.3.v4.1 pep chromosome:Pop_tri_v4:12:9207835:9214874:1 gene:Potri.012G070000.v4.1 transcript:Potri.012G070000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070000.v4.1 MATATIAHFMDLESIKYSIAAQPVSRRQSLHLFYHRCYYHKPCSVVVSMNGCQGNPRDRVPIGSIETRTFPAVTSPALATDTLNLAISELKANPPLFTSGILRLQVPIQQQIEAIDWLHSQHQIHPRCFFSGRRQSKDFTEVTNGNGYQKSNNVVSVAGVGSAVLFRDVHPFCYNDWKSIKRFLSANCPLIRAYGAIRFDARANISSEWEPFGSFYFVIPQVELDELDGCSMLATTIAWDNAFSWTWEQAVDAVEATMTQISSNVLKLSKEVTRSFILSNSHVPCKMYWDLAVERALQIINRSSSPLTKVVLARSSKFVIGNDIDPIAWLACLQVEGENAYQFCLQPPNAPAFIGNTPEQLFHRNCLGISSEAMAGTRARGGSMALDLQIQLDLLSSPKDHLEFTIVRDNIRKKLEAVCDRIVVEPNKAIRKFHRVQHLYARLAGELRSEDDEFKILSSLHPTPAVCGFPTEEARLLIAETEVFDRGMYAGPVGWFGGGESEFAVGIRSALVEKGLGALIYAGTGIVKGSNPSLEWDELELKTSQFTKLLKLEGPSRQKIENSGIIN >Potri.006G238600.1.v4.1 pep chromosome:Pop_tri_v4:6:24081024:24082476:-1 gene:Potri.006G238600.v4.1 transcript:Potri.006G238600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G238600.v4.1 MSDHQQQNLETESSSTKAFSPPSPSSPSSNSNPSKKHQQDQDFSPCKKITRIRDSSKHPVYRGVRMRNWGKWVSEIREPRKKSRIWLGTFPTPEKAARAHDAAALSIKGNSAILNFPELANSLPRPASLAPRDVQAAAAKAAQMDNFDQKSETTTTTTASSSSSCTSSLTSLVSLMDLSSQDEELSEIVELPSLETSYDELNNDFVYSDSVYGWMYPPPWMQSVENICLSGGGGGYACDDFTLPNESTVLWNY >Potri.002G010600.1.v4.1 pep chromosome:Pop_tri_v4:2:659238:662339:1 gene:Potri.002G010600.v4.1 transcript:Potri.002G010600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010600.v4.1 MEGMVKCSANYVPLTPISFLERSAIVYRDRISVIDGDVKYTWKETHERCIRLASALAHLGISPGDVVAALAPNIPAMYELHFGAPMAGAVLCTLNVRHDSSMVSVLLKHSEAKVIFVDHQFLSIAQGALEILRETKTKLPLLVLISECGQPAAISSPGILEYESLLATRKLDFEVRWPKDEWDPISLNYTSGTTSSPKGVIYSHRGAYLNSFAAVLLSEMSSMPVYLWCVPMFHCNGWCLTWAVAAQGGTNVCQRNVTAKDIFENIAQHKVTHLGGAPTVLNMIINASARDRKPLPGKVSVMTGGAPPPSHVLYKMEELGFHVTHAYGLTETYGPGTVCTWKPEWASLPRESQAKIKARQGVQHLGLEELDIKDPVTMKSVPADAKTMGEVMFRGNTVMNGYLKNLKATKDAFNGGWFRSGDLGVKHPDGYIELKDRSKDIIISGGENISSIEVESVLFSHPAVLEAAIVGRPDDYWGETPCAFVKLKDGCNANSEELIKFCRDHLPHYMAPRTVVFQELPKTSTGKVQKFVLKEKAKAMGSISKGNTSRL >Potri.005G243501.1.v4.1 pep chromosome:Pop_tri_v4:5:23941889:23942386:-1 gene:Potri.005G243501.v4.1 transcript:Potri.005G243501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243501.v4.1 MQHCSVKVFINCRKFPTPASDWSLGCVSVLVWLLLEPTTDHHLGGTKCLTISIIFSIISDF >Potri.006G190600.4.v4.1 pep chromosome:Pop_tri_v4:6:19768887:19774405:1 gene:Potri.006G190600.v4.1 transcript:Potri.006G190600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190600.v4.1 MDDNLYDEFGNYIGPEIESDRESDGEEEDEELPDKPHEDEEESDGEDAVHASNGWLAASDDVDMDNQVVLAEDKKYYPTAEEVYGPGVETLVNDEDEQPLEQPIIKPVRNIKFEVGVKDSSTYVSSQFLVGLMSNPSLVRNVALVGHLQHGKTVFMDMLVEQTHHMPTFDLNSEKHIRYTDTRIDEQERRISIKAVPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQEQLPIVVVINKVDRLITELKLPPKDAYHKLRHTIEVINNHISAVSLTAGNVQVIDPAAGNVCFAGATAGWSFTLHSFARLYLKLHGIPFDADKFASSLWGDMYYHPEDRAFKKKPPASGAERSFVQFVLEPLYKIYSQVIGEHKKSVESTLAEFGVTLPNSAYKLNVRPLLRLACSQVFGSALGFTDMLVKHIPSARDAAARKVDHTYTGPKDSMIYHAMVDCDPSGPLMVNVTKLYPKSDCSSFDAFGRVYSGKIMTGQSVKVLGEGYSPEDEEDMTVKEVTKLWVYQARYRLPISMAPPGSWVLIEGVDASIMKTATLSNVNYNEEDIYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIEDGVVSIDWNRKALGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGAVKDSIVQG >Potri.006G190600.3.v4.1 pep chromosome:Pop_tri_v4:6:19768787:19774406:1 gene:Potri.006G190600.v4.1 transcript:Potri.006G190600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190600.v4.1 MDDNLYDEFGNYIGPEIESDRESDGEEEDEELPDKPHEDEEESDGEDAVHASNGWLAASDDVDMDNQVVLAEDKKYYPTAEEVYGPGVETLVNDEDEQPLEQPIIKPVRNIKFEVGVKDSSTYVSSQFLVGLMSNPSLVRNVALVGHLQHGKTVFMDMLVEQTHHMPTFDLNSEKHIRYTDTRIDEQERRISIKAVPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQEQLPIVVVINKVDRLITELKLPPKDAYHKLRHTIEVINNHISAVSLTAGNVQVIDPAAGNVCFAGATAGWSFTLHSFARLYLKLHGIPFDADKFASSLWGDMYYHPEDRAFKKKPPASGAERSFVQFVLEPLYKIYSQVIGEHKKSVESTLAEFGVTLPNSAYKLNVRPLLRLACSQVFGSALGFTDMLVKHIPSARDAAARKVDHTYTGPKDSMIYHAMVDCDPSGPLMVNVTKLYPKSDCSSFDAFGRVYSGKIMTGQSVKVLGEGYSPEDEEDMTVKEVTKLWVYQARYRLPISMAPPGSWVLIEGVDASIMKTATLSNVNYNEEDIYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIEDGVVSIDWNRKALGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCLTAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFSLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADIFINK >Potri.006G190600.2.v4.1 pep chromosome:Pop_tri_v4:6:19768987:19774563:1 gene:Potri.006G190600.v4.1 transcript:Potri.006G190600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190600.v4.1 MDDNLYDEFGNYIGPEIESDRESDGEEEDEELPDKPHEDEEESDGEDAVHASNGWLAASDDVDMDNQVVLAEDKKYYPTAEEVYGPGVETLVNDEDEQPLEQPIIKPVRNIKFEVGVKDSSTYVSSQFLVGLMSNPSLVRNVALVGHLQHGKTVFMDMLVEQTHHMPTFDLNSEKHIRYTDTRIDEQERRISIKAVPMSLVLEDSNSKSYLCNIMDTPGHVNFSDEMTAALRLADGAVLIVDAAEGVMVNTERAIRHAIQEQLPIVVVINKVDRLITELKLPPKDAYHKLRHTIEVINNHISAVSLTAGNVQVIDPAAGNVCFAGATAGWSFTLHSFARLYLKLHGIPFDADKFASSLWGDMYYHPEDRAFKKKPPASGAERSFVQFVLEPLYKIYSQVIGEHKKSVESTLAEFGVTLPNSAYKLNVRPLLRLACSQVFGSALGFTDMLVKHIPSARDAAARKVDHTYTGPKDSMIYHAMVDCDPSGPLMVNVTKLYPKSDCSSFDAFGRVYSGKIMTGQSVKVLGEGYSPEDEEDMTVKEVTKLWVYQARYRLPISMAPPGSWVLIEGVDASIMKTATLSNVNYNEEDIYIFRPLQFNTLPVVKTATEPLNPSELPKMVEGLRKISKSYPLAITKVEESGEHTILGTGELYLDSIMKDLRELYSEVEVKVADPVVSFCETVVESSSMKCFAETPNKKNKITMIAEPLEKGLAEDIEDGVVSIDWNRKALGDFFKTKYDWDLLAARSIWAFGPDKQGPNILLDDTLPTEVDKGLLGAVKDSIVQGFQWGAREGPLCDEPIRNVKFKIVDARIAPEPLHRGSGQIIPTARRVAYSAFLMATPRLMEPVYYVEIQTPIDCLTAIYTVLSRRRGHVTADVPQPGTPAYIVKAFLPVIESFGFETDLRYHTQGQAFSLSVFDHWAIVPGDPLDKSIVLRPLEPAPIQHLAREFMVKTRRRKGMSEDVSINKFFDEAMVVELAQQAADIFINK >Potri.002G148000.1.v4.1 pep chromosome:Pop_tri_v4:2:11135774:11137613:1 gene:Potri.002G148000.v4.1 transcript:Potri.002G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148000.v4.1 MEGERGTVCVTGGTGYLASWLIMRLLEQGYSVNTTVRPHPEHKRDVSFLTSLPGGSERLQVFYADLSEPDGFDVAIKGCIGVFHVATPVPQDFGNGEAEEVVIQGAADGTLGILKACLNSKTVKRVVYTSSASAVAFNDSGVEMMDESYWSNVDYIRASNLSIGPYFISKTLTEKSALEFAEEHGLDLVTLIPTYILGPFICPNMPASVHTSLAMVLGDQEQYELLINTSMVHIDDVARAHIFLLEYPEAKGRYICSSDIITIEEMSKFLSAKYPEYSIPTLEYLKDVEGFKIPGVSSKKLLDSGFKFRYGLDEMFDGAIQCCKEKGFL >Potri.010G195500.1.v4.1 pep chromosome:Pop_tri_v4:10:18954887:18959398:-1 gene:Potri.010G195500.v4.1 transcript:Potri.010G195500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G195500.v4.1 MENIRESHVQHRKCRRIILFPLPLEGHINPMIQLANILYSKGFSITIIHTQFNPPNPAKCPHFTIHAIPDGLLEDEASTADGVIRFSVLISNCVEPFRDCLAKLLLDAVDQEPVACLITDAVWHFTHSVAEGFKIPTIAMRTTSISSFLAFASFPLLRERGYFPIQDSRLEESVQELPPLKVKDLPVIKTRCPATLHQLYEKISNQAKACSGLIWNSFEEIERDALSKLSQVFTVPIFHIGPFHKYFPASSSSLITPDQSCISWLDTQTPNSVLYVSFGSLAAVNETEFLEMAWGLLHSNQPFLWVVRPGLVRGSESSESLPDGFLEMVGKRGYIVKWAPQQQVLAHPATGGFWTHNGWNSTLESICEGVPMICQPFSGDQRVNARYVSDVWKIGIHLEYNKLERREIERAIKGLMVETKGQGMRQRTVSLKEKANLCVSHGGSSYHSLETLTNYIMSF >Potri.010G225900.1.v4.1 pep chromosome:Pop_tri_v4:10:20943397:20943974:1 gene:Potri.010G225900.v4.1 transcript:Potri.010G225900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225900.v4.1 MEKASLKLVLVFVLVFSTTCFPEGAEALGYCSSDSDCLSRCPTGCTDAHCQYVAEGDFECYCGLNPCLG >Potri.008G130766.1.v4.1 pep chromosome:Pop_tri_v4:8:8608966:8609789:-1 gene:Potri.008G130766.v4.1 transcript:Potri.008G130766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G130766.v4.1 MGLLLLVFGCRGEGRRRTAPRLLAMLLLVAGLWALLKAGWRRRICRGWGCCTGWWNNRVCWREAALLHEEAPSPLEEEETRGQRGSGFGCGFGRKMEWEQNGCSGLVSKRGGAAAEMKKEGGNSREGELGRLSSLVRESLSGGEGEERDLRLKRRRKWGRRLEWEEEGAGTRLREVTREKIVSVLGEKMEWGAAVERRKQPEIKKGGWGGGCKKKIKFFRVRFFSSFFCCQNYPPRFG >Potri.004G235000.1.v4.1 pep chromosome:Pop_tri_v4:4:23954452:23955775:1 gene:Potri.004G235000.v4.1 transcript:Potri.004G235000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235000.v4.1 MARHQYGFLLIFSIIVSLSLSLVSFVSCVLAESKKAKKEDLKLSNKLCELPQSHAFGFGIAALIWLVIAQVIGNVMICTLFRHRENSNSSKAKKPKIATGLLVFSWISFGIAVALLSTATSMSRRQAYGKGWLDHECYVVRDGVFIGSGVLILVTTATLLGSAIFTLRQAEAEQGRKMHAQVG >Potri.013G039700.1.v4.1 pep chromosome:Pop_tri_v4:13:2694704:2698103:1 gene:Potri.013G039700.v4.1 transcript:Potri.013G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G039700.v4.1 MRCLLLSEHPVQVLFFASFSEKMVKKNSRVSVHSMLTAVSSQPVGSGKTHPLSVLDHAMGLHTIHVVFYYKKNPFGIFDVDPLRIALSEVLCLYPQVTGRLTRGESGNWLVKCNDAGVRVLRAKVEATMDEWLRSADSSEEKDLTFWEEIPEEPSTWSPFRIQVNEFEGGGVAFGLSCTHMNADPTSITILFKSWIESHRQEPIEHPPLFSSTTLHHQQVPNTSTKSDNYCATKGNAETPSVKMVTATFKFSDSAIKKWLDEVHDQCAKATPFELLAALFWTRVAHLKAPKNDNKHSLSICLDFRRLVQPPISLGYFGNALHFSLLTLDEEEMDYGKLGHVVELVHRHISDVREEEVWSVVDWFESQKEEGGKYAEPFRMYGPELTCVSMEHIIIGHKSLMFSASFKSDEKPVHVSCHVGNVRGEGLIVVLPSVEEGLARTVMVTLPEEEMPKLCEDQAIQCLQPTMLIGGR >Potri.001G028000.1.v4.1 pep chromosome:Pop_tri_v4:1:2120753:2123045:-1 gene:Potri.001G028000.v4.1 transcript:Potri.001G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028000.v4.1 MEALAVKPVIKVAALCGSLRKGSFNRGLLRSAIQLSKESVNGMEIEYIDISPLPMLNTDLEVNGTFPPVVEAFRQKILQADSVLFASPEYNFSVTGPLKNAIDWASRPPNCWADKAAAIVSAGGSFGGGRAQYHLRQIGVYLDLHFINKPEFYLNAFAPPAKFDSDGTLIDPPSKERLKEVLLSLLAFTLRLKGQC >Potri.005G241400.2.v4.1 pep chromosome:Pop_tri_v4:5:23807007:23812768:-1 gene:Potri.005G241400.v4.1 transcript:Potri.005G241400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G241400.v4.1 MTLEDFFTLTEMKDGLTAPSRVHELVAVMKKEKFTVVKNIGDATRQWAAVASTVAATENKDCLDLFINLDGLLFFDRWLKLAQKFSNETGEGSVEESITALLRALEKLQIDKERSITSGVWDTVNNLLDHNSSRVQDRARALFNSWKPGEVSDAIHHDVQSVGAFDNVGMKDSNTGKTECVVLDVPLSNRRADVENNAAEQTGDESLQSRSSNCLPAESTQDVQIQTNDCDHQNLDHRNLENRTQDPLTTSVDRSLDPRSPPVVSTSDQESPPFKEKSQVSSTVEGAASTETHSLAVPKGHTAEPDSEAPKMLTDKSAASSNVEAAVISLSNVAGNAQEIVTGSALQNNIDTKEDNCRTSASGDVAAPLSTSKVGTDEVENRNQCQTPMFNSTAKDGEFSPDPSQHLSGNKSVLEKLDNLGSLYPRMEDIASDDDREHGSDGAEDNSDFSKPTTDKRSPDLIDRRRSNIELEYGIVDALEVARQVAQEVEREVVDFREQSCSSSSEKIMESGIKQPGSPDSINAKQDLSTEIPPENVPTRQNQPFETHAEQEGRMIDSNNLENEAENGMHDLESSQVTEVAQEPEVNTQKGFCDFDLNEEVCSEDMDRPVNTISTPISVVSASRPAAASGSPVAPLRFEGTLGWRGSAATSAFRPASPRKTSDGDRTLETGGSGNSSKRRQVCFDIDLNVAGCGEEKVMDLISSRQMPVSSGFHSGESSLEVGSRRPERPNLDLNRTSDDGDATPTDLRLEGRLFYQWNGHRSPSPALSSSSRQPSMRNFDLNDSPFFQNDSLDQGLYHSKTSQTASAYGGPKPGDPVISIMGTRVEVGSRMEVDRKGFIPQTPSMPNGKPLEHAMDANLTRMGAVLGIVPSVSYTHSPVFGFNALATAPAMPISSAMYGPTGSIPYMVDSRGAPVMPQIMGSTPAVPPYSQQPFFMSMSGAPLGLNGAGPSRPSFDLNSGFTMEGGSIGGLRQLLMPGQGSSQPSSSSGVGGKRKEPDSGWEPA >Potri.005G053400.4.v4.1 pep chromosome:Pop_tri_v4:5:3376350:3380494:1 gene:Potri.005G053400.v4.1 transcript:Potri.005G053400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053400.v4.1 MEISSITLSFPKPPPCLPFSSSQSTFFNTTAFFNKSSKSYNSLVLGKTRSRSQRAKKGLTCNALFGLGVPELVVIAGVATLLFGPKQLPEVGRSIGKTVKSFQQAAKEFESELKKEPDSTSDTPGEQPTTISEEKKHDSEVSSSKESV >Potri.001G059001.1.v4.1 pep chromosome:Pop_tri_v4:1:4513020:4513744:1 gene:Potri.001G059001.v4.1 transcript:Potri.001G059001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G059001.v4.1 MTIVAIRSNDLDIFEVNDIMASKGWHLNALQRPNSIHICVTLQHAPVFEDFLRDLRESVRTVKENPGPSKWRASPNIWCCWEDTG >Potri.008G213000.3.v4.1 pep chromosome:Pop_tri_v4:8:17345817:17354791:-1 gene:Potri.008G213000.v4.1 transcript:Potri.008G213000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213000.v4.1 MTNRLRRSLKINSLSSSRDWDEEPSAAKTNRLEWLNIDINENSTILEETGRARGQKLIYEGWMVRYGRRKIGSTYIHMRYFTLEPSFLSYYKRRPKDNGVPIKTLLIDGNCRVADRGLKTHQGHMIYTLSVYNKKEKNNAITLSAFNIQEALIWKEKIESVIDQHNTVSDKYASYKYGSGMDNGVTASSSENGSQFGEHEDEDNTHTNLFWRKTIGNVVDRTPECDSELPNQTANNQAFPINRWRLLKCQNGLRIFEELTETDYLLRSCSQAMKAVGIVKATCEEIFELVMSMDATRFEWDSTFQHGSLVEEVDGHTAILYHRLQLDWFPKFVWPRDLCYVRYWRRNDDGSYVVLFRSREHANCVPQSGYVRAHVESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYIPSFQQHCLLEMLNNIAGLRAWCAQRDERDAHTRIPAIAINTSGYVSPQKTASSALMDEYSDEDEEFQITEEEEENNPTQHKNNSKRTVLEEQPVDQIDLSCFSGNLCHDDHDNAHDCWQISAGDNFSVRSKRFFYDKSKVPAGKHLMDLVAVDWFKDTKRMDHVSRRRGSAAQVASEKGLFSVVFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRNSRFKLLPSVLQGSWIMRQSVGSTPCLLGKAVECNYIRGPKYLEVDVDIGSSAVASGVLWLVMGTSPTLVVDMAFLVQANTTEELPEQLIGAVRVSHVELSSAMVPRLHPDSS >Potri.008G213000.1.v4.1 pep chromosome:Pop_tri_v4:8:17344957:17354855:-1 gene:Potri.008G213000.v4.1 transcript:Potri.008G213000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213000.v4.1 MTNRLRRSLKINSLSSSRDWDEEPSAAKTNRLEWLNIDINENSTILEETGRARGQKLIYEGWMVRYGRRKIGSTYIHMRYFTLEPSFLSYYKRRPKDNGVPIKTLLIDGNCRVADRGLKTHQGHMIYTLSVYNKKEKNNAITLSAFNIQEALIWKEKIESVIDQHNTVSDKYASYKYGSGMDNGVTASSSENGSQFGEHEDEDNTHTNLFWRKTIGNVSPDSVVDRTPECDSELPNQTANNQAFPINRWRLLKCQNGLRIFEELTETDYLLRSCSQAMKAVGIVKATCEEIFELVMSMDATRFEWDSTFQHGSLVEEVDGHTAILYHRLQLDWFPKFVWPRDLCYVRYWRRNDDGSYVVLFRSREHANCVPQSGYVRAHVESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYIPSFQQHCLLEMLNNIAGLRAWCAQRDERDAHTRIPAIAINTSGYVSPQKTASSALMDEYSDEDEEFQITEEEEENNPTQHKNNSKRTVLEEQPVDQIDLSCFSGNLCHDDHDNAHDCWQISAGDNFSVRSKRFFYDKSKVPAGKHLMDLVAVDWFKDTKRMDHVSRRRGSAAQVASEKGLFSVVFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRNSRFKLLPSVLQGSWIMRQSVGSTPCLLGKAVECNYIRGPKYLEVDVDIGSSAVASGVLWLVMGTSPTLVVDMAFLVQANTTEELPEQLIGAVRVSHVELSSAMVPRLHPDSS >Potri.008G213000.4.v4.1 pep chromosome:Pop_tri_v4:8:17345784:17354751:-1 gene:Potri.008G213000.v4.1 transcript:Potri.008G213000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213000.v4.1 MTNRLRRSLKINSLSSSRDWDEEPSAAKTNRLEWLNIDINENSTILEETGRARGQKLIYEGWMVRYGRRKIGSTYIHMRYFTLEPSFLSYYKRRPKDNGVPIKTLLIDGNCRVADRGLKTHQGHMIYTLSVYNKKEKNNAITLSAFNIQEALIWKEKIESVIDQHNTVSDKYASYKYGSGMDNGVTASSSENGSQFGEHEDEDNTHTNLFWRKTIGNVDRTPECDSELPNQTANNQAFPINRWRLLKCQNGLRIFEELTETDYLLRSCSQAMKAVGIVKATCEEIFELVMSMDATRFEWDSTFQHGSLVEEVDGHTAILYHRLQLDWFPKFVWPRDLCYVRYWRRNDDGSYVVLFRSREHANCVPQSGYVRAHVESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYIPSFQQHCLLEMLNNIAGLRAWCAQRDERDAHTRIPAIAINTSGYVSPQKTASSALMDEYSDEDEEFQITEEEEENNPTQHKNNSKRTVLEEQPVDQIDLSCFSGNLCHDDHDNAHDCWQISAGDNFSVRSKRFFYDKSKVPAGKHLMDLVAVDWFKDTKRMDHVSRRRGSAAQVASEKGLFSVVFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRNSRFKLLPSVLQGSWIMRQSVGSTPCLLGKAVECNYIRGPKYLEVDVDIGSSAVASGVLWLVMGTSPTLVVDMAFLVQANTTEELPEQLIGAVRVSHVELSSAMVPRLHPDSS >Potri.008G213000.11.v4.1 pep chromosome:Pop_tri_v4:8:17346266:17354751:-1 gene:Potri.008G213000.v4.1 transcript:Potri.008G213000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213000.v4.1 MTNRLRRSLKINSLSSSRDWDEPSAAKTNRLEWLNIDINENSTILEETGRARGQKLIYEGWMVRYGRRKIGSTYIHMRYFTLEPSFLSYYKRRPKDNGVPIKTLLIDGNCRVADRGLKTHQGHMIYTLSVYNKKEKNNAITLSAFNIQEALIWKEKIESVIDQHNTVSDKYASYKYGSGMDNGVTASSSENGSQFGEHEDEDNTHTNLFWRKTIGNVVDRTPECDSELPNQTANNQAFPINRWRLLKCQNGLRIFEELTETDYLLRSCSQAMKAVGIVKATCEEIFELVMSMDATRFEWDSTFQHGSLVEEVDGHTAILYHRLQLDWFPKFVWPRDLCYVRYWRRNDDGSYVVLFRSREHANCVPQSGYVRAHVESGGFNISPLKPRNGRPRTQVQHLMQIDLKGWGVGYIPSFQQHCLLEMLNNIAGLRAWCAQRDERDAHTRIPAIAINTSGYVSPQKTASSALMDEYSDEDEEFQITEEEEENNPTQHKNNSKRTVLEEQPVDQIDLSCFSGNLCHDDHDNAHDCWQISAGDNFSVRSKRFFYDKSKVPAGKHLMDLVAVDWFKDTKRMDHVSRRRGSAAQVASEKGLFSVVFNLQVPGSTHYSMVFYFVTKELVPGSLLQRFVDGDDEFRNSRFKLLPSVLQGSWIMRQSVGSTPCLLGKAVECNYIRGPKYLEVDVDIGSSAVASGVLWLVMGTSPTLVVDMAFLVQANTTEELPEQLIGAVRVSHVELSSAMVPRLHPDSS >Potri.005G137700.4.v4.1 pep chromosome:Pop_tri_v4:5:10783202:10791823:1 gene:Potri.005G137700.v4.1 transcript:Potri.005G137700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137700.v4.1 MTGMVTEKDVSRVTDVADWEESERMVESITASVSSDSSAVNRPFEIDSRPHFSRDGSSAFSDTGKHVNSWKRDAFDNVNIRAFVPQDQENGQPSPRQDASVGGRAFRKEFYGGPGLIPSRPYLKGGIPDPQVDDFSQQFRSQRWNISGDGDYFSRNSEIESEFQENFAERFADSAWGHAQTRGSPGPQYHDRMYQNHEPDGLYSFGRSRYPMRQPRVLPPPSIASLHRNPYRGENECPGPSTFPESEMQSNHGARNDSTMQARYDSNSQENLGRAEIIAQQENSETEVQKLNTNTTRCDSQSSLSVSSPPDSPVHLSNDDLDESGDSPVLSAGEGKDVALLGQENESLALPTEANKENVMSGSSIVSNGEDEEWAVENDEQLQEQEEYDEDEDGYEEEDEVHDGEDENINLTQDFEDMHLDEKDAPDMMENLVLGFNEGVEVGMPNDDFERSSTNEETKFVTPKPSEEQGSFDAMCSDGQTLQHVDGSTQVNLDNSTRIFQETEKAIQSKNASQTSALPEHMDHSDASSNHGLSIQPQIQLSSDQTVMSTIPSANNQPEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGSSLTHIHPSQPPLFQFGQLRYTSPIPQGVLPLNPQSMSLVRPNIPSNFSFNHSVGVAVPIKPGQDIVKGDVSSVSMDNQRGLLPRHLDLSHLAVKEGISLPLRERADSTIKIHKGKGDCLHSGDINSRPESGFQAENSFVKNFKTVPARELEHRSQTEEVSSLSVTKEKGLGVSKGPGLMSSGRGRRYAFPAKHSGPRSSFQASDISRSDSSGFQGKPRRLRTEFRVRENSDKKQSAGSEVDEKSNISGGRAGARSGSRRVVVANRQPKQISESEGSSSRPVSLQEIDSRSRAEKVAGKESVRKIQNICHSREDLDAPLQSGIVRVFEQPGIEVPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMPRKPRSYSQSASVSSISNKNRAPVGGEASNSIRSDFEAPEGHGLANIEVSAGFITPIVSQPLPPIGTPAVKTETQAVKSFHTSSLTGVSGSGKNHASGLIFDSKNNVLETVQTSLGSWGSSQINQQTQLDEAMKPVQFDSHSSVGDPTNSVSEPSLPSSSLLSKDKSFSSAGSPINSLLAGEKIQFGAVTSPILPSNRRAVSHGIGPPGLCQSDIHISHNLSAAKKDCSLFFEKEKHSNESCAHLEDCEAEAEAEAAASAVAVAAISSDEIGGNVLGAGPISGSDSKKFGGADLDSISAGASADQQLASQSRVEESLSVALPADLSVETPVSLWPPLPIPQNSGSHMLSHVPGAPPSHFPFYEMNPMLGGPIFAFGPHDESTPTQSQSQKSNASVTGPLGAWQQHSAVDSFYGPPAGFTGPFISSPGSIPGVQGPPHMVVYNHFAPVGQFGQVGLSYMGTTYIPSGKQPDWKHNPTSSAMSVGEGDMNNMNMVSSQRNPTNMPAIQHLAPGSPLLSMASPVAMFDVSPFQSSDISVQARWPHVSASPLQSLPVSKPLQQAEGVPASSHFNHSLPVDQPLAANRFSGSRTTTPPDNRQNFPAATDCTVSQLPDELGLVDSITTSVGTSTQSIGAKSTSASTIPEAGKTDVMQNDRASSGSGQNSRSALKTKPSHQKNTSAQHYNYSGYNYQRGGGGSQKNSSGAEWSHRRMAYQGRNQTLGTEKNYPPSKTKQIYVAKQTATGRSMS >Potri.005G137700.1.v4.1 pep chromosome:Pop_tri_v4:5:10781166:10791965:1 gene:Potri.005G137700.v4.1 transcript:Potri.005G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137700.v4.1 MVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGHGSGGPGNGLRPSSSGMGWSKPAAIAVQEKEGLDVSGDNNGAESGNNYGVGDQGVSNVGNGVNKLSTGSSGGVYMPPSVRSLELTVVSDGPRGHSVVDKATVWRGEDFPSLQATLPSVSGLEKKQKDGLNQKHKKVLSEELGNEQRDGFGLSRVVDMRPQMQARNNVGNGMDEDGVDNQGLGHSVTSEKERKQQEYFAGPLPLVRLNPRSDWADDERDTRHGLTDRGRDHGFPKDEAYWDRGFDFPRPSVLPQKPAHNVFDRRGQRDNETGKISSSEVTKVDTYLRDVRTPSREGREGKSWRASSPLTKDKFITQEAGNERNGIGVRPPSFNRETVKENRYIPSALRVNSQDDVGRRDVGYGQGGKQPWSNTMDSFGNRGRDRNTREHYGSEQYNRHRGDTYQNNLVAKSSFSVGGKGLPVNDPIWNFGREKRPFSKSEKPYVEDPFMKDFGTSGFDGRDPFSGTLVGLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQELERQLVLEKQERAMELARREEEERMRLAREQEERQRRLEEEAKEAEWRAEQERLEAIRRAEEHRIAREEEKQRISMEEERRKHSARQKLLELEEKIAKRQAEATKSGNDNSSGVTDEIMTGMVTEKDVSRVTDVADWEESERMVESITASVSSDSSAVNRPFEIDSRPHFSRDGSSAFSDTGKHVNSWKRDAFDNVNIRAFVPQDQENGQPSPRQDASVGGRAFRKEFYGGPGLIPSRPYLKGGIPDPQVDDFSQQFRSQRWNISGDGDYFSRNSEIESEFQENFAERFADSAWGHAQTRGSPGPQYHDRMYQNHEPDGLYSFGRSRYPMRQPRVLPPPSIASLHRNPYRGENECPGPSTFPESEMQSNHGARNDSTMQARYDSNSQENLGRAEIIAQQENSETEVQKLNTNTTRCDSQSSLSVSSPPDSPVHLSNDDLDESGDSPVLSAGEGKDVALLGQENESLALPTEANKENVMSGSSIVSNGEDEEWAVENDEQLQEQEEYDEDEDGYEEEDEVHDGEDENINLTQDFEDMHLDEKDAPDMMENLVLGFNEGVEVGMPNDDFERSSTNEETKFVTPKPSEEQGSFDAMCSDGQTLQHVDGSTQVNLDNSTRIFQETEKAIQSKNASQTSALPEHMDHSDASSNHGLSIQPQIQLSSDQTVMSTIPSANNQPEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGSSLTHIHPSQPPLFQFGQLRYTSPIPQGVLPLNPQSMSLVRPNIPSNFSFNHSVGVAVPIKPGQDIVKGDVSSVSMDNQRGLLPRHLDLSHLAVKEGISLPLRERADSTIKIHKGKGDCLHSGDINSRPESGFQAENSFVKNFKTVPARELEHRSQTEEVSSLSVTKEKGLGVSKGPGLMSSGRGRRYAFPAKHSGPRSSFQASDISRSDSSGFQGKPRRLRTEFRVRENSDKKQSAGSEVDEKSNISGGRAGARSGSRRVVVANRQPKQISESEGSSSRPVSLQEIDSRSRAEKVAGKESVRKIQNICHSREDLDAPLQSGIVRVFEQPGIEVPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMPRKPRSYSQSASVSSISNKNRAPVGGEASNSIRSDFEAPEGHGLANIEVSAGFITPIVSQPLPPIGTPAVKTETQAVKSFHTSSLTGVSGSGKNHASGLIFDSKNNVLETVQTSLGSWGSSQINQQVMALTQTQLDEAMKPVQFDSHSSVGDPTNSVSEPSLPSSSLLSKDKSFSSAGSPINSLLAGEKIQFGAVTSPILPSNRRAVSHGIGPPGLCQSDIHISHNLSAAKKDCSLFFEKEKHSNESCAHLEDCEAEAEAEAAASAVAVAAISSDEIGGNVLGAGPISGSDSKKFGGADLDSISAGASADQQLASQSRVEESLSVALPADLSVETPVSLWPPLPIPQNSGSHMLSHVPGAPPSHFPFYEMNPMLGGPIFAFGPHDESTPTQSQSQKSNASVTGPLGAWQQHSAVDSFYGPPAGFTGPFISSPGSIPGVQGPPHMVVYNHFAPVGQFGQVGLSYMGTTYIPSGKQPDWKHNPTSSAMSVGEGDMNNMNMVSSQRNPTNMPAIQHLAPGSPLLSMASPVAMFDVSPFQSSDISVQARWPHVSASPLQSLPVSKPLQQAEGVPASSHFNHSLPVDQPLAANRFSGSRTTTPPDNRQNFPAATDCTVSQLPDELGLVDSITTSVGTSTQSIGAKSTSASTIPEAGKTDVMQNDRASSGSGQNSRSALKTKPSHQKNTSAQHYNYSGYNYQRGGGGSQKNSSGAEWSHRRMAYQGRNQTLGTEKNYPPSKTKQIYVAKQTATGRSMS >Potri.005G137700.2.v4.1 pep chromosome:Pop_tri_v4:5:10780665:10791818:1 gene:Potri.005G137700.v4.1 transcript:Potri.005G137700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G137700.v4.1 MANPGVGSKYVSVNLNKSYGQQHQQNHHNNQYNHGQGRGWPGVAGGGGGGMVVLSRPRSSQKAAGPKLSVPPPLNLPSLRKEHERFDSLGSGGGHGSGGPGNGLRPSSSGMGWSKPAAIAVQEKEGLDVSGDNNGAESGNNYGVGDQGVSNVGNGVNKLSTGSSGGVYMPPSVRSLELTVVSDGPRGHSVVDKATVWRGEDFPSLQATLPSVSGLEKKQKDGLNQKHKKVLSEELGNEQRDGFGLSRVVDMRPQMQARNNVGNGMDEDGVDNQGLGHSVTSEKERKQQEYFAGPLPLVRLNPRSDWADDERDTRHGLTDRGRDHGFPKDEAYWDRGFDFPRPSVLPQKPAHNVFDRRGQRDNETGKISSSEVTKVDTYLRDVRTPSREGREGKSWRASSPLTKDKFITQEAGNERNGIGVRPPSFNRETVKENRYIPSALRVNSQDDVGRRDVGYGQGGKQPWSNTMDSFGNRGRDRNTREHYGSEQYNRHRGDTYQNNLVAKSSFSVGGKGLPVNDPIWNFGREKRPFSKSEKPYVEDPFMKDFGTSGFDGRDPFSGTLVGLVKKKKDVLKQTDFHDPVRESFEAELERVQKMQELERQLVLEKQERAMELARREEEERMRLAREQEERQRRLEEEAKEAEWRAEQERLEAIRRAEEHRIAREEEKQRISMEEERRKHSARQKLLELEEKIAKRQAEATKSGNDNSSGVTDEIMTGMVTEKDVSRVTDVADWEESERMVESITASVSSDSSAVNRPFEIDSRPHFSRDGSSAFSDTGKHVNSWKRDAFDNVNIRAFVPQDQENGQPSPRQDASVGGRAFRKEFYGGPGLIPSRPYLKGGIPDPQVDDFSQQFRSQRWNISGDGDYFSRNSEIESEFQENFAERFADSAWGHAQTRGSPGPQYHDRMYQNHEPDGLYSFGRSRYPMRQPRVLPPPSIASLHRNPYRGENECPGPSTFPESEMQSNHGARNDSTMQARYDSNSQENLGRAEIIAQQENSETEVQKLNTNTTRCDSQSSLSVSSPPDSPVHLSNDDLDESGDSPVLSAGEGKDVALLGQENESLALPTEANKENVMSGSSIVSNGEDEEWAVENDEQLQEQEEYDEDEDGYEEEDEVHDGEDENINLTQDFEDMHLDEKDAPDMMENLVLGFNEGVEVGMPNDDFERSSTNEETKFVTPKPSEEQGSFDAMCSDGQTLQHVDGSTQVNLDNSTRIFQETEKAIQSKNASQTSALPEHMDHSDASSNHGLSIQPQIQLSSDQTVMSTIPSANNQPEVPVKLQFGLFSGPSLIPSPVPAIQIGSIQMPLHLHPPVGSSLTHIHPSQPPLFQFGQLRYTSPIPQGVLPLNPQSMSLVRPNIPSNFSFNHSVGVAVPIKPGQDIVKGDVSSVSMDNQRGLLPRHLDLSHLAVKEGISLPLRERADSTIKIHKGKGDCLHSGDINSRPESGFQAENSFVKNFKTVPARELEHRSQTEEVSSLSVTKEKGLGVSKGPGLMSSGRGRRYAFPAKHSGPRSSFQASDISRSDSSGFQGKPRRLRTEFRVRENSDKKQSAGSEVDEKSNISGGRAGARSGSRRVVVANRQPKQISESEGSSSRPVSLQEIDSRSRAEKVAGKESVRKIQNICHSREDLDAPLQSGIVRVFEQPGIEVPSDDDDFIEVRSKRQMLNDRREQREKEIKAKSRVSKMPRKPRSYSQSASVSSISNKNRAPVGGEASNSIRSDFEAPEGHGLANIEVSAGFITPIVSQPLPPIGTPAVKTETQAVKSFHTSSLTGVSGSGKNHASGLIFDSKNNVLETVQTSLGSWGSSQINQQVMALTQTQLDEAMKPVQFDSHSSVGDPTNSVSEPSLPSSSLLSKDKSFSSAGSPINSLLAGEKIQFGAVTSPILPSNRRAVSHGIGPPGLCQSDIHISHNLSAAKKDCSLFFEKEKHSNESCAHLEDCEAEAEAEAAASAVAVAAISSDEIGGNVLGAGPISGSDSKKFGGADLDSISAGASADQQLASQSRVEESLSVALPADLSVETPVSLWPPLPIPQNSGSHMLSHVPGAPPSHFPFYEMNPMLGGPIFAFGPHDESTPTQSQSQKSNASVTGPLGAWQQHSAVDSFYGPPAGFTGPFISSPGSIPGVQGPPHMVVYNHFAPVGQFGQVGLSYMGTTYIPSGKQPDWKHNPTSSAMSVGEGDMNNMNMVSSQRNPTNMPAIQHLAPGSPLLSMASPVAMFDVSPFQSSDISVQARWPHVSASPLQSLPVSKPLQQAEGVPASSHFNHSLPVDQPLAANRFSGSRTTTPPDNRQNFPAATDCTVSQLPDELGLVDSITTSVGTSTQSIGAKSTSASTIPEAGKTDVMQNDRASSGSGQNSRSALKTKPSHQKNTSAQHYNYSGYNYQRGGGGSQKNSSGAEWSHRRMAYQGRNQTLGTEKNYPPSKTKQIYVAKQTATGRSMS >Potri.003G108500.1.v4.1 pep chromosome:Pop_tri_v4:3:13131361:13132860:-1 gene:Potri.003G108500.v4.1 transcript:Potri.003G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G108500.v4.1 MLIFGKMASTSLTARFKQSVTPASLRAYLAEFISTFFYVFAVVGSAMASRKLLPDAAADPSSLVIVAIANAFALSSAVYIAANASGGHVNPAVTFGMAVGGRINVPTALFYWISQMLASVMACIFLKVATVGQHVPTNTIAEEMTGFGASLLEGVMAFGLVYTVYAAGDPRRGSLGAIGPLAVGLTAGANVLAAGPFSGGSMNPACAFGSAVIAGRLKNQAVYWVGPLIGAAVAGLLYDNVVFPTEAPDSLRGVSDDVGV >Potri.004G022900.1.v4.1 pep chromosome:Pop_tri_v4:4:1611378:1615532:1 gene:Potri.004G022900.v4.1 transcript:Potri.004G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022900.v4.1 MISSATLGLSSHRLPPPPPRSSTPEPNPKSLSEPQARKQKGSPQLNRWSRARSIRSGRKLDRLHQRTTQRLELNPQVQNPKESEKREVSSLTGSDGYDDVEATFGKAIFMVSDGTGWTAEHSVNASLGQFEHCLVDRGCPVNTHLFSGIDDVERLMEIIKQAAKEGAMVVYTLADSSLAEHAKQVCKLWGIPATDILGPITEAIASHLGVLPSGLPRGAPGRNFPLSEDYFRRIEAIEFTIKQDDGALPQNLHKADIVLAGVSRTGKTPLSIYLAQKGYKVANVPIVKGVKLPKSLFEVDPEKVFGLTINPVVLQTIRRARAKSLGFSEEVRSNYSEMDHVREELEFARRTFAQNPVWPVIEVTGKAIEETAAVILRLFHDRKHKCSMPRISKLY >Potri.005G090000.1.v4.1 pep chromosome:Pop_tri_v4:5:6257315:6259296:-1 gene:Potri.005G090000.v4.1 transcript:Potri.005G090000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090000.v4.1 MERSKVMKTITAGNIAPQPIFGIIESTHPCISYPQKSTVRDASFSSYLRPMPEQITQVDDSEISIFDAQKYFNESGGDTKLSRRVSPVNAKLERVSERYDFSSLPRLSSASSSVDGYGRNYRARSFHATPTASSEASWNSQAGLLSNPPGAIAVSMRNPPRNDDKRKGSGTKWLLRRKCPCSGKKSVQIEEKLSEPRTLSRISHTKGLSVDLKKQIQIPTPVENPIEKSSATPDWLERREVIPSSHRMSADGKLERRDAIPNTHRILADNSRFPSGLSHQRVVASARPFSTDTTAGFSFPILSQTPPPMKLVLPSTTYNPPLEDPPRESLEVFRPAEGPIPTKSTSDLQRRQSFTVMDDDMASDASSDLFEIESFSTQTTSYAMYPNHRDSLDDAPSFNTRRLAATNGGDLYCRRSLDEPRTPSIAPTECYAPSEASIDWSVTTAEGFDRGSVTNFSVSASEVDETAMMRGREDEKNSGGGKNRGGNGALLMSCRCEKAVSVGPHPVKCVPEEGQRVASSTVRHVGSRPAVMNKPPLARFHSARLSLPFAT >Potri.005G090000.2.v4.1 pep chromosome:Pop_tri_v4:5:6257323:6263265:-1 gene:Potri.005G090000.v4.1 transcript:Potri.005G090000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090000.v4.1 MERSKVMKTITAGNIAPQPIFGIIESTHPCISYPQKSTVRDASFSSYLRPMPEQITQVDDSEISIFDAQKYFNESGGDTKLSRRVSPVNAKLERVSERYDFSSLPRLSSASSSVDGYGRNYRARSFHATPTASSEASWNSQAGLLSNPPGAIAVSMRNPPRNDDKRKGSGTKWLLRRKCPCSGKKSVQIEEKLSEPRTLSRISHTKGLSVDLKKQIQIPTPVENPIEKSSATPDWLERREVIPSSHRMSADGKLERRDAIPNTHRILADNSRFPSGLSHQRVVASARPFSTDTTAGFSFPILSQTPPPMKLVLPSTTYNPPLEDPPRESLEVFRPAEGPIPTKSTSDLQRRQSFTVMDDDMASDASSDLFEIESFSTQTTSYAMYPNHRDSLDDAPSFNTRRLAATNGGDLYCRRSLDEPRTPSIAPTECYAPSEASIDWSVTTAEGFDRGSVTNFSVSASEVDETAMMRGREDEKNSGGGKNRGGNGALLMSCRCEKAVSVGPHPVKCVPEEGQRVASSTVRHVGSRPAVMNKPPLARFHSARLSLPFAT >Potri.002G092751.1.v4.1 pep chromosome:Pop_tri_v4:2:6735999:6737695:1 gene:Potri.002G092751.v4.1 transcript:Potri.002G092751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092751.v4.1 METNLLESEKLGSNSTRLKHRGERKYIVILPLGKGNLLIILHYIVIKREFLSAILTATAMRGGGAAHQPFFLIATLLGKTRNLIFLAQIYRAYIIHFCFGMIRARKEQRRGEGRMVK >Potri.001G076400.11.v4.1 pep chromosome:Pop_tri_v4:1:5761107:5765287:-1 gene:Potri.001G076400.v4.1 transcript:Potri.001G076400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076400.v4.1 MSRPKFFDAQNFLDGYGTGDELNYGLVNDQIPMDQYSAQPEEHGANTFPSHGHGHLIQSVNTQINHNQSAQPDGAIYTVNPSISAQMNLSPLAKPGSSQSSNISNADLEKRIRKQESDRKSRAKKEETRRENEERLVKVTEENNELRKDNASLKEGEVEMKRKLKNFVQKVSHFEKEVSRLNNKLKGQNTKVDVLSEKLVASSEATGLVEENKQLKRKNEQLMTSLKYPDIMKTVELVEEIEKWKRKAKRLQIINEALCDKLNNDEH >Potri.001G076400.2.v4.1 pep chromosome:Pop_tri_v4:1:5760971:5765308:-1 gene:Potri.001G076400.v4.1 transcript:Potri.001G076400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076400.v4.1 MSRPKFFDAQNFLDGYGTGDELNYGLVNDQIPMDQYSAQPEEHGANTFPSHGHGHLIQSVNTQINHNQSAQPDACSFQFGTAPSYAMKHGLVNVQLPHRLDQPQGAIYTVNPSISAQMNLSPLAKPGSSQSSNISNADLEKRIRKQESDRKSRAKKEETRRENEERLVKVTEENNELRKDNASLKEGEVEMKRKLKNFVQKVSHFEKEVSRLNNKLKGQNTKVDVLSEKLVASSEATGLVEENKQLKRKNEQLMTSLKYPDIMKTVELVEEIEKWKRKAKRLQIINEALCDKLNNDEH >Potri.008G066400.5.v4.1 pep chromosome:Pop_tri_v4:8:4012803:4017654:1 gene:Potri.008G066400.v4.1 transcript:Potri.008G066400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066400.v4.1 MSTQKQAEEAMVSNNDTGHEEKEVSNDESGFSLKSVLWHGGSVYDAWFSCSSNQVAQVLLTLPYSFSQMGMLSGIILQIFYGFLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPAWKAVGLAFNCTFLMFGSVIQLIACASNIYYIDDKFDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLGMTTYTAWYMTVASLVHGQVEGVVHSGPTKAVLYFTGATNILYTFGGHAVTVEIMHAMWKPKKFKCIYLLATLYVFTLTLPSAAATYWAFGDQLLTHSNAFSLLPRTPWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSILLRALSRLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPFFIPSWTAMYALNAFIVVWVLVVGFGLGGWASMSNFIKQVDTFGLFAKCYQCPPPTPAKHH >Potri.008G066400.3.v4.1 pep chromosome:Pop_tri_v4:8:4012820:4017515:1 gene:Potri.008G066400.v4.1 transcript:Potri.008G066400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066400.v4.1 MSTQKQAEEAMVSNNDTGHEEKEVSNDESGFSLKSVLWHGGSVYDAWFSCSSNQVAQVLLTLPYSFSQMGMLSGIILQIFYGFLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPAWKAVGLAFNCTFLMFGSVIQLIACASNIYYIDDKFDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLGMTTYTAWYMTVASLVHGQVEGVVHSGPTKAVLYFTGATNILYTFGGHAVTVEIMHAMWKPKKFKCIYLLATLYVFTLTLPSAAATYWAFGDQLLTHSNAFSLLPRTPWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSILLRALSRLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPFFIPSWTAMYALNAFIVVWVLVVGFGLGGWASMSNFIKQVDTFGLFAKCYQCPPPTPAKHH >Potri.008G066400.6.v4.1 pep chromosome:Pop_tri_v4:8:4012713:4017441:1 gene:Potri.008G066400.v4.1 transcript:Potri.008G066400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066400.v4.1 MSTQKQAEEAMVSNNDTGHEEKEVSNDESGFSLKSVLWHGGSVYDAWFSCSSNQVAQVLLTLPYSFSQMGMLSGIILQIFYGFLGSWTAYLISVLYVEYRSRKEKENVSFKNHVIQWFEVLDGLLGPAWKAVGLAFNCTFLMFGSVIQLIACASNIYYIDDKFDKRTWTYIFGACCATTVFIPSFHNYRMWSFLGLGMTTYTAWYMTVASLVHGQVEGVVHSGPTKAVLYFTGATNILYTFGGHAVTVEIMHAMWKPKKFKCIYLLATLYVFTLTLPSAAATYWAFGDQLLTHSNAFSLLPRTPWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSILLRALSRLPVVIPIWFFAIIFPFFGPINSAVGALLVSFTVYIIPALAHMLTYRSASARQNAAEKPPFFIPSWTAMYALNAFIVVWVLVVGFGLGGWASMSNFIKQVDTFGLFAKCYQCPPPTPAKHH >Potri.006G142200.1.v4.1 pep chromosome:Pop_tri_v4:6:11981883:11989223:-1 gene:Potri.006G142200.v4.1 transcript:Potri.006G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G142200.v4.1 MAVLRSRGILSTIPSNKKGPTPEPVTPTRTREPSTHQSPPTPNPPGVSLLGSDSAPPRRRSLRLASKSLPSADASLLTPTRKRKKGSDGKQGRLVNEGDVVEKMTERDVGGEVLRVLSLRSGKRVVDNCNIEIEGEEVKGSSVLRTKGKGKGRGHLGKKVLKLDVQEELVEILNDNAVERSENLEKLSESSRGKRKCTGEVKMERGEEGGVAVEDLGKSPSANESGISTRGRRKYNREVKVEGVKEGVVEGLKENPCTDENGTSRRGRRYSREEKEKRSKEGAVVIEELGENPVALEGGSSRGRMRYSREEKGKGKLVVDDGLISNAKDMLQLEPRVKNLVDGLAESVVLEERKEGASARSKVPESRMEQFRDIARQNASRFAHFEVQEHEADHHDVEMPSVEEEQDKVEDWPGPFSTAMKIIRDRANRLNLQQRGSTSEKEKHVPITWMPKTDRACKPSKGLVPSLQELCMKILVKNADAIASLEHVPDALRHRLCQLLCDSRRMNAHFLALLVRGSPMEIRIRDCSWLAEEEFTKIFEVCDSRNLTVLQLDQCGRCMADYTLLATLARSPGSLPRLTTLSISGACRLSDAALCSLVSSAPALQSLNLSQCSLLTSASIDTLADSLATSLRELYINDCQSIQPMLILPALKKLEHLEVLSLSGIQTINDNFLRGFIVARGHNIKELVLTDCVKLTDSSMKVIAETCSKLCTLDLGNLRKLTDSALGFLANACREIHTLKLCRNAFSDEAIAAFLETSGELLKELSLNNVTKVGHCTALSLARRSRKLLSLDLSWCRNLTNEALGLIVDSCLSLKVLKLFGCSQVTNVFLDGHSNSDVQIIGLKTSPVLEHIRVPELQEFALRYSSVSSI >Potri.014G058400.1.v4.1 pep chromosome:Pop_tri_v4:14:3734835:3738054:-1 gene:Potri.014G058400.v4.1 transcript:Potri.014G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058400.v4.1 MATCHCLLLPVPFKPTIIPPKPISKITCSSITTQSQSAKQHLLTLISDQHRGLKTQNDPIKRSSIIQAIDAMADLGKDTVTTDDSLSATWRLLWTTEKEQLFIIEKAPLFGTQAGDVLQVIDVEKRTLNNVITFPPDGVFFVRSSIEVSSSQRVNFRFTSAVLRGKNWEIPLPPFGQGWFESLYIDEEIRVVKDIRGDYLVVDKAPYAWKE >Potri.003G027318.1.v4.1 pep chromosome:Pop_tri_v4:3:2922239:2922532:1 gene:Potri.003G027318.v4.1 transcript:Potri.003G027318.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G027318.v4.1 MKAVGSSELGLLPAEGDDEENGFVLASSWGENGKGELRWLLVKEGLLLAGEGRRRCWNRLEREKENEGLCRKGTPAGRGKPKTWGAGSLQQGKGGFD >Potri.014G154500.1.v4.1 pep chromosome:Pop_tri_v4:14:10844901:10849404:1 gene:Potri.014G154500.v4.1 transcript:Potri.014G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G154500.v4.1 MVMAEHYRKNMRYSFMLAVLLLVTCAAGEDAYRFHTWNVTYGDIYPLGVKQQGILINGQFPGPQIESVTNDNLIISVFNSLDEPFLISWNGVQQRRNSWQDGVYGTNCAIPPGQNFTYVLQVKDQIGSYFYFPSLGMHKAAGGFGGFKIASRSVIPVPFSPPSGDFTFLAGDWFKKNHSDLKAILDGGSDLPFPDGLLINGRGSNGYTFTVDPGKTYRFRISNVGLTTSINFRIQGHKMLLVEVEGTHSLQNTYDSLDIHLGQSYSVLVTADQAAHDYFIVVSTRFTSQVLTTTSILHYSNSAGSVSDTPLGGPTIQIDWSLEQARSLRRNLTASGPRPNPQGSYHYGLINTTRTVRLQNSAPIINGKQRYAVNSVSFISADTPLKLADHYNIPGVFSLGSIPDSPTGGGAYLQTSVMAADFRGYAEIVFENPEDNVQSWHIDGHNFFVVGMDGGQWSPASRLSYNLRDTISRCTVQVYPESWTAVYMPLDNVGMWNVRSENWARQYLGQQFYLRVYSPANSWRDEYPIPTNALLCGRAAGL >Potri.003G134200.3.v4.1 pep chromosome:Pop_tri_v4:3:15229916:15232437:-1 gene:Potri.003G134200.v4.1 transcript:Potri.003G134200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134200.v4.1 MDNRIWNLGFAADYPSNAFKILGSSLSVGAAGTKYSADTVLRLDSPGSSVPSGFSSKGIKRKWNLINGSMGQNVGSSLSLGLGRSSSSSDSKGSSATACTSMSSAIETDEESSMDLDFSLHLGHEKMLSPKKPARSYLKGMEPKVDLELGLSTGPSESDVTSIHPPSSSLEFAFDMPLAMGGASNVNEGSTSCSWKSGITLLPLQISSNKEASFFFNQIPRTRDPTPSFPDHSSSVITTPKSSVTCTSGISQQQQPYQRGTSLKLCQVEGCGKGARGASGRCISHGGGRRCRKAGCLKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFLGCTKGAQGSTMFCKAHGGGKRCTAPGCSKGAEGSTPFCKGHGGGKRCAFQGGGVCTKSVHGGTNFCVAHGGGKRCAAPECTKSARGRTQFCVRHGGGKRCKFEGCGKSAQGSTDYCKAHGGGKRCSWGHSGSEYGNQPTGPCNSFARGKTGLCALHSGLVLDKRVHGGVTLGPMVQDPKISQSEKMKEVVTAEDMTIDIVKMGTSAAASTGRTTTDLKHFGDSSTPISVREAGLSSIPVFVSEGRVRGGSLMAMIAGGFGVGSSSNQVVAGDPSEPKKSYVIHQNWM >Potri.003G134200.6.v4.1 pep chromosome:Pop_tri_v4:3:15229902:15231950:-1 gene:Potri.003G134200.v4.1 transcript:Potri.003G134200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134200.v4.1 MDLDFSLHLGHEKMLSPKKPARSYLKGMEPKVDLELGLSTGPSESDVTSIHPPSSSLEFAFDMPLAMGGASNVNEGSTSCSWKSGITLLPLQISSNKEASFFFNQIPRTRDPTPSFPDHSSSVITTPKSSVTCTSGISQQQQPYQRGTSLKLCQVEGCGKGARGASGRCISHGGGRRCRKAGCLKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFLGCTKGAQGSTMFCKAHGGGKRCTAPGCSKGAEGSTPFCKGHGGGKRCAFQGGGVCTKSVHGGTNFCVAHGGGKRCAAPECTKSARGRTQFCVRHGGGKRCKFEGCGKSAQGSTDYCKAHGGGKRCSWGHSGSEYGNQPTGPCNSFARGKTGLCALHSGLVLDKRVHGGVTLGPMVQDPKISQSEKMKEVVTAEDMTIDIVKMGTSAAASTGRTTTDLKHFGDSSTPISVREAGLSSIPVFVSEGRVRGGSLMAMIAGGFGVGSSSNQVVAGDPSEPKKSYVIHQNWM >Potri.003G134200.7.v4.1 pep chromosome:Pop_tri_v4:3:15229898:15231845:-1 gene:Potri.003G134200.v4.1 transcript:Potri.003G134200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134200.v4.1 MPLAMGGASNVNEGSTSCSWKSGITLLPLQISSNKEASFFFNQIPRTRDPTPSFPDHSSSVITTPKSSVTCTSGISQQQQPYQRGTSLKLCQVEGCGKGARGASGRCISHGGGRRCRKAGCLKGAEGRTVYCKAHGGGRRCEFLGCTKSAEGRTDFCIAHGGGRRCSHEGCTRAARGKSGLCIRHGGGKRCQKENCTKSAEGLSGLCISHGGGRRCQFLGCTKGAQGSTMFCKAHGGGKRCTAPGCSKGAEGSTPFCKGHGGGKRCAFQGGGVCTKSVHGGTNFCVAHGGGKRCAAPECTKSARGRTQFCVRHGGGKRCKFEGCGKSAQGSTDYCKAHGGGKRCSWGHSGSEYGNQPTGPCNSFARGKTGLCALHSGLVLDKRVHGGVTLGPMVQDPKISQSEKMKEVVTAEDMTIDIVKMGTSAAASTGRTTTDLKHFGDSSTPISVREAGLSSIPVFVSEGRVRGGSLMAMIAGGFGVGSSSNQVVAGDPSEPKKSYVIHQNWM >Potri.001G113600.4.v4.1 pep chromosome:Pop_tri_v4:1:9208236:9209937:-1 gene:Potri.001G113600.v4.1 transcript:Potri.001G113600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113600.v4.1 MEDLIESSSVTPSTAPHSRSLPIREDCWSEEATSTLVDAWGRRYLELNRGNLRQKDWQDVADTVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKSRVVSSNGTLTSSWPFFERLDALIGSNFNSSIKKPPSPSLSPSPPVALPLHPSYRRTPPVSSTPPPQPAALAVALPQKRTLPVDDGGYFRRNYSAMAAAAAAQESDSEEEEEEEEEEEFEAGERESVEEDGEGEGIKRLARAVERFGEVYERVESEKLKQMVDLEKQRMKFAKDLEMERMRIFTETQIQLEKIKKGKRAPDNERDY >Potri.001G113600.1.v4.1 pep chromosome:Pop_tri_v4:1:9207833:9210130:-1 gene:Potri.001G113600.v4.1 transcript:Potri.001G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113600.v4.1 MEDLIESSSVTPSTAPHSRSLPIREDCWSEEATSTLVDAWGRRYLELNRGNLRQKDWQDVADTVNALHGHTKKTHRTDVQCKNRIDTIKKKYKIEKSRVVSSNGTLTSSWPFFERLDALIGSNFNSSIKKPPSPSLSPSPPVALPLHPSYRRTPPVSSTPPPQPAALAVALPQKRTLPVDDGGYFRRNYSAMAAAAAAQESDSEEEEEEEEEEEFEAGERESVEEDGEGEGIKRLARAVERFGEVYERVESEKLKQMVDLEKQRMKFAKDLEMERMRIFTETQIQLEKIKKGGEGLFCCKIGVS >Potri.011G015600.3.v4.1 pep chromosome:Pop_tri_v4:11:1429586:1434598:-1 gene:Potri.011G015600.v4.1 transcript:Potri.011G015600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G015600.v4.1 MFLKRNVISDLVVTSFDGDLKCKEVPTSFGFDTACKIYAEMIGNVMVDARSTGKYYHFVRLMGRAASHITLECALQTHPNIAIIGEEVAAKKLTLKNVTDYIVNVICKRSGLGYNYGVILIPEGLIDFIPEVQHLIAELNEVLAHDVVDEGGQWKKKLTNQSLQLFEFLPPAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQEGSYKGHFKGQSHFFGYEGRCGLPTNFDSTYCYALGYGAGALLHIGKTGLISSVGNLGAPVAEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGAPFKKFASLRDEWALKNRYISPGPIQFMGPGSDAISHTLLLELGADA >Potri.018G086900.1.v4.1 pep chromosome:Pop_tri_v4:18:10485358:10489118:-1 gene:Potri.018G086900.v4.1 transcript:Potri.018G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086900.v4.1 MENMPKQDDTPEPTTISFLNCIDLSTPDVQQSVSLLKQACLDCGFFYVTNHGISQEFMEEVFSQSKKFFELPLSEKMKVLRNEKHRGYTPVLDELLDPDNQIHVGDYKEGYYIGVEVPEDDPEADKPFYGPNVWPADGILPGWRQTMEKFHQQALGVARAVARIIALALDLEADFFDKPEMLGHPIAVMRLLHYAGQISDPSKGLYGAGAHSDYGLITLLATDNVYGLQICKDKDAQPQVWEFVAPLKGAFVVNLGDMLERWSNCIFKSTLHRVLGNGQERYSIAYFVEPSHECLVECLPTCKSEKNPPKFPPIKCEAYLSQRYKDTHADLKVYNKH >Potri.018G086900.2.v4.1 pep chromosome:Pop_tri_v4:18:10485310:10489118:-1 gene:Potri.018G086900.v4.1 transcript:Potri.018G086900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086900.v4.1 MENMPKQDDTPEPTTISFLNCIDLSTPDVQQSVSLLKQACLDCGFFYVTNHGISQEFMEEVFSQSKKFFELPLSEKMKVLRNEKHRGYTPVLDELLDPDNQIHGDYKEGYYIGVEVPEDDPEADKPFYGPNVWPADGILPGWRQTMEKFHQQALGVARAVARIIALALDLEADFFDKPEMLGHPIAVMRLLHYAGQISDPSKGLYGAGAHSDYGLITLLATDNVYGLQICKDKDAQPQVWEFVAPLKGAFVVNLGDMLERWSNCIFKSTLHRVLGNGQERYSIAYFVEPSHECLVECLPTCKSEKNPPKFPPIKCEAYLSQRYKDTHADLKVYNKH >Potri.017G031300.1.v4.1 pep chromosome:Pop_tri_v4:17:2108439:2109897:1 gene:Potri.017G031300.v4.1 transcript:Potri.017G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031300.v4.1 MVPHGFRFNPTDEELIQVLDRKASGQEMPLHFILERNVYEREPQDLEWNQTAPLSNGERYYYCTRETNYSREVLGRGWWKATSHVKKIHANNDDQLLVGNKRPLKFHRFKDNERNRNNAVKTNWIMYEYSLESRTTDWRLCKIKHKGKPSVQEEMESMREQYSSRNDFEAGSSTNFVGGQQQQEQTSLPTNYEGYDHHQSYYQWNNMQQSPPSPYDPSLPAPPSTSSGHYYVEQQEKLEPSDEHPFPSLWSWTN >Potri.005G172900.1.v4.1 pep chromosome:Pop_tri_v4:5:17840418:17850430:-1 gene:Potri.005G172900.v4.1 transcript:Potri.005G172900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172900.v4.1 MHPLCCISTVSDHSPANLSMAVTVRSNPDPGSTTVQPQNQNQNHNNTSHFSNHHHHNHSTASYCSSNNGTDCNKNNRMSHQRVSSAREQPLIQVDVKINDIVGNGISGILYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKILVNQETEKGSKIIGEESMRRISRPKNGNSQNRRKPVGEIHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRLAWMEALQAVKDMFPRMSNSELMGPLDSVAVSTEKLRQRLQEEGVREELILDSEQIMRSEFAALQNQIVLLKQKQWLLIDTLRHLETEKVDLENTVVDESRRQLNDQGTTSSSILRQYKFSEASVSESDDDNNERVDGAEEETDDDENAFFDTRDFLSSGSFKSNESDFRPSSFSSDDEGFFALESEDGIDPLIRSVGSNHPYIKRRKKLPDPIEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKQGNSLMRILNVAAFAVSGYASTEGRICKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCEGTGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRIEGNREYSCKLKFKEQSIIDRNPHQVQGMVQDRHGRTVATLFGKWDESMYYMNDDFSGKGKGFESMKEAHMLWRRSKPPRFPTRYNLTRFAMTLNELTHGLKEKLPPTDSRLRPDQRYLENGEFDMANSEKLRLEQRQRQARNMQERGWKPRWFAKDKGSDTYRYVGGYWEARGWGNWDSCPDIFGQIPTDQLFD >Potri.001G075000.1.v4.1 pep chromosome:Pop_tri_v4:1:5649198:5653702:-1 gene:Potri.001G075000.v4.1 transcript:Potri.001G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075000.v4.1 MGVPKQVLLLFVAILVCFSFGFVLCQNQELSVLLEVKKSFEGDPEKVLHDWNESNPNSCTWTGVTCGLNSVDGSVQVVSLNLSDSSLSGSISPSLGSLKYLLHLDLSSNSLTGPIPTTLSNLSSLETLLLFSNQLTGPIPIQLGSITSLLVMRIGDNGLSGPVPASFGNLVNLVTLGLASCSLTGPIPPQLGQLSQVQNLILQQNQLEGLIPAELGNCSSLTVFTVALNNLNGSIPGELGRLQNLQILNLANNSLSGEIPTQLGEMSQLVYLNFMGNHLGGSIPKSLAKMGSLRNLDLSMNMLTGGVPEELGRMAQLVFLVLSNNNLSGVIPTSLCSNNTNLESLILSEIQLSGPIPKELRLCPSLMQLDLSNNSLNGSIPNEIYESVQLTHLYLHNNSLVGSISPLIANLSNLKELALYHNNLLGNLPKEIGMLGNLEVLYLYDNLLSGEIPMEIGNCSNLQMIDFYGNHFSGEIPVTIGRLKGLNLLHLRQNELFGHIPATLGNCHQLTILDLADNGLSGGIPVTFGFLHALEQLMLYNNSLEGNLPDSLTNLRNLTRINLSKNRINGSISALCGSSSFLSFDVTSNAFGNEIPALLGNSPSLERLRLGNNRFTGKIPWTLGQIRELSLLDLSGNLLTGQIPAQLMLCKKLEHVDLNNNLLYGSVPSWLGNLPQLGELKLFSNQFTGSLPRELFNCSKLLVLSLDANFLNGTLPVEVGNLESLNVLNLNQNQLSGSIPLSLGKLSKLYELRLSNNSFSGEIPSELGQLQNLQSILDLSYNNLGGQIPPSIGTLSKLEALDLSHNCLVGAVPPEVGSLSSLGKLNLSFNNLQGKLDKQFSHWPPEAFEGNLQLCGNPLNRCSILSDQQSGLSELLVVVISATTSLAAIALLALGLALFFKRRREFLKRVSEGNCICSSSSSQAQRKTPFLRGTAKRDYRWDDLMEATNNLSDEFIIGSGGSGTIYRAEFQSGETVAVKKILWKDEFLLNKSFAREVKTLGRIRHRNLVKLIGYCSNKGAGCNLLIYEYMENGSLWDWLHQQPVNSKQRQSLDWEARLKIGVGLAQGVEYLHHDCVPKIMHRDIKSSNVLLDSNMEAHLGDFGLAKALEENYDSNTESHSWFAGSYGYIAPEHAYSFKATEKSDVYSMGIVLMELVSGKTPTDATFGVDMDMVRWVEKHTEMQGESARELIDPALKPLVPYEEYAAYQMLEIALQCTKTTPQERPSSRHACDQLLHLYKNRMVDFDMNIDPNA >Potri.010G236100.4.v4.1 pep chromosome:Pop_tri_v4:10:21640741:21645290:1 gene:Potri.010G236100.v4.1 transcript:Potri.010G236100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236100.v4.1 MATTEAILQVLSGAGPCVFSSDPCFRSSDLTFSSKLHIKRVKKRASRCMKMFECSNVLQNGIGNHWFKGLGDRDRSVNATINRLQLLRCKGPQAERVSGVTEGGNGTWFVDGANTLNQNGAVTGEHTDCFGAWDAQQLTREKEGFASKAALNQEKESLATNGAVGTGRDASPKVSVDPIEEEAWELLRNSMVYYCGSPIGTIAANDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVRLDGDDDFATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNIWSIVSGLATRDQSNAILDFIEAKWSDLIADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTAACIKMNRPELAARAVEIAEKRISRDKWPEYYDTKKARFIGKQAHLFQTWSIAGYLVAKLLLADPSAARMLVMDEDPELVSAFSCMISTHPRRNRGQKNSKKTFMV >Potri.010G236100.1.v4.1 pep chromosome:Pop_tri_v4:10:21640745:21645275:1 gene:Potri.010G236100.v4.1 transcript:Potri.010G236100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236100.v4.1 MATTEAILQVLSGAGPCVFSSDPCFRSSDLTFSSKLHIKRVKKRASRCMKMFECSNVLQNGIGNHWFKGLGDRDRSVNATINRLQLLRCKGPQAERVSGVTEGGNGTWFVDGANTLNQNGAVTGEHTDCFGAWDAQQLTREKEGFASKAALNQEKESLATNGAVGTGRDASPKVSVDPIEEEAWELLRNSMVYYCGSPIGTIAANDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVRLDGDDDFATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNIWSIVSGLATRDQSNAILDFIEAKWSDLIADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTAACIKMNRPELAARAVEIAEKRISRDKWPEYYDTKKARFIGKQAHLFQTWSIAGYLVAKLLLADPSAARMLVMDEDPELVSAFSCMISTHPRRNRGQKNSKKTFMV >Potri.010G236100.3.v4.1 pep chromosome:Pop_tri_v4:10:21640743:21645513:1 gene:Potri.010G236100.v4.1 transcript:Potri.010G236100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G236100.v4.1 MATTEAILQVLSGAGPCVFSSDPCFRSSDLTFSSKLHIKRVKKRASRCMKMFECSNVLQNGIGNHWFKGLGDRDRSVNATINRLQLLRCKGPQAERVSGVTEGGNGTWFVDGANTLNQNGAVTGEHTDCFGAWDAQQLTREKEGFASKAALNQEKESLATNGAVGTGRDASPKVSVDPIEEEAWELLRNSMVYYCGSPIGTIAANDPTSSSVLNYDQVFIRDFIPSGIAFLLKGEYDIVRNFLLHTLQLQSWEKTMDCHSPGQGLMPASFKVRTVRLDGDDDFATEEVLDPDFGEAAIGRVAPVDSGLWWIILLRAYGKCSGDLSLQERIDVQTGIKMILRLCLADGFDMFPTLLVTDGSCMIDRRMGIHGHPLEIQALFYSALLCAREMLAPEDGSADLIRALNNRLVALSFHIREYYWIDLRKLNEIYRYKTEEYSYDAVNKFNIYPDQISPWLVEWMPNQGGYLIGNLQPAHMDFRFFSLGNIWSIVSGLATRDQSNAILDFIEAKWSDLIADMPLKICYPALEGQEWQIITGSDPKNTPWSYHNAGSWPTLLWQLTAACIKMNRPELAARAVEIAEKRISRDKWPEYYDTKKARFIGKQAHLFQTWSIAGYLVAKLLLADPSAARMLVMDEDPELVSAFSCMISTHPRRNRGQKNSKKTFMV >Potri.008G065000.1.v4.1 pep chromosome:Pop_tri_v4:8:3932740:3934608:1 gene:Potri.008G065000.v4.1 transcript:Potri.008G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065000.v4.1 MPSATSVTIVSQCNVFPDQKSTLEDLKLSVSDLPMLSCHYIQKGGLFTRPPTLSIDSLISHLKQSLSQTLSHFPPLAGRFNTDSNGYIYITCNDAGVDFIHATATSISIQDILSPLHVPDCVRGLFAFESTVSYQGHYKPIVAVQVTELADGVFIGCSMNHSVTDGTSFWTFFNTFSEVSRGIKKISRQPDFSRNSILISEAVLKVPQGGPQVTFNENEPLCERIFSFSREAILKLKSKVNNKKWTQKSDNTNVDAVELMGKQSNDTLLQIHNKGKMTGILESWFKNAVSKPQEMESNLSMVEISSFQSLCALLWRAVTRARKLNPSKRTTFRMAVNCRHRLNPKLDPLYFGNAIQSVPTYASAGDVLSRDLRWCAEQLNKSVAAHNDEMVRRFVEDWESNPRCFPLGNLDGASMTMGSSPRFPMYDNDFGWGRPLAVRSGKANKFDGKISAFPGREGNGTVDLEVVLAPEAMAGIESDHEFMQFVSR >Potri.001G117900.1.v4.1 pep chromosome:Pop_tri_v4:1:9579990:9580922:1 gene:Potri.001G117900.v4.1 transcript:Potri.001G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117900.v4.1 MGKDLSDDQVSSMKEAFTLFDTDGDGKIAPSELGILMRSLGGNPTQAQLKSIISQENLTAPFDFPRFLDLMAKHMKAEPFDRQLRDAFKVLDKDNTGFVAVADLRHILTSIGEKLELAEFDEWIREVDVGSDGRIRYEDFIARMVTK >Potri.008G081500.1.v4.1 pep chromosome:Pop_tri_v4:8:5107566:5110926:1 gene:Potri.008G081500.v4.1 transcript:Potri.008G081500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G081500.v4.1 MAPMSLPPGFRFHPTDEELVAYYLDRKINGHTIELEIIPEVDLYKCEPWDLPDKSFLPSKDMEWYFYSPRDKKYPNGSRTNRATRAGYWKATGKDRPVQSQKRPAGMKKTLVYYRGRAPHGIRTNWVMHEYRLIDSFCGNASSILKDSYALCRVFRKTVQTPKTKEEKNVGDEERDIAAWVSEEQLLGDNKCRIEISKGREAEGENFNNDYCKFPSETSSSDVTQGTPIETATADDLLAPIASDEANSSANIYSVGVDFSSNLIQDMQMPVYSSLLYQFPYPSLELEDFPQINIAECVKPSKPEISDEYMMYKDCMDGTLEQLCSSQDNSNTVLPHARLI >Potri.010G115700.2.v4.1 pep chromosome:Pop_tri_v4:10:13497949:13505839:-1 gene:Potri.010G115700.v4.1 transcript:Potri.010G115700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115700.v4.1 MSMSEACVILFAIMLLLISSKEAASFQSGSRSILRAVGGENKAVVDYAVDLNSTNFDSVLRDTPATHAIVEFFANWCPACRNYKPHYEKVARLFNGPDAVHPGIVLMTRVDCALKINNKLCDKFSVSHYPMLFWGPPSKFASHGVEPKEEKSEIRMIDDGRTAERLVSWINKHLGSSYGLDDEKFENEHLHSNTSDPGQIARAVYDVEEATAFAIEIILEHKMIKQHTRVSLIKFLQLLAVHHPSKRCRKGSAEVLVNFDDLCPPDMWSPDKQEVVSNGKGMLGNFQICGKEVPRGYWMFCRGSKNDTRGFSCGFWVLLHSLSVRIEDGESQFAFRAVCDFIHNFFICEDCRQHFYQMCSSVTVPFNTSRDFALWLWSTHNKVNKRLMKKEASLGTGDPKFPKVIWPPKQLCSLCYLSHNRRENGTSQIDWDMNEVYKFLTGYYGKTLTSLYKEKGHLGDEVTDGAIVDLVASTNAVVVPVGAALAIALASCAFGALACCWRSQQKTRKPRRSWN >Potri.001G287400.5.v4.1 pep chromosome:Pop_tri_v4:1:29983635:29987288:-1 gene:Potri.001G287400.v4.1 transcript:Potri.001G287400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287400.v4.1 MESIREASQRIARISAHLQPPNSQTEESCVLKRADCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPSVSVLHLYDVVNTPGVTADIGHMDTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVITLCEGIAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTMLDVVRANTFVAEVLGLHPKEVDVPVVGGHAGVTILPLLSQVKPPSSFTPEETEYLTKRIQDGGTEVVQAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFVASEVTELPFFATKVRLGRRGAEEVYQLGPLNEYERVGLGRAKKELAESIQKGVSFIRK >Potri.001G287400.6.v4.1 pep chromosome:Pop_tri_v4:1:29983634:29987181:-1 gene:Potri.001G287400.v4.1 transcript:Potri.001G287400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287400.v4.1 MESIREASQRIARISAHLQPPNSQTEESCVLKRADCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPSVSVLHLYDVVNTPGVTADIGHMDTGAVVRGFLGQPQLENALTGMDLVIIPAGVPRKPGMTRDDLFNINAGIVITLCEGIAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTMLDVVRANTFVAEVLGLHPKEVDVPVVGGHAGVTILPLLSQVKPPSSFTPEETEYLTKRIQDGGTEVVQAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFVASEVTELPFFATKVRLGRRGAEEVYQLGPLNEYERVGLGRAKKELAESIQKGVSFIRK >Potri.019G104000.1.v4.1 pep chromosome:Pop_tri_v4:19:13958991:13964205:1 gene:Potri.019G104000.v4.1 transcript:Potri.019G104000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104000.v4.1 MSRYDSNPFEEEEVNPFADQGGKGKGSGQSNYGGGAFYMPNPGSVPPATSRLSPLPHEPYDRGATIDIPLDSGKELKAKEKELQAKEAELKRREQELKRKEDAIARAGIVIEDKNWPPFFPIIHHDIGNEIPIHLQKIQYVAFTTFLGLFVCLSWNIVAVTTAWIKGEGPTIWFLAIIYFISGVPGGYVMWYRPLYRAMRTDSALKFGWFLLAYLLHIGFCIFAAVAPPIVFKGKSLAGILPAIDLMGSHALVGIFYFIGFGFFCVESLLSVWVIQQVYMYFRGSGKAAEMKREAATRTMMAAL >Potri.001G374000.1.v4.1 pep chromosome:Pop_tri_v4:1:39217265:39220116:-1 gene:Potri.001G374000.v4.1 transcript:Potri.001G374000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374000.v4.1 MSAYRADEDYDYLFKLVLIGDSGVGKSNLLSRFTRNEFNLESKSTIGVEFATRSIRVDDKIVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDVTRHVTFENVERWLKELRDHTDANIVIMFVGNKADLRHLRAVSTEDAKAFAERENTYFMETSALESLNVENAFTEVLTQIYHVVSRKALDIGDDPAALPKGETINVKDDVSAVKKVGCCSV >Potri.016G134800.2.v4.1 pep chromosome:Pop_tri_v4:16:13906213:13910503:1 gene:Potri.016G134800.v4.1 transcript:Potri.016G134800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G134800.v4.1 MCPGSGGSTDTESRGVIICDDLISKNGGGGDYKNEDTMRVRGEVKFVHGLLRRFSVNGNVESLPLQEVGEEVVSLAKIACPIIMTTLLIFSRSIISMLFLGHLGKNELAGGSLALGFANITGLSVLKGLAMGMDPICGQAYGAKRWSVLGQTYQKTLCLLILVCIPISLLWLNVEPVFIRLGQDPDITHVAKIYLSSCIPELIGQAVLHPMRSFLRTQGLTAPLTVAAVVALILHAPINYFLVIYLKMGVKGVGLALSCNTLNLNLGLLIYVTVSKTPLKPWHGVTALSIFHSWWPLLSLALPSVISVCLEWWWYEIMLFLCGLLRNPKASVAATGILIQTAGIIYSFPFSLSIGVSTRVGHALGAGQPSRAQWTTIIGICLAFTFGLSASVITAALRSVWGKLYTDEPQILDLISTGLPLLGLCELANSPQTAACGVLTGTARPKDGARINLYSFYLVGLPAAILLTFKCKIGFPGLWFGLLAAQICCLCMMLYTVVQTDWRHQAKRAEELTAAMEDNDDDDDDEMGDDLETGLLATVN >Potri.002G196300.1.v4.1 pep chromosome:Pop_tri_v4:2:15904953:15916384:1 gene:Potri.002G196300.v4.1 transcript:Potri.002G196300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196300.v4.1 MGEDDMPMDDKAKRMRDLLSSFYSPDPSVTNANNPFKFASLDVINTTSFDADQYMNLLVQRSNLEGLLQKHVEMAAEIKNLDTDLQMLVYENYNKFISATDTIKRMKTNIVGMETNMEQLLDKIMTVQSRSDGVNTSLFEKREHIEKLHRTRNLLRKVQFIYDLPARLGKCIKSEAYADAVRFYIGAMPIFKAYGDSSFQDCKRASEEAMDTIIKNLQGKLFSDSESIQARAEAAVLLKQLDFPVDSLKAKLFEKLEQSLDGLQLTHEEIANVTVESSNLSEQGNNTESIPGSAHGALVSEFAEAVRAYQVIFPDSEKQLIKLSQDLITKHFEITSDFIKKWIPIANFLGVLRIIWKDVLLIDKVLHEALLPDYSSKASRIAVRQYIKRTFSHLLHDISDALTNVHIKPKEEVDEHPLVVFLEAGKSSVLQGSVNVLLDFRQLLKENLGGLQLSGLIVDWVQEGFQDFFRALHDQFFLLSGKNKSAIQDENSTKGMQVEKVVPGLVLVLAQLSIFIEQTAISRITEEIAAYFSGGGGGAHENGPAFVPGEICRTFHSAGEILLQHYINMRTQKITVLLRKRFTAPNWVKHKEPREVHMFVDLFLQELEAIGTEAKQILPHGVLRKHRRSESNGSSASSRSNSLRDDKMSRSNTHRARSQLLEKHLAKLFKQKVEIFTKTEYTQESVVTTVVKLCLKSLQEYVRLQTFNRSGFQQIQLDVQFLRASLKEIVEDEAAVDFLLDEVIVGASERCLDPIPLEPPILDKLIQAKLAKEKEQTPISP >Potri.008G057100.1.v4.1 pep chromosome:Pop_tri_v4:8:3352504:3354454:-1 gene:Potri.008G057100.v4.1 transcript:Potri.008G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057100.v4.1 MTDRATISFISLVLLLSLVEARIPGVYTGAAWQSAHATFYGGNDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCANEPQWCHSGSPSIFITATNFCPPNYALPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSYRRVPCRKPGGIRFTINGHRYFNLVLITNVAGAGDIVKVSVKGSRTGWMSMSRNWGQNWQSNSVLVGQSLSFRVTGSDRRTSTSWNVVPSNWQFGQTFAGKNFRV >Potri.006G262001.1.v4.1 pep chromosome:Pop_tri_v4:6:25805500:25807508:1 gene:Potri.006G262001.v4.1 transcript:Potri.006G262001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G262001.v4.1 MKPAPSPAYYLPIPAAPSYSVPSPSAVPTYPGVPASSPPLPTPAASPVSYPPVPAPPEPYPSPPDHKGIKGAYWPSFDGFEASSIDTSYFTHIFYAFLLPDPVTFKLNVTPFDQQKIPGFIQNLRTRNPPVKTLLSMGGGSDAIALIFANLSGAQETRKVFIDSTIEVARTYGFDGLDLDWEYPANDQEMINLALLVKEWHEALVHEASASGKPRLLLTAAVYYSSQFTTYGLPRSYPADSINKYVDWINPMCYDYHGTWENFTGPNAALYDPKSNVSTSSGIGSWIQAGVSPKKLVMGLPLYGRTWKLLDPNVNGIGARAVGKGPEDGILDYYQVLEFNKENNAIVNFDGQTVSYYSYAGGFWVGYDDSITIDWKVQFARSRGLGGYFFWALGQDKDWIISKQASNSWDH >Potri.006G016300.4.v4.1 pep chromosome:Pop_tri_v4:6:1039493:1040047:-1 gene:Potri.006G016300.v4.1 transcript:Potri.006G016300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016300.v4.1 MNTDITASAKPEYPVIDRNPEFTKVVGNFNTLDYCRFITLTGVSVTVGYLSGIKPGIKGPSMVTGGLIGLMGGFMYAYQNSAGRLMGFFPNEGEVARYQKRGFSS >Potri.002G216100.1.v4.1 pep chromosome:Pop_tri_v4:2:20266695:20271542:1 gene:Potri.002G216100.v4.1 transcript:Potri.002G216100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216100.v4.1 MWRNTCKLLSNPNYNHNHLKSSNYSSSNFNVVFGWAPSLVTHYRSSPSPSTSGSLKLGISSHKSDGIRSFSSSSAAGPAVVDHLSPSLASAVQLGRHYTRCYWELSKARLSMLVVATSGTGYVLGSAGSAVDLAGLCWTCAGTMMVASSANSLNQVFEKNNDAKMKRTRLRPLPSRRMTIPHALTWASSVGVAGTAILACKANMLAAGLGVSNLILYAFVYTPLKQIHPVNTWVGAVVGAIPPLLGWAAASGEVSLNSMILPAALYFWQIPHFMALAYLCRSDYAAGGFKMFSLADASGRRTAAVALRNCLYLVPLGFLACDWGVTSSWFCLESSLITLAISALAFSFYLNRTTQNARRMFHASLLYLPVFMSGLLFHRVSDNQQCLSEDSLGRITELEPVVQGRENLKHGNQETHSAIGKQARAPVAYASAAPFPFLPAPSYTTS >Potri.011G102100.1.v4.1 pep chromosome:Pop_tri_v4:11:12978352:12988354:-1 gene:Potri.011G102100.v4.1 transcript:Potri.011G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G102100.v4.1 MGSRNNNNVINRKDYIFLKDFRMEIEVENEKDLSLSFWVYLIDSSTTTFPATIIKQVYPDITSCAPFLVLNEKIITLFPLLHAHKKTTNSSNSTSMEIKCPLENWIHVGFEVLTDIFRLHINGEIVSEQPQSSSLDKNWNSNGLRKIALVGSCADDGLQGYVYHAEVLPLSLSIKDHYVKDPPPWLSIDLSSTSEIDEDNDGIWNIVGGKASCRRIFSLDVVLLNAMSQAINKELEIVASLVYADNGLPVEKTSDDEDPLLTSCDGIEFFNYDRQGKLLHGRASLKLKISQLSSKCDNRLFRIKLEIPKFSGYHFLEAFSHPIRCISRSRNPRTSLTWKRPTSVAEPFNKSQSFGLYNGSIELQHNSIHEIRPSPSSKRIKLGQEKTSTMEKPDVECYSDAWTTNQVENAPRIPLDRGAENVEEADNSPSVSDSIEERLSDFNITSSSSYLISDVTIFKYCLGGLTDRALLLKEVATSASEEELFRLANEVSLYSGCSHHRRQIVIAKRLIEEGTKVWNSISQNNRLIHWENVIFEIEEQFMRITCSSTRSLTEKDFELLRRIAGCREYMAQENFEKIWRWLYPVAFTLASDPINTIWNSTSPKWIEGFITKEEAELSLQGPRGLQEPGTFVLRFPTSRSWPHPDAGSLIVTYVGSDYTVHHRLLSLDYIYSCEEREMNLKSLEHMLLAEPELSRLGRIVRQSQLT >Potri.002G135700.2.v4.1 pep chromosome:Pop_tri_v4:2:10219826:10222279:-1 gene:Potri.002G135700.v4.1 transcript:Potri.002G135700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G135700.v4.1 MKLTTLVPTTTKRSSLSRLKLEALNLVQVCWVLLLVAPQADRVVIRLEDLPEKSSGGVLLPKSAVKFERYLMGEVLSVGAEAGEVEAGKRVLFSDINAYEVDLGTDAKHCFCKAGDLLAVVE >Potri.012G033700.1.v4.1 pep chromosome:Pop_tri_v4:12:3041036:3043837:-1 gene:Potri.012G033700.v4.1 transcript:Potri.012G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033700.v4.1 MPTISSILTTTNILLSSHPSTSTHPSKVSYFPSLHPPLFSKSLSCPYPLCKFLRNPKRIISLKSSEAEEISSTEDEWLQRLPDKKKPLYSHSLPCIEAWLKDLGFYQSKEDRAIWFIEKPDWHAQLSLDVTDLFIRYLKNGPGNLEKDMERRFSYALSREDIENAILGGP >Potri.014G028200.2.v4.1 pep chromosome:Pop_tri_v4:14:1767760:1771532:-1 gene:Potri.014G028200.v4.1 transcript:Potri.014G028200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028200.v4.1 MGTNFNFKSFTNDPSDAGGGRPPGNFPLASQSSIYSLTLEELQNTMGGSLGKDFGSMNMDELLKSIWSAEETQTVATATSAGVQDGVGLQRQGSLTLPRTLSLKTVDEVWKDMSKEYAINGTSAGVANNVPQRQPTLGEITLEEFLVRAGVVREDIPVAAKINTNGGFFGDLSRLANNSSAIGFQQNRGVGLNNNNTNQISLQSSNLPLNGNGFRSNQAQVQQQQQQQQIFPKQPNMGYVTQMPPQSSPGIRGGILGIGDQGINSNLMQGGGMGVVGLGVATGSPANQLSSDGIGKSNGDTSSVSPVPYVFSGSGRGRRAGGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKAENEELQKKQAEMMEMQKNQVMEMMTLQQGGKRRCLRRTQTGPW >Potri.014G028200.7.v4.1 pep chromosome:Pop_tri_v4:14:1767757:1770938:-1 gene:Potri.014G028200.v4.1 transcript:Potri.014G028200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028200.v4.1 MGGSLGKDFGSMNMDELLKSIWSAEETQTVATATSAGVQDGVGLQRQGSLTLPRTLSLKTVDEVWKDMSKEYAINGTSAGVANNVPQRQPTLGEITLEEFLVRAGVVREDIPVAAKINTNGGFFGDLSRLANNSSAIGFQQNRGVGLNNNNTNQISLQSSNLPLNGNGFRSNQAQVQQQQQQQQIFPKQPNMGYVTQMPPQSSPGIRGGILGIGDQGINSNLMQGGGMGVVGLGVATGSPANQLSSDGIGKSNGDTSSVSPVPYVFSGSGRGRRAGGAVEKVVERRQRRMIKNRESAARSRARKQAYTMELEAEVAKLKAENEELQKKQAEMMEMQKNQVMEMMTLQQGGKRRCLRRTQTGPW >Potri.001G472101.1.v4.1 pep chromosome:Pop_tri_v4:1:49499129:49499517:1 gene:Potri.001G472101.v4.1 transcript:Potri.001G472101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472101.v4.1 MFKNLADPAQTLDSLFFYTACYERETGRAVLRSCSEGGPLFFFCISRTCSKRLRTKLECSTSTTVHM >Potri.013G064600.1.v4.1 pep chromosome:Pop_tri_v4:13:4787443:4789562:-1 gene:Potri.013G064600.v4.1 transcript:Potri.013G064600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064600.v4.1 MPQCQQQEPAAAAANKSNKKDPPLIQCADLILPWLTPLELANISSTCKTLSQISKSITLQRTLDASRFLENHLIPFLNPNNQHPYAYFLYTPSQLLPSQSPLRQPWGSQLDRDSLGRHHSGSACKLNESWEVLRGCDSELATPRRVMGESGKGVCGCDCEGCEEGGTGWEFWGLEEMGIMTECGPGCGCGLECSNRLTQRGVLVKLKIVRDGKKAWGLFAGQMICQGQFICEYAGELLTTEEARRRQQIYDELASSGQFSSALLVVREHLPSGKACLRINIDATRTGNVARFINHSCDGGNLTTVLVRHTGSLLPRLCFFASRNIKEGEELTFSYGEIRVRSKGLQCFCGSSCCFGTLPSEHT >Potri.003G050900.3.v4.1 pep chromosome:Pop_tri_v4:3:7439174:7440820:-1 gene:Potri.003G050900.v4.1 transcript:Potri.003G050900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G050900.v4.1 MARIAFGRFNDSFSLGSLKAYLAEFISTLLFVFAGVGSAMAYNKLTGDAALDPAGLVAIAVCHGFALFVAVAVGANISGGHVNPAVTLGLALGGQMTILTGIFYWIAQLLGSIVACYLLKVVTGGLAVPIHSVAAGVGAIEGVVMEIIITFALVYTVYATAADPKKGSLGTIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVASGDFHDNWIYWVGPLIGGGLAGLIYGNLYITDHSPSSYEF >Potri.014G153800.12.v4.1 pep chromosome:Pop_tri_v4:14:10825151:10826969:1 gene:Potri.014G153800.v4.1 transcript:Potri.014G153800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153800.v4.1 MAKSSFKMEHPLERRQAEAARIREKYPDRIPVIVERAEKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMSYSGENTFGVY >Potri.016G021100.1.v4.1 pep chromosome:Pop_tri_v4:16:1135014:1137598:-1 gene:Potri.016G021100.v4.1 transcript:Potri.016G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021100.v4.1 MVYSVLADHKPHAVCLPSPFQSHIKSMLKLAKLLHHKGFHITFVNTEFNHKRLLKSRGPDSLNGLPDFRFESIPDGLPPSDENVIPDISVAVAAASKNLLDPFNEVLDKLNDTAASDSPPVTCILSDGFMPVAITSAEMHQIPIALLFTISACSFMGFKQYKALKERGLTPLKDESFLTNGFLEKVVDWIPGMKDIRIRDLPSFVRTTDATDFMFNFCLGCAERAPSASAVIFHTFDALEQEVLTALYPIFPRVYTIGPLQLLLNQIQEDDLNSIDCNLWKEEVECLQWLDSKKPNSVIYVNFGSIAVATKEQLVELGMGLSKSGHPFLWIIRPDMITGDSAISPPEFTEETKERGFICSWCPQEEVLNHPSVGGFLTHCGWTSIIESISSGVPMLCWPFAGDQQTNCRYTCTEWGIGMEIDSNVKRDNVEKLVRELMEGERGKKMKEKSTEWKKLAEEASGPRGSSTMNLDMLVKEVLLSRNQTYDV >Potri.006G070900.1.v4.1 pep chromosome:Pop_tri_v4:6:5180133:5181686:1 gene:Potri.006G070900.v4.1 transcript:Potri.006G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070900.v4.1 MKMLKRKEVDEVSDDFSDFSLSAPARKIRRLVLGADLPPIIEEEEGSTVPVGFVEEEEEGTGSSFISKRNKGVQIEELIMPPPSSSSISDNEERAIVLFKPVNNLHMLHHSPNDFSVSLDSNIISGFKNQFLWSSQSGQVKPPEEEEEAGARRDYSMAVVPWVPSQAQHAFAMMDNNASAPQTEGVVELMDFEEMGEATMDIEEDNDTNNYSESVELGQAQGNQAFGFGGIRAGNDGFPQWSQQHCMMPQIAQNANPTPITWFQ >Potri.001G203400.1.v4.1 pep chromosome:Pop_tri_v4:1:20493711:20495087:1 gene:Potri.001G203400.v4.1 transcript:Potri.001G203400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G203400.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAVSGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGIGGGNGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGGGKGGKGSGGGGGGGGGGGGGSGSGSGSGYGSGSGYGEGYGGGKGN >Potri.003G111700.4.v4.1 pep chromosome:Pop_tri_v4:3:13408244:13410607:-1 gene:Potri.003G111700.v4.1 transcript:Potri.003G111700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111700.v4.1 MAEPPPTLLQKVLTVDTKFSHYLHSKFHTRLPIFFLLILEISADFRFSFPISLSLLFALPLPSLLPFFSSLLLGLLLDIATVGLVKILLRRPRPPYNHLSSMSTPVSADHFSFPSGHSSRVSFVAALVFLYKEVMEVAAVEVREKGGVFGSWVGEDGKLVDVVVVGVCVWAVVTAVSRVLLGRHYFFDVFAGFCLGVIEGIFAFRVLRFEDILSTFRHQIWSR >Potri.006G279850.1.v4.1 pep chromosome:Pop_tri_v4:6:26958271:26959993:-1 gene:Potri.006G279850.v4.1 transcript:Potri.006G279850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279850.v4.1 MIDKLDKVNIFHLRFGAFRSIVNRGDIIITNVFDDNMAAEFPRPHTEPDLHAIKVALADLAASSSSKDVSLLDPTAHAPIASSRVDATFLQLSPVQPVVINHFSV >Potri.010G252800.11.v4.1 pep chromosome:Pop_tri_v4:10:22464119:22467581:1 gene:Potri.010G252800.v4.1 transcript:Potri.010G252800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252800.v4.1 MYVQSTPSLLSLSLPGTLSITKILQDNFLPITENTGNKTILMARSLSVSVTKTYYLTSSPSPTRCSSRLLTLRAQSNVPNRNNQSESDDSSSSSSTDPLLRKLEDAIHGIIVRRAAPDWLPFLPGSSYWVPPPRSASGSLGIAHLVEKLANPLSDEESLSTSTVRGWPSSDYFVKGASSQLVEVKLNSKKVEADTTTSNTSQSEDEEG >Potri.010G252800.12.v4.1 pep chromosome:Pop_tri_v4:10:22464242:22465408:1 gene:Potri.010G252800.v4.1 transcript:Potri.010G252800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252800.v4.1 MARSLSVSVTKTYYLTSSPSPTRCSSRLLTLRAQSNVPNRNNQSESDDSSSSSSTDPLLRKLEDAIHGIIVRRAAPDWLPFLPGSSYWVPPPRSASGSLGIAHLVEKLANPLSDEESLSTSTVRGWPSSDYFVKGASSQLVEVKLNSKKVEADTTTSNTSQSEDEEG >Potri.005G158800.2.v4.1 pep chromosome:Pop_tri_v4:5:15318903:15320225:1 gene:Potri.005G158800.v4.1 transcript:Potri.005G158800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158800.v4.1 MEFDEHEDQEEEMTGMAVMPPGYDSISNSATARSKMGPTGGGGEGASTTAANTNTRKSSIRYRECQKNHAVGIGGHALDGCGEFMAAGEEGTLDALKCAACNCHRNFHRKETDGGGGGEVILYHGHHHQQQPQFPPYYRAPPPAGYLHHLTPTPQPRPLALPAASGGGYSREEEDVSNPSSSGGGGGGGGSSSKKRFRTKFSQEQKEKMVAFAERLGWRIQKHDEAAVEQFCAENGVKRHVLKVWMHNNKHTIGKKP >Potri.005G158800.1.v4.1 pep chromosome:Pop_tri_v4:5:15318907:15320247:1 gene:Potri.005G158800.v4.1 transcript:Potri.005G158800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G158800.v4.1 MEFDEHEDQEEEMTGMAVMPPGYDSISNSATARSKMGPTGGGGEGASTTAANTNTRKSSIRYRECQKNHAVGIGGHALDGCGEFMAAGEEGTLDALKCAACNCHRNFHRKETDGGGGGEVILYHGHHHQQQPQFPPYYRAPPPAGYLHHLTPTPQPRPLALPAASGGGYSREEEDVSNPSSSGGGGGGGGSSSKKRFRTKFSQEQKEKMVAFAERLGWRIQKHDEAAVEQFCAENGVKRHVLKVWMHNNKHTIEIGD >Potri.014G075200.2.v4.1 pep chromosome:Pop_tri_v4:14:4851144:4857476:-1 gene:Potri.014G075200.v4.1 transcript:Potri.014G075200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G075200.v4.1 MSFGGFLENTSPGGGGARIVADIPYNNNNMPTGAIVQPRLVSPSITKSMFNSPGLSLALQQPNIDGQGDITRMSENFETSVGRRSREEEHESRSGSDNMDGASGDDQDAADNPPRKKRYHRHTPQQIQELEALFKECPHPDEKQRLELSRRLCLETRQVKFWFQNRRTQMKTQLERHENSLLRQENDKLRAENMSIRDAMRNPMCSNCGGPAIIGDISLEEQHLRIENARLKDELDRVCALAGKFLGRPISSLASSLGPPMPNSSLELGVGSNGFAGLSTVATTLPLGPDFVGGISGALPVLTQTRPATTGVTGIGRSLERSMFLELALAAMDELVKMAQTDEPLWIRSFDGGREILNHEEYLRTITPCIGMKPSGFVSEASRETGMVIINSLALVETLMDSNRWAEMFPCVIARTSTTDVIANGMGGTRNGSLQLMHAELQVLSPLVPVREVNFLRFCKQHAEGVWAVVDVSVDTIRETSGASPTFVNCRRLPSGCVVQDMPNGYSKVTWIEHAEYDESQTHQLYRPLISSGMGFGAQRWIATLQRQSECLAILMSSNVPSRDHTAITASGRRSMLKLAQRMTANFCAGVCASTVHKWNKLNAGNVDEDVRVMTRKSVDDPGEPPGIVLSAATSVWLPVSPQRLFDFLRDERLRSEWDILSNGGPMQEMAHIAKGQDHGNCVSLLRASAMNANQSSMLILQETCIDAAGSLVVYAPVDIPAMHVVMNGGDSAYVALLPSGFAIVPDGPGSRGPPTTNGGPTANNNSNGGGPERVSGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALQCES >Potri.004G173200.3.v4.1 pep chromosome:Pop_tri_v4:4:18842019:18845228:1 gene:Potri.004G173200.v4.1 transcript:Potri.004G173200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173200.v4.1 MGYYSCCFPTLISSFVLLLLVSYKGCVLAATFTFVNKCEHTVWPGILANAGSPRLDSTGFELQQDSSRSFIAPTGWSGRFWARTGCNFDESGAGLCSTGDCGSGQVECNGFGAAPPATLAEFTLGSGGQDFYDVSLVDGYNLPMVVEGSGGSGMCASTGCISDLNIQCPQELRVGEGDACKSACEAFGSPEYCCSGAFNTPATCKPSVYSEMFKAACPKSYSYAYDDATSTFTCSGADYTVTFCPSSPR >Potri.004G173200.1.v4.1 pep chromosome:Pop_tri_v4:4:18842060:18845169:1 gene:Potri.004G173200.v4.1 transcript:Potri.004G173200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173200.v4.1 MGYYSCCFPTLISSFVLLLLVSYKGCVLAATFTFVNKCEHTVWPGILANAGSPRLDSTGFELQQDSSRSFIAPTGWSGRFWARTGCNFDESGAGLCSTGDCGSGQVECNGFGAAPPATLAEFTLGSGGQDFYDVSLVDGYNLPMVVEGSGGSGMCASTGCISDLNIQCPQELRVGEGDACKSACEAFGSPEYCCSGAFNTPATCKPSVYSEMFKAACPKSYSYAYDDATSTFTCSGADYTVTFCPSSPSQKSSSYSTPTTEGTTTSQGSGTGSGLEYTGSGSGIDSGAGSGTGSGGETMLADGSWLAGLAMGDSYKTASPSALQSAIMAFTTLVLIFALLHS >Potri.003G191500.1.v4.1 pep chromosome:Pop_tri_v4:3:19479478:19481507:1 gene:Potri.003G191500.v4.1 transcript:Potri.003G191500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191500.v4.1 MKKFTTSKMSDMVSNEFSGMISTIRDQTSWNRKGFQFKVQRNGYCSIIPFACLIVFSILVIVLIVQQRSTTFKVNGGDAKINALAGGAAQTETSGVVDSGNVSSQQLLDELFAPGHDKGSCLSRYEAILFRKPSSHKPSPYLLSKLRNYESLHNLCGPYTEAYNKTLEQLNSGRKASTTDCNYVVYTPLSGLGNRMLTIASAFLYALLTNRVLLVEFGSEIAGLFCEPFQQTTWLLPRDFPLRNQFDNFSQEYPHTYGNMLKSRIINTAVKSSPPPFLYIYLAYNIDRYDGLFYCDQNQDQLGKVPWLVIKSNQYFVPSLFLVPSFKQELSKLFPDEETVFHHLGRYLFQPSNEAWGLITRFYRAYLSKADETIGIQVRVFDTKATPFKTVMDQILSCTLKEKLLPEVLDVQNSAASLSNNQGLKAVLVTSLYSEYYEHIKGMYWTKPTVSGEVIGVYQPSHEEHEIYGNNMHSMKAWTEIYLLSMSNVLITSSWSTFGYVAQSLGGLKPWMLYRPLNGTTPDPPCVQAMSMEPCFHFPPSYGCKADVYIGPSAIVPHVKHCEDVEWGLKLVKDH >Potri.016G133500.1.v4.1 pep chromosome:Pop_tri_v4:16:13773085:13776444:1 gene:Potri.016G133500.v4.1 transcript:Potri.016G133500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK22 MENKGNVLMQKYEIGRLLGQGTFAKVHHARDLKTGMSVAIKMIDKEKVFKVGMMDQIKREISVMRLIRHPNVVELYEVMATKTKIYFVMEYVKGGELFNKVAKGKLKEDVARKYFQQLISAVDYCHSRGVSHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILYVLLAGYLPFRDPNLMEMYRKIAKGEFKCPNWFAPEVRKLLSKILDPNPNTRISMAKIMENSWVRKDLQSRPCVLEAEVKEPAPLDSEAVFGINEISCAAVEPKQEVAKPCNLNAFDIISFSAGFDLSGLFEEKEQKKEVRFTSNKPASTIISKLEDIAKRLRLKIKKNDGGLLKIEGSKEGRKGVMGIDAEIFEITPFFHLVEMKKSSGDTVEYQKVVKQDIRPALKDIVWSWQGEQQREQLQLQEQQELQPSHASTTQVVSTQNSS >Potri.016G133500.2.v4.1 pep chromosome:Pop_tri_v4:16:13773088:13776046:1 gene:Potri.016G133500.v4.1 transcript:Potri.016G133500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK22 MENKGNVLMQKYEIGRLLGQGTFAKVHHARDLKTGMSVAIKMIDKEKVFKVGMMDQIKREISVMRLIRHPNVVELYEVMATKTKIYFVMEYVKGGELFNKVAKGKLKEDVARKYFQQLISAVDYCHSRGVSHRDLKPENLLLDENENLKVSDFGLSALAESKRQDGLLHTTCGTPAYVAPEVINRKGYDGAKADIWSCGVILYVLLAGYLPFRDPNLMEMYRKIAKGEFKCPNWFAPEVRKLLSKILDPNPNTRISMAKIMENSWVRKDLQSRPCVLEAEVKEPAPLDSEAVFGINEISCAAVEPKQEVAKPCNLNAFDIISFSAGFDLSGLFEEKEQKKEVRFTSNKPASTIISKLEDIAKRLRLKIKKNDGGLLKIEGSKEGRKGVMGIDAEIFEITPFFHLVEMKKSSGDTVEYQKVVKQDIRPALKDIVWSWQGEQQREQLQLQEQQELQPSHASTTQVVSTQNSS >Potri.006G035500.1.v4.1 pep chromosome:Pop_tri_v4:6:2257994:2262305:-1 gene:Potri.006G035500.v4.1 transcript:Potri.006G035500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G035500.v4.1 MKSVSLDYWRNYFRTASSDIFGIIDHAILVAASDCPKEFKLRRDRIAERLFSCRLIKCSGCNRVELAVPGHDEGVSDDGGCCSKRRDGDNSGGVGGGGDDDDDDDVDIDIDDGGFEYEGGGSKGSKVNSSNRDNDIDNGEVNVNDQLVYNFSYGDAEALTDEIEEVSQTVDEVLRIKDILYNSQDESDSVLLESLRKLRLMALTVDTLKATEIGKAVNVLRKHGSKQIRYLARTLIEDWKVLVDEWYSTANAIRGDEGTPDSVNPSVVDEEEGLPSPPLDEGAFFATQPTSMELSQFFDGMDDDGNPRNGGEFIKNRESGQRPSVEKQNFAKQKQHTPNGANVLSKDNKSQQMRRQEAIVKASKPSNANSGPGRPLMQNVEQKMNQEPQLIRKTDKITSQRKPPTGQQDKLKNSDEVDVQMKLEATKRKLQERYQQAENAKRQRTIQVMELHDLPKQGYVQKNQPIRPGNQNRHWAHGRRQTF >Potri.007G119300.5.v4.1 pep chromosome:Pop_tri_v4:7:13749401:13764031:1 gene:Potri.007G119300.v4.1 transcript:Potri.007G119300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119300.v4.1 MGDGGVACMPLQHSSNNIIMEERFPVQEKNTTTTVTAAVPSTTTTKVETVNNNSNSGSGGGSSNNNNNNVSSGDKKDNGKSNSSNNGVTGKVKKVKRIVKVKKVVRKVVVGEKKGVGLVREVKSACGSGSKEVVVLEKKESGLKKEEKSKEVTAEKKESGWKKELAAEKKESGLKISSGSKTVENGDGLGSGDTKLQSGSNNIKEEVEEGELGTLKWPTKGEIENGEFVPIPEKPRRSEIERGEIGSEKWKKGDIEKGEIVSGNKWQRGEVVRDEIEKGEFIPDRWNGKDEYGYIRSRGRYDMSRERTPPSGKYSCEDVNRRKELTRSGGSLHSKSSMRWESGQERSTRISSKIVDEEGSYKSEYSNGKNPGREYSSGNRLKRHGTDSDSTERKHYGDYSSSKSRRLSEDGSRYAYSEHYSRHSVERFYKNSSSSSRVSLSDKYSSRHHESTLPSKVVYDRHVHSDWSPHERPRYNDHRDRSPIRHEKSPYGRERTPYGLERSPYGRERSPYGRERSPYWRDRSPYGHDRSPYGREKSPYGRERSPYGLEKSPYDRSRHYEHRKRSPSYVERSPQDRARHHDRSDRTPNYLERSPHDRAKPNNYREARKGGATEKRNSQYGNKQQEDKISQKDPDARDTEPSAKESQDKSSVLNLDGLDEKNASSETRIEEKSESPRINVKEPPQVDGPPPEELQSMEEDMDICDTPPHVPAVADTSTGKWFYLDHFGVECGPSKLCELKALVDEGSLMSDHFIKHLHSDRWLTIENALSPFVPVNFPSVVPDAITQLVSPPEAPGNLLADTGDIGQSCAQIGEGVSGNFLKPPVCPDHSEIASESLEDLQIDERVGALLEGFSVVPGSELETVGEALQMTFEHVQWEGCIKAEGFTWQRATTSEQQDENSDELLRHSDVKTNVAVEAWPATLADKDDGFASSVDSTDWFSGRWSCKGGDWKRNEESVQDRFTRRKLVLNDGFPVCHMPKSGCEDPRWHIKDDLYNPSQSRKLDLPPWAFSSSDDRNDTGGVSRSTLNKPPITRGVKGTVLPVVRINACVVQDHVVSETRTKVRGKDRYHSRSARTHSATNDVKSSSVECDSQSRVVNDQDSHGCWKSTASLNTPKDRLCTADDLQLNLGDWYYLDGSGHERGPLSFSELQNLADKGTIQKYSSVFRKFDRVWVPVASATETSEAAVRIQQSNVELSVGSSGTLLKSQTAANIESNKDSSSFHSLHPQFIGFTRGKLHELVMKSYKNREFAVAINEALDPWIVAKQPQKELDKHMYLKSEIDVRVGKRAWMQPDQIVKDNEMEEDTLHKVETTFEQLCGDTNFHREESMCSEIEAGSWGLLDGHMLARIFHFLRSDLKSLVFASLTCKHWRAAVSFYKGISIQVDLSSVGLNCTDLMVRSIMNGYNKEKINAMVLTGCTNVTSGMLEEILRSLPCLSSIDIRGCTQFMELVHQFPRVSWLKSRTRIPEESNSKLRSLKQISGRDDFGELKEYFDSVNKRDSANQLFRRSLYKRSKVFDARKSSSILSRDARMRRWAVKKSENSYTRMEGFLAAGLKDIMKENIFDFFVPKVAEIEDRMKNGYYVGHGLRSVKEDISRMCRDAIKVKNRGAGDMNHIITLFFQLASRLEESSKFSYERDELMKSWKDDLSAALDSAPMKHKKKATGKKYMNRSNGTIPANGSFDYGEYASDQEIKKRISKLNRKSMDSGSETSDDRSSEDGRSGSDSTASDTESDLDFRSEGRTGESRGDRYCMTDEDEREWGARMTKVSLVPPVTRKYEVIDQYLIVADEEDVQRKMSVSLPDDYAEKLDAQKNGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEEVDWPLLQKHMFIEDVLLCTLNKQVRHFTGAGNTPMTYAIQPVVEEIEQAAMEDCDIRKMKICRGILRAIDSRPDDKYVAYRKGLGVVCNKEGGFGDDDFVVEFLGEVYPAWKWFEKQDGIRLLQKDSKEPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCKPNCEAKVTAVDGQYQIGIYTVREIQHGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGLLDRHYLMLGACELNSVSEEDYLDLGRAGLGSCLLGGLPDWVVAYSARLVRFINLERTKLPEEILRHNLKEKRKYFADTCLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCIFGDPKQAPPPLEKLTPEETVSFLWKGDGSLVDELLQCMSPYMDEDMLNDLKSKVCAHDPSDCDDIQKALQKSLLWLRDEVRSLPCTYKCRHDAAADLIHVYAYTKSFFRVRDYDAFTSPPVHISPLDLGPKCADKLGGLPHKYQKTYGGSYCMGQLIFWHVQTNTEPDFTLAKASKGCLSLPEIGSFYAKVQKPSQQRIYGPKTVKMMLERMEKYPQKPWPKDQIWSFKNSPKVFGSPMLDAVLNNAPLDREMVHWLKHRPTVYQAVWDR >Potri.007G119300.1.v4.1 pep chromosome:Pop_tri_v4:7:13749401:13762171:1 gene:Potri.007G119300.v4.1 transcript:Potri.007G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119300.v4.1 MGDGGVACMPLQHSSNNIIMEERFPVQEKNTTTTVTAAVPSTTTTKVETVNNNSNSGSGGGSSNNNNNNVSSGDKKDNGKSNSSNNGVTGKVKKVKRIVKVKKVVRKVVVGEKKGVGLVREVKSACGSGSKEVVVLEKKESGLKKEEKSKEVTAEKKESGWKKELAAEKKESGLKISSGSKTVENGDGLGSGDTKLQSGSNNIKEEVEEGELGTLKWPTKGEIENGEFVPIPEKPRRSEIERGEIGSEKWKKGDIEKGEIVSGNKWQRGEVVRDEIEKGEFIPDRWNGKDEYGYIRSRGRYDMSRERTPPSGKYSCEDVNRRKELTRSGGSLHSKSSMRWESGQERSTRISSKIVDEEGSYKSEYSNGKNPGREYSSGNRLKRHGTDSDSTERKHYGDYSSSKSRRLSEDGSRYAYSEHYSRHSVERFYKNSSSSSRVSLSDKYSSRHHESTLPSKVVYDRHVHSDWSPHERPRYNDHRDRSPIRHEKSPYGRERTPYGLERSPYGRERSPYGRERSPYWRDRSPYGHDRSPYGREKSPYGRERSPYGLEKSPYDRSRHYEHRKRSPSYVERSPQDRARHHDRSDRTPNYLERSPHDRAKPNNYREARKGGATEKRNSQYGNKQQEDKISQKDPDARDTEPSAKESQDKSSVLNLDGLDEKNASSETRIEEKSESPRINVKEPPQVDGPPPEELQSMEEDMDICDTPPHVPAVADTSTGKWFYLDHFGVECGPSKLCELKALVDEGSLMSDHFIKHLHSDRWLTIENALSPFVPVNFPSVVPDAITQLVSPPEAPGNLLADTGDIGQSCAQIGEGVSGNFLKPPVCPDHSEIASESLEDLQIDERVGALLEGFSVVPGSELETVGEALQMTFEHVQWEGCIKAEGFTWQRATTSEQQDENSDELLRHSDVKTNVAVEAWPATLADKDDGFASSVDSTDWFSGRWSCKGGDWKRNEESVQDRFTRRKLVLNDGFPVCHMPKSGCEDPRWHIKDDLYNPSQSRKLDLPPWAFSSSDDRNDTGGVSRSTLNKPPITRGVKGTVLPVVRINACVVQDHVVSETRTKVRGKDRYHSRSARTHSATNDVKSSSVECDSQSRVVNDQDSHGCWKSTASLNTPKDRLCTADDLQLNLGDWYYLDGSGHERGPLSFSELQNLADKGTIQKYSSVFRKFDRVWVPVASATETSEAAVRIQQSNVELSVGSSGTLLKSQTAANIESNKDSSSFHSLHPQFIGFTRGKLHELVMKSYKNREFAVAINEALDPWIVAKQPQKELDKHMYLKSEIDVRVGKRAWMQPDQIVKDNEMEEDTLHKVETTFEQLCGDTNFHREESMCSEIEAGSWGLLDGHMLARIFHFLRSDLKSLVFASLTCKHWRAAVSFYKGISIQVDLSSVGLNCTDLMVRSIMNGYNKEKINAMVLTGCTNVTSGMLEEILRSLPCLSSIDIRGCTQFMELVHQFPRVSWLKSRTRIPEESNSKLRSLKQISGRDDFGELKEYFDSVNKRDSANQLFRRSLYKRSKVFDARKSSSILSRDARMRRWAVKKSENSYTRMEGFLAAGLKDIMKENIFDFFVPKVAEIEDRMKNGYYVGHGLRSVKEDISRMCRDAIKVKNRGAGDMNHIITLFFQLASRLEESSKFSYERDELMKSWKDDLSAALDSAPMKHKKKATGKKYMNRSNGTIPANGSFDYGEYASDQEIKKRISKLNRKSMDSGSETSDDRSSEDGRSGSDSTASDTESDLDFRSEGRTGESRGDRYCMTDEDEREWGARMTKVSLVPPVTRKYEVIDQYLIVADEEDVQRKMSVSLPDDYAEKLDAQKNGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEEVDWPLLQKHMFIEDVLLCTLNKQVRHFTGAGNTPMTYAIQPVVEEIEQAAMEDCDIRKMKICRGILRAIDSRPDDKYVAYRKGLGVVCNKEGGFGDDDFVVEFLGEVYPAWKWFEKQDGIRLLQKDSKEPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCKPNCEAKVTAVDGQYQIGIYTVREIQHGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGLLDRHYLMLGACELNSVSEEDYLDLGRAGLGSCLLGGLPDWVVAYSARLVRFINLERTKLPEEILRHNLKEKRKYFADTCLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCIFGDPKQAPPPLEKLTPEETVSFLWKGDGSLVDELLQCMSPYMDEDMLNDLKSKVCAHDPSDCDDIQKALQKSLLWLRDEVRSLPCTYKCRHDAAADLIHVYAYTKSFFRVRDYDAFTSPPVHISPLDLGPKCADKLGGLPHKYQKTYGGSYCMGQLIFWHVQTNTEPDFTLAKASKGCLSLPEIGSFYAKVQKPSQQRIYGPKTVKMMLERMEKYPQKPWPKDQIWSFKNSPKVFGSPMLDAVLNNAPLDREMVHWLKHRPTVYQAVWDR >Potri.007G119300.4.v4.1 pep chromosome:Pop_tri_v4:7:13749401:13762162:1 gene:Potri.007G119300.v4.1 transcript:Potri.007G119300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119300.v4.1 MGDGGVACMPLQHSSNNIIMEERFPVQEKNTTTTVTAAVPSTTTTKVETVNNNSNSGSGGGSSNNNNNNVSSGDKKDNGKSNSSNNGVTGKVKKVKRIVKVKKVVRKVVVGEKKGVGLVREVKSACGSGSKEVVVLEKKESGLKKEEKSKEVTAEKKESGWKKELAAEKKESGLKISSGSKTVENGDGLGSGDTKLQSGSNNIKEEVEEGELGTLKWPTKGEIENGEFVPIPEKPRRSEIERGEIGSEKWKKGDIEKGEIVSGNKWQRGEVVRDEIEKGEFIPDRWNGKDEYGYIRSRGRYDMSRERTPPSGKYSCEDVNRRKELTRSGGSLHSKSSMRWESGQERSTRISSKIVDEEGSYKSEYSNGKNPGREYSSGNRLKRHGTDSDSTERKHYGDYSSSKSRRLSEDGSRYAYSEHYSRHSVERFYKNSSSSSRVSLSDKYSSRHHESTLPSKVVYDRHVHSDWSPHERPRYNDHRDRSPIRHEKSPYGRERTPYGLERSPYGRERSPYGRERSPYWRDRSPYGHDRSPYGREKSPYGRERSPYGLEKSPYDRSRHYEHRKRSPSYVERSPQDRARHHDRSDRTPNYLERSPHDRAKPNNYREARKGGATEKRNSQYGNKQQEDKISQKDPDARDTEPSAKESQDKSSVLNLDGLDEKNASSETRIEEKSESPRINVKEPPQVDGPPPEELQSMEEDMDICDTPPHVPAVADTSTGKWFYLDHFGVECGPSKLCELKALVDEGSLMSDHFIKHLHSDRWLTIENALSPFVPVNFPSVVPDAITQLVSPPEAPGNLLADTGDIGQSCAQIGEGVSGNFLKPPVCPDHSEIASESLEDLQIDERVGALLEGFSVVPGSELETVGEALQMTFEHVQWEGCIKAEGFTWQRATTSEQQDENSDELLRHSDVKTNVAVEAWPATLADKDDGFASSVDSTDWFSGRWSCKGGDWKRNEESVQDRFTRRKLVLNDGFPVCHMPKSGCEDPRWHIKDDLYNPSQSRKLDLPPWAFSSSDDRNDTGGVSRSTLNKPPITRGVKGTVLPVVRINACVVQDHVVSETRTKVRGKDRYHSRSARTHSATNDVKSSSVECDSQSRVVNDQDSHGCWKSTASLNTPKDRLCTADDLQLNLGDWYYLDGSGHERGPLSFSELQNLADKGTIQKYSSVFRKFDRVWVPVASATETSEAAVRIQQSNVELSVGSSGTLLKSQTAANIESNKDSSSFHSLHPQFIGFTRGKLHELVMKSYKNREFAVAINEALDPWIVAKQPQKELDKHMYLKSEIDVRVGKRAWMQPDQIVKDNEMEEDTLHKVETTFEQLCGDTNFHREESMCSEIEAGSWGLLDGHMLARIFHFLRSDLKSLVFASLTCKHWRAAVSFYKGISIQVDLSSVGLNCTDLMVRSIMNGYNKEKINAMVLTGCTNVTSGMLEEILRSLPCLSSIDIRGCTQFMELVHQFPRVSWLKSRTRIPEESNSKLRSLKQISGRDDFGELKEYFDSVNKRDSANQLFRRSLYKRSKVFDARKSSSILSRDARMRRWAVKKSENSYTRMEGFLAAGLKDIMKENIFDFFVPKVAEIEDRMKNGYYVGHGLRSVKEDISRMCRDAIKVKNRGAGDMNHIITLFFQLASRLEESSKFSYERDELMKSWKDDLSAALDSAPMKHKKKATGKKYMNRSNGTIPANGSFDYGEYASDQEIKKRISKLNRKSMDSGSETSDDRSSEDGRSGSDSTASDTESDLDFRSEGRTGESRGDRYCMTDEDEREWGARMTKVSLVPPVTRKYEVIDQYLIVADEEDVQRKMSVSLPDDYAEKLDAQKNGTEELDMELPEVKDYKPRKQLGDEVIEQEVYGIDPYTHNLLLDSMPEEVDWPLLQKHMFIEDVLLCTLNKQVRHFTGAGNTPMTYAIQPVVEEIEQAAMEDCDIRKMKICRGILRAIDSRPDDKYVAYRKGLGVVCNKEGGFGDDDFVVEFLGEVYPAWKWFEKQDGIRLLQKDSKEPAPEFYNIYLERPKGDADGYDLVVVDAMHKANYASRICHSCKPNCEANHSDYYQCECRVTAVDGQYQIGIYTVREIQHGEEITFDYNSVTESKEEYEASVCLCGSQVCRGSYLNLTGEGAFQKVLKEWHGLLDRHYLMLGACELNSVSEEDYLDLGRAGLGSCLLGGLPDWVVAYSARLVRFINLERTKLPEEILRHNLKEKRKYFADTCLEVERSDAEVQAEGVYNQRLQNLAVTLDKVRYVMRCIFGDPKQAPPPLEKLTPEETVSFLWKGDGSLVDELLQCMSPYMDEDMLNDLKSKVCAHDPSDCDDIQKALQKSLLWLRDEVRSLPCTYKCRHDAAADLIHVYAYTKSFFRVRDYDAFTSPPVHISPLDLGPKCADKLGGLPHKYQKTYGGSYCMGQLIFWHVQTNTEPDFTLAKASKGCLSLPEIGSFYAKVQKPSQQRIYGPKTVKMMLERMEKYPQKPWPKDQIWSFKNSPKVFGSPMLDAVLNNAPLDREMVHWLKHRPTVYQAVWDR >Potri.004G089500.1.v4.1 pep chromosome:Pop_tri_v4:4:7612266:7614989:-1 gene:Potri.004G089500.v4.1 transcript:Potri.004G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G089500.v4.1 MENLMGLLRIHVIRGVNLAVRDVCSSDPYVVVKMGKQKLKTRVIKQNINPEWNDDLTLSIVDPKLPVLIRVYDKDTFSLDDKMGDAEFDISQFIEVVKMRLDNLPSGTIIRKIQPSRENCLAEESCIVWATGKLVQNLFLRLKHVETGEVELQLEWIDIPGSRGL >Potri.015G114101.2.v4.1 pep chromosome:Pop_tri_v4:15:13019505:13027433:-1 gene:Potri.015G114101.v4.1 transcript:Potri.015G114101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G114101.v4.1 MTMLKNLPEKVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTSGRIWIRILVWSAYLSADMVATVALGTLARSQGDSSGDSSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVIQVGVAFYVFSRSWDSGILSFIAIPMFVVGIAKYAERTWVLWSSCSKSLKNSSPRDFWRSYDRSRISKTPPQDHQRDYLLQAYVFSYISKSMMQDLVPDIPSLIRCRELISKNTTDVAFKVVEAELGLIYDMLYTKAPLIYSRGGIILRCISSLLSVTAFITFQVKIDKHDYSTTDIAITYLLFAAAVFLEFYAFLCLVLSDRTMIWLTEKGGNALTGATYSLIRKLPRGERWSRSISQYNLKSSSIEREPPKFLEFLGIDEMMRQMHVNRKDLNGKLEDLIFKHLREKAQKIKQDLNVCDKNHRSKIIGQRGDGVLEREELLQNYKWCTTEVEFSRSILVWHLATEICYRADIKDGSNVSTENETSRCLSEYMMYLLVIRPNMLSKGFGDDEAYQETLRELRGLKGPYDLELRNSESRGYDDASFQRRWKTEKSVLIGVDVLARQLLSLELKKRWGTINEVWVEMVAYAAAHCPWKEHTQQLRRGGELLTHVSLLMLHLGLSEQYEYKRLGELISYLKEEEYEEYRKAERKYVRGIGARSMYELEENHEARDNYLEGIAAMSGSSPDESLKYSKRWRQIQSMILSVKSESMNAKSKCLRCLTAKS >Potri.015G114101.3.v4.1 pep chromosome:Pop_tri_v4:15:13023477:13027363:-1 gene:Potri.015G114101.v4.1 transcript:Potri.015G114101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G114101.v4.1 MTMLKNLPEKVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTSGRIWIRILVWSAYLSADMVATVALGTLARSQGDSSGDSSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVIQVGVAFYVFSRSWDSGILSFIAIPMFVVGIAKYAERTWVLWSSCSKSLKNSSPRDFWRSYDRSRISKTPPQDHQRDYLLQAYVFSYISKSMMQDLVPDIPSLIRCRELISKNTTDVAFKVVEAELGLIYDMLYTKAPLIYSRGGIILRCISSLLSVTAFITFQVKIDKHDYSTTDIAITYLLFAAAVFLEFYAFLCLVLSDRTMIWLTEKGGNALTGATYSLIRKLPRGERWSRSISQYNLKSSSIEREPPKFLEFLGIDEMMRQMHVNRKDLNGKLEDLIFKHLREKAQKIKQDLNVCDKNHRSKIIGQRGDGVLEREELLQNYKWCTTEVEFSRSILVWHLATEICYRADIKDGSNVSTENETSRCLSEYMMYLLVIRPNMLSKGFGDDEAYQETLRELRGLKGPYDLELRNSESRGYDDASFQRRWKTEKSVLIGVDVLARQLLSLELKKRWGTINEVWVEMVAYAAAHCPWKEHTQQLRRGGELLTHVSLLMLHLGLSEQYEYKRLGELISYLKEVSIPKILLKYLDRSKLHFFRRQNKITNQIILIYICLFNSLFWKFITNSNYMFPATFV >Potri.015G114101.1.v4.1 pep chromosome:Pop_tri_v4:15:13019510:13027482:-1 gene:Potri.015G114101.v4.1 transcript:Potri.015G114101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G114101.v4.1 MTMLKNLPEKVLNLWNNWEIRGMVLLSLLLQTILIIFGSRRKTSGRIWIRILVWSAYLSADMVATVALGTLARSQGDSSGDSSEKANNSIQAFWAPFLLLHLGGPDTITAYSIEDNELWLRHLLGLVIQVGVAFYVFSRSWDSGILSFIAIPMFVVGIAKYAERTWVLWSSCSKSLKNSSPRDFWRSYDRSRISKTPPQDHQRDYLLQAYVFSYISKSMMQDLVPDIPSLIRCRELISKNTTDVAFKVVEAELGLIYDMLYTKAPLIYSRGGIILRCISSLLSVTAFITFQVKIDKHDYSTTDIAITYLLFAAAVFLEFYAFLCLVLSDRTMIWLTEKGGNALTGATYSLIRKLPRGERWSRSISQYNLKSSSIEREPPKFLEFLGIDEMMRQMHVNRKDLNGKLEDLIFKHLREKAQKIKQDLNVCDKNHRSKIIGQRGDGVLEREELLQNYKWCTTEVEFSRSILVWHLATEICYRADIKDGSNVSTENETSRCLSEYMMYLLVIRPNMLSKGFGDDEAYQETLRELRGLKGPYDLELRNSESRGYDDASFQRRWKTEKSVLIGVDVLARQLLSLELKKRWGTINEVWVEMVAYAAAHCPWKEHTQQLRRGGELLTHVSLLMLHLGLSEQYEYKRLGELISYLKEEEYEEYRKAERKYVRGIGARSMYEEQLEENHEARDNYLEGIAAMSGSSPDESLKYSKRWRQIQSMILSVKSESMNAKSKCLRCLTAKS >Potri.005G214300.6.v4.1 pep chromosome:Pop_tri_v4:5:21762710:21767107:1 gene:Potri.005G214300.v4.1 transcript:Potri.005G214300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214300.v4.1 MQPGETVFRSALDKPLHQLTEDDISQVTREDCRRYLKEKGMRRPSWNKSQAIQQVISLKTLLEATPETESPRRRLYIPRPPPHPPDNTPRVPPNSSVSERGASAETPISVPAEEPVPCRQHDPPNPDDPADPLPPVHAAVTENASVSPRTTGMAEESAGQMTIFYCGKVNVYDDVPGDKAQAIMHLAASPFAPPQDASSDVIPTLRPLQCQLDTPGVKAAPNSIVANFPTLPTVKGADSGQLLWEESNIAREDNLEGSTSRKASLQRYFEKKKDRFKNKRKVAVPSASLDVFLSHLVGDQISNDHWNLNDACSPSQPRPPQTPNRCNSVDNVAKNGILKADLNNKDVPEI >Potri.005G040200.1.v4.1 pep chromosome:Pop_tri_v4:5:2604226:2606526:-1 gene:Potri.005G040200.v4.1 transcript:Potri.005G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G040200.v4.1 MFLFQFKVFQLVLLLALSEFCFQSANCWEISNRNLFEASCPLNYSVIRELVSKARPKHSFIDLPNQCQFVPDGIRFVRSEYLRTARSFVPPPDTFQTCWQSYRNVISEVSDGLDIQSGCGYQAGWISKGCMNITSVAQFEIKFPESKLQEMKLYCNRSLDDDLACGSCTKKLLSLGDLYLRDPQPVNASDCSGYLSMYAAAIINRFGPTDPGTAKCLFRLKYSVKSTTNEHHKAVIAGIAAGSVFGVLGGFIAVCLRWKLHGKSDKEKISPDLEDASLDLGFGLHIRSTNIVKFKIEEITKATMNFSRHNIIGKGGYGNVYKGMLPDGSEVAFKRFKNCSGSGDATFALEVEIIASVRHVNLVALRGYCTQKVPPEAHQRIIVCDLMHNGSLYNHLFESGKMKLSWPIRQKIALGTARGLAYLHYGVHPAIIHRDIKASNILLDDNFEAKVADFGLARFNSQGMTHLSTRVAGTLGYVAPEYALYGTLTERSDVYSFGVVLLELLSGKKASENNEGNVSLLTDWAWSLAKEGRGLDIIEDNLPELGLPEVMEQYVHVALICAHPLLHARPTMDQIVNMLETNMPVPSNPEPCIAASIMEICSISSSYNFSSSVTQ >Potri.002G012000.1.v4.1 pep chromosome:Pop_tri_v4:2:743114:746555:-1 gene:Potri.002G012000.v4.1 transcript:Potri.002G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012000.v4.1 MASSTTNPLLTSNFFGSRSLPCPKTTRPSLSFLLPKKFQKIVNEKNYESLKSLQSQATIATALIFSSLTPQALAIDNPTPPPTPPPVIEAQPTRPSSTVAQNLLLTAPKPQSQSTSDLPEGSQWRYSEFLNAVKKGKVERVRFSKDGSALQLTAVDGRRAAVIVPNDPDLIDILAMNGVDISVAEGDSGNGLFNFIGNLLFPFLAFAGLFLLFRRAQGGPGGPGGLGGPMDFGRSKSKFQEVPETGVTFADVAGADQAKLELQEVVDFLKNPDKYTALGAKIPKGCLLVGPPGTGKTLLARAVAGEAGVPFFSCAASEFVELFVGVGASRVRDLFEKAKSKAPCIVFIDEIDAVGRQRGAGLGGGNDEREQTINQLLTEMDGFSGNSGVIVLAATNRPDVLDSALLRPGRFDRQVTVDRPDVAGRVKILQVHSRGKALAKDVDFEKIARRTPGFTGADLQNLMNEAAILAARRDLKEISKDEISDALERIIAGPEKKNAVVSDEKKKLVAYHEAGHALVGALMPEYDPVAKISIIPRGQAGGLTFFAPSEERLESGLYSRSYLENQMAVALGGRVAEEVIFGQENVTTGASNDFMQVSRVARQMVERFGFSKKIGQVAIGGPGGNPFLGQQMSSQKDYSMATADVVDAEVRELVETAYTRAKQIITTHIDILHKLAQLLIEKESVDGEEFMSLFIDGKAELYVS >Potri.006G192000.5.v4.1 pep chromosome:Pop_tri_v4:6:19913107:19914460:1 gene:Potri.006G192000.v4.1 transcript:Potri.006G192000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192000.v4.1 MGAGGRMSVPPSSAKVESDVFKRAPDSKPPFTLGQIKKAIPPHCFQRSVLRSFSYVAHDLIIASIFYYVATNYFHLLPHPLSYVAWPIYWAVQGCVLTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSCLLVPYFSWKHSHRRHHSNTGSLDRDEVFVPKKKSGIRWYSKYLNNPLGRFLTITITLTLGWPLYLAFNVSGRPYDRFACHYDPYGPIYNDRERVEIFISDAGILAVTYGLYRLAVAKGLGWVLCVYGGPLLVVNAFLVLITYLQHTHPSLPHYDSSEWDWLKGALATVDRDYGILNKVFHNITDTHVAHHLFSMMPHYHAMEATKAIKPILGDYYQHDGTPVYKATWREAKECIYVHPDDDDDDKQKNKGVFWYRNKLD >Potri.006G192000.7.v4.1 pep chromosome:Pop_tri_v4:6:19909993:19914821:1 gene:Potri.006G192000.v4.1 transcript:Potri.006G192000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192000.v4.1 MGAGGRMSVPPSSAKVESDVFKRAPDSKPPFTLGQIKKAIPPHCFQRSVLRSFSYVAHDLIIASIFYYVATNYFHLLPHPLSYVAWPIYWAVQGCVLTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSCLLVPYFSWKHSHRRHHSNTGSLDRDEVFVPKKKSGIRWYSKYLNNPLGRFLTITITLTLGWPLYLAFNVSGRPYDRFACHYDPYGPIYNDRERVEIFISDAGILAVTYGLYRLAVAKGLGWVLCVYGGPLLVVNAFLVLITYLQHTHPSLPHYDSSEWDWLKGALATVDRDYGILNKVFHNITDTHVAHHLFSMMPHYHAMEATKAIKPILGDYYQHDGTPVYKATWREAKECIYVHPDDDDDDKQKNKGVFWYRNKLD >Potri.006G192000.8.v4.1 pep chromosome:Pop_tri_v4:6:19909919:19914628:1 gene:Potri.006G192000.v4.1 transcript:Potri.006G192000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192000.v4.1 MGAGGRMSVPPSSAKVESDVFKRAPDSKPPFTLGQIKKAIPPHCFQRSVLRSFSYVAHDLIIASIFYYVATNYFHLLPHPLSYVAWPIYWAVQGCVLTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSCLLVPYFSWKHSHRRHHSNTGSLDRDEVFVPKKKSGIRWYSKYLNNPLGRFLTITITLTLGWPLYLAFNVSGRPYDRFACHYDPYGPIYNDRERVEIFISDAGILAVTYGLYRLAVAKGLGWVLCVYGGPLLVVNAFLVLITYLQHTHPSLPHYDSSEWDWLKGALATVDRDYGILNKVFHNITDTHVAHHLFSMMPHYHAMEATKAIKPILGDYYQHDGTPVYKATWREAKECIYVHPDDDDDDKQKNKGVFWYRNKLD >Potri.006G192000.6.v4.1 pep chromosome:Pop_tri_v4:6:19912862:19914785:1 gene:Potri.006G192000.v4.1 transcript:Potri.006G192000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G192000.v4.1 MGAGGRMSVPPSSAKVESDVFKRAPDSKPPFTLGQIKKAIPPHCFQRSVLRSFSYVAHDLIIASIFYYVATNYFHLLPHPLSYVAWPIYWAVQGCVLTGVWVIAHECGHHAFSDYQLLDDIVGLVLHSCLLVPYFSWKHSHRRHHSNTGSLDRDEVFVPKKKSGIRWYSKYLNNPLGRFLTITITLTLGWPLYLAFNVSGRPYDRFACHYDPYGPIYNDRERVEIFISDAGILAVTYGLYRLAVAKGLGWVLCVYGGPLLVVNAFLVLITYLQHTHPSLPHYDSSEWDWLKGALATVDRDYGILNKVFHNITDTHVAHHLFSMMPHYHAMEATKAIKPILGDYYQHDGTPVYKATWREAKECIYVHPDDDDDDKQKNKGVFWYRNKLD >Potri.009G095900.1.v4.1 pep chromosome:Pop_tri_v4:9:8664611:8669779:-1 gene:Potri.009G095900.v4.1 transcript:Potri.009G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095900.v4.1 MLPTTSRGRASSSSTSRANSSTFPQYLRRIIKWQQMDVEYTFWQMLHLCTSPKVVYQHTKYHKQTKNQWARDDPAFVVICSLLLVVAALAYCAAYDHSAGHAVFVVISVLFFHFLISGAGLATCCWFLTNAYLREEAPNSHVVEQRVEWLYAFDVHCNSFFPMFVMLYVIHYFLSPLLVAHGFIPVLLSNLLFMVAASYYHYLNFLGYDVLPFLERTTFFLYPIGVVIVLSPILILSGFNPSRYFMNVYFSQRV >Potri.017G113900.1.v4.1 pep chromosome:Pop_tri_v4:17:12132802:12134635:-1 gene:Potri.017G113900.v4.1 transcript:Potri.017G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113900.v4.1 MGKKLDALFRRKLKTSKFSSLAKLAISRIVILKNQRQARLSLAKSDVIQLLNLGHQERALLRVEHVIKDQNMLGAFDMMEDYLHFLIERVVQLETNKECPEFKEAISSLIFASSRCGEFPELQEIRGVFTTRFGNEFAARAVELRRNCGVHPNIIQNLSARQPSLESRKKLLKDIATENGIILHLEEDAPVVAQENLDVDQPKQQQHEYKSVKLDATEYQARTHVLPEEELSGSLKGRKYKDVASAALDAFESAAYAAHAARAAVELSRYESQDNEQYGHGDSSHG >Potri.012G072600.3.v4.1 pep chromosome:Pop_tri_v4:12:9636705:9639709:1 gene:Potri.012G072600.v4.1 transcript:Potri.012G072600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072600.v4.1 MVAISLYRGNLHSVADVPRRWLMPTPKISPRDFKTLCHRRSKALCRLRSTTTSNPNSNHIEKAPIEPKLEAVEEEIVANNNGKEAEQAEDCKGGGDGGASSSREDEKDDVGLDGADFSMKPADDAVNGDPNPNNLQKPDDVANNPSVETKSNANELDEEKEKRKREIEDKLQVLNAKKHNLVKALKQILNVEEELKRRNSTQGMPVRPSVQLQVDVSNDSGSMTRHPTPRMGSEANPGGDIEGGEAEDISNHNTHSRHVHRMSSTSPSPSSESPLRRPPYIQPNVVT >Potri.012G072600.1.v4.1 pep chromosome:Pop_tri_v4:12:9636706:9639711:1 gene:Potri.012G072600.v4.1 transcript:Potri.012G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G072600.v4.1 MVAISLYRGNLHSVADVPRRWLMPTPKISPRDFKTLCHRRSKALCRLRSTTTSNPNSNHIEKAPIEPKLEAVEEEIVANNNGKEAEQAEDCKGGGDGGASSSREDEKDDVGLDGADFSMKPADDAVNGDPNPNNLQKPDDVANNPSVETKSNANELDEEKEKRKREIEDKLQVLNAKKHNLVKALKQILNVEEELKRRNSTQGMPVRPSVQLQVDVSNDSGSMTRHPTPRMGSEANPGGDIEGGEAEDISNHNTHSRHVHRMSSTSPSPSSESPLRRPPYIQPNVVPHPSRSGLGTSGSPSRFAPMGHHGHPLNLPTLSVSGTYYAASSPSPSPAASGGTSAFKDARHPSPWN >Potri.018G131100.1.v4.1 pep chromosome:Pop_tri_v4:18:14126347:14127461:-1 gene:Potri.018G131100.v4.1 transcript:Potri.018G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131100.v4.1 MSSSLCSQGVVLATAMAVSGTVIVLAFRLQKSHLPSGQFPGDHHQIPQSSQQALRSCISPEGKKKKGKKKRVHFAEDVVDPRGDGEEFRRQHEAVFLSQNSCSSSSTSTEFKKNGQQRRMPANRAALYNGILRDRGVQRLAYSC >Potri.006G170001.1.v4.1 pep chromosome:Pop_tri_v4:6:17184722:17186132:-1 gene:Potri.006G170001.v4.1 transcript:Potri.006G170001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G170001.v4.1 MKAGLLVSLIVNFLVVASAGSFYNDFYFNWGHDHGKVYDNGNGLSLILDKNSGSGFQSKKEYLFGKIDIQLKLVHGNSAGTVTTFYLSSLGPYHDEIDFEFLGNTSGQPYTLHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIIFSVDGIAIREFKNLESIGVPFPKNQPMRIYSSLWEADDWATCGGRVKTDWTKAPFVASFRNFNVNACAWSYGASSCKSKSGFADSISNSWIWEELDVGREGQMKWVRDNYMTYDYCKDSKRFPHGLPRECYVTNFP >Potri.007G004900.1.v4.1 pep chromosome:Pop_tri_v4:7:356876:361892:1 gene:Potri.007G004900.v4.1 transcript:Potri.007G004900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004900.v4.1 MEAAIASSSSSLPQFEPNIEAIKRRLLKRGVYPTPKIVHNLRKKEIQKHNRKLNKDVEFQRQALFVLEEESHFQALKHEYKEFNKAISEERRGESGGGLLVGKPWERIERVKLKEIGSGSREFNGGKLKRENLRQLKEVFEGNLKWVFDDDIDVEDSDWLRSGSEEKWDPAKRWRGEGEAIRFLVDRLSCREVSVKDWKLAKIMKQSGLRFSEGQLLKIVEELGNKGKWSQAMAVVEWVYNDKERRDCKSRFVYTKLLSVLGKERRAQEALSIFNLMREDRRIYPDMAAYHSIAVTLGQTGLLKELVKVIECMRQKPSKRINKMLNKNWDPVLEPDLVIYNAILNACVPSQQWKGVSWVFQQLRRSGLKPNGATYGLAMEVMLLSGKYKSVHEYFRKMKKSGESLKALTYKVLVRAFWEEGRVNEAVEAVRDMEQRGVVGAASVYYELACCLCYNGRWQDAMLEVEKMKRLRYKKPLEVSLTGMIASSMDGGHIDNCISIFEHMKAHCVPNIGTINTMLKVYSRSDLFSEAKELFEDIKGVDHSGTTIIPDGYTYSSMLEVSARALQWEYFEYVYKEMSFSGYQLDQIKHAPLLVEASRSGKNHLLEHAFDEILEAGEIPHPLLFTEMVFQATAQENYERAVTLINTMAHASFQISERQWTDLFEKNGEKISQDSLEKLLDAVGHCRMASEVTVSNLSRSLRSLCRPGSSGDLPRTNSCIEDTDDTHINTNSGEIAGNRSAYMLTTSASMVDGNLELDEDTFVNKTSITPDMSLVNNSSTNREGDDPEAASSTGNSVNGLDVATNLLVKRDVFADDVASGASTDCLDKKLSNILLEESEKDAEEVELEIGTTEANDLYRSELPSAHAILDVWKESRKKG >Potri.018G040500.1.v4.1 pep chromosome:Pop_tri_v4:18:3219029:3222583:-1 gene:Potri.018G040500.v4.1 transcript:Potri.018G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040500.v4.1 MITEPLLSSPKGGIRALFFIIANEALERLASFGLSTNMILYLTREYGMDAASGAQILFLYSAAGNFMPIIGAFLADTYVGRYPMIGFGCIASLLGMVLLWLTTIIPGATVPSCAQFSSICNNDATTPQLLFLYFCLGLMSIGAGGIRSCSLAFGADQLSKSDSLKHAGILESFFSWYYVISSASVFISMTCVVYIQEAMGWKVGFGVPVVLMILSTLSFFLASSIYVKPKAKASWLIGFARVLVASFRKRRIELSSLDTDELYHHRKGSALVVPSERIRFLNKACVIKNPEEDLMPDGRASDPWSLCTVDQVEELKALIKVIPIWSTGVLVSVNVCQNSFLLLQASTMNRHITSKFEIPAGSFYAFLLLSATMWIALYDRVIIPLASKITGKPTRLGLKQKIGIGILVSAASMAVLAIIERVRREIAIREGISDIPDAVTHMSAMWLLPFYFLLGFSEAMNGVGLNEFFYTELPKSMSSVASNLFSIGLSAASLVASFIVSNVRGFIGEANQESWVSSNINKGHYDYYYWLLSSLGFANFIYYLACSKAYGPCKGGQRGITGDVREGLIDDDDDDDDDYDDDVV >Potri.018G040500.2.v4.1 pep chromosome:Pop_tri_v4:18:3219168:3221128:-1 gene:Potri.018G040500.v4.1 transcript:Potri.018G040500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G040500.v4.1 MVLLWLTTIIPGATVPSCAQFSSICNNDATTPQLLFLYFCLGLMSIGAGGIRSCSLAFGADQLSKSDSLKHAGILESFFSWYYVISSASVFISMTCVVYIQEAMGWKVGFGVPVVLMILSTLSFFLASSIYVKPKAKASWLIGFARVLVASFRKRRIELSSLDTDELYHHRKGSALVVPSERIRFLNKACVIKNPEEDLMPDGRASDPWSLCTVDQVEELKALIKVIPIWSTGVLVSVNVCQNSFLLLQASTMNRHITSKFEIPAGSFYAFLLLSATMWIALYDRVIIPLASKITGKPTRLGLKQKIGIGILVSAASMAVLAIIERVRREIAIREGISDIPDAVTHMSAMWLLPFYFLLGFSEAMNGVGLNEFFYTELPKSMSSVASNLFSIGLSAASLVASFIVSNVRGFIGEANQESWVSSNINKGHYDYYYWLLSSLGFANFIYYLACSKAYGPCKGGQRGITGDVREGLIDDDDDDDDDYDDDVV >Potri.007G020200.2.v4.1 pep chromosome:Pop_tri_v4:7:1541039:1544138:1 gene:Potri.007G020200.v4.1 transcript:Potri.007G020200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020200.v4.1 MMEPGNLHLQHQFQEQFTGYYSSLLSQSADYNKVSSTGDLNPGTGFILNINSNTNNNYQTDCIPNSRVSWPPASLTPGLSFCHASDFNQPSTANEFLLAKTKEELPDPFFKPGEMMHTGSNVEGSYFLSSKYKHQYSHDLGENQWPLNSLSSLHHISDSNAQKTASLQNLSGCNRYNFSHILPSISISTSDLCSSLVSSSLDLNLQAVDLLSTSTYDGAASFSQSSENTLDHFTREHKDSPSKSCSKTSTIEDGSGRKKRPSSNFQSKNFLTEAKKNRSTPRSLCPPLKVRKEKLGDRIAALQRLVAPYGKTDTASVLTEAIGYIQFLHDQVQTLSVPYMKSSNIQPARTTQVSSNEEDGKGQQKTDLRSRGLCLVPLSCVSFFNTCNGDI >Potri.010G011400.1.v4.1 pep chromosome:Pop_tri_v4:10:2099817:2102286:1 gene:Potri.010G011400.v4.1 transcript:Potri.010G011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011400.v4.1 MVTEEKSHKYPTMPEVVEELKRMTDIGFPIAALSLVGYLKNMILVVCMGRLGSLELAGGALAIGFTNISGYSVLSGLAMGMEPLCSQAFGSRNLLVASHTLRRTILMLLLASIPIGLLWVNLEPLLLSLHQDPDITRIASLYCRFSIPDLIANSLLHPLRIYLRSKGTTWPLMWCTLVSVVLHVPVTIFLAFTLCLGVPGIAISTFITNFNTLLFLVCYMYLFSRATEEEPSSTPLIRQQPFLSSPSTSLRKEWGILLRLAIPSCIAVCLEWWWYEFMTILAGYLHNPQAALATSAIIIQTTSLMYTLPTSLSASVSTRVGNELGAGRPQKARLATVVAIGLALLSSLLGLLWTILGREAWGKVFTKDDEVLELTMVVLPIIGLCELANCPQTTSCGILRGSARPGIGAGINFYSFYMVGAPVAIGLAFVWKLGFVGLCYGLLAAQVVCVVSILTVVYKTDWDRESLKAKELVGKTGALAHEHADHIAKCEEGEGLSFG >Potri.005G205300.1.v4.1 pep chromosome:Pop_tri_v4:5:21021189:21028873:1 gene:Potri.005G205300.v4.1 transcript:Potri.005G205300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205300.v4.1 MASNGASTQQQRPAVGTENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPALKGTILFDANSTIAVSPVNFNGLPKYDGCCFYIGTPQRKDYFLCAETPGAARAWVATLHATQLVLKAHKEAVDSLSGNGSAKLGTVATVVAAANSTALECSKEIEAAMQISLRNALGMMNNRTTDGPMDDKTILKETLRVKDEELQNLARDIRARDSIIKDIADKLSETAEAAEAAASSAHTMDEQRRIVCAEIERLSKASEKQLESSMLKLKEYEEKVVTLSKERDQLIRQRDSAIQEANLWRSEIAKARDRALILEGAVVRAEEKARVAEADAEARIKEVAQREAAAVKEKEELLAYVNMLQAQLQRQHKDTKQVFKEKMETPNVVDSTLPLTKHVDLSDENVDKACLSVSRAVPAAGENVVHMAVDQSNPRPVEDAEWSDIQATESTIADVREVAPEIDGSSLDIPVVRAPVNNHHEQGANTYHQA >Potri.005G205300.5.v4.1 pep chromosome:Pop_tri_v4:5:21021189:21028885:1 gene:Potri.005G205300.v4.1 transcript:Potri.005G205300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205300.v4.1 MASNGASTQQRPAVGTENSLEKIKRQLASGSGRNLLQGPLLKRSETLRKWNERWVILDPTTGKMEYKTRRNEPALKGTILFDANSTIAVSPVNFNGLPKYDGCCFYIGTPQRKDYFLCAETPGAARAWVATLHATQLVLKAHKEAVDSLSGNGSAKLGTVATVVAAANSTALECSKEIEAAMQISLRNALGMMNNRTTDGPMDDKTILKETLRVKDEELQNLARDIRARDSIIKDIADKLSETAEAAEAAASSAHTMDEQRRIVCAEIERLSKASEKQLESSMLKLKEYEEKVVTLSKERDQLIRQRDSAIQEANLWRSEIAKARDRALILEGAVVRAEEKARVAEADAEARIKEVAQREAAAVKEKEELLAYVNMLQAQLQRQHKDTKQVFKEKMETPNVVDSTLPLTKHVDLSDENVDKACLSVSRAVPAAGENVVHMAVDQSNPRPVEDAEWSDIQATESTIADVREVAPEIDGSSLDIPVVRAPVNNHHEQGANTYHQA >Potri.005G092600.1.v4.1 pep chromosome:Pop_tri_v4:5:6456669:6459883:1 gene:Potri.005G092600.v4.1 transcript:Potri.005G092600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092600.v4.1 MKALFSTTKLITSTAKPKPSFLLTPKTSTTRAYSLIPMVIEHSSRGERAYDIFSRLLKERIVCINGPINDDTSNVVVAQLLFLESENPSKPIHMYLNSPGGHVTAGLAIYDTMQYIRSPVNTICLGQAASMASLLLASGAKGERKALPNATIMIHQPSGGYSGQAKDLTIHTKQIVRVWDALNQLYCKHTGKPIDVIQKNMDRDYFMTPEEAKEFGIIDEVIDQRPMTLVTDAVGDESKQKGSS >Potri.010G030600.4.v4.1 pep chromosome:Pop_tri_v4:10:4570254:4576597:-1 gene:Potri.010G030600.v4.1 transcript:Potri.010G030600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030600.v4.1 MGLSWSNSRRTTTFYHPHPPPPPPPPPPPYYYHPGEAVSPPPPPPPPPHQNHYTTIQQPPPTTSSPPQSYPYPTHPPPPIHSYYNSHPYHSRNYANHNYQYQPFYYTSHYQPASGWSPVIRPSLGFSTTAASTALPIQLEPAPFIDHQNAKRIRNDVNVHKDTLKVEIDVSNPDHHLVSFVFDALFDGSITIFYFAKEEPDGRFVPAFPEVHLPVKISFQKGPGQMFYQPSGTGIDLGFFELDDLSKSSPEEDVFPLIIAAETNLPDDLTDEHIDSVPNTLRHMQITQAVLEKKNGDNFHVRVIRQILWVAGVRYELREIYGIGSSAAEGFDDSDPGKECVICMTEPKDTAVLPCRHMCLCSECAKELRLQSNKCPICRQPIEQLIGIKINSGDQ >Potri.003G192300.2.v4.1 pep chromosome:Pop_tri_v4:3:19510774:19515928:-1 gene:Potri.003G192300.v4.1 transcript:Potri.003G192300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192300.v4.1 MNSILKSVNGFISSESAREYAKKEFNAILWIALITITALLLDKVFTLFRLWSKASKIPGPPCNSFFGHGNLGSRENFIDLLSESHDKYGSVFKLWLGPTQLLVSMKDPTLIKDMLSKAGDKLPCIGKAFRLAFGRSSLFFCSYDQAQKGRESLALELDDKMLGRANVIPKNVVDCIMEGVDASMSTGSVDCKLISQHMAFTILGTTLFGDTFLAWSKATFYEELLMMIAKDASFWASYRFTPFWKRGFWRYQSLCTELKCLTLDIVQQCGKNYKLFCHMDQNSHIGTAKVGIKAASGAPPSSGVEMQDNFFYQELGGDLIAREEPCGNIMGLMFHGCIATTSLIGSILERLVADAEIQDKIYSEIMKVKKGSVREDQDVEEMLLLLATIYESARLLPAGPLLQRCSLKDDLILKNGMLIPAGALLVVPAQLLQMDGSSWGSDASKFNPYRFLSKAGKGSDLVQDTSFTEEAADPIPCSFVLNDPNDDAAFLPFGSGMRTCVGQKFVIRGVATLFASLLERYEVRPRPQLGNDPKSTTNPEIVFVKRSR >Potri.006G074101.1.v4.1 pep chromosome:Pop_tri_v4:6:5424953:5429904:-1 gene:Potri.006G074101.v4.1 transcript:Potri.006G074101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074101.v4.1 MAESSSARKKNVELSRNKPDGKEKSSSSAAPSGSLKTVLNQSDWKDMLLMILGSIGSLADGSAMALMMLIVSSLMNSYGDASFTLQDVNKFSLAFIYVAVGVGSGAFLEGFCWARTAERQTFRIRRQYLQAVLWQDVGFFDTNHGASIASQVVSSISTDTLTIQGVLTEKIANFITNTTLFVTAQLAALYLSWRLAIVAIPALSMLVVAGFVYAKLLGDVGNKIQAAYEVAGGIVEQAVSSIRTVYSYVGEERTVKAYKISLEPTLKHGIKQGLMKGMAIGTIGVTFAVWALQGWYGSTLVMHKKAKGGDVFTAGVCIVYGGLGLGGALINIKYFIEANIAASRIFEMIHRVVDIDSAKELGKTMSEVKGEVEFRNIDFEYPSRPGSLVLSKFNLKVMAYQTVGLVGRSGSGKSTVINLLEKFYEPLRGHILLDGVDIKTLQLKWLRSQMGLVSQEPILFATSIKQNICFGKEEASMEEVMEAAKAANAHNFICQLPEGYNTLVGQLGSQLSEGQKQRISIARALLRDPRILLLDEATSALDSHSEKAVQDALNQASIGRTTIIVAHRLSALRNADLIAVIQSGKLVESGSHEQLMQNLNGPYSIMVQLQRNFIDDEVTSKAQDTGSSSSVVLDTGIANAEQKDETSLSQSFSDEKKTNQQQDDNYSSPSLWQLMSMAAPEWKPTLIGFIAALACGLIQPLHSLCMAALLAVYFTTDHNELRSQTRIYCFAFLAFAVFAFLTNVIQHYYFGIMGESLTKRVREALFEKLLTYEIEWFDQENNSSGAVCSRLATDATMVRTLVADRLSMLAQAISSTTLAVVLGLILSWKLALVAISLQPCIIAAFYISTTTMQTMSKKILKAQNESSELASEAVVNHRIITAFCFQEKVLKLFELTQVSSKKESHRQSWYAGFGLFLSQFITGAIPALTFWYGGRLLYHKEITYKHLFQTFLILVTTGRLIAETGTITADLSKGTSALESVFRILKRRTKIDPEHSDGIKPEKINGEIEFKQVHFFYPNRPKQMILTGVNLQIDAAKVAAIVGRSGSGKSTIIKLIERFYDTSSGSIDVDSINIKSYNLRALRSHIALVSQEPTLFAGTIRDNIAYAKENATEAEIIEAATIANAHDFISSMEDGYETYCGERGVQLSGGQKQRIALARAILKNPTILLLDEATSSLDVNSEKLVQKALERTMTGRTCLVVAHRLSTIQKADKIAVIDQGRIIEEGNHFELINKGEMGAYFSLVKLQQLSAM >Potri.011G097000.1.v4.1 pep chromosome:Pop_tri_v4:11:12529016:12530898:-1 gene:Potri.011G097000.v4.1 transcript:Potri.011G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097000.v4.1 MGLKNQACKSSSSSNLFFVRYSSILTLAFLFSSCFLCISMLRYLQEPSVLAEKDSFNGDLRDVKFAWNKLCFGPTFEKLKLAVFSKTWPIGAGPGGMERHASTLYHALAARGHEIHVFTAPSDRKPHLDDIHEGNLHVYFAANDHGSVNCSLAFEIFNKINANGEFDYVHTESVSLPHWRAKLVPNVAVTWHGIWYEIMHSKLFEELFTNPDGALPGPMTELQEAMPRLIDEIRFFSSYKQHVCISHSAGEVLVRVYQLPFRNVHVILNGVDNTKFVHDPDAGARFRRKHGVPDNGSLVMGVAGRLVRDKGHPLLHEAFSLIIKRHPGVFLLVAGSGPWGKRYAELGPNVKILGAMDSSQLSEFYNAIDVFVNPTLRPQGLDLTLIEAMHCGKPVLTPNYPSIIGTVVVKEEFGYTFSPNVKSFVEALELTIRDGPKVWRNKGMACKEYALSMFTATKMASAYERFFLCMKNSRYCQYPLSTDC >Potri.002G230200.7.v4.1 pep chromosome:Pop_tri_v4:2:22109736:22115853:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MFQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAGDAGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.10.v4.1 pep chromosome:Pop_tri_v4:2:22109734:22115787:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MFQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAGDAGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.2.v4.1 pep chromosome:Pop_tri_v4:2:22109729:22115882:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MPAGVMIPARNMPSMIGVNGNVGGFGSSSGLALGQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAGDAGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.8.v4.1 pep chromosome:Pop_tri_v4:2:22109735:22115852:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MFQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAGDAGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.5.v4.1 pep chromosome:Pop_tri_v4:2:22109690:22115853:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MFQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAGDAGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.1.v4.1 pep chromosome:Pop_tri_v4:2:22109753:22115879:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MPAGVMIPARNMPSMIGVNGNVGGFGSSSGLALGQIMFQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAGDAGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.11.v4.1 pep chromosome:Pop_tri_v4:2:22109670:22113884:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAGDAGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.4.v4.1 pep chromosome:Pop_tri_v4:2:22109740:22115852:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MPAGVMIPARNMPSMIGVNGNVGGFGSSSGLALGQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.9.v4.1 pep chromosome:Pop_tri_v4:2:22109731:22115820:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MFQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAGDAGVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.002G230200.3.v4.1 pep chromosome:Pop_tri_v4:2:22109665:22115882:-1 gene:Potri.002G230200.v4.1 transcript:Potri.002G230200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230200.v4.1 MPAGVMIPARNMPSMIGVNGNVGGFGSSSGLALGQIMFQPNMMEGHEFHHLDMTHNTSEGDMTRIRDEEFDSTNTKSGSENQEGASGDDQDPRPKKKRYHRHTQHQIQEMEAFFKECPHPDDKQRKELSRELGLEPLQVKFWFQNKRTQMKTQHERHENTQLRNENEKLRADNMRYREALSNASCPNCGGPTAIGEMSFDEHHLRLENTRLREEIDRISAIAARYVGKPVVNYPVLSPPMPPRPVDLGVGNFGGQPGLGGDIYEAGDLLRSISAPTEADKPMIIELAVAAMEELIRMAQMDEPLWMNSLDGIDAVLNEDEYIRIFPHGIGPKPTGFKCEASRESAVVIMNHINLVEYLMDVNQWSTLFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQLPTPLVPTRESYYVRYCKQHADGTWAVVDVSLDSIRPGPAARCRRRPSGCLIQEMPNGYSKVTWVEHVEVDDRGVHNLYKHLVSSGHAFGAKRWVATLNRQCERLASAMATNIPAVITNQEGRKSMMKLAERMVISFCAGVSASTAHTWTTLSGTGADDVRVMTRKSVDDPGRPPGIVLSAATSFWLPVPPKRVFDFLRDENTRNEWDILSNGGVVQEMAHIANGRDTGNCVSLIRVNSANSSQSNMLILQESCTDQTASFVIYAPVDIVAMNVVLNGGDPDYVALLPSGFAIFPDGTAAHGVGMDESGSTGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASLSCESA >Potri.006G018200.1.v4.1 pep chromosome:Pop_tri_v4:6:1125401:1126550:-1 gene:Potri.006G018200.v4.1 transcript:Potri.006G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G018200.v4.1 MGNCIDVLSYSSAERSKVLIHNGGEKEFKSSTRVKKITSGRYGGYMLVHSALPYVPLPPDTRLEPGVVYYLMPSLGQPCRLEVSSKLAGQETCASRKVKIVVTRQQLELLLRNSKQFRSKGIAVRFSESFKEGERKWRPSLVTIPEVQKF >Potri.002G198200.1.v4.1 pep chromosome:Pop_tri_v4:2:16114737:16117973:1 gene:Potri.002G198200.v4.1 transcript:Potri.002G198200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G198200.v4.1 MMKSRFRATLLPLFTGEYGLNPLTKSSTLLDRCFAARPFASFQLERIESLTRSTHSCAGEEDDFSELGLPVEQSVGTFPMLMTEKPETFKRSISTKKPSSLPPESIEKLTGTASKQNNCASKNSVSTKKASSLPSESIRNLVNTFSKQNNCASTPHLKPENNTDASCSKLAKKNASNFKSSTSVTIENVPSLLHLRRLKEAVSTYGKISNASMRAVPNGLDCCDIEFESVESRNRAVSVGRITLENFNLPILPLHVLHIVSLRISNVSSETDDSLIRSLCMSCGPLEGMVRDKDIVDASFSIRGKSDTEKIQKRLNQTIVDACKWSACLQTVMPTAVVTNNDNNAELHLGLEVSGKIHELKSQISLTQVLAEDLEYLYHALLHLQSHPSTGNKH >Potri.006G007100.2.v4.1 pep chromosome:Pop_tri_v4:6:495283:496843:-1 gene:Potri.006G007100.v4.1 transcript:Potri.006G007100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007100.v4.1 MPCLASPFPAKVLPSLVLGKDLLPLFLRLFRRFREAKGIMVNTFYELESHAINSFSDGNYPPVYPVGPILNLNGHEHDVVSDRKDTHRDIMQWLDHQPSSSVVYLCFGSMGSFGVEQVKEIACGLEQSGHRFLWSLRQPPPNGKMEAPSDYVNSAEVLPEGFLDRTSEIGKIIGWAPQVDILAHPSIGGFVSHCGWNSMLESIWFDVPIATWPLYAEQQFNAFLMIVEFGLAIEIQVNYRMDDCEIVRAEEIEKRIRGLMEFDIKKREKLKEISENSRKALMKDGSSYTWLGRLIQDMIDNMA >Potri.008G125500.1.v4.1 pep chromosome:Pop_tri_v4:8:8162567:8166254:-1 gene:Potri.008G125500.v4.1 transcript:Potri.008G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125500.v4.1 MTKRQGSPTASATLFTLLIFLLTTKVLARFIPHVCSPSSCGEIEIDYPFRLKTDPAGCGEPDFELSCENNKTILELHSGKYLVKRISYDVQRLRVVDVNLANGTCSLPYKSVSVDEFMDDDHYILDATTYTSFIKCSSNLSDQAYRLVPCLSGNGTSVYVSYVTYIISSLQGSCLFVSRVPTVYQAVLFPSYDSILQLMQTGFDLEWSVGCWYSSYSYDCYRSDYYLPLWFAAFSVVWDFLSAVYLVGRFILAPIGIFGFLIHKYMTTKKASGNEEIFLVNQQHLMPKRYTFSDIIAITNNFKDKLGQGGFGNVYKGQLRDAFLVAVKMLGNAKCNDEDFINEVSIIGRIHHVNIVRLVGFCSEGSYRALVFEYMANGSLDKLLFSRETELLLVSWEKLLQIAVGTARGIEHLHGGCSVCILHLDIKPHNVLLDSNFIPKVSDFGLAKFYPREKDFVSISTTRGTIGYFAPEMISRNLGAVSCKSDVYSFGMLLLEMAGRRRKSNSKGNCSSDVYFPSWVYDHLSEGGDLELENVTEIEAAIARKLCIVGLWCIQKAASDRPTMTKVVEMLGANIDDLQLPSNALSFPQSISKEPQSDSSTESLIPETADRSL >Potri.008G125500.2.v4.1 pep chromosome:Pop_tri_v4:8:8163311:8166251:-1 gene:Potri.008G125500.v4.1 transcript:Potri.008G125500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G125500.v4.1 MTKRQGSPTASATLFTLLIFLLTTKVLARFIPHVCSPSSCGEIEIDYPFRLKTDPAGCGEPDFELSCENNKTILELHSGKYLVKRISYDVQRLRVVDVNLANGTCSLPYKSVSVDEFMDDDHYILDATTYTSFIKCSSNLSDQAYRLVPCLSGNGTSVYVSYVTYIISSLQGSCLFVSRVPTVYQAVLFPSYDSILQLMQTGFDLEWSVGCWYSSYSYDCYRSDYYLPLWFAAFSVVWDFLSVYLVGRFILAPIGIFGFLIHKYMTTKKASGNEEIFLVNQQHLMPKRYTFSDIIAITNNFKDKLGQGGFGNVYKGQLRDAFLVAVKMLGNAKCNDEDFINEVSIIGRIHHVNIVRLVGFCSEGSYRALVFEYMANGSLDKLLFSRETELLLVSWEKLLQIAVGTARGIEHLHGGCSVCILHLDIKPHNVLLDSNFIPKVSDFGLAKFYPREKDFVSISTTRGTIGYFAPEMISRNLGAVSCKSDVYSFGMLLLEMAGRRRKSNSKGNCSSDVYFPSWVYDHLSEGGDLELENVTEIEAAIARKLCIVGLWCIQKAASDRPTMTKVVEMLGANIDDLQLPSNALSFPQSISKEPQSDSSTESLIPETADRSL >Potri.010G228766.2.v4.1 pep chromosome:Pop_tri_v4:10:21172108:21175522:1 gene:Potri.010G228766.v4.1 transcript:Potri.010G228766.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G228766.v4.1 MDEREFDKMMEERYKNNPRFRYAEDADEAKRSMERNFLEPSAKDPTVWKVKCMVGRERHSAFCLMQKFVDLKSLGTKLQIISAFAIDHVKGFLYIEADKQIDIIEACKGLCSIYSSRVAPFPKNEVSHLISIRRGCNQVTEGTWARVKNGNYKGDLAQIVAVNDVRKKATVKLIPRIDFQALAQKFGGGLAKKKAAIPAPRLISSSELEEFRPLIQYRRDRDTGKMFEVLDGLMLKDGYLYKRVSIDSLSCMGVIPSEEELLKFKPSENNESENLEWLAQIYVGQKKKQVIGNEKGGDKGESSLSSGQKFELYNLVCFGRKDFGLVVGMEKDDSYKILKHGLEKPDVVTVALRDLKNGLTDMKFTALDHHKKTISVNDSVKVLEGPLKDRQGIVKQIYRGIIFIYDQNETEDGGYFCLKAQMCEKIKLSFDACCGKDGESGSLDFEDFPSSPKSPLSPKRPWQARENNRNFNQGDKDGLFFIGQTLRIRIGPLKGYLCQVLAIRYSDVTVKLGSQQKVLTVKSEHLSEVRAKSSAVSVSDEPGSSSFKPFDLSGTEGGSGGWTGGAGASTGG >Potri.018G023500.1.v4.1 pep chromosome:Pop_tri_v4:18:1738767:1743114:1 gene:Potri.018G023500.v4.1 transcript:Potri.018G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G023500.v4.1 MGKPTGKKKNPGTETPPASPRTTIDMRQTKSSKAFDEDTAVFINMSQELKEEGNRLFQRRDHEGAMLKYEKALKLLPRNHIDVAYLRTNMAACYMQMGLGEYPRAISECNLALEAVPKYSKALLKRARCYEALNRLDLAFRDVSNVLSMEPNNMMGLEILESVKKAMSEKGITFDEKLIVMDSVVETGVARLRKVVKAKVKKKKKISGKGEENNIAGVVEEKKVENKDKVVVREKVSPVAKDKEVHMRTIEEEKVVTEDVNVEKVISKTVKLVFGEDIRWAQLPVNCSIGLLRDIVRDRFPRLKGVLMKYRDPEGDLITITTNNELRLAESSSDLQGSLRFYVVEVSFDQEPAYEGMKKEEEVHEDVKKTSEGVEVEKGPGGIDDWIVQFARLFKNHVGFDSDSCLDLHELGMKLYSEAMEDTVTSEEAQELFDVAADKFQEMVALALFNWGNVHASRARKQIFFSEDGSRESVLAQVKRAYDWAKKEYTRAGMKYQEALKIKPDFYEGLLALGQQQFEQAKLCWYFAIGSKIDLESGPSEEVLDLYNKAEDSMERGMQMWEEMEEQRLNGLSKFDKYKDQLQKLGLDGLLRDPSPEEAAEQAANMSSQIYLLWGTMLYERSVVEYKLELPTWEECLEVSVEKFELAGASPTDIAVMIKNHCSNSTALEGLGFKIDEIVQAWNEMYDAKRWEIGVPSFRLEPLFRRRVPKLHDMLEHV >Potri.001G366000.1.v4.1 pep chromosome:Pop_tri_v4:1:38238306:38242685:1 gene:Potri.001G366000.v4.1 transcript:Potri.001G366000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366000.v4.1 MAGIAIVLDLLSRKNPSSYPTHFSASAAAASIAASAAPFASRFLFGQPMIPVAYCDAGAALSGDYISSIRRASADIFQKGSLKYTTKEYYIELKPLFSAFEWKQLAMTSLRSFLMFYLPLLEPSTNTEEDDDDFLQDAHEVQRVDLVVPFQKSVKQIIRETTVVTTRRILERLAVHHISQRMAWKLLKDVPKSAIRKAERGMPTMVYFFRVCRSTFRGHFLGVAASWLVQVGIEIYRFFSHLTKPEEESNDVDKSEQVKILGKKVTGVTIRCGASLVFASIGAGVGATLFRPSRGQWIGCVLGDLAGPVVVSICLEKVLHTDL >Potri.001G366000.4.v4.1 pep chromosome:Pop_tri_v4:1:38238389:38242671:1 gene:Potri.001G366000.v4.1 transcript:Potri.001G366000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G366000.v4.1 MFMSLGLLLPHIDLLNGHDRQPMIPVAYCDAGAALSGDYISSIRRASADIFQKGSLKYTTKEYYIELKPLFSAFEWKQLAMTSLRSFLMFYLPLLEPSTNTEEDDDDFLQDAHEVQRVDLVVPFQKSVKQIIRETTVVTTRRILERLAVHHISQRMAWKLLKDVPKSAIRKAERGMPTMVYFFRVCRSTFRGHFLGVAASWLVQVGIEIYRFFSHLTKPEEESNDVDKSEQVKILGKKVTGVTIRCGASLVFASIGAGVGATLFRPSRGQWIGCVLGDLAGPVVVSICLEKVLHTDL >Potri.015G110900.5.v4.1 pep chromosome:Pop_tri_v4:15:12783598:12786608:1 gene:Potri.015G110900.v4.1 transcript:Potri.015G110900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110900.v4.1 MASFKEAENNPETPSSPKKIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLLYWQRPEYMKFIMYPHCLYFLELLQNANFRNAMAHPGNKELAHRQQFFFWKNYRNNRLKHILPRPLPEPAAAPPAPAPPPPLPVQPVPPVPAATLGMLAASGAVPSPMPYGMPTGSAFGKSDIRSSGSERRKRKKEV >Potri.015G110900.6.v4.1 pep chromosome:Pop_tri_v4:15:12783782:12786605:1 gene:Potri.015G110900.v4.1 transcript:Potri.015G110900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110900.v4.1 MASFKEAENNPETPSSPKKIYKDPDDGRQRFLLELEFVQCLANPTYIHYLAQNRYFEDEAFIGYLKYLLYWQRPEYMKFIMYPHCLYFLELLQNANFRNAMAHPGNKELAHRQQFFFWKNYRNNRLKHILPRPLPEPAAAPPAPAPPPPLPVQPVPPVPAATLGMLAASGAVPSPMPYGMPTGSAFGKSDIRSSGSERRKRKKEV >Potri.016G099400.1.v4.1 pep chromosome:Pop_tri_v4:16:10052210:10059960:1 gene:Potri.016G099400.v4.1 transcript:Potri.016G099400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G099400.v4.1 MAIKSQLITGLTLPMPHPPYTSSSSNNNGNNNTTLCMVKRRTLTTSFFNGGVEALKVARIRTSLSTRSQCYRQGGGALGTCMNLFDRFGRVVKSYANAIISSFEDPEKILEQTVLEMNDDLTKMRQATAQVLASQKRLENKYKAAQLASEEWYRKAQLALQKGEEDLAREALKRRKTYADNANSFKAQLDQQKGVVENLVSNTRLLESKMQEAKSKKDTLKARAQSAKTTTKMNEMLGNVNTSNALAAFEKMEEKVMAMESEAEALGQLTTNELDGKFALLEGSSVDDDLENLKKELGGSSKKGELPPGRTVVTSSNNSFRDPDIEMELNELRQKRKNF >Potri.017G145200.1.v4.1 pep chromosome:Pop_tri_v4:17:14544279:14547739:-1 gene:Potri.017G145200.v4.1 transcript:Potri.017G145200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145200.v4.1 MSSCILWFLFLQVIHHSFSISFARGESEIDKLSLLAFKAQISDPPEKLSSWNESLPFCQWSGVTCGRRHQRVIELDLHSSQLVGSLSPHIGNLSFLRLLRLENNSFTNTIPQEIDRLVRLQTLILGNNSFTGEIPANISHCSNLLSLNLEGNNLTGNLPAGLGSLSKLQVFSFRKNNLGGKIPPSFENLSSIIEIDGTLNNLQGGIPSSIGKLKTLSFFSLGSNNLSGTIPLSLYNISSLLHLSLAHNQFHGTLPPNMGLTLPNLQYLGIHDNRLSGLIPATLINATKFTGIYLSYNEFTGKVPTLASMPNLRVLSMQAIGLGNGEDDDLSFLYTLSNSSKLEALAINENNFGGVLPDIISNFSTKLKQMTFGSNQIRGSIPDGIGNLVSLDTLGLEANHLTGSIPSSIGKLQNLADFFLNENKLSGSIPSSLGNITSLMQINFDQNNLQGSIPPSLGNCQNLLVLALSQNNLSGPIPKEVLSISSLSMYLVLSENQLTGSLPFEVGKLVTLGYMDISKNRLSGEIPASLGSCESLEHLYLDGNFLQGPISESLRSLRALQDLNLSHNNLSGQIPKFLGDLKLQSLDLSFNDLEGEVPMNGVFENTSAISISGNKNLCGGILQLNLPKCRSKSTKPKSSTKLALIVAIPCGFIGLIFITSFLYFCCLKKSLRKTKNDLAREIPFQGVAYKDLRQATNGFSSENLIGAGSFGSVYKGLLASDGVIVAVKVFNLLREGASKSFMRECAALTNIRHRNLVKVLCAYAGVDVQGKDFKALVYEFMINGSLEEWLHPNQTLYQEVHEPRNLNLIQRLNIAIDVANALDYLHNHCKTPIAHCDLKPSNVLLDGDMTAHVGDFGLLKFLSEASCQTSSVGLKGTVGYAAPEYGIGSEVSTLGDVYSYGILLLEMITGKRPTDSMFKDGIELHNYVKMALPDRVVDVADPKLVIEVDQGKDAHQILECLISISKVGVFCSEKFPRERMGISNVVAVLNRTKANFLEGMDSYPPRSSSLPYGVQTTSK >Potri.017G145200.2.v4.1 pep chromosome:Pop_tri_v4:17:14544279:14547763:-1 gene:Potri.017G145200.v4.1 transcript:Potri.017G145200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145200.v4.1 MSSCILWFLFLQVIHHSFSISFARGESEIDKLSLLAFKAQISDPPEKLSSWNESLPFCQWSGVTCGRRHQRVIELDLHSSQLVGSLSPHIGNLSFLRLLRLENNSFTNTIPQEIDRLVRLQTLILGNNSFTGEIPANISHCSNLLSLNLEGNNLTGNLPAGLGSLSKLQVFSFRKNNLGGKIPPSFENLSSIIEIDGTLNNLQGGIPSSIGKLKTLSFFSLGSNNLSGTIPLSLYNISSLLHLSLAHNQFHGTLPPNMGLTLPNLQYLGIHDNRLSGLIPATLINATKFTGIYLSYNEFTGKVPTLASMPNLRVLSMQAIGLGNGEDDDLSFLYTLSNSSKLEALAINENNFGGVLPDIISNFSTKLKQMTFGSNQIRGSIPDGIGNLVSLDTLGLEANHLTGSIPSSIGKLQNLADFFLNENKLSGSIPSSLGNITSLMQINFDQNNLQGSIPPSLGNCQNLLVLALSQNNLSGPIPKEVLSISSLSMYLVLSENQLTGSLPFEVGKLVTLGYMDISKNRLSGEIPASLGSCESLEHLYLDGNFLQGPISESLRSLRALQDLNLSHNNLSGQIPKFLGDLKLQSLDLSFNDLEGEVPMNGVFENTSAISISGNKNLCGGILQLNLPKCRSKSTKPKSSTKLALIVAIPCGFIGLIFITSFLYFCCLKKSLRKTKNDLAREIPFQGVAYKDLRQATNGFSSENLIGAGSFGSVYKGLLASDGVIVAVKVFNLLREGASKSFMRECAALTNIRHRNLVKVLCAYAGVDVQGSA >Potri.007G043200.2.v4.1 pep chromosome:Pop_tri_v4:7:3681863:3683871:-1 gene:Potri.007G043200.v4.1 transcript:Potri.007G043200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043200.v4.1 MAVTDAIEEPSPSSNFSQPPPRHFYVTVVDRLHFKMDTLVHLLGVAARRPALPIVVCCTSRDQLDAVCSAVSNLPFISLASLYSDLAESDRNVILEEFRKATVRWSQIVNAGQSAGGGSGGETGNNNDESNTNNNKTKSHMIVVTDACLPLLALGEAPVSARVLINYELPSKETYLRRMATCLAADGIVINVVVGGEVITLKNIEESSSLVIAEMPINISEIL >Potri.011G084900.2.v4.1 pep chromosome:Pop_tri_v4:11:9639176:9641463:1 gene:Potri.011G084900.v4.1 transcript:Potri.011G084900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G084900.v4.1 MALLLSRIAMIHIFPILFLTFSDNYGFLRGINSLGINYGQVGNNLPQPENVLDLLISLKLTKARIYDTNPQILTAFSNSNVELIVTIENQMLAVLMDPQQALQWVSTHIKPYFPATRITGIAVGNEVFTDDDTTLLAYLVPAVVNIHSALAQLGLDRYIQVSTPNSLAVLAESFPPSAGTFKTEVSGVMSQFLHFLSNTKSPFWINAYPYFAYKDKPDDIPLDYVLFKPNSGMVDPYTKLHYDNMLYAQVDAVIFAIARMGFNGIEVRVSETGWPSKGDSDEVGATIENAAAYNKNILRRQLNSEGTPLRPNMRLEVYLFALFNEDLKPGPTSERNYGLFQPDCSMAYNVGLSALSSPSTPSASISLTSSATKDTNTESLAYWMFVYLLTFQVFIRRAY >Potri.018G145580.1.v4.1 pep chromosome:Pop_tri_v4:18:15530006:15531600:1 gene:Potri.018G145580.v4.1 transcript:Potri.018G145580.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145580.v4.1 MAPQRNGWLGAKVSADKDESCYQELRCGKQSRRGWFQFCLQGCEELRYLSGEFHGFTSLQLLSIEGCPKLTSIPSVQHCTTLVKLDIDGCLELISIPGDFQELKYSLKILSMYNLKLEALPSGLQCCASLEELYIWDCRELIHISDLQELSSLRRLEIRGCDKISSIEWHGLRQLPSLVYLEISGCRSLSHFPDDDCLGGLTQLKELAIGGFSEELEAFPAGVLNSFQHLNLSGSLERLEICGWDKLKSVQHQLQHLTALERLEICDFRGEGFEEALPDWLANLSSLRYLGIDNCKNLKYLPSLTAIQRLSKLKGLRILGGCPHLSENCRKENGSEWPKISHIPTIDIV >Potri.004G047000.1.v4.1 pep chromosome:Pop_tri_v4:4:3738386:3743018:1 gene:Potri.004G047000.v4.1 transcript:Potri.004G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047000.v4.1 MPAQKRSHETLQKEDDDALIQQQQDHTNNNNNNNNHEQKQQPHDDIDDDGGGGGVDEQSDRSQSSSSINEDVKEEYVVVKLSEIRKEVQCPICLGIIRKTRTVMECLHRFCRECIDKSMRLGNNECPACRTHCASRRSLRDDPNYDALISALYPDIDKYEEEELAFQEDEKARNKQIQATIAQTFHRQAEALSRKKTTAKVTAAAFARRTPSRFRDAHSRGRRNYRMAGFQGSDDNEDANGDGGKDSSSADEHSAEVKPKRCKKWAGARSAAVNADGGGDENDSEVNKESVGGSSGLVGSSERLAWGKNGMRSHTRYGSANGSNVKNARSSRISKLADYLRNLEGNDNELDINLMLVSFDEQRVPSLQRPYLCCRPTLSINKLCQYVAFQTSLQANEVEIYLVREMNSKVDFSISMSTPISKPGIIDPCKDKLQVLEEQETLGRLKTNNFIHGYLLLAYRKKV >Potri.017G144241.2.v4.1 pep chromosome:Pop_tri_v4:17:14456666:14461298:-1 gene:Potri.017G144241.v4.1 transcript:Potri.017G144241.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144241.v4.1 MEKKLVPCLVVSILIFCSVTHVRSDASDHRYKVGEDVPLYVNKVGPFHNPSETYRYFDLPFCSSGPTKDKKEALGEVLNGDRLVTAPYKLDFLNDKDSEIACRKRLTKEQVAQFREVVSKDYYFQMYYDDLPIWGFLGKVDKERKNDPSEYKYYLFKHLHFTIFYNKDRVIEITAQSDLNNVVDLTEDKEVDVEFMYSVKWKETEIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSLLAAAVGSGTQLFTLTIFIFVLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPQLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYRSIKCE >Potri.017G144241.3.v4.1 pep chromosome:Pop_tri_v4:17:14456686:14461234:-1 gene:Potri.017G144241.v4.1 transcript:Potri.017G144241.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144241.v4.1 MEKKLVPCLVVSILIFCSVTHVRSDASDHRYKVGEDVPLYVNKVGPFHNPSETYRYFDLPFCSSGPTKDKKEALGEVLNGDRLVTAPYKLDFLNDKDSEIACRKRLTKEQVAQFREVVSKDYYFQMYYDDLPIWGFLGKVDKERKNDPSEYKYYLFKHLHFTIFYNKDRVIEITAQSDLNNVVDLTEDKEVDVEFMYSVKWKETEIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSLLAAAVGSGTQLFTLTIFIFVLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPQLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYRSIKCE >Potri.017G144241.4.v4.1 pep chromosome:Pop_tri_v4:17:14456748:14461234:-1 gene:Potri.017G144241.v4.1 transcript:Potri.017G144241.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144241.v4.1 MEKKLVPCLVVSILIFCSVTHVRSDASDHRYKVGEDVPLYVNKVGPFHNPSETYRYFDLPFCSSGPTKDKKEALGEVLNGDRLVTAPYKLDFLNDKDSEIACRKRLTKEQVAQFREVVSKDYYFQMYYDDLPIWGFLGKVDKERKNDPSEYKYYLFKHLHFTIFYNKDRVIEITAQSDLNNVVDLTEDKEVDVEFMYSVKWKETEIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSLLAAAVGSGTQLFTLTIFIFVLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPQLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYRSIKCE >Potri.017G144241.5.v4.1 pep chromosome:Pop_tri_v4:17:14456660:14459829:-1 gene:Potri.017G144241.v4.1 transcript:Potri.017G144241.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144241.v4.1 MYSVKWKETEIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSLLAAAVGSGTQLFTLTIFIFVLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPQLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYRSIKCE >Potri.017G144241.1.v4.1 pep chromosome:Pop_tri_v4:17:14456723:14461495:-1 gene:Potri.017G144241.v4.1 transcript:Potri.017G144241.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144241.v4.1 MEKKLVPCLVVSILIFCSVTHVRSDASDHRYKVGEDVPLYVNKVGPFHNPSETYRYFDLPFCSSGPTKDKKEALGEVLNGDRLVTAPYKLDFLNDKDSEIACRKRLTKEQVAQFREVVSKDYYFQMYYDDLPIWGFLGKVDKERKNDPSEYKYYLFKHLHFTIFYNKDRVIEITAQSDLNNVVDLTEDKEVDVEFMYSVKWKETEIPFEKRMEKYSQSSSLPHHLEIHWFSIINSCVTVLLLTGFLATILMRVLKNDFVKYAHDEESAEDQEETGWKYIHGDVFRYPKYKSLLAAAVGSGTQLFTLTIFIFVLALVGVFYPYNRGALFTALVVIYALTAGIAGYTAASFFCQLEGTNWVRNLLLTGALFCGPLFLTFCFLNTVAIAYSATAALPFGTIVVIFLIWALVTTPLLVLGGIAGKNSKAEFQAPVRTTKYPREIPQLPWYRKTLPQMAMAGFLPFSAIYIELYYIFASVWGHRIYTIYSILFIVFIILLIVTAFITVALTYFQLAAEDHEWWWRSFLCGGSTGLFIYGYCLYYYYARSDMSGFMQTSFFFGYMACVCYGFFLMLGSIGFRASLFFVRHIYRSIKCE >Potri.013G018800.2.v4.1 pep chromosome:Pop_tri_v4:13:1176104:1183841:-1 gene:Potri.013G018800.v4.1 transcript:Potri.013G018800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018800.v4.1 MAMARASSGPAYPERFYAAAAYAGFDGSHNSTSTVSSKFQNDTALLLYALYQQATVGPCNVPKPSSWKAVEQSKWKSWQGLGNMASTEAMRLFVKILEEDDPSWYYRASNSISEISESVVDTHVNHYSKDEPIIKNGNSFPETKTISTENGTLVETQDKDVVSEDVGTVAVYDQWIAPSITGQSPKARYEHGAAIVQDKMYVYGGNHNGRYLNDLHVLDLRSWAWSKVNFKVENESQEGQSPAKLTPCAGHSLIPWENKLLSVAGHTKDPSETIQIKVFHLQSCTWSTLKTYGKGPVSRGGQSVTLVGTSLVIFGGQDAKRSLLNDLHILDLETMTWDEIDAVGVPPSPRSDHAAAVHAERYLLIFGGGSHATCFNDLHVLDLQTMEWTRPTQQGEIPTPRAGHAGVTVGENWFIVGGGDNKSGVSETAVLNMSTLVWSVVTSVQGRVPLASEGLSLVLSSYNGEDVLVSFGGYNGHYSNEVNVLKPSHKSTLQSKITETPVPDSVSAVHNVTNPTRDVDSELEVGQEGKIREIVMDNIDRESWKSRGEGTSLIATLKAEREELDSSLSKEKLQTLHLKQELADAETRNTDLYKELQSVRGQLAAEQSRCFKLEVDVAELRQKLQTMETLQKELELLQRQKAASEQALDANHRQGSGGVWGWLAGTPGGQKGDDA >Potri.009G133701.1.v4.1 pep chromosome:Pop_tri_v4:9:10836601:10837217:-1 gene:Potri.009G133701.v4.1 transcript:Potri.009G133701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133701.v4.1 MVSSSPIKNPQGILTILVIHSFQFSTLFSRFIDIESIERTSNTCSTFERPCVISPDLDFSYINVTNVSFS >Potri.011G156000.1.v4.1 pep chromosome:Pop_tri_v4:11:18251528:18253793:-1 gene:Potri.011G156000.v4.1 transcript:Potri.011G156000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156000.v4.1 MKASMSLRLLVCSLLLVLVPLATSDTSLDRFLKCLPSHSDSSYPVSRATYRITNSSFEPTLRAYAKASRFLTSTTPKPLAIIAATHESHVQATVICAKSNGLQIRIRSGGHDYEGLSYVSNVPFVILDTFNLRSIDIDVAGKTAWIQSGATTGELYYNIANKSNVLAFPAGVCLTLGAGGHFSGGGYGPLMRKHGLSIDNIVDAKIVDVNGKILDRKSMGEDLFWAIRGGGGASFGVILSWKINLVDVPPKVTTFTVSKTLEQGATDVVYRWQEVASKLDKELFIRVMPRVVDGSSGSNKTVTVSFIGLFLGPSCKLLPLMKNSFPELGLQQKDCNEMSWVESTLYWFGLPNGTSIETLLNRPTRASFFKRKSDYVKRAIPKKGLEKIWQTMIKVERVWMQWNPYGGRMDEIPATATAFPHRAGNLFKIQYSVDWSDQEGIEAANHHIDLITQLYDTMTPYASSNPREAFLNYRDVDIGSNPGNQTSFEKAKVYGSKLFKNNFIRLVKVKSRVDPDDFFKYEQSIPASLK >Potri.013G019900.1.v4.1 pep chromosome:Pop_tri_v4:13:1261510:1265729:1 gene:Potri.013G019900.v4.1 transcript:Potri.013G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019900.v4.1 MQASPNSLSSHHVAVIGAGAAGLVAARELHREGHKVVVFEKDDQVGGLWMYDPRVEPDPLGLDLTRPVVHSSLYESLRTNLPRETMGFMDYPFVTREGEGRDPRRFPGHREVLMYLQDYAREFGIEEMVRFGCEVVNVEMIDSGKWKVKSKRKRLDDNDRGDDFADHEDFDAVVVCVGHYTQPRIAEIPGINLWPGKQIHSHNYRIPEPFRDQIIILIGASASAADISVEIAGLAKEVHIARRSAVDDDTYEKKPGYDNIWLHSTIERACEDGTVIFRDGSVILADVILHCTGYKYGFPFLKTDGIVTVDDNRVGPLYKHVFPPILAPWLSFVGIPYWTFPFPTFEVQSKWIAGVLSGRIALPSQEDMVEDVKIYYSELEASGVPKHHTHNLAHSTNDYNMWLASQCQCSCFEEWRIEMSHEILKNWRARPNMYRDEWDDDHLILQAQEDFNRRISNKASNGHI >Potri.007G096300.1.v4.1 pep chromosome:Pop_tri_v4:7:12106826:12108934:-1 gene:Potri.007G096300.v4.1 transcript:Potri.007G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096300.v4.1 MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDALGEEFNGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGENDLPGLTDVEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARISDKKKRITKAKAEAAEYQKLLATRLKEQRERRSESLAKKRSRLSVASKPSVVA >Potri.014G040000.1.v4.1 pep chromosome:Pop_tri_v4:14:2584224:2586053:-1 gene:Potri.014G040000.v4.1 transcript:Potri.014G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040000.v4.1 MANFFLSLLTLSFLTSYANAQQNYSKDSALDCNANDDAGPSSAFLYTYNGQDQSCQAFLIFKSQPSFNSVPSISALTSANQEELARINNVTRLSEFPTNNEVIVPVNCFCFGQYYQANTTIQVTTTRGTYYVIANETYEGLSTCAALKHLNIHGEYDLLPGEELQVPLRCACPTTNQMIRGTKYLVTYPLSSDDNIPDIADRFKVSTKDILDANGMEENPTLYPDTTILIPLPTQPTSSQTIIHSNPNISPPSALSPRNRGSKKKHYESAGLAAACSLLVISIITAVVFLSCKKTREKVSGRGRERKQAVPEDIRVEIASYEQVLKVFKFEEVRKATENLSSESRINGSVYRGEFGGEILAVKKMSRDVTKEVNILKRINHFNLIKLEGVCENRGCFYLVLEYMENGSLREWLSCKKFEETGNWAQRIQIALDVANGLYYLHSFTEPAYVHKDIKSSNVLLNGNLRAKIANFSLARAATSAAMTKHVVGSIGYMAPEYVREGQVTPKIDVYAFGVILLELITGKDAVFTQDGREALLSTEIFSIMENKNPEVELDFFVDPALKGSCGTNFALCLAKVSVACLMKEPARRPSMEEVVSVLLKIQANVQKS >Potri.014G118600.1.v4.1 pep chromosome:Pop_tri_v4:14:8003918:8009047:1 gene:Potri.014G118600.v4.1 transcript:Potri.014G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118600.v4.1 MLLRLLLLLSVLGSSWIGWVNSHQESGEWSCESDEEIRIEAEFKPGFITLDGHADDWKDIDGLDSSLLPALDPDDDKKYTGGKMTVKALHDGNDMFFLLQVDGNYAYTKGDNKKCPSVALMFPIGDEATYHNMGGCKEGTGTCNRKTCKGHEVDIMHFSIGNAIPGRLYGGNPLDNGEGNGGDRFGHLVDLYSWNPHCRYLDGTGPSGNDSSGQNNWKGAWWHSSFNVHSGFVEEDSPYTSDSQKGTYFFEFSRPLRTMDRLQQDAQFTIGGSSKMAVAFWYPVDGNSWHGSGHYSVNCDWIPLDISPGSSMLKASTTGSSGDVASAFALLFSVVSICLSVFVGYRAATRSRNEPYEAVGNL >Potri.004G178000.1.v4.1 pep chromosome:Pop_tri_v4:4:19275421:19279246:-1 gene:Potri.004G178000.v4.1 transcript:Potri.004G178000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G178000.v4.1 MEEPNNAGYVALGEASFPTLDKFQKVSVIPLVFLIFYEVSGGPFGVEDSVQAAGPLLALLGFLLFPLVWSIPEALITAEMGTMFPENGGYVVWVSSALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSAIPALEGGIPRIVAVLALTAALTYMNYRGLSIVGWVAILLGVFSLLPFVLMGLVAIPKLEPSRWFVVDFSNVDWGLYLNTLFWNLNYWDSISTLAGEVENPNKTLPKALFYALILVVSAYFFPLLIGTGAVPLDQEMWSDGYFSEIAKILGGVWLRSWIQGASAFSNMGMFVAEMSSDSFQLLGMAERGMLPEIFGKRSRYGTPLTGILFSASGVILLSWLSFQEIVAAENFLYCFGMIMEFIAFVKLRMDYPAAPRPYKIPVGTVGAILICIPPTLLILVVLTLASLKVMAISSVALIVGLIMKPCLDYAEKKRWFRFSKNSDLSSIHSV >Potri.019G014366.1.v4.1 pep chromosome:Pop_tri_v4:19:2290356:2292025:1 gene:Potri.019G014366.v4.1 transcript:Potri.019G014366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014366.v4.1 MEGFQPSFMEEVDNMTWDQLGFQSEEDTFNMSELLSLPPEVPVNQSSISCNDQGGQKNVINDSIQQTTPFPTSFPETVVSTPICYNAH >Potri.006G132951.2.v4.1 pep chromosome:Pop_tri_v4:6:10894319:10894630:-1 gene:Potri.006G132951.v4.1 transcript:Potri.006G132951.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G132951.v4.1 MQLRQCPHHLNCTVPCGNENLTSPQAAGFIRSDREAEYRKKGSRNCLPTFPKIRKDLLLTADDNIRHILIV >Potri.016G000100.3.v4.1 pep chromosome:Pop_tri_v4:16:1604:8511:-1 gene:Potri.016G000100.v4.1 transcript:Potri.016G000100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000100.v4.1 MFAPQTQREETFRNLGEGIHYATPIIPLTRKTSEVDLVRGVVQMMQGLSSSLFYWDQSGQCFCVANVGIYVTHLSHSTLHNLLSRFTYAATCLQLVHLRLNLPHSYYALPTLRAFASVASHCLLRMRDVALKEEMKMCHSNEQEDFGNEFTPTLLGLSSSLSSLCSAAEYLFQIVHGAIPQVCFEPNSSVPPVEIAVHILDYLYTKLDQVCLVQGGEVEEYLMLLNMFVGSIVPYIEGLDSWLFEGTLDDPFEEMFFYANRAISVDKSEFWEKSYQLRRLQCRKLDINSSIPLSNNKTGMGEKDSIPFSEFKKGKELNVKELLVCPLFIKEISKSIVSAGKSLQLIRHVPISFSMMFEKRRHTDINVFGGSSDDSGLSICRQTFAGLTLSEIFCVSVAGLIGHGDHIFRYFLQNEQSKSKSAAPLVSAIIRKEENKDDEGLHKFLINTLLQRKVIDLECAHNFGIDFSDLEEERMKTGAVDEFPLQGTFFPENPAITACQSLLDKNRDSWKMLNLSKNFYLPPLNDEVLRHAIFGGENGPVSAVKGTDYAFGFQFGVSDYDDSQNDTKLLEVLFPFPTVLPSFQDDKRMSELLPFPKNSTLISRVLSWFQSV >Potri.016G000100.2.v4.1 pep chromosome:Pop_tri_v4:16:1644:8466:-1 gene:Potri.016G000100.v4.1 transcript:Potri.016G000100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000100.v4.1 MFAPQTQREETFRNLGEGIHYATPIIPLTRKTSEVDLVRGVVQMMQGLSSSLFYWDQSGQCFCVANVGIYVTHLSHSTLHNLLSRFTYAATCLQLVHLRLNLPHSYYALPTLRAFASVASHCLLRMRDVALKEEMKMCHSNEQEDFGNEFTPTLLGLSSSLSSLCSAAEYLFQIVHGAIPQVCFEPNSSVPPVEIAVHILDYLYTKLDQVCLVQGGEVEEYLMLLNMFVGSIVPYIEGLDSWLFEGTLDDPFEEMFFYANRAISVDKSEFWEKSYQLRRLQCRKLDINSSIPLSNNKTGMGEKDSIPFSEFKKGKELNVKELLVCPLFIKEISKSIVSAGKSLQLIRHVPISFSMMFEKRRHTDINVFGGSSDDSGLSICRQTFAGLTLSEIFCVSVAGLIGHGDHIFRYFLQNEQSKSKSAAPLVSAIIRKEENKDDEGLHKFLINTLLQRKVIDLECAHNFGIDFSDLEEERMKTGAVDEFPLQGTFFPENPAITACQSLLDKNRDSWKMLNLSKNFYLPPLNDELPNTPTLSSTPRKSRLHNFGIDGLDSLKFTYKVSWPLELIANTESIKKYNQVMGFLLKVKRAKFALDKARRWMWKGRGNATNSRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAVAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWALIASRINSILGLALDFYSIQQTLSSSGAASAMKARCEMEVERIEKQFDDCIAFLLRVLSLKLNVGNFPHLADLVTRINYNHFYMSDNGNLMTATGSEIVTSRLGKTFG >Potri.005G117900.3.v4.1 pep chromosome:Pop_tri_v4:5:8638164:8642393:-1 gene:Potri.005G117900.v4.1 transcript:Potri.005G117900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117900.v4.1 MVELQLSNLSFSKVNTITSSSNNNKFGAALKESDSVKRKFECVRQLYYATRKKLRKRGVGGCGDFCFLDSLDGGSFEGGVGFGGNGGFGEDDRVRFGFVGGNEGGEGDVCFERENVQRDVQDVQDVEDGFVEFRDSERSEEPGPCGVPESDALIHAGRIESLAPRVPLWKGMEDVSAPKMPASVNGKGQSEGDLIVNHDDVNGYKMSLVGVEVDHSRVELRDEPVFDVRDRSTAISEFDFPDISDSLLNFPNDNEPLFIDVNGKDAIDKACYDSITTSLLVSSPNDVQGDVPDVKDPVMLASDTSLGIPDGACPAELEVVAEESHSVGGKQDINFVSEMNAPPSTSAPKVLSAEENVGEMECTLNMEDFEIPCNDDVFIGKTISSPIMEQISNLTHNLPSSSLDKKDCKQEIILLKKEGIPAQCLTSPQMVGGSMLPVTSPRHQPVCSGAKCESLALISRPVITAHVEPSEGRVALGTPTPSTVGLPKFGSLDEKLSLPIKVISVPSTSNQEESGSDDDVPCFSDIEAMILEMDLCPDDSDSFFNHEVSRYQNEDAMRAIIRLEQCAQSSMQRAIASRGALAVLYGRHLKHYIKDTEVMLGRATEDMDVDIDLGREGPANKISRRQALIKMEGDGSFFLKNLGKSPMFLNGKELASGQSRGLRSSSLIEIREMAFVFEVNSKSVKRHLVSVIKNHKENNFKSKWSDKGVNHRETDFKFEWSEGVP >Potri.005G117900.1.v4.1 pep chromosome:Pop_tri_v4:5:8637449:8642536:-1 gene:Potri.005G117900.v4.1 transcript:Potri.005G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117900.v4.1 MAAISATATTSVTTTTSIPPPPPWIPEDDLLLKNAIEAGASLEALAKGAVRFSRKFSLRELSDRWHSLLYDDDVSAEASGRMVELQLSNLSFSKVNTITSSSNNNKFGAALKESDSVKRKFECVRQLYYATRKKLRKRGVGGCGDFCFLDSLDGGSFEGGVGFGGNGGFGEDDRVRFGFVGGNEGGEGDVCFERENVQRDVQDVQDVEDGFVEFRDSERSEEPGPCGVPESDALIHAGRIESLAPRVPLWKGMEDVSAPKMPASVNGKGQSEGDLIVNHDDVNGYKMSLVGVEVDHSRVELRDEPVFDVRDRSTAISEFDFPDISDSLLNFPNDNEPLFIDVNGKDAIDKACYDSITTSLLVSSPNDVQGDVPDVKDPVMLASDTSLGIPDGACPAELEVVAEESHSVGGKQDINFVSEMNAPPSTSAPKVLSAEENVGEMECTLNMEDFEIPCNDDVFIGKTISSPIMEQISNLTHNLPSSSLDKKDCKQEIILLKKEGIPAQCLTSPQMVGGSMLPVTSPRHQPVCSGAKCESLALISRPVITAHVEPSEGRVALGTPTPSTVGLPKFGSLDEKLSLPIKVISVPSTSNQEESGSDDDVPCFSDIEAMILEMDLCPDDSDSFFNHEVSRYQNEDAMRAIIRLEQCAQSSMQRAIASRGALAVLYGRHLKHYIKDTEVMLGRATEDMDVDIDLGREGPANKISRRQALIKMEGDGSFFLKNLGKSPMFLNGKELASGQSRGLRSSSLIEIREMAFVFEVNSKSVKRHLVSVIKNHKENNFKSKWSDKGVNHRETDFKFEWSEGVP >Potri.013G115300.2.v4.1 pep chromosome:Pop_tri_v4:13:12373874:12383783:-1 gene:Potri.013G115300.v4.1 transcript:Potri.013G115300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115300.v4.1 MGGLCSRSSTVDNAPGGGFPQLNGHFSHGPGLVYQTRELKIDNNANPSPIVENVDNKQLREPFSLPEVTVVQYEVNPDDIDDGIPRLSRALSNKSGSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAYDVLDTLGSSMTNLNPSSGFTSGLTTKGDKISILAFEVANTIVKGANLMQSLSEENIRHLKEVVLPSEGVQNLISRDMDELLRLAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYLEKLGSELTPEMQLKDEAETVMQQLMNLVQYTAELYHEMHALDRFEQDYRRKLQEDDKTNAAQRGDSLAILRAELKSQSKHVKSLKKKSLWSKILEEVMEKLVDIVHFLHLEIHEAFGSADGDRPVKSSSNHKKLGPAGLALHYANIVTQIDTLVSRSSSVPPNTRDALYQGLPPNIKSALRFKLLSFQVNEELTVSQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEVNRKPAGQTDLLRIETLHHADKEKTETYILELVVWLHHLVSQVRAGNGSRSPVKSPTRSPNEKTIQLSTQKPSSPSPTLTIEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPMGETRKDPFPIRRPSSVPVMNFDIDWIKALDVIDRVDTIRSF >Potri.013G115300.6.v4.1 pep chromosome:Pop_tri_v4:13:12373875:12383783:-1 gene:Potri.013G115300.v4.1 transcript:Potri.013G115300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115300.v4.1 MGGLCSRSSTVDNAPGGGFPQLNGHFSHGPGLVYQTRELKIDNNANPSPIVENVDNKQLREPFSLPEVTVVQYEVNPDDIDDGIPRLSRALSNKSGSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAYDVLDTLGSSMTNLNPSSGFTSGLTTKGDKISILAFEVANTIVKGANLMQSLSEENIRHLKEVVLPSEGVQNLISRDMDELLRLAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYLEKLGSELTPEMQLKDEAETVMQQLMNLVQYTAELYHEMHALDRFEQDYRRKLQEDDKTNAAQRGDSLAILRAELKSQSKHVKSLKKKSLWSKILEEVMEKLVDIVHFLHLEIHEAFGSADGDRPVKSSSNHKKLGPAGLALHYANIVTQIDTLVSRSSSVPPNTRDALYQGLPPNIKSALRFKLLSFQVNEELTVSQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEVNRKPAGQTDLLRIETLHHADKEKTETYILELVVWLHHLVSQVRAGNGSRSPVKSPTRSPNEKTIQLSTQKPSSPSPTLTIEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPMGETRKDPFPIRRPSSVPVMNFDIDWIKALDVIDRVDTIRSF >Potri.013G115300.5.v4.1 pep chromosome:Pop_tri_v4:13:12373874:12383965:-1 gene:Potri.013G115300.v4.1 transcript:Potri.013G115300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115300.v4.1 MGGLCSRSSTVDNAPGGGFPQLNGHFSHGPGLVYQTRELKIDNNANPSPIVENVDNKQLREPFSLPEVTVVQYEVNPDDIDDGIPRLSRALSNKSGSTKSKQAAVAKVSEVSSLLGRAGTAGLGKAYDVLDTLGSSMTNLNPSSGFTSGLTTKGDKISILAFEVANTIVKGANLMQSLSEENIRHLKEVVLPSEGVQNLISRDMDELLRLAAADKREELKVFSGEVVRFGNRCKDPQWHNLDRYLEKLGSELTPEMQLKDEAETVMQQLMNLVQYTAELYHEMHALDRFEQDYRRKLQEDDKTNAAQRGDSLAILRAELKSQSKHVKSLKKKSLWSKILEEVMEKLVDIVHFLHLEIHEAFGSADGDRPVKSSSNHKKLGPAGLALHYANIVTQIDTLVSRSSSVPPNTRDALYQGLPPNIKSALRFKLLSFQVNEELTVSQIKAEMEKTLQWLVPIATNTTKAHHGFGWVGEWANTGSEVNRKPAGQTDLLRIETLHHADKEKTETYILELVVWLHHLVSQVRAGNGSRSPVKSPTRSPNEKTIQLSTQKPSSPSPTLTIEDQEMLRDVSKRKKTPGISKSQEFDTAKTRLSKHHRLSKSSSHSPMGETRKDPFPIRRPSSVPVMNFDIDWIKALDVIDRVDTIRSF >Potri.014G144100.6.v4.1 pep chromosome:Pop_tri_v4:14:9850378:9857540:1 gene:Potri.014G144100.v4.1 transcript:Potri.014G144100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144100.v4.1 MHLKADKKAFYSKLQDYHIDMITYLQKLKDTWESSKDPEKEILQKIWRRSRSDADKRISPCDTESKFHGTGENESATSGSCSLVAEEKTSSSDTQNSHVTKSGEVQKRICEKGSMKEKLRKSLLASDDARPGKGDKLRKRNIHRSDGAKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEQKKLQEHWMQLANKDLPVAHAIWRERQFQRQEITKSLEEEIEGQLKYPVEHLEKDGHETLLQDQSDQCADQHDTNMEDKQEQNHEIVLQDQQERNHEIVLQDQQERNHEIVLQDQHDHGSRNEESSISDYGDSGSGSQQNQSPQHLSSLSVSQDLNPIDMKMENNHVHLNSNSDEASPHVSEYSGTMHIGDASIDQGVPFSSGGDVWSAVSIPNSYYDPTANHEYTSTGRLSLPHQVNEEQCSQLIDLESEVHEEETGKDLLHRQSDDGSFSSYPNHDRSGLLQSLFKGQVTLPYHNEQKPTGLDFQSPNDAIMQDGQYTGHIQGQLQSSLSLEQRQKNHIEDYMQQNISEDIYSEGGGFLIPRQGHAPLVNLQEWNVNPVRMPARLQSHPNEDGLLIQNWFSGEHQVRGDWNGAGGVSVSNQSIGSNADQSLFSVLSQCNQLHMASPINQLRSGSPTNQRPNGTIDSVGSAEQFVLPRAYGMVSGVTPRVSNALPQPAHPLDYFSGRDTASSLMPDDMGWMALPQNSVLHDPMGKPYLRSWNR >Potri.014G144100.8.v4.1 pep chromosome:Pop_tri_v4:14:9854525:9857544:1 gene:Potri.014G144100.v4.1 transcript:Potri.014G144100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144100.v4.1 MKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEQKKLQEHWMQLANKDLPVAHAIWRERQFQRQEITKSLEEEIEGQLKYPVEHLEKDGHETLLQDQSDQCADQHDTNMEDKQEQNHEIVLQDQQERNHEIVLQDQQERNHEIVLQDQHDHGSRNEESSISDYGDSGSGSQQNQSPQHLSSLSVSQDLNPIDMKMENNHVHLNSNSDEASPHVSEYSGTMHIGDASIDQGVPFSSGGDVWSAVSIPNSYYDPTANHEYTSTGRLSLPHQVNEEQCSQLIDLESEVHEEETGKDLLHRQSDDGSFSSYPNHDRSGLLQSLFKGQVTLPYHNEQKPTGLDFQSPNDAIMQDGQYTGHIQGQLQSSLSLEQRQKNHIEDYMQQNISEDIYSEGGGFLIPRQGHAPLVNLQEWNVNPVRMPARLQSHPNEDGLLIQNWFSGEHQVRGDWNGAGGVSVSNQSIGSNADQSLFSVLSQCNQLHMASPINQLRSGSPTNQRPNGTIDSVGSAEQFVLPRAYGMVSGVTPRVSNALPQPAHPLDYFSGRDTASSLMPDDMGWMALPQNSVLHDPMGKPYLRSWNR >Potri.014G144100.2.v4.1 pep chromosome:Pop_tri_v4:14:9850372:9857543:1 gene:Potri.014G144100.v4.1 transcript:Potri.014G144100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144100.v4.1 MAADQRRKRLNGASLAGCSSREPYRMKRNKSKNGLNAKSLISLEWDGNRKKVVAKKEQIGISQRDLMPFVDSVLHYHNPLADVFAVPREIFELQNLAEVLSYETWQNHLSEDERNFLKQFLPTGLGTEEVVEALLAGDNFHFGNPLLRWGASLCSGNLHPDVVLCQEQHLKADKKAFYSKLQDYHIDMITYLQKLKDTWESSKDPEKEILQKIWRRSRSDADKRISPCDTESKFHGTGENESATSGSCSLVAEEKTSSSDTQNSHVTKSGEVQKRICEKGSMKEKLRKSLLASDDARPGKGDKLRKRNIHRSDGAKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEQKKLQEHWMQLANKDLPVAHAIWRERQFQRQEITKSLEEEIEGQLKYPVEDKQEQNHEIVLQDQQERNHEIVLQDQQERNHEIVLQDQHDHGSRNEESSISDYGDSGSGSQQNQSPQHLSSLSVSQDLNPIDMKMENNHVHLNSNSDEASPHVSEYSGTMHIGDASIDQGVPFSSGGDVWSAVSIPNSYYDPTANHEYTSTGRLSLPHQVNEEQCSQLIDLESEVHEEETGKDLLHRQSDDGSFSSYPNHDRSGLLQSLFKGQVTLPYHNEQKPTGLDFQSPNDAIMQDGQYTGHIQGQLQSSLSLEQRQKNHIEDYMQQNISEDIYSEGGGFLIPRQGHAPLVNLQEWNVNPVRMPARLQSHPNEDGLLIQNWFSGEHQVRGDWNGAGGVSVSNQSIGSNADQSLFSVLSQCNQLHMASPINQLRSGSPTNQRPNGTIDSVGSAEQFVLPRAYGMVSGVTPRVSNALPQPAHPLDYFSGRDTASSLMPDDMGWMALPQNSVLHDPMGKPYLRSWNR >Potri.014G144100.7.v4.1 pep chromosome:Pop_tri_v4:14:9853845:9857539:1 gene:Potri.014G144100.v4.1 transcript:Potri.014G144100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144100.v4.1 MGRRSFRSYVAPFVLHSLHNCVRISLVAFGVRICEKGSMKEKLRKSLLASDDARPGKGDKLRKRNIHRSDGAKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEQKKLQEHWMQLANKDLPVAHAIWRERQFQRQEITKSLEEEIEGQLKYPVEHLEKDGHETLLQDQSDQCADQHDTNMEDKQEQNHEIVLQDQQERNHEIVLQDQQERNHEIVLQDQHDHGSRNEESSISDYGDSGSGSQQNQSPQHLSSLSVSQDLNPIDMKMENNHVHLNSNSDEASPHVSEYSGTMHIGDASIDQGVPFSSGGDVWSAVSIPNSYYDPTANHEYTSTGRLSLPHQVNEEQCSQLIDLESEVHEEETGKDLLHRQSDDGSFSSYPNHDRSGLLQSLFKGQVTLPYHNEQKPTGLDFQSPNDAIMQDGQYTGHIQGQLQSSLSLEQRQKNHIEDYMQQNISEDIYSEGGGFLIPRQGHAPLVNLQEWNVNPVRMPARLQSHPNEDGLLIQNWFSGEHQVRGDWNGAGGVSVSNQSIGSNADQSLFSVLSQCNQLHMASPINQLRSGSPTNQRPNGTIDSVGSAEQFVLPRAYGMVSGVTPRVSNALPQPAHPLDYFSGRDTASSLMPDDMGWMALPQNSVLHDPMGKPYLRSWNR >Potri.014G144100.9.v4.1 pep chromosome:Pop_tri_v4:14:9850280:9858238:1 gene:Potri.014G144100.v4.1 transcript:Potri.014G144100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144100.v4.1 MEDKQEQNHEIVLQDQQERNHEIVLQDQQERNHEIVLQDQHDHGSRNEESSISDYGDSGSGSQQNQSPQHLSSLSVSQDLNPIDMKMENNHVHLNSNSDEASPHVSEYSGTMHIGDASIDQGVPFSSGGDVWSAVSIPNSYYDPTANHEYTSTGRLSLPHQVNEEQCSQLIDLESEVHEEETGKDLLHRQSDDGSFSSYPNHDRSGLLQSLFKGQVTLPYHNEQKPTGLDFQSPNDAIMQDGQYTGHIQGQLQSSLSLEQRQKNHIEDYMQQNISEDIYSEGGGFLIPRQGHAPLVNLQEWNVNPVRMPARLQSHPNEDGLLIQNWFSGEHQVRGDWNGAGGVSVSNQSIGSNADQSLFSVLSQCNQLHMASPINQLRSGSPTNQRPNGTIDSVGSAEQFVLPRAYGMVSGVTPRVSNALPQPAHPLDYFSGRDTASSLMPDDMGWMALPQNSVLHDPMGKPYLRSWNR >Potri.014G144100.1.v4.1 pep chromosome:Pop_tri_v4:14:9850260:9858539:1 gene:Potri.014G144100.v4.1 transcript:Potri.014G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144100.v4.1 MAADQRRKRLNGASLAGCSSREPYRMKRNKSKNGLNAKSLISLEWDGNRKKVVAKKEQIGISQRDLMPFVDSVLHYHNPLADVFAVPREIFELQNLAEVLSYETWQNHLSEDERNFLKQFLPTGLGTEEVVEALLAGDNFHFGNPLLRWGASLCSGNLHPDVVLCQEQHLKADKKAFYSKLQDYHIDMITYLQKLKDTWESSKDPEKEILQKIWRRSRSDADKRISPCDTESKFHGTGENESATSGSCSLVAEEKTSSSDTQNSHVTKSGEVQKRICEKGSMKEKLRKSLLASDDARPGKGDKLRKRNIHRSDGAKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEQKKLQEHWMQLANKDLPVAHAIWRERQFQRQEITKSLEEEIEGQLKYPVEHLEKDGHETLLQDQSDQCADQHDTNMEDKQEQNHEIVLQDQQERNHEIVLQDQQERNHEIVLQDQHDHGSRNEESSISDYGDSGSGSQQNQSPQHLSSLSVSQDLNPIDMKMENNHVHLNSNSDEASPHVSEYSGTMHIGDASIDQGVPFSSGGDVWSAVSIPNSYYDPTANHEYTSTGRLSLPHQVNEEQCSQLIDLESEVHEEETGKDLLHRQSDDGSFSSYPNHDRSGLLQSLFKGQVTLPYHNEQKPTGLDFQSPNDAIMQDGQYTGHIQGQLQSSLSLEQRQKNHIEDYMQQNISEDIYSEGGGFLIPRQGHAPLVNLQEWNVNPVRMPARLQSHPNEDGLLIQNWFSGEHQVRGDWNGAGGVSVSNQSIGSNADQSLFSVLSQCNQLHMASPINQLRSGSPTNQRPNGTIDSVGSAEQFVLPRAYGMVSGVTPRVSNALPQPAHPLDYFSGRDTASSLMPDDMGWMALPQNSVLHDPMGKPYLRSWNR >Potri.014G144100.5.v4.1 pep chromosome:Pop_tri_v4:14:9850435:9857539:1 gene:Potri.014G144100.v4.1 transcript:Potri.014G144100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144100.v4.1 MAADQRRKRLNGASLAGCSSREPYRMKRNKSKNGLNAKSLISLEWDGNRKKVVAKKEQIGISQRDLMPFVDSVLHYHNPLADVFAVPREIFELQNLAEVLSYETWQNHLSEDERNFLKQFLPTGLGTEEVVEALLAGDNFHFGNPLLRWGASLCSGNLHPDVVLCQEQHLKADKKAFYSKLQDYHIDMITYLQKLKDTWESSKDPEKEILQKIWRRSRSDADKRISPCDTESKFHGTGENESATSGSCSLVAEEKTSSSDTQNSHVTKSGEVQKRICEKGSMKEKLRKSLLASDDARPGKGDKLRKRNIHRSDGAKYMSYLKISKKQHQLVKNMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEQKKLQEHWMQLANKDLPVAHAIWRERQFQRQEITKSLEEEIEGQLKYPVEHLEKDGHETLLQDQSDQCADQHDTNMEDKQEQNHEIVLQDQQERNHEIVLQDQQERNHEIVLQDQHDHGSRNEESSISDYGDSGSGSQQNQSPQHLSSLSVSQDLNPIDMKMENNHVHLNSNSDEASPHVSEYSGTMHIGDASIDQGVPFSSGGDVWSAVSIPNSYYDPTANHEYTSTGRLSLPHQVNEEQCSQLIDLESEVHEEETGKDLLHRQSDDGSFSSYPNHDRSGLLQSLFKGQYTGHIQGQLQSSLSLEQRQKNHIEDYMQQNISEDIYSEGGGFLIPRQGHAPLVNLQEWNVNPVRMPARLQSHPNEDGLLIQNWFSGEHQVRGDWNGAGGVSVSNQSIGSNADQSLFSVLSQCNQLHMASPINQLRSGSPTNQRPNGTIDSVGSAEQFVLPRAYGMVSGVTPRVSNALPQPAHPLDYFSGRDTASSLMPDDMGWMALPQNSVLHDPMGKPYLRSWNR >Potri.003G013300.6.v4.1 pep chromosome:Pop_tri_v4:3:3155995:3161154:1 gene:Potri.003G013300.v4.1 transcript:Potri.003G013300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013300.v4.1 MPPQVQNQGLFLPLLSSTDQSQARQQLVSQNMQSIPGVSQNSVGNSMGQGIPSTMFANSQRQMPASLDSTAQTGHANGADWQEQIYQKHDSHPQQPKSEQLEKLEVFKAMLERLITFLQVSKNNITPSFKEKLGSNEKHIVSFLNPSRFRKPIPNLQLGQLPQPHVQPMQQSQSPVPQLQSHENQLNTQLPSMNVQGSIPTMQQNNMSSLQHGSLSSLSGVSMSQPIMMMWDERF >Potri.008G162900.1.v4.1 pep chromosome:Pop_tri_v4:8:11217424:11218038:1 gene:Potri.008G162900.v4.1 transcript:Potri.008G162900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162900.v4.1 MAFNGKSRVAISFTLFTILFTLSTLQCGAAMRPLHGEQLLKKHFPLIESLQRGPVPPSKGSPGTHIPDQPEGSGTSKLNEMNFVGRANRQPPPAFPSSVPEQSKASN >Potri.012G131300.3.v4.1 pep chromosome:Pop_tri_v4:12:14606924:14611778:-1 gene:Potri.012G131300.v4.1 transcript:Potri.012G131300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131300.v4.1 MVGGNFHGGHQEGGDQEGMRRRRGCGCSCTKNDFLPEESFQSIGNYLQALKETPMRFKDRLLTRSLDSTELHEIKSRSDHEMKKNLTWWDLIWFGIGAVIGAGIFVLTGLEAKEHAGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNIILEYVIGGAAVARSWTSYFATLCNHKPDDFRIIAHSLPEDYGHLDPISVFVCAAICVLAVLSTKGSSRFNYVASIIHVVVILFIIVAGLAKADTKNYADFSPNGARGIFVASAVLFFAYIGFDAVATMAEETRNPARDIPIGLVGSMSITTIAYCLMAVTLCLMVPYKKIDEDAPFSVAFETVGWGWAKYVVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAHVNERTGTPVNATIVMLAATAIVGFFTKLDILSNLLSISTLFIFMLVALALLVRRYYVSGITTQENRVKLIMCIVAILGSSIATAVFWGTADEDSWLGYVITIPLWFCATLALKLLVPQARDPKLWGVPLVPWLPSASILINIFLLGSIDKKSFIRFAAWTGFLLVYYFLFGLHASYDTAKASGENKVEDGLKNVEEGAVPSRNGS >Potri.012G131300.5.v4.1 pep chromosome:Pop_tri_v4:12:14607266:14611618:-1 gene:Potri.012G131300.v4.1 transcript:Potri.012G131300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131300.v4.1 MVGGNFHGGHQEGGDQEGMRRRRGCGCSCTKNDFLPEESFQSIGNYLQALKETPMRFKDRLLTRSLDSTELHEIKSRSDHEMKKNLTWWDLIWFGIGAVIGAGIFVLTGLEAKEHAGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNIILEYVIGGAAVARSWTSYFATLCNHKPDDFRIIAHSLPEDYGHLDPISVFVCAAICVLAVLSTKGSSRFNYVASIIHVVVILFIIVAGLAKADTKNYADFSPNGARGIFVASAVLFFAYIGFDAVATMAEETRNPARDIPIGLVGSMSITTIAYCLMAVTLCLMVPYKKIDEDAPFSVAFETVGWGWAKYVVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAHVNERTGTPVNATIVMLAATAIVGFFTKLDILSNLLSISTLFIFMLVALALLVRRYYVSGITTQENRVKLIMCIVAILGSSIATAVFWGTADEDSWLGYVITIPLWFCATLALKLLVPQARDPKLWGVPLVPWLPSASILINIFLLGSIDKKSFIRFAAWTGFLLVYYFLFGLHASYDTAKASGENKVEDGLKNVEEGAVPSRNGS >Potri.012G131300.4.v4.1 pep chromosome:Pop_tri_v4:12:14607302:14611760:-1 gene:Potri.012G131300.v4.1 transcript:Potri.012G131300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131300.v4.1 MVGGNFHGGHQEGGDQEGMRRRRGCGCSCTKNDFLPEESFQSIGNYLQALKETPMRFKDRLLTRSLDSTELHEIKSRSDHEMKKNLTWWDLIWFGIGAVIGAGIFVLTGLEAKEHAGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNIILEYVIGGAAVARSWTSYFATLCNHKPDDFRIIAHSLPEDYGHLDPISVFVCAAICVLAVLSTKGSSRFNYVASIIHVVVILFIIVAGLAKADTKNYADFSPNGARGIFVASAVLFFAYIGFDAVATMAEETRNPARDIPIGLVGSMSITTIAYCLMAVTLCLMVPYKKIDEDAPFSVAFETVGWGWAKYVVAAGALKGMTTVLLVSAVGQARYLTHIARTHMMPPWLAHVNERTGTPVNATIVMLAATAIVGFFTKLDILSNLLSISTLFIFMLVALALLVRRYYVSGITTQENRVKLIMCIVAILGSSIATAVFWGTADEDSWLGYVITIPLWFCATLALKLLVPQARDPKLWGVPLVPWLPSASILINIFLLGSIDKKSFIRFAAWTGFLLVYYFLFGLHASYDTAKASGENKVEDGLKNVEEGAVPSRNGS >Potri.001G172900.1.v4.1 pep chromosome:Pop_tri_v4:1:14868669:14869847:-1 gene:Potri.001G172900.v4.1 transcript:Potri.001G172900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172900.v4.1 MDSRGNDITYNAGELAGQAQAKKDDVMDQCQEGLNQSTQDSSYTAQASSFLHQTGEQVKNMAQGAAEAVKNTLGMNTENTPTTNTSSLNHPINPSNPSNPSTRI >Potri.008G038600.1.v4.1 pep chromosome:Pop_tri_v4:8:2131970:2136530:-1 gene:Potri.008G038600.v4.1 transcript:Potri.008G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038600.v4.1 MACMKLGSKSEVFHLDGHTWLCSTGLQSDVIIEIGDMSFHLHKFPLLSRSEVLENLIGEHSSEDEKRCVLQLHDVPGGAKTFLLAAKFCYGIKMELTALNVVSLRCAAEYLGMSEDYGEENLITQTENFLNEVFGSWTDSLKALETCEEVPLLAEELHIVSRCINSLAMKACADPSLFSWPMQGGSDIRNPDGTVIWNGIRTSAKPHPVGEDWWYEDVSFLRLPLYKRLILEVGSNGMNPGRVAGALMYYAKKHLPLLGRKSSIESGNYAASRSTISATSESDQRSLLEEIVELLPDQKGVTPSNFLLRLLRTAMIIHASPSCRQNLEKRVGTQLDEASLQDLLIPNSGYSVETLYDIDCVQRILDHFMLMDRDDPTSNYVEHEGQITESSHSLVPITMVANLIDSYLAEVASDVNLKLAKFQSLAAVVPDYARPIDDGIYRAIDIYLKAHPWLTDSEREQLCRLMNCQKFSLEASTHAAQNERLPLRVIVQVLFFEQLRLRTSVSGWFFVSENLDNSQNLSGNLALARNDLHPQAGAIHGRIMVDDMKERVSELEKECLSMKQEIEKLGKTKVSSWNILLRKFGFSRSKSKYGDPEASKPTDTKELPTSSAPLINGGENQNNESAE >Potri.005G075000.2.v4.1 pep chromosome:Pop_tri_v4:5:5035565:5037197:1 gene:Potri.005G075000.v4.1 transcript:Potri.005G075000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075000.v4.1 MIEFQNEKGKNSPADTGDFYGFVKESLCFNASNNQFANKIRMLKKKYFTDVEKNESGKYETFSKPHDLECVELAKKIWGAGGIVVGNESNASKNSGKNVSGGGRGIILALAKLGSGEVNGKGKEASVKRGKRGSSEEVSGEGKQGNLKKQKRVLSEEGDGGEEGNVKKGKRGLSEEADGEGKGNDGKKERGKRVLSEEVNGERKGKGGKKEKQAANNELSGGEDANVKKQKRVVNGEWKESSMKKQKAVENEEVEGGEGSEDLWDKYPYLRSSLLSEDLPEDVKERAMMMLGKVPEEKLVELEREWRSLMNAKLEFFMMENDLIAKQMKLALEALKSQDY >Potri.005G113600.1.v4.1 pep chromosome:Pop_tri_v4:5:8249090:8255766:-1 gene:Potri.005G113600.v4.1 transcript:Potri.005G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113600.v4.1 MGACFSTIKVSGSNSNNNVIHSRKEPTKPQTKTTKATTATRKKQEVVHHHQNNKNVNNEAEKKLKVKEKQSSKAIPCGKRTDFGYDKDFDMRYTIGKLLGHGQFGYTYVAIDKANGDRVAVKRIEKNKMVLPIAVEDVKREVKILRELTGHENVVQFYNALEDDSYVYIVMELCEGGELLDRILSKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKGDSPLKATDFGLSDFIKPGRKFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISTSAKDFVNKLLVKDPRARLTAAQALSHPWVREGGVASEIPIDISVLSNMRQFVKYSRLKQFALRALASTIDEEELADLKDQFDAIDVDKNGAISLEEMRQALAKDLPWKLKESRVLEIVQAIDSNTDGLVDFTEFVAAALHVHQLQEHNSEKWQLRSQAAFEKFDIDRDGYITPEELRMHTGLRGSIDPLLEEADIDKDGKISLSEFRRLLRTASMSSRNVPSPSGHRKSHKI >Potri.005G113600.4.v4.1 pep chromosome:Pop_tri_v4:5:8249115:8255702:-1 gene:Potri.005G113600.v4.1 transcript:Potri.005G113600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113600.v4.1 MVLPIAVEDVKREVKILRELTGHENVVQFYNALEDDSYVYIVMELCEGGELLDRILSKKDSRYTEKDAAVVVRQMLKVAAECHLHGLVHRDMKPENFLFKSTKGDSPLKATDFGLSDFIKPGRKFQDIVGSAYYVAPEVLKRKSGPESDVWSIGVITYILLCGRRPFWDKTEDGIFKEVLKNKPDFRRKPWPTISTSAKDFVNKLLVKDPRARLTAAQALSHPWVREGGVASEIPIDISVLSNMRQFVKYSRLKQFALRALASTIDEEELADLKDQFDAIDVDKNGAISLEEMRQALAKDLPWKLKESRVLEIVQAIDSNTDGLVDFTEFVAAALHVHQLQEHNSEKWQLRSQAAFEKFDIDRDGYITPEELRMHTGLRGSIDPLLEEADIDKDGKISLSEFRRLLRTASMSSRNVPSPSGHRKSHKI >Potri.010G002800.4.v4.1 pep chromosome:Pop_tri_v4:10:269529:272181:-1 gene:Potri.010G002800.v4.1 transcript:Potri.010G002800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002800.v4.1 MNKLRWAMDGGFWDLDRSTPRTLEGEGRAVPGEPLPLGVSRGTRLSRPKQIDFFQRFMFAPFIPSYSASSHGLSLQRVLALPFTQDWFATLLAQFNLQKFVSSFKKNGALQSSRLENIRKHLEDKSLYALGFCSELLLSPCDTLLLSLDFYGDDNNKKPRKKAIFHHKFPNHNLNVEAVWPGLYIDKAGNYWDVPFSMAIDLASLASDSGASYHFCMHHSAGQPMQLGGDETVEVPATLLPGISLKSAFSLKKNVEIWRSNAQKLKMVQPFDIFLSNPHISASGVIGKLQVLNIFSVC >Potri.010G002800.2.v4.1 pep chromosome:Pop_tri_v4:10:269526:272181:-1 gene:Potri.010G002800.v4.1 transcript:Potri.010G002800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G002800.v4.1 MNKLRWAMDGGFWDLDRSTPRTLEGEGRAVPGEPLPLGVSRGTRLSRPKQIDFFQRFMFAPFIPSYSASSHGLSLQRVLALPFTQDWFATLLAQFNLQKFVSSFKKNGALQSSRLENIRKHLEDKSLYALGFCSELLLSPCDTLLLSLDFYGDDNNKKPRKKAIFHHKFPNHNLNVEAVWPGLYIDKAGNYWDVPFSMAIDLASLASDSGASYHFCMHHSAGQPMQLGGDETVEVPATLLPGISLKSAFSLKKNVEIWRSNAQKLKMVQPFDIFLSNPHISASGVIGAAVMACFGDNSVRPQVVDESQQFEGFCLHAPAVKSTLLVDTFSSVSFTAQHGNFQRLLLDLTRFHARLDFPSASKFLSGAIRLAQDFCNSQRPTMETVQAICPKATVSFQQQIAGPFSFRVDSGVEIDWKNKDWHMCVDDPVFAIEYALHVLGSAKAVAWYSPKHREFMVELRFFET >Potri.005G076400.2.v4.1 pep chromosome:Pop_tri_v4:5:5154484:5156292:1 gene:Potri.005G076400.v4.1 transcript:Potri.005G076400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076400.v4.1 MHRNCSANLKAKEADRSSQLEKLTGELDNYGSALENKETAIKELGMELKNCHSMILQLKLQNEEASSMILVLKSGITEA >Potri.014G023000.1.v4.1 pep chromosome:Pop_tri_v4:14:1397718:1399691:-1 gene:Potri.014G023000.v4.1 transcript:Potri.014G023000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G023000.v4.1 MASMYNPETSAVQDQQQYQQNPTLLYDALYCSEENWVEEVREDCFQDELEGESYCSNNSNKLNTFPIFLEQDLSWEDEELSSLFAKEEQNQLCKDLETNPSLARARCEAVEWILKVNEHYSFTALTAVLAVNYLDRFLFSVHLQKEKPWMAQLAAVSCLSLAAKVEETQVPLLLDFQVEDSKYVFEAKTIQRMEILVLSTLKWKMNPVTPISFLDYITRRLGLEHYLCLEFLKRCERMVLSILADSRSMPYVPSVMAAATMLYGIDNIEPSLAAEYQSQLLSSLGIDKDKVEDCSKFLMEFALRDHFKLLSNKRKFCSLPGSPSGVVDVSFSSDSSNDSWSVASSVSSSPKPLSKKSRALQSLNNATTSDFSQHSSLVP >Potri.004G125000.12.v4.1 pep chromosome:Pop_tri_v4:4:12104362:12107145:-1 gene:Potri.004G125000.v4.1 transcript:Potri.004G125000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125000.v4.1 MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKFIATMSCVCKWFDDLAKRVLWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNNINIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGDEGDVGFFRGIFKSFSMSKVRKMLIKRKAQLHPTEVCPYCKAKLWSMQQAEMIPQSASCRLGAYDDCIEYYVCLNGHMLGICTLLPLSDSEEASELE >Potri.004G125000.13.v4.1 pep chromosome:Pop_tri_v4:4:12104037:12107682:-1 gene:Potri.004G125000.v4.1 transcript:Potri.004G125000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125000.v4.1 MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKFIATMSCVCKWFDDLAKRVLWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNNINIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGDEGDVGFFRGIFKSFSMSKVRKMLIKRKAQLHPTEVCPYCKAKLWSMQQAEMIPQSASCRLGAYDDCIEYYVCLNGHMLGICTLLPLSDSEEASELE >Potri.004G125000.11.v4.1 pep chromosome:Pop_tri_v4:4:12104250:12107448:-1 gene:Potri.004G125000.v4.1 transcript:Potri.004G125000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G125000.v4.1 MILTKQYRCIHSSSCQCTKGHLSEDVIFLVFQQLNWNPKFIATMSCVCKWFDDLAKRVLWKEFCRTRAPKMMLDLQSSGSHSVDGNWRALGKLLIYCSGCTKGGLFNNINIPGHFVYRTRFSRTSGKSFLLPQCRTDVLYVSDPCEHLDQGDEGDVGFFRGIFKSFSMSKVRKMLIKRKAQLHPTEVCPYCKAKLWSMQQAEMIPQSASCRLGAYDDCIEYYVCLNGHMLGICTLLPLSDSEEASELE >Potri.001G137700.1.v4.1 pep chromosome:Pop_tri_v4:1:11234326:11242807:-1 gene:Potri.001G137700.v4.1 transcript:Potri.001G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137700.v4.1 MVEGRVCLSREARNGLEYLKHRRLQRMKSESVTETVSVPNMMSRSRGDNLRASASCGVRVPGNAESLSRSAGASGGKDVFSKPKMDKFDMSNLEWTEKIPECPVYCPTKEEFEDPLVYLQKIAPEASRYGICKIISPVSATVPAGIVLMREKAGFKFTTRVQPLRLAEWNTDDRVTFFMSGRNYTFRDFEKMANKVFARRYCSASCLPATYLEKEFWHEIACGKTETVEYACNVDGSAFSSSPSDPLGNSKWNLKNLSRLPKSILRLLGTVIPGVTDPMLYIGMLFSVFAWHVEDHYLYSINYHHCGASKTWYGIPGHAALKFEKVVREHVYSHDILSTDGEDGAFDVLLGKTTLFPPNILLEHDIPVYKAVQKPGEFIITFPKAYHAGFSHGFNCGEAVNFAVGDWFPLGALASQRYALLNKVPLLPHEELLCKEAMLLYTSLELEDSDYSSADLVSHNWIKVSFVKLMRFHHFARCSVMKLRARTGILPNMNGTILCTLCKRDCYVAFLNCSCDLHPVCLRHDFSSLDFSCGRNYTLFLRDDISNMEAAAKKFEKENGILEEIRRQANIGDDLYSYPLTIKFHSVPEDGYTPYCGKSFDFNSEAPVISRECLQEFRESKNKYGTENFRPEYSEASVSCAASTLCSLGEPVESFSTSDNGKVQADSNAGKLDSKRLFEEGLHRKHGSSVSSLSHDEFLRTQQSNICGLEAKPSVDEQSDDSDSEIFRVKRRSSLKVEKRVVNDASSSKNYEHQGLKRLKKLQPEGRYGQTTSSECCRTDESNRSSTSGSDYKEAPESASKDRFARGSIIPISIKFKKLINEEAMSRQREQHRRDRFQDELGKTMRKPPPIEIGPKRLKVRSPSFLGSESRLD >Potri.010G135900.1.v4.1 pep chromosome:Pop_tri_v4:10:15105921:15111172:-1 gene:Potri.010G135900.v4.1 transcript:Potri.010G135900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135900.v4.1 MANYAIEDAYSSNPESSKVYMHSSSREGMQEVESTTEVLTRVDWDLAYSSEKLVNLHVLLVHLLARDNNLEARMATENSYILAIAIEKALAFDLLSGILDSEVREVENFMENIHSEIVDARHKISSCRHSTDLFTVMEKKLHNSEESLMKFRERVLEVKAQSAKLPMAFSALILENWKDDKATELPASGQISNMDANSKRQTAEKQRNVLRMLEKSLKREMDTQKKISALEQNEEHLKLKLHYTEQVSFCMEEAAEVVWGRFLEAENASEVLMGISKEMVGRLQIFQFTLNGSIQREDELSSKLQVCIKQLDAKDTVIKKLESSIAEHIARSSQVPILTEKVKSLEEQLKKSELRLQNANALNAESQEHLSEMENLVESLKESVYEAETRAENAETKVTQLTDTNVELVEEINFLKGSRDSSTKKVTVLEKQLRESEIQLQHAKASSEASQEQQNMLYTAIWDMETLIDDLKSKVSKAESKTDGVEEQCIVLSESNMELNKEISFLRSRVNALEMCLHEANESKAAKAKEIIVRTELIMATVMQLTRERERIQNQLFSLTRENEILAAKLRGASLTMFDNGHGGGGKLVASKNDSLSETCEKLFEEAMPLSKSLKTAG >Potri.006G219100.5.v4.1 pep chromosome:Pop_tri_v4:6:22432319:22433523:1 gene:Potri.006G219100.v4.1 transcript:Potri.006G219100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219100.v4.1 MAAAVIKEAKPISSASEVEFAKCDCCGFSEECTPAYISRVRERYGGRWICGLCAEAVKDETCRAKTDISTDEALKQHTKFCQQFRSSTPPRNPTEELISAIKQLLRRGLDSPRKKKCSVFPSKGSSLSIES >Potri.002G097601.1.v4.1 pep chromosome:Pop_tri_v4:2:7112398:7115085:-1 gene:Potri.002G097601.v4.1 transcript:Potri.002G097601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097601.v4.1 MFFFLLRFSMMQTRVWRPRILLSMMIYGSLMCATAADSHTVSICPIESVTDWIFGFRDQNCVVSGANESPCFAGVTEGDEISLQKALSLVQKNSHEYVALLFYASWCPFSGTFRPSFSILSSLYPVIPHFAIEESSIRPSILSKYGVHGFPTLFLLNSTMRVRYHGSRTLGSLVAFYSDVTGIKTAFLDEGSVDKIGRVSHHEKYDTPEQESCPFSWARSPENLLREETYLALATTFVLLRLFYLTFPTMLAFAQFTWRRHVQNMRLGSLLEHPRAYLNLNRAIQLFNSLKEPCKKSNLQEGAMNARAWASKSLATVSIGDASTSRGAPVSECR >Potri.011G155400.5.v4.1 pep chromosome:Pop_tri_v4:11:18208841:18213901:-1 gene:Potri.011G155400.v4.1 transcript:Potri.011G155400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155400.v4.1 MAPRAHVSVYKALYKSFGGFAADVVAAIDQAAQDGVDVLSLSITPNRRPPGIATFFNPIDMALLSAVKAGIFIVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRVYSNSIILGNNVTIHGVGLAPGTDEDTMLTLVSALHAVNNETTVTTDMYVGECQDSSTFNQDFIEGNLLICSYSIRFVLGLSTIKQAVETAKNLSAAGVVFYMDPFVIGYQLNPIPMSVPGIIIPSPDDSKVLLQYYNSSLERNGTTKQITKFGAVASILGGLKANYSNSAPKVVYYSARGPDPEDSFLDDADILKPNLVAPGNSIWAAWSSLGTDSVEFQGENFAMMSGTSMAAPHIAGLAALIKQKFPSFSPSAIASALSSTASLYDNNGGPIMAQRAYANPDLNQSPATPFDMGSGFVNATAALDPGLIFDSSYDDYMSFLCGINGSSPVVLNYTGQNCLSYNSTINGTDLNLPSITIAKLYQSRMVQRSVTNIAGNETYKVGWSAPYGVTVKVVPACFSIASGERQVLSVFFDAIMNSSTASHGRIGLFGDQGHVLNIPLSVIVKVTYNTTTNG >Potri.011G155400.1.v4.1 pep chromosome:Pop_tri_v4:11:18208788:18214839:-1 gene:Potri.011G155400.v4.1 transcript:Potri.011G155400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155400.v4.1 MEGIYLVHLMVMVLTLGLLAGALCQVDDGSDNETTAVYIVTLKQAPASHYYGKLRKNTNVFKHGVPRNPNQFHNRRDNSRSNWSSSSYVARVHDSLLRRVLRGEKYLKLYSYHYLINGFAVLVTPEQAFKLSRRREVANVALDFSVRTATTHTPQFLGLPQGAWVKAGGYETAGEGIVIGFVDTGIDPTHPSFADDISLNSYPVPSHFSGICEVTRDFPSGSCNRKLIGARHFAASAITRGIFNSSLDYASPFDGDGHGTHTASVAAGNHGIPVIVAGHCFGNASGMAPRAHVSVYKALYKSFGGFAADVVAAIDQAAQDGVDVLSLSITPNRRPPGIATFFNPIDMALLSAVKAGIFIVQAAGNTGPSPKSMSSFSPWIFTVGAASHDRVYSNSIILGNNVTIHGVGLAPGTDEDTMLTLVSALHAVNNETTVTTDMYVGECQDSSTFNQDFIEGNLLICSYSIRFVLGLSTIKQAVETAKNLSAAGVVFYMDPFVIGYQLNPIPMSVPGIIIPSPDDSKVLLQYYNSSLERNGTTKQITKFGAVASILGGLKANYSNSAPKVVYYSARGPDPEDSFLDDADILKPNLVAPGNSIWAAWSSLGTDSVEFQGENFAMMSGTSMAAPHIAGLAALIKQKFPSFSPSAIASALSSTASLYDNNGGPIMAQRAYANPDLNQSPATPFDMGSGFVNATAALDPGLIFDSSYDDYMSFLCGINGSSPVVLNYTGQNCLSYNSTINGTDLNLPSITIAKLYQSRMVQRSVTNIAGNETYKVGWSAPYGVTVKVVPACFSIASGERQVLSVFFDAIMNSSTASHGRIGLFGDQGHVLNIPLSVIVKVTYNTTTNG >Potri.001G053400.2.v4.1 pep chromosome:Pop_tri_v4:1:4048010:4053484:-1 gene:Potri.001G053400.v4.1 transcript:Potri.001G053400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053400.v4.1 MSAHFRSKRVFELRLAGILLVSILLICTTEALNSEGQRLLELKNSLHDEFNHLQNWKSTDQTPCSWTGVNCTSGYEPVVWSLNMSSMNLSGTLSPSIGGLVNLQYFDLSYNVITGDIPKAIGNCSLLQLLYLNNNQLSGEIPAELGELSFLERLNICNNRISGSLPEEFGRLSSLVEFVAYTNKLTGPLPHSIGNLKNLKTIRAGQNEISGSIPSEISGCQSLKLLGLAQNKIGGELPKELGMLGNLTEVILWENQISGFIPKELGNCTNLETLALYSNTLTGPIPKEIGNLRFLKKLYLYRNGLNGTIPREIGNLSMAAEIDFSENFLTGEIPTEFSKIKGLRLLYLFQNQLTSVIPKELSSLRNLTKLDLSINHLTGPIPSGFQYLTEMLQLQLFDNSLSGGIPQGFGLHSRLWVVDFSDNDLTGRIPPHLCQLSNLILLNLDSNRLYGNIPTGVLNCQTLVQLRLVGNNFTGGFPSELCKLVNLSAIELDQNSFTGPVPPEIGNCQRLQRLHIANNYFTSELPKEIGNLFQLVTFNASSNLLTGRIPPEVVNCKMLQRLDLSHNSFSDALPDGLGTLLQLELLRLSENKFSGNIPPALGNLSHLTELQMGGNSFSGQIPPALGSLSSLQIAMNLSYNNLTGSIPPELGNLNLLEFLLLNNNHLNGEIPITFENLSSLLGCNFSYNELTGPLPSIPLFQNMATSSFLGNKGLCGGPLGYCSGDPSSGSVVQKNLDAPRGRIITIVAAIVGGVSLVLIIVILYFMRRPTETAPSIHDQENPSTESDIYFPLKDGLTFQDLVEATNNFHDSYVLGRGACGTVYKAVMRSGKIIAVKKLASNREGSDIENSFRAEILTLGKIRHRNIVKLYGFCYHEGSNLLLYEYMARGSLGELLHEPSCGLEWSTRFLVALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDDNFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTWARQYVREHSLTSGILDERLDLEDQSTVAHMIYVLKIALLCTSMSPSDRPSMREVVLMLIESNEREGNLTLSSTYVFPLKDDASRK >Potri.001G053400.1.v4.1 pep chromosome:Pop_tri_v4:1:4046047:4053879:-1 gene:Potri.001G053400.v4.1 transcript:Potri.001G053400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053400.v4.1 MSAHFRSKRVFELRLAGILLVSILLICTTEALNSEGQRLLELKNSLHDEFNHLQNWKSTDQTPCSWTGVNCTSGYEPVVWSLNMSSMNLSGTLSPSIGGLVNLQYFDLSYNVITGDIPKAIGNCSLLQLLYLNNNQLSGEIPAELGELSFLERLNICNNRISGSLPEEFGRLSSLVEFVAYTNKLTGPLPHSIGNLKNLKTIRAGQNEISGSIPSEISGCQSLKLLGLAQNKIGGELPKELGMLGNLTEVILWENQISGFIPKELGNCTNLETLALYSNTLTGPIPKEIGNLRFLKKLYLYRNGLNGTIPREIGNLSMAAEIDFSENFLTGEIPTEFSKIKGLRLLYLFQNQLTSVIPKELSSLRNLTKLDLSINHLTGPIPSGFQYLTEMLQLQLFDNSLSGGIPQGFGLHSRLWVVDFSDNDLTGRIPPHLCQLSNLILLNLDSNRLYGNIPTGVLNCQTLVQLRLVGNNFTGGFPSELCKLVNLSAIELDQNSFTGPVPPEIGNCQRLQRLHIANNYFTSELPKEIGNLFQLVTFNASSNLLTGRIPPEVVNCKMLQRLDLSHNSFSDALPDGLGTLLQLELLRLSENKFSGNIPPALGNLSHLTELQMGGNSFSGQIPPALGSLSSLQIAMNLSYNNLTGSIPPELGNLNLLEFLLLNNNHLNGEIPITFENLSSLLGCNFSYNELTGPLPSIPLFQNMATSSFLGNKGLCGGPLGYCSGDPSSGSVVQKNLDAPRGRIITIVAAIVGGVSLVLIIVILYFMRRPTETAPSIHDQENPSTESDIYFPLKDGLTFQDLVEATNNFHDSYVLGRGACGTVYKAVMRSGKIIAVKKLASNREGSDIENSFRAEILTLGKIRHRNIVKLYGFCYHEGSNLLLYEYMARGSLGELLHEPSCGLEWSTRFLVALGAAEGLAYLHHDCKPRIIHRDIKSNNILLDDNFEAHVGDFGLAKVIDMPQSKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGKTPVQPLDQGGDLVTWARQYVREHSLTSGILDERLDLEDQSTVAHMIYVLKIALLCTSMSPSDRPSMREVVLMLIESNEREGNLTLSSTYVFPLKDDASRK >Potri.010G190650.1.v4.1 pep chromosome:Pop_tri_v4:10:18635216:18636240:1 gene:Potri.010G190650.v4.1 transcript:Potri.010G190650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190650.v4.1 MLHTFCDICITAIERGMRPNTHFDKAGWKFVIQSFKDQTGLSLTKAQLKNKWDGIKKDWRVWKKLITETGVGWSTELGTISATDEWWQLKIQEMRGAKKFRHVGIEPSLCAKYDIMFANIVATGEYAWTPSQGLLSDEDDRDAAGMRNTTNEKTNMEEGSGDSEEDAIPDFIHDVSNMVGGSNVANSSSNPNSAKRKGAHHTTPQSGKKKKGTGMGAQLFSCMNQLVQTVSKPRESITPSRDKKGCSIDEVMAELHSIDGVTFGSALHTFATQFFCARSKREMWAAMGCIDRKISWLKIMFDQQRKT >Potri.018G148498.1.v4.1 pep chromosome:Pop_tri_v4:18:15988433:15990611:1 gene:Potri.018G148498.v4.1 transcript:Potri.018G148498.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148498.v4.1 MSICNVSSSDASADGTICNGINCCETTIPSGLDFFNATLQVVGDKVKDGCKYAYLVDQNWFNLRLDNNISVIDMDYVPVVLNWRINLGLYENMTLNGSAYSVTNLTSSGTSRCSQNSTFLLCSCSSGFQGNPYIPDGCQDINECQSSSINNTTICSWDLICQNLYGGHQCVKLEIKKSRVKMVGLGFGVGFGVLVLLIGSWWLYKVIRKSRNEKRKKMFFERNGGLLLQEQLSSGEVNVEKIKLFGSKELDKATDHYNVNRTLGQGGQGTVYKGMLADGKIIAVKKSKVLDEDNLRQFINEVVILSQINHRNVVKLFGCCLETEVPLLVYEFIPNGTLYQFLHDSNEEFPLTWEMRLRIATEVSGALSYLHSAASIPIFHRDIKSTNILLDEKYRAKVADFGTSKSVTIDQTRVTTLVLGTFGYLDPEYFQTSQLTAKSDVYSFGVVLAELLTGQKPISSMRSEEENRSLVTYFIVSMEENHLFDILDPQVTMKGKKEDVMMVAMLAKRCLSMKGRERPTMKEVAMVLEGIQKSYGTQKSQHMIIPQENEYDPNGFMEGLWDLAASDTTGSSSDSRLASSLDRKPLLINIK >Potri.018G092666.1.v4.1 pep chromosome:Pop_tri_v4:18:11332503:11333077:1 gene:Potri.018G092666.v4.1 transcript:Potri.018G092666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092666.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.006G282300.1.v4.1 pep chromosome:Pop_tri_v4:6:27151899:27156023:-1 gene:Potri.006G282300.v4.1 transcript:Potri.006G282300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282300.v4.1 MAAGKYQESYSSRFSYCKYQVFLSFRGEDTRKNFTDHLYKALVDAGFHTFRDDDEIRRGKNIQLELQKAIQQSKIAIIVFSKNYAWSRWCLDELVKIMERNRNADCIVFPVFYHVDPSEVRNQNGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEAQFVQSIVENVSKNLDPKIFYVPLHFIGRDPLVQDINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNFYKFEGKSFLSNFRSKDIVCLQRQLIFDILNKTVEINDEDEGILKIKDALCCRRTLIVLDDVDKRDQFNKIIGMQNWLCKGSKIIVTTRNKGLFSANDIEGVRCKVGPLDDEKSLELFSWNAFGQADPVDGFVEDSWRIVHHCNGLPLALRVIGSSLSGKGREIWESALKQMEVIPNCEVQKVLRISYDSLDDDYQKGLFLDIACFFNGMDEDDAVRILDGLDKGARFGIDNLIDRCLVEINNDKRLWMHQLVRDMGREIARQESPKCQRIWRHEDAFTVLKGTTDAEKLRGLTLDMHALMEDDHAEVVCTNLMVRSKRCRLNFFQQWLSDFFDGEKLQTGQTSLFPILKTDAFRKMPDIKFLQLNYTKFYGSFEHFPKNLICFFPKLKILDLRHSHDLIRTPDFSGLPALEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLMELPEEMSRLNSLQELVLGGCSNLDSLNMELEHHQWRSLLQSDEIVASTSHITSLPLKLFFPSRFSARKILRFTLFSLPRSLTRLDLSGTPIRFLPESIKDLGPLRHLYLRNCKMLQALLELPSHLWSLDVSFCYSLQRPANPNCSNKADGCDQFVEFQDWIKQELIQTFDSHVFRIMETVCAQIQPSRFQMTFVYGKFNFVNFVFDEDETLRRFHEEEEEDKWLIQNEFTDNFSFKISSRPAHRICGFNLFTRFSVTSEYSCHEEVGIGIRNNISGQSLSRQGVFPACNMRRFREIQSLSHWKLGANDPTFDNGDDVSISVLPHDPSLSNWIFATYDNGDDMSISVLQHEPAIQIRTIGIQWLHEEEGNDNDIQSKNEDITSHCSSSSNSEVINAHNSSDEDDVLKVGIASHIFRNYYCLSHYNHDAMEARMWTFEKKVPEAIEQ >Potri.008G047500.1.v4.1 pep chromosome:Pop_tri_v4:8:2757758:2758069:1 gene:Potri.008G047500.v4.1 transcript:Potri.008G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G047500.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.001G241400.1.v4.1 pep chromosome:Pop_tri_v4:1:25888059:25891273:1 gene:Potri.001G241400.v4.1 transcript:Potri.001G241400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241400.v4.1 MAGTCTFISRHVIELSSKNRASRTWGGGGGASFCWPRISAMNSNGVSSTREVALSPEGPSCIFVGPIETASQDTLEALYCQARDAYYSGKPLIVDDMFDRVELKLRWYGSKSVVKYPRCSLRRQSTYSDAEEDISQAFALASIWVLFLTIGSSACAFPIIYTVGLAYQDAFGSGIAHGSQAPIIGFLATVNGILFMAVGSLIGYPIASASVKVLQGLWRNDLVALKGACPNCGEEVFAFVKSDQSNDSPHRADCHVCESLLEFRTKVEQTTSRVGRQWVYGRIYLVSRRRQRWK >Potri.001G194100.3.v4.1 pep chromosome:Pop_tri_v4:1:18006482:18007186:1 gene:Potri.001G194100.v4.1 transcript:Potri.001G194100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G194100.v4.1 MSIKKTLSLTSKAVKDDQVSEKRRRSDRCFSFKEISIEPGKSLKDLDSNKFKIDIKRWARAVVAYARQVSSRFGSARKSGRIGSSRDSSQDSI >Potri.005G028000.1.v4.1 pep chromosome:Pop_tri_v4:5:1783926:1786352:1 gene:Potri.005G028000.v4.1 transcript:Potri.005G028000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G028000.v4.1 MVRVEIRTKQSTIVRPAEDTPEKSLWSSNLDLLVPMVHIPTIYFYKPVNGSSNFFDPQVLKEALSKALVPFHHMAGRLEKDENGRMSILCNAKGVLFVEAETSSTIDEVGDFTPHSEMLQFIPEVDRSSIFSYPLLLAQATFFKCGGVCLGVGLHHILGDGTSAIHFINSWSEIARGLSVTTPPFIDRTLLDARVPPVPAMHHVEYDPPPTLNTHNSGDQTLEIQSNPKPTCAKILTITFDQLRTLKNKSRKGVVDGTINYSTFETLAAHIWQCTCKARGISNDQATKLHIPTDGRSRLNPPLPAGYCGNALFTTAVLGLSGEIQSKPLVHTITKIRGALKRMDNEYLRSAIDYLHVQPNLEALKRGPHTFNNPNINIVSWMTMPIYDADFGWGRPSFMGPAVVLFEGMAYITRSPSNDGSFMIFICLESNHMELFKKFFYDF >Potri.003G200900.2.v4.1 pep chromosome:Pop_tri_v4:3:20114851:20120374:-1 gene:Potri.003G200900.v4.1 transcript:Potri.003G200900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200900.v4.1 MNPESLTASAAINFGLAFIVLSLFSIFKKQPSNASIYYARRLSKRHHVHFEQSFTLSRFLPSVAWIPRAFRVTEDEVLDIGGLDALIIIRLFKFGIYFFGICSLIGLVVLLPINFGDQDEQSSIYHSMDPFTISNISAGSNRLWVHFTCLWLISFYGLYLLYKEYDGISVKRIQLLRNLRHQPDRFNVLVRQVPFCNEHNAYGCSVDHFFSKHHPNSYCSYQMIYDGKDIEDLLHQAKYVARKIEDMRGKLTVKKRDKESLLLDVSQEDDVKIALFEEKQQEIVRKIRQLQNESMLKGKELPVAFVTFKSRRGAALVSQTQQHSHPLIWITEMAPEPRDVSWRSLEIPFKILPLCKIGVVVAASLLTIFFAVPVTAVQGIAKLEKLKKWFPPAMAMELIPGLSSIITGYLPSAILKGFIYVVPFAMLGMAKLGGSISKSKEEIKACNMVFYFLVGNVFFLSLISGSLLDELGEYFTHPRSIPSHLASAVSSQADFFVTYILTDGLSGFSLEILQPGLLVWDAVKSHTVGGSGDEENPYLYSLPYFRIIPSVSLSILIGMVYAVVAPLLLPFLVGYFYLGYVVYVNQSLRLLIKDFCQHG >Potri.003G200900.1.v4.1 pep chromosome:Pop_tri_v4:3:20114851:20120374:-1 gene:Potri.003G200900.v4.1 transcript:Potri.003G200900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200900.v4.1 MNPESLTASAAINFGLAFIVLSLFSIFKKQPSNASIYYARRLSKRHHVHFEQSFTLSRFLPSVAWIPRAFRVTEDEVLDIGGLDALIIIRLFKFGIYFFGICSLIGLVVLLPINFGDQDEQSSIYHSMDPFTISNISAGSNRLWVHFTCLWLISFYGLYLLYKEYDGISVKRIQLLRNLRHQPDRFNVLVRQVPFCNEHNAYGCSVDHFFSKHHPNSYCSYQMIYDGKDIEDLLHQAKYVARKIEDMRGKLTVKKRDKESLLLDVSQEDDVKIALFEEKQQEIVRKIRQLQNESMLKGKELPVAFVTFKSRRGAALVSQTQQHSHPLIWITEMAPEPRDVSWRSLEIPFKILPLCKIGVVVAASLLTIFFAVPVTAVQGIAKLEKLKKWFPPAMAMELIPGLSSIITGYLPSAILKGFIYVVPFAMLGMAKLGGSISKSKEEIKACNMVFYFLVGNVFFLSLISGSLLDELGEYFTHPRSIPSHLASAVSSQADFFVTYILTDGLSGFSLEILQPGLLVWDAVKSHTVGGSGDEENPYLYSLPYFRIIPSVSLSILIGMVYAVVAPLLLPFLVGYFYLGYVVYVNQIEDVYETAYDTCGQYWPYVHHYIFVGIILMQITMIGLFGLKSKPSASIATIPLLLLTIMFNEYCKIRFLPTFRHYSVKDADEHDELDRKFGKMEINCENARSAYCQPTLQPTNFMASKSTSSQPLVSSL >Potri.005G227700.2.v4.1 pep chromosome:Pop_tri_v4:5:22796239:22800352:1 gene:Potri.005G227700.v4.1 transcript:Potri.005G227700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227700.v4.1 MGEQTKIQPQSQPVQSESQALPQIEAQVQTQSLSQPFNTPTTTTSELTTVPPPITSPPAKIPSRPRKIRKVSPNAAATTANDPNSSPTSTTTTTETPKSPAIKTPRTKTSQQLVIATPRIVARSLTCEGELEYAIHYLRNADPLLASLIDIYQPPSFDTFPTPFLALARSILYQQLAFKAGSSIYTRFISLCGGEAGVLPETVLALTPQQLRQFGVSGRKASYLHDLARKYRNGILSDSAIVNMDDRSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLQVRKGVQLLYNLPELPRPSQMDQLCEKWRPYRSVASWYLWRLQESKGSPSSVIAVSTSGNLTQQQQEDQQQPQLIDPINSILNLGACAWGQ >Potri.005G227700.3.v4.1 pep chromosome:Pop_tri_v4:5:22796274:22800238:1 gene:Potri.005G227700.v4.1 transcript:Potri.005G227700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227700.v4.1 MGEQTKIQPQSQPVQSESQALPQIEAQVQTQSLSQPFNTPTTTTSELTTVPPPITSPPAKIPSRPRKIRKVSPNAAATTANDPNSSPTSTTTTTETPKSPAIKTPRTKTSQQLVIATPRIVARSLTCEGELEYAIHYLRNADPLLASLIDIYQPPSFDTFPTPFLALARSILYQQLAFKAGSSIYTRFISLCGGEAGVLPETVLALTPQQLRQFGVSGRKASYLHDLARKYRNGILSDSAIVNMDDRSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLQVRKGVQLLYNLPELPRPSQMDQLCEKWRPYRSVASWYLWRLQESKGSPSSVIAVSTSGNLTQQQQEDQQQPQLIDPINSILNLGYVHVQFCCMANLIHLTFMFCVAISCSRASLLFFLDVLFILPS >Potri.012G096400.3.v4.1 pep chromosome:Pop_tri_v4:12:12052803:12055095:1 gene:Potri.012G096400.v4.1 transcript:Potri.012G096400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096400.v4.1 MAVAFYDLTSAAGLKKLDDYLLSRSYISGYQASKDDLTVFTAFSSAPAAEYVNVSRWYTHIDALLRISGVEAEGCGVVVEGSAPITKEAIATPPSADTKAAADEDDDDDDDVDLFGEETEEEKKAAEERAATIKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVEMEGLFWGASKLVPVGYGIKKMTIMLTIVDDLVSVDTLIEERLTVEPINEYVQSCDIVAFNKI >Potri.012G096400.4.v4.1 pep chromosome:Pop_tri_v4:12:12052796:12055093:1 gene:Potri.012G096400.v4.1 transcript:Potri.012G096400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096400.v4.1 MAVAFYDLTSAAGLKKLDDYLLSRSYISGYQASKDDLTVFTAFSSAPAAEYVNVSRWYTHIDALLRISGVEAEGCGVVVEGSAPITKEAIATPPSADTKAAADEDDDDDDDVDLFGEETEEEKKAAEERAATIKASSKKKESGKSSVLLDVKPWDDETDMKKLEEAVRSVEMEGLFWGASKLVPVGYGIKKMTIMLTIVDDLVSVDTLIEERLTVEPINEYVQSCDIVAFNKI >Potri.007G048000.1.v4.1 pep chromosome:Pop_tri_v4:7:4425485:4429687:-1 gene:Potri.007G048000.v4.1 transcript:Potri.007G048000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048000.v4.1 MDKNLLANRVAAASDKVLAEVVKLTQKQGRRGSQGSWKQFLNVYERKFGSGFSDPARKSRDSLVAFLQTFTDEDGLKFVDNVLRSLSNCEMLKETMKESLENESPEQRLVRSTLEHPLYLSKYALPSYEKGWAVTKVRKKPKLLRCNKMLAVDCEMVLCKDGTDALVRVCVVDADLKVKLDELVNPCKPVEDYRTEITGVTAEVLDGASCSVADIQISMKKLLSRGTILVGHSLYNDLEALKLDHARVIDTSFIFKSSDGRSPSLNNLCKSVLGYELRKAGDPHNCLDDACAAMKLVLAKIERGVDNYIPLIQPDVKDASYNVPEIEMAKLLLHKIPVTVPREKLRRLFPANYTIEIKTHKVIQGVGYSVLAIFKNPEEACQAFENLIGSLEKDTSGRPQKLVALQLDTGGSAGIRVRKMTHDSHVSQKKRSFEGEDSGDPKKPKIDQCEDHVKEIERLKQELKKQELNQCDDHLKEIERLKQEIKTKDFEITAQDKIITELKRKLEEMKKKKKER >Potri.016G116400.3.v4.1 pep chromosome:Pop_tri_v4:16:12074115:12075337:1 gene:Potri.016G116400.v4.1 transcript:Potri.016G116400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116400.v4.1 MAGTGVVAVYGNGAITETKKSPFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYIDESEVLTPADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPVKRGRAIVQAVTHYSDPQVLAEVSCGLGEAMVGLNLNDKKIERFASRSD >Potri.016G116400.1.v4.1 pep chromosome:Pop_tri_v4:16:12074157:12077001:1 gene:Potri.016G116400.v4.1 transcript:Potri.016G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116400.v4.1 MAGTGVVAVYGNGAITETKKSPFSVKVGLAQMLRGGVIMDVVTPEQARIAEEAGACAVMALERVPADIRAQGGVARMSDPQLIKEIKQAVTIPVMAKARIGHFVEAQILEAIGIDYIDESEVLTPADEENHINKHNFRIPFVCGCRNLGEALRRIREGAAMIRTKGEAGTGNVIEAVRHVRSVMGDIRVLRNMDDDEVFTFAKKIAAPYDLVMQTKQLGRLPVVQFAAGGVATPADAALMMQLGCDGVFVGSGVFKSGDPVKRGRAIVQAVTHYSDPQVLAEVSCGLGEAMVGLNLNDKKIERFASRSD >Potri.007G110600.1.v4.1 pep chromosome:Pop_tri_v4:7:13237687:13239127:-1 gene:Potri.007G110600.v4.1 transcript:Potri.007G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110600.v4.1 MVRDVDLYVTVPSFFRCPISLDVMKSPVSLCTGVTYDRTSIERWLDSGNNTCPATMQVLNSKEFVPNRTLQRLIKIWSDSVQTQKDNRVDSAASSVVTREDIEVLVKEMRAKKDKTDRLSKFICFAKESEENCEFLAKFDGFVEMLVGFLVGDKDINFLERVVKVFVLILNKVGDYKALRLLILKQNNDCLSALLAVLKQGRSVSSQIGALKIIEAITLDAESKQMVSEKEGFLLELVKLISLENDPRLIEASLSCLIAISMSKRVKTNLINFKVIAELRKLLTGGQKASVSIIEKALKLLEMVTSLREGRAEFCNDTACVEAVMNKVLKVSSEATEHAVMILWSVCYLFRDGDAQDALVKSNGLTKILLLMQSNCSPVVRQMSGDLLKIFRVNSKFSSCLSSYDTKTTHIMPF >Potri.013G044700.2.v4.1 pep chromosome:Pop_tri_v4:13:3109951:3112808:-1 gene:Potri.013G044700.v4.1 transcript:Potri.013G044700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G044700.v4.1 MEMPLVSKPTSLLLPLTFQKLKQPIPPPSTFTKTPQNPSPQHQNKHQKHPSFTPNNHLCLDQTQQLHAHIIRTHFNHAQQVSFSPFESHVSHEARYNLLITSYIKNNKPRYALNTYTYMRKLDIEVDSFIIPSVLKACSQISVARMGKEIHGFSVKNGLVSDVFVVNALMQMYSECGSLVSARLLFDKMSERDVVSWSTMIRAYSRNKLFIEGLKLIENMHFSNVKPSEVAMISMVNLFSDLENGEMGKAMHGYVIRNSNSEKMVVPLTTCLIDMYAKCGNLDAAVTLFYGFSQRSIVSWTAMIAGYIRCNDLEEGERLFVRMIEENVFPNDITMLSLIISCGFVGAVQLGKRLHAYILRNGFGMSLALATALVDMYGKCGEIRSARAIFDSMKNKDVMTWTAMISAYAQANCIDYAFQLFVQMRDNGVRPNELTMVSLLSLCAVNGALDMGKWFHAYIDKQGVEVDVILKTALIDMYAKCGDISGAQRLFSEAIDRDICTWNVMMAGYGMHGYGEKALKLFTEMETLGVKPNDITFIGALHACSHAGLVVEGKGLFEKMIHDFGLVPKVEHYGCMVDLLGRAGLLDEAYKMIESMPVTPNIAIWGAMLAACKIHKNSNMGELAARELLALEPQNCGYKVLMSNIYAAANRWNDVAGMRKAVKDTGIKKEPGMSSIEVNGLVHDFKMGDRAHPLIEKISEMLAEMSKKLKEAGYLPDTSVVLHNIDEEEKETALNYHSEKLAMAFGLISTAPGTPIRVVKNLRICDDCHTVTKLLSKIYKRVIIVRDRNRFHHFREGSCSCGGYW >Potri.016G020201.1.v4.1 pep chromosome:Pop_tri_v4:16:1080814:1081611:1 gene:Potri.016G020201.v4.1 transcript:Potri.016G020201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020201.v4.1 MADKPHAVLIPLPFQSHIKSMLKLAKLLHHRGFHITFVNTEYNHRRLLKSRGPNSLNGLLDFRFENIPDGLPHSDIDASIPKISLHFLRLSRTT >Potri.016G093550.1.v4.1 pep chromosome:Pop_tri_v4:16:7965688:7966014:1 gene:Potri.016G093550.v4.1 transcript:Potri.016G093550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G093550.v4.1 MASIIDMNTYIHINNYIFLLFCMILTILPQFIFKKLTKATTNTKLHLPPSPPALPVTGHLHLFTLALYKCFYNLSSKLIWPSPLSPTGPFALSSRIISIHGNRDLPDQ >Potri.006G281500.3.v4.1 pep chromosome:Pop_tri_v4:6:27081979:27086101:1 gene:Potri.006G281500.v4.1 transcript:Potri.006G281500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281500.v4.1 MNGYWQSMIDFYREHFEKIGCPVTPSKDTGLHLAVHSKKEQPLKALLEIMKERELPVTEEEFLEKRNEFGNTALHEATIYGNYEAVKLLVERCPELIRKANQFGETPLFTAAGFATTAIVEFLIGSKREQCVDNNGSLLSIHKKRSKDVLSILSAAIIGQNFETALLLLDLDKSLASMKDKNQISTLQLLAEMPNAFESGCPMGIFEGLIYCCLPVPRPCEVKSKVKSTVESFRRARKEVGDLESGRGRNSGDLGSVSKRNQRGGLLNYLKIPEGCWLERIWNLKRKHVFAYTFAASLIEKDESLKSVTITEEDQNKEEEGEEEQEMCGKKIKKGEKTSEITSNAKETERAETSEITSNANGTERSVLSTLSSLTKKKEIPLFTATRRGIQEIVKLKIKLHPHAIDQRDEMNRSILDVAVMYRQKKIFDIVKEKEIPMARMRRVVDKSGNTLLHHVADMKKNSGVTKPGPALQLQEELKWFERVKEEIPPHYVPLLNKDGMTARECFENSHEMQLKQAQKWIKETAQSCSTVAALVATVVFAAAYTVPGGSDEKGKPNFINSPYFLIFTVSDVVSLASSLTSLVVFLSLLTSPFELQEFHISLPRKLVVGFSFLFFSVLTTMLSFGATILILIQTERRLTTLLLSIASFLPVLIFGILQFRLYVSFMGSTFNILKKNWIAHLSFLGPCLQWREKLGPKKKEKSSN >Potri.006G281500.2.v4.1 pep chromosome:Pop_tri_v4:6:27081005:27086101:1 gene:Potri.006G281500.v4.1 transcript:Potri.006G281500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281500.v4.1 MKTLFRSQNLWDIVKNGYEEPENISTLEEHTEGVRGDASALYLIQQSLADTIFPRITEASTAKQAWDILQNEFLGDSKIAPEIELEAPYGAAMNGYWQSMIDFYREHFEKIGCPVTPSKDTGLHLAVHSKKEQPLKALLEIMKERELPVTEEEFLEKRNEFGNTALHEATIYGNYEAVKLLVERCPELIRKANQFGETPLFTAAGFATTAIVEFLIGSKREQCVDNNGSLLSIHKKRSKDVLSILSAAIIGQNFETALLLLDLDKSLASMKDKNQISTLQLLAEMPNAFESGCPMGIFEGLIYCCLPVPRPCEVKSKVKSTVESFRRARKEVGDLESGRGRNSGDLGSVSKRNQRGGLLNYLKIPEGCWLERIWNLKRKHVFAYTFAASLIEKDESLKSVTITEEDQNKEEEGEEEQEMCGKKIKKGEKTSEITSNAKETERAETSEITSNANGTERSVLSTLSSLTKKKEIPLFTATRRGIQEIVKLKIKLHPHAIDQRDEMNRSILDVAVMYRQKKIFDIVKEKEIPMARMRRVVDKSGNTLLHHVADMKKNSGVTKPGPALQLQEELKWFERVKEEIPPHYVPLLNKDGMTARECFENSHEMQLKQAQKWIKETAQSCSTVAALVATVVFAAAYTVPGGSDEKEFHISLPRKLVVGFSFLFFSVLTTMLSFGATILILIQTERRLTTLLLSIASFLPVLIFGILQFRLYVSFMGSTFNILKKNWIAHLSFLGPCLQWREKLGPKKKEKSSN >Potri.006G281500.6.v4.1 pep chromosome:Pop_tri_v4:6:27082438:27086101:1 gene:Potri.006G281500.v4.1 transcript:Potri.006G281500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281500.v4.1 MNGYWQSMIDFYREHFEKIGCPVTPSKDTGLHLAVHSKKEQPLKALLEIMKERELPVTEEEFLEKRNEFGNTALHEATIYGNYEAVKLLVERCPELIRKANQFGETPLFTAAGFATTAIVEFLIGSKREQCVDNNGSLLSIHKKRSKDVLSILSAAIIGQNFETALLLLDLDKSLASMKDKNQISTLQLLAEMPNAFESGCPMGIFEGLIYCCLPVPRPCEVKSKVKSTVESFRRARKEVGDLESGRGRNSGDLGSVSKRNQRGGLLNYLKIPEGCWLERIWNLKRKHVFAYTFAASLIEKDESLKSVTITEEDQNKEEEGEEEQEMCGKKIKKGEKTSEITSNAKETERAETSEITSNANGTERSVLSTLSSLTKKKEIPLFTATRRGIQEIVKLKIKLHPHAIDQRDEMNRSILDVAVMYRQKKIFDIVKEKEIPMARMRRVVDKSGNTLLHHVADMKKNSGVTKPGPALQLQEELKWFERVKEEIPPHYVPLLNKDGMTARECFENSHEMQLKQAQKWIKETAQSCSTVAALVATVVFAAAYTVPGGSDEKEFHISLPRKLVVGFSFLFFSVLTTMLSFGATILILIQTERRLTTLLLSIASFLPVLIFGILQFRLYVSFMGSTFNILKKNWIAHLSFLGPCLQWREKLGPKKKEKSSN >Potri.006G281500.1.v4.1 pep chromosome:Pop_tri_v4:6:27081005:27086107:1 gene:Potri.006G281500.v4.1 transcript:Potri.006G281500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281500.v4.1 MKTLFRSQNLWDIVKNGYEEPENISTLEEHTEGVRGDASALYLIQQSLADTIFPRITEASTAKQAWDILQNEFLGDSKIAPEIELEAPYGAAMNGYWQSMIDFYREHFEKIGCPVTPSKDTGLHLAVHSKKEQPLKALLEIMKERELPVTEEEFLEKRNEFGNTALHEATIYGNYEAVKLLVERCPELIRKANQFGETPLFTAAGFATTAIVEFLIGSKREQCVDNNGSLLSIHKKRSKDVLSILSAAIIGQNFETALLLLDLDKSLASMKDKNQISTLQLLAEMPNAFESGCPMGIFEGLIYCCLPVPRPCEVKSKVKSTVESFRRARKEVGDLESGRGRNSGDLGSVSKRNQRGGLLNYLKIPEGCWLERIWNLKRKHVFAYTFAASLIEKDESLKSVTITEEDQNKEEEGEEEQEMCGKKIKKGEKTSEITSNAKETERAETSEITSNANGTERSVLSTLSSLTKKKEIPLFTATRRGIQEIVKLKIKLHPHAIDQRDEMNRSILDVAVMYRQKKIFDIVKEKEIPMARMRRVVDKSGNTLLHHVADMKKNSGVTKPGPALQLQEELKWFERVKEEIPPHYVPLLNKDGMTARECFENSHEMQLKQAQKWIKETAQSCSTVAALVATVVFAAAYTVPGGSDEKGKPNFINSPYFLIFTVSDVVSLASSLTSLVVFLSLLTSPFELQEFHISLPRKLVVGFSFLFFSVLTTMLSFGATILILIQTERRLTTLLLSIASFLPVLIFGILQFRLYVSFMGSTFNILKKNWIAHLSFLGPCLQWREKLGPKKKEKSSN >Potri.006G281500.5.v4.1 pep chromosome:Pop_tri_v4:6:27081979:27086101:1 gene:Potri.006G281500.v4.1 transcript:Potri.006G281500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281500.v4.1 MNGYWQSMIDFYREHFEKIGCPVTPSKDTGLHLAVHSKKEQPLKALLEIMKERELPVTEEEFLEKRNEFGNTALHEATIYGNYEAVKLLVERCPELIRKANQFGETPLFTAAGFATTAIVEFLIGSKREQCVDNNGSLLSIHKKRSKDVLSILSAAIIGQNFETALLLLDLDKSLASMKDKNQISTLQLLAEMPNAFESGCPMGIFEGLIYCCLPVPRPCEVKSKVKSTVESFRRARKEVGDLESGRGRNSGDLGSVSKRNQRGGLLNYLKIPEGCWLERIWNLKRKHVFAYTFAASLIEKDESLKSVTITEEDQNKEEEGEEEQEMCGKKIKKGEKTSEITSNAKETERAETSEITSNANGTERSVLSTLSSLTKKKEIPLFTATRRGIQEIVKLKIKLHPHAIDQRDEMNRSILDVAVMYRQKKIFDIVKEKEIPMARMRRVVDKSGNTLLHHVADMKKNSGVTKPGPALQLQEELKWFERVKEEIPPHYVPLLNKDGMTARECFENSHEMQLKQAQKWIKETAQSCSTVAALVATVVFAAAYTVPGGSDEKEFHISLPRKLVVGFSFLFFSVLTTMLSFGATILILIQTERRLTTLLLSIASFLPVLIFGILQFRLYVSFMGSTFNILKKNWIAHLSFLGPCLQWREKLGPKKKEKSSN >Potri.006G281500.4.v4.1 pep chromosome:Pop_tri_v4:6:27082438:27086101:1 gene:Potri.006G281500.v4.1 transcript:Potri.006G281500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G281500.v4.1 MNGYWQSMIDFYREHFEKIGCPVTPSKDTGLHLAVHSKKEQPLKALLEIMKERELPVTEEEFLEKRNEFGNTALHEATIYGNYEAVKLLVERCPELIRKANQFGETPLFTAAGFATTAIVEFLIGSKREQCVDNNGSLLSIHKKRSKDVLSILSAAIIGQNFETALLLLDLDKSLASMKDKNQISTLQLLAEMPNAFESGCPMGIFEGLIYCCLPVPRPCEVKSKVKSTVESFRRARKEVGDLESGRGRNSGDLGSVSKRNQRGGLLNYLKIPEGCWLERIWNLKRKHVFAYTFAASLIEKDESLKSVTITEEDQNKEEEGEEEQEMCGKKIKKGEKTSEITSNAKETERAETSEITSNANGTERSVLSTLSSLTKKKEIPLFTATRRGIQEIVKLKIKLHPHAIDQRDEMNRSILDVAVMYRQKKIFDIVKEKEIPMARMRRVVDKSGNTLLHHVADMKKNSGVTKPGPALQLQEELKWFERVKEEIPPHYVPLLNKDGMTARECFENSHEMQLKQAQKWIKETAQSCSTVAALVATVVFAAAYTVPGGSDEKGKPNFINSPYFLIFTVSDVVSLASSLTSLVVFLSLLTSPFELQEFHISLPRKLVVGFSFLFFSVLTTMLSFGATILILIQTERRLTTLLLSIASFLPVLIFGILQFRLYVSFMGSTFNILKKNWIAHLSFLGPCLQWREKLGPKKKEKSSN >Potri.007G001200.1.v4.1 pep chromosome:Pop_tri_v4:7:78117:80678:-1 gene:Potri.007G001200.v4.1 transcript:Potri.007G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001200.v4.1 MPSSFTVSPCEDSQETNYLQTLLASARPFLRGELESIDKNLPSLISVLRSVGAGECWHKHGSFLDHLVEIYRILKIWKAPDSVCLCGLFHSAYSNSYVNLAIFDPNTGRDVVRNHVGEAAERLIHLFCIVPRQSLIHDDLLFKYSDIELVEHLKASELSLRNAGEKGLFNGEESWRKKLASLLPASGITVKHIKSGEDVLVTRRMVGVFLLMTMADFSDQLFGFQDLLFENFDGRLEFLGNNFGALWPGDGKPGLWINSISRMGAIYSLIVREEEIFIEERKRAGGFEVDRERDEDIELVLAPVFENCTQVLDAREQVVARDLYWEAVCDTSKGGLERAEELLVSSIEKNPFVGEPHVVLGQFYLTKGRFEEAEKEAERGVTLLLEWGSPWDKRMSWEGWIAWARVLLMKAKEKSWPQTSWGILNLGLVR >Potri.019G038142.1.v4.1 pep chromosome:Pop_tri_v4:19:5255656:5256042:-1 gene:Potri.019G038142.v4.1 transcript:Potri.019G038142.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038142.v4.1 MPSCRLSNSREKGLLVSTDEHYFLSKRIKILELLAANSVVSNHNPSIASPGQDSCSAMASITPSPAAAISSSPKHDLTSSSLPSDSPTNLATSSSIEYQTQPTTLKMNNSSKMDELPLSSSNIQNSML >Potri.006G128600.6.v4.1 pep chromosome:Pop_tri_v4:6:10413803:10418767:-1 gene:Potri.006G128600.v4.1 transcript:Potri.006G128600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128600.v4.1 MAVEKIFKSEATEEKGERARLASLIGGMAVADLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKILVDISKVQDDEVGDGTTSVVVLAGELLREAEKLLAAKIHPMTIIAGFRMAAECARNALLQKVLDNKDNEEKFMADLMKIARTTLSSKILSQDKEYFAKLAVDAVMRLQGSTNLEAIQIIKTPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSRVAEIEAAEKQKMKEKVDKIIAHGINCFINRQLIYNFPEELFANAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASRHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDELARVTPGKKSHAIEAFSRALIAIPTIIAENAGLDSAELVAQLRAEHHKEGCTSGIDVISGSIGDMVELGISEAFKVKHAVLLSATEAAEMILRVDEIITCAPRRREDRM >Potri.006G128600.2.v4.1 pep chromosome:Pop_tri_v4:6:10413832:10418717:-1 gene:Potri.006G128600.v4.1 transcript:Potri.006G128600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G128600.v4.1 MAVEKIFKSEATEEKGERARLASLIGGMAVADLVKTTLGPKGMDKILQSTGRGHEVTVTNDGATILKSLHIDNPAAKILVDISKVQDDEVGDGTTSVVVLAGELLREAEKLLAAKIHPMTIIAGFRMAAECARNALLQKVLDNKDNEEKFMADLMKIARTTLSSKILSQDKEYFAKLAVDAVMRLQGSTNLEAIQIIKTPGGSLKDSFLDEGFILDKKIGVGQPKRIENAKILVANTAMDTDKVKIYGARVRVDSMSRVAEIEAAEKQKMKEKVDKIIAHGINCFINRQLIYNFPEELFANAGILAIEHADFDGIERLALVTGGEIASTFDNPESVKLGHCKLIEEIMIGEDKLIHFSGVEMGQACTIVLRGASRHVLDEAERSLHDALCVLSQTVNDSRVLLGGGWPEMVMAKEVDELARVTPGKKSHAIEAFSRALIAIPTIIAENAGLDSAELVAQLRAEHHKEGCTSGIDVISGSIGDMVELGISEAFKVKHAVLLSATEAAEMILRVDEIITCAPRRREDRM >Potri.004G127420.1.v4.1 pep chromosome:Pop_tri_v4:4:14175251:14176153:-1 gene:Potri.004G127420.v4.1 transcript:Potri.004G127420.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G127420.v4.1 MDDSQSQDKACWTREMLHAFCDICIKAIEQGMRPNTHFDKAGWKYVMNCFKDKTGHALTKAQLKNKWDVIKKDWRIWKKLISETGVGWSAELGTIAAPDEWWKAKNQEIRGARKFRHVGIDPTLCSKYDIMFTNTVATGQYAWAPSQGLNSDEDGVGERQTNVGNEDPELQEGSGDSEEDSLPNFVANVNNMVAGVNFSNSTSNPTGSSGKRKGVQQSSQQNLKKKRGAGRGSHLFARLDKLVDSVSTKSECTSNVFDKKGCSIEE >Potri.002G184600.1.v4.1 pep chromosome:Pop_tri_v4:2:14636952:14639759:1 gene:Potri.002G184600.v4.1 transcript:Potri.002G184600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184600.v4.1 MEGEARKRLKHAKEVNEQEVIDGTTLEIVEKDKTETNIVGSEEMELNIAHIFEKIEHFTQMVSELQESGKAMFKEMKKEFEEGLISIHEEEMEKWQEEIEELRLLDAYQMRKQVVFFIMLDMYSKILTLTLEGLARILCIKKDK >Potri.010G142250.1.v4.1 pep chromosome:Pop_tri_v4:10:15521466:15522824:-1 gene:Potri.010G142250.v4.1 transcript:Potri.010G142250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142250.v4.1 MLGLGIPVSSLRSLEFRIPLNSASVICCWIFFQFFFLVTKDGRGYFLSCYISPPFCFPVVIVLCRCCCLGSFLYQPLFLGSLNWQALIVFICCDEICNGRFFNFQSNSDQKCNKGIKMKV >Potri.010G112701.1.v4.1 pep chromosome:Pop_tri_v4:10:13253091:13255217:-1 gene:Potri.010G112701.v4.1 transcript:Potri.010G112701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112701.v4.1 MVHSPSPGIKRMQGLWIGKRALMRKVNGP >Potri.006G257100.2.v4.1 pep chromosome:Pop_tri_v4:6:25414755:25417944:1 gene:Potri.006G257100.v4.1 transcript:Potri.006G257100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257100.v4.1 MASIVRLKPVILLLFVELCLSLLSPSLAITFGSSGDGYAINGRVKIPGIGTKGLGGHGKVSNVKVLLNGGQHVTFLRPDGYFSFHNVPAGTHLIEVDAIGYFFSPVRVDVSARFPGKVQATLTEKRRSLSEMVLEPLKEEQYYEIREPFSIMSIVKSPMGLMMGFMVLVMFIMPKLMENMDPEEMRRAQEEMRNQGVPSLASILPGAARSN >Potri.005G121850.4.v4.1 pep chromosome:Pop_tri_v4:5:9021564:9024569:-1 gene:Potri.005G121850.v4.1 transcript:Potri.005G121850.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121850.v4.1 MLSQKHTFCMDMTVLERQQARFKWLLLLQQQQNNYVNQYNSLESCSMPTDQFHGFVDHESMNGDIIDWKKKPEMYLGNNDLPKYGGFSLNGTGLVANNRMDFGQAEVAGSVEIDRCLSRTSSCQIGVVEAAKIEEKVVAIKVAEDLTLMENKQSNNGNGDNSNKRKAEFVAAEECDNKIKEVEVDSKVKEKSSIGISADFSKENQQTSALPKTDYIHVRARRGQATDSHSLAERARREKISKKMKSLQDLVPGCNKITGRAGMLDEIINYVQSLQRQVEFLSMKLAALNPRPEFNIDNFSGKEFSAYVESFPAASMPSTISNLTHIQPNLMQRQATSSEPKALTNPPQLVPVKTTSSSAFINDLCLDPLAQVQPFWDADSQNLQNLGFN >Potri.017G074100.9.v4.1 pep chromosome:Pop_tri_v4:17:8188475:8193772:1 gene:Potri.017G074100.v4.1 transcript:Potri.017G074100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074100.v4.1 MAATDTQRQLLTLIREFATEKSQGGKVIGLEKRIQEVGCEVDVANGEMEEVKCIKETTEQELKGYELQLALNEASIQTLEARISIIQDEISSVGSQVEGLKNEEGASRDEFIRQMFDLNAKIRKFQEEKCIKSQKKSSIGTTAEPDRKAVKKVVTEVELRALEDILAHVASQTTKEEQEYIAEENIQNQVQKEYIDLQRKFPLMEAIVKETKALQDLTRYPYKHYSVWNWLSGHD >Potri.017G074100.1.v4.1 pep chromosome:Pop_tri_v4:17:8188475:8193772:1 gene:Potri.017G074100.v4.1 transcript:Potri.017G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074100.v4.1 MAATDTQRQLLTLIREFATEKSQGGKRVIGLEKRIQEVGCEVDVANGEMEEVKCIKETTEQELKGYELQLALNEASIQTLEARISIIQDEISSVGSQVEGLKNEEGASRDEFIRQMFDLNAKIRKFQEEKCIKSQKKSSIGTTAEPDRKAVKKVVTEVELRALEDILAHVASQTTKEEQEYIAEENIQNQVQKEYIDLQRKFPLMEAIVKETKALQDLTRYPYKHYSVWNWLSGHD >Potri.004G158300.1.v4.1 pep chromosome:Pop_tri_v4:4:17912946:17917607:1 gene:Potri.004G158300.v4.1 transcript:Potri.004G158300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158300.v4.1 MVKDTAFYDLLGVKVEATPAEIKKAYYLKARIVHPDKNPGDPKAAENFQMLSEAYQILSDPQKREKYDMSGKEAMTQESMVDPSAVFGMMFGSELFEDYVGQLALATIASLENEGGIQNMEMKMKVLQREREEKLITILKNCLEKFVEGRENEFTNWAKSEASRLSAAAFGEAMLHTIGYIYTRKASKELGKDKRYMKVPFFAEWVRDKGHLIKSQVMAASGAVSLIQIQEELKKLNGVENQEESMQKILEDKKDAMLQSLWQINVVDIERTLSRVCLEVLIDPSVYRDVLRSRAKGLKKLGTIFQGAKAAYSRENSLRHEKDQPMNAGSSS >Potri.004G158300.8.v4.1 pep chromosome:Pop_tri_v4:4:17913017:17917608:1 gene:Potri.004G158300.v4.1 transcript:Potri.004G158300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158300.v4.1 MVKDTAFYDLLGVKVEATPAEIKKAYYLKARIVHPDKNPGDPKAAENFQMLSEAYQILSDPQKREKYDMSGKEAMTQESMVDPSAVFGMMFGSELFEDYVGQLALATIASLENEGGIQNMEMKMKVLQREREEKLITILKNCLEKFVEGRENEFTNWAKSEASRLSAAAFGEAMLHTIGYIYTRKASKELGKDKRYMKVPFFAEWVRDKGHLIKSQVMAASGAVSLIQIQEELKKLNGVENQEESMQKILEDKKDAMLQSLWQINVVDIERTLSRVCLEVLIDPSVYRDVLRSRAKGLKKLGTIFQGAKAAYSRENSLRHEKDQPMNAGSSS >Potri.004G158300.2.v4.1 pep chromosome:Pop_tri_v4:4:17913427:17917606:1 gene:Potri.004G158300.v4.1 transcript:Potri.004G158300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158300.v4.1 MVKDTAFYDLLGVKVEATPAEIKKAYYLKARIVHPDKNPGDPKAAENFQMLSEAYQILSDPQKREKYDMSGKEAMTQESMVDPSAVFGMMFGSELFEDYVGQLALATIASLENEGGIQNMEMKMKVLQREREEKLITILKNCLEKFVEGRENEFTNWAKSEASRLSAAAFGEAMLHTIGYIYTRKASKELGKDKRYMKVPFFAEWVRDKGHLIKSQVMAASGAVSLIQIQEELKKLNGVENQEESMQKILEDKKDAMLQSLWQINVVDIERTLSRVCLEVLIDPSVYRDVLRSRAKGLKKLGTIFQSSSQGAKAAYSRENSLRHEKDQPMNAGSSS >Potri.012G132500.1.v4.1 pep chromosome:Pop_tri_v4:12:14737403:14747534:-1 gene:Potri.012G132500.v4.1 transcript:Potri.012G132500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G132500.v4.1 MASSTSCLRLENVIFSSTYRKLRQTNANGSVLLSSPIRSFSLLSSSSLFSPKFALLKNLHSVSSSLSVKASSSTAIAEPEGIKINSVSTKPIEGQKTGTSGLRKKVKIFKEENYLANWIQALFNSLPPEDYKNGVLVLGGDGRYFNLEASQIIIKIAAGNGVGKILVGKEGIMSTPAVSAVIRERKANGGFIMSASHNPGGPEYDWGIKFNYNSGQPAPESITDKIYGNTLSISEIKMADIPDVDLSTLGITKYGNFSVEVVDPVSDYLELMENVFDFELIKSLLSRSDFRFIFDAMHAVTGAYAKPIFVDKLGASLDSISNGVPLEDFGHGHPDPNLTYAKGLVDIMYAENGPDFGAASDGDGDRNMVLGRGFFVTPSDSVAIIAANVQAIPYFTSGPKGLARSMPTSGALDRVAEKLNLPFFEVPTGWKFFGNLMDAGKLSICGEESFGTGSDHIREKDGIWAVLAWLSIIAYRNKDKKPGEKLVSVADVVKEHWATYGRNFFSRYDYEECESEGANKMIQYLRDLVSKSKPGDKYGNYTLQFADDFTYTDPVDGSVVSKQGIRFVFTDGSRIIFRLSGTGSAGATVRIYVEQFEPDVSKHEMDAQIALKPLIDLALSVSKLKDFTGRDKPTVIT >Potri.015G004600.8.v4.1 pep chromosome:Pop_tri_v4:15:280717:285390:1 gene:Potri.015G004600.v4.1 transcript:Potri.015G004600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004600.v4.1 MSGRFSRTIYVGNLPADIRESEIEDLFYKYGRILDVELKIPPRPPCYCFVEFENARDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSNDRRGGYGGGGGSGGRFGISRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSDGTFGVVDYTNHEDMKYAIRKLDDTEFRNPWARAYIRVKQHESSPSRSRSRSRSRSRSSRRNRSKSLERSVSRSRSRSRSKSRSASPLKSSRPRSRSGSRSESPNKARSGSA >Potri.015G004600.10.v4.1 pep chromosome:Pop_tri_v4:15:280621:285397:1 gene:Potri.015G004600.v4.1 transcript:Potri.015G004600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004600.v4.1 MSGRFSRTIYVGNLPADIRESEIEDLFYKYGRILDVELKIPPRPPCYCFVEFENARDAEDAIRGRDGYNFDGCRLRVELAHGGRGPSSNDRRGGYGGGGGSGGRFGISRHSEFRVIVRGLPSSASWQDLKDHMRKAGDVCFAEVSRDSDGTFGVVDYTNHEDMKYAIRKLDDTEFRNPWARAYIRVKQHESSPSRSRSRSRSRSRSSRRNRSKSLERSVSRSRSRSRSKSRSASPLKSSRPRSRSGSRSESPNKARSGSA >Potri.011G081200.4.v4.1 pep chromosome:Pop_tri_v4:11:8775407:8782933:1 gene:Potri.011G081200.v4.1 transcript:Potri.011G081200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G081200.v4.1 MEKMREEVISSGGTMDPTPAASSAGASSPPNLGHNYNNNSKAVSVWTTSLSTSAGGSALGSSSRPSCRPWERGDLLRRLATFKPPNWFAKPKIASSLACAQRGWTNIDINQIACETCGAHMTFVSLSSWTPAEVESAAEAFAKQLDVGHQATCPWRGNSCPASLVQFPPTPQSALIGGYKDRCDGLLQFQFLPTISASAIELMRVLRGPLVDRLLSQSQNFIAGEGDFKTECIAGLETTRDGAFCFYTRAQKLISLCGWEPRWLPNVQDCEENSAQSARNGWSFGPAQAQVHLSHDLGPSKKAHSASAKNDTGKNKVFAVDSRCDSRSPLLDCSLCGATVRVLDFLTVPRPARFAPNNIDIADTNKKMALTRGASAASGISGWVAADDTEKDQIEDRDEVATTDKGKLLLNSEVDLNLTMAGGLSFTQEGRTTMPENILDADMGRDLMIGQPSGSEVGEHAASYESHGPSSRKRSLEIGGSSDDRRQLIMQRADSIEGTVIDRDGDEVTDGQQFSAGPSKRARDSDFFDTYCSPYQRDSSGAGPSHSVGLEVFADGNRAASFRQGSDQIVGIPSARDSTRASSVIAMDTVCHSADDDSMESVENHPADINDVHFPSSSTYGNLDMNETSELNNSNQAQQSIGFQPVAEVAPGEMGVSSTNDGEEIFNAETVTAQARDGFSFGVSGGSVGMCASHEAEIHGADVSVHRADSVVGDLEPRIEDAENQGQTGESAPDPGLMDEIVPDEINREDPRGDSQEMLSRSVERAADSGSKIDGSTKAESVESGKKASQSCKLALDNNSHPSMSCNANIYSGYGTTNKGVSKGGKSSSVNNCPCAESDYAVANGIGPPKGESNYEEAIEFDPIIHHNKFCPWVNGNVAAAGCSSRGSGSNADTAALCGWQLTLDALDALRSLGTMPIQTVQSESAASLYEDDHQTPGQKLLQRHSMSKSHGQHLD >Potri.001G276804.1.v4.1 pep chromosome:Pop_tri_v4:1:29068759:29069332:-1 gene:Potri.001G276804.v4.1 transcript:Potri.001G276804.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276804.v4.1 MVKLIEGRKGVQYFNQGLLIVQALRVTAVIVNHSSTHHDAGTEADNIIWSSRDL >Potri.003G086100.1.v4.1 pep chromosome:Pop_tri_v4:3:11275509:11278367:-1 gene:Potri.003G086100.v4.1 transcript:Potri.003G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086100.v4.1 MLRQASRLLTRSIATTQQPIRVMGARPMSTNLPDTPVQDSAFIESWKKVAPNIDPPKTPSAFMKPRPPTPSTIPSKITVNFVLPYASELTSKEVDMVIIPATTGQMGVLPGHVPTIAELKPGVLSVHEGNDVKKYFLSSGFVFVHANSVADIVVVEAVPIDHIDQNLVQKGLADFTQKLSSATTELEKAEAQIGIDVHSALNSALVG >Potri.002G150400.1.v4.1 pep chromosome:Pop_tri_v4:2:11474797:11476808:1 gene:Potri.002G150400.v4.1 transcript:Potri.002G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150400.v4.1 MEYLFYLLLISFCWACLHVLNASVLLRRKSGCTVLPPGPRQLQIIGNILALGDKPHRTLAKLSQTYGPLMTLKLGRITTIVISSPNIAKEALQKHDQALSSRTVPDALRVHHRNSILWLPASTHWKFLRKLTATQMFTSQRLDASQALRGKKAQEMLEYVHENCNNGHAVDIRRSVFTTSLNLISNTFFSLDIANYNSDLSQEFSDLVVGVTEQIGKPNIADYFPILRLVDPQGVRRKTNNYLKRLTQIFDSIINERTRPRSSSVASKASHDILDALLILAKENNTELSSTDIQVLLIDFFIGGTDTTSSTVEWAMTELLLNPDKMVKAKNELQQVEGPVQESDISKCPYLQAIVKETFRLHPPVPLLLPRKAVSEVEMQGFTVPKNAQILINIWAIGRDPAIWPDPNSFKPERFLECQADVKGRDFELIPFGAGRRICPGLPLGHKMVHLTLASLIHSFDWKIADDLTPEDIDMSETFGLTLHKSEPLRAIPMKT >Potri.013G104300.2.v4.1 pep chromosome:Pop_tri_v4:13:11328128:11332083:-1 gene:Potri.013G104300.v4.1 transcript:Potri.013G104300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104300.v4.1 MLGIVSGKKRFTEANMTFSTLITQDFKSKPRLASQIPNDIDSPILPGLPDDVAKYCLALVPRSHFPTMGSVCKKWRSFLKSKELITIRKLAGLLEEWLYVLTMDSEAKESHWEVFDCLGHKHQLLPPMPGPVKAEFGVVVLNGKLLVMAGYSVIDGTGSASADVYEYDSCLNSWRKLASMNVARYEFACAEVNGKVYVVGGNGMDGDSLSSVEMYNPDTDKWTLIESLRRPRRGCFACSFEGKLYVMGGRSSFTIGNSKFVDVYNPEGHTWCEMKNGRVMVTAHAVLGKKLFCMEWKNQRKLAIFSPEDNSWKMVPVPLTGSSSIGFRFGILDGKLLLFSQEMEPGYRTLLYDPDASPGSEWQTSEIKPSGWCLCSVTIKA >Potri.017G108350.1.v4.1 pep chromosome:Pop_tri_v4:17:11694435:11695215:-1 gene:Potri.017G108350.v4.1 transcript:Potri.017G108350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108350.v4.1 MADNTQKMSFQAGEAKGQAQEKASTLMDRAGNAAQSAKESVQEAGQQVMSTAQGAVEGVKNATGMNK >Potri.005G041101.4.v4.1 pep chromosome:Pop_tri_v4:5:2666977:2675326:1 gene:Potri.005G041101.v4.1 transcript:Potri.005G041101.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G041101.v4.1 MGANKNFPVQVLPVREAWNFFVKMVGVTVKNPSVQLVAAEVAKRCAGLPILLATVARALKNKDLYAWKEALKQLTRFDKDEIDNQVYSCLELSYMALRGDEIKPLFLLCGQFPTYDTSISDLLKYAIGLDLFKGRSTLEEARNRLHTLVDELKASCLLLEGDFGGSVKMHDVVQSFAISVASRDHHVLIVADEFKEWPTNDVLQHYTAISLPFRKIPDLPVILECPNLNSFLLLNKDPSLQIPDNFFREMKELKVLDLTGVNLSPLPSSLQFLENLQTLCLDHCVLEDISIVGELKKLKVLSLISSNIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFVKWETEGPSSQRNNACLSELKLLSNLITLHMQVTDADNMPKDLFLCFQKLERFRIFIGDGWDWSVEDATSRTLKLKLYTVIQLEEWVKTLLKITEELHLQELNGVKSILNDLDGEGFPQLKHLHVQNCPGIQYIINSIRMGPRAAFLNLDSLFLENLDNLEKICHGQLMAESLGNLRILKVESCHRLKNLFSFSMARRLVRLEEITIIDCKIMEEVVAEESENDAADGEPIEFTQLRRLTLQCLPQFTSFHSNVEESSDSQRRQKLVASDARSKEIVAGNKLGTSMSLFNTRILFPNLEDLKLSSIKVEKIWHDQPAVQSPCVKNLASIVVENCSNLNYLLTSSMVERLAQLKRLEICNCKSMEEIVVPDGIGEGKMMSKMLFPKLLILELNGLPKLTRFCTSNLLECHFLKVLTLGNCPELKEFISIPSSADVPVMSKPDNTKSALFDDKVAFPDLEVFRIFDVDNLKVIWHNELHSDSFCKLKTLEVRRGKNLLNIFPSSMLRRFHNLENLSIADCDSIEEIFDLQVLINVKQRLAVTATQLRVVRLMNLPYLKHVWNTDPQGILSFHNLCTVHVRRCPGLRSLFPASIAQTLLQLEELQIDTCGVEEIVAMDEGLGEGPSSFRFWFPKVTHLHLVQLPELQRFYPGIHTSEWPRLKNFRVYDCEKIEIFPSEIKCTHEPCREDHMDIQGQQPLLSFRKIIPNLEQLILESKDASALLKGLCPQDLFHKLKVLELIFFRDAHATFPFDLLPRFPNMEKLIVGRSTFKELLPSRLDGMEKHARVLSPIRHLELDSLPCLEHLWKSNSQLDQALQTLQTLRVRNGGSLIYLAPSRASFQNLTNLYVWRCERLVILVTSTTAKSLAQLTRMSINYCSMVTEIVANEGDGIKDEIVFSKLERLELYRLPTLTSFCSEKHSFDFPSLVEVTVEKCPEMKFFSNGALSTPKLRRVNLTKVEKKNLNTTIQQLSTQTKAQIAESSSS >Potri.005G041101.3.v4.1 pep chromosome:Pop_tri_v4:5:2665433:2675318:1 gene:Potri.005G041101.v4.1 transcript:Potri.005G041101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G041101.v4.1 MDIVISVVAKVAELLVVPIRRQIGYVVDCNTNIQNLKNEVEKLRDAKTRVIHSIEEARRNGEEIEVDVENWLRSVDGVIEGGGGVVGDESSKKCFMGLCPDLKIRYRLGKAAKKELPVVAVFQEKGKFERVSYRADPSGIGLVKDYEAFESRNSVLNAIVDALKDGGVNMVGVYGMGGVGKTTLVKKVAEQVKEGRLFDKVVLALVSHTPDIRRIQGEISDGLGFKLDAETDKGRASQLCGGLKKVTKVLVILDDIWKELKLEDVGIPSGSDHEGCKILMSSRNEYVLSREMGANKNFPVQVLPVREAWNFFVKMVGVTVKNPSVQLVAAEVAKRCAGLPILLATVARALKNKDLYAWKEALKQLTRFDKDEIDNQVYSCLELSYMALRGDEIKPLFLLCGQFPTYDTSISDLLKYAIGLDLFKGRSTLEEARNRLHTLVDELKASCLLLEGDFGGSVKMHDVVQSFAISVASRDHHVLIVADEFKEWPTNDVLQHYTAISLPFRKIPDLPVILECPNLNSFLLLNKDPSLQIPDNFFREMKELKVLDLTGVNLSPLPSSLQFLENLQTLCLDHCVLEDISIVGELKKLKVLSLISSNIVCLPREIGKLTRLLLLDLSNCERLEVISPNVLSSLTRLEELYMGNSFVKWETEGPSSQRNNACLSELKLLSNLITLHMQVTDADNMPKDLFLCFQKLERFRIFIGDGWDWSVEDATSRTLKLKLYTVIQLEEWVKTLLKITEELHLQELNGVKSILNDLDGEGFPQLKHLHVQNCPGIQYIINSIRMGPRAAFLNLDSLFLENLDNLEKICHGQLMAESLGNLRILKVESCHRLKNLFSFSMARRLVRLEEITIIDCKIMEEVVAEESENDAADGEPIEFTQLRRLTLQCLPQFTSFHSNVEESSDSQRRQKLVASDARSKEIVAGNKLGTSMSLFNTRILFPNLEDLKLSSIKVEKIWHDQPAVQSPCVKNLASIVVENCSNLNYLLTSSMVERLAQLKRLEICNCKSMEEIVVPDGIGEGKMMSKMLFPKLLILELNGLPKLTRFCTSNLLECHFLKVLTLGNCPELKEFISIPSSADVPVMSKPDNTKSALFDDKVAFPDLEVFRIFDVDNLKVIWHNELHSDSFCKLKTLEVRRGKNLLNIFPSSMLRRFHNLENLSIADCDSIEEIFDLQVLINVKQRLAVTATQLRVVRLMNLPYLKHVWNTDPQGILSFHNLCTVHVRRCPGLRSLFPASIAQTLLQLEELQIDTCGVEEIVAMDEGLGEGPSSFRFWFPKVTHLHLVQLPELQRFYPGIHTSEWPRLKNFRVYDCEKIEIFPSEIKCTHEPCREDHMDIQGQQPLLSFRKIIPNLEQLILESKDASALLKGLCPQDLFHKLKVLELIFFRDAHATFPFDLLPRFPNMEKLIVGRSTFKELLPSRLDGMEKHARVLSPIRHLELDSLPCLEHLWKSNSQLDQALQTLQTLRVRNGGSLIYLAPSRASFQNLTNLYVWRCERLVILVTSTTAKSLAQLTRMSINYCSMVTEIVANEGDGIKDEIVFSKLERLELYRLPTLTSFCSEKHSFDFPSLVEVTVEKCPEMKFFSNGALSTPKLRRVNLTKVEKKNLNTTIQQLSTQTKAQIAESSSS >Potri.010G192501.1.v4.1 pep chromosome:Pop_tri_v4:10:18764501:18764809:-1 gene:Potri.010G192501.v4.1 transcript:Potri.010G192501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192501.v4.1 MLVERGREREFSHSILCRSLNLTCAQVRFHLHLSDQSNQLFHRLYKISDITFVFFHPLFSRALSPLGLYCSTKHERLVPNRSKLVAGSHAVLCFHSNECIAI >Potri.006G175600.1.v4.1 pep chromosome:Pop_tri_v4:6:18086596:18090895:-1 gene:Potri.006G175600.v4.1 transcript:Potri.006G175600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175600.v4.1 MEMVSMSSCLLSINGNFLLSSQYPSRPPTLVKWGFRMDQDGSSVTKRIKSQAFRILANPNVSGKSSPMKEVIMVDPLEAKRLAAKQMKEIQKRERFKRRRQIEAINGAWAMIGLTAGLVIEGHTGKSILEQLAGYWFAIVHFFVR >Potri.006G175600.2.v4.1 pep chromosome:Pop_tri_v4:6:18086884:18088171:-1 gene:Potri.006G175600.v4.1 transcript:Potri.006G175600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175600.v4.1 MISLLRKENSRLNHEVSGKSSPMKEVIMVDPLEAKRLAAKQMKEIQKRERFKRRRQIEAINGAWAMIGLTAGLVIEGHTGKSILEQLAGYWFAIVHFFVR >Potri.014G164800.14.v4.1 pep chromosome:Pop_tri_v4:14:11903730:11909058:-1 gene:Potri.014G164800.v4.1 transcript:Potri.014G164800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164800.v4.1 MGTSSGSNIHHHPSSKMLPPRQQPRAAGLQTSLSLVSSDPRLSPDAQELRSNSDNIRESPTESASSRETWPTADAMMTKKMENGKAENDCPEQSVIRRVSVADKITLRDIARERVDVISEKMHHLPDDFLDELKNGLRVILEGSGGSQHREEFLILQKLVQSRADLTAKTLIRAHRVQLEILVSINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSIKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALARELDFVSRIFRGSEDTRGRKLFWKCEELIEKMKGGLAESTACRVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDRELEEKAKEVAELKLDRQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIGLAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNERRHFRTNP >Potri.014G164800.10.v4.1 pep chromosome:Pop_tri_v4:14:11904423:11909020:-1 gene:Potri.014G164800.v4.1 transcript:Potri.014G164800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164800.v4.1 MGTSSGSNIHHHPSSKMLPPRQQPRAAGLQTSLSLVSSDPRLSPDAQELRSNSDNIRESPTESASSRETWPTADAMMTKKMENGKAENDCPEQSVIRRVSVADKITLRDIARERVDVISEKMHHLPDDFLDELKNGLRVILEGSGGSQHREEFLILQKLVQSRADLTAKTLIRAHRVQLEILVSINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSIKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALARELDFVSRIFRGSEDTRGRKLFWKCEELIEKMKGGLAESTACRVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDRELEEKAKEVAELKLDRQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIGLAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNERRHFRTNP >Potri.014G164800.13.v4.1 pep chromosome:Pop_tri_v4:14:11904484:11909059:-1 gene:Potri.014G164800.v4.1 transcript:Potri.014G164800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164800.v4.1 MGTSSGSNIHHHPSSKMLPPRQQPRAAGLQTSLSLVSSDPRLSPDAQELRSNSDNIRESPTESASSRETWPTADAMMTKKMENGKAENDCPEQSVIRRVSVADKITLRDIARERVDVISEKMHHLPDDFLDELKNGLRVILEGSGGSQHREEFLILQKLVQSRADLTAKTLIRAHRVQLEILVSINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSIKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALARELDFVSRIFRGSEDTRGRKLFWKCEELIEKMKGGLAESTACRVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDRELEEKAKEVAELKLDRQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIGLAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNERRHFRTNP >Potri.014G164800.12.v4.1 pep chromosome:Pop_tri_v4:14:11903820:11909185:-1 gene:Potri.014G164800.v4.1 transcript:Potri.014G164800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164800.v4.1 MGTSSGSNIHHHPSSKMLPPRQQPRAAGLQTSLSLVSSDPRLSPDAQELRSNSDNIRESPTESASSRETWPTADAMMTKKMENGKAENDCPEQSVIRRVSVADKITLRDIARERVDVISEKMHHLPDDFLDELKNGLRVILEGSGGSQHREEFLILQKLVQSRADLTAKTLIRAHRVQLEILVSINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSIKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALARELDFVSRIFRGSEDTRGRKLFWKCEELIEKMKGGLAESTACRVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDRELEEKAKEVAELKLDRQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIGLAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNERRHFRTNP >Potri.014G164800.8.v4.1 pep chromosome:Pop_tri_v4:14:11903809:11909058:-1 gene:Potri.014G164800.v4.1 transcript:Potri.014G164800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164800.v4.1 MGTSSGSNIHHHPSSKMLPPRQQPRAAGLQTSLSLVSSDPRLSPDAQELRSNSDNIRESPTESASSRETWPTADAMMTKKMENGKAENDCPEQSVIRRVSVADKITLRDIARERVDVISEKMHHLPDDFLDELKNGLRVILEGSGGSQHREEFLILQKLVQSRADLTAKTLIRAHRVQLEILVSINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSIKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALARELDFVSRIFRGSEDTRGRKLFWKCEELIEKMKGGLAESTACRVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDRELEEKAKEVAELKLDRQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIGLAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNERRHFRTNP >Potri.014G164800.15.v4.1 pep chromosome:Pop_tri_v4:14:11903934:11909058:-1 gene:Potri.014G164800.v4.1 transcript:Potri.014G164800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164800.v4.1 MGTSSGSNIHHHPSSKMLPPRQQPRAAGLQTSLSLVSSDPRLSPDAQELRSNSDNIRESPTESASSRETWPTADAMMTKKMENGKAENDCPEQSVIRRVSVADKITLRDIARERVDVISEKMHHLPDDFLDELKNGLRVILEGSGGSQHREEFLILQKLVQSRADLTAKTLIRAHRVQLEILVSINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSIKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALARELDFVSRIFRGSEDTRGRKLFWKCEELIEKMKGGLAESTACRVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDRELEEKAKEVAELKLDRQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIGLAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNERRHFRTNP >Potri.014G164800.11.v4.1 pep chromosome:Pop_tri_v4:14:11904477:11909195:-1 gene:Potri.014G164800.v4.1 transcript:Potri.014G164800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164800.v4.1 MGTSSGSNIHHHPSSKMLPPRQQPRAAGLQTSLSLVSSDPRLSPDAQELRSNSDNIRESPTESASSRETWPTADAMMTKKMENGKAENDCPEQSVIRRVSVADKITLRDIARERVDVISEKMHHLPDDFLDELKNGLRVILEGSGGSQHREEFLILQKLVQSRADLTAKTLIRAHRVQLEILVSINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSIKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALARELDFVSRIFRGSEDTRGRKLFWKCEELIEKMKGGLAESTACRVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDRELEEKAKEVAELKLDRQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIGLAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNERRHFRTNP >Potri.014G164800.16.v4.1 pep chromosome:Pop_tri_v4:14:11903820:11909029:-1 gene:Potri.014G164800.v4.1 transcript:Potri.014G164800.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164800.v4.1 MGTSSGSNIHHHPSSKMLPPRQQPRAAGLQTSLSLVSSDPRLSPDAQELRSNSDNIRESPTESASSRETWPTADAMMTKKMENGKAENDCPEQSVIRRVSVADKITLRDIARERVDVISEKMHHLPDDFLDELKNGLRVILEGSGGSQHREEFLILQKLVQSRADLTAKTLIRAHRVQLEILVSINTGIQAFLHPSISLSQTSLIEVFVFKRCRNIACQNQLPADDCTCEICANRSGFCNLCMCVICNKFDFEVNTCRWIGCDLCSHWTHTDCAIRDGQICMGPSIKSGAGPTEMLFRCRACNRTSELLGWVKDVFQHCAPAWEREALARELDFVSRIFRGSEDTRGRKLFWKCEELIEKMKGGLAESTACRVILMFFQELEVDSPKSLENGEGGRLIAPQEACNRIAEVVQEAIRKMEMVADEKMRMFKKARMALEACDRELEEKAKEVAELKLDRQKKKLQVEELERIVRLKQAEADMFQLKANEAKREAERLQRIGLAKTDKSEEEYASSYLKLRLSEAEAEKQYLFEKIKLQESSRASQSSGGADPSQVLAYSKIHEILHGYNVPPKTEAQPNERRHFRTNP >Potri.006G013000.3.v4.1 pep chromosome:Pop_tri_v4:6:799986:803704:-1 gene:Potri.006G013000.v4.1 transcript:Potri.006G013000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013000.v4.1 MSGLPLEMIAEILCRLPAKELLCCRSVSKPWCALIDGPNFVKLHLKHSMDTSSNLYIILRTTSHVHYMDFEQNLVLNDCVTLKELNHPLMCYNHGIKVLGSVNGLLCISNVVDDIAVWNPSTRKHRVVPFLPIELKRYFGTKSCSVYVFGFGYDSVRDDYKLVRIAQFGGGGKRSFESEVKVYSLRKQSWRRIGDMPYCVHYPGANGVFANGALHWVVGENPESNVANIVVALDLGVEDYREVLQPEYKDKNFYIDLGVLRGCLCFLANFLGERVDVWMMKEYGVKESWTKLFSVAQYEVIGFLRSLKPLAYSKSGDEVLIEHDNLDLCWYDLKRKQVKNRIPGIPYSFEADTFVESLISVSPNRHLDGRTQDEDEDSKDRNKRDDFLSEGFKLVL >Potri.006G013000.2.v4.1 pep chromosome:Pop_tri_v4:6:800236:803677:-1 gene:Potri.006G013000.v4.1 transcript:Potri.006G013000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013000.v4.1 MSGLPLEMIAEILCRLPAKELLCCRSVSKPWCALIDGPNFVKLHLKHSMDTSSNLYIILRTTSHVHYMDFEQNLVLNDCVTLKELNHPLMCYNHGIKVLGSVNGLLCISNVVDDIAVWNPSTRKHRVVPFLPIELKRYFGTKSCSVYVFGFGYDSVRDDYKLVRIAQFGGGGKRSFESEVKVYSLRKQSWRRIGDMPYCVHYPGANGVFANGALHWVVGENPESNVANIVVALDLGVEDYREVLQPEYKDKNFYIDLGVLRGCLCFLANFLGERVDVWMMKEYGVKESWTKLFSVAQYEVIGFLRSLKPLAYSKSGDEVLIEHDNLDLCWYDLKRKQVKNRIPGIPYSFEADTFVESLISVSPNRHLDGRTQDEDEDSKDRNKRYAVLNFMLPFFMSIFSSLDVTCYFGLVFVIRDDFLSEGFKLVL >Potri.006G013000.1.v4.1 pep chromosome:Pop_tri_v4:6:800179:803677:-1 gene:Potri.006G013000.v4.1 transcript:Potri.006G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013000.v4.1 MSGLPLEMIAEILCRLPAKELLCCRSVSKPWCALIDGPNFVKLHLKHSMDTSSNLYIILRTTSHVHYMDFEQNLVLNDCVTLKELNHPLMCYNHGIKVLGSVNGLLCISNVVDDIAVWNPSTRKHRVVPFLPIELKRYFGTKSCSVYVFGFGYDSVRDDYKLVRIAQFGGGGKRSFESEVKVYSLRKQSWRRIGDMPYCVHYPGANGVFANGALHWVVGENPESNVANIVVALDLGVEDYREVLQPEYKDKNFYIDLGVLRGCLCFLANFLGERVDVWMMKEYGVKESWTKLFSVAQYEVIGFLRSLKPLAYSKSGDEVLIEHDNLDLCWYDLKRKQVKNRIPGIPYSFEADTFVESLISVSPNRHLDGRTQDEDEDSKDRNKRDDFLSEGFKLVL >Potri.012G095000.1.v4.1 pep chromosome:Pop_tri_v4:12:11933996:11938538:-1 gene:Potri.012G095000.v4.1 transcript:Potri.012G095000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095000.v4.1 MATKSVLAQETTMTTHAINITKGGYCLESKTFQSLRPPATPPPPHQPLSITQFILSLLHSSAIPTTQKNFLIMPSTRQSLTYSEAINQIYSLSSSLKSLYNLNKNDVSFILCPPSLHVPIIYLSLLYLGVTISPANPLSSNSELTHQIQLSKPKIAFATSQTAHKLPSFPLGTILIDSPEFISLLTQISKRDCATNHVEVSQSDMAAILYSSGTTGRVKGVSLTHRNVIAPIAAFQKSSAELDPHAVSLLTLPLFHVFGFFLLINEFRWGKTLVLTERFDFEQVLKVVERYRVSDMPVSPTIILTLLKSDLTNKYDLSSLRRFSCGGAPLSKEVAEKFKRKFPQAEIMQGYGLTEAGAVSRIIGPEECNRHASVGRLCGNMEAKIVDPLTGEAFGPGKRGELWLRGPSIMKGYVGDEKATAETLDSEGWLKTGDLCFFDSEGFLYIVDRLKELIKYKAYQVPPVELEQLLLSNPEIADAAVIPYPDEEAGQIPMAYVVRKPGSNITEAQIMDSIAKQVAPYKKIRRVAFTDAVPRSPAGKILRRELINHALSGALCKL >Potri.002G193000.1.v4.1 pep chromosome:Pop_tri_v4:2:15572702:15575955:-1 gene:Potri.002G193000.v4.1 transcript:Potri.002G193000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193000.v4.1 MERKEAVKVENFVGSPTFSSTTDHVQTSYPFMQGFDFVDDKSSLGFMELLGVQDFSPSLLDMMQVQIPSVQTPSAQVATEVVDSPPEVLNQPATPNSSSISSASSEGLNDEPANKGVDNEVEEQGKTKKELKPKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTASCNVKKRVERSFSDPSVVVTTYEGQHTHPSPVMPRPNFTGSTSDSGFSSTAAFAMPMQRRLSLYQQHQSQQQPPFPLVSLSPLGFGYNGSTTNAANYLQYEKRFYTSPGSALLKDHGLLQDLVPSHMLKEE >Potri.002G193000.2.v4.1 pep chromosome:Pop_tri_v4:2:15573950:15575927:-1 gene:Potri.002G193000.v4.1 transcript:Potri.002G193000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193000.v4.1 MERKEAVKVQIPSVQTPSAQVATEVVDSPPEVLNQPATPNSSSISSASSEGLNDEPANKGVDNEVEEQGKTKKELKPKKTNQKRQREPRFAFMTKSEVDHLEDGYRWRKYGQKAVKNSPFPRSYYRCTTASCNVKKRVERSFSDPSVVVTTYEGQHTHPSPVMPRPNFTGSTSDSGFSSTAAFAMPMQRRLSLYQQHQSQQQPPFPLVSLSPLGFGYNGSTTNAANYLQYEKRFYTSPGSALLKDHGLLQDLVPSHMLKEE >Potri.016G115000.1.v4.1 pep chromosome:Pop_tri_v4:16:11956513:11958704:-1 gene:Potri.016G115000.v4.1 transcript:Potri.016G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115000.v4.1 MGRLFLVDLEGRSYSCKHCRTPLALKDDIISKYFCSRNRRAYLFYNVENVTFGPREDRMMITGKHTVADIYCVVCGSILGWKYIHAYVKAHKYKEGWFVIERCKVLNPAGTPYEAIQEAQVGHQAPNGQETWMAQEAQAGGGIDVDNA >Potri.013G057900.2.v4.1 pep chromosome:Pop_tri_v4:13:4237344:4241244:-1 gene:Potri.013G057900.v4.1 transcript:Potri.013G057900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057900.v4.1 MSSLGNSNNIFWQECPVGKLERQKLINQKGCVVWITGLSGSGKSTLAFSLNRQLYSRGKLSYVLDGDNLRHGLNKDLGFSAEDRTENIRRVGEVAKLFADAGMICIASLISPYRKDRDACRAMLPDSNFIEVFMNTPLSLCESRDPKGLYKLARAGKIKGFTGIDDPYEPPLQCEIELQQIDGVCPTPTAMGGQVVSYLEEKGYLEDQ >Potri.005G055300.1.v4.1 pep chromosome:Pop_tri_v4:5:3485482:3488063:1 gene:Potri.005G055300.v4.1 transcript:Potri.005G055300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055300.v4.1 MEEVEEAHKAAIESCNRVIGLLCQPKDQVQGRNLMVETGETVFKFKRVISLLSTGLGHGRVRKLKKFRSSLPQNIFLDSPNCKTILAPKPLQMVPPNFLETPLGDMDAKSKLPVQIAQKMFLENPVLELNSNTRPPLQIAQTKPPNFQFPQQHQQIQRVHFQQQQQQMKYQVDRVYSRSNSGINLKFDGSTCAPTMSSTRSFISSLSMDGTVSNFDGDSFHLIGMPHSSDHISQQTRRKCSGKGEDGNAKCASGGKCHCSKRRKLRVKRSIKVPAISNKVADIPPDEYSWRKYGQKPIKGSPHPRGYYKCSSLRGCPARKHVERCLEEPSMLIVTYEGDHNHSRLISSQSAHT >Potri.005G253101.1.v4.1 pep chromosome:Pop_tri_v4:5:24558023:24560654:-1 gene:Potri.005G253101.v4.1 transcript:Potri.005G253101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G253101.v4.1 MEEQEEATGFEIEVRKVVGDEDVLRFMDSTDSYLTLLDSLSSTLRQGWLDLAIARQSMGASRINGALLDHKLHSAATSVQVDQEDVDSMEAQPRFILRKWASVADGKQCYEEQKLGEDKLPGKSGSLQLRHRGDSQLSEEKTSESGAQLDVDDQVQKERAKLLSMFGTLISPKLRAAQLSFETALETLVKIANMRSAMLSSYDRVRKELDHQKDGMVSVP >Potri.019G012900.1.v4.1 pep chromosome:Pop_tri_v4:19:1763423:1764184:1 gene:Potri.019G012900.v4.1 transcript:Potri.019G012900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012900.v4.1 MGSQRKNQCLTMIIFVAAVFGPCFQQILAGRPLEGEQWLKQNLGNIQSLQKGGSQCTHIPGHGSGHCPLGEMNFAGNIVAHAPPAFPDAIVNFAAASVTNNETQKQDSSS >Potri.013G053600.1.v4.1 pep chromosome:Pop_tri_v4:13:3893062:3895764:1 gene:Potri.013G053600.v4.1 transcript:Potri.013G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053600.v4.1 MQGIRTIRCNLSRFVILARTHLIFSHFEPTHFANLTVERPLSSKFSTAFSSFSTSSLETPITPKHLNEPEECSSDNDDNGSEMENDDDDDDVRGLNLSDDGLFQDAKTIVNILQESCDNRVEMKSKIEQCGIKVSQELVLEVLSRVRNDWEAAFTFFLWAARQPGYAHSVREYHSMISILGKMRKFDTAWVLIDEMRGVKTGVSLVTPQTLLIMIRKYCAVHDVGRAINTFYAYKRFKFDMGIEEFQSLLSALCRYKNVQDAEQLMYCNKAVFPLNTKSFNIVLNGWCNLIGSPRESERVWREMSKRGIRFDVVSYASMMSCYSKAGSLYRVLRLYKQMKKIGIEPDRKVYNAVIHALAKGRLVNEAFNLMRTMEEKGVAPNIVTYNSLIKPLCRARKVEEAKGAFDDMLKRCISPTIRTYHAFLRILRTGEEVFALLEKMRKMGCQPINDTYIMLIRKFCRWRQLENVFKLWDEMSENGISPDRSSYIVLIHGLFLNGELDAAHKYYTEMKEKQLLPEPKIDEMLQTWLSNKQIAEGQTTESRSNQCQTTELRSNQLDCSQSREQTRGIPKRSHERNFIRQAETRKVVRERGISFWEP >Potri.006G266400.2.v4.1 pep chromosome:Pop_tri_v4:6:26148326:26152070:-1 gene:Potri.006G266400.v4.1 transcript:Potri.006G266400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266400.v4.1 MGWKYKAGLGLISTVVIIWVTSAEVTQRIFEMYKQPFAITYLGVSLMVVYLPIALVRDWFCSLFNSGLSMNLYSGNSVIASTIGLNIPPLRVNDMNDDPESDLRGCLITDKDIGEEGEGWPLNVKDKEDEPNLLQQNSELCSWEICKCSLYLAPIWFITEYLSNSALANTSVASTTVLTSTSGLFTLLFGAVLGQETINFAKVVAVFITMAGVAMTTVGKTWARDEASSFSETRRHSIIGDIFGLFSAISYSLFTVLLKKCAGSDGNKIDVQKCFGYIGLFTLLGLWWLLWPLNAAGIEPAFSFPHSWSVGEIVLLNGFVGSVLSDYFWALSVVWTTPLVATLGMSLTIPLAMLADMVIHGRRYSAIYIFGCIQVFAGFIIANISDKFSVKRELL >Potri.009G083100.1.v4.1 pep chromosome:Pop_tri_v4:9:7862660:7863747:1 gene:Potri.009G083100.v4.1 transcript:Potri.009G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083100.v4.1 MMSSKISLAFFTLITLSLILPSRAQDNPQDYLDAHNAARAAVGVGPLTWDTTVQAYAQNYANQRAGDCNLVHSGGPYGENIAWSSADLSGTDAVKMWVDEKAYYDYNSNSCAAGQQCGHYTQVVWRNSARLGCAKVKCSTGGTFIGCNYDPPGNYVGQKPY >Potri.003G155600.1.v4.1 pep chromosome:Pop_tri_v4:3:16681643:16683630:-1 gene:Potri.003G155600.v4.1 transcript:Potri.003G155600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155600.v4.1 MLVPLSHFLKSTKWQIPRKSYNTWVLAIKNASSPHKALQLYTHMHRQSIPFDTFSILFTLKSCTHLKNLTIIHHLHSHIIKLGFNTHVYVSTCLLHAYAVMSFDQACDLFDEMPQRNIVTWNTMITGYSRSGSINKARSLFEAMPVRDAASWSAMITCYINNGFRDQGLSFFQEMMANENPKPDQVTVGSVLSGCAHMGSLGLLAGKSVHGFVVKNGWELNVDIGTLLVDMYAKCGFFKNAVWVFVLMQERNVSTWTALICGAAQHGFCQEVLSLFKMMQEAGVRPNEMTFTGILNACARKGLIEEGRKYFKMIKETGLEPRIQHYGCMVDMFGKAGLLEEAYEVIKEMEFEPNIVIWGSFLSACKMHKQFDIADRVIGQVLRDIKPENDGGIYSLVSDLYVLNKKWDDAERVRNLVLNQNVRKARGSSCI >Potri.009G170550.1.v4.1 pep chromosome:Pop_tri_v4:9:12948814:12956194:-1 gene:Potri.009G170550.v4.1 transcript:Potri.009G170550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G170550.v4.1 MNLFKEESARFYAAEVVIGLEYLHCLGMVYRDLKPENILLQKDGHIVLSDFDLSFLTSCKPQIIKHAPPNKRRRSRSQPPPTFVAEPVTQSNSFVGTEEYIAPEIITGMGHGSAIDWWALGVLLYEMLYGRTPFRGKNRQKTFANILHKDLTFPSSIPVSLPARQLINALLNRNPAIRLGSKTGANEIKQHPFFRGINWPLIRCMNPPLLDAPLQLISKDPKAKDVVPVHSMGLEIF >Potri.008G089000.1.v4.1 pep chromosome:Pop_tri_v4:8:5562647:5563762:-1 gene:Potri.008G089000.v4.1 transcript:Potri.008G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G089000.v4.1 MQGKTIYNQLPPGFRFHPTDEELIVYYLRNQATSRPCPASIIPEVDIYKFDPWQLPEKAEFGENEWYFFTPRDRKYPNGVRPNRATVSGYWKATGTDKAIHSGSKYVGVKKALVFYKGRPPKGAKTDWIMHEYRLNDPRKQANKQNGSMRLDDWVLCRIYKKRHTIGHLEEKTENTVDAHLDVTPANDVSEQQMMKFPRTCSLSHLLELEYLGSISQLLSGDTYNSNLDLQNLMSNAGTDHVEKIQLGQMSLQHTDRGKFQGNLQGSTLNQPLFMNPTMYGFQ >Potri.008G014400.9.v4.1 pep chromosome:Pop_tri_v4:8:720394:726397:-1 gene:Potri.008G014400.v4.1 transcript:Potri.008G014400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014400.v4.1 MDSQNPFEEEISLNQRKGGNSVREVRHGSRGGDIELLGLSQKEIGDDDARLVYLNDPVKSNERYEFAGNSIRTSKYSVFSFLPRNLFRQFHRVAYIYFLIIAVLNQLPQLAVFGRGASIMPLAFVLSVTAVKDAYEDWRRHRSDRVENNRLAWVLVDDEFRQKKWKDIQVGEILKIQANETFPCDIVLLSTSEPTGVAFVQTVNLDGESNLKTRYAKQETISKIPGEEMINGLIKCERPNRNIYGFQANMEVDGKRLSLGPSNILLRGCELKNTAWAIGVAVYCGRETKAMLNSSGAPSKRSQLETHMNFETIILSLFLIFLCSVVSICAAVWLRRRKDELDILPFYRRKDFAHGAPQNFNYYGWGLEIFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDMLLYDEGSNSRFQCRSLNINEDLGQIKYVFSDKTGTLTENKMEFQRASIWGVDYSDGRTVSRNDPAQAVDGKILQPKMEVKVDPQLLELSRSGKDTKGAKHVHDFLLALAACNTIVPLVVDDTSDSTVKLLDYQGESPDEQALAYAAAAYGFMLTERTSGHIVINIQGERQRFNVLGLHEFDSDRKRMSVILGCPDKTVKVFVKGADTSMFSVIDRSLNTNIIHATEAHLQTYSSMGLRTLVFGIRELNNSEFEQWHLTFEAASTAIIGRAALLRKVANNVENSLTILGASAIEDKLQQGVPEAIESLRTAGIKAWVLTGDKQETAISIGYSSKLLTSKMTSIIINSNSKQSSRKSLEDALVASKKLTITSGITHNTGASDAAAVNPVALIIDGTSLVHILDSELEELLFELASKCSVVLCCRVAPLQKAGIVALVKNRTRDMTLAIGDGANDVSMIQMADVGVGISGREGQQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYVIFTSFTLTTAITEWSSMLYSIIYTALPTIVVGILDKDLSRRTLLKYPQLYGAGHRQEAYNSKLFWLTMIDTLWQSVAVFSIPLFAYWASSIDGSSIGDLWTLAVVILVNLHLAMDIFRWSWITHAVLWGSIIATFICVIVIDAVPIFTGYWAIFHVAKTELFWLCLLAIVLAALIPRYVVKFLYQYYSPCDIQIAREAEKFGSPREPRNTKIETNPILGSPHR >Potri.008G014400.10.v4.1 pep chromosome:Pop_tri_v4:8:720396:726397:-1 gene:Potri.008G014400.v4.1 transcript:Potri.008G014400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014400.v4.1 MDSQNPFEEEISLNQRKGGNSVREVRHGSRGGDIELLGLSQKEIGDDDARLVYLNDPVKSNERYEFAGNSIRTSKYSVFSFLPRNLFRQFHRVAYIYFLIIAVLNQLPQLAVFGRGASIMPLAFVLSVTAVKDAYEDWRRHRSDRVENNRLAWVLVDDEFRQKKWKDIQVGEILKIQANETFPCDIVLLSTSEPTGVAFVQTVNLDGESNLKTRYAKQETISKIPGEEMINGLIKCERPNRNIYGFQANMEVDGKRLSLGPSNILLRGCELKNTAWAIGVAVYCGRETKAMLNSSGAPSKRSQLETHMNFETIILSLFLIFLCSVVSICAAVWLRRRKDELDILPFYRRKDFAHGAPQNFNYYGWGLEIFFTFLMSVIVFQIMIPISLYISMELVRVGQAYFMIRDMLLYDEGSNSRFQCRSLNINEDLGQIKYVFSDKTGTLTENKMEFQRASIWGVDYSDGRTVSRNDPAQVDGKILQPKMEVKVDPQLLELSRSGKDTKGAKHVHDFLLALAACNTIVPLVVDDTSDSTVKLLDYQGESPDEQALAYAAAAYGFMLTERTSGHIVINIQGERQRFNVLGLHEFDSDRKRMSVILGCPDKTVKVFVKGADTSMFSVIDRSLNTNIIHATEAHLQTYSSMGLRTLVFGIRELNNSEFEQWHLTFEAASTAIIGRAALLRKVANNVENSLTILGASAIEDKLQQGVPEAIESLRTAGIKAWVLTGDKQETAISIGYSSKLLTSKMTSIIINSNSKQSSRKSLEDALVASKKLTITSGITHNTGASDAAAVNPVALIIDGTSLVHILDSELEELLFELASKCSVVLCCRVAPLQKAGIVALVKNRTRDMTLAIGDGANDVSMIQMADVGVGISGREGQQAVMASDFAMGQFRFLVPLLLVHGHWNYQRMGYMILYNFYRNAVFVLVLFWYVIFTSFTLTTAITEWSSMLYSIIYTALPTIVVGILDKDLSRRTLLKYPQLYGAGHRQEAYNSKLFWLTMIDTLWQSVAVFSIPLFAYWASSIDGSSIGDLWTLAVVILVNLHLAMDIFRWSWITHAVLWGSIIATFICVIVIDAVPIFTGYWAIFHVAKTELFWLCLLAIVLAALIPRYVVKFLYQYYSPCDIQIAREAEKFGSPREPRNTKIETNPILGSPHR >Potri.005G033500.1.v4.1 pep chromosome:Pop_tri_v4:5:2207709:2210863:-1 gene:Potri.005G033500.v4.1 transcript:Potri.005G033500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G033500.v4.1 MASSKHSCQKLFISSLLLLSVSLTFLVFSFHPKPDHEFDDHRRQTVPHHHKKPLSIINSQKSWFDDLIERKIFSQRIKIGFVNVDDNVKHAYDHMHGEVETVNVDFRPVSKELKWEKFFPEWIDEDARWHEPSCPEVPMPRLEDYRDLDVIVARVPCGSGIEKQGIRDVFRLQVNLVVANLVVANGLTEGGDDRTVSVIFIGSCGPMQEIFRCDDLMTHLGEYWVYKPELRRLRQKVQMPVGSCQIAPLHRDQTGNMEIQRYKTAEYSTTRKLNDHNLYHQRVAYATVLHSSEAYVCGAIALAQSIIQNNSTNDLVLLHDSSLSQKSLQGLRDAGWKTKQIQPIRSPFARKNSYNEWNYSKLRLWQLTDYDKVIFIDADLIILKNIDKFFAYPQLSAAPNDKVLFNSGIMVIEPSTCLFEDMMSKSRRLMSYNGGDQGFLNEVFTWWHRLPGKLNYLKICKRQDNPNHEMEKGTYTIHFLGLKPWACYKDYDCNWDMANRHIFASDSAHKRWWKVYDAMPKKLQQYCGLTKHMDARIKKWRGKAKNANLPDGHWKISVKDPRQYHLID >Potri.015G135500.1.v4.1 pep chromosome:Pop_tri_v4:15:14460778:14463203:1 gene:Potri.015G135500.v4.1 transcript:Potri.015G135500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G135500.v4.1 MMRMKTAKTTGLSQMNGGSAGGGAGGEWEVRPGGMLVQKRSPDSDRTSIPPPTIRVKVKYGSTHHEINISSQATFGELKKMLSGPTGLHHQDQKLIYKDKERDSKAFLDISGVKDKSKMVLVEDPISQEKRFLELRKNAKMEKASKSISEISFEVDRLAGQVSALESVITRGGKVAEKTVLNLIELLMNQLLKLDGIMVDGDVKLQRKMQVKRVQRYVETLDMLKIKNSMANENGEQIKNPMPNSNGNHAPTQQQHHKHSNGQKLAPIQERQPRYTNGHSLIPIEEEQPRHSFDNLPIHQQEQPPRHSASGAVVVTTQWETFDCTPALVPVPSTSTSTPATNNPAHHQPKFPWDFFN >Potri.001G461500.1.v4.1 pep chromosome:Pop_tri_v4:1:48692192:48694090:-1 gene:Potri.001G461500.v4.1 transcript:Potri.001G461500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G461500.v4.1 MNSLSSSMFPFLFLFLFSFSWVASADRHEDFLQCLHYQNSNAISKVVYTPINSSYSSVLQFSIRNGRFNTSATPKPLVIVTPLNVAHIQATVACSQKHGLQIRVRSGGHDYEGLSYVAVIPFVVVDLINMRTVTVDVGNKIAWVQAGATLGEVYYRIAEKSRTLAFPAGVCPTVGSGGHISGGGHGMMMRKYGLAADHIIDAKLIDVKGRILDRASMGEDLFWAIRGGGGNTFGVVVEWKLELVTVPPTVTVFNVTRTLEQNATKLVHQWQSAIGKFDEDLFSRIFLSRVNTSLEGKTTILAVYTSLFLGGVDRLLSMMQQSFPQLGLVKEDCIEMSWIESTVYFAQFPRNTSLDVLLDRSPGYTVSFKAKTDYVKEPLPEIALEGIWERLNQLDAEVAQLQFTAYGGKMDEISETSIPFPHRAGNLFQIHYGVFWGDQDSERSQIYTSWIRKLYSYMTPYVTKNPRQAYINYRDLDLGMNSLGNTSYKQARIWGTKYFKNNFDRLVHVKTKVDPANFFRNEQSIPPLTSW >Potri.003G203000.1.v4.1 pep chromosome:Pop_tri_v4:3:20252399:20254164:-1 gene:Potri.003G203000.v4.1 transcript:Potri.003G203000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203000.v4.1 MSASKLTKKRRISDASDRFIQLPDELIITILNKTGDPKTLLRCCLVCKHLQSLVSKVDAVSLRFSYPGEAGEYLPCWKSHYHIPQSAIPAIMKVFAYMKSLKIKLCLCPSLLTCYGVHRGHAFKYKLKAEDMNDKMHIHLCMAIEVGPLSSDNRGMLSHKRDWFNIEKVKNPLMMSFFLVILSHRPKTLSSVVILSTGIERHGLKRKVRHRTEVGKVFMESEQMARFFTLISNTKVNKSWLKNPQNLVCWIKKDEQNNHRVREMLWLVHKCEGERCNVKKSSVKERDVQELLGAFDEDANNDEKQ >Potri.010G030750.1.v4.1 pep chromosome:Pop_tri_v4:10:4591471:4591809:1 gene:Potri.010G030750.v4.1 transcript:Potri.010G030750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030750.v4.1 MSCRIVDAHCCRICLCTLLPNLPVVYYVQSLTIHRPDLSSALAADLVDAANLISAAEFNTVPSRHSSTVSSSLEQSIIQVSSSRQHTVALAVACCRTRMLLIFATVMRAVTP >Potri.008G118500.6.v4.1 pep chromosome:Pop_tri_v4:8:7607291:7613909:-1 gene:Potri.008G118500.v4.1 transcript:Potri.008G118500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118500.v4.1 MSTVEEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPFVGGVDYAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRETDLVEWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPDTLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVEPAHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQNCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRMDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDEKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAVQHIIPQVLEMSTNPHYLYRMTILRAVSLLAPVMGSEITCSKLLPVVINASKDRVPNIKFNAAKVLQSLIPIVDQSVVEKTICPCLFELGEDPDVDVRFFANQALQTIASVMMS >Potri.008G118500.5.v4.1 pep chromosome:Pop_tri_v4:8:7606874:7613926:-1 gene:Potri.008G118500.v4.1 transcript:Potri.008G118500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118500.v4.1 MSTVEEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPFVGGVDYAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRETDLVEWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPDTLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVEPAHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQNCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRMDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDEKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAVQHIIPQVLEMSTNPHYLYRMTILRAVSLLAPVMGSEITCSKLLPVVINASKDRVPNIKFNAAKVLQSLIPIVDQSVVEKTICPCLFELGEDPDVDVRFFANQALQTIASVMMS >Potri.008G118500.4.v4.1 pep chromosome:Pop_tri_v4:8:7606912:7613894:-1 gene:Potri.008G118500.v4.1 transcript:Potri.008G118500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G118500.v4.1 MSTVEEPLYPIAVLIDELKNDDIQLRLNSIRRLSTIARALGEERTRKELIPFLSENNDDDDEVLLAMAEELGVFIPFVGGVDYAHVLLPPLETLCTVEETCVRDKAVESLCRIGSQMRETDLVEWFIPLVKRLAAGEWFTARVSACGLFHIAYPSAPDTLKTELRSIYSQLCQDDMPMVRRSAASNLGKFAATVEPAHLKTDIMSIFEDLTQDDQDSVRLLAVEGCAALGKLLEPQNCVAHILPVIVNFSQDKSWRVRYMVANQLYELCEAVGPEPTRMDLVPAYVRLLRDNEAEVRIAAAGKVTKFCRILSPELAIQHILPCVKELSSDSSQHVRSALASVIMGMAPVLGKDATIEQLLPIFLSLLKDEFPDVRLNIISKLDQVNQVIGIDLLSQSLLPAIVELAEDRHWRVRLAIIEYIPLLASQLGVGFFDEKLGALCMQWLQDKVYSIRDAAANNLKRLAEEFGPEWAVQHIIPQVLEMSTNPHYLYRMTILRAVSLLAPVMGSEITCSKLLPVVINASKDRVPNIKFNAAKVLQSLIPIVDQSVVEKTICPCLFELGEDPDVDVRFFANQALQTIASVMMS >Potri.010G071400.1.v4.1 pep chromosome:Pop_tri_v4:10:9957486:9958801:1 gene:Potri.010G071400.v4.1 transcript:Potri.010G071400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G071400.v4.1 MSEALDRDYQICEEIGRGRFGTVFRCISRSSGDYFAVKSIVKSLVSGDSLDSQCLLTEPKTLHFLSPHPHIIQLHNLYEDETHLHMVIDLCSNQDLHDLIITNGVLSENEARVVFTQLMKAISHCHTYGIVHRDIKPDNILFDEENTIKVADFGSAEVVMEGEMVSGVVGTPYYVAPEVLLGREYGKKVDVWSAGVVLYIMLAGFPPFYGETADKIFEAVLRGNLRFPARVFQSVSASVKDLLRRILCKDVSRRFSAEQVLRHPWVANVADLT >Potri.001G093900.1.v4.1 pep chromosome:Pop_tri_v4:1:7434474:7440683:-1 gene:Potri.001G093900.v4.1 transcript:Potri.001G093900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093900.v4.1 MAEGSTEVRLVHCPKCEDLLPELTDYSVYQCGGCDAVLRAKKKGAVNGGILEKSGVERDEEGFGILERLSEKESGKVGNASETGRESDGIINKRRKSRIFKERTVNFVNIPLSEAENKEALAANSNTNVKEQEMGYQSYADKEKPLKPLIDDRIYGDSNNMNMNRCESVDSSREKGIRETPAQFKSSAEFLRPARVMDQWVSDTEGLWGRNLRTSVKRSKFPNFAYPDEGPSNCRLSSSPYESSQPVRNYYIPDKIAYLEQDPAELLRKLDGLQEQLRQSCSVGVKQRERIPMGSKIATPDHYHGRDAYNSLMHPLPTDNHVANLPYFKHYGRGPAPYVSSDDMDMQNSYIPSKHSANEMPDYADLYQQQTPRMRIHQPPQQYLHQPLRDNFAGQYGDYSHEPLVSYPHESLHHRPACSCFHCYNKNWRIPSQASPITPGNIKFPMTSTETNFNHHVNPVTYGLPFHHPQANPPALSSRDPRPHLRWPIDVESDMDGFPQSRPRRVVVARGNEQLCCPVAGGAPLISCYKCFELLKLPRKLKAREKNLRKLRCGACSALILLEIENKRLIISVPAESKQILVGADSASHEASKEVFLNSDGCLNAVGTNCSDDFDNPGYDFQSVDFKDVLSEEQKLNPSKCEKGHGLTLSSSIISEEEENLDSMVVQRDFSYAAELPIKDKVPSTFQSSPTQDHSGDVLSSHAGNKCDKGNRVGWTEQENVILEKSISRQSSVKDVSMATEVEVPFNEYLHTSVSQDSVKVSKEEDQLRSNKGTEPFLVGFIKKSFRDFSRFNQHMHNEKPNVLINGKPIPASLVKRAEKLAGPIQPGDYWYDVRAGFWGVTGQPCLGIIPPSIEEFNHPMPENCAAGNTSVFVNGRELHQKDLDRLSSRGLPITREKFYIVEISGRVFDKDTGEELDSLGRLAPTVEKAKRGFGMKVPRKLCNQGP >Potri.001G093900.2.v4.1 pep chromosome:Pop_tri_v4:1:7433843:7440687:-1 gene:Potri.001G093900.v4.1 transcript:Potri.001G093900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093900.v4.1 MAEGSTEVRLVHCPKCEDLLPELTDYSVYQCGGCDAVLRAKKKGAVNGGILEKSGVERDEEGFGILERLSEKESGKVGNASETGRESDGIINKRRKSRIFKERTVNFVNIPLSEAENKEALAANSNTNVKEQEMGYQSYADKEKPLKPLIDDRIYGDSNNMNMNRCESVDSSREKGIRETPAQFKSSAEFLRPARVMDQWVSDTEGLWGRNLRTSVKRSKFPNFAYPDEGPSNCRLSSSPYESSQPVRNYYIPDKIAYLEQDPAELLRKLDGLQEQLRQSCSVGVKQRERIPMGSKIATPDHYHGRDAYNSLMHPLPTDNHVANLPYFKHYGRGPAPYVSSDDMDMQNSYIPSKHSANEMPDYADLYQQQTPRMRIHQPPQQYLHQPLRDNFAGQYGDYSHEPLVSYPHESLHHRPACSCFHCYNKNWRIPSQASPITPGNIKFPMTSTETNFNHHVNPVTYGLPFHHPQANPPALSSRDPRPHLRWPIDVESDMDGFPQSRPRRVVVARGNEQLCCPVAGGAPLISCYKCFELLKLPRKLKAREKNLRKLRCGACSALILLEIENKRLIISVPAESKQILVGADSASHEASKEVFLNSDGCLNAVGTNCSDDFDNPGYDFQSVDFKDVLSEEQKLNPSKCEKGHGLTLSSSIISEEEENLDSMVVQRDFSYAAELPIKDKVPSTFQSSPTQDHSGDVLSSHAGNKCDKGNRVGWTEQENVILEKSISRQSSVKDVSMATEVEVPFNEYLHTSVSQDSVKVSKEEDQLRSNKGTEPFLVGFIKKSFRDFSRFNQHMHNEKPNVLINGKPIPASLVKRAEKLAGPIQPGDYCRYDVRAGFWGVTGQPCLGIIPPSIEEFNHPMPENCAAGNTSVFVNGRELHQKDLDRLSSRGLPITREKFYIVEISGRVFDKDTGEELDSLGRLAPTVEKAKRGFGMKVPRKLCNQGP >Potri.001G093900.3.v4.1 pep chromosome:Pop_tri_v4:1:7434468:7440363:-1 gene:Potri.001G093900.v4.1 transcript:Potri.001G093900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G093900.v4.1 MGYQSYADKEKPLKPLIDDRIYGDSNNMNMNRCESVDSSREKGIRETPAQFKSSAEFLRPARVMDQWVSDTEGLWGRNLRTSVKRSKFPNFAYPDEGPSNCRLSSSPYESSQPVRNYYIPDKIAYLEQDPAELLRKLDGLQEQLRQSCSVGVKQRERIPMGSKIATPDHYHGRDAYNSLMHPLPTDNHVANLPYFKHYGRGPAPYVSSDDMDMQNSYIPSKHSANEMPDYADLYQQQTPRMRIHQPPQQYLHQPLRDNFAGQYGDYSHEPLVSYPHESLHHRPACSCFHCYNKNWRIPSQASPITPGNIKFPMTSTETNFNHHVNPVTYGLPFHHPQANPPALSSRDPRPHLRWPIDVESDMDGFPQSRPRRVVVARGNEQLCCPVAGGAPLISCYKCFELLKLPRKLKAREKNLRKLRCGACSALILLEIENKRLIISVPAESKQILVGADSASHEASKEVFLNSDGCLNAVGTNCSDDFDNPGYDFQSVDFKDVLSEEQKLNPSKCEKGHGLTLSSSIISEEEENLDSMVVQRDFSYAAELPIKDKVPSTFQSSPTQDHSGDVLSSHAGNKCDKGNRVGWTEQENVILEKSISRQSSVKDVSMATEVEVPFNEYLHTSVSQDSVKVSKEEDQLRSNKGTEPFLVGFIKKSFRDFSRFNQHMHNEKPNVLINGKPIPASLVKRAEKLAGPIQPGDYWYDVRAGFWGVTGQPCLGIIPPSIEEFNHPMPENCAAGNTSVFVNGRELHQKDLDRLSSRGLPITREKFYIVEISGRVFDKDTGEELDSLGRLAPTVEKAKRGFGMKVPRKLCNQGP >Potri.002G052300.3.v4.1 pep chromosome:Pop_tri_v4:2:3524166:3529531:-1 gene:Potri.002G052300.v4.1 transcript:Potri.002G052300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052300.v4.1 MDRNREGRRPSNMAASNGLSRRRQQRATRDSPEEDGQIELQETARLRERGGSKRERDRELSSRNKRSRRGGGDRLVQGSNKEEGEETTEESIGYEDEYEIEDGGVSRLRPPPRAVKQVAGFRVPADEMIGVSVPRKARSASVKRSHESRVSGNGGFGSEDRRASTSPAASRSFEAASPSSSNVSVRKKTKPNGPKTRPPKVSKCSSSSVQEDIEIEIAEVLYGLKKQSHGSKKEEKAENDLQKLDSTDANDSKSSPNSNFAQTSILNQNNASASDSLLVLASKTQIMDADSVVVQNGLIAPAVTDEREGDAKMEISATKSGKTSFYAESSEVSHDMGASKLATGLESQEEAIKQQDSKLAIEESGVLTWENSVLPEEKSPVCNKVDVDFHDSLLEKSTSTVSKVENQREEKFKIDLMAPPPMASSPEQDSFVDLSLDPKPAAQDVAMKMENVVKNEELADSLVKKEGVIVEEKIKTVGEKRGLKLDFEKPHRNVQQKLLPRATISKVETTEKLLMISLSSSSSLSAQSGSVPSPIALPGWLSNLPSLGYMPSFQTVVPMDGTAGSSKALQPPQFIPQPRPKRCATHHYIACNVRLHQQFIKMNHFWPATAGSAALCGAKPKDLNAMPSTENMIIGSTLQGSFPFVNLNPAQDKVQAVANIPVFTRKDRGSESTALIDAQKKQLVPPQPPQPAPAGNLMPGPAFIFSLNQHQASTATMTSQTGPSKSASSINNASFPGNGIAGLTTNSSALPAMAAAVSFSYPNLAANETPYLTILPNNGYPFSISTPVGNQPTFRGGTPSQALPFFNGSFYSSQMLHPSQLQQQQPQPVVQPGHQNASNSSGSSSSHKQPQSRQPRGALVSTANFLTSTMMQSQQPPKQHVQSHHSRKLDTEMSGESTPIIADTRAGHSKKSVHGPNFMVPVQPNFGLMASTTVGGSGNHGEKQQQQHQLSQEKNLKGGVELIPSQAFAMSFASFNGSKTASNLNFSAMTQNPPILQSFPDMTRQGYQVITAAQATQKKNHQPSEGKSGGSSTNPDDGKKAPSGKSTRGNGQTLVFDNSARTLNFVSSPSTGNWPSQSITATTSIPMAANSSSTSQQQQLVQLQKQHILHQQLQQPIGAADSKASTSNSLPLPSIGAKFPNNASIFSQTQALGNSSPQNPQWKNSSRIPSSQAPLTSLSASNTSVHKNASQQQGRVPQGHSQISFGSSSKSALPPQGQQISSSCQSPSSGGNSRTTSMNAKANSSIPAIQSQQSDNSSSGNAQKSSPVCGRNVPSILSACPSHLSELKY >Potri.002G052300.2.v4.1 pep chromosome:Pop_tri_v4:2:3523387:3529671:-1 gene:Potri.002G052300.v4.1 transcript:Potri.002G052300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052300.v4.1 MDRNREGRRPSNMAASNGLSRRRQQRATRDSPEEDGQIELQETARLRERGGSKRERDRELSSRNKRSRRGGGDRLVQGSNKEEGEETTEESIGYEDEYEIEDGGVSRLRPPPRAVKQVAGFRVPADEMIGVSVPRKARSASVKRSHESRVSGNGGFGSEDRRASTSPAASRSFEAASPSSSNVSVRKKTKPNGPKTRPPKVSKCSSSSVQEDIEIEIAEVLYGLKKQSHGSKKEEKAENDLQKLDSTDANDSKSSPNSNFAQTSILNQNNASASDSLLVLASKTQIMDADSVVVQNGLIAPAVTDEREGDAKMEISATKSGKTSFYAESSEVSHDMGASKLATGLESQEEAIKQQDSKLAIEESGVLTWENSVLPEEKSPVCNKVDVDFHDSLLEKSTSTVSKVENQREEKFKIDLMAPPPMASSPEQDSFVDLSLDPKPAAQDVAMKMENVVKNEELADSLVKKEGVIVEEKIKTVGEKRGLKLDFEKPHRNVQQKLLPRATISKVETTAQSGSVPSPIALPGWLSNLPSLGYMPSFQTVVPMDGTAGSSKALQPPQFIPQPRPKRCATHHYIACNVRLHQQFIKMNHFWPATAGSAALCGAKPKDLNAMPSTENMIIGSTLQGSFPFVNLNPAQDKVQAVANIPVFTRKDRGSESTALIDAQKKQLVPPQPPQPAPAGNLMPGPAFIFSLNQHQASTATMTSQTGPSKSASSINNASFPGNGIAGLTTNSSALPAMAAAVSFSYPNLAANETPYLTILPNNGYPFSISTPVGNQPTFRGGTPSQALPFFNGSFYSSQMLHPSQLQQQQPQPVVQPGHQNASNSSGSSSSHKQPQSRQPRGALVSTANFLTSTMMQSQQPPKQHVQSHHSRKLDTEMSGESTPIIADTRAGHSKKSVHGPNFMVPVQPNFGLMASTTVGGSGNHGEKQQQQHQLSQEKNLKGGVELIPSQAFAMSFASFNGSKTASNLNFSAMTQNPPILQSFPDMTRQGYQVITAAQATQKKNHQPSEGKSGGSSTNPDDGKKAPSGKSTRGNGQTLVFDNSARTLNFVSSPSTGNWPSQSITATTSIPMAANSSSTSQQQQLVQLQKQHILHQQLQQPIGAADSKASTSNSLPLPSIGAKFPNNASIFSQTQALGNSSPQNPQWKNSSRIPSSQAPLTSLSASNTSVHKNASQQQGRVPQGHSQISFGSSSKSALPPQGQQISSSCQSPSSGGNSRTTSMNAKANSSIPAIQSQQSDNSSSGNAQKSSPVCGRNVPSILSACPSHLSELKY >Potri.T124606.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:29993:36751:1 gene:Potri.T124606.v4.1 transcript:Potri.T124606.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124606.v4.1 MHAPGSNIDFLLQSNFISGFCGSLHLVLLLALCVSFLCKKLSRWGDGEGSSEMLMMKRRFLWYKQTLVCCLGVTVFNFILCLLSYFYLYGNVLSDGEIMTLLDLGIRTLSWGALVVYLHTQFFNSGENMFPLLLRVWWGSYLAISCYCFLVDVFIHHKHGSLEIEWYLVSDAVSVLTGLFLCYVGFLRSDIQDVLGEPLLNGDSSSINNLETSNSRGGDTVTPFGNAGLFSILTFSWMNSLIAAGNRKILDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRATRFKLAKALFLLVWKEILKTALLALTHTLCSYAGPYLIDAFVQCLEGRGEFKNQGYILASTFVAAKLAECLAHRHLSFRLQQIGTRLRAATATMIYNKSLTISCQSKQGHSSGEMINIMTIDADRLGIFSWYIHDPWLVILQVCLALLILYRNLGLGSVAGFVATVIVMSLNYPFGRLEEKFQDKLMESKDKRMKATVEILRNMRILKLQGWEMKFLSKILELREVETRWLKKYFYNSVVITVVSWATPTVVAVATFGTCMLMGIPLESGKVLSALATFGILQSPIYNLPDTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPGGSSDTAIEIVDGNFSWDLSSPSATLKDINFKVLNGMKVAVCGTVGSGKSSLLSSILGELPKISGTLKLCGTKAYVAQSPWIQSGTIEENILFGKEMDKERYDKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLSSKTVIYVTHQVEFLSAADLILVMKDGRIAQAGKYDEILNSGSDFKVLVGAHKAALSVLDSRQAGAVSENESVRDNNGGENSTDRIVHDEGNKDSQIGKADDVAEPQAQLIQEEEREKGSVGFQIYWKYITTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPATKDVKPGVSGSRLLIVYVSLVIGSSFCILARAMLLVTAGYKTATLLFNKLHQCIFRAPMSFFDATPSGRIINRASKDQSALEMQIPDLVGGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPAARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFQEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSVTFAFCLFLLVSFPERTNPAIAGLAVTYALELHMAQFGLIWNFCICENKLISVERILQYMSIPAEPPLVIESKRPDHSWPSHGKIDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVEPAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQNFSDCTVITIAHRITSVLDSDMVLLLSQGLIEEYNSPTRLLENKSSSFSQLVAEYTVRSNTRFEKSTGLNL >Potri.015G092000.1.v4.1 pep chromosome:Pop_tri_v4:15:11495267:11497903:-1 gene:Potri.015G092000.v4.1 transcript:Potri.015G092000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092000.v4.1 MGPATYENHYPPPNMKKFGYDAPEGVEIRGRYDGEFAVILTKDALQFVADLQREFRNRIKYAMECRKEAKRRYSEGALPGFDPATRYIREGEWTCAPVPPAVADRKVEITGPVERKMIINALNSGAKVFMADFEDALSPSWENLMRGQVNLKDAVAGTITFHDKVRNRVYKLNNQTAKLFVRPRGWHLPEAHILIDGEPATGCLVDFGLYFYHNYAAFRRIQGAGFGPFFYLPKMENSREAKIWNCVFEKAEKMAGIERGSIRATVLIETLPAVFQMNEILYELRDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPDRVQVGMTQHFMKSYSDLLIWTCHRRGVHAMGGMAAQIPIRDDPEANNEALELVRKDKLREVRAGHDGTWAAHPGLIPACMEVFSNNMGNTPNQIQSMKREDASTITEEDLLQRPRGSRSLEGLRLNTRVGIQYLAAWLTGTGSVPLYNLMEDAATAEISRVQNWQWLKYGVELDGDGLGVKVNNDLFGKVVEEEMARIEREVGKEKFKRGMYKEACKIFARQCTAPTLDDFLTLNAYDNIVIHHPMGSSSRL >Potri.014G134400.2.v4.1 pep chromosome:Pop_tri_v4:14:9012116:9016966:1 gene:Potri.014G134400.v4.1 transcript:Potri.014G134400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G134400.v4.1 MEEQNPASQSHRSTEQLQDTAKLEHLYGCEHYRRRCKIRAPCCNHIFSCRHCHNEATSSLSNPKDRHEIVRTDIKQVICSVCNTEQEVAQVCNKCGVKMGEYFCDICKFYDDDTSKQQFHCDSCGICRLGGRENFFHCEKCGSCYAIDMRDNHSCVENSMKNYCPVCYEYLFDSVKQATVMKCGHTMHMDCFREMAKQQQYRCPICSKTVIDTSHYWKMLDEEIEAVQMPEQYQYEVSILCNDCNSTSKVAFHVVGHKCKQCASYNTRRIAGTGC >Potri.014G038500.1.v4.1 pep chromosome:Pop_tri_v4:14:2480397:2481254:1 gene:Potri.014G038500.v4.1 transcript:Potri.014G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G038500.v4.1 MSSLASKTQSTLSKSEKITSNTSTATKDQEDPEIFNSVTSFVYIKPVHSTKTRDKEEVLQRIRQRKGANKVRGSLQGFLGWLFSSKSENKVSAKWVDDAFAAP >Potri.004G071100.1.v4.1 pep chromosome:Pop_tri_v4:4:5993648:5997390:-1 gene:Potri.004G071100.v4.1 transcript:Potri.004G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G071100.v4.1 MADLEKKAKEAFIDDHFELAVDLYTQAIALNPTNPDLLADRAQANIKLNSLTEAVADASKAIELDPSMAKAHLRKGIACMKLEEYQTAKAALEAGASLATEESRFANLIKECDERIAEETGETKNQAVEASVNTLSLKEEPEDISCQAPMVTPSKSKYRHEFYQKPEEVVVTIFAKGIPADSVTVDFGEQILSVRINVPGEDAYYFQTRLFGKIILDKCKFNVLSTKVEIRLTKAEPGLHWASLEYKKETAVVKRITVSSEIAHRPTYPSSKPKRVDWDKIEAEVKKEEKEEKLDGDAALNKFFREIYQDADEDTRRAMQKSFVESNGTVLSTNWKEVGTKTVEGSPPDGMEMRKWEY >Potri.014G020900.1.v4.1 pep chromosome:Pop_tri_v4:14:1272061:1274625:-1 gene:Potri.014G020900.v4.1 transcript:Potri.014G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G020900.v4.1 MHRPVMPPPGHSPQRWKESWGRLIACLTIWICVSVSLRYGYFGDSRMVLGPSSSRLMKASSVFVDHVEVRDEDKKGVLLYGFYEKPELSFETNWSVADYMIVASYSRKGFSLWLNKGSKIRMRWEARTSILNQLQVVMIKGERKYETLLPKQTSSPDALNLSEPLNGKEAEYTIEEDNRYYLGLINTNPKNIITTLSVNVTSKMYELSKARNMCSTTQGSCRLKLLFPKTQYVVVTTPDNGDINGWNIEVAFVARAIIYVAILGAIVIIIFLILKYLGACDTDQSTNLVETATWQASEPSETEPIMPAKSVRLTYGTNEEDDEGSSCSSSEDLYDAKLCVICYDDQRNCFFVPCGHCATCYDCAQRIMEEDNKMCPICRRLIHKVRRLFTS >Potri.003G058200.1.v4.1 pep chromosome:Pop_tri_v4:3:8512575:8520726:1 gene:Potri.003G058200.v4.1 transcript:Potri.003G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058200.v4.1 MKRENVLPSNFRHGNGPITRAAALRASGTMPPLKAPTKQDWKRNLRTNRKRAALDENSTSRPDNADNQCKRRAVLQDVTNVCCENSYTSCFSATKIQAKVAKQAKKVQLDVSKVAPSSASEHPRLKASSKKKIVCREVKIEPYSEVASSTTSEKDVPSQPSGTGEFGTDDPQLPNLCSIVPSHPHHSPKKAEKCNVSENWKASSDPEFIDIDSDHKDPQLCSLYAADIYNNLRVAELVRRSLPTFMETVQQDITQIMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDWFLSQNYIERHRLQLLGITCMLIASKYEEICPPHVEEFCFITDNTYTSIEVLKMETQVLIFFGFQIIAPTAKTFLRRFLRAAQASYKNPSYELEFLADYLAELTLVDYSFLNFLPSVIAASSVFLARWTLDQTSHPWSPTLEHYSSYKASDLKTTVLALQGLQLNTKGCPLNAIRMKYRQPKFKSVAALSSPKLLETLF >Potri.003G058200.2.v4.1 pep chromosome:Pop_tri_v4:3:8512772:8520679:1 gene:Potri.003G058200.v4.1 transcript:Potri.003G058200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058200.v4.1 MKRENVLPSNFRHGNGPITRAAALRASGTMPPLKAPTKQDWKRNLRTNRKRAALDENSTSRPDNADNQCKRRAVLQDVTNVCCENSYTSCFSATKIQAKVAKQAKKVQLDVSKVAPSSASEHPRLKASSKKKIVCREVKIEPYSEVASSTTSEKDVPSQPSGTGEFGTDDPQLPNLCSIVPSHPHHSPKKAEKCNVSENWKASSDPEFIDIDSDHKDPQLCSLYAADIYNNLRVAELVRRSLPTFMETVQQDITQIMRGILVDWLVEVSEEYKLVPDTLYLTVYLIDWFLSQNYIERHRLQLLGITCMLIASKYEEICPPHVEEFCFITDNTYTSIEVLKMETQVLIFFGFQIIAPTAKTFLRRFLRAAQASYKNPSYELEFLADYLAELTLVDYSFLNFLPSVIAASSVFLARWTLDQTSHPWSPTLEHYSSYKASDLKTTVLALQGLQLNTKGCPLNAIRMKYRQPKQFKSVAALSSPKLLETLF >Potri.001G097600.2.v4.1 pep chromosome:Pop_tri_v4:1:7725714:7733431:1 gene:Potri.001G097600.v4.1 transcript:Potri.001G097600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097600.v4.1 MEQKHIVLSALSVGVGVGVGIGLASGKTVSKWRGDASDGINSETMEQELLRQVIDGRDSGVTFDQFPYYLSEQTRVLLTSAAYFHLKHAEASKYTRNLSPASRAILLSGPAEPYQQMLAKALAHYFEAKLLLLDATDFSLKIQSKYGANKESLFKRSNSETTLERLSGFLGSFSILPQKEEPMRSLYRQSSGVDIPSRGLDSSYNPRKLRRNSSAAANLSNEITQSSPANTAPLKRTSSWSFDEKLLIQSLYKVLVHVSKTSPIVLYLRDAEKILFRSKRTYNLFQKMFNKLSGSVLILGSRVLDLSNDSREVDEGLTALFPYNIEIKPPGDETHLVSWKNKLEEDMKMIQVRDNRNHIMEVLSANDLDCDDLDSVCVADTMALSNYIEEIVVSAISYHLMNKYPEYRNGKLVVSSKSLSHGLSIFQESKSMGKDSLKVEAQAETSKEAGGNETVAVKPETKAEGVNPENKSEVEKKASGVKAVGENSLPASKAPEVPPDNEFEKRIRPEVIPPNEINVTFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMTHWDGLLTNQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSAEHRERILKTLLGKEKMEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERLKDLVKKQRAEAAQKLGEATDTKEVKKERVITLRPLNMEDFKLAKNQVAASFAAEGASMNELQQWNELYGEGGSRKKQQLTYFL >Potri.001G097600.3.v4.1 pep chromosome:Pop_tri_v4:1:7725717:7733454:1 gene:Potri.001G097600.v4.1 transcript:Potri.001G097600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G097600.v4.1 MEQKHIVLSALSVGVGVGVGIGLASGKTVSKWRGDASDGINSETMEQELLRQVIDGRDSGVTFDQFPYYLSEQTRVLLTSAAYFHLKHAEASKYTRNLSPASRAILLSGPAEPYQQMLAKALAHYFEAKLLLLDATDFSLKIQSKYGANKESLFKRSNSETTLERLSGFLGSFSILPQKEEPMRSLYRQSSGVDIPSRGLDSSYNPRKLRRNSSAAANLSNEITQSSPANTAPLKRTSSWSFDEKLLIQSLYKVLVHVSKTSPIVLYLRDAEKILFRSKRTYNLFQKMFNKLSGSVLILGSRVLDLSNDSREVDEGLTALFPYNIEIKPPGDETHLVSWKNKLEEDMKMIQVRDNRNHIMEVLSANDLDCDDLDSVCVADTMALSNYIEEIVVSAISYHLMNKYPEYRNGKLVVSSKSLSHGLSIFQESKSMGKDSLKVEAQAETSKEAGGNETVAVKPETKAEGVNPENKSEVEKKASGVKAVGENSLPASKAPEVPPDNEFEKRIRPEVIPPNEINVTFSDIGALEETKESLQELVMLPLRRPDLFKGGLLKPCRGILLFGPPGTGKTMLAKAIAKEAGASFINVSMSTITSKWFGEDEKNVRALFTLAAKVSPTIIFVDEVDSMLGQRSRAGEHEAMRKIKNEFMTHWDGLLTNQGERILVLAATNRPFDLDEAIIRRFERRIMVGLPSAEHRERILKTLLGKEKMEGLDFKELATMTEGYSGSDLKNLCTTAAYRPVRELIQQERLKDLVKKQRAEAAQKLGEATDTKEVKKERVITLRPLNMEDFKLAKNQVAASFAAEGASMNELQQWNELYGEGGSRKKQQLTYFL >Potri.006G078200.2.v4.1 pep chromosome:Pop_tri_v4:6:5814119:5823590:1 gene:Potri.006G078200.v4.1 transcript:Potri.006G078200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078200.v4.1 MEATLPVCKSVTSTPGLFMGKTSGIRSSQCSFMMGNKVNFPRQRAQTAHVHCAKNGGALGVTCRAEKILVANRGEIAVRVIRTAHEMGIPCVAVYSTIDKDALHVKLADESVCIGEAPSSQSYLVIPNVLSAAISRRCTMLHPGYGFLAENAVFVEMCREHGINFIGPNPDSIRVMGDKSTARETMKKAGVPTVPGSDGLLQSTEEGVRLANEIGYPVMIKATAGGGGRGMRLAKEPDEFVKLLQQAKSEAAAAFGNDGVYLEKYVQNPRHIEFQVLADKFGNVVHFGERDCSIQRRNQKLLEEAPSPALTPELRKAMGDAAVSAAASIGYIGVGTVEFLLDERGSFYFMEMNTRIQVEHPVTEMISSVDLIEEQIRVAMGEKLRYKQEDIVLRGHSIECRINAEDAFKGFRPGPGRITAYLPSGGPFVRMDSHVYPDYVVPPSYDSLLGKLIVWAPTREKAIERMKRALDDTIITGVPTTIDYHKLILEIEDFKNGNVDTAFIPKHEKELAAPQQIIPAKQLTNSAA >Potri.003G123800.4.v4.1 pep chromosome:Pop_tri_v4:3:14404382:14409833:-1 gene:Potri.003G123800.v4.1 transcript:Potri.003G123800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123800.v4.1 MEIMRVKTEEELFNVDSENEVIDASPCSVYFGASPRWTTGPTRSSRRGGWTKEEDCLLEESVRKFNGRHWKKIAECLPGRTVSQCFCRWDRVLNPAIVKGTWTKEEDDCIMELVGKHGCRKWSVIAKSLPGRVGKQCRERWFNHLNPTINRAPWTKEEEMTLTYYREIYGNKWAKIARFLPGRSDNAIKNYWNCVLKKNLDSYSLHGCTMDLFTVSSPSSYNCAAELNCLKLKESQSVEKAAFVYENMELRCSAEMSASGSAKANGIGKKNEVRKLHMQAEETSQNCLHHESQQLSTLVCNLDAGEVPSMDTCVMHPISPFCCSTPTKYARSISVNSTSPESILRNSARTFKNTPSIIRKRALRGAAIAKISDVTCTPSSKFSCPDDFQIVDSTNSPNEKQGFLRYFQPETSFAIKSLKRHFDYAFDMEKEADLGKCGKSVSLSEPLHQNFLESR >Potri.003G123800.2.v4.1 pep chromosome:Pop_tri_v4:3:14404070:14410034:-1 gene:Potri.003G123800.v4.1 transcript:Potri.003G123800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123800.v4.1 MEIMRVKTEEELFNVDSENEVIDASPCSVYFGASPRWTTGPTRSSRRGGWTKEEDCLLEESVRKFNGRHWKKIAECLPGRTVSQCFCRWDRVLNPAIVKGTWTKEEDDCIMELVGKHGCRKWSVIAKSLPGRVGKQCRERWFNHLNPTINRAPWTKEEEMTLTYYREIYGNKWAKIARFLPGRSDNAIKNYWNCVLKKNLDSYSLHGCTMDLFTVSSPSSYNCAAELNCLKLKESQSVEKAAFVYENMELRCSAEMSASGSAKANGIGKKNEVRKLHMQAEETSQNCLHHESQQLSTLVCNLDAGEVPSMDTCVMHPISPFCCSTPTKYARSISVNSTSPESILRNSARTFKNTPSIIRKRALRGAAIAKISDVTCTPSSKFSCPDDFQIVDSTNSPNEKQGFLRYFQPETSFAIKSLKRHFDYAFDMEKEADLGKCGKSVSLSEPLHQNFLESR >Potri.013G025800.1.v4.1 pep chromosome:Pop_tri_v4:13:1647411:1648982:-1 gene:Potri.013G025800.v4.1 transcript:Potri.013G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025800.v4.1 MDSYTFIHRILPLLILFFLATPCGVVSQNNSSNDRGMYNNYAQVTPSMAIIIVVLVAALFSMGFFSIYIRHCNEASANGSIRALGVVGLSRRAAASRGLDPGVIETFPTLIYSVVKGLKIGNGALECAVCLNEFEDDETLRLIPNCDHVFHPDCIDTWLESHTTCPVCRADLTKPADPVSQLSELHSPELDLEAQNGALGIEPENGNANVEVQVVGPEPDDVSVNVNKTLNRNRTRGSRSGRPPRFPRSHSTGHSLVQPGENTDRFTLRLPVEVRKQVMNRKLNRSTSMVVLPRQGSSRKGYRTGVGEGSSRKVLNYKRLEKLDLEPRSDRWVFGRNPSFLARASSFLSRASSSVRSPKVVAASENQGGSSRLGGSDGGESSRPPV >Potri.006G236800.1.v4.1 pep chromosome:Pop_tri_v4:6:23897414:23901093:1 gene:Potri.006G236800.v4.1 transcript:Potri.006G236800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236800.v4.1 MLRLERWNAVPFHLYTREVGHRMAPRSLMKAVDELATLNEPLGLPSTKETQGKWEGKATVELKGPTADQVWPFLEDFCNLQKWLPGVDTCYQVEGELGQPGLVRYCSSGTASSDGSHEGNKVIWAKEKLIMINPSERCLSYEILENNAGFKSYVATMKAFPINDGDEDGDGQHGCKIEWSFIADPIEGWPLEDFNSYINSSLQFMGQKMEQAVLSG >Potri.006G236800.2.v4.1 pep chromosome:Pop_tri_v4:6:23900356:23901020:1 gene:Potri.006G236800.v4.1 transcript:Potri.006G236800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236800.v4.1 MTEETQGKWEGKATVELKGPTADQVWPFLEDFCNLQKWLPGVDTCYQVEGELGQPGLVRYCSSGTASSDGSHEGNKVIWAKEKLIMINPSERCLSYEILENNAGFKSYVATMKAFPINDGDEDGDGQHGCKIEWSFIADPIEGWPLEDFNSYINSSLQFMGQKMEQAVLSG >Potri.005G254000.3.v4.1 pep chromosome:Pop_tri_v4:5:24597060:24600440:-1 gene:Potri.005G254000.v4.1 transcript:Potri.005G254000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254000.v4.1 MTPHISDDGEITLGLKKTTAFLVDAKTGRVVRTYKFDNSASKVGVQVFEGNAVMLSKDAGELVESGDVDLGAFKHLVYITRTDYVLQHYSPNSSEILWNVAFADIEGEFRCQGIQSSFDGVPPNANEDTDETEWQLPCQKKTVALRIRDHGMFEFDKLAITHLGGGANFLPVPYNKPPFGHVPRFQPALPTSGDIPVLALPSSEGKNPGILAPFSGNSGTVNAITPSSENIAKSHVWPFITAVLSIMGFIFYKFLASRKQGKLNKPIEELQPRSGMPKKKKNRRSGNNKSNPNNLKNQKYLSLQSKVGEINELTRVERDERKLLLTFTDHVDGRVDGRRIGKLLVSNKEIAKGSNGTVVLEGIYDGRHVAVKRLVQSHHDVALKEIQNLIASDQHPNIVRWYGVEYDQDFVYLALERCTCSLNDLIYVNSESFQNQIPSKDMDSNRLPEYMVRLHSMPEHNRNVELWKANGYPSVQLLKLMRDVVSGLAHLHELGIVHRDMKPQNVLIISEKSFCAKLSDMGISKRLLGDMSSLTQHPTGYGSSGWQAPEQLLHGRQTRALDLFSLGCVLFFCITGGKHPFGDNIERDVNIVNDRKDLFLVENIPEALDLFTCLLDPDPEKRPKAQEVLNHPLFWTSEKRLSFLQDVSDRVELEDRENASELLDTLESTATMALNGKWDEKMEAAFINNIGRYRRYKFDSIRDLLRVIRNKSHHYRELPQEIKELLGSHPEGFESYFSRRFPKLLIEVYKVIYRYCKEEEFFRKYIDSNII >Potri.005G254000.2.v4.1 pep chromosome:Pop_tri_v4:5:24597070:24601205:-1 gene:Potri.005G254000.v4.1 transcript:Potri.005G254000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254000.v4.1 MKRSLIFLLLILLILSPLISQFCLSDQSNQITKLFDPLLPPTPRQQDVAIVAALDGTVHLVDTNLRKTRWSFPTGSPIYSSYQARVSSDDDRHNGSELSKDLYYIDCGDDWELYVHSQRFGKLRKLSLSADEYIRMTPHISDDGEITLGLKKTTAFLVDAKTGRVVRTYKFDNSASKVGVQVFEGNAVMLSKDAGELVESGDVDLGAFKHLVYITRTDYVLQHYSPNSSEILWNVAFADIEGEFRCQGIQSSFDGVPPNANEDTDETEWQLPCQKKTVALRIRDHGMFEFDKLAITHLGGGANFLPVPYNKPPFGHVPRFQPALPTSGDIPVLALPSSEGKNPGILAPFSGNSGTVNAITPSSENIAKSHVWPFITAVLSIMGFIFYKFLASRKQGKLNKPIEELQPRSGMPKKKKNRRSGNNKSNPNNLKNQKYLSLQSKVGEINELTRVERDERKLLLTFTDHVDGRVDGRRIGKLLVSNKEIAKGSNGTVVLEGIYDGRHVAVKRLVQSHHDVALKEIQNLIASDQHPNIVRWYGVEYDQDFVYLALERCTCSLNDLIYVNSESFQNQIPSKDMDSNRLPEYMVRLHSMPEHNRNVELWKANGYPSVQLLKLMRDVVSGLAHLHELGIVHRDMKPQNVLIISEKSFCAKLSDMGISKRLLGDMSSLTQHPTGYGSSGWQAPEQLLHGRQTRALDLFSLGCVLFFCITGGKHPFGDNIERDVNIVNDRKDLFLVENIPEALDLFTCLLDPDPEKRPKAQEVLNHPLFWTSEKRLSFLQDVSDRVELEDRENASELLDTLESTATMALNGKWDEKMEAAFINNIGRYRRYKFDSIRDLLRVIRNKSHHYRELPQEIKELLGSHPEGFESYFSRRFPKLLIEVYKVIYRYCKEEEFFRKYIDSNII >Potri.005G254000.1.v4.1 pep chromosome:Pop_tri_v4:5:24597064:24601294:-1 gene:Potri.005G254000.v4.1 transcript:Potri.005G254000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G254000.v4.1 MKRSLIFLLLILLILSPLISQFCLSDQSNQITKLFDPLLPPTPQQDVAIVAALDGTVHLVDTNLRKTRWSFPTGSPIYSSYQARVSSDDDRHNGSELSKDLYYIDCGDDWELYVHSQRFGKLRKLSLSADEYIRMTPHISDDGEITLGLKKTTAFLVDAKTGRVVRTYKFDNSASKVGVQVFEGNAVMLSKDAGELVESGDVDLGAFKHLVYITRTDYVLQHYSPNSSEILWNVAFADIEGEFRCQGIQSSFDGVPPNANEDTDETEWQLPCQKKTVALRIRDHGMFEFDKLAITHLGGGANFLPVPYNKPPFGHVPRFQPALPTSGDIPVLALPSSEGKNPGILAPFSGNSGTVNAITPSSENIAKSHVWPFITAVLSIMGFIFYKFLASRKQGKLNKPIEELQPRSGMPKKKKNRRSGNNKSNPNNLKNQKYLSLQSKVGEINELTRVERDERKLLLTFTDHVDGRVDGRRIGKLLVSNKEIAKGSNGTVVLEGIYDGRHVAVKRLVQSHHDVALKEIQNLIASDQHPNIVRWYGVEYDQDFVYLALERCTCSLNDLIYVNSESFQNQIPSKDMDSNRLPEYMVRLHSMPEHNRNVELWKANGYPSVQLLKLMRDVVSGLAHLHELGIVHRDMKPQNVLIISEKSFCAKLSDMGISKRLLGDMSSLTQHPTGYGSSGWQAPEQLLHGRQTRALDLFSLGCVLFFCITGGKHPFGDNIERDVNIVNDRKDLFLVENIPEALDLFTCLLDPDPEKRPKAQEVLNHPLFWTSEKRLSFLQDVSDRVELEDRENASELLDTLESTATMALNGKWDEKMEAAFINNIGRYRRYKFDSIRDLLRVIRNKSHHYRELPQEIKELLGSHPEGFESYFSRRFPKLLIEVYKVIYRYCKEEEFFRKYIDSNII >Potri.016G140200.5.v4.1 pep chromosome:Pop_tri_v4:16:14337376:14348004:1 gene:Potri.016G140200.v4.1 transcript:Potri.016G140200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140200.v4.1 MSWMRSLGCVFVLSYCYLVLQTDAQITDPSEVAALKSVKKSLVDPMKHLSSWKRGDPCASNWTGIFCLDTYATDGYLHVRELQLLNMNLSGHLTPELGQLSRLKILDFMWNELGGSIPKEIGNISSLQLLLLNGNKLSGFLPDELGYLSKLDRLQVDMNYISGPIPTSFANLSTVKHLHMNNNSIRGQIPPELSKLSTLRHLLLDNNNLSGYLPQEFSDLPELRILQLDNNKFIGSGIPDTYGNLSKLAKLSLRNCSLQGSIPGLSSIQNLLYLDLSKNELNGPLPPTLSDNITTIDLSDNHLNGSIPRSFSNLPSLQRLSLENNLLIGYVSANMWQNMSSAKSAGLTLDLRNNSLSSILGEVNLPENVTLRLGGNPICHEANTPNIIQFCEPEAGGDKTPERLTNSKVSCYVQSCPTDDFFEYVASSPVPCFCAAPLRIGYRLKSPSFSYFTPHVNQFEKYVTRSLNMSSYQLSIDSFFWEEGPRLRMYLKLFPPVNNVNSTMYNATEVQRIRDIFASWQFPPNDFFGPYELLNFTLLGPYAQMNDESHKEGISKGVWVAIILAAIACAVVIISAITVLIIVRNTRYSQRLPRKDLSLTVQMKIDGVESFTFKEIVLATDNFNSSTQIGQGGYGKVYRGVLPDKTVVAIKRAEEGSLQGEKEFLTEIKLLSRLHHRNLVSLTGYCVERGEQMLVYEFMPNGTLRDWLSDKEKFSFGTRLSIALGSAKGILYLHTEADPPVFHRDIKASNILLDSKLTAKVADFGLSLLAPVMDDDGYQPNHVSTVVKGTPGYLDPEYFLTRKLTDKSDVYSLGVVFLELLTGMQPIYRGKNIVREVNIACESDKMFSIIDRRMGSYPSKCIERFVALALRCCHDKQDKRPSMLEVVRELEIILRILPETETTEIDSASAYSRKTTPTFSGTSASSSSFCTNRDISNSSSHLGSDLSSGVIPFIPPR >Potri.016G140200.7.v4.1 pep chromosome:Pop_tri_v4:16:14337692:14347977:1 gene:Potri.016G140200.v4.1 transcript:Potri.016G140200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140200.v4.1 MSWMRSLGCVFVLSYCYLVLQTDAQITDPSEVAALKSVKKSLVDPMKHLSSWKRGDPCASNWTGIFCLDTYATDGYLHVRELQLLNMNLSGHLTPELGQLSRLKILDFMWNELGGSIPKEIGNISSLQLLLLNGNKLSGFLPDELGYLSKLDRLQVDMNYISGPIPTSFANLSTVKHLHMNNNSIRGQIPPELSKLSTLRHLLLDNNNLSGYLPQEFSDLPELRILQLDNNKFIGSGIPDTYGNLSKLAKLSLRNCSLQGSIPGLSSIQNLLYLDLSKNELNGPLPPTLSDNITTIDLSDNHLNGSIPRSFSNLPSLQRLSLENNLLIGYVSANMWQNMSSAKSAGLTLDLRNNSLSSILGEVNLPENVTLRLGGNPICHEANTPNIIQFCEPEAGGDKTPERLTNSKVSCYVQSCPTDDFFEYVASSPVPCFCAAPLRIGYRLKSPSFSYFTPHVNQFEKYVTRSLNMSSYQLSIDSFFWEEGPRLRMYLKLFPPVNNVNSTMYNATEVQRIRDIFASWQFPPNDFFGPYELLNFTLLGPYAQMNDESHKEGISKGVWVAIILAAIACAVVIISAITVLIIVRNTRYSQRLPRKDLSLTVQMKIDGVESFTFKEIVLATDNFNSSTQIGQGGYGKVYRGVLPDKTVVAIKRAEEGSLQGEKEFLTEIKLLSRLHHRNLVSLTGYCVERGEQMLVYEFMPNGTLRDWLSDKEKFSFGTRLSIALGSAKGILYLHTEADPPVFHRDIKASNILLDSKLTAKVADFGLSLLAPVMDDDGYQPNHVSTVVKGTPGYLDPEYFLTRKLTDKSDVYSLGVVFLELLTGMQPIYRGKNIVREVNIACESDKMFSIIDRRMGSYPSKCIERFVALALRCCHDKQDKRPSMLEVVRELEIILRILPETETTEIDSASAYSRKTTPTFSGTSASSSSFCTNRDISNSSSHLGSDLSSGVIPFIPPR >Potri.016G140200.4.v4.1 pep chromosome:Pop_tri_v4:16:14337591:14347978:1 gene:Potri.016G140200.v4.1 transcript:Potri.016G140200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140200.v4.1 MEIKMSWMRSLGCVFVLSYCYLVLQTDAQITDPSEVAALKSVKKSLVDPMKHLSSWKRGDPCASNWTGIFCLDTYATDGYLHVRELQLLNMNLSGHLTPELGQLSRLKILDFMWNELGGSIPKEIGNISSLQLLLLNGNKLSGFLPDELGYLSKLDRLQVDMNYISGPIPTSFANLSTVKHLHMNNNSIRGQIPPELSKLSTLRHLLLDNNNLSGYLPQEFSDLPELRILQLDNNKFIGSGIPDTYGNLSKLAKLSLRNCSLQGSIPGLSSIQNLLYLDLSKNELNGPLPPTLSDNITTIDLSDNHLNGSIPRSFSNLPSLQRLSLENNLLIGYVSANMWQNMSSAKSAGLTLDLRNNSLSSILGEVNLPENVTLRLGGNPICHEANTPNIIQFCEPEAGGDKTPERLTNSKVSCYVQSCPTDDFFEYVASSPVPCFCAAPLRIGYRLKSPSFSYFTPHVNQFEKYVTRSLNMSSYQLSIDSFFWEEGPRLRMYLKLFPPVNNVNSTMYNATEVQRIRDIFASWQFPPNDFFGPYELLNFTLLGPYAQMNDESHKEGISKGVWVAIILAAIACAVVIISAITVLIIVRNTRYSQRLPRKDLSLTVQMKIDGVESFTFKEIVLATDNFNSSTQIGQGGYGKVYRGVLPDKTVVAIKRAEEGSLQGEKEFLTEIKLLSRLHHRNLVSLTGYCVERGEQMLVYEFMPNGTLRDWLSDKEKFSFGTRLSIALGSAKGILYLHTEADPPVFHRDIKASNILLDSKLTAKVADFGLSLLAPVMDDDGYQPNHVSTVVKGTPGYLDPEYFLTRKLTDKSDVYSLGVVFLELLTGMQPIYRGKNIVREVNIACESDKMFSIIDRRMGSYPSKCIERFVALALRCCHDKQDKRPSMLEVVRELEIILRILPETETTEIDSASAYSRKTTPTFSGTSASSSSFCTNRDISNSSSHLGSDLSSGVIPFIPPR >Potri.016G140200.9.v4.1 pep chromosome:Pop_tri_v4:16:14337337:14347989:1 gene:Potri.016G140200.v4.1 transcript:Potri.016G140200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140200.v4.1 MSWMRSLGCVFVLSYCYLVLQTDAQITDPSEVAALKSVKKSLVDPMKHLSSWKRGDPCASNWTGIFCLDTYATDGYLHVRELQLLNMNLSGHLTPELGQLSRLKILDFMWNELGGSIPKEIGNISSLQLLLLNGNKLSGFLPDELGYLSKLDRLQVDMNYISGPIPTSFANLSTVKHLHMNNNSIRGQIPPELSKLSTLRHLLLDNNNLSGYLPQEFSDLPELRILQLDNNKFIGSGIPDTYGNLSKLAKLSLRNCSLQGSIPGLSSIQNLLYLDLSKNELNGPLPPTLSDNITTIDLSDNHLNGSIPRSFSNLPSLQRLSLENNLLIGYVSANMWQNMSSAKSAGLTLDLRNNSLSSILGEVNLPENVTLRLGGNPICHEANTPNIIQFCEPEAGGDKTPERLTNSKVSCYVQSCPTDDFFEYVASSPVPCFCAAPLRIGYRLKSPSFSYFTPHVNQFEKYVTRSLNMSSYQLSIDSFFWEEGPRLRMYLKLFPPVNNVNSTMYNATEVQRIRDIFASWQFPPNDFFGPYELLNFTLLGPYAQMNDESHKEGISKGVWVAIILAAIACAVVIISAITVLIIVRNTRYSQRLPRKDLSLTVQMKIDGVESFTFKEIVLATDNFNSSTQIGQGGYGKVYRGVLPDKTVVAIKRAEEGSLQGEKEFLTEIKLLSRLHHRNLVSLTGYCVERGEQMLVYEFMPNGTLRDWLSDKEKFSFGTRLSIALGSAKGILYLHTEADPPVFHRDIKASNILLDSKLTAKVADFGLSLLAPVMDDDGYQPNHVSTVVKGTPGYLDPEYFLTRKLTDKSDVYSLGVVFLELLTGMQPIYRGKNIVREVNIACESDKMFSIIDRRMGSYPSKCIERFVALALRCCHDKQDKRPSMLEVVRELEIILRILPETETTEIDSASAYSRKTTPTFSGTSASSSSFCTNRDISNSSSHLGSDLSSGVIPFIPPR >Potri.016G140200.8.v4.1 pep chromosome:Pop_tri_v4:16:14337729:14347990:1 gene:Potri.016G140200.v4.1 transcript:Potri.016G140200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140200.v4.1 MSWMRSLGCVFVLSYCYLVLQTDAQITDPSEVAALKSVKKSLVDPMKHLSSWKRGDPCASNWTGIFCLDTYATDGYLHVRELQLLNMNLSGHLTPELGQLSRLKILDFMWNELGGSIPKEIGNISSLQLLLLNGNKLSGFLPDELGYLSKLDRLQVDMNYISGPIPTSFANLSTVKHLHMNNNSIRGQIPPELSKLSTLRHLLLDNNNLSGYLPQEFSDLPELRILQLDNNKFIGSGIPDTYGNLSKLAKLSLRNCSLQGSIPGLSSIQNLLYLDLSKNELNGPLPPTLSDNITTIDLSDNHLNGSIPRSFSNLPSLQRLSLENNLLIGYVSANMWQNMSSAKSAGLTLDLRNNSLSSILGEVNLPENVTLRLGGNPICHEANTPNIIQFCEPEAGGDKTPERLTNSKVSCYVQSCPTDDFFEYVASSPVPCFCAAPLRIGYRLKSPSFSYFTPHVNQFEKYVTRSLNMSSYQLSIDSFFWEEGPRLRMYLKLFPPVNNVNSTMYNATEVQRIRDIFASWQFPPNDFFGPYELLNFTLLGPYAQMNDESHKEGISKGVWVAIILAAIACAVVIISAITVLIIVRNTRYSQRLPRKDLSLTVQMKIDGVESFTFKEIVLATDNFNSSTQIGQGGYGKVYRGVLPDKTVVAIKRAEEGSLQGEKEFLTEIKLLSRLHHRNLVSLTGYCVERGEQMLVYEFMPNGTLRDWLSDKEKFSFGTRLSIALGSAKGILYLHTEADPPVFHRDIKASNILLDSKLTAKVADFGLSLLAPVMDDDGYQPNHVSTVVKGTPGYLDPEYFLTRKLTDKSDVYSLGVVFLELLTGMQPIYRGKNIVREVNIACESDKMFSIIDRRMGSYPSKCIERFVALALRCCHDKQDKRPSMLEVVRELEIILRILPETETTEIDSASAYSRKTTPTFSGTSASSSSFCTNRDISNSSSHLGSDLSSGVIPFIPPR >Potri.005G121100.2.v4.1 pep chromosome:Pop_tri_v4:5:8977840:8978683:1 gene:Potri.005G121100.v4.1 transcript:Potri.005G121100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121100.v4.1 MASAAVCGTKRSYFFEDEISSIPLLKRIRCTSPPGIRPPQPPPKENPVPKNPVPASGEQWVDLLVKEMTSATSVDDAKSRAGRVLEMLQKVISDQVTEEAAKGFETENYALKERFEALCHENGVLKRAVVIQHEMLKEGEGKEKELKQFKEMVEHYQEKVRMLEVNNYALSVHLNQALQGNSLGGRCNPDVY >Potri.005G048900.1.v4.1 pep chromosome:Pop_tri_v4:5:3101925:3112881:1 gene:Potri.005G048900.v4.1 transcript:Potri.005G048900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048900.v4.1 MGVPAFYRWLADRYPLSIVDVIEEEPQEDSNGNSKPIDVSKPNPNGIEYDNLYLDMNGIIHPCFHPEGKPAPATYDDVFKSIFVYIDHLFALVRPRKLLFMAIDGVAPRAKMNQQRSRRFRAAKDAAQAEAEEERLRKEFEAEGVLLSVKEKPETRDSNVITPGTQFMAVLSIALQYYIQSRLNHNPGWQNTKVILSDANVPGEGEHKIMSYIRLQRNIPGFNSNTRHCLYGLDADLIMLSLATHEVHFSILREMVIFPGQQDKCFLCGQAGHLAAECRGKQGDDALDWNVVDDTPIHKKKYQFLNIWVLREYLQYDLDIPNPPFAIDFEKIVDDFVFMCFFVGNDFLPHMPTLEIREGAINLLMHIYRREFSAMGGYLTLAGEVFLDKVEHFIQSVAVYEEQIFQKRARIQQAFENNEEMKHKARRDLSEEIQAPPVDKVKLGEPGYKERYYAEKFDLSNEEEIDKVTKDVVLKYVEGLCWVCRYYYQGVCSWQWFYPYHYAPFASDIKDLDEMEITFFLGEPFKPFDQLMGTLPAASSNALPEEYRKLMTDPSSPIHQFFPSDFEIDMNGKRFAWQGIAKLPFIDERKLLAQTKKLERTLMEEEQVRNSVMRDLLYVHPVHPLSQQVISYYHHNYRLPVSERIALKIDTRASGGMNGYLWLSERNVWRSVVPSPINGLPTLEYNQVLNITYLTPSSHRHIPEPPEGVVMPEKILKPTDIKLFPTLWHEDNGRRQQGRERPQVPRAVAGPVLGEAAHRLVKNTLNIKPNGSSSRGNYTFNRPRPAGPAGYGRGYGDDPNYHYAHYNNQQGLMSNHMYPVSSNGVQGNRHNSRPQDRVQYHQQYHDLSTGVSALTVEENFRSRAPAVISPRIPNPGYTTNLYNQFEHNTGPLPSPPTNWINKTAAGDAGMYFRQDTTSRGPNEKQLKQVYQVKTQVAQETSDILAQEI >Potri.004G049300.1.v4.1 pep chromosome:Pop_tri_v4:4:3994022:3997033:1 gene:Potri.004G049300.v4.1 transcript:Potri.004G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G049300.v4.1 MTWCNNNSEDERVIQLVTSNPKEDTFVAAKTEEIRRITCPSCGHNFEIQDQGGIIHDLPGLPAGVKFDPTDQEILEHLEAKVLSDRRKLHPLIDEFIPTIEGENGICYSHPEKLPGVSNDGQIRHFFHRPSKAYTTGTRKRRKVHTDDDGSETRWHKTGKTRPVFAGGTVKGFKKILVLYTNYGRQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCSSSIKDSIDNKSTNQSGDHIDNIHPLAKNSTGLLDQFYNRAYISYDHGNHSSEIPPQFLPNLVVQGDGSSYIRLAAETSKGKLQRKQ >Potri.006G064300.1.v4.1 pep chromosome:Pop_tri_v4:6:4597898:4604998:1 gene:Potri.006G064300.v4.1 transcript:Potri.006G064300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064300.v4.1 MAGNDWINSYLEAILDVGPGLDEKNPSLLLRERGRFSPTRYFVEEVVSGFDETDLHRAWVRAQATRSPRERNTRLENMCWRIWNLARQKKQLEGELAHRNAKRRLERERGRREAVADMSEDLSEGEKGDAVGDLLAHGDSIRNRLSRINSVDAMEAWANQQKGKKLYIVLISLHGLIRGENMELGRDSDTGGQVKYVVELARALGSMPGVYRVDLFTRQVSAPDVDWSYGEPTEMLTLRNSEDFLDEMGESSGAYIVRIPFGPKDKYVPKELLWPHIPEFVDGALCHIIQMSKSLGEQIGGGKPVWPVAIHGHYADAGDSAALLSGALNVPMLFTGHSLGRDKLEQLLKQGRLSRDEINSTYKIMRRIEAEELSLDSSEIVITSTRQEIEQQWRLYDGFDPILERKLRARIKRNVSCYGKFMPRMAIIPPGMEFHHIIPQDGDMDGEIEGNEDHPTSPDPPIWAEIMRFFTNPRKPMILALARPDPKKNITTLVEAFGECRQLRELANLTLIMGNRDGIDEMSSTNASVLLSVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPAFIEPFGLTLIEAAAHGLPIVATKNGGPVDIHRVLDNGLLVDPHDQQSIAGALLKLVAEKHLWAKCRQNGLKNIHLFSWPEHCKTYLSRIASCKSRHPQWQKSDDGADTSDTDSPGDSLRDIQDLSLNLKFSLDGEKTGGSGNENPLDSEGNATDKKSKIENAVLSWSKGVVKDTRKAVDQNSSSGKFPSLRRRKQIFVIAVDFDTISSLAEATRKIFEAVEKERTEGSIGFILSTSLTISEIRSFLASGGFSPSDFDAFICNSGSDLYYSTPNPEDGPFVVDFYYHSHIEYRWGGEGLRKTLVRWASSVSDKKAENEERIVTAAEQLSTDYCYAFTVKKPGLVPPVKELQKALRIQALRCHAIYCQNGTRLNVIPVLASRSQALRYLYVRWGVELANMVVFAGECGDTDYEGLLCGLHKSVVLKGVCSSASNQLHANRSYPLTDVMPSESPNIVQAPEESSDIRSSLEQLGCLKG >Potri.009G002100.1.v4.1 pep chromosome:Pop_tri_v4:9:771155:779308:-1 gene:Potri.009G002100.v4.1 transcript:Potri.009G002100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G002100.v4.1 MSKRPAPDPVAVLRGHRASVMDASFHPSKPLLFTGSTDGELRIWDTVQHRTVSSSRVHSAAHGIISVAASPLIGDNKVISQGRDGTVKCWDIDNGGLSREPSLTIKTNAYHFCKLSLVKKPNSSVRQGEGPNYKHEKDDTETVDTNSLGNKGINYEEDPVECSNPLEDLHADGSKYIAVAGEQSSEVEIWDLNTAERLARLPQSCIGGSSNISTNKRGMCMAVQAFLPSESQGFLYILVGYEDGSMLLWDMRNPGAFVNSVKFHTEPVLSLCVDGLCSGGISGAADDKIALYSLDVSVGSCVMKKEISLERPGVSGTSIRPDSKIAATAGWDHRVRIYNYRKGNALAILKYHHATCNAVTYSTDCKLMASASEDTTIALWELYPPQT >Potri.008G198700.1.v4.1 pep chromosome:Pop_tri_v4:8:14048240:14053311:-1 gene:Potri.008G198700.v4.1 transcript:Potri.008G198700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198700.v4.1 MGCVQGKYCSTYPSSTESNSGDYGEMGSYMNATSKHILTQRSLEIVPVPSRNYELQYSVLTQRGYYPDSPDKENQDSFCIKKQVQGNPNVHFFGVFDGHGHFGTECSKFVKDRLVEILASDPTLLDDPVKAYNSAFSTANNELRSSEIDDSMSGTTAITVLVIGDTIYVANVGDSRAVIAVKNGNRIVAEDLSSDQTPFRKDEYERVKLSGARVLTVDQVEGLEDPDIQVWGNEESHGADPPRLWVQNGMYPGTAFSRSVGDSTAEKIGVISVPEVSMVRLTPNHLFFVVASDGVFEFLSSQTVVDMVARCTDPRDACAAIAGESYKIWLEHESRTDDITIIIVHIKGLSNTGAGDTDGTTGANRNPASSRAGRGSSDGSTTSGSEIYRAIRSEFTDLQLSMSRSPAIVVPSPSHQKPLELDGG >Potri.014G043900.1.v4.1 pep chromosome:Pop_tri_v4:14:2846545:2849971:-1 gene:Potri.014G043900.v4.1 transcript:Potri.014G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043900.v4.1 MEVTDNEEPILNKVENTVALQSLLFKLQRWQWWLLVAINIFFLVAGQSAAVLLGRFYYDQGGNSKWIATVIQTAGFPILFIPLFLLPSDKEPLASYTSSPSVRTLASIYLVLGVIIAGDNYLYSLGLSYLSASTYSLICASQLAFNAVFSYFINSQKFTALILNSVIILSFSSALIAVNDDSGGPSGVSKWKYFLGFLATLGASAIYSLLLSLMQLSFQKVIKKETFSVVLEMQIFTSIVATCVSVAGLFASGEWKTLHGEMQSFGKGSVSYVLTLVWTAVTWQVCSVGVVGLIFVVSSLFSNVISTVALAVSPIAAVIVFHDKMNGVKIIAMLLAVWGFASYTYQNYLDDSKLRKAQSNVTETRNNSVC >Potri.001G231100.1.v4.1 pep chromosome:Pop_tri_v4:1:25038145:25038961:-1 gene:Potri.001G231100.v4.1 transcript:Potri.001G231100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G231100.v4.1 MGVVIIDGSTVRDFVNDEAQFNKSVDEAFTKLDLNNDGVLSRSELRKAFETLRLIETHFGVDVATAPEELTNLYDSIFDKFDCDQSGSVDLEEYRSELKKIMLAIADGLGSSPIQMALEDDDQGFIKQAADLEASKLPQQSSSAGP >Potri.006G025200.1.v4.1 pep chromosome:Pop_tri_v4:6:1673153:1674281:-1 gene:Potri.006G025200.v4.1 transcript:Potri.006G025200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025200.v4.1 MATILKFLPISSSLSILPSSYVLSSIHSKSSINFSSLSKRAHHPLVSSIFKQDTTRKYSTSFRISYRYYSVEDDGEENCSFEEAAALFNKREYYKCHDVLEALWIKAEEPTRTLFHGILQCAVGFHHLFNQNHKGAMMELGEGLCKLKRMDFESGPFHQFEQEISAALDFIYLTQIELAACGDDLCLAMYQSERSYQLLGAYAAGQHLYRLQNNPNDGTYIVFRPQISSYSGEPQKVKLPTLNAADEHLIAYEFK >Potri.014G047600.6.v4.1 pep chromosome:Pop_tri_v4:14:3044183:3053688:1 gene:Potri.014G047600.v4.1 transcript:Potri.014G047600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047600.v4.1 MAAVASASGGERPDTSPAMDVLSSKSATDAASDSSCSSFATETPDLNDHSNISASPSREVDPHSQASARSEEYRQLFRLPTEEVLIQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKIIPFYEITDVKRAKTAGIFPNAIEICAGGKKYFFASFLSRDEALKLIIDGWLQHGNGSNLITEQQDSISVTSNLDNGLVVTEKVNNFKQVSELDSPDRQMATAPSPDYKISASDENGTVSITQIQVADEVEQDVELVRNTDSLSSTTLAWNVENFEAPQRRESFKEVGETKFLIKVEEFFNLFFSDEAASFVESFHSRCGDKEFRCSLWYPNEEFGHARDVSFQHPIKIYFGAKFGSCQEVQKFRVYRNSNLVIETSQQISDVPFGDCFCVEGLWDVTRDGDGSNEGCILRIYVDVVFSKKIIFKGKIVHSTVEECREAYAIWINMAHELLKQKNLEKQAEAGPTLSMIHEEEVHSEREVETGEASENSYKPRGHVRMQQVSSSVAVSQQADDLVQGNFTNATSIASSLGEYVTKLFSFFKSQSQISLVLVIAFIVIILMQVSILVLLNRPQTVHVASPEYYMGGLRAGAGEKSAEAVAWLERRTHHLKDEMFMVEAKLERLQQEHSWLKSQLKNLDNLEKHK >Potri.014G047600.2.v4.1 pep chromosome:Pop_tri_v4:14:3044312:3053873:1 gene:Potri.014G047600.v4.1 transcript:Potri.014G047600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047600.v4.1 MAAVASASGGERPDTSPAMDVLSSKSATDAASDSSCSSFATETPDLNDHSNISASPSREVDPHSQASARSEEYRQLFRLPTEEVLIQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKIIPFYEITDVKRAKTAGIFPNAIEICAGGKKYFFASFLSRDEALKLIIDGWLQHGNGSNLITEQQDSISVTSNLDNGLVVTEKVNNFKQVSELDSPDRQMATAPSPDYKISASDENGTVSITQIQVADEVEQDVELVRNTDSLSSTTLAWNVENFEAPQRRESFKEVGETKFLIKVEEFFNLFFSDEAASFVESFHSRCGDKEFRCSLWYPNEEFGHARDVSFQHPIKIYFGAKFGSCQEVQKFRVYRNSNLVIETSQQISDVPFGDCFCVEGLWDVTRDGDGSNEGCILRIYVDVVFSKKIIFKGKIVHSTVEECREAYAIWINMAHELLKQKNLEKQEAGPTLSMIHEEEVHSEREVETGEASENSYKPRGHVRMQQVSSSVAVSQQADDLVQGNFTNATSIASSLGEYVTKLFSFFKSQSQISLVLVIAFIVIILMQVSILVLLNRPQTVHVASPEYYMGGLRAGAGEKSAEAVAWLERRTHHLKDEMFMVEAKLERLQQEHSWLKSQLKNLDNLEKHK >Potri.014G047600.7.v4.1 pep chromosome:Pop_tri_v4:14:3044183:3053633:1 gene:Potri.014G047600.v4.1 transcript:Potri.014G047600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G047600.v4.1 MAAVASASGGERPDTSPAMDVLSSKSATDAASDSSCSSFATETPDLNDHSNISASPSREVDPHSQASARSEEYRQLFRLPTEEVLIQDFNCAFQESILLQGHMYLFVHYICFYSNIFGFETKKIIPFYEITDVKRAKTAGIFPNAIEICAGGKKYFFASFLSRDEALKLIIDGWLQHGNGSNLITEQQDSISVTSNLDNGLVVTEKVNNFKQVSELDSPDRQMATAPSPDYKISASDENGTVSITQIQVADEVEQDVELVRNTDSLSSTTLAWNVENFEAPQRRESFKEVGETKFLIKVEEFFNLFFSDEAASFVESFHSRCGDKEFRCSLWYPNEEFGHARDVSFQHPIKIYFGAKFGSCQEVQKFRVYRNSNLVIETSQQISDVPFGDCFCVEGLWDVTRDGDGSNEGCILRIYVDVVFSKKIIFKGKIVHSTVEECREAYAIWINMAHELLKQKNLEKQEAGPTLSMIHEEEVHSEREVETGEASENSYKPRGHVRMQQVSSSVAVSQQADDLVQGNFTNATSIASSLGEYVTKLFSFFKSQSQISLVLVIAFIVIILMQVCKFPEFLLVTCIIQSSYFAQLTPTLA >Potri.T013401.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:291773:291916:-1 gene:Potri.T013401.v4.1 transcript:Potri.T013401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013401.v4.1 MRKMYAITFNFFYTFCAIILFIYLFFLITTISKPFFSLRSPSSFMFA >Potri.019G014308.1.v4.1 pep chromosome:Pop_tri_v4:19:1887082:1892426:1 gene:Potri.019G014308.v4.1 transcript:Potri.019G014308.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014308.v4.1 MGRSDDPFWKEVEDMNDGSMKCKFCGHLFANGTSISRIKWHFSGERGHGVGICGQVPKEVQEAAFLAMRGGYKRHKGIASLSNVNDYAISTCPQEQDNAVLGNLAQGVGPERIHSRLEAANGMEYTGEGSFQHVDRSVSPWRLRVDAHENRGEATPGTDLVDQFADGTWVQIHSALSKEQKLNEISTYLMQEDEDVERLHDGSETIPRTEQVQHLERGSSCERPSINQADEPRGDSSQPTDPLCLDHGRYYNQLCAPSLSKDVIMYDVQNMVRERTEPVEEEGVENSGRLVQHGTGARSSRCLKYNTSETRGVPLPTSSTKPVGRAFEENKKLIWSLLVDDEVPTIGIYGMGGVGKTTILQHIHNELLQKPDICDHVWWVTVSQDFSINRLQNLIAKRFRLDLSSEDDDLYRAAKLSKELMKKQKWILILDDLWNNFELDEVGIPVPLKGCKLIMTTRSETVCHRMACHRKIKVKTVFEGEAWTLFMEKLGRRIAFSPEVEAIAKAVARECAGLPLGIITVARSLRGVDDLPEWRNTLKKLRESEFRDKEVFKLLRFSYDRLGDLALQQCLLYFALFPEDYMIEREELIGYLIDEGIIKGKRRREDAFDEGHTMLNRLENVCLLESARVNYDDNRRVKMHDLIRDMAIQILLENSQYMVKAGAQLKELPDAEEWTENLTRVSLMQNEIEEIPSSHSPMCPNLSTLFLCYNRGLRFVADSFFKQLHGLMVLDLSRTGIKNLPDSVSDLVSLIALLLKECEKLRHVPSLKKLRALKRLDLSWTTLEKMPQGMECLTNLRYLRMTGCGEKEFPSGILPKLSHLQVFVLEEYYRPITVKVKEVVSLRNLETLECHFEGLSDFVEYLRSRDGTQSLSTYKILVGMVEADFWHHGYYFPSKTVGLGNLSINIDRDIQVKFLNDIQELVCKCIDARSLCDVLSLENAPELAVIHIVNCDSMESLVSSSWFCSAPPPLPSYNGLFSGLKEFYCYGCKSMKLLFPLVLLPNLVNLEVINVGVCEKMEEIIGTTDEESSTSNSITELELPNLITLRLHKLPELKSICSAKLTFNSLEDICVMYCEKLKRMPICLPLLENGQPSPPFFLRRMEICPEEWWETVVEWEHPNAKDVLRPFVEFK >Potri.003G140800.2.v4.1 pep chromosome:Pop_tri_v4:3:15692142:15696744:1 gene:Potri.003G140800.v4.1 transcript:Potri.003G140800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140800.v4.1 MTVNLGSSSCLSLLFSHSPPPPVSKLFPKPNYITTATLISLVFSFNKTTPFLSTSRTPSLKPLPFSLSQSDNGIVDNTNSVLSLNEESLSRVSAAKDANEALQIIAEITNRSNGLVSVTDCRGIITAAIDRCNADLALSVFYAMRSSFDQGDTEIERWKWSRPDVSVYTSLVQGLAAALKVSDALKMIDYICRIGVSPSEEVPFGKVVRCPTCMIAVAVAQPQHGIQIASCAKCRYQYELVSGDITSIDSEAISMDLPAWERGLRFLQIMKQSIPAAVHSIVVQTPSGMARTQRFATETIDLPAQEGERVTISSAAPSNIYRDVGPFKFSPKAPNVYPGEPLCLMNHENDRESLLLRAPVKDGKLSLLNPSVLVPLLAVLATGDAASGIIDPSLPQFLLVAAISSLGVGATLNKLVLPRLNQLPQKSVDVTAIKQKLLSQYDVLQSRIKELKEAAEKEVWMLARMCQLENKIFAVGELSYRARIDRLKRVREGLEKSLKGLIGLIDSYARISSMIEIEVEMDSDVLAAEAMSNVESIAEQIQKIMELENLEERWRLQAEANDEAERLLSSQPVPTEQV >Potri.003G064000.9.v4.1 pep chromosome:Pop_tri_v4:3:9137455:9150156:1 gene:Potri.003G064000.v4.1 transcript:Potri.003G064000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064000.v4.1 MDNLISSALEEICYHGPSGVSLSSLWSTLTPKPSPSVKASLWTNLLSIPSLQFTVPGYDLPFSADDSKIKRCEHAEKLGLKIVAKEHLRDSFVGLYDTPSTGISSNQRNALKRLAVARGNGITQNALAKDLKVENNNFFYVVRSLECRGLIVRQPAVVKMKDVSVTTNMLYLYRHAKHLGVQQRFEINEECVEETDIRGDGFDGESSSKVLVRDYLPAIKAICDKLEKANDKVLVISDIKQDLGYSGTKGHKAWRNILRRLKDACVVEEFEAKVDRKVECCLRLLQEFSPNNFEPKTRGCGEDCDNKVLVKFGKMIQQTDQLVELSLDQQIYDLIDAAGSKGATFREVGRRLGLDKKRNYPRFENMLSMFGMHRQAEINKRTPEYRVWTAGNSNSDTPIAVLCKSKAVLGDNNISDLNTSNVDVPVRSDVGLLEHGNSTLGIYSASSGKLNEEIDTDVYSGSPEDGKTNHMQLCPGNVPDSLNRPRSTASNAEIYIESMQMEPDGASSVKTAPTLLTPHHSGSSQTYPHMPLTADSAFREKKILEWLQDKIFILKPEIHKWLKSLEDKGTTIDRKTVDRILYKLERQGHCKLQHINVPAVTNCARDRTILVVLHPSVQGFPPELMGEIHDRVRVFEKQSRGEGSSRLKIKESVPVLNSVTRTQMHVGSEEKTAKWEAMRANGFVLAKMGRARLLHTFLWNHLSSLPEWNGDLSSGAYSYAYKLFELESVIDAIPIELFLQVAGSAQKYDDMIEKCKRGLRLSDLPIEEYRNLLDSRATNRLSLIIDILRRLKLIRLVRDGHSEDGVKAPHARSRHAMELKPYVEEPLSIVAVSNLRCLDLRPRIRHDFFLLNREAVDEYWKTLEYCYAAAHQIAAKHAFPGSVVPEVFHHRSWASVRIMSSDQRAELLKRIVMDDQSKTLSYKDCEKIAKDLNLTLQQVLRVYYDKHHRRLNRFQGVKNASEECQLPQKIQPSSSKKRKKPLGSSSTKRGRGDNINAQLDRQRLSKLPDAVDQFTVEKDLSSSEHEHLPELQDDDHLDILEGPGLSEDEECPSVINHCAFSKMKPTRRSRFPWTDEADRQLVIQYARHRAVLGPKFHRVDWNALPDLPAEPGICSRRMSSLFRQNTKFRPAVMKLCTMLGERYAKHLERTQNRFLNKNDCRGLLRCSASEGLHGKFSNAVECDEEAGCEEACWDDFKEKSIRKALEDVFHYKQNRMESETVLSNTPKKDMQKLGIGKHKDSAQRSRQYHLHQKFTKLLDEGTSVRRQVHKSLAISNAVELLKLVFLSTSTAPELQNLLAETLRRYSEHDLFAAFSYLRVKKILIGGSGGQPYVLSQQFLTSVSKSPFPSNAGKRAAKLSSWLHEREKDLVEGGVDLTADLQCGDIFQLFAQVSSGELSISPCMPVEGVGEAEDLRSLKHKNKEDEFCDCDRGKKLKSLADSELFSRREKGFPGIVVSLHRAAMQTINSLDLLKDGETCSGELRWNDMLNSGLGQEISWSTSCHNNGQEILNFGSTIPTAAWPSKAPWEAMTCYLEYLVPKPYDRNQMNPDVFRTIYAAIQKAGDQGLSMEEISQVTGENMHIQIIDVLQTFGRVLKVNAYESVRVVDALYRSKYFLTSVAGSRQDLTAHSVTKSLESIDDGHLTLQPENYVVGTSSQREVVMDNHDVHKVTILNLPGEFASLNETQNSIAHESHLQENVISPEQVIDGETSSGEICMPILPWINGDGTMNKVVYNGLVRRVLGTVMQNPGITEENIILHVDVLNPQSCRNLLELMILDKHLIVRKMHQSTSSAPPALLRTLLGKRFREPKLVYREHFFANSMSAALL >Potri.003G064000.6.v4.1 pep chromosome:Pop_tri_v4:3:9137454:9150156:1 gene:Potri.003G064000.v4.1 transcript:Potri.003G064000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064000.v4.1 MDNLISSALEEICYHGPSGVSLSSLWSTLTPKPSPSVKASLWTNLLSIPSLQFTVPGYDLPFSADDSKIKRCEHAEKLGLKIVAKEHLRDSFVGLYDTPSTGISSNQRNALKRLAVARGNGITQNALAKDLKVENNNFFYVVRSLECRGLIVRQPAVVKMKDVSVTTNMLYLYRHAKHLGVQQRFEINEECVEETDIRGDGFDGESSSKVLVRDYLPAIKAICDKLEKANDKVLVISDIKQDLGYSGTKGHKAWRNILRRLKDACVVEEFEAKVDRKVECCLRLLQEFSPNNFEPKTRGCGEDCDNKVLVKFGKMIQQTDQLVELSLDQQIYDLIDAAGSKGATFREVGRRLGLDKKRNYPRFENMLSMFGMHRQAEINKRTPEYRVWTAGNSNSDTPIAVLCKSKAVLGDNNISDLNTSNVDVPVRSDVGLLEHGNSTLGIYSASSGKLNEEIDTDVYSGSPEDGKTNHMQLCPGNVPDSLNRPRSTASNAEIYIESMQMEPDGASSVKTAPTLLTPHHSGSSQTYPHMPLTADSAFREKKILEWLQDKIFILKPEIHKWLKSLEDKGTTIDRKTVDRILYKLERQGHCKLQHINVPAVTNCARDRTILVVLHPSVQGFPPELMGEIHDRVRVFEKQSRGEGSSRLKIKESVPVLNSVTRTQMHVGSEEKTAKWEAMRANGFVLAKMGRARLLHTFLWNHLSSLPEWNGDLSSGAYSYAYKLFELESVIDAIPIELFLQVAGSAQKYDDMIEKCKRGLRLSDLPIEEYRNLLDSRATNRLSLIIDILRRLKLIRLVRDGHSEDGVKAPHARSRHAMELKPYVEEPLSIVAVSNLRCLDLRPRIRHDFFLLNREAVDEYWKTLEYCYAAAHQIAAKHAFPGSVVPEVFHHRSWASVRIMSSDQRAELLKRIVMDDQSKTLSYKDCEKIAKDLNLTLQQVLRVYYDKHHRRLNRFQGVKNASEECQLPQKIQPSSSKKRKKPLGSSSTKRGRGDNINAQLDRQRLSKLPDAVDQFTVEKDLSSSEHEHLPELQDDDHLDILEGPGLSEDEECPSVINHCAFSKMKPTRRSRFPWTDEADRQLVIQYARHRAVLGPKFHRVDWNALPDLPAEPGICSRRMSSLFRQNTKFRPAVMKLCTMLGERYAKHLERTQNRFLNKNDCRGLLRCSASEGLHGKFSNAVECDEEAGCEEACWDDFKEKSIRKALEDVFHYKQVSKLDISKRVGSGSEEWCDLNTNVERHNRMESETVLSNTPKKDMQKLGIGKHKDSAQRSRQYHLHQKFTKLLDEGTSVRRQVHKSLAISNAVELLKLVFLSTSTAPELQNLLAETLRRYSEHDLFAAFSYLRVKKILIGGSGGQPYVLSQQFLTSVSKSPFPSNAGKRAAKLSSWLHEREKDLVEGGVDLTADLQCGDIFQLFAQVSSGELSISPCMPVEGVGEAEDLRSLKHKNKEDEFCDCDRGKKLKSLADSELFSRREKGFPGIVVSLHRAAMQTINSLDLLKDGETCSGELRWNDMLNSGLGQEISWSTSCHNNGQEILNFGSTIPTAAWPSKAPWEAMTCYLEYLVPKPYDRNQMNPDVFRTIYAAIQKAGDQGLSMEEISQVTGENMHIQIIDVLQTFGRVLKVNAYESVRVVDALYRSKYFLTSVAGSRQDLTAHSVTKSLESIDDGHLTLQPENYVVGTSSQREVVMDNHDVHKVTILNLPGEFASLNETQNSIAHESHLQENVISPEQVIDGETSSGEICMPILPWINGDGTMNKVVYNGLVRRVLGTVMQNPGITEENIILHVDVLNPQSCRNLLELMILDKHLIVRKMHQSTSSAPPALLRTLLGKRFREPKLVYREHFFANSMSAALL >Potri.003G064000.11.v4.1 pep chromosome:Pop_tri_v4:3:9140535:9150205:1 gene:Potri.003G064000.v4.1 transcript:Potri.003G064000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064000.v4.1 MGEIHDRVRVFEKQSRGEGSSRLKIKESVPVLNSVTRTQMHVGSEEKTAKWEAMRANGFVLAKMGRARLLHTFLWNHLSSLPEWNGDLSSGAYSYAYKLFELESVIDAIPIELFLQVAGSAQKYDDMIEKCKRGLRLSDLPIEEYRNLLDSRATNRLSLIIDILRRLKLIRLVRDGHSEDGVKAPHARSRHAMELKPYVEEPLSIVAVSNLRCLDLRPRIRHDFFLLNREAVDEYWKTLEYCYAAAHQIAAKHAFPGSVVPEVFHHRSWASVRIMSSDQRAELLKRIVMDDQSKTLSYKDCEKIAKDLNLTLQQVLRVYYDKHHRRLNRFQGVKNASEECQLPQKIQPSSSKKRKKPLGSSSTKRGRGDNINAQLDRQRLSKLPDAVDQFTVEKDLSSSEHEHLPELQDDDHLDILEGPGLSEDEECPSVINHCAFSKMKPTRRSRFPWTDEADRQLVIQYARHRAVLGPKFHRVDWNALPDLPAEPGICSRRMSSLFRQNTKFRPAVMKLCTMLGERYAKHLERTQNRFLNKNDCRGLLRCSASEGLHGKFSNAVECDEEAGCEEACWDDFKEKSIRKALEDVFHYKQNRMESETVLSNTPKKDMQKLGIGKHKDSAQRSRQYHLHQKFTKLLDEGTSVRRQVHKSLAISNAVELLKLVFLSTSTAPELQNLLAETLRRYSEHDLFAAFSYLRVKKILIGGSGGQPYVLSQQFLTSVSKSPFPSNAGKRAAKLSSWLHEREKDLVEGGVDLTADLQCGDIFQLFAQVSSGELSISPCMPVEGVGEAEDLRSLKHKNKEDEFCDCDRGKKLKSLADSELFSRREKGFPGIVVSLHRAAMQTINSLDLLKDGETCSGELRWNDMLNSGLGQEISWSTSCHNNGQEILNFGSTIPTAAWPSKAPWEAMTCYLEYLVPKPYDRNQMNPDVFRTIYAAIQKAGDQGLSMEEISQVTGENMHIQIIDVLQTFGRVLKVNAYESVRVVDALYRSKYFLTSVAGSRQDLTAHSVTKSLESIDDGHLTLQPENYVVGTSSQREVVMDNHDVHKVTILNLPGEFASLNETQNSIAHESHLQENVISPEQVIDGETSSGEICMPILPWINGDGTMNKVVYNGLVRRVLGTVMQNPGITEENIILHVDVLNPQSCRNLLELMILDKHLIVRKMHQSTSSAPPALLRTLLGKRFREPKLVYREHFFANSMSAALL >Potri.003G064000.10.v4.1 pep chromosome:Pop_tri_v4:3:9139799:9150166:1 gene:Potri.003G064000.v4.1 transcript:Potri.003G064000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064000.v4.1 MQLCPGNVPDSLNRPRSTASNAEIYIESMQMEPDGASSVKTAPTLLTPHHSGSSQTYPHMPLTADSAFREKKILEWLQDKIFILKPEIHKWLKSLEDKGTTIDRKTVDRILYKLERQGHCKLQHINVPAVTNCARDRTILVVLHPSVQGFPPELMGEIHDRVRVFEKQSRGEGSSRLKIKESVPVLNSVTRTQMHVGSEEKTAKWEAMRANGFVLAKMGRARLLHTFLWNHLSSLPEWNGDLSSGAYSYAYKLFELESVIDAIPIELFLQVAGSAQKYDDMIEKCKRGLRLSDLPIEEYRNLLDSRATNRLSLIIDILRRLKLIRLVRDGHSEDGVKAPHARSRHAMELKPYVEEPLSIVAVSNLRCLDLRPRIRHDFFLLNREAVDEYWKTLEYCYAAAHQIAAKHAFPGSVVPEVFHHRSWASVRIMSSDQRAELLKRIVMDDQSKTLSYKDCEKIAKDLNLTLQQVLRVYYDKHHRRLNRFQGVKNASEECQLPQKIQPSSSKKRKKPLGSSSTKRGRGDNINAQLDRQRLSKLPDAVDQFTVEKDLSSSEHEHLPELQDDDHLDILEGPGLSEDEECPSVINHCAFSKMKPTRRSRFPWTDEADRQLVIQYARHRAVLGPKFHRVDWNALPDLPAEPGICSRRMSSLFRQNTKFRPAVMKLCTMLGERYAKHLERTQNRFLNKNDCRGLLRCSASEGLHGKFSNAVECDEEAGCEEACWDDFKEKSIRKALEDVFHYKQVSKLDISKRVGSGSEEWCDLNTNVERHNRMESETVLSNTPKKDMQKLGIGKHKDSAQRSRQYHLHQKFTKLLDEGTSVRRQVHKSLAISNAVELLKLVFLSTSTAPELQNLLAETLRRYSEHDLFAAFSYLRVKKILIGGSGGQPYVLSQQFLTSVSKSPFPSNAGKRAAKLSSWLHEREKDLVEGGVDLTADLQCGDIFQLFAQVSSGELSISPCMPVEGVGEAEDLRSLKHKNKEDEFCDCDRGKKLKSLADSELFSRREKGFPGIVVSLHRAAMQTINSLDLLKDGETCSGELRWNDMLNSGLGQEISWSTSCHNNGQEILNFGSTIPTAAWPSKAPWEAMTCYLEYLVPKPYDRNQMNPDVFRTIYAAIQKAGDQGLSMEEISQVTGENMHIQIIDVLQTFGRVLKVNAYESVRVVDALYRSKYFLTSVAGSRQDLTAHSVTKSLESIDDGHLTLQPENYVVGTSSQREVVMDNHDVHKVTILNLPGEFASLNETQNSIAHESHLQENVISPEQVIDGETSSGEICMPILPWINGDGTMNKVVYNGLVRRVLGTVMQNPGITEENIILHVDVLNPQSCRNLLELMILDKHLIVRKMHQSTSSAPPALLRTLLGKRFREPKLVYREHFFANSMSAALL >Potri.008G006000.1.v4.1 pep chromosome:Pop_tri_v4:8:278406:282916:1 gene:Potri.008G006000.v4.1 transcript:Potri.008G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006000.v4.1 MGSRYPSHRLGNGLFVSGRPEQPKEKAPTMSSTAMPYTGGDIKKSGELGKMFDIPTDGSKSRKSGPITGPPSRTGSFGGAASFSGSVMSNAALRAGYTTSGPLSSGGLPGSASLKKSSSGPLNKHGEPVKKSSGPQSGGATRQNSGSIPSVLPATGLITSGPISSGPLNSFGAPKKVSGPLESTGSMKLHSSSISNNPAVTTLSQDDDYSVRRNFPKTVVWLVILIFVMGFLAGGFILGAVHNAILLIVVVVLFVIVAGLVVWNTCWGRRYIMEFTARYPDTDLRAAKNGQYVKVSGVVTCGNVPLESSFQRVPRCVYTSTRLYEYRAWGSKPASPGHRHFTWGLRSSERHVVDFYISDFQSGLRALVKTGSGTRIIPFVDDSLVVDINPEKKDLSPEFVRWLGKKNLSSDERLMRLKEGCIKEGSTVSVMGIVQRNDNMLMIVAPPEPLATGWQWSRCIFPASLDGIVLRCEDTSNDDVIPV >Potri.017G104601.1.v4.1 pep chromosome:Pop_tri_v4:17:11478872:11479703:-1 gene:Potri.017G104601.v4.1 transcript:Potri.017G104601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G104601.v4.1 MAHGVLFLFHGFLRCLPLFFLLLLKTDACLSSFRLLQVRSLIRLYQTWQQALQGLLIFRNSERPRRRHPAQ >Potri.010G162200.2.v4.1 pep chromosome:Pop_tri_v4:10:16737528:16740888:1 gene:Potri.010G162200.v4.1 transcript:Potri.010G162200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162200.v4.1 MDKRNSKIAAVLCLAFFLYFFILHTIHSRSNVPYLSKDIPGIEVFNRNNVFQKDPTPPSPQSPLPRPNQINCDRSHRFYDLCTINGPTVLDPVNSTLYLSVPTNSTTVEKIRPYPRKWEKPIMAGIQEFTLISNSKSPLCQAQHKLPAIVFSAAGYTGNFFHDFNDGFIPLFITVNSVFPDNQDFILVISQAQNWWISKYGDLLHTYSKHPVIIPENETSTHCFPSATLGLISHGFMTIDPKLMPNSQALTHFHAFLDKAYNHGQNHPWKSNPPKPRARLVLATRNGGVGRVISNQNEVKHLAEEIGFDVIIFEPIPQTPLQQAYALINSSHAMVGVHGAGLTHSLFLRPGVVFMQVVPIGADWLAEVCFANSARAMGLEYLEYRIGAEESSLIDKYGKNSLLIKDPATFRGQNWSSATMDIYLKEQNVKIDLIRFREYLKEAYKKAKEFMEKEGAS >Potri.005G008732.1.v4.1 pep chromosome:Pop_tri_v4:5:742389:742909:-1 gene:Potri.005G008732.v4.1 transcript:Potri.005G008732.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008732.v4.1 MAMIAVQVVLEKLASFVAEETRFLGGVSGGIVELQDDLYSMKSFLQDAEERSESDQGLRAWVKQVRDVAYDAENILEEFMLRFAPSHESGFIHYLRNSYRSIRKLSARHRLAVHLESIKARLKAIC >Potri.002G190900.1.v4.1 pep chromosome:Pop_tri_v4:2:15297636:15298245:1 gene:Potri.002G190900.v4.1 transcript:Potri.002G190900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190900.v4.1 MKRKYSMEGERREGNMVVDEEEEKINAFYTLVRNIRDVHNQMLSGSKGKGKAEEKEMKPTWTPSFTWEDFAEEDHHEQFRGNFEMLPPPPPSSSKNIDEGQQPTTDQKRQDQDLDLNLSL >Potri.002G220500.3.v4.1 pep chromosome:Pop_tri_v4:2:20050029:20051256:1 gene:Potri.002G220500.v4.1 transcript:Potri.002G220500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220500.v4.1 MNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDESNGEIRSDPNLSFEHPYPPTKTIFIPDKECQKPDLLATSSDFLRVWRINDEQPRVELKSLLNGNKNSEFCGPLTSFDWNEAEPRRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHHASVNAVAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Potri.002G220500.2.v4.1 pep chromosome:Pop_tri_v4:2:20049910:20051100:1 gene:Potri.002G220500.v4.1 transcript:Potri.002G220500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G220500.v4.1 MGGSSDPNQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAIASLLEQYPNRVEIVQLDESNGEIRSDPNLSFEHPYPPTKTIFIPDKECQKPDLLATSSDFLRVWRINDEQPRVELKSLLNGNKNSEFCGPLTSFDWNEAEPRRIGTSSIDTTCTIWDIERETVDTQLIAHDKEVYDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPTLPVVELQRHHASVNAVAWAPHSSCHICTAGDDSQALIWDLSSMGQPVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV >Potri.004G046401.1.v4.1 pep chromosome:Pop_tri_v4:4:3658485:3658853:1 gene:Potri.004G046401.v4.1 transcript:Potri.004G046401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046401.v4.1 MSDDYKAELKRNYCVFILDKDSISNIETKGQQHVGVNSPIYLSITINDSFPYKENKKRSYLEDFPYCFLSFLFQDFLTLKGDSVKTGSYDRVNLPGQTWSYNHDLIGRSSFSPKTLSYDMKY >Potri.006G010900.1.v4.1 pep chromosome:Pop_tri_v4:6:710076:712852:1 gene:Potri.006G010900.v4.1 transcript:Potri.006G010900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010900.v4.1 MDVDGLSVRVVALWNDWQLRSMILLSLWLQIFLTIAGGRRKYTAGIWLGTLVWLAYTSADPLATLSLGTITRNQGGSNCNTKNESITPAFWSSMLLVHLGGPYTFTAYAVEDNELWSRHVLQLLTQLSMSFYASLRSWWSMDPLRYLAIPIFIAGIMKYGERVLVLWLASSNKFRESANEERKLLREQLDNKIPRDDFDNMDATRCDKVLGFDRIRPEAKHLHEAHFLFRILKLLYGDYLVTYPTHMSSYNILKRKSAAKAFELIGVELGFMFDVLFTKAMTGVGWRPRLFLRSINFLLSVSALLAFWIMARNSKAYSEIDITISYLLLGGAVVLDIYSVIWMLLSDWTMLWLSKKREPLAESICQFIYSSRWLSSLIHKKRWKESMNQHAIHKHIEQSVPMGNLISKGHNFRRWKEDVDCYLKELIFERLLDMRSRYSRQDDQRMILAERGDHALRRNGCSEKFRWSVVDIDFHESFLLWHIATWFCHVGHTESPHVKVSRSLASYMLYLRSDMPFMLPKEMGEAKYMSTSVEATFQVEEGQTEGSVLSDGRNLASALDLLETEDGWSDETKWEMISQVWVEMLTFAAIHCGWKEHAKALSQGGELLTFVAVLMSHLGISEQCIYK >Potri.002G238900.1.v4.1 pep chromosome:Pop_tri_v4:2:23157091:23157911:-1 gene:Potri.002G238900.v4.1 transcript:Potri.002G238900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238900.v4.1 MLRLICYLSFLVISITTVYSDQEKSIYDVLKAHGLPIGLLPKGVKEFKIDETGRFEVHLDQACNAKFESELHYDMNVTGSLSYGQIGALSGISSQELFLWFPVKGIRVDVPSSGLIYFDVGVVFKQFSLSLFEMPRDCVAVRNEEIVALRHRKFIADTVAKSQPGKVGYELEQENFGRDFL >Potri.017G052400.1.v4.1 pep chromosome:Pop_tri_v4:17:3873259:3874990:-1 gene:Potri.017G052400.v4.1 transcript:Potri.017G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052400.v4.1 MGSIPKSTKAHAVCVPYPAQGHITPMLKVAKLLHHKGFHITFVNSEYNHRRLLKSRGRNSLDVLPDFQFETIPDGLGDQLDADVTQDTSFLCDSTSKACLDPFRQLLAKLNSSNVVPPVTCIVADNGMSFALDVKEELQIPVVTFLTSSACGTLAYAHYKHLVERGYTPLKEESDLTNGYLETKIDWIPGMKDIRLKDLPTFIRTTDRNDVMLNFVIRVIDRASKASAALVNTFDDLDHDVLVALSSMFPPIYSVGPLNLLLDQTQNDYLASIGSSLWKEETECLQWLDSKDPNSVVYVNFGSITVMNPQQLLEFSWGLANSKKNFLWIIRPDLVRGESAVLPPEFLEETRERGLMASWCAQEKVLKHSSIGGFLSHMGWNSTIESMSNGVPMLCWPFFSEQQTNCKFACVDWGVGMEIESDANRDEVEKLVIELIDGEKGKEMKRKAMEWKSKAEATTGINGSSSMNFDKLVNDVLRFQKP >Potri.005G011350.1.v4.1 pep chromosome:Pop_tri_v4:5:943006:943878:1 gene:Potri.005G011350.v4.1 transcript:Potri.005G011350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011350.v4.1 MWFPVLCFLPGIRLLVGHGRDFWVNMEMYEGLELKVTTSMTVLLNNAYWKMKAEMRKCMT >Potri.007G030500.1.v4.1 pep chromosome:Pop_tri_v4:7:2324065:2326058:-1 gene:Potri.007G030500.v4.1 transcript:Potri.007G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G030500.v4.1 MENTTDSKPHLVLLASLGIGHLIPILELGKRLFTHHNFDITIFVVVSHSSAAESQVLQSAMTPKLCEIVELPPVNISRLVSPDAAVATQICVTMREIKPALRSAISALSFRPAALIVDLFGSQAMMVADEFEMPKYVYIPSNAWFLALTIYMPILDEVVQGEYLDQKEPLKIPGCKAVQPEDVVDPMLDRTDQQYLEYVRMGMEIPKCDGILLNIWEDLEPKTLEALRDEELLGQLCKVPVYPVGPLTRPLKPLDSRSGELFLWLDKQPSESVIYVSFGSGGTLSLEQMVELAWGLELSQQRFIWVGRSPSRKTGDGSFFTAGSCEANSMASCFPEGFLDRIQEVGLVIQDWAPQVDILNHPSVGGFISHCGWNSTLESITNGVPMIAWPLYSEQRMNAALLTEELGVAVRPNILASDGMVGREEIEMMIRKITVDKEATNIRNRVKKLKYRAAETLRKGGSSYNALSLVAKECELSWKSMEVKAS >Potri.007G028900.2.v4.1 pep chromosome:Pop_tri_v4:7:2212546:2215923:1 gene:Potri.007G028900.v4.1 transcript:Potri.007G028900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028900.v4.1 MPSGVLLKLSFGLGRAILGRNSVSLVSNTSQFSSRRSLVRTSMAASSSKAVFGDVHIDDLIATCVNGLEFPKPSGVFFSDRSRSSCLKASVKMRNGELPKSRLVCGNLMLDAIRRNGKANNLVLGPLLKNLYSSSSVCVFGRAAQDVSFDGNSSEEQSVDSTVVSGLNLKLLSGSCYLPHPDKEETGGEDAHFICKDEQVIGIADGVGGWADVGVNAGEFSRELMSHSVNAIQEEPNGSIDPARVLEKAHANMKAKGSSTACIIALKSEGLHAINLGDSGFMVVRDGCTVFESPVQQHGFNFTYQLETGNGGDLPSSGQVFTIPVAPGDVIIAGTDGLFDNLYNNEVTAVVVHAIRTGLGPEATAQKIAALARQRALDTNRQTPFSTAAQDAGYRYYGGKLDDVTVVVSYVTSSANI >Potri.007G028900.3.v4.1 pep chromosome:Pop_tri_v4:7:2212623:2215918:1 gene:Potri.007G028900.v4.1 transcript:Potri.007G028900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028900.v4.1 MPSGVLLKLSFGLGRAILGRNSVSLVSNTSQFSSRRSLVRTSMAASSSKAVFGDVHIDDLIATCVNGLEFPKPSGVFFSDRSRSSCLKASVKMRNGELPKSRLVCGNLMLDAIRRNGKANNLVLGPLLKNLYSSSSVCVFGRAAQDVSFDGNSSEEQSVDSTVVSGLNLKLLSGSCYLPHPDKEETGGEDAHFICKDEQVIGIADGVGGWADVGVNAGEFSRELMSHSVNAIQEEPNGSIDPARVLEKAHANMKAKGSSTACIIALKSEGLHAINLGDSGFMVVRDGCTVFESPVQQHGFNFTYQLETGNGGDLPSSGQVFTIPVAPGDVIIAGTDGLFDNLYNNEVTAVVVHAIRTGLGPEATAQKIAALARQRALDTNRQTPFSTAAQDAGYRYYGGKLDDVTVVVSYVTSSANI >Potri.007G028900.1.v4.1 pep chromosome:Pop_tri_v4:7:2212541:2215925:1 gene:Potri.007G028900.v4.1 transcript:Potri.007G028900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028900.v4.1 MPSGVLLKLSFGLGRAILGRNSVSLVSNTSQFSSRRSLVRTSMAASSSKAVFGDVHIDDLIATCVNGLEFPKPSGVFFSDRSRSSCLKASVKMRNGELPKSRLVCGNLMLDAIRRNGKANNLVLGPLLKNLYSSSSVCVFGRAAQDVSFDGNSSEEQSVDSTVVSGLNLKLLSGSCYLPHPDKEETGGEDAHFICKDEQVIGIADGVGGWADVGVNAGEFSRELMSHSVNAIQEEPNGSIDPARVLEKAHANMKAKGSSTACIIALKSEGLHAINLGDSGFMVVRDGCTVFESPVQQHGFNFTYQLETGNGGDLPSSGQVFTIPVAPGDVIIAGTDGLFDNLYNNEVTAVVVHAIRTGLGPEATAQKIAALARQRALDTNRQTPFSTAAQDAGYRYYGGKLDDVTVVVSYVTSSANI >Potri.007G028900.4.v4.1 pep chromosome:Pop_tri_v4:7:2212543:2215915:1 gene:Potri.007G028900.v4.1 transcript:Potri.007G028900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028900.v4.1 MPSGVLLKLSFGLGRAILGRNSVSLVSNTSQFSSRRSLVRTSMAASSSKAVFGDVHIDDLIATCVNGLEFPKPSGVFFSDRSRSSCLKASVKMRNGELPKSRLVCGNLMLDAIRRNGKANNLVLGPLLKNLYSSSSVCVFGRAAQDVSFDGNSSEEQSVDSTVVSGLNLKLLSGSCYLPHPDKEETGGEDAHFICKDEQVIGIADGVGGWADVGVNAGEFSRELMSHSVNAIQEEPNGSIDPARVLEKAHANMKAKGSSTACIIALKSEGLHAINLGDSGFMVVRDGCTVFESPVQQHGFNFTYQLETGNGGDLPSSGQVFTIPVAPGDVIIAGTDGLFDNLYNNEVTAVVVHAIRTGLGPEATAQKIAALARQRALDTNRQTPFSTAAQDAGYRYYGGKLDDVTVVVSYVTSSANI >Potri.003G160100.1.v4.1 pep chromosome:Pop_tri_v4:3:17036431:17039077:1 gene:Potri.003G160100.v4.1 transcript:Potri.003G160100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G160100.v4.1 MDVLSLTRMPSFLKPEIPNCTSQCKRSLGHSSHVRVSTRFHRQLALTHKVLDEIPLSDTFAWNNLIHTHLSNRDPGGALSIYHHMMMRGACPDRRTLPRVLTASRICGDLFLGKQLHGQAIKLGFFDEHYVITALIEIYGRLDGIEAGKWLFDKSPRRNSVAWTMILKLYLMENKPDLAINVFYQMVELNARIDSVVLITAAGACGLLKSVEHGRRVHDVARKFRLESDILVSNSLLKMQIDCQRMEDARGFFNQMTTKDVISWTEIICGYVKKGEFNEALKLFRKMNMDGIKPDSLSVSSVLPACARTVAHKNGKEIHGYSLRNGMDNNLIVQNATTDMYAKSGLVDYALKVFERMKKRDVISWTVMILGFSLHGKGELGVELFCRMEKDQRVEADQFTYAAVLHCCTAACMVEEGKFYFNCIKEPNITHYALMVSLLARACLFDEARAFMEEHHIERHAEVLRALLDGCWMHHRRNIGKQVFEQLCDLEPLDAENYVLLSNWYSDNGKWDLVDKLRETIMSMGSKPKKAYSWIEFQNKVHVFGTGDISHPRSERIYTELQCLMKKVNAEAQRPASGFSFHGGDAERECIQIGHSEMLALSFGLICTQPGATIRITKNLRMCRGCHDSTKLVSKIVEREIIIKDPNCFHHFKDGFCSCRDFW >Potri.018G100166.1.v4.1 pep chromosome:Pop_tri_v4:18:12039734:12040823:1 gene:Potri.018G100166.v4.1 transcript:Potri.018G100166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100166.v4.1 MAPYRSLALIALLVIMSAIESESRVARKDLGVDLGGVGVGLGEGVGVGLGGSGSGSGAGSGSGSGSGSRSGSSSSSSSSSRSSSSSNGGSDAGSEAGSYAGSRAGSGSGSGGKQGGGAGSGSGSGHGEGYGEGSGRGSGSGNGEGYGEGRGQGSGYGSGSGN >Potri.004G113200.1.v4.1 pep chromosome:Pop_tri_v4:4:10381615:10388368:-1 gene:Potri.004G113200.v4.1 transcript:Potri.004G113200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113200.v4.1 MKGERKMMIKSKMKWVGLVGLVLSAFSLFVHFLLARFTEEGITDYQSSVTIFSWRPVFENPDFAKNSPSYRRLWGPVRRLESLHPDANPRGYYTDPRSESSGYVFVRIQGGFHEIRNSICDVVVISRLLNATLVIPEIQSTTRSKGISSQFKSFAYLYNEDNFMAALVKDVKVVKTLPQNLKDARRLKKIPSFRVPNSASPYFYLHHVLPVLNKHVVVELVVSDGGCLQAILPPQLEEYQRLRCRVAFHALRFRQEVQELATKMLQRLRAPGQPFIAFDPGLTRDALAYYGCAELFQDVHNELIQHKRAWMKKRGIVKGKLSVNSAEQRLNGSCPLMPEEVGILLRAYGYPWDTILYVSGGEVFGGQRTLTPLHAMFENIVDRTSLSAAWELNRIYGREVNLVDTKLRAPPSVVQEKKHEVWKNEGPRPRPLPPPPARPKYPYNIEGWWGWVAESDNEPESTVMELRTNAHKLLWEAIDYLICVEADVFIPGFDRDGKGRPNFASLVMGHRLYQSAASKTFQPNRKEVVKLLEENREHLYQANHTWLTSTRSHFRRSLIDGVIRASTESKPLSFLSHPVPECSCLRSDLTKRSLHTSSPSTWAPVEAALGVMHHCPMWMDSGIKTKLKEKEIEEDPDEDISSSSGLFFRNSGGNHESGGGELIKEESQLDDQEELEGAD >Potri.014G015200.3.v4.1 pep chromosome:Pop_tri_v4:14:898464:900398:-1 gene:Potri.014G015200.v4.1 transcript:Potri.014G015200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015200.v4.1 MKTKKRSKWNERKEKKKGEARAQEEEGEVTGNLIGTNVEESKAVSVAVLEENVNDKREEMKTKKKSKGNGRKEKKKGEARAQEEEGEVRRNLIGTNVEESKAVWVAVLEENVNDKREEMKTKKKSKGNGRKEKKKGEARAQEEEGEGEGEVRRNLIGTNVEESKALSVAVLEENVNDKREEMKTKKKSKGNGRKEKKKGEAREKEEGGLTGSGAQALLVKSEKNNVGCERKREMRVEYRAKAEKAAEENNQTVEIEKEIGDLSVERESEKSKTPNKVINGRISNRESKDYNGYANRGHWNYRGYDDGGYRGNGQYRGGYGRFNGENGRYAGGHGSGYGQWKERHNAKVWMKKEEVGDGGDMARNQSSSVSSKELE >Potri.014G015200.1.v4.1 pep chromosome:Pop_tri_v4:14:897707:900485:-1 gene:Potri.014G015200.v4.1 transcript:Potri.014G015200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G015200.v4.1 MENNIATKQANLPSNYVTLAQLQERWIKEQQRKQKEKEQQEEHDHKKLHNEVAEPGHLSPLVSVSVSPPSIQRNSNRKSHRWNLVGTNVEESKAVSIAVLEENVDEKREEMKTKKRSKWNERKEKKKGEARAQEEEGEVTGNLIGTNVEESKAVSVAVLEENVNDKREEMKTKKKSKGNGRKEKKKGEARAQEEEGEGEVRRNLIGTNVEESKALSVAVLEENVNDKREEMKTKKKSKGNGRKEKKKGEAREKEEGGLTGSGAQALLVKSEKNNVGCERKREMRVEYRAKAEKAAEENNQTVEIEKEIGDLSVERESEKSKTPNKVINGRISNRESKDYNGYANRGHWNYRGYDDGGYRGNGQYRGGYGRFNGENGRYAGGHGSGYGQWKERHNAKVWMKKEEVGDGGDMARNQSSSVSSKELE >Potri.002G207286.1.v4.1 pep chromosome:Pop_tri_v4:2:17377768:17379158:-1 gene:Potri.002G207286.v4.1 transcript:Potri.002G207286.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207286.v4.1 MAPLKLHGSVLSTNTQRVLATLYEKEVEFELVNVNLGAGEHKQEPHISLNPFGQVPAAVDGDLKLFESRAISQYVAHQYASKGTQLGAAGNGYATILVWQEVESHQFDPSASKLVWEQVFKPVFGLPTDAALVAETEVTLGKVLDVYEARLSQSKYLASDSFTLADLHHLPNIQALLGTPSKKLFDSRPHVSAWVASITGRPAWGKVLALLPK >Potri.012G056900.1.v4.1 pep chromosome:Pop_tri_v4:12:5596292:5601389:1 gene:Potri.012G056900.v4.1 transcript:Potri.012G056900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056900.v4.1 MGRKKGVAEFEESPPDDFDPSNPYKDPVVMLEMREHIVREKWIDIEKAKILRERLRWCYRIEGINHLQKCRHLVQQYLDSTRGIGWGKDQRPPCLHGPKVEATAESE >Potri.006G203300.1.v4.1 pep chromosome:Pop_tri_v4:6:21133442:21135956:1 gene:Potri.006G203300.v4.1 transcript:Potri.006G203300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203300.v4.1 MGLLSNRISKESLKPGDHIYSWRTAYIYAHHGIYIGDDKVVHFTRRGQEVGTGTVLDVLLLSSGPARSTVPCSNCTMQQDGHGVILSCLNCFLAGGILYRFEYTVSPALFLAKVRGGTCTLAVSDSNDIVVHRAKYLLENGFGCYNVFKNNCEDFAIYCKTSLLIVDQGTMGQSGQAVSIIGGPLAAVLSTPMRLVTTNIYGMAATAVGVYCASRYAADIGMRRDVVKVSAEDLTRRLATGLLQVIEPQISAAPIQATYS >Potri.019G030050.1.v4.1 pep chromosome:Pop_tri_v4:19:4241281:4243051:1 gene:Potri.019G030050.v4.1 transcript:Potri.019G030050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030050.v4.1 MAYIPPHKRHYKDVRRASPIPETLQPQFQRNMNLRASTSRKKKSGKIVDADPTIYKWFAVGLDEDGQFPPYIHLEPISFEYIESKTGEKPLVLVNSIVTEGAIQ >Potri.019G068500.1.v4.1 pep chromosome:Pop_tri_v4:19:10918080:10921416:-1 gene:Potri.019G068500.v4.1 transcript:Potri.019G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068500.v4.1 MAAMSTRKFLTLNPLSSSPLKQSLLFSSSLSYSTKSSAFGARNKNTATEKLSDIDDNAENDSVPTSGISRPLSEILKELNKKVPDTLVSQRVDNGFSIKYVPWHLVNRIMNLHAPEWSGEVRNITYSPDSKSVSVVYRVTLYGTDAELYRESTGTAALAEIGFGDPVQKAEAMAFRRACARFGLGLHLYHEDMC >Potri.002G243500.4.v4.1 pep chromosome:Pop_tri_v4:2:23539168:23544428:-1 gene:Potri.002G243500.v4.1 transcript:Potri.002G243500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243500.v4.1 MASQDHHTHDHHHHDHDHHHHQHDHTHDEKTSSRVGPDGRVYHSHDGLAPHSHEPIYSPGFFSRRAQPILTRDFNERAFTVGIGGPVGTGKTALMLSLCKLLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLVVMERDALRMRDGGPFVFAQVKHGLGIEEIVNHILQGWEVATGKKRH >Potri.002G243500.1.v4.1 pep chromosome:Pop_tri_v4:2:23539163:23544522:-1 gene:Potri.002G243500.v4.1 transcript:Potri.002G243500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G243500.v4.1 MASQDHHTHDHHHHDHDHHHHQHDHTHDEKTSSRVGPDGRVYHSHDGLAPHSHEPIYSPGFFSRRAQPILTRDFNERAFTVGIGGPVGTGKTALMLSLCKLLRDKYSLAAVTNDIFTKEDGEFLIKHGALPEERIRAVETGGCPHAAIREDISINLGPLEELSNLFKADLLLCESGGDNLAANFSRELADYIIYIIDVSGGDKIPRKGGPGITQADLLVINKTDLAPAVGADLVVMERDALRMRDGGPFVFAQVKHGLGIEEIVNHILQGWEVATGKKRH >Potri.002G051500.3.v4.1 pep chromosome:Pop_tri_v4:2:3466389:3471149:1 gene:Potri.002G051500.v4.1 transcript:Potri.002G051500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051500.v4.1 MGRLSSTVEISDRRYIPDRQNSRDTPEFDSPDYRRHRERRSPSYQNYDDRHREIDRGRRRRSSSPEYSRSPRRRTTRRSPSPRYKETLDHSLPKKFGKGRSFLDRNGRESDEESDEDLKGLSFEEMRRLKRQKMRKSMRYCIWNITPSPPRRDDEEELVEKADEIEKYREDEVKSEDSSGKEKEKAKSESENSGSGESESKSEFESDDSREKRERRKSSSKRRRRNSDSKSDVSGSESESESDTEEDRKRGKKSRKSISRRIKSSKSSRRRKSRRKKSKYSDSDDSGGEESDSDDDRVKSKKRRRSSGSRSKGSKKKRGSETESENLDSLENSGSEKNKANDDEANKAEVDVEALMFKEIIEAQKKPALENEPVVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFETLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPSHDPFAAKASDGADA >Potri.002G051500.2.v4.1 pep chromosome:Pop_tri_v4:2:3466360:3471861:1 gene:Potri.002G051500.v4.1 transcript:Potri.002G051500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G051500.v4.1 MGRLSSTVEISDRRYIPDRQNSRDTPEFDSPDYRRHRERRSPSYQNYDDRHREIDRGRRRRSSSPEYSRSPRRRTTRRSPSPRYKETLDHSLPKKFGKGRSFLDRNGRESDEESDEDLKGLSFEEMRRLKRQKMRKSMRYCIWNITPSPPRRDDEEELVEKADEIEKYREDEVKSEDSSGKEKEKAKSESENSGSGESESKSEFESDDSREKRERRKSSSKRRRRNSDSKSDVSGSESESESDTEEDRKRGKKSRKSISRRIKSSKSSRRRKSRRKKSKYSDSDDSGGEESDSDDDRVKSKKRRRSSGSRSKGSKKKRGSETESENLDSLENSGSEKNKANDDEANKAEVDVEALMFKEIIEAQKKPALENEPVVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFETLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGQDVGPSHDPFAAKASDGADA >Potri.018G088062.1.v4.1 pep chromosome:Pop_tri_v4:18:10595795:10599295:1 gene:Potri.018G088062.v4.1 transcript:Potri.018G088062.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088062.v4.1 MSEITPIVLLIHFLTLFLFLHANSQFHDQAVLLRMKQHWQNPLSLEQWTPSNSSHCTWPGVVCTDNYITQLILDNKNISGTIPPFLSDLKNLTFLNFSNNNIIGKFPVAVHNLSKLEILDLSQNYIVGTIPDDIDCLARLSYLNLCVNNFTGSIPAAIGRIPELRTLYLHDNLFDGTFPPEIGNLSKLEELYMAHNGFSPSRLHSSFTQLKKLKMLWISGANLIGEIPQMIGEMVALEHLDLSSNKLTGNIPGSLFMLMNLRVLWLYKNKLSGEIPRAVEALNLTSVDLSENNLTGTIPVDFGKLDKLSGLSLFSNQLSGEIPEGIGRLPALKDFKLFSNNLSGSIPPDLGRYSALERFEVCSNRLTGNLPEYLCHGGSLRGVVAFDNKLGGELPKSLENCSSLVIVSISNNAFFGNIPVGLWTALNLQLLMISDNLFTGELPNEVSTSLSRLEISNNKFSGSISIQGSSWRNLVVFNASNNQFTGTIPLELITALPNLTVLLLDKNHLTGALPPNIISWKSLNILNLSQNQLSGQIPEKFGFLTNLVKLDLSDNQFSGKIPPQLGSLRLVFLNLSSNNLTGQIPTENENVAYATSFLNNPGLCTRSSLYLKVCNSRPHKSSKTSTQFLALILSTLFGAFLLALLFAFITIRVHWKRNHRLDSEWKFINFHKLNFTESNIVSGLKESNLIGSGGSGKVYRVVANGFGDVAVKRISNNRNSDQKFEKEFLAEIEILGTIRHLNIVKLLCCISNDNSKLLVYEYMEKRGLDQWLHSERKAKGASASVNHVAVDWSKRLQIAVGAAQGLCYMHHDCSPPIVHRDVKSSNILLDSEFNAKIADFGLARMLVRQGELATVSAVAGSLGYIAPEYARTVRVNEKIDVYSFGVVLLELTTGKAANYGDEDTCLAEWAWRHMQEGKPIVDVLDEEIKEPCYVDEMRDVFKLGVFCTSMLPSERPNMKDVVQILLGRNRRWVCGRKNMRHA >Potri.008G202100.1.v4.1 pep chromosome:Pop_tri_v4:8:14421372:14427545:-1 gene:Potri.008G202100.v4.1 transcript:Potri.008G202100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202100.v4.1 MQLINAVMATRMLTVLAQSNGEDGIPFGSVSWFVYAGISCFLVIFAGIMSGLTLGLMSLGLVDLEILQRSGTSTEKKQAAAILPVVQKQHQLLVTLLLCNAIAMEALPIYLDKLFNQYVAIILSVTFVLAFGEVIPQAICTRYGLAVGANFVWLVRILMITCYPVAYPIGKVLDCVLGHNEALFRRAQLKALVSIHSQEAGKGGELTHDETTIISGALDLTEKTAEEAMTPIESTFSLDVNSKLDWEAMGKILARGHSRVPVYSGNPKNIIGLLLVKSLLTVRPETETPVSAVSIRRIPRVPSDMPLYDILNEFQKGSSHMAAVVKAKGKSKDLPPAIDGEEQEGSKVTGRDSQLTTPLLSKQDEKSDSVVVDIDRVSRSSRHPSSQRNDTSTNGLPQLSEDIEDGEVIGIITLEDVFEELLQEEIVDETDEYVDVHKRIRVAAAAAASSVARAPSSRRLTANKGAGGQIKSGQTLKKSENDSSATRLQGTAGEPFSWKQ >Potri.008G135200.1.v4.1 pep chromosome:Pop_tri_v4:8:8997951:9001630:-1 gene:Potri.008G135200.v4.1 transcript:Potri.008G135200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G135200.v4.1 MSKPQEEKYEEEEELQSSGKRLKCAKSFKEKGEEEEEEEEEEELEREVSLMEDTRGGLFFYPTNPTSFVVSDALELDFPIIYVNKVFQNFTGYQAHEVLGHNCRFLQYRDPHAQRRHPLVDPDVVSEIRRCLEEGVEFQGELLNFRKDGTPLVNRLRLVPIHDDDGAITHIIAIQVFSEAKIDLNHVSYPVFKETCNQLSDQSANYSLPRGQSTFTGHPEICGILQLSDEVLAHNILSRLTPRDVASIASVCRRIRQLTKNEHVRKMVCQNAWGREVTGALELMTKKLGWGRLARELTTLEAVRWRKLTVGGAVEPSRCNFSACAVGNRLVLFGGEGVNMQPMDDTFVLNLDAANPEWQRISVKSSPPGRWGHTLSCLNGSCLVVFGGCGRQGLLNDVFVLDLDAKQPTWKEVSGGTPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTYMLDLTTDKPMWREIRTSWAPPSRLGHSLSVYDRTKILMFGGLANSGHLRLRSGEAYTIDLEDEEPQWRQLECSALTGIGSQSSDVPPPRLDHVAVSMPCGRILIFGGSIAGLHSPSQLFLLDPAEEKPSWRILNVPGQPPKFAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSEP >Potri.018G033200.1.v4.1 pep chromosome:Pop_tri_v4:18:2490479:2493004:1 gene:Potri.018G033200.v4.1 transcript:Potri.018G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G033200.v4.1 MASGKEQEQQGFTQAKCVKVHGPIIVGAGPSGLAAAACLSQQGVPSLILEKSDCIASLWQQRTYDRLKLHLPKQFCELPLLGFPANFPKYPTKSQFISYMESYASHFNIHPKFNQAVKKAEYDRVKGIWRVQTEHLEYHSRWLIAATGENAEPVIPEIAGCGKFKGAILHTSEYNSGSKFKNQRVLVIGCGNSGMEVSLDLCRHNAIPHMVVRNTVHVLPREMFGMSTFGVAMALLKWLPLRLVDKLLLLGANLTLGNTDQLGLKRPKTGPIELKNVTGKTPVLDVGALSQIKSGKIKVMEGVKEITKNGVKFMDGQEREFESIILATGYKSNVPTWLKGCDFFTKDGMPKTPFPKGWKGGNGLYTVGFTRRGLLGTASDAVKIAHDIAGEWKAAKDSIRSCNSHVIIHLDHDGAK >Potri.010G083800.1.v4.1 pep chromosome:Pop_tri_v4:10:11116287:11118329:1 gene:Potri.010G083800.v4.1 transcript:Potri.010G083800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083800.v4.1 MLSSASQRKMQPKLQMLKLYRKSLSPKPLLANYLLPKLLCTLTELTLACDSPNSPQPRPSILSTWLCNDQKPKVGKPTNGHFVIPSHANCDKYPNIESHKNIASLSLLSEASDSDAHKVGEILKKHYLSEDAVVEALNESGISATHDLVSQLLKRFSNQWLIALGVFIWAKNQTGYVHKPEIYNSMIDILGKSRKFSLMWDLVQEMSGLNGYVSLVTMGKVMKRLVRDGKYNEAIDAFRGLEKFGLSKSTEAMNVLMDILARNGRVEDARAVALEFEGCLTLDYRSYNILSSGYCKARMFGDARKTMEEMEERGFHPNVVSYTAFIEAYGEQKDFRNVEIILNEMQEKGCPPDLITYTVYIRALGKARQINKALEICEEIKRNGFVLGTQFYCSLIHSLCLSGRLKDAWNIFEDVEKQGVGRDLGMYKAMISAACALSQDESALKLLHKMEEDSCKPDVQTYAPLLKMCCRKKSMKMLKFLLNHMFENDVSIDIVTYDLLVHGLRKQGKLKYACFFFQEAVLKGMVPCDKTYKILLEELERKNMAEMKGKIEKLMLRAKELNRI >Potri.009G030700.4.v4.1 pep chromosome:Pop_tri_v4:9:4172877:4177856:1 gene:Potri.009G030700.v4.1 transcript:Potri.009G030700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030700.v4.1 MGRQLERQVSGVGFEDYHPGCMWGILQILDYHYWHSPKKSPLRRKHGRCCQNPKTISFDHYTNEVQEYLSAEAVPLLVEQQTTKTSSPNRIARKAQIQALIAKEKFSRTRSQKADPVHQLEASENSVATINSDWRNPIIILHKSADTAASRFQVPSVSKTTEELVADNSTYHLPCTVNASDCSKQNQLSDEDAFSLENCDNSLNLSHAKRLNREISCPQFKERVDFMDILKANEKLLEILQDPGVQNTHVQQTSKAKVRLRRSGSFPAADCSHITFVRPSTIEHKQKEIWSFPKGVKPSIGNPAPRSTASKSLEDFYEKSIDLKVSNHGVTSIDQETQFSSLESSQGLHKYKWHLSFMSPFKGLKKKIKYALTESKRESDHESTNTSRYEVPSGYKFSTDEEEMSKKLKEITIHQDGVENPTSFQETNSFDNDFSKAQAPRIRRGSSLKESLDGYARLFEYNFSKQVKWNQYQSKSLKLSSEDKSQSSGLKSFRRRLSLPDIESIYLIPNESSSDALSSNMSTTTGMDYDANVKTDILNDLKSVSTPEVRKQFKRLDTVEETELQSNMEERAGSMDNNECSGGLMASINEGSAITSELNQDTMGPERGDQSSLSNQGIGSAITSIREHEEQSPISVLETHFRDDITCLVEFPMSEGSELHPGHICVDEPDSPVTLQDRSTGDSLEETRSSTSHANAENASTMVGARFLHLELNRPEDDADFNYLRDVLEVSGFIGPESLGTWYSLEQPLSPTLFKALEAYLHKGLESSSEDVAYNCDHLLLFDLINEELLDIYESSLAYFPKLFSFTQRVRPLPRGNNVIDEVWKRISWHRRSTSEMEQSIEDIVARDCEKGDGWMNLQLDAEDAALDLEDLIFDELVDEVMCSYTR >Potri.009G030700.2.v4.1 pep chromosome:Pop_tri_v4:9:4172877:4177998:1 gene:Potri.009G030700.v4.1 transcript:Potri.009G030700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G030700.v4.1 MGRQLERQVSGVGFEDYHPGCMWGILQILDYHYWHSPKKSPLRRKHGRCCQNPKTISFDHYTNEVQEYLSAEAVPLLVEQQTTKTSSPNRIARKAQIQALIAKEKFSRTRSQKADPVHQLEASENSVATINSDWRNPIIILHKSADTAASRFQVPSVSKTTEELVADNSTYHLPCTVNASDCSKQNQLSDEDAFSLENCDNSLNLSHAKRLNREISCPQFKERVDFMDILKANEKLLEILQDPGVQNTHVQQTSKAKVRLRRSGSFPAADCSHITFVRPSTIEHKQKEIWSFPKGVKPSIGNPAPRSTASKSLEDFYEKSIDLKVSNHGVTSIDQETQFSSLESSQGLHKYKWHLSFMSPFKGLKKKIKYALTESKRESDHESTNTSRYEVPSGYKFSTDEEEMSKKLKEITIHQDGVENPTSFQETNSFDNDFSKAQAPRIRRGSSLKESLDGYARLFEYNFSKQVKWNQYQSKSLKLSSEDKSQSSGLKSFRRRLSLPDIESIYLIPNESSSDALSSNMSTTTGMDYDANVKTDILNDLKSVSTPEVRKQFKRLDTVEETELQSNMEERAGSMDNNECSGGLMASINEGSAITSELNQDTMGPERGDQSSLSNQGIGSAITSIREHEEQSPISVLETHFRDDITCLVEFPMSEGSELHPGHICVDEPDSPVTLQDRSTGDSLEETRSSTSHANAENASTMVGARFLHLELNRPEDDADFNYLRDVLEVSGFIGPESLGTWYSLEQPLSPTLFKALEAYLHKGLESSSEDVAYNCDHLLLFDLINEELLDIYESSLAYFPKLFSFTQRVRPLPRGNNVIDEVWKRISWHRRSTSEMEQSIEDIVARDCEKGDGWMNLQLDAEDAALDLEDLIFDELVDEVMCSYTR >Potri.015G118200.1.v4.1 pep chromosome:Pop_tri_v4:15:13251166:13252283:1 gene:Potri.015G118200.v4.1 transcript:Potri.015G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118200.v4.1 MTVHPITMKPKPHKRSNKTRFQNPPPPPPLAENPSDYAFKITKTAVSQICQSVGFKSTQLSALETLTHIATLYLQTLAKTAVSYSNASNRTQSNIFDIINSLHDMSSVQGFTGGSTLHCSSGGIGLLRSGVFKDIKSFVEFSDEIPFAKPIPRGNSISLRRNSIPLEIDELDSRGLHIPRWLPRFPDETSYKNCGDRWEKRREGGLALWENSDLLSGGSGNKSQGISRENEKRSDGDGDLSVERGKVSFKIGEAMKAQKGGILISFL >Potri.001G462300.1.v4.1 pep chromosome:Pop_tri_v4:1:48812122:48813018:1 gene:Potri.001G462300.v4.1 transcript:Potri.001G462300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462300.v4.1 MDVVNSTTIGEKTVRTTFRALFLGDSERLLSITNASFPKLGLLRSDCIEMSWLESVLFWTDFPLGTPTDALLSRTPQSLVHLKRKSDYVQKPIPRDGLEGIWKKMIELQVPKLTFNPYGGKMWEIPATQRPFPHRAGNLWQIQYATNWNEGGQEEANYYIDLTRQLYSYMTPFVSKNPRQAFLNYRDLDLGINHNGKESYLEGRVYGIKYFQENFNRLVKIKTKVDPGNFFRNEQSIPTFPYRKK >Potri.018G103400.2.v4.1 pep chromosome:Pop_tri_v4:18:12322438:12325775:1 gene:Potri.018G103400.v4.1 transcript:Potri.018G103400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103400.v4.1 MGSCKFSMFSFLCLIIILFVVSGEEISPQLVKERISLLSFRSDVVLDPEGALESWNSSGIHVCNWTGVKCNNASDHVVQLDLSGLSLRGRISPVLANLSSLLVLDLSWNFFEGHIPAELGDLLQLRQLSLSWNLLGGNIPEELGFLHQLVYLDLGNNRLAGDIPAPLFCNGSSSLQYMDLSNNSLTGKIPLNNECKLGALRFLLLWSNRLVGGVPRALSNSTNLEWLDLESNMLSGELPSEIVRKMPKLQILYLSYNDFVSHDGNTNLEPFFASLVNSSNLQELELAGNNLFGEIPPIIGDLSTKCVQIHLDENILYGSIPSHISNLVNLTLLNLSSNLLNGTIPPELCRMGKLERVYLSNNSLSGEIPAALANISHLGLLDLSKNKLTGSIPDSFAYLSQLRRLFLYENQLSGTIPPSLGQCVNLEILDLSSNDISGTIPREVAGLRSLKLYLNLSRNHLHGPLPLELSKMDMVLAVDLSSNNLSGTVPPQLGSCIALEYLNLSGNVLEGLLPATIGQLPYLKELDVSSNQLSGNIPQSIEASPTLKHLNFSFNKFSGNISNKGAFSSLTIDSFLGNDGLCGQIKGMPNCRRRNAHLSFILPVLLSLFATPLLCMFAYPLVLRSRFRRKMVIFNGGDLGDEDKETKDLKHPRISYRQLIEATGGFSASSLIGSGRFGHVYKGVLQDNTRIAVKVLDSKEDGEISRSFKRECQVLKRAKHRNLIKIITTCSKPDFKALVLPLMSNGSLEGHLYPSHGLNTGLDLIQLVSICNDVAEGVAYLHHYSPVRVVHCDLKPSNILLDEDMTALVTDFGIARLIKGADDSNSTDDSMFLSSSDHGLLCGSVGYIAPEYGMGKRASTQGDVYSFGVLLLEIITGRRPTDVLFHEGSSLHEWVKSHYPHKLKPIVDQAVLRCAPSVMPVSYNKIWSDVILELIELGLVCTQNNPSTRPSMLDVANEMGSLKQYLSNPPSLVIE >Potri.007G075900.1.v4.1 pep chromosome:Pop_tri_v4:7:9993563:9995041:-1 gene:Potri.007G075900.v4.1 transcript:Potri.007G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075900.v4.1 MPTSLLIASPIYQLLIPKAAMRFTKQFQFVCLALLFILGAWPSKSTARTLLDAPMYERHEQWMTQYGRVYKDDNERATRYSIFKENVARIDAFNSQTGKSYKLGVNQFADLTNEEFKASRNRFKGHMCSPQAGPFRYENVSAVPSTVDWRKEGAVTPVKDQGQCGCCWAFSAVAAMEGINKLTTGKLISLSEQEVVDCDTKGEDQGCNGGLMDDAFKFIEQNKGLTTEANYPYKGTDGTCNTNKAAIHAAKITGFEDVPANSEAALMKAVAKQPVSVAIDAGGSDFQFYSSGIFTGSCDTQLDHGVTAVGYGVSDGSKYWLVKNSWGAQWGEEGYIRMQKDISAKEGLCGIAMQASYPTA >Potri.002G087100.1.v4.1 pep chromosome:Pop_tri_v4:2:6241208:6243083:1 gene:Potri.002G087100.v4.1 transcript:Potri.002G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087100.v4.1 MARLHVHLPLLLILLLISSGPKMMECARIFTIVNYCQETVWPGVTPGDNFNGGGFELKSGQSIVFTAPVGWSGRIWGRTGCKFDKNGSGSCKTGPCGTSLKCKASGETPASLAEFTLTTLDFYDVSLVDGFNLPIAVTPVNGKGNCSVAGCDADLRDTCPSELAVKSNGKVIACRSACDVFNTDEYCCRGVYGNPVVCQPTYYSKKFKEACPTAYSYAYDDPTSIFTCSGTDYIVSFCSSRKQPVCTYHDNKLVCSGSEGLKSLITRWWALVFAVPLLLTF >Potri.012G012600.1.v4.1 pep chromosome:Pop_tri_v4:12:1479640:1481793:1 gene:Potri.012G012600.v4.1 transcript:Potri.012G012600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012600.v4.1 MISSRAFSLTSKPFLPATCRSFGTFYGHENRNIIRSFKVARLWHKNYMLQSKEIPKCSHRLSFFISYSTDLSGSDDFPTFERKGDDVKVKVSSAYESIKTKGLSPSKWIYFRHVDDGSGDVSVKDQKVQIHYRVFDKEKKELDKTYHPKRGPEIIGPGENDICQGLYEGIVGMRKGERRIIVLPPSSDLTGDHRKSSWSHLPKFMTGKLSSYSEEHRIYDVRLVEIIG >Potri.002G072000.1.v4.1 pep chromosome:Pop_tri_v4:2:5050388:5051437:1 gene:Potri.002G072000.v4.1 transcript:Potri.002G072000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072000.v4.1 MAASNNLDFPYSPPPPSHSFQPPPSPPHVRPPPPHIRPPPPPLPPAPSPSNNTTVIVIVFVSFGGLIFLAFLAAALCFFIKKKKKKTVEETDIVHVHEHLKVKEAIVEGPHGPKAVVLEIVDDVHIGEEIKEEEKVGEGLHAKAIEGNAGTVDQLAAPSSSGSNNHSRLEHKA >Potri.017G110000.2.v4.1 pep chromosome:Pop_tri_v4:17:11779912:11782006:1 gene:Potri.017G110000.v4.1 transcript:Potri.017G110000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110000.v4.1 MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAVVKKETPEEGEATTEETKKSNHVIRKLEKRQQVRKLDPHIEEQFGSGRLLASISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAGAA >Potri.017G110000.1.v4.1 pep chromosome:Pop_tri_v4:17:11779947:11782039:1 gene:Potri.017G110000.v4.1 transcript:Potri.017G110000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110000.v4.1 MGISRDSMHKRRATGGKKKAWRKKRKYELGRQPASTKLSSNKTVRRIRVRGGNVKWRALRLDTGNYSWGSEAVTRKTRILDVVYNASNNELVRTQTLVKSAIVQVDAAPFKQWYLQHYGVDIGRKKKAVVKKETPEQEGEATTEETKKSNHVIRKLEKRQQVRKLDPHIEEQFGSGRLLASISSRPGQCGRADGYILEGKELEFYMKKIQRKKGKGAGAA >Potri.017G048600.4.v4.1 pep chromosome:Pop_tri_v4:17:3462308:3465036:-1 gene:Potri.017G048600.v4.1 transcript:Potri.017G048600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048600.v4.1 MWEHNHELVVIVFSSDHIIFFRSDLIAGEAIKPGTMKEEDVNCCQIQEWYPKFKSGSIKTVIHELPESFVEYLLDDSGPFLLPHSISGEDALPNRIHNPVDEEDFQVSEGSGDESEQPPLPPSFPELELNIKESIKTLGGAVFPKLNWSAPKDSAWISPSGSLRCTSFSEIALLLRSSDSLVHDLCHAYDTCSDKTLSRPPSFFLALRKWYSSFLPEMEFRCFVRDKQLVGISQREVTTFYPTLLEKKNDLLWLIEEFFTDNVRLKFESENYTFDVYVMKDGRAKILDFNPWGAFTLPLLFTWEELEQNLREEEDGVNFRIVENQCGIRPGLKTAVPRDYLDTSPGSGWDQFLRKADKELREQKRSPENGA >Potri.017G048600.1.v4.1 pep chromosome:Pop_tri_v4:17:3462308:3465113:-1 gene:Potri.017G048600.v4.1 transcript:Potri.017G048600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048600.v4.1 MKEEDVNCCQIQEWYPKFKSGSIKTVIHELPESFVEYLLDDSGPFLLPHSISGEDALPNRIHNPVDEEDFQVSEGSGDESEQPPLPPSFPELELNIKESIKTLGGAVFPKLNWSAPKDSAWISPSGSLRCTSFSEIALLLRSSDSLVHDLCHAYDTCSDKTLSRPPSFFLALRKWYSSFLPEMEFRCFVRDKQLVGISQREVTTFYPTLLEKKNDLLWLIEEFFTDNVRLKFESENYTFDVYVMKDGRAKILDFNPWGAFTLPLLFTWEELEQNLREEEDGVNFRIVENQCGIRPGLKTAVPRDYLDTSPGSGWDQFLRKADKELREQKRSPENGA >Potri.017G048600.5.v4.1 pep chromosome:Pop_tri_v4:17:3462308:3465112:-1 gene:Potri.017G048600.v4.1 transcript:Potri.017G048600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048600.v4.1 MWEHNHELVVIVFSSDHIIFFRSDLIAGEAIKPGTMKEEDVNCCQIQEWYPKFKSGSIKTVIHELPESFVEYLLDDSGPFLLPHSISGEDALPNRIHNPVDEEDFQVSEGSGDESEQPPLPPSFPELELNIKESIKTLGGAVFPKLNWSAPKDSAWISPSGSLRCTSFSEIALLLRSSDSLVHDLCHAYDTCSDKTLSRPPSFFLALRKWYSSFLPEMEFRCFVRDKQLVGISQREVTTFYPTLLEKKNDLLWLIEEFFTDNVRLKFESENYTFDVYVMKDGRAKILDFNPWGAFTLPLLFTWEELEQNLREEEDGVNFRIVENQCGIRPGLKTAVPRDYLDTSPGSGWDQFLRKADKELREQKRSPENGA >Potri.017G048600.3.v4.1 pep chromosome:Pop_tri_v4:17:3462308:3465113:-1 gene:Potri.017G048600.v4.1 transcript:Potri.017G048600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048600.v4.1 MWEHNHELVVIVFSSDHIIFFRSDLIAGEAIKPGTMKEEDVNCCQIQEWYPKFKSGSIKTVIHELPESFVEYLLDDSGPFLLPHSISGEDALPNRIHNPVDEEDFQVSEGSGDESEQPPLPPSFPELELNIKESIKTLGGAVFPKLNWSAPKDSAWISPSGSLRCTSFSEIALLLRSSDSLVHDLCHAYDTCSDKTLSRPPSFFLALRKWYSSFLPEMEFRCFVRDKQLVGISQREVTTFYPTLLEKKNDLLWLIEEFFTDNVRLKFESENYTFDVYVMKDGRAKILDFNPWGAFTLPLLFTWEELEQNLREEEDGVNFRIVENQCGIRPGLKTAVPRDYLDTSPGSGWDQFLRKADKELREQKRSPENGA >Potri.017G048600.7.v4.1 pep chromosome:Pop_tri_v4:17:3462308:3465074:-1 gene:Potri.017G048600.v4.1 transcript:Potri.017G048600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048600.v4.1 MKEEDVNCCQIQEWYPKFKSGSIKTVIHELPESFVEYLLDDSGPFLLPHSISGEDALPNRIHNPVDEEDFQVSEGSGDESEQPPLPPSFPELELNIKESIKTLGGAVFPKLNWSAPKDSAWISPSGSLRCTSFSEIALLLRSSDSLVHDLCHAYDTCSDKTLSRPPSFFLALRKWYSSFLPEMEFRCFVRDKQLVGISQREVTTFYPTLLEKKNDLLWLIEEFFTDNVRLKFESENYTFDVYVMKDGRAKILDFNPWGAFTLPLLFTWEELEQNLREEEDGVNFRIVENQCGIRPGLKTAVPRDYLDTSPGSGWDQFLRKADKELREQKRSPENGA >Potri.017G048600.6.v4.1 pep chromosome:Pop_tri_v4:17:3462308:3465112:-1 gene:Potri.017G048600.v4.1 transcript:Potri.017G048600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048600.v4.1 MWEHNHELVVIVFSSDHIIFFRSDLIAGEAIKPGTMKEEDVNCCQIQEWYPKFKSGSIKTVIHELPESFVEYLLDDSGPFLLPHSISGEDALPNRIHNPVDEEDFQVSEGSGDESEQPPLPPSFPELELNIKESIKTLGGAVFPKLNWSAPKDSAWISPSGSLRCTSFSEIALLLRSSDSLVHDLCHAYDTCSDKTLSRPPSFFLALRKWYSSFLPEMEFRCFVRDKQLVGISQREVTTFYPTLLEKKNDLLWLIEEFFTDNVRLKFESENYTFDVYVMKDGRAKILDFNPWGAFTLPLLFTWEELEQNLREEEDGVNFRIVENQCGIRPGLKTAVPRDYLDTSPGSGWDQFLRKADKELREQKRSPENGA >Potri.017G048600.2.v4.1 pep chromosome:Pop_tri_v4:17:3462308:3465078:-1 gene:Potri.017G048600.v4.1 transcript:Potri.017G048600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048600.v4.1 MWEHNHELVVIVFSSDHIIFFRSDLIAGEAIKPGTMKEEDVNCCQIQEWYPKFKSGSIKTVIHELPESFVEYLLDDSGPFLLPHSISGEDALPNRIHNPVDEEDFQVSEGSGDESEQPPLPPSFPELELNIKESIKTLGGAVFPKLNWSAPKDSAWISPSGSLRCTSFSEIALLLRSSDSLVHDLCHAYDTCSDKTLSRPPSFFLALRKWYSSFLPEMEFRCFVRDKQLVGISQREVTTFYPTLLEKKNDLLWLIEEFFTDNVRLKFESENYTFDVYVMKDGRAKILDFNPWGAFTLPLLFTWEELEQNLREEEDGVNFRIVENQCGIRPGLKTAVPRDYLDTSPGSGWDQFLRKADKELREQKRSPENGA >Potri.019G037700.1.v4.1 pep chromosome:Pop_tri_v4:19:5212361:5213161:1 gene:Potri.019G037700.v4.1 transcript:Potri.019G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037700.v4.1 METKVEETLNLSYDDFEPFCKWTREEGHDKLEVHVQDFKMEHMSIQIQEPGVVTITGERPLDDTRWSRFRKQIRIPKDTKTNEIQANLSGDILHVVVPRKTPALPAKKSSTKTSTITASMASNYLFGLIKSAISRLEMNTMLALPVAGVLAVVVAFVAYAYKDCHCGDAES >Potri.004G006500.3.v4.1 pep chromosome:Pop_tri_v4:4:404795:407292:-1 gene:Potri.004G006500.v4.1 transcript:Potri.004G006500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G006500.v4.1 MMNEYYYNNRRRGPKLKAAIKVVMVIIGFFLVGYIVGRPLYWHLSEFLTAKIRSSTLRCHPCSCDCSSQPLLTLPEGLSNSSFTDCMKHDPEVSHEIEKSFTDMLSEELRLNEEEAQKKQQRADVALLEAKRMTSQYQKEAEKCNFGMDTCEAARERAEEVLQEQRKLSATWEFRARERGWREGLMRSQVNQNFE >Potri.016G031166.1.v4.1 pep chromosome:Pop_tri_v4:16:1731177:1732198:-1 gene:Potri.016G031166.v4.1 transcript:Potri.016G031166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031166.v4.1 MQLVNAKGLFRRSQAYLKTSELEKAEAEADIKKALAIDPNNRYQREMNQKHLSSCNLKWAIKFLCSYFHIHCSASLKWVMHSY >Potri.012G080100.1.v4.1 pep chromosome:Pop_tri_v4:12:10480443:10484006:1 gene:Potri.012G080100.v4.1 transcript:Potri.012G080100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080100.v4.1 MPGIVMDGIDEEGIVNEMNGNSVPMKDNSVPYKSPRRKSSPHSRRSTGLDPPIDGVSVYGVAVDGVLDTSIEQLYENVCDMQSSDQSPLRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEEEEEVDKPELGTHSNSSSKKGSSSGSKKSGNLDKTKSASTKSVSSRTSKKPLDSEASSKLTPKGKCPPEKPPIDKQNDKNLKKGNVGVRLMKKQRNSSLGGVKLPNGTEDSSESGLDNPDLGQFLLKQARDLISSGDNPQKALELALRASKSFEICANDKPSLELVMCLHVTAAIHCSIGQYSEAIPILEHSIEIPVPEEGQEHALAKFAGYMQLGDTYAILGQLEKSTKCYSTGLEVQKQVLGETDPRVGETCRYLAEAHVQALQFDDAQKVCQMALDIHRDNGSPASLEEAADRRLMGLICETKGDHEDALEHLVLASMAMVANGQEAEVACVDCSIGDAYLSLSRYDEAIFAYQKALTAFKITKGENHPSVASVFVRLAHLCNRTGKLRDSKSYCENALGIYEKPLLGIPPEEVASGLTDVSAVYESMNELDQAIKLLKKALKIYKDAPGQQSTIAGIEAQMGVMYYMLGDYSESYNSFKNAISKLRSSGEKKSAFFGIALNQMGLACAQRNAINEAAELFEEAKIVLEQECGPYHPDTLGVYSNLAGTYDATGRLDDAIEILEYVVGMREEKLGTANPDVVDEKKRLAELLKEAGRVRSRKARSLENLLDDNSHGINSDGI >Potri.012G080100.4.v4.1 pep chromosome:Pop_tri_v4:12:10480399:10484007:1 gene:Potri.012G080100.v4.1 transcript:Potri.012G080100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080100.v4.1 MPGIVMDGIDEEGIVNEMNGNSVPMKDNSVPYKSPRRKSSPHSRRSTGLDPPIDGVSVYGVAVDGVLDTSIEQLYENVCDMQSSDQSPLRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEEEEEVDKPELGTHSNSSSKKGSSSGSKKSGNLDKTKSASTKSVSSRTSKKPLDSEASSKLTPKGKCPPEKPPIDKQNDKNLKKGNVGVRLMKKQRNSSLGGVKLPNGTEDSSESGLDNPDLGQFLLKQARDLISSGDNPQKALELALRASKSFEICANDKPSLELVMCLHVTAAIHCSIGQYSEAIPILEHSIEIPVPEEGQEHALAKFAGYMQLGDTYAILGQLEKSTKCYSTGLEVQKQVLGETDPRVGETCRYLAEAHVQALQFDDAQKVCQMALDIHRDNGSPASLEEAADRRLMGLICETKGDHEDALEHLVLASMAMVANGQEAEVACVDCSIGDAYLSLSRYDEAIFAYQKALTAFKITKGENHPSVASVFVRLAHLCNRTGKLRDSKSYCENALGIYEKPLLGIPPEEVASGLTDVSAVYESMNELDQAIKLLKKALKIYKDAPGQQSTIAGIEAQMGVMYYMLGDYSESYNSFKNAISKLRSSGEKKSAFFGIALNQMGLACAQRNAINEAAELFEEAKIVLEQECGPYHPDTLGVYSNLAGTYDATGRLDDAIEILEYVVGMREEKLGTANPDVVDEKKRLAELLKEAGRVRSRKARSLENLLDDNSHGINSDGI >Potri.012G080100.3.v4.1 pep chromosome:Pop_tri_v4:12:10480320:10484030:1 gene:Potri.012G080100.v4.1 transcript:Potri.012G080100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G080100.v4.1 MPGIVMDGIDEEGIVNEMNGNSVPMKDNSVPYKSPRRKSSPHSRRSTGLDPPIDGVSVYGVAVDGVLDTSIEQLYENVCDMQSSDQSPLRHSFGSDGEESRIDSELRHLVGGEMREVEIMEEEEEEEEVDKPELGTHSNSSSKKGSSSGSKKSGNLDKTKSASTKSVSSRTSKKPLDSEASSKLTPKGKCPPEKPPIDKQNDKNLKKGNVGVRLMKKQRNSSLGGVKLPNGTEDSSESGLDNPDLGQFLLKQARDLISSGDNPQKALELALRASKSFEICANDKPSLELVMCLHVTAAIHCSIGQYSEAIPILEHSIEIPVPEEGQEHALAKFAGYMQLGDTYAILGQLEKSTKCYSTGLEVQKQVLGETDPRVGETCRYLAEAHVQALQFDDAQKVCQMALDIHRDNGSPASLEEAADRRLMGLICETKGDHEDALEHLVLASMAMVANGQEAEVACVDCSIGDAYLSLSRYDEAIFAYQKALTAFKITKGENHPSVASVFVRLAHLCNRTGKLRDSKSYCENALGIYEKPLLGIPPEEVASGLTDVSAVYESMNELDQAIKLLKKALKIYKDAPGQQSTIAGIEAQMGVMYYMLGDYSESYNSFKNAISKLRSSGEKKSAFFGIALNQMGLACAQRNAINEAAELFEEAKIVLEQECGPYHPDTLGVYSNLAGTYDATGRLDDAIEILEYVVGMREEKLGTANPDVVDEKKRLAELLKEAGRVRSRKARSLENLLDDNSHGINSDGI >Potri.013G156400.2.v4.1 pep chromosome:Pop_tri_v4:13:15152096:15154923:-1 gene:Potri.013G156400.v4.1 transcript:Potri.013G156400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156400.v4.1 MPCSCQEKSSIKPHIYLDISYNLVLKTLLAFLNSLKERSKMARTSSSSTSFSSYMVSVTLALLLIYTSSSSAHLSTDFYDKSCPQLFGTVKSVVQSAIAKERRMGASLVRLFFHDCFVKGCDASILLEDTATFKGEQGAGPNNNSVRGYNVVAKIKSKLEKVCPGIVSCADIVVIAARDSTVLLGGPYWKVKLGRRDSKTANMNAASKSLPSDTSTVSQLIKRFKSKGLSATDMVALSGSHTIGQTKCKTFRARIYNETNIDKSFATMRQKMCPLTTGDDNLAPLDFQTPNVFDNNYYKNLIHKKGLLHSDQVLFSGESTDSLVRTYSNNPDIFFSDFAAAMVKMGDIDPRTGTRGEIRKKCSCPN >Potri.005G115901.1.v4.1 pep chromosome:Pop_tri_v4:5:8455650:8456622:-1 gene:Potri.005G115901.v4.1 transcript:Potri.005G115901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G115901.v4.1 MHQDTAPASGFGVFSSIPVPIPVPNSMPTTVSTVPRESMATQISFKDTGPNQMSNLVQSTLVMKPVQPNSVPQAGMKTNPVKDVEYIKNLIREHGTAKKEIQDRNGRHYNQTQNQELIQNIKKRESKHKSQKPCMYFKTPKGCRNGFNCPFQHDPSFQFQTGSALDAPVAKRMKFSGEIVGRT >Potri.009G055400.1.v4.1 pep chromosome:Pop_tri_v4:9:5909068:5922464:-1 gene:Potri.009G055400.v4.1 transcript:Potri.009G055400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055400.v4.1 MASIKSVNRSASVALAPDSPYMAAGTMAGAVDLSFSSSANLEIFKLDFQSEDHDLPVVGECQSSERFNRLAWGRNGSGSDAYGLGLIAGGLVDGNIDIWNPLSLISSEPSESALVSHLSRHKGPVRGLEFNSINPNLLASGADDGEICIWDLAAPAEPSHFPPLKGTGSAAQGEISYVSWNCRVQHILASTSSNGITVVWDLKKQKPAISFGDSIRRRCSVLQWHPDVATQLVVASDEDSSPSLRLWDMRNVLEPVKEFVGHTKGVIGMSWCPNDSSYLLTCAKDNRTICWNTVTGEIACELPAGTNWNFDVHWYPKMPGVISASSFDGKIGIYNIEGCSRYIAGESDFGRGKLRAPKWYKRPVGVSFGFGGKLVSFRPRSSAGGASEVFLHNLVTEDSLVSRSSEFESAIQNGEKPLLKALCDKKSQESESEDDRETWGFLKVMFEEDGTARTRMLSHLGFSVPVEEKDAILEDDLTREINAIRLDDTPADEMGYENNQEATIFSADDGEDFFNNLPSPKADTSTVPSGDNVGLEKSAPSAEEISQETETPEESADPSFDDCIQRALVLGDYKEAVAQCITANKMADALVIAHVGGTSLWEKTRDQYLKMSSSPYLKIVSAMVNNDLMTLVNSRSLKYWKETLALLCTFAPSEEWSMLCNSLASKLMAAGNTLAATLCYICAGNIDKTVEIWSRRLTVESEGKSYIDLLQDLMEKTIVLALASGQKQFSASLCKLVEKYAEILASQGLLTTALEYLKLLGSDELSPELTILRDRIALSTETEKEAKAPAFENSQQQVGSVYGAQQSGFGVADASHSYYQGAVAQQMHQSVPGSPYSENYQQPIDSSYGRGYGAPTPYQPAPQPLAYQPAPQPQMFVPTSAPQAPQPSFAPPAPHAGTQQATRTFVPANVPSLRNAQQYQQPTLGSQLYPGTATSAYNPVQPPTGSQGPIISQVGAIPGHGIPQVAAPGPTPMGFRPVHAGVAQRPGIGLMQPPSPTQSAPVQPAVAPAAPPPTVQTVDTSNVPAHHKPVIVTLTRLFNETSEALGGARANPARRREIEDNSRKIGALFAKLNSGDISKNASDKLVQLCQALDRNDFSSALQIQVLLTTSEWDECNFWLATLKRMIKARQGAGVR >Potri.015G017601.1.v4.1 pep chromosome:Pop_tri_v4:15:1249517:1249729:-1 gene:Potri.015G017601.v4.1 transcript:Potri.015G017601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017601.v4.1 MVASSSFMRYLSTWEAACRPSDIAHTTRDWPRLQSPAAKIPSTLVEKRPYSALKFDLLSCSKPNFSATFC >Potri.017G014100.1.v4.1 pep chromosome:Pop_tri_v4:17:984141:989368:1 gene:Potri.017G014100.v4.1 transcript:Potri.017G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014100.v4.1 MENGSKKWGFQANKSLSTSSAVTVRGVLNVLQDNLNKEDTRQVMPLAHGDPSAFPCFRTTTVADEAVVDAVRSAKYNHYAPTVGLLPARRSVADFLNRDLPYKLSPDDVFLTLGCTQAIEITITVLARPGANILLPRPGFPYYEARAAHSHLEARHFDLIPEKGWEVDLDAVEALADENTVAMVVINPGNPCGSVYSYQHLQKIAETARKLGIMVIADEVYGHLTFGNAPFVPMGVFGPIVPVLTLGSISKRWIVPGWRIGWLVTSDPNGILQESGVVESIKGCLNISSDPVTFIQGAIPQIIDNTTEDFFTKINNILREAADICYEKIQDIPCITLPHKPEGSMFVMVKLNLSSLEDIGDDMDFCLKLAKEESVMILPGTAVGMKNFLRITFAIEPSALEVGLERLKAFYERHAKIQ >Potri.019G094100.1.v4.1 pep chromosome:Pop_tri_v4:19:13112870:13114224:-1 gene:Potri.019G094100.v4.1 transcript:Potri.019G094100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G094100.v4.1 MRSNILLTIILAIVLAGALPKNVVEAQNCGCAANLCCSQYGYCGTSNAYCGQGCKQGPCYSSPTPTTPSGSGSVADIVTPGFFNGIISQAGAGCAGKNFYTRNAFLSAVNSYPQFGKLGSAEASKREIAAFFAHVTHETGHFCYTEEINGASRDYCDENNRQYPCVPGKKYHGRGPIQLSWNYNYGPAGSSNNFDGLNNPDIVARDAVVSFKTALWFWMKSVRPVVGQGFGATIRAINGNECNGGNSGAVQARVRYYRDYCSQLGVSTENNLAC >Potri.016G073750.1.v4.1 pep chromosome:Pop_tri_v4:16:5529857:5530225:1 gene:Potri.016G073750.v4.1 transcript:Potri.016G073750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073750.v4.1 MTLDFHTNKKALEEVAIIPSKRPRNKIAGFSTHLMKQIQKGPVRGISLKLQEEERERRMDFVPEVSAIKSDQIEVDKETMDMLASLGMSCFPGLVQVDPLLYIPVTQFGFRRGGPGGFARRG >Potri.017G064301.1.v4.1 pep chromosome:Pop_tri_v4:17:5510797:5511468:1 gene:Potri.017G064301.v4.1 transcript:Potri.017G064301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G064301.v4.1 MSDWGPVFVAVVLFILLTPGLLIQMPGHHRFIEFGNFKTSGVSILVHSILYFALICIFLLAVGVHMYVGS >Potri.006G242500.4.v4.1 pep chromosome:Pop_tri_v4:6:24383373:24390935:-1 gene:Potri.006G242500.v4.1 transcript:Potri.006G242500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G242500.v4.1 MVMMMAAAVRRGSEAFFIGSQIQQCQMKIMGMILLLSPTTPSLLSSNHSNSISSSTCTSSSSSSRNRRDKRKHDDACSFRNIDDALASFNHMLHRKPLPCIIQFTKLLSAIVKMGQYYDTVISLTKQMELAGLSPNIYTLSILINCFSHLQRVDLAFSVLAKIIKLGLQPTIVTFTTLINWLCKVGKFAQAMELFDDMVARGCRPDVYTYTTIINGLCKIGETAAAAGLLKKMEEAGCQPNVVTYSTIIDSHRKDRRVNEALDIFSYMKVKGISPDIFTYNSLIQGLCNFSQWKEASALLNEMRSLNIMPDIVTFNVLVDTICKEGKVSEAQGVLKTMTEMGVEPDVVTYSSLMYGYSLRSEVVEARKLFDAMITKGCKPDVFSYNILINGYCKVKRIDEAKQLFNEMIHQGLTPNNVSYNTLIHGLCQLGSLREARNLFKNMHTNGNLPNLFTYSILLDGFCKQGYFGKAFRLFRAMQSTYSKPNLVMYNILIDAMCKSGNLRDARKLFSELFVKGLQPNAQIYTTIINGLCKEGLLDEALEAFRNMEEDGCPPDEISYNVIIRGFLHHKDESRAVQLIGEMRDKGFIADVGTTAW >Potri.004G110926.1.v4.1 pep chromosome:Pop_tri_v4:4:10085922:10086287:-1 gene:Potri.004G110926.v4.1 transcript:Potri.004G110926.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110926.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEDCHKKVTKRCAIEISNSIYTDKTASEYCCQKHVTTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIIALAPVA >Potri.017G064032.1.v4.1 pep chromosome:Pop_tri_v4:17:5462827:5463153:-1 gene:Potri.017G064032.v4.1 transcript:Potri.017G064032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G064032.v4.1 MEAINSVFNPLREFTNDNIHLVKRCHKLDHKDFTKVVFHTMIGFVVMGFIGFIVKLIFMPFNNIIVGSAWVND >Potri.003G090400.18.v4.1 pep chromosome:Pop_tri_v4:3:11662531:11666170:-1 gene:Potri.003G090400.v4.1 transcript:Potri.003G090400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090400.v4.1 MDLIISSHSCNSLCRKPAFYRRISSPNSTTQHSTSLKCRVAKTTSELQSSVGVSDRTGNSYSPSIPTHKVTVHDRQRGVVHEFLVPEDQYILHTAESQNITLPFACRHGCCTSCAVRVKSGQLRQPEALGISAELKSKGYALLCVGFPSSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMADE >Potri.003G090400.17.v4.1 pep chromosome:Pop_tri_v4:3:11662289:11666274:-1 gene:Potri.003G090400.v4.1 transcript:Potri.003G090400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090400.v4.1 MDLIISSHSCNSLCRKPAFYRRISSPNSTTQHSTSLKCRVAKTTSELQSSVGVSDRTGNSYSPSIPTHKVTVHDRQRGVVHEFLVPEDQYILHTAESQNITLPFACRHGCCTSCAVRVKSGQLRQPEALGISAELKSKGYALLCVGFPSSDLEVETQDEDEVYWLQFGRYFARGPIERDDYALELAMADE >Potri.007G029800.1.v4.1 pep chromosome:Pop_tri_v4:7:2286645:2288240:-1 gene:Potri.007G029800.v4.1 transcript:Potri.007G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029800.v4.1 MQNTKPHAALLSSPGMGHLIPVLELGKCLVTNHGFTVTIFVVTTDNSLSKSQLLKQSPCPDLLNIVLLPPVDVSSLITPTTGILAQLAIMMRKALPKLRSAILAMEFCPTVLIVDFFGTEAMVIADEFNMLKYAFMTSTAWFLALTLHMPAIDKAIEDNHVKNQQALLIPGCKSLEFRDTFEPVLDRNDQMYIEYKRMGVEMQKFDGILVNTWQDLEGTTLGALEDEKRLGRVAQVPIYPVGPLVRAITPGPKSEMLEWLDMQPIESVIYVSFGSGGALSARQTTELACGLESSGQRFIWVVRPPIEGDSAATVFKTKHRTDDTPDFLPDGFLTRTRKMGLVVPMWAPQTEILSHPSVGGFVSHGGWNSTLESIVNGVPMITWPLYAEQGMNAAMLTEDIGVAIRSKSLPAKEVVVREEIETMVRTIMDKGDARRARAKTLKSSAEKALSKGGSSYNSLAHVANDCETAFKYLKVKATWTST >Potri.005G004000.2.v4.1 pep chromosome:Pop_tri_v4:5:341086:341577:1 gene:Potri.005G004000.v4.1 transcript:Potri.005G004000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G004000.v4.1 MGLFPVIILLMLFLSGKANDVFLSFNHQEIGKNFADHLYKDLNYAGIRTFRDDGGIYTGQKSDVKRAIQESRISVVVFSKDYASSTKCLDQLGVIMDARRTTGLVVLPVFYNADPSEVWEQKGLFEEAFAKHEKSFHKEMARVESWRAALKEAADLKGKERKQD >Potri.011G116300.11.v4.1 pep chromosome:Pop_tri_v4:11:14695623:14706640:-1 gene:Potri.011G116300.v4.1 transcript:Potri.011G116300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116300.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEQKIHRDIKAANILLSENGDVKVADFGVSAQLTSTISRRKTFVGTPFWMAPEVIQNSEGYDAKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRDNPPQLDEHFSRPMKDFVSSCLKKVPDERPNAKDLLKHRFIRNARKSPRLLERIRERPKYQIKDAETPSNGRKGIGEGSDTVKVARDIKPDGTVRISGQGKPFKNAGWDFSIGGSQATGTVRSAARPPQVREKKTDISYNKDTQRRASESGNHLLSASGNALQESLDLSFGKDARDSYHDDRQNNSLDDDDLSVSGSGTVVIHTPKGSQSSAIFRDQSNASSSSFASFEDASTSGTVVFYGQHDESDSPRTPKSRLGMQERTSRASLEDSALNLAEARAAFQGGLRKGNARERFVPNKNNSDGLESRRRETLTNSSDSSRSSREYSAVPKAFSRSRQASDDEESARIASSSAPLSVLLIPSLKEAVADDSEGSVVHAVTNSLVTMERLKPGSCDVLVRSLLQRLASSKESSLKDLQELAARLLSKGKPASEETQNANTEADNRKKQPTKEFNSNANLSPLARFLLSRWQGQSS >Potri.011G116300.14.v4.1 pep chromosome:Pop_tri_v4:11:14695639:14706658:-1 gene:Potri.011G116300.v4.1 transcript:Potri.011G116300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116300.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEQKIHRDIKAANILLSENGDVKVADFGVSAQLTSTISRRKTFVGTPFWMAPEVIQNSEGYDAKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRDNPPQLDEHFSRPMKDFVSSCLKKVPDERPNAKDLLKHRFIRNARKSPRLLERIRERPKYQIKDAETPSNGRKGIGEGSDTVKVARDIKPDGTVRISGQGKPFKNAGWDFSIGGSQATGTVRSAARPPQVREKKTDISYNKDTQRRASESGNHLLSASGNALQESLDLSFGKDARDSYHDDRQNNSLDDDDLSVSGSGTVVIHTPKGSQSSAIFRDQSNASSSSFASFEDASTSGTVVFYGQHDESDSPRTPKSRLGMQERTSRASLEDSALNLAEARAAFQGGLRKGNARERFVPNKNNSDGLESRRRETLTNSSDSSSSREYSAVPKAFSRSRQASDDEESARIASSSAPLSVLLIPSLKEAVADDSEGSVVHAVTNSLVTMERLKPGSCDVLVRSLLQRLASSKESSLKDLQELAARLLSKGKPASEETQNANTEADNRKKQPTKEFNSNANLSPLARFLLSRWQGQSS >Potri.011G116300.13.v4.1 pep chromosome:Pop_tri_v4:11:14695638:14706205:-1 gene:Potri.011G116300.v4.1 transcript:Potri.011G116300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116300.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEQKIHRDIKAANILLSENGDVKVADFGVSAQLTSTISRRKTFVGTPFWMAPEVIQNSEGYDAKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRDNPPQLDEHFSRPMKDFVSSCLKKVPDERPNAKDLLKHRFIRNARKSPRLLERIRERPKYQIKDAETPSNGRKGIGEGSDTVKVARDIKPDGTVRISGQGKPFKNAGWDFSIGGSQATGTVRSAARPPQVREKKTDISYNKDTQRRASESGNHLLSASGNALQESLDLSFGKDARDSYHDDRQNNSLDDDDLSVSGSGTVVIHTPKGSQSSAIFRDQSNASSSSFASFEDASTSGTVVFYGQHDESDSPRTPKSRLGMQERTSRASLEDSALNLAEARAAFQGGLRKGNARERFVPNKNNSDGLESRRRETLTNSSDSSRSSREYSAVPKAFSRSRQASDDEESARIASSSAPLSVLLIPSLKEAVADDSEGSVVHAVTNSLVTMERLKPGSCDVLVRSLLQRLASSKESSLKDLQELAARLLSKGKPASEETQNANTEADNRKKQPTKEFNSNANLSPLARFLLSRWQGQSS >Potri.011G116300.12.v4.1 pep chromosome:Pop_tri_v4:11:14695601:14706662:-1 gene:Potri.011G116300.v4.1 transcript:Potri.011G116300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116300.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEQKIHRDIKAANILLSENGDVKVADFGVSAQLTSTISRRKTFVGTPFWMAPEVIQNSEGYDAKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRDNPPQLDEHFSRPMKDFVSSCLKKVPDERPNAKDLLKHRFIRNARKSPRLLERIRERPKYQIKDAETPSNGRKGIGEGSDTVKVARDIKPDGTVRISGQGKPFKNAGWDFSIGGSQATGTVRSAARPPQVREKKTDISYNKDTQRRASESGNHLLSASGNALQESLDLSFGKDARDSYHDDRQNNSLDDDDLSVSGSGTVVIHTPKGSQSSAIFRDQSNASSSSFASFEDASTSGTVVFYGQHDESDSPRTPKSRLGMQERTSRASLEDSALNLAEARAAFQGGLRKGNARERFVPNKNNSDGLESRRRETLTNSSDSSRSSREYSAVPKAFSRSRQASDDEESARIASSSAPLSVLLIPSLKEAVADDSEGSVVHAVTNSLVTMERLKPGSCDVLVRSLLQRLASSKESSLKDLQELAARLLSKGKPASEETQNANTEADNRKKQPTKEFNSNANLSPLARFLLSRWQGQSS >Potri.011G116300.6.v4.1 pep chromosome:Pop_tri_v4:11:14695637:14706230:-1 gene:Potri.011G116300.v4.1 transcript:Potri.011G116300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116300.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEQKIHRDIKAANILLSENGDVKVADFGVSAQLTSTISRRKTFVGTPFWMAPEVIQNSEGYDAKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRDNPPQLDEHFSRPMKDFVSSCLKKVPDERPNAKDLLKHRFIRNARKSPRLLERIRERPKYQIKDAETPSNGRKGIGEGSDTVKVARDIKPDGTVRISGQGKPFKNAGWDFSIGGSQATGTVRSAARPPQESLDLSFGKDARDSYHDDRQNNSLDDDDLSVSGSGTVVIHTPKGSQSSAIFRDQSNASSSSFASFEDASTSGTVVFYGQHDESDSPRTPKSRLGMQERTSRASLEDSALNLAEARAAFQGGLRKGNARERFVPNKNNSDGLESRRRETLTNSSDSSRSSREYSAVPKAFSRSRQASDDEESARIASSSAPLSVLLIPSLKEAVADDSEGSVVHAVTNSLVTMERLKPGSCDVLVRSLLQRLASSKESSLKDLQELAARLLSKGKPASEETQNANTEADNRKKQPTKEFNSNANLSPLARFLLSRWQGQSS >Potri.011G116300.8.v4.1 pep chromosome:Pop_tri_v4:11:14695638:14706662:-1 gene:Potri.011G116300.v4.1 transcript:Potri.011G116300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116300.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEQKIHRDIKAANILLSENGDVKVADFGVSAQLTSTISRRKTFVGTPFWMAPEVIQNSEGYDAKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRDNPPQLDEHFSRPMKDFVSSCLKKVPDERPNAKDLLKHRFIRNARKSPRLLERIRERPKYQIKDAETPSNGRKGIGEGSDTVKVARDIKPDGTVRISGQGKPFKNAGWDFSIGGSQATGTVRSAARPPQESLDLSFGKDARDSYHDDRQNNSLDDDDLSVSGSGTVVIHTPKGSQSSAIFRDQSNASSSSFASFEDASTSGTVVFYGQHDESDSPRTPKSRLGMQERTSRASLEDSALNLAEARAAFQGGLRKGNARERFVPNKNNSDGLESRRRETLTNSSDSSRSSREYSAVPKAFSRSRQASDDEESARIASSSAPLSVLLIPSLKEAVADDSEGSVVHAVTNSLVTMERLKPGSCDVLVRSLLQRLASSKESSLKDLQELAARLLSKGKPASEETQNANTEADNRKKQPTKEFNSNANLSPLARFLLSRWQGQSS >Potri.011G116300.15.v4.1 pep chromosome:Pop_tri_v4:11:14695638:14706640:-1 gene:Potri.011G116300.v4.1 transcript:Potri.011G116300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116300.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEQKIHRDIKAANILLSENGDVKVADFGVSAQLTSTISRRKTFVGTPFWMAPEVIQNSEGYDAKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRDNPPQLDEHFSRPMKDFVSSCLKKVPDERPNAKDLLKHRFIRNARKSPRLLERIRERPKYQIKDAETPSNGRKGIGEGSDTVKVARDIKPDGTVRISGQGKPFKNAGWDFSIGGSQATGTVRSAARPPQVREKKTDISYNKDTQRRASESGNHLLSASGNALQESLDLSFGKDARDSYHDDRQNNSLDDDDLSVSGSGTVVIHTPKGSQSSAIFRDQSNASSSSFASFEDASTSGTVVFYGQHDESDSPRTPKSRLGMQERTSRASLEDSALNLAEARAAFQGGLRKGNARERFVPNKNNSDGLESRRRETLTNSSDSSSSREYSAVPKAFSRSRQASDDEESARIASSSAPLSVLLIPSLKEAVADDSEGSVVHAVTNSLVTMERLKPGSCDVLVRSLLQRLASSKESSLKDLQELAARLLSKGKPASEETQNANTEADNRKKQPTKEFNSNANLSPLARFLLSRWQGQSS >Potri.011G116300.2.v4.1 pep chromosome:Pop_tri_v4:11:14695596:14706613:-1 gene:Potri.011G116300.v4.1 transcript:Potri.011G116300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116300.v4.1 MADAAGLMEAAGARFSSLELIGRGSFGDVYKAFDKELNKEVAIKVIDLEESEDEIEDIQKEISVLQQCRSPYITEYYGSYLHQTKLWIIMEYMAGGSVADLLQSGPPLDEMSIACILRDLLHAIEYLHNEQKIHRDIKAANILLSENGDVKVADFGVSAQLTSTISRRKTFVGTPFWMAPEVIQNSEGYDAKADIWSLGITAIEMAKGEPPLADLHPMRVLFIIPRDNPPQLDEHFSRPMKDFVSSCLKKVPDERPNAKDLLKHRFIRNARKSPRLLERIRERPKYQIKDAETPSNGRKGIGEGSDTVKVARDIKPDGTVRISGQGKPFKNAGWDFSIGGSQATGTVRSAARPPQESLDLSFGKDARDSYHDDRQNNSLDDDDLSVSGSGTVVIHTPKGSQSSAIFRDQSNASSSSFASFEDASTSGTVVFYGQHDESDSPRTPKSRLGMQERTSRASLEDSALNLAEARAAFQGGLRKGNARERFVPNKNNSDGLESRRRETLTNSSDSSRSSREYSAVPKAFSRSRQASDDEESARIASSSAPLSVLLIPSLKEAVADDSEGSVVHAVTNSLVTMERLKPGSCDVLVRSLLQRLASSKESSLKDLQELAARLLSKGKPASEETQNANTEADNRKKQPTKEFNSNANLSPLARFLLSRWQGQSS >Potri.009G056000.2.v4.1 pep chromosome:Pop_tri_v4:9:5962119:5966106:1 gene:Potri.009G056000.v4.1 transcript:Potri.009G056000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G056000.v4.1 MGKIPCLLLVFIVTLSRSVAQSHGIKNQGEALDNLYKAKFSRDSRIDTGPFEVLDTSQFEVMNMLLDESEVHPRQKGSKEKDRIKMLPGQPRVNFSQYGGYVTVNESAGSALYYYFVEADQHSKESALPLLLWLNGGPGCSSLGYGAMEELGPFRVHSNGKTLYRNKYSWNKVANVLFLESPAGVGFSYSNATSDYTYTSGDRETAAQNYMFLVNWLERFPEYKDRDFYIAGESYAGHYVPQLADTILHYNKKAKRSVVNLKGIMIGNSVINDHTDMQGMYDFFGTHAITSNENFRKIQHYCNFSSAGSLYKECQEAMGKADTDVSVIDIYNIYGPSCFNSNLTSKPKKTSPMNFDPCSDSYVLAYLNRPDVQEAMHANVTKLAYDWQPCGGFNWVDSASTVLPLLKEFMANGLRVWVFSGDTDGRVPVTSSQYSINEMNLPIKTQWHPWFSDQEVGGYVQVYKGDLTFATVRGAGHMVPSIQPVRALSLISHFLSGTPLP >Potri.017G037500.1.v4.1 pep chromosome:Pop_tri_v4:17:2467421:2468149:1 gene:Potri.017G037500.v4.1 transcript:Potri.017G037500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G037500.v4.1 MRVAVEILTGTLFYIQVGDDATVADLKKEIEAQQKLPQDRLILFLDNKQNHLINEEGDGASLVDCGVQDGSHIYLFFDPLDTDESSSHS >Potri.002G202300.2.v4.1 pep chromosome:Pop_tri_v4:2:16614168:16621281:1 gene:Potri.002G202300.v4.1 transcript:Potri.002G202300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202300.v4.1 MANPKLERIPSMRERVQDTLSAHRNVLVSLLSRYVEQGKGILHPNNLIDELDNIVCDDAARLSLKDGPFSEVLKAAQEAIVLPPFVAVSIRPRPGVWEYVRVDVSQLNVEELTVSQYLRFKEELVDGPSNDPYVLELDFEPFNAAFPRPTRSSSIGNGVQYLNRHLSSNMFRNKDTLEPLLDFLRVHKYKGHALMLNDRIKSVSRLQSALLKAEEYISKLPSETLYTEFEYTFQGMGFERGWGDTAARVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMLLRIQQQGLDFKPKILIVTRLIPDSKGTSCNQRLERVSGTEHTHILRVPFRSEHGILRKWISRFDVWPYLETFAEDAASEIVAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDDKYHFSCQFTADVLAMNNADFIITSTYQEIAGTKTTVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMDIYFPYSDKQKRLTTLHGSIEKMLYDSEQTDDWIGTLTDKSKPIIFSMARLDRVKNISGLVECYGKNARLRELVNLVVVAGYIDVKKSNDREEILEIEKMHELMKKYKLDGQFRWLTAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHMDPYYPDQAAEFMADFFEKCKDDPSYWKKISDAGLQRIYERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLSIEDWH >Potri.002G202300.3.v4.1 pep chromosome:Pop_tri_v4:2:16614168:16621250:1 gene:Potri.002G202300.v4.1 transcript:Potri.002G202300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202300.v4.1 MANPKLERIPSMRERVQDTLSAHRNVLVSLLSRYVEQGKGILHPNNLIDELDNIVCDDAARLSLKDGPFSEVLKAAQEAIVLPPFVAVSIRPRPGVWEYVRVDVSQLNVEELTVSQYLRFKEELVDGPSNDPYVLELDFEPFNAAFPRPTRSSSIGNGVQYLNRHLSSNMFRNKDTLEPLLDFLRVHKYKGHALMLNDRIKSVSRLQSALLKAEEYISKLPSETLYTEFEYTFQGMGFERGWGDTAARVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMLLRIQQQGLDFKPKILIVTRLIPDSKGTSCNQRLERVSGTEHTHILRVPFRSEHGILRKWISRFDVWPYLETFAEDAASEIVAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDDKYHFSCQFTADVLAMNNADFIITSTYQEIAGTKTTVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMDIYFPYSDKQKRLTTLHGSIEKMLYDSEQTDDWIGTLTDKSKPIIFSMARLDRVKNISGLVECYGKNARLRELVNLVVVAGYIDVKKSNDREEILEIEKMHELMKKYKLDGQFRWLTAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHMDPYYPDQAAEFMADFFEKCKDDPSYWKKISDAGLQRIYERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLSIEDWH >Potri.002G202300.4.v4.1 pep chromosome:Pop_tri_v4:2:16614168:16620646:1 gene:Potri.002G202300.v4.1 transcript:Potri.002G202300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202300.v4.1 MANPKLERIPSMRERVQDTLSAHRNVLVSLLSRYVEQGKGILHPNNLIDELDNIVCDDAARLSLKDGPFSEVLKAAQEAIVLPPFVAVSIRPRPGVWEYVRVDVSQLNVEELTVSQYLRFKEELVDGPSNDPYVLELDFEPFNAAFPRPTRSSSIGNGVQYLNRHLSSNMFRNKDTLEPLLDFLRVHKYKGHALMLNDRIKSVSRLQSALLKAEEYISKLPSETLYTEFEYTFQGMGFERGWGDTAARVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMLLRIQQQGLDFKPKILIVTRLIPDSKGTSCNQRLERVSGTEHTHILRVPFRSEHGILRKWISRFDVWPYLETFAEDAASEIVAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDDKYHFSCQFTADVLAMNNADFIITSTYQEIAGTKTTVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMDIYFPYSDKQKRLTTLHGSIEKMLYDSEQTDDWIGTLTDKSKPIIFSMARLDRVKNISGLVECYGKNARLRELVNLVVVAGYIDVKKSNDREEILEIEKMHELMKKYKLDGQFRWLTAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHMDPYYPDQAAEFMADFFEKCKDDPSYWKKISDAGLQRIYERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLSIEDWH >Potri.002G202300.1.v4.1 pep chromosome:Pop_tri_v4:2:16614168:16620578:1 gene:Potri.002G202300.v4.1 transcript:Potri.002G202300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202300.v4.1 MANPKLERIPSMRERVQDTLSAHRNVLVSLLSRYVEQGKGILHPNNLIDELDNIVCDDAARLSLKDGPFSEVLKAAQEAIVLPPFVAVSIRPRPGVWEYVRVDVSQLNVEELTVSQYLRFKEELVDGPSNDPYVLELDFEPFNAAFPRPTRSSSIGNGVQYLNRHLSSNMFRNKDTLEPLLDFLRVHKYKGHALMLNDRIKSVSRLQSALLKAEEYISKLPSETLYTEFEYTFQGMGFERGWGDTAARVLEMMHLLLDILQAPDPSTLETFLGRVPMVFNVVILSPHGYFGQANVLGLPDTGGQIVYILDQVRALENEMLLRIQQQGLDFKPKILIVTRLIPDSKGTSCNQRLERVSGTEHTHILRVPFRSEHGILRKWISRFDVWPYLETFAEDAASEIVAELQGIPDFIIGNYSDGNLVASLLAYKMGVTQCTIAHALEKTKYPDSDIYWKKFDDKYHFSCQFTADVLAMNNADFIITSTYQEIAGTKTTVGQYESHTAFTLPGLYRVVHGINVFDTKFNIVSPGADMDIYFPYSDKQKRLTTLHGSIEKMLYDSEQTDDWIGTLTDKSKPIIFSMARLDRVKNISGLVECYGKNARLRELVNLVVVAGYIDVKKSNDREEILEIEKMHELMKKYKLDGQFRWLTAQTNRARNGELYRYIADTKGAFVQPAFYEAFGLTVVEAMTCGLPTFATCHGGPAEIIEHGVSGFHMDPYYPDQAAEFMADFFEKCKDDPSYWKKISDAGLQRIYERYTWKIYSERLMTLAGVYGFWKYVSKLERRETRRYLEMFYILKFRDLVKTVPLSIEDWH >Potri.004G127001.1.v4.1 pep chromosome:Pop_tri_v4:4:13066789:13067256:-1 gene:Potri.004G127001.v4.1 transcript:Potri.004G127001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G127001.v4.1 MMKFNPNRNSMIIGCWNVIGLNDPIKHSELRRLIQQERVALFGLVETRVRDMNKENVSRLLLRSWSFLYNYDFSCKGCIWVCWNADVVKVDALSMSDQAIHVCVTILGTDICFNASIIYKDNSASLREAFWFDIVSWSDGWESTPWILMSDFNAI >Potri.004G092200.2.v4.1 pep chromosome:Pop_tri_v4:4:7817055:7819866:-1 gene:Potri.004G092200.v4.1 transcript:Potri.004G092200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092200.v4.1 MVKRNSTNEEPSTAPEPDRWYDIKLGSSFQDHHDHSSPKFCTLRYEFKPASIDKSQPGSLHKSRDNRVSVEYHNNQQGKPNVMFEGVSEDYKENDAVLFFDGDTFHLEQLHHAVKRLRHVRLPGESAAAAAAATATVALVASAFETRSPPVGKAANSESLDKGMVHQTPVQMEQIGTGVSESLELEDEKSMEHLLFPPNLSTSSPDPKNESEEQVDIVNDDDDGCETANKGKASEKGLPRTVLNIDINLPHQVETDDEIADVDISDDDIDKGPNAAEALKALNAVDKGPNAAEALKALNAEGMKGQNSSSSGSSGSDISGSESGSSSSDSESSGGNSVFSI >Potri.006G073000.4.v4.1 pep chromosome:Pop_tri_v4:6:5344559:5350132:1 gene:Potri.006G073000.v4.1 transcript:Potri.006G073000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073000.v4.1 MAYMRSLSTRSTILKRQHNPRFTYILHDDNDNDDHHHHHKNNQEQLNPTNYVPQRSFNINSTSFASLLQEANHSHFAGVCFVRYMSTTTTAAADKINVVMDTVAQAAPAANEVAIAAADSYLPVAALQYVIDAVHNFTGLNWWASMVVTTLLIRSAMLPLLINQLKATSKLSILRPHLEEVKQRVDCQVMDPTAVSEGQKEMQKLFKEHGVSPFTPLKGIFIQGPVFISFFLAISNMAEKVPSFKSGGAYWFVDLTTPDGLYIFPVLTALTFLLTVECNTQEGMEGNPAAGTMKNVSRALAVASVPLTMNFPKAVFCYWVTSNLFSLAYGLVLKAPGVKKFLRVPEVPVAPPTTGAKSSPFDLFSALKQLSKGRKEPTQSLPVEPPKLLQHKKSSSSGIGKRISSLEKEGERFQRRPLQGRKKNKKR >Potri.019G072900.1.v4.1 pep chromosome:Pop_tri_v4:19:11423924:11429393:1 gene:Potri.019G072900.v4.1 transcript:Potri.019G072900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G072900.v4.1 MESSNLTSLPEETLDVEDEQQQQENLKNPPVPAFSSVPTRPPTKSSLKKYVPLEWTEFFDKEEDVCIPDSDDVFHVYMAGTEGPVVFCLHGGGYSGLSFALSSSKIKEKARVVAMDLRGHGKTSTENELDLSVETLCNDVFAVLKAMYGDSPPAIVLVGHSMGGSVAVHVAAKKALPSLAGLVVVDVVEGTAMASLAHMQKLLSSRMQHFSSMEKAIEWSVRGGSLRNIDSARVSVPTTLKYDDSKKCYVYRTRLEETEQYWRGWYEGLSDKFLSCPIPKLLLLAGTDRLDRSLTIGQMQGKFQMVVVRHTGHAIQEDVPDEFATLIINFISRNRIGPHGIVIPGLRRPVQPQQ >Potri.002G239800.2.v4.1 pep chromosome:Pop_tri_v4:2:23205417:23208914:-1 gene:Potri.002G239800.v4.1 transcript:Potri.002G239800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239800.v4.1 MAIMESLSILLSSSPPIQNNPKTLKPATNSKANSHLSKFTFSKESSLQNPLSLVSNKTTFSFTTIQLFTSLPCLASETLTSQTEQVSDKINLESILVSIDDFFNKNPFFVAGCTFIWLVVIPLTEEYLRKYKFVSAIDAFRKLRDNPDAQLLDIRDRRSVVALGSPNLKMFDKSVVQVEFPEGDEGGFVKNVLKNFQDPANTSLCILDNFDGDSMRVAELLFKNGFKEAYAIRGGVRGKKGWLEIQETLLPPSVHMKPKKKKKKAKVSQLGVNGGVAQQNDGEDGIPSSTSFPLEGSQSVDNGHINKPMTSTPHVEIDFRSPYPKYPDLKPPSSPTPSKP >Potri.003G010664.1.v4.1 pep chromosome:Pop_tri_v4:3:1198238:1198981:-1 gene:Potri.003G010664.v4.1 transcript:Potri.003G010664.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010664.v4.1 MEIFTKQLTSIDIERGLVLPTDSNLEPLPPFHGARTIELSTMVVVQSAAGITTLLGGEPVTIHCSTRLGRLTFTRGWHDIARCAGLGSGDIVTFYQDDNEGAQYKMIVRSAG >Potri.001G335800.1.v4.1 pep chromosome:Pop_tri_v4:1:34409621:34413458:-1 gene:Potri.001G335800.v4.1 transcript:Potri.001G335800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335800.v4.1 MAKIKIGINGFGRIGRLVARVALQRDDVELVAVNDPFITTDYMTYMFKYDTVHGQWKHGDIKVKDEKTLLFGDKPVAVFGVRNPEEIPWGAAGADFVVESTGVFTDKDKAAAHLKGGAKKVIISAPSKDAPMFVMGVNEKEYKPDLNIVSNASCTTNCLAPLAKVIHDKFGIVEGLMTTVHSITATQKTVDGPSMKDWRGGRAASFNIIPSSTGAAKAVGKVLPALNGKLTGMAFRVPTVDVSVVDLTVRIEKKATYDQIKAAIKEESETNLKGILGYTEDDVVSTDFMGDSRSSIFDAKAGIALNDNFVKLVSWYDNEWGYSSRVIDLIIHIASVQ >Potri.010G076350.1.v4.1 pep chromosome:Pop_tri_v4:10:10379236:10379897:-1 gene:Potri.010G076350.v4.1 transcript:Potri.010G076350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076350.v4.1 MKHDFVCSIFFNPLFQSDFHTKHPILFFNEFVKKQRKVVQARGGGIWNRTPRKHKFSTGFLASCKYNEKET >Potri.002G095600.1.v4.1 pep chromosome:Pop_tri_v4:2:6979370:6981927:-1 gene:Potri.002G095600.v4.1 transcript:Potri.002G095600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G095600.v4.1 MSTLTVPQQVPPVSEDVEQLRKAFSGWGTNEGLIISILGHRNAAQRKLIRQAYAEAYGEDLLKALDKELSNDFERVLLLWTLDPAERDAALANEATKRWTSSNQVLMEIACTRSSNELLLARQAYHARFKKSLEEDVAHHTSGDFRKLLFPLVSSYRYDGDEVNMTLAKSEAKMLHEKISNKAYSDEELIRILATRSKAQINATLNQYKNEFGNDINKDLKADPNDEFLALLRATVKCLTRPEKYFEKVLRLAINKRGTNEGALTRVVATRAEIDMKLIKDEYQRRNSIPLDRAIVKDTDGEYEKLLLELVGHEDA >Potri.006G115600.6.v4.1 pep chromosome:Pop_tri_v4:6:9025261:9033126:-1 gene:Potri.006G115600.v4.1 transcript:Potri.006G115600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115600.v4.1 MEMESTRRSFDRSREPGLKKPRLAEEQANHKGRPFTQRPAAAPAARYRPGVDRDLESNDSNRSSAYQPQPVPQPQQYQELVSQYKTALAELTFNSKPIITNLTIIAGENLHAAKAVAATICANILEVPSEQKLPSLYLLDSIVKNIGRDYIKYFAARLPEVFCKAYRQVDSSVHSSMRHLFGTWKGVFPPQPLQMIEKELGLAPAVNGSSAGAAASRSESQSQRPPNSIHVNPKYLERQRIQQSSRAKGVSNVLTVPVANSIEDVEGPDRAVSIDTRRPWVDPPVKTQTLQRSHREALNEPVHEKKKIGAIYEDFEYGSDVSRKSGLGIGRASGRVAEQGQGQENPCYGTSSNAAELISGQRNGFNMKHGFPNYPASKSSMVDLHLQPTQRIGRSETGISANWKNSEEEEYIWDMHSRLSDHNAAGLSNNSRKDHWIPDDSDKMDLERLDGETSSDSLSTEQKEHATIGSRLSSPWKLPESHSTDGLILSGTSTTNTGHVEGYSATVGGVATSSRSSLGRMAVRPRLGSSHIGKAGLASSTNTSLLSTETLGQQKFQSQGAASPSGQSPIRQRPSSPAFQACYPQLQNSGEQDYHQSQSMTQPDYRAQFSGNLLPSNVQLGSLPKLHSEDLQAPSLPSFQLSHQHRLSQRRQPDSKESEAFGQIQRPHLPPVSNFGTSSTSVSSAADHLNPFTAGTSGQSSTSSLLAAVMKTGILSKINSGVVPDRNFQDIGKMPSQSIIQPPLPSGPPPQFSFSEARIESASSAPAQSQDKLPTVSNISQRKDERPPPPLGSPPSSEQTTDAVNKAPNPISNLLSSLVAKGLISTSKSETSSPLPTQVPSQLQKKNPSITSPSSEPISSATLHSSTVGEASIPEPDTKCSVALSQTTKVEIDDLIGLEFKPEVIRELHPPVISSLFEDLPHRCSLCGLQLKLKERLHRHLEWHNQRKPESDGINGPTRGWYADLGHWLTVNDGLPLGVESSCPMDDFEETTECDDKTVLAHEDHCVCVLCGKLFEDYYCEERNKWMFKGAVRMTLPSGDGQMGTAKESAKGPTVHVNCISESSLCDLVLASGIKMEKDVYAST >Potri.006G115600.8.v4.1 pep chromosome:Pop_tri_v4:6:9025334:9029417:-1 gene:Potri.006G115600.v4.1 transcript:Potri.006G115600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115600.v4.1 MKHGFPNYPASKSSMVDLHLQPTQRIGRSETGISANWKNSEEEEYIWDMHSRLSDHNAAGLSNNSRKDHWIPDDSDKMDLERLDGETSSDSLSTEQKEHATIGSRLSSPWKLPESHSTDGLILSGTSTTNTGHVEGYSATVGGVATSSRSSLGRMAVRPRLGSSHIGKAGLASSTNTSLLSTETLGQQKFQSQGAASPSGQSPIRQRPSSPAFQACYPQLQNSGEQDYHQSQSMTQPDYRAQFSGNLLPSNVQLGSLPKLHSEDLQAPSLPSFQLSHQHRLSQRRQPDSKESEAFGQIQRPHLPPVSNFGTSSTSVSSAADHLNPFTAGTSGQSSTSSLLAAVMKTGILSKINSGVVPDRNFQDIGKMPSQSIIQPPLPSGPPPQFSFSEARIESASSAPAQSQDKLPTVSNISQRKDERPPPPLGSPPSSEQTTDAVNKAPNPISNLLSSLVAKGLISTSKSETSSPLPTQVPSQLQKKNPSITSPSSEPISSATLHSSTVGEASIPEPDTKCSVALSQTTKVEIDDLIGLEFKPEVIRELHPPVISSLFEDLPHRCSLCGLQLKLKERLHRHLEWHNQRKPESDGINGPTRGWYADLGHWLTVNDGLPLGVESSCPMDDFEETTECDDKTVLAHEDHCVCVLCGKLFEDYYCEERNKWMFKGAVRMTLPSGDGQMGTAKESAKGPTVHVNCISESSLCDLVLASGIKMEKDVYAST >Potri.005G100100.1.v4.1 pep chromosome:Pop_tri_v4:5:7217987:7225821:-1 gene:Potri.005G100100.v4.1 transcript:Potri.005G100100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100100.v4.1 MTILQRGKTHLISRLKFLTPLQRFNHTLTKEELKAPVCHEMGRDFLAQQRYVVDRSKWRKVNARYHGITRSMIPDAPWTVLKLLRVGGFEAYLVGGCVRDLLLNRVPKDFDVITTANLQQIKKKFHRAHIVGRRFPICIVHVKGSVIEVSSFETSAQQCQEKEKVLLSQMRRSCDEKDFLLWKNSMQRDFTINSLFFDPFMNRIYDYANGMEDVRSLKLQTLIPARLSFQEDCARILRGIRIAGRLGLSISKDTETAICKLQSSVKSLNKDRIKMELNYMLSYGAAESTILLLQRFHLLKIFLPFHAAYLHEQADEVSAQGSTMLMKLLYSLDKIVSSDRPCDCSLWVGLLAFHQALVLNPQDAFVIWAFASILYCGTWQEGVKFARENAKVEGRFVPEISGFSEIKSDEKLAEEVSQLASLVQDAVNAFTDEISLSESLSRYLDPPLDVFVFVSKKIGEHAGLLFHMQSCEYRRESFKIDYDLLVKGDLYETRFVLGKVILKTLSGGLVQGGKEIIKEELKVVKVNHEPTLSDLAKDGRVVKKVKEHVLLSFDEQKIEKVKQKEKVKMKCSSEQNINSTKEEVDLKDASMEIAKKQRKVEEKLCSPLQESDKKQVAVGDEEHQHRAKKHRKMVEKVKRHELCYKETINSMKEEVVLQDTPMEIAKELRKVVDTRQVSEEKFHSPLQESDKKQVAVGNEEHQHKAKKHRKMVEKVKHHKLCYKETINSMKEELVLKDAPMEKAKMQRKVVDTSQVSEEKFHSPLQESDKKQVAVGNEEHRHRAKKHRKMLEKVKHQDKETEEPFNVIRKCNPSEDEKVKGGEKNDRPPVLQEMVKEKSVQSCLSSLFK >Potri.014G102532.1.v4.1 pep chromosome:Pop_tri_v4:14:6795207:6798425:-1 gene:Potri.014G102532.v4.1 transcript:Potri.014G102532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102532.v4.1 MLVSLCSPSSVSSWKLCDPVLDLIKSQVFNEDQIRAATACLSLMMALLDDRHWCSEGSKSPGAGALDSNRSDLIQSLSYVGLA >Potri.001G295902.1.v4.1 pep chromosome:Pop_tri_v4:1:30711682:30712546:-1 gene:Potri.001G295902.v4.1 transcript:Potri.001G295902.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G295902.v4.1 MGHSNVWNSHPKNYGPGSRTCRVCGNPHGIIRKYGLMCCRQCFRSNAKEIGFIKYR >Potri.005G069100.1.v4.1 pep chromosome:Pop_tri_v4:5:4481079:4483447:-1 gene:Potri.005G069100.v4.1 transcript:Potri.005G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069100.v4.1 MDASSSSFLSAIQASKLLTGTVAMAIPKSAVTNTPSFLSRHPPPLIVSSVRIEEKTHNSITRPTTSRTSRPASSTTALPAATKSSTTRKYPANDRRVVEPNQPTMMFNVLEDVINNFIDPPLRPSVDPRYVLSDNFAPVDELPPTECEVIQGSLPSCLDGAYIRNGPNPQYLPRGPYHLFDGDGMLHSIRISQGKATLCSRYVKTYKYTLERDAGAPLLPNVFSGFNGLAASAARGALSAVRILAGQFNPANGIGLANTSLAYFGNRLYALGESDLPYAVRLTSNGDIETLGRHDFDGKLLMSMTAHPKTDLETGEAFAFRYGPVPPFLTYFHFDGNGNKQPDVPIFSMTRPSFLHDFGISSKYAIFADIQIGMNPMEMIFGGGSPVGSDPAKVPRLGIIPRYAKDESEMKWFDVPGFNVIHAINAWDEEDAIVMLAPNILSVEHTLERMDLIHALVEKVRIDLKTGIVTRNPVSARNLDFGVINPAYLGKKNRFVYAAIGDPMPKISGVVKLDVSKGERQECTVASRIYGPRCYGGEPFFVAREPENPEAEEDDGYVVSYVHDEMAGESRFLVIDARSPDLDIVAAVKLPRRVPYGFHGLFVKESDLKNL >Potri.003G053000.1.v4.1 pep chromosome:Pop_tri_v4:3:7791961:7795520:-1 gene:Potri.003G053000.v4.1 transcript:Potri.003G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G053000.v4.1 MDVMGSKWRGLSLRMIGGATLIVSLGIASMVAIAEARLRKQVSSVHGISPQVEPGLFMRVLNFLWQKGLYGYTHVWPDMKFGWKIVVGTIIGFFGAALGSVGGVGGGGIFVPMLTLIIGFDAKSSTAISKCMITGAAASTVYYNLKLRHPTLDMPVIDYDLALLFQPMLVLGISIGVAFNVIFADWMITVLLIILFIGTSTKAFLKGVETWKKETILKQEAARRLESNDDDNEEVEYQPLPGGPSGGGGAENKEPKKEAVSIIENVYWKELGLLFAVWGAILALEIGKNYTTTCSMAYWALNLLQIPVAVGVSSYEAVSLYKGTRKIASKGETGTNWRAHQLVLYCACGVLAGIVGGLLGLGGGFILGPLFLELGISPQVSSATATFAMTFSASMSVVEYYLLKRFPVPYALYFVAVATVSAFVGQFVVRKLINLLGRASLIIFILAFTIFVSAISLGGVGIVNMIEKIEHHDYMGFENICSYDA >Potri.016G017900.1.v4.1 pep chromosome:Pop_tri_v4:16:933737:935040:-1 gene:Potri.016G017900.v4.1 transcript:Potri.016G017900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017900.v4.1 MSKIMSDNPPDWSQFYQQNLSNQVLTPIRPMFNDRGVADVNIITSTTMDTASISNPMGSANPSSSGHLSPEGRVAKPIRRRSRASRRTPTTLLNTDTTNFRAMVQQFTGGPSAPFATGSQINATNFGYAVGVHRQGHHGNHPSPVMMPPGYHLQYQQQQQQQQQFQQQSQPPPSYMFSLRNSNMGTNTSTTTAPGDVFFQRLGNPRAATTMEVSDHGYSTEGAVISSLVAPPSRPPSSSSNENRSNTFLF >Potri.001G156600.3.v4.1 pep chromosome:Pop_tri_v4:1:13169627:13173719:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MNLTQRRVPQVFELGRSSSIRSFKSIDSSSITHGIHVFQCPDAVGIVAKLSDCIASRGGNILGADVFVPENKNVFYSRSEFIFDPVKWPRLQMDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.001G156600.16.v4.1 pep chromosome:Pop_tri_v4:1:13169839:13173622:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.001G156600.1.v4.1 pep chromosome:Pop_tri_v4:1:13169625:13173737:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.001G156600.5.v4.1 pep chromosome:Pop_tri_v4:1:13169625:13173736:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MNLTQRRVPQVFELGRSSSIRSFKSIDSSSITHGIHVFQCPDAVGIVAKLSDCIASRGGNILGADVFVPENKNVFYSRSEFIFDPVKWPRLQMDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.001G156600.8.v4.1 pep chromosome:Pop_tri_v4:1:13169715:13172726:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MNLTQRRVPQVFELGRSSSIRSFKSIDSSSITHGIHVFQCPDAVGIVAKLSDCIASRGGNILGADVFVPENKNVFYSRSEFIFDPVKWPRLQMDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.001G156600.2.v4.1 pep chromosome:Pop_tri_v4:1:13169625:13173736:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MNLTQRRVPQVFELGRSSSIRSFKSIDSSSITHGIHVFQCPDAVGIVAKLSDCIASRGGNILGADVFVPENKNVFYSRRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.001G156600.15.v4.1 pep chromosome:Pop_tri_v4:1:13169666:13173629:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.001G156600.12.v4.1 pep chromosome:Pop_tri_v4:1:13169628:13173737:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVIIVSALCSHLNSG >Potri.001G156600.10.v4.1 pep chromosome:Pop_tri_v4:1:13169625:13173736:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MNLTQRRVPQVFELGRSSSIRSFKSIDSSSITHGIHVFQCPDAVGIVAKLSDCIASRGGNILGADVFVPENKNVFYSRSEFIFDPVKWPRLQMDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQLLSGKFLQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVIIVSALCSHLNSG >Potri.001G156600.14.v4.1 pep chromosome:Pop_tri_v4:1:13169650:13173715:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MNLTQRRVPQVFELGRSSSIRSFKSIDSSSITHGIHVFQCPDAVGIVAKLSDCIASRGGNILGADVFVPENKNVFYSRSEFIFDPVKWPRLQMDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.001G156600.13.v4.1 pep chromosome:Pop_tri_v4:1:13169650:13173715:1 gene:Potri.001G156600.v4.1 transcript:Potri.001G156600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156600.v4.1 MNLTQRRVPQVFELGRSSSIRSFKSIDSSSITHGIHVFQCPDAVGIVAKLSDCIASRGGNILGADVFVPENKNVFYSRSEFIFDPVKWPRLQMDEDFLRISKMFDATRSVVRVPKLDPKFKIAVLASKQEHCLIDLLHSWQDGRLPVDITRVISNHDRFPNTHVVRFLERNSIPYHYLGTSKENNREDEILDLVQNTDFLVLARYMQRYRKDIINIHHGLLPSFKGGHPSKQAFDAGVKLIGATSHFVTEELDAGPIIEQMVERVSHRDNIQSFVQKSENLEKQCLAKAIKSYCELRVLPNEENRTVVF >Potri.013G079900.1.v4.1 pep chromosome:Pop_tri_v4:13:6907662:6912075:1 gene:Potri.013G079900.v4.1 transcript:Potri.013G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079900.v4.1 MANQNFSHHPTICYRPIQPSDLEVLERIHAEIFPIRYESEFFQSVVHERDIVSWAAVDRSRPNGHSDELIGFVTARIAMAKEAGIGDLLRYDPSKPDQTLVYILTLGVVETYRNLGIARSLIRQVIKYASSVPTCRAVYLHVISYNIPAIHLYKKMSFKCIRRLQGFYLINGQHYDSFLFVYYVNGGRSPCSPLELLLAVVSYVTSGLKSVAARIRKNEEKTAKWPKCKDTQSLISMQTKRNITTQGTGYECV >Potri.013G079900.2.v4.1 pep chromosome:Pop_tri_v4:13:6907662:6912197:1 gene:Potri.013G079900.v4.1 transcript:Potri.013G079900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079900.v4.1 MANQNFSHHPTICYRPIQPSDLEVLERIHAEIFPIRYESEFFQSVVHERDIVSWAAVDRSRPNGHSDELIGFVTARIAMAKEAGIGDLLRYDPSKPDQTLVYILTLGVVETYRNLGIARSLIRQVIKYASSVPTCRAVYLHVISYNIPAIHLYKKMSFKCIRRLQGFYLINGQHYDSFLFVYYVNGGRSPCSPLELLLAVVSYVTSGLKSVAARIRKNEEKTAKWPK >Potri.018G141400.4.v4.1 pep chromosome:Pop_tri_v4:18:14643520:14647811:-1 gene:Potri.018G141400.v4.1 transcript:Potri.018G141400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141400.v4.1 MLIIPGLVYGKLLGEVGKKIQEAYGVAGGIVEQAVSSIRTVYSYVAEERASKDYKNALKPALELGIKQGLMKGMAIGTFGITFAVWALQGWYGSTLVINKGAKGGNVYTAGLCTIYGGLALGGSLVNVKYFIEANIAAARIFEMIHRVPEIDSTDEQGKTISDVKGEVEFRDIDFEYPSRPGSLVLNKFNLRVMAGQTVGLVGASGSGKSTVINLLERFYEPLRGDILLDGVNIKKLPPTWLRNQMGLVSQEPVLFATSIKENILFGKEDASMEEVIRAAKAANAHSFISKLPGGYETLVGQLGNQISEGQKQRISIARALLRDPKILLLDEATSALDSQSEKAVQDALNQASIGRTTIIIAHRLSTLRNADLIAVIQSGQVGESGSHEQLMQNSSGTYAVMVQLQRTYMNDEVMLEDMDKEHGGAFPLDDGTSQAEETPDKSFSRNSSFGMITDQKQEDDYSSPSLRQLISMTAPEWKSTLLGCVGALGYGLVPPLNSFFLGALLAVYFEDDHAQIRSQIRIYCFVFLAFAIFTFLANVIQHYYFGIMGETLTERVREAIFEKILTFEIEWFDQENNSSGAICARLATDAVMMRTLVTDRLSLLTQAVSSATLAVVLAFMLSWRLALVAIALEPGVIAAIYLREMTMRIMSKKILKAQSASSALASEAVGNHKIITAFGSQEKVVKLYDRSQVSSRKESNRQSWYAGVGLFISQFLTSALIAVICWYGGNLLFHRKITYKHLFQIFFILISTGRVIAETATMTADLSKGTSALKSIFRILQRETKIDPENSDGIKPETINGGIEFKQVYFIYPARPKQIILRGMDLKIEASKIVALVGRSGSGKSTIIRLIERFYDTLSGSIEVDGINIMCYNLRALRSHIALVSQEPTLFAGTIRDNIAYAKENAAEAEIIEAATIANAHGFISYMKDGYKTYCGEKGVQLSGGQKQRIALARAILKNPAILLLDEATSALDVNSEKLVQDALERTMSSRTCLVVAHRLSTIQKADKIVVIDKGRVVEEGNHSELLVQGEKGAYYSLVKLQQLSVI >Potri.018G141400.2.v4.1 pep chromosome:Pop_tri_v4:18:14643473:14646892:-1 gene:Potri.018G141400.v4.1 transcript:Potri.018G141400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141400.v4.1 MIHRVPEIDSTDEQGKTISDVKGEVEFRDIDFEYPSRPGSLVLNKFNLRVMAGQTVGLVGASGSGKSTVINLLERFYEPLRGDILLDGVNIKKLPPTWLRNQMGLVSQEPVLFATSIKENILFGKEDASMEEVIRAAKAANAHSFISKLPGGYETLVGQLGNQISEGQKQRISIARALLRDPKILLLDEATSALDSQSEKAVQDALNQASIGRTTIIIAHRLSTLRNADLIAVIQSGQVGESGSHEQLMQNSSGTYAVMVQLQRTYMNDEVMLEDMDKEHGGAFPLDDGTSQAEETPDKSFSRNSSFGMITDQKQEDDYSSPSLRQLISMTAPEWKSTLLGCVGALGYGLVPPLNSFFLGALLAVYFEDDHAQIRSQIRIYCFVFLAFAIFTFLANVIQHYYFGIMGETLTERVREAIFEKILTFEIEWFDQENNSSGAICARLATDAVMMRTLVTDRLSLLTQAVSSATLAVVLAFMLSWRLALVAIALEPGVIAAIYLREMTMRIMSKKILKAQSASSALASEAVGNHKIITAFGSQEKVVKLYDRSQVSSRKESNRQSWYAGVGLFISQFLTSALIAVICWYGGNLLFHRKITYKHLFQIFFILISTGRVIAETATMTADLSKGTSALKSIFRILQRETKIDPENSDGIKPETINGGIEFKQVYFIYPARPKQIILRGMDLKIEASKIVALVGRSGSGKSTIIRLIERFYDTLSGSIEVDGINIMCYNLRALRSHIALVSQEPTLFAGTIRDNIAYAKENAAEAEIIEAATIANAHGFISYMKDGYKTYCGEKGVQLSGGQKQRIALARAILKNPAILLLDEATSALDVNSEKLVQDALERTMSSRTCLVVAHRLSTIQKADKIVVIDKGRVVEEGNHSELLVQGEKGAYYSLVKLQQLSVI >Potri.018G141400.3.v4.1 pep chromosome:Pop_tri_v4:18:14643520:14650692:-1 gene:Potri.018G141400.v4.1 transcript:Potri.018G141400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141400.v4.1 MVMKAKLKVESKKREELSSRDEAKKKTCDSPSGSLQTVLKQSDWMDMLLMALGIMGSVVDGSSIAIIMIILSDLMNRYSVTSVTIEAIKKGALTLTCVAVAVASGSFLEGFCWARTAERQTFRLRRQYLQAVLRQDVGFFDTNQGASLASQVVSNISIDTLTIQGFLAEKIASFISNITLFITGQLAAIYLSWRLAIVAIPALLMLIIPGLVYGKLLGEVGKKIQEAYGVAGGIVEQAVSSIRTVYSYVAEERASKDYKNALKPALELGIKQGLMKGMAIGTFGITFAVWALQGWYGSTLVINKGAKGGNVYTAGLCTIYGGLALGGSLVNVKYFIEANIAAARIFEMIHRVPEIDSTDEQGKTISDVKGEVEFRDIDFEYPSRPGSLVLNKFNLRVMAGQTVGLVGASGSGKSTVINLLERFYEPLRGDILLDGVNIKKLPPTWLRNQMGLVSQEPVLFATSIKENILFGKEDASMEEVIRAAKAANAHSFISKLPGGYETLVGQLGNQISEGQKQRISIARALLRDPKILLLDEATSALDSQSEKAVQDALNQASIGRTTIIIAHRLSTLRNADLIAVIQSGQVGESGSHEQLMQNSSGTYAVMVQLQRTYMNDEVMLEDMDKEHGGAFPLDDGTSQAEETPDKSFSRNSSFGMITDQKQEDDYSSPSLRQLISMTAPEWKSTLLGCVGALGYGLVPPLNSFFLGALLAVYFEDDHAQIRSQIRIYCFVFLAFAIFTFLANVIQHYYFGIMGETLTERVREAIFEKILTFEIEWFDQENNSSGAICARLATDAVMMRTLVTDRLSLLTQAVSSATLAVVLAFMLSWRLALVAIALEPGVIAAIYLREMTMRIMSKKILKAQSASSALASEAVGNHKIITAFGSQEKVVKLYDRSQVSSRKESNRQSWYAGVGLFISQFLTSALIAVICWYGGNLLFHRKITYKHLFQIFFILISTGRVIAETATMTADLSKGTSALKSIFRILQRETKIDPENSDGIKPETINGGIEFKQVYFIYPARPKQIILRGMDLKIEASKIVALVGRSGSGKSTIIRLIERFYDTLSGSIEVDGINIMCYNLRALRSHIALVSQEPTLFAGTIRDNIAYAKENAAEAEIIEAATIANAHGFISYMKDGYKTYCGEKGVQLSGGQKQRIALARAILKNPAILLLDEATSALDVNSEKLVQDALERTMSSRTCLVVAHRLSTIQKADKIVVIDKGRVVEEGNHSELLVQGEKGAYYSLVKLQQLSVI >Potri.009G076300.1.v4.1 pep chromosome:Pop_tri_v4:9:7421406:7424825:-1 gene:Potri.009G076300.v4.1 transcript:Potri.009G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076300.v4.1 MSKKGEVVCVTGGSGCIGSWLVRLLLDRGYTVHATAKNLKDEKETKHLESLEGADTRLRLYQIDLLDYDSIVAAINGCAGVFHLASPCIVDEVHDPQNELLDPAIKGTINVLTAAKENGVRRVVVTSSISSITPSPNWPADVIKNEDCWTDVEYCKQNGFWYPLSKTLAEKAAWEFSKEKGLDVVVVNPGTVMGPVISPVLNASMVMLVRLFQGCTETYQNFFMGSVHFKDVALAHIIVYENPSATGRHLCVEAISHYGDFVAKVAELYPEYKIPRLPKDTQPGLLRAKNGAKKLMDLGLEFIPMEQIIKDAVESLKSEGFIS >Potri.004G118000.1.v4.1 pep chromosome:Pop_tri_v4:4:11178769:11180485:1 gene:Potri.004G118000.v4.1 transcript:Potri.004G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G118000.v4.1 MVAMMGRGIAEQGWRKGPWTPEEDKLLSEYVSLNGEGRWSSVSRCSGLNRSGKSCRLRWVNYLRPGLKRGQITPQEEGIIIELHALWGNKWSTIARYLPGRTDNEIKNYWRTHFKKKDKSSQKQEKRNALILKQEVQQQQQQQLEAGEMKMVNTIDHVKMHEAQEMYFMYHNLEDQCSPVMTQDAASWADFVVEDYYGLWGGLWNLDDHPQD >Potri.001G384100.2.v4.1 pep chromosome:Pop_tri_v4:1:40354258:40359352:-1 gene:Potri.001G384100.v4.1 transcript:Potri.001G384100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G384100.v4.1 MALNSTDRKDVILSMDEFCDEGVNVGSNNNNTGGGGGGRIWRESSCDFLNNANNKDKRPLMNGDNNSTNTNGSRCYKLDFNGHQNSNSGVTASASDSGSVMEEEDPPSKLIGHFLHRQKASGEFCLDMDLEMMTHLQNDTVVPHKNLPPVSESPTTNTNRVSFDPNPPGSSESLRRRRDFKNSSPRNQNNGDGEILKCSSSNDGSFCSNSSFKRKSSLLKERTKSRLMDPPPHPPEKSGRVVVGRSGQLKSGFLGKGSVVDEEEDDPLLEEDLPDEYKKDKLDIWILLEWLSLIVIIAALVCSLAIPYLRTKNLWRLRLWKWEVLVLVLICGRLVSGWVIKVIVFFIERNFLLRKRVLYFVYGIRNAVQNCLWLGLVLIAWHYLFDKRVERETRSTTLGFVTKVLVCLVVGTLLWLVKTLVVKVLASSFHVSTYFDRIQESLFNQYVIETLSGPPLVEMKRNEEEEERLLAEVKKLQNAGATVPPGLKATASPSPSQSAKVIGSGSFQKSARIGTPIPKLSRALSNKVDEGDEGITINHLHKLNPKNVSAWNMKRLVNIIRHGALSTLDEQIQNSNHGDEESATKIRSEFEAKAAARNIFTNVARQGSRYIYLDDIMRFMQEDEASKAMSLFEGASESNKISKKCLKNWVVNAFRERRALALTLNDTKTAVNKLHRMVNFLVGIVIAIIWLLILGIATSKFLLFLSSQLLLVAFIFGNTCKTVFESIIFLFVIHPFDVGDRCEIDGVQMVVEEMNILTTVFLRFDNQKIIITNSVLATKAIGNYYRSPDMGDAVEFLIHLVTPAEKIAIVKQRISSYIESKKDHWYPSPLIIFKDAEDLTRVRIAVWLTHRMNHQDMGERFIRRSLLLDEMMKIFRELDIQYRLLPLDINVRALPPVMSDRLPANWTN >Potri.008G052100.2.v4.1 pep chromosome:Pop_tri_v4:8:3055685:3057495:1 gene:Potri.008G052100.v4.1 transcript:Potri.008G052100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052100.v4.1 MANAASGMAVHDDCKLKFLELKAKRTHRFIVFKIEEKQKQVIVEKLGKPTDSYEDFTASLPANECRYAVYDFDYVTDENCQKSRIVFVAWSPDTSRVRSKMIYASSKDRFKRELDGIQIELQATDPTEMGLDVIRSRSY >Potri.010G110500.1.v4.1 pep chromosome:Pop_tri_v4:10:13065961:13070759:-1 gene:Potri.010G110500.v4.1 transcript:Potri.010G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G110500.v4.1 MDSSESNENHQIASNSNSHSNANDHGWQKVTYPKRQRKQRSAADSAANNSHPIANDSNKPNNVFRSLELQSEDRRRKILESQSAAADAAAVVDTRSRSKHHHRSDDDDDDDYESDDAGVSKENAKAEEKKVKQKKPKKPKVTVADAAAKIDAADLAAFLSDISGSYEGQQEILLMRFADYFGRAFSAVNSSQFPWVKMFRENTVAKLADIPLSHISDAVYKTAADWINQLSIAALGSFVLWCLDSILADLASQQGGSKGSKKGIQQASSKSQVAMFVVLAMVLRRKPDALVNVLPTLRESSKYQGQDKLVVIVWMIAQASHGDLAVGLYSWGHNLLPIVSGKSSNPQSRDIILQSVEKILAAPKARSILVNGAVRKGERLLPPSALEILLRVTFPSSSARLKATERFGAIYPTLKEVALAGAPRSKAMKQVSQQILSFALKAAGESIPELSKEAAGISIWCLTQNADCYKQWDKVYQDNLEASVAVLKRLLEEWKELSVKLAPLDPMRETIKNYRQKNEKGMEPEADATRQALFREADKHCKTLSRKLSHGHGCLKGMAVAVIALAAGAAIMSSNMESWDWKELPVFISSQFSF >Potri.016G059900.1.v4.1 pep chromosome:Pop_tri_v4:16:4100138:4103956:1 gene:Potri.016G059900.v4.1 transcript:Potri.016G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059900.v4.1 MEEDQQTILDLVKELVNRLLSQNPQNPKPPISNTTPNSPDFQNSLRYAIRILSSRLTPSIAPDAVAISESIKRGLATQGKSSQALTFSELYNKFASKTGSGSINNKWAVLYLLKIISEDKKIAQNAPNPSPFLSNLGLNELDLSSESRVSHNFKRGEKDYDKGVLFVTKDPENLREIAFREFVNLIKEENEVSEEVLVRDVLYACQGIDGKYVKFDANVDGYVLLDSIKVPRGTRVMVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQNELLDYYKLLAVLEAQAMNPIPLVSETASSGNYLSLRRLLVWFAEPIVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVNEFMRSLLRCVCSPLFEMVRSWVLEGQLEDIFAEFFVVGQPVKAEALWREGYRLHAGMLPSFISQPLAQRILRTGKSINFLRVCCDDRGWADATTEAAAAAGTTTRRGSLGYGETDALETLVVEAAKRIDKHLLDVMYTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGQELSEPANTISSFQLAGLLESAIRSSNAQYDDRDILDRLRVKMMPHGTGDRGWDVFSLQYDARVPLDTVFTESVMARYLRIFNFLWKLRRAEHALIGAWKTMKPNCITSHSFTKLQHAVKLQLLSTLRRCQVLWNQMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVARDLDDLLAAHDKYLHSIVEKSLLGERSQSLYKSLFVLFDLILRFRSHADRLYEGIYELQTRTRASSLSSQDKNRSRRQTKDKSSEPESWLNDGRKALEERAGEFLQNMGQELETISKEYTVLLEGFLSQLPMQQHVDLKFLFFRLDFAEFYSRLHPGS >Potri.016G059900.3.v4.1 pep chromosome:Pop_tri_v4:16:4100139:4103252:1 gene:Potri.016G059900.v4.1 transcript:Potri.016G059900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059900.v4.1 MEEDQQTILDLVKELVNRLLSQNPQNPKPPISNTTPNSPDFQNSLRYAIRILSSRLTPSIAPDAVAISESIKRGLATQGKSSQALTFSELYNKFASKTGSGSINNKWAVLYLLKIISEDKKIAQNAPNPSPFLSNLGLNELDLSSESRVSHNFKRGEKDYDKGVLFVTKDPENLREIAFREFVNLIKEENEVSEEVLVRDVLYACQGIDGKYVKFDANVDGYVLLDSIKVPRGTRVMVRKLCELGWLFRKVKGYISESMDRFPAEDVGTVGQAFCAALQNELLDYYKLLAVLEAQAMNPIPLVSETASSGNYLSLRRLLVWFAEPIVKMRLMAVLVDKCRVLRGGAMAGAIHLHAQHGDPLVNEFMRSLLRCVCSPLFEMVRSWVLEGQLEDIFAEFFVVGQPVKAEALWREGYRLHAGMLPSFISQPLAQRILRTGKSINFLRVCCDDRGWADATTEAAAAAGTTTRRGSLGYGETDALETLVVEAAKRIDKHLLDVMYTRYKFKEHCLAIKRYLLLGQGDFVQYLMDIVGQELSEPANTISSFQLAGLLESAIRSSNAQYDDRDILDRLRVKMMPHGTGDRGWDVFSLQYDARVPLDTVFTESVMARYLRIFNFLWKLRRAEHALIGAWKTMKPNCITSHSFTKLQHAVKLQLLSTLRRCQVLWNQMNHFVTNLQYYIMFEVLEVSWSNFSNEMEVARDLDDLLAAHDKYLHSIVEKSLLGERSQSLYKSLFVLFDLILRFRSHADRLYEGIYELQTRTRASSLSSQDKNRSRRQTKDKSSEPESWLNDGRKALEERAGEFLQNMGQELETISKEYTVLLEGFLSQLPMQQHVDLKFLFFRLDFAEFYSRLHPGS >Potri.004G040600.1.v4.1 pep chromosome:Pop_tri_v4:4:3245712:3247276:-1 gene:Potri.004G040600.v4.1 transcript:Potri.004G040600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040600.v4.1 MLAIFNKGLVNPPQELYSPASLCSSRKPKLPEEIVKDFVSSNPSNAFTMSFGDSALLACISQGNSYPRQQRLFCGLDGIYCIFLGSLNNVCSLNKQYGLSKGTNEAMLVIEAYRTLRDRGPYPAHKVLQDMDGRFGFVVYDSKAGQVFAALGANEGAELFWGIAADGSVVISDNLEVIKGSCAKSFAPFPSACMFHSEQGLMSFEHPSSKMKALPRIDSEGTMCGANFKVDVHSRIGTMPRVGSEANWALGGSRA >Potri.019G008404.1.v4.1 pep chromosome:Pop_tri_v4:19:1145290:1147366:1 gene:Potri.019G008404.v4.1 transcript:Potri.019G008404.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008404.v4.1 MVAVHPFNVAEVDTMGGGICALQLNMVLHLSEVTAAASNSNSVGLGSRIVQIGSKVEPVMLQCPQLVQHGNHASANFSFSDASTENSATWFLDTDANQHVTPKNTGMTHAEPYLGNDQLHVGDGELLGFNQFIPPFATARGRDILVGVNYVSGASGIRDESGRQLGDRISLNEQLQNHAATLSRLTQLLATKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYHLGARKIALPGLRPIGSIPYSFSTLCRNNVSCVTNINNAVLPFNAGLVSLVDQLNREFNDARFIYLNSTGMSSGDPSVLGFRVTNVGCCPARSDGQCIQDPCQNRTEYAFWDAVHPTEALNQFTARRSYNAILPSDAYPTDISHLIS >Potri.013G147800.2.v4.1 pep chromosome:Pop_tri_v4:13:14464988:14467472:-1 gene:Potri.013G147800.v4.1 transcript:Potri.013G147800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147800.v4.1 MPFWQTSNGNGNAASSSTTTTSSSSTSSSSMSSNNSNGNNGCQQNQSNQLGETRSSLYYSTNAISFVTKSLLPTRRRLRLDPPNKLFFPYEPGKQVRSAIGIKNTCKYHVAFKFQTTAPKSCYMRPPGAILAPGESLTATVFKFVEPPENNDRQMDQRSRVKFKIMSLKVKGEMEYVPEMFDEQKDQVAVEQILRVIFLDPECPSPALEKLKRQLAEAEAELEARKKPPEDAGPRVVNEGLVIDEWKERRERYLARQQVEVDSV >Potri.012G020895.1.v4.1 pep chromosome:Pop_tri_v4:12:710920:712922:1 gene:Potri.012G020895.v4.1 transcript:Potri.012G020895.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020895.v4.1 MPFFTSHLPFPVNYTLNPVRPANMGFSLPCLSQSLSFFLLLFHFHSTISSPLSSNYSSSSSSHLCAHHQSLSLLQFKQSFSINSSASWEDCQYPKTESWKVDTDCCLWDGVTCDLKTGHVTALDLSCSMLYGTLLPNNSLFSLHHLQQLDLSFNDFNSSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNDYVSVEPISFDKLSFDKLVRNLTKLRELDLSGVNMSLVVPDSLMNLSISLSSLKLNYCRLQGKLPSSMGKFKHLQYLHLGWNNLTGPIPYDFDELVSFDLSWNNYLNLEPISFDKLVRNLTKLRELDLSGVNMSLVVPDSLMNLSSSLSSLKLSDCRLQGKLPSSMGKFKHLQYLDLGWNNLTGPIPYDFEQLSELVSLHLSFNQYLSLEPISFDKIVQNLTKLRDLALGSVNMSLVAPNSLTNLSSSLSSLSLSNCGLQGKFPGNIFLLPNLESLYLSYNKGLTGSFPSSNLSNVLLGLGLSNTRISVYLENHLINNLKSLEYMLFLIVTL >Potri.006G104600.2.v4.1 pep chromosome:Pop_tri_v4:6:8054970:8056447:-1 gene:Potri.006G104600.v4.1 transcript:Potri.006G104600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104600.v4.1 MPALIISTNVSLDGVDTSSILSEATSEVAKLIGKPANYVMIVLKGSIPISLGGTQEPAAFGELVSIGGLGPDVNKNLSFAIASILEKKLSVPKSRLFLKFYDSQGTHFGWNGSTF >Potri.005G177900.2.v4.1 pep chromosome:Pop_tri_v4:5:18371939:18374908:-1 gene:Potri.005G177900.v4.1 transcript:Potri.005G177900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177900.v4.1 MTNISSFCLVFFTLLTILNPISALKSCEFPAIFNFGDSNSDTGGFVASFPPLNSSPYGETYFQMPAGRFSDGRLIIDFVALTSTLWGPTSLSEQIYATASSTSTLPARIIPANNGFSPFFFLVQYNQFVQLKARSQLIRKQGGVFARLIPKEEYFQKALYTFDIGQNDLGAGFFGNMSVERKSIRS >Potri.005G177900.3.v4.1 pep chromosome:Pop_tri_v4:5:18371644:18374831:-1 gene:Potri.005G177900.v4.1 transcript:Potri.005G177900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177900.v4.1 MTNISSFCLVFFTLLTILNPISALKSCEFPAIFNFGDSNSDTGGFVASFPPLNSSPYGETYFQMPAGRFSDGRLIIDFVALTSTLWGPTSLSEQIYATASSTSTLPARIIPANNGFSPFFFLVQYNQFVQLKARSQLIRKQGGVFARLIPKEEYFQKALYTFDIGQNDLGAGFFGNMSVERKSIRS >Potri.001G148550.2.v4.1 pep chromosome:Pop_tri_v4:1:12317802:12318834:1 gene:Potri.001G148550.v4.1 transcript:Potri.001G148550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148550.v4.1 MPCMNDWPQIIINIVAAQYPLHLSSILLERIAFFYTCCLRSYNSFRSLIDILIVCLPTKLYLEENNYFF >Potri.001G148550.1.v4.1 pep chromosome:Pop_tri_v4:1:12318098:12318834:1 gene:Potri.001G148550.v4.1 transcript:Potri.001G148550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G148550.v4.1 MTLVTSDKVATTNELMPCMNDWPQIIINIVAAQYPLHLSSILLERIAFFYTCCLRSYNSFRSLIDILIVCLPTKLYLEENNYFF >Potri.003G073300.1.v4.1 pep chromosome:Pop_tri_v4:3:10100988:10102319:-1 gene:Potri.003G073300.v4.1 transcript:Potri.003G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073300.v4.1 MGRLDDTATVEIAGKIMIIAIIVLFLVVVFVIFLHLYAKWFWWRVEEPTQPQQSRRRQRRRFVFTPGQDPVRRGLDLSIRRSLPVVIFQSKDFPDGLECAVCLSDVVEGEKARLLPKCNHGFHHDCIDMWFQSHSTCPLCRSSVAPQAQGSSGNNNLNDLELNIQSPEEILISGYSMESPDFPTNVLFWGDQTQVSTGGGSLEEGPSSASSAPSSSSSAGGGHDEPLVIDVPVHITDSLAEDQETKLPTPARLRSLKRLLSREKRVPPNCSNGPVDV >Potri.003G021250.1.v4.1 pep chromosome:Pop_tri_v4:3:2211986:2212387:1 gene:Potri.003G021250.v4.1 transcript:Potri.003G021250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021250.v4.1 MEQVHLFTKKLKPTHISHALSFPTRVLEAFPIPEGAHVMDFEAADATDNAWRFCLSTRLTGAYPKPVLLRSSWRRFVEQKGLAPEDRVVFFMERDEANDMIRRYTVRAQRKVMVLMGQDVWVDVEHLPLYGLM >Potri.002G201500.4.v4.1 pep chromosome:Pop_tri_v4:2:16451078:16457814:1 gene:Potri.002G201500.v4.1 transcript:Potri.002G201500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201500.v4.1 MESCDCIDMQWPHEELLVRYQYISDVLIAFAYFSIPIELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSMHSKAVAVVMTVAKVACAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGLNLQLSHTLNYQIQVGSSVPINLPIVNEVFSSAHAVRIPYNCPLARIRPLFGRYLPPEVVAVRVPLLHLSNFQINDWPELSAKSYAVMVLILPTESARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALKSARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETGLTTEQRAMIETVLKSSNLLAVLTNDVLDLSRLEDGSLDLDLKTFNLHELFREVVGLIKPIASVKKLSMTLIMAPDLPACAVGDEKRLTQTILNVVGNAVKFTKEGYVSIVVSVAKPDSLRDWQPPEFYPMTSDGHFYLRVQVKDSGCGVLPQDVPHLFTKFAQPQSGSSRSNGGAGLGLAICKRFVTLMKGHIWIESEGLDKGTVTTFIVKLGLCNNPDDPSVHQAASRGRANHGSGDLIGHKPLFRDVDWVASSNPRYQRSL >Potri.002G201500.1.v4.1 pep chromosome:Pop_tri_v4:2:16451609:16457709:1 gene:Potri.002G201500.v4.1 transcript:Potri.002G201500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201500.v4.1 MESCDCIDMQWPHEELLVRYQYISDVLIAFAYFSIPIELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSMHSKAVAVVMTVAKVACAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGLNLQLSHTLNYQIQVGSSVPINLPIVNEVFSSAHAVRIPYNCPLARIRPLFGRYLPPEVVAVRVPLLHLSNFQINDWPELSAKSYAVMVLILPTESARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALKSARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETGLTTEQRAMIETVLKSSNLLAVLTNDVLDLSRLEDGSLDLDLKTFNLHELFREVVGLIKPIASVKKLSMTLIMAPDLPACAVGDEKRLTQTILNVVGNAVKFTKEGYVSIVVSVAKPDSLRDWQPPEFYPMTSDGHFYLRVQVKDSGCGVLPQDVPHLFTKFAQPQSGSSRSNGGAGLGLAICKRFVTLMKGHIWIESEGLDKGTVTTFIVKLGLCNNPDDPSVHQAASRGRANHGSGDLIGHKPLFRDVDWVASSNPRYQRSL >Potri.002G201500.9.v4.1 pep chromosome:Pop_tri_v4:2:16450989:16457708:1 gene:Potri.002G201500.v4.1 transcript:Potri.002G201500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201500.v4.1 MESCDCIDMQWPHEELLVRYQYISDVLIAFAYFSIPIELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSMHSKAVAVVMTVAKVACAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGLNLQLSHTLNYQIQVGSSVPINLPIVNEVFSSAHAVRIPYNCPLARIRPLFGRYLPPEVVAVRVPLLHLSNFQINDWPELSAKSYAVMVLILPTESARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALKSARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETGLTTEQRAMIETVLKSSNLLAVLTNDVLDLSRLEDGSLDLDLKTFNLHELFREVVGLIKPIASVKKLSMTLIMAPDLPACAVGDEKRLTQTILNVVGNAVKFTKEGYVSIVVSVAKPDSLRDWQPPEFYPMTSDGHFYLRVQVKDSGCGVLPQDVPHLFTKFAQPQSGSSRSNGGAGLGLAICKRFVTLMKGHIWIESEGLDKGTVTTFIVKLGLCNNPDDPSVHQAASRGRANHGSGDLIGHKPLFRDVDWVASSNPRYQRSL >Potri.002G201500.8.v4.1 pep chromosome:Pop_tri_v4:2:16451599:16457735:1 gene:Potri.002G201500.v4.1 transcript:Potri.002G201500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G201500.v4.1 MESCDCIDMQWPHEELLVRYQYISDVLIAFAYFSIPIELIYFVQKSAFFPYRWVLMQFGAFIVLCGATHFINLWTFSMHSKAVAVVMTVAKVACAIVSCATALMLVHIIPDLLSVKTRELFLKNKAEELDREMGLILTQEETGRHVRMLTHEIRSTLDRHTILKTTLVELGRTLGLEECALWMPSRTGLNLQLSHTLNYQIQVGSSVPINLPIVNEVFSSAHAVRIPYNCPLARIRPLFGRYLPPEVVAVRVPLLHLSNFQINDWPELSAKSYAVMVLILPTESARKWRDHELELVEVVADQVAVALSHAAILEESMRARDQLMEQNVALKSARREAEMAIHARNDFLAVMNHEMRTPMHAIIALSSLLLETGLTTEQRAMIETVLKSSNLLAVLTNDVLDLSRLEDGSLDLDLKTFNLHELFREVVGLIKPIASVKKLSMTLIMAPDLPACAVGDEKRLTQTILNVVGNAVKFTKEGYVSIVVSVAKPDSLRDWQPPEFYPMTSDGHFYLRVQVKDSGCGVLPQDVPHLFTKFAQPQSGSSRSNGGAGLGLAICKRFVTLMKGHIWIESEGLDKGTVTTFIVKLGLCNNPDDPSVHQAASRGRANHGSGDLIGHKPLFRDVDWVASSNPRYQRSL >Potri.001G085100.1.v4.1 pep chromosome:Pop_tri_v4:1:6788447:6789454:1 gene:Potri.001G085100.v4.1 transcript:Potri.001G085100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085100.v4.1 MVNLRSPRFLVLYAFQFLVLVQIQVSCYQYKVGDLDAWGIPTSANPQVYTYWSKYHTLKIGDSLLFLYPPSQDSVIQVTRENYNSCNLTDPILYMNNGNSLFNITAYGDFYFTSGVQGHCQKKQKLHISVPGNGSASAYSPSYGPSALPDSAPSYPTVFGSIPLPPSSSPLNRFSILLSFIIGAGIWAIIM >Potri.015G075600.2.v4.1 pep chromosome:Pop_tri_v4:15:10154106:10155509:1 gene:Potri.015G075600.v4.1 transcript:Potri.015G075600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075600.v4.1 MEGAGSREYRKGLWTVEEDRILMDYVKVHGKGKWNRAAKVAGLKRGGKSCRLRWMNYLSPSVKRGAFSEEEDDLIIRLHKLLGNRWSLIAGRIPGRTDNQVKNHWNTHLSIKLGVKKGKSKISSSKFSKKIEASFNTKLSSNERLIPSNKTETELQNVIEDSHEKEIEITSTHEPILTSDCYENFWLFNDDSYLFTPSLMELLDESLESFMA >Potri.005G049800.5.v4.1 pep chromosome:Pop_tri_v4:5:3153491:3156773:-1 gene:Potri.005G049800.v4.1 transcript:Potri.005G049800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049800.v4.1 MKFYTSWVDTAKRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVNDPEVRQFVEKCLATVSLRLSAKELLNDPFLQIDGCGSDLRPSEPGIEVHGLGPMMRLPYLELHDNTNSYSNGYLNGYGYEAQNEWEYHPVEVEPNGIELFEHHDEHPANVDISIKGKWGDDGGIFLRIRIADKEGRIRNIYFPFDIETDTASNVATEMVAELDINDQDVTKIADMIDGEIAALVPEWKSGPGIEETPCFANQTVCHNCASTCTSNGSFMEFLSNNPCCSHGCASMHGRFGEITFQVDESEDHITEGAPNIVNQPDYSHHQEIWGQQESRQLTPIGSGRSHSDEEYYNFDQPVPEKNAKEIKMENGIPDGGKSFRHHTGSGSFSRLTSLYNDLADNNENEIQQDLRWLKAKYQMELRKLRDEQLGIAVKPSTSRNGEGITSNQVSSSSMSNSFQEDSNGDVSKSLTKQVSHNLHGNASKSCALSDTRRHRNHKLMTRSPRPEDMVTVNNFCTGPLLPHSLHRTTSLPVDAVDV >Potri.005G049800.1.v4.1 pep chromosome:Pop_tri_v4:5:3152470:3156776:-1 gene:Potri.005G049800.v4.1 transcript:Potri.005G049800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049800.v4.1 MNGYSGFVEVDPTGRYGRYNEILGKGASKTVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTAKRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVNDPEVRQFVEKCLATVSLRLSAKELLNDPFLQIDGCGSDLRPSEPGIEVHGLGPMMRLPYLELHDNTNSYSNGYLNGYGYEAQNEWEYHPVEVEPNGIELFEHHDEHPANVDISIKGKWGDDGGIFLRIRIADKEGRIRNIYFPFDIETDTASNVATEMVAELDINDQDVTKIADMIDGEIAALVPEWKSGPGIEETPCFANQTVCHNCASTCTSNGSFMEFLSNNPCCSHGCASMHGRFGEITFQVDESEDHITEGAPNIVNQPDYSHHQEIWGQQESRQLTPIGSGRSHSDEEYYNFDQPVPEKNAKEIKMENGIPDGGKSFRHHTGSGSFSRLTSLYNDLADNNENEIQQDLRWLKAKYQMELRKLRDEQLGIAVKPSTSRNGEGITSNQVSSSSMSNSFQEDSNGDVSKSLTKQVSHNLHGNASKSCALSDTRRHRNHKLMTRSPRPEDMVTVNNFCTGPLLPHSLHRTTSLPVDAVDV >Potri.005G049800.4.v4.1 pep chromosome:Pop_tri_v4:5:3153489:3156699:-1 gene:Potri.005G049800.v4.1 transcript:Potri.005G049800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049800.v4.1 MKFYTSWVDTAKRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVNDPEVRQFVEKCLATVSLRLSAKELLNDPFLQIDGCGSDLRPSEPGIEVHGLGPMMRLPYLELHDNTNSYSNGYLNGYGYEAQNEWEYHPVEVEPNGIELFEHHDEHPANVDISIKGKWGDDGGIFLRIRIADKEGRIRNIYFPFDIETDTASNVATEMVAELDINDQDVTKIADMIDGEIAALVPEWKSGPGIEETPCFANQTVCHNCASTCTSNGSFMEFLSNNPCCSHGCASMHGRFGEITFQVDESEDHITEGAPNIVNQPDYSHHQEIWGQQESRQLTPIGSGRSHSDEEYYNFDQPVPEKNAKEIKMENGIPDGGKSFRHHTGSGSFSRLTSLYNDLADNNENEIQQDLRWLKAKYQMELRKLRDEQLGIAVKPSTSRNGEGITSNQVSSSSMSNSFQEDSNGDVSKSLTKQVSHNLHGNASKSCALSDTRRHRNHKLMTRSPRPEDMVTVNNFCTGPLLPHSLHRTTSLPVDAVDV >Potri.005G049800.3.v4.1 pep chromosome:Pop_tri_v4:5:3153466:3156736:-1 gene:Potri.005G049800.v4.1 transcript:Potri.005G049800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G049800.v4.1 MKFYTSWVDTAKRNINFVTEMFTSGTLRQYRLKHRRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPAQIYKKVISGKKPDALYKVNDPEVRQFVEKCLATVSLRLSAKELLNDPFLQIDGCGSDLRPSEPGIEVHGLGPMMRLPYLELHDNTNSYSNGYLNGYGYEAQNEWEYHPVEVEPNGIELFEHHDEHPANVDISIKGKWGDDGGIFLRIRIADKEGRIRNIYFPFDIETDTASNVATEMVAELDINDQDVTKIADMIDGEIAALVPEWKSGPGIEETPCFANQTVCHNCASTCTSNGSFMEFLSNNPCCSHGCASMHGRFGEITFQVDESEDHITEGAPNIVNQPDYSHHQEIWGQQESRQLTPIGSGRSHSDEEYYNFDQPVPEKNAKEIKMENGIPDGGKSFRHHTGSGSFSRLTSLYNDLADNNENEIQQDLRWLKAKYQMELRKLRDEQLGIAVKPSTSRNGEGITSNQVSSSSMSNSFQEDSNGDVSKSLTKQVSHNLHGNASKSCALSDTRRHRNHKLMTRSPRPEDMVTVNNFCTGPLLPHSLHRTTSLPVDAVDV >Potri.006G241300.1.v4.1 pep chromosome:Pop_tri_v4:6:24306261:24310507:1 gene:Potri.006G241300.v4.1 transcript:Potri.006G241300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241300.v4.1 MAASYDYEDAPSRHDEETGPQGYDPNFVPDSVKSFVTHLYRHIREKNVYEIHQMYETSFQTLSDHLFKDTPWPSVDAVANYVDNDHVFCLLYREMWFRHLYARLSPTLKQRIDSWDNYCGLFQVVLHGVVNMQLPNQWLWDMVDEFVYQFQSFCQYRAKMKNKTEQEIALLRQFDPAWNVYGVLNFLQALVEKSSIIQILEQEKEGIEQFTATDGYDYSGGSNVLKVLGYFSMVGLLRVHCLLGDYHTGLKCLLPIDISQQGVYTSVIGSHIATIYHYGFANLMLRRYVDAIREFNKILLYIYKTKQYHQKSPQYEQILKKNEQMYALLAICLSLCPQVKLVDETVNAQLREKYGEKMARMQRYDDEAFSLYDELFSYACPKFITPSAPSFEEPLVNYNQDAYRLQLKLFLYEVKQQQLLSGVRTFLKVYSTISLGKLANYMEVDEPTLRTILMTYKHKTHAVDSEGKIISNADVDFYIDEDMIHVVDTKPVKRYGDYFLRQIVKFEGVINDMDRIKLE >Potri.018G038000.6.v4.1 pep chromosome:Pop_tri_v4:18:3028570:3036366:1 gene:Potri.018G038000.v4.1 transcript:Potri.018G038000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038000.v4.1 MESSDRLISAPLDGLVSDRIPRPPKLLHGRTSGPARRSTKGQWKAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQQEEVALIRAHQIYGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYVASGLLEQFQSSPLAGHQTLSLPSSSSRLHSSGDDNAQRGGSEAEDISECSQESTMVGCSQSAGDQGIAVFHTREEFQFAEESGPRKEQSSSPASCSEQYYIPEMPCELGGSSNFLQQSFSHNTLTSANSDYQFELQELPNISTLELRQESSGLPTHCITANESHELVNDPFQTSIGLGAPTSMGNIAASSAQSGQIFVSDDECCRILFSEAANCEIFSSGNITKDSNVADLGGDMDSSLPQSPNIQISETERSTSQSFCPPRSAILGTSCRQSFLPGSSLHSADDNKPVYGREPNPLMVQSFGTLEQQFISSIHDSFIYTIDAINSSCDNGTDNTELQEKPYLKEPSKLVPVNTFPSVSDTISSCAADEKPNVHAEQEAGGLCYEPPRFPSLDMPFLSCDLVQSGSDMQQEYSPLGIRQLMMSSMNCITPFRLWDSPSRDGSPDAVLKSAAKTFTGTPSILKKRNRDLLSPLSDRRVDKKLEIDMASNLSKDFSCLDVMFDKSETHNRSSLLPPSSNQEKNHESSGEDKENLDPALEGAGEFCSNTKDNVKQGTGDSDARSKVHSDASVQQSSGVLSEENTNHLLFSPDQLGFKADRAFGPSARTPRNLYRKILGTLSEQASGSESSFGNPCMIFSPTSCKKNHENHIIESTSIQSIPSSAPSENMPDNSGNNAGTGNFGIFGDTPFKRSIESPSAWKSPWFINSFVPGPRIDTEISIEDIGYFMSPGDRSYDAIALMKQLSEHTASAYADALEVLGKDTPESMLKERRHSNDQNGDQENRSHLASNVLTECRTLDFSECGTPGKLTENGKSSSAISFSSPSAYLLKGCR >Potri.018G038000.3.v4.1 pep chromosome:Pop_tri_v4:18:3028571:3036308:1 gene:Potri.018G038000.v4.1 transcript:Potri.018G038000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038000.v4.1 MESSDRLISAPLDGLVSDRIPRPPKLLHGRTSGPARRSTKGQWKAEEDEILRKAVQRFKGKNWKKIAECFKDRTDVQCLHRWQKVLNPELVKGPWSKEEDEIIIELVNKYGPKKWSTIAQHLPGRIGKQCRERWHNHLNPAINKEAWTQQEEVALIRAHQIYGNRWAELTKFLPGRTDNAIKNHWNSSVKKKLDSYVASGLLEQFQSSPLAGHQTLSLPSSSSRLHSSGDDNAQRGGSEAEDISECSQESTMVGCSQSAGDQGIAVFHTREEFQFAEESGPRKEQSSSPASCSEQYYIPEMPCELGGSSNFLQQSFSHNTLTSANSDYQFELQELPNISTLELRQESSGLPTHCITANESHELVNDPFQTSIGLGAPTSMGNIAASSAQSGQIFVSDDECCRILFSEAANCEIFSSGNITKDSNVADLGGDMDSSLPQSPNIQISETERSTSQSFCPPRSAILGTSCRQSFLPGSSLHSADDNKPVYGREPNPLMVQSFGTLEQQFISSIHDSFIYTIDAINSSCDNGTDNTELQEKPYLKEPSKLVPVNTFPSVSDTISSCAADEKPNVHAEQEAGGLCYEPPRFPSLDMPFLSCDLVQSGSDMQQEYSPLGIRQLMMSSMNCITPFRLWDSPSRDGSPDAVLKSAAKTFTGTPSILKKRNRDLLSPLSDRRVDKKLEIDMASNLSKDFSCLDVMFDKSETHNRSSLLPPSSNQEKNHESSGEDKENLDPALEGAGEFCSNTKDNVKQGTGDSDARSKVHSDASVQQSSGVLSEENTNHLLFSPDQLGFKADRAFGPSARTPRNLYRKILGTLSEQASGSESSFGNPCMIFSPTSCKKNHENHIIESTSIQSIPSSAPSENMPDNSGNNAGTGNFGIFGDTPFKRSIESPSAWKSPWFINSFVPGPRIDTEISIEDIGYFMSPGDRSYDAIALMKQLSEHTASAYADALEVLGKDTPESMLKERRHSNDQNGDQENRSHLASNVLTECRTLDFSECGTPGKLTENGKSSSAISFSSPSAYLLKGCR >Potri.001G112900.1.v4.1 pep chromosome:Pop_tri_v4:1:9141526:9143276:-1 gene:Potri.001G112900.v4.1 transcript:Potri.001G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112900.v4.1 MASFFHSWSFSLFLIVLTSAMFIGKSMAVGYGKARVPTVFRPSQWSLAHATFYGDETARETMGGACGYGNLFQTGYGTNTAALSTTLFNNGYACGTCYQIKCTNAPACYGVITTVTATNICPPNWSQDSNNGGWCNPPRVHFDMSKPAFMKIAQWKAGIVPVMYRRVPCARTGGLRFKLQGNGYWLLVYVMNVGGGGDIASMWVKGSKTGWISMSHNWGASYQAFASLRGQALSFKITSYTTKQTVIALNVAPSTWGAGMTYKSTVNFS >Potri.006G053300.1.v4.1 pep chromosome:Pop_tri_v4:6:3722062:3725494:1 gene:Potri.006G053300.v4.1 transcript:Potri.006G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053300.v4.1 MASRRNVRYSSLPDDDNDDKFGVQYDPRFDYTPGSFDRVPWKSIFLAIFLLFLGCVLLSLSFFIFTGHMGGEKSQAYGLLALGIITFMPGFYETRIAYYSWRGAKGYQFASIPKY >Potri.015G113000.9.v4.1 pep chromosome:Pop_tri_v4:15:12912087:12916087:1 gene:Potri.015G113000.v4.1 transcript:Potri.015G113000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113000.v4.1 MATTANKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDISNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVAAEEAQVFAQENGLFFMETSAKTATNVNDIFYEIAKRLSQVQPAPNPSGMVIMDRPTERTASAACCS >Potri.015G113000.10.v4.1 pep chromosome:Pop_tri_v4:15:12912087:12916063:1 gene:Potri.015G113000.v4.1 transcript:Potri.015G113000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113000.v4.1 MATTANKNINAKLVLLGDVGAGKSSLVLRFVKGQFVEFQESTIGAAFFSQTLAVNDATVKFEIWDTAGQERYHSLAPMYYRGAAAAIIVYDISNQASFERAKKWVQELQAQGNPNMVMALAGNKADLLDARKVAAEEAQVFAQENGLFFMETSAKTATNVNDIFYEIAKRLSQVQPAPNPSGMVIMDRPTERTASAACCS >Potri.001G282400.1.v4.1 pep chromosome:Pop_tri_v4:1:29566987:29569958:1 gene:Potri.001G282400.v4.1 transcript:Potri.001G282400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G282400.v4.1 MATDAAATKFQNPADFRPDFHPEKISSTTTYDGLHFWQYMISGSIAGLVEHMAMFPVDTVKTHMQAIGSCPIKSVSVTHVLNSLLKSEGPAALYRGIAAMALGAGPAHAVHFSFYEVCKKHLSRDNPNSSIAHAVSGVCATVASDAVFTPMDMVKQRLQLGSDSVYKGVWDCVKRVVREEGFGAFYASYRTTVLMNAPFTAVYFTIYEAAKKGLMEISPDSVNDERWVVHATAGAAAGALAAAVTTPLDVVKTQLQCQGVCGCDRFKSGSIRDVIKAILEKDGYRGLMRGWIPRMLFHSPAAAISWSTYEASKSFFHKLNSNSNSDNVT >Potri.010G238700.1.v4.1 pep chromosome:Pop_tri_v4:10:21804036:21806081:1 gene:Potri.010G238700.v4.1 transcript:Potri.010G238700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238700.v4.1 MGVGISVLLGLKATVLFLIFVSLQNLDFTLSSLPFLYASLVSLLVSLASHPSINLPMLLSKNPDGTFPIWSLIIFSPYLYFVRLFSFLRRFTSGEEPYSEICEGVYVGGWPYSVDKLPPGNPAIIDCTCEFPRKEEFKGHSYLCLPTWDTRAPQPGEIESAVKWACRKRAQNRPVFIHCAYGHGRSVAVMSALLVALGVVEDWKKAEQFIRERRPCISMNSVHYNALEEWSKHRLSNPKGNEVNTSSAILSTASGRSRYDRPKNRSD >Potri.013G159301.1.v4.1 pep chromosome:Pop_tri_v4:13:15373209:15373865:1 gene:Potri.013G159301.v4.1 transcript:Potri.013G159301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159301.v4.1 MKRKGVDEFPFWAHLVSWEENVSSEALEAAPIACNNAGKDAFHLRVRVHSFHVLRINKMLSCGGADSLQTGMRGAFGKPQGTCARVAIGQVLLSDVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWHAISFICFINRDISRFSIIFYVSIIVMHEVVCVFFVLRGTPDALISYFCAALPYWKNESLHLMASHFPTFFWKFFTFCLVGEYALTITT >Potri.004G027900.1.v4.1 pep chromosome:Pop_tri_v4:4:2129095:2133136:1 gene:Potri.004G027900.v4.1 transcript:Potri.004G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027900.v4.1 MVSMSMIPVLVFLLSVSYSLLFLAPFCHAATNTLTIGQSLKDGETLISVDENFELGFFSPGNSSLRYVGIRYYKIQDQAVIWVANREKPISGSNGVLRIGEDGNLLVTDGNGSPVWSSNASVVSSNTAAMLDVTGNLILSSNDSIGETDKAYWQSFNNPTDTYLPHMKVLVSTAEIHVFTSWKSANDPSPGNFTMGVDPRGTPQIVVWEGSRRRWRSGHWNGIIFSGVPYMKAFTTYQYGFKFSPESDGNFYVTYNPSDNSEFLRFQITWNGFEETKKWNESAKTWQVIQAQPSEECENYNYCGNFGVCTPSGSPKCRCMEGFEPRHPDQWRLGNWSGGCGRRSPLQCQRNTSSGGEDGFKTVRCMKLPDFADVKSISLDACREMCLNNCSCKAYAHVSEIQCMIWNGDLIDVQHSVEGGDTLYLRLADSELDRSRMSMYVIILIVLAGLAFLAISIWLLWMLKKRLKVLPAATSACTSSKCELPVYDLSKSKEYSTDASGSADLLKEGSQVNGSDLPMFNFNCLAAATDNFSEENKLGQGGFGLVYKGKLPGGEEIAVKRLSNISGQGLLEFKNEIILIAKLQHRNLVRLLGCSIQGDEKMLIYEYMPNKSLDYFLFDPEKQALLDWSKRFAIIEGIARGLLYLHRDSRLRIIHRDLKASNILLDEEMNPKISDFGMARIFGGNQSEINTNRVVGTYGYMAPEYAMEGLFSVKSDVYSFGVLLLEIVSGRRNTSFRQTERMILIAYAWDLWNEGKTMEIVDPSIRDSCDENEVLRCIQIGMLCVQDSALHRPSMASVVVMLESCTTNIPLPRQPNFTSVRASIDPEISLEVHEVALSS >Potri.006G279100.1.v4.1 pep chromosome:Pop_tri_v4:6:26913414:26916356:1 gene:Potri.006G279100.v4.1 transcript:Potri.006G279100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279100.v4.1 MEVIVNKKKRRKEQRESKNNNQLRVSSSTPRTRSQVSPEWTTKEALILVNEIAAVEKDCLKALSTYQKWKIIVDNCVVLDVARNLNQCRTKWNSLVNEYNLIKNWDKESESRSDFYWSLESERRREFGLPENFNDELFRAIDDYMWCHKEHPDTDPDPDPDPDTDSEKPDLLHAITNPGTKKQRWRSKSLRTPMEEKTHKCCREDNSQTIHAEEKPQERCVEENSQIYCTVEKPQRVHAEEEHQESQVQESTQIYNAGGKLQTIHAEEDPQESCAEEEPHTIHAEEEPEESPAEEHPQPCCSKEKPRAIHLEEETQERYLEENHQTCCTNEKPQSILAETQLQESHEEEKPQKCRRKENSQNAHGDEKPKIHRGRKKKMPSTEEMKQMMVEKLHENAEMIQAVVNGNFPEMADLEAADSKNIEGFKTDLIRRQGDKLIACLQNIVNSINQFPCLLQECD >Potri.006G279100.3.v4.1 pep chromosome:Pop_tri_v4:6:26913959:26916354:1 gene:Potri.006G279100.v4.1 transcript:Potri.006G279100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279100.v4.1 MEEKTHKCCREDNSQTIHAEEKPQERCVEENSQIYCTVEKPQRVHAEEEHQESQVQESTQIYNAGGKLQTIHAEEDPQESCAEEEPHTIHAEEEPEESPAEEHPQPCCSKEKPRAIHLEEETQERYLEENHQTCCTNEKPQSILAETQLQESHEEEKPQKCRRKENSQNAHGDEKPKIHRGRKKKMPSTEEMKQMMVEKLHENAEMIQAVVNGNFPEMADLEAADSKNIEGFKTDLIRRQGDKLIACLQNIVNSINQFPCLLQECD >Potri.014G125600.1.v4.1 pep chromosome:Pop_tri_v4:14:8432947:8437339:1 gene:Potri.014G125600.v4.1 transcript:Potri.014G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125600.v4.1 MPTTDSHAHAPTNPKQCLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKYDVATSSIKGSLIVGSLEQIFRREGLRGMYRGLAPTVLAMLPNWAVYFTIYEQFKSFLCSNDESHHLSIGANMIAASGAGAATTIFTNPLWVVKTRLQTQGMRAGIVPYRSTLSALRRIAHEEGMRGLYSGLVPALAGVSHVAIQFPTYEKIKMYLATRGNTTMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGFHSEKRYSGVVDCINKVFQQEGLPGFYRGCATNLIRTTPAAVITFTSFEMIHRFLVTLFLPDPQPHTL >Potri.014G125600.2.v4.1 pep chromosome:Pop_tri_v4:14:8432951:8437813:1 gene:Potri.014G125600.v4.1 transcript:Potri.014G125600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125600.v4.1 MPTTDSHAHAPTNPKQCLLCNAGAGAAAGVIAATFVCPLDVIKTRFQVHGLPKYDVATSSIKGSLIVGSLEQIFRREGLRGMYRGLAPTVLAMLPNWAVYFTIYEQFKSFLCSNDESHHLSIGANMIAASGAGAATTIFTNPLWVVKTRLQTQGMRAGIVPYRSTLSALRRIAHEEGMRGLYSGLVPALAGVSHVAIQFPTYEKIKMYLATRGNTTMDKLGARDVAVASSVSKIFASTLTYPHEVVRSRLQEQGFHSEKRYSGVVDCINKVFQQEGLPGFYRGCATNLIRTTPAAVITFTSFEMIHRFLVTLFLPDPQPHTL >Potri.012G124888.1.v4.1 pep chromosome:Pop_tri_v4:12:14190074:14190789:1 gene:Potri.012G124888.v4.1 transcript:Potri.012G124888.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124888.v4.1 MLQGLFSYVLLSTDVSANKNTDPALVNLLKVSYTESNFSMAFPYVAASEEAMENSLVSGFAEACGQDFGISDVAFSESCSVEGENFKKLANLHAINASYFLDH >Potri.013G128300.5.v4.1 pep chromosome:Pop_tri_v4:13:13548117:13556816:-1 gene:Potri.013G128300.v4.1 transcript:Potri.013G128300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128300.v4.1 MLPVCSATPSCSPHSQIPLHGGPQPFCSVWKDIESRFYVEDRVLLGMSNGTHSQRMSFKTQAVKSFYSSFVESNEQSISLSFINDHSCPNELGDIKCKFYNDWISSVGAIDELHPMGGGGKLKSFESYNIADVEEELMDSTDQLTENTDSVIGLVEPQTTSTIDITPEKPSLGSESLEMDSDSLSSAKTSLDDLLGGFKDSINTSVNQGENAVQRSLNTITTSITSIKKSASETADSALSKVFSTFNQTGELAGDRLTSFSTDLREAIKKTTGASVEVLRGAIVAVEESIVKGASFVVYSYGSAKELLPPEIRGALNLSEERATKILRPIGATFQQVYIAIEGLEKSLGLDPNDPVVPFVLFLGTSATLWGFYWVWAYGGYSGDLSPQLTLKLLAEKGDTILIDVRPEVLRERDGIPDLRRAARFRYASVTLPQVDGSVRKLLKGGKDLDDTLIAAVIRNLKAVQDRYQVIVMDANGSRSKGIARSLRKLGVKRPYVVQGGFQSWVKQGLRVKELKPETALTILNEEAEAILEEIRPSPVQALGCGVGFAAASYALLEWEKTLQFIAIVGLGQTIYRRVASYNGPEDFKQDMRLLLSPVRVGAQAFSWATGKLENNRLGLPTSPSSTDVQNRVLQAAAKHESQPSETGVQNPSPNSVAPLNENADLSEA >Potri.013G128300.13.v4.1 pep chromosome:Pop_tri_v4:13:13548211:13556816:-1 gene:Potri.013G128300.v4.1 transcript:Potri.013G128300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G128300.v4.1 MLPVCSATPSCSPHSQIPLHGGPQPFCSVWKDIESRFYVEDRVLLGMSNGTHSQRMSFKTQAVKSFYSSFVESNEQSISLSFINDHSCPNELGDIKCKFYNDWISSVGAIDELHPMGGGGKLKSFESYNIADVEEELMDSTDQLTENTDSVIGLVEPQTTSTIDITPEKPSLGSESLEMDSDSLSSAKTSLDDLLGGFKDSINTSVNQGENAVQRSLNTITTSITSIKKSASETADSALSKVFSTFNQTGELAGDRLTSFSTDLREAIKKTTGASVEVLRGAIVAVEESIVKGASFVVYSYGSAKELLPPEIRGALNLSEERATKILRPIGATFQQVYIAIEGLEKSLGLDPNDPVVPFVLFLGTSATLWGFYWVWAYGGYSGDLSPQLTLKLLAEKGDTILIDVRPEVLRERDGIPDLRRAARFRYASVTLPQVDGSVRKLLKGGKDLDDTLIAAVIRNLKAVQDRYQVIVMDANGSRSKGIARSLRKLGVKASI >Potri.014G067700.3.v4.1 pep chromosome:Pop_tri_v4:14:4257488:4260113:1 gene:Potri.014G067700.v4.1 transcript:Potri.014G067700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067700.v4.1 MRGIHYLSKLKAANIPPELLEKGQNRVIDASLTLIRERAKLKGELLRALGGVKASATLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEEDPLHPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYHCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMQTFSRDRQMLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTGMVAAKLVRELAAKISK >Potri.014G067700.1.v4.1 pep chromosome:Pop_tri_v4:14:4257382:4260169:1 gene:Potri.014G067700.v4.1 transcript:Potri.014G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G067700.v4.1 MRGIHYLSKLKAANIPPELLEKGQNRVIDASLTLIRERAKLKGELLRALGGVKASATLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEEDPLHPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYHCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMQTFSRDRQMLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRDVLNILHNLQADVVAADVVEFNPQRDTVDGMTGMVAAKLVRELAAKISK >Potri.012G122200.4.v4.1 pep chromosome:Pop_tri_v4:12:14000519:14004320:1 gene:Potri.012G122200.v4.1 transcript:Potri.012G122200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G122200.v4.1 MAEALLSPILEQLTTVVAQQVREEVSLVGDVKKQVDKLKSNLLSIQSVLEDADRKQVKDKAVRDWLDKLKDVCYDMDDVLDEWSTAILRWKMEEAEENTRSLQKMRCSLSPCFCLNQVVLRRDIALKIKEVSEKVDDIAKERVVYGFDLYRATYELQRLTSTSFVDESSVIGRDVEKKTIVSKLVGESSQEARDVDVITLVGLGGIGKTTLAQLAYKDAEVTAHFEKKIWVCVSEPFDEVRIAKAILEQLEGSAPNLIELQSLLQMVSESIKGKRLLLVLDDVWTDNHRQWEQLKPSFTGCARGSRILVTTRKGTVATIMGTDHQINVEKLSDEICRSIFNHVAFQERSKDERERLTDIGDKIANKCKGLPLAAKVLGGLMQFKRTREEWERVLSSELWGLDEVDRDQVERGIFLPLLLSYYDLPSVVRRCFLYCAMFPKDYEMRKYELVKMWIAQGYLKETSGGDMEAVGEEYFQVLAARAFFQDFKTYGREDIRFKMHDIVHDFAQYMTKNECLTVDVNTLGGATVETSIERVRHLSIMLPNETSFPVSIHKAKGLRSLLIDTRDAWLGAALPDVFKQLRCIRSLNLSMSPIKEIPNEVGKLIHLRHLNLVACRELESLSETMCDLCNLQSLDVAWCDSLKELPNAIGKLIKLRHLRISGSGVAFIPKGIERITGLRTLDGFTVCGGGANESKAANLRELKNLNRIGSLVIWNLRGGEEYVSDAAEAQLKNKNRLLCLQLDFNFNRENNILIEVLQPPSDLENLTITRYGGLDLPNWMMTLTRLQVLTLGLCTNVEVLPPLGRLPNLESLVLRSMKVRRLDAGFLGIEKAANASINEGEIARVTAFPKLKQLLIMGLKEVEDWDGIERRVGEEDANTTSIISIMPQLRDLMILDCPLLRALPDYVLAAPIQELRIRRCPILTNRYGKEEMGEDWQKISHIPKIHI >Potri.001G222700.1.v4.1 pep chromosome:Pop_tri_v4:1:23717207:23723193:-1 gene:Potri.001G222700.v4.1 transcript:Potri.001G222700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222700.v4.1 MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVSMEPEKAEWGFKALKQTVKIYYRLGKYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFSLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFGMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYQKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIEKWNTQLRSLYQTVSNRVY >Potri.015G028600.2.v4.1 pep chromosome:Pop_tri_v4:15:2182328:2185877:1 gene:Potri.015G028600.v4.1 transcript:Potri.015G028600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G028600.v4.1 MAFFIVQCSASLYPNIVLLLYVKPGPRFISAAERAEFRCIERERQALLSFKQELEYPSGLLSSWGSEEGQKSDCCKWVGVGCNNRTDRITMLDLHGLAVGGNITDSLLELQHLNYLDLSDNSFYGNPFPSFVGSLRKLRYLSLSNNGLIGRLSYQLGNLSSLQSLDLSYNFDVSFESLDWLSRLSFLEHLHLTGNHLTQASDWIQVVNKLPRLKDLQLSDCSLLSIVPPALSFVNSSRSLAILDLSFNHLASSIVPWLSNSSDSLVDLDLSANQLQGSIPDAFGKMTSLTNLHLADNQLEGGIPRSFGGMCSLRELDLSSNNLSGPLPRSIRNMHGCVENSLKSLQLRDNQLHGSLPDFTRFSSVTELDLSHNKLNGSLPKRFRQRSELVSLNLSDNQLTGSLPDVTMLSSLREFLIYNNRLDGNASESIGSLSQLEKLNVGRNSLQGVMSEAHFSNLSKLQELDLSHNSLVLKFTYDWAPPFLLNYLYLSSCNLGPHFPQWLRNQNNLWVLDISGTGISDTIPNWFWDLSNSSLTLLNFSHNNMRGMLPDLSSKYAEIVGVDLSSNQFEGPLPTFPLQTIALDLSNNMFSGPNSAICKIAGPQLISLDLSKNLLSGNLPNSLIPFDGLAFLDLAHNNCSGRIPRSLGSLLMLRTLNLHLSINKLRSKIPAWMGESLLSLKFLFLQSNEFYGSIPSHFCRQRHIKILNLSLNNISGIIPRCLDNYTAMIQKGELTDINSGELGLGQPGQHVNKAVVDWKGRQYEYVRSLGLFRIIDFAGNKLTGEIPEEITSLLQLVALNLSGNNLTGGIPLKIGQLKQLESLDLSGNQLSGVIPSSTASLSFLSYLNLSYNNLSGKIPSGTQLQSFNASAFAGNLALCGLPVTHKCPGDEATPRPLANDDNQGNETVVDEFRRWFYTALGIGFGVFFWGVSGALLLKRSWRHAYFRFLDEAWDWIYVKIAVQKARLQQIYLRLLS >Potri.006G173901.1.v4.1 pep chromosome:Pop_tri_v4:6:17583316:17584239:-1 gene:Potri.006G173901.v4.1 transcript:Potri.006G173901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G173901.v4.1 MAAREPFNVTERAPNPGAVTPHSPWHSPVPYLFGGLAAMLGLIAFALLILACSYWKLSGYLESGNEGGERDLEAGEGENKSGDGSEKKPIAFEEKILVIMAGEVKPTFLATPMSSRSTSFGDTSSEKSCSCSSEKSEKLGNVNDVEMVKQGNTDEDHQVQSGDMNSHESSSQNH >Potri.019G086300.1.v4.1 pep chromosome:Pop_tri_v4:19:12563975:12567053:1 gene:Potri.019G086300.v4.1 transcript:Potri.019G086300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086300.v4.1 MNLLHSLLLLLPLLLFITTKSTATIPPGSSLYASNTSRTWSSPNNTFFLGFTQVGTSYTVSISYAAGVAIWTTDSVVSGTASAAVVDSGGVFQFLRNGNLRLVNGSGATVWTSNTAKLGVTSASLDDTGNLVLAANTFAVWSSFENPTDTLVPSQNLTVNQTLRSGVHSFRLLSNGNITLTWNDSVVYWNQGLSSLSALNVTSPTLRLQPNGILTLSDASLRRSENVAFGNDYGEGADVMRFLRFSDGNLRMYSGGTTTMTWAVLADQCQVYGYCGNMGICSYNESNSSPICKCPSLNFEAVDVNDRRKGCKRKVEVEDCVGNVTMLELKQTKFFTFQAQQIVSIGITACRVNCLSSTSCFASTSFSDTNVWCYLKNSPDFVSGYQGPVLLSTSYVKVCGTVQPNPSPLQQSGGDKKCWKLRVWVVGFVVVVTILVMAALAGLFWWFCCKTSPKFGGVWAQYTLLEYASGAPVQFSYKDLHRWTKRFKDKLGAGGFGAVYRGVLANRTVVAVKQLEGIEQGEKQFRMEVATISSTHHLNLVRLIGFCSEGRHRLLVYEFMKNGSLDHFLFRTEDQSEKLLNWKNRFNIALGTARGITYLHEECRDCIVHCDIKPENILLDENFNAKVSDFGLAKLISTKDQRYRSLTSIRGTRGYLAPEWLANLPITSKSDVYSYGMVLLEIVSGRRNFEVSAEINEKKFSEWAYGEFEKGNVAAIVDKRLADQGVDMEQVMRAVQVSFWCFQEHPSQRPTMGKVVQMLEGIIEIAMPPAPKALTEGSSSGTSTSLSSKDGAHSTYEASAPPPSSSSSFQTAGISPFISERIMEKQSSSFLGSFQTKSR >Potri.003G089900.4.v4.1 pep chromosome:Pop_tri_v4:3:11629180:11630150:-1 gene:Potri.003G089900.v4.1 transcript:Potri.003G089900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089900.v4.1 MQMACVSNSACHVWRKTSPQSLFGWNIGKRNTNDRPQPKYHEVDLPFSTSLVDKTFLRGRELKCCYKATIDGFSATNFHDGSDFKGPCVIIGYTNKSFKFGAFNPEGYRSTDDYYDTFDAFLFYWTDTEKIDPIILPKVGGSGAALFDYARGGPQFGADGLLIGPPLAPVMGGFAGPDTNSGIGDLRQAKSRLGLSYAKREDGKESIFGDDSRATLEEVQVFCSPQIASLY >Potri.001G301200.1.v4.1 pep chromosome:Pop_tri_v4:1:31167345:31168876:1 gene:Potri.001G301200.v4.1 transcript:Potri.001G301200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301200.v4.1 MGAIISRFARRFLPKTEVRILMVGLDASGKTTILYKLKLGEIVLTVPTIGFNVETVVYKNISFTVWDVGGQQKIRHLWRHYFQSAHGLIFVVDSNDRRRILEARNELHCILSHIELKDAILLVFANKQDVPDAMPVSEVADKLGLPTLKQRHWYIQSSSATSGRGLYEGLDWLSNNISNKAA >Potri.009G104100.1.v4.1 pep chromosome:Pop_tri_v4:9:9151332:9152303:-1 gene:Potri.009G104100.v4.1 transcript:Potri.009G104100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G104100.v4.1 MFSYNMDAAKADVAKDLSPFIILYKDGRIERLIGNEIVSPSQDPKSDVLSKDVIYSKEARLSCRLYLPKGVDPNKKLPLLIYIHGGGFCVESAFSPAYHNYVNLLVAEAKVIAISVDYRRVPEHPIPIPYDDSWAALKWAASHVNGDGPEEWLNKHADLSKVFLAGDSAGGNIAHHVAMRFGQEKIIGVNVAGIVLINPYFWGEEPIGNEVNELERVLKGISATWHLACPKTSGCDDPLINPTYDPNLSSLGCSKVFVSVAEKDLLRDRGLLYCETLKKSGWVGVIETMEVKGEGHVFHLFKPASDNAVAMLKKIVSFIHGQN >Potri.018G035450.1.v4.1 pep chromosome:Pop_tri_v4:18:2748632:2749503:1 gene:Potri.018G035450.v4.1 transcript:Potri.018G035450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035450.v4.1 MEGVFDISPSCRNFKNPAVYLYRFPQLHAPSGSTPSKLSLSLSQKEAFKKSLQGRLLHQASSILAFFFLLLHHNLFSRLRYVTAVSDGSG >Potri.004G188600.1.v4.1 pep chromosome:Pop_tri_v4:4:20130592:20131912:1 gene:Potri.004G188600.v4.1 transcript:Potri.004G188600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G188600.v4.1 MPTTPTKGNRRGGQDPERNRKNRLTAKASSFHGKIPAEIPEARIRRPKTLPDLLAGRNLTAKAPEVRPKLTKVLVNVTVQGSVGAVQVLMSPESTVGELISAAIQQYKKEGRRPIIANDSRFNLHYSQFSLESLDREEKVMALGSRNFFLCQKKSGMDGASCSSGSGGLTTTSSPSCSKEVEKEVAKSNGVGIRPWLKFMDFLL >Potri.018G057000.1.v4.1 pep chromosome:Pop_tri_v4:18:5639434:5641765:1 gene:Potri.018G057000.v4.1 transcript:Potri.018G057000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G057000.v4.1 MEEYIQGFNMTSEKEEWHKSHKASEDKKLELRLGPPGEISLVYKTNPTTHGAKRVLEHTVGAKPSEGYWFTDTDEKQYKKFSGYEEGAEEVFSSPWLSNPLHSSTFHRETQKELLQPKPSFLQCSKVEELQCPDKMACSTSSSVPFPATTAGTNGCHKRAALAPVVGWPPIRSFRKNLAGSSTPKLVSESRNKPPKEGSSLKPDSFRNDLFVKINMEGVPIGRKINLNAYDSYEKLSVAIDELFRGLLAARRETADPRNDKKVKEANANAGSVSGSGEYTLVYEDNEGDRILVGDVPWHMFVSTAKRLRVLKSTEISTPQLSVGNNKQEKTPLSPAVEIGR >Potri.005G156100.7.v4.1 pep chromosome:Pop_tri_v4:5:14776797:14778285:1 gene:Potri.005G156100.v4.1 transcript:Potri.005G156100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G156100.v4.1 MNENPNRAEAERLLGIAEKLLQSRDLSGTKDFAVLAQETEPLLEGSEQILAVADVLLSAEKRINNHHDWYSILQISQKTDDSELVKKQYRRLALLLHPDKNRYPFADHAFKLVADAWAVLSDTCKKTLYDNELSLFSKIDLSTSGKLPVRRSQRPVGHKKAENLKTNVNNVSNQQEGQGQRAKLSSFWTACPYCYILYEYPRVYENCCLRCQNCQRGFHAVLIPSLPPLVPGQESYYCCWGFFPLGFTLGIAGSGEKNGGGGAGFPNWMPPVFGTEQLQGGDKNCVNVAANVGGKSGSGGGHGGAGMRSNAASATPVRVDGESKDRMVEASGRSAMGMPGSATGTAGNSTGMAGPRKRGRPRKYPVQA >Potri.010G244900.4.v4.1 pep chromosome:Pop_tri_v4:10:22054969:22060171:-1 gene:Potri.010G244900.v4.1 transcript:Potri.010G244900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244900.v4.1 MAIALSSFNIFFTFLLVSTFHLGFSFSALQENHSNGTYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPNRINPSHNSSLQHHSTLCRDRVKLSSQESGEKLIDSAKIIQVNAVERPDGVIHGIERLLIPRSVQQDFNNRRSLQSISAVKPEGAPEVDPRTHRLKKPAPPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIETLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKISYDTLRLPHKVLAEEADGSVKFGHTENSAYLFDPDIYTDGRISVQGIDGVLFPLEEKEKSETKKEIKSVKVAVKPQRRGRLLEVACRMLGTFGQDSHFTTCQ >Potri.010G244900.1.v4.1 pep chromosome:Pop_tri_v4:10:22054987:22059897:-1 gene:Potri.010G244900.v4.1 transcript:Potri.010G244900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244900.v4.1 MAIALSSFNIFFTFLLVSTFHLGFSFSALQENHSNGTYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPNRINPSHNSSLQHHSTLCRDRVKLSSQESGEKLIDSAKIIQVNAVERPDGVIHGIERLLIPRSVQQDFNNRRSLQSISAVKPEGAPEVDPRTHRLKKPAPPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIETLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKISYDTLRLPHKVLAEEADGSVKFGHTENSAYLFDPDIYTDGRISVQGIDGVLFPLEEKEKSETKKEIKSVKVAVKPQRRGRLLEVACRMLGTFGQDSHFTTCQ >Potri.010G244900.5.v4.1 pep chromosome:Pop_tri_v4:10:22055145:22060150:-1 gene:Potri.010G244900.v4.1 transcript:Potri.010G244900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244900.v4.1 MAIALSSFNIFFTFLLVSTFHLGFSFSALQENHSNGTYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPNRINPSHNSSLQHHSTLCRDRVKLSSQESGEKLIDSAKIIQVNAVERPDGVIHGIERLLIPRSVQQDFNNRRSLQSISAVKPEGAPEVDPRTHRLKKPAPPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIETLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKISYDTLRLPHKVLAEEADGSVKFGHTENSAYLFDPDIYTDGRISVQGIDGVLFPLEEKEKSETKKEIKSVKVAVKPQRRGRLLEVACRMLGTFGQDSHFTTCQ >Potri.010G244900.3.v4.1 pep chromosome:Pop_tri_v4:10:22055146:22060124:-1 gene:Potri.010G244900.v4.1 transcript:Potri.010G244900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244900.v4.1 MAIALSSFNIFFTFLLVSTFHLGFSFSALQENHSNGTYSGQINSNSVLVALLDSHYTELAELVEKALLLQTLEDAVGKHNITIFAPKNEALERDLDPEFKRFLLEPGNLKSLQTLLLYHIVPNRINPSHNSSLQHHSTLCRDRVKLSSQESGEKLIDSAKIIQVNAVERPDGVIHGIERLLIPRSVQQDFNNRRSLQSISAVKPEGAPEVDPRTHRLKKPAPPAKPGSAPVLPIYDAMAPGPSLAPAPAPGPGGPHHHFNGEKQVKDFIETLLLYGGYNEMADILVNLTSLATEMGRLVSEGYVLTVLAPNDEAMAKLTTDQLSEPGAPEQIIYYHVIPEYQTEESMYNAVRRFGKISYDTLRLPHKVLAEEADGSVKFGHTENSAYLFDPDIYTDGRISVQGIDGVLFPLEEKEKSETKKEIKSVKVAVKPQRRGRLLEVACRMLGTFGQDSHFTTCQ >Potri.002G020323.1.v4.1 pep chromosome:Pop_tri_v4:2:1254011:1254322:-1 gene:Potri.002G020323.v4.1 transcript:Potri.002G020323.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020323.v4.1 MVQLAIWSNLPTAKFVEALAALVLTNSALEFLSSQEHITDQDFLSVGNHRRHHLEFGSSCNHRQRKKCGIKVCIYFLKGHGRKPHQKILFYSDNHNEDSRPFA >Potri.001G348000.1.v4.1 pep chromosome:Pop_tri_v4:1:35954346:35957846:1 gene:Potri.001G348000.v4.1 transcript:Potri.001G348000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348000.v4.1 MISLHLPPKLITINLQSWLFLSLIYSASFLFSLSLSDPRITQSGLYCGNSKYTVPIPTFTKEMGTLSQLLTTSHFATNNLNHSSTLTFYALAQCHQDLSQTDCLLCYAASRTKLPLCLPSLSGRIYLDGCFLRYDNYSFYQESVSSSFDTFKCGNEYLDVDDDDKHLDFPTRVGYAVGNVTIQAVENGGFAVVNVDGIYALAQCWDSVGREGCRGCLEMAGKAARGCVPKKEGRGMNAGCYLRYSTDKFYNNGGGDERNARGFSGPGIAIAVVLATAACLMLSIFAAYASYVRLLKAKRERNNLGKVPFSFNKSSLNFKYEILEKATDFFSPSRKLGQGGAGSVFIGTLPNGETVAVKRLIFNTRQWVDEFFNEVNLISGIQHKNLVKLLGCSIEGPESLLVYEYVRNKSLDQFLFDKTKSRTLNWKQRFEIILGTAEGLAYLHGGSQVRIIHRDIKSSNVLLDDNLNPKIADFGLVRCFGADKSHLSTGIAGTIGYMAPEYLIRGQLTDKADVYSFGVLVLEILGGKRCNAFVENSGSLLQTVWRLYRSNRLVDAVDPCLRDEFPTREASCVLQIGLLCTQASAALRPSMAQVVHFLTNNDCEIPPPNQPPYMNTSLLETENSRWSYSINSLVSNGPTEVEASSTSMESSSILSSNGQIRSEESSA >Potri.002G218800.1.v4.1 pep chromosome:Pop_tri_v4:2:20949304:20949717:1 gene:Potri.002G218800.v4.1 transcript:Potri.002G218800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218800.v4.1 MSVEILDGATIVNFLEDEEAFNAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGVDVETDPDELALVYGSLFVQFDHDLNGTVELEEFKSETKQMMLAMANGMGFLPVQMVLEEDSFLKKAVEWESAKLVA >Potri.003G185300.1.v4.1 pep chromosome:Pop_tri_v4:3:18958579:18962875:1 gene:Potri.003G185300.v4.1 transcript:Potri.003G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G185300.v4.1 MGKTSKQSLKNSANTSINPATKVKRTRKSVPRDSPPQRSSIYRGVTRHRWTGRYEAHLWDKNCWNESQNKKGRQVYLGAYDDEEAAGHAYDLAALKYWGQDTILNFPLSTYEEEFKEMEGHSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFGNKYLYLGTYATQEEAATAYDMAAIEYRGLNAVTNFDLSRYVKWLRPNNQNNINNPQQNPNGDANIPIQNLDQKLELDFISHQQSSKVNDTEVAPTRPCGAGGSASSALGLLLQSSKFKEMLERTSASDCPLTPPESDRDPPRRSFPDDIQTYFDCQDSSSYTDGDDIIFGDLHSFASPIFHCELDG >Potri.004G221500.1.v4.1 pep chromosome:Pop_tri_v4:4:22662609:22663270:-1 gene:Potri.004G221500.v4.1 transcript:Potri.004G221500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G221500.v4.1 MRIFMKKLTSVDIERHLELPNDTRREALPPFQGAWENVIRIMFEQAEMEVDVHCSCRSGSLAFTKGWIGIARHLRLNAGDVVTLHREDQGGYKMTVRSAS >Potri.013G083300.1.v4.1 pep chromosome:Pop_tri_v4:13:7416539:7418782:1 gene:Potri.013G083300.v4.1 transcript:Potri.013G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083300.v4.1 MEIGSSSPPQNQKPLTRLNNYVARTRVGKRFKLAERNSTFTTELRAGTATFLTMAYILAVNASILTDSGGTCSVSDCIPLCSDPTVSVSNCTGSTGLRVIQPDASCKFDPVNPGYSSCLEKIRKDLIVATVASSLIGCLIMGAFANLPLALAPGMGTNAYFAYTVVGFHGSGNVSYKSALAAVFIEGVIFLGISAIGLRAKLAKLVPKPVRISSSAGIGLFLAFIGLQNGQGIGLVGYSSSTLVTLAGCPSSSRASLAPVMTLANGTVSLIPGGTVSGGIFCLRDRMESPTLWLGVVGFVIIAYCLVKNVKGAMIYGIVFVTAISWFRDTKVTVFPNTEAGDAAHEYFKKVVDVHVIESTAGALSFKSIGKGYFWEALITFLYVDILDTTGTLYSMARFAGFSDQNGDFEGQYFAFMSDASSIVVGSLLGTSPVTAFIESSTGIREGGRTGLTALTVAGYFFLAFFFTPLLASIPAWAVGPPLILVGVLMMKSVVEVEWNDMRQAIPAFMTLILMPLTYSIAYGLIGGIGTYMVLHLWDWGDEFLVKLGVINRSNGGGGGANGVHDHQDGSVKSPGIHV >Potri.009G169000.1.v4.1 pep chromosome:Pop_tri_v4:9:12863037:12866740:-1 gene:Potri.009G169000.v4.1 transcript:Potri.009G169000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169000.v4.1 MESESEMVAFPLLLTPIESNYRACTIPYRFPSDNPKKPTPTELQWIDLFLNSIPSFKKRAESDPTVPDAPVRAEKFAQRYGDVLEDFKKDPESHGGPPDCILLCRLREIILRELGFIDIFKKVKDEENAKAISLFKDVVQLNDAIEDEPKRLENLVRGIFAGNIFDLGSAELAEVFSKDGMSFLASCQNLVPRPWVIDDLDAFKVKWSKKSWKKVVIFVDNSGADIILGILPFARELLRHGTQVVLAANDMPSINDVTYTELIEIIAKLKDENGQLMGVNTSNLLIANSGNDLPVIDLSRVSQVLAYLASDADLVVLEGMGRGIETNLYAQFKCDSLKIGMVKHPEVAQFLGGRLYDCVFKYNEVVS >Potri.018G065100.1.v4.1 pep chromosome:Pop_tri_v4:18:7791426:7793981:1 gene:Potri.018G065100.v4.1 transcript:Potri.018G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G065100.v4.1 MSFLFGKKKTPAELLRENKRMLDKSIREIERERQGLQTQEKKLIAEIKKSAKQGQMGAVKVMAKDLIRTRHQIEKFYKLKSQLQGVSLRIQTLKSTQAMGEAMKGVTKAMGQMNRQMNLPSLQKIMQEFERQNEKMEMVTEVMGDAIDDALEGDEEEEETEDLVNQVLDEIGIDVNQELVNAPSSAVAAPAAKGKVAQVETTGNEDGGIDSDLQARLDNLRRM >Potri.008G163100.1.v4.1 pep chromosome:Pop_tri_v4:8:11228469:11228777:1 gene:Potri.008G163100.v4.1 transcript:Potri.008G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G163100.v4.1 MAFNGNSRVTIVFTLFSILLTLSTLQCGAAMRSLHEEQLLKKRFPLIESLQRGPVPPSAASPCIPGVSGTCELNEMNFVGRANRQPPPAFPSSVTEQSKASN >Potri.005G085600.1.v4.1 pep chromosome:Pop_tri_v4:5:5911628:5921779:-1 gene:Potri.005G085600.v4.1 transcript:Potri.005G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085600.v4.1 MRKKVDERIRTLIENGVKLRHRSLFLIIGDKSREQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQVKKLMQRGLLDPEKVDPFSLFLETGGLTYCLYKDSERILGNTFGMCILQDFEALIPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHERFRTESHFRATGRFNERFLLSLASCKACVVMDDELNILPISSHIRSITPVPVKEDSEGLSEAERGLKNLKEQLHQDFPVGPLIKKCCTLDQGKAVITFLDSVLDKTLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIFITAPSPENVKTLFEFICKGFDAIEYTEHIDYDVVKSANPEFKKATVRINIFKQHRQTIQYIQPHEHEKLSQVELLVIDEAAAIPLPVVRSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQISSKNVEGSLSGRLFRKIELSESIRYASRDPIESWLNALLCLDVTNSIPSIRRLPPCSECNLYYINRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVFLGPVDESKNQLPDILCVIQVCLEGQISRKSAIQSLSEGHQPFGDQIPWKFCEQFRDTVFPSFSGARIVRIATHPSAMRLGYGSAAVELLTRYYGGQLTPISVVDDGNDVEIPQLRVTEAAEKVSLLEENIKPRTDLPPLLVNLHERRPEKLHYLGVSFGLTLDLFRFWKRRKFAPFYIGQIPNTVTGEYSCMVLKPLNNDDSEASGSDEWGFFGPFYQDFKRRFARLLEGDSFRSMEYKLAMSVLDPKINCTDMEQEPTSSAPDGFWRSPTDDLSPYDLERLKVYTGNLADFHLILDIVPILARLYFRGKLPVTLSYVSASILLCVGLQQRNITFIEEQMKVERTQILSLFMKAMKKIYKYLRGIASKEIESTLPRIKERELRPHSISVNDDLKEAAKQVEHAIVGREEDLENALQEHGGKIKPGGVVSAKPKGVKPDKHGKQESGRSGGKRSKEDVGSKSNKKGKF >Potri.005G085600.4.v4.1 pep chromosome:Pop_tri_v4:5:5910618:5921717:-1 gene:Potri.005G085600.v4.1 transcript:Potri.005G085600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085600.v4.1 MRKKVDERIRTLIENGVKLRHRSLFLIIGDKSREQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQVKKLMQRGLLDPEKVDPFSLFLETGGLTYCLYKDSERILGNTFGMCILQDFEALIPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHERFRTESHFRATGRFNERFLLSLASCKACVVMDDELNILPISSHIRSITPVPVKEDSEGLSEAERGLKNLKEQLHQDFPVGPLIKKCCTLDQGKAVITFLDSVLDKTLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIFITAPSPENVKTLFEFICKGFDAIEYTEHIDYDVVKSANPEFKKATVRINIFKQHRQTIQYIQPHEHEKLSQVELLVIDEAAAIPLPVVRSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQISSKNVEGSLSGRLFRKIELSESIRYASRDPIESWLNALLCLDVTNSIPSIRRLPPCSECNLYYINRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVFLGPVDESKNQLPDILCVIQVCLEGQISRKSAIQSLSEGHQPFGDQIPWKFCEQFRDTVFPSFSGARIVRIATHPSAMRLGYGSAAVELLTRYYGGQLTPISVVDDGNDVEIPQLRVTEAAEKVSLLEENIKPRTDLPPLLVNLHERRPEKLHYLGVSFGLTLDLFRFWKRRKFAPFYIGQIPNTVTGEYSCMVLKPLNNDDSEASGSDEWGFFGPFYQDFKRRFARLLEGDSFRSMEYKLAMSVLDPKINCTDMEQEPTSSAPDGFWRSPTDDLSPYDLERLKVYTGNLADFHLILDIVPILARLYFRGKLPVTLSYVSASILLCVGLQQRNITFIEEQMKVERTQILSLFMKAMKKIYKYLRGIASKEIESTLPRIKERELRPHSISVNDDLKEAAKQVEMEGLLNPEFLQQHAIVGREEDLENALQEHGGKIKPGGVVSAKPKGVKPDKHGKQESGRSGGKRSKEDVGSKSNKKGSAWVFLLMPYFN >Potri.005G085600.3.v4.1 pep chromosome:Pop_tri_v4:5:5911612:5921768:-1 gene:Potri.005G085600.v4.1 transcript:Potri.005G085600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G085600.v4.1 MRKKVDERIRTLIENGVKLRHRSLFLIIGDKSREQIVNLHYMLSKAVVKSRPTVLWCYKDKLELSSHKKKRAKQVKKLMQRGLLDPEKVDPFSLFLETGGLTYCLYKDSERILGNTFGMCILQDFEALIPNLLARTIETVEGGGLIVLLLRSLSSLTSLYTMVMDVHERFRTESHFRATGRFNERFLLSLASCKACVVMDDELNILPISSHIRSITPVPVKEDSEGLSEAERGLKNLKEQLHQDFPVGPLIKKCCTLDQGKAVITFLDSVLDKTLRSTVALLAARGRGKSAALGLAVAGAIAAGYSNIFITAPSPENVKTLFEFICKGFDAIEYTEHIDYDVVKSANPEFKKATVRINIFKQHRQTIQYIQPHEHEKLSQVELLVIDEAAAIPLPVVRSLLGPYLVFLSSTVNGYEGTGRSLSLKLLQQLEEQSQISSKNVEGSLSGRLFRKIELSESIRYASRDPIESWLNALLCLDVTNSIPSIRRLPPCSECNLYYINRDTLFSYHKDSELFLQRMMALYVASHYKNSPNDLQLMADAPAHHLFVFLGPVDESKNQLPDILCVIQVCLEGQISRKSAIQSLSEGHQPFGDQIPWKFCEQFRDTVFPSFSGARIVRIATHPSAMRLGYGSAAVELLTRYYGGQLTPISVVDDGNDVEIPQLRVTEAAEKVSLLEENIKPRTDLPPLLVNLHERRPEKLHYLGVSFGLTLDLFRFWKRRKFAPFYIGQIPNTVTGEYSCMVLKPLNNDDSEASGSDEWGFFGPFYQDFKRRFARLLEGDSFRSMEYKLAMSVLDPKINCTDMEQEPTSSAPDGFWRSPTDDLSPYDLERLKVYTGNLADFHLILDIVPILARLYFRGKLPVTLSYVSASILLCVGLQQRNITFIEEQMKVERTQILSLFMKAMKKIYKYLRGIASKEIESTLPRIKERELRPHSISVNDDLKEAAKQVEMEGLLNPEFLQQHAIVGREEDLENALQEHGGKIKPGGVVSAKPKGVKPDKHGKQESGRSGGKRSKEDVGSKSNKKGKF >Potri.009G119132.1.v4.1 pep chromosome:Pop_tri_v4:9:9998064:10000380:1 gene:Potri.009G119132.v4.1 transcript:Potri.009G119132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119132.v4.1 MFPSSSATQIPSAKTMISAAASAAATIVLFRSLVKEHLPYEFQSYIFYKLKTLINSFSSEFTLVIEEYDNLNHNNLFKAAELYLEPIIPPDAKKLKISLTKKESKFSFSLDRNQEIVDTFNGITLKWKFISKQVPIKYIPSPDNFNSMPKSEDKFFELSFHKKHKDVVIDVYLKHVIEKSKETKEEKKSLKLFSLRHDRMSGRRGDVWQSVNLHHPATFDTLAMDMEGKRVIMEDLERFVKRREFYRRVGKAWKRGYLLFGPPGTGKSSLIAAIANYLKFDIYDLELTDLRTNSELRNLLISTENKSVLVVEDIDCSIELQDRLAQARAMMPSRHHPPYNQANQYQVTLSGLLNFVDGLWSSCGDERIIIFTTNHKERLDPALLRPGRMDVHIHMSYCTPCGFKLLASNYLGFTEHPLFPCVEALIEKARVTPAEVGEQLLRYEEPESAITGLIEFLEDKSERLKREDGNKDSNGESGTSEGKLAQELDGNNGEVVKKEIDESTGEVVKKEEGAQEPDGENGDIVKEEGRNRGAMVKIQ >Potri.005G038301.1.v4.1 pep chromosome:Pop_tri_v4:5:2469927:2470709:1 gene:Potri.005G038301.v4.1 transcript:Potri.005G038301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038301.v4.1 MAAVSPKWVSFLLLFLCIVLHLSAITLGDDKLEKTRLGDDNCGFGRRGCGGRFGGGRGGGGGLGGGAGGGVGGGGGFGGGGGGGVGGGSGHGGGFGAGGGVGGGLGGGVGGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGVGVGLGGGAGGGGGGGGGGGGVGGGSGHGGGFGAGGGVGGGLGGGVGGGGGGGGGGGGGVGGGSGHGGGFGAGGGVGGGAGGGSGGGAGGGFGVGIGVGVGIGVGAGAGKGSGSGNGGRH >Potri.015G090100.1.v4.1 pep chromosome:Pop_tri_v4:15:11330079:11339328:-1 gene:Potri.015G090100.v4.1 transcript:Potri.015G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G090100.v4.1 MGKKTKKVGKGKEKTEKKTAKAEEKKARRETKKLSPEDDIDAILLSIQKEEAKKKDVHVEENVAAPSPRSNCTLNINPLKDTELILYGGEFYNGSKTFVYGDLYRYDVEKQEWKLVSSPNSPPPRSAHQAVAWKNYLYIFGGEFTSPNQERFHHYKDFWMLDLKTNQWEQLNFKGSPSPRSGHRMVLHKHKIILFGGFYDTLREVRYYNDLHIFDLDQFKWQEIKPKLGAMWPSARSGFQFFVYQDEVFLYGGYSKEVSSDKSISEKGIVHSDMWSLDPRTWEWNKVKKSGMPPGPRAGFSMCVHKKRAMLFGGVVDMEMEGDVMMSLFLNELYGFQLDNRRWYPLELRKEKSTKDRSKKSSEQRTTSFDNKKYSNDMGEEPTPNDPDENLEYHEEAENIESSIDEISHNLTRKITVIDGSLSAAKLDGKPGTSEAKQILQNSVLSETVKPCGRINSCMVVGRDMLYIYGGMMEIRDQEITLDDLYSLNLSKLDEWKCIIPASESEWVEASEDEDDDEDEDASDGEGGSDSNDETDDGEDDDDDNDVEARNNGSGSLQVGDAVAIIKGEKKNLRRKEKRARIEQIRANLGLSDAQRTPTPGESLKDFYKRTNLYWQMAAHEHTQHTGKELRKDGFDLAEARYKELKPILDELAILEAEQKAEEAEEAETSSRKRGKKKNK >Potri.008G036700.12.v4.1 pep chromosome:Pop_tri_v4:8:2024001:2028937:-1 gene:Potri.008G036700.v4.1 transcript:Potri.008G036700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036700.v4.1 MILYEQDPDVVRWGLHDLIDVCTLSNSGSCNSVTCYGMDTFNVEYVREYYNDPLYASNVENDAVIARALQEELSRIAYVEASGFNNTERESIITQHWPGPHETYHGSEHEDDQTVTVHSESMMDADDCSKNMADYSIKKDEILIPTSSSSNGENSLEMEELTLILNIENESALDGEVGKRITEMVPVSHVPKTNGEIPSEDEQMSDHQRLLERLKVYYLVENKVQGDGNCQFCSLSDQLYRSPEHHKLVRERVIDQLKSQPQMYSSYVPMAYDDYLKKMSKSGEWGDHVTLQAAADSVWKNR >Potri.008G036700.9.v4.1 pep chromosome:Pop_tri_v4:8:2024170:2030696:-1 gene:Potri.008G036700.v4.1 transcript:Potri.008G036700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036700.v4.1 MILYEQDPDVVRWGLHDLIDVCTLSNSGSCNSVTCYGMDTFNVEYVREYYNDPLYASNVENDAVIARALQEELSRIAYVEASGFNNTERESIITQHWPGPHETYHGSEHEDDQTVTVHSESMMDADDCSKNMADYSIKKDEILIPTSSSSNGENSLEMEELTLILNIENESALDGEVGKRITEMVPVSHVPKTNGEIPSEDEQMSDHQRLLERLKVYYLVENKVQGDGNCQFCSLSDQLYRSPEHHKLVRERVIDQLKSQPQMYSSYVPMAYDDYLKKMSKSGEWGDHVTLQAAADSYGIKIFVITSFKDTCYIEILPRVQKSNRVIFLSFWAEVHYNSIYPEGGKQLDLVCQSNEYCSMILLSRVHLFLASI >Potri.008G036700.2.v4.1 pep chromosome:Pop_tri_v4:8:2024000:2028629:-1 gene:Potri.008G036700.v4.1 transcript:Potri.008G036700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036700.v4.1 MILYEQDPDVVRWGLHDLIDVCTLSNSGSCNSVTCYGMDTFNVEYVREYYNDPLYASNVENDAVIARALQEELSRIAYVEASGFNNTERESIITQHWPGPHETYHGSEHEDDQTVTVHSESMMDADDCSKNMADYSIKKDEILIPTSSSSNGENSLEMEELTLILNIENESALDGEVGKRITEMVPVSHVPKTNGEIPSEDEQMSDHQRLLERLKVYYLVENKVQGDGNCQFCSLSDQLYRSPEHHKLVRERVIDQLKSQPQMYSSYVPMAYDDYLKKMSKSGEWGDHVTLQAAADSYGIKIFVITSFKDTCYIEILPRVQKSNRVIFLSFWAEVHYNSIYPEGEAPQYEPKKKKKWWNLG >Potri.008G036700.11.v4.1 pep chromosome:Pop_tri_v4:8:2023983:2028128:-1 gene:Potri.008G036700.v4.1 transcript:Potri.008G036700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036700.v4.1 MILYEQDPDVVRWGLHDLIDVCTLSNSGSCNSVTCYGMDTFNVEYVREYYNDPLYASNVENDAVIARALQEELSRIAYVEASGFNNTERESIITQHWPGPHETYHGSEHEDDQTVTVHSESMMDADDCSKNMADYSIKKDEILIPTSSSSNGENSLEMEELTLILNIENESALDGEVGKRITEMVPVSHVPKTNGEIPSEDEQMSDHQRLLERLKVYYLVENKVQGDGNCQFCSLSDQLYRSPEHHKLVRERVIDQLKSQPQMYSSYVPMAYDDYLKKMSKSGEWGDHVTLQAAADSYGIKIFVITSFKDTCYIEILPRVQKSNRVIFLSFWAEVHYNSIYPEGEAPQYEPKKKKKWWNLG >Potri.008G036700.10.v4.1 pep chromosome:Pop_tri_v4:8:2023788:2028862:-1 gene:Potri.008G036700.v4.1 transcript:Potri.008G036700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G036700.v4.1 MILYEQDPDVVRWGLHDLIDVCTLSNSGSCNSVTCYGMDTFNVEYVREYYNDPLYASNVENDAVIARALQEELSRIAYVEASGFNNTERESIITQHWPGPHETYHGSEHEDDQTVTVHSESMMDADDCSKNMADYSIKKDEILIPTSSSSNGENSLEMEELTLILNIENESALDGEVGKRITEMVPVSHVPKTNGEIPSEDEQMSDHQRLLERLKVYYLVENKVQGDGNCQFCSLSDQLYRSPEHHKLVRERVIDQLKSQPQMYSSYVPMAYDDYLKKMSKSGEWGDHVTLQAAADSYGIKIFVITSFKDTCYIEILPRVQKSNRVIFLSFWAEVHYNSIYPEGEAPQYEPKKKKKWWNLG >Potri.010G203200.2.v4.1 pep chromosome:Pop_tri_v4:10:19518661:19522852:-1 gene:Potri.010G203200.v4.1 transcript:Potri.010G203200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203200.v4.1 MRRYSPPYYSPPRRGYGGRVRSPPRRGYGGGGGYGRRKDQNSGSLLVRNIPLDCRPDELRGPFERFGVVRDVYIPKDYYTGEPRGFAFVQFVDPYEAMEAQHRMNGQIFAGRQISVVLAAETRKRPEEMRHRARVRGPSSHGGRSSNYGRSRSRSLSRSPRHYPSSRSRYRSRSYSPAPRRRDYSASPDRRHAGHPGSPRGPPPERDGDHSRRSYSPAYGHGDGLNENGNGFGEKSAYDFEEARAWRPSPGRASRSPSGSRSRSADLSPRHTR >Potri.010G203200.8.v4.1 pep chromosome:Pop_tri_v4:10:19518661:19522285:-1 gene:Potri.010G203200.v4.1 transcript:Potri.010G203200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203200.v4.1 MRRYSPPYYSPPRRGYGGRVRSPPRRGYGGGGGYGRRKDQNSGSLLVRNIPLDCRPDELRGPFERFGVVRDVYIPKDYYTGEPRGFAFVQFVDPYEAMEAQHRMNGQIFAGRQISVVLAAETRKRPEEMRHRARVRGPSSHGGRSSNYGRSRSRSLSRSPRHYPSSRSRYRSRSYSPAPRRRDYSASPDRRHAGHPGSPRGPPPERDGDHSRRSYSPAYGHGDGLNENGNGFGEKSAYDFEEARAWRPSPGRASRSPSGSRSRSADLSPRHTR >Potri.010G203200.4.v4.1 pep chromosome:Pop_tri_v4:10:19518654:19522732:-1 gene:Potri.010G203200.v4.1 transcript:Potri.010G203200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203200.v4.1 MRRYSPPYYSPPRRGYGGRVRSPPRRGYGGGGGYGRRKDQNSGSLLVRNIPLDCRPDELRGPFERFGVVRDVYIPKDYYTGEPRGFAFVQFVDPYEAMEAQHRMNGQIFAGRQISVVLAAETRKRPEEMRHRARVRGPSSHGGRSSNYGRSRSRSLSRSPRHYPSSRSRYRSRSYSPAPRRRDYSASPDRRHAGHPGSPRGPPPERDGDHSRRSYSPAYGHGDGLNENGNGFGEKSAYDFEEARAWRPSPGRASRSPSGSRSRSADLSPRHTR >Potri.010G203200.7.v4.1 pep chromosome:Pop_tri_v4:10:19518675:19522851:-1 gene:Potri.010G203200.v4.1 transcript:Potri.010G203200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203200.v4.1 MRRYSPPYYSPPRRGYGGRVRSPPRRGYGGGGGYGRRKDQNSGSLLVRNIPLDCRPDELRGPFERFGVVRDVYIPKDYYTGEPRGFAFVQFVDPYEAMEAQHRMNGQIFAGRQISVVLAAETRKRPEEMRHRARVRGPSSHGGRSSNYGRSRSRSLSRSPRHYPSSRSRYRSRSYSPAPRRRDYSASPDRRHAGHPGSPRGPPPERDGDHSRRSYSPAYGHGDGLNENGNGFGEKSAYDFEEARAWRPSPGRASRSPSGSRSRSADLSPRHTR >Potri.011G059101.3.v4.1 pep chromosome:Pop_tri_v4:11:4913640:4916899:-1 gene:Potri.011G059101.v4.1 transcript:Potri.011G059101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059101.v4.1 MAWFKMEMPVGIMVRWDDREANRLSRVSPWEVEPSGSGSISSSNNFMAPGLKRSRSGLPSSKAEFPIPGMICFPSDKCITISNFFLVLHSMLLTGLHVFLTDGIGAPGFRESSRSQEVLQGQEIMSFNALYDGVDGQNQHPSEIRSCFPGSHSSGIAALGSGIRDSIATSNNSYKGIGFNESYRLHKVIQGQEIFPSSPYGRIPNANEARENCSLGFSMVPKGQAQEMDGLQ >Potri.011G059101.2.v4.1 pep chromosome:Pop_tri_v4:11:4913640:4916899:-1 gene:Potri.011G059101.v4.1 transcript:Potri.011G059101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059101.v4.1 MIHFIEKSLPLLDTEHVGVVYNFLPCRASSSEFTIPFNKFLKSLDQSFSSGMRFKMCFETEDAAERRYTGIITGVSELDPARWPGSKWKCLLVRWDDREANRLSRVSPWEVEPSGSGSISSSNNFMAPGLKRSRSGLPSSKAEFPIPGMICFPSDKCITISNFFLVLHSMLLTGLHVFLTDGIGAPGFRESSRSQEVLQGQEIMSFNALYDGVDGQNQHPSEIRSCFPGSHSSGIAALGSGIRDSIATSNNSYKGIGFNESYRLHKVIQGQEIFPSSPYGRIPNANEARENCSLGFSMVPKGQAQEMDGLQ >Potri.008G113301.1.v4.1 pep chromosome:Pop_tri_v4:8:7211909:7213001:-1 gene:Potri.008G113301.v4.1 transcript:Potri.008G113301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113301.v4.1 MENTLQSCLIVASSSLNSIHIVLPWLLQAMLMTGRSGSEKRGPFLRFELQNDGNDLDQLAA >Potri.003G062901.1.v4.1 pep chromosome:Pop_tri_v4:3:8975003:8975583:-1 gene:Potri.003G062901.v4.1 transcript:Potri.003G062901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G062901.v4.1 MPMMFFYFLKIIFDISTSKRSKNIKVCLGVIW >Potri.012G105600.1.v4.1 pep chromosome:Pop_tri_v4:12:12744786:12745908:-1 gene:Potri.012G105600.v4.1 transcript:Potri.012G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G105600.v4.1 MASTKPCKNKVQDKVAIVTGGASGIGEATVLALAENGARAVVIADIQDEKGQKLAESIGTNRSTYIHCDVADENQVKSLVESTVQLFGHLDVIFCNAGIASFGEQNVLDFDLDLCDKLFAVNVRGTAACLKHAARAMVDGGVKGSVICTSSAAANMAGDRFTDYIMSKSGVLALMKCASYQLGEHGIRVNCVSPGLVATPLTCKTLEMGVEEVENAFQSCYYLKGVLKAKHIADAVLFLASDDSEFVTGQNLIVDGGFIFQGIPK >Potri.002G003600.14.v4.1 pep chromosome:Pop_tri_v4:2:214108:219113:-1 gene:Potri.002G003600.v4.1 transcript:Potri.002G003600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003600.v4.1 MEDVKHKSRKDDSERKEDKEDSKRSHRDRDRDRDRDRDRNGERHRDREKRERESIRRSERDKSSDSDEKEREKEKHKDRDRDRDKDRAARSRDGDKERAKDRNRDRDKDREEKKDRDKDREEKRDRDKDREEKRERAKEKEKDREREREREREKRERERERDRERERKERDREEREREKREREEREREKRERERERRTREREKCRELSSDSDDDSKDRDKKRRRRDDDDYKERVREQSISRSNRHRDNSEEIPRKKSDEEDSDKNVIKTREEELEQEQKKLDEEMEKRRRRVQEWQELRRKKEETEREKHGEEADVNEPKTGKTWTLEGESDDEEAPPTGKSETDMDLEENAKPDEEVEDAMVVDTENDISAPQNEDDAVNGDEEIDPLDAFMNSMVLPEVEKLNSTLVTHTADDNKTDLKNKDKKEERINGEQRKKGSHKSLGRIVPGEDSDSDYGDLENGEDPLEEEDDDEFMKRVKKTKAEKLSIVDHSKIDYNPFRKNFYIEVKEISRMTPEEVVACRKELELKLHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMTIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGEGPIGLVMAPTRELVQQIHSDIKKFAKALSIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDITQLVELRTEDQRWLRLLELLGEWYQKGKILIFVQSQDKCDSLFRNLLKFGYPCLSLHGAKDQTDRESTISDFKTNVCNLMIATSVAARGLDVKDLELVINYDAPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPQDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRMAAKKAQAKEYGYEDEKSDSEDEDEVVRKSVGDVSQQTALAQQIAALAAVSKVPMPAPPISHSVAQLLSNGGLPVPPNPGPAVVSVTGLPFVPNNEGAARAAALAAAMNLQHNLARIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKVPGPGERKLYLFIEGPTEQSVKRAKADLKRVLEDITNQTYQLPGGTQPGKYSVV >Potri.002G003600.11.v4.1 pep chromosome:Pop_tri_v4:2:214110:219113:-1 gene:Potri.002G003600.v4.1 transcript:Potri.002G003600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003600.v4.1 MEDVKHKSRKDDSERKEDKEDSKRSHRDRDRDRDRDRDRNGERHRDREKRERESIRRSERDKSSDSDEKEREKEKHKDRDRDRDKDRAARSRDGDKERAKDRNRDRDKDREEKKDRDKDREEKRDRDKDREEKRERAKEKEKDREREREREREKRERERERDRERERKERDREEREREKREREEREREKRERERERRTREREKCRELSSDSDDDSKDRDKKRRRRDDDDYKERVREQSISRSNRHRDNSEEIPRKKSDEEDSDKNVIKTREEELEQEQKKLDEEMEKRRRRVQEWQELRRKKEETEREKHGEEADVNEPKTGKTWTLEGESDDEEAPPTGKSETDMDLEENAKPDEEVEDAMVVDTENDISAPQNEDDAVNGDEEIDPLDAFMNSMVLPEVEKLNSTLVTHTADDNKTDLKNKDKKEERINGEQRKKGSHKSLGRIVPGEDSDSDYGDLENGEDPLEEEDDDEFMKRVKKTKAEKLSIVDHSKIDYNPFRKNFYIEVKEISRMTPEEVVACRKELELKLHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMTIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGEGPIGLVMAPTRELVQQIHSDIKKFAKALSIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDITQLVELRTEDQRWLRLLELLGEWYQKGKILIFVQSQDKCDSLFRNLLKFGYPCLSLHGAKDQTDRESTISDFKTNVCNLMIATSVAARGLDVKDLELVINYDAPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPQDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRMAAKKAQAKEYGYEDEKSDSEDEDEVVRKSVGDVSQQTALAQQIAALAAVSKVPMPAPPISHSVAQLLSNGGLPVPPNPGPAVVSVTGLPFVPNNEGAARAAALAAAMNLQHNLARIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKVPGPGERKLYLFIEGPTEQSVKRAKADLKRVLEDITNQTYQLPGGTQPGKYSVV >Potri.002G003600.13.v4.1 pep chromosome:Pop_tri_v4:2:214095:219088:-1 gene:Potri.002G003600.v4.1 transcript:Potri.002G003600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G003600.v4.1 MEDVKHKSRKDDSERKEDKEDSKRSHRDRDRDRDRDRDRNGERHRDREKRERESIRRSERDKSSDSDEKEREKEKHKDRDRDRDKDRAARSRDGDKERAKDRNRDRDKDREEKKDRDKDREEKRDRDKDREEKRERAKEKEKDREREREREREKRERERERDRERERKERDREEREREKREREEREREKRERERERRTREREKCRELSSDSDDDSKDRDKKRRRRDDDDYKERVREQSISRSNRHRDNSEEIPRKKSDEEDSDKNVIKTREEELEQEQKKLDEEMEKRRRRVQEWQELRRKKEETEREKHGEEADVNEPKTGKTWTLEGESDDEEAPPTGKSETDMDLEENAKPDEEVEDAMVVDTENDISAPQNEDDAVNGDEEIDPLDAFMNSMVLPEVEKLNSTLVTHTADDNKTDLKNKDKKEERINGEQRKKGSHKSLGRIVPGEDSDSDYGDLENGEDPLEEEDDDEFMKRVKKTKAEKLSIVDHSKIDYNPFRKNFYIEVKEISRMTPEEVVACRKELELKLHGKDVPKPIKTWHQTGLTSKILETIKKLNYEKPMTIQAQALPIIMSGRDCIGIAKTGSGKTLAFVLPMLRHIKDQPPVEAGEGPIGLVMAPTRELVQQIHSDIKKFAKALSIRCVPVYGGSGVAQQISELKRGTEIVVCTPGRMIDILCTSGGKITNLRRVTYLVMDEADRMFDMGFEPQITRIVQNIRPDRQTVLFSATFPRQVETLARKVLNKPVEIQVGGRSVVNKDITQLVELRTEDQRWLRLLELLGEWYQKGKILIFVQSQDKCDSLFRNLLKFGYPCLSLHGAKDQTDRESTISDFKTNVCNLMIATSVAARGLDVKDLELVINYDAPNHYEDYVHRVGRTGRAGRKGCAITFISEDDARYAPDLVKALELSEQVVPQDLKALADGFMAKVNQGLEQAHGTGYGGSGFKFNEEEDEKRMAAKKAQAKEYGYEDEKSDSEDEDEVVRKSVGDVSQQTALAQQIAALAAVSKVPMPAPPISHSVAQLLSNGGLPVPPNPGPAVVSVTGLPFVPNNEGAARAAALAAAMNLQHNLARIQADAMPEHYEAELEINDFPQNARWKVTHKETLGPISDWTGAAITTRGQFFPPGKVPGPGERKLYLFIEGPTEQSVKRAKADLKRVLEDITNQTYQLPGGTQPGKYSVV >Potri.008G112400.2.v4.1 pep chromosome:Pop_tri_v4:8:7153877:7155250:-1 gene:Potri.008G112400.v4.1 transcript:Potri.008G112400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112400.v4.1 MKMAASPVKFTCLLLLLLFTSAWLNSARAHPTAVEVDTFSSERIIVDGDGMVTTSIKKIGGRKVVRAHNIKRPDIKGNVAHGGTSRISSANDQVVGKYGYEREVMDIGNKRNGGRFPEGVKESGFVAFNADYHEPRHHPPKNN >Potri.008G112400.3.v4.1 pep chromosome:Pop_tri_v4:8:7154368:7155343:-1 gene:Potri.008G112400.v4.1 transcript:Potri.008G112400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112400.v4.1 MKMAASPVKFTCLLLLLLFTSAWLNSARAHPTAVEVDTFSSERIIVDGDGMVTTSIKKIGGRKVVRAHNIKRPDIKGNVAHGGTSRISSANDQVVGKYGYEREVMDIGNKRNGGRFPEGVKESGFVAFNADYHEPRHHPPKNN >Potri.008G112400.1.v4.1 pep chromosome:Pop_tri_v4:8:7153877:7155250:-1 gene:Potri.008G112400.v4.1 transcript:Potri.008G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112400.v4.1 MKMAASPVKFTCLLLLLLFTSAWLNSARAHPTVEVDTFSSERIIVDGDGMVTTSIKKIGGRKVVRAHNIKRPDIKGNVAHGGTSRISSANDQVVGKYGYEREVMDIGNKRNGGRFPEGVKESGFVAFNADYHEPRHHPPKNN >Potri.008G112400.4.v4.1 pep chromosome:Pop_tri_v4:8:7154368:7155343:-1 gene:Potri.008G112400.v4.1 transcript:Potri.008G112400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112400.v4.1 MKMAASPVKFTCLLLLLLFTSAWLNSARAHPTVEVDTFSSERIIVDGDGMVTTSIKKIGGRKVVRAHNIKRPDIKGNVAHGGTSRISSANDQVVGKYGYEREVMDIGNKRNGGRFPEGVKESGFVAFNADYHEPRHHPPKNN >Potri.001G255900.1.v4.1 pep chromosome:Pop_tri_v4:1:27192526:27199415:1 gene:Potri.001G255900.v4.1 transcript:Potri.001G255900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255900.v4.1 MEIEQVIISSGVDGGSGAGNAEAGLSGGGGGGDEARSMYLVWEALTVVLPNFGSGPTRRLLKGLNGYAEPGKIMAIMGPSGSGKSTLLDALAGRLSGNVLMTGNVLLNGKKRRLDYGGGAYVTQENTLLGTLTVRETLTYSAHLRLPSSMAKAEIDDIVEGTIMEMGLQECSDRLIGNWHLRGISGGEKKRLSIALETLIRPQLLFLDEPTSGLDSAAAFFVIQTLRNIAHDGRTVISSVHQPSSEVFTLFDDLFLLSAGEAVYFGEAKMAVEFFAEAGFPCPSRRNPSDHFLRCINSDFDLVTATLMGSHREIQIPSDPLANLPTAAIKASLVKKYISSNHAVKARARIQQILAIKGLVINIRGENQANWWKQLSTLTKRSFINMWRDLGYYWVRIIVYILLSICVGTMFLDVGKGYTAILAHGACGGFLSGFMTFMSVGGFPSFIEELKVFYKERLNGYYGVAVYTMSNFLSSFPYLTVMSFGTSSITYYMVKFRSEFSNFLYVFMALLSSIATVESCMMTIASLVPNYLMGFVIGSGYIGILMMTSGFFRLLPDIPKVFWRYPISYINFGAWGLQGAYKNDMIGLEFDPLVPGGPKLKGEEVLTTVLGISLDHSKWWDLAAVVLILIAFRLLFFAILKFKERILPVFRKLCAKRTLKQLKKRPSFTKTSYSPFPSIRNQPAYSLSSQEGLSSPVPY >Potri.001G255900.3.v4.1 pep chromosome:Pop_tri_v4:1:27193186:27199416:1 gene:Potri.001G255900.v4.1 transcript:Potri.001G255900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255900.v4.1 MTGNVLLNGKKRRLDYGGGAYVTQENTLLGTLTVRETLTYSAHLRLPSSMAKAEIDDIVEGTIMEMGLQECSDRLIGNWHLRGISGGEKKRLSIALETLIRPQLLFLDEPTSGLDSAAAFFVIQTLRNIAHDGRTVISSVHQPSSEVFTLFDDLFLLSAGEAVYFGEAKMAVEFFAEAGFPCPSRRNPSDHFLRCINSDFDLVTATLMGSHREIQIPSDPLANLPTAAIKASLVKKYISSNHAVKARARIQQILAIKGLVINIRGENQANWWKQLSTLTKRSFINMWRDLGYYWVRIIVYILLSICVGTMFLDVGKGYTAILAHGACGGFLSGFMTFMSVGGFPSFIEELKVFYKERLNGYYGVAVYTMSNFLSSFPYLTVMSFGTSSITYYMVKFRSEFSNFLYVFMALLSSIATVESCMMTIASLVPNYLMGFVIGSGYIGILMMTSGFFRLLPDIPKVFWRYPISYINFGAWGLQGAYKNDMIGLEFDPLVPGGPKLKGEEVLTTVLGISLDHSKWWDLAAVVLILIAFRLLFFAILKFKERILPVFRKLCAKRTLKQLKKRPSFTKTSYSPFPSIRNQPAYSLSSQEGLSSPVPY >Potri.001G255900.2.v4.1 pep chromosome:Pop_tri_v4:1:27192526:27199415:1 gene:Potri.001G255900.v4.1 transcript:Potri.001G255900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255900.v4.1 MEIEQVIISSGVDGGSGAGNAEAGLSGGGGGGDEARSMYLVWEALTVVLPNFGSGPTRRLLKGLNGYAEPGKIMAIMGPSGSGKSTLLDALAGRLSGNVLMTGNVLLNGKKRRLDYGGGAYVTQENTLLGTLTVRETLTYSAHLRLPSSMAKAEIDDIVEGTIMEMGLQECSDRLIGNWHLRGISGGEKKRLSIALETLIRPQLLFLDEPTSGLDSAAAFFVIQTLRNIAHDGRTVISSVHQPSSEVFTLFDDLFLLSAGEAVYFGEAKMAVEFFAEAGFPCPSRRNPSDHFLRCINSDFDLVTATLMGSHRKGLVINIRGENQANWWKQLSTLTKRSFINMWRDLGYYWVRIIVYILLSICVGTMFLDVGKGYTAILAHGACGGFLSGFMTFMSVGGFPSFIEELKVFYKERLNGYYGVAVYTMSNFLSSFPYLTVMSFGTSSITYYMVKFRSEFSNFLYVFMALLSSIATVESCMMTIASLVPNYLMGFVIGSGYIGILMMTSGFFRLLPDIPKVFWRYPISYINFGAWGLQGAYKNDMIGLEFDPLVPGGPKLKGEEVLTTVLGISLDHSKWWDLAAVVLILIAFRLLFFAILKFKERILPVFRKLCAKRTLKQLKKRPSFTKTSYSPFPSIRNQPAYSLSSQEGLSSPVPY >Potri.011G028100.3.v4.1 pep chromosome:Pop_tri_v4:11:2099216:2102485:1 gene:Potri.011G028100.v4.1 transcript:Potri.011G028100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028100.v4.1 MASSFPSFEKTIQFLWLCFFISNLLDLAHADPPYSVCSNNSNYSANSTFQNNLQILMSSVRSNASVSKLYNTSTGNDLDRIYAQYMCINYITNDSCSTCITAASQDIMQVCPGDKEAVVWEELCQLRYSNQSFLGHLDVSGNIGRDNKKDVENPEQFRLVVNETLSDLTKQVAFNASANMYATRQAAFTNTETLYALMQCSTDLSPDDCNTCLRVAMENIPSCCDASRGGRVLSRSCYLRYELYAFYEGANNESSKSPVPEESNRRKTWIIIILTVAAALLVVVILGSLTFYHAMRNGIKKWKKQNTGLDRNCHGIGHPNNNDFQLQNFQRDDLNDGESAFMDLASIYAATGNFSDSNLLGRGGFGPVYKGKLSDGKEVAVKRLSACSEQGTDEFTNEVMLIMKLQHKNLVKLLGLCVDGDEKLLVYEFMPNRSLDVFLFDKKERARLNWRTRIGIVNGIAKGTLYLHEDSRHRIIHRDLKASNVLLDKDMNPKISDFGMARMFAGSEGEANTARIVGTYGYMAPEYAMEGLYSTKSDVFSFGVLLLEIITGKRNSEFNKSKRAPSLLAYAWQLWNEGKELELIDPLMADSCCSDEFSRSMHIGLLCVQEDPCERPTMSSVVLMLKRESSILTQPDRPAFSVGRFADYEANAGNCSVNALTISSISPR >Potri.011G028100.4.v4.1 pep chromosome:Pop_tri_v4:11:2099216:2102486:1 gene:Potri.011G028100.v4.1 transcript:Potri.011G028100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028100.v4.1 MASSFPSFEKTIQFLWLCFFISNLLDLAHADPPYSVCSNNSNYSANSTFQNNLQILMSSVRSNASVSKLYNTSTGNDLDRIYAQYMCINYITNDSCSTCITAASQDIMQVCPGDKEAVVWEELCQLRYSNQSFLGHLDVSGNIGRDNKKDVENPEQFRLVVNETLSDLTKQVAFNASANMYATRQAAFTNTETLYALMQCSTDLSPDDCNTCLRVAMENIPSCCDASRGGRVLSRSCYLRYELYAFYEGANNESSKSPVPEEIAAALLVVVILGSLTFYHAMRNGIKKWKKQNTGLDRNCHGIGHPNNNDFQLQNFQRDDLNDGESAFMDLASIYAATGNFSDSNLLGRGGFGPVYKGKLSDGKEVAVKRLSACSEQGTDEFTNEVMLIMKLQHKNLVKLLGLCVDGDEKLLVYEFMPNRSLDVFLFDKKERARLNWRTRIGIVNGIAKGTLYLHEDSRHRIIHRDLKASNVLLDKDMNPKISDFGMARMFAGSEGEANTARIVGTYGYMAPEYAMEGLYSTKSDVFSFGVLLLEIITGKRNSEFNKSKRAPSLLAYAWQLWNEGKELELIDPLMADSCCSDEFSRSMHIGLLCVQEDPCERPTMSSVVLMLKRESSILTQPDRPAFSVGRFADYEANAGNCSVNALTISSISPR >Potri.011G028100.5.v4.1 pep chromosome:Pop_tri_v4:11:2099215:2102485:1 gene:Potri.011G028100.v4.1 transcript:Potri.011G028100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028100.v4.1 MASSFPSFEKTIQFLWLCFFISNLLDLAHADPPYSVCSNNSNYSANSTFQNNLQILMSSVRSNASVSKLYNTSTGNDLDRIYAQYMCINYITNDSCSTCITAASQDIMQVCPGDKEAVVWEELCQLRYSNQSFLGHLDVSGNIGRDNKKDVENPEQFRLVVNETLSDLTKQVAFNASANMYATRQAAFTNTETLYALMQCSTDLSPDDCNTCLRVAMENIPSCCDASRGGRVLSRSCYLRYELYAFYEGANNESSKSPVPEEKINGGNRRKTWIIIILTVAAALLVVVILGSLTFYHAMRNGIKKWKKQNTGLDRNCHGIGHPNNNDFQLQNFQRDDLNDGESAFMDLASIYAATGNFSDSNLLGRGGFGPVYKGKLSDGKEVAVKRLSACSEQGTDEFTNEVMLIMKLQHKNLVKLLGLCVDGDEKLLVYEFMPNRSLDVFLFDKKERARLNWRTRIGIVNGIAKGTLYLHEDSRHRIIHRDLKASNVLLDKDMNPKISDFGMARMFAGSEGEANTARIVGT >Potri.011G028100.6.v4.1 pep chromosome:Pop_tri_v4:11:2099215:2102485:1 gene:Potri.011G028100.v4.1 transcript:Potri.011G028100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028100.v4.1 MASSFPSFEKTIQFLWLCFFISNLLDLAHADPPYSVCSNNSNYSANSTFQNNLQILMSSVRSNASVSKLYNTSTGNDLDRIYAQYMCINYITNDSCSTCITAASQDIMQVCPGDKEAVVWEELCQLRYSNQSFLGHLDVSGNIGRDNKKDVENPEQFRLVVNETLSDLTKQVAFNASANMYATRQAAFTNTETLYALMQCSTDLSPDDCNTCLRVAMENIPSCCDASRGGRVLSRSCYLRYELYAFYEGANNESSKSPVPEESNRRKTWIIIILTVAAALLVVVILGSLTFYHAMRNGIKKWKKQNTGLDRNCHGIGHPNNNDFQLQNFQRDDLNDGESAFMDLASIYAATGNFSDSNLLGRGGFGPVYKGKLSDGKEVAVKRLSACSEQGTDEFTNEVMLIMKLQHKNLVKLLGLCVDGDEKLLVYEFMPNRSLDVFLFDKKERARLNWRTRIGIVNGIAKGTLYLHEDSRHRIIHRDLKASNVLLDKDMNPKISDFGMARMFAGSEGEANTARIVGT >Potri.011G028100.2.v4.1 pep chromosome:Pop_tri_v4:11:2099216:2102485:1 gene:Potri.011G028100.v4.1 transcript:Potri.011G028100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028100.v4.1 MASSFPSFEKTIQFLWLCFFISNLLDLAHADPPYSVCSNNSNYSANSTFQNNLQILMSSVRSNASVSKLYNTSTGNDLDRIYAQYMCINYITNDSCSTCITAASQDIMQVCPGDKEAVVWEELCQLRYSNQSFLGHLDVSGNIGRDNKKDVENPEQFRLVVNETLSDLTKQVAFNASANMYATRQAAFTNTETLYALMQCSTDLSPDDCNTCLRVAMENIPSCCDASRGGRVLSRSCYLRYELYAFYEGANNESSKSPVPEEKINGGNRRKTWIIIILTVAAALLVVVILGSLTFYHAMRNGIKKWKKQNTGLDRNCHGIGHPNNNDFQLQNFQRDDLNDGESAFMDLASIYAATGNFSDSNLLGRGGFGPVYKGKLSDGKEVAVKRLSACSEQGTDEFTNEVMLIMKLQHKNLVKLLGLCVDGDEKLLVYEFMPNRSLDVFLFDKKERARLNWRTRIGIVNGIAKGTLYLHEDSRHRIIHRDLKASNVLLDKDMNPKISDFGMARMFAGSEGEANTARIVGTYGYMAPEYAMEGLYSTKSDVFSFGVLLLEIITGKRNSEFNKSKRAPSLLAYAWQLWNEGKELELIDPLMADSCCSDEFSRSMHIGLLCVQEDPCERPTMSSVVLMLKRESSILTQPDRPAFSVGRFADYEANAGNCSVNALTISSISPR >Potri.011G028100.7.v4.1 pep chromosome:Pop_tri_v4:11:2099216:2102486:1 gene:Potri.011G028100.v4.1 transcript:Potri.011G028100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G028100.v4.1 MASSFPSFEKTIQFLWLCFFISNLLDLAHADPPYSVCSNNSNYSANSTFQNNLQILMSSVRSNASVSKLYNTSTGNDLDRIYAQYMCINYITNDSCSTCITAASQDIMQVCPGDKEAVVWEELCQLRYSNQSFLGHLDVSGNIGRDNKKDVENPEQFRLVVNETLSDLTKQVAFNASANMYATRQAAFTNTETLYALMQCSTDLSPDDCNTCLRVAMENIPSCCDASRGGRVLSRSCYLRYELYAFYEGANNESSKSPVPEEIAAALLVVVILGSLTFYHAMRNGIKKWKKQNTGLDRNCHGIGHPNNNDFQLQNFQRDDLNDGESAFMDLASIYAATGNFSDSNLLGRGGFGPVYKGKLSDGKEVAVKRLSACSEQGTDEFTNEVMLIMKLQHKNLVKLLGLCVDGDEKLLVYEFMPNRSLDVFLFDKKERARLNWRTRIGIVNGIAKGTLYLHEDSRHRIIHRDLKASNVLLDKDMNPKISDFGMARMFAGSEGEANTARIVGT >Potri.004G050500.1.v4.1 pep chromosome:Pop_tri_v4:4:4080964:4082490:-1 gene:Potri.004G050500.v4.1 transcript:Potri.004G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G050500.v4.1 MDPIIQDQKATELFQAHTHLHSQMFNYINSMSLMCAAQLGIPDIIHNHGRPITLPHLVSALHIAPNKTSIIYRLMRMLVHSGFFATTKAANGQGEGEEEAYVLTPPSQLLVKDNTNCLSPFMSLINPAFVTPWLSLGDWFRGNEPTAFEHAYGMAFWEYHNQNPELNRLFNEAMACDSQMMNLVIRDCKPIFEGLNSMVDVGGGTGSLSRIISEAFPHMNCTVLELPQVITNLEGTKNLNYVGGDMFQHIPSADAVLLKLIFHGWSDEDCLKILKKCKEAISSKEKGGKVIIVDVVIDEKKDEKELTETKLLFDMLMMVVAAGKERSVKEWEKLFLEAGFSHYKITPLFGLRSLIEVYP >Potri.011G141900.6.v4.1 pep chromosome:Pop_tri_v4:11:17183836:17184664:-1 gene:Potri.011G141900.v4.1 transcript:Potri.011G141900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141900.v4.1 MMRLKYSGAASNLRELEELGCTVMHGVNAHTMNSHPLLTHKLFGRIVYNFPQAALKRSEANIRQIESHRRLVKGFFKSASDMMEENGEVHVTHKTPDPYSKWEIEKLAEEAGLFLVEKVKFRKSDYPGYENKRGSGSRADESFPPGNCCTFKFGKSTSL >Potri.011G141900.2.v4.1 pep chromosome:Pop_tri_v4:11:17183642:17186553:-1 gene:Potri.011G141900.v4.1 transcript:Potri.011G141900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141900.v4.1 MMRLKYSGAASNLRELEELGCTVMHGVNAHTMNSHPLLTHKLFGRIVYNFPQAALKRSEANIRQIESHRRLVKGFFKSASDMMEENGEVHVTHKTPDPYSKWEIEKLAEEAGLFLVEKVKFRKSDYPGYENKRGSGSRADESFPPGNCCTFKFGKSTSL >Potri.011G141900.4.v4.1 pep chromosome:Pop_tri_v4:11:17183642:17186547:-1 gene:Potri.011G141900.v4.1 transcript:Potri.011G141900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141900.v4.1 MDSLESHLEMLNIDDNKEEKWIKHYSSTQKMLLVGDGDFSFAVCLAEAFGSATNIVATSLYSEEMMRLKYSGAASNLRELEELGCTVMHGVNAHTMNSHPLLTHKLFGRIVYNFPQAALKRSEANIRQIESHRRLVKGFFKSASDMMEENGEVHVTHKTPDPYSKWEIEKLAEEAGLFLVEKVKFRKSDYPGYENKRGSGSRADESFPPGNCCTFKFGKSTSL >Potri.011G141900.5.v4.1 pep chromosome:Pop_tri_v4:11:17183641:17186547:-1 gene:Potri.011G141900.v4.1 transcript:Potri.011G141900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141900.v4.1 MDSLESHLEMLNIDDNKEEKWIKHYSSTQKMLLVGDGDFSFAVCLAEAFGSATNIVATSLYSEEMMRLKYSGAASNLRELEELGCTVMHGVNAHTMNSHPLLTHKLFGRIVYNFPQAALKRSEANIRQIDHRRLVKGFFKSASDMMEENGEVHVTHKTPDPYSKWEIEKLAEEAGLFLVEKVKFRKSDYPGYENKRGSGSRADESFPPGNCCTFKFGKSTSL >Potri.010G058000.7.v4.1 pep chromosome:Pop_tri_v4:10:8856712:8861699:-1 gene:Potri.010G058000.v4.1 transcript:Potri.010G058000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058000.v4.1 MDITEVEENLFAASDAKLHGEMCKELSVIYCKILSIFPSLEAARPRSKSGIQALCSMHIALEKAKNVLRHCSECSKLYLAITGDSVLLKFEKARSALVDSLRRVEDIVPQSIGCEILEIVSELEGTVFSLDPLEKQVGDEIIVLLQQGRKFDNCNDTNELESFHEAATKLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSDLTDDNDSQGSAPCSPTVEGSFEDGGPGGDGHAFERHLSKLSSLNFKPNFRKSGQMPLPPEELRCPISLHLMYDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLSHLCLTPNYCVKGLVASWCEQNGVPAPDGPPESLDLNYWRLAMSELDSANSRSVEIVGSGKLKGVKVIPLEGSGLIEEAEETETENLSPQQEDSVPEDDFEDNVFERYQNFLTILNSDEDLKKKCKIVEQVRLLLKDDEEARIFMGANGFVEALLQFLESAVHARNPMAEEIGAMALFNLAVNNNRNKEMMLASGVISLLEDMISNSDSDGSATALYLNLSCLEEAKSIIGSSHAVPFLVQILQGETGAQCKLDALHALYNLSSHPTNIPNLLSAGIISGLQSVLAVPGDHAWIEKSIAVLINLACSQSAKDEMLSASGLISGLATILDTGEPIEQEQAVACLYILCNGSEKGSQLVLQEGVIPALVSISVNGTTRGKEKAQKLLMLFREQRQRDQPSAEVHFQRDQSSAEVRFQRIESSSMSMPAPETKPLCKSVSRRKMGKAISVFWKSKSYSVYQC >Potri.010G058000.4.v4.1 pep chromosome:Pop_tri_v4:10:8856716:8862011:-1 gene:Potri.010G058000.v4.1 transcript:Potri.010G058000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058000.v4.1 MDITEVEENLFAASDAKLHGEMCKELSVIYCKILSIFPSLEAARPRSKSGIQALCSMHIALEKAKNVLRHCSECSKLYLAITGDSVLLKFEKARSALVDSLRRVEDIVPQSIGCEILEIVSELEGTVFSLDPLEKQVGDEIIVLLQQGRKFDNCNDTNELESFHEAATKLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSDLTDDNDSQGSAPCSPTVEGSFEDGGPGGDGHAFERHLSKLSSLNFKPNFRKSGQMPLPPEELRCPISLHLMYDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLSHLCLTPNYCVKGLVASWCEQNGVPAPDGPPESLDLNYWRLAMSELDSANSRSVEIVGSGKLKGVKVIPLEGSGLIEEAEETETENLSPQQEDSVPEDDFEDNVFERYQNFLTILNSDEDLKKKCKIVEQVRLLLKDDEEARIFMGANGFVEALLQFLESAVHARNPMAEEIGAMALFNLAVNNNRNKEMMLASGVISLLEDMISNSDSDGSATALYLNLSCLEEAKSIIGSSHAVPFLVQILQGETGAQCKLDALHALYNLSSHPTNIPNLLSAGIISGLQSVLAVPGDHAWIEKSIAVLINLACSQSAKDEMLSASGLISGLATILDTGEPIEQEQAVACLYILCNGSEKGSQLVLQEGVIPALVSISVNGTTRGKEKAQKLLMLFREQRQRDQPSAEVHFQRDQSSAEVRFQRIESSSMSMPAPETKPLCKSVSRRKMGKAISVFWKSKSYSVYQC >Potri.010G058000.3.v4.1 pep chromosome:Pop_tri_v4:10:8856715:8861824:-1 gene:Potri.010G058000.v4.1 transcript:Potri.010G058000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058000.v4.1 MDITEVEENLFAASDAKLHGEMCKELSVIYCKILSIFPSLEAARPRSKSGIQALCSMHIALEKAKNVLRHCSECSKLYLAITGDSVLLKFEKARSALVDSLRRVEDIVPQSIGCEILEIVSELEGTVFSLDPLEKQVGDEIIVLLQQGRKFDNCNDTNELESFHEAATKLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSDLTDDNDSQGSAPCSPTVEGSFEDGGPGGDGHAFERHLSKLSSLNFKPNFRKSGQMPLPPEELRCPISLHLMYDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLSHLCLTPNYCVKGLVASWCEQNGVPAPDGPPESLDLNYWRLAMSELDSANSRSVEIVGSGKLKGVKVIPLEGSGLIEEAEETETENLSPQQEDSVPEDDFEDNVFERYQNFLTILNSDEDLKKKCKIVEQVRLLLKDDEEARIFMGANGFVEALLQFLESAVHARNPMAEEIGAMALFNLAVNNNRNKEMMLASGVISLLEDMISNSDSDGSATALYLNLSCLEEAKSIIGSSHAVPFLVQILQGETGAQCKLDALHALYNLSSHPTNIPNLLSAGIISGLQSVLAVPGDHAWIEKSIAVLINLACSQSAKDEMLSASGLISGLATILDTGEPIEQEQAVACLYILCNGSEKGSQLVLQEGVIPALVSISVNGTTRGKEKAQKLLMLFREQRQRDQPSAEVHFQRDQSSAEVRFQRIESSSMSMPAPETKPLCKSVSRRKMGKAISVFWKSKSYSVYQC >Potri.010G058000.6.v4.1 pep chromosome:Pop_tri_v4:10:8856708:8861775:-1 gene:Potri.010G058000.v4.1 transcript:Potri.010G058000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058000.v4.1 MDITEVEENLFAASDAKLHGEMCKELSVIYCKILSIFPSLEAARPRSKSGIQALCSMHIALEKAKNVLRHCSECSKLYLAITGDSVLLKFEKARSALVDSLRRVEDIVPQSIGCEILEIVSELEGTVFSLDPLEKQVGDEIIVLLQQGRKFDNCNDTNELESFHEAATKLGITSSRAALTERRALKKLIERARVEEDKRKESIVAYLLHLMRKYSKLFRSDLTDDNDSQGSAPCSPTVEGSFEDGGPGGDGHAFERHLSKLSSLNFKPNFRKSGQMPLPPEELRCPISLHLMYDPVIIASGQTYERICIEKWFSDGHDTCPKTQQKLSHLCLTPNYCVKGLVASWCEQNGVPAPDGPPESLDLNYWRLAMSELDSANSRSVEIVGSGKLKGVKVIPLEGSGLIEEAEETETENLSPQQEDSVPEDDFEDNVFERYQNFLTILNSDEDLKKKCKIVEQVRLLLKDDEEARIFMGANGFVEALLQFLESAVHARNPMAEEIGAMALFNLAVNNNRNKEMMLASGVISLLEDMISNSDSDGSATALYLNLSCLEEAKSIIGSSHAVPFLVQILQGETGAQCKLDALHALYNLSSHPTNIPNLLSAGIISGLQSVLAVPGDHAWIEKSIAVLINLACSQSAKDEMLSASGLISGLATILDTGEPIEQEQAVACLYILCNGSEKGSQLVLQEGVIPALVSISVNGTTRGKEKAQKLLMLFREQRQRDQPSAEVHFQRDQSSAEVRFQRIESSSMSMPAPETKPLCKSVSRRKMGKAISVFWKSKSYSVYQC >Potri.001G451700.1.v4.1 pep chromosome:Pop_tri_v4:1:47797256:47802076:-1 gene:Potri.001G451700.v4.1 transcript:Potri.001G451700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G451700.v4.1 MATKLLLTDVAASCVKQIPSNYIRPISDRPNFSEVERSGSIPLVDLEGLHSHRRSEIIMQIGQACQDYGFFLVRNHGVPEKMMNNALLMAKEFFKLPENERMKYYSDDHRRSIRLSTTFNVKTEKVYIWRDFLRFHSNPLEDYVHEWPPNPPSFRKDVGEYCTGVRALVLRLLEAISESLGLEKDYINKALGKHGQHVSMNYYPPCPQPELTFGVPGHTDPTMITVLLPNEVPGLQVFKNGKWMAVNSVPNTFVINIGDQMQVLSNDRYKSVLHRAVVNCDKERISIATFYYPSLDATMGPAKELIDDDNPAAYRNHSFSEFYEKFWNRGLATECCLLDLFKSSVV >Potri.018G036050.1.v4.1 pep chromosome:Pop_tri_v4:18:2786445:2786756:-1 gene:Potri.018G036050.v4.1 transcript:Potri.018G036050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036050.v4.1 MAAKPNSPPRNPWQKRAVYLISTTSPNTIPSRDVKPAKEWGNGLFVTVLNVCDRSYKPWKVTQQRFRCLATTRDNNCANMSKSIPSLLSLSKSMPLSCKNCID >Potri.014G102200.1.v4.1 pep chromosome:Pop_tri_v4:14:6762679:6764501:1 gene:Potri.014G102200.v4.1 transcript:Potri.014G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G102200.v4.1 MNQEMNGVDTEIDQNHQENVQEKIDYVFKVVVIGDSAVGKTQILSRFTKNEFCFDSKSTIGVEFQTRTVIIKDKVIKAQIWDTAGQERYRAVTSAYYRGALGAMLVYDTTKRPTFDHVARWVEELRAHSDNSIVIMLIGNKADLVDLRAVPTEDAVEFAEEQGLFFSETSALSGDNVDGAFFRLLEEIYGVICKKSLECGNGNPHAADAITLRGSKIDGMSGTDLEISEMKKLSACSC >Potri.013G069400.2.v4.1 pep chromosome:Pop_tri_v4:13:5512911:5531522:-1 gene:Potri.013G069400.v4.1 transcript:Potri.013G069400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G069400.v4.1 MDNSMEERLLSPEELNSSDLKRRVWNESKKLWVIAFPGMVARVSSFGMIIVTQLFMGHISELDLAAYGLQQSILLRFVDGILIGMSSATETLCGQAYGAGHYHMMGVYLQRSWIIDGVTATILLPLFIFTTPILRLIGQEENIAIEAGKISIWLIPVLYSYVFSLTIQMYLQAQQKNKIVGCFSAFSFLVHVLLSWLFVIKLGLGLTGAMGAFSISSWLLVIGEFVYIFGGWCPNTWKGFTKAAFADMLPLIKLSISSGVMICLELWYSSILVLLAGYMKNATIAISAFSICLNINGWEFMVCLGFLGSSCVRISNELGMGNAKAAKFSIKVALGTSIIIGIIFWVLCMVFSREISYLFTSSEEIAESVSRLHVLLAFSMLLNSIFPVLTGVAVGAGVQSMVAFVNLGSYYVIGLPVGILLGYVAHLQVTGLWIGLLSGVGVQTLLLSYLTWRIDWNEQVNKASERLGRFFIGTTEGSDENSNLA >Potri.013G100400.1.v4.1 pep chromosome:Pop_tri_v4:13:10620788:10621960:-1 gene:Potri.013G100400.v4.1 transcript:Potri.013G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G100400.v4.1 MAPKLSCLLLAILTFFSIQSFIGLARILKSEHQQYSFSSLQKLEGVRKGQTVEGLVELKQYLKRFGYYPSDVNLMTSDFDDLLESALKTYQNYFHLNVTGILDDSTIKQMMIPRCGMHDITPNNTKSNYTKFHMVMHYTFFNGMPKWRPSKYHLTYTFGSDGVQVVDMDTLRSVCSDAFKKWSDVSPLTFQEASDGASANIVIAFYSGDHGDGYPFDGPGKILAHAFSPENGRFHYDADEKWSTNPAMDQIDLESVAVHEIGHLLGLAHSSDSNAVMYPSIAAGTKKRNLAQDDIDGIHALYGN >Potri.014G032400.1.v4.1 pep chromosome:Pop_tri_v4:14:2001380:2003573:-1 gene:Potri.014G032400.v4.1 transcript:Potri.014G032400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G032400.v4.1 MEKMGALRTIYRAACLGSSRSAAISQSQLRHHLASRSLFTVSAPSVSTTPKRIPCDFRSPFAMSLGSSRSFSEDVTHFPDIKDPEILNVFKDLMATNWDELPNAVVSDAKKALSKNTDDKVGQEILKNVFRAAEAVEEFGGKIMSLKMELDDIIGLSGENVKPLPELHANAIRTFYQRYAAYLDSFGPGEGYLRKKVETELGSRMIYLKMRCCGLGAEWGKVTVLGTSGLAGSYVEQRA >Potri.001G146500.1.v4.1 pep chromosome:Pop_tri_v4:1:12099620:12104872:-1 gene:Potri.001G146500.v4.1 transcript:Potri.001G146500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G146500.v4.1 MSSTPKRRPKRDLSSSSSHPYTISSKIEPPHNLFPSKQEFLRLIAVLAIASSVALTCNFIANYIDHSTKPFCDTSLDSSDSLSNSCEPCPRNGECNQGKLECARGYRKHRNTCIEDGDVYERAKKLLEGVENHLCEAYADFLCYGTGIVWVQEDDILNDLDGHQLLENYSSDNPVYVYTKMKAMETISEELQIRTNPNGKKEFKCPDLLVEHYKPFTCHLRQWISEHALVIVPVCALVVGFAFLVWKIRRRWYLSTRGEELYHQVCDILEERALMSKRVNAECEPWVVASRLRDHLLSPKERKDFVLWKKVEDLVREDSRVDRYPKLVKGESKVVWEWQVEGSLSSGRMRKKVESSKLKSNDGVKENFDKERHELKPEPKILMF >Potri.008G200000.1.v4.1 pep chromosome:Pop_tri_v4:8:14178184:14179347:-1 gene:Potri.008G200000.v4.1 transcript:Potri.008G200000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200000.v4.1 MASGASKSAAFMLLILNMGLYFLMIVIGSWAINHGIVRSRETAAILTIPARIFPIYFPMGNLATGFFIILSLLAGVVGFTSSITGLHNVFLWNAPNLHAAYASSLASLSLTLLSMGFACKEIDIGWTDSVLRTLEVVTIIVSGTQLLCTGAIHVGVEDMVARQKNLGGRV >Potri.014G003766.1.v4.1 pep chromosome:Pop_tri_v4:14:280724:281044:-1 gene:Potri.014G003766.v4.1 transcript:Potri.014G003766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003766.v4.1 MEVHFASTLIDESLGFQLDLSRYANLSLFFTWFCTPIFSLLHSGVAQLEFNLPSLVFYYLPGFRLF >Potri.002G026500.1.v4.1 pep chromosome:Pop_tri_v4:2:1762838:1765225:1 gene:Potri.002G026500.v4.1 transcript:Potri.002G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G026500.v4.1 MALFDFLILSVPIFLLFLLIKRNKTTKKACLPPGPDGLPFIGNLHQLGNSNLHQYLWKLSQKHGPLVYLRLGFKPALIVSSAKMAREILKTHDLEFCSRPALTVMKKFSYNGLDLALAPYGAYWREVKKICVVRVFSSIRAQSFRPIREDEVSRMIENISKSALASKPFNLTEELVSLTSTTICRVAFGKRYEIGGSDKNRFLELLHEIQAMVSSFFLSDYFPCLGWLVDKLTGLSYRLEKSFKEFDAFFKGIIDDKLDPNRPKPEREDTILDFLLQIYKDGSFKVQLTLDHIKAILMDIFLAGTDTSAVTMNWAMTFLMKNPKAMRKAQEEVRNLFGNKGFVHEDDVQQLPYLKAVVKETMRLQPTAPLLIPRETTKECCVGGYEIPAKTLVYVSAWAVGRDPEAWENPYEFNPDRFLGSSIDLKGNDFELIPFGAGRRICPGIFIALATVELSLANLLHKFDWEMPSGVEDIDMDDVLPGLVPHMRDALCLVPKLVCDGEIGHKGTAAHDY >Potri.006G216900.6.v4.1 pep chromosome:Pop_tri_v4:6:22236392:22252056:-1 gene:Potri.006G216900.v4.1 transcript:Potri.006G216900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216900.v4.1 MAAGGFVSRAFESMLKECSGKKFPDLQKAIQSYLDDTKEVTQQPKPIETNQAASAAGDGSSLDSEGEGAKTGTESDQSETVQHTSEEAQQASKQAGISRSITVVLANAGCTLEGAEAELVLNPLRFAFETKNLKILEPALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMACNCIDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRICYNIALHSKSPINQATSKAMLTQMINIIFRRMESDSQAQASTSTGSTGNDEAALAEKSDLSTEETPNADQNEEEMTLGDALNQIKETSLASVEELHNLAGGSDIKGLEAVLDKAVHTEDGKKITRGIDLESMDIGQRDALLVFRTLCKMGMKEDNDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSSIIFQYATGIFFVLLLRFRESLKGEVGVFFPLIVLRSLDGAECPANQKMSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVTTLSKISQGAQVADPNSAAVSQTTSIKGSSLQCLVNVLKSLLDWERSCRELEKKSKNTQSLEEEVSAREIAEVKGREDVPNNFEKAKAHKSTMEAAISEFNRHSVKGLEYMISNKLVENNPASVAQFLRNTPSLNKAMIGDYLGQHEEFPLAVMHAYVDSMKFSEMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVILLNTDAHNPMVWPKMSKSDFIRMNAMSDAEDCAPTDLLEEIYDSIVKDEIKLKDDAAGIGKNSKQKPEGEERGGLVSILNLALPKRKSSTDAKSENEAIIKQTQAIFRKQGARRGVFHTVQQIEIIRPMVEAVGWPLLVTFSVTMEEGDNKPRVVLCMEGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDSETDSLQDTWNAVLECVSRLEYITSTPSIAVTVMLGSNQISRDAVLQSLRELAGKPAEQVFVNSVKLPSDSVVEFFNALCGVSAEELRQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVVLMRNSRSQSIRRLIVDCIVQMIKSKVGNIKSGWRSVFMIFTAAADDEMESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGALKPIDVSVDANFDVTEHYWFPMLAGLSDLTSDLRPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLISSDDELFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLGLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDTLLKSIRDASYTTQPLELLNALGFEGSMVLVTDSEVGTDNHQIDASDNGHVSPLPSPSISAHGTRGNPNAMVLLDHNQEFGLQSNLEGSEGLPSPSGRSQKPAEDLQRNQTIGQKIMGNMMDNLFIRSFTSKSKARVSDASAPSSPIKIPDAVESDAKEVESPLMATVRGKCITQLLLLGAIDSIQKKYWSKLKASQKIAIMDVLLSMLEFAASYNSYSNLRMRMHHIPVERPPLNLLRQELAGTSIYLDVLQKTTSGFDAINEKQQESNVDVARVHNDSSFAGHSSGEEKLEGVAEEKLVSFCEQVLREASDLQSSVGETTNMNVHRVLELRSPVIVKVLKGMCFMNNKIFRRHLREFYPLLTKLVCCDQMDVRGALGDLFRVQLKALLP >Potri.006G216900.5.v4.1 pep chromosome:Pop_tri_v4:6:22236396:22252056:-1 gene:Potri.006G216900.v4.1 transcript:Potri.006G216900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216900.v4.1 MAAGGFVSRAFESMLKECSGKKFPDLQKAIQSYLDDTKEVTQQPKPIETNQAASAAGDGSSLDSEGEGAKTGTESDQSETVQHTSEEAQQASKQAGISRSITVVLANAGCTLEGAEAELVLNPLRFAFETKNLKILEPALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMACNCIDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRICYNIALHSKSPINQATSKAMLTQMINIIFRRMESDSQAQASTSTGSTGNDEAALAEKSDLSTEETPNADQNEEEMTLGDALNQIKETSLASVEELHNLAGGSDIKGLEAVLDKAVHTEDGKKITRGIDLESMDIGQRDALLVFRTLCKMGMKEDNDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSSIIFQYATGIFFVLLLRFRESLKGEVGVFFPLIVLRSLDGAECPANQKMSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVTTLSKISQGAQVADPNSAAVSQTTSIKGSSLQCLVNVLKSLLDWERSCRELEKKSKNTQSLEEEVSAREIAEVKGREDVPNNFEKAKAHKSTMEAAISEFNRHSVKGLEYMISNKLVENNPASVAQFLRNTPSLNKAMIGDYLGQHEEFPLAVMHAYVDSMKFSEMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVILLNTDAHNPMVWPKMSKSDFIRMNAMSDAEDCAPTDLLEEIYDSIVKDEIKLKDDAAGIGKNSKQKPEGEERGGLVSILNLALPKRKSSTDAKSENEAIIKQTQAIFRKQGARRGVFHTVQQIEIIRPMVEAVGWPLLVTFSVTMEEGDNKPRVVLCMEGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDSETDSLQDTWNAVLECVSRLEYITSTPSIAVTVMLGSNQISRDAVLQSLRELAGKPAEQVFVNSVKLPSDSVVEFFNALCGVSAEELRQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVVLMRNSRSQSIRRLIVDCIVQMIKSKVGNIKSGWRSVFMIFTAAADDEMESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGALKPIDVSVDANFDVTEHYWFPMLAGLSDLTSDLRPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLISSDDELFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLGLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDTLLKSIRDASYTTQPLELLNALGFEGSMVLVTDSEVGTDNHQIDASDNGHVSPLPSPSISAHGTRGNPNAMVLLDHNQEFGLQSNLEGSEGLPSPSGRSQKPAEDLQRNQTIGQKIMGNMMDNLFIRSFTSKSKARVSDASAPSSPIKIPDAVESDAKEVESPLMATVRGKCITQLLLLGAIDSIQKKYWSKLKASQKIAIMDVLLSMLEFAASYNSYSNLRMRMHHIPVERPPLNLLRQELAGTSIYLDVLQKTTSGFDAINEKQQESNVDVARVHNDSSFAGHSSGEEKLEGVAEEKLVSFCEQVLREASDLQSSVGETTNMNVHRVLELRSPVIVKVLKGMCFMNNKIFRRHLREFYPLLTKLVCCDQMDVRGALGDLFRVQLKALLP >Potri.006G216900.7.v4.1 pep chromosome:Pop_tri_v4:6:22237120:22251748:-1 gene:Potri.006G216900.v4.1 transcript:Potri.006G216900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216900.v4.1 MAAGGFVSRAFESMLKECSGKKFPDLQKAIQSYLDDTKEVTQQPKPIETNQAASAAGDGSSLDSEGEGAKTGTESDQSETVQHTSEEAQQASKQAGISRSITVVLANAGCTLEGAEAELVLNPLRFAFETKNLKILEPALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMACNCIDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRICYNIALHSKSPINQATSKAMLTQMINIIFRRMESDSQAQASTSTGSTGNDEAALAEKSDLSTEETPNADQNEEEMTLGDALNQIKETSLASVEELHNLAGGSDIKGLEAVLDKAVHTEDGKKITRGIDLESMDIGQRDALLVFRTLCKMGMKEDNDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSSIIFQYATGIFFVLLLRFRESLKGEVGVFFPLIVLRSLDGAECPANQKMSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVTTLSKISQGAQVADPNSAAVSQTTSIKGSSLQCLVNVLKSLLDWERSCRELEKKSKNTQSLEEEVSAREIAEVKGREDVPNNFEKAKAHKSTMEAAISEFNRHSVKGLEYMISNKLVENNPASVAQFLRNTPSLNKAMIGDYLGQHEEFPLAVMHAYVDSMKFSEMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVILLNTDAHNPMVWPKMSKSDFIRMNAMSDAEDCAPTDLLEEIYDSIVKDEIKLKDDAAGIGKNSKQKPEGEERGGLVSILNLALPKRKSSTDAKSENEAIIKQTQAIFRKQGARRGVFHTVQQIEIIRPMVEAVGWPLLVTFSVTMEEGDNKPRVVLCMEGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDSETDSLQDTWNAVLECVSRLEYITSTPSIAVTVMLGSNQISRDAVLQSLRELAGKPAEQVFVNSVKLPSDSVVEFFNALCGVSAEELRQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVVLMRNSRSQSIRRLIVDCIVQMIKSKVGNIKSGWRSVFMIFTAAADDEMESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGALKPIDVSVDANFDVTEHYWFPMLAGLSDLTSDLRPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLISSDDELFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLGLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDTLLKSIRDASYTTQPLELLNALGFEGSMVLVTDSEVGTDNHQIDASDNGHVSPLPSPSISAHGTRGNPNAMVLLDHNQEFGLQSNLEGSEGLPSPSGRSQKPAEDLQRNQTIGQKIMGNMMDNLFIRSFTSKSKARVSDASAPSSPIKIPDAVESDAKEVESPLMATVRGKCITQLLLLGAIDSIQKKYWSKLKASQKIAIMDVLLSMLEFAASYNSYSNLRMRMHHIPVERPPLNLLRQELAGTSIYLDVLQKTTSGFDAINEKQQESNVDVARVHNDSSFAGHSSGEEKLEGVAEEKLVSFCEQVLREASDLQSSVGETTNMNVHRVLELRSPVIVKVLKGMCFMNNKIFRRHLREFYPLLTKLVCCDQMDVRGALGDLFRVQLKALLP >Potri.006G216900.4.v4.1 pep chromosome:Pop_tri_v4:6:22236758:22252094:-1 gene:Potri.006G216900.v4.1 transcript:Potri.006G216900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216900.v4.1 MAAGGFVSRAFESMLKECSGKKFPDLQKAIQSYLDDTKEVTQQPKPIETNQAASAAGDGSSLDSEGEGAKTGTESDQSETVQHTSEEAQQASKQAGISRSITVVLANAGCTLEGAEAELVLNPLRFAFETKNLKILEPALDCLHKLIAYDHLEGDPGLEGGKNVPLFTDILNMACNCIDNSSPDSTILQVLKVLLTAVASTKFRVHGEPLLGVIRICYNIALHSKSPINQATSKAMLTQMINIIFRRMESDSQAQASTSTGSTGNDEAALAEKSDLSTEETPNADQNEEEMTLGDALNQIKETSLASVEELHNLAGGSDIKGLEAVLDKAVHTEDGKKITRGIDLESMDIGQRDALLVFRTLCKMGMKEDNDEVTTKTRILSLELLQGLLEGVSHSFTKNFHFIDSVKAYLSYALLRASVSQSSIIFQYATGIFFVLLLRFRESLKGEVGVFFPLIVLRSLDGAECPANQKMSVLRMLEKVCKDPQMLVDVYVNYDCDLEAPNLFERMVTTLSKISQGAQVADPNSAAVSQTTSIKGSSLQCLVNVLKSLLDWERSCRELEKKSKNTQSLEEEVSAREIAEVKGREDVPNNFEKAKAHKSTMEAAISEFNRHSVKGLEYMISNKLVENNPASVAQFLRNTPSLNKAMIGDYLGQHEEFPLAVMHAYVDSMKFSEMKFDTAIREFLKGFRLPGEAQKIDRIMEKFAERYCADNPGLFKNADTAYVLAYAVILLNTDAHNPMVWPKMSKSDFIRMNAMSDAEDCAPTDLLEEIYDSIVKDEIKLKDDAAGIGKNSKQKPEGEERGGLVSILNLALPKRKSSTDAKSENEAIIKQTQAIFRKQGARRGVFHTVQQIEIIRPMVEAVGWPLLVTFSVTMEEGDNKPRVVLCMEGFKAGIHITHVLGMDTMRYAFLTSLVRFTFLHAPKEMRSKNVEALRTLLALCDSETDSLQDTWNAVLECVSRLEYITSTPSIAVTVMLGSNQISRDAVLQSLRELAGKPAEQVFVNSVKLPSDSVVEFFNALCGVSAEELRQTPARVFSLQKLVEISYYNMARIRMVWARIWSVLANHFISAGSHHDEKIAMYAIDSLRQLGMKYLERAELANFTFQNDILKPFVVLMRNSRSQSIRRLIVDCIVQMIKSKVGNIKSGWRSVFMIFTAAADDEMESIVESAFENVEQVILEHFDQVVGDCFMDCVNCLIRFANNKTSHRISLKAIALLRICEDRLAEGLIPGGALKPIDVSVDANFDVTEHYWFPMLAGLSDLTSDLRPEVRSCALEVLFDLLNERGSKFSSSFWESIFHRVLFPIFDHVRHAGKESLISSDDELFRETSIHSLQLLCNLFNTFYKEVCFMLPPLLGLLLDCAKKTDQTVVSISLGALVHLIEVGGHQFSESDWDTLLKSIRDASYTTQPLELLNALGFEGSMVLVTDSEVGTDNHQIDASDNGHVSPLPSPSISAHGTRGNPNAMVLLDHNQEFGLQSNLEGSEGLPSPSGRSQKPAEDLQRNQTIGQKIMGNMMDNLFIRSFTSKSKARVSDASAPSSPIKIPDAVESDAKEVESPLMATVRGKCITQLLLLGAIDSIQKKYWSKLKASQKIAIMDVLLSMLEFAASYNSYSNLRMRMHHIPVERPPLNLLRQELAGTSIYLDVLQKTTSGFDAINEKQQESNVDVARVHNDSSFAGHSSGEEKLEGVAEEKLVSFCEQVLREASDLQSSVGETTNMNVHRVLELRSPVIVKVLKGMCFMNNKIFRRHLREFYPLLTKLVCCDQMDVRGALGDLFRVQLKALLP >Potri.009G058050.1.v4.1 pep chromosome:Pop_tri_v4:9:6071932:6072296:-1 gene:Potri.009G058050.v4.1 transcript:Potri.009G058050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058050.v4.1 MHVADSLAFSSNLAKIAVATRLHRVKDSRHNREIGMFFTVSGYMFSMKKCRPQIARQGRKMTSIICDVNFL >Potri.010G219700.1.v4.1 pep chromosome:Pop_tri_v4:10:20528813:20534295:-1 gene:Potri.010G219700.v4.1 transcript:Potri.010G219700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G219700.v4.1 MENRVANQLPEVDSLPDGFVDSSMEPVAPQTPTSEQEKPVSDCKEDSIGKVDHVSEALQELAANESQTSQNGTEKPEKMRNFPVPLSDIDGCDASVHLVVEPDQGAWQEEGTLTKPVLDDLVPEASVGVSRCSEVIEVQGSSQSSDRSTQGGLDSQATGVKEISSSESTELQKGRKVEATETKRKNAKRTFKSEKEFLEFTLKYQQVLTERDAAIVVRDKLESLCRELQRQNKMLMDECKRVSTEGQHLRLDLSTKFQDAIKDVSNRLEEQKEESLTQLKENEMLRKKLKEFADQYAISEQQNAQKLKQKTLELQIADLKIKQHEEKLVQEQSQMKIYAEQVSQLLATEKTLRLQLTADGDKFQQFQEALVKSNEVFETFKQEIDKMAKSIKELKKENTFLKSKSEKSDVMLIELVDEREKLKKQLDKTRNQKEKLESLCRSLQAERKQNKTGSNSSDSVPA >Potri.012G021900.3.v4.1 pep chromosome:Pop_tri_v4:12:2233946:2241748:1 gene:Potri.012G021900.v4.1 transcript:Potri.012G021900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021900.v4.1 MASRFQAVALVASPSYPNSIAWSDDNFIAVASAHLVTILNPAVPYGPRGLIRVPTCEPYPIGCVNREDLFTNCMLPAALSRDRRPCVRSISWSPIGMAPNYGCLLAVCTVEGRVKIYRPPFCDFSAEWVEVVDISDRLYDYLAKINFGELDNTPSEFSHGQPIIQGCADERPKSCANDLPNSGTLKQYKRRKVNVPTYNIKDSETFQDQLSDPINRGRTTAGSDHENKIDRRRTTKVLGNCTLPLITAEKYASRCAMLSSLVIAWSPVLWLPSKICSAPENDSSNGFSILAVGGKSGKISVWRINVPQYYSIEHSRVPTTVTFVGLLQAHNSWVTTISLALLGSKSNPQVLLASGSSDGSVRIWIGKGEELLETSGANNAPFSLLKEVVSVNCVPISVLSLAVPVQTMHKMLLAVGKGSGSFEVTGLAWAFDGCCLYSCGQENYVRAWVLHGSALCEVSIPSNTPGLRSSNDLPNVFVSCLGVAASPGNIALAMVRNVDGDSLDPMYEGRLQKAVVEFLWIGGQQKDILSPSSSDFTSEAFLGFSANELNYWESDILWYLTKYENLDNPLVVWDIVAALLAFKQSAPKYMDRILVKWLSVTFLGSYTGLSIGDVLTCIPENFSKITSRQLHLLNIICRRVMLSDVKAEEINCKVNLGGSAAAKAEHLTLWIELLFSSEKELRERLVGFSLATFINRLSDSTTTFSRPGFWYPVGVEQMELWIALNHDRVRDQLKVLASEVRKRERRLQSSEYGVEEQCIYCSESVTFDSPEVAHCHCSNSTDETVQIYQMARCAVSMQVCPAIPLWFCKCCCRRASKLPPETLFTLPGYPLDFKSLTESSVKEIPTKPLCPFCGIPLQRLQPDFLLSPSPV >Potri.012G021900.1.v4.1 pep chromosome:Pop_tri_v4:12:2233972:2241775:1 gene:Potri.012G021900.v4.1 transcript:Potri.012G021900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021900.v4.1 MASRFQAVALVASPSYPNSIAWSDDNFIAVASAHLVTILNPAVPYGPRGLIRVPTCEPYPIGCVNREDLFTNCMLPAALSRDRRPCVRSISWSPIGMAPNYGCLLAVCTVEGRVKIYRPPFCDFSAEWVEVVDISDRLYDYLAKINFGELDNTPSEFSHGQPIIQGCADERPKSCANDLPNSGTLKQYKRRKVNVPTYNIKDSETFQDQLSDPINRGRTTAGSDHENKIDRRRTTKVLGNCTLPLITAEKYASRCAMLSSLVIAWSPVLWLPSKICSAPENDSSNGFSILAVGGKSGKISVWRINVPQYYSIEHSRVPTTVTFVGLLQAHNSWVTTISLALLGSKSNPQVLLASGSSDGSVRIWIGKGEELLETSGANNAPFSLLKEVVSVNCVPISVLSLAVPVQTMHKMLLAVGKGSGSFEVWTADISSSKFDKVCLYDAHDCVVTGLAWAFDGCCLYSCGQENYVRAWVLHGSALCEVSIPSNTPGLRSSNDLPNVFVSCLGVAASPGNIALAMVRNVDGDSLDPMYEGRLQKAVVEFLWIGGQQKDILSPSSSDFTSEAFLGFSANELNYWESDILWYLTKYENLDNPLVVWDIVAALLAFKQSAPKYMDRILVKWLSVTFLGSYTGLSIGDVLTCIPENFSKITSRQLHLLNIICRRVMLSDVKAEEINCKVNLGGSAAAKAEHLTLWIELLFSSEKELRERLVGFSLATFINRLSDSTTTFSRPGFWYPVGVEQMELWIALNHDRVRDQLKVLASEVRKRERRLQSSEYGVEEQCIYCSESVTFDSPEVAHCHCSNSTDETVQIYQMARCAVSMQVCPAIPLWFCKCCCRRASKLPPETLFTLPGYPLDFKSLTESSVKEIPTKPLCPFCGIPLQRLQPDFLLSPSPV >Potri.006G023450.1.v4.1 pep chromosome:Pop_tri_v4:6:1584255:1585455:1 gene:Potri.006G023450.v4.1 transcript:Potri.006G023450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023450.v4.1 MSCCNGAKMILNLASKPDEQPLGCKCYKEAYSYFDNFKENAMLELSKECNLNVTPLNGDICGRYSTPNKS >Potri.012G114600.1.v4.1 pep chromosome:Pop_tri_v4:12:13360520:13362812:-1 gene:Potri.012G114600.v4.1 transcript:Potri.012G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G114600.v4.1 MQKEGRRCLINTASTQQTKVNQTRMAELAETTVMPKVITFLSSLLQRVAESNDLSQQLYPQKVSIFHGLSRPPISIQNYLERIFKYANCSPSCFVVAYVYLDRFAQRQSCFPINSFNVHRLLITSVLISVKFMDDIYYNNAFYAKVGGISTAEMNLLEVDFLFGLGFQLNVTPTMFHAYCSYLQREMLIQSPLPIVDLPLNMARLQKTHCCFNEDESTHQKQLAV >Potri.019G058700.2.v4.1 pep chromosome:Pop_tri_v4:19:9799944:9807395:1 gene:Potri.019G058700.v4.1 transcript:Potri.019G058700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058700.v4.1 MGLQRKQIISSALSKHLSLSPEAPSGDLGEYDIKSLYLNILKSSGKRSPSKESEEVMKWISFAEIFPIDSPTCFDALSGLNKDLALNSVLLGNGLTPSEADIIVFSVIHSSVIGLSHLEREKLVHVMRWMDYIQNKVDFGKLFEKVLLEKGAFELQLQGIKGDAKSEIDTNAKKTVENTKNKEKSEADLSMKKSDVGNKSTGKKESAQEKKKPSETEAGEKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDIGDAKLRQVVSGLAKFYSPDDLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTIVEPLLPPEGAKVGERVSFLGIDGKPEDVLNPKKKQLEKITPNLFSDDKGVATFKGIPFMTSGGPCTSSIPKASIK >Potri.019G058700.3.v4.1 pep chromosome:Pop_tri_v4:19:9799944:9807043:1 gene:Potri.019G058700.v4.1 transcript:Potri.019G058700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058700.v4.1 MGLQRKQIISSALSKHLSLSPEAPSGDLGEYDIKSLYLNILKSSGKRSPSKESEEVMKWISFAEIFPIDSPTCFDALSGLNKDLALNSVLLGNGLTPSEADIIVFSVIHSSVIGLSHLEREKLVHVMRWMDYIQNKVDFGKLFEKVLLEKGAFELQLQGIKGDAKSEIDTNAKKTVENTKNKEKSEADLSMKKSDVGNKSTGKKESAQEKKKPSETEAGEKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDIGDAKLRQVVSGLAKFYSPDDLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTIVEPLLPPEGAKVGERVSFLGIDGKPEDVLNPKKKQLEKITPNLFSDDKGVATFKGIPFMTSGGPCTSSIPKASIK >Potri.019G058700.4.v4.1 pep chromosome:Pop_tri_v4:19:9799944:9806988:1 gene:Potri.019G058700.v4.1 transcript:Potri.019G058700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G058700.v4.1 MGLQRKQIISSALSKHLSLSPEAPSGDLGEYDIKSLYLNILKSSGKRSPSKESEEVMKWISFAEIFPIDSPTCFDALSGLNKDLALNSVLLGNGLTPSEADIIVFSVIHSSVIGLSHLEREKLVHVMRWMDYIQNKVDFGKLFEKVLLEKGAFELQGIKGDAKSEIDTNAKKTVENTKNKEKSEADLSMKKSDVGNKSTGKKESAQEKKKPSETEAGEKDKELSVSLLNIQVGLIRKAWKHPSADSLLVEEIDIGDAKLRQVVSGLAKFYSPDDLTNRRVVLITNVKPGKLRDVMSEGLVLCASNEDHTIVEPLLPPEGAKVGERVSFLGIDGKPEDVLNPKKKQLEKITPNLFSDDKGVATFKGIPFMTSGGPCTSSIPKASIK >Potri.001G396300.1.v4.1 pep chromosome:Pop_tri_v4:1:42176858:42179655:1 gene:Potri.001G396300.v4.1 transcript:Potri.001G396300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G396300.v4.1 MESYHHFGDGDTHLPPGFRFHPTDEELITYYLLKKVLDRNFTGRAIAEVDLNKCEPWELPGKAKMVEKEWYFFSLRDRKYPTGLRTNRATEAGYWKATGKDREIHSSKTGALVGMKKTLVFYRGRAPEGGKSNWVMHEYRLEGKFAYHYLSGCSKDEWVISRVFQKSCGGAAASSGNITKKNRFDTTINLYTEANSPSSVSLPPLLDATTTITTTTLNDRDSCSYDNHTQHEHVSCFSTIAAAAYAAANNNINFDIAQLPPPPMTDPFSTFPGNTGVNCFPSLRSIQENLQLPFLFASPASAVAVPPFHGGGAATTMNWMVNP >Potri.010G078300.5.v4.1 pep chromosome:Pop_tri_v4:10:10469696:10472280:-1 gene:Potri.010G078300.v4.1 transcript:Potri.010G078300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078300.v4.1 MATATVLGTEMADLNYKETELCLGLPGAVGVKNEVETPNKATGKRGFAETVDLKLNLQAKEGVMDLNENIKNIASKDKNHLPADTIKDPAKPPAKAQVVGWPPVRSYRKNVLAQKNASEEGFRAQVVGWPPLRSYRKNVLTQKNASEEGDKASTGGSSAAFVKVCMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGAQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLAPRAMEKCKSRT >Potri.010G078300.4.v4.1 pep chromosome:Pop_tri_v4:10:10469676:10472255:-1 gene:Potri.010G078300.v4.1 transcript:Potri.010G078300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078300.v4.1 MATATVLGTEMADLNYKETELCLGLPGAVGVKNEVETPNKATGKRGFAETVDLKLNLQAKEGVMDLNENIKNIASKDKNHLPADTIKDPAKPPAKAQVVGWPPVRSYRKNVLAQKNASEEGFRAQVVGWPPLRSYRKNVLTQKNASEEGDKASTGGSSAAFVKVCMDGAPYLRKVDLKMYKSYQELSDALAKMFSSFTMGNYGAQGMIDFMNESKLMDLLNSSEYVPSYEDKDGDWMLVGDVPWEMFVDSCKRLRIMKGSEAIGLVSAPRAMEKCKSRT >Potri.006G252600.2.v4.1 pep chromosome:Pop_tri_v4:6:25109994:25115257:-1 gene:Potri.006G252600.v4.1 transcript:Potri.006G252600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G252600.v4.1 MCSPYFVNMNPFVSFVIWISLLSSFHSFHASPMQCKVSLNESCPASLYYYVPNTMRSLDETASLFNVDNDAVKRTIDGFLIMINCSCLAEHRFFTWHMDYKVQKGDTWNSISSKFGFFVVVAMSEKVLIPSVIVTLDVLCGCSNNADMVTYKVQNGDTVFTICSRFKANETKTVLLNGLDNPDSIHERDILFIPTPAGFNNLTSIDYHNQDMKTKKTSKSRIFIVVGAISAALVVVLLSILLVFWKNHMKKRARQPIASSRRLNCLHCYLASCPQRRKFEESMVSSIGSDKATVLPYNEIREATSNFSRSLIIGQGSYGLVYLGKLRGTDVAIKQMKDTNSKEFLSELNILCKVHHINLIELIGYAAGGESLFLVYEFAQNGALSNHLHNPALRGHKPLPWTTRVQIALDAAKGLEYIHEHTKPYYVHRDIKPSNILLDSNFHAKIADFGLVKLSEQSPDAGGAAASRIVGTFGYLAPEYVRDGHVTAKSDVYSFGVVLMELLTGQPALSKDASPENEKLSEHRSVVQYMLSALNDSQDSFDELAKCIDPNLTSYDKDSLYEMALLSKDCVDDNWKRRPDMSRVALRLSHILSSSREWEEL >Potri.008G120800.1.v4.1 pep chromosome:Pop_tri_v4:8:7820057:7820377:-1 gene:Potri.008G120800.v4.1 transcript:Potri.008G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G120800.v4.1 MSFGSSRRIMYSTSLVVVLVMFVLQIWVCGVSNCKAGAIRLLQENDMAKFKESGNNIPANNYKSKEEYFRKYFNERGNTSHGFNKTEKGFEESKRRVPSCPDPLHN >Potri.010G064200.2.v4.1 pep chromosome:Pop_tri_v4:10:9305151:9306607:-1 gene:Potri.010G064200.v4.1 transcript:Potri.010G064200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064200.v4.1 MAQTVGRNIAAPLLFLNLLMYAIALGFASWCTNRYINGQTSHPSFGGNGATGFFLTFAILACVVGMVSKFVGGAHIRAWRGDSLAAAGSASLVAWAITALAFGFACKEINVGGYRGWRLRAVEAFIIILTFTQLLYVLLLHAGMFSSKYGPGYRDTDYGVGAGTSEPVHKGGAVPVAGARV >Potri.001G011200.1.v4.1 pep chromosome:Pop_tri_v4:1:724585:726358:-1 gene:Potri.001G011200.v4.1 transcript:Potri.001G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX3 MHLSKAIVAAFFFVVLLGGTLAYGQLTPTFYDQTCPNVSSIIRNVITETLVSDPRIAASLIRLHFHDCFVNGCDGSLLLDNTDTIESEKEAAGNNNSARGFEVVDRMKALLESTCPATVSCADILTIAAEESVVLAGGPCWTVPLGRRDSTTASRAAANASLPAPFLPLDQLRESFTNVGLNNNSDLVALSGAHTFGRARCSTFDFRLYNFSSTGAPDPSLDTTLLAALQELCPQGGNESVITDLDPTTPDVFDSNYYSNLQGNRGLLQTDQELFSTPGADDLIALVNAFSANQTAFFESFVESMIRMGNLSPLTGTEGEIRLNCRVVNANLAGPDSMLVSSI >Potri.004G222300.1.v4.1 pep chromosome:Pop_tri_v4:4:22697572:22702020:-1 gene:Potri.004G222300.v4.1 transcript:Potri.004G222300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222300.v4.1 METTKRLIGILRPKLKQGLLLWLEGFREACCLHRVVFLCSRSRMLLVRTGQCFLLNGFIFLGSILVLKSVVIPTLLWILPNQCSEVSSQGPCSFDSVSKLYSSLRLGLVQLFYVFWFYPLYIFSFILSTIWYNDIAKYGFAAMGKNGHDILEPSSQNEVKTTENTALKDKPAGLGGVMIGIGEQVYSILLLTFFFLEVYATGFIPHIGKALNFVLLSWMYAYYCFEYKWNLSEVALDRRLDFFESNWAFFAGFGSPCVLAIFFFSPLVSYGVMAILFPLFVLAATGSGAEQLIFSQRRKWKGVGLGRLPIFYAADTLSMRVLSLLPLDTRDQMGDNKAL >Potri.011G067300.7.v4.1 pep chromosome:Pop_tri_v4:11:5869579:5873287:-1 gene:Potri.011G067300.v4.1 transcript:Potri.011G067300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067300.v4.1 MPVVQKLYDACKESFSATGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGSINGTNGRKGRNGSLQYPPPIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGYNDPSQARPARLVRDCEMTAPCGTTVLYPSSGGNIHCFKAITPCALFDVLSPPYSSEDGRHCSYFRRTLKRELPEGTKELCGIEPSEIAWLEETQPPENVVVRRGLYKGPAIRH >Potri.011G067300.6.v4.1 pep chromosome:Pop_tri_v4:11:5869629:5873287:-1 gene:Potri.011G067300.v4.1 transcript:Potri.011G067300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067300.v4.1 MPVVQKLYDACKESFSATGPVSEEALEKVRAILDQMKPSNVGLEQEAQLARQWKGSINGTNGRKGRNGSLQYPPPIKYLHLHECDRFSIGIFCMPPSSIIPLHNHPGMIVLSKLLYGSLLVKSYDWLDLPGYNDPSQARPARLVRDCEMTAPCGTTVLYPSSGGNIHCFKAITPCALFDVLSPPYSSEDGRHCSYFRRTLKRELPEGTKELCGIEPSEIAWLEETQPPENVVVRRGLYKGPAIRH >Potri.003G162000.1.v4.1 pep chromosome:Pop_tri_v4:3:17154274:17157864:-1 gene:Potri.003G162000.v4.1 transcript:Potri.003G162000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162000.v4.1 MRVCLWFFVVVIVFGFGDGKNADQRLRTERISGSAGDVLEDNPVGRLKVYVYELPSKYNKKLLQKDPRCLTHMFAAEIFMHRFLLSSPVRTLNPEEADWFYTPIYPTCDLTPTGLPLPFNSPRMMRSAIQLLSSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLRRATLVQTFGQRNHVCLNEGSITIPPYAPPQKMQAHQIPLDTPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEEDVPHLDTFLTSIPPEVILRKQRLLANPSMKRAMLFPQPAQPGDAFHQILNGLARKLPHDRTVLLETWSEGFELDSRTSWGPETLVREVSFSQKRWL >Potri.006G126700.2.v4.1 pep chromosome:Pop_tri_v4:6:10167877:10170685:-1 gene:Potri.006G126700.v4.1 transcript:Potri.006G126700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126700.v4.1 MALTQVLRRVLGSTPISSAARAFSSAPAPIPATLFPGDGIGPEIAEAVKQVFQAAEVPIEWEEHYVGDQIDPRTQSFLTWESLESVRRNKVGLKGPMATPIGKGHRSLNLTLRKELNLYANVRPCYSLPGYKTRYDNVNLITIRENTEGEYSGLEHQVVRGVVESIKIITRQASLRVAEYAFHYAKIHGRERVSAIHKANIMQKTDGLFLKCCREVAEKYPEITYEEVVIDNCCMMLVKNPALFDVLVMPNLYGDIISDLCAGLIGGLGLTPSCNIGEGGIALAEAVHGSAPDIAGKNLANPTALLLSAVTMLRHLELYDKAERIQNAILTTIAEGKYRTADLGGSSSTTDFTKAICSHL >Potri.001G100600.1.v4.1 pep chromosome:Pop_tri_v4:1:8035537:8038655:-1 gene:Potri.001G100600.v4.1 transcript:Potri.001G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G100600.v4.1 MKKLRALAQTLSFSQFETLISQKGYPQALKSSLTLSCPLLTDQTYSLFIKSGHFLDPYVSTALISHFSKLHNNNNNNLSRSLSFFLDTQNPDIITYNAIISGFARANDSRTVLGLFNELRHAGLVPDVFTLSSLIKGCVSLRENWVSQGVCLKLGFGSKSFVISGLIDNYSKNGDLGSAERCFKECLDLDNVVCTVMVNGYVWNEEFEKGKQVFVEMRGLGFELNAFSLTGVVGALFDVREGEQIHGFGVKMGFLRGSSMHFSNAVMSMYARCGREVDAIKVFDEIAEPDVVSWTERIGTASDGHEAVELFRIVLSLGLDVNGYTLINVLSMIGGVKFLNAGKQIQALCHKTGYFQVVSVGNALVSMYGKCGQICDACRVFYNMIIRDSVSWNSLISACSENGFVNQALEVFYQMRELSLQPTIHTLASILEAVSNSNNTKQVIQIHSLVVKCGFMFDVSMISCLITAYGRCNSMDESKRVFAEIDKVNLVHLNTMITTFVRAGYYTDALALYQTIWSLHRKVDSRTFSIILKACSAITDMQLGRAVHSLVLKTGFDQDSFVESSVIDIYCKCGSIGQAEKAFRSSSMNSLAAWNAMMMGYAHHGCYQEVFDLFNKMSQFGIEPDEITYLGVLSSCCHGGLVKQARHYLDSMFELHGIIPHLEHYACMIDLLGRVGLLEDAKKTIDHMPIQPDVHIWQILLSACNIHGHVELGRVAARKLLEIHPENESAYILLSNLYASVGMWNAVGRLRKEMKEKNLRKEPGSSWIQVGRKSHTFFVNDTSHPQSKEIYAELIRLYKQVIVSLEPEQDGAFLWINGP >Potri.009G082900.2.v4.1 pep chromosome:Pop_tri_v4:9:7849167:7849907:1 gene:Potri.009G082900.v4.1 transcript:Potri.009G082900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082900.v4.1 MLQNAYKYRLSSHCYFIHHLRNSFALSKKKKVCKMMSSKISLAFFTLITLSLILPSRAQDNPQDYLDAHNAARAAVGVGPLTWDNTVQAYAQAYASQRAGDCNLVLSGGPYGEILQWSSADLSGTDAVKLWVDEKAFYDYNSNSCASGQQCVSYTQVVWGNSVSLGCAKVTCSAGGTFIVCNYDPPGNVVGQKPY >Potri.006G185000.1.v4.1 pep chromosome:Pop_tri_v4:6:19113345:19116176:-1 gene:Potri.006G185000.v4.1 transcript:Potri.006G185000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185000.v4.1 MSDEEHHFESKADAGASKTYPQQAGTIRKNGYIVIKNRPCKVVEVSTSKTGKHGHAKCHFVGIDIFNGKKLEDIVPSSHNCDVPHVNRVDYQLIDISEDGFVSLLTENGNTKDDLRLPTDDSLLTQIKDGFGEGKDLVVSVMSAMGEEQICALKDIGPKN >Potri.017G093100.1.v4.1 pep chromosome:Pop_tri_v4:17:10577823:10579893:-1 gene:Potri.017G093100.v4.1 transcript:Potri.017G093100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G093100.v4.1 MARNGEIDDYRDYCKDCKANTYIVLDHCTGDTICSDCGLVLESCYIDEIAEWRTFNDDNNDKDPNRVGYNVNPLLSQGNLKTLISNNKGDHAIPRWQDGVSNSDRVLLQGFDIIEIIANRLGLVRPIKDRAKEIFKKIEEQKTCVMRKRDSICAACLFISSRENKLPRTLNEISSVVYGVTKKEINKAVQSIKRHVELEDMGTLNPSELVRRFCSNLGMKNHAVKAVHEAVEKIQDVDIRRNPKSVLAAIIYTITQLSDEKKPLRDISLAADVAEGTIKKSFKDISPHVSRLVPKWYAREEDIRRIRIPRNCGAKQLN >Potri.017G058500.1.v4.1 pep chromosome:Pop_tri_v4:17:4694500:4700087:-1 gene:Potri.017G058500.v4.1 transcript:Potri.017G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G058500.v4.1 MSRCFPFPPPGYEKKARSDDFDLLKKEDKEKKNKEKKDKERRQDKEKKEKDRSDGKHRDKKDKKEKHREKKEKDRDKDKDKSSASDEKRLLGQAMLNNGGDRASDERKVPGQSNGGEVCTQKRKERDLDKNSISGDKKTAGQFSGYNGQKLIQNSNLSHQPKESKFVQQLGKRAREEDRKQFFEKFTDTDAKRDEGMVRLVAKAPGNWVDGKEKNKRDDDRKVDGQGIRDEARFTASAQSISGTFKAKIDGMPRSLEKDNEKKMEGKDKTKQKESQEKRKNKEKKSKEKDKVRDKEKKKEEKAKEKSEHKMKEPVKLKESNMFDLVGDHTVKSSHLLKESTNSVVGDVKIKKRKDSDTNGLLHADDIKPNKLPRPTSLPVSAENGRMLGTCKIPTAVIQGRQEAVNSNKVDSKEQKINGLIEALAPPITSTTHPQSISLTKSLTKPSHSIAQTDQIAEVSRKQPHPDSKYLLEVLTVPKMEELPDFEDQEWLFQSTNFPTKKLQVGFSGIDETQQVWSEALQIGSADVYALPYVIPY >Potri.010G025300.1.v4.1 pep chromosome:Pop_tri_v4:10:3668875:3670593:1 gene:Potri.010G025300.v4.1 transcript:Potri.010G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025300.v4.1 MLDRCIGTHRVRQIQRAIRHGKITLLCLCMTVVVLRGTIGAGKFGTPEQDFNDLKNHFYAARKHGEPHRVLTESNQPNNNKNDEASNADGGNNYATFDINKILVDEGEDEKPDPNRPYSLGPKISDWNQLREKWLSENPSFSNFIKPNKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLLSHPEVEFLWWMDSDAMFTDMAFEVPWERYKDSNFVMHGWNEMVYDEKNWIGLNTGSFLLRNCQWSLDLLDAWSPMGPKGKIRDEAGKVLTRELKNRPVFEADDQSAMVYLLATQRDKWGDKVYLENAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYSVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVSRVRNETTNPLEVKDELGLLHPAFKAVRVSTTS >Potri.018G045800.1.v4.1 pep chromosome:Pop_tri_v4:18:3910067:3913772:1 gene:Potri.018G045800.v4.1 transcript:Potri.018G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G045800.v4.1 MSTMAEMEPLTSGASNRIIPILKTLRASLLYIHSLLFSVLLLLLPRRYRRSYTADSAAEQSPRKSVKRWRLEEEDTIKRRALAEGIDMTTGNEDCRWSTFLFFGVRRNALFTRSWFPVTGQKKGILVIIHGLNEHSGRYAQFAKQLTSCNFGVYAMDWIGHGGSDGLHGYVPSLDHVVADTGAFLEKIKSENPGVPCFLFGHSTGGAVVLKAASYPNIEEMLEGIILTSPALRVKPAHPIVGAVAPFFSLVIPKFQFKGANKRGIPVSRDPAALLAKYSDPLVYTGPIRVRTGHEILRISSYLLRNFKSVTVPFFVLHGTADKVTDPLASQDLYNEAASKFKDIKLYDDFLHDLLFEPEREEVGQDIISWMEKKIRS >Potri.009G115100.5.v4.1 pep chromosome:Pop_tri_v4:9:9733521:9740330:1 gene:Potri.009G115100.v4.1 transcript:Potri.009G115100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115100.v4.1 MAQPLVKKDDDHDDEEYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGDYFTKTEATEVFFSVTKLFQSKDFGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLQQIRQNDRLAVSKLVTSLTRGTVRSPMAQCLLIRYASQVIRESANTQTGDRPFYDFLESCLRHKAEMVIFEAARAITELSGVTNRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTTDPSKYIRYIYNRVHLENATVRAAAVSTLAKFGAMVDALKPRIFVLLRRCIFDSDDEVRDRTTLYLSTLGGDGEVVETDRDTKTFLFGDLDIPLVNLETSLKNYEPSEEPFDIDSVPKEVKSQPLAEKKAPGKKPTGLGAPPAGPPSTVDAYERLLSSIPEFSDFGKPFKSSAPVELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLENVSVIVDSSEADNFAEVASKPLRSLPYDTPGQTFVAFEKPKGITAVGKFSNTLRFIVKEVDPTTGEAEEDGVEDEYQLEDLEVVAADYMMKVGVSNFRNAWESMGDEFEHVDEYGLGPRENLAEAVIAVINLLGMQPCEGTEVVATNSRSHTCLLSGVFLGNVRVLARLQFGIHGSRDVAMKLAVRSEDEAVSDTIHEIVSSG >Potri.009G115100.1.v4.1 pep chromosome:Pop_tri_v4:9:9733528:9740310:1 gene:Potri.009G115100.v4.1 transcript:Potri.009G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G115100.v4.1 MAQPLVKKDDDHDDEAEYSPFLGIEKGAVLQEARVFNDPQLDPRRCSQVITKLLYLLNQGDYFTKTEATEVFFSVTKLFQSKDFGLRRMVYLIIKELSPSADEVIIVTSSLMKDMNSKTDMYRANAIRVLCRITDGTLLTQIERYLKQAIVDKNPVVASAALVSGIHLLQTNPEIVKRWSNEVQEAVQSRAALVQFHALALLQQIRQNDRLAVSKLVTSLTRGTVRSPMAQCLLIRYASQVIRESANTQTGDRPFYDFLESCLRHKAEMVIFEAARAITELSGVTNRELTPAITVLQLFLSSSKPVLRFAAVRTLNKVAMTHPMAVTNCNIDMESLISDQNRSIATLAITTLLKTGNESSVDRLMKQITNFMSDIADEFKIVVVEAIRSLCLKFPLKYRSLMNFLSNILREEGGFEYKKAIVDSIVILIRDIPEAKESGLLHLCEFIEDCEFTYLSTQILHFLGIEGPKTTDPSKYIRYIYNRVHLENATVRAAAVSTLAKFGAMVDALKPRIFVLLRRCIFDSDDEVRDRTTLYLSTLGGDGEVVETDRDTKTFLFGDLDIPLVNLETSLKNYEPSEEPFDIDSVPKEVKSQPLAEKKAPGKKPTGLGAPPAGPPSTVDAYERLLSSIPEFSDFGKPFKSSAPVELTEAETEYAVNVVKHIFDRHVVFQYNCTNTIPEQLLENVSVIVDSSEADNFAEVASKPLRSLPYDTPGQTFVAFEKPKGITAVGKFSNTLRFIVKEVDPTTGEAEEDGVEDEYQLEDLEVVAADYMMKVGVSNFRNAWESMGDEFEHVDEYGLGPRENLAEAVIAVINLLGMQPCEGTEVVATNSRSHTCLLSGVFLGNVRVLARLQFGIHGSRDVAMKLAVRSEDEAVSDTIHEIVSSG >Potri.019G013100.3.v4.1 pep chromosome:Pop_tri_v4:19:1783612:1785188:1 gene:Potri.019G013100.v4.1 transcript:Potri.019G013100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013100.v4.1 MQYLDENKSLILKIVESQNSGKLSECAENQARLQQNLMYLAAIADCQPQPPTMHAQFPSSGIMQPGAHYMQHQQAQQMTPQALMAARSSMLQYAQQPFSALQQQQALHSQLGMSSGGSAGLHMMQSEANTAGGSGALGAGRFPDFGMDASSRGIASGSKQDIRSAGSSEGRGGSSGGQGGDGGETLYLKSADDGN >Potri.019G013100.1.v4.1 pep chromosome:Pop_tri_v4:19:1780203:1785830:1 gene:Potri.019G013100.v4.1 transcript:Potri.019G013100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G013100.v4.1 MQQHLMQMQPMMAAYYPSNVTTDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQQNLMYLAAIADCQPQPPTMHAQFPSSGIMQPGAHYMQHQQAQQMTPQALMAARSSMLQYAQQPFSALQQQQALHSQLGMSSGGSAGLHMMQSEANTAGGSGALGAGRFPDFGMDASSRGIASGSKQDIRSAGSSEGRGGSSGGQGGDGGETLYLKSADDGN >Potri.009G021000.7.v4.1 pep chromosome:Pop_tri_v4:9:3342089:3350468:1 gene:Potri.009G021000.v4.1 transcript:Potri.009G021000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021000.v4.1 MSQPKIKRRVGKYEMGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREVATMKRIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARGYFHQLINAVDYCHSRGVFHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGAPADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTVSSYALLSFPAWMHFLHLRTE >Potri.009G021000.4.v4.1 pep chromosome:Pop_tri_v4:9:3342196:3350489:1 gene:Potri.009G021000.v4.1 transcript:Potri.009G021000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021000.v4.1 MSQPKIKRRVGKYEMGRTIGEGTFAKVKFARNSETGEPVALKILDKEKVLKHKMAEQIKREVATMKRIKHPNVVRLYEVMGSKTKIFIVLEFVTGGELFDKIVNHGRMREDEARGYFHQLINAVDYCHSRGVFHRDLKPENLLLDAYGNLKVSDFGLSALSQQVRDDGLLHTTCGTPNYVAPEVLNDRGYDGAPADLWSCGVILFVLLAGYLPFDDSNLMNLYKKISAAEFTCPPWLSFGAMKLITRILDPNPMTRITVSEILVDEWFKKGYKTPVFEEKEDTNLDDVEAVFKDSEENHVTEKKEEEQPTAMNAFELISMSRGLNLGNLFDGEQQEFKRETRFTSKCPANEIIHKIEEAAKPLGFDVHKKNYKMRLENVKAGRKGNLNVATEIFQMAPSLHMVEVRKAKGDTLEFHKFYKSLSTCLDDVVWKTEEDMQETK >Potri.012G026375.1.v4.1 pep chromosome:Pop_tri_v4:12:2558451:2560954:-1 gene:Potri.012G026375.v4.1 transcript:Potri.012G026375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G026375.v4.1 MSKVVESDADTVSQRKDDDNLDEVVRTGYFDMPPLTVDEAIEQLENVDHAFYGFRNEETGTNLWFLRQFLCTDPLCSQLTDTVKEHVEDKVGKAVQKFSHLIREVDARLSVRGGEFGKGPRIRKCRHGVVRAEEDSETIYATIDLVSSIIQSKLRKMKEESDHGRHMKGLNRLKIREPMPQVMEDDADAVSRKEDDDYLDEAVHTKYFDMPPLTVDEAIVRLGNVDHAFYGFGHAEGERYRI >Potri.011G107600.1.v4.1 pep chromosome:Pop_tri_v4:11:13597727:13603383:1 gene:Potri.011G107600.v4.1 transcript:Potri.011G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107600.v4.1 MPSHFLSNSPSTPTTKTSDLQNDTAVSLTSTSSSSSSSSTAPDMWTYLWIPFLMSLSKELTLARAATATTSSPSILPPSQLADDDSPSVPRCSAPDIKLNYRPVIGILSHPGDGASGRLNNATNASYIAASYVKFVESAGARVIPLIYNEPREILFEKLNLVNGVLFTGGWAKTGLYFDTVKAIFKEILAKNDAGFHFPVYAICLGFEILTMIISEDNQILETFNAADQASTLQFMNNINIKGTVFRRFPPDLLKRLSTDCLVMQNHRYGISPQRFQENEHLSSFFEILTTSTDEDNQVYVSTVQACGYPVTAFQWHPEKNAFEWGLSMIPHSEDAIQVTQHIANFFVSEARKSLNRPSSRKVLANLIYNYSPTYCGKAGKGYDEVYIFSEQKAPKYTRCAVHGDCHGHVKL >Potri.004G194200.1.v4.1 pep chromosome:Pop_tri_v4:4:20758679:20763888:1 gene:Potri.004G194200.v4.1 transcript:Potri.004G194200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G194200.v4.1 MTEPNPLVSPTSSRSVTETVNGSHKFVIQGYSLAKGMGVGKHIASDNFTVGGYQWAIYFYPDGKNPEDSSAHVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRAMLETSDYLKDDCLKINCTVGVVVSATDCSQLNSIQVPESDIGAHFGMMLDNMEGSDVIFNVAGEKFHAHKLVLSARSPFFRSKFFDGVEKDEKEIVISDLEPKVFKAMLHFVYRDTLTEDVNMVTSSSSLVSSISETLTTKLLAAADRYGLDRLKLMCGSHLCKDISVNSVASILTMADCHHATELKAVCLKFAAENLAAVMLSDGFANLKENCPLLQSELLKTVAGCEEDCSSGGGKSRSVWAQLSDGGDTNGRRVRQRT >Potri.001G132800.2.v4.1 pep chromosome:Pop_tri_v4:1:10798389:10802079:-1 gene:Potri.001G132800.v4.1 transcript:Potri.001G132800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G132800.v4.1 MGSFKGHALPGTLFLLVGVWHIWSSLVRYLSNPKSFRVRVWNPVPGFDGKLKYLELYLVAGGAFIDMCIELLYSTHLKFFVNGVLNPHHMNDFEHGGMLLMFFIFGAVGLLSEKTSFLPLPEGVLCLVAAAAFSAEYLLFYFHSTTHKGLEGHYHLLLVLLIGLCVLSIVTGALLPTNFPVDLSSGIAMTLQGLWFYQTAFCLYGPMMPDGCQLKGNQIMCRSSESQIRGELLATFQLFSMVFGVLVAVAAAYGFAASRYGHSDLNSSRVLQDGLD >Potri.007G065400.2.v4.1 pep chromosome:Pop_tri_v4:7:8216082:8220448:1 gene:Potri.007G065400.v4.1 transcript:Potri.007G065400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G065400.v4.1 MSNISFVEAKLPPGFRFHPRDEELVCDYLMKKASHCDSLLMIEVDLNKCEPWDIPETACVGGKEWYFYSQRDRKYATGLRTNRATASGYWKATGKDRHILRKGTLVGMRKTLVFYQGRAPKGKKTDWVMHEFRLEGPLGQPKTSSEKEDWVLCRVFYKNTREVVAKPSIRSCYDDTGSSSLPALMDSYITFDQTQPNLDEHEQVPCFSIFSQIQTNQNFPYITQMEVPNLPTKGTGPFGQVPMNITTHSDAFSCDTKVLKAVLNHFNMMESNANIKGSPSLGEGSSESYLSDVGMPNLWNHY >Potri.010G007700.1.v4.1 pep chromosome:Pop_tri_v4:10:848125:849082:-1 gene:Potri.010G007700.v4.1 transcript:Potri.010G007700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007700.v4.1 MKITEVLGLSFLLFAFIGTSFPEAVHAKDAAAVLDVFGHEVQAGARYLIVAPSTDNTTTLAVTINGQVLCNSDVILSTLNESLPITFSPVMQSTDSVIREGTHLNVNFAGPIAMCAMAGVTPMWKIRFSTTLKGYIVTTGGVDRLNRFKITKYEGDNSFYQLSFCPMSEPFCECSCVPVGVNGDKNLVPGAGPLLVMFEPDE >Potri.005G102000.1.v4.1 pep chromosome:Pop_tri_v4:5:7391112:7393525:1 gene:Potri.005G102000.v4.1 transcript:Potri.005G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102000.v4.1 MEANICDISHLDADVLLPPRKRLLAGFKKQSSDGDGASRPPIVASSSSSASPPSPSPSPTPRSPSSNEFQTQLNILLSSHFNSSHNLSTEQIVEASKSAAEAAVKAAEAARAAAQEKAIIAAKAVSAARSALALVASFPEETASKDKFLKKNKLKKHVQVQLLYKKHQPVENFRDDEKLARKLHRVMNSSPRISKNSSSSDLKGGHRNKKPKSSPSSERTRVSNGSTMFGENLPSSCNGHAIAGELDSDESIQEAHTSIPDEKALKYEKTGQLDIDNWEAESSHLKEKNRGDSGSPSKKRGRLKLKKLPLSICNSKDQSNPKEGSLPKILPLTDKTMGNPTTRSKPLFPMEPSSGTLVQIEATSIWKCQEFKAPACIKQNKVVQS >Potri.011G103900.2.v4.1 pep chromosome:Pop_tri_v4:11:13183967:13197092:-1 gene:Potri.011G103900.v4.1 transcript:Potri.011G103900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103900.v4.1 MPGNQYNGNSTLNSGRVGRLLKIREQRRSIRSAYSNEVTDNHRGTEACENDLRTREGDSLNNSFIEQYLEGAIAEGYEKLDVRPLRQRLLVVANRLPVSAVRRGEDSWSLEISAGGLVTALLGVKEFEAKWIGWAGVNVPDEVGQKALTEALAEKRCIPVFLDEEIVHQYYNGYCNNILWPLFHYLGLPQEDRLATTRSFQSQFAAYKKANQMFADVVNQHYEEGDVVWCHDYHLMYLPKCLKEYNNNMKVGWFLHTPFPSSEIHRTLPSRSDLLRSVLAADLVGFHTYDYARHFVSACTRILGLEGTPEGVEDQGRLTRVAAFPIGIDSDRFIRALELPQVQEHIKELKERFAGRKVMLGVDRLDMIKGIPQKILAFEKFLEENSAWRDKVVLLQIAVPTRTDVPEYQKLTSQVHEIVGRINGRFGTLTAVPIHHLDRSLDFHALCALYAVTDVALVTSLRDGMNLVSYEFVACQDSKKGVLILSEFAGAAQSLGAGAILVNPWNITEVADSIRQALTMSPEEREKRHRHNFVHVTTHTAQEWAETFVSELDDTVIEAQLRTKQVPPALPEEDAISRYLQSTNRLLILGFNATLTEPADTPGRRADQIKEMELKLHPELKEALTALCSDRKTTIVVLSGSDRKTLDDNFGEYDMWLAAEHGMFLRLTKGEWMTTMPEHLNMEWVDSVKHVFEYFTERTPRSHFERCETSLVWNYKYADVEFGRLQARDMLQHLWTGPISNASVDVVQGNRSVEVRAVGVTKGAAIDRILGEIVHSKSMTTPIDYVLCIGHFLGKDEDVYTFFEPELPSDSLAVARTKQNDGLKSPIDRRPSMKLPAIRSVSKSSQGKAQRPLLNPEKRTANHGCGSGRRPSAEKISWNVLDLKGDNYFSCAVGRTRTNARYLLGSSDDVVSFLMKLANAST >Potri.001G005900.6.v4.1 pep chromosome:Pop_tri_v4:1:399796:403561:-1 gene:Potri.001G005900.v4.1 transcript:Potri.001G005900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005900.v4.1 MELVRTYSVSTIHVPTSISKTQFTKPNFLNFQFNPIPSSCLLRIHGKTASKSKLFTISPSPSSVSTDSIGPPEPEVEVETKREKFDWYAQWYPLMPVCDLDKRVPHAKKVLGLDVVVWWDRNESEWKVFDDTCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGDCKLIPQAPPDGPPVHTFKKACVAAYPSTLHHDIVWFWPNTDPQYKDIILKKQPPFIPELEDPSYTRTMGNRDIAYGYDVLVENLMDPAHVPYAHYGIMRTQTPKVKVDREGGRPVALSVKKLDINGFDGDQEWGISKFIAPCIFYAYTKPLENQGNGAASSAGTRKPSAQRKMALIFICIPVSPGNSRLIWVFPRNFGVWIDKVVPRWIFHVGQNLILDSDLYLLHVEERKIMDAGPANWQKACFVPTKSDALVVGFRRWLNKYAGGEVDWKGKYSGALPPTPPREQLMDRYWSHVVNCRSCNAAHKGLNALEVVLQVASLAFVGIVAATKQNVMSLAARNTMIAMAVVCFAGSKLLAHFIYKNFHYQDYNHAFR >Potri.006G248400.1.v4.1 pep chromosome:Pop_tri_v4:6:24834750:24838437:1 gene:Potri.006G248400.v4.1 transcript:Potri.006G248400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248400.v4.1 MEGNNGSSGESNSRPPIPLSAAATTTTTSWRQCFSIDSVPVSCKKTLVRHQSLVKTKKLDISVKPNLGAENHDADFVPIVRSGAWADIGFRSSMEDVYMCADNFMSDYGLKNATDGPNAFYGVFDGHGGKHAADFACYHLPRFIAEDEDFPVEVERVIASAFLQTDSAFAKACSLDAALASGTTALAALVVGRLLVVANAGDCRAVLCRGGNAIDMSNDHKPTCSKERKRIEASGGYVYDGYLNGLLNVARALGDWHMEGLKGSGSDGGPLSAEPELMTRQLTEEDEFIIIGCDGIWDVFRSQNAVDFARRRLQEHNDPVMCSKDLVDEALKRKSGDNLAVIVVCFQSEPPRNLVAPRPRVHRSISADGLRELQSFLDDLAK >Potri.010G208000.1.v4.1 pep chromosome:Pop_tri_v4:10:19794153:19797355:-1 gene:Potri.010G208000.v4.1 transcript:Potri.010G208000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208000.v4.1 MSSLLDMSLDDLIRKGKENGGRDSDFRGSGRGAGSGSVLGPGPDRLVFRRDPTRPKPYSVRPVQVMQVQQEPLMLAASEGSNGEAKLYISNLDYGVSNEDIKVLFSEVGELLRYSLHYDMSGRSKGTAEVVFSRQTDALAAIRRYNNVQLDGKPLKIELVGVNVITPVPVTVPVTAITNVANPNGAVRSVHERIGARGRGHGGGAGGRGGGSVQEFARGQGQVRRRVEKLTAEALDSDLDKYHFEAMKLK >Potri.004G200500.1.v4.1 pep chromosome:Pop_tri_v4:4:21095698:21096689:-1 gene:Potri.004G200500.v4.1 transcript:Potri.004G200500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G200500.v4.1 MSSKKKNLLQSILTPNAGSGCGCGRPKLSDVYEPAPKPKPKTSISKKDPNPKHCSSTITSCDKSVGFSLPDSEEEGSTSTTFTLKKDNNTSSTQNSESETYPKASKITDSIAVVKDSDDPFQDFKNSMSQMILEKNIYSKDDLEELLNFFLELNSPCQHDVIVQAFTEIWKEIRCLSHES >Potri.002G041600.1.v4.1 pep chromosome:Pop_tri_v4:2:2723711:2724973:-1 gene:Potri.002G041600.v4.1 transcript:Potri.002G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G041600.v4.1 MESNQHDEDSKSSSDEEEITDRSDQDTGTGRSYECVFCKRGFTTAQALGGHMNIHRKDRAKSRPSSVPSLSSKVDEDYASFQGYPPIQSYPPHYPTPHEVHINYQTFFPASTTWGIGSPYAQQHNGDFYVQSPHHLYPFGDDWRRSLSLQTGPSHVDDNTKEKIEDGIGADDELDLELRLGHDP >Potri.018G148300.1.v4.1 pep chromosome:Pop_tri_v4:18:15939107:15943066:-1 gene:Potri.018G148300.v4.1 transcript:Potri.018G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148300.v4.1 MAAVELTVFQVILLFWSVRTAESQLMTVPGCESSCGGIDIPYPFGEKEGCYLDERFKILCINYSSGVLPKLTVNGTDLEVRYISVDDSTIQVMFPIVFANCSAKDRNTVVDLEGSPFVFSSENYFIARGCDNLALMTQNQSTVGGCVSICDKNSDSMMASCSGIDCCQTRIPSFLKVFNVTMNGLGDGKGSSGEKQCRYAYLIDQSWIESWRYYYLGGNFDPYDMRHRDSVPVVLDWGIDERVFEPLFKNGSFYNSGYSYWCKKVNSSINSTDQSSTVQCSCYPGFVGNPYLYGYCQAGRAYDNYRRKIKAKMAGIGVGALFLLIGLWWLYKVFKRKRSEKLKKKNFFKRNGGLLLQEQLSSGEVNVEKIKMFPSKELDKATDHYNVNRTLGQGGQGTVYKGMLADGKIVAVKKSKEIDEGNLRQFINEVVLLSQINHRNVVKLLGCCLETELPLLIYEFIPNGTLFQFLHDPNEEFPLTWEMRLRIAAEVAEALFYLHSAASLPIFHRDIKSTNILLDKEYRAKVADFGTSRSVSIDQTHVTTLVQGTFGYLDPEYFQSSQFTDKSDVYSFGVVLVELLTGQKPISFTRSEEQGRSLATYFIMVLESNCLFDILDPQVVKQGKREDVLMVASLARSCLRLNGRKRPTMKEVTMALERIKKSENLIVEQENEYDRKEVMGAPWDVTSASTISSFDICAPSSIDEKPLFHTC >Potri.001G304900.2.v4.1 pep chromosome:Pop_tri_v4:1:31468440:31475804:1 gene:Potri.001G304900.v4.1 transcript:Potri.001G304900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304900.v4.1 MQRQKAAFHSFSETIDFDQGSVSNSTVMSQQTPFSNMLNPVDSRLSNNAVSSGNASCSNALTHDVQSFSGWNSGESSSRLSLQNQVNDDGIKMEEWLSTSVNAYPAVGQRSEERLFETTNILFPGRVSTGISGNQVRSGPLFLQGSSSNHIAPNVCPNSGHIGDTTIGRPITGAVLGLNQLNPGGGLEIERASSSGVSSSDVGTSSGSSGYIVEETNGGSGSSIGVWGLSCKRKALEGTTGQSFPGGSSSCFPQAESSAWHNGPNNHSVSSSLSLSTPSPNTPSVAPPEQLNPRFGYGMRGAPPDAFPSSNVSGNADSLRNFGRRISPGHQQESVTFNLSTTGGSRRWSLQHSRRPVSVSDYLESRSTEPANSSAIQGQLHAINPSSLSSSLPCWDDFSSSRVGNSLSSLIPGELGAASREEANLRSFQTNNADHPMFAPATEMRRMGQDPTRWGLATGNMSASGSVSSTRIGSSTRMGPSSSVHPFPTPGWIHHNPTTHNQQRISEFSTWSLFPPMASESGGHSCHFSPLSSGPSSAQDTQISSGSSSQGHNPPPFPRSAFLTEEQSDDVLGMPRSLRALAADIEGRHRLISEIRQVLNAMRRGENLRVEDYMLFDPMIYHGMAEMHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETILKLLKQEKHVPISTESPADLEPCCICQEEYVDGDDMGIIDCGHDFHTNCIKQWLMQKNLCPICKMTALLT >Potri.001G304900.3.v4.1 pep chromosome:Pop_tri_v4:1:31468797:31475700:1 gene:Potri.001G304900.v4.1 transcript:Potri.001G304900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304900.v4.1 MQRQKAAFHSFSETIDFDQGSVSNSTVMSQQTPFSNMLNPVDSRLSNNAVSSGNASCSNALTHDVQSFSGWNSGESSSRLSLQNQVNDDGIKMEEWLSTSVNAYPAVGQRSEERLFETTNILFPGRVSTGISGNQVRSGPLFLQGSSSNHIAPNVCPNSGHIGDTTIGRPITGAVLGLNQLNPGGGLEIERASSSGVSSSDVGTSSGSSGYIVEETNGGSGSSIGVWGLSCKRKALEGTTGQSFPGGSSSCFPQAESSAWHNGPNNHSVSSSLSLSTPSPNTPSVAPPEQLNPRFGYGMRGAPPDAFPSSNVSGNADSLRNFGRRISPGHQQESVTFNLSTTGGSRRWSLQHSRRPVSVSDYLESRSTEPANSSAIQGQLHAINPSSLSSSLPCWDDFSSSRVGNSLSSLIPGELGAASREEANLRSFQTNNADHPMFAPATEMRRMGQDPTRWGLATGNMSASGSVSSTRIGSSTRMGPSSSVHPFPTPGWIHHNPTTHNQQRISEFSTWSLFPPMASESGGHSCHFSPLSSGPSSAQDTQISSGSSSQGHNPPPFPRSAFLTEEQSDDVLGMPRSLRALAADIEGRHRLISEIRQVLNAMRRGENLRVEDYMLFDPMIYHGMAEMHDRHRDMRLDVDNMSYEELLALEERIGDVSTGLSEETILKLLKQEKHVPISTESPADLEPCCICQEEYVDGDDMGIIDCGHDFHTNCIKQWLMQKNLCPICKMTALLT >Potri.002G253600.8.v4.1 pep chromosome:Pop_tri_v4:2:24247998:24253651:-1 gene:Potri.002G253600.v4.1 transcript:Potri.002G253600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253600.v4.1 MLSVPFGDQVEDKMIVSELKRSSLNKKANATPMKTLIAQEMSKEVESKHNPPNLVAKLMGLDSLPHQQPVAADAQRSHSRGYSRRSLSHSGIFVESWDEDHSCLDKQMPSEGHVCQEQSEYKDVYEIWQQSQKTMVRHSSPQKRNHNENVNTKKMALVRQKFMEAKRLSTDEKGRQSKEFQDALEVLSSNKDLFLKFLQEPNSLFSQHLHDMQSMPPSPETKHITVLRPSKVVDNERFAGPGKKSDKPTKQQAHTGQATGWESNLGYSPAFPNEKIVEYPPAQPTRIVVLKPSPGKIHDIKALVSPPSSPPRMLHGEDFYDEPEDVEGQEPREVAKLITRNMRENLMGHRRDETLLSSVYSNGYTGDDSSFNKSVNDYAVENLSDTEIMSPTSRHSWDYINRFDSPYSTSSFSRASCSPESSVCREAKKRLSERWAMMASNGRALEQKNARRSSSTLGEMLALSDTKKFMRAEEEDSIKELQPRGSTSCITSHLNKEDGTADSPRTLLRSKSLPVSTTVHGARPNVEVSPPDAGKTEVPKDLTRAKSVKSSLKGKVSSLFFSRNKKPSKDKSVACQSKDEFQSAIPETPSLPIPLTEKVSDGAAQCTNNSGHENCSSHGLHASAGIHTYPDFISMETKQDIVSHEGGLSVTKPVVPGNMNENQDQPSPISVLEPPFEEDDNAILEASGLIQKPDCRGIEVPLKSNLIGKSPPIESVARTLTWDNSCAETASSYPLKPTPSPVSLGAEEDEKYWFSFVQTLLTAAGLDCEVQLDSFFSRWHSPESPLDPSLRDKYANPNDKELLHEAKRRQRRSNQKLVFDSVNAALVEITGHGSDRSTRAMTSSGVQNRLVEDAQPMVAEYVWAQMKEWFCSDVRCASGDGGGDSNSLVVEMVVRKEVVGKGWIDKMRVELDTLQNEIEGKLLDELVEETVIDFAGRM >Potri.002G253600.3.v4.1 pep chromosome:Pop_tri_v4:2:24247849:24253639:-1 gene:Potri.002G253600.v4.1 transcript:Potri.002G253600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253600.v4.1 MLSVPFGDQVEDKMIVSELKRSSLNKKANATPMKTLIAQEMSKEVESKHNPPNLVAKLMGLDSLPHQQPVAADAQRSHSRGYSRRSLSHSGIFVESWDEDHSCLDKQMPSEGHVCQEQSEYKDVYEIWQQSQKTMVRHSSPQKRNHNENVNTKKMALVRQKFMEAKRLSTDEKGRQSKEFQDALEVLSSNKDLFLKFLQEPNSLFSQHLHDMQSMPPSPETKHITVLRPSKVVDNERFAGPGKKSDKPTKQQAHTGQATGWESNLGYSPAFPNEKIVEYPPAQPTRIVVLKPSPGKIHDIKALVSPPSSPPRMLHGEDFYDEPEDVEGQEPREVAKLITRNMRENLMGHRRDETLLSSVYSNGYTGDDSSFNKSVNDYAVENLSDTEIMSPTSRHSWDYINRFDSPYSTSSFSRASCSPESSVCREAKKRLSERWAMMASNGRALEQKNARRSSSTLGEMLALSDTKKFMRAEEEDSIKELQPRGSTSCITSHLNKEDGTADSPRTLLRSKSLPVSTTVHGARPNVEVSPPDAGKTEVPKDLTRAKSVKSSLKGKVSSLFFSRNKKPSKDKSVACQSKDEFQSAIPETPSLPIPLTEKVSDGAAQCTNNSGHENCSSHGLHASAGIHTYPDFISMETKQDIVSHEGGLSVTKPVVPGNMNENQDQPSPISVLEPPFEEDDNAILEASGLIQKPDCRGIEVPLKSNLIGKSPPIESVARTLTWDNSCAETASSYPLKPTPSPVSLGAEEDEKYWFSFVQTLLTAAGLDCEVQLDSFFSRWHSPESPLDPSLRDKYANPNDKELLHEAKRRQRRSNQKLVFDSVNAALVEITGHGSDRSTRAMTSSGVQNRLVEDAQPMVAEYVWAQMKEWFCSDVRCASGDGGGDSNSLVVEMVVRKEVVGKGWIDKMRVELDTLQNEIEGKLLDELVEETVIDFAGRM >Potri.002G253600.6.v4.1 pep chromosome:Pop_tri_v4:2:24248005:24253369:-1 gene:Potri.002G253600.v4.1 transcript:Potri.002G253600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253600.v4.1 MNGMQYRKGQKIEKPFPGCLGRMVNLFDLSNGVAGNRLLTDKPHHDGSSISRSQSDVARMLSVPFGDQVEDKMIVSELKRSSLNKKANATPMKTLIAQEMSKEVESKHNPPNLVAKLMGLDSLPHQQPVAADAQRSHSRGYSRRSLSHSGIFVESWDEDHSCLDKQMPSEGHVCQEQSEYKDVYEIWQQSQKTMVRHSSPQKRNHNENVNTKKMALVRQKFMEAKRLSTDEKGRQSKEFQDALEVLSSNKDLFLKFLQEPNSLFSQHLHDMQSMPPSPETKHITVLRPSKVVDNERFAGPGKKSDKPTKQQAHTGQATGWESNLGYSPAFPNEKIVEYPPAQPTRIVVLKPSPGKIHDIKALVSPPSSPPRMLHGEDFYDEPEDVEGQEPREVAKLITRNMRENLMGHRRDETLLSSVYSNGYTGDDSSFNKSVNDYAVENLSDTEIMSPTSRHSWDYINRFDSPYSTSSFSRASCSPESSVCREAKKRLSERWAMMASNGRALEQKNARRSSSTLGEMLALSDTKKFMRAEEEDSIKELQPRGSTSCITSHLNKEDGTADSPRTLLRSKSLPVSTTVHGARPNVEVSPPDAGKTEVPKDLTRAKSVKSSLKGKVSSLFFSRNKKPSKDKSVACQSKDEFQSAIPETPSLPIPLTEKVSDGAAQCTNNSGHENCSSHGLHASAGIHTYPDFISMETKQDIVSHEGGLSVTKPVVPGNMNENQDQPSPISVLEPPFEEDDNAILEASGLIQKPDCRGIEVPLKSNLIGKSPPIESVARTLTWDNSCAETASSYPLKPTPSPVSLGAEEDEKYWFSFVQTLLTAAGLDCEVQLDSFFSRWHSPESPLDPSLRDKYANPNDKELLHEAKRRQRRSNQKLVFDSVNAALVEITGHGSDRSTRAMTSSGVQNRLVEDAQPMVAEYVWAQMKEWFCSDVRCASGDGGGDSNSLVVEMVVRKEVVGKGWIDKMRVELDTLQNEIEGKLLDELVEETVIDFAGRM >Potri.002G253600.7.v4.1 pep chromosome:Pop_tri_v4:2:24247795:24253628:-1 gene:Potri.002G253600.v4.1 transcript:Potri.002G253600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253600.v4.1 MNGMQYRKGQKIEKPFPGCLGRMVNLFDLSNGVAGNRLLTDKPHHDGSSISRSQSDVARMLSVPFGDQVEDKMIVSELKRSSLNKKANATPMKTLIAQEMSKEVESKHNPPNLVAKLMGLDSLPHQQPVAADAQRSHSRGYSRRSLSHSGIFVESWDEDHSCLDKQMPSEGHVCQEQSEYKDVYEIWQQSQKTMVRHSSPQKRNHNENVNTKKMALVRQKFMEAKRLSTDEKGRQSKEFQDALEVLSSNKDLFLKFLQEPNSLFSQHLHDMQSMPPSPETKHITVLRPSKVVDNERFAGPGKKSDKPTKQQAHTGQATGWESNLGYSPAFPNEKIVEYPPAQPTRIVVLKPSPGKIHDIKALVSPPSSPPRMLHGEDFYDEPEDVEGQEPREVAKLITRNMRENLMGHRRDETLLSSVYSNGYTGDDSSFNKSVNDYAVENLSDTEIMSPTSRHSWDYINRFDSPYSTSSFSRASCSPESSVCREAKKRLSERWAMMASNGRALEQKNARRSSSTLGEMLALSDTKKFMRAEEEDSIKELQPRGSTSCITSHLNKEDGTADSPRTLLRSKSLPVSTTVHGARPNVEVSPPDAGKTEVPKDLTRAKSVKSSLKGKVSSLFFSRNKKPSKDKSVACQSKDEFQSAIPETPSLPIPLTEKVSDGAAQCTNNSGHENCSSHGLHASAGIHTYPDFISMETKQDIVSHEGGLSVTKPVVPGNMNENQDQPSPISVLEPPFEEDDNAILEASGLIQKPDCRGIEVPLKSNLIGKSPPIESVARTLTWDNSCAETASSYPLKPTPSPVSLGAEEDEKYWFSFVQTLLTAAGLDCEVQLDSFFSRWHSPESPLDPSLRDKYANPNDKELLHEAKRRQRRSNQKLVFDSVNAALVEITGHGSDRSTRAMTSSGVQNRLVEDAQPMVAEYVWAQMKEWFCSDVRCASGDGGGDSNSLVVEMVVRKEVVGKGWIDKMRVELDTLQNEIEGKLLDELVEETVIDFAGRM >Potri.002G253600.4.v4.1 pep chromosome:Pop_tri_v4:2:24247879:24253639:-1 gene:Potri.002G253600.v4.1 transcript:Potri.002G253600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G253600.v4.1 MNGMQYRKGQKIEKPFPGCLGRMVNLFDLSNGVAGNRLLTDKPHHDGSSISRSQSDVARMLSVPFGDQVEDKMIVSELKRSSLNKKANATPMKTLIAQEMSKEVESKHNPPNLVAKLMGLDSLPHQQPVAADAQRSHSRGYSRRSLSHSGIFVESWDEDHSCLDKQMPSEGHVCQEQSEYKDVYEIWQQSQKTMVRHSSPQKRNHNENVNTKKMALVRQKFMEAKRLSTDEKGRQSKEFQDALEVLSSNKDLFLKFLQEPNSLFSQHLHDMQSMPPSPETKHITVLRPSKVVDNERFAGPGKKSDKPTKQQAHTGQATGWESNLGYSPAFPNEKIVEYPPAQPTRIVVLKPSPGKIHDIKALVSPPSSPPRMLHGEDFYDEPEDVEGQEPREVAKLITRNMRENLMGHRRDETLLSSVYSNGYTGDDSSFNKSVNDYAVENLSDTEIMSPTSRHSWDYINRFDSPYSTSSFSRASCSPESSVCREAKKRLSERWAMMASNGRALEQKNARRSSSTLGEMLALSDTKKFMRAEEEDSIKELQPRGSTSCITSHLNKEDGTADSPRTLLRSKSLPVSTTVHGARPNVEVSPPDAGKTEVPKDLTRAKSVKSSLKGKVSSLFFSRNKKPSKDKSVACQSKDEFQSAIPETPSLPIPLTEKVSDGAAQCTNNSGHENCSSHGLHASAGIHTYPDFISMETKQDIVSHEGGLSVTKPVVPGNMNENQDQPSPISVLEPPFEEDDNAILEASGLIQKPDCRGIEVPLKSNLIGKSPPIESVARTLTWDNSCAETASSYPLKPTPSPVSLGAEEDEKYWFSFVQTLLTAAGLDCEVQLDSFFSRWHSPESPLDPSLRDKYANPNDKELLHEAKRRQRRSNQKLVFDSVNAALVEITGHGSDRSTRAMTSSGVQNRLVEDAQPMVAEYVWAQMKEWFCSDVRCASGDGGGDSNSLVVEMVVRKEVVGKGWIDKMRVELDTLQNEIEGKLLDELVEETVIDFAGRM >Potri.005G222800.1.v4.1 pep chromosome:Pop_tri_v4:5:22425261:22431743:1 gene:Potri.005G222800.v4.1 transcript:Potri.005G222800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222800.v4.1 MMFSGQNFNALSIFKWKWRGESSLSNRLLDDVPPEIELSDYRRVPSPGCESPSGLLNGDRLNVETVADLDLFFERLYNYYCEKGLWCIIIKWIVELFSMGFTIGFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLAEEALHLHPLTPLTLTKAIIVGYLGLFSIYWIFCFLRFFAQLRDILGTRRFYYNSLHVTDNEIQTMSWATVLEKVVHLQHSQQLCVVKDLTAHDIMMRLMRKENYLIGMLNKGVLAFPISLWIPGVGPTVRTGSNGMQHRLILTKPLEWTLNWCILQSMFDRNFCVRRDFIYNPNALKKRLMVVGLAMLVLAPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEADHLFKHRISSSAMHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLLWYAAVFGTITAISRAAVTDELLVLDQEGAMSMVVQHTHYMPKKWRGRENTERVRMEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVDVEGVGDVCSFSAFDFQNYGNSNYGSPYNAPRSQRSCQGKMEKSFLSFQSSYPSWEPNIQGKQFLLNLRTFRDQNLQGQGARHTHSSPRMWRGSPSFRGPGDRNIPFSREMPFNTPGFQLGSLWLLDIDQRNHPYLLDWYYTSRPHSSTNNTRDATAVPFEAAEQQHSRDYWTPSNLEQNEARYDEEFWGHNYQDRSGSHLGASTSAPLFQESVLHHDSSNLAHPTRSHWWVRSGPFGAQPQASFLEPPDFHQASFLEPPDFNLHASENHYDNLSEKSLEDHEQHLDWRGTNWLSRTTYLDDDIEAGRSVSLLFDDIYSRPPDTPPAFREPPSF >Potri.005G222800.3.v4.1 pep chromosome:Pop_tri_v4:5:22425305:22431742:1 gene:Potri.005G222800.v4.1 transcript:Potri.005G222800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222800.v4.1 MMFSGQNFNALSIFKWKWRGESSLSNRLLDDVPPEIELSDYRRVPSPGCESPSGLLNGDRLNVETVADLDLFFERLYNYYCEKGLWCIIIKWIVELFSMGFTIGFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLAEEALHLHPLTPLTLTKAIIVGYLGLFSIYWIFCFLRFFAQLRDILGTRRFYYNSLHVTDNEIQTMSWATVLEKVVHLQHSQQLCVVKDLTAHDIMMRLMRKENYLIGMLNKGVLAFPISLWIPGVGPTVRTGSNGMQHRLILTKPLEWTLNWCILQSMFDRNFCVRRDFIYNPNALKKRLMVVGLAMLVLAPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEADHLFKHRISSSAMHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLLWYAAVFGTITAISRAAVTDELLVLDQEGAMSMVVQHTHYMPKKWRGRENTERVRMEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVDVEGVGDVCSFSAFDFQNYGNSNYGSPYNAPRSQRSCQGKMEKSFLSFQSSYPSWEPNIQGKQFLLNLRTFRDQNLQGQGARHTHSSPRMWRGSPSFRGPGDRNIPFSREMPFNTPGFQLGSLWLLDIDQRNHPYLLDWYYTSRPHSSTNNTRDATAVPFEAAEQQHSRDYWTPSNLEQNEARYDEEFWGHNYQDRSGSHLGASTSAPLFQESVLHHDSSNLAHPTRSHWWVRSGPFGAQPQASFLEPPDFHQASFLEPPDFNLHASENHYDNLSEKSLEDHEQHLDWRGTNWLSRTTYLDDDIEAGRSVSLLFDDIYSRPPDTPPAFREPPSF >Potri.005G222800.2.v4.1 pep chromosome:Pop_tri_v4:5:22425993:22431742:1 gene:Potri.005G222800.v4.1 transcript:Potri.005G222800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222800.v4.1 MMFSGQNFNALSIFKWKWRGESSLSNRLLDDVPPEIELSDYRRVPSPGCESPSGLLNGDRLNVETVADLDLFFERLYNYYCEKGLWCIIIKWIVELFSMGFTIGFSGFFLLYVDWNGLRNAKCGMDAVESGIKPCDLAEEALHLHPLTPLTLTKAIIVGYLGLFSIYWIFCFLRFFAQLRDILGTRRFYYNSLHVTDNEIQTMSWATVLEKVVHLQHSQQLCVVKDLTAHDIMMRLMRKENYLIGMLNKGVLAFPISLWIPGVGPTVRTGSNGMQHRLILTKPLEWTLNWCILQSMFDRNFCVRRDFIYNPNALKKRLMVVGLAMLVLAPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSRWIFREFNEADHLFKHRISSSAMHASDYLKQFPSPIISIIAKFISFVSGGFAAILIIIAFLEESLLEGHIFGRNLLWYAAVFGTITAISRAAVTDELLVLDQEGAMSMVVQHTHYMPKKWRGRENTERVRMEFETLFQYTGMMLLEEMASIFLTPFLLLFVVPKRVDDILQFIADFTVDVEGVGDVCSFSAFDFQNYGNSNYGSPYNAPRSQRSCQGKMEKSFLSFQSSYPSWEPNIQGKQFLLNLRTFRDQNLQGQGARHTHSSPRMWRGSPSFRGPGDRNIPFSREMPFNTPGFQLGSLWLLDIDQRNHPYLLDWYYTSRPHSSTNNTRDATAVPFEAAEQQHSRDYWTPSNLEQNEARYDEEFWGHNYQDRSGSHLGASTSAPLFQESVLHHDSSNLAHPTRSHWWVRSGPFGAQPQASFLEPPDFHQASFLEPPDFNLHASENHYDNLSEKSLEDHEQHLDWRGTNWLSRTTYLDDDIEAGRSVSLLFDDIYSRPPDTPPAFREPPSF >Potri.008G070300.9.v4.1 pep chromosome:Pop_tri_v4:8:4295038:4315171:-1 gene:Potri.008G070300.v4.1 transcript:Potri.008G070300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070300.v4.1 MAVRVCELTVLGEFKPFGLIAEALDGKPPDTDPDDYDYFLFDPEIARDRNEIDETDTCGSALRDRSDHELFIRGNKIIWSTGARVFKRFTLPSPVIMACWCHLGDLSEALLCILLTDSLTIYNISGEVVSIPIPCTITSIWPLPFGLLLQSASENSPMQNHLSSPSPLFGVCDMSRAKREIVHSPHHNFGVLGTFDHVIKGDSAIMSSHLILKDLLEEPHLMHVEERGKLTIMKDFDERTIWTSNRIPLMASYNKGKMQHSLWVAEIINSNFEAENASLSGAALDDVLDKNFSFRRIWQGKGAQTAASKVFLATDDDAAPVICFLLQEQKKLLSVKLQSLEINNEIIFDIKPDVSWSVAAVAAAPVSVTHPRVKVGLLPYTDIVVLAPDNSLLLISGKQLLCKYLLPSFFGKGHLSHNLEFSETASVPLDSKILGLTDAVEGRVNLILNNGQMFRCTLRRSPSSSLVNDCITAMAEGLSSGFYNHFLALLWGDSNSDYLSRADSSVDSEWNSFCNIILQMCRKPSATSQKHSDLENLEQHSSWEFLVNSKFHKNYHKLNFISRVSSSELSFDPEKMDSFGSNMEGNRSSENSFYFELLQESLDCLHALYESLKLDKLRKRDLELVAVLLCNIAKFLGEGNYLDHYIRDFPGLISKIGTCEMPFSQKTPPSLFRWLENCMQHGCSSANTDDLPPLICKDGNFVVSWARKIVSFYSLLCGGKQTGKKLSSGVYCNIAMGSCCTSEELTVLAMVGERFGLQQLDSLPSGVSLPLRHALDKCRESPPTDWSAAAYVLLGREDLALSRSALPCKSGELETQPNVNLISMSTPYMLHLHPVTIPSTVSDTTGLESAKFEDSDSADGSMMDGMEHIFNSSTQLQYGRDQRLNEVRRLLCSTRPVAIQTSVNPSASDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWSEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNAIHAGLLLALGLHGYLRVLVISDIYTYFTQEHESTTVGLMLGLAASYRKTMHPAISKSLYFHIPSRHSSSFPDLELPTLVQSAALVSAGLLYEGSVHPPTMQILLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGEDALGFLNSLVDRLFQYIGGKEMHNERPLFLTPSMDEQNHGAGQMMDGTAVNVDVTAPGAIIALALMFLKTESEAVVSRLSIPQTHFDLQYVRPDFIMLRVIARNLIMWSRVHPSNDWIQSQIPNIVKSGVNGLEDHVNDMDEMDAETFVQAYVNIVAGACISLGLRFAGTKDGNAQELLYEYAVYFLNEIKHVCATSGNAFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRSRNSADGHANYGTQMAVSLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTVPNDNRCHLQAFRHLYVLATEARLLQTVDVDSGLPVYAPVEVTVRETEHYSETSFCEVTPCILPERAILKSVRVCGPRYWPQVMELVPEDKPWWSIGETNDPFNSGVIYIKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTNIKVGDPSTSDHSGPGSVTVDQLVSAFSSDPSLIAFAQLCCDPSWNCKSDVEFQEFCLQVLFECISKDRPALLQVYLSLYTTIGSMTDQVTNGTFILGDSLALSSLKLALTYNEALLSGRLTTPRGSIIQSVFLGSLKKRVEELLHCSEGLKIDFCNYLNFGRWPNDQTEGEKNSVLLSWYLQWFAVPSSSIIKTAMERVKPKLVSASSVPLLRLLLPRTHINAIGEIDKLLVSPQVSG >Potri.008G070300.6.v4.1 pep chromosome:Pop_tri_v4:8:4295038:4315171:-1 gene:Potri.008G070300.v4.1 transcript:Potri.008G070300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070300.v4.1 MAVRVCELTVLGEFKPFGLIAEALDGKPPDTDPDDYDYFLFDPEIARDRNEIDETDTCGSALRDRSDHELFIRGNKIIWSTGARVFKRFTLPSPVIMACWCHLGDLSEALLCILLTDSLTIYNISGEVVSIPIPCTITSIWPLPFGLLLQSASENSPMQNHLSSPSPLFGVCDMSRAKREIVHSPHHNFGVLGTFDHVIKGDSAIMSSHLILKDLLEEPHLMHVEERGKLTIMKDFDERTIWTSNRIPLMASYNKGKMQHSLWVAEIINSNFEAENASLSGAALDDVLDKNFSFRRIWQGKGAQTAASKVFLATDDDAAPVICFLLQEQKKLLSVKLQSLEINNEIIFDIKPDVSWSVAAVAAAPVSVTHPRVKVGLLPYTDIVVLAPDNSLLLISGKQLLCKYLLPSFFGKGHLSHNLEFSETASVPLDSKILGLTDAVEGRVNLILNNGQMFRCTLRRSPSSSLVNDCITAMAEGLSSGFYNHFLALLWGDSNSDYLSRADSSVDSEWNSFCNIILQMCRKPSATSQKHSDLENLEQHSSWEFLVNSKFHKNYHKLNFISRVSSSELSFDPEKMDSFGSNMEGNRSSENSFYFELLQESLDCLHALYESLKLDKLRKRDLELVAVLLCNIAKFLGEGNYLDHYIRDFPGLISKIGTCEMPFSQKTPPSLFRWLENCMQHGCSSANTDDLPPLICKDGNFVVSWARKIVSFYSLLCGGKQTGKKLSSGVYCNIAMGSCCTSEELTVLAMVGERFGLQQLDSLPSGVSLPLRHALDKCRESPPTDWSAAAYVLLGREDLALSRSALPCKSGELETQPNVNLISMSTPYMLHLHPVTIPSTVSDTTGLESAKFEDSDSADGSMMDGMEHIFNSSTQLQYGRDQRLNEVRRLLCSTRPVAIQTSVNPSASDQDIQQAQLWHLAQRTTALPLGRGAFTLATISTLLTEAFTVPKLVLAGRLPAQQNATVNLDPNIRNIQELKSWSEFHNAVAAGLRLAPLQGKVSRTWIIYNKPEEPNAIHAGLLLALGLHGYLRVLVISDIYTYFTQEHESTTVGLMLGLAASYRKTMHPAISKSLYFHIPSRHSSSFPDLELPTLVQSAALVSAGLLYEGSVHPPTMQILLGEIGRRSGGDNVLEREGYAVSAGFSLGLVALGRGEDALGFLNSLVDRLFQYIGGKEMHNERPLFLTPSMDEQNHGAGQMMDGTAVNVDVTAPGAIIALALMFLKTESEAVVSRLSIPQTHFDLQYVRPDFIMLRVIARNLIMWSRVHPSNDWIQSQIPNIVKSGVNGLEDHVNDMDEMDAETFVQAYVNIVAGACISLGLRFAGTKDGNAQELLYEYAVYFLNEIKHVCATSGNAFPKGLSRYVDRGTLEICLHLIVLSLSVVMAGSGHLQTFRLLRFLRSRNSADGHANYGTQMAVSLAIGFLFLGGGMRTFSTSNSSIAALLITLYPRLPTVPNDNRCHLQAFRHLYVLATEARLLQTVDVDSGLPVYAPVEVTVRETEHYSETSFCEVTPCILPERAILKSVRVCGPRYWPQVMELVPEDKPWWSIGETNDPFNSGVIYIKRKVGACSYVDDPIGCQSLLSRAMHKVFGLTNIKVGDPSTSDHSGPGSVTVDQLVSAFSSDPSLIAFAQLCCDPSWNCKSDVEFQEFCLQVLFECISKDRPALLQVYLSLYTTIGSMTDQVTNGTFILGDSLALSSLKLALTYNEALLSGRLTTPRGSIIQSVFLGSLKKRVEELLHCSEGLKIDFCNYLNFGRWPNDQTEGEKNSVLLSWYLQWFAVPSSSIIKTAMERVKPKLVSASSVPLLRLLLPRTHINAIGEIDKLLVSPQVSG >Potri.014G099700.1.v4.1 pep chromosome:Pop_tri_v4:14:6540250:6542974:-1 gene:Potri.014G099700.v4.1 transcript:Potri.014G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099700.v4.1 MKIELGVGGGAWNDEDKTMVAAVLGTKAFNYLLSNSVANQNLLMAMCGDESLQNKLSDLVDRPNASNFSWNYAIFWQISCSKSGDWVLGWGDGSCREPKEGEESEVTRILNIRHEDETQQRMRKRVIQKLQTLFGESDEDNYALGLDQVTDTEMFFLASMYFSFPHGEGGPGKCYASGKHMWISDALKPGPDYCVRSFLAKSAGFQTIVLVATDVGVVELGSVRSVPESIEMVQSIRSWFSTRNSSIRAKPMAAAAAAAAAMPAVSEKKDENSPFSNFGIVERVGVPKIFGQDLNSNHGHGHGFREKLVVRKMEERPSWNAYQNGTRLALPGAQNGLHGSGWAQSFGMKQGTPSDVYGSQATANNLQELVNGVREEFRLNHYQPQKQVQMQIDFSGASSGPSVIGKPLSAESEHSDVEASCKEERPGTADDRKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYINELQAKLKVMEAEREKSGSISRDASALDANTNGESHNQAPDVDIQASHDELMVRVSCPLDSHPASRVIQAFKEAQITVVESKLSAANDTVFHTFVIKSQGSEQLTKEKLMAAFSRESSSLHSLSSTG >Potri.014G099700.2.v4.1 pep chromosome:Pop_tri_v4:14:6539406:6542963:-1 gene:Potri.014G099700.v4.1 transcript:Potri.014G099700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G099700.v4.1 MKIELGVGGGAWNDEDKTMVAAVLGTKAFNYLLSNSVANQNLLMAMCGDESLQNKLSDLVDRPNASNFSWNYAIFWQISCSKSGDWVLGWGDGSCREPKEGEESEVTRILNIRHEDETQQRMRKRVIQKLQTLFGESDEDNYALGLDQVTDTEMFFLASMYFSFPHGEGGPGKCYASGKHMWISDALKPGPDYCVRSFLAKSAGFQTIVLVATDVGVVELGSVRSVPESIEMVQSIRSWFSTRNSSIRAKPMAAAAAAAAAMPAVSEKKDENSPFSNFGIVERVGVPKIFGQDLNSNHGHGHGFREKLVVRKMEERPSWNAYQNGTRLALPGAQNGLHGSGWAQSFGMKQGTPSDVYGSQATANNLQELVNGVREEFRLNHYQPQKQVQMQIDFSGASSGPSVIGKPLSAESEHSDVEASCKEERPGTADDRKPRKRGRKPANGREEPLNHVEAERQRREKLNQRFYALRAVVPNISKMDKASLLGDAISYINELQAKLKVMEAEREKSGSISRDASALDANTNGESHNQAPDVDIQASHDELMVRVSCPLDSHPASRVIQAFKEAQITVVESKLSAANDTVFHTFVIKSQGSEQLTKEKLMAAFSRESSSLHSLSSTG >Potri.001G060300.1.v4.1 pep chromosome:Pop_tri_v4:1:4604568:4609979:-1 gene:Potri.001G060300.v4.1 transcript:Potri.001G060300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060300.v4.1 METKSSSSSNSVTIIHNAMIVTMDPESRVFKNGGIVIEQDKIKAIGQSSDILSQFSSVAHHLQIIDLHSHILLPGFINTHVHTSQQLARGIADDVDLMTWLHHRIWPYESNMTEDDSYLSTLLCGIELIHSGVTCFAEAGGQYVSGMARAVEKLGLRACLTESIMDTGEGLPTSWAMRTTDDCIQSQMELYEKHHNTADGRIRVWFGIRQIMNSTDRLLLETRNTAQELKTGIHMHVAEIPYENQFVKGNRKVEHGTVTHLEKINFLQNNLLSAHTVWVDDTEIGFLSRAGVKVSHCPAAAMRMLGFAPIREMLDSGICVSLGTDGAPSNNRMSIVDEMYLASLINKGREVYAKGTTDPTALPAETILRMGTINGAKTVLWDNEIGSLEIGKKADITVVHPNSWSMVPVHDCISSLVYSMRTENVVSVMCNGKWIMKDKKIVNVDEGEVLLAAKEASSKILKRAGISIPNRMNVL >Potri.001G060300.9.v4.1 pep chromosome:Pop_tri_v4:1:4604483:4609988:-1 gene:Potri.001G060300.v4.1 transcript:Potri.001G060300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060300.v4.1 METKSSSSSNSVTIIHNAMIVTMDPESRVFKNGGIVIEQDKIKAIGQSSDILSQFSSVAHHLQIIDLHSHILLPGFINTHVHTSQQLARGIADDVDLMTWLHHRIWPYESNMTEDDSYLSTLLCGIELIHSGVTCFAEAGGQYVSGMARAVEKLGLRACLTESIMDTGEGLPTSWAMRTTDDCIQSQMELYEKHHNTADGRIRVWFGIRQIMNSTDRLLLETRNTAQELKTGIHMRYLMRINS >Potri.001G060300.8.v4.1 pep chromosome:Pop_tri_v4:1:4604515:4609988:-1 gene:Potri.001G060300.v4.1 transcript:Potri.001G060300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060300.v4.1 METKSSSSSNSVTIIHNAMIVTMDPESRVFKNGGIVIEQDKIKAIGQSSDILSQFSSVAHHLQIIDLHSHILLPGFINTHVHTSQQLARGIADDVDLMTWLHHRIWPYESNMTEDDSYLSTLLCGIELIHSGVTCFAEAGGQYVSGMARAVEKLGLRACLTESIMDTGEGLPTSWAMRTTDDCIQSQMELYEKHHNTADGRIRVWFGIRQIMNSTDRLLLETRNTAQELKTGIHMHVAEIPYENQFVKGNRKVEHGTVTHLEKINFLQNNLLSAHTVWVDDTEIGFLSRAGVKVSHCPAAAMRMLGFAPIREMLDSGICVSLGTDGAPSNNRMSIGLIYDFIVSILTFL >Potri.010G241200.1.v4.1 pep chromosome:Pop_tri_v4:10:21887686:21888259:1 gene:Potri.010G241200.v4.1 transcript:Potri.010G241200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241200.v4.1 MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEESAIKIHEIKVDKETIDMLAALGMSDVPGLVEVEPQPMLPTQGFGRGGGARRFLS >Potri.010G241200.4.v4.1 pep chromosome:Pop_tri_v4:10:21886696:21888338:1 gene:Potri.010G241200.v4.1 transcript:Potri.010G241200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G241200.v4.1 MGRVRTKTVKKSSRQVIERYYSKMTLDFHTNKKILEEVAIIPSKRLRNKIAGFSTHLMKRIQKGPVRGISLKLQEEERERRMDFVPEESAIKIHEIKVDKETIDMLAALGMSDVPGLVEVEPQPMLPTQGFGRGGGARRFLS >Potri.012G100001.7.v4.1 pep chromosome:Pop_tri_v4:12:12309130:12309429:-1 gene:Potri.012G100001.v4.1 transcript:Potri.012G100001.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G100001.v4.1 MENAIRQNLKRVEHHRGLAVGIKGGLGTGKGRCNPDSDEVCDSSYSVGVVGSAIEVYIPGSVGIVVWKGRCNPDPVSGHCSTVQFQKVSNSGHCCYSLL >Potri.001G109300.1.v4.1 pep chromosome:Pop_tri_v4:1:8810563:8813009:1 gene:Potri.001G109300.v4.1 transcript:Potri.001G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109300.v4.1 MAQTSLTQAIDLFSPILLLLPLLLLIVLKHFRHNSSPPFPPGPYPWPILGNILQLGDKPHITLTHFAKIHGPIFSLRLGTQLVVVGSSQAAAIAILKTHDRILSGRHVPHMAPSKSSELNKLSLGWVVECNERWRYLRTICKSELFSLKALESQACIRERKAKEMIGFINKMEGKVVKIREVATATVFNMLSNILVSRDLVSLEHESEDGGMSSVLKDIARLASTPNISDFYPLLGPLDLQGLRKKTMELHRRSFNMCEAIIQERREGGEGKRDGPDASRRRDFLDALILNGSSDDQIDILLMELLSAGTDTSSSTIEWTMAELIKNPRCLKKVQEEIANVINMNRDTGFKESYLPQLTYLQACVKETLRLHPPGPFLLPHRAIDSCQVMNYTIPKNTQVLVNYWAIGRDPKSWEEPVVFNPERFLSSNLDFKGNDFEFIPFGSGRRICPGLPMAAKHVALIIAYLILFFDWSLPCGKNPTDLDMSENYGLTLRKEQPLLLVPTSKK >Potri.001G109300.2.v4.1 pep chromosome:Pop_tri_v4:1:8810563:8813009:1 gene:Potri.001G109300.v4.1 transcript:Potri.001G109300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109300.v4.1 MAQTSLTQAIDLFSPILLLLPLLLLIVLKHFRHNSSPPFPPGPYPWPILGNILQLGDKPHITLTHFAKIHGPIFSLRLGTQLVVVGSSQAAAIAILKTHDRILSGRHVPHMAPSKSSELNKLSLGWVVECNERWRYLRTICKSELFSLKALESQACIRERKAKEMIGFINKMEGKVVKIREVATATVFNMLSNILVSRDLVSLEHESEDGGMSSVLKDIARRSFNMCEAIIQERREGGEGKRDGPDASRRRDFLDALILNGSSDDQIDILLMELLSAGTDTSSSTIEWTMAELIKNPRCLKKVQEEIANVINMNRDTGFKESYLPQLTYLQACVKETLRLHPPGPFLLPHRAIDSCQVMNYTIPKNTQVLVNYWAIGRDPKSWEEPVVFNPERFLSSNLDFKGNDFEFIPFGSGRRICPGLPMAAKHVALIIAYLILFFDWSLPCGKNPTDLDMSENYGLTLRKEQPLLLVPTSKK >Potri.003G165550.1.v4.1 pep chromosome:Pop_tri_v4:3:17467802:17468427:1 gene:Potri.003G165550.v4.1 transcript:Potri.003G165550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G165550.v4.1 MAATLVVTFACLIPLLLPSLFAPVPTVCFRFPADFEIMKEPRTKVPASVDTDFWVVFCRDESNGRTNYPLRVVPLLFFCFNSCSAFPCFLVFFSGFCSSSLLWFCFFPPWFCFFRLGLA >Potri.010G053200.1.v4.1 pep chromosome:Pop_tri_v4:10:8471802:8476058:-1 gene:Potri.010G053200.v4.1 transcript:Potri.010G053200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G053200.v4.1 MGESACLMRSFSHPSSASREAKEGNPILALTESISFGRFMSESLAWEKWSTFSHNRFLEEVEQFSKPGSVAQKKAYFEAYYKKRAAMKAAALLEQANAASKDVPEVEAADKAPSISHVNLEFSKATNEVIINEQDEGIFDAGLVHSSDANAFYADGRMDNSQNAKVEGDEVVEEKNVEVENSIHVANSKQIENADDCDIIVAMPEEKMPNKVNNHPNQEAAEMENVALPNNKRQMSSLSNSLSQSRASKLPKSSAKLSSSTRLNATPNSKKSAGELVGEKRATSKSIHVSINFASRLQDTNKSYVRVSKDRSATPENPTRGSVHGVSKLLPLIFRHSQDRRSKSELNKSVSGKITPGEISQTLSSDCSKSSSAKGSKSRPPLISSPFSFRSEERVAKRKEFFQKLGEKNNAKEDTEKKHLHARPKEKAEHDLKKLRQSAVFRGKPSDDLHRGLHSPYNSMKKIPLTRPQSPKLGRKSTPNAVREASLQLHQRPSVNAETSKPFIQKSNHSSTCPVNLLPKKKALENASPNILW >Potri.002G257900.1.v4.1 pep chromosome:Pop_tri_v4:2:24622120:24627412:1 gene:Potri.002G257900.v4.1 transcript:Potri.002G257900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257900.v4.1 MAGLVTGSSQTLHAKDELRPPTRQSATLKKCRVCGDEIGVKEDGEVFVACHVCGFPVCRPCYEYERSEGNQSCPQCNTRYKRHKGCPRVPGDNDDEDANFDDFEDEFQIKHHDHDESNQKNVFSHTEIEHYNEQEMQPIRPAFSSAGSVAGKDLEGEKEGYSNAEWQERVEKWKVRQEKRGLVSKDDGGNDQGEEDEYLMAEARQPLWRKIPIPSSRINPYRIVIVLRLIILCFFFRFRILTPAYDAYALWLISVICEVWFGLSWILDQFPKWNPIERETYLDRLSMRFEREGEPNRLGPVDVFVSTVDPLKEPPIITANTVLSILSVDYPVDKVSCYVSDDGASMLLFDSLAETAEFARRWVPFCKKHNIEPRAPEFYFTQKIDYLKDKVHPNFVKERRAMKREYEEFKVRINALVSKAQKKPEEGWVMQDGTPWPGNITRDHPGMIQVYLGSEGALDVEGKELPRLVYVSREKRPGYNHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGVQGPVYVGTGCVFNRQSLYGYDPPVSEKRPKMTCDCWPSWCCCCCGGSRKKSKKKGQRSLLGGLYPMKKKMMGKKYTRKASAPVFDLEEIEEGLEGYEELEKSSLMSQKSFEKRFGQSPVFIASTLMENGGLPEGTNSQSHIKEAIHVISCGYEEKTEWGKEVGWIYGSVTEDILTGFKMHCRGWRSVYCSPQRPAFKGSAPINLSDRLHQVLRWALGSIEIFLSHHCPLWYGYGGKLKLLERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFLALFISIIATSVLELRWSGVSIQDLWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKSADDAEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLIWVRIDPFLPKQTGPILKQCGVEC >Potri.002G257900.2.v4.1 pep chromosome:Pop_tri_v4:2:24622117:24627069:1 gene:Potri.002G257900.v4.1 transcript:Potri.002G257900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257900.v4.1 MAGLVTGSSQTLHAKDESATLKKCRVCGDEIGVKEDGEVFVACHVCGFPVCRPCYEYERSEGNQSCPQCNTRYKRHKGCPRVPGDNDDEDANFDDFEDEFQIKHHDHDESNQKNVFSHTEIEHYNEQEMQPIRPAFSSAGSVAGKDLEGEKEGYSNAEWQERVEKWKVRQEKRGLVSKDDGGNDQGEEDEYLMAEARQPLWRKIPIPSSRINPYRIVIVLRLIILCFFFRFRILTPAYDAYALWLISVICEVWFGLSWILDQFPKWNPIERETYLDRLSMRFEREGEPNRLGPVDVFVSTVDPLKEPPIITANTVLSILSVDYPVDKVSCYVSDDGASMLLFDSLAETAEFARRWVPFCKKHNIEPRAPEFYFTQKIDYLKDKVHPNFVKERRAMKREYEEFKVRINALVSKAQKKPEEGWVMQDGTPWPGNITRDHPGMIQVYLGSEGALDVEGKELPRLVYVSREKRPGYNHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQLGKKLCYVQFPQRFDGIDRHDRYANRNVVFFDINMKGLDGVQGPVYVGTGCVFNRQSLYGYDPPVSEKRPKMTCDCWPSWCCCCCGGSRKKSKKKGQRSLLGGLYPMKKKMMGKKYTRKASAPVFDLEEIEEGLEGYEELEKSSLMSQKSFEKRFGQSPVFIASTLMENGGLPEGTNSQSHIKEAIHVISCGYEEKTEWGKEVGWIYGSVTEDILTGFKMHCRGWRSVYCSPQRPAFKGSAPINLSDRLHQVLRWALGSIEIFLSHHCPLWYGYGGKLKLLERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTLNNLASIWFLALFISIIATSVLELRWSGVSIQDLWRNEQFWVIGGVSAHLFAVFQGLLKVLGGVDTNFTVTSKSADDAEFGELYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLIWVRIDPFLPKQTGPILKQCGVEC >Potri.002G244700.1.v4.1 pep chromosome:Pop_tri_v4:2:23616961:23621642:1 gene:Potri.002G244700.v4.1 transcript:Potri.002G244700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G244700.v4.1 MYADRVDAAAKTSIKDRLNGNSIGDSARLRHITGKRQRQDDKWEHDLYDDGGPRVSNHKIDARDLRLKLQRKSFQQASPRSGVRDLREKLSGTMNSQPANVDPPKPKIAVAKPARRSVAVEAPEPEIKKTANVVSRKGYQQKTDSSVDGFLQSLGLEKYLITFQAEEVDMTALVHMNDEDLKALGIPMGPRKKILLALESRG >Potri.008G139500.1.v4.1 pep chromosome:Pop_tri_v4:8:9380884:9384124:-1 gene:Potri.008G139500.v4.1 transcript:Potri.008G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G139500.v4.1 MSTFTKPSMQKSSRDDAEQLNRAFKGLGCDAAVVVNILALRNASQRDSIQQEYETLFSDDLKKQLAHELHGHLKKAVLLWMKSPIERDVTTLRQALTGPLFDVKAATEIICTRTSSQIRQIKQVYTPTFGTRLEYDIGCHTSDDHKKLLLAFIAITRYDGPEIDSVLVEDDAKAINKIGVKKSGMDESTFIQIFTERSSAHLIALASVYHKMFGKELRKTIKREASGNFKYALLTILQYAVDPTKHYATVLRKATKGLGTDDSTLIRILVTRAEIDLQRIEEEFLKKYKRPLPEVVHSETSGHYRAFLLSLLGSKY >Potri.013G121400.1.v4.1 pep chromosome:Pop_tri_v4:13:13033366:13034924:-1 gene:Potri.013G121400.v4.1 transcript:Potri.013G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121400.v4.1 MYHLKSMELINEESVGELLQAQTHVWNHIFNFINFMTLKCAVQLGIPDVIQKHGKPMTLSELVSALPIHPSKAQYVHRLMRILVHSGFFSQQNLNGVHNQEAYSLTQSTRLLLKDNPWNMRPLLLFELDSVLTKPWDCLSTWFRNDEATAFSVAHENTFWEYAGQDPRIKNLFNEAMASDSILASKLVVSKCKGIFEGLSSLVDVGGGFGTMAKGIAEAFPHMDCTVFDLPHVVSDLQGCKNLKYVGGDMFQAVPPAEAILLKWILHDWSDEDCVKILRRCRQAIMSKGQQKAGKVIIIDMVRENLNGDEGSIETQLFFDLLMMVAVSGMERNEKEWAKLFFDAGFLNYKIHPVLGTRALIELYN >Potri.018G127800.2.v4.1 pep chromosome:Pop_tri_v4:18:13885560:13886897:1 gene:Potri.018G127800.v4.1 transcript:Potri.018G127800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127800.v4.1 MELQLGLGLPSEKTMKGLDLNSYVSEPKGLLGSGQLQLGQYSWFSTNANDKKRSFIDAFEESSGNEDGPQTLPLLVWNNQPNDEDDFPKDLDNHSSNSCASNNDGESDWIVGWPPIKFKKKKLSRQSSRALEINRAVDNGYEDCQARTSKYMYIKVKMEGVGIARKIDVSLHHSFPTLKQTLLDMFGICQENSSNYRLTYQDREGDWLLAEDVPWRNFLGTVQLLKLMRRSS >Potri.018G127800.1.v4.1 pep chromosome:Pop_tri_v4:18:13885563:13887219:1 gene:Potri.018G127800.v4.1 transcript:Potri.018G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G127800.v4.1 MELQLGLGLPSEKTMKGLDLNSYVSEPKGLLGSGQLQLGQYSWFSTNANDKKRSFIDAFEESSGNEDGPQTLPLLVWNNQPNDEDDFPKDLDNHSSNSCASNKSDGESDWIVGWPPIKFKKKKLSRQSSRALEINRAVDNGYEDCQARTSKYMYIKVKMEGVGIARKIDVSLHHSFPTLKQTLLDMFGICQENSSNYRLTYQDREGDWLLAEDVPWRNFLGTVQLLKLMRRSS >Potri.014G131701.1.v4.1 pep chromosome:Pop_tri_v4:14:8811170:8812280:1 gene:Potri.014G131701.v4.1 transcript:Potri.014G131701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131701.v4.1 MEGHDSEDPKQSTADMTAFVQHLLQQMQSRFQTMSDSIVSKIDEMGNRIDDLEKSIDELREEMGVEGSPSPLAPSKFKTEEGSA >Potri.010G175800.1.v4.1 pep chromosome:Pop_tri_v4:10:17609430:17611208:1 gene:Potri.010G175800.v4.1 transcript:Potri.010G175800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G175800.v4.1 MEREPFAPSVAPQTCVLKMNFACGNCHKKIRKQLQKTQGVHSIHIDANEGKVTVSSTVDPHVLIEEFAKIGKKAHLLWEPRPLLMNQNNADNRGKRVQDAPFIAQQNGIDLDQLAQLQKFAENKSLKLLELNQTNNIKMTFSDNGVISHSDHATDINTNNLHELKGSNGAMNGHNPPPMNTFGQGQYGNIGGASSSRGPAPSGNGSGGCDPTTKNVPPCCHAFEFSCGGNRWGEEKAQRPLLPPNCDPTGPMPTYNHGPYGATPPNHYAPHGSQPVNILHADVNCGGGHKTCRVM >Potri.014G019500.1.v4.1 pep chromosome:Pop_tri_v4:14:1186767:1188522:-1 gene:Potri.014G019500.v4.1 transcript:Potri.014G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G019500.v4.1 MSWWWAGDLSAAWKKPAVQGGQGSVALIVGVTGIVGNSLAEILPRSDTPGGPWKVYGVARRPRPNWHENCPVEYIQCDISDSALAKSKLSHLTDVTHIFYVTWASRSNEAENCKINGLMFRNLLQAVVPIATNLRHVSLQTGTKHYIGPFESFYNFESHDPPYSEDLPRLKVDNFYYTLEDVMFEEVAKKEGVTWSVHRPDIIFGFSPHSLMNIIVTLSVYAAICKHEGAPLMFPGTKEAWNCYAIASDADLVAEQEIWACVEPNAQNQAFNIHNADYFKWKHLWKVLAEQFGIEKYGFEEGGKRATLAERMKDKGPVWEEIVKKNQLFPTKLEEVGGWWYVDTMLSGDAFISCMNKSKEHGFLGFRNSTKSFVHWIGKMRSQKVIPN >Potri.017G112100.1.v4.1 pep chromosome:Pop_tri_v4:17:11989365:11989508:-1 gene:Potri.017G112100.v4.1 transcript:Potri.017G112100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G112100.v4.1 MKMMSGETMGESKKKISCRRLGGYLRQQKGRLYIIRRCVVMLVCWHD >Potri.008G150901.1.v4.1 pep chromosome:Pop_tri_v4:8:10321832:10323120:1 gene:Potri.008G150901.v4.1 transcript:Potri.008G150901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G150901.v4.1 MHPNGWRVFLGLAGVPAFVLFIGSIVITGTPTSLIECGNETAGKSTLRKIRGVDHVNAEFEQFKAASEIARQVRHPYKKTMKCSSMPTLVIGIQLQIFHQLTGIDAVMFYALGFKNDASLLSAVITGIVNVQAHWSQSLLQIRLRGGFCFFKPACRCS >Potri.018G008700.1.v4.1 pep chromosome:Pop_tri_v4:18:635806:637508:-1 gene:Potri.018G008700.v4.1 transcript:Potri.018G008700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G008700.v4.1 MEYSSHHFDVIVVGAGIMGSSTAYQLAKRGQKTLLLEQFDFLHHRGSSHGESRTIRATYPEDYYCDMVMESSQSWEQAQSEIGYKVYFKAQQFDMGPSDNKSLLSVISSCERKSLPHQVLDGQQVADRFSGRINIPESWVGVLTEVGGVIKPTKAVSMFQALAFQKGAVLRDNMEVKNIVKDEARGGVNVVVANGEEYWGKKCVVTAGAWMGKLVKTVSGLELPIQALETTVCYWRIKEGHEAKFAIGSDFPTFASYGEPYIYGTPSLEFPGLIKIAVHGGYTCDPDKRPWGPGISSDSMKEWIEGRFSGLVDYGGPVATQLCMYSMTPDGDFVIDFLGGEFGKDVVVGGGFSGHGFKMAPVVGRILADLALSGEAKGVDLKHFRIQRFQENPKGNVKDYEDQVSKSQVDE >Potri.019G081450.1.v4.1 pep chromosome:Pop_tri_v4:19:12166689:12166972:1 gene:Potri.019G081450.v4.1 transcript:Potri.019G081450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081450.v4.1 MAKETSRTVLGNWALSSASRPGTCGLYEMERVRYGRRGHQKRKEERKTQLATVNPPVEHGCVAMMRPKPT >Potri.001G049700.1.v4.1 pep chromosome:Pop_tri_v4:1:3754152:3755174:-1 gene:Potri.001G049700.v4.1 transcript:Potri.001G049700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G049700.v4.1 MDIDPFWITGGWLIITDFNFMAPTRKSPLCRTTTKLQAMVLFLDLLLIVPLLARPIDLSKKLTAASSPTGTKHSTTEMHPHESKYAPPSSTTAAAAAADMTSSTTTTVPTTPAASASNQQFKAAAHEVPSGPNPESN >Potri.012G082000.1.v4.1 pep chromosome:Pop_tri_v4:12:10729882:10731705:1 gene:Potri.012G082000.v4.1 transcript:Potri.012G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082000.v4.1 MELDNNVKKEKPVSQPMFLSSKACIEEEYFPFETPCPSSKGFLQGFSHLDDHGNANGSSSNPLFGVQTGSNFDSFDAFPYGPSSNIDLYDYECKPFAGNINGGGGHGQVNDSFQSGGYSNLSSQRNSIDEIGSNQGYTSLSFEEAKPVSFVVQDEVSCVGTAENECKNKMGLNMTRTLQPFARKTWKGRKKNSVVKGQWTTEEDRLLIQLVEQHGVRKWSDVAKMLSGRIGKQCRERWHNHLRPDIKKDAWSVEEDKILIQAHSVLGNRWAEIAKSLPGRTENSIKNHWNATKRKQYSKRKCRPKYPRASLLQDYIKSLNLNSGTTWKDHGITTASTAADVIPDNTANMKAPEQQPRDLVLFKDNDGGLVPCYSFSEVPDFDNIQEKMFQGGCSVDSILDGLPCDHSSVHEKRLQMKVPEQDYATPFMGFEVKKEVDLVEMISQSKM >Potri.001G343200.2.v4.1 pep chromosome:Pop_tri_v4:1:35405202:35406127:1 gene:Potri.001G343200.v4.1 transcript:Potri.001G343200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343200.v4.1 MDLAWAPQDGEEWELRHDDDGFTYKILKRQRLTDPSAAVSQLPFTDPKVEAKRRRERKRNTLLKLKNQYQNEIHKWEVLSNTLRSMEEKTKEVNQAVSYLGSSNSSVVKKSEDSSGSLVDELLLQAETQEAIIRDISNLCDVAEAMCDAQQEQLVQSFIDLPVWSSPRELMKSLCDE >Potri.001G404600.1.v4.1 pep chromosome:Pop_tri_v4:1:43054585:43058673:-1 gene:Potri.001G404600.v4.1 transcript:Potri.001G404600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404600.v4.1 MAALHAISPHGLLSLSKTKKTISKSLQNPQFLSPKLPKSQTFCRNKQSWHLNSVVQEELDVIPVQSGDSVDQQEGMLAIPVEREGTELAAQVSGFGGSDGQFSFEGFSSASSSGIDGESIDRQSESERLIDRTINAMIVLGAGTYAITKLLTIDHNYWHGWTLFEILRYAPQHNWSAYEEALKTNPVLAKMMISGIVYSLGDWIAQCYEGKPLFEYDRTRMFRSGLVGFTLHGSLSHYYYQFCEELFPFQDWWVVPAKVAFDQTLWAAAWNSIYFTALGFLRLESPASIFSELTATFWPMLTAGWKLWPFAHLITYGVIPVEQRLLWVDCVELIWVTILSTYSNEKSEARISEAAVEASSSSLPSSPEE >Potri.002G129700.1.v4.1 pep chromosome:Pop_tri_v4:2:9832199:9835681:-1 gene:Potri.002G129700.v4.1 transcript:Potri.002G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G129700.v4.1 MDVATSILPAFQAMPYATPAAVGGLVFAVFFINKFISNQKKGNPNLLPLPVVPGWPVIGNLLQLKEKKPHKTFLRWAEAYGPIYSIKTGASTVIVLNSTEVAKEAMVTRYSSISTRKLSKALEVLTDNKSMVATSDYGDFHKMVKRYLLTNVLGAGAQRRHRGHRDTLVENVSSQLLDHIKTNPQLQAVDFREIFESELFGLSMKEALGKDMESLYVDELQATLSREEIFNVLVLDPMEGAIDVDWRDFFPYLRWIPNKGFEMKIERMNFRRQSVMNALVQEQKKRIASGEEINCYIDYLLSEGKTTLTEKQIGMLVWETIIETSDTTMVTTEWAMYELAKNPKCQDRLYHEIQNVCGSEKLKEEHLSQLPYLNAVFHETIRKYSPAPIIPLRYAHEDTQIGGYYVPAGSEIAINIYGCNMDKKRWENPEEWKPERFLDGKYDPMDLHKTMAFGAGKRSCAGALQASLIASATIGKVAQEFEWRLKDGEEEHVDTVGLTTRKLQPLHVMIKTRNV >Potri.002G163300.1.v4.1 pep chromosome:Pop_tri_v4:2:12506209:12509199:-1 gene:Potri.002G163300.v4.1 transcript:Potri.002G163300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163300.v4.1 MDQNYNESHSYNNLITNKSSSWLEVRLFYVRVTPCVIESVPGHLTLRHLGRSISTPLEINGSKIPAADSATLTLRRDRLNKELSEVTYVSTDSVRVTCGVEFEVLDNKDMVLCGSLERIETTSWGNNGSVGGLENDAKTGWCLECCLATGVFEGKSVFKLGVSAPVIEVYIAGCCGGVPVILTKTILVSPRKKASRYAMLDAIPEDEELDNRKQNGVISNELVRQRKVQITEAEGDDGYDSDEKIGNRYYSQDMYYGEDGQLSWFNAGVRVGVGIGLGMCLGVGIGVGLLMRSYQATTRNFRRRFF >Potri.003G037200.3.v4.1 pep chromosome:Pop_tri_v4:3:4489997:4491648:-1 gene:Potri.003G037200.v4.1 transcript:Potri.003G037200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G037200.v4.1 MDQSFSGGGSWTVIPSVPTHSGSPAHSNQDQFYLSPQQQQPQFTQFQQQQQFNQQQQQFQQQQQYQQQQSQQQRFIQQQQQQQPQVQQQNHHHQSLASHFHLLQLAENLADAIENGTRDQHSDALVNELNTHFDKCQQLLNSISSSINAKAMTVEGQKRKLEESEQLLNQRRELIGKYRNSVEELLKSEP >Potri.012G065300.1.v4.1 pep chromosome:Pop_tri_v4:12:8334301:8336067:1 gene:Potri.012G065300.v4.1 transcript:Potri.012G065300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065300.v4.1 MNRKQIKNMANISCRLCGSETNTNADADADEQALTIDPYFPLFSSSLHDDPFLHQPEPTHFNIHSLDHQDDDAVSDPESVILNFPDLSDRENQVSFVMDLFQQRVEQSQLLCQDVDEDDDVVCSHLVYDSLKDSDFGVIEENSIYNLELDLGLGFGLDSQENSGFQNIDANYNDNDNDNDVVYNDSNVIIDDDDDDDDYFIERRVSGIESCEAESTVSIHANAIRVVGFGSDSDSENNQNSLAIDLNSGDEYGLDVTVGNGSFGDGADYDDDDDVSVTIPLCWDSLQLEDHRENNEDFEWEEVDGRVEEREVLSMFIDDDEASVSLSISPLIAAPEDLVNVERGGLDNLEWQVLLNANNLDHDHNSEPYLGGHDDYIYTAEYETLFGQFMENENAMMGRPPAAKSVVEKLPSMVVTKGDVESNNAVCAVCKDDTNVGERVKQLPCMHRYHGECIVPWLGIRNTCPVCRYELPTDDADYERRKVAERPASAGHRL >Potri.008G101800.2.v4.1 pep chromosome:Pop_tri_v4:8:6444794:6449117:1 gene:Potri.008G101800.v4.1 transcript:Potri.008G101800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101800.v4.1 MGIDLTRIMPEEPVNGLEENVNFAALERYKWIIARVRSYGMKLRSHHPIAIYLPWCSPFYSAFGLGLSADCSPGVPSTSGADSELTSEEEITRLLNCTDHYSVLGLSREYRKMTKLVHPDKNMGNEKAAEAFKKLQNAYEVLLDSLKRKAYDDELRREDLLNYFRRFQSTSQKNGERGFFASWFAHSEAEGDDPFGESRRIACKRCGNFHLWVHTKKSKSQARCSRIDCKDFHQAKDGDGWVEQSSQPFLFGLLQKVDAPTAYVCADSKIYDATDWYICQGMRCPANSHKPSFHVNTSITSKHNTRKGSSSGQRSGRMPTPNMEETMTEEELFEWLQKAVQAGEFDNYSSGTSNESPSAKAGNGPKSGGGGSSSGNKRKKKGKKQW >Potri.018G145556.1.v4.1 pep chromosome:Pop_tri_v4:18:15381876:15385516:-1 gene:Potri.018G145556.v4.1 transcript:Potri.018G145556.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145556.v4.1 MARPNDPFWDHVEKLDDGRFNCKFCGYKFAAATSVTMIKWHLSGVQGRGVTICRQVQNLKRGSSLERPSINQADEPRGDSSPPKDLLCLGLGSYHDQLCSPSAKNDVIMDDVQNIVGEKTEPVASMLEQSYAMLNKLAGDDGRIQVGVQAMEQGAEEELICSHPEAGSGMENTCEGFIQHVDRNVSPERARLMENSSGRLVQSGTSASSTKLVGRAFEQNMKVIRSWLMDDEISTIGIYGMGGVGKTTLLQHIRKEFLEKQDISHSVYWVNVPQGFKTEELQDLIAKYLHLDLSSKDDDLSRAVKLAKELVKKQKWILILDDLWNSFEPQEVGIPIPLKGSKLIMTTRSEMVCRRMNSQNNIRVDALSDEESWTLFMKRLGQHRPLSPEVEQIAADVAMECAGLPLGIVTLAESLKGVDDLYEWRITLKRLKESNFWDMEDKIFQILRLSYDCLDDLAQQYFIYCALFDDHHHEIKRKELIESFIEEGIIKEMSRQAALDKGHSILDRLEDVCLLERIDGGSAVKMHDLLRDMAIQILDKCSLIMG >Potri.001G415600.1.v4.1 pep chromosome:Pop_tri_v4:1:44396817:44400526:-1 gene:Potri.001G415600.v4.1 transcript:Potri.001G415600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G415600.v4.1 MPTVWFSLKRSLHCKSEPSEVHDPKSTKHMSTILTRKAGRSGCSRSIANLKDVIHGSKRHMEKPPSCSPRSIGSSDFLNPLTHEVILSNSRCELKITGFGGFQEGVGSGVISHGNSHNGASGGSNGAVGSTFVGTLRQGTPGPGGHPTMHYFNPSIRNTATPPRKSHFLLSDREAPGFGGSGIFGAGGHSSSRVSLETKFNGSSTLTCHKCGEQFNKWEAAENHHLSKHAVTELVEGDSSRKIVEIICRTSWLKSENHCGRIERVLKVHSMQKTLARFEEYREMVKIKASKLPKKHPRCIADGNELLRFYGTTVACSLGVNGSSSLCVSEKCCVCRIIRNGFSVKKELKGGVGVFTTSTSGRAFECIEIFEDDPCIRKALIVCRVIAGRVHRPLENIQEISSQTGFDSLAGKVGLYSNIEELYLLNPKALLPCFVVICKS >Potri.003G107400.1.v4.1 pep chromosome:Pop_tri_v4:3:13012411:13017276:-1 gene:Potri.003G107400.v4.1 transcript:Potri.003G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107400.v4.1 MLGRGTGMGGGSGGGSVLRVFGRAVARAGVTNLQEPISTTNSSSPNTSTTTTVTSPRGTRKVISPNNLTLSSSGSALSLPIPADSGGPGPTSWSPFSVSHCDDYDWVSVDDGSDEERPVGFDDDFVFGPVPSIDEVHEAVTALTHVFDAPSHSQLIRDKFSCTVDKDLAYQISGPTLLNRVSSVGSELDWKEPSPVPCDPRALLCYGSQRVHEAFRLLQTESSVQKMVVSLSSDKAVWDAVLNNAAVRELRETYYADENTDPPTSESLAETGEESNPALNFVKWIFDNTRARAMEIFETITKLVNEFFKPPAGEKTSAGTKDPFEDKLRSSFLLSIMVLLIVVVTRAQKA >Potri.014G081100.7.v4.1 pep chromosome:Pop_tri_v4:14:5229618:5236141:1 gene:Potri.014G081100.v4.1 transcript:Potri.014G081100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081100.v4.1 MNNNNRGRYPPGIGAGRGGGMSANPNFQSRVPQQQYVQRHFGQNHHQQQYNQHQQNHNQQQQQQQQQQQHQQQQWLRRNQLAAADSSVDEVEKTVQSEAVDSSSQDWKAKLKIPPADTRYQTEDVTATKGNDFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMATTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLVLDEADKLLSPEFQPSIEQLIRFLPSSRQILMFSATFPVTVKDFKDRYLEKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCQ >Potri.014G081100.2.v4.1 pep chromosome:Pop_tri_v4:14:5229599:5236759:1 gene:Potri.014G081100.v4.1 transcript:Potri.014G081100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081100.v4.1 MNNNNRGRYPPGIGAGRGGGMSANPNFQSRVPQQQYVQRHFGQNHHQQQYNQHQQNHNQQQQQQQQQQQHQQQQWLRRNQLAAADSSVDEVEKTVQSEAVDSSSQDWKAKLKIPPADTRYQTEDVTATKGNDFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMATTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLVLDEADKLLSPEFQPSIEQLIRFLPSSRQILMFSATFPVTVKDFKDRYLEKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCQ >Potri.014G081100.8.v4.1 pep chromosome:Pop_tri_v4:14:5230842:5236144:1 gene:Potri.014G081100.v4.1 transcript:Potri.014G081100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G081100.v4.1 MGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCIPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMATTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKDCSMLVLDEADKLLSPEFQPSIEQLIRFLPSSRQILMFSATFPVTVKDFKDRYLEKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNSETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQAIYCQ >Potri.012G000900.9.v4.1 pep chromosome:Pop_tri_v4:12:1087943:1093054:1 gene:Potri.012G000900.v4.1 transcript:Potri.012G000900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G000900.v4.1 MNTWDRHCKGHRPDAPSPDHIVQRPIKSNIIDGSETFRNYSSSKKTKEDPSGHQSKVGVIHAAHVSDGVSSKHGNHILKLTSTPGDISAISGNLGQRMQANLTNSTDSDHNNQNLRSNSLNENAHNEKTTSSRTEYLGNEGHARYQFARTQSSPELIVTSSEVPSRGRRNKASETVNGQAASMRSHNSRRRNLVPEVLENHGARFSTENSPSSRHSIDATVDSTSASNSYFGDSGEGTTDDHLSLSETMQLHQEEQDRVNMASFSGYSVNEQGQMPVNLASGQPPFAIPPANLGYAQKQMTGTVPINAPPFESPWVPNMHYHPSFIPYPFSHYFPSMGMTLDQEVMIEKVDAKLASTEFRQDVSDHGFWSKPVADSVRNQQKNRSSQSRLQEHRQPFASVESSHVHSTHVSSSGSFSPRDHELITEDRDLIRENYSEGAEYQIRRESDAYSLASLRIVPVSQASSSGSKSEDNGDGSLLRTHKSMKGQQGKKSFPSTDQPIAHGMDKNERQHGDESANHISSQPDDDDRELIRLSTSGTELSESVVSGVGASSHVWTHQIPSYDPSSMSRSNSMLPVAPMFVGPESHQRTNINHGALPVAFYRAGPPVPFLTTYPSYNLPTEAGTSIVSTRKFDRDEEFNNSQNNHSNQILDSSENVDQSENLNTFTSVNNASSLVHSEQSRSDILNSDFASHWKNLQYGRLCQNAPNKDSLPCPYPVVVPPMYFQGHFPWDGPGRPANMNLFTQHMNGPHLIPVSPVQPGSSRQAGFYQHYADDLPRYRTGTGTYLPNPKLSFRDRQSSNTRNHGGNYNYDRKDHHDEREGNWNNNPRPRSGGRGQSQNQGEKSSFRMDRSAANNRRSDRSWNSKQDPLPRYHPRNSFRFSNSTDRGSTNAAYGMYPPVPVVTTSGVSASVVMLYPYDQNAGYNSPDQHLEFVGPARFTAGKASPHLREDSSRDINEQQDIRRDSDLSSPDQPSSPRVHRRV >Potri.012G000900.8.v4.1 pep chromosome:Pop_tri_v4:12:1082788:1093015:1 gene:Potri.012G000900.v4.1 transcript:Potri.012G000900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G000900.v4.1 MGGLEDSVLPSVFSPNGLLPNEANSVTRALELERWAIAEERTAELIACIQPNQPSEERRTAVLGYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDITVFTESQDLKKTWADEVKDILQHEEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDQLISQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNRFAGPLEVLYRFLEFFSKFDWEHFCISLWGPVPISSLPNVTALSPREDGGQILLSQLFLEVCSSVYAVFPSQQENQEQSFVSKYFNVIDPLRTNNNLGRSVSKGNFYRIRSAFAFGAQRLARLLDCPKENLLAEFNQFFMNTWDRHCKGHRPDAPSPDHIVQRPIKSNIIDGSETFRNYSSSKKTKEDPSGHQSKVGVIHAAHVSDGVSSKHGNHILKLTSTPGDISAISGNLGQRMQANLTNSTDSDHNNQNLRSNSLNENAHNEKTTSSRTEYLGNEGHARYQFARTQSSPELIVTSSEVPSRGRRNKASETVNGQAASMRSHNSRRRNLVPEVLENHGARFSTENSPSSRHSIDATVDSTSASNSYFGDSGEGTTDDHLSLSETMQLHQEEQDRVNMASFSGYSVNEQGQMPVNLASGQPPFAIPPANLGYAQKQMTGTVPINAPPFESPWVPNMHYHPSFIPYPFSHYFPSMGMTLDQEVMIEKVDAKLASTEFRQDVSDHGFWSKPVADSVRNQQKNRSSQSRLQEHRQPFASVESSHVHSTHVSSSGSFSPRDHELITEDRDLIRENYSEGAEYQIRRESDAYSLASLRIVPVSQASSSGSKSEDNGDGSLLRTHKSMKGQQGKKSFPSTDQPIAHGMDKNERQHGDESANHISSQPDDDDRELIRLSTSGTELSESVVSGVGASSHVWTHQIPSYDPSSMSRSNSMLPVAPMFVGPESHQRTNINHGALPVAFYRAGPPVPFLTTYPSYNLPTEAGTSIVSTRKFDRDEEFNNSQNNHSNQILDSSENVDQSENLNTFTSVNNASSLVHSEQSRSDILNSDFASHWKNLQYGRLCQNAPNKDSLPCPYPVVVPPMYFQGHFPWDGPGRPANMNLFTQHMNGPHLIPVSPVQPGSSRQAGFYQHYADDLPRYRTGTGTYLPNPKLSFRDRQSSNTRNHGGNYNYDRKDHHDEREGNWNNNPRPRSGGRGQSQNQGEKSSFRMDRSAANNRRSDRSWNSKQDPLPRYHPRNSFRFSNSTDRGSTNAAYGMYPPVPVVTTSGVSASVVMLYPYDQNAGYNSPDQHLEFVGPARFTAGKASPHLREDSSRDINEQQDIRRDSDLSSPDQPSSPRVHRRV >Potri.012G000900.7.v4.1 pep chromosome:Pop_tri_v4:12:1082775:1093139:1 gene:Potri.012G000900.v4.1 transcript:Potri.012G000900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G000900.v4.1 MGGLEDSVLPSVFSPNGLLPNEANSVTRALELERWAIAEERTAELIACIQPNQPSEERRTAVLGYVQRLIMKCFPCQVFTFGSVPLKTYLPDGDIDITVFTESQDLKKTWADEVKDILQHEEKSENAEFHVKEVQYIQAEVKIIKCLVENIVVDISFNQLGGLCTLCFLEEVDQLISQNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLVLYIFHVFNNRFAGPLEVLYRFLEFFSKFDWEHFCISLWGPVPISSLPNVTALSPREDGGQILLSQLFLEVCSSVYAVFPSQQENQEQSFVSKYFNVIDPLRTNNNLGRSVSKGNFYRIRSAFAFGAQRLARLLDCPKENLLAEFNQFFMNTWDRHCKGHRPDAPSPDHIVQRPIKSNIIDGSETFRNYSSSKKTKEDPSGHQSKVGVIHAAHVSDGVSSKHGNHILKLTSTPGDISAISGNLGQRMQANLTNSTDSDHNNQNLRSNSLNENAHNEKTTSSRTEYLGNEGHARYQFARTQSSPELIVTSSEVPSRGRRNKASETVNGQAASMRSHNSRRRNLVPEVLENHGARFSTENSPSSRHSIDATVDSTSASNSYFGDSGEGTTDDHLSLSETMQLHQEEQDRVNMASFSGYSVNEQGQMPVNLASGQPPFAIPPANLGYAQKQMTGTVPINAPPFESPWVPNMHYHPSFIPYPFSHYFPSMGMTLDQEVMIEKVDAKLASTEFRQDVSDHGFWSKPVADSVRNQQKNRSSQSRLQEHRQPFASVESSHVHSTHVSSSGSFSPRDHELITEDRDLIRENYSEGAEYQIRRESDAYSLASLRIVPVSQASSSGSKSEDNGDGSLLRTHKSMKGQQGKKSFPSTDQPIAHGMDKNERQHGDESANHISSQPDDDDRELIRLSTSGTELSESVVSGVGASSHVWTHQIPSYDPSSMSRSNSMLPVAPMFVGPESHQRTNINHGALPVAFYRAGPPVPFLTTYPSYNLPTEAGTSIVSTRKFDRDEEFNNSQNNHSNQILDSSENVDQSENLNTFTSVNNASSLVHSEQSRSDILNSDFASHWKNLQYGRLCQNAPNKDSLPCPYPVVVPPMYFQGHFPWDGPGRPANMNLFTQHMNGPHLIPVSPVQPGSSRQAGFYQHYADDLPRYRTGTGTYLPNPKLSFRDRQSSNTRNHGGNYNYDRKDHHDEREGNWNNNPRPRSGGRGQSQNQGEKSSFRMDRSAANNRRSDRSWNSKQDPLPRYHPRNSFRFSNSTDRGSTNAAYGMYPPVPVVTTSGVSASVVMLYPYDQNAGYNSPDQHLEFVGPARFTAGKASPHLREDSSRDINEQQDIRRDSDLSSPDQPSSPRVHRRV >Potri.015G009400.4.v4.1 pep chromosome:Pop_tri_v4:15:606127:610436:-1 gene:Potri.015G009400.v4.1 transcript:Potri.015G009400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009400.v4.1 MSILQATCSFFRQPASFAPDYELSMSELAALDMCQKRAIFKPMTPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGVAYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGEVYAFGKDSFGEAEYGVQGTKTVTTPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLGHQTEPNDVVPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVDALSKVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNGDGDGQGNRHTNVLSPELVTSLKEVKERVVQISLTNSVYWNAHTFALTESGKLFAFGAGDKGQLGMELVNNQTERGNPEQVDVDLR >Potri.015G009400.2.v4.1 pep chromosome:Pop_tri_v4:15:606110:610436:-1 gene:Potri.015G009400.v4.1 transcript:Potri.015G009400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G009400.v4.1 MAMDSTTSGTPTIQYHNITDQPVTAIVAVPVSTYQRNQRHCFGDSIPGEFPLAANPSIVLHVLTTCNLDPQDLAKLEATCSFFRQPASFAPDYELSMSELAALDMCQKRAIFKPMTPEERQDLKQRCGGSWKLVLRFLLAGEACCRRERSQAIAGPGHSIAVTSNGVAYSFGSNSSGQLGHGTTEEEWRPRQIRSLQGIRIIQAAAGPGRTMLISDAGEVYAFGKDSFGEAEYGVQGTKTVTTPQLVESLKNIFVVQAAIGNFFTAVLSREGRVYTFSWGNDGKLGHQTEPNDVVPHPLLGALENIPVVQIAAGYCYLLALACQPSGMSVYSVGCGLGGKLGHGSRTDEKYPRLIEQFQLLNLQPMVVAAGAWHAAVVGRDGRVCTWGWGRYGCLGHGNEECESVPKVVDALSKVKAVHVATGDYTTFVVSDDGDVYSFGCGESASLGHNGDGDGQGNRHTNVLSPELVTSLKEVKERVVQISLTNSVYWNAHTFALTESGKLFAFGAGDKGQLGMELVNNQTERGNPEQVDVDLR >Potri.008G221000.1.v4.1 pep chromosome:Pop_tri_v4:8:18433198:18434068:1 gene:Potri.008G221000.v4.1 transcript:Potri.008G221000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221000.v4.1 MFQERHRQHLGPPHGILLAVVVSIVVLAPFIFGDQGQAITEAFAELLSPMGLLLLPIILLLTIQFLSSDRGSFVSTIFFTGEPESIHRVSGSPVGVALFLVLILFLLYNRLSIFGGGDDSAD >Potri.012G024200.4.v4.1 pep chromosome:Pop_tri_v4:12:2390915:2393680:-1 gene:Potri.012G024200.v4.1 transcript:Potri.012G024200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024200.v4.1 MASAGQALNRPTLPAGYRFSPNNDDLIVYYLKRKILGQQLPADVIPTTDVYASSPDKLPLDDFKGGEANEWFFFSNRSKDDDTIALDGGYYAIDPEGAGPITWEGKIVGYVKTLNFYQGSLPNGTETEWMVEEFRINPEFVPINNNDDRSTREKEFSDDD >Potri.012G024200.3.v4.1 pep chromosome:Pop_tri_v4:12:2391923:2393680:-1 gene:Potri.012G024200.v4.1 transcript:Potri.012G024200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024200.v4.1 MASAGQALNRPTLPAGYRFSPNNDDLIVYYLKRKILGQQLPADVIPTTDVYASSPDKLPLDDFKGGEANEWFFFSNRSKDDDTIALDGGYYAIDPEGAGPITWEGKIVGYVKTLNFYQGSLPNGTETEWMVEEFRINPEFVPINNNDDRSTREKIENLVACKISRVQPEPEW >Potri.016G088200.1.v4.1 pep chromosome:Pop_tri_v4:16:6981576:6986148:1 gene:Potri.016G088200.v4.1 transcript:Potri.016G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088200.v4.1 MSVIDILTRVDAICNKYDKYDIEKQKDLNVSGDDAFARLYAAIDSDIEAAHQKAELASKEKSKASAVAINAELRRTKARLLEEVPKLQRLAVKKVKGLSTEELAARNDLVLALPDRIQAIPDGTAAAPKQTGGWGTSAPRAEIKFDSDGQFDNEYFQETETSSQFRQEYEMRKMKQDQGLDMISDGLDTLKNMAHDMNEEIDRQVPLMDEIDTKVDKAAADLKNTNVRLKDTVNQLRSSRNFCIDIVLLIIILGIAAYLYNVLKK >Potri.006G002600.1.v4.1 pep chromosome:Pop_tri_v4:6:218299:221950:-1 gene:Potri.006G002600.v4.1 transcript:Potri.006G002600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002600.v4.1 MGSKHSRHQDHHHRDSYGCRASSNPCSSPNVASYAVYDDGRSKPQSRYSRIGDDYHSLEQVTRALAQAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHLGDSMNPYEQAISIIGRTLSAFDEDNLIPCFGFGDTTTHDQRVFSFYPDDQLCNGFEEVLSRYREIVPRVNLAGPTSFAPIIETAIEIVDNSGGQYHVLLIIADGQVTSSVGTVNGQLSPQEQNTINAIVKASNYPLSIVLVGVGDGPWDMMHKFDDNIPSRAFDNFQFVNFTEIMSKNIPMSKKETEFALDALMEIPSQYKATIDLQLLGCQKGAPGRNALPPPLGNGSVNSYPTYSRSRSNAAYVPSTDHSSDNRHCPSCLWNKKDLAFGCGHQTCYDCGKDLNQCPICQAYITTKIKLYE >Potri.006G002600.3.v4.1 pep chromosome:Pop_tri_v4:6:218331:221682:-1 gene:Potri.006G002600.v4.1 transcript:Potri.006G002600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002600.v4.1 MGSKHSRHQDHHHRDSYGCRASSNPCSSPNVASYAVYDDGRSKPQSRYSRIGDDYHSLEQVTRALAQAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHLGDSMNPYEQAISIIGRTLSAFDEDNLIPCFGFGDTTTHDQRVFSFYPDDQLCNGFEEVLSRYREIVPRVNLAGPTSFAPIIETAIEIVDNSGGQYHVLLIIADGQVTSSVGTVNGQLSPQEQNTINAIVKASNYPLSIVLVGVGDGPWDMMHKFDDNIPSRAFDNFQFVNFTEIMSKNIPMSKKETEFALDALMEIPSQYKATIDLQLLGCQKGAPGRNALPPPLGNGSVNSYPTYSRSRSNAAYVPSTDHSSDNRHCPSCLWNKKDLAFGCGHQTCYDCGKDLNQCPICQAYITTKIKLYE >Potri.006G002600.2.v4.1 pep chromosome:Pop_tri_v4:6:218378:222123:-1 gene:Potri.006G002600.v4.1 transcript:Potri.006G002600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002600.v4.1 MGSKHSRHQDHHHRDSYGCRASSNPCSSPNVASYAVYDDGRSKPQSRYSRIGDDYHSLEQVTRALAQAGLESSNLIVGIDFTKSNEWTGARSFHRKSLHHLGDSMNPYEQAISIIGRTLSAFDEDNLIPCFGFGDTTTHDQRVFSFYPDDQLCNGFEEVLSRYREIVPRVNLAGPTSFAPIIETAIEIVDNSGGQYHVLLIIADGQVTSSVGTVNGQLSPQEQNTINAIVKASNYPLSIVLVGVGDGPWDMMHKFDDNIPSRAFDNFQFVNFTEIMSKNIPMSKKETEFALDALMEIPSQYKATIDLQLLGCQKGAPGRNALPPPLGNGSVNSYPTYSRSRSNAAYVPSTDHSSDNRHCPSCLWNKKDLAFGCGHQTCYDCGKDLNQCPICQAYITTKIKLYE >Potri.T013544.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:303568:305784:-1 gene:Potri.T013544.v4.1 transcript:Potri.T013544.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013544.v4.1 MLLSSKSKQGNREFVNEIGMISALQHPNPCKVVWMLCWRKPINACVRVHGKQLPVPCSICILIWIYLGFCQAYVLQERGSLSEVVDPELGSEYSSGEAMVILNVALLCTNASPTLRPTGSQVASMLEGQTPVLDLLSDPGFSAINTKYKAIKSMSINGSYCCDSTNSYGEPGHLLRVSSVKSN >Potri.008G093400.1.v4.1 pep chromosome:Pop_tri_v4:8:5829289:5832218:-1 gene:Potri.008G093400.v4.1 transcript:Potri.008G093400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G093400.v4.1 MARPSFSFSLILIFPLLFHLALSKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDHPPWSGVTCSIAGDYRVVTELEVYAVSIVGPFPTSVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVLPPEIGELKSLTHLYLSFNAFKGEIPRELANLPELRYLYLHENRFSGRIPAELGTLKNLRHLDVGNNHLVGTIRELIRSDGCFPALRNLYLNDNYLTGGVPAQLANLTSLEILHLSHNKMTGIIPVGLAHMPRLTYLYLDHNNFNGRIPDAFYKHPYLKELYVEGNAFKPGVNPIGVHKVLEVSDTDFVV >Potri.008G154100.1.v4.1 pep chromosome:Pop_tri_v4:8:10559063:10560258:-1 gene:Potri.008G154100.v4.1 transcript:Potri.008G154100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154100.v4.1 MKVHPLPKKRNITIQFYPLTSSAGGFQNKKLRRLPHIFSRILELPFRSDADVSVEENPDCFRFVAETDDNIGDMRAHTVEIHPGVIKIVIRPNGYLELSSLDDLELDMWRFRLPETTRPELASAVLADGELIVTVPKGEGEGGEGNGNNNNGEFRGGMGNNNNNARLVLVQ >Potri.005G180900.2.v4.1 pep chromosome:Pop_tri_v4:5:18707759:18708647:-1 gene:Potri.005G180900.v4.1 transcript:Potri.005G180900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180900.v4.1 MGSLQQEQQLCDQNANTSPGKSERIAALDLDGQSRPHLALIMTSRSISFSHVKLVFGFLCHHILWKKKHRAFPDLRPGFLVSLAYLDPGNLETDLQAGANHGYDLLWVILIGLIFALAIRSLAANLGVSTGKYLSELCKVEYPKFWLQAEVAVVAADIPGGMCKFDYR >Potri.016G037800.2.v4.1 pep chromosome:Pop_tri_v4:16:2313969:2315183:-1 gene:Potri.016G037800.v4.1 transcript:Potri.016G037800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G037800.v4.1 MAAKLFLTATIVVLISALIAINHECLYQPSVIEKGHGQLWEWETLSLDGATGPESFALDPLGQGPYAGISDGRIIKWEEHERRWINFAITSQKSLRAT >Potri.005G210400.2.v4.1 pep chromosome:Pop_tri_v4:5:21471860:21474554:-1 gene:Potri.005G210400.v4.1 transcript:Potri.005G210400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210400.v4.1 MTTIRRFSCNDLLRFASVNFDHLTETFNMSFYMTYLARWPDYFHVAEGPGNKVMGYIMGKVEGQGESWHGHVTAVTVASEYRRQQLAKKLMNLLEEISDKIDKAYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVGKKSIIPLKRPVTPDELEYD >Potri.002G189400.1.v4.1 pep chromosome:Pop_tri_v4:2:15133582:15144732:-1 gene:Potri.002G189400.v4.1 transcript:Potri.002G189400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189400.v4.1 MEEQQQKQSRWKLERGHYLGEISALCFLHPPSNLSSLPFLLAGTGSQLLLYNLESGKIIKSFEVFDGIRVHGITCSSSEEESSNFPSLTVSFKIAVFGEKRLKLFNLHIQTPSQVNADLALIHCLPKFTHWVLDVSFFKNSAVSSSQEERQCLAIGCSDNSVHLWDMSVSSVVLQVQSPERCLLYSMRLWGDSLETLRIASGTIFNEIIVWKVVPVEPQLGGLPSTSLLEDDMYLSCSLPDSSQLRFQQHKSAHMCRLIGHEGSIFRIAWSSDGSKLVSVSDDRSARIWAVRDELKDSDNREEKVAGPVLFGHNARVWDCCICDSVIVTAGEDCTCRVWRLDGKQLKMIKEHIGRGIWRCLYDPTSSLLITAGFDSSIKVHQVSASISQSLEGQIESKPFIDRMEIFTCRIPNSSEYIGLMDSKSEYVRCLHFTCEDTLYVATNNGYLYHARLHGTVDVKWTKLAQLSEEVPIVCMDLLSKKLPKHSNGVDDWVALGDGKGNMTIVRIMGDVFTPEVGFTVTWSAGKERQLLGTYWCKALGCRFIFTADPRGILKLWRLSDPLPSGSLTYGRTFDASLIAEFTSCFGIRIMCLDASFEDEVLVCGDLRGNLVLFPLSKGLLLDKPTLPEIKISPLCYFKGSHGISTVSNISVAKLSDTIEIRSTGGDGCICYLEYDPDQCGLEFIGMKQVKELSLVQSVSADNNCLDDLANCGYAIGFASTDFIIWNLISEAKVVQIPCGGWRRPHSYYLGDVPEAMSCFAYVKDEIIYIHRKWVPEREWKIFPQNLHTQFHGREMHSLCFVSKNTLVEANGNDFQFDRSSWIATGCEDGTVRLTRYIPGVEGWLTSKLLGEHVGGSAVRSICSVSKMHIIASDLTNLSDWTKRQNTCAGDMDNPFLLISVGAKRVLTSWLLRDRNLDKENVFIEQEKMENENGYKPSSEVSSLMSFKWLSTDMPPRNSSSRGKTKVAENIQGITKELNVNIDVTSGPLLLEKGEGYSKISYDDKYEDDWRYLAVTAFLVKCAGSRLTVCFVVVACSDATLALRALVLPHRLWFDVALLVPLSSPVLTLQHVIIPSCLPFEENIRIGNVYIVISGATDGSIAFWDLTDNIEAFVQRLSTLNIEKSINCQTRPRTGRGSQGGRWWRTLSSGVPKNRPGDGLVAIKAGERTNCNLANHPMNEASTAVSDAENCTIVCSQAVDNTHHEPEVNSVNSLPGICEIRPFHVFNNVHQSGVNSLHISDIQDIQSSENGFAFSVISGGDDQALHCLKFDLSPLSTGKDSDVVTSNLINLFTSSESMKNNCCRQSQTNKYRIRFLYHDRIISAHSSAIKGVWTDGMWVFSTGLDQRIRCWLLQDNCKLTEQAYLIISVPEPEALHARARGRNHYEIAVAGRGMQMVEFSAS >Potri.006G029500.2.v4.1 pep chromosome:Pop_tri_v4:6:1920321:1923037:-1 gene:Potri.006G029500.v4.1 transcript:Potri.006G029500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G029500.v4.1 MALLVSVPISSSSSLYGVSSTRVTLGLPSCRLPIGVLSKSFDGICLSTPVGKGLMTVKALSDSKGTSPDSGQPVSESDDEEEDVVLDKIPLDSKLQLKLEHKMKMKLGKKIRLTSKKLDRKRGMRKRGQWPPSKVNKLKNV >Potri.006G029500.3.v4.1 pep chromosome:Pop_tri_v4:6:1910789:1923065:-1 gene:Potri.006G029500.v4.1 transcript:Potri.006G029500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G029500.v4.1 MALLVSVPISSSSSLYGVSSTRVTLGLPSCRLPIGVLSKSFDGICLSTPVGKGLMTVKALSDSKGTSPDSGQPVSESDDEEEDVVLDKIPLDSKLQLKLEHKMKMKLGKKIRLTSKKLDRKRGMRKRGQWPPSKVNKLKNV >Potri.006G029500.1.v4.1 pep chromosome:Pop_tri_v4:6:1922233:1922928:-1 gene:Potri.006G029500.v4.1 transcript:Potri.006G029500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G029500.v4.1 MALLVSVPISSSSSLYGVSSTRVTLGLPSCRLPIGVLSKSFDGICLSTPVGKGLMTVKALSDSKGTSPDSGQPVSESDDEEEDVVLDKIPLDSKLQLKLEHKMKMKLGKKIRLTSKKLDRKRGMRKRGQWPPSKVNKLKNV >Potri.019G007000.1.v4.1 pep chromosome:Pop_tri_v4:19:1552667:1555109:-1 gene:Potri.019G007000.v4.1 transcript:Potri.019G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007000.v4.1 MRKIMRLVVVAIVLTAYIASNGCVVNGRSIQSEDVELEKELAAINKPSIKTIETEYGDIYDCVDINKQPAFDHPLLKDHKAKTRPSLALEKILRASRKDASLLETNPTKLGLKEGCPAGSVPLRRATKEDLRRAKSSFKRLSSFEPSNPGQGYDFAGIVTTPPANVLFKGIAARISVYQPPVSGQQSSTALIQLQTQTETKVGSIQVGWTIDPELYGDSRARLFTKWSEEHDGTVDGCYNTLCSGFVVTNPNIPIDTAFNDVSIAQRSQVFQWMMVTLDPLSQDWWLSMQDDNIRIGYWPRELFSFSGFSVGGYNATWGGLVHTETDVSPAMGSGIFEDGNYNSTCNMVKVQVNIGNTFVSPYDNPVQVLQSRCFKASKQNTHPDYRFQFGGPGGLPNSCVA >Potri.002G219900.2.v4.1 pep chromosome:Pop_tri_v4:2:20144501:20147684:1 gene:Potri.002G219900.v4.1 transcript:Potri.002G219900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219900.v4.1 MHLFCYSSFLLIWVSLLSVGKQGFLGFGGDMTTLSPLLAITPLCFCNCGSVESSKSIHYVCKTKKSRPTRRFGRMDVGKDSRLLFARSRVAAQPTEQMMFTSSNPLVDQLKEVSTYRFRTEIGGHVKISVGKINGKYAVYVEVSSLELGASDSISLMLIWGIYTSDSSCFMPLDSSSHARTRETPLLQNSCARFATELEFEAKQTPFYLSFFLKPTSSVVEIRNHNKSNFCVPIGFDSGYPTPLGLSFSTDGSMNFAFFSRNAAGCVLCLYDDSTSGKPALELDLDPYVNRSGDIWHASLEGAWTFLSYGYRCKGAALQSDADKFDAGRVLLDPYSKIIINSVTDNVSGLLPKYLGRLCKEPVFDWSDDVPPHLEMEKLVVYRVNVMRFTKDNSSQISSDAAGTFAGLIEKLSHFKNLGVNAVLLESIFPFDEQKGPYFPCHFFSPSNVYGPSNGSVAAISSMKEMVKRLHANGIEVLLEVVFTHTAEAGALQGIDDSSYYCTSITTGLDSQNALNCNHPIVQRVILDSLQHWVTEFHIDGFCFINALSLLRSFGGEYLSRPPVVEAIAFDPLFSKTKIIADCWDPKELEAKETAFPHWKKWAEMNTKFCYDIRNFLRGEGLLSDLATRLCGSGDIFSSGRGPAFSFNFITRNFGLPLVDLVSFSGDELASELSWNCGEEGPTNKTTILERRLKQIRNYLFVLFVSLGVPVLNMGDECGQSSGGSTSYGSRKPFDWNALSTGFGIQTTQFISFLSSLRMRRSDLLQKRNFLKEENIDWHGSDQNPPRWEDPSCKFLAMTLKVDNPGSGLSSESSHVEGDMFIAFNAAGRSESVTLPEVPEGMAWHRLVDTALPFPGFFSNDSEPVIRQPYEMKSHSCILLEANIHDD >Potri.002G219900.1.v4.1 pep chromosome:Pop_tri_v4:2:20144487:20147691:1 gene:Potri.002G219900.v4.1 transcript:Potri.002G219900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219900.v4.1 MTTLSPLLAITPLCFCNCGSVESSKSIHYVCKTKKSRPTRRFGRMDVGKDSRLLFARSRVAAQPTEQMMFTSSNPLVDQLKEVSTYRFRTEIGGHVKISVGKINGKYAVYVEVSSLELGASDSISLMLIWGIYTSDSSCFMPLDSSSHARTRETPLLQNSCARFATELEFEAKQTPFYLSFFLKPTSSVVEIRNHNKSNFCVPIGFDSGYPTPLGLSFSTDGSMNFAFFSRNAAGCVLCLYDDSTSGKPALELDLDPYVNRSGDIWHASLEGAWTFLSYGYRCKGAALQSDADKFDAGRVLLDPYSKIIINSVTDNVSGLLPKYLGRLCKEPVFDWSDDVPPHLEMEKLVVYRVNVMRFTKDNSSQISSDAAGTFAGLIEKLSHFKNLGVNAVLLESIFPFDEQKGPYFPCHFFSPSNVYGPSNGSVAAISSMKEMVKRLHANGIEVLLEVVFTHTAEAGALQGIDDSSYYCTSITTGLDSQNALNCNHPIVQRVILDSLQHWVTEFHIDGFCFINALSLLRSFGGEYLSRPPVVEAIAFDPLFSKTKIIADCWDPKELEAKETAFPHWKKWAEMNTKFCYDIRNFLRGEGLLSDLATRLCGSGDIFSSGRGPAFSFNFITRNFGLPLVDLVSFSGDELASELSWNCGEEGPTNKTTILERRLKQIRNYLFVLFVSLGVPVLNMGDECGQSSGGSTSYGSRKPFDWNALSTGFGIQTTQFISFLSSLRMRRSDLLQKRNFLKEENIDWHGSDQNPPRWEDPSCKFLAMTLKVDNPGSGLSSESSHVEGDMFIAFNAAGRSESVTLPEVPEGMAWHRLVDTALPFPGFFSNDSEPVIRQPYEMKSHSCILLEANIHDD >Potri.001G121600.1.v4.1 pep chromosome:Pop_tri_v4:1:9947786:9948903:-1 gene:Potri.001G121600.v4.1 transcript:Potri.001G121600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G121600.v4.1 MAGGWVKSLQCKSRAFDDVFNPNPKHLLPSSSCRKTSSKIIKDVIIETKTKKPKPKHHPINQNQQKPKPEHVSTPPTLSRSARNPDPVFPVLAELPEGHPSRNVVEIIFHTSWSNKSFPGRIEMIFKVQNGPRTVTRFEEYRDIVKTRAGLSGGTTWEENARCVADGNEMMRFYCLGPAGGVHDARGVAWVFPGGKGAVVCTFSGSGGAHESAGAGRGRRAMLVCRVVAGRVTKQIGFDSLIDDCRVGFDSVSGDNGELLVFDSRAVLPCFLIIYRL >Potri.006G190850.1.v4.1 pep chromosome:Pop_tri_v4:6:19787270:19796351:1 gene:Potri.006G190850.v4.1 transcript:Potri.006G190850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190850.v4.1 MQRQILKMKERQQKSLDSRGRKIKSMGYLEGNNEEILRAEAKKTEDIHEMAAYSKNIAFYAKYPQQNTKELFLLHYFLFLYLSSITLVQALAVKNLIQKFSTTS >Potri.001G014900.3.v4.1 pep chromosome:Pop_tri_v4:1:1051212:1053852:1 gene:Potri.001G014900.v4.1 transcript:Potri.001G014900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014900.v4.1 MGINQRLYMIMQTPFLVSRSNISLDPIAGLLKQCLKFKTLRGGKQVHAWLVTRGTDLRILSLNSKLVGMYASCGDVKSATLVFKRIRNPNVFALNWMVLASAFEGYYKEAIGYFCSMKDSVFIYNKYTFSIVLKAFVGLLDLNKGKEVHSMVKQLGFESDVCVANALVDMYSKCGCIGYARTVFDRMAKRDIVSWTSMISGYCNVGKIEEALVLFERMKLEGLEPNDFTWNALISGYARRGDSDGAFSLLSKMTREGLVPDLVTWNAMIAGFVQGERAGDAFKLFQDMLVLGVKPNLVTVAGLLPACGMVSSIQRGRAIHGLVYRLEFDISNAFIASALIDMYSECGSFKEARTVFEKIHNKNVASWNAMIGCYGKHGMVNTSIQLFERMHGEGIQANDVTLLCVLSACSHSGYVEKGLEIFWSMKERYMVDRKKEHYACVVDMLSRSGRLVDAYELVKEMPIEVTKSIAGAFFNGCMIHGRRDLAEKMIDDVTRGDLKKPGSFAMLSAIYATSGERKEVRNTMKKIVKERKAQKEPACSQVEEKDEFVGVEIEKENNEVRGAEEADEDSKEVHRDGVGIYTGIDS >Potri.001G014900.2.v4.1 pep chromosome:Pop_tri_v4:1:1051114:1053951:1 gene:Potri.001G014900.v4.1 transcript:Potri.001G014900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014900.v4.1 MGINQRLYMIMQTPFLVSRSNISLDPIAGLLKQCLKFKTLRGGKQVHAWLVTRGTDLRILSLNSKLVGMYASCGDVKSATLVFKRIRNPNVFALNWMVLASAFEGYYKEAIGYFCSMKDSVFIYNKYTFSIVLKAFVGLLDLNKGKEVHSMVKQLGFESDVCVANALVDMYSKCGCIGYARTVFDRMAKRDIVSWTSMISGYCNVGKIEEALVLFERMKLEGLEPNDFTWNALISGYARRGDSDGAFSLLSKMTREGLVPDLVTWNAMIAGFVQGERAGDAFKLFQDMLVLGVKPNLVTVAGLLPACGMVSSIQRGRAIHGLVYRLEFDISNAFIASALIDMYSECGSFKEARTVFEKIHNKNVASWNAMIGCYGKHGMVNTSIQLFERMHGEGIQANDVTLLCVLSACSHSGYVEKGLEIFWSMKERYMVDRKKEHYACVVDMLSRSGRLVDAYELVKEMPIEVTKSIAGAFFNGCMIHGRRDLAEKMIDDVTRGDLKKPGSFAMLSAIYATSGERKEVRNTMKKIVKERKAQKEPACSQVEEKDEFVGVEIEKENNEVSIKAG >Potri.001G288500.1.v4.1 pep chromosome:Pop_tri_v4:1:30074172:30074747:1 gene:Potri.001G288500.v4.1 transcript:Potri.001G288500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288500.v4.1 MIRTINARACKPHTTPLLDHEYKDDTNMKQALQSCADQYDAIIKGDIPLSLKALRSGDYKFSERGTMDAAVEAGPCEKEFTTRCRSPLSDMNRVVHDVSVVAASIVKTMITS >Potri.005G231600.7.v4.1 pep chromosome:Pop_tri_v4:5:23079796:23081799:1 gene:Potri.005G231600.v4.1 transcript:Potri.005G231600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G231600.v4.1 MEERILRIITLGIISWTTAFHLFRKFLPKRSFEFCNRLVSTVHATLAFTLASLSVEDWTCPVCPLASRPSPSQMQALAVSLSYLIYDLICCQFDKRVTIDNTIHHLVSIVGIAAGLAYGKCGSELIAALCITEISSPFLHLRELLKELGYRDTDLNLAADILFAVVFSFARMVFGPYLAWVTLTADNPLVIKAMAVGLQLVSAYWFFKIAGMMKYKLTKRVASTNLVYTEKL >Potri.008G050000.2.v4.1 pep chromosome:Pop_tri_v4:8:2943964:2945380:1 gene:Potri.008G050000.v4.1 transcript:Potri.008G050000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050000.v4.1 MTEPDTKLSLKLLVDKNANKVLFAEAGKDFVDFLFNLLSLPVGTVIRLITNATMVGCIGNLYQSIENLNQTYMQPNQDKDLLLKPHVPNHVANVPILLPDTNQAERKLYMRVKQCRCTTDCKDTICATCRNPMCYEVKFVGENNSTADSTSEGGYVKGLVTYMVTDDLSVSPMSMISGVAQLNKFNVRDFGALEERMAEFGINGGL >Potri.003G088800.1.v4.1 pep chromosome:Pop_tri_v4:3:11550166:11555720:-1 gene:Potri.003G088800.v4.1 transcript:Potri.003G088800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088800.v4.1 MACLQVCKEDKFKEGEGECTFDGTVDWHGRPAIKAKSGQWAAGIIILLNQGLATLAFFGVGVNLVLFLTRVLQQSNADAANNVSKWTGTVYIFSLVGAFLSDSYWGRYKTCAVFQVIFVIGLVILSLASHLFLIRPKGCGDELTPCGSHSSMEVSLFYLSIYLIALGNGGYQPNIATFGADQFDEDDPREGYSKVAFFSYFYLALNLGSLFSNTILGYFEDEGMWALGFWMSAGSAFAALVLFLGGTARYRHFKPSGNPLSRFGQVIIAAMKKCRVEMPPDGEEELYDVDRKDCSMNGNRKILHTDGFKFLDRAAFISSRDIDDQKRGCRNPWRLCPITQVEEVKCILRLLPIWLCTIIYSVVFTQMASLFVEQGAAMKTTISNFKIPPASMSTFDILSVAFFIFLYRRVLDPLVSSFKQTSSKGLTELQRMGVGLVIAIMAMVSAGLVECYRLKYARKDCKHCEGSSSLSIFWQAPQYALIGASEVFMYVGQLEFFNAQTPDGLKSFGSALCMTSISLGNYVSSLLVTMVVKISTEDHMPGWIPGNLNTGHLDRFYFLLAGLTTIDLVVYMACARWYKSIKLEKKCEENDHEENFRV >Potri.003G211866.1.v4.1 pep chromosome:Pop_tri_v4:3:20940375:20945089:-1 gene:Potri.003G211866.v4.1 transcript:Potri.003G211866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G211866.v4.1 MKVRKGNRTAGSSAKKSDRSILITTGSVLLGSSIFLIVLSLLGIYVFFTRWNQQKQKMVPQLHVMPEMNLQNFTYNELETATGGFKEELGRGAFGIVYRGALANEDKPLIAVKKLEKMAGEDDTEFNTEVKVIGRTNHKNLVQLVGFCNEGENRLLVYEYMSSGSLSNYIFGYTRPSWHRRMQIAFGVARGLLYLHEECSSQIIHCDIKPQNILLDESLNGRISDFGLAKLLKTDQTKTTTGIRGTKGYVAPEWFKNLPVTTKVDTYSFGILLLELVCCRKNFEINAMQEDQIVLADWACDCLKEGKLNLLVEEDEEAMEDMKRVERFVMVAIWCIQEDPSLRPGMKKVVQMLEGGVQVSVPPDPSSFISTI >Potri.006G093101.1.v4.1 pep chromosome:Pop_tri_v4:6:7090507:7092195:1 gene:Potri.006G093101.v4.1 transcript:Potri.006G093101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093101.v4.1 MMKADKSFEPDATAAFKKLKQKQKQQDVEKKARRQFKGLFDKMPGEIVDAGTDNRGEEQSTNENHQEKDGSLSCGQLVEDFFQLLGFKDARYYDKALGLGVSSIISIVWLANSGFERKKTY >Potri.011G016966.2.v4.1 pep chromosome:Pop_tri_v4:11:1567085:1568836:-1 gene:Potri.011G016966.v4.1 transcript:Potri.011G016966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G016966.v4.1 MGSLPIIGIPYRAAMSGNWKSMLHHYRERVLHVPFPVTLSEDTALHLAVYSKQEQPLKDLLEIVNDMEFLVPNETESLVTVTGSILPEIEFLKRKNKFGNTVLHEATIYGNYEAVRLLVDSNCEDLLKETNNYGETPLFTAAGFAEAEIVEFLIRSKPQQCVDDNGHLLSIHIKRTKDDLSILSNAIRGQHFETALLLLELDDSLHKLKDKDGVTALQLLAQMPTAFESGFPMGICGRLIYCCLPVKRHHEVKSQVETWLEERKRDLESGQGRNSEDLGSGSERNQRGGILKYLKVPKGIGHN >Potri.006G276900.8.v4.1 pep chromosome:Pop_tri_v4:6:26797288:26803144:1 gene:Potri.006G276900.v4.1 transcript:Potri.006G276900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276900.v4.1 MAASKLASLSILFIFALTLTAVVSVRADYDDITGSVAAVDSSAFKIELDQLKSKIHALESHIDEKTKELKGKDDMIALKEKIIQEKVDSIGSLQSELSSLQNNGKTDAQEQVRKAHARAGELEKQVDKLAKELETQQKKKEALEARASEAEKKISELNLKLADLAKINEEQKSKIRKTERALKIAEEELLKTKSEATSKAKELMEVHGAWLPPWLAVQLVHWQTHWNEHGKPVMELAIQRALEKKAQAEKWAKPHVETIKTKWVPAIKEQWVVIATQVEPHVQSLTVKTVEIYEASKTTITAHIIRVQEIVDPCFQEAKKFSEPYIDQVATVTKPHVDKVRVVLKPYTKEAVDAYGKFLESATTYHNQVQSTVQETLEKHELTKPLATKDSIWFIASALFTLPIFILARVCSSIFCKKSKKPVRNAQTSHSRRKAKRGHPDK >Potri.006G276900.9.v4.1 pep chromosome:Pop_tri_v4:6:26798069:26803163:1 gene:Potri.006G276900.v4.1 transcript:Potri.006G276900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276900.v4.1 MIALKEKIIQEKVDSIGSLQSELSSLQNNGKTDAQEQVRKAHARAGELEKQVDKLAKELETQQKKKEALEARASEAEKKISELNLKLADLAKINEEQKSKIRKTERALKIAEEELLKTKSEATSKAKELMEVHGAWLPPWLAVQLVHWQSLAQTHWNEHGKPVMELAIQRALEKKAQAEKWAKPHVETIKTKWVPAIKEQWVVIATQVEPHVQSLTVKTVEIYEASKTTITAHIIRVQEIVDPCFQEAKKFSEPYIDQVATVTKPHVDKVRVVLKPYTKEAVDAYGKFLESATTYHNQVQSTVQETLEKHELTKPLATKDSIWFIASALFTLPIFILARVCSSIFCKKSKKPVRNAQTSHSRRKAKRGHPDK >Potri.006G276900.2.v4.1 pep chromosome:Pop_tri_v4:6:26797279:26803126:1 gene:Potri.006G276900.v4.1 transcript:Potri.006G276900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276900.v4.1 MAASKLASLSILFIFALTLTAVVSVRADYDDITGSVAAVDSSAFKIELDQLKSKIHALESHIDEKTKELKGKDDMIALKEKIIQEKVDSIGSLQSELSSLQNNGKTDAQEQVRKAHARAGELEKQVDKLAKELETQQKKKEALEARASEAEKKISELNLKLADLAKINEEQKSKIRKTERALKIAEEELLKTKSEATSKAKELMEVHGAWLPPWLAVQLVHWQSLAQTHWNEHGKPVMELAIQRALEKKAQAEKWAKPHVETIKTKWVPAIKEQWVVIATQVEPHVQSLTVKTVEIYEASKTTITAHIIRVQEIVDPCFQEAKKFSEPYIDQVATVTKPHVDKVRVVLKPYTKEAVDAYGKFLESATTYHNQVQSTVQETLEKHELTKPLATKDSIWFIASALFTLPIFILARVCSSIFCKKSKKPVRNAQTSHSRRKAKRGHPDK >Potri.003G145500.1.v4.1 pep chromosome:Pop_tri_v4:3:16039668:16043928:1 gene:Potri.003G145500.v4.1 transcript:Potri.003G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145500.v4.1 MEETTHHGIRTPLMSRDEKDEDNTDNNLPSSSKSQTSEINQAHDEENSPVKQVALTVPTTDDPSLPVLTFRMWVLGTLSCVLLSFLNQFFWYRTEPLSITAISAQIAVVPLGQLMAAKITDRVFFKGTRCEFTLNPGPFNVKEHVLITIFANSGAGSVYAIHIVTVVKAFYKKNITFFVSFIVIITTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERSKGGVTRTQFFLIAFTCSFAYYVFPGYLFEMLTSLSWICWIFPKSILAQQLGSGLYGLGIGAFGLDWSTISSYLGSPLASPWFATANVAAGFVFVMYVLTPLCYWFNVYKAKTFPIFSDDLFTATGQEYNISAIIDSNFHLDLAAYDREGPLYLSTFFAVTYGVGFAALTATIVHVALFHGREIWEQSMASFQEKTMDIHTRHMRKYNQVPEWWFVCILLVNIALTIFACEYYKDQLQLPWWGVLLACGIAITFTLPIGIITAITNQSPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTIIACITYLGTAWWLLETITDICDSTASDSVWTCPSDHVFYDASVIWGLIGPRRIFGNLGTYEAVNWFFLVGAIAPILVWLAAKAFPQQEWIRLINMPVLIGATGMMPPATAVNYTTWVLVGFLSGFVVYRYKPDLWQRYNYVLSGSLDAGLAFMGVLLYFCLGLENISINWWGNDLDGCPLASCPTAKGVSVEGCPVFT >Potri.003G145500.4.v4.1 pep chromosome:Pop_tri_v4:3:16040127:16043895:1 gene:Potri.003G145500.v4.1 transcript:Potri.003G145500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G145500.v4.1 MWVLGTLSCVLLSFLNQFFWYRTEPLSITAISAQIAVVPLGQLMAAKITDRVFFKGTRCEFTLNPGPFNVKEHVLITIFANSGAGSVYAIHIVTVVKAFYKKNITFFVSFIVIITTQVLGFGWAGIFRRYLVEPAAMWWPANLVQVSLFRALHEKEERSKGGVTRTQFFLIAFTCSFAYYVFPGYLFEMLTSLSWICWIFPKSILAQQLGSGLYGLGIGAFGLDWSTISSYLGSPLASPWFATANVAAGFVFVMYVLTPLCYWFNVYKAKTFPIFSDDLFTATGQEYNISAIIDSNFHLDLAAYDREGPLYLSTFFAVTYGVGFAALTATIVHVALFHGREIWEQSMASFQEKTMDIHTRHMRKYNQVPEWWFVCILLVNIALTIFACEYYKDQLQLPWWGVLLACGIAITFTLPIGIITAITNQSPGLNIITEYIIGYIYPGYPVANMCFKVYGYISMTQAITFLQDFKLGHYMKIPPRTMFMAQVVGTIIACITYLGTAWWLLETITDICDSTASDSVWTCPSDHVFYDASVIWGLIGPRRIFGNLGTYEAVNWFFLVGAIAPILVWLAAKAFPQQEWIRLINMPVLIGATGMMPPATAVNYTTWVLVGFLSGFVVYRYKPDLWQRYNYVLSGSLDAGLAFMGVLLYFCLGLENISINWWGNDLDGCPLASCPTAKGVSVEGCPVFT >Potri.013G043500.1.v4.1 pep chromosome:Pop_tri_v4:13:3009754:3016340:1 gene:Potri.013G043500.v4.1 transcript:Potri.013G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G043500.v4.1 MMLLQQTTSVPRLVVFSKSDFCFKPCSSSSTRLSFMDKHTNSSSSSSSLKQSLKQYQSPVVACQASTDTNDTSFRKDYLPIHGVSEVIVGVLGGGQLSRMLCQAASEIAIKVMVLDPLVNCPASSIAYDHMVGSFDDSATVQEFAKRCAVLTVDIEHVDVATMEKLEQQGVDCQPKASTIRIIQDKYLQKVHFSQHGIPLPDFMQIDDLEGAKRAGDLFGYPLMLKSRRLAYDGRGNAVAKNEVELTSAVNALGGFARGLYVEKWTPFVKELAVIVGRGRDNSISCYPVVETIHKENICHIVKAPAHVPWKIRKLAIDVAHKAVSSLEGAGVFAVELFLTKDGQILLNEVAPRPHNSGHHTIESCYTSQFEQHLRAVLGLPLGDPSMKTPAAIMYNLLGEDEGEPGFQLAHQLIGRALNMQGAAVHWYEKPEMRKQRKMGHITIVGSSAGIVEAQLKSVLKEEGSETQTAVTPCVGIIMGSDSDLPIMKDAARILNTFGVPHEVRIVSAHRTPEMMFSYALSARDRGIQIIIAGAGGAAHLPGMVASLTPLPVIGVPVRASALDGMDSLLSIVQMPRGVPVATVAINNATNAGLLAVRMLGVGDADLLARMSQYQEDTRDDVLKKAEKLQTDGWESYLNP >Potri.004G231200.4.v4.1 pep chromosome:Pop_tri_v4:4:23478183:23484419:-1 gene:Potri.004G231200.v4.1 transcript:Potri.004G231200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231200.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSMLMDAWKNTPRNWVGADPCGGKWEGISCYNSRVTWITLAAEGLTGELPGDISYLSELEVLDLSYNTGLSGTLPASIVNLKKLKNLKLVGCSFYGPIPELIGSLQLLESLDLNSNRFTGQIPHSIGNLSKLFLLDLSYNQLDGAIPVSSGTTSGLNMLVNTKHFHLGRNRLSGTIPKELFRSDMTLIHVLLHDNNLTGSIPSTLGLVQTLEAIRFEGNSLTGPVPPNLNNLTTVKTLILSNNKFTGPVPNLTGMAYLSYLMMENTGLEGQIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQLEAIDMRNNLISFYSETPEQRNNVDVILVGNPVCERTEATEHYCTVHQANSSFLLPCTSDQISSPNSKFSYPYTGVLFFRPPFLESRNATSYRCLVEESLMHSFKNSRLPVDSVYVNCPTNDSLGYLESNVSVFPSGQNHFNTTTISEIGSVLNLQTIENPDIFGPSHFKGAAYPYFDGKLTVSNKLWSTGSIIGAAAGGASFLLLLLLAGVYAYRLKKRRERASEQKNHFAYLDLKKSDRVPQLKGARCFFFDEITKSTNNFSEANHIGSGGYGMVYRGMLPTGQLIAIKRCRQGSVQGGLEFNAEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLNVALGAARGLAYLHELVKPRIIHRDVKSANILLDESLNAKVADFGLSKPMDNSELILATTQVKGTRGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYSLHELLDPSIGLDTKPEGLDKTVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.004G231200.6.v4.1 pep chromosome:Pop_tri_v4:4:23478395:23484466:-1 gene:Potri.004G231200.v4.1 transcript:Potri.004G231200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G231200.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSMLMDAWKNTPRNWVGADPCGGKWEGISCYNSRVTWITLAAEGLTGELPGDISYLSELEVLDLSYNTGLSGTLPASIVNLKKLKNLKLVGCSFYGPIPELIGSLQLLESLDLNSNRFTGQIPHSIGNLSKLFLLDLSYNQLDGAIPVSSGTTSGLNMLVNTKHFHLGRNRLSGTIPKELFRSDMTLIHVLLHDNNLTGSIPSTLGLVQTLEAIRFEGNSLTGPVPPNLNNLTTVKTLMMENTGLEGQIPPTLFDLPSLQTLILRNNQLNGTLDIARSSSSQLEAIDMRNNLISFYSETPEQRNNVDVILVGNPVCERTEATEHYCTVHQANSSFLLPCTSDQISSPNSKFSYPYTGVLFFRPPFLESRNATSYRCLVEESLMHSFKNSRLPVDSVYVNCPTNDSLGYLESNVSVFPSGQNHFNTTTISEIGSVLNLQTIENPDIFGPSHFKGAAYPYFDGKLTVSNKLWSTGSIIGAAAGGASFLLLLLLAGVYAYRLKKRRERASEQKNHFAYLDLKKSDRVPQLKGARCFFFDEITKSTNNFSEANHIGSGGYGMVYRGMLPTGQLIAIKRCRQGSVQGGLEFNAEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLNVALGAARGLAYLHELVKPRIIHRDVKSANILLDESLNAKVADFGLSKPMDNSELILATTQVKGTRGYIDPEYQETLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYSLHELLDPSIGLDTKPEGLDKTVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGLNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.016G067400.1.v4.1 pep chromosome:Pop_tri_v4:16:4760042:4763483:-1 gene:Potri.016G067400.v4.1 transcript:Potri.016G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067400.v4.1 MNSAIQCLAHSPKLVDCFLGDYRKEINQENPIGTKGELALAFGDLLSKLWTPGRTPVAPAIFKRKLADFSPQFIGYNQHDSQGQYQSTLVCPACNKKSVTYDPFMYLSLPLPSTTMRTMTLTVLSTDGRNLPSPITVTVPKCGRLKDLIGALSIACSSRDIDEMLMVVEIYKNKIFRPTTKPSDSVALIRDEDKLVAYRLPKDNQNSLLVVFMHERVERPCEFERAIPNLKLFGIPFVARLEDLSTGFDLHKLYLKLHSPLLMPAEDACDDYDDVGITTSEDSTMENVLSPTIYTGLDTGTEDDQCSSSDFRFYLKDGLRSTEMKMNDPLPVPKFNDDLEVYVTWSENTIEKYDTCLLNLEDFPIDDFELSTYISQKDSQFSNHYVLYATSNHHEGMGCGHYDASCIY >Potri.006G190100.1.v4.1 pep chromosome:Pop_tri_v4:6:19714199:19715783:-1 gene:Potri.006G190100.v4.1 transcript:Potri.006G190100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G190100.v4.1 MSPIDSPRMSHEQEGYDHLDDYEEEAYSANGCCCFRLFTFNLRRNNEREYDILNENGDHRDPWLVRKLKKVKEASEIVAGPKWKTFIRKIGAYIKKRKQRNNQAPYDAESYALNFDREEDAV >Potri.002G010100.4.v4.1 pep chromosome:Pop_tri_v4:2:635302:643333:1 gene:Potri.002G010100.v4.1 transcript:Potri.002G010100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010100.v4.1 MIEENKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSTFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSCWDKHVGYRNVNVLPLPNNHMLLWKQVDSGEYEGKEEELEGEQLSYEKWGLENFLESRELSDVLFIVGKDKRLVPAHKVILQASGNFPLSLPNEDVIQLQNISYPILHALLQYIYTGQTQISEAQLGSLRALSLQFELMPLVRQCEETAERFKLNKKLFDSGKSVELSYPSFQPHCCMAFPSQLPMNVKRLRQLQSTGDYSDINIYIEGHGLVAQLHKVILSLWSVPFSKMFTNGMSESSSSEVFLSDVSPEAFKVMLEFLYSGVLSLEDSVEFGTLLLQVLLLADQFGVTHLYQECCKTLLECLSEVAKPYITNNLIQKIFVESYLCFVDTVCFLLTRIKRRRSGLRFRASENKRSDPFMTLN >Potri.002G010100.5.v4.1 pep chromosome:Pop_tri_v4:2:635391:643313:1 gene:Potri.002G010100.v4.1 transcript:Potri.002G010100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010100.v4.1 MIEENKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSTFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSCWDKHVGYRNVNVLPLPNNHMLLWKQVDSGEYEGKEEELEGEQLSYEKWGLENFLESRELSDVLFIVGKDKRLVPAHKVILQASGNFPLSLPNEDVIQLQNISYPILHALLQYIYTGQTQISEAQLGSLRALSLQFELMPLVRQCEETAERFKLNKKLFDSGKSVELSYPSFQPHCCMAFPSQLPMNVKRLRQLQSTGDYSDINIYIEGHGLVAQLHKVILSLWSVPFSKMFTNGMSESSSSEVFLSDVSPEAFKVMLEFLYSGVLSLEDSVEFGTLLLQVLLLADQFGVTHLYQECCKTLLECLSEGWSSPSGRRTQYVQSFKRCHQFHHVNSLKKHARGNLLCTSIIVPPQALTSSY >Potri.002G010100.1.v4.1 pep chromosome:Pop_tri_v4:2:635148:643275:1 gene:Potri.002G010100.v4.1 transcript:Potri.002G010100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010100.v4.1 MIEENKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSTFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSCWDKHVGYRNVNVLPLPNNHMLLWKQVDSGEYEGKEEELEGEQLSYEKWGLENFLESRELSDVLFIVGKDKRLVPAHKVILQASGNFPLSLPNEDVIQLQNISYPILHALLQYIYTGQTQISEAQLGSLRALSLQFELMPLVRQCEETAERFKLNKKLFDSGKSVELSYPSFQPHCCMAFPSQLPMNVKRLRQLQSTGDYSDINIYIEGHGLVAQLHKVILSLWSVPFSKMFTNGMSESSSSEVFLSDVSPEAFKVMLEFLYSGVLSLEDSVEFGTLLLQVLLLADQFGVTHLYQECCKTLLECLSEDSVCPILQAVSSIPSCKLIEETCERKFAMHFDYCTTASLDFILLDESNFSNIVQHQDLTVTSEERVLNAIIMWGMRARELCGWEEVSELLALSTPDLLFKDRLQSLDDFLPFVRFPLMPHDLLKKLGQSNLGRHVPIFHDLVREGISYVEFESLRPGNEQNLRFQHRRSSYKELQYISDGDSNGVLYFAGTSYGEHQWINPLLAKRITITASSPPSRYTDPKALVSRTYQGTCFAGPRVEGGQIHAWWMIDIGQDHQLMCNHYTLRQDGSRAFIRCWNLQGSLDGKTWTNLRVHENDQTMCKAGQFASWPITGPQALLPFRFFRVVLTGPTTDGSNPHNLCICFLELYGYFH >Potri.002G010100.6.v4.1 pep chromosome:Pop_tri_v4:2:635627:643168:1 gene:Potri.002G010100.v4.1 transcript:Potri.002G010100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010100.v4.1 MIEENKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSTFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSCWDKHVGYRNVNVLPLPNNHMLLWKQVDSGEYEGKEEELEGEQLSYEKWGLENFLESRELSDVLFIVGKDKRLVPAHKVILQASGNFPLSLPNEDVIQLQNISYPILHALLQYIYTGQTQISEAQLGSLRALSLQFELMPLVRQCEETAERFKLNKKLFDSGKSVELSYPSFQPHCCMAFPSQLPMNVKRLRQLQSTGDYSDINIYIEGHGLVAQLHKVILSLWSVPFSKMFTNGMSESSSSEVFLSDVSPEAFKVMLEFLYSGVLSLEDSVEFGTLLLQVLLLADQFGVTHLYQECCKTLLECLSEGWSSPSGRRSYNKCFLLCTSLMDDEADKFGNVVGYC >Potri.002G010100.2.v4.1 pep chromosome:Pop_tri_v4:2:635391:643473:1 gene:Potri.002G010100.v4.1 transcript:Potri.002G010100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010100.v4.1 MIEENKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSTFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSCWDKHVGYRNVNVLPLPNNHMLLWKQVDSGEYEGKEEELEGEQLSYEKWGLENFLESRELSDVLFIVGKDKRLVPAHKVILQASGNFPLSLPNEDVIQLQNISYPILHALLQYIYTGQTQISEAQLGSLRALSLQFELMPLVRQCEETAERFKLNKKLFDSGKSVELSYPSFQPHCCMAFPSQLPMNVKRLRQLQSTGDYSDINIYIEGHGLVAQLHKVILSLWSVPFSKMFTNGMSESSSSEVFLSDVSPEAFKVMLEFLYSGVLSLEDSVEFGTLLLQVLLLADQFGVTHLYQECCKTLLECLSEDSVCPILQAVSSIPSCKLIEETCERKFAMHFDYCTTASLDFILLDESNFSNIVQHQDLTVTSEERVLNAIIMWGMRARELCGWEEVSELLALSTPDLLFKDRLQSLDDFLPFVRFPLMPHDLLKKLGQSNLGRHVPIFHDLVREGISYVEFESLRPGNEQNLRFQHRRSSYKELQYISDGDSNGVLYFAGTSYGEHQWINPLLAKRITITASSPPSRYTDPKALVSRTYQGTCFAGPRVEGGQIHAWWMIDIGQDHQLMCNHYTLRQDGSRAFIRCWNLQGSLDGKTWTNLRVHENDQTMCKAGQFASWPITGPQALLPFRFFRVVLTGPTTDGSNPHNLCICFLELYGYFH >Potri.002G010100.3.v4.1 pep chromosome:Pop_tri_v4:2:635313:643280:1 gene:Potri.002G010100.v4.1 transcript:Potri.002G010100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G010100.v4.1 MIEENKFLTVAPFQCAWRKDLKFREAGRGCVAFDAFAHNDVTVVFRENVGSQHYHYKRDNSPHYTVILGSHRNRRLKIEVDGKTVVDEEGVALCCSSTFQSYWISIYDGLISVGKGRYPFQNLVFQWLDSNPNCSVRYVGLSCWDKHVGYRNVNVLPLPNNHMLLWKQVDSGEYEGKEEELEGEQLSYEKWGLENFLESRELSDVLFIVGKDKRLVPAHKVILQASGNFPLSLPNEDVIQLQNISYPILHALLQYIYTGQTQISEAQLGSLRALSLQFELMPLVRQCEETAERFKLNKKLFDSGKSVELSYPSFQPHCCMAFPSQLPMNVKRLRQLQSTGDYSDINIYIEGHGLVAQLHKVILSLWSVPFSKMFTNGMSESSSSEVFLSDVSPEAFKVMLEFLYSGVLSLEDSVEFGTLLLQVLLLADQFGVTHLYQECCKTLLECLSEDSVCPILQAVSSIPSCKLIEETCERKFAMHFDYCTTASLDFILLDESNFSNIVQHQDLTVTSEERVLNAIIMWGMRARELCGWEEVSELLALSTPDLLFKDRLQSLDDFLPFVRFPLMPHDLLKKLGQSNLGRHVPIFHDLVREGISYVEFESLRPGNEQNLRFQHRRSSYKELQYISDGDSNGVLYFAGTSYGEHQWINPLLAKRITITASSPPSRYTDPKALVSRTYQGTCFAGPRVEGGQIHAWWMIDIGQDHQLMCNHYTLRQDGSRAFIRCWNLQGSLDGKTWTNLRVHENDQTMCKAGQFASWPITGPQALLPFRFFRVVLTGPTTDGSNPHNLCICFLELYGYFH >Potri.009G159101.1.v4.1 pep chromosome:Pop_tri_v4:9:12340824:12342219:-1 gene:Potri.009G159101.v4.1 transcript:Potri.009G159101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159101.v4.1 MKLRSLSLDDRLRIAVNVAWCLNYLHNERAIPHGNLKSTNILLEPPNMNPLLTDYSLHRILTSAGTAEQVLNAGALGYRPPEFASSTKPCPSLSSDVYAFGVILLELLTGKGSPEIVSADPGVVDLTDWERLLSEENRSSECFDKLLMDTPNVKAPRVLDEMLQVALRCILPASERPDMKTVFEDLSTVAL >Potri.011G051800.7.v4.1 pep chromosome:Pop_tri_v4:11:4133824:4134789:-1 gene:Potri.011G051800.v4.1 transcript:Potri.011G051800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051800.v4.1 MEFEKYFTQGWKSVSSAATDSENPSGCFDCNICFDFAHEPVVTLCGHLYCWPCIYKWLHVQSASLASDEHPQCPVCKADISHTTMIPLYGRGQGSTEAEGKTPYRGMIIPPRPSACGAQGVVSNTSNTGQRLPYRNPYRSHNYNANPYGSFEEASPSPLLNLGDPTMTGLQQPVVGMFREMVYARVFGPFPNSYHLMGTGSPRLRRHELMADKSLNRISIFLFCCFLLCLIVF >Potri.011G051800.2.v4.1 pep chromosome:Pop_tri_v4:11:4133537:4136662:-1 gene:Potri.011G051800.v4.1 transcript:Potri.011G051800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051800.v4.1 MEFEKYFTQGWKSVSSAATDSENPSGCFDCNICFDFAHEPVVTLCGHLYCWPCIYKWLHVQSASLASDEHPQCPVCKADISHTTMIPLYGRGQGSTEAEGKTPYRGMIIPPRPSACGAQGVVSNTSNTGQRLPYRNPYRSHNYNANPYGSFEEASPSPLLNLGDPTMTGLQQPVVGMFREMVYARVFGPFPNSYHLMGTGSPRLRRHELMADKSLNRISIFLFCCFLLCLIVF >Potri.011G051800.6.v4.1 pep chromosome:Pop_tri_v4:11:4133787:4136662:-1 gene:Potri.011G051800.v4.1 transcript:Potri.011G051800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G051800.v4.1 MEFEKYFTQGWKSVSSAATDSENPSGCFDCNICFDFAHEPVVTLCGHLYCWPCIYKWLHVQSASLASDEHPQCPVCKADISHTTMIPLYGRGQGSTEAEGKTPYRGMIIPPRPSACGAQGVVSNTSNTGQRLPYRNPYRSHNYNANPYGSFEEASPSPLLNLGDPTMTGLQQPVVGMFREMVYARVFGPFPNSYHLMGTGSPRLRRHELMADKSLNRISIFLFCCFLLCLIVF >Potri.002G061650.10.v4.1 pep chromosome:Pop_tri_v4:2:4261200:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSYAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIGAENKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.7.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIDKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.12.v4.1 pep chromosome:Pop_tri_v4:2:4261172:4267419:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIGAENKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.6.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIDKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.8.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSYAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIGAENKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.2.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIGAENKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.4.v4.1 pep chromosome:Pop_tri_v4:2:4261783:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIGAENKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.11.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEAL >Potri.002G061650.1.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIGAENKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.5.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIDKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.3.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSCEFEGLKFSCSSDAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIGAENKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.002G061650.9.v4.1 pep chromosome:Pop_tri_v4:2:4261165:4267477:-1 gene:Potri.002G061650.v4.1 transcript:Potri.002G061650.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G061650.v4.1 MSEEAEEIINLRSQITFLQNRVQELEEENAKFSSRLSSCRCDELQEKKPKEKCENLGEERGEMNSGCKKSGRKKATPKTPGYDTRIMNHHPRRYVALKVMYFGQRFYGFSLEAQMDPTVESEIFKALETTRLLIGDRKEIQYSRCGRTDKGVSAVGQVIALYLRSKLKDIDAHNKHSGETVPEEQDGQPEGEIDYVRVLNGVLPKDIRIVGWCPVPSDFSARFSCLSREYKYFFWNDNLNLLVMETAGKKLIGEHDFRNFCKMDAVKVHNYRRNVMSFEISPCDMRFEGHQLYAIKIKGSAFLWHQVRCMVAVLFMIGQGLESPEVIDALLDTRTLRKPQYLMAPEIPLVLLSYAGQALHVHLENECRLYHLQAAIFQEALLSHLPLSIGAENKDSSSNGVTRKKASHVPLMSRETEPSYEERRAKLETVKLRA >Potri.018G055001.1.v4.1 pep chromosome:Pop_tri_v4:18:5464602:5472225:1 gene:Potri.018G055001.v4.1 transcript:Potri.018G055001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055001.v4.1 MNGGGSEEAAEAVAAAPVPLEWKFAQVFGERTAGEEVQEVDIISAIEFDRTGDHLATGDRGGRVVLFERTDKRDHGGNRRDLERMDFPIIRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQAANGALFLLSTNDKTIKFWKVHEKKVKKICDMNVDPAKAAGNGPVVGPSIPTSSKPYTANGGCLDKPLGLSNDFSFPLGGVPSLHLPVVLTSSEISLVPRCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNILAYSSSRGSIRLVDMRQSALCDSHSKLFEEQEVPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHENLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSEGSTEATTLEASKNPMRKQVQTPSRPSRSLGTLSHGFRRGADTSGVDTNGNAFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >Potri.018G055001.2.v4.1 pep chromosome:Pop_tri_v4:18:5464602:5472416:1 gene:Potri.018G055001.v4.1 transcript:Potri.018G055001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055001.v4.1 MNGGGSEEAAEAVAAAPVPLEWKFAQVFGERTAGEEVQEVDIISAIEFDRTGDHLATGDRGGRVVLFERTDKRDHGGNRRDLERMDFPIIRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQAANGALFLLSTNDKTIKFWKVHEKKVKKICDMNVDPAKAAGNGPVVGPSIPTSSKPYTANGGCLDKPLGLSNDFSFPLGGVPSLHLPVLTSSEISLVPRCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNILAYSSSRGSIRLVDMRQSALCDSHSKLFEEQEVPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHENLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSEGSTEATTLEASKNPMRKQVQTPSRPSRSLGTLSHGFRRGADTSGVDTNGNAFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >Potri.018G055001.3.v4.1 pep chromosome:Pop_tri_v4:18:5464526:5471738:1 gene:Potri.018G055001.v4.1 transcript:Potri.018G055001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G055001.v4.1 MNGGGSEEAAEAVAAAPVPLEWKFAQVFGERTAGEEVQEVDIISAIEFDRTGDHLATGDRGGRVVLFERTDKRDHGGNRRDLERMDFPIIRHPEFRYKTEFQSHEPEFDYLKSLEIEEKINKIRWCQAANGALFLLSTNDKTIKFWKVHEKKVKKICDMNVDPAKAAGNGPVVGPSIPTSSKPYTANGGCLDKPLGLSNDFSFPLGGVPSLHLPVLTSSEISLVPRCRRIYAHAHDYHINSISNNSDGETFISADDLRINLWNLEISNQSFNIVDVKPANMEDLTEVITSAEFHPTHCNILAYSSSRGSIRLVDMRQSALCDSHSKLFEEQEVPGSRSFFTEIIASISDIKFAKDGRYILSRDYMTLKLWDINMDSGPVSTFQVHENLRPKLCDLYENDSIFDKFECCLSGDGLRVATGSYSNLFRVFGCSEGSTEATTLEASKNPMRKQVQTPSRPSRSLGTLSHGFRRGADTSGVDTNGNAFDFTTKLLHLAWHPTENSLACAASNSLYMYYA >Potri.006G268450.1.v4.1 pep chromosome:Pop_tri_v4:6:26291266:26294323:1 gene:Potri.006G268450.v4.1 transcript:Potri.006G268450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G268450.v4.1 MIMKKYSLNPVLSSFFFLSLMILFSEMGVAQNTTSTIPVNVGVVLDLASLEANIALSCINMALSDFYASHGDYKTRLVLNTRDSKKDVIGAAAAALDLIKNVEVQAILGPTTSMQANFVIDLGEKAHVPIISFSATSPSLTSIGSSYFLRATQNDSAQVNAISAIVQAFGWKEAVPIYIDNEYGEGIIPYLTDALHVVDARVPYRSVISPSATDVQIVEELNKLMTMLGYCHLVAIPHH >Potri.003G212600.1.v4.1 pep chromosome:Pop_tri_v4:3:20980982:20983878:1 gene:Potri.003G212600.v4.1 transcript:Potri.003G212600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G212600.v4.1 MSRTVKREAGSTSNGNPGDLNQEPREMKRERVTRSRGKSGVEEPNQQMDRRVLRSMYRTLQNRIKDKRDDLTRHDLDRFNTMIKEVEDLHKFVQKPREQVADAEALLGLANTLVSSVKSQSNEGITPADFVSHLIKEFGQQTRSLDNDEDAPVSIKWKDLGLLVSPIFRRCTGVSTMLGPMNTELKQRKAAVHRKRTRPAEKARPEEVDDAGGEKKTDTDKNMKIIFDILKEKKSVRLENLILNRRSFAETVENLFALSFLVKDGRVKIVVDESGCHFVSPRNAPAPSSVMSGEVAYRHFVFRFDFRDWKLMKGVVPDGEELMPHRESSGASQVEPDANNTEGTRSRTPIRKFSRNRGLVVQEDSVVVEDSPDIDDDVDARATGLMRCRRKLA >Potri.002G114000.3.v4.1 pep chromosome:Pop_tri_v4:2:8648311:8651517:-1 gene:Potri.002G114000.v4.1 transcript:Potri.002G114000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114000.v4.1 MSRRSSKHSRRYGESGGIGAPFGFDSQPRSSPYLPIALILLGAVFLFAYLYSSPGQFGGNKQAFNKVQGDFSCTVEVQEAIPILKKAYGDSMHKVLHIGPNTCSVVSHLLKEEETEAWGVEPYDIEDADGNCKALVRRGIVRVADIKFPLPYRPKSFSLVIVSDAVDYLSPRYLNKTIPDLARVSADGLVIFTGLPGQHRAKVAEVTKFGRAAKLRSSTWWVRFFLQTSLEENEAAIKKFQQAATKSSYHPNCQIFHLKSFN >Potri.002G114000.2.v4.1 pep chromosome:Pop_tri_v4:2:8648268:8651525:-1 gene:Potri.002G114000.v4.1 transcript:Potri.002G114000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114000.v4.1 MSRRSSKHSRRYGESGGIGAPFGFDSQPRSSPYLPIALILLGAVFLFAYLYSSPGQFGGNKQAFNKVQGDFSCTVEVQEAIPILKKAYGDSMHKVLHIGPNTCSVVSHLLKEEETEAWGVEPYDIEDADGNCKALVRRGIVRVADIKFPLPYRPKSFSLVIVSDAVDYLSPRYLNKTIPDLARVSADGLVIFTGLPGQHRAKVAEVTKFGRAAKLRSSTWWVRFFLQTSLEENEAAIKKFQQAATKSSYHPNCQIFHLKSFN >Potri.002G114000.4.v4.1 pep chromosome:Pop_tri_v4:2:8648268:8651525:-1 gene:Potri.002G114000.v4.1 transcript:Potri.002G114000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114000.v4.1 MSRRSSKHSRRYGESGGIGAPFGFDSQPRSSPYLPIALILLGAVFLFAYLYSSPGQFGGNKQAFNKVQGDFSCTVEVQEAIPILKKAYGDSMHKVLHIGPNTCSVVSHLLKEEETEAWGVEPYDIEDADGNCKALVRRGIVRVADIKFPLPYRPKSFSLVIVSDAVDYLSPRYLNKTIPDLARVSADGLVIFTGLPGQHRAKVAEVTKFGRAAKLRSSTWWVRFFLQTSLEENEAAIKKFQQAATKSSYHPNCQIFHLKSFN >Potri.002G114000.5.v4.1 pep chromosome:Pop_tri_v4:2:8648311:8650315:-1 gene:Potri.002G114000.v4.1 transcript:Potri.002G114000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114000.v4.1 MNRVHWRFKCQESCFHYGYCYRCDFSCTVEVQEAIPILKKAYGDSMHKVLHIGPNTCSVVSHLLKEEETEAWGVEPYDIEDADGNCKALVRRGIVRVADIKFPLPYRPKSFSLVIVSDAVDYLSPRYLNKTIPDLARVSADGLVIFTGLPGQHRAKVAEVTKFGRAAKLRSSTWWVRFFLQTSLEENEAAIKKFQQAATKSSYHPNCQIFHLKSFN >Potri.006G094000.2.v4.1 pep chromosome:Pop_tri_v4:6:7138778:7139224:-1 gene:Potri.006G094000.v4.1 transcript:Potri.006G094000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094000.v4.1 MSVHEMSHLDLEQGTHHCSVAGSDISSFSDAENGSCYSQFYSTTAGSYDDYSFACVSEPEGIDGVVLDSRRVSSVSDCSVEVEIENRVPEIKVHLSKVERDCRICHLGLESNSHESGVPIELGCSCKNDLAAAHKQCAEAWFRIRGNK >Potri.006G094000.1.v4.1 pep chromosome:Pop_tri_v4:6:7136492:7139609:-1 gene:Potri.006G094000.v4.1 transcript:Potri.006G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094000.v4.1 MSVHEMSHLDLEQGTHHCSVAGSDISSFSDAENGSCYSQFYSTTAGSYDDYSFACVSEPEGIDGVVLDSRRVSSVSDCSVEVEIENRVPEIKVHLSKVERDCRICHLGLESNSHESGVPIELGCSCKNDLAAAHKQCAEAWFRIRGNKTCEICHSTARNVVLASDIESIEHLNETNNGMDTATTAVSASIPTAETRSFWQGHRFLNFLLACVVFAFVLSWLFHFNVPSS >Potri.003G187032.2.v4.1 pep chromosome:Pop_tri_v4:3:19207383:19213639:1 gene:Potri.003G187032.v4.1 transcript:Potri.003G187032.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G187032.v4.1 MILSPAKLGADVVVHSISKYISGEADIIAGAVCGPADIVDEMRDLHGGPLMFLGPTMNPKLAFEISGRIPHLSMRMKKHCKRAMKYVTKMKELDPNLDVLYPGLKEHPQHSLLKSMSNKDYGFGGVFCIDMKTEGRAYRLMDKLQNSTKFGFMGVSLGYYETLMTCSSRSTNSMMDSKEKESAGISPGLVRFSVGYVGTFEQKWSQFTKAYSEM >Potri.007G084100.1.v4.1 pep chromosome:Pop_tri_v4:7:10895604:10896513:1 gene:Potri.007G084100.v4.1 transcript:Potri.007G084100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G084100.v4.1 MINLLCSIVEISEDELLRQEFAHLPKIIAAFAEILWADDETLATEETINRVIKQLRDFKSRLPSNIWSSILSTLEPSRQNVLQLSLSS >Potri.009G128100.1.v4.1 pep chromosome:Pop_tri_v4:9:10535299:10536169:-1 gene:Potri.009G128100.v4.1 transcript:Potri.009G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G128100.v4.1 MIKVLNSVGAHKIFSSEMWRATLTELVATACLLFTLTTSIISCLESTTAEPKFLIPFAIFVIAFFFLLTTVPLSGGHMSPVFTFIAALEGVITPVRALFYMSAQCVGSIVAYLVIKSVMDKNAEEKYSLGGCMIDGNGEGISPTNAFILEFSCTFIVLFVGVTVAFDKRRCKELGLQMVCGILAGAMTLAFFVSISVTGRAGYAGAGLNPARCLGPSLLKGGRLWYGHWVFWVGPFVACIVYYGFTLTLP >Potri.017G106300.1.v4.1 pep chromosome:Pop_tri_v4:17:11557769:11562343:-1 gene:Potri.017G106300.v4.1 transcript:Potri.017G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106300.v4.1 MTIGNIPPKKERKSRRTKPVDENAPLLPKRQEDAGFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGVAMIIFMAFLTEASIELLLRFSKAGKSASYGGLMGDAFGKTGRILLQAAVLVNNIGVLIVYMIIIGDVLSGTSSSGAHHTGVLEGWFGEHWWNARAFVLLITTLFVFSPLACFKRIDSLSYTSALSVALAVVFLVITVGITIVKLINGSIAMPRLMPDVTDMTSFWKLFTTVPVLVTAFICHYNVHSIDNELEDSAQIKPVVRTALALCSTVYIMTSIFGFLLFGDATLDDVLANFDMDLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNLDGLLFPSARPFHQANMRFALLTIGLITTIFLGANFIPSIWDAFQFTGATAAVCLGFIFPASITLRDRHNIATKRDKILCIFMIVLAVFSNAVAIYSDAYALIKRNPSHSE >Potri.017G106300.3.v4.1 pep chromosome:Pop_tri_v4:17:11557953:11561964:-1 gene:Potri.017G106300.v4.1 transcript:Potri.017G106300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106300.v4.1 MTIGNIPPKKERKSRRTKPVDENAPLLPKRQEDAGFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLILGVAMIIFMAFLTEASIELLLRFSKAGKSASYGGLMGDAFGKTGRILLQAAVLVNNIGVLIVYMIIIGDVLSGTSSSGAHHTGVLEGWFGEHWWNARAFVLLITTLFVFSPLACFKRIDSLSYTSALSVALAVVFLVITVGITIVKLINGSIAMPRLMPDVTDMTSFWKLFTTVPVLVTAFICHYNVHSIDNELEDSAQIKPVVRTALALCSTVYIMTSIFGFLLFGDATLDDVLANFDMDLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNLDGLLFPSARPFHQANMRFALLTIGLITTIFLGANFIPSIWDAFQFTGATAAVCLGFIFPASITLRDRHNIATKRDKILCIFMIVLAVFSNAVAIYSDAYALIKRNPSHSE >Potri.009G041900.1.v4.1 pep chromosome:Pop_tri_v4:9:4909014:4913129:-1 gene:Potri.009G041900.v4.1 transcript:Potri.009G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041900.v4.1 MKKASSPHPPYTNPTKQVSNLQYELSKRPSKNRSVLSYVKTAFKRVVGAFTILLPRKSKADDIVNDAGKSNKQVGGISFSTDLSTGSKSSSVFKSSSTHGSSSATSGMVGEGGFSIQEIFKMTDNFSPANKIGDGSFSTVYKGRLRDGSFVAVKRAQKNTYDKRLLLEFQNEAITLSKIEHLNLVRFYGYVEQGDERILVVEYVGNGNLREHLDGKKGSILEIAERLDIAIDVAHAVTYLHTYTDPPIIHRDIKASNVLITEKLRAKVADFGFARLTTEGSDATHISTQVKGTTGYLDPEYLRTYQLTEKSDVYSFGVLLVELMTGRHPIEQKRSLKERVTIKWAMQSLKGGDAIFVMDPLLRRSPGSTMAMEKVLKLARHCLAPSKQSRPSMKDCSEVLWGIRKDLRASGPSSSAFISHQSADFPRRNEKKSREAAFGIEDGGGYKFISA >Potri.010G018300.1.v4.1 pep chromosome:Pop_tri_v4:10:2679704:2683725:-1 gene:Potri.010G018300.v4.1 transcript:Potri.010G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G018300.v4.1 MDCIPMLVFCFISFLIVRTATPTDTINTAQFIRDGDTIVSAGGTYELGFFTPEKSRNRYLGIWYGKISVQTAVWVANRETPLNDSSGVVRLTNQGLLVLLNRSGSIIWSSNTSAPARNPVAKLLDSGNLVVKEEGDNNPENSLWQSFEHLGNTLIPGSKLGRNRLTGMDWYLTSWKSPDDPSSGNITIILIPGGYPEYAAVEDSNVKYQAGPWNGLGFSGLPRLKPNPIYTFEFVFNDKEIFYRETLLNNSTHWRAIASQNGDLQLLLWMEQTQSWFLYATVNTDNCERYNLCGPNGICSINHSPVCDCLNGFVPKVPRDWKKTDWSSGCVRKTALNCSRDGFRKLRGLKMPETRKSWFNRSMNLEECKNTCLKNCSCTAYANLDIRDGGSGCLLWFNDLIDMRTFVQNEQDIFIRMAASELDNSDSAKVNTKSKEKKRIIVSSVLSTGILFVGLCLVLYVWKKKQQKNSNLQRRSNKKDLKEELELPFFNMDELACATNNFSVSNKLGEGGFGPVYKGTLTDGREIAVKRLSKNSRQGLDEFKNEVKHIVKLQHRNLVRLLGCCIERDEKMLVYELLPNKSLDFYIFDETRSLLLDWPKRYNIITGIARGLLYLHQDSRLRIIHRDLKTSNILLDYEMNPKISDFGLARSFGENETEANTNKVAGTYGYISPEYANYGLYSLKSDVFSFGVLVLEIVSGYKNRGFHHPDHHLNLIGHAWRLFKQGRPLELAAGSKFETPYLSEMLRSIHVGLLCVQENPEDRPNMSYVVLMLGNEDELPHPKQPGFFTERDLVEVSYSSSQSKPPSANVCSISVLEAR >Potri.001G071200.1.v4.1 pep chromosome:Pop_tri_v4:1:5383382:5387038:1 gene:Potri.001G071200.v4.1 transcript:Potri.001G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071200.v4.1 MASTLININDDLNKIDFYMPRNTSLQNVLWDKRSIYKIPASVTALNRIAYMPQTVSFGPYHHGEDHLKPMEEHKQRALRYYLNRSGRRLQEVVESLNEEIQVLKDSYDMLGESWKDDKKKFLRLMILDGCFMLEIIRLATHSLDGYAANDPIFSSHGRLYIAPSIRRDMFLLEINCQCFMKTSTFAQDEEFVNELVLNFCYPNAPVSKLDKSLHVLDLYRKSLILEDPAWKMRRPRVKGGLLNVVNDIFRSATGITDVGIQFKKGKTKSLRGISFHGGVLELPVIVVDGATEATFLNLIAFERLHVGAGNEVTSYVSFMDNIIRNERDFALLRSRGIIQNAIGSHMAAPQLFNSLSRDIAFLPNSSLEGVHMQVNAFCKMPWNECRANLIHTYFRHPWAILCVIAALILFALTGAQTVYSIMPYYKSNHSTSPSPPMSFAAPPPLPTPTPPPLTSPMHPPKPSHRHHRCRCVKK >Potri.018G017300.1.v4.1 pep chromosome:Pop_tri_v4:18:1180724:1183138:-1 gene:Potri.018G017300.v4.1 transcript:Potri.018G017300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017300.v4.1 MANRHTIVLMQTSQNRATRTFMDYDSISQAMDGICALYERKLKDLNPANRNITYDIGDLYNFIDGLADMSALVYEHSIQAYLPNDRQWIKQRLLQHLKRLAH >Potri.016G056100.2.v4.1 pep chromosome:Pop_tri_v4:16:3728518:3730357:1 gene:Potri.016G056100.v4.1 transcript:Potri.016G056100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G056100.v4.1 MALFLSICVALLFSFCHGLANAAIPPPPLDGLVENGDFEEAPAKSNLKKTVIIGKHSLPKWEINGLVEYVSGGPQPGGFFLAIPRGVHAVRLGNEASISQILTVKPGSVYALTFGATRTCAQDEVLRVSVPGQSSDLPLQTLYSSDGGDTYSLAWKATSKAVKVTFHNPGVQEDPSCGPLLDAIAIKELPPLKRTIGNLVKNSGFEVGPHVFKNFSTGILIPAKQQDLISPLPGWIVESLKPVKYIDKKHFFVPSGFAAIEMVAGRESAIAQVIRTIPNKFYNLTFTIGDAKNACHGSMMVEAFAAKETVKAPYVSQGKGGSKTASLRFQAISERTRITFYSSYYHNKMHDYGHMCGPVLDDVRVFPTH >Potri.015G062300.1.v4.1 pep chromosome:Pop_tri_v4:15:8693011:8694101:1 gene:Potri.015G062300.v4.1 transcript:Potri.015G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062300.v4.1 MAGMLFRLLVSFLLISNLVYWSNISISTRRTGSQVHGHDQVPPILDDTHLVLQSKKKDHDEHIAHGRKIVELNDYPGSGANNRHTPRPQFGRCVDC >Potri.015G062300.2.v4.1 pep chromosome:Pop_tri_v4:15:8693011:8694102:1 gene:Potri.015G062300.v4.1 transcript:Potri.015G062300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062300.v4.1 MAGMLFRLLVSFLLISNLVYWSNISISTRRTGSQVHGHDQVPPILDDTHLSKKKDHDEHIAHGRKIVELNDYPGSGANNRHTPRPQFGRCVDC >Potri.013G020500.2.v4.1 pep chromosome:Pop_tri_v4:13:1320808:1332754:-1 gene:Potri.013G020500.v4.1 transcript:Potri.013G020500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020500.v4.1 MAPTRKKSVNKRFLNEVSPEKEVKSSSKNKQQANGKKKLSDKLGTQWSKADLQRFYKAYRDHGQNWKKVAAEVRNRSVEMVETLYNMNRAYLSLPEGTASVVGLIAMMTDHYSVLEASESERESNEVPGVLRKLQKRKQPKVQLSASKEDLQQSHMVASTDGCLSFLKIGYGRPLHSVGKRTPRFPVSHQHKKDENYVSPKKKHRKSEINADDNDDEHVAALTLTETLQRGDSAQVPQTPHRRTEHMKSSPVQSWDKMPESSPENLCDASIYEHWSESGTGRGGPDLAYVRDASSLAEMEGIGTVEVHRKGKKFYGKKVRVEKIGNSQSDGGGEACSGTEEEQKVRTLKGKVEIEMSNAKIDETSCRGQRKRSKKLFSDDKHDDFIGLQTLALVSAMEFESSAQLDEERTAQTEDDKCSVPESASTSHHRERTKLSRQKEKAISGVDRITSRKSKLGRYPPISTKPVSEANKQPQSISNGTLKRKREALVSKVLDEEEITPVVKGRHSGQISSPSKQLNSLELPEGSSFSGDQKNVPNDLATAQVPVASQVILPTRKGSRRKMDLKRAMIPKVGKSSVNIRKNQINRQDGAIHLKDKLSCSLSSPMVRRWCTFEWFYSAVDYPWFAKREFVEYLNHVGLGHIPRLTRVEWGVIRSSLGRPRRFSERFLHEEREKLQQYRESVRKHYMELRMGLREGLPTDLARPLSVGQRVIAIHPKTRELHDGGVLTVDHDQCRVQFDRAELGVEFVKDIDCMPSNPLDNMPEALRRQRISVLPRELLVNGKSNAGVFTASEHLRSALSPKNALVKQAQVEVNCAIPLAKGVSTDIVNVQGVCRQPSMVAQIQPKESDIQALSELNRALDRKASSALLHLRQHNTYPINNLPGWLKPPANSCFSGMPRPHTSSFVSQESGSAVLEIVRGSRLKAHNMVDVAVQAISSMKEGEDTFVRIGEALDSMDRRHLGSEYRVQMIRAPEGVSGGLRLQNQLILSTSEPQVNSNASRPQSNDSDKTETVIPSDLISSCVAALLMIQTCSERQYPPSDVAQIIDSAVTSLQPCCPQNLPIYREIQMCMGRIKTQILALIPT >Potri.013G020500.5.v4.1 pep chromosome:Pop_tri_v4:13:1320384:1330186:-1 gene:Potri.013G020500.v4.1 transcript:Potri.013G020500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G020500.v4.1 MVASTDGCLSFLKIGYGRPLHSVGKRTPRFPVSHQHKKDENYVSPKKKHRKSEINADDNDDEHVAALTLTETLQRGDSAQVPQTPHRRTEHMKSSPVQSWDKMPESSPENLCDASIYEHWSESGTGRGGPDLAYVRDASSLAEMEGIGTVEVHRKGKKFYGKKVRVEKIGNSQSDGGGEACSGTEEEQKVRTLKGKVEIEMSNAKIDETSCRGQRKRSKKLFSDDKHDDFIGLQTLALVSAMEFESSAQLDEERTAQTEDDKCSVPESASTSHHRERTKLSRQKEKAISGVDRITSRKSKLGRYPPISTKPVSEANKQPQSISNGTLKRKREALVSKVLDEEEITPVVKGRHSGQISSPSKQLNSLELPEGSSFSGDQKNVPNDLATAQVPVASQVILPTRKGSRRKMDLKRAMIPKVGKSSVNIRKNQINRQDGAIHLKDKLSCSLSSPMVRRWCTFEWFYSAVDYPWFAKREFVEYLNHVGLGHIPRLTRVEWGVIRSSLGRPRRFSERFLHEEREKLQQYRESVRKHYMELRMGLREGLPTDLARPLSVGQRVIAIHPKTRELHDGGVLTVDHDQCRVQFDRAELGVEFVKDIDCMPSNPLDNMPEALRRQRISVLPRELLVNGKSNAGVFTASEHLRSALSPKNALVKQAQVEVNCAIPLAKGVSTDIVNVQGVCRQPSMVAQIQPKESDIQALSELNRALDRKASSALLHLRQHNTYPINNLPGWLKPPANSCFSGMPRPHTSSFVSQESGSAVLEIVRGSRLKAHNMVDVAVQAISSMKEGEDTFVRIGEALDSMDRRHLGSEYRVQMIRAPEGVSGGLRLQNQLILSTSEPQVNSNASRPQSNDSDKTETVIPSDLISSCVAALLMIQTCSERQYPPSDVAQIIDSAVTSLQPCCPQNLPIYREIQMCMGRIKTQILALIPT >Potri.013G162600.1.v4.1 pep chromosome:Pop_tri_v4:13:15573100:15574596:-1 gene:Potri.013G162600.v4.1 transcript:Potri.013G162600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:accD MKKCWFHSMLSNVELEYRCRLSKSMDNLGPLENTSVSEDPILNDTEKNTYNWSHSDSSNVDHLVGVRDIRNLNVDDTFLVLGRDNKKDGYSIYFDIENQVFGIDNNHSFLSKLEKEFSSYWNSSYLNKGSRSDDSHYDYSMYDNKYSWNNYINSCIDSYLRSQIGIASSILSGSESYSESYISTYILGESRNSSETGNSRLRTSTNGSDFALRENSNDLGVTQKYKHLWVQCEICYGLNYKKFFKSKMNICEQCGYHLKMSSSDRIELSIDPGTWDPMDEEMFSLDPIDFHSEEEPYKDRIDSYQKKTGLTEAIQTGIGQLNGIPVAIGVMDFQFMGGSMGSVVGEKITRLIEYATNQFLPLILVCASGGARMQEGSLSLMQMAKISSALYDYQSNKKLVYVSILTSPTTGGVTASFGMLGDIIIAEPNAYIAFAGKRVIEQTLNKTVPEGSQAAEFLFHKGLFDPIVPRNLLKGVLSELFQLHAFFPLNHNLSRTLT >Potri.008G079750.1.v4.1 pep chromosome:Pop_tri_v4:8:4943676:4944336:1 gene:Potri.008G079750.v4.1 transcript:Potri.008G079750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079750.v4.1 MEGSLMLHLILLYLTILLMKRPVCPEKHNQHEALIKLSNGGSYNEAFTRLSHGGAYSNIERSRYSPELSNVRWLKRKKELVKRNIQQGRQTTATVMQESGSTSKSAAYRNFSTMYGATISA >Potri.014G139600.2.v4.1 pep chromosome:Pop_tri_v4:14:9493794:9496820:-1 gene:Potri.014G139600.v4.1 transcript:Potri.014G139600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G139600.v4.1 MSTIGGAGGDYDSKEEWTEFEWSEDGLSIIENIEEQSYQIHPSIKQEEEEEDGDGGDGSFSAEFYRCGTDWSCLSPAAEVVEPSHSRNLKQANLWQMWGQNKPSSLSSPPPKKKLKPTQFCSQGKAASSSPKHNRPRACPFYKRIPDTGFSVDAFRYGPIPGCSAYFLTHFHYDHYGGLTKGWSHGPIYCTPLTARLLTICLSLNSLYIHPLELDTEYVIQGVKVTLLEANHCPGAALLHFRLPTGLCYLHTGDFRASKLMQAHPLLANNRVNVLYLDTTYCNPKYKFPSKEDVLSYVVRVTKSSLKKQPKTLVVVGAYSIGKESVYLAISKALGVKIYANNSRRRILQSFGWPDLSTNLCTKAIDTCLHVLPISSLRYETLKDYLKNHVNQYAAVLAFRPTGWTYSEGLGRELDLIRPSTRGNITIYGVPYSEHSSFTELRDFVEFLKPDKIIPTVNVGNPDNRDKMQSYFREWLKG >Potri.011G004100.3.v4.1 pep chromosome:Pop_tri_v4:11:320356:321726:1 gene:Potri.011G004100.v4.1 transcript:Potri.011G004100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004100.v4.1 MLMADWCGVMGKGSGRVGGYGTRRSMRDEDFEEEEVWSVMKEIGDSSSNSKYPSSGSSSSSSSSAWHIPTAAPRTIPSTKPTPTNHGGKVVQQQSSAPVNIPDWSKIYGKNSRNMGSWADHNGIAYDDGDYHVNDDEGGDDDIVPPHEWLARKLARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFLE >Potri.011G004100.4.v4.1 pep chromosome:Pop_tri_v4:11:320272:321732:1 gene:Potri.011G004100.v4.1 transcript:Potri.011G004100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004100.v4.1 MADWCGVMGKGSGRVGGYGTRRSMRDEDFEEEEVWSVMKEIGDSSSNSKYPSSGSSSSSSSSAWHIPTAAPRTIPSTKPTPTNHGGKVVQQQSSAPVNIPDWSKIYGKNSRNMGSWADHNGIAYDDGDYHVNDDEGGDDDIVPPHEWLARKLARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFLE >Potri.011G004100.1.v4.1 pep chromosome:Pop_tri_v4:11:320282:321732:1 gene:Potri.011G004100.v4.1 transcript:Potri.011G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G004100.v4.1 MADWCGVMGKGSGRVGGYGTRRSMRDEDFEEEEVWSVMKEIGDSSSNSKYPSSGSSSSSSSSAWHIPTAAPRTIPSTKPTPTNHGGKVVQQQSSAPVNIPDWSKIYGKNSRNMGSWADHNGIAYDDGDYHVNDDEGGDDDIVPPHEWLARKLARSQISSFSVCEGIGRTLKGRDLSKVRNAILTKTGFLE >Potri.013G076100.1.v4.1 pep chromosome:Pop_tri_v4:13:6403974:6408252:1 gene:Potri.013G076100.v4.1 transcript:Potri.013G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G076100.v4.1 MANSLILAAAAANKSLLAAPSHCHSFSLHSNCPPPHHSQSLPYKPFLHLHSLSLTSLHRRLIPVPALDSDVPHPLDQGSAVKVKNNRSKEIEEWDSWTAKFSGGANVPFLLLQMPQIILNAKNLMSGNKTALLAVPWLGMLTGLLGNLSLLSYFAKKRETEVIVVQTLGVISIYVVIAQLAMAEAMPLPYYMVTSVVVATGLLLNFLNYFGMLSAGIWRFWEDFITVCGLSVLPQVMWSTFVPYIPNSILPGVISFVTAVAAVVMARTGKLSKEGVKFVGATSGWTATLLFMWMPVSQMWTNFLNPDNIKGLSAFSMLLAMIGNGLMIPRALFTRDLMWFTGSTWASLFYGYGNILCMYYFNSVSGKFLLAATAGLVSWIGMALWRDTVVYGYSSPLRSLKELIFGS >Potri.006G083600.4.v4.1 pep chromosome:Pop_tri_v4:6:6223958:6234090:-1 gene:Potri.006G083600.v4.1 transcript:Potri.006G083600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083600.v4.1 MVYVDISAAAAAAADVSMVAAKGDLKRERNPFPVNENETGELFPNKKQAKQEEASNDDTKSEVSNPVITLVSPKGNGSSSHDISEESPTNACPSSEETLTVSQEGGGSSSEDNTSNQSPRNDTCDSVSMSPVVLEIPEHASTTGVRKITFKFSKRKEDYDTKISPHPLHGGIDQGLLYHRNGDYYPRNHSVWVNSCTEMPQTRERYMELNMSKKVVPNNYPTNVKKLLATGILDRARVKYICFSSERELDGIIDGGGYLCGCSSCNFSKVLSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQELKTAPLSMIDGVIKDVAGSSINEEFFRVWKASLNQSNALVGADKKCHSELPCLPHSHVSYASQALKESFCPISSSFLYNNNFVSQQMYMETSGVNKQTSKRPSLYFPGSATKQKKTAESGVRKRDNDLHRLLFMPNGLPDGTELAYYVKGQKILGGYKQGNGIVCSCCEVEISPSQFESHAGMSARRQPYRHIYTSNGLTLHDIAISLANGQNITTGIGDDMCAECGDGGDLMFCQSCPRAFHAACLDLHDTPEGAWHCPNCNKLGHGGNFARPIVIRLTRVVKTPEYDVGGCAVCRAHDFSGDTFDDRTVILCDQCEKEFHVGCLRESGLCDLKEIPKDNWFCCQDCNNIYVALRNSVSTGVQTIPASLLNIINRKHVEKGLLVDEAAYDVQWQILMGKSRNREDLSLLSGAAAIFRECFDPIVAKTGRDLIPVMVYGRNISGQEFGGMYCVLLTVRHVVVSAGLLRIFGREVAELPLVATNREHQGKGYFQALFSCIERLLCSLNVEQLVLPAAEEAESIWTRRFGFRKMSEGQLLKYTREFQLTIFKGTSMLEKEVPRIID >Potri.006G083600.8.v4.1 pep chromosome:Pop_tri_v4:6:6223978:6234813:-1 gene:Potri.006G083600.v4.1 transcript:Potri.006G083600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083600.v4.1 MVYVDISAAAAAAADVSMVAAKGDLKRERNPFPVNENETGELFPNKKQAKQEEASNDDTKSEVSNPVITLVSPKGNGSSSHDISEESPTNACPSSEETLTVSQEGGGSSSEDNTSNQSPRNDTCDSVSMSPVVLEIPEHASTTGVRKITFKFSKRKEDYDTKISPHPLHGGIDQGLLYHRNGDYYPRNHSVWVNSCTEMPQTRERYMELNMSKKVVPNNYPTNVKKLLATGILDRARVKYICFSSERELDGIIDGGGYLCGCSSCNFSKVLSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQELKTAPLSMIDGVIKDVAGSSINEEFFRVWKASLNQSNALVGADKKCHSELPCLPHSHVSYASQALKESFCPISSSFLYNNNFVSQQMYMETSGVNKQTSKRPSLYFPGSATKQKKTAESGVRKRDNDLHRLLFMPNGLPDGTELAYYVKGQKILGGYKQGNGIVCSCCEVEISPSQFESHAGMSARRQPYRHIYTSNGLTLHDIAISLANGQNITTGIGDDMCAECGDGGDLMFCQSCPRAFHAACLDLHDTPEGAWHCPNCNKLGHGGNFARPIVIRLTRVVKTPEYDVGGCAVCRAHDFSGDTFDDRTVILCDQCEKEFHVGCLRESGLCDLKEIPKDNWFCCQDCNNIYVALRNSVSTGVQTIPASLLNIINRKHVEKGLLVDEAAYDVQWQILMGKSRNREDLSLLSGAAAIFRECFDPIVAKTGRDLIPVMVYGRNISGQEFGGMYCVLLTVRHVVVSAGLLRIFGREVAELPLVATNREHQGKGYFQALFSCIERLLCSLNVEQLVLPAAEEAESIWTRRFGFRKMSEGQLLKYTREFQLTIFKGTSMLEKEVPRIID >Potri.006G083600.9.v4.1 pep chromosome:Pop_tri_v4:6:6223959:6234765:-1 gene:Potri.006G083600.v4.1 transcript:Potri.006G083600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G083600.v4.1 MVYVDISAAAAAAADVSMVAAKGDLKRERNPFPVNENETGELFPNKKQAKQEEASNDDTKSEVSNPVITLVSPKGNGSSSHDISEESPTNACPSSEETLTVSQEGGGSSSEDNTSNQSPRNDTCDSVSMSPVVLEIPEHASTTGVRKITFKFSKRKEDYDTKISPHPLHGGIDQGLLYHRNGDYYPRNHSVWVNSCTEMPQTRERYMELNMSKKVVPNNYPTNVKKLLATGILDRARVKYICFSSERELDGIIDGGGYLCGCSSCNFSKVLSAYEFEQHAGAKTRHPNNHIYLENGKPIYSIIQELKTAPLSMIDGVIKDVAGSSINEEFFRVWKASLNQSNALVGADKKCHSELPCLPHSHVSYASQALKESFCPISSSFLYNNNFVSQQMYMETSGVNKQTSKRPSLYFPGSATKQKKTAESGVRKRDNDLHRLLFMPNGLPDGTELAYYVKGQKILGGYKQGNGIVCSCCEVEISPSQFESHAGMSARRQPYRHIYTSNGLTLHDIAISLANGQNITTGIGDDMCAECGDGGDLMFCQSCPRAFHAACLDLHDTPEGAWHCPNCNKLGHGGNFARPIVIRLTRVVKTPEYDVGGCAVCRAHDFSGDTFDDRTVILCDQCEKEFHVGCLRESGLCDLKEIPKDNWFCCQDCNNIYVALRNSVSTGVQTIPASLLNIINRKHVEKGLLVDEAAYDVQWQILMGKSRNREDLSLLSGAAAIFRECFDPIVAKTGRDLIPVMVYGRNISGQEFGGMYCVLLTVRHVVVSAGLLRIFGREVAELPLVATNREHQGKGYFQALFSCIERLLCSLNVEQLVLPAAEEAESIWTRRFGFRKMSEGQLLKYTREFQLTIFKGTSMLEKEVPRIID >Potri.007G061580.1.v4.1 pep chromosome:Pop_tri_v4:7:6863726:6865071:1 gene:Potri.007G061580.v4.1 transcript:Potri.007G061580.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061580.v4.1 MVWVFFKTLAQSSSLSFPKNGYFLPVSGLRAFHPSTSILCVNPSRLRPFVSSSSIHLGKVSEAMFSNPKIHFHGLNFKRSFPLYNYITRSGAGEDPSPARLLRFRV >Potri.007G061580.2.v4.1 pep chromosome:Pop_tri_v4:7:6863553:6864459:1 gene:Potri.007G061580.v4.1 transcript:Potri.007G061580.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061580.v4.1 MNRFLVIQYTPFPSRSLLKVQNIESIINRSGICLWYGYFLKHWLNLHLCLFQKMDIFFRSLVSGLSIRPRLFYV >Potri.019G073100.1.v4.1 pep chromosome:Pop_tri_v4:19:11435931:11441384:-1 gene:Potri.019G073100.v4.1 transcript:Potri.019G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G073100.v4.1 MGSICSKRAEEEDVNINKNERQIEVDKSSVQLVAPTIFIKEEILVDVLGRKDARHVSKENVGSVIDLLEGEKKTKLVDEKKKGHHGSVAMDSESIDDQPRIMSRMISMRPSAEREAWPQWLTAAAGEAVKGWLPRRADSFEKLDKIGQGTYSTVFKARDLETGKIVAMKKVRFVNMDPESVRFMAREIVILRRLDHLNVMKLEGIVTSWMSGSLYLVFEYMEHDLAGLAANPSIKYTEAQIKCYMQQLLRGLEHCHKHGVLHRDIKGSNLLINNDGVLKIADFGLATSYQPDQSLPLTSRVVTLWYRAPELLLGATEYGPAIDMWSAGCILAELFTGKPIMPGRTEVEQMHKIFKLCGSPSEAYWTKKKFPHATSFKPQQPYIRRTAETFKNFPPSALTLVDKLLSMEPQDRGSATSALRSEFFRIEPLPSDPSSLPKYPPSKELDAKMRDQEARRQKAEAVKGRGPESVRRGSGDTKKAPTSEFTAQGQPKTICSSYKYNIQEDGGSSFRIEPPRVSKKNGLEHSASVIHHSAVGLSLNKSVDCTENNPELRSKKSRVSQSGEISSSSLKKKERAPSIDSSAGFVPRKTRIHYSGPLMPPGGNMEEILKEHERQIQQAVRKARLEKSGTKDNLDVYEQLHHNR >Potri.017G046700.1.v4.1 pep chromosome:Pop_tri_v4:17:3304802:3305152:1 gene:Potri.017G046700.v4.1 transcript:Potri.017G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G046700.v4.1 MASFNSFILALFIALSISGGEAARQLLQLPPLPAIPNLPKPTLPPMPSIPTLPQPTLPTAQPSLPKPTLPPLPSLPTMLSLPKVTLPPLPSMPSMPTIPIPTTIPSIPFLSPPPGN >Potri.006G087900.3.v4.1 pep chromosome:Pop_tri_v4:6:6630521:6632508:1 gene:Potri.006G087900.v4.1 transcript:Potri.006G087900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087900.v4.1 MFSTKKKIQKDKDAEPTEFEETVAQALFDLENTNSDLKSDLKDLFINSAVQIDVAGNRKAIVIYVPYRLRKAYRKVHLRLVRELEKKFSGKDVVLLATRRIVRPPKKGSAVQRPRSRTLTAVHEAMLEDLVYPAEIVGKRTRYRIDGSKISKIFLDPKERNNTEYKLESYAGVYRKLTGKDVVFDFPVTEA >Potri.006G087900.4.v4.1 pep chromosome:Pop_tri_v4:6:6631248:6632516:1 gene:Potri.006G087900.v4.1 transcript:Potri.006G087900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087900.v4.1 MFSTKKKIQKDKDAEPTEFEETVAQALFDLENTNSDLKSDLKDLFINSAVQIDVAGNRKAIVIYVPYRLRKAYRKVHLRLVRELEKKFSGKDVVLLATRRIVRPPKKGSAVQRPRSRTLTAVHEAMLEDLVYPAEIVGKRTRYRIDGSKISKIFLDPKERNNTEYKLESYAGVYRKLTGKDVVFDFPVTEA >Potri.015G130800.1.v4.1 pep chromosome:Pop_tri_v4:15:14116146:14119774:-1 gene:Potri.015G130800.v4.1 transcript:Potri.015G130800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130800.v4.1 MASTSSTPSDPSISLHEDTAIRAVNKRYEGLITVKTKAIKGKGAWYWAHLEPILIKNPDTNLPKAVKLKCCLCEAVFSASNPSRTATEHLKKGTCSNFVSVSRPNSAISPLPISSLPSPPSNNHRKRSSQMGTALKSLALVESNKYCDQVGYFNSGFTPKGHDLVLSGGKEDLGALAMLEDSVKRLKSPKASPGPLLNKEQVDSALELLSDWFYEVCGSVSYSSLEHPKFRAFLNQVGLPCLSRRGLSGARLDNRFHEAKSEVEARIRDAMFFQVACNGWKSNNCCSGEDNLVKFSVNLPNGTILYHKAVLTGGGSVSSKYAEEIMWEAVTGICGSGLQRCVGIVSDEYKAEALRNLEIQYQWMVNLPCQVQGFTSLIKDFSKEHQLFKTVTENCLKLANFVNNTSQVRNSFQKYRMQELDYTGLLRVPWCKCDGAKDFVPVYAMLEDILSCARVLQMVILDESYKLMSVEDPVAREVSGMIQSEGFWNELEAVYSLMKLIRGMAQEIEAERPLIGHCLPLWQELKAKVKEWCARFNIAEGQVEKIVEKRFRKNYHPAWSAAFILDPRYLMRDTSGKYLPPFKCLTLEQEKDVDKLITRLASREEAHVALMELMKWRSDGLDPLYAQAVQVKQRDPLTGKMKIANPQGSRLVWETCLSEYKTLGKVAVRLIFLHATSSGFKCNWSSMKWFCVHRNSRVGLERAQKMIFIAAHAKLERRDFSNEEEKDGELFRMAGCEDDMLNEVFVEAPSV >Potri.008G019600.1.v4.1 pep chromosome:Pop_tri_v4:8:986238:987151:-1 gene:Potri.008G019600.v4.1 transcript:Potri.008G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G019600.v4.1 MFLHFIPVFINFLACLSVLAMEGNSSSSLKGMLKSPSPPRPILMRSDQSTPATWANSVFSNPKETTNVDNVAGGFVDLSLEESPLSSSNSFDAALPYSSSSPSCCLFNHSDVQSEASSDDQREEIMNVETKKDVVFGNPTKKEGVFPPPISSLELFNKGMPYSYLNYNDLSDTLVLEEIKIPPGDILRANRSGGRLRLAFVISDDESSDMEEEEEISGTVE >Potri.005G246200.1.v4.1 pep chromosome:Pop_tri_v4:5:24086821:24087765:-1 gene:Potri.005G246200.v4.1 transcript:Potri.005G246200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246200.v4.1 METLSSSAAIVSSSSSPLSFFSPKRKELNSPPRLLRFHTSSKKDNKDSDLQSNSNDSSIVPLFSNPTLSKDAAMGLVLSAASVRGWTTGSGMEGPSVPAGAEDGSNTEKVSTLPWSLFTKSPRRRMRVAFTCNVCGQRTTRAINPHAYTDGTVFVQCCGCNVFHKLVDNLNLFHEMKCYVNPSFNYRDAKWDGGFKLFDMDDDDDHQDQDGGGDMFPM >Potri.008G053900.1.v4.1 pep chromosome:Pop_tri_v4:8:3157992:3161853:-1 gene:Potri.008G053900.v4.1 transcript:Potri.008G053900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053900.v4.1 MAASQASLLLQKQLRDLCKKPVDGFSAGLVDESDVFEWSVSIMGPPDTLYEGGFFNAIMSFPKNYPNSPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWSPVHTVESIVLSIISMLSGPNDESPANVDAAKQWRDSREEFRKRVSRCVRKSQEML >Potri.015G026700.1.v4.1 pep chromosome:Pop_tri_v4:15:2016569:2017774:-1 gene:Potri.015G026700.v4.1 transcript:Potri.015G026700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G026700.v4.1 MSGGQRRLSKVANPTNHLSIVGNFVGALLGASMGLKEGPIFFFAVGLAHYTVLFVTLYQRLPTNETIPEELHPVFFLFVAAPSVASMAWANIQGSFDHGSRIPYFIALFLYLSLAVRVNFFRGFKFSLSWWAYTFPMTGAAIATIRYSNEVTNVVTQVLAVIFSTVSTLTVSALLVSTIVHAFVLQDLFPNDIAIAISNRKPRQHHQRKWFHLRRGSSVEKEIEHYLKFTNSDGKDIESSLDPTTSSSDSSH >Potri.008G057600.1.v4.1 pep chromosome:Pop_tri_v4:8:3396227:3397188:1 gene:Potri.008G057600.v4.1 transcript:Potri.008G057600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G057600.v4.1 MEVDEGCRTPRRSEYQIPAPLVCPPPPKKKPFYVKQRRVPPKEGYFQPPDLEGVLMDIAPRREACA >Potri.019G111200.1.v4.1 pep chromosome:Pop_tri_v4:19:13294798:13299539:-1 gene:Potri.019G111200.v4.1 transcript:Potri.019G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G111200.v4.1 MDSSMALSSYSSRLKCSLPNPPPMMMVPSPQLPGVLPLSSRRCGIASFAEFRGLRMQMGSKLSTSLVSIRTRRNPKVFSRIVSEAHETFVDIPAVTDETWQSLIIEADGPVLVEFWAPWCGPCRIIHPVIAELSTEYDGKLKCFKLNTDESPSTTTKYGIRSIPTIMIFKNGEKKDAIIGSVPKTTLISNMKKFL >Potri.002G036200.1.v4.1 pep chromosome:Pop_tri_v4:2:2386166:2394033:-1 gene:Potri.002G036200.v4.1 transcript:Potri.002G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G036200.v4.1 MDSARSWFQKFQPREKFRSPSRRKEGGDEDNEDTISEMDEEAHSNVTKQKVAAAKRYIENHYKEQMKNLQERKERRTTLEKKLADADVSEEDQSNLLKFLEKKETEYMRLQRHKMGVDDFELLTMIGKGAFGEVRICREKTTGQVYAMKKLKKSEMLRRGQVEHVKAERNLLTEVDSNCIVKLYCSFQDDGFLYLIMEYLPGGDMMTLLMRKDTLTEDEARFYVGETVLAIESIHKHNYIHRDIKPDNLLLDRYGHLRLSDFGLCKPLDCSTIQEGDFSVVNNNSNGTTQNEERPAAPRRTQQEQLEHWQKNRRMLAFSTVGTPDYIAPEVLLKKGYGMECDWWSLGAIMYEMLVGYPPFYSDEPLSTCKKIVNWRTHLKFPEEAKLSPAAKDLTSKLLCNVDQRLGTNGAEEIKAHPWFNGVEWDKLYQMEAAFIPEVKDDLDTQNFVKFEESENQPQTTSKTGPWRKMLSSKDVNFVGYTYKNFEIVNDYQVPGMADLKKKDTKLKRPSIKSLFEGESEAIESSVTAPGDETSRGSFLNLLPPRLEVSRNQNETIIRFH >Potri.001G113100.1.v4.1 pep chromosome:Pop_tri_v4:1:9160154:9162033:1 gene:Potri.001G113100.v4.1 transcript:Potri.001G113100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G113100.v4.1 MVTSSVLVPRVESLASSGIKSIPKEYIRPQEELNNIGNVFEEVKNNEGPQVPTIDLMEIESEDKVVREKCREEIVKAAKEWGVMHLVNHGIPDDLIDRVRKAGQAFFDLPIEEKEKYANDQASGNVQGYGSKLANNASGQLEWEDYFFHLIFPEDKRDISVWPKTPSDYTKVTSEYARLLRSLASKILSALSLGLGLEEGRLEKEVGGMEELLLQMKINYYPRCPQPELALGVEAHTDISALTFILHNMVPGLQLFYEGKWVTAKCVPNSIIMHIGDTVEILSNGKYKSILHRGLVNKEKVRISWAVFCEPPKEKIILKPLTEIVTEAEPPLFPPRTFAQHLAHKLFRKTQDSRTPPKAD >Potri.005G066900.2.v4.1 pep chromosome:Pop_tri_v4:5:4328334:4329887:-1 gene:Potri.005G066900.v4.1 transcript:Potri.005G066900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066900.v4.1 MASQQKGEEDQPTSSPPAAGFGQMAVERYRKIREHAETYPYVWASYIVVYGGLGLWATYRWRKLRKTEDRVRALQERLRKLVETQEGASSARSVEKAPSSADKTTK >Potri.011G008612.1.v4.1 pep chromosome:Pop_tri_v4:11:732004:737265:-1 gene:Potri.011G008612.v4.1 transcript:Potri.011G008612.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G008612.v4.1 MAAGKYQESYSSRFSNCKYQVFLSLRGADTRKNFTDHLYKALIQAGIHTFRDDDEIQRGENIDFKLQMAIQQSKISIIVFSKDYASSRWCLDELVMIMERKRNDDCIVLPVFYDVDPSQVGRQTGSFAAAFVEHEKSFNEEMERVNGWRIALKEVADLAGMVLGDGYEAQFVQSIVENVSKNLDPKLFYVPLHFIGRDALVQDINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRILIVLDDVDKRDQFNKIIVMQNWLCKGSKIIVTTRNKGLFSANDIERVECKVEPLDNEKSLELFSWNVFGQDDPVDGFVEDSWRIVHHCNGLPLALRVIGSSLSGKGREIWESALQQMEVIPNFDVLKVLRISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPKCQRIWRQGDAFTVLKGTIDAEKLRGLTIDMHALMEDHYAEVVYTDSMICRKRRRLNFFQQWLSDFSDGGKLQTGQTSLFPILSTDAFRKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHGLSWSSIPNHVCLEKLVVLDLSRSCLVDVWKGKPFLPKLKILDLRHSRDLIRTPDFSGLPALEKLILEDCIRLVQIHESIGDLQRLLILNLRNCTSLLELPEEMSRLNSLQELVLDGCSNLDSLNTELEHHQGRKLLQSDAIVSSTSFISSLPLKLFFPSRFSTRKMLRFTSFSLPRFLESLDLSGTPIRFLPESIKDLGLLRHLYLRNCKMLQALPELPSHLDSLDVSFCYSLQRLANPNSWTEGDGCDHLVEFQDRIKQELIQKFDSHVFRIMETVCAQIQTSRFQITFMDGIFNVVVSVFDKDEMLRGFYEEEEEDKWLIQNEFVDNFSFKISSPPPAHRICGFNLFISCVTSAYRGFSYVYIEIRNNTSGRSFLCQASFFPMRYKCDVREIQSLLHTKLGGNDPTFDNGDDVSISVLPHGPAIQIKAIGVQWLHEEEGKDEVINAKVETASRIFRNYYCGFHGKSNDGKIGWWCFAKKDLASTSLLLGFGSASFYRSVCYVFLVLLLCFRWRWSCGCRRCGLGVDDFRSSW >Potri.005G183000.2.v4.1 pep chromosome:Pop_tri_v4:5:18975021:18976610:1 gene:Potri.005G183000.v4.1 transcript:Potri.005G183000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183000.v4.1 MAASSSYMACAKFSMLGWLGGRRELKMRRVISVSPQEQAEVQESQEVNAQEEEKVKQPVQPRPVEPQVNVKSKNMGREYGGQWLSSVTRHVRIYAAYIDPETCEFDQTQTDKLTLILDPTDEFVWTDETCYKVYSYFQELVDHYEGAPLTEYTLRLIGSDIEHYIRKLLYDGEIKYNMNARVLNFSMGKPRILFNNDGQLQDV >Potri.015G085000.1.v4.1 pep chromosome:Pop_tri_v4:15:10971428:10972675:1 gene:Potri.015G085000.v4.1 transcript:Potri.015G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085000.v4.1 MAARALLLASLVLSCFLLPACYGTVLFSSLQRTLEVTASPTSGQVLKGGVDKITVTWGLNQTVAAGTDSTYKTIKVKLCYAPISQVDRGWRKTVDNLKKDRTCQHKIVARPYNAANSTAQSHEWTVERDVPTATYFVRAYAYDADEKVVAYGQTTDARKTTNLFRVQAISGRHVTMDTCSICFSVFSVVSLFGFFYNEKRKAKRPQ >Potri.015G061500.2.v4.1 pep chromosome:Pop_tri_v4:15:8560930:8563596:1 gene:Potri.015G061500.v4.1 transcript:Potri.015G061500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G061500.v4.1 MEKEKDVETLLKDLANYKVQMAAKDSAYSQLLLKLEHYQKSSEELSLLLKKSEVERDVYCEDCREARTRIHELEAKVKEMTDELLETGKIREKLTHVLSELKSTEEEILGMETQLATAREVNLKALAEAELMATAANMEKKRSEELVKHVAELNEAILVSKLASFEAEKEKCMVLSDKDARLESAMEMAAQAQEQVEDMKKRLEIMQELENQLLAKSVLVDSLQAELNQASELLSSSNKTVSDAVKDLNQLNVDLIVKERDNSDHTFYFGALETELNQLEAELKNENEEASHLSRNMEILMDELQEAKTKIYEIKEREKEAQIEIAVLKSELHKGRSELSAAEARSGSVKPGLYLAVQQLAVEAEAAERENQRLKGLDKVTEESEDFGLMHTDQYEKYSCQDVDAFQKNESNAESVKRRNENDGNITISLEEYEFLIRKAEKAGEFLRRESSNMSITSITSENKYESQLLKKELEIAIVKNRELRTRLEQAVTRAEAAEKAKTILEDQQKRRQEQKQRIKAAIVGLHEESTSREFSSSTYGSAPKEYQPLGKVLNMKF >Potri.002G106400.1.v4.1 pep chromosome:Pop_tri_v4:2:7865369:7869704:1 gene:Potri.002G106400.v4.1 transcript:Potri.002G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106400.v4.1 MLQRAASNAYSWWWASHIRTKHSKWLEENLQDMEEKVNNMLKIIENSGDTFAQRAEMYYRRRPELINHVEDSYRAYRALAERFDHLSKELQSANRTIATVFPEQVQFAMDDDDFEESDPTIFESDDPNEAHKVSKANIPKVPSMPKKDFRNQTMLLSRKGQQLNRTASSAKANVPPSSGLSREEAAEKTDKLQKEILALQTEKEFVQSVSERCQAKCGGIENQITEMQVTISGLQDEFGISNVIDDNEARTLMAATALKSCKDTLVKLQEKRELSAEEAKVENRRIKEVQQKYVALKGEFLSDQSDLLEPADEQEAESEDIDPNDTNLLREKIKGELEADLKSSHTVMQLAERIDELVEKVVNLETAVSSQDALVNRLKSDSDGLQTHIKTLEEDKATLMENSEKMSNKLRELEEEFRRVKCLNENIKDQNKNLLTRLTEASCAIDHLSVKLQTVKPDKEVEKEESIQESGAAVDVKAGRGVEEQEEHLASFNDAFVSKAMEMEKEGRKDDVPAVNSSIKAEESGPNQKLTAITDDHVPTHDQRDLHEEENDLAARLEELGIDEEDQPNWRQLLASGSDDREKLLLEEYTLVLRNYTEVRKKLGDVEKKNRDGFFELALQIRELKNALALRDEEIQSLREMSSHQQNLNENNDGDSTKYKYSHAEGSPDLMTQAASFLDSNLSSLSSPPRPVFDSEHEHHVEARGRIGELAVSGGNRRYSAKEVSNVIKMKRADSPHVPSAVEEKIRSDIDGLLEENLEFWLRFSTTLHQIRKFQTSVHDLKVELSKVRDKTRREGNDKSQYIISETRPIFTHLREIQTELTLWLENNSVMKDEIHARYASLCNIQDELLRVSNPRSKEEEAELSEYQAAKFQGEILNMKQESNKVADELQTGLDRVRGLKVEVERLLTKLDEELGISASKNRSSSHKARIPLRSFLFGVKLKKLKGQKQSIFSCASPALQKQYSMFEDGKPPQHH >Potri.001G162400.1.v4.1 pep chromosome:Pop_tri_v4:1:13774293:13779844:1 gene:Potri.001G162400.v4.1 transcript:Potri.001G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162400.v4.1 MAQDKHGLTGISDSGNHISILKKNKRLFLASFAAFFLVATIAAVVTGVNSHKNGKNEGAHAILKSACSSTLYPELCYSAIATVPGVTSNLASLKDVIELSINLTTKTVQQNYFTVEKLIAKTKLTKREKTALHDCLETIDETLDELHEALVDINGYPDKKSLKEQADNLKTLLSSAITNQETCLDGFSHDGADKKVRKALLKGQTHVEKMCSNALAMIRNMTDTDIANELQNTNRKLKEEKEGNERVWPEWMSVADRRLLQSSSVTPNVVVAADGSGDYKTVSEAVAAVPKKSSTRYVIQIKAGVYRENVEVPKDKHNVMFLGDGRKTTIITASRNVVDGSTTFKSATVAAVGQGFLARGVTFENTAGPSKHQAVALRVGSDLSAFYECDMLAYQDTLYAHSNRQFFINCLIAGTVDFIFGNAAAVFQDCDIHARRPDSGQKNMVTAQGRTDPNQNTGIVIQKSRIGATSDLLPVQSSFPTYLGRPWKEYSRTVIMQSSITDVIQPAGWHEWSGTFALSTLFYAEYQNSGSGAGTSSRVTWEGYKVITSATEAQAFAPGNFIAGSSWLGSTSFPFSLGL >Potri.017G133800.2.v4.1 pep chromosome:Pop_tri_v4:17:13558990:13559793:-1 gene:Potri.017G133800.v4.1 transcript:Potri.017G133800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G133800.v4.1 MNPMEYGRFLVLSLGTGTAKSEGKYDADEAAKWGIFGWLTSDHSTPLVDVFTQASGDMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEKLLEKPVSRVNLATGVYEPINKMTNEEALTKLAKLLSREKQLREVRSSFLGKASASKL >Potri.007G035800.1.v4.1 pep chromosome:Pop_tri_v4:7:2798450:2800287:1 gene:Potri.007G035800.v4.1 transcript:Potri.007G035800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G035800.v4.1 MGLKVNVSAIFLLLFLTSTDVKAENVVFDVTKYGDEEDISKAFKSAWEDACASTSPSKVLIPRGTYLLGPVIISGPCKAAIELQVKGKLQAPVDMREFEGFSSWITLNYVDQFTLTGGGTFDGQGKSASNQNNCGKDKHCKLPPVSLKFNFITNGIVHDITSTDSKYFHAHLLGCKNLTFQHFTITAHDESLNTDGIHIGRSKDIKIIDSDIGTGDDCISLGHGSRQITIEGVTCAPGHGISIGSLGKSQNEESVSGIFVKNCTISNTQNGVRIKSWPALFGGSASDIHFEDIIMKNVSNPIVIDQVYCPWNECNRKSASKVSIKNVSFKNIRGTSRTPVAVQLSCSKDIPCDEVEVADIDLKYTGSEGPAKFQCSNIHLHEKNEDIKKRKYI >Potri.007G102900.2.v4.1 pep chromosome:Pop_tri_v4:7:12655599:12657123:1 gene:Potri.007G102900.v4.1 transcript:Potri.007G102900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102900.v4.1 MASSSDQTKMTIPLHFRSICLDDDDRSAGFVSIQPRSGDGRCRANLGFALIPEYWNQGVTTRAITEGWKLFPEVAKLEAMADVDNNGCHRVMEKLGFHKEGVLRKHTVINDKLLDCSKDMNGFPGENRSRIGLRKLLSSFKSSPP >Potri.008G172400.1.v4.1 pep chromosome:Pop_tri_v4:8:11907594:11910777:1 gene:Potri.008G172400.v4.1 transcript:Potri.008G172400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G172400.v4.1 MMAGGLGSLGGGGGGSSGASTNDSTMSKVEVVEAEASSYPVEAELELGLSLGSGGRGGGGGGKGKANARGERGRILTAKDFPSVVSQPQRPNNNTSISSACVVGAVSGTKRAADSVSHEGGSPTAGSQVVGWPPIRAYRMNSLVNQAKAARAEEDKGIGEKDISKDNLKKKICNGNKTSAPSNEKGHLGFVKVNMDGIPIGRKVDLNAHACYETLAQALEEMFFRSATTINSIGGEKRQVTKPSKLLDGLSEFLLTYEDKEGDWMLVGDVPWGMFLNSVKRLRIMRTSEANGLAPRFQDRNEKQRIKPV >Potri.015G086400.3.v4.1 pep chromosome:Pop_tri_v4:15:11060445:11064699:1 gene:Potri.015G086400.v4.1 transcript:Potri.015G086400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086400.v4.1 MTIKATPIIKDGCLMVRGKVVLSRVPQNILVSPASNGSAFFGATSPSPSSRHVFSLGVLEKYRFLCLFRVKIWWMIPRVGKSGSEIPMETQMLLLEATEESALNDEVNSSETSTDNTFYILFLPVLDGLFRSSLQGTSENELHFCVESGDANVQTSQALEAVFVNSGENPFELIKNSVKILEQHKGTFCHIENKKIPAHLDWFGWCTWDAFYTQVNPQGIKEGLQSFLEGGCSPKFLIIDDGWQDTVNEFRKEGEPLIEGTQFATRLVDIKENGKFRSSGPDEGCTDLHEFIDTIKEKYGLKFVYMWHALAGYWGGVLPSSDSMKKYNPKLVYPIQSPGNVGNMRDIAMDSLEKYGVGVIDPSKIFDFYNDLHSYLASNGVDGVKVDVQNLIETLGSGCGGRVTLTRQYQEALERSISRNFKENNLICCMSHNSDSIYSSKRSAIARASEDFMPREPTFQTLHIASVAFNSFLLGEIVVPDWDMFHSKHDTADFHGAARALGGCAVYVSDKPGIHDFKILKKLVLPDGSILRARHAGRPTRDCLFEDPVMDAKSLLKIWNLNKLTGVIGVFNCQGAGSWPMKQEAEEIPTVPSGPSSLSGHVSPIDVEFLDDIAGEDWNGDCAIYAFNSGSLSMLPKKGILEVSLTTLKYEIYTISPIKVFGQNLQFSPIGLLDMYNSGGAVEAVNCIIDVSSYTIKVNGRGGGRFGAYSNTKPTFCRVDMKEEEFTYNDKNGLLIVKLECTGNLREIEFIY >Potri.015G086400.2.v4.1 pep chromosome:Pop_tri_v4:15:11060299:11064725:1 gene:Potri.015G086400.v4.1 transcript:Potri.015G086400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086400.v4.1 MTIKATPIIKDGCLMVRGKVVLSRVPQNILVSPASNGSAFFGATSPSPSSRHVFSLGVLEKYRFLCLFRVKIWWMIPRVGKSGSEIPMETQMLLLEATEESALNDEVNSSETSTDNTFYILFLPVLDGLFRSSLQGTSENELHFCVESGDANVQTSQALEAVFVNSGENPFELIKNSVKILEQHKGTFCHIENKKIPAHLDWFGWCTWDAFYTQVNPQGIKEGLQSFLEGGCSPKFLIIDDGWQDTVNEFRKEGEPLIEGTQFATRLVDIKENGKFRSSGPDEGCTDLHEFIDTIKEKYGLKFVYMWHALAGYWGGVLPSSDSMKKYNPKLVYPIQSPGNVGNMRDIAMDSLEKYGVGVIDPSKIFDFYNDLHSYLASNGVDGVKVDVQNLIETLGSGCGGRVTLTRQYQEALERSISRNFKENNLICCMSHNSDSIYSSKRSAIARASEDFMPREPTFQTLHIASVAFNSFLLGEIVVPDWDMFHSKHDTADFHGAARALGGCAVYVSDKPGIHDFKILKKLVLPDGSILRARHAGRPTRDCLFEDPVMDAKSLLKIWNLNKLTGVIGVFNCQGAGSWPMKQEAEEIPTVPSGPSSLSGHVSPIDVEFLDDIAGEDWNGDCAIYAFNSGSLSMLPKKGILEVSLTTLKYEIYTISPIKVFGQNLQFSPIGLLDMYNSGGAVEAVNCIIDVSSYTIKVNGRGGGRFGAYSNTKPTFCRVDMKEEEFTYNDKNGLLIVKLECTGNLREIEFIY >Potri.015G086400.1.v4.1 pep chromosome:Pop_tri_v4:15:11060448:11065535:1 gene:Potri.015G086400.v4.1 transcript:Potri.015G086400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086400.v4.1 MTIKATPIIKDGCLMVRGKVVLSRVPQNILVSPASNGSAFFGATSPSPSSRHVFSLGVLEKYRFLCLFRVKIWWMIPRVGKSGSEIPMETQMLLLEATEESALNDEVNSSETSTDNTFYILFLPVLDGLFRSSLQGTSENELHFCVESGDANVQTSQALEAVFVNSGENPFELIKNSVKILEQHKGTFCHIENKKIPAHLDWFGWCTWDAFYTQVNPQGIKEGLQSFLEGGCSPKFLIIDDGWQDTVNEFRKEGEPLIEGTQFATRLVDIKENGKFRSSGPDEGCTDLHEFIDTIKEKYGLKFVYMWHALAGYWGGVLPSSDSMKKYNPKLVYPIQSPGNVGNMRDIAMDSLEKYGVGVIDPSKIFDFYNDLHSYLASNGVDGVKVDVQNLIETLGSGCGGRVTLTRQYQEALERSISRNFKENNLICCMSHNSDSIYSSKRSAIARASEDFMPREPTFQTLHIASVAFNSFLLGEIVVPDWDMFHSKHDTADFHGAARALGGCAVYVSDKPGIHDFKILKKLVLPDGSILRARHAGRPTRDCLFEDPVMDAKSLLKIWNLNKLTGVIGVFNCQGAGSWPMKQEAEEIPTVPSGPSSLSGHVSPIDVEFLDDIAGEDWNGDCAIYAFNSGSLSMLPKKGILEVSLTTLKYEIYTISPIKVFGQNLQFSPIGLLDMYNSGGAVEAVNCIIDVSSYTIKVNGRGGGRFGAYSNTKPTFCRVDMKEEEFTYNDKNGLLIVKLECTGNLREIEFIY >Potri.002G105000.1.v4.1 pep chromosome:Pop_tri_v4:2:7704583:7706978:-1 gene:Potri.002G105000.v4.1 transcript:Potri.002G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105000.v4.1 MKGEYVEHHQSKHENTPNMFSKLHPRHHQHLPFSQQYQFSRESEEEDTRSTGAAATPNLTPTTQKQKLNEPNSSGGTDGATIEVVRRPRGRPPGSKNKPKPPVIITRESEPSMSPYILEVPGGNDVVEALSRFCRRKNMGICVLTGSGTVANVTLRQPSATPGATITFHGRFDILSISATFLPQTASYPVPNSFTISLAGPQGQIVGGIVAGSLVAAGTVFVVAASFNNPSYHRLPLEEEGRTSGSDGGGEGQSPAVSGAGGGESGHAASGGGGGESCGIAMYSCHMPNDVIWAPAARPPPPPPY >Potri.012G003000.1.v4.1 pep chromosome:Pop_tri_v4:12:1227920:1230598:1 gene:Potri.012G003000.v4.1 transcript:Potri.012G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003000.v4.1 MSLEMDLSVVCLLMLVLVCHGAGHNETFQEARCKKHGPAIRFPFRLDKQPVHCGYDPRFVLSCNQRNETLLQLPTSVTLNIKKIDYASRLIIAADPDNCLPRQLRNFSLSQSPFKFAGQYQDDYALFNCTSKQGDYYDPISCLGVPGYDIYAYSSNNFLGYTDLTNCTKMYNVPSIPSEMIRGDNILHLNWSEPAACVGCEEQGKFCGWKKNTSKLETECYEKPKSNKGKIRKIEGAVATVGSVLVLLVLLAAYRVYSSDKAAKNNQKRIENFLADYKALKPARYTYADIKRITDEFKDKLGQGAYGTVFKGKLSDEIFVAVKILNNSTGNGEEFINEVATMGKIHHVNVIRLVGYCADGFRRALVYDYLPNESLEKFVSSEHGETSSLSWEKLQDIALGMAKGIEYLHQGCDQRILHFDIKPHNILLDDHFNPKISDFGLAKLCSKDQSAVSMTTARGTMGYIAPEVFSRNFGHVSYKSDVYSFGMVLLEMVGGRKTIDDKVENSNQIYFPEWVYNSLDKGEELRIRIEKEGDAQIAKKLTLVGLWCIQWHPVDRPSMNTVVQMLEGEGDKLTMPPSPFASAGPGRMHANMPGRPHYQALEVISETE >Potri.002G225800.1.v4.1 pep chromosome:Pop_tri_v4:2:21422041:21424777:1 gene:Potri.002G225800.v4.1 transcript:Potri.002G225800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G225800.v4.1 MEDNTRAAAPPPPQPPNFDLNDTNNTALVDAFCEITSSSKQEALFFLESHQWDLDSAVSTFLDNDSAPPLVTAIPPLPSHPVNSASPSPSPSPPQSHSPNYSPSQSPSRSRSPSPIPSRAPYRLRSRGKKPSANRTRGGVRTLADLNRTPNAGSDSDDDDDDEPQQYYTGGEKSGMLVQDPSKRYDVDGIFDQARHSGAVERPADYHQPSSSSRSFPGTGRLLSGDTMVSSAPQPPAAVNHAVTLWRNGFTVDDGPLRRFDDPANASFLESIKRSECPKELEPLDRGTQVHLDLMRREENYSEPEKPLVSFQGVGRALGSSSDTTVPAASEPTVASLKAAPVPTPGLVLDSSSPTTSIQLRLADGTRMVSRFNLNHTIRDIRAFIEASRPGGASNYQLQTMGFPPKQLTDPDQTIEEAGIASSVVIQKF >Potri.003G094300.1.v4.1 pep chromosome:Pop_tri_v4:3:12051621:12053944:-1 gene:Potri.003G094300.v4.1 transcript:Potri.003G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094300.v4.1 MATTSTTATCQLSCFSSLNRRLNHLHRRSILSLPQSPRYKSWVVVSNEGHNNGAHSLTSNIKTSSTDHNYTAPEEDKGISDPVKGVYGSAKIHDFCFGIPFGGIVLSGGLIGFVFSKNAVSLGTGVLYGGALLALSTFSLKIWRQGKSSFPFVLGQAVLAAALCWNNFRAYSLTKKLIPTGFFAVISAAMLCFYSYVMISGGNPPPKKLQSSASVSS >Potri.017G109401.1.v4.1 pep chromosome:Pop_tri_v4:17:11742235:11744354:-1 gene:Potri.017G109401.v4.1 transcript:Potri.017G109401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109401.v4.1 MHHIMVVSLATFHLIVAYRIISSVSVKKNELCPLLMKSGLNFLCFSPTHTTRARLHLECLDKELGPLLDNLFSQFQNYKMHIYLHYNFKYHNLEGWLTASSNLGKGQPLWSFNFDSVLLVIWICSGLFTLVIITLATS >Potri.001G329800.1.v4.1 pep chromosome:Pop_tri_v4:1:33855074:33856171:1 gene:Potri.001G329800.v4.1 transcript:Potri.001G329800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G329800.v4.1 MVNERIKMESIRNEKSRMLTFRKRKTTLLKKVSDFSILCGVDACVIIFGPNQNDQPAATAETWPSNSDEVRCIINRYKACDQPRKCYRGSDYFTAKKKKIDAEFAKLHRQVLKAKYPAWDDRLSSLSSDQLRVLLGQLDTKLIETADKTLSIFKEYQYVMDNDASWMQASSHDVQKCRKRNGNNNDNMDSTDFLQLVSNWKSFEAQPPIPFQPEMSSPMAKLDANSLNQRTYNNGYSTLYSEPKALNVLPPVHYESKQSAYRTPSQTNITEDAMMKIMSYQSHNNSFGCQASSSNNQHLSCNGPLYVNPAPWAWFNNADSSVRSVASTMQPMQPYKQFPMTSFPQQSLFSEINEFNGNAEFERRD >Potri.017G134051.1.v4.1 pep chromosome:Pop_tri_v4:17:13598587:13601185:-1 gene:Potri.017G134051.v4.1 transcript:Potri.017G134051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134051.v4.1 MANMQTPRSPLQLPTRGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGADARLADYFDVISGTSTGGLVTAMLAAPNKQNRPLFAAKDINDFYLENCPKIFPQDSSKFASAANLVKTLGGPKYDGKFLHSIVKEKLGDTWLHQTLTNIVIPTFDIKRLQPTIFSSYNVKNNPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVRDFNLIDGGVAANNPTLVAISEVSKAINREGPDSYRMNPMEYGRFLVLSLGTGTAKSEEKYDAEEAAKWGLLGWLTSDHSTPLVDVFTQASADMVDFHISTVFQALNSEENYLRIQDDTLTGTLSSVDVATKENLENLVKVGEELLKKPVSRVNLATGVFEPINKMTNEEALRKLAKLLSREKHLRQAKSAVGN >Potri.010G027301.1.v4.1 pep chromosome:Pop_tri_v4:10:3952750:3956012:-1 gene:Potri.010G027301.v4.1 transcript:Potri.010G027301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027301.v4.1 MEDVQQPSASLCNGSQDTIEENQEKKAVPVRRKRLTRSRFADSFSCHAPVSDKKSFTVEAEKEEEEEKETKDGTEKSSPRGVLEDLSVSVESETSSKASSSNSYESEATSPRASTSGSEGQPNATTPWRDFFRVFKKGPAASCHPLPPLKKGTPKLSKRKSRSRRFREEIVPTLNSSLDGEFCHFKSSWKNFSLSELHAATDTFSQENLIGEGGYAEVYKGKLEDGQFVAIKRLTRGSPEDMTVDFLSELGINVHVDHPNIAKVIGYGVEGGMHLVLELSVNGSLASLLYGPKEKLDWRIRYKIALGTARGLLYLHEECQRRIIHKDIKASNILLTEDLEPQISDFGLAKWLPEEWSHHTLSKIEGTFGYLPPEFFMHGIVDEKTDVYAYGVLLLELITGRQALDSSQHSLVMWAKPLLLNNSIEELVDPILADAYDSEQMDRLACTASMCIHQSPSERPQMSQVVRVLQGDECSFEELKQRQRTPSIEELYDADENDSTEYLSDLNQQMEVVLSNCNEKSEEHGRSTECLDDPNQQEEGVAVLSRSGI >Potri.001G158700.3.v4.1 pep chromosome:Pop_tri_v4:1:13416750:13421990:1 gene:Potri.001G158700.v4.1 transcript:Potri.001G158700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158700.v4.1 MFRPKETYRDIIISRGSGEGEETSDLKSSQFTKGREKSIHPPIESHWILLSPEENKPSSLPKPGIKSMLNYPLRIRDSLKKLGKSKSLRVVLEGVHDPKDEKLIDSFRELLFVEGHLTGKHNDYHTLLRFLRMRDFDFSKAKDTYVNYLKWREEYGVDAIPKELKFEEHAEVKKCYPHGYHGVDRYGRPIYIERIGMVDINSLVQATTIERFVKYHVSEQEKTLNLRFPACSITAKRHIASTTSILDVKGVGMSNFSKPARCLFMDILKIDSNYYPETLNRLFIVNAGNGFRMLWKALRAFLDARTLAKIHVLGCNYLSNLLEVIDQSNLPSFLGGNCTCSDYGGCLFSDKGPWQNPEVVEMLQSTSITEEIYNTESNRGVASEEAMGTGQNEDSGDACLTREEHREHEDTKVAGKTEAQNIQALERALVDTNKEIQALKTALDSTKAVLERLEQQIQALGV >Potri.001G158700.5.v4.1 pep chromosome:Pop_tri_v4:1:13416750:13421990:1 gene:Potri.001G158700.v4.1 transcript:Potri.001G158700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158700.v4.1 MFRPKETYRDIIISRGSGEGEETSDLKSSQFTKGREKSIHPPIESHWILLSPEENKPSSLPKPGIKSMLNYPLRIRDSLKKLGKSKSLRVVLEGVHDPKDEKLIDSFRELLFVEGHLTGKHNDYHTLLRFLRMRDFDFSKAKDTYVNYLKWREEYGVDAIPKELKFEEHAEVKKCYPHGYHGVDRYGRPIYIERIGMVDINSLVQATTIERFVKYHVSEQEKTLNLRFPACSITAKRHIASTTSILDVKGVGMSNFSKPARCLFMDILKIDSNYYPETLNRLFIVNAGNGFRMLWKALRAFLDARTLAKIHVLGCNYLSNLLEVIDQSNLPSFLGGNCTCSDYGGCLFSDKGPWQNPEVVEMLQSTSITEEIYNTESNRGVASEEAMGTGQNEDSGDACLTREEHREHEDTKVAGKTEAQNIQALERALVDTNKEIQALKTALDSTKAVSLEMFVVYCCQFRSHQVLFI >Potri.001G158700.4.v4.1 pep chromosome:Pop_tri_v4:1:13416750:13420703:1 gene:Potri.001G158700.v4.1 transcript:Potri.001G158700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G158700.v4.1 MFRPKETYRDIIISRGSGEEENKPSSLPKPGIKSMLNYPLRIRDSLKKLGKSKSLRVVLEGVHDPKDEKLIDSFRELLFVEGHLTGKHNDYHTLLRFLRMRDFDFSKAKDTYVNYLKWREEYGVDAIPKELKFEEHAEVKKCYPHGYHGVDRYGRPIYIERIGMVDINSLVQATTIERFVKYHVSEQEKTLNLRFPACSITAKRHIASTTSILDVKGVGMSNFSKPARCLFMDILKIDSNYYPETLNRLFIVNAGNGFRMLWKALRAFLDARTLAKIHVLGCNYLSNLLEVIDQSNLPSFLGGNCTCSDYGGCLFSDKGPWQNPEVVEMLQSTSITEEIYNTESNRGVASEEAMGTGQNEDSGDACLTREEHREHEDTKVAGKTEAQNIQALERALVDTNKEIQALKTALDSTKAVLERLEQQIQALGV >Potri.005G194600.1.v4.1 pep chromosome:Pop_tri_v4:5:20172028:20175680:-1 gene:Potri.005G194600.v4.1 transcript:Potri.005G194600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G194600.v4.1 MDRYQRVEKPRTETPINENEIRITTQGRMRNYITYATTLFLEKGSDGISLKAMGRAINKTVMIAELIKRRIAGLHQNTSIGSTDITDTWEPLEEGLLPLETTRHVSVITITLSKKELDTSSTGYQSPIPADQVKPLAEYDYEGEGGSPRRHGRGRGGRGMARGRGNTSNGVEYNGDGGWDGGRGYGGRGRGRGRGRGYRGRGRGYGGGYMPQQSGGYNDYGGGAFVGQGRGRGRGRGRGRGRGRGFRPDGPAQAAE >Potri.018G084400.1.v4.1 pep chromosome:Pop_tri_v4:18:10223443:10224101:-1 gene:Potri.018G084400.v4.1 transcript:Potri.018G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084400.v4.1 MGGGDIEKMITIGLVWGATNALIRRGALLWDHQSLKSSSSSSSSSLSSPPKFHQKLLSSLKSWLSLLIFWQYSLPFLLNLSASAIFFAILSDTPISLAVPVTNATTFAATAVFGMLLGEDTRVDFALLGTGSIVIGVWLCCVT >Potri.009G044200.6.v4.1 pep chromosome:Pop_tri_v4:9:5071354:5074104:-1 gene:Potri.009G044200.v4.1 transcript:Potri.009G044200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044200.v4.1 MGLKVATTTCFQWSQPITHHSPSSSQSLASAISSPSSKRQRRFDGTGGCVLLCRCLQRLDRRTLFGTPLTKIQRARSLEFQKSRGQTIKRASSASLDAFSDEEFSKKIQELALRFQLSDDDDDGSDAVDSESEILSDSGDNLGSINGGDIYSNFIREDSSNLVHDQRQFPLDSMEPPWPEIRQEPPDWSGRDDIIPASIERKANSVDLPLSLRMIRRKMQWQEGFREAGESAYCSVKKAFCSMVFIIRELHAYSLQMREFLFTEDLQGILARVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVHSMANNAALAAPPNSGSYAATTESISVVETPDQKNQKFDSSSVKMFSVSSSSGKTTSIGGNNGGGGKVRPLASGTEGDGWFDQSNQIRTIVPDGASQLSSLGTSREAESASEQVSREEELSLWNSIVEEASKMQYFPLRDESLDHETIQRFVSPINAKIEADDYAEYFRTDLQYQMGLSQDPNNPLLLANYAQFLNMVFHDYDR >Potri.009G044200.4.v4.1 pep chromosome:Pop_tri_v4:9:5070317:5074270:-1 gene:Potri.009G044200.v4.1 transcript:Potri.009G044200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044200.v4.1 MGLKVATTTCFQWSQPITHHSPSSSQSLASAISSPSSKRQRRFDGTGGCVLLCRCLQRLDRRTLFGTPLTKIQRARSLEFQKSRGQTIKRASSASLDAFSDEEFSKKIQELALRFQLSDDDDDGSDAVDSESEILSDSGDNLGSINGGDIYSNFIREDSSNLVHDQRQFPLDSMEPPWPEIRQEPPDWSGRDDIIPASIERKANSVDLPLSLRMIRRKMQWQEGFREAGESAYCSVKKAFCSMVFIIRELHAYSLQMREFLFTEDLQGILARVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVHSMANNAALAAPPNSGSYAATTESISVVETPDQKNQKFDSSSVKMFSVSSSSGKTTSIGGNNGGGGKVRPLASGTEGDGWFDQSNQIRTIVPDGASQLSSLGTSREAESASEQVSREEELSLWNSIVEEASKMQYFPLRDESLDHETIQRFVSPINAKIEADDYAEYFRTDLQYQMGLSQDPNNPLLLANYAQFLNMVFHDYDRAEEYFKRAIGAEPPDAEAYSKYASFLWHVRKDLWAAEETFLEAISADPTNSYYAANYAHFLWNTGGEDTCFPLSSQDNAQEV >Potri.009G044200.1.v4.1 pep chromosome:Pop_tri_v4:9:5070221:5074263:-1 gene:Potri.009G044200.v4.1 transcript:Potri.009G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044200.v4.1 MGLKVATTTCFQWSQPITHHSPSSSQSLASAISSPSSKRQRRFDGTGGCVLLCRCLQRLDRRTLFGTPLTKIQRARSLEFQKSRGQTIKRASSASLDAFSDEEFSKKIQELALRFQLSDDDDDGSDAVDSESEILSDSGDNLGSINGGDIYSNFIREDSSNLVHDQRQFPLDSMEPPWPEIRQEPPDWSGRDDIIPASIERKANSVDLPLSLRMIRRKMQWQEGFREAGESAYCSVKKAFCSMVFIIRELHAYSLQMREFLFTEDLQGILARVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVHSMANNAALAAPPNSGSYAATTESISVVETPDQKNQKFDSSSVKMFSVSSSSGKTTSIGGNNGGGGKVRPLASGTEGDGWFDQSNQIRTIVPDGASQLSSLGTSREAESASEQVSREEELSLWNSIVEEASKMQYFPLRDESLDHETIQRFVSPINAKIEADDYAEYFRTDLQYQMGLSQDPNNPLLLANYAQFLNMVFHDYDRAEEYFKRAIGAEPPDAEAYSKYASFLWHVRKDLWAAEETFLEAISADPTNSYYAANYAHFLWNTGGEDTCFPLSSQDNAQEV >Potri.009G044200.5.v4.1 pep chromosome:Pop_tri_v4:9:5070834:5074121:-1 gene:Potri.009G044200.v4.1 transcript:Potri.009G044200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044200.v4.1 MGLKVATTTCFQWSQPITHHSPSSSQSLASAISSPSSKRQRRFDGTGGCVLLCRCLQRLDRRTLFGTPLTKIQRARSLEFQKSRGQTIKRASSASLDAFSDEEFSKKIQELALRFQLSDDDDDGSDAVDSESEILSDSGDNLGSINGGDIYSNFIREDSSNLVHDQRQFPLDSMEPPWPEIRQEPPDWSGRDDIIPASIERKANSVDLPLSLRMIRRKMQWQEGFREAGESAYCSVKKAFCSMVFIIRELHAYSLQMREFLFTEDLQGILARVQKEMHASFVWLFQQVFSHTPTLMVYVMILLANFTVHSMANNAALAAPPNSGSYAATTESISVVETPDQKNQKFDSSSVKMFSVSSSSGKTTSIGGNNGGGGKVRPLASGTEGDGWFDQSNQIRTIVPDGASQLSSLGTSREAESASEQVSREEELSLWNSIVEEASKMQYFPLRDESLDHETIQRFVSPINAKIEADDYAEYFRTDLQYQMGLSQDPNNPLLLANYAQFLNMVFHDYDR >Potri.007G138300.1.v4.1 pep chromosome:Pop_tri_v4:7:14932269:14934093:-1 gene:Potri.007G138300.v4.1 transcript:Potri.007G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G138300.v4.1 MGTISAEQHKLFPSHQILSPHKPLIRDLEIPPRKLLTRKTHQETLDVSPYETDLQEFLPYNDSNNEIDIDDELDPYSSDHFRMYEFKVRRCTRSRSHDWTDCPFAHPGEKARRRDLRRFHYSGAVCPEFIRGGCNRGENCEFAHGVFECWLHPFRYRTEACKDGKNCKRKVCFFAHSPRQLRILPEGSSHNKTLGSSPCSSLNHGHCCCAVCHSMTSSPTSTLLGMSPLSPPLSPSLTPSLSPVKHQSLSRFSPISRYSETLSKFRAGVVSYKDVFTELMSSLEAMNFNEAAGVSSPMSLYPNHKRNVNNTPRNIDVSFDGEDQPQFILSPSTPTPSSSFFNRDCSSNKGLFIDDIHKINDHNNGGLACTPDPDLGWVNELLM >Potri.012G059100.5.v4.1 pep chromosome:Pop_tri_v4:12:5837065:5839957:1 gene:Potri.012G059100.v4.1 transcript:Potri.012G059100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059100.v4.1 MDVRKIVVVVEDVDAARTALKWALHNLLRFGDFITLLHVFSPAMNSSRSKNKIRLLRLKGYQLALSFKDICNNFFNTNVEIIVTEGDQEGGKIAAMVREIGASALVVGLHDRSFLYKVPDIAPPKVPYKICPDPSAIIWRSRKSKRKGSSCIST >Potri.012G059100.2.v4.1 pep chromosome:Pop_tri_v4:12:5837065:5839957:1 gene:Potri.012G059100.v4.1 transcript:Potri.012G059100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059100.v4.1 MDVRKIVVVVEDVDAARTALKWALHNLLRFGDFITLLHVFSPAMNSSRSKNKIRLLRLKGYQLALSFKDICNNFFNTNVEIIVTEGDQEGGKIAAMVREIGASALVVGLHDRSFLYKLAMAHNSIANSFSCRVLAIKPPPLPLWRSTCARASAARAPDISISSMDFSQIEIGRPLEVPDIAPPKVPYKICPDPSAIIWRSRKSKRKGSSCIST >Potri.012G059100.6.v4.1 pep chromosome:Pop_tri_v4:12:5837528:5838011:1 gene:Potri.012G059100.v4.1 transcript:Potri.012G059100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G059100.v4.1 MDVRKIVVVVEDVDAARTALKWALHNLLRFGDFITLLHVFSPAMNSSRSKNKIRLLRLKGYQLALSFKDICNNFFNTNVEIIVTEGDQEGGKIAAMVREIGASALVVGLHDRSFLYK >Potri.010G104800.1.v4.1 pep chromosome:Pop_tri_v4:10:12664602:12667061:-1 gene:Potri.010G104800.v4.1 transcript:Potri.010G104800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH9 MNGGNGTYSYAKNSTLQRKSANAVREKIDEAIAEKLDMETLCSSGKSFHIADFGCSTGPNTFIAMQNILESVERKYKSQCPTRQIPEFQAFFNDQASNDFNTLFTTLPLDRQYFVAGVPGSFHGRLFPDSSLHFAYSSTALHWLSKVPEELLDKNSPSFNKGRIYYSNTLDKVVDAYSSQFAKDMEIFLDARAKELVAGGMLVMTMPGQPNGIPCCQTGMGMTIDYLESCFLDMVNEGIISEAKVDSFNLPMYSATLEEMKELIQRNGSFNVEKMELTMANGESNPQSYSSYSGRMLQMHLRAGIEEIISKHFGTEIIDDLFDRYAMKAEDFSHRLQSSERKGALLFVVLKRK >Potri.010G104800.2.v4.1 pep chromosome:Pop_tri_v4:10:12664602:12667062:-1 gene:Potri.010G104800.v4.1 transcript:Potri.010G104800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH9 METLCSSGKSFHIADFGCSTGPNTFIAMQNILESVERKYKSQCPTRQIPEFQAFFNDQASNDFNTLFTTLPLDRQYFVAGVPGSFHGRLFPDSSLHFAYSSTALHWLSKVPEELLDKNSPSFNKGRIYYSNTLDKVVDAYSSQFAKDMEIFLDARAKELVAGGMLVMTMPGQPNGIPCCQTGMGMTIDYLESCFLDMVNEGIISEAKVDSFNLPMYSATLEEMKELIQRNGSFNVEKMELTMANGESNPQSYSSYSGRMLQMHLRAGIEEIISKHFGTEIIDDLFDRYAMKAEDFSHRLQSSERKGALLFVVLKRK >Potri.008G196600.2.v4.1 pep chromosome:Pop_tri_v4:8:13889598:13891806:1 gene:Potri.008G196600.v4.1 transcript:Potri.008G196600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196600.v4.1 MATSAVDATGNPIPTSAVLTTASKHIATRCFSENVEFLKCKKKDPNPEKCLDKGQQVTRCVLGLLKDLHQKCTKEMDAYVGCMYYYTNEFDLCRKEQQAFEKACPLE >Potri.019G014332.1.v4.1 pep chromosome:Pop_tri_v4:19:2059073:2063125:1 gene:Potri.019G014332.v4.1 transcript:Potri.019G014332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014332.v4.1 MEGVPPSCNEDDVNLTSNQFDFQSPEDSFNISEFLLPADNQGSISCNDQGGQTTDQFPPSFPQTMTTMGDLDGTSSSWINQNEPNWPQAPSRDQQWTDYQLPIMSNQVPAMNQWHQNSNIPQPYPRHGYITPNATTSQHGGFNQPGPSFPAPRIQHFPNQGQVDQAFAIPNIDNMQQENFVPQNFDNSTRSQMDNLQVRGLQNQTATPNASNPGLGTSLQSQNRGLNTQQVEMVRSKDPFWNYIEDRTDGSMKCTFCPHTFANKTSISRIKWHLSGEEGHNVAICLGVPKEVQEAAFLAAYKRQKITASSANVNDCGISTCPQEQNIEINMVGVGEQRISSQAIAGNDEVSMTGMRAQEDRVSKGALESRLRTEPADRALEQSNAVLGNLAGGAGRIQAGVQGVLEQGAGEERINRVRQRTEPVEEDVENSRRSVQVGAGARSSESLKDNKTRGVPLPTSSTKPLGQAFEENTKVIRSLLMDGEVLSMGIYGMGGVGKSTILQHIYNEFLQKPDICNHVWWVTVSQDFSINRLQNLIAKHLDLDLSREDDDLHKAAKLSEKLKKKQKWILILDDLWNNFELHKVGIPEKLEGCKLIITTRSEMICHRMACQHKIKVKPLSDGEAWTLFMEKLGHDIALSPYMERIAKAVARECDGLPLGIITVAGSLRGVDDLHEWRNTLKKLKESEFRDNEVFKLLRFSYDRLGDLALQQCLLYCALFPEDHVIERMQLIA >Potri.004G234900.1.v4.1 pep chromosome:Pop_tri_v4:4:23949181:23953129:1 gene:Potri.004G234900.v4.1 transcript:Potri.004G234900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234900.v4.1 MEEVKKEQQEEAPMVIDLGSIDTQGLFVGGEKQSTCSYVNSLSSREMESLTAICDTLFPSVYNSSMMAASADDGSTNSISTFYQSSASMAGTPQRVGELFCDRVQHPKKWLIRLTLYLLSTWIGTFILCGLPSISPSFPYFLRFSQISQSKREQIVFSWATSFFYLLRMLFKSIKLVIPLVFFSQVDEKNENLTWKAIGYPGPDPAALKRQTQTCGLPETLYASLKDDDDDDDDDQHDCKEEHLFGPLYRGLVDLNLPRDRVADSLRQIGFPVSIRRGKDIDSSRDFSTSNPSLVIKCDAVVVGSGSGGGVVAGVLAKAGYKVLVLEKGNYFARNNLSLLEGPSMDQMYLGAGMLASDDMGVVVLAGSTVGGGSTINWSASIKTPQHVINEWSETYDLELFDSKLYKEALDAVCEKMGVQSDIDEEGFNSAVLRRGCEELGYPVNTIPRNSVPDHYCGWCCFGCKDGRKKGTCETWLKDMVNSGNGAILPGCEAIKVLHERKKGKDRSTASGVAFGFEYNGMKDLCVVESKVTIVAGGALSTPALLKASGLKNANIGKHLHLHPVTMAWGYFPEAPISTTAWPEEQKKSYEGGIMTAMSTVVANVNKSGYGAVIQTPSLHPGMFSGLIPWISGADMKRRMCRFSRTAHVFALARDRGSGTVNSPSSINYRMDAEDEKNLQEGLEKTLRILAAAGAEEIGTHHSTGKSLNVKKVSYREFERFVKEESARPLRDLTGQICSAHQMGSCRMGVNPKESAVNQMGEAWEVEGLFVADTSVFPTALGVNPMVTVQAIAYCTAQSVVQLLSRKRTHY >Potri.001G092100.1.v4.1 pep chromosome:Pop_tri_v4:1:7283564:7286209:1 gene:Potri.001G092100.v4.1 transcript:Potri.001G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092100.v4.1 MMASAATYSINTCFLDEGKNKNRNINEVTPCETTKPVTPVKESKIDDQDFKHQNLEKYSSLLPPSISSCSDKGKQVVTPLGIIEAGIDGEEDDNKTLTCKSHGMMSVIGRRSVMEDAVTMVLGVAVGESGSYDFFAVYDGHGGARVANACRYRMHQLVAKEVEKEERVGGGKGLEYWEKLMGACFEKMDEELVIHNECGGGEVEIGKEALSIKDMGSTAVVVMVGKEEVVVANCGDSRAVMCRGGLVVPLSHDHKPDRPDERERVEAAGGRIINWNGSRVQGVLATSRSIGDHYLKPFVISEPEVTVSERTESDEFVVIATDGLWDVVANETACKVVKKLFDGQLKRRLPDEFSGNCSAEAAAKLAKLAMARGSRDNISVIVVQLKKG >Potri.001G092100.4.v4.1 pep chromosome:Pop_tri_v4:1:7283564:7286210:1 gene:Potri.001G092100.v4.1 transcript:Potri.001G092100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G092100.v4.1 MMASAATYSINTCFLDEGKNKNRNINEVTPCETTKPVTPVKESKIDDQDFKHQNLEKYSSLLPPSISSCSDKGKQVVTPLGIIEAGIDGEEDDNKTLTCKSHGMMSVIGRRSVMEDAVTMVLGVAVGESGSYDFFAVYDGHGGARVANACRYRMHQLVAKEVEKEERVGGGKGLEYWEKLMGACFEKMDEELVIHNECGGGEVEIGKEALSIKDMGSTAVVVMVGKEEVVVANCGDSRAVMCRGGLVVPLSHDHKALVPLHSLTGLMKEREWKQQVDES >Potri.012G028101.1.v4.1 pep chromosome:Pop_tri_v4:12:2737252:2740809:-1 gene:Potri.012G028101.v4.1 transcript:Potri.012G028101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028101.v4.1 MGFSPLSLSQFLSSILLLFHFHSTISSPLSSNYSSSSSSHSCAHRQSLSLLQFKQSFSIQSSPFWFARNYQYDQYPKTESWKEGTDCCLWDGVSCDLKTGHVTALDLSCSMLYGTLLPNNSLFSLHHLQQLDLSFNDFNSSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLDLSWNDYVSVEPISFDKLVRNLTKLRELHLSWVNMSLAVPDSLMNLSSSLSSLKLHYCRLQGKLPSSMGKFKHLQYLDLGGNDFTGSIPYDFDQLTELVSLGLSSNNYLSLEPISFRKIVQNLTKLRELDLSYVNMSLVAPNSLTNLSSSFSSLFLWGCGLQGKFPSNIFLLPNLESMDLSYNEGLTGSFPSSNLSNVLSRLDLSNTRISVYLENDLISNLKSLEYMSLRNCNIIRSDLALLGNLTQLIVLDLSSNNFSGQIPPSLSNLTQLIVLVLSSNNFSGHIPPSLSNLTFLDLSSNNFSGHIPSSLGNLVQLRSLDLGSNKFMGQVPDFLGSLVNILYLDLSNNQLVGPIHSQLNTLSNLQYLYLSNNLFNGTIPSFLFALPSLYYLDLHNNNFIGNISELQHDSLRFLDLSNNHLRGPIPSSIFKQENLTTLILASNSKLTGEISSSICKLRFLRVLDLSTNSLSGSMPQCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNEIEGKISSSIINCTMLQVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPTAYNSFSKLRILDMSDNNFSGPLPTAYFNSLEAMMASDQNMIYMRATNYTGYVYSIEMTWKGVEIEFTKIRSTIRVLDLSNNNFTGEIPKMIGKLKALQQLNLSHNSLTGQIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGPIPSGEQFNTFDASSFEGNLGLCGSQVLKKCYGDEAPSLPPSSFDEGDDSTLFGEGFGWKAVTVGYGCGFVFGVATGYVVFRTKKPAWFLRMVEDKWNLNNKKTKKNAGRYGARRN >Potri.009G003900.1.v4.1 pep chromosome:Pop_tri_v4:9:1030927:1039295:1 gene:Potri.009G003900.v4.1 transcript:Potri.009G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003900.v4.1 MANGTDAKDAAVAKVRPGHKREFEFAFRAHSEICGSLGRTRSSRVSSSPGNNGSNGNNSKKLKSSGRKKGGLLEKGEEVAVIDLEEARVESLAPLLNNYGDGEIVEVKEFEEAKENEVECEEKNNGLVPVLMDGVMAESGVIENKGGGEVKEGDKVHACEEGSSGLVLIDEDSKPTVNRVLESKSGCELKKDDACEEGTSGLSSVSVKNDEGGYVNASFQPVVVNGDSKCKVEEEKPFRRFTRSALKPKIEPLDISSSDGVKVDDTGSSSVAAITTTPTKMFAIDGLKKFPTKLKDLLDSGILEGQKVKYLRGPKVRGPGEKGLHGVVKESGILCFCDDCKGKEVVTPTIFELHAGSANKRPPEYIFLENGNTLRDVMNACKNSSLDILDEAIRLSIGFTPSKKSNFCLSCRGAGTRKSKVLCSQCLELKDSQAILAPETDTKERTPRPSPVPESSSALLKSSPSRSNSQGRLTKKDIRMHKLVFEEEVLPDGTEVGYYSQGKKLLVGYKKGFGIFCSCCNTEVSPSQFEAHAGWASRRKPYLHIYTSNGVSLHELAISLSKCRRHSTKENDDLCQICRDGGKLLCCDVCPRAFHQECLSLPSIPKGKWYCKYCLNTFEKEKFVERNANAIAAGRVAGTDPIEQITRRCIRIVKTFEAEVGGCVFCRGHDFERTFGPRTVIICDQCEKEFHVGCLKEHQMQDLKELPTGKWFCCTGCERIHSALQKLVIRGEEKLPDSSLNFIKKKHEESASESGGGDDIRWRLLSKKTDPSDVTESLLSEAVAIFHERFAPITVDKSKRKRDDHDFIPSMVKGGDMKGQDLGGMYCAVLLVNHEVVSAAVMRIFGQELAELPIVATSSKSQGQGYFQTLFTCIEKLLGFLNVKNLVLPAAEEVESIWTNKFGFSTITQDELMEYRKSYQIMEFQGSLMLQKPVPKCRVVGKSEGG >Potri.009G003900.2.v4.1 pep chromosome:Pop_tri_v4:9:1030951:1039348:1 gene:Potri.009G003900.v4.1 transcript:Potri.009G003900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003900.v4.1 MANGTDAKDAAVAKVRPGHKREFEFAFRAHSEICGSLGRTRSSRVSSSPGNNGSNGNNSKKLKSSGRKKGGLLEKGEEVAVIDLEEARVESLAPLLNNYGDGEIVEVKEFEEAKENEVECEEKNNGLVPVLMDGVMAESGVIENKGGGEVKEGDKVHACEEGSSGLVLIDEDSKPTVNRVLESKSGCELKKDDACEEGTSGLSSVSVKNDEGGYVNASFQPVVVNGDSKCKVEEEKPFRRFTRSALKPKIEPLDISSSDGVKVDDTGSSSVAAITTTPTKMFAIDGLKKFPTKLKDLLDSGILEGQKVKYLRGPKVRGPGEKGLHGVVKESGILCFCDDCKGKEVVTPTIFELHAGSANKRPPEYIFLENGNTLRDVMNACKNSSLDILDEAIRLSIGFTPSKKSNFCLSCRGSITGAGTRKSKVLCSQCLELKDSQAILAPETDTKERTPRPSPVPESSSALLKSSPSRSNSQGRLTKKDIRMHKLVFEEEVLPDGTEVGYYSQGKKLLVGYKKGFGIFCSCCNTEVSPSQFEAHAGWASRRKPYLHIYTSNGVSLHELAISLSKCRRHSTKENDDLCQICRDGGKLLCCDVCPRAFHQECLSLPSIPKGKWYCKYCLNTFEKEKFVERNANAIAAGRVAGTDPIEQITRRCIRIVKTFEAEVGGCVFCRGHDFERTFGPRTVIICDQCEKEFHVGCLKEHQMQDLKELPTGKWFCCTGCERIHSALQKLVIRGEEKLPDSSLNFIKKKHEESASESGGGDDIRWRLLSKKTDPSDVTESLLSEAVAIFHERFAPITVDKSKRKRDDHDFIPSMVKGGDMKGQDLGGMYCAVLLVNHEVVSAAVMRIFGQELAELPIVATSSKSQGQGYFQTLFTCIEKLLGFLNVKNLVLPAAEEVESIWTNKFGFSTITQDELMEYRKSYQIMEFQGSLMLQKPVPKCRVVGKSEGG >Potri.018G145516.2.v4.1 pep chromosome:Pop_tri_v4:18:15164307:15169330:-1 gene:Potri.018G145516.v4.1 transcript:Potri.018G145516.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145516.v4.1 MGLFLQVLTVLVITVSLQGWVPLGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHAHCCSWERIRCNSSTGRVTMLYLEGVRNEELGDWYLNASLFLPFQQLNVLYLWNNRIAGWVENKGGSELQKLSNLESLYLEDNSFNNSILSFVEGLPSLKSLYLSYNRLEGLIDLKESLSSLETLGLGGNNISKLVASRGLSNLRYLSLYNITTYGSSFQLLQSLRAFPNLTTLYLGSNDFRGRILGDALQNLSSLEMLHLEACSLDEHSLQSLGALSSLKNLSLQELNGNVPSGGFLDLKNLEYLDLSYNTLNNSIFQAIRTMTSLKTLKLKGCSLNGQIPTTQDFLDLKNLEYLDLSNTALNNSIFQAIGTMTSLKTLILEGCSLNGQIPTTQGNEIFAEEDDHNLSPKFQLESLYLSGIGQGGAFPKFLYHQFNLQSLDLTNIQIKGEFPNWLIENNTYLQELHLENCSLLGPFLLPDNSHVNLSFLSISMNHFQGQIPSEIGARLPGLEVLFMSENGFNGSIPFSLGNISLLEVLDLSNNSLQGQIPGWIGNMSSLEFLDLSRNNFSGLLPPRFGSSSKLKFIYLSRNNLQGPIAMAFYDSSEIFALDLSHNDLTGRIPEWIDRLSNLRFLLLSYNNLEGEIPIHLYRLDQLTLIDLSHNHLSGNILSWMISTYNFPVENTYYDSLSSSQQSFEFTTKNVSLSYRGNIIWYFIGIDFSCNNFTGQIPPEIGNLSMLKVLNLSHNNLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLIELFSLEVFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSPTPTSTNNEDNGGFMDVEVFYVSFGVAYIMVLLVIGVVLRINLYWRRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.018G145516.1.v4.1 pep chromosome:Pop_tri_v4:18:15164307:15169330:-1 gene:Potri.018G145516.v4.1 transcript:Potri.018G145516.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145516.v4.1 MGLFLQVLTVLVITVSLQGWVPLGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHAHCCSWERIRCNSSTGRVTMLYLEGVRNEELGDWYLNASLFLPFQQLNVLYLWNNRIAGWVENKGGSELQKLSNLESLYLEDNSFNNSILSFVEGLPSLKSLYLSYNRLEGLIDLKESLSSLETLGLGGNNISKLVASRGLSNLRYLSLYNITTYGSSFQLLQSLRAFPNLTTLYLGSNDFRGRILGDALQNLSSLEMLHLEACSLDEHSLQSLGALSSLKNLSLQELNGNVPSGGFLDLKNLEYLDLSYNTLNNSIFQAIRTMTSLKTLKLKGCSLNGQIPTTQDFLDLKNLEYLDLSNTALNNSIFQAIGTMTSLKTLILEGCSLNGQIPTTQGLCDLNHLQELDMSDNDLSGVLPSCLPNLTSLQQLSLSYNHLKIPMSLSPLYNLSKLKSFYGSGNEIFAEEDDHNLSPKFQLESLYLSGIGQGGAFPKFLYHQFNLQSLDLTNIQIKGEFPNWLIENNTYLQELHLENCSLLGPFLLPDNSHVNLSFLSISMNHFQGQIPSEIGARLPGLEVLFMSENGFNGSIPFSLGNISLLEVLDLSNNSLQGQIPGWIGNMSSLEFLDLSRNNFSGLLPPRFGSSSKLKFIYLSRNNLQGPIAMAFYDSSEIFALDLSHNDLTGRIPEWIDRLSNLRFLLLSYNNLEGEIPIHLYRLDQLTLIDLSHNHLSGNILSWMISTYNFPVENTYYDSLSSSQQSFEFTTKNVSLSYRGNIIWYFIGIDFSCNNFTGQIPPEIGNLSMLKVLNLSHNNLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLIELFSLEVFSVAHNNLSGKTPARVAQFATFEESCYKDNPFLCGEPLPKICGAAMPPSPTPTSTNNEDNGGFMDVEVFYVSFGVAYIMVLLVIGVVLRINLYWRRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.019G105900.1.v4.1 pep chromosome:Pop_tri_v4:19:14157695:14165630:1 gene:Potri.019G105900.v4.1 transcript:Potri.019G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G105900.v4.1 MDPVLFKAAEAGNIGPFENYQTSLNQLLTADENTILHVYLKNQSSEPESTDFVDKFLERCPPLLFQANKRGETPLHLAARNGHSNVVKVLIDRAKALPADPESGVTKAKMMLRMTNEEQDTALHEAARNRRSHVVEILTKEDPEFSYPANVHGETPLYIAASIGFLMFSEEHGKVVDGILGNCISVDYGGPDGRTALHAASMAANYETARKMLEKEKKLTKTTDENGWSPLHYASYCDWSNAPIVEVLLEYDASTASIAETEKKRTALHIAAIQGHVDAMKEIVSRCPACCDLVDNRGWNALHYAVASKDTKVFKECLKIPELARLQTEKDDKGNTPFHLIAALAHEQPEWRYVLFNDGNGYRKWQIYGLNKRKLSVNDIYEEDFGEIQKEILESLNDGGSGPLGRRRKVLRRGRNKEGEDALSKARESHLVVAALIATVTFAAAFTLPGGYKSDRGTAILAKKAAFIVFVVSDAMSMVLSISAVFIHFLISLIHAFEMEKSKDISEEAAIKLFGVATLFTMIGMGIMIIAFITGTYAVLEPSLGLAISSCLIGLSFFFIVYLVFRIIFKDVED >Potri.001G296000.2.v4.1 pep chromosome:Pop_tri_v4:1:30714415:30716889:-1 gene:Potri.001G296000.v4.1 transcript:Potri.001G296000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296000.v4.1 MADNPLLNLFQTFQKVSNCIQAHLSNFTGQPQNPSPSTSKKPLLALTSPPPSSSKTYPANIDTPTVRPVDILNKLTPFTGKSAAAVTKEELGKTTWAFPHTLAAQYPEHPTGQQKKDVKELTWQMILRKISFVFSCISGHEQPFWGKLIRKCSKSKSCTSWTHTEFSQWLCRLHNVVIRSPGKLVLPYERVDARWGKLECE >Potri.001G375900.1.v4.1 pep chromosome:Pop_tri_v4:1:39511211:39530123:1 gene:Potri.001G375900.v4.1 transcript:Potri.001G375900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375900.v4.1 MLSFSLLQIPHHLPLPPLTEIPHHTYPLSKTLHFSPKQRLPRQFLSKKLCGFLSISNSSVSPSPEDGFEVELGRLLALLPQEMRKRVSEHPELLDLVEVVMDLGRKPLARFPSGDFVLSDCPITLQDLQHATSQVGDFAIDNRAGISQTLHRISAIRNREGQIIGLTCRVGRAIPGSASLLRDLVHDGASLLFIGPPGVGKTTIIREIARMLANDYKKRVMIVDTSNEIGGDGDIPHAGIGNARRMQVPDLDMQHKVLIEAVENHMPQVIVIDEIGTKLEAMAASTIAQRGIQLVATAHGITIENLILNPSLEMLVGGIQSVTLGDEEANRRGVQKTVLERKGPSTFSCGVEIISKTELRVHRSLEATVDAILSGRSPHVEVCKMSSQGVKKTIEREPAFQTSAEKKDEVLVEDFHLTDERIGHNELTSESPPDMGDNSLEHEVPLCLYVYGILETSVIQGINQLKMDAAVRLTDNISDADALLALQSKLKKNAKIQAAAKSHDIPIYVTKTSSLEQITKAIRALMSSHANGLKDSRTEENTKLSEKIDALEEARIAVMQVVIPKGEPVELLPRSSHIMSLQIDFIRKYQLETERIGTEPEARLRILPYQTRMDVNNKFIETIGTDSGVDDFISATGDTNGSPYSTDRLPLLPD >Potri.011G121000.1.v4.1 pep chromosome:Pop_tri_v4:11:15164007:15168141:1 gene:Potri.011G121000.v4.1 transcript:Potri.011G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G121000.v4.1 MAKDENGTASDKGGDVVESSGKSKDKSRQQNSESESHRRRRSRDSTDSESESEYEDTKRRKSKRKSRKRNRSRRSSDSEPESESDYSSESEESERRRRKRKERKRREREEERERKRRKREKEKKRERKEKEKKKKEKGKKGAVTNSWGKYGIIRETDMWNKRPEFTAWLAEVKQVNLETLPNWEEKQMFKQFMEDHNTATFPSKKYYNLDAYYQHKMEKELKKGFKKVMASERTVFNDEEQRRQELMREREKQKEAEVEALKCSMQSGMAQAMKEQAQLREEMAYQYKLGNFEAAAAIQRRLDPDVAM >Potri.009G007600.1.v4.1 pep chromosome:Pop_tri_v4:9:1540512:1542636:1 gene:Potri.009G007600.v4.1 transcript:Potri.009G007600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G007600.v4.1 MAKKKNNSSSNNNNEVEKKEEEKSKGSDGGGKKEEKGPVPVVLKVEMHCEGCVSKIVKSVRALEGVETVKAEPSSNKLTVTGKIDPLKVTDYLHLKTKKQVDLISPQPQKQDSNKNNNSSSNKEDKKSNDKKPDSAAKPKEATVSTAVLKLGLHCQGCIKKIQKIVLKTKGVQEMGIDTKTELVTVKGTMDVKALAETLKERLKRPVDIVPPKKEKEGGKEADNAAEGGGGKKKGGGQDAAAAAAAAAKLEEINRMQFTVQPGLGYMDQPTYGNGYVGQPVYASHGPDYGYGYGFGHAPHQGYGFGHAPHQGYRPVQAYPDHLQFNDENPNACSIM >Potri.018G024700.1.v4.1 pep chromosome:Pop_tri_v4:18:1817309:1821712:-1 gene:Potri.018G024700.v4.1 transcript:Potri.018G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G024700.v4.1 MGWRYKAGLFLIAAVVIIWVTSAEVTQDIFADYKQPFAVTYLGASLMVVYLPLAFLKDWICNLLKRRTSKSGNDAANINGSSDEFSSPLSHKIFELELQGALTKKGSELDLTSSEEGRPLVSRHKDDLNVLKHEKELTAREIAMCGFYIAPIWFVTEYLSNAALARTSVASTTVLSSTSGLFTLFIGAFLGQDSLNAAKVIAVLVSMAGVAMTTLGKTWAADDSQLSASANGKRSLVGDLFGLLSAMSYGLFTVLLKKFAGEEGERVDVQKLFGYIGLFTLVALWWLVWPLTALGIEPKFTIPHSAKLDEIVLANGFVGSVLSDYFWALCVVWTTPLVATLGMSLTIPLAMLADMVIHGRHYSAIYILGSVQVFVGFVIANLSNWFSKKLGL >Potri.019G032800.4.v4.1 pep chromosome:Pop_tri_v4:19:4567175:4580745:1 gene:Potri.019G032800.v4.1 transcript:Potri.019G032800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032800.v4.1 MGASRKLQGEIDRVLKKVQEGVDVFDSIWNKVYDTDNANQKEKFEADLKKEIKKLQRYRDQIKTWIQSSEIKDKKVSASYEQALVDARKTIEKEMERFKICEKETKTKAFSKEGLGQQPKTDPKEKAKSETRDWLNNVVGELESQIDAFEAEIEGLTVKKGKTRPPRLTHLEASITRHKLHIKKLELILRLLDNDELSPEQVNDVKDFLDDYVERNQEDFDDFSDVDELYNSLPLDNLESLEDLVIIGPPGLVKGAPVPVLKTSLAITAPQAPQTPATVSSPPHQSVVGQEQADDTASQDSNSDIVARTPAKSGMVGSSAASTPTGNHAPISVNVQVQTLPSLLAVSPTLPGSSSVRGVLENAAPANPSHVTLTNAANSAKDEEIAGFPGHRSSSPSLVDTGLARGIGRGGLSSQPSSSISLSPGVIPSNGALGSVPSASDIAKRNVLGTDDRLGSGGMVQPSVSPLSNRMMLPHASKASDGTGAVDSSNAGDAATLSGRVFSPLVTGMQWRPGSSFQSQNEPGQFRARTEIAPDQREKFLQRLQQVQQQGHSNILGMPPLASGNHKQFPTQQNPLLQQFNSQSSSISQGSLGIGVQAAGFNTATSAALQQPNSIHQQANQQVVMSSGAKDAEVGHPSVEEQQLKQNLPEDSTTESAPTSGLGKSLVNEDELTASYAMDTSLQAGASGSLTESVQVPRDIDLSPGQPLQSSQPSSSLGVIGRRSVSDLGAIGDNINGSAINAGAMHNQSYNFEMLDAAYHKLPQPKDSERARSYIPRHPAATPPSYPQVQAPMASNPAFWERLSMDSVGTDTLFFAFYYQQNTYQQYLAAKELKKQSWRYHRKYNTWFQRHEEPKVTTDEYEQGTYVYFDFHVGNEDKQGWCQRIKTEFTFQYNYLEDELSV >Potri.006G016250.1.v4.1 pep chromosome:Pop_tri_v4:6:1038015:1038239:-1 gene:Potri.006G016250.v4.1 transcript:Potri.006G016250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G016250.v4.1 MLVFFKIAWVLVQYTVMLCLLASKDRRIVDGHRRVLAMAWQMGYRRLWAKLNSFRCCKSYISCCCLSPMLESGF >Potri.008G129200.1.v4.1 pep chromosome:Pop_tri_v4:8:8429404:8430323:1 gene:Potri.008G129200.v4.1 transcript:Potri.008G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129200.v4.1 MDINLTLTLSILFFTSVLSNLPSLATSDDVCPYPCYPPPTGTGRTPTVLTTPPSPPSQSAGSYSPPGYPSPTGNLPFYPPPPFGNNLDGLSPPDPILPYFPFYYRKPPHQTDASLATNSLPTLMMAAISNILAFAFLHLVFGC >Potri.011G038166.2.v4.1 pep chromosome:Pop_tri_v4:11:2948431:2949603:-1 gene:Potri.011G038166.v4.1 transcript:Potri.011G038166.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038166.v4.1 MSPEYLMKGRFSEKSDVFSFGVLLLEIVSGRKNSSFYDNEHSLSLIGFAWKLWNEGDITALVVPAISDPCFQVEIFRCIHIGLLCVQELAKDRPAVSTITSMLNSESVDLPPPKKPAFVERQSSLDTESITQNQEINSINNVTISDVNGR >Potri.004G151400.4.v4.1 pep chromosome:Pop_tri_v4:4:17288338:17291167:1 gene:Potri.004G151400.v4.1 transcript:Potri.004G151400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151400.v4.1 MDSKGIKKPAKCSKSTSLSQRECENLQVMAVRLRTEWSKLKAEMENLLKKCERVKDENKSIMEALTKIYGRDAISDLIAMESDAETESDDEETTLSEQNQTADSRMLLEVRR >Potri.004G151400.3.v4.1 pep chromosome:Pop_tri_v4:4:17288337:17291168:1 gene:Potri.004G151400.v4.1 transcript:Potri.004G151400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151400.v4.1 MDSKGIKKPAKCSKSTSLSQRECENLQVMAVRLRTEWSKLKAEMENLLKKCERVKDENKSIMEALTKIYGRDAISDLIAMESDAETESDDEETTLSEQNQTADSRMLLEVRR >Potri.004G151400.2.v4.1 pep chromosome:Pop_tri_v4:4:17288359:17291037:1 gene:Potri.004G151400.v4.1 transcript:Potri.004G151400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151400.v4.1 MSHHVDVRHMKKFQTQGGGGFLCWLLTLSANNVQYRFYISPVLPASYIQYGLRRVVNMKLCETNNRECENLQVMAVRLRTEWSKLKAEMENLLKKCERVKDENKSIMEALTKIYGRDAISDLIAMESDAETESDDEETTLSEQNQTADSRMLLEVRR >Potri.003G006900.4.v4.1 pep chromosome:Pop_tri_v4:3:722436:725325:-1 gene:Potri.003G006900.v4.1 transcript:Potri.003G006900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006900.v4.1 MNELGSERSKPWNIYTSSDPAPSQTGVIDREAPWKSFGTSMNAISFGFVATAILVSMFLIMAIFEHLFRPTPPFSSPQEVTNGSAESRPLHKLRNPQTVPAAYASDFSVVMPGQQHPTCIAQPAPLPCPRERINWPAHEQIT >Potri.019G009300.1.v4.1 pep chromosome:Pop_tri_v4:19:1351308:1352194:-1 gene:Potri.019G009300.v4.1 transcript:Potri.019G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009300.v4.1 MSSSDPSVSGSRVANVGCCPVLGGACILDSTPCVNRTEYVFWDAIHPTESSNQFTARRSYSAFLPSDAYPYDISHLVNMQI >Potri.018G050600.1.v4.1 pep chromosome:Pop_tri_v4:18:4903899:4904579:-1 gene:Potri.018G050600.v4.1 transcript:Potri.018G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G050600.v4.1 MGTPLTSEANSLQRLLEEDKLVLDGFTRDCFAETPLHISAMLGHLEFKRNISSQTPVFAKELDFRRISTLLLATANGHLELVKALLLVNPDMCYAQDRDGQSPLHIAVIKSRVDVSKELVQTKPEAVLLRTERGETILHLCVKHYQIDALKFLVETIKESGFTSSKDEDGSTVLQLAVADREIEV >Potri.002G173101.1.v4.1 pep chromosome:Pop_tri_v4:2:13322965:13326521:-1 gene:Potri.002G173101.v4.1 transcript:Potri.002G173101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173101.v4.1 MPVMEKLRMFVAQEPVVAASCLIAGIGLFLPAVVRPILDSLEGSKHVPEKQPSISDVLAGMTGKRQG >Potri.008G064900.1.v4.1 pep chromosome:Pop_tri_v4:8:3915720:3916985:1 gene:Potri.008G064900.v4.1 transcript:Potri.008G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064900.v4.1 MATSRPPPPVPLDLDLTIISAKHLKNVNWKTGDLKPYAVFWVDPSRRLSTKSDESGSTRPVWNERFTLPLTFALRDSFLTLEIFHSKPSETPKPLVGTLRVALKDLSDPDDSNRVRTLELTRPSGRPQGKIRIKLGVRERPFSPHPPPQPVYGITAPQSYYYSGAAIPPPPDYRRLSMALPASLSPPPPPAPHYGPYHDAYPPPYYPGYHSSAPPPPTQPRPFLDRTMGSYGSGPSAPVDYGSYDTQRERHKGGKSMGFGTGLAVGAVAGALGGLALEEGLKHEDEKIAEKVENDLATRDDYSDYRADYGC >Potri.010G187500.1.v4.1 pep chromosome:Pop_tri_v4:10:18388331:18390888:-1 gene:Potri.010G187500.v4.1 transcript:Potri.010G187500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT3 MSLTPCRSNYKWSTYYHYFLMMVFLLLLFKIFMYSENFKSVFSGSFLLSRNEVHGIREKDEEVILPPKDCDLFTGKWVFDNATHPLYKEEECEFLSRQVTCLRNGRQDSLYQNWRWQPRDCSLPRFKAKLLLEKLRGKRLMFVGDSLNRNQWESMVCLLQSGAPLAKKSLSDSGSASFFRIEDYNTTVEFYWAPFLVESNSDHPSKHSILDRIIMPESINKHGDNWKGADYLIFNTYIWWMNSANMKVLKQGTFDEGVTEYDEIERTAAFGRVLRTWAKWIEENVDPNLTSVYFISMSPMHFRSLDWNNPDGIKCSKETAPVLNMTTPLNVGTDSRLLVVEANVTQSMKVPVYLLNITTLSEYRKDAHTSIYTTRQGQLLTEEQQADPKIYADCIHWCLPGLPDTWNEFLYTHIISHT >Potri.017G149500.1.v4.1 pep chromosome:Pop_tri_v4:17:14821410:14821841:1 gene:Potri.017G149500.v4.1 transcript:Potri.017G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G149500.v4.1 MDCAEAVADGDLKLTDFLFEEMEVLAAKETRKVTKIFVSCFAEALARRAYGVLPRNPVQLLPSLIVKYQCCPFQFLVTDFHFGGLISRKKAVHCVVFYIMEGSWEYGILLRECERAPVPVFWLTSIGPNPGQDSYPLLYKFWL >Potri.015G129500.1.v4.1 pep chromosome:Pop_tri_v4:15:14034426:14039429:-1 gene:Potri.015G129500.v4.1 transcript:Potri.015G129500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G129500.v4.1 MSLLSLPRSFFSLSLSSPSHAITSRRNRCFSCSLSVSKTSNATSPAILWFKQDLRLDDHPGLLQASKFPALLPLYVFDHRILSRYNDEKLELVLFALEDLRKSLKKQGSNLIIRFGNAENVIKELVLEVKAANVFAEVEVEYHLREIIHAVEETLVRMPSFDRSPEIVLWQTPFYDIKNLKDFPASYDEFEKLQLAVTSPLLPSRLPSAEMELDWGLLPTLDNLKKFVNESPSKLNESWALLKEMSSETILQKQLSKSGKVSLNNSNFKHSKRKRLDKSVFVTQKQNVVGGGTNSVLNALAAYLRYLEGTARDDWQEVHEKLRTAEIRDGASFFALFGPALCLGIISRRRVYYESIKYEKERNAGFLSPFGYSTATVSASADTVCSMEWYWLLLLKSQLSDEGAYPIWIWRWNGYLIQYTVVGNRGPAVLLVHGFGAFLEHFRDNISSISNDGNRVWAVTVLGFGKSEKPNVVYTELMWAELVRDFIIEVVGEPVHLMGNSIGGYFVALVAYFWPALAQSVVLINSAGDIIPAYTSPQFTKVRATSGATWLGARLLLFYLRLGLGSIVKNCYPTKTERVDDWLINEMLRASYDPGVLVVLESIFSFNLSLALNYLLEGFEGKVLIIQGMKDPITDSKSKVAMLKEHCPGVVIRELDAGHCPHDEKPEEVNSIISQWILTIESRVLSQACS >Potri.001G107000.1.v4.1 pep chromosome:Pop_tri_v4:1:8599505:8601605:1 gene:Potri.001G107000.v4.1 transcript:Potri.001G107000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107000.v4.1 MGFRVLVAKVKDFVMGEKEPRKAESEGASLPTQAEEHGPVKEEKEAPLNDYANEKSSVLVTEKVADPPATAKNSRGPNDRDAVLARVEAEKRCALIKAWEENEKAKAENKAHKKLSAIGSWETIKRESVEAKIKKYEEKVEKKKAEYAEKMKNKVAELHKAAEEKKAMIEAKKGEDRLEVEETAAKFRATGYTPRKCLGFLSV >Potri.014G130300.1.v4.1 pep chromosome:Pop_tri_v4:14:8714590:8717970:1 gene:Potri.014G130300.v4.1 transcript:Potri.014G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130300.v4.1 MKFCKKYQEYMQGQQKQLPGVDFKKLKKILKKCRKDFESHQDHDGQSCPHHCPVCDGTFFPSLVKEMSAVVGCFNKRAQKLLELHLASGFRKYFMWFQGRLQKDHAALIQEGKDLVTYALINAIAVRKILKKYDKIHYSKQGQAFKSKAQSMHIEILQSPWLCELMAFHINLRETKVKPNKAPALFEGCSLTFDDDKPSLSCELFDSIKLDIDLTCSICLDTVFDPVSLTCGHIFCYMCACSAASVTIVDGLKAAEPKEKCPLCRKTGVYEGALHLEELNILLSRSFHKYWEQRLQTERIERIRQVKEHWESQCRAFMGV >Potri.016G080900.9.v4.1 pep chromosome:Pop_tri_v4:16:6238451:6244546:-1 gene:Potri.016G080900.v4.1 transcript:Potri.016G080900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080900.v4.1 MEKLEKSKALNQLSNEASGNSSEETTALTMEAVEEKLGQIQLCSTLEALLLKKKSLRNGDSPEMHVEKVDKLKILSESLLNSTSKAEKRILEQRTQKEDALNFRVAKGDEISQLEKELSVEIREMEKQKDELEAELKKVNTSLNSARARIHNAREEREKFDEASNQILMHLKAKEDELAKSITSCRAEADVVNSWINFLDATWVLQTTDTELKENQVNGDLERYGDHFVNLSVHLLSAYKEQLGPSVIRMKGLVADLHSCQGRSEIAPIIKDEGSKAINHRKNLEEGFLELEAKILTITNAVDAMKKQFYTNYEGVYRKNDDRVKELFSAVEKIKEEIKSIQRPVLEVENQQSHSQSSNSPRVDPSSSSKQTFEAPGKKEANESGSPPVNRGNVVTQADLEKLGSDLGKDEEGYATEDIGEWEFDELEKEVNPKQLTSKE >Potri.016G080900.3.v4.1 pep chromosome:Pop_tri_v4:16:6238338:6248006:-1 gene:Potri.016G080900.v4.1 transcript:Potri.016G080900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080900.v4.1 MSWIRTAVNRAVEVGGKTNITRTVRSYADSVVLHAGNAVSEGAKIIQDRIGASSRSLQSLRLTAKRLEDVSVSCRGEERVQLLRRWLVALKETDRERMFSSSPTYEHHADDSFKDSPKKPTIVYYVDPDLGTMDFREVFLYSQALEGITLSMILEAPNEEEVSLLLEIFGLCLAGGKEVHKAVMSSIQDLATAFTTYEDEVLVKREELLQYAQSAISGLKINADIARIDAEAHNIMEKLEKSKALNQLSNEASGNSSEETTALTMEAVEEKLGQIQLCSTLEALLLKKKSLRNGDSPEMHVEKVDKLKILSESLLNSTSKAEKRILEQRTQKEDALNFRVAKGDEISQLEKELSVEIREMEKQKDELEAELKKVNTSLNSARARIHNAREEREKFDEASNQILMHLKAKEDELAKSITSCRAEADVVNSWINFLDATWVLQTTDTELKENQVNGDLERYGDHFVNLSVHLLSAYKEQLGPSVIRMKGLVADLHSCQGRSEIAPIIKDEGSKAINHRKNLEEGFLELEAKILTITNAVDAMKKQFYTNYEGVYRKNDDRVKELFSAVEKIKEEIKSIQRPVLEVENQQSHSQSSNSPRVDPSSSSKQTFEAPGKKEANESGSPPVNRGNVVTQADLEKLGSDLGKDEEGYATEDIGEWEFDELEKEVNPKQLTSKE >Potri.016G080900.7.v4.1 pep chromosome:Pop_tri_v4:16:6238489:6247113:-1 gene:Potri.016G080900.v4.1 transcript:Potri.016G080900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080900.v4.1 MDFREVFLYSQALEGITLSMILEAPNEEEVSLLLEIFGLCLAGGKEVHKAVMSSIQDLATAFTTYEDEVLVKREELLQYAQSAISGLKINADIARIDAEAHNIMEKLEKSKALNQLSNEASGNSSEETTALTMEAVEEKLGQIQLCSTLEALLLKKKSLRNGDSPEMHVEKVDKLKILSESLLNSTSKAEKRILEQRTQKEDALNFRVAKGDEISQLEKELSVEIREMEKQKDELEAELKKVNTSLNSARARIHNAREEREKFDEASNQILMHLKAKEDELAKSITSCRAEADVVNSWINFLDATWVLQTTDTELKENQVNGDLERYGDHFVNLSVHLLSAYKEQLGPSVIRMKGLVADLHSCQGSEIAPIIKDEGSKAINHRKNLEEGFLELEAKILTITNAVDAMKKQFYTNYEGVYRKNDDRVKELFSAVEKIKEEIKSIQRPVLEVENQQSHSQSSNSPRVDPSSSSKQTFEAPGKKEANESGSPPVNRGNVVTQADLEKLGSDLGKDEEGYATEDIGEWEFDELEKEVNPKQLTSKE >Potri.016G080900.10.v4.1 pep chromosome:Pop_tri_v4:16:6238451:6244546:-1 gene:Potri.016G080900.v4.1 transcript:Potri.016G080900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080900.v4.1 MEKLEKSKALNQLSNEASGNSSEETTALTMEAVEEKLGQIQLCSTLEALLLKKKSLRNGDSPEMHVEKVDKLKILSESLLNSTSKAEKRILEQRTQKEDALNFRVAKGDEISQLEKELSVEIREMEKQKDELEAELKKVNTSLNSARARIHNAREEREKFDEASNQILMHLKAKEDELAKSITSCRAEADVVNSWINFLDATWVLQTTDTELKENQVNGDLERYGDHFVNLSVHLLSAYKEQLGPSVIRMKGLVADLHSCQGSEIAPIIKDEGSKAINHRKNLEEGFLELEAKILTITNAVDAMKKQFYTNYEGVYRKNDDRVKELFSAVEKIKEEIKSIQRPVLEVENQQSHSQSSNSPRVDPSSSSKQTFEAPGKKEANESGSPPVNRGNVVTQADLEKLGSDLGKDEEGYATEDIGEWEFDELEKEVNPKQLTSKE >Potri.016G080900.2.v4.1 pep chromosome:Pop_tri_v4:16:6238338:6248006:-1 gene:Potri.016G080900.v4.1 transcript:Potri.016G080900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080900.v4.1 MSWIRTAVNRAVEVGGKTNITRTVRSYADSVVLHAGNAVSEGAKIIQDRIGASSRSLQSLRLTAKRLEDVSVSCRGEERVQLLRRWLVALKETDRERMFSSSPTYEHHADDSFKDSPKKPTIVYYVDPDLGTMDFREVFLYSQALEGITLSMILEAPNEEEVSLLLEIFGLCLAGGKEVHKAVMSSIQDLATAFTTYEDEVLVKREELLQYAQSAISGLKINADIARIDAEAHNIMEKLEKSKALNQLSNEASGNSSEETTALTMEAVEEKLGQIQLCSTLEALLLKKKSLRNGDSPEMHVEKVDKLKILSESLLNSTSKAEKRILEQRTQKEDALNFRVAKGDEISQLEKELSVEIREMEKQKDELEAELKKVNTSLNSARARIHNAREEREKFDEASNQILMHLKAKEDELAKSITSCRAEADVVNSWINFLDATWVLQTTDTELKENQVNGDLERYGDHFVNLSVHLLSAYKEQLGPSVIRMKGLVADLHSCQGSEIAPIIKDEGSKAINHRKNLEEGFLELEAKILTITNAVDAMKKQFYTNYEGVYRKNDDRVKELFSAVEKIKEEIKSIQRPVLEVENQQSHSQSSNSPRVDPSSSSKQTFEAPGKKEANESGSPPVNRGNVVTQADLEKLGSDLGKDEEGYATEDIGEWEFDELEKEVNPKQLTSKE >Potri.016G080900.8.v4.1 pep chromosome:Pop_tri_v4:16:6238434:6244559:-1 gene:Potri.016G080900.v4.1 transcript:Potri.016G080900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080900.v4.1 MIFLLMFYIMFSALRIDAEAHNIMEKLEKSKALNQLSNEASGNSSEETTALTMEAVEEKLGQIQLCSTLEALLLKKKSLRNGDSPEMHVEKVDKLKILSESLLNSTSKAEKRILEQRTQKEDALNFRVAKGDEISQLEKELSVEIREMEKQKDELEAELKKVNTSLNSARARIHNAREEREKFDEASNQILMHLKAKEDELAKSITSCRAEADVVNSWINFLDATWVLQTTDTELKENQVNGDLERYGDHFVNLSVHLLSAYKEQLGPSVIRMKGLVADLHSCQGSEIAPIIKDEGSKAINHRKNLEEGFLELEAKILTITNAVDAMKKQFYTNYEGVYRKNDDRVKELFSAVEKIKEEIKSIQRPVLEVENQQSHSQSSNSPRVDPSSSSKQTFEAPGKKEANESGSPPVNRGNVVTQADLEKLGSDLGKDEEGYATEDIGEWEFDELEKEVNPKQLTSKE >Potri.016G080900.6.v4.1 pep chromosome:Pop_tri_v4:16:6238423:6247922:-1 gene:Potri.016G080900.v4.1 transcript:Potri.016G080900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080900.v4.1 MQTPSSFTPGASSRSLQSLRLTAKRLEDVSVSCRGEERVQLLRRWLVALKETDRERMFSSSPTYEHHADDSFKDSPKKPTIVYYVDPDLGTMDFREVFLYSQALEGITLSMILEAPNEEEVSLLLEIFGLCLAGGKEVHKAVMSSIQDLATAFTTYEDEVLVKREELLQYAQSAISGLKINADIARIDAEAHNIMEKLEKSKALNQLSNEASGNSSEETTALTMEAVEEKLGQIQLCSTLEALLLKKKSLRNGDSPEMHVEKVDKLKILSESLLNSTSKAEKRILEQRTQKEDALNFRVAKGDEISQLEKELSVEIREMEKQKDELEAELKKVNTSLNSARARIHNAREEREKFDEASNQILMHLKAKEDELAKSITSCRAEADVVNSWINFLDATWVLQTTDTELKENQVNGDLERYGDHFVNLSVHLLSAYKEQLGPSVIRMKGLVADLHSCQGRSEIAPIIKDEGSKAINHRKNLEEGFLELEAKILTITNAVDAMKKQFYTNYEGVYRKNDDRVKELFSAVEKIKEEIKSIQRPVLEVENQQSHSQSSNSPRVDPSSSSKQTFEAPGKKEANESGSPPVNRGNVVTQADLEKLGSDLGKDEEGYATEDIGEWEFDELEKEVNPKQLTSKE >Potri.012G041000.1.v4.1 pep chromosome:Pop_tri_v4:12:3650690:3652779:-1 gene:Potri.012G041000.v4.1 transcript:Potri.012G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041000.v4.1 MSEQLTEEQIAEFKEAFSLFDKDGDGCITTKELGTVMRSLGQNPTEAELQDMISEVDADQNGTIDFPEFLNLMARKMKDTDSEEELKEAFKVFDKDQNGFISAAELRHVMTNLGEKLTDEEVEEMIREADVDGDGQVNYEEFVRMMLAK >Potri.008G010300.1.v4.1 pep chromosome:Pop_tri_v4:8:499049:501762:1 gene:Potri.008G010300.v4.1 transcript:Potri.008G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010300.v4.1 MSKSCKGLAMELVKCLSESDCIKIENRSYKDCAGEKSPSIPSECVGLRETYFNCKRGQVDMRARIRGNKGY >Potri.001G354250.3.v4.1 pep chromosome:Pop_tri_v4:1:36806393:36808606:-1 gene:Potri.001G354250.v4.1 transcript:Potri.001G354250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354250.v4.1 MVKAILLLVLAFLAVCTARDIVPLLSMLNHHRSLLSSTPSLVDVPINYTHVCDPTRFANQGMEMKEFGYCDSSLSFEERAKDLVDRMTLDEKVQQLGNKAIGVPRIGLPHYEWWSEALHGVSNVGPATFFNELIPGATSFPTVIHTTASFNKSLWKTIGQAVSAEARAMYNLGRAGLTYWSPNINVVRDPRWGRITETPGEDPFVVGTYASNYVRGLQDVEGAGETKDLNSRPLKVSTCCKHYTAYDVDAWLGIDRYHYDARVTEQDIYVGDFSSSL >Potri.001G354250.2.v4.1 pep chromosome:Pop_tri_v4:1:36806393:36808607:-1 gene:Potri.001G354250.v4.1 transcript:Potri.001G354250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354250.v4.1 MVKAILLLVLAFLAVCTARDIVPLLSMLNHHRSLLSSTPSLVDVPINYTHVCDPTRFANQGMEMKEFGYCDSSLSFEERAKDLVDRMTLDEKVQQLGNKAIGVPRIGLPHYEWWSEALHGVSNVGPATFFNELIPGATSFPTVIHTTASFNKSLWKTIGQAVSAEARAMYNLGRAGLTYWSPNINVVRDPRWGRITETPGEDPFVVGTYASNYVRGLQDVEGAGETKDLNSRPLKVSTCCKHYTAYDVDAWLGIDRYHYDARVTEQDIYVGDFSSSL >Potri.001G227800.1.v4.1 pep chromosome:Pop_tri_v4:1:24714173:24715128:-1 gene:Potri.001G227800.v4.1 transcript:Potri.001G227800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G227800.v4.1 MCDSFQFHLLSLFSSSLKEIKNKWHWRLDWQEEMNFVLIMQISMGLNPMYSAMSWVFSRRQSFRVVGVLGKF >Potri.001G227800.3.v4.1 pep chromosome:Pop_tri_v4:1:24713906:24715128:-1 gene:Potri.001G227800.v4.1 transcript:Potri.001G227800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G227800.v4.1 MCDSFQFHLLSLFSSSLKEIKNKWHWRLDWQEEMNFVLIMQISMGLNPMYSAMSWVFSRRQSFRVYTSWNIYRVHS >Potri.017G145532.1.v4.1 pep chromosome:Pop_tri_v4:17:14598504:14600666:-1 gene:Potri.017G145532.v4.1 transcript:Potri.017G145532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145532.v4.1 MPTVASTLCPPPCAKWEIRYSHLHMVGHCLSPLTNNQLVKHKPNLNADNDQADEVPSKLDIRIDYKKAEVRRKFWWRIASTVDTVSSVIMAGVSDQRKMTSAFSFSVMALACLTFALGKSFYYADKPTGSPLIVIYRVLKAAFVKRHLQHAREPNDYYMNDSGGLLLLPDIRIIRLLDKAALLETSKSLSAEEQEQNGRLLPVRDVQRVKQLLALLPMWTALPIHGLVLATGSTFFVVQSESLYSDPVLSINVLFLLQGFVTVIVPYVHDFLVSGWIKKLPMHHVRPIWIQKLLKHRVGLVRIAIGIACSIICCMVSMLVETRRLNLIKTRGDAEPFPMSTVWLVPQFFLIGLVEGLVADGLADFYNVHVDESLEHYESPFNESAIGVGKFLSAALVLTLSGSWFHDTLNTSHLDKYYMLLGILSSVNLCFYLLVMYAYAWKALQVNGSPDPEVST >Potri.016G134700.2.v4.1 pep chromosome:Pop_tri_v4:16:13888363:13892405:1 gene:Potri.016G134700.v4.1 transcript:Potri.016G134700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G134700.v4.1 METNRKSRIASVFFFLISLPSVSFAYRPGDIVPMSKMGQYHSSRTVWHDMIGKHCPIFAVNREVLIPIAKPTGYTGSDPYKLSFQVGKEKFLIPWLFVIHRKSSEVPMIDVHLRYSGSDLHGVMAKVIDMPHHYVEIHPDIHQKFWDAELWPKHILVRYTWKEQSEIDVSSGFYVLFGSGLMLSFILSIYILQSSRDKLARFVRETVAESSIPAGGVAKVE >Potri.004G085200.1.v4.1 pep chromosome:Pop_tri_v4:4:7066182:7080202:-1 gene:Potri.004G085200.v4.1 transcript:Potri.004G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G085200.v4.1 MHMRQLAAKFLRRTHFPPQRFSSSSEITTSNALPNSLSSKTKCSYKYGFGGPSWRMNSRDCYLWTILAGQAAIILGINANPVLADEPRESSSQNDLDTDSMFGFRKIEDGSVISNEHTAKWRLFSDKGREVFLQGKLDQAEKFFFSALQEAKEGFGEKDPHVASSCNNLAELFRVQKQFDKAEPLYWEAIKILEESFGPEDIRVGAALHNLGQFYLMQRKLDEADKCYERAVKIKRRVLGLNHTDYADTLYHLGMVLYLLGKEKDAEALIQESIKILEENGMGDSITCIRRLQFLSQMYLKSNRLAEAEDVQRKVLQIMELSKGWNSMDTVIVAERLALILQSIEKIKEAKELLERCLEARKSLLPEDHIQIAANLLHIARVAMLNSNRLRKINISEAIAELDKAKDLLHSSTRIARHVLNKLRIQKGKKQKNGASEMKREGHAALVILLQSLDTLGLVETTKQELLESQGEHLPNVEAENVLLQCISSYKEFEAEKLISDSPKVKTEYLSCMKHLLSLMIDTGNKDKVTLKDLDDEIKRVEAEIYDRSKHKP >Potri.013G138490.1.v4.1 pep chromosome:Pop_tri_v4:13:15636226:15636471:-1 gene:Potri.013G138490.v4.1 transcript:Potri.013G138490.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:atpH MNPLISAASVIAAGLAVGLASIGPGVGQGTAAGQAVEGIARQPEAEGKIRGTLLLSLAFMEALTIYGLVVALALLFANPFV >Potri.001G026501.1.v4.1 pep chromosome:Pop_tri_v4:1:1982399:1983700:-1 gene:Potri.001G026501.v4.1 transcript:Potri.001G026501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026501.v4.1 MKSLCSFELFSRFAHLSFLTYPSDFQCPQVSSDFPVIFHILYKIFVEKGFYLLSRVIVAHLVLALHYHQESCRALKILHQPNKIRQDTKFGCIAGVGVIKSYKLYTIR >Potri.006G109400.1.v4.1 pep chromosome:Pop_tri_v4:6:8510200:8512912:-1 gene:Potri.006G109400.v4.1 transcript:Potri.006G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109400.v4.1 MLKLQSPLTGDENLRQKSCRKPLQPKNSPVTPMTQVQILKPKQEWIEFSVVKDSNKENHPIYTTTPTKSIIEPLDSSLAEELSAIKKKLERLRLDRERTEKMLKEREMVMDLQMKELEQRGEVQKRLEIQVDILYRLKELQSYSMIISPIRTLREKEHEKKTCGVQPEETRAEDSEESVGEDVMQSPSSSWGSENSISSQLVAVK >Potri.006G109400.2.v4.1 pep chromosome:Pop_tri_v4:6:8511239:8512877:-1 gene:Potri.006G109400.v4.1 transcript:Potri.006G109400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109400.v4.1 MLKLQSPLTGDENLRQKSCRKPLQPKNSPVTPMTQVQILKPKQEWIEFSVVKDSNKENHPIYTTTPTKSIIEPLDSSLAEELSAIKKKLERLRLDRERTEKMLKEREMVMDLQMKELEQRGEVQKRLEIQVDILYRLKELQSYSMQIISPIRTLREKEHEKKTCGVQPEETRAEDSEESVGEDVMQSPSSSWGSENSISSQLVAVK >Potri.010G149000.3.v4.1 pep chromosome:Pop_tri_v4:10:15950830:15953325:-1 gene:Potri.010G149000.v4.1 transcript:Potri.010G149000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G149000.v4.1 MNSRDGFLPDEVIIQVLARLPVKSLFRAKTVCKLWYKLSSDKYFVQLYNEVATKNSMVLVEVSDSPELKSSLICADNLRGVSELSLDFLKDRVKVRASCNGLLCCSSIPDKGVYYVCNPMTREFRLLPRSRERPVTRFYPDGEATLVGLGCNLSVQKFNVVLAGYHRTFGHRPDGTFICMVFDSDTNKWRKFVSFQDDRFTLMNRNQVVFVHGSLHWLTSGCSYILSLDLNCDVWRKISLPDEVIYRAGNRAHLVELDGCLSVIQISEAWMKIWAMKDYESEQWHLEDRVSLRCIRGMVPGIFPISQTREYVFLATYKQVLVYQRKSRVWKEMYSVKNSSPLPLWFSAHAFRTSIFLCN >Potri.006G134050.1.v4.1 pep chromosome:Pop_tri_v4:6:10987568:10988212:-1 gene:Potri.006G134050.v4.1 transcript:Potri.006G134050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134050.v4.1 METLKSAAQLSRYLPFPVVYLAEQFSMRPEKIINNPFEVCSLISKYQKEYQKF >Potri.006G060400.1.v4.1 pep chromosome:Pop_tri_v4:6:4273081:4278434:1 gene:Potri.006G060400.v4.1 transcript:Potri.006G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060400.v4.1 MAMASTSLHQSLSPKYPHLFHNSRFPPTRLRFTSLRFPSTIRATSAVSLEPELSTQQSQTLEVDPFACPICYQPLIRKGPKGFNLPAIYRSGFKCNRCNKTYSSKENYLDLTITAGMKDYTEVKPVRTELFRSPLVSFLYERGWRQNFNQSGFPGPDEEFKMAQEYFKPTEGGLLVDVSCGSGLFSRKFAKSGTYSKVIALDFSENMLRQCYDFIKQDDTISTRNLALVRADVSRLPFASGSVDAIHAGAALHCWPSASNAVAEICRALRSGGVFVGTTFLQYSSTTSWIERPFRERIMQNYNYFTEEEIEDLCTTCGLTNYTRIVQRSFIMFSAQKP >Potri.005G188200.4.v4.1 pep chromosome:Pop_tri_v4:5:19572843:19577429:1 gene:Potri.005G188200.v4.1 transcript:Potri.005G188200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188200.v4.1 MEWRGTFCCLFFLFELVKSTSQSGKVWALYLGAESVVNVSWPIFSAGIFVLLALILSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYSLESFLSLLDSSAAFNCEAIRDCYEAFALYCFERYLIACLGGEENTIQFMESQTLITSSSPLLEESYAYGVVEHPFPLNCFLRDWNLGADFYHAVKIGVVQYMILKLICALLAMILQAFGVYGEGKFEWRYGYPYLAVILNFSQTWALYCLVQFYSVIKDKLAPIKPLAKFLTFKSIVFLTWWQGVVVAFLNSMGAFKGTLAQELKTRIQDYIICIEMGIAAIVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDSKEVQDCERSTRVRQGRHDEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHEISENVKRHEERRRSSKDDNYLVPLNTWTGEFSEAHDNLLEGSVSDSGLSNGKRPPHQPKGSAFRTRAGR >Potri.005G188200.1.v4.1 pep chromosome:Pop_tri_v4:5:19572823:19577484:1 gene:Potri.005G188200.v4.1 transcript:Potri.005G188200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188200.v4.1 MEWRGTFCCLFFLFELVKSTSQSGKVWALYLGAESVVNVSWPIFSAGIFVLLALILSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYSLESFLSLLDSSAAFNCEAIRDCYEAFALYCFERYLIACLGGEENTIQFMESQTLITSSSPLLEESYAYGVVEHPFPLNCFLRDWNLGADFYHAVKIGVVQYMILKLICALLAMILQAFGVYGEGKFEWRYGYPYLAVILNFSQTWALYCLVQFYSVIKDKLAPIKPLAKFLTFKSIVFLTWWQGVVVAFLNSMGAFKGTLAQELKTRIQDYIICIEMGIAAIVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDSKEVQDCERSTRVRQGRHDEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHEISENVKRHEERRRSSKDDNYLVPLNTWTGEFSEAHDNLLEGSVSDSGLSNGKRPPHQPKGSAFRTRAGR >Potri.005G188200.3.v4.1 pep chromosome:Pop_tri_v4:5:19572823:19577495:1 gene:Potri.005G188200.v4.1 transcript:Potri.005G188200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188200.v4.1 MEWRGTFCCLFFLFELVKSTSQSGKVWALYLGAESVVNVSWPIFSAGIFVLLALILSMYLIFEHLAAYNQPEEQKFLIGLILMVPVYSLESFLSLLDSSAAFNCEAIRDCYEAFALYCFERYLIACLGGEENTIQFMESQTLITSSSPLLEESYAYGVVEHPFPLNCFLRDWNLGADFYHAVKIGVVQYMILKLICALLAMILQAFGVYGEGKFEWRYGYPYLAVILNFSQTWALYCLVQFYSVIKDKLAPIKPLAKFLTFKSIVFLTWWQGVVVAFLNSMGAFKGTLAQELKTRIQDYIICIEMGIAAIVHLYVFPAVPYKRGERCVRNVAVMTDYASLGTPPDSKEVQDCERSTRVRQGRHDEREKRLNFPQSVRDVVLGSGEIIVDDMKYTVSHVVEPVERGIAKINKTFHEISENVKRHEERRRSSKDDNYLVPLNTWTGEFSEAHDNLLEGSVSDSGLSNGKRPPHQPKGSAFRTRAGR >Potri.010G115800.2.v4.1 pep chromosome:Pop_tri_v4:10:13510164:13512917:1 gene:Potri.010G115800.v4.1 transcript:Potri.010G115800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G115800.v4.1 MKFLEYTPLERMNEFLSHLNLGERTIKGYLEPYSCKHTGTDKKLSLSLENEMLDYLGKSSDTDSSSPAEFLLSRSSRKTLIYLVLTLYRMYPDYDFSAVNAHQFFTEESWDSFKQIFDSYMFEASRAWIEENEGSSLLETLYKALDEVVKLSECEIYSYDPDSDADPSLEKGAIWSFNFFFYNRKLKRVVSFRFCCFSNLVAEGFLMDDSSYEEDGEIFDDMDM >Potri.014G079500.3.v4.1 pep chromosome:Pop_tri_v4:14:5133653:5136776:1 gene:Potri.014G079500.v4.1 transcript:Potri.014G079500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079500.v4.1 MGHADGLSEPGSSSNHLIAYPESVLDVEDEEEQILYVASFEELAGNHVKYDTIIWVSISLLLVLAWGIGIILLLCLPIRRCLLQKDISSRKLYVTANEIVYKFSRPSILFWRVTTIEKRTPLSSVIDIIIEQGCLQSVYGLHTVRVESIAHGKAAPVDELQVQGVADPGVLRKVIITEASKNAQDFGKGCKPTLTGEEERLSRGGSLSEGPVIFKSPSKSWKITGSPRYTSLEHRGLIQGEVLLNKLEEVSKSVKKIESHIKKSQASPESR >Potri.014G079500.4.v4.1 pep chromosome:Pop_tri_v4:14:5133496:5136799:1 gene:Potri.014G079500.v4.1 transcript:Potri.014G079500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079500.v4.1 MLRTKKNRYSWGIGIILLLCLPIRRCLLQKDISSRKLYVTANEIVYKFSRPSILFWRVTTIEKRTPLSSVIDIIIEQGCLQSVYGLHTVRVESIAHGKAAPVDELQVQGVADPGVLRKVIITEASKNAQDFGKGCKPTLTGEEERLSRGGSLSEGPVIFKSPSKSWKITGSPRYTSLEHRGLIQGEVLLNKLEEVSKSVKKIESHIKKSQASPESR >Potri.014G079500.5.v4.1 pep chromosome:Pop_tri_v4:14:5133496:5136729:1 gene:Potri.014G079500.v4.1 transcript:Potri.014G079500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079500.v4.1 MGHADGLSEPGSSSNHLIAYPESVLDVEDEEEQILYVASFEELAGNHVKYDTIIWVSISLLLVLAWGIGIILLLCLPIRRCLLQKDISSRKLYVTANEIVYKFSRPSILFWRVTTIEKRTPLSSVIDIIIEQGCLQSVYGLHTVRVESIAHGKAAPVDELQVQGVADPGVLRKVIITEASKNAQDFGKGCKPTLTGEEERLSRGGSLSEGPVIFKSPSKSWKITGSPRYTSLEHRGLIQGEVLLNKLEEVSKSVKKIESHIKKSQASPESR >Potri.014G079500.1.v4.1 pep chromosome:Pop_tri_v4:14:5133494:5136807:1 gene:Potri.014G079500.v4.1 transcript:Potri.014G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G079500.v4.1 MGHADGLSEPGSSSNHLIAYPESVLDVEDEEEQILYVASFEELAGNHVKYDTIIWVSISLLLVLAWGIGIILLLCLPIRRCLLQKDISSRKLYVTANEIVYKFSRPSILFWRVTTIEKRTPLSSVIDIIIEQGCLQSVYGLHTVRVESIAHGKAAPVDELQVQGVADPGVLRKVIITEASKNAQDFGKGCKPTLTGEEERLSRGGSLSEGPVIFKSPSKSWKITGSPRYTSLEHRGLIQGEVLLNKLEEVSKSVKKIESHIKKSQASPESR >Potri.008G203900.1.v4.1 pep chromosome:Pop_tri_v4:8:14838043:14842288:1 gene:Potri.008G203900.v4.1 transcript:Potri.008G203900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203900.v4.1 MSKNSSYRENETMQLFEIFKKFKRFQLRFFEPSLGVLGFFFVTVCVIFSFFYLDYRAVIVKEFIVPGKSERFMWLQLNGSGKNKRVEFLEEEGNGCDLFDGDWVWDEKYPLYQSKDCSFLDQGFRCTENGRPDLFYTKWRWQPKHCNLPRFDAKMMLEKLRNKRLVFAGDSIGRNQWESLLCMLSSAIPNEDSIYEVNGSPITKHKGFLIFKFKDYNCTVEYYRSPFLVLQSRPPAGSPQKVRLTLKLDRMDWNSVKWRSADVLVLNMGHWWNYEKTIRGGSYFQEGEEVKLEMGVEDAYRKSIETVVNWIQLEVNASKTQVFFRTFAPVHFRGGDWRTGGNCHLETLPELGSSLVPSETWTQFKIVTDVFSAYSNQAAEFSILNVTGMTARRKDGHSSVYYLGSNPAPLHRQDCSHWCLPGVPDSWNELLYALFLKHGAKQTFNLSSSRAQV >Potri.006G039200.5.v4.1 pep chromosome:Pop_tri_v4:6:2601251:2605961:1 gene:Potri.006G039200.v4.1 transcript:Potri.006G039200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039200.v4.1 MGSNHHHHHIISNGEAEPLAKPTLTTSASFKTRKPVKANANNNSNSTATLSRAGSDVDEIITLLHGSDPVRVELNRLENELRDKDRELGEALAEIKSLKNSERSKEKAVEELTDELDKVDEKLKATEALLESKNLEIKKINDEKKAALAAQFAAEATLRRVHAAQKDDEMPPIEAIITPLEAELKLARLEVAKLQDDNRALDRLTKSKEAALLEAERTVQIALAKASLVDDLQNKNQELMKQIEICQEENKILDKMHRQKVAEVEKLMQTVHELEEAVLAGGAAANAVRDYQRKVQEMNEEKKTLEREVARAKVSANRVATVVANEWKDGNDKVMPVKQWLEERRFFQGEMQQLRDKLAVAERAAKAEAQLKDKYQLRFKVLEERLKASNGNSRATPEGKNTSNGPSRRQSLGGAENFSRSSFNGYLSRKASNSQAGSLRSNGAATLLRHAKMSSRSFDGGSRSLDDKLLVGGTGKDNAPAAASDQTQNTERIETEEIENGTSTENSKSEQEDQVSGFLYDMLQKDVIALRKACHEKDQSLKDKADAIEMLAKKVDTLNKAMEVEAKKMRREVAAREKEVAAMRVNKEHDHRTQRSSAPRASLLLPSRSTRNSYAIDATERQ >Potri.012G017100.1.v4.1 pep chromosome:Pop_tri_v4:12:1900690:1906888:-1 gene:Potri.012G017100.v4.1 transcript:Potri.012G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G017100.v4.1 MPFCEVAKQQTSLDDTVDAASSSSSSSNNNKGIKIFYKTYGHGPTKVLLIIGLAGTHDSWGPQIKGLTGTERSNDDDRMRAIDPNSSDSGGCGYGGNGIQVCAFDNRGMGRSSVPTKKSEYTTKIMAKDAIALMDHLGWRKAHIFGHSMGAMIACKLAAMVPDRVLSLALLNVTGGGFECLPKLDSRTISVAIRFLKAKTPEQRAAVDLDTHYTKEYLDEHVGSSTRRAILYQEYVKGISSTGMQSSYGFDGQVNACWTHKMTRTEIELIRSAGFLVSVIHGRHDIIAQIYYARRLAKKLQPFARLVDLHGGHLVSHERTEEVNQALCDLISASDKKMNPHVWTNFPKESSRWSEKGSLLGKTCVEGKTDDICSTVCVIEKLHLSISYVFGLFVFMFEYIQRAIRSRHPARVGSSLT >Potri.014G107200.4.v4.1 pep chromosome:Pop_tri_v4:14:7222220:7224410:1 gene:Potri.014G107200.v4.1 transcript:Potri.014G107200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107200.v4.1 MNFVGGERGYFDKEEQEEEEAMSSKLPFASPCTSSSSSKYKNFLPEHQNLWPRLFDQPRQDSETQEPSLNFVKKLEFMELSLGNNNESESSSTDAGGGASESIEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSSSNEKGLLLNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLYAGDIVSFQRGVGETGKHRLFIDWRRRPNAPDPTSFSHLELQNQLHYPQSLRWGRLYSMPQSNLPMQQPQLQHLNYSIHPYQQHQHHNHQYHYHQPSNISYGNAAQYYLRPPASTLPIGAVHEQGGSHVPVVIDSVPVVHGKTVGKRLRLFGVNMECPTQNDPSSSVTMIRHGTLDSLSPRLASSSLPPPFQVREPTGAPMQAEFSKKGKASLSFDLDL >Potri.010G252700.1.v4.1 pep chromosome:Pop_tri_v4:10:22459629:22461164:1 gene:Potri.010G252700.v4.1 transcript:Potri.010G252700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G252700.v4.1 MDRLRRCTISILFLLFMMSDIAHAQQSPVENAQRPNIFSKIFGSLFTFSANSPAATSASTSTSFWENVKTMFNQAQASIFPPNLDFRWSDEAVGHGGGTGGTGEKMKEGAAKSVEKGKATVDNSARTAARVASETGQKIKEKVKRTLSDHKTGTETHDEL >Potri.014G037400.1.v4.1 pep chromosome:Pop_tri_v4:14:2367356:2369803:1 gene:Potri.014G037400.v4.1 transcript:Potri.014G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037400.v4.1 MDFPFQFSATAVLILFAFITPSIYYLFRIPGKERSKKRAPPEAAGAWPLIGHLHLLGGSQPPHITLGNLADKYGPIFTVKLGVHRTLIVSNWEMAKECLRTNDKAFATRPKTLAMDILGYNYSILSFSPYGTYWRLIRKIVTLEVLSNHRLEMFKHVREDEVRDAVGALYQQWIGNKSNSQKLLVEMKRWFGDITLNVILKIIVSKRYVDYASHGEEKPSDEWRDSLRKFLELSGMFVVSDALPFLRWLDLGGAEKAMRRTSKNLDHAVEKWLEEHKQKKASGTAKGEEDFMDLMLSALDDAKELSNRSADTINKATCLTLILAASDTTSVTLTWTLSLLLNNREVLKKAQDELDIYIGRERQVKESDMKNLVYLQATIKETFRLYPAAPLSVTHESMEECTVGGYHIPAGTRLFTNLSKIHRDPQVWSDPDEFQPERFLTTHKDCDFRGQHFELIPFGSGRRMCPGVSFALQVLNLALATLLHGFDIETLDDAPIDMTETGGLTNIKATPLKALLTPRLSPGLYDLQ >Potri.006G261200.1.v4.1 pep chromosome:Pop_tri_v4:6:25743414:25744715:1 gene:Potri.006G261200.v4.1 transcript:Potri.006G261200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261200.v4.1 MARPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPRARTNFPYNPNASQSASSKLLSATLTAKLHRCYMASLQVTKKTSLHEQKQQQQKAPTSLANTPTNGIVIKSEELDALLPEKSPLQVQEAEANWVYKKVQVDNNNQQFIKPLEDHHIEQMIEELLDYGSIEFFSGVTTQ >Potri.002G002900.4.v4.1 pep chromosome:Pop_tri_v4:2:180610:186428:1 gene:Potri.002G002900.v4.1 transcript:Potri.002G002900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002900.v4.1 MDPETEFLASKQETGNEWELFKENVRPLKRGRNVGLLNQALKYHSEYQLKRSLLDTRRKLIEAIDEYEGDDPLLPWIECIKWVQEAFPQGGDSSGLILIYEQCVRAFWHSDRYKDDLRYLKVWLEYAENCVDAEVIYSFLDANEIGKSQSAYYLAYALHMESKSKMKIANDIFNLGISRDAQPIEKLKDAYRKFLIRSMTKPKVVEDDGGESHLPVRSFGTVLSSADNRRQNMERSELANKQMKPDRTQKIPLSIFKDTTNIDAMPGHQSVKAKPELNPWSTLGAREERNKENSAVPTKWTTYKIPQRPGARSGGVTAGASIEVFVDEECSKKVRAHDHDGMSSTLRLRQGDVLDIKKETDLLRENPLRNFPLRSLPRIWKFKGGSLWSSREDLANGCNLD >Potri.003G111800.1.v4.1 pep chromosome:Pop_tri_v4:3:13422331:13423616:1 gene:Potri.003G111800.v4.1 transcript:Potri.003G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111800.v4.1 MASGWIKSLQCKSRAFDDVFNPNPKHLLPSSSCRKNSSKMTKDVIVETKAKRSKPKHHLFNHNQQKPTSNPVSTPPPRSLSTRNTDPVFPALTELPDGHPSRNVVDIIFHTSWSNKSFPGRIEMIFKVQNGPRTVTRFEEYREIVKTRAELTGGTTREENARCVADGNEMMRFYCLGPAGGVHEARGDAWVFPGGKGARVCTFSGSGGAHESAGGGIGRRAMLVCRVVAGRVTKQVGVDSLIDDCRVGFDSVSGVNGELMAFDSRAVLPCFLIIYKL >Potri.010G199200.1.v4.1 pep chromosome:Pop_tri_v4:10:19194747:19199030:-1 gene:Potri.010G199200.v4.1 transcript:Potri.010G199200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199200.v4.1 MDDFKADIDKESCSKSINETVNGSHQFTIKGYSLAKGMGAGKCIPSDVFNVGGYDWGVYFYPDGKNPEDSSMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYSLKYRGSMWGYKRFFRRTTLETSDYLKDDCLIMNCTVGVVRTHLEGPKQYSISVPPSDMGQGLKELLESEAGCDIAFQVGDETFKAHKLILAARSPVFRAQFFGLVGDPKMDKVAVKDVDPLIFKAMLLFIYTDKLPDVHEITGSTSMCTSTNMVQHLLAVSDLYNLDRLKLLCESKLCEELSAETVATTLALAEQHQCMQLKAICLKFAANPANLGEVMQSEGFRHLEESCPSMLCELLKTFASGDENTSLLSGRKRSGSSMLGLDLATDGAPEESANPNGRRLRRRF >Potri.004G055700.2.v4.1 pep chromosome:Pop_tri_v4:4:4570488:4570970:-1 gene:Potri.004G055700.v4.1 transcript:Potri.004G055700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055700.v4.1 MDKATLLAAVISQVNELKRNALESCKGLLIPTADDEVKVETYFDGTKEGTLYFKASICCDYRPELLSDIRQAVDALPLKMVNAEISTLGNRLKNEFVFTSNRNKNAVDDAEAMQHLTKSIHHALTSVLEKGSASLEYSPRTTLPNKKRRVTFFDSSSSSS >Potri.004G055700.1.v4.1 pep chromosome:Pop_tri_v4:4:4570488:4573582:-1 gene:Potri.004G055700.v4.1 transcript:Potri.004G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G055700.v4.1 MFDFCFNSNSSSGSGYMNTFDPFPRSLEGFSDVLQGRPMVSQTLVLDAEMGELVKAPARVGNKGISEAKALAALKSHSEAERRRRERINAHLDTLRGLVPCTEKMDKATLLAAVISQVNELKRNALESCKGLLIPTADDEVKVETYFDGTKEGTLYFKASICCDYRPELLSDIRQAVDALPLKMVNAEISTLGNRLKNEFVFTSNRNKNAVDDAEAMQHLTKSIHHALTSVLEKGSASLEYSPRTTLPNKKRRVTFFDSSSSSS >Potri.007G005000.5.v4.1 pep chromosome:Pop_tri_v4:7:361563:366126:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MGAPKQKWTSEEEAALKAGVLKHGTGKWRTILMDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGNLIMVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHTWWQFVFRMRIMPAMICSAIGQECFSVNSSGELKLLITFIHSFS >Potri.007G005000.11.v4.1 pep chromosome:Pop_tri_v4:7:362103:366113:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGNLIMVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHT >Potri.007G005000.4.v4.1 pep chromosome:Pop_tri_v4:7:360626:366125:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MGAPKQKWTSEEEAALKAGVLKHGTGKWRTILMDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGNLIMVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHTCFMVRKTLSLPPGFNSMASKGVQGARDCHLAKQKKRASQGQLS >Potri.007G005000.9.v4.1 pep chromosome:Pop_tri_v4:7:360692:366119:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MGAPKQKWTSEEEAALKAGVLKHGTGKWRTILMDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHT >Potri.007G005000.7.v4.1 pep chromosome:Pop_tri_v4:7:361413:366114:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGNLIMVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHTWWQFVFRMRIMPAMICSAIGQECFSVNSSGELKLLITFIHSFS >Potri.007G005000.13.v4.1 pep chromosome:Pop_tri_v4:7:360725:366120:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MGAPKQKWTSEEEAALKAGVLKHGTGKWRTILMDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHTCFMVRKTLSLPPGFNSMASKGVQGARDCHLAKQKKRASQGQLS >Potri.007G005000.10.v4.1 pep chromosome:Pop_tri_v4:7:360692:366120:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MGAPKQKWTSEEEAALKAGVLKHGTGKWRTILMDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHT >Potri.007G005000.14.v4.1 pep chromosome:Pop_tri_v4:7:360725:366119:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MGAPKQKWTSEEEAALKAGVLKHGTGKWRTILMDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHTCFMVRKTLSLPPGFNSMASKGVQGARDCHLAKQKKRASQGQLS >Potri.007G005000.2.v4.1 pep chromosome:Pop_tri_v4:7:361414:366126:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MGAPKQKWTSEEEAALKAGVLKHGTGKWRTILMDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGNLIMVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHTWWQFVFRMRIMPAMICSAIGQECFSVNSSGELKLLITFIHSFS >Potri.007G005000.6.v4.1 pep chromosome:Pop_tri_v4:7:360626:366113:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGNLIMVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHTCFMVRKTLSLPPGFNSMASKGVQGARDCHLAKQKKRASQGQLS >Potri.007G005000.12.v4.1 pep chromosome:Pop_tri_v4:7:360662:366126:-1 gene:Potri.007G005000.v4.1 transcript:Potri.007G005000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005000.v4.1 MGAPKQKWTSEEEAALKAGVLKHGTGKWRTILMDPEFSAVLRLRSNVDLKDKWRNINVTAIWGSRKKAKITLKRRPLTPKREENAKALSTVVQTNEEVVDAKPLAFASGTPGNGGPKDLLARLDNLILEAITTLKEPTGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGNLIMVQHKYRIAPSSTFSEGRKNHALPEGKQKDALKLEKSKNKILTKAHIDRDLSKIQGMTALEAAAAAAKAVAEAESAIADAEAAAREAEKAEAEAEAAQVFAKAAIKAFKLRACHTCFMVRKTLSLPPGFNSMASKGVQGARDCHLAKQKKRASQGQLS >Potri.014G153700.1.v4.1 pep chromosome:Pop_tri_v4:14:10818898:10824560:1 gene:Potri.014G153700.v4.1 transcript:Potri.014G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153700.v4.1 MSFKSRGDQQSSKNVISKKWALFFCLACFCAGVFFNNRMWTVPEPKGITRTTTMEAESLKLVSEGCGDEILHQKEVKRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESILSGSPLSEDLKRTGSSGKRRYLMVVGINTAFSSRKRRDSVRATWFPQGEKRKKLEDEKGIIVRFVIGHSATSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINQHLLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWRATF >Potri.014G153700.2.v4.1 pep chromosome:Pop_tri_v4:14:10818901:10824611:1 gene:Potri.014G153700.v4.1 transcript:Potri.014G153700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G153700.v4.1 MSFKSRGDQQSSKNVISKKWALFFCLACFCAGVFFNNRMWTVPEPKGITRTTTMEAESLKLVSEGCGDEIKEVKRDSKDIIGEVYKTHNAIQTLDKTISNLEMELAAARAAQESILSGSPLSEDLKRTGSSGKRRYLMVVGINTAFSSRKRRDSVRATWFPQGEKRKKLEDEKGIIVRFVIGHSATSGGILDRAIEAEDRKHGDFLRLDHVEGYLELSAKTKIYFATAVALWDADFYVKVDDDVHVNIATLGETLVRHRKKPRVYIGCMKSGPVLNQKGVRYHEPEYWKFGEAGNKYFRHATGQLYAISKDLATYISINQHLLHKYANEDVSLGSWFIGLDVDHIDDRRLCCGTPPDCEWKAQAGNICVASFDWSCSGICRSADRIKEVHRRCGEGEKALWRATF >Potri.011G162932.1.v4.1 pep chromosome:Pop_tri_v4:11:18843543:18844688:1 gene:Potri.011G162932.v4.1 transcript:Potri.011G162932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162932.v4.1 MASSILFFGFLALSFIHALASDPGSLQDFCVADETSNVIVNGLACKDPKMVQADDFSFSGLHLAGNTSNAVGSRVTTVNVAQIPGLNTLGISLVRIDYAPWGINPPHTHPRGTEILTVIEGSLEVGFVTSNPENRLITKVLQKGDVFVFPIGLVHFQRSVGNGNAVAIAALSSQNPGVITIANAVFGSTPEIPSDILVKAFQLDKNVVNYLQSKF >Potri.005G162400.1.v4.1 pep chromosome:Pop_tri_v4:5:15955517:15957794:-1 gene:Potri.005G162400.v4.1 transcript:Potri.005G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G162400.v4.1 MAATPSAREENVYMAKLAEQAERYEEMVEYMEKVSASIDNEELTVEERNLLSVAYKNVIGARRASWRIISSIEQKEESRGNEDHVSVIRDYRAKIETELSSICDGILKLLDTRLIPTASSGDSKVFYLKMKGDYHRYLAEFKTGAERKEAAESTLTAYKSAQDIANAELAPTHPIRLGLALNFSVFYYEILNSPDRACNLAKQAFDEAIAELDTLGEESYKDSTLIMQLLRDNLTLWTSDMQDDAADEIKEAAPKTGDEQ >Potri.006G219600.3.v4.1 pep chromosome:Pop_tri_v4:6:22484616:22489838:1 gene:Potri.006G219600.v4.1 transcript:Potri.006G219600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219600.v4.1 MRNNWLLFMDLNGESQYTFPLEPLLSQGFGAILFSQISSFVDNPLHQSGFLHVPETMAFQEALNHVSKLAGGLIFWFTSASSSNLSRQISGNQRSPRPGSCKSPAQVKNSTSTRHDLAGLGFGSISKGESSHPVVFSKISNFVMRLLHREAERRQSFPVLSLAAALIPPFGNLSSKLLAIPGENDDVPVHASTGQRPCEVERSGCPGLSFPDLNWTRHAVEPRTGIEFPMLLDILAGQNRSRLTSEVLVATGSRTMTIIRIKTLKIYAFGFYVHPNSVCKKLGPKYASVPMGELNKRRDFYEDLLREDISMTVRLVINCNGIKINTVKDAFEKSLRNRLAKTNPDTDYNCLTTFGSFFTKDVPLPVGTVVDFRRTADGQLITEIGGNQIGAVRSKDLCRAFFDMYIGDIPVSEQTKEEIGKNVACIIGKC >Potri.008G117400.2.v4.1 pep chromosome:Pop_tri_v4:8:7513994:7515998:1 gene:Potri.008G117400.v4.1 transcript:Potri.008G117400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117400.v4.1 MHGFNYELCPWGKHPVENIQERALKLLDQYRKKLTLYRTNTLLVPLGDDFCYISIDEAEAQFQNYRMLFDYVNSNPSLNAGAQFGTLDEYFRTLRGKSDRINYSLPVEVGSDQVGDFPSLSGDFFTYADRQQDYWSGYYISRPFFKAVDRVLEQRLRAVEIMMASWRTYCQRAQREKLPTGFAYKMTTAMGNLVLFQHQDGVTGTAKYHVIWDYGTRMHNCLQGLQIFMSKAIEVLLGIRHDKSDRNPSQFESEQVRSKYDVQPEFKAINAREGTSQYVEFSNPLEQSREEIAMPIVNMPDVTILDSNWTCVRSQASSE >Potri.012G033800.1.v4.1 pep chromosome:Pop_tri_v4:12:3046246:3050179:1 gene:Potri.012G033800.v4.1 transcript:Potri.012G033800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033800.v4.1 MADQITDYSKTQRILLLIDLTPLLHDSPTPYITSLLSSIKPLLSFPPLSTSLFSFKLFFSSLSPLLFSSKLPFPPFSLSFDHPNNTLHSITTSLTSLLPKHEQSSFSSLSPRAAHVAALLQEILHEYAWDSDSCNSIMGMSQSSDSFVIKSNLVILFSPILRSFKFVSEFFNVELNDGCLRDGSLFHEKFCGVFESVSEGFASKDIHFVWVDVRCEVGCVGVDESEVVFEFFRRGIKGLGWGFCSSDSIVLGSALVPFGLIYPRIGVSPKVFDFNACCKRVCAQLSLEILDVSEKPLECKCCDLELINLDVFSRFSQKFMDLESGSCERREMVLEDFGGGVAKLHVKAVQMHGKGVKFEGPLSDPILVRELSRDVAKDQKENCSEFFEDKVLQMLGIEMGEFVSRNSTPTWQILLSFLYREGYWALVSLSKGDGNLVTGILKPFTVSSALFFIAGDQFHPPVVAGKFDGVSMGRVVKKTENEAFKQKINLSHTNGLIGSQSGHSPFDKCAELGGCKRKKKRSSNTLKELTWRAFCKAAQEDFQIDLEEVYFSRGCNQSKKLKFLKCWMKQIKKSSYCSWAMPDSSNPCQDIPKEVHDRLNALPQESEQPVTSCASIGEDSLTGASRIQDEAALDFHSGTLESFFSDLPHKIQQGLESEEVDLGTLAERLVNASIYWLYQKCEKETTSENQTTGIKSGSATASVVAIELAKLLLREPKDLAAMYKDSDASNPSFAEATSENIARVYELQILFRMEILQSEVGASIGESTKHRFVKHICLLLETIQCHLKGGFFGDWSLDAYVGKIINNRYCQSLGGVVHKIYEKMDLLLFSEEDELPNSVLNSEDSNQTRREEIERDKMDDNNRINDSVSAEDESLRHVENEFQSPQGMSQEEHARKLIEAQARRQRARRFASFTSWVPDLQRVWAPKQPTAMKMKSDPLRKLAKRKERRRVNYDVVLDTPMTGNKGGINSDDRNHQAYGTSLCGSVSKALFQDDDSS >Potri.007G114901.1.v4.1 pep chromosome:Pop_tri_v4:7:13483625:13483897:1 gene:Potri.007G114901.v4.1 transcript:Potri.007G114901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G114901.v4.1 MSVYIHVTSIPIFLLIILHALQRLCSGWENLCFKLILTKYQCDLIPFLAKLRLSCSVGVGNSVFHAMNAICEEQGFMSCIEVSKVLFVQV >Potri.001G183000.7.v4.1 pep chromosome:Pop_tri_v4:1:16159056:16164120:-1 gene:Potri.001G183000.v4.1 transcript:Potri.001G183000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183000.v4.1 MFTRESLLMVRWLQLSSLNLGVDRGSVNFGPRLRLLAVSIIDILFHYLVTASLEPKECLFMSLYPTTPWNFIYMYISENGRPTMNWSTTMKIAVGAAKGLAYLHEDCQPKIIHRDIKASNILIDHSFEAKVADFGLAKHSLDTETHVSTRVMGTFGYMAPEYASSGKLTAKSDVYSFGVVLLELISGRRPVDRTQSFIDDSIVDWARPLLKQALEDGNFDAVVDPKLQDYDSNEMIRMICCAAACVRHLGRFRPRMSQIVRALEGNMPLGELNEGITPGPSMVYSSASSDYTNRQYEEDLKKFRKLALESPEHDSRVNPLASTSSQECTEPTSESGRNPFRSSTEGQQTKPEMDSQRKEENIKDT >Potri.001G183000.11.v4.1 pep chromosome:Pop_tri_v4:1:16159056:16164149:-1 gene:Potri.001G183000.v4.1 transcript:Potri.001G183000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183000.v4.1 MMTWPKLTHPQGTPPSNQVPPMPGIFAEHPSTSSSMGSDKQFPPPTPGIALGYSQSTFTYEELAMATDNFSEANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFRAEIEIISRVHHRHLVSLFGYCIAGAQRMLVYEFVPNYTLEFHLHENGRPTMNWSTTMKIAVGAAKGLAYLHEDCQPKIIHRDIKASNILIDHSFEAKVADFGLAKHSLDTETHVSTRVMGTFGYMAPEYASSGKLTAKSDVYSFGVVLLELISGRRPVDRTQSFIDDSIVDWARPLLKQALEDGNFDAVVDPKLQDYDSNEMIRMICCAAACVRHLGRFRPRMSQVIPQALSILLLIVNR >Potri.001G183000.10.v4.1 pep chromosome:Pop_tri_v4:1:16159056:16164151:-1 gene:Potri.001G183000.v4.1 transcript:Potri.001G183000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183000.v4.1 MSSPSPGGSPVPFNSTPPPPVSNLTPPPPPDTTNQTASQAPPPTNSNGTLTPPSYPRGSPGPAGPSPPVLSALIVGVVLGVLAGVGFSVCVYRRKKRKEAQRLLLAGQPSQVASKDDDWQHNTAPPPDNKMMTWPKLTHPQGTPPSNQVPPMPGIFAEHPSTSSSMGSDKQFPPPTPGIALGYSQSTFTYEELAMATDNFSEANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFRAEIEIISRVHHRHLVSLFGYCIAGAQRMLVYEFVPNYTLEFHLHGQPKIIHRDIKASNILIDHSFEAKVADFGLAKHSLDTETHVSTRVMGTFGYMAPEYASSGKLTAKSDVYSFGVVLLELISGRRPVDRTQSFIDDSIVDWLVYVIWDGFGRE >Potri.001G183000.2.v4.1 pep chromosome:Pop_tri_v4:1:16159056:16164149:-1 gene:Potri.001G183000.v4.1 transcript:Potri.001G183000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183000.v4.1 MSSPSPGGSPVPFNSTPPPPVSNLTPPPPPDTTNQTASQAPPPTNSNGTLTPPSYPRGSPGPAGPSPPVLSALIVGVVLGVLAGVGFSVCVYRRKKRKEAQRLLLAGQPSQVASKDDDWQHNTAPPPDNKMMTWPKLTHPQGTPPSNQVPPMPGIFAEHPSTSSSMGSDKQFPPPTPGIALGYSQSTFTYEELAMATDNFSEANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFRAEIEIISRVHHRHLVSLFGYCIAGAQRMLVYEFVPNYTLEFHLHENGRPTMNWSTTMKIAVGAAKGLAYLHEDCQPKIIHRDIKASNILIDHSFEAKVADFGLAKHSLDTETHVSTRVMGTFGYMAPEYASSGKLTAKSDVYSFGVVLLELISGRRPVDRTQSFIDDSIVDWARPLLKQALEDGNFDAVVDPKLQDYDSNEMIRMICCAAACVRHLGRFRPRMSQIVRALEGNMPLGELNEGITPGPSMVYSSASSDYTNRQYEEDLKKFRKLALESPEHDSRVNPLASTSSQECTEPTSESGRNPFRSSTEGQQTKPEMDSQRKEENIKDT >Potri.001G183000.9.v4.1 pep chromosome:Pop_tri_v4:1:16159056:16164149:-1 gene:Potri.001G183000.v4.1 transcript:Potri.001G183000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183000.v4.1 MSSPSPGGSPVPFNSTPPPPVSNLTPPPPPDTTNQTASQAPPPTNSNGTLTPPSYPRGSPGPAGPSPPVLSALIVGVVLGVLAGVGFSVCVYRRKKRKEAQRLLLAGQPSQVASKDDDWQHNTAPPPDNKMMTWPKLTHPQGTPPSNQVPPMPGIFAEHPSTSSSMGSDKQFPPPTPGIALGYSQSTFTYEELAMATDNFSEANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFRAEIEIISRVHHRHLVSLFGYCIAGAQRMLVYEFVPNYTLEFHLHENGRPTMNWSTTMKIAVGAAKGLAYLHEDCQPKIIHRDIKASNILIDHSFEAKVADFGLAKHSLDTETHVSTRVMGTFGYMAPEYASSGKLTAKSDVYSFGVVLLELISGRRPVDRTQSFIDDSIVDWARPLLKQALEDGNFDAVVDPKLQDYDSNEMIRMICCAAACVRHLGRFRPRMSQVIPQALSILLLIVNR >Potri.001G183000.3.v4.1 pep chromosome:Pop_tri_v4:1:16159056:16164149:-1 gene:Potri.001G183000.v4.1 transcript:Potri.001G183000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183000.v4.1 MSSPSPGGSPVPFNSTPPPPVSNLTPPPPPDTTNQTASQAPPPTNSNGTLTPPSYPRGSPGPAGPSPPVLSALIVGVVLGVLAGVGFSVCVYRRKKRKEAQRLLLAGQPSQVASKDDDWQHNTAPPPDNKMMTWPKLTHPQGTPPSNQVPPMPGIFAEHPSTSSSMGSDKQFPPPTPGIALGYSQSTFTYEELAMATDNFSEANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFRAEIEIISRVHHRHLVSLFGYCIAGAQRMLVYEFVPNYTLEFHLHENGRPTMNWSTTMKIAVGAAKGLAYLHEDCQPKIIHRDIKASNILIDHSFEAKVADFGLAKHSLDTETHVSTRVMGTFGYMAPEYASSGKLTAKSDVYSFGVVLLELISGRRPVDRTQSFIDDSIVDWLVYVIWDGFGRE >Potri.001G183000.8.v4.1 pep chromosome:Pop_tri_v4:1:16159056:16164151:-1 gene:Potri.001G183000.v4.1 transcript:Potri.001G183000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183000.v4.1 MSSPSPGGSPVPFNSTPPPPVSNLTPPPPPDTTNQTASQAPPPTNSNGTLTPPSYPRGSPGPAGPSPPVLSALIVGVVLGVLAGVGFSVCVYRRKKRKEAQRLLLAGQPSQVASKDDDWQHNTAPPPDNKMMTWPKLTHPQGTPPSNQVPPMPGIFAEHPSTSSSMGSDKQFPPPTPGIALGYSQSTFTYEELAMATDNFSEANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFRAEIEIISRVHHRHLVSLFGYCIAGAQRMLVYEFVPNYTLEFHLHGQPKIIHRDIKASNILIDHSFEAKVADFGLAKHSLDTETHVSTRVMGTFGYMAPEYASSGKLTAKSDVYSFGVVLLELISGRRPVDRTQSFIDDSIVDWARPLLKQALEDGNFDAVVDPKLQDYDSNEMIRMICCAAACVRHLGRFRPRMSQIVRALEGNMPLGELNEGITPGPSMVYSSASSDYTNRQYEEDLKKFRKLALESPEHDSRVNPLASTSSQECTEPTSESGRNPFRSSTEGQQTKPEMDSQRKEENIKDT >Potri.001G183000.6.v4.1 pep chromosome:Pop_tri_v4:1:16159056:16164149:-1 gene:Potri.001G183000.v4.1 transcript:Potri.001G183000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183000.v4.1 MMTWPKLTHPQGTPPSNQVPPMPGIFAEHPSTSSSMGSDKQFPPPTPGIALGYSQSTFTYEELAMATDNFSEANLLGQGGFGYVHKGILANGTVVAIKQLKSGSGQGEREFRAEIEIISRVHHRHLVSLFGYCIAGAQRMLVYEFVPNYTLEFHLHENGRPTMNWSTTMKIAVGAAKGLAYLHEDCQPKIIHRDIKASNILIDHSFEAKVADFGLAKHSLDTETHVSTRVMGTFGYMAPEYASSGKLTAKSDVYSFGVVLLELISGRRPVDRTQSFIDDSIVDWARPLLKQALEDGNFDAVVDPKLQDYDSNEMIRMICCAAACVRHLGRFRPRMSQIVRALEGNMPLGELNEGITPGPSMVYSSASSDYTNRQYEEDLKKFRKLALESPEHDSRVNPLASTSSQECTEPTSESGRNPFRSSTEGQQTKPEMDSQRKEENIKDT >Potri.011G085000.1.v4.1 pep chromosome:Pop_tri_v4:11:9691415:9697417:-1 gene:Potri.011G085000.v4.1 transcript:Potri.011G085000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G085000.v4.1 MWKLEVADGDGPWLFSTNNFVGRQIWKFKPHVGTPEEHARVEIAREQFRSNRSRCKASSDVLKNFQLIQENQIDLSIPPVRLGDGEEITVEKAETALRKALRFTSATQASDGHWPAEFSGPLFLTPPLIMVVYLSGSLNTVLSSDHKKEIIRYIYNHQNEDGGWGFHVEGHSTMMGTALNYVALRLLGEGPEGGRDGALTEARKWILDHGGVTMLPSWGKLYLSVLGTYEWSGCNPVPPEFLLFPSFLPFSPGKLWCHLRTVYTPMAYLYGKKFVGPITDLILQLRDELYNQPYEEVDWNKARHLCLKEDLYTSPSLAKNLIFDGVHYLSERVLRHWPFSKLREQALQEAMKLIHYEDENTRYMTHASIEKSLNMMACWAEDPTSDAFKFHLARVPDVLWLAEDGMKMQSIGSQLWDAAFATQAVIASKLVDEYGSTLRRAHEFLKQSQIQANASGDFRRMHRHISKGAWTLSIKDHGWQVSDCTAEALRALLLLSQMPSEIVGETIDTERLYNAIDFLLSLQSKNGGFSVWEPARGQRWLEVLNPTEAFGDMMVETEYVECTASAIQALVLFKRLHPGYRSKEIEASVAKASSYIEDAQMSDGSWYGNWGICYTYGTYFALKGLASVGKTCRNSTTVRKACEFLLSKQHNSGGWGESYLSCANSEYTEIEKSNVVQTAWAMMGLIHAGQVERDPAPLHQAARLLINSQMENGEFPQQQITGASLKTCMLHYASFKNIFPLWALGEYRKCVPLRKL >Potri.011G061500.1.v4.1 pep chromosome:Pop_tri_v4:11:5077958:5079874:-1 gene:Potri.011G061500.v4.1 transcript:Potri.011G061500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G061500.v4.1 MSTARFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGSTVNIGLWDTAGQEDYNRLRPLSYRGADVFLLAFSLISKASYENIYKKWIPELRHYAPNVPIVLVGTKLDLREDKQYLIDHPGATTITTAQGEELKKMIGAVIYIECSSKTQQNVKTVFDAAIKVALRPPKPKKKPRKQRTCAFL >Potri.014G144600.1.v4.1 pep chromosome:Pop_tri_v4:14:9877268:9881729:-1 gene:Potri.014G144600.v4.1 transcript:Potri.014G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G144600.v4.1 MVVVAAAWFLFVGGLAATFSAEALSFNDSTSGTLLSFKNSVLGDPSNLLSSWNLTTNPDYCTWYGVTCQKPSNTTTEVVVIALNFSGTSTTRLSGTLPESIQNLPYLRTLVLSHNCFSGEIPAGSIAKLSFLEVLELQGNNFSGKIPQQISTDLHSLRFLNLSFNSFTGDIPATLIGFGKLRVIDLSNNRLTGGMQLVSLSKCLFLRHLKLSNNLLENNIPKDIGHCKNLRTLLLDGNILQGPIPAEIGQIPELRVLDVSTNSLTQTIPKELGYCRKLSVLVLTNSSNFVGDNGGTGGNLDGFRLEFNAFEGGVPQEVLMLPSLQILWAPRANLDGRLPDNWSDSCSLRVLHLGQNSLRGVVPKGLVMCKNLTFLDLSSNYLTGDLPMQLQVPCMMYFNVSQNNISGAVPTFGKGSCDTSIISYGQDPNFFYVEDIQIAYANIPVWGSHTLLGSMAGADFVIVHDFSWNHFVGSLPSFSVGEEFLVSKNRTSYRLLLSSNGFTGSLPGKLVSNCNDLLSFSVNLSANHISGEIPDMLLNCLPIREFEAADNEISGFLAPSIGNLRMLRCLDLRRNRLSGSLPNELGNLRFLRSVLLGMNNLTGEIPSEFGQLSSLTVLDLSHNAVTGSIPMSLTSAKNLEIVLLNNNDLSGAIPPPFSNISSLVVLNVSFNNLSGHIPHLQHPIDCDWFRGNIFLDKCLDQSSNTPPGEVQQSHGDRKWRNHRKKSFLIAVVTSASVVLCVSLVVVLFSFYGKKKSWRLSILRGKVVVTFADAPAELTYDSVVRATGNFSMRNLIGTGGFGSTYKAELVPGYFIAVKRLSIGRFQGIQQFDAEIRTLGRIRHKNLVTLIGYYVAEAEMFLIYNYLSGGNLETFIHDRPDTNVQWPVIHKIALDIAQALAYLHYSCAPRILHRDIKPSNILLDEELNAYLSDFGLAKLLEVSQTHATTDVAGTFGYVAPEYATTCRVSDKSDVYSFGVVLLELMSGKKSLDPSFSEYGNGFNIVAWAKLLIKERRSSELFAPELWEAGPNENLLGMLKLASSCTVDSLSVRPSMKQVLEKLKQLKP >Potri.007G006400.4.v4.1 pep chromosome:Pop_tri_v4:7:437885:440910:1 gene:Potri.007G006400.v4.1 transcript:Potri.007G006400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006400.v4.1 MAWLARSIANSLNFDDEEEDFSNTESDEQQRQSTTPRGLKEDLTDLKQTLSRQFWGVASFLAPTPSENDPHPDDEDAALIAGIRSDFSEIGGKFKSGISKLSTNKTVSEFTKIASDLLQLGSESDDDEIATIVATAVGVTEEVVGFARDVAMHPETWLDFPIPRVQDFEDFDMSDAQQEHALAVERLAPRLAALRIELCPGYMSEGCFWKIYFVLLHPRLTKHDAQLLSTPQIVEARAMLSHELQNKDKAKSTPDWPGVGTPSVKDNSNTDLPHEETLSVPSHAETESVTIMTSGTKAAPPTLAAKTPDHETVPSNVSAEPEMEKHPVESTQMQIIDKYIVEEEKVNQAKHQHSSSSSSRILDEKFEDDGDDWLKDDSSEMIGASGASMPLGNDEDVSFSDLEEDDEDEPTSYTKVA >Potri.008G076900.7.v4.1 pep chromosome:Pop_tri_v4:8:4753594:4756037:-1 gene:Potri.008G076900.v4.1 transcript:Potri.008G076900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076900.v4.1 MACQVGARMLQSGLSVMVNEVSERTCITIATCAMQNRNSEPSFSGQASKIGIKFVPFQKTRFQLRRQGWRIAFALDTGGLPGNGGQENVNGDSPPGLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYKKPSSLSTRRLQYFVAMMNYWKAGVCLGLFVDAFKLDSIQCEGC >Potri.008G076900.5.v4.1 pep chromosome:Pop_tri_v4:8:4753594:4756037:-1 gene:Potri.008G076900.v4.1 transcript:Potri.008G076900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076900.v4.1 MACQVGARMLQSGLSVMVNEVSERTCITIATCAMQNRNSEPSFSGQASKIGIKFVPFQKTSHISLLSWLWPSLYQNRFQLRRQGWRIAFALDTGGLPGNGGQENVNGDSPPGLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYKKPSSLSTRRLQYFVAMMNYWKAGVCLGLFVDAFKLGS >Potri.008G076900.6.v4.1 pep chromosome:Pop_tri_v4:8:4753594:4755655:-1 gene:Potri.008G076900.v4.1 transcript:Potri.008G076900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076900.v4.1 MACQVGARMLQSGLSVMVNEVSERTCITIATCAMQNRNSEPSFSGQASKIGIKFVPFQKTSHISLLSWLWPSLYQNRFQLRRQGWRIAFALDTGGLPGNGGQENVNGDSPPGLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYKKPSSLSTRRLQYFVAMMNYWKAGVCLGLFVDAFKLGS >Potri.008G076900.9.v4.1 pep chromosome:Pop_tri_v4:8:4753594:4755686:-1 gene:Potri.008G076900.v4.1 transcript:Potri.008G076900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076900.v4.1 MACQVGARMLQSGLSVMVNEVSERTCITIATCAMQNRNSEPSFSGQASKIGIKFVPFQKTRFQLRRQGWRIAFALDTGGLPGNGGQENVNGDSPPGLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYKKPSSLSTRRLQYFVAMMNYWKAGVCLGLFVDAFKLGS >Potri.008G076900.8.v4.1 pep chromosome:Pop_tri_v4:8:4753594:4756037:-1 gene:Potri.008G076900.v4.1 transcript:Potri.008G076900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076900.v4.1 MACQVGARMLQSGLSVMVNEVSERTCITIATCAMQNRNSEPSFSGQASKIGIKFVPFQKTRFQLRRQGWRIAFALDTGGLPGNGGQENVNGDSPPGLGGTRLGRIVSAGGRQLLEKLNSARKNFPMKIFLLLLGFYTANALATILGQTGDWDVLVAGIVVAAIEGIGMLMYKKPSSLSTRRLQYFVAMMNYWKAGVCLGLFVDAFKLGS >Potri.016G000600.5.v4.1 pep chromosome:Pop_tri_v4:16:33680:34829:1 gene:Potri.016G000600.v4.1 transcript:Potri.016G000600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000600.v4.1 MILDTGSSLSWLQCQPCAVYCHAQADPLYDPSVSKTYKKLSCASVECSRLKAATLNDPLCETDSNACLYTASYGDTSFSIGYLSQDLLTLTSSQTLPQFTYGCGQDNQGLFGRAAGIIGLARDKLSMLAQLSTKYGHAFSYCLPTANSGSSGGGFLSIGSISPTSYKFTPMLTDSKNPSLYFLRLTAITVSGRPLDLAAAMYRVPTLIDSGTVITRLPMSMYAALRQAFVKIMSTKYAKAPAYSILDTCFKGSLKSISAVPEIKMIFQGGADLTLRAPSILIEADKGITCLAFAGSSGTNQIAIIGNRQQQTYNIAYDVSTSRIGFAPGSCH >Potri.016G000600.1.v4.1 pep chromosome:Pop_tri_v4:16:33098:34679:1 gene:Potri.016G000600.v4.1 transcript:Potri.016G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G000600.v4.1 MESISCKSLEAMMGLLWIMVCYLSLASSSSQAKPKAYVQSINQSSIHLNIYHVHGHGSSLTPNSSSLLSDVLLHDEEHVKALSDRLANKGLGSGSAKPPKSGHLLEPNSASIPLNPGLSIGSGNYYVKLGLGTPPKYYAMILDTGSSLSWLQCQPCAVYCHAQADPLYDPSVSKTYKKLSCASVECSRLKAATLNDPLCETDSNACLYTASYGDTSFSIGYLSQDLLTLTSSQTLPQFTYGCGQDNQGLFGRAAGIIGLARDKLSMLAQLSTKYGHAFSYCLPTANSGSSGGGFLSIGSISPTSYKFTPMLTDSKNPSLYFLRLTAITVSGRPLDLAAAMYRVPTLIDSGTVITRLPMSMYAALRQAFVKIMSTKYAKAPAYSILDTCFKGSLKSISAVPEIKMIFQGGADLTLRAPSILIEADKGITCLAFAGSSGTNQIAIIGNRQQQTYNIAYDVSTSRIGFAPGSCH >Potri.005G113100.5.v4.1 pep chromosome:Pop_tri_v4:5:8224924:8225824:-1 gene:Potri.005G113100.v4.1 transcript:Potri.005G113100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113100.v4.1 MLSPCLSTSAPPRVTFKRPLVTNSTTTTLPPRNLSLKKPQGMASSLYEILRIPVGATNQEIKTAYRRLARTYHPDVVAEDRKDTSADEFMKLHAAYSTLSDPEKRAVYDSKLFIRKQRPLTTVGFSGYSGRTWETDQCW >Potri.001G066900.1.v4.1 pep chromosome:Pop_tri_v4:1:5018898:5021681:-1 gene:Potri.001G066900.v4.1 transcript:Potri.001G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066900.v4.1 MALEQQLSLTPTQNPVDGERTNGVDTNIRDGGDDGNKAPRLPRWTRQEILVLIQGKRVAENRVRRGRASGMGIGSGQIEPKWASVSSYCKRHGVNRGPVQCRKRWSNLAGDFKKIKEWETSIREETESFWVMRNDLRRERKLPGFFDREVYDILDGGGGTVPGLALALAPSSTAAEAEAVAEEVVFDSGRSAAAEDGLFSDFEQEEGGGSPEAVVKEVQPIKMAVTAGVANPTPISEKQYQPAPRASQAQVPPNDKRPATNPEMGSASHEERKRKRFVIDGDEETISLQSHLIDVLERNGKMLTAQLEAQNTNFQLDREQRKDHADGLVAVLNKLANALGKIADKL >Potri.008G038100.10.v4.1 pep chromosome:Pop_tri_v4:8:2088504:2092905:-1 gene:Potri.008G038100.v4.1 transcript:Potri.008G038100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G038100.v4.1 MASEGILLGMGNPLLDISAVVDDDFLQKYDIKLNNAILAEDKHIPMYDEMASKYTVEFIAGGATQNSIKVAQWMLQIPGATSYMGCIGKDKFGEEMKKNSMKAGVNVHYYEDEAAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWALVEKAKYFYIAGFFLTVSPESIMLVAEHAAANNKIFTMNLSAPFICEFFKDVQENALPYMDYVFGNETEARTFAKVHGWETENVEEIALKISQWPKASGAHKRITVITQGADPVVVAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVKAGCYAANVIIQRSGCTYPEKPDFS >Potri.010G126600.1.v4.1 pep chromosome:Pop_tri_v4:10:14381266:14385970:-1 gene:Potri.010G126600.v4.1 transcript:Potri.010G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G126600.v4.1 MASSPFLSRIPINNKTISFSSSLSPSFPFSPSTLSHRKRNPNALRVQAKIREIFMPALSSTMTEGKIVSWIKSEGDVLSKGESVVVVESDKADMDVETFYDGILAAIVVPEGETAPVGAPIGLLAETEEEIAEAKAKAASKAGGSSSPATPISPPDSSTPAPAIPQPPPAPAAPEGPRKTVATPFAKKLARQHKVDINKVVGTGPYGRITPADVEAAAGIAVSKPSVSSASPAAAAAPVMAAASSSAPPPLPGSNVVPFTSMQGAVSKNMVESLSVPTFRVGYPITTDALDALYEKVKPKGVTMTALLAKAAAMALVQHPVVNASCKDGKSFTYNSNINIAVAVAINGGLITPVLQDADKLDLYLLSKKWKELVEKARAKQLQPQEYNSGTFTLSNLGMFGVDRFDAILPPGQGAIMAVGASKPTVVADKDGFFSVKSKMLVNVTADHRIVYGADLAAFLQTFAKIVENPESLTL >Potri.012G012850.1.v4.1 pep chromosome:Pop_tri_v4:12:1522887:1523452:-1 gene:Potri.012G012850.v4.1 transcript:Potri.012G012850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012850.v4.1 MASFSKILSKTDIKKRLTVPIKFLKSLPSFDGGHAVKFEARDEGGEIWAFQCSVRRRGHPKPVLTRGWKAFINSKKLKTGDKVSFIKCKNRATAKTSYRVRAENEIKIFGASIGHAPL >Potri.011G026700.6.v4.1 pep chromosome:Pop_tri_v4:11:1974658:1981942:1 gene:Potri.011G026700.v4.1 transcript:Potri.011G026700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026700.v4.1 MAMDPPFLETYKTLLDKATEGAHGHKEVVIIEECELPLIDLGRLNLGKLEKEKCKSDIARESQEWGFFQVVNHGISREILEKMMSEQVKVYRQPFNNKSKELFNFSSGTYRWGTPTATCREQLAWSEAFHIPMNDIPFSNGFSSLSSTMEQFATTVADLAQKLAAILAEKLGFKSNFFQENCLSSTCYLRMNRYPPCPIPSDVFGLMPHTDSDFLTILYQDEVGGLQLVKDGKWFAVKPNPEALIVNIGDLFQAWSNDVYKSVQHRVVTNPRVERFSTAYFFCPSYDTEIQSCYEPSVYKKFSFRMYRQQVQDDVKKLGRKVGLPRFLV >Potri.011G026700.8.v4.1 pep chromosome:Pop_tri_v4:11:1977684:1981634:1 gene:Potri.011G026700.v4.1 transcript:Potri.011G026700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026700.v4.1 MAMDPPFLETYKTLLDKATEGAHGHKEVVIIEECELPLIDLGRLNLGKLEKEKCKSDIARESQEWGFFQVVNHGISREILEKMMSEQVKVYRQPFNNKSKELFNFSSGTYRWGTPTATCREQLAWSEAFHIPMNDIPFSNGFSSLSSTMEQFATTVADLAQKLAAILAEKLGFKSNFFQENCLSSTCYLRMNRYPPCPIPSDVFGLMPHTDSDFLTILYQDEVGGLQLVKDGKWFAVKPNPEALIVNIGDLFQAWSNDVYKSVQHRVVTNPRVERFSTAYFFCPSYDTEIQSCYEPSVYKKFSFRMYRQQVQDDVKKLGRKVGLPRFLV >Potri.011G026700.5.v4.1 pep chromosome:Pop_tri_v4:11:1974658:1981942:1 gene:Potri.011G026700.v4.1 transcript:Potri.011G026700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026700.v4.1 MAMDPPFLETYKTLLDKATEGAHGHKEVVIIEECELPLIDLGRLNLGKLEKEKCKSDIARESQEWGFFQVVNHGISREILEKMMSEQVKVYRQPFNNKSKELFNFSSGTYRWGTPTATCREQLAWSEAFHIPMNDIPFSNGFSSLSSTMEQFATTVADLAQKLAAILAEKLGFKSNFFQENCLSSTCYLRMNRYPPCPIPSDVFGLMPHTDSDFLTILYQDEVGGLQLVKDGKWFAVKPNPEALIVNIGDLFQAWSNDVYKSVQHRVVTNPRVERFSTAYFFCPSYDTEIQSCYEPSVYKKFSFRMYRQQVQDDVKKLGRKVGLPRFLV >Potri.011G026700.7.v4.1 pep chromosome:Pop_tri_v4:11:1974943:1981634:1 gene:Potri.011G026700.v4.1 transcript:Potri.011G026700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G026700.v4.1 MAMDPPFLETYKTLLDKATEGAHGHKEVVIIEECELPLIDLGRLNLGKLEKEKCKSDIARESQEWGFFQVVNHGISREILEKMMSEQVKVYRQPFNNKSKELFNFSSGTYRWGTPTATCREQLAWSEAFHIPMNDIPFSNGFSSLSSTMEQFATTVADLAQKLAAILAEKLGFKSNFFQENCLSSTCYLRMNRYPPCPIPSDVFGLMPHTDSDFLTILYQDEVGGLQLVKDGKWFAVKPNPEALIVNIGDLFQAWSNDVYKSVQHRVVTNPRVERFSTAYFFCPSYDTEIQSCYEPSVYKKFSFRMYRQQVQDDVKKLGRKVGLPRFLV >Potri.006G167300.1.v4.1 pep chromosome:Pop_tri_v4:6:16694989:16696405:1 gene:Potri.006G167300.v4.1 transcript:Potri.006G167300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167300.v4.1 MDFVHGSTVTHEKKTSVLQGDNFFFNRVISRNSSVGCSSRILYYRSAEGVPFKWEMQPGTPKDPPKEESIPPIRPPPALLGIGLPKPCIDIKGPKTSMRSRFRFWFWKQIKKNKRNEKPQRGSQGNDIINANDESDKFEMFEFYSSDGDFNSFSPRNSSPSSSSSSSLYFSNGHSRKLSRIFESASARDSMQKPHGCIPWNFTAVLISGAKRNR >Potri.014G118100.6.v4.1 pep chromosome:Pop_tri_v4:14:7957735:7962323:-1 gene:Potri.014G118100.v4.1 transcript:Potri.014G118100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118100.v4.1 MATRPDPDIDDDFSEIYKEYTGPPGSTVNSAHDMAKINKRSHVDSDEEEETPDPNAVPTDFTSREAKFWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVAARDKHIKALFTEKVIQGIEKDICCKIKIEEKFIIVSGKDRLILAKGVDAVHKVIKGEGDQKGSSSSHKSRSRSPERSPVGSRLLHSDSQRSYPGHPHDTSRYQQRFGRQDKIKGDRREDLNKFSRGSPQARGKFLS >Potri.014G118100.1.v4.1 pep chromosome:Pop_tri_v4:14:7957611:7962268:-1 gene:Potri.014G118100.v4.1 transcript:Potri.014G118100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G118100.v4.1 MATRPDPDIDDDFSEIYKEYTGPPGSTVNSAHDMAKINKRSHVDSDEEEETPDPNAVPTDFTSREAKFWEAKSKATERNWKKRKEEEMICKICGESGHFTQGCPSTLGANRKSQDFFERVAARDKHIKALFTEKVIQGIEKDICCKIKIEEKFIIVSGKDRLILAKGVDAVHKVIKGEGDQKGSSSSHKSRSRSPERSPVGSRLLHSDSQRSYPGHPHDTSRYQQRFGRQDKIKGDRREDLNKFSRGSPQAYGNDGGRSHSSRSKSPARPLYAGNSYGSHDGHGHSTAGYRTAGRDIERQGSDLQSGHQFDYSTFPQMLEELELEYKRDAMELSRIRDKEEDEENYKHRETIREIGENYVQRLAILRGTHTRQWEEFLECNAQMHQQQPRQPISVSGFGGHKQHSYSEYDGSSANPYYAGNSLHMDSRARCSNDMENYSSRPHDTYDEFQHQRREAFGKAYNRY >Potri.019G097640.1.v4.1 pep chromosome:Pop_tri_v4:19:13494094:13495826:1 gene:Potri.019G097640.v4.1 transcript:Potri.019G097640.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G097640.v4.1 MLEMHDLLQEMAFNIVRAESDFPGEHSRLCRLPDVVHVLEENKGTQKIKGMSLDMSMLSRHIHLKSDAFAMMDGLRFLNFYHDGISKENIVHLPPTGLEYLPNELRYLRWYGFPSKSLPPSFRVEHLVELDLCGSKLVKLWTGVKDVGNLRKITLSYSPYLTELPDLSKAKNLECLQLVACYSLTEVPSSLQYLDKLEELDVHFCYNLRSFPMLDSKVLKKNYPILLSIFDRIARSIKGQKFRVLTTCGLL >Potri.009G087900.2.v4.1 pep chromosome:Pop_tri_v4:9:8167977:8171473:-1 gene:Potri.009G087900.v4.1 transcript:Potri.009G087900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087900.v4.1 MKAGDENGGQLLLSLFSIILFAGLLTNALPYDYSISLECLENPLRPQYNGGIIVNPELNDGLRAWSTFGDAKTEHRESNGNKYVVAHSRNNPYGSMSQKLYLKKNHLYTFSAWVQVSEGNVQVTAIFKTDSGFKKAGSVFAEPKCWSMLKGGLTVDASGPAELYFESNNTSVEIWVDSISLQPFTEKEWRSHQDQSIERTRKEKVRIQAIDEQGNPLSNATISIKQNKLRFPFGCAINKNILSNTAYQDWFTSRFGVTAFEDEMKWYSTEATRGQVDYSVPDAMMAFAKQHNIAVRGHNVIWDDPKYQSGWVNSLSPNDFRTAVQARVGSVMTRYRGRLLAWDVVNENMHFSFVESKLGQNASSVIYNSAGKTDGLTTLFLNEYDTIEKSGEGAASPAKYLQKLKEIQSFPGNANLRMGIGLESHFTIPNLPYMRASLDTLASANVPIWLTEVDVQGNPAQQAQYLEQILREGYSYPKIAGIVMWSAWKPQGCYRMCLTDNNFKNLATGDVVDKLLHEWGGSLMGMTDANGFFEASLSHGDYNVKIIHHGVTDISSSTSNLKVDPTVAGRTTLIHQVTA >Potri.011G130800.1.v4.1 pep chromosome:Pop_tri_v4:11:16439959:16442001:1 gene:Potri.011G130800.v4.1 transcript:Potri.011G130800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G130800.v4.1 MLISLPVFLTILLVISILWTWTKFIKSNKSSSNPPPGPWKLPFIGNLHQLVHPLPHHRMRDLAKKFGPVMQLQVGEVSTVIISSSEAAKEVMKTHEINFVERPHLLAASVLFYNRKDIAFAPYGEYWRQLRKISILELLSAKRVRSFKSIREEEVSNFIASIYSKEGSPINLSRMIFSLENGITARTSIGNKCKNHEGFLPIVEELAEALGGLNMIDIFPSSKFLYMVSRVRSRLERMHREADEILESIISERRANSALASKMGKNEEDDLLGVLLNLQDHGNLEFQLTTSTIKAVILEMFSGGGDTSSTALEWAMSELIKNPRVMEKAQKEVRQVFNDLGTIPDETSLHDLKFLKLIIKETLRLHPPVPLIPRECRKRCDVNGYDIHVKSKVLINAWAIGRDPNCWNEPERFYPERFINVSTDFKGSDFEFIPFGAGKRMCPGMLFATANTEFPLAQMLYHFDWKPAGGLKPENLDMTESFGGAVKRKQDLKLIPISYRSLVG >Potri.001G246200.5.v4.1 pep chromosome:Pop_tri_v4:1:26324213:26331027:1 gene:Potri.001G246200.v4.1 transcript:Potri.001G246200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246200.v4.1 MEDNLRLEKNFRNDQSLGTAEKTVISQARQSVNVQEKLKLAKPNSSHTDIHHEITKNVDHLSSKPWRNILKQHRTAIEEEELVKYMSKLPSYLERGQTRQDKVLNVGVLDWGRLEKWQCSQKQMPARNSRHSLSSCGSSSPFSTEGSSVYSSGGQSCSPGRQRTHRPSLQFHLMSSPNKGSSPVKSLKESIGKFQDVKGSQTSTVIEQAKFIRADQPFPKYHPEINLDRCKRIDSNPKINPENGTLPNGLDYEGLQFMKTKTKTTIKPPEDEFMKRAGKLQEQKACVADQDVDQTNERLILLIPRDSPQGSHSGVPHKSTMMFGEKEEEANRKSFSDVPVEIFFPAVHSDVPHSCPLPYEIGRPLEKKWHSGEMKNLSFLSDSSQSVPQQAKIGMSTSRDTISKVKKPTVMLSDSSSKEPCVADQKMNRLASEKVRSTSPFRRLSIGMSKISKSFSSKEGSSKPQFSSTYNSAQSGSESAMASMRQGNQSSDAQNASSRARSSPLRRLLEPMLKPRAANFHHSGEKLQRGSKSTDTVCKSLNIQLDCMPGTAQIEVVKSDTTTPGKISVSDSFKDKKYTSSPFQALLRVAVKNGQPMFTFAVDNERDLLAATIKKLSASREDDYSCIYTFFAIHEVKKRNGRWTNQGGKGKGHDYIPNVVAQLKVSGSQFSNLTRQNYMAQSFAREFVLFAMEPHQAEQQTLDFQPNDELAAIVVKIPEVINRSTIRDGNQTNKCNNYSEARCNSTSGNVQNQPVLGSQSLINTTVILPSGIHSLPNKGGPSSLLQRWRSGGSCDCGGWDLGCKLRILVNHNQLTKKLSTTKACSAIDKFELVSQGGEENQPVFSMAPFKEGIYSVEFNTSLSTLQAFSLCIAVLDGKKLCEMSESSSLFEEKPSLETIQSQNDGLRAPNGSAGEVPARYVSYPPLSPVGRV >Potri.001G246200.1.v4.1 pep chromosome:Pop_tri_v4:1:26326476:26331068:1 gene:Potri.001G246200.v4.1 transcript:Potri.001G246200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246200.v4.1 MEDNLRLEKNFRNDQSLGTAEKTVISQARQSVNVQEKLKLAKPNSSHTDIHHEITKNVDHLSSKPWRNILKQHRTAIEEEELVKYMSKLPSYLERGQTRQDKVLNVGVLDWGRLEKWQCSQKQMPARNSRHSLSSCGSSSPFSTEGSSVYSSGGQSCSPGRQRTHRPSLQFHLMSSPNKGSSPVKSLKESIGKFQDVKGSQTSTVIEQAKFIRADQPFPKYHPEINLDRCKRIDSNPKINPENGTLPNGLDYEGLQFMKTKTKTTIKPPEDEFMKRAGKLQEQKACVADQDVDQTNERLILLIPRDSPQGSHSGVPHKSTMMFGEKEEEANRKSFSDVPVEIFFPAVHSDVPHSCPLPYEIGRPLEKKWHSGEMKNLSFLSDSSQSVPQQAKIGMSTSRDTISKVKKPTVMLSDSSSKEPCVADQKMNRLASEKVRSTSPFRRLSIGMSKISKSFSSKEGSSKPQFSSTYNSAQSGSESAMASMRQGNQSSDAQNASSRARSSPLRRLLEPMLKPRAANFHHSGEKLQRGSKSTDTVCKSLNIQLDCMPGTAQIEVVKSDTTTPGKISVSDSFKDKKYTSSPFQALLRVAVKNGQPMFTFAVDNERDLLAATIKKLSASREDDYSCIYTFFAIHEVKKRNGRWTNQGGKGKGHDYIPNVVAQLKVSGSQFSNLTRQNYMAQSFAREFVLFAMEPHQAEQQTLDFQPNDELAAIVVKIPEVINRSTIRDGNQTNKCNNYSEARCNSTSGNVQNQPVLGSQSLINTTVILPSGIHSLPNKGGPSSLLQRWRSGGSCDCGGWDLGCKLRILVNHNQLTKKLSTTKACSAIDKFELVSQGGEENQPVFSMAPFKEGIYSVEFNTSLSTLQAFSLCIAVLDGKKLCEMSESSSLFEEKPSLETIQSQNDGLRAPNGSAGEVPARYVSYPPLSPVGRV >Potri.001G246200.4.v4.1 pep chromosome:Pop_tri_v4:1:26324145:26331045:1 gene:Potri.001G246200.v4.1 transcript:Potri.001G246200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246200.v4.1 MEDNLRLEKNFRNDQSLGTAEKTVISQARQSVNVQEKLKLAKPNSSHTDIHHEITKNVDHLSSKPWRNILKQHRTAIEEEELVKYMSKLPSYLERGQTRQDKVLNVGVLDWGRLEKWQCSQKQMPARNSRHSLSSCGSSSPFSTEGSSVYSSGGQSCSPGRQRTHRPSLQFHLMSSPNKGSSPVKSLKESIGKFQDVKGSQTSTVIEQAKFIRADQPFPKYHPEINLDRCKRIDSNPKINPENGTLPNGLDYEGLQFMKTKTKTTIKPPEDEFMKRAGKLQEQKACVADQDVDQTNERLILLIPRDSPQGSHSGVPHKSTMMFGEKEEEANRKSFSDVPVEIFFPAVHSDVPHSCPLPYEIGRPLEKKWHSGEMKNLSFLSDSSQSVPQQAKIGMSTSRDTISKVKKPTVMLSDSSSKEPCVADQKMNRLASEKVRSTSPFRRLSIGMSKISKSFSSKEGSSKPQFSSTYNSAQSGSESAMASMRQGNQSSDAQNASSRARSSPLRRLLEPMLKPRAANFHHSGEKLQRGSKSTDTVCKSLNIQLDCMPGTAQIEVVKSDTTTPGKISVSDSFKDKKYTSSPFQALLRVAVKNGQPMFTFAVDNERDLLAATIKKLSASREDDYSCIYTFFAIHEVKKRNGRWTNQGGKGKGHDYIPNVVAQLKVSGSQFSNLTRQNYMAQSFAREFVLFAMEPHQAEQQTLDFQPNDELAAIVVKIPEVINRSTIRDGNQTNKCNNYSEARCNSTSGNVQNQPVLGSQSLINTTVILPSGIHSLPNKGGPSSLLQRWRSGGSCDCGGWDLGCKLRILVNHNQLTKKLSTTKACSAIDKFELVSQGGEENQPVFSMAPFKEGIYSVEFNTSLSTLQAFSLCIAVLDGKKLCEMSESSSLFEEKPSLETIQSQNDGLRAPNGSAGEVPARYVSYPPLSPVGRV >Potri.001G246200.2.v4.1 pep chromosome:Pop_tri_v4:1:26324135:26331069:1 gene:Potri.001G246200.v4.1 transcript:Potri.001G246200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246200.v4.1 MEDNLRLEKNFRNDQSLGTAEKTVISQARQSVNVQEKLKLAKPNSSHTDIHHEITKNVDHLSSKPWRNILKQHRTAIEEEELVKYMSKLPSYLERGQTRQDKVLNVGVLDWGRLEKWQCSQKQMPARNSRHSLSSCGSSSPFSTEGSSVYSSGGQSCSPGRQRTHRPSLQFHLMSSPNKGSSPVKSLKESIGKFQDVKGSQTSTVIEQAKFIRADQPFPKYHPEINLDRCKRIDSNPKINPENGTLPNGLDYEGLQFMKTKTKTTIKPPEDEFMKRAGKLQEQKACVADQDVDQTNERLILLIPRDSPQGSHSGVPHKSTMMFGEKEEEANRKSFSDVPVEIFFPAVHSDVPHSCPLPYEIGRPLEKKWHSGEMKNLSFLSDSSQSVPQQAKIGMSTSRDTISKVKKPTVMLSDSSSKEPCVADQKMNRLASEKVRSTSPFRRLSIGMSKISKSFSSKEGSSKPQFSSTYNSAQSGSESAMASMRQGNQSSDAQNASSRARSSPLRRLLEPMLKPRAANFHHSGEKLQRGSKSTDTVCKSLNIQLDCMPGTAQIEVVKSDTTTPGKISVSDSFKDKKYTSSPFQALLRVAVKNGQPMFTFAVDNERDLLAATIKKLSASREDDYSCIYTFFAIHEVKKRNGRWTNQGGKGKGHDYIPNVVAQLKVSGSQFSNLTRQNYMAQSFAREFVLFAMEPHQAEQQTLDFQPNDELAAIVVKIPEVINRSTIRDGNQTNKCNNYSEARCNSTSGNVQNQPVLGSQSLINTTVILPSGIHSLPNKGGPSSLLQRWRSGGSCDCGGWDLGCKLRILVNHNQLTKKLSTTKACSAIDKFELVSQGGEENQPVFSMAPFKEGIYSVEFNTSLSTLQAFSLCIAVLDGKKLCEMSESSSLFEEKPSLETIQSQNDGLRAPNGSAGEVPARYVSYPPLSPVGRV >Potri.001G246200.3.v4.1 pep chromosome:Pop_tri_v4:1:26324223:26331046:1 gene:Potri.001G246200.v4.1 transcript:Potri.001G246200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246200.v4.1 MEDNLRLEKNFRNDQSLGTAEKTVISQARQSVNVQEKLKLAKPNSSHTDIHHEITKNVDHLSSKPWRNILKQHRTAIEEEELVKYMSKLPSYLERGQTRQDKVLNVGVLDWGRLEKWQCSQKQMPARNSRHSLSSCGSSSPFSTEGSSVYSSGGQSCSPGRQRTHRPSLQFHLMSSPNKGSSPVKSLKESIGKFQDVKGSQTSTVIEQAKFIRADQPFPKYHPEINLDRCKRIDSNPKINPENGTLPNGLDYEGLQFMKTKTKTTIKPPEDEFMKRAGKLQEQKACVADQDVDQTNERLILLIPRDSPQGSHSGVPHKSTMMFGEKEEEANRKSFSDVPVEIFFPAVHSDVPHSCPLPYEIGRPLEKKWHSGEMKNLSFLSDSSQSVPQQAKIGMSTSRDTISKVKKPTVMLSDSSSKEPCVADQKMNRLASEKVRSTSPFRRLSIGMSKISKSFSSKEGSSKPQFSSTYNSAQSGSESAMASMRQGNQSSDAQNASSRARSSPLRRLLEPMLKPRAANFHHSGEKLQRGSKSTDTVCKSLNIQLDCMPGTAQIEVVKSDTTTPGKISVSDSFKDKKYTSSPFQALLRVAVKNGQPMFTFAVDNERDLLAATIKKLSASREDDYSCIYTFFAIHEVKKRNGRWTNQGGKGKGHDYIPNVVAQLKVSGSQFSNLTRQNYMAQSFAREFVLFAMEPHQAEQQTLDFQPNDELAAIVVKIPEVINRSTIRDGNQTNKCNNYSEARCNSTSGNVQNQPVLGSQSLINTTVILPSGIHSLPNKGGPSSLLQRWRSGGSCDCGGWDLGCKLRILVNHNQLTKKLSTTKACSAIDKFELVSQGGEENQPVFSMAPFKEGIYSVEFNTSLSTLQAFSLCIAVLDGKKLCEMSESSSLFEEKPSLETIQSQNDGLRAPNGSAGEVPARYVSYPPLSPVGRV >Potri.016G073100.1.v4.1 pep chromosome:Pop_tri_v4:16:5444303:5447643:-1 gene:Potri.016G073100.v4.1 transcript:Potri.016G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G073100.v4.1 MTFGSGGSSVVVPRNFRLLEELERGEKGIGDGTVSYGMDDGDDIYMRSWTGTIIGPHNTVHEGRIYQLKLFCDKDYPEKPPSVRFHSRINMTCVNHETGVVEPKKFGLLANWQREYTMEDILTQLKKEMAVPHNRKLVQPPEGTCF >Potri.003G155100.6.v4.1 pep chromosome:Pop_tri_v4:3:16644471:16651049:-1 gene:Potri.003G155100.v4.1 transcript:Potri.003G155100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155100.v4.1 MASTAPLHHHLTHCFSISQCKDKEVLLQHGWTARPPPRYSFFRNRENSINLTTSVSRPIKAFSVDIPSYPLLQPPKVEEESDSELEPADPDFYRIGYARSMRAYGIEFKEGPDGFGVYAAKDVEPLRRARVVMEIPIELMLNISKKLPWMFFPDIIPLGHPIFDIINSTDPETDWDLRLACLLLFAFDCEDNFWQLYSDFLPSADECPSLLLATEEDLLELQDENLASTMRKQQLRALEFWEKNWHSGAPLKIKRLARDPEKFIWAVSMAQSRCINMQIRVGALTQDTNMLIPYADMLNHSFEPNCFFHWRFKDRMLEVMINAGQQIRKGEEMTVNYMSGQKNDMFMQRYGFSSPVNPWDVIRFSGNARIHLDSFLSVFNISGLPEEYCHNTGLLSKEGDAFVDGAVIAAARTLPTWSDGDLPPVPSIERKAVKELQEECQKMLAKFPTTSKKDLKILDSMPEATRTLEAAIKYRLHRKLLIEKVVQALEMYQERILF >Potri.003G155100.2.v4.1 pep chromosome:Pop_tri_v4:3:16644249:16651089:-1 gene:Potri.003G155100.v4.1 transcript:Potri.003G155100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155100.v4.1 MASTAPLHHHLTHCFSISQCKDKEVLLQHGWTARPPPRYSFFRNRENSINLTTSVSRPIKAFSVDIPSYPLLQPPKVEEESDSELEPADPDFYRIGYARSMRAYGIEFKEGPDGFGVYAAKDVEPLRRARVVMEIPIELMLNISKKLPWMFFPDIIPLGHPIFDIINSTDPETDWDLRLACLLLFAFDCEDNFWQLYSDFLPSADECPSLLLATEEDLLELQDENLASTMRKQQLRALEFWEKNWHSGAPLKIKRLARDPEKFIWAVSMAQSRCINMQIRVGALTQDTNMLIPYADMLNHSFEPNCFFHWRFKDRMLEVMINAGQQIRKGEEMTVNYMSGQKNDMFMQRYGFSSPVNPWDVIRFSGNARIHLDSFLSVFNISGLPEEYCHNSLLSKEGDAFVDGAVIAAARTLPTWSDGDLPPVPSIERKAVKELQEECQKMLAKFPTTSKKDLKILDSMPEATRTLEAAIKYRLHRKLLIEKVVQALEMYQERILF >Potri.003G155100.7.v4.1 pep chromosome:Pop_tri_v4:3:16644469:16650954:-1 gene:Potri.003G155100.v4.1 transcript:Potri.003G155100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G155100.v4.1 MRAYGIEFKEGPDGFGVYAAKDVEPLRRARVVMEIPIELMLNISKKLPWMFFPDIIPLGHPIFDIINSTDPETDWDLRLACLLLFAFDCEDNFWQLYSDFLPSADECPSLLLATEEDLLELQDENLASTMRKQQLRALEFWEKNWHSGAPLKIKRLARDPEKFIWAVSMAQSRCINMQIRVGALTQDTNMLIPYADMLNHSFEPNCFFHWRFKDRMLEVMINAGQQIRKGEEMTVNYMSGQKNDMFMQRYGFSSPVNPWDVIRFSGNARIHLDSFLSVFNISGLPEEYCHNSLLSKEGDAFVDGAVIAAARTLPTWSDGDLPPVPSIERKAVKELQEECQKMLAKFPTTSKKDLKILDSMPEATRTLEAAIKYRLHRKLLIEKVVQALEMYQERILF >Potri.009G083600.1.v4.1 pep chromosome:Pop_tri_v4:9:7881684:7882455:1 gene:Potri.009G083600.v4.1 transcript:Potri.009G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G083600.v4.1 MAMSKILPLLVYLVSLALAHPSHAQNSQQDYLNAHNAARSQVTVANIIWDNTVAAYALNYANSRISDCNLVHSNGPYGENLAKGSGSFTGTAAVNLWVAEKPYYDYASNSCVGGQCLHYTQVVWRNSVRVGCARVKCTNGWWFVSCNYDPPGNYIGERPY >Potri.013G053100.2.v4.1 pep chromosome:Pop_tri_v4:13:3866451:3870116:1 gene:Potri.013G053100.v4.1 transcript:Potri.013G053100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053100.v4.1 MALAANPFCLSQEQYIISSSSVAKSGLASDGRFHRRQRLHFHGAVLSQFWPNSRKLRYIQSIRSHRHHYGGVIRCSNQLSDWTKKFSSLCSSSSRSMPKAIERLNFVVLSVTNALKERNNLECLKLAGILLCALLVIPSADAVDALKTCTCLLKECRLELAKCIANPSCAANVACLQTCNNRPDETECQIKCGDLFENSVVDEFNECAVSRKKCVPRKSDVGEFPVPDPAVLVENFNMADFSGKWFITSGLNPTFDTFDCQLHEFHTESNKLVGNLSWRIKTPDTGFFTRSAVQRFVQDPEHPGILYNHDNEYLHYQDDWYILSSKIENKQDDYVFVYYQGRNDAWDGYGGAVVYTRSAVLPESIVPELEKAAKSVGRDFSKFIRTDNTCGPEPPLVERLEKTVEEGEKTIIKEVEEIEEKVEKAGKTELSLFQRLTEGFKEIQKDEENFLRELSKEETDLLNDLRMEAGEVEKLFGRALPIRKLR >Potri.015G069000.1.v4.1 pep chromosome:Pop_tri_v4:15:9456769:9459581:-1 gene:Potri.015G069000.v4.1 transcript:Potri.015G069000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069000.v4.1 MVTGSSSTVSGGEGAAETKPKEIRLFGVRVVVDNFRRNVSLNNVTEYQYYKEMTPNTNNDNNNEEEDAGAAVSGYMSADDTVHRSSPASGRRSERKRGVPWTEEEHRRFLFGLQKVGKGDWRGISRNFVKTRNPTQVASHAQKHFLRLNNVNRRRRRTSLFDITADTLTSLPKEEQQAHRQDSNINNHASPSNPLPPPPLQANSITNFSGVPSIPIRTVNPSVLPLQIENPMMESQSLGQGHQFINHSTNLVRPVAVVPAVCTPAMPDLNLNLKPTADSSSLSLKLSSPFVQRESTSRSSAFQAMSSMKNGDNTITVA >Potri.015G069000.3.v4.1 pep chromosome:Pop_tri_v4:15:9457215:9459405:-1 gene:Potri.015G069000.v4.1 transcript:Potri.015G069000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G069000.v4.1 MVTGSSSTVSGGEGAAETKPKEIRLFGVRVVVDNFRRNVSLNNVTEYQYYKEMTPNTNNDNNNEEEDAGAAVSGYMSADDTVHRSSPASGRRSERKRGVPWTEEEHRRFLFGLQKVGKGDWRGISRNFVKTRNPTQVASHAQKHFLRLNNVNRRRRRTSLFDITADTVATSHHIPSHPIHAIVLCHQLNCSKPLCIELVCLDFLNHRNLISILILNLLIFYSLNF >Potri.015G100000.1.v4.1 pep chromosome:Pop_tri_v4:15:11989881:11991777:1 gene:Potri.015G100000.v4.1 transcript:Potri.015G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100000.v4.1 MDLIHKVLNIVLPPITLILLLLFFPYFLVSKFISRIKRSINSEKVAGKVVLITGASSGIGEYLAYEYARRGACLALSARREERLRAVADKARELGSPDVIVIATDISKVEDCERFVNEAMNHFGKLDHLVNNAGVAQIDMFEDCKQISDFATIMNTNFWGSVYASHFAIPHLRKSKGRIVGISSIAGWCSVPRMSFYSASKAAITSFYETLRAEFGSDIRITIVTPGLVESEMTQGDFLSKGQMDFVLAESTERCAKAIVDSACRGDRYLVEPSWARITFLMKVLCPEVLEWWFHRILVAKSSKKSN >Potri.015G004100.1.v4.1 pep chromosome:Pop_tri_v4:15:255368:258459:-1 gene:Potri.015G004100.v4.1 transcript:Potri.015G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G004100.v4.1 MAVLSMNFNSLPLGFRFRPSDKELVDYYLRLKINGDDDGVKVIREIDVCKWEPWDLPDLSIIKNKDPEWFFFCPLDRKYPNGSRQNRATNAGYWKATGNDRKIKSGNNLIGMKKTLVFYTGRAPKGKRTNWVMHEYRATEEELDGTKPGQSSFVLSRLFKKQDESIESLNCDEAEATVSSPTMVQSSPEVTQSDQPLIEASPANTTSEVGAPVEFQSNNFGVCDGGGQSVGLGASEGDLPVEEALNWFIDSPPEPLDYKIFSPLHVQVQSAMGSSSMFYPGNNELSASNTGLQFNNGANETDADTSDFVDSILQQPVEFSHGESSIQNISTIPHESLKSVVFVKDSGSYSGSDVDLATIRIQQEFQGADLLEGNVDQKPSSSLFSVSNAHQQQINLGLLQNEPYEQKSLASISATDQFNNLNKGSSNTNQVGGSDIDWDGIRIRPRNPRRNQQFDRNLNMQGDASRRFLLQSKLQAHFSTKSGDLSSEEVESECIVTKDAKVTEMETPVCGGDNGIDEQHKSSLVEVSESLIDTKNNCSILSKVSSMFSKASCARNSFWPNTMFRVAVFAVLFAVVVSRWRHFILNTA >Potri.018G072300.1.v4.1 pep chromosome:Pop_tri_v4:18:9181527:9184027:-1 gene:Potri.018G072300.v4.1 transcript:Potri.018G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072300.v4.1 MALAGVFTTYVLVPFVIFLTMIPDVTSRVGVNWGTMATHQLAPDNVVQMLKENGFDKLKLFDADEKIMAALIGTDIEVMLAIPNYMLQEISQDPAAAAAWVDENVTSWSYTGGVKIRYVAVGNEPFLQTYNGTYLHVTLPALKNIQHALNRAGLGSHVKATVPFNADIYNSPGSNPVPSAGDFRPDVRDLTIEVVQFLNENDAPFTVNIYPFLSLYGDPNFPLEFAFFDGPKKPITDGDSVYTNAFDANLDTLIWSLDKAGYPGMQIMIGEVGWPTDGDKNANIQNAKRFNQGLIRHALSGNGTPKRKGKNIDVYLFSLIDENAKAIAPGSFERHWGIFEFDGKPKYGLDLMGLEEDKGLAPVEGVRYQLRRWCVLDPEATELAELPDSIDYACSQSDCTALGYGSSCNHLSAEGNASYAFNMYYQLNNQGYWDCDFSGLALVTDKDPSEEDCQFPVMIAYGHSLVLNRNGLSDVLLGIVVGNLLFLLLLS >Potri.010G103500.1.v4.1 pep chromosome:Pop_tri_v4:10:12591838:12594490:1 gene:Potri.010G103500.v4.1 transcript:Potri.010G103500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G103500.v4.1 MPRSYRDKPFIFYICFSFSSFAHSFTAPVCKFLVFTEFNCLSIQQQQFNLEAEEMVGCKLYVKNTNWLSTLFHSEFFDSCGLHQEHRKNEKNVFCIDCRVGCCRHCMESHFLHRQFQICKYVYHDVVRLQEIQKHLDCSKIQTYKINGEKAIHLKPRPQPKDARPSTKAKLGASCEACARYLQDVPNRFCSIACKVSVELKDQSHNIIPFSIQEFPDLSWKENCSAERHSSENESSLSLTDMSEDTPGWINSALKPRRQLHKRKGVPRRAPLC >Potri.005G100750.2.v4.1 pep chromosome:Pop_tri_v4:5:7287604:7287924:-1 gene:Potri.005G100750.v4.1 transcript:Potri.005G100750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100750.v4.1 MTLIFPLTTPEMTMAQSPPLYLLAILFFALLFKGTSSTQQNHNKLKSLHYFVLCEHATRNKTGYIIVNGVAGPNLPHTTSTLGTLHVFREPMIVTSDPSSKVAVTV >Potri.014G127101.1.v4.1 pep chromosome:Pop_tri_v4:14:8541564:8541881:1 gene:Potri.014G127101.v4.1 transcript:Potri.014G127101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127101.v4.1 MEKIEHTTVATDRINMHIASIGRGPAILFLHGFPELWYSWRHQLLSLSFLGCRCIAPDHRGYGDTDARTSVREYTGFHIVGDLIGLLNSLGIDLVFLVGHTTGGP >Potri.003G086600.1.v4.1 pep chromosome:Pop_tri_v4:3:11335385:11336357:1 gene:Potri.003G086600.v4.1 transcript:Potri.003G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G086600.v4.1 MKHISFSSIALCFLFFFLVTPTPNNSISTLDASTIDITNRTCKKCAEEYSKTFSYDFCISSLQAIPVSHVTNIHGLAIIGMELALENATNTISTIKYLLSYGTLDRFALVALQDCLELYADALVTIVDGVAAFLTEHYSVANVKVSAVMEASTTCEEGFSDKTGVVSPLTEENYNLFQLSDIALCIIHMLSLAVCK >Potri.011G062300.1.v4.1 pep chromosome:Pop_tri_v4:11:5139510:5141981:-1 gene:Potri.011G062300.v4.1 transcript:Potri.011G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062300.v4.1 MYCNTNTLHGCSFSTFSFLFVLFNMAIYIPHETLTTEPSLVHVFLHCPKLPAYTTIMPSLSSSSSSSTCTFLLSFLFALTASNCAAREISSTSSPRQLSVDYYAKTCPQLEQLVGSVTSQQFKEAPVSGPATIRLFFHDCFVQGCDASILISTTPGSKVLAEKDAEDNQDLRVEGFQTISKAKALVESKCPGVVSSADILAIAARDYVHLAGGPYYQVKKGRWDGNISMASRVPYNIPRANFTVDQQLKLFNSKGLTLEDLVVLSGAHTFGFAHCKQFVSRLYNYRGSMQPDPDMDPRLLKALRMSCPQFGGNSDTVAPFDVTTPFLFDHAYYGNLEAKLGLLASDQALFLDPRTKPLVLQLGADKHKFFQAFAAAMEKMGSIGVERGT >Potri.003G167000.1.v4.1 pep chromosome:Pop_tri_v4:3:17628076:17629284:1 gene:Potri.003G167000.v4.1 transcript:Potri.003G167000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX6 MQYHQAESWGYHVPTRTCMASDPLEKVARLASESAVVVFSISSCCMCHAVKRLFCGMGVNPTVYELDHDPRGEEIEKALMRLLGNSTSVPVVFIGGKLIGAMERVMASHISGTLVPLLKEAGALWL >Potri.019G014374.1.v4.1 pep chromosome:Pop_tri_v4:19:2318686:2323592:1 gene:Potri.019G014374.v4.1 transcript:Potri.019G014374.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014374.v4.1 MNTQHIIKVKPISEEKAWTLFIKSLGHDITLCLEVEQIAKPITKECDGLPLGIIMAGAMKGVDAINEWRNALEDLRQSRVREDDTEEDVFPIEDLIAYLIDEGVIKRQSRVAEVYKGHSMLNRLKSATRYGDCSCVAMHDLIWDMVIQLQQENSKGMVKTGAQLNELPDSEEWTENLVRVLLIQN >Potri.006G041900.1.v4.1 pep chromosome:Pop_tri_v4:6:2820455:2823039:-1 gene:Potri.006G041900.v4.1 transcript:Potri.006G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G041900.v4.1 MEAKIRGAFESVGSFFTGGDHIPWCDRDIITGCEREVAEAAEGDSEELKRDTIMRLSWALVHSKQPEDVQRGIAMLEASLANSSPPLLQREKIYLLAVGYYRSGEYSRSRQLVDQCLEIAPDWRQALVLKKTLEDRVAKDGVIGIGIAATAVGLIAGGIAAALARKK >Potri.013G018700.1.v4.1 pep chromosome:Pop_tri_v4:13:1169578:1174976:-1 gene:Potri.013G018700.v4.1 transcript:Potri.013G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G018700.v4.1 MIYAELHTKNSNGEEEEPRVSSIESEQQEAKNARVSKIEEEEEPEEEESRVSEVRSERSFDFAVKDEERQDRLALGDYRSLWSEFDDFVANEDNGAMTGTSRALIYGFEVGDMVWGKVKSHPRWPGHIFNEAFASSSVRRTRREGHVLVAFFGDSSYGWFDPAELIQFDVNFAEKSQQTNSRTFIKAVEEATDEASRRSALGLACKCRNKYNFRPANVPGYYVVDVSDYEPGGVYSASQIMKARDGFKPGETLAFVKQLAVGPHGCDQESFEFIKNKARAFAFRNAVFEEFDETYAQAFAVQSSRPSNDTAKVPNQLAKEPTRAPLSGPLVIAEAPGGEKSSKKPIKVKDHSKKGNYLLKRRDEPSELRAFEIVQRQAGSSSLAVYVEAGSSAVEAGDFVLQKRASTPHISAKHEQSVLITKEDVDSSEDGAGKAALVLDHAPGDANVSLDKKGAMQEIEGEPGSDVAVGLMSTGWSDLPGKEQLKGVSDCTYEESAKASGSNQVSQQNELSFSARAEVDSGLSKLQDGEPGSLLSPLNATQSVGTSTGSGVKKVKVIKRPVGDTSSQKSIMGGKRKKEIRAETNPDRPKKRLATGKGEEVRISLGKSTHISFSPGEDSQLNSQKKDGIEFELPQLLSDFLALALDPFHVAERNSHSVTMHFFLRFRSLVFQKSLVLSPPSETEVVEVRGTKSLSSIGASDYSASEDTRGLIPSKPAKLLVRPNDPTKAGRKRLPSDRQEEIAAKRQKKIIQLKSLAAEKKAQRTLDTLGAEGKETVARQRAEGKQTAATQRVEGKQPVAQPPRKSVKPDSFKKMEPPVRAIEPTMLVLRFPPETSLPSAAQLKARFARFGSIDQSAIRVFWKSSQCRVVFRRKLDAQAALKYALGNKSLFGDVNVRYNIREVGAPASEAPESDKSRDDTFVDAAQAEDPLADWQAVAFAHQPPSQSTVQLKSILKRPNGDEAAPVTGGNGSRGNRVKFMLGGEETNSGEQMMVGNRNNFNNNASFADGDAPTTSVAMGFSSKNIQKVFPPSPLPILPLPTQFAKAPLNYSQHHTEVAPRNSHNFNTPPPSAGPSTPSIDISQQMLSLLTTCNDVVTSVSGLLGYVPYHPL >Potri.002G184100.1.v4.1 pep chromosome:Pop_tri_v4:2:14593749:14595883:1 gene:Potri.002G184100.v4.1 transcript:Potri.002G184100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G184100.v4.1 MDYSNNNPHLQFAPVPPATTNGYGTNGNRSMGKIRDALNRCGKRVELATRKAEVYADNIWHHFKVSPSLADAAMARIAQGTKVLAEGGHDKVFQQTFEVLPGEKLLNAYACYISTSTGPVIGTLYVSSKKVAFCSEYPFCYYSSTGQQQWMYYKVVVQLDRLRAVNPSSNRANHSEKYIQIVTKDGQEFWFMGFISYDKALKQLCEA >Potri.012G006300.4.v4.1 pep chromosome:Pop_tri_v4:12:243515:251835:1 gene:Potri.012G006300.v4.1 transcript:Potri.012G006300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006300.v4.1 MDTKVLSSGIQYTNLPASYVRPESERPRLWEVSTCENVPVIDLGCQERDQIVQQVGDACKNYGFFQVINHGVSLEAVEKMLGVAHDFFSLPVEEKLKLYSDDPSKTMRLSTSFNVNKEKVHNWRDYLRLHCYPLDKYAPEWPSKPPPFKDIVSSYCIQVRELGFRIQELISESLGLEKDHVKNVLGEQGQHMAVNFYPPCPEPELTFGLPGHTDPNALTILLQDQSVAGLQVFKDGKWVAVNPHPDAFVINIGDQLQALSNGRYKSVWHRAITNTDKARMSVASFLCPYDNALITPPKALTDDGTGAVYRDFTYAEYYKKFWSRDLDQEHCLELFKNK >Potri.012G006300.3.v4.1 pep chromosome:Pop_tri_v4:12:243484:254056:1 gene:Potri.012G006300.v4.1 transcript:Potri.012G006300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006300.v4.1 MDTKVLSSGIQYTNLPASYVRPESERPRLWEVSTCENVPVIDLGCQERDQIVQQVGDACKNYGFFQVINHGVSLEAVEKMLGVAHDFFSLPVEEKLKLYSDDPSKTMRLSTSFNVNKEKVHNWRDYLRLHCYPLDKYAPEWPSKPPPFKDIVSSYCIQVRELGFRIQELISESLGLEKDHVKNVLGEQGQHMAVNFYPPCPEPELTFGLPGHTDPNALTILLQDQSVAGLQVFKDGKWVAVNPHPDAFVINIGDQLQALSNGRYKSVWHRAITNTDKARMSVASFLCPYDNALITPPKALTDDGTGAVYRDFTYAEYYKKFWSRDLDQEHCLELFKNK >Potri.001G283204.1.v4.1 pep chromosome:Pop_tri_v4:1:29674709:29677395:-1 gene:Potri.001G283204.v4.1 transcript:Potri.001G283204.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283204.v4.1 MNSSSGRATYYKPMQLWDEASGNLTDFTTHFSFSIDSQGQTAYGDGLAFFLGPEELPPLRFQGGSLGLLRNNQALNTTDNHFVAVEFDIFQNYFDPPGEHVGIDINSLKSENNITWLCDIRRGRRTDAWISYTSSTHNLSVAFTGYRNNTEEMQFLSQIVSLRDYLPERVSFGFSASTGDLFAIHTLYSWDFSSSLEIDDNVTSPIDPAAASPPNGGSLRNRKKNRTGLAVGLGVGGGAIVVGAALVGIVIKFMRGHEEDEGDGHILEEYMDDEFERGTGPKKFSYQELARATSNFKDEEKLGEGGFGGVYKGFLKEIDSFVAVKRVSRGSKQGIKEYSAEVKIISRLRHRNLVQLIGWCHERRELLLVYEFMPHGSLDSHLFKQTSLLTWEVRYKIVQGLASGLLYLHEEWEQCVVHRDIKSSNIMLDSEFNAKLGDFGLARLVDHGKGSQTTVLAGTMGYMAPECAMTGKASRESDVYSFGIVALEIACGRKPINPKASNEDLVSMVQWVWELYGEGKLLEAVDPRLCGDFNKTQMERLMIVGLSCAHPDEHLRPSIRQSLHILNFDAPLPILPSKMPVPSYFAPPIPASSLSIMSYGLADSEGGMNKSSSYSYNTNSSQFTTSSSASSASAMLPHEG >Potri.006G253900.2.v4.1 pep chromosome:Pop_tri_v4:6:25171400:25179322:1 gene:Potri.006G253900.v4.1 transcript:Potri.006G253900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253900.v4.1 MTPRLLHGTLDVTVYGVDNLQYGCGFSLLKTEGFLKFGKRCLVNVKRSMSCLSENVVGSRLYATVDLDKARVARTRMVGNEPHNPRWNESFHVYCAHSISHVVFTIKDDDAIGATLIGRAYLPVEDITNGNILERWVEVEDEDRKPIPGGSRVHIKLQFFDVNQDRHWSQGIKSPQYEGVPYVFFNQRQGCRVTLYQDAHVPDSFSPKISLAGKLYEAHRCWEDIFDAISDAKHLIYITGWSVYTEITLIRDPNRRKPGGELKLGELLKKKAEEGVTVLMLVWDDRTSVLDFKKDGLMATHDEETEKYFRGSKVHCILCPRNPDVGRSVIQGFQVSTMFTHHQKTVVVDSEMLDTVSGKRGIVSFIGGIDLCDGRYDTQDHPLFKTLDSVHYDDFHQPNFTGSSIKKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWTKQVGDKLLISQKQLEATTVRPLPVLQPNDTETWNVQLFRSIDDGAVVGFPQKPDKAAAAGLVSGKNSVIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKSTDINVPDIAALHLIPKELSLKIVSKIEAGERFTVYIVIPMWPEGLPESGSVQAILDWQRRTMDMMYSDITEALVKKGLNTDPREYLAFFCLGNRETKKIGEYAPPEEPEPDTDYSRARQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGGYQPHHLATSQPARGQIYGFRMALWYEHLGMLDPSFQHPESVQCIQLVNQVANENWEKYASETLEQDLMSHLLRYPIQVGNNGIVTTLPGVNHFPDTKANVLGTKSDYFPPILTT >Potri.006G253900.3.v4.1 pep chromosome:Pop_tri_v4:6:25171472:25179301:1 gene:Potri.006G253900.v4.1 transcript:Potri.006G253900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253900.v4.1 MTPRLLHGTLDVTVYGVDNLQYGCGFSLLKTEGFLKFGKRCLVNVKRSMSCLSENVVGSRLYATVDLDKARVARTRMVGNEPHNPRWNESFHVYCAHSISHVVFTIKDDDAIGATLIGRAYLPVEDITNGNILERWVEVEDEDRKPIPGGSRVHIKLQFFDVNQDRHWSQGIKSPQYEGVPYVFFNQRQGCRVTLYQDAHVPDSFSPKISLAGKLYEAHRCWEDIFDAISDAKHLIYITGWSVYTEITLIRDPNRRKPGGELKLGELLKKKAEEGVTVLMLVWDDRTSVLDFKKDGLMATHDEETEKYFRGSKVHCILCPRNPDVGRSVIQGFQVSTMFTHHQKTVVVDSEMLDTVSGKRGIVSFIGGIDLCDGRYDTQDHPLFKTLDSVHYDDFHQPNFTGSSIKKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWTKQVGDKLLISQKQLEATTVRPLPVLQPNDTETWNVQLFRSIDDGAVVGFPQKPDKAAAAGLVSGKNSVIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKSTDINVPDIAALHLIPKELSLKIVSKIEAGERFTVYIVIPMWPEGLPESGSVQAILDWQRRTMDMMYSDITEALVKKGLNTDPREYLAFFCLGNRETKKIGEYAPPEEPEPDTDYSRARQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGGYQPHHLATSQPARGQIYGFRMALWYEHLGMLDPSFQHPESVQCIQLVNQVANENWEKYASETLEQDLMSHLLRYPIQVGNNGIVTTLPGVNHFPDTKANVLGTKSDYFPPILTT >Potri.006G253900.1.v4.1 pep chromosome:Pop_tri_v4:6:25171325:25179325:1 gene:Potri.006G253900.v4.1 transcript:Potri.006G253900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253900.v4.1 MTPRLLHGTLDVTVYGVDNLQYGCGFSLLKTEGFLKFGKRCLVNVKRSMSCLSENVVGSRLYATVDLDKARVARTRMVGNEPHNPRWNESFHVYCAHSISHVVFTIKDDDAIGATLIGRAYLPVEDITNGNILERWVEVEDEDRKPIPGGSRVHIKLQFFDVNQDRHWSQGIKSPQYEGVPYVFFNQRQGCRVTLYQDAHVPDSFSPKISLAGKLYEAHRCWEDIFDAISDAKHLIYITGWSVYTEITLIRDPNRRKPGGELKLGELLKKKAEEGVTVLMLVWDDRTSVLDFKKDGLMATHDEETEKYFRGSKVHCILCPRNPDVGRSVIQGFQVSTMFTHHQKTVVVDSEMLDTVSGKRGIVSFIGGIDLCDGRYDTQDHPLFKTLDSVHYDDFHQPNFTGSSIKKGGPREPWHDIHCKLEGPVAWDVLYNFEQRWTKQVGDKLLISQKQLEATTVRPLPVLQPNDTETWNVQLFRSIDDGAVVGFPQKPDKAAAAGLVSGKNSVIDRSIQDAYINAIRRAKNFIYIENQYFLGSSFGWKSTDINVPDIAALHLIPKELSLKIVSKIEAGERFTVYIVIPMWPEGLPESGSVQAILDWQRRTMDMMYSDITEALVKKGLNTDPREYLAFFCLGNRETKKIGEYAPPEEPEPDTDYSRARQARRFMIYVHAKMMIVDDEYIIIGSANINQRSMDGSRDSEIAMGGYQPHHLATSQPARGQIYGFRMALWYEHLGMLDPSFQHPESVQCIQLVNQVANENWEKYASETLEQDLMSHLLRYPIQVGNNGIVTTLPGVNHFPDTKANVLGTKSDYFPPILTT >Potri.016G046500.1.v4.1 pep chromosome:Pop_tri_v4:16:2973589:2974752:1 gene:Potri.016G046500.v4.1 transcript:Potri.016G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046500.v4.1 MGVITLENEFPVAVAPAKLFKAYCLDIDTLMPKILPEHIKSSEIIEGYGGPGTIRKITFTEGKELNYAKQKIEAIDEENLTYSFSLIEADVWKDAVEKVTYEHKFIPAPEGGSICKRTSTYYIKGDAEVNKDQIKDVYGKKTAGLFKAVEAYFLAYPDA >Potri.017G074600.1.v4.1 pep chromosome:Pop_tri_v4:17:8238435:8239691:-1 gene:Potri.017G074600.v4.1 transcript:Potri.017G074600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G074600.v4.1 MGRGGRILRALLGAVIFWGVIWFLYVGILPNHATTLMARIRVPAAGTFQHLKLSGRESHLIRHDMDLNYVSKRRVPNGPDPIHNRKTVQSRQPPGQS >Potri.004G121200.1.v4.1 pep chromosome:Pop_tri_v4:4:11564395:11572902:1 gene:Potri.004G121200.v4.1 transcript:Potri.004G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121200.v4.1 MATTSNKPQRSLQEIEDIVLRRILSVSLADSSDPRIFYLEMTAAEILSEGKDLKLTRDLIERVLIDRLSVQSPNAEPPFNYLLGCYRRAVDELKKIANMKDKNVKSELELSIKQVKKLSVSYCRIHLGNPDLFGGDSSVVRKSGNSNVSPVLPLIFAMVDGFNSGGIQPPPPGFIEEFFREGDFDSLDPILKGLYEDLRGNVLKVSVLGNFQQPLRALLFLVSFNVGAKSLVSHKWWIPTGAYVNGRVIEMTSILGPFFHISAWPDNTIFKSEPDVGQQCFSDATNRRPADLLSSFTTIKTLVNNLYDGLAEVLLALLKNGDTRESVLQYLAEVINRNATRAHIQVDPLSCASSGMFINLSAVMLKLSEPFLDANLSKRNKIDPNYVFHNNRLDLRGLTALLASSGEITQWLNTPGKTDISAQSNDVENRLVQSQEATSSGRSGEKSKYSFICECFFMTARVLNLGLLKAFSDFKHLVQEISRCEDMLSTFKALQEQTPSQQLQQDIDRLEKDIELYSQEKLCYEAQILRDGALIQRALSFYRLMLVWLVSLVGGFKMPLPSTCPKEFASMPEHFVEDAMELIIFASRIPKALDGVLLDDFMNFIIMFMASPTYIRNPYLRAKMVEVLNCWMPRRSGSSATASLFEGHQLSLEYLVRNLLKLYVDIELTGSHTQFYDKFNIRHNIAELLEYLWQVPSHRDIWRKIAKEEEKGVYLNFLNFLINDSIYLLDESLSKILELKGLEAEMSNTTEWERRPAQERQERTRLFQSQENIIRIDMKLANEDLSMLTFTSKQITAPFLLPEMVDRVASMLNYFLLQLVGPQRKSLTLKDPEKYEFRPKQLLEQIVCIYVHLARGDNENIFPAAISKDGRSYNEQLFTAAVDVLRRIGEDGRVIQEFIELGTKARVAASEAMDAEAALGEIPDEFLDPIQCTLMKDPVILPSSRITVDRPVIQRHLLSDNTDPFNRSHLTVDMLIPNTELKARIKEFVRSQELKKHGEDFSLQRAKDTIQTTTEEMLID >Potri.007G014000.4.v4.1 pep chromosome:Pop_tri_v4:7:1042710:1045241:-1 gene:Potri.007G014000.v4.1 transcript:Potri.007G014000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014000.v4.1 MGASESSLSSSQKMTDEITTVTERSEALDPILEKLKSLKITRPILTSTPKEEGSLNDILVRKASSSSALATVNPNVLLELISIYRDWQDGKVQQISMKQEEIENKIEVADALAIKLLQRLNYSVSAMKTSSQHLSEGTSQHTEWKWITDRLWNQIHKLSEN >Potri.008G159400.1.v4.1 pep chromosome:Pop_tri_v4:8:10945604:10948998:-1 gene:Potri.008G159400.v4.1 transcript:Potri.008G159400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159400.v4.1 MASLLLNGPQNVNFIGGITPSGLGFVGSNLHVSCFSSKNIVPCHNRNQNSRILAPRCSLSSARPASQPRFIQHKKEAFWFYRFLSIVYDHIINPGHWTEDMRDEALEPADLYDRRMTVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKKPLKECKIIEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKIGGKACIIGPVYPTFWLSRFFADVWMLFPKEEEYIEWFKKAGFKDVKLKRIGPKWYRGVRRHGLIMGCSVTGVKPLSGDSPLQLGPKAEEIEKPVNPLVFLMRFILGAMAATYFILVPIYMWLKDQIVPKGMPI >Potri.006G257600.1.v4.1 pep chromosome:Pop_tri_v4:6:25441737:25442872:1 gene:Potri.006G257600.v4.1 transcript:Potri.006G257600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G257600.v4.1 MAGFLNFPCAVFFLSLISQGHCQCHPTTDLKIFQSQTEVLVQNKPQWEVTIVNDCVCSQVNIKLACDGFQTVEEIDSTILAIGDDGCQINHEQPVYGYQTFNFTYSWGAQYPFMPIYSEIACS >Potri.015G051800.1.v4.1 pep chromosome:Pop_tri_v4:15:6426908:6429014:1 gene:Potri.015G051800.v4.1 transcript:Potri.015G051800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G051800.v4.1 MSEPASKAFLLFLTLIFLFFTSTLPRDLPDATTTTTTTILDVASSLQQAHNILSFDLQTQKSSTHTTITTSTPSFSNSSLSFSLELHPRETIYKIHHKDYKSLVLSRLHRDTVRFNSLTARLQLALEDISKSDLKPLETEIKPEDLSTPVTSGTSQGSGEYFTRVGVGNPARQFYMVLDTGSDINWLQCQPCTDCYQQTDPIFDPTASSTYAPVTCQSQQCSSLEMSSCRSGQCLYQVNYGDGSYTFGDFATESVSFGNSGSVKNVALGCGHDNEGLFVGAAGLLGLGGGPLSLTNQLKATSFSYCLVNRDSAGSSTLDFNSAQLGVDSVTAPLMKNRKIDTFYYVGLSGMSVGGQMVSIPESTFRLDESGNGGIIVDCGTAITRLQTQAYNPLRDAFVRMTQNLKLTSAVALFDTCYDLSGQASVRVPTVSFHFADGKSWNLPAANYLIPVDSAGTYCFAFAPTTSSLSIIGNVQQQGTRVTFDLANNRMGFSPNKCQ >Potri.007G110100.2.v4.1 pep chromosome:Pop_tri_v4:7:13205455:13207047:1 gene:Potri.007G110100.v4.1 transcript:Potri.007G110100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110100.v4.1 MDPYRYPTTGKPPPPPPKKQLQIQGPRPSALKLNQDSHKIKKPPPPPPKQPVVIYAVSPKTIHTEESNFMAVVQRLTGLSSGDFFHDGSVSPAARLAATEKASPRELTRPSNTQDSSDDDLMEMLKEVGAGQIPGILSPAPAMLPPVPTGFFSPASTDANSQSFLNDNFNMSPFFMASPSGLFPGPSIVSPLHSPDIFSSLFMDF >Potri.019G067400.1.v4.1 pep chromosome:Pop_tri_v4:19:10763941:10764872:-1 gene:Potri.019G067400.v4.1 transcript:Potri.019G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067400.v4.1 MSITQEPPGLKTNPLESEPTSERKSKKRTRQRDAPFHGVRKRSWGRYVSEIRLPGKKTRVWLGSFGSPEMAARAHDSAAFFLKGNSACLNFPDSVGSLPRPKSCSSKDIQLAAAKAATGFDETRVGNVVGFEDCESGGEPDSSIWLGLGEIETVSYEEVKRTPLLSPLRFDSVSGNDEVLYMNDEELLFATYL >Potri.002G080000.1.v4.1 pep chromosome:Pop_tri_v4:2:5626173:5630333:-1 gene:Potri.002G080000.v4.1 transcript:Potri.002G080000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080000.v4.1 MSTQTRRSSFSSSTSSSLAKRHASSSSTDNVGKATAVAPHLAKKRAPLGDITNQKNATQKGSRNSIPSSTLAPFSNKIAKVKKGPPASNSSAGFYGKTLPASLNAKSSTGGACKVISNPRRDENVTIATAVSVPCCMDVSPSKSDDFSISLDESMSTCDSFKSPEIEYIDSNEITAIDSINKKTLSNLYISDHVETAENMCIRDALADMETDDKIVNVDDNYQDPQLCATIACDIYKHLRASEMKKRPSTDFMERIQKDINASMRAILVDWLVEVAEEYRLVPDTLYLTVNYIDRYLSGNVMNRQRLQLLGVACMMIAAKYEEICAPQVEEFCYITDNTYFRDEVLEMESTVLNYLKFEMTAPTAKCFLRRFVRAAQGINEVPSMQLECLANYIAELSLLEYSMLCYAPSLVAASAIFLAKYILLPSKRPWNSTLQHYTLYEPVDLSDCVKDLHRLCCGNHNSTLPAIREKYSQHKYKFVAKKYCPPSIPGEFFQNLGS >Potri.009G039200.1.v4.1 pep chromosome:Pop_tri_v4:9:4747350:4748257:-1 gene:Potri.009G039200.v4.1 transcript:Potri.009G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039200.v4.1 MSMIPSFFGNRRSSIFDPFSLDVWDPLKDFPFPSPSFPRDENSAFVNTRIDWKETPEAHVFKADLPGLRKEEVKVQIEDDRVLQISGERNVEKEDKNDTWHRVERSSGKFSRRFRLPENTKMNQVKASMENGVLTVTVPKEEAVKKPEVKSIEISG >Potri.009G060400.1.v4.1 pep chromosome:Pop_tri_v4:9:6247606:6251639:-1 gene:Potri.009G060400.v4.1 transcript:Potri.009G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G060400.v4.1 MHPQYPPRHLLLLLILLPSFVSCFSSTYQESPVANVLEHTHSYDDSRDYRPYFSTIDRKDNDLKHIFPRLLNFEAKVVARLDQASAPVSSAKVVNVDDFGAKADGTDDSQAFKKAWEEACSSKGSAIIVIPKNKIYYLKPVTFSGPCESDLVFKIFGTIKASAKVSDYENDRRHWLVFENVQNFRVRGGGTIDGNGKIWWENSCKINKSQPCQHAPTAVTFLECKSLIVANLRFQNAQQMHLSFQNCVNVRALNLMVIAPGTSPNTDGIHVTGTQNIRIRNCVIRTGDDCISIVSGSKNVEATDITCGPGHGISIGSLGADNSGAEVSNVFVNRATISGTTNGVRIKTWQGGSGYARNIVFQNVVMRNVTNPIIINQNYCDQDSPCEEQTSAVQISNVMYKNIKGTSASDVAVKFDCSKNIPCRGILLQDVNIALEENGKPEASCANVNLRKRGDVFPQCS >Potri.009G109400.1.v4.1 pep chromosome:Pop_tri_v4:9:9417050:9424679:-1 gene:Potri.009G109400.v4.1 transcript:Potri.009G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109400.v4.1 MAGALRKILPFRSAIQVEKEDEDKHNSFEFSFAVVYSGPLVTYDVPRAVPVDLKQIPVASPVIGSGSLTSDVSLPVVQPIVKSNRKLSEKPNLVSDRTVCLDTDAVMNGNGMPCEASRVDDSSASHCANDDECRPKLSDGIRSSGRLDFRNGSVGSGELPGSLEVSELPDGNEYAGQDSREYMNPTNSESIESESSHSNSSEIFSCQEEDHNEEAPGHVRKTSIVTFRDPESNDVVDNESDVHDTDSSVPGRHIAVRRGKKGTCYRCMEGSRLTNKEVCIVCGAKYCSSCVIRAMGSMPEGRKCVTCIGQRIDESRRKSLGKCSWMLKHLFPVVEVKQIMVSERSCAVNQLPPELIYVNRQRLSKQELFLLQTCPHPPKKLKPGYYWYDKVSGFWGKEGRKPCQIISPQLTVGGHIQADASYGNTNIMINNRKITTTERLMLRLAKVKCEGETHLWVSAEGDYQEEGMNNVKGKIWTKAGAKLICAVLSLPTPPDFTSPSAEGVNDVMASDLEQKTLHKLLLVGSEKSGTCTIFKQAKIVYNIPFSEDERQSIKSIIQCSLYGYMGMLLEGRERFEEECLIDKRRKFVHQHSSSGQIDRKTIYSIGPRLKAFSDWLLQVIVSGNLETSFPAGEYAPLVEELWRDGAFLATYNRRNELELLPRAATYFLERAVEIARPDYQPSDMDILYAEGISSSKGLSCMEFSFPKLAQDCCENFGYQHDPLLRYQLIRVHPTTLGGNCKWLEMFEDVDIVLFCVSLIDYDEFSEDNNGVPINKMIASRQLFERIATHPTFEEKKFLLILNKFDLLEEKIEQVPLTRCEWFHDFNPVMGNNPNSSRSTNSPLELRAFQYIAVKFKRLFNSLTDRKLYVSKCTGLEPDNVDEALKYAREVLRWEQEEPNYHNNELSSTSIEASSSS >Potri.014G051300.3.v4.1 pep chromosome:Pop_tri_v4:14:3306333:3310157:-1 gene:Potri.014G051300.v4.1 transcript:Potri.014G051300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051300.v4.1 MGRKQTVPELGRYGLLALFVMGTISCCMVYLCFSALFRPPNSNTEFVVSKVSDGLKSEDADGDCCRGIEHLELWGDAVKWGSEFKVNSSKACCLACKGMCSGDSGPCLCDSWVFCGDKQACGDKFGECWLKKQKDTLEPDRLDSGDHVMWTSGIVFGRGEGIIGLETEYGTLHLKAPYGPPFALIQGTLGASGTIFEDIPTEACPTIRRGSVAWVESGPEFFISLANHNEWSKAYTVFGFVLPEDMEIVERIAQLPTKPEVWGNINVAVLENPVPLHVRRIKRSAGNQKLYTN >Potri.014G051300.1.v4.1 pep chromosome:Pop_tri_v4:14:3306333:3310157:-1 gene:Potri.014G051300.v4.1 transcript:Potri.014G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051300.v4.1 MGRKQTVPELGRYGLLALFVMGTISCCMVYLCFSALFRPPNSNTEFVVSKVSDGLKSEDADGDCCRGIEHLELWGDAVKWGSEFKVNSSKACCLACKGMCSGDSGPCLCDSWVFCGDKQACGDKFGECWLKKQKDTLEPDRLDSGDHVMWTSGIVFGRGEGIIGLETEYGTLHLKLLPGCAPHSISYILDLLVSHHCVGCHFYRAESRGKSWDSEGNHIEQAPYGPPFALIQGTLGASGTIFEDIPTEACPTIRRGSVAWVESGPEFFISLANHNEWSKAYTVFGFVLPEDMEIVERIAQLPTKPEVWGNINVAVLENPVPLHVRRIKRSAGNQKLYTN >Potri.014G051300.4.v4.1 pep chromosome:Pop_tri_v4:14:3306333:3310157:-1 gene:Potri.014G051300.v4.1 transcript:Potri.014G051300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G051300.v4.1 MGRKQTVPELGRYGLLALFVMVSDGLKSEDADGDCCRGIEHLELWGDAVKWGSEFKVNSSKACCLACKGMCSGDSGPCLCDSWVFCGDKQACGDKFGECWLKKQKDTLEPDRLDSGDHVMWTSGIVFGRGEGIIGLETEYGTLHLKLLPGCAPHSISYILDLLVSHHCVGCHFYRAESRGKSWDSEGNHIEQAPYGPPFALIQGTLGASGTIFEDIPTEACPTIRRGSVAWVESGPEFFISLANHNEWSKAYTVFGFVLPEDMEIVERIAQLPTKPEVWGNINVAVLENPVPLHVRRIKRSAGNQKLYTN >Potri.012G087000.2.v4.1 pep chromosome:Pop_tri_v4:12:11233908:11237696:-1 gene:Potri.012G087000.v4.1 transcript:Potri.012G087000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087000.v4.1 MSPLFSFIFQYIIHQNRGEQRQTEQPHLNSMQSSFTFSQNLIGLFVIFILILFPFSSADRKSETSLICGSSKHIDNSYVPNIVKVMDSLQKQVGSRNWGCGFITSPLLQIYGLAQCHDDLSSLDCKICFFQGRVKLPRCLPATSARIYLNGCFIRYDNYNFFHEAIDPMNDAVVCGEPTNALTDSFLHMEFKQRLAAAIQNVTAMALGNGTFAAAEAKGRVFSVYALAQCWNTLDKDECRKCLAKAGSKLSQCAPGSGGSAFFAGCYMKYSTRHFFKKSVESKARYDNTGIIVAVTLSTVVVVVLASFGAFIGYERLSNRKGDKTNLRLSPNSSLNFTYEVLEKSTECFDDSRKLGQGGAGSVFKGTLPDGRTVAVKRLVYSTRQWVDQFFNEVNLISGIQHKNLVILLGCSIEGPESLLVYEYVPNRSLDQILFIKNTLHILNWQQRFNIILGTARGLAYLHGGCGVTIIHRDIKTSNILLDEKLTPKIADFGLARCVATDNTHISTGIAGTLGYMAPEYLVRGQLTEKVDVYGFGVLLLEIATGKKNSVFSQGSSSILHSVWKHYKANTITDMVDPGLRGMFSQKQAEKVLQIGLLCTQASSRLRPSMNEVVQMLTDAQCEIPSPKQPPFLNASVLSPDGGADSCITEVSLTCNSVVNQQTTSHEALLGDPSKFRRYR >Potri.010G142300.2.v4.1 pep chromosome:Pop_tri_v4:10:15524172:15526560:1 gene:Potri.010G142300.v4.1 transcript:Potri.010G142300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142300.v4.1 MSHNLETRSFVDEIRHFDKSSFFDFGHPLLNRIAESFVKAAGIGAIQAVSREAYFTAIEGAGLESSGGLPAEISVDGKKPHRFPDLRGETNRKSLEALVMNTGKESLQWGLAAGVYSGLTYGLRESRGVHDWKNSAVAGAITGVALALTADDKSHEQIVQCAITGAAISTAANLLTGIF >Potri.010G142300.3.v4.1 pep chromosome:Pop_tri_v4:10:15524176:15526653:1 gene:Potri.010G142300.v4.1 transcript:Potri.010G142300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G142300.v4.1 MSHNLETRSFVDEIRHFDKSSFFDFGHPLLNRIAESFVKAAGIGAIQAVSREAYFTAIEGAGLESSGGLPAEISVDGKKPHRFPDLRGETNRKSLEALVMNTGKESLQWGLAAGVYSGLTYGLRESRGVHDWKNSAVAGAITGVALALTADDKSHEQIVQCAITGAAISTAANLLTGIF >Potri.005G248300.2.v4.1 pep chromosome:Pop_tri_v4:5:24244531:24246778:1 gene:Potri.005G248300.v4.1 transcript:Potri.005G248300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248300.v4.1 MITRSNLADQLREYQIRSKHDWASVSFFSSASNISSSRVDVVVFVIWELFIIAFLVFSAVSLYFRHMRLAFILVCITLLLLICMKVTKQVRLARKKKRRMLLPLSM >Potri.006G264900.1.v4.1 pep chromosome:Pop_tri_v4:6:26069345:26071591:1 gene:Potri.006G264900.v4.1 transcript:Potri.006G264900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264900.v4.1 MSKNNKKRVAVNSHQSQFVDEGAINRVKYQNLLEDFLELQKDFVSKKRKLRTVEQKREILSAEVRFLRQRHEFFMKMQSGNLVQSLVPEKDPCMEDLVPKISSPVEDLVPGKYSSMPYQGKFVGKPLSE >Potri.005G227800.3.v4.1 pep chromosome:Pop_tri_v4:5:22801585:22803548:1 gene:Potri.005G227800.v4.1 transcript:Potri.005G227800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G227800.v4.1 MNYENYDPSFPDQPVVDLYLPVWANLPSFRSKPAFIWAEDGSNGATKNSTITYAQLNESVHSISTQLLTQLQRGDTVVILCSPGLELVEIIFGCQRAGLLCIPVFPPDSSFTKENYHHLVRVLSQTKPKAAIAHHDYIARVQHYISLSSDNHKLAEMLQKLTWISTSDIKDKKVSSNMGSLPYNGCRPNEMYLIQYTSGATGIPKPVLVTAGAAAHNVRVARKAYDLYPNSVIVSWLPQYHDCGLQFLLLTIISGATSVLTSPGAFVNRPGLWLELISKFQATCTPVPSFSIPLVIKRGGVDKGTQPISLWSLKNLIIINEPIYKASVDRFVEMFKPFGLNPSCISPSYGLAENGTFVSTAWRGNCSNAASFRHIPSHNKLLPCARLASQREEEEDMDIIVVDEETCELVVDGTEGEIWVSSPSNCSGYLGHPSLTREIFQARPRNKVSRCFVRTGDRGIIKEKKDVIKLPNNQEIHPHFIETAAQNSCQKFLRGGCLAAFEMSSTIVLVAEIQRHEKDVKVLKRICEWAKQAVLKEEKVEIGLMVLVKSGCVPKTTSGKIQRWAAKDMLIRGKMSVVMEMQFEDNNERFLPSYEAIGKANKEIRCQGGNRTSTVAEDRDQEISLAFSSTPRRPPMLSLL >Potri.012G094900.1.v4.1 pep chromosome:Pop_tri_v4:12:11922993:11928241:-1 gene:Potri.012G094900.v4.1 transcript:Potri.012G094900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094900.v4.1 MATKSVPAQETTMTTHAINITKGGYCLESKTFQSLIPPATPPPPHQPLSITQFILSLLHSSAIPTTQKNYLIMPSTGQSLTYSQSINQIYSLSSSLKSLYNLNKNDVSFILCPPSLHVPIIYLSLLYLGVTISPANPLSSNSELTHQIQLSKPKIAFATSQTAHKLPSFPLGTILIDSPEFISLLTQISKRDSATNHVEVSQSDMAAILYSSGTTGRVKGVSLTHRNVIASIAAFQMSSVELDPHAVSLLTLPLFHVFGFFLLINEFRWGKTLVLTERFDFEQVLKVVERYRVSDMPVSPTIILTLLKSDLTNKYDLSSLRRFSCGGAPLSKEVAEKFERKFPQAEIMQGYGLTEAGAVSRIIGPEECNQHASVGRLFGNMEAKIVDPLTGEAFGPGKRGELWLRGPSIMKGYVGDEKATAETLDSEGWLKTGDLCLFDSEGFLYIVDRLKELIKYKAYQVPPFELEQLLLSNPEIADAAVIPYPDEEAGQIPVAYVVRKPGSSITEAQIMDSIAKQVAPYKKIRRVAFTDAIPRSPAGKILRRELVNHALSGALCKS >Potri.016G114900.3.v4.1 pep chromosome:Pop_tri_v4:16:11929790:11933122:-1 gene:Potri.016G114900.v4.1 transcript:Potri.016G114900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114900.v4.1 MVMKEKDEELTLFLEMRRREIEREKNNLLLNSSADEPQAPLGLGSNNLSLVSNKITVSSIVPPPRKTATEKFLDSENDKSDYDWLLTPPRTPLFTSLELESQKTAMSQIGMSNARPTALKSRLINIQEEPASRTHVGSKGTTLQSGLNSTSAGNRRSASAGGQRSVSRPATPTGRPSLSATAKHSRPSTPTSRPTLSSTKSVAPPARSSTPTRIAARSSTPTARPSLSGSKPASRSATPIRQSSTPSSPPSVAAAPGQTSSVTKSVPATLKNPVASRGISPTVKSRPWKPEEIPGFSLDAPPNLRTSLPERPASATRDRPGGPSARPASASRERPGDPSARPASASRGRPGGPSARSSSSDAGFNGRPRQQSCSPSRGKASRMSIPTKSRTQTNGGDDVNPVQMGTKMVERVVNMRKLVPPKQDGSHSSLSNSAGKSSSLDSTGFGRTLSKKSLDMALRHMDIRRSISGNLRPLMTNIPASSMYSVRSGGSSKGRTVSVLDSPLATSSNASSEPSVNNNSYFADGIEIEDNEFGSERGNSSPSSHHGR >Potri.016G114900.1.v4.1 pep chromosome:Pop_tri_v4:16:11929267:11933200:-1 gene:Potri.016G114900.v4.1 transcript:Potri.016G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114900.v4.1 MVMKEKDEELTLFLEMRRREIEREKNNLLLNSSADEPQAPLGLGSNNLSLVSNKITVSSIVPPPRKTATEKFLDSENDKSDYDWLLTPPRTPLFTSLELESQKTAMSQIGMSNARPTALKSRLINIQEEPASRTHVGSKGTTLQSGLNSTSAGNRRSASAGGQRSVSRPATPTGRPSLSATAKHSRPSTPTSRPTLSSTKSVAPPARSSTPTRIAARSSTPTARPSLSGSKPASRSATPIRQSSTPSSPPSVAAAPGQTSSVTKSVPATLKNPVASRGISPTVKSRPWKPEEIPGFSLDAPPNLRTSLPERPASATRDRPGGPSARPASASRDRPGGPSARPASASRERPGGPSARPASASRERPGDPSARPASASRGRPGGPSARSSSSDAGFNGRPRQQSCSPSRGKASRMSIPTKSRTQTNGGDDVNPVQMGTKMVERVVNMRKLVPPKQDGSHSSLSNSAGKSSSLDSTGFGRTLSKKSLDMALRHMDIRRSISGNLRPLMTNIPASSMYSVRSGGSSKGRTVSVLDSPLATSSNASSEPSVNNNSYFADGIEIEDNEFGSERGNSSPSSHHGR >Potri.011G090850.1.v4.1 pep chromosome:Pop_tri_v4:11:11685438:11685844:1 gene:Potri.011G090850.v4.1 transcript:Potri.011G090850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090850.v4.1 MKIQTPTQKLMFNAKGKFRLVSLSQNLTSYISNAHPQRTEKLPSFYRDYHTGGCIATDCKNECNIETKKIGKIGGYGQVGMQHLPSRK >Potri.013G009000.1.v4.1 pep chromosome:Pop_tri_v4:13:600670:603765:1 gene:Potri.013G009000.v4.1 transcript:Potri.013G009000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009000.v4.1 MVEEHGGFHLWAGGDNGNGFEDSEDFERLLYGNNVNESNELGFEVNQKIIDTPKLSHDQDEMARKLMQLNPLGLHLSITPNLMNSITNPNYTTTVEAGATCESSDQSRTSSDFGQQPMSEKLKASNFAATFIKIGSWERKSRNEGDLVAKCYFAKKKLVWELLKGGLKNKIEIQWNDIIGINALMQENQLGILQIELNQPPTFHEETDPQPRKHTIWKPASDFTGGQASICRRHFLTFPAGYLDKHYEKLLLNEPRLFELSKQSFPTLKNPYFRSKFYGYRGISFDFNRSGQDIHPGMQFNYPNFPPHPVQIQHLQPYGHTGLSSFKEIPSPSSVMDFSPSDTCNVPESRRIALWGQGTSNYTDALARNQGLVPGVPSTEVHPAVPLQNYNFTSSGQVAEFNNNYAAKALSDLENQLLGDMQVGSYDEKYHMERVLSLNQLVNLNEKVNPESNNASQETFYSQDSSAEENLVFSTGENANEISGQFYEQQPITSMPQIHTANLLMPQQWNNLPYDCVNSPNLTVDELGHVKNFNSSNWS >Potri.017G141000.5.v4.1 pep chromosome:Pop_tri_v4:17:14164218:14167641:1 gene:Potri.017G141000.v4.1 transcript:Potri.017G141000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141000.v4.1 MCAVTSSFSDSRDEASNGLIWLRGGSGEQGLPSLNFQANMLPWMQQRLDPTMLGNDHNQQYQAMLAAGMQNLGGGDPLRQQFMQLQQPFQYPQQSSSPNPLLQLQQQHQAMLQSIPHNILQPQNQISSDSLPRHLLQQQLNNQPDDQAQQHQHAYHDGLHIQTDLLQRQQSNLPSPSFSKTEYMDSSPKFTVSTTPMQNILGSLCTEGSGNLLDFTRAGQSTLTEQLPQQSWVPKYAHHDVNAFSNSLSLPRTYPEKDLSVEAENCNSDAQNPTFFGLLLPTTVPRYPTSTVDTDVSSMPLGDSGFQNSLYGCVQDSSELLPNAGQMDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWELFVNNVWYIKILSPEDVLKMGEQGFESSGPNAVKG >Potri.017G141000.1.v4.1 pep chromosome:Pop_tri_v4:17:14158694:14167632:1 gene:Potri.017G141000.v4.1 transcript:Potri.017G141000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141000.v4.1 MKLSTSGLGGQQAGQEGEKKCLNSELWHACAGPLVSLPTMGSRVVYFPQGHSEQVAATTNKEVDAHIPNYPSLPPQLICQLHNVTMHADVETDEVYAQMTLQPLTPQEQKETFLPLDLGMPSKQPTNYFCKTLTASDTSTHGGFSVPRRAAEKVFPPLDFTQQPPAQELIARDLHDVEWKFRHIFRGQPKRHLLTTGWSVFVSAKRLVAGDSVLFIWNEKNQLLLGIRRATRPQTVMPSSVLSSDSMHIGLLAAAAHAAATNSCFTVFYNPRASPSEFVIPLSKYVKAVFHIRVSVGMRFRMLFETEESSVRRYMGTITGTSDLDPVRWPNSHWRSVKVGWDESTAGERQPRVSLWEIEPLTSFPMYPSLFPLRLKRPWHPGSSSLLDSRDEASNGLIWLRGGSGEQGLPSLNFQANMLPWMQQRLDPTMLGNDHNQQYQAMLAAGMQNLGGGDPLRQQFMQLQQPFQYPQQSSSPNPLLQLQQQHQAMLQSIPHNILQPQNQISSDSLPRHLLQQQLNNQPDDQAQQHQHAYHDGLHIQTDLLQRQQSNLPSPSFSKTEYMDSSPKFTVSTTPMQNILGSLCTEGSGNLLDFTRAGQSTLTEQLPQQSWVPKYAHHDVNAFSNSLSLPRTYPEKDLSVEAENCNSDAQNPTFFGLLLPTTVPRYPTSTVDTDVSSMPLGDSGFQNSLYGCVQDSSELLPNAGQMDPPTPSRTFVKVYKSGSVGRSLDISRFSSYHELREELAQMFGIEGKLENPHRSGWQLVFVDRENDVLLLGDDPWELFVNNVWYIKILSPEDVLKMGEQGFESSGPNAVKG >Potri.001G293300.2.v4.1 pep chromosome:Pop_tri_v4:1:30508318:30510815:-1 gene:Potri.001G293300.v4.1 transcript:Potri.001G293300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293300.v4.1 MEGGAFRKNTIFRATSDTFMDLNFTDELLVQGYWCERSDGVNFFEPEPSISSVVYDPSPYLPCMGSGHLSINTHDLTYQEESENSLENPPPVYSETEEQVTETITTPVHSESSQPESNELVPEFCESWECLKQEPEEEEMFRETADWNDNDLDLFREQEFSEFGNLQQNPEHCTVESGQDSYVPWSNLLGSRKKGKKSQTKAEKTISLQVLRKYFSGSLKDAAKSIGVCPTTLKRICRQYGINRWPSRKIKKVNHSLRKLQVVVDSVMGAQGLIEVDSFYTAFPELSSPNYSGHNPFSSFKITDYSKESNPKPESHLFSPEGTDSKSQSSLSSPNSGLSICHGQKHLTRTANGSSTGHASAVEDPVEALKRTCSKSEINSLNQEEFARAKSHETLGQHQNLGTQARLAISNSQGLQDGGAFRVKATFGHENIRFSLQANWGFSDLRREIAKRFDIYDFSRIGLKYLDNEHESVLLTCDADLEECKDLLGFSQSRTIKITLYPVSTPILGSSFSSSRDLF >Potri.001G293300.3.v4.1 pep chromosome:Pop_tri_v4:1:30508309:30510811:-1 gene:Potri.001G293300.v4.1 transcript:Potri.001G293300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293300.v4.1 MEGGAFRKNTIFRATSDTFMDLNFTDELLVQGYWCERSDGVNFFEPEPSISSVVYDPSPYLPCMGSGHLSINTHDLTYQEESENSLENPPPVYSETEEQVTETITTPVHSESSQPESNELVPEFCESWECLKQEPEEEEMFRETADWNDNDLDLFREQEFSEFGNLQQNPEHCTVESGQDSYVPWSNLLGSRKKGKKSQTKAEKTISLQVLRKYFSGSLKDAAKSIGVCPTTLKRICRQYGINRWPSRKIKKVNHSLRKLQVVVDSVMGAQGLIEVDSFYTAFPELSSPNYSGHNPFSSFKITDYSKESNPKPESHLFSPEGTDSKSQSSLSSPNSGLSICHGQKHLTRTANGSSTGHASAVEDPVEALKRTCSKSEINSLNQEEFARAKSHETLGQHQNLGTQARLAISNSQGLQDGGAFRVKATFGHENIRFSLQANWGFSDLRREIAKRFDIYDFSRIGLKYLDNEHESVLLTCDADLEECKDLLGFSQSRTIKITLYPVSTPILGSSFSSSRDLF >Potri.001G293300.1.v4.1 pep chromosome:Pop_tri_v4:1:30508309:30510815:-1 gene:Potri.001G293300.v4.1 transcript:Potri.001G293300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G293300.v4.1 MEGGAFRKNTIFRATSDTFMDLNFTDELLVQGYWCERSDGVNFFEPEPSISSVVYDPSPYLPCMGSGHLSINTHDLTYQEESENSLENPPPVYSETEEQVTETITTPVHSESSQPESNELVPEFCESWECLKQEPEEEEMFRETADWNDNDLDLFREQEFSEFGNLQQNPEHCTVESGQDSYVPWSNLLGSRKKGKKSQTKAEKTISLQVLRKYFSGSLKDAAKSIGVCPTTLKRICRQYGINRWPSRKIKKVNHSLRKLQVVVDSVMGAQGLIEVDSFYTAFPELSSPNYSGHNPFSSFKITDYSKESNPKPESHLFSPEGTDSKSQSSLSSPNSGLSICHGQKHLTRTANGSSTGHASAVEDPVEALKRTCSKSEINSLNQEEFARAKSHETLGQHQNLGTQARLAISNSQGLQDGGAFRVKATFGHENIRFSLQANWGFSDLRREIAKRFDIYDFSRIGLKYLDNEHESVLLTCDADLEECKDLLGFSQSRTIKITLYPVSTPILGSSFSSSRDLF >Potri.002G252900.1.v4.1 pep chromosome:Pop_tri_v4:2:24178175:24183144:-1 gene:Potri.002G252900.v4.1 transcript:Potri.002G252900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252900.v4.1 MYRFASGLASKASGVAGNNAKQIGSRLAWRRNYAAKDIKFGVEARALMLRGVEELADAVQVTMGPKGRNVVLEQSWGAPKVTKDGVTVAKSIEFQDRVKNIGASLVKQVANATNDVAGDGTTCATVLTRAIFAEGCKSVAAGMNAMDLRRGISMAVEAVVTSLKSRARMISTSEEIAQVGTISANGEREIGELIAKAMEKVGKEGVITISDGKTMDNELEVVEGMKLDRGYISPYFITNDKNQKCELEDPLILIHEKKISSMSSTVKVLELALKRQRPLLIVAEDLEGEVLATLILNKLRAGIKVCAIKAPGFGENRKASLQDLSILTGGQVITEELGLNLDNVGLEMLGSCKKVTVSKDDTIILDGLGDKKTIEERCEQLRSAIGTSTSDYDKEKLQERLAKLSGGVAVLKIGGASEAEVGEKKDRVTDALNATKAAVEEGIVPGGGVALLYASKELDKLHTANFDQKIGVQIIQNALKTPVHTIASNAGVEGAVVVGKLLEQDNPDLGYDAAKGEYVDMVKSGIIDPLKVIRTALVDAASVSSLMTTTEAIVYELPKVEKETPASNGMSGMGF >Potri.001G442200.1.v4.1 pep chromosome:Pop_tri_v4:1:46707385:46710723:1 gene:Potri.001G442200.v4.1 transcript:Potri.001G442200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442200.v4.1 MANRSLLSSKILLIVLVCFCPTFSLAADTLYQGGDALNSSSRLVSKNRLFTLGFVRLGSTEYNASYLGIWYQNDTIHPIWIANRDKPIADDSGVLEIDGDSGTMTVAYSGGNLVIFYSTQSPTTKLTATLEDSGNFVLKDANSRSDQILWQSFDDPTDTFMPGMKLGINHKTGKVRSLTSWMSDSVPASGAFTFEWEPKRQELVIKRRTEIYWTSGPLRSNGSFETFRPNPGLDYTFLIVSNIDEDYFMFTVARNKLTPPETGFSKWLLQFGGGLEEQSNEQISGGNLCNGNNIEMGCVKWDSEPTCRSRDRYELRACDFLVEGGHAVYDNNASLSISDCREICWKDCTCAGINIRGSNANNTGCTFWYGNFTADLSASSIQYFVIVQDTQPAAMKSGERIWIWIVVSVAFVLLVTLAGILWYRRRQRLREKYLDELMTLDAMNDTQELESDGNKGHNLKVYSVATIMAATNSFSAKNKLGQGGFGPVYKGKLPDGREVAVKRLSRTSRQGLVEFKNELILIANLQHSNLVKLLGCCVEGEEKMLVYEYMPNKSLDSFIFDQSRRELLDWKKRFKIIEEIAQGLLYLHKYSRLRIIHRDLKASNILLNEDLSPKISDFGMARIFKINELEANTNRIVGTYGYMSPEYAMEGVFSVKSDAYSFGVLVLEIVSGRKNRGLLQMDPPLNLVGYAWELWKEGNQFELVDSTLRDSCSEDQVLRCIHVGLLCVEDNVNDRPTMSDVLSMLTSDAQLPLLKQPAFSCATYSTDNQSNSSHAEGKEEGKAEDKAEGNSINYVSMSTMEAR >Potri.013G160000.1.v4.1 pep chromosome:Pop_tri_v4:13:15426899:15431627:1 gene:Potri.013G160000.v4.1 transcript:Potri.013G160000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G160000.v4.1 MEICGEGNSGEIYRNSSLGSSSVGGGGDGYSNNPRRYGINIAASNLIHAPLTTLLEYSGILGGSTSSYSQEREQLISSPSTATGGGGGGGHQEVTIRIIGSAENDHDDEPNASPNVEALPYGQRGGVVEGNANTESSISDGSSGIATDSSSSSSSYQRYDIQNLARWIEHVLPFSLLLLLVFVRQHLQGFCAALWIAAVLFKSNDILRRQTALKGERKKSVLLGMTVVLILHVMSIYWWYRNADLLYPLVLLPPSTIPPFWHVLFIIIVNDALVRQVAMVFKCMLLLFYKNSRGHNYRKQGQMLTLVEYFLLLYRALLPTPVWYRFFLNREYGSFFSSLVTGLYLTFKLTTVLRKVQLFFTALRTLSHKEMYYGSYATSEQVNAVGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFDRERTCPLCRALVKSADIKSYSDGSTSLFFQLF >Potri.017G134500.2.v4.1 pep chromosome:Pop_tri_v4:17:13645622:13646780:-1 gene:Potri.017G134500.v4.1 transcript:Potri.017G134500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G134500.v4.1 MRRTAINDCVVVSGSLAKSRSKLYLTLDQQRFGPVLDLLRRNCLEEDRTSEVYDLWKTVKDHLLLPLTIQLSEKTVVTLPPCFMRLPPDLKLKILELLPALMWLEWHALARGCRVCVRTTIYGNGGFRRSLDGRLKQKFW >Potri.001G137800.5.v4.1 pep chromosome:Pop_tri_v4:1:11263923:11269514:-1 gene:Potri.001G137800.v4.1 transcript:Potri.001G137800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137800.v4.1 MFGDRQVMSNMGGNVVSSDTIYSLPIENPSFNFMSSMPFHTLSPIISKEGNGLVMPGKEEVESGSGCEQLEEKSGNEEESSEQPPKKKRYHRHTARQIQEMEAMFKECPHPDDKQRMRLSHELGLKPRQVKFWFQNRRTQMKAQQDRSDNNILRAENESLQNDNYRLQAELRNLICPDCGGQAMLGEIPFEDLRLEHARLREELERVCCIASRYGGRPIHSMVPAPAFVPPSLDLDMSIYSRPFPESLGTCIDMMPMPMLPEPSSFPEAGIVLMEEGEGLAMGLALSSMDELVKMCNANEPLWITNNENGKEVLNLEEHARMFPWPSNLKQNSSDMRTEATRDCAVVIMNSINLVDAFLDANKWMELFPSIVARAKTVQVIKTGVCGASGSLHLMYAELQVLSPLVPTRETHFLRFCQQNVEEGTWAIVDFPLDSFHDNIRPSFPLYRRRPSGCVIQDLPNGYSKLTWIEHAEIEDKPVHQIFSQYIYSGMAFGAHRWLAVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRTFSLNISTSSGQSWTALPDSHDGTVRIISREITEPGQPNGVILSAVSTTWLPYPHFLVFDLLRDEHRRSQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDQSGSLVVFTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSSTVSEGNSMQSNSEDGNGNGHNNSGCLLTVGLQALASTIPSAKLNFSSVTAINNHLCNTVNQITVALSNNTTTTSSCLDNSNAVGSCNEPTAAPKQQV >Potri.001G137800.1.v4.1 pep chromosome:Pop_tri_v4:1:11263922:11269889:-1 gene:Potri.001G137800.v4.1 transcript:Potri.001G137800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137800.v4.1 MFGDRQVMSNMGGNVVSSDTIYSLPIENPSFNFMSSMPFHTLSPIISKEGNGLVMPGKEEVESGSGCEQLEEKSGNEEESSEQPPKKKRYHRHTARQIQEMEAMFKECPHPDDKQRMRLSHELGLKPRQVKFWFQNRRTQMKAQQDRSDNNILRAENESLQNDNYRLQAELRNLICPDCGGQAMLGEIPFEDLRLEHARLREELERVCCIASRYGGRPIHSMVPAPAFVPPSLDLDMSIYSRPFPESLGTCIDMMPMPMLPEPSSFPEAGIVLMEEGEGLAMGLALSSMDELVKMCNANEPLWITNNENGKEVLNLEEHARMFPWPSNLKQNSSDMRTEATRDCAVVIMNSINLVDAFLDANKWMELFPSIVARAKTVQVIKTGVCGASGSLHLMYAELQVLSPLVPTRETHFLRFCQQNVEEGTWAIVDFPLDSFHDNIRPSFPLYRRRPSGCVIQDLPNGYSKLTWIEHAEIEDKPVHQIFSQYIYSGMAFGAHRWLAVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRTFSLNISTSSGQSWTALPDSHDGTVRIISREITEPGQPNGVILSAVSTTWLPYPHFLVFDLLRDEHRRSQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDQSGSLVVFTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSSTVSEGNSMQSNSEDGNGNGHNNSGCLLTVGLQALASTIPSAKLNFSSVTAINNHLCNTVNQITVALSNNTTTTSSCLDNSNAVGSCNEPTAAPKQQV >Potri.001G137800.4.v4.1 pep chromosome:Pop_tri_v4:1:11263926:11269889:-1 gene:Potri.001G137800.v4.1 transcript:Potri.001G137800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137800.v4.1 MFGDRQVMSNMGGNVVSSDTIYSLPIENPSFNFMSSMPFHTLSPIISKEGNGLVMPGKEEVESGSGCEQLEEKSGNEEESSEQPPKKKRYHRHTARQIQEMEAMFKECPHPDDKQRMRLSHELGLKPRQVKFWFQNRRTQMKAQQDRSDNNILRAENESLQNDNYRLQAELRNLICPDCGGQAMLGEIPFEDLRLEHARLREELERVCCIASRYGGRPIHSMVPAPAFVPPSLDLDMSIYSRPFPESLGTCIDMMPMPMLPEPSSFPEAGIVLMEEGEGLAMGLALSSMDELVKMCNANEPLWITNNENGKEVLNLEEHARMFPWPSNLKQNSSDMRTEATRDCAVVIMNSINLVDAFLDANKWMELFPSIVARAKTVQVIKTGVCGASGSLHLMYAELQVLSPLVPTRETHFLRFCQQNVEEGTWAIVDFPLDSFHDNIRPSFPLYRRRPSGCVIQDLPNGYSKLTWIEHAEIEDKPVHQIFSQYIYSGMAFGAHRWLAVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRTFSLNISTSSGQSWTALPDSHDGTVRIISREITEPGQPNGVILSAVSTTWLPYPHFLVFDLLRDEHRRSQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDQSGSLVVFTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSSTVSEGNSMQSNSEDGNGNGHNNSGCLLTVGLQALASTIPSAKLNFSSVTAINNHLCNTVNQITVALSNNTTTTSSCLDNSNAVGSCNEPTAAPKQQV >Potri.001G137800.3.v4.1 pep chromosome:Pop_tri_v4:1:11263923:11269718:-1 gene:Potri.001G137800.v4.1 transcript:Potri.001G137800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137800.v4.1 MFGDRQVMSNMGGNVVSSDTIYSLPIENPSFNFMSSMPFHTLSPIISKEGNGLVMPGKEEVESGSGCEQLEEKSGNEEESSEQPPKKKRYHRHTARQIQEMEAMFKECPHPDDKQRMRLSHELGLKPRQVKFWFQNRRTQMKAQQDRSDNNILRAENESLQNDNYRLQAELRNLICPDCGGQAMLGEIPFEDLRLEHARLREELERVCCIASRYGGRPIHSMVPAPAFVPPSLDLDMSIYSRPFPESLGTCIDMMPMPMLPEPSSFPEAGIVLMEEGEGLAMGLALSSMDELVKMCNANEPLWITNNENGKEVLNLEEHARMFPWPSNLKQNSSDMRTEATRDCAVVIMNSINLVDAFLDANKWMELFPSIVARAKTVQVIKTGVCGASGSLHLMYAELQVLSPLVPTRETHFLRFCQQNVEEGTWAIVDFPLDSFHDNIRPSFPLYRRRPSGCVIQDLPNGYSKLTWIEHAEIEDKPVHQIFSQYIYSGMAFGAHRWLAVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRTFSLNISTSSGQSWTALPDSHDGTVRIISREITEPGQPNGVILSAVSTTWLPYPHFLVFDLLRDEHRRSQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDQSGSLVVFTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSSTVSEGNSMQSNSEDGNGNGHNNSGCLLTVGLQALASTIPSAKLNFSSVTAINNHLCNTVNQITVALSNNTTTTSSCLDNSNAVGSCNEPTAAPKQQV >Potri.001G137800.7.v4.1 pep chromosome:Pop_tri_v4:1:11263918:11268378:-1 gene:Potri.001G137800.v4.1 transcript:Potri.001G137800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137800.v4.1 MLGEIPFEDLRLEHARLREELERVCCIASRYGGRPIHSMVPAPAFVPPSLDLDMSIYSRPFPESLGTCIDMMPMPMLPEPSSFPEAGIVLMEEGEGLAMGLALSSMDELVKMCNANEPLWITNNENGKEVLNLEEHARMFPWPSNLKQNSSDMRTEATRDCAVVIMNSINLVDAFLDANKWMELFPSIVARAKTVQVIKTGVCGASGSLHLMYAELQVLSPLVPTRETHFLRFCQQNVEEGTWAIVDFPLDSFHDNIRPSFPLYRRRPSGCVIQDLPNGYSKLTWIEHAEIEDKPVHQIFSQYIYSGMAFGAHRWLAVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRTFSLNISTSSGQSWTALPDSHDGTVRIISREITEPGQPNGVILSAVSTTWLPYPHFLVFDLLRDEHRRSQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDQSGSLVVFTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSSTVSEGNSMQSNSEDGNGNGHNNSGCLLTVGLQALASTIPSAKLNFSSVTAINNHLCNTVNQITVALSNNTTTTSSCLDNSNAVGSCNEPTAAPKQQV >Potri.001G137800.6.v4.1 pep chromosome:Pop_tri_v4:1:11263922:11269840:-1 gene:Potri.001G137800.v4.1 transcript:Potri.001G137800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137800.v4.1 MFGDRQVMSNMGGNVVSSDTIYSLPIENPSFNFMSSMPFHTLSPIISKEGNGLVMPGKEEVESGSGCEQLEEKSGNEEESSEQPPKKKRYHRHTARQIQEMEAMFKECPHPDDKQRMRLSHELGLKPRQVKFWFQNRRTQMKLERVCCIASRYGGRPIHSMVPAPAFVPPSLDLDMSIYSRPFPESLGTCIDMMPMPMLPEPSSFPEAGIVLMEEGEGLAMGLALSSMDELVKMCNANEPLWITNNENGKEVLNLEEHARMFPWPSNLKQNSSDMRTEATRDCAVVIMNSINLVDAFLDANKWMELFPSIVARAKTVQVIKTGVCGASGSLHLMYAELQVLSPLVPTRETHFLRFCQQNVEEGTWAIVDFPLDSFHDNIRPSFPLYRRRPSGCVIQDLPNGYSKLTWIEHAEIEDKPVHQIFSQYIYSGMAFGAHRWLAVLQRQCERVASLMARNISDLGVIPSPEARKNMMRLAQRMIRTFSLNISTSSGQSWTALPDSHDGTVRIISREITEPGQPNGVILSAVSTTWLPYPHFLVFDLLRDEHRRSQLEVLSNGNALHEVAHIANGSHPGNCISLLRINVASNSSQHVDLMLQESCTDQSGSLVVFTTVDVESIQLAMSGEDPSCIPLLPLGFVIVPVESSSSTVSEGNSMQSNSEDGNGNGHNNSGCLLTVGLQALASTIPSAKLNFSSVTAINNHLCNTVNQITVALSNNTTTTSSCLDNSNAVGSCNEPTAAPKQQV >Potri.013G064100.1.v4.1 pep chromosome:Pop_tri_v4:13:4742754:4743793:1 gene:Potri.013G064100.v4.1 transcript:Potri.013G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G064100.v4.1 MEGLKFVLVFVVLALASSFASASDPGPLQDFCVAIKETDGVFVNGKFCKDPEQVTANDFFFPGLNVPRDTSSAVGSNVTAVNVAQIPGLNTLGISFARIDFAPHGGLNPPHTHPRATEILVVVEGTLYVGFVTSNLANGDNRLITKVLNPGDVFVFPVGLIHFQLNVGKTNAVAFASLSSQNPGVITIAKAVFGADPPINPNVLTKAFQVDKKVVDYLQKQLWTDNNN >Potri.019G080900.1.v4.1 pep chromosome:Pop_tri_v4:19:12127794:12137639:-1 gene:Potri.019G080900.v4.1 transcript:Potri.019G080900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G080900.v4.1 MHGYSRLGNAGATGRTVTATPTPSPPSSPRLRHSRSSGKSNSSPGGGFSGGGGGGGRGGGGKQHVVERLMFMVVTVVLRRRGLLLFAPLLYVAGMVLYMGSLNFDVNLKNGGVVVRKRAPPGTVYRSPKVFDKLWPYMEAENNGSHNALMTAWDPKLRQAWKPSGISNYSDAELPESNGFLIIEANGGLNQQRLSICDAVAVAGLLNATLVIPFFHLNSVWRDSSKFGEIFDEDFFIHALKNNVKVVRELPSDVLEQFDNNISSIVNLRVKAWSSPTYYLQKVLPKLRQMRAVRIAPFSNRLAHAVPPNIQGLRCLANFEALRFSESIRMLAEQMVDRMIKNSSQSGGKYVSVHLRFETDMVAFSCCEYDGGEEEKREMDIARESAWRGKFRRRGRVIRPGANRVDGKCPLTPLEVGMMLRGMGFDNTTSVYVAAGNIYKAEKYMAPLKQMFPRLETKDTIATAEELVPFKGHSSRLAALDYTVCLHSEVFVTTQGGNFPHFLMGHRRYLYGGHAKTINPDKRKLALLFDKPTIRWEVFKRQMQNMLRHSDVKGSELRKPSASLYTFPMPDCMCKQTEARQQDSDS >Potri.010G120401.1.v4.1 pep chromosome:Pop_tri_v4:10:13851603:13854346:1 gene:Potri.010G120401.v4.1 transcript:Potri.010G120401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120401.v4.1 MRPSPGMGMFSMSHSLGLPMISLPSAVHLHSSLSAAAAGLNPMTAHEILPNPQVQMPFISSQPLPADVATSSTPPTFATGFSATYDSPDEGEYSPRMYRRNTI >Potri.002G216600.5.v4.1 pep chromosome:Pop_tri_v4:2:20351715:20354227:1 gene:Potri.002G216600.v4.1 transcript:Potri.002G216600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216600.v4.1 MAALKRRLNTDSDIHALHKELDEVSCPICLDRPHNAVLLLCSSNEKGCKSYICDTSYRHSNCLDQFKKSRGNSRSNATLQSSMPINSVSSSTTTDASMTLRTHAFDGNENHNLNEISNDTFVRLPEELVDSESVQERIEHEGVNANSPELSLSPGCPLCRGTILGWEVVDEARKYLNLKKRSCSRESCSFSGNYQELRRHARRVHPTIRPSDIDPSRERAWRCLEHQREYGDIVSAVHSAMPGAVVVGDYIIENGDRLSVERESRTNEVNAPWWTTFFFFQMIGSIDGAAEPRTWSRAWTRHRQSAETLADRRFLWGENLLGLHDNDADDDDDDDNGYLHVLGNAGEDASPIPRRRRRLTRSRSNDHS >Potri.002G216600.7.v4.1 pep chromosome:Pop_tri_v4:2:20349969:20354625:1 gene:Potri.002G216600.v4.1 transcript:Potri.002G216600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216600.v4.1 MAALKRRLNTDSDIHALHKELDEVSCPICLDRPHNAVLLLCSSNEKGCKSYICDTSYRHSNCLDQFKKSRGNSRSNATLQSSMPINSVSSSTTTDASMTLRTHAFDGNENHNLNEISNDTFVRLPEELVDSESVQERIEHEGVNANSPELSLSPGCPLCRGTILGWEVVDEARKYLNLKKRSCSRESCSFSGNYQELRRHARRVHPTIRPSDIDPSRERAWRCLEHQREYGDIVSAVHSAMPGAVVVGDYIIENGDRLSVERESRTNEVNAPWWTTFFFFQMIGSIDGAAEPRTWSRAWTRHRQSAETLADRRFLWGENLLGLHDNDADDDDDDDNGYLHVLGNAGEDASPIPRRRRRLTRSRSNDHS >Potri.002G216600.1.v4.1 pep chromosome:Pop_tri_v4:2:20349957:20354598:1 gene:Potri.002G216600.v4.1 transcript:Potri.002G216600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216600.v4.1 MAALKRRLNTDSDIHALHKELDEVSCPICLDRPHNAVLLLCSSNEKGCKSYICDTSYRHSNCLDQFKKSRGNSRSNATLQSSMPINSVSSSTTTDASMTLRTHAFDGNENHNLNEISNDTFVRLPEELVDSESVQERIEHEGVNANSPELSLSPGCPLCRGTILGWEVVDEARKYLNLKKRSCSRESCSFSGNYQELRRHARRVHPTIRPSDIDPSRERAWRCLEHQREYGDIVSAVHSAMPGAVVVGDYIIENGDRLSVERESRTNEVNAPWWTTFFFFQMIGSIDGAAEPRTWSRAWTRHRQSAETLADRRFLWGENLLGLHDNDADDDDDDDNGYLHVLGNAGEDASPIPRRRRRLTRSRSNDHS >Potri.002G216600.6.v4.1 pep chromosome:Pop_tri_v4:2:20350042:20354628:1 gene:Potri.002G216600.v4.1 transcript:Potri.002G216600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216600.v4.1 MAALKRRLNTDSDIHALHKELDEVSCPICLDRPHNAVLLLCSSNEKGCKSYICDTSYRHSNCLDQFKKSRGNSRSNATLQSSMPINSVSSSTTTDASMTLRTHAFDGNENHNLNEISNDTFVRLPEELVDSESVQERIEHEGVNANSPELSLSPGCPLCRGTILGWEVVDEARKYLNLKKRSCSRESCSFSGNYQELRRHARRVHPTIRPSDIDPSRERAWRCLEHQREYGDIVSAVHSAMPGAVVVGDYIIENGDRLSVERESRTNEVNAPWWTTFFFFQMIGSIDGAAEPRTWSRAWTRHRQSAETLADRRFLWGENLLGLHDNDADDDDDDDNGYLHVLGNAGEDASPIPRRRRRLTRSRSNDHS >Potri.002G216600.9.v4.1 pep chromosome:Pop_tri_v4:2:20350042:20354552:1 gene:Potri.002G216600.v4.1 transcript:Potri.002G216600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216600.v4.1 MAALKRRLNTDSDIHALHKELDEVSCPICLDRPHNAVLLLCSSNEKGCKSYICDTSYRHSNCLDQFKKSRGNSRSNATLQSSMPINSVSSSTTTDASMTLRTHAFDGNENHNLNEISNDTFVRLPEELVDSESVQERIEHEGVNANSPELSLSPGCPLCRGTILGWEVVDEARKYLNLKKRSCSRESCSFSGNYQELRRHARRVHPTIRPSDIDPSRERAWRCLEHQREYGDIVSAVHSAMPGAVVVGDYIIENGDRLSVERESRTNEVNAPWWTTFFFFQMIGSIDGAAEPRTWSRAWTRHRQSAETLADRRFLWGENLLGLHDNDADDDDDDDNGYLHVLGNAGEDASPIPRRRRRLTRSRSNDHS >Potri.002G216600.8.v4.1 pep chromosome:Pop_tri_v4:2:20349957:20354584:1 gene:Potri.002G216600.v4.1 transcript:Potri.002G216600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G216600.v4.1 MAALKRRLNTDSDIHALHKELDEVSCPICLDRPHNAVLLLCSSNEKGCKSYICDTSYRHSNCLDQFKKSRGNSRSNATLQSSMPINSVSSSTTTDASMTLRTHAFDGNENHNLNEISNDTFVRLPEELVDSESVQERIEHEGVNANSPELSLSPGCPLCRGTILGWEVVDEARKYLNLKKRSCSRESCSFSGNYQELRRHARRVHPTIRPSDIDPSRERAWRCLEHQREYGDIVSAVHSAMPGAVVVGDYIIENGDRLSVERESRTNEVNAPWWTTFFFFQMIGSIDGAAEPRTWSRAWTRHRQSAETLADRRFLWGENLLGLHDNDADDDDDDDNGYLHVLGNAGEDASPIPRRRRRLTRSRSNDHS >Potri.011G129500.2.v4.1 pep chromosome:Pop_tri_v4:11:16288325:16291975:-1 gene:Potri.011G129500.v4.1 transcript:Potri.011G129500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G129500.v4.1 MADEYTSTNWWDSSSSSRNRFDSTGSSSTTSGHTSLGSFAWPTEMVDVKGRSSMETVSVSDSSVVFHDSQKLQQGHDSSADLHMMGLGLSSPAIDWNQALLRGDKSENSFRSMLQDNLSSSTNYQQETGIGSSQAQWRSSERVFAGVSGDSSMNEFKQMNRGFSLDQPQFSPHGSSSDSTVTGQGLQSSFPMDSSGIYGSPSTMLQGLLGSDNQVQQSSFDNRSMGYAYGANYGVSTNELLPSWSKIPQFLRNSPPKQPPHNQLHLSNNAPFWNASSSAMSDVRPSFFPSMQPQFTTSNFDEKPKNISEVRDSNTAVKKSGGEAGAKRPRNETPSPSPAFKARKEKMGDRITALQQLVSPFGKTDTASVLSEAIEYIKFLHEQVTVLCTPYMKNGAPIHHQQSSEKSRDSEGQKQDLRSLGLCLVPVSSTFPVTHETTVDFWTPTFGGTFR >Potri.016G021600.2.v4.1 pep chromosome:Pop_tri_v4:16:1173144:1175636:-1 gene:Potri.016G021600.v4.1 transcript:Potri.016G021600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G021600.v4.1 MVYSVLADHKPHAVCLPSPFQSHIKSMLKLAKLLHHKGFHITFVNTEFNHKRLLKSRGPDSLNGLPDFRFESIPDGLPPSDENVIPDISVAVAAASKNLLDPFNEVLDKLNDTAASDSPPVTCILSDGFMPVAITSAEMHQIPIALLFTISACSFMGFKQYKALKERGLTPLKDESFLTNGFLEKVVDWIPGMKDIRIRDLPSFVRTTDATDFMFNFCLGCAERAPSASAVIFHTFDALEQEVLTALYPIFPRVYTIGPLQLLLNQIQEDDLNSIDCNLWKEEVECLQWLDSKKPNSVIYVNFGSIAVATKEQLVELGMGLSKSGHPFLWIIRPDMITGDSAISPPEFTEETKERGFICSWCPQEEVLNHPSVGGFLTHCGWTSIIESISSGVPMLCWPFAGDQQTNCRYTCTEWELEWRLIAM >Potri.017G108200.1.v4.1 pep chromosome:Pop_tri_v4:17:11688057:11690441:1 gene:Potri.017G108200.v4.1 transcript:Potri.017G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G108200.v4.1 MGDQAGTNKRKRDFSDSIMERNKKTPNRLLVDEAINDDNSVITLNPATMEQLDIFRGDKLLIKGKKRRDTVCIALADDRCDQPKILMNKVVRSNLRVRLGDMVSVQLCHNLQYGKRVHLLPLDDTVDGLSGSLFGAYLKPYFKDSHRPVRKGDLFLVRGGMRSVEFKVIETDPAEYCVVEPDTEIFCEGEAVKREDEERLDGVGYDDLGGVRKQLALIREMVELPLRFPQLFKTIGVKPPRGILLYGPPGTGKTLIARAIANETGAFFFCINGPEIMSKMAGESEQNLRKAFEEAEKNAPAIVFIDEIDSIAPKREKTGGEVERRIVSQLLTLMDGLKARAHVIVIGATNRPNSLDPALRRFGRFDKEIDIGVPDEVGRLEVLRVHTKKMKLSEDVDLEKVAKGTQGYVGADLAALCSESALQCIREKMGIIDLEDDTIDAEVLNSMAVTNEHFSIALGTSNPSALRETIVEVPNVRWEDIGGLEKVKMELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISIKGPELLTMWFGESEANVRDVFDKARQSAPCVIFFDELDSIAIQRGNSVGDAGGAADRVLNQLLTEMDGLSAKKTVFIIGATNRPDIIDPALMRPGRLDQLIYIPLPDEGSRLQIFKACLRKSPVSKDVDLQVLAKHTEGFSGADITEICQRACKYAVREDIEKDIKRKIEGLEDSMEEGMTWLKVSHFEESMRYARKSVSDSDILKYQMFSQTLQQSRGFGSDFKFSEAATSADGLNPVVTSAGGDDELY >Potri.018G006100.1.v4.1 pep chromosome:Pop_tri_v4:18:499365:504463:-1 gene:Potri.018G006100.v4.1 transcript:Potri.018G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G006100.v4.1 MDPYPSSSSSIAQKTWELENNIKTIDTSTPTIATGTSFLSDAIFHYDDAAQAKFLQEKPWSNDPNYFRRVRISALALLKMVVHARSGGTIEVMGLMQGKTDGDSIIVMDAFALPVEGTETRVNAQADAYEYMVDYSQTNKQAGRLENVVGWYHSHPGYGCWLSGIDVSTQMLNQQFQEPFLAVVIDPTRTVSAGKVEIGAFRTYPEGYKPPDDPVSEYQTIPLNKIEDFGVHCKQYYALDITYFKSSLDCHLLDLLWNKYWVNTLSSSPLLGNGDYVAGQISDLAEKLEHAENQLAHSRLGPLMAPQRKKDEESQLAKITRDSAKITVEQVHGLMSQVIKDILFNSVRQSNRSRAETSGPEPMVET >Potri.018G099601.1.v4.1 pep chromosome:Pop_tri_v4:18:12013783:12015798:1 gene:Potri.018G099601.v4.1 transcript:Potri.018G099601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G099601.v4.1 MSMALLKGPELLNTELLPVKSSSTGSGSKTWLLGSITLFGNSCSIVIWKIMQHHYSSNLVHCTKGTTLLSNVQTSATVIGTVLAATFLHEVIYTSSLLGAIVVISGLYMVISGQASDQQEIKQETNFVPQADGRNIQQGSMDESSGHNICKKHLEEPLLYEKLPNVDKV >Potri.009G034951.1.v4.1 pep chromosome:Pop_tri_v4:9:4513041:4513576:-1 gene:Potri.009G034951.v4.1 transcript:Potri.009G034951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G034951.v4.1 MMVEAALSFQSALSILIIEVICLGVTNLLQKRETKKLQKEQESKKEGGENRKVIAGSGPRGFEQKIDEDDETDD >Potri.012G049500.1.v4.1 pep chromosome:Pop_tri_v4:12:4649407:4653539:-1 gene:Potri.012G049500.v4.1 transcript:Potri.012G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G049500.v4.1 MAFSLSSSSFIHPQLRHIVCKMNLFDTLLFFVIHFVDKLGLWHRLPVLLGLAYMAIRRHLHQRYNLLHVGGINGQKYETEEFSYRTADGKCNHPSDDIIGSQGTFFGRNMLPANSRYGLLDPHPTVVANKLLARNKFIDNGKQFNMIACSWIQFMIHDWIDHMEDTQQVELKAPDKIADGCPLKSFKFYKTKKVSTGSPYMKTGSLNTRTPWWDGSVIYGNNEDGMRKVREFKDGRLRIAGDGLLEHDEKGIPISGDVRNCWAGFSLLQALFVKEHNAVCDMLKENYPDFDDEKLYRHARLVTSAVLAKIHTIDWTVELLRTDTLLAGMRINWYGFLGKKIKDLFGHFGGPVLSGLVGLRRPRDHGVPYSLTEEFVSVYRMHSLLPEKLILRDIHSTASEYECPPVVEEVPMREMAGKDGERRLSELGMEQMLVSMGHQACGAVKLWNYPSWMRNLVAHDINGEDRPDQVDMAAMEIYRDRERGVARYNEFRRNLLMIPISNWEDLTDDEEVIEALRDVYGNDVEKLDLLIGLHAEKKIKGFAISETAFFIFLLIASRRLEADRFFTTNFNSRTYTEKGLEWVNKTETLKDVIDRHFPGMTKKWMKCTSAFSVWDSEPNQTTYIPLYLRPAP >Potri.005G074900.1.v4.1 pep chromosome:Pop_tri_v4:5:5030167:5033949:1 gene:Potri.005G074900.v4.1 transcript:Potri.005G074900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074900.v4.1 MGAAGSKLEKALGDQFPEGERYFGLENFGNTCYCNSVLQALYFCVPFREHLLEYYGNGKSIGDAEENLLTCLADLFTQISSQKKKTGVIAPKRFVQRLKKQNELFRSYMHQDAHEFLNFLLNELVDILEKEAQAVKSDPETSSPPEKIANGPKHAQANGVSKEPLVTWVHKNFQGILTNETRCLQCETVTARDETFFDLSLDIEQNSSITSCLKNFSSTETLNAEDKFFCDKCCSLQEAQKRMKIKKPPHILVIHLKRFKYIEQLGRYKKLSYRVVFPLELKLSNTVEDADIEYSLFAVVVHVGSGPNHGHYVSLVKSHNHWLFFDDENVEMIDESAVQTFFGSAQEYSSNTDHGYILFYESIGASNNKS >Potri.016G009300.2.v4.1 pep chromosome:Pop_tri_v4:16:429627:433426:-1 gene:Potri.016G009300.v4.1 transcript:Potri.016G009300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009300.v4.1 MKSSSEISQEMDLESQETGPAIPAPRKIFRPVVLVILAVRTFSSKAFNNKESPDSLGVSLLSPSHRNLEVPEIEEISPTRQVCLDIPSIDEAVNAMSDIKLQLEKIANIVKGRNLQSLNQFGGVERVAVVLETDLKNGITGDIEDLSRSRTNAIYKTTVPAARNFLELLMKSGNRYTIFLLIVSAALSLGFGIKEEGPTTGWYEGVLIILAIIILVIVPAVRDFLGENSENLLGEQRQRRKREMEVAVLRAGKQLKVPALDLVIGDIVSLERGCPIPGDGLFVSGEYLKLDDSFPSIVNEQNPFLFYGAKVIEGQGNMMVTSMGLNTTLGEMTSKASKRRLPVQLAKVSNQTEIAGLATSILILVVLFLRSKAGKKNEDSSVPEFKGEHKTMEVTELIKRIVWKPSGKISTLTTCLTTFLVGVVEGVPFFIRLAIYYWNKKIPSTKAVVQEQLTGVTMGSVTAICIDKTSWITMNPPEVDECWIDETVTRENSAIRKQVKDAFCIGISMSSGNDQESLISWCASKFGKDYMESLKQRYSTIGMKELCPGEERNAVLLREKEGNETKKFLYWKGLAPKILKMCSRHYNSEGKLVDMDTEKRSAFEKIINDMQSKDLKTIALAYKTTDDETAEDNRLILIGLLGLKDKCWKETREAVEACRNAGVNIILVSEDSESVIEDIAKKYGMLSGSSILKHRGETFRSFSDEQRKDVVNKICVMGNSLPSDKLLLVRCLKQQGHIVAFVGVRTDDAPSLKEADVGVVTGTGSSELVNGSSELIILDGNLGFLVWILKGGRCIYGNIHKYIQVEVTITISGLVISTVTTIFFGYAPMTAIQMIWVNLVVAVLGGLALLTEPPSQKLMQRPPIRATEPFITKAMWRNIIIQASYQVSILLAFQFKGQAILNINEEVSKAMIFSSFLLCQLSNQFNASEQKMKNLVKGVQQNLWFWVASVLTVVLQVVFIEISHHIFGFARLNGPQCSICFLIGALSCVTDGAVNITWGVIKVKMGLQTLPGASSRLS >Potri.006G007600.2.v4.1 pep chromosome:Pop_tri_v4:6:535902:537741:-1 gene:Potri.006G007600.v4.1 transcript:Potri.006G007600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G007600.v4.1 MKKAELVLVPSPGIGHLASMMELAKLLVDRDDRVSITVIIIRLALDSKISRYTESLTASSKSTRIQFIDLPSDKTNTSNDHPSKFVTSLIESEKPHVKEFVSKLITQSELNPNPPRLACFVLDMFCTGMIDVANEFGVPSYIYFTSSAAFLGFQFHMQDLHDKQEVDLTELKDVDAEFEIPTLVNPLPVKVFPSVMLSKDTLPVVLYHVRRFREAKGIILNTFEEVELHAVKCLSNGENPAVYPVGPILNLKGDVHDVGSDGSNSYRDIMLWLDDQPPSSVVFLCFGSMGSFSVDQVKEIAWALEHSGHRFLWSLRKPPSEGKIDFLVDHAYQQEVFPDGFLDRTAKIGKVIGWAPQVDVLAHPSIKEFISHCGWNSILESVWFGVPLATWPMYAEQQFNAFEVVVELGLAVEIKMDYRREFLIGNETILGAKEIERGIKSGMEHDGHKLKRLKELSEKSRKAMMEGGSSSSSLSRLIKDMIIAGDNVHVPRNN >Potri.015G121000.1.v4.1 pep chromosome:Pop_tri_v4:15:13491886:13498325:-1 gene:Potri.015G121000.v4.1 transcript:Potri.015G121000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121000.v4.1 MAMLLEDIVRSVELWLRLIKKPQPYVDPNLDPVLLVPGIAGSILKAVDKENGDKEERVWIRILAADYTCRTKLWSRFDPQTGRSVTLDPKRNIVVPEDRYGLHAIDVLDPDMIIGRDCVYYFHDMIVEMIKWGFQEGKTLFGFGYDFRQSNRLPETLERLAKKLESVYQASGGKKINIISHSMGGLLVKCFMSLHSDIFEKYVKNWIAIAAPFRGAPGYVTSTFLNGMSFVEGWEQNFFISKWSMHQLLIECPSIYELMACPHFHWQHLPVLEIWREKQDSDENSQIILESYSPEESIQIFKDALSSNTVNYDGEDIPLPFNLDILKWADETQKVLSHAKVPPGVKFYNIYGISLETPHTVCYGSAEVPVADLPELQFCEPKYICVDGDGTVPAESAKADGLNAEARVGVPGEHRGILSDHHLFRIVKHWLKADSDPFYNPINDYVILPTAFEMERHKESGLQFTSLKEEWEIISEEQDDHDNMVNRKPFVSSICISQAGDYQSSPAEACATVTVHPQSEGKQHVELNAVSVSVDA >Potri.015G121000.2.v4.1 pep chromosome:Pop_tri_v4:15:13491840:13494968:-1 gene:Potri.015G121000.v4.1 transcript:Potri.015G121000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G121000.v4.1 MHQLLIECPSIYELMACPHFHWQHLPVLEIWREKQDSDENSQIILESYSPEESIQIFKDALSSNTVNYDGEDIPLPFNLDILKWADETQKVLSHAKVPPGVKFYNIYGISLETPHTVCYGSAEVPVADLPELQFCEPKYICVDGDGTVPAESAKADGLNAEARVGVPGEHRGILSDHHLFRIVKHWLKADSDPFYNPINDYVILPTAFEMERHKESGLQFTSLKEEWEIISEEQDDHDNMVNRKPFVSSICISQAGDYQSSPAEACATVTVHPQSEGKQHVELNAVSVSVDA >Potri.004G214700.3.v4.1 pep chromosome:Pop_tri_v4:4:22093888:22095486:-1 gene:Potri.004G214700.v4.1 transcript:Potri.004G214700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214700.v4.1 MHCEGCVSTILKHARAFEGVESVEAEASSNKLTVIGKVDPLKIRDYLHYKTKKKVELISPQPQKQDTTTANKNNKEDKKSNDKKPDSDAKPKEAPVITAVLKLGLHCQGCIEKIEKIVSKTKGVHETVIDRQKELVTVKGTMDVKALTETLKSKLKRPVDIVPPKKEKEGGKDGENVAGGGGGKKKGGGGNGGQDGGGGGAAAAAPAPAAKMEENRMEYMVQPGFGSGYGYVGQPLHGNGYVVQPIHGNGYVGHPVYAPYGPGYGYGYGYGHGPVQGYPDHLRFNDENPNACSIM >Potri.004G214700.1.v4.1 pep chromosome:Pop_tri_v4:4:22093917:22095758:-1 gene:Potri.004G214700.v4.1 transcript:Potri.004G214700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214700.v4.1 MAKKKNNNNDNNNSSNVVEKKEGGENKKGGDGGGKKQENKPIPVVLKVEMHCEGCVSTILKHARAFEGVESVEAEASSNKLTVIGKVDPLKIRDYLHYKTKKKVELISPQPQKQDTTTANKNNKEDKKSNDKKPDSDAKPKEAPVITAVLKLGLHCQGCIEKIEKIVSKTKGVHETVIDRQKELVTVKGTMDVKALTETLKSKLKRPVDIVPPKKEKEGGKDGENVAGGGGGKKKGGGGNGGQDGGGGGAAAAAPAPAAKMEENRMEYMVQPGFGSGYGYVGQPLHGNGYVVQPIHGNGYVGHPVYAPYGPGYGYGYGYGHGPVQGYPDHLRFNDENPNACSIM >Potri.001G223716.1.v4.1 pep chromosome:Pop_tri_v4:1:24004954:24008095:-1 gene:Potri.001G223716.v4.1 transcript:Potri.001G223716.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223716.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVDYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWGSGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEASARSRKKSRID >Potri.006G003900.1.v4.1 pep chromosome:Pop_tri_v4:6:306355:308835:-1 gene:Potri.006G003900.v4.1 transcript:Potri.006G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003900.v4.1 MSVSLTDQTAYLHQEEDIARTNVYTESSYQHQQCYYAESRSVCEIEEEKYSSDLFEINHGVPLESIKEDIEGSVFSFDVYGEHQKDCVYVGVGKSESSMDALSWTLKNAIIDSNTMVFLIHIFPEIHYIPSPLGRLPKSQVSAQQVENYMAQERDKRRELLQKFINMCSASKVKVDTILVESDAVGKAMMDLITVVNMRKLILGTSKSNLRKLRSKRGNGIADQVIQNAPEFCDVKIICDGKEVVIDQMVGSPITLPDNPSEKSFTLQDESNTNNDSFACMCFKSPKVM >Potri.016G105900.2.v4.1 pep chromosome:Pop_tri_v4:16:10919378:10922655:-1 gene:Potri.016G105900.v4.1 transcript:Potri.016G105900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105900.v4.1 MSVHEMSHIDLEKGTHRRSVAGSDASCFSDAEDGSCYSQFYSTTGGSYDNYSFACVSDPEGINSVVLDSRRVSSVSDCSVEVGIVNGVPEIKVHLSKVERDCRICHLGLESNSHESGVPIELGCSCKDDLAAAHKQCAEAWFKIKGNKTCEICHSIARNVVLASDIESIEHSNETNNVMVNTAAAAASTSIPTTETRSFWQGHRFLNFLLACVVFAFILSWLFHFNVPSSS >Potri.004G170742.1.v4.1 pep chromosome:Pop_tri_v4:4:20561563:20566396:1 gene:Potri.004G170742.v4.1 transcript:Potri.004G170742.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170742.v4.1 MWLSKGHGTKKGGEGNGLVAVAVDKDKGSQNALKWTVENLLSKGQNLILIHVFYKSSSSFVTSHGSPGDYSSPGKQQLEKMAKDLFLTFRCYCTRKDVHCLDVAVESTDIAKAITEYVSHAAIETLVLGTPSRSGFMRKFKADVPSTVSRGAPDFCTVYVVSKGKVSTMRNASRPAPFASPLLHQIQNQQNQNSPRVDSSEALYKHVWSIKERTMPVKPRISVGETFRSPLGRGGQGHSVKSFADLMSETDISFVSSSRPSTDRMSSVTYDFMDSGATPRFSTSSDTSFASIQSGPKFFSPNYHQGFSSISQDSGRTSFTGSTHSLDDMESEMRRLKLELKQTMDLYNAACREALTAKRKATELNRWRIEEERRLEESRFSEEAALSIIEQEKARCREAIDAAEEAEKRAAIEAQRRLNIEKTLKEAAKTKRAKDNLSYHGIRYRRYSIEEIEVATQYFSESKKIGEGGYGPVYNCYLDQTPVAVKVLRPDATQGRSQFRREVEVLSLIRHPNMVLLLGACPEYGILVYEYMAKGSLEDCLFKRGNTAALSWQIRFRIAAEIATGLLFLHQTKPEPVVHRDLKPGNILLDNNYTSKISDNVTQYHMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGILLLQVITAKPPMGLTHIVEQAIENETLKEVLDSDVPDWPIEETLWFAKLALQCAELRRKDRPDLGTEVLPELNRLRDYAEEKMNYLFLAKAFGPSPNHSLASITLPISQISITPTNLSQPSATPTNLSQVSITQEAMSNTVVGNLGSPKSQSSS >Potri.001G270400.1.v4.1 pep chromosome:Pop_tri_v4:1:28477840:28480593:-1 gene:Potri.001G270400.v4.1 transcript:Potri.001G270400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270400.v4.1 MLAIGLVVVALVVIYYTHLLFKWRSPKIEGVLPPGSMGWPLIGETLQFIIPGKSLDLHPFVKKRMQKYGPIFKTSLVGRPIIVSTDYEMNKYILQHEGTLVELWYLDSFAKFFALEGETRVNAIGTVHKYLRSITLNHFGVESLKSLLPKIEDMLHTNLAKWPSQGPVDVKQVISVMVFNFTANKIFGYDAENSKEKLSENYTKILNSFISLPLNIPGTSFHKCMQDREKMLKMLKDTLMERLNDPSKRRGDFLDQAIDDMKTEKFLTVDFIPQQMFGILFASFESMSTTLTLTFKFLTENPRVVEELRAEHEAIVKKRENPNSGLTWDEYRAMTFTQMVVNETLRISNIPPGLFRKALKDFQVKGYTVPAGWTVMLVTPAIQLNPDTFKDPVTFNPWRWKDLDQVTISKNFMPFGGGTRQCAGAEYSKLVLSTFLHVLVTSYSFTKVKGGDVSRTPIISFGDGIHIKFTARN >Potri.003G054601.1.v4.1 pep chromosome:Pop_tri_v4:3:7985414:7988119:1 gene:Potri.003G054601.v4.1 transcript:Potri.003G054601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G054601.v4.1 MIIYYNFIEQLCDCISSNLSLMNKQKECPEECKEAVQSLIYAAARFSEFPELRDLRSEFINRYGPPLEALVNKEFVDMLKPKSITEEMKLQLMHDIALEFSIEWNSKSLEQKLFKPPPPQQDQHRHEHNDEYEPKKSKDDAFSLNDDDGYKWVKNKDDACTKRDSHDLANKVHDKREHTFQERDDERIFTYRGRQNASDEKYKLQSSSEDEVFSVSRRDSTDQDSLLASSSSVGSVSEDEVDSKKPIPYRFIPPPYRRTTIEKESKIEETLQPNDKIAAEEANHPDDSIKETKPKPRSVRSRPLKPQPGHENFGSIERPLKPPSGRERVGSISDESARTKSSAMKQEEPRRGSRILKTDDDERDEEEKVKDGLLMHYGKKDSPHEPSKSSPCIKPPPSSQASDDAAKTSRLRSVISELTLPTGRTSSLREPGTTRLGRAVSAEPDIMTGRVHPNLPDCDELAARIAALKGR >Potri.010G030100.1.v4.1 pep chromosome:Pop_tri_v4:10:4454748:4455439:1 gene:Potri.010G030100.v4.1 transcript:Potri.010G030100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G030100.v4.1 MARNLPTMRLPKLRPWQRCSRKVREQRTRLYIIWRCTVILLRWDE >Potri.005G092100.1.v4.1 pep chromosome:Pop_tri_v4:5:6416166:6419301:-1 gene:Potri.005G092100.v4.1 transcript:Potri.005G092100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G092100.v4.1 MTSLFHKFQEVVKTLAKSPTDATSTKDLKRLFILTSCNRLGRNADKADAKDIIEMANKFYVAEQQKQIQENIHFQIKNFCTAMNDILLPDARKRSRKDEAPAQSNAFSVGKSGPPTDRHAIPEMRRLSHAEVSQSLKDHIGYTLDVKPSLMPHEKAGQGLLINGEADAGAVIAIYPGIIHSPAYYQYIPGYPRIHARNRYLITRHDGTVINAQPWGFGGEIREVWDGFTVPEIMPNVQSTGKDGPELVWRVLSKPLEGTGVGSIGDVLERRNPLALAHFANQVAVGMHQNVMACPYDFPLTEMDMRTYIPNVSFANPEVNIRRYGSFWFSSKSSESNVPVLKTLVLVATRALCDEEVLVDYRLTNMKC >Potri.004G011600.1.v4.1 pep chromosome:Pop_tri_v4:4:704891:705362:1 gene:Potri.004G011600.v4.1 transcript:Potri.004G011600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G011600.v4.1 MSQIKKRPKTSSVRLIFKGRLKIKKTSSAPSYSKDATISLDATFSGFFTPRCTKGFFSSVLNLLFIGCAVRNFLYVPGLSPGS >Potri.001G390900.1.v4.1 pep chromosome:Pop_tri_v4:1:41337331:41339740:-1 gene:Potri.001G390900.v4.1 transcript:Potri.001G390900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390900.v4.1 MSSNKGKDVAEGSSRAVAMAPDQQNPPPLSRYESQKRRDWNTFGQYLRNQRPPVALSQCNANHVLEFLRYLDQFGKTKVHLQGCVFFGQPEPPGPCTCPLKQAWGSLDALIGRLRAAYEENGGLPETNPFASGAIRVYLREVRDSQAKARGIPYKKKKKKRNPMKANDESSSFHMQ >Potri.014G164700.2.v4.1 pep chromosome:Pop_tri_v4:14:11883082:11892490:-1 gene:Potri.014G164700.v4.1 transcript:Potri.014G164700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164700.v4.1 MSCTGGGAGVFVKFSRLFVKRHRWELVKMSINCKLSGSNGTSQESFKLRKSKEVLHETNSARKWKRKFLLLWFLGVAVTIGSIWLLFSFDSGALGRKGQSLDSCEEGAQVLLRHFNVSKNQLHALGSLFSDSDQVASLDCTKEPGPEMLINDGIACALKVPCSKKQEFQQHIRWVAEDVGPNGKCPVQDENEFRKLDRSLLDESASFVSQSTISSISQDFGKRREVDCAEDHCKLFSFDLVKECWWVLVGMIVSCILLGYNLKFWRKQNQKLVQLEPVPQQRQQLLQMNQHQLSHSPPRGAGKWRKKLLIIFVLLGVLVSIWLFWHLHEKIISRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFGEYTKRTDFERPLTSGVAYALKVPHLERKQFEEQHGWTIKKMGTEDQTLVQDCIPDKLDPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTDLPDATPEQRIDATVGYLGASYDVPSLVEKLLHQLSSKQTIVVNVYDTTNATAPILMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKHKPPLPWPAINASVGLLVITLLVGHIFHAAINRIAKVEEDYREMMELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMETDLDVNQMDYANTAHTSGKDLIALINEVLDQAKIESGRLELEAVPFDLRSVLDNVLSLFSGKSNEKGIELAVYVSDRLPEVVIGDPGRFRQIITNLVGNSIKFTRDKGHVFVSVHLADEVRSPLDARDAVLKQGLELVQDTSSKVYDSLSGFPVVNRWKSWEKFKKSSCIDSRDEPEMIRLLVTVEDTGVGIPEDAQGNIFTPFMQADSSTSRKYGGTGIGLSISKCLVDLMGGEIGFVSECGIGSTFSFIVSFRKGESTSLDTKWQPYDPAVLEVRGGRALVIDERSVRAEVTKYHLQRLGITADVAPSLKSACAYLSSGYCTSIPADLPIVLIDKDAWDKESGIAFHHLLKMPKKNDGTDIQVDLSKIFLLATTISSDERLELKTSGLVDNVLVKPLRLSVLIACFQEAFGSGKKSEVNRKKPPALQNLLRGKQILVVDDNLVNRRVAEGALKKHGAIVTCVESGKAALEKLKPPHSFDACFMDFQMPEMDGFEATRQIRSMESQFNEKIASGKASMELPGNVAYWHTPILAMTADVIQATNEECLKCGMDGYVSKPFEDEKLYNAVTRFF >Potri.014G164700.3.v4.1 pep chromosome:Pop_tri_v4:14:11883081:11891011:-1 gene:Potri.014G164700.v4.1 transcript:Potri.014G164700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G164700.v4.1 MLINDGIACALKVPCSKKQEFQQHIRWVAEDVGPNGKCPVQDENEFRKLDRSLLDESASFVSQSTISSISQDFGKRREVDCAEDHCKLFSFDLVKECWWVLVGMIVSCILLGYNLKFWRKQNQKLVQLEPVPQQRQQLLQMNQHQLSHSPPRGAGKWRKKLLIIFVLLGVLVSIWLFWHLHEKIISRREETLANMCDERARMLQDQFNVSMNHVHALAILVSTFHHGKNPSAIDQKTFGEYTKRTDFERPLTSGVAYALKVPHLERKQFEEQHGWTIKKMGTEDQTLVQDCIPDKLDPAPIQDEYAPVIFSQETVSHIVSIDMMSGKEDRENILRARASGKGVLTSPFKLLKSNHLGVVLTFAVYNTDLPDATPEQRIDATVGYLGASYDVPSLVEKLLHQLSSKQTIVVNVYDTTNATAPILMYGTDVTDTGLLHVSSLDFGDPLRKHEMHCRFKHKPPLPWPAINASVGLLVITLLVGHIFHAAINRIAKVEEDYREMMELKARAEAADVAKSQFLATVSHEIRTPMNGVLGMLQMLMETDLDVNQMDYANTAHTSGKDLIALINEVLDQAKIESGRLELEAVPFDLRSVLDNVLSLFSGKSNEKGIELAVYVSDRLPEVVIGDPGRFRQIITNLVGNSIKFTRDKGHVFVSVHLADEVRSPLDARDAVLKQGLELVQDTSSKVYDSLSGFPVVNRWKSWEKFKKSSCIDSRDEPEMIRLLVTVEDTGVGIPEDAQGNIFTPFMQADSSTSRKYGGTGIGLSISKCLVDLMGGEIGFVSECGIGSTFSFIVSFRKGESTSLDTKWQPYDPAVLEVRGGRALVIDERSVRAEVTKYHLQRLGITADVAPSLKSACAYLSSGYCTSIPADLPIVLIDKDAWDKESGIAFHHLLKMPKKNDGTDIQVDLSKIFLLATTISSDERLELKTSGLVDNVLVKPLRLSVLIACFQEAFGSGKKSEVNRKKPPALQNLLRGKQILVVDDNLVNRRVAEGALKKHGAIVTCVESGKAALEKLKPPHSFDACFMDFQMPEMDGFEATRQIRSMESQFNEKIASGKASMELPGNVAYWHTPILAMTADVIQATNEECLKCGMDGYVSKPFEDEKLYNAVTRFF >Potri.003G032200.1.v4.1 pep chromosome:Pop_tri_v4:3:3625953:3629391:1 gene:Potri.003G032200.v4.1 transcript:Potri.003G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032200.v4.1 MKTISEAGAAATISPTVPTSYKRKQINVNGVDMENKNKQTNGITTDGAANGFYGVDPSFLKWTLRDVVNVAKHHWLPCFLGFGLLFFMAVEYTLRMVPSSSPPFDLGFVVTRRLHGLLSSWPELNTSLAGLNTVFVGMQTAYILWTWLVEGRPRATISALFMFTCRGILGFSTQLPLPEEFLGSGADFPVGNVSFFLFFSGHVAGSLIASLDMRRMQRWELARAFDLLNVLQVIRLLGTRGHYTIDLAVGVGAGVLFDSLAGKYVEWKQRKPIANTVTVKDGRRLF >Potri.014G052500.1.v4.1 pep chromosome:Pop_tri_v4:14:3362924:3366996:1 gene:Potri.014G052500.v4.1 transcript:Potri.014G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G052500.v4.1 MYSYMLVNCSKCQTPLQLPPGAESIRCVICQAVTHVAVHSRHAPPPSNPTTRPPPSTLAPPSPYNHAPPGPPPNQHGRKKAVIVGISYKYSRHELKGCINDAKCMRHLLMSKFQFPQDSILMLTEEETDPYRIPNKQNMRMALFWLVQGCQPGDSLLFHYSGHGSRQRNYNGDEVDGYDETLCPLDFETQGMIVDDEINATIVRPLPHGVRLHAMIDACHSGTVLDLPFLCRMDRNGQYVWEDHRPRSGIWKGTNGGDVISLSGCDDDQTSADTSALSKITSTGAMTFCFIQAIERGHGTTYGSILNSMRTAIRNTGGNNLGGGDVVTSLIGMLLTGGSGGGGGLRQEPQLTSCEPFDVYTRPFSL >Potri.003G020701.1.v4.1 pep chromosome:Pop_tri_v4:3:3311945:3312644:-1 gene:Potri.003G020701.v4.1 transcript:Potri.003G020701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020701.v4.1 MSSLCKQFVLSKAIKHANQASCFLLGPGPRRLPPLRILIQKKWIGTGVRRSVSGSSSLLQGSSTLLNESEATDLKDLVILSYFSIEREERKGEANSTRWFLFIDEIFNES >Potri.004G069200.1.v4.1 pep chromosome:Pop_tri_v4:4:5865985:5870343:-1 gene:Potri.004G069200.v4.1 transcript:Potri.004G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G069200.v4.1 MDHNGRDDDRKKEDKKEEENGLSVERVFENQEVPSWRNQLTLRAFVVSFVLSILFSFIVMKLNLTTGIIPSLNVSAGLLGFFFIKTWTKFVERSGLLKQPFTRQENTVIQTCVVASSGIAFSGGFGSYLFGMSEAVAKQSTEHTDAFKNPSLSWMIGFLFVVSFLGLFSVVPLRKVMIVDFKLTYPSGTATAHLINSFHTPAGAKLAKKQVKVLGKFFSFSFLWGVFQWFYTAGDGCGFASFPSLGLKAYENQFFFDFSATYVGVGMICPYIINVSVLLGGILSWGLMWPLIDTKKGDWYPADLEASSLHGLQGYKVFIAIALILGDGLYNFFKVLSRTLAALFFQLRVRNATSNLPIADRSSPESSKISYDEQQRTRLFLKDQIPTWFSIAGYVAIAAISTATLPHIFHELKWYYILVIYIFAPTLAFCNAYGCGLTDWSLASTYGKLAIFVIGAWAGASHGGVLAGLAACGVMMNIVSTASDLSQDFKTGYLTLSSPRSMFVSQLIGTAMGCIISPSVFWLFFKAFKDLGTTGSQYPAPYATVYRNMAILGVEGFSALPKNCLYLCYGFFGAAILINLIKDALGKKWARFIPNPMAMAIPFYIGSYFAIDMCLGSLILFIWEKIDKVKADAFGPAVASGLICGDGIWTLPSSILALAGVKPPICMKFLSRGTNSKVDAFLGS >Potri.006G044200.1.v4.1 pep chromosome:Pop_tri_v4:6:3015174:3015702:1 gene:Potri.006G044200.v4.1 transcript:Potri.006G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044200.v4.1 MAGLQYYFFPTDFFYPRPPSAAKESVSSPVQRIQPQKGDAEDRDMEQHKAMMVRYKHDDQSNLPQLSASTAIVPSPCIIKSEIRRKRLNNTA >Potri.018G131600.1.v4.1 pep chromosome:Pop_tri_v4:18:14174111:14175916:1 gene:Potri.018G131600.v4.1 transcript:Potri.018G131600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G131600.v4.1 MEGLWLVVMVIFVMALSVESQLKTGFYSSSCPKAEAIVRSTVESYFKKDPTIAAGLLRLHFHDCFVQGCDGSVLIAGRSSAERNALPNLGLRGFEVIDDAKSQIEASCPGVVSCADILALAARDAVDLSDGPSWSVSTGRRDGRVSLSSQVSKYLPSPLDSIAVQKQKFADKGLDDHDLVTLVGAHTLGQTHCQFIRYRLYNFTATGNADPTINQSFLSQLRALCPNNGDGTIPVPLDKDSQTDFDTSFFKNVRDGNGVLESDQRLWDDAATRDVVKKYAGTIRGLLGHRFDIEFRQAMVKMSSIDVKTGTNGEIRKACSKFN >Potri.002G038300.4.v4.1 pep chromosome:Pop_tri_v4:2:2517399:2523432:1 gene:Potri.002G038300.v4.1 transcript:Potri.002G038300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038300.v4.1 MASRKLVRDLFLSRQPLFLRLTSKQVSGRRLQVLSNHGCTYYGYRRFSVFNEFSNKVKGEADRNQEFKQSVKELKEKAEELKGAKEELKVRTKQTTEQLYKHVDGVWTEAEATAKKVSANVKEKISAATEEVKETFGIGKESSESAGTSAKDGAGAEEGTKASTGEEADKQTGTGDTAESFFGKFKSRIPSSNVSSAYQKLKEARVSEMMKKGYDVVKDELYGNTNKRKHLEHTPPPAFSGEISTKTDVVVLPSKQSRWSKKWEAFREKMQGHPLFKRFSGLSEPVVTKGQEIAEDMRERWETSDSPIVIKIQDVSDSIFQESDAAASFKEIRRKDPSFSLMDFVAEVQEAVRPVLNAYIKGDIDTLKKYCTPEVINRCEAEHKAFQAHGIFFDNKILHITDVEVRETKMMGTSPIIIVVFQTQQVHCVRDRHGAITEGGQDTIHTVYYAWAMRQVDAEELGGGAIYPIWKLMEMQQLGVQTLI >Potri.003G102400.6.v4.1 pep chromosome:Pop_tri_v4:3:12692779:12697923:-1 gene:Potri.003G102400.v4.1 transcript:Potri.003G102400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102400.v4.1 MKHKDGKPGSHPDKNSRAFPMAMMFVVLCGLSFYLGGIFCSERDKIEVKDVAKVVSSPKESSVAPLQIKSTAFPECSSDYQDYTPCTDPRRWKKYGNHRLTFMERHCPPVFERKECLVPPPEGYKPPITWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENHWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLTYPDAYPPKCDDSLEPDSAWYTPFRPCVVVPSPRIKKSVMESIPKWPQRLHVTPERILDVHGGSASAFKHDDSKWKIRAKHYKKLLPALGSNKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPAGYAIIRESSYFMDAISTIARGMRWSCRGEDTEYGVEKEKILICQKKLWHSSNQSSR >Potri.003G102400.9.v4.1 pep chromosome:Pop_tri_v4:3:12692866:12697816:-1 gene:Potri.003G102400.v4.1 transcript:Potri.003G102400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102400.v4.1 MKHKDGKPGSHPDKNSRAFPMAMMFVVLCGLSFYLGGIFCSERDKIEVKDVAKVVSSPKESSVAPLQIKSTAFPECSSDYQDYTPCTDPRRWKKYGNHRLTFMERHCPPVFERKECLVPPPEGYKPPITWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENHWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLTYPDAYPPKCDDSLEPDSAWYTPFRPCVVVPSPRIKKSVMESIPKWPQRLHVTPERILDVHGGSASAFKHDDSKWKIRAKHYKKLLPALGSNKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDW >Potri.003G102400.8.v4.1 pep chromosome:Pop_tri_v4:3:12692863:12697899:-1 gene:Potri.003G102400.v4.1 transcript:Potri.003G102400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102400.v4.1 MKHKDGKPGSHPDKNSRAFPMAMMFVVLCGLSFYLGGIFCSERDKIEVKDVAKVVSSPKESSVAPLQIKSTAFPECSSDYQDYTPCTDPRRWKKYGNHRLTFMERHCPPVFERKECLVPPPEGYKPPITWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENHWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLTYPDAYPPKCDDSLEPDSAWYTPFRPCVVVPSPRIKKSVMESIPKWPQRLHVTPERILDVHGGSASAFKHDDSKWKIRAKHYKKLLPALGSNKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPAGYAIIRESSYFMDAISTIARGMRWSCRGEDTEYGVEKEKILICQKKLWHSSNQSSR >Potri.003G102400.4.v4.1 pep chromosome:Pop_tri_v4:3:12692779:12697907:-1 gene:Potri.003G102400.v4.1 transcript:Potri.003G102400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102400.v4.1 MKHKDGKPGSHPDKNSRAFPMAMMFVVLCGLSFYLGGIFCSERDKIEVKDVAKVVSSPKESSVAPLQIKSTAFPECSSDYQDYTPCTDPRRWKKYGNHRLTFMERHCPPVFERKECLVPPPEGYKPPITWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENHWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLTYPDAYPPKCDDSLEPDSAWYTPFRPCVVVPSPRIKKSVMESIPKWPQRLHVTPERILDVHGGSASAFKHDDSKWKIRAKHYKKLLPALGSNKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPAGYAIIRESSYFMDAISTIARGMRWSCRGEDTEYGVEKEKILICQKKLWHSSNQSSR >Potri.003G102400.5.v4.1 pep chromosome:Pop_tri_v4:3:12692861:12697963:-1 gene:Potri.003G102400.v4.1 transcript:Potri.003G102400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102400.v4.1 MKHKDGKPGSHPDKNSRAFPMAMMFVVLCGLSFYLGGIFCSERDKIEVKDVAKVVSSPKESSVAPLQIKSTAFPECSSDYQDYTPCTDPRRWKKYGNHRLTFMERHCPPVFERKECLVPPPEGYKPPITWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENHWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLTYPDAYPPKCDDSLEPDSAWYTPFRPCVVVPSPRIKKSVMESIPKWPQRLHVTPERILDVHGGSASAFKHDDSKWKIRAKHYKKLLPALGSNKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPAGYAIIRESSYFMDAISTIARGMRWSCRGEDTEYGVEKEKILICQKKLWHSSNQSSR >Potri.003G102400.7.v4.1 pep chromosome:Pop_tri_v4:3:12692866:12697923:-1 gene:Potri.003G102400.v4.1 transcript:Potri.003G102400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102400.v4.1 MKHKDGKPGSHPDKNSRAFPMAMMFVVLCGLSFYLGGIFCSERDKIEVKDVAKVVSSPKESSVAPLQIKSTAFPECSSDYQDYTPCTDPRRWKKYGNHRLTFMERHCPPVFERKECLVPPPEGYKPPITWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENHWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLTYPDAYPPKCDDSLEPDSAWYTPFRPCVVVPSPRIKKSVMESIPKWPQRLHVTPERILDVHGGSASAFKHDDSKWKIRAKHYKKLLPALGSNKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPAGYAIIRESSYFMDAISTIARGMRWSCRGEDTEYGVEKEKILICQKKLWHSSNQSSR >Potri.003G102400.1.v4.1 pep chromosome:Pop_tri_v4:3:12692778:12698012:-1 gene:Potri.003G102400.v4.1 transcript:Potri.003G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102400.v4.1 MKHKDGKPGSHPDKNSRAFPMAMMFVVLCGLSFYLGGIFCSERDKIEVKDVAKVVSSPKESSVAPLQIKSTAFPECSSDYQDYTPCTDPRRWKKYGNHRLTFMERHCPPVFERKECLVPPPEGYKPPITWPKSRDQCWYRNVPYDWINKQKSNQNWLRKEGEKFLFPGGGTMFPRGVGAYVDLMQDLIPEMKDGTIRTAIDTGCGVASWGGDLLDRGILTVSLAPRDNHEAQVQFALERGIPAILGIISTQRLPFPSNAFDMAHCSRCLIPWTEFGGIYLLEVHRILRPGGFWVLSGPPVNYENHWRGWNTTVEEQKSDYEKLQELLTSMCFKLYDKKDDIAVWQKASDNSCYSKLTYPDAYPPKCDDSLEPDSAWYTPFRPCVVVPSPRIKKSVMESIPKWPQRLHVTPERILDVHGGSASAFKHDDSKWKIRAKHYKKLLPALGSNKIRNVMDMNTVYGGFAAAVIDDPLWVMNVVSSYAANTLPVVFDRGLIGTFHDWCEAFSTYPRTYDLLHLDGLFTAESHRCDMKYVLLEMDRILRPAGYAIIRESSYFMDAISTIARGMRWSCRGEDTEYGVEKEKILICQKKLWHSSNQSSR >Potri.004G030400.1.v4.1 pep chromosome:Pop_tri_v4:4:2352888:2355995:-1 gene:Potri.004G030400.v4.1 transcript:Potri.004G030400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030400.v4.1 MAFPINIDGNFSASFHLPSLENELCLRHGKMVKEAGCILSNTAGKDPLEGLVMIDTLQRLGIDYHFREEIEAFLNTQYMNFSSPNHLPLDVCGVALRFRLLRQEGYNVSQEVFNNFKNEEGNFHLIQENDVKGLMALYEASQLSMESEDILDEAGEFSAKLLNHHESEIVANTLKHPYHKSLARFMVKNFLNNIDIRNENIKVFSELAKIDCEIVRSIHQKEILQISNWWKDLGLAKELKFARDQPLKWHMWSMSVLIDPNLSEQRVELTKPISLVYIIDDIFDLYGTLNDLSIFTEAVNEWDLTPANQLPESMKISLMALFDITESISTKILEKHGWNPIESLQKSWKKLCNAFLEEAKWFASGKLPKPEEYLRNGIVSSGVHVVLVHMFFLLGQGINKETVDFVDGFPPIISFTATILRLWDDLGTAKDENQNGHDGSYLECYIREHPNVTVERAREHVSQLICDAWKKLNQECLSRSPFSQSFTNACLNVARMIPLMYSYDDNPGLASLKEHMRSLAAHLESKPF >Potri.004G229000.1.v4.1 pep chromosome:Pop_tri_v4:4:23243892:23247222:1 gene:Potri.004G229000.v4.1 transcript:Potri.004G229000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229000.v4.1 MDMEARRHDTKGESVCVVSEEVTVDWRGKPSNPNKHGGMRAAAFVLGLQAFEIMAIAAVGNNLITYVINEMHFSLSKSANIVTNFVGTIFILALLGGYLSDSYLGCFWTMLIFGFVELSGFILLSVQAHLPQLKPPQCNMLTGGENCIEAKGFKTLIFFVALYMVALGSGCVKPNMIAHGGDQFNQSNPKQSKKLSTYFNAAYFAFSMGELFALTLLVWVQTNSGMDVGFGVSAAVMAMGLISLVSGTLYYRNKAPQGSIFTPIAQVFVAAMFKRKQTCPANPQMLHGSQNSVPSNGLVDPSSDPCRLVHTQRFRFLDKACIKVEDGSNRKESPWRLCSVTQVEQVKILISAIPIFACTIVFNTILAQLQTFSVQQGSAMDTQLTKSFHIPPASLQSIPYIILIFVVPLYETFFVPFARKITGHESGISPLQRIGAGLFFVTFSMVSAAIMEKKRRDAAVDSNKILSIFWITPQFLIFGLSEMLTAVGLIEFFYKQSLKGMQAFLTAITYCSYSFGFYLSSLLVSLVNKVTSSSSTNKGWLSDNDLNKDRLDLFYWMLAVLGFLNFLNYLFWARWYSYSPTSVSITTQQGTHGDDYGFTNSSKHVGDESIP >Potri.006G236700.1.v4.1 pep chromosome:Pop_tri_v4:6:23892357:23893386:-1 gene:Potri.006G236700.v4.1 transcript:Potri.006G236700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G236700.v4.1 MEAGPPLTAKKVCNMLRLIFLMMQKGMLKSKLMLDLHFLMKRGKILRKALNDIMVQQHNTLSCISHDVNMSFISPREYEFSCSGSPAYKFYSYKQPYYQAKRRKLHAHYKHTRVHAPSLGGDDVASSSCGGDVAVEASPLVGSAGWFGTWSPMVRQVRITDSPFTMRDADEDCQVDKEAEEFIEMFYKELRLQKGMAAR >Potri.003G169300.2.v4.1 pep chromosome:Pop_tri_v4:3:17791749:17797986:1 gene:Potri.003G169300.v4.1 transcript:Potri.003G169300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169300.v4.1 MEIVKPQWKKPPPSANDVVSTLPLYRSAPPLEVRLEDFELYAIDRLRVLKGVSDGLSRGKRPEEMEKLVNDLWKANMRHPLPSEVTNKDIISHFVLRLVYCRTEELRKWFLSNEIALFRYRFRLLSPEAQRLLMAEFDLPYKPVTTAEFEGVKEKLHLVARSTGQLKPTASDAIFYKVPFEEVPELVAGRRVFICKGYAYVAMNQVVSLVVTQFRGLLSKALVLTNRKWTSTIREQEKDRLTPIVETLCTSYLGPDYSQPKEFAEVSIKDIDQVAKSSFPLCMRHLFEKLREDHHLKHGGRMQLGLFLKGVGLKLDDALAFWKAEFSQKVGAERFDKEYAYSIRHNYGREGKRTDYTPYSCQKIISSTPGVGDHHGCPYRHFSEENLRAALSRMGVNSGEMENVMDKVRNRHYQLACTLTFESIHGSSYDAGINHPNQYFSDSQKFFKSKNNPSGQGEPLDDRSPI >Potri.006G115100.7.v4.1 pep chromosome:Pop_tri_v4:6:8953036:8971568:1 gene:Potri.006G115100.v4.1 transcript:Potri.006G115100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115100.v4.1 MVYCAISGVRFPCLPSVYNTKSQSSFNGDPLCRKGLSFFSKKDPFSLKMLAGKSSYDSDSPNLAVTTSTATTTTTPTPEKVLVPPDGNASEDPLVPHDVECLTMEDNQIVEDKEKQETSTPLSESIIIGKTEAKSRSIPPPGSGQRIYEIDPSLTGFRQHLDYRYSQYKRIREEIDKYEGGLEVFSRGYEKLGFIRSETGITYREWAPGAKWAALIGDFNNWNPNADVMTQNEFGVWEVFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYIFKHPQPKRPESLRIYEAHVGMSSTEPLINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNYFAPCSRCGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNTLDGLNMFDGTDNHYFHSGSRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQMTFTGNYNEYFGYATDIDAVVYLMVVNDMIHGLFPDAVSIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIELLQKKDEDWRMGDIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPLVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPTGKIIPGNNNSFDKCRRRFDLGDAEYLRYHGMQEFDRAMQHLEEIYGFMTSEHQYISRKNEGDRVIVFERGNLVFVFNFHWTNSYSDYRVGCLKPGKYKIVLDSDDPLFGGFKRLDKDAEYFSSEGWYDDRPRSFLVYAPSRTAVVYALVEDELEPAERLILISTKPFSI >Potri.006G115100.1.v4.1 pep chromosome:Pop_tri_v4:6:8953036:8971541:1 gene:Potri.006G115100.v4.1 transcript:Potri.006G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115100.v4.1 MVYCAISGVRFPCLPSVYNTKSQSSFNGDPLCRKGLSFFSKKDPFSLKMLAGKSSYDSDSPNLAVTTSTATTTTTPTPEKVLVPPDGNASEDPLVPHDVECLTMEDNQIVEDKEKQETSTPLSESIIIGKTEAKSRSIPPPGSGQRIYEIDPSLTGFRQHLDYRYSQYKRIREEIDKYEGGLEVFSRGYEKLGFIRSETGITYREWAPGAKWAALIGDFNNWNPNADVMTQNEFGVWEVFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYIFKHPQPKRPESLRIYEAHVGMSSTEPLINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNYFAPCSRCGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNTLDGLNMFDGTDNHYFHSGSRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQMTFTGNYNEYFGYATDIDAVVYLMVVNDMIHGLFPDAVSIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIELLQKKDEDWRMGDIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPLVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPTGKIIPGNNNSFDKCRRRFDLGDAEYLRYHGMQEFDRAMQHLEEIYGFMTSEHQYISRKNEGDRVIVFERGNLVFVFNFHWTNSYSDYRVGCLKPGKYKIVLDSDDPLFGGFKRLDKDAEYFSSEGWYDDRPRSFLVYAPSRTAVVYALVEDELEPAESENEPAEG >Potri.006G115100.3.v4.1 pep chromosome:Pop_tri_v4:6:8953036:8971534:1 gene:Potri.006G115100.v4.1 transcript:Potri.006G115100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G115100.v4.1 MVYCAISGVRFPCLPSVYNTKSQSSFNGDPLCRKGLSFFSKKDPFSCNSPFYFSAKVKMLAGKSSYDSDSPNLAVTTSTATTTTTPTPEKVLVPPDGNASEDPLVPHDVECLTMEDNQIVEDKEKQETSTPLSESIIIGKTEAKSRSIPPPGSGQRIYEIDPSLTGFRQHLDYRYSQYKRIREEIDKYEGGLEVFSRGYEKLGFIRSETGITYREWAPGAKWAALIGDFNNWNPNADVMTQNEFGVWEVFLPNNADGSPPIPHGSRVKIRMDTPSGIKDSIPAWIKFSVQAPGEIPYNGIYYDPPEEEKYIFKHPQPKRPESLRIYEAHVGMSSTEPLINTYANFRDDVLPRIKKLGYNAVQIMAIQEHSYYASFGYHVTNYFAPCSRCGTPDDLKSLIDRAHELGLLVLMDIVHSHASNNTLDGLNMFDGTDNHYFHSGSRGHHWMWDSRLFNYGSWEVLRFLLSNARWWLDEYKFDGFRFDGVTSMMYTHHGLQMTFTGNYNEYFGYATDIDAVVYLMVVNDMIHGLFPDAVSIGEDVSGMPTFCIPVQDGGVGFDYRLHMAIADKWIELLQKKDEDWRMGDIVHTLTNRRWLEKCVSYAESHDQALVGDKTIAFWLMDKDMYDFMALDRPSTPLVDRGIALHKMIRLITMGLGGEGYLNFMGNEFGHPEWIDFPRGDQRLPTGKIIPGNNNSFDKCRRRFDLGDAEYLRYHGMQEFDRAMQHLEEIYGFMTSEHQYISRKNEGDRVIVFERGNLVFVFNFHWTNSYSDYRVGCLKPGKYKIVLDSDDPLFGGFKRLDKDAEYFSSEGWYDDRPRSFLVYAPSRTAVVYALVEDELEPAESENEPAEG >Potri.002G095100.1.v4.1 pep chromosome:Pop_tri_v4:2:6953783:6958094:-1 gene:Potri.002G095100.v4.1 transcript:Potri.002G095100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G095100.v4.1 MASAILSNLSSAFLPSQLSKSSRALFTPHCTPTFKRTSFAAKIRASSTAFVETRPTDPVVVEKDVSSSKNILACPVCYEPVTLIGANVLSVDSARGSSLQCSTCKKTYSGKETHLELTVASGSKAYDDAMPMATEFFRTPFISFLYERGWRQNFVWGGFPGPEKEFEMMKDYLKPVLGGNILDASCGSGLFSRLFAKSGLFSLVTALDYSENMLKQCYEFIKQEENFPKENLILVRADIARLPFVSGSLDAVHAGAAIHCWPSPSAAVAEVSRVLRPGGVFVATTYILDGPFSFIPFLKPISQRFTQASGNNFFLSERELEAVCRACGLVNFTCTRNRQFIMFSATKPS >Potri.016G013100.3.v4.1 pep chromosome:Pop_tri_v4:16:700319:702199:-1 gene:Potri.016G013100.v4.1 transcript:Potri.016G013100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013100.v4.1 MAEDLVLDTAIRDWVLIPLTVVMILIGILRYFVSKLMQSQQTPDAKIVKEGQVVVRARNLRAGANFIPAKSFRARRAYFSNEENGLLHVPKGQGQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMGGFGFDPTKVQT >Potri.016G013100.1.v4.1 pep chromosome:Pop_tri_v4:16:697349:702385:-1 gene:Potri.016G013100.v4.1 transcript:Potri.016G013100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G013100.v4.1 MAEDLVLDTAIRDWVLIPLTVVMILIGILRYFVSKLMQSQQTPDAKIVKEGQVVVRARNLRAGANFIPAKSFRARRAYFSNEENGLLHVPKGQGQNPQAQMFSDPNMAMDMMKKNLSMIIPQTLTFAWVNFFFSGFVAAKIPFPLTQRFRSMLQNGIDLSTVDVSYVSSRSWYFLNLFGLRGLFSLILGEENAMDDTQRMMQMGGFGFDPTKNLSAEKDGLDIIQHEWALPKFEQRAEAVLKKLVS >Potri.010G239900.6.v4.1 pep chromosome:Pop_tri_v4:10:21839877:21843256:-1 gene:Potri.010G239900.v4.1 transcript:Potri.010G239900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239900.v4.1 MSSQKGLIYSFVAKGNVVLAEHTSYSGNFSTIAVQCLQKLPSNSSKYTYSSDGHTFNFLIDNGFVFLVVADESVGRGVSFVFLERVKDDFNQRYGASIKNEAHPLADDDDDDDLFEDRFSIAYNLDREFGPRLKEHMQYCVNHPEEISKLSKLKAQITEVKGIMMDNIDKVLDRGERIELLVDKTDNLSFQADSFQRQGRELRRKMWLQNLKVKLVLGGTVLALIVIVWISVCGGFKC >Potri.012G054400.5.v4.1 pep chromosome:Pop_tri_v4:12:5185403:5190646:-1 gene:Potri.012G054400.v4.1 transcript:Potri.012G054400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054400.v4.1 MTLRTPGTPASKIDRTPATTPGGPKAKEEKIVVTVRLRPLNKKEQLAKDQIAWDCVDDHTIVFKPPPQERAAQPASFIFDKVFGPSSITEAVYEDGVKNVALSALMGINATIFAYGQTSSGKTYTMRGITDKAVNDIYKHIMNTPERDFTIRISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETASNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIESTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNACTAIICTLSPALTHVEQSRNTLYFATRAKEVTNNAHVNMVVSDKQLVKHLQKEVARLEAELRTPDPSREKDFKIRQMEMEMEELRRQRDLAQSEVDELRRKLQEDRQVSSTLESPRPLVKKCLSYSDASLPNLDIKESSHCDRTRKTLLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIRDMRTIQPVPKEVEIGSVVAPNKSVNANLKDEITRLHSQGSTFADLEEQLENVQKSIDKLVMSLPNNNPQSNCEAASKAKNQQKKKRILPLASSNGTNRQNFIRSPCSPLSTSRQVLESEIENRAPNNDDIVVSETMSESEKETPTKIEEGGEISSKEGTPGGYRRSSSVNMKKMQKMFQNAAEENVRSIRTYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYTIEEEENINEPEQPQVSWHVTFREQRQLIIELWDMCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLTWLQQHLAELGNASPAHFGDEPTISLSSSIRALKREKEFLAKRLTSRLTAEERDELYIKWNVPLDGKQRRLQFVNKLWTDPHDAKHIQESADIVAKLVGFCEGGKMSKEMFELNFALPTDKRPWITGWNQISNILHL >Potri.012G054400.3.v4.1 pep chromosome:Pop_tri_v4:12:5185411:5190642:-1 gene:Potri.012G054400.v4.1 transcript:Potri.012G054400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054400.v4.1 MTLRTPGTPASKIDRTPATTPGGPKAKEEKIVVTVRLRPLNKKEQLAKDQIAWDCVDDHTIVFKPPPQERAAQPASFIFDKVFGPSSITEAVYEDGVKNVALSALMGINATIFAYGQTSSGKTYTMRGITDKAVNDIYKHIMNTPERDFTIRISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETASNDQHLRHLISICEAQRQVGETALNDTSSRSHQIIRLTIESTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNACTAIICTLSPALTHVEQSRNTLYFATRAKEVTNNAHVNMVVSDKQLVKHLQKEVARLEAELRTPDPSREKDFKIRQMEMEMEELRRQRDLAQSEVDELRRKLQEDRQVSSTLESPRPLVKKCLSYSDASLPNLDIKESSHCDRTRKTLLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIRDMRTIQPVPKEVEIGSVVAPNKSVNANLKDEITRLHSQGSTFADLEEQLENVQKSIDKLVMSLPNNNPQSNCEAASKAKNQQKKKRILPLASSNGTNRQNFIRSPCSPLSTSRQVLESEIENRAPNNDDIVVSETMSESEKETPTKIEEGGEISSKEGTPGGYRRSSSVNMKKMQKMFQNAAEENVRSIRTYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYTIEEEENINEPEQPQVSWHVTFREQRQLIIELWDMCYVSIIHRTQFYLLFKGDPADQIYMEVELRRLTWLQQHLAELGNASPAHFGDEPTISLSSSIRALKREKEFLAKRLTSRLTAEERDELYIKWNVPLDGKQRRLQFVNKLWTDPHDAKHIQESADIVAKLVGFCEGGKMSKEMFELNFALPTDKRPWITGWNQISNILHL >Potri.013G025700.2.v4.1 pep chromosome:Pop_tri_v4:13:1639673:1642226:1 gene:Potri.013G025700.v4.1 transcript:Potri.013G025700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G025700.v4.1 MEPSTTGEPAKSHGNHTNKHHSLHSQLHDHHHGILSSTSILIIIISAISVVLVLTIFLIIAMLRRLKSSKNRGSCRDLSSCNTSKFVAHTNISFTSSPDVNGGCLYGSNLGHKPPSKHKGVQVFTYKELEIATNKFSASNVIGNGGYGVVYRGTLSDGTVAAIKMLHREGKQGERAFRVEVDLLSRLHSPYLVELLGYCADQNHRLLIFEFMHNGSLQHHLHHKQYRPLEWGTRLRIALGCARALEFLHEHTIPAVIHRDLKCSNILLDQDFRAKVSDFGLAKMGSDRINGQNSTRVLGTTGYLAPEYASTGKLTTKSDVYSYGVVLLQILTGRIPIDTKRPSGEHVLVSWALPRLTNRDKVMEMVDPALQGQYLMKDLIQVAAIAAVCVQPEADYRPLMTDVVQSLVPLVKNLSSVSSTGSSRFMNQSSPRPM >Potri.003G123700.3.v4.1 pep chromosome:Pop_tri_v4:3:14398765:14402482:-1 gene:Potri.003G123700.v4.1 transcript:Potri.003G123700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G123700.v4.1 MAGQTILVTGGAGFIGTHTVVQLLKEGFKVSIIDNLDNSVTEAVDRVKEVVGPQLSKNLEFNLGDLRNKDDLEKLFSRTKFDAVIHFAGLKAVGESVANPRRYFDNNLVGTINLYEVMAKYNCKKMVFSSSATVYGQPEKIPCVEDFNLMAMNPYGRTKLFLEEIARDIQKAEPEWSIILLRYFNPVGAHESGKLGEDPRGIPNNLMPYIQQVAVGRLPELNVYGHDYPTKDGSAVRDYIHVMDLADGHVAALRKISTSKDIGCIAYNLGTGCGTSVLEMVTAFEKASGKKIHVKLCPRRPGDATAVYASTEKAERELGWKAKYGVEEMCRDQWKWASNNPWGYQSKPEK >Potri.004G132300.1.v4.1 pep chromosome:Pop_tri_v4:4:15203805:15210545:-1 gene:Potri.004G132300.v4.1 transcript:Potri.004G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G132300.v4.1 MSKSLPYSMKDVHYDNAKFRQRSFVKVITQSLLTSNAKHDCLSCSTGKFLALLMIFGLACLMFTHKSTPHSVSQGQAEGIKVNKEVKGISDSGGRFQRFWRKPPRLPPQLPPDEKGSIDNLPHELQKLNTDSKWVDRQQRVQKAFIHAWSGYKMYAMGYDELMPLSQQGVDGLGGLGATVVDALDTALIMGADEVVSEAGLWIETHLSDRISKKGQVNLFETTIRVLGGLLSAYHLSGGEQGTNLTHKGPKPTVYLETARNLADRLLLAFTSSPTSIPFSDVVLHDPSAHRAPDGLSSTAEVSTVQLEFNYLSTVSGDPRYSIEAMKVLEHIKDLPKVEGLVPIYISPDSGEFSGENIRLGSRGDSYYEYLIKVWLQQGRNRDSNFTYLYDMYEEAMKGVRHLLVQKSIPNGLVFVGELPYGPKGSFSPKMDHLVCFLPGTLALGATKGLTKEKAMKENLLKFEDLENLKLAEDLAKTCFEMYSVTSTGLAPEIAYFHTEEYSEHGLDGGNKNSKFVNDIIIKHADRHNLLRPETVESLFILYRITEDPKYQEWGWQIFEAFEKYTKVDSGGYSSLEDVTVLPPRRRDKMETFFLGETLKYFYLLFGDRSVIPLDKYVFNTEAHPLPIKGS >Potri.009G161500.1.v4.1 pep chromosome:Pop_tri_v4:9:12474477:12477878:1 gene:Potri.009G161500.v4.1 transcript:Potri.009G161500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G161500.v4.1 MEDSKEIAIREVWSCNLESEFELISELIVEFPYISMDTEFPGVVFRPPVDPTNNRNYFRQLKPSDHYKILKSNVDALNLIQVGLTLSDAEGNLPDLGTGNRFIWEFNFRDFDVERDAHAPDSIELLRRQGIDFGRNREEGVDSARFAELMMSSGLVCNESVSWVTFHSAYDFGYLVKILTGRDLPSGLVEFLRVLRVFFGNKIYDVKHMMRFCKSLYGGLDRVARTLDVNRAVGKCHQAGSDSLLTWHAFQKMRDVFFVKDGPEQHAGVLYGLEVLC >Potri.018G089100.3.v4.1 pep chromosome:Pop_tri_v4:18:10796364:10807866:-1 gene:Potri.018G089100.v4.1 transcript:Potri.018G089100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G089100.v4.1 MESRRKALWVLSVVLLVSNWQHWTYGKAVPQVPCYFVFGDSLFDNGNNNYLSTPAKVNYLPYGIDFDTGASGRCSNGLNIADTIAEQLGFDSYISDFGVGGCTNFLDGVNYGSNGAGILDSTGSLAGELFTMNIQLYNHNITVSRIAKILGSEEVARKHTDAVFTYINSYEIDSDDQTNTGFTQTRKSCCEVEPGSVPCKSLSFPCSNRSDYVYWDGAHFSTEAKAWAFGKRAYKRQSPKDAYPYDISELVKLKLDDSDA >Potri.006G082900.3.v4.1 pep chromosome:Pop_tri_v4:6:6146217:6151850:-1 gene:Potri.006G082900.v4.1 transcript:Potri.006G082900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082900.v4.1 MEDGKGCVFVRGLEEEAVYSANEIYTLLERGAAKRRTADTLLNKRSSRSHSVFSITIHVKEAAVGEEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSPHIPYRDSKLTRLLRDSLGGRTKTCIVATISPSAHALEETLSTLDYAYRAKSIKNKPEANQRVSKAVLLKDLYLEIEKLKEDVRAAREKNGVYVPHEKFAQEEAEKKLRIEKIEQLENELSLRENQADRYNELYLTEKEKKIDVERELMDCKMKLEKSNNERLDLEENLRVTISTLKEKEIIISKLLSSEHSLIEHAKELCVGLQTASEDITSLFGRLDQKDMMEVENRNMVLTFGSHLDQSLIDLHKTILGSVSEQRQHLRCMEEHVESFLASKTDATEVLETRIKKMSETHASGVVALNELANTMQNKASSDLQQINTIISSQMRTVEQFLATMLYEAKEVIEEIHSSLDDQTQLLALSTQQQEKGLQRSFASAQAVSKATVDFYNDLHLRASEIMAFLEESQIKQSDQLSNFETIFKEKAAREEKEALENIAVILASLTSKKTAMVSEVSSDIYDTRVRENKILQRELSNMQKASSNAKEGLSKYVEKLERHFMEDTFSVAESKAVMENLLQECSEKANDSKKQWENAHSYLNNSKERTVLEIESAVRDRVNKNHVAHQEFLSESSAMKADFDARIGDVMAAVDDSMMRDQETKKEIDSITSLCLGQLKSTQENHGDRISNIRSKAEKCLTKDYLVDQNSGTTPKRRVIAAIPSLESIEERRTVVASESPKEHGNLEKRAKWSPAESKIPRHHAASFNRAPFTDVN >Potri.006G082900.1.v4.1 pep chromosome:Pop_tri_v4:6:6146254:6152871:-1 gene:Potri.006G082900.v4.1 transcript:Potri.006G082900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082900.v4.1 MMSLTPDQSRKVGLGMMPSPIPFLTPRPERRRHESKGHDWNSARQDKDKEVNVQVVLRCRPLSDEEQRTNVSKVIACNEHKREVTVLHSIANKQVDRVFTFDKVFGPKAQQRSIYDQAIAPIVEEVLEGFNCTVFAYGQTGTGKTFTMEGGKRNKGGDLPAEAGVIPRAVRQIFDTLEAQNADYSIKVTFLELYNEEITDLLAQEDPSRNVEDRQKKPISLMEDGKGCVFVRGLEEEAVYSANEIYTLLERGAAKRRTADTLLNKRSSRSHSVFSITIHVKEAAVGEEELIKCGKLNLVDLAGSENISRSGAREGRAREAGEINKSLLTLGRVINALVEHSPHIPYRDSKLTRLLRDSLGGRTKTCIVATISPSAHALEETLSTLDYAYRAKSIKNKPEANQRVSKAVLLKDLYLEIEKLKEDVRAAREKNGVYVPHEKFAQEEAEKKLRIEKIEQLENELSLRENQADRYNELYLTEKEKKIDVERELMDCKMKLEKSNNERLDLEENLRVTISTLKEKEIIISKLLSSEHSLIEHAKELCVGLQTASEDITSLFGRLDQKDMMEVENRNMVLTFGSHLDQSLIDLHKTILGSVSEQRQHLRCMEEHVESFLASKTDATEVLETRIKKMSETHASGVVALNELANTMQNKASSDLQQINTIISSQMRTVEQFLATMLYEAKEVIEEIHSSLDDQTQLLALSTQQQEKGLQRSFASAQAVSKATVDFYNDLHLRASEIMAFLEESQIKQSDQLSNFETIFKEKAAREEKEALENIAVILASLTSKKTAMVSEVSSDIYDTRVRENKILQRELSNMQKASSNAKEGLSKYVEKLERHFMEDTFSVAESKAVMENLLQECSEKANDSKKQWENAHSYLNNSKERTVLEIESAVRDRVNKNHVAHQEFLSESSAMKADFDARIGDVMAAVDDSMMRDQETKKEIDSITSLCLGQLKSTQENHGDRISNIRSKAEKCLTKDYLVDQNSGTTPKRRVIAAIPSLESIEERRTVVASESPKEHGNLEKRAKWSPAESKIPRHHAASFNRAPFTDVN >Potri.005G069600.2.v4.1 pep chromosome:Pop_tri_v4:5:4514409:4517689:-1 gene:Potri.005G069600.v4.1 transcript:Potri.005G069600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G069600.v4.1 MGKEKDGFCVVGVLVLFLFLGSSVTSDDSPKRWRKAMISGETMASSMLINRVPSSIVLPLHGNVYPNGYYNVTLNIGQPSKPYFLDVDTGSDLTWLQCDAPCVQCTEAPHPYYRPRNNLVPCMDPICQSLHSNGDHRCENPGQCDYEVEYADGGSSFGVLVRDTFNLNFTSEKRHSPLLALGCGYDQFPGGSHHPIDGVLGLGKGKSSIVSQLSSLGLVRNVIGHCLSGHGGGFLFFGDDLYDSSRVAWTPMSPDAKHYSPGLAELTFDGKTTGFKNLLTTFDSGASYTYLNSQAYQGLISLLKKELSGKPLREALDDQTLPLCWKGRKPFKSIRDVKKYFKTFALSFTNERKSKTELEFPPEAYLIISSKGNACLGILNGTEVGLNDLNVIGDISMQDRVVIYDNEKERIGWAPGNCNRLPKSKSFII >Potri.019G083000.1.v4.1 pep chromosome:Pop_tri_v4:19:12304848:12311488:1 gene:Potri.019G083000.v4.1 transcript:Potri.019G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083000.v4.1 MPMFSTGVETPSRHSPDGPDQSKVCPPPPRDSCDIPSLLLSSCYPITLKFMDVGYRVKFENKNKGSNIKRIFGHGPTISDQFQERTILNGITGMASPGEILAILGPSGSGKSTLLNAIAGRIQANGFTGTVLTNNRKPAKQIMKRIGFVTQDDILYPHLTVRETLVFCSLLRLPKSLSKQDKTLVAESVISELGLTKCGNTIIGNSFIRGISGGERKRVSIAHEMLINPSLLILDEPTSGLDATAAYRLLLTLGTLAQKGKTIVTSMHQPSSRVYQMFDSVLVLSEGRCLYFGKGSEAMAYFESVGYSPSFPMNPADFLLDLANGVCQLDGVSELRDQPNVKQSLIASYNTLLAPKVKAACMETGSISAKENGFIGSHSFKEHRSSDRISISSWFNQFSILLQRSLKERKHESFNTLRISQVIMAAVLAGLMWWHSDFRDIQDRLGLLFFMSIFWGVFPSSNSVFVFPQERAIFVKERASGMYTLSSYFMSRIVGDLPMELILPTIFLSVTYWMAGLKPELGAFLLTLLVLLGYVLVSQGLGLALGAAIMDAKQASTIVTITMLAFVLTGGFYVHKLPPCMAWIKYISTTFYVYKLLINAQYGGGKNLSSLLGCSLPHGSDRASCKFVEQDVAGQISPAISVSALIFMFVGYRLLAYLALRRIKA >Potri.005G100600.1.v4.1 pep chromosome:Pop_tri_v4:5:7277346:7278228:-1 gene:Potri.005G100600.v4.1 transcript:Potri.005G100600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G100600.v4.1 MAHPALHLYVLAILLLALSFKATSTSTSTSTHNRRGLKSLHFTLYQQEAINKTVYLIVKGVTGPDVSPSASPFGSLFVNQDLLTISPNSSSKVVGVAEGASITSSLDGLTNIVMEKITLELKHYKGSVSVLGTAHNIKVIDLPVVGGTGDFMFVQGYIKPSLLTFENPNIVYKIEFHLYWPSYVANHFSHSDRSSTVNGV >Potri.009G126700.1.v4.1 pep chromosome:Pop_tri_v4:9:10483208:10483504:-1 gene:Potri.009G126700.v4.1 transcript:Potri.009G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G126700.v4.1 MAIRLPGLAKQSLRRSFSTANKASSKYLDVPKGFLAVYVGETEKKRFVVPVSYLNQPSFQDLLSKAEDEFGFDHPMGGLTIPCAEETFLHVTSSLSRF >Potri.010G133101.1.v4.1 pep chromosome:Pop_tri_v4:10:14919734:14922027:-1 gene:Potri.010G133101.v4.1 transcript:Potri.010G133101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133101.v4.1 MRSVEESESMTGNSSAPSAIDFPTLCHRLKITKLKGWINHWIKGPESIADHMYSVSLMALIADDCFSSL >Potri.018G012300.1.v4.1 pep chromosome:Pop_tri_v4:18:787850:792523:-1 gene:Potri.018G012300.v4.1 transcript:Potri.018G012300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012300.v4.1 MKKNHSNAVLSFFFFCVKILFTGMGMAENTSIPVNVGVVLDLDSDLDGKIALSCIEMALSDFYATHGDYKTRLVLNTRDSMKDVVGAAAAALDLIKNVEVQAILGPTTSMQANFVIDLGEKARVPIMSFSATSPFLSSIRSTYYFRATLNDSTQVNAISALVQAFGWRQAVPIYIDNEYGEGIIPYLTDALQAVDARVSYRSVISPSATDEQIVEELYKLMGMQTRVFIVHMYGSLGTRLFAKAKEIGMMSEGCVRIMTDGLTADLLSSPNPSVTGTMQGVLGVKPYVPSTKELQDFRVRWKRKFQQDNPYIIDAELNIYGLRGYDAATALALAVEKAGTTNFGFRKANVSSSSSTDLAALGVSFNGPSLLQALSNTSFKGLTGDYHFVDGQLQSPAFRIVNVNGNGGREIGFWTPKEGLVKQFVPSNGTNPNSVSSISSTVIFPGDTTAVPKGWGIPTKEKKLRIGVPVKSSLRQFVDVIRYPSSNTTIVTGFCIDVFDTVVKTLPYDLPYEYVPFAKPDGKSAGTYDDLSYQVYFKKFDAVVGDITILYSRSLIIDYTLPFMESGVSVIVPIEGHNIENAWFFLKPLTWDLWVSSLLFFVFIGFVVWVLEHRINGDFRGPASHQAGTIFWFSFSTMVFAQRERVVSNLSRVVVIIWCFVVLILTQSYTASLSSLLTVQQLKVTDVNELIKKGEYVGYHKDSFILRILLGLGFDKSKLIAYSSPEECLELFSKGSGNGGIAAAFNEVPYIKVFLSKYCSKYTMIDATFNTGGFGFVFPKGSPLVPEISRAILNMIEGDKMKEIQDKWFANQTSCPDSGTSVSSNSLSINSFWGLFLIAGIAALLALIIFIVMFVHQEGRVALRPSDSTTSIWSKIRHLFIIFNQRDFTSHIKVNDRNGIHLPSMGTASQSGNSAHTEIHGYPSSAGCDTIRYSQAPQVTSADQLTNPNQERPVKDNQKSDVNHETPTRTDQRSHAIIHQRTKSY >Potri.003G065900.1.v4.1 pep chromosome:Pop_tri_v4:3:9291488:9292027:1 gene:Potri.003G065900.v4.1 transcript:Potri.003G065900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065900.v4.1 MATDACSSTASQNSSQRVLAMVFALASAVVLSPLYVKRKNDHTRYFNYETNWSSGFVLPMVLAGLIIAIRTTSSSMSARRGSRASFIPSPDPSWVLRIGSSPWGLAGVLVMLMLVLSWQDSVQEFFWR >Potri.015G067300.2.v4.1 pep chromosome:Pop_tri_v4:15:9297958:9307426:1 gene:Potri.015G067300.v4.1 transcript:Potri.015G067300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067300.v4.1 MSREQPSPSPISLNEADAPLNEADARTQTPPVNRSNRPSRACTIRAAARLQQQQLAVIERKQKPKKQEQQQHLDESSVQQNEQCSGGSSKIVTQLVAPPEPAQLPRWSLRSMWELASVLNFLNVFRHLLNITVEFSAEEFETALITPNDTLGDIHMPLLKAIPPITRMALTRDTWITVLCRKLRDWWHWVADGELPLVASHGVEVEVYKTLDPGIRVVILKALCDIRVEQEDIRNYIDNSLKHGIQLSLFRKERFGGDSQGINYWYEDDPMIGQRLYREIRKTEVKLKAKAKGSQIIPNVTYLWETVATNFEEFQDVSEKLYTSKNRTEASLGKKLKNDMLPEIEKVYKRKERLLKKQHRQALLLDNFLSMDGHAPGRSLRDRKPVTYTFDDYDRSINEAIKITKRKPPSPEPFHRREGFAKPEASTNGELSGPSHTSQHGTFSAASPDSLEYDDMDEDHKSEMLDRGNRRRQRPQRYSATEFVEAVSDNEAGFDSDDDIVGEAVYDDEYLRKRKQKRLSSSSEGDEEYQWDDENGEEEEEDEEEDSLSISEDSEEPQKFNKFPGRTRRETKLRSVDEIQSGLRRSRRSTRNQINYRQYELSESETESMKREKSNVSDEHSDASENAEYSAGSQSQDSDGNDDKQGMKVDQPVEGDKVIEQKEQNQPPEQSNSPVQDEVDGVRKRRFLDLNELAPGSGFDDGLNTVMKDEDRNDF >Potri.015G067300.4.v4.1 pep chromosome:Pop_tri_v4:15:9298487:9307260:1 gene:Potri.015G067300.v4.1 transcript:Potri.015G067300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067300.v4.1 MSREQPSPSPISLNEADAPLNEADARTQTPPVNRSNRPSRACTIRAAARLQQQQLAVIERKQKPKKQEQQQHLDESSVQQNEQCSGGSSKIVTQLVAPPEPAQLPRWSLRSMWELASVLNFLNVSFFVSCFTPFLRDFIFIHFLNLMLQVFRHLLNITVEFSAEEFETALITPNDTLGDIHMPLLKAIPPITRMALTRDTWITVLCRKLRDWWHWVADGELPLVASHGVEVEVYKTLDPGIRVVILKALCDIRVEQEDIRNYIDNSLKHGIQLSLFRKERFGGDSQGINYWYEDDPMIGQRLYREIRKTEVKLKAKAKGSQIIPNVTYLWETVATNFEEFQDVSEKLYTSKNRTEASLGKKLKNDMLPEIEKVYKRKERLLKKQHRQALLLDNFLSMDGHAPGRSLRDRKPVTYTFDDYDRSINEAIKITKRKPPSPEPFHRREGFAKPEASTNGELSGPSHTSQHGTFSAASPDSLEYDDMDEDHKSEMLDRGNRRRQRPQRYSATEFVEAVSDNEAGFDSDDDIVGEAVYDDEYLRKRKQKRLSSSSEGDEEYQWDDENGEEEEEDEEEDSLSISEDSEEPQKFNKFPGRTRRETKLRSVDEIQSGLRRSRRSTRNQINYRQYELSESETESMKREKSNVSDEHSDASENAEYSAGSQSQDSDGNDDKQGMKVDQPVEGDKVIEQKEQNQPPEQSNSPVQDEVDGVRKRRFLDLNELAPGSGFDDGLNTVMKDEDRNDF >Potri.005G011200.1.v4.1 pep chromosome:Pop_tri_v4:5:929276:930256:-1 gene:Potri.005G011200.v4.1 transcript:Potri.005G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G011200.v4.1 MSLVKEDIKAKAEVYYGDEMGKEKSQELLREVGLPNGLLPLHDIVECGIVRETGFVWLKQKKSITHKFEKIGKLASYGTEVTAYVEQNKIKKLTGVKTKELLLWVTLSDIYLDDPPTGKITFQTPTGLYRTFPVSAFVVEEKGKAATSAAAAKDVKEEKKDDVKGVNGAVEVKEA >Potri.T044400.6.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:349866:357547:1 gene:Potri.T044400.v4.1 transcript:Potri.T044400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T044400.v4.1 MSGAPAKRSHEEGCHSSSLKFPPHEDTGSYSKLTSGVSNEYHLPYEMGPDVRVAKIPRTESRDVDRRSPLHSMYRMPPSSNESHMDSHFNVAPESRPESRDSKDSRDYRIENRDPRTDAKEMHGEARRDSQSVKNEKDVRFESRGDDNKEVKHDREAHIEPKNDMKIEKDGFGPPSSQVNWKEPKEYHRGKRCLESAGVHVDPWHISRGNSQGPVEIGKEGVSIEERDHAKVHEAVGENKVELKGEDRFKDKDRKRKDLKHREWGDRDKGRSDQRGSMQVGNSSAEGKESVKEEREGERWEWERKDLSKDRERLKEREKDHMKIESGTGAEKERLHNEKESLDGYVRISEQENPALEPKKQKDFDNWKNVDKEAKDKKKEREAGIEGDRPEKGSTMCGKESDDGCADVEIATERERGVFNYGVQQRKRMLRPRGSPQVANCEPRFRSHTQDFEGCQGKSEVSSVIYKVSECMQELIKLWKEYETSQSDKNSESSHKGPTLEIRIPAEHITATNRQVRGGQLWETDIYTNDSDLVAVLMHTGYFRPTASPPPPAIQELCATIRVLPPQDSYISMLRNNVRSRAWGAGIGCSYRVERCCIVKKGGGTIDLEPCLTHTSAVEPTLVPVAV >Potri.004G060800.2.v4.1 pep chromosome:Pop_tri_v4:4:5064515:5065612:1 gene:Potri.004G060800.v4.1 transcript:Potri.004G060800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G060800.v4.1 MVARLQRRAAMGRRLHVLRTLTCSKSVQRKSVIMDALLYIYKLKLKLEAIKRELANLVAIKREYLSLMKQLQLPKKEVKVEKAEQGLLVRVTCEKGGDKLVSILEVFEEMGLVILNARVSSNLFFAMEAIVVADQEQHALHVKSITQAVTKAIERQ >Potri.019G017739.1.v4.1 pep chromosome:Pop_tri_v4:19:281721:282524:-1 gene:Potri.019G017739.v4.1 transcript:Potri.019G017739.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G017739.v4.1 MPERALYCCWSWKRRALLVADACSRCMEVAELLLILTILVSSAVKVFVPLHPIKACAFPSKSHGPLKLSRFSEQGGSHANVVHGSGLEADVAEINGDWDHSLEGDREEDDLEPLFASSFFVRSLQIPGVENEE >Potri.008G115401.1.v4.1 pep chromosome:Pop_tri_v4:8:7355129:7356035:-1 gene:Potri.008G115401.v4.1 transcript:Potri.008G115401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115401.v4.1 MSTEIWDSLERVLEQGSSALSEPSMFGCYLVMDPNIPTSVNSALRYWGCTLQAGVQVSGATGICSSHLNEESLEGAKKNFSPLPFALIPHLSIGYPPERNSIMLNAVRQDAKTLFSVPASHINIMAPPVKFDTAKKSITLFIPGFDKSEIKLYQVCPIPSIFPNVFIIFLKLSEFDLLV >Potri.013G086000.1.v4.1 pep chromosome:Pop_tri_v4:13:7866489:7870616:1 gene:Potri.013G086000.v4.1 transcript:Potri.013G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086000.v4.1 MDNNPAAEYKTLLWVPNLETKSSNGGVGGDNNRGISIAERRAAKCGFKMKAERINTARFRTTSPLTSPLRSPFITIPSGISPTALLDSPIMLSNSHVQPSPTTGTFPLSPLKYESESLLRCGDGERGSNAGGLSFRFRFKHLGNSLPLSENQGNDFDFEATLLMDPSMDFQLPVEFSKQVTPDKCTSDSHTDVNVLDSVIGNANISDMQICSSDLASNPIYVQRETIHGENVASCRLMEEIKRTLPATTIGRSSEDGYNWRKYGQKQVKGSEYPRSYYKCNHANCLVKKKIECAHEGQITEIIYKGSHNHPKPQPSCGAPPGPASSLDGTPEMDQGESVQKNTKSGFKDIKVQSDWRTDGPQRTSSTSAVTELSSTTQIKSLETYESTKTPELSSTLASHDDDGVTQGSSFGADADDESESKRRKIESCLVETNMASRAAIREPRVVVQIESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCSVRKHVERASHDLKYVIITYEGKHNHEVPAARNSSHGNSTGSNFSQTTGNAQLALALARNTNAPNPEAQIQEFAPSFDRKPVFNNDYLRSNLPGNFSNEMNLGSSFVYPMRFPPIQNAMPYDSFGVSNNQLAASHSGSVASLVPDFPRSLSPCLQTAANLSLARVDFSCDGKPVGQVQTFLQGQQSIRPKQEQKDDNIYGASSSIMDNLNAPSSSLSVYHQLLGNFQS >Potri.013G086000.3.v4.1 pep chromosome:Pop_tri_v4:13:7866448:7870548:1 gene:Potri.013G086000.v4.1 transcript:Potri.013G086000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G086000.v4.1 MDPSMDFQLPVEFSKQVTPDKCTSDSHTDVNVLDSVIGNANISDMQICSSDLASNPIYVQRETIHGENVASCRLMEEIKRTLPATTIGRSSEDGYNWRKYGQKQVKGSEYPRSYYKCNHANCLVKKKIECAHEGQITEIIYKGSHNHPKPQPSCGAPPGPASSLDGTPEMDQGESVQKNTKSGFKDIKVQSDWRTDGPQRTSSTSAVTELSSTTQIKSLETYESTKTPELSSTLASHDDDGVTQGSSFGADADDESESKRRKIESCLVETNMASRAAIREPRVVVQIESEVDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSAGCSVRKHVERASHDLKYVIITYEGKHNHEVPAARNSSHGNSTGSNFSQTTGNAQLALALARNTNAPNPEAQIQEFAPSFDRKPVFNNDYLRSNLPGNFSNEMNLGSSFVYPMRFPPIQNAMPYDSFGVSNNQLAASHSGSVASLVPDFPRSLSPCLQTAANLSLARVDFSCDGKPVGQVQTFLQGQQSIRPKQEQKDDNIYGASSSIMDNLNAPSSSLSVYHQLLGNFQS >Potri.004G109800.1.v4.1 pep chromosome:Pop_tri_v4:4:9805689:9807107:1 gene:Potri.004G109800.v4.1 transcript:Potri.004G109800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109800.v4.1 MAPQDSVKILELCQVAPAYNSPESITDFSLPLTFLDIAWFKFPPAQQIIFYELTESSPTFFNLVILPRLKKSLSQTLFHFLPIAGHLVWPENSPKPILLYTPNDAISLTIAESNADLSHLSGNETRQAIESFPYIPELPTSDAKASVIALQITVFPNKGFSISIVCHHGILDGKSATTFIKAWAYICKHLEYDQQPSLPSELTPFLDRGVIKDAYGLEVIFLNQWLALTRPDTKSDSRSLKLVSNMAVSPDVVRATFQLTREDIEILRETMEKVHQEELNPTKQMDYMSTFVLTCAYTVVCMVKARGGDSNRKIYFIFSADCRGRLDPPIPQNYIGNCISSQHIVIKAGVSMEECGVAMIAQRISGMIKGLEKGLFQGAKERLLELASIEPGAEIIGVTGSTRFEDYSWDFGWGRPNKVEFTGNARGGVISLARSREGNGGVEIGLALKRHEMENFVSFFVNNLKNFRQISK >Potri.003G140700.6.v4.1 pep chromosome:Pop_tri_v4:3:15685393:15689251:1 gene:Potri.003G140700.v4.1 transcript:Potri.003G140700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G140700.v4.1 MAIKPLTHDEIANTEKKLDMPLDDIIKMSKNTAKPKKQQRAPIKNQKMFNNPAHEKALKMRRYMDSRPLVRQAALAQRRSNFQRNQFPLTSEAARKAAVARFRNRSFGHNFMANANNARAGGFTVQRRVANGGFAMKSPPRLNQQQQQGDGGAKQRPQTLDSLFANMKEQRMKVLSRRNKVVQHNGGGRQPRVPWARGRF >Potri.011G166601.1.v4.1 pep chromosome:Pop_tri_v4:11:19057746:19057973:-1 gene:Potri.011G166601.v4.1 transcript:Potri.011G166601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166601.v4.1 MSRNLFLEIAFNEIHTGKVFSDDNIEEPTMTFINPYGGEMNEVSESSIQFPHRAGDLYKIIHLVTGVKKPRRKDI >Potri.003G217600.1.v4.1 pep chromosome:Pop_tri_v4:3:21270419:21270876:1 gene:Potri.003G217600.v4.1 transcript:Potri.003G217600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G217600.v4.1 MEHNKEIPTHSSNEINKGSDAKRKGSAGKQGMTEDEGGDINGLAENFIKNFRDRLKIQRDDSMKHYTKIVARGAYHACC >Potri.013G078700.3.v4.1 pep chromosome:Pop_tri_v4:13:6744305:6750116:1 gene:Potri.013G078700.v4.1 transcript:Potri.013G078700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G078700.v4.1 MEQAELTTEQVLKRDIPWETYMMTKLISGTDLQLLRRYDNRPESYRAQLLDDDGPAYVRVFVTILRDIFKEETVEYVLALIDEMLAANPKRARLFHDKSLANDDPYEPFLRLLWKGNWFIQEKSCKILALIVSARPKTQDGLLSNGEASNSKSKITCIDDVLKGLVEWLCAQLKKPSHPSRSIPTAISCLATLLKEPVVRSLFVRLDGVKLLIPSICPASTQQSIQLLYETSLCVWLLSYYEPAIEYLATSRTLPRLVDVVKSSTKEKVVRVVVLTFKNLLSKGAFGAQMVDLGLPQIVQNLKAQAWSDEDLLEALNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPAFWRENITNFEENDFQILRVLITILDTSNDPRALAVACFDLSQFIQHHPAGRVIVTDLKTKERVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQV >Potri.013G078700.2.v4.1 pep chromosome:Pop_tri_v4:13:6744266:6750408:1 gene:Potri.013G078700.v4.1 transcript:Potri.013G078700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G078700.v4.1 MEQAELTTEQVLKRDIPWETYMMTKLISGTDLQLLRRYDNRPESYRAQLLDDDGPAYVRVFVTILRDIFKEETVEYVLALIDEMLAANPKRARLFHDKSLANDDPYEPFLRLLWKGNWFIQEKSCKILALIVSARPKTQDGLLSNGEASNSKSKITCIDDVLKGLVEWLCAQLKKPSHPSRSIPTAISCLATLLKEPVVRSLFVRLDGVKLLIPSICPASTQQSIQLLYETSLCVWLLSYYEPAIEYLATSRTLPRLVDVVKSSTKEKVVRVVVLTFKNLLSKGAFGAQMVDLGLPQIVQNLKAQAWSDEDLLEALNQLEEGLKDNIKKLSSFDKYKQEVLLGHLDWSPMHKDPAFWRENITNFEENDFQILRVLITILDTSNDPRALAVACFDLSQFIQHHPAGRVIVTDLKTKERVMKLMNHENAEVTKNALLCIQRLFLGAKYASFLQV >Potri.004G108680.1.v4.1 pep chromosome:Pop_tri_v4:4:9628629:9629948:1 gene:Potri.004G108680.v4.1 transcript:Potri.004G108680.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108680.v4.1 MRPSTNSTAVGSAHGGFWHWNSPVAYVFVGLAFMLGLITVSLIILACSSGKSLSNSSTSEAEDEKSAKQVEIQVEFEPNIVVIMAGDDNPTYLAKPVSCNCPSEQV >Potri.019G094600.2.v4.1 pep chromosome:Pop_tri_v4:19:13195920:13206402:-1 gene:Potri.019G094600.v4.1 transcript:Potri.019G094600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G094600.v4.1 MDGGQILLSYIKVWVILLLLSATFPNSKLAAKNTEQDKKRKLAAENSGISIDCGADEDYTDRETGISYKTDKDFISTGKNKVVAPEYNLTTLYYGKMVNSLRIFPEGERNCYTLKPIQGKNQNYYVRAFFRYGNYDSKNQTQIKFDLYIGVNYWATVEETFENKYWINYDIIHYSVTDTIYVCLVNTGFGVPFISGLDLLFMNDSSYRSMNGSLLRRVQADLGGEVSLGTIRYPDDVYARIWQLDVSLTDSVSNISTEAITNIDIQGSDNRCRLPVEVLRTAVQPRNGLKSLSYTYTSPYKENFTPEFLVFFHFAEIEQIAGGKLREFTITLNGLKYGLFTLEYLKPLTIGPYKLQDQEGLVRFSIDASSDLPPILNAFEIFELLPLHDSPTNQTDVDAIMAIKEAYKINRGDWQGDPCLPRTTWTGLQCNNDNPPRIISLNLSSSQLSGNIAVSLLNLTSIKSLDLSNNELTGTVPEAFAQLPNLTILYLSRNKLTGAVPYSLKEKSKSRQLQLSLDGNPDLCKLDTCEKKQGSFPVPVIASVISVSVLLLLSIITIFWRLKRGRLNVPLSSSVGLSLSLKSKNQPFTYTEIVSITNNFQTIIGEGGFGKVYLGNLNDGRQVAVKLLSQSSRQGYKEFLAEVKLLIIVHHRNLVSLVGYCNEQENMALVYEYMANGNLKDHLLENSTNMLNWRARLQIAVDAAQGLEYLHNGCKPPIVHRDLKSSNILLTENLQAKIADFGLSKAFANEGDSHVITDPAGTPGYIDPEYRASGNLNKKSDVYSFGILLCELITGQPPLIRGHQGHTHILQWVSPLVERGDIQSIIDPRLQGEFSTNCAWKALEIALSCVPPTSIQRPDMSDILGELKECLAMEMSSEISMRGSVEMSLVLGTDMAPNLR >Potri.007G074000.1.v4.1 pep chromosome:Pop_tri_v4:7:9622511:9623702:-1 gene:Potri.007G074000.v4.1 transcript:Potri.007G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074000.v4.1 MDKIILSSTSTPLSTSSLPPLRTVTSTASLSSPKKLFLLRSTQSQRKLSSSSRRSTSFCKVHKSTTITCGAITEINESEFQNVVLNSDRPVLVEFVATWCGPCRLISPAMESVAQEYGDRLTVVKIDHDANPKLIKEYKVYGLPALVLFKDGKEVPESRREGAITKAKLKEYVDALLESISVA >Potri.016G058700.1.v4.1 pep chromosome:Pop_tri_v4:16:4038293:4042296:1 gene:Potri.016G058700.v4.1 transcript:Potri.016G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058700.v4.1 MLSSDSNEDEDEIQATSVFGASESKDSCQKPEVGMEFSSEEEAYKFYTSYANKIGFRTRKGKVQRLSNGTIRKRFLFCSKQGFRLKKQADKITKYKRKETRTGCDAKIQFSVENGKWVVSQFSQEHNHHLEDRRHITRSCTKTSEAHLIHTGNNAQMAMDAGAPKCTELCNMVWSTHPKEVQILLNYLRRLQVEDLSLFYAVQLDSDNRLKNLFWRDGRSMVDYDYFGDVLILDTTFRMDKYEMICAPFWGLNHHRQYVMFGCAFLLDESKESFVWLFETFLEAMGRKQPKTIVTDENQVMVDAVKVVLLDAEHLFGVWFIRQKALKHLSAFYSQPDFVNIFNECVSYCQNEEEFESKWDFLLKKFNLCENAWLNNLYLSRERWANVFHKKTFSAGIRWCNDNVNVFQNSTSDTMDLSMFVHQYLKVVETQRSAELNEDMRCRETTKVLSSSAMEKQAANIYTRTIFKIFQEELITCLSVAIEEIASDGTNATFKLTEEGQKESIVEFSCLDSNLACSCRKYESEGILCVHALKVLNARNVFRIPDQYMLKRWTKCAKDSVPEDEHVQKLAGQKQQPMSLLLKKALDVIYKTSAFEDCQKIAMHYLDEASKKVEAALRSKKHRSF >Potri.016G058700.3.v4.1 pep chromosome:Pop_tri_v4:16:4038311:4042378:1 gene:Potri.016G058700.v4.1 transcript:Potri.016G058700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058700.v4.1 MVEAVSGNDPQTSYSAQGSNESLRDNLVSRNQVEAAANLFSKNQDEASTSEWAGNGNGLDFTCDSSVLREGISGLDGDWRQGLDEPSKYTKKRRNTDVSSSSALNSASDLSLSEALSQISKDLGLSSPSLCSGEEEEDKENEEADSPESHGENLQAELALHHLECYGDDMLSSDSNEDEDEIQATSVFGASESKDSCQKPEVGMEFSSEEEAYKFYTSYANKIGFRTRKGKVQRLSNGTIRKRFLFCSKQGFRLKKQADKITKYKRKETRTGCDAKIQFSVENGKWVVSQFSQEHNHHLEDRRHITRSCTKTSEAHLIHTGNNAQMAMDAGAPKCTELCNMVWSTHPKEVQILLNYLRRLQVEDLSLFYAVQLDSDNRLKNLFWRDGRSMVDYDYFGDVLILDTTFRMDKYEMICAPFWGLNHHRQYVMFGCAFLLDESKESFVWLFETFLEAMGRKQPKTIVTDENQVMVDAVKVVLLDAEHLFGVWFIRQKALKHLSAFYSQPDFVNIFNECVSYCQNEEEFESKWDFLLKKFNLCENAWLNNLYLSRERWANVFHKKTFSAGIRWCNDNVNVFQNSTSDTMDLSMFVHQYLKVVETQRSAELNEDMRCRETTKVLSSSAMEKQAANIYTRTIFKIFQEELITCLSVAIEEIASDGTNATFKLTEEGQKESIVEFSCLDSNLACSCRKYESEGILCVHALKVLNARNVFRIPDQYMLKRWTKCAKDSVPEDEHVQKLAGQKQQPMSLLLKKALDVIYKTSAFEDCQKIAMHYLDEASKKVEAALRSKKHRSF >Potri.016G058700.2.v4.1 pep chromosome:Pop_tri_v4:16:4038309:4042297:1 gene:Potri.016G058700.v4.1 transcript:Potri.016G058700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G058700.v4.1 MVEAVSGNDPQTSYSAQGSNESLRDNLVSRNQVEAAANLFSKNQDEASTSEWAGNGNGLDFTCDSSVLREGISGLDGDWRQGLDEPSKYTKKRRNTDVSSSSALNSASDLSLSEALSQISKDLGLSSPSLCSGEEEEDKENEEADSPESHGENLQAELALHHLECYGDDMLSSDSNEDEDEIQATSVFGASESKDSCQKPEVGMEFSSEEEAYKFYTSYANKIGFRTRKGKVQRLSNGTIRKRFLFCSKQGFRLKKQADKITKYKRKETRTGCDAKIQFSVENGKWVVSQFSQEHNHHLEDRRHITRSCTKTSEAHLIHTGNNAQMAMDAGAPKCTELCNMVWSTHPKEVQILLNYLRRLQVEDLSLFYAVQLDSDNRLKNLFWRDGRSMVDYDYFGDVLILDTTFRMDKYEMICAPFWGLNHHRQYVMFGCAFLLDESKESFVWLFETFLEAMGRKQPKTIVTDENQVMVDAVKVVLLDAEHLFGVWFIRQKALKHLSAFYSQPDFVNIFNECVSYCQNEEEFESKWDFLLKKFNLCENAWLNNLYLSRERWANVFHKKTFSAGIRWCNDNVNVFQNSTSDTMDLSMFVHQYLKVVETQRSAELNEDMRCRETTKVLSSSAMEKQAANIYTRTIFKIFQEELITCLSVAIEEIASDGTNATFKLTEEGQKESIVEFSCLDSNLACSCRKYESEGILCVHALKVLNARNVFRIPDQYMLKRWTKCAKDSVPEDEHVQKLAGQKQQPMSLLLKKALDVIYKTSAFEDCQKIAMHYLDEASKKVEAALRSKKHRSF >Potri.017G014600.1.v4.1 pep chromosome:Pop_tri_v4:17:1004537:1008184:1 gene:Potri.017G014600.v4.1 transcript:Potri.017G014600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G014600.v4.1 MASLFKDLTKLSAYRDRRFPGNQEEFEQALQTSTTVYIGNMSFYSTEEQVYELFSRAGEIKKIIMGLDKNSKTPCGFCFVLYYSREDTEDAVKFMSGTILDDRPIRVDFDWGFQEGRQWGRGRSGGQVRDEYRTDYDPGRGGYGKLVQQELEAQRQLVDYGTGSLGSFPPVMPPPHYGRRGGIHNHGGSLRQSGDYHHRKRHREDDRPPRESSKRTSDHESRRNFDPDSRPEKNPRFRESANSDDEEEDDKQQRT >Potri.003G183400.9.v4.1 pep chromosome:Pop_tri_v4:3:18839616:18842648:-1 gene:Potri.003G183400.v4.1 transcript:Potri.003G183400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183400.v4.1 MATTACFIIVSRNDIPIYEAEVGSATKREDAAQMHQFILHAALDIVQDLAWTTSAMYLKAIDRFNDMVVSVYVTAGHTRFMLLHDSRNDDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >Potri.003G183400.10.v4.1 pep chromosome:Pop_tri_v4:3:18839963:18842271:-1 gene:Potri.003G183400.v4.1 transcript:Potri.003G183400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183400.v4.1 MATTACFIIVSRNDIPIYEAEVGSATKREDAAQMHQFILHAALDIVQDLAWTTSAMYLKAIDRFNDMVVSVYVTAGHTRFMLLHDSRNDDGIKSFFQEVHELYIKILLNPLYLPGSRITSSHFDTKVRALARKYL >Potri.018G146200.1.v4.1 pep chromosome:Pop_tri_v4:18:15045638:15046273:-1 gene:Potri.018G146200.v4.1 transcript:Potri.018G146200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146200.v4.1 MASLRFLTFISPLLLITLSLVDNTSRIEARRILETTLPKVPELPKPELPELPQLPKVELPPLPKPEFPELQKPEVPKLPELPPFPHLPELPKSTLPTIPALPKDIKPPQSTTSP >Potri.008G198800.1.v4.1 pep chromosome:Pop_tri_v4:8:14060864:14062956:-1 gene:Potri.008G198800.v4.1 transcript:Potri.008G198800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G198800.v4.1 MAMSGFEGFEKRLELHFFGDDPVMVDNNLGLRLLGFESLEQVLNAVQCTVVSAVANQYFDAYVLSESSLFVYPTKIIIKTCGTTQLLKSIRPLIHYACNLGLTLCSCRYTRGSFIFPKAQPFPHTSFKEEVIYLEETLPTNLCYRKASVMPSKMTTHSWHVFTASDQSHVIPKDIYTLEVCMTELDRVLARKFFQPPGGTKSGDIAGKEMTKITGIGDINPRAMICDFAFDPCGYSMNGVHNDRYSTIHVTPEDGFSYASFECVGSIYDDDIEHLVGSLRKAVQVFRPGTVSVSTTCSSHEVWTRVAHALEPLGLKCRSCAADEFPAAGSIVFQTFTARRK >Potri.016G062400.1.v4.1 pep chromosome:Pop_tri_v4:16:4351738:4355758:-1 gene:Potri.016G062400.v4.1 transcript:Potri.016G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062400.v4.1 MSRLAPLSEEPINEDEEYSTNCSKKKVHSWRNWLKTHFHLVFNKRSDLKVLLSVLGCPLFPVSIHSKSPVNEVSSSAQYIIQHFTAATGCRKLQGKVKNIFATGKVTMAMVDELGGSAMAGVTTAAQKGCFVMWQMAPNKWLIELVVDGHKVVAGSDGNVAWRHTPWLGSHAAKGGVRPLRRALQGLDPMAISAVFSPSQYMGEKCISGMDCFVLKLSADQADLADRSDSTAEMIKHVIFGYFSQKNGLLVYLEDSYLTRIQSPGTYPTYWETTMATKIEDYRAVEGVMIAHGGQSSVMISRFGDNLKEGLSTTRMEETWTIDDLAFDVPGLSMDCFIPPKEVQKDYPEENLDWRSPLQ >Potri.017G048100.2.v4.1 pep chromosome:Pop_tri_v4:17:3421498:3424721:1 gene:Potri.017G048100.v4.1 transcript:Potri.017G048100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G048100.v4.1 MSSSQCLERIHQTWPQEARSKVAAAGFFVVVTDFLYGDPVDLSKPDFDSHGHEDAKLVIAALRSKGMNSIGAAGSCWGEVKIPVAFLGAEIDRASTPEQLKEYLQNLSILDSSLFDLSMSRRDEKAEEDTPASLAFDSHVKILPGVSHGWTVRYNVEDDFHK >Potri.014G003832.1.v4.1 pep chromosome:Pop_tri_v4:14:303406:307914:1 gene:Potri.014G003832.v4.1 transcript:Potri.014G003832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003832.v4.1 MAVELLLTFTMEETLTRVSSIAAEGIRLAWGLEGQLRKLKQSLTMIRDVLQDAARRSVTDDSVKGWLEKLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKEINGALDEIRKDAAVFQLTSLHVDRAQEVSWDPDRETDSFLDSSEVVGREDDVSKVMELLTTLTKHQHVLPVVPIMGMAGLGKTTVAKKVCEVVREKKHFDVTLWVCVSNDFNKVKILGAMLQMIDKTTGGLNSLDAILQNLKKELENKTFFLVLDDVWNDDRDKWDDLKEQLLKINSKNGNAVVVTTRSKKVAGMMETSPGIQHEPGRLSADQCWSIIKQKVSRGGQETIHSDLETIGKEIAKKCGGIPLLAKVLGGTLRQKETQEWQSILNSRIWDSQDGNKALRILRLSFDYLSSPTLKKCFAYCSIFPKDFKIEKEDLVQLWMAEGFLRPSNGRMEDEGNKYFNDLLANSFFQDVDRNECEIVTSCKMHDLVHDLALQVSKSEALSLEEDSAVDGASHIRHLNLISRGDDEAALTAVDARKLRTVFSMVDVFNRSWKFKSLRTLKLQESDITELPDSICKLRHLRYLDVSDTDIRELPESITKLYHLETLRFTDCNSLEKLPQKMRNLVSLRHLHFDDPNMVPAEVRLLTRLQTLPIFVVGPDHKIEELGCLNELRGALKICKLEQVRDREEAEKAKLFGKRMKKLVLEWSDDEGNCCVNSEDALEGLQPHPDIRSLTIKGYCGEYFPSWMSALPLNNLTVLRLKDCSKCRQLPTLGCLPRLKILEMSRMPNVNCIGNEFYSSSGSAAVLFPALKELTLSSMDGLEEWMVPGVEGYQVFPCLEELSIRQCGKLRQLPTLGCLPRLKILEMSEMGTVKCIGNEFYSSSGSAAVLFPTLEKLTLSIMEGLEEWMVPGGEVVAVFPRLEKLSVKRCGKLESILIRRLSSLVEFEIDECEELRYLSGEFHGFTSLRVLRIWSCSKLASIPSVQHCTALVKLGIWRCRELISIPGDFRELKCSLKKLNIYSCKLGALPSGLQCCASLEVLSIIDWRELIHISDLQKLSSLRRLTIQSCEKLSGIDWHGLRQLPSLVYLQITRCRSLSDIPEDDCLGGLTQLEELSIGGFSEEMEAFPTGVLNSIQHLNLSGSLEKLEIWGWDKLKSVPHQLQHLTALERLEISNFDGEEFEEALPEWLANLSSLRSLWIGGCKNLKYLPSSTAIQCLSKLKHLDIHRCRHLSENCRKENGSEWPKISHVPSIYMEGTCVQWNLED >Potri.005G146500.1.v4.1 pep chromosome:Pop_tri_v4:5:12188180:12191904:1 gene:Potri.005G146500.v4.1 transcript:Potri.005G146500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146500.v4.1 MDGSGDNNGDLGYQNRVESVMKCPSSGMNTNPFYVSAWDPVVSLSQLGNFGGSSTGSQSEFSNSPFPIVMENPGISNTCHLVHYPSDSGFVELVPKFPGFGSGNFSEMVGSVGLTECGQIVNAGCPPNYKEANNESTAHGAQREEDQQLSEETTIGALPNGKRRRLVAESNSPFDPNKNAEGEFQKDPSGESSDIAKELDEKKQKIEQNCSANLRGKQVAKQAKDNPQSGEAPKDDYIHVRARRGQATNSHSLAERVRREKISERMRMLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELYNDVEKIQSKDILHSRGGNAAILGFSPGINSHQYSHGIFQPGIPVILNSNPQFSPAHHAVLDNELQSFFQMGFDSSSAVDSLGPNAGHLKPEL >Potri.005G146500.2.v4.1 pep chromosome:Pop_tri_v4:5:12188220:12191906:1 gene:Potri.005G146500.v4.1 transcript:Potri.005G146500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G146500.v4.1 MDGSGDNNGDLGYQNRVESVMKCPSSGMNTNPFYVSAWDPVVSLSQLGNFGGSSTGSQSEFSNSPFPIVMENPGISNTCHLVHYPSDSGFVELVPKFPGFGSGNFSEMVGSVGLTECGQIVNAGCPPNYKEANNESTAHGAQREEDQQLSEETTIGALPNGKRRRLVAESNSPFDPNKNAEGEFQKDPSGESSDIAKELDEKKQKIEQNCSANLRGKQVAKQAKDNPQSGEAPKDDYIHVRARRGQATNSHSLAERVRREKISERMRMLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPELYNDVEKIQSKDILHSRGGNAAILGFSPGINSHQYSHGIFQPGIPVILNSNPQFSPAHHAVLDNELQSFFQMGFDSSSAVDSLGPNGHLKPEL >Potri.018G091700.1.v4.1 pep chromosome:Pop_tri_v4:18:11244641:11250647:-1 gene:Potri.018G091700.v4.1 transcript:Potri.018G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G091700.v4.1 MYGSSQFDGSAAAFMGGGFMPTQTAHPPSDSSSISKNREARCLFPLTVKQISNLASNNESNFIIDGAEVNNVTIVGRVSHKEDKASEYTFLVDDGTGQIECTKWVQESLDTEQMGEILVGMYVRVHGHLRGLQGRRFLNVFSIRPVTDFNEIPGHFIECIYVHFYNTRLQGVTSQPPVANSTSIPLKGYQTAPPYQSSVYSSADGLNNVSQMILNFLQQPAYLNTEGAHYDVIARQLNIPMNKLKEELQMLVDNGLVYTTINDDYYKSTVNA >Potri.001G350400.1.v4.1 pep chromosome:Pop_tri_v4:1:36272820:36278032:-1 gene:Potri.001G350400.v4.1 transcript:Potri.001G350400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350400.v4.1 MPFSSYIGGNARRGNVWPSSLLPTISPTPTRSKHPSRKSRKRTALINFIFTNFFTIALSISLCFLLITILLFGIPKPLLSSPFKSKPPSYYRVRNRKPPQNDNSNGNSSNNFNGKEDGAGGATVDITTKGLYDRIQFLDEDGGPWKQGWRVSYKGDEWNSEKLKVFVVPHSHNDPGWKLTVEEYYDMQSRHILDTIVHTLSKDPRRKFIWEEMSYLERWWRDASVEKRESFTNLVKAGQLEIVGGGWVMNDEANSHYFAIIEQITEGNMWLKDTIGVVPKNSWAIDPFGYSPTMAYLLRRMGFENMLIQRTHYELKKELALQKNLEYTWRQSWDVEESTDIFTHMMPFYSYDIPHTCGPEPAICCEFDFARMHGFNYELCPWGKHPVEISQENVQERALKLLDQYRKKSTLYRTNTLLIPLGDDFRYISIDEAEAQFRNYQMLFDYINSNPSLNAEAKFGTLDDYFRTLREEADRINYSLPGEVGSGQIGGFPSLSGDFFTYADRQQDYWSGYYISRPFFKAVDRVLEQTLRAAEIMMALLHGYCQRAQCEKLATGFAYKMTAARRNLALFQHHDGVTGTAKDHVVQDYGIRMHTSLQDLQIFMSKSIEVLLGIHHEKSDHSPSQFESEQVRSKYDVQPVLKAINAREGTSQSVVLFNPLEQTREEVVMVIVKRPDVTVLDSNWTCVPSQVSPELQHDKSKIFTGRHRLHWKASVPAMGLQTYYVANGFEGCEKAKPAKLKYFSMSNSFSCPAPYACSKIEEGVAEIQNQHQTLTFDVKHGLLQKVTNTDGSMNAVGEEIGMYSSRGSGAYLFKPNGHAQPIIEAGGHMVIFEGLLVQEVYSYPKTTWEKAPISHSTRIYNGDSTMRELLIEKEYHVELLGQDFNDRELIVRYKTDLDNKRIFFSDLNGFQMSRRETYDKIPLQGNYYPMPSLAFMLGSNGKRFSVHSRQSLGVASLKDGWLEIMLDRRLLRDDGRGLGQGVMDNRPMNVIFHLLFESNISSTSDPVSNPLPLSPSLLSHLVGAHLNYPLHAFVAKNPQELSVQPPPRSFSPLAAPLPCDLHMVNFKVPRPSKYSQQLIEDPRFVLILQRRHWDTSYCRKGRSQCTTVANEPLNLFNMFKGLEVLKAKATSLNLLHEDIEMLGYMEQVADVGQEGHVVISPMEIQAYKLVLRPHQ >Potri.015G141900.2.v4.1 pep chromosome:Pop_tri_v4:15:14806923:14809058:1 gene:Potri.015G141900.v4.1 transcript:Potri.015G141900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141900.v4.1 MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAETIKKRRRTTKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKTKDEKKAKKAEVMAKAQKSSKGSLPKGAAPKGPKLGGGGGKR >Potri.006G219750.1.v4.1 pep chromosome:Pop_tri_v4:6:22501300:22502072:-1 gene:Potri.006G219750.v4.1 transcript:Potri.006G219750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G219750.v4.1 MIVLRFNQGGTTLLLFCSRVVEANPCMAIVIPVIGEDVGPQLRNWRSYMDRFFIWTQSPTKVIYWPESRDPKCSKNPALKDSTTFREGQYWIISATHMQELLPKITFQTHGQEETHGRRWRGMAWSTSALTRLVRLCLLLWLVSFRI >Potri.005G255900.1.v4.1 pep chromosome:Pop_tri_v4:5:24684683:24687588:-1 gene:Potri.005G255900.v4.1 transcript:Potri.005G255900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255900.v4.1 MLAMEELLCELSSEGLNDQGLPPGFRFHPTDEELITFYLASKVFNGSFCGVEIAEVDLNRCEPWELPDVAKMGEREWYFFSLRDRKYPTGLRTNRATGAGYWKATGKDREVYSASTGALLGMKKTLVFYKGRAPRGEKTKWVMHEYRLDGDFSYRHTCKDEWVICRIFHKKGEKKDVFLQAEQGYHLWEVYSPANSGSLPPLLDASASLFDQCQSKNKVIDALQNAFLIPEHQENDLKSIPNPLVSQSHVLSINGLQPSFSPTPISINSTATSTNKNTNTDNNNQSPSMLFKSILSYQDCTLKTEASFSHDATFGGVDKITHPHPYLNNPLFFEMDCSSNVLGFSGSASAADTIIHDMSTSIGFSRAGLQMMLDTPIRLPGESWPLDP >Potri.001G167400.1.v4.1 pep chromosome:Pop_tri_v4:1:14262102:14264183:-1 gene:Potri.001G167400.v4.1 transcript:Potri.001G167400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167400.v4.1 MDTDVTMVTAGEASSSSSRKPKRFEIKKWNAVALWAWDIVVDNCAICRNHIMDLCIECQANQASATSEECTVAWGVCNHAFHFHCISRWLKTRQVCPLDNSEWEFQKYGH >Potri.003G171800.1.v4.1 pep chromosome:Pop_tri_v4:3:18027787:18028917:-1 gene:Potri.003G171800.v4.1 transcript:Potri.003G171800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G171800.v4.1 MGPIVLTQLATGLSVLAGAVLVKSVMDQKPMAGPLCPSCNGTGRVACLCSRWSDGDAGCRACSGSGRMACSSCGGTGTGRPIPVQISMRSPNRPPS >Potri.017G005600.1.v4.1 pep chromosome:Pop_tri_v4:17:389158:391011:1 gene:Potri.017G005600.v4.1 transcript:Potri.017G005600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G005600.v4.1 MAGGLPLPMIPHPITLFFIIFFAFSPLAKAAQFSVLSYGATPDGKTDSTKAFAAAWAQACASTQPATISVPKGSFSLGQVRFQGPCNNRAILVRIDGTLVAPSDYKVIGNAKNWLIFEHVNGVTVSGGTLDGQGAGLWSCKNSGKGCPRGATSLEFSNSNNIAITGLASLNSQLFHIVINGCQNVKVQGVKVSAAGNSPNTDGIHVQSSTGVTILNSRIGTGDDCVSIGPGTSSLWIENVACGPGHGISIGSLGKESQEAGVQNVTVKTTTFTGTENGLRIKSWGRPSNGFARDILFQHAVMNNVQNPIVIDQNYCPGEKNCPGQVSGVKISDVTYQDIHGSSATEVAVKFDCSKKYPCTGIKLEDVKLTYKNQPAEASCSNIAGGVASGLVQPTSCL >Potri.013G063001.1.v4.1 pep chromosome:Pop_tri_v4:13:4683383:4684468:1 gene:Potri.013G063001.v4.1 transcript:Potri.013G063001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G063001.v4.1 MEGLKFVLVFVVLALASSFASASDPGPLQDFCVAIKETDGVFVNGKFCKDPEQVTAKDFFFPGLNVPRDTSSAVGSNVTAVNVAQIPGLNTLGISFARIDFAPHGGLNPPHTHPRATEILVVVEGTLYVGFVTSNLANGDNRLITKVLNPGDVFVFPVGLIHFQLNVGKTNAVAFASLSSQNPGVITIAKAVFGADPPINPNVLTKAFQVDKKVVDYLQKQLWTDNNN >Potri.014G125100.2.v4.1 pep chromosome:Pop_tri_v4:14:8406735:8409641:-1 gene:Potri.014G125100.v4.1 transcript:Potri.014G125100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125100.v4.1 MIRLAALGLFLTWRIRHPNREAMWLWGMSITCELWFGVSWILDQLPKLCPVNRVTDLSVLKQRFESPSLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGSLLTFEALAETASFARIWVPFCRKHNIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLTESIRRRSDAYNAHEELRARKNQMEMGGNPSEIVKVPKATWMSDGSHWPGTWTSGEADHSKGDHAGVIQAMLAPPNAEPVFGVEADGENLLDTTEIDIRLPMLVYVSREKRPDYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRTTEHYGWFGRKKIKLFLRKPKAAKKQEDEMALPINGDQNSDDDDADIESLLLPKRFGNSTSLAASIPVAEYQGRLLQDLQETGKQGRPAGSLAVPREPLDAATVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFATRRMKFLQRVAYFNCGMYPFTSMFLIVYCVLPAISLFSGQFIVQSLSVTFLVLLLAITITLCLLAILEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPEDADDEFADLYVVKWSFLMVPPITIMMLNLIAIAVGVARTLYSPFPQWSRLVGGVFFSFWVLSHLYPFAKGLMGRRGRVPTIVYVWSGLLSIIISLLWVYISPPGTQDYMKFQIP >Potri.014G125100.1.v4.1 pep chromosome:Pop_tri_v4:14:8406735:8411184:-1 gene:Potri.014G125100.v4.1 transcript:Potri.014G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G125100.v4.1 MVKRASSPCSSPVTITVSSGGKGGGSRSMGLTSPVPRASISNNPNSPLSNSRNRTPSGGRYCSMSRDDTTEEINSEFVSYTVHIPPTPDHQSFSASQTSLAEDITNAAKPERSFISGTIFTGGFNSVTRGHVVDCSMENNESLKSGLVCGMKGCDEKAIRGKCECGFKICRDCYLDCVGSNGGGHCPGCKEPYKDADDEAEDDDDYDYDEAKSEADDQALPLPKLDKRLSLVKSFKAQSHPPDFDHTRWLFETKGTYGYGNAVWPKDGYGAGSGANGFEPPPDFGERSRRPLTRKVGVSAAILSPYRLLIMIRLAALGLFLTWRIRHPNREAMWLWGMSITCELWFGVSWILDQLPKLCPVNRVTDLSVLKQRFESPSLRNPKGRSDLPGIDVFVSTADPEKEPPLVTANTILSILAVDYPVEKLACYLSDDGGSLLTFEALAETASFARIWVPFCRKHNIEPRNPEAYFGQKRDFLKNKVRLDFVRERRRVKREYDEFKVRINSLTESIRRRSDAYNAHEELRARKNQMEMGGNPSEIVKVPKATWMSDGSHWPGTWTSGEADHSKGDHAGVIQAMLAPPNAEPVFGVEADGENLLDTTEIDIRLPMLVYVSREKRPDYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSLALREGMCFMLDRGGDRICYVQFPQRFEGIDPSDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRTTEHYGWFGRKKIKLFLRKPKAAKKQEDEMALPINGDQNSDDDDADIESLLLPKRFGNSTSLAASIPVAEYQGRLLQDLQETGKQGRPAGSLAVPREPLDAATVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALFATRRMKFLQRVAYFNCGMYPFTSMFLIVYCVLPAISLFSGQFIVQSLSVTFLVLLLAITITLCLLAILEIKWSGITLHDWWRNEQFWLIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSATPEDADDEFADLYVVKWSFLMVPPITIMMLNLIAIAVGVARTLYSPFPQWSRLVGGVFFSFWVLSHLYPFAKGLMGRRGRVPTIVYVWSGLLSIIISLLWVYISPPGTQDYMKFQIP >Potri.004G023100.1.v4.1 pep chromosome:Pop_tri_v4:4:1634915:1636539:1 gene:Potri.004G023100.v4.1 transcript:Potri.004G023100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX26 MDSLKLSSGLIFIQLVLLAFVFNSANAQLKVGFYKDTCPQAEAIVKGVMDQVLKVAPSLSGPLLRLHFHDCFVRGCDASILLNSCAGQAEKDSPPNLSLRGYQVIDRVKAALEKKCPGVVSCADILAIVARDVTAATLGPSWRVETGRRDGRVSNISEPITNLPPFFANISQLLTQFRSKNLSKKDLVVLSGAHTLGTSHCSSFDSRLYNFTGKGDTDPTLDSEYIARLKKICKAGDQITLVEMDPGGVRTFDNSYYKLVANRRALFHSDAALLDNNYTKAYVKLQSVESDGSTFFKDFGVSMRKMGRVEVLTGKAGEIRKVCSKVN >Potri.006G151800.2.v4.1 pep chromosome:Pop_tri_v4:6:13294009:13301466:-1 gene:Potri.006G151800.v4.1 transcript:Potri.006G151800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G151800.v4.1 MEAAAAAPHVPKKRGRKPKPKEDQQQQQQSAGPKMKEGKKAHQHSVDDKYTQWKSLVPVLYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKSRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVEAQPNRHAVLGATNSRPDLILTGHLDNAEFALAMCPTEPYVLSGGKDKSVVLWSIQDHITSSATDPATKSPGSGGSIIKKAGDGNDKATDGPSVGPRGIYQGHEDTVEDVAFCPSSAQEFCSVGDDSCLILWDARDGTNPAIKVEKAHNADLHCVDWNPHDDNLILTGSADTSVCMFDRRNLTSNGVGSPVYKFEGHNAAVLCVQWSPDKASVFGSSAEDGLLNIWDYEKVGKRSERLTRALNSPAGLFFQHAGHRDKVVDFHWNASDPWTLVSVSDDCDTTGGGGTLQIWRMSDLIYRPEDEVLAELEKFKSHVVSCASKP >Potri.001G393000.1.v4.1 pep chromosome:Pop_tri_v4:1:41649228:41651701:1 gene:Potri.001G393000.v4.1 transcript:Potri.001G393000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393000.v4.1 MERKLKIGTIHPDLGIGGAERLIVDAAVELASLGHNVHVLTAHHDKNRCLEETVAGKLRLFAYLRCIFVALCVLFLWPSFDVILAHRVVFYCHFPDLLLAQHTTVLWRLYRKPIDFIEEITTGMADMILVNSKFTASMFTNTFKHLHAREIQPAVLYPAVNMDQFDVPHSYNSSESYSYLFHVEKNIELTVSAFARLHTLAEHALQSQSLTEATLTIAGGYDNRLRENVEYLMEPRRLAERERVSHRVNFITSCSTAERNKLLSQCLMNTLEAVAAHKPVIACNSGGPVETVKDGETGFLCNPTPEEFSLAMAKLIQDPQMASRMGGRGPEACC >Potri.011G090100.1.v4.1 pep chromosome:Pop_tri_v4:11:11559553:11563681:1 gene:Potri.011G090100.v4.1 transcript:Potri.011G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G090100.v4.1 MKITGKPLSTAKLDLLDLKPRRKTRNPSLIRLRRPPETPLLRWKIQEDKHKDNNDRNRNVGVEEEDSGRHRSFRLRGHVSARKLAAGLWRLQLPHTVREERWRDQLGFQHGMGHLGIAVVPHHNDKVYVPDANNLLGNSTGARNRFLCKPGPTFQFSNSAMEGATKWNPVCLERSDELQQSHSQLKCLDQQVSAVSVVSALEAELEQARARIQELEIERQSSKKKVEHFLKKVSEERAAWRSREHEKIRASFSDIKADLSHERKNRRSLEIVNSKLVNDLANAKVSAKRYMQDCEKERKARELIEEVCDELAKEIGEDKAEVEALKRESLKLREEVDEERRMLQMAEVWREERVQMKLVDAKVALEEKYSYMNNLVADLEVFLRSRSATQGLKEMKEAESVIQAAASVHIQDIKEFTYEPPNQDDIFSIFEDVNFGETNDRESEPCIAYSPANHGAKIYTVSPEVDVINKDGNHGHSEAFVHQNGDIEEDESGWETVSHLEDQGSSYSLDGSIPSVNKNCRESNVSGSATEWEENACDETPITDISELCSMPRRQLKASSITRFWRSCPNNGDSYKIISVDGLNSRLSNGRKSNAGIMSPDKVSGESGISPPDLVGQWSSPDSVNPHMTRGMKGCIDWPRGHKNSLKAKLLEARMESQRVQLRHVLKQKI >Potri.007G107900.1.v4.1 pep chromosome:Pop_tri_v4:7:13035799:13040389:-1 gene:Potri.007G107900.v4.1 transcript:Potri.007G107900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107900.v4.1 MKNKNPRKMGGPPGKNKRGSSKFSMNRDPFFSSSKRRKKDEDEVIESEEEELDGGMLNGGGESGEEEEEEEEELEPEETAEEKRQRIAKELVENLRKREKELEDEEDEEGERVFEKEGERDSFVAKKLMQQQLEDSGRLRRAIASRVQKPESVDGFEILVKHRQSVTAICLSDDDSKGFSASKDGTILHWDVDSGKAERYQWPNEEILRSHGSKNPEGRATKHSRNVLALSVSTDGRYLASGGLDRHVHLWDTRTREHIQAFPGHKGPVSCLTFRQGSSELFSGSFDRSIKIWNVEDRAYMNTLFGHQSEVLAIDCLWQERVLAVGRDRSMQLFKVHDESRLIFRSSTSSLECCCFIDNSEFLSGSDDGNIALWGVQKKKPVYIVKNAHALSTDVNNGELKDRGRNYNGHIASSWVSSVGVCRGSDLAASGAGNGSVHLWAIEGAGKGIRPLYDLPLIGFVNSLAFAKSGKFLVAGVGQEPRLGRWGHNSAARNGVAIQRLKLL >Potri.008G177400.2.v4.1 pep chromosome:Pop_tri_v4:8:12258850:12263215:1 gene:Potri.008G177400.v4.1 transcript:Potri.008G177400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177400.v4.1 MRFFPTRKMPRPETALGIPGDGRCLFRSVVHGACLRTGKPSPSESLEKELADELRDKVADEFIKRRRETEWFIEDDFDTYVVKMRQPHVWGGEPELLMSSHVLKMPITVYMRDRSSGSLKIIAEYGQEYGNENPVRVLYHGYGHYDALPGLIGGAQSKQFKKR >Potri.008G177400.4.v4.1 pep chromosome:Pop_tri_v4:8:12259361:12262504:1 gene:Potri.008G177400.v4.1 transcript:Potri.008G177400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177400.v4.1 MDYGLGIPGDGRCLFRSVVHGACLRTGKPSPSESLEKELADELRDKVADEFIKRRRETEWFIEDDFDTYVVKMRQPHVWGGEPELLMSSHVLKMPITVYMRDRSSGSLKIIAEYGQEYGNENPVRVLYHGYGHYDALPGLIGGAQSKQFKKR >Potri.006G279600.1.v4.1 pep chromosome:Pop_tri_v4:6:26932804:26937935:-1 gene:Potri.006G279600.v4.1 transcript:Potri.006G279600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279600.v4.1 MYHQHHHPALVAAAMSQMEPIPGGNLPPGFDSSSCRSVYVGNIHVNVTDKLLAEVFATAGPLAGCKLIRKDKSSYGFVDYHDRSSAALAIMTLHGRQLYGEALKVNWAYASGQREDTSGHFHIFVGDLSPEVTDATLYACFSVFPSCSDARVMWDHKTGRSKGYGFVSFRNQQEAQSAINDLTGKWLGNRQIRCNWATKGVGSNEDKQNSDNQNAVVLTNGSSAGSQENTNEEAPENNPAYTTVYVGNLSHVVTQAELHGNFHALGAGVIEEVRVQRDKGFGFVRYNTHEEAAFAIQMGNGKIVCGKPMKCSWGSKPTPPGTASNPLPPPAQPYQIAPSTGINQGYSAADLLAYQRQLALSQAAASGLSGQALVQLTGQHGLSAASMGLSSSGSQALYDGYPNSLAAAQQLMYYR >Potri.006G279600.3.v4.1 pep chromosome:Pop_tri_v4:6:26932831:26937945:-1 gene:Potri.006G279600.v4.1 transcript:Potri.006G279600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G279600.v4.1 MYHQHHHPALVAAAMSQMEPIPGGNLPPGFDSSSCRSVYVGNIHVNVTDKLLAEVFATAGPLAGCKLIRKDKSSYGFVDYHDRSSAALAIMTLHGRQLYGEALKVNWAYASGQREDTSGHFHIFVGDLSPEVTDATLYACFSVFPSCSDARVMWDHKTGRSKGYGFVSFRNQQEAQSAINDLTGKWLGNRQIRCNWATKGVGSNEDKQNSDNQNAVVLTNGSSGSQENTNEEAPENNPAYTTVYVGNLSHVVTQAELHGNFHALGAGVIEEVRVQRDKGFGFVRYNTHEEAAFAIQMGNGKIVCGKPMKCSWGSKPTPPGTASNPLPPPAQPYQIAPSTGINQGYSAADLLAYQRQLALSQAAASGLSGQALVQLTGQHGLSAASMGLSSSGSQALYDGYPNSLAAAQQLMYYR >Potri.008G016900.1.v4.1 pep chromosome:Pop_tri_v4:8:839388:841309:-1 gene:Potri.008G016900.v4.1 transcript:Potri.008G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016900.v4.1 MEKKPVNSATRSLATQLLFLISSILYTCTSATAQELLAGFKANPSSSVSSFQSLLNDSTSTFSLGFLRVNQTQLALTVIHLPSLQPLWQANPTSSFRWSDKTQLFFNGSLVISDPHKRSFWSTGTSQQGDKVVLLNSSNLQILQKQVVLWQSFDFPTSTLVENQNFTSNMSLVSPNGLYSMRLGDDFMALYARFKDGKTQQMYWKHKALEAKAEVVQGQGPIHARLESDGFLGMYQMGKTPVDIQPFNSFHRPIDRFLMVQLEPDGNLKGYYWAQTDWVLDYQAITETCELPSPCGPYGLCRAGSGCSCLDNRTQVDTASFQCIDGDDQSGDFCSGSDDFWVLRRKGVELPFKELMDSDTTSSSVEECELSCQRNCSCWGAVYNNATGFCYTLNYPIQTLVGVGDESKVGYFKVRTKRSWHKKMKVGIRVLGAVIVVLTGVVLGYGGYKIWNRRRRGPKRVLEEKTEVSPGPYKNLGSASFKSIEMF >Potri.006G169000.1.v4.1 pep chromosome:Pop_tri_v4:6:16928096:16930612:-1 gene:Potri.006G169000.v4.1 transcript:Potri.006G169000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G169000.v4.1 MKEYWGSLASILGVLAFCQSLLHVLFPPELRFATLKLFNRVFNMFTSYCYFDITEIDGVNTNELYNAVQLYLSSCVTISGSRLSLTRALNSSAITFGLTNNDTIFDTFNGVTVLWEHIVTQRQAQTFSWRPLPDEKRGFTLRIKKKDKSLILDSYLDYIMEKANDMRRKNEDRLLYTNSRGGSLDSRGHPWESVPFKHPSTFETLAMDPVKKAEIIEDLKDFANGQSFYQKTGRAWKRGYLLYGPPGTGKSSMIAAMANYLGYDIYDLELTEVHHNSELRKLLMKTSSKSIIVIEDIDCSIDLSNRKKGSPNNSSSIGRSYCDPEMRSGPGVSTGDEGGNSITLSGLLNFTDGLWSCCGSERIFVFTTNHIDKLDPALLRSGRMDMHVFMSYCSFPALRILLKNYLGNAESDLDEGVLKELEEVIDKAEMTPADISELLIKNRRNKDRAVIELLEALKNKAEMKLKSGECVREKNLNDVGEEEEEEEQEKRALESPKEGCDYEDSIKKEEEDEEKKIK >Potri.004G144000.1.v4.1 pep chromosome:Pop_tri_v4:4:16687972:16688854:1 gene:Potri.004G144000.v4.1 transcript:Potri.004G144000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144000.v4.1 MYSSPTERSKEMCTEILGTESTESWSKEGESHNVSRERKERLSKTRSNPSVAYKNHSSPSAGRFPPLLGSISDPCSIRLIPHRQVGRLILEAVPCLPHVQAEKNDGGLALEAVPSFPSIQAQKNDGRLRLYMSRSSPNNNYDNEEQEACDEEKVG >Potri.001G085600.1.v4.1 pep chromosome:Pop_tri_v4:1:6812841:6816211:-1 gene:Potri.001G085600.v4.1 transcript:Potri.001G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085600.v4.1 MVDVADKLACFRAITGLEDSDLCTEILQAHNWDLELAISSFTSNHSDNHPFSSSYTATDTTATAVDPSNATIHRSDSTSIVPAGAPPPGLAWKIVTLPISVISGSLGLISGAVGFGLWAAGGVLSYSLGFIGLGSNSGRGGDSSAQLVTVSAASREAMEFVAQFERDYGSGSSTRPNFVSEGFMDALTRSRNTFKLLFVYLHSPDHLDTPAFCEGTLCSEVLSAFVNENFVAWGGSIRGSEGFKMSNSLKASRFPFCAVVMSSTNQRIVLLQHVEGPKSPEEMLVILQRVLEQSAPVLVTARLEAEERTTNMRLREEQDAAYRAALEADQARERQQREEQERLEREAAEAERKRREEEEARDRAASEAAENEAALARMRQEKALSLGAEPEKGPDVTQVLVRFPTGERKERRFHCAATIQSLYDYVDSLGSLNVENYSLVSNFPRVVYGTDKVSLSLKEAGLHPQASLFVELN >Potri.T011400.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:49633:51296:1 gene:Potri.T011400.v4.1 transcript:Potri.T011400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011400.v4.1 MGRSPCCEKEHTNKGAWTKEEDERLINYIKSHGEGCWRSLPKAAGLLRCGKSCRLRWINYLRPDLKRGNFSDEEDELIINLHSLLGNKWSLIAARLPGRTDNEIKNYWNTHIKRKLFSRGVDPQTHRPLNSTTTSSTTSTTTNSTNNKNSNMGTKRITNFKLEEQNYLFVQAQPEFMMSNIVKKASDSSIIKVGGSSDSAEDSNSSSGVTAELEVHPNHKLNLELSIGLPCQSQLSSVNDLNDSKQANQQHQEQVVTYQLFGTPATPTSSAPAVVHRTACLCSYNRGFKNSQACSCCNAVEKFVTADSLYRFYRPLDA >Potri.010G040400.1.v4.1 pep chromosome:Pop_tri_v4:10:7093332:7098109:1 gene:Potri.010G040400.v4.1 transcript:Potri.010G040400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G040400.v4.1 MIHHRVKLLSRLTLIQALMAVFILYLLFMTLQVPLVLKTALLYASDGSLSDALPRPLYLADSVRVEPRRIMRETRAVSGLFFNDTVFDAIETATDEFSVLHKAARQAWVVGKKLWEEVESGKIRPDLNKGNTTEQKCPNSIVLSESEFGARNWIVELPCGLTLGSHITVVGKPRWAHPERDPKIALVKEGEEAVMVSQFMMELQGLKTVDGEDPPRILHFNPRLKGDWSGKPVIEQNTCYRMQWGNALRCEGWSSRADEETVDGLVKCEKWLRDDDSSSEDSKATWWLNRLIGWKKKMSYNWPYPFVEGKLFVLTLSAGLEGYHINVDGRHITSFPYRTGFVLEDATGLYLNGDVDVQSVFAASLPASHPSFAPQQHLEMFKKWQAPPLPNDQAELFIGVLSAGNHFAERMAVRKTWMQHELIRSSKIVARFFVALNGRKEINVDLKKEAEYFGDIVIVPYMDNYELVVLKTVAICEYGVRTVDAKYIMKCDDDTFVRVDAMIKEAKKVRGDGSLYIGNINYYHKPLRNGKWAVTYEEWPEEEYPPYANGPGYIISCDVADFIVAEFESHKLRLFKMEDVSMGMWVEKFNNSKPVEYIHSLKFCQFGCIEDYYTAHYQSPRQMICLWDKLNQGKSQCCNMR >Potri.009G030800.5.v4.1 pep chromosome:Pop_tri_v4:9:4185662:4186492:1 gene:Potri.009G030800.v4.1 transcript:Potri.009G030800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MT2b MSCCGGNCGCGSGCKCGSGCNGCSMYPDLSFSETTTSQTIIAGVAPVRMYVFYESSEMNFGAENGCKCGSNCTCDPCSCK >Potri.009G030800.3.v4.1 pep chromosome:Pop_tri_v4:9:4185662:4186487:1 gene:Potri.009G030800.v4.1 transcript:Potri.009G030800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MT2b MSCCGGNCGCGSGCKCGSGCNGMYPDLSFSETTTSQTIIAGVAPVRMFYESSEMNFGAENGCKCGSNCTCDPCSCK >Potri.009G030800.2.v4.1 pep chromosome:Pop_tri_v4:9:4185669:4186489:1 gene:Potri.009G030800.v4.1 transcript:Potri.009G030800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MT2b MSCCGGNCGCGSGCKCGSGCNGCSMYPDLSFSETTTSQTIIAGVAPVRMFYESSEMNFGAENGCKCGSNCTCDPCSCK >Potri.018G011500.1.v4.1 pep chromosome:Pop_tri_v4:18:720508:725237:-1 gene:Potri.018G011500.v4.1 transcript:Potri.018G011500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011500.v4.1 MRKTPLKPVISFLLFLCLKILFMETGVAQNTTSIPVNVGVVLDLEYFDVNIALSCINMALSDFYATRGDYKTRLVLAIRDSKKDVVGAAAAALDLIKNVEVQAILGPSTSMQANFVIDLGEKAQVPIMSFSATSPFLTSIKSTYFFRATHSDSAQVNAISALFQAFGWIEAVPIYIENEYGEGIIPYLIDSLQAVDVRVPYRSVISPSATDDQIIEELYKLMTMQTRVFIVHMYGYLGTRLFAKAKEIGMMSEGYVWIMTDGLTTDFLSSPSPSVTDTIQGVLGIKPYVPRTKELENFRVRWKRKFLQDNPNNIDAELSIYGLWAYDATKALAGAVEKAGATNFGFQKANVSSSSSTDLATLGVSLNGPNLLQALSNTSFKGLTGDYHFVDGQLQSPAFQIVNVNGNGGREVGLWTPTQGLVKQLEPTNSTSMSGISTAIFPGDATVAPKGWEIPTNEKKLKIGVPVIKDGFVEFVAVTKDPSSNTTKVTGYCIDVFDAVVKALPYALPYEYIPHAMPAGTYDDLAYQVYLKNYDAVVGDVSIVFNRSLYIDYTLPFTESGVSMIVPIADNNSKNAWVFMKPLTWDLWVSSFFFFLFIGFVVWVLEHRINEDFRGSASDQAGTSFWFSFSTMVFAQREKLVSNLARAVVIIWFFVVLVLTQSYTASLTSLLTVQQLQPTVTDVHDLIMKGGYVGYLKGSFVREILLGLGFDESKLMMYNSPEECHELFSKGSGNGGIAAAFDEVPYMKLFLSKYCTKYTMIDPTFKTGGFGFVFPKGSPLVPDISRAILNVTEGDKMKQIEDAWFGKKGSCPDSSTSVSSNILSLKSFWGLFLIAGLAAFLALIIFIAMFVYRERRDLRPSDPTASIWDRTKNFFRIFIQRDSTSQTSRQMELTDRNGISLPSMGVPSPSAFSVHTQFPEDPSSTDYDSSPNRQAPQEAVINIDQLTNQNQERPTALEIDHENN >Potri.018G011500.2.v4.1 pep chromosome:Pop_tri_v4:18:720508:724018:-1 gene:Potri.018G011500.v4.1 transcript:Potri.018G011500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G011500.v4.1 MQANFVIDLGEKAQVPIMSFSATSPFLTSIKSTYFFRATHSDSAQVNAISALFQAFGWIEAVPIYIENEYGEGIIPYLIDSLQAVDVRVPYRSVISPSATDDQIIEELYKLMTMQTRVFIVHMYGYLGTRLFAKAKEIGMMSEGYVWIMTDGLTTDFLSSPSPSVTDTIQGVLGIKPYVPRTKELENFRVRWKRKFLQDNPNNIDAELSIYGLWAYDATKALAGAVEKAGATNFGFQKANVSSSSSTDLATLGVSLNGPNLLQALSNTSFKGLTGDYHFVDGQLQSPAFQIVNVNGNGGREVGLWTPTQGLVKQLEPTNSTSMSGISTAIFPGDATVAPKGWEIPTNEKKLKIGVPVIKDGFVEFVAVTKDPSSNTTKVTGYCIDVFDAVVKALPYALPYEYIPHAMPAGTYDDLAYQVYLKNYDAVVGDVSIVFNRSLYIDYTLPFTESGVSMIVPIADNNSKNAWVFMKPLTWDLWVSSFFFFLFIGFVVWVLEHRINEDFRGSASDQAGTSFWFSFSTMVFAQREKLVSNLARAVVIIWFFVVLVLTQSYTASLTSLLTVQQLQPTVTDVHDLIMKGGYVGYLKGSFVREILLGLGFDESKLMMYNSPEECHELFSKGSGNGGIAAAFDEVPYMKLFLSKYCTKYTMIDPTFKTGGFGFVFPKGSPLVPDISRAILNVTEGDKMKQIEDAWFGKKGSCPDSSTSVSSNILSLKSFWGLFLIAGLAAFLALIIFIAMFVYRERRDLRPSDPTASIWDRTKNFFRIFIQRDSTSQTSRQMELTDRNGISLPSMGVPSPSAFSVHTQFPEDPSSTDYDSSPNRQAPQEAVINIDQLTNQNQERPTALEIDHENN >Potri.010G083700.1.v4.1 pep chromosome:Pop_tri_v4:10:11111901:11115517:1 gene:Potri.010G083700.v4.1 transcript:Potri.010G083700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G083700.v4.1 MAATLHLSTLIPATPTSVALPNQNELKILTKHRSSPTGSFKKCKTMTELKQLHSQITKNGLNHHPLSLTNLISSCTEMGTFESLEYAQKALELFIEDNGIMGTHYMFSSLIRGFSACGLGYKAIVVFRQLMCMGAVPDNFTFPFVLSACTKSAALTEGFQVHGAIVKMGFERDMFVENSLIHFYGECGEIDCMRRVFDKMSERNVVSWTSLIGGYAKRGCYKEAVSLFFEMVEVGIRPNSVTMVGVISACAKLQDLQLGEQVCTCIGELELEVNALMVNALVDMYMKCGAIDKARKIFDECVDKNLVLYNTIMSNYVRQGLAREVLAVLGEMLKHGPRPDRITMLSAVSACSELDDVSCGKWCHGYVLRNGLEGWDNVCNAIINMYMKCGKQEMACRVFDRMLNKTRVSWNSLIAGFVRNGDMESAWKIFSAMPDSDLVSWNTMIGALVQESMFKEAIELFRVMQSEGITADKVTMVGVASACGYLGALDLAKWIHGYIKKKDIHFDMHLGTALVDMFARCGDPQSAMQVFNKMVKRDVSAWTAAIGAMAMEGNGTGAIELFDEMLQQGIKPDGVVFVALLTALSHGGLVEQGWHIFRSMKDIYGIAPQAVHYGCMVDLLGRAGLLSEALSLINSMQMEPNDVIWGSLLAACRVHKNVDIAAYAAERISELDPERTGIHVLLSNIYASAGRWDDVAKVRLHLKEKGAHKMPGSSSIEINGKIFEFTTGDESHPEMTHIEPMLKEICCRLRDIGYVPDLTNVLLDVNEKEKEYLLSRHSEKLAIAFALISTGQGMPIRVAKNLRICSDCHSFAKLVSKSYSREIIVRDNNRFHFFQQGFCSCGDYW >Potri.005G173100.1.v4.1 pep chromosome:Pop_tri_v4:5:17871170:17877219:1 gene:Potri.005G173100.v4.1 transcript:Potri.005G173100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G173100.v4.1 MEELQNVVRDGGLAVSNLPEKGRCLLTTKNFNPGEVILRQEPYVCVPNNSSTVSRCDGCFASESLKKCSACQVVWYCGSTCQKSEWKLHRLECNALSRLEKEKRKAVTPSIRLMVRLYLRRKLQNEMFIPTSVTDSYNFVEALVSHLKDLDEKQLVLYAQMANLVHFILQWPEINLKEIAENFSKLACNAHTICDCELRPLGTGLYPVVSIINHSCMPNAVLTFEGKSSVVRAVEHIPEGAEVSIAYIDTAGSTMTRQKALKEQYFFTCTCPRCIKVYDDIQESAILEGYRCKDDRCNGFLLRDSEDKGFICQTCGLRRSKEEVKRIVCEITAISDKKLKSTSPGNHEEVISLYKMIEKLQMELCHPFSISLMRTQEELLKILMELGDWREALAYCRLTITGYQRVYPEPHPLLGLQYYTCGKIEWLLGYTEDAIKSLTRAVDILRITHGTNSPFMKELMMKLDEAHAEASYNLSSKDE >Potri.015G075100.1.v4.1 pep chromosome:Pop_tri_v4:15:10112538:10115121:1 gene:Potri.015G075100.v4.1 transcript:Potri.015G075100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G075100.v4.1 METMKSNWKSKKIQLPIRRSSSSNSESLSHFESPISPLRYDSPLRSDLGDPPESPPYVSPSASPEKPQPPLQKSVAVTDKSTQYSPALSPIPPDNLAAPPQGMPAVVLNRAVREQGPAAGVKQVGPEGRSTASVMRGSRKEEKMKIGELGFRVSEIVLCLISFSVMAADKTKGWSGDSFDRYKEYRYCLAVNVIGFAYAGFQAYDLIYHIATGKHVIRHHLRHHFNLFMDQILAYLLVSASSSSATRVDDWQSNWGKDEFTKMATVSVVMAFLAFIAYAGSSLISGYNLYNRDGL >Potri.T125604.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:102820:106718:1 gene:Potri.T125604.v4.1 transcript:Potri.T125604.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125604.v4.1 MACGSHECHVSDPISSTPPQASPSASPSPQRNPKEHDQCFMCKRLGHWSKDCPNKTPRKSLALSPGSSSSTSVQVPDLPVVRCPCGGGTCRVSTSNTVKNPGRKFYACPVDHRTSGSCGFFKWSDDIAARFKPPMCPCGAGSCSLNIVSSGPDRGRWYFACRIKKNHGACKFLQWADSEGNNMQNMQGDENKGYPARRSWLTVNNEQCTEDNKSSDIELESTMVKSVDNYPISSMDPPIRKDEVLVRDLVMQDSESCEIVSGTALEVPPPIPKPEIPCQEPEFSLQISNARDTKIEGTSPFDPVIEDVGDIEALALLAGSSSNDDESDIQQGPFLQSPREDAEHPNGIFQEPSGMQTVVENSDTSKLALKTFGQVLLDILQSMDQTQHETMLKVAENTFDSLRHLSIDYASFSKAVREYIECKSKLAGIEESMGADSSSEEFLGHYNDKKTQFDNISQRHVEAVSAYEASENHLQSLRLEVTRVKNMLLQLEKQLTYCEAETLRCKSCVTEISNHKSESERSLDAACEKMEEALKLEDERDSLVYAANAALENARVQLLQ >Potri.014G136900.1.v4.1 pep chromosome:Pop_tri_v4:14:9296796:9303176:-1 gene:Potri.014G136900.v4.1 transcript:Potri.014G136900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136900.v4.1 MLKLLNKRLRRLYSRFRRRIGSGSRRKVVIKKFGKSNFKSQNDIKVEPTINGSAAVHPNGQLGEEKPIKLATFNAALFSMAPAVPKTENPSSVDYEGEEFADTRRPINSNKLRAKSANDRPKSILKQSPLHPNSIDGNENLSKQQKFAKSKLRVSINLPDNEISLLRNRQLSFREDEKEGASSVNISRILRGKAPMRPQSVSLARNMGNGEIDGESYRSTRTVLQVLKELDADILALQDVKAEEEKAMKPLSDLAAALGMNYVFAESWAPEYGNAILSKWPIKRWKVQKIFDDTDFRNVLKATIDVPQAGEVNFHCTHLDHLDENWRMKQIDAIIQSSDAPHILAGGLNSLDETDYSEERWTDIVKYYEEMGKPTPKVEVMSFMKSKHYTDAKDYAGECEAVVILAKGQNVQGTCKYGTRVDYILASPNSPYKFVPGSYSVFSSKGTSDHHIVKVDIVKARCSSQEKVARKKRQPKQKVVKITNSSPTKGIWKTHT >Potri.009G162300.1.v4.1 pep chromosome:Pop_tri_v4:9:12502288:12503582:1 gene:Potri.009G162300.v4.1 transcript:Potri.009G162300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G162300.v4.1 MKLIVFLLISWVALLSLFTPTQGQIKWCPKTARFPGGCGNNGSQQCLVDFLSNYGASSMPKNCVCKNAGSQRLCSCDVVCQ >Potri.001G156100.1.v4.1 pep chromosome:Pop_tri_v4:1:13140661:13142996:1 gene:Potri.001G156100.v4.1 transcript:Potri.001G156100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G156100.v4.1 MGAYKYVSELWRKKQSDVMRFLQRVRCWEYRQHPSIVRVTHPTRPDKARRLGYKAKQGYVVYRIRVRRGGRKRPVPKGIVYGKPTNQGVTQLKFQRSKRSVAEERAGRKLGGLRVLNSYWINEDSTYKYFEVILVDVAHNAIRNDPRINWLCNPVHKHRELRGLTSAGKKYRGLRGRGHLHHKARPSRRANWKRNNTLSLRRYR >Potri.013G151200.1.v4.1 pep chromosome:Pop_tri_v4:13:14757271:14759335:-1 gene:Potri.013G151200.v4.1 transcript:Potri.013G151200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G151200.v4.1 MVMETRSTKRKKLWFASNNEGDNDIDRISDLPDAILHHILLLLPLKSIAQTSVLSQRWRSLWSSFPDLDFTTMNPSKAICSTRKLKSKRWWPSMDFIANVLALRDKHSDLRALRFRAHLSFSHLNGLIRRAIRCNVQELDVEVATGDYFNFPRGVITSESLRVLKLRSRYPGFRLLPSSVMTIGFPSLHTLSLSLVILYEQSSLLDLFTESSFPCLKNLNLDACFGMKHLKVCCRALEDLTIENCFQLHSLDICSAKLENLRVASCFDAYSDKTWFKVNAPRLRGISWEYNSITASSSLVNLTSLHEASVGFSLLHENLSVEKLQSVCNFLSGLSHVHSLTLDSQCVEILSNKNDIINLLHPFNNLKVLELHTGFEKNNVPGLAFLFRSSPTLHTLILKIINDFKTERRQWNRDLWDLSTSAEEQYWESQLQALRPFLDHLKVVKIHGFLECENEVSLAKFLLKHGKDLQEMTLCTANCCNFKDSLRRQKVRSQIMGFSWASSNAKIVFLLSS >Potri.014G178100.1.v4.1 pep chromosome:Pop_tri_v4:14:13471468:13476371:-1 gene:Potri.014G178100.v4.1 transcript:Potri.014G178100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G178100.v4.1 MAVSLRCFCTWTLLVLVMFLSVNAAEEKDELKLRLKETQELLRSKNSSMAEWSDDAWNEHTVEDSEEVAAMVDESIRNSTERRKLGFFSCVTGNPIDDCWRCDPHWQLHRKRLANCGIGFGRNAVGGRDGKYYVVSNPGDDDPVNPRPGTLRHAVIQDQPLWIVFKRDMVITLKQELILNSFKTIDARGTNVHIANGACITIQFVSNVIIHGLHIHDCKSTGNAMVRSSPSHYGWRTMADGDGISIFGASHIWIDHNSLSNCADGLIDAIMGSTAITISNNYFTHHNEVMLLGHSDSYKRDKQMQVTIAYNHFGEGLIQRMPRCRHGYFHVVNNDYTHWEMYAIGGSANPTINSQGNRYLAPANAFAKEVTKRVDTETGVWSHWNWRSEGDLLLNGAYFIPSGAGAAASYARASSLGAKSSSMVGVITSNAGALVCRRGRRC >Potri.006G104100.1.v4.1 pep chromosome:Pop_tri_v4:6:7995712:7996892:1 gene:Potri.006G104100.v4.1 transcript:Potri.006G104100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G104100.v4.1 MPANPPKSSLLIHRINNTTITNTTLNTTNTTTSTSCQKRWSPLTCATIPVPETVSRYHAHAVGPNQCCSAVVQQIAAPVSTVWSVVRHFDNPQAYKHFVKSCHVILGDGDVGTLREVHVISGLPAAKSTERLEILDHERHVISFSVVGGDHRLANYRSVTTLHASPTGNGTVVVESYVVDIPPGNTKEDTCVFVDTIVRCNLQSLAQIAENKNRRNNKSSS >Potri.004G131500.2.v4.1 pep chromosome:Pop_tri_v4:4:14897150:14902367:1 gene:Potri.004G131500.v4.1 transcript:Potri.004G131500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G131500.v4.1 MQALQSTPLRSSPLNPLHKKPHNATKSPTQRLSFIKASSSSSTSNSTVSAPKREKDPKKRIVITGMGLVSVFGNEVDAYYDKLLAGESGIGTIDRFDASKFPTRFGGQIRGFSAQGYIDGKNDRRLDDCLRYCIVAGKKALEDADLGGDNLSKIDKEKAGVLVGTGMGGLTVFSDGVQSLIEKGHRKITPFFIPYAITNMGSALLAIELGFMGPNYSISTACATSNYCFYAAANHIRRGEADMMIAGGTEAAIIPIGLGGFVACRALSQRNDDPKTASRPWDKDRDGFVMGEGAGVLVMESLEHAMRRGAPIIAEYLGGAVNCDAYHMTDPRADGLGVSSCIERSLEDAGVSPEEVNYINAHATSTIAGDLAEINAIKKVFKNTSGIKINATKSMIGHCLGAAGGLEAIASVKAITTGWLHPSINQFNPEPSVEFDTVANKKQQHEVNVAISNSFGFGGHNSVVVFSAFKP >Potri.001G384600.1.v4.1 pep chromosome:Pop_tri_v4:1:40377218:40381674:1 gene:Potri.001G384600.v4.1 transcript:Potri.001G384600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G384600.v4.1 MAMLCASSSFTSTKPSCSYSARNGTSICTTPFVAGFSFSQSKLKPCVKTSLFPLNNGSRSVEVRCEKKEKNVVTRVPLDQRWLFEESELNGPDIWNTTWYPKAADHINTEKTWYIVDATDKILGRLASTIAIYIRGKNLATYTPSVDMGAFVIVVNAEKVAVSGKKRTQKLYRRHSGRPGGMTVETFDQLQQRIPERIIEHAVRGMLPKGRLGRALFNHLKVYTGPTHPHEAQKPIDLPIRDKRIQMER >Potri.016G086300.4.v4.1 pep chromosome:Pop_tri_v4:16:6778782:6782510:-1 gene:Potri.016G086300.v4.1 transcript:Potri.016G086300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086300.v4.1 MGRKGKWFSSVKKALSPDPKEKTDQKSNKSKKKWFGKQQLDSDSTSLENVTMLSPPPQPEEVKLIETTDEVNQHTFPVPVATAAVPEPAPTTVQTNIEVVQLTKVNKYAGKSKEEEAAIKIQTTFRGYMARRALRALRGLARLKFLMEGPRIKRQATHTLRCMQTLARVQSQIHTRRIRMSEENQALQRQLLQKHAKELENLRIGEEWDDSLQSKEQIEASLLNKFEAATRRERALAYAFSHQQTLKNSSRSANPMFMNGNPSWGWSWLERWMAAHPWESRSLMEKEHNNDHSSVKSASRSITGGEISKSYARYQLNSDKLTPRESERPTKTTNLQSPSTPKKPAASTVARKLKSASPRSNIGGIEDDSRSMVSVQSDRSRRHSIAGSFVRDDESLGSSPALPSYMVPTQSARAKSRIHSLLGAEKDGTPEKEKGSSGHTKKRLSYPPSPAKGRRYSGPPKLESSFNARNSVAAGEGI >Potri.016G086300.5.v4.1 pep chromosome:Pop_tri_v4:16:6778761:6782688:-1 gene:Potri.016G086300.v4.1 transcript:Potri.016G086300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086300.v4.1 MGRKGKWFSSVKKALSPDPKEKTDQKSNKSKKKWFGKQQLDSDSTSLENVTMLSPPPQPEEVKLIETTDEVNQHTFPVPVATAAVPEPAPTTVQTNIEVVQLTKVNKYAGKSKEEEAAIKIQTTFRGYMARRALRALRGLARLKFLMEGPRIKRQATHTLRCMQTLARVQSQIHTRRIRMSEENQALQRQLLQKHAKELENLRIGEEWDDSLQSKEQIEASLLNKFEAATRRERALAYAFSHQQTLKNSSRSANPMFMNGNPSWGWSWLERWMAAHPWESRSLMEKEHNNDHSSVKSASRSITGGEISKSYARYQLNSDKLTPRESERPTKTTNLQSPSTPKKPAASTVARKLKSASPRSNIGGIEDDSRSMVSVQSDRSRRHSIAGSFVRDDESLGSSPALPSYMVPTQSARAKSRIHSLLGAEKDGTPEKEKGSSGHTKKRLSYPPSPAKGRRYSGPPKLESSFNARNSVAAGEGI >Potri.016G086300.7.v4.1 pep chromosome:Pop_tri_v4:16:6778773:6782800:-1 gene:Potri.016G086300.v4.1 transcript:Potri.016G086300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086300.v4.1 MGRKGKWFSSVKKALSPDPKEKTDQKSNKSKKKWFGKQQLDSDSTSLENVTMLSPPPQPEEVKLIETTDEVNQHTFPVPVATAAVPEPAPTTVQTNIEVVQLTKVNKYAGKSKEEEAAIKIQTTFRGYMARRALRALRGLARLKFLMEGPRIKRQATHTLRCMQTLARVQSQIHTRRIRMSEENQALQRQLLQKHAKELENLRIGEEWDDSLQSKEQIEASLLNKFEAATRRERALAYAFSHQQTLKNSSRSANPMFMNGNPSWGWSWLERWMAAHPWESRSLMEKEHNNDHSSVKSASRSITGGEISKSYARYQLNSDKLTPRESERPTKTTNLQSPSTPKKPAASTVARKLKSASPRSNIGGIEDDSRSMVSVQSDRSRRHSIAGSFVRDDESLGSSPALPSYMVPTQSARAKSRIHSLLGAEKDGTPEKEKGSSGHTKKRLSYPPSPAKGRRYSGPPKLESSFNARNSVAAGEGI >Potri.016G086300.6.v4.1 pep chromosome:Pop_tri_v4:16:6778792:6782685:-1 gene:Potri.016G086300.v4.1 transcript:Potri.016G086300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G086300.v4.1 MGRKGKWFSSVKKALSPDPKEKTDQKSNKSKKKWFGKQQLDSDSTSLENVTMLSPPPQPEEVKLIETTDEVNQHTFPVPVATAAVPEPAPTTVQTNIEVVQLTKVNKYAGKSKEEEAAIKIQTTFRGYMARRALRALRGLARLKFLMEGPRIKRQATHTLRCMQTLARVQSQIHTRRIRMSEENQALQRQLLQKHAKELENLRIGEEWDDSLQSKEQIEASLLNKFEAATRRERALAYAFSHQQTLKNSSRSANPMFMNGNPSWGWSWLERWMAAHPWESRSLMEKEHNNDHSSVKSASRSITGGEISKSYARYQLNSDKLTPRESERPTKTTNLQSPSTPKKPAASTVARKLKSASPRSNIGGIEDDSRSMVSVQSDRSRRHSIAGSFVRDDESLGSSPALPSYMVPTQSARAKSRIHSLLGAEKDGTPEKEKGSSGHTKKRLSYPPSPAKGRRYSGPPKLESSFNARNSVAAGEGI >Potri.004G040100.2.v4.1 pep chromosome:Pop_tri_v4:4:3185917:3188957:1 gene:Potri.004G040100.v4.1 transcript:Potri.004G040100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G040100.v4.1 MAAFDAFEVIQASSHTSFDGVDDESYSNFASYSTAAGPGDFPGGDVSVDHVSGSPDVFGFGSDADPDFSHQSPFGSVHVENGNGNGYNGADDDVFVSDGPILPPPTEMEPEEGYALREWRRQNAMHLEEKEMREKEMRKQIIEEADEYIRGFYEKRKLNIETNIATNREREKLYLANQEKFHKEADKQYWKAIAEIIPREVPNIEKRRGKKEKDQDKKPSVTVIQGPKPGKPTDLSRLRQILVKLKHTPPPHMIPPPPPPKDAKDGKDGKDAKTGKDVKDPVTGKDAALGATTSGAKAEAAAPAKDAPANGSSNSPKEDAAADIAQPTAEPEPTPAA >Potri.007G013101.1.v4.1 pep chromosome:Pop_tri_v4:7:1007328:1009470:-1 gene:Potri.007G013101.v4.1 transcript:Potri.007G013101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013101.v4.1 MGRVIRAQRKGAGSVFKSHTHHRKGPARFRSLDFGERNGYLKGVVTEIIHDPGRGAPLARVTFRHPFRYKKQKELFVAAEGMYTGQFVYCGKKANLMVGNVLPLRSVPEGAVVCNVEHHVGDRGVFARASGDYAIVISHNPDNDTTRIKLPSGSKKIVPSGCRGMIGQVAGGGRTEKPMLKAGNAYHKFRVKRNCWPKVRGVAMNPVEHPHGGGNHQHIGHASTVRRDAPPGQKVGLIAARRTGRLRGQAAASAAKADKV >Potri.T125208.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:84198:89096:-1 gene:Potri.T125208.v4.1 transcript:Potri.T125208.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125208.v4.1 MKMAAQNNRQFHLHHLYFLAIILTHVLSSNVFIESSSTMYPFSCSDQVQNCDSYLYHISEGLSIEQIASFYSVKSSNVEPITHGLKQDYLVSVPCTCKDVNGTQGYFYDTFYSVQSGDIFTNVTWVLYSGQAWEVPGVERLFIAGDMISVHLVCGCLEVEAKEIVTYTVQENDTLTGIAELLSAELTGIENLNERFTRNPNLIDVGWVLFVPREKNGIQAPKQGKQHNLAIILGTLSAVTLFSVCSLLLFLHRRNRNHKNRKEDPEVVNKAQSPTRTSLRTHFREKYIEDATFESERPIVYSLKEIDEATSQFDESRKIGAGGYGIVYIGTLKEREVAIKKMKSSRSKEFYSELKVLCKIHHINVVELLGYATGDNHLYLVYEYIQNGSLNDHLHDPLLKGHSPLSWLARAQIALDAARGIEYIHDHTKARYIHRDIKTSNILLDKGLGAKVADFGLARLVERSNEEDAVATRLVGTPGYIAPESVRELQMTSKTDVFSFGVVLAELITGQRALARDNGQPNKMKVLVAVMTAIFRDQDPETALEANIDENMKGSYPMDEVYKMAELSTHCMNEDPTNRPEMREIVQELCKILMSSIEWEASLRGSSQVFTRLFDGR >Potri.010G182500.1.v4.1 pep chromosome:Pop_tri_v4:10:18063255:18065472:-1 gene:Potri.010G182500.v4.1 transcript:Potri.010G182500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G182500.v4.1 MGNALRFLYGHCCKPTTAGDSDSLGPDGVSAATVGVSALSLDLFHFEITSQVPEGLDKHVVSSKKAQANWFRKLLEAWKEAKPPPETPEEVARLVIQTLKRHQKADVEGLLAFYGLQLPHTLAQVSAGGPTSLPQGVKFEMQTLPVDPKAVADGDTITVYVSTTDTRESSSVPGEVHMAAVQRSKARAERNYGKADALQKKIVEAGYRVINVQNEEILARKYRIRLRGIDAPESSMPYGKEAKEELANLVQGKCLRVFVYGEDRYRRCVGDIYCNGMFVQEVMLKKGLAWHYTAYDKRRELETWEKEARAKRVGLWASSNPEMPWEWRKDRREGR >Potri.005G014900.1.v4.1 pep chromosome:Pop_tri_v4:5:1194829:1200861:1 gene:Potri.005G014900.v4.1 transcript:Potri.005G014900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014900.v4.1 MCVKTKPRFLLFVLLLLFVSHRTCFSIVDDTLLVGESLSARETLISQNGTFELGFFQPGTSELGFFQPGTSVNIYLGIWYKNFVNKMIVWVANRESPSNDPASSKLELSADGNLVLLTNFTKTIWSTALASSMSNTSTAEAVLLDDGNFVVRDGSNPSTIYWQSFDYPTDTWLPGGKLGINKHTGQVRRLISWKNSEDPAPGMFSMGIDPTGSGQFFIEWNRSHWYWSSGHWDGEIFALVPEMRRNYIFNFSYVSNENESYLTYYLYNTSLLSRFVIAVSGQIQQLSWIDSSWGWFLFWSQPKVQAGVYGLCGAFGVFHENSSSSCECLKGFKPLVQNDWSSGCIRKSPLQCQNKRSVGKEDGFLKISNLTLPANSKTHQKVSAERCRLDCMEICSCVAYAYNNNSGCSLWEGDLINLQQNSGVAVGRAGAEIYIRLAASELELQIGNGSTGTGNIKRTIRTTLAVAIPTTLITFGLFMYFRCLRKGKLIHRGKEYTGHDLLLFDFDTDPSSTNKESSSVDNRKNRWSKNMELPLFSYESVSVATGQFSDKLGKGGFGPVYKGKLPTGLEIAVKRLSERSGQGLEEFRNETILIAKLQHRNLVRLLGSCIERDEKMLIYEYMPNKSLDFFLFDANRGQILDWGTRIRIIEGIAQGLLYLHRYSRLRIIHRDLKPSNILLDSEMNPKISDFGMARIFGGNETQEHTNRIVGTYGYMSPEYAMEGLFSIKSDVFSFGVLVLEIVSGKKNTSFYHSDTLHLLGHAWKLWNSNKALDLMDPILGDPPSTATLLRYINIGLLCVQESPADRPTMSDVISMIVNEHVALPEPKQPAFVAGRNMAEQRPLMSSSGVPSVNNMTITAIDGR >Potri.019G047975.1.v4.1 pep chromosome:Pop_tri_v4:19:7609573:7610826:-1 gene:Potri.019G047975.v4.1 transcript:Potri.019G047975.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047975.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGCIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREVLWSDIVSRSDGWESTPWILMGDFNAICNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMRKLDRVLVNEKWNLNFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKFFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDVVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRQNRNKLLSLTREDGEVVEGHEAVKSEVIAYFHRVLGVIRCLGF >Potri.007G089400.1.v4.1 pep chromosome:Pop_tri_v4:7:11469560:11472767:-1 gene:Potri.007G089400.v4.1 transcript:Potri.007G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G089400.v4.1 MSTSIYISLLCITTLNPFILIKRERIMAYYFFILATLFFVFSPLSLAQPFKAVNLGNWLVTEGWMKPSLYDGMPNNDLLDGAQVQFLSTKLQKYLSSENGGGTVLVANRPSASGWETFRLWRINETYFNFRVFNKQFVGLEDQGDKVTAVSDTVGNSQTFQIIRNNDDRNRVRLQASNGQFIQASSETLVTADYVGSGWEDSDPSVFKMTIVNIYSFRGEYQLTNGYGPDRAPQVLQDHWNSYITEEDFRFMSENSLNAVRIPVGWWIASDPTPPKPFVGGSLKALDNAFTWAQKYGMKVIVDLHAVQASQNGNDHSATRDGYQEWGESNIQETVAVIDFLAERYADKPSLAAIELMNEPMAPGVNLDTLIKYYQAGYDAVRKHSENAYVILSNRLGPADSKELLSFASGLKRVVIDVHYYNLFSDSFNNMNPQQNIDYIYNQRASALTTVTTTNGPLSFVGEWTGDWAVQGASMQDYQNFAKAQLDVYGRATFGWAYWAYKCAGDHWSLKWMIENNYIKL >Potri.009G029500.3.v4.1 pep chromosome:Pop_tri_v4:9:4067304:4068535:1 gene:Potri.009G029500.v4.1 transcript:Potri.009G029500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029500.v4.1 MSDRARLAKIPQPEAALKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKKTKSQSSSKSPVSSERQMGSTSSTSSSALPSQIIGHFPQQQTQFPFVTSLHNLTQFGVGNIGLNFGGIQGQMGSTSGASGQAGMEFQMGSGSGMSSSSIFSAGGVQQFPFFESPPAGLYPFQSDQGAEAPTGIPGESSQLRSMASSSRVSQLAPVKSEGNQGLNLSKPYLGIPENNQYYWGGNTWTDLSGLNSSSTSHFL >Potri.009G029500.2.v4.1 pep chromosome:Pop_tri_v4:9:4066969:4068533:1 gene:Potri.009G029500.v4.1 transcript:Potri.009G029500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G029500.v4.1 MVFSSVPVYLDPPSWQQQQPSQQLGACDESPQLPPLPPPPHVGGSGTAGSIRPGSMSDRARLAKIPQPEAALKCPRCDSTNTKFCYFNNYSLSQPRHFCKTCRRYWTRGGALRSVPVGGGCRRNKKTKSQSSSKSPVSSERQMGSTSSTSSSALPSQIIGHFPQQQTQFPFVTSLHNLTQFGVGNIGLNFGGIQGQMGSTSGASGQAGMEFQMGSGSGMSSSSIFSAGGVQQFPFFESPPAGLYPFQSDQGAEAPTGIPGESSQLRSMASSSRVSQLAPVKSEGNQGLNLSKPYLGIPENNQYYWGGNTWTDLSGLNSSSTSHFL >Potri.005G121600.3.v4.1 pep chromosome:Pop_tri_v4:5:9004654:9007702:1 gene:Potri.005G121600.v4.1 transcript:Potri.005G121600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121600.v4.1 MYDSEPTFEVPRQLASRTSRRFNPRQVSLRLPACNYCIATITYELNVLRSRGPRRMHCIMHSIPMSSIEEGGTVQTLTSIPEAFNGQFPHLSSSIGKELVSDNSSASPSRSPVLAQGSEELLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASAEPSHNVPAADQERVILQFGKIGKDIFTMDYFYPLSAFQAFAICLSSFDTKPACE >Potri.005G121600.2.v4.1 pep chromosome:Pop_tri_v4:5:9004617:9007744:1 gene:Potri.005G121600.v4.1 transcript:Potri.005G121600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G121600.v4.1 MSFKSIMREFKEIKEGIGSISRRGVEGKHWCGRVRSHIAPDETREETDQIDQGQWANLPPELLLDIIMRLEESESSWPARVVVVHCASVCRSWREITKEIVKTPEQCGRLTFPISLKQPGPRESPIQCFIKRDNATSTFLLYYGLVPSGGENDKLLLAARKIRRATCTDFIVSLVSDDFSRASNTYVGKLRSNFLGTKFTMYDSEPTFEVPRQLASRTSRRFNPRQVSLRLPACNYCIATITYELNVLRSRGPRRMHCIMHSIPMSSIEEGGTVQTLTSIPEAFNGQFPHLSSSIGKELVSDNSSASPSRSPVLAQGSEELLVLKNKAPRWHEQLQCWCLNFRGRVTVASVKNFQLVASAEPSHNVPAADQERVILQFGKIGKDIFTMDYFYPLSAFQAFAICLSSFDTKPACE >Potri.019G037800.1.v4.1 pep chromosome:Pop_tri_v4:19:5218725:5219902:-1 gene:Potri.019G037800.v4.1 transcript:Potri.019G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G037800.v4.1 MASYQLIALALVTIFLPTLTMAAEHIVGDEQGWTVNFNYTTWASGKVFHVGDTLVFNYKPPHNLFKVDGAGFKDCAASGEPMASGNDIITLSSPGKKWYICGYGKHCSELGQKLVINVEAETPAPTPEPNAAYGLAASCYQIFAAAVAVVAMIAA >Potri.001G210400.1.v4.1 pep chromosome:Pop_tri_v4:1:21517487:21518807:-1 gene:Potri.001G210400.v4.1 transcript:Potri.001G210400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210400.v4.1 MAQNPRVHDLLLLLVPLSVLVLMNITVAAGQNASTNAKPFTLVNNCKEMIWPGIITKGDSNRGEGFALKPGQTAIYNATVGWSGRIWARTGCNFDKTGTGTCQTGSCGTSLNCTGPSNPPNTIAEFTLGDDVDFYDLSLVDGYNLPIVISPINGKGNCSIAGCEGDLRQNCSSELAVKSNGKVIACRSACNAFNTDEYCCRGAFADPAACQPSNYSRSFKQVCPAASSYAFDDRASIITCSASEYIIIFCASRNQSVCSYHDKKLVCNTSTGSTAPPLDLGGWIRMLSLSMLFILKMKF >Potri.003G021300.1.v4.1 pep chromosome:Pop_tri_v4:3:2215261:2217495:-1 gene:Potri.003G021300.v4.1 transcript:Potri.003G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021300.v4.1 MLGKVIVSGVSLILVVGVIIGVVVTVNRSNGSNDTESLSPQMKAVSALCQPTYYKEACTNTLSALNSTDPKELIKGGILAISASLKNSFNVTDDLVAKTDNASRDKMALNDCKELLQNASESLEDTLSKVGEIDLLSLSNRTDDFRTWLSSIIGYQEMCLDGFENGSSLRDQVQKSTDYGSELTDNVLNILAGLSQVLNSLGLKLNIPSTSRQLLQADGFPTWMSASDRKLLASRGNGGVRPNAVVAQDGSGQFKTISAALAAYPKNLKGRYVIYVKAGTYREYVAVAKDQPNVFIYGDGSRKTIVTGNKSFAKDGLGTWKTATFIVEANGFIAKSIGFTNTAGPDGHQAVAIRANSDMSAFYNCRFDGYQDTVLYQAGRQFYRNCVLSGTVDFLFGYGSAVIQNSLIIVRRPNPNQFNTVTADGRKERGQPGGVVIHNCRIVPEQKLVPDRLKIKTYLGRPWKAYSRTVVMESKLADFIQPDGWAPWSGNEFLDTLYYAEYANAGPGAATNRRVRWKTLHFLKRSEALQFTVGTFLQGGQWIKNNGIPVLMGLRK >Potri.011G012851.1.v4.1 pep chromosome:Pop_tri_v4:11:1193343:1194608:1 gene:Potri.011G012851.v4.1 transcript:Potri.011G012851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G012851.v4.1 MDLEHHQGRRLHQSDRIVASTSYITSLPLKLCFPSRFSEMKNSRFSLFTLPHSLTTLNLSRTPICFLPPSIMDIGTLNYLSLEECKMLQTLLELPSSLVGLDVSYCYSLQRIANLIPFTIARDCDQLVHIQDWIKLELIQKVDSHLLRIMEMVSVQMQTWRFQIELRATDSMLSLNMMKMRCWSFMKRKG >Potri.017G124200.1.v4.1 pep chromosome:Pop_tri_v4:17:12852540:12853302:-1 gene:Potri.017G124200.v4.1 transcript:Potri.017G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G124200.v4.1 MASLSRNSLLVVLSLCLLITFSNVAEIHGAKLRPSECKPRCNYRCSATSHKKPCMFFCLKCCATCLCVPPGTYGNKETCPCYNNWKTKEGRPKCP >Potri.006G147350.1.v4.1 pep chromosome:Pop_tri_v4:6:12617668:12618555:-1 gene:Potri.006G147350.v4.1 transcript:Potri.006G147350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147350.v4.1 MFVSRNSLSGKPLSKLSCVCLPLGKLVNEKHFPVNGKHFPVNGNTFRSTENTFRSTETLFEFGLVFRKVFFLLAVFVFRKVISGKPLSKLSCVCLSLEKLVNGKHFPVKGKFGLVFRKVFSWKIWAENTFQKL >Potri.019G088200.1.v4.1 pep chromosome:Pop_tri_v4:19:12697187:12697938:-1 gene:Potri.019G088200.v4.1 transcript:Potri.019G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088200.v4.1 MKNIMLLPLSFLLLASTLTLLPEAVRASGNPVLDVKGLGLLPGVPYYMISSEWPIVGGVVSLGNDINGTCPLDVILLENFCVTGTPVTFTIASGDQELFITDSTDLYISFDSTSNCTNETMVWMHESSNSSSTELLTIGGVEGDVNTLFRIVNVGGSFVSNYKLVAYKLSSYDLALTASDVGAVFDFTTGIRYLALTEPPLIVGFQVAYDYDGLKAVV >Potri.011G160400.2.v4.1 pep chromosome:Pop_tri_v4:11:18502193:18504116:1 gene:Potri.011G160400.v4.1 transcript:Potri.011G160400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G160400.v4.1 MVLPSASILSILVVLLLSPFVFSYPIQDRFLQCLSTTSESSFPFSTALYTPINNSSFTTVLLSTAQNLRYILPSVPKPEFIFTPFNESDIQAAVVCCKQLGIHFRVRSGGHDYEAVSYVSAIESPFIIIDLAKLRSVDVDIEDNSAWVQAGATNGELYYRIAEKSKTHGFPAGLCTSLGMGGLITGGAYGAMMRKYGLGADNVIDARIVDAQGRILDRKAMGEELFWAIRGGGGGSFGIITAWKVKLVPVPETVTVFTVTKTLEQGATKLLYRWQQVADKLDEDLFIRVSIQTAGTTGNRTITTSYNAVFLGDAKRLLRVMESSFPELGLTQKDCIETTWLESVLYTGSYPSNTPPEALLQANNVLKSYFKAKSDFVQEPIPESALKGIWKRLFKEEGGFMIWNPFGGMMSKISEFETPFPHRKGDLFMIQYVTGWQDASGDVGKHVKWIRELYKYMAPYVSKNPREAYVNYRDLDLGINRNTNTSFIKASVWGAKYFKGNFYRLALVKSKVDPDNIFRHEQSIPPLPLHMR >Potri.011G009400.3.v4.1 pep chromosome:Pop_tri_v4:11:875426:880225:1 gene:Potri.011G009400.v4.1 transcript:Potri.011G009400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009400.v4.1 MAAAKYQASSSSRFSHCKYQVFLSFRGEDTRKNFTDHLYTALVQAGIHTFRDDDEIGRGENIESELQKALQQSKIAIIVFSKDYASSRWCLDELVMIMERRRTADCRVLPVFYDVDPSQVRKQTGSFATAFVEHEKHFKEEMERVNGWRIALKEVADLAGMVLGDGYEALLVQCIVGKVSKNLDRKIFHVPLHFIGRDPLVNYINSWLQDESHDAAIAMLYGIGGVGKTTIAKSVFNQNFRKFECRSYLSNVREISKESKGVVCLQRQLLSDILNQTVDEIHDVDEGILKIKDALCCRRTLIVLDDVDNRDQFNAIIGMQEWFCQGCKIIVTTRNKGLIAANDEFVKCKVEPLDYKKSLELFSWHAFGQAYPVKGFVEDSWRIVHHCNGLPLALRVIGSSLSGKGRKLWGSALQQLAVIPNCEVQNVLEISYHSLDDDYQKNIFLDIACFFNGMDVDYAVTILDGLGIGARFRIDKLIDRCLVEINNDKRLWMHQLVRDMGREIARQESPKCQRTWHHEDAFRVLNGTTDAEKLRGLTLDMHALMEDDYAEVACTSSIVRSKSRRLNFSQQWFSDFLYGGKLQTNQTSLFPILSTDAFRKMSDVKFLQLNYTKFHGSFEHFPKNLIWLCWHGFSLRSVPNQISLEKLVVLDLSRSCLVDAWKGKSFLPKLKILDIRHSHDLIRTPDFSGLPVLEKLILEDCICLVQIHESIGDLQRLLILNLRNCTSLMELPEEMCRLNSLQELVLDGCSNLDSLMNTVVEHHQGRSLLQSDGIVASTSYITSLPLKLFFPSRFSAMKMLRFTSFSLPRSLERLDLSGTPIRALPESIKDLGLLRHLYLRNCKMLQALPELPSHLWSLDVSFCYLL >Potri.008G048400.1.v4.1 pep chromosome:Pop_tri_v4:8:2833048:2837711:-1 gene:Potri.008G048400.v4.1 transcript:Potri.008G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048400.v4.1 MASPMEEGSERDLEKGLVQPQLNQNPLTDPSPTPSPSSTSTAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGNLADVQHILNDINSQMVGTLSGADFDTEVAEIRASVVNEVNELGETALFTAADKGHLEVVKELLKYSNKECLTRKNRSGYDPLHIAAVQGHHAIVQVLLDHDPSLSQTHGPSNATPLVSAATRGHTAVVIELLSKDGSLLEISRSNGKNALHLAARQGHVDIVKALLSKDPQLARRTDKKGQTALHMAVKGQSCEVVKLLLDADAAIVMLPDKFGNTALHVATRKKRAEIVNELLLLPDTNVNALTRDHKTALDIAEELVLSEESSDIKECLYRYGALRANELNQPRDELRKTVTQIKNDVHTQLEQTRRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDLNSGMAVVVSHTSFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFMASAYIVVGRTHEWAAVLITIVGGVIMTAVLGTMTYYVVKSKRIRSMRKRDKHSRRSGSNSGHHNSEFSNSEVDRIYAL >Potri.001G335700.2.v4.1 pep chromosome:Pop_tri_v4:1:34401629:34404242:1 gene:Potri.001G335700.v4.1 transcript:Potri.001G335700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335700.v4.1 MGSSQAAVSFLNNVARAAFGLGAAATILNSSLYTVDGGQRAVLFDRFRGVIDTSIGEGTHFLIPWLQKPFIFDIRTRPHTFSSVSGTKDLQMVNLTLRVLSRPEVSRLPHIFQRLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRDALIKRARDFDIVMDDVAITHLSYGVEFSRAVEQKQVAQQEAERSKFVVMKADQERRAAIIRAEGESDAAKLISEATTKAGMGLIELRRIEASREIASTLAKSPNVAYLPGGNNMLLALNANR >Potri.001G335700.1.v4.1 pep chromosome:Pop_tri_v4:1:34401629:34405234:1 gene:Potri.001G335700.v4.1 transcript:Potri.001G335700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G335700.v4.1 MGSSQAAVSFLNNVARAAFGLGAAATILNSSLYTVDGGQRAVLFDRFRGVIDTSIGEGTHFLIPWLQKPFIFDIRTRPHTFSSVSGTKDLQMVNLTLRVLSRPEVSRLPHIFQRLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPQVSALVRDALIKRARDFDIVMDDVAITHLSYGVEFSRAVEQKQVAQQEAERSKFVVMKADQERRAAIIRAEGESDAAKLISEATTKAGMGLIELRRIEASREIASTLAKSPNVAYLPGGNNMLLALNANR >Potri.017G100900.2.v4.1 pep chromosome:Pop_tri_v4:17:11223719:11225811:1 gene:Potri.017G100900.v4.1 transcript:Potri.017G100900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100900.v4.1 MEGQVQIPSGNVDTSFCLDMAFHIFLKEADGASNFVFSPFSFHCMLSLIAVGSSGSTLEQLLSFLKLKSLDELKSFASQAITSVLLPSNWSEDQTGSPIVSFVNGAWVDLSYRLKPSFQEVVKGVYCATTKEVDFVNEANQVLNDINSWVETETRGIIKNLLPSKCLGDDTTLVLANALYFKGTWDRKFDASKTKYNDFHLLGGQIVQVPFMTSKRYQRHLYGCFDGYKILKIPYQNGQDTRQFSMYFFLPEATDALHSLIQVFKSSPELYTMQFKLREEDLPEFWIPRFKFSFKFEASKTMKELGLELPFKAVGELSEMVDSAKSLFLSNVFHASCIEVNEEGTEAAASTAPRLIRQSRRLNPPSFVADHPFLFLIREEKSGMTLFIGAVINPLLVS >Potri.017G100900.3.v4.1 pep chromosome:Pop_tri_v4:17:11223720:11225811:1 gene:Potri.017G100900.v4.1 transcript:Potri.017G100900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100900.v4.1 MEGQVQIPSGNVDTSFCLDMAFHIFLKEADGASNFVFSPFSFHCMLSLIAVGSSGSTLEQLLSFLKLKSLDELKSFASQAITSVLLPSNWSEDQTGSPIVSFVNGAWVDLSYRLKPSFQEVVKGVYCATTKEVDFVNEANQVLNDINSWVETETRGIIKNLLPSKCLGDDTTLVLANALYFKGTWDRKFDASKTKYNDFHLLGGQIVQVPFMTSKRYQRHLYGCFDGYKILKIPYQNGQDTRQFSMYFFLPEATDALHSLIQVFKSSPELYTMQFKLREEDLPEFWIPRFKFSFKFEASKTMKELGLELPFKAVGELSEMVDSAKSLFLSNVFHASCIEVNEEGTEAAASTAPRLIRQSRRLNPPSFVADHPFLFLIREEKSGMTLFIGAVINPLLVS >Potri.011G139200.4.v4.1 pep chromosome:Pop_tri_v4:11:17029945:17033340:-1 gene:Potri.011G139200.v4.1 transcript:Potri.011G139200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139200.v4.1 MADIEPPTFSLGLDLDIESEPRIPTHHFQTSTLNPAPNSSSNTPSDDQNGGPQVTDSEEEEEEIGPDVMDSDPEPGPGPTRVLRRLRRGPATQKSKVRKVELEGFCCDHGDDDIEEFSSQEDLGVRDAKVSTQFTSVCSSSKVPLKGCGVLTSQSPSLLKGNKKEQASIASVSSSLETDASGKTQKTDSSSKKQQPTTSERKNKTLLGEHRNEDLWKDFCPIKSYPVQTPVLDEMCNEYFQSLQDNKNKAHKLQSNLQTGDSTRFHQDPNSMVDFQQCWNLADPLPPAHHYFFHEDLRIQRLVHSRLPYFFPLGIVNNKGNQLITESAIDYMSQFNREASRKQGTQRTNSEKGSTRGRNKSKKSNAGEVSLASEGWVDPKSSTAIPKDAGKRRVHASDQGDGHWYTSPEGRKVYISKNGQELSGQIAYRHYKKDSGGFRRSKKKTNTKGKGSQSQKKTNAKRKRG >Potri.011G139200.1.v4.1 pep chromosome:Pop_tri_v4:11:17029945:17033340:-1 gene:Potri.011G139200.v4.1 transcript:Potri.011G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139200.v4.1 MADIEPPTFSLGLDLDIESEPRIPTHHFQTSTLNPAPNSSSNTPSDDQNGGPQVTDSEEEEEEIGPDVMDSDPEPGPGPTRVLRRLRRGPATQKSKVRKVELEGFCCDHGDDDIEEFSSQEDLGVRDAKVSTQFTSVCSSSKVPLKGCGVLTSQSPSLLKGNKKEQASIASVSSSLETGHSGLMFPKLTISPLRRFQLIDSDSDEASISADASGKTQKTDSSSKKQQPTTSERKNKTLLGEHRNEDLWKDFCPIKSYPVQTPVLDEMCNEYFQSLQDNKNKAHKLQSNLQTGDSTRFHQDPNSMVDFQQCWNLADPLPPAHHYFFHEDLRIQRLVHSRLPYFFPLGIVNNKGNQLITESAIDYMSQFNREASRKQGTQRTNSEKGSTRGRNKSKKSNAGEVSLASEGWVDPKSSTAIPKDAGKRRVHASDQGDGHWYTSPEGRKVYISKNGQELSGQIAYRHYKKDSGGFRRSKKKTNTKGKGSQSQKKTNAKRKRG >Potri.011G139200.3.v4.1 pep chromosome:Pop_tri_v4:11:17029945:17033340:-1 gene:Potri.011G139200.v4.1 transcript:Potri.011G139200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139200.v4.1 MADIEPPTFSLGLDLDIESEPRIPTHHFQTSTLNPAPNSSSNTPSDDQNGGPQVTDSEEEEEEIGPDVMDSDPEPGPGPTRVLRRLRRGPATQKSKVRKVELEGFCCDHGDDDIEEFSSQEDLGVRDAKVSTQFTSVCSSSKVPLKGCGVLTSQSPSLLKGNKKEQASIASVSSSLETGHNASGKTQKTDSSSKKQQPTTSERKNKTLLGEHRNEDLWKDFCPIKSYPVQTPVLDEMCNEYFQSLQDNKNKAHKLQSNLQTGDSTRFHQDPNSMVDFQQCWNLADPLPPAHHYFFHEDLRIQRLVHSRLPYFFPLGIVNNKGNQLITESAIDYMSQFNREASRKQGTQRTNSEKGSTRGRNKSKKSNAGEVSLASEGWVDPKSSTAIPKDAGKRRVHASDQGDGHWYTSPEGRKVYISKNGQELSGQIAYRHYKKDSGGFRRSKKKTNTKGKGSQSQKKTNAKRKRG >Potri.008G144500.3.v4.1 pep chromosome:Pop_tri_v4:8:9817610:9822144:1 gene:Potri.008G144500.v4.1 transcript:Potri.008G144500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G144500.v4.1 MTILCEHPPAFGVELEEKNNIPVDHDGQENELVLDEGFVVPDTNSFGHTFRDYDIESERQKGVEEFYRTNHINQTYGFVKRMREEYGKLNRVEMSIWECCELLNEFIDESDPDLDEPQIEHLLQTAEAIRKDYPDEDWLHLTGLIHDLGKVLLHPAFGELPQWAVVGDTFPVGCAFDESIVHHKYFTENPDCNNSAYNSKYGVYSEGCGLDRVFMSWGHDDYMYLVAKENKTTLPSAGLFIIRYHSFYTLHRTGAYRHLMNEEDVENLKWLKIFNKYDLYSKSKVRIDVEKVKPYYLSLIEKYFPAKLRW >Potri.002G239700.1.v4.1 pep chromosome:Pop_tri_v4:2:23201230:23202460:-1 gene:Potri.002G239700.v4.1 transcript:Potri.002G239700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G239700.v4.1 MATAASPMASQLKSSFASSLARRLVIPTAISGAPFRVSPSKRSFTVKAIQSEKPTYQVVQPINGDPFIGSLETPITSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGFLLVGPFVKAGPLRNTEYAGAAGSLAAGGLVAILSICLTMYGVASFTEGEPSTAPGLTLTGRKKEPDQLQTSDGWAKFSGGFFFGGISGVIWAYFLLYVINLPYYVK >Potri.006G270300.2.v4.1 pep chromosome:Pop_tri_v4:6:27473760:27476902:1 gene:Potri.006G270300.v4.1 transcript:Potri.006G270300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270300.v4.1 MASRDKKPAKPSSSRAGGIRTLSDLNRRSGPDSDSDDEDAPQEYYTGGEKSGMLVQDPTKGNDVDAIFNQARQLGAVEGPLENINQSSSSSSFSGTGRLLSGETVPSAPQQPEAVVHNIVFWTNGFTVNDGPLRSLDDPENASFLESIRKSECPKELEPADRRSSVHVNLIRRDQKCPEPERQRHVAFQGVGRTLGSSSTALATEPTADSAPLNSAPTPFMGLVVDETLPSTSIQLRLADGTRMVAHFNNHHTVNDIRSFIDASRPGAALNYQLQLMGFPPKLLTDPTQTIEQAGLANSVVIQKF >Potri.001G034700.2.v4.1 pep chromosome:Pop_tri_v4:1:2555472:2559539:-1 gene:Potri.001G034700.v4.1 transcript:Potri.001G034700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034700.v4.1 MGTKVAMRNLLPLLQKRFLSTSSSSTPTLSPLSTAPSSSFTVDFLINSCGLPSKSALSVSQKLQLDEKSIQKPQSVLEFLKAHGFKETHVVKLIEKRPDVLRRGVDTNLKPKFEFLIANGFVGKLLPELITSNPNVLERALESNMKPCFEYFKSILGSNDMIVAASKRCAVFLTYDWKSIIQPNVELLIKEGVPEERVVKMIVAQPRIIYQRRDRMVYAVNAVKNLGLEPKAPMFIYALRSILSMNEFTWKKKIEVMKSFGWTEEEILRAFKQYPFQLSSSEEKMRKSMDFLLNTIKMERQAIIACPKFLMYSTEKRLRPRYDVLKILKSKKLIEIGKKTNYLLTVSEKNFLENYVTKYADKVPGLLEVYRGTTKTER >Potri.001G034700.3.v4.1 pep chromosome:Pop_tri_v4:1:2555472:2559539:-1 gene:Potri.001G034700.v4.1 transcript:Potri.001G034700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034700.v4.1 MGTKVAMRNLLPLLQKRFLSTSSSSTPTLSPLSTAPSSSFTVDFLINSCGLPSKSALSVSQKLQLDEKSIQKPQSVLEFLKAHGFKETHVVKLIEKRPDVLRRGVDTNLKPKFEFLIANGFVGKLLPELITSNPNVLERALESNMKPCFEYFKSILGSNDMIVAASKRCAVFLTYDWKSIIQPNVELLIKEGVPEERVVKMIVAQPRIIYQRRDRMVYAVNAVKNLGLEPKAPMFIYALRSILSMNEFTWKKKIEVMKSFGWTEEEILRAFKQYPFQLSSSEEKMRKSMDFLLNTIKMERQAIIACPKFLMYSTEKRLRPRYDVLKILKSKKLIEIGKKTNYLLTVSEKNFLENYVTKYADKVPGLLEVYRGTTKTER >Potri.001G034700.4.v4.1 pep chromosome:Pop_tri_v4:1:2558119:2559481:-1 gene:Potri.001G034700.v4.1 transcript:Potri.001G034700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034700.v4.1 MGTKVAMRNLLPLLQKRFLSTSSSSTPTLSPLSTAPSSSFTVDFLINSCGLPSKSALSVSQKLQLDEKSIQKPQSVLEFLKAHGFKETHVVKLIEKRPDVLRRGVDTNLKPKFEFLIANGFVGKLLPELITSNPNVLERALESNMKPCFEYFKSILGSNDMIVAASKRCAVFLTYDWKSIIQPNVELLIKEGVPEERVVKMIVAQPRIIYQRRDRMVYAVNAVKNLGLEPKAPMFIYALRSILSMNEFTWKKKIEVMKSFGWTEEEILRAFKQYPFQLSSSEEKMRKSMDFLLNTIKMERQAIIACPKFLMYSTEKRLRPRYDVLKILKSKKLIEIGKKTNYLLTVSEKNFLENYVTKYADKVPGLLEVYRGTTKTER >Potri.001G034700.1.v4.1 pep chromosome:Pop_tri_v4:1:2555631:2559539:-1 gene:Potri.001G034700.v4.1 transcript:Potri.001G034700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034700.v4.1 MGTKVAMRNLLPLLQKRFLSTSSSSTPTLSPLSTAPSSSFTVDFLINSCGLPSKSALSVSQKLQLDEKSIQKPQSVLEFLKAHGFKETHVVKLIEKRPDVLRRGVDTNLKPKFEFLIANGFVGKLLPELITSNPNVLERALESNMKPCFEYFKSILGSNDMIVAASKRCAVFLTYDWKSIIQPNVELLIKEGVPEERVVKMIVAQPRIIYQRRDRMVYAVNAVKNLGLEPKAPMFIYALRSILSMNEFTWKKKIEVMKSFGWTEEEILRAFKQYPFQLSSSEEKMRKSMDFLLNTIKMERQAIIACPKFLMYSTEKRLRPRYDVLKILKSKKLIEIGKKTNYLLTVSEKNFLENYVTKYADKVPGLLEVYRGTTKTER >Potri.008G224219.1.v4.1 pep chromosome:Pop_tri_v4:8:19015251:19015418:1 gene:Potri.008G224219.v4.1 transcript:Potri.008G224219.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224219.v4.1 MPRHLISDAHEWINEIPTVPVYYPAKPQPRERAWRNQRGKKTLLSLTLVRLCEMT >Potri.007G029700.5.v4.1 pep chromosome:Pop_tri_v4:7:2277696:2284303:1 gene:Potri.007G029700.v4.1 transcript:Potri.007G029700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029700.v4.1 MRMGEDPGFDAWVADNLPVEAAIGIDPWCVSVDTAQRWQLTFAKKQQKLVQTETNLVDEVWKSRPPAEINPVVVHPIEFTGCSVAQKLKDLRAKLKNEKTRGIVVTTLDEVAWLYNIRGTDVSYCPVVHAFAIITSNSAFLYVDKKKVSAETNRYMEENGIDVRDYADVSSDVVLLASDQLDSTSEVKGTDTATGNGTTEAEGNNIDRIWVDPGSCCYALYSKLNSEKVHMQQSPLALAKALKNPVELDGLKKAHVRDGAAVVQYLVWLDKQMQESYGASGYFLEGQSANKKKDLGAIKLTEVTVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPHAETCAELNPDSIYLFDSGAQYLDGTTDITRTVHFGNPSTHEKASYTAVLKGHIALGNACFPNGTNGHALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVPLQSSMTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGDKGYLSFEHITWAPYQTKMIDLTLLGPEEINWLNIYHGRCRDILAPYLDESEMAWLNKATEPIGV >Potri.007G029700.1.v4.1 pep chromosome:Pop_tri_v4:7:2277191:2299850:1 gene:Potri.007G029700.v4.1 transcript:Potri.007G029700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029700.v4.1 MSEILASLRSLMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALVTKKDARLWTDGRYFLQATQQLSVEWTLMRMGEDPGFDAWVADNLPVEAAIGIDPWCVSVDTAQRWQLTFAKKQQKLVQTETNLVDEVWKSRPPAEINPVVVHPIEFTGCSVAQKLKDLRAKLKNEKTRGIVVTTLDEVAWLYNIRGTDVSYCPVVHAFAIITSNSAFLYVDKKKVSAETNRYMEENGIDVRDYADVSSDVVLLASDQLDSTSEVKGTDTATGNGTTEAEGNNIDRIWVDPGSCCYALYSKLNSEKVHMQQSPLALAKALKNPVELDGLKKAHVRDGAAVVQYLVWLDKQMQESYGASGYFLEGQSANKKKDLGAIKLTEVTVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPHAETCAELNPDSIYLFDSGAQYLDGTTDITRTVHFGNPSTHEKASYTAVLKGHIALGNACFPNGTNGHALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVPLQSSMTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGDKGYLSFEHITWAPYQTKMIDLTLLGPEEINWLNIYHGRCRDILAPYLDESEMAWLNKATEPIGV >Potri.007G029700.4.v4.1 pep chromosome:Pop_tri_v4:7:2277218:2285040:1 gene:Potri.007G029700.v4.1 transcript:Potri.007G029700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G029700.v4.1 MSEILASLRSLMASHSPPLDALVVPSEDYHQSEYVSARDKRREFVSGFTGSAGLALVTKKDARLWTDGRYFLQATQQLSVEWTLMRMGEDPGFDAWVADNLPVEAAIGIDPWCVSVDTAQRWQLTFAKKQQKLVQTETNLVDEVWKSRPPAEINPVVVHPIEFTGCSVAQKLKDLRAKLKNEKTRGIVVTTLDEVAWLYNIRGTDVSYCPVVHAFAIITSNSAFLYVDKKKVSAETNRYMEENGIDVRDYADVSSDVVLLASDQLDSTSEVKGTDTATGNGTTEAEGNNIDRIWVDPGSCCYALYSKLNSEKVHMQQSPLALAKALKNPVELDGLKKAHVRDGAAVVQYLVWLDKQMQESYGASGYFLEGQSANKKKDLGAIKLTEVTVSDKLEGFRASKEHFRGLSFPTISSVGPNAAIIHYSPHAETCAELNPDSIYLFDSGAQYLDGTTDITRTVHFGNPSTHEKASYTAVLKGHIALGNACFPNGTNGHALDILARIPLWKDGLDYRHGTGHGIGSYLNVHEGPHLISFRPHARNVPLQSSMTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGDKGYLSFEHITWAPYQTKMIDLTLLGPEEINWLNIYHGRCRDILAPYLDESEMAWLNKATEPIGV >Potri.007G063300.1.v4.1 pep chromosome:Pop_tri_v4:7:7749338:7751536:-1 gene:Potri.007G063300.v4.1 transcript:Potri.007G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063300.v4.1 MDTLFRLVSLQQQSEQSFNSTSRTSSSSRSSRQNNNHHHHHYQQEDEECFNFFMDEEDFSSSSSKHYYPPYHHNQQQQHQHQTTTTTPTTTTTNTSTPSTHHVLDSADFSFSPSHDLNFEFSGKWVTDILLESAHAIADKNSARLQQLMWMLNELGSPYGDTEQKLASYFLQALFSRMNDSGERCYRTLASASEKTCSFDSTRKMVLKFQEVSPWTTFGHVSCNGAIMEAFEGESKLHIIDISNTYCTQWPTLLEALATRTDETPHLKLTTVVASKSSGNNIGLTSTGGLASVHKVMKEIGNRMEKFARLMGVPFKFNVIHHAGDLCDLNLAELDVKDDEALAINCVGALHSITPASRRRDYVISSFRTLQPRIITVVEEEADLDGLDFVKGFQECLRWFRVYFESLDESFPRTSNEQLMLERAAGRAIVDLVACPPSDSIERRETATRWSGRLHSCGFSPIIFSDEVCDDVRALLRRYKEGWSMTQCGDAGIFLCWKEQPVVWASAWRP >Potri.016G054301.1.v4.1 pep chromosome:Pop_tri_v4:16:3570217:3570486:-1 gene:Potri.016G054301.v4.1 transcript:Potri.016G054301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054301.v4.1 MSSRRNVRYSALPDDDNDGKFNVRYDPRFEYYTPGALDKIPWKSIFLAIFLLFLGCVLLFLSFLILTGRMFSQRLFEFGEVFWVNFLKI >Potri.008G202600.3.v4.1 pep chromosome:Pop_tri_v4:8:14588549:14593261:1 gene:Potri.008G202600.v4.1 transcript:Potri.008G202600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G202600.v4.1 MASFSWASLLRITIFLLLVAAAVFAFFTLPVEKILKDFLLWVEQDLGPWGPLVLAIAYIPLTILAVPASVLTLGGGYLFGLPVGFVADSIGATVGAGAAFLLGRTIGRSFVVSKLKDYPKFSSVAIAIQKSGFKIVLLLRLVPLLPFNMLNYLLSVTPVPIGEYMLASWIGMMPITLALVYIGTTLKDLSDVTHGWSEFSTTRWVLIVLGLVVSVVLMFCVTKVAKSALDKALAENEDLDVILASPQLPIVADTSVNLNQPLIIKIDPSEDNHEQ >Potri.002G083900.2.v4.1 pep chromosome:Pop_tri_v4:2:5964932:5967072:-1 gene:Potri.002G083900.v4.1 transcript:Potri.002G083900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083900.v4.1 MNACRSEDNSYCYFHPKEVFVGICPLCLNERLLILATKQGSLSAARETHRNEGTAHRKPPINLTKIFAFGYLLNRFEFRHGKSDNSDQDAAYTSQEDSFISIKFEDNGAAFWEKGTVSKVSIEPCSKSWNQNLNKEAKQGKDTKEAMTVIEHAKPHASLRWRRRIGHMFQLIRRKRSSNKGHMCHVGTEVEGVKVRKGWIRTLTKRRTKE >Potri.017G039175.1.v4.1 pep chromosome:Pop_tri_v4:17:2586875:2587294:1 gene:Potri.017G039175.v4.1 transcript:Potri.017G039175.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039175.v4.1 MARSNNISFAVLLFLGITLIASPILAIENEDESTEAEYIDNENLAASPESSTEILPGFLKNCANTISKSIGDKVFDYIFGDEKSLDYDTCSEVTGSGKECHDALVKYVAEGPTFKANYDFYLKRGDDLYNICLAVFVEY >Potri.002G087600.8.v4.1 pep chromosome:Pop_tri_v4:2:6297389:6300977:1 gene:Potri.002G087600.v4.1 transcript:Potri.002G087600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087600.v4.1 MATGLENLSSHMGSLALTEMEWDVSNQVEVSNVINDETKQQNFHNMESDVTSRSDGAVTSLAKRAMVVQDQLHQLRNFLNQPATQSSVVGPSCATTTSVHSTSAPMLNSTTYCSRLHTENGSQAAVEPLRDSNANSQHVTPRNLEQLSHPLLKDTSAMLIDLRATATQPSTSAIHSQFKELDLPKEQKGSMPEAHDIANNPSLVDKPAKERGPADEGTDVQSQRPMSRNPSSNVKLEPSKPENKEKVASSKGTSVPRKRSYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYGTAYGFCQEILYLNKLKGKNNIIQLIDYEVTDKALLHEVMSSSISNKDGRVKDDGCIYMVLEYGEIDLAHMLAQKWKEMDSSNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSEFKTFWAKFKVITDPNHEITYEPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPTQPSVSQKQGCKLLQLVSETCSGDQEASVLCRELQQLLNPGTLTPESSTSRDQQCKLLSQMSKLCFQLRECLAKLERG >Potri.002G087600.3.v4.1 pep chromosome:Pop_tri_v4:2:6296375:6300943:1 gene:Potri.002G087600.v4.1 transcript:Potri.002G087600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087600.v4.1 MDREANLPLPSLPPPKNLVRPVTNAADTTSSSSSFSSSPPDFLRHVQAAFKRHRPLGTTTPICIMQTNNSIKPRRTLIPQREASRTVASNVDPTTGTKKSQDVVPLSKDSILQTKNPVAVIRETHEDASPFPGTITKTFDESFNPFDGQREQPESVIATKEDNQVPLTCVESQLVEGKRKVQFLTVNKATSQGADDGMATGLENLSSHMGSLALTEMEWDVSNQVEVSNVINDETKQQNFHNMESDVTSRSDGAVTSLAKRAMVVQDQLHQLRNFLNQPATQSSVVGPSCATTTSVHSTSAPMLNSTTYCSRLHTENGSQAAVEPLRDSNANSQHVTPRNLEQLSHPLLKDTSAMLIDLRATATQPSTSAIHSQFKELDLPKEQKGSMPEAHDIANNPSLVDKPAKERGPADEGTDVQSQRPMSRNPSSNVKLEPSKPENKEKVASSKGTSVPRKRSYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYGTAYGFCQEILYLNKLKGKNNIIQLIDYEVTDKALLHEVMSSSISNKDGRVKDDGCIYMVLEYGEIDLAHMLAQKWKEMDSSNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSEFKTFWAKFKVITDPNHEITYEPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPTQPSVSQKQGCKLLQLVSETCSGDQEASVLCRELQQLLNPGTLTPESSTSRDQQCKLLSQMSKLCFQLRECLAKLERG >Potri.002G087600.6.v4.1 pep chromosome:Pop_tri_v4:2:6296375:6300949:1 gene:Potri.002G087600.v4.1 transcript:Potri.002G087600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087600.v4.1 MDREANLPLPSLPPPKNLVRPVTNAADTTSSSSSFSSSPPDFLRHVQAAFKRHRPLGTITKTFDESFNPFDGQREQPESVIATKEDNQVPLTCVESQLVEGKRKVQFLTVNKATSQGADDGMATGLENLSSHMGSLALTEMEWDVSNQVEVSNVINDETKQQNFHNMESDVTSRSDGAVTSLAKRAMVVQDQLHQLRNFLNQPATQSSVVGPSCATTTSVHSTSAPMLNSTTYCSRLHTENGSQAAVEPLRDSNANSQHVTPRNLEQLSHPLLKDTSAMLIDLRATATQPSTSAIHSQFKELDLPKEQKGSMPEAHDIANNPSLVDKPAKERGPADEGTDVQSQRPMSRNPSSNVKLEPSKPENKEKVASSKGTSVPRKRSYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYGTAYGFCQEILYLNKLKGKNNIIQLIDYEVTDKALLHEVMSSSISNKDGRVKDDGCIYMVLEYGEIDLAHMLAQKWKEMDSSNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSEFKTFWAKFKVITDPNHEITYEPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPTQPSVSQKQGCKLLQLVSETCSGDQEASVLCRELQQLLNPGTLTPESSTSRDQQCKLLSQMSKLCFQLRECLAKLERG >Potri.002G087600.1.v4.1 pep chromosome:Pop_tri_v4:2:6296375:6300975:1 gene:Potri.002G087600.v4.1 transcript:Potri.002G087600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087600.v4.1 MDREANLPLPSLPPPKNLVRPVTNAADTTSSSSSFSSSPPDFLRHVQAAFKRHRPLGIMQTNNSIKPRRTLIPQREASRTVASNVDPTTGTKKSQDVVPLSKDSILQTKNPVAVIRETHEDASPFPGTITKTFDESFNPFDGQREQPESVIATKEDNQVPLTCVESQLVEGKRKVQFLTVNKATSQEMEWDVSNQVEVSNVINDETKQQNFHNMESDVTSRSDGAVTSLAKRAMVVQDQLHQLRNFLNQPATQSSVVGPSCATTTSVHSTSAPMLNSTTYCSRLHTENGSQAAVEPLRDSNANSQHVTPRNLEQLSHPLLKDTSAMLIDLRATATQPSTSAIHSQFKELDLPKEQKGSMPEAHDIANNPSLVDKPAKERGPADEGTDVQSQRPMSRNPSSNVKLEPSKPENKEKVASSKGTSVPRKRSYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYGTAYGFCQEILYLNKLKGKNNIIQLIDYEVTDKALLHEVMSSSISNKDGRVKDDGCIYMVLEYGEIDLAHMLAQKWKEMDSSNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSEFKTFWAKFKVITDPNHEITYEPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPTQPSVSQKQGCKLLQLVSETCSGDQEASVLCRELQQLLNPGTLTPESSTSRDQQCKLLSQMSKLCFQLRECLAKLERG >Potri.002G087600.4.v4.1 pep chromosome:Pop_tri_v4:2:6296401:6300986:1 gene:Potri.002G087600.v4.1 transcript:Potri.002G087600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G087600.v4.1 MDREANLPLPSLPPPKNLVRPVTNAADTTSSSSSFSSSPPDFLRHVQAAFKRHRPLGIMQTNNSIKPRRTLIPQREASRTVASNVDPTTGTKKSQDVVPLSKDSILQTKNPVAVIRETHEDASPFPGTITKTFDESFNPFDGQREQPESVIATKEDNQVPLTCVESQLVEGKRKVQFLTVNKATSQGADDGMATGLENLSSHMGSLALTEMEWDVSNQVEVSNVINDETKQQNFHNMESDVTSRSDGAVTSLAKRAMVVQDQLHQLRNFLNQPATQSSVVGPSCATTTSVHSTSAPMLNSTTYCSRLHTENGSQAAVEPLRDSNANSQHVTPRNLEQLSHPLLKDTSAMLIDLRATATQPSTSAIHSQFKELDLPKEQKGSMPEAHDIANNPSLVDKPAKERGPADEGTDVQSQRPMSRNPSSNVKLEPSKPENKEKVASSKGTSVPRKRSYDPDLFFKVNGKLYQRLGKIGSGGSSEVHKVISSDCTIYALKKIKLKGRDYGTAYGFCQEILYLNKLKGKNNIIQLIDYEVTDKALLHEVMSSSISNKDGRVKDDGCIYMVLEYGEIDLAHMLAQKWKEMDSSNQTIDENWLRFYWQQILQAVNTIHEERIVHSDLKPANFLLVKGSLKLIDFGIAKAIMSDTTNIQRDSQVGTLSYMSPEAFMCNESDANGNTIKCGRPSDIWSLGCILYQMVYGRTPFSEFKTFWAKFKVITDPNHEITYEPVSNPWLLDLMKKCLAWDRNERWRIPQLLQHPFLVPPVPTQPSVSQKQGCKLLQLVSETCSGDQEASVLCRELQQLLNPGTLTPESSTSRDQQCKLLSQMSKLCFQLRECLAKLERG >Potri.012G012100.1.v4.1 pep chromosome:Pop_tri_v4:12:1404223:1406530:1 gene:Potri.012G012100.v4.1 transcript:Potri.012G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G012100.v4.1 MISSRAFSLMRKPFFPTTCRSFGTFYGHENSNIIRSFKVAKPWRSNYMLQSMEIPKGGHRLSFFISYHVVSDDDEADQEPNWKRKQTKRPAESSFSKLFKHKKSRQTEAGSSDCCVKDGQKVKIHLKLYDIHTHEVRCETNPEHDPEIIGPGENDICEGLYEGIVGMRVGQIRRIVLPLSSDLTGEYNKMMMKKKRFEDHGIYHVKLVEIIG >Potri.002G166000.1.v4.1 pep chromosome:Pop_tri_v4:2:12693747:12696766:1 gene:Potri.002G166000.v4.1 transcript:Potri.002G166000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166000.v4.1 MSTFLHQRPLYSPLSDPDPLSPRQSSSSQRQISLFSRTGLIALLSLLLILGVILPWTGTPSIFSATKPASLTKWQQYTLSQAVSFVAKNKTVIVCAVSQPYLPFLSNWLISISRQKHQDKVLVIAEDYATLYKVNEKWPGHAVLVPPAPDSQTAHKFGSQGFFNFTSRRPRHLLHILELGYNVMYNDVDMVWLQDPFPYLEGNHDVYFTDDMAAVKPLGHSHDLPPPGKKGRTYICSCMIFMHPTDGAKLVLKKWIEELQAQPWSKTKKSNDQPAFNWALNKTAGQVDLYLLPQTAFPTGGLYFKNQTWVQETKGKHAIIHNNYITGFEKKIKRFHDYGLWLVDGHASESPLGKL >Potri.008G199900.1.v4.1 pep chromosome:Pop_tri_v4:8:14168204:14172759:-1 gene:Potri.008G199900.v4.1 transcript:Potri.008G199900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199900.v4.1 MNCTHCTIQTVTFPVLPARASSKTLISPFLAQVYPKSTFKNAHQTLKLTSFKVRASVDNSGDTNNWVSRLPIGALSGDKIFKLISGATASPIGQFISSPTTFLHSVDPRIKLIWLLALVVLPARSHIVMRFGLVVYIALLSVLVLPRHVWMDQLGRVSLLSGILFITLGLGSDGVPPLVQLRTPPPAITGLPNLPMSLSGYSYLIMKLGPLQFTRKGLSVASTAACLTFTVFQSASLCLATTTPEQLAFAMRWFMLPLRYIGVPVAEITLTLLLSLRFINLVFDEVRNVSLGIVSRRIKWKQLTIIETIDIFASYIRRIFKNIFSHAEQISQAMIVRGFRGDSNSHKIYFLSDSSIGMADFVSLLCLIGVVGAALLSDYYLV >Potri.013G147700.1.v4.1 pep chromosome:Pop_tri_v4:13:14456831:14457100:-1 gene:Potri.013G147700.v4.1 transcript:Potri.013G147700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G147700.v4.1 MSYLNRVWMAATVAAVGYPDQGWKSSLKSLHHGKSRVFSGGDVVEIRPLAAASVGSDCIGLGGCGSEEGVRQNDESLRQVMYLNCWGQG >Potri.012G089300.1.v4.1 pep chromosome:Pop_tri_v4:12:11459431:11460970:1 gene:Potri.012G089300.v4.1 transcript:Potri.012G089300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089300.v4.1 MAARRLLLASLVLSCSLLPCYQAVLFSSLQKTLAVTASPTSGQVLKGGVDKITVTWGLNQTLAAGTDSTYKTIKVKLCYAPLSQVDRAWRKTVDRIKKDRTCQHKIVARPYNSANKTFQSLEWTVQRDVPTATYFIRAYAYNADESEVAYGQTTDAHKTTNLFQVESITGRHMAMDVCSICFSVFSVVSLLVFFYIEKRKSKRSQ >Potri.008G095300.1.v4.1 pep chromosome:Pop_tri_v4:8:5948956:5951369:1 gene:Potri.008G095300.v4.1 transcript:Potri.008G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095300.v4.1 MAVLVFLVFFWALTGHSYATYCICKDGIADTQLQKALDYACGAGADCTQIAQSGPCYQPNTVKDHCSYAVNSYFQKKGQAVGSCDFAGTAVTSATLPQNVASGCTYPASATSSTGNTSNSGTAPTGTNTPSSIIPPPPSAVFTGLGPTGTTVVNGVASQFKGTNLWFMASSLTLLFSVPFLLWG >Potri.007G088018.1.v4.1 pep chromosome:Pop_tri_v4:7:11281289:11283079:-1 gene:Potri.007G088018.v4.1 transcript:Potri.007G088018.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088018.v4.1 MESWFLILVSISVSLFLKTIFNNFLTSKNLPPGPLSFPFIGHLLWLRMSAFKIEPILRSLHAKFGPMVTLRIGTRPAIFVADRTLAHEALIHGGAVFADRPPALATKKIITSNQHTISSSSYGPTWRLLRRNLTAEILHPSRVKSYTHARNWVLQILQNRFESQAKAGRPICVMEHFQYAMFCLLVLMCFGEKLDENQIKKIMEVMTVNFGRFNILNFWPGVTKIVLRNRWRELFRLRRCQENVLIPLIRARKKAKEERVNKSKEDKKDYEDEYVLSYVDTLLALDLPEEKRKLNEEEMVSLCREFLDAGTDSTSTALQWIMANLVKYPQIQEKLFMEIKGVVQDGEENIKEEELQKMPYLKAIILEGLRRHPPGHFVLPHAVTEDAVLGKYVVPKDGTINFMVAEMGWNPKVWEDPMAFKPERFLSSGGETFDITGSREIKMMPFGAGRRICPAYGLAILHLEYFVANLIWRFEWKAVDGDDVDLSEKEEFTVVMKNPLQAQICPRLK >Potri.006G250000.1.v4.1 pep chromosome:Pop_tri_v4:6:24934753:24937982:1 gene:Potri.006G250000.v4.1 transcript:Potri.006G250000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250000.v4.1 MASFLQSLIDPRKNWLAKQHMKTISSRLRRYGLRYDDLYDPYYELDIKEALNRLPREIIDARNQRLKRAMDLSMKHDYLPEDLQAMQTPFRSYLQEMLAFVKRERAEREALGALPLYQRTIP >Potri.015G144200.1.v4.1 pep chromosome:Pop_tri_v4:15:14935040:14936150:-1 gene:Potri.015G144200.v4.1 transcript:Potri.015G144200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144200.v4.1 MDPRTHIYLHVIHHRHHLTSSSPFVYRYKAHHKFFKHLDFSSLPLPSLLMAQQKVVLKVLTMTDDKTKKKAIEAAADIFGVDSIAVDLKDQKLTVIGLMDTVAVVKKLKKVGKVDIVSVGPAKEEKKEEKKEEKKEEEKKEEKKEEKKEENKEEKKEEEKK >Potri.015G144200.2.v4.1 pep chromosome:Pop_tri_v4:15:14935156:14936037:-1 gene:Potri.015G144200.v4.1 transcript:Potri.015G144200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144200.v4.1 MAQKVVLKVLTMTDDKTKKKAIEAAADIFGVDSIAVDLKDQKLTVIGLMDTVAVVKKLKKVGKVDIVSVGPAKEEKKEEKKEEKKEEEKKEEKKEEKKEENKEEKKEEEKK >Potri.005G109300.1.v4.1 pep chromosome:Pop_tri_v4:5:7917767:7921143:-1 gene:Potri.005G109300.v4.1 transcript:Potri.005G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109300.v4.1 MSTGGKDYRDPPPAPLLDMEELKQWSFYRALIAEFVATFLFLYIGVGTVVGYKGVHNNLCDGAGYLGVAWAFGGMIFVLVYCTAGISGGHINPAVTFGLFVARKVSLIRAVAYMMAQCLGAMLGVWMVMILTGIHYDQAGGAVNVVAPGYSKGTALGAEIIGTFVLVYTVLAATDPKRMARDSHVPVLAPLPIGFAVFVVHLALIPITGTGINPARSLGAAVVKNAKEIWDDHWIFWVGPFVGALAAAVYHQYILGSGAAKALASFRSNPTS >Potri.005G060300.2.v4.1 pep chromosome:Pop_tri_v4:5:3813839:3816789:1 gene:Potri.005G060300.v4.1 transcript:Potri.005G060300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060300.v4.1 MSSTAGQVIRCKAAVAWEAGKPLVMEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECRHCKSEESNMCDLLRINTDRGVMLNDGKSRFSIGGQPIYHFVGTSTFSEYTVVHVGCLAKINPAAPLDKVCILSCGVSTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARIAGASRIIGVDLNSNRFNEAKKFGVTEFVNPKDYKKPVQEVIAEMTNGGVDRSVECTGSINAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPMSFLNEKTLKGTFFGNYKPRSDLPSVVEMYMNKELELEKFITHEVPFSEINKAFDYMLSGASLRCIIRMEA >Potri.014G067800.1.v4.1 pep chromosome:Pop_tri_v4:14:4261286:4266665:1 gene:Potri.014G067800.v4.1 transcript:Potri.014G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP1 MLSSLSSTISRLNLFYPKPSIPYSLSSCLKTLKPQPIIPRWSPLHSLSTHSTSRLSTSLKPQFETLVSKDDLVVLGIETSCDDTAAAVVRGNGEILSQVVSSQADLLAKYGGVAPKMAEEAHSQVIDQVVQEALDKANLTATNLSAVAVTIGPGLSLCLRVGVRKARKLAGSFNLPIIGIHHMEAHALVARLIERELQFPFMALLISGGHNLLILAHDLGHYIQLGTTIDDAIGEAYDKTAKWLGLDMSRSGGPALEELAREGDAESIKFSTPMKQHKDCNFSYAGLKTQVRLAIESKNINAEIPISSASSQDRRSRADIAASFQRVAVLHLEERCDRAIEWARKIEPSIKHLVVSGGVASNQYVRARLDHVVKKNSLQLVCPPPKLCTDNGVMVAWTGIEHFCMGRFDPPPPADEHEDYMYDLRPRWPLGEEYAEGRSEARSLRTARIHPSLTSIIQASLQQQ >Potri.001G222800.2.v4.1 pep chromosome:Pop_tri_v4:1:23761979:23765638:1 gene:Potri.001G222800.v4.1 transcript:Potri.001G222800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G222800.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIENGIQPYATLFHWDTPQAIEDKYGGFLSPNILNDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSKSDRMATKRSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVDYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWASGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEGSARSRKKSRIE >Potri.003G009600.3.v4.1 pep chromosome:Pop_tri_v4:3:877094:886089:1 gene:Potri.003G009600.v4.1 transcript:Potri.003G009600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009600.v4.1 MGASKKESVEVEKREEEKKKSKKTQPTKKEKLSTEESLLHKKQEDTATDYKEFSEDDSSEDYKSAEESEAGDSETSGDEGFHNTGSNEGLANEGQSEDSEPDLGGEESDSSEDEVAPRNTVGDVPLEWYRDEKHIGYDIAGKKLKKKEKQDKLDSFLANVDDSKNWRKIYDEYNDEEVELTKEETKLIRRVLKGKAPHGDFDPYAPYVDWFKWEDSKHPLSNAPEPKSRFIPSKWEAKKVLGLVRAIRKGLIKFDKPKEEPRFYMLWGDDASSSEKTGHLSYIPAPKPNLPGHEESYNPSLEYIPTQEEINSYQLMDEEDRPKFIPKRFTSLRSIPAYENAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLKPYPATCYLEYRGHKGAVMSISTEASGQWIASGSSDGTVRLWEVETCRCIKVWEFGEVVQYVAWNPLPELPILAVSVGQDVFLLNTGLGNEETQRKVKELLHVATSTVLDGSGNKVSAWNWLQDDKHEGIRLQHFKTVYSVEWHRKGDYFSTVMPAGDSKAILIHQLSKKFTQRHPFKLHGLPVSAVFHPTRSIFFVSTKKNVRVYDLLKQKLIKKLETGLREVSSISVHPAGDNVIVGSRDGKLCWFDMDLSSKPYKVLKCHPKDINNVAFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHASSNGRGVLDCKFHPRQPWLFTAGADSLIKLYCH >Potri.003G009600.6.v4.1 pep chromosome:Pop_tri_v4:3:877081:885816:1 gene:Potri.003G009600.v4.1 transcript:Potri.003G009600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009600.v4.1 MGASKKESVEVEKREEEKKKSKKTQPTKKEKLSTEESLLHKKQEDTATDYKEFSEDDSSEDYKSAEESEAGDSETSGDEGFHNTGSNEGLANEGQSEDSEPDLGGEESDSSEDEVAPRNTVGDVPLEWYRDEKHIGYDIAGKKLKKKEKQDKLDSFLANVDDSKNWRKIYDEYNDEEVELTKEETKLIRRVLKGKAPHGDFDPYAPYVDWFKWEDSKHPLSNAPEPKSRFIPSKWEAKKVLGLVRAIRKGLIKFDKPKEEPRFYMLWGDDASSSEKTGHLSYIPAPKPNLPGHEESYNPSLEYIPTQEEINSYQLMDEEDRPKFIPKRFTSLRSIPAYENAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLKPYPATCYLEYRGHKGAVMSISTEASGQWIASGSSDGTVRLWEVETCRCIKVWEFGEVVQYVAWNPLPELPILAVSVGQDVFLLNTGLGNEETQRKVKELLHVATSTVLDGSGNKVSAWNWLQDDKHEGIRLQHFKTVYSVEWHRKGDYFSTVMPAGDSKAILIHQLSKKFTQRHPFKLHGLPVSAVFHPTRSIFFVSTKKNVRVYDLLKQKLIKKLETGLREVSSISVHPAGDNVIVGSRDGKLCWFDMDLSSKPYKVLKCHPKDINNVAFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHASSNGRGVLDCKFHPRQPWLFTAGADSLIKLYCH >Potri.003G009600.7.v4.1 pep chromosome:Pop_tri_v4:3:877127:885812:1 gene:Potri.003G009600.v4.1 transcript:Potri.003G009600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009600.v4.1 MGASKKESVEVEKREEEKKKSKKTQPTKKEKLSTEESLLHKKQEDTATDYKEFSEDDSSEDYKSAEESEAGDSETSGDEGFHNTGSNEGLANEGQSEDSEPDLGGEESDSSEDEVAPRNTVGDVPLEWYRDEKHIGYDIAGKKLKKKEKQDKLDSFLANVDDSKNWRKIYDEYNDEEVELTKEETKLIRRVLKGKAPHGDFDPYAPYVDWFKWEDSKHPLSNAPEPKSRFIPSKWEAKKVLGLVRAIRKGLIKFDKPKEEPRFYMLWGDDASSSEKTGHLSYIPAPKPNLPGHEESYNPSLEYIPTQEEINSYQLMDEEDRPKFIPKRFTSLRSIPAYENAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLKPYPATCYLEYRGHKGAVMSISTEASGQWIASGSSDGTVRLWEVETCRCIKVWEFGEVVQYVAWNPLPELPILAVSVGQDVFLLNTGLGNEETQRKVKELLHVATSTVLDGSGNKVSAWNWLQDDKHEGIRLQHFKTVYSVEWHRKGDYFSTVMPAGDSKAILIHQLSKKFTQRHPFKLHGLPVSAVFHPTRSIFFVSTKKNVRVYDLLKQKLIKKLETGLREVSSISVHPAGDNVIVGSRDGKLCWFDMDLSSKPYKVLKCHPKDINNVAFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHASSNGRGVLDCKFHPRQPWLFTAGADSLIKLYCH >Potri.003G009600.4.v4.1 pep chromosome:Pop_tri_v4:3:877081:885816:1 gene:Potri.003G009600.v4.1 transcript:Potri.003G009600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G009600.v4.1 MILLRIINQQRSQKLVTAKPLEMRYMGFHNTGSNEGLANEGQSEDSEPDLGGEESDSSEDEVAPRNTVGDVPLEWYRDEKHIGYDIAGKKLKKKEKQDKLDSFLANVDDSKNWRKIYDEYNDEEVELTKEETKLIRRVLKGKAPHGDFDPYAPYVDWFKWEDSKHPLSNAPEPKSRFIPSKWEAKKVLGLVRAIRKGLIKFDKPKEEPRFYMLWGDDASSSEKTGHLSYIPAPKPNLPGHEESYNPSLEYIPTQEEINSYQLMDEEDRPKFIPKRFTSLRSIPAYENAVKDSFERCLDLYLCPRVRKKRINIDPESLKPKLPSRKDLKPYPATCYLEYRGHKGAVMSISTEASGQWIASGSSDGTVRLWEVETCRCIKVWEFGEVVQYVAWNPLPELPILAVSVGQDVFLLNTGLGNEETQRKVKELLHVATSTVLDGSGNKVSAWNWLQDDKHEGIRLQHFKTVYSVEWHRKGDYFSTVMPAGDSKAILIHQLSKKFTQRHPFKLHGLPVSAVFHPTRSIFFVSTKKNVRVYDLLKQKLIKKLETGLREVSSISVHPAGDNVIVGSRDGKLCWFDMDLSSKPYKVLKCHPKDINNVAFHRSYPLFASCSDDCTAYVFHGMVYSDLNQNPLIVPLEILRGHASSNGRGVLDCKFHPRQPWLFTAGADSLIKLYCH >Potri.003G160600.1.v4.1 pep chromosome:Pop_tri_v4:3:17059096:17064665:1 gene:Potri.003G160600.v4.1 transcript:Potri.003G160600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G160600.v4.1 MGGGEKMRGGEKMYNTSTPMPTHQLSDSNRGIMGNHNDNNARNLRVYQTWKGSNIFCFGGRLVFGPDVRSLFLTIFLIMIPVILFCAFVSQRLINDFQHQLGYYVVVICVILTANVIILLFLTSARDPGIIPRNLHPPEDEGSSISVDWPGSQVAGPSLPPTKDVMVNGMVVKVKYCQTCLLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGKRNYRFFFMFVSSTTMLCLYVLAFCWVNIRKIMDTYHCNMWTAFLKSPVSGILILYTFICAWFVGGLTAFHLYLIFTNQTTYENFRYRYDGKMNPYNLGCIRNVLEVFFSKIPKSKNKFRAKVRVNSSSSYASSMPLGDSLSPEVPKRSFNIEVGKRQAVADEDFEDIQSQIDSVGGLERCGTQPRHTNWDHKANWEITPDIHVLAAEFGMESGLANRQKISRDH >Potri.013G154900.3.v4.1 pep chromosome:Pop_tri_v4:13:15024685:15031445:1 gene:Potri.013G154900.v4.1 transcript:Potri.013G154900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154900.v4.1 MGDLQVCGFPTENGHLHHHHHHHNDHDHHHRLLGSGQDPVSPSSSSNPDPGSIVEDNWERAEEVATEIVYRIHPTVESSFKRKQVIDYVQRLIRYSLGFEVFPYGSVPLKTYLPDGDIDLTAISSPAIEEALVSDVYTVLRGEELNEDALYEVKDVHCIDAEVKLIKCIVQNTVVDISFNQLGGLCTLCFLEEVDRLVGKNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLILYIFHLFHSSLNGPLAVLYKFLDYFSKFDWENYCISLNGPVCKSSLPNIVAKPPENVSGELLLSDEFLKDCVNRFYVPSRKPEMNSRPFPQKHLNIVDPLKENNNLGRSVNRGNFFRIRSAFKYGGRKLGRILLLPREKIADELKTFFANTLDRHGSDYWSDVQNSELASVARSSDNSVSLSSHSDTCSEDNTRLKSTDGYDRDTLFSEKSDHTPQVHHPGLSGENGRIKNGISCREMLINSGTEDEMSCTVGSEPKQNHFANSNSVCSCTKHEGIAPSASTTPNSAESVPENLSPTLGGKYCAGIPRNSQPLKSLLGLRGDHDDHLQSLAYSQYCHMYAVSAPIPPCPSMSPQSENNNRWETVRQSLQLKRNGHSQMNTNHVYGTQFYCVNPVAPFRAATNSEEKKERRGTGTYIPNMSYHAYMGDRLYSGKGRTQALVNHGQLHKHAHENDLPTTSQVKNLSEHGHDLSEAEYPHLGNGKPMPPEAHHSYPSAWGSSNAYSSSRASVRTDCGSQGLQHPEGLPSTSDLGTSYSGASATSPVASVVEDPDSILETEQER >Potri.013G154900.1.v4.1 pep chromosome:Pop_tri_v4:13:15024315:15031603:1 gene:Potri.013G154900.v4.1 transcript:Potri.013G154900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154900.v4.1 MGDLQVCGFPTENGHLHHHHHHHNDHDHHHRLLGSGQDPVSPSSSSNPDPGSIVEDNWERAEEVATEIVYRIHPTVESSFKRKQVIDYVQRLIRYSLGFEVFPYGSVPLKTYLPDGDIDLTAISSPAIEEALVSDVYTVLRGEELNEDALYEVKDVHCIDAEVKLIKCIVQNTVVDISFNQLGGLCTLCFLEEVDRLVGKNHLFKRSIILIKAWCYYESRILGAHHGLISTYALETLILYIFHLFHSSLNGPLAVLYKFLDYFSKFDWENYCISLNGPVCKSSLPNIVAKPPENVSGELLLSDEFLKDCVNRFYVPSRKPEMNSRPFPQKHLNIVDPLKENNNLGRSVNRGNFFRIRSAFKYGGRKLGRILLLPREKIADELKTFFANTLDRHGSDYWSDVQNSELASVARSSDNSVSLSSHSDTCSEDNTRLKSTDGYDRDTLFSEKSDHTPQVHHPGLSGENGRIKNGISCREMLINSGTEDEMSCTVGSEPKQNHFANSNSVCSCTKHEGIAPSASTTPNSAESVPENLSPTLGGKYCAGIPRNSQPLKSLLGLRGDHDDHLQSLAYSQYCHMYAVSAPIPPCPSMSPQSENNNRWETVRQSLQLKRNGHSQMNTNHVYGTQFYCVNPVAPFRAATNSEEKKERRGTGTYIPNMSYHAYMGDRLYSGKGRTQALVNHGQLHKHAHENDLPTTSQVKNLSEHGHDLSEAEYPHLGNGKPMPPEAHHSYPSAWGSSNAYSSSRASVRTDCGSQGLQHPEGLPSTSDLGTSYSGASATSPVASVVEDPDSILETEQERALLQQYHLKDDVDFPPLTQKDL >Potri.012G124788.1.v4.1 pep chromosome:Pop_tri_v4:12:14179403:14180257:1 gene:Potri.012G124788.v4.1 transcript:Potri.012G124788.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124788.v4.1 MIRWIKKKLRKTKVVTNNYTDNSFQVVEPSISGSHRFNSQSSSEGNSLEDQQSVGEEGINCSESQIPSNDEEIRRLKRQLDVREKEFANLRIAIQYACTVLSNAVTTADDALEFKDGSPCPSVMNCGGNCFREQDLIGAEQSVPIVELLVSP >Potri.010G181300.1.v4.1 pep chromosome:Pop_tri_v4:10:18000231:18001914:-1 gene:Potri.010G181300.v4.1 transcript:Potri.010G181300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181300.v4.1 MTPVTVNKGQEKSYKKRQTTIEKKATELAILCDVPVCVVIKNNTDGRVSTVPQDRGQAVDILFSYKRKLQAELVTGNAKSKVVKQDETWDPSFNNLPEENLMEFMKELEEKSKMVDEAIKRKQEVASKKGRGKRLHAGDGSTEVGNKKAKGNIVLDSRTTNSSFSTDSGLDSSGSSTSSNTGFSDGVSIPRRLTGGTFAGEIDNDTDIEALPASTVRPVKLFGIFLN >Potri.013G007300.1.v4.1 pep chromosome:Pop_tri_v4:13:471621:476497:-1 gene:Potri.013G007300.v4.1 transcript:Potri.013G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007300.v4.1 MSLRPGTRTEVRKKSYKTGVDADEARRRREDNLLEIRKNKREDNLLKKRREGLLFQSQSQPLLDAAQHAANIEKKLESLPMMVQGVWSDDPSLQLEATTQFRKLLSIERSPPIDDVIKAGVVPRFVEFLGRHDLPQLQFEAAWALTNVASGTSEHTRVVIDHGAVPMFVQLLSSGSDDVREQAVWALGNVAGDSPSCRDLVLGHGALMPLLAQLNENSKLSMLRNATWTLSNFCRGKPPTPFDQVKPALPVLQQLIHLNDEEVLTDACWALSYLSDGQNDKIQAVIDAGVCRRLVELLLHPSPTVLIPALRTVGNIVTGDDGQTQFVIDNQVLPCLHQLLLQNHKKSIKKEACWTISNITAGNRTQIQAVIEANIILPLVNLLQHAEFDIKKEAAWAISNATSAGSHEQIQFLVSQGCIKPLCDLLICPDPRIVTVCLEGLENILKVGEADKEMGLNGGVNLYAQMVDECDGLDKIENLQTHDNNEIYEKAVKILERYWAEEEDGEPIVQDGGDGNQQGFAFGTNQPSVPQGGFKFG >Potri.015G103900.1.v4.1 pep chromosome:Pop_tri_v4:15:12279387:12280907:-1 gene:Potri.015G103900.v4.1 transcript:Potri.015G103900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103900.v4.1 MTKSRQHHHRFIIRTILLLLSLNSVPSISAHFFPNFTSIPEELLKNATGNPWDFFQQLAGCHAGKKYDGLAKLKHYFQYFGYIPNSLSNFTDDFDDYLESALRTYQQNFNLNVTGELDDQTVNHVVRPRCGNPDIINGSTSMNSGKTNNTSSSHHLHTVSHYSFFTGQPRWRKQALTYVFSPENQLSDEVKAVFSRAFDRWSTVIPLNFSQTDSIYTADIRIAFFSGDHGDGEPFDGVLGTLAHAFSPQNGRLHLDDDEHWVVTDDVRTSTLTSAVDLESVAVHEIGHLLGLGHSSVEESIMYPSISSRTRKVELATDDIEGIQTLYGSNPNFNGSSAQSVQEETGSGSGAAHCVHSRWGLTGLIMALCFTFLLL >Potri.001G398200.2.v4.1 pep chromosome:Pop_tri_v4:1:42359882:42361777:-1 gene:Potri.001G398200.v4.1 transcript:Potri.001G398200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398200.v4.1 MDARTLEGKHSSLKEKMINKDDFLIEDELEDDMEEYESGGGVGLADDEKKKGAGVMHGKRGTGSGGASPPSCQVEKCGANLTDAKRYHRRHKVCEVHAKSPAVVVAGLRQRFCQQCSRFHELVEFDETKRSCRRRLAGHNERRRKSTAESYGEGSNRKGVNTSLKENPCRQADERGRFQINIPPQGSSSYKRSQIR >Potri.009G153966.1.v4.1 pep chromosome:Pop_tri_v4:9:12067130:12067628:1 gene:Potri.009G153966.v4.1 transcript:Potri.009G153966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153966.v4.1 MENGFGIPYVFAPCIAVCRDPRWGRCYESYSENPKVVEMMTEIIPGLQGDVPPDSRKGVPCVGGKTKLQPVRSTLLAMGAPPRALTRTILLLATMD >Potri.004G110661.1.v4.1 pep chromosome:Pop_tri_v4:4:9984535:9984900:-1 gene:Potri.004G110661.v4.1 transcript:Potri.004G110661.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110661.v4.1 MGSFGKNISSTLFLFIGILIITPGFAIRTNEENPELSQHLEECHKKVTKRCAIEISNSIYTNKTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCASIIALAPVA >Potri.010G076200.4.v4.1 pep chromosome:Pop_tri_v4:10:10370502:10373727:1 gene:Potri.010G076200.v4.1 transcript:Potri.010G076200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076200.v4.1 MGIEVTDVCMDKEPNCVIVYSNGVSHDPTHETVPDDHGVLESYEPINGVPELHSSEESTEAKEYVVKECTTEVSVEVTELSHAEKSKEDQTVVCSNFEDGLKVEKVKALNRKSKDIGQKKSSTKHASKPAPAGLARTKHTVPQPFALATEKRASLGMRPSGEPDITNGLNKSFKANNALRPNPIKQNQPLSVSRKPLQPNNKKHPDEEDNCSVTSSTTASARPAKSKPAAVAAPVFRCNERAEKRKEFYSKLEEKHLALEAEKTQSEARTKEEKEAAIKQLRKSLMFKASPMPSFYHEGPPPKVELKKLPPTRAKSPKLGRRKSCSNGVNSSQPDRVKGACGDGNNQSQGIFREDTSNPVSQHSIPKGHVICKFEDETRQMEGIDELIPLEVSGQSFAGIGLQS >Potri.010G238800.1.v4.1 pep chromosome:Pop_tri_v4:10:21806596:21808327:-1 gene:Potri.010G238800.v4.1 transcript:Potri.010G238800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G238800.v4.1 MAASRASKLFAAAAEAVGSSARKQVLSLTDAAATRIRDLLQQRKRPFLRLGVKARGCNGLSYTLNYADEKGKFDELVEDKGVKILIDPKALMHVIGTKMDFVDDKLRSEFIFINPNSKGQCGCGESFMTRSSSTTAKGGGS >Potri.010G190800.2.v4.1 pep chromosome:Pop_tri_v4:10:18645200:18647996:-1 gene:Potri.010G190800.v4.1 transcript:Potri.010G190800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190800.v4.1 MFQVGLKRIDAALDAVRPMGFPEPLVRRTVRNLLKEYGGDEGWAFIEECCYKLLIDTLLDEVEKSERENCEPGLLTGNDKLKHLIENGPAEDDTVKDEPKVQVHSPNGNSKQVHSPNIHSPASMDIILCPSVSDAPDEKLGVKDFSQQIHSAQASSSHFNSSHVTDIVPRSLKDVSSLVKLEMQSCSPQISSTGVQSPHLFSPSPVDSLPPQRRKPCYGWLSSDDEDEPDLLHLTPAT >Potri.010G190800.1.v4.1 pep chromosome:Pop_tri_v4:10:18645196:18648050:-1 gene:Potri.010G190800.v4.1 transcript:Potri.010G190800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G190800.v4.1 MPPARRDRRVGLKRIDAALDAVRPMGFPEPLVRRTVRNLLKEYGGDEGWAFIEECCYKLLIDTLLDEVEKSERENCEPGLLTGNDKLKHLIENGPAEDDTVKDEPKVQVHSPNGNSKQVHSPNIHSPASMDIILCPSVSDAPDEKLGVKDFSQQIHSAQASSSHFNSSHVTDIVPRSLKDVSSLVKLEMQSCSPQISSTGVQSPHLFSPSPVDSLPPQRRKPCYGWLSSDDEDEPDLLHLTPAT >Potri.012G063400.1.v4.1 pep chromosome:Pop_tri_v4:12:7148743:7152079:-1 gene:Potri.012G063400.v4.1 transcript:Potri.012G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G063400.v4.1 MGWAIALHGGAGDISLSLPAERRLPREAALHHCLQIGVAALKAQKHPLDVVELVVRELENHPNFNAGKGSVLTSRGTVEMEACIMDGNSKKCGAVSGLTTVVNAISLARLVMDNTPHIYLGFDGAEAFAREQGVETVDSSHFITPENIERLKQAKEADRVQMDYTQPIQKDEKSESPTGNGDSQIGTVGCVAVDKNGNLATATSTGGFVNKMVGRIGDTPIIGAGTYANNLCAVSATGKGETIIRGTVARDVAALMEYKGLSLKEAAAHVVECNPRGDVGLVAVSARGEVTMPFNTTGMFRACATEDGYSEVGIWPSVQD >Potri.002G165400.1.v4.1 pep chromosome:Pop_tri_v4:2:12643132:12645002:1 gene:Potri.002G165400.v4.1 transcript:Potri.002G165400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165400.v4.1 MAEQSESPTESVMEKIIEKVHHHESSSSDSELDSDKPDPLESVKAKIWRLFGREKPVHHVLGGGKPADVFLWRNKKVSAGVLGFVTAMWVLFELIEYHLLTLVCHILILAAALLFLWSNACTLINKSPPRIPEVHLPEKLVLQVASALCVEINSAFAGLRSVAAGKDFKKFLMIIAGLWVLSIVGSSCHFLTLFYICFVLLHTVPVLYEKYEDKVDPLAEKAMVEIKKQYAVFDAKVLSKIPVASLKAKRV >Potri.015G107600.1.v4.1 pep chromosome:Pop_tri_v4:15:12585880:12586220:-1 gene:Potri.015G107600.v4.1 transcript:Potri.015G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107600.v4.1 MAEKDAKALYKAGEKRLGTDEKTFIRVFSERSAAHLAAVDSAYHNMYGNSLKKAIKKETSGHFEHALKTILQCSENPAKYFVKVSF >Potri.006G021000.1.v4.1 pep chromosome:Pop_tri_v4:6:1414938:1415977:1 gene:Potri.006G021000.v4.1 transcript:Potri.006G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G021000.v4.1 MQYYQQSNTSSADSSSSSGSSRTASAAAAAAGAIAPKVSGHHHVFRGVRRRSSGKWVSEIREPKKPNRIWLGTFPNPEMAAVAYDVAALALKGQDAELNFPNSAASLPVPASTSPRDIQAAAASAAAAIGAAKDALGIRSMGDTNQMEQEIRPMVNDQFVDEDLMFDMPNVLVNMAEAMLLSPPRLDIAGDDATAYDSTGDQNLWKFP >Potri.001G216300.1.v4.1 pep chromosome:Pop_tri_v4:1:22362954:22364180:-1 gene:Potri.001G216300.v4.1 transcript:Potri.001G216300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G216300.v4.1 MADIEIPEYFLCPISLQILKDPVTTITGITYERESIEHWLKTAQGNPTCPVTKQPLPGDSELIPNHTLRRLIQSWCTLNAIDGIDRIPTPKSPIKKSQVLRLIKDLDVPDHSCTKALMKLEALAAENERNRTCMVEAGVAKAMVLFIIKCFKEGKTTGLEEGLRILYLIWNQSQEIKLLVRENQEFIDSLTWTLRCKIDNHVDVKTHAMLVLHKTICVVCQELLERLKVDFFKEIVTRVLRKRISKLAIKSALLVLTEVCFGGRNRMKIVEANAVFELIELELEKPERNITELIFNLLAQLCSCAAGREQFLKHAGSIALLSKRVLRVSPATDDRAVHILDSISKFSAGDEAVLEMLRVGAVSKLLCMLIQADCAPYLKKKARGILRLHSNTWNNSPCIAVDLLTRYP >Potri.012G102300.1.v4.1 pep chromosome:Pop_tri_v4:12:12486099:12488187:-1 gene:Potri.012G102300.v4.1 transcript:Potri.012G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102300.v4.1 MKEYQTPLKELSRSSSSSARKFKESQLKKPQKIAKKSLNGVFSSAAEVVSPEINNELSDLSLVSDISDPYHCGQTAQISVSPLNPAPWATTDTLSVSDLTPTSEISTITDGPGSVEKYGLGKLCGSKIGPVEGLEADVAVKLLKEARVEVSNSDVRSKKVLDALTKAVMDEYYTLPEEKDWMTDLVSMKWRIVCLCFLIWSFVVSTILFLFGSGLGGSAGGPLPT >Potri.012G102300.2.v4.1 pep chromosome:Pop_tri_v4:12:12486099:12488187:-1 gene:Potri.012G102300.v4.1 transcript:Potri.012G102300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G102300.v4.1 MKEYQTPLKELSRSSSSSARKFKESQLKKPQKIAKKSLNGVFSSAAEVVSPEINNELSDLSLVSDISDPYHCGQTAQISVSPLNPAPWATTDTLSVSDLTPTSEISTITDGPGSVEKYGLGKLCGSKIGPVEGLEADVAVKLLKEARVEVSNSDVRSKKVLDALTKAVMDEYYTLPEEKDWMTDLVSMKWRIVCLCFLIWSFVVSTILFLFGSGLGGSAGGPLPT >Potri.013G108200.2.v4.1 pep chromosome:Pop_tri_v4:13:11689687:11692918:-1 gene:Potri.013G108200.v4.1 transcript:Potri.013G108200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108200.v4.1 MNRLIHTPTAKFRRFRRHHQHATSTTDPNPLQILWRYQILDPDSEIVMYWNHVFLLTSIIALFIDPLYFYLPYVGPQNRDRMTIHSDYIYVCLSIDKQLSVLITYFRSIADMFFSLHILMKFRTAFVAPSSRVFGRGELVIDAKEIAMRYLKSDFLIDLAAALPLPQIVIWLVIPATRNNSTDHANTTLSLMVLIQYIPRMFLIFPLHQRIVRSTGFVAKAAWAGAGYNLILYMLASHALGASWYTLSMGRQFYCWKSECTKENKAGIVGCIHSYLDCNSLGNPERQYWRNVTDVPANCDARNDTLTFKYGIFADAFINDVASSRFIEKFLYCLWWGLRNLSSYGQTLETSTFLGENVFCILICIIGLVLSAQLIGNMQTNLQSMTVRVEEWRIKRRDTEEWMRHRQLPPDLQERVRRFVQHKWLATRGVHEESILHSLPLDLRREIQRHLSLALVRRVPFFSQMDDQLLDAICERLVSSLSTEGTYIVREGDPVNEMLFVIRGQLESSTTNGGRSGFFNSITLRPGDFCGEELLTWALMPISSANLPSSTRTVKALSEVEAFALEAKDLKFFAHQFKRLQSKKLQHAFRYYSHQWRTWAACLIQSVWRRYKKRKMTKELALRNSIGYIQIPEREYYYSDDQADGDYEE >Potri.013G134500.1.v4.1 pep chromosome:Pop_tri_v4:13:14007822:14011882:-1 gene:Potri.013G134500.v4.1 transcript:Potri.013G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134500.v4.1 MSSVAINIVQNSIPRDHMENTKIDSKLYECVKQDNIEEFKSRVQQHLTEKLVTPCGNSLLHVAVSYGSDNITSYLAGTFPSLITIQNSQKDTILHLAAREGKASHTIKSLVESNPSLTRKKNTKGNTPLHDAVIKGNKDLAIFLVSKDPEVAYYNNKNGKSPLFLAVENGNKEEILDDLLKTEASFPIKSEDGDALPEGKSPVHAAIKQRNRDILEKIEKEKPELLRLTEEGLGNSLHYASSIGFLKGVQFLLKKFDDGAYETNLEGNYPIHLACKSHSVDVVEEFLDIFPYPKEFLNKKGQNILHVAAKYGNGNVVRYLLKHDQKLDAPLLNAIDEDGNTPLHLAASHGRCMATFLLLRDCRVEHFIVNNRNCTPYEWAEEFSKRFEEKYIKTDEMRAKERKQFDSKNSTPADEIKDKVVDSNKLDTKEASPKDEIRVVYLRLVITSSILYFNARPKKSLFVYLSFSPLLYSPCHEQKRK >Potri.017G064100.1.v4.1 pep chromosome:Pop_tri_v4:17:5474581:5477026:1 gene:Potri.017G064100.v4.1 transcript:Potri.017G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX88 MERGYMLLLVVLIIAIGRGEGQLVENFYSSSCPNVEGIVRQAVSTKFRQTFTTIPATLRLFFHDCFVTGCDASTMVSSPNGDAEKDAPDNLSLAGDGFDTVVKAKQAVEAACPKVVSCADILALAARDVVVLAGGPSFNVELGRRDGMVSQASLVKGNLPDPDFTLSQLNAMFAKNNLNQIDMIALSGAHTLGFSHCNRFAKRLYSFSSSSPVDPSLDAEYAQQLMNACPRNVDPSIAIDMDPVTSRTFDNVYFQNLVSGKGLFTSDEVLFSDPASQPTVNDFAKNSGDFNGAFATAMRKLGRVGVKTGSQGTIRTDCTVINS >Potri.016G142500.1.v4.1 pep chromosome:Pop_tri_v4:16:14472195:14478973:1 gene:Potri.016G142500.v4.1 transcript:Potri.016G142500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142500.v4.1 MSEPCTFISVATRHLFYICFNIFLHRPEKFHGLLQRLWFSEANKLASSSMKPASQFFERFSASIRNVCDFEYQLNKGQLVRMLTDIRQPNKRLSYCNSESVQSACWAPFDIYLEHIMDGKQLLITSGVSMLTETIMLLQVFNRASWQETFLALWLSALRLVQREHDPLEGPIPHLESRLCILLTIVPLAIANIMDDEAKFCSSSLQGAAKSGFIEIDGHENQVDGKGQTSRKNGLISSLQVLGQFSGLLCPPASVIGAANAAAVKAASFISNSKSARGDSVCGTHSDSDINAGGNLRHLIIEACIARKLIDTSVYYWPGYVSASVISFIDLPPAQKSPWVIFMEGTPFSNSLVNFLLATPAPSLAEIEKLYDIALNGSVEERSAAAKILCGASLSRGWNIQEHVLHYVVKLLSPPKPSTHTGQRNHLIDYMPMLSAILSGASSIDTVHVLSLHGLIPEVAASLMPLCEVFGSLMPTSSNISSKGDEPSIYMVFSSAFLFLLRLWKFYRPPIEQCLTGGGAIGGELTLEYLLLLRNGRIASHNYSAQDEINSNQVQHEYSSDKPEYVDFYPKLRAWYCQNKSCIASPLSGISTGNPVHEVANKILNMIYRKMTKSGSSSGNSSTVTSNSLCGSSPSTAEDPYQRPMLPAWDVLEAIPFVLEAILTACAHGRLSSRDLTTGLRDLIDFLPATLGTIVTYFAAEITRGIWKPVPMNGTDWPSPAAILSAVDSEIKEILAAAGVDFPCGSSGQSPPMLPLPMAALVSLTITFKLNKSHEYIHAVVGPALENCSSGCPWPSIPIIGSLWAQKVRRWHHFIVVSCARSVLKRNKVAVAQLLRSCFSSFLGSLNDSTSLLTNQSSVSRLLGTTIAVPGVSPSLAPGFLYLRSCRTIEDIQYVNGVVIGLVTEYARELATRWTGMDSSRLKSSQASLSHAAAKAREVAILGASLLCLSGGMNLIQELYLETIPTWLLSSKKEKLGEVSAVSRILEGYAMAYMVVLSGSALWGIGPTPPAWALSRRARVVGVHMDFLVRVLEGNISLGCHPATWKAYVSCVVGLVVSFAPAWIQVVKLETLRKLASGLRGWHESELALSLLERGGVAAMGSVAELLNVIS >Potri.016G142500.6.v4.1 pep chromosome:Pop_tri_v4:16:14470508:14478966:1 gene:Potri.016G142500.v4.1 transcript:Potri.016G142500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142500.v4.1 MEMEMEMEKTRGGESEESERRKLILLEKVKECLSQRQDQRREESPLVWAMEVVKCLKSLKMEMPSPDLAEILVSHLCFDNNNASTWKFLQQALSSRLLSPLHVLSLLSSRVIPNRRSQPEAYRLFLELFSRYAFSLDTAVDDACRDKIINSVDAALQLSRTYEIKSSDIQEGPLGTDNFQDMDMDSRGDYSVERNEHRELLRKKNTIMSMEVLAKLMESRKAVVLLRLVHFNMPEKFHGLLQRLWFSEANKLASSSMKPASQFFERFSASIRNVCDFEYQLNKGQLVRMLTDIRQPNKRLSYCNSESVQSACWAPFDIYLEHIMDGKQLLITSGVSMLTETIMLLQVFNRASWQETFLALWLSALRLVQREHDPLEGPIPHLESRLCILLTIVPLAIANIMDDEAKFCSSSLQGAAKSGFIEIDGHENQVDGKGQTSRKNGLISSLQVLGQFSGLLCPPASVIGAANAAAVKAASFISNSKSARGDSVCGTHSDSDINAGGNLRHLIIEACIARKLIDTSVYYWPGYVSASVISFIDLPPAQKSPWVIFMEGTPFSNSLVNFLLATPAPSLAEIEKLYDIALNGSVEERSAAAKILCGASLSRGWNIQEHVLHYVVKLLSPPKPSTHTGQRNHLIDYMPMLSAILSGASSIDTVHVLSLHGLIPEVAASLMPLCEVFGSLMPTSSNISSKGDEPSIYMVFSSAFLFLLRLWKFYRPPIEQCLTGGGAIGGELTLEYLLLLRNGRIASHNYSAQDEINSNQVQHEYSSDKPEYVDFYPKLRAWYCQNKSCIASPLSGISTGNPVHEVANKILNMIYRKMTKSGSSSGNSSTVTSNSLCGSSPSTAEDPYQRPMLPAWDVLEAIPFVLEAILTACAHGRLSSRDLTTGLRDLIDFLPATLGTIVTYFAAEITRGIWKPVPMNGTDWPSPAAILSAVDSEIKEILAAAGVDFPCGSSGQSPPMLPLPMAALVSLTITFKLNKSHEYIHAVVGPALENCSSGCPWPSIPIIGSLWAQKVRRWHHFIVVSCARSVLKRNKVAVAQLLRSCFSSFLGSLNDSTSLLTNQSSVSRLLGTTIAVPGVSPSLAPGFLYLRSCRTIEDIQYVNGVVIGLVTEYARELATRWTGMDSSRLKSSQASLSHAAAKAREVAILGASLLCLSGGMNLIQELYLETIPTWLLSSKKEKLGEVSAVSRILEGYAMAYMVVLSGSALWGIGPTPPAWALSRRARVVGVHMDFLVRVLEGNISLGCHPATWKAYVSCVVGLVVSFAPAWIQVVKLETLRKLASGLRGWHESELALSLLERGGVAAMGSVAELLNVIS >Potri.016G142500.2.v4.1 pep chromosome:Pop_tri_v4:16:14470392:14478990:1 gene:Potri.016G142500.v4.1 transcript:Potri.016G142500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142500.v4.1 MEMEMEMEKTRGGESEESERRKLILLEKVKECLSQRQDQRREESPLVWAMEVVKCLKSLKMEMPSPDLAEILVSHLCFDNNNASTWKFLQQALSSRLLSPLHVLSLLSSRVIPNRRSQPEAYRLFLELFSRYAFSLDTAVDDACRDKIINSVDAALQLSRTYEVRLSELGQLLVLFFFTVFVGLIDSTFDDMGLQIKSSDIQEGPLGTDNFQDMDMDSRGDYSVERNEHRELLRKKNTIMSMEVLAKLMESRKAVVLLRLVHFNMPEKFHGLLQRLWFSEANKLASSSMKPASQFFERFSASIRNVCDFEYQLNKGQLVRMLTDIRQPNKRLSYCNSESVQSACWAPFDIYLEHIMDGKQLLITSGVSMLTETIMLLQVFNRASWQETFLALWLSALRLVQREHDPLEGPIPHLESRLCILLTIVPLAIANIMDDEAKFCSSSLQGAAKSGFIEIDGHENQVDGKGQTSRKNGLISSLQVLGQFSGLLCPPASVIGAANAAAVKAASFISNSKSARGDSVCGTHSDSDINAGGNLRHLIIEACIARKLIDTSVYYWPGYVSASVISFIDLPPAQKSPWVIFMEGTPFSNSLVNFLLATPAPSLAEIEKLYDIALNGSVEERSAAAKILCGASLSRGWNIQEHVLHYVVKLLSPPKPSTHTGQRNHLIDYMPMLSAILSGASSIDTVHVLSLHGLIPEVAASLMPLCEVFGSLMPTSSNISSKGDEPSIYMVFSSAFLFLLRLWKFYRPPIEQCLTGGGAIGGELTLEYLLLLRNGRIASHNYSAQDEINSNQVQHEYSSDKPEYVDFYPKLRAWYCQNKSCIASPLSGISTGNPVHEVANKILNMIYRKMTKSGSSSGNSSTVTSNSLCGSSPSTAEDPYQRPMLPAWDVLEAIPFVLEAILTACAHGRLSSRDLTTGLRDLIDFLPATLGTIVTYFAAEITRGIWKPVPMNGTDWPSPAAILSAVDSEIKEILAAAGVDFPCGSSGQSPPMLPLPMAALVSLTITFKLNKSHEYIHAVVGPALENCSSGCPWPSIPIIGSLWAQKVRRWHHFIVVSCARSVLKRNKVAVAQLLRSCFSSFLGSLNDSTSLLTNQSSVSRLLGTTIAVPGVSPSLAPGFLYLRSCRTIEDIQYVNGVVIGLVTEYARELATRWTGMDSSRLKSSQASLSHAAAKAREVAILGASLLCLSGGMNLIQELYLETIPTWLLSSKKEKLGEVSAVSRILEGYAMAYMVVLSGSALWGIGPTPPAWALSRRARVVGVHMDFLVRVLEGNISLGCHPATWKAYVSCVVGLVVSFAPAWIQVVKLETLRKLASGLRGWHESELALSLLERGGVAAMGSVAELLNVIS >Potri.003G111201.1.v4.1 pep chromosome:Pop_tri_v4:3:13348768:13350984:1 gene:Potri.003G111201.v4.1 transcript:Potri.003G111201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G111201.v4.1 MLDLQHAAAFLPRTKIIASTDYLVTVGSDLCIVTAGARQIAGESRLNLLQRNVALFRGIIPPLAKYSPGTILMIVSNPVDVLTYVAWKLSGFPSNRVVGSGTNLDSSRFRFLIADHLDVNAQDVQASIIGEHGDSSVALWSSISVGGVPVLSFLEKQQIPYEKETLEGIHKAVVDSAYEVISLKGYTSWAIGYSAANLARSILRDQRKIHPVSVLAKGFYGIDDGDVFLSLPAQLGRGGVLGVTNVHLTDEEAQRLRKSAQTILKVQSQLGL >Potri.013G038400.1.v4.1 pep chromosome:Pop_tri_v4:13:2589226:2592246:1 gene:Potri.013G038400.v4.1 transcript:Potri.013G038400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G038400.v4.1 MAMNSRKTGDKIILDQENLLPEPKRIQRRWKMAYKVIYFTRLLVSFSKKDLDSQTEIPGSTSYVAIDVHDDTSPCENKPVSLINVYQRTLINMVKEKSLKILNQLGGVSQVAIILETDVKDGVGEVDVAHRRHVFGENSYKKSPSKRFPSYVLEECKDPTIIILLFCAIMSLGFAIKLHGLRDGWYDGGSIILSTVHLVAVSAISKFMKSNKFEKLFRVRNDIKVQVVRDRRRRREISIFEVVVGDVVYLNKGDQIPADGLLLNDSSLKVDESSMSSEMDHVEVKGRENPFLLSGSKVTDGNGFMLVTSVGMNTAWGEMMSSRSHDLDEQTPLRSHLDKIVSYMRKVGWTVALFVLVVLLMRYFTRKTRDDSGYYEYNGSKTKINNVLDPVVRSIAAAVTIVVVATPEGLSLAVTLTYLYFMKRMMKERVMVRELSSCEKMGSATTIIIDKKDILTLNQMEVVEFFIGEDIIKAKPSNGEIESKVLELLQEGCTSILEISGSPTEKAILSWAMSPLGINIGEEKKKVEIKHEENFDPEKNGSGVLLVRRNNEKVVRHRKGDAEVILAMCSNYYVRNGEIRDVNEDARKQLKEIIRRMAVKSLCCMAFACKEVEDSGQASDELEAAGFTLLGLVGLKDGCRIEIRTAVESCKNAGVKVILVTGDDVRTARATAIECGVFSCDQEDVESDAIVEGVQFSNYSREQRMERIGKILVMGSSSPADKLEMIRCLKEEGHVVAVTGGGTDDALALKEADIELSMGIRGTEVAKEGSKIVILDDNFISVVTMLSWGRCVYNNIQKFIQFQLTMNVAALVINLISACSSGVLSLSAFQILWEKVIIDTLGLLAVISHEQPTKDLMRKPPVCWSKRPINESIFNNILIQVFYQAVTLSALQFNVGKSILGLAINNTLVFNTSVICQVFNIFTARLPVEKKSMFMWIRKNKRFLAAVLVVTVAQGVIVELSSKVTNSNEKLDRKQWCVSLTMAASSWLFDQLVRRMILPKISFLGS >Potri.015G024800.1.v4.1 pep chromosome:Pop_tri_v4:15:1854660:1865796:1 gene:Potri.015G024800.v4.1 transcript:Potri.015G024800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024800.v4.1 MLPIKHDKSFHHLYVLIVLLLLMKLAPGFISGVKGATFGCIERERQALLKFKEDLIDDFGLLSTWGSEEEKRDCCKWRGVGCNNRTGHVTHLDLHWEKSGKYLTGKISNSLLELQHLSYMSLRGSYFRYPSLVNPGSDFQGSSFEGIPFPYFIGSLESLRYLDLSSMNIMGTLSNQFWNLSRLQYLNLSDNYNINFKSLDFLNNLFFLEYLDISRNNLNQAIDWMEMVNKVPFLKVLQLSGCQLSNINPPSLFFMNSSKFLAVIDLSNNYLVSSTFNWLSNFSNSLVDLDVSGNWDNSSKNLDWLSYLFSLEHLDLSRNKNLSIDWLQLPNRLPRLHEFLVDLDLSFNHLQGSIPDAFTNMTSLRTLDLSCNQLQGSNPEAFANMISLRTLHLSSNQLQGDLSSFGQMCSLNKLYISENSLTGELSRLFQDLHGCVENSLEILQLDENQLHGSVPDITRFTSMRELVLSRNQLNGSLPKRFSQRSKLVLLYLDDNQLTGSVTDVTMLSSLRELVIANNRLDGNVSESIGGLSQLEKLDAGRNSLQGVMSEAHFSNLSKLTVLDLTDNSLALKFESNWAPTFQLDDIFLSSCNLGPPFPQWLRNQNNFIKLDISGSGISDTIPNWFWNLSNSKLQLLNLSHNRMCGILPDFSSKYSNLLHIDLSFNQFEGRLPLFSSDTTSTLFLSNNKFSGPASCPCNIGSGILKVLDLSNNLLRGWIPDCLMNFTSLSVLNLASNNFSGKILSSIGSMVYLKTLSLHNNSFVGELPLSLRNCSSLAFLDLSSNKLRGEIPGWIGESMPSLKVLSLRSNGFNGSILPNLCHLSNILILDLSLNNITGIIPKCLNNLTSMVQKTESEYSLANNAVLSPYFTSDSYDAYQNKMRVGWKGREDGYESTLGLLRIINLARNKLIGEIPEEITGLLLLLALNLSGNTLSGEIPQKIGQLKQLESLDLSGNQLSGVIPITMADLNFLAFLNLSNNHLSGRIPSSTQLQGFNASQFTGNLALCGKPLLQKCPRDETNQSPPPNDDNRGKEVVADEFMKWFCTAMGIGFSVFFWGVSGALLLKLSWRHAYFVRILPFVAIPHH >Potri.017G009000.1.v4.1 pep chromosome:Pop_tri_v4:17:632284:633288:1 gene:Potri.017G009000.v4.1 transcript:Potri.017G009000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G009000.v4.1 MPIKYTYLEIKKITNGFKDKLGEGSFGSVYKGKLRSGRFAAVKLFGKSKANGQDFINEVATIGRIHHVNVVQLIGYTVEGSKHAFIYEFMPNGSLEKYIFSREGSVPLSNEKMYEISLGVAHGIQYLHQGCDMQILHFDIKPHNILLNDKFVPKVSDFGLAKLYPTNNNIVSLTAARGTMGYMAPELCYKNIGDVSFKADVYSYGMLLMEMVGRRKNLNALANHSSQIYFPSWVYDQVSEGKDIEVQEDALEHGKKTTKKMIIVALCCIQLKHVDRPSMHKVVEMLESDVESLRMPPKPFLTPHQILEDDDRTNHAKLSDPLNDCIYSSYQFGR >Potri.004G068901.1.v4.1 pep chromosome:Pop_tri_v4:4:5848570:5849098:1 gene:Potri.004G068901.v4.1 transcript:Potri.004G068901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068901.v4.1 MGSQRNNQYLTMILFVTIFFLSCSQRILAARLLIGRDQRGHVVSCSVCTYACAECHGNGPPMI >Potri.006G087400.1.v4.1 pep chromosome:Pop_tri_v4:6:6578746:6582716:-1 gene:Potri.006G087400.v4.1 transcript:Potri.006G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087400.v4.1 MKRPLSFSLPVTVVVLAILYIYLSTIFVFIDRWFGLMSSPGIMNAVVFTALALMSVFNYAIAILTDPGRVPPSFMPDVEDSDNPVHEIKRKGGDLRFCQKCSHFKPPRAHHCRVCRRCVLRMDHHCIWISNCVGHANYKVFFVFVVYAVIACIYSLVLLVGSLTVDPQKDELQSGDSFRTIYVISGLLLVPLSAALGVLLGWHVYLILQNKTTIEYHEGVRAMWLAEKGGHVYKHPYDVGAYENLTTVLGPSIFCWVCPTSGHIGSGLRFRTAYDSMTGASVR >Potri.002G127500.1.v4.1 pep chromosome:Pop_tri_v4:2:9659481:9662104:-1 gene:Potri.002G127500.v4.1 transcript:Potri.002G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127500.v4.1 MKALVTGASGYLGGRLCHGLLKQGHSVRALVRRTSDISELPPPSSGGVFELAYGDITDYQSLLDAFSGCQVIFHAAAIVEPWLPDPSKFFSVNVEGLNNVLQAAKETETIEKIIYTSSFFALGSTDGYVADESQVHCEKRFCTEYERSKMIADKIASQAAAERVPIVMLYPGVIYGPGKLTTGNIVAQLLIERFAGRLPGYIGYGNDKFSFCHVDDLVDGHIAAMDKGRQGERYLLTGENASFKLVFDMAAIISETKKPRFSIPLCIIESYGWLLVLVSRLTGNLPLISPPTVHVLRHQWEYSCEKAKTELGYNPRGLEDGLKEVLPWLKSMGVIKY >Potri.002G127500.6.v4.1 pep chromosome:Pop_tri_v4:2:9659482:9662119:-1 gene:Potri.002G127500.v4.1 transcript:Potri.002G127500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127500.v4.1 MKALVTGASGYLGGRLCHGLLKQGHSVRALVRRTSDISELPPPSSGGVFELAYGDITDYQSLLDAFSGCQVIFHAAAIVEPWLPDPSKFFSVNVEGLNNVLQAAKETETIEKIIYTSSFFALGSTDGYVADESQVHCEKRFCTEYERSKMIADKIASQAAAERVPIVMLYPGVIYGPGKLTTGNIVAQLVRFFSVFLIH >Potri.010G093200.3.v4.1 pep chromosome:Pop_tri_v4:10:11770441:11775256:-1 gene:Potri.010G093200.v4.1 transcript:Potri.010G093200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093200.v4.1 MVLHKFGEKLYNGLVATMTSHLREISKSVEAAQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTHKTPVHELGLNLWRDNIIHSSKIQTRLQNTLLELVHRERTGEVIDRGLMRNIVKMLMDLGSSVYQEDFEKPFLEVSAEFYRGESQKFIECCDCGDYLKKAEKRLNEEIERVTHYLDSKSEVKITNVVEKEMIANHMLRLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLSTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIISNAFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGVSEEDVEIILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHVELGDARTLVVQVLTTGSWPTQPGVTCNLPAEMSALCEKFRSYYLGTHTGRRLSWQTNMGTADVKATFGKGGQKHELNVSTYQMCVLMLFNNAERLSYKEIEQATEIPAADLKRCLQSMACVKGKNVLRKEPMSKDIGEEDVFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIITEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Potri.010G093200.1.v4.1 pep chromosome:Pop_tri_v4:10:11770405:11775403:-1 gene:Potri.010G093200.v4.1 transcript:Potri.010G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093200.v4.1 MSNQKKRNFQIEAFKHRVVVDPKYADKTWKILEHAIHEIYNHNASGLSFEELYRNAYNMVLHKFGEKLYNGLVATMTSHLREISKSVEAAQGDSFLEELNRKWNDHNKALQMIRDILMYMDRTYIPSTHKTPVHELGLNLWRDNIIHSSKIQTRLQNTLLELVHRERTGEVIDRGLMRNIVKMLMDLGSSVYQEDFEKPFLEVSAEFYRGESQKFIECCDCGDYLKKAEKRLNEEIERVTHYLDSKSEVKITNVVEKEMIANHMLRLVHMENSGLVNMLLDDKYEDLGRMYNLFRRVPNGLSTIREVMTSHLRETGKQLVTDPERLKDPVEFVQRLLDEKDKYDSIISNAFNNDKTFQNALNSSFEYFINLNARSPEFISLFVDDKLRKGLKGVSEEDVEIILDKVMMLFRYLQEKDVFEKYYKQHLAKRLLSGKTVSDDAERSLIVKLKTECGYQFTSKLEGMFTDMKTSQDTMQGFYASHVELGDARTLVVQVLTTGSWPTQPGVTCNLPAEMSALCEKFRSYYLGTHTGRRLSWQTNMGTADVKATFGKGGQKHELNVSTYQMCVLMLFNNAERLSYKEIEQATEIPAADLKRCLQSMACVKGKNVLRKEPMSKDIGEEDVFFVNDKFTSKFYKVKIGTVVAQKESEPEKQETRQRVEEDRKPQIEAAIVRIMKSRRVLDHNNIITEVTKQLQSRFLANPTEIKKRIESLIERDFLERDSVDRKLYRYLA >Potri.006G275000.1.v4.1 pep chromosome:Pop_tri_v4:6:26658295:26665539:-1 gene:Potri.006G275000.v4.1 transcript:Potri.006G275000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G275000.v4.1 MSSKGGISLEEIKNESVDLERIPMEEVFEQLKCTREGLSADEGASRLQVFGPNKLEEKKESKILKFLGFMWNPLSWVMEAAALMAIALANGDGRPPDWQDFVGIVVLLVINSTISFIEENNAGNAAAALMAGLAPKTKVLRDGRWSEQDASILVPGDIISIKLGDIVPADARLLEGDPLKIDQSALTGESLPVTKNPSDEVFSGSTCKQGEIEAVVIATGVHTFFGKAAHLVDSTNQVGHFQKVLTAIGNFCICSIAVGIIAELIVMYPIQHRKYRDGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDTSLIEVFAKGVEKEHVMLLAARASRTENQDAIDAAIVGMLADPKEARAGIREVHFLPFNPVDKRTALTYIDSDGNWHRASKGAPEQILTLCNCKEDVKKKVHSVIDKFAERGLRSLGVAKQEVPEKSKDAAGAPWQLVGLLPLFDPPRHDSAETIRRALHLGVNVKMITGDQLAIGKETGRRLGMGTNMYPSSALLGQDKDASIAALPVDELIEKADGFAGVFPEHKYEIVKRLQERKHICGMTGDGVNDAPALKKADIGIAVADATDAARGASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVFGFMFIALIWKFDFAPFMVLIIAILNDGTIMTISKDRVKPSPQPDSWKLKEIFSTGIVLGGYMALMTVLFFWIMKDTDFFSDKFGVRSLRNNDKEMMAALYLQVSIVSQALIFVTRSRSWSFVERPGFLLLGAFVAAQLVATLIAVYANWGFARIEGCGWGWAGVIWLFSVVTYVPLDILKFAIRYILSGKAWDNLLENKTAFTTKKDYGKEEREAQWATAQRTLHGLQPPETSHNMFSEKNSYRELSEIAEQAKRRAEMARLRELNTLKGHVESVVKLKGLDIDTIQQHYTV >Potri.003G000601.1.v4.1 pep chromosome:Pop_tri_v4:3:372600:373981:1 gene:Potri.003G000601.v4.1 transcript:Potri.003G000601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G000601.v4.1 MNTILDLLEAYKWTRDLNVHRNVTFRTFMVIGYAVAGKPDVALQLLARTCFQGHDADAFSYHVLLNSLIEHSFLEAFEVVYKLISSRGFGNAVTRFLKVKYLCKEKLLDEGKGNYCRSGDRFGYAQALSFLVDGFCQNVSLVKAGKLIEEISELGVVPMEPGCGIWLTNLVQARDIDAAFPVPEEQGSLEGCVPDVYQCNFLLFRLLKDNRLEDVYDLLIEMKENQLSTNTFLHECCILLLLQSWNGRCCT >Potri.012G139800.1.v4.1 pep chromosome:Pop_tri_v4:12:15226810:15231734:-1 gene:Potri.012G139800.v4.1 transcript:Potri.012G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139800.v4.1 MGFFRRLFGPRKKSRSSKTTPSLSQSNKVDQDSVSTTHFDASNLDANKHAIAVAAATAAVAEAALAAAQAAAEVVRLTSGGPGGRGGGNVGGSHRRFVEEVSAVKIQSAFRGYLARRALRALKALVKLQALVRGHIVRKQTADMLRRMQTLVRLQARARASRSYVSDSSHTTGKSSHSRYAVPASPSKDHLFRVSSTKFDGPSILKRCGSNANFRESIDFDKVKWGSNWLDRWMEESFLNDHGSNPPRSRNADDEKSDKILEVDTWKPHVKSQQSNRTFQTSQHALASDHNNQSFMTFDSMSKLSKKEPNPMPSISSGEILQSLKLPLGNDEAVYRTAENSPRMFSATSRPGSSGRRGGPFTPTRSECSWGFFNGYSGYPNYMANTESSRAKVRSQSAPRQRLEFEKYGSSRRSVQGYSDSETRSERGFAQNTELQNKAYVASGYLNRLGTSDLR >Potri.009G022650.1.v4.1 pep chromosome:Pop_tri_v4:9:3495147:3496046:1 gene:Potri.009G022650.v4.1 transcript:Potri.009G022650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022650.v4.1 MSHMLLEILAEEALKGSKPSSTFKAESFVKVATEISQKFNVQCEPKHVENHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKTHPNHDKFLNKKLDMYEAMAIVVGKDMATGNYVKSYADVNMEENTEEQSISIENEEGYEETSKGKETSSSSTQKRQHRKRNRMYEDDGVEKLSKQIGDVALAIQSLSKNQLDVNALYAEVMKIEGFDEITLGEAFDHLVQNEMLAKAFMTKNANLRKIWVQNFVNQHYYRPAC >Potri.001G089000.1.v4.1 pep chromosome:Pop_tri_v4:1:7076797:7078083:1 gene:Potri.001G089000.v4.1 transcript:Potri.001G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G089000.v4.1 MGNCSLKGVMEDCPNNIRVLTDSGGIVEFKGPKLAKDVLREYPGYSIFRQGHASSPLSTHEYLLGGQFYCLLPPQNEQKLCDTKVISQAQGMGLATEKVAMEWINEIEPPKMSSSTAAMDYVDDLATGPVLEVLPALGDGVWRVKLVIGTKQLEEILSEQVNTEALIEKMRMAASSANLTPRRSKSSWKPALSNVFRVPH >Potri.012G042700.2.v4.1 pep chromosome:Pop_tri_v4:12:3818829:3821554:-1 gene:Potri.012G042700.v4.1 transcript:Potri.012G042700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042700.v4.1 MALQWMILTYLVAAEAVIAVLLTLPSPKLLKYRLVSLISLVLQPALFVVPFAGFQLLDIYWKMEHRLMCTGETCTAAERDRYEKSIYKAQRNVILCISACLLYWCVYRVCKFYKEIQSLEEVEKRYKDQ >Potri.006G165600.1.v4.1 pep chromosome:Pop_tri_v4:6:16268094:16270420:1 gene:Potri.006G165600.v4.1 transcript:Potri.006G165600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165600.v4.1 MLNPFLSLAQKTQQSLLFKTDAVSDSCGLSSKQNAFLRGQFQFHSRHFLHFKLNRGRMKCTGVVVSPSCVLPLTEENVEKVLDEVRPGLMRDGGNVALHEIDGLVVVLKLQGACGSCPSSSMTLKMGIETKLRDKIPEIMDVEQIMDTETGLELNEENVEKALAEIRPYLAGTGGGVLELVQINDYVVKVRLSGPAAGVMTVRVALTQKLRETIPAIAAVQLID >Potri.016G063600.7.v4.1 pep chromosome:Pop_tri_v4:16:4444843:4448286:-1 gene:Potri.016G063600.v4.1 transcript:Potri.016G063600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063600.v4.1 MEEEQVKPQNDTAFTEDDDDDPPTLSSHALAALKEFLQQQQPITDQTSQTDGEGSETGEKVALVAEDWRLSQFWYDPLTAETVANEVLALLTNPSSLAVCIACPTLYAYIKKIDPSVNVQLLEYDKRFEQYGSDFTFYDYNKPEDLPGQLKHAFQVVVADPPYLILLCYNFYLIESGVLRKGCSSNFFSCNTRKIIFASAHRRRAEG >Potri.016G063600.8.v4.1 pep chromosome:Pop_tri_v4:16:4444843:4448286:-1 gene:Potri.016G063600.v4.1 transcript:Potri.016G063600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063600.v4.1 MEEEQVKPQNDTAFTEDDDDDPPTLSSHALAALKEFLQQQQPITDQTSQTDGEGSETGEKVALVAEDWRLSQFWYDPLTAETVANEVLALLTNPSSLAVCIACPTLYAYIKKIDPSVNVQLLEYDKRFEQYGSDFTFYDYNKPEDLPGQLKHAFQVVVADPPYLILLCYNFYLIESGVLRKGCSSNFFSCNTRKIIFASAHRRRAEG >Potri.016G063600.6.v4.1 pep chromosome:Pop_tri_v4:16:4444843:4448293:-1 gene:Potri.016G063600.v4.1 transcript:Potri.016G063600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063600.v4.1 MEEEQVKPQNDTAFTEDDDDDPPTLSSHALAALKEFLQQQQPITDQTSQTDGEGSETGEKVALVAEDWRLSQFWYDPLTAETVANEVLALLTNPSSLAVCIACPTLYAYIKKIDPSVNVQLLEYDKRFEQYGSDFTFYDYNKPEDLPGQLKHAFQVVVADPPYLSQECLEKVAQAISFLAIPGKSYLLLLTGDVQKDKAAELLGLHSCGFRPQHSSKLGNEFRLFTNYDPGMRLGGWELEK >Potri.014G174800.1.v4.1 pep chromosome:Pop_tri_v4:14:13024066:13027403:-1 gene:Potri.014G174800.v4.1 transcript:Potri.014G174800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G174800.v4.1 MKEESSSQNLEKEMEFNSSSPRTIPVVSTLTNPFDNNNSLACVNGHTRKPIALWPGMYHSPVTNALWETRSKTLERLLDPPEDATPQSELLTKTPKQSRTRILYGFSTDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTARPLLLVTASVDKIVLKKPISVDVDLKIVGSAIWVGRSSIDIQLEVMQSTKEGSDTSDSVALTANFIFVARDSKTGKAAPVNRLSPETEKERLLFEEAEARSKLRKRKSVEKRKEIQNGEANRLEALLAEGRIFCDMPALADRNSILLRDTCLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRIKSCVLYTEHENSEKPLINIEVVAHVTRPELRSSEVSNTFYFTFTARPEAKATNNGFRIRKVVPATEEEARRILEHMDAESMQSGEGKSK >Potri.014G174800.2.v4.1 pep chromosome:Pop_tri_v4:14:13024068:13027398:-1 gene:Potri.014G174800.v4.1 transcript:Potri.014G174800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G174800.v4.1 MKEESSSQNLEKEMEFNSSSPRTIPVVSTLTNPFDNNNSLACVNGHTRKPIALWPGMYHSPVTNALWETRSKTLERLLDPPEDATPQSELLTKTPKQSRTRILYGFSTDYILREQYRDPWNEVRIGKLLEDLDALAGTISVKHCSDDDSTARPLLLVTASVDKIVLKKPISVDVDLKIVGSAIWVGRSSIDIQLEVMQSTKDSVALTANFIFVARDSKTGKAAPVNRLSPETEKERLLFEEAEARSKLRKRKSVEKRKEIQNGEANRLEALLAEGRIFCDMPALADRNSILLRDTCLENSLICQPQQRNIHGRIFGGFLMHRAFELAFSTAYAFAGLVPYFLEVDHVDFLRPVDVGDFLRIKSCVLYTEHENSEKPLINIEVVAHVTRPELRSSEVSNTFYFTFTARPEAKATNNGFRIRKVVPATEEEARRILEHMDAESMQSGEGKSK >Potri.006G108800.2.v4.1 pep chromosome:Pop_tri_v4:6:8449962:8455863:-1 gene:Potri.006G108800.v4.1 transcript:Potri.006G108800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108800.v4.1 MREKLGFLVGVWLLLCGFCFGRFVVEKNNLKLTSPDSLKGVYECAIGNFGVPQYGGTLVGTVIYPKANQKACKGFDGVDISFKSRPGGLPTFVLVDRGDCYFTLKAWNAQNGGAAAILVADDKDEPLITMDTPEEENADADYLQNITIPSALISKSLGDSIKKAISNGEMVNMNLDWTEALPHPDERVEYEFWTNSNDECGPKCDSQIEFIKNFKGAAQILEQKGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFYKVANESKKPWLWWDYVTDFSIRCPMKEKKYTKECADQVIQSIGVDLKKIDICIGDHEADVENEVLKAEQHVQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFEETTEPAICLSEDVETNECLENNGGCWQDRAANLTACKDTFRGRVCECPMVQGVKFVGDGYTHCNASGSLRCEINNGGCWKKTQDGTTFSACVEDRSQGCKCPPGFKGDGVNSCEDVDECKDKVACQCPECKCKNTWGSYECSCSGGLLYMREHDTCISNAARAEYSWSFVWIIILGLATAGVAGYAIYKYRIRRYMDSEIRAIMAQYMPLDSQADIPVHHAPRGDI >Potri.006G108800.3.v4.1 pep chromosome:Pop_tri_v4:6:8450036:8455541:-1 gene:Potri.006G108800.v4.1 transcript:Potri.006G108800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108800.v4.1 MDTPEEENADADYLQNITIPSALISKSLGDSIKKAISNGEMVNMNLDWTEALPHPDERVEYEFWTNSNDECGPKCDSQIEFIKNFKGAAQILEQKGYTQFTPHYITWYCPEAFVLSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFYKVANESKKPWLWWDYVTDFSIRCPMKEKKYTKECADQVIQSIGVDLKKIDICIGDHEADVENEVLKAEQHVQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFEETTEPAICLSEDVETNECLENNGGCWQDRAANLTACKDTFRGRVCECPMVQGVKFVGDGYTHCNASGSLRCEINNGGCWKKTQDGTTFSACVEDRSQGCKCPPGFKGDGVNSCEDVDECKDKVACQCPECKCKNTWGSYECSCSGGLLYMREHDTCISNAARAEYSWSFVWIIILGLATAGVAGYAIYKYRIRRYMDSEIRAIMAQYMPLDSQADIPVHHAPRGDI >Potri.006G276400.2.v4.1 pep chromosome:Pop_tri_v4:6:26770250:26772322:1 gene:Potri.006G276400.v4.1 transcript:Potri.006G276400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276400.v4.1 MASSSLALLSSFRGPELCKTKSLISHSKHPSDVSFSFQCRKSRIPPILCSVSVSDPQVLTGRPDDLVASILSKVTETDRGVSLTKEQQEEVTRVAQELQKYCVAEPVRCPLIFGEWDVVYCSNPTSPGGGYRSAFGRLVFRTKEMIQAVEAPDTVKNKVSFSALGFLDGEVSLKGRLKALDDSWIQVIFEAPQLKVGSLEFQYGGESEVKLKITYIDEKIRLGKGSRGSLFVFQRRK >Potri.009G138000.1.v4.1 pep chromosome:Pop_tri_v4:9:11088602:11092248:-1 gene:Potri.009G138000.v4.1 transcript:Potri.009G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G138000.v4.1 MESEVQRSVSLRANRKGGNYRSNNNHTPSSNSKDVEGGGGGLFSSGKLPSDYAMKIIWKKGFIRLVLVAGILWMLLILIVLLFHVWSCQSSYLFFSAICNKESKVYTILNTWGFVPQQHRCPIPVVSNPDRIVIPEGRTHDQIIKNLSYVMEDKPVKDGSQSSPLFGGHQSWKQRERSFKLSSSMKVHCGFMHNGGADMDPVDIKYVEKCRFVVASGIFDGYDVPHQPSNISDRSKELFCFLMVVDEISLEFIKENVTVWEDHNRGQWVGIWRLILLKHSPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELIVDPLQILERYLWHGKNTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYYEGMEPWSPKKSTVSDVPEGAIIIREHTAMSNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGGAFKFFMFPNCEYNSLFVLHPHTREHSSKVEWVKSLSEFKGNGSSMKESRGGLGLWTPYPADLKSVVLPKVVRTSKAG >Potri.005G019100.1.v4.1 pep chromosome:Pop_tri_v4:5:1224876:1227591:1 gene:Potri.005G019100.v4.1 transcript:Potri.005G019100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G019100.v4.1 MFLVDWFYGVLASLGLWQKEAKILFLGLDNAGKTTLLHMLKDERLVQHQPTQYPTSEELSIGKIKFKAFDLGGHQIARRVWKDYYAKVDAVIYLVDAYDKERFAEAKKELDALLSDEALANVPFLVLGNKIDIPYAASEDELRYNLGLTNFTTGKGKVNLVDSNVRPLEVFMCSIVRKMGYGEGFRWLSQYIK >Potri.016G067900.1.v4.1 pep chromosome:Pop_tri_v4:16:4794071:4794878:-1 gene:Potri.016G067900.v4.1 transcript:Potri.016G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067900.v4.1 MNSTTGYTGLLGSNNIGGFGYGIGVSIGILLLITTITLASYFCARNQQTSVPSQGRNSADQQLNLQSFVVEIGLDEATLKSYPTLLYSEAKLHKTDSTSTCCSICLADYKSTDKLRLLPDCGHLFHLKCVDPWLRLHPTCPVCRTSPLPTPLATPLAEVVPLASRRD >Potri.009G041400.7.v4.1 pep chromosome:Pop_tri_v4:9:4885302:4887581:1 gene:Potri.009G041400.v4.1 transcript:Potri.009G041400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041400.v4.1 MPITGELSQQNIKDRYYGVNDPVAMKLLNKAGDMPSLEPPEDESIKTLYVGGLDARINEQDLRDQFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAAELSNRLVIKGLRLKLMWGRPQAPKPESESSDEARQQAAMAHSGMLPRAVVSQQHNHLNPPGTQDQHPPMHYFNIPPPPQQERAFYPSMDPQRMGALVGSQDGTPNGPAGSGENKSGLEKQLGQHYPYQSMPPPHVQYQQQYQQQHYPAYGYMPPVPPYQQYPLPYHTPVPPPQVVQSTQQYQHPVPPPMAAPAESMTSVPPHQGSRDPAGSMPSEPSSQGSEAPAGSKRSSPPSPRPGEPEESKPSGPLP >Potri.009G041400.6.v4.1 pep chromosome:Pop_tri_v4:9:4884150:4887581:1 gene:Potri.009G041400.v4.1 transcript:Potri.009G041400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041400.v4.1 MPITGELSQQNIKDRYYGVNDPVAMKLLNKAGDMPSLEPPEDESIKTLYVGGLDARINEQDLRDQFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAAELSNRLVIKGLRLKLMWGRPQAPKPESESSDEARQQAAMAHSGMLPRAVVSQQHNHLNPPGTQDQHPPMHYFNIPPPPQQERAFYPSMDPQRMGALVGSQDGTPNGPAGSGENKSGLEKQLGQHYPYQSMPPPHVQYQQQYQQQHYPAYGYMPPVPPYQQYPLPYHTPVPPPQVVQSTQQYQHPVPPPMAAPAESMTSVPPHQGSRDPAGSMPSEPSSQGSEAPAGSKRSSPPSPRPGEPEESKPSGPLP >Potri.009G041400.2.v4.1 pep chromosome:Pop_tri_v4:9:4884150:4887581:1 gene:Potri.009G041400.v4.1 transcript:Potri.009G041400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041400.v4.1 MTEGAGIDYESSYGKAQANDTILKLQRTTPYYKRNRAHVCSFFARGECTRGAECPYRHEMPITGELSQQNIKDRYYGVNDPVAMKLLNKAGDMPSLEPPEDESIKTLYVGGLDARINEQDLRDQFYAHGEIESIKMVPQRAIAFVTYTTREGAEKAAAELSNRLVIKGLRLKLMWGRPQAPKPESESSDEARQQAAMAHSGMLPRAVVSQQHNHLNPPGTQDQHPPMHYFNIPPPPQQERAFYPSMDPQRMGALVGSQDGTPNGPAGSGENKSGLEKQLGQHYPYQSMPPPHVQYQQQYQQQHYPAYGYMPPVPPYQQYPLPYHTPVPPPQVVQSTQQYQHPVPPPMAAPAESMTSVPPHQGSRDPAGSMPSEPSSQGSEAPAGSKRSSPPSPRPGEPEESKPSGPLP >Potri.006G228000.3.v4.1 pep chromosome:Pop_tri_v4:6:23200617:23203930:-1 gene:Potri.006G228000.v4.1 transcript:Potri.006G228000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228000.v4.1 MAHLLSTSCSIKLSSSHRPLSQPFRQCPTKCLTFFTLGTSKSPFKRFVLQGGTQQASCVVRATVAPISQETPTQSSSDSRQKSSEPSKPKRVMVIGGDGYCGWATALHLSNKGYEVAIVDSLIRRLFDQQLGLDSLTPIASIHNRLRCWRSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRNRAVFTQHNNVIGTLNVLFAIKEFRDQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPFPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHEELCNRLDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAQPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLEPHLLSDSLLDSLLNFAIKFKDRVDTKQIMPSVSWKKIGVKPKTLAA >Potri.006G228000.2.v4.1 pep chromosome:Pop_tri_v4:6:23200516:23204033:-1 gene:Potri.006G228000.v4.1 transcript:Potri.006G228000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G228000.v4.1 MAHLLSTSCSIKLSSSHRPLSQPFRQCPTKCLTFFTLGTSKSPFKRFVLQGGTQQASCVVRATVAPISQETPTQSSSDSRQKSSEPSKPKRVMVIGGDGYCGWATALHLSNKGYEVAIVDSLIRRLFDQQLGLDSLTPIASIHNRLRCWRSVTGKTIELYIGDICDFEFLSETFKSFEPDAVVHFGEQRSAPYSMIDRNRAVFTQHNNVIGTLNVLFAIKEFRDQCHLVKLGTMGEYGTPNIDIEEGYITITHNGRTDTLPFPKQASSFYHLSKVHDSNNIAFTCKAWGIRATDLNQGVVYGVRTDETEMHEELCNRLDYDGVFGTALNRFCVQAAVGHPLTVYGKGGQTRGYLDIRDTVQCVELAIANPAQPGEFRVFNQFTEQFSVNELASLVTKAGEKLGLDVKTISVPNPRVEAEEHYYNAKHTKLIELGLEPHLLSDSLLDSLLNFAIKFKDRVDTKQIMPSVSWKKIGVKPKTLAA >Potri.013G117100.4.v4.1 pep chromosome:Pop_tri_v4:13:12544644:12549167:1 gene:Potri.013G117100.v4.1 transcript:Potri.013G117100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117100.v4.1 MSMIDSFFNKGFKAAKCKTLLKLTIPRIKLLRNRRDIQMKHMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEIIELFSELISVRLPIIETQRECPLDLKEAISSICFAAPRCADLPELLQVQILFASKYGKEFVAAATELMPSCGVNRQLIELLSVRAPSPEKKLKLLKEIAEEHELDWDPAASETELLKKHEDLLNGPTHITDPTPVAGPTHITDPTPVAGPTHITMLPLPKEKHDEVLNAPDHAEHEQPDSDTDFDPLDFPEVPKISPWRSANSALAPEIAPPAQAALHPDIDHESSNHSVTHKDLSQEPHFEHGDVIEKKSVATRDEISSTAVGDREEKQFLPFISPPSLSSESFSAIPSTSPPSASRTESEANLDLQNVSAAVQGTVETAKHAAIPSTSPPSVRRTRTEANVDLQDVLAAAHAAAESAERAAAAARSAATLAQARISELTKKNSEKFPEDDNPFFVDTSGHSASTEMPHFVHQQSFGDPGSVPNYEDSHRPHEDHQASELLDIPSFEKLKVDYDSPPGNHVAEQGPVSHQPQRLPSMDDEPYFSYPNLFTSQNSNLGSGAQSARDHSRSTNDL >Potri.013G117100.6.v4.1 pep chromosome:Pop_tri_v4:13:12544705:12549168:1 gene:Potri.013G117100.v4.1 transcript:Potri.013G117100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117100.v4.1 MSMIDSFFNKGFKAAKCKTLLKLTIPRIKLLRNRRDIQMKHMRRDIAKLLETGQEATARIRVEHIIREENMMAAQEIIELFSELISVRLPIIETQRECPLDLKEAISSICFAAPRCADLPELLQVQILFASKYGKEFVAAATELMPSCGVNRQLIELLSVRAPSPEKKLKLLKEIAEEHELDWDPAASETELLKKHEDLLNGPTHITDPTPVAGPTHITDPTPVAGPTHITMLPLPKEKHDEVLNAPDHAEHEQPDSDTDFDPLDFPEVPKISPWRSANSALAPEIAPPAQAALHPDIDHESSNHSVTHKDLSQEPHFEHGDVIEKKSVATRDEISSTAVGDREEKQFLPFISPPSLSSESFSAIPSTSPPSASRTESEANLDLQNVSAAVQGTVETAKHAAIPSTSPPSVRRTRTEANVDLQDVLAAAHAAAESAERAAAAARSAATLAQARISELTKKNSEKFPEDDNPFFVDTSGHSASTEMPHFVHQQSFGDPGSVPNYEDSHRPHEDHQASELLDIPSFEKLKVDYDSPPGNHVAEQGPVSHQPQRLPSMDDEPYFSYPNLFTSQNSNLGSGAQSARDHSRSTNDL >Potri.010G031800.3.v4.1 pep chromosome:Pop_tri_v4:10:4742156:4753607:-1 gene:Potri.010G031800.v4.1 transcript:Potri.010G031800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031800.v4.1 MNHFHIYEAIGRGKYSSVYKGRKKKTIEYFAVKSVDKSQKRKVLHEVRMLHSLDHSNVLKFYSWYETPSHLWLVLEYCVGGDLMTLLRQDSQLPEDSIHDLARDLVRALQFLHSKGIIYCDLKPSNILLDENGHTKLCDFGLARKLSDITKTPSSMLPQAKRGTPCYMAPELFEDGGVHSYASDFWALGCVLYECYAGRPPFVGREFTQLVKSILSDPPPPLPGTPSRPFANLISSLLVKDPAERIQWSELCGHAFWMSKISPVPLPPQTAFDNMIELCAKPCLSERNGDRSLANRTPPKHREKDAKGTPKLDENSMLGSRGHETPVKGTPSGRKTQTKASGRVVEEKQKDPSNAARRVNLLRLSRIAKSNLQRENEKENYRRPLPNGSENDSEVKTENTDMELDFNENAEDETHDEPDGLDNPNSTAEEVVNNIPQSETSPVVNTPASDESRTNDQDSSSEHVDMVSTPLSASPQLRNQRNKEGLVSAIEFDSSKSSHDLSQVLWHPSDLSVRPVMPSRKADKVSDVIPSLPFEALQPSDFVRMSREQLDALTNKIISILNGNTSIGEKLNVIRYLEMLSSNADTANILTNGPIMLMLVKMLRLSKTPALRVQLASLIGLLIRHSTFIEDDLANSGILGSLTDGLRDKQEKVRRFSMAALGELLFYISTQNDQSKDNNPPESPSKDSRSTFGWQVPNSLISLVSSVLRKGEDDIAQLYALRTIENICSQGGHWPARFTSQDVISNLCYIYRAVGKQESIRLTAGSCLVRLTRFNPPSIQSVMEKLSFKDTATTLVKGSPREQQISLNLLNMAMLGIHMFTNIGRHLSNLAEDKNLVPSLVSLTEQGSDILRGKTLLFIAHLCKNARRCLPHFFCNPRLLSAVDRVAKEKDIYLQQSLDAFVHAVSSTIPSLLDIITGDIQQMMGGRRHGHSSAIAHRIAPKTNVHLFPVVLHLLGSTSFKLRVVSHQVMQQLANLVKVMETPFQGRDDFQITLLRVLESVAEERLVILESPNIFICEILPGLAVLYKGNKDGDARFLCLKILFDVMIIFLNEPLEDDQRSEALKSISIIHFLPLYPTFIEDEDPIPMYAQKLLVMLIEFNYIKISDILHLKTVTQCFEFLLGDLSSANVNNVQLCLALASAPEMESKLLSQLKVVRRIGNLLEFVYAKDMEDFLEPTLGLCRAFLLCSVGSKRGFAYKKEPALLNDSSEASTAVDLQQCIRDVMDFGSNVGVLLELSRSCEANIGDIASECVLLLLKAAPREATTGFLTNLLKVSTILESSRKGVPHLVLQRILHALAYSCQQYLSHAMILSISINEISRIEVILLELKNSSPPDLASAALLAASELQRLPRCI >Potri.010G031800.5.v4.1 pep chromosome:Pop_tri_v4:10:4742463:4753491:-1 gene:Potri.010G031800.v4.1 transcript:Potri.010G031800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031800.v4.1 MNHFHIYEAIGRGKYSSVYKGRKKKTIEYFAVKSVDKSQKRKVLHEVRMLHSLDHSNVLKFYSWYETPSHLWLVLEYCVGGDLMTLLRQDSQLPEDSIHDLARDLVRALQFLHSKGIIYCDLKPSNILLDENGHTKLCDFGLARKLSDITKTPSSMLPQAKRGTPCYMAPELFEDGGVHSYASDFWALGCVLYECYAGRPPFVGREFTQLVKSILSDPPPPLPGTPSRPFANLISSLLVKDPAERIQWSELCGHAFWMSKISPVPLPPQTAFDNMIELCAKPCLSERNGDRSLANRTPPKHREKDAKGTPKLDENSMLGSRGHETPVKGTPSGRKTQTKASGRVVEEKQKDPSNAARRVNLLRLSRIAKSNLQRENEKENYRRPLPNGSENDSEVKTENTDMELDFNENAEDETHDEPDGLDNPNSTAEEVVNNIPQSETSPVVNTPASDESRTNDQDSSSEHVDMVSTPLSASPQLRNQRNKEGLVSAIEFDSSKSSHDLSQVLWHPSDLSVRPVMPSRKADKVSDVIPSLPFEALQPSDFVRMSREQLDALTNKIISILNGNTSIGEKLNVIRYLEMLSSNADTANILTNGPIMLMLVKMLRLSKTPALRVQLASLIGLLIRHSTFIEDDLANSGILGSLTDGLRDKQEKVRRFSMAALGELLFYISTQNDQSKDNNPPESPSKDSRSTFGWQVPNSLISLVSSVLRKGEDDIAQLYALRTIENICSQGGHWPARFTSQDVISNLCYIYRAVGKQESIRLTAGSCLVRLTRFNPPSIQSVMEKLSFKDTATTLVKGSPREQQISLNLLNMAMLGIHMFTNIGRHLSNLAEDKNLVPSLVSLTEQGSDILRGKTLLFIAHLCKNARRCLPHFFCNPRLLSAVDRVAKEKDIYLQQSLDAFVHAVSSTIPSLLDIITGDIQQMMGGRRHGHSSAIAHRIAPKTNVHLFPVVLHLLGSTSFKLRVVSHQVMQQLANLVKVMETPFQGRDDFQITLLRVLESVAEERLVILESPNIFICEILPGLAVLYKGNKDGDARFLCLKILFDVMIIFLNEPLEDDQRSEALKSISIIHFLPLYPTFIEDEDPIPMYAQKLLVMLIEFNYIKISDILHLKTVTQCFEFLLGDLSSANVNNVQLCLALASAPEMESKLLSQLKVVRRIGNLLEFVYAKDMEDFLEPTLGLCRAFLLCSVGSKRGFAYKKEPALLNDSSEASTAVDLQQCIRDVMDFGSNVGVLLELSRSCEANIGDIASECVLLLLKAAPREATTGFLTNLLKVSTILESSRKGVPHLVLQRILHALAYSCQQYLSHAMILSISINEISRIEVILLELKNSSPPDLASAALLAASELQRLPRCI >Potri.007G133766.1.v4.1 pep chromosome:Pop_tri_v4:7:14660674:14663265:1 gene:Potri.007G133766.v4.1 transcript:Potri.007G133766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133766.v4.1 MAASKLTFNFLLLSFLASLLIPEISLCDHSRQPKRHVAMFIFGDSIFDSGNNNYINVNVSYRANYWPYGETFFHYFPTGRFTDGRLIVDFIATKIGQPFVPPYLQPGINFTNGVNFASAGAGVFPEANPEVISLGMQLSNFKNVAISMEEQIGDKEAKKLLSQAVYASCVGANDYSYFVDNFPNATQLEQDEYVNNTVGNWTDFVKELYNLGARKFAILNIGPRGCQPAARQSEELRGDECDEVSLEMIKKHNSAASKAIKELESKLSGFKYSIADFYTILLDMIKHPKDYGFKESRYSCCGHGMYNAAHCGIEPYTLCKNPSEYLFFDGWHPTEHGYRILADRFWNGKPSIAAPYNFRQLFDLESTPIILSEEHEVPHYE >Potri.018G081200.4.v4.1 pep chromosome:Pop_tri_v4:18:9906238:9911127:1 gene:Potri.018G081200.v4.1 transcript:Potri.018G081200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081200.v4.1 MAVMITKLHISPQNLDKLSFEEHQRSRSLGRGFLSSGFGVHKKSYALCVQQCRSFKTKDGGGVEEKQKEKYEILKENQSKSKKGSGFWTSLKKAVSWVGTLPSQSNEEHREAVARLEEVFSSAALQIGRYIVTMMSTGVVLAVGFQLSGGDSQMNTLIWYSWLGGIIIGTMIGANMVLDEHCRAGPRNVVITGSTRGLGKALAREFLLSGDHVVVASHSPESVDTTIRELEENLKEGMITASNRTSLAHAKVVGIACDVCEPSDVQKLANFAVNEFGSIDIWINNAGTNKGFRPLLQFTDEDIKQIVSTNLVGSILCTQEAMRMMMNQPKGGHIFNMDGAGSGGSSTPLTAVYGSTKCGLRQLQASLLKECKRSKVGIHTASPGMVLTDLLLSGSTLKNKQMFNIICELPETVARTLVPRMRVVKGTGKAISYLTPPRILLALVTAWLRRGRWFDDQGRALYAAEADRLRNWAENRAQFSFTDAMEMYTENTWVSVFSLSVVCAFIILSSTGSTFPGT >Potri.006G027700.5.v4.1 pep chromosome:Pop_tri_v4:6:1795155:1803259:1 gene:Potri.006G027700.v4.1 transcript:Potri.006G027700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027700.v4.1 MGYWKASCSVLLVCFFVGLCSVYQCSAAEVDAYQTAKLIVNASSGRPIPETLFGIFFEEINHAGAGGIWAELVSNRGFEAGGQNTPSNIAPWSIIGDQSSLTVSTDRSSCFERNKVALRMHVLCDSEGSNICPAGGVGIYNPGFWGMNIEQGKIYKVVLYVRSLGSINVSVSLTSSDGLQILATANIVDSDVSNWTKTEVLLEAKGTNPNSRLQLTTSRKGVIWFDQVSAMPLETYKGHGFQKELIEMLEDIKPQFIRFPGGCFVEGEWLRNAFRWKESIGPWEERPGHFGDVWMYWTDDGLGYFEFLQLSEDLGARPIWVFNNGISHRDEVDTTTVSPFVQEALDGLEFARGASDSKWGSVRAAMGHPEPFDLKYVAVGNEDCWKKNYRGNYLKFYDAIKSAYPDIKIISNCDGSSHSLDHPADYYDFHIYTSASHLFSMTHQFDHTSRSGPKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLEKNSDIVEMASYAPLFVNDNDRRWNPDAIVFNSSMHYGTPSYWVLKFFRESSGATLLDAKLQTNSSSLVASAITWTNSVDGETYLKIKIVNFGNRHVSLKVSVDGLGLNSQLSGSTKTVLTSSNVMDENSFTDPNKVVPDLSLLENADKDMNIIIAPYSLTSLDLLTESNNIRMPQTDSSARSSI >Potri.007G102400.3.v4.1 pep chromosome:Pop_tri_v4:7:12623519:12627757:1 gene:Potri.007G102400.v4.1 transcript:Potri.007G102400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G102400.v4.1 MGTDRTVSGGCGDDVCCGRRSGEGEVVVVGVKFDGPSKELLTWSLMKMAQPGDHVIAVHVLDSATECMAGTASLLSLVKTFDSLLAVYEGFCNLKQVDLKLKVCRGESVRKILVREAKANSAAKLIVGTSKKHQKLYSSTSTAKYCAKKLSKGFSVYAVRNGKLVFQREASVPNIDTLQDKLNQESRNCSQKSQIKNSINCTPRLLLLDESGAHELEESCRDGNGDNSLALVPIQTNKPLSNSDSDVSEGLVESKQGWSFLRRVFFHKQQHTEKAHIKKISVVKWVCRLPTRNSSSVVYPDQKQNTSHVDEDQNSNSERKNYAIVPVGPEVAWTPISPCHGLNGIPEELKDLHEKYSSSCRLFSYEELVMATSNFIPENMVGKGGSSHVYKGCLPDGKELAVKILKPSEDVIKEFVAEIEIITTLHHKNIISLFGFCFEHNKLLLVYDFLSRGSLEENLHGNKKDWNAVGWQERYKVAVGVAEALDYLHNCCDQPVIHKDVKSSNILLSDDFEPQLSDFGLASWASTSCNATCTDVAGTFGYLAPEYFMHGKVSDKVDVFAFGVVLLELLSGRMPINSEHPKGQESLVMWAKPILEGGKVSQLLDPHLGSEYDDDHIERMVLAATLCIRRSPRCRPQMSLILKLLQGDEEVKNWARHQVCASEELDAVDGEAFPTNIQSHLNLALLDLEDDSLSISSTEQGVSVEDYLQGRWSRTSSFD >Potri.009G043501.1.v4.1 pep chromosome:Pop_tri_v4:9:5026439:5027311:1 gene:Potri.009G043501.v4.1 transcript:Potri.009G043501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043501.v4.1 MSTRINQVKHKPAGTSRTQYPPTRDRVSGNRWPGRNCGFRLLKRSIVSPVKFLKHFGRKVAKAVCLGRRSSPGVSSSGRSKPSVAPSDTHIAEAIEDCIDFINSSSLPRSNSVSANPS >Potri.007G061661.1.v4.1 pep chromosome:Pop_tri_v4:7:6878026:6878328:-1 gene:Potri.007G061661.v4.1 transcript:Potri.007G061661.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061661.v4.1 MDPLKYFTFSMIIFILGIWGILLNRRNIPIMLMPIELMLLAVNLNFLVFSVSLDDMMGQLFALLVPTVAAAESAIGLAIFVITFRVRGTIAVEFINSIQG >Potri.015G086900.1.v4.1 pep chromosome:Pop_tri_v4:15:11082300:11084106:-1 gene:Potri.015G086900.v4.1 transcript:Potri.015G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G086900.v4.1 MLLLAFLCIIFLWLSFRWWRNRSSVIINWPVVGMLPGLFRNSSRVHEFVTYVLRQCGGTFVFKGPWFGGLDFLVTSNPANVHHILRKKAFNYHKGLEFKEIFAESFGNGIFCADGDRWKTQRRTIHSFIKNSKFEEAAERNIQRKVLHGIFVILEHFSEQRKEVDMQDVLKRFMFDYSCLLLLGFDPNSLSIEFRQVPYKQAYYDMEEVILQRHLKPQRFWKLQNWLQFGEERKMKKGSEIFDRLLYQCISRKKDLLNRSKIQMDREDFDLLTFMLVEDNDQEEREMSAFKKSDKYARDMAFNLLSAGSEAVSSSLTWFLWLVATHPLVEKSILEEMKTNLNAKGDEKGRYFSFGELSKLNYLQAAICESLRLYPPVPFEHTVSIDSDTLPSGHRIGKNTRVIYCPYSMGRMEEIWGADCLEFKPERWISNNGEIIHISPYKFIAFNAGPRTCIGKDLAMVEMKAVGAAVIWNYSLQVVEDHPILPANSIVLHMKHGLMVRALKRCLS >Potri.004G225600.1.v4.1 pep chromosome:Pop_tri_v4:4:22962419:22964584:-1 gene:Potri.004G225600.v4.1 transcript:Potri.004G225600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225600.v4.1 MSCSLSYSNQLPFFSKRASTNLLFPNFTTSSLQLDSDKPCRRRLRALLSIQEIPPNALRRKNDPLWRGGFSLGVDLGLSRSGVALSKGFTVRPLTVLELRGQKLELRLLEIAENEEVDEFIIGLPKSWDGKETPQSNKVRSVAGRFAVLAAHRGWRVYLQDEHGTSTEATNRMIDMGLNKSTRQKKVDAYAAVMVLERYFSTFGEGTELVLPKQSDLQEKLQRGPPADIDFYPE >Potri.001G162900.1.v4.1 pep chromosome:Pop_tri_v4:1:13816353:13820679:-1 gene:Potri.001G162900.v4.1 transcript:Potri.001G162900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G162900.v4.1 MFLTRTEYDRGVNTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKVMEIDDHIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDEHGPSLYYTDPSGTFWQCNAKAIGSGSEGADSTLQEQYNKDLTLKEAEAIALSILKQVMEEKVTPNNVDIAKVAPTYHLYTPAEVEEVISRL >Potri.005G081500.3.v4.1 pep chromosome:Pop_tri_v4:5:5541212:5548045:-1 gene:Potri.005G081500.v4.1 transcript:Potri.005G081500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081500.v4.1 MADHVSDASPLIPPSPITEPSEIDLEAGPGEQIQCRICLETDGRDFIAPCKCKGTTKYVHRECLDQWRAVKEGFAFSHCTTCKAPYHLRVHAATDRKWRTLKFRFFVTRDIAFIFLAVQLVIASLAYLVYLIDTHQKSWLRLAWGFDSELSFYYICGALLFFALLGLSGCFITCYDRRVRNDLAQPCREICLCCCQPGVCADCHLPGTICMWTDCTTCFESCASTAGECGCLGGASEAGLPLLFIMVLIVLGLFTVIGIFYSVLVATMVGQRIWQRHYHILAKRMLTKEYVVEDVDGEMTGSDWSPPPLPPEHVQQLKNLGLL >Potri.016G001700.4.v4.1 pep chromosome:Pop_tri_v4:16:83983:90395:-1 gene:Potri.016G001700.v4.1 transcript:Potri.016G001700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001700.v4.1 MNAVSFSHTSTTKVFSGILTTMRMRNLVIGLLFLTVLSPFFYTDKLSSSFTPSTSKQEDVNAFTLPTDTRHLNVLPQEESSTVIKEPIGIVYTDHINSSSNTILTEKDSQLPDAREHKYARVLSATDDEGHSQTDNIIKQIIQTTNQEEEESQSDNGSDQESKQKTQVQLEQQSAVNSGDDDEKDALLTETNKQTDQTAMPDARVRQLRDQLIKARVYLSLPATKNNPHFTRELRMRVKEVQRVLVDATKDSDLPKNAYAKLNAMDQLLEKGKQMQDDCATMVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSTEQQFPNQEKLDDPSLHHIALFSDNVLAAAVVVNSTITNSKHPSKLVFHIVSDRLNYAAMRMWFLVNPPGVATIQVQNIEEFTWLNSSYSPVLKQLGSRSMIDYYFRAARASSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGENFHRFDRYLNFSNPHISKNFDPRACGWAYGMNIFDLKEWKRQNITDVYHTWQKLNHDRQLWKLGTLPPGLITFWKRTHPLDRRWHVLGLGYNPNVSQREIERAAVIHYNGNMKPWLEIGIPKYRSNWAKYVDYDHAYLRECNINP >Potri.016G001700.5.v4.1 pep chromosome:Pop_tri_v4:16:84430:90381:-1 gene:Potri.016G001700.v4.1 transcript:Potri.016G001700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001700.v4.1 MNAVSFSHTSTTKVFSGILTTMRMRNLVIGLLFLTVLSPFFYTDKLSSSFTPSTSKQEDVNAFTLPTDTRHLNVLPQEESSTVIKEPIGIVYTDHINSSSNTILTEKDSQLPDAREHKYARVLSATDDEGHSQTDNIIKQIIQTTNQEEEESQSDNGSDQESKQKTQVQLEQQSAVNSGDDDEKDALLTETNKQTDQTAMPDARVRQLRDQLIKARVYLSLPATKNNPHFTRELRMRVKEVQRVLVDATKDSDLPKNAYAKLNAMDQLLEKGKQMQDDCATMVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSTEQQFPNQEKLDDPSLHHIALFSDNVLAAAVVVNSTITNSKHPSKLVFHIVSDRLNYAAMRMWFLVNPPGVATIQVQNIEEFTWLNSSYSPVLKQLGSRSMIDYYFRAARASSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGENFHRFDRYLNFSNPHISKNFDPRACGWAYGMNIFDLKEWKRQNITDVYHTWQKLNHDRQLWKLGTLPPGLITFWKRTHPLDRRWHVLGLGYNPNVSQREIERAAVIHYNGNMKPWLEIGIPKYRSNWAKYVDYDHAYLRECNINP >Potri.016G001700.6.v4.1 pep chromosome:Pop_tri_v4:16:85809:90601:-1 gene:Potri.016G001700.v4.1 transcript:Potri.016G001700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001700.v4.1 MNAVSFSHTSTTKVFSGILTTMRMRNLVIGLLFLTVLSPFFYTDKLSSSFTPSTSKQEDVNAFTLPTDTRHLNVLPQEESSTVIKEPIGIVYTDHINSSSNTILTEKDSQLPDAREHKYARVLSATDDEGHSQTDNIIKQIIQTTNQEEEESQSDNGSDQESKQKTQVQLEQQSAVNSGDDDEKDALLTETNKQTDQTAMPDARVRQLRDQLIKARVYLSLPATKNNPHFTRELRMRVKEVQRVLVDATKDSDLPKNAYAKLNAMDQLLEKGKQMQDDCATMVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSTEQQFPNQEKLDDPSLHHIALFSDNVLAAAVVVNSTITNSKVNKRYSIRTSTRSLWLPRRS >Potri.016G001700.3.v4.1 pep chromosome:Pop_tri_v4:16:84492:90848:-1 gene:Potri.016G001700.v4.1 transcript:Potri.016G001700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001700.v4.1 MNAVSFSHTSTTKVFSGILTTMRMRNLVIGLLFLTVLSPFFYTDKLSSSFTPSTSKQEDVNAFTLPTDTRHLNVLPQEESSTVIKEPIGIVYTDHINSSSNTILTEKDSQLPDAREHKYARVLSATDDEGHSQTDNIIKQIIQTTNQEEEESQSDNGSDQESKQKTQVQLEQQSAVNSGDDDEKDALLTETNKQTDQTAMPDARVRQLRDQLIKARVYLSLPATKNNPHFTRELRMRVKEVQRVLVDATKDSDLPKNAYAKLNAMDQLLEKGKQMQDDCATMVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSTEQQFPNQEKLDDPSLHHIALFSDNVLAAAVVVNSTITNSKHPSKLVFHIVSDRLNYAAMRMWFLVNPPGVATIQVQNIEEFTWLNSSYSPVLKQLGSRSMIDYYFRAARASSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGENFHRFDRYLNFSNPHISKNFDPRACGWAYGMNIFDLKEWKRQNITDVYHTWQKLNHDRQLWKLGTLPPGLITFWKRTHPLDRRWHVLGLGYNPNVSQREIERAAVIHYNGNMKPWLEIGIPKYRSNWAKYVDYDHAYLRECNINP >Potri.016G001700.2.v4.1 pep chromosome:Pop_tri_v4:16:83962:90381:-1 gene:Potri.016G001700.v4.1 transcript:Potri.016G001700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001700.v4.1 MNAVSFSHTSTTKVFSGILTTMRMRNLVIGLLFLTVLSPFFYTDKLSSSFTPSTSKQEDVNAFTLPTDTRHLNVLPQEESSTVIKEPIGIVYTDHINSSSNTILTEKDSQLPDAREHKYARVLSATDDEGHSQTDNIIKQIIQTTNQEEEESQSDNGSDQESKQKTQVQLEQQSAVNSGDDDEKDALLTETNKQTDQTAMPDARVRQLRDQLIKARVYLSLPATKNNPHFTRELRMRVKEVQRVLVDATKDSDLPKNAYAKLNAMDQLLEKGKQMQDDCATMVKKLRAMLHSTEEQLRVHKKQTMFLTQLTAKTLPKGLHCLPLRLTTEYYNLNSTEQQFPNQEKLDDPSLHHIALFSDNVLAAAVVVNSTITNSKHPSKLVFHIVSDRLNYAAMRMWFLVNPPGVATIQVQNIEEFTWLNSSYSPVLKQLGSRSMIDYYFRAARASSDSNLKYRNPKYLSILNHLRFYLPEIFPKLNKVLFLDDDIVVQKDLTGLWSLDLKGNVNGAVETCGENFHRFDRYLNFSNPHISKNFDPRACGWAYGMNIFDLKEWKRQNITDVYHTWQKLNHDRQLWKLGTLPPGLITFWKRTHPLDRRWHVLGLGYNPNVSQREIERAAVIHYNGNMKPWLEIGIPKYRSNWAKYVDYDHAYLRECNINP >Potri.004G168000.3.v4.1 pep chromosome:Pop_tri_v4:4:18599076:18602015:-1 gene:Potri.004G168000.v4.1 transcript:Potri.004G168000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G168000.v4.1 MAMLSTSLSGPKSATSYCAPEFSGLRRLCPNNNTNNSHSQSFLRFSSPRKPLRGVFAMAGTGQFFVGGNWKCNGTKESITTLISDLNSAKLESDVDVVVAPPFIYIDQVKSSLTDRIEISAQNSWVSKGGAFTGEISVEQLKDIGCKWVILGHSERRHVIGEDDQFIGKKAAYALSQGVGVIACIGELLEEREAGKTFDVCYQQLKAYADAVPSWDNIVIAYEPVWAIGTGVVATPAQAQEVHVAVRDWLKNNVSAEVASKTRIIYGGSVNGGNCAELAKQEDIDGFLVGGASLKGPEFATIVNSVTSKKVAA >Potri.005G129350.1.v4.1 pep chromosome:Pop_tri_v4:5:9812052:9813207:1 gene:Potri.005G129350.v4.1 transcript:Potri.005G129350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G129350.v4.1 MAREFIVHEGSVHSEAGFLFDSSEAFLLLCMIVVSLSIISMVIFACGDSNSGKDRRRRCCKDFAEGDCFGGGDCCGGDGGGGGDGGDGGGGGGGDGGGGGGGGDGGGGGG >Potri.010G160500.2.v4.1 pep chromosome:Pop_tri_v4:10:16643261:16647107:-1 gene:Potri.010G160500.v4.1 transcript:Potri.010G160500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160500.v4.1 MKLPILLLLLLLSLITQTSLSKVTISVTPTTLQKSGDTVTISWSNVDSPSKLDWLGLYSPPDSPHDHFIGYKFLSSSPSWQSGSGSISLPITNLRSNYSFRIFHWTESEINPKRHDHDHNPLPGTAHFLAESDVVGFESGHGPEQIHLAYTDDEDEMRVMFVVGDGEERSVKWGERDGEWSHVSGARVVRYEREDMCDAPANGSIGWRDPGWIHDGVMKDLKKGVRYYYQVGSDSKGWSTTRSFVSRNGDSDETIAFLFGDMGTSTPYATFIRTQDESISTMKWILRDIEAIGDKHAFVSHIGDISYARGYSWLWDHFFTQVEPVASKVPYHVCIGNHEYDWPLQPWKPDWANAVYGTDGGGECGVPYSLKFNMPGNSSDSTGTRAPATRNLYYSFDTGAVHFVYISTETNFVAGSSQYNFIKQDLESVDRSKTPFVVVQGHRPMYTTSNENRDAPMRNKMLEHLEPLFTKYNVTLALWGHVHRYERFCPVNNFICGSTWKGFPVHAVIGMAGQDWQPIWEPRSDHPNDPIFPQPARSMFRGGEFGYTKLVATKEKLTLTYVGNHDGKMHDMVEFLASGEVLSGDDSISVDAGARIGVVDSTFSWYVKGASVLVLGAFVGYILGYASHSRKQNGNKASWTPVKSEDI >Potri.010G160500.1.v4.1 pep chromosome:Pop_tri_v4:10:16643973:16648054:-1 gene:Potri.010G160500.v4.1 transcript:Potri.010G160500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160500.v4.1 MKLPILLLLLLLSLITQTSLSKVTISVTPTTLQKSGDTVTISWSNVDSPSKLDWLGLYSPPDSPHDHFIGYKFLSSSPSWQSGSGSISLPITNLRSNYSFRIFHWTESEINPKRHDHDHNPLPGTAHFLAESDVVGFESGHGPEQIHLAYTDDEDEMRVMFVVGDGEERSVKWGERDGEWSHVSGARVVRYEREDMCDAPANGSIGWRDPGWIHDGVMKDLKKGVRYYYQVGSDSKGWSTTRSFVSRNGDSDETIAFLFGDMGTSTPYATFIRTQDESISTMKWILRDIEAIGDKHAFVSHIGDISYARGYSWLWDHFFTQVEPVASKVPYHVCIGNHEYDWPLQPWKPDWANAVYGTDGGGECGVPYSLKFNMPGNSSDSTGTRAPATRNLYYSFDTGAVHFVYISTETNFVAGSSQYNFIKQDLESVDRSKTPFVVVQGHRPMYTTSNENRDAPMRNKMLEHLEPLFTKYNVTLALWGHVHRYERFCPVNNFICGSTWKGFPVHAVIGMAGQDWQPIWEPRSDHPNDPIFPQPARSMFRGGEFGYTKLVATKEKLTLTYVGNHDGKMHDMVEFLASGEVLSGDDSISVDAGARIGVVDSTFSWYVKGASVLVLGAFVGYILGYASHSRKQNGNKASWTPVKSEDI >Potri.011G122700.2.v4.1 pep chromosome:Pop_tri_v4:11:15308647:15311348:-1 gene:Potri.011G122700.v4.1 transcript:Potri.011G122700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122700.v4.1 MASKLLLIIVSVFDIIAFGLAVAAEQRRSTATVQPDTEKDYSYCIYDSDIATGFGVGAFLFLMASQVLIMFASKCFCCGKPLSPGGSRAWAVILYITCWVFFFIAEICLLAGSVRNAYHTRYKNFFGETLDCPSLRKGVFGAGAAFTLFTAIVSELYYICYSKASDSFQPYGGDVGVGMGTYK >Potri.008G194100.2.v4.1 pep chromosome:Pop_tri_v4:8:13696584:13697960:-1 gene:Potri.008G194100.v4.1 transcript:Potri.008G194100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G194100.v4.1 MEFGWPVLQRGRTVQTSFFNFDHSNGFPCTKPAAGVVDVHSVGAWRSYFEANKQNNKLLVIEFTATWCGPCRHMEQTIKDFAAKYTDVVFIRIDVDELQHVAQQFNVTTMPAFSLLKKGKIVDEVAGVKKSELQNKIEKHGMI >Potri.015G051201.1.v4.1 pep chromosome:Pop_tri_v4:15:6197394:6197837:-1 gene:Potri.015G051201.v4.1 transcript:Potri.015G051201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G051201.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVSQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRN >Potri.017G044800.1.v4.1 pep chromosome:Pop_tri_v4:17:3165145:3166232:1 gene:Potri.017G044800.v4.1 transcript:Potri.017G044800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044800.v4.1 MSTTMANHNFPLFILRFFPLFVISSLSLMNSQTILVEARQLLEVTLPELPKPELPKLPPLPEFPKPELPELPEFEIPKLPELPPFLHFPELPKPALPTIPRGINPSHSTTSP >Potri.001G047500.1.v4.1 pep chromosome:Pop_tri_v4:1:3496117:3497670:1 gene:Potri.001G047500.v4.1 transcript:Potri.001G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047500.v4.1 MEPPARSEACLSETSSIISVSNAPLSLKPSVIMKSQKEVKQLEEDQEEEEEERHQQGVDTNYGLVLDLSLSSKDSKQDSSKPQLNLIDSFDMDPSRKSLDTPQGNETEPRVFSCNYCQRKFYSSQALGGHQNAHKRERTLSKRGQRISAASFALLQPNYSHQNGYTSMASLPLHGSFNRSLGIQVHSMIHKPFSTSSSSSIYGQNGWSRKPIDQQPAIGRLASDKFQMATSIKGSSSSNGVARFESTRKFSPVSTEGIGGFWWDGGVNHLKTKQDDLQKLDLSLKL >Potri.016G141300.1.v4.1 pep chromosome:Pop_tri_v4:16:14412222:14415951:-1 gene:Potri.016G141300.v4.1 transcript:Potri.016G141300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G141300.v4.1 MAYKRRQGITRASTFKEEIYRPPEHDHHHDNINKNSNNNDDTLFTTSSSSPSLAAQAIRASAAHRESSLSSAYAGGSISQRSKVFDAYEDKLGTNGSKGFWGVLAQKAKAIIEEDNLSQQFETSARSRFQMPDTSAGGQYSYRTPEGFRKMDNPTLRKGLDKITSSLNQIGDTFEKAFEEGRTIVENKTADIIQETRKLQIRRKGSEAHNQGPGENTSWMQQPTQPLNHENQLKASRDVAMATAAKAKLLLRELKTVKADLAFAKQRCSQLEEENKILRESFEKGSTADDDDLIRLQLETLLAEKARLAHDNSVYARENRFLREIVEYHQLTMQDVVYLDEGSEEVTEVYPFTRMLSVSPPSSSSPSETTLRSLFEKEIHPVPDLPPETQEVSESDAPPSAGAPALKEVEEDAGRDAPPSAGMPVHKEKEEAKHIPANKQADEKSTPKSPIHKEEKEKTSSSVSPGVNK >Potri.008G090000.2.v4.1 pep chromosome:Pop_tri_v4:8:5627655:5630213:-1 gene:Potri.008G090000.v4.1 transcript:Potri.008G090000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G090000.v4.1 MDLKSSTRRRSPPSEDTDQQLAQNSKMQAKRFGSNAITFTQIFAYCLVALPIIFAISLIFRHPSSDRTMGFADARVLENRGVKQNATPIEAGGSEGVLFQHADKYNGKLLGGLLADGFDEAACTSRYSSFLYGKISLHKPSSYLISRLRSYEDLHKRCGPNTQSYNKALEQLKSGNKIGLTDCNYIVWISFSGLGNRILSLASTFLYALLTNRVLLVDQGKDMADLFCDPFPDKSWLLPRDFPLIDQFDSFNQNSPHCHGNMLKNNAINSSAMSKPSYLYLHLVHDYGDHDKLFFCDGEQSFLENVPWLIMKTDNYYVPSLFLIPSFETELSNLFPEKGTVFHHLGRYLFHPSNHVWGLITRYYRTYLAKADERIGIQIRTFDSRRGPFKHVMDQILACTLKEKLLPAVDMQDSVVNPSENAKLKAVLVTSLLSGYSEDLRNMYWEHPTMTGEVVGVYQPSHEEFQQTEKQMHNRKAWAEMYLLSLTDVLVTSAWSTFGYVAQGLGGLRPWILYKTENDTAPDPPCRRAMSMEPCFHAPPFYDCKAKKGIDTGTLVPHVRHCEDISWGLKVVDDHDDL >Potri.008G137700.1.v4.1 pep chromosome:Pop_tri_v4:8:9234897:9236568:-1 gene:Potri.008G137700.v4.1 transcript:Potri.008G137700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G137700.v4.1 MMISTWRKRREARLAGKQRLESETGDIEVTVPNHFRCPVSLDLMKDPVTMSSGITYDRESIEKWIEAGNVTCPITNKVLRSLDPIPNHTIRKMIQDWCVANSSYGIERIPTPRIPVSSEEVLEIHSKIKTACRKGDQAGCQNLVAKIKALAKESERNRRCLVATGTAGVLSSAFEEFSKASFDDNVAVLEEILSGLAVLLPHDKATTYLGSDASMDCVVWFLKSEDLSTRRNAVLVLKELVPLDNRKVDMLLEIEGAMEALFKLIKAPICPSATKASLLIIYHMVMSSSPTNAKIIDKFVDLGLVSLLLEMLVDAERSICEKALGVLDGICDRDQGREKAYNHALTIPVLVRKIHRVSDLAMKFSVSILFKLCMNEKRGNGGVLVEAIQRNAFEKLLVLLQVGCDERTREKATQLLKLLNVYRGRVDCIDSVEFKNLKRPF >Potri.002G106900.1.v4.1 pep chromosome:Pop_tri_v4:2:7916793:7929778:1 gene:Potri.002G106900.v4.1 transcript:Potri.002G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G106900.v4.1 MSVANPEPHRASRSRQTNRPPSTRHQQQQSSRVPLRQLLRVTSIAGGIQFGWALQLSLLTPYVQELGIPHKWASIIWLCGPLSGLFVQPLVGVMSDRCTSRFGRRRPFIVAGSLLIAISVLIIGHSADIGWWLGDRGGVRPRAIGAFVFGFWILDVANNMTQGPCRALLADLTGKDHRRTRVANAYFSLFMAIGNILGFATGSYNGWYKVFPFTVTSACNIDCANLKSAFYLDVVFMAITACISISAAQESPLDLPARSMLADEEMPGQSNSEQEAFLWELFGTFRCFPSTVWIILLVTALNWIGWFPFLLFDTDWMGREIYGGKPNEGQNYNTGVRMGAFGLMFNSVILGVTSVLMEKLCSKWGAGFLWGLSNILMALCFLSMLVLSYVASHIGYMGHNLPPDSIVVIALVIFAVLGMPLAITYSVPYAMVSSRIESLGLGQGLSMGVLNLAIVLPQVVVSLGSGPWDQIFGGGNSPAIAIGALAAFAAGIIAILGIPRSGVQKPMVFS >Potri.005G213000.1.v4.1 pep chromosome:Pop_tri_v4:5:21650392:21651622:1 gene:Potri.005G213000.v4.1 transcript:Potri.005G213000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G213000.v4.1 MKRLTPWSDEEDDSSSSSGSDSEGAAADKKSSRQKKSKGKSGKLKSGGAVDFEALRQHGYKGGLSVLSVPAPIDDTKPDWTWSSGKERRETTDVGESYQERQKTRYALRDGEALMNVQTSKEKKNMSFQQKEKRKRELGQASRGKNYVEEEKRLLRESGVYSGFDT >Potri.001G085032.2.v4.1 pep chromosome:Pop_tri_v4:1:6785553:6786729:1 gene:Potri.001G085032.v4.1 transcript:Potri.001G085032.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085032.v4.1 MRSQYIPEEARSTIMNFFCIPLNIFVCIVLYNVNVFPIIVMFGMCSIFLFVASLSQKRLEVIAGSQKSRSQEWTAMKEMDTEAEPLNILLNLQEQTSGIF >Potri.001G085032.1.v4.1 pep chromosome:Pop_tri_v4:1:6785553:6786729:1 gene:Potri.001G085032.v4.1 transcript:Potri.001G085032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G085032.v4.1 MKMRSQYIPEEARSTIMNFFCIPLNIFVCIVLYNVNVFPIIVMFGMCSIFLFVASLSQKRLEVIAGSQKSRSQEWTAMKEMDTEAEPLNILLNLQEQTSGIF >Potri.012G101500.1.v4.1 pep chromosome:Pop_tri_v4:12:12449669:12452163:-1 gene:Potri.012G101500.v4.1 transcript:Potri.012G101500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101500.v4.1 MCLCSMNDYLAMASMATKGAAGLYFPGNLHKVEKKNNVTVLAKAGFPCSWHMITPKKRSFYAIASAGNPPSLDITNGKKVNGIHVRETPSPYISNTNAGGLAIHSNVAEPHTYLLGRFVEDRLIYRQTFSIRSYEIGPDKTATMETLMNLLQETALNHVTSSGLAGNGFGATREMSLRKLIWVVTRIHVQVQRYSCWGDVVEIDTWVDATGKNGMRRDWIIRDYNTKEIITRATSTWVIMNRETRKLSKIPDEVREELVPFYINRLSIAAEHNDVEKIDKLNNETAGRIRSGLAPRWSDMDANQHINNVKYIGWILESVPMHVLEHYNMTSMTLEYRRECRQSNLLESLTSTSEDSNSGSSNRKAGMEYTHLLRMQSDKAEIVRARTEWKSKLKHK >Potri.001G283100.1.v4.1 pep chromosome:Pop_tri_v4:1:29655909:29662100:-1 gene:Potri.001G283100.v4.1 transcript:Potri.001G283100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G283100.v4.1 MLLLRSSIQRARNLKALKPSIFALRSSYCFSTGAAEPSSSLPSPPRVPNLIGGKFVDSQSSSTIDVINPATQEAVSRVPFTTNEEFRAAVSAAKQAFPAWRNTPITTRQRVMLKLQELIRRDIDKLAMNITTEQGKTLKDAHGDVFRGLEVVEHACGMATLQMGEYVPNVSNGIDTFSIREPLGVCAGICPFNFPAMIPLWMFPVAVTCGNTFILKPSEKDPGASIILAELAMEAGLPDGVLNIVHGTNDVVNAICDDDDIRAISFVGSNTAGMHIYSRASAKGKRVQSNMGAKNHAIVLPDANVDATLNALVAAGFGAAGQRCMALSTVVFVGDPQSWENKLVERAKSLKVNSGMEPDADLGPVISKQAKERVCRLIQSGVESGARLLLDGRNIVVPGFEHGNFIGPTILSGVTADMECYKEEIFGPVLLCMEAGSVEEAINILNRNKYGNGAAIFTASGAAARKFQTEIEAGQVGINVPIPVPLPFFSFTGSKASFAGDLNFYGKAGVNFYTQIKTITQQWKDLPGGSGVSLAMPTSQKL >Potri.018G097000.1.v4.1 pep chromosome:Pop_tri_v4:18:11771111:11772758:-1 gene:Potri.018G097000.v4.1 transcript:Potri.018G097000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G097000.v4.1 MAPINPAISHITPTTTLTYFLLLLLATTTTTTPILAITNLTALLSSFPDFSSFTSLITSIPSLTSDLSDRSALTLLVIPNSYLSSSLDLTRRLSPSALADLLRYHILLQYLSSSDLHQVPPSGALITTLFQTTGRASSNSGSVNITRNPVTNAITISSPSPFSSSNATVLSLIMTLPYNVSIISVNSLLVPYGFDLMASETRPTLGLNITKALLDGHSFLVAASLLSASGVVQEFEADEGGAGITLFVPTDSAFSDLSATAISLQSLPADKKADVLKFHVLHSYYPLGSLESIVNPVQPTLATEDMGAGSFTLNISRVNGSVAIDSGIVQASVTQTVFDQNPVVIFGVSKVLLPKEIFGRNPVLTSKPGNTDMGNAQPPVSALSPENSPKMLSSAPGVREEIKSGVGGLQWLSTLHLLCVVFVCNCI >Potri.001G375400.2.v4.1 pep chromosome:Pop_tri_v4:1:39411747:39418130:1 gene:Potri.001G375400.v4.1 transcript:Potri.001G375400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375400.v4.1 MEMRLISKTVCFILFLLLTVRINVKGRSLAHSSVELLVSDGINDVQENQSSILLLKGMDSSSEEKCEQLYGFLPCSSNIFGHLFLIAVYEYMLFHGEGYLASGGEKIFRILGPGVFGASAFQVLGALPESLILLGVGLLAGTSILLLTMLWGTCVIVGSVQSSKPTISNTSSSRLLSWFTEFRVTTDLQTSYTARIMGLSVIPFLILQIPKVFNSNSGEYLTVLISLVVSVASLLIYFFYQIFEPWIQKRRLEYVKCNEGLLRILQLVQERALGIILTGDGAPNINAIQRLFEEIDEDGDDCISPSEVRKLLLDIKSTGMNINKDSASEELIKVLDLNDDKKITKEEFVHTFTKWLEETKYAMEKRYFTINSLKRIDQVFHPFVESKRKEREMKRNLMSEIVSHLQSVALGNLIKEDGTPDLLAIRRLFEDIDRDEDNCISKDELKELMKKIEIGKISWDVDEAAEKIIEALDTSGDQMIDEKEFAEGIVRWSINPPENVTPVSTRSQDDNNRRTPWEEVDKLLKDEKTNAVDKSSWAWFKAIMSMVLGVAILSVLAEPLTQSVQNFSEDAGIPSFFVSFVLAPLATNARAATSAITTACRKKSITTSLTFSEIYGGVFMNNVLGCSVLLFLVYARGLTWEFSAEVLVVLITCAIMSLAVSFRSDFPLWTSFMAFLLYPFSLFLVYVFNDVLDHV >Potri.001G375400.3.v4.1 pep chromosome:Pop_tri_v4:1:39413277:39418134:1 gene:Potri.001G375400.v4.1 transcript:Potri.001G375400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375400.v4.1 MAASGLLNTREVAQEYVSTGVGLLAGTSILLLTMLWGTCVIVGSVQSSKPTISNTSSSRLLSWFTEFRVTTDLQTSYTARIMGLSVIPFLILQIPKVFNSNSGEYLTVLISLVVSVASLLIYFFYQIFEPWIQKRRLEYVKCNEGLLRILQLVQERALGIILTGDGAPNINAIQRLFEEIDEDGDDCISPSEVRKLLLDIKSTGMNINKDSASEELIKVLDLNDDKKITKEEFVHTFTKWLEETKYAMEKRYFTINSLKRIDQVFHPFVESKRKEREMKRNLMSEIVSHLQSVALGNLIKEDGTPDLLAIRRLFEDIDRDEDNCISKDELKELMKKIEIGKISWDVDEAAEKIIEALDTSGDQMIDEKEFAEGIVRWSINPPENVTPVSTRSQDDNNRRTPWEEVDKLLKDEKTNAVDKSSWAWFKAIMSMVLGVAILSVLAEPLTQSVQNFSEDAGIPSFFVSFVLAPLATNARAATSAITTACRKKSITTSLTFSEIYGGVFMNNVLGCSVLLFLVYARGLTWEFSAEVLVVLITCAIMSLAVSFRSDFPLWTSFMAFLLYPFSLFLVYVFNDVLDHV >Potri.001G375400.1.v4.1 pep chromosome:Pop_tri_v4:1:39411747:39418132:1 gene:Potri.001G375400.v4.1 transcript:Potri.001G375400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375400.v4.1 MEMRLISKTVCFILFLLLTVRINVKGRSLAHSSVELLVSDGINDVQENQSSILLLKGMDSSSEEKCEQLYGFLPCSSNIFGHLFLIAVYEYMLFHGEGYLASGGEKIFRILGPGVFGASAFQVLGALPESLILLASGLLNTREVAQEYVSTGVGLLAGTSILLLTMLWGTCVIVGSVQSSKPTISNTSSSRLLSWFTEFRVTTDLQTSYTARIMGLSVIPFLILQIPKVFNSNSGEYLTVLISLVVSVASLLIYFFYQIFEPWIQKRRLEYVKCNEGLLRILQLVQERALGIILTGDGAPNINAIQRLFEEIDEDGDDCISPSEVRKLLLDIKSTGMNINKDSASEELIKVLDLNDDKKITKEEFVHTFTKWLEETKYAMEKRYFTINSLKRIDQVFHPFVESKRKEREMKRNLMSEIVSHLQSVALGNLIKEDGTPDLLAIRRLFEDIDRDEDNCISKDELKELMKKIEIGKISWDVDEAAEKIIEALDTSGDQMIDEKEFAEGIVRWSINPPENVTPVSTRSQDDNNRRTPWEEVDKLLKDEKTNAVDKSSWAWFKAIMSMVLGVAILSVLAEPLTQSVQNFSEDAGIPSFFVSFVLAPLATNARAATSAITTACRKKSITTSLTFSEIYGGVFMNNVLGCSVLLFLVYARGLTWEFSAEVLVVLITCAIMSLAVSFRSDFPLWTSFMAFLLYPFSLFLVYVFNDVLDHV >Potri.002G256001.3.v4.1 pep chromosome:Pop_tri_v4:2:24499078:24499586:1 gene:Potri.002G256001.v4.1 transcript:Potri.002G256001.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256001.v4.1 MQRREYRREGGGGIGGDQEMDYSLAALKLLRVQLKDTSETPSQNALTLGGILFQRAWLQGILVSNDGDGRLLLDDGTGVIELCLSGDFRLRHWDSGTYPFSFLIYFFFWHILAYIDRSVFLGCIFELYRDVCDGSWRVLCPSW >Potri.002G256001.2.v4.1 pep chromosome:Pop_tri_v4:2:24498805:24500141:1 gene:Potri.002G256001.v4.1 transcript:Potri.002G256001.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256001.v4.1 MQRREYRREGGGGIGGDQEMDYSLAALKLLRVQLKDTSETPSQNALTLGGILFQRAWLQVPPLLFLPVLHFTPPPCNSFLLLFSREFWSPTTVTAVSFSMTALVSSSFAFLATSVSVTGTQVRKMVDLSALPDEKQCGILKLWRRTSFSTSP >Potri.002G256001.4.v4.1 pep chromosome:Pop_tri_v4:2:24499084:24500141:1 gene:Potri.002G256001.v4.1 transcript:Potri.002G256001.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256001.v4.1 MDYSLAALKLLRVQLKDTSETPSQNALTLGGILFQRAWLQGILVSNDGDGRLLLDDGTGVIELCLSGDFRLRHWDSGTYPFSFLIYFFFWHILAYIDRSVFLGCIFELYRDVCDGSWRVLCPSW >Potri.005G196100.1.v4.1 pep chromosome:Pop_tri_v4:5:20295114:20297211:-1 gene:Potri.005G196100.v4.1 transcript:Potri.005G196100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196100.v4.1 MSVASSIPYIKIPNPSSSSCSSLSSSSSTSSTSSYYRFSTTTKPYIVTIRSSQAEGPVRRPVAPPLREPSPPASPSPPLKPVPPSSPSSPVAPPPKPAAKVAVEDKNVMTLEFQRQKAKELQEYFKQKKLEEADQGPFFGFFGKNEIANGRWAMFGFAVGMLTEYATGSDFVDQVKILLSNFGIIDLE >Potri.019G035200.1.v4.1 pep chromosome:Pop_tri_v4:19:4816165:4822677:1 gene:Potri.019G035200.v4.1 transcript:Potri.019G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G035200.v4.1 MKTMAIAYSPPLFSPFLNVNLTRLSSSLLLPFCHSSFSPTLSRCRRRPRFLSMASPTQSSNQAVSTGDVNTDADVFQLIRTHQENAARLPPVEEIRTLLNQSTRGMLSTFSQKHEGYPSGSMVDFACDADGSPILAVSSLAVHTKDLLANPKCSLLVAKDPEDRTDLVITLHGDAIPVSEKDITAVRTAYLAKHPDSFWVDFGDFQFMRIEPKVARYVSGVATALLGSGEFSKEEYQTAKVDLIAQFAKPVASHMNRDHAEDTRLIVQHSTSILVDSAYMLDMDSLGFNVKAAYQGKTYKLRIPFPRRAEDRKDVKTLVVEMLQAAKSQIN >Potri.018G130700.1.v4.1 pep chromosome:Pop_tri_v4:18:14097042:14097833:-1 gene:Potri.018G130700.v4.1 transcript:Potri.018G130700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130700.v4.1 MASATDSSYGIRITFIVILFFSLTFLPPVSAGSSMPSRGSEDMKQKKMVLGSRPPQCVNRCSNCKPCMAALVTPPHHKNGVRGPSSSKGDESYYLLSWKCKCGDKYFQP >Potri.009G003400.1.v4.1 pep chromosome:Pop_tri_v4:9:971836:972574:1 gene:Potri.009G003400.v4.1 transcript:Potri.009G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003400.v4.1 MSKDHKTQKNLQKVQQSVSQGHEQEEGELENIQVDDQEECKTPTSSDHKIPAIQSCPPTPRKKVQVFEHKRKLPEFFETTNNDEVESFFRSSFEISTRVNESRPMKRRCRSY >Potri.006G261111.1.v4.1 pep chromosome:Pop_tri_v4:6:25725056:25725947:-1 gene:Potri.006G261111.v4.1 transcript:Potri.006G261111.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261111.v4.1 MLPKLVTFQFNHLVLVLILAVTSLEMGNANLLSDSCYILSIKHLASYINTWIILMMEVERTQVEARECVKETR >Potri.010G217500.6.v4.1 pep chromosome:Pop_tri_v4:10:20411849:20413812:-1 gene:Potri.010G217500.v4.1 transcript:Potri.010G217500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217500.v4.1 MEISGKSKPQPVNMKVVKPIEATPESFKEYGQVIEAWPDGEEFDPKDAQLNLSQGIPRFYIMKLEKRSLKFSKITHHAKVTQCLGSIGGGVWYLGVAKPSIVETKENAGSDAMQSKCGHFYVPPAVDKVQVFRISGSKFIKLNIGTWHAGPLFKPETMDFYNLELSDTNVVDHTTHNLKQQDGIVFVIDD >Potri.010G217500.7.v4.1 pep chromosome:Pop_tri_v4:10:20411918:20413764:-1 gene:Potri.010G217500.v4.1 transcript:Potri.010G217500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217500.v4.1 MMLTHLLFVNRFYIMKLEKRSLKFSKITHHAKVTQCLGSIGGGVWYLGVAKPSIVETKENAGSDAMQSKCGHFYVPPAVDKVQVFRISGSKFIKLNIGTWHAGPLFKPETMDFYNLELSDTNVVDHTTHNLKQQDGIVFVIDD >Potri.008G064400.1.v4.1 pep chromosome:Pop_tri_v4:8:3874064:3878621:-1 gene:Potri.008G064400.v4.1 transcript:Potri.008G064400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064400.v4.1 MEENHNKKNSYLNCSGGGGGMSDVVLGLVMPYINDPRDRDAVSLVCRRWYELDALTRKNVTIAFCYSTSPDRLRRRFNDIESLKLKGKPRAAMFFNLIPEDWGGFVTPWVNEIAESFNCLKSLHFRRMIVKDSDLELLARSRGRLLQVLKLDKCSGFSTDGLSHIGRSCRQLRTLFLEESAIVERDGDWLHELATNNTVLETLNFYMTELTRVRSEDLELLARNCRSLVSVKVSDCEILDLVGFFHAASALEEFCGGSFNEPDEPDKYSAVKFPPKLCCLGLSYMEKNVMSIVFPFASLLKKLDLLYAFLGTEDHCVLVQRCPNLEVLETRNVIGDRGLEALAQSCKLLKRLRIERGADEQGMEDVDGRVSHRGLIALAQGCLELEYIAVYVSDITNAALEHMGTYSKNLNDFRLVLLEQEERITDLPLDNGVRALLRGCEKLQRFGLYLRPGGLTDVGLGYIGQYSRRVRWMILGSVGESDEGLLAFSRGCPSLQKLEMRACCFSESALARAALQLTSLRYLWVHGYRETSTGHRDLLTMVRPFWNIELIPSRKVESVNEAGENIVSENPAHILAYYSLAGPRTDFPDTVRPLDPANIVAA >Potri.003G136200.3.v4.1 pep chromosome:Pop_tri_v4:3:15375370:15378264:1 gene:Potri.003G136200.v4.1 transcript:Potri.003G136200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136200.v4.1 MASKRILKELKDLQKDPPTSCSAGPVAEDMFHWQATIMGPADSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRSKYETTARSWTQKYAMG >Potri.011G131100.1.v4.1 pep chromosome:Pop_tri_v4:11:16487892:16491462:-1 gene:Potri.011G131100.v4.1 transcript:Potri.011G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131100.v4.1 MESHQYFGYGVTGAGLSYSSSYPSVPSMPNRLFGSLKLDIGNSTSSPFSTEFDCDTYATTLCDSQDRYSSTENLSGESSSYFSRLNPSVDCQRESLLLFPGGTSLLQDASSSHNIKHTLLKLETTLMGPDDDEDVNTPNTCLGGSSRPPTSDQKPRAWSQQREGSHVIQTQTSFVSRQRQFGEGAHVEKRQKEMEEVHFHGIPSGDLKQLLIACAKALAENKVNDFDKLIEKARSVVSISGEPIQRLGAYLVEGLVARKESSGTNIYRALRCKEPEGKDLLSYMHTLYEICPYLKFGYMAANGAIAEACRNEDHIHIVDFHIAQGTQWMTLLQALAARPGGAPHVRITGIDDPVSKYARGDGLDAVARRLTAISEKFNIPIEFHGVPVYAPDVTKEMFDVRPGEALAVNFPLELHHTPDESVDVNNPRDGLLRMIKSLNPKVVTLVEQESNTNTTPFLTRFVETLNYYLAMFESIDVRLPRNQKERISVEQHCLARDIVNVIACEGKEREERHELFGKWKSRFMMAGFRQCPLSSYVNSVIRSLLRCYSEHYTLVEIDGAMLLGWKDRNLISASAWY >Potri.011G131100.4.v4.1 pep chromosome:Pop_tri_v4:11:16487844:16491090:-1 gene:Potri.011G131100.v4.1 transcript:Potri.011G131100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131100.v4.1 MESHQYFGYGVTGAGLSYSSSYPSVPSMPNRLFGSLKLDIGNSTSSPFSTEFDCDTYATTLCDSQDRYSSTENLSGESSSYFSRLNPSVDCQRESLLLFPGGTSLLQDASSSHNIKHTLLKLETTLMGPDDDEDVNTPNTCLGGSSRPPTSDQKPRAWSQQREGSHVIQTQTSFVSRQRQFGEGAHVEKRQKEMEEVHFHGIPSGDLKQLLIACAKALAENKVNDFDKLIEKARSVVSISGEPIQRLGAYLVEGLVARKESSGTNIYRALRCKEPEGKDLLSYMHTLYEICPYLKFGYMAANGAIAEACRNEDHIHIVDFHIAQGTQWMTLLQALAARPGGAPHVRITGIDDPVSKYARGDGLDAVARRLTAISEKFNIPIEFHGVPVYAPDVTKEMFDVRPGEALAVNFPLELHHTPDESVDVNNPRDGLLRMIKSLNPKVVTLVEQESNTNTTPFLTRFVETLNYYLAMFESIDVRLPRNQKERISVEQHCLARDIVNVIACEGKEREERHELFGKWKSRFMMAGFRQCPLSSYVNSVIRSLLRCYSEHYTLVEIDGAMLLGWKDRNLISASAWY >Potri.001G178500.1.v4.1 pep chromosome:Pop_tri_v4:1:15580820:15583266:1 gene:Potri.001G178500.v4.1 transcript:Potri.001G178500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178500.v4.1 MAQLIPSLPNDIATECLIRLPFQHFPAATLACEGWKLEIESPEFFQSRKVAGYSQPTIVMALARVGEETGGSSQKNLRSPTTYRLAFCDLKTGTWGELQPIPEFSKGLPMFCRLAVAGLNLVVIGGWDPETCRVSNAVFIYSFVSATWRRGADIPGVKRSLFGCASDINGNKVYVAGGHEEEKNALTSVLGYDVAKDDWIKLPDMARERDECNAVFHSGKIHVFGGYSTEAQGVFDASSEAFDLGEWRWVQMQENFLGTNMSARTCVADGNGRFCICQGSEVMVAYEEAEWRRVAELPVDMGVPACVMMGQNKLLVIGAGKSDDPHMFFELDLERYTWTMLEAPKQHSGHVQSICFLEI >Potri.011G040500.2.v4.1 pep chromosome:Pop_tri_v4:11:3150962:3152320:1 gene:Potri.011G040500.v4.1 transcript:Potri.011G040500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040500.v4.1 MKLDLENPLTSSEEHQSDTITYLFASEFDHMPSRNLLNFLETCDHFYVSFRHEAISLILQAQYSCNCGPFIPYLAVNFMDRFISRMEIPQGKPWILRLVVVSCLSLAAKMENTDFSISNFQGDEAGFIFDNKTINRMELLILDTLDWRMRSITPFSFVHFFISLSQLKDPALTQTLKDRATEIIFKAQNEIKLLKFKPSIIAASALLVASKELLPLQFPSFKFSISAFECVKEENLLNCFDTLQEMVENENGTRQC >Potri.001G074400.1.v4.1 pep chromosome:Pop_tri_v4:1:5600797:5603537:-1 gene:Potri.001G074400.v4.1 transcript:Potri.001G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074400.v4.1 MEFIPSTTIYLITLLSFSMPFATSATIFDFENCEFPAIFNFGDSNSDTGGLAASFTPPNFPNGETYFDMPAGRYCDGRLIIDFISKSLDLPYLSAYLNSLGTNFTHGANFATSSSTITLPTSIMPNGEYSPFYLGVQYEQFLRFKARSQLIREGGGIFARLMPREEYFEKALYTFDIGQNDLGAGFFSMSVEEVNASVPDMINAFSTNVENMYHLGARSFWIHNTGPIGCLGYILVGFPTAEKDVAGCAKPYNEVAQYFNHKLKESVFQLRRDFSTALFTYVDVYSLKYALFSEPKTYGFELPLVACCGYGNLYNYSSGAVCGATIAINGTQKTVGSCDTPSARVVWDGEHYTEAANKFIFDQISTGVFSDPPVPLKMACHRTADLQRY >Potri.019G008541.1.v4.1 pep chromosome:Pop_tri_v4:19:134343:140252:-1 gene:Potri.019G008541.v4.1 transcript:Potri.019G008541.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008541.v4.1 MPLLKKKAFPLLEPPKDLDPNELVYQVRFTKEIFRDYQIYLNRINLYRQRIWTCKVSGKGNLTYEEALVSEKHATKKVPEIPKELMAMALHTIQFSMLSLKDLADKIAAKLQETLFIGAELHGKREKSDLCPCKILKVLEEGTVKTKYEVAWLDRNKKVTETAIVNRNDLIWKKSPFSRNSLKPFIRKSTYRSFPWVLHDKLAEKYRISRDPPQDLKGKVFILDGIVYNKRKKDATDVEESGKLKKKKVEGEEAEATGKEDNQQKEEPIKYPINDLLVQPGTDDPVFTARPLPSRDFKVPMNCVGDLLMVWDFCSSFCKLLHLSPFSLEEFENAICHKGSNVNLIVETHSSLLRLLKHDKDEYFSAVQKRIRSLKITLTNWTEYLCDFVEIINVGDFSTHITTIKRGHYGLLDAQVKLGILRELVNEVLETDIAREKLAEYVEERQVLLATKRGEALEEGRKKREKEQLKAKSVANAVMNGHGADIIGKNQPVLANGNHIGQNGQIAKKKGEIFSARPNNPSKRSESSRSDIESKKTGKKKNMNVEGQAENVIDLTKREALILLRDEKNTAAKRSGKEQRREYFEREIEKRILHTNPLGKDRDYNRYWWFKRDGRMFVESSDSKLWGYYCCKEEIDLLMGSLNPKGEREKALRKQVEKFYSRICMELQKRSKDLANRIALEEAVQRRSTRVRALPRENPANAFLNYVNKWKED >Potri.010G234100.1.v4.1 pep chromosome:Pop_tri_v4:10:21508676:21512249:1 gene:Potri.010G234100.v4.1 transcript:Potri.010G234100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234100.v4.1 MDRVSSASNIFPTGRDDITGQFGLIWQVLKEPLIVPALKLLVVVCLGMSIMLFIERVYMGIVIVFVKLFGKKPNKMYKWEPMKDDIEVGNSAYPMVLVQIPMYNEKEVYQLSIGAACGLSWPSDRIIIQVLDDSTDPAIKSLVELECQRWASKGINIKYEIRDNRKGYKAGALKEGMKHSYVKQCDYVAIFDADFQPEPNYLWRTIPFLVHNPEIALVQARWKFVNSDECLMTRMQEMSLDYHFTVEQEVGSATYAFFGFNGTAGVWRISAVNEAGGWKDRTTVEDMDLAVRASLKGWKFVYVGDLKVKNELPSTFKAYRYQQHRWSCGPANLFKKMAIEIIKNKKVSLWKKCYVIYSFFFVRKIVAHIVTFVFYCVVLPSTVLVPEVSVPKWGAVYIPSIVTLLNAVGTPRSLHLMVFWILFENVMSLHRTKATFIGLLEIGRVNEWVVTEKLGDALKGKLPAKATKKPRIRIRERLHLLELCAGAYLFFCGCYDFAFGKNRYYIFLFLQSIAFFIAGFGYIGTFVPNS >Potri.006G177250.15.v4.1 pep chromosome:Pop_tri_v4:6:18286169:18290126:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVRLLSYATGTFLLFIRVSPSVLAEV >Potri.006G177250.8.v4.1 pep chromosome:Pop_tri_v4:6:18285372:18290124:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MSIFLCVLLICAIMHLFLCFLDVLSQDFFSLLLFVSFVLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIRREHMIHSCGSSPRKLATPNYVKLKREAPC >Potri.006G177250.13.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290123:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIRREHMIHSCGSSPRKLATPNYVKLKREAPC >Potri.006G177250.7.v4.1 pep chromosome:Pop_tri_v4:6:18285372:18290210:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MSIFLCVLLICAIMHLFLCFLDVLSQDFFSLLLFVSFVLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIRREHMIHSCGSSPRKLATPNYVKLKREAPC >Potri.006G177250.20.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290123:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVREGNT >Potri.006G177250.16.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290170:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIRREHMIHSCGSSPRKLATPNYVKLKREAPC >Potri.006G177250.19.v4.1 pep chromosome:Pop_tri_v4:6:18285366:18290162:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVREGNT >Potri.006G177250.9.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290161:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MSIFLCVLLICAIMHLFLCFLDVLSQDFFSLLLFVSFVLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVREGNT >Potri.006G177250.11.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290123:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIAVNICHAIEDRRKFLLGITWKKLETAKIISGGDSLRRLDCIKCFPPVRGPPESV >Potri.006G177250.4.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290123:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MSIFLCVLLICAIMHLFLCFLDVLSQDFFSLLLFVSFVLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIAVNICHAIEDRRKFLLGITWKKLETAKIISGGDSLRRLDCIKCFPPVRGPPESV >Potri.006G177250.6.v4.1 pep chromosome:Pop_tri_v4:6:18286169:18290147:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MSIFLCVLLICAIMHLFLCFLDVLSQDFFSLLLFVSFVLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVRLLSYATGTFLLFIRVSPSVLAEV >Potri.006G177250.17.v4.1 pep chromosome:Pop_tri_v4:6:18285372:18290126:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIRREHMIHSCGSSPRKLATPNYVKLKREAPC >Potri.006G177250.21.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290148:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVREGNT >Potri.006G177250.12.v4.1 pep chromosome:Pop_tri_v4:6:18286288:18290123:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVRLLSYATGTFLLFIRVSPSVLAEV >Potri.006G177250.18.v4.1 pep chromosome:Pop_tri_v4:6:18285372:18290126:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIRREHMIHSCGSSPRKLATPNYVKLKREAPC >Potri.006G177250.14.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290123:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIRREHMIHSCGSSPRKLATPNYVKLKREAPC >Potri.006G177250.10.v4.1 pep chromosome:Pop_tri_v4:6:18285372:18290126:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIAVNICHAIEDRRKFLLGITWKKLETAKIISGGDSLRRLDCIKCFPPVRGPPESV >Potri.006G177250.5.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290161:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MSIFLCVLLICAIMHLFLCFLDVLSQDFFSLLLFVSFVLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVRPLIFAMLLKTDGSFYWESLGKSWKLQRLSVAVTVYED >Potri.006G177250.1.v4.1 pep chromosome:Pop_tri_v4:6:18285373:18290148:-1 gene:Potri.006G177250.v4.1 transcript:Potri.006G177250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G177250.v4.1 MSIFLCVLLICAIMHLFLCFLDVLSQDFFSLLLFVSFVLGAERIEMEKRVLLGVLKKNNPIKKNKKRFLKKVVGYLDSDSCMFAPLISTPHTDFLASKSSSSSTAGHSRLIKKITVEVSTRKLPRKDNQPTVETSNVTVQDQFSELPKKGISGQQKFVHKESVKHIVKLLLFFVREGNT >Potri.007G111800.1.v4.1 pep chromosome:Pop_tri_v4:7:13319910:13320746:-1 gene:Potri.007G111800.v4.1 transcript:Potri.007G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111800.v4.1 MLRLIGSLSFIWLLMATSTMAQTWPPVLDADGQPLRSGVEYYVLPAVTDVAGGLILVNLNNGSICPLFVGQEPLAPVVSPGTSVIFTPRVADTVIRETRDFTVEFTGVTICAQSTAWRVGERNPKTRRRYILAETDPIPSSNAWHFNIVKNDQGLYNFQWCPNCLTGVCPKPLCGDAGIVVENERRLLVLDGPAFPFIFKGLE >Potri.014G137550.1.v4.1 pep chromosome:Pop_tri_v4:14:9356694:9358219:-1 gene:Potri.014G137550.v4.1 transcript:Potri.014G137550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137550.v4.1 MTQKEDSKQKGNKGTDPTVPSIFAGQTPQKHHRSNSTSTNRDPPSTTPSPSAATTDPHINGPRPAETPQTPPPLPSHRRLQAESRSPASCTIADSSGHSSQKLGKQGRKKENHS >Potri.018G084900.1.v4.1 pep chromosome:Pop_tri_v4:18:10266355:10273997:1 gene:Potri.018G084900.v4.1 transcript:Potri.018G084900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G084900.v4.1 MGCVYSRSCIGEVCIPKDLKAKNQNHQETTQKAGEIPVFSPAASSSSHESETRDHINQPSNHELGITRLSRVSSQFLPPDGSRTIKIPSANYELKCSYLSQRGYYPDALDKANQDSFCIHTPFGASLDDHFFGVFDGHGEFGAQCSQFVKRKLCENLLRNGKFRVDAVEACHSAFLSTNSQLHADSLDDTMSGTTAITVLVRGRTIYVANSGDSRAVIAEKRGNEIVAVDLSIDQTPFRVDELERVKLCGARVLTLDQIEGLKNPHVQCWGNEEGDDDGDPPRLWVSNGMYPGTAFTRSIGDSIAESIGVVPNPEIVVLELGPQHPFFVLASDGVFEFLSSQTVVDMVAKYKDPRDACAAIVAESYRLWLQYETRTDDITVIVVHVNGLTESSVSQSTISPGALRPPVPQIVEVTGSESPANFGWNARNPRVRHDLSRARLRAIESSLENGQLWVPPSPAHRKTWEEEAHIERALHGHFLFRKLTDTQCHVLLDCMQRVEVLQGEEVVRQGGEGDCFYVVGSGEFEVFATQEEKDGALPRVLQSYTAEKLSSFGELALMYNKPLQASVRAVTSGTLWALKREDFRGILTSEFSNLSSLKLLRSVDLLSQLTILQLSHIADTLSEVSFSDGQTIVDMDEGLSGLHIIQKGQVRITFDADLLSCPNVGSLKSENQKEDDYLHCGSKLSLEKKEGSYFGEWELLGEHFDSVSAVAIGACVCSVLTTEKFDSVVGPLARLSKGEEKSRSSSSNFFKESAEITNVAAPLEVRLSDLEWSHSLYSTDYSEVGLVNLRDSENLLSLKRFSKQKIKTLGKEEQVLKEKNLMKSLGASAFVPEVLCTCADRRHAAILLNTCLACPLASILHTALDEPSARFCAATVVIALEDLHKNGVLYRGVSPEVLMLDRTGYIQLVDFRFGKKLSGERTFTICGMADSLAPEIVQGKGHGLPADWWAVGVLIYFMLQGEMPFGSWRDSELDTFAKIAKGQLNLPSNFSHEAVELITQLLEVDESSRLGSLGPNSIKNHPWFDGIDWKGIRDRSLPVPREITSRVAQHLESHSVECTAPLTSQSQDLDDLNALEWLDDW >Potri.005G235500.1.v4.1 pep chromosome:Pop_tri_v4:5:23370875:23372785:1 gene:Potri.005G235500.v4.1 transcript:Potri.005G235500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235500.v4.1 MPSSILFFLFLQLFFAIQPCHRVVTSAGGGGRWQLLQKNIGIVAMHMQLLNNDRVVIYDRTDFGRSNLSLPGGKCRDDSSEIVIKHDCTAHSVEYDVLANKFRPLMVQTDVWCSSGAVVPDGSLIQTGGFSDGERKVRTFYPCNGDCDWVETGDGLKAKRWYATNHILPDGKQIIIGGRRQFNYEFYPKTSSPDVYSLPFLLQTNDRGSENNLYPFVFLNSDGNLFIFANNRAILFDYKTGKVVKTYPAIPGGDPRSYPSTGSAVLLPLKNLDAATIEAEVLVCGGAPKGSFEKVAKRNFVKALDTCGRIKINDPNPQWVMETMPYARVMGDMTLLPNGVVLIINGAGAGTAGWENGRNPVLNPILYWPNGAAGSRFESLNPSTIPRMYHSTAILLRDGRVLVGGSNPHIGYEFNGVLFPTELSLEAFSPPYLDAQNNYLRPTIVSSTASKGKYIGYGQKLLVRFKVTGKLMADRISVTMVAPAFNTHSYSMNHRLLVLGNTKVTSVGTSTYDIQVTTPHSGYLAPSGHYILYVVHQYIPSTGIWVKIL >Potri.013G103000.1.v4.1 pep chromosome:Pop_tri_v4:13:11199382:11202054:1 gene:Potri.013G103000.v4.1 transcript:Potri.013G103000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103000.v4.1 MQERMDAVTPTMPLFTPYKMGKFNLSHRIVLAPLTRQRSYDNVPQPHAVLYYSQRATKGGLLISEATGVSDTAQGYLHTPGIWTREQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSKSVFQPDGQAPVSSTDKPLSSQREGTEFTPPRRLRTDEIPQIVNDFRIAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNNRTDQYGGSLENRCRFPLEIVEAIANEIGSDKVGIRLSPHVNFMESEDSDPEALGLHMVKSLNKYGIAYCHMVEPRMKIGAGNTKFSESLLPMRKAFNNTFIVAGGYDREDGNKALEENRADLVAYGRLFLANPDLPRRFELHAPLNKYNRETFYTHDPVVGYTDYPFLEDTA >Potri.006G270601.1.v4.1 pep chromosome:Pop_tri_v4:6:27508699:27512904:1 gene:Potri.006G270601.v4.1 transcript:Potri.006G270601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270601.v4.1 MAKTRPGGIISKPKTGKRDLESYTIRGTTKVVRAGDCVLMRPSDTGRPSYVAKIEAIEADSRNNVKVRVRWYYRPEESLGGRRQFHGAKELFLSDHYDVQSAHTIEGKCIVHSFKNYTKLENVGAEDYYCRFEYKAATGGFTPDRVAVYCKCEMPYNPDDLMVQCEGCKDWYHPACVDMTIEEAKKLDHFMCSECASDDDVKRSQNGFSASSLAEVKVENKRRKR >Potri.016G136800.2.v4.1 pep chromosome:Pop_tri_v4:16:14062392:14063277:-1 gene:Potri.016G136800.v4.1 transcript:Potri.016G136800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136800.v4.1 MTPSINLSKFSHVSLASESKKEMDEIAVVLKRFGDEQSTLLDQFERLSFEVQLNQAILGRSLSEPGASRSQLKGKLNGQDTAPLATHVKQGCRRRGLGFDKLLKKLLRPILGRKGKGDGGGGGAAAAAKKEGMANPKDPKSWKAFSRSLRI >Potri.006G240200.3.v4.1 pep chromosome:Pop_tri_v4:6:24204072:24207483:-1 gene:Potri.006G240200.v4.1 transcript:Potri.006G240200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240200.v4.1 MASIRRTLSPVPRAGTLLNGEACQVASPLSKSSSSYSQSYPTSGGFLSSIFGLSDVQAFAYGVFSPRSSRPSERSKSKGQVWKRALFHFLVSFVIGVFIGLTPFVSMNLSTNPMSKHQAFSFEVVSTVGNFDKHEDMTRNATTIAERGGLENSTTLEPQVKEEESGDGNSNGTSISLSLSEDVNLVSRKLLIIVTPTHARPLQAYYLSRLAHTLKLVQPPLLWIVVEMTLQSDHTADILRRTGVMYRHLVCNKNLTDIKDRSVHQRNVALSHIEIHHLDGIVHFADDYNTYSADLFEQMRQIRRFGTWTVAKLTGNKNKDFVEGPICNGTQVIGWHVNDSRRRFRRFHADMSGFAFNSTIIWDPKRWHRPTPEPIRQLDTVRDGFQVSSFIEQVVEDESQMEGLLEDCSRVMVWLLQLQSSNSLYPPKWFLDGNLDVITQAA >Potri.006G240200.2.v4.1 pep chromosome:Pop_tri_v4:6:24203329:24207586:-1 gene:Potri.006G240200.v4.1 transcript:Potri.006G240200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240200.v4.1 MASIRRTLSPVPRAGTLLNGEACQVASPLSKSSSSYSQSYPTSGGFLSSIFGLSDVQAFAYGVFSPRSSRPSERSKSKGQVWKRALFHFLVSFVIGVFIGLTPFVSMNLSTNPMSKHQAFSFEVVSTVGNFDKHEDMTRNATTIAERGGLENSTTLEPQVKEEESGDGNSNGTSISLSLSEDVNLVSRKLLIIVTPTHARPLQAYYLSRLAHTLKLVQPPLLWIVVEMTLQSDHTADILRRTGVMYRHLVCNKNLTDIKDRSVHQRNVALSHIEIHHLDGIVHFADDYNTYSADLFEQMRQIRRFGTWTVAKLTGNKNKDFVEGPICNGTQVIGWHVNDSRRRFRRFHADMSGFAFNSTIIWDPKRWHRPTPEPIRQLDTVRDGFQMGK >Potri.006G240200.1.v4.1 pep chromosome:Pop_tri_v4:6:24203100:24207535:-1 gene:Potri.006G240200.v4.1 transcript:Potri.006G240200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240200.v4.1 MASIRRTLSPVPRAGTLLNGEACQVASPLSKSSSSYSQSYPTSGGFLSSIFGLSDVQAFAYGVFSPRSSRPSERSKSKGQVWKRALFHFLVSFVIGVFIGLTPFVSMNLSTNPMSKHQAFSFEVVSTVGNFDKHEDMTRNATTIAERGGLENSTTLEPQVKEEESGDGNSNGTSISLSLSEDVNLVSRKLLIIVTPTHARPLQAYYLSRLAHTLKLVQPPLLWIVVEMTLQSDHTADILRRTGVMYRHLVCNKNLTDIKDRSVHQRNVALSHIEIHHLDGIVHFADDYNTYSADLFEQMRQIRRFGTWTVAKLTGNKNKDFVEGPICNGTQVIGWHVNDSRRRFRRFHADMSGFAFNSTIIWDPKRWHRPTPEPIRQLDTVRDGFQVSSFIEQVVEDESQMEGLLEDCSRVMVWLLQLQSSNSLYPPKWFLDGNLDVITQAA >Potri.011G023500.1.v4.1 pep chromosome:Pop_tri_v4:11:1746876:1748454:1 gene:Potri.011G023500.v4.1 transcript:Potri.011G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023500.v4.1 MANSHALFLLLLLLLVDACRPSHQQDQNKISSNDLAALAAVKDSLTDIPGSNFFSTWDFTSPDPCSAFSGITCSLNRVTILTLGTGVSSSRGLAGFLSPSVSNLTELTQLVLYPGLVTGPIPPQLGLLSNLRVLSLTNNRLKGPIPSSLSSLPNLHTLDLSYNQLTGTVPPGLFTGLSQLKVMILASNQLSGELPRIVSAEILHLDLKDNSLTGTLPLRLPSTIRYLSASKNMMWGPLDGLQSLSELGFLDLSMNQFSGPIPSSLLRPPLSSLFLQRNNLSGGVPSSLPSSSLMYGEGSIVDLSHNLLTGELSPVLAAVETLFLNNNRLTGRVPEEYVKNVYGGSTKTLYLQHNYITGFPLEAGLGLPDTVSLCLTYNCMVPSVGFKGCPAASDGEQLSRPESQCVVFNHGRSIP >Potri.008G017550.1.v4.1 pep chromosome:Pop_tri_v4:8:878754:879514:-1 gene:Potri.008G017550.v4.1 transcript:Potri.008G017550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017550.v4.1 MREFVKGKLLTITGLKVNCRSARSQVFRDRGYSYCHIDMLRFLSLEVNHIVGDH >Potri.019G106000.1.v4.1 pep chromosome:Pop_tri_v4:19:14173099:14176701:1 gene:Potri.019G106000.v4.1 transcript:Potri.019G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G106000.v4.1 MGSSEKMKRISYMDPVLFKAAAEGDIDPFEKYQTCLDQLLTPDENTILHVYLGNQSREPELTDFVVIILEMCPPLLFQANKKGEIPLHLAAAYGHSNVVKVLIDRAKALPTDSESGVTEAKKMLRMTNEEQDTALHEAARHRRSHVVEILTKEDPEFPYSANVHGETPLYIAASIITRWREERGKVVDGILGNCISVDYGGPNGRTALNAAIRVRDDETARKLLEKEKKLTQTTDENGWSPLHHAACYDWSPRIVQVLLENDASAAYIAETEKRRTALHIAAIQGHVNAMKEIVSRCPACCELVDNRGWNALHYAVASKDRVAFVHCLKIPELARLGTKKDDKGNTPFHLIAALAHQQKQWQRVLFNDSYGYSGREIRCGLNKRQLSVDDIYEGNFAEIQKKLVKSLEDVGSGPIGRGPFVMKGEEEKNNEERNKGEEEALSKARESHLVVAALIATVTFAAAFTLPGGYKNDQGPNEGTAILAKKAAFIVFVISDAMSMVLSLLAVFIHFMISLIHGFKMVKDEAMDENTTGILFGYAMLLTMIAMGTMIIAFVTGTYAVLEPSLGLAISTCLISLSFFFLVYLVCRFIYKNLIS >Potri.004G090500.1.v4.1 pep chromosome:Pop_tri_v4:4:7703545:7707982:1 gene:Potri.004G090500.v4.1 transcript:Potri.004G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090500.v4.1 MASSSSSLHSSASSSHKLLASRKVFSSSLFHGFQRHRRYRFIASFPSSHATSRSFSCLSIKCAVRFRPCIDIHKGKVKQIVGSTLQDLKGEDGSALVTNFESDKTAAEFANLYKEDGLMGGHVIMLGADDLSKATAIEALRAYPGGLQVGGGINVNNALSYIEEGASHVIVTSYVFNNGQMDLERLKDLVRVVGKQKLVLDLSCRKKEGRYAIVTDRWQKFSDVYLDEKVLEFLANYADEFLVHGVDVEGKRLGIDEELVALLGRHSPIPVTYAGGVTVMNDLERIKVAGDRRVDVTVGSALEIFGGNLPYKDVVSWHTQQETLMV >Potri.010G098000.1.v4.1 pep chromosome:Pop_tri_v4:10:12130727:12136648:1 gene:Potri.010G098000.v4.1 transcript:Potri.010G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G098000.v4.1 MDYERIEKPQGGGGLSPGKLRSMLLGVEKRRKQQEEELESAYAFRSQLNHLDETGGSSSDNCKDVDVVSVLPEYFTSATVDSRSASQMVSDGGRLKDHSFANSRIRSQEVQSLDYDSGHDTAIMPSSIFDFQKAERAPQRVPLAPFSNAPFSKPAPSKWDDAQKWIASPTWNLPKTGQAQVQGGQGLRRMGNVLSRQPSTKVVVEVPEQKVVTFEEPDTKRVDTSQAKKETGVQKLKSWEADSYPIADSYGKPVLMIENSVGQSAISLSRHDSSLTIHSATTFIPPPSTARSVSMRDMGTEMTPIASQEPSRTGTPVRATTPILSPTSSRPSTPGRAAPTSSPSNPFNDHQNPNKELSEKELQMKTRREIMVLGTQLGKKNIAAWASKEEEDKDASTSLKTIGADQQSKNVIETRAAAWEEAEKAKYTARFKREEMKIQAWENHQKAKTEAEMRKIEVEVERIRGQAQDRLMNKLAAARHKAEEKRAAAEAKRNRRAAKTEQQAEYIRRTGRVPSSFTFCGCCG >Potri.013G005750.1.v4.1 pep chromosome:Pop_tri_v4:13:381762:382794:-1 gene:Potri.013G005750.v4.1 transcript:Potri.013G005750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005750.v4.1 MSAITFVASVTILLVASVIIFPSDGVTEDILAAICSQTQNQETCEAILESDPRTSSADLPLLSLISLELLSKQADKNHNSFVIILQIRI >Potri.002G045500.3.v4.1 pep chromosome:Pop_tri_v4:2:2954111:2956495:-1 gene:Potri.002G045500.v4.1 transcript:Potri.002G045500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045500.v4.1 MFGISYGELFLLLGATAALIGPKDLPLIARTAGRLTGRAIGYVQMARGQFDSVMQQSQARQVHKELQDTMAQLDAIRHEIRSISVLNPGPLTRRLVDNLDPPPTTNAGGAPENADAENVPNPTISKVYTEQTAGESLPTANISKVSGAKVSDSCDLHSQATAYARLAESSALKTGPVRSGAGAGELTSDIGLLNVLPVSAESTGLLPNRQDVVNGSDIVLEAILEAEVAHSAKDFFAQPTNQIKYDER >Potri.002G045500.2.v4.1 pep chromosome:Pop_tri_v4:2:2954099:2959905:-1 gene:Potri.002G045500.v4.1 transcript:Potri.002G045500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045500.v4.1 MFGISYGELFLLLGATAALIGPKDLPLIARTAGRLTGRAIGYVQMARGQFDSVMQQSQARQVHKELQDTMAQLDAIRHEIRSISVLNPGPLTRRLVDNLDPPPTTNAGGAPENADAENVPNPTISKVYTEQTAGESLPTANISKVSGAKVSDSCDLHSQATAYARLAESSALKTGPVRSGAGAGELTSDIGLLNVLPVSAESTGLLPNRQDVVNGSDIVLEAILEAEVAHSAKDFFAQPTNQIKYDER >Potri.012G143500.10.v4.1 pep chromosome:Pop_tri_v4:12:15443853:15454024:-1 gene:Potri.012G143500.v4.1 transcript:Potri.012G143500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143500.v4.1 MPEAGLAVVKPEMKSYIWLQTADGSVQQVEEEVAMFCPMICREIIQAGMGSSKNHAISLPQRLNPAILGLILDYCRFHQVPGHSNKERKTFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLGNITDDPRIRLLNRLYARKRRELREREKLKNVDVEDERSDNRSVEDLLSFINGEDGDSKGGRNSKNKKKNRRRKEQAKDSSSNNPNENQKKESDTLPSVSLNDEVNDILAASPSKTSNFQGCSAVTFSPKLDFDDADIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSLDQKKRPVPLSMNGNGTSRRYSECFLSASS >Potri.012G143500.9.v4.1 pep chromosome:Pop_tri_v4:12:15443662:15454027:-1 gene:Potri.012G143500.v4.1 transcript:Potri.012G143500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143500.v4.1 MPEAGLAVVKPEMKSYIWLQTADGSVQQVEEEVAMFCPMICREIIQAGMGSSKNHAISLPQRLNPAILGLILDYCRFHQVPGHSNKERKTFDEKFIRMDTKRLCELTSAADSLQLKPLVDLTSRALARIIEGKTPEEIRETFHLPDDLTEEEKLEPLGNITDDPRIRLLNRLYARKRRELREREKLKNVDVEDERSDNRSVEDLLSFINGEDGDSKGGRNSKNKKKNRRRKEQAKDSSSNNPNENQKKESDTLPSVSLNDEVNDILAASPSKTSNFQGCSAVTFSPKLDFDDADIDDDLDPAMKEELDREVEDFARRLNSDWPERMQEILSLDQKKRPVPLSMNGNGTSRRYSECFLSASS >Potri.003G026106.1.v4.1 pep chromosome:Pop_tri_v4:3:2740262:2741706:-1 gene:Potri.003G026106.v4.1 transcript:Potri.003G026106.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G026106.v4.1 MKADIPYFNGHLSIEAFLDWLVEVERFFEIMSVPEERMTKIAAFRLKGSAAVWWDNLQKMKQLMMDRFLPVDYEQHLYRLYHNCTQGSRTIKDYTDEFLRLVERNSLNETQGQTVSRYVNGLTTSIQDRIGLQVFWDIHEAQNMAMKAQQLEKELKEREQNEKKMNYGNNNNYPRKTADSYVPNKEKKEVQPIQRNNYKGQNYRGESSQNNDINQNRNQRPNHGPYARATGDVCYRCFQPGHRSNNCPKRKQANLVEGTEEADDHSGNYDDDYDGAEFAYEDNNEVVNLMMNRTAIEEDEVLSMVLQRALLSPKQEGQRNHIFRSLCSVDNKVCTLIVDGGSCENFVSKKLVDYLKLPTEMHKNPYMLGWVNYLQE >Potri.016G102401.1.v4.1 pep chromosome:Pop_tri_v4:16:10402015:10402601:-1 gene:Potri.016G102401.v4.1 transcript:Potri.016G102401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G102401.v4.1 MEHVMIWAQAIATAWGFMLLIGIMCCCLSARPRQPGDMSSGNGSCTCDGGYAGV >Potri.003G110100.6.v4.1 pep chromosome:Pop_tri_v4:3:13246435:13260495:1 gene:Potri.003G110100.v4.1 transcript:Potri.003G110100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110100.v4.1 MKDNGSTSSKMISRNWVLKRKRKKILYGRVVSTSKEDNLESPRNTSAAKRRPKSELSSDLSTSKKKGNDGYYYECVICDLGGNLLCCDSCPRVYHLQCLDPPLKRIPMGKWQCPKCSKKSDPLKSINPLGSISKRARTKVVTTNSRTGVKSSVADKVSALFGSSIVSKRRSSCKGKSVLTVGSKSVEKDPDSLLYVSSSSKPSDPSALGSVDGTSLHVNIDEKKPPASPKESSAGKKSISLADELLSRSKLTESEPNNECSGEKLVLSCDNGSPRKKIVLAIGATSENRKRKLEGCSVISFKKHRTNKGKRTSKKHRSKTNTASSGTHKSNQKQKAVNHEVSVFLSAEDVELKNLNLQKDEKNPVEVAQTLEESYKAEVHVEETQKCEDIIMTELQQVDRVLGCRIQEFENGHLEVKAVCDVDSDAGIAENHVEGHPDIIESSEKDVSVRNDIRVDTIRVYRRSASKDCKGGNNKDLLGKDGKDSGSGGISGTDQDESAITTEVTAKRHENPVIEETTDFCLKGSRVQISEVCETHVSSKIKDRKEDVEIKTCGGENKVLKPTMEEPICVNKGTTVYEFLVKWVGRSHIHNSWISESQLKVLAKRKLENYKAKYGNTVINICEEKWKQPQRVIALRGSEGSREAFVKWTGLPYDECTWESVDDPILKKSVHLINQFDQLEHRALEKDSARDGLRKGRCDGLQNEIATLVEQPEELKGGSLFPHQLEALNWLRKCWHRSKNVILADEMGLGKTVSACAFISSLYFELKVSLPCLVLVPLSTMPNWLSEFALWAPNLNVVEYHGCAKARAMIRQYEWHASNPNEMNKKTTSYKFNVLLTTYEMVLADSTYLRGVPWEVLVVDEGHRLKNSGSKLFNLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTTEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERIVPVELSSIQAEYYRAMLTKNYQMLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKILYKEGHRVLIFSQMTKLLDILEDYLNIEFGPKTYERVDGSVSVSDRQTAIARFNQDKSRFVFLLSTRSCGLGINLASADTVIIYDSDFNPHSDIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLARKKLVLDQLFVNKSGSQKEVEDILRWGTEELFSDSSSMNGKDNSENNINKDKDDAIADLEQKQRKRGGGLGDVYQDKCTDCGNKIVWDENAISKLLDRSNLQFATTDAAEGDFENDMLGSVKSLEWNDETTEEQGGAESPVVVDDTCGQNPERKEENVINVTEESEWDRLLRVRWEKYQTEEEAALGRGKRLRKAVSYREAYAPHPNETLSESGGEEDREPEVEPEREYTPAGRVLKAKYAKLRARQKERLAQRNSIEVFHPNEGPPIPELVPHCLPANNTDGNQAVEFAQQGREKKSFVIDLEDYEFTQPDATRSNADATIKSGHLSNHKLRGHLDLSINSLGHPSDTKLPAHQNQGTGNANLLLSNNLLPVLGLCAPNANQLDLLHKNSSRSKGRQSKPVTGPEFPFSLPPCSGTSIETDVKHQETTSDKPKLLDASAEVLQQRLKNNLSDGWHPFSPCPPPISHGKDSDRLEGSSSSFAGFQEKMSLPNLPFDEKLLPRFPLPSKSIPSTHHDLLPSLSLGRRLEAVNDSMRDLPAMPLLPNLKFHPQDAIRYNQLEKEVPPTLGLGQMPSSFPSFPENHRKVLENIIMRTGSGSSSLYSKKSKVDVWSEDELDFLWVGVRRYGRGNWDAMLRDPRLKFSKYKTSEDLAVRWEEEQLKFLDGSAFPLLKTLKATKSSKSSLFPSIPEGMMTRALHGSRPSKFQSHLTDMKLGFGDLSSSLPHFEPLDQLSLRNEHFSPIPTWNPDELQANFVGDSSAGPSLHVSSEKPFLLSSFGASNLATLGLNSSTSFDLQRREEEYETMKYGKLPSLLDKSVHISRDSQNNVGIGELSNSGLFLHPSKFLNPINSKGKEVVGSSSSNKLPHWLREAVTAPVKPPEPELPPTVSAIAQSVRVLYGENQPTIPPFVIPGPPPSQPKDPRWILRKKKKRRSHMFRQFPLDTGGSTQDFRYGIHGCNVASTSIPPPLVPETSGRPWNESDLNLPLPSLSKMNSLTSSAYLNVQKKTTMGLSPSPEVLQLVASCVAPGPHLTSGSGTTSSSIHESKVPMRKSPDQVGMSDSQVASDTERLPPQVQSMLPEKRPDQPDSGDSSKTESDFSPIKKPDVEDISSEGTVSDHPLSDHEP >Potri.003G110100.12.v4.1 pep chromosome:Pop_tri_v4:3:13246129:13260516:1 gene:Potri.003G110100.v4.1 transcript:Potri.003G110100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110100.v4.1 MKDNGSTSSKMISRNWVLKRKRKKILYGRVVSTSKEDNLESPRNTSAAKRRPKSELSSDLSTSKKKGNDGYYYECVICDLGGNLLCCDSCPRVYHLQCLDPPLKRIPMGKWQCPKCSKKSDPLKSINPLGSISKRARTKVVTTNSRTGVKSSVADKVSALFGSSIVSKRRSSCKGKSVLTVGSKSVEKDPDSLLYVSSSSKPSDPSALGSVDGTSLHVNIDEKKPPASPKESSAGKKSISLADELLSRSKLTESEPNNECSGEKLVLSCDNGSPRKKIVLAIGATSENRKRKLEGCSVISFKKHRTNKGKRTSKKHRSKTNTASSGTHKSNQKQKAVNHEVSVFLSAEDVELKNLNLQKDEKNPVEVAQTLEESYKAEVHVEETQKCEDIIMTELQQVDRVLGCRIQGDNTSSSCVTFQITKNDQLSDELLIPEFENGHLEVKAVCDVDSDAGIAENHVEGHPDIIESSEKDVSVRNDIRVDTIRVYRRSASKDCKGGNNKDLLGKDGKDSGSGGISGTDQDESAITTEVTAKRHENPVIEETTDFCLKGSRVQISEVCETHVSSKIKDRKEDVEIKTCGGENKVLKPTMEEPICVNKGTTVYEFLVKWVGRSHIHNSWISESQLKVLAKRKLENYKAKYGNTVINICEEKWKQPQRVIALRGSEGSREAFVKWTGLPYDECTWESVDDPILKKSVHLINQFDQLEHRALEKDSARDGLRKGRCDGLQNEIATLVEQPEELKGGSLFPHQLEALNWLRKCWHRSKNVILADEMGLGKTVSACAFISSLYFELKVSLPCLVLVPLSTMPNWLSEFALWAPNLNVVEYHGCAKARAMIRQYEWHASNPNEMNKKTTSYKFNVLLTTYEMVLADSTYLRGVPWEVLVVDEGHRLKNSGSKLFNLLNTFSFQHRVLLTGTPLQNNIGEMYNLLNFLQPASFPSLSSFEEKFNDLTTTEKVEELKKLVAPHMLRRLKKDAMQNIPPKTERIVPVELSSIQAEYYRAMLTKNYQMLRNIGKGVAQQSMLNIVMQLRKICNHPYLIPGTEPDSGSLEFLHEMRIKASAKLTLLHSMLKILYKEGHRVLIFSQMTKLLDILEDYLNIEFGPKTYERVDGSVSVSDRQTAIARFNQDKSRFVFLLSTRSCGLGINLASADTVIIYDSDFNPHSDIQAMNRAHRIGQSKRLLVYRLVVRASVEERILQLARKKLVLDQLFVNKSGSQKEVEDILRWGTEELFSDSSSMNGKDNSENNINKDKDDAIADLEQKQRKRGGGLGDVYQDKCTDCGNKIVWDENAISKLLDRSNLQFATTDAAEGDFENDMLGSVKSLEWNDETTEEQGGAESPVVVDDTCGQNPERKEENVINVTEESEWDRLLRVRWEKYQTEEEAALGRGKRLRKAVSYREAYAPHPNETLSESGGEEDREPEVEPEREYTPAGRVLKAKYAKLRARQKERLAQRNSIEVFHPNEGPPIPELVPHCLPANNTDGNQAVEFAQQGREKKSFVIDLEDYEFTQPDATRSNADATIKSGHLSNHKLRGHLDLSINSLGHPSDTKLPAHQNQGTGNANLLLSNNLLPVLGLCAPNANQLDLLHKNSSRSKGRQSKPVTGPEFPFSLPPCSGTSIETDVKHQETTSDKPKLLDASAEVLQQRLKNNLSDGWHPFSPCPPPISHGKDSDRLEGSSSSFAGFQEKMSLPNLPFDEKLLPRFPLPSKSIPSTHHDLLPSLSLGRRLEAVNDSMRDLPAMPLLPNLKFHPQDAIRYNQLEKEVPPTLGLGQMPSSFPSFPENHRKVLENIIMRTGSGSSSLYSKKSKVDVWSEDELDFLWVGVRRYGRGNWDAMLRDPRLKFSKYKTSEDLAVRWEEEQLKFLDGSAFPLLKTLKATKSSKSSLFPSIPEGMMTRALHGSRPSKFQSHLTDMKLGFGDLSSSLPHFEPLDQLSLRNEHFSPIPTWNPDELQANFVGDSSAGPSLHVSSEKPFLLSSFGASNLATLGLNSSTSFDLQRREEEYETMKYGKLPSLLDKSVHISRDSQNNVGIGELSNSGLFLHPSKFLNPINSKGKEVVGSSSSNKLPHWLREAVTAPVKPPEPELPPTVSAIAQSVRVLYGENQPTIPPFVIPGPPPSQPKDPRWILRKKKKRRSHMFRQFPLDTGGSTQDFRYGIHGCNVASTSIPPPLVPETSGRPWNESDLNLPLPSLSKMNSLTSSAYLNVQKKTTMGLSPSPEVLQLVASCVAPGPHLTSGSGTTSSSIHESKVPMRKSPDQVGMSDSQVASDTERLPPQVQSMLPEKRPDQPDSGDSSKTESDFSPIKKPDVEDISSEGTVSDHPLSDHEP >Potri.004G082800.1.v4.1 pep chromosome:Pop_tri_v4:4:6830581:6837256:-1 gene:Potri.004G082800.v4.1 transcript:Potri.004G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G082800.v4.1 MGLGLLASRLIRPQKTAARNLLLRSIVTKPELQSAEPATTPQPDLPPRTPLGGARVHFPNPDDAIEVFVDGYPVKIPKGMTVLQACEVAGVDIPRFCYHSRLSIAGNCRMCLVEVEKSPKPVASCAMPALPGMKIKTDTPVAKKAREGVMEFLLMNHPLDCPICDQGGECDLQDQSMAFGSDRGRFTEVKRSVVDKNLGPLVKTVMTRCIQCTRCVRFASEIAGVQDLGMLGRGSGEEIGTYVEKLMTSELSGNVIDICPVGALTSKPFAFKARNWELKGTETIDITDAVGSNIRIDSRGPEVLRITPRLNEDINEEWISDKTRFCYDGLKRQRLNDPMIRGADGRFKAVSWHDVLAVVAEIAHQVKPEEMVGIAGKLSDAESMMALKDFLNKMGSNNVWCEGNGPSPNADLRSGYIMNSGISGLENADVFLLVGTQPRVEAAMVNARIRKTVRGSNAKVAYVGPPTDFNYDCEHLGTGPETLTEIAEGRHPFCSTLSNAKNPAIIVGAGLFERSDKDAIFSAVEAIAKNGNVVRPDWNGFNVLLLNAAQAAALDLGLVPESSQSIESAKFVYLMGADDVDLEKLPNDAFVVYQGHHGDRGVYRANVILPASAFSEKEGTYENTEGCTQQTLPAVPTAGDARDDWKIIRALSEVAGVRLPYDTVGAIRSRIKTVAPNLLSVEEREPATFWASLKPDVTKKMSSTPFEAAIDNFYMTDSITRASKIMAQCSALLLKK >Potri.016G103300.1.v4.1 pep chromosome:Pop_tri_v4:16:10562434:10566093:-1 gene:Potri.016G103300.v4.1 transcript:Potri.016G103300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G103300.v4.1 MNMEARVGVVLEGGQRALNSASTHGSIVDAGARKFLQQHNNKQSPQHSQLGTVQQLLAGGVAGAFSKTCTAPLARLTILFQVQGMHSDVTALSKASIWQEASRVINEEGFRAFWKGNLVTIAHRLPYSSVSFYAYERYKSFLQPVLGVENHRVNGTADLAVHFIGGGMAGITAASATYPLDLVRTRIAAQRNTMYYRGIWHAFHTICREEGFLGLYKGLGATLLGVGPSIAISFSVYESLRSFWHSKRPNDSTIMVSLACGSLSGIASSTATFPLDLVRRRMQLEGAGGRACIYTSGLFGTFAHIIHTEGFRGMYRGILPEYYKVVPSVGIVFMTYETLKMLLSRIPAS >Potri.006G005300.2.v4.1 pep chromosome:Pop_tri_v4:6:376032:378242:-1 gene:Potri.006G005300.v4.1 transcript:Potri.006G005300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G005300.v4.1 MDLLAADDRQIRNPNDFPLHLIPFPPTGNFDISTSAAAVVGSSDVDSLLPQKLRPIRGNGRVPSGSGEDGREKLWGFVSGEASDVAVNGGGGLGLGSGEGFLEDEFSLCSCDGNADDSSVGAGESVGRKRKRRSKRKIEKFLESLVMKVMEKQEEMHKQLVEMIESRERETMIREEAWKQQEMERMERDNEARAQETSRNLALISFIQNMTGHVIEVSNGGNAPTQNHF >Potri.016G020700.1.v4.1 pep chromosome:Pop_tri_v4:16:1110770:1117662:1 gene:Potri.016G020700.v4.1 transcript:Potri.016G020700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020700.v4.1 MAPFMSDLLNKLNETATSNVPPVTCIVSDFLLFTIATAEERGIPIALFQTASACCFMCFKQLRPLKEKGLAPIKDDSFLTNDFLNKVIDWIPGMIGIRVRDLPRFFRWMDLNDCWFNHTMESAEKACKASAIIVHSFDALEQEVLNALYSMFPRVYPIGPLQLLLNQVPEDDLNSIGCNLWKEEPECLQWLDSKKPKSVVYVNFGSIVVMNKEQLIEFGMGLSESNHSFVWIIRPDMVIGQSAIFPAEFMEETKERGFIANWCPQEEVLNHPSIGGFITHCGWGSTIESISSGVPMLCCPFFGDQQTNCRYACSEWGIGMEIDNDINRENVKTLVRELMEGEKGKKMKKQAMERKKLAVEATAPNGTSSMNLDKLINEVLLSRN >Potri.008G203400.2.v4.1 pep chromosome:Pop_tri_v4:8:14759900:14763855:1 gene:Potri.008G203400.v4.1 transcript:Potri.008G203400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203400.v4.1 MLGVFSSAIVSPPDELVAAGSRTPSPKISADALVKRFVDTNSSAVSVRVGDDSQVAFTHHNESMLLPRSFAVKDEIYCLFEGALDNLGSLRQQYGLAKSANEVILVIEAYKALRDRAPYPPNHVVGHLSGSFAFIVFDKSTSTLFVASDQFGKVPLYWGITADGYVAFADNIDLLKGACGKSLASFPQGCFFSTTIGELRSFENPKNKITAVPAKEEEIWGATFKVEGPAVLAATE >Potri.003G014000.3.v4.1 pep chromosome:Pop_tri_v4:3:3059013:3062900:1 gene:Potri.003G014000.v4.1 transcript:Potri.003G014000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014000.v4.1 MLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFVNPSRYRKPIPNLQQGQPPQPHIQPMQQPQSQVPQLQSHENQLNPQLQSMNMQGSVPKMQQNNMSSLLHNSLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTNVNTMSTQSGVSMLQPNIPLQSNSNKIQHQHLKQQQQQQQHEQQMLQTQQLKRLQQRQNLMQNQQMLQQQQLHQQAPSPMPGDSDKPVSGISSILNTGNIVHQPSVAQAQAPSLAVGTPGISASPLLAEFTSPDGAHGGALTTVSSKSNVTEQPLK >Potri.003G014000.1.v4.1 pep chromosome:Pop_tri_v4:3:3059959:3062900:1 gene:Potri.003G014000.v4.1 transcript:Potri.003G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G014000.v4.1 MLERLITFLQVSKNNITPNFKEKLGFYEKQIVGFVNPSRYRKPIPNLQQGQPPQPHIQPMQQPQSQVPQLQSHENQLNPQLQSMNMQGSVPKMQQNNMSSLLHNSLSTLSGDSTSQSNMMNPIQPGSNLDSGQGNALSSLQQTPVGSVQQNLVSISQPTNVNTMSTQSGVSMLQPNIPLQSNSNKIQHQHLKQQQQQQQHEQQMLQTQQLKRLQQRQNLMQNQQMLQQQQLHQQAKQQLRAQMQTHQIPQPQQMNDVNEMRQGIGIKPAVFQQHLPTGQRTAFPRQHMKPAPSFPISSPQLPQHASPQLQHSSPQIDQQNLPSSVTKTGTPLQSANSPFVVPSPSTPLAPSPMPGDSDKPVSGISSILNTGNIVHQPSVAQAQAPSLAVGTPGISASPLLAEFTSPDGAHGGALTTVSSKSNVTEQPLK >Potri.006G109900.6.v4.1 pep chromosome:Pop_tri_v4:6:8530730:8533060:-1 gene:Potri.006G109900.v4.1 transcript:Potri.006G109900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109900.v4.1 MDTHKRIFGFKIIFFVSIFILLNECLKCSSFGVMDGDQWDTTAEEVDNHLPSSSSSQGAYELNDVGEDEWQMVARKGNQFVINDQPFYVNGFNTYWLMVFAADQSTRGKVTEVFQQASSVGLTVCRTWAFNDGQWRALQKSPGVYDEDVFKLALDFVVSEAKKYKIRLILSLTNNWDAYGGKAQYVKWGKATGLNLTSDDDFFSHPTLRSYYKAHVKAVLNRVNTLTNITYKDDPTIFAWELMNEPRCTSDPSGDKLQSWITDMAVYVKSMDAKHLVEIGLEGFYGPSAPDRAQFNPNSYATQVGTDFIRNHQVLGVDFASVHIYADSWISLTISDSHIQFIKSWMEAHIEDAERYLGMPVVFAEFGVSSKDPGYNTSFRDTMINTVYKTLLNSTKRGGSGAGSLLWQIFPDGTDYMDDGYAIVLSKSPSTSNIISLHSTRVAIFNSMCSWKCKWGCKKKNPLEALFYHDDL >Potri.006G109900.4.v4.1 pep chromosome:Pop_tri_v4:6:8530730:8533864:-1 gene:Potri.006G109900.v4.1 transcript:Potri.006G109900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109900.v4.1 MDGDQWDTTAEEVDNHLPSSSSSQGAYELNDVGEDEWQMVARKGNQFVINDQPFYVNGFNTYWLMVFAADQSTRGKVTEVFQQASSVGLTVCRTWAFNDGQWRALQKSPGVYDEDVFKLALDFVVSEAKKYKIRLILSLTNNWDAYGGKAQYVKWGKATGLNLTSDDDFFSHPTLRSYYKAHVKAVLNRVNTLTNITYKDDPTIFAWELMNEPRCTSDPSGDKLQSWITDMAVYVKSMDAKHLVEIGLEGFYGPSAPDRAQFNPNSYATQVGTDFIRNHQVLGVDFASVHIYADSWISLTISDSHIQFIKSWMEAHIEDAERYLGMPVVFAEFGVSSKDPGYNTSFRDTMINTVYKTLLNSTKRGGSGAGSLLWQIFPDGTDYMDDGYAIVLSKSPSTSNIISLHSTRVAIFNSMCSWKCKWGCKKKNPLEALFYHDDL >Potri.006G109900.1.v4.1 pep chromosome:Pop_tri_v4:6:8530730:8533864:-1 gene:Potri.006G109900.v4.1 transcript:Potri.006G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109900.v4.1 MRHKTFKSSSQQISLVLLTFLSALLYFPRLLSAEKWCLSISVHCLSIYRFILSCICLYICLLLTSAIVSRRCSDLEVSSFGVMDGDQWDTTAEEVDNHLPSSSSSQGAYELNDVGEDEWQMVARKGNQFVINDQPFYVNGFNTYWLMVFAADQSTRGKVTEVFQQASSVGLTVCRTWAFNDGQWRALQKSPGVYDEDVFKLALDFVVSEAKKYKIRLILSLTNNWDAYGGKAQYVKWGKATGLNLTSDDDFFSHPTLRSYYKAHVKAVLNRVNTLTNITYKDDPTIFAWELMNEPRCTSDPSGDKLQSWITDMAVYVKSMDAKHLVEIGLEGFYGPSAPDRAQFNPNSYATQVGTDFIRNHQVLGVDFASVHIYADSWISLTISDSHIQFIKSWMEAHIEDAERYLGMPVVFAEFGVSSKDPGYNTSFRDTMINTVYKTLLNSTKRGGSGAGSLLWQIFPDGTDYMDDGYAIVLSKSPSTSNIISLHSTRVAIFNSMCSWKCKWGCKKKNPLEALFYHDDL >Potri.006G109900.5.v4.1 pep chromosome:Pop_tri_v4:6:8530730:8533864:-1 gene:Potri.006G109900.v4.1 transcript:Potri.006G109900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G109900.v4.1 MDGDQWDTTAEEVDNHLPSSSSSQGAYELNDVGEDEWQMVARKGNQFVINDQPFYVNGFNTYWLMVFAADQSTRGKVTEVFQQASSVGLTVCRTWAFNDGQWRALQKSPGVYDEDVFKLALDFVVSEAKKYKIRLILSLTNNWDAYGGKAQYVKWGKATGLNLTSDDDFFSHPTLRSYYKAHVKAVLNRVNTLTNITYKDDPTIFAWELMNEPRCTSDPSGDKLQSWITDMAVYVKSMDAKHLVEIGLEGFYGPSAPDRAQFNPNSYATQVGTDFIRNHQVLGVDFASVHIYADSWISLTISDSHIQFIKSWMEAHIEDAERYLGMPVVFAEFGVSSKDPGYNTSFRDTMINTVYKTLLNSTKRGGSGAGSLLWQIFPDGTDYMDDGYAIVLSKSPSTSNIISLHSTRVAIFNSMCSWKCKWGCKKKNPLEALFYHDDL >Potri.018G102100.1.v4.1 pep chromosome:Pop_tri_v4:18:12181784:12192035:-1 gene:Potri.018G102100.v4.1 transcript:Potri.018G102100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G102100.v4.1 MPDLGLGGNLSLSSSLTLTRCPALKPNSPLPPPPDAIDQSDSITSTTATITSVTVKTLVKWDQSVPEGAADLCNGSSIRVLKRTSASRLGCKKGFNNNISSNNGKCLDDYVRVWVQKKMDAGVSQSRCLLPFLVGAKKMVECLFCCRSIYPGEGMQCSVRNCQGVYHLTCVVEGLGVSNLRKFKCPQHECFTCKGKFHWRCVRCTVASHNQCAPWSDEVVYLKNQPGRAVCWRHPTNWRLDKKHVVPATDIEEIFCRLPLPYIDEEFKIDLTWKDLTENKLEPPPYVHIRRNVYLVKKKRDDSDGDVGCTNCSSTCCENCVCRVQCISCSKACRCPETCTNRPFRKEKKIKIVKTEFCGWGVEAAEPLNKGDFIIEYIGEVIDDKLCEQRLWDMKYKGVQNFYMCEIRKDFTIDATFKGNSSRFLNHSCKPNCILEKWDVEGETRVGVFAAGSIRVGEPLTYDYRFVRFGPEVKCYCGAPNCQGYLGTKRKIAKLNIGWGAKRKRTSTACVAIITM >Potri.010G112200.2.v4.1 pep chromosome:Pop_tri_v4:10:13208964:13212847:1 gene:Potri.010G112200.v4.1 transcript:Potri.010G112200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112200.v4.1 MLDRDFFTEYGEASQYEIQEVVGKGSYGVVASAIDTHTGERVAIKKMNNVFEHVSDATRILREIKLLRLLKHADIVEIKHIMLPPSPREFKDVYVVFELMESDLHQVIKLNDDLTPEHHQFFLYQLLRGLKYIHTGNVFHRDLKPKNILANADCKVKLCDFGLARVSFTNAPSAIFWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLELITDLLGTPAADTIARVGNEKARKYLSSMRKKQPIPFSKKFPDVDRSALCILERLLAFDPKDRPSAEEALADLYFDGLADKEQEPSRQPISKLEFEFERRKLTRDDVRELIYREILEYHPEMLKEYLQGTDQTHFVYPSGVDRFKEQFAHLEEGDGKSDRNSPHHRKHATSLPRERICTADETENTVKRSTSSLSRAAKQSPQKSEATEELQSANRNAVAMQTSSTKPKCSPRTLLRSDSICASTSVGLIGNDRQVHAL >Potri.010G112200.10.v4.1 pep chromosome:Pop_tri_v4:10:13208790:13213658:1 gene:Potri.010G112200.v4.1 transcript:Potri.010G112200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G112200.v4.1 MLDRDFFTEYGEASQYEIQEVVGKGSYGVVASAIDTHTGERVAIKKMNNVFEHVSDATRILREIKLLRLLKHADIVEIKHIMLPPSPREFKDVYVVFELMESDLHQVIKLNDDLTPEHHQFFLYQLLRGLKYIHTGNVFHRDLKPKNILANADCKVKLCDFGLARVSFTNAPSAIFWTDYVATRWYRAPELCGSFSSKYTPAIDIWSIGCIFAELLTGKPLFPGKNVVHQLELITDLLGTPAADTIARVGNEKARKYLSSMRKKQPIPFSKKFPDVDRSALCILERLLAFDPKDRPSAEEALADLYFDGLADKEQEPSRQPISKLEFEFERRKLTRDDVRELIYREILEYHPEMLKEYLQGTDQTHFVYPSGVDRFKEQFAHLEEGDGKSDRNSPHHRKHATSLPRERICTADETENTVKRSTSSLSRAAKQSPQKSEATEELQSANRNAVAMQTSSTKPKCSPRTLLRSDSICASTSVGLIGNDRQVHAL >Potri.010G106700.1.v4.1 pep chromosome:Pop_tri_v4:10:12790824:12802334:1 gene:Potri.010G106700.v4.1 transcript:Potri.010G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106700.v4.1 MADAVIPAAVLRNLSDKLYEKRKNAALEIEGIVKSLAAAGDHEKISAVINLLTTEFICSPQAHHRKGGLIGLAAATVGLTTEAAQHLEQIVKPVLGAFGDQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTASDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPEIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIRADPTAGFDVRAILFIAKRQLSTEWEATRIEALHWISTLLNRHRSEVLSFLNKIFDTLLEALSDPSDEVVLLVLEVHACIAKDLQHFHQLLVFLVHNFRINHSLLEKRGTLIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLTSSELAELRYLLKQSLVNSAGKDLFVSLYASWCHSPEAIISLCLLAQTYQHASTVIQSLVEEDINVRFLLQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFSDDQVERTFSGNTYSQILHHIPSGSQISEDGDVNQDVGTSDSHNGINFTTRLHQFEQKQKQHRVLAKAQAKSRKISTSSSKDVQRLEESQHQPPLDNSGALSRSSRKGPGQLQL >Potri.010G106700.3.v4.1 pep chromosome:Pop_tri_v4:10:12790711:12802138:1 gene:Potri.010G106700.v4.1 transcript:Potri.010G106700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106700.v4.1 MADAVIPAAVLRNLSDKLYEKRKNAALEIEGIVKSLAAAGDHEKISAVINLLTTEFICSPQAHHRKGGLIGLAAATVGLTTEAAQHLEQIVKPVLGAFGDQDSRVRYYACEALYNIAKVVRGDFIIFFNKIFDALCKLSADSDANVQSAAHLLDRLVKDIVTASDQFSIEEFIPLLRERMNVLNPYVRQFLVGWITVLDSVPEIDMLGFLPDFLDGLFNMLSDSSHEIRQQADSALSEFLQEIKNSPSVDYGRMAEILVQRAASPDEFTRLTAITWINEFVKLGGDQLVPYYADILGAILPCISDKEEKIRVVARETNEELRAIRADPTAGFDVRAILFIAKRQLSTEWEATRIEALHWISTLLNRHRSEVLSFLNKIFDTLLEALSDPSDEVVLLVLEVHACIAKDLQHFHQLLVFLVHNFRINHSLLEKRGTLIIRRLCVLLDAERVYRELSTILEGEADLDFASIMVQALNLILLTSSELAELRYLLKQSLVNSAGKDLFVSLYASWCHSPEAIISLCLLAQTYQHASTVIQSLVEEDINVRFLLQLDKLIRLLETPIFAYLRLQLLEPGRYTWLLKALNGLLMLLPQQSAAFKILRTRLKTVPSYSFSDDQVERTFSGNTYSQILHHIPSGSQISEDGDVNQDVGTSDSHNGINFTTRLHQFEQKQKQHRVLAKAQAKSRKISTSSSKDVQRLEESQHQPPLDNSGALSRSSRKGPGQLQL >Potri.017G018700.1.v4.1 pep chromosome:Pop_tri_v4:17:1382741:1388723:-1 gene:Potri.017G018700.v4.1 transcript:Potri.017G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018700.v4.1 MVVKKILWVWILVTLLTLVGEWYGRCYGCLEDERIGLLEIKALIDPNHLSLGDWVDSSNCCEWSRIECDNTTRRVIRLSLWAASDWRLGDWVLNASLFLPFKELHSLDLSANGLVGCSENEGFEVLSSKLRKLEVLDLSLNRFNNDKGFLSCFNGLSALKSLDLSDIEVLTGSGLKVLSSRLKNLENLDLRDNQYNDSIFSFLSGFSYLKHLDLSFNHLTGSTGINRTFFNSSTLEELYLDGSSLPLNFLQNIGALPALKVLSVGQCDLNGTLPVQGLCNSSSLEEVFLDGSSNIPASFLRNIGPLSTLKVLSLSGVDFNSTLPAEGWCELKNLEQLYLSRNNLKGVLPPCLGNLSFLQILDLSRNQLEGNIALSHLSHLTQLEELKVSNNYFQVPISFGSFMNLSNLKVIACDNNELIAAPSFQPSAPKFQLLYFSASNCTSKPLKAGFPNFLRSQHDLVFVDLSHNKFVGEPFPSWLFENNRLLNQLHLRDTSFIGGPLQLPQHPTPNLQTVDMSGNNIHGQVARNICLIFPRLKNFVMANNSLTGCIPPCFGNMSSLGYLDLSNNHMSCELLGHNLPTVGSSLWFLKLSNNNFKGRLPLSVFNMTNLSYLFLDGNKFAGQVSGTFSLASSFSWFDISNNLLSGMLPRRIGNSSRNSFAQAIDLSRNHFKGTIPIEYFNSDSLEYLDLSENNLSGSLPLGFHASDLRYVHLYRNQLSGPLPYAFCNLSSLVIFDLGDNNLTGPIPNWIDSLSELSIFVLKSNQFNGKLPQQLCLLRKLSILDLSENKFSGLLPSCLSNLNFTASDEKTSVKPVMMSRDAEKREEIFASIGFYLQEQTVWPEIDVKIVVELTAKKNFYTYEGDILRYMSAVDLSCNRFTGEIPTEWGNLSGIFALNLSQNNLTGLIPSSFSNLKYIESLDLSHNNLNGRIPAQLVELNFLAVFNVSYNNLSGRTPEMKYQFATFDESSYKGNPLLCGPPLQNSCDKTKSPSARLPNDSNGDDGLIDMDSFYASFGVFYIIVVLTIAALLCINPHWRRRWFYFIEECIDTCYCFLAINFRKLSRCRR >Potri.006G060900.1.v4.1 pep chromosome:Pop_tri_v4:6:4329134:4333315:1 gene:Potri.006G060900.v4.1 transcript:Potri.006G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060900.v4.1 MVNLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDVGENVGLIPGIACNKFPPWVILLIGALSCFFGYGVLWLALSSKVQSLPYWLLCLALCVATNSSAWLSTAVLVTNMRNFPLSRGTVAGVLKGYGGISAAVFTEIYSTLLRNSSSKLLMFLALGIPVLCFIVMYFVRACTPASGEDSSEHAHFLFTQGALIVLGLYVLTTTILNHIFHFSAPVSNTILVIMVVLLMAPFAIPIKMTFHRMRVSKPEMHHQPVETPDSVIQEDNADKTEPLLKSSSSTTALGSFRENVEASEVAMLLAEGEGAVKKKRRPKRGEDFRFTEALIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVHDTTILLSLFSFCNFVGRLGGGIVSEHFVRSKTIPRTIWMTCTQVMMIITYLLFASAIDGILYAATALLGICYGVQFSIMIPTVSELFGLKHFGLFYNFMSLGNPLGAFLFSGLLAGYVYDNEAAKQQVPNLLSNSSISCLGPNCFRLTFLVLAGACGLGSILSIILTMRIRPVYEMLYAGGSFRLPQTSNHQPARSGI >Potri.006G060900.2.v4.1 pep chromosome:Pop_tri_v4:6:4329274:4333289:1 gene:Potri.006G060900.v4.1 transcript:Potri.006G060900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060900.v4.1 MRNFPLSRGTVAGVLKGYGGISAAVFTEIYSTLLRNSSSKLLMFLALGIPVLCFIVMYFVRACTPASGEDSSEHAHFLFTQGALIVLGLYVLTTTILNHIFHFSAPVSNTILVIMVVLLMAPFAIPIKMTFHRMRVSKPEMHHQPVETPDSVIQEDNADKTEPLLKSSSSTTALGSFRENVEASEVAMLLAEGEGAVKKKRRPKRGEDFRFTEALIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVHDTTILLSLFSFCNFVGRLGGGIVSEHFVRSKTIPRTIWMTCTQVMMIITYLLFASAIDGILYAATALLGICYGVQFSIMIPTVSELFGLKHFGLFYNFMSLGNPLGAFLFSGLLAGYVYDNEAAKQQVPNLLSNSSISCLGPNCFRLTFLVLAGACGLGSILSIILTMRIRPVYEMLYAGGSFRLPQTSNHQPARSGI >Potri.006G060900.3.v4.1 pep chromosome:Pop_tri_v4:6:4329166:4333267:1 gene:Potri.006G060900.v4.1 transcript:Potri.006G060900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G060900.v4.1 MVNLKAGTRPPWVGLGAAVWVQIASGNAYNFPLYSHSLKSVLGFNQHQLTMLGVANDVGENVGLIPGIACNKFPPWVILLIGALSCFFGYGVLWLALSSKVQSLPYWLLCLALCVATNSSAWLSTAVLVTNMRNFPLSRGTVAGVLKGYGGISAAVFTEIYSTLLRNSSSKLLMFLALGIPVLCFIVMYFVRACTPASGEDSSEHAHFLFTQGALIVLGLYVLTTTILNHIFHFSAPVSNTILVIMVVLLMAPFAIPIKMTFHRMRVSKPEMHHQPVETPDSVIQEDNADKTEPLLKSSSSTTALGSFRENVEASEVAMLLAEGEGAVKKKRRPKRGEDFRFTEALIKADFWLLFFVYFVGVGSGVTVLNNLAQIGIAQGVHDTTILLSLFSFCNFVGRLGGGIVSEHFVRLLHSFLLG >Potri.019G098700.1.v4.1 pep chromosome:Pop_tri_v4:19:13552848:13555835:1 gene:Potri.019G098700.v4.1 transcript:Potri.019G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098700.v4.1 MAGLLGIDVRVSKVESLLNMESPDVLIVGIWGMGGIGKSTIAEAVCNKVRSRFEGIFFANCRQQSDLRRRFLKRLLGQETLNTMGSLSFRDSFVRDRLRRIKVFIVLDDVDNSMALEEWRDLLDGRNSSFGPGSKVLITSRDKQVLSNIVDETYKVEGLNYEDAIQLFNSKALKICIPTIDQRHLIEQIAWHVRGNPLALKVLGSSLYGKSIEEWRSALKKLAQDPQIERALRISYDGLDSEQKSIFLDIAHFFNRMKPNEATRILDCLYGRSVIFDISTLIDKCLITTFYNNIRMHDLLQEMAFNIVRAESDFPGERSRLCHPPDVVQVLEENKGTQKIKGISLSTFMLSRQIHLKSDAFAMMDGLRFLNFRQHTLSMEDKMHLPPTGLEYLPNKLRYLKWCGFPSKSLPPSFRTERLVELHLCNNKLVKLWTGVQDVGNLRTIDLSDSPYLTELPDLSMAKNLQCLRLAKCSSLTEVPSSLQYLDKLEEIDLFSCYNLRSFPMLDSKVLRKLVISRCLDVTKCPTISQNMVWLQLEQTSIKEVPQSVTSKLERLCLNGCPEITKFPEISGDIERLELKGTTIKEVPSSIQFLTRLRDLDMSGCSKLESFPEITGPMKSLVELNLSKTGIKKIPSSSFKHMISLRRLKLDGTPIKELPELPPSLWILTTHDCASLETVISIIKIRSLWDVLDFTNCFKLDQKPLVAAMHLKIQSGDKIPHGGIKMVLPGSEIPEWFGEKGIGSSLTMQLPSNCHQLKGIAFCLVFLLPLPSHDMPYKVDDLFPVEFRFDYHVKSKNGEHDGDDEVVLVSMEKCALTCNMKTCDSDHMVLHYELENILVYFLRKYSGNEVTFKFYHQEVDNMARRVGHEIQRPIQRPNFELKSCGVYLHFDENLPADKSSEEN >Potri.016G054600.3.v4.1 pep chromosome:Pop_tri_v4:16:3593866:3598236:1 gene:Potri.016G054600.v4.1 transcript:Potri.016G054600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G054600.v4.1 MGNCCVTPPGVPDHEKKKHKKKQNPFALDFGHHNRGTNHKLIVLRDPTGKEIEQRYELGRELGRGEFGITYLCTDKETGENFACKSISKKKLRTAVDIEDVRREVEIMKQMPQHPNLVTLKDTYEDDSAVHLVMELCEGGELFDRIVARGHYTERAAAAVTKTIVEVVQICHEHGVMHRDLKPENFLFGNKKENAPLKAIDFGLSVFFKPGERFTEIVGSPYYMAPEVLKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSVIDFKRDPWPKVSENAKDLVRKMLDPDPKRRLTAQQVLDHPWLQNAKKAPNVSLGETVRTRLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEGFQLMDTGNKGKINIDELRVGLQKLGQQVPEIDLQILMEVGDADRDGYLDYGEFVAITVHLRKMGNDEHLRKAFEFFDQNQSGHIEIDELRDALADEVDGSNEDVINAIIHDVDTDKDGKISYEEFAAMMKAGTDWRKASRQYSRERFNNLSLKLMKDGSLKLTSEGR >Potri.001G356300.1.v4.1 pep chromosome:Pop_tri_v4:1:37062614:37068028:1 gene:Potri.001G356300.v4.1 transcript:Potri.001G356300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G356300.v4.1 MEISSGAIGSCSKDQKTMYQEWFHYADSDGDGRITGNDATKFFSLSKLSRQDLKPVWAIADSKRQGYLGFIEFIIAMQLVSLAQSGREITNDILNSDVDFQSLKPPSMEGLDALMAKKRRSLKSNDNEANGTPTVQSTPSANWFSSKPSRKASLSSVTSIIDGLKRLYIQKLKPLEVTYRFNDFVSPALTNSDFDAKPMVMLLGQYSTGKTTFIKHLLRSSYPGAHIGPEPTTDRFVVVMSGTDERSVPGNTIAVQADMPFSGLTTFGTAFLSKFECSQMPHPLLEHITFVDTPGVLSGEKQRTQRAYDFTGVTSWFAAKCDLILLLFDPHKLDVSDEFKRVISSLHGHDDKIRVVLNKADQVDTQQLMRVYGALMWSLGKVLNTPEVMRVYIGSFNDKPVNEAAVGPIGKELFEKEQEDLLSDLKNIPKKACDRRINEFVKRARAAKIHAYIISHLKKEMPAMMGKAKAQQRLLDNLAEEFGKVQREFHLPPGDFPNVEQFREVLSGYNFDKFEKLKPKMIQAVDDMLGYDIPDLLKNFRNPYD >Potri.005G218200.1.v4.1 pep chromosome:Pop_tri_v4:5:22092486:22093892:-1 gene:Potri.005G218200.v4.1 transcript:Potri.005G218200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218200.v4.1 MERSMAYESDLNLKATELRLGLPGSDEPEKPSTTPSVRSNKRASPEISEESRSKGSSSVSSNVENGERDSAPPAKAQVVGWPPIRSYRKNCLQPKKNDQVDGAGMYVKVSVDGAPYLRKIDLKVYKSYPELLKALENMFKLTIGEYSENEGYNGSEFAPTYEDKDGDWMLVGDVPWDMFISSCKRLRIMKGSEARGLGC >Potri.013G003901.1.v4.1 pep chromosome:Pop_tri_v4:13:278058:280213:-1 gene:Potri.013G003901.v4.1 transcript:Potri.013G003901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003901.v4.1 MHQPSPNMASSDYGNENPAFHHEVEGKEMMDYSVIESPAITDFKQVAKKILFYLKNIQQLMEEERKGVQEEIDKEKRVSPVLKVKVYFGDHDQLDQHVAVALDGEEENETNKQEYYSVLDVSTVKDPEQVMAMVVEKKEKITKIIIQVSVSPPAIVMGVEEEEKDKVEEEDEVEEEKEEEEEEEEDEDEDDDDDDDADDEGIKKKGWVVELEDGWDSDRAVEDPEEYTRCLRYEPKGRRDLLLYYNKFASAPKGFDIDCPPPPGYCWAYPMDLNDSSQLEAVNRMAKFSIEYYNTKYKEKGKMIEFVLVHHANFCRSLHGVYYITLLAKDLIKDDGDEITYQAKVIHDDIRSGDIDVEIFRPKTNPSKKKYKIKKNGDDVEEEEEEEKTERKYWVVELDDGWDVYHVVGGEPDEYTRRLKYLEKEERDLLVHYSEIYCASDGFDLVRPPPPGYCWASPIDINDSSQLGVVNRMAIFAVEYYNKKYKRKGKKMEFVRVHHANITRASRDAYYITLLAKDLIKEDDDEEKAYQTKVVHWEFLSGGESIYVEIFRPKANPSSQAII >Potri.005G207100.1.v4.1 pep chromosome:Pop_tri_v4:5:21179760:21182725:1 gene:Potri.005G207100.v4.1 transcript:Potri.005G207100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207100.v4.1 MATAMNVTSACFYLESNRRSVHGVRVVASKNFSVSKTEEDKVKLGASDLKVSRLGLGAWSWGDTGYWNNSEWDDAKTKAAKSAFDVSIDGGITLFDTAEVYGSWLLNGAVNSETILGSFIKERKQKDPEVEVAVATKFAALPWRLGRQSVVKALKDSLSRLGLSSVELYQLHWPGIWGNEGYIDGLGDAVEQGLVKAVGVSNYDESKLRNAYKKLKERGVPLAANQVNYSLIYRQPEENGVKAACDELGVTLIAYSPMAQGVLTGKYTPENPPSGPRGKIYTPEFLTKLQPLLKRIKEVGQSYSKTNTQVILNWLLAQENVLPIPGAKNAQQAEEFAGALGWRLTSEEINELRSLAQDIIPLGFAQTIGK >Potri.007G086600.1.v4.1 pep chromosome:Pop_tri_v4:7:11166249:11173287:1 gene:Potri.007G086600.v4.1 transcript:Potri.007G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086600.v4.1 MAALFTSHCANNEDAPFTSHSLPINALKIFPFQSKFFNCQNLLPIRKTTQMSSNSMESYGQQVVLITGCTQGGIGHALAREFANNNCLVVATARSLISMRDLDQDKRFYLQELDVLSDESVQHVVSNVVERYGRVDILVNNAGIQCVGPLAEVPLSAMQNTFNTNVYGTMRLVQAVVPHMASSKKGKIVNVGSVTVMAPVPWAGVYTATKAALHSLTDTLRLELRPLGIGVINVVPGAIKSNIGNSAVASYNQMPEWKLYRPFEEAIRERAHLSQGLKATPAEEFAKKTVAAVLKENPPAWFSIGQFSTVMSIMYHLPLSVKDFILRRKFNC >Potri.012G138900.1.v4.1 pep chromosome:Pop_tri_v4:12:15176191:15177974:1 gene:Potri.012G138900.v4.1 transcript:Potri.012G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G138900.v4.1 MSPPPLVEQNSTDTPTTGGGETSRTIPTPFLTKTYQIVDDHTIDDVVSWNEDGSSFIVWDPTVFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFSNESFRRGEKNLLANIQRRKIPAVVTAPAAVVPAMVKTSSNSSSDEQVISRSSSPGLSVDLIDENERLRKENVQLKGELTEMKSLCANIFSLVSTYANSREGKGVRKMLDLLPMKEEEREGARIFGVEVGVKRGREGSGDMEEGEAEGEEDGMQLQLRQPGCGGGLKIETNGCQDGNSNGGGDQDAPWLEQCHRLNQKMCD >Potri.011G081701.1.v4.1 pep chromosome:Pop_tri_v4:11:8857709:8862034:1 gene:Potri.011G081701.v4.1 transcript:Potri.011G081701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G081701.v4.1 MSISKVPHFFLVFFSHVNFLCSTEDQGNCHDHLSSLFYQNQHRLSTDPHTTWLAFLSKRPSANTALFQEIKCLYILPPFDSFQTRNNKERKKERKKRCRRKGMACFVPFNNRNLETSFFIFRPIVALVDELVETLKHFSACTESLGCVQSSIFKSIHGNMIIWYGAWMKKSCENKEVLTGELVSMLSNISSMAILIEHGFFDAYAGESRDDSSAARLCTEDTISMSIIVSQKADDINDLSYANLALFKSQFLKMEGANSGVCLTCKSVQQPRVACLYVWKSLRFCYSWILNSDYRRTILPYLERFSFVIKYDMFRVIFVSCDIVL >Potri.016G080400.2.v4.1 pep chromosome:Pop_tri_v4:16:6185155:6187398:-1 gene:Potri.016G080400.v4.1 transcript:Potri.016G080400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080400.v4.1 MKKIDMFCASQASTAICMSMDRPSSSSSTIQPGGPTIDRCNPVIRDQKRIPRTLPLVPCTSQPPPINPVPYQLLHKSQKSTSKNKASDQNSNKKSNSTKPKPKPNDQKNKKISFKPADIDDDDKKSAASLNVPKDIVRKSWAKPGGSIIAPPGSSRNLLGDAAFVDGIPDYDPVSAQLVPVEPNMSTQALSKEESTASRPSSSSSPNQVVVLRVSLHCKGCEGKVRKHLSRMEGVTSFNIDFAAKKVTVVGDVTPLRVLASVSKIKSAQFWTSTTPPAGSN >Potri.001G412300.1.v4.1 pep chromosome:Pop_tri_v4:1:43948393:43952408:-1 gene:Potri.001G412300.v4.1 transcript:Potri.001G412300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G412300.v4.1 MRASVDSISKLLYITILLLIITISTAVDIINTTQSIRDGGSMVSADGSFKMGFFSPGSSKNRYLGIWYNKVSVMTVVWVANREIPLTNSSGVLKITGEGILELLNQNGSIIWSTNSSRSARNPVAQLLDSGNLVVKEDGDDDLENSLWQSFDYPCDTLLPGMKMGRDLITGFDRYLSSWKSPDDPSRGNFTFRNDPSGHPEQILTENSIVRYRSGPWNGLRFSGVPQLRPNTLYKFEFVFNDKEIYYRYQLLNNSILSRLVLTQNGNFQRFTWTDQTDVWAFYLALFDDYCSRYALCGAYGTCDITSSPVCGCLKGFLPKVPKVWDMMDWSDGCARRTALNCSGDGFQKYSGVKLPDIRKSWLNKNMNLEECKSMCMKNCSCTAYANLDIREGGSGCLLWFSELIDMRQLNENGQDIYIRMAASELDHDVDVRIDSKSNKTKRMRIIVMSVVTSGMLLLGLVMVLFLWKKKQKKGSILKRSADDSCKKEYPELQLFDFGTISCSTNNFSHTNKLGQGGFGPVYKGLLKDGQEIAVKRLSKSSRQGLDEFKNEVIHIAKLQHRNLVKLLGCCIQADERMLVYEFMPKKSLDFLIFDRTQSTLLDWPKRYHIINGIARGLLYLHQDSRLRIIHRDLKASNILLDNNMNPKISDFGLARSFGENQTEDNTNRVVGTYGYMSPEYAIDGLYSIKSDVFSFGVLVIEIVSGSRNRGFYHPDHNLNLLGHAWGLFTEGRSCELITEPIEESCNLPEVLRSIHVGLLCVQCHPNDRPSMLSVVLMLCGEAKLPQPKQPGFFTDRALVEANSSSRKNTSCSVNDSTITLLEAR >Potri.010G039851.1.v4.1 pep chromosome:Pop_tri_v4:10:6973371:6974771:1 gene:Potri.010G039851.v4.1 transcript:Potri.010G039851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039851.v4.1 MPLLILDNGSTHAAWWQESPFITGYGGIYCKLKHIAHLVLSIPFESHSRDLKVVCFEESNASL >Potri.016G043700.1.v4.1 pep chromosome:Pop_tri_v4:16:2768180:2771295:-1 gene:Potri.016G043700.v4.1 transcript:Potri.016G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043700.v4.1 MTSTSNFNVYNINMMSFLLLVSLIIVSYSLAISLALESEVEDETQFTYEEGTEEGPKNWGKINPHWEACGKGKMQSPIDVLDRRVEVFPNLGKLRRDYQAAPAAVKNRGHDITVIWKGDAGKITINDTDYQLQQCHWHSPSEHTFNGSRHDLELHLVHYSSQGGIAVVAIVYKYGRPDRFLSKLLRHINHVDHREREMGIVNPGDIKFGSRKYYRYVGSLTVPPCTEGVVWTIVMKVRTVSREQVKALRDAVHDGFEANARPTQPSTGIPMYEYRPRQNGAST >Potri.006G044801.1.v4.1 pep chromosome:Pop_tri_v4:6:3039125:3040490:1 gene:Potri.006G044801.v4.1 transcript:Potri.006G044801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044801.v4.1 MQRQKRLQVQAMSIRSPKSSSPPPWGVLVLLAHHLDPKSLGVASCVSKSWYLSMASDHIWQPLCMSHYPSLSNLKITHPSVSYHRLYAMAYVAAKRRVRGPSVPQFSVDSLIFDIHILGTKDNHPIVKIAKPGNEFCFDHNGVFRFDFGVNNYECLTSSMNEMLEDVKITWNVVLKDWSAVFTMMDYEGKVRYSPGSEGWFSQELPPPRCCSSDSTSGIVADLKLVFYRRKDGGDKVRVDKVSVGILNVVNWRYVIVEDGIRYLQHFLFPS >Potri.006G145700.3.v4.1 pep chromosome:Pop_tri_v4:6:12362816:12375417:-1 gene:Potri.006G145700.v4.1 transcript:Potri.006G145700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145700.v4.1 MATTDNIIVGSHVWVEDPVLAWIDGEVLRINGEQVHVQATNGKTVVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRQMINEGKSNSILVSGESGAGKTETTKMLMRYLAYMGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPLEERERYKLENPKSFHYLNQTNCYKLDGVNDAEEYLATRRAMDIVGISEEEQEAIFRVVAAILHLGNIEFAKGEEIDSSVIKDQKSRFHLNMTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPLAAVLSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVMYLADQFLDKNKDYVVAEHQDLLTASKCPFAASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLEGNHDDKVACQMILDKMGLKGYQLGKTKVFLRAGQMAELDARRTEVLGNAARTIQRQIRTYIARKEFISLRRAAFHLQSHCRGVSARMLYEGLRQEAAALKIQKNFRRHTARKAYLTLCLSAISLQTGLRAMTARNEFRFRKQTKAAIIIQAKLRHHIAYSYYKRLQKAALVSQCGWRQRVARRELRKLKMAAKETGALKEAKDKLEKRVEELTWRLQLEKRLRADLEEEKAQEIAKLQDALREMQIQVEDANARVIKEREEAQKAIEEAPPIIKETPVIVQDTEKVESLTAEVESLKALLLSERQAAEEARKAHADGEARNSELAKKLEDAAKKMDQLQESVQRLEEKLSNSESENQVLRQQALTMSPTGKSLSARPKSMIIQRTPVNGNVANGEVKVASDIILAASNAREPESEEKPQKSLNEKQQENQDLLIKCVSQNLGFSGGKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVPDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTSSASLFGRMSQGLRASPQSSGLSFLNSRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSYLKTMKANNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVSCSKVGRMLCFCVVS >Potri.006G145700.1.v4.1 pep chromosome:Pop_tri_v4:6:12361949:12375433:-1 gene:Potri.006G145700.v4.1 transcript:Potri.006G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145700.v4.1 MATTDNIIVGSHVWVEDPVLAWIDGEVLRINGEQVHVQATNGKTVVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRQMINEGKSNSILVSGESGAGKTETTKMLMRYLAYMGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPLEERERYKLENPKSFHYLNQTNCYKLDGVNDAEEYLATRRAMDIVGISEEEQEAIFRVVAAILHLGNIEFAKGEEIDSSVIKDQKSRFHLNMTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPLAAVLSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVMYLADQFLDKNKDYVVAEHQDLLTASKCPFAASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLEGNHDDKVACQMILDKMGLKGYQLGKTKVFLRAGQMAELDARRTEVLGNAARTIQRQIRTYIARKEFISLRRAAFHLQSHCRGVSARMLYEGLRQEAAALKIQKNFRRHTARKAYLTLCLSAISLQTGLRAMTARNEFRFRKQTKAAIIIQAKLRHHIAYSYYKRLQKAALVSQCGWRQRVARRELRKLKMAAKETGALKEAKDKLEKRVEELTWRLQLEKRLRADLEEEKAQEIAKLQDALREMQIQVEDANARVIKEREEAQKAIEEAPPIIKETPVIVQDTEKVESLTAEVESLKALLLSERQAAEEARKAHADGEARNSELAKKLEDAAKKMDQLQESVQRLEEKLSNSESENQVLRQQALTMSPTGKSLSARPKSMIIQRTPVNGNVANGEVKVASDIILAASNAREPESEEKPQKSLNEKQQENQDLLIKCVSQNLGFSGGKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVPDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTSSASLFGRMSQGLRASPQSSGLSFLNSRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSYLKTMKANNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISSMRVMMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMQQVDIADIDPPSIIRENSGFGFLLPRSE >Potri.006G145700.5.v4.1 pep chromosome:Pop_tri_v4:6:12362042:12375371:-1 gene:Potri.006G145700.v4.1 transcript:Potri.006G145700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145700.v4.1 MATTDNIIVGSHVWVEDPVLAWIDGEVLRINGEQVHVQATNGKTVVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRQMINEGKSNSILVSGESGAGKTETTKMLMRYLAYMGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPLEERERYKLENPKSFHYLNQTNCYKLDGVNDAEEYLATRRAMDIVGISEEEQEAIFRVVAAILHLGNIEFAKGEEIDSSVIKDQKSRFHLNMTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPLAAVLSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVMYLADQFLDKNKDYVVAEHQDLLTASKCPFAASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLEGNHDDKVACQMILDKMGLKGYQLGKTKVFLRAGQMAELDARRTEVLGNAARTIQRQIRTYIARKEFISLRRAAFHLQSHCRGVSARMLYEGLRQEAAALKIQKNFRRHTARKAYLTLCLSAISLQTGLRAMTARNEFRFRKQTKAAIIIQAKLRHHIAYSYYKRLQKAALVSQCGWRQRVARRELRKLKMAAKETGALKEAKDKLEKRVEELTWRLQLEKRLRADLEEEKAQEIAKLQDALREMQIQVEDANARVIKEREEAQKAIEEAPPIIKETPVIVQDTEKVESLTAEVESLKALLLSERQAAEEARKAHADGEARNSELAKKLEDAAKKMDQLQESVQRLEEKLSNSESENQVLRQQALTMSPTGKSLSARPKSMIIQRTPVNGNVANGEVKVASDIILAASNAREPESEEKPQKSLNEKQQENQDLLIKCVSQNLGFSGGKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVPDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTSSASLFGRMSQGLRASPQSSGLSFLNSRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSYLKTMKANNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDLYRLYLV >Potri.006G145700.4.v4.1 pep chromosome:Pop_tri_v4:6:12362071:12375417:-1 gene:Potri.006G145700.v4.1 transcript:Potri.006G145700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145700.v4.1 MATTDNIIVGSHVWVEDPVLAWIDGEVLRINGEQVHVQATNGKTVVANISKVFPKDTEAPPGGVDDMTKLSYLHEPGVLHNLAARYELNEIYTYTGNILIAINPFQRLPHLYDTHMMEQYKGAAFGELSPHVFAVADVAYRQMINEGKSNSILVSGESGAGKTETTKMLMRYLAYMGGRSGVEGRTVEQQVLESNPVLEAFGNAKTVRNNNSSRFGKFVEIQFDKNGRISGAAIRTYLLERSRVCQVSDPERNYHCFYLLCAAPLEERERYKLENPKSFHYLNQTNCYKLDGVNDAEEYLATRRAMDIVGISEEEQEAIFRVVAAILHLGNIEFAKGEEIDSSVIKDQKSRFHLNMTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPLAAVLSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVMYLADQFLDKNKDYVVAEHQDLLTASKCPFAASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLEGNHDDKVACQMILDKMGLKGYQLGKTKVFLRAGQMAELDARRTEVLGNAARTIQRQIRTYIARKEFISLRRAAFHLQSHCRGVSARMLYEGLRQEAAALKIQKNFRRHTARKAYLTLCLSAISLQTGLRAMTARNEFRFRKQTKAAIIIQAKLRHHIAYSYYKRLQKAALVSQCGWRQRVARRELRKLKMAAKETGALKEAKDKLEKRVEELTWRLQLEKRLRADLEEEKAQEIAKLQDALREMQIQVEDANARVIKEREEAQKAIEEAPPIIKETPVIVQDTEKVESLTAEVESLKALLLSERQAAEEARKAHADGEARNSELAKKLEDAAKKMDQLQESVQRLEEKLSNSESENQVLRQQALTMSPTGKSLSARPKSMIIQRTPVNGNVANGEVKVASDIILAASNAREPESEEKPQKSLNEKQQENQDLLIKCVSQNLGFSGGKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVPDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTSSASLFGRMSQGLRASPQSSGLSFLNSRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSYLKTMKANNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDYESYDDRGFEQCC >Potri.006G145700.6.v4.1 pep chromosome:Pop_tri_v4:6:12362059:12372315:-1 gene:Potri.006G145700.v4.1 transcript:Potri.006G145700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145700.v4.1 MDIVGISEEEQEAIFRVVAAILHLGNIEFAKGEEIDSSVIKDQKSRFHLNMTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPLAAVLSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVMYLADQFLDKNKDYVVAEHQDLLTASKCPFAASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLEGNHDDKVACQMILDKMGLKGYQLGKTKVFLRAGQMAELDARRTEVLGNAARTIQRQIRTYIARKEFISLRRAAFHLQSHCRGVSARMLYEGLRQEAAALKIQKNFRRHTARKAYLTLCLSAISLQTGLRAMTARNEFRFRKQTKAAIIIQAKLRHHIAYSYYKRLQKAALVSQCGWRQRVARRELRKLKMAAKETGALKEAKDKLEKRVEELTWRLQLEKRLRADLEEEKAQEIAKLQDALREMQIQVEDANARVIKEREEAQKAIEEAPPIIKETPVIVQDTEKVESLTAEVESLKALLLSERQAAEEARKAHADGEARNSELAKKLEDAAKKMDQLQESVQRLEEKLSNSESENQVLRQQALTMSPTGKSLSARPKSMIIQRTPVNGNVANGEVKVASDIILAASNAREPESEEKPQKSLNEKQQENQDLLIKCVSQNLGFSGGKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVPDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTSSASLFGRMSQGLRASPQSSGLSFLNSRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSYLKTMKANNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISSMRVMMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMQQVDIADIDPPSIIRENSGFGFLLPRSE >Potri.006G145700.7.v4.1 pep chromosome:Pop_tri_v4:6:12362069:12371827:-1 gene:Potri.006G145700.v4.1 transcript:Potri.006G145700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145700.v4.1 MTAELLKCDAKSLEDALIQRVMVTPEEVITRTLDPLAAVLSRDALAKTIYSRLFDWLVDKINNSIGQDPNSKSLIGVLDIYGFESFKFNSFEQFCINFTNEKLQQHFNQHVFKMEQEEYTKEEINWSYIEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKLYQTFKNNKRFIKPKLSRTSFTISHYAGEVMYLADQFLDKNKDYVVAEHQDLLTASKCPFAASLFPPLPEESSKSSKFSSIGSRFKLQLQSLMETLNSTEPHYIRCVKPNNLLKPAIFENANIIQQLRCGGVLEAIRISCAGYPTRRTFYEFLLRFGVLAPEVLEGNHDDKVACQMILDKMGLKGYQLGKTKVFLRAGQMAELDARRTEVLGNAARTIQRQIRTYIARKEFISLRRAAFHLQSHCRGVSARMLYEGLRQEAAALKIQKNFRRHTARKAYLTLCLSAISLQTGLRAMTARNEFRFRKQTKAAIIIQAKLRHHIAYSYYKRLQKAALVSQCGWRQRVARRELRKLKMAAKETGALKEAKDKLEKRVEELTWRLQLEKRLRADLEEEKAQEIAKLQDALREMQIQVEDANARVIKEREEAQKAIEEAPPIIKETPVIVQDTEKVESLTAEVESLKALLLSERQAAEEARKAHADGEARNSELAKKLEDAAKKMDQLQESVQRLEEKLSNSESENQVLRQQALTMSPTGKSLSARPKSMIIQRTPVNGNVANGEVKVASDIILAASNAREPESEEKPQKSLNEKQQENQDLLIKCVSQNLGFSGGKPVAACVIYKCLLHWRSFEVERTTVFDRIIQTIASSIEVPDNNDVLAYWLSNSSTLLLLLQHTLKASGAASLTPQRRRTSSASLFGRMSQGLRASPQSSGLSFLNSRGLSRLDDLRQVEAKYPALLFKQQLTAFLEKIYGMIRDNLKKEISPLLGLCIQAPRTSRASLVKGRSQANAVAQQALIAHWQSIVKSLNSYLKTMKANNVPPFLVRKVFTQIFSFINVQLFNSLLLRRECCSFSNGEYVKAGLAELEQWCYEATEEFAGSAWDELKHIRQAVGFLVIHQKPKKTLNEITKELCPVLSIQQLYRISTMYWDDKYGTHSVSSDVISSMRVMMTEDSNNAVSNSFLLDDDSSIPFSVDDISKSMQQVDIADIDPPSIIRENSGFGFLLPRSE >Potri.014G024300.1.v4.1 pep chromosome:Pop_tri_v4:14:1468056:1469961:1 gene:Potri.014G024300.v4.1 transcript:Potri.014G024300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G024300.v4.1 MSTLVYVILTSLVASTLSSGTNGETLEFLIGSRGRGLKNGCSSENHRNYRATRCSKLGDAGAPPAALPVKTELLVFADQRLASVYPIIQKFKSLITSDPLGITKTWVGSDICNYKGFFCDSPPDNNSATAVASIDFNGFQLAAPTLDGFLDQLPDVALFHANSNNFAGTISSNIARLPYLYELDISNNLFSGSFPTAVLGMNGLTFLDIRFNFFAGAVPPQIFTQNLEVLFINNNNFLTTLPDNLGSTHILYLTLANNKFIGPIPTGIFKAFSSLSEVLLSNNQLTGCLPYEVGLLKEAIVFDASNNKLTGPLPVALSCLEKVELLNFTGNQLFGMVPEVVCELEKLRNFSLSANYFTTLGPMCRDLFYKGVLDITNNCIPGLPFQRSVVECLDFIAHPKSCPRMWSYTFIPCNSPFSSGSMNPGMAPSS >Potri.014G065200.1.v4.1 pep chromosome:Pop_tri_v4:14:4022156:4023536:1 gene:Potri.014G065200.v4.1 transcript:Potri.014G065200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G065200.v4.1 MKERHFTHLSSKRRLQKMAENHPPNLGFKGDSIIAQHVIFALLLNTLSNQIQVKYQSMRVSPFDTHQRVMSTFLAALFIYATTSVAEVILRTQKSVHQRLVGNIRLFASALAIILLLMTLSLIVSCIISVLWTCLFVKLAYESCQDLCQLLSQTTDEVLRMLKKLITTVRSPKEKPNQPNV >Potri.017G098500.2.v4.1 pep chromosome:Pop_tri_v4:17:11025818:11032292:-1 gene:Potri.017G098500.v4.1 transcript:Potri.017G098500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098500.v4.1 MAASSLGQTTEINWDKLDKTKFYVVGAGIFTGVTVALYPMSVIKTRLQVATKDTVEKSASSVIRGILKTDGIPGLYRGFGTVVTAAIPTRIIFLTALETTKAAAFKMVEPFKFSEPSQAALANGIAGMSASLCSQAVFVPIDVISQKLMVQGYSGHEKYNGGLDVARKIIRTDGIRGFYRGFGLSVMTYSPSSAVWWASYGSSQRVIWRLLGQGTDREGAAPSESTIMLVQATGGIIAGATASCITTPLDTIKTRLQVTSLERRSSARQVVKSLIKDDGWTGFYRGLGPRFFSMSAWGTTMILAYEYLKRLCAKDE >Potri.017G053100.3.v4.1 pep chromosome:Pop_tri_v4:17:3998944:4007843:1 gene:Potri.017G053100.v4.1 transcript:Potri.017G053100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053100.v4.1 MVGTRAKGKVEGGIQQTKVTTSVPARASPSSPSPLTKQPQGKEMAIEKCSRCGVQLVVPPDAQAIRCAVCQAVTRVHSYYPLAQARESASRITSGLISMVSGNITTMTSSVSSSSYPVPGYGGYYAQPPIRPVLQLPLPSMHGRKRALLCGVSYRGKSYKIKGSINDVKCMRYFLVEKFGFPNDSILMLTEDETNPLQIPTKENIRLALRWLVQGCQPGDSLVFHFSGHGSKQLDYDMDEVDGFDETLCPLDYETQGMIVDDEINETIVRPLPQGVTLHAIIDACYSQTMLDLPFVCRMNREGYYTWEDQTLSPYACKGTSGGLALCISACDDNQTSVDTTALAGNVSTGALTYCFIQAVQNEPGLTYGRLLNSMRQVIRGAKTGGLRLNGPIASLVNKALFNTEITQEPQLSSSETFDIYAKQFIL >Potri.017G053100.1.v4.1 pep chromosome:Pop_tri_v4:17:4005144:4008095:1 gene:Potri.017G053100.v4.1 transcript:Potri.017G053100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053100.v4.1 MVASSYFVQVQKSSSYCLHFPPSQPQGKEMAIEKCSRCGVQLVVPPDAQAIRCAVCQAVTRVHSYYPLAQARESASRITSGLISMVSGNITTMTSSVSSSSYPVPGYGGYYAQPPIRPVLQLPLPSMHGRKRALLCGVSYRGKSYKIKGSINDVKCMRYFLVEKFGFPNDSILMLTEDETNPLQIPTKENIRLALRWLVQGCQPGDSLVFHFSGHGSKQLDYDMDEVDGFDETLCPLDYETQGMIVDDEINETIVRPLPQGVTLHAIIDACYSQTMLDLPFVCRMNREGYYTWEDQTLSPYACKGTSGGLALCISACDDNQTSVDTTALAGNVSTGALTYCFIQAVQNEPGLTYGRLLNSMRQVIRGAKTGGLRLNGPIASLVNKALFNTEITQEPQLSSSETFDIYAKQFIL >Potri.004G163200.6.v4.1 pep chromosome:Pop_tri_v4:4:18273763:18277833:-1 gene:Potri.004G163200.v4.1 transcript:Potri.004G163200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163200.v4.1 MFFDGYGYRGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNAAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGKYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEKPVASVPPSKATSQAEEVPAETEPKFNPFTGTGRRLDGKPMSYQPPPVSSGSKDKRPAVANDSRQPSLGSISKNTARQSQGKLVFGSNASRSPKETQQGAGKETKQEQPKKEERPKFQAFSGKKYSLKG >Potri.004G163200.5.v4.1 pep chromosome:Pop_tri_v4:4:18273857:18277879:-1 gene:Potri.004G163200.v4.1 transcript:Potri.004G163200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163200.v4.1 MQFFDGYGYRGTSFEQTYRCYPASFIEKPQIESGDKIIMPPSALDRLASLHIDYPMLFELRNAAAERVSHCGVLEFIAEEGMIYMPYWMMENLLLQEGDIVRVKNVTLPKGKYVKLQPHTKDFLDISNPKAILETTLRNYSCLTTGDSIMVAYNNKKYYIDIVETKPSNAISIIETDCEVDFAPPLDYKEPEKPVASVPPSKATSQAEEVPAETEPKFNPFTGTGRRLDGKPMSYQPPPVSSGSKDKRPAVANDSRQPSLGSISKNTARQSQGKLVFGSNASRSPKETQQGAGKETKQEQPKKEERPKFQAFSGKKYSLKG >Potri.019G018500.4.v4.1 pep chromosome:Pop_tri_v4:19:3044170:3048561:1 gene:Potri.019G018500.v4.1 transcript:Potri.019G018500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018500.v4.1 MVTRTVDLRSDTVTKPTEAMRAAMANAEVDDDVLSYDPSALRLETEMAKVTGKEAALFVPSGTMGNLVSVLVHCNIRGSEVILGNNSHIHIYENGGISTIGGVHPRTVKNNDDGTMDIDLIEAAIRDPRGEIVYPTTRLICLENTQANCGGRCLSVEYTDKVGELAKKHGLKLHMDGARIFNASIALGVPVHRLLQAADSASVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQVGVLCAAALVALHETVLKLEDDHKKTKMLAEGLNQIKGLRLNVAAVETNIIFFDVVEGAKITAEKLCKNLEQHGILVMQESPVRIRVVLHHQISESDVQYALSCFKQALTGRVQEENGN >Potri.019G018500.7.v4.1 pep chromosome:Pop_tri_v4:19:3043851:3048483:1 gene:Potri.019G018500.v4.1 transcript:Potri.019G018500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018500.v4.1 MVTRTVDLRSDTVTKPTEAMRAAMANAEVDDDVLSYDPSALRLETEMAKVTGKEAALFVPSGTMGNLVSVLVHCNIRGSEVILGNNSHIHIYENGGISTIGGVHPRTVKNNDDGTMDIDLIEAAIRDPRGEIVYPTTRLICLENTQANCGGRCLSVEYTDKVGELAKKHGLKLHMDGARIFNASIALGVPVHRLLQAADSASVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQVGVLCAAALVALHETVLKLEDDHKKTKMLAEGLNQIKGLRLNVAAVETNIIFFDVVEGAKITAEKLCKNLEQHGILVMQESPVRIRVVLHHQISESDVQYALSCFKQALTGRVQEENGN >Potri.019G018500.6.v4.1 pep chromosome:Pop_tri_v4:19:3044042:3048499:1 gene:Potri.019G018500.v4.1 transcript:Potri.019G018500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018500.v4.1 MVTRTVDLRSDTVTKPTEAMRAAMANAEVDDDVLSYDPSALRLETEMAKVTGKEAALFVPSGTMGNLVSVLVHCNIRGSEVILGNNSHIHIYENGGISTIGGVHPRTVKNNDDGTMDIDLIEAAIRDPRGEIVYPTTRLICLENTQANCGGRCLSVEYTDKVGELAKKHGLKLHMDGARIFNASIALGVPVHRLLQAADSASVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQVGVLCAAALVALHETVLKLEDDHKKTKMLAEGLNQIKGLRLNVAAVETNIIFFDVVEGAKITAEKLCKNLEQHGILVMQESPVRIRVVLHHQISESDVQYALSCFKQALTGRVQEENGN >Potri.019G018500.8.v4.1 pep chromosome:Pop_tri_v4:19:3043999:3048481:1 gene:Potri.019G018500.v4.1 transcript:Potri.019G018500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018500.v4.1 MVTRTVDLRSDTVTKPTEAMRAAMANAEVDDDVLSYDPSALRLETEMAKVTGKEAALFVPSGTMGNLVSVLVHCNIRGSEVILGNNSHIHIYENGGISTIGGVHPRTVKNNDDGTMDIDLIEAAIRDPRGEIVYPTTRLICLENTQANCGGRCLSVEYTDKVGELAKKHGLKLHMDGARIFNASIALGVPVHRLLQAADSASVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQVGVLCAAALVALHETVLKLEDDHKKTKMLAEGLNQIKGLRLNVAAVETNIIFFDVVEGAKITAEKLCKNLEQHGILVMQESPVRIRVVLHHQISESDVQYALSCFKQALTGRVQEENGN >Potri.019G018500.9.v4.1 pep chromosome:Pop_tri_v4:19:3043870:3048458:1 gene:Potri.019G018500.v4.1 transcript:Potri.019G018500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018500.v4.1 MVTRTVDLRSDTVTKPTEAMRAAMANAEVDDDVLSYDPSALRLETEMAKVTGKEAALFVPSGTMGNLVSVLVHCNIRGSEVILGNNSHIHIYENGGISTIGGVHPRTVKNNDDGTMDIDLIEAAIRDPRGEIVYPTTRLICLENTQANCGGRCLSVEYTDKVGELAKKHGLKLHMDGARIFNASIALGVPVHRLLQAADSASVCLSKGLGAPVGSVIVGSKSFIAKARILRKTLGGGMRQVGVLCAAALVALHETVLKLEDDHKKTKMLAEGLNQIKGLRLNVAAVETNIIFFDVVEGAKITAEKLCKNLEQHGILVMQESPVRIRVVLHHQISESDVQYALSCFKQALTGRVQEENGN >Potri.010G046300.1.v4.1 pep chromosome:Pop_tri_v4:10:7791904:7795589:-1 gene:Potri.010G046300.v4.1 transcript:Potri.010G046300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G046300.v4.1 MTLFYTLSSSTRNIISPETHVLHNSHFYLPRNRSSFFSNETTLFKRETLPFKPQQQQPTGSFPPILRLKNSKLAHPFASISSYAEAGGEEEKNEGVQIEEHQETVKKKENDSPGMAQAFDISSRTASAISILIAFAALSLPLFMKTLGQGLDLKTKFLSYVTLLFGFYMAWNIGANDVANAMGTSVGSGALTIRQAVLTAAVLEFSGALLMGTHVTGTMQKGILVANVFQGKDTLLFAGLLSSLAAAGTWLQVASYYGWPVSTTHCIVGSMVGFGLVYGGPGAVFWSSLARVTSSWVISPLMGAMVSFLVYKFIRRFVYSAPNPGLAAAAAAPIAVFLGVTGISFAAFPLSKVFPLALAQALASGAVGAFLVDRIIRKQLGHLLVKASSSQPEPKENAIHSKNIGLLSDFAGPKGTQLEIVYGVFGYMQILSACFMSFAHGGNDVSNAIGPLAAALSILHGGASGTEIVIPMDVLAWGGFGIVAGLMMWGYRVIATIGKKITELTPTRGFAAEFAAASVVLVASKLGLPISATHTLVGAVMGVGFARGLNSVRAETVREIVVSWAVTIPVGAIFAVFYTWILTKLLSYIL >Potri.018G026800.5.v4.1 pep chromosome:Pop_tri_v4:18:1976958:1983193:1 gene:Potri.018G026800.v4.1 transcript:Potri.018G026800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026800.v4.1 MEKTPRRLKKVVFAQQTTDPNEVDALNRLIDYWNLRDKLNITNDPCIQNAAWAKKQANPRVACNCSSNPCHITHLKIHALDISGELPSELFVLKELMNLDFGKNVLNGAIPAEIERLSNMQYLNLGINNFTGVVPQELGNLTKLITLDFGSNNFIGPLPTSLGKLTSLQELYINSSGVSGRIPQEFANLKSLRTLWASDNLFTGKLPAFFGNLTKLRNLRLQGTLLEGPIPSSFSALKKLGDLRIGDLNGEDSSLEFLLDQKNLTILILRNCLLSGQIPDQLGNFSQLQELDLSFNKLTGQIPDSFQDLASLHYLDVSFNPLSGNLPQNSMRVGLSMNAVGTSINANNLQGKASGMLQCLKADFKCSNKVPSKTFSVKCGGTAKTSASGIEYEGDFESMGAASLYTSSDNLWAVSNTGNFISNPNGPQYLTQTGSQITGTLDSELYKTARISPSSLRYYGLGLKNGRYSVELHFAEIGMDDSHSWKGLGRRLFDIYIQGEIVLKDFNIKNEAGGSKKALIKTFEANVTNTIMDIHFFWAGKGTCCIPYQGTYGPLVSAIHVSQVSDGAGSSKRDKKRVGKLVGISMACVAGLVIISSVFYLWWIKEDSSQHMRIYTGHPGND >Potri.018G026800.4.v4.1 pep chromosome:Pop_tri_v4:18:1976958:1983193:1 gene:Potri.018G026800.v4.1 transcript:Potri.018G026800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026800.v4.1 MGVLSFCFFVFWVSQVVFAQQTTDPNEVDALNRLIDYWNLRDKLNITNDPCIQNAAWAKKQANPRVACNCSSNPCHITHLKIHALDISGELPSELFVLKELMNLDFGKNVLNGAIPAEIERLSNMQYLNLGINNFTGVVPQELGNLTKLITLDFGSNNFIGPLPTSLGKLTSLQELYINSSGVSGRIPQEFANLKSLRTLWASDNLFTGKLPAFFGNLTKLRNLRLQGTLLEGPIPSSFSALKKLGDLRIGDLNGEDSSLEFLLDQKNLTILILRNCLLSGQIPDQLGNFSQLQELDLSFNKLTGQIPDSFQDLASLHYLDVSFNPLSGNLPQNSMRVGLSMNAVGTSINANNLQGKASGMLQCLKADFKCSNKVPSKTFSVKCGGTAKTSASGIEYEGDFESMGAASLYTSSDNLWAVSNTGNFISNPNGPQYLTQTGSQITGTLDSELYKTARISPSSLRYYGLGLKNGRYSVELHFAEIGMDDSHSWKGLGRRLFDIYIQGEIVLKDFNIKNEAGGSKKALIKTFEANVTNTIMDIHFFWAGKGTCCIPYQGTYGPLVSAIHVSQVSDGAGSSKRDKKRVGKLVGISMACVAGLVIISSVFYLWWIKEDSSQHMRIYTGHPGND >Potri.018G026800.3.v4.1 pep chromosome:Pop_tri_v4:18:1976958:1983193:1 gene:Potri.018G026800.v4.1 transcript:Potri.018G026800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026800.v4.1 MEKTPRRLKKVVFAQQTTDPNEVDALNRLIDYWNLRDKLNITNDPCIQNAAWAKKQANPRVACNCSSNPCHITHLKIHALDISGELPSELFVLKELMNLDFGKNVLNGAIPAEIERLSNMQYLNLGINNFTGVVPQELGNLTKLITLDFGSNNFIGPLPTSLGKLTSLQELYINSSGVSGRIPQEFANLKSLRTLWASDNLFTGKLPAFFGNLTKLRNLRLQGTLLEGPIPSSFSALKKLGDLRIGDLNGEDSSLEFLLDQKNLTILILRNCLLSGQIPDQLGNFSQLQELDLSFNKLTGQIPDSFQDLASLHYLYLGSNNLSGQLPANIIGLNLIAIDVSFNPLSGNLPQNSMRVGLSMNAVGTSINANNLQGKASGMLQCLKADFKCSNKVPSKTFSVKCGGTAKTSASGIEYEGDFESMGAASLYTSSDNLWAVSNTGNFISNPNGPQYLTQTGSQITGTLDSELYKTARISPSSLRYYGLGLKNGRYSVELHFAEIGMDDSHSWKGLGRRLFDIYIQGEIVLKDFNIKNEAGGSKKALIKTFEANVTNTIMDIHFFWAGKGTCCIPYQGTYGPLVSAIHVSQVSDGAGSSKRDKKRVGKLVGISMACVAGLVIISSVFYLWWIKEDSSQHMRIYTGHPGND >Potri.018G026800.2.v4.1 pep chromosome:Pop_tri_v4:18:1976958:1983193:1 gene:Potri.018G026800.v4.1 transcript:Potri.018G026800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026800.v4.1 MGVLSFCFFVFWVSQVVFAQQTTDPNEVDALNRLIDYWNLRDKLNITNDPCIQNAAWAKKQANPRVACNCSSNPCHITHLKIHALDISGELPSELFVLKELMNLDFGKNVLNGAIPAEIERLSNMQYLNLGINNFTGVVPQELGNLTKLITLDFGSNNFIGPLPTSLGKLTSLQELYINSSGVSGRIPQEFANLKSLRTLWASDNLFTGKLPAFFGNLTKLRNLRLQGTLLEGPIPSSFSALKKLGDLRIGDLNGEDSSLEFLLDQKNLTILILRNCLLSGQIPDQLGNFSQLQELDLSFNKLTGQIPDSFQDLASLHYLYLGSNNLSGQLPANIIGLNLIAIDVSFNPLSGNLPQNSMRVGLSMNAVGTSINANNLQGKASGMLQCLKADFKCSNKVPSKTFSVKCGGTAKTSASGIEYEGDFESMGAASLYTSSDNLWAVSNTGNFISNPNGPQYLTQTGSQITGTLDSELYKTARISPSSLRYYGLGLKNGRYSVELHFAEIGMDDSHSWKGLGRRLFDIYIQGEIVLKDFNIKNEAGGSKKALIKTFEANVTNTIMDIHFFWAGKGTCCIPYQGTYGPLVSAIHVSQVSDGAGSSKRDKKRVGKLVGISMACVAGLVIISSVFYLWWIKEDSSQHMRIYTGHPGND >Potri.001G449633.1.v4.1 pep chromosome:Pop_tri_v4:1:47579178:47579477:-1 gene:Potri.001G449633.v4.1 transcript:Potri.001G449633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449633.v4.1 MKGSCTLVASVLTVSTVALSSSAPPSSLVVEGSSRSSSMEKSGGSNKEKFAPRFDGLRFIETLVTAHR >Potri.001G449633.2.v4.1 pep chromosome:Pop_tri_v4:1:47579178:47579309:-1 gene:Potri.001G449633.v4.1 transcript:Potri.001G449633.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G449633.v4.1 MFVVLKGSSRSSSMEKSGGSNKEKFAPRFDGLRFIETLVTAHR >Potri.011G038000.2.v4.1 pep chromosome:Pop_tri_v4:11:2932894:2937318:-1 gene:Potri.011G038000.v4.1 transcript:Potri.011G038000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G038000.v4.1 MTCYQAISLKRINNERVSSTTQYNGHPFWRSGPWGNAKFIGIPGMYTSVYSDGFSLKREGDGSTFTLSSNEDPASQLTYVLTSDGKLTEYWDYGKGGLTSDWEAPSTECDIYGKCGSFGSCDEQNSPICRCLKGFVPKNQDEWKKGIWTSGCVRMTSLQCDRIKNGSEVGKEDGFMKLEMMKVPTFAEYWSYPSSEQECKDECLRNCSCVAYSFYNGNMCMAWTGNLIDIQKFSEGGTDLNIRLAYTKLGDTITTSQPIKDPEAIESAGKMFQLGFFSPVNSTYRYVGIWYSNISASTPVLWVANRNKPINDSSGMMTISEDGNLVVLNGQREVLWSSNVSIGFNQSTAQLTDDGNLVLKAGPNGNLEWQSFQQPTDTYLPKMRLSANARTGNKTLLMSWRSSSDPSVGNFSAGVNPLGIPEFFIWYNGHPFWRSGPWGGQNFTGKPGMSTSVYLSGFTPQDEGDGTFTLSSIQDPAFRLTYVLTSHGKLTEQYWDYGKGGWKYDWEAPSIECDIYGKCGSFGSCDEHNSPICRCLKGFVPKNQDEWKKGIWTSGCVRMTSLQCDRIKNGSEVGKEDGFMKLEMMKVPTFAEYWSYPSSEQECKDECLRNCSCVGYSYYNGFGCMAWTGNLIDIQKFSEGGTDLNIRLAYTELVADNKRNMKVIISMSVIVGAIAMFICVFFSWKWMATHRERKLTSEETLSFKTREAQETVFDGNLPENVREVKLEPLFKLQILETATNNFDISKKLGQGGFGAVYRGKLPDGQEIAVKRLSKTSGQGLEEFMNEVAVISKLQHRNLVRLLGCCVEGEEMMLVYEYMPNKSLDAFLFDSLRKGQLDWERRFDIINGICRGLLYLHRDSRLRIIHRDLKPSNILLDHELNPKISDFGLARISGGNEVNTTRVVGTFGFMSPEYLMEGRFSEKSDVFSFGVLLLEIAWKLWNEGDIAALVDPAISDPCVEVEIFRCIHIGLLCVQELAKDRPAVSTIISMLNSEIVDLPTPKKPAFVERQTSLGTEATTQSQKINSINNVTISDLKGR >Potri.005G167900.1.v4.1 pep chromosome:Pop_tri_v4:5:17045969:17047171:-1 gene:Potri.005G167900.v4.1 transcript:Potri.005G167900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G167900.v4.1 MARVLLLLALCVLPALVRAARPARNPFVVQGSVYCDTCLAGFETSKTTNIAGAKVRLECKDRKTQDLVYSKEGTTDSTGKYTITVDEDHEDQICDCMLVSSPRKDCRSPSAGRDRARVILTNDNGLVSTTRYANAMGFMAAQPMSGCTELLRLYQEYED >Potri.002G137200.1.v4.1 pep chromosome:Pop_tri_v4:2:10288585:10292953:-1 gene:Potri.002G137200.v4.1 transcript:Potri.002G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137200.v4.1 MGFSLFVKATAFMIITLMFTSVPFTHSVPFVVFHGISDKCSNKGVKQFTEHLSKWSGIQGHCIEIGDGAWDSWTMPLQDQTEIACEKVKSMSELSDGYNLIGLSQGSLIARGVIEFCEGGPPVKNLITLAGIHAGIASIPFCGSTIICALLDDLIKSEIYSSYVQEHLAPSGYIKIPTDIPAYIKGCRFLPKLNNEIKNTRNSTYKERFASLENLVLIMFEQDTVLVPKETSWFGYYPDGSFDTVLPAQETLLYTEDWIGLKTLDEAGKVKFINVSGGHLDISQTDMKKYILPYLEEQAPSPGLLIVKGSSSDRWFSTIKNNFMELVGRADDQHLLDIRQ >Potri.002G137200.7.v4.1 pep chromosome:Pop_tri_v4:2:10288404:10292779:-1 gene:Potri.002G137200.v4.1 transcript:Potri.002G137200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137200.v4.1 MGFSLFVKATAFMIITLMFTSVPFTHSVPFVVFHGISDKCSNKGVKQFTEHLSKWSGIQGHCIEIGDGAWDSWTMPLQDQTEIACEKVKSMSELSDGYNLIGLSQGSLIARGVIEFCEGGPPVKNLITLAGIHAGIASIPFCGSTIICALLDDLIKSEIYSSYVQEHLAPSGYIKIPTDIPAYIKGCRFLPKLNNEIKNTRNSTYKERFASLENLVLIMFEQDTVLVPKETSWFGYYPDGSFDTVLPAQETLLYTEDWIGLKTLDEAGKVKFINVSGGHLDISQTDMKKYILPYLEEQAPSPGLLIVKGSSSDRWFSTIKNNFMELVGRADDQHLLDIRQ >Potri.002G137200.2.v4.1 pep chromosome:Pop_tri_v4:2:10288627:10292451:-1 gene:Potri.002G137200.v4.1 transcript:Potri.002G137200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137200.v4.1 MPLQDQTEIACEKVKSMSELSDGYNLIGLSQGSLIARGVIEFCEGGPPVKNLITLAGIHAGIASIPFCGSTIICALLDDLIKSEIYSSYVQEHLAPSGYIKIPTDIPAYIKGCRFLPKLNNEIKNTRNSTYKERFASLENLVLIMFEQDTVLVPKETSWFGYYPDGSFDTVLPAQETLLYTEDWIGLKTLDEAGKVKFINVSGGHLDISQTDMKKYILPYLEEQAPSPGLLIVKGSSSDRWFSTIKNNFMELVGRADDQHLLDIRQ >Potri.003G063300.1.v4.1 pep chromosome:Pop_tri_v4:3:9042586:9051644:1 gene:Potri.003G063300.v4.1 transcript:Potri.003G063300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063300.v4.1 MGEMVATTDATSAVTGGGGAGSGRQQQHTLSARVRKIIQSIKEIVGNFSDADIYMVLKETNMDPNETVQKLLNQDPFHEVKRKRDKKKESMSYRGSVDSRKQPENFDQGMRPRTFLDRYAQRGGHTRTDSIGNRGVNREFRVVRDNRINQNANREPKPALPQGSTSAKEKGSGVTEKGSAGISNNNLKPSNAQSSSQTSNGPTYPEPRYNRDAKSRAGDRKVVSEEKRSTASNATTSRAQVVKPNNSQQHDASLASSNSVVGVYSSSTDPVHVPSPDSRSSGVVGAIKREVGVVGGRRQSENAVKDLSSSNSFSESFHPLTAISNTDQVRQTAVIESMPSVPVNRSLLHNQYNSRPHQQTVGYPKASQHNKEWKPKSSQKSSITSPGVIGTPTKSSSPPTDNSKSMELNAANLQDKFSRVNIHENQNVIIAQHIRVPESDRCKLTFGSFGVEFDPSRNSTPGFQAVGISEESNRESAISLPASCPESSSEDAPGGKQIELLDDQARNSESDSPEAGLASEHQLPEKSSSPPDLDNYADIGLVRNSSPSYAPSESQQQQDHPELPSFSAYDPQTGYDMSYFQPPIDETVQGQGQPSPREALTAHTGNHIPTSTMPTMQQQPPMAQMYPQVHVSPFTNLMPYRQFISPVYVPPMPMPGYSSNPAYPHPSNGNSYMLMPGGGSHLNANGLKYGIQHYKPVPSSNPAGFGNFTSPSGYAINAPGVVGSAAGLEDPSRMKYKDGNIYVPNPQSESSEIWIQNPRDLPGLQSSPYYNIPGQTHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPQPTAMASPHHLGPVMGNNVGVGVAPSAPGAQVGAYQQPQLGHLNWTTNF >Potri.003G063300.5.v4.1 pep chromosome:Pop_tri_v4:3:9042607:9050944:1 gene:Potri.003G063300.v4.1 transcript:Potri.003G063300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063300.v4.1 MGEMVATTDATSAVTGGGGAGSGRQQQHTLSARVRKIIQSIKEIVGNFSDADIYMVLKETNMDPNETVQKLLNQDPFHEVKRKRDKKKESMSYRGSVDSRKQPENFDQGMRPRTFLDRYAQRGGHTRTDSIGNRGVNREFRVVRDNRINQNANREPKPALPQGSTSAKEKGSGVTEKGSSAGISNNNLKPSNAQSSSQTSNGPTYPEPRYNRDAKSRAGDRKVVSEEKRSTASNATTSRAQVVKPNNSQQHDASLASSNSVVGVYSSSTDPVHVPSPDSRSSGVVGAIKREVGVVGGRRQSENAVKDLSSSNSFSESFHPLTAISNTDQVRQTAVIESMPSVPVNRSLLHNQYNSRPHQQTVGYPKASQHNKEWKPKSSQKSSITSPGVIGTPTKSSSPPTDNSKSMELNAANLQDKFSRVNIHENQNVIIAQHIRVPESDRCKLTFGSFGVEFDPSRNSTPGFQAVGISEESNRESAISLPASCPESSSEDAPGGKQIELLDDQARNSESDSPEAGLASEHQLPEKSSSPPDLDNYADIGLVRNSSPSYAPSESQQQQDHPELPSFSHSDVIVCKQAYDPQTGYDMSYFQPPIDETVQGQGQPSPREALTAHTGNHIPTSTMPTMQQQPPMAQMYPQVHVSPFTNLMPYRQFISPVYVPPMPMPGYSSNPAYPHPSNGNSYMLMPGGGSHLNANGLKYGIQHYKPVPSSNPAGFGNFTSPSGYAINAPGVVGSAAGLEDPSRMKYKDGNIYVPNPQSESSEIWIQNPRDLPGLQSSPYYNIPGQTHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPQPTAMASPHHLGPVMGNNVGVGVAPSAPGAQVGAYQQPQLGHLNWTTNF >Potri.003G063300.3.v4.1 pep chromosome:Pop_tri_v4:3:9042606:9051644:1 gene:Potri.003G063300.v4.1 transcript:Potri.003G063300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G063300.v4.1 MGEMVATTDATSAVTGGGGAGSGRQQQHTLSARVRKIIQSIKEIVGNFSDADIYMVLKETNMDPNETVQKLLNQDPFHEVKRKRDKKKESMSYRGSVDSRKQPENFDQGMRPRTFLDRYAQRGGHTRTDSIGNRGVNREFRVVRDNRINQNANREPKPALPQGSTSAKEKGSGVTEKGSSAGISNNNLKPSNAQSSSQTSNGPTYPEPRYNRDAKSRAGDRKVVSEEKRSTASNATTSRAQVVKPNNSQQHDASLASSNSVVGVYSSSTDPVHVPSPDSRSSGVVGAIKREVGVVGGRRQSENAVKDLSSSNSFSESFHPLTAISNTDQVRQTAVIESMPSVPVNRSLLHNQYNSRPHQQTVGYPKASQHNKEWKPKSSQKSSITSPGVIGTPTKSSSPPTDNSKSMELNAANLQDKFSRVNIHENQNVIIAQHIRVPESDRCKLTFGSFGVEFDPSRNSTPGFQAVGISEESNRESAISLPASCPESSSEDAPGGKQIELLDDQARNSESDSPEAGLASEHQLPEKSSSPPDLDNYADIGLVRNSSPSYAPSESQQQQDHPELPSFSAYDPQTGYDMSYFQPPIDETVQGQGQPSPREALTAHTGNHIPTSTMPTMQQQPPMAQMYPQVHVSPFTNLMPYRQFISPVYVPPMPMPGYSSNPAYPHPSNGNSYMLMPGGGSHLNANGLKYGIQHYKPVPSSNPAGFGNFTSPSGYAINAPGVVGSAAGLEDPSRMKYKDGNIYVPNPQSESSEIWIQNPRDLPGLQSSPYYNIPGQTHAAYLPSHTGHASFNAAAAQSSHMQFPGLYPPPQPTAMASPHHLGPVMGNNVGVGVAPSAPGAQVGAYQQPQLGHLNWTTNF >Potri.008G112900.1.v4.1 pep chromosome:Pop_tri_v4:8:7186540:7190770:1 gene:Potri.008G112900.v4.1 transcript:Potri.008G112900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112900.v4.1 MELQKAEYTTNNATEILQSLSRSITEAKDLVNKCQRGTISNSESELKSNMSHLERVIKEMGACLTLIPSSTFQGQEYAEVSVQALSNEMLSAHFEVGQSQGLQTKELDPHKNFSEEGRNEESVTIESDLYRASVEESTDNSRELNDPDFVEFLKPTSLCRPRKHISSSSSSTSLARMTDHMEPLYQTFYCPLTKQIMDDPVTLQSGVTYDRKAITEWLEESDNSQGIFCPTTGQKLLSRVLKTNVALKTTIEEWKERNEVARINISRSALFVSASPSMVLEAIRDLQDICKRKQYNKIQVHNAGILPLLCKLLKYKDRDVRYAALELLQELTKEDDDSKIMISEMVDMPTVIKMMSSGHQPIRHAALLLLLELSRSESLQEKIGSVPGGILMLIRIKYNQPDDAFSSEKADEILKNLESSPENIKKMAENGLLEPLLKHLTEGSEEMQTEMAEYLGEISLGNDRDTYVAERASPPLIKMVHGGNTLTRTAAFKALAKIASCHPNAKILAKSGIIQIMVEEMFTRRIYGEPINSKSEAAAMLANILEAGLDLENLQVNSHGHRLASDYVLYNFIEMIKHSTPDDLNINLIRILLCLAKSPRSMSTIVSMVKESEASYTLVELLNNPHEELGIAAIKLLIVLIPYMGHIIVERLCKTAGQPENLILGRNETTRITQKQAVSSTFLAKLPHQSLTLNLALLRKNTVPAILQQINQIQGTCIRTGRYVIPYLEGLVSILVRFTTTLYEPQMLFLARDYNFTSVFTELLMKTSSDEVQRLSAIGLENLSLESINLSKPPQIKKTKFLKLFYPPKFLSSISSKKRQLPLCPVHRGACSSQNTFCLVDAKAVERLLACLDHENVEVVEAALSAVCTLLDDEVDVEMSVGMLCEVNAIQLVLNAVKEHKGEGLWKKSFWLIDRFLVKGGSRCASDISQDRLLPATLVNAFHHGDIDTRQMAERILRHLNKMPNFPTSHYTM >Potri.008G112900.3.v4.1 pep chromosome:Pop_tri_v4:8:7185862:7190858:1 gene:Potri.008G112900.v4.1 transcript:Potri.008G112900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G112900.v4.1 MSPSKNSTSSASIAKHLLTLISEIIESITWVEIEKENLAEIGCYLYRICPVIMELQKAEYTTNNATEILQSLSRSITEAKDLVNKCQRGTISNSESELKSNMSHLERVIKEMGACLTLIPSSTFQGQEYAEVSVQALSNEMLSAHFEVGQSQGLQTKELDPHKNFSEEGRNEESVTIESDLYRASVEESTDNSRELNDPDFVEFLKPTSLCRPRKHISSSSSSTSLARMTDHMEPLYQTFYCPLTKQIMDDPVTLQSGVTYDRKAITEWLEESDNSQGIFCPTTGQKLLSRVLKTNVALKTTIEEWKERNEVARINISRSALFVSASPSMVLEAIRDLQDICKRKQYNKIQVHNAGILPLLCKLLKYKDRDVRYAALELLQELTKEDDDSKIMISEMVDMPTVIKMMSSGHQPIRHAALLLLLELSRSESLQEKIGSVPGGILMLIRIKYNQPDDAFSSEKADEILKNLESSPENIKKMAENGLLEPLLKHLTEGSEEMQTEMAEYLGEISLGNDRDTYVAERASPPLIKMVHGGNTLTRTAAFKALAKIASCHPNAKILAKSGIIQIMVEEMFTRRIYGEPINSKSEAAAMLANILEAGLDLENLQVNSHGHRLASDYVLYNFIEMIKHSTPDDLNINLIRILLCLAKSPRSMSTIVSMVKESEASYTLVELLNNPHEELGIAAIKLLIVLIPYMGHIIVERLCKTAGQPENLILGRNETTRITQKQAVSSTFLAKLPHQSLTLNLALLRKNTVPAILQQINQIQGTCIRTGRYVIPYLEGLVSILVRFTTTLYEPQMLFLARDYNFTSVFTELLMKTSSDEVQRLSAIGLENLSLESINLSKPPQIKKTKFLKLFYPPKFLSSISSKKRQLPLCPVHRGACSSQNTFCLVDAKAVERLLACLDHENVEVVEAALSAVCTLLDDEVDVEMSVGMLCEVNAIQLVLNAVKEHKGEGLWKKSFWLIDRFLVKGGSRCASDISQDRLLPATLVNAFHHGDIDTRQMAERILRHLNKMPNFPTSHYTM >Potri.016G119100.1.v4.1 pep chromosome:Pop_tri_v4:16:12399371:12401508:-1 gene:Potri.016G119100.v4.1 transcript:Potri.016G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:XOAT7 MSLAPSQSAAMKPSKGKLPISVVTIIICSFAFIALLYTDTSRSLFKLKSCPRRNPVKKSKDRTAEDKLKNFQMDDRFEFDPEECTVNTGKWMFNKTLKPLYTDRSCPYLDRQVSCVKNGRRDSDYRRWEWQPDDCTLPRFNPELALKKLRGKRLLFVGDSLQRGQWQSFVCLVEWIIPEDKKSMKEVGSHSVFRAKEYDATIEFYWAPFLIESNTDHHIIADPKKRILKVDSIDKHAKHWGGVDFLVFNTYVWWMSGIRLKTLWGSFANGEEGYEELDTPVAYKIGLKTWANWIDSNINPNKTRVFFTTMSPTHTRSEDWNNTEGLKCFNETKPVLKKKYWGSGSDKRMMSVVASIGKKMKVPVTFINITQLSEYRIDAHASVYTETGGKLLTEEQRADPLHHADCIHWCLPGVPDTWNRIFLAYL >Potri.002G007400.5.v4.1 pep chromosome:Pop_tri_v4:2:401500:407209:-1 gene:Potri.002G007400.v4.1 transcript:Potri.002G007400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007400.v4.1 MRNSNCSGFLGMVEALRFMETDIVAIIGPQSSVVARIISHVTNQLQVPLLSFAATDPSLNSLQFPFFVQTTHSDLHQMAAISDVVDYYGWKQVTAIYIDDDYGRNGMSALGDKLAERRCRISYKVGVPPDSGVNRTDILDMLIKVASMESRVIVLHVNPDVGFEVFSVANRLQMMGNGWVWIATNWLSSVLDSASPLPSETMDSIQGVLFFRQHTPDSDRKRAFYSRWRKLTGGSLGLNSYGLYAYDSVWLIAHAIDAFFNQGGIISFTNYSRLRSVKDSGLHLEAMSIFDDGKLLLNNILQSNLVGLTGRIKFDTDRSLILPAYDVNNVFGTGFKRIGYWSNYSGLTVVPPEILYTKPPNRSSANQELYKVIWPGDTLFTPRGWAFANNGKQLRIGVPLRVSFREFVSQARGTDTFKGFCIDVFTSAITLLPYPVQYQFIPFGDGKNNPSYTELVYKITTGFFDAVVGDVAIVTNRTKILDFTQPYVASGLVVVAPFRKSNSGAWAFLGPFSARLWIVTGCFFFVVGLVVWILEHRINDEFRGPPKRQIITVIWFSLSTLFSTHRENTMSTLARFVLLIWLFVVLIINSNYTASLTSILTVQQLSSHIKGIESLKESDEPVGYQVGSFAEYYLSEEIGISKSRLVALGSPEEYAKALQLGPGKGGVAAIVDERPYVELFLAGQCTFRIVGREFTKSGWGFAFPRDSPLAVDMSTAILALSENGDLQRIHDKWLMQSTCSSDTSELEADKLYLRSFWGLFLLCGLACFISLVIYVLQIIRLFYAAPAESASPGQCPSRSGCIRRLLTLMDQKEDPTKNASKRRKLERSLSGKDQDGESLRNPKKKETERTIGATST >Potri.002G007400.1.v4.1 pep chromosome:Pop_tri_v4:2:401519:407205:-1 gene:Potri.002G007400.v4.1 transcript:Potri.002G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007400.v4.1 MDSIRFGCWVFLICLLLSTTGYSRNLTSRPAVVNIGALFTFESSIGRVAKIAIQEAVKDVNANSSILRGTKLNVDMRNSNCSGFLGMVEALRFMETDIVAIIGPQSSVVARIISHVTNQLQVPLLSFAATDPSLNSLQFPFFVQTTHSDLHQMAAISDVVDYYGWKQVTAIYIDDDYGRNGMSALGDKLAERRCRISYKVGVPPDSGVNRTDILDMLIKVASMESRVIVLHVNPDVGFEVFSVANRLQMMGNGWVWIATNWLSSVLDSASPLPSETMDSIQGVLFFRQHTPDSDRKRAFYSRWRKLTGGSLGLNSYGLYAYDSVWLIAHAIDAFFNQGGIISFTNYSRLRSVKDSGLHLEAMSIFDDGKLLLNNILQSNLVGLTGRIKFDTDRSLILPAYDVNNVFGTGFKRIGYWSNYSGLTVVPPEILYTKPPNRSSANQELYKVIWPGDTLFTPRGWAFANNGKQLRIGVPLRVSFREFVSQARGTDTFKGFCIDVFTSAITLLPYPVQYQFIPFGDGKNNPSYTELVYKITTGFFDAVVGDVAIVTNRTKILDFTQPYVASGLVVVAPFRKSNSGAWAFLGPFSARLWIVTGCFFFVVGLVVWILEHRINDEFRGPPKRQIITVIWFSLSTLFSTHRENTMSTLARFVLLIWLFVVLIINSNYTASLTSILTVQQLSSHIKGIESLKESDEPVGYQVGSFAEYYLSEEIGISKSRLVALGSPEEYAKALQLGPGKGGVAAIVDERPYVELFLAGQCTFRIVGREFTKSGWGFAFPRDSPLAVDMSTAILALSENGDLQRIHDKWLMQSTCSSDTSELEADKLYLRSFWGLFLLCGLACFISLVIYVLQIIRLFYAAPAESASPGQCPSRSGCIRRLLTLMDQKEDPTKNASKRRKLERSLSGKDQDGESLRNPKKKETERTIGATST >Potri.002G007400.11.v4.1 pep chromosome:Pop_tri_v4:2:402501:407153:-1 gene:Potri.002G007400.v4.1 transcript:Potri.002G007400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007400.v4.1 MRNSNCSGFLGMVEALRFMETDIVAIIGPQSSVVARIISHVTNQLQVPLLSFAATDPSLNSLQFPFFVQTTHSDLHQMAAISDVVDYYGWKQVTAIYIDDDYGRNGMSALGDKLAERRCRISYKVGVPPDSGVNRTDILDMLIKVASMESRVIVLHVNPDVGFEVFSVANRLQMMGNGWVWIATNWLSSVLDSASPLPSETMDSIQGVLFFRQHTPDSDRKRAFYSRWRKLTGGSLGLNSYGLYAYDSVWLIAHAIDAFFNQGGIISFTNYSRLRSVKDSGLHLEAMSIFDDGKLLLNNILQSNLVGLTGRIKFDTDRSLILPAYDVNNVFGTGFKRIGYWSNYSGLTVVPPEILYTKPPNRSSANQELYKVIWPGDTLFTPRGWAFANNGKQLRIGVPLRVSFREFVSQARGTDTFKGFCIDVFTSAITLLPYPVQYQFIPFGDGKNNPSYTELVYKITTGFFDAVVGDVAIVTNRTKILDFTQPYVASGLVVVAPFRKSNSGAWAFLGPFSARLWIVTGCFFFVVGLVVWILEHRINDEFRGPPKRQIITVIWFSLSTLFSTHRENTMSTLARFVLLIWLFVVLIINSNYTASLTSILTVQQLSSHIKGIESLKESDEPVGYQVGSFAEYYLSEEIGISKSRLVALGSPEEYAKALQLGPGKGGVAAIVDERPYVELFLAGQCTFRIVGREFTKSGWGFAFPRDSPLAVDMSTAILALSENGDLQRIHDKWLMQSTCSSDTSELEADKLYLRSFWGLFLLCGLACFISLVIYVLQIIRLFYAAPAESASPGQCPSRSGCIRRLLTLMDQKEDPTKNASKRRKLERSLSGKDQDGESLRNPKKKETERTIGATST >Potri.002G007400.4.v4.1 pep chromosome:Pop_tri_v4:2:401517:406788:-1 gene:Potri.002G007400.v4.1 transcript:Potri.002G007400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007400.v4.1 MDSIRFGCWVFLICLLLSTTGYSRNLTSRPAVVNIGALFTFESSIGRVAKIAIQEAVKDVNANSSILRGTKLNVDMRNSNCSGFLGMVEALRFMETDIVAIIGPQSSVVARIISHVTNQLQVPLLSFAATDPSLNSLQFPFFVQTTHSDLHQMAAISDVVDYYGWKQVTAIYIDDDYGRNGMSALGDKLAERRCRISYKVGVPPDSGVNRTDILDMLIKVASMESRVIVLHVNPDVGFEVFSVANRLQMMGNGWVWIATNWLSSVLDSASPLPSETMDSIQGVLFFRQHTPDSDRKRAFYSRWRKLTGGSLGLNSYGLYAYDSVWLIAHAIDAFFNQGGIISFTNYSRLRSVKDSGLHLEAMSIFDDGKLLLNNILQSNLVGLTGRIKFDTDRSLILPAYDVNNVFGTGFKRIGYWSNYSGLTVVPPEILYTKPPNRSSANQELYKVIWPGDTLFTPRGWAFANNGKQLRIGVPLRVSFREFVSQARGTDTFKGFCIDVFTSAITLLPYPVQYQFIPFGDGKNNPSYTELVYKITTGFFDAVVGDVAIVTNRTKILDFTQPYVASGLVVVAPFRKSNSGAWAFLGPFSARLWIVTGCFFFVVGLVVWILEHRINDEFRGPPKRQIITVIWFSLSTLFSTHRENTMSTLARFVLLIWLFVVLIINSNYTASLTSILTVQQLSSHIKGIESLKESDEPVGYQVGSFAEYYLSEEIGISKSRLVALGSPEEYAKALQLGPGKGGVAAIVDERPYVELFLAGQCTFRIVGREFTKSGWGFAFPRDSPLAVDMSTAILALSENGDLQRIHDKWLMQSTCSSDTSELEADKLYLRSFWGLFLLCGLACFISLVIYVLQIIRLFYAAPAESASPGQCPSRSGCIRRLLTLMDQKEDPTKNASKRRKLERSLSGKDQDGESLRNPKKKETERTIGATST >Potri.002G007400.10.v4.1 pep chromosome:Pop_tri_v4:2:402520:407174:-1 gene:Potri.002G007400.v4.1 transcript:Potri.002G007400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007400.v4.1 MDSIRFGCWVFLICLLLSTTGYSRNLTSRPAVVNIGALFTFESSIGRVAKIAIQEAVKDVNANSSILRGTKLNVDMRNSNCSGFLGMVEALRFMETDIVAIIGPQSSVVARIISHVTNQLQVPLLSFAATDPSLNSLQFPFFVQTTHSDLHQMAAISDVVDYYGWKQVTAIYIDDDYGRNGMSALGDKLAERRCRISYKVGVPPDSGVNRTDILDMLIKVASMESRVIVLHVNPDVGFEVFSVANRLQMMGNGWVWIATNWLSSVLDSASPLPSETMDSIQGVLFFRQHTPDSDRKRAFYSRWRKLTGGSLGLNSYGLYAYDSVWLIAHAIDAFFNQGGIISFTNYSRLRSVKDSGLHLEAMSIFDDGKLLLNNILQSNLVGLTGRIKFDTDRSLILPAYDVNNVFGTGFKRIGYWSNYSGLTVVPPEILYTKPPNRSSANQELYKVIWPGDTLFTPRGWAFANNGKQLRIGVPLRVSFREFVSQARGTDTFKGFCIDVFTSAITLLPYPVQYQFIPFGDGKNNPSYTELVYKITTGFFDAVVGDVAIVTNRTKILDFTQPYVASGLVVVAPFRKSNSGAWAFLGPFSARLWIVTGCFFFVVGLVVWILEHRINDEFRGPPKRQIITVIWFSLSTLFSTHRENTMSTLARFVLLIWLFVVLIINSNYTASLTSILTVQQLSSHIKGIESLKESDEPVGYQVGSFAEYYLSEEIGISKSRLVALGSPEEYAKALQLGPGKGGVAAIVDERPYVELFLAGQCTFRIVGREFTKSGWGFAFPRDSPLAVDMSTAILALSENGDLQRIHDKWLMQSTCSSDTSELEADKLYLRSFWGLFLLCGLACFISLVIYVLQIIRLFYAAPAESASPGQCPSRSGCIRRLLTLMDQKEDPTKNASKRRKLERSLSGKDQDGESLRNPKKKETERTIGATST >Potri.002G007400.9.v4.1 pep chromosome:Pop_tri_v4:2:402518:407174:-1 gene:Potri.002G007400.v4.1 transcript:Potri.002G007400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007400.v4.1 MDSIRFGCWVFLICLLLSTTGYSRNLTSRPAVVNIGALFTFESSIGRVAKIAIQEAVKDVNANSSILRGTKLNVDMRNSNCSGFLGMVEALRFMETDIVAIIGPQSSVVARIISHVTNQLQVPLLSFAATDPSLNSLQFPFFVQTTHSDLHQMAAISDVVDYYGWKQVTAIYIDDDYGRNGMSALGDKLAERRCRISYKVGVPPDSGVNRTDILDMLIKVASMESRVIVLHVNPDVGFEVFSVANRLQMMGNGWVWIATNWLSSVLDSASPLPSETMDSIQGVLFFRQHTPDSDRKRAFYSRWRKLTGGSLGLNSYGLYAYDSVWLIAHAIDAFFNQGGIISFTNYSRLRSVKDSGLHLEAMSIFDDGKLLLNNILQSNLVGLTGRIKFDTDRSLILPAYDVNNVFGTGFKRIGYWSNYSGLTVVPPEILYTKPPNRSSANQELYKVIWPGDTLFTPRGWAFANNGKQLRIGVPLRVSFREFVSQARGTDTFKGFCIDVFTSAITLLPYPVQYQFIPFGDGKNNPSYTELVYKITTGFFDAVVGDVAIVTNRTKILDFTQPYVASGLVVVAPFRKSNSGAWAFLGPFSARLWIVTGCFFFVVGLVVWILEHRINDEFRGPPKRQIITVIWFSLSTLFSTHRENTMSTLARFVLLIWLFVVLIINSNYTASLTSILTVQQLSSHIKGIESLKESDEPVGYQVGSFAEYYLSEEIGISKSRLVALGSPEEYAKALQLGPGKGGVAAIVDERPYVELFLAGQCTFRIVGREFTKSGWGFAFPRDSPLAVDMSTAILALSENGDLQRIHDKWLMQSTCSSDTSELEADKLYLRSFWGLFLLCGLACFISLVIYVLQIIRLFYAAPAESASPGQCPSRSGCIRRLLTLMDQKEDPTKNASKRRKLERSLSGKDQDGESLRNPKKKETERTIGATST >Potri.002G007400.8.v4.1 pep chromosome:Pop_tri_v4:2:401515:407174:-1 gene:Potri.002G007400.v4.1 transcript:Potri.002G007400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007400.v4.1 MDSIRFGCWVFLICLLLSTTGYSRNLTSRPAVVNIGALFTFESSIGRVAKIAIQEAVKDVNANSSILRGTKLNVDMRNSNCSGFLGMVEALRFMETDIVAIIGPQSSVVARIISHVTNQLQVPLLSFAATDPSLNSLQFPFFVQTTHSDLHQMAAISDVVDYYGWKQVTAIYIDDDYGRNGMSALGDKLAERRCRISYKVGVPPDSGVNRTDILDMLIKVASMESRVIVLHVNPDVGFEVFSVANRLQMMGNGWVWIATNWLSSVLDSASPLPSETMDSIQGVLFFRQHTPDSDRKRAFYSRWRKLTGGSLGLNSYGLYAYDSVWLIAHAIDAFFNQGGIISFTNYSRLRSVKDSGLHLEAMSIFDDGKLLLNNILQSNLVGLTGRIKFDTDRSLILPAYDVNNVFGTGFKRIGYWSNYSGLTVVPPEILYTKPPNRSSANQELYKVIWPGDTLFTPRGWAFANNGKQLRIGVPLRVSFREFVSQARGTDTFKGFCIDVFTSAITLLPYPVQYQFIPFGDGKNNPSYTELVYKITTGFFDAVVGDVAIVTNRTKILDFTQPYVASGLVVVAPFRKSNSGAWAFLGPFSARLWIVTGCFFFVVGLVVWILEHRINDEFRGPPKRQIITVIWFSLSTLFSTHRENTMSTLARFVLLIWLFVVLIINSNYTASLTSILTVQQLSSHIKGIESLKESDEPVGYQVGSFAEYYLSEEIGISKSRLVALGSPEEYAKALQLGPGKGGVAAIVDERPYVELFLAGQCTFRIVGREFTKSGWGFAFPRDSPLAVDMSTAILALSENGDLQRIHDKWLMQSTCSSDTSELEADKLYLRSFWGLFLLCGLACFISLVIYVLQIIRLFYAAPAESASPGQCPSRSGCIRRLLTLMDQKEDPTKNASKRRKLERSLSGKDQDGESLRNPKKKETERTIGATST >Potri.002G007400.12.v4.1 pep chromosome:Pop_tri_v4:2:401542:406037:-1 gene:Potri.002G007400.v4.1 transcript:Potri.002G007400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G007400.v4.1 METDIVAIIGPQSSVVARIISHVTNQLQVPLLSFAATDPSLNSLQFPFFVQTTHSDLHQMAAISDVVDYYGWKQVTAIYIDDDYGRNGMSALGDKLAERRCRISYKVGVPPDSGVNRTDILDMLIKVASMESRVIVLHVNPDVGFEVFSVANRLQMMGNGWVWIATNWLSSVLDSASPLPSETMDSIQGVLFFRQHTPDSDRKRAFYSRWRKLTGGSLGLNSYGLYAYDSVWLIAHAIDAFFNQGGIISFTNYSRLRSVKDSGLHLEAMSIFDDGKLLLNNILQSNLVGLTGRIKFDTDRSLILPAYDVNNVFGTGFKRIGYWSNYSGLTVVPPEILYTKPPNRSSANQELYKVIWPGDTLFTPRGWAFANNGKQLRIGVPLRVSFREFVSQARGTDTFKGFCIDVFTSAITLLPYPVQYQFIPFGDGKNNPSYTELVYKITTGFFDAVVGDVAIVTNRTKILDFTQPYVASGLVVVAPFRKSNSGAWAFLGPFSARLWIVTGCFFFVVGLVVWILEHRINDEFRGPPKRQIITVIWFSLSTLFSTHRENTMSTLARFVLLIWLFVVLIINSNYTASLTSILTVQQLSSHIKGIESLKESDEPVGYQVGSFAEYYLSEEIGISKSRLVALGSPEEYAKALQLGPGKGGVAAIVDERPYVELFLAGQCTFRIVGREFTKSGWGFAFPRDSPLAVDMSTAILALSENGDLQRIHDKWLMQSTCSSDTSELEADKLYLRSFWGLFLLCGLACFISLVIYVLQIIRLFYAAPAESASPGQCPSRSGCIRRLLTLMDQKEDPTKNASKRRKLERSLSGKDQDGESLRNPKKKETERTIGATST >Potri.015G065600.2.v4.1 pep chromosome:Pop_tri_v4:15:9117874:9118741:-1 gene:Potri.015G065600.v4.1 transcript:Potri.015G065600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065600.v4.1 MHCDVTDEEQVKSLVEWTVKNFGRLDIMFSNAGILGSSDQTILNLDLSGFDRLFAINARGMATCVKHAARVMVEHRLRGSIVCTASVAASNGGRRRTDYHMSKHAVLGLVRSASMQLGVHGIRVNCVSPYGLVTPMTLHAHRKGVEELENMYETNMSLKGAALTAKHVADAVLFLACNDSEMVTGHDLLVDGGYRIQ >Potri.015G065600.1.v4.1 pep chromosome:Pop_tri_v4:15:9118009:9118806:-1 gene:Potri.015G065600.v4.1 transcript:Potri.015G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065600.v4.1 MAETSSTPSDNNKLAGKVAIITGGASGIGEATARLFAQHGALIVVIADIQDELGHQVATSIGQQKCSYMHCDVTDEEQVKSLVEWTVKNFGRLDIMFSNAGILGSSDQTILNLDLSGFDRLFAINARGMATCVKHAARVMVEHRLRGSIVCTASVAASNGGRRRTDYHMSKHAVLGLVRSASMQLGVHGIRVNCVSPYGLVTPMTLHAHRKGVEELENMYETNMSLKGAALTAKHVADAVLFLACNDSEMVTGHDLLVDGGYRIQ >Potri.005G163600.1.v4.1 pep chromosome:Pop_tri_v4:5:16132111:16133251:-1 gene:Potri.005G163600.v4.1 transcript:Potri.005G163600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G163600.v4.1 MASFLSSHSLLQLVLLISIIQFSSAARTFSVSDQSQDPLLFQYHNGPLLTGEISINLIWYGKFKPSQRAIVSDFIASVSSRRPTTAQPSVATWWKATEKYYNLVKTKKTSPLLLSVGTQILDESCSLGKSLSSKQIVQLASKGGQKGAINVVLTSSDVAVEGFCSSKCGTHGSSLSAKTINGKRSKFAYIWVGNSETQCPGQCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLLAGTATNPFENGYFQGPKEAPLEAASACPGVYGKGAYPGYAGDLLVDSTTGASYNAHGVNGRKYVLPALFDPSTSTCSTLI >Potri.013G069900.2.v4.1 pep chromosome:Pop_tri_v4:13:5669222:5681154:1 gene:Potri.013G069900.v4.1 transcript:Potri.013G069900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MSGGSEGETLEYTPTWVVAALCTVIVALSLAAERLLHYCGIFLRRKNQKPLYEALQKVKEELMLLGFISLLLTVSQNSIAKTCVPENVVNDLLPCRLSEKQKEEGLGSNSTTTPKHYQSFFPGTISGTVRRLLAEASEANLGYCAKKGKVPLLSLEAIHHLHIFIFVLAIVHVTFCVLTILFGGARIRQWKHWENEIANDRCDTEQVLKKKFTHVQQHTFIKEHFLGIGKNSALRVWLHSFFKQFYASVTKSDYITLRLGFIMTHTRGNPKFNFHRYMVRSLEDDFKTVVGISWYLWVFVVIFLLLNINGWHTYFWIAFIPLILLLAVGTKLEHVINQLAYEVAEKHVAIEGELVVQPSDNHFWFHRPGFVHLLIHFILFQNAFEIAFFFWIWVQYGFDSCIMGQIKYIVPRLIIGVFIQVLCSYSTLPLYAIVSQMGSTFKKSIFEEHVQAGLVGWAQKVKKKRGQKGSTEGSSEASSHVSAGGLLLGRLKGLKEANEGSAQSSSHEGSTLGNNASAPPVPREVEP >Potri.001G052100.3.v4.1 pep chromosome:Pop_tri_v4:1:3932319:3935356:-1 gene:Potri.001G052100.v4.1 transcript:Potri.001G052100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G052100.v4.1 MQYKNLGRSGLKVSQLSYGAWVSFGNQLDVKEAKSLLQCCRDHGVNFFDNAEVYANGRAEEIMGQAIRELGWKRSDIVVSTKIFWGGSGPNDKGLSRKHILEGTKASLKRLDMDYVDVIYCHRPDTFTPIEETVRAMNYVIDKGWAFYWGTSEWSAQQITEAWGIAERLDLVGPIVEQPEYNMLSRHKVESEYVPLYTTYGLGLTTWSPLASGVLTGKYNKGGVPSDSRFALENYKNLASRSLVDDVLKKVNGLKPIADELGVPLSQLAIAWCAANPNVSSVITGATKESQIQENMKAVDVIPLLTPAVMEKIEAVVQSKPKRPDSFR >Potri.009G066300.1.v4.1 pep chromosome:Pop_tri_v4:9:6673015:6675082:-1 gene:Potri.009G066300.v4.1 transcript:Potri.009G066300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G066300.v4.1 MIAIQYVLAIFVLWVITVFLQFIFKRPGKKPAGYCPPPSPPTLPLIGHLHLLTPVAYKGFHALNNKYGPLLYLRLATYPAVLVSSAPLATEIFKALDVHFTSRIKSPFEDNLLFGSSTSFFNAPYGDYWKFMKKICTTELLGTRQMKKLKNVRREEVVRFLSKMLEIGQKHEVANVSAEVLTLANNSTCRMIMSARCSGEDNQAEKCRGLVSESFDLAAKLALFSVFGPLKRIGTWYLRKKIADVPRRYDELFENVLVEHEEKAKREGPHMENKDLMDILLEVYHDKNAEIRITRKQMKTFFLDLFTGGTNTTSDAILWILAELVNHPAAFKKLREEIDSAVGTERLVDEEDIPNLPYFQACVKEAMRLNPPVPLFDRICGENCKLGGYDIPKGITMIMNAYSIMRDPKIWENPNDFIPERFLTEQDNAEGQNLQVYVPFGGGRRMCPGTNMTSSLINCSVTAMVQCFDWKVLSGDGPDGSKVNMDSKSGVVKTMAKPFMAIPALHRNLFSA >Potri.001G038750.1.v4.1 pep chromosome:Pop_tri_v4:1:2795626:2796809:1 gene:Potri.001G038750.v4.1 transcript:Potri.001G038750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038750.v4.1 MVLDSMITSPHRRSPSFRKPFPRDELGSWSTLLQRHRFLLTAFALLAFLCTIYLYFAVTLGATESCSGLTGTKKTLCRLELAKDSVGNGKLKFF >Potri.014G032900.2.v4.1 pep chromosome:Pop_tri_v4:14:2016304:2019380:-1 gene:Potri.014G032900.v4.1 transcript:Potri.014G032900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G032900.v4.1 MSGMERLQRMFAGAGGALGHPPPDSPTLDSSEQVYISSLALLKMLKHGRAGVPMEVMGLMLGEFVDEYTVRVVDVFAMPQSGTGVSVEAVDHVFQTNMIDMLKQTGRPEMVVGWYHSHPGFGCWLSGVDINTQQSFEALNQRAVAVVVDPIQSVKGKVVIDAFRLINPQTMMLGQEPRQTTSNLGHLNKPSIQALIHGLNRHYYSIAINYRKNELEEKMLLNLHKKKWTDGLTLKRFDTHSKTNEQTVQEMLNLAIKYNKAVQEEDELPPEKLAIANVGRQDAKKHLEEHVSNLMSSNIVQTLGTMLDTVVF >Potri.015G127700.1.v4.1 pep chromosome:Pop_tri_v4:15:13916176:13918506:-1 gene:Potri.015G127700.v4.1 transcript:Potri.015G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127700.v4.1 MATKLCLSLLFMCLCSLLSSSIASNDQIDYWCSKTPNPEPCKYFMKQNPKHFVPKQKSDFRKMAIELAVQRALNAQNHNKWLGPKCRNEKEKAAWADCLKLYEDTIAELNHTIDSNTKCTQFDAQTWLSTALTNLETCKAGFKDLGVSDFVLPLMSNNVSKLIRNTLALKDNASSTLPQTYKDGFPSWVKAGDRKLLQTSSPSPNLVVAQDGSGNHRTIKAALDAAAKRSGSRRFVIRIKSGVYRENLDIGKKLKNIMLVGDGLRNTIITGSRSVGGGFTTFNSATVAVTGEGFIARGITFRNTAGPQNHQAVALRSGSDLSVFYRCGFEGYQDTLYVHSQRQFYKECYIYGTVDFIFGNAAVILQNCMIYARRPMDKQKNVVTAQGRTDPNQNTGISIHNSRVMASSDLRPVLSSFKTYLGRPWKEYSRTVFLQTYLDSLVDPAGWLEWDGNFALNTLYYGEYRNSGPGASTRGRVKWRGYRVITSSTEASRFTVANFIAGRSWLPATGVPFYPGL >Potri.001G255400.1.v4.1 pep chromosome:Pop_tri_v4:1:27129679:27134975:-1 gene:Potri.001G255400.v4.1 transcript:Potri.001G255400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255400.v4.1 MDTHEITNILLTKLKSLDPENASRIMGFILIQDPTEKDLLRLAFGPETLLQNVVFKAKIHLGLSTNTLSTPSTPSSPSPLNPIARPCNNTNPFPQSSPRITNNGSFLDFSKNPSPNPWSVHGLPNNSDSKSSISPKSSPFLSYDNIRSGSVLVPPFSRNGGNGGGVCSNNSADFLGEYQSDDHLSFFDDPSSKNEDFMDQRVQMGGYSVANGDVHLHRRRFSESDACSGAEDGGFGLRYRQCLYFARGFCKNGESCKFGHGDENMAEVNVGGALVSSPREMEELYLQQQEEMMKRKAVQQQQEEMMKMKAVQQQQQQRLAYNKHMNFLLLQQNEAERFGAAMMGDEFYKFGRTRGGRNDFLAMGMAEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVYPETVKEILAKGNPHYICESRVLVKPYKEKGKVANRTQQLLERGGFSPASSPSGFDPRELCDLHLGARMLYNTPEMMLRRKLEEQAELQQAIELQGRRLINLQLPDLRGDHAHHHQHSLSVGAPISLPTHHTPINQTDILTSDGKNEITLEDNGNCSGASKSTLVPVAAENLQDEVNAACIQNNDTVNCKVESFTESHGSNFERDSLTKSSENQHPDMSPSRAEVEESNELSVSSCSENDASVPTTSTSNEASN >Potri.001G255400.2.v4.1 pep chromosome:Pop_tri_v4:1:27129379:27134977:-1 gene:Potri.001G255400.v4.1 transcript:Potri.001G255400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255400.v4.1 MDTHEITNILLTKLKSLDPENASRIMGFILIQDPTEKDLLRLAFGPETLLQNVVFKAKIHLGLSTNTLSTPSTPSSPSPLNPIARPCNNTNPFPQSSPRITNNGSFLDFSKNPSPNPWSVHGLPNNSDSKSSISPKSSPFLSYDNIRSGSVLVPPFSRNGGNGGGVCSNNSADFLGEYQSDDHLSFFDDPSSKNEDFMDQRVQMGGYSVANGDVHLHRRRFSESDACSGAEDGGFGLRYRQCLYFARGFCKNGESCKFGHGDENMAEVNVGGALVSSPREMEELYLQQQEEMMKRKAVQQQQEEMMKMKAVQQQQQQRLAYNKHMNFLLLQQNEAERFGAAMMGDEFYKFGRTRGGRNDFLAMGMAEKANSASRQIYLTFPADSSFKDEDVSNYFSSFGPVQDVRIPYQQKRMFGFVTFVYPETVKEILAKGNPHYICESRVLVKPYKEKGKVAKTQQLLERGGFSPASSPSGFDPRELCDLHLGARMLYNTPEMMLRRKLEEQAELQQAIELQGRRLINLQLPDLRGDHAHHHQHSLSVGAPISLPTHHTPINQTDILTSDGKNEITLEDNGNCSGASKSTLVPVAAENLQDEVNAACIQNNDTVNCKVESFTESHGSNFERDSLTKSSENQHPDMSPSRAEVEESNELSVSSCSENDASVPTTSTSNEASN >Potri.008G156600.5.v4.1 pep chromosome:Pop_tri_v4:8:10717986:10723795:-1 gene:Potri.008G156600.v4.1 transcript:Potri.008G156600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156600.v4.1 MGNPYYECPLPVTIPPAKPFLESIKLGIKETLFPDDPFRQFKNQPASRKFILGMQYFVPILEWAPRYTFEFFKADLIAGITIASLAVPQGISYASLASLPPIIGLYSSFVPPLVYAMLGSSKDLAVGTVAVASLLISSMLGKEVNPNENARLYVQLALTATFFAGVFQAALGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVRFTHGTDLVSVLRSVFSQTHQWRWESGVLGCCFLFFLVLTRYVSKRKPCFFWINAMAPMMSVIVGSVLVYLTNAEKYGVQVIGHLEKGLNPLSVSELAFGSPYMVAAIKTGIITGVIALAEGVAVGRSFAMFKNYHIDGNKEMIAFGMMNIAGSCVSCYLTTGPFSRTAVNFNAGCKTAGSNIVMAAAVMVTLLFLTPLFHYTPIVVLSSIIIAAMLGLIDYEAAIGLWKVDKCDFIVCVSAYIGVVFGSVEIGLVIAVTISLLRMLLSVARPRTFLLGNIPNSMIFRSIDQYPIANNIPGVLILQIDAPVYFANANYLRERISRWIYEEDEKLKSTGGSSLQYVILDLSAVGSTDTSGISMFKEVKKNIDRRGLKLVLANPRSEVIKKLVKSKFIESIGQEWIYLTVGEAVAACNFMLHASKSNNQVADEFDAHNNA >Potri.008G156600.7.v4.1 pep chromosome:Pop_tri_v4:8:10718357:10723795:-1 gene:Potri.008G156600.v4.1 transcript:Potri.008G156600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156600.v4.1 MVAAIKTGIITGVIALAEGVAVGRSFAMFKNYHIDGNKEMIAFGMMNIAGSCVSCYLTTGPFSRTAVNFNAGCKTAGSNIVMAAAVMVTLLFLTPLFHYTPIVVLSSIIIAAMLGLIDYEAAIGLWKVDKCDFIVCVSAYIGVVFGSVEIGLVIAVTISLLRMLLSVARPRTFLLGNIPNSMIFRSIDQYPIANNIPGVLILQIDAPVYFANANYLRERISRWIYEEDEKLKSTGGSSLQYVILDLSAVGSTDTSGISMFKEVKKNIDRRGLKLVLANPRSEVIKKLVKSKFIESIGQEWIYLTVGEAVAACNFMLHASKSNNQVADEFDAHNNA >Potri.008G156600.6.v4.1 pep chromosome:Pop_tri_v4:8:10718380:10723795:-1 gene:Potri.008G156600.v4.1 transcript:Potri.008G156600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156600.v4.1 MGNPYYECPLPVTIPPAKPFLESIKLGIKETLFPDDPFRQFKNQPASRKFILGMQYFVPILEWAPRYTFEFFKADLIAGITIASLAVPQGISYASLASLPPIIGLYSSFVPPLVYAMLGSSKDLAVGTVAVASLLISSMLGKEVNPNENARLYVQLALTATFFAGVFQAALGLLRLGFIVDFLSHATIVGFMGGAATVVCLQQLKGILGLVRFTHGTDLVSVLRSVFSQTHQWRWESGVLGCCFLFFLVLTRYVSKRKPCFFWINAMAPMMSVIVGSVLVYLTNAEKYGVQVIGHLEKGLNPLSVSELAFGSPYMVAAIKTGIITGVIALAEGVAVGRSFAMFKNYHIDGNKEMIAFGMMNIAGSCVSCYLTTGPFSRTAVNFNAGCKTAGSNIVMAAAVMVTLLFLTPLFHYTPIVVLSSIIIAAMLGLIDYEAAIGLWKVDKCDFIVCVSAYIGVVFGSVEIGLVIAVTISLLRMLLSVARPRTFLLGNIPNSMIFRSIDQYPIANNIPGVLILQIDAPVYFANANYLRERWIYEEDEKLKSTGGSSLQYVILDLSAVGSTDTSGISMFKEVKKNIDRRGLKLVLANPRSEVIKKLVKSKFIESIGQEWIYLTVGEAVAACNFMLHASKSNNQVADEFDAHNNA >Potri.014G105800.1.v4.1 pep chromosome:Pop_tri_v4:14:7097636:7099595:1 gene:Potri.014G105800.v4.1 transcript:Potri.014G105800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G105800.v4.1 MASSLLGGPSPPPLLSSPLKTKTRPPSAAAASISSFCPPIQLQHPKLCCSLSLSNTLCLRSRKFLAVLAVVDKETVITEEINHVREEIDDFELKKKQAKPCELYVCNLPRSSDIADLVEMFKPFGSVLSVEVSRNPETGVSRGCGYITMGSVESARNAVSALDGSDVGGREMRVRYSVEISSGRRNPEALNSAPTKHLFYESPHKLYVGNLPWSTKPDELRNLFNHFGIVVSARVLSDRKGGKNRTYAFLSFLSDAERDAALSMNGTEFYDRMLVVKRGVEKRPSSY >Potri.004G003800.1.v4.1 pep chromosome:Pop_tri_v4:4:234132:240559:1 gene:Potri.004G003800.v4.1 transcript:Potri.004G003800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PFP-BETA MAPAFVINGDSARASGRFASVYSEVQSSRIDHALPLPSVLKNPFKIVDGTRSSAAGNPDEIAKLFPNLFGQPSAKLVPNDVDTLSSDQKLKIGVVLSGGQAPGGHNVISGIFDYLQDRAKGSVLYGFKGGPAGIMKCKYVELNADYIYPYRNQGGFDMICSGRDKIETPEQFKQAEETAKKLDLDGLLVIGGDDSNTNACLLAEDFWVKGLKTRVMGCPKTIDGDLKSKEVPTSFGFDTACKIYSEMIGNVMIDARSTGKYYHFVRLMGRAASHITLECALQTHPNITIIGEEVAAKQLTLKNVTDYIVDIICKRSDLGYNYGVILVPEGLIDFIPEVQYLIAELNEILAHDVVDEDGLWKKKLTNQSLQLFEFLPPAIQEQLMLERDPHGNVQVAKIETEKMLIQMVETELEKRKQIGQSNCIFKGQSHFFGYEGRCGLPTNFDATYCYALGYGAGALLHSGKTGLISSVGNLGAPVAEWTVGGTALTSLMDVERRHGKFKPVIKKAMVELEGGPFKKFESLKNEWAINNRYISPGPIQFIGPGSDAISHTLLLELGAHA >Potri.014G168600.5.v4.1 pep chromosome:Pop_tri_v4:14:12320771:12327741:1 gene:Potri.014G168600.v4.1 transcript:Potri.014G168600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168600.v4.1 MAAILASQGCYCHHIDLMNEGRILSDNLSFSSSVSNPFVKFDRKIRNVIFSDKLRMEVEMRQTESPASKNLGSSGPPDPKKLGSNGRVIKMVPTSEVMKKRTPNGNRVDIQNRTKQVINGATLAKRDSSAALVKSTRSRETDKLPPLEDFRVLPTDEGFSWADENYNDFRRTIDIWSFVLALRVRVTYDNAKWAYVRGFTEDKQKSRRRRTASWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPKKARSFIERELGAPIDVLFKAFEDQPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQRSETFGGASRDWIGIYEECKRILYEEIDYINEGKNADRFRRDFRNIKWVRVPLVFWDYTATKVLTLEYVPGVKINHLGMLDSRGYDRSRISSRAIEAYLIQILKTGFFHADPHPGNLAVDVDESLIYYDFGMMGEIKTFTRERLLELFYAVYEKDAKKVIQSLIDLEALQPTGDLSSVRRSVQFFLNNLLSQTPDQQQTLAAIGEDLFAIAQDQPFLFPSTFTFVIRAFSTLEGIGYILDPDFSFVKIAAPYAQELLDGRQRPRNGTRLVEEIRKQANDARSSTISMPYRIQRIEDFVKQLEAGDLKLRVRVLESERAAQKATILQMATMYTVLGGTLLNLGVTFSNQGSQVFANGSFIGAGVFLTLLLRSMQRVKKLDKFEKMV >Potri.014G168600.1.v4.1 pep chromosome:Pop_tri_v4:14:12320769:12328010:1 gene:Potri.014G168600.v4.1 transcript:Potri.014G168600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168600.v4.1 MAAILASQGCYCHHIDLMNEGRILSDNLSFSSSVSNPFVKFDRKIRNVIFSDKLRMEVEMRQTESPASKNLGSSGPPDPKKLGSNGRVIKMVPTSEVMKKRTPNGNRVDIQNRTKQVINGATLAKRDSSAALVKSTRSRETDKLPPLEDFRVLPTDEGFSWADENYNDFRRTIDIWSFVLALRVRVTYDNAKWAYVRGFTEDKQKSRRRRTASWLRECVLQLGPTFIKLGQLSSTRSDLFPREFVDELAKLQDRVPAFSPKKARSFIERELGAPIDVLFKAFEDQPIAAASLGQVHRAILHNGEKVVVKVQRPGLKKLFDIDLRNLKLIAEYFQRSETFGGASRDWIGIYEECKRILYEEIDYINEGKNADRFRRDFRNIKWVRVPLVFWDYTATKVLTLEYVPGVKINHLGMLDSRGYDRSRISSRAIEAYLIQILKTGFFHADPHPGNLAVDVDESLIYYDFGMMGEIKTFTRERLLELFYAVYEKDAKKVIQSLIDLEALQPTGDLSSVRRSVQFFLNNLLSQTPDQQQTLAAIGEDLFAIAQDQPFLFPSTFTFVIRAFSTLEGIGYILDPDFSFVKIAAPYAQELLDGRQRPRNGTRLVEEIRKQANDARSSTISMPYRIQRIEDFVKQLEAGDLKLRVRVLESERAAQKATILQMATMYTVLGGTLLNLGVTFSNQGSQVFANGSFIGAGVFLTLLLRSMQRVKKLDKFEKMV >Potri.011G141400.1.v4.1 pep chromosome:Pop_tri_v4:11:17166040:17175021:1 gene:Potri.011G141400.v4.1 transcript:Potri.011G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141400.v4.1 MQLKSGSGLGSSSSPTGARLRKKHKRLDAICETVYNQNHSESLNEEKSGSGQAADLELRRSSRVRRAPELLDVSPPPAKKRKKMKKKVNLGVSKSYRSGNSSYKIGNSSLRSGNSSSKRVMEEEEEDSEGEEDLDDTPGSWRSRLRTRGRNAGKGGSSGESRRRKLFDDMEAGESELGEGEGGFDGGKFVMGSKRVGRVKALSGLESEEKEGGNGHGSGNVSENDEDEEGEEDDEMEVVRSEDSDESVLDLGGEIDGGNEEEIGDDDGVKVKGEEEKERLDGLELERKGDGNENVENVEDDEKMEELVMMDAENERDVDEVNGALVNELEDGQCGADEIKKDDVENVDLTKGVEDRGCCDKNEKDVVEEYVDLTKQVENKGGLDELEGEKDVKVDKMKRDSTSSLGRSKIKQGRCCGLCGCGNDGKPPKRLVQDGGESENEAYSGSSASEDVKYDVWDGFGDEPGWLGRLLGPINDRYGIAGIWVHQNCAVWSPEVYFAGLGCLKNVRAALCRGKALKCSRCGRPGATIGCRVDRCPKTYHLPCARATGCIFDHRKFLIACTYHRHLFQPYGNQHAIRIKKLKAKKMKLQLRKVSNDAWRKDVEAEEKWLENCGEDEEFLKRESKRLHRDLLRIAPVYIGGTDGGKLFEGWESVAGLQNVIQCMKEVVILPLLYPEFFSNLGITPPRGVLLHGYPGTGKTLVVRALIGSCARGDKRIAYFARKGADCLGKYVGDAERQLRLLFQVAERCQPSIIFFDEIDGLAPCRSRQQDQTHSSVVSTLLALMDGLKSRGSVIVIGATNRPEAVDPALRRPGRFDREIYFPLPSVGDRAAILSLHTRSWPKPVTGSLLKWIARGTVGFAGADLQALCTQAAIIALKRNFPLHEMLAAAGNRSPGAKRIPLPAFVVEERDWLEALACSPPPCSRREAGIAAYDLVSSPLPTHLIPCLLQPLSTLFVSLYLHEHLWLPPTLLKAAKMFESLIVSSLEKNNLPTDRWWSHIDSFLREADVAKEIWRKLSCAGILTREVIGADTDAFAEETDAESVQVEPSAVHNRGMHTSLFREVSFASSKKSGFRVLIAGSPRSGQKHLSSCFLHCFVGNVEIQKVDLATVSQEGHGDMVQGITRILMKCASFQSCMIFLPRIDLWAVETCHKVNDDGDASSINHQVYEEKESSLTNSQVVEEENESPIHQCIPAEMTEPQNAAQSISPAWSSFVEQVESISVSTSLMILATSELPSSELPQRVRHFFENNSSNSRHSTPLEHTVPRFPVHIDGNFNHDTVISLSAEALLRDIIQPFVQLIHLKAHIPTNIPKHHKTCDSILACSNAEYDNQNLCSVVKNEAGTQCPHGPLNVPPPPNNRSLKGKSSMLLAISTFGYQVLRYPHFAELCWVTSKLKEGPCADVSGPWKGWPFNSCIIRPCNSLDKVAAACSSGNIKSKERSGLVRGLLAVGLSAYKGEYNSLREVSFEVRKVLELLVGQVNEKIQAGKDRYQYVRLLSQVAYLEDVVNSWAYALQSLEPDTQVKVANAKLKTMEFPGNDTCADNSVERQHKGDTPDRNFHETERLEESPKGFSDKNQEGGESNKVENGFCDLNPEDRAILSEDGSEQHTILCEGAKTDNHQNSPADNQLVGNITNEQNGTSHRQSEPEITKNLAVTDGNSETLKHSNGYTLTEPAPFSENGLCNSGELGALKLSDPGSSCNQSNGLAAEGMVTFDDTEPNHSEHAEDIDVSPVETSCPPNSGFVCLYRCCSVCLNAVHDMIQKFLACKLALNKSNLTVEDVHDAVASLSVDLLSVIRKIDITEEISNSFKESSDRNPERYDGFSELHSCQCKSSEDSSIVPTECGCHSVFESVTVKASHSPGSQFGLDPKFIFRDGILVLVDTTEDVSFHCKYETLCLCSLVKSVAMMKQPFG >Potri.014G077700.2.v4.1 pep chromosome:Pop_tri_v4:14:5015722:5022153:-1 gene:Potri.014G077700.v4.1 transcript:Potri.014G077700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077700.v4.1 MASEEEAAAAAKLERFLQWLQVNKVELRGCNIKYCGQNKGFGVFSSNDVSDGVLLVVPLDLAITPMRVLQDPLIGPECRSMFEEGEVDDRFLMILFLMLERLRNNSSWKPYLDMLPKTFGNPLWFTDDELLELKGTTLYRATELQRKRLLSLYEDKVKGLVQKLLILDGDLESEVCFEDFLWANSVFWTRALNIPLPRSYVFPQVQEDQDSQSSLNIDSGVSHSDISAGDLTDRKDEERSKVPGVDGQFDETVWVEGLVPGIDFCNHDLKAVATWEVDGTGMTTGVPHSMYLLSAVKTPFQMEKEITISYGNKGNEELLYLYGFVIDNNPDEYLMVHYPVEAIQNVPFSDSKMQLLEAQKAEMRCLLPKRLLAHGFFPAGTTSNDDNGKGKADKICSFSWSGQRRMPSYANKLVFPEEFLTTLRTIAMQEDELLKASSFLEELVGSEGVRQPTDTEVRTAVWEACGDSGALQLLFDLLQTKVMNLEENFGTEDCDTELLEKAQDVKNIEQCESKDTDESGHYKFMSRNRWSSIVYRKGQKQLARLFLKEAEHVLHLSLSEGE >Potri.010G244400.1.v4.1 pep chromosome:Pop_tri_v4:10:22023010:22024565:-1 gene:Potri.010G244400.v4.1 transcript:Potri.010G244400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244400.v4.1 MAEGKSTDSSLKLKSVINDDDSKDNEEDKGDLPDDLSLEKISLKVPKKKLLILCLGGLLCHRVCIRRGSGNVQTNRRPDASYGSFKVYKRPFCDDFVKFCFERFEVGIWSSAREWYMNDALDGVMRGFRSKLLFAWDQDRCTDSGFKTLENKKKPIFLKQFKQLSALSWCKGQDTSLNTLLIDNDPYKSLLNPSHTAIFPDEYTVDCATDSALGPEGDLRVFLEGLADAKDVPSYVKDHPFGNPAITPLHPDWDFYSKIVRRHSKEPIVN >Potri.007G021300.1.v4.1 pep chromosome:Pop_tri_v4:7:1634423:1636711:1 gene:Potri.007G021300.v4.1 transcript:Potri.007G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH4 MEVAQVLHMNGGRGETSYAQNSLVQRKVISITMRIAEEAITNIYCNTFPTSLAIADLGCSSGPNTLYAVSELVKVVDEVRRKLGHQSPEYQVLLNDLPGNDFNAIFKSLAGFQENLKKQMGDGFGPCFFAGVPGSFYCRLFRAKSLHFVHSSYSLMWLSRVPEGLEGNKGNIYMASTSPPSVLKAYYMQFQTDFTLFLKCRSEELVAGGRMVLTILGRRSEDPSSKECCYIWELLAVALNEMVLEGIIEEEKFDSFNIPQYTPSPFEVESQVKKEGSFTIDRLEVSQVNWNAYDNEVYQSAAFEDGGYNVAKCMRAVAEPLLVSHFGEAIIDEVFSRYGEIVASRMSKEKTEFVNVTVSVTRKG >Potri.006G204000.1.v4.1 pep chromosome:Pop_tri_v4:6:21190001:21191403:1 gene:Potri.006G204000.v4.1 transcript:Potri.006G204000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G204000.v4.1 MASESSHNQQHNKGEYQSSESTHHDVPPSASHDLNPGAGYPPAMGYPPSMDYPPPPPGQYPGYPPPGYYPYAQAPPAAAYYNATVHQQQGYERSSGFSRCFLTTIIFLTLLIFTSSIIMWLVLRPQLPVFHVDNFSVSNLNATLPTFTANWEANLTVRNPNTRLKIEFSELQNFVFYEEDYLLASAITSRPFSLETKTSGVINAKLSENNKDNLVENWVVDKLAKERSNGSVSFNFRMLVWTTFRSGLWWKRNLSIKVMCEDIQVTFVGASGNGNIAANGLRDCLVFV >Potri.010G191100.1.v4.1 pep chromosome:Pop_tri_v4:10:18667228:18670974:-1 gene:Potri.010G191100.v4.1 transcript:Potri.010G191100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191100.v4.1 MTSSTTTTTKYRDRTAEFHSITQTLKKIGGIAPVHQNKSYQANNSSPSKPLLSYTTRSEFNKKASLIGSGVHETSQKISRLAQLAKRSSMFNDPTVEIQELTVLIKNDITALNAALTDLQTIQNMEIADGNYSEDRFVHSTTVCDDLKSKLMGATKRLQDVLTTRTENIKAQENRKQIFSTNVSRENPFLRQAKPMTEPPPWSNPSNTFANSQPSGLPPNDVQVGNQLRRRPAVDNTPSQHMEMSMLQQVNPRQENYTESRAVALHNVESTISELGGIFTHLATMVVEQGQLAIRIDDNMDESVNNVENARGSLLRHLNQISSNRWLMMKIFAVIIFFLIVFILFVA >Potri.001G256000.2.v4.1 pep chromosome:Pop_tri_v4:1:27204546:27208574:-1 gene:Potri.001G256000.v4.1 transcript:Potri.001G256000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G256000.v4.1 MDSLQATYEDEDEEEDEEQQQTNNSATHHNPSPPPTISPIDLEERQNGTDPPQNDAETTPIDNTTSNQQPQREDPFTPASEYLSESDHPTTSNDNEKPTTKSPKIEDTEIEDIEDDGDEEDPPPKKQKQLSSLTQNQEPPEPTTIAEILNNDNNNGANEFKKPTKITPTTTKKKSKKKNNNNVWVTRSTRKGKKKTKQNPQNTPSEDTVLISPIPRFPDKSDDTPALQICLSKVYKAEKAELSEDRMSAGSTKGYRMVRATRGVCEGAWYFEIKVVSLGETGHTRLGWSTEKGDLQAPVGYDGNSFGYRDIDGSKVHKALREKYGEEGYKEGDVVGFYINLPEGQLYTPKPAHLVWYKGQRYVCAPDAKEDPPKIVPGSEISFFKNGVCQGVAFKDLFGGRYYPAASMYTLPSQPNCVVKFNFGPDFEFFPEDFGGRPVPKPMIDVPYHGFDNRSENGVTEEKKH >Potri.003G089400.1.v4.1 pep chromosome:Pop_tri_v4:3:11587494:11591485:1 gene:Potri.003G089400.v4.1 transcript:Potri.003G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G089400.v4.1 MGEINEEDEAKSNHSNIDDNGNMAEITVKTIGPSPPSRLLLPSPIKVRDLRKLVAENRHLPIENLRLILRGNVLHDSRDEDDIRLNNGDSLIVAVKPKPPAKHLRDGFDDDDDDLKFQLPQSASRWKRRLYFFLHDKLKLPDILLMGLFSLSLKAWAVFILWFILAPVAHRWDLGPIYIIGTGFVIILLNLGRRQAGDLSAYSIFNEDFRELPGTLNADRLDRDIRAGQF >Potri.008G222700.1.v4.1 pep chromosome:Pop_tri_v4:8:18650977:18663043:-1 gene:Potri.008G222700.v4.1 transcript:Potri.008G222700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G222700.v4.1 MEIQKQSKQQQEFALASMTELASSSSSSSFCSDSQSVPVTARFSADNGVVELRFNRESESADSISVDVKTARLFKLGGLQSVCITKASGADTGKEKYSTGVTVQFRNEEESRAFHCAFEQWKKEVTVQGTLLTDGAVTACKSKFDDKIEPSSAKLYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFFGRVVVDVGAGSGILSFFAAQAGAKHVYAVEASEMADYARKLIAGNPSLGEKITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPTVGRIHMAPFSDEYLFVEIANKALFWQQQGYYGVDLTPLHASAFGGYFSQPVVDAFDPRLLVAPAISHVLDFTEIKEEDLYEIDIPLKFIASVGTRVHGLACWFDVLFNGRKYCTKVAYNCPWCAYHPLVPITLCSVSATLCGGRTRNYWPAPDGCPQCSELHCIFNFVS >Potri.008G222700.5.v4.1 pep chromosome:Pop_tri_v4:8:18656706:18663048:-1 gene:Potri.008G222700.v4.1 transcript:Potri.008G222700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G222700.v4.1 MEIQKQSKQQQEFALASMTELASSSSSSSFCSDSQSVPVTARFSADNGVVELRFNRESESADSISVDVKTARLFKLGGLQSVCITKASGADTGKEKYSTGVTVQFRNEEESRAFHCAFEQWKKEVTVQGTLLTDGAVTACKSKFDDKIEPSSAKLYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFFGRVVVDVGAGSGILSFFAAQAGAKHVYAVEASEMADYARKLIAGNPSLGEKITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPTVGRIHMAPFSDEYLFVEIANKALFWQQQGYYGVDLTPLHASAFGGYFSQPVVDAFDPRLLVAPAISHVLDFTEIKEEDLYEIDIPLKFIASVGTRVHGLACWFDVLFNGRKVFVLIFFTLG >Potri.008G222700.4.v4.1 pep chromosome:Pop_tri_v4:8:18656706:18663089:-1 gene:Potri.008G222700.v4.1 transcript:Potri.008G222700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G222700.v4.1 MEIQKQSKQQQEFALASMTELASSSSSSSFCSDSQSVPVTARFSADNGVVELRFNRESESADSISVDVKTARLFKLGGLQSVCITKASGADTGKEKYSTGVTVQFRNEEESRAFHCAFEQWKKEVTVQGTLLTDGAVTACKSKFDDKIEPSSAKLYFHYYGQLLHQQNMLQDYVRTGTYYAAVIENRADFFGRVVVDVGAGSGILSFFAAQAGAKHVYAVEASEMADYARKLIAGNPSLGEKITVIKGKVEEVELPEKADILISEPMGTLLVNERMLESYVIARDRFLVPNGKMFPTVGRIHMAPFSDEYLFVEIANKALFWQQQGYYGVDLTPLHASAFGGYFSQPVVDAFDPRLLVAPAISHVLDFTEIKEEDLYEIDIPLKFIASVGTRVHGLACWFDVLFNGSTVQRWLTTAPGAPTTHWYQLRCVLSQPLYVVAGQEITGQLRMVAHNAQSYTVYLTLSAKMWGPGAEQGGILQTSSCKLDLKEPYYRMSQPQTYAMAQDQQPHQPIHAQDIHIQADDLKEPELIQPPPQNLDAQVQ >Potri.018G090600.1.v4.1 pep chromosome:Pop_tri_v4:18:11100595:11108177:-1 gene:Potri.018G090600.v4.1 transcript:Potri.018G090600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090600.v4.1 MEETDASAPAEKTTSKNALKKDLKNKKKEEERRLKEEDKARQAATKASTQVQKSAAAADDEDMDPTQYYENRLKYLDAQKAEGNNMYPHKFFVTLTIPEYIDKYGGLSNGEHLEDVSVSLAGRIMSKRSSSSKLFFYDLHGLGTKVQVMADASKSGLDEAEFSKLHSSVKRGDIVGVTGFPGKTKRGELSMFPTSFTVLSHCLHMMPRQKAGPGSDACVKKSEVWAPGTVRNPEAYILKDQETRYRQRYLDLMLNLEVQQIFKTRSKIIKYVKSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMKLYMRIAPELFLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTEKMLSGMVKELTGGYKIKYHANGLDKDPIEIDFTPPFRRIDMIEELEKMANLNIPKDLSSDEATKYLVAACERFEVKCPPPQTTTRLLDKLVGHFLEETCVNPAFIINQPEIMSPLAKWHRSKPGLTERFELFVNKHELCDAYTELNDPVVQRERFESQLKDRQSGDDEAMAFDETFCTALEYGLPPTGGWGMGIDRLVMLLTDSQNIKEVLLFPAMKPQD >Potri.018G090600.4.v4.1 pep chromosome:Pop_tri_v4:18:11101075:11108153:-1 gene:Potri.018G090600.v4.1 transcript:Potri.018G090600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090600.v4.1 MFPTSFTVLSHCLHMMPRQKAGPGSDACVKKSEVWAPGTVRNPEAYILKDQETRYRQRYLDLMLNLEVQQIFKTRSKIIKYVKSFLDNLDFLEVETPMMNMIAGGAAARPFVTHHNDLNMKLYMRIAPELFLKELVVGGLDRVYEIGKQFRNEGIDLTHNPEFTTCEFYMAYADYNDLMELTEKMLSGMVKELTGGYKIKYHANGLDKDPIEIDFTPPFRRIDMIEELEKMANLNIPKDLSSDEATKYLVAACERFEVKCPPPQTTTRLLDKLVGHFLEETCVNPAFIINQPEIMSPLAKWHRSKPGLTERFELFVNKHELCDAYTELNDPVVQRERFESQLKDRQSGDDEAMAFDETFCTALEYGLPPTGGWGMGIDRLVMLLTDSQNIKEVLLFPAMKPQD >Potri.010G206832.1.v4.1 pep chromosome:Pop_tri_v4:10:19720969:19724063:1 gene:Potri.010G206832.v4.1 transcript:Potri.010G206832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206832.v4.1 MAASQASLLLQKQLRDLCKNPVDGFSAGLIDETNVFEWSVTIIGPPDTLYEGGFFNAIMSFPQNYPVSPPTVRFTSEVWHPNVYPDGKVCISILHPPGDDPNGYELATERWSPVHTVESIVLSIISMLSSPNDESPANVDAAKQWRENRDEFKKKVSRCVRKSQEMM >Potri.006G124800.2.v4.1 pep chromosome:Pop_tri_v4:6:9945616:9947511:1 gene:Potri.006G124800.v4.1 transcript:Potri.006G124800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G124800.v4.1 MGAEKEGAKVEAEKKPAADAGEKKDEAKVISVYKLDMHCEGCAKKIRHAVKHLEGVEGLKTDCAGNKLTVTGKVDPAKIKARLEEKTKRKVEIISPQPKKDDGAAAGGGDKKADEKPEKKPEGKKEEAKKPPPESTVVLKIRLHCEGCISKIKKIISKIKGVGSVTVDAAKDLVTVKGTMDVKDLAPYLKEKLRRAVEVVPPKKEEEKKDKAGGGDGGDKKENKAAPADGGGEKKEKGGEAKGEEKKKEGDGGKKEEAAGAKVEVSKMEYSGYPGPAPTFWFDGVYGQNHVVESYNNHYDNQYNYNQQGYYAMNQPGMGGNQPGMGGNHGFLLDHHHPHAPQIFSDENPNACSIM >Potri.004G191400.5.v4.1 pep chromosome:Pop_tri_v4:4:20342397:20345604:1 gene:Potri.004G191400.v4.1 transcript:Potri.004G191400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191400.v4.1 MILKLCVPGLMILFLLCSVATAMDQSAADSKPGCRDKCGNVSVPYPFGIDESSCAENQYLFLNCSQSDGHGPEKLWFANIPVLSISVLEGLMVVSIFTAYDCYNQSGAKMKETNLSIHLGQGPYMFSDTRNMFTAIGCDTMGFFSNADVTYAAACISSCDEMVSLAHNSSCSGSGCCQTSIPQGLKSLSILSSSIYNHINVSDFNPCGYAFLADKRTFKASDWQLSGLPDGNASDAVIEWVVETKSCEQAGMNTSSYACRNNTNCNYSKNGQGYRCSCKDGFTGNPYLSPGCQDIDECADRQRYPCKGKCKNTPGNYTCSCPMGMHGDGKTGCQGFGIATIASVTGGTISLVIIGVLLYIILRKLRKVKNFRENGGMVLKHQRVRIFSEAELAKATENYNDHKKLGEGGFGCVYKGVLPDNTQLAVKKFKGVDKAQMNEEFQHEIGMVLQVNHKNVVKLLGLCLQTKVPLLVYEFISNGTLFHHIHDKKSQVLRTWTDRLRVAAETALALEYLHSLANPPMIHGDVKTVNILLDEDGTAKIADFGASVLISPGQTDIATKIQGTFGYLDPEYLMTGNLTVKSDVFSFGVVLVELMTGQKPNSNAKSGEKRNVVQDFISSLENNHLFKILDFEADEEELEEIEVVAELAKRCVNSSGVKRPSMKEVSDELSRLTSLHEDLWGQKNSEETEHLLGKSALSFNENASPSMNEPQMAQTVISLEIENYTNSI >Potri.004G191400.6.v4.1 pep chromosome:Pop_tri_v4:4:20342153:20345578:1 gene:Potri.004G191400.v4.1 transcript:Potri.004G191400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191400.v4.1 MDQSAADSKPGCRDKCGNVSVPYPFGIDESSCAENQYLFLNCSQSDGHGPEKLWFANIPVLSISVLEGLMVVSIFTAYDCYNQSGAKMKETNLSIHLGQGPYMFSDTRNMFTAIGCDTMGFFSNADVTYAAACISSCDEMVSLAHNSSCSGSGCCQTSIPQGLKSLSILSSSIYNHINVSDFNPCGYAFLADKRTFKASDWQLSGLPDGNASDAVIEWVVETKSCEQAGMNTSSYACRNNTNCNYSKNGQGYRCSCKDGFTGNPYLSPGCQDIDECADRQRYPCKGKCKNTPGNYTCSCPMGMHGDGKTGCQGFGIATIASVTGGTISLVIIGVLLYIILRKLRKVKNFRENGGMVLKHQRVRIFSEAELAKATENYNDHKKLGEGGFGCVYKGVLPDNTQLAVKKFKGVDKAQMNEEFQHEIGMVLQVNHKNVVKLLGLCLQTKVPLLVYEFISNGTLFHHIHDKKSQVLRTWTDRLRVAAETALALEYLHSLANPPMIHGDVKTVNILLDEDGTAKIADFGASVLISPGQTDIATKIQGTFGYLDPEYLMTGNLTVKSDVFSFGVVLVELMTGQKPNSNAKSGEKRNVVQDFISSLENNHLFKILDFEADEEELEEIEVVAELAKRCVNSSGVKRPSMKEVSDELSRLTSLHEDLWGQKNSEETEHLLGKSALSFNENASPSMNEPQMAQTVISLEIENYTNSI >Potri.003G215300.1.v4.1 pep chromosome:Pop_tri_v4:3:21143239:21143676:-1 gene:Potri.003G215300.v4.1 transcript:Potri.003G215300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G215300.v4.1 MEVQEASLADFLIKLAMFVLVQVLVYLILSKSSNVFSENKTRSFSFKPARSLSIRSFLDALSDIPLGSGDPSPL >Potri.008G022280.1.v4.1 pep chromosome:Pop_tri_v4:8:1120765:1121789:1 gene:Potri.008G022280.v4.1 transcript:Potri.008G022280.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022280.v4.1 MFLGVPGVLSVQPDKNVESENKDYGGDHIINSADSSEASQTTPVKTKKLFITGLSFYTSEKTLRAAFEGFGELVEVKIIMDKISKRSKGYAFVEYTTEEAASAALKEMNGKIINGWMIVVDVAKSNPPGYSRGQPRPTA >Potri.001G276300.1.v4.1 pep chromosome:Pop_tri_v4:1:28984870:28985929:1 gene:Potri.001G276300.v4.1 transcript:Potri.001G276300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G276300.v4.1 MFSTPSSLLPLNPIARPCNNTNPFSQSSPRVTNNGSFLDFGKKTRLRILGLFSPFLSSDNIRSGSVLVPQFSRNGGSGGGDCSNSSVDFPGEYPLDDYLLFLDGPSSKNKGFMDHKVQLGGYPVANGDSHLHRRRFSESDVCFGAEDGYKPCLNFARGFCKNGEGCKFVHGGENIVEVNGGGVLVGSPREMEEHYLQQQEEMTIMKAAQQHQQQRLAYNK >Potri.010G129400.1.v4.1 pep chromosome:Pop_tri_v4:10:14598795:14600584:1 gene:Potri.010G129400.v4.1 transcript:Potri.010G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129400.v4.1 MSLLSIFLFLNAVVFSAHGLSSAELPAFREAPAFRNGRECPKTTWLSSLNNYHDPSIIHIAMTLDATYLRGSVAGVLSVLQHAACPENVVFHFIATHRRADLRRTITSTFPYQTFHLYHFNTDLVKGKISSSIRRALDQPLNYARIYLADLLPMSVRRIIYFDSDLILVDDVAKLWNINLGAHVLGAPEYCHANFTNYFNSRFWSNSACAASLRGRRACYFNTGVMVIDLGKWREGKYTERLEYWMKVQKKYRIYELGSLPPFLLVFAGDVEGVGHRWNQHGLGGDNLEGLCRDLHPGPVSLLHWSGKGKPWLRLDSKRPCPLDYLWAPYDLYRHSSLFCDS >Potri.003G204300.18.v4.1 pep chromosome:Pop_tri_v4:3:20319274:20323293:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.10.v4.1 pep chromosome:Pop_tri_v4:3:20319198:20323950:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.14.v4.1 pep chromosome:Pop_tri_v4:3:20319197:20323940:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.12.v4.1 pep chromosome:Pop_tri_v4:3:20319197:20323950:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.17.v4.1 pep chromosome:Pop_tri_v4:3:20319272:20323751:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.13.v4.1 pep chromosome:Pop_tri_v4:3:20319274:20323940:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.15.v4.1 pep chromosome:Pop_tri_v4:3:20319191:20323756:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.2.v4.1 pep chromosome:Pop_tri_v4:3:20319198:20323941:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.11.v4.1 pep chromosome:Pop_tri_v4:3:20319197:20323950:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.16.v4.1 pep chromosome:Pop_tri_v4:3:20319226:20323755:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.003G204300.3.v4.1 pep chromosome:Pop_tri_v4:3:20319197:20323751:-1 gene:Potri.003G204300.v4.1 transcript:Potri.003G204300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204300.v4.1 MDAYEATRIVFSRIQNLDPENASKIMGLLLIQDHGEKEMIRLAFGPEALVHSVILKARKELGLSSPTNLSTSPSSPSHLYSSNPIAISRQNSSSTSRLGFNIPPSLAIPNPSSNNSSSWSDLPNPDDLMISPNDSSLNPASVPFYANGVRGGESDLMDEFQLQDQLSFLNDNSQNLGPKSSDLFYPQLDALSSPTGASDSMMFPSYWGGSVHRRSCSVSDVLGSEDPNSGFGWRPCLYFARGYCKNGSNCRFVHGGLGELDGAGVVSSPNGNNKIDMMDQCHELLRSKSAHQQRLAAASQLMSGSAASFPYSPKSMNFLLQQQQNDSQRAAATALMMGEDMHKFGRSRLDRNDLVNPASRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVYPETVKIILAKGNPHFVCDARVLVKPYKEKGKVPDKYRKQQQQQVERGEFSPCGTPTGLDSRDPFDLQLGARMFYNTQDMLWRRKLEEQADLQQALELQSRRLMSLQLLDVKKHHHRALSNGSPVPSPTHSPNIFNHSLAFPPLHSSTEVPQENCSSSMPATSVTAPPEKQISNATSGKEYTSSEENGSGKESSHGEDSDLQESLEHNLPDSPFASPTKGTGDYYSAFINGLTEAREKDASIPTSTSANNNLVPSSLISPNSSLEMASFKSFNCQIPRFSSGHGAIGMYASTDGPTCPVGI >Potri.012G081700.3.v4.1 pep chromosome:Pop_tri_v4:12:10679736:10683101:-1 gene:Potri.012G081700.v4.1 transcript:Potri.012G081700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081700.v4.1 MLRQLASKRLFSQRTQILPRFYHEKVIDHFNNPRNVGSFDKTDPTVGTGLVGAPACGDVMKLQIKVDDTTGEIIDARFKTFGCGSAIASSSVATEWVKGKQMEEVMTIKNTEIAKHLSLPPVKLHCSMLAEDAIKAAVKDYQTKRAKSNVDSEAEPAVQAAKA >Potri.017G007300.1.v4.1 pep chromosome:Pop_tri_v4:17:543768:547583:-1 gene:Potri.017G007300.v4.1 transcript:Potri.017G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G007300.v4.1 MAESPPPPSPPPALPPNPNHMVPPIPHTDAPQSPASPISLLSKRPKLRVTSEFDSDTSLFFHKVSCKLLDSFAKLKLSFQNNNKGEPSQPQFALTSKLLSIHYDLEEQNALVKTSFDLGPKFHFKAAHDVKAQQGEVAMVADLGDPGYALEISSPVPTVGAPRATLKFPHGEVSLGEKEEEEARRTLSVSGIVKSQLTNGIFTAQFNDEDLKLRYCYKDETVSFIPSISLPSSALSFAFKRRFTPSNKLSYLYNFDSNNWSTVYKHTYGKDFKFKAGYDSDASLGWASLWVGDEASTAKTTPMKMKIQFMLQVPRDDIRNSALMFRVKKRWDI >Potri.002G232500.1.v4.1 pep chromosome:Pop_tri_v4:2:22477609:22478692:-1 gene:Potri.002G232500.v4.1 transcript:Potri.002G232500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G232500.v4.1 MLYYSYCYSFETNSHYKTLIFTSQLSLFYLFLELATMTSKHVIATRHTKSIKTRFASRFLRSLLRMKRSDRFGGSQSNEGVRKRSTHRIKIAAYASMARAVGPSRTWSRALLFKLRNRARIQGVLRKRCFVSKKNKKKRVIRNKVIISREPSRTDTLRKLVPGGGSMDICCLLEETAHYIKCLATQVKVMQSIADQSLL >Potri.003G141600.1.v4.1 pep chromosome:Pop_tri_v4:3:15734902:15738626:1 gene:Potri.003G141600.v4.1 transcript:Potri.003G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141600.v4.1 MEITAFNFTLSESFLIASSLTLLSLSLALLTLRSKSPKSKLTVHSSTPAASTSKTRTCRCCCSCIGEIGSDNSKDSVTAGKYVNGVGAAEDMVVDKQTGASMMEQLVPEITTHALSYLDYPSLCRLSMTNSLMRKAANDDNAWKALYHKDFTLEQGSVTPVIGWKAYYAVTRAIVNVNTEFFNIIRERSLQAMSQLWLNSDYVKCTHASGENFSGYNAVIQSWQFAFNWEQGLDLQVRDVRARVLTDMAWVTMKTYVVEMDNGPFNVTNVFEFHDGRWYMVHHHSSVMIIDAEVDQQIVHA >Potri.007G009600.3.v4.1 pep chromosome:Pop_tri_v4:7:740779:751711:-1 gene:Potri.007G009600.v4.1 transcript:Potri.007G009600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009600.v4.1 MKMESVINSTILSLACFPKSNPSIHHQKTVKARRTVCCSLSSSSSKNSNSEGDANGLLKEEEEEKYNDIWLLFKEAQQNILYLNKLRLVAVEELNKANREKQLLLDKIQQLEAVNKLGNEQSLWRELLLRIDSMVLTGLIDSAEASGMRKAVMGNKFSVALVFFDIRQKTDAELLAQLRHFSVGSRKNGFHIIQICTEMEPLVSVGSLSSYVTGLSKALQKKGHIVEVILPKYACLDLTEMQGLREIEAELYSYFNGQLHGNRIWTGIVHGIGVTLIQPLYYSSFFDRERVYGYSDDFERFTYFSRASLDYIAKSGKQPDVLHIHNWETAIVGPLFWDIFVKQGLGGTRVLLTCHGFDSQCLEQPDKLALCGLDPARLHRPDRLQDHTMTHLVNILKGGLVYSNKVVMVQSIYSKERIINSFSHGLEPTLAIHKDKLLVSPCGFDNSTWDPSKDKFLPKNYSADDLKGKSICKVALQQQLGLSKNSSTVLVGCISTESLDFDLNNQKAVWNATPKSVQFIFMGSKATSADGALEYLKKELKDETVRFINKYDEALLHLIFAGSDIILCQSFHDPLLQVPLKALKYGAAPVAVTSNENKFRHFVDREQETTRFSRFISSTFGYLSLSQAVDEIKNSPSKWKQKMVDAMAKDFSWNAECCDVHVSAYTALKSL >Potri.007G009600.9.v4.1 pep chromosome:Pop_tri_v4:7:740780:751711:-1 gene:Potri.007G009600.v4.1 transcript:Potri.007G009600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009600.v4.1 MKMESVINSTILSLACFPKSNPSIHHQKTVKARRTVCCSLSSSSSSKNSNSEGDANGLLKEEEEEKYNDIWLLFKEAQQNILYLNKLRLVAVEELNKANREKQLLLDKIQQLEAVNKLGNEQSLWRELLLRIDSMVLTGLIDSAEASGMRKAVMGNKFSVALVFFDIRQKTDAELLAQLRHFSVGSRKNGFHIIQICTEMEPLVSVGSLSSYVTGLSKALQKKGHIVEVILPKYACLDLTEMQGLREIEAELYSYFNGQLHGNRIWTGIVHGIGVTLIQPLYYSSFFDRERVYGYSDDFERFTYFSRASLDYIAKSGKQPDVLHIHNWETAIVGPLFWDIFVKQGLGGTRVLLTCHGFDSQCLEQPDKLALCGLDPARLHRPDRLQDHTMTHLVNILKGGLVYSNKVVMVQSIYSKERIINSFSHGLEPTLAIHKDKLLVSPCGFDNSTWDPSKDKFLPKNYSADDLKGKSICKVALQQQLGLSKNSSTVLVGCISTESLDFDLNNQKAVWNATPKSVQVCTCFWDLYFFEENTGLSAEYRRNSLLAGEMRVLKKVTRTVILNFPWQPHESFIFMGSKATSADGALEYLKKELKDETVRFINKYDEALLHLIFAGSDIILCQSFHDPLLQVPLKALKYGAAPVAVTSNENKFRHFVDREQETTRFSRFISSTFGYLSLSQAVDEIKNSPSKWKQKMVDAMAKDFSWNAECCDVHVSAYTALKSL >Potri.007G009600.1.v4.1 pep chromosome:Pop_tri_v4:7:740745:751726:-1 gene:Potri.007G009600.v4.1 transcript:Potri.007G009600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009600.v4.1 MKMESVINSTILSLACFPKSNPSIHHQKTVKARRTVCCSLSSSSSSKNSNSEGDANGLLKEEEEEKYNDIWLLFKEAQQNILYLNKLRLVAVEELNKANREKQLLLDKIQQLEAVNKLGNEQSLWRELLLRIDSMVLTGLIDSAEASGMRKAVMGNKFSVALVFFDIRQKTDAELLAQLRHFSVGSRKNGFHIIQICTEMEPLVSVGSLSSYVTGLSKALQKKGHIVEVILPKYACLDLTEMQGLREIEAELYSYFNGQLHGNRIWTGIVHGIGVTLIQPLYYSSFFDRERVYGYSDDFERFTYFSRASLDYIAKSGKQPDVLHIHNWETAIVGPLFWDIFVKQGLGGTRVLLTCHGFDSQCLEQPDKLALCGLDPARLHRPDRLQDHTMTHLVNILKGGLVYSNKVVMVQSIYSKERIINSFSHGLEPTLAIHKDKLLVSPCGFDNSTWDPSKDKFLPKNYSADDLKGKSICKVALQQQLGLSKNSSTVLVGCISTESLDFDLNNQKAVWNATPKSVQFIFMGSKATSADGALEYLKKELKDETVRFINKYDEALLHLIFAGSDIILCQSFHDPLLQVPLKALKYGAAPVAVTSNENKFRHFVDREQETTRFSRFISSTFGYLSLSQAVDEIKNSPSKWKQKMVDAMAKDFSWNAECCDVHVSAYTALKSL >Potri.007G009600.8.v4.1 pep chromosome:Pop_tri_v4:7:740780:751697:-1 gene:Potri.007G009600.v4.1 transcript:Potri.007G009600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009600.v4.1 MKMESVINSTILSLACFPKSNPSIHHQKTVKARRTVCCSLSSSSSSSSKNSNSEGDANGLLKEEEEEKYNDIWLLFKEAQQNILYLNKLRLVAVEELNKANREKQLLLDKIQQLEAVNKLGNEQSLWRELLLRIDSMVLTGLIDSAEASGMRKAVMGNKFSVALVFFDIRQKTDAELLAQLRHFSVGSRKNGFHIIQICTEMEPLVSVGSLSSYVTGLSKALQKKGHIVEVILPKYACLDLTEMQGLREIEAELYSYFNGQLHGNRIWTGIVHGIGVTLIQPLYYSSFFDRERVYGYSDDFERFTYFSRASLDYIAKSGKQPDVLHIHNWETAIVGPLFWDIFVKQGLGGTRVLLTCHGFDSQCLEQPDKLALCGLDPARLHRPDRLQDHTMTHLVNILKGGLVYSNKVVMVQSIYSKERIINSFSHGLEPTLAIHKDKLLVSPCGFDNSTWDPSKDKFLPKNYSADDLKGKSICKVALQQQLGLSKNSSTVLVGCISTESLDFDLNNQKAVWNATPKSVQVCTCFWDLYFFEENTGLSAEYRRNSLLAGEMRVLKKVTRTVILNFPWQPHESFIFMGSKATSADGALEYLKKELKDETVRFINKYDEALLHLIFAGSDIILCQSFHDPLLQVPLKALKYGAAPVAVTSNENKFRHFVDREQETTRFSRFISSTFGYLSLSQAVDEIKNSPSKWKQKMVDAMAKDFSWNAECCDVHVSAYTALKSL >Potri.007G009600.2.v4.1 pep chromosome:Pop_tri_v4:7:740779:751711:-1 gene:Potri.007G009600.v4.1 transcript:Potri.007G009600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009600.v4.1 MKMESVINSTILSLACFPKSNPSIHHQKTVKARRTVCCSLSSSSSSSKNSNSEGDANGLLKEEEEEKYNDIWLLFKEAQQNILYLNKLRLVAVEELNKANREKQLLLDKIQQLEAVNKLGNEQSLWRELLLRIDSMVLTGLIDSAEASGMRKAVMGNKFSVALVFFDIRQKTDAELLAQLRHFSVGSRKNGFHIIQICTEMEPLVSVGSLSSYVTGLSKALQKKGHIVEVILPKYACLDLTEMQGLREIEAELYSYFNGQLHGNRIWTGIVHGIGVTLIQPLYYSSFFDRERVYGYSDDFERFTYFSRASLDYIAKSGKQPDVLHIHNWETAIVGPLFWDIFVKQGLGGTRVLLTCHGFDSQCLEQPDKLALCGLDPARLHRPDRLQDHTMTHLVNILKGGLVYSNKVVMVQSIYSKERIINSFSHGLEPTLAIHKDKLLVSPCGFDNSTWDPSKDKFLPKNYSADDLKGKSICKVALQQQLGLSKNSSTVLVGCISTESLDFDLNNQKAVWNATPKSVQFIFMGSKATSADGALEYLKKELKDETVRFINKYDEALLHLIFAGSDIILCQSFHDPLLQVPLKALKYGAAPVAVTSNENKFRHFVDREQETTRFSRFISSTFGYLSLSQAVDEIKNSPSKWKQKMVDAMAKDFSWNAECCDVHVSAYTALKSL >Potri.007G009600.10.v4.1 pep chromosome:Pop_tri_v4:7:740776:751726:-1 gene:Potri.007G009600.v4.1 transcript:Potri.007G009600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009600.v4.1 MKMESVINSTILSLACFPKSNPSIHHQKTVKARRTVCCSLSSSSSSSSKNSNSEGDANGLLKEEEEEKYNDIWLLFKEAQQNILYLNKLRLVAVEELNKANREKQLLLDKIQQLEAVNKLGNEQSLWRELLLRIDSMVLTGLIDSAEASGMRKAVMGNKFSVALVFFDIRQKTDAELLAQLRHFSVGSRKNGFHIIQICTEMEPLVSVGSLSSYVTGLSKALQKKGHIVEVILPKYACLDLTEMQGLREIEAELYSYFNGQLHGNRIWTGIVHGIGVTLIQPLYYSSFFDRERVYGYSDDFERFTYFSRASLDYIAKSGKQPDVLHIHNWETAIVGPLFWDIFVKQGLGGTRVLLTCHGFDSQCLEQPDKLALCGLDPARLHRPDRLQDHTMTHLVNILKGGLVYSNKVVMVQSIYSKERIINSFSHGLEPTLAIHKDKLLVSPCGFDNSTWDPSKDKFLPKNYSADDLKGKSICKVALQQQLGLSKNSSTVLVGCISTESLDFDLNNQKAVWNATPKSVQFIFMGSKATSADGALEYLKKELKDETVRFINKYDEALLHLIFAGSDIILCQSFHDPLLQVPLKALKYGAAPVAVTSNENKFRHFVDREQETTRFSRFISSTFGYLSLSQAVDEIKNSPSKWKQKMVDAMAKDFSWNAECCDVHVSAYTALKSL >Potri.007G009600.14.v4.1 pep chromosome:Pop_tri_v4:7:740794:751705:-1 gene:Potri.007G009600.v4.1 transcript:Potri.007G009600.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009600.v4.1 MKMESVINSTILSLACFPKSNPSIHHQKTVKARRTVCCSLSSSSSSKNSNSEGDANGLLKEEEEEKYNDIWLLFKEAQQNILYLNKLRLVAVEELNKANREKQLLLDKIQQLEAVNKLGNEQSLWRELLLRIDSMVLTGLIDSAEASGMRKAVMGNKFSVALVFFDIRQKTDAELLAQLRHFSVGSRKNGFHIIQICTEMEPLVSVGSLSSYVTGLSKALQKKGHIVEVILPKYACLDLTEMQGLREIEAELYSYFNGQLHGNRIWTGIVHGIGVTLIQPLYYSSFFDRERVYGYSDDFERFTYFSRASLDYIAKSGKQPDVLHIHNWETAIVGPLFWDIFVKQGLGGTRVLLTCHGFDSQCLEQPDKLALCGLDPARLHRPDRLQDHTMTHLVNILKGGLVYSNKVVMVQSIYSKERIINSFSHGLEPTLAIHKDKLLVSPCGFDNSTWDPSKDKFLPKNYSADDLKGKSICKVALQQQLGLSKNSSTVLVGCISTESLDFDLNNQKAVWNATPKSVQVCTCFWDLYFFEENTGLSAEYRRNSLLAGEMRVLKKVTRTVILNFPWQPHESFIFMGSKATSADGALEYLKKELKLKALKYGAAPVAVTSNENKFRHFVDREQETTRFSRFISSTFGYLSLSQAVDEIKNSPSKWKQKMVDAMAKDFSWNAECCDVHVSAYTALKSL >Potri.007G009600.13.v4.1 pep chromosome:Pop_tri_v4:7:740796:751699:-1 gene:Potri.007G009600.v4.1 transcript:Potri.007G009600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009600.v4.1 MKMESVINSTILSLACFPKSNPSIHHQKTVKARRTVCCSLSSSSSSSSKNSNSEGDANGLLKEEEEEKYNDIWLLFKEAQQNILYLNKLRLVAVEELNKANREKQLLLDKIQQLEAVNKLGNEQSLWRELLLRIDSMVLTGLIDSAEASGMRKAVMGNKFSVALVFFDIRQKTDAELLAQLRHFSVGSRKNGFHIIQICTEMEPLVSVGSLSSYVTGLSKALQKKGHIVEVILPKYACLDLTEMQGLREIEAELYSYFNGQLHGNRIWTGIVHGIGVTLIQPLYYSSFFDRERVYGYSDDFERFTYFSRASLDYIAKSGKQPDVLHIHNWETAIVGPLFWDIFVKQGLGGTRVLLTCHGFDSQCLEQPDKLALCGLDPARLHRPDRLQDHTMTHLVNILKGGLVYSNKVVMVQSIYSKERIINSFSHGLEPTLAIHKDKLLVSPCGFDNSTWDPSKDKFLPKNYSADDLKGKSICKVALQQQLGLSKNSSTVLVGCISTESLDFDLNNQKAVWNATPKSVQVCTCFWDLYFFEENTGLSAEYRRNSLLAGEMRVLKKVTRTVILNFPWQPHESFIFMGSKATSADGALEYLKKELKLKALKYGAAPVAVTSNENKFRHFVDREQETTRFSRFISSTFGYLSLSQAVDEIKNSPSKWKQKMVDAMAKDFSWNAECCDVHVSAYTALKSL >Potri.018G042200.1.v4.1 pep chromosome:Pop_tri_v4:18:3368234:3372544:1 gene:Potri.018G042200.v4.1 transcript:Potri.018G042200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G042200.v4.1 MPQSPPMDRRLREAILKGEVPAFLTLIQEDEHIIDQTIPGSSSNILHIVSRFGHVELAKEIVRLRPELMFEENEKMETPLHEACREGKMEMVRLLVETDPWLVYKVNQDNGSALTVACERGKLDVVDYLLSFPGLLMLELDGFTTSLHAAASGGHTDIVKEILKARPDFAWKNDLQGCSPLHLCCKKGHLEVTRELLRFDAELSSLQDNDGRTPLHWAAIKGRVNVIDEILSTSLESAEVITKHGETVLHLGVKNNQYEAVKYLTEMLNITKLVDKPDNDGNTALHLATAGKLSTMVIYLLKLGVDVNAINQRGQTAFDVVESDVSNSGVLLILPALQDAGGKRSDQLPPSSIEIQQIQQEKSLLSSSTKRMTESTTKHHRRSQHRRREKQLELQTEGLRNARNTIIVVAVLIATVTFAAGINPPGGFRQDTGESTTGRHSSFKIFVVCNIVALFLSLGTVVFLVSIVPFQRKSLMILLAVTHKVMWLSISFMAAGYIAAMWTILPHGRGRGTQWVFVAIVAIGGGCTMAIFVGLGVLLAKHWLRKWEWRRSKEKRKNESPSSSVSRVEELGMMRKGSHDSSNSDVDSSDKGGYHLY >Potri.017G154900.1.v4.1 pep chromosome:Pop_tri_v4:17:15141564:15146642:-1 gene:Potri.017G154900.v4.1 transcript:Potri.017G154900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154900.v4.1 MVMVMRSISSCLTLSQTPPPPPRPRASNNLSTQKQCKRNSRNYCITRPQILPPPPLSRDALGFRVFVLSDLHTDYPENMNWVKSLSTKAYKNDLLLLAGDVAETYHNFYSTMSLLKDRFQHVFYVPGNHDLWCRSEPEGHPYYLDSLDKLNKLLDACRGLGVQTRPMVLYGLGIVPLFSWYHESFDREMDIAGIRIPSLEMVCKDFHACKWPREISNRSASLASYFDAMNEENEDAVKLIKNTCTQIITFSHFLPRQELCPEKRMLFYPNLPKIIGSDFLEVRIRSIHGSEGNASACHVFGHTHFCWDSVLDGIRYIQAPLAYPRERKRRMNGGETWLPFCVYSGGKFADRLSPCYWSDYYAAHPRAPHVTELAPWVARFYNRA >Potri.017G154900.5.v4.1 pep chromosome:Pop_tri_v4:17:15141564:15146600:-1 gene:Potri.017G154900.v4.1 transcript:Potri.017G154900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154900.v4.1 MVMVMRSISSCLTLSQTPPPPPRPRASNNLSTQKQCKRNSRNYCITRPQILPPPPLSRDALGFRVFVLSDLHTDYPENMNWVKSLSTKAYKNDLLLLAGDVAETYHNFYSTMSLLKDRFQHVFYVPGNHDLWCRSEPEGHPYYLDSLDKLNKLLDACRGLGVQTRPMVLYGLGIVPLFSWYHESFDREMDIAGIRIPSLEMVCKDFHACKWPREISNRSASLASYFDAMNEENEDAVKLIKNTCTQIITFSHFLPRYIQAPLAYPRERKRRMNGGETWLPFCVYSGGKFADRLSPCYWSDYYAAHPRAPHVTELAPWVARFYNRA >Potri.017G154900.4.v4.1 pep chromosome:Pop_tri_v4:17:15141561:15146586:-1 gene:Potri.017G154900.v4.1 transcript:Potri.017G154900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G154900.v4.1 MVMVMRSISSCLTLSQTPPPPPRPRASNNLSTQKQCKRNSRNYCITRPQILPPPPLSRDALGFRVFVLSDLHTDYPENMNWVKSLSTKAYKNDLLLLAGDVAETYHNFYSTMSLLKDRFQHVFYVPGNHDLWCRSEPEGHPYYLDSLDKLNKLLDACRGLGVQTRPMVLYGLGIVPLFSWYHESFDREMDIAGIRIPSLEMVCKDFHACKWPREISNRSASLASYFDAMNEENEDAVKLIKNTCTQIITFSHFLPRVHHLSRPVLKPRQELCPEKRMLFYPNLPKIIGSDFLEVRIRSIHGSEGNASACHVFGHTHFCWDSVLDGIRYIQAPLAYPRERKRRMNGGETWLPFCVYSGGKFADRLSPCYWSDYYAAHPRAPHVTELAPWVARFYNRA >Potri.007G022500.1.v4.1 pep chromosome:Pop_tri_v4:7:1719398:1722771:-1 gene:Potri.007G022500.v4.1 transcript:Potri.007G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022500.v4.1 MPPKPRSLSICYRFFCYPNFSARTPINGYSEANATIYLQNRYVHTGNLFDELPHRDLYSLNSQLASYSRDGNFLATWDLFSRIHSAFLDLDAYTFTPVLRACSALPDTKCGRQVHALMIKTGTDLGTITKTAVMDMYSKYGCLGESVKVFEEMEFRDVVTWNALVSSFLRHGLAKEALGVFRAMRRESVEITEFTLCSVLKACAFIKAFRQGKQVHGLVIVMGRDLVVLGTALIDFYSNVGYISEAMKVFSCLSCRKDEVLRNSLIAGCVKHRRYEEAFLVMSTMRPNAVALTTALAACSDNSDLWIGMQIHCVALRFGFIANTQVCNVLLDMYAKCGRILKSRSIFDGICHKTVVSWTSMIDAYGRHGHGDEALKLFKEMGQEGSRVLPNSLTLLAVLSACGHSGLVKEGQELFNSAREKYGLDPSQEHYSCVIDILGRAGQIEDAWCLFHDMVKKGIGPTAAVWAALVNACCLNLDVSRGEFAAKHLLELEPNNDGIHVLVSKFYASIDRWDVVESLRNNMRKKGLTKVLGSSWLDVA >Potri.006G249800.2.v4.1 pep chromosome:Pop_tri_v4:6:24917925:24922698:1 gene:Potri.006G249800.v4.1 transcript:Potri.006G249800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249800.v4.1 MENMRRPFKGITNDVRERIGCYKDDWISGLCSGFRILAPTFYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSVFGGQPLLILGVAEPTVIMYTYLYTFSKGREELGKKLYLAWAGWVCVWTALLLVLIAIFNAATIISKFTRIAGELFGMLIAVLFIQEAVRGVISEFNIPKDENPKLEKHQFQWLYANGLLSVIFSFGVLFTSLKSRRARTWRYGTGWLRGFIADYGVPLMVLLWTALSYAGPGKVPSGVPRRVHVPLLWDSESLEHWTVINDMLKVPLTYIFAALIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDVFLLGLMTLICGLLGLPPSNGVLPQSPMHTKSLAVLRRQLIRKKMVKRAKECIGRKASNLEIYGSMQAVFLEMDASSPDVSVHKELEDFKQAVMKAAEGWDDKNKFDPEKHIDAYLPVRVNEQRISNLLQSTLVGASMCALPLIKRIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFVTPSRRYKVLEGLHASFVELVPFKQIAIFTIFQLVYFLICFGVTWIPIAGILFPLPFFILIGIRQRILPKLFDPDHLQELDADEYEEMTGAKPRSLSLREREPPDVGSDGGADDFYDAEILDEMTTNRGELKLRTSSLKEERLHQVRPQESG >Potri.006G249800.1.v4.1 pep chromosome:Pop_tri_v4:6:24917925:24922698:1 gene:Potri.006G249800.v4.1 transcript:Potri.006G249800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249800.v4.1 MENMRRPFKGITNDVRERIGCYKDDWISGLCSGFRILAPTFYIFFASALPVIAFGEQLSRDTDGSLSTVETLASTAICGIIHSVFGGQPLLILGVAEPTVIMYTYLYTFSKGREELGKKLYLAWAGWVCVWTALLLVLIAIFNAATIISKFTRIAGELFGMLIAVLFIQEAVRGVISEFNIPKDENPKLEKHQFQWLYANGLLSVIFSFGVLFTSLKSRRARTWRYGTGWLRGFIADYGVPLMVLLWTALSYAGPGKVPSGVPRRVHVPLLWDSESLEHWTVINDMLKVPLTYIFAALIPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDVFLLGLMTLICGLLGLPPSNGVLPQSPMHTKSLAVLRRQLIRKKMVKRAKECIGRKASNLEIYGSMQAVFLEMDASSPDVSVHKELEDFKQAVMKAAEGWDDKNKFDPEKHIDAYLPVRVNEQRISNLLQSTLVGASMCALPLIKRIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFVTPSRRYKVLEGLHASFVELVPFKQIAIFTIFQLVYFLICFGVTWIPIAGILFPLPFFILIGIRQRILPKLFDPDHLQELDADEYEEMTGAKPRSLSLREREPPDVGSDGGADDFYDAEILDEMTTNRGELKLRTSSLKEERLHQESG >Potri.009G166932.1.v4.1 pep chromosome:Pop_tri_v4:9:12746519:12752130:1 gene:Potri.009G166932.v4.1 transcript:Potri.009G166932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166932.v4.1 MFELHAAIAIPVQSTYAGPVDFVLEFFFPKDCCNTEEQKRMWDILPITIKQACWSLHVVMDKELEETVNKKMVVASDERLNKDETQKFASLFKESSEAESSWIARVAEAQQKGKGVCVSWDHRKEENKEEFKVTSHWGKTQDELYHKQAFPEFGKFQQNSVPKGSIESTTDAASAEHHSVGSRKSGDKRRTKTEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRKHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYTTFPELTSPNFSANGGFPSSKANDDSNKSNHRPENGIFSAAASASKSPSSSSSQSSGSSICCSTGVKQHTTTNNGSVSGYPLLVEDPGGVLKRTHSDAALHALNRDKSEPLIRSQSFKTFGDLPNPETLPPLPKSSSQIIRDRSGFRVKATFGADKIRFTLQPNWGFRDLQQEIARRFNIDDICRIDLKYLDDDQEWVLLTCDADLEECKDVYKLSESHTIKMSLNQPSQPHLGSSLGSVGPNLVSLLGGGGPF >Potri.009G166932.5.v4.1 pep chromosome:Pop_tri_v4:9:12746481:12752059:1 gene:Potri.009G166932.v4.1 transcript:Potri.009G166932.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166932.v4.1 MQVLDMDDGNGAFAPDSSFGNFSDAAMDLDFVDELLYDGCCFETVDEFGFLEAGTSASNDLNDPKQYLPFFESNSCNLNVNPCQENYQVATEKNCDENPPIGNPKIEELGVIGYQNQNIHPFTASSVQSGGFLVEKNELGRRLWIAPTNNARSSTGVRERLMHAIGQLKQCTKDRDLLIQIWVPIKKEGKHVLTTFGQPYLLNPKSQSLASYRNVSKKFQFPAEEDSKELVGLPGRVFLRKLPEWTPDVSYFSWVEYPRKNHAKQFNIRGSFAVPVFEQGSRTCLGVIEVVTTTQDVSYRSELESVCKALEAVDLRSPKDFRPSSLKACKEFCQAAVPEISKILESVCKTHRLPLALTWAPCFRQGKGGCRHFDENYSNCICTVNSACFVAETDNFGFYVACSEQYLSFGQGIVGRAFTTRKQCFSTDVAAFSKTDYPLSHHAKMFELHAAIAIPVQSTYAGPVDFVLEFFFPKDCCNTEEQKRMWDILPITIKQACWSLHVVMDKELEETVNKKMVVASDERLNKDETQKFASLFKESSEAESSWIARVAEAQQKGKGVCVSWDHRKEENKEEFKVTSHWGKTQDELYHKQAFPEFGKFQQNSVPKGSIESTTDAASAEHHSVGSRKSGDKRRTKTEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRKHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYTTFPELTSPNFSANGGFPSSKANDDSNKSNHRPENGIFSAAASASKSPSSSSSQSSGSSICCSTGVKQHTTTNNGSVSGYPLLVEDPGGVLKRTHSDAALHALNRDKSEPLIRSQSFKTFGDLPNPETLPPLPKSSSQIIRDRSGFRVKATFGADKIRFTLQPNWGFRDLQQEIARRFNIDDICRIDLKYLDDDQEWVLLTCDADLEECKDVYKLSESHTIKMSLNQPSQPHLGSSLGSVGPNLVSLLGGGGPF >Potri.009G166932.4.v4.1 pep chromosome:Pop_tri_v4:9:12746514:12752131:1 gene:Potri.009G166932.v4.1 transcript:Potri.009G166932.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166932.v4.1 MQVLDMDDGNGAFAPDSSFGNFSDAAMDLDFVDELLYDGCCFETVDEFGFLEAGTSASNDLNDPKQYLPFFESNSCNLNVNPCQENYQVATEKNCDENPPIGNPKIEELGVIGYQNQNIHPFTASSVQSGGFLVEKNELGRRLWIAPTNNARSSTGVRERLMHAIGQLKQCTKDRDLLIQIWVPIKKEGKHVLTTFGQPYLLNPKSQSLASYRNVSKKFQFPAEEDSKELVGLPGRVFLRKLPEWTPDVSYFSWVEYPRKNHAKQFNIRGSFAVPVFEQGSRTCLGVIEVVTTTQDVSYRSELESVCKALEAVDLRSPKDFRPSSLKACKEFCQAAVPEISKILESVCKTHRLPLALTWAPCFRQGKGGCRHFDENYSNCICTVNSACFVAETDNFGFYVACSEQYLSFGQGIVGRAFTTRKQCFSTDVAAFSKTDYPLSHHAKMFELHAAIAIPVQSTYAGPVDFVLEFFFPKDCCNTEEQKRMWDILPITIKQACWSLHVVMDKELEETVNKKMVVASDERLNKDETQKFASLFKESSEAESSWIARVAEAQQKGKGVCVSWDHRKEENKEEFKVTSHWGKTQDELYHKQAFPEFGKFQQNSVPKGSIESTTDAASAEHHSVGSRKSGDKRRTKTEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRKHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYTTFPELTSPNFSANGGFPSSKANDDSNKSNHRPENGIFSAAASASKSPSSSSSQSSGSSICCSTGVKQHTTTNNGSVSGYPLLVEDPGGVLKRTHSDAALHALNRDKSEPLIRSQSFKTFGDLPNPETLPPLPKSSSQIIRDRSGFRVKATFGADKIRFTLQPNWGFRDLQQEIARRFNIDDICRIDLKYLDDDQEWVLLTCDADLEECKDVYKLSESHTIKMSLNQPSQPHLGSSLGSVGPNLVSLLGGGGPF >Potri.009G166932.3.v4.1 pep chromosome:Pop_tri_v4:9:12746482:12752134:1 gene:Potri.009G166932.v4.1 transcript:Potri.009G166932.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166932.v4.1 MQVLDMDDGNGAFAPDSSFGNFSDAAMDLDFVDELLYDGCCFETVDEFGFLEAGTSASNDLNDPKQYLPFFESNSCNLNVNPCQENYQVATEKNCDENPPIGNPKIEELGVIGYQNQNIHPFTASSVQSGGFLVEKNELGRRLWIAPTNNARSSTGVRERLMHAIGQLKQCTKDRDLLIQIWVPIKKEGKHVLTTFGQPYLLNPKSQSLASYRNVSKKFQFPAEEDSKELVGLPGRVFLRKLPEWTPDVSYFSWVEYPRKNHAKQFNIRGSFAVPVFEQGSRTCLGVIEVVTTTQDVSYRSELESVCKALEAVDLRSPKDFRPSSLKACKEFCQAAVPEISKILESVCKTHRLPLALTWAPCFRQGKGGCRHFDENYSNCICTVNSACFVAETDNFGFYVACSEQYLSFGQGIVGRAFTTRKQCFSTDVAAFSKTDYPLSHHAKMFELHAAIAIPVQSTYAGPVDFVLEFFFPKDCCNTEEQKRMWDILPITIKQACWSLHVVMDKELEETVNKKMVVASDERLNKDETQKFASLFKESSEAESSWIARVAEAQQKGKGVCVSWDHRKEENKEEFKVTSHWGKTQDELYHKQAFPEFGKFQQNSVPKGSIESTTDAASAEHHSVGSRKSGDKRRTKTEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRKHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYTTFPELTSPNFSANGGFPSSKANDDSNKSNHRPENGIFSAAASASKSPSSSSSQSSGSSICCSTGVKQHTTTNNGSVSGYPLLVEDPGGVLKRTHSDAALHALNRDKSEPLIRSQSFKTFGDLPNPETLPPLPKSSSQIIRDRSGFRVKATFGADKIRFTLQPNWGFRDLQQEIARRFNIDDICRIDLKYLDDDQEWVLLTCDADLEECKDVYKLSESHTIKMSLNQPSQPHLGSSLGSVGPNLVSLLGGGGPF >Potri.009G166932.2.v4.1 pep chromosome:Pop_tri_v4:9:12746482:12752134:1 gene:Potri.009G166932.v4.1 transcript:Potri.009G166932.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166932.v4.1 MQVLDMDDGNGAFAPDSSFGNFSDAAMDLDFVDELLYDGCCFETVDEFGFLEAGTSASNDLNDPKQYLPFFESNSCNLNVNPCQENYQVATEKNCDENPPIGNPKIEELGVIGYQNQNIHPFTASSVQSGGFLVEKNELGRRLWIAPTNNARSSTGVRERLMHAIGQLKQCTKDRDLLIQIWVPIKKEGKHVLTTFGQPYLLNPKSQSLASYRNVSKKFQFPAEEDSKELVGLPGRVFLRKLPEWTPDVSYFSWVEYPRKNHAKQFNIRGSFAVPVFEQGSRTCLGVIEVVTTTQDVSYRSELESVCKALEAVDLRSPKDFRPSSLKACKEFCQAAVPEISKILESVCKTHRLPLALTWAPCFRQGKGGCRHFDENYSNCICTVNSACFVAETDNFGFYVACSEQYLSFGQGIVGRAFTTRKQCFSTDVAAFSKTDYPLSHHAKMFELHAAIAIPVQSTYAGPVDFVLEFFFPKDCCNTEEQKRMWDILPITIKQACWSLHVVMDKELEETVNKKMVVASDERLNKDETQKFASLFKESSEAESSWIARVAEAQQKGKGVCVSWDHRKEENKEEFKVTSHWGKTQDELYHKQAFPEFGKFQQNSVPKGSIESTTDAASAEHHSVGSRKSGDKRRTKTEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRKHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYTTFPELTSPNFSANGGFPSSKANDDSNKSNHRPENGIFSAAASASKSPSSSSSQSSGSSICCSTGVKQHTTTNNGSVSGYPLLVEDPGGVLKRTHSDAALHALNRDKSEPLIRSQSFKTFGDLPNPETLPPLPKSSSQIIRDRSGFRVKATFGADKIRFTLQPNWGFRDLQQEIARRFNIDDICRIDLKYLDDDQEWVLLTCDADLEECKDVYKLSESHTIKMSLNQPSQPHLGSSLGSVGPNLVSLLGGGGPF >Potri.009G166932.6.v4.1 pep chromosome:Pop_tri_v4:9:12746481:12751981:1 gene:Potri.009G166932.v4.1 transcript:Potri.009G166932.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G166932.v4.1 MQVLDMDDGNGAFAPDSSFGNFSDAAMDLDFVDELLYDGCCFETVDEFGFLEAGTSASNDLNDPKQYLPFFESNSCNLNVNPCQENYQVATEKNCDENPPIGNPKIEELGVIGYQNQNIHPFTASSVQSGGFLVEKNELGRRLWIAPTNNARSSTGVRERLMHAIGQLKQCTKDRDLLIQIWVPIKKEGKHVLTTFGQPYLLNPKSQSLASYRNVSKKFQFPAEEDSKELVGLPGRVFLRKLPEWTPDVSYFSWVEYPRKNHAKQFNIRGSFAVPVFEQGSRTCLGVIEVVTTTQDVSYRSELESVCKALEAVDLRSPKDFRPSSLKACKEFCQAAVPEISKILESVCKTHRLPLALTWAPCFRQGKGGCRHFDENYSNCICTVNSACFVAETDNFGFYVACSEQYLSFGQGIVGRAFTTRKQCFSTDVAAFSKTDYPLSHHAKMFELHAAIAIPVQSTYAGPVDFVLEFFFPKDCCNTEEQKRMWDILPITIKQACWSLHVVMDKELEETVNKKMVVASDERLNKDETQKFASLFKESSEAESSWIARVAEAQQKGKGVCVSWDHRKEENKEEFKVTSHWGKTQDELYHKQAFPEFGKFQQNSVPKGSIESTTDAASAEHHSVGSRKSGDKRRTKTEKTISLQVLRQYFAGSLKDAAKSIGVCPTTLKRICRKHGITRWPSRKIKKVGHSLKKLQLVIDSVQGAEGAIQIGSFYTTFPELTSPNFSANGGFPSSKANDDSNKSNHRPENGIFSAAASASKSPSSSSSQSSGSSICCSTGVKQHTTTNNGSVSGYPLLVEDPGGVLKRTHSDAALHALNRDKSEPLIRSQSFKTFGDLPNPETLPPLPKSSSQIIRDRSGFRVKATFGADKIRFTLQPNWGFRDLQQEIARRFNIDDICRIDLKYLDDDQEWVLLTCDADLEECKDVYKLSESHTIKMSLNQPSQPHLGSSLGSVGPNLVSLLGGGGPF >Potri.006G215000.1.v4.1 pep chromosome:Pop_tri_v4:6:22140274:22141272:-1 gene:Potri.006G215000.v4.1 transcript:Potri.006G215000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G215000.v4.1 MSSVCISNCVNDTRDPRVPVRANYVNLYKWPEPDAELIKSVRRVAGHGLHGHPRVVDSISCRQMYLRSYTFSRKESMPEKTKKCLERVKEKVTSHGKKRKDHQVKGGRKGRCLVFREVKEISCSALFRVFHRLLSCTATVDVVEQKD >Potri.009G082400.2.v4.1 pep chromosome:Pop_tri_v4:9:7813992:7817230:1 gene:Potri.009G082400.v4.1 transcript:Potri.009G082400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082400.v4.1 MSRRPGNPARRLADGGSLPFAGSMHSKSRSSPLLSIGLVVVGAILLIGYCYSGSGGHITNREALSKTEGGVSCTLEVQRAIPFLKKAYGDSMRKVLHVGPDTCSAVSSLLKEEDTEAWGVEPYDLDDVSANCKSLVRKGLVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVSADGLVVFSGAPGQQRVKVAELSKFGRPAKFRTSTWWIRYFVQTGLQENESALKKFEQAALKKSYKPACQVFHLQSYD >Potri.009G082400.6.v4.1 pep chromosome:Pop_tri_v4:9:7813996:7817249:1 gene:Potri.009G082400.v4.1 transcript:Potri.009G082400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082400.v4.1 MSRRPGNPARRLADGGSLPFAGSMHSKSRSSPLLSIGLVVVGAILLIGYCYSGSGGHITNREALSKTEGGVSCTLEVQRAIPFLKKAYGDSMRKVLHVGPDTCSAVSSLLKEEDTEAWGVEPYDLDDVSANCKSLVRKGLVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVSADGLVVFSGAPGQQRVKVAELSKFGRPAKFRTSTWWIRYFVQTGLQENESALKKFEQAALKKSYKPACQVFHLQSYD >Potri.009G082400.7.v4.1 pep chromosome:Pop_tri_v4:9:7814044:7817200:1 gene:Potri.009G082400.v4.1 transcript:Potri.009G082400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082400.v4.1 MSRRPGNPARRLADGGSLPFAGSMHSKSRSSPLLSIGLVVVGAILLIGYCYSGSGGHITNREALSKTEGGVSCTLEVQRAIPFLKKAYGDSMRKVLHVGPDTCSAVSSLLKEEDTEAWGVEPYDLDDVSANCKSLVRKGLVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVSADGLVVFSGAPGQQRVKVAELSKFGRPAKFRTSTWWIRYFVQTGLQENESALKKFEQAALKKSYKPACQVFHLQSYD >Potri.009G082400.1.v4.1 pep chromosome:Pop_tri_v4:9:7813996:7817116:1 gene:Potri.009G082400.v4.1 transcript:Potri.009G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082400.v4.1 MSRRPGNPARRLADGGSLPFAGSMHSKSRSSPLLSIGLVVVGAILLIGYCYSGSGGHITNREALSKTEGGVSCTLEVQRAIPFLKKAYGDSMRKVLHVGPDTCSAVSSLLKEEDTEAWGVEPYDLDDVSANCKSLVRKGLVRVADIKFPLPYRPKSFSLVIVSDALDYLSPKYLNKTLPELARVSADGLVVFSGAPGQQRVKVAELSKFGRPAKFRTSTWWIRYFVQTGLQENESALKKFEQAALKKSYKPACQVFHLQSYD >Potri.004G129100.7.v4.1 pep chromosome:Pop_tri_v4:4:14329857:14336545:1 gene:Potri.004G129100.v4.1 transcript:Potri.004G129100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129100.v4.1 MDLEIQRFSLTDLSKQTKTAASSKDHEFPNAEVLETSVIKSVLEDNKLQLLSLSKKVVTERTIITKLLHLCCTFDSVDCATSLLNGEFGSVPVINEPDSTGLSPLHAAATANAARCVEMLLEKHARTDLESRDGRRLLPLELSLCNTRKDLIWDLDDNSTIEDLVVQISEKDLTTLKLLADKTEEIGDVAYRMAEAGRVVDLAALLVVAADKINESILPVRDAVLDCKEKTTIYEAVIREALALGGPTPSLRAAKRVTVLSESERAEKRKLLLYEIELLQLFGAVGLGCCTDRKLPSPLIHAAQAGDETIIELLLKTNIDLNDVDPDGHSALHWCLKAYKQSCPQQMKIMWLLLKHGARVNQKNKLGLTAVHIAASNGNSQALQTVLQALLLEDPDCINSNTEMEETPLFFAVKNGYKDCVEVLLHWGASTGVFNLRKQRPVDLAESQDMRFMLNNADVNLINRPIQQKYTAWLQGGDVIQGTCKELFTLTDERNPTNRTCSSTNKEICKYFHSPRGCVRGTKCFYAHSEEERQQVKQGKYMIHSPAAGQLERKIFVGGLPASVDSDSLRKILEEKFGSVDNAAVLGVQTADKMQSRGFGFVTFKDKKSVSAAVEAHHVIIMGKQVEIKSAVPKFLLLAEFQKSTQQRERNQIDEKLPQAQTPKEKTETEKAKTDVLNRQTSSEKTEKVILSCNSTEEIKSEPRTWADTLIHGQTKACSSESQTHERCMPKWFRTLRRWLPSFLQQASKRGGQYALSSVKADFRALFGLELDHASLGFSKLSDFMKSIPELCHVTYHTPANHMILLPSLPIPCQQPLQDVTIDSPSSHSTSIGDSGNGNSDGSICSQEPLLVSIENDDLNDSSSTRVSFQITDDNPADKLPCVNSRFLQFLKPDPIFHARPWVNSDSDGGKESTVGERGSGNGFQEMKPWPQGRHLVLEALARKKNSSSVYFLREFDFYDEYKASIAQGRCLGCNERRMLWANFPCLHLVWCADCKLQAMMAARLGEHKCVVCDIKVQAIDLISWHEYCQPILDTACIKEFPPFDPGYMLKKKPIFE >Potri.004G129100.6.v4.1 pep chromosome:Pop_tri_v4:4:14329857:14336545:1 gene:Potri.004G129100.v4.1 transcript:Potri.004G129100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129100.v4.1 MDLEIQRFSLTDLSKQTKTAASSKDHEFPNAEVLETSVIKSVLEDNKLQLLSLSKKVVTERTIITKLLHLCCTFDSVDCATSLLNGEFGSVPVINEPDSTGLSPLHAAATANAARCVEMLLEKHARTDLESRDGRRLLPLELSLCNTRKDLIWDLDDNSTIEDLVVQISEKDLTTLKLLADKTEEIGDVAYRMAEAGRVVDLAALLVVAADKINESILPVRDAVLDCKEKTTIYEAVIREALALGGPTPSLRAAKRVTVLSESERAEKRKLLLYEIELLQLFGAVGLGCCTDRKLPSPLIHAAQAGDETIIELLLKTNIDLNDVDPDGHSALHWCLKAYKQSCPQQMKIMWLLLKHGARVNQKNKLGLTAVHIAASNGNSQALQTVLQALLLEDPDCINSNTEMEETPLFFAVKNGYKDCVEVLLHWGASTGVFNLRKQRPVDLAESQDMRFMLNNADVNLTVNRPIQQKYTAWLQGGDVIQGTCKELFTLTDERNPTNRTCSSTNKEICKYFHSPRGCVRGTKCFYAHSEEERQQVKQGKYMIHSPAAGQLERKIFVGGLPASVDSDSLRKILEEKFGSVDNAAVLGVQTADKMQSRGFGFVTFKDKKSVSAAVEAHHVIIMGKQVEIKSAVPKFLLLAEFQKSTQQRERNQIDEKLPQAQTPKEKTETEKAKTDVLNRQTSSEKTEKVILSCNSTEEIKSEPRTWADTLIHGQTKACSSESQTHERCMPKWFRTLRRWLPSFLQQASKRGGQYALSSVKADFRALFGLELDHASLGFSKLSDFMKSIPELCHVTYHTPANHMILLPSLPIPCQQPLQDVTIDSPSSHSTSIGDSGNGNSDGSICSQEPLLVSIENDDLNDSSSTRVSFQITDDNPADKLPCVNSRFLQFLKPDPIFHARPWVNSDSDGGKESTVGERGSGNGFQEMKPWPQGRHLVLEALARKKNSSSVYFLREFDFYDEYKASIAQGRCLGCNERRMLWANFPCLHLVWCADCKLQAMMAARLGEHKCVVCDIKVQAIDLISWHEYCQPILDTACIKEFPPFDPGYMLKKKPIFE >Potri.004G129100.9.v4.1 pep chromosome:Pop_tri_v4:4:14329857:14336545:1 gene:Potri.004G129100.v4.1 transcript:Potri.004G129100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129100.v4.1 MDLEIQRFSLTDLSKQTKTAASSKDHEFPNAEVLETSVIKSVLEDNKLQLLSLSKKVVTERTIITKLLHLCCTFDSVDCATSLLNGEFGSVPVINEPDSTGLSPLHAAATANAARCVEMLLEKHARTDLESRDGRRLLPLELSLCNTRKDLIWDLDDNSTIEDLVVQISEKDLTTLKLLADKTEEIGDVAYRMAEAGRVVDLAALLVVAADKINESILPVRDAVLDCKEKTTIYEAVIREALALGGPTPSLRAAKRVTVLSESERAEKRKLLLYEIELLQLFGAVGLGCCTDRKLPSPLIHAAQAGDETIIELLLKTNIDLNDVDPDGHSALHWCLKAYKQSCPQQMKIMWLLLKHGARVNQKNKLGLTAVHIAASNGNSQALQALLLEDPDCINSNTEMEETPLFFAVKNGYKDCVEVLLHWGASTGVFNLRKQRPVDLAESQDMRFMLNNADVNLINRPIQQKYTAWLQGGDVIQGTCKELFTLTDERNPTNRTCSSTNKEICKYFHSPRGCVRGTKCFYAHSEEERQQVKQGKYMIHSPAAGQLERKIFVGGLPASVDSDSLRKILEEKFGSVDNAAVLGVQTADKMQSRGFGFVTFKDKKSVSAAVEAHHVIIMGKQVEIKSAVPKFLLLAEFQKSTQQRERNQIDEKLPQAQTPKEKTETEKAKTDVLNRQTSSEKTEKVILSCNSTEEIKSEPRTWADTLIHGQTKACSSESQTHERCMPKWFRTLRRWLPSFLQQASKRGGQYALSSVKADFRALFGLELDHASLGFSKLSDFMKSIPELCHVTYHTPANHMILLPSLPIPCQQPLQDVTIDSPSSHSTSIGDSGNGNSDGSICSQEPLLVSIENDDLNDSSSTRVSFQITDDNPADKLPCVNSRFLQFLKPDPIFHARPWVNSDSDGGKESTVGERGSGNGFQEMKPWPQGRHLVLEALARKKNSSSVYFLREFDFYDEYKASIAQGRCLGCNERRMLWANFPCLHLVWCADCKLQAMMAARLGEHKCVVCDIKVQAIDLISWHEYCQPILDTACIKEFPPFDPGYMLKKKPIFE >Potri.004G129100.8.v4.1 pep chromosome:Pop_tri_v4:4:14329857:14336545:1 gene:Potri.004G129100.v4.1 transcript:Potri.004G129100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G129100.v4.1 MDLEIQRFSLTDLSKQTKTAASSKDHEFPNAEVLETSVIKSVLEDNKLQLLSLSKKVVTERTIITKLLHLCCTFDSVDCATSLLNGEFGSVPVINEPDSTGLSPLHAAATANAARCVEMLLEKHARTDLESRDGRRLLPLELSLCNTRKDLIWDLDDNSTIEDLVVQISEKDLTTLKLLADKTEEIGDVAYRMAEAGRVVDLAALLVVAADKINESILPVRDAVLDCKEKTTIYEAVIREALALGGPTPSLRAAKRVTVLSESERAEKRKLLLYEIELLQLFGAVGLGCCTDRKLPSPLIHAAQAGDETIIELLLKTNIDLNDVDPDGHSALHWCLKAYKQSCPQQMKIMWLLLKHGARVNQKNKLGLTAVHIAASNGNSQALQALLLEDPDCINSNTEMEETPLFFAVKNGYKDCVEVLLHWGASTGVFNLRKQRPVDLAESQDMRFMLNNADVNLTVNRPIQQKYTAWLQGGDVIQGTCKELFTLTDERNPTNRTCSSTNKEICKYFHSPRGCVRGTKCFYAHSEEERQQVKQGKYMIHSPAAGQLERKIFVGGLPASVDSDSLRKILEEKFGSVDNAAVLGVQTADKMQSRGFGFVTFKDKKSVSAAVEAHHVIIMGKQVEIKSAVPKFLLLAEFQKSTQQRERNQIDEKLPQAQTPKEKTETEKAKTDVLNRQTSSEKTEKVILSCNSTEEIKSEPRTWADTLIHGQTKACSSESQTHERCMPKWFRTLRRWLPSFLQQASKRGGQYALSSVKADFRALFGLELDHASLGFSKLSDFMKSIPELCHVTYHTPANHMILLPSLPIPCQQPLQDVTIDSPSSHSTSIGDSGNGNSDGSICSQEPLLVSIENDDLNDSSSTRVSFQITDDNPADKLPCVNSRFLQFLKPDPIFHARPWVNSDSDGGKESTVGERGSGNGFQEMKPWPQGRHLVLEALARKKNSSSVYFLREFDFYDEYKASIAQGRCLGCNERRMLWANFPCLHLVWCADCKLQAMMAARLGEHKCVVCDIKVQAIDLISWHEYCQPILDTACIKEFPPFDPGYMLKKKPIFE >Potri.004G036300.1.v4.1 pep chromosome:Pop_tri_v4:4:2842861:2848881:1 gene:Potri.004G036300.v4.1 transcript:Potri.004G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G036300.v4.1 MAFARIARTGLRRTGGTFGSYSCEGDVLLCEGTNRRSPLPSLQNAKAGRDFSYISSIRKFNRMSMQSMGIRVTPQYQSATAERIVEESESEYDEPMYPGLEATKPGEKPRVVVLGTGWAACRFMKGLDTRIYDVVCISPRNHMVFTPLLASTCVGTLEFRSVAEPVNRIQSALATSPNSYFYMASCFGIDTDKHEVYCETVSNGGLPHDPYQFKVAYDKLVIAAGAEPLTFGIKGVKEHAFFLREVNHAQEIRKKLLLNLMLSENPGISEEEKKRLLHCVVIGGGPTGVEFSGELSDFIKRDVQDRYTHVKDYVKVTLIEASEILSSFDVGLRQYATNHLTKSGVSLMRGVVKEVHPKKIVLSDETNVPYGLLVWSTGVGPSQFVKSLDLPKAPGGRIGIDEWLRVSSVEDVFALGDCAGFLENSGRPVLPALAQVAERQGKFLVKFLNKIGKKDGGKAFSAKDIPLGDPFVYKHLGSMASVGRYKALVDLRQSKDAKGVSLAGFVSWLIWRSAYLTRVISWRNRFYVAVNWATTLVFGRDNSRIG >Potri.012G021400.3.v4.1 pep chromosome:Pop_tri_v4:12:2213161:2217034:1 gene:Potri.012G021400.v4.1 transcript:Potri.012G021400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021400.v4.1 MALIEALYDILEHPSSMLGVRTETVGFLGPLWISFLIGLIIGWSWKPKWVTRESDKLASCVSKILESSLPSSPCRFLMSPLKSFGSFSQWNSVLVRSSNCEASWVVDNNNNLEHQKPSHVPPTEYEDCSRSQLNEEQSNIASLVTEEDLKHLNQLVEVKDGGSTWIHMMDRSTPTMRYQAWRRDPKTGPPQYRSSTVFEDASPEIVRDLFWDDDFRTKWDDMLAYSAILDECSITGTMLVHWIRKFPFFCSDREYIIGRRIWESGRSYFCVTKGVPCSSVPRRDKPRRVDLYYSSWCIRAVESRRGDGQLTACEVLLFHHEDMGIPWEIAKLGVRQGMWGTVKKIEPGLRAYQKARASGAELSRPAFMAQVNTKINPELLRSLGGDENLSENEAATTTSEKSLGRNIPKLLIFGGAIILACSFDRGLLTKAFIFNVGRRFGNMGRNARLNAGTT >Potri.012G021400.4.v4.1 pep chromosome:Pop_tri_v4:12:2213127:2217052:1 gene:Potri.012G021400.v4.1 transcript:Potri.012G021400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G021400.v4.1 MALIEALYDILEHPSSMLGVRTETVGFLGPLWISFLIGLIIGWSWKPKWVTRESDKLASCVSKILESSLPSSPCRFLMSPLKSFGSFSQWNSVLVRSSNCEASWVVDNNNNLEHQKPSHVPPTEYEDCRSQLNEEQSNIASLVTEEDLKHLNQLVEVKDGGSTWIHMMDRSTPTMRYQAWRRDPKTGPPQYRSSTVFEDASPEIVRDLFWDDDFRTKWDDMLAYSAILDECSITGTMLVHWIRKFPFFCSDREYIIGRRIWESGRSYFCVTKGVPCSSVPRRDKPRRVDLYYSSWCIRAVESRRGDGQLTACEVLLFHHEDMGIPWEIAKLGVRQGMWGTVKKIEPGLRAYQKARASGAELSRPAFMAQVNTKINPELLRSLGGDENLSENEAATTTSEKSLGRNIPKLLIFGGAIILACSFDRGLLTKAFIFNVGRRFGNMGRNARLNAGTT >Potri.006G063000.6.v4.1 pep chromosome:Pop_tri_v4:6:4493688:4498833:-1 gene:Potri.006G063000.v4.1 transcript:Potri.006G063000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063000.v4.1 MVAFAILIFVFLGSVEGFSTKSQPCTYDLLKMCKPALATAGFSTVAFVLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIVSSVGIIICLITTLFATDFFEIKAVKEIEPALKNQLIISTILMTVGVAIVSWVALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAASIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Potri.006G063000.2.v4.1 pep chromosome:Pop_tri_v4:6:4493675:4498833:-1 gene:Potri.006G063000.v4.1 transcript:Potri.006G063000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063000.v4.1 MVAFAILIFVFLGSVEGFSTKSQPCTYDLLKMCKPALATAGFSTVAFVLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIVSSVGIIICLITTLFATDFFEIKAVKEIEPALKNQLIISTILMTVGVAIVSWVALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAASIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Potri.006G063000.3.v4.1 pep chromosome:Pop_tri_v4:6:4493683:4498833:-1 gene:Potri.006G063000.v4.1 transcript:Potri.006G063000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063000.v4.1 MVAFAILIFVFLGSVEGFSTKSQPCTYDLLKMCKPALATAGFSTVAFVLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIVSSVGIIICLITTLFATDFFEIKAVKEIEPALKNQLIISTILMTVGVAIVSWVALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAASIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Potri.006G063000.4.v4.1 pep chromosome:Pop_tri_v4:6:4493683:4498833:-1 gene:Potri.006G063000.v4.1 transcript:Potri.006G063000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063000.v4.1 MVAFAILIFVFLGSVEGFSTKSQPCTYDLLKMCKPALATAGFSTVAFVLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIVSSVGIIICLITTLFATDFFEIKAVKEIEPALKNQLIISTILMTVGVAIVSWVALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAASIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Potri.006G063000.5.v4.1 pep chromosome:Pop_tri_v4:6:4493684:4498833:-1 gene:Potri.006G063000.v4.1 transcript:Potri.006G063000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063000.v4.1 MVAFAILIFVFLGSVEGFSTKSQPCTYDLLKMCKPALATAGFSTVAFVLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIVSSVGIIICLITTLFATDFFEIKAVKEIEPALKNQLIISTILMTVGVAIVSWVALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAASIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Potri.006G063000.1.v4.1 pep chromosome:Pop_tri_v4:6:4493577:4499021:-1 gene:Potri.006G063000.v4.1 transcript:Potri.006G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G063000.v4.1 MVSVILPDLGTEILIPVCAIIGIGFSLLQWLLVSKVKLVPSPAASNNSGAAGKNGYGDYLIEEEEGLNDHNVVLKCAEIQNAISEGATSFLFTEYQYVGIFMVAFAILIFVFLGSVEGFSTKSQPCTYDLLKMCKPALATAGFSTVAFVLGAVTSVVSGFLGMKIATYANARTTLEARKGVGKAFIIAFRSGAVMGFLLAANGLLVLYITINVFKLYYGDDWEGLFESITGYGLGGSSMALFGRVGGGIYTKAADVGADLVGKVERNIPEDDPRNPAVIADNVGDNVGDIAGMGSDLFGSYAESSCAALVVASISSFGINHEFTPMLYPLIVSSVGIIICLITTLFATDFFEIKAVKEIEPALKNQLIISTILMTVGVAIVSWVALPSSFTIFNFGTQKVVKNWQLFLCVAVGLWAGLIIGFVTEYYTSNAYSPVQDVADSCRTGAATNVIFGLALGYKSVIIPIFAIAASIFVSFSFAAMYGIAVAALGMLSTIATGLAIDAYGPISDNAGGIAEMAGMSHRIRERTDALDAAGNTTAAIGKGFAIGSAALVSLALFGAFVSRASISTVDVLTPKVFIGLIVGAMLPYWFSAMTMKSVGSAALKMVEEVRRQFNTIPGLMEGTAKPDYATCVKISTDASIKEMIPPGALVMLTPLIVGIFFGVETLSGVLAGSLVSGVQIAISASNTGGAWDNAKKYIEAGASEHARSLGPKGSDPHKAAVIGDTIGDPLKDTSGPSLNILIKLMAVESLVFAPFFATHGGLLFKIF >Potri.019G062900.1.v4.1 pep chromosome:Pop_tri_v4:19:10305200:10306321:-1 gene:Potri.019G062900.v4.1 transcript:Potri.019G062900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G062900.v4.1 MVSQLARNNIIVADILSRLPVKTLLRFNSVCKLWHYMIDSSLGFQALHYDRSQRKPRFLLRWSDFDFQPLESIGCRYVYNFIPTDTEGNMFSPIQVKVQEPVKLVLPGCFGLLCLATETRIYVFNPSTRRLLALPYDRSGIAGFGIGYLSSAKTHKIVRLNIPRQSRTSLNCKLECSVFTLLPEKRGLKWRAVKEGCPYLVEQFGFPAFANETIYWKIDLGQHQALHRHNDFIVSFKLSDEKFQTITHPDHRTDTPRHWKSPTRHSTQLVELRGHLYMVETLALSHVAIWRLTNPENSIWSKACIIDISKISPKFVGELQCVKGTEIIFNSGTRLLLYYDEEKKTFRRKILPCSAENLTIYCESLTSLTTSQG >Potri.005G079100.1.v4.1 pep chromosome:Pop_tri_v4:5:5375455:5377887:-1 gene:Potri.005G079100.v4.1 transcript:Potri.005G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079100.v4.1 MEASSPVSTPEIVFFDLETTVPNKAGQRFWVLEFGAIIVCPRKLVELDSYSTLIRPEDLSAVALKSGRCDGITREAVANAPAFEEVADKIFTILNGRIWAGHNIQRFDCVRIKEAFAEIGRPAPMPVGMFDSLGVLTEKFGRRAGNMKMATLATYFGLGQQKHRSLEDVRMNLEVLKHCAAVLFVESSLPSVMNGKWHNPSTMVTRSRSNGKLVCREENCRKSPPTTLGYQRAVPYTRGRLGEMTEGVRKLLCKAQETKSLNNILKHSLSLFR >Potri.017G147700.2.v4.1 pep chromosome:Pop_tri_v4:17:14708348:14709462:1 gene:Potri.017G147700.v4.1 transcript:Potri.017G147700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147700.v4.1 MKKAGLKLGFHDDKAKKKATKTASSLSGDVDPVVAKSRKLCGAEIVTARPAKESGKRKDEPKKEQ >Potri.017G147700.3.v4.1 pep chromosome:Pop_tri_v4:17:14708355:14709468:1 gene:Potri.017G147700.v4.1 transcript:Potri.017G147700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147700.v4.1 MKKAGLKLGFHDDKAKKKATKTASSLSGDVDPVVAKSRKLCGAEIVTARPAKESGKRKDEPKKEQ >Potri.010G189700.2.v4.1 pep chromosome:Pop_tri_v4:10:18561570:18567969:1 gene:Potri.010G189700.v4.1 transcript:Potri.010G189700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189700.v4.1 MRFNFELTEPDPDSSSRKSLTPKEDILWVPLQNHPLFTSSASTSLEGDAAPQPPSNLLAWDGASRLYYWDSNLRCLHRISIGLGDPDPSSVLAASPSKVLQTDVEINFEVNKISINRNGSALLLSGAYGLCVMYLYGRSSSKDDSIICRTVSIGSQIYFNERNVIHMRKVSWHPYSDTHLGILSSDSVFRLFDLSSDVLQPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFVLFSDGSVYILCPIVPFKSVYKWESVLEIYSDAEMFGLKSANPVAVNNSNLAIFWLEATFPELAHESKEGELSTLKAHPYAVFDASLCLQGPLRKVCHGVEDEDLAVRGAECEGHAVSFLYDLASKDSILVTAWSGGQLQIDALSDEIQPVWTVGSPPRLRLNSHNHILGLAMLCESISGELPVVKLDQPHDYTVWLGHPPPLLRLAIVDLALPRKTESGSHISMFADPLMPERIYSVHDGGIDSIVLHFLPFTSQSSGKDETVRSPSVHPVLSTCQVENSTPSPLYGFIALSDSFGYSWIAVITSNKECVVLEMKTLNLLVPVHVDMEKESASSEEWTNRNPPDIISKELLSGPKVVLVPQGSPNLRSVAADSIEGRSTLHQYLNLFHENYVEYAHKVYFELKHHGPQLKRIIDDQHARLGEAQEKLSKVVNKQSGLVNRTNHAMHRHNLLEQRLHCLRNLPGAHKKPLSKAEREFKSELDQFTRVELDALRASIDTLRARLGRFTQSLKGDVPNQQRKIVGRNNVLDAQISQLKSSITKLSLVNSENTKKVKLVESALKNQESSR >Potri.010G189700.1.v4.1 pep chromosome:Pop_tri_v4:10:18561598:18567257:1 gene:Potri.010G189700.v4.1 transcript:Potri.010G189700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189700.v4.1 MRFNFELTEPDPDSSSRKSLTPKEDILWVPLQNHPLFTSSASTSLEGDAAPQPPSNLLAWDGASRLYYWDSNLRCLHRISIGLGDPDPSSVLAASPSKVLQTDVEINFEVNKISINRNGSALLLSGAYGLCVMYLYGRSSSKDDSIICRTVSIGSQIYFNERNVIHMRKVSWHPYSDTHLGILSSDSVFRLFDLSSDVLQPEQEYYLQPVEPGRSRNAASICPVDFSFGGDHLWDRFSVFVLFSDGSVYILCPIVPFKSVYKWESVLEIYSDAEMFGLKSANPVAVNNSNLAIFWLEATFPELAHESKEGELSTLKAHPYAVFDASLCLQGPLRKVCHGVEDEDLAVRGAECEGHAVSFLYDLASKDSILVTAWSGGQLQIDALSDEIQPVWTVGSPPRLRLNSHNHILGLAMLCESISGELPVVKLDQPHDYTVWLGHPPPLLRLAIVDLALPRKTESGSHISMFADPLMPERIYSVHDGGIDSIVLHFLPFTSQSSGKDETVRSPSVHPVLSTCQVENSTPSPLYGFIALSDSFGYSWIAVITSNKECVVLEMKTLNLLVPVHVDMEKESASSEEWTNRNPPDIISKELLSGPKVVLVPQGSPNLRSVAADSIEGRSTLHQYLNLFHENYVEYAHKVYFELKHHGPQLKRIIDDQHARLGEAQEKLSKVVNKQSGLVNRTNHAMHRHNLLEQRLHCLRNLPGAHKKPLSKAEREFKSELDQFTRVELDALRASIDTLRARLGRFTQSLKGDVPNQQRKIVGRNNVLDAQISQLKSSITKLSLVNSENTKKVKLVESALKNQESSR >Potri.006G216400.1.v4.1 pep chromosome:Pop_tri_v4:6:22196421:22199887:1 gene:Potri.006G216400.v4.1 transcript:Potri.006G216400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216400.v4.1 MAPKVKGKATASQQPPPPIEDLFSNLNRHIERSEFEQAVKVADQVLSVAPNDEDAIRCKVVALIKCDSIDKALSTIHSARRQNLPFDFSYFKAYCLYRQNKLDEALESLKGLERTSETMLLESQILFRLGKMDACVDVYQKLQKSKIDTLEINLVAGLILAGKSSQVQGMMEANRIKASSSFELAYNTACSLIERNKYTDAEQLLLTARRVGQEALMDDNLAEDDIEIELAPIAVQLAYVQQLLGRSPEAMEAYTEIINRNLADESSFAVAVNNLIALKGSKDVSDNLRKLDRLKEQDAQAFQLARGLDKLSPKQKEAIYANRVLLLLHTNKMDQARELVTALPDMFADSVLPVLLQAAVFVRENKAAKAEEILGQFAEKFPDKSRVILLARAQVAAAAGHPQIAADSLSKILDIQHMPATVATLVALKERAGDVDGASTVLDSAIKWWSNAMTEDDKLNVIMQEAASFKVRHGKEEDAARLYEELVRSRGSIEALVGLVNTVARVDVDKAEAYEKKLKPLPGLKGIDVDSLEKTSGAKHVEGASVVVTGAHEEGKKEKPKKKRKRKPRYPKGFDPANPGPPPDPERWLPKRERSSYRPKRKDKRAAQVRGSQGAVVREKHEAGATSTSSNTSNSKSSQATTSKVAAEHSKPSSKSSRKKSRN >Potri.006G186900.1.v4.1 pep chromosome:Pop_tri_v4:6:19410704:19412135:1 gene:Potri.006G186900.v4.1 transcript:Potri.006G186900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G186900.v4.1 MHTKSHQIFIQSQNPQFKTQTLTLDPTQTLTLHNLKLSLLPNNQNPSSFYFTLNGKPLKDSSVLPNPQITPLSTLILQTRLSGGGGDGGATGAESRDCYLNMYAEKKPDKVDPHEQRLSKWLNCSLSNEPLMQPCVIDRLGNMFNKEALVEALIGKKLPKEFGYIKGLKDMINIQLEVVPGEGLGNARFQCPVTGLEFNGKYKFFSLKKCGHVLSAKALKEVKSSACLVCYKEFEECDKIVINGSEEEVEVLRERMEGDRLKVKVKEKKRKVKNGEVEDNGEEFAGHGVVTSRLSGQKHGIVDVKGVDKVAGKVKGNGKVENVKGVSNGGSKRFMAADMVPANATKEVYASIFTSSQKKKFKETYSCRSLPLGRN >Potri.008G034800.1.v4.1 pep chromosome:Pop_tri_v4:8:1894502:1899378:1 gene:Potri.008G034800.v4.1 transcript:Potri.008G034800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034800.v4.1 MENLNFISLLLLSLIAISHASLSDNAYLSLGKSNFPSVQAEKLIRELNLFPNSEVNVIDGGDDGVSFIDQAGYNKRIVERKFRFPNVVGDEEESFTVDDLGNHAGYYKIENSHDARMFYFFFESRTSKKDPVVIWLTGGPGCSSELAMFYENGPYTIANNLSLVRNKYGWDKVSNLLYVDQPTGTGYSYSTDRRDIRHNEEGVSNDLYDFLQAFFKEHPELAKNDFYITGESYAGHYIPAFAARVHRGNKAKEGIHINLKGFAIGNGLTDPAIQYKAYTDYALDMGIIKQAEHDRISKIVPVCEMAIKLCGTDGTVSCMASYLVCSTIFNSIISVAGNINYYDIRKNCEGSLCYDFSNMEKFLGQKSVKEALGVGDIDFVSCSPTVYQAMLMDWMRNLEVGIPALLEDGIKLLVYAGEYDLICNWLGNSRWVHAMEWPGQKAFVASPEVPFEVSGSEAGVLKSYGPLAFLKVHDAGHMVPMDQPEASLEMLKRWTRGTLSEATEEPQQLVAEI >Potri.018G145582.1.v4.1 pep chromosome:Pop_tri_v4:18:15553623:15557670:1 gene:Potri.018G145582.v4.1 transcript:Potri.018G145582.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145582.v4.1 MARQNDPFWDFVGKLDGGRFNCTFCGYKYAAATSITRIKWHLSGVQGRGVAICRQVPEDVQEAAFQAVHGANKRHKSNVNDNPFPTTPQEQNNNEVDNLAGDAGTIQAPDTMIQAIGMFLNDITTVLEDATENGTGGVAQPGAGASSSGGLTGNTNETPGDPLPTSLHVEVDNVAPQGQHLERVTGQPVVRGSSHERPLVNHDEPQEDQLCPPLVNMVGDPGQPVVRDSSREVLQRNGDDSGRDVFLTEELTGGEFENNKNAIWSWVMNDEASSSIGIYGMGGAGKTTLLTHIYNQLLQEPGTFPHVHWITVSQDFSVYKLQNLIAEDFHLDLSNEDNERKRAAKLSKALIEKQRWVLILDDLWDCFDYNKVGIPIRVKGCKLILTTRSFGVCQRMFCQKTIKVEPLSMEEAWALFMKVLGCIPPEVEEIARSIASECAGLPLGIITMAGTMRGVDDRCEWRNALEDLKQSRIRKDDMEPEVFHILRFSFMHLKESELQQCFLYCALFPENFKIRREDLIAYLIDEGVIKGLESREAEFDKGHSMLNKLERVCLLESAEKLADDYRYVKMHDLVRDMAIQILQENSQGMVKAGARLREVPSAEEWTENLTRVSLMHNQIKEIPSSHSPCCPSLSILLLCGNSELQFIADSFFEQLHGLKVLDLSYTGITKLPDSVSELVSLTALLLIECRKIRHVPSLIKLWELKRLDLSGTRALEKIPQGMECLCNLRHLRMNGCGEKEFPSGLLPKLSRPESRIHDRHIGKVPLQDSIPMRTQTYTQTYPNSIRVQRNINNKINFIIIELS >Potri.001G087300.2.v4.1 pep chromosome:Pop_tri_v4:1:6949539:6955873:-1 gene:Potri.001G087300.v4.1 transcript:Potri.001G087300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G087300.v4.1 MTEVANSVVHEVLGPRFLEVDQPIIDYIINVLADEDFDFGDEGEGAFNAIGELLVGAECVSDFSECRLVCSKLSDKFGKHGLVKPKPTVRSLTTPFRMDDGMDEEVKKKKPEPIDGPVLTERDKMKIERRKRKDERQREAQYQIHLAEMEAVRAGMPVACVTHDGGGGGPNIKDIHLENFNISVGGRDLIVDGSVTLSFGRHYGLVGRNGTGKTTFLRYMALHAIDGIPRNCQILHVEQEVVGDDISALQCVLDSDIERTRLLEEEVRLHAQQRDLDFEDATGNGKGDQIGAVNKDAISQRLEEIYKRLELIDAYSAEARAASILAGLSFSPEMQKKATKTFSGGWRMRIALARALFIEPDVLLLDEPTNHLDLHAVLWLESYLVKWPKTFIVVSHAREFLNTVVTDILHLQGQKLTGYKGDYDTFERTREEQIKNQRKAIEANEKSRAHMQTFIDKFRYNAKRASLVQSRIKALDRLGHMDEIVNDPDYKFEFPTPDDRPGAPIISFSDASFGYPGGPLMFKNLNFGIDLDSRIAMVGPNGIGKSTILKLIAGELQPTSGTVFRSAKVRIAVFSQHHVDGLDLSSNPLLYMMRCFPGVPEQKLRAHLGSFGVTGNLALQPMYTLSGGQKSRVAFAKITFKKPHIILLDEPSNHLDLDAVEALIQGLVLFQGGILMVSHDEHLISGSVDELWVVSQGRVTPFHGTFLDYKKILQSS >Potri.005G196850.1.v4.1 pep chromosome:Pop_tri_v4:5:20369299:20370511:1 gene:Potri.005G196850.v4.1 transcript:Potri.005G196850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196850.v4.1 LGNKLQELASISRKSNSLPRTTWNDERTSVLADKGHFVVYTIDQNRFLIPLVYLNSGILRALFELSKDEFGLPSDGPITLPCEAFFMEYIPMLIRRGADKNLEKPLLMSISTCCALSYSLPNDEEPNCCSTSSTVEKGHLVVYSNDRKRFVLPLEYFSNKIARELFKLGEDEFGLPGNRPLTLPCDSVSVKYIINMIQRHVTKVRGHRESIAHVHGQQR >Potri.005G101700.1.v4.1 pep chromosome:Pop_tri_v4:5:7359833:7365582:1 gene:Potri.005G101700.v4.1 transcript:Potri.005G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101700.v4.1 MGGFLHLFDFNQDSMARKILAHKRHVDGLEAPRNSLELQVESSQSCCAAGDAQYSYEVEENWSQKNCYPIEASMKRLINEEISQQSSAKKNAPSIVARLMGVDMLPLETKSAVQTIDNKKAITETKISKKEKNERRSAAHLSSNSNTYRRMELDSLYDVKEQDAYRWSKGQKLGKPSPREHPQEEELQNFKKEFEAWQTARFKEYSKVVEHDSTPGQLLVQENINKKKMALDVDSRIPASERHAEPKCLTSKARSHERSGLQHPRHKVELFPDEQEDFFPARNRTVSRNTEHSLINHDEKLDNSSAHTRIVILKPGPDRICDHDESWTSSSGTFEDRGSIEDFLEEVKERLKCELQGKTQRRSSVVRGSGIETPFSERPSDPKQIAQHIAKQVRDSVTRDLGMSLLRSESTRSYRSEIQFNEPGSPEFINRDTRRFLSERLRNVLRRETHLDDPIVISGISGSSLLENERARLKHVGDSLKAGNEPNYWEIMKDEQEMQTRSFRHGDENGAPHHKLSPRNLIRSLSAPVPGTSFGKLLLEDRHILTGAHIRRKHESLENVTLELKKRKKERFNIKEKVSSFRYSFSLRGRLFGKKIQSMMESHNAEQELVKDIMNGPTVIRNFGERNIMENSTEVPPSPASVCSSAQEEFWRATDYLSPASTPDMTMGEDDAMPQVFKEINSNLNELRRQLNQLGSVKPEETTNEHESNEFKLDDLEDKAEAYVRDLLIASGFYDGSSDKRLLRWDPFGKPISNSVFEDVEKSCNKLLAMDDGATATHHNETKADHRMLFDLSNEALSTVLGPPVTMSRFRRKVIDWSMLPHLHGRKLLDSVWEIIRENLYPFNDKSFYSLDNMVSKYLESSPWSGLIDDEVNNFGGEIECLIMGDLIEETLKDLCMD >Potri.006G233500.1.v4.1 pep chromosome:Pop_tri_v4:6:23607775:23614832:-1 gene:Potri.006G233500.v4.1 transcript:Potri.006G233500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233500.v4.1 MVNHMLMITADFDNIASLQPQGGCDDPDFSYFFKIKCGRCGEVSQKETCVTLNDTVPLLQGKGTAHLVQKCKFCGRDGTVTMIPGKGKPLTQELSEGGKYAPLMLFDFRGYEPEGFVFSGAWTAESIAGTKYEDIDLSGEDFNEYDEKGECPVMISNLRSKFEVVK >Potri.006G150100.1.v4.1 pep chromosome:Pop_tri_v4:6:13053533:13059087:1 gene:Potri.006G150100.v4.1 transcript:Potri.006G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150100.v4.1 MNEKVFSSVVVAGKVVVVAVKASKEIPRSALVWALTHVVQPGDFIKLLVVIPAHSESKKLWGFSRLTSDCTTGHWKSQSGTWLDKKDYVSDLFSEMMLHLHHVYDPEKIKIRVKVVSSSSSGVVAAEVKKAQSNWIILDKHLKHEEKSCMGELKCNVVVMKRSGAKVLRLNLIGSPMMEPQMPLSFPFNIEETSKDFDSKHEQLDVLRGPFVTPASSPEHESSLTPTDVGTSSVSSSDPGTSPLFLSEIYGNQKKEHSYITEGNLSLYESDSDSNTEKLAPSSTRLYFQPWMDDILSSSGELTKNLVEGFNRPNDTNLASTYKNMLKNLSKLDREPDIGVLNYRTDLNLSKSVRKAISLSTNAPLDPPPLCSVCRHKTPIFGSPPKWFTYAELEHATDGFSQENFLATGGFGSVHRGMLTNGQVVAVKQNKLASSQGDIEFCSEVEVLSCAQHRNVVTLIGFCVEDGRRLLVYEYICNGSLDLHLFGCDQDLLKWPVRQKIAVGTARGLRYLHEECRVGCIVHRDMRPNNILVTHDFEPLVGDFGLARWQPNGDIGVETRIIGTFGYLAPEYAQRGHITEKSDIYSFGVVLVELITGRKAVDINRPKGQQCLTEWARPLLEKHAIHELVDPRLSNCYSEPEVHNMLQCASSCIQRDPHSRPRMSQVLRMLEAGDIVMNSTNLIDISQKAPV >Potri.003G126500.1.v4.1 pep chromosome:Pop_tri_v4:3:14583545:14587931:-1 gene:Potri.003G126500.v4.1 transcript:Potri.003G126500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126500.v4.1 MDGRETMAFPSGSSSYYIHRGSGILGSGSGSQHDPLHPPTGFRSLSSPHLASQSNVRPGSSAPAFSIEPPNANFGHGINMAATSEVQVGEPVKKKRGRPRKYGLDGQVSLGLSSFPDKAKPSSGEDSSTSKRNRGRPPGSGRKQQLATLGEWMNSSAGLAFSPHVVSIGVGEDIVSKLLSFSQQRPRAVCILSGTGTVSSVTLRQPASSGPPITYEGRFEILCLSGSYLIAEDGGPRNRTGGISASFSSPDGHVIGGAIAMLIAASPVQVVVCTFLYGGSKKDKQVGRPKNKKDSASQLDDNSANLKSATPTSTPQSFTPSLISVWPGPRPADIRNPHTDIDLTRG >Potri.013G048100.8.v4.1 pep chromosome:Pop_tri_v4:13:3430320:3438895:-1 gene:Potri.013G048100.v4.1 transcript:Potri.013G048100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048100.v4.1 MYCNSNVLIASSTSGEVKISLNCDSAVRCQNFKVPDFNSVLKYLEDKYLKTHKLVTPEFSMKTLFKDLCECYVQVGQLHDPLPNNKALRIDNSDMVVDKKASSSFRLPHSSKNLPKAKHKATACDKKRSVRSLNDITKGAENVKISLVDESGNEDFPKFTYIPHNIIYQNAYLLISLARIADVDCCSSCSGDCLSSQIPCACARETGGEFAYTPKGLLKDDFLTACVCMRRTPKKDHFVYCQDCPLERSKNEPCKGHLLRKFIKECWRKCGCHMTCGNRVVQRGITCDLQVFMTPQGKGWGLRTLQDLPKGSFICEYVGEILTNTELYERNIQNRGNNRHTYPVTLDADWGSEKVLRDEEALCLDATFSGNVARFINHRCHDGNLIDIPVEVETPDHHYYHLAFFTTRDVTASEELTWDYGIDFDDYDHPIKAFRCSCGSAYCRGMNSIG >Potri.013G048100.7.v4.1 pep chromosome:Pop_tri_v4:13:3430484:3442039:-1 gene:Potri.013G048100.v4.1 transcript:Potri.013G048100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G048100.v4.1 MATRERASCAFKATRALGIRDEEVKPVLLNLLKLFDKNWELIEAEDYRALIDAYFESKESERVSDEKSLMEHSVYERPSKRPHLEQQKDQISSSTDSLSPVEKVIFSSMAPKDSTCKRASCAFSSERLAVEPRCSHPQKEKMSSNCHTRELIKPRTKQSVSDEADFAEPPAAVHPVFRGSFVGSTNGNNLVTLLGSQCDASSSKDRMYCNSNVLIASSTSGEVKISLNCDSAVRCQNFKVPDFNSVLKYLEDKYLKTHKLVTPEFSMKTLFKDLCECYVQVGQLHDPLPNNKALRIDNSDMVVDKKASSSFRLPHSSKNLPKAKHKATACDKKRSVRSLNDITKGAENVKISLVDESGNEDFPKFTYIPHNIIYQNAYLLISLARIADVDCCSSCSGDCLSSQIPCACARETGGEFAYTPKGLLKDDFLTACVCMRRTPKKDHFVYCQDCPLERSKNEPCKGHLLRKFIKECWRKCGCHMTCGNRVVQRGITCDLQVFMTPQGKGWGLRTLQDLPKGSFICEYVGEILTNTELYERNIQNRGNNRHTYPVTLDADWGSEKVLRDEEALCLDATFSGNVARFINHRCHDGNLIDIPVEVETPDHHYYHLAFFTTRDVTASEELTWDYGIDFDDYDHPIKAFRCSCGSAYCRGMNSIG >Potri.011G080300.2.v4.1 pep chromosome:Pop_tri_v4:11:8654086:8657172:-1 gene:Potri.011G080300.v4.1 transcript:Potri.011G080300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G080300.v4.1 MGEAEEEGKKRRVMVESLGWLTESSILPKKHRAIEGVGASSIVELKAQLYKSQEEAKKSKDISPSDLEYHRAKKIIPSLDTFSKKNSGVESRAHKDKLELKAVNDGSASYAALERKAELYDKLLRGELSDEEENEKYCVDFFRKGVEQDESTRPRGNNTSAAAPVDDEGKVDGHGDDSVLFNTKFAGPGRTAGAVDKAQHHRLVREVHEEANQARKKVVELKLHRQEQAAAKHEKLKQAYLRKKLEELKAASNANQT >Potri.013G121900.1.v4.1 pep chromosome:Pop_tri_v4:13:13121993:13123665:-1 gene:Potri.013G121900.v4.1 transcript:Potri.013G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G121900.v4.1 MELINEESAGELLQAQTHVWNHIFCFINSMTLKCAVQLGIPDVIQKHGKPMTLSELVSALPIHPSKAQYVHRLMRILVHSGFFSQQNLNQEAYSLTQSTRLLLKDNPWSMRTLLLFVLDPVLTKPHDCLSTWFRNDEATAFSIAHESIFWEYAGQDSRINNLFNDAMASDSILVSKVVVSKCKGIFEGVNSLVDVGGGLGTMAKGIAEAFPHMDCTVFDLPRVVSDLQGSKNLKYVGGDMFEAVPPADVILLKWILHAWSDEDCVKILKRCKQAIMSEGQQKAGKVIIIDMVRENLNGDGGSIETQLFFDLQMMVAATGMERNEKEWAKLFFDAGFLNYKIHPVLGTRALIELYP >Potri.003G074000.1.v4.1 pep chromosome:Pop_tri_v4:3:10162171:10165626:-1 gene:Potri.003G074000.v4.1 transcript:Potri.003G074000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G074000.v4.1 MMVKIMVKKDSFSTLSGLTYQIIIIQRPTPPSSSSLVMVLFLLLIASLLSPCHAATVCNQDDHDSLLSFSSYLSSPLNWDRSTDCCLWEGVDCNETADGRVTSLSLPFRDLTGTLSPYLANLTSLTHLNLSHNRLHGPLPVGFFSSLSGLQVLDLSYNRLDGELPSVDTNNLPIKIVDLSSNHFDGELSHSNSFLRAAWNLTRLNVSNNSFTGQIPSNVCQISPVSITLLDFSSNDFSGNLTPELGECSKLEIFRAGFNNLSGMIPDDLYKATSLVHFSLPVNYLSGPVSDAVVNLTNLKVLELYSNKFSGRIPRDIGKLSKLEQLLLHINSLAGPLPPSLMNCTHLVKLNLRVNFLAGNLSDLDFSTLPKLTTLDLGNNNFAGIFPTSLYSCTSLVAVRLASNQIEGQISPDITALKSLSFLSISANNLTNITGAIRILMGCKSLTALILSNNTMSEGILDDGNTLDSTGFQNLQVLALGRCKLSGQVPSWLASITSLQVIDLSYNQIRGSIPRWLGDLSSLFYLDLSNNLLSGGFPLELAGLRALTSQEAVKRVERSYLELPVFVKPTNATNLQYNQLSSLPPAIYLKNNNLSGNIPVQIGQLKFLHVLDLSDNRFFGNIPDQLSNLTNLEKLDLSGNDLSGEIPTSLSGLHFLSLFNVANNELQGPIPSGGQFDTFPSSSFVGNPGLCGQVLQRSCSSSPGTNHSSAPHKSANIKLVIGLVVGICFGTGLFIAVLALWILSKRRIIPGGDTDNTELDTISINSGFPLEGDKDASLVVLFPSNTYEIKDLTISELLKSTDNFNQANIVGCGGFGLVYKATLGDGSKLAVKKLSGDLGLMEREFRAEVEALSTAQHENLVSLQGYCVHEGCRLLIYSFMENGSLDYWLHEKTDGASNLDWPTRLKIARGAGSGLAYMHQICEPHIVHRDIKSSNILLDEKFEAHVADFGLSRLILPYQTHVTTELVGTLGYIPPEYGQAWVATLRGDIYSFGVVMLELLTGKRPVEVSKPKMSRELVGWVQQMRNEGKQNEVFDPLLRGKGFDDEMLQVLDVACMCVSQNPFKRPTIKEVVDWLKNVGSHRDENKG >Potri.001G006000.1.v4.1 pep chromosome:Pop_tri_v4:1:406026:407383:-1 gene:Potri.001G006000.v4.1 transcript:Potri.001G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G006000.v4.1 MGVLGVVAKRLDAFVGPGIMLVFPLYASLRAIESPSSLDDQQWLTYWIIYSFISIFELSFWRILVWLPFWPYMKLLFCMWLVLPVFSGASYIYMNFVRKYVKFGGTVNGDYPEERKKVLQMLSLDARIAVNQYADKNGWSAVEKAIKAAEREVKKSY >Potri.019G025500.1.v4.1 pep chromosome:Pop_tri_v4:19:3696854:3701150:1 gene:Potri.019G025500.v4.1 transcript:Potri.019G025500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025500.v4.1 MERISYRLLPVFVLVVSLLFHQSMGLNAEGQYLLDIKSRIGDTYNHLSNWNPNDSIPCGWKGVNCTSDYNPVVWRLDLSSMNLSGSLSPSIGGLVHLTLLDLSFNALSQNIPSEIGNCSSLESLYLNNNLFESQLPVELAKLSCLTALNVANNRISGPFPDQIGNLSSLSLLIAYSNNITGSLPASLGNLKHLRTFRAGQNLISGSLPSEIGGCESLEYLGLAQNQLSGEIPKEIGMLQNLTALILRSNQLSGPIPMELSNCTYLETLALYDNKLVGPIPKELGNLVYLKRFYLYRNNLNGTIPREIGNLSSALEIDFSENELTGEIPIELKNIAGLSLLYIFENMLTGVIPDELTTLENLTKLDISINNLTGTIPVGFQHMKQLIMLQLFDNSLSGVIPRGLGVYGKLWVVDISNNHLTGRIPRHLCRNENLILLNMGSNNLTGYIPTGVTNCRPLVQLHLAENGLVGSFPSDLCKLANLSSLELDQNMFTGPIPPEIGQCHVLQRLHLSGNHFTGELPKEIGKLSQLVFFNVSTNFLTGVIPAEIFNCKMLQRLDLTRNNFVGALPSEIGALSQLEILKLSENQLSEHIPVEVGNLSRLTDLQMGGNSFSGEIPAELGGISSLQIALNLSYNNLTGAIPAELGNLVLLEFLLLNDNHLSGEIPDAFDKLSSLLGCNFSNNDLTGPLPSLPLFQKTGISSFLGNKGLCGGTLGNCNEFPHLSSHPPDTEGTSVRIGKIIAIISAVIGGSSLILIIVIIYFMRRPVAIIASLPDKPSSSPVSDIYFSPKDGFTFQDLVVATDNFDDSFVLGRGACGTVYKAVLRCGRIIAVKRLASNREGNNIDNSFRAEILTLGNIRHRNIVKLYGFCNHQGSNLLLYEYLARGSLGELLHGSSCGLDWRTRFKIALGAAQGLAYLHHDCKPRIFHRDIKSNNILLDEKFEAHVGDFGLAKVIDMPQWKSMSAVAGSYGYIAPEYAYTMKVTEKCDIYSYGVVLLELLTGRTPVQSLDQGGDLVSWVRNYIQVHSLSPGMLDDRINLQDQNTIPHMITVMKIALVCTSMSPLDRPTMREVVSMLMESNKLEGHFDSSSSSSPSHHSNSSDELIIHV >Potri.017G085400.6.v4.1 pep chromosome:Pop_tri_v4:17:9830653:9836411:-1 gene:Potri.017G085400.v4.1 transcript:Potri.017G085400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085400.v4.1 MSTSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELCEPFGKIVNTKCNVGNNRNQAFVEFAEQNQAIQMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDNPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGYVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPEHVGSCNLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAIDGPVQSTVGADGKKKEPESNVLLASIENMQYAVTVDVLHTVFSGFGTVQKIAIFEKNGGTQALIQYPDVATAAVAKETLEGHCIYDGGYCKLHLSYSRHTDLNVKAFSDKSRDYTIPDASFLTAQAPGLHTAPTTWQNPQAGSMYLGSNYATTAAAPAQVPPGQVAAWDPSMQAGGPGYSSVRGMYPGQTYPTPPAYASSALPPGSSPHSYSSPISPGVASMGMTHPGVQPNMRPGGASPPGQTPYYGR >Potri.017G085400.7.v4.1 pep chromosome:Pop_tri_v4:17:9830679:9836392:-1 gene:Potri.017G085400.v4.1 transcript:Potri.017G085400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085400.v4.1 MSTSGQPQFRYTQTPSKVLHLRNLPWECTEEELIELCEPFGKIVNTKCNVGNNRNQAFVEFAEQNQAIQMVSYYASSSEPAQVRGKTVYIQYSNRHEIVNNKSPGDNPGNVLLVTIEGVEAGDVSIDVIHLVFSAFGYVHKIATFEKAAGFQALIQFTDAETASSARNALDGRSIPRYLLPEHVGSCNLRISYSAHTDLNIKFQSHRSRDYTNPYLPVNPTAIDGPVQSTVGADGKKKEPESNVLLASIENMQYAVTVDVLHTVFSGFGTVQKIAIFEKNGGTQALIQYPGMLPSVMTDCLQI >Potri.013G014600.2.v4.1 pep chromosome:Pop_tri_v4:13:935680:936607:1 gene:Potri.013G014600.v4.1 transcript:Potri.013G014600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G014600.v4.1 MALPLLFTALCLILMLSQSLPSSSLLQETNFLDQNPTAYDILGDYNLPKGLLPKGVVGYSLDTTTGKFSAFWNGTCSFSLEGSYQLRYKSTINGYISKGRLSRLEGVSVKLFFFWVDIIDVTRNGDDLEFSVGIAGADFSVDNFEECPQCGCGLNCGGKTRKVSKIRSNPFVSSS >Potri.007G140700.1.v4.1 pep chromosome:Pop_tri_v4:7:15093169:15094674:1 gene:Potri.007G140700.v4.1 transcript:Potri.007G140700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140700.v4.1 MVKEKRVRESHVLVLPLPLQGHINPMLQFSKRLASKGLKVTLIITPSIAKSMQGQDSSINLEPIFDGSKEGEMTINIDKYFERYKLTMPHSLSNLIDRYNGSEYPVKFLIYDSVLPWALDMARNKGIEGGPFFTQSCAVTAVLYHAAQGAFQVPVEEYDQAAVSLPSLENLEFNDLPSFVSDAGSYPAIRELLLGHLKLKCELLFLHNIVVNWMRINKWPIKPIGPMIPSMFLDKRLGYDKDYGLNLFKPNSDACMKWMDLKEPGSVVYVSFGSLAAVGEEQMAELAWVLAHKLVGCFMTHCGWNSTLEALSLGVPMVAMPQWTDQPTNAKYITDVGHVRVRVNVNEKGIVTKEEVERYIREVMESERSNAIRGNFDKWKKLAQTAVDIGGSSDKNIEEFVTEVACTSQRYRKVRHLLS >Potri.003G161700.1.v4.1 pep chromosome:Pop_tri_v4:3:17138127:17140882:1 gene:Potri.003G161700.v4.1 transcript:Potri.003G161700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G161700.v4.1 MTKDTDNKFLNVGLLILATLLVAKLISALIMPRSQKRLPPVMKGWPLIGGLIRFLKGPIVMLREEYPKLGSVFTVNLANWKITFLIGPEVSAHFFKASEADLSQQEVYQFNVPTFGPGVVFDVDYSIRQEQFRFFTESLRVSKLKGYVDQMVVEAEDYFSKWGDSGVVDIKYELEHLIILTASRCLLGREVRDKLFDDVSALFHDLDNGMLPISVLFPYLPIPAHRRRDRARKKLAEIFANIINSRKLAGKSENDMLQCFIDSKYKDGRPTTESEITGLLIAALFAGQHTSSITSTWTGAYLLRHNEYLSAVLEEQKNLMKKHGNKVDQDILSEMGVLHRCIKEALRLHPPLIMLLRSSHSDFSVTTRDGKEYDIPKGHIVATSPAFANRLPHVFKDPERYDPDRFAAGREEDKAAGAFSYISFGGGRHGCLGEPFAYLQIKAIWSHLLRNFEFELISPFPETDWNAMVVGVKDKVMVRYKRRELSVN >Potri.005G036800.1.v4.1 pep chromosome:Pop_tri_v4:5:2359538:2361050:-1 gene:Potri.005G036800.v4.1 transcript:Potri.005G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036800.v4.1 MYTMDSYRFTHMILPLLVLFILSTPHGVVSQTTNTNDGQPYNYARVTPSMAIIIVVLIAVLFIMGFFSIYIRHCNEANGNGSIRPLGMGGLSRRVAASRGLDPAVIETFPTLIYSVVKGLKIGKGALECAVCLNEFEEDETLRLIPNCDHVFHPDCIGAWLESHTTCPVCRADLTKPADSVPQLGESHDPELDLEAQNGAVMDEPENGNANVEVVGPEPEVMGASVVKTLNRNRTRGSRSGRPLRFTRSHSTGHSVVQPGENTDRFTLRLPVEVRKQVMNRKLNRAMSMVVLSRQGSSVKGNKNGVGEGSPRKVFNYKRLEKLDQEARSDRWVFSRNPSLLARASSFLSRASSSVRSPKVAANGNQGDSPRQVGPNAGESSRPLV >Potri.017G078700.1.v4.1 pep chromosome:Pop_tri_v4:17:8709639:8712002:-1 gene:Potri.017G078700.v4.1 transcript:Potri.017G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078700.v4.1 MPTTTTTVLLFLLLIHLTITTPSPIPGLDTFLSHRSTVDPSSTNDSFPSLPSSLKKSLSLSSPHPHIPSLISSLLSLTLPLSLHIRLVGSSFPSDSSSLLQTFLSTAHISDHFHVITTDSHRLSIKHSPHLEVSHAGSTLSSRLSEALKSSISESTSSLRSPLLSIPYNTVDRIIKQDFDREKPVQGVYVYLINLGSQSKNYAYSYSEGDSSPGFTKCLGTIWTGKERYLWIDLSAGPVDYGPAISGDGVLPRGEFHPLTAMHGRPKSHKALLADLASLIWNAYQVLLVPSLRIPVHFQNSLIVEFIHIYGSGSGKDLSGLDWKEIEKTFMDEANEGGLLLRNQNLAFRKYEVNYDQCSICSFAISRSINSYTSRFLFDNYTLIVSEYLDSKRLHQILSDSAEEFRRMAGTPEEDFSRVLPVYVFDLDYNTLLMLDRYHQSVAFRDMVIAVRTKTTQTVSDYSCNGRHMFTHTRVLERPLVGSILQSMWGVSPTHLSWSPRHNNTLVDYTWSVGQTPFGPFSEISSLSFVQKDAARRNVLLTSLNYSISSVIDVLESIIAHGGDRKLLKQNQHVQFIQRWNLFKYKLDKAISAMSHMDFDMALYYLRSSDHDMYAIHSLVYHASQELEASLVCFKDPPFPWGSVSMSAVVFFALVYVYSKRESLFRNKRKQF >Potri.001G005400.1.v4.1 pep chromosome:Pop_tri_v4:1:365281:368778:1 gene:Potri.001G005400.v4.1 transcript:Potri.001G005400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G005400.v4.1 MGKFTRVCVFCGSKSGNKKIFSDAALDLGRQLVERKMDLVYGGGSVGLMGLVSQTVYDGGSHVLGIIPTALVPIEISGETVGEVLIVSDMHERKAEMARRADAFIALPGGYGTFEELLEMITWSQLGIHNKPVGLLNVDGYYDSLLGLFDKSVEEGFVNASARNIVVSARTARELIQRMEDYIPVHEQVTSNQSCNVEECNANF >Potri.004G041800.1.v4.1 pep chromosome:Pop_tri_v4:4:3332588:3338150:1 gene:Potri.004G041800.v4.1 transcript:Potri.004G041800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041800.v4.1 MDYLQLRVLPLLALLASLIYFFYFQDSPTLFERSDCSLFPYNHYWIISKRIVTPHGVISGAVEVKEEKIVSIIKEEDWHGNLKKGQIIDYGEAVVMPGLIDVHAHLNDPGRTEWEGFPSGTRAAAAGGITTLIDMPLNNFPATVSAETLKLKIDAAEKNIYVDVGFWGGLVPENAFDANSLEALLSAGALGLKSFMCPSGINDFPMTNSSHIKEGLSILAKYRRPLLVHAEIPQDSESHLENGENDPRSYSTYLKTRPPSWEEAAIRELLTVSKDTRIGGPAEGAHLHVVHLSDAGSSLQLLKEAKSNGDSVTVETCPHYLAFSAEEIKDGDTCFKCAPPIRDAANKERLWTALLEGDIDMLSSDHSPSVPDLKLFDEGNFLKAWGGISSLQFVLPVTWSHGRQYGVTLEQLALWWSERPAKLAGQDLKGAIAVGNHADITVWEPNVEFELNNDLPVYLKHPSISAYMGSKLSGKVMSTFVRGNLVYKEGKHAPAACGAPILAT >Potri.004G041800.4.v4.1 pep chromosome:Pop_tri_v4:4:3332593:3336950:1 gene:Potri.004G041800.v4.1 transcript:Potri.004G041800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041800.v4.1 MDYLQLRVLPLLALLASLIYFFYFQDSPTLFERSDCSLFPYNHYWIISKRIVTPHGVISGAVEVKEEKIVSIIKEEDWHGNLKKGQIIDYGEAVVMPGLIDVHAHLNDPGRTEWEGFPSGTRAAAAGGITTLIDMPLNNFPATVSAETLKLKIDAAEKNIYVDVGFWGGLVPENAFDANSLEALLSAGALGLKSFMCPSGINDFPMTNSSHIKEGLSILAKYRRPLLVHAEIPQDSESHLENGENDPRSYSTYLKTRPPSWEEAAIRELLTVSKDTRIGGPAEGAHLHVVHLSDAGSSLQLLKEAKSNGDSVTVETCPHYLAFSAEEIKDGDTCFKCAPPIRDAANKERLWTALLEGDIDMLSSDHSPSVPDLKLFDEGNFLKAWGGISSLQVGLSFITNSYNCFISTMPIHVKLYWLTSSRCNLVVIQNIVLQCARLEFQRVGLSSLKLEIVIWWTWITGY >Potri.006G248900.1.v4.1 pep chromosome:Pop_tri_v4:6:24864877:24867498:1 gene:Potri.006G248900.v4.1 transcript:Potri.006G248900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G248900.v4.1 MGAGREVSISLDGVRDKNVMQLKKLNTALFPVRYNDKYYADALASGDFTKLAYYSDICVGSIACRLEKKEGGGLRVYIMTLGVLAPYRGLGIGTKLLNHVIDLCSKQHISEMYLHVQTNNEDAISFYKKFGFEITDTIQNYYTNITPPDCYLLTKFITETKN >Potri.017G086000.1.v4.1 pep chromosome:Pop_tri_v4:17:9877478:9881611:1 gene:Potri.017G086000.v4.1 transcript:Potri.017G086000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G086000.v4.1 MKSRSRSKLLCNQMLQNLSYFTSNNAKLERVSSLFTHISIEFRFFTCSLTDPSLKPHKDLSSFNFNGIAHSVISKCSHFFDKKEPKRRNFLYDASFKMPLLDISDVIPHVTRRFLRVLRLKPEDVLEMLLGFQFECERVAVKSTKVESLWEIFKCANEQDKGFRHFPKSCEVMASILVRHGMFREAQLLLLAMERQGISMDSSKIFVSLIEGYVGVGDLERAVLVYDQMRDRDLVPSLLCCRALVDLSVRMKRTQLAFRVSLDLVELGISVSEGENASFENVVRLLCRDGMIREARNFIRKLMALGFEPSSLVLNEIALGYCEQDFEDSVRCFAEMKCSPNVLTGNKILFSLCTGFGVERANLFRLKLEHLGFMPDEVTFGILICWCCRERKLSGAFNYLSELLSRGLKPNIWCYHALISALFKEGMWEHAQDILDEMVDMGTAPVLSTFKILLAGYCRARRFDEVKVVIHEMVNRGLIESSALEDPLSKAFMVLELKTLSVRLKRDNDVEFSKTEFFDNLGNGLYLDTDLDEYDKRVTGILEDSMVPDFDFLVRKECSNGNFKVAYSLTGEMARWGQELSLSVVSALLKGLCTPRSYIKLCSSLLEKMPKLVNQLDQEVLNLLVQAYCKIGLTHKGWLIFNQMLQRNLTINSETFTALIKGLCKKENLRNLHDCWDFALNGKWLPGLVDCISVVECLCHCGMLKEVLELLERMLVLNPESRLKVLHIFLEKLSLTGFSSIAHLFVEELLQHGCALDQIAYSHLIKGLCKEQKYKVAFAVLDIMLARKMVPCLDVSLILIPQLCKADKLQTAIELMENVLRVRTTFHSDFTKRFCVTGKAGEAANIFQNMLSKGLLPDADIYNMLLQQFCHTKNLKKVRELLGVVIRKTASLTISSYRSYVRLMCLEGKVDYALSLKKVMVQESKSASIILYNILIFYLLTAGESMHVKKVLNELQEEGLVLNEVTYNFLVYGFSKCKDVSTGMHYLSTMISKELRPSYRSLSTVITFLCDIGELDKVLELSREIELKGWILGSIAQNAIVEGLLFQDKVEAAKQFLDRMVYKGLTPQSISYDNLIKRFCCLGRLDKAIDLLNVMLKKGNMPSSTSYDSVICGFCSRNQLNQAMDFHAEMLDRNLKPSINTWDLLVKQYCQQGQPAEAAKLLLSMVQVGETPTRLMYCSVIDGYRMENNPRKASELMQMMQQSGYEPDFDTHWSLISNLSNSSDKDYNKSSQGFLSSLLAGSGFSSKKDLNAKLG >Potri.011G033200.1.v4.1 pep chromosome:Pop_tri_v4:11:2682409:2682779:-1 gene:Potri.011G033200.v4.1 transcript:Potri.011G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G033200.v4.1 MSPTKTFPNKTNCNTDAKNTRLDRSRASNGIGYLSCWCPLSRLMNYMMVKCSLPLSGLSTSNTCCLARSR >Potri.001G135200.1.v4.1 pep chromosome:Pop_tri_v4:1:11011282:11016375:1 gene:Potri.001G135200.v4.1 transcript:Potri.001G135200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135200.v4.1 MAALQYLETLRNAHPELDEWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALIQLYHNFITDFETKINLLKLAHFAVIVSRQYTEKDAAISYLEGVIEKLRATREQRIEEPVLYIKMQIAIFKLEQGDQKECKRLLEDGKSTLDSMTDIDPSVYASYYWVSSQYYKHRQEFSEFYKSALLYLAYTSVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTQVEWLYYILQAFNSGDLVRYQELCRVHNAPLKAQPALVQNEPKLLEKINILCLMEIIFSRPSEDRTIPLKVIAERTKLSIEDVEHLLMKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDNWLDKVHTALLSVEAETPDLVAS >Potri.002G062000.1.v4.1 pep chromosome:Pop_tri_v4:2:4275864:4276961:1 gene:Potri.002G062000.v4.1 transcript:Potri.002G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062000.v4.1 MTRRKIEIKKIQNEDYRKVTFSKRRAGLIKKAKFLCSGSNAQIAIVSYSLSGRLFTFGHPSVDSVVDPFLDNYTTDSCGSSSNESPHVSTHGPSPPSRGVVDGKEGRPSWWALPVEDMDLDMDGLRQYKASLEILKSNVASRLKEMEERASLTRDFIRPMPNIALV >Potri.001G202100.1.v4.1 pep chromosome:Pop_tri_v4:1:20233251:20235833:-1 gene:Potri.001G202100.v4.1 transcript:Potri.001G202100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G202100.v4.1 MLTFLYPRAQTLTLLLMSLLLPLAKPFTVIVSDSSNQSALVDGPQSGFTMNKNGARTDAREQEAVYDIMRATGNDWATDIPDVCRGRWHGIECMPDKDNVYHVVSLSFGTLSDDTAFPTCDPARSYISESIMKLPHLKTLFFYRCFSDNPQPIPSFLGQLSPTLQTLVLRENGHVGLVPAELGNLTRLKVLDLHKNNLNGSIPVSLGRLAGLKSLDLSGNKLTGSIFSLSFPVLNVLDLSQNMLTGSIPSSLGFCHSLIKIDFSRNRLSGSIPESIGSLKELILMDLSYNRLSKPLPTSIRSLNSLQALILKGNPMGSTTLASKEFDGMKSLMVLILSNTNLHGPIPESLGRLTNLRVIHLDGNHLNGSIPINFKDLKNLGELRLNDNQLTGPVPFGREMVWKMRRKLKLYNNTGLCYDANSGFEDGLDSTFDSGIGLCNTPEPGSARTVQHLSAIDGETMPSTTNKSSAALHKASVFVRLLQQTIAIVSTLIFIST >Potri.011G073316.2.v4.1 pep chromosome:Pop_tri_v4:11:7415078:7417012:1 gene:Potri.011G073316.v4.1 transcript:Potri.011G073316.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073316.v4.1 MRVVHGYMMFKHFYYFTICLLTVILPYQLLRAELKGLDLKTGSFTLKQLKAASDNFNSANKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSFLTLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWVNHRQSVSVAILN >Potri.011G073316.1.v4.1 pep chromosome:Pop_tri_v4:11:7415078:7417012:1 gene:Potri.011G073316.v4.1 transcript:Potri.011G073316.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073316.v4.1 MRVVHGYMMFKHFYYFTICLLTVILPYQLLRAELKGLDLKTGSFTLKQLKAASDNFNSANKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGCCIEGDQLLLVYEYMENNSLSRALFGAGSETSFLTLDWPTRYKICVGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKENLMEIVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKHLKGHYQQVMDQSLNSTQDLFPRSDKSWIGNSSTSAHDLYPINPESISLNLSETSSLI >Potri.001G472300.2.v4.1 pep chromosome:Pop_tri_v4:1:49528875:49534178:-1 gene:Potri.001G472300.v4.1 transcript:Potri.001G472300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G472300.v4.1 MSQPPPPSTTTTYTTIPISAGDVISRSLQNFTSSFSILRPWPELFTSGSFTRPDSFATALTRLRANFHHFRVNYSIIIYACGALSLIGSPFSLLIFSSVLSLWLLLYFFREDPLVLWGYDVSDRLVLIGLVLVSVLGVWLSGAAWNLVWGVLIGFLVCAIHAVLRNSDGLLVPGEEAALVGSGYVSGSYGALSASSDGGGQFIK >Potri.009G031100.2.v4.1 pep chromosome:Pop_tri_v4:9:4198505:4202938:1 gene:Potri.009G031100.v4.1 transcript:Potri.009G031100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031100.v4.1 MSDTATPAQFPGTDQPVPNQDDALRQNGSVIDPNTRTTAVETLTRPNNKGNQQPVTPVRAKRRSSETTIETSWLPPGWVVEDRIRTSGATAGTVDKYYIDPASGRKFRSKKDVQYYLETGTLKKKGKLTENSDADTNSAGNLKVDKNKSGANTSFALNFDSFNVPDRTEWVLANAKEDTWIPFIDGKKVPLYDKEQWDFAFASLTTSSHGKRKR >Potri.007G086750.1.v4.1 pep chromosome:Pop_tri_v4:7:11190579:11190908:-1 gene:Potri.007G086750.v4.1 transcript:Potri.007G086750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086750.v4.1 MTVGKIAVSRLNHQVNYTRRKESIWSRSRSLKEVILGEDAPPPLEFSKNRSRSVKITKRDSRSTRAVRPRN >Potri.009G140500.1.v4.1 pep chromosome:Pop_tri_v4:9:11253057:11254469:1 gene:Potri.009G140500.v4.1 transcript:Potri.009G140500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140500.v4.1 MDSLFVNQALSRLKLSPKLTIPSYFSYQSPLHLKQNHGRKPYNSFTLFAIQDQQETQNPLQETTQNIEDDESYGEVSKIIGSRAVEGGKGMEYFIEWKDGHTPSWVPSDFIAKDVVAEYETPWWTAAKKADSSALSQILSENEDERRDVNAVDSDGRTALLFVSGLGSEPCVKLLAEAGAELDHRDNSGGLTALHMAAGYVKPGVVKLLVDLGADPEVKDDRGLTPLDLAKEILRVTPKGNPMQFGRRLGLESVIRNLEEGIFEYAEVQEILEKRGKGKDLEYLVKWKDGSDNEWVKAKFIGEDLVMDFEAGLEYAVAKGVVGKRLGDDGKNEYLVKWTDIDEATWEPEENVDLDLIKEFEEGQINGVGSVEAQLSSDGL >Potri.002G257400.6.v4.1 pep chromosome:Pop_tri_v4:2:24595758:24602304:1 gene:Potri.002G257400.v4.1 transcript:Potri.002G257400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257400.v4.1 MAPNPRVVNAFRAMRAIGITEKQVKPVLKKMLKLYDKNWELIEEENYRALADAIFEEEEAKVPEEKDEAAEGTLEEETLASSEPELPLKRLRRGQDGQVSGSPSDIEAGLGGSPFKKSKVEGKGLAGETSEQQSSDMRISQPKPIAIWSPNRNTSSQTVSPRRLAVLEHSKQRSNEGKDPLLSEATAQQKRPNLKGSSQAVHLKDPIVQQGIVLSPKQKMPLIRPKDEPFTDDVPFDNAPQPIAIIRPDCASKEQYFNQRVSSLKQHRQERPASQVLAGEGREENLPVPSSLTRDSRELATIPEEAQANLEIATSAMGEVKISLSCNSLLGRPNFHMPSQDELLKSMQEKCLRSYKIIDPNFSVMQILKDMCECFLDLATDSSHESQERILNVTPALDLLKKSAGVGAIKQNDRIQAYFANRSVDACCFDGMAALQIPRPLQLSNGLEVMQSSEEVIVNGCSGSGKEKEFEDAEYGSLIVVPQHQLTADEFRFLNYHSDITKGEEMFEIPWSNEVNSEFPPVFNYIPRNLIFQNAYVNFSLSQIRAENCCSACIGNCLSSSTPCVCSSDSEHGFAYTLEGLVKEDFLEDCISLTRNPQRQFLFYCRDCPLERSKNDEMLEPCKGHLKRKYIKECWSKCGCHKQCGNRVVQRGIMCKLQVFFTPEGKGWGLRTLELLPKGTFVCEYVGEILTNKEFYERKMQRATSNKTEKHAYPAVLDADWCLKGVVNDEEALCLDATFYGNVARFINHRCLDANMIEIPVKIETPDHHYYHLAFFTTREVNASEELTWDYGIDFDDTDQPVELFHCRCGSKFCRNMKRSSRSNSAAR >Potri.002G257400.3.v4.1 pep chromosome:Pop_tri_v4:2:24595741:24602305:1 gene:Potri.002G257400.v4.1 transcript:Potri.002G257400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257400.v4.1 MAPNPRVVNAFRAMRAIGITEKQVKPVLKKMLKLYDKNWELIEEENYRALADAIFEEEEAKVPEEKDEAAEGTLEEETLASSEPELPLKRLRRGQDGQVSGSPSDIEAGLGGSPFKKSKVEGKGLAGETSEQQSSDMRISQPKPIAIWSPNRNTSSQTVSPRRLAVLEHSKQRSNEGKDPLLSEATAQQKRPNLKGSSQAVHLKDPIVQQGIVLSPKQKMPLIRPKDEPFTDDVPFDNAPQPIAIIRPDCASKEQYFNQRVSSLKQHRQERPASQVLAGEGREENLPVPSSLTRDSRELATIPEEAQANLEIATSAMGEERILNVTPALDLLKKSAGVGAIKQNDRIQAYFANRSVDACCFDGMAALQIPRPLQLSNGLEVMQSSEEVIVNGCSGSGKEKEFEDAEYGSLIVVPQHQLTADEFRFLNYHSDITKGEEMFEIPWSNEVNSEFPPVFNYIPRNLIFQNAYVNFSLSQIRAENCCSACIGNCLSSSTPCVCSSDSEHGFAYTLEGLVKEDFLEDCISLTRNPQRQFLFYCRDCPLERSKNDEMLEPCKGHLKRKYIKECWSKCGCHKQCGNRVVQRGIMCKLQVFFTPEGKGWGLRTLELLPKGTFVCEYVGEILTNKEFYERKMQRATSNKTEKHAYPAVLDADWCLKGVVNDEEALCLDATFYGNVARFINHRCLDANMIEIPVKIETPDHHYYHLAFFTTREVNASEELTWDYGIDFDDTDQPVELFHCRCGSKFCRNMKRSSRSNSAAR >Potri.002G209988.1.v4.1 pep chromosome:Pop_tri_v4:2:18425880:18426272:-1 gene:Potri.002G209988.v4.1 transcript:Potri.002G209988.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209988.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYRDNNASLREALWSDIVSRSDG >Potri.005G225200.1.v4.1 pep chromosome:Pop_tri_v4:5:22590096:22592980:1 gene:Potri.005G225200.v4.1 transcript:Potri.005G225200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225200.v4.1 MSQAAHLVTKNLAGYGSSEGRCLLCSGIYGRAVLVSPCSSHCVRYNHSQAQFGKMKCSFRASYIVCKSSGGHRRNPDFSRQNKQVSRNRNRQNEKRSGFENLDESDLLTSKNGSLLSFSGTPKFQATAAPGPREAAAKEDKKFEASQGKGRESETVDSLLKLLRKHSTEQGKKKTSNVSSGNFNLDQPENGAYKKAKGTSSFNSSKKERNDALEPNTSFTKPPAKFRRKSPVPQVKFQPIYSSEDLVNSTSHLNLNGEKRNQFEILPDTTEELELDPEIEPEEEPELDSEQEPESAFPGGDMFDELSVGESSDIENVDEGGEKHQQIEYEVLSSLKLPELRVLAKSQGVKGFSKMKKGELVELLSGSSV >Potri.007G000300.1.v4.1 pep chromosome:Pop_tri_v4:7:8647:15856:1 gene:Potri.007G000300.v4.1 transcript:Potri.007G000300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G000300.v4.1 MYSSSGDSNGYHQDNKQQLGMSQRDRVRRVRAKSRDGYGEEQKVTPCTEFDKAYFNSYAHVGIHEEMIKDHVRTNTYRDAIFHHQSLIEGKVVVDVGCGTGILSIFCAQAGAKRVYAVDASDIAVQANEVVKANNLSDKVIVLHGRVEDVEIDEEVDVIISEWMGYMLLYESMLGSVITARDRWLKHGGLILPSNATLYMAPVTHPDRYRESIEFWRNVYGIDMSAMLPLAKQCAFEEPSVETISGENVLTWPHVVKHVDCYTITIDELESVTTTYKFRSMMRAPFHGFAFWFDVEFGGPAASPINPRAPVLPTAPSNNSPMDGSQRKKRTNPNEALVLSTAPEDPPTHWQQTLIYFYDPIDVEQDQLIEGSATLTQSKENRRFMNINLRYSSGGRSFVKESVMR >Potri.003G016733.1.v4.1 pep chromosome:Pop_tri_v4:3:1806101:1806499:1 gene:Potri.003G016733.v4.1 transcript:Potri.003G016733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G016733.v4.1 MEPVHLFKKKLKPSHISHALSIPTHALEAFPIPEGAHMMNFEADDATDHAWRFCLSTRMTGAYPKPVLLRSSWHRFVEQKGLAPEDRVVFFMERDEANDMIRRYTVRAQRKVMTLMGQDVWVDVEDLPLYGL >Potri.007G123700.1.v4.1 pep chromosome:Pop_tri_v4:7:14060012:14062904:1 gene:Potri.007G123700.v4.1 transcript:Potri.007G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123700.v4.1 MACEKRKILIFGGTGYLGLHMIKASLSMGHPTYAYVRPVKPYTNPSKLDLLKEFESMGVTVFQGELEEHEKLVSAVKQVDVVISTLAVPQHLDQLKIISAMKEAGNIKRFVPSEFGNEVDRVSGLPPFETVLDNKRKIRRASEAAGLSYTYVSANSFAAYFVDYLLHPHEKREEVLVYGSGEAKAVLNYEEDVAAYTVKAATDPRVANRVIIYRPPGNIVSQLGLISSWEKKTGRTLKKIHVPEEEIVKLSESLPFPENVPPSILHNIFIKGEQVSFELTADDLEASELYPDYKYTSVDSLLDICLVNPPKPKLAAFS >Potri.001G452000.2.v4.1 pep chromosome:Pop_tri_v4:1:47908687:47910852:1 gene:Potri.001G452000.v4.1 transcript:Potri.001G452000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G452000.v4.1 MMERPICHLQSMNGELLTDIMSRVDGPALASVASTCSELRGIARDQSLWKKLCHSRWPSTALEEAEHLISGSVHGCFDKFYSDACPLVLYDEVANANSLKVLECAELNPRTSPSDLLSLIDVYYKNKCVLSRISDGIPEAVDIFKHGLVDSSWEMIADERQKWFLNYPFKLELMDLKDDEDDDDEALASPISSLNEAGKSRENLCKKLIEDLRLSWILVDKKTGKAVNLSSWKPLSVQKNWPYHATYVMQFGCVLPVEESLLPQKLARFIVTTRFKITEREECLEWREISMRIENIEGAHVNGRSSLMILNKALYSQRSTNQFKVEEGLRKYEKQKREMMRRRESREALADRLFTFIEITVFVILYVALRLLV >Potri.005G143700.3.v4.1 pep chromosome:Pop_tri_v4:5:11526225:11529311:-1 gene:Potri.005G143700.v4.1 transcript:Potri.005G143700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G143700.v4.1 MEFTLHPLFLLQSLLLLSSSVSYNPSVKYFMNCGSDTDVDDLDGSPRTFVGDENSNSSFSVGKSTSIQNENPLPGISLLYHTARIYTKLTPYTLDITQNGSYLVRLHFFPFSFKGTHLADALFNVSASNFSLLTDFRVPNSTTEFPVIKEFFLTIAVGNFNIYFKPAEETPFAFVNAIEAFLLPQNFFMDNASATPPLRTKDGALRTRYRINVGGLEVNDTLWRNWVPDDDYLAFGGSGANRPFSGKLHQASQGLTVQEIAPDSVYTTCKEASVDNNGASNFPNITWRFNVSKKARHLVRLHFCDFISVSPGTVKFDLNLSTNLSQVIDPNSGGFSEMASPFFLDFMVPSDDSGYMSFSIAPGNNSIPKVAFLNGLEIMEFVGNTTIVVPVDEHEAKNHLVLIIGSAGGVALVLVLILLFSLCLRLKRPKPVKAEFLYGKGRSPSWITEKTENASSNVTNLNLKLKMSLAEILAATHNFNPKLLIGEGGFGKVYKGTLETGMKVAVKRSDSSHGQGLPEFRTEVMVLSKIQHRHLVSLVGYCDEGSEMILVFEFLEKGTLRDHLYSTKECSKNPSAKTELNWKQRLEICIGSAKGLHYLHTGPDGGIFHRDVKSTNILLDEHYVAKVADFGLSQQGMPDPDHISMGFKGTFGYLDPEYLRTFQLTNKSDVYSFGVVLLEVLCARLPVVDSQQKEEINLAEWGMFWQKEGQLEKIIDPLLAGHINPNSLRKFGEIAEKCLKPQGADRPNMIDVCWDLEYAMQLQQTAVHREAHEDTTTTGVSSDSALPVMQNMSSNMFPVDDFSDTTDTVMYPN >Potri.005G143700.2.v4.1 pep chromosome:Pop_tri_v4:5:11526226:11529082:-1 gene:Potri.005G143700.v4.1 transcript:Potri.005G143700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G143700.v4.1 MEFTLHPLFLLQSLLLLSSSVSYNPSVKYFMNCGSDTDVDDLDGSPRTFVGDENSNSSFSVGKSTSIQNENPLPGISLLYHTARIYTKLTPYTLDITQNGSYLVRLHFFPFSFKGTHLADALFNVSASNFSLLTDFRVPNSTTEFPVIKEFFLTIAVGNFNIYFKPAEETPFAFVNAIEAFLLPQNFFMDNASATPPLRTKDGALRTRYRINVGGLEVNDTLWRNWVPDDDYLAFGGSGANRPFSGKLHQASQGLTVQEIAPDSVYTTCKEASVDNNGASNFPNITWRFNVSKKARHLVRLHFCDFISVSPGTVKFDLNLSTNLSQVIDPNSGGFSEMASPFFLDFMVPSDDSGYMSFSIAPGNNSIPKVAFLNGLEIMEFVGNTTIVVPVDEHEAKNHLVLIIGSAGGVALVLVLILLFSLCLRLKRPKPVKAEFLYGKGRSPSWITEKTENASSNVTNLNLKLKMSLAEILAATHNFNPKLLIGEGGFGKVYKGTLETGMKVAVKRSDSSHGQGLPEFRTEVMVLSKIQHRHLVSLVGYCDEGSEMILVFEFLEKGTLRDHLYSTKECSKNPSAKTELNWKQRLEICIGSAKGLHYLHTGPDGGIFHRDVKSTNILLDEHYVAKVADFGLSQQGMPDPDHISMGFKVLCARLPVVDSQQKEEINLAEWGMFWQKEGQLEKIIDPLLAGHINPNSLRKFGEIAEKCLKPQGADRPNMIDVCWDLEYAMQLQQTAVHREAHEDTTTTGVSSDSALPVMQNMSSNMFPVDDFSDTTDTVMYPN >Potri.004G061100.1.v4.1 pep chromosome:Pop_tri_v4:4:5093338:5094841:1 gene:Potri.004G061100.v4.1 transcript:Potri.004G061100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061100.v4.1 MDISKCSSSPSLPESSSSPKRIQRVSKFVSDRLLDKFFDATEFDFDYEQSGIWSPPIRRSAFLSSPGRIFTEEEMLEKLGNVMDARRARRHNKACCNVVCCF >Potri.004G061100.2.v4.1 pep chromosome:Pop_tri_v4:4:5093338:5094841:1 gene:Potri.004G061100.v4.1 transcript:Potri.004G061100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061100.v4.1 MDISKCSSSPSLPESSSSPKRIQRVSKFVSDRLLDKFFDATEFDFDYEQSGIWSPPIRRSAFLSSPGRIFTEEEMLEKLGNVMDARRARRHNKACCNVWHW >Potri.004G061100.3.v4.1 pep chromosome:Pop_tri_v4:4:5093559:5094718:1 gene:Potri.004G061100.v4.1 transcript:Potri.004G061100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061100.v4.1 MDISKCSSSPSLPESSSSPKRIQRVSKFVSDRLLDKFFDATEFDFDYEQSGIWSPPIRRSAFLSSPGRIFTEEEMLEKLGNVMDARRARRHNKACCNQACTSTSAHIAPSLI >Potri.015G045700.1.v4.1 pep chromosome:Pop_tri_v4:15:4464790:4468210:-1 gene:Potri.015G045700.v4.1 transcript:Potri.015G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045700.v4.1 MCSETSPRTSFSNDLVQENDRETEQVSRRDTTLLDSNSDFEFSICSNLGHESSPADDLFADGMILPVQIQERITASKEIYRHESPRRASLPPLPSPPPNENLTKDSMRELMVVNSDHFEGKPQSKSFWGFKRSSSLNYDIKRSLCSLTLLSRSNSTGSVPNSKRTTLKDTHKSNSQKQQSTAMEKSASSSSSSSVSSLVYSLPQKPPLKKSGYYGKISPVLNVPPPYIYKGAANLFGLGSFLRNGKEKKIRK >Potri.002G189900.1.v4.1 pep chromosome:Pop_tri_v4:2:15175644:15180775:-1 gene:Potri.002G189900.v4.1 transcript:Potri.002G189900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G189900.v4.1 MAAKKISTVLSRSFSSAAAPPCFFSRVRGGGGQSRLISRYNTTLAAVEDPITPPVSVKYNQLLINGQFVDAASGKTFPTLDPRTGEVIAHVAEGDVEDVNRAVSAARKAFDEGPWPRMTAYERSRIIWRFADLLEKHTDEIAALETWDNGKPYEQSAKIEIPMTVRIFRYYAGWADKIHGLTVPADGPYHVQTLHEPIGVAGQIIPWNFPMLMFSWKVGPALACGNTVVIKTAEQTPLSAVYAAKLFHEAGLPDGVLNVVSGFGPTAGAALASHMDVDKLAFTGSTDTGKIVLELASKSNLKPVTLELGGKSPFIVCEDADVDQAVELSHSAVFFNQGQCCCAGSRTFVHERVYDEFVEKAKARANQRAVGDPFKEGIEQGPQVDSDQFEKILRIIRSGVESGANLKAGGDRFGTTGYYIQPTVFSDVQDDMLIAKEEIFGPVQSIFKFKDLDEVIQRSNNSRYGLAAGIFTHNLDTANTLSRALKVGTVWINCYDVFDAAIPFGGYKMSGNGREKGIYSLNNYLQVKAVVTSLKNPAWL >Potri.008G097600.1.v4.1 pep chromosome:Pop_tri_v4:8:6075616:6079132:1 gene:Potri.008G097600.v4.1 transcript:Potri.008G097600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097600.v4.1 MAGSAIKPTPLLKDELDIVIPTIRNLDFLEMWRPFFEQYHLIIVQDGDPSKTIKVPDGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIYTIDDDCFVAKNPSGEQINALEQHIKNLLSPSTPYFFNTLYDPYREGADFVRGYPFSLREGAATAVSHGLWLNIPDYDAPTQLVKPLERNKRYVDAVLTVPKGTLFPMCGMNLAFNRELIGPAMYFGLMGDGQPIGRYDDMWAGWCMKVICDHMGWGVKTGLPYIWHSKASNPFVNLKKEYRGIYWQEDLIPFFQAAVLPKECVTVQQCYIELAKQVKEKLGKVDPYFIKLADAMVTWIEAWDGLNSSEEKSSKQPNGTAK >Potri.001G315400.1.v4.1 pep chromosome:Pop_tri_v4:1:32617718:32618413:-1 gene:Potri.001G315400.v4.1 transcript:Potri.001G315400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315400.v4.1 MTRGNQREKDRERAQARGGNKVNKGKDDGLTHEQRRERDAKALQEKAAKKAAQAAGGESSGGGKGNNKK >Potri.006G068200.1.v4.1 pep chromosome:Pop_tri_v4:6:4985653:4987754:-1 gene:Potri.006G068200.v4.1 transcript:Potri.006G068200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068200.v4.1 MLLRSSSTPILNTWIPPHSKEPSPEPESLHQIQKSRSISLTASSSSSFSSISSQDNDSIKRMTRAFSETDLRDLSVPKRKPSNRILNGISVDEEVEEEVEKKVSFLESGWFFEGCEVGVKGQSDSGMLGVMVTGGGSEGGGGKFYGGGGSDFGDDGGSGFGESNKGIESTDVYYQKMIEADPGNPLLLSNYAKFLKEVRADFVKAEEYCGRAILASPNDADVLSMYADLIWHSQKHASRAESYFDQAVKAAPDDCYVMASYARFLWDAEEEEEEDEQGENISELLPPTFSHGSTPPLPPLAAAS >Potri.005G180400.1.v4.1 pep chromosome:Pop_tri_v4:5:18658166:18659801:-1 gene:Potri.005G180400.v4.1 transcript:Potri.005G180400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180400.v4.1 MGNIIISFLSGFTKVIGDLFGSPLDFLAGKSCSSVCASPWDFFCYIENFCVANLLKMVAVLALLYIVLLFFYLLYKTGICQCVGHSLCKTAWACLVAWFSMWGYCCIFMSDKLIMLKRVRHGHRSDSSEFDTSEEDFDHIPRTMEISGSSSRRTRDYRRVHLRKSLRPRSHRIRVGLGSDFDYGSGRIPNAKHGKHFSTIHSIRVTHTSQFVRKRAGFRGRAYPRQRR >Potri.005G210900.1.v4.1 pep chromosome:Pop_tri_v4:5:21511420:21514908:-1 gene:Potri.005G210900.v4.1 transcript:Potri.005G210900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210900.v4.1 MGRPLSTVEIPDRRYIPDRQNSRYTPESDASPDHRRRRDRGSPSYQSYDDRHREIDRGRRRRSSSPDYSRSPRRRTTRRSPSPRQRQTLDHPLPKKFGKGRSFLDRNGRESDEESDEELKGLSFEERRRLKRQKMRKSMSYCIWNVSPSPPRGDNEEEFEEKADKIEEKHGEDEKKSDDSSGKEKEKGNNGKGRMESENSGSSESESDDSREKRRRGLSSKRRRRRNSDSESDVSESEPDSEEDRKRRKKSRKSISRRRNSSKSIGRRRKSTRKKSKYSDSDYSGSEESDDDRVKSKKRSRSSGSQSKGSKKKRTTETEGENLDSNEGSGSEKNEVNDDDDADKAETDVEALMFKEMIEAQKKPALDNEPEVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFENLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGEDVGPSHDPFAAKASDDADA >Potri.005G210900.4.v4.1 pep chromosome:Pop_tri_v4:5:21511401:21514908:-1 gene:Potri.005G210900.v4.1 transcript:Potri.005G210900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G210900.v4.1 MGRPLSTVEIPDRRYIPDRQNSRYTPESDASPDHRRRRDRGSPSYQSYDDRHREIDRGRRRRSSSPDYSRSPRRRTTRRSPSPRQRQTLDHPLPKKFGKGRSFLDRNGRESDEESDEELKGLSFEERRRLKRQKMRKSMSYCIWNVSPSPPRGDNEEEFEEKADKIEEKHGEDEKKSDDSSGKEKEKGNNGKGRMESENSGSSESESDDSREKRRRGLSSKRRRRRNSDSESDVSESEPDSEEDRKRRKKSRKSISRRRNSSKSIGRRRKSTRKKSKYSDSDYSGSEESDDDRVKSKKRSRSSGSQSKGSKKKRTTETEGENLDSNEGSGSEKNEVNDDDDADKAETDVEALMFKEMIEAQKKPALDNEPEVGPMPLPRAEGHISYGGALRPGEGDAIAQYVQQGKRIPRRGEVGLSADEIQKFENLGYVMSGSRHQRMNAIRIRKENQVYSAEDKRALAMFNYEEKAKREHKVMADLQRLVQRHIGEDVGPSHDPFAAKASDDADA >Potri.001G471500.3.v4.1 pep chromosome:Pop_tri_v4:1:49461246:49462972:1 gene:Potri.001G471500.v4.1 transcript:Potri.001G471500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471500.v4.1 MGLNQCFSFVFFIFLLMGLFASPSFASTFISDGVFESHASTGRNLLQTKKACPVNFEFLNYTIITSQCKGPQYTPSRCCGSFKEFACPYADVINDLTNDCASIMFSYINLYGKYPPGLFANECKEGKLGLACPAPAPSELAADKNGSQIMRGPILLLMFLAGFLVVFFQLL >Potri.001G471500.4.v4.1 pep chromosome:Pop_tri_v4:1:49461747:49462694:1 gene:Potri.001G471500.v4.1 transcript:Potri.001G471500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471500.v4.1 MGLNQCFSFVFFIFLLMGLFASPSFASTFISDGVFESHASTGRNLLQTKKACPVNFEFLNYTIITSQCKGPQYTPSRCCGSFKEFACPYADVINDLTNDCASIMFSYINLYGKYPPGLFANECKEGKLGLACPAPAPSELAADKNGSQIMRGPILLLMFLAGFLVVFFQLL >Potri.004G101250.2.v4.1 pep chromosome:Pop_tri_v4:4:8788449:8825917:-1 gene:Potri.004G101250.v4.1 transcript:Potri.004G101250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101250.v4.1 MSKNFVRENVPLSRFGVLVAQLESIGASASQQSPDPLLSFDLLSDLLSAIDEEPRESILLWQRKCEDALYSLLKLGARRPVRHLASVAMAKIISRGDSISIYSRASSLQGFLSDAKRSEPQRVAGAVRCLGELYQHFGRKITSGLPETTIIASKLMKFHEDFVRQEALLMLEKALEGSGGSAASTAYTEAFRLITRFAIGDKSFVVRIAGARCLKVFASIGGPCLGVGEIENSASYCVKALEDPVSLVRDAFSEALGSLLALGMNPEAQVQPRGKGPFPPAKKLEGGLQRHLALPFTKVSGTRLKDVRIGITLSWVYFLQAIRLKYLHPDSELQQYALQVMEMLRSDTSVDAHALACILYVLRVGVTDQMTEPTQRGFLVFLGKQLESSDATPSMKIAALRTLSYTLKTLGEVPLEFKELFDSTIVAAVSHSSQLVRIEAALALRVLAEVDPTCVGGLISYVVTTLSALRDNISFEKGSNLKTELDSLNGQATVLAALVSISPKLPLGYPARLPRSVLELSKKMLTESSRNPIAAIVEKEAGWLLLSSLLSSMPKQELEDQVFDILLLWATLFSGNPEREIQKIEDLASTICVWSAAVDALTAFVRCFISHNAANNGILLQPVMVYLSSALSYILLLQRKELPNIKPAIDIFIIRTLMAYRALPDSMAYKSDHPQIIQLCTIPFRDAARCEESSCLRLLLDKRDAWLGPWIPGRDWFEDEVRAFQGGKDGLMPCVWDNEPSSFPLPETINKMLVNQMLLCFGIMFASQDNGGMLLLLGMVEQCLKAGKKQSWHEASVTNICVGLLAGLKALIALRPQPLGPEILNGAQAIFQSILAEGDICASQRRASSEGLGLLARLGNDIFTAKMTRLLLGDLPGATDFNYAGSIAFALGCIHRSAGGMALSSLVPQTVSSISLLAKSTITGLQIWSLYGLLLTIEASGFSYVSHVQATLGLALDILLSEENGLVDFQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVIAEISSWQETATLLESVRFTQQLVLFAPQAVSVHTHVQTLLSTLSSGQPTLRHLAVSTLRHLIEKDPVSISDEQIEDNLFHMLNEETDSVIGSLVQATIMRLLLASCPSCPSHWILICRNMVLATLGRQDTDTNRSAGNDPLNGPDNDSGMDLGEDDENMVSSSKGMPVQGYAFGAHRINHNRDKHLRYRTRVFAAECLSHLPIAVGKNPAHFDLSLARKQSTNGELSRDWLVLHVQELISLAYQISTIQFENMRPIGVRLLTAILDKFEKSPDPELPGHLLLEQYQAQLVSAVRTALDASSGPILLEAGLQLATKIMTSGVLGGDQVAVKRMFSLISRPLNDFKDVYYPSFAEWVSCKIKIRLLAAHASLKCYTFSFLRRHHSGVPDEYLALLPLFSKSSNILGKYWIGVLKDYSYICLCLDAKKNWNPFLDGIQSPIVSSKVQLSLEESWPVILQALALDAIPANTHGNSKETDENTSNNSLISGYSMVELKLEDYRFLWGFSLLVLFQRQHPTLTRRIILLSSAEVRYGGDSPTEETNTAALKQYEIVLPVFQFLLTERFFTEEFITLDICRELLQVFFYSIYMDNSWNTLSISVLSQIVQNCPADFLEAEALGYLVVELLLAYIFNVFQRTYEVLSDHSNCEELISPLFITAKTLVKRCEPKKQLKSVVVALVLVGYKCIREALTELSFSTVNDFVKCVIPLMKKLVDDSAEHGNNSSHLRAILGTCLNVIADLIKDCIKGIHLLENKRSDLLKLLQLKLSFSIEQMMLFAKLVYESVYGRQAEDSNTICLAVLKYCSKYIQTVLKDSNVQVQAIGLQVLKTMTQRSTNIEDSSFFIFFSGELVTEIFHIIHTSLKKPVSKESVSIAGECLRFLVLLQTLSKANECQRGFMNLLLKAIVMIFSASEDDSSQEVSDIRTNAVRLVSSLAQIPSSAVHFKDVLLSMPVSHKQQLQGVIRASVAQHQNASPMKTVASLEIKLPVPKDSQTSSTSTLPIEGSRQKSSTPSSPVHFDQVTMEDDQEDEDDWDAFQSFPASTDAAGTVSKAESAAQEPDLVEKSISESEFQDFSTSKPVNNEGDMSSAEHQEVISNDLGHNIKPEPYNDQYHNREEEGVALNQENVKISTDLQLIDEAPSHKDEEGAVSSQENIETSPDLKVIEDTEGSIQVNIVEDYEQTMHSLRNSIDHQSQVSPDDLQPVEVKEQVEANIVQDHDQLKVPPDQQNVVP >Potri.004G101250.3.v4.1 pep chromosome:Pop_tri_v4:4:8792947:8825984:-1 gene:Potri.004G101250.v4.1 transcript:Potri.004G101250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G101250.v4.1 MSKNFVRENVPLSRFGVLVAQLESIGASASQQSPDPLLSFDLLSDLLSAIDEEPRESILLWQRKCEDALYSLLKLGARRPVRHLASVAMAKIISRGDSISIYSRASSLQGFLSDAKRSEPQRVAGAVRCLGELYQHFGRKITSGLPETTIIASKLMKFHEDFVRQEALLMLEKALEGSGGSAASTAYTEAFRLITRFAIGDKSFVVRIAGARCLKVFASIGGPCLGVGEIENSASYCVKALEDPVSLVRDAFSEALGSLLALGMNPEAQVQPRGKGPFPPAKKLEGGLQRHLALPFTKVSGTRLKDVRIGITLSWVYFLQAIRLKYLHPDSELQQYALQVMEMLRSDTSVDAHALACILYVLRVGVTDQMTEPTQRGFLVFLGKQLESSDATPSMKIAALRTLSYTLKTLGEVPLEFKELFDSTIVAAVSHSSQLVRIEAALALRVLAEVDPTCVGGLISYVVTTLSALRDNISFEKGSNLKTELDSLNGQATVLAALVSISPKLPLGYPARLPRSVLELSKKMLTESSRNPIAAIVEKEAGWLLLSSLLSSMPKQELEDQVFDILLLWATLFSGNPEREIQKIEDLASTICVWSAAVDALTAFVRCFISHNAANNGILLQPVMVYLSSALSYILLLQRKELPNIKPAIDIFIIRTLMAYRALPDSMAYKSDHPQIIQLCTIPFRDAARCEESSCLRLLLDKRDAWLGPWIPGRDWFEDEVRAFQGGKDGLMPCVWDNEPSSFPLPETINKMLVNQMLLCFGIMFASQDNGGMLLLLGMVEQCLKAGKKQSWHEASVTNICVGLLAGLKALIALRPQPLGPEILNGAQAIFQSILAEGDICASQRRASSEGLGLLARLGNDIFTAKMTRLLLGDLPGATDFNYAGSIAFALGCIHRSAGGMALSSLVPQTVSSISLLAKSTITGLQIWSLYGLLLTIEASGFSYVSHVQATLGLALDILLSEENGLVDFQQGVGRLINAIVAVLGPELAPGSIFFSRCKSVIAEISSWQETATLLESVRFTQQLVLFAPQAVSVHTHVQTLLSTLSSGQPTLRHLAVSTLRHLIEKDPVSISDEQIEDNLFHMLNEETDSVIGSLVQATIMRLLLASCPSCPSHWILICRNMVLATLGRQDTDTNRSAGNDPLNGPDNDSGMDLGEDDENMVSSSKGMPVQGYAFGAHRINHNRDKHLRYRTRVFAAECLSHLPIAVGKNPAHFDLSLARKQSTNGELSRDWLVLHVQELISLAYQISTIQFENMRPIGVRLLTAILDKFEKSPDPELPGHLLLEQYQAQLVSAVRTALDASSGPILLEAGLQLATKIMTSGVLGGDQVAVKRMFSLISRPLNDFKDVYYPSFAEWVSCKIKIRLLAAHASLKCYTFSFLRRHHSGVPDEYLALLPLFSKSSNILGKYWIGVLKDYSYICLCLDAKKNWNPFLDGIQSPIVSSKVQLSLEESWPVILQALALDAIPANTHGNSKETDENTSNNSLISGYSMVELKLEDYRFLWGFSLLVLFQRQHPTLTRRIILLSSAEVRYGGDSPTEETNTAALKQYEIVLPVFQFLLTERFFTEEFITLDICRELLQVFFYSIYMDNSWNTLSISVLSQ >Potri.002G085300.1.v4.1 pep chromosome:Pop_tri_v4:2:6087671:6091742:-1 gene:Potri.002G085300.v4.1 transcript:Potri.002G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G085300.v4.1 MMARNCDSRAGVTALSLILLCLTISNNIVPVAEAIWLSIPNSGTKCVSEEIQNDVVVLADYYVLNEEHPEHPVTVTVRVTSPYGNNLHHNENATHGQFAFTTSEGGNYLACFTLDGHQEPATGVTLSLDWKIGIAAKDWDSVAKKEKIEGVELEVRKLKDHAEAIHNNLLYLKQREADMREVSEKTNSRVAWFSIMSLGVCIVVSVLQLWHLKRYFQKKKLI >Potri.014G148700.9.v4.1 pep chromosome:Pop_tri_v4:14:10195406:10204856:-1 gene:Potri.014G148700.v4.1 transcript:Potri.014G148700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148700.v4.1 MSALNLSWHSKSLDSQVALRCGAYPTCSHQTNALAFRGSESMGHSLKFPFGNSSAKTRLRNHIRPPLRVVCVDYPRPDLDNTVNFLEAALLSSSFRSSPRPAKPLNVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGILAILKNNEMLTWPEKVKFAIGLLPAIVGGQAYVEAQDGLSVQEWMRKQGVPDRVTTEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIQLRGGEVKLNSRIKKIELNDDGTVKSFLLNTGDVIEGDVYVFATPVDTLKLLLPDNWKEIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSLTCKEYYDPNKSMLELVFAPAEEWISRSDSEIIDATMGELAKLFPDEISADQSKAKIVKYHVVKTPRSVYKTVPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDYELLVARGQGRLTEATIT >Potri.014G148700.5.v4.1 pep chromosome:Pop_tri_v4:14:10195408:10205117:-1 gene:Potri.014G148700.v4.1 transcript:Potri.014G148700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148700.v4.1 MSALNLSWHSKSLDSQVALRCGAYPTCSHQTNALAFRGSESMGHSLKFPFGNSSAKTRLRNHIRPPLRVVCVDYPRPDLDNTVNFLEAALLSSSFRSSPRPAKPLNVVIAGAGLAGLSTAKYLADAGHKPILLEARDVLGGKVAAWKDDDGDWYETGLHIFFGAYPNVQNLFGELGINDRLQWKEHSMIFAMPNKPGEFSRFDFPEVLPAPLNGILAILKNNEMLTWPEKVKFAIGLLPAIVGGQAYVEAQDGLSVQEWMRKQGVPDRVTTEVFIAMSKALNFINPDELSMQCILIALNRFLQEKHGSKMAFLDGNPPERLCMPIVDHIQLRGGEVKLNSRIKKIELNDDGTVKSFLLNTGDVIEGDVYVFATPVDTLKLLLPDNWKEIPYFKKLEKLVGVPVINVHIWFDRKLKNTYDHLLFSRSPLLSVYADMSLTCKEYYDPNKSMLELVFAPAEEWISRSDSEIIDATMGELAKLFPDEISADQSKAKIVKYHVVKTPRSVYKTVPDCEPCRPLQRSPIEGFYLAGDYTKQKYLASMEGAVLSGKLCAQAIVQDYELLVARGQGRLTEATIT >Potri.001G118500.2.v4.1 pep chromosome:Pop_tri_v4:1:9634928:9638326:-1 gene:Potri.001G118500.v4.1 transcript:Potri.001G118500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118500.v4.1 MTPLTNFLENCNIDASTSTIATLLTLFAIIWYARRRAESKKGRPSLPPGPRGLPLIGNLASLDPDLHTYFAGLARTYGPILKLRLGSKLGIIVSSPNLAREVLKDHDITFANRDVPDVARIAAYGGSDIAWSPYGPEWRMLRKVCVLKMLSNSTLDSVYELRRREVRNIIAYIYSKPGSPINVGEQTFLTILNVVTSMLWGGTVQGEERGSLGAEFRRVVADMTELLGAPNISDFFPALARFDLQGLVKKMSGLAPKFDQIFDRMIEKQLSIDALGDTAGASSKDFLQFLLKVKDEGDVKTPLTMTHIKALLMDMVVGGSDTSSNAIEFAFAEVMNKPEVMRKAQDELDRVVGKDNIVEESHIHKLPYLHAIMKESLRLHPVLPLLIPHCPSETCTIGGFSVPKGARVFINVWAVHRDPSIWENPLEFKPERFLNSKFDYSGSDFNYFPFGSGRRICAGIAMAERMFLYFLATLLHSFDWKLPEGKQMDLTEKFGIVLKLKNPLVAIPTPRLSNPALYA >Potri.006G161538.1.v4.1 pep chromosome:Pop_tri_v4:6:14695734:14699172:1 gene:Potri.006G161538.v4.1 transcript:Potri.006G161538.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G161538.v4.1 MRKHLKVIMLGFVILVWGVCSSTSGRAYGAISTATMQEIDRVNEKGPYLGIVVPNSFEMNPLLQSPSFVADLEVPYLDYFGRRFRFGKVENEKVIIVMTGLSMLNAGIATQLLLILFKVKGVLHYGIAGNANPQLQIGDVTIPQYWAHTGLWNWQRYGDGPNEELALESNGDYTREIGYLRFANYQNASENGKPVDNFLNNVWYQPEEVFPADGIPEVRQHSFWVPVNKHYFKVAKRIEDMTFQRCVNSTCLPRAPKAVRVKSGASANAFVDNSAYREFLNSKFNITPIDMESAAVALVCHQQQIPFIAIRALSDLAGGGSAVSNEAGIFASLAAQNAVDASLRFITLLFP >Potri.005G003300.1.v4.1 pep chromosome:Pop_tri_v4:5:280646:286471:-1 gene:Potri.005G003300.v4.1 transcript:Potri.005G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G003300.v4.1 MASDLNAELSKKTAILGLKVWEVIGICVALFIVIILSVLSFCLTSRKKSRRDRNHLPPSQIPTVSKEITEVRVEQVSTNEFVPRDGILLTIHDKSSDKESDKVLVHLGMGKVKNGDNMSRSGSFHHLEKDCGSQSGEEGSSGKVTVYKPSSSYPITAPSPLSGLPEFSHLGWGHWFTLRDLELATNRFSKENVLGEGGYGVVYQGHLINGTPVAVKKILNNLGQAEKEFRVEVDAIGHVRHKNLVRLLGYCIEGTHRILVYEYVNNGNLEQWLHGAMRQHGYLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANTGLLNEKSDVYSFGVVLLEAITGRDPVDYGRPTHEVNLVDWLKMMVGNRRSEEVVDPNIEVRPSTRALKRALLTALRCVDPDSEKRPKMSQVVRMLESEEYPIPREDRRHRRTQGGMEIESQKENSDTDRSDYPGSRTESRPT >Potri.015G105300.3.v4.1 pep chromosome:Pop_tri_v4:15:12399797:12405885:-1 gene:Potri.015G105300.v4.1 transcript:Potri.015G105300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105300.v4.1 MASSEISAKANSGNIRGGGESFTSGYSEAMEGQKNHSTHPSSARVVDAETALYNELWHACAGPLVTVPREGDRVFYFPQGHIEQVEASTNQVADQQMPLYNLLPKILCRVVNVQLKAEPDTDEVFAQVTLLPEHNQDESVLEKEPPPPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAVSTGTLFTVYYKPRTSPAEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPGRWKNSKWRCLKVRWDETSTMPRPERVSPWKIEPALAPPALNPLPLPRPKRPRANMVPSSPDSSVLTRDGSFKVTADPPSASGFSRVLQGQEFSTLRGTFTESNESNAAEKSVMWPSSADDEKIDVLSTSRRFGSERWMSSARHEPTCTDLLSGFGTNSDSFHGFGAPFVDQTAVAANPTKKHLSDQGQFNLLASPWSIMSSGLLLKLSESNTKVPVQGSDVTYQARANVFSEYPVLQGHRVEQSHKNWMMHPPPSHFDNHANSRELMPKPVLMQEHDSGKSLEGNCKLFGIPLKISKPVAPEAAGTTITMNEPLSHIQPVSHQLTFESDQKSEQSKGSKMTDENENEKPFQAGHLRTKDNHGKAQNGSTRSCTKVHKQGIALGRSVDLAKFNNYDELIAELDRLFEFNGELMAPQKNWLIVYTDDEDDMMLVGDDPWQEFVGMVRKIVIYTKEEAQKIKPGALNSKGVENPMDMEGEDDAKEAKHLPLPSACSPMNC >Potri.015G105300.6.v4.1 pep chromosome:Pop_tri_v4:15:12400855:12405846:-1 gene:Potri.015G105300.v4.1 transcript:Potri.015G105300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G105300.v4.1 MASSEISAKANSGNIRGGGESFTSGYSEAMEGQKNHSTHPSSARVVDAETALYNELWHACAGPLVTVPREGDRVFYFPQGHIEQVEASTNQVADQQMPLYNLLPKILCRVVNVQLKAEPDTDEVFAQVTLLPEHNQDESVLEKEPPPPPPPRFHVHSFCKTLTASDTSTHGGFSVLRRHADECLPPLDMSRQPPTQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSVFVSSKRLVAGDAFIFLRGENGELRVGVRRAMRQQGNVPSSVISSHSMHLGVLATAWHAVSTGTLFTVYYKPRTSPAEFIVPFDQYMESVKNNYSIGMRFKMRFEGEEAPEQRFTGTIVGIEDADPGRWKNSKWRCLKVRWDETSTMPRPERVSPWKIEPALAPPALNPLPLPRPKRPRANMVPSSPDSSVLTRDGSFKVTADPPSASGFSRVLQGQEFSTLRGTFTESNESNAAEKSVMWPSSADDEKIDVLSTSRRFGSERWMSSARHEPTCTDLLSGFGTNSDSFHGFGAPFVDQTAVAANPTKKHLSDQGQFNLLASPWSIMSSGLLLKLSESNTKVPVQGSDVTYQARANVFSEYPVLQGHRVEQSHKNWMMHPPPSHFDNHANSRELMPKPVLMQEHDSGKSLEGNCKLFGIPLKISKPVAPEAAGTTITMNEPLSHIQPVSHQLTFESDQKSEQSKGSKMTDENENEKPFQAGHLRTKDNHGKAQNGSTRSCTKVHKQGIALGRSVDLAKFNNYDELIAELDRLFEFNGELMAPQKNWLIVYTDDEDDMMLVGDDPWQEFVGMVRKIVIYTKEEAQKIKPGALNSKGVENPMDMEGEDDAKEAKHLPLPSACSPMNC >Potri.005G120700.4.v4.1 pep chromosome:Pop_tri_v4:5:8941448:8948965:-1 gene:Potri.005G120700.v4.1 transcript:Potri.005G120700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120700.v4.1 MRFFFLLIHRTPEDPEYLSEESDNSAKNQCLSNGDSHESIDGSMEFNISYHKASQSSNKDMTNGITHVTKLFDVPGYAFMVDKSMSLPKTDNEYPPLHVSDDGHLNIDFMGEMMGEKKLRKTMSHPANGSADGLVFGNEVRPHKEYVRNVSLPNETFVTISDVNLKTHPSHLPPPSRPPPAFDFKKRDFSKSTPNCQGVASSGSAGDSSPPYFDVEVDASSSAAASAAAIEEAMEKAQAKLKSAKELMERKRDGFQSRTKSGSKNDRKDREGRVSKNDDVSGSKKYEEGTCERENKIEFSVMEERKKIRIPDSVEGKRHLNAAEKSSDEKHGRESLSSQGSDRIDEAGEWKEATQFFELVRTNVPRKVTESENNDNILLQNTNIHERGQKVKKAATEAMQQQQENGKKVQAFTADHELEEYAKNPKVSKPARDHGGSNGRSEAAKVSHGEKGLAMKVQVAQEVFRVEDEERFRMNLQSIGTEKRQTRANGSQKHENVVEVPREQSKIEVRQTAEDKEKGPLPKEAIRSVENEKQLIRKKDGGERRGRSTFEQEENEKMLKAPLEQMENERRLKEALKQGEKEKRINEACVREETEKKQREAYEKEEKEKRLRAALEWEENERKLKEAFVKEENERRLKEICEEYERRLGEATDREENERRQREVREREENEKRLKEALEKEENEGRLREFCQSEENEKRPKEALEHENKKKQKEANEREGTEKKSKEVFENEGIEETLEQEANEKRLEETNELVESGKLREALEGEASELGTCEPEEIGDASQEIRNLGNIEVTLKDVSENDELGVLNEMGGNCRVAKQACETDENRNLGSTRLVGKHEGKNGKQEVTGENAHEEISKVPPGLKIGNKEATVETVNVQVDGQTKVSGVDQGNLEHEKNQSIVEDDAAASVYGDERMRKAGEAGNGTGQMNIEKTKKAFQIESDTANQGKEFDQDRGERRKNMPQAVVMNQEDKKDNFMSTGAVKKSVVTGRKIEAAQPADLEAKGSTLGSTQQFNVSERKMKNLNKTLSPEEKEAERMRREKELEMERLRKMEEEREREREREKDRMAVDRAALEARERVHTEARDRAERAAVERAITEARERLEKACVEAREKSLADNKTYLEARLRERAAVERATAEVRERAFGKVMSERTAFETRERVERSVSDKFSASSRNGGMGPSSSSSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITSAAVKKVYRKATLCVHPDKLQQRGASLQQKYICEKVFDLLKEAWNKFNSEER >Potri.005G120700.5.v4.1 pep chromosome:Pop_tri_v4:5:8941987:8948965:-1 gene:Potri.005G120700.v4.1 transcript:Potri.005G120700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120700.v4.1 MRFFFLLIHRTPEDPEYLSEESDNSAKNQCLSNGDSHESIDGSMEFNISYHKASQSSNKDMTNGITHVTKLFDVPGYAFMVDKSMSLPKTDNEYPPLHVSDDGHLNIDFMGEMMGEKKLRKTMSHPANGSADGLVFGNEVRPHKEYVRNVSLPNETFVTISDVNLKTHPSHLPPPSRPPPAFDFKKRDFSKSTPNCQGVASSGSAGDSSPPYFDVEVDASSSAAASAAAIEEAMEKAQAKLKSAKELMERKRDGFQSRTKSGSKNDRKDREGRVSKNDDVSGSKKYEEGTCERENKIEFSVMEERKKIRIPDSVEGKRHLNAAEKSSDEKHGRESLSSQGSDRIDEAGEWKEATQFFELVRTNVPRKVTESENNDNILLQNTNIHERGQKVKKAATEAMQQQQENGKKVQAFTADHELEEYAKNPKVSKPARDHGGSNGRSEAAKVSHGEKGLAMKVQVAQEVFRVEDEERFRMNLQSIGTEKRQTRANGSQKHENVVEVPREQSKIEVRQTAEDKEKGPLPKEAIRSVENEKQLIRKKDGGERRGRSTFEQEENEKMLKAPLEQMENERRLKEALKQGEKEKRINEACVREETEKKQREAYEKEEKEKRLRAALEWEENERKLKEAFVKEENERRLKEICEEYERRLGEATDREENERRQREVREREENEKRLKEALEKEENEGRLREFCQSEENEKRPKEALEHENKKKQKEANEREGTEKKSKEVFENEGIEETLEQEANEKRLEETNELVESGKLREALEGEASELGTCEPEEIGDASQEIRNLGNIEVTLKDVSENDELGVLNEMGGNCRVAKQACETDENRNLGSTRLVGKHEGKNGKQEVTGENAHEEISKVPPGLKIGNKEATVETVNVQVDGQTKVSGVDQGNLEHEKNQSIVEDDAAASVYGDERMRKAGEAGNGTGQMNIEKTKKAFQIESDTANQGKEFDQDRGERRKNMPQAVVMNQEDKKDNFMSTGAVKKSVVTGRKIEAAQPADLEAKGSTLGSTQQFNVSERKMKNLNKTLSPEEKEAERMRREKELEMERLRKMEEEREREREREKDRMAVDRAALEARERVHTEARDRAERAAVERAITEARERLEKACVEAREKSLADNKTYLEARLRERAAVERATAEVRERAFGKVMSERTAFETRERVERSVSDKFSASSRNGGMGPSSSSSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITSAAVKKVYRKATLCVHPDKLQQRGASLQQKYICEKVFDLLKEAWNKFNSEER >Potri.005G120700.6.v4.1 pep chromosome:Pop_tri_v4:5:8941449:8948965:-1 gene:Potri.005G120700.v4.1 transcript:Potri.005G120700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120700.v4.1 MRFFFLLIHRTPEDPEYLSEESDNSAKNQCLSNGDSHESIDGSMEFNISYHKASQSSNKDMTNGITHVTKLFDVPGYAFMVDKSMSLPKTDNEYPPLHVSDDGHLNIDFMGEMMGEKKLRKTMSHPANGSADGLVFGNEVRPHKEYVRNVSLPNETFVTISDVNLKTHPSHLPPPSRPPPAFDFKKRDFSKSTPNCQGVASSGSAGDSSPPYFDVEVDASSSAAASAAAIEEAMEKAQAKLKSAKELMERKRDGFQSRTKSGSKNDRKDREGRVSKNDDVSGSKKYEEGTCERENKIEFSVMEERKKIRIPDSVEGKRHLNAAEKSSDEKHGRESLSSQGSDRIDEAGEWKEATQFFELVRTNVPRKVTESENNDNILLQNTNIHERGQKVKKAATEAMQQQQENGKKVQAFTADHELEEYAKNPKVSKPARDHGGSNGRSEAAKVSHGEKGLAMKVQVAQEVFRVEDEERFRMNLQSIGTEKRQTRANGSQKHENVVEVPREQSKIEVRQTAEDKEKGPLPKEAIRSVENEKQLIRKKDGGERRGRSTFEQEENEKMLKAPLEQMENERRLKEALKQGEKEKRINEACVREETEKKQREAYEKEEKEKRLRAALEWEENERKLKEAFVKEENERRLKEICEEYERRLGEATDREENERRQREVREREENEKRLKEALEKEENEGRLREFCQSEENEKRPKEALEHENKKKQKEANEREGTEKKSKEVFENEGIEETLEQEANEKRLEETNELVESGKLREALEGEASELGTCEPEEIGDASQEIRNLGNIEVTLKDVSENDELGVLNEMGGNCRVAKQACETDENRNLGSTRLVGKHEGKNGKQEVTGENAHEEISKVPPGLKIGNKEATVETVNVQVDGQTKVSGVDQGNLEHEKNQSIVEDDAAASVYGDERMRKAGEAGNGTGQMNIEKTKKAFQIESDTANQGKEFDQDRGERRKNMPQAVVMNQEDKKDNFMSTGAVKKSVVTGRKIEAAQPADLEAKGSTLGSTQQFNVSERKMKNLNKTLSPEEKEAERMRREKELEMERLRKMEEEREREREREKDRMAVDRAALEARERVHTEARDRAERAAVERAITEARERLEKACVEAREKSLADNKTYLEARLRERAAVERATAEVRERAFGKVMSERTAFETRERVERSVSDKFSASSRNGGMGPSSSSSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYVWFILLASF >Potri.005G120700.3.v4.1 pep chromosome:Pop_tri_v4:5:8941303:8948883:-1 gene:Potri.005G120700.v4.1 transcript:Potri.005G120700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120700.v4.1 MENLTHSQHPNMLSKKPFTNPSKTVYDDVFSAPPRFGAAPTLSPRVEDYGEIFGAFHAPRGASSSIPVLDLPLVDNEAAEDVFFDVRSCSGFDYNEVFGGFNASDFDVSFEELMMEHSNGRDFSSDEAWTPEDPEYLSEESDNSAKNQCLSNGDSHESIDGSMEFNISYHKASQSSNKDMTNGITHVTKLFDVPGYAFMVDKSMSLPKTDNEYPPLHVSDDGHLNIDFMGEMMGEKKLRKTMSHPANGSADGLVFGNEVRPHKEYVRNVSLPNETFVTISDVNLKTHPSHLPPPSRPPPAFDFKKRDFSKSTPNCQGVASSGSAGDSSPPYFDVEVDASSSAAASAAAIEEAMEKAQAKLKSAKELMERKRDGFQSRTKSGSKNDRKDREGRVSKNDDVSGSKKYEEGTCERENKIEFSVMEERKKIRIPDSVEGKRHLNAAEKSSDEKHGRESLSSQGSDRIDEAGEWKEATQFFELNTNIHERGQKVKKAATEAMQQQQENGKKVQAFTADHELEEYAKNPKVSKPARDHGGSNGRSEAAKVSHGEKGLAMKVQVAQEVFRVEDEERFRMNLQSIGTEKRQTRANGSQKHENVVEVPREQSKIEVRQTAEDKEKGPLPKEAIRSVENEKQLIRKKDGGERRGRSTFEQEENEKMLKAPLEQMENERRLKEALKQGEKEKRINEACVREETEKKQREAYEKEEKEKRLRAALEWEENERKLKEAFVKEENERRLKEICEEYERRLGEATDREENERRQREVREREENEKRLKEALEKEENEGRLREFCQSEENEKRPKEALEHENKKKQKEANEREGTEKKSKEVFENEGIEETLEQEANEKRLEETNELVESGKLREALEGEASELGTCEPEEIGDASQEIRNLGNIEVTLKDVSENDELGVLNEMGGNCRVAKQACETDENRNLGSTRLVGKHEGKNGKQEVTGENAHEEISKVPPGLKIGNKEATVETVNVQVDGQTKVSGVDQGNLEHEKNQSIVEDDAAASVYGDERMRKAGEAGNGTGQMNIEKTKKAFQIESDTANQGKEFDQDRGERRKNMPQAVVMNQEDKKDNFMSTGAVKKSVVTGRKIEAAQPADLEAKGSTLGSTQQFNVSERKMKNLNKTLSPEEKEAERMRREKELEMERLRKMEEEREREREREKDRMAVDRAALEARERVHTEARDRAERAAVERAITEARERLEKACVEAREKSLADNKTYLEARLRERAAVERATAEVRERAFGKVMSERTAFETRERVERSVSDKFSASSRNGGMGPSSSSSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITSAAVKKVYRKATLCVHPDKLQQRGASLQQKYICEKVFDLLKEAWNKFNSEER >Potri.005G120700.2.v4.1 pep chromosome:Pop_tri_v4:5:8941391:8948918:-1 gene:Potri.005G120700.v4.1 transcript:Potri.005G120700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120700.v4.1 MENLTHSQHPNMLSKKPFTNPSKTVYDDVFSAPPRFGAAPTLSPRVEDYGEIFGAFHAPRGASSSIPVLDLPLVDNEAAEDVFFDVRSCSGFDYNEVFGGFNASDFDVSFEELMMEHSNGRDFSSDEAWTPEDPEYLSEESDNSAKNQCLSNGDSHESIDGSMEFNISYHKASQSSNKDMTNGITHVTKLFDVPGYAFMVDKSMSLPKTDNEYPPLHVSDDGHLNIDFMGEMMGEKKLRKTMSHPANGSADGLVFGNEVRPHKEYVRNVSLPNETFVTISDVNLKTHPSHLPPPSRPPPAFDFKKRDFSKSTPNCQGVASSGSAGDSSPPYFDVEVDASSSAAASAAAIEEAMEKAQAKLKSAKELMERKRDGFQSRTKSGSKNDRKDREGRVSKNDDVSGSKKYEEGTCERENKIEFSVMEERKKIRIPDSVEGKRHLNAAEKSSDEKHGRESLSSQGSDRIDEAGEWKEATQFFELVRTNVPRKVTESENNDNILLQNTNIHERGQKVKKAATEAMQQQQENGKKVQAFTADHELEEYAKNPKVSKPARDHGGSNGRSEAAKVSHGEKGLAMKVQVAQEVFRVEDEERFRMNLQSIGTEKRQTRANGSQKHENVVEVPREQSKIEVRQTAEDKEKGPLPKEAIRSVENEKQLIRKKDGGERRGRSTFEQEENEKMLKAPLEQMENERRLKEALKQGEKEKRINEACVREETEKKQREAYEKEEKEKRLRAALEWEENERKLKEAFVKEENERRLKEICEEYERRLGEATDREENERRQREVREREENEKRLKEALEKEENEGRLREFCQSEENEKRPKEALEHENKKKQKEANEREGTEKKSKEVFENEGIEETLEQEANEKRLEETNELVESGKLREALEGEASELGTCEPEEIGDASQEIRNLGNIEVTLKDVSENDELGVLNEMGGNCRVAKQACETDENRNLGSTRLVGKHEGKNGKQEVTGENAHEEISKVPPGLKIGNKEATVETVNVQVDGQTKVSGVDQGNLEHEKNQSIVEDDAAASVYGDERMRKAGEAGNGTGQMNIEKTKKAFQIESDTANQGKEFDQDRGERRKNMPQAVVMNQEDKKDNFMSTGAVKKSVVTGRKIEAAQPADLEAKGSTLGSTQQFNVSERKMKNLNKTLSPEEKEAERMRREKELEMERLRKMEEEREREREREKDRMAVDRAALEARERVHTEARDRAERAAVERAITEARERLEKACVEAREKSLADNKTYLEARLRERAAVERATAEVRERAFGKVMSERTAFETRERVERSVSDKFSASSRNGGMGPSSSSSVYNGSYYMERSEGVEGESPQRCKARLERHRRTAERAAKALAEKNMRDLLAQREQAERNRLAETLDADVKRWSSGKEGNLRALLSTLQYILGPDSGWQPIPLTEVITSAAVKKVYRKATLCVHPDKLQQRGASLQQKYICEKVFDLLKEAWNKFNSEER >Potri.003G107900.2.v4.1 pep chromosome:Pop_tri_v4:3:13079365:13083756:1 gene:Potri.003G107900.v4.1 transcript:Potri.003G107900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107900.v4.1 MSFSTEKPLKKKRPPRWSDLWLKNTKPLKHVIFAMQLQSLSNPTTPTPTPTPTPTPKHQQQKQQQTDPPTKFKDKTGTLISNLPHIDRTLLLGDDLLLKILSKLPDSQRNPNSLVCKRWLNLQGRLVRSLKVLDWEFLESGRLLSRFPNLTHVDLLNGCVVRPHDCCVLLSHRIFKMDINSGVSGFLPDWRVCEENLLPVEVVDRGLRGLASGCPNLRKLVVAGASEMGLLSVAEECLTLQELELHRCNDNLLRGIAACGNLQILKLVGNVDGFYGSLVSDIGLTILAQGSKRLVKIELNGCEGSFDGMKAIGQCCQMLEELTICDHRMDNGWLAGLSYCENLKTLRFLSCKRIDPSPGPDDYLGCCPALERLHLQKCQLRDKKSLKAMFKLCETVREIVVQDCWGLDNDLFSLARTCRRVKLLSLEGCSLLTTEGLESVLLTWNELEHLRIESCKNIKDSEVSPTLSTLFSVLKELRWRPDTRSLLASSLVGTGMGKKGGKFFKKNLRLDNYTQMIHTATF >Potri.015G024150.1.v4.1 pep chromosome:Pop_tri_v4:15:1800070:1801177:1 gene:Potri.015G024150.v4.1 transcript:Potri.015G024150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024150.v4.1 MACQAKAITLLLSILAVSLCKPSNGAGIAIYWGQDGNEGSLADTCNTGNYQFVNVAFLSSFGNGQSPVLNLAGHCDPSAGTCTGISNDITSCQNQGIKVLLSIGGGAGGYSLSSADDAGQVANYIWNNFLGGQSSSRPLGDAILDGVDFDIESGSGQFWDDLARALNGFSQQRKVYLAAAPQCIFPDANLDTAIQTGLFDYVWVQFYNNPSCQYVNDATGLLSAWNQWTTVQSNQIFLGLPAAPEAAPSGGFIPADVLISQVLPSIKGSPKYGGVMLWSKQYDNGYSAAIKGSV >Potri.008G224291.1.v4.1 pep chromosome:Pop_tri_v4:8:19053394:19054439:-1 gene:Potri.008G224291.v4.1 transcript:Potri.008G224291.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224291.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGREGSRRDKRQAGREGSRRDKRQAAGGKRRGQSCGGLSAPARKTEARPRQQARHATSVELSSQSPSSAVRPSRVGQASNLRARAAATAAGSEGSRRDKGQAAGGNDGDNHAGGCQPRLGRRRPGLGSGHITPRGRGLQGEPTHGRAHGNLMPRPRQRRALLAIPKLGGPHQPRQPGLRLASRGRGSGQRRRDVAASRRPRSSCQHLGTSTANECHAHAADKQPQRARRLGAGPEDGGRNRVVAGRKTGHRCRQYFGP >Potri.013G005600.2.v4.1 pep chromosome:Pop_tri_v4:13:370963:374023:1 gene:Potri.013G005600.v4.1 transcript:Potri.013G005600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005600.v4.1 MGLSFTKLFSRLFAKKEMRILMVGLDAAGKTTILYKLKLGEIVTTIPTIGFNVETVEYKNISFTVWDVGGQDKIRPLWRHYFQNTQGLIFVVDSNDRDRVVEARDELHRMLNEDELRDAVLLVFANKQDLPNAMNAAEITDKLGLHSLRQRHWYIQSTCATSGEGLYEGLDWLSNNIASKA >Potri.013G068800.3.v4.1 pep chromosome:Pop_tri_v4:13:5441846:5448625:1 gene:Potri.013G068800.v4.1 transcript:Potri.013G068800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068800.v4.1 MGIDAMRIRVQIRRFLVISFQLCYRSVCKHPFLVGMVCYLLLLYRSFPFLFSLLVTASPVLICTAILLGTLLSFGEPNIPEVEEEEEEKEEEEEEQVSHEISYLKKEGVAEDATFVVQKDESFSLEGFVGNRDVEEESLLENKNRKIEVHGDSGDYVPLIDETSREVQFEKQVVEEVESDFDNLELGKKREIQEENLGIKEVLSHAEGVEEQYSLLQNSRDENLDDDNSVGEFIETHNGYLEFSQESSWKRAYHDDEEDDDEASDSGSDGVESSSPDASMADILPMLDELHPLLDEEAPQPANISNDGSDAGSEGSHKSDESSIESEEDVGNQADEDEDGDDDNDNEEEAQGSKEDESKSAIKWTEDDQKNLMDLGTLELERNQRLESLIARRRARRNMRLMAEKNLIDLDAADIPINIPSISTARHNPFDFPYDDVPGSAPSVLLPRRNPFDLPYDSNEEKPDLKGDSFQQEFSATQHREPFFRRHESFSIGPSTLAGTRHDLRWKPYFVPERFATEGTSYHTFQRQLSEASESKVSSVPDTESVSSALEEEDKRINEEDVSQETEMISNVDHASLLVERGSLSSEEVDSVEDEQVEKSDLHHDGAEFALGDVENHHEIDSGLSESGGVTPEELNTSEILLRMGHGEEDYSSRSSLSSLSEIDEKICDVNRGSTSLEPTNSQIEGSHISIQTSLDSDFHFVNGLADDNEHREPVLESRNDHIDECDISTQSSLDSDFHFTSQMMDGSQYREPGLESTGNQIGDAGILKESSTESDSNVLSGMADDNQEPVLEPGGHHIEEPGISLQTSHNSDIHLTSAVVDDGQHSDPVYDSSPPSIETILSFSSLSSDTQRSEMGSPLAMAEFADKDSEAHAENLEKDTSSYQVMLEGSSQAHSPDETEFRSTGVAENTGNEITELGFSGAESNFDGQNGFTKPESAAENFSVDSSPSLSDNGSAKEVVAGKEENSHHKEDRLHSSSLDAEIIVDGYKQLDSASSSYKMASEESNLPVLEKDYPLLVVEQVSVDTNLSASEAKPVEDHAIGIEKSFGLEQDQVSSTSFDVDIHADGFQAVGEKLDPVDSNSQHVPSNDLHLSVHEEREPSVVAEQVKGTHLNVSSLEMKLVEEHSSEKGETIQSEQDQVHLSSSDSAIGAGFHQDVDVTVVSSESGHQNPLSEEKPHLELEKQQSLSDKSMLEQSFSNHDEPRGLSVTISNNENIPEVHNPEERISRSITSSMSNFTSDSPNSLPYKSPDGGMDLKDDVLDKIVYEDYHQVLEHSNYPGEAYGPPVSEENINEDEDELKEIDEGLLSELDTVGDFSIKEVVGESLHDEQVPENTSVSPEFDFLPKNLSLTEVKPELPVLEVRSVEDIDLAFKQLHEGANVEEVILPSMVEEQLAEDESKHQTDSDLRVVEARSLEDIHFAMKQISEENIEELVDSRDATTEANEMGSAKEIPVLEVKTIKDVDLAFRQLHEGVEVEEIIVPSAIEQQLVVDDTKDLGQTSSALPVVEARSLEDIHTAMKQVSEGNIEQRPKLLDPNDKPGHEAASTKEMDSRNSEINEEDSTEDIESSTVEVNEVSSIKAVESSIVQVIEVTSIKESEPDTAEFGSGETSTIAPRESKHGFDETPGNSSSSISDTKGKKAKSHSSSSNSSSSSSSSDSD >Potri.013G068800.5.v4.1 pep chromosome:Pop_tri_v4:13:5441869:5446429:1 gene:Potri.013G068800.v4.1 transcript:Potri.013G068800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068800.v4.1 MGIDAMRIRVQIRRFLVISFQLCYRSVCKHPFLVGMVCYLLLLYRSFPFLFSLLVTASPVLICTAILLGTLLSFGEPNIPEVEEEEEEKEEEEEEQVSHEISYLKKEGVAEDATFVVQKDESFSLEGFVGNRDVEEESLLENKNRKIEVHGDSGDYVPLIDETSREVQFEKQVVEEVESDFDNLELGKKREIQEENLGIKEVLSHAEGVEEQYSLLQNSRDENLDDDNSVGEFIETHNGYLEFSQESSWKRAYHDDEEDDDEASDSGSDGVESSSPDASMADILPMLDELHPLLDEEAPQPANISNDGSDAGSEGSHKSDESSIESEEDVGNQADEDEDGDDDNDNEEEAQGSKEDESKSAIKWTEDDQKNLMDLGTLELERNQRLESLIARRRARRNMRLMAEKNLIDLDAADIPINIPSISTARHNPFDFPYDDVPGSAPSVLLPRRNPFDLPYDSNEEKPDLKGDSFQQEFSATQHREPFFRRHESFSIGPSTLAGTRHDLRWKPYFVPERFATEGTSYHTFQRQLSEASESKVSSVPDTESVSSALEEEDKRINEEDVSQETEMISNVDHASLLVERGSLSSEEVDSVEDEQVEKSDLHHDGAEFALGDVENHHEIDSGLSESGGVTPEELNTSEILLRMGHGEEDYSSRSSLSSLSEIDEKICDVNRGSTSLEPTNSQIEGSHISIQTSLDSDFHFVNGLADDNEHREPVLESRNDHIDECDISTQSSLDSDFHFTSQMMDGSQYREPGLESTGNQIGDAGILKESSTESDSNVLSGMADDNQEPVLEPGGHHIEEPGISLQTSHNSDIHLTSAVVDDGQHSDPVYDSSPPSIETILSFSSLSSDTQRSEMGSPLAMAEFADKDSEAHAENLEKDTSSYQVMLEGSSQAHSPDETEFRSTGVAENTGNEITELGFSGAESNFDGQNGFTKPESAAENFSVDSSPSLSDNGSAKEVVAGKEENSHHKEDRLHSSSLDAEIIVDGYKQLDSASSSYKMASEESNLPVLEKDYPLLVVEQVSVDTNLSASEAKPVEDHAIGIEKSFGLEQDQVSSTSFDVDIHADGFQAVGEKLDPVDSNSQHVPSNDLHLSVHEEREPSVVAEQVKGTHLNVSSLEMKLVEEHSSEKGETIQSEQDQVHLSSSDSAIGAGFHQDVDVTVVSSESGHQNPLSEEKPHLELEKQQSLSDKSMLEQSFSNHDEPRVRHATLLLLVLLFS >Potri.003G103600.1.v4.1 pep chromosome:Pop_tri_v4:3:12752677:12755238:-1 gene:Potri.003G103600.v4.1 transcript:Potri.003G103600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103600.v4.1 MKLRNTAANKQQPSIAMGEYNGVAYVDINEGPSSPKLDNFKKVSVLHLIFLIFYEVSGGPFGVEDSVQAAGPLLSLLGFLVFPLIWSVPEALITAEMGTMFPENGGYVVWVSTALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALAGGLPRVAAALALTFLLTYMNYRGLAIVGSVAVLLGIFSILPFVVMGLVAIPKLEPSRWFVMNLHDVDWNLYLNTLFWNLNYWDSISTLAGEVDNPKKNLPKALFYALILVVLSYFFPLLVGTGAIPLNRDLWTDGYFSDIAKILGGVWLRWWIQGAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLIGILFSASGVILLSWLSFQEIIAAENFLYCFGMILEFIAFVLLRIKCPVASRPYKIPVGTVGAILMCIPPTILICVVLALSTVKVMIVSLFAVAIGLVMQPCLKYAEKKRWMKFSVSGELPDLHEGNQERVDFLED >Potri.003G103600.2.v4.1 pep chromosome:Pop_tri_v4:3:12752679:12755231:-1 gene:Potri.003G103600.v4.1 transcript:Potri.003G103600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103600.v4.1 MGEYNGVAYVDINEGPSSPKLDNFKKVSVLHLIFLIFYEVSGGPFGVEDSVQAAGPLLSLLGFLVFPLIWSVPEALITAEMGTMFPENGGYVVWVSTALGPYWGFQQGWMKWLSGVIDNALYPVLFLDYLKSGIPALAGGLPRVAAALALTFLLTYMNYRGLAIVGSVAVLLGIFSILPFVVMGLVAIPKLEPSRWFVMNLHDVDWNLYLNTLFWNLNYWDSISTLAGEVDNPKKNLPKALFYALILVVLSYFFPLLVGTGAIPLNRDLWTDGYFSDIAKILGGVWLRWWIQGAAAMSNMGMFVAEMSSDSFQLLGMAERGMLPEFFAKRSRHGTPLIGILFSASGVILLSWLSFQEIIAAENFLYCFGMILEFIAFVLLRIKCPVASRPYKIPVGTVGAILMCIPPTILICVVLALSTVKVMIVSLFAVAIGLVMQPCLKYAEKKRWMKFSVSGELPDLHEGNQERVDFLED >Potri.006G074300.3.v4.1 pep chromosome:Pop_tri_v4:6:5434176:5438004:1 gene:Potri.006G074300.v4.1 transcript:Potri.006G074300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G074300.v4.1 MNKTMEGLYSLLYLILILTILFSLHTQIAHKLLIGHHPLHLKKSPHLPLRFSSDGTFKILQVADMHYGTGVLTSCKDVLASEFHYCSDLNTTHFLKRIIEAEKPDFIAFTGDNIFGSSTPDAAESLLRAFAPAMESGLPWAAVLGNHDQESTMTRLELMSFISLLDYSVSQTNPSVEDASSAAKGDTITDIDGFGNYNLRVYGAPGSHSANRTVLDLFFLDSGDREVVQGVRTYGWIKESQLRWLHGVSKGYQDRKEDCHLLEGASPSATPTHCALAFFHIPIPEIRQLYYQKIIGQFQEGVACSSVNSGVLQTLVSMGDVKAVFMGHDHKNDFCGNLEGIWFCYGGGFGYHAYGIAGWSRRARIILAELEKGEKSWMGMERIRTWKRLDDEKLSKLDEQVLWELHHAK >Potri.002G246800.2.v4.1 pep chromosome:Pop_tri_v4:2:23754556:23759237:1 gene:Potri.002G246800.v4.1 transcript:Potri.002G246800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246800.v4.1 MAANFWNSSHYKQLFDQEEVDVVHPLDKEKGITLEDFKLIKMHMVIPICKLAQSVKVRQRVVATAVTYMRRLYTRKSMSEYDPRLVGPTCLYLASKAEESTVQARLLVYYIKKIYSDDKHRYEIKDILEMEMKILEALNYYLVVFHPYRSLPQFLLDAGMNDISMTQLTWGLVNDTYKMDLILIHPPHLIALACIYTASVYREKDKTAWFEELRVDMNVVKNIAMEILDFYESHRLITDDRVAAAFNKLKP >Potri.001G198700.1.v4.1 pep chromosome:Pop_tri_v4:1:19603226:19607726:1 gene:Potri.001G198700.v4.1 transcript:Potri.001G198700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198700.v4.1 MNEGYSTDAMNQREGDYVPIRDAENVQLGMFDKPLPCFGCGIGWFSLLLGFVFPLMWYFSAILYFGKYYNKDPRERSGLAACAIAAVVFTIAAVIALLVYLL >Potri.001G198700.11.v4.1 pep chromosome:Pop_tri_v4:1:19605882:19607284:1 gene:Potri.001G198700.v4.1 transcript:Potri.001G198700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198700.v4.1 MNQREGDYVPIRDAENVQLGMFDKPLPCFGCGIGWFSLLLGFVFPLMWYFSAILYFGKYYNKDPRERSGLAACAIAAVVFTIAAVIALLVYLL >Potri.001G198700.12.v4.1 pep chromosome:Pop_tri_v4:1:19605882:19606255:1 gene:Potri.001G198700.v4.1 transcript:Potri.001G198700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198700.v4.1 MNQREGDYVPIRDAENVQLGMFDKPLPCFGCGIGWFSLLLGFVFPLMWYFSAILYFGKYYNKDPRERSGLAACAIAVSDELNY >Potri.009G106600.2.v4.1 pep chromosome:Pop_tri_v4:9:9281411:9284063:-1 gene:Potri.009G106600.v4.1 transcript:Potri.009G106600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106600.v4.1 MGSLSSELSLDFARPSTAKALPFLPKTIADFLKEVSVIGDSAVKVLKVDGFIKDLEEEKRKIDAFKRELPLCMLLLNDAIQVLREELMQRGASKNQQPVLEEFIPLKKNIDDHHENDGLIEEKDSKDKKNWMSSVQLWNADDHHPSTDYLFDPKQNLKLESKTNKKGNQYGNEDAFQAGIGRTAARTFMPFKACSGLSSKEEKLPVPSLSLSTPGIKSLKEESNSTGSRSSCSRSVSTISGPNSDSNLRNGPQSQQQTARKQRRCWSPELHRRFVNALQQLGGSQAATPKQIRELMQVDGLTNDEVKSHLQKYRLHTRRVTPATAAAPANQSVVVLGGLWMTQDQYGDSSKATSSQSGSPQGPLQLAVNTGGTSTTGGDSMEDDEDAKSEGYSWKSHSHRSGKDDV >Potri.017G106601.1.v4.1 pep chromosome:Pop_tri_v4:17:11585028:11585568:1 gene:Potri.017G106601.v4.1 transcript:Potri.017G106601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106601.v4.1 MDPLLLSPFVFFQATMSLWVTSPSKHTTKHERKTAKLVIFTNGRSLKAIMVSTRAIKRSTHNSLLKNCMVIAYGEFI >Potri.001G101700.6.v4.1 pep chromosome:Pop_tri_v4:1:8203766:8206593:1 gene:Potri.001G101700.v4.1 transcript:Potri.001G101700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101700.v4.1 MGGCCCCSSKGAAQSNSAPPYYYYPRAPAEHVPLSSHHAAGSALSTGLLVDTNLDTSVPDAYRPPPAPMPFDVAVGHLQTLHRSRETCGDKNDGALQTTNSASGQENTGLNTRETSAECEDVKELDCKAQINSELDAAKELEIELSKSVEPLVSATEEEDCPICLEEYDLENPKLITKCEHHFHLSCILEWMERSESCPVCDKEVIIDPPID >Potri.001G101700.10.v4.1 pep chromosome:Pop_tri_v4:1:8204191:8205121:1 gene:Potri.001G101700.v4.1 transcript:Potri.001G101700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101700.v4.1 MGGCCCCSSKGAAQSNSAPPYYYYPRAPAEHVPLSSHHAAGSALSTGLLVDTNLDTSVPDAYRPPPAPMPFDVAVGHLQTLHRSRETCGDKNDGALQTTNSASGQENTGLNTRETSAECEDVKELDCKAQINSELDAAKELEIELSKSVEPLVSATEEEDCPICLEGIMPLLNPFH >Potri.005G087300.1.v4.1 pep chromosome:Pop_tri_v4:5:6081692:6088329:-1 gene:Potri.005G087300.v4.1 transcript:Potri.005G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G087300.v4.1 MYVVKRDGRQETVHFDKITARLKKLSYGLSIDHCDPVLVSQKVCAGVYKGVTTSQLDELAAETAAAMTANHPDYASLAARIVVSNLHKNTQKSFSETIKIMYNHFNDKSGLKAALIADDIYEIIMKNSARLDSEIIYDRDFDYDYFGFKTLERSYLLKVQGKVVERPQHMLMRVAVGIHKDDIDSAIKTYHLMSQRWFTHASPTLFNAGTPRPQLSSCFLVCMKDDSIEGIYDTLKECAVISKSAGGIGVSAHNIRATGSYIRGTNGTSNGIVPMLRVFNDTARYVDQGGGKRKGAFAVYLEPWHADVFEFLDLRKNHGKEENRARDLFYALWVPDLFMERVQSNGQWSLFCPNEAPGLADCWGKEFEELYTRYERNGKAKKVVQAQNLWFEILKSQIETGTPYMLFKDTCNRKSNQQNLGTIKSSNLCTEIIEYTSPTETAVCNLASIALPRFVREMGVPVESHPSKLVGSRGFKSRYFDFEKLAEVTGVVTSNLNKIIDVNYYPVETARRSNFRHRPIGIGVQGLADTFILLGMSFDSPEAQKLNKDIFETIYYHALKASSEIAAREGPYETYEGSPVSKGILQPDMWGVTPSNLLWDWDALREMISKNGVRNSLLLAPMPTASTSQILGNNECFEPYTSNIYSRRVLSGEFVVVNKHLLHDLTEMGLWSPALKNKIIYEDGSVQNIPEIPNDLKFIYKTVWEIKQKTLVDMAVDRGCYIDQSQSLNIHMDQPNFGKLTSLHFYAWSKGLKTGMYYLRSRAAADAIKFTVDTSVLQDKKLKSDGAAAAAADDDDTKMAQMVCSLANRDDCLACGS >Potri.003G183900.2.v4.1 pep chromosome:Pop_tri_v4:3:18878377:18883150:1 gene:Potri.003G183900.v4.1 transcript:Potri.003G183900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183900.v4.1 MIINVKESTMVQPAEETPRRGLWNSNVDLVVPRFHTPSVYFYRPTGASNFFDAKVLKEALSKALVPFYPMAGRLRRDDDGRIEIDCNAEGVLFVEAGTASVVADFGDFAPTLELKQLIPTVDYSGGISTYPLLVLQVTYFKCGGVSLGVGMQHHAADGFSGLHFVNTWSDMARGLDLTIPPFIDRTLLRARDPPQPAFHHVEYQPPPAMKTVLETSKPESTAVSIFKLTRDQLNTLKAKAKEGGNNIGYSSYEMLAGHVWRSACKARGLPDDQETKLYIATDGRSRLRPTLPPGYFGNVIFTATPIAVAGEIQSKPTWYAAGKIHDSLVRMDNDYLRSALDFLELQPDLSALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPSSTNDGSLSVAISLQAEHMKLFEKFIYDIKE >Potri.003G183900.3.v4.1 pep chromosome:Pop_tri_v4:3:18878677:18883094:1 gene:Potri.003G183900.v4.1 transcript:Potri.003G183900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183900.v4.1 MIINVKESTMVQPAEETPRRGLWNSNVDLVVPRFHTPSVYFYRPTGASNFFDAKVLKEALSKALVPFYPMAGRLRRDDDGRIEIDCNAEGVLFVEAGTASVVADFGDFAPTLELKQLIPTVDYSGGISTYPLLVLQVTYFKCGGVSLGVGMQHHAADGFSGLHFVNTWSDMARGLDLTIPPFIDRTLLRARDPPQPAFHHVEYQPPPAMKTVLETSKPESTAVSIFKLTRDQLNTLKAKAKEGGNNIGYSSYEMLAGHVWRSACKARGLPDDQETKLYIATDGRSRLRPTLPPGYFGNVIFTATPIAVAGEIQSKPTWYAAGKIHDSLVRMDNDYLRSALDFLELQPDLSALVRGAHTFRCPNLGITSWVRLPIHDADFGWGRPIFMGPGGIAYEGLSFIIPSSTNDGSLSVAISLQAEHMKLFEKFIYDIKE >Potri.014G091300.6.v4.1 pep chromosome:Pop_tri_v4:14:5919121:5929603:-1 gene:Potri.014G091300.v4.1 transcript:Potri.014G091300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091300.v4.1 MMFSFCLLEFFLVSLELLTKIFHSSSFLFVVSNIYSEEQSINKSFQEELRILNMDHHKTSVDVSKIHNELNEKTSEIRRLQIELSTREDEDPNVNVKSLKRVIATLEKENANLKMARNELEAALKRSKNSSPNETSPDGKVDSTTTSPRKEEMELLLQKLERDLKETRHEKEKALQQLARLKQHLLEKESEESEKMDEDSKIIEELRQSNEYQKAQILHLEKALKQAIAGQEEVRMMNSNEIQKSKEMTEDLKKKLANCMSTIESKNVELLNLQTALGQYFAEVEAKEYLERQLALTKEESAKRFQLLKEAEIGTEESKREKEEILAKLSDVERKFAEGKSRVNKLEEDNAKLRRAVEQSVSRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQQGGKGVVRGVLGLPGRLVGGILGGSAAGVQMNLASDNQSFADMWVDFLLKETEEREKRESGQDTGRPNEDSQGRSPNTTGVSSSVPNHGTSTSGPNLSPAQNHGPVAPRGNSLPFAHIDSEFSTVPLTSLDNPSRISRLLTKH >Potri.014G091300.3.v4.1 pep chromosome:Pop_tri_v4:14:5919122:5929660:-1 gene:Potri.014G091300.v4.1 transcript:Potri.014G091300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G091300.v4.1 MMWSSIANLKQNLEKIALDVHDDDEDLEIHASTNGYDSSVSDRRNSHRFAHSKSVSPSPTANGNDSPYNFEIEQYKAQIKRHQESEAEIKALSVNYAAILKEKEDQISRLNQENGSLKQNLDVTKEALNVSRNEHRRASTSSIKESGDQSPKRPHRPATQAKNRGGNQIQNRVFPKHDGMGNGILHDVHPDVIQSKMETKKDKELADLLEEKNRSLAAMKATHELEIKELRTELEKERRKSANIQIKLQEEQSINKSFQEELRILNMDHHKTSVDVSKIHNELNEKTSEIRRLQIELSTREDEDPNVNVKSLKRVIATLEKENANLKMARNELEAALKRSKNSSPNETSPDGKVDSTTTSPRKEEMELLLQKLERDLKETRHEKEKALQQLARLKQHLLEKESEESEKMDEDSKIIEELRQSNEYQKAQILHLEKALKQAIAGQEEVRMMNSNEIQKSKEMTEDLKKKLANCMSTIESKNVELLNLQTALGQYFAEVEAKEYLERQLALTKEESAKRFQLLKEAEIGTEESKREKEEILAKLSDVERKFAEGKSRVNKLEEDNAKLRRAVEQSVSRLNRMSMDSDYLVDRRIVIKLLVTYFQRNHSKEVLDLMVRMLGFSDEDKQRIGVAQQGGKGVVRGVLGLPGRLVGGILGGSAAGVQMNLASDNQSFADMWVDFLLKETEEREKRESGQDTGRPNEDSQGRSPNTTGVSSSVPNHGTSTSGPNLSPAQNHGPVAPRGNSLPFAHIDSEFSTVPLTSLDNPSRISRLLTKH >Potri.014G080800.3.v4.1 pep chromosome:Pop_tri_v4:14:5202873:5213195:-1 gene:Potri.014G080800.v4.1 transcript:Potri.014G080800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080800.v4.1 MEFACNFQQTNAFYRTQGTDYKVSNGLHSRFRYRSYGYNDVDLKIVSRERPSKKLKKSVLAYGGGRGIHSHLRVGGYSSEPLFCNFIDGFKGLRSVKLGCQGNDSLAYIDGNGRNVENGEGNDESLRAGSNSGFGEGDGRGEKEVETGVVVEALNLDELKELLHKATRDLEVAQLNSTMFEEKAQSISETAIALQDEAESAWNDVNSTLDLIQDIVNEEGVAKEAAQKATMALSLAEARLKVAVESIKAMKERVDSLEGSRESDAENDGKEDYETILAAQNDIRDCQANLANCEAELRRLQSKKEALQNEVSVLNEKAEKAQMNALKAEEDVANIMLLAEQAVAFELEATQRVNDAEIALKKAEKSLASSRVDIQETARGYVSGDEAVIEEQKMGGGSASDVEKERDMTVNGDVLVGEPSIDRLSDKTSQSSEELYLSDDSSDHENGKLSLDSNKDTEAEAEKSKSGDQTKKQEIQKDLTWESSSSPLSAPKALLMKSSRFFSASFFSFSGDETEVTAASVFQGLMESARKQLPQLVLGLLLFGTGFAFYSNRVERSPQMLQQSDIVTTSIEEVSSNAKPLIQHIQKLPKRFKKLIAMLPHQEMNEEEASLFDVLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHFVSGLPGPASIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGMAAVKAAVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGSLGLLIGGKTVLVALVGRVFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMAMTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAAGRALDLPVYFGDAGSREVLHKIGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGASAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRTRHLSELTELCESSGSSLGYGFSRVMTKPKTQSLDSSDENQFSEGTLAI >Potri.014G080800.2.v4.1 pep chromosome:Pop_tri_v4:14:5202878:5213916:-1 gene:Potri.014G080800.v4.1 transcript:Potri.014G080800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080800.v4.1 MEFACNFQQTNAFYRTQGTDYKVSNGLHSRFRYRSYGYNDVDLKIVSRERPSKKLKKSVLAYGGGRGIHSHLRVGGYSSEPLFCNFIDGFKGLRSVKLGCQGNDSLAYIDGNGRNVENGEGNDESLRAGSNSGFGEGDGRGEKEVETGVVVEALNLDELKELLHKATRDLEVAQLNSTMFEEKAQSISETAIALQDEAESAWNDVNSTLDLIQDIVNEEGVAKEAAQKATMALSLAEARLKVAVESIKAMKERVDSLEGSRESDAENDGKEDYETILAAQNDIRDCQANLANCEAELRRLQSKKEALQNEVSVLNEKAEKAQMNALKAEEDVANIMLLAEQAVAFELEATQRVNDAEIALKKAEKSLASSRVDIQETARGYVSGDEAVIEEQKMGGGSASDVEKERDMTVNGDVLVGEPSIDRLSDKTSQSSEELYLSDDSSDHENGKLSLDSNKDTEAEAEKSKSGDQTKKQEIQKDLTWESSSSPLSAPKALLMKSSRFFSASFFSFSGDETEVTAASVFQGLMESARKQLPQLVLGLLLFGTGFAFYSNRVERSPQMLQQSDIVTTSIEEVSSNAKPLIQHIQKLPKRFKKLIAMLPHQEMNEEEASLFDVLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHFVSGLPGPASIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGMAAVKAAVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGSLGLLIGGKTVLVALVGRVFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMAMTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAAGRALDLPVYFGDAGSREVLHKIGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGASAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRTRHLSELTELCESSGSSLGYGFSRVMTKPKTQSLDSSDENQFSEGTLAI >Potri.014G080800.1.v4.1 pep chromosome:Pop_tri_v4:14:5202772:5213438:-1 gene:Potri.014G080800.v4.1 transcript:Potri.014G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080800.v4.1 MEFACNFQQTNAFYRTQGTDYKVSNGLHSRFRYRSYGYNDVDLKIVSRERPSKKLKKSVLAYGGGRGIHSHLRVGGYSSEPLFCNFIDGFKGLRSVKLGCQGNDSLAYIDGNGRNVENGEGNDESLRAGSNSGFGEGDGRGEKEVETGVVVEALNLDELKELLHKATRDLEVAQLNSTMFEEKAQSISETAIALQDEAESAWNDVNSTLDLIQDIVNEEGVAKEAAQKATMALSLAEARLKVAVESIKAMKERVDSLEGSRESDAENDGKEDYETILAAQNDIRDCQANLANCEAELRRLQSKKEALQNEVSVLNEKAEKAQMNALKAEEDVANIMLLAEQAVAFELEATQRVNDAEIALKKAEKSLASSRVDIQETARGYVSGDEAVIEEQKMGGGSASDVEKERDMTVNGDVLVGEPSIDRLSDKTSQSSEELYLSDDSSDHENGKLSLDSNKDTEAEAEKSKSGDQTKKQEIQKDLTWESSSSPLSAPKALLMKSSRFFSASFFSFSGDETEVTAASVFQGLMESARKQLPQLVLGLLLFGTGFAFYSNRVERSPQMLQQSDIVTTSIEEVSSNAKPLIQHIQKLPKRFKKLIAMLPHQEMNEEEASLFDVLWLLLASVIFVPIFQKIPGGSPVLGYLAAGILIGPYGLSIIRHVHGTKAIAEFGVVFLLFNIGLELSVERLSSMKKYVFGLGSAQVLVTAVVVGLVAHFVSGLPGPASIVIGNGLALSSTAVVLQVLQERGESTSRHGRATFSVLLFQDLAVVVLLILIPLISPNSSKGGVGFQAIAEALGMAAVKAAVAITAIIAGGRLLLRPIYKQIAENQNAEIFSANTLLVILGTSLLTARAGLSMALGAFLAGLLLAETEFSLQVESDIAPYRGLLLGLFFMTVGMSIDPKLLVSNFPVIMGSLGLLIGGKTVLVALVGRVFGVSIISAIRVGLLLAPGGEFAFVAFGEAVNQGIMSPQLSSLLFLVVGISMAMTPWLAAGGQLIASRFEQHDVRSLLPVESETDDLQDHIIICGFGRVGQIIAQLLSERLIPFVALDVRSDRVAAGRALDLPVYFGDAGSREVLHKIGAERACAAAITLDTPGANYRTVWALSKYFPNVKTFVRAHDVDHGLNLEKAGASAVVPETLEPSLQLAAAVLAQAKLPMSEIAATINEFRTRHLSELTELCESSGSSLGYGFSRVMTKPKTQSLDSSDENQFSEGTLAI >Potri.002G218201.1.v4.1 pep chromosome:Pop_tri_v4:2:20868775:20870287:1 gene:Potri.002G218201.v4.1 transcript:Potri.002G218201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G218201.v4.1 MSVEILDGATIVNFLEDEEAFSAQICDRFAHLDSDHDGRLSYGEMLKELQCLRLLETHFGVDVETDPDELALVYGSLFVQFDHDLNGTVDLEEFKSETKQMMLVMASGMGFLPVQMVLEEDSFLKKAVEWESAKLVA >Potri.003G066000.1.v4.1 pep chromosome:Pop_tri_v4:3:9299403:9303932:-1 gene:Potri.003G066000.v4.1 transcript:Potri.003G066000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066000.v4.1 MAVPTIALYASPPSSVCSSPYPCQINAHATYDFELNSRSSSTTSSSASSSQKPIVGGLSRLFSSPAVKHASFSGDREELGSLWHDRGDELKELGSSFCYTPSKYLAGSSIKRDQSPVSVLHGQVSCSSSPPMKTTRERSGCDVGFQSSIHGPYRGGANGLFNGFVRNALGSCVDYDSPSFEVRRDGVDYGSSSVAVDELTFAMEDSFVEANYEPYAKKLLLGAQSRHKIFCDDFVIKAFYEAEKAHRGQMRASGDPYLEHCVETAVLLAIIGANSSVVAAGLLHDSLDDSFLSYDYIFKTFGAGVADLVEGVSKLSQLSKLARENNTASKTVEADRLHTMFLAMADARAVLIKLADRLHNMITLDALPLVKQQRFAKETMQIFAPLANRLGISTWKEQLETLCFKHLNPDQHRHLSARLVESFDEAMIASAKEKLDKALTDEAISYNLHGRHKSLYSIHCKMSKKKLNMDQIHDIHGLRLIVENKEDCYRALRVVHCLWSEVPGQFKDYITNPKFNGYRSLHTVVMGEGTVPLEVQIRTKEMHLQAEFGFAAHWRYKEGDCKHSSFVLQVVEWARWVITWQCETMSKDRPSIGCDDSIKPPCTFPSHSDGCPYSYKPHCGQDGPIFIIMIENDKMSVQEFPADSTVMDLLERAGRASSRWSAYGFPVKEELRPRLNHQPVHDATCKLKMGDVVELTPAIPDKSLSDYREEIQRMYEHGSATVSSTAPAVSGTVGRRS >Potri.013G112100.1.v4.1 pep chromosome:Pop_tri_v4:13:12066638:12068183:-1 gene:Potri.013G112100.v4.1 transcript:Potri.013G112100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G112100.v4.1 MASTPASPLLKFSVRRCKPQIIVPAKPTLHDLKELSDIDDQEGLRFQLPFIFFYRSHPSMEDKDPVNIIREAIGKALVFYYPFAGILFIEADADVSMNQLADTIQPPYPYIDELLYDVPGSSFILGCPLLLIQVTRLTCGGFVFAIRLSHPMSDSFGLANFLNAVGEFARGASAPSLLPVWQREILNARNPPRVTCVHHEYDGNSTNISIMTMHQDNNMVHRSFFFGPKELKSIRKHIPPPHKKCSNFEVIVSFLWRSRTIALQLDPNEVVRLSCTNSIPGKPGKLQLPLGYYGNAFAFPTAISKAGLLCQSPLGYALELVRRQKTQMNEEYIKSVADLMVLKGRPHITSIWNFIVADVSRAGLGDVDFGWGKPIYGGPTGAIPYIISILGRFKNSKGEDGIVIPIWLPQPIMGRFEQEYSKIIQKPNDDLNGIKNTKIFSSML >Potri.012G047700.1.v4.1 pep chromosome:Pop_tri_v4:12:4418627:4421809:1 gene:Potri.012G047700.v4.1 transcript:Potri.012G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047700.v4.1 MWMMGYNDSGDFDMPDSFNDRKLKTLVPRPLPSTNNTSTASGHPCPGSRLHSTDFLALNQYHLGLASMVDQGIREFNTQPVVMSSRWNPTPEQLRTLEELYRRGTRTPSTDQIQDITAQLRRYGRIEGKNVFYWFQNHKARERQKRRRQMESDSLDDHQQNGHGVEMFERKEPGASMTGYEGEQTRNWAPSTNCSTLSEESVSISKATKAAMAEYYRPDGWIEFDEGEIMQHRRNLIERNATWEMMPFSCPSPTHLLNTISSATATTIATTSASTQGAATVRTMDPTKLMNAHDLNIFIAPYIENGYHGARINHFNNSVINEGGEYCRDGNDESQTLQLFPIRSGGNGNNIERINERETEVSVSATETLNANDFSPCQFFEFLPLRI >Potri.001G079100.1.v4.1 pep chromosome:Pop_tri_v4:1:6299399:6303770:1 gene:Potri.001G079100.v4.1 transcript:Potri.001G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079100.v4.1 MEFTEAYKQTGPCCFSPNSRYIAVAVDYRLVIRDTLSFKVVQLFSCLDKISYIEWANDSEYILCGLNKRPMIQAWSLTQPEWTCKIDEGPAGIAYSRWSPDSRHILTTSDFQLRLTVWSLLNTACVHVQGPKHCSKGVSFTKDGKYAAICTRRDCKDYVNLLSCHTWEIMGAFAVDTLDLADIEWSPDDSAIVIWDAPLEFKVLIYSPDGRCLSKYQAYESGLGVKSVSWSPCGQYLAVGSYDQMLRVLNHLTWKTFAEFMHLSTVRGPCCAAVFKEVDEPLHLNMSELCLSDEFLQGNSDVSEGHFRVMYDVTEVPISLPFQKPPADKPNPKQGIGLMSWSKDSRYIYTRNDSMPTALWIWDIRHLELAAILVQKDPIRAAAWDPTCTRLVLCTGSSHLYMWTPSGAYCVSNPLPQFNITDLKWNSDGSCLLLKDKESFCWASVPLFPESSEYSSDD >Potri.016G138600.1.v4.1 pep chromosome:Pop_tri_v4:16:14196978:14199221:-1 gene:Potri.016G138600.v4.1 transcript:Potri.016G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MAN6 MDTHKRVFGFKIIFLVSVFILLNESSKCSSSGVMDDEQFKTMVEEVDNHLPSSSSSQGVYELNDVEEDEWLMVAKKGNQFVINDQPFYVNGFNTYWLMVFAADQSTRGKVTEVFQKASSVGLSVCRTWAFNDGQWRALQKSPGVYDEDVFKALDFVVSEANKYKIRLILSLANNWDAYGGKAQYVKWGKASGLNLTSDDDFFSHPTLRSYYKAHVKAVLNRVNTITNITYKDDPTIFAWELMNEPRCTSDPSGDKLQSWITDMAVYVKSMDAKHLVEIGLEGFYGPSAPDRAQFNPNSYATQVGTDFIRNHQVLGVDFASVHIYADSWISQTITDSHIQFTKSWMEAHIEDAEKYLGMPVVFAEFGVSSKDPGYNSSFRDTLINTVYKTLLNSTKRGGSGAGSLLWQLFPDGTDYMDDGYAIVLSKSPSTTNIISLHSTRVAIVNSMCSWKCKWGCKKRNPLEAFLYHDDL >Potri.016G062900.4.v4.1 pep chromosome:Pop_tri_v4:16:4397687:4399696:1 gene:Potri.016G062900.v4.1 transcript:Potri.016G062900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062900.v4.1 MVDYLTQRSESFVAESVVLEGDLDGEVSDHPYDIISNMVDDFASLKRNLFSRVSGWLLSEKREDKIDDFVQEMEINGFWLLDRREAVAQILVKNVDFKNIFHCDKKFNTAEELVEHVVNCGFRTMNCTNEGCSTVFCASHLEKHDSACPFKIIPCEQQCSENIMRREMDRHCITVCPMKIVSCPFYAVGCQSTMPHSIIQQHRSDNLHSHLLYTLKSIHKGGSEEDLKKRVDQIVESSPGRLADARDARSLILKVKDVEAKLGPLEVKAAEKVSEEPNKAGENSSEEPIEAFNKGGDESTEVDKIGSEKSNETINKVGEELSSIQI >Potri.016G062900.2.v4.1 pep chromosome:Pop_tri_v4:16:4396922:4399688:1 gene:Potri.016G062900.v4.1 transcript:Potri.016G062900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G062900.v4.1 MDLPTNDVELVREDEKERGLTFHCELYDTEIVRKIAQAFLPGLSSACVDNTTGDMFRNPGSVAADIRKEMVDYLTQRSESFVAESVVLEGDLDGEVSDHPYDIISNMVDDFASLKRNLFSRVSGWLLSEKREDKIDDFVQEMEINGFWLLDRREAVAQILVKNVDFKNIFHCDKKFNTAEELVEHVVNCGFRTMNCTNEGCSTVFCASHLEKHDSACPFKIIPCEQQCSENIMRREMDRHCITVCPMKIVSCPFYAVGCQSTMPHSIIQQHRSDNLHSHLLYTLKSIHKGGSEEDLKKRVDQIVESSPGRLADARDARSLILKVKDVEAKLGPLEVKAAEKVSEEPNKAGENSSEEPIEAFNKGGDESTEVDKIGSEKSNETINKVGEELSSIQI >Potri.015G089600.1.v4.1 pep chromosome:Pop_tri_v4:15:11306779:11308481:1 gene:Potri.015G089600.v4.1 transcript:Potri.015G089600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089600.v4.1 MEYSEPVTAEMETAQVNAVAAAAGPRKPRILLAASGSVAAIKFGNLCHCFSEWAEVKAVATRASLHFIDRAALPKDVVLYTDEDEWSSWNKIGDTVLHIELRRWADIMVIAPLSANTLGKIAGGLCDNLLTCIVRAWDYSKPLFVAPAMNTFMWSNPFTERHLMSIDELGISLIPPVSKRLACGDYGNGAMAEPSLIYSTVRLFLESRSQAGDRRVG >Potri.016G022050.1.v4.1 pep chromosome:Pop_tri_v4:16:1204094:1205937:1 gene:Potri.016G022050.v4.1 transcript:Potri.016G022050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022050.v4.1 MTKAWWLAVPPVRSSPLCFWFFLRDEGTKMMMMPVLSGGLDSGSFFFCCYFGFLPLLMTVRSGARLINVYSFSVFPCSLCLRPGDEDNAGFCSLLLFLFSLSLPVSSVLPLSFFFCFGSCSPSPSLVQYVYLRASSVFFLPSQFSSPSVRLYFLSLSPVFFFCFGS >Potri.008G069100.1.v4.1 pep chromosome:Pop_tri_v4:8:4198603:4203838:1 gene:Potri.008G069100.v4.1 transcript:Potri.008G069100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069100.v4.1 MPTPVTTARQCLTEEAAHALDEAVNVARRRGHGQTTSLHAVSALLSLPSSPLREACARARNSAYSPRLQFKALELCLGVSLDRVPTSQLGDDSPPVSNSLMAAIKRSQANQRRQPENFNLYHQIQQQQQSSSSISCIKVELQNLILSILDDPVVSRVFGEAGFRSSEIKLAIVRPLPQVFKFPSSRFKGPPLFLCNILSSEDPDSLYSCPGRSGVFSFPFSGGSFLNNNNSSHSTTNRDVNCRRIGEVLASSRGRNPLLVGSGAYDTLAIFSEIVEKRKENILPVELRGLSVICIESYVNKFITSEDFDKKRVDLRFEELGQFAERHLGPGLLVNFGDLKAFVSDDSDNNGLGDAASYVIEKLTKLLQLYGGRVWLIGAASYENYSKFVGRFPSTEKDWDLQLLPITSLPTSSMAESYPRSSLMESFVPFGGFFSTPSDLNGPLNTPYHCIPLCHLCNEKCKQEILSVSKGGFVGSVADHYQSSLPSWLQMAEIGTNKGLDAKTRDDGTVLSAKVAGLQRKWDNICQRLHHTQPPGLNTHLPQFPTVAGFQLVEDKKENAENPRSKNTSALPNGSRCVNVNSCIPSDIQKTPRKQLGFPLPIVSEAKSDCILSKQREKPSKEEDLESGGFSSPQNFSNSSIVDGSQASPTSMTSVTTDLGLRISSVPTSNELKKTVNQNHMELPQDRSGSFSANVDVVHGSMSDHWAPSSSSSSSPDYGGQFDLSNAKMLFRAVVERVGWQDEAIRVISQTIARCKARNEKRQGASLRGDIWFSFCGPDRRGKKKIASALAEIIYGSRENFISADLSAQDGMIHTHMLFDHPEVNGYTAKLRGKTVVDFVAGELCKKPLSIVFLENIDKADVQAQKSLSHAIQTGKFADSHGREIGISNAIFVTTSTLTEDKVCSSSNEFFTYSEERISRVRDWPVKILIEQALDDEVGKMVAPFTLRKGVSGSIFLNKRKLVGANQNLDRQEIKEMVKRAHKTSARNLDLNLPAEENDVLDTDDGSSDNDHASDNSKAWLQDFLEKIDARVFFKPFDFDALAERILNELNGCFHKIVGSECLLDIDPKVTEQLLAAAYLSDRKRVVEDWVEQVLGWGFVEVLRRYKLKANSIVKLVACKGLFVEERMSGDHLPTKIIIS >Potri.008G069100.2.v4.1 pep chromosome:Pop_tri_v4:8:4198607:4203838:1 gene:Potri.008G069100.v4.1 transcript:Potri.008G069100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069100.v4.1 MPTPVTTARQCLTEEAAHALDEAVNVARRRGHGQTTSLHAVSALLSLPSSPLREACARARNSAYSPRLQFKALELCLGVSLDRVPTSQLGDDSPPVSNSLMAAIKRSQANQRRQPENFNLYHQIQQQQQSSSSISCIKVELQNLILSILDDPVVSRVFGEAGFRSSEIKLAIVRPLPQVFKFPSSRFKGPPLFLCNILSSEDPDSLYSCPGRSGVFSFPFSGGSFLNNNNSSHSTTNRDVNCRRIGEVLASSRGRNPLLVGSGAYDTLAIFSEIVEKRKENILPVELRGLSVICIESYVNKFITSEDFDKKRVDLRFEELGQFAERHLGPGLLVNFGDLKAFVSDDSDNNGLGDAASYVIEKLTKLLQLYGGRVWLIGAASYENYSKFVGRFPSTEKDWDLQLLPITSLPTSSMAESYPRSSLMESFVPFGGFFSTPSDLNGPLNTPYHCIPLCHLCNEKCKQEILSVSKGGFVGSVADHYQSSLPSWLQMAEIGTNKGLDAKCRPEMMERY >Potri.013G066750.1.v4.1 pep chromosome:Pop_tri_v4:13:5054830:5055218:1 gene:Potri.013G066750.v4.1 transcript:Potri.013G066750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066750.v4.1 MDSSNQMHFLVPVSTSNRGEPFTTWNDSPLLRNMCLVSHLLGKNGFSNFLDKAVKSFLSSLNRLKQIPTLAFKPFRKCC >Potri.008G100100.2.v4.1 pep chromosome:Pop_tri_v4:8:6237967:6241916:-1 gene:Potri.008G100100.v4.1 transcript:Potri.008G100100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100100.v4.1 MAVETEVTSVTELALADTDINWARLDKTKFHIIGAVLFTVQQGLLHPTAVVKTRMQVADSGLSHMGGISVAKHILRNDGIPGLFRGFGTSAIGALPGRVLSLTALEVSKDMMFKYTEALDMPEATRVGIANGVAGMLSNLVSCVYYVPLDVICQRLMVQGLPGVASYKGPFDVMCKVMKTEGFRGLYRGFGLTAVTQPPASALWWGTYGAAQHIIWRSMGYRDDIDKKPSHLEMVTVQAMAGTVAGACSSIITTPMDTIKTRLQVMDNYGSGRPSVLKTTKTLLKEDGWRGFYRGFGPRFLNMSLYGTTMIVTYELIKRLSIKQG >Potri.006G082400.2.v4.1 pep chromosome:Pop_tri_v4:6:6108095:6110635:-1 gene:Potri.006G082400.v4.1 transcript:Potri.006G082400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082400.v4.1 MSSFTNFDNLLLQTLMGRLQIHPPPPPQYPFLSQSLEDLLFNVDDSSDEDDDDTNKTQLSKEESKLEKEIIRVILSGKIDSLKPNSGQAVTIGEHHICVGFHEEQGSDYRVWEWHGHIMLFDEEDGYTPEYIYGNYFERLLGKTAASTTATPKQEQEENEDEEEGEEEKIGNLGLRELIDGGDSGAARILHRIISAGSPRLVYTYHFLNSFVCVYHLCLCSFLVLVSSHYRDL >Potri.006G082400.1.v4.1 pep chromosome:Pop_tri_v4:6:6107979:6110693:-1 gene:Potri.006G082400.v4.1 transcript:Potri.006G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G082400.v4.1 MSSFTNFDNLLLQTLMGRLQIHPPPPPQYPFLSQSLEDLLFNVDDSSDEDDDDTNKTQLSKEESKLEKEIIRVILSGKIDSLKPNSGQAVTIGEHHICVGFHEEQGSDYRVWEWHGHIMLFDEEDGYTPEYIYGNYFERLLGKTAASTTATPKQEQEENEDEEEGEEEKIGNLGLRELIDGGDSGAARILHRIISAGSPRFN >Potri.002G122500.1.v4.1 pep chromosome:Pop_tri_v4:2:9265457:9267260:1 gene:Potri.002G122500.v4.1 transcript:Potri.002G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122500.v4.1 MTQVAKSCNVPFEFHGVAMDGCEVQLEHLRVQPGKALIKLPLTPNIQDICTKYCKYRSQNYVMIFESTTEIMKGLCFYFDKALPVMLLYKSERHQYADAIRDNVSPSMVYGAEHLLRLFVKLPELLAHANNQEETLTGLHRKLVDILRHSTALIHFLQKNQSAFYLSTNHAPEDSEGSTDKQDH >Potri.001G253300.16.v4.1 pep chromosome:Pop_tri_v4:1:26949970:26955396:-1 gene:Potri.001G253300.v4.1 transcript:Potri.001G253300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253300.v4.1 MDYFYGPGRNHLFVPGPVNIPESVLRAMNRNNEDYRSPAVPAMTKTLLEDVKKIFKTTSGTPFIIPTTGTGAWESALTNTLSPGDRTVSFMIGQFSLLWIDQQKRLGFNVDVVESDWGQGANLDILASKLAEDTAHTIKAVCIVHNETATGVTNNLAKVRKILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKALEASKTAKSFRVFFDWKDYLKFYKLGTFWPYTPSIQLLYGLREALDLLFAEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSAEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNSTPLIASRI >Potri.001G253300.13.v4.1 pep chromosome:Pop_tri_v4:1:26949966:26954411:-1 gene:Potri.001G253300.v4.1 transcript:Potri.001G253300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G253300.v4.1 MDYFYGPGRNHLFVPGPVNIPESVLRAMNRNNEDYRSPAVPAMTKTLLEDVKKIFKTTSGTPFIIPTTGTGAWESALTNTLSPGDRTVSFMIGQFSLLWIDQQKRLGFNVDVVESDWGQGANLDILASKLAEDTAHTIKAVCIVHNETATGVTNNLAKVRKILDDYRHPALFLVDGVSSICALDFRMDEWGVDVALTGSQKALSLPTGMGIVCASPKALEASKTAKSFRVFFDWKDYLKFYKLGTFWPYTPSIQLLYGLREALDLLFAEGLDNVIARHARLGKATRLAVEAWGLKNCTQKEEWFSDTVTAVLVPPYIDSAEIVRRGWKRYNLSLGLGLNKVAGKVFRIGHLGNLNELQLLGCLAGVEMILKDVGYPVKLGSGVAAACAYLQNSTPLIASRI >Potri.010G239400.3.v4.1 pep chromosome:Pop_tri_v4:10:21826853:21829347:1 gene:Potri.010G239400.v4.1 transcript:Potri.010G239400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239400.v4.1 MQYKGKMGLLLGLLVITGLCLSASSTPLDDKPFLPDPLQENDLGAAGTHEHCIGSTDDDSNERSGIVHSSKFAHGGSAHGGARGGGATGAADNAHGGGEAQGGGAVVPVIIAGAAANHRPNNHHNAGSRQVNCIVPPLITTTFVALIVH >Potri.012G054900.1.v4.1 pep chromosome:Pop_tri_v4:12:5224249:5228614:-1 gene:Potri.012G054900.v4.1 transcript:Potri.012G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G054900.v4.1 MAGKRFLEEPGSDLDQPHDQKRMRRRPSFASVIGEAVMVNSLKNFCLALEPMLRRVVNEEMETNLRRSTSFLTRSPSLRIQSLEPSSLQLMFSKNLLLPIFTGSKIVDLDSSPLQILLVDTRGDQVVSTYLPHPIKIEVVVLDGDFPSYDNRTWTSEEFNSNIVKERKGKRPLLAGDCLSVTLRDGFAPIGEIEFTDNSSWVRSRKFRLGARVVPESYQGVRIREAITEAFVVKDHRGELYKKHHPPMLQDEVWRLEKIGKDGAFHKKLATARIHTVQDFLKLSVVDPRRLREILGNGMSEKMWEVTIKHARTCDLGNKHFVFRRPNCTITFDPICQIVHAVIDGNSYSSKELPSKTGYIETLVRHAYVEWNSLEEIVGISSGIPLLTQGELVDQYPNHHQSIVKSFQPLGYSIFDHGDVEMGSLPSSAHLGYNN >Potri.002G060600.2.v4.1 pep chromosome:Pop_tri_v4:2:4184013:4186668:1 gene:Potri.002G060600.v4.1 transcript:Potri.002G060600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060600.v4.1 MQCTTTTYVAPLPGCPMPTSLHSHLHRSHLRIVKSTCLYSSQHQTHSKNICSLALHPTSNCKRNGKEQKNSQTNNNKGYPDPDQNLSVFDRFQCSLDTNTNANQNPETENQEIEETGDTETGNQRGPLSNMWWTDLRAALGQRINVEGIVSSASVFVKDRHLALPHVVVPDIRYIDWGGLQARGFKGVVFDKDNTITVPYSLTLWGPLSPSIERCKSVFGNDIAVFSNSAGLFEYDHDGSKARALEKAIGIKVIRHRVKKPAGTSEEIEKHFGCKSSQLIMVGDRPFTDIVYGNRNGFLTVLTKPLSLAEEPFIVRQVRKLETSLMGYWFKRGLKPISHNLLPDAMQCVKDPPPQ >Potri.002G060600.1.v4.1 pep chromosome:Pop_tri_v4:2:4183989:4188137:1 gene:Potri.002G060600.v4.1 transcript:Potri.002G060600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060600.v4.1 MQCTTTTYVAPLPGCPMPTSLHSHLHRSHLRIVKSTCLYSSQHQTHSKNICSLALHPTSNCKRNGKEQKNSQTNNNKGYPDPDQNLSVFDRFQCSLDTNTNANQNPETENQEIEETGDTETGNQRGPLSNMWWTDLRAALGQRINVEGIVSSASVFVKDRHLALPHVVVPDIRYIDWGGLQARGFKGVVFDKDNTITVPYSLTLWGPLSPSIERCKSVFGNDIAVFSNSAGLFEYDHDGSKARALEKAIGIKVIRHRVKKPAGTSEEIEKHFGCKSSQLIMVGDRPFTDIVYGNRNGFLTVLTKPLSLAEEPFIVRQVRKLETSLMGYWFKRGLKPISHNLLPDAMQCVKDPPPQ >Potri.T045400.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:522901:525709:1 gene:Potri.T045400.v4.1 transcript:Potri.T045400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045400.v4.1 MRLLHSLNQKYRFFSICKRGLMDTVYISHGSPMMAIDESIPARKFLKTWQQIFKERPKAILVISGHWDTKEPTVNVVNRNDTIYDFYGFPKSMYKLKYTPPGAPLLAKRVKELLMANGFKRVHEDKTRGVDHGTWVPLMFMYPEADIPVCQLSVQTDRDGTYHYNLGKALAPLREEGILIMGSGATTHNLGTMQPSGSPVPSWALQFDTWLKNALLEGRYEDVNHYDSRAPYGKMAHPWPDHFYPLHVAMGAAGENAKAKLVHHSWDNGTLSYASYQFTARK >Potri.005G095250.1.v4.1 pep chromosome:Pop_tri_v4:5:6699893:6702677:1 gene:Potri.005G095250.v4.1 transcript:Potri.005G095250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095250.v4.1 METSPATWLSDEMGMEEYSAFGHDRYDTNPLDYSIDEFNFQTFPSKCFPMNDQTFNHQTPQNITCAPSISSQVSIDQRPAKQPKTSQQASPSSSSHIISFDNSSSPPATSLQFFGSTNYEDGATYFRKAGTKKIAATSKSPSHAIEERNRREKLSQRFIALSAVVPGLKKMDKASVLGDAIKYLKYLQERVKTLEEQAAKKTMESVVFVKKSLVCIADDSSSSTDENSAGGCRDYPLPEIEITVSDEDVLIRILCENQKGCLMKILTEMEKLHLKVINSIVMPFGNYTLDVTIVAQMDVDFSMTLKDLVKNLRRALM >Potri.012G107300.1.v4.1 pep chromosome:Pop_tri_v4:12:12899309:12901244:-1 gene:Potri.012G107300.v4.1 transcript:Potri.012G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G107300.v4.1 MGSSPLLSFLVLTLMFLFVTPSHSSEYDAAQEPAPPFTSRKISRNSEKVTMSLYYESLCPYCSSFIVGPLAQVLETDLMTILNLRLVPWGNAILDSNSTIECQHGEDECYLNIIHTCAINLWPDLKKHFNFIKCIEKQYKAPDRNGAEESWEVCSGKLRLSTKSIKKCYDSGHGKKLVLQNGKETDHLRPPHEYVPWVVVDDTPLLDDYVNFIHYVCKAYKGKSLPKTCSSHPNTSINKDTSLQSACHASEAMSGDSSGKHQMKMEPLA >Potri.010G206766.1.v4.1 pep chromosome:Pop_tri_v4:10:19715739:19719269:1 gene:Potri.010G206766.v4.1 transcript:Potri.010G206766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206766.v4.1 MAASQAILLLQKQLRDLCKNPVDGFSAGLVDETDMFEWSVSIIGPPDTLYDGGFFNAIMSFPKNYPNSPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWSPVHTVESIVLSIISMLSSPNDESPANVDAAKQWRESREEFRKKVSRCVRKSQEML >Potri.010G206766.5.v4.1 pep chromosome:Pop_tri_v4:10:19715916:19717315:1 gene:Potri.010G206766.v4.1 transcript:Potri.010G206766.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206766.v4.1 MAASQAILLLQKQLRDLCKNPVDGFSAGLVDETDMFEWSVSIIGPPDTLYDGGFFNAIMSFPKNYPNSPPTVRFTSEMWHPNVYPDGKVCISILHPPGDDPNGYELATERWSPVHTVCDAFMHFIFFGCSIYVCACKFLAIAKYIACFLCLFGLSYKHG >Potri.011G125651.1.v4.1 pep chromosome:Pop_tri_v4:11:15967515:15971430:1 gene:Potri.011G125651.v4.1 transcript:Potri.011G125651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125651.v4.1 MNNITILCFCFTSFFVTSLAVDTISANHTIGDGETIVSSGERFELGFFSPGNSTRRYLGIWYNKISKGKVVWVANREIPITDKSGVLKFDERGALILAIQNGSVIWSSNTSRHAQNPVAQLLDSGNLVVRNENDRRTENFVWQSFEHPGNTFLPGMKVGRLASGLDVIISSWKSNDDPSQGPYTFEIDGKGLELVVRQNSVLKSRSGPWNGVGFSGLPLLKPDPFLSYAFVFNDKEAYLTYDINSSIALTLVFDQDGVLERLAWIDRLNNWIVYSSAPGDNCDNYALCGAYGRCTIGNSPACGCLNRFVPKNQSEWVRADWSSGCVRRTPLNCQNGVGFIKYYNIKLPDSKIRAMNKSMTTEECRVKCLNNCSCMAYTNSDIRGNGSGCILWFGDLVDIRQYTEDGQDLYIRMASSEIVAHTLEGSPKKKKVGIIVSVVLSALLLLGLGLCLFLQKKKKHNRHNTLGRTKKEENNTEEQWSMKIQDESLDLPHFDLTAIANATSNFSFNNLLGQGGFGPVYKGAFKGGQDIAVKRLSKESRQGLDEFMNEVKCIAKLQHRNLVKLLGYCIEHEEKILIYEYMPNKSLDIYIFDQIRSKLLDWPKRFHIINGVSRGLLYLHQDSRLRIIHRDLKLSNILLDNDMNPKISDFGMARSFGENETEANTRRVVGTYGYMSPEYAIDGLFSIKSDVFSFGVLVLEIVSGKRNWGFTHPEHELNLLGHVWKLYKEGRSLELIDELKVESCYVPEVLRSIHVGLLCVQHSPEHRPSMSTVVLMLEGNGLLPQPNEPGFFTERRLIEENKKDLSSTNEVTITVLDGR >Potri.003G065400.1.v4.1 pep chromosome:Pop_tri_v4:3:9277543:9279623:1 gene:Potri.003G065400.v4.1 transcript:Potri.003G065400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065400.v4.1 MTIEGSEPNQASDHILDWLEDSVSFLPSFLDDPYNAADIDPYQWWEQAEEICQDLANTNTNTNTSLSSSTTTSTITNINTTSLISPNNPTISNHPPTSTSKKRKDPEDLVPKTSQNHNQRRNLNHRINKEDQDGEAVVGEVVAVKKSNGNKKSTNKSTGNNSNNGNKKEGRWAEQLLNPCAAAITIGNLSRVQHLLYVLHELASPTGDANHRLAAYGLRALTHHLSSSSTVSSASIGTVTFASTEPKFFQKALLKFYEVSPWFAFPNNIANASILQVLGQEQDPTRILHILDIGVSHGVQWPTLLEALTRRPGGPPPLVRITVITATTENDQSTEPPFSIGPPGDNFPSRLLGFAKFMNINLEIKRLDGYPLQKLSGRIIDAKPEEALIVCAQFRLHHLNHNTPDERTEFFRVLRRLEPKGVILSENNMDCSCNSCGDFATGFSRRVQYLWRFLDSTSSAFKGRESEERRMMEGEGSKALTNRGEMNEGIDKWCERMKGVGFVGEMFGEDAIDGARALLRKYDGNWEMRTGGKDGCVGLWWKGQPVSFCSLWKLDMKGSDS >Potri.008G132700.1.v4.1 pep chromosome:Pop_tri_v4:8:8781395:8786004:1 gene:Potri.008G132700.v4.1 transcript:Potri.008G132700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GH9B6 MARKSLTTSLRNLRVTAVPFLVLLSLHAVIGSGHDYHDALRKSILFFEGQRSGKLPPDQRVKWRRDSALHDGSTVGRDLTGGYYDAGDNIKFGFPMAFTTTLLSWSIVDFGRNMGPELKNAVKAVKWATDYLLKVTAVPDVVYVQVGDAYSDHNCWERPEDMDTLRSAYKIDGSHPGSDVAGETAAALAAASIVFRSRDPAYSRLLLNRAVRVFDFADRHRGAYSGSLRSAVCPFYCDVNGYQDELLWGAVWLHKASRRRRYREYVVKNEVILHAGDTINEFGWDNKHAGINVLISKEVLMGRAEYFESFKQNADDFICSILPGISHPQVQYSPGGLIFKAGGSNMQHVTSLSFLLLAYSSYLSHANKVVPCGETTASPALLKQLAKRQVDYILGDNPLGMSYMVGYGPRYPLRIHHRGSSLPSVQAHPARIRCKEGSRYFLSPNPNPNVHVGAVVGGPNITDAFPDSRPFFQESEPTTYINAPLVGLLAYFSAHP >Potri.001G450600.1.v4.1 pep chromosome:Pop_tri_v4:1:47667754:47671323:1 gene:Potri.001G450600.v4.1 transcript:Potri.001G450600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450600.v4.1 MDPKSSKWRGSSKNQFFMILAIILLQHQLHIPVIHAETAKKVHIVYMGEKRHEDPATTKKTHYEMLSTLLGSKEAAQSSILYSYRHGFSGFAARITESQAAEIAEFPGVVQVIPNGIHKLHTTRSWEFIGLKHHSPQNLLTQSNMGQGTIIGVIDSGVWPESKSFHDEGMGPVPSRWKGTCQQGEHFKPYNCNRKIIGARWFVKGFQDQIHFNTTESREFMSPRDGDGHGTHTASTAAGNFVAKASYKGLATGLARGGAPLAHLAIYKVCWNIEDGGCTDADILKAFDKAIHDGVDILSVSIGNDIPLFSYADMRNSIAIGSFHATSKGITVVCSAGNDGPISQTVANTAPWLTTVAASTIDRAFPTAIILGNNKTLRGQSITIGKHTHRFAGLTYSERIALDPMVSSQDCQPGSLNPTLAAGKIILCLSKSDTQDMFSASGSVFQAGGVGLIYAQFHTDGIELCEWIPCVKVDYEVGTQILSYIRQARSPTAKLSFPKTVVGKRVSPRLASFSSRGPSSITPEVLKPDIAAPGVDILAAYTPANKDQGDSYEFLSGTSMACPHVSGIVALIKSLHPNWSPAAIRSALVTTASQTGTDGMKIFEEGSTRKEADPFDMGGGHVNPEKAAYPGLVYDTTTEEYIQYLCSIGYSSSSITRLTNTKINCVKKTNTRLNLNLPSITIPNLKKKVTVTRKVTNVGNVNSVYKAIVQAPIGISMAVEPKTLSFNRINKILSFRVTFLSSQKVQGEYRFGSLTWTDGEHFVRSPISVRDREILDY >Potri.001G450600.2.v4.1 pep chromosome:Pop_tri_v4:1:47657656:47671246:1 gene:Potri.001G450600.v4.1 transcript:Potri.001G450600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450600.v4.1 MGEKRHEDPATTKKTHYEMLSTLLGSKEAAQSSILYSYRHGFSGFAARITESQAAEIAEFPGVVQVIPNGIHKLHTTRSWEFIGLKHHSPQNLLTQSNMGQGTIIGVIDSGVWPESKSFHDEGMGPVPSRWKGTCQQGEHFKPYNCNRKIIGARWFVKGFQDQIHFNTTESREFMSPRDGDGHGTHTASTAAGNFVAKASYKGLATGLARGGAPLAHLAIYKVCWNIEDGGCTDADILKAFDKAIHDGVDILSVSIGNDIPLFSYADMRNSIAIGSFHATSKGITVVCSAGNDGPISQTVANTAPWLTTVAASTIDRAFPTAIILGNNKTLRGQSITIGKHTHRFAGLTYSERIALDPMVSSQDCQPGSLNPTLAAGKIILCLSKSDTQDMFSASGSVFQAGGVGLIYAQFHTDGIELCEWIPCVKVDYEVGTQILSYIRQARSPTAKLSFPKTVVGKRVSPRLASFSSRGPSSITPEVLKPDIAAPGVDILAAYTPANKDQGDSYEFLSGTSMACPHVSGIVALIKSLHPNWSPAAIRSALVTTASQTGTDGMKIFEEGSTRKEADPFDMGGGHVNPEKAAYPGLVYDTTTEEYIQYLCSIGYSSSSITRLTNTKINCVKKTNTRLNLNLPSITIPNLKKKVTVTRKVTNVGNVNSVYKAIVQAPIGISMAVEPKTLSFNRINKILSFRVTFLSSQKVQGEYRFGSLTWTDGEHFVRSPISVRDREILDY >Potri.007G088800.1.v4.1 pep chromosome:Pop_tri_v4:7:11401964:11402807:-1 gene:Potri.007G088800.v4.1 transcript:Potri.007G088800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088800.v4.1 MCFNLGYNFKNGKLVRFMKESDRDDGEEECKGLLLKEIEKWKKQQEKSSAPAEASSTSTTTPSKCNNH >Potri.009G124800.1.v4.1 pep chromosome:Pop_tri_v4:9:10389213:10393984:1 gene:Potri.009G124800.v4.1 transcript:Potri.009G124800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124800.v4.1 MESVSNRVTTTLTWSNSISTSSLQIPQVFSIRCTKRLRVCCASDQTQKMTVSVTGATGFIGKRLVQRLHADKHSVRVLTRSRSKAQLIFPVKEFPGILIAEERDWKDCIQGSNAVVNLAGLPISTRWSPEVKKEIKQSRIKVTSKVVDLINGSPEGVRPAVLVSATAVGYYGSSETQVFDERSPSGNDYLAEVCREWEATALKVNKDVRLALIRIGVVLGKDGGALAKMIPLFMLFAGGPMGSGQQWFSWIHLDDIVNLIYEALTNPSYKGVINGTAPNPVRLAEMCEQLGNVMGRPSWLPVPDFALKAVLGEGASVVLDGQRVLPTRAKELGFQFKYPQVKDALKTILS >Potri.008G016400.3.v4.1 pep chromosome:Pop_tri_v4:8:831022:832185:-1 gene:Potri.008G016400.v4.1 transcript:Potri.008G016400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016400.v4.1 SSSFLRSVDSRGGQFEAALVNFPEEFRDRWQRIGAYVGQSAWEVKERYEILIQDVYEIDSDRIELPRYKDEEAVSWDSGGMVAAAAPSGQISCGGKAKQEAEGRKGNPWTEEEHKRFLTGLRRFGRGDWRSISINAVITKTPVQVTSHAQKYFLRQNSANNERRGRRRASTLDITAVDTKTVASSSEDNWIAQPGPPTDRGRAPV >Potri.017G140501.2.v4.1 pep chromosome:Pop_tri_v4:17:14122883:14128581:-1 gene:Potri.017G140501.v4.1 transcript:Potri.017G140501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140501.v4.1 MMEKTTAKTEEEVAGRSLLDLVFSWSITDVLNRDLYKNQVKKIPETFTSTSHYMKLFIPALIEETRADLCSNMMKVSQAPTREIFSIERSKEYKPPKDVFYKIWLNRMSKTGNGKGIYEPEVGHLIALTDARPKDIADLNSPGINYLLAYVHEVSNGLDDDNNHETLSILTSKPIQFELENKQNKRESVIAGQEIQKKSRATFFVVYLANMTTNARIWRSLNSDLQGGNTNVIQNVLETSSPDSQDCSHCLSEVNRSAALSGMEETIISSYNLNESQENAIVSCIGLSECQHQSTVKLIWGPPGTGKTKTVGLLLFSLLKLKCRTLTCSPTNIAVLQVTSGLLKLVTDSLEYDTYGLGDVVLFGNGGRLKISENDDLEDIFLDHRVEVLYLCFAPSTGWKHTVDSMINLLEDPEHQYRRYLENMKKENEGGDRDDEMIEFQEMNSNKEKDEVVSEQNQKGRNSRKVLKKILLQALKDNKKTEKKKQKVSYHQDKLPRCLGKGDQYGKENKEDNILPFEEFVKKRFNILSEKLDFLIFGLYTHLPTSVISFEVVKNMIKALDSLSCLKTLLNGVSLGDGGLELDINDFENEESSACQYSRLATKRKDCIQILNSLPRSFDVPNIFESYQVRNFCLENACLIFCTASSSAMLHTEGMKPIKLLVVDEAAQLKECESTIPLQLSGLRHAVLIGDERQLPAMVQSQISEKAEFGRSLFERLVILGHEKHLLNMQYRMHPSISLFPNKEFYGGLIQDASTVKERNYQKLFLQGNMYGPYSFINVASGKEEFNNGGSKKNLVEVAVVSELVASLFKEFTRARKRMSVGVISPYNAQVYAIQEKIGKTYSAHSDFAVNIRSVDGFQGGEEDVIIISTVRCNANGKIGFLANRQRVNVALTRARHCLWILGNGATLVNSDSIWKKLVTDAKERGCFYNAEEGKSLSKAITDDFLESDQLDALLNVNSPLFRNARWKFCFSNDFRKSILKVRNEARQEVFSLLSKLSSGWRESPEERIIVVRHGTSSELLEQYRVNDQLKLIWTVDIIKENSNHTQILKVWDVLPSPDLPKLARHLDDVFGNYTVDKMNRCKHKFIEGNLVVPMRWPLYFDGAAERSIPEIDPVELLSQPSASLMARTPKAKTPNPHRRLPKYMNKNNKKYRSTSLFGWDNEPIWVYFIIFILIILIIYVLQF >Potri.017G140501.3.v4.1 pep chromosome:Pop_tri_v4:17:14123404:14128477:-1 gene:Potri.017G140501.v4.1 transcript:Potri.017G140501.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140501.v4.1 MMEKTTAKTEEEVAGRSLLDLVFSWSITDVLNRDLYKNQVKKIPETFTSTSHYMKLFIPALIEETRADLCSNMMKVSQAPTREIFSIERSKEYKPPKDVFYKIWLNRMSKTGNGKGIYEPEVGHLIALTDARPKDIADLNSPGINYLLAYVHEVSNGLDDDNNHETLSILTSKPIQFELENKQNKRESVIAGQEIQKKSRATFFVVYLANMTTNARIWRSLNSDLQGGNTNVIQNVLETSSPDSQDCSHCLSEVNRSAALSGMEETIISSYNLNESQENAIVSCIGLSECQHQSTVKLIWGPPGTGKTKTVGLLLFSLLKLKCRTLTCSPTNIAVLQVTSGLLKLVTDSLEYDTYGLGDVVLFGNGGRLKISENDDLEDIFLDHRVEVLYLCFAPSTGWKHTVDSMINLLEDPEHQYRRYLENMKKENEGGDRDDEMIEFQEMNSNKEKDEVVSEQNQKGRNSRKVLKKILLQALKDNKKTEKKKQKVSYHQDKLPRCLGKGDQYGKENKEDNILPFEEFVKKRFNILSEKLDFLIFGLYTHLPTSVISFEVVKNMIKALDSLSCLKTLLNGVSLGDGGLELDINDFENEESSACQYSRLATKRKDCIQILNSLPRSFDVPNIFESYQVRNFCLENACLIFCTASSSAMLHTEGMKPIKLLVVDEAAQLKECESTIPLQLSGLRHAVLIGDERQLPAMVQSQISEKAEFGRSLFERLVILGHEKHLLNMQYRMHPSISLFPNKEFYGGLIQDASTVKERNYQKLFLQGNMYGPYSFINVASGKEEFNNGGSKKNLVEVAVVSELVASLFKEFTRARKRMSVGVISPYNAQVYAIQEKIGKTYSAHSDFAVNIRSVDGFQGGEEDVIIISTVRCNANGKIGFLANRQRVNVALTRARHCLWILGNGATLVNSDSIWKKLVTDAKERGCFYNAEEGKSLSKAITDDFLESDQLDALLNVNSPLFRNARWKVCVFIFLVS >Potri.017G140501.1.v4.1 pep chromosome:Pop_tri_v4:17:14122883:14128424:-1 gene:Potri.017G140501.v4.1 transcript:Potri.017G140501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140501.v4.1 MMEKTTAKTEEEVAGRSLLDLVFSWSITDVLNRDLYKNQVKKIPETFTSTSHYMKLFIPALIEETRADLCSNMMKVSQAPTREIFSIERSKEYKPPKDVFYKIWLNRMSKTGNGKGIYEPEVGHLIALTDARPKDIADLNSPGINYLLAYVHEVSNGLDDDNNHETLSILTSKPIQFELENKQNKRESVIAGQEIQKKSRATFFVVYLANMTTNARIWRSLNSDLQGGNTNVIQNVLETSSPDSQDCSHCLSEVNRSAALSGMEETIISSYNLNESQENAIVSCIGLSECQHQSTVKLIWGPPGTGKTKTVGLLLFSLLKLKCRTLTCSPTNIAVLQVTSGLLKLVTDSLEYDTYGLGDVVLFGNGGRLKISENDDLEDIFLDHRVEVLYLCFAPSTGWKHTVDSMINLLEDPEHQYRRYLENMKKENEGGDRDDEMIEFQEMNSNKEKDEVVSEQNQKGRNSRKVLKKILLQALKDNKKTEKKKQKVSYHQDKLPRCLGKGDQYGKENKEDNILPFEEFVKKRFNILSEKLDFLIFGLYTHLPTSVISFEVVKNMIKALDSLSCLKTLLNGVSLGDGGLELDINDFENEESSACQYSRLATKRKDCIQILNSLPRSFDVPNIFESYQVRNFCLENACLIFCTASSSAMLHTEGMKPIKLLVVDEAAQLKECESTIPLQLSGLRHAVLIGDERQLPAMVQSQISEKAEFGRSLFERLVILGHEKHLLNMQYRMHPSISLFPNKEFYGGLIQDASTVKERNYQKLFLQGNMYGPYSFINVASGKEEFNNGGSKKNLVEVAVVSELVASLFKEFTRARKRMSVGVISPYNAQVYAIQEKIGKTYSAHSDFAVNIRSVDGFQGGEEDVIIISTVRCNANGKIGFLANRQRVNVALTRARHCLWILGNGATLVNSDSIWKKLVTDAKERGCFYNAEEGKSLSKAITDDFLESDQLDALLNVNSPLFRNARWKFCFSNDFRKSILKVRNEARQEVFSLLSKLSSGWRESPEERIIVVRHGTSSELLEQYRVNDQLKLIWTVDIIKENSNHTQILKVWDVLPSPDLPKLARHLDDVFGNYTVDKMNRCKHKFIEGNLVVPMRWPLYFDGAAERSIPEIDPVELLSQPSASLMARTPKAKTPNPHRRLPKYMNKNNKKYRSTSLFGWDNEPIWVYFIIFILIILIIYVLQF >Potri.001G273000.1.v4.1 pep chromosome:Pop_tri_v4:1:28711209:28714212:1 gene:Potri.001G273000.v4.1 transcript:Potri.001G273000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G273000.v4.1 MQQRRYSRLCLLSLSFSCLLLLLPSFLGPVDAYKNYTVGDSLGWYDATVKSNVNYQKWADGKNFSLGDFLIFNTDSNHSVVQTYNFTTFKSCDYDNSEGNETVEWSSTNPSNTLTQAVTVAVPLVKEGPTFFFSGYYDGEQCQNGQHFKITVSHGKGLPDSLKDPSDQAPAPNAADYGSTPDTVVPFDFNNPHDQDTDVKKDSGSISLYVKNLDMKLNGILLSLGILYMF >Potri.014G012700.2.v4.1 pep chromosome:Pop_tri_v4:14:714304:716232:-1 gene:Potri.014G012700.v4.1 transcript:Potri.014G012700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012700.v4.1 MDVEERKLFVGGIPRGTSEDTLRDHFSKYGVVSHLLVAKDQITKLPRGFAFVVFSEAASAARALQDSHVICGRTVEVKKAIPKTEKHQEHRQRHPHGNQETASNGSGMNSDNSTGNVNYYRTKKIFVGGLSSSLTDEQFRNYFEKFGRTVDAIVMQDSSNKPRSFGFVTFDSEESAAKVMRNSFHELNGKTVEVKKAVPKDRVNGDIGSCSSNGFADKGPEPENHPFYGSTHDPHYTPFLMYDGVRGYFYGMSFYGGVYPMVGFGRPVLGVSPMVPTIPFMPAAGPFPYNNACLYLAYMHDASSTWAMEVVGHNQIVEPGVNGEVDVVHDSNVHVPSNATTPPSEEGNVGSESFGLKGGDVDAVHDSNGHVVPGMNGEEVGGCNQVVEPGVNGEVDVVRDSNGHVPSNATAPESEEGKVGSGLKGGDMDAVPDNNGHVEPGVNGEVDAVHDSNGHVPSNATAPPSEEGKVGSDAFGLKGGDVDAVPDINGHFEPDVNGEEVGGYNQIVEPDVNGEVEAFGDSNGHVPSNATAPPSEERKVGSDALGYQGSNDGLPR >Potri.001G248704.1.v4.1 pep chromosome:Pop_tri_v4:1:26484352:26485254:1 gene:Potri.001G248704.v4.1 transcript:Potri.001G248704.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G248704.v4.1 MCRSLILCFWTMLGSLLWVSNPFLCRNSFSNMQLIFLATHHLSSRFVQGILSPRSLAFCFFLFSSQVISSFVFKLLLLRTGQMLMRFLRCRIIDRPAFWQRRATTHRFLTNIFAAI >Potri.013G126701.6.v4.1 pep chromosome:Pop_tri_v4:13:13406750:13408678:-1 gene:Potri.013G126701.v4.1 transcript:Potri.013G126701.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126701.v4.1 MKTVTGKITDSTPVTIAKAASILSKFVSTDTGASQALNAYLRRATAAFDELARLHSKSDRRKHKRDSAQTQRVEVSQSGLVNNNEQRLKKEVKEEGNAAEEETERKRKRRKRKGDLEEETERSNEVKKERTEFEENEGKVVGRVEIKVEEEMKKKKKKKKRKSGEVEVEVEVEVEVEVKEEEKEKEGKDGLKDEGQRKKKKRRKHED >Potri.006G234800.2.v4.1 pep chromosome:Pop_tri_v4:6:23731329:23734759:1 gene:Potri.006G234800.v4.1 transcript:Potri.006G234800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234800.v4.1 MLSHLLLVLCIVGFSRSINSSPGFGNGGGDDRKVLIVGEELWKQTIPLQLGSRVYELQGLKSHTWYEVKISYPASIPASFTIQLKKDDSDPGMNRVARRLLNTEKLIFMTDVILDSNQSKLCVLVRVEPEGVVAIPNVEERKDITFNIVCDELLLGIPHKAWWVVVLVVLCLGVAFIIPHFLPSCLLLRDRRRETLNQSVSKES >Potri.005G256000.2.v4.1 pep chromosome:Pop_tri_v4:5:24698968:24700601:1 gene:Potri.005G256000.v4.1 transcript:Potri.005G256000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G256000.v4.1 MALPALSLILALSLMSFFASSCLARDFSIVGYAPEDLTSRDRIIDLFESWISKHQKIYESIEEKWHRFEIFKDNLFHIDETNKKVVNYWLGLNEFADLSHEEFKNKYLGLNVDLSNRRECSEEFTYKDVSSIPKSVDWRKKGAVTDVKNQGSCGSCWAFSTVAAVEGINQIVTGNLTSLSEQELVDCDTTYNNGCNGGLMDYAFAYIISNGGLHKEEDYPYIMEEGTCEMRKAESEVVTISGYHDVPQNSEESLLKALANQPLSVAIDASGRDFQFYSGGVFDGHCGTELDHGVAAVGYGSAKGLDFIVVKNSWGSKWGEKGFIRMKRNTGKPAGLCGINKMASYPTKKK >Potri.001G424700.1.v4.1 pep chromosome:Pop_tri_v4:1:45062049:45063344:1 gene:Potri.001G424700.v4.1 transcript:Potri.001G424700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G424700.v4.1 MSILPQHNHCLTRSPAHNPWHRKRIICSATTTPTKPKSSPNQVTFEPQFVTPPNLVTSISTPPVLNDPSLQSTWSHRTWVATGCTTVLVSLAKAIAGAGHSHIWLEPMLAGYIGYILADLGSGVYHWGIDNYGDGSTPIFGNQIEAFQGHHKWPWTITRRQFANNLHALARTVAFFVLPVDLVCNDPTVNAFVGVCSGCIMFSQQFHAWAHGTKSKLPPIVVALQDVGLLVSRSQHGAHHRQPYNNNYCIVSGVWNEFLDKNKVFEALEMALYFKLGVRPRSWSEPTTDWTEETESASQVAVQ >Potri.014G136400.1.v4.1 pep chromosome:Pop_tri_v4:14:9266101:9269669:1 gene:Potri.014G136400.v4.1 transcript:Potri.014G136400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136400.v4.1 MFNLLLLTITLSLITLSLVQSQAQNNTATCPLDFNVLRNLTSASSKRPPTSDINQQCRYILQGLRLVQSHYLQLNNSFLPPLDSAESCWSTYQVLIDGFLPNFDIRSSCGFNTSWISQGCMNISTRSQFEAIVPVSALNDVASNCNQSLENNSPCAACTTSLSTLQARYLTGASIGNVSDCQAYPSIYAAAFINRYGPTDKGTAKCLFLLDFSSKKSSKKRSIVLILGVLLGGGVGLVVLIGLCWIFHKRKKRADKFGRVLETELGSGLESISQSTSLVKYTFDEIRKATRNFSRDNIIGRGGYGNVYKGELPDGSLVAFKRFKNCSAAGDSSFAHEVEVIASVRHVNLVALRGYCTATSPFEGHQRIIVCDLIKNGTLHDHLFGSCAEKLSWPIRQKIALGTARGLAYLHYGAQPSIIHRDIKASNILLDESFEPKVADFGLAKFTPEGITHLSTRVAGTMGYVAPEYALYGQLTERSDVFSFGVVLLELLSGKKALMVDHEGQPSIVTDWAWSLVREGRTLDVIEDGMPESGSQEILEKYVLVAVLCSHPQLYARPTMDQVVKMLETDLSIPSIPERPISLVAEMDDIERTASRSASGQLSSPAGYQHFTFENDRPSIRKEEGSSFSLVAEMDDIERTASRSASGQLSSPAGYQHFTFENDRPSIRKEEGSSSDSRAIE >Potri.T124604.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_502:22520:26068:1 gene:Potri.T124604.v4.1 transcript:Potri.T124604.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124604.v4.1 MAISLQLCRVSLRSDLSSDNRVPIRRRRTTFSVRCAGGDDSTSSVSLESEFDAKVFRHNLTRSKNYNRRGFGHKEETLELMNREYTSDIIKKLKENGYEYTWGNVTVKLAEAYGFCWGVERAVQIAYEARKQFPDDKIWITNEIIHNPTVNKRLEEMEVENVPVEEGKKQFEVVNGGDVVILPAFGAAVDEMLTLSSKNVQIVDTTCPWVSKVWTTVEKHKKGDYTSIIHGKYAHEETVATASFAGKYIIVKDMKEAMYVCDYILGGELNGSSSTREEFLEKFKNAVSKGFDPDSDLVKLGIANQTTMLKGETEDIGKLVERIMMRKYGVENVNDHFISFNTICDATQERQDAMYKLVEEKLDLMLVVGGWNSSNTSHLQEIAEHHGIPSYWIDSEQRIGPGNKIAYKLNHGELVEKENWLPQGPITIGVTSGASTPDKVVEDALIKVFDIKRDEALQVA >Potri.001G042500.1.v4.1 pep chromosome:Pop_tri_v4:1:3091486:3095300:1 gene:Potri.001G042500.v4.1 transcript:Potri.001G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042500.v4.1 MIFIRTFLLLLFQHLFLSSAFAVSGSRHHRNHSLLADEAALLAFKKTIVFDPESKLAGWTEGGDVCSFTGVRCDKHRHSVVQLNLSRSGLTGALSPIISNLSGLRYLILDENHFYGIIPPEFSSLRHLHSLRLDSNNLRGSFPGFLAALPNLTVLTLTENHLMGTLPPSLFSNCTSLANIELSQNLLTGKIPQEIGNCPSLWNLNLYNNQFTGELPASLANISELYNIDVESNSLTGELPANIIGKLYSVVSLHFSYNKMVSHDHNTNLEPFFTALANCTELQELELAGMRLGGRLPSSIGRLSGDLSTLLLQENSIFGTIPPGIARLSSLTWLNLTSNSLNGTISAEISRLSYLEQLFLSHNLLTGAIPAALGQLPHLGLLDLSNNQLSGEIPASLGNLVRLSFMFLNNNLLTGTIPPTLGKCTDLSMLDLSYNRLTGSIPPEISGIREIRRYLNLSHNLLDGPLPIELSKLENVEEIDVSSNNLSGSIFFQISSCIAVTRLNFSHNSIEGHLPDSIGDLKNLESFDVSGNHLSGGIPTSLNKSRSLSFLNLSFNDFAGVIPSGGVFNSVTDKSFIGNQDLCGAVSGMPKCSHKRHWFRLRLFLIVFVLLTFASAFLTTIFCVIGIRRIKAMVSSGNSVDTEQARKPETPELIHNFPRVTYRELSEATGGFDEQRLVGTGSYGRVYKGLLPDGTAIAVKVLQFQSGNSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLDSRLYPHSETGLGSGSSDLTLLQRVSICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMTVGGGNGGVVENMGNSTANLLCGSIGYIAPEYGFGSNTSTKGDVYSFGVLVLEMVTRKRPTDDMFVGGLNLHKWVKTHYHGRLERVVDPSLMRASRDQFHEVKRMWEVAIGELVELGILCTQESPSTRPTMLDAADDLDRLKRYLSGDTTATFASSLGISSSTLGDD >Potri.001G042500.2.v4.1 pep chromosome:Pop_tri_v4:1:3091441:3095001:1 gene:Potri.001G042500.v4.1 transcript:Potri.001G042500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042500.v4.1 MIFIRTFLLLLFQHLFLSSAFAVSGSRHHRNHSLLADEAALLAFKKTIVFDPESKLAGWTEGGDVCSFTGVRCDKHRHSVVQLNLSRSGLTGALSPIISNLSGLRYLILDENHFYGIIPPEFSSLRHLHSLRLDSNNLRGSFPGFLAALPNLTVLTLTENHLMGTLPPSLFSNCTSLANIELSQNLLTGKIPQEIGNCPSLWNLNLYNNQFTGELPASLANISELYNIDVESNSLTGELPANIIGKLYSVVSLHFSYNKMVSHDHNTNLEPFFTALANCTELQELELAGMRLGGRLPSSIGRLSGDLSTLLLQENSIFGTIPPGIARLSSLTWLNLTSNSLNGTISAEISRLSYLEQLFLSHNLLTGAIPAALGQLPHLGLLDLSNNQLSGEIPASLGNLVRLSFMFLNNNLLTGTIPPTLGKCTDLSMLDLSYNRLTGSIPPEISGIREIRRYLNLSHNLLDGPLPIELSKLENVEEIDVSSNNLSGSIFFQISSCIAVTRLNFSHNSIEGHLPDSIGDLKNLESFDVSGNHLSGGIPTSLNKSRSLSFLNLSFNDFAGVIPSGGVFNSVTDKSFIGNQDLCGAVSGMPKCSHKRHWFRLRLFLIVFVLLTFASAFLTTIFCVIGIRRIKAMVSSGNSVDTEQARKPETPELIHNFPRVTYRELSEATGGFDEQRLVGTGSYGRVYKGLLPDGTAIAVKVLQFQSGNSTKSFNRECQVLKRIRHRNLIRIITACSLPDFKALVLPYMANGSLDSRLYPHSETGLGSGSSDLTLLQRVSICSDIAEGMAYLHHHSPVKVIHCDLKPSNVLLNDDMTALVSDFGIARLVMTVGGGNGGVVENMGNSTANLLCGSIGYIAPEYGFGSNTSTKGDVYSFGVLVLEMVTRKRPTDDMFVGGLNLHKWVKTHYHGRLERVVDPSLMRASRDQFHEVKRMWEVAIGELVELGILCTQESPSTRPTMLDAADDLDRLKRYLSGDTTATFASSLGISSSTLGDD >Potri.002G121300.2.v4.1 pep chromosome:Pop_tri_v4:2:9200338:9202091:-1 gene:Potri.002G121300.v4.1 transcript:Potri.002G121300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G121300.v4.1 MEISFYSCFMLFLMFYFLSKHLCKISKNLPPSPGLSLPIIGHLYLIKKPLHQTLANLSNKYGPILFIQFGSRPVILVSSPSVAEECLSKNDIIFANRPRLLAGKHLGYNYTTLTWASYGNHWRNLRRIAALEILSTNRLKMFYHIRADEVRLLVHKLFKGCRGGEFMSIDAKSTFFDLTLNVITRMIAGKRYYGEDLAELGEARQFKEIVRETFELSGATNIGDFVPALKWIGLNNIEKRLAILHRKRDEFVQDLILEHRKVKSEFASHQGSSKTMINVLLTLQETEPEYYTDELIRGLMTVILSAGTDTSAGTMEWALSLLLNNPQALMKAQIEIDTIIGPSKLIEESDLLKLPYLQGIINETLRMYPPAP >Potri.018G028600.3.v4.1 pep chromosome:Pop_tri_v4:18:2111647:2117364:1 gene:Potri.018G028600.v4.1 transcript:Potri.018G028600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028600.v4.1 MGETRDNDAYEEELLDYEEEDDKAPDSVGAKVNGEAVKKGYVGIHSSGFRDFLLKPELLRSIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPTSGQVIALVLCHTRELAYQICHEFERFSTYLPDTKVAVFYGGVNVKTHKDLLKNECPHVVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDVMSYGQFYLNLEVFSF >Potri.018G028600.1.v4.1 pep chromosome:Pop_tri_v4:18:2111647:2118856:1 gene:Potri.018G028600.v4.1 transcript:Potri.018G028600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028600.v4.1 MGETRDNDAYEEELLDYEEEDDKAPDSVGAKVNGEAVKKGYVGIHSSGFRDFLLKPELLRSIVDSGFEHPSEVQHECIPQAILGMDVICQAKSGMGKTAVFVLSTLQQIEPTSGQVIALVLCHTRELAYQICHEFERFSTYLPDTKVAVFYGGVNVKTHKDLLKNECPHVVVGTPGRILALARDKDLSLKNVRHFILDECDKMLESLDMRRDVQEIFKLTPHDKQVMMFSATLSKEIRPVCKKFMQDPMEIYVDDEAKLTLHGLVQHYIKLTELEKNRKLNDLLDALDFNQVVIFVKSVSRAAELNKLLVECNFPSICIHSGMSQEERLMRYKGFKEGHKRILVATDLVGRGIDIERVNIVINYDMPDSADTYLHRVGRAGRFGTKGLAITFVSSASDSDVLNQVQERFEVDIKELPEQIDTSTYMPS >Potri.010G027600.1.v4.1 pep chromosome:Pop_tri_v4:10:3994397:3995392:1 gene:Potri.010G027600.v4.1 transcript:Potri.010G027600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027600.v4.1 MATKTKASSIQDKTFSGVGNLIKLLPTGTVFMFQFLNPVLTNNGQCHTVNKYLSGILMGLCGFSCCFSCFTDSYRGSDGWTHYGIATMKGLWPSSDSAGSSVDLSSYKLRVGDFAHAFFSLIVFSVLSLLDSNTVKCFYPSFESTEKVLLMVLPPAIGAVSGTVFMLFPNKRHGIGYPSSDSSQDS >Potri.002G120651.3.v4.1 pep chromosome:Pop_tri_v4:2:9151283:9152401:-1 gene:Potri.002G120651.v4.1 transcript:Potri.002G120651.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120651.v4.1 MFVPRLQDKNEDGTVDGECGSVFGGFRIPRSFLKLQANQKKRSAAYRELLHTCDQNEELGERKEQNLKVFTLEMFVLLPLELLVEISLLGGEKTGGVVAFHLRNHQSRLIRSLFLLLIFFHVECFQTVKFNSTKTSECMLFPFANLLPSLRLYANICSTIGFTSHQ >Potri.002G120651.2.v4.1 pep chromosome:Pop_tri_v4:2:9151284:9153687:-1 gene:Potri.002G120651.v4.1 transcript:Potri.002G120651.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120651.v4.1 MEYPGFSVTQRKNPQWNKVAHSNHHHGSFSFQRLQDKNEDGTVDGECGSVFGGFRIPRSFLKLQANQKKRSAAYRELLHTCDQNEELGERKEQNLKLEMFVLLPLELLVEISLLGGEKTGGVVAFHLRNHQSRLIRSLFLLLIFFHVECFQTVKFNSTKTSECMLFPFANLLPSLRLYANICSTIGFTSHQ >Potri.002G120651.4.v4.1 pep chromosome:Pop_tri_v4:2:9151284:9152401:-1 gene:Potri.002G120651.v4.1 transcript:Potri.002G120651.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120651.v4.1 MFVPRLQDKNEDGTVDGECGSVFGGFRIPRSFLKLQANQKKRSAAYRELLHTCDQNEELGERKEQNLKLEMFVLLPLELLVEISLLGGEKTGGVVAFHLRNHQSRLIRSLFLLLIFFHVECFQTVKFNSTKTSECMLFPFANLLPSLRLYANICSTIGFTSHQ >Potri.002G120651.1.v4.1 pep chromosome:Pop_tri_v4:2:9151284:9153687:-1 gene:Potri.002G120651.v4.1 transcript:Potri.002G120651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120651.v4.1 MEYPGFSVTQRKNPQWNKVAHSNHHHGSFSFQRLQDKNEDGTVDGECGSVFGGFRIPRSFLKLQANQKKRSAAYRELLHTCDQNEELGERKEQNLKVFTLEMFVLLPLELLVEISLLGGEKTGGVVAFHLRNHQSRLIRSLFLLLIFFHVECFQTVKFNSTKTSECMLFPFANLLPSLRLYANICSTIGFTSHQ >Potri.015G141400.1.v4.1 pep chromosome:Pop_tri_v4:15:14779109:14784993:-1 gene:Potri.015G141400.v4.1 transcript:Potri.015G141400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141400.v4.1 MGNSFGCSASGERLVSAARDGDLVEAKMLLDCNPCLAKYSTFGGLNSPLHFAAAKGHNEIVGLLLENGADVNSRNYCGQTALMQACRYGHWEVVQTLLLFRCNVIRADYLSGRTALHFAAVNGHVRCIRLVVADFVPSAPFEAMHTQMEGDTRDGSSVKNRFDQSALSRFVNKAADGGITALHIAALNGYFDCVQLLLDIHANVSSVTFHYGTTMDLIGAGSTPLHYAACGGSLKCCQILLARGASRMTLNCNGWLPVDVARMWGRHWLEPLLAPNSDSAIPRFPHSNYLSLPLLSVLNIARESGMHCSVSASDDPDICAVCLERACNVAAEGCGHELCVRCALYLCSTCNIPSEMVGPTGSIPCPLCRHGIVSFVRLPGSSAKEMKLPLSLGLCTPCMLHSHDVDGQSPACLPEVRKNRVVSVSSDFLCPVTCSPFPSVGIPLCTCNDGPCPSFEPQEVESQDESSHRPQTSVEQDKMEGPRLEKTSCSSMFWGRRSCSREHQCNSEINT >Potri.005G255400.1.v4.1 pep chromosome:Pop_tri_v4:5:24663680:24668540:-1 gene:Potri.005G255400.v4.1 transcript:Potri.005G255400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255400.v4.1 MKGAALVAIAACIGNFLQGWDNATIAGAIIYVNKDLKLQASVEGLVVAMSLIGAAAITTCSGPISDWLGRRPMLIISSILYFVSGLVMFWSPNVYVLCIGRLLDGFGIGLAVTLVPVYISETAPSDIRGMLNTLPQFAGSGGMFLSYCMIFGMSLTASPSWRLMLGILSIPSLLYFALTVFYLPESPRWLVSKGKMLEAKRVLQRLRGREDVSGEMALLAEGLGIGGETSIEEYIIGPADELANGQEPTVDKDKIKLYGPEEGLSWVAKPVTGQSSLALASRHGSMVSQGVPLMDPLVTLFGSVHEKLPETGSMRSMLFPNFGSMFSTAEPHFRTEQWDEESVQREGEGYTSEAGGGDSDDNLQSPLISRQTTSMEKDMAHPTSHGSVLSMRRHSSLMQGVGDAVDGTGIGGGWQLAWKWSEREGEDGKKEGGFKRIYLHQGGVPGSRRGSLVSLPGGDVPEEGEYIQAAALVSQPALYSKELMDQHPVGPAMVHPSQTATKAPIWTALLEPGVKHALFVGIGIQLLQQFAGINGVLYYTPQILEKAGVSVLLANLGLSTTSASFLISAFTNFLMLPCIGVAMRLMDIAGRRTLLLTTIPVLILSLIVLIIFELVTVSSVVNAAILTACVIIFICCFVSAYGPIPNILCSEIFPTRVRGLCIAICAMVYWIGDIIVTYTLPVMLSSIGLVGIFGIYAVVCAISWIFVFLKVPETKGMPLEVITEFFAVGAKQAAAKKE >Potri.002G227200.1.v4.1 pep chromosome:Pop_tri_v4:2:21600636:21604699:1 gene:Potri.002G227200.v4.1 transcript:Potri.002G227200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G227200.v4.1 MAFEIPDDDIRELQMSLRKEAGLSSYNLEENDWLPDLASLNDSSISDIDPSPPYLRCKNCKGRLLRGVNSTICVFCGRQHNQDIPPDPIKFMSTVGSRWFLQSLHLDGSEIVESIIEAKELNRRQSTSQNNFPLSDLLDLEIRWPSESERSETSVTDKTPAQNLSTLNLGGVDLNNFFGEPKVDSVPALSQEQLTLNKDMDATGGNAVQGHGNLSLFENVQPSETIGGSDKDVSGDWSSGWEAEFQSASSGTQHRESKTSDPFVSSSSVDLSAHMDSVFGPAKDIFEGKTNENATSSASSAFKDDLWSIPGTGVTGQDELFKLDINDEGGGKRGTTNNSSMMNVDWIEDNQWQTTTTSKSDENKTIDENDDSFDAWNDFRGSTSAQVPSNNSLEQDANHILPSVDQESEINLFGGSSISQDVDFGSFSQPDFFSGTLNNQNGSSEVNVMQTETSVSDRINSVNQDDGNTEDLKKGENTRSKADEVEMLMSQMHDLSFMLESNLSVPQKIEPFSSSSKD >Potri.010G023900.1.v4.1 pep chromosome:Pop_tri_v4:10:3499746:3505056:1 gene:Potri.010G023900.v4.1 transcript:Potri.010G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023900.v4.1 MEMDAIEGNSEWNCTEDESAEQSLSPGICDAYRDPELLPRIGDEYQAQIPALMTECSNRLLVENPADAKISSATCDEFLVGLPVSLMWISEEVESIKHEPQGYPCDLTDTSNRNESVKPESIREAKIVPGVDLKAKVEHMDITTNGGMEVGESAKLCFQPELSNEMPCKLGSKVYSLVPGSVNNPWSEAEEDSFLLGLYIFGKNLVQVKNFVESKTMLDILSFYYGKFYRSDRHRKWSECRKIRSRKCVYGQRIFTGSRQHEMLSRLLPQLSEECKDILLEAAKAFGEGKMLLEEYVFTLKLTVGLHALVEAVGIGKGKQDLTGFAMESLKSNQVAHVRPEIPTGKACSTLTPVEIINYLTGGYRLSKARSNDLFWEAVWPRLLARDWHSEQPNDHGFAAASRHSLVFLIPGIKKFSRRKLVKGDHYFDSVSDVLNKVALDPTLLELDIGEDKGDGSKEETTWNNKTNLDQGDFPGQQRHCYLKPRTPSRTSNAMMFTVVDTSLANEETKKVRELRSLPVGLMSISNSRSDSEDGDDDSSKESTDESDSCDKNKSAMIETIKNDLDKGVFSDSEGVENNALKQSFPINGSGFTKALEEIPVDQKADMQMKRAIKRQTTRRVRHGDRKLLAPVAKHLHRLIACDQTKTSCGVISSHGLKQDELGCAGEGPNFRDEFLSRVDPPVEKLSATSSPRGSPNISDECALSSNSSVAEHPHEKLQSRALIDLNIPVAQDAEMEPSMMEVIEVQDDQASRQTEDFWRQKITAPVVCDSIPQQPPNMNTRRHSTRNRPPTTKALEALACGFLNIKQKRKSRDDFSLDNRMSRPLRRARSKMRITESFSVDMTNFKGDERRRNGGCKSNGDMLSEVQI >Potri.010G023900.2.v4.1 pep chromosome:Pop_tri_v4:10:3499778:3505061:1 gene:Potri.010G023900.v4.1 transcript:Potri.010G023900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G023900.v4.1 MDAIEGNSEWNCTEDESAEQSLSPGICDAYRDPELLPRIGDEYQAQIPALMTECSNRLLVENPADAKISSATCDEFLVGLPVSLMWISEEVESIKHEPQGYPCDLTDTSNRNESVKPESIREAKIVPGVDLKAKVEHMDITTNGGMEVGESAKLCFQPELSNEMPCKLGSKVYSLVPGSVNNPWSEAEEDSFLLGLYIFGKNLVQVKNFVESKTMLDILSFYYGKFYRSDRHRKWSECRKIRSRKCVYGQRIFTGSRQHEMLSRLLPQLSEECKDILLEAAKAFGEGKMLLEEYVFTLKLTVGLHALVEAVGIGKGKQDLTGFAMESLKSNQVAHVRPEIPTGKACSTLTPVEIINYLTGGYRLSKARSNDLFWEAVWPRLLARDWHSEQPNDHGFAAASRHSLVFLIPGIKKFSRRKLVKGDHYFDSVSDVLNKVALDPTLLELDIGEDKGDGSKEETTWNNKTNLDQGDFPGQQRHCYLKPRTPSRTSNAMMFTVVDTSLANEETKKVRELRSLPVGLMSISNSRSDSEDGDDDSSKESTDESDSCDKNKSAMIETIKNDLDKGVFSDSEGVENNALKQSFPINGSGFTKALEEIPVDQKADMQMKRAIKRQTTRRVRHGDRKLLAPVAKHLHRLIACDQTKTSCGVISSHGLKQDELGCAGEGPNFRDEFLSRVDPPVEKLSATSSPRGSPNISDECALSSNSSVAEHPHEKLQSRALIDLNIPVAQDAEMEPSMMEVIEVQDDQASRQTEDFWRQKITAPVVCDSIPQQPPNMNTRRHSTRNRPPTTKALEALACGFLNIKQKRKSRDDFSLDNRMSRPLRRARSKMRITESFSVDMTNFKGDERRRNGGCKSNGDMLSEVQI >Potri.004G033000.1.v4.1 pep chromosome:Pop_tri_v4:4:2545561:2546555:1 gene:Potri.004G033000.v4.1 transcript:Potri.004G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G033000.v4.1 MVSGTILAEYTSAVPADRLWKASFCDGHNLIPKLLPGIISSIDILEGDGAGVGSVKKFNFTDVIKDYSYVKDRVEVMDQENHIVRYSTLEGGVIGVKVKSYSVEVSLTSTNEGGCLSKMKIEYESIGDSLLSEEDANDMQQGIFAMVKAVDAHLVENPTAYA >Potri.014G135000.3.v4.1 pep chromosome:Pop_tri_v4:14:9060481:9064527:1 gene:Potri.014G135000.v4.1 transcript:Potri.014G135000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135000.v4.1 MMMNKETSHWWWFDIHHTSSGSPWLQSTLAELDRKTKSMLKLIEADADSFAQRAGMYYKKRPELISMVEDFYRAHRLLAERYDQLKSDSGNRLLATFGSPFSTKHRPEKLMSVKTDQTYDSHSETCDSEDSAGSEVDDPEQDEIQVVEELEEIETPEGKEETLVDDGMKHVEVCIRYNAEVTKLKEEIERLEEEKRIYRDYLLQKDAEGREQRKEIQVQEGIREAEISRVVYDAEMMKLREEIERLRRERTVNKDHPLQKLEEKREEKKETQVNEGIKEVEFSSVFYDVEVVRMREEIEVLGEENRIYKEHLLQKDEEKREVIRQLSFAVEVLKLENVKLRKCVANQNRSHFTFEKLKDVFRGKLFNGSSKSQSSVLAL >Potri.014G135000.2.v4.1 pep chromosome:Pop_tri_v4:14:9060492:9064522:1 gene:Potri.014G135000.v4.1 transcript:Potri.014G135000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135000.v4.1 MMMNKETSHWWWFDIHHTSSGSPWLQSTLAELDRKTKSMLKLIEADADSFAQRAGMYYKKRPELISMVEDFYRAHRLLAERYDQLKSDSGNRLLATFGSPFSTKHRPEKLMSVKTDQTYDSHSETCDSEDSAGSEVDDPEQDEIQVVEELEEIETPEGKEETLVDDGMKHVEVCIRYNAEVTKLKEEIERLEEEKRIYRDYLLQKDAEGREQRKEIQVQEGIREAEISRVVYDAEMMKLREEIERLRRERTVNKDHPLQKLEEKREEKKETQVNEGIKEVEFSSVFYDVEVVRMREEIEVLGEENRIYKEHLLQKDEEKREVIRQLSFAVEVLKLENVKLRKCVANQNRSHFTFEKLKDVFRGKLFNGSSKSQSSVLAL >Potri.005G052100.1.v4.1 pep chromosome:Pop_tri_v4:5:3292334:3296059:1 gene:Potri.005G052100.v4.1 transcript:Potri.005G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G052100.v4.1 MSAESATAIPRGQVDLLDFIDFSGVECLNQSTSHSLSNAIKQGYREDDGLNLESDADEQLLIHIPFNQVIKLHSIAIKGPEEDGPKTVKLFSNKEHMGFSNVNDYPPSDTVVLSPDTLKGKPVVLKYVKFQNVRSLTIFIKDNQLDSEITKVQKIALFGTTVETTDMKSLKKIEDH >Potri.005G052100.2.v4.1 pep chromosome:Pop_tri_v4:5:3292334:3296059:1 gene:Potri.005G052100.v4.1 transcript:Potri.005G052100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G052100.v4.1 MSAESATAIPRGQVDLLDFIDFSGVECLNQSTSHSLSNAIKQGYREDDGLNLESDADEQLLIHIPFNQVIKLHSIAIKGPEEDGPKTVKLFSNKEHMGFSNVNDYPPSDTVVLSPDTLKGKPVVLKYVKFQNVRRVETTDMKSLKKIEDH >Potri.006G066300.3.v4.1 pep chromosome:Pop_tri_v4:6:4813633:4825652:1 gene:Potri.006G066300.v4.1 transcript:Potri.006G066300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066300.v4.1 MQEPELHDLSDDADYAASLQQGSANMMITRTDSGRSTSSSVPEGAEIVYLKDNVTIHPTQYASERISGRLKLIKQGSSLFMTWIPYKGQSTNARLSERDRNLYTIRAVPFTDVRSIRRRTPTLGWQYIIVVLSSGLAFPQLYFYNGGVKEFLATIKQHVFIVRSLEDANVFLVNDFQNPLQKTLSSLELPVYIASGPSASVSDGGESSSCELQERIGDSIRDEIPRPSQNPGRQKHKSHDPARDLTIHVLEKFSLVTKFARDTSSQLFRESNSNGYGAVERKSSSYSLPDVPHKPSMDAEIALEEGPVPSDPLEFDKMTLVWGKPRQPPLGSEEWATFLDSEGRVMDSKALKKRIFYGGVEHTTRREVWPFLLGYHAYDSTYAEREYLKSSKKSEYETVRQQWQSISTEQAKRFTKFRERKGLIDKDVVRTDRALSFYDGDDNPNVNILRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDCPLHNYFKQNDCLNYFFCFRWVLIQFKREFEYKKTMRLWEVLWTHYLSEHLHLYVCVAILKRYRKKIMGEHMDFDTLLKFINELSGHIDLDAILRDAEALCICAGENGAAHIPPGTPPSLPTENENALLYAQDDEVL >Potri.006G066300.4.v4.1 pep chromosome:Pop_tri_v4:6:4815141:4825652:1 gene:Potri.006G066300.v4.1 transcript:Potri.006G066300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066300.v4.1 MGFNRVLQKTLSSLELPVYIASGPSASVSDGGESSSCELQERIGDSIRDEIPRPSQNPGRQKHKSHDPARDLTIHVLEKFSLVTKFARDTSSQLFRESNSNGYGAVERKSSSYSLPDVPHKPSMDAEIALEEGPVPSDPLEFDKMTLVWGKPRQPPLGSEEWATFLDSEGRVMDSKALKKRIFYGGVEHTTRREVWPFLLGYHAYDSTYAEREYLKSSKKSEYETVRQQWQSISTEQAKRFTKFRERKGLIDKDVVRTDRALSFYDGDDNPNVNILRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDCPLHNYFKQNDCLNYFFCFRWVLIQFKREFEYKKTMRLWEVLWTHYLSEHLHLYVCVAILKRYRKKIMGEHMDFDTLLKFINELSGHIDLDAILRDAEALCICAGENGAAHIPPGTPPSLPTENENALLYAQDDEVL >Potri.006G066300.5.v4.1 pep chromosome:Pop_tri_v4:6:4816204:4825589:1 gene:Potri.006G066300.v4.1 transcript:Potri.006G066300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G066300.v4.1 MDAEIALEEGPVPSDPLEFDKMTLVWGKPRQPPLGSEEWATFLDSEGRVMDSKALKKRIFYGGVEHTTRREVWPFLLGYHAYDSTYAEREYLKSSKKSEYETVRQQWQSISTEQAKRFTKFRERKGLIDKDVVRTDRALSFYDGDDNPNVNILRDILLTYSFYNFDLGYCQGMSDLLSPILFVMEDESESFWCFVALMERLGPNFNRDQNGMHSQLFALSKLVELLDCPLHNYFKQNDCLNYFFCFRWVLIQFKREFEYKKTMRLWEVLWTHYLSEHLHLYVCVAILKRYRKKIMGEHMDFDTLLKFINELSGHIDLDAILRDAEALCICAGENGAAHIPPGTPPSLPTENENALLYAQDDEVL >Potri.001G350900.2.v4.1 pep chromosome:Pop_tri_v4:1:36343670:36347507:1 gene:Potri.001G350900.v4.1 transcript:Potri.001G350900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350900.v4.1 MNWSCSTATTCGISSSSSCFSCPPKTPSFRSVIRASKVEPSDKSVEIMRKFSEQYARKSGTYFCVDKGVTSVVIKGLADHKDSLGAPLCPCRHYDDKAAEAGQGFWNCPCVPMRERKECHCMLFLTPDNDFAGKDQTISLEEIRETTANM >Potri.014G050532.1.v4.1 pep chromosome:Pop_tri_v4:14:3272342:3273139:-1 gene:Potri.014G050532.v4.1 transcript:Potri.014G050532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050532.v4.1 MSQPEKRTTKRSHSWFKKFQYDPKTDSPSDARNVLLVVVALIAAVTFQAGVNPPGGVWQEGDHAGTAIYASQTGAYYVFLVSNTLALSTCILVITSLTYRCPFQLEIWVATASMMITYASAVFAVTPHESVRFRYPLIAASVPFVLRCFGYFFKKYRMSENENQIGSQEEGDKRDGQAGQQA >Potri.015G111756.1.v4.1 pep chromosome:Pop_tri_v4:15:12823126:12824221:1 gene:Potri.015G111756.v4.1 transcript:Potri.015G111756.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111756.v4.1 MLPTLFHFTLFLLSFNTAGGSFARNTGGTSKSAVGDRKVVVSPEMSTGPNGETGSNSGATGSEHGPNWDFNWGWGSSPNEGWGYGSGSGRSHNGFGRGFGYGFGSGSGSGSGYGYGSGSGGAQGGGYGAGRGSGNSAGGGIGGGSEPGNSAGGGIGGGSGGGRGFGYGFGPGSGPGSGYGYGSGSAGADGGGYGAGSGSGNSAGGGRGGGSGRGFSGYGTHSPSDSRRRTNHG >Potri.015G111756.2.v4.1 pep chromosome:Pop_tri_v4:15:12823128:12824221:1 gene:Potri.015G111756.v4.1 transcript:Potri.015G111756.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111756.v4.1 MLPTLFHFTLFLLSFNTAGGSFARNTGGTSKSAVGDRKVVVSPEMSTGPNGETGSNSGATGSEHGPNWDFNWGWGSSPNEGWGYGSGSGRSHNGFGRGFGYGFGSGSGSGSGYGYGSGSGGAQGGGYGAGRGSGNSAGGGIGGGSGGGRGFGYGFGPGSGPGSGYGYGSGSAGADGGGYGAGSGSGNSAGGGRGGGSGRGFSGYGTHSPSDSRRRTNHG >Potri.011G065600.1.v4.1 pep chromosome:Pop_tri_v4:11:5613866:5615509:1 gene:Potri.011G065600.v4.1 transcript:Potri.011G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065600.v4.1 MTITEMRVHMDCAGCETKIRKAIRKLDGVDDIDIDMAMQKVTVMGWADQRKVLKAVRKTGRRAELWPYPNNPESYNFNQQYYYQKQHHETKVVNHYTKMPTSSYNYHKHGYNDEEFGRYQKPPYATIFDEEASAMFSDENPHACSIM >Potri.011G065600.3.v4.1 pep chromosome:Pop_tri_v4:11:5613865:5615509:1 gene:Potri.011G065600.v4.1 transcript:Potri.011G065600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065600.v4.1 MRVHMDCAGCETKIRKAIRKLDGVDDIDIDMAMQKVTVMGWADQRKVLKAVRKTGRRAELWPYPNNPESYNFNQQYYYQKQHHETKVVNHYTKMPTSSYNYHKHGYNDEEFGRYQKPPYATIFDEEASAMFSDENPHACSIM >Potri.011G065600.2.v4.1 pep chromosome:Pop_tri_v4:11:5613866:5615509:1 gene:Potri.011G065600.v4.1 transcript:Potri.011G065600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065600.v4.1 MRVHMDCAGCETKIRKAIRKLDGVDDIDIDMAMQKVTVMGWADQRKVLKAVRKTGRRAELWPYPNNPESYNFNQQYYYQKQHHETKVVNHYTKMPTSSYNYHKHGYNDEEFGRYQKPPYATIFDEEASAMFSDENPHACSIM >Potri.001G163600.1.v4.1 pep chromosome:Pop_tri_v4:1:13881486:13884322:1 gene:Potri.001G163600.v4.1 transcript:Potri.001G163600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163600.v4.1 MLSLLSFLSLLAIATSSSTNEQPRTFIVQVQHDSKPLIFPTHQQWYTSSLSSISPGTTPLLLHTYDTVFHGFSAKLSLTEALKLQTLPHIIAVIPERVRHVHTTRSPQFLGLKTTDGAGLLKESDFGSDLVIGVIDTGIWPERQSFNDRDLGPVPSRWKGVCASGKDFASSSCNRKLIGARYFCNGYEATNGKMNETTEYRSPRDSDGHGTHTASIAAGRYVFPASTFGYARGVAAGMAPKARLAAYKVCWNAGCYDSDILAAFDAAVSDGVDVISLSVGGVVVPYYLDAIAIGSFGAVDRGVFVSASAGNGGPGGLTVTNVAPWVTTVGAGTIDRDFPADVKLGNGKVISGVSLYGGPGLAPGKMYPVVYAGSSGGGDEYSSSLCIEGSLDPKLVEGKIVVCDRGINSRAAKGEVVKKSGGVGMILANGVFDGEGLVADCHVLPATAVGASGGDEIRRYMSAASKSKSSPPTATIVFRGTRVNVRPAPVVASFSARGPNPESPEILKPDVIAPGLNILAAWPDKVGPSGIPSDQRKIEFNILSGTSMACPHVSGLAALLKAAHPEWSSAAIRSALMTTAYTVDNRGEEMIDESTGNVSTVLDFGAGHVHPQKAMNPGLIYDISSFDYMDFLCNSNYTLTNIQVVTRRNADCSGAKRAGHAGNLNYPSLTVVFQQYGKHQMSTHFIRTVTNVGDPNSVYKVTIRPPSGTSVTVQPEKLVFRRVGQKLNFLVRVETTAVKLAPGASSMKSGSIIWADGKHTVTSPVVVTMQQPL >Potri.007G107400.5.v4.1 pep chromosome:Pop_tri_v4:7:13002482:13011586:1 gene:Potri.007G107400.v4.1 transcript:Potri.007G107400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107400.v4.1 MASGQVSKRVKFKSSVKDPGTPGRLYLTLERLMFKPNSPNSATKLNMEFRFVKNHKYTKEGSNKAPMLNLTSSQGVSYIFEFESYDDLHVCKECVGKALSKTGETPKPIDTSEVPSEQPSTEELLLRMNMLQENSELQNLHKRFVSDGILTEAEFWATRKKLLGGNFSKKSKQRTGLKSFVLSDTKPSTDGRTNKVTFTLTPEIVREVFAEKPAVHRAYLDLVPKKMSERDFWSKYCRAEYLQHAKNANAAAAAAAEAAEDEELALFLKPDDILASETRRKIRCVDPTLNMEADEGDDYTHLPDHGIVRDGSKEITESQHELYIRTLSQELNRHAAVVLQGTPIDEEQLKDTQTVAEALKQSKQGQNASNEETYMNANQDRLSRISKMMEIDDLQASSDLPLAPLSIKDPRDYFDSQQATALKNSRDTSIGTDPVKRILSAEESYASLRDSISLIKTTGLVDPIIKPEVAVKVLSVLTHNISSTKYDTGKNHGLSVLDTLPNTIKEELLYHWTSLQELLKHYWSSYPITTTYLYTKVNVVILLSE >Potri.007G107400.1.v4.1 pep chromosome:Pop_tri_v4:7:13002482:13011581:1 gene:Potri.007G107400.v4.1 transcript:Potri.007G107400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107400.v4.1 MASGQVSKRVKFKSSVKDPGTPGRLYLTLERLMFKPNSPNSATKLNMEFRFVKNHKYTKEGSNKAPMLNLTSSQGVSYIFEFESYDDLHVCKECVGKALSKTGETPKPIDTSEVPSEQPSTEELLLRMNMLQENSELQNLHKRFVSDGILTEAEFWATRKKLLGGNFSKKSKQRTGLKSFVLSDTKPSTDGRTNKVTFTLTPEIVREVFAEKPAVHRAYLDLVPKKMSERDFWSKYCRAEYLQHAKNANAAAAAAAEAAEDEELALFLKPDDILASETRRKIRCVDPTLNMEADEGDDYTHLPDHGIVRDGSKEITESQHELYIRTLSQELNRHAAVVLQGTPIDEEQLKDTQTVAEALKQSKQGQNASNEETYMNANQDRLSRISKMMEIDDLQASSDLPLAPLSIKDPRDYFDSQQATALKNSRDTSIGTDPVKRILSAEESYASLRDSISLIKTTGLVDPIIKPEVAVKVLSVLTHNISSTKYDTGKNHGLSVLDTLPNTIKEELLYHWTSLQELLKHYWSSYPITTTYLYTKVSRLKDAMSKIDSQLQELKESVQSDLRHQVTLLLRPMQQALEAAMQHYDAELQKRSARSGDRSNGYT >Potri.008G177900.3.v4.1 pep chromosome:Pop_tri_v4:8:12282123:12289385:1 gene:Potri.008G177900.v4.1 transcript:Potri.008G177900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177900.v4.1 MRLNIPAGTAIRFEPGESKSVVLVSIGGKQVIKGGNGIVDGPVDHENWTNIMGNIRRREFGNREEENASEGVIGEGSAFNNTISREAYANMYGPTAGDKIRLGDTNLYAEIERDFAFYGDECVFGGGKVIRDGMGQSCGHQPADSLDTVITNAVVIDYSGIYKADIGIKDYLIHAIGKSGNPDVMNVPSDMTIGVNTEVIAGEGMIVTAGGIDCHVHFICPQLAFESISSGITTLVGGGTGPADGTRATTCTPAPSHMKLMLQSTDDLPLNFGFTGKGNAAKPEELHKIIRAGAMGLKLHEDWGTTPAAIDNCLTVADEYDVQANIHTDTLNESGFVEDTIAAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTAHKMKSQRGLIGPGGSDNDNFRIRRYIAKYTINPAIANGLAKFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGAIAWANMGDANASIPTPEPVISRPMFGAFGKAGSTHSIAFVSKEAADNGIKAEYELDKRVEAVGGVRKLTKLDMKLNDALPDITVDPETYTVTADGEVLTCPAATTVPLSRNYFLF >Potri.008G177900.2.v4.1 pep chromosome:Pop_tri_v4:8:12280660:12289503:1 gene:Potri.008G177900.v4.1 transcript:Potri.008G177900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177900.v4.1 MKLTPREVDKLGLHNAGFLAQKRLARGRKLNYTEAVALIASQILEFVRDGDKSVAELMDIGKQILGRRQVLPAVPHLLDTVQVEGTFPDGTKLITVHNAIASENGNLELALQGSFLPVPSLDKFPAIEDNEIPGAIIFGDGNVIINSGRKAVTLKVINTGDRPIQVGSHYHFIETNRSLLFDRRKAHGMRLNIPAGTAIRFEPGESKSVVLVSIGGKQVIKGGNGIVDGPVDHENWTNIMGNIRRREFGNREEENASEGVIGEGSAFNNTISREAYANMYGPTAGDKIRLGDTNLYAEIERDFAFYGDECVFGGGKVIRDGMGQSCGHQPADSLDTVITNAVVIDYSGIYKADIGIKDYLIHAIGKSGNPDVMNVPSDMTIGVNTEVIAGEGMIVTAGGIDCHVHFICPQLAFESISSGITTLVGGGTGPADGTRATTCTPAPSHMKLMLQSTDDLPLNFGFTGKGNAAKPEELHKIIRAGAMGLKLHEDWGTTPAAIDNCLTVADEYDVQANIHTDTLNESGFVEDTIAAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTAHKMKSQRGLIGPGGSDNDNFRIRRYIAKYTINPAIANGLAKFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGAIAWANMGDANASIPTPEPVISRPMFGAFGKAGSTHSIAFVSKEAADNGIKAEYELDKRVEAVGGVRKLTKLDMKLNDALPDITVDPETYTVTADGEVLTCPAATTVPLSRNYFLF >Potri.008G177900.1.v4.1 pep chromosome:Pop_tri_v4:8:12280680:12289444:1 gene:Potri.008G177900.v4.1 transcript:Potri.008G177900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177900.v4.1 MKLTPREVDKLGLHNAGFLAQKRLARGRKLNYTEAVALIASQILEFVRDGDKSVAELMDIGKQILGRRQVLPAVPHLLDTVQVEGTFPDGTKLITVHNAIASENGNLELALQGSFLPVPSLDKFPAIEDNEIPGAIIFGDGNVIINSGRKAVTLKVINTGDRPIQVGSHYHFIETNRSLLFDRRKAHGMRLNIPAGTAIRFEPGESKSVVLVSIGGKQVIKGGNGIVDGPVDHENWTNIMGNIRRREFGNREEENASEGVIGEGSAFNNTISREAYANMYGPTAGDKIRLGDTNLYAEIERDFAFYGDECVFGGGKVIRDGMGQSCGHQPADSLDTVITNAVVIDYSGIYKADIGIKDYLIHAIGKSGNPDVMNVPSDMTIGVNTEVIAGEGMIVTAGGIDCHVHFICPQLAFESISSGITTLVGGGTGPADGTRATTCTPAPSHMKLMLQSTDDLPLNFGFTGKGNAAKPEELHKIIRAGAMGLKLHEDWGTTPAAIDNCLTVADEYDVQANIHTDTLNESGFVEDTIAAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTAHKMKSQRGLIGPGGSDNDNFRIRRYIAKYTINPAIANGLAKFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGAIAWANMGDANASIPTPEPVISRPMFGAFGKAGSTHSIAFVSKEAADNGIKAEYELDKRVEAVGGVRKLTKLDMKLNDALPDITVDPETYTVTADGEVLTCPAATTVPLSRNYFLF >Potri.008G177900.4.v4.1 pep chromosome:Pop_tri_v4:8:12282637:12289477:1 gene:Potri.008G177900.v4.1 transcript:Potri.008G177900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177900.v4.1 MEGNYSAVPSLDKFPAIEDNEIPGAIIFGDGNVIINSGRKAVTLKVINTGDRPIQVGSHYHFIETNRSLLFDRRKAHGMRLNIPAGTAIRFEPGESKSVVLVSIGGKQVIKGGNGIVDGPVDHENWTNIMGNIRRREFGNREEENASEGVIGEGSAFNNTISREAYANMYGPTAGDKIRLGDTNLYAEIERDFAFYGDECVFGGGKVIRDGMGQSCGHQPADSLDTVITNAVVIDYSGIYKADIGIKDYLIHAIGKSGNPDVMNVPSDMTIGVNTEVIAGEGMIVTAGGIDCHVHFICPQLAFESISSGITTLVGGGTGPADGTRATTCTPAPSHMKLMLQSTDDLPLNFGFTGKGNAAKPEELHKIIRAGAMGLKLHEDWGTTPAAIDNCLTVADEYDVQANIHTDTLNESGFVEDTIAAFKGRTIHTYHSEGAGGGHAPDIIKVCGVKNVLPSSTNPTRPYTSNTIDEHLDMLMVCHHLDKNIPEDVAFAESRIRAETIAAEDILHDMGAISIISSDSQAMGRIGEVISRTWQTAHKMKSQRGLIGPGGSDNDNFRIRRYIAKYTINPAIANGLAKFVGSVEVGKLADLVLWKPSFFGAKPEMVIKGGAIAWANMGDANASIPTPEPVISRPMFGAFGKAGSTHSIAFVSKEAADNGIKAEYELDKRVEAVGGVRKLTKLDMKLNDALPDITVDPETYTVTADGEVLTCPAATTVPLSRNYFLF >Potri.001G167900.1.v4.1 pep chromosome:Pop_tri_v4:1:14326834:14328867:1 gene:Potri.001G167900.v4.1 transcript:Potri.001G167900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G167900.v4.1 METWVSYAFAWLATVSLILLASRLRRRKLKLPPGPKPWPIIGNLNLIGELPHRSLHALSQKYGPIMQVQFGSFPVVVGSSVEMAKTILKTHDVIFSGRPKTAAGKYTTYNYSDITWSPYGPYWRQARKMCLMELFSAKRLESYEYIRVEELKALLKTLHKSSGRPINLKDHLTDVSLNVISRMVLGKKYTVKSSENEKEIVTPEEFKEMLDELFLLNGVLDIGDSIPWIAFLDLQGYIKRMKVLSKKFDKFMEHVLDEHESRRKTEDENWEPKDMVDVLLQLASDPNLEVKLERHGVKAFSQDLIAGGTESSAVTVEWAISEILRKPEVFEKASEELDRVIGRERWVEEKDMVNLPYIYAIAKEVMRLHPVAPMLVPREAREDINVNGYDIKKGSRVLVNVWTIGRDPKVWDKPDEFCPERFIGNSIDVRGHDYELLPFGAGRRMCPGYPLGLKVIQATLSNLLHGFKWRLPDGVRKEELSMEEIFGLSTPKKYPLVAVAEPRLPAHVYPK >Potri.011G097733.1.v4.1 pep chromosome:Pop_tri_v4:11:12597875:12598714:1 gene:Potri.011G097733.v4.1 transcript:Potri.011G097733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097733.v4.1 MAAIPLFPDYKATDSVREERKPREGEREKRGRRRGYSEEKTGGKTEAERGNERDRKQRERRREKKRETRREEELGNTGRRKQRRGTV >Potri.018G113000.1.v4.1 pep chromosome:Pop_tri_v4:18:13009305:13013197:-1 gene:Potri.018G113000.v4.1 transcript:Potri.018G113000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G113000.v4.1 MEIFHCMYFGVLLALTCIVAVVLADDPYSEALLSLKSELIDDDSSLDDWLVPPGGNTEEKIQACSWSGVKCDKNSTVVVALDLSMKNLGGELTGKQFGVFAELVDLNLSYNSFSGQLPVGIFNLTNLKSFDISRNNFSGQFPGGISSLRNLVVLDAFSNSFSGPLPVEVSQLEYLKVFNLAGSYFDGPIPSEYGSFKSLEFIHLAGNSLSGNIPPELGQLKTVTHMEIGYNSYEGSIPWQMGNMSELQYLDIAGANLSGPIPKQLSNLTKLESLFLFRNQLTGLVPWEFRQIVPLASLDLSDNQLSGPIPESFAELKNLKLLSLMYNEMNGTVPPGIGQLPSLETLLIWNNFFSGSLPNDLGKNLKLKWVDVSTNNFIGSIPPDICAGGLVKLILFSNNFTGSLTPSISNCSSLVRLRIEDNSFSGEIPLKFSHLPDITYVDLSRNKFTGGIPTDISQASRLQYFNISNNPGLGGMIPAKTWSLQLLQNFSASACNISGNLPPFHSCKSVSVIELRMNNLSGSVPGDVSNCQALGKMDLADNKFTGHIPEDLASLPALSVLDLSHDNFSGPIPAKFGASSSLVLLNVSFNDISGSIPSSNVFKLMGTSAYQGNPKLCGAPLEPCSASITIFGSKGTRKHTWILLLCAGVVVLIVASAFGVFYIRRGSKGHWKMVSFSGLPRFTASDVLRSFSSTESMEAVPPESNSVCKAVLPTGITVSVKKIELEAKTMKKATEFMTRLGVARHKNLIRLLGFCYNKQLAYVLYDYQPNGNLAEKITLKRDWVAKYKLVIGIARGLCFLHHDCYPAIPHGDLKLSNILFDENMEPHLADFGFKYLVEMTKGSSPATIFMGETGELNSSIKEELYMDIYRFGEIILQILTNLANAGGTIHSKPKEVLLREIYSENQTGSTDSTQEEIKLVLEVALLCIKSRPSDRPSMEDALKLLSGMKSQRK >Potri.013G022350.1.v4.1 pep chromosome:Pop_tri_v4:13:1453256:1454331:1 gene:Potri.013G022350.v4.1 transcript:Potri.013G022350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022350.v4.1 MAKPVPNLIKNYPEDQLRYLFTKILTASDIKYGLILMGQASKDHLQGFKDQRVKTMLHTPALSQPVFFKSCDRMMSLCQGGWGVIVRGNGFVAGDIINCWFAYDEESRVLNLIMERAANESAGPAAQQAEAGGDAGQAAGAGGNGKGGQQ >Potri.010G165700.1.v4.1 pep chromosome:Pop_tri_v4:10:16932162:16933953:-1 gene:Potri.010G165700.v4.1 transcript:Potri.010G165700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165700.v4.1 MVRSQCCDKVGLKKGPWTPEEDQKLLAYVEEHGHGSWRALPAKAGLQRCGKSCRLRWTNYLRPDIKRGKFSLQEEQTIIQLHALLGNRWSVIATHLPKRTDNEIKNYWNTHLKKRLDKMGIDPMTHKPKADSFGSGSGHSKGAAHLSHMAQWESARLEAEARLVRESKVIIPNPPPNRLGSTASAQVSDKRSAAPPARPQCLDVLKAWQGVVFSMLSAGCSDSLESPTSTLNFSENELAMPLVGVQKNSATTLAFATNNAPCNGGTTAIEFNSGNQFECFEKLNEAAQVKQNVDSSVALHDISPDASNHNAWFDSATNENAPMGIIEGLSEILVCTSQDHNASFDGENINDSCGGNLEENGNYWNSLLNLVDASPTGTSPVF >Potri.019G119100.1.v4.1 pep chromosome:Pop_tri_v4:19:14516034:14522156:1 gene:Potri.019G119100.v4.1 transcript:Potri.019G119100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119100.v4.1 MTPPRALIQQSVEHAKTKNKKERRDRTVPTKREIDSLESNAARKLQLEYQSTLGDPIAEFERLLHSAAPFITSSCTNKNQQLDSSLHQAQLPRISLQDVWKWYETPGSYGLEVKAGDSPNINGFLAESTPFCAYFVPYLSAVQFFVYPHLSDVCGKDKVDTNPELVFEFFESELPHDRKPLHLKIRDLISINTSNLQVFGDPSKLESMNLHDLHPSSWFSVAWYPIYRIPEGEFHAAFLTYHSFNQLIVRSIPIDSLSKTFQMIVFPVMGLQSYRIKGERWFDLRTHVESSSEESTSKTSEILIERSRALEERCRTLEKNALLLSTRSVTADQVKRFNYHRDYQFFISRKR >Potri.014G092500.1.v4.1 pep chromosome:Pop_tri_v4:14:5996679:6005165:1 gene:Potri.014G092500.v4.1 transcript:Potri.014G092500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092500.v4.1 MGSLETGGISFKRDKNTLIRSYSAGRTERHPFLYRPRSSFSRFLRFKKLDYIQWICTVAVFLFFVVLFQMFLPGSVVEKSELGSSPWRGMELVDKDLWYLKEIGGLDFGEDIKFQPSKILQHFRKENREMNMSFSNRTLSRFPYRKPQLALVFADLLVDPHQLLMVTVATALQEIGYTIHVYSLGDGPAQSIWKSMRSPVNIIQISHKMEIAVDWLNYDGILVNSLETKSVFSCFMQEPFKSVPLIWTINERTLATHSRQYTSSWQIELLYDWRKAFNRATVVVFPNHVLPMMYSAFDTGNYYVIPGSPADIWETETTMALYNDEIHVKMGYEPDDIVIAIVGSQFLYRGLWLEHALVLKALLPLFAEFSLDNNSKSHLKIIILSGDPTGNYSVAVEAIAANLSYPRGTVKHFAVDDDVGSPLGAADLVIYGSFLEEQSFPEILVKAMSIGKPIITPDLSMIRKYVDDRVNGYLFPKENLKVLTQIVLQAISKGTLSPLARNIASMGKNTAKNLMVLETVEGYATLLENVVELPSEVTPPKAVSEIPPKLKKEWCWHLFKAFMNSTHEDRTLKSSRYLKTVEEQWNYMQKESSGSIAATNDSFSYDIWEEERNIMMLNTRKRREEEELKDRTDQPHGTWEDVYKSAKRADRSRNDLHERDEGELLRTGQPLCIYEPYFGEGTWSFLHQSSLYRGIGLSTKGRRPRTDDIDAPSRLSLLSKPYYRDALGEYGAFFAIANRIDRIHKNSWIGFQSWRATARKASLSRIAEKALVDAIESRKHGDSLYFWVRMDMDPRNDLQSDFWSFCDAINAGNCKLAFSEALKRMYGIKHDLEFLPPMPEDGDTWSVMLSFALPTRSFLEFVMFSRMFVDALDAQMYDEHHQSGRCYLSLAKDKHCYSRVLELLINVWAYHSARQMVYVNPETGLMQEQHAVKSRRGNIWVKWFSYSILKSMDEDLAEEADSDQPKRRWLWPSTGEVVWQGLFEKERNLRNHQKEKRRQQSKDKQQRMRKKRRQPVLGKYVKPPPEDIENSNSTVSMSESL >Potri.007G037200.1.v4.1 pep chromosome:Pop_tri_v4:7:2972175:2973432:1 gene:Potri.007G037200.v4.1 transcript:Potri.007G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G037200.v4.1 MGDSNNQTTHFVLIHGSASGAWAWYKVKTMLEAAGHSVTALDMSASGVNTKTLEEVVTFDQYNEPLIEFMANLAENEKVVLVGHSLGGLNVAFAMEKFPEKISLAVFVTAFLPDTEHRPSYMLEKFIENSPAVADGWQSVVSSTAGYETFMKSTAFNLASPEDLSLQTLLKRSGSLFLESLAKANKFTKEKFGSVVRDYVVCTQDLLVVPSLQRFMIEHNEVKEVMEIPADHMAIASRPKELCQCLLEFARKHA >Potri.008G173600.1.v4.1 pep chromosome:Pop_tri_v4:8:11988291:11991750:-1 gene:Potri.008G173600.v4.1 transcript:Potri.008G173600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G173600.v4.1 MIPLSIASRSPVTKLSPDNPKIQISSSTKLSHLKAVPCSFHRKNPNTICNPAKSKTHPFGSVLITPDHVSHKKGKINRGYEERRDSGHVGSQQMLGLCGFGYWMQGFRSFPWLALNFHMAHNLNLHPSQLQLLQISGNLPMVAKPLYGLLSDAFYIGGAHRVPYILMGVFLQVLGWGPLALIPVAREALPILISCILISNLGASITEVANDALVTEYGQKNRIGGLQSYAFMALAVGGILGNLLGGCFLQKTPPQTMFLVFSVLLSLQLATSSIVREKSLGLLEPSNHNLVKTSIWENTRKQLSDLKTALNEDSISHPLTWIVASIATVPVLSGSIFCYQTQCLHLDPSIIGMSRVIGQIMLLSMTVLYDRYWKEVPMRKLVGAVQFLYASSLLLDFVLVRQINLKLGISNEVFACCFSGLSEILAQFKLLPFSLLLASLCPQGCEGSLTSFLASSLCLSSIVSGFLGVGLASLIGITSGDYSSLPMGILIQFFAALLPLGWIHRVPMSEPIVEKERKQGMSKRTRKNRRVGRVLLGSIYVYRRERESDSQR >Potri.012G007932.1.v4.1 pep chromosome:Pop_tri_v4:12:403004:406693:1 gene:Potri.012G007932.v4.1 transcript:Potri.012G007932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G007932.v4.1 MGFTPPCLSQSLFFILFLFHFHSTISSPLSSNYQSLSLLQFKQSFSIDSSASSEYCQYPLPKTESWKEGTDCCLWDGVTCDLKTGHVTGLDLSCSMLYGTLLPNNSLFSLRHLQNLDLSFNDFNSSHISSRFGQFSSLTHLNLSGSILEGQVPSEVAHLSKLVSLDLSLNYGLSLEPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLNDCGLRGKFPSSMGKFKHLQYLDLGGNNLTSPIPYDFEQLTEMVSLDLSGNGYLSLEPISFDKLVRNLTKLRELDLSSVDMSLLVPDSLMNLSSSLSSLKLIDCRLQGKLPSLMGKFKHLQYLRLRGNNLTGSIPCDFEQLIELVSLDLSENFYLSPEPICFDKLVRNLTKLRELNLASVNMSLVAPNSLTNLSSSLSSLSLSKCGLQGKFPGNIFLLPNLEFLYLSQNKGLTGSFPSSNLSNVLFLLGLSNTRISVYLENDLISNLNSLEYMSLRNCNIIRSDLALLGNLTQLTYLDLSSNNFIGEIPSSIGNNTFSNLKYLLLFDNLFNGTIPSFLFALPSLQFLNLHNNNLIGNISEFQHHSLVNLDLSSNHLHGTIPSSIFKQENLEALILVSNSKLTGEISSSICKLRSLEVLDLSDNSLSGSIPLCLGNFSSKLSVLHLGMNNLQGTIPSTFSKGNSLEYLDLNGNELEGEISPSIINCTMLEVLDLGNNKIEDTFPYFLETLPELQILILKSNNLQGFVKGPTADNSFFKLWIFDISDNNFSGPLPTGYFNTLEAMMISDQNMIYLNTTNDIVCVHSIEMTWKGVEIEFPKIRSTIRVLDLSNNSFTGEIPKVIGKLKALQQLNLSHNFLTGHIQSSLGNLTNLESLDLSSNLLTGRIPMQMAHLTFLATLNLSHNQLEGPIPSGEQFNTFDARSFEGNSGLCGFQVLKECYGDEAPSLPPSSFNEGDDSTLFGEGFGWKAVTIGYGCGFLFGVATGYVVFRTNKPSWLLRMVEDIWNLNSKNTKKNFRRYGARRN >Potri.018G075600.1.v4.1 pep chromosome:Pop_tri_v4:18:9392942:9393999:-1 gene:Potri.018G075600.v4.1 transcript:Potri.018G075600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G075600.v4.1 MEGLESFDKAAWTKEMLHIFCDICIKAIDLGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTILASDEWWKQKIQEIRGAKKFRHVGIEPSLKNKYDRMYSNIVATRAFAWAPSSGVPADSDVDPGTSNADIAHDGLEEGSGDSEEDVIPDFQTDMARMVGGINMSSSSNTKSSGKRKERDHYDVRGRNKKTSGIQLLSRCNQLLESISTKSDSTSINLDREGCSIREVMAVLHSIPGVSIEDEFHDFATEYLSLRRKREMWASMGDKEQKLRWLQRMYARTKRA >Potri.001G445150.1.v4.1 pep chromosome:Pop_tri_v4:1:47078244:47083189:-1 gene:Potri.001G445150.v4.1 transcript:Potri.001G445150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G445150.v4.1 MAIESAGGSIVSKIAELLVEPAIRQFRYMFCFNNFVQEVNEQMMSLALALYRLQDAVDVAKRNAEEIEIDVNKWLEDAKNEIEGVNRLENEKGKNGKCFTWCPNWMRQFKLSKALAKKTETLRKLEGNSRKFPKVSHKAPLQDIEFLPSEGFTPSKSSKEAFEQIMKALKDNTANMIGLYGMGGVGKTTLVKEVGRRAKELQLLDEVLMATLSQNPNVIDIQDRMADRLGLHFDEKTKEGRADRLWQRLKTEKKMLIVLDDVWKVINLKEIGIPFGDAHRGCKILLTTRLENICSSMDCQEKVFLRVLSENEAWTLFKINAGLRDEDSDLNRVAKEVARECQGLPIALVAVGKALIDKSKNEWEVASEELKKSQSRHMEKFDDRRNAYAELTRYAVGYGLNQDVKSIEGARKRVYMEIEYLKACCMLLGTETAEHVKIHDLFRDVAIQIASSEEYGFMVEAGSGLKEWPMSNKSFEACTTISLMGNKLTELPEGLVCPRLKILLLGLDDGLNVPKRFFEGMKAIEVLSLKGGCLSLQSLELSTNLQALLLIGCECKDLIRLRKLQRLKILVFMWCDSIKELPDEIGELKDLRLLDLTGCIYLARIPVNLIGRLKMLEELLIGHHSFTAWDVVGTSAGGMNASLTELNSLSHLAVLSLKIPKVERIPRDFVFPSLLKYDILLGDGLQQNEDCQSLEEVFELGVADEGINEEKELPLLSSLTRLHLQWLPELKCIWKGPTRHVSLKSLIHLELQSLHKLTFIFTPSLAQSLFHLEKLLILSCGELKHIIREEDDEREIISEPLRFPKLKTIFISECGKLEHVFPDCVSPSLGNLEEIMIRDAGNLKQIFYRGKGDALTTDDIINFPQLRKLSLFSISNCSFFAPKNFAAQLPSLQNLRIYGHEELDNLLAQLQGLTSLETLELVYMPLPNMRCIWKGLVLSHLTSLVVYKCKRLTYVFIDNVIASLVQLEVLEISTCDELEQIIAKDNDDEKDQILAGSDLQSSCFPNLCRIEIKECNKLKSLFPIAMALGLKKLQLLEVRESSQLLGVFGQDDHVSPVNVEKEMVLPDLQELLLIQLPSIACFSLGCHDFLFPRLETLKVYECPKLTTKFTTTTNDNMTASLVQLKVLKISKCEELEQIIAKDNDDEKDRILSRSDLQSLCFPNLCQLKIKRCNKLKSLFPIAMASGLPKLQILEIRESSQLLGVFGQDDHASPVNVEKEMVLPNLQELSLQQLPSIACFIPGCYDFLFPRLEKLEMRQCPKLITKHATTSNGSMGAESEVLLI >Potri.001G386100.1.v4.1 pep chromosome:Pop_tri_v4:1:40526014:40526304:-1 gene:Potri.001G386100.v4.1 transcript:Potri.001G386100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386100.v4.1 MTSVVRMLEGKIPVQAPIINRGSMDQEARFKAFELLSQDSQTQVSTLSQSSQVQSSSISRDGPWVDSSYSLQSNDETKDLYPINAD >Potri.008G048900.6.v4.1 pep chromosome:Pop_tri_v4:8:2867173:2873630:-1 gene:Potri.008G048900.v4.1 transcript:Potri.008G048900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048900.v4.1 MYLYSLTLQRATGIVSAINGNFSGGKAQEIVVARGKVLDLLRPDENGKLQTVLSVEIFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKERNVFDKIHQETFGKSGCRRIVPGQYLAVDPKGRAVMIGACEKQKLVYVLNRDTVARLTISSPLEAHKSHTICYSVCGVDCGFDNPIFAAIELDYSEADQDSTGQAAGEAQKNLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGILVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLDHENDKVKELKIKYFDTIPVTSSICVLKSGFLFAASEFGNHALYQFQAIGEEEDVEASSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPVMDMKVANIFDEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKRNANDEFDAYIVVSFNNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQIHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRVLSLDPDDCMQILSVQSVSAPPESLLFLEVQASIGGEDGADHPASLFLNAGLQTGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFAINVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVLQPKRKLLVIIESDQGAYTAEEREAAKKECFEAAGMGENGSANAEKMENGDDDDKDDPLSDEQYGYPKAEADRWVSCIRVLDPRSATTTCLLELQDNEAAFSVCTVNFHDKEHGTLLAVGTAKGLQFWPKRSLIAGFIHIYKFVDDGKSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKKRLLRKCENKLFPNSIVSIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDSVPRWLTASYHVDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHIGDVVNSLQKASLIPGGGECIMYGTVMGSVGALLPFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPLDAQRKIADELDRTPGEILKKLEEVRNKII >Potri.008G048900.1.v4.1 pep chromosome:Pop_tri_v4:8:2867261:2873633:-1 gene:Potri.008G048900.v4.1 transcript:Potri.008G048900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G048900.v4.1 MYLYSLTLQRATGIVSAINGNFSGGKAQEIVVARGKVLDLLRPDENGKLQTVLSVEIFGAIRSLAQFRLTGAQKDYIVVGSDSGRIVILEYNKERNVFDKIHQETFGKSGCRRIVPGQYLAVDPKGRAVMIGACEKQKLVYVLNRDTVARLTISSPLEAHKSHTICYSVCGVDCGFDNPIFAAIELDYSEADQDSTGQAAGEAQKNLTFYELDLGLNHVSRKWSEQVDNGANMLVTVPGGGDGPSGILVCAENFVIYKNQGHPDVRAVIPRRADLPAERGVLIVSAATHKQKSMFFFLLQTEYGDIFKVTLDHENDKVKELKIKYFDTIPVTSSICVLKSGFLFAASEFGNHALYQFQAIGEEEDVEASSATLMETEEGFQPVFFQPRGLKNLVRIDQVESLMPVMDMKVANIFDEETPQIFSLCGRGPRSSLRILRPGLAISEMAVSQLPGVPSAVWTVKRNANDEFDAYIVVSFNNATLVLSIGETVEEVSDSGFLDTTPSLAVSLIGDDSLMQIHPNGIRHIREDGRINEWRTPGKRTIVKVGSNRLQVVIALSGGELIYFEVDMTGQLMEVEKHEMSGDVACLDIAPVPEGRQRSRFLAVGSYDNTIRVLSLDPDDCMQILSVQSVSAPPESLLFLEVQASIGGEDGADHPASLFLNAGLQTGVLFRTVVDMVTGQLSDSRSRFLGLRAPKLFAINVRGRRAMLCLSSRPWLGYIHQGHFLLTPLSYETLEYAASFSSDQCAEGVVAVAGDALRIFTIERLGETFNETAIPLRYTPRKFVLQPKRKLLVIIESDQGAYTAEEREAAKKECFEAAGMGENGSANAEKMENGDDDDKDDPLSDEQYGYPKAEADRWVSCIRVLDPRSATTTCLLELQDNEAAFSVCTVNFHDKEHGTLLAVGTAKGLQFWPKRSLIAGFIHIYKFVDDGKSLELLHKTQVEGVPLALCQFQGRLLAGIGSVLRLYDLGKKRLLRKCENKLFPNSIVSIHTYRDRIYVGDIQESFHFCKYRRDENQLYIFADDSVPRWLTASYHVDFDTMAGADKFGNIYFVRLPQDVSDEIEEDPTGGKIKWEQGKLNGAPNKVEEIVQFHIGDVVNSLQKASLIPGGGECIMYGTVMGSVGALLPFTSRDDVDFFSHLEMHLRQDHPPLCGRDHMAYRSAYFPVKDVIDGDLCEQFPTLPLDAQRKIADELDRTPGEILKKLEEVRNKII >Potri.017G100400.1.v4.1 pep chromosome:Pop_tri_v4:17:11205239:11206933:-1 gene:Potri.017G100400.v4.1 transcript:Potri.017G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100400.v4.1 MFNSCNKKKKKQSQFSDPINPSKPCTIPVMESGKIDYSFLPKEKFRGDDYLCQWNGFWFRQPFLEAAHQVLNHYKPLPTDTVLASYPKTGTTWLKALLYSIINRSSRDSILTNHPHMLVPTLEIQLYGPKTGSFDSFAGTANSSARILATHLPYQVISGTINSTDCRVVYITRNPKDTLVSSWHFYPKSKEVKDPWSLEDAVEKFCNGVGNCGPYYDHVLGYWKESLERPHKVFFITYEELKSDTKTHVKRLAEFLGCPFDGDGKEEDVLDEIVSSCSFEKMSNYEANKSDDGHMGWLKFPLSSFFRQGGTGDHKNYLTPEMINRVDKITAEKFHGSGFMYGI >Potri.008G121100.1.v4.1 pep chromosome:Pop_tri_v4:8:7848324:7851505:-1 gene:Potri.008G121100.v4.1 transcript:Potri.008G121100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G121100.v4.1 MAMAMAMAAASLPCPSSPFKGNLTRLKPKTCNFTCLTLHSKNKRPSVVVNQAAAPSVVTTSSGARLRLDNLGPQPGSRKKGKRKGRGISAGQGNSCGFGMRGQKSRSGPGVRKGFEGGQMPLYRRIPKLRGIAGGMHAGLPKYVPVNLKDIASAGFQEGAEVSLETLKEKGLINPSGRERRLPLKVLGDGELSVKLNIKARAFSAAAKEKLEAAGCSLTVLPGRKKWVKPSVAKNLARAEEYFAKKRAAAIVSEPSSA >Potri.006G106600.9.v4.1 pep chromosome:Pop_tri_v4:6:8211346:8219352:-1 gene:Potri.006G106600.v4.1 transcript:Potri.006G106600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106600.v4.1 MAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGFEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGRWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRRGQEACIKAGLIHVCLKHLQGSVPNDTQTEPLFLQWLCLCLGKLWEDFTEAQILGLQADSPAIYAPLLLEPQPEVRASAAFALATLLDVGGDVCRDGATGDDEFDDDEKIRAEISIVRSLLSAVSDGSPLVRAEVAVALARFAFGHKQHLKSIAASYWKPQSNSLLSSLPSLAHIKATGSGHANPNQYVPHASIVSSQFGPLTRVGSDSPSVVRDGRASTSSPTTAGIMHGSPLSDDSSLHSDSGILNDIVSNGEVLHSRPKPLDNALYSQCVLAMCTLAKDPSPRIASLGRRVLSIIGIEQVVTKSVNSTGSSGPKTSSPSLAGLARSSSWLDMHAGHIPLTFRTPPVSPPRSSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLASVGSSGGTERSLLPQSTIYNWSCGHFSKPLLTTPDDTEEILVRREEREKFALEHIAMCQHSSVSNLNNRIASWDTKFETGTKTALLQPFSPIVVAADENERIRVWNYEEATLLNGFDNHDFPDRGVSKLCLVNELDDSLLLVASCDGNIRIWKDYTVKGKQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLIHSIPSSSDCSVSAMSASQVHGGQFTAGFVDGSVKLYDVRTPEMLVCATRPHTENVEKVVGIGFHPGLDPGKIVSASQAGDMKFLDMRNYRDPYLTIKAHRGSLTALAVHRHAPIIASGSAKQIIKLFSLNGEPLGSIRYHLTIMAQKIGPVSCLTFHPYQVLLAAGATDALFSIYADDNTQAR >Potri.006G106600.1.v4.1 pep chromosome:Pop_tri_v4:6:8211270:8224227:-1 gene:Potri.006G106600.v4.1 transcript:Potri.006G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106600.v4.1 MALGDLMASRFSSQSPVAFVSNHYDHYPSSHEDDAIDVARRDDNNNSNNNRDRDSDTASTSNYGGGNATASTTATTTTSTAYLPQTVVLCELRHEAFEASVPTGPSDSGLVSKWRPKDRMKTGYVALVLCLNISVDPPDVIKISPCARMECWTDPLSMAPQKALETIGKNLSIQYERWQPKARYKVQLDPTVDEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPVSDLDSWLRTPSIYVFDCSAAGMIVNAFLELHDWNASGSAGSTRDCILLAACEAHETLPQSDEFPADVFTSCLTTPIKMALKWFYRRSLLCDSLDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGFEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGRWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRRGQEACIKAGLIHVCLKHLQGSVPNDTQTEPLFLQWLCLCLGKLWEDFTEAQILGLQADSPAIYAPLLLEPQPEVRASAAFALATLLDVGGDVCRDGATGDDEFDDDEKIRAEISIVRSLLSAVSDGSPLVRAEVAVALARFAFGHKQHLKSIAASYWKPQSNSLLSSLPSLAHIKATGSGHANPNQYVPHASIVSSQFGPLTRVGSDSPSVVRDGRASTSSPTTAGIMHGSPLSDDSSLHSDSGILNDIVSNGEVLHSRPKPLDNALYSQCVLAMCTLAKDPSPRIASLGRRVLSIIGIEQVVTKSVNSTGSSGPKTSSPSLAGLARSSSWLDMHAGHIPLTFRTPPVSPPRSSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLASVGSSGGTERSLLPQSTIYNWSCGHFSKPLLTTPDDTEEILVRREEREKFALEHIAMCQHSSVSNLNNRIASWDTKFETGTKTALLQPFSPIVVAADENERIRVWNYEEATLLNGFDNHDFPDRGVSKLCLVNELDDSLLLVASCDGNIRIWKDYTVKGKQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLIHSIPSSSDCSVSAMSASQVHGGQFTAGFVDGSVKLYDVRTPEMLVCATRPHTENVEKVVGIGFHPGLDPGKIVSASQAGDMKFLDMRNYRDPYLTIKAHRGSLTALAVHRHAPIIASGSAKQIIKLFSLNGEPLGSIRYHLTIMAQKIGPVSCLTFHPYQVLLAAGATDALFSIYADDNTQAR >Potri.006G106600.2.v4.1 pep chromosome:Pop_tri_v4:6:8211362:8224185:-1 gene:Potri.006G106600.v4.1 transcript:Potri.006G106600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106600.v4.1 MALGDLMASRFSSQSPVAFVSNHYDHYPSSHEDDAIDVARRDDNNNSNNNRDRDSDTASTSNYGGGNATASTTATTTTSTAYLPQTVVLCELRHEAFEASVPTGPSDSGLVSKWRPKDRMKTGYVALVLCLNISVDPPDVIKISPCARMECWTDPLSMAPQKALETIGKNLSIQYERWQPKARYKVQLDPTVDEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPVSDLDSWLRTPSIYVFDCSAAGMIVNAFLELHDWNASGSAGSTRDCILLAACEAHETLPQSDEFPADVFTSCLTTPIKMALKWFYRRSLLCDSLDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGFEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGRWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRRGQEACIKAGLIHVCLKHLQGSVPNDTQTEPLFLQWLCLCLGKLWEDFTEAQILGLQADSPAIYAPLLLEPQPEVRASAAFALATLLDVGGDVCRDGATGDDEFDDDEKIRAEISIVRSLLSAVSDGSPLVRAEVAVALARFAFGHKQHLKSIAASYWKPQSNSLLSSLPSLAHIKATGSGHANPNQYVPHASIVSSQFGPLTRVGSDSPSVVRDGRASTSSPTTAGIMHGSPLSDDSSLHSDSGILNDIVSNGEVLHSRPKPLDNALYSQCVLAMCTLAKDPSPRIASLGRRVLSIIGIEQVVTKSVNSTGSSGPKTSSPSLAGLARSSSWLDMHAGHIPLTFRTPPVSPPRSSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLASVGSSGGTERSLLPQSTIYNWSCGHFSKPLLTTPDDTEEILVRREEREKFALEHIAMSVSNLNNRIASWDTKFETGTKTALLQPFSPIVVAADENERIRVWNYEEATLLNGFDNHDFPDRGVSKLCLVNELDDSLLLVASCDGNIRIWKDYTVKGKQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLIHSIPSSSDCSVSAMSASQVHGGQFTAGFVDGSVKLYDVRTPEMLVCATRPHTENVEKVVGIGFHPGLDPGKIVSASQAGDMKFLDMRNYRDPYLTIKAHRGSLTALAVHRHAPIIASGSAKQIIKLFSLNGEPLGSIRYHLTIMAQKIGPVSCLTFHPYQVLLAAGATDALFSIYADDNTQAR >Potri.006G106600.8.v4.1 pep chromosome:Pop_tri_v4:6:8211425:8220331:-1 gene:Potri.006G106600.v4.1 transcript:Potri.006G106600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106600.v4.1 MALKWFYRRSLLCDSLDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGFEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGRWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRRGQEACIKAGLIHVCLKHLQGSVPNDTQTEPLFLQWLCLCLGKLWEDFTEAQILGLQADSPAIYAPLLLEPQPEVRASAAFALATLLDVGGDVCRDGATGDDEFDDDEKIRAEISIVRSLLSAVSDGSPLVRAEVAVALARFAFGHKQHLKSIAASYWKPQSNSLLSSLPSLAHIKATGSGHANPNQYVPHASIVSSQFGPLTRVGSDSPSVVRDGRASTSSPTTAGIMHGSPLSDDSSLHSDSGILNDIVSNGEVLHSRPKPLDNALYSQCVLAMCTLAKDPSPRIASLGRRVLSIIGIEQVVTKSVNSTGSSGPKTSSPSLAGLARSSSWLDMHAGHIPLTFRTPPVSPPRSSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLASVGSSGGTERSLLPQSTIYNWSCGHFSKPLLTTPDDTEEILVRREEREKFALEHIAMCQHSSVSNLNNRIASWDTKFETGTKTALLQPFSPIVVAADENERIRVWNYEEATLLNGFDNHDFPDRGVSKLCLVNELDDSLLLVASCDGNIRIWKDYTVKGKQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLIHSIPSSSDCSVSAMSASQVHGGQFTAGFVDGSVKLYDVRTPEMLVCATRPHTENVEKVVGIGFHPGLDPGKIVSASQAGDMKFLDMRNYRDPYLTIKAHRGSLTALAVHRHAPIIASGSAKQIIKLFSLNGEPLGSIRYHLTIMAQKIGPVSCLTFHPYQVLLAAGATDALFSIYADDNTQAR >Potri.006G106600.6.v4.1 pep chromosome:Pop_tri_v4:6:8211410:8220997:-1 gene:Potri.006G106600.v4.1 transcript:Potri.006G106600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106600.v4.1 MAPQKALETIGKNLSIQYERWQPKARYKVQLDPTVDEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPVSDLDSWLRTPSIYVFDCSAAGMIVNAFLELHDWNASGSAGSTRDCILLAACEAHETLPQSDEFPADVFTSCLTTPIKMALKWFYRRSLLCDSLDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGFEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGRWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRRGQEACIKAGLIHVCLKHLQGSVPNDTQTEPLFLQWLCLCLGKLWEDFTEAQILGLQADSPAIYAPLLLEPQPEVRASAAFALATLLDVGGDVCRDGATGDDEFDDDEKIRAEISIVRSLLSAVSDGSPLVRAEVAVALARFAFGHKQHLKSIAASYWKPQSNSLLSSLPSLAHIKATGSGHANPNQYVPHASIVSSQFGPLTRVGSDSPSVVRDGRASTSSPTTAGIMHGSPLSDDSSLHSDSGILNDIVSNGEVLHSRPKPLDNALYSQCVLAMCTLAKDPSPRIASLGRRVLSIIGIEQVVTKSVNSTGSSGPKTSSPSLAGLARSSSWLDMHAGHIPLTFRTPPVSPPRSSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLASVGSSGGTERSLLPQSTIYNWSCGHFSKPLLTTPDDTEEILVRREEREKFALEHIAMCQHSSVSNLNNRIASWDTKFETGTKTALLQPFSPIVVAADENERIRVWNYEEATLLNGFDNHDFPDRGVSKLCLVNELDDSLLLVASCDGNIRIWKDYTVKGKQKLVTAFSSIQGHKPGVRSLNAVVDWQQQSGYLYASGEISSIMLWDLDKEQLIHSIPSSSDCSVSAMSASQVHGGQFTAGFVDGSVKLYDVRTPEMLVCATRPHTENVEKVVGIGFHPGLDPGKIVSASQAGDMKFLDMRNYRDPYLTIKAHRGSLTALAVHRHAPIIASGSAKQIIKLFSLNGEPLGSIRYHLTIMAQKIGPVSCLTFHPYQVLLAAGATDALFSIYADDNTQAR >Potri.006G106600.7.v4.1 pep chromosome:Pop_tri_v4:6:8211367:8224183:-1 gene:Potri.006G106600.v4.1 transcript:Potri.006G106600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G106600.v4.1 MALGDLMASRFSSQSPVAFVSNHYDHYPSSHEDDAIDVARRDDNNNSNNNRDRDSDTASTSNYGGGNATASTTATTTTSTAYLPQTVVLCELRHEAFEASVPTGPSDSGLVSKWRPKDRMKTGYVALVLCLNISVDPPDVIKISPCARMECWTDPLSMAPQKALETIGKNLSIQYERWQPKARYKVQLDPTVDEVKKLCNTCRKYAKSERVLFHYNGHGVPKPTANGEIWLFNKSYTQYIPLPVSDLDSWLRTPSIYVFDCSAAGMIVNAFLELHDWNASGSAGSTRDCILLAACEAHETLPQSDEFPADVFTSCLTTPIKMALKWFYRRSLLCDSLDYSLIDKIPGRQNDRKTLLGELNWIFTAVTDTIAWNVLPRDLFQKLFRQDLLVASLFRNFLLAERIMRSANCSPISHPMLPPTHQHHMWDAWDMAAEICLSQLPSLVEDPNAEFQPSPFFTEQLTAFEVWLDHGFEHKKPPEQLPIVLQVLLSQCHRFRALVLLGRFLDMGRWAVDLALSVGIFPYVLKLLQTTTPELRQILVFIWTKILALDKSCQVDLVKDGGHTYFIRFLDSLEAYPEQRAMAAFVLAVIVDGHRRGQEACIKAGLIHVCLKHLQGSVPNDTQTEPLFLQWLCLCLGKLWEDFTEAQILGLQADSPAIYAPLLLEPQPEVRASAAFALATLLDVGGDVCRDGATGDDEFDDDEKIRAEISIVRSLLSAVSDGSPLVRAEVAVALARFAFGHKQHLKSIAASYWKPQSNSLLSSLPSLAHIKATGSGHANPNQYVPHASIVSSQFGPLTRVGSDSPSVVRDGRASTSSPTTAGIMHGSPLSDDSSLHSDSGILNDIVSNGEVLHSRPKPLDNALYSQCVLAMCTLAKDPSPRIASLGRRVLSIIGIEQVVTKSVNSTGSSGPKTSSPSLAGLARSSSWLDMHAGHIPLTFRTPPVSPPRSSYLTGMRRVCSLEFRPHLMNSPDSGLADPLLASVGSSGGTERSLLPQSTIYNWSCGHFSKPLLTTPDDTEEILVRREEREKFALEHIAMCQHSCVSFYFFSLLLFIYFCTSFWLS >Potri.001G154600.1.v4.1 pep chromosome:Pop_tri_v4:1:12960515:12968845:1 gene:Potri.001G154600.v4.1 transcript:Potri.001G154600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154600.v4.1 MAFLFPYFAFLFVVFIFVIDPVAHSLPFIVLHGIGDQCKNRGVKQFTEELTNFSGSPGYCLEIGDGTWDSWFMPLEEQTEIVCDKVKKMKELSKGYSIVGLSQGNLIGRGVVEFCDGGPPVKNFISLGGPHAGTASVPLCGSGIFCKLADALIKSKIYSDYVQAHLAPSGYLKFPNDIPHYMEKCRFLPKLNNEIPEERNTTYKERFSSLQNLVLIMFENDNVLIPKETSWFGYYPDGAFKPIVPAHQTALYTEDWIGLKALDEAGRVHFVNVSGGHLGISESDMKKHVVPFLVDEASVEKYSNARAHGLPRTITQNYHRKQVAGSFIDETSNERILDGSSSYQWPSSVKNFFRELVGLAEENDSD >Potri.011G160900.1.v4.1 pep chromosome:Pop_tri_v4:11:18576738:18578541:-1 gene:Potri.011G160900.v4.1 transcript:Potri.011G160900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G160900.v4.1 MVPSSSSILSILLVLLLSPFIVSHALQDSFLKCLSRTSESSFPFSTVLYTPNNSSFTSVLQSSAQNLRFTLPAVPKPEFIFTPLQESHIQAVVICSKQLGIHLRVRSGGHDFEALSYVSEIESPFILVDLAKFRSISVDIEHNSAWVQTGSTNGELYYRISEKSKIHGFPAGTCTSLGMGGHISGGAYGAMLRKYGLAVDNVVDAHIIDVHGRLLDRKAMGEDLFWAIRGGAGGSFGIVTAWKVKLVPVPSAVTVFTVTKTLEQGATELLYRWQQIADQLDEDLFIRVQIQTANVSSQGKRTITTSYNAMFLGDANRLLQVMKHSFPELGLTRQDCIETNWINSTVYMSGFANNTPPEVLLQRINMDRAYFKGKSDYARKPIPEKALEGLWEKLFEAESPLVVFTPYGGMMNQISESQTPFPHRNGTKFMILYWSSWQDATENVAKHINWTRKVYSYMTPYVSKNPREAYANYRDLDLGMNRNSKTSFVEASAFGTNYFKDNFYRLVHVKTKVDPDNFFRHEQSIPPLPQQMGLRNEVEFISSKAYLDHYSHKS >Potri.005G198700.1.v4.1 pep chromosome:Pop_tri_v4:5:20488206:20490217:1 gene:Potri.005G198700.v4.1 transcript:Potri.005G198700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198700.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKILDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPNDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTARDYNIEGGSVLHLVLALRGGCL >Potri.005G198700.3.v4.1 pep chromosome:Pop_tri_v4:5:20488254:20490218:1 gene:Potri.005G198700.v4.1 transcript:Potri.005G198700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198700.v4.1 MSDCWWMYLFQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGTMIKVKTLTGKEIEIDIEPNDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTARDYNIEGGSVLHLVLALRGGCL >Potri.005G230700.1.v4.1 pep chromosome:Pop_tri_v4:5:22988244:22989716:-1 gene:Potri.005G230700.v4.1 transcript:Potri.005G230700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230700.v4.1 MMLHYCTQRTLFLSKVLLNSSKISFCNSASLAATSPLFKFQILTSNYRRFTAIAGEIPMRSYQVVVAATRDMGIGKDGKLPWRLPSDLKFFKEVTLATSDPGKQNAILMGRKTWESIPIKYRPLPDRLNVVLTRSFEIEDEENVITCGSISSALELLAETPYCFSIDKVFVIGGGQILRETLNGPGCDAIHVTEIESSVECDTFIPSIDFSKFQPWYSSPPLVENGFRYSFVTYVHVRNSENETIAGKTGGKCNDVKSNSNRFEVKDFSFLPKMIFEKGEEYMHHSSSTK >Potri.008G196301.1.v4.1 pep chromosome:Pop_tri_v4:8:13855036:13856681:1 gene:Potri.008G196301.v4.1 transcript:Potri.008G196301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G196301.v4.1 MTLTKTGMECFFGGQVWAVYDTLDAMPRFYAQIKKVMSPGFNLQITWLEAHPDDHDDFEWVKEGLPVACGKFRYGKSQYSDKRLMFSHPIDLEEGGQRDTYKIFPRKGETWALFKNWDIKWKSDADSHQEYDCEFVEILSEYAEGVGAYVTFLG >Potri.017G030200.1.v4.1 pep chromosome:Pop_tri_v4:17:2043160:2043776:1 gene:Potri.017G030200.v4.1 transcript:Potri.017G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G030200.v4.1 MPGNQQHLLRLVLSCRKITAQVTNPTTSTIIAMASSAEQESFLSHYRNTTLSRFPRQSWDSKAASRVGEKLGFRLKGIGVSNIYIDLNEELSRSIHYRKRVLPLFDSVKRVGIVVDGAEKLGEIGHV >Potri.011G009900.3.v4.1 pep chromosome:Pop_tri_v4:11:913924:922167:-1 gene:Potri.011G009900.v4.1 transcript:Potri.011G009900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009900.v4.1 MATLGDIAVSGALNLLGAFIFLLAFAILRIQPFNDRVYFPKWYLKGLRSSASHSGAFARRIVNLDFRSYTRFLNWMPEALKMPEPELIDHAGLDSAVYLRIYLMGLKIFVPIAFLAWAILVPVNYTNDTLEKAQLVSNVTASDIDKLSISNVPLKSQRFWAHIVMAYAFTFWTCYVLLKEYEKVASMRLQFLSSEGRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPHHYLIHQVVCNANKLASLVKKKKSKQNWLDYYQLKYDRNQSQRPLKKTGFLGLWGEKVDAIDHHISEIKKLSEEIEEEREKVLKDPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWENLAIPYMSLSVRRLIIGVAFFFLTFFFMIPIASVQALASIEGIEKKAPFLKPIIEIKFIKSVIQGFLPGIALKLFLIFLPTILMIMSKFEGFLSISSLERRSATRYYIFLIINVFLGSILAGAAFEQLNSFINQSANEIPKTIGVAVPLKATFFITYIMVDGWAGIAGEVLMLKPLILYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPRIQLYFLLGLVYATVTPVLLPFIIIFFAFAYVVFRHQIINVYNQEYESGAAFWPDVHGRVITALVISQLALLGLMSTKEAAQSAPFLIALPVLTIWFHGFCNGRHKSAFVKYPLQEAMMKDTLERARDPNFNLKAYLQDAYIHPVFKGGDDDEDDDLSKKLETESVLVPTKRQSRKNTPAPSKISGASSPSLFEVVKNGDP >Potri.011G009900.1.v4.1 pep chromosome:Pop_tri_v4:11:913731:922227:-1 gene:Potri.011G009900.v4.1 transcript:Potri.011G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009900.v4.1 MATLGDIAVSGALNLLGAFIFLLAFAILRIQPFNDRVYFPKWYLKGLRSSASHSGAFARRIVNLDFRSYTRFLNWMPEALKMPEPELIDHAGLDSAVYLRIYLMGLKIFVPIAFLAWAILVPVNYTNDTLEKAQLVSNVTASDIDKLSISNVPLKSQRFWAHIVMAYAFTFWTCYVLLKEYEKVASMRLQFLSSEGRRPDQFTVLVRNVPPDPDESVSELVEHFFLVNHPHHYLIHQVVCNANKLASLVKKKKSKQNWLDYYQLKYDRNQSQRPLKKTGFLGLWGEKVDAIDHHISEIKKLSEEIEEEREKVLKDPKSIMPAAFVSFKTRWGAAVCAQTQQSRNPTLWLTEWAPEPRDVYWENLAIPYMSLSVRRLIIGVAFFFLTFFFMIPIASVQALASIEGIEKKAPFLKPIIEIKFIKSVIQGFLPGIALKLFLIFLPTILMIMSKFEGFLSISSLERRSATRYYIFLIINVFLGSILAGAAFEQLNSFINQSANEIPKTIGVAVPLKATFFITYIMVDGWAGIAGEVLMLKPLILYHLKNFFLVKTEKDREEAMDPGSLGFNTGEPRIQLYFLLGLVYATVTPVLLPFIIIFFAFAYVVFRHQIINVYNQEYESGAAFWPDVHGRVITALVISQLALLGLMSTKEAAQSAPFLIALPVLTIWFHGFCNGRHKSAFVKYPLQEAMMKDTLERARDPNFNLKAYLQDAYIHPVFKGGDDDEDDDLSKKLETESVLVPTKRQSRKNTPAPSKISGASSPSLFEVVKNGDP >Potri.009G074300.1.v4.1 pep chromosome:Pop_tri_v4:9:7258971:7263205:1 gene:Potri.009G074300.v4.1 transcript:Potri.009G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G074300.v4.1 MKSSTSKGTETREFNYREIDWELRPGGMLVQKRDVGVGSSGPMIKIKVSHGSCHYDTDVPAQSTFGDLKKVLANETGLEPQEQRLLFRGKERENDEYLHMVGVKDMSKVILFEDPASKERKLEEMKRNQGTFEACEAVARVRAEVDKLCEKVVALETTFCSGTAIADKEFVVLTELLMIQLLKLDSIEANGEAKVQRRIEVRRIQSFVDTLDNLKVRNSNPFSNSSSAVSVTTKWETFASGVGSLSAPVPIQSATKVTQDWELFD >Potri.003G175366.1.v4.1 pep chromosome:Pop_tri_v4:3:18252607:18252792:1 gene:Potri.003G175366.v4.1 transcript:Potri.003G175366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175366.v4.1 MDSPPQKINLISCGPMAHVSDIKLIRTDTTLDLSQKAEKGMICLAFSFRLYTRLVSLTWSL >Potri.002G071800.1.v4.1 pep chromosome:Pop_tri_v4:2:5039745:5044181:1 gene:Potri.002G071800.v4.1 transcript:Potri.002G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071800.v4.1 MVMGFHETGGCGFGGVLIAVLGFGLLLSNVVDGYPSKDLVLNLPGQPKVGFRQYAGYVDVDVKNGRSLFYYFVEADKDPDQKPLALWLNGGPGCSSIGGGAFTELGPFFPKGDGRGLRRNSMSWNRASNLLFVESPAGVGWSYSNTTSDYTTGDAKTAKDMHMFLLKWYEKFPDFKSRELFLTGESYAGHYIPQLAEVLLDHNAQSTNFKFNIKGVAIGNPLLRLDRDVPATYEFFWSHGMISDEIGLKIMNECAFNDYTYASPHNVTDSCNDAISQANSIIGDYINNYDVILDVCYPSIVNQELRLRKMATKISVGVDVCMTYERRFYFNLPEVQKALHANRTKLPYPWSMCSDVLNYSDTDGNIDILPILKKIIQNHIPVWVFSGDQDSVVPLLGSRTLVKELAQDLNFKITVPYGTWFHKGQVGGWATEYGNLLTFATVRSAAHMVPYAQPSRALHLFSSFVHGRRLPNTTHVRMDD >Potri.001G003300.1.v4.1 pep chromosome:Pop_tri_v4:1:199297:201041:-1 gene:Potri.001G003300.v4.1 transcript:Potri.001G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003300.v4.1 MLLNWSHEMGKFFCSLIDDQENILFMRVLFCKIHCPFICFCKPSPRIYGPAPLKLENSPHVPSTAVISVVDASCDDDHVLNDSVEVKEGSVGVDGKQSQAQNGLKSSLKKADFDSKEVDKKKVRWMDFLGKELVEIREFESSETEDSDSEDQSNRGCVCTIL >Potri.001G120700.3.v4.1 pep chromosome:Pop_tri_v4:1:9851443:9853174:-1 gene:Potri.001G120700.v4.1 transcript:Potri.001G120700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120700.v4.1 MPSPFSIFSRSNTTKQTPIPFKDYYTNWFNTLKNTLLPLLHHSLSSSPSSPTLLSSHLHLILHHLLSYYESLDLAATTNTKNLPYLLYPSWRNPLETPFLFLGDLHPYVFTNLLRSFLDEADSDEDTENDRKVFVFDRPCHVVMAWKDPSKHLMIKIEQIERGLRLMVPALLDRVKTAQSGFAGKIAEEWVNCERKEKMDVSEAMKAEMEELVTVFLHANRLRRSVISDIVAALNVYQGALFLDGLAQFLVGFQDKNLLREFERCKTPISERVCL >Potri.017G039150.1.v4.1 pep chromosome:Pop_tri_v4:17:2583124:2583510:1 gene:Potri.017G039150.v4.1 transcript:Potri.017G039150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039150.v4.1 MLMFLGIALIVSPILAIENDDESTADEYIDDENLAASPESSTEILPGFLKNCANTISKAAGDKVFNYIFGDENNLDYDTCSEVTRSGKECNGALVKYVAKGPMFKATYDFYLKRGEDLYNICLSVFMS >Potri.010G159200.2.v4.1 pep chromosome:Pop_tri_v4:10:16542840:16544988:1 gene:Potri.010G159200.v4.1 transcript:Potri.010G159200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159200.v4.1 MEGGGGRNGKEREEEQDGMSVHSPCKAPPSSASSLPKEQSQVELELRLLEALEIYPPVKLRGMHRHFVLYGLMEFLRRSFDRQFSPDEVLQLLDRFYNIEMLKPDDEEAEILNHEEDFSLPQSYFVKEE >Potri.003G152250.1.v4.1 pep chromosome:Pop_tri_v4:3:16517301:16517847:-1 gene:Potri.003G152250.v4.1 transcript:Potri.003G152250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152250.v4.1 MMKSCIYTKLVGERTSLGATRRKLPQKRENNDRKLSRSVRRIRADMVEISEGQKRIREGQKEIRKRFQEISEEAAKLREETNVISKQSSENQLRLDLMFQIVKARAENDHAKDALLTQTLRSVILQIFVPCV >Potri.004G095700.3.v4.1 pep chromosome:Pop_tri_v4:4:8208570:8211450:-1 gene:Potri.004G095700.v4.1 transcript:Potri.004G095700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095700.v4.1 MVLQFVSLVFFIVHYITFAGSVNDEGLALLSFKQSIEDSTARSLDNWNSSDANPCSWYGVTCREEKVFFLRLPNKGLAGMLQLDTGTVPEEIRNLKYLQTLDLSQNSFNGSLPSYLIQCKRLKNLVLSRNFFAGFLPDELGNNLVMLQTLNLSHNSFRGLIPGSLGNLSSLRGVLDLSHNRFDGPIPASLGNLPELVYINLTYNNLSGAIPQTDALVNVGPTAFIGNPLLCGPPLKNQCPSSTSHPNIDPKPLAVGDSSGKPGRGKWCWVVIASVASTMVGICLVALSFCYWYKKVYGCKESIRTQGRSFEEKSMVRKEMFCFRTADLESLSETMEQYTFVPLDSKVSFDLEQLLKASAFLVGKSGIGIVYKVVLEKGLTVAVRRLEDGGSQRFREFQTAVEAIGKIRHPNIVSLLAYCWCINEKLLIYDYVSNGDLATAIHGRTGMTYFKPLSWSIRLRIMKGLAKGLAFLHECSPKRYVHGNLKTSNILLGENMEPHISDFGLNCFAYTSEESIPVQGEQMTSGTPQQGSPYALTPTHSSMSGSCYEAPESSKVIKPSQKWDVYSFGVILLEIISGKSPIMQMSLSGMDLVRWIQLSIEVKPPSEVLDPFLARDSDKEHEMIAVLKIALACVHASPDKRPSMKNVSENLERLVSST >Potri.004G095700.1.v4.1 pep chromosome:Pop_tri_v4:4:8208640:8211661:-1 gene:Potri.004G095700.v4.1 transcript:Potri.004G095700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095700.v4.1 MVLQFVSLVFFIVHYITFAGSVNDEGLALLSFKQSIEDSTARSLDNWNSSDANPCSWYGVTCREEKVFFLRLPNKGLAGMLQLDTGKLVALSHVNLRSNYLSGSLPVELFNAAGLKSLILSGNSFSGTVPEEIRNLKYLQTLDLSQNSFNGSLPSYLIQCKRLKNLVLSRNFFAGFLPDELGNNLVMLQTLNLSHNSFRGLIPGSLGNLSSLRGVLDLSHNRFDGPIPASLGNLPELVYINLTYNNLSGAIPQTDALVNVGPTAFIGNPLLCGPPLKNQCPSSTSHPNIDPKPLAVGDSSGKPGRGKWCWVVIASVASTMVGICLVALSFCYWYKKVYGCKESIRTQGRSFEEKSMVRKEMFCFRTADLESLSETMEQYTFVPLDSKVSFDLEQLLKASAFLVGKSGIGIVYKVVLEKGLTVAVRRLEDGGSQRFREFQTAVEAIGKIRHPNIVSLLAYCWCINEKLLIYDYVSNGDLATAIHGRTGMTYFKPLSWSIRLRIMKGLAKGLAFLHECSPKRYVHGNLKTSNILLGENMEPHISDFGLNCFAYTSEESIPVQGEQMTSGTPQQGSPYALTPTHSSMSGSCYEAPESSKVIKPSQKWDVYSFGVILLEIISGKSPIMQMSLSGMDLVRWIQLSIEVKPPSEVLDPFLARDSDKEHEMIAVLKIALACVHASPDKRPSMKNVSENLERLVSST >Potri.005G118500.1.v4.1 pep chromosome:Pop_tri_v4:5:8718841:8720657:1 gene:Potri.005G118500.v4.1 transcript:Potri.005G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118500.v4.1 MFSPDNYLRKPYMKEYVFPCETPSAKSFLQDFNHLDQLHANRSLFGVLTGSNSDSLDVFPYRLSPATHLYECDYKPYTNNNNGLYGRDNFQSGGCLNLPQENLIDMIGPNQSHMSLDLQETKPMNVEVLDGTPCATTKYDYYTKGGLYKNDAILPLTRRTGKTPKKEPINLVKGQWTAEEDSLLIRLVDEFGIRKWSHIAQIFPGRIGKQCRERWHNHLRPDIKKDIWSEEEDRVLIQAHKEIGNKWAEIAKSLPGRTENSIKNHWNATKRRQTCTSKRKSRSKYPKGSLLQEYIKSLNLDSIPKVKRRQRRRRTAKYPDAVLGSSTTMKEPSDEQPQQDLNLCENNDGPVLHYDFDEIPDFDFDETIFQDVCSIDSLLDEIDCDPVVNEKVLAMEVPRADEIPFQDFEMMDEVDLWRSDLKTLGMQ >Potri.003G219550.1.v4.1 pep chromosome:Pop_tri_v4:3:21409405:21411990:-1 gene:Potri.003G219550.v4.1 transcript:Potri.003G219550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G219550.v4.1 MEVAVKSSLTSIHAPISVREARFTKPKLLSFQFHPRPNSSISRMLKKTPSKSKPFATMSSPVPSSVSTESMEQPEAELEAVSQEEKFDWYAQWYPVMPVCDLDKRVPHAKTVMGLDLVVWWDRNESEWKVFDDSCPHRLAPLSEGRIDQWGRLQCVYHGWCFNGSGNCKFIPQAPPDGPPVHTSMKACVAVYPSTVHHGIVWFWPNTDPQYRDIITKKQPPFIPELDDPSFTKTMGNRDFPYGYDVLIENVLDPAHLPYAHYGLVNALKPQVKSDREGGGPIDLSVKKLDSQGFLENQDHFGDTKFIAPCISYASSSPGDAPEKGSMQKRMAYVFICIPVSPGRSRLIWIFPRNFGLWIDKVVPRWIFHLKYNLVFDSDLYLLHMQERKFMDVGPENWQKACFVPTKSDALLVGYRRWFNKYSGGQVDWKGKYCGALPPTSPREQLFDRYRSHVVNCRSCNAAYKGLNALEVILQVASLAFIGVAAATKQNANTMVVMAVVCFAGSRWLARFIFKNFHYHDYNHAFR >Potri.008G033500.1.v4.1 pep chromosome:Pop_tri_v4:8:1817304:1819923:1 gene:Potri.008G033500.v4.1 transcript:Potri.008G033500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033500.v4.1 MLLPQSITTTQTQIRTFLHRKSLVKMVKIEVHIISKELIKPSSPTIQQKKPYKLSLIDQLNPTTYTPAIFFYPMNDASFNNITAKTRIDSLKKSLSETLNLYYPFSGRVKDNLFIDCFSEGVPFLEAQVNCRLSDYLKHHEVESLNHFLPCQPFTKENMNAPISAFQVSIFACGGISLGWSASHKLVDGGTAKAFLSAWASKSRGEHTEAPDFSKASLFFPPRNPLPQNHISLTETLWFTEGNYITRRFVFDPKAIAMLRAKAKAGKPDTKPSRAETLSCFIWKCCMAASKAISDSPKPSILVEAVNLRSRTKPPMSEASIGNIFWWATAVANPTDTNTELHELVNQLNEAIALYDSDYTRTMQGEEGFETMSDYCSQLEGLFSLEKPDIFAFTCWCYLGLTKANFGWGEPIWVGLMGKVGPAFRNLTVLIETKDGNGIEAWVTLDEERMAILERDPEFLAFASPNPKFSSL >Potri.001G459300.1.v4.1 pep chromosome:Pop_tri_v4:1:48522893:48523554:-1 gene:Potri.001G459300.v4.1 transcript:Potri.001G459300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G459300.v4.1 MENLLQLCGRVPQLKGARRFSFDEITKSTDNFSEANHIGSGGYRMVYRGMLPTGQLIAIKRCRQGSVQGGLEFNAEMEVLSRVHHKNVVI >Potri.019G009601.4.v4.1 pep chromosome:Pop_tri_v4:19:1372516:1375628:-1 gene:Potri.019G009601.v4.1 transcript:Potri.019G009601.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009601.v4.1 MATALSDILRVTSSLLMLMLVMFCMGAINLEAQVGSLAPDEVEALLEVATQLGKKGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGTITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPQALTNLTGLKELRLSSNNFTGRIPDFIQSWKQLDILEIQAGGFTGPIPLTISLLTNLTDLKISNLLGDGSEFPNLESIAGITYLLFSNCNLSGNFPIYLTRMARLKIL >Potri.019G009601.1.v4.1 pep chromosome:Pop_tri_v4:19:1367875:1375628:-1 gene:Potri.019G009601.v4.1 transcript:Potri.019G009601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009601.v4.1 MATALSDILRVTSSLLMLMLVMFCMGAINLEAQVGSLAPDEVEALLEVATQLGKKGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGTITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPQALTNLTGLKELRLSSNNFTGRIPDFIQSWKQLDILEIQAGGFTGPIPLTISLLTNLTDLKISNLLGDGSEFPNLESIAGITYLLFSNCNLSGNFPIYLTRMARLKIFEIDLSYNNFTSEAKCRETLNLFKSTWGGNYSKPVECLSACSEERYSVHINCGGPEATIGNTIYEADNEPGGAAKYASKREDWQTSTTGHIWDVPASLDSYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVPCLVNGNYTIKLHFAEIVMRDNRSYYSLGRRIFDVYIQDIVVLKDFDIVKEAGGVDKVYIHNYTAPVTNGALEIHLHWAGKGTTTSPKKGIYGPLISAIDVESDFKPPDKGRRKRFIVAGAVVLPLFLILTLLSTLWWKGYLGGRKSRDRELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTQIAVKQLSAKSKQGNREFVLAAFVAGI >Potri.019G009601.3.v4.1 pep chromosome:Pop_tri_v4:19:1367876:1375583:-1 gene:Potri.019G009601.v4.1 transcript:Potri.019G009601.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009601.v4.1 MATALSDILRVTSSLLMLMLVMFCMGAINLEAQVGSLAPDEVEALLEVATQLGKKGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGTITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPQALTNLTGLKELRLSSNNFTGRIPDFIQSWKQLDILEIQAGGFTGPIPLTISLLTNLTDLKISNLLGDGSEFPNLESIAGITYLLFSNCNLSGNFPIYLTRMARLKIFEIDLSYNNFTSEAKCRETL >Potri.019G009601.2.v4.1 pep chromosome:Pop_tri_v4:19:1368119:1375380:-1 gene:Potri.019G009601.v4.1 transcript:Potri.019G009601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G009601.v4.1 MATALSDILRVTSSLLMLMLVMFCMGAINLEAQVGSLAPDEVEALLEVATQLGKKGWNRNMKLCNDTILPPKPDADNKVVCNCSFPGGVCRVIAIYLKRQDLDGSLPKAIEKLPQLKHLDLWANYLSGNIPSEWANTKLEILSIGVNNLTGKIPSSLGTITTLRSLNVQNNMFSGTVPPELGGLVNLENLTLSANYLTGELPQALTNLTGLKELRLSSNNFTGRIPDFIQSWKQLDILEIQAGGFTGPIPLTISLLTNLTDLKLSLCAAKSIFLTTILLQKQNVEKHCKIDFIFLALPLILKVCFFLHHRSLHNLQNLTLLVLPEICSKVLGEGTIQRYSVHINCGGPEATIGNTIYEADNEPGGAAKYASKREDWQTSTTGHIWDVPASLDSYIAQNKSMLRMDNSVLYTNARLTPLSLTYHVPCLVNGNYTIKLHFAEIVMRDNRSYYSLGRRIFDVYIQDIVVLKDFDIVKEAGGVDKVYIHNYTAPVTNGALEIHLHWAGKGTTTSPKKGIYGPLISAIDVESDFKPPDKGRRKRFIVAGAVVLPLFLILTLLSTLWWKGYLGGRKSRDRELVGLDLLTGIFTFRQIKAATNDFDPANKLGEGGFGCVYKGVLSDGTQIAVKQLSAKSKQGNREFVLAAFVAGTKEIKATKLDWRTRQRICVSIAKGLVFLHEESALKIVHRDIKGTNILLDKDMNAKISDFGMAKLDDEDNTHIDTRVAGTMGYMAPEYALYGYLTYKADVYSFGVVALEIVSGTNNVKFRRDENFVCLLDRVLYLQKNGDIMEMVDPRLGSEFNKKEVVRMINVALLCTNQSPALRPTMSTVVSMLEGKTDVEELVMVPSTLGDPSGFSTALHNKFAQSSVNGSLSETQSLVKSSEGPWTASSSSSVQDLYPISKS >Potri.004G184650.1.v4.1 pep chromosome:Pop_tri_v4:4:19828488:19829994:-1 gene:Potri.004G184650.v4.1 transcript:Potri.004G184650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184650.v4.1 MRAGHRGSRDYVVIDGSPTSAVDTGDQAAKIFRQDFGFKTFACWSNSCYWFPVVREVFSSFHFSNVTLRLSFCLFFFPSVMNSLGNNLKD >Potri.017G083300.1.v4.1 pep chromosome:Pop_tri_v4:17:9552349:9556125:-1 gene:Potri.017G083300.v4.1 transcript:Potri.017G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G083300.v4.1 MATVDQEMNISVESSRFHFVKLFREHYPSGFLRKVVAEVIATYLLVFVTCGAAAISASDEHKVSKLGASVAGGLIVTVMIYAVGHISGAHMNPAVTTAFAAVLNFPWKQVPFYAAAQLTGAISASFTLKVLLHPIRNVGTTSPSGTAVQALIMEIVVTFSMMFITSAVATDTKAVGELAGIAVGSAVCITSILAGPVSGGSMNPARTLGPAIASRYFKGVWVYLLGPVTGTLLGAWSYNLIRVTDKPVQAIPRRFSFGSRRTRAIDEQSPSMGPLDAF >Potri.011G143050.1.v4.1 pep chromosome:Pop_tri_v4:11:17274256:17275501:-1 gene:Potri.011G143050.v4.1 transcript:Potri.011G143050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143050.v4.1 MHTDYVEWKEKRERRRKKMSHEPHYRSTVSTLPTTRKISP >Potri.001G180400.1.v4.1 pep chromosome:Pop_tri_v4:1:15832557:15833602:-1 gene:Potri.001G180400.v4.1 transcript:Potri.001G180400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180400.v4.1 MPKKLQKSLQDYIYKIKNPTQNIQLSSDSFSNSKNWILRGCKHPRTLSFAIAGNQNKSRDEEDEEKGGAATLSDVDRFLFENFRSLYINDDDGNFQKESDRRSRGGDQAPSMNEILIDSPRYIDQPLDLCGSHRFFVERGSSSGSLVEEARSSLTATSENMGSSSSSSSTSVSTTSTLNDDSATVASNDPKQVRLPDDCIAVLTYSPSPYDDFRRSMQEMVEEKLQNNGKVDWDFMEELLLCYLNLNEKTSHKFILSAFVDLIVGLRKNPDKVPVRSRHSRIARSGGRRKLENVT >Potri.009G068200.2.v4.1 pep chromosome:Pop_tri_v4:9:6795538:6796685:-1 gene:Potri.009G068200.v4.1 transcript:Potri.009G068200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068200.v4.1 MALEISNDCFFTSSPTSPRGTKGTSDARYDLESEPTTPKIYEDANPSMDDFEFGNSRLFNIDDIHSGDSMRFDDAMEEQHKHRRQHKESFPAMAFTDELFCHGKVMPLKPPPCHQYPTNGKFGSHSSTPTSPESQIAKIKISFPRRNVWNDDFDPFMAALKTVKGERKGKWQKINHRRARSMSPLRASSDLMGRIYHQCERSGPARPNLHNQQKPDGLPPRIWIPNVTKAGSPKRLAEPKRVLFARKARLVKIDPEWPRKPNKTTLQEPMVKAGDNAEESGEPCERESKRQRMKNFLHGSLSMRRSDEHNNKQTQQTVEVSNQKIKMKLRIKSVGLVAHDEEKGVSKITKVTPTHYMPKLSVHGLWSKMC >Potri.001G210900.1.v4.1 pep chromosome:Pop_tri_v4:1:21548785:21551286:-1 gene:Potri.001G210900.v4.1 transcript:Potri.001G210900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G210900.v4.1 MGVRGKLGLVCILGVLICSCNFFMVSEAVWLNLPASGTKCVSEEIHNNVVVLADYVVVSDNHSHIPSISVKVTSPYGNNLHHKENVTHGQFAFTTHEAGNYLACFWVDGHTQGSGDVSVNIDWKTGIAAKDWDSVARKEKIEGVELELRKLEGAVEAIHENLLYLKSREEELRSVSEVTNTRVALFGIMSLGVCIAVSALQVWHLKRYFQKKKLI >Potri.018G092000.2.v4.1 pep chromosome:Pop_tri_v4:18:11274314:11279065:-1 gene:Potri.018G092000.v4.1 transcript:Potri.018G092000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G092000.v4.1 MPTSDTDFDLSSSPASAFSIGEYTFADVNNLEHCAKYLNQTLVTFGFPASLDLFANDPVSTARTCNCIYSLLQQRQRDVEFRESASEQRQRLLSDISRLEAKVERLESQLQAKDREIATITRTEAKATAAFKAQIEKLQQERDEFQRMVIGNQQVRTQQIHEMKKKEKEYIKLQERLNQVLMEKKKESRSGMEIMNLLQKEGRQRGTWNGKKADNDFYKKIVDACEAKNQELMAENNDLRALLRSMQVDMRDFFNAPNGSSKQSPPANERLVTDPSQSPLGGRTDVFDLPFHMARDQIEESLRTKMSSIKERMVQLQDAQKGAEVTSEATERELELEAQLVEARSIIQEQASIMSKHLAKSERPRESIISSPAEGVRDQRG >Potri.010G145600.1.v4.1 pep chromosome:Pop_tri_v4:10:15705405:15707981:-1 gene:Potri.010G145600.v4.1 transcript:Potri.010G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G145600.v4.1 MKCNSQILFSLIILSICLSTISVTSKYSKSESNSDSYILACGASGAGTDSDSRDWQPDAKHINSSGNSITSTAENQDPSLPSTIPYMTARIFTSESTYKFSVPTKSRLWVRLHFYPSTYSSLDPNNSYFSVTANSFTLLNNFSASITAQALTLAYIIREFSLIPIDSGTLNLTFTPSSKYNDSYAFVNGIEVIPMPDIYQPAALVGFSDQAVDVGSSTLQTMFRLNVGGQFIPANKDSGLTRIWYDDTPYLFGAAGGVTSQASISIQYPTDNLPNSIAPLDVYSTARTMGPDSKVNQKYNLTWVFQVDANFTYAFRFHFCEYQETKVNQRVFDIYVNNRTAQEGGDVIGWAGSQGVPIYKDYAFYVSDRSGDDELWVALNPSVSVKPEYYDAILNGLEIFKLNDSRGNLAGPNPVPSPMMLQAEAKKGFSPSVSSFVPVIGGILGGSAGVAVAVTISIFVYRKRRTDYGSQSGSSANWLPLYGNSHTSASRSTISGKSSCNSHLSTLAQGLCHHFSLPGIKHATKNFDESQVIGVGGFGKVYKGIIDQGIKVAIKRSNPSSEQGVHEFQTEIEMLSKLRHKHLVSLIGFCEEEGEMVLVYDYMANGTLREHLYKSNNPALSWKKRLEICIGAAKGLHYLHTGARHTIIHRDVKTTNILLDEKWVAKVSDFGLSKTGPDLKQTHVSTVIKGSFGYLDPEYFRRQQLTEKSDVYSFGVVLFEVLCGRPALNPSSPKEQVSLADWALHCQRKGTLWDIIDPHIKEDIDPECYNKFAETAVKCLADHGCNRPSMGDVLWNLEFSLQMQDNPAGAKLVADSETKDTYSIHREMAGVEETGVREGNDEPYTSEFFSQIVNPKGR >Potri.014G113500.1.v4.1 pep chromosome:Pop_tri_v4:14:7637287:7643735:-1 gene:Potri.014G113500.v4.1 transcript:Potri.014G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113500.v4.1 MAVENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDSVTKVALNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIIAFVKGWLLTLVMLSSIPLLVIAGAGLAIIIARMASRGQTAYAKAATVVEQAIGSIRTVASFTGEKQAISNYKKFLATAYSSGVQEGFTAGLGLGIVMLLIFCSYALAIWFGGKMILEKGYNGGDVINVIVAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTSGKILDDISGDVELRDVYFTYPARPDEQIFAGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAATELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRNSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVIFPIYGLLLSSVIKTFFEPPDELRKDSKFWALMFMTLGLASFVVYPTQTYLFSVAGCKLIQRIRSMCFEKVVHMEVGWFDEPEHSSGAIGARLSADAATVRALVGDSLSQLVQNIASAVAGLVIAFTASWQLALVILVLLPLIGLNGFVQIKFMKGFSADAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVRHGKTNFADVFRVFFALTMAAIGISQSSSFAPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVAVVGESGSGKSTVISLLQRFYDPDSGHITLDGIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113500.11.v4.1 pep chromosome:Pop_tri_v4:14:7637497:7643730:-1 gene:Potri.014G113500.v4.1 transcript:Potri.014G113500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113500.v4.1 MAVENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDSVTKVALNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIIAFVKGWLLTLVMLSSIPLLVIAGAGLAIIIARMASRGQTAYAKAATVVEQAIGSIRTVASFTGEKQAISNYKKFLATAYSSGVQEGFTAGLGLGIVMLLIFCSYALAIWFGGKMILEKGYNGGDVINVIVAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTSGKILDDISGDVELRDVYFTYPARPDEQIFAGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAATELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRNSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVIFPIYGLLLSSVIKTFFEPPDELRKDSKFWALMFMTLGLASFVVYPTQTYLFSVAGCKLIQRIRSMCFEKVVHMEVGWFDEPEHSSGAIGARLSADAATVRALVGDSLSQLVQNIASAVAGLVIAFTASWQLALVILVLLPLIGLNGFVQIKFMKGFSADAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVRHGKTNFADVFRVFFALTMAAIGISQSSSFAPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVAVVGESGSGKSTVISLLQRFYDPDSGHITLDGIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113500.9.v4.1 pep chromosome:Pop_tri_v4:14:7637342:7643786:-1 gene:Potri.014G113500.v4.1 transcript:Potri.014G113500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113500.v4.1 MAVENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDSVTKVALNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIIAFVKGWLLTLVMLSSIPLLVIAGAGLAIIIARMASRGQTAYAKAATVVEQAIGSIRTVASFTGEKQAISNYKKFLATAYSSGVQEGFTAGLGLGIVMLLIFCSYALAIWFGGKMILEKGYNGGDVINVIVAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTSGKILDDISGDVELRDVYFTYPARPDEQIFAGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAATELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRNSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVIFPIYGLLLSSVIKTFFEPPDELRKDSKFWALMFMTLGLASFVVYPTQTYLFSVAGCKLIQRIRSMCFEKVVHMEVGWFDEPEHSSGAIGARLSADAATVRALVGDSLSQLVQNIASAVAGLVIAFTASWQLALVILVLLPLIGLNGFVQIKFMKGFSADAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVRHGKTNFADVFRVFFALTMAAIGISQSSSFAPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVAVVGESGSGKSTVISLLQRFYDPDSGHITLDGIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113500.13.v4.1 pep chromosome:Pop_tri_v4:14:7637496:7642260:-1 gene:Potri.014G113500.v4.1 transcript:Potri.014G113500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113500.v4.1 MLLIFCSYALAIWFGGKMILEKGYNGGDVINVIVAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTSGKILDDISGDVELRDVYFTYPARPDEQIFAGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAATELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRNSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVIFPIYGLLLSSVIKTFFEPPDELRKDSKFWALMFMTLGLASFVVYPTQTYLFSVAGCKLIQRIRSMCFEKVVHMEVGWFDEPEHSSGAIGARLSADAATVRALVGDSLSQLVQNIASAVAGLVIAFTASWQLALVILVLLPLIGLNGFVQIKFMKGFSADAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVRHGKTNFADVFRVFFALTMAAIGISQSSSFAPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVAVVGESGSGKSTVISLLQRFYDPDSGHITLDGIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113500.10.v4.1 pep chromosome:Pop_tri_v4:14:7637419:7643730:-1 gene:Potri.014G113500.v4.1 transcript:Potri.014G113500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113500.v4.1 MAVENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDSVTKVALNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIIAFVKGWLLTLVMLSSIPLLVIAGAGLAIIIARMASRGQTAYAKAATVVEQAIGSIRTVASFTGEKQAISNYKKFLATAYSSGVQEGFTAGLGLGIVMLLIFCSYALAIWFGGKMILEKGYNGGDVINVIVAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTSGKILDDISGDVELRDVYFTYPARPDEQIFAGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAATELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRNSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVIFPIYGLLLSSVIKTFFEPPDELRKDSKFWALMFMTLGLASFVVYPTQTYLFSVAGCKLIQRIRSMCFEKVVHMEVGWFDEPEHSSGAIGARLSADAATVRALVGDSLSQLVQNIASAVAGLVIAFTASWQLALVILVLLPLIGLNGFVQIKFMKGFSADAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVRHGKTNFADVFRVFFALTMAAIGISQSSSFAPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVAVVGESGSGKSTVISLLQRFYDPDSGHITLDGIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.014G113500.12.v4.1 pep chromosome:Pop_tri_v4:14:7637495:7643485:-1 gene:Potri.014G113500.v4.1 transcript:Potri.014G113500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113500.v4.1 MAVENGRNGDKSMDEASTSKSLEVEEKSSGGRGDQQEPVKSKGDEETKTVPFLKLFSFADSTDILLMILGTIGAVGNGASFPIMSILFGDLVNSFGQNQNNKDVVDSVTKVALNFVYLGIGSAVAAFLQVACWMVTGERQAARIRGTYLKTILKQDVAFFDKETNTGEVVGRMSGDTVLIQDAMGEKVGKFIQLVSTFIGGFIIAFVKGWLLTLVMLSSIPLLVIAGAGLAIIIARMASRGQTAYAKAATVVEQAIGSIRTVASFTGEKQAISNYKKFLATAYSSGVQEGFTAGLGLGIVMLLIFCSYALAIWFGGKMILEKGYNGGDVINVIVAVLTGSMSLGQASPCMSAFAAGQAAAYKMFETINRKPEIDSSDTSGKILDDISGDVELRDVYFTYPARPDEQIFAGFSLFIPSGTTTALVGQSGSGKSTVISLIERFYDPQAGEVLIDGTNLKEFQLKWIREKIGLVSQEPVLFASSIKDNIAYGKDGATTEEIRAATELANAAKFIDKLPQGIDTMVGEHGTQLSGGQKQRIAIARAILKDPRILLLDEATSALDAESERIVQEALDRIMVNRTTVIVAHRLSTVRNADMIAVIYRGKMVEKGSHSELLKDPEGAYSQLIRLQEVNKESKQETEDPKKSALSAESLRQSSQRISLKRSISRGSSGVGHSSRNSLSVSFGLPTGFNVPDNPTSELEVSPQKQQTPDVPISRLAYLNKPEVPVLIAGSIAAILNGVIFPIYGLLLSSVIKTFFEPPDELRKDSKFWALMFMTLGLASFVVYPTQTYLFSVAGCKLIQRIRSMCFEKVVHMEVGWFDEPEHSSGAIGARLSADAATVRALVGDSLSQLVQNIASAVAGLVIAFTASWQLALVILVLLPLIGLNGFVQIKFMKGFSADAKKMYEEASQVANDAVGSIRTVASFCAEEKVMQLYRRKCEGPMRTGIRQGMISGTGFGVSFFLLFSVYATTFYVGAQLVRHGKTNFADVFRVFFALTMAAIGISQSSSFAPDSSKAKGAAASIFAIIDRKSKIDPSDESGTTLDNVKGEIELRHISFKYPSRPDIEIFRDLSLAIHSGKTVAVVGESGSGKSTVISLLQRFYDPDSGHITLDGIDIQSLQLKWLRQQMGLVSQEPVLFNETIRANIAYGKEGNATEAEILAASELANAHKFISGLQQGYDTVVGERGTQLSGGQKQRVAIARAMVKSPKILLLDEATSALDAESERVVQDALDRVMVSRTTVVVAHRLSTIKNADVIAVVKNGVIVEKGKHETLIHIKDGFYASLVALHMSASTS >Potri.004G015500.1.v4.1 pep chromosome:Pop_tri_v4:4:1072822:1077804:-1 gene:Potri.004G015500.v4.1 transcript:Potri.004G015500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015500.v4.1 MGCFSSKEKAPRPDANGCTYRPSTTGYSRQQQPQYHQSQQKAVAPQIQTQSPPRRPQQTQQKTPTRPVPKVDTILGKPFEDIKQLYTLSKELGRGQFGITYLCTENATGHSYACKSILRRKLVNKKDGDDIKREVNIMQHLSGQPNTVEFRGVYEDSQSVHLVMELCAGGELFDRIIAKGHYSERDAAKIFREIVNVVHACHFMGVMHRDLKPENFLLSSKDEGASLKATDFGLSVFIEEGKVYRDIVGSAYYVAPEVLRRSYGKEIDIWSAGVILYILLSGVPPFWAENERGIFDAILQGDIDFESQPWPSITNSAKDLVRRMLTQDPNKRITSAQVLEHPWIKDGGADRPIDSAVLSRMKQFRAMNKLMKLALKVIAENLSEEEIKGLKAMFTNMDTDKSGTITYEELKTGLARLGSKLSEAEVKNLMEAADVDGNGSIDYIEFISATMHRYKLERDEHLYKAFQYFDKDSSGYITRDELELAMKEYGMGDESSIKEIIAEVDADNDGRINYEEFCAMMRSGTPHAPSLY >Potri.012G051200.5.v4.1 pep chromosome:Pop_tri_v4:12:4900636:4906453:1 gene:Potri.012G051200.v4.1 transcript:Potri.012G051200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051200.v4.1 MSGDRRESPPVTAGGNGVVLQDKKTAPVMVPSQRLRLHPNKDHMPESYEDLQLDFSPSVFRSLEKYLPPNMLSDSREEKVKFMRDILLKYLPHGERTRAQKHGEYRQKIISHYKSCKKFLNGHYVPLKRELYSMHPVTFFVPSFMKAINDNTEESLRSIISEPSPGVLTFEMLQPRFCELLVAEVENFEAWVNDTKFRVMRPNTMNKYGAVLDDFGLETMLDKLMDGFIRPISKVFFREVGGATLDSHHGFVVEYGKDWDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQPEEIFDYSHVPGGAVLHLGRHRHGVRATTSGHRINLLLWCRSSVFREIRKYQKDFSSWCGECAREKKGRQRAFIAATKSELLRQDGESVA >Potri.012G051200.1.v4.1 pep chromosome:Pop_tri_v4:12:4900840:4906452:1 gene:Potri.012G051200.v4.1 transcript:Potri.012G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051200.v4.1 MSGDRRESPPVTAGGNGVVLQDKKTAPVMVPSQRLRLHPNKDHMPESYEDLQLDFSPSVFRSLEKYLPPNMLSDSREEKVKFMRDILLKYLPHGERTRAQKHGEYRQKIISHYKPLKRELYSMHPVTFFVPSFMKAINDNTEESLRSIISEPSPGVLTFEMLQPRFCELLVAEVENFEAWVNDTKFRVMRPNTMNKYGAVLDDFGLETMLDKLMDGFIRPISKVFFREVGGATLDSHHGFVVEYGKDWDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQPEEIFDYSHVPGGAVLHLGRHRHGVRATTSGHRINLLLWCRSSVFREIRKYQKDFSSWCGECAREKKGRQRAFIAATKSAGW >Potri.012G051200.4.v4.1 pep chromosome:Pop_tri_v4:12:4900840:4906452:1 gene:Potri.012G051200.v4.1 transcript:Potri.012G051200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051200.v4.1 MSGDRRESPPVTAGGNGVVLQDKKTAPVMVPSQRLRLHPNKDHMPESYEDLQLDFSPSVFRSLEKYLPPNMLSDSREEKVKFMRDILLKYLPHGERTRAQKHGEYRQKIISHYKPLKRELYSMHPVTFFVPSFMKAINDNTEESLRSIISEPSPGVLTFEMLQPRFCELLVAEVENFEAWVNDTKFRVMRPNTMNKYGAVLDDFGLETMLDKLMDGFIRPISKGDAYVLIPDKLHHMFSGSLIFFCILIRQNNTDYMIIFPASLSCAVFFREVGGATLDSHHGFVVEYGKDWDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQPEEIFDYSHVPGGAVLHLGRHRHGVRATTSGHRINLLLWCRSSVFREIRKYQKDFSSWCGECAREKKGRQRAFIAATKSELLRQDGESVA >Potri.012G051200.3.v4.1 pep chromosome:Pop_tri_v4:12:4900636:4906453:1 gene:Potri.012G051200.v4.1 transcript:Potri.012G051200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051200.v4.1 MSGDRRESPPVTAGGNGVVLQDKKTAPVMVPSQRLRLHPNKDHMPESYEDLQLDFSPSVFRSLEKYLPPNMLSDSREEKVKFMRDILLKYLPHGERTRAQKHGEYRQKIISHYKPLKRELYSMHPVTFFVPSFMKAINDNTEESLRSIISEPSPGVLTFEMLQPRFCELLVAEVENFEAWVNDTKFRVMRPNTMNKYGAVLDDFGLETMLDKLMDGFIRPISKVFFREVGGATLDSHHGFVVEYGKDWDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQPEEIFDYSHVPGGAVLHLGRHRHGVRATTSGHRINLLLWCRSSVFREIRKYQKDFSSWCGECAREKKGRQRAFIAATKSELLRQDGESVA >Potri.012G051200.6.v4.1 pep chromosome:Pop_tri_v4:12:4900793:4906452:1 gene:Potri.012G051200.v4.1 transcript:Potri.012G051200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051200.v4.1 MSGDRRESPPVTAGGNGVVLQDKKTAPVMVPSQRLRLHPNKDHMPESYEDLQLDFSPSVFRSLEKYLPPNMLSDSREEKVKFMRDILLKYLPHGERTRAQKHGEYRQKIISHYKPLKRELYSMHPVTFFVPSFMKAINDNTEESLRSIISEPSPGVLTFEMLQPRFCELLVAEVENFEAWVNDTKFRVMRPNTMNKYGAVLDDFGLETMLDKLMDGFIRPISKVFFREVGGATLDSHHGFVVEYGKDWDVDLGACLIRCIPLSTNICEGFLLLSF >Potri.001G143700.1.v4.1 pep chromosome:Pop_tri_v4:1:11829551:11830314:-1 gene:Potri.001G143700.v4.1 transcript:Potri.001G143700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G143700.v4.1 MQAAKEKITNLASVAKERMTICKAKVEEQAELTTARTAEEKELARERRKVKGAQAKMELHQAKAKHAAEKLSSKHHHHHQPPVVGTQPVVGTHANQPVGTGGTMPGTTAPAYPLGGHPPGHGHI >Potri.011G045700.2.v4.1 pep chromosome:Pop_tri_v4:11:3589694:3591205:1 gene:Potri.011G045700.v4.1 transcript:Potri.011G045700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045700.v4.1 MGCCVSTANEPSKLKKQQHSQGGSESLKQTKSPPPSLYQEETVKEVLSEIPKPPQNPIKNPHQETDLQQEEVPKKERIHIDPAFLDEIKIEENKFKNHEKISKEEVHHQVQIFEQDESEVCSLSYSESISTTTTTNNNDRRDYYDDENEVQQRVSRSPLPPRNRVSGELGPRKDRVVGRSPARRTTEQSPSKRNGAMKGGPVRLVQGRETGSGQVGIRRGLRPDPNRRDPGEGSARRSRSPATNRSLMGRSPSTRRTNRSPGRVRKDPNEGGGCSGNKDNGMEAKWPSTNNSDDNGTQNESLENPLVSLECFIFL >Potri.013G093100.1.v4.1 pep chromosome:Pop_tri_v4:13:9560750:9561901:-1 gene:Potri.013G093100.v4.1 transcript:Potri.013G093100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G093100.v4.1 MDFSNIVRAQNQVREQKGMASHLTNNHVIIADILSRLPVKALSRFNCVCKLWYCMINSDPGFQALHHSRSWRNPRFLFRLSDFDFNPLEHLGYRYAYNFVSTDTEGKNICLMQIKVHEPVKLILPGCFGLLVFSTDTRIHVCNPSTRRILALPDYKSKIAGFGVGYLSSIRRHKIVRLIPRRPSSLHLECSVFTLAPGEEGFSWRVLNDQCPYLVDQFSLPAFANETIYWKIDRQQALNRHNDFIVSFNIRYEKFMTITHPADWIPTSNLDWRSPIRNSTQLVELRGTLCMIQTLASSHVAVWKLADHKNSMWVKICMIDTSRIHPNFVGEVQCIKDGEIIFNSASNHLLYYDVRKKTFRKKMLPHSAENLTSYCESLTSLTR >Potri.013G058500.1.v4.1 pep chromosome:Pop_tri_v4:13:4273651:4278446:1 gene:Potri.013G058500.v4.1 transcript:Potri.013G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058500.v4.1 MGFSMQPYGMQSMLKEGHKHLSGLDEAVLKNIDACKQLSAITRTSLGPNGMNKMVINHLDKLFVTNDAATIVNELEVQHPAAKILVLAGKAQQEEIGDGANLTISFAGEMLQNADELIRMGLHPSEIISGYNKAINKAIEILSELVEPGSENMDVRNKEQVICRMKAAVASKQFGQEDILCNLIADACIQVCPKNPVNFNVDNVRVAKLVGGGLINSSIVRGMVLKGDTVGTIKRVEKAKVAVFAGGVDTSATETKGTVLIHSAEQLENYAKTEEAKVEELIKAVAETGAKVIVSGAAVGEMALHFCERYKLMVLKISSKFELRRFCRTTGAVAILKLSPPSPDDLGCVDSISVEEIGGARVTIVRNEEGGNSVCTVVLRGSTDSILDDLERAVDDGVNTYKAMCRDSRIIPGGAATEIELAKRLREFSFKETGLDQYAIAKFAESFEMIPKTLAENAGLNAMEIISSLYAEHASGNTKVGIDLEEGVFKDVSTTNIWDLYVTKFFALKYAADAACTVLRVDQIIMAKPAGGPRRDAAPGGMDED >Potri.004G038200.1.v4.1 pep chromosome:Pop_tri_v4:4:3054526:3055308:-1 gene:Potri.004G038200.v4.1 transcript:Potri.004G038200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038200.v4.1 MQQRSASAAKNLCRKILNHHNQIQSLKRPPCDVFINHRCIDTKRTISGLLFDHLSRLRLHPFLDSKNMRPGDKLFDNIDRAIHECKVGVAVFSPRYCDSYFCLHELALLMETKKRVIPIFCDVKPSQLHVKDNGLCPGEELQRFTYALEEAKYTVGLTFDTLEGNWSQFLTTAMDAVVHNLIEVDAEAKRTSTVHIS >Potri.002G100300.3.v4.1 pep chromosome:Pop_tri_v4:2:7316594:7320504:1 gene:Potri.002G100300.v4.1 transcript:Potri.002G100300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100300.v4.1 MKGKLEISERQKLIEEGKNLKEGLATLEEDLVKLTDELQLEAQCIPNLTHPLVPIGGEDSSTVRKMAGTPRDFGFPVKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLSEVMKKGFTPLTTPELVRSSVVEKCGFQPRGDNTQVYSIEGSDQCLIGTAEIPVGGIHMDTILAETQLPLKYVAYSHCFRTEAGAAGTATRGLYRVHQFTKVEMFVLCRPEESDSYHEELIKIEEDLFSALGLHYKTLDMASGDLGAPAYRKFDVEAWMPGLGRYGEISSASNCIDYQSRRLGIRYRPSEPTPAPPKKGKGSLAPTQFVHTLNATACAVPRMIVCLLENYQQEDGSVIIPGPLRAFMGGLELITPKST >Potri.002G100300.2.v4.1 pep chromosome:Pop_tri_v4:2:7316660:7320678:1 gene:Potri.002G100300.v4.1 transcript:Potri.002G100300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G100300.v4.1 MGLQCYFGGTTIQTLKLAAIPASSRFILKPISKTLVLNPFSRHNYVICPQRNPFKLLVRALSSTAVQTAPITESLDNKVVKPQWKAAIDFKWIRDNKDAVALNIKNRNSNANLELVLELYEKMLAVQKEVERLRAERNAVANKMKGKLEISERQKLIEEGKNLKEGLATLEEDLVKLTDELQLEAQCIPNLTHPLVPIGGEDSSTVRKMAGTPRDFGFPVKDHLQLGKELDLFDFDAAAEVSGSKFYYLKNEAVMLEMALVNWTLSEVMKKGFTPLTTPELVRSSVVEKCGFQPRGDNTQVYSIEGSDQCLIGTAEIPVGGIHMDTILAETQLPLKYVAYSHCFRTEAGAAGTATRGLYRVHQFTKVEMFVLCRPEESDSYHEELIKIEEDLFSALGLHYKTLDMASGDLGAPAYRKFDVEAWMPGLGRYGEISSASNCIDYQSRRLGIRYRPSEPTPAPPKKGKGSLAPTQFVHTLNATACAVPRMIVCLLENYQQEDGSVIIPGPLRAFMGGLELITPKST >Potri.012G024801.1.v4.1 pep chromosome:Pop_tri_v4:12:2433262:2434015:-1 gene:Potri.012G024801.v4.1 transcript:Potri.012G024801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024801.v4.1 MLHDSDQVSGAQQTIPNTLKLHLLHITLVLAKTWSLTKPPLILYLCLKYSNLLGLQFFLGGLLGLCCQI >Potri.002G023700.1.v4.1 pep chromosome:Pop_tri_v4:2:1475415:1479073:1 gene:Potri.002G023700.v4.1 transcript:Potri.002G023700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023700.v4.1 MLYLIGLGLGDEKDITLRGLEAVKKCEKVYMEAYTSLLSFGLSTDGLSTLEKLYGKPITVADREMVEEKVDSVLSEATSFDVAFLVVGDPFGATTHTDLVVRAKELGVEVKVIHNASVMNAVGICGLQLYRYGETISIPFFTDTWKPDSFYEKIQRNRELGLHTLCLLDIKVKEPTWESLCRGKKLYEPPRFMTINTAIEQLLEIEQNRGESAYNEDTNCVGLARLGSEDQKIVAGTMRQLLAVDFGAPLHCLVIVGTTHPIEEEMLNMYKLKGESIDHKDHRTA >Potri.001G225200.2.v4.1 pep chromosome:Pop_tri_v4:1:24304628:24309741:-1 gene:Potri.001G225200.v4.1 transcript:Potri.001G225200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225200.v4.1 MSPASKSKSKDKKAGKEPQKASSKPSGPANAGSGISASAYNPLSGTFHTIETVPTSSASPLHNNSRFRNIDETDDHLGGSLGAGVEYDSVSNNGSWSGESEDHKEKTSNHPVRQETIPGTENDKREKIRQKNEKKHQRQKERRAHELHERCTGYLMSRKLEALAQQLVAMGFLHERATMALILNEGKLEESVTWLFEEGEHADKHRDQNLGGGTLKIDISEELARIVEMEIRYKCTKQEVERAVVASEGDLEKAVESLRQLKLDPPSSPPKPDENGDPPTSSNDKHSLAGSQNMVRPQPKLNPTSMIQQRRDDKDFNYTKAAVLVAGSLESGSKNVQSLKRIQPKSEWPRPQPTPTPTDKRWSSVGSNPSVSHSLASPLHGPPPPAKTETSYVAVGSEYKSLQPGTIREPVIMMQRPHSVNSKQVPTASISSSPPGTATGWYPTNSADIMKSNGLMPHIPSTRSPSPNIPSSNQMFHQFHYQQPQYFVPSSGPGDSPGTSKVNGLWSRAGASPSLAAASSLGLFSGLGSTGSSGATSPVDWSTSGSMEQLDYTSIDWSLNRGLSSPRPGGLWLGPSLKSSAQAYVSTGASGFGARLAMRAAPSSNGIPIPGLRDGGVANSETSTSGSHEWTSPFEGNDIFGLSRQFVSSPSL >Potri.001G225200.1.v4.1 pep chromosome:Pop_tri_v4:1:24304495:24309797:-1 gene:Potri.001G225200.v4.1 transcript:Potri.001G225200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225200.v4.1 MSPASKSKSKDKKAGKEPQKASSKPSGPANAGSGISASAYNPLSGTFHTIETVPTSSASPLHNNSRFRNIDETDDHLGGSLGAGVEYDSVSNNGSWSGESEDHKEKTSNHPVRQETIPGTENDKREKIRQKNEKKHQRQKERRAHELHERCTGYLMSRKLEALAQQLVAMGFLHERATMALILNEGKLEESVTWLFEEGEHADKHRDQNLGGGTLKIDISEELARIVEMEIRYKCTKQEVERAVVASEGDLEKAVESLRQLKLDPPSSPPKPDENGDPPTSSNDKHSLAGSQNMVRPQPKLNPTSMIQQRRDDKDFNYTKAAVLVAGSLESGSKNVQSLKRIQPKSEWPRPQPTPTPTDKRWSSVGSNPSVSHSLASPLHGPPPPAKTETSYVAVGSEYKSLQPGTIREPVIMMQRPHSVNSKQVPTASISSSPPGTATGWYPTNSADIMKSNGLMPHIPSTRSPSPNIPSSNQMFHQFHYQQPQYFVPSSGPGDSPGTSKVNGLWSRAGASPSLAAASSLGLFSGLGSTGSSGATSPVDWSTSGSMEQLDYTSIDWSLNRGLSSPRPGGLWLGPSLKSSAQAYVSTGASGFGARLAMRAAPSSNGIPIPGLRDGGVANSETSTSGSHEWTSPFEGNDIFGLSRQFVSSPSL >Potri.013G154400.1.v4.1 pep chromosome:Pop_tri_v4:13:14979731:14981568:1 gene:Potri.013G154400.v4.1 transcript:Potri.013G154400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154400.v4.1 MALSKIFYGLCFSMLLLGLVHAQLSTTFYATTCPKALSTIRTAVLKAVVKEHRMGASLLRLHFHDCFVNGCDASVLLDDTSSFTGEKTAGPNANSLRGYDVIDTIKSQLESICPGVVSCADILAVAARDSVVALSGPSWTVQLGRRDSTTASLGAANSDLPSPLMDLSDLITSFSNKGFTAKEMVALSGSHTIGQARCLLFRNRVYNETSLDSTLATSLKSNCPNTGSDDSLSSLDATTPVTFDNSYFKNLANNKGLLHSDQQLFSGGTTDSQVKTYSINSATFYADFASAMVKMGSISPLTGSDGQIRTNCAKVN >Potri.006G140500.3.v4.1 pep chromosome:Pop_tri_v4:6:11779560:11785754:-1 gene:Potri.006G140500.v4.1 transcript:Potri.006G140500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140500.v4.1 MIPCVSACSGFLLACLLAFTLQIFYFSPLSPDLLELPPASALPTNKHLQEVTKLGEGFLDRPEDVVVDRDGILYTAVRDGWIKRMHKNGSWENWKKIDSDALLGIATSKEGGLIVCDAEKGLLKVSEDGVVVLATHINDGSKIRFADEVIESSDGSLYFSVASTKFGFHDWYLDVLEAKPHGQLLKYDPSLNETSILLDGLCFPNGVALSREEDYLVFCETWKYRCQKYWLKGIDKGKTEIFIDNLPGGPDNIYLAPDGSFWIAVLQVASKGLEFVHRLKPSKHLVASFPKLVNLVIGVKRKATVVNVAADGKITRKFDDPDGKVMSFVDGDTEPETLVTRAYNYRRWRIAQTIEKLGFSLCFWA >Potri.018G118200.1.v4.1 pep chromosome:Pop_tri_v4:18:13377666:13382069:-1 gene:Potri.018G118200.v4.1 transcript:Potri.018G118200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G118200.v4.1 MRFLWLIVVLYTVCNHVLADQIFPGHVGATFRSSREPKYQIDFHSEDSPFLPDDDQESIVIPNKNGENYLCFLPKVEKAKSEKPITQLNISSMIVETEERVKLKTPDELLEVLKGSCFVRQEGWWSYELCYQNKIRQFHVEDEKEKAVQEFILGVYDEEATAAFNQNLSDISTLKDHRSKDASQRYHAHQYTNGTICDLTNEPRETEVRFVCSEPRAMISSVIELSTCKYALTVQSPMLCKHPLFQEERPVWHTINCNLLPKDYKEAKPDEVETEDEQIFMVSDVESSNYDSDE >Potri.010G108100.1.v4.1 pep chromosome:Pop_tri_v4:10:12890879:12893043:-1 gene:Potri.010G108100.v4.1 transcript:Potri.010G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108100.v4.1 MSRILTITTLISLLTITVLTAPATSSTDSFVFGGCSQLKYTPGSPYESNVNLLLTSLVSSAAFTTYNNFTIKSPTPQDTLYGLFQCRGDLSNGDCASCVARAVSQLGTLCLDSSGGALQLEGCFVKYDNTTFLGVEDKTEVLHKCGPLIGYDSDELNRRDAVLGYLGTSDGSYRPFRVGGSGDVSSVAQCVQDLSASECQDCLSEAIGRLKTVCGPAVWGDLYLAKCFVRFSKAGASSNGGNGHDNSGNDEVEKTLAILIGLIAAVALLIVFLSFFRKVCERERGCK >Potri.017G016100.1.v4.1 pep chromosome:Pop_tri_v4:17:1179244:1182920:1 gene:Potri.017G016100.v4.1 transcript:Potri.017G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016100.v4.1 MGVDYYKVLQVDKTAKDDDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYDVLSDPQKRAVYDQYGEEGLKGQVPPPGAGGAGPGASFFSTGDGPTSFRFNPRNADDIFAEFFGFSHPFGGMGGGGGGGVGGMRGARFPGGMFGEDIFKSYGEGGGSMHQGVPRKAAPVENKLRCSLEELYKGASKRMKISREIVDPSGKPEQVEEILTIDIKPGWKKGTKITFPEKGNEMPNVIPADVVFIIDEKPHPIFSRDGNDLILTQKISLAEALTGYTVNLTTLDGRNLTIPINTVIHPNYEEVVPKEGMPIPKDPTKRGNLRIKFSVKFPTRLTAEQKAGIKTLMGP >Potri.015G033200.1.v4.1 pep chromosome:Pop_tri_v4:15:2609374:2615808:-1 gene:Potri.015G033200.v4.1 transcript:Potri.015G033200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033200.v4.1 MATAIVTPSALSPLPSSISSAPQYSFSVRSSIWPKNILYSPLRCSSHASFSPKSQDGPFDRDLRSVLELATDSELYELENILFGPSHFSPLLKSIASKRAEIDYAMMDQDMEEREDMISCLESRFFFLAADARSTLRGWRPTYRNVLLTVRKKLSIGCSSKLSTEDLEAEIFLHLLEEYASEQSGTFPGLWELSKTSDDQGSLGIGLSQEKVQALAAQKLGAADLQSIILKGGGVFTLTRIYQWLAKKLTGKVFLEAANYQIKKEIIKKGGQLAAINLESRAALLVAKQGFVGAASRYLGLRSMMSLLGPMLWGTFLADVVIQMLGTDYARILRAIYAFAQIRITRTCRLPCDND >Potri.015G033200.3.v4.1 pep chromosome:Pop_tri_v4:15:2609031:2615796:-1 gene:Potri.015G033200.v4.1 transcript:Potri.015G033200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033200.v4.1 MATAIVTPSALSPLPSSISSAPQYSFSVRSSIWPKNILYSPLRCSSHASFSPKSQDGPFDRDLRSVLELATDSELYELENILFGPSHFSPLLKSIASKRAEIDYAMMDQDMEEREDMISCLESRFFFLAADARSTLRGWRPTYRNVLLTVRKKLSIGCSSKLSTEDLEAEIFLHLLEEYASEQSGTFPGLWELSKTSDDQGSLGIGLSQEKVQALAAQKLGAADLQSIILKGGGVFTLTRIYQWLAKKLTGKVFLEAANYQIKKEIIKKVHDWQYYYVVVEYI >Potri.005G202100.1.v4.1 pep chromosome:Pop_tri_v4:5:20762092:20766531:-1 gene:Potri.005G202100.v4.1 transcript:Potri.005G202100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202100.v4.1 MEVDKVETQNQQQKHKQVHLFYCLESEELARKVAGHSDLITLQSINWRNFDDGFPNLFINNAEDLRGQHVAFLACFSSPGVIFEQLSVIYALPRLFAASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDQVLPLFVTGIPLLKQRLHQLPESDKIAVAFPDDGAWKRFHKLLDHFPMVVCVKVREGDERIVRIKEGNPAGYHVVIVDDLVQSGGTLVECQKVLAAHGAAKVSAYVTHGVFPKRSWERFTHKNEAGMEDAFAYFWITDSCPHTVKAIANKRPFEVLSLAGSIADALQI >Potri.005G202100.3.v4.1 pep chromosome:Pop_tri_v4:5:20763019:20766528:-1 gene:Potri.005G202100.v4.1 transcript:Potri.005G202100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202100.v4.1 MEVDKVETQNQQQKHKQVHLFYCLESEELARKVAGHSDLITLQSINWRNFDDGFPNLFINNAEDLRGQHVAFLACFSSPGVIFEQLSVIYALPRLFAASFTLVLPFFPTGSFERMEEEGDVATAFTMARILSNIPISRGGPTSLVIYDIHALQERFYFGDQVLPLFVTGIPLLKQRLHQLPESDKIAVAFPDDGAWKRFHKLLDHFPMVVCVKVREGDERIVRIKEGNPAGYHVVIVDDLVQSGGTLVECQKVLAAHGAAKVSAYVTHGVFPKRSWERFTHKNEGMEDAFAYFWITDSCPHTVKAIANKRPFEVLSLAGSIADALQI >Potri.015G102301.1.v4.1 pep chromosome:Pop_tri_v4:15:12148702:12151515:-1 gene:Potri.015G102301.v4.1 transcript:Potri.015G102301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G102301.v4.1 MGVVVEFKAGVKFVAAGLYFPLNPCHITSENVFSLLECIRILLKEKDYSFPDTFLKNVRREWLKTHVGYRTPDNCCLFDSKWGLYLKSTDGPFIDEVFYGSNITSYREELSSIGVTVEVEEACPLLASNLYHHSDFSTIVRIFKFLSRNEWMPESDATRKIWIPDGHENGKWVNPEECVLRNRDGLFGQQFNFLEEYYEPDLLCFFSTAFNVTSYPSFDSHCKLWEVWETSGYQLSHAQCCAFWECVMSQWSSEVETTLADGLMKLPVHSGFGEILLLDKNDVFVADDLLLKELFEKLSPRPVLVWYPQPSLPSLPRSKLLEIYRKIGVRTISESARKEELSLAHGVKLKPLNPRVLFIGKEMVRLILGFLADPSLKMQVKKRHEAVQCLLNITALVTAEPHTVSYSLSLSSGEIVKVRASRMIRWDRKSSKIYTQKPDKAGGPKVRIEYATYLAEAIAEGVLWDKEDHISALSELIKLAVLVSFNEEAVQFLMKSKNLQSFGEDEDFLSAAFP >Potri.002G165900.2.v4.1 pep chromosome:Pop_tri_v4:2:12685846:12690298:1 gene:Potri.002G165900.v4.1 transcript:Potri.002G165900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165900.v4.1 MMELDQTRPENPAMTFDEVSMERSKSFIKALQELKNLRPQLYSAAEYCEKSYLHSEQKQTVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTFDVSTMEVKVSCLNQQFLTCQTYTVKGGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPQIQTDARQNHFQARSRLQLSGSPASKTLSWHLVSETKSTLKGTLHAMTSNEDAKASGKSSAVFQLLDEEESRKTRSSGGLAQLSSRGPAAGAIMPTFDVPRRVMPKSARTQIHPIFFQVQT >Potri.002G165900.1.v4.1 pep chromosome:Pop_tri_v4:2:12685710:12690605:1 gene:Potri.002G165900.v4.1 transcript:Potri.002G165900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G165900.v4.1 MMELDQTRPENPAMTFDEVSMERSKSFIKALQELKNLRPQLYSAAEYCEKSYLHSEQKQTVLDNLKDYAVRALVNAVDHLGTVAYKLTDLLEQQTFDVSTMEVKVSCLNQQFLTCQTYTVKGGLRQQQLLAFIPRHHKHYILPNSVNKKVHFSPQIQTDARQNHFQARSRLQLSGSPASKTLSWHLVSETKSTLKGTLHAMTSNEDAKASGKSSAVFQLLDEEESRKTRSSGGLAQLSSRGPAAGAIMPTFDVPRRELLDTSKPLTAFRSFDNPRHEIVRAPVRSKSMLSAFFVKQKTPKLKAGSAS >Potri.010G211300.1.v4.1 pep chromosome:Pop_tri_v4:10:19993774:19997452:1 gene:Potri.010G211300.v4.1 transcript:Potri.010G211300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MTP11.1 MLEPVNNENEEELSLLSPNRNGDGSWRLNFNCYQLSPEHKEKKPPRGIHDCYGVLGPEDDVAEFYQQQVEMLKGFNEMDALAERGFIPGMSEEEKEILARSETFAIRISNFANMVLFAAKVYASVRSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESVRALHSDENDFNLSKEQERWVVGIMLSVTLVKLVLMVYCRSFTNEIVKAYAQDHFFDVITNIIGLIAALMANYMEEWMDPVGAIILALYTIRTWSMTVLENVNSLVGKSATPDYLQKLTYLCWNHHRAIRHIDTVRAYTFGSHYFVEVDIVLPSSMPLQEAHDIGESLQEKLELLPEIERAFVHLDYEYTHKPEHAQSHS >Potri.001G417300.1.v4.1 pep chromosome:Pop_tri_v4:1:44554594:44558567:-1 gene:Potri.001G417300.v4.1 transcript:Potri.001G417300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G417300.v4.1 MAKIALGCEPVVGSLTPSKKREYRLTNRLQEGKRPLYAVVFNFIDSRYFNVFATVGGNRVTVYQCLDEGVIAVLQSYVDEDKDESFYTVSWACNIDGAPFVVAGGINGIMRVINASNEEIHKSFVGHGDSINEIRTQPLKPSLVISASKDESVRLWNVHTGICILIFAGAGGHRNEVLSVDFHPSDIYRIASCGMDNTVKIWSMKEFWTYVEKSFTWTDCPSKFPTKYVQFPVFIASVHSNYVDCNRWLGDFMLSKSVDNEIVLWEPKMKEQSPGEGTADILQKYPVPECDIWFIKFSCDFNYNAAAIGNREGKIYVWELQSSPPVLIARLSHAQSKSPVRQTAMSFDGSTILSCCEDGSIWRWDSIPPPS >Potri.015G103400.1.v4.1 pep chromosome:Pop_tri_v4:15:12228764:12232176:-1 gene:Potri.015G103400.v4.1 transcript:Potri.015G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G103400.v4.1 MIDFARVQKELQECSRDMEASGIKVAPKSDNLGRLTGTIPGPIGTPYEGGTFQIDITLPDGYPFEPPKMQFATKVWHPNISSQSGAICLDILKDQWSPALTLKTALLSVQALLSAPEPDDPQDAVVAQQYLRDYQTFVGTARYWTETFAKTSSLGVEEKVQKLVEMGFPESLARGALESVGGDENLALEKLCSG >Potri.005G005400.11.v4.1 pep chromosome:Pop_tri_v4:5:404352:408927:-1 gene:Potri.005G005400.v4.1 transcript:Potri.005G005400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005400.v4.1 MGSVGVAPSSGLREASAHNAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYSKLNQKMPLIYVKLYTYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDQNTRLPNGRFLPPLFNFKSHELKGVSVETLVKLIPEHARKQCPFLGL >Potri.005G005400.13.v4.1 pep chromosome:Pop_tri_v4:5:404332:408893:-1 gene:Potri.005G005400.v4.1 transcript:Potri.005G005400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005400.v4.1 MGSVGVAPSSGLREASAHNAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYSKLNQKMPLIYVKLYTYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDQNTRLPNGRFLPPLFNFKSHELKGVSVETLVKLIPEHARKQCPFLGL >Potri.005G005400.4.v4.1 pep chromosome:Pop_tri_v4:5:404350:409037:-1 gene:Potri.005G005400.v4.1 transcript:Potri.005G005400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005400.v4.1 MGSVGVAPSSGLREASAHNAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYSKLNQKMPLIYVKLYTYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDQNTRLPNGRFLPPLFNFKSHELKGVSVETLVKLIPEHARKQCPFLGL >Potri.005G005400.14.v4.1 pep chromosome:Pop_tri_v4:5:404349:408893:-1 gene:Potri.005G005400.v4.1 transcript:Potri.005G005400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005400.v4.1 MGSVGVAPSSGLREASAHNAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYSKLNQKMPLIYVKLYTYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDQNTRLPNGRFLPPLFNFKSHELKGVSVETLVKLIPEHARKQCPFLGL >Potri.005G005400.5.v4.1 pep chromosome:Pop_tri_v4:5:404349:409071:-1 gene:Potri.005G005400.v4.1 transcript:Potri.005G005400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005400.v4.1 MGSVGVAPSSGLREASAHNAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYSKLNQKMPLIYVKLYTYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDQNTRLPNGRFLPPLFNFKSHELKGVSVETLVKLIPEHARKQCPFLGL >Potri.005G005400.12.v4.1 pep chromosome:Pop_tri_v4:5:404331:408913:-1 gene:Potri.005G005400.v4.1 transcript:Potri.005G005400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005400.v4.1 MGSVGVAPSSGLREASAHNAGVDKLPEEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETVHRVIKHYSKLNQKMPLIYVKLYTYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSVGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDQNTRLPNGRFLPPLFNFKSHELKGVSVETLVKLIPEHARKQCPFLGL >Potri.012G067600.2.v4.1 pep chromosome:Pop_tri_v4:12:8780759:8784860:-1 gene:Potri.012G067600.v4.1 transcript:Potri.012G067600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067600.v4.1 MGRFTQLKFLVLHGNSYTGGLYSSGILKLANLVRLDLSNNNFTGPLPVEISEMHSLKFLILAYNRFNITIPQEYGNFQNLQALDLSFNNLTGQIPSSLGKLRSLLWLMLANNKLTGEIPPELGNCSSLLWLNLANNQLSGSIPHELMNVGRDPTPTFESNKQDEGIIAGSGECLTMKRWIPADYPPFSFVYTILNRKTCRSIWDRLLKGVGLFPVCAAGSTVRTFQISGYLQLSGNQLSGEVPGDIGKMQSFSMLHLGFNELNGRLPPQIGKLPLVVLNLTKNKFSGEIPNEIGNTKCLQNLDLSYNNFSGTFPVSLNNLSEVSKFNISYNPLISGTVPTTGQMATFEKESYLGDPLLKLPNFIINSMDPPPNEYPKIKKKENKKWVAVLVLLTMTMAFLICGLVSLFVCMLVKSPPESPRYLFEDTKYRQHDFESSSGSSSPCFSDTVKVIRLDRTAFTHADILKATDSFSESRIIGKGGFGTVYRGVLPDGREVAIKKLQREGIEGEKEFRAEMEVLTGNGFGWPHPNLVALYGWCLYGAEKILVYEYMEGGSLEDVISDRMRLPWRRRIDIAIDVGQALVYLHHECSLAIVHRDVKASNVLLDKDGRARVTDFGLARFVDVGDSHVSTTVAGTIGYVAPEYGQSLHATTKGDVYSFGVLAMELATGRRAVDGGEECLLEWARRVMGSWRYGFSRAMIPVVLLGSGLVEEAEEMFELLKIGIRCTAEAPQSRPNMKEVLAMLIKLSCSRPGRGEISFLSQADFQDEMR >Potri.002G040700.1.v4.1 pep chromosome:Pop_tri_v4:2:2656544:2658536:-1 gene:Potri.002G040700.v4.1 transcript:Potri.002G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G040700.v4.1 MEVMPPNAVSSYLEDGSSSYDKAKEVRAFSETKAGVKGLVDSGVTKIPRFFVHPPEYVQNPSSETSSDIGLQIPVVDFEGFGGCRRQEVVDEARKALETWGFFQMVNHGIPVSVLDEMLAGVKRFHEQPQDKKMEFYTHDYKKPVRFFSNGDLLVNRGPACWRDTVAFDFKDSKLDPELFPDIVRNEVRNYITQMIKMKKTICELISEALGLHSDYLSSIECMETEIILGHYYPTCPEPDLTVGTTMHTDPCFLTLLLQDNMGGLQVRNQNQWVDVPTLQGALVVNLGDFMQLITNDRFKSVEHRVLVGQVGSRTSVACLFYPGTANYNSKPYGAIKELLSDNNPPRYRETNMAEYMAYVRSRALDCSSNLSHFKLA >Potri.011G114300.2.v4.1 pep chromosome:Pop_tri_v4:11:14456382:14460426:1 gene:Potri.011G114300.v4.1 transcript:Potri.011G114300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114300.v4.1 MALSHSSISLTTTLTSISSKTQFVISPKTNPFSLDSSCFKLYKRSSFSVRAMGSSASSSQKPDNTQGDVNYASVSDGEWKKKLTAEEFYVTRQKGTERAFSGEYWNTKTPGTYHCICCNTPLFESSTKFDSGTGWPSYYQPIGNNVKSKLDLSIIFMPRQEVLCAACDAHLGHVFDDGPPPTGKRYCINSASLKLNPK >Potri.011G114300.6.v4.1 pep chromosome:Pop_tri_v4:11:14456382:14460196:1 gene:Potri.011G114300.v4.1 transcript:Potri.011G114300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G114300.v4.1 MALSHSSISLTTTLTSISSKTQFVISPKTNPFSLDSSCFKLYKRSSFSVRAMGSSASSSQKPDNTQGDVNYASVSDGEWKKKLTAEEFYVTRQKGTERAFSGEYWNTKTPGTYHCICCNTPLFESSTKFDSGTGWPSYYQPIGNNVKSKLDLSIIFMPRQEVLCAACDAHLGHVFDDGPPPTGKRYCINRYVFLPVRSS >Potri.001G036800.4.v4.1 pep chromosome:Pop_tri_v4:1:2680121:2684580:1 gene:Potri.001G036800.v4.1 transcript:Potri.001G036800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036800.v4.1 MVMEGGGSGNNSTPIIDKTRVLDVEPLRTLVPVFPSSSKAPPFGPYSSGFAPFYPFSAPQGSQATPDLNQQTHTTPAAPLRSFRATESNGDAFDGEYESYDGSTGSAKRRPKSSSQKRARKIQDLDFTLSVDENNFVVGVSLSERDDGNREVVHSIQMRFDALRRRLSQLEDAKESPAGIIRRADLKAGNILMTKQVRTNTRKRIGTVPGVEIGDIFFFRMEMCLLGLHAPSMAGIDYMSVRNDLEEEPLAVSIVSSGYYDDDAEDKDVLIYSGQGGAANKDKGATDQKLERGNLALERSLRRGNEVRVIRGMKDSVNQASKVYVYDGLFRIQESWVEKAKSGCNIFKYKLVRIPGQPDAFGVWKSIEKWREGLSSRAGLILPDLTSGAESVPVALVNDVDEEKGPAYFTYVSTVKYSKSFKLTQPAYGCNCRNACQPGNLNCSCIRKNEGNFPYTANGVLVCRAPMIHECGPTCPCFPNCKNRASQTGLKARLEVFKTKDRGWGLRSWDSFRAGTFICEYAGEVIEKVSQVGEGEGDGYVFDTSHVYESFKWNYEPGLVEEDGSIEAIEEPNVPSPLVISSKNVGNVARFMNHSCYPNVFWQPIMYENNNESFIHIAFFAMRHIPPMTELTFDYGKSCSGEAAADGGSTSRGRRKCLCGAPICRGYFG >Potri.001G036800.2.v4.1 pep chromosome:Pop_tri_v4:1:2680113:2684586:1 gene:Potri.001G036800.v4.1 transcript:Potri.001G036800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036800.v4.1 MVMEGGGSGNNSTPIIDKTRVLDVEPLRTLVPVFPSSSKAPPFGPYSSGFAPFYPFSAPQGSQATPDLNQQTHTTPAAPLRSFRATESNGDAFDGEYESYDGSTGSAKRRPKSSSQKRARKIQDLDFTLSVDENNFVVGVSLSERDDGNREVVHSIQMRFDALRRRLSQLEDAKESPAGIIRRADLKAGNILMTKQVRTNTRKRIGTVPGVEIGDIFFFRMEMCLLGLHAPSMAGIDYMSVRNDLEEEPLAVSIVSSGYYDDDAEDKDVLIYSGQGGAANKDKGATDQKLERGNLALERSLRRGNEVRVIRGMKDSVNQASKVYVYDGLFRIQESWVEKAKSGCNIFKYKLVRIPGQPDAFGVWKSIEKWREGLSSRAGLILPDLTSGAESVPVALVNDVDEEKGPAYFTYVSTVKYSKSFKLTQPAYGCNCRNACQPGNLNCSCIRKNEGNFPYTANGVLVCRAPMIHECGPTCPCFPNCKNRASQTGLKARLEVFKTKDRGWGLRSWDSFRAGTFICEYAGEVIEKVSQVGEGEGDGYVFDTSHVYESFKWNYEPGLVEEDGSIEAIEEPNVPSPLVISSKNVGNVARFMNHSCYPNVFWQPIMYENNNESFIHIAFFAMRHIPPMTELTFDYGKSCSGEAAADGGSTSRGRRKCLCGAPICRGYFG >Potri.009G054000.8.v4.1 pep chromosome:Pop_tri_v4:9:5809671:5812662:-1 gene:Potri.009G054000.v4.1 transcript:Potri.009G054000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054000.v4.1 MVQYNFKKITVVPKGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQSNFHEKLSTIIDEFPRLDGIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDVSGSCGYSIAQQAALFHSIKSLFMNKPLTIVCNKTDLQPLDGISEEDMKLVMEMKSEAMKTLVAQGGEATNDADVLLTMSTLTEDGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFHVAMPKPRDQRERPPCIPQAVLEAKAKEAAEKEKRKTEKDLEDENGGAGVYSASLKKNYILANDEWKEDVLPEILDGHNVYDFIDPDILQRLEELESEEGIRQAEEGDEDFELDGEELTPEEKEALSEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDRKFTTKRMGRQLSAMGVDPSKAITRLRERSLSRKGRKRERSTDREHDGGDAMDVDQPNKKLRLRSTSRSRSRSRPPGEVVPGEGFKDSVQKNKALKLFKNSAKKRNKDARRGEADRVIPTLKPKHLFSGKRSIGKTERR >Potri.009G054000.6.v4.1 pep chromosome:Pop_tri_v4:9:5808575:5812685:-1 gene:Potri.009G054000.v4.1 transcript:Potri.009G054000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054000.v4.1 MVQYNFKKITVVPKGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQSNFHEKLSTIIDEFPRLDGIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDVSGSCGYSIAQQAALFHSIKSLFMNKPLTIVCNKTDLQPLDGISEEDMKLVMEMKSEAMKTLVAQGGEATNDADVLLTMSTLTEDGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFHVAMPKPRDQRERPPCIPQAVLEAKAKEAAEKEKRKTEKDLEDENGGAGVYSASLKKNYILANDEWKEDVLPEILDGHNVYDFIDPDILQRLEELESEEGIRQAEEGDEDFELDGEELTPEEKEALSEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDRKFTTKRMGRQLSAMGVDPSKAITRLRERSLSRKGRKRERSTDREHDGGDAMDVDQPNKKLRLRSTSRSRSRSRPPGEVVPGEGFKDSVQKNKALKLFKNSAKKRNKDARRGEADRVIPTLKPKHLFSGKRSIGKTERR >Potri.009G054000.7.v4.1 pep chromosome:Pop_tri_v4:9:5808635:5812755:-1 gene:Potri.009G054000.v4.1 transcript:Potri.009G054000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054000.v4.1 MVQYNFKKITVVPKGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQSNFHEKLSTIIDEFPRLDGIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDVSGSCGYSIAQQAALFHSIKSLFMNKPLTIVCNKTDLQPLDGISEEDMKLVMEMKSEAMKTLVAQGGEATNDADVLLTMSTLTEDGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFHVAMPKPRDQRERPPCIPQAVLEAKAKEAAEKEKRKTEKDLEDENGGAGVYSASLKKNYILANDEWKEDVLPEILDGHNVYDFIDPDILQRLEELESEEGIRQAEEGDEDFELDGEELTPEEKEALSEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDRKFTTKRMGRQLSAMGVDPSKAITRLRERSLSRKGRKRERSTDREHDGGDAMDVDQPNKKLRLRSTSRSRSRSRPPGEVVPGEGFKDSVQKNKALKLFKNSAKKRNKDARRGEADRVIPTLKPKHLFSGKRSIGKTERR >Potri.009G054000.4.v4.1 pep chromosome:Pop_tri_v4:9:5808635:5812685:-1 gene:Potri.009G054000.v4.1 transcript:Potri.009G054000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G054000.v4.1 MVQYNFKKITVVPKGKDFIDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQSNFHEKLSTIIDEFPRLDGIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVIKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDVSGSCGYSIAQQAALFHSIKSLFMNKPLTIVCNKTDLQPLDGISEEDMKLVMEMKSEAMKTLVAQGGEATNDADVLLTMSTLTEDGVIAVKNAACERLLNQRVELKMKSKKINDCLNRFHVAMPKPRDQRERPPCIPQAVLEAKAKEAAEKEKRKTEKDLEDENGGAGVYSASLKKNYILANDEWKEDVLPEILDGHNVYDFIDPDILQRLEELESEEGIRQAEEGDEDFELDGEELTPEEKEALSEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDRKFTTKRMGRQLSAMGVDPSKAITRLRERSLSRKGRKRERSTDREHDGGDAMDVDQPNKKLRLRSTSRSRSRSRPPGEVVPGEGFKDSVQKNKALKLFKNSAKKRNKDARRGEADRVIPTLKPKHLFSGKRSIGKTERR >Potri.003G088201.1.v4.1 pep chromosome:Pop_tri_v4:3:11489677:11490748:-1 gene:Potri.003G088201.v4.1 transcript:Potri.003G088201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G088201.v4.1 MMSRKTWSDVGLDNTVQIDCDSLAGWIIQLGGNEEGLLFMSTIWWIWRQRNILAFGETYKGDQWLLSNIYRMVEDMRQAWHGADVSYITSRMVSWSRLEEDTDKLDVHATSLGNPGRVGISGA >Potri.008G199400.1.v4.1 pep chromosome:Pop_tri_v4:8:14158407:14160433:1 gene:Potri.008G199400.v4.1 transcript:Potri.008G199400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199400.v4.1 MEERSYHPQLPVPALVPDATFQSATEVIRSKRSIPPADYTLKIDSFSLLSQIFSKPDAQSYQSDSFEAGGYEWRLSLYPSGDSIRNGNGYISFYIILADPNTMPAGFEINVSFKLFVYDHFQDEYLTIQDINGRVRRFNKVKIEHGFTKFISLGTFKEPSNGYLLNDSCVFGAEIFVIRNTNKGDRLLLVQEPAHRFHTWKIHNFSKLDKKIFSHQFSAGGRKWQIGLYPRGNQSLDGEQNLSLYIFLTDCFVFPKYFMLSPSYILTLMGRYGLKVHPKERKIYAECKIRLLDQKRGQHMEREVCYWFSTFSSVCGYGNFVDLKTLENQESGFLVDDSLIVQVTFDVVLADTDSV >Potri.001G278200.1.v4.1 pep chromosome:Pop_tri_v4:1:29144915:29148162:1 gene:Potri.001G278200.v4.1 transcript:Potri.001G278200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278200.v4.1 MSEILNLKSYPPVFRQQYIGIQQNSGLDDTTEQIQEVVNDDAIPVLDFQCLDLGKLQEACEDWGLFRLVNHGIPLTLMSQLRDHSRNLFSLTFESKQELFTNPMSYFWGTTALTPTGAALSIGPQNINWVEGLNIPLSQLSLFQKENETLGSFRVLLEEYGGHLARLATTMFGAMAKNLHLDPELSKTYISESTGFVRVYRYPQCSMENEAWGINVHTDSSVLSILNQDQVGGLQVLKDDNWLQVKPIPDTLVFNLGDMMQAISDDKYKSVKHRVKVNKEKERFSICYFVFPAEGSVIQSSKYRPFTYSDFQAQVQQDVKTLGFKVGLERFKVAG >Potri.002G083400.4.v4.1 pep chromosome:Pop_tri_v4:2:5927467:5931889:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNVSSSGEPKIKQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKGNGEPWKLHSNETFSPKRDSGSLRIDNENSGPAVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.002G083400.1.v4.1 pep chromosome:Pop_tri_v4:2:5927507:5932094:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNVSSSGEPKIKQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKGNGEPWKLHSNETFSPKRDSGSLRIDNENSGPAVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.002G083400.5.v4.1 pep chromosome:Pop_tri_v4:2:5927469:5932095:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNVSSSGEPKIKQQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKGNGEPWKLHSNETFSPKRDSGSLRIDNENSGPAVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.002G083400.12.v4.1 pep chromosome:Pop_tri_v4:2:5927469:5931528:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNVSSSGEPKIKQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.002G083400.6.v4.1 pep chromosome:Pop_tri_v4:2:5927669:5932079:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKGNGEPWKLHSNETFSPKRDSGSLRIDNENSGPAVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.002G083400.2.v4.1 pep chromosome:Pop_tri_v4:2:5927664:5931995:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNVSSSGEPKIKQQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.002G083400.10.v4.1 pep chromosome:Pop_tri_v4:2:5927467:5932155:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNVSSSGEPKIKQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.002G083400.13.v4.1 pep chromosome:Pop_tri_v4:2:5927665:5931940:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNVSSSGEPKIKQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKGNGEPWKLHSNETFSPKRDSGSLRIDNENSGPAVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.002G083400.7.v4.1 pep chromosome:Pop_tri_v4:2:5927559:5932101:-1 gene:Potri.002G083400.v4.1 transcript:Potri.002G083400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083400.v4.1 MEKRKWLWKRKSSERSPGETDSSGSISSHSERFSDDQQDPSKASPTDSAQSPEVTSKTITTDEDVNDRIKSLTDKLSAALVNVSAKDDLVKQHVKVAEEAVAGWEKAENEVTALKKQLEVAIQQKAGLEDRVSHLDGALKECVRQLRQAREELEEKIHEAVVQKSLEWESIKSELENQFIELKSKEAAAKSESPAPIVDELCQKLEYLEQENATLKLELLSQSEELEIRTIERDLSTQAAEAASKQHLESIKKVAKLEAECRRLKAAACKPSSVNDHKTSAASSIYVESLPDSQSDSGEKLNAVELDARKVSCSEPYKSEQSCLDSWASTLISELNQFKNEKSINRNLPASSVEIDLMDDFLEMEQLAALSENETGTDNSKAEAVIKQSVDAESSLRAELEVMAKRTAELEEKLQKVEGEKFELEEKLQKVEGEKFELEEKLERIKAEMDELEMALNESQDRNEASQLQLSEAQQKLVELQEELLLTNESKQQIEFQLVSMEAEARTMSAKVNSIQGEIEKERVLSAEIALKYHELEEELSRKKQEEELQQNVSSSGEPKIKQEDFDVAANKLAECQKTIASLGNQLKSLATLKDFLIDTASIPEFSAGGSAIPKVKINEGDSPPSVSSSASSAVSSNHVSSEKNRNGFAKFFSRSKNGIQLEI >Potri.010G117200.1.v4.1 pep chromosome:Pop_tri_v4:10:13618863:13623068:-1 gene:Potri.010G117200.v4.1 transcript:Potri.010G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117200.v4.1 MGSASLIPHLQLHGCFYKRLDSVRNEHQQFPSLQLNINSKRRGRLRFDAPHTRSTSFRRVVCFAAVDDDVSEKQQQQQQDSTSTSSLLEDRPDTDVVDSLPQNFGQDSEGSPIYNFLYPQKELLPDDKEMSLFDHLEELRERIFVSVFAVGAAIVGCFAFSKELVIVLEAPVKSQGVRFLQLGPGEFFFTTLKVSGYCGLLLGSPIILYEIIAFVLPGLTKSERRFLGPIVLGSSVLFYAGISFSYLILTPAALNFFVSYAEGAVESLWSIDQYFEFVLVLMFSTGLSFQVPVIQILLGQVGLVSGDQMLSIWRYVVVGAVVAAAVLTPSTDPLTQLLLAGPLLGLYLGGAWVVKLTGR >Potri.006G123500.2.v4.1 pep chromosome:Pop_tri_v4:6:9837304:9840732:-1 gene:Potri.006G123500.v4.1 transcript:Potri.006G123500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123500.v4.1 MGNTTQKSQETEVEEPGQLQQEEDSNFTCEICIEPMLAIRKFKNGSLCKHPFCLDCIAKYIEVKVEETTGCIECPGLNCKQLLDPLSCNCIISKPIFEKWCDHLCDSTVLGSESCYCPYQDCSVLVLNECRDKLTKIKCPNCKKSFCFLCKIPWHAGYQCNESRHLRDRNDILVGELIEEKKWTRCYNCGHSVERVSGCRDMKCKCGVRFCHQCGGPFHFGPCSHRRCGAVLCNLFIFVVLSALCYFLYFEIHSRSRR >Potri.016G025500.1.v4.1 pep chromosome:Pop_tri_v4:16:1427507:1433238:1 gene:Potri.016G025500.v4.1 transcript:Potri.016G025500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G025500.v4.1 MGEKMSSCKASCSVLLACFIVGLCSVCQCSAAEVDANQTANLIINGSSTRPIPETLFGIFFEEINHAGAGGIWAELVNNRGFEAGGQNTPSNIAPWSIIGDQSSLIVSTDRSSCFERNKVALRMDVLCDSTGGNICPAGGVGIYNPGFWGMNIEQGKAYKVVLYVRSLGSINVSVSLTSSDGSQILASANIVDSDVSNWTKAEVLLEAKGTNPNSRLQLTTSRKGVIWFDQVSAMPLDTYKGHGFRNELIEMIDDIKPQFVRFPGGCFVEGEWLRNAFRWKESIGPWEERPGHFGDVWMYWTDDGLGYFEFLQLTEDLGARPIWVFNNGISHQDQVDTTAVSPFVQEALDGLEFARGDSNSKWGSVRAAMGHPEPFDLKYVAVGNEDCGKKNYRGNYLKFYNAIRSAYPDIKIISNCDGSSRSLDHPADYYDYHVYTSASSLFSMTRQFDRTSRTGPKAFVSEYAVTGKDAGTGSLLAALAEAGFLIGLEKNSDIVEMASYAPLFVNANDRRWNPDAIVFNSSMHYGTPSYWVQKFFRESSGATLLDAKLQTNSSTLVASAITWQNSDGKTYLKIKIVNFGNSKVNLKVSIDGLGLSSQLSGSTKTVLTSSNLMDENSFANPKKVVPAQTMLENADKDMDVVLSPYSLTSFDLLTESNNIRMPQTDSLSRSSI >Potri.005G006000.3.v4.1 pep chromosome:Pop_tri_v4:5:439173:439541:1 gene:Potri.005G006000.v4.1 transcript:Potri.005G006000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G006000.v4.1 MEREAKKRKTEYIEEEEKGKEDDDDEEEEEEKMEKFFALLRSTKQMHDQIRRNSNRIFKEKEEIRKVGEEKVSVAWNPSFQPEDFLEDGKDSQAAAGPSKRKEEEKKDEGEEGTGLDLKLSL >Potri.012G040050.1.v4.1 pep chromosome:Pop_tri_v4:12:3547074:3549662:-1 gene:Potri.012G040050.v4.1 transcript:Potri.012G040050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G040050.v4.1 MKKTEIPEVLLSSGHKMPLIGMGTVAVPLPPSEIIVPVFINAIEIGYRHFDSAALYGSEESLGQAVAEALDRGLLSSREDLFITSKLWCPDAHHDLVLPALKKSLQRLRLEYVDLYLIHMPARVKQEVEGLNFSE >Potri.015G080300.1.v4.1 pep chromosome:Pop_tri_v4:15:10633760:10637079:1 gene:Potri.015G080300.v4.1 transcript:Potri.015G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G080300.v4.1 MKLDSFPWTKLENFEPFCILHTTRQPQCIQTVVVSAIKTTTIQRQQRHRHSKKMAASTSLSTHPMIPIFVAFLLVFASSVSPALSLPALVSDSGSRLLANQTFRPSKEVLRLRRANAYLKKINKPAVKTIQSPDGDVIHCVLSHLQPAFDHPELKGKKPLDPPERPRSNETRETVAESYQLWTDSGESCPEGTVPIRRTTVKDVLRASSVNRFGRKLRRHVRRDSTGSGHEHAVVFVNGDQYFGAKASINVWSPQVTSEYEFSLSQIWVISGSFGNDLNTIEAGWQVSPDLYGDGYPRFFTYWTTDAYQATGCYNLLCSGFVQTNNKIAIGAAISPRSSYNGRQFDIGLTVWKDPKHGNWWLEYGSGLLVGYWPAFLFSHLRSHASMVQFGGEIVNSRSAGYHTSTQMGSGHFADEGFGKASYFRNLQVVDWDNNLLPLTNLHLLADHPNCYNIRQGRNNVWGTYFYYGGPGRNVRCP >Potri.009G072966.2.v4.1 pep chromosome:Pop_tri_v4:9:7144164:7145043:1 gene:Potri.009G072966.v4.1 transcript:Potri.009G072966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072966.v4.1 MLNIRVNTRPASLKNPHIRRLVSPSLSIKEGRSSTSLSSKHLFSDISLVFVLFSLFLYCRAYLQSVDSTIFR >Potri.009G072966.1.v4.1 pep chromosome:Pop_tri_v4:9:7144164:7145434:1 gene:Potri.009G072966.v4.1 transcript:Potri.009G072966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072966.v4.1 MLNIRVNTRPASLKNPHIRRLVSPSLSIKEGRSSTSLSSKHLFSDISLVFVLFSLFLYCRADLLPYGSSTSTHAE >Potri.015G091800.1.v4.1 pep chromosome:Pop_tri_v4:15:11466042:11473395:-1 gene:Potri.015G091800.v4.1 transcript:Potri.015G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091800.v4.1 MAPTVPIDFIGRKESKKGWLSQPMGKSKKFSKGHSSGFVPDYRHAVHTMAESEGFGSSGRVDTEMTASEDSCAPKRKCISLNMDCYDTFGVPSQVLSLSKMSRPERKDLEMRLKKELEQVRILQRKVASLSSNTVLLSPSSDIRSCSDGQKRPPLEGLHSSFEVSAPQSKKRAPPDRNRGHTKKGTSARSEPVKPAAPLGISTAMLMKQCEALLNRLMAHQFGWIFKTPVDVVKLNIPDYFTIIKHPMDLGTVKSKIVSGEYSSPLGFAADVRLTFSNAMKYNPPGNDVHSMAETLRKYFEVRWKVIEKKLPVTTGVESMPSRIDVHIERETTVHIERETTTSAPPLKKKKITPSDNKVKPEPVRRVMSNAEKQKLSMELEALLGELPESIIEFLKEHSGNAGQTGEDEIEIDIDALGDDTLFNLRKLLDNYILEKQKNQSKAEPCEMEIINEPGISNSSLLPCKGNDTVEEDIDVVGGNDPPISSYPPIKIEKDEANKNSKRSSPSCSNSESGSSSSDSDSGSESGSESVAVKVSASINATKSEMEPGENADQKRSDPDDSDVGNQSVDGLDQVELDTEGKPVAAEVDGHQEGESAPSKRQVSPEKLYRAALLRNRFADTILKAREKALEKGEKCDPEKLRKEKEEFERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRKRELEREAARQALLEMEKTVDINENSHFMEDLEMLRTVHDEQLPSFIEETSPDLSQNCLGSFKLQGSSNPLEQLGLYMKEDDDEEEEVVEPPPPSVPERAKDVEEGEID >Potri.015G091800.5.v4.1 pep chromosome:Pop_tri_v4:15:11466145:11473356:-1 gene:Potri.015G091800.v4.1 transcript:Potri.015G091800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091800.v4.1 MAPTVPIDFIGRKESKKGWLSQPMGKSKKFSKGHSSGFVPDYRHAVHTMAESEGFGSSGRVDTEMTASEDSCAPKRKCISLNMDCYDTFGVPSQVLSLSKMSRPERKDLEMRLKKELEQVRILQRKVASLSSNTVLLSPSSDIRSCSDGQKRPPLEGLHSSFEVSAPQSKKRAPPDRNRGHTKKGTSARSEPVKPAAPLGISTAMLMKQCEALLNRLMAHQFGWIFKTPVDVVKLNIPDYFTIIKHPMDLGTVKSKIVSGEYSSPLGFAADVRLTFSNAMKYNPPGNDVHSMAETLRKYFEVRWKVIEKKLPVTTGVESMPSRIDVHIERETTVHIERETTTSAPPLKKKKITPSDNKVKPEPVRRVMSNAEKQKLSMELEALLGELPESIIEFLKEHSGNAGQTGEDEIEIDIDALGDDTLFNLRKLLDNYILEKQKNQSKAEPCEMEIINEPGISNSSLLPCKGNDTVEEDIDVVGGNDPPISSYPPIKIEKDEANKNSKRSSPSCSNSESGSSSSDSDSGSESGSESVAVKVSASINATKSEMEPGENADQKRSDPDDSDVGNQSVDGLDQVELDTEGKPVAAEVDGHQEGESAPSKRQVSPEKLYRAALLRNRFADTILKAREKALEKGEKCDPEKLRKEKEEFERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRKRELEREAARQALLEMEKTVDINENSHFMEDLEMLRTVHDEQLPSFIEETSPDLSQNCLGSFKLQGSSNPLEQLGLYMKEDDDEEEEVVEPPPPSVPERAKDVEEGEID >Potri.015G091800.6.v4.1 pep chromosome:Pop_tri_v4:15:11466145:11473356:-1 gene:Potri.015G091800.v4.1 transcript:Potri.015G091800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091800.v4.1 MAPTVPIDFIGRKESKKGWLSQPMGKSKKFSKGHSSGFVPDYRHAVHTMAESEGFGSSGRVDTEMTASEDSCAPKRKCISLNMDCYDTFGVPSQVLSLSKMSRPERKDLEMRLKKELEQVRILQRKVASLSSNTVLLSPSSDIRSCSDGQKRPPLEGLHSSFEVSAPQSKKRAPPDRNRGHTKKGTSARSEPVKPAAPLGISTAMLMKQCEALLNRLMAHQFGWIFKTPVDVVKLNIPDYFTIIKHPMDLGTVKSKIVSGEYSSPLGFAADVRLTFSNAMKYNPPGNDVHSMAETLRKYFEVRWKVIEKKLPVTTGVESMPSRIDVHIERETTVHIERETTTSAPPLKKKKITPSDNKVKPEPVRRVMSNAEKQKLSMELEALLGELPESIIEFLKEHSGNAGQTGEDEIEIDIDALGDDTLFNLRKLLDNYILEKQKNQSKAEPCEMEIINEPGISNSSLLPCKGNDTVEEDIDVVGGNDPPISSYPPIKIEKDEANKNSKRSSPSCSNSESGSSSSDSDSGSESGSESVAVKVSASINATKSEMEPGENADQKRSDPDDSDVGNQSVDGLDQVELDTEGKPVAAEVDGHQEGESAPSKRQVSPEKLYRAALLRNRFADTILKAREKALEKGEKCDPEKLRKEKEEFERRQKEEKARLQAEAKAAEEARRKAEAEAAAEAKRKRELEREAARQALLEMEKTVDINENSHFMEDLEMLRTVHDEQLPSFIEETSPDLSQNCLGSFKLQGSSNPLEQLGLYMKEDDDEEEEVVEPPPPSVPERAKDVEEGEID >Potri.009G150100.1.v4.1 pep chromosome:Pop_tri_v4:9:11854867:11859290:-1 gene:Potri.009G150100.v4.1 transcript:Potri.009G150100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150100.v4.1 MEMESGVLTEQRREIEEAKTLNSDLDLAFNLQMQEAMTASLSILNHPPSTFSPSSSSTPKNEEAKAEAEDFDCCSNFFLEDIERLDQERKDREESENLMREMREDLDRRMHDQKVASEIMNIPDEEWEEYGDDYEDPFENGERVSFFKVYSKGVESEERIRDMKVIVGGIGVAICDSKDNLIFEVSKGIDVGAEGSSSNKSCAGVSVELQALIEGLNAAFALDLKNLTFFVDHSMLYQYVTGAVQPQNGKISTLVNQVSLLQKKFADCKPSLVACSDVKFAFRFAKDAIVSQITWPAENSKGKRKLKETCVICYEDTDVDQIFSVDGCFHRYCFPCMKQHVEVKLLQGTMAKCPHEGCKSEVSIETCGEFLDPKLVEIMSQRKKEASIAVTEKVYCPYPRCSALMSKSEVLEYTNSSFVGGEKSGARKCVKCHFFFCINCRVPWHYNMTCYDYKRSKPHPRTEDKMLDSLAKRKLWRQCVMCKNMVELAEGCYHITCRCGYEFCYTCGAKWKNKKPTCSCPIWDERNIIRDGRRR >Potri.009G150100.2.v4.1 pep chromosome:Pop_tri_v4:9:11854995:11859282:-1 gene:Potri.009G150100.v4.1 transcript:Potri.009G150100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150100.v4.1 MYMQVTGAVQPQNGKISTLVNQVSLLQKKFADCKPSLVACSDVKFAFRFAKDAIVSQITWPAENSKGKRKLKETCVICYEDTDVDQIFSVDGCFHRYCFPCMKQHVEVKLLQGTMAKCPHEGCKSEVSIETCGEFLDPKLVEIMSQRKKEASIAVTEKVYCPYPRCSALMSKSEVLEYTNSSFVGGEKSGARKCVKCHFFFCINCRVPWHYNMTCYDYKRSKPHPRTEDKMLDSLAKRKLWRQCVMCKNMVELAEGCYHITCRCGYEFCYTCGAKWKNKKPTCSCPIWDERNIIRDGRRR >Potri.001G313300.2.v4.1 pep chromosome:Pop_tri_v4:1:32409438:32412508:-1 gene:Potri.001G313300.v4.1 transcript:Potri.001G313300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313300.v4.1 MGNFCSISISCDKLLSGCLDFTFRKAVYISKLKENVDGLKIAVEELTDLHNDVTRRVKVDEEQQLKQLDQVQRWISRAKAAIDKANELLREDSQEIERLCLRGYCSKNYKSSYRFAKEVDKRLRDVADLKANGDFKVVAEKVPAASGVPRPSEPTVGLESTFNQVWTCLREEKQVGIVGLYGMGGVGKTTLLTQINNESLKTPDDFDIVIWVVVSKDLKLNTVQESIGRNIGCSDDLWKNKSLDEKAVDIFNALRHKRFVMLLDDIWERVDLKKLGVPLPDMNNGSKVVFTTRSEEICGLMDAHKTMKVDCLAWDDAWDLFQKKVGDQTLCVHTDIPKLARNVAKECGGLPLALITIGRAMACKKTPQEWRHAIEVLRKSASEFSGMGDEVFPLLKFSYDNLSKQKIRTCFLYCSLFPEDFLINKNDLIDYWIGEGIFDGSDGREVVENWGYHVIGCLLHACLLEDKDDCVRMHDVIRDMALWIASDIERDQQNFFVQTGAQSSKALEVGKWEGVRKVSLMANHIVHLSGTPNCSNLRTLFLGSIHLNKISRGFFQFMPNLTVLDLSNNNSLLGLPRDVWKLVSLQYLNLSRTGIKELPTELNELVKLRYLNLEYTHSLYLLPHGVISGFPMMRILRMFRCGSSEQAAEDCILSRDESLVEELQCLEELNMLTVTIRSAAALERLSSFQGMQSSTRVLYLELFHDSKLVNFSSLANMKNLDTLHICHCGSLEELQIDWEGELQKMQAINNLAQVATTERPFRSLSSVYVENCLKLSNLTWLILAQNLTFLRVSNCPKLVEVASDEKLPEVPELVENLNPFAKLKAVELLSLPNLKSFYWNALPLPSVKDVRVVDCPFLDKRPLNTSSANHQNDCIGRQNW >Potri.001G313300.1.v4.1 pep chromosome:Pop_tri_v4:1:32409461:32412566:-1 gene:Potri.001G313300.v4.1 transcript:Potri.001G313300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G313300.v4.1 MGNFCSISISCDKLLSGCLDFTFRKAVYISKLKENVDGLKIAVEELTDLHNDVTRRVKVDEEQQLKQLDQVQRWISRAKAAIDKANELLREDSQEIERLCLRGYCSKNYKSSYRFAKEVDKRLRDVADLKANGDFKVVAEKVPAASGVPRPSEPTVGLESTFNQVWTCLREEKQVGIVGLYGMGGVGKTTLLTQINNESLKTPDDFDIVIWVVVSKDLKLNTVQESIGRNIGCSDDLWKNKSLDEKAVDIFNALRHKRFVMLLDDIWERVDLKKLGVPLPDMNNGSKVVFTTRSEEICGLMDAHKTMKVDCLAWDDAWDLFQKKVGDQTLCVHTDIPKLARNVAKECGGLPLALITIGRAMACKKTPQEWRHAIEVLRKSASEFSGMGDEVFPLLKFSYDNLSKQKIRTCFLYCSLFPEDFLINKNDLIDYWIGEGIFDGSDGREVVENWGYHVIGCLLHACLLEDKDDCVRMHDVIRDMALWIASDIERDQQNFFVQTGAQSSKALEVGKWEGVRKVSLMANHIVHLSGTPNCSNLRTLFLGSIHLNKISRGFFQFMPNLTVLDLSNNNSLLGLPRDVWKLVSLQYLNLSRTGIKELPTELNELVKLRYLNLEYTHSLYLLPHGVISGFPMMRILRMFRCGSSEQAAEDCILSRDESLVEELQCLEELNMLTVTIRSAAALERLSSFQGMQSSTRVLYLELFHDSKLVNFSSLANMKNLDTLHICHCGSLEELQIDWEGELQKMQAINNLAQVATTERPFRSLSSVYVENCLKLSNLTWLILAQNLTFLRVSNCPKLVEVASDEKLPEVPELVENLNPFAKLKAVELLSLPNLKSFYWNALPLPSVKDVRVVDCPFLDKRPLNTSSANHQNDCIGRQNW >Potri.001G066400.1.v4.1 pep chromosome:Pop_tri_v4:1:4977534:4979088:-1 gene:Potri.001G066400.v4.1 transcript:Potri.001G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066400.v4.1 MQAAIFLTPSILPLVTPNKSLASARRVVPRLQTSKCSPIKASAPVTNDTSTVDYSSFTSVFPAEACETIGGEACNVEMYPEVKLKPDARSTTPSTSEQIDREYLEYNSAKTVFLDEACDDLGGEFCDPGYQG >Potri.013G149500.1.v4.1 pep chromosome:Pop_tri_v4:13:14619431:14622398:-1 gene:Potri.013G149500.v4.1 transcript:Potri.013G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149500.v4.1 MDVRNNPWIMFFVIFFCFPLNSHVSLGADTISANSSLSGDQTIVSARKVFELGFFRPGNSPNYYIGMWYYRDKVSEQTIVWVANRETPVSDRFSSELKVSGGNLFLFNESKIPIWSTNLSSSRSSSVEAVLGDDGNLVLRDGSNSVSPLWQSFDFPAHTWLPGAKVGLNKITGRNTLLISWKSKDDPSPGLFSLELDPNQSRYLIFRNRTKYYWDSGSWNGQIFSLVPEMRSNYIYNFSYVNDANESYFTYSLYNETLISRFVMAAGGQIQQLSWLEISQAWFLFWSQPKTQCEVYAYCGAFGSCNENSQPFCNCLRGFNPKKWEDWKSEVFSGGCERESNLQCGNSSVVNGKSDRFFSSNNMKLPANPQTVAARSAQECESTCLSNCTCTAYAYDGSLCSVWFGDLLDMQQLADDSNGNTIYIRLAASEFSSSKNDKGIVIGGVVGSVVIVSLFGLVLFVFLRRRKTVKTGKAVEGSLIAFAYRDLQNATKNFSEKLGGGGFGSVFKGVLPDTSVIAVKKLESIIQGEKQFRSEVSTIGTIQHVNLVRLRGFCSEGNKKLLVYDYMPNGSLDSHLFSEDSKKVLDWKTRYGIALGTARGLNYLHEKCRDCIIHCDIKPENILLDAQFFPKVADFGLAKLVGRDFSRVLTTMRGTRGYLAPEWISGVPITAKADVYSYGMMLFEVVSGRRNSEQSEDGKVKFFPSYAASQINQEHGEILSLLDHRLEGNADLEELTRICKIACWCIQDDEAHRPSTGQVVQVLEGVVNVNPPPVPRSLQVFVDNQESIIFFTESSSSQSSQAQSHTSTASSQTKSPTSNTSSKS >Potri.004G179888.1.v4.1 pep chromosome:Pop_tri_v4:4:19470280:19471058:-1 gene:Potri.004G179888.v4.1 transcript:Potri.004G179888.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G179888.v4.1 MMKVANLIAVFILLALASSFVTAYDPSPLQDFCVAIDDANSAVLVNGKLCKNPSLATPDDFSYSGLDVPGNTSNQLGARVNIITADLMPGLNTLGVSLARIDLAPNGGLNPPHYHPRGSEVLLVLEGTLYAGFVTSNPDHRLFTKILKPGDLFVFPFGLIHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASWPLYPEVLTTAFHLDEKLVEDLQSQEWVNPT >Potri.001G213000.3.v4.1 pep chromosome:Pop_tri_v4:1:21943854:21945582:1 gene:Potri.001G213000.v4.1 transcript:Potri.001G213000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213000.v4.1 MMFQRLFLLQSFLLFSLTTATATAKRLNTIPRHSPIGPRVWRDQPDKTTSCEVDEEGFETCFYNQTLDHFNYRPESYDTFPQRYVINSKYWGGANASILVYLGAEASIDGYRDAAGFLDDNAVQFKSLLVFIEHRYYGHSFPPGAWGKRGYFSSAQALADYAAIIIDIKENRSAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDAYYSVVTKEFREASETCYQTIKTSWSEIDELASKPDGLSMLSTKFNTCKNLTDASELKDYLRLMYAYAAQNNSPPTYPVNEVCKGIDDDASGDDILSRIFRGVVAYYGNRTCYFNNNAYAYQSEATLDWSWQVK >Potri.001G213000.2.v4.1 pep chromosome:Pop_tri_v4:1:21943854:21947346:1 gene:Potri.001G213000.v4.1 transcript:Potri.001G213000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G213000.v4.1 MMFQRLFLLQSFLLFSLTTATATAKRLNTIPRHSPIGPRVWRDQPDKTTSCEVDEEGFETCFYNQTLDHFNYRPESYDTFPQRYVINSKYWGGANASILVYLGAEASIDGYRDAAGFLDDNAVQFKSLLVFIEHRYYGHSFPPGAWGKRGYFSSAQALADYAAIIIDIKENRSAQYSPVIVIGGSYGGMLASWFRLKYPHIALGALASSAPILYFDDITPQDAYYSVVTKEFREASETCYQTIKTSWSEIDELASKPDGLSMLSTKFNTCKNLTDASELKDYLRLMYAYAAQNNSPPTYPVNEVCKGIDDDASGDDILSRIFRGVVAYYGNRTCYFNNNAYAYQSEATLDWSWQRCSEMVIPLGVGDNSMFQPNPFNLTDHIERCKSLYGVRPRPHWVTTYYGGHVCSL >Potri.007G006900.2.v4.1 pep chromosome:Pop_tri_v4:7:472096:473466:1 gene:Potri.007G006900.v4.1 transcript:Potri.007G006900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G006900.v4.1 MVGSTNFAINMSPLRCNSSVSSSFLPPSPLYRAISIAMLSTVSATYPLVEPMLDNPFQFFENGFTPWDCFDPFPSAPQSPKPFGSSSGSDESNLLDQNPDNSNSNSGSDEPNPPVSVIDERKRRRMVSNRESARRSRMRKQKHMDNLRNQVNRLRVENRELTNRLRIVLYHCHSVRTENDWLRSEYSMLRKKLSETSQILMMRQLQQFTSAWPCNNIISATE >Potri.008G076400.1.v4.1 pep chromosome:Pop_tri_v4:8:4732837:4737509:1 gene:Potri.008G076400.v4.1 transcript:Potri.008G076400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G076400.v4.1 MASTNNWLGFSLSPQELPSSQSDHHDHPQNTDSRLRFHSDEISGTDVSGESFDLTSDSTAPSLNLPASFGILEAFRNNQSQDWNNMKRSGINEDTSYNTTSDVPIFMGSSCNSQNIDQNQEPKLENFLGGHSFGNHEHKLNVCSTMYGSTGHYMFHNCSLQLPSEDASNERTSSNGGADTSINNNNTNSSIGLSMIKTWLKNQPAPTQQDTNNKSNGGAQSLSLSMSTGSQSGSDLPLLAVNGGGNRTRGEQSSSDNNKQQKTTPSLDSQTGAIEVVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEDKAARAYDLAALKYWGTTTTTNFPMSNYEKEIEEMKHMTRQEHVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMNRYDVNSIMESSTLPIGGAAKRLKEAEHAEITTRVQRTDDHDSTSSQLTDGISNYGTAAHHGWPTIAFQQAQAFTMHYPYGQRLWCKQEQDSDNHSFQELHQLQLGNTQNFLQPSVLHNVMSMESSSMEHSSGSDSVMYSSGGHDGTGTGTNGSYQGIGYGSNTGYAIPMATVIANDVNTQDQGNGYGDGEVKALGYENMFSSSDPYHARNLYYLSQQSSAGVIKASAYDQGSTCNNWLPTAVPTIAARSNNMAVCHGAPTFTVWNEST >Potri.017G020266.1.v4.1 pep chromosome:Pop_tri_v4:17:1693143:1694986:1 gene:Potri.017G020266.v4.1 transcript:Potri.017G020266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G020266.v4.1 MLKGAAIDFSPLTAWGVLGGSVHFTQRNGSISLLEGAFRLASLNYILSHSNALALPSLSFCVISVNRIS >Potri.008G132650.1.v4.1 pep chromosome:Pop_tri_v4:8:8771440:8771661:1 gene:Potri.008G132650.v4.1 transcript:Potri.008G132650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132650.v4.1 MKLAPFLCLLWMAVDPVRHFALLGILSFSSEHGMVKSTDFGSYELKQICDFQNSFRSGPVKEEKENQFLNLYC >Potri.005G047500.1.v4.1 pep chromosome:Pop_tri_v4:5:2993945:3000249:1 gene:Potri.005G047500.v4.1 transcript:Potri.005G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047500.v4.1 METIRKDNWDDEYSIIRDKGEIGFIDFEDDKSVCNYDPATEGPIVISIPFPFVRGKPQTILVGEISKCAITIANTTSDPMELWGVRIFCSNPTDSFTLSLKEPPSANSNAEKLYGFLEGYSLEDRVLQPHDTLTVWLSCKPKEMGLHTSVVYFDAGDDRIERVVFLLAEDNVSRSLAPNRPFSKTPRRKQFVVDEHVVSPRPARATTHGFKYKLPQFPIPSNLIELLQNKQVPDVIMEDLSMGTYAAFFSILVVMEELHLEEEMRCHNMECVNMRRKGSQFLALEVPGLAERRPSLVNGDHVFVKLENAPDSNAYQGCIYRVEADEVLLKFSNNLLTHHRNGNLYNIRFTYNRVNMRRLYQAVQAAEGLEHDLLFPSESTKRRLIKTPGFVPFNNSLNQEQIRSVEMILGCEGAPPYVIYGPPGTGKTMTLVEAMLQIYATRKNDRILVCAASNSAADHVLEKLISNDDAKVKENQIFRLNASSRSYEDVHPDHIRFCYFDESIFKCPPLRALVQYRIIISTYMSSSLLYAEGVSSGHFSHIFLDESGQASEPESMVPIANFCSRETVIVLAGDPQQLGPVIYSKDAKAFGLGKSYLERLFECEPYRNGDEGFVIKLVRNYRCHAAILDLPSKLFYKGELLACKEDTSSSISSIVDFLPNKEFPVLFFGIQGFDERERNNPSWFNRIEASKVVEVINKLRASGDLDEADIGVITPYRQQVLKIKKVLENWELSDVKVGSVEQFQGQEREVIIVSTVRSTIKHNDFDRTYRLGFLSNPKRFNVAITRARSLLIIVGNPHIVSQDPCWEKLLWFCADNNSYKGCPLPERQSSACEEPIPKRNSISELENSCHSRVREWFQSLDEEVPQITQIVSDAAEGSGCRK >Potri.014G072700.2.v4.1 pep chromosome:Pop_tri_v4:14:4635095:4636288:1 gene:Potri.014G072700.v4.1 transcript:Potri.014G072700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072700.v4.1 MTGGTILHLITMVVLITAATSQAPPTKYINHTVGDNAGWFFNSTTNTTATNYSSWAASQTFNLGDYLIFKTSSNQTVIQTYNLTTFKNCSIDDTSYTDTFVYNGGNTVFNQAFTIIVPLTIQGPNYFFSDASDGIQCQHGLAFDINVSRGLGLPPSLNQPPPPPYREPPGPDSGYPPITIPAKGEGAGNSGFKNGASVQVIASVSLFALLAVNVGDRGGIF >Potri.012G144800.3.v4.1 pep chromosome:Pop_tri_v4:12:15528846:15532508:1 gene:Potri.012G144800.v4.1 transcript:Potri.012G144800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144800.v4.1 MAPPRGRGGSGGGFRGGRGDGGGRGRGRGFGGGRGGGGGRGSAMKTRGGGGRGRGGGRGRGGGGMKGGSKVVVEPHRHEGVFIAKGKEDALVTKNMVPGETVYNEKKISVQNEDGTKVEYRVWNPFRSKLASAILGGVDDVWIKPGAKVLYLGAASGTTVSHVSDIVGPTGVVYAVEFSHRSGRDLVNMAKKRTNVIPIIEDARHPAKYRMLVGMVDVIFSDVAQPDQARILALNASYFLKSGGHFVISIKANCIDSTVPAEAVFESEVKKMVQEQLKPTEQVTLEPFERDHACVVGGYRMPKKQKVAA >Potri.019G086601.1.v4.1 pep chromosome:Pop_tri_v4:19:12580877:12581545:1 gene:Potri.019G086601.v4.1 transcript:Potri.019G086601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G086601.v4.1 MHDSCNAKPLFLVSILLQRKNKVTWKDSRSGELLLENEHPSCPDSHRSCLVPPQLVSLPDSCTSYMCGIVSDMLNLDDVSLCHDVASNIGSFVSDFAKERAGRQGFCVSAHVVIVEDYVEEVILDGINVPIFDFDEEDHAVVSRGASISTLNKLKKERFYMKEITQDDGDESCCDSCVVCLERFSATVGLTRLPCKHIFHEQCIFDWLKKSPSCPLCRYEVE >Potri.014G037900.1.v4.1 pep chromosome:Pop_tri_v4:14:2421524:2423828:1 gene:Potri.014G037900.v4.1 transcript:Potri.014G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G037900.v4.1 MDILLPYLSTIIPTAIVLFSCYLLRRSKSSKTKLAPEASGAWPIIGHLPLLAGAELPHLRLGALADKYGPIFTIRIGMYPALVVSSWELAKELFTTNDAIVSSRPKLTASKILGYNFASFGFSPYGEFFRGIRKIVASELLSNRRLELLKHVRASEVEVSVKELYKLWYSKDKNEESQILVNIKQWTADMNLNLMLRMIAGKRYDDAGIVTEENEARRCQRAMREFFHLTGLFVLRDAVPFLGWLDWGGYEKAMKRNAEELDNIFDEWLAEHRRKRDSGESANKEQDFMDVMLYALDGINLAGYDADTVRKATSLSLIIGGTDTVTVTITWALSLLLNNTVALKSAQEELDVHVGKERLVNESDIEKLTYLQACVKEALRLYPAGPLGGFREFTADCTIGGYYVPAGTRLLLNIHKIQRDPRVWPNPTEFKPERLLGSHKAVDVMGQHFELIPFGAGRRACPGATLGLRMSHLVLASILQAFEISPPSNAPIDMTGTAGLTCSQATPLQVLVKPRLPASVYEYRF >Potri.015G130201.1.v4.1 pep chromosome:Pop_tri_v4:15:14087656:14088036:1 gene:Potri.015G130201.v4.1 transcript:Potri.015G130201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G130201.v4.1 MWNQLSVTSGTLYAWDSKSTYIHEPPYFKSMTMSPPGPHGVNDAYCSLNFGDSIKADHISPAGSIHKDSPATRYLRHGTWSDLEEEEEEEEEHLDEEELEDDVQSVDSLSSTPTGVETPNVIDPCK >Potri.015G122700.1.v4.1 pep chromosome:Pop_tri_v4:15:13585397:13587459:-1 gene:Potri.015G122700.v4.1 transcript:Potri.015G122700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122700.v4.1 MAEVHTSTMLDDSSFDVDKLTYEIFSILENKFLFGGYDDPKLSKDTHQVSIQEQLKPTKQFNGGKVRILSIDGGGATDGILAAKSLTHLESCLRRKSGNPNASISDYFDVVAGSGSGGILAALLFTRGKNGRPMFTAEEALNFLVRINKKMNRAQGFLGKILGSAKAEKVFAKTFGELTLKDTIKSALITCYDLSTHAPFLFSRADALEMDGYDFKMSDVCLATSADPAMVGAVDMRSVDKRTKIVAIDGGIAMNNPTAAAITHVLNNKQEFPLCNGVENLLVVSLGNGESDFGVQNQSSTPARFVRIAGEGASDTVDQAVSMAFGPCRACNYVRIQANGIIARRHGIVEKSKKSNKKADLLAMTAEMLAQKNVESVLFEGKKIVESTNYDKLETFSGELIKEQERRKTSILPPVVLKQNSPSPRTSSATSLSTSSSY >Potri.001G057100.3.v4.1 pep chromosome:Pop_tri_v4:1:4309631:4314533:1 gene:Potri.001G057100.v4.1 transcript:Potri.001G057100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057100.v4.1 MAAGKSGSFKMLEPCKPPPSKKPRKERNRGKSLGTTSSTEVMQQEIWKEFPEDLFEAVIARLPITTFFRFRSVCRKWNSFLDSQSFSQHCAQVPQSNPWFYTIAHENVNSGAMYDPSLKKWHHPTISYLPTKTIVLPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPPRSVKVWSRVAVGMTLNSNAASGGYKILWVCCDGEHEVYDSLKNSWTRPGSMPSCIKLPLSLNFRSQAVSLGGTLYFMRSDPEGIVSYNMVTGVWKQSIIPAPHHLSDRTLAQCEGRIILVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNSGLLMLSLRSRQMNRLVTYNVVSREWLKVPGCLVPRGRKRQWIACGTAFNPCLTATA >Potri.001G057100.2.v4.1 pep chromosome:Pop_tri_v4:1:4309626:4314557:1 gene:Potri.001G057100.v4.1 transcript:Potri.001G057100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057100.v4.1 MEEELAMLRQFIGQLQDLLNLYGSPLPPFDSLQPFHFHNHQQQNHNNGNNNRWCFLNLDDGSADDYCSLVMAAGKSGSFKMLEPCKPPPSKKPRKERNRGKSLGTTSSTEVMQQEIWKEFPEDLFEAVIARLPITTFFRFRSVCRKWNSFLDSQSFSQHCAQVPQSNPWFYTIAHENVNSGAMYDPSLKKWHHPTISYLPTKTIVLPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPPRSVKVWSRVAVGMTLNSNAASGGYKILWVCCDGEHEVYDSLKNSWTRPGSMPSCIKLPLSLNFRSQAVSLGGTLYFMRSDPEGIVSYNMVTGVWKQSIIPAPHHLSDRTLAQCEGRIILVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNSGLLMLSLRSRQMNRLVTYNVVSREWLKVPGCLVPRGRKRQWIACGTAFNPCLTATA >Potri.001G057100.4.v4.1 pep chromosome:Pop_tri_v4:1:4311830:4314526:1 gene:Potri.001G057100.v4.1 transcript:Potri.001G057100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G057100.v4.1 MAAGKSGSFKMLEPCKPPPSKKPRKERNRGKSLGTTSSTEVMQQEIWKEFPEDLFEAVIARLPITTFFRFRSVCRKWNSFLDSQSFSQHCAQVPQSNPWFYTIAHENVNSGAMYDPSLKKWHHPTISYLPTKTIVLPVASAGGLVCFLDIGHRNFYVCNPLTQSFKELPPRSVKVWSRVAVGMTLNSNAASGGYKILWVCCDGEHEVYDSLKNSWTRPGSMPSCIKLPLSLNFRSQAVSLGGTLYFMRSDPEGIVSYNMVTGVWKQSIIPAPHHLSDRTLAQCEGRIILVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLDFYGKHVRMTCLGNSGLLMLSLRSRQMNRLVTYNVVSREWLKVPGCLVPRGRKRQWIACGTAFNPCLTATA >Potri.014G033600.1.v4.1 pep chromosome:Pop_tri_v4:14:2086183:2088679:1 gene:Potri.014G033600.v4.1 transcript:Potri.014G033600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G033600.v4.1 MPLNSSSISHIETLRSPIQPPTFGNQITVLSIDGGGIRGIIPGTILAFLESELQKLDGAEARLADYFDVISGTSTGGLVTAMLATPNEQNRPLFAAKDINEFYLENCPKIFPQDGSPLASAGKLIKSLRGPKYDGKFLHSIVQEKLGDKRLHQTMTNIVIPTFDIKRLQPIIFSSYQVKNDPSTDALLSDICIGTSAAPTYLPAHYFETKDPSGKVREFNLIDGGVAANNPTLVAMSEVSKEITRKNPDFFPTAPMDYGRFLVLSLGTGTAKSEEKYDADEAAKWGVLGWLTSDNSTPLVDVFTEASGDMVDLHISTVFQALHSEENYLRIQDDTLSGTLSSVDVATKENLENLVKVGEKLLKKPVSRVDLGTGVFTPVDKMTNEEALIKMAKLLSREKHLRDSRSPVGKLATSKWI >Potri.004G135600.1.v4.1 pep chromosome:Pop_tri_v4:4:15684793:15687322:-1 gene:Potri.004G135600.v4.1 transcript:Potri.004G135600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135600.v4.1 MDVGSFAIRAALLVVSLAVHHRGIARGGGCDLYKGIWVRDEAYPLYDASRCPFIEKEFDCRKNGRPDRDYLKYRWQPKAGCKFPRFDGGHFLSQILKGKSIMFVGDSLSLNQWQSLTCMLHVALPQANYSLVRTGELSTFSFPGYGAKVMFSRNAFLVDMVSTSHGVALMLDSIKGGDLWKGIDVLVFNTWHWWLHTGRKQPWKFIQVGNARYQDMDRLVAYEKALTTWAKWVETNVDTAKTTVFFQGISPDHMNGSDWGEPNARNCEGQKDPFFKTYPAGHHPAQLVVEKAIGAMPKPVAVHLLDVTALSQLRKDGHPSVYGGHGEHRAMDCTHWCLAGVPDTWNELLYAALLRS >Potri.018G053760.1.v4.1 pep chromosome:Pop_tri_v4:18:5313833:5314341:-1 gene:Potri.018G053760.v4.1 transcript:Potri.018G053760.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G053760.v4.1 MGARNNLFIFTATLFAVVVMLNSPKHTNAFWNPSKYPEPVPGYFKVLGRCEKPLATKFPSCSNATMAAIFKKKRIPNKCCPEVTKFSKFCYHALGLFVATTPNFILTVPEFFERTQKVYDHCLRVVASPSKPRRSRKKRTQ >Potri.005G162900.2.v4.1 pep chromosome:Pop_tri_v4:5:16077623:16082161:-1 gene:Potri.005G162900.v4.1 transcript:Potri.005G162900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G162900.v4.1 MEEPQEHNHNHNHNHNHQDPPKSSTAPLSPTCVKCGGPCSFPPPPTQSQWSEISPPPIYRPIRSPAINLPPNTNSQAIILAPVPQSQKVPTISLPHTFQSPSKKIQSPDDIRRFINSDSSKNFLGFVVALSESIRAHKISDSCHESTTLKNIVSVIESLVHWIEEIPPVQQSYRYGNISYRTWHNRLVENSENLMLQFLPDNLKSSTVEIVPYFTDSFGNSSRIDYGTGHETNFAAWLYCLARMGIIEEVDYQAVVSRVFVKYIELMRKLQSVYNLEPAGSHGVWGLDDYHFLPFIFGSSQLIDHKYMKPKSIHNEDILENFSNEYMYLSCILLIKKVKKGLFAEHSPLLDDISGVPNWKKVNSGLLKMYRAEVLEKVPIMQHFLFGSLIQWE >Potri.006G189600.1.v4.1 pep chromosome:Pop_tri_v4:6:19672269:19673119:1 gene:Potri.006G189600.v4.1 transcript:Potri.006G189600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189600.v4.1 MAAGAADGFFRYVHDGCLSGGEMGIDRRPYHRNCSCALHKSKENCSHAMSRYKNVSYPIKRCWSEGSLALMVANSSSCCHSPPSSSPSLQAGKSTATPHQRRLSHDLDLEDQVCSFKV >Potri.001G081500.2.v4.1 pep chromosome:Pop_tri_v4:1:6467789:6469323:-1 gene:Potri.001G081500.v4.1 transcript:Potri.001G081500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G081500.v4.1 MSLTIPSNLSMLKPKLGVSQMTPIKPRSMIVCSASQTPSTQEKSSSPLQAFSAALALSSILLSAPLPAVADISGLTPCKDSKQFAKREKQQIKKLESSLKLYSPDSAPALAIKATVEKTKRRFDNYGKQGLLCGSDGLPHLIVSGDQRHWGEFITPGILFLYIAGWIGWVGRSYLIAISDEKKPAMKEIIIDVPLATGLIFRGFSWPVAAYREFVNGDLVVKDV >Potri.008G117900.1.v4.1 pep chromosome:Pop_tri_v4:8:7552377:7557725:-1 gene:Potri.008G117900.v4.1 transcript:Potri.008G117900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G117900.v4.1 MTSVVQGFTKSLAMTVLSEIGDKTFFAAAILAMRHPRRLVLSGCLAALIVMTILSAAVGWAAPNLISRAWTHHITTILFFGFGLWSLWDGFNDKGEAEELAEVEAKLDADWKANTGTAKAGSKDSDEFKKQRRTFLSQFFSPILLKAFSITFFGEWGDKSQIATIGLAADENPFGVVIGGIVGQALCTTAAVIGGKSLASQISEKIVALSGGVLFIVFGIQSFLSTVE >Potri.002G132200.1.v4.1 pep chromosome:Pop_tri_v4:2:9977835:9980030:-1 gene:Potri.002G132200.v4.1 transcript:Potri.002G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G132200.v4.1 MSISISSLASSLSSLSFSSQISQKPNTLSFSRSKSLSLSLSPYSNSNKQPFTLRVTATVASPETTTTDLKKLVKSRLPGGFAAQPIHGTGRRKCAIARVVLQEGTGKVIINYRDAKEYLQGNPLWLQYVKVPLVTLGYESSYDVFVKAHGGGLSGQAQAISLGVARALLKVSQNHRIPLKREGLLTRDSRIVERKKVGLKKARKAPQFSKR >Potri.001G065000.2.v4.1 pep chromosome:Pop_tri_v4:1:6117829:6119384:1 gene:Potri.001G065000.v4.1 transcript:Potri.001G065000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065000.v4.1 MTWNDGAKTITCGLAESSMLRSALLEHGNLIRYAEKLKTDFLEAGSSSSVPQFLSDASSNSKRRLSNSGSKPKKQPERERTEEEKTFRRRARYFLVTQVVAVLVLLSVISSNDFSEVEVDDDEDEDEDEGFSYD >Potri.001G065000.3.v4.1 pep chromosome:Pop_tri_v4:1:6117829:6119384:1 gene:Potri.001G065000.v4.1 transcript:Potri.001G065000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065000.v4.1 MLRSALLEHGNLIRYAEKLKTDFLEAGSSSSVPQFLSDASSNSKRRLSNSGSKPKKQPERERTEEEKTFRRRARYFLVTQVVAVLVLLSVISSNDFSEVEVDDDEDEDEDEGFSYD >Potri.001G065000.4.v4.1 pep chromosome:Pop_tri_v4:1:6118485:6119387:1 gene:Potri.001G065000.v4.1 transcript:Potri.001G065000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G065000.v4.1 MLRSALLEHGNLIRYAEKLKTDFLEAGSSSSVPQFLSDASSNSKRRLSNSGSKPKKQPERERTEEEKTFRRRARYFLVTQVVAVLVLLSVISSNDFSEVEVDDDEDEDEDEGFSYD >Potri.011G163000.1.v4.1 pep chromosome:Pop_tri_v4:11:18784995:18786821:1 gene:Potri.011G163000.v4.1 transcript:Potri.011G163000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163000.v4.1 MTTCLSTTTMLPFLCFLLFSFLWSASAHTHEDFLQCLSLYSEDSNSFSKLVYTPNNSSYLHVLQGSIQNSRFNTTATPKPPVIVTPMNVSHIQATIFCSQKHGMNVRIRSGGHDYEGLSYVSVLPFVIIDLINLRAINVDVENSTAWVQAGATLGELYYSIAEKSGTLAFPAGACPTVGAGGHLSGGGYGGLMRKYGLAADNIIDAQLIDAKGRILDRASMGEDLFWAIRGGGGNTFGVVVAWKLKLVPVPHTVTFFSVLRSLEENATKLIHRWQYVANKLPEDLFITAYITKINSSQEGISTIQAEFPSLFLGGADRLLPLMQENFPELGLVKDDCTEMSWVEFVLYNSGYSSNSSLDVLLNRTPQYITNFKAKSDYVKKPMPEIAFEGIWKRFLKVGIETPRLVLVPYGGKMDQISESSIPFAHRAGNLYKIQYLLLWNEQGKEASMRHIAWIRRLYSYTAPYVSKNPREAYINYRDLDVGMNNIQGNTSYKQASIWGRKYFKNNFDRLIQVKTAVDPDNFFRNEQSIPPLSS >Potri.003G138300.1.v4.1 pep chromosome:Pop_tri_v4:3:15514937:15518288:1 gene:Potri.003G138300.v4.1 transcript:Potri.003G138300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G138300.v4.1 MEKSVATLDKGTTCTSWNYCGQRLATGSFNGFLSIFDSPDPASSSFTATSNIRIHEGGIVKIVWIPPEYGDAVACICGDGSLSLWEEIAEDGLPLQWKLCKIFHCKGSKVLDAQFGVIRTSLKMVVAYSDGHVKVYELLNPFELKNWQLQAEIQNVIDSVSTFGKASCFSASISWNPQRNESQEPSFVLGFNSDTPQLNSSKVWVFDQAHHRWLPVAELALSLDKSDQVFAVAWAPNVGRAYELIAVATRKGITIWHVRLNPGLDGRLSVEKVALLSGYEGEVWQMEWDMSGMTLATSGTDGMVRLWQSNFNGVWLEQAAFEPAS >Potri.004G220550.1.v4.1 pep chromosome:Pop_tri_v4:4:22605304:22606529:1 gene:Potri.004G220550.v4.1 transcript:Potri.004G220550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G220550.v4.1 MALVFSKFLTADDIENGLSIPGCSLGPLPFQEGQSMNMHVHDGNGHEWIFSCTIKRNESTGHFLSVGWNKFVRERDLRVDDKVTIHEEAMKNQAMGTWIKVEVKRKIRLFGEDVWADV >Potri.001G309100.3.v4.1 pep chromosome:Pop_tri_v4:1:31951951:31954543:-1 gene:Potri.001G309100.v4.1 transcript:Potri.001G309100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309100.v4.1 METSTTFPENSNAATGNRDSDEGDEEMRVKAEEGDQHSTGNRWPKQETLALLKIRSDMDVAFKDSGLKAPLWEEVSKKLNELGYNRSAKKCKEKFENIYKYHRRTKEGRSGRPNGKTYRFFEQLQALDNTEVLLPPPSSDKVHTSMAAALVNPVSFIPNAVPCSIQSPGMNFVDTTSTSTASTSSEEEEGTRKKKQKLTGFFERLMKEVIEKQENLQNKFLEAIEKCEQERIAREEAWKMQELDRIKRERELLVRERAIAAAKDAAVLAFLQKFSEQGISVQLPDNPIVPMKFPDNQTVPVPSSAPVQLPKNQAVPVENIVKTRENSSIESFVNISPSRWPKEEIEALIGLRTKLEFQYEENGPKGPLWEEISASMKKLGYDRSAKRCKEKWENMNKYFKRVKESNKRRPGDSKTCPYFQQLDALYREKNRRVDGSGFELKPEELLMHMMGGQGDQQQPESATTEDRESENVDQNQENYRDKEDGDGDRIVANDPSSMEIME >Potri.002G002400.4.v4.1 pep chromosome:Pop_tri_v4:2:161197:167802:-1 gene:Potri.002G002400.v4.1 transcript:Potri.002G002400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002400.v4.1 MEEVGAQVAAPIFIHEALSSRYCDMTSMAKKHDLSYQSPNSQLQQHQFLQASREKNWNSKAWDWDSVGFVAKPSVAAETLRLGTVSREPKKKDKSDSKNKSNSVNEDDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPGNGSYPMCQVDNCKEDLSKAKDYHRRHKVCQVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLLPGNPDMNNNGNLDIVNLLTALARSQASAPDALAILSQRSSQSSDNDKSKLPGPNQVTVPHLQKRSNVEFPAVGVERISRCYESPAEDSDYQIQESRPNLPLQLFSSSPENESRQKPASSGKYFSSDSSNPIEERSPSSSPPVVQKLFPLQSTAETMKSEKMSVSREVNANVEGDRSHGCVLPLELFRGPNREPDHSSFQSFPYRGGYTSSSGSDHSPSSQNSDPQDRTGRIIFKLFDKDPSHFPGTLRTKIYNWLSNSPSEMESYIRPGCVVLSVYLSMPSASWEQLERNLLQLVDSLVQDSDSDLWRSGRFLLNTGRQLASHKDGKVRLCKSWRTWSSPELILVSPVAVIGGQETSLQLKGRNLTGPGTKIHCTYMGGYTSKEVTDSSSPGSMYDEINVGGFKIHGPSPSILGRCFIEVENGFKGNSFPVIIADASICKELRLLESEFDENAVVSNIVSEEQTRDLGRPRSREEVMHFLNELGWLFQRKSMPSMHEAPDYSLNRFKFLLIFSVERDYCVLVKTILDMLVERNTCRDELSKEHLEMLYEIQLLNRSVKRRCRKMADLLIHYSIIGGDNSSRTYIFPPNVGGPGGITPLHLAACASGSDGLVDALTNDPHEIGLSCWNSVLDANGLSPYAYAVMTKNHSYNLLVARKLADKRNGQISVAIGNEIEQAALEQEHVTISQFQRERKSCAKCASVAAKMHGRFLGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPDIGLVAPFKWENLNYGTI >Potri.002G002400.10.v4.1 pep chromosome:Pop_tri_v4:2:161459:165798:-1 gene:Potri.002G002400.v4.1 transcript:Potri.002G002400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002400.v4.1 MDLAAKLSNIASLNVKNPNQPYLGHQNRLNGTASSPSTNDLLAVLSTTLAASAPDALAILSQRSSQSSDNDKSKLPGPNQVTVPHLQKRSNVEFPAVGVERISRCYESPAEDSDYQIQESRPNLPLQLFSSSPENESRQKPASSGKYFSSDSSNPIEERSPSSSPPVVQKLFPLQSTAETMKSEKMSVSREVNANVEGDRSHGCVLPLELFRGPNREPDHSSFQSFPYRGGYTSSSGSDHSPSSQNSDPQDRTGRIIFKLFDKDPSHFPGTLRTKIYNWLSNSPSEMESYIRPGCVVLSVYLSMPSASWEQLERNLLQLVDSLVQDSDSDLWRSGRFLLNTGRQLASHKDGKVRLCKSWRTWSSPELILVSPVAVIGGQETSLQLKGRNLTGPGTKIHCTYMGGYTSKEVTDSSSPGSMYDEINVGGFKIHGPSPSILGRCFIEVENGFKGNSFPVIIADASICKELRLLESEFDENAVVSNIVSEEQTRDLGRPRSREEVMHFLNELGWLFQRKSMPSMHEAPDYSLNRFKFLLIFSVERDYCVLVKTILDMLVERNTCRDELSKEHLEMLYEIQLLNRSVKRRCRKMADLLIHYSIIGGDNSSRTYIFPPNVGGPGGITPLHLAACASGSDGLVDALTNDPHEIGLSCWNSVLDANGLSPYAYAVMTKNHSYNLLVARKLADKRNGQISVAIGNEIEQAALEQEHVTISQFQRERKSCAKCASVAAKMHGRFLGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPDIGLVAPFKWENLNYGTI >Potri.002G002400.11.v4.1 pep chromosome:Pop_tri_v4:2:161426:167619:-1 gene:Potri.002G002400.v4.1 transcript:Potri.002G002400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002400.v4.1 MEEVGAQVAAPIFIHEALSSRYCDMTSMAKKHDLSYQSPNSQLQQHQFLQASREKNWNSKAWDWDSVGFVAKPSVAAETLRLGTVSREPKKKDKSDSKNKSNSVNEDDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPGNGSYPMCQVDNCKEDLSKAKDYHRRHKVCQVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLLPGNPDMNNNGNLDIVNLLTALARSQGRNDDKSTNCPTVPDKDQLIQILNKINSLPLPMDLAAKLSNIASLNVKNPNQPYLGHQNRLNGTASSPSTNDLLAVLSTTLAASAPDALAILSQRSSQSSDNDKSKLPGPNQVTVPHLQKRSNVEFPAVGVERISRCYESPAEDSDYQIQESRPNLPLQLFSSSPENESRQKPASSGKYFSSDSSNPIEERSPSSSPPVVQKLFPLQSTAETMKSEKMSVSREVNANVEGDRSHGCVLPLELFRGPNREPDHSSFQSFPYRGGYTSSSGSDHSPSSQNSDPQDRTGRIIFKLFDKDPSHFPGTLRTKIYNWLSNSPSEMESYIRPGCVVLSVYLSMPSASWEQGIFVVFAA >Potri.002G002400.1.v4.1 pep chromosome:Pop_tri_v4:2:161456:167814:-1 gene:Potri.002G002400.v4.1 transcript:Potri.002G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002400.v4.1 MEEVGAQVAAPIFIHEALSSRYCDMTSMAKKHDLSYQSPNSQLQQHQFLQASREKNWNSKAWDWDSVGFVAKPSVAAETLRLGTVSREPKKKDKSDSKNKSNSVNEDDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPGNGSYPMCQVDNCKEDLSKAKDYHRRHKVCQVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLLPGNPDMNNNGNLDIVNLLTALARSQGRNDDKSTNCPTVPDKDQLIQILNKINSLPLPMDLAAKLSNIASLNVKNPNQPYLGHQNRLNGTASSPSTNDLLAVLSTTLAASAPDALAILSQRSSQSSDNDKSKLPGPNQVTVPHLQKRSNVEFPAVGVERISRCYESPAEDSDYQIQESRPNLPLQLFSSSPENESRQKPASSGKYFSSDSSNPIEERSPSSSPPVVQKLFPLQSTAETMKSEKMSVSREVNANVEGDRSHGCVLPLELFRGPNREPDHSSFQSFPYRGGYTSSSGSDHSPSSQNSDPQDRTGRIIFKLFDKDPSHFPGTLRTKIYNWLSNSPSEMESYIRPGCVVLSVYLSMPSASWEQLERNLLQLVDSLVQDSDSDLWRSGRFLLNTGRQLASHKDGKVRLCKSWRTWSSPELILVSPVAVIGGQETSLQLKGRNLTGPGTKIHCTYMGGYTSKEVTDSSSPGSMYDEINVGGFKIHGPSPSILGRCFIEVENGFKGNSFPVIIADASICKELRLLESEFDENAVVSNIVSEEQTRDLGRPRSREEVMHFLNELGWLFQRKSMPSMHEAPDYSLNRFKFLLIFSVERDYCVLVKTILDMLVERNTCRDELSKEHLEMLYEIQLLNRSVKRRCRKMADLLIHYSIIGGDNSSRTYIFPPNVGGPGGITPLHLAACASGSDGLVDALTNDPHEIGLSCWNSVLDANGLSPYAYAVMTKNHSYNLLVARKLADKRNGQISVAIGNEIEQAALEQEHVTISQFQRERKSCAKCASVAAKMHGRFLGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPDIGLVAPFKWENLNYGTI >Potri.002G002400.8.v4.1 pep chromosome:Pop_tri_v4:2:161459:167615:-1 gene:Potri.002G002400.v4.1 transcript:Potri.002G002400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002400.v4.1 MEEVGAQVAAPIFIHEALSSRYCDMTSMAKKHDLSYQSPNSQLQQHQFLQASREKNWNSKAWDWDSVGFVAKPSVAAETLRLGTVSREPKKKDKSDSKNKSNSVNEDDDGLGLNLGGSLTSVEEPVSRPNKRVRSGSPGNGSYPMCQVDNCKEDLSKAKDYHRRHKVCQVHSKATKALVGKQMQRFCQQCSRFHPLTEFDEGKRSCRRRLAGHNRRRRKTQPEDVTSRLLLPGNPDMNNNGNLDIVNLLTALARSQGRNDDKSTNCPTVPDKDQLIQILNKINSLPLPMDLAAKLSNIASLNVKNPNQPYLGHQNRLNGTASSPSTNDLLAVLSTTLAASAPDALAILSQRSSQSSDNDKSKLPGPNQVTVPHLQKRSNVEFPAVGVERISRCYESPAEDSDYQIQESRPNLPLQLFSSSPENESRQKPASSGKYFSSDSSNPIEERSPSSSPPVVQKLFPLQSTAETMKSEKMSVSREVNANVEGDRSHGCVLPLELFRGPNREPDHSSFQSFPYRGGYTSSSGSDHSPSSQNSDPQDRTGRIIFKLFDKDPSHFPGTLRTKIYNWLSNSPSEMESYIRPGCVVLSVYLSMPSASWEQLERNLLQLVDSLVQDSDSDLWRSGRFLLNTGRQLASHKDGKVRLCKSWRTWSSPELILVSPVAVIGGQETSLQLKGRNLTGPGTKIHCTYMGGYTSKEVTDSSSPGSMYDEINVGGFKIHGPSPSILGRCFIEVENGFKGNSFPVIIADASICKELRLLESEFDENAVVSNIVSEEQTRDLGRPRSREEVMHFLNELGWLFQRKSMPSMHEAPDYSLNRFKFLLIFSVERDYCVLVKTILDMLVERNTCRDELSKEHLEMLYEIQLLNRSVKRRCRKMADLLIHYSIIGGDNSSRTYIFPPNVGGPGGITPLHLAACASGSDGLVDALTNDPHEIGLSCWNSVLDANGLSPYAYAVMTKNHSYNLLVARKLADKRNGQISVAIGNEIEQAALEQEHVTISQFQRERKSCAKCASVAAKMHGRFLGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPDIGLVAPFKWENLNYGTI >Potri.002G002400.9.v4.1 pep chromosome:Pop_tri_v4:2:161618:165974:-1 gene:Potri.002G002400.v4.1 transcript:Potri.002G002400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002400.v4.1 MFRPLCCAEIFTLKIIGRNDDKSTNCPTVPDKDQLIQILNKINSLPLPMDLAAKLSNIASLNVKNPNQPYLGHQNRLNGTASSPSTNDLLAVLSTTLAASAPDALAILSQRSSQSSDNDKSKLPGPNQVTVPHLQKRSNVEFPAVGVERISRCYESPAEDSDYQIQESRPNLPLQLFSSSPENESRQKPASSGKYFSSDSSNPIEERSPSSSPPVVQKLFPLQSTAETMKSEKMSVSREVNANVEGDRSHGCVLPLELFRGPNREPDHSSFQSFPYRGGYTSSSGSDHSPSSQNSDPQDRTGRIIFKLFDKDPSHFPGTLRTKIYNWLSNSPSEMESYIRPGCVVLSVYLSMPSASWEQLERNLLQLVDSLVQDSDSDLWRSGRFLLNTGRQLASHKDGKVRLCKSWRTWSSPELILVSPVAVIGGQETSLQLKGRNLTGPGTKIHCTYMGGYTSKEVTDSSSPGSMYDEINVGGFKIHGPSPSILGRCFIEVENGFKGNSFPVIIADASICKELRLLESEFDENAVVSNIVSEEQTRDLGRPRSREEVMHFLNELGWLFQRKSMPSMHEAPDYSLNRFKFLLIFSVERDYCVLVKTILDMLVERNTCRDELSKEHLEMLYEIQLLNRSVKRRCRKMADLLIHYSIIGGDNSSRTYIFPPNVGGPGGITPLHLAACASGSDGLVDALTNDPHEIGLSCWNSVLDANGLSPYAYAVMTKNHSYNLLVARKLADKRNGQISVAIGNEIEQAALEQEHVTISQFQRERKSCAKCASVAAKMHGRFLGSQGLLQRPYVHSMLAIAAVCVCVCLFFRGAPDIGLVAPFKWENLNYGTI >Potri.008G113600.1.v4.1 pep chromosome:Pop_tri_v4:8:7228804:7230125:-1 gene:Potri.008G113600.v4.1 transcript:Potri.008G113600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G113600.v4.1 MFPLRGLTSTPLPPLHLKTSHPLPPLNATISTAITNKFSISDEDLESRGFNLHRKIADLNLDHLNKVFVAVGFPRRDPQKIRLALENTNSLLWVEYKKTQKPVAFARATGDGVFNAIIWDVVVDPSFQGIGLGKAVMERLMEELLEKGIINIALYSEPRVLGFYRPLGFVADPDGIKGMVYSRKKNSKR >Potri.008G075000.1.v4.1 pep chromosome:Pop_tri_v4:8:4646833:4650655:-1 gene:Potri.008G075000.v4.1 transcript:Potri.008G075000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G075000.v4.1 MENSSLILDGQKRVPLGLVVSDCAKRWFQDTLKEAKTGDITMQVLVGQMYFNGYGVPKDVEKGSDWMSRASKRRMSVWKVSDKRPGYNASDSDSDEVEDDRK >Potri.005G038600.2.v4.1 pep chromosome:Pop_tri_v4:5:2481524:2482426:1 gene:Potri.005G038600.v4.1 transcript:Potri.005G038600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038600.v4.1 MAAVSSKWVYLLLLFLCIVLHLSAITLGDDKLDKTRFGDDNCGFGRRGCGGGRGGGRGGGGGLGGGAGGGVGGGFGGGGGGGVGGGSGHGEGFGAGGGVGGGLGGGAGGGGGGGGGGGGGGIGGGSGQGGGFGAGGGVGSGLGGSAGGGGGGGGGGGGGIGGGSGHGRGFGAGGGVGGGGLGGGGGGGGGGGGGGGIGGGSGHGGGFGAGGGVGGGGLGGGAGGGEGGGGGLGGGSGHGGGFGAGGGVGGGAGGGLGGGSGGGAGGGFGVGIGVGIGVGVGAGAGQGSGIGSGSGNSGRH >Potri.009G027700.1.v4.1 pep chromosome:Pop_tri_v4:9:3911089:3912473:1 gene:Potri.009G027700.v4.1 transcript:Potri.009G027700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027700.v4.1 MSSITMKRGREEAELDMANCLMLLYKVGKADDHELPTNYKSSSPSGAGRLFSCKTCNKNFSSFQALGGHRASHKKPKLVGSTGNLLMKLPNSPPKPKNHQCSICGLEFPIGQALGGHMRRHRAGNIDATSNSADNELAVTYPPFLPAIPVLKKSNSSKRVLCLDLSLALPMDQNESELQLRKAGTRPVLKCFI >Potri.016G138750.1.v4.1 pep chromosome:Pop_tri_v4:16:14208817:14208966:-1 gene:Potri.016G138750.v4.1 transcript:Potri.016G138750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138750.v4.1 MKESSRVGWHFLFYLYLSGTSSCTTDGLCMEPTIPCGPFPRIIWSCRFL >Potri.008G159900.1.v4.1 pep chromosome:Pop_tri_v4:8:10988395:10993968:1 gene:Potri.008G159900.v4.1 transcript:Potri.008G159900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159900.v4.1 MQKRDLNKSGGSGGGSAAPAPKRGRPFGSTSGSAAASFAADFVAPSTLLGPSLQVHTSFAASDQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKEDMRKDSLAKISGLLDALLQVIDDWRDIALPKELQKTRRVRTLGSNSLVTGFGYEYEALGSNDNVKQSGLTDASVQKNVAKFRPSEWWYDEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPENEVIMAANRHCLETVFQCIEDHSTEDEELVTNALETIVNLAPLLDLRIFSSSKPSYIKITEKRAVQAIMGMLGSAVKAWHCAAAELLGRLIINPDNEPFLLPFFPQIHKRLVDLMSSPALDAQAAAVGALYNLAEVNMDCRLKLASERWAVDRLLRVIRAPHPVPEVCRKAAMILESLVSEPQNKALLLAYENAFAEILFSDTRYSDTFARILYELTSRPSNKFTAARGVWGM >Potri.008G159900.2.v4.1 pep chromosome:Pop_tri_v4:8:10988385:10993982:1 gene:Potri.008G159900.v4.1 transcript:Potri.008G159900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G159900.v4.1 MQKRDLNKSGGSGGGSAAPAPKRGRPFGSTSGSAAASFAADFVAPSTLLGPSLQVHTSFADQNNKRIVLALQSGLKSELTWALNTLTLLSFKEKEDMRKDSLAKISGLLDALLQVIDDWRDIALPKELQKTRRVRTLGSNSLVTGFGYEYEALGSNDNVKQSGLTDASVQKNVAKFRPSEWWYDEDGLFNLDDEGRAEKQQCAVAASNIIRNFSFMPENEVIMAANRHCLETVFQCIEDHSTEDEELVTNALETIVNLAPLLDLRIFSSSKPSYIKITEKRAVQAIMGMLGSAVKAWHCAAAELLGRLIINPDNEPFLLPFFPQIHKRLVDLMSSPALDAQAAAVGALYNLAEVNMDCRLKLASERWAVDRLLRVIRAPHPVPEVCRKAAMILESLVSEPQNKALLLAYENAFAEILFSDTRYSDTFARILYELTSRPSNKFTAARGVWGM >Potri.002G214100.1.v4.1 pep chromosome:Pop_tri_v4:2:19824960:19830711:-1 gene:Potri.002G214100.v4.1 transcript:Potri.002G214100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G214100.v4.1 MADRNLQKMASIDAQLRLLAPGKVSEDDKLVEYDALLLDRFLDILQDLHGKDIRETVHDCYELSAEYEAKHDPQKLEELGRVITSLDPGDSIVVTKSFSHMLNLANLAEEVQIASRRRIKLKKGDFADENSATTESDIEETLNRLVGQLNKSPEEVFEALKNQTVDLVLTAHPTQSVRRSLLQKHARIRNCLTQLYAKDITPYDKQELDEALQREIQAAFRTDEIRRTPPTPQDEMRAGMSYFHETIWKGVPKFLRRLDTALKNIGIKERVPYNAPVIQFSSWMGGDRDGNPRVTPEVTRDVCLLARMMAANLYFSQIEDLMFELSMWRCNEELRVRADELHSSSKRYAKHYIEFWKQIPPNEPYRVVLGNVRDKLYNTRERSRQLLANGKSDIPEDSTFTNAEQILEPLELCYRSLCACGDRPIADGSLLDFLRQVSTFGLSLVRLDIRQESDRHTAVLDAITKHLGIGSYREWSEEHRREWLLSELRGKRPLFGPDLPKTEEIADVLDTFHVIAELPPDNFGAYIISMATSPSDVLAVELLQRECHVQQSLRVVPLFEKLADLEAAPAAMACLFSINWYRDRIKGKQEVMIGYSDSGKDAGRLSAAWQLYKAQEELVKVAKQYGVKLTMFHGRGGTVGRGGGPTHLAILSQPPDTIHGSLRVTVQGEVIEKSFGEEHLCFRTLQRFTAATLEHGMHPPVSPKSEWRALMDEMAIVATKEYRSIVFQEPRFVEYFRLATPELEYGRMNIGSRPSKRKPSGGIESLRAIPWIFAWTQTRFHLPVWLGFGAAFKHVIQKDTKNLHTLQEMYNQWPFFRVTIDLVEMVFAKGGPGIAALYDKLLVSEELRPFGDRLRANYEENKLFLLQIAGHKDLLEGDPYLKQRLRLRDAYITTLNVCQAYTLKQIRDPDYHVTVRPHLSKDYMESTKPAAELVKLNPTSEYAPGLEDTLILTMKGIAAGLQNTG >Potri.010G001000.4.v4.1 pep chromosome:Pop_tri_v4:10:115027:119374:1 gene:Potri.010G001000.v4.1 transcript:Potri.010G001000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001000.v4.1 MLNLGYCKGSMSTASSGGVSDQFPAGLRVLVVDDDPTCLVILEKMLRTCLYEVTKCNRAEIALSLLRENRNGYDIVISDVHMPDMDGFKLLELIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPIRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGGDRQQKQSEDADYSSSANEGSWKNSKRRKDEEEEADERDDTSTLKKPRVVWSVELHQQFVAAVHQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSGMGNSFINPQEATYGPLSSLNGLDLQTLAAAGQIPAQSLATLQAAGLGRSTAKPRMPMPIVDQRNLFSFENPKLRFGEGQQQHLNNGKQINLLHGIPTTMEPKQLADLHHSAQSLGSMNMQLNAHGDQSGQSGSLLMQMSQQQSRGQILNETTSSQVPRLPSSIGQPIVTNAIASGVLARNGLAENGRGTGFNPVSQSSPTLNFPLNTTAELTATSFALGSAPGVPSLTSKGTFPEDISSEMKGPGGFIMPSYDIFSELQHHRSHDWELQNVGMAFNGSQQSNSLQSNVDVASSVLAHQGFSSSQSNGQGRNISAVSKPIFSAGDATSHVNAQSLGQPLNTFFAENLVRVKTERVPDANLQTTLFNEQFGQEDLMSALLKQQQAGIGPAEIEFDFDGYSLDNIPV >Potri.010G001000.1.v4.1 pep chromosome:Pop_tri_v4:10:115102:119385:1 gene:Potri.010G001000.v4.1 transcript:Potri.010G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G001000.v4.1 MLNLGYCKGSMSTASSGGVSDQFPAGLRVLVVDDDPTCLVILEKMLRTCLYEVTKCNRAEIALSLLRENRNGYDIVISDVHMPDMDGFKLLELIGLEMDLPVIMMSADDGKNVVMKGVTHGACDYLIKPIRIEALKNIWQHVVRKRKNEWKDLEQSGSVEEGGDRQQKQSEDADYSSSANEGSWKNSKRRKDEEEEADERDDTSTLKKPRVVWSVELHQQFVAAVHQLGIDKAVPKKILELMNVPGLTRENVASHLQKYRLYLRRLSGVSQHQSGMGNSFINPQEATYGPLSSLNGLDLQTLAAAGQIPAQSLATLQAAGLGRSTAKPRMPMPIVDQRNLFSFENPKLRFGEGQQQHLNNGKQINLLHGIPTTMEPKQLADLHHSAQSLGSMNMQLNAHGDQSGQSGSLLMQMSQQQSRGQILNETTSSQVPRLPSSIGQPIVTNAIASGVLARNGLAENGRGTGFNPVSQSSPTLNFPLNTTAELTATSFALGSAPGVPSLTSKGTFPEDISSEMKGPGGFIMPSYDIFSELQHHRSHDWELQNVGMAFNGSQQSNSLQSNVDVASSVLAHQGFSSSQSNGQGRNISAVSKPIFSAGDATSHVNAQSLGQPLNTFFAENLVRVKTERVPDANLQTTLFNEQFGQEDLMSALLKQQQQAGIGPAEIEFDFDGYSLDNIPV >Potri.001G408700.1.v4.1 pep chromosome:Pop_tri_v4:1:43643215:43644946:1 gene:Potri.001G408700.v4.1 transcript:Potri.001G408700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408700.v4.1 MGKSPCCEKNGLKKGPWTEEEDKKLISYIQKHGHGRWRLLPKNAGLKRCGKSCRLRWTNYLRPDIKRGKLSFEEEETIIQLHSVLGNKWSAIATRLPGRTDNEIKNYWNTHIRKRLLRMGIDPVTHKPHLDLLQLYSVLNSTVHNSSQLNISSSLGIGPILSPDLLNLATPYLSCQGNYISQDVSPKLVQDSQVGNNHVQNQFQCFQPNELQNQIQACTNTLSAQFLYETQISAANLEQLSCNPTNFSCQNSLRSSWHANGESTPDICESLMSNYVYHDSNNKPITNDISFETLQSLSFGSLLSTPSSSTTPLRSSSTTYVNVSTEDEKDSYCSNMLVFDMPNSLDASRLI >Potri.006G041400.1.v4.1 pep chromosome:Pop_tri_v4:6:2790235:2793153:1 gene:Potri.006G041400.v4.1 transcript:Potri.006G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G041400.v4.1 MASSRFQIQPDEAVLLRVTHSNIKSFSAEIRFSLQSSVEAVKDKLWRKCGTSVNSMQLELYDDANSKVSNLTDDSRPLGFYSPFDGYRLHIIDLDPSSVTAGGWLEDISSVEKYSISEEAYDKRDGTFRKFKEKMAAQNPSAFAPKITDDYMEDLCANIKVGDRCEIDPGEKRGVVKYVGRAESLAPGFWVGVQFDEPFGKHDGMVKGVRYFDSPPLHGAMIRPDKVKVGDYPERDPFEEEEI >Potri.006G253400.1.v4.1 pep chromosome:Pop_tri_v4:6:25148449:25150679:-1 gene:Potri.006G253400.v4.1 transcript:Potri.006G253400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G253400.v4.1 MKFWKSLSNLIEETVPDWRDEFLSYKDLKKQLKLIYPKDGDKPLNKRPRLDDDQMDGGDGDGGEVEKEVIDFVRVLEDEMEKFNAFIVEKEEDSVIKWKELQDGVEKAKDSNEELMRVGREIVDFHGEMVLLENYSALNYTGLVKILKKYDKRSGALVRMPFIQRVMQQPFYTTHVLTKLIKECEAMLDRVFSRNEPSVSPHATEVESHDNKTSNAIAERSLRVPNELPEIEYTESMYVKPTLSALRVLKEIRSGSSTVNVYSLPPLQSNTQDGDWKKVTVLEQTAK >Potri.013G066600.1.v4.1 pep chromosome:Pop_tri_v4:13:4990227:4991543:1 gene:Potri.013G066600.v4.1 transcript:Potri.013G066600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066600.v4.1 MAGSLRLLALLLALSFVLSSVAVPATRSLKSNDEIPSEVQDLLPQDAVISTDGEMLIDAGEGYIEGRMDLESTDYPGTGANNHHDPKTPGKA >Potri.008G055300.3.v4.1 pep chromosome:Pop_tri_v4:8:3256368:3257730:1 gene:Potri.008G055300.v4.1 transcript:Potri.008G055300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055300.v4.1 MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMEVFGQNPNRIVDGYSEEFENEFLDSMKRSHRFSRVAATVIYNEYIHDRHHIHMNSTQWATLTEFVKHLGRTGKCKVDETPKGWFMTYIDRDSETIFKENAKSKRVRADLAEEEKQEREIKKQIEKAEEFLTVKNDSVDSGEVRPAKELKLDSGVKVGFALGSKSNNVGKETGESFSSRLVFEEVEDKERKMGKNKEGGIGGKSGKSALEELMKEEEKAKERTNRKDYWLFEGIIVKVMSKALAEKGYYKQKGVVRKVIDKYVAEIEILENKHKLRVDQEELETVIPQIGGLVKIVNGAYRGSNARLLGVDTEKFCAKVQIEKGIYDGRVLKAVEYEDICKLA >Potri.008G055300.4.v4.1 pep chromosome:Pop_tri_v4:8:3256822:3257882:1 gene:Potri.008G055300.v4.1 transcript:Potri.008G055300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G055300.v4.1 MTYIDRDSETIFKENAKSKRVRADLAEEEKQEREIKKQIEKAEEFLTVKNDSVDSGEVRPAKELKLDSGVKVGFALGSKSNNVGKETGESFSSRLVFEEVEDKERKMGKNKEGGIGGKSGKSALEELMKEEEKAKERTNRKDYWLFEGIIVKVMSKALAEKGYYKQKGVVRKVIDKYVAEIEILENKHKLRVDQEELETVIPQIGGLVKIVNGAYRGSNARLLGVDTEKFCAKVQIEKGIYDGRVLKAVEYEDICKLA >Potri.001G019100.4.v4.1 pep chromosome:Pop_tri_v4:1:1418759:1424379:1 gene:Potri.001G019100.v4.1 transcript:Potri.001G019100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019100.v4.1 MGSDGEMKINGKADDDLKAPLLKPSEDVAITVFPDKGDKKVRTVKFKIGEIKCTSCSTSIESMLGEVHGVESAVISPLDGRAAITYVPELVDVNKIKETIEDAGFPVDEFPEHDIEVCRLRIKGMMCTSCSESVERVLLMADGVKKAVVGLALEEAKVHFDPNLIDTDGILEAVQDAGFGAELISSGNDMNKVHLKVEGFNFAEDGNMIQSCLESTPGVNHVEVDLAEHKVTVCYDPDLIGPRSIIQRIGDASSGPNIYHAELYVPPRRRETEQLQEVRMYRNQFLLCCLFSVPVLVFSMVLPMLHPYGNWLEYRIHNMLTVGMLLRLILCTPVQFIVGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSVYMVIKAITSDTFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLTELAPDTAHLVTVDSDGNVVSEMDISTELIQRNDMIKIVPGEKVPVDGIVIDGQSYVNESMITGEARPIAKRPGDKVIGGTMNENGCLLVRATHVGSETALSQIVQLVEAAQLSRAPVQKLADRISKIFVPTVVIAAFITWLGWFIPGEAGLYPKHWIPKAMDRFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALQKAHKVKTVVFDKTGTLTVGKPEVVSAVLFSSFSMEEFCDMVTAAEANSEHPIAKAVVKHAKRLRQKIAPNAEYIAEVKDFEVHTGAGVSGKVGDRNVLVGNRRLMQSCNVSVGSEVENYIREHEQLARTCVLVAIDGGVAGAFAVTDPVKPEAECVISFLRSMGISSIMVTGDNWATASAIAKEVGIEKVFAETDPLGKADRIKDLQGKGMTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTMSRIRLNYVWALGYNILGMPIAAGILYPFTGIRLPPWLAGACMAASSLSVVCSSLMLQSYKKPLRVRDRAS >Potri.001G019100.1.v4.1 pep chromosome:Pop_tri_v4:1:1418706:1424475:1 gene:Potri.001G019100.v4.1 transcript:Potri.001G019100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019100.v4.1 MGSDGEMKINGKADDDLKAPLLKPSEDVAITVFPDKGDKKVRTVKFKIGEIKCTSCSTSIESMLGEVHGVESAVISPLDGRAAITYVPELVDVNKIKETIEDAGFPVDEFPEHDIEVCRLRIKGMMCTSCSESVERVLLMADGVKKAVVGLALEEAKVHFDPNLIDTDGILEAVQDAGFGAELISSGNDMNKVHLKVEGFNFAEDGNMIQSCLESTPGVNHVEVDLAEHKVTVCYDPDLIGPRSIIQRIGDASSGPNIYHAELYVPPRRRETEQLQEVRMYRNQFLLCCLFSVPVLVFSMVLPMLHPYGNWLEYRIHNMLTVGMLLRLILCTPVQFIVGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSVYMVIKAITSDTFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLTELAPDTAHLVTVDSDGNVVSEMDISTELIQRNDMIKIVPGEKVPVDGIVIDGQSYVNESMITGEARPIAKRPGDKVIGGTMNENGCLLVRATHVGSETALSQIVQLVEAAQLSRAPVQKLADRISKIFVPTVVIAAFITWLGWFIPGEAGLYPKHWIPKAMDRFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALQKAHKVKTVVFDKTGTLTVGKPEVVSAVLFSSFSMEEFCDMVTAAEANSEHPIAKAVVKHAKRLRQKIAPNAEYIAEVKDFEVHTGAGVSGKVGDRNVLVGNRRLMQSCNVSVGSEVENYIREHEQLARTCVLVAIDGGVAGAFAVTDPVKPEAECVISFLRSMGISSIMVTGDNWATASAIAKEVGIEKVFAETDPLGKADRIKDLQGKGMTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTMSRIRLNYVWALGYNILGMPIAAGILYPFTGIRLPPWLAGACMAASSLSVVCSSLMLQSYKKPLRVRDRAS >Potri.001G019100.3.v4.1 pep chromosome:Pop_tri_v4:1:1419087:1424439:1 gene:Potri.001G019100.v4.1 transcript:Potri.001G019100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019100.v4.1 MGSDGEMKINGKADDDLKAPLLKPSEDVAITVFPDKGDKKVRTVKFKIGEIKCTSCSTSIESMLGEVHGVESAVISPLDGRAAITYVPELVDVNKIKETIEDAGFPVDEFPEHDIEVCRLRIKGMMCTSCSESVERVLLMADGVKKAVVGLALEEAKVHFDPNLIDTDGILEAVQDAGFGAELISSGNDMNKVHLKVEGFNFAEDGNMIQSCLESTPGVNHVEVDLAEHKVTVCYDPDLIGPRSIIQRIGDASSGPNIYHAELYVPPRRRETEQLQEVRMYRNQFLLCCLFSVPVLVFSMVLPMLHPYGNWLEYRIHNMLTVGMLLRLILCTPVQFIVGRRFYVGSYHALRRKSANMDVLVALGTNAAYFYSVYMVIKAITSDTFEGQDFFETSAMLISFILLGKYLEVVAKGKTSDALAKLTELAPDTAHLVTVDSDGNVVSEMDISTELIQRNDMIKIVPGEKVPVDGIVIDGQSYVNESMITGEARPIAKRPGDKVIGGTMNENGCLLVRATHVGSETALSQIVQLVEAAQLSRAPVQKLADRISKIFVPTVVIAAFITWLGWFIPGEAGLYPKHWIPKAMDRFELALQFGISVLVVACPCALGLATPTAVMVATGKGASQGVLIKGGNALQKAHKVKTVVFDKTGTLTVGKPEVVSAVLFSSFSMEEFCDMVTAAEANSEHPIAKAVVKHAKRLRQKIAPNAEYIAEVKDFEVHTGAGVSGKVGDRNVLVGNRRLMQSCNVSVGSEVENYIREHEQLARTCVLVAIDGGVAGAFAVTDPVKPEAECVISFLRSMGISSIMVTGDNWATASAIAKEVGIEKVFAETDPLGKADRIKDLQGKGMTVAMVGDGINDSPALVAADVGMAIGAGTDVAIEAADIVLIKSNLEDVVTAIDLSRKTMSRIRLNYVWALGYNILGMPIAAGILYPFTGIRLPPWLAGACMAASSLSVVCSSLMLQSYKKPLRVRDRAS >Potri.019G067900.1.v4.1 pep chromosome:Pop_tri_v4:19:10843431:10845742:1 gene:Potri.019G067900.v4.1 transcript:Potri.019G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067900.v4.1 MEELKPMPPSSCPFTPIGFLERAATVYGDCPSVIYNTTTYTWSQTYRRCLKVASSLSSNGIKPGQVVSVVAPNVPAMYELQFAVPMSGAILNNINTRLDARTISILLRHSESKLVFVDYLSLDVILEALSLFPPETPCPALVLITDEEEEAPPPPSLSVDFCTYESMVEKGDPEFKWVQPQSEWDPVVLNYTSGTTSAPKGVVQTHRATFIITIGSLIDWSLPKQAVYLWTLPIFHANGWSYAWGMAALGGTNICLRRVEAPTIYSLIKRHGVTHMCGAPVVLNMLTNSPNAERLQNPVQILTGGAPPPSAVLFRAESLGFVVSHGYGLTETAGLVTSCAWKPKWNTFPASERAILKSRQGVPIVGFTEMGVVDPNTGKSVERDGVSLGEVVLRGGSVMLGYFKDPLGTSMCMKDGWFYTGDVGVVHSDGYLEVKDRSKDVIISGGENISSVEIESVLYTHPAVNEAAVVARPDEFWGETPCAFVSLKNGLPHKPGEKDIIDYCREKMAHYMVPKIVVFKDELPKTSTGKIQKYLLREYAKVVDSSKVKMATGQVGSGFYLPLRSPRWLQTPFIPAPISTSICVPIPRCRPVSLYNFSQSTFLAKTRGSEIFSIFQKNQGTVLHQPHRLGNTHARFL >Potri.002G248600.1.v4.1 pep chromosome:Pop_tri_v4:2:23904535:23907335:1 gene:Potri.002G248600.v4.1 transcript:Potri.002G248600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248600.v4.1 MAKTGVFKSDPMVMTKAMELKKELQKLVRNIVDDEDYRTELIDQARETLFALKGLKVKRRSSSLKLRETVLCPEEFKCPLSKELMRDPVVLATGQTYDRPFIQKWLKAGNRTCPLTQQVLSHTILTPNLLIREMISQWCKSQGLELPDLSQNVNEEGITEADRDHFLSLLEKMSLTLPEQKDAARELRLLTKRMPSFRALFSESLEAIPQLLRPLSEGKSGSSMYPNLQEDIITTLLNLSIHDNNKKLVAETPMVIPLLMEALRSGSIETRTNAAAALFTLSALDSNKTLIGKSGALKPLIDLLEEGHPSAMKDVASAIFNLCIIHENKARAVRDGALKVILTKIMNGMHVDELLAILAVLASHQKVVEELGDSGAVPCLLRIIRESTCDRNKENCIAILHTICLNDRTKWKVMRDEESSYGTISKLARHGTSRAKRKANGILERLNRAVNLTHTA >Potri.006G138000.8.v4.1 pep chromosome:Pop_tri_v4:6:11467825:11474526:-1 gene:Potri.006G138000.v4.1 transcript:Potri.006G138000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138000.v4.1 MTGSGSLSSPLCTWIVAACMSVTCAKESKHALSPPSSTTGNRSRRRKAAAAAALKCNSNNKYNNYGSGMGGGGPSLQGLVSSCLAFEPCSHYYASNGLLRSNRKLRRLHPPALSGQAMAVAVQPTKEVETKKKPHTKQKRVVVTGLGVVSPLGHEPDVFYNNLLEGVSGVSQIEAFDCAQFSTRIAGEIKSISTDGWVSPKLAKRMDKFMLYMLIAGKKALADGGITEDVMDELNKAKCGVLIGSAMGGMKVFNDAIEALRISYKKVNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATGNFCILNAANHIIRGEADVMLCGGSDSAIIPIGLGGFVACRALSQRNDDPTKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHMTEPRPDGAGVILCIEKALAQSGVAKEDVNYINAHATSTPAGDLKEYHALMHCFGRNSGLRVNSTKSMIGHLLGASGAVEAIAAVQAIRTGWVHPNINLENPEQGMDTSVLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPFK >Potri.006G138000.6.v4.1 pep chromosome:Pop_tri_v4:6:11468327:11474668:-1 gene:Potri.006G138000.v4.1 transcript:Potri.006G138000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138000.v4.1 MTGSGSLSSPLCTWIVAACMSVTCAKESKHALSPPSSTTGNRSRRRKAAAAAALKCNSNNKYNNYGSGMGGGGPSLQGLVSSCLAFEPCSHYYASNGLLRSNRKLRRLHPPALSGQAMAVAVQPTKEVETKKKPHTKQKRVVVTGLGVVSPLGHEPDVFYNNLLEGVSGVSQIEAFDCAQFSTRIAGEIKSISTDGWVSPKLAKRMDKFMLYMLIAGKKALADGGITEDVMDELNKAKCGVLIGSAMGGMKVFNDAIEALRISYKKVNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATGNFCILNAANHIIRGEADVMLCGGSDSAIIPIGLGGFVACRALSQRNDDPTKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHMTEPRPDGAGVILCIEKALAQSGVAKEDVNYINAHATSTPAGDLKEYHALMHCFGRNSGLRVNSTKSMIGHLLGASGAVEAIAAVQAIRTGWVHPNINLENPEQGMDTSVLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPFK >Potri.006G138000.7.v4.1 pep chromosome:Pop_tri_v4:6:11468331:11474557:-1 gene:Potri.006G138000.v4.1 transcript:Potri.006G138000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138000.v4.1 MTGSGSLSSPLCTWIVAACMSVTCAKESKHALSPPSSTTGNRSRRRKAAAAAALKCNSNNKYNNYGSGMGGGGPSLQGLVSSCLAFEPCSHYYASNGLLRSNRKLRRLHPPALSGQAMAVAVQPTKEVETKKKPHTKQKRVVVTGLGVVSPLGHEPDVFYNNLLEGVSGVSQIEAFDCAQFSTRIAGEIKSISTDGWVSPKLAKRMDKFMLYMLIAGKKALADGGITEDVMDELNKAKCGVLIGSAMGGMKVFNDAIEALRISYKKVNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATGNFCILNAANHIIRGEADVMLCGGSDSAIIPIGLGGFVACRALSQRNDDPTKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHMTEPRPDGAGVILCIEKALAQSGVAKEDVNYINAHATSTPAGDLKEYHALMHCFGRNSGLRVNSTKSMIGHLLGASGAVEAIAAVQAIRTGWVHPNINLENPEQGMDTSVLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPFK >Potri.006G138000.5.v4.1 pep chromosome:Pop_tri_v4:6:11467804:11474672:-1 gene:Potri.006G138000.v4.1 transcript:Potri.006G138000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138000.v4.1 MTGSGSLSSPLCTWIVAACMSVTCAKESKHALSPPSSTTGNRSRRRKAAAAAALKCNSNNKYNNYGSGMGGGGPSLQGLVSSCLAFEPCSHYYASNGLLRSNRKLRRLHPPALSGQAMAVAVQPTKEVETKKKPHTKQKRVVVTGLGVVSPLGHEPDVFYNNLLEGVSGVSQIEAFDCAQFSTRIAGEIKSISTDGWVSPKLAKRMDKFMLYMLIAGKKALADGGITEDVMDELNKAKCGVLIGSAMGGMKVFNDAIEALRISYKKVNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATGNFCILNAANHIIRGEADVMLCGGSDSAIIPIGLGGFVACRALSQRNDDPTKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHMTEPRPDGAGVILCIEKALAQSGVAKEDVNYINAHATSTPAGDLKEYHALMHCFGRNSGLRVNSTKSMIGHLLGASGAVEAIAAVQAIRTGWVHPNINLENPEQGMDTSVLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPFK >Potri.006G138000.1.v4.1 pep chromosome:Pop_tri_v4:6:11467823:11474538:-1 gene:Potri.006G138000.v4.1 transcript:Potri.006G138000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G138000.v4.1 MTGSGSLSSPLCTWIVAACMSVTCAKESKHALSPPSSTTGNRSRRRKAAAAAALKCNSNNKYNNYGSGMGGGGPSLQGLVSSCLAFEPCSHYYASNGLLRSNRKLRRLHPPALSGQAMAVAVQPTKEVETKKKPHTKQKRVVVTGLGVVSPLGHEPDVFYNNLLEGVSGVSQIEAFDCAQFSTRIAGEIKSISTDGWVSPKLAKRMDKFMLYMLIAGKKALADGGITEDVMDELNKAKCGVLIGSAMGGMKVFNDAIEALRISYKKVNPFCVPFATTNMGSAMLAMDLGWMGPNYSISTACATGNFCILNAANHIIRGEADVMLCGGSDSAIIPIGLGGFVACRALSQRNDDPTKASRPWDMNRDGFVMGEGAGVLLLEELEHAKKRGANIYAEFLGGSFTCDAYHMTEPRPDGAGVILCIEKALAQSGVAKEDVNYINAHATSTPAGDLKEYHALMHCFGRNSGLRVNSTKSMIGHLLGASGAVEAIAAVQAIRTGWVHPNINLENPEQGMDTSVLVGPKKERLDIKAALSNSFGFGGHNSSIIFAPFK >Potri.005G089000.1.v4.1 pep chromosome:Pop_tri_v4:5:6195999:6200337:1 gene:Potri.005G089000.v4.1 transcript:Potri.005G089000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G089000.v4.1 MSSLSGMLQRPVIAAAAAALASVSVDFSDKLQSFRSFDSCSTSEQSSSLLSNSVQDSNFSWVSHSSVSKLASSSFVTRIQIPVPKLNFAVLDVSQNFVPSTLGFSVASSPLLVSLYQSAELAKGPKPSAFKTTNIPTSSPDILYRWHLPEPSTIDVSGSSDCLSEKSRTVVVLLGWLGSKQKHLKKYAEWYTSRGFHVITFTFPMAEILCYQVGGKAEQDIDLLVNHLTDWLEEDRKNLVFHTFSNTGWLTYGVILEKFQKQDPSLMGRIRGCIVDSAPVAAPDPQVWASGFSAAFLKNHSIATRVHMSSKESDMEVLVGSKTHVEPKPAITESALLAILEKFFDVILNLPTVNRRLSDVLSLLSSGQPSCPQLYIYSSADRVIPAGSVESFIEEQRRAGHEVRACNFVFTPHVDHFRNDPKLYTTQLSQFLDDYVLTRCKCS >Potri.009G165600.1.v4.1 pep chromosome:Pop_tri_v4:9:12675121:12680565:-1 gene:Potri.009G165600.v4.1 transcript:Potri.009G165600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165600.v4.1 MLYDISHLREKQAMKYLQDLIVYYLLTFSIPLWDTLIAGAFVLVSLSSSFYLLFEHLSAYRNPEEQKFLIGVILMVPCYAVESFVSLLDPSISVDIEILRDCYESFAMYCFGRYLVACLGGEERTIEFLEREGRSSSKAPLLEHNHERGIIKHPFPMNYIFKPWKLGQWFYQVVKFGIVQYMLIKSLTAVLAVLLEAFGVYCEGDFKLRCGYPYMAVVLNFSQSWALYCLVQFYTAIKDELAHIKPLYKFLTFKSIVFLTWWQGVAIALLYSLGLFKSPIAQGLQFKSSIQDFIICIEMGIASIVHLYVFPAKPYELMGDRFPGSVAVLGDYVSVDCPVDPDEVRDSERPTKLRLPQPDIDVRSGMTIKESVRDVVVGGGGFIVNDVKFTVNQAVEPVEKGIIKFNEKLHRISQNMKQHNKDKRRTKDDSCIATPSPTRRVIRGIDDPLLIGSFSDTGVARGKKHNRRKSGYISGESGGESSSDQSYGAYQVRGRRWVTKD >Potri.019G063600.2.v4.1 pep chromosome:Pop_tri_v4:19:10395347:10397940:1 gene:Potri.019G063600.v4.1 transcript:Potri.019G063600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G063600.v4.1 MTTNPAPLIVSFGEMLIDFVPDVAGVSLAESAGFIKAPGGAPANVACAITKLGGKSAFIGKVGDDEFGHMLVDILKKNGVNSEGVCYDPHARTALAFVTLKKNGEREFMFYRNPSADMLLQESELNMGLLKQAKVFHYGSISLISEPCKSAHLTAMKAAREAGILLSYDPNVRLPLWPSSDAARDGIKSIWNEADFIKVSDDEVSFLTQGDPQKEDVVLSLWHDRLKLLVVTDGEKGCRYFTKSFKGRVPGFSVKVVDTTGAGDAFVGSLLLSVAKDTSIFDNEEKLREALSFANACGAICTTQKGAIPALPSGSDALALMKSKAN >Potri.017G142700.2.v4.1 pep chromosome:Pop_tri_v4:17:14304697:14307676:-1 gene:Potri.017G142700.v4.1 transcript:Potri.017G142700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142700.v4.1 MGSGPSGASMKIVSAVITLLLMVVFLGYMMIWSVTPTNTFYLNWFPDVEKKTSSTYLGEQGSTILIYAFPILFIATIASLYLHLEKKYDHDINTRLFSRMILSKRLAITKGPLGIVTWTELTFLAMFVALLVWSLYSYMHDMFAFAAVEAAQEKFQVWEVKLETAGLSLGLVGNTCLAFLFFPVARGSSVLQYVGLTSEASIKYHIWLGHITMAIFTAHGLFYVTYWSLTHQILQILKWDKFMVSNVAGEIALLAGLVMWATSFGRIRRKIFELFYYSHHLYVVFVIFYVFHVGFAGSCLILPGFYLFLIDRYLRLLQSQQKIRSVAARILPSETVELNFSKSSGLSYAPTSIAFINVSSISRIQWHPFTVTSNSNMDSDKLSVVIKCDGSWSHKLYQILSSPSPTNRLEVSIEGPYGPPSANFMRYEKLVLVSGGSGVTPFISIIREIIFKSNTTGSKTPAIHLICAFKKYANLTMLELLLPVSGTTLDLSRLQLQIEAYITQETEPKTVNQSSIRTILFKPNPSDAPVSAVLGPNSWLWLSVIISSSFIIFLLLTGLLTRFYIFPIDHNTNMKYPMPASSAFGMLFVCVAITIAASAAFLWNKRENAKELNQIRTTDMSTPAPSPASLLYETELESLPHQSLRQATTVQLGRRPNLKKILSELKEENVGVYVSGPRTMRQEVAAVCSSFSADNLHFESISFSW >Potri.010G048200.1.v4.1 pep chromosome:Pop_tri_v4:10:8025986:8033658:-1 gene:Potri.010G048200.v4.1 transcript:Potri.010G048200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048200.v4.1 MSKKWGKIGAWAADSEQAEEEERAAAAAAVSQSFPSLKEAAGTAKPKKKKMSLNEFYSTPSGGGVESKGLTPDEMFRLPTGPKERSAEEMQHGHLGGGFSNYGRTGPPPGRMRDRDNTDGSWGGGGGRRQFGGGFDDERRGQPPSRVSDYDQPSRADEVDNWAMAKKPQPSFDSGRQSRYSSLGGGGGDGGGSRADEVDNWAVDKKPLPIRPSTFGSGFRDSGPEPDRSSRGGYREPERERPRLVLDPPRVEVVVNEPVKTNRSNPFGTARPREDVLAEKGLDWKKLEMEMEAKKNSSLQSSRPTSAHSSRPSSAQSNRSEGPGLLHQGFENVAAKPRPRVNPFGEAKPRELLLQERGQDWIKIDRELEHRGVDRPETEEEKLLKEQIEHLKTELEKATKVNQEPQQVSVDDLPSLREMINEKERELEILVRDLDDKVRFGPKAIERPGSGAGRSPGFSERPPSRPGSFDESRSMEFMDRPRSRGKPDIWARPADEQRSFQGGRERGFLGSRDFDRQRTDRW >Potri.010G048200.3.v4.1 pep chromosome:Pop_tri_v4:10:8025954:8033588:-1 gene:Potri.010G048200.v4.1 transcript:Potri.010G048200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048200.v4.1 MSKKWGKIGAWAADSEQAEEEERAAAAAAVSQSFPSLKEAAGTAKPKKKKMSLNEFYSTPSGGGVESKGLTPDEMFRLPTGPKERSAEEMQHGHLGGGFSNYGRTGPPPGRMRDRDNTDGSWGGGGGRRQFGGGFDDERRGQPPSRVSDYDQPSRADEVDNWAMAKKPQPSFDSGRQSRYSSLGGGGGDGGGSRADEVDNWAVDKKPLPIRPSTFGSGFRDSGPEPDRSSRGGYREPERERPRLVLDPPRVEVVVNEPVKTNRSNPFGTARPREDVLAEKGLDWKKLEMEMEAKKNSSLQSSRPTSAHSSRPSSAQSNRSEGPGLLHQGFENVAAKPRPRVNPFGEAKPRELLLQERGQDWIKIDRELEHRGVDRPETEEEKLLKEQIEHLKTELEKATKVNQEPQQVSVDDLPSLREMINEKERELEILVRDLDDKVRFGPKAIERPGSGAGRSPGFSERPPSRPGSFDESRSMEFMDRPRSRGKPDIWARPADEQRSFQGGRERGFLGSRDFDR >Potri.012G121826.1.v4.1 pep chromosome:Pop_tri_v4:12:13956347:13957473:1 gene:Potri.012G121826.v4.1 transcript:Potri.012G121826.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121826.v4.1 METGYYNVSNFSPFIYDMVLHFSVHGLSQVNMDGSSLDFNLLEMQPLSNLKWFDYCFREVLPGRVGRTLDEVGSILRTVQEDQSVLLVNIFGASDTITRNMLCHFERLNIRNYILIRPGSDFLFDLARRGHPVIDADQFFNHHRAQKVMGFQHSSAELMKNILVNAYVIKKCLENGYDSLIVNHGLC >Potri.017G039800.1.v4.1 pep chromosome:Pop_tri_v4:17:2634081:2635062:-1 gene:Potri.017G039800.v4.1 transcript:Potri.017G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039800.v4.1 MVMLNKRKKQTQGRQKIEIKKIEEKSNLQVTFSKRRGGLVKKASELSLLCGAQVAILAFSPGKKVFAFGHPDVDMVLDRYLSDSSTARELGAVNNNDPQVQQWNKEYEEALKELEEEKKQVAMAEQWNKVCENDVNARFWWDEPIDDMGLEELEEYVRAMEELKKNVAARANELTMASDHFGNQNMSHLDLGVNGFSLENVLF >Potri.010G025601.1.v4.1 pep chromosome:Pop_tri_v4:10:3725450:3729920:1 gene:Potri.010G025601.v4.1 transcript:Potri.010G025601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025601.v4.1 MRFLRDCISVLLFCSTLLLIVEVATPIDTINTTLSIRDGDTIVSAGGTYELGFFSPGKSKNRYLGIWYGKISVQTAVWVANRESPLNDSSGVVRLTNQGLLVLVNRSGSIIWSSNTSTPARNPVAQLLDSGNLVVKEEGDNNPENSLWQSFEHPGNTLIPGMKIGRNRVTGMDWSLAAWKSVDDPSRGNITGILVPYGYPELVELEDSKVKYRSGPWNGLGFSGMPPLKPNPIYTY >Potri.009G087400.1.v4.1 pep chromosome:Pop_tri_v4:9:8140151:8143342:-1 gene:Potri.009G087400.v4.1 transcript:Potri.009G087400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087400.v4.1 MGLWEAFLNWIRSLFFKQEMELSLIGLQNAGKTSLVNVIATGGYSEDMIPTVGFNMRKVTKGNVTIKLWDLGGQPRFRSMWERYCRAVSAIVYVVDAADYDNLSVSKSELHDLLSKPSLSGIPLLVLGNKIDKPGSLSKEDFMEQMGLKSITDREVCCYMISCKNSTNIDTVIDWLVKHSKSKN >Potri.013G103551.2.v4.1 pep chromosome:Pop_tri_v4:13:11260278:11263870:1 gene:Potri.013G103551.v4.1 transcript:Potri.013G103551.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G103551.v4.1 MIGYTVTTAISIASVKRSTCFHDKGHNAKCGVSGNLYMLIYGAIEIFLSQCPNLEKVAILSVIASVTSFAYALIALCLSTAKLSSNHEFKGSLMVAMVVNTEATSERFWQAFQALGNIALAYTYCMLLLEIQDTLKSVPPENKVMKRVSMYVVVGTAFFYISLGCIGYAAFGNDVPGNILSGFYEPFWLVDMANIAVIIHLIGAYQVYAQPLFAINEKWIGSRWPTSSFNKIYTIRFPCSRKGSLHLTINRLFLRPIFVVITTAVAMMFPFFNAILGLLGSVSFWPLTVYFPISMYIVQAKIKRGSCHWFGLQALGFVCLIVTVVSGIGSVAGMVEFLKKARLFHIEI >Potri.012G109000.1.v4.1 pep chromosome:Pop_tri_v4:12:12998023:12998481:1 gene:Potri.012G109000.v4.1 transcript:Potri.012G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G109000.v4.1 MDYISTGLLGPSSSWTTHHSSGFPGQIQLGNSIPQPMTMSVPPFNVSGENHEEELQHFPFICDHLIPVAATTQTVGDYNLNFTISSSLAAGFNRGTLQSNPHVTLGSGCPADPVTSGLAG >Potri.011G024800.3.v4.1 pep chromosome:Pop_tri_v4:11:1833788:1841398:1 gene:Potri.011G024800.v4.1 transcript:Potri.011G024800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024800.v4.1 MQSTKLLNPKTATKAAEAVTNTMPNELLPQKSPASSIMDKFRYLLKQRQQSAVEEGGGLSTEDMVEIYETVLNELTFNSKPIITDLTIIAGELREHGEGIADALCGRIVEVPVDLKLPSLYLLDSIVKNIGREYIGYFSSRLPEVFCEAYGQVDPRLYPSMRHLFGTWSSVFPSSVLRKIETQLQLSSQINNQSSSLTSLKASESPRPSHGIHVNPKYLRQMDSSRDNNVQHTKGTSNLKMYGHKPAVGYDEYETDQAEVISSQVGVDRASLTLGSNKLQPSSTSRLARRLSPSTTGAERPSSSEIDDFAAGNSPRRFVEGLSPSHPPFDYGHGRVVVRDDETNELRRKHYSDDNHYRFEASARSLSNGHEQQGPRALIDAYGDDRGKRIPNSKPLHIEQLAVIGMHNKVAPRSWQNTEEEEFDWEDMSPTLLDRGRSNDFLPPSVPPFGSVVPRPGFGRLNAIRADSDIRSNGSSLTPMALVDDSSNMGGDAVSILGSGRGSTSKMPGLLTERNQISGSRYSQEARNLPPHIRQPSRLLNAKGRGRDFQMPLSGSGVSSLGGENFNPLVEKLPDMDAKLVRPPAIASRLGSSIDSNSSGTWSSAVLPLSGAWPPVNVHKSLPPPVHSTFPPEKQSRSQFDPVNTSSTVTNQALQKASVMPEQSFNSFESKDYVLMKPTPLPNQHAALNQQNQAHFNPFQPKFLPSHEARENFHPSGIALLPPRPLARPMNHGYTTHGHGSSNALPSVQLPLAVSNVPNTLHSQVGVRPPLPQGPPQTMPFPQNASSGAPAQPSGIAFSGLINSLMAQGLITMTKQTPVQDSVGLEFNADLLKLRYESAISALYSDLPRQCTTCGLRLKCQEEHSSHMDWHVTKNRMSKNRKQNPSRKWFVSASMWLSGAEALGTDAVPGFLPTETIVEKKDDDEMAVPADEEQSTCALCGEPFDDFYSDETEEWMYKGAVYLNAPDGSTADMDRSQLGPIVHAKCRSDSSGVPSEDFGHEEGGNTEEGSRKRMRS >Potri.011G024800.5.v4.1 pep chromosome:Pop_tri_v4:11:1833788:1841400:1 gene:Potri.011G024800.v4.1 transcript:Potri.011G024800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024800.v4.1 MQSTKLLNPKTATKAAEAVTNTMPNELLPQKSPASSIMDKFRYLLKQRQQSAVEEGGGLSTEDMVEIYETVLNELTFNSKPIITDLTIIAGELREHGEGIADALCGRIVEVPVDLKLPSLYLLDSIVKNIGREYIGYFSSRLPEVFCEAYGQVDPRLYPSMRHLFGTWSSVFPSSVLRKIETQLQLSSQINNQSSSLTSLKASESPRPSHGIHVNPKYLRQMDSSRDNVGVDRASLTLGSNKLQPSSTSRLARRLSPSTTGAERPSSSEIDDFAAGNSPRRFVEGLSPSHPPFDYGHGRVVVRDDETNELRRKHYSDDNHYRFEASARSLSNGHEQQGPRALIDAYGDDRGKRIPNSKPLHIEQLAVIGMHNKVAPRSWQNTEEEEFDWEDMSPTLLDRGRSNDFLPPSVPPFGSVVPRPGFGRLNAIRADSDIRSNGSSLTPMALVDDSSNMGGDAVSILGSGRGSTSKMPGLLTERNQISGSRYSQEARNLPPHIRQPSRLLNAKGRGRDFQMPLSGSGVSSLGGENFNPLVEKLPDMDAKLVRPPAIASRLGSSIDSNSSGTWSSAVLPLSGAWPPVNVHKSLPPPVHSTFPPEKQSRSQFDPVNTSSTVTNQALQKASVMPEQSFNSFESKDYVLMKPTPLPNQHAALNQQNQAHFNPFQPKFLPSHEARENFHPSGIALLPPRPLARPMNHGYTTHGHGSSNALPSVQLPLAVSNVPNTLHSQVGVRPPLPQGPPQTMPFPQNASSGAPAQPSGIAFSGLINSLMAQGLITMTKQTPVQDSVGLEFNADLLKLRYESAISALYSDLPRQCTTCGLRLKCQEEHSSHMDWHVTKNRMSKNRKQNPSRKWFVSASMWLSGAEALGTDAVPGFLPTETIVEKKDDDEMAVPADEEQSTCALCGEPFDDFYSDETEEWMYKGAVYLNAPDGSTADMDRSQLGPIVHAKCRSDSSGVPSEDFGHEEGGNTEEGSRKRMRS >Potri.001G023701.1.v4.1 pep chromosome:Pop_tri_v4:1:1815670:1815950:1 gene:Potri.001G023701.v4.1 transcript:Potri.001G023701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023701.v4.1 MNFVFCLFSRLSRPDNHTREQTTNCFKIRGNHIMIREQQFEGEQFIPGHSKFPGLLP >Potri.007G027500.4.v4.1 pep chromosome:Pop_tri_v4:7:2106695:2110470:-1 gene:Potri.007G027500.v4.1 transcript:Potri.007G027500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027500.v4.1 MDEIWERAVETALEGQTDNLAVRALTLDGAVKCIQGRLPAAPSLFEKFENLQHLSIASIGVSTLEQFPRLGNLEKLILSDNRISGGLEFLVEAGLDSLRDLDLSNNRIQYIEDLAPLAKLRLVSLDLYECPVTRVKDYRARVFGLISSLKYLDKMDAEENERPESDDEEEEEEEEEEDPGSGEVDGEGERPLGMNNGHSEVGEGIVDVDEEEESEADEEEAETGRDGNGVRQNGFRVEVVDRRGEEGGEGEEFGEEEIDEDDDDVVEVHEIGDDSDEDDDAVEYEEEEEDDDDDDNEDDDEEDEEGEEEEVDNDEGDLGEPESTGRLTSTEGEIDGHEHGEDDGEEDDNGETGEEEQVVEDDGEFDDEEDGEEEDEDSDEGYLVQPVGQAEVHHDTGGSDLEPGNEEDDPEGEEEVDDDDELQMLPSSSHLKRKRDEDEEEDGEEGEEDDDDEEDVVEYTKSSSKKHH >Potri.011G125701.1.v4.1 pep chromosome:Pop_tri_v4:11:15971401:15972535:-1 gene:Potri.011G125701.v4.1 transcript:Potri.011G125701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125701.v4.1 MTMLCLKAASLLSTKPFYLHFPVPKHQLNPFFSSFKPEKPYSASASRSQIRMSSSSTPPQTIEHIVLFKVKENIDPTQINTMIHSLNRLISLDSVLHLTAGALYRTKSSPIPFTHMLHSRYSSKENLSAYALHPTHVQVVKESVLPICDDIMAVDWVTGDLNGGDSLVPPSGSAIRLTFLKLKEGLGDEVKDEILGVIQGIKDTFGGIDHISCGENFSPARAKGYSIASLAVFRGLSELEAVDSDKELANLEKAKVRDYLESVMVLDYVVSSSRV >Potri.012G060000.2.v4.1 pep chromosome:Pop_tri_v4:12:6041764:6050663:1 gene:Potri.012G060000.v4.1 transcript:Potri.012G060000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060000.v4.1 MGENKNFEEQNKLPELKLDAKQAQGFLSFFKTLPHDPRAVRVFDRRDYYTVHAENATFIAKTYYHTTTALRQLGSGSNGLSSVSISKNMFETIARDLLLERTDHTLELYEGSGSNWKLVKSGTPGNLGSFEDVLFANNDMQDSPVVVALLLNFREKGCTVGLSYVDLTKRVLGLAEFLDDSHFTNVESALVALSCKECLLPMESGKSNDCRTLHDVLTKCGVMLTERKKNEFKTRDLVQDLGRLVKGPLEPVRDLVSGFEFAPGALGALLSYAELLADESNYGNYRIRKYNLDSYMRLDSAATRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLEVDAINSRLDLVQAFVDDTGLRQDLRQHLKRISDIERLMHIVEKGRAGLHHIVKLYQSIIRLPYIKGALERYDGQFSSLIKEKYLESLEVWTDDNHLNKFIALVETAVDLDQLDNGEYMISPGYEAALGALKAEQESLEHQIHNLHKQTASDLDLPLDKGLKLDKGTQYGHVFRITKKEEPKIRKKLTTQFIVLETRKDGVKFTNTKLKKLGDQYQKIVENYKSRQKELVSRVVQITATFSEVFEKLSGLLSEMDVLLSFADLASSCPTPYTRPDITPSDVGDIILEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQIGVNILMAQVGSFIPCDKATISVRDCIFARVGAGDCQMRGVSTFMQEMLETASILKGATDRSLIIIDELGRGTSTYDGFGLAWAICEHLVRELKAPTLFATHFHELTALAHQKPDQEPHAKQIVGVANYHVSAHIDSSNHKLTMLYKVEPGACDQSFGIHVAEFANFPESVVTLAREKAAELEDFSPTAIISDDAREEVGSKRKRECNMDDMSKGAARAHRFLKDFSDLPLDTMDLKQALLQIGKLKDDLEKDAVNCHWLQQFF >Potri.012G060000.1.v4.1 pep chromosome:Pop_tri_v4:12:6041406:6050122:1 gene:Potri.012G060000.v4.1 transcript:Potri.012G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060000.v4.1 MGENKNFEEQNKLPELKLDAKQAQGFLSFFKTLPHDPRAVRVFDRRDYYTVHAENATFIAKTYYHTTTALRQLGSGSNGLSSVSISKNMFETIARDLLLERTDHTLELYEGSGSNWKLVKSGTPGNLGSFEDVLFANNDMQDSPVVVALLLNFREKGCTVGLSYVDLTKRVLGLAEFLDDSHFTNVESALVALSCKECLLPMESGKSNDCRTLHDVLTKCGVMLTERKKNEFKTRDLVQDLGRLVKGPLEPVRDLVSGFEFAPGALGALLSYAELLADESNYGNYRIRKYNLDSYMRLDSAATRALNVLESKTDANKNFSLFGLMNRTCTAGMGKRLLHMWLKQPLLEVDAINSRLDLVQAFVDDTGLRQDLRQHLKRISDIERLMHIVEKGRAGLHHIVKLYQSIIRLPYIKGALERYDGQFSSLIKEKYLESLEVWTDDNHLNKFIALVETAVDLDQLDNGEYMISPGYEAALGALKAEQESLEHQIHNLHKQTASDLDLPLDKGLKLDKGTQYGHVFRITKKEEPKIRKKLTTQFIVLETRKDGVKFTNTKLKKLGDQYQKIVENYKSRQKELVSRVVQITATFSEVFEKLSGLLSEMDVLLSFADLASSCPTPYTRPDITPSDVGDIILEGSRHPCVEAQDWVNFIPNDCKLVRGKSWFQIITGPNMGGKSTFIRQIGVNILMAQVGSFIPCDKATISVRDCIFARVGAGDCQMRGVSTFMQEMLETASILKGATDRSLIIIDELGRGTSTYDGFGLAWAICEHLVRELKAPTLFATHFHELTALAHQKPDQEPHAKQIVGVANYHVSAHIDSSNHKLTMLYKVEPGACDQSFGIHVAEFANFPESVVTLAREKAAELEDFSPTAIISDDAREEVGSKRKRECNMDDMSKGAARAHRFLKDFSDLPLDTMDLKQALLQIGKLKDDLEKDAVNCHWLQQFF >Potri.007G105401.2.v4.1 pep chromosome:Pop_tri_v4:7:12858761:12862373:-1 gene:Potri.007G105401.v4.1 transcript:Potri.007G105401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G105401.v4.1 MGAGKAPISNQLLPSQPPPPPTPNARATSRRLLLFSLPLFTTATTANLLPFSTTGAKFASASTIQLNLNSCWALAESFDPVSQAEKEASAAISRRVTEAVELLEKGGNCRLKVTSMKHCSTSLRWLKITKILRSQNMEGLGEHCLFTRLGTEKKQLQKWKISQYL >Potri.010G150800.1.v4.1 pep chromosome:Pop_tri_v4:10:16101971:16103354:1 gene:Potri.010G150800.v4.1 transcript:Potri.010G150800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150800.v4.1 MSSTTKPKAVKHTLFVKFKDDVTREQIEKIINDFTHLVNQVEPLKSLHWGTNLGIHDLNFGYTHAFETTFDDLEGLQEYLDSSVVAKFAEGFLPTMSQQFVMDYELY >Potri.002G237500.3.v4.1 pep chromosome:Pop_tri_v4:2:22996908:23002571:-1 gene:Potri.002G237500.v4.1 transcript:Potri.002G237500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237500.v4.1 MCLIKVCRSCFQQVLLPPFSSQFRLVVFPGIRSKLNRSTIFYGAKISIVSLTLSHSLTCMILQLSWVTLSRNLLLAYQSFGVVYGDLSTSPLYVYTNIFAGRMQNHQTEEVIFGAFSLVFWTFTLIPLIKYVCIVLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSSYKYGPSTQAMASSPLKRFLEKHKRLRTALLIVVLFGASMVIGDGVLTPAISVLSAVSGLQEANNKLTNGELVLLACVILVGLFALQHCGTHKVAFMFAPIVIIWLVSILSIGLYNIVHWNPKIVHALSPHYIIKFFNHTGKEGWISLGGVLLSITGTEAMFADLGHFTALSIRLAFALVIYPCLVVQYMGQAAFLSINPKSIPNSFYDSIPDKLFWPLCIIATLAAIVGSQAVITATFSIVKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMILTLAVTVGFQDTTLIGNAYGLACMTVMFVTTFLMALVIIFVWQKSVILAVLFLLFFGFIEGVYLSAALMKVPLGGWAPLVLSAIFMFIMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGDFENMLIQSIAEFIQMEAVEQPQFSSSESSSLDGRMAVMSTRPVQSSLSLIVSEQDFLSIDDSIQNSRSLTLQSLQSAYDDDNLHIRRRHVRFQLPSNPGMDPAVREELMDLIQAKEAGAAYIMGHSYVKARRTSSFLKKLAIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Potri.002G237500.1.v4.1 pep chromosome:Pop_tri_v4:2:22996888:23002575:-1 gene:Potri.002G237500.v4.1 transcript:Potri.002G237500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237500.v4.1 MEAQSGVQRSPNPSHLSWVTLSRNLLLAYQSFGVVYGDLSTSPLYVYTNIFAGRMQNHQTEEVIFGAFSLVFWTFTLIPLIKYVCIVLSADDNGEGGTFALYSLLCRHAKLSLLPNQQAADEELSSYKYGPSTQAMASSPLKRFLEKHKRLRTALLIVVLFGASMVIGDGVLTPAISVLSAVSGLQEANNKLTNGELVLLACVILVGLFALQHCGTHKVAFMFAPIVIIWLVSILSIGLYNIVHWNPKIVHALSPHYIIKFFNHTGKEGWISLGGVLLSITGTEAMFADLGHFTALSIRLAFALVIYPCLVVQYMGQAAFLSINPKSIPNSFYDSIPDKLFWPLCIIATLAAIVGSQAVITATFSIVKQCHALGCFPRVKVVHTSKHIYGQIYIPEINWILMILTLAVTVGFQDTTLIGNAYGLACMTVMFVTTFLMALVIIFVWQKSVILAVLFLLFFGFIEGVYLSAALMKVPLGGWAPLVLSAIFMFIMYIWHYGTRKKYNFDLHNKVSLKWLLGLGPSLGIVRVPGIGLIYSELATGVPAIFSHFVTNLPAFHKVLVFVCVKSVPVPYVSPEERFLIGRVCPRPYRMYRCIVRYGYKDIQRDDGDFENMLIQSIAEFIQMEAVEQPQFSSSESSSLDGRMAVMSTRPVQSSLSLIVSEQDFLSIDDSIQNSRSLTLQSLQSAYDDDNLHIRRRHVRFQLPSNPGMDPAVREELMDLIQAKEAGAAYIMGHSYVKARRTSSFLKKLAIDIGYSFLRKNCRGPAVALNIPHISLIEVGMIYYV >Potri.017G002300.1.v4.1 pep chromosome:Pop_tri_v4:17:132063:135876:-1 gene:Potri.017G002300.v4.1 transcript:Potri.017G002300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G002300.v4.1 MESDDGEVVSNKQVIFKDYVPGVLKESDMYITTSTIKLKVPEDCTNGVLVKNLYLSCDPYMRNRMRNFQGSYISPLKPGSPISGRGVAKVLDSRHPDYKKGDFIWGMTGWEEYSLITATETLFKIHDKDVPLSYYIGILGMPGLTAYAGFYEICSPKKGEFVFISAASGAVGQLVGQFAKLLGCYVVGSAGSKDKVDLLKNKFGFDDAFNYKEELDLDAALKRYFPDGIDIYFENVGGKMLDAVVLNMRVRGRISVCGMVSQYNLEQPEGVHNLMHLVPKRIHMEGFLVHDFFHLFPKYLDMVLPYIKQGKIVYVEDIAEGLENAPAALTGLFAGRNIGKQVVAVSHE >Potri.005G216300.1.v4.1 pep chromosome:Pop_tri_v4:5:21973356:21977373:1 gene:Potri.005G216300.v4.1 transcript:Potri.005G216300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216300.v4.1 MGMVQEQNMEKQMGCMAGFLQIFDRQQIITGKRLYATKRLPLSTGVDSTPEPEKSIGSPAMPRELDKPQQTRSMPSPDRFKQSPVTELSSPAAPQSLLSVEIQSKSPPLLPVLELKQGTKSSWKFCKEAPRLSLDSRATFDAKGSLKPREIRTNTAIFSVNRCNEERTDDNDKQRRSPSVIARLMGLESLQDSSPDPSEHVNKPELRRSASESRASRDLFQYRFIDGVNFQLKQTLQQNTQTSISRNGGKNLNPNGRAVDPKAYNAVRNLRAEPARAQNKGVGQRKSFFDSADFFPEPKQTISICGEIEKRLKMRGIDEPSKDLETLKHILEALQLKGLLHSKKLTNQTNQRNFVYEETPVILMKPAKSPASMNRPDGRIISDSPPSSYRQRHGVRRDPYYVETLPGMSPRRERPEIERNVRGQVRGKNTSSPMANENGGRSPNRRRPMSVETQRRVSNDSLEQRRESPVQSPKISSKTTNRSPRRKPTVEIYHKDDKVAVPAEDELSTVSESSLSTSSHADIELQRLKLEDYNEGRNLLERCDKLLHSIAEITANELQPSPVSVLDSSFYKEESSPSPVMKRCVDFKELEDDMWSTAISHAESNSDDWDFMYISDILRASNYLPEDSDIFQFLERQRHLKGKDTSQVPALQRKLVFDTITEILNRKRHLPPWKGGQISLQQVWSEFQRIRERDASDDLVEVICGMLRKDLAGDTITGWGDCPIEMSEAVLDIERLIFKDLIGETIRDLAAFCRKGNQVVPVPRRKLLF >Potri.005G216300.5.v4.1 pep chromosome:Pop_tri_v4:5:21973356:21977332:1 gene:Potri.005G216300.v4.1 transcript:Potri.005G216300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G216300.v4.1 MGMVQEQNMEKQMGCMAGFLQIFDRQQIITGKRLYATKRLPLSTGVDSTPEPEKSIGSPAMPRELDKPQQTRSMPSPDRFKQSPVTELSSPAAPQSLLSVEIQSKSPPLLPVLELKQGTKSSWKFCKEAPRLSLDSRATFDAKGSLKPREIRTNTAIFSVNRCNEERTDDNDKQRRSPSVIARLMGLESLQDSSPDPSEHVNKPELRRSASESRASRDLFQYRFIDGVNFQLKQTLQQNTQTSISRNGGKNLNPNGRAVDPKAYNAVRNLRAEPARAQNKGVGQRKSFFDSADFFPEPKQTISICGEIEKRLKMRGIDEPSKDLETLKHILEALQLKGLLHSKKLTNQTNQRNFVYEETPVILMKPAKSPASMNRPDGRIISDSPPSSYRQRHGVRRDPYYVETLPGMSPRRERPEIERNVRGQVRGKNTSSPMANENGGRSPNRRRPMSVETQRRVSNDSLEQRRESPVQSPKISSKTTNRSPRRKPTVEIYHKDDKVAVPAEDELSTVSESSLSTSSHADIERLKLEDYNEGRNLLERCDKLLHSIAEITANELQPSPVSVLDSSFYKEESSPSPVMKRCVDFKELEDDMWSTAISHAESNSDDWDFMYISDILRASNYLPEDSDIFQFLERQRHLKGKDTSQVPALQRKLVFDTITEILNRKRHLPPWKGGQISLQQVWSEFQRIRERDASDDLVEVICGMLRKDLAGDTITGWGDCPIEMSEAVLDIERLIFKDLIGETIRDLAAFCRKGNQVVPVPRRKLLF >Potri.008G017001.1.v4.1 pep chromosome:Pop_tri_v4:8:845881:847148:1 gene:Potri.008G017001.v4.1 transcript:Potri.008G017001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017001.v4.1 MILSVLGETPAVRLSSFSLNPCLSSEDLPFHLLHVSLWVLKLGAEPRAFYRATCLPVHEQSRTRRHHHLAR >Potri.001G358200.1.v4.1 pep chromosome:Pop_tri_v4:1:37362732:37373216:-1 gene:Potri.001G358200.v4.1 transcript:Potri.001G358200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358200.v4.1 MVKARSHLCAKMSSSSTTTAMSTSCRDHEDEIEWEMRPGGMLVQKRNDKDDGVPPLLNVRLRILHGVLRYEISVNSQATFGEVKKVLMGKTGLQIGDQKIIYKEKERLNGEYLDRCGVKNRSKLILMEDPSSIERRYIEMCKNARIQTAYRAISDVSMEVDKLTEQVSAIEKSILNGVKVPEIQITTLIEMLMRQAIKLDNISAEGDVYAQKHLQGKRVQRCVETLDVLKISNPLIKPVVVAAKWETFDPPPTTSRWEFFN >Potri.001G419000.2.v4.1 pep chromosome:Pop_tri_v4:1:44688590:44693139:1 gene:Potri.001G419000.v4.1 transcript:Potri.001G419000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419000.v4.1 MKANLGVEVPWQLVMLILIALPSVLFHVCHGQTDDYENPAAPPPGLDECNGLFLTYSFISREKEYPRVKNASAQAWAFKSLATITNTGQYELKGWQMFVGFQHKEILVSASGAIVVDGDDFPLEVGNGTTFAGNPMTDLKTAIDTAGDFTQISAQIEITGSVFGIKPPGVPMPKNIKLVNAGYKCPKATLKGKTYMQVCCKKDPKAKKVDKKVLKFLPRRYGDLSLTYDVLQAYGSNYQAQVTIDNINPLGRLDHWNLTWEWMNGEFISKMRGAYTHKRDFSECVYGPAGKYYKDLDFSTVMNCEKKPVIADLPPERKNDSQVGKLPYCCRNGTLLPAIMDESKARSIFQLEVFKMPPFLNRTALVPPEKWKIDGVVNPQYKCGPPIRVDPTEFPDSSGLDSKTYAIASWQVTCNITRPKEKLSRCCVSFSAYYNRSAIPCNTCACGCDNNIGCSKTARAMLLPAESLLVPFDNRTNKALAWADLNKFKVPNPRPCPDNCPVSLNWHIDSDYKTGWTASVTLFNWGDSPFEDWFSAIQINKAYKGLEGVYSFNGTTFDNLNKTIFLQGLPGLNFLVGEVNGTKPGDPRIPGKQQTKISFTKKHTPGINIARGDGFPTRILFNGEECALPKQIPLSSAEQKSPFKFLTVIIMAIMTFITMTDHLH >Potri.001G419000.3.v4.1 pep chromosome:Pop_tri_v4:1:44688590:44693139:1 gene:Potri.001G419000.v4.1 transcript:Potri.001G419000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419000.v4.1 MFVGFQHKEILVSASGAIVVDGDDFPLEVGNGTTFAGNPMTDLKTAIDTAGDFTQISAQIEITGSVFGIKPPGVPMPKNIKLVNAGYKCPKATLKGKTYMQVCCKKDPKAKKVDKKVLKFLPRRYGDLSLTYDVLQAYGSNYQAQVTIDNINPLGRLDHWNLTWEWMNGEFISKMRGAYTHKRDFSECVYGPAGKYYKDLDFSTVMNCEKKPVIADLPPERKNDSQVGKLPYCCRNGTLLPAIMDESKARSIFQLEVFKMPPFLNRTALVPPEKWKIDGVVNPQYKCGPPIRVDPTEFPDSSGLDSKTYAIASWQVTCNITRPKEKLSRCCVSFSAYYNRSAIPCNTCACGCDNNIGCSKTARAMLLPAESLLVPFDNRTNKALAWADLNKFKVPNPRPCPDNCPVSLNWHIDSDYKTGWTASVTLFNWGDSPFEDWFSAIQINKAYKGLEGVYSFNGTTFDNLNKTIFLQGLPGLNFLVGEVNGTKPGDPRIPGKQQTKISFTKKHTPGINIARGDGFPTRILFNGEECALPKQIPLSSAEQKSPFKFLTVIIMAIMTFITMTDHLH >Potri.001G419000.1.v4.1 pep chromosome:Pop_tri_v4:1:44688590:44693374:1 gene:Potri.001G419000.v4.1 transcript:Potri.001G419000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G419000.v4.1 MKANLGVEVPWQLVMLILIALPSVLFHVCHGQTDDYENPAAPPPGLDECNGLFLTYSFISREKEYPRVKNASAQAWAFKSLATITNTGQYELKGWQMFVGFQHKEILVSASGAIVVDGDDFPLEVGNGTTFAGNPMTDLKTAIDTAGDFTQISAQIEITGSVFGIKPPGVPMPKNIKLVNAGYKCPKATLKGKTYMQVCCKKDPKAKKVDKKVLKFLPRRYGDLSLTYDVLQAYGSNYQAQVTIDNINPLGRLDHWNLTWEWMNGEFISKMRGAYTHKRDFSECVYGPAGKYYKDLDFSTVMNCEKKPVIADLPPERKNDSQVGKLPYCCRNGTLLPAIMDESKARSIFQLEVFKMPPFLNRTALVPPEKWKIDGVVNPQYKCGPPIRVDPTEFPDSSGLDSKTYAIASWQVTCNITRPKEKLSRCCVSFSAYYNRSAIPCNTCACGCDNNIGCSKTARAMLLPAESLLVPFDNRTNKALAWADLNKFKVPNPRPCPDNCPVSLNWHIDSDYKTGWTASVTLFNWGDSPFEDWFSAIQINKAYKGLEGVYSFNGTTFDNLNKTIFLQGLPGLNFLVGEVNGTKPGDPRIPGKQQTKISFTKKHTPGINIARGDGFPTRILFNGEECALPKQIPLSSAEQKSPFKFLTVIIMAIMTFITMTDHLH >Potri.013G046700.5.v4.1 pep chromosome:Pop_tri_v4:13:3309832:3319997:-1 gene:Potri.013G046700.v4.1 transcript:Potri.013G046700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046700.v4.1 MGTELIRVHVKEENDDIPSVPPGFESFAAYTLKRVPDGEKQESNVTSCSATTSASESQSVKMDTEFEDEAKVTRSLRRRPWIKFEHLDSCSEDESDSVKLNQNLSLRSRLPKGVIRGCPQCSNCQKVSARWHPESACKLDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEKTIWEGSTFATRVQRVDKLQNRDSMRKRSTMSNHTRKKRRRCMSMAVDCGTDIGSISGSNDAGVCEAERFGFEPGPLFTLDTFQKYADDFKAQYFRKNENTINKGGDMTTFQKTCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSNEVSSATNDRYTKSGWNLNNFPRLPGSILSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKMWYGVPGKDAIKLEETMRKHLPDLFEEQPDLLHKLVTQLSPNILRSEGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYCEQRRRTSISHDKLLLGAAREAVRAHWELNLLKRNTLDNLRWKDVCGKNGILAKAFKERVETERVRRQFLCNSSPTLKMESDFDATSERECSVCLFDLHLSAAGCHCSPDKFACLTHAKQLCSCAWGAKFFLFRYDISELNILLEALEGKLSAVYRWARLDLGLALTSFVSKDNTQDVKLSYSPIRTATEPVRSHTPADPCRDLPGRAISSDIRMNSSGICSQIALEEEKKPPEGTPSKDVRASSVSHSSFQVIERDNDNLKLNQKGSSLLSTNLRTLVCLLSQEDTSYAAGLASERCEGKKPSTLGNDNVILLSDDEGDEQKPILERAKENVYGKLSILHYSSCNDNKDSILTVPVVDGALKSEKNVNSLPDEQKNNSSSGPVVQVKDGYHQDGGKVLEFNQQNVSCHTGPSTAGFGRNVQNSSTNRDTSKDNGMTDVGSQHPQPCGIGKLNNADKMGGNATSTSLDNSRIMAGSPSSSQNNLERHYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYISVLDPANMCYYVSEILDAGRNGPLFMVSLEHCPNEVFFHVSAARCWEMVRERVNQEITKQHKSGRMNLPPLQPPGSLDGFEMFGFSSPAIVQAIEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSIVNAGHSQGTHEDQNICKAPGSQLLPVEADTILRGLFKKASPEELIALSRILSGNKPTANPGLIAQLLNEEICHRPR >Potri.013G046700.7.v4.1 pep chromosome:Pop_tri_v4:13:3310250:3319648:-1 gene:Potri.013G046700.v4.1 transcript:Potri.013G046700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046700.v4.1 MGTELIRVHVKEENDDIPSVPPGFESFAAYTLKRVPDGEKQESNVTSCSATTSASESQSVKMDTEFEDEAKVTRSLRRRPWIKFEHLDSCSEDESDSVKLNQNLSLRSRLPKGVIRGCPQCSNCQKVSARWHPESACKLDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEKTIWEGSTFATRVQRVDKLQNRDSMRKRSTMSNHTRKKRRRCMSMAVDCGTDIGSISGSNDAGVCEAERFGFEPGPLFTLDTFQKYADDFKAQYFRKNENTINKGGDMTTFQKTCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSNEVSSATNDRYTKSGWNLNNFPRLPGSILSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKMWYGVPGKDAIKLEETMRKHLPDLFEEQPDLLHKLVTQLSPNILRSEGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYCEQRRRTSISHDKLLLGAAREAVRAHWELNLLKRNTLDNLRWKDVCGKNGILAKAFKERVETERVRRQFLCNSSPTLKMESDFDATSERECSVCLFDLHLSAAGCHCSPDKFACLTHAKQLCSCAWGAKFFLFRYDISELNILLEALEGKLSAVYRWARLDLGLALTSFVSKDNTQDVKLSYSPIRTATEPVRSHTPADPCRDLPGRAISSDIRMNSSGICSQIALEEEKKPPEGTPSKDVRASSVSHSSFQVIERDNDNLKLNQKGSSLLSTNLRTLVCLLSQEDTSYAAGLASERCEGKKPSTLGNDNVILLSDDEGDEQKPILERAKENVYGKLSILHYSSCNDNKDSILTVPVVDGALKSEKNVNSLPDEQKNNSSSGPVVQVKDGYHQDGGKVLEFNQQNVSCHTGPSTAGFGRNVQNSSTNRDTSKDNGMTDVGSQHPQPCGIGKLNNADKMGGNATSTSLDNSRIMAGSPSSSQNNLERHYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYISVLDPANMCYYVSEILDAGRNGPLFMVSLEHCPNEVFFHVSAARCWEMVRERVNQEITKQHKSGRMNLPPLQPPGSLDGFEMFGFSSPAIVQAIEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSIVNAGHSQGTHEDQNICKAPGSQLLPVEADTILRGLFKKASPEELIALSRILSGNKPTANPGLIAQLLNEEICHRPR >Potri.013G046700.6.v4.1 pep chromosome:Pop_tri_v4:13:3309835:3319830:-1 gene:Potri.013G046700.v4.1 transcript:Potri.013G046700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046700.v4.1 MGTELIRVHVKEENDDIPSVPPGFESFAAYTLKRVPDGEKQESNVTSCSATTSASESQSVKMDTEFEDEAKVTRSLRRRPWIKFEHLDSCSEDESDSVKLNQNLSLRSRLPKGVIRGCPQCSNCQKVSARWHPESACKLDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEKTIWEGSTFATRVQRVDKLQNRDSMRKRSTMSNHTRKKRRRCMSMAVDCGTDIGSISGSNDAGVCEAERFGFEPGPLFTLDTFQKYADDFKAQYFRKNENTINKGGDMTTFQKTCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSNEVSSATNDRYTKSGWNLNNFPRLPGSILSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKMWYGVPGKDAIKLEETMRKHLPDLFEEQPDLLHKLVTQLSPNILRSEGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYCEQRRRTSISHDKLLLGAAREAVRAHWELNLLKRNTLDNLRWKDVCGKNGILAKAFKERVETERVRRQFLCNSSPTLKMESDFDATSERECSVCLFDLHLSAAGCHCSPDKFACLTHAKQLCSCAWGAKFFLFRYDISELNILLEALEGKLSAVYRWARLDLGLALTSFVSKDNTQDVKLSYSPIRTATEPVRSHTPADPCRDLPGRAISSDIRMNSSGICSQIALEEEKKPPEGTPSKDVRASSVSHSSFQVIERDNDNLKLNQKGSSLLSTNLRTLVCLLSQEDTSYAAGLASERCEGKKPSTLGNDNVILLSDDEGDEQKPILERAKENVYGKLSILHYSSCNDNKDSILTVPVVDGALKSEKNVNSLPDEQKNNSSSGPVVQVKDGYHQDGGKVLEFNQQNVSCHTGPSTAGFGRNVQNSSTNRDTSKDNGMTDVGSQHPQPCGIGKLNNADKMGGNATSTSLDNSRIMAGSPSSSQNNLERHYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYISVLDPANMCYYVSEILDAGRNGPLFMVSLEHCPNEVFFHVSAARCWEMVRERVNQEITKQHKSGRMNLPPLQPPGSLDGFEMFGFSSPAIVQAIEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSIVNAGHSQGTHEDQNICKAPGSQLLPVEADTILRGLFKKASPEELIALSRILSGNKPTANPGLIAQLLNEEICHRPR >Potri.002G252400.1.v4.1 pep chromosome:Pop_tri_v4:2:24141930:24142726:1 gene:Potri.002G252400.v4.1 transcript:Potri.002G252400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252400.v4.1 MARSYVCVVLVLALAAVHTSARDVPTEKNMHVASTKNAPSDAGLTDQKNFVSYGGVGGYSGIGAGGLPFGGVGGIGGVAPLDGGFGGLGGGGGLGGLGGGIGGLGGGVGGLGGGVGGLGGGVGGLGGVGGGVGGLGGVGGGVGGLGGVGGGVGAGGGVGGGVGGGVGGGVGGGSGVLPYP >Potri.016G018500.1.v4.1 pep chromosome:Pop_tri_v4:16:970556:976297:1 gene:Potri.016G018500.v4.1 transcript:Potri.016G018500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018500.v4.1 MVISKLLRSTLKAQLGSCIKNGTASGSSQEHLLRGPFLARLYGTEASLQKEDSTTEGNSFKGHDMLAPFTAGWQSNDLDPLVIEKSEGSYVYDINGKKYLDALAGLWCTSLGGNEPRLVAAATEQLNKLPFYHSFWNRTTKPSLDLAKELLETFTASKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKSYHGSTLITASLSGLPALHQKFDLPAPFVRHTDCPHYWRYHLPGETEEEFSTRLAINLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFDKIQAVVKKYDILFIADEVICAFGRLGTMYGCDKFNIKPDLVSVAKALSSGYLPIGAVMVSPEVSDVIDSQSSKLGSFSHGFTYSGHPVACAVAIETLKIYKERNILDQVNRIAPKFQDGVKAFSDSPIIGEIRGTGLILGTEFVDNKSPNDPFPPEWGVGAYFGAQCEKEGMLVRVSGDNIMMSPPFIMSPEEVDELISKYGKALKATEERVKELKSQQKKQ >Potri.002G055100.4.v4.1 pep chromosome:Pop_tri_v4:2:3750344:3752611:1 gene:Potri.002G055100.v4.1 transcript:Potri.002G055100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055100.v4.1 MASAVQTVCGQAYGAKKYSSMGIICQKAIILHLGAAFLLTFLYWFSGPVLRGIGQSDSIAEQGQIFARGLIPQLYAFALSCPMQRFLQAQNIVNPLAYMSVGVFLLHILLSWIVVYVLEYGLLGAALTLSFSWWLFVIINALYIVLSPSCKETWTGLSISAFTGIWPYFKLTVSSAVMLCLEIWYSQGLVLISGLLPNPTISLDSISICMNYLNWDMQFMLGLSAATSVRIGNELGAGHPKVAKFSVIVVNATSIIISIIFSAIVLSFRVGLSRLFTSDTAVIDAVSNLTPLLAISVFLNGIQPILSGVAIGSGWQAIVAYVNLATYYVIGLPIGCVLGFKTSLGVVGIWSGMITGVFLQTVTLIMLTVRTNWNAEVEKAAERLNVSANEEFSSLVDTI >Potri.002G055100.1.v4.1 pep chromosome:Pop_tri_v4:2:3749677:3752825:1 gene:Potri.002G055100.v4.1 transcript:Potri.002G055100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055100.v4.1 MGEESGYRPLLLGPDSRLTDLSSGAIEEFLEHGPVPFRWWPRLVAWESRVLWLLSGSSIIVSVATFMLSFVTQMFSGHLGALELAGASIANVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYSSMGIICQKAIILHLGAAFLLTFLYWFSGPVLRGIGQSDSIAEQGQIFARGLIPQLYAFALSCPMQRFLQAQNIVNPLAYMSVGVFLLHILLSWIVVYVLEYGLLGAALTLSFSWWLFVIINALYIVLSPSCKETWTGLSISAFTGIWPYFKLTVSSAVMLCLEIWYSQGLVLISGLLPNPTISLDSISICMNYLNWDMQFMLGLSAATSVRIGNELGAGHPKVAKFSVIVVNATSIIISIIFSAIVLSFRVGLSRLFTSDTAVIDAVSNLTPLLAISVFLNGIQPILSGVAIGSGWQAIVAYVNLATYYVIGLPIGCVLGFKTSLGVVGIWSGMITGVFLQTVTLIMLTVRTNWNAEVEKAAERLNVSANEEFSSLVDTI >Potri.001G122501.1.v4.1 pep chromosome:Pop_tri_v4:1:10038400:10040470:1 gene:Potri.001G122501.v4.1 transcript:Potri.001G122501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G122501.v4.1 MEYQTWISLSSPNNDLSAAANDVMGPPKLVSPPLSDQTGVTDFVFVKAPTDYYDRSIESRLDNLGAASIHHRCKRIVLVNTQAPSQIIDCAVITIIQSIALLLFCTLFDSMLKRLKTICLHSTMGRYLKRKSIVSCYPLDDHKGSYNNLNNHSSNGKEWFIDIGCKCFSSHYEYIFCEFSYAFVNK >Potri.012G094400.1.v4.1 pep chromosome:Pop_tri_v4:12:11879382:11880792:-1 gene:Potri.012G094400.v4.1 transcript:Potri.012G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094400.v4.1 MDSRGGCCIARYSSVGGENGMSKVDRIMLRFRPIAPKPATATSGSSVSGGGSSPEMSDVSPRSGRGKRKYNNTSKGTNSKKCNSGGNRKRKVLGEENKAVVDSVVTLPLLPETPDRKDFGTKELKTEVFPGSVSPKPVKSKWLSFDGKVKDQAGHQNTVGFGVSADQTVVMPRVVNIVGSCVTVECVTDTWVDLDGLGRTDDEKKINMEKDTCPGFISDGYGRVTWENEAYRKMVGQGEGGDHQVFVWLAMKEKVPMKVTIAGHKAFTCRVKVQYQKHDTCRVNGKEKISIVTVPCDVWRMDSGCFAWRLDVKTALCLGR >Potri.016G114400.2.v4.1 pep chromosome:Pop_tri_v4:16:11864234:11867747:1 gene:Potri.016G114400.v4.1 transcript:Potri.016G114400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114400.v4.1 MAIICLVRFQLQFLIFLLYTTSLLLKTSCTGSYPPINVGVTLPALEIFAGGVNYFTGPIPVSLSNASRLQILDFSINGLTGTIPKNLGSLKSLVRLNFDLNNLGNGEVDGLNFLSSLANCTSLEVLGLSENNFGGELHNSIGNLSTQLKILTLGQNLIHGNIPAEIENLVNLNLLGLEGNYLTGSVPDLIGKQKKLEGLHLHVNRFSGSILSALGNLTRLTRLFLEENRFEGNIPSSLGNCKSLQNLNLSSNNLNGTIPEEVLGLSSLSISLVMSNNSLTGSLSLKVGNLHNLVELDISGNKLSGTIPSTLGSCISLERLHLEGNKFEGPIPESLETLRGLEELDLSENNLTGRVPEFLGGFSVLRHLNLSHNNLEGEVSRDGILANASAFSVVGNDKLCGGIPELHLPPCSRKNPREPLSFKVVIPATIAAVFISVLLCSLSIFCIRRKLPRNSNTPTPEEQQVGISYSELIKSTNGFAAENLIGSGSFGSVYKGILSGEGTIVAIKIMNLLQKGASKSFIDECNALRSIRHRNLLKIITACSTVDHQGNDFKGLVFEFMSNGNLDQWLHPTTEQQYRTKKLSFTQRLNIAIDVASALDYLHHHCETTIVHCDLKPSNVLLDDDMTAHVGDFELAKFLSEASKNPSINQSISVALKGSIGYIPPEYGMRSEVSVLGDIYSYGILLLEMFTGKRPTDDMFEGDLNIHKFADMAFPGNVMAIIDPSMLAEEEINENEVNEHGIEERAIIHNNDFQVNRTSNIEECLVSLMEIGLSCSNKSPGKRMAMNIVVNKLQVIRDSFFRSINRLGKNVALYFK >Potri.008G040200.1.v4.1 pep chromosome:Pop_tri_v4:8:2247022:2248552:1 gene:Potri.008G040200.v4.1 transcript:Potri.008G040200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040200.v4.1 MAVPPFRLRTPEVCREAVVLSMEITILLGGALLFYTILLILQQIFSYTGVILLSIAAIVLLTQDHSSNSSLPITTARKLMEISKQLCHIVVSITNKLCEEPITNYPHLERRCSGTIAIWTGYVAYAGLLIQVLLRYPVSLDTFFAGGLIAIAICANHTFLFSCMWDSIKRKSLGQNLDQADSMNKVDENELKEVKAKCDEDRQRWEEEKKDLTEQLNMAKSKLSEKEKAYSMFGNFNWD >Potri.008G214300.1.v4.1 pep chromosome:Pop_tri_v4:8:17065125:17071253:1 gene:Potri.008G214300.v4.1 transcript:Potri.008G214300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G214300.v4.1 MIVNKPLVLTYLYLLIYILLSSGVILYNKWVLSPKYFDFPFPITLTMIHMGFSGAVAFFLIRVFKVVSPVKMTLEIYITCVVPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFIMAVMCGTDKARCDVFLNMLLVSVGVVISSYGEIHFNVVGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLCAPWYVLEKPGMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNIIGYAIALCGVVMYNYLKVKDVRASQVPENISDRIAKDWKLEKSSDTFTPNNSSDNNGGGGGGNISSSDMNVDEEAPLIPSSRLSHFGRTQLSK >Potri.008G214300.7.v4.1 pep chromosome:Pop_tri_v4:8:17065256:17071242:1 gene:Potri.008G214300.v4.1 transcript:Potri.008G214300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G214300.v4.1 MIVNKPLVLTYLYLLIYILLSSGVILYNKWVLSPKYFDFPFPITLTMIHMGFSGAVAFFLIRVFKVVSPVKMTLEIYITCVVPISAFFAASLWFGNTAYLYISVAFIQMLKALMPVATFIMAVMCGTDKARCDVFLNMLLVSVGVVISSYGEIHFNVVGTLYQVTGIFAEALRLVLTQVLLQKKGLTLNPITSLYYIAPCSFVFLCAPWYVLEKPGMEVSQIQFNFWIFFSNALCALALNFSIFLVIGRTGAVTIRVAGVLKDWILIALSTIIFPESTITGLNIIGYAIALCGVVMYNYLKVKDVRASQVPENISDRIAKDWKLEKSSDTFTPNNSSDNNGGGGGGNISSSDMNVDEEAPLIPSSRLSHFGRTQLSK >Potri.009G145700.1.v4.1 pep chromosome:Pop_tri_v4:9:11565126:11567087:-1 gene:Potri.009G145700.v4.1 transcript:Potri.009G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G145700.v4.1 MARLFICLLVIFLAIVAEAATQKPKKAKKCHDKKNYPVCFKTKNLYCPPQCPRDCYVDCATCTPVCSKPSKSPPFLPPPPHSLSPPPTRSTPPSLSPPPTRSTPPSLSPPPTTSTPPLSPPPTTSTPPLSPPPTTSTPPPTISTPPPPPPTSTPPLSPPPTDFTPPPSSTPPPATTTPPAQNPPPPPDSSESAPKRARCKNRNYATCYGQEYTCPSACPNQCEVDCTICKAVCNCDRPGAVCQDPRFIGGDGITFYFHGKKDRDFCIVSDSNLHINAHFIGRRNEKLTRDFTWVQSLGILFGTHKLFIGAQKTATWDDSVDRLSLALDGEPIYLPDGEGMKWKAEISPSVTITRSSDANAVVIEAEDNFKIKAAVVPITQKDSRIHSYGIASENCFAHLDLSFKFYKLSGDVNGVLGQTYGSNYVSRVKMGVLMPVLGGEKEFASSNIFATDCAVARFSGQHPSSNSSENFEFANLHCASGIDGRGVVCKR >Potri.011G168200.7.v4.1 pep chromosome:Pop_tri_v4:11:19141454:19144311:1 gene:Potri.011G168200.v4.1 transcript:Potri.011G168200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168200.v4.1 MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFTGGVFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >Potri.011G168200.3.v4.1 pep chromosome:Pop_tri_v4:11:19141436:19144061:1 gene:Potri.011G168200.v4.1 transcript:Potri.011G168200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168200.v4.1 MASKRINKELKDLQKDPPASCSAGPVADDMFHWQATIMGPADSPFTGGVFLVSIHFPPDYPFKPPKVSFRTKVFHPNINSNGSICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMYKTDRAKYETTARSWTQKYAMG >Potri.010G138501.1.v4.1 pep chromosome:Pop_tri_v4:10:15261369:15262948:1 gene:Potri.010G138501.v4.1 transcript:Potri.010G138501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138501.v4.1 MSSPEQSLSLPVYMQENETSQEFKDLIASMPTEKGWMAKHLHRYQGFWHSTRQLQGVLVCQKHFQAQDGDIFLVTTPKSGSTWLKAVMFSLVNRVSFPDTKQHPLLTNNPHALVPFLEMEYIGKENLDFTNFTFPRLFSTHLPLLSLPRSVEDSDCKLVYLCRNPKDTFVSFWHFTNKLRPIDWSATSLEETFDKFCRGVSLYGPFWDHVLGYWKESLERPQRVFFMKYEEMKNEPRIQLRRLSEFLGCPFSLEEENSGVLDEILELCSFENLSNLEVNKIGKLHSGQEHQVFFRRGEVGDSMNYLTAEMVGKIDMITEQKLHCHGLKF >Potri.010G138501.2.v4.1 pep chromosome:Pop_tri_v4:10:15261369:15262931:1 gene:Potri.010G138501.v4.1 transcript:Potri.010G138501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138501.v4.1 MSSPEQSLSLPVYMQENETSQEFKDLIASMPTEKGWMAKHLHRYQGFWHSTRQLQGVLVCQKHFQAQDGDIFLVTTPKSGSTWLKAVMFSLVNRVSFPDTKQHPLLTNNPHALVPFLEMEYIGKENLDFTNFTFPRLFSTHLPLLSLPRSVEDSDCKLVYLCRNPKDTFVSFWHFTNKLRPIDWSATSLEETFDKFCRGVSLYGPFWDHVLGYWKESLERPQRVFFMKYEEMKNEPRIQLRRLSEFLGCPFSLEEENSGVLDEILELCSFENLSNLEVNKIGKLHSGQEHQVFFRRGEVGDSMNYLTAEMVGKIDMITEQKLHCHGLKF >Potri.002G067000.1.v4.1 pep chromosome:Pop_tri_v4:2:4622861:4624291:1 gene:Potri.002G067000.v4.1 transcript:Potri.002G067000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G067000.v4.1 MKGFHCSLLLILALVGSLENLNLGCSAADPTDGFTPVPLTEANFELQKPYNIPLNERYSYEDGIRHMWVYATDKPHDPNSRTQPRTEVRMKGLDYTSGVWQFEGYGFVPNGTSGVTVAQIHGAAEDASTLILRIYDGNLRYYSGDLVATGLYDRWFRLNIIHDVDGDWVTVYVDGEQKYSTKGRGPGDFYFKCGVYAAPRNITYYMESRWRDIKIYKK >Potri.001G207100.1.v4.1 pep chromosome:Pop_tri_v4:1:21168223:21170587:1 gene:Potri.001G207100.v4.1 transcript:Potri.001G207100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G207100.v4.1 MSEELEYRCFIGGLSWSTSDRGLKETFEKFGHLLEAKVVVDKFSGRSRGFGFVTFDEKKAMEDAIEGMNGMDLDGRTITVDKAQPQQGSSRDLDGDRSRDRDRDRDRGHDRDRNRDYGGGRGSNSGECFKCGKPGHFARECPSEGARGGRYGGRDERYSGGGGSSGGSRYGPDRNGDRSGGRNRDGGSRGGPGGDRYNRDRSGPYERRTSGFRSG >Potri.002G186600.1.v4.1 pep chromosome:Pop_tri_v4:2:14859031:14863942:1 gene:Potri.002G186600.v4.1 transcript:Potri.002G186600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186600.v4.1 MDQQGRELVFMHSGDFLRQNPGVSDHLNDYSSGDHAKPTMKEVDFFSTDRNGKSPSEHQEMKINIGSSCLVDSSLNTGLNLSTSSSGISIIANAKEPNDNELRVLRGELGRQHDENKKLRSLLDQITKSYKDLQAQLLVAMQKQTQGCRVEQKGELNDTPTPVMSAQLLMDPRPSATLDANIEPSVSYDKTHEMLVSPTNTMETKSQISGKRASIGDSNIDQTSQSLGSPKSPRLEEEKPNEQVPEVPFRKARVSVRARSEAPLISDGCQWRKYGQKMAKGNPCPRAYYRCSMTVGCPVRKQVQRCAEDKTILITTYEGNHNHPLPPAATVMANTTSAAATMLLSGSTSSRESLSSSSGFYPSLPYASTMATISASAPFPTITLDLTNGPNTTMPFPCTSPSPVTFPFPLHGCPQLPGNPMYVAPKLPAIPSVQLGQRHGSMVETVTAAIASDPNFSAALAAAISTCMGTPRSRDGSNNLSTPSVIPGLPGSPVQIPQSCTTFSTN >Potri.011G107301.1.v4.1 pep chromosome:Pop_tri_v4:11:13562067:13562764:-1 gene:Potri.011G107301.v4.1 transcript:Potri.011G107301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G107301.v4.1 MALVLGSGFLRKTTQLFPYFNYYYLKAAVPIQAAANVRQISEGSGPKINGFHGLAKRTEVAVGEKATAPVGFNAAS >Potri.019G054600.10.v4.1 pep chromosome:Pop_tri_v4:19:9249870:9258790:1 gene:Potri.019G054600.v4.1 transcript:Potri.019G054600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054600.v4.1 MANVQYTENLTEEQLDAMAGSETLRYQATSFGVTRTNKALFKSANRLRDSLLPKDEPKPAIPLLLLIAQHRSVVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPPSAYAQLIPSLDDLVHLYHLDPEVAFLIYRPVMRLFKCAGSLDVFWPLENNKTVTNTSAILEPEAIECSGGVILDLGSSHKSVTWSDLLETVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELSDNSSSAITKRKKEKERIQESLDRLTSELRKHEDNVSSVRRRLSCEKDKWLTSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHVDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYEHECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRMSRLLIQCLESSEYMEIRNALILLTKISGVFPVTKRSGINLEKRVTRIKSDEREDLKVLATGVAAALAARKPSWITDEEFGMGYLEIKPPSAASKSLSGNAAAAQNSSALNVSQGEPAEGRAPHTGSQHGDPGNSTREQISRAKHADGRSDRTDNVSHSKFDQGHQKSKGGSSTNGSNAQSAGSAAAVHVGASRSENRKGVDDSSNRTLEDGTVRAAPKNLAESEMKISTKRLVSKTPKQDVVKDDNKSGKAVGRTPSSSTSDKDIQVHLSEGRQGGAANVSSALTLNGNAVSTSGKISTLSTRASDSYGAESKSDSGLNKPMPKAEATEVADVQKPPQLVHSPRHDNSVAASKSSDKLQKRASPAEEPDRSSKRRKGDGELRDLEGEVKFSERERSTDTRSADLDKVGNDEQNKHRSTDKPLDRSKDKGNDRYDRDHRERSERPDKSHGDDSLADRSRDKSMERYGRERSDERGMDRGTDRSFDRLADKAKDDRSKLRYNDTSAEKSQGDDRFHGQNLPPPPPLPPHMVPQSVTSGRRDEDADRRFGTTRHAQRLSPRHDEKERRRSEENSLVSQDDTKRRKEDDVRERKREEREGLSIKVEEREREREREKTHLLKEEMDAGAAAKRRKIKRDHLPTGEAGEYSPVAPPPPPLGSGMSQSYDGRDRGDRKGGTIQRTSYLEEPSIRIHGKDVAGKMARRDADPMYDREWDEDKRQRAEQKRRHRK >Potri.019G054600.11.v4.1 pep chromosome:Pop_tri_v4:19:9252661:9258847:1 gene:Potri.019G054600.v4.1 transcript:Potri.019G054600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054600.v4.1 MLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELSDNSSSAITKRKKEKERIQESLDRLTSELRKHEDNVSSVRRRLSCEKDKWLTSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHVDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYEHECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRMSRLLIQCLESSEYMEIRNALILLTKISGVFPVTKRSGINLEKRVTRIKSDEREDLKVLATGVAAALAARKPSWITDEEFGMGYLEIKPPSAASKSLSGNAAAAQNSSALNVSQGEPAEGRAPHTGSQHGDPGNSTREQISRAKHADGRSDRTDNVSHSKFDQGHQKSKGGSSTNGSNAQSAGSAAAVHVGASRSENRKGVDDSSNRTLEDGTVRAAPKNLAESEMKISTKRLVSKTPKQDVVKDDNKSGKAVGRTPSSSTSDKDIQVHLSEGRQGGAANVSSALTLNGNAVSTSGKISTLSTRASDSYGAESKSDSGLNKPMPKAEATEVADVQKPPQLVHSPRHDNSVAASKSSDKLQKRASPAEEPDRSSKRRKGDGELRDLEGEVKFSERERSTDTRSADLDKVGNDEQNKHRSTDKPLDRSKDKGNDRYDRDHRERSERPDKSHGDDSLADRSRDKSMERYGRERSDERGMDRGTDRSFDRLADKAKDDRSKLRYNDTSAEKSQGDDRFHGQNLPPPPPLPPHMVPQSVTSGRRDEDADRRFGTTRHAQRLSPRHDEKERRRSEENSLVSQDDTKRRKEDDVRERKREEREGLSIKVEEREREREREKTHLLKEEMDAGAAAKRRKIKRDHLPTGEAGEYSPVAPPPPPLGSGMSQSYDGRDRGDRKGGTIQRTSYLEEPSIRIHGKDVAGKMARRDADPMYDREWDEDKRQRAEQKRRHRK >Potri.019G054600.1.v4.1 pep chromosome:Pop_tri_v4:19:9231502:9258790:1 gene:Potri.019G054600.v4.1 transcript:Potri.019G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G054600.v4.1 MSTTLPPMECLYVTEEFLRELKGGNHSFRLPHPVPILRFLYELSWNLVRGELPFQKCKAALDSVEFVDKVSAVGLGSNFADIITQMAQDLTMSGEYRSRLIKLAKWLVESALVPLRFFQERCEEEFLWEAEMIKIKAQDLKGKEVRVNTRLLYQQTKFNLLREESEGYAKLVTLLYQGSEDTTENTSAATIGIIKSLIGHFDLDPNRVFDIVLEYFELQPDSNVFLELIPIFPKSHASQILGFKFQYYQRIELNSHVPFGLYKLTALLVKEEFIDLDSICAHLLPKDDEAFEHYNTFSSKRLDEANKIGKINLAATGKDLMDDEKQGDVTVDLFAALDMEAEAVAERFSELENNQTLGLLTGFLSVDDWYHAHVLFERLSPLNPVAHTQICNGLFRLIEKLVSSAYNIIRQTHIQSCGSPRIAGIDAMGVTSSSGHVSFIDLPKEFFQMLVTVGPYLYRDTLLLHKVCRVLRGYYMSALELVDSGDGALNGELLIPGNRVPRLHLREARSRVEEALGACLLPSLQLVPANPAVGQEIWEVMSLLPYEVRYRLYGEWEKDDERNPVILAARQTAKLDTRRILKRLAKENLKQLGRMVAKLAHANPMTVLRTIVHQIESYRDMISPVVDAFKYLTQLEYDILEYVVIERLAQGGRDKLKDDGLNLSDWLQSLASFWGHLCKKYPSMELRGLFQYLGNQLKKGQGIELVLLQELLQQMANVQYTENLTEEQLDAMAGSETLRYQATSFGVTRTNKALFKSANRLRDSLLPKDEPKPAIPLLLLIAQHRSVVVINADAPYIKMVSEQFDRCHGTLLQYVEFLCSAVTPPSAYAQLIPSLDDLVHLYHLDPEVAFLIYRPVMRLFKCAGSLDVFWPLENNKTVTNTSAILEPEAIECSGGVILDLGSSHKSVTWSDLLETVKTMLPSKAWNSLSPDLYATFWGLTLYDLYVPRNRYESEIAKQHAALKALEELSDNSSSAITKRKKEKERIQESLDRLTSELRKHEDNVSSVRRRLSCEKDKWLTSCPDTLKINMEFLQRCIFPRCTFSMPDAVYCAMFVHTLHSLGTPFFNTVNHVDVLICKTLQPMICCCTEYEAGRLGRFLYETLKIAYYWKSDESIYEHECGNMPGFAVYYRFPNSQRVTYGQFIKVHWKWSQRMSRLLIQCLESSEYMEIRNALILLTKISGVFPVTKRSGINLEKRVTRIKSDEREDLKVLATGVAAALAARKPSWITDEEFGMGYLEIKPPSAASKSLSGNAAAAQNSSALNVSQGEPAEGRAPHTGSQHGDPGNSTREQISRAKHADGRSDRTDNVSHSKFDQGHQKSKGGSSTNGSNAQSAGSAAAVHVGASRSENRKGVDDSSNRTLEDGTVRAAPKNLAESEMKISTKRLVSKTPKQDVVKDDNKSGKAVGRTPSSSTSDKDIQVHLSEGRQGGAANVSSALTLNGNAVSTSGKISTLSTRASDSYGAESKSDSGLNKPMPKAEATEVADVQKPPQLVHSPRHDNSVAASKSSDKLQKRASPAEEPDRSSKRRKGDGELRDLEGEVKFSERERSTDTRSADLDKVGNDEQNKHRSTDKPLDRSKDKGNDRYDRDHRERSERPDKSHGDDSLADRSRDKSMERYGRERSDERGMDRGTDRSFDRLADKAKDDRSKLRYNDTSAEKSQGDDRFHGQNLPPPPPLPPHMVPQSVTSGRRDEDADRRFGTTRHAQRLSPRHDEKERRRSEENSLVSQDDTKRRKEDDVRERKREEREGLSIKVEEREREREREKTHLLKEEMDAGAAAKRRKIKRDHLPTGEAGEYSPVAPPPPPLGSGMSQSYDGRDRGDRKGGTIQRTSYLEEPSIRIHGKDVAGKMARRDADPMYDREWDEDKRQRAEQKRRHRK >Potri.016G098700.1.v4.1 pep chromosome:Pop_tri_v4:16:9986994:9988254:-1 gene:Potri.016G098700.v4.1 transcript:Potri.016G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098700.v4.1 MASPLKPMLSTLLLLAIAFPNCLAKSKPSLSGKQAIHHAIGRLAHDIEIPKRNKPKFQPSPWKEAHATFYEGGSGTFGGACNYKDVAGQGYGMNTAALSSVLFKNGQACGACFEIKCADNPQWCKLGQPSLIVTATDHCPPNPSLPNDNGGWCNVPREHFDVAKPVFSQLAEYEAGIIPIQYRRVPCQKQGGIRFTILGNPWFYQVIVWNVGGAGDVVGVQVKGDDKLKWTQMERDWGTTWKTSAILLGESLSFRVSASDDRDSTSWHVTPKNWQFGQTYEGKNFK >Potri.003G084100.2.v4.1 pep chromosome:Pop_tri_v4:3:11064497:11069477:-1 gene:Potri.003G084100.v4.1 transcript:Potri.003G084100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084100.v4.1 MEERYETIKEIGSGNFGVARLVRDKKTMELVAVKYIERGKKIDENVQREIINHRSLRHPNIVRFKEVLLTPTHLAIVMEYAAGGELFARICSAGRFSEDETRFFFQQLISGVSYCHSMEICHRDLKLENTLLDGSPAPRLKICDFGYSKSALLHSQPKSTVGTPAYIAPEVLSRKEYDGKISDVWSCGVTLYVMLVGAYPFEDPEDPRNFRKTIGRIMSVQYSIPDYVRVSADCKHLLSRIFVANPAKRITIPEIKQHPWFLKNLPKELVEIEKTNFTKSERDQPAQSVEEIMSIIQEAKTPGEGGKVAEHAFAGTSDDLDVDLDSEVDVSGDIMPSF >Potri.012G118700.3.v4.1 pep chromosome:Pop_tri_v4:12:13699717:13704073:-1 gene:Potri.012G118700.v4.1 transcript:Potri.012G118700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118700.v4.1 MPKGLASSSSDNANRVSPVQRPDTGGKLAVRTPRLLVNHFPVKFSPKSIIRHYDVDIKQEVPPKHGRPGKISKSILTMIRDKLFTDDPSRFPLGKTAYDREKNIFSAVPLPTGTFRVEVSEAEDAKPRSYLFTIKLVNELQLRKLKDYLDGTLRSVPRDILQGMDVVVKEHPARTMISVGRGFHSVRAHQDYLGYGIIASKGCQHSLKPTSQGLALCLDYSVLSFHEPVSVIDFLTKHICGFNLNNFRRCRGDVEIALKGLKVRVTHRVTKQKYVIVGLTRDDTRDITFSQEDPDGKASQNVRLVDYFRQKYGRDIVHQDIPCLEMKSNMRNYVPMEYCVLVEGQVFPKEHLQRDEAQMLKDISLAKAKDRQKTICSMVRDGDGPFGGEIIRNFGMEVSVDMTPVVGRVIGPPELKLGAPNGRVMKVPVDEKCQWNLVGKGVVEGKPIERWAVLDFSSDDYQCPLNADHFIPKLIARCLKLGIRMEEPLFYEPTSMRLFSNSNVDRLRELLERVNGRARKISRGQLQFLLCVMSKKDPGYKYLKWICETKVGIVTQCCLSRSANKVNDQYLANIGLKINAKLGGSNAELSDRLPYFGDENHVMFIGADVNHPAARNTTSPSIAAVVGTTNWPAANRYAARVRPQDHRCEKILNFGDMCLELVEFYSRLNKAKPEKIVIFRDGVSEGQFDMVLNDELMDIKRAFRSIMYTPTITLIVAQKRHQTRLFLEDGGRIGNVSPGTVVDTKIVHPFEYDFYLCSHYGSLGTSKPTHYHVLWDEHGLSSDQLQKLIYDMCFTFARCTKPVSLVPPVYYADLVAYRGRLYHEAVMEGQSPSSVSSSSSSRTSSSLSVGASLEERFCMLHADLENIMYFV >Potri.012G118700.4.v4.1 pep chromosome:Pop_tri_v4:12:13699610:13705251:-1 gene:Potri.012G118700.v4.1 transcript:Potri.012G118700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118700.v4.1 MPKGLASSSSDNANRVSPVQRPDTGGKLAVRTPRLLVNHFPVKFSPKSIIRHYDVDIKQEVPPKHGRPGKISKSILTMIRDKLFTDDPSRFPLGKTAYDREKNIFSAVPLPTGTFRVEVSEAEDAKPRSYLFTIKLVNELQLRKLKDYLDGTLRSVPRDILQGMDVVVKEHPARTMISVGRGFHSVRAHQDYLGYGIIASKGCQHSLKPTSQGLALCLDYSVLSFHEPVSVIDFLTKHICGFNLNNFRRCRGDVEIALKGLKVRVTHRVTKQKYVIVGLTRDDTRDITFSQEDPDGKASQNVRLVDYFRQKYGRDIVHQDIPCLEMKSNMRNYVPMEYCVLVEGQVFPKEHLQRDEAQMLKDISLAKAKDRQKTICSMVRDGDGPFGGEIIRNFGMEVSVDMTPVVGRVIGPPELKLGAPNGRVMKVPVDEKCQWNLVGKGVVEGKPIERWAVLDFSSDDYQCPLNADHFIPKLIARCLKLGIRMEEPLFYEPTSMRLFSNSNVDRLRELLERVNGRARKISRGQLQFLLCVMSKKDPGYKYLKWICETKVGIVTQCCLSRSANKVNDQYLANIGLKINAKLGGSNAELSDRLPYFGDENHVMFIGADVNHPAARNTTSPSIAAVVGTTNWPAANRYAARVRPQDHRCEKILNFGDMCLELVEFYSRLNKAKPEKIVIFRDGVSEGQFDMVLNDELMDIKRAFRSIMYTPTITLIVAQKRHQTRLFLEDGGRIGNVSPGTVVDTKIVHPFEYDFYLCSHYGSLGTSKPTHYHVLWDEHGLSSDQLQKLIYDMCFTFARCTKPVSLVPPVYYADLVAYRGRLYHEAVMEGQSPSSVSSSSSSRTSSSLSVGASLEERFCMLHADLENIMYFV >Potri.009G109900.1.v4.1 pep chromosome:Pop_tri_v4:9:9457534:9463052:-1 gene:Potri.009G109900.v4.1 transcript:Potri.009G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109900.v4.1 MEFIVKVEESRPASDGKPSAGPVYRSIYAKDGLMDLPAGLESPWQFFSDSALKNPENKMLGRRQVIDSKQVGPYVWLTYKEVYDSAMRMGSAMRRRGVNPGDRCGIYGSNCPEWITAMEACDSQAITYVPLYDTLGPNAVEFIINHAEVSIAFVQENKLSSILSCLPKCSSNLKTIVSFGKISDMQKKEADELGVSCFSWEEFPQLGSLDCELPPKHKTDVCTIMYTSGTTGEPKGVILTNGALVAEVLSVDQLLFLTDRVAAEEDSYFSFLPLAHVYDQVIETYCIYKGASIGFWQGDVRYLMEDIQELKPSIFCGVPRVYDRIYTGTLAKVSAGGGLKKKLFDFAYNYKLGYLEKGFPQEKAAPLLDRLVFDKTKQALGGRVRILLSGAAPLPKHVEEFLRVTSCSTLSQGYGLTESCGGCFTSIGNVYPMVGTVGVPMTTIESRLESVPEMGYDALSSVPRGEICLRGSTLFSGYHKREDLTNEVLVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVENLENVYLRCPHITSIWVYGNSFESFLVAVVVPDRQALEDWAANHNETDDFKSLCKNLKARKYILDELNSIGKTNNLRGFEMLKAVHLEPHPFDMERDLITPTFKLKRPQLLKCYKDCIDQLYSDAKGLKA >Potri.009G109900.2.v4.1 pep chromosome:Pop_tri_v4:9:9457474:9463052:-1 gene:Potri.009G109900.v4.1 transcript:Potri.009G109900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109900.v4.1 MEFIVKVEESRPASDGKPSAGPVYRSIYAKDGLMDLPAGLESPWQFFSDSALKNPENKMLGRRQVIDSKVGPYVWLTYKEVYDSAMRMGSAMRRRGVNPGDRCGIYGSNCPEWITAMEACDSQAITYVPLYDTLGPNAVEFIINHAEVSIAFVQENKLSSILSCLPKCSSNLKTIVSFGKISDMQKKEADELGVSCFSWEEFPQLGSLDCELPPKHKTDVCTIMYTSGTTGEPKGVILTNGALVAEVLSVDQLLFLTDRVAAEEDSYFSFLPLAHVYDQVIETYCIYKGASIGFWQGDVRYLMEDIQELKPSIFCGVPRVYDRIYTGTLAKVSAGGGLKKKLFDFAYNYKLGYLEKGFPQEKAAPLLDRLVFDKTKQALGGRVRILLSGAAPLPKHVEEFLRVTSCSTLSQGYGLTESCGGCFTSIGNVYPMVGTVGVPMTTIESRLESVPEMGYDALSSVPRGEICLRGSTLFSGYHKREDLTNEVLVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVENLENVYLRCPHITSIWVYGNSFESFLVAVVVPDRQALEDWAANHNETDDFKSLCKNLKARKYILDELNSIGKTNNLRGFEMLKAVHLEPHPFDMERDLITPTFKLKRPQLLKCYKDCIDQLYSDAKGLKA >Potri.009G109900.3.v4.1 pep chromosome:Pop_tri_v4:9:9457541:9462314:-1 gene:Potri.009G109900.v4.1 transcript:Potri.009G109900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G109900.v4.1 MLGRRQVIDSKVGPYVWLTYKEVYDSAMRMGSAMRRRGVNPGDRCGIYGSNCPEWITAMEACDSQAITYVPLYDTLGPNAVEFIINHAEVSIAFVQENKLSSILSCLPKCSSNLKTIVSFGKISDMQKKEADELGVSCFSWEEFPQLGSLDCELPPKHKTDVCTIMYTSGTTGEPKGVILTNGALVAEVLSVDQLLFLTDRVAAEEDSYFSFLPLAHVYDQVIETYCIYKGASIGFWQGDVRYLMEDIQELKPSIFCGVPRVYDRIYTGTLAKVSAGGGLKKKLFDFAYNYKLGYLEKGFPQEKAAPLLDRLVFDKTKQALGGRVRILLSGAAPLPKHVEEFLRVTSCSTLSQGYGLTESCGGCFTSIGNVYPMVGTVGVPMTTIESRLESVPEMGYDALSSVPRGEICLRGSTLFSGYHKREDLTNEVLVDGWFHTGDIGEWQPNGAMKIIDRKKNIFKLSQGEYVAVENLENVYLRCPHITSIWVYGNSFESFLVAVVVPDRQALEDWAANHNETDDFKSLCKNLKARKYILDELNSIGKTNNLRGFEMLKAVHLEPHPFDMERDLITPTFKLKRPQLLKCYKDCIDQLYSDAKGLKA >Potri.003G206101.1.v4.1 pep chromosome:Pop_tri_v4:3:20509863:20513900:-1 gene:Potri.003G206101.v4.1 transcript:Potri.003G206101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206101.v4.1 MDQSDEVSLDVNELAISLREQLKIKKAFSLACCIYRVPERLRKLNEKAYTPRVVSIGPIHHGKENLKAMEDHKIMYLQQFLEQNLLVSVEDLINIIKENETELRDSYAETINLGRKDFVTMILLDAVFIIMVLLNIKYLSGFYESRRSDHIFYRPFKFADVLFDMSLLENQLPFFILQKLLELSSVAANPENCTLIELSCGLLKGQWSDWVKEDSWKIIDSSRVLHFVDFLSKCQQPTEKHRPAKEEAFLSAPTATELHQSGVKFKNTERSSLFDITFSNGILEIPQLKIYDVIEILFRNLQAFEQCHHANGDTFVNDYITFISCLVSATKDVEVLAHNENMKNMLSSDEAVSNLFHNLFIENVISSESFLSGLCEELNLHCRKRRYKWKATLKQVYFNNPWTGISVVAATFLLVLTVIQTVCSILQL >Potri.003G206101.2.v4.1 pep chromosome:Pop_tri_v4:3:20509829:20513900:-1 gene:Potri.003G206101.v4.1 transcript:Potri.003G206101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206101.v4.1 MDQSDEVSLDVNELAISLREQLKIKKAFSLACCIYRVPERLRKLNEKAYTPRVVSIGPIHHGKENLKAMEDHKIMYLQQFLEQNLLVSVEDLINIIKENETELRDSYAETINLGRKDFVTMILLDAVFIIMVLLNIKYLSGFYESRRSDHIFYRPFKFADVLFDMSLLENQLPFFILQKLLELSSVAANPENCTLIELSCGLLKGQWSDWVKEDSWKIIDSSRVLHFVDFLSKCQQPTEKHRPAKEEAFLSAPTATELHQSGVKFKNTERSSLFDITFSNGILEIPQLKIYDVIEILFRNLQAFEQCHHANGDTFVNDYITFISCLVSATKDVEVLAHNENMKNMLSSDEAVSNLFHNLFIENVISSESFLSGLCEELNLHCRKRRYKWKATLKQVYFNNPWTGISVVAATFLLVLTVIQTVCSILQL >Potri.010G177200.2.v4.1 pep chromosome:Pop_tri_v4:10:17697743:17704831:-1 gene:Potri.010G177200.v4.1 transcript:Potri.010G177200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177200.v4.1 MRVSTPSVTDERAATLSGGGYRDAESLFRTKAIPEIRNVESETRQQIEEKKEELRQLVGNRYRDLIDSADSIVHMKSYCESISRNIASIHTNIRSLSASPLSETPKFTSPNSTRGDSYGIACRVKYLVDTPENIWGCLDEFMFLEAAGRYTRAKHVQSKLMNRDYNKILLNFPLLQHQWQIVESFKAQISQKSRERLSDQVLEIGGYADALAAAAVIDELEPDQVLCLFLDSRKSWILQKLGGFGGVDVKNDIVSGEVVVFVFCEVLKIIQVSVGQVGELFLQVLNDMPLFYKVILSSPPASQLFGGIPNPDEEVRLWKLFREKLESVNAALDKEYIARTCMSWLRDCGGQIVSKINGKFLIDAIATGGELAVAEKMIRETMDSKQVLEGSLEWLKSVFGSEIELPWSRIRELVLEDDSDLWDEIFEGAFVQRMKTIIISRFEDLVRAINLGESICATGETPGEQIDFQAYLNRPSTGGGVWFIEPNTKKSGLGLGHKASPEENDFHSCLSAYFAPEVSRIRDAVDSCCQSVLEDLLSFLESPKAALRIKDLAPFLQDKCYESISTILTELKRELDSLYAAMGNANNVGQRVPPAIVVEKSLYIGRLLFAFQNHSKHIPVILGSPRFWAKDTMAAVFDKLPSVLRQSRFANEYPIPDSPGRQSPTSSKRQSSSATAALRGANESASPKLEELGRIMKDLCIRAHNLWISWLSDELSAILARDLGKDDGLSATTPLRGWEETVVKQEQSDESQAEMKISLPSMPSLYIISFLFRACEEIHRIGGHVLDKSILQKFASSLLEKVIEIYEDFLSSRESHQSQVSEKGVLQILLDLRFAADVLSGGDCNINEEISRNPKVKVPFRRKQEQSQMKSVSRERIDGLINRFSQRLDPIDWLTYEPYLWENERQSYLRHAVLFGFFVQLNRMYTDTMQKLPSNPESNIMRCSTVPRFKYLPISAPALSSRGTTKTSIQRTADDISSRSSWKAYTKGELSRNIDLDENTSFGVAAPILKSFMQVGSRFGESTLKLGSMLTDGQVGIFKDRSAAAMSTFGDILPVQAAGLLSSFTATRSDS >Potri.009G005300.7.v4.1 pep chromosome:Pop_tri_v4:9:1161295:1166025:1 gene:Potri.009G005300.v4.1 transcript:Potri.009G005300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005300.v4.1 MDSRNPNPPCMRVLTRPPTPTPAPGPTPTSSSSPDPQPSSQSHPRSLEGVVVVGFLSRSPDHSTHLINRILDSNAFGSGHLDKTLFVDKEEVKDWFKKRKISYYHEEEKGLLFLQFCSIRCPIIHGFSNSGLEELEFEELQGLLFMFSVCHVILYIQEGSRFDTHVLQKFRLLQASKHALTPYVRSRTIPPLSSRPHSSLSSSRLASSTGSSPVRSGSFTSRNSSAVSIMSGLGSYVSLFPGYCTPVMLFVFVDDFLDVLNSGSSVEESTDSSSFNQSSGLSSVARSNAPAKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSESGHTGSRSGAVSSSAPLFSLDASRSVVLLDRSANLRGESLEFATDLVEDILNGKATPDSLLLERHSQNANKEDILSIKEFIYRQSDILRGKGGLVTGTNSGSAAGVGMVAVAAAAAAASASAGSGKTLTTPELPSLEIWLSSSQLILNGILSAKRSCIDETEVVKRKPRQRNTGLAQVEGTSRVMDPLDVAVYLLENSRGLNTKFSTSWCEKALPTAKNEYLKDLPACYATAQHEAHLEKALRAFLSMVRGPAVQLFAKKLEDECTSIWKSGRQLCDAVSLTGKPCMHQRHNVDTGEPHNDAAAKPHSSGYFFLHACACGRSRQLLSDPFDFESANVSSNCFTDCDKLLPAIQLPEGSNIGPIQSSSWSLIRVAGTRYYEPSKGLLQSGFSSTHKFLSKGTIFLEKPTNLNGLPASNLLQGSVIRSSSDPQVEFNGDVDRKKTVFYSADMETGVENQRKLSVNSKLDDKKISFGRNIPNFTMRKPFSEVVAGSSATDSGFPPLQQRKQHPSISEKGSRKNWARDRIVEQVHPKVVQGSHKSEDMSPVQETLNGMASNGGLDGDPFLRIGSNVVPVNINGAEVVKSSKHAIVYVGFEHECPHGHRFLLSLDHLNELGPLYSLPEESRVPTVETSDNSLVDPSNSGRNSGTGKGHRRSKDMAVATANKLRNADKSKEMGANWNPSINGLVKFSGSGKEQKQTSLNVPTRPNFMKCLEADFLSISLDDGGSAFSILNRNLPIYMNCPYCQLSKNKKDPPKVKFAGTLSQLQRIFLVTPPFPVVLATCPVIQFAASCLPSSVSDREQKLQFSLGCQVVLPPESFLTLKLPFVYGVQLVDGNPVPLNAFECQPEMTAWIMRGTALQVVSKASKLREGHQK >Potri.009G005300.10.v4.1 pep chromosome:Pop_tri_v4:9:1162335:1167635:1 gene:Potri.009G005300.v4.1 transcript:Potri.009G005300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005300.v4.1 MLFVFVDDFLDVLNSGSSVEESTDSSSFNQSSGLSSVARSNAPAKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSESGHTGSRSGAVSSSAPLFSLDASRSVVLLDRSANLRGESLEFATDLVEDILNGKATPDSLLLERHSQNANKEDILSIKEFIYRQSDILRGKGGLVTGTNSGSAAGVGMVAVAAAAAAASASAGSGKTLTTPELPSLEIWLSSSQLILNGILSAKRSCIDETEVVKRKPRQRNTGLAQVEGTSRVMDPLDVAVYLLENSRGLNTKFSTSWCEKALPTAKNEYLKDLPACYATAQHEAHLEKALRAFLSMVRGPAVQLFAKKLEDECTSIWKSGRQLCDAVSLTGKPCMHQRHNVDTGEPHNDAAAKPHSSGYFFLHACACGRSRQLLSDPFDFESANVSSNCFTDCDKLLPAIQLPEGSNIGPIQSSSWSLIRVAGTRYYEPSKGLLQSGFSSTHKFLSKGTIFLEKPTNLNGLPASNLLQGSVIRSSSDPQVEFNGDVDRKKTVFYSADMETGVENQRKLSVNSKLDDKKISFGRNIPNFTMRKPFSEVVAGSSATDSGFPPLQQRKQHPSISEKGSRKNWARDRIVEQVHPKVVQGSHKSEDMSPVQETLNGMASNGGLDGDPFLRIGSNVVPVNINGAEVVKSSKHAIVYVGFEHECPHGHRFLLSLDHLNELGPLYSLPEESRVPTVETSDNSLVDPSNSGRNSGTGKGHRRSKDMAVATANKLRNADKSKEMGANWNPSINGLVKFSGSGKEQKQTSLNVPTRPNFMKCLEADFLSISLDDGGSAFSILNRNLPIYMNCPYCQLSKNKKDPPKVKFAGTLSQLQRIFLVTPPFPVVLATCPVIQFAASCLPSSVSDREQKLQFSLGCQVVLPPESFLTLKLPFVYGVQLVDGNPVPLNAFECQPEMTAWIMRGTALQVVSKASKLREGHQK >Potri.009G005300.8.v4.1 pep chromosome:Pop_tri_v4:9:1161320:1167616:1 gene:Potri.009G005300.v4.1 transcript:Potri.009G005300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005300.v4.1 MQVCHVILYIQEGSRFDTHVLQKFRLLQASKHALTPYVRSRTIPPLSSRPHSSLSSSRLASSTGSSPVRSGSFTSRNSSAVSIMSGLGSYVSLFPGYCTPVMLFVFVDDFLDVLNSGSSVEESTDSSSFNQSSGLSSVARSNAPAKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSESGHTGSRSGAVSSSAPLFSLDASRSVVLLDRSANLRGESLEFATDLVEDILNGKATPDSLLLERHSQNANKEDILSIKEFIYRQSDILRGKGGLVTGTNSGSAAGVGMVAVAAAAAAASASAGSGKTLTTPELPSLEIWLSSSQLILNGILSAKRSCIDETEVVKRKPRQRNTGLAQVEGTSRVMDPLDVAVYLLENSRGLNTKFSTSWCEKALPTAKNEYLKDLPACYATAQHEAHLEKALRAFLSMVRGPAVQLFAKKLEDECTSIWKSGRQLCDAVSLTGKPCMHQRHNVDTGEPHNDAAAKPHSSGYFFLHACACGRSRQLLSDPFDFESANVSSNCFTDCDKLLPAIQLPEGSNIGPIQSSSWSLIRVAGTRYYEPSKGLLQSGFSSTHKFLSKGTIFLEKPTNLNGLPASNLLQGSVIRSSSDPQVEFNGDVDRKKTVFYSADMETGVENQRKLSVNSKLDDKKISFGRNIPNFTMRKPFSEVVAGSSATDSGFPPLQQRKQHPSISEKGSRKNWARDRIVEQVHPKVVQGSHKSEDMSPVQETLNGMASNGGLDGDPFLRIGSNVVPVNINGAEVVKSSKHAIVYVGFEHECPHGHRFLLSLDHLNELGPLYSLPEESRVPTVETSDNSLVDPSNSGRNSGTGKGHRRSKDMAVATANKLRNADKSKEMGANWNPSINGLVKFSGSGKEQKQTSLNVPTRPNFMKCLEADFLSISLDDGGSAFSILNRNLPIYMNCPYCQLSKNKKDPPKVKFAGTLSQLQRIFLVTPPFPVVLATCPVIQFAASCLPSSVSDREQKLQFSLGCQVVLPPESFLTLKLPFVYGVQLVDGNPVPLNAFECQPEMTAWIMRGTALQVVSKASKLREGHQK >Potri.009G005300.2.v4.1 pep chromosome:Pop_tri_v4:9:1161277:1167614:1 gene:Potri.009G005300.v4.1 transcript:Potri.009G005300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005300.v4.1 MDSRNPNPPCMRVLTRPPTPTPAPGPTPTSSSSPDPQPSSQSHPRSLEGVVVVGFLSRSPDHSTHLINRILDSNAFGSGHLDKTLFVDKEEVKDWFKKRKISYYHEEEKGLLFLQFCSIRCPIIHGFSNSGLEELEFEELQGLLFMFSVCHVILYIQEGSRFDTHVLQKFRLLQASKHALTPYVRSRTIPPLSSRPHSSLSSSRLASSTGSSPVRSGSFTSRNSSAVSIMSGLGSYVSLFPGYCTPVMLFVFVDDFLDVLNSGSSVEESTDSSSFNQSSGLSSVARSNAPAKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSESGHTGSRSGAVSSSAPLFSLDASRSVVLLDRSANLRGESLEFATDLVEDILNGKATPDSLLLERHSQNANKEDILSIKEFIYRQSDILRGKGGLVTGTNSGSAAGVGMVAVAAAAAAASASAGSGKTLTTPELPSLEIWLSSSQLILNGILSAKRSCIDETEVVKRKPRQRNTGLAQVEGTSRVMDPLDVAVYLLENSRGLNTKFSTSWCEKALPTAKNEYLKDLPACYATAQHEAHLEKALRAFLSMVRGPAVQLFAKKLEDECTSIWKSGRQLCDAVSLTGKPCMHQRHNVDTGEPHNDAAAKPHSSGYFFLHACACGRSRQLLSDPFDFESANVSSNCFTDCDKLLPAIQLPEGSNIGPIQSSSWSLIRVAGTRYYEPSKGLLQSGFSSTHKFLSKGTIFLEKPTNLNGLPASNLLQGSVIRSSSDPQVEFNGDVDRKKTVFYSADMETGVENQRKLSVNSKLDDKKISFGRNIPNFTMRKPFSEVVAGSSATDSGFPPLQQRKQHPSISEKGSRKNWARDRIVEQVHPKVVQGSHKSEDMSPVQETLNGMASNGGLDGDPFLRIGSNVVPVNINGAEVVKSSKHAIVYVGFEHECPHGHRFLLSLDHLNELGPLYSLPEESRVPTVETSDNSLVDPSNSGRNSGTGKGHRRSKDMAVATANKLRNADKSKEMGANWNPSINGLVKFSGSGKEQKQTSLNVPTRPNFMKCLEADFLSISLDDGGSAFSILNRNLPIYMNCPYCQLSKNKKDPPKVKFAGTLSQLQRIFLVTPPFPVVLATCPVIQFAASCLPSSVSDREQKLQFSLGCQVVLPPESFLTLKLPFVYGVQLVDGNPVPLNAFECQPEMTAWIMRGTALQVVSKASKLREGHQK >Potri.009G005300.6.v4.1 pep chromosome:Pop_tri_v4:9:1161312:1167027:1 gene:Potri.009G005300.v4.1 transcript:Potri.009G005300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005300.v4.1 MDSRNPNPPCMRVLTRPPTPTPAPGPTPTSSSSPDPQPSSQSHPRSLEGVVVVGFLSRSPDHSTHLINRILDSNAFGSGHLDKTLFVDKEEVKDWFKKRKISYYHEEEKGLLFLQFCSIRCPIIHGFSNSGLEELEFEELQGLLFMFSVCHVILYIQEGSRFDTHVLQKFRLLQASKHALTPYVRSRTIPPLSSRPHSSLSSSRLASSTGSSPVRSGSFTSRNSSAVSIMSGLGSYVSLFPGYCTPVMLFVFVDDFLDVLNSGSSVEESTDSSSFNQSSGLSSVARSNAPAKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSESGHTGSRSGAVSSSAPLFSLDASRSVVLLDRSANLRGESLEFATDLVEDILNGKATPDSLLLERHSQNANKEDILSIKEFIYRQSDILRGKGGLVTGTNSGSAAGVGMVAVAAAAAAASASAGSGKTLTTPELPSLEIWLSSSQLILNGILSAKRSCIDETEVVKRKPRQRNTGLAQVEGTSRVMDPLDVAVYLLENSRGLNTKFSTSWCEKALPTAKNEYLKDLPACYATAQHEAHLEKALRAFLSMVRGPAVQLFAKKLEDECTSIWKSGRQLCDAVSLTGKPCMHQRHNVDTGEPHNDAAAKPHSSGYFFLHACACGRSRQLLSDPFDFESANVSSNCFTDCDKLLPAIQLPEGSNIGPIQSSSWSLIRVAGTRYYEPSKGLLQSGFSSTHKFLSKGTIFLEKPTNLNGLPASNLLQGSVIRSSSDPQVEFNGDVDRKKTVFYSADMETGVENQRKLSVNSKLDDKKISFGRNIPNFTMRKPFSEVVAGSSATDSGFPPLQQRKQHPSISEKGSRKNWARDRIVEQVHPKVVQGSHKSEDMSPVQETLNGMASNGGLDGDPFLRIGSNVVPVNINGAEVVKSSKHAIVYVGFEHECPHGHRFLLSLDHLNELGPLYSLPEESRVPTVETSDNSLVDPSNSGRNSGTGKGHRRSKDMAVATANKLRNADKSKEMGANWNPSINGLVKFSGSGKEQKQTSLNVPTRPNFMKCLEADFLSISLDDGGSAFSILNRNLPIYMNCPYCQLSKNKKDPPKVKFAGTLSQLQRIFLVTPPFPVVLATCPVIQFAASCLPSSVSDREQKLQFSLGCQVVLPPESFLTLKLPFVYGVQLVDGNPVPLNAFECQPEMTAWIMRGTALQVVSKASKLREGHQK >Potri.009G005300.1.v4.1 pep chromosome:Pop_tri_v4:9:1161295:1167614:1 gene:Potri.009G005300.v4.1 transcript:Potri.009G005300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005300.v4.1 MDSRNPNPPCMRVLTRPPTPTPAPGPTPTSSSSPDPQPSSQSHPRSLEGVVVVGFLSRSPDHSTHLINRILDSNAFGSGHLDKTLFVDKEEVKDWFKKRKISYYHEEEKGLLFLQFCSIRCPIIHGFSNSGLEELEFEELQGLLFMFSVCHVILYIQEGSRFDTHVLQKFRLLQASKHALTPYVRSRTIPPLSSRPHSSLSSSRLASSTGSSPVRSGSFTSRNSSAVSIMSGLGSYVSLFPGYCTPVMLFVFVDDFLDVLNSGSSVEESTDSSSFNQSSGLSSVARSNAPAKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSESGHTGSRSGAVSSSAPLFSLDASRSVVLLDRSANLRGESLEFATDLVEDILNGKATPDSLLLERHSQNANKEDILSIKEFIYRQSDILRGKGGLVTGTNSGSAAGVGMVAVAAAAAAASASAGSGKTLTTPELPSLEIWLSSSQLILNGILSAKRSCIDETEVVKRKPRQRNTGLAQVEGTSRVMDPLDVAVYLLENSRGLNTKFSTSWCEKALPTAKNEYLKDLPACYATAQHEAHLEKALRAFLSMVRGPAVQLFAKKLEDECTSIWKSGRQLCDAVSLTGKPCMHQRHNVDTGEPHNDAAAKPHSSGYFFLHACACGRSRQLLSDPFDFESANVSSNCFTDCDKLLPAIQLPEGSNIGPIQSSSWSLIRVAGTRYYEPSKGLLQSGFSSTHKFLSKGTIFLEKPTNLNGLPASNLLQGSVIRSSSDPQVEFNGDVDRKKTVFYSADMETGVENQRKLSVNSKLDDKKISFGRNIPNFTMRKPFSEVVAGSSATDSGFPPLQQRKQHPSISEKGSRKNWARDRIVEQVHPKVVQGSHKSEDMSPVQETLNGMASNGGLDGDPFLRIGSNVVPVNINGAEVVKSSKHAIVYVGFEHECPHGHRFLLSLDHLNELGPLYSLPEESRVPTVETSDNSLVDPSNSGRNSGTGKGHRRSKDMAVATANKLRNADKSKEMGANWNPSINGLVKFSGSGKEQKQTSLNVPTRPNFMKCLEADFLSISLDDGGSAFSILNRNLPIYMNCPYCQLSKNKKDPPKVKFAGTLSQLQRIFLVTPPFPVVLATCPVIQFAASCLPSSVSDREQKLQFSLGCQVVLPPESFLTLKLPFVYGVQLVDGNPVPLNAFECQPEMTAWIMRGTALQVVSKASKLREGHQK >Potri.009G005300.5.v4.1 pep chromosome:Pop_tri_v4:9:1161291:1167028:1 gene:Potri.009G005300.v4.1 transcript:Potri.009G005300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005300.v4.1 MDSRNPNPPCMRVLTRPPTPTPAPGPTPTSSSSPDPQPSSQSHPRSLEGVVVVGFLSRSPDHSTHLINRILDSNAFGSGHLDKTLFVDKEEVKDWFKKRKISYYHEEEKGLLFLQFCSIRCPIIHGFSNSGLEELEFEELQGLLFMFSVCHVILYIQEGSRFDTHVLQKFRLLQASKHALTPYVRSRTIPPLSSRPHSSLSSSRLASSTGSSPVRSGSFTSRNSSAVSIMSGLGSYVSLFPGYCTPVMLFVFVDDFLDVLNSGSSVEESTDSSSFNQSSGLSSVARSNAPAKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSESGHTGSRSGAVSSSAPLFSLDASRSVVLLDRSANLRGESLEFATDLVEDILNGKATPDSLLLERHSQNANKEDILSIKEFIYRQSDILRGKGGLVTGTNSGSAAGVGMVAVAAAAAAASASAGSGKTLTTPELPSLEIWLSSSQLILNGILSAKRSCIDETEVVKRKPRQRNTGLAQVEGTSRVMDPLDVAVYLLENSRGLNTKFSTSWCEKALPTAKNEYLKDLPACYATAQHEAHLEKALRAFLSMVRGPAVQLFAKKLEDECTSIWKSGRQLCDAVSLTGKPCMHQRHNVDTGEPHNDAAAKPHSSGYFFLHACACGRSRQLLSDPFDFESANVSSNCFTDCDKLLPAIQLPEGSNIGPIQSSSWSLIRVAGTRYYEPSKGLLQSGFSSTHKFLSKGTIFLEKPTNLNGLPASNLLQGSVIRSSSDPQVEFNGDVDRKKTVFYSADMETGVENQRKLSVNSKLDDKKISFGRNIPNFTMRKPFSEVVAGSSATDSGFPPLQQRKQHPSISEKGSRKNWARDRIVEQVHPKVVQGSHKSEDMSPVQETLNGMASNGGLDGDPFLRIGSNVVPVNINGAEVVKSSKHAIVYVGFEHECPHGHRFLLSLDHLNELGPLYSLPEESRVPTVETSDNSLVDPSNSGRNSGTGKGHRRSKDMAVATANKLRNADKSKEMGANWNPSINGLVKFSGSGKEQKQTSLNVPTRPNFMKCLEADFLSISLDDGGSAFSILNRNLPIYMNCPYCQLSKNKKDPPKVKFAGTLSQLQRIFLVTPPFPVVLATCPVIQFAASCLPSSVSDREQKLQFSLGCQVVLPPESFLTLKLPFVYGVQLVDGNPVPLNAFECQPEMTAWIMRGTALQVVSKASKLREGHQK >Potri.009G005300.9.v4.1 pep chromosome:Pop_tri_v4:9:1161322:1166965:1 gene:Potri.009G005300.v4.1 transcript:Potri.009G005300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G005300.v4.1 MQVCHVILYIQEGSRFDTHVLQKFRLLQASKHALTPYVRSRTIPPLSSRPHSSLSSSRLASSTGSSPVRSGSFTSRNSSAVSIMSGLGSYVSLFPGYCTPVMLFVFVDDFLDVLNSGSSVEESTDSSSFNQSSGLSSVARSNAPAKGSGSVVVLARPVSKSEGGFRKKLQSSLEAQIRFLIKKCRTLSGSESGHTGSRSGAVSSSAPLFSLDASRSVVLLDRSANLRGESLEFATDLVEDILNGKATPDSLLLERHSQNANKEDILSIKEFIYRQSDILRGKGGLVTGTNSGSAAGVGMVAVAAAAAAASASAGSGKTLTTPELPSLEIWLSSSQLILNGILSAKRSCIDETEVVKRKPRQRNTGLAQVEGTSRVMDPLDVAVYLLENSRGLNTKFSTSWCEKALPTAKNEYLKDLPACYATAQHEAHLEKALRAFLSMVRGPAVQLFAKKLEDECTSIWKSGRQLCDAVSLTGKPCMHQRHNVDTGEPHNDAAAKPHSSGYFFLHACACGRSRQLLSDPFDFESANVSSNCFTDCDKLLPAIQLPEGSNIGPIQSSSWSLIRVAGTRYYEPSKGLLQSGFSSTHKFLSKGTIFLEKPTNLNGLPASNLLQGSVIRSSSDPQVEFNGDVDRKKTVFYSADMETGVENQRKLSVNSKLDDKKISFGRNIPNFTMRKPFSEVVAGSSATDSGFPPLQQRKQHPSISEKGSRKNWARDRIVEQVHPKVVQGSHKSEDMSPVQETLNGMASNGGLDGDPFLRIGSNVVPVNINGAEVVKSSKHAIVYVGFEHECPHGHRFLLSLDHLNELGPLYSLPEESRVPTVETSDNSLVDPSNSGRNSGTGKGHRRSKDMAVATANKLRNADKSKEMGANWNPSINGLVKFSGSGKEQKQTSLNVPTRPNFMKCLEADFLSISLDDGGSAFSILNRNLPIYMNCPYCQLSKNKKDPPKVKFAGTLSQLQRIFLVTPPFPVVLATCPVIQFAASCLPSSVSDREQKLQFSLGCQVVLPPESFLTLKLPFVYGVQLVDGNPVPLNAFECQPEMTAWIMRGTALQVVSKASKLREGHQK >Potri.019G020700.1.v4.1 pep chromosome:Pop_tri_v4:19:3263729:3265607:-1 gene:Potri.019G020700.v4.1 transcript:Potri.019G020700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020700.v4.1 MNPADMFTQVGSVIASVMFAWAMFKQYCPYSVQEYFDKYSKRAFTFVYPYIQISFNEFTGDRFMRSEAYSAIENYLGSRSSTQAKRLKADVVKNSQSVVLSMDDYEEVGDEFQGVKLRWASGKHISKTQSVSFYPVTDEKKYYKLTFHKRHRQLILGDYLNHVLKEGNEIKVRNRQRKLYTNSGSYWRHVVFQHPASFETLAMEAERKQEIVDDLVIFSTAEDFYARIGRAWKRGYLLFGPPGTGKSTMIAAMANLLNYDIYDLELTAVKDNTELRKLLMETTTRSIIVIEDIDCSLDLTGQRKKKKEEEGERDEKDPKPKLPKEEDSKQSQVTLSGILNFVDGLWSACRGERLIVFTTNFVEKLDPALIRKGRMDKHIELSYCSFEAFQVLAKNYLRLESHHLFARIQELLGETKMTPAEVAEHLMPKTITGDAKVCLESLIGALEKAKEDAILKAEEEAKEKEKESARLKAEEEAKEKEKEKAKAEEEAKEKDSSAAENAKKQVKENGFCDNGNGELNNKENKQEGFSH >Potri.008G158800.4.v4.1 pep chromosome:Pop_tri_v4:8:10892486:10901846:-1 gene:Potri.008G158800.v4.1 transcript:Potri.008G158800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158800.v4.1 MPVRQMKESSEQHLVIKTHMQNSMNQPQKHHKTAQNGKGPPQPLQESSNTKPQNQASPPAKNRGRRRGRGGRKSDQGDVCTRPSSRPCTVAHKPVLNPTGDLLANASNGHIENSKNVCEMEMGLGFPTSSKSLSLAPRPGYGQVGTKCIVKANHFLAELPDKDLNQYDVTITPEVASRTMNRDIMAELVRLYKDSDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLIDEEDGINGPKRGREYKVVIKFVARANMYHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDILSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLRVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANLSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMQENGSAGSGACHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.008G158800.1.v4.1 pep chromosome:Pop_tri_v4:8:10892504:10902179:-1 gene:Potri.008G158800.v4.1 transcript:Potri.008G158800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158800.v4.1 MPVRQMKESSEQHLVIKTHMQNSMNQPQKHHKTAQNGKGPPQPLQESSNTKPQNQASPPAKNRGRRRGRGGRKSDQGDVCTRPSSRPCTVAHKPVLNPTGDLLANASNGHIENSKNVCEMEMGLGFPTSSKSLSLAPRPGYGQVGTKCIVKANHFLAELPDKDLNQYDVTITPEVASRTMNRDIMAELVRLYKDSDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLIDEEDGINGPKRGREYKVVIKFVARANMYHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDILSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLRVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANLSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMQENGSAGSGACHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.008G158800.5.v4.1 pep chromosome:Pop_tri_v4:8:10892477:10902751:-1 gene:Potri.008G158800.v4.1 transcript:Potri.008G158800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158800.v4.1 MPVRQMKESSEQHLVIKTHMQNSMNQPQKHHKTAQNGKGPPQPLQESSNTKPQNQASPPAKNRGRRRGRGGRKSDQGDVCTRPSSRPCTVAHKPVLNPTGDLLANASNGHIENSKNVCEMEMGLGFPTSSKSLSLAPRPGYGQVGTKCIVKANHFLAELPDKDLNQYDVTITPEVASRTMNRDIMAELVRLYKDSDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLIDEEDGINGPKRGREYKVVIKFVARANMYHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDILSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLRVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANLSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMQENGSAGSGACHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.008G158800.7.v4.1 pep chromosome:Pop_tri_v4:8:10892429:10901845:-1 gene:Potri.008G158800.v4.1 transcript:Potri.008G158800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158800.v4.1 MPVRQMKESSEQHLVIKTHMQNSMNQPQKHHKTAQNGKGPPQPLQESSNTKPQNQASPPAKNRGRRRGRGGRKSDQGDVCTRPSSRPCTVAHKPVLNPTGDLLANASNGHIENSKNVCEMEMGLGFPTSSKSLSLAPRPGYGQVGTKCIVKANHFLAELPDKDLNQYDVTITPEVASRTMNRDIMAELVRLYKDSDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLIDEEDGINGPKRGREYKVVIKFVARANMYHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDILSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLRVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANLSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMQENGSAGSGACHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.008G158800.6.v4.1 pep chromosome:Pop_tri_v4:8:10892504:10901911:-1 gene:Potri.008G158800.v4.1 transcript:Potri.008G158800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158800.v4.1 MPVRQMKESSEQHLVIKTHMQNSMNQPQKHHKTAQNGKGPPQPLQESSNTKPQNQASPPAKNRGRRRGRGGRKSDQGDVCTRPSSRPCTVAHKPVLNPTGDLLANASNGHIENSKNVCEMEMGLGFPTSSKSLSLAPRPGYGQVGTKCIVKANHFLAELPDKDLNQYDVTITPEVASRTMNRDIMAELVRLYKDSDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLIDEEDGINGPKRGREYKVVIKFVARANMYHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDILSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLRVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANLSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMQENGSAGSGACHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.008G158800.8.v4.1 pep chromosome:Pop_tri_v4:8:10892508:10899949:-1 gene:Potri.008G158800.v4.1 transcript:Potri.008G158800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158800.v4.1 MNRDIMAELVRLYKDSDLGMRLPAYDGRKSLYTAGELPFAWKEFIIKLIDEEDGINGPKRGREYKVVIKFVARANMYHLGQFLAGKRADAPQEALQILDIVLRELSSKRYCPVGRSFFSPDIRAPQRLGDGLESWCGFYQSIRPTQMGLSLNIDMASAAFIEPLPVIEFVAQLLGKDILSRPLSDSDRVKIKKGLRGVKVEVTHRGSVRRKYRVSGLTSQPTRELVFPVDDNSTMKSVVEYFQEMYGFTIQHTHLPCLQVGNQKKANYLPMEACKIVEGQRYTKRLNERQITALLRVTCQRPRDRENDILQTVQHNAYDQDPYAKEFGIKISEKLASVEARILPAPWLKYHETGKEKDCLPQVGQWNMMNKKMINGMTVSRWACINFSRSVQESVARGFCNELAQMCQVSGMEFNSEPVIPIYNARPEHVEKALKHVYHASTNRTKGKELELLLAILPDNNGSLYGDLKRICETDLGLITQCCLSKHVFKISKQYLANLSLKINVKMGGRNTVLLDAISCRIPLVSDIPTIIFGADVTHPENGEDSSPSIAAVVASQDWPEVTKYAGLVCAQAHRQELIQDLYKTWQDPVRGTVSGGMIRDLLISFRKATGQKPLRIIFYRDGVSEGQFYQVLLYELDAIRKACASLEPNYQPPVTFIVVQKRHHTRLFANNHRDRNSTDKSGNILPGTVVDSKICHPTEFDFYLCSHAGIQGTSRPAHYHVLWDENNFTADGIQSLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARFYTEPVMQENGSAGSGACHGAKGTRTGESGVRPLPALKENVKRVMFYC >Potri.007G145500.1.v4.1 pep chromosome:Pop_tri_v4:7:15419951:15420262:1 gene:Potri.007G145500.v4.1 transcript:Potri.007G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145500.v4.1 MEGLIPLVYKAFMKKKTRSQYECLSSGAARGYNISDFYVHEAPKSELHLEPSMEKKTYQKKGHQRYFSVHGDFSGGFSSLADRSTAAASPQTKRLVRFRSQRV >Potri.017G043500.1.v4.1 pep chromosome:Pop_tri_v4:17:3044956:3046088:-1 gene:Potri.017G043500.v4.1 transcript:Potri.017G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043500.v4.1 MISAKKLVKLAKKWQKLAALRRKRITLPQMETSSCSASEMADKGHFVVYSADHKRFLLPLSYLNNEIVRELLKLAEEEFGLPSDGPLTLPCDAELIEYAVALIKQRVTRDVEKALLVSIASSRCSLSSDVHHQVTDHQLPVCSF >Potri.014G166800.11.v4.1 pep chromosome:Pop_tri_v4:14:12089345:12095134:-1 gene:Potri.014G166800.v4.1 transcript:Potri.014G166800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166800.v4.1 MATHFSPCSSSSTNFLPSSCFKNETTVLFSRFAVTVPRKSTWVTQNHSRIQGRKHFHIKSSNGHPLNAVSLQDGLDGSPTAKEHDKSQGKEKPAIPISEAEKEESTLSITVVGASGDLAKKKIFPALFALFYEDWLPENFTVFGYARTKLTDEELRNMISGTLTCRIDQRENCEDKMDQFLKRCFYHAGQYDSEGDFSELNSKLKEKEAGKVSNRLFYLSIPPNIFVDVVRSASLRASSLNGWTRVIVEKPFGRDSESSGELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDVRNEKVKVLRSMKPLQLEDVIVGQYKGHSKSGRSYPAYTDDPTVPKDSRTPTFAAAALFINNARWDGVPFLMKAGKALHTRRAEVRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYSARYPREIPDAYERLLLDAIAGERRLFIRSDELDAAWALFTPMLKELELKKIVPELYPHGSRGPVGAHYLAAKYNVRWGDLSSDDS >Potri.014G166800.9.v4.1 pep chromosome:Pop_tri_v4:14:12090126:12095138:-1 gene:Potri.014G166800.v4.1 transcript:Potri.014G166800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166800.v4.1 MATHFSPCSSSSTNFLPSSCFKNETTVLFSRFAVTVPRKSTWVTQNHSRIQGRKHFHIKSSNGHPLNAVSLQDGLDGSPTAKEHDKSQGKEKPAIPISEAEKEESTLSITVVGASGDLAKKKIFPALFALFYEDWLPENFTVFGYARTKLTDEELRNMISGTLTCRIDQRENCEDKMDQFLKRCFYHAGQYDSEGDFSELNSKLKEKEAGKVSNRLFYLSIPPNIFVDVVRSASLRASSLNGWTRVIVEKPFGRDSESSGELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDVRNEKVKVLRSMKPLQLEDVIVGQYKGHSKSGRSYPAYTDDPTVPKDSRTPTFAAAALFINNARWDGVPFLMKAGKALHTRRAEVRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYSARYPREIPDAYERLLLDAIAGERRLFIRSDELDAAWALFTPMLKELELKKIVPELYPHGSRGPVGAHYLAAKYNVRWGDLSSDDS >Potri.014G166800.14.v4.1 pep chromosome:Pop_tri_v4:14:12090531:12095027:-1 gene:Potri.014G166800.v4.1 transcript:Potri.014G166800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166800.v4.1 MKNFTVFGYARTKLTDEELRNMISGTLTCRIDQRENCEDKMDQFLKRCFYHAGQYDSEGDFSELNSKLKEKEAGKVSNRLFYLSIPPNIFVDVVRSASLRASSLNGWTRVIVEKPFGRDSESSGELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDVRNEKVKVLRSMKPLQLEDVIVGQYKGHSKSGRSYPAYTDDPTVPKDSRTPTFAAAALFINNARWDGVPFLMKAGKALHTRRAEVRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYSARYPREIPDAYERLLLDAIAGERRLFIRSDELDAAWALFTPMLKELELKKIVPELYPHGSRGPVGAHYLAAKYNVRWGDLSSDDS >Potri.014G166800.10.v4.1 pep chromosome:Pop_tri_v4:14:12090530:12095134:-1 gene:Potri.014G166800.v4.1 transcript:Potri.014G166800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166800.v4.1 MATHFSPCSSSSTNFLPSSCFKNETTVLFSRFAVTVPRKSTWVTQNHSRIQGRKHFHIKSSNGHPLNAVSLQDGLDGSPTAKEHDKSQGKEKPAIPISEAEKEESTLSITVVGASGDLAKKKIFPALFALFYEDWLPENFTVFGYARTKLTDEELRNMISGTLTCRIDQRENCEDKMDQFLKRCFYHAGQYDSEGDFSELNSKLKEKEAGKVSNRLFYLSIPPNIFVDVVRSASLRASSLNGWTRVIVEKPFGRDSESSGELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDVRNEKVKVLRSMKPLQLEDVIVGQYKGHSKSGRSYPAYTDDPTVPKDSRTPTFAAAALFINNARWDGVPFLMKAGKALHTRRAEVRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYSARYPREIPDAYERLLLDAIAGERRLFIRSDELDAAWALFTPMLKELELKKIVPELYPHGSRGPVGAHYLAAKYNVRWGDLSSDDS >Potri.014G166800.13.v4.1 pep chromosome:Pop_tri_v4:14:12090531:12095134:-1 gene:Potri.014G166800.v4.1 transcript:Potri.014G166800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166800.v4.1 MATHFSPCSSSSTNFLPSSCFKNETTVLFSRFAVTVPRKSTWVTQNHSRIQGRKHFHIKSSNGHPLNAVSLQDDGSPTAKEHDKSQGKEKPAIPISEAEKEESTLSITVVGASGDLAKKKIFPALFALFYEDWLPENFTVFGYARTKLTDEELRNMISGTLTCRIDQRENCEDKMDQFLKRCFYHAGQYDSEGDFSELNSKLKEKEAGKVSNRLFYLSIPPNIFVDVVRSASLRASSLNGWTRVIVEKPFGRDSESSGELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDVRNEKVKVLRSMKPLQLEDVIVGQYKGHSKSGRSYPAYTDDPTVPKDSRTPTFAAAALFINNARWDGVPFLMKAGKALHTRRAEVRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYSARYPREIPDAYERLLLDAIAGERRLFIRSDELDAAWALFTPMLKELELKKIVPELYPHGSRGPVGAHYLAAKYNVRWGDLSSDDS >Potri.014G166800.12.v4.1 pep chromosome:Pop_tri_v4:14:12089398:12095043:-1 gene:Potri.014G166800.v4.1 transcript:Potri.014G166800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G166800.v4.1 MATHFSPCSSSSTNFLPSSCFKNETTVLFSRFAVTVPRKSTWVTQNHSRIQGRKHFHIKSSNGHPLNAVSLQDGLDGSPTAKEHDKSQGKEKPAIPISEAEKEESTLSITVVGASGDLAKKKIFPALFALFYEDWLPENFTVFGYARTKLTDEELRNMISGTLTCRIDQRENCEDKMDQFLKRCFYHAGQYDSEGDFSELNSKLKEKEAGKVSNRLFYLSIPPNIFVDVVRSASLRASSLNGWTRVIVEKPFGRDSESSGELTRCLKQYLTEDQIFRIDHYLGKELVENLSVLRFSNLVFEPLWSRDYIRNVQLIFSEDFGTEGRGGYFDNYGIIRDIMQNHLLQILALFAMETPVSLDAEDVRNEKVKVLRSMKPLQLEDVIVGQYKGHSKSGRSYPAYTDDPTVPKDSRTPTFAAAALFINNARWDGVPFLMKAGKALHTRRAEVRVQFRHVPGNLYKRNFGTDLDKATNELVLRVQPDEAIYLKINNKVPGLGMRLDRSDLNLLYSARYPREIPDAYERLLLDAIAGERRLFIRSDELDAAWALFTPMLKELELKKIVPELYPHGSRGPVGAHYLAAKYNVRWGDLSSDDS >Potri.001G373300.1.v4.1 pep chromosome:Pop_tri_v4:1:39134089:39140346:-1 gene:Potri.001G373300.v4.1 transcript:Potri.001G373300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G373300.v4.1 MGRPPSNGGPSFRFMQYEVTEMDAILQEHHNMMPAREVLVSLAEKFSESSERKGKIQVQMKQVWNWFQNRRYAIRAKSNKAPMKLNITPMPRDDSAAARSVPQQAAAPIPDAVPATTSASSAAGARRATSENSYMEFEAKSGRDGAWYDVGTFQSHRYLDKGDPEVLVRFAGFGPDEDEWLNVCKHVRQRSLPCEASECVAVLPGDLILCFQEGKDQALYFDAHVLDAQRRRHDVRGCRCRFLVRYDHDQSEEIVPLRKICRRPETDYRLLQLHAANDSAATDQQKTSVDPSIASTLRVAASATETMLQQQHNTGVAAPVSQANVSQPAKTIIAEPKEAATLSAVDSGNPSFISTAVFPSVTATAAVLASGMATSSGPGGSGENMQGIKL >Potri.003G180000.1.v4.1 pep chromosome:Pop_tri_v4:3:18592723:18599422:-1 gene:Potri.003G180000.v4.1 transcript:Potri.003G180000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G180000.v4.1 MSAFGAAATANTNPNKSIEVSHPPSDSVSSLSFSPKANFLVATSWDNQVRCWEITRNGTNVGSVAKASISHDQPVLCSTWKDDGTTVFSGGCDKQVKMWPLLSGGQPVTVAMHDAPIKEIAWIPEMNCLATGSWDKTLKYWDLRQANPVHTQQLGERCYAMTVRYPLMVVGTADRNMIVYNLQSPQTEFKKIASPLKYQTRCVAAFPDQQGFLVGSIEGRVGVHHLDEAQQSKNFTFKCHRDNNEIYSVNSLNFHPVHHTFATAGSDGSFNFWDKDSKQRLKAMLRCPLPIPCSAFNNDGSIFAYSVCYDWSKGAENHNPATAKTYIYLHLPQESEVKGKPRAGGTTRR >Potri.017G096366.1.v4.1 pep chromosome:Pop_tri_v4:17:10939284:10939804:-1 gene:Potri.017G096366.v4.1 transcript:Potri.017G096366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096366.v4.1 MYFRLVFTITNAVITAPRLGFKRCKASFSLWSPPCYNDCRKCKELIGGSKDRPIPFWFMSVPFWAELITKNLIIWYSNRLQNLVNDKSFFPLIEE >Potri.015G023500.2.v4.1 pep chromosome:Pop_tri_v4:15:1742610:1746601:-1 gene:Potri.015G023500.v4.1 transcript:Potri.015G023500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023500.v4.1 MNMNKGLFFILCAFLFFGAVFLPTTADPVEDKKALLYFLHNIHLSRPVNWKESTSVCNNWTGVSCSNDHSRVTALVLPGVGFRGPIPPNTLRRLSAIQILSLGSNGISGSFPYDELSKLKNLTILFLQSNNFSGPLPSDFSVWNNLTILNLSNNGFNGSFPPSISNLTHLTSLNLANNSLSGNIPDINVSSLQQLELANNNFTGSVPKSLQRFPSSAFSGNILSSENALPPALPVHPPSSQPSKKSSKLREPAILGIALGGCVLGFVVIAVLMVLCRFKKNREGGLATKKKESSLKKTASKSQEQNNRLFFFEHCSLAFDLEDLLRASAEVLGKGTFGIAYKAALEDASTVVVKRLKEVTVPKKEFEQQMIVAGSIRHANVSPLRAYYYSKDERLMVYDFYEEGSVSSMLHGKRGEGHTPIDWETRLKIAIGAARGIAHVHTQNGGKLVHGNIKSSNIFLNSQGYGCVSDIGLASLMSPVPPPMMRAAGYRAPEVTDSRKAAHASDVYSYGVLLLELLTGKSPMHATGGDEVVHLVRWVNSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIGMACVVRMPEQRPKMPDVVKMVEEIRRLSTDDRPSTESKLEIAVATPSPQTTEVASTSSAQQ >Potri.015G023500.1.v4.1 pep chromosome:Pop_tri_v4:15:1742497:1745484:-1 gene:Potri.015G023500.v4.1 transcript:Potri.015G023500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023500.v4.1 MICVETLLFSCKCAQQFGSERMNMNKGLFFILCAFLFFGAVFLPTTADPVEDKKALLYFLHNIHLSRPVNWKESTSVCNNWTGVSCSNDHSRVTALVLPGVGFRGPIPPNTLRRLSAIQILSLGSNGISGSFPYDELSKLKNLTILFLQSNNFSGPLPSDFSVWNNLTILNLSNNGFNGSFPPSISNLTHLTSLNLANNSLSGNIPDINVSSLQQLELANNNFTGSVPKSLQRFPSSAFSGNILSSENALPPALPVHPPSSQPSKKSSKLREPAILGIALGGCVLGFVVIAVLMVLCRFKKNREGGLATKKKESSLKKTASKSQEQNNRLFFFEHCSLAFDLEDLLRASAEVLGKGTFGIAYKAALEDASTVVVKRLKEVTVPKKEFEQQMIVAGSIRHANVSPLRAYYYSKDERLMVYDFYEEGSVSSMLHGKRGEGHTPIDWETRLKIAIGAARGIAHVHTQNGGKLVHGNIKSSNIFLNSQGYGCVSDIGLASLMSPVPPPMMRAAGYRAPEVTDSRKAAHASDVYSYGVLLLELLTGKSPMHATGGDEVVHLVRWVNSVVREEWTAEVFDLELLRYPNIEEEMVEMLQIGMACVVRMPEQRPKMPDVVKMVEEIRRLSTDDRPSTESKLEIAVATPSPQTTEVASTSSAQQ >Potri.016G106000.1.v4.1 pep chromosome:Pop_tri_v4:16:10968556:10971973:1 gene:Potri.016G106000.v4.1 transcript:Potri.016G106000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G106000.v4.1 MSRLLFLLTCALALLASSVASAAIVEHSFYVQNLTVRRLCSEQVVTAVNGSLPGPTLRVREGDTLIVHVFNKSPYNLTIHWHGVFQLLSAWADGPSMVTQCPIPPGGKYTYKFELLQQEGTLWWHAHVSFLRATVYGALVIRPRSGHPYPFPKPHREVPILLGEWWNANVVDVENQAEAIGAPPNISDAYTINGLPGDLYNCSQNRMYKLKVQKGKTYLLRIINAALNNQLFFKIANHNMTVVAVDAGYTVPYVTDVVVTGPGQTVDVLLAADQEVGSYFMAANAYASAGPAPPAFPAPPFDNTTTRGTVVYEGAPTSATPIMPLMPAFTDTPTAHKFFTSITGLAGGPHWVPVPRQVDEHMFVTVGLGLSICPTCLNGTRLSASMNNFSFARPSSLSMLQAFFFNVSGIYTPDFPDTPPVKFDYTNVINAVNPSLLITPKSTSVKVLKYNATVEMVLQNTALLGVENHPIHLHGFNFHVLAQGFGNYDPVNDPKKFNLINPLSRNTINVPVGGWGVIRITANNPGVWFFHCHLDVHLPFGLATAFVVENGPTPESTLPPPPVDLPQC >Potri.005G125500.10.v4.1 pep chromosome:Pop_tri_v4:5:9340230:9344739:-1 gene:Potri.005G125500.v4.1 transcript:Potri.005G125500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125500.v4.1 MTDYEAERYEGNGGAVFENNSYGADSSPPPRGGDDHSDSKSQHGSRDYERESSKGREKERSRDSDRDRDRDRDRDRDRDRDRDRDRDRDRDREKSRGRDRERDRDKDSDHHRDRHRDRSERRDRGRDRDDDDYYRSRDYDRRRDYDRDSEDRHRRLSRSRSKGRSEHRSRSRSRSRSKSKRMSGFDMAPPSSAILPNAAAAAAASGQIAGTTPPIPGMFPNMFPLGTSQQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLSAVGLAPGSAGGLEGPDRIFVGGLPYYFTESQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPQQQPVPTKVVCLTQVVTGDELKDDDEYEDILEDMRTEAGKFGQLVNVVIPRPRPNGENAPGVGKVFLEYADTEGSSKARAGMNGRKFDGNQVVAVFYPENKFSQGEYDD >Potri.005G125500.14.v4.1 pep chromosome:Pop_tri_v4:5:9340230:9344739:-1 gene:Potri.005G125500.v4.1 transcript:Potri.005G125500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125500.v4.1 MTDYEAERYEGNGGAVFENNSYGADSSPPPRGGDDHSDSKSQHGSRDYERESSKGREKERSRDSDRDRDRDRDRDRDRDRDRDRDRDRDRDREKSRGRDRERDRDKDSDHHRDRHRDRSERRDRGRDRDDDDYYRSRDYDRRRDYDRDSEDRHRRLSRSRSKGRSEHRSRSRSRSRSKSKRMSGFDMAPPSSAILPNAAAAAAASGQIAGTTPPIPGMFPNMFPLGTSQQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLSAVGLAPGSAGGLEGPDRIFVGGLPYYFTESQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPQQQPVPTKVVCLTQVVTGDELKDDDEYEDILEDMRTEAGKFAFSSPTFCYKESSLTYTDRRLHNPQFIFT >Potri.005G125500.2.v4.1 pep chromosome:Pop_tri_v4:5:9340230:9344740:-1 gene:Potri.005G125500.v4.1 transcript:Potri.005G125500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125500.v4.1 MHEFQHGSRDYERESSKGREKERSRDSDRDRDRDRDRDRDRDRDRDRDRDRDRDREKSRGRDRERDRDKDSDHHRDRHRDRSERRDRGRDRDDDDYYRSRDYDRRRDYDRDSEDRHRRLSRSRSKGRSEHRSRSRSRSRSKSKRMSGFDMAPPSSAILPNAAAAAAASGQIAGTTPPIPGMFPNMFPLGTSQQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLSAVGLAPGSAGGLEGPDRIFVGGLPYYFTESQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPQQQPVPTKVVCLTQVVTGDELKDDDEYEDILEDMRTEAGKFAFSSPTFCYKESSLTYTDRRLHNPQFIFT >Potri.005G125500.15.v4.1 pep chromosome:Pop_tri_v4:5:9340230:9344739:-1 gene:Potri.005G125500.v4.1 transcript:Potri.005G125500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125500.v4.1 MTDYEAERYEGNGGAVFENNSYGADSSPPPRGGDDHSDSKSQHGSRDYERESSKGREKERSRDSDRDRDRDRDRDRDRDRDRDRDRDRDRDREKSRGRDRERDRDKDSDHHRDRHRDRSERRDRGRDRDDDDYYRSRDYDRRRDYDRDSEDRHRRLSRSRSKGRSEHRSRSRSRSRSKSKRMSGFDMAPPSSAILPNAAAAAAASGQIAGTTPPIPGMFPNMFPLGTSQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLSAVGLAPGSAGGLEGPDRIFVGGLPYYFTESQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPQQQPVPTKVVCLTQVVTGDELKDDDEYEDILEDMRTEAGKFAFSSPTFCYKESSLTYTDRRLHNPQFIFT >Potri.005G125500.4.v4.1 pep chromosome:Pop_tri_v4:5:9340230:9344740:-1 gene:Potri.005G125500.v4.1 transcript:Potri.005G125500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125500.v4.1 MHEFQHGSRDYERESSKGREKERSRDSDRDRDRDRDRDRDRDRDRDRDRDRDRDREKSRGRDRERDRDKDSDHHRDRHRDRSERRDRGRDRDDDDYYRSRDYDRRRDYDRDSEDRHRRLSRSRSKGRSEHRSRSRSRSRSKSKRMSGFDMAPPSSAILPNAAAAAAASGQIAGTTPPIPGMFPNMFPLGTSQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLSAVGLAPGSAGGLEGPDRIFVGGLPYYFTESQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPQQQPVPTKVVCLTQVVTGDELKDDDEYEDILEDMRTEAGKFAFSSPTFCYKESSLTYTDRRLHNPQFIFT >Potri.005G125500.11.v4.1 pep chromosome:Pop_tri_v4:5:9340230:9344739:-1 gene:Potri.005G125500.v4.1 transcript:Potri.005G125500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125500.v4.1 MTDYEAERYEGNGGAVFENNSYGADSSPPPRGGDDHSDSKSQHGSRDYERESSKGREKERSRDSDRDRDRDRDRDRDRDRDRDRDRDRDRDREKSRGRDRERDRDKDSDHHRDRHRDRSERRDRGRDRDDDDYYRSRDYDRRRDYDRDSEDRHRRLSRSRSKGRSEHRSRSRSRSRSKSKRMSGFDMAPPSSAILPNAAAAAAASGQIAGTTPPIPGMFPNMFPLGTSQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLSAVGLAPGSAGGLEGPDRIFVGGLPYYFTESQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPQQQPVPTKVVCLTQVVTGDELKDDDEYEDILEDMRTEAGKFGQLVNVVIPRPRPNGENAPGVGKVFLEYADTEGSSKARAGMNGRKFDGNQVVAVFYPENKFSQGEYDD >Potri.005G125500.1.v4.1 pep chromosome:Pop_tri_v4:5:9340230:9344740:-1 gene:Potri.005G125500.v4.1 transcript:Potri.005G125500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125500.v4.1 MHEFQHGSRDYERESSKGREKERSRDSDRDRDRDRDRDRDRDRDRDRDRDRDRDREKSRGRDRERDRDKDSDHHRDRHRDRSERRDRGRDRDDDDYYRSRDYDRRRDYDRDSEDRHRRLSRSRSKGRSEHRSRSRSRSRSKSKRMSGFDMAPPSSAILPNAAAAAAASGQIAGTTPPIPGMFPNMFPLGTSQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLSAVGLAPGSAGGLEGPDRIFVGGLPYYFTESQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPQQQPVPTKVVCLTQVVTGDELKDDDEYEDILEDMRTEAGKFGQLVNVVIPRPRPNGENAPGVGKVFLEYADTEGSSKARAGMNGRKFDGNQVVAVFYPENKFSQGEYDD >Potri.005G125500.9.v4.1 pep chromosome:Pop_tri_v4:5:9340230:9344740:-1 gene:Potri.005G125500.v4.1 transcript:Potri.005G125500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125500.v4.1 MHEFQHGSRDYERESSKGREKERSRDSDRDRDRDRDRDRDRDRDRDRDRDRDRDREKSRGRDRERDRDKDSDHHRDRHRDRSERRDRGRDRDDDDYYRSRDYDRRRDYDRDSEDRHRRLSRSRSKGRSEHRSRSRSRSRSKSKRMSGFDMAPPSSAILPNAAAAAAASGQIAGTTPPIPGMFPNMFPLGTSQQFGALPVMPVQAMTQQATRHARRVYVGGLPPTANEQSVATFFSQVMAAIGGNTAGPGDAVVNVYINHEKKFAFVEMRSVEEASNAMALDGIIFEGAPVKVRRPSDYNPSLAATLGPSQPNPNLNLSAVGLAPGSAGGLEGPDRIFVGGLPYYFTESQIRELLESFGPLRGFDLVKDRETGNSKGYAFCVYQDLSVTDIACAALNGIKMGDKTLTVRRANQGTNQPKPEQENVLLHAQQQIALQRLMLQPQQQPVPTKVVCLTQVVTGDELKDDDEYEDILEDMRTEAGKFGQLVNVVIPRPRPNGENAPGVGKVFLEYADTEGSSKARAGMNGRKFDGNQVVAVFYPENKFSQGEYDD >Potri.003G197101.1.v4.1 pep chromosome:Pop_tri_v4:3:19913553:19921649:1 gene:Potri.003G197101.v4.1 transcript:Potri.003G197101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197101.v4.1 MEMFFESTEQGVAAIVSSSSSIMHAPGSNIDFLLESIFISGFCGSLHLVLLLALCVLFLCKKLSRWGDGEGSSEMLMMKRRFLWYKQTLVCCLGVSVFNFILCLLSYFYLYGNVLSDGEIMTLLDLGLRTLSWGALVVYLHTQFFNSGENMFPLLLRVWWGFYLSISCYCFFVDVFLHHKHVSLEIEWYLVSDVVSVFTGLFLCYVGFLRSDIQDVLEEPLLNGDSSSINNLENRGADTVTPFGNAGLFSILTFSWMNSLIAAGNRKILDLEDVPQLHGVDSVVGAFPVFKNKLESDCGRVTRFKFAKALFLLVWKEILWTALLALIDTLGSNVGPYLIDGFVQCLEGRGEFKNQGYILASAFVAAKLAECLANRHSSFRLQQIGTRLRAVTATMIYNKSLTISCQSKQGHSSGEMINIMTIDADRLGTFSQYIHDPWLVILQVCLALLILYRNLGLGSVAGFVATVIVMSLNYPFGRLEEKFQDKLMESKDKRMKATVEILRNMRVLKLQGWEMKFLSKILDLREVETRWLKKYFYNSVVITVVFWATPTVVAVATFGTCMLMGIPLESGKVLSALATFEILQSPIYNLPDTVSMLIQTKVSLDRIASFLCLDDLQPDAIEKLPGGSSDTAIEIVDGNFSWDLSSPSATLKDINFKVLNGMKVAVCGTVGSGKSSLLSSILGELPKISGTLKLCGTKAYVAQSPWIQSGTIEENILFGKVMDRERYDKVLEACSLKKDLEILSFGDQTVIGERGINLSGGQKQRIQIARALYQDAQIYLFDDPFSAVDAHTGSHLFKEVLLGLLCSKTVIYVTHQVEFLSAADLILVMKDGRIAQAGKYDDILNAGSDFKVLVGAHKAALSVLDSRQAGAVSENESVRDNYGGENSTDRIVHDEGNKDSQIGKADEVAEPQAQLIQEEEREKGSVGFQIYWKYITTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPVSKDVKPVVSGSRLLIVYVSLVIGSSFCMLAHAMLLVTAGYKTATLLFNKLHQCIFRAPMSFFDATPSGRIMNRASKDQSALDMEIPHTVGGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPSARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFEEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSITFAFCLFLLVSFPERTNPAIAGLAVTYALGLHTAQSVLIWCFCNCENKLISVERILQYISIPSEPPLVIEANKPDHSWPSHGEVDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVETAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHLSDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDSPTRLLENKSSSFSQLVAEYTVRSNTSFEKSTGLNL >Potri.003G197101.2.v4.1 pep chromosome:Pop_tri_v4:3:19915924:19921550:1 gene:Potri.003G197101.v4.1 transcript:Potri.003G197101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G197101.v4.1 MKDGRIAQAGKYDDILNAGSDFKVLVGAHKAALSVLDSRQAGAVSENESVRDNYGGENSTDRIVHDEGNKDSQIGKADEVAEPQAQLIQEEEREKGSVGFQIYWKYITTAYGGALVPFILLAQLLFQILQIGSTYWMAWATPVSKDVKPVVSGSRLLIVYVSLVIGSSFCMLAHAMLLVTAGYKTATLLFNKLHQCIFRAPMSFFDATPSGRIMNRASKDQSALDMEIPHTVGGLAFEAIMLLGIIAVMSQVAWQVFIVSIPVIAACIWYQQYYIPSARELSRLIGVCNAPVIQNFAETISGATTIRSFDQESRFEEINMKLTDAYSRPKFHNSAAMQWLCFRMDMFSSITFAFCLFLLVSFPERTNPAIAGLAVTYALGLHTAQSVLIWCFCNCENKLISVERILQYISIPSEPPLVIEANKPDHSWPSHGEVDIDNLQVRYAPHMPLVLRGLSCTFPGGKKTGIVGRTGSGKSTLIQALFRTVETAAGQIMIDSIDISLIGLHDLRSRLSIIPQDPTMFEGTVRSNLDPLEEYTDEQIWEVLDKCQLGDEVRKKERKLDSTVIENGENWSMGQRQLVCLGRVLLKKSKVLVLDEATASVDTATDNLIQQTLRQHLSDCTVITIAHRITSVLDSDMVLLLSHGLIEEYDSPTRLLENKSSSFSQLVAEYTVRSNTSFEKSTGLNL >Potri.011G154000.11.v4.1 pep chromosome:Pop_tri_v4:11:18114848:18125750:1 gene:Potri.011G154000.v4.1 transcript:Potri.011G154000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154000.v4.1 MDSLPSFNSNSNSNSNSPLKKSLSDTTNIQNLSSILNNPHSFKSTDPSAWLGWWSSSTTLAPPDFSPILPKQPPPDISRSDFLPYLSAVSDTYSRFEDIKNHSKNNDLDQESKSDLADAESNSDLAAVGQGEALVACLREVPALYFKEDFALEDGPTFHAACPFSNAAANLMLQEKLSQYLDVVELHLVKEISLRSNSFFEAQGQLEDLNGKIVEGCERIRELKETIRVLDKDLVESAREIHELNVSRGDLVSLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQQFLDGDELTGLHCFRHLRDHVAAAIESINSILSAEFMRASIHGAGDKDLLFLSKAKARDSIYMNGIDEEVKLDDEETSNFRDHLLPLIVGLLRTAKLPPVLRIYRDTLTASMKNTIKNAVAELLPTFSAQSLESDLTPAERTADTDGGGLSLASKLRSLSSENFVLLLSAIFNIVQAHLVRAAEVKKAIEWIMCSVDGHYAADSVAAAIAVGAAAAETAHESDGLGGSLLPFSPQRSTSKFASSQLKANDAASPSNISRNFRADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLVEFLSIYNITQDFITATEKIGGRLGYSIRGTMQSQAKAFVDFQHEMRMTKIRAVLDQEMWVEVDVPDEFQAIVASLFYSESVGLNDTQGDATTRYGEVGTGNDGSLVIDAEAQNAEQELVQMNSTDISLENSVQKKSTPSTEATESNKVIAATSSAHSNNHNAKERGKSTSQTLSCGGVGYHMVNCGLILLKMLSEYMDMNNFLPTLSSEVVHRVVEILKFFNTRTCQLILGAGAMQVSGLKSITSKHLALASQVIGFVHAIIPEIRRVLFLKVPEARKVLLLSEIDRVAQDYKVHQEEILTKLVQIMRERLLHHLRSLPQIVESWNRPVDTDSQPSPFALTLVKEVTYLQRILSRTLHEADIQAIFRQVVTIFHKEISEAFSRMEISSQQAKFRLHRDITLILGCIRSLPSGNLSESGTPNWGQLDEFLVQRFGSEAG >Potri.011G154000.6.v4.1 pep chromosome:Pop_tri_v4:11:18114860:18125665:1 gene:Potri.011G154000.v4.1 transcript:Potri.011G154000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154000.v4.1 MDSLPSFNSNSNSNSNSPLKKSLSDTTNIQNLSSILNNPHSFKSTDPSAWLGWWSSSTTLAPPDFSPILPKQPPPDISRSDFLPYLSAVSDTYSRFEDIKNHSKNNDLDQESKSDLADAESNSDLAAVGQGEALVACLREVPALYFKEDFALEDGPTFHAACPFSNAAANLMLQEKLSQYLDVVELHLVKEISLRSNSFFEAQGQLEDLNGKIVEGCERIRELKETIRVLDKDLVESAREIHELNVSRGDLVSLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQQFLDGDELTGLHCFRHLRDHVAAAIESINSILSAEFMRASIHGAGDKDLLFLSKAKARDSIYMNGIDEEQVKLDDEETSNFRDHLLPLIVGLLRTAKLPPVLRIYRDTLTASMKNTIKNAVAELLPTFSAQSLESDLTPAERTADTDGGGLSLASKLRSLSSENFVLLLSAIFNIVQAHLVRAAEVKKAIEWIMCSVDGHYAADSVAAAIAVGAAAAETAHESDGLGGSLLPFSPQRSTSKFASSQLKANDAASPSNISRNFRADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLVEFLSIYNITQDFITATEKIGGRLGYSIRGTMQSQAKAFVDFQHEMRMTKIRAVLDQEMWVEVDVPDEFQAIVASLFYSESVGLNDTQGDATTRYGEVGTGNDGSLVIDAEAQNAEQELVQMNSTDISLENSVQKKSTPSTEATESNKVIAATSSAHSNNHNAKERGKSTSQTLSCGGVGYHMVNCGLILLKMLSEYMDMNNFLPTLSSEVVHRVVEILKFFNTRTCQLILGAGAMQVSGLKSITSKHLALASQVIGFVHAIIPEIRRVLFLKVPEARKVLLLSEIDRVAQDYKVHQEEILTKLVQIMRERLLHHLRSLPQIVESWNRPVDTDSQPSPFALTLVKEVTYLQRILSRTLHEADIQAIFRQVVTIFHKEISEAFSRMEISSQQAKFRLHRDITLILGCIRSLPSGNLSESGTPNWGQLDEFLVQRFGSEAG >Potri.011G154000.12.v4.1 pep chromosome:Pop_tri_v4:11:18114860:18125750:1 gene:Potri.011G154000.v4.1 transcript:Potri.011G154000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154000.v4.1 MDSLPSFNSNSNSNSNSPLKKSLSDTTNIQNLSSILNNPHSFKSTDPSAWLGWWSSSTTLAPPDFSPILPKQPPPDISRSDFLPYLSAVSDTYSRFEDIKNHSKNNDLDQESKSDLADAESNSDLAAVGQGEALVACLREVPALYFKEDFALEDGPTFHAACPFSNAAANLMLQEKLSQYLDVVELHLVKEISLRSNSFFEAQGQLEDLNGKIVEGCERIRELKETIRVLDKDLVESAREIHELNVSRGDLVSLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQQFLDGDELTGLHCFRHLRDHVAAAIESINSILSAEFMRASIHGAGDKDLLFLSKAKARDSIYMNGIDEEVKLDDEETSNFRDHLLPLIVGLLRTAKLPPVLRIYRDTLTASMKNTIKNAVAELLPTFSAQSLESDLTPAERTADTDGGGLSLASKLRSLSSENFVLLLSAIFNIVQAHLVRAAEVKKAIEWIMCSVDGHYAADSVAAAIAVGAAAAETAHESDGLGGSLLPFSPQRSTSKFASSQLKANDAASPSNISRNFRADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLVEFLSIYNITQDFITATEKIGGRLGYSIRGTMQSQAKAFVDFQHEMRMTKIRAVLDQEMWVEVDVPDEFQAIVASLFYSESVGLNDTQGDATTRYGEVGTGNDGSLVIDAEAQNAEQELVQMNSTDISLENSVQKKSTPSTEATESNKVIAATSSAHSNNHNAKERGKSTSQTLSCGGVGYHMVNCGLILLKMLSEYMDMNNFLPTLSSEVVHRVVEILKFFNTRTCQLILGAGAMQVSGLKSITSKHLALASQVIGFVHAIIPEIRRVLFLKVPEARKVLLLSEIDRVAQDYKVHQEEILTKLVQIMRERLLHHLRSLPQIVESWNRPVDTDSQPSPFALTLVKEVTYLQRILSRTLHEADIQAIFRQVVTIFHKEISEAFSRMEISSQQAKFRLHRDITLILGCIRSLPSGNLSESGTPNWGQLDEFLVQRFGSEAG >Potri.011G154000.7.v4.1 pep chromosome:Pop_tri_v4:11:18114817:18125750:1 gene:Potri.011G154000.v4.1 transcript:Potri.011G154000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154000.v4.1 MDSLPSFNSNSNSNSNSPLKKSLSDTTNIQNLSSILNNPHSFKSTDPSAWLGWWSSSTTLAPPDFSPILPKQPPPDISRSDFLPYLSAVSDTYSRFEDIKNHSKNNDLDQESKSDLADAESNSDLAAVGQGEALVACLREVPALYFKEDFALEDGPTFHAACPFSNAAANLMLQEKLSQYLDVVELHLVKEISLRSNSFFEAQGQLEDLNGKIVEGCERIRELKETIRVLDKDLVESAREIHELNVSRGDLVSLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQQFLDGDELTGLHCFRHLRDHVAAAIESINSILSAEFMRASIHGAGDKDLLFLSKAKARDSIYMNGIDEEQVKLDDEETSNFRDHLLPLIVGLLRTAKLPPVLRIYRDTLTASMKNTIKNAVAELLPTFSAQSLESDLTPAERTADTDGGGLSLASKLRSLSSENFVLLLSAIFNIVQAHLVRAAEVKKAIEWIMCSVDGHYAADSVAAAIAVGAAAAETAHESDGLGGSLLPFSPQRSTSKFASSQLKANDAASPSNISRNFRADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLVEFLSIYNITQDFITATEKIGGRLGYSIRGTMQSQAKAFVDFQHEMRMTKIRAVLDQEMWVEVDVPDEFQAIVASLFYSESVGLNDTQGDATTRYGEVGTGNDGSLVIDAEAQNAEQELVQMNSTDISLENSVQKKSTPSTEATESNKVIAATSSAHSNNHNAKERGKSTSQTLSCGGVGYHMVNCGLILLKMLSEYMDMNNFLPTLSSEVVHRVVEILKFFNTRTCQLILGAGAMQVSGLKSITSKHLALASQVIGFVHAIIPEIRRVLFLKVPEARKVLLLSEIDRVAQDYKVHQEEILTKLVQIMRERLLHHLRSLPQIVESWNRPVDTDSQPSPFALTLVKEVTYLQRILSRTLHEADIQAIFRQVVTIFHKEISEAFSRMEISSQQAKFRLHRDITLILGCIRSLPSGNLSESGTPNWGQLDEFLVQRFGSEAG >Potri.011G154000.13.v4.1 pep chromosome:Pop_tri_v4:11:18114835:18125581:1 gene:Potri.011G154000.v4.1 transcript:Potri.011G154000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154000.v4.1 MDSLPSFNSNSNSNSNSPLKKSLSDTTNIQNLSSILNNPHSFKSTDPSAWLGWWSSSTTLAPPDFSPILPKQPPPDISRSDFLPYLSAVSDTYSRFEDIKNHSKNNDLDQESKSDLADAESNSDLAAVGQGEALVACLREVPALYFKEDFALEDGPTFHAACPFSNAAANLMLQEKLSQYLDVVELHLVKEISLRSNSFFEAQGQLEDLNGKIVEGCERIRELKETIRVLDKDLVESAREIHELNVSRGDLVSLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQQFLDGDELTGLHCFRHLRDHVAAAIESINSILSAEFMRASIHGAGDKDLLFLSKAKARDSIYMNGIDEEVKLDDEETSNFRDHLLPLIVGLLRTAKLPPVLRIYRDTLTASMKNTIKNAVAELLPTFSAQSLESDLTPAERTADTDGGGLSLASKLRSLSSENFVLLLSAIFNIVQAHLVRAAEVKKAIEWIMCSVDGHYAADSVAAAIAVGAAAAETAHESDGLGGSLLPFSPQRSTSKFASSQLKANDAASPSNISRNFRADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLVEFLSIYNITQDFITATEKIGGRLGYSIRGTMQSQAKAFVDFQHEMRMTKIRAVLDQEMWVEVDVPDEFQAIVASLFYSESVGLNDTQGDATTRYGEVGTGNDGSLVIDAEAQNAEQELVQMNSTDISLENSVQKKSTPSTEATESNKVIAATSSAHSNNHNAKERGKSTSQTLSCGGVGYHMVNCGLILLKMLSEYMDMNNFLPTLSSEVVHRVVEILKFFNTRTCQLILGAGAMQVSGLKSITSKHLALASQVIGFVHAIIPEIRRVLFLKVPEARKVLLLSEIDRVAQDYKVHQEEILTKLVQIMRERLLHHLRSLPQIVESWNRPVDTDSQPSPFALTLVKEVTYLQRILSRTLHEADIQAIFRQVVTIFHKEISEAFSRMEISSQQAKFRLHRDITLILGCIRSLPSGNLSESGTPNWGQLDEFLVQRFGSEAG >Potri.011G154000.10.v4.1 pep chromosome:Pop_tri_v4:11:18114751:18125694:1 gene:Potri.011G154000.v4.1 transcript:Potri.011G154000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G154000.v4.1 MDSLPSFNSNSNSNSNSPLKKSLSDTTNIQNLSSILNNPHSFKSTDPSAWLGWWSSSTTLAPPDFSPILPKQPPPDISRSDFLPYLSAVSDTYSRFEDIKNHSKNNDLDQESKSDLADAESNSDLAAVGQGEALVACLREVPALYFKEDFALEDGPTFHAACPFSNAAANLMLQEKLSQYLDVVELHLVKEISLRSNSFFEAQGQLEDLNGKIVEGCERIRELKETIRVLDKDLVESAREIHELNVSRGDLVSLQNKLRLILYVNQALSTLKLLVASADCAGALDVTDDLQQFLDGDELTGLHCFRHLRDHVAAAIESINSILSAEFMRASIHGAGDKDLLFLSKAKARDSIYMNGIDEEQVKLDDEETSNFRDHLLPLIVGLLRTAKLPPVLRIYRDTLTASMKNTIKNAVAELLPTFSAQSLESDLTPAERTADTDGGGLSLASKLRSLSSENFVLLLSAIFNIVQAHLVRAAEVKKAIEWIMCSVDGHYAADSVAAAIAVGAAAAETAHESDGLGGSLLPFSPQRSTSKFASSQLKANDAASPSNISRNFRADVLRENAEAVFAACDAAHGRWAKLLGVRALLHPKLRLVEFLSIYNITQDFITATEKIGGRLGYSIRGTMQSQAKAFVDFQHEMRMTKIRAVLDQEMWVEVDVPDEFQAIVASLFYSESVGLNDTQGDATTRYGEVGTGNDGSLVIDAEAQNAEQELVQMNSTDISLENSVQKKSTPSTEATESNKVIAATSSAHSNNHNAKERGKSTSQTLSCGGVGYHMVNCGLILLKMLSEYMDMNNFLPTLSSEVVHRVVEILKFFNTRTCQLILGAGAMQVSGLKSITSKHLALASQVIGFVHAIIPEIRRVLFLKVPEARKVLLLSEIDRVAQDYKVHQEEILTKLVQIMRERLLHHLRSLPQIVESWNRPVDTDSQPSPFALTLVKEVTYLQRILSRTLHEADIQAIFRQVVTIFHKEISEAFSRMEISSQQAKFRLHRDITLILGCIRSLPSGNLSESGTPNWGQLDEFLVQRFGSEAG >Potri.010G124100.2.v4.1 pep chromosome:Pop_tri_v4:10:14179756:14181786:1 gene:Potri.010G124100.v4.1 transcript:Potri.010G124100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G124100.v4.1 MRKEIAQFLQAGQEAMARIRVEHVTRKKNIWAAYEILELGGSKQIVAEATLPQAPTKQSSPLSPLSNGVHRTINMDSKQGSHHLQASGTVSNMPQRAAFAASAAGELVNVKFGSFRLGEGKS >Potri.001G390400.2.v4.1 pep chromosome:Pop_tri_v4:1:41283652:41286175:-1 gene:Potri.001G390400.v4.1 transcript:Potri.001G390400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390400.v4.1 MSGGGPISQDWEPVVIRKKAPNAAAKKDEKAVNAARRSGAEIETIKKSTAGTNKAASSSTSLNTRKLDEETENLAHDRVPTELKKAIMQGRTDKKLTQAQLAQLINEKPQIIQEYESGKAIPNQQIIGKLERALGVKLRGKK >Potri.006G191800.1.v4.1 pep chromosome:Pop_tri_v4:6:19887778:19889350:-1 gene:Potri.006G191800.v4.1 transcript:Potri.006G191800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191800.v4.1 MKASLKFREEQNPLFRAKVPLSIIGLPFQSGIIAGESKELSLNLSTFFESGPSFKFSYRPNDTWNPFSLVIKTGTGPFGSPVSSSMIMSAEFNLLGKGSNNNNLNPSFMLHFKPQFGDFSIKKSQSSSHVSHVTRSIQNGGVSSDDDGSVEVVEPASPNTTPAVANGMFYGKRIAVLPPVTASAVAGVFSGLEVAARTKLPVRSRAVVNFRWGVRVPAEIKSGSGESTAGINFRKIPFLVMNKVGIEHVDDGDGRSKKEGTTGKVGMEFGNSDVAEACLGVKRQLEILQSENGHLKKAVEGLREEIGGGKLLIGELNSGKLERNGIKSGSSGYKIERRNNEKKSVEGDVNEELKKALKGATSGVGA >Potri.003G102900.3.v4.1 pep chromosome:Pop_tri_v4:3:12719535:12720792:1 gene:Potri.003G102900.v4.1 transcript:Potri.003G102900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G102900.v4.1 MIIPVRCFTCGKVIGNKWDTYLDLLQADYTEGDALDALGLVRYCCRRMLMTHVDLIEKLLNYNTLEKSEGS >Potri.002G011800.1.v4.1 pep chromosome:Pop_tri_v4:2:736599:742586:1 gene:Potri.002G011800.v4.1 transcript:Potri.002G011800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011800.v4.1 MGCCQSSLFKAPISDDSKNNQQQQQNQQQQPQHVRINHASRPTNGTETSGGLGGGCGVLSFTEFSLADLKAATNNFSPEFIVSESGEKAPNVVYKGRLQNHDNNNNRSWIAVKKFTKLAWPDPKQFADEALGVGKLRHKRLANLIGFCCVGDERLLVAEYLPNDTLAKHLFHWENQTIEWAMRLRVALYISEALDYCSSEGRPLYHDLNAYRVLFDENGDPRLSCFGLMKNSSDGKSYSTNLAYTPPEYLQNGRVTPESVIFSFGTVLLDLLSGKHIPPSHALDMIRGKNIRLLMDSHLEGNFSTEEATVVFDLASQCLQYEPRERPNTKDLVTTLAPLQNKPDVPSYVMLGISKHEETAPPTPQHPLSPMGDACTRMDLTAIHQILVMTHYKDDEGTNELSFQEWTQQMRDMLEARKRGDVAFRDKDFKTAVECYSQFIDVGTMVSPTVYARRSLCHLLSDQPDAALRDAMQAQCVYPDWSTAFYMQAVALAKLDMHKDAADMLNEAAALEEKKQRGGR >Potri.004G030900.1.v4.1 pep chromosome:Pop_tri_v4:4:2406263:2406987:1 gene:Potri.004G030900.v4.1 transcript:Potri.004G030900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G030900.v4.1 MRSSSNQPCFTLLAMKLLKLFFALSATIATVAALQSDHYNENSNTQRQLSLSEIQEAVTSLRGVGRVLAQQNLIANSTCNKLPRICRLKRSPGPDCCNKKCVDVKTDRFNCGMCGYKCKYTETCCKGKCVNPSFDKRHCGGCNKKCKKGEFCVYGMCSYA >Potri.004G124400.1.v4.1 pep chromosome:Pop_tri_v4:4:12039276:12042517:1 gene:Potri.004G124400.v4.1 transcript:Potri.004G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124400.v4.1 MNGPTKTTISKTTKLLLAPKTTQYQSLLKHYGAAQSLTSTKQLHAHLITSGLLSIDIRSVLVATYAHCGYVHNARKLFDELRQRGTLLYNFMIKMYIAKGDYFEAMKVFLEMLGSKDCCPDNYTYPFVIKACSELLLVEYGRVLHGLTLVSKFGSHLFVLNSLLAMYMNCGEVEEARKVFDAMKEKSVVSWNTMINGYFKNGFANTALVVFNQMVDSGVEIDGASVVSVLPACGYLKELEVGRRVHGLVEEKVLGKKIVSNALVDMYAKCGSMDEARLVFDNMVERDVVSWTSMINGYILNGDAKSALSLFKIMQIEGLRPNSVTIALILLACASLNNLKDGRCLHGWVMKQRLYSEVAVETSLIDMYAKCNCLGLSFSVFTRTSRKKTVPWNALLSGCVHNKLATEAIGLFKKMLMEGVEINAATCNSLLPAYGILADLQPVNNINSYLMRSGFVSNIQVATSLIDIYSKCGSLESAHKIFNTIPVDVQDIFVWSIIIAGYGMHGHGETAVSLFKQMVQSGVKPNDVTFTSVLQSCSHAGMVDDGLYLFKFMLKDHQTIPNDDHYTCMVDLLGRAGRMDEAYDLIKTMPFMPGHAVWGALLGACVMHENVELGEVAARWLFELEPGNTGNYVLLAKLYAALGRWEDAENVRQRMDDIGLRKAPAHSLIEAGTVNW >Potri.001G353000.4.v4.1 pep chromosome:Pop_tri_v4:1:36711874:36713844:-1 gene:Potri.001G353000.v4.1 transcript:Potri.001G353000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353000.v4.1 MEQGSMMIESPSSVHEIMKSENKSKVYGNGGRDVMPGSDVWTDGLICAFEFSPRRTPKSTPSTTTPSSSNMFSTRHTVNSELSKDGGMPERQESCNLFESSSVNRNAPSSDAQKKILPSRQDRHEDGRWIPIGWARISQLVQTVQIDGDWPTQQVGLPDDELGPTVADLAAPYWERPAGPTWWCHVAASHTSVQAWLNNAKWLHPAISLALRDESRLISERMKHLLYEVVDSFFCISSYSYSLTWMGGA >Potri.001G353000.3.v4.1 pep chromosome:Pop_tri_v4:1:36709381:36713970:-1 gene:Potri.001G353000.v4.1 transcript:Potri.001G353000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G353000.v4.1 MEQGSMMIESPSSVHEIMKSENKSKVYGNGGRDVMPGSDVWTDGLICAFEFSPRRTPKSTPSTTTPSSSNMFSTRHTVNSELSKDGGMPERQESCNLFESSSVNRNAPSSDAQKKILPSRQDRHEDGRWIPIGWARISQLVQTVQIDGDWPTQQVGLPDDELGPTVADLAAPYWERPAGPTWWCHVAASHTSVQAWLNNAKWLHPAISLALRDESRLISERMKHLLYEVPVRVAGGLLFELLGQSAGDPFVDEDDIPVVLRSWHAQNFLITALHIKGHVSRVNVLGITEVQELLFLGGCNGPKTVHEVIAQLASRMSRWDDRLFRKSIFGEADEIELKFVNRRNYEDMSLFSIILNQEIRRLSRQVIRVKWSLHAREEIVFELLHHLRGNAARMLLEEIRKSTRQMIEEQEAVRGRLFTIQDVMQSTVRAWLQDRSLRVTHNLAVFGGCGLVLSIITGLFGINVDGMPGASGTPYAFALFTGILLFVGLVLIAVGLLYLGLKKPVTEEQVQVKKLELQELVKMFQHEAETHAQVRKTVRRNNLPPTSGDIFSDDVDYVLIQ >Potri.011G050100.2.v4.1 pep chromosome:Pop_tri_v4:11:4006137:4010350:-1 gene:Potri.011G050100.v4.1 transcript:Potri.011G050100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050100.v4.1 MKIISSLLLLFSLLSFLQISPILAVKKSYVVYLGRNSHTSKPSTLGNDGMTESYYDLLGSCLKSKEKAKEAIFYSYTSHINGFAATLEDDEVDQLSNRPEVVSVFPNEVNQLHTTRSWEFLGLERNGQIPADSIWLKARFGEDVIIGNLDTGVWPESESFEDEGMGPIPTRWKGYCETNDGVKCNRKLIGARYFNKGYEAALGRPLDSSNNTARDTNGHGTHTLSTAGGRFVSGANFLGSAYGTAKGGSPNARVASYKVCWPSCYDADILAAFDAAIQDGVDILSISLGRAVAIPYFRSGIAIGSFQAVMNGILVVCSAGNSGQVLGFGTTSNVAPWVLTVAASTIDREFPSNVVLGNNKEFKGTSFNTNNLSARKYYPIVYSVDAKAANATAQLAQICYPESLDPTKVRGKIVYCLGGMIPDVEKSLVVAQAGGVGMILSDQSEDSSSMPQGFFVPTSLVSAIDGLSVLSYIYSTKSPVAYISGSTEIGKVVAPVMASFSSTGPNEITPEILKPDITAPGVNILAAYTKAPRRLSRLIDQRPLSFNIISGTSMSCPHVSGIAGLLKTVHHDWSPAAIKSAIMTTARTGSNARQPIADASAAEATPFNYGSGHLRPNRAMDPGLVYDLTTTDYLNFLCSIGYNATQMSLFIEEPYACPPKNISLLNFNYPSITVPNLSGNVTLTRTLKNVGTPGLYTVRVKKPDGILVKVEPESLKFSKLNEEKTFKVMLKAMDNWFDSSYVYGGLTWSDGVHHVRSPIVVRKNTTLN >Potri.001G235500.2.v4.1 pep chromosome:Pop_tri_v4:1:25398727:25400149:-1 gene:Potri.001G235500.v4.1 transcript:Potri.001G235500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235500.v4.1 MTPQEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKGAMSPSLSSSNCSSSSNTTTVNSSPLPRTGETSFYDTGGLEQVALAGKNSEAVQGGEKGYSMDDIWKDIENTIEPVCDGFSEKGCNFSCPSLASPSWDYFPDTLWGFGEEEGKIFLPYDDGTTILTG >Potri.001G235500.1.v4.1 pep chromosome:Pop_tri_v4:1:25398625:25400206:-1 gene:Potri.001G235500.v4.1 transcript:Potri.001G235500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235500.v4.1 MMQDEIRKGPWTEKEDILLINFVHLFGDRRWDFIAKVSGLNRTGKSCRLRWVNYLHPGLKRGKMTPQEERLVLELHAKWGNRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKGAMSPSLSSSNCSSSSNTTTVNSSPLPRTGETSFYDTGGLEQVALAGKNSEAVQGGEKGYSMDDIWKDIENTIEPVCDGFSEKGCNFSCPSLASPSWDYFPDTLWGFGEEEGKIFLPYDDGTTILTG >Potri.001G235500.5.v4.1 pep chromosome:Pop_tri_v4:1:25398769:25400147:-1 gene:Potri.001G235500.v4.1 transcript:Potri.001G235500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235500.v4.1 MCRWSRIARKLPGRTDNEIKNYWRTHMRKKAQERKGAMSPSLSSSNCSSSSNTTTVNSSPLPRTGETSFYDTGGLEQVALAGKNSEAVQGGEKGYSMDDIWKDIENTIEPVCDGFSEKGCNFSCPSLASPSWDYFPDTLWGFGEEEGKIFLPYDDGTTILTG >Potri.013G133800.1.v4.1 pep chromosome:Pop_tri_v4:13:13959687:13963042:1 gene:Potri.013G133800.v4.1 transcript:Potri.013G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133800.v4.1 MSSAVEINIDENSIPRDHLENAMIDSQLHECVKQDNTEALKRRFQQHLTEKLVTPCGNTLLHLAVSYGSDNIIAYLVKEFPSLITMANNQNDTVLHLAAREGTAIHTIKSLVELNPSLMRMANGKRNTPLHDAVIKGNEEVAKFLVARDPEVAYYSNKNGSSPLYLAVENGNKNGILDDLLDLGASIPITREDGDALPKRKSPVHAAIEQRNIDLLEKIAKAKPELLCLTDEELGNSLHYASSICFLEGVRFLLKNFLNGAYKTNSEGNYPIHVACKNESVDLVKEFLDIFPYPKEFLNKKGQNILHVAAENGQGNVVRYILEQYQKIVEPLLNEMDEDGNTPLHLAARHGQSTAAFVLVRDKRVKNSIVNNENFTPYDVAKQQSKMAVDQYDKTDEMLAKEREQFDSKNSIPADEIQIEVNSEDVKDGKKNSTTEKSTASTGSQGKDKAVGSKHYKLLDYYGTMTTLSILYFHARPKKSLYERFTSTQGKPPRKQETKSRIENLLVVAVLVAGVTFAGAIQMPQLRDKNNSSEHLQEFNSTATASHNSTAFDSPTGSSLLDGYLCLDVWALNTSVVAAIILLWTNLNDVKFAPFALWFSSLMVGGSIYMMCLSFFFAVSIAVGGSNYGVFAIIIIVVGIVFFVAQTLLYIQWILPPSVNQIIEGKLSHYVYYISFFMLVYNWRCLIYSVPRLHKLRDLWTNFPK >Potri.018G096042.1.v4.1 pep chromosome:Pop_tri_v4:18:11636647:11639996:-1 gene:Potri.018G096042.v4.1 transcript:Potri.018G096042.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096042.v4.1 MGHGNNIGKYQLGRTIGEGTFAKVKLAVDSTDGRPLAIKIMDKKKVMQSHLKDQVQREIRAMKLLHHPGIVRIHEVIGTKTKIYMVMEYVSGGQLADKLSYAKKLRESEARRIFHQLIDAVDYCHNRGVYHRDLKPENLLLDGKGNLKVSDFGLSALQKTASLLTTTCGSPFYIAPELIANKGYEGAAADLWSCGVILFELLSGYLPFDERNLIMLYKKISAADYTCPQWFTESQKKLISRILDPNPRKRITLPEILEDEWFQIDYVPSSGYECDEKIFLDDVNAAFDADEVNASETETPKSSSFINAFQLIAMSHDLDLSGLFEEQEDRKQKTRLGSKHTVNETIRKIEAAAMDMSLSVERMNNFKMKMHQKPNMKRYTRSYYDLSAEVIEVAPMNCVVEISKSVGETRLYKEFCKSLSSLLTKISDVSLQKEGSEKSSNNRSTQEIRSCEEQNEKVTNGLQGYSSS >Potri.018G096042.2.v4.1 pep chromosome:Pop_tri_v4:18:11636646:11639996:-1 gene:Potri.018G096042.v4.1 transcript:Potri.018G096042.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G096042.v4.1 MVMEYVSGGQLADKLSYAKKLRESEARRIFHQLIDAVDYCHNRGVYHRDLKPENLLLDGKGNLKVSDFGLSALQKTASLLTTTCGSPFYIAPELIANKGYEGAAADLWSCGVILFELLSGYLPFDERNLIMLYKKISAADYTCPQWFTESQKKLISRILDPNPRKRITLPEILEDEWFQIDYVPSSGYECDEKIFLDDVNAAFDADEVNASETETPKSSSFINAFQLIAMSHDLDLSGLFEEQEDRKQKTRLGSKHTVNETIRKIEAAAMDMSLSVERMNNFKMKMHQKPNMKRYTRSYYDLSAEVIEVAPMNCVVEISKSVGETRLYKEFCKSLSSLLTKISDVSLQKEGSEKSSNNRSTQEIRSCEEQNEKVTNGLQGYSSS >Potri.006G180300.1.v4.1 pep chromosome:Pop_tri_v4:6:18691616:18695362:1 gene:Potri.006G180300.v4.1 transcript:Potri.006G180300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G180300.v4.1 MARTNLTEPPKIHRIPQPKFIDAIRWLPSPSPFRKSFISSLFDSDFHTPSLEIHSLDPSKTLTTPLLSWTPPSRISSLKSTPSLFAASTFLGSLHIFKTPEEMSVLGNDMSGLGGETSVMEPVVSVVGREFHVGSIGGVDLMEGGSECVSVGEDGRVNLVVEKGGFRRVFDGNGLVSYSAVKWASPSEFVTGGCGFGLQWWDLRQPGAAVAQFKGSWDRGTTSGIIHSIDIHPSRKHTCLAGGSSGTVFAWDLRRQQEPIILSSIGSSDTMTQPLSESEVWEVQYDYYTKSLNSNISSSRILPAMICSEDGILAVIEQGEEPTELLAEPCAINSFDIDRQNPSDVICSLEWESIVILSRP >Potri.014G146100.1.v4.1 pep chromosome:Pop_tri_v4:14:9988151:9989913:-1 gene:Potri.014G146100.v4.1 transcript:Potri.014G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146100.v4.1 MGLFCNGFSLFVIVCSMAVVASGNFYQDFDLTWGDRRAKIFNGGQLLSLSLDKVSGSGFQSKKEYLFGRIDMQLKLVAGNSAGTVTAYYLSSQGPTHDEIDFEFLGNLSGDPYILHTNVFTQGKGNREQQFYLWFDPTRNFHTYSIIWNPQHIIFLVDSIPIRVFKNAESIGVPFPKSQPMRIYSSLWNADDWATRGGLVKTDWTKAPFTAYYRNFKANACTWSYGTSSCGSKPSSAFSDGAWKTNELDAPSRRRLRWVQKYFMIYNYCTDLRRFPQGPPPECK >Potri.015G040500.1.v4.1 pep chromosome:Pop_tri_v4:15:3658386:3659757:-1 gene:Potri.015G040500.v4.1 transcript:Potri.015G040500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040500.v4.1 MAEWRRSGQIPAFGNWDQANDLPITLYFESARQAGLIQHSTNSSGECVHRYMRSDLHASDFNKPSRYHVPPRK >Potri.015G107925.1.v4.1 pep chromosome:Pop_tri_v4:15:12610313:12610677:1 gene:Potri.015G107925.v4.1 transcript:Potri.015G107925.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G107925.v4.1 MVQAKDSELYKPVLMESYTSPGASYTAVVFWFELLVVALRFKCSLTHHQVHFLCFEVAVLIIHQSKGKCELQIQTFREFSAQTSYSEK >Potri.005G074400.1.v4.1 pep chromosome:Pop_tri_v4:5:4981551:4985609:1 gene:Potri.005G074400.v4.1 transcript:Potri.005G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074400.v4.1 MVRSIKNPKKAKRKNKKGDESSSSSSIPSMPTKVWQPGVDKLGEEEELDCDPTAYNSLHAFHIGWPCLSFDVVRDSLGLLRTDFPHTVYFVAGTQAEKPDWNSIGIFKVSNVSGKQRELVPSKTTAGDSDMDTDNSDSDEDSEDEEEGGSATPVLQLRKVAHRGCINRIRAMTQNPHICASWSDAGYVQIWDFSTHLNALAESETEVPRGASSVFNQAPLFNFKGHKDEGYAIDWSPRVAGRLVTGDCKNCIHLWEPTSGATWNVDATPFTGHTASVEDLQWSSTEDHVFASCSVDGHIAIWDARLGKSPAIYFKAHNADVNVISWNRLASVMLASGSDDGTFSIRDLRLLSPKSEEDKSLVAHFQYHKHPITSIEWSPHEASTLSVSSSDNQLTIWDLSLEKDEEEEAEFKAKTKEQVNAPADLPPQLLFVHQGQKDLKELHWHAQIPGMIVSTASDGFNILMPSNIQSTLPSEGV >Potri.005G074400.3.v4.1 pep chromosome:Pop_tri_v4:5:4981544:4985556:1 gene:Potri.005G074400.v4.1 transcript:Potri.005G074400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074400.v4.1 MVRSIKNPKKAKRKNKKGDESSSSSSIPSMPTKVWQPGVDKLGEEEELDCDPTAYNSLHAFHIGWPCLSFDVVRDSLGLLRTDFPHTVYFVAGTQAEKPDWNSIGIFKVSNVSGKQRELVPSKTTAGDSDMDTDNSDSDEDSEDEEEGGSATPVLQLRKVAHRGCINRIRAMTQNPHICASWSDAGYVQIWDFSTHLNALAESETEVPRGASSVFNQAPLFNFKGHKDEGYAIDWSPRVAGRLVTGDCKNCIHLWEPTSGATWNVDATPFTGHTASVEDLQWSSTEDHVFASCSVDGHIAIWDARLGKSPAIYFKAHNADVNVISWNRLASVMLASGSDDGTFSIRDLRLLSPKSQEEDKSLVAHFQYHKHPITSIEWSPHEASTLSVSSSDNQLTIWDLSLEKDEEEEAEFKAKTKEQVNAPADLPPQLLFVHQGQKDLKELHWHAQIPGMIVSTASDGFNILMPSNIQSTLPSEGV >Potri.001G465100.1.v4.1 pep chromosome:Pop_tri_v4:1:49055945:49057074:1 gene:Potri.001G465100.v4.1 transcript:Potri.001G465100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465100.v4.1 MAASILFLGFLVLSFSFALASDPSSLQDFCVADGDSNVVVNGLACKDPKNVQASHFSFGGLHLAGNTSNGVGSKVTAVNVVQIPGLNTLGISVARIDYAPSGINPPHTHPRASEILTVLEGSLEVGFVTSNPGNRLITKVLQKGDVFVFPINLVHFQRNVGKSNAVALAALSSQNAGVITIANAVFGTNPEIPDDILAKAFQLDKNVVNFLQSKF >Potri.015G131100.1.v4.1 pep chromosome:Pop_tri_v4:15:14131480:14135630:-1 gene:Potri.015G131100.v4.1 transcript:Potri.015G131100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131100.v4.1 MVTIKAVKARQIFDSRGNPTVEADILLSDGSYARAAVPSGASTGVYEALELRDGGSDYLGKGVLKAVGNVNSIIGPALIGKDPTEQVQIDNFMVQELDGTVNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVADYPIVSIEDPFDQDDWEHYAKMTGEVGEQVQIVGDDLLVTNPKRVEKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGSAAVYAGAKFRAPVEPY >Potri.015G131100.5.v4.1 pep chromosome:Pop_tri_v4:15:14131696:14134378:-1 gene:Potri.015G131100.v4.1 transcript:Potri.015G131100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131100.v4.1 MVQELDGTVNEWGWCKQKLGANAILAVSLAVCKAGAMVKKIPLYQHIANLAGNKTLVLPVPAFNVINGGSHAGNKLAMQEFMILPVGASSFKEAMKMGVEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQENKEGLELLKTAIAKAGYTGKVVIGMDVAASEFYNDKDKTYDLNFKEENNDGSQKISGDSLKNVYKSFVADYPIVSIEDPFDQDDWEHYAKMTGEVGEQVQIVGDDLLVTNPKRVEKAIKEKSCNALLLKVNQIGSVTESIEAVKMSKHAGWGVMASHRSGETEDTFIADLSVGLSTGQIKTGAPCRSERLAKYNQLLRIEEELGSAAVYAGAKFRAPVEPY >Potri.014G089200.1.v4.1 pep chromosome:Pop_tri_v4:14:5792966:5802106:1 gene:Potri.014G089200.v4.1 transcript:Potri.014G089200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089200.v4.1 MSLQSGDLPGPNQHVETSNESRKKLKISYTREFLLSLSELDVCKKLPSGFDQSLLSELGDTSQDRYRIPGSASSQSFRRNDYSSSPPTRGDSSNFSRGIHGRWDSRSSGRSDRDSDSQSDWDSDAGRRYGNQSRRSGQVPEHDGLLGSGSFPRPSGYGAGLSAPKFRSNDQFQLNKSNELYQPPRPYRAMPHLRRETDSLNDETFGSSEYTSDDRAEEERKRRASFESMRKEQHKAFQEKQKLNPEKSKDASDVTELLEDSKDNKRLLNGSNELDKTVIQPMPVNDPDKPLYPLQAPVSRPLVPPGFSSAIVEKHAGAKSLTNSDPSEVDIELEGSLLQKKGTHVLDETSNNQDGKQFSEEMDLNAQHSRSPSACVSVDNKSENILNLAAALDVSSKRIGSKTSNLPEAFIDSENSEAIDLGAENVPGNKNVGESGSHSTSILDKLFGSALTLNGTGSSSFIEHHDVKADDPRSPQTGQSSKFAQWFSEEEKKPVDNLASGRPNDLLSLIVGGEKGGSQVKTTDHMLPTFPFQSFELADRHLTSNQKSVSVENNEELSITGKLDAAPAVLTCEDLEQSILSEITENGSALPPPVYGWGGGDVKAEQQKADVHASQHLLSLLQKGTGLNNLAPSANLGISATDRQQNSGVANPSKAAHKPRHADAENIPNSGKALTLETLFGTAFMKELQSVGAPISSQRDLIGYARDDASESHGLPLPVIDDGLLPPTVEIPSSMSSHGSGVLASKQRQQIVLDRTEEHLLGFDPQNKVDSSHLRTEMSSKLGGFDGSYEIQLPEEDSLIAVSDPLNLRNFLLARNSTKSELMPIPGTSVDIAEKLAALNSGFRDERPIVGHKGPPFLRGPYDMREPDVHYHNLHVQPSSPQLQPQLNRPGPMFHPLDSHPANMNAQMKLVAPENIRHDTPNHQFPENMLRPPFHHPSSALTGFDPTTRDSMLHQLHMRGNFPSPLLRREMPRGAIPLPHPNNQVTGFMQESSPMQGFPIGQRQPYFGALGIPPQANDGGGESNQPEALQRLIEMELRSNSKQIHPFATPGHGPGIYGHELDMSFGYR >Potri.014G089200.4.v4.1 pep chromosome:Pop_tri_v4:14:5795914:5801935:1 gene:Potri.014G089200.v4.1 transcript:Potri.014G089200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089200.v4.1 MMCICLDAGRRYGNQSRRSGQVPEHDGLLGSGSFPRPSGYGAGLSAPKFRSNDQFQLNKSNELYQPPRPYRAMPHLRRETDSLNDETFGSSEYTSDDRAEEERKRRASFESMRKEQHKAFQEKQKLNPEKSKDASDVTELLEDSKDNKRLLNGSNELDKTVIQPMPVNDPDKPLYPLQAPVSRPLVPPGFSSAIVEKHAGAKSLTNSDPSEVDIELEGSLLQKKGTHVLDETSNNQDGKQFSEEMDLNAQHSRSPSACVSVDNKSENILNLAAALDVSSKRIGSKTSNLPEAFIDSENSEAIDLGAENVPGNKNVGESGSHSTSILDKLFGSALTLNGTGSSSFIEHHDVKADDPRSPQTGQSSKFAQWFSEEEKKPVDNLASGRPNDLLSLIVGGEKGGSQVKTTDHMLPTFPFQSFELADRHLTSNQKSVSVENNEELSITGKLDAAPAVLTCEDLEQSILSEITENGSALPPPVYGWGGGDVKAEQQKADVHASQHLLSLLQKGTGLNNLAPSANLGISATDRQQNSGVANPSKAAHKPRHADAENIPNSGKALTLETLFGTAFMKELQSVGAPISSQRDLIGYARDDASESHGLPLPVIDDGLLPPTVEIPSSMSSHGSGVLASKQRQQIVLDRTEEHLLGFDPQNKVDSSHLRTEMSSKLGGFDGSYEIQLPEEDSLIAVSDPLNLRNFLLARNSTKSELMPIPGTSVDIAEKLAALNSGFRDERPIVGHKGPPFLRGPYDMREPDVHYHNLHVQPSSPQLQPQLNRPGPMFHPLDSHPANMNAQMKLVAPENIRHDTPNHQFPENMLRPPFHHPSSALTGFDPTTRDSMLHQLHMRGNFPSPLLRREMPRGAIPLPHPNNQVTGFMQESSPMQGFPIGQRQPYFGALGIPPQANDGGGESNQPEALQRLIEMELRSNSKQIHPFATPGHGPGIYGHELDMSFGYR >Potri.008G205300.1.v4.1 pep chromosome:Pop_tri_v4:8:15012041:15016686:-1 gene:Potri.008G205300.v4.1 transcript:Potri.008G205300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G205300.v4.1 MQVLSNARGLTRILKPQILLNSVPSDHKLIIYSQCQSLHSYSSRSLPSSGNYCFATVRDLMYSRTSKGSFCTASGGESTATEAVKKIYDDIIDSVNVRRTMAPNAWLWSLIENCKTREDIKLLFDALQNLRRFRLSNLRIHDNFNCNLCREVTKACARLGAVDFGKKALWNHNMYGLTPSVASANHLLLYAKHHNDVKLMVEVMKLLERNHIPLQPSTADLVSSICYNSDNWELISKYSRKFLKAGVKLRRHAFDLWMDFAAKRGDTESLWKTEKVRSESMKQHTLVSAFSCAKGLILEGKPLDAAAVIQVLDQTLPDTKKSGMVVELQKLVNEWPMAIVKHQKEENRKALAAVLKSGIPAMVNGLPNMGVEAKVNLEDLAIKEEIPC >Potri.010G251000.1.v4.1 pep chromosome:Pop_tri_v4:10:22385753:22386277:-1 gene:Potri.010G251000.v4.1 transcript:Potri.010G251000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G251000.v4.1 MGAMQIFDVRDKLIKKQGAGSACPCCGGPVMAMDYDSHLYFCFIPISHRNKRKFSCVVCSRRLVPVPVQ >Potri.006G046350.1.v4.1 pep chromosome:Pop_tri_v4:6:3147227:3150270:1 gene:Potri.006G046350.v4.1 transcript:Potri.006G046350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046350.v4.1 MPRVTPILRKGRKALENLGLVKILQSEIKHELSTTPFQDNQSGNLGDFKVDWDSLESQDVVLRRKCESGEEVAVSALLGQEMFAEGGIFPREVLMKVCVKKPGLNSVLQFDCGVSEKGIGGSQFHIYSAHYLHLTTTCPKPSAYRGPSFSDLDSDLQDALKGYLIAKGIGENLTNFLLLHLHKKELGQYVNWLQKLESLVLGKFD >Potri.006G003400.1.v4.1 pep chromosome:Pop_tri_v4:6:274912:278863:-1 gene:Potri.006G003400.v4.1 transcript:Potri.006G003400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003400.v4.1 MADLKSTFLNVYSVLKKELLEDPAFEWSPDSRDWVDRMLDYNVPGGKLNRGLSVIDSYKYLKEGKELTEDEIFLTSALGWCIEWLQAYFLVLDDIMDSSHTRRGQPCWFRLPKVGLIAANDGILLRNHIPRILKNHFRDKAYYVDLLDLFNEVEFQTASGQMIDLITTLEGEKDLSKYTLSLHRRIVQYKTAYYSFYLPVACALLMAGENLDNHVDVKNILVEMGTYFQVQDDYLDCFGAPETIGKIGTDIEDFKCSWLVVKALEICNEEQKKLLHENYGKADPANVAKVKALYHELNLQGVFADYESKSYEKLIASIEAHPSKAVQAVLKSFLAKIYKRQK >Potri.001G457000.1.v4.1 pep chromosome:Pop_tri_v4:1:48287361:48290826:-1 gene:Potri.001G457000.v4.1 transcript:Potri.001G457000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G457000.v4.1 MDRHAGEPALKPYLRHHHDHHDLQPPTSVKASDALPLPLYLTNGLFFGLFFSVAYFLLHRWREKIRNSTPLHIITFPEIAGIVCLAASVIYLLGFFGIGVVQSFISRGSNDSWDVEEDNNVMIKKNGIGCTPAESLACTLAPVSRERVVAPTIQEPLILSGDDEEVIKGVVSGTIPSYSLESKLGDCKRAAAIRREALQRMTGRSMEGLPLEGFDYESILGQCCEMPVGFVQIPVGIAGPLLLNGTEYMVPMATTEGCLVASTNRGCKAIYASGGASSMLLKDAMTRAPVVRFGTAKRAAELKFFVEDPANFDTLSVAFNRSSRFGRLQNIKCAVAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDYVQTDFPDMDIIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIIKEEVVQKVLKTNIDTLVELNMLKNLAGSAMAGALGGFNAHASNIVSAVYIATGQDPAQNVESSHCITMMEAVNDGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKDSPGSNSRLLATIVAGSVLAGELSLMSAIAAGQLVKSHMKYNRSSKDVSKIAS >Potri.016G105400.1.v4.1 pep chromosome:Pop_tri_v4:16:10874793:10877167:-1 gene:Potri.016G105400.v4.1 transcript:Potri.016G105400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105400.v4.1 MDQPVVPHVVFLPFPAQGHVKPMLMLAELLSHAGFEATFINSNHIQHRLEHSTDIATMYCRFPKFQFRSIPDGLPSDHPRSGSSISQLLIASRDETRTEFRNLLVNLGQKNGRWEPPTCIIADGIMSFAIDIAEELTIPVITFRTFSACCTWTYFHLTKLIEEGEVPFQGDVDMDKTITCIPGLEGTLRYRDLPSICRRKEANDPLFQFFIKETAAMPRASGLILNTFDRLEASMVSKLGSFFSKIYTLGPLQGLFDTFAESPSARTSSNGLLWKEDRGCMTWLDSHPSRSVIYVSFGSLVGLFRDQLLEFWHGLVNSGKPFLWVIRSDSIMEEDGVSEVPLQLKAATEERGCIVDWAPQEEVLAHPAIGGFLTHSGWNSTLESIFAGVPMICWPMIADQQVNSRCVSELWKIGFDMKDKCERAVIEKLVRDLMESDEIVKSTDEFAGMARDSVKEGGSSYSNLQKLVEDIKSMSLAGKVSLSSVG >Potri.016G105400.2.v4.1 pep chromosome:Pop_tri_v4:16:10874911:10877036:-1 gene:Potri.016G105400.v4.1 transcript:Potri.016G105400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G105400.v4.1 MDKTITCIPGLEGTLRYRDLPSICRRKEANDPLFQFFIKETAAMPRASGLILNTFDRLEASMVSKLGSFFSKIYTLGPLQGLFDTFAESPSARTSSNGLLWKEDRGCMTWLDSHPSRSVIYVSFGSLVGLFRDQLLEFWHGLVNSGKPFLWVIRSDSIMEEDGVSEVPLQLKAATEERGCIVDWAPQEEVLAHPAIGGFLTHSGWNSTLESIFAGVPMICWPMIADQQVNSRCVSELWKIGFDMKDKCERAVIEKLVRDLMESDEIVKSTDEFAGMARDSVKEGGSSYSNLQKLVEDIKSMSLAGKVSLSSVG >Potri.001G270900.1.v4.1 pep chromosome:Pop_tri_v4:1:28546908:28549073:-1 gene:Potri.001G270900.v4.1 transcript:Potri.001G270900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G270900.v4.1 MAAMTAIQYVIALFVLWFITVFLQYIFKRPGKKPAGYCPPPSPPTLPLIGHLHLLTPVAYKGFHALNNKYGPLLYLRLATYPAVLVSSAPVATEIFKAQDVHFASRIKSPFEDNLLFGSSTSFFNAPYGDYWKFMKKICMTELLGSSQMKKLKNVRHEEVVRFLSKMLEIGQKNDVADLSAEVLTLANNATCRMIMSARCSGEDNQADQCRGLVSESFDLAAKLAVCNLFGPLKRIGTWYLRKKIAAVPKRYDELFENILVEHEEKAKRGGPHMENKDLMDILLEVYHDKNAEMRITRKQMKTFFLDLFTGGTSTTADAVLWILGELVNHPASFKKLREEIDSVVGTERLADEADIPNMPYFQACVKEAMRLHPPVPLFDRVCREDCKLAGHDIPKGITMIMNAYSIMRDPKIWDNPNDFIPERFLTEHDSTKGPQNLQIYVPFGGGRRMCPGTNMSSSLINCSVSAMVQCFDWKVVGGDGPDGSKVNMDTKAGVTMSLDKPFMSTPVLHRNLFSA >Potri.004G184700.1.v4.1 pep chromosome:Pop_tri_v4:4:19830670:19831539:1 gene:Potri.004G184700.v4.1 transcript:Potri.004G184700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184700.v4.1 MSGFARAKRVTDPLDDKAKARLIGCQLSCFSSGSEHSADHDDSPCLSDLVHGFLEEEDSGFAHASTNGHGSDSERVDLVADCTDFVVDMIRSSSNDNLLFAHVSKAMEEFSCLRNHQRPVLRRKVMLFLRELGHNAAICKTKWESSGGGLTAGSYEFIDVVVQSKSSALQNRYVVDLDFASQFEIARPTSQYLKLLHHLPRVFVGKSEDLKTIVRSISDAAKRSLKSRELSLPPWRKNRYMQNKWFGPYLRTVNPLPTNSFTPPPSVNVVKCRRVGFEDAVNGRLFVRT >Potri.006G002301.1.v4.1 pep chromosome:Pop_tri_v4:6:194399:195021:-1 gene:Potri.006G002301.v4.1 transcript:Potri.006G002301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G002301.v4.1 MVRKETMLVAFTCLLVVASIAVSANATAAPRLLATEQVYYPQGCRCCLFVGKVPNIRCARTCCSSPKGENCCVAT >Potri.006G133200.16.v4.1 pep chromosome:Pop_tri_v4:6:10928360:10933028:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGREENQNEIGLPVHSIYQGKAPPSYDPAGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.006G133200.4.v4.1 pep chromosome:Pop_tri_v4:6:10928223:10933030:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MEIKESERVVIAKPVASRPSYSNFTSFSELLAGAINTTPSNVCSGTAVAAIRPKTVRFRPLVNRAPGALVSSQAGLSGTAVSNSFNKASSTDSKATIIYKPQAKLVSKATVSLLANMGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGREENQNEIGLPVHSIYQGKAPPSYDPAGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.006G133200.11.v4.1 pep chromosome:Pop_tri_v4:6:10928224:10933115:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MEIKESERVVIAKPVASRPSYSNFTSFSELLAGAINTTPSNVCSGTAVAAIRPKTVRFRPLVNRAPGALVSSQAGLSGTAVSNSFNKASSTDSKATIIYKPQAKLVSKATVSLLANMGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGREENQNEIGLPVHSIYQGKAPPSYDPAGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.006G133200.5.v4.1 pep chromosome:Pop_tri_v4:6:10928265:10933065:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MEIKESERVVIAKPVASRPSYSNFTSFSELLAGAINTTPSNVCSGTAVAAIRPKTVRFRPLVNRAPGALVSSQAGLSGTAVSNSFNKASSTDSKATIIYKPQAKLVSKATVSLLANMGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGREENQNEIGLPVHSIYQGKAPPSYDPAGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.006G133200.12.v4.1 pep chromosome:Pop_tri_v4:6:10928281:10933120:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MEIKESERVVIAKPVASRPSYSNFTSFSELLAGAINTTPSNVCSGTAVAAIRPKTVRFRPLVNRAPGALVSSQAGLSGTAVSNSFNKASSTDSKATIIYKPQAKLVSKATVSLLANMGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGREENQNEIGLPVHSIYQGKAPPSYDPAGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.006G133200.15.v4.1 pep chromosome:Pop_tri_v4:6:10928265:10933065:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MEIKESERVVIAKPVASRPSYSNFTSFSELLAGAINTTPSNVCSGTAVAAIRPKTVRFRPLVNRAPGALVSSQAGLSGTAVSNSFNKASSTDSKATIIYKPQAKLVSKATVSLLANMGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.006G133200.10.v4.1 pep chromosome:Pop_tri_v4:6:10928220:10933040:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MEIKESERVVIAKPVASRPSYSNFTSFSELLAGAINTTPSNVCSGTAVAAIRPKTVRFRPLVNRAPGALVSSQAGLSGTAVSNSFNKASSTDSKATIIYKPQAKLVSKATVSLLANMGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGREENQNEIGLPVHSIYQGKAPPSYDPAGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.006G133200.14.v4.1 pep chromosome:Pop_tri_v4:6:10928265:10933037:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MEIKESERVVIAKPVASRPSYSNFTSFSELLAGAINTTPSNVCSGTAVAAIRPKTVRFRPLVNRAPGALVSSQAGLSGTAVSNSFNKASSTDSKATIIYKPQAKLVSKATVSLLANMGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGREENQNEIGLPVHSIYQGKAPPSYDPAGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.006G133200.13.v4.1 pep chromosome:Pop_tri_v4:6:10928273:10933064:1 gene:Potri.006G133200.v4.1 transcript:Potri.006G133200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133200.v4.1 MEIKESERVVIAKPVASRPSYSNFTSFSELLAGAINTTPSNVCSGTAVAAIRPKTVRFRPLVNRAPGALVSSQAGLSGTAVSNSFNKASSTDSKATIIYKPQAKLVSKATVSLLANMGNFNTNSQQMLQPVETRPQLSKQDKHNFSSQLTSNPHQNIPSPAEADHTTEPLRLTSLNQEEDPKTLSHASNGDRPSYDGYNWRKYGQKQVKGSEYPRSYYKCTYPNCPVKKKVERSFDGQIAEIVYKGEHNHSKPQPPKRNSSGTQGLSDGNATDRNSIPLWSNQLNERNEGSEGREENQNEIGLPVHSIYQGKAPPSYDPAGTGTINAGTGTSDNSCGVSGECDDGSKGLEGANDEPKSKRRKTEIQSTEGGMSGEGVQEPRVVVQSSTDSEILGDGFRWRKYGQKIVKGNPYPRSYYRCTSIKCNVRKHVERVSDDPRAFITTYEGKHNHEIPLKSTNLAAFEPDSQAPTTRVKQ >Potri.016G035200.1.v4.1 pep chromosome:Pop_tri_v4:16:2038960:2042326:-1 gene:Potri.016G035200.v4.1 transcript:Potri.016G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035200.v4.1 MSTKGATQLEPWCDLRNKVVLVTGASSGLGKDFCLDLAKAGCRILAAARRVDRLKSLCDEINQMSLSFPTSSSTAEVDIQPRAVAVELDVSADGNTIDKCVQKAWDAFGGIDALVNNAGVRGTVRDPLELSEEEWNHVIRTNTTGTWLVSKAVCIRMRDSKRGGSVINIASIAGLNRGQLPGGIHYVASKTGVNAISKVMAMELGAYKIRVNSISPGLFKSEITQGLMQKDWLSNVALKTVPLRTFGTADPALTSLARYLIHDSSEYVTGNNFIVDAGATLPGVPLFSSL >Potri.009G136501.1.v4.1 pep chromosome:Pop_tri_v4:9:10998788:11001802:-1 gene:Potri.009G136501.v4.1 transcript:Potri.009G136501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136501.v4.1 MQTLTVGVGDIGVCEDPLYSYYNRLHYELTPVEVHSEESAMIAMYLQNKHAATRSQYPADIDKIFRVSREGENERNRALILETWLSYYMQKNDVDKLPRGKLSTKGVGGTAPNLSDAKTLDDGAVVPLGKPEEQQGSKVEQTKVICGAISNIVYNVDQIRMR >Potri.013G027200.3.v4.1 pep chromosome:Pop_tri_v4:13:1774486:1775575:-1 gene:Potri.013G027200.v4.1 transcript:Potri.013G027200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G027200.v4.1 MSISSSKLGQRSQVTAANLANLLPTGTVLAAESLIPSFTNNGECTLANEYLTLGIIVCCSLGCFLSSFTDSFTGKDGKMYYGIATWNSFHIFNDIDSDDGAGREETTKEFLAACRITFIDFVHAFTSLTVFLVFALSNSNVQNCFFPKAGANEKALIMNLPLGAGFLASFLFMLFPTKRRGIGYADTAPVKKEGIIKESA >Potri.001G239200.5.v4.1 pep chromosome:Pop_tri_v4:1:25687739:25689578:-1 gene:Potri.001G239200.v4.1 transcript:Potri.001G239200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239200.v4.1 MFILSKGNTSSNVGTPSFHELKKQASFFFKEKIKSARLALTDVTPAELLTEEVTSGNPWAPDNPALGSISRAAFEVDDFWRIVEILHKRFSRFERKNWRSSYNSLIVLEHLLTHGPESVAGEFQIDQDVLREMESFQCIDEKGFNWGLAVRKKSESILKLLEEGPLLKEERERARKVTREIQGFGSLCHRFSSAQGILQESSNGTFARSNSQFNDSWENQFLSPKEEILIQTVQHKDTNSESAKKRANLDSWESTVNVCQMPEKPGTSLKENLVPKKEELHRWNGTGEGNPLLVGRRDEPRIIEENHPFSDAENQTTASLL >Potri.001G239200.1.v4.1 pep chromosome:Pop_tri_v4:1:25687740:25689735:-1 gene:Potri.001G239200.v4.1 transcript:Potri.001G239200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239200.v4.1 MFILSKGNTSSNVGTPSFHELKKQASFFFKEKIKSARLALTDVTPAELLTEEVTSGNPWAPDNPALGSISRAAFEVDDFWRIVEILHKRFSRFERKNWRSSYNSLIVLEHLLTHGPESVAGEFQIDQDVLREMESFQCIDEKGFNWGLAVRKKSESILKLLEEGPLLKEERERARKVTREIQGFGSLCHRFSSAQGILQESSNGTFARSNSQFNDSWENQFLSPKEEILIQTVQHKDTNSESAKKRANLDSWESTVNVCQMPEKPGTSLKENLVPKKEELHRWNGTGEGNPLLVGRRDEPRIIEENHPFSDAENQTTASLL >Potri.001G239200.4.v4.1 pep chromosome:Pop_tri_v4:1:25687848:25689735:-1 gene:Potri.001G239200.v4.1 transcript:Potri.001G239200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G239200.v4.1 MFILSKGNTSSNVGTPSFHELKKQASFFFKEKIKSARLALTDVTPAELLTEEVTSGNPWAPDNPALGSISRAAFEVDDFWRIVEILHKRFSRFERKNWRSSYNSLIVLEHLLTHGPESVAGEFQIDQDVLREMESFQCIDEKGFNWGLAVRKKSESILKLLEEGPLLKEERERARKVTREIQGFGSLCHRFSSAQGILQESSNGTFARSNSQFNDSWENQFLSPKEEILIQTVQHKDTNSESAKKRANLDSWESTVNVCQMPEKPGTSLKENLVPKKEELHRWNGTGEGNPLLVGRRDEPRIIEENHPFSDAENQTTASLL >Potri.005G099233.1.v4.1 pep chromosome:Pop_tri_v4:5:7142611:7145612:1 gene:Potri.005G099233.v4.1 transcript:Potri.005G099233.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099233.v4.1 MMRIKLWRGPAKFLLTQLNSAIDEVSAQLHPEDAPSGIAVASDEIQASIQENVCRNLNASFYFYLRSAL >Potri.012G139700.1.v4.1 pep chromosome:Pop_tri_v4:12:15224192:15224728:1 gene:Potri.012G139700.v4.1 transcript:Potri.012G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139700.v4.1 MEVQKRMTGVLLLLLLSWAVTVAADVDCTTVTGFLTACSTFITYGTPDPLPGSPCCDSMMSLNVIAESGNDRRSICLCLMGLITTYNPNATAIATLPGFCGLSLGFILDPNTDCSSVP >Potri.014G059800.1.v4.1 pep chromosome:Pop_tri_v4:14:3831825:3832542:1 gene:Potri.014G059800.v4.1 transcript:Potri.014G059800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G059800.v4.1 MKEMAFKGSKAAAIFVLLNVIFFTCVSSHNVPACPPKAPPSPKKPAKCPKDTLKFGVCGNWLGLVHEALGTPPSEECCTLIKGLADLEAALCLCTAIKANVLGVVKLKVPVAVSLLLSACGKKVPEGFKCA >Potri.010G234600.1.v4.1 pep chromosome:Pop_tri_v4:10:21563517:21565808:1 gene:Potri.010G234600.v4.1 transcript:Potri.010G234600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G234600.v4.1 MALDIKTFETIIPSRFLSFTIPNPVLPTRLLRVAVLDSPIQPTESPQVAALFVPQTREPDWIFSTESGHLQLLLSSPGISRLILIGNNRINGPDSSPLTYHKREDAQYVKSLENSLKPLFFALSPKVSVKDGIFDVPILDYEDNLICSVVLERRAGIFVSEMLVEDIEIESDSELREFRRRLRFKRMPNLVQTEIRIVPQKVFELDRVKIGGEVKFRPDTKVLVHSYLIPMVASLSLIGSCIEDRFRKGLKPKALCLGVGGGALLSFLRTQLGFEVFGVEMDEEVLSVARQYFGLEEIQVCVGDAIEYVEKLASKDRVLDLVSGEDNGDCYLNHANVFDAKFDVIMVDLDSCDARNGVIAPPLEFVKKHILLAARSVLSDFGIFVMNVIPPTRLFYDTLIHEFQEIFHELYEIDVGNGENFVLIAKVSPVSSPLSECENSFLQKLRIAILGRYLDSIRKI >Potri.013G150300.8.v4.1 pep chromosome:Pop_tri_v4:13:14667223:14671200:-1 gene:Potri.013G150300.v4.1 transcript:Potri.013G150300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150300.v4.1 MVRFSCFNAHILSHKPKKTAHPFAEAKHKSLEDFSQIQAPKALTNTSSLALPNARVDAQSRNGIRDVTSSDVSVGQSWISDKIENKINVKNDIGAHQTRLIRKSQSLGSGLCLEGRDLCDNDTEEGIDQGVYSDSLDQNGLLRPNGSKDSGISPTSEDMKAQQLESVNKSSEFVKKESIFSIGDAQHSEKEGPENSDTPLSGEGGNVSGDQSPHSHPIIEKACTFSDMGSYAPTFHRHSCEYLAPRSRSSEDLNALYLRWKTISIHGNETQKMKEKEGDVNVPKTEENNSESCVDEGFDFYNYSALAKDWIMPVIDEVNQAKNLQGESSTQLWEELPSKDFKMKRIVDWVNKLQHYDSLEETNGLPDADDPVEGDSNDLTSAKVDNKDTPGIKAAKRYISSLSVSATTAHMSDLGLEVIPFLSVFGSLRVLNLSGNSIVRISAGALPRGLHMLNLSRNNISTVEGLHELTRLRVLNLSYNQIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTLLDLRFNKISTAKCLGLLAANHSSLQAISLEGNPVQKNVGDEQLKKYLQGLLPHLLYFNRQSIKASTLKDSVDRSVRVGINAHQFDRGLRSESKAVRKVSHGLAGSRPLPSSTHGRKSQPLTSTKRSSGRHLHSQPSRTQSTTGHHHHFIDPGSKLLNFRSEFLVHRSQSEGTLRAF >Potri.013G150300.9.v4.1 pep chromosome:Pop_tri_v4:13:14667223:14671199:-1 gene:Potri.013G150300.v4.1 transcript:Potri.013G150300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150300.v4.1 MAFFTRVSFLVQKTAHPFAEAKHKSLEDFSQIQAPKALTNTSSLALPNARVDAQSRNGIRDVTSSDVSVGQSWISDKIENKINVKNDIGAHQTRLIRKSQSLGSGLCLEGRDLCDNDTEEGIDQGVYSDSLDQNGLLRPNGSKDSGISPTSEDMKAQQLESVNKSSEFVKKESIFSIGDAQHSEKEGPENSDTPLSGEGGNVSGDQSPHSHPIIEKACTFSDMGSYAPTFHRHSCEYLAPRSRSSEDLNALYLRWKTISIHGNETQKMKEKEGDVNVPKTEENNSESCVDEGFDFYNYSALAKDWIMPVIDEVNQAKNLQGESSTQLWEELPSKDFKMKRIVDWVNKLQHYDSLEETNGLPDADDPVEGDSNDLTSAKVDNKDTPGIKAAKRYISSLSVSATTAHMSDLGLEVIPFLSVFGSLRVLNLSGNSIVRISAGALPRGLHMLNLSRNNISTVEGLHELTRLRVLNLSYNQIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTLLDLRFNKISTAKCLGLLAANHSSLQAISLEGNPVQKNVGDEQLKKYLQGLLPHLLYFNRQSIKASTLKDSVDRSVRVGINAHQFDRGLRSESKAVRKVSHGLAGSRPLPSSTHGRKSQPLTSTKRSSGRHLHSQPSRTQSTTGHHHHFIDPGSKLLNFRSEFLVHRSQSEGTLRAF >Potri.013G150300.2.v4.1 pep chromosome:Pop_tri_v4:13:14667243:14671197:-1 gene:Potri.013G150300.v4.1 transcript:Potri.013G150300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150300.v4.1 MVRFSCFNAHILSHKPKKTAHPFAEAKHKSLEDFSQIQAPKALTNTSSLALPNARVDAQSRNGIRDVTSSDVSVGQSWISDKIENKINVKNDIGAHQTRLIRKSQSLGSGLCLEGRDLCDNDTEEGIDQGVYSDSLDQNGLLRPNGSKDSGISPTSEDMKAQQLESVNKSSEFVKKESIFSIGDAQHSEKEGPENSDTPLSGEGGNVSGDQSPHSHPIIEKACTFSDMGSYAPTFHRHSCEYLAPRSRSSEDLNALYLRWKTISIHGNETQKMKEKEGDVNVPKTEENNSESCVDEGFDFYNYSALAKDWIMPVIDEVNQAKNLQGESSTQLWEELPSKDFKMKRIVDWVNKLQHYDSLEETNGLPDADDPVEGDSNDLTSAKVDNKDTPGIKAAKRYISSLSVSATTAHMSDLGLEVIPFLSVFGSLRVLNLSGNSIVRISAGALPRGLHMLNLSRNNISTVEGLHELTRLRVLNLSYNQIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTLLDLRFNKISTAKCLGLLAANHSSLQAISLEGNPVQKNVGDEQLKKYLQGLLPHLLYFNRQSIKASTLKDSVDRSVRVGINAHQFDRGLRSESKAVRKVSHGLAGSRPLPSSTHGRKSQPLTSTKRSSGRHLHSQPSRTQSTTGHHHHFIDPGSKLLNFRSEFLVHRSQSEGTLRAF >Potri.013G150300.7.v4.1 pep chromosome:Pop_tri_v4:13:14667222:14671199:-1 gene:Potri.013G150300.v4.1 transcript:Potri.013G150300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150300.v4.1 MVRFSCFNAHILSHKPKKTAHPFAEAKHKSLEDFSQIQAPKALTNTSSLALPNARVDAQSRNGIRDVTSSDVSVGQSWISDKIENKINVKNDIGAHQTRLIRKSQSLGSGLCLEGRDLCDNDTEEGIDQGVYSDSLDQNGLLRPNGSKDSGISPTSEDMKAQQLESVNKSSEFVKKESIFSIGDAQHSEKEGPENSDTPLSGEGGNVSGDQSPHSHPIIEKACTFSDMGSYAPTFHRHSCEYLAPRSRSSEDLNALYLRWKTISIHGNETQKMKEKEGDVNVPKTEENNSESCVDEGFDFYNYSALAKDWIMPVIDEVNQAKNLQGESSTQLWEELPSKDFKMKRIVDWVNKLQHYDSLEETNGLPDADDPVEGDSNDLTSAKVDNKDTPGIKAAKRYISSLSVSATTAHMSDLGLEVIPFLSVFGSLRVLNLSGNSIVRISAGALPRGLHMLNLSRNNISTVEGLHELTRLRVLNLSYNQIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTLLDLRFNKISTAKCLGLLAANHSSLQAISLEGNPVQKNVGDEQLKKYLQGLLPHLLYFNRQSIKASTLKDSVDRSVRVGINAHQFDRGLRSESKAVRKVSHGLAGSRPLPSSTHGRKSQPLTSTKRSSGRHLHSQPSRTQSTTGHHHHFIDPGSKLLNFRSEFLVHRSQSEGTLRAF >Potri.013G150300.10.v4.1 pep chromosome:Pop_tri_v4:13:14667303:14671199:-1 gene:Potri.013G150300.v4.1 transcript:Potri.013G150300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G150300.v4.1 MAFFTRVSFLVQKTAHPFAEAKHKSLEDFSQIQAPKALTNTSSLALPNARVDAQSRNGIRDVTSSDVSVGQSWISDKIENKINVKNDIGAHQTRLIRKSQSLGSGLCLEGRDLCDNDTEEGIDQGVYSDSLDQNGLLRPNGSKDSGISPTSEDMKAQQLESVNKSSEFVKKESIFSIGDAQHSEKEGPENSDTPLSGEGGNVSGDQSPHSHPIIEKACTFSDMGSYAPTFHRHSCEYLAPRSRSSEDLNALYLRWKTISIHGNETQKMKEKEGDVNVPKTEENNSESCVDEGFDFYNYSALAKDWIMPVIDEVNQAKNLQGESSTQLWEELPSKDFKMKRIVDWVNKLQHYDSLEETNGLPDADDPVEGDSNDLTSAKVDNKDTPGIKAAKRYISSLSVSATTAHMSDLGLEVIPFLSVFGSLRVLNLSGNSIVRISAGALPRGLHMLNLSRNNISTVEGLHELTRLRVLNLSYNQIFRIGHGLASCSSLKELYLAGNKISEVEGLHRLLKLTLLDLRFNKISTAKCLGLLAANHSSLQAISLEGNPVQKNVGDEQLKKYLQGLLPHLLYFNRQSIKASTLKDSVDRSVRVGINAHQFDRGLRSESKAVRKVSHGLAGSRPLPSSTHGRKSQPLTSTKRSSGRHLHSQPSRTQSTTGHHHHFIDPGSKLLNFRSEFLVHRSQSEGTLRAF >Potri.002G104400.1.v4.1 pep chromosome:Pop_tri_v4:2:7656294:7658420:-1 gene:Potri.002G104400.v4.1 transcript:Potri.002G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104400.v4.1 MGVKGFVEGGIASIVAGCSTHPMDLIKVRMQLQGENLPNPQAHNLRPAYALNSAAIPHNSIHVPPPPTRVGPISVGVRIIQSEGVAALYSGVSATVLRQTLYSTTRMGLYDVLKQKWTNPETGNMPLLSKITAGLVAGGIGAAVGNPADVAMVRMQADGRLPVSQRRNYKSVIDAITRMSKQEGVTSLWRGSSLTVNRAMIVTASQLASYDQIKEMILENGVMGDGLGTHVTASFAAGFVAAVASNPVDVIKTRVMNMKVEPGQAAPYRGAIDCAMKTVKAEGVMSLYKGFIPTISRQGPFTVVLFVTLEQVRKLLKEF >Potri.002G034200.2.v4.1 pep chromosome:Pop_tri_v4:2:2269819:2272880:1 gene:Potri.002G034200.v4.1 transcript:Potri.002G034200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G034200.v4.1 MEGNGGDAANVVGPVAKWRNDFSRAFQYYLDRSTPHPMQRWLGTLAVAAIYVLRVFYAQGFYIVSYGLGIYILNLLIGFLSPKVDPELEVLDGASLPTKGSDEFKPFIRRLPEFKFWYAITKAFCVAFLMTFFSIFDVPVFWPILLCYWIVLFVLTMKRQLMHMMKYKYVPFSLGKQRYGKKKSDASSSGLMRD >Potri.003G141850.1.v4.1 pep chromosome:Pop_tri_v4:3:15748150:15748492:1 gene:Potri.003G141850.v4.1 transcript:Potri.003G141850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141850.v4.1 MAMSLKSSLSLVVPFISIASYANGKKSKQQYILYYNNNNNLNRSPIYVCL >Potri.006G048300.3.v4.1 pep chromosome:Pop_tri_v4:6:3317451:3319683:-1 gene:Potri.006G048300.v4.1 transcript:Potri.006G048300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048300.v4.1 MRGRVMVDEDNGDVVMTEQPRGRGRERRKGRGLRLGGRGESRQQTLLHDEEEEEEGGNDRNVLQLFTPLRSVEGWSVLLSGVHEEAQEDDILTLFGAFGHINNLHLNLDRRTGFLKGYALIEYENFMEAQAAISGMNGTKLFFRIISVDWAFSTGPFEGRRSACFHELSYAIAIFGVSLTCNPFSA >Potri.006G048300.2.v4.1 pep chromosome:Pop_tri_v4:6:3317451:3319683:-1 gene:Potri.006G048300.v4.1 transcript:Potri.006G048300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G048300.v4.1 MRGRVMVDEDNGDVVMTEQPRGRGRERRKGRGLRLGGRGESRQQTLLHDEEEEEEGGNDRNVLQLFTPLRSVEGWSVLLSGVHEEAQEDDILTLFGAFGHINNLHLNLDRRTGFLKGYALIEYENFMEAQAAISGMNGTKLFFRIISVDWAFSTGPFEGRRSFDTHRSRSPRRHLA >Potri.006G027800.1.v4.1 pep chromosome:Pop_tri_v4:6:1820793:1822445:-1 gene:Potri.006G027800.v4.1 transcript:Potri.006G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027800.v4.1 MKQLKGNMKLWIVVAAYLLSTVLVASTEAGNMDSLAEDQTGRDMIIRSVVPKNDDVVSGSKSTQHIVGINNLCVDVFLELYFDGNLVQLYPCKSDGDVNQQWSLEKNGTIQSKGKCLATNGTSPGSYVFISDCNKVKASATIWKVQKDGSILNPSSSLVLTSKSGKSGSLLTLETNVYALRQGWRFTDVSKPSPKSIVGLWDYCLEFNKYVPKLAKCVENKTEQKWNFYADGSIRLDANTDLCLTSNGNTKGSLVLVVSCSPVSSNQRWTFGDSHGTAYFPILNVNNALVLDVSYSILNLFEIIIWKFNGGANQVWRLS >Potri.004G079200.5.v4.1 pep chromosome:Pop_tri_v4:4:6559170:6561286:-1 gene:Potri.004G079200.v4.1 transcript:Potri.004G079200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079200.v4.1 MLEWGKTWVSNVAGEIAAVLALAMWVTSSYRIRRKMFEVFFYTHQLYILYIVFYVLHVGAAYFCMVLPGIFLFVIDRYMRFLQSQRRARLDSARVLPCGSVELTFSKSPGLYYNPTSILFLNVPSISKLQWHPFTITSSCKMDQDKLSVAVKRLGNWSQKLCQEISSSVDRLEVSVEGPYGPNSSHFLRHELLVLVSGGSGITPFISIIREIMFESTKPNYQVPRVLLVCAFKNSADLAMLDLLLPINDTPANISQVQLQIEAYITREEEHPIADNQKLLQTIWFKPNQLDSPICAGLGQNNWLWLGAIIASSFIMFLLILGIVTRYYIYPIDHNTEEIYHFSYFVLWDMFLLCACIFLASSAVFLFRKKENTIEGKQIQNLEVPTPTPSPGSWFRNSDRELESLPHRSLVQATKVHFCARPDLKRILFDCKASDVGVLACGPRKMRHEVAKICSSGMADNLYFESISFNW >Potri.004G079200.1.v4.1 pep chromosome:Pop_tri_v4:4:6559170:6563351:-1 gene:Potri.004G079200.v4.1 transcript:Potri.004G079200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079200.v4.1 MSSMTVFRLIFLVVFLGWLVVWIMLPTKLYKITWTPKLNSKLNSTYFSGQGTNLLLLSFPMMFIAALGCIYLHAKKQQRSSYSKSVVTSNRLSFLRRPALVMAPLGTVTAMELAFVAMFIALLIWSLGNYLYVSFGHLHIHKQGEKVWQAKFRSVSLRLGYVGNICWAFLFFPVTRGSSILPLVGLTSESSIKYHIWLGHLSMILFAAHTVGFVIYWAMTNQMAAMLEWGKTWVSNVAGEIAAVLALAMWVTSSYRIRRKMFEVFFYTHQLYILYIVFYVLHVGAAYFCMVLPGIFLFVIDRYMRFLQSQRRARLDSARVLPCGSVELTFSKSPGLYYNPTSILFLNVPSISKLQWHPFTITSSCKMDQDKLSVAVKRLGNWSQKLCQEISSSVDRLEVSVEGPYGPNSSHFLRHELLVLVSGGSGITPFISIIREIMFESTKPNYQVPRVLLVCAFKNSADLAMLDLLLPINDTPANISQVQLQIEAYITREEEHPIADNQKLLQTIWFKPNQLDSPICAGLGQNNWLWLGAIIASSFIMFLLILGIVTRYYIYPIDHNTEEIYHFSYFVLWDMFLLCACIFLASSAVFLFRKKENTIEGKQIQNLEVPTPTPSPGSWFRNSDRELESLPHRSLVQATKVHFCARPDLKRILFDCKASDVGVLACGPRKMRHEVAKICSSGMADNLYFESISFNW >Potri.010G031700.4.v4.1 pep chromosome:Pop_tri_v4:10:4740779:4741177:-1 gene:Potri.010G031700.v4.1 transcript:Potri.010G031700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031700.v4.1 MASFCFFIVLIVPLMIFPLLSSSTQLNSKTSPYPISTSPPFLTNPPPPSPLQELSPDIAPLLPSPGGVLPSPTVSSVPTIPSTPSPPNPDEVVAPGPASAFSPLGALPASSASPRNLINFAIAVGCIAYWSI >Potri.007G062562.1.v4.1 pep chromosome:Pop_tri_v4:7:7181753:7182073:-1 gene:Potri.007G062562.v4.1 transcript:Potri.007G062562.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062562.v4.1 MLVREVGELLRSIDSPSISNPNENRSESLPACSRSLLVLAYVVVGLPTRTRPPECLLGSGRSQADTYDRRRKDPHSAWASLIEDWRGEVEEKARDGFRSLCASRMR >Potri.009G093300.1.v4.1 pep chromosome:Pop_tri_v4:9:8488664:8489823:-1 gene:Potri.009G093300.v4.1 transcript:Potri.009G093300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G093300.v4.1 MIFQEEAIEIKTSKHQNEIVGNHNSNEGYNDNNPGEWLNLRLGGNSPSTAGDYDSQSRPTSSKVFSCNFCRRKFFSSQALGGHQNAHKRERGAARRYHSQRMMTMMGLPINSPMARSLGVRPHALVHKPTRDGTPIGGRFNEANPGFVMSWMPFALDDTADLTWPGSFRLDSQLPETSSESLKLDLNLRL >Potri.002G207479.1.v4.1 pep chromosome:Pop_tri_v4:2:17392083:17393360:-1 gene:Potri.002G207479.v4.1 transcript:Potri.002G207479.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207479.v4.1 MATLKLHGTPISTNTQRVLATLYEKEVEFELVNVNLGAGEHKQEPHISLNPFGQVPAAVDGDLKLFESRAISQYVAHQYASKGTQLGAAGNGYATILVWQEVESHQFDPSASKLVWEQVFKPVFGLPTDAALVAETEVTLGKVLDVYEARLSQSKYLASDSFTLADLHHLPNIQALLGTPSKKLFDSRPHVSAWVASITGRPAWGKVLALLPK >Potri.016G039500.1.v4.1 pep chromosome:Pop_tri_v4:16:2423715:2425417:1 gene:Potri.016G039500.v4.1 transcript:Potri.016G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039500.v4.1 MGETRILVPENNNWLGSIMSVERTETLSQQQGPRIRRVPQIFSGIESNKKCYEPMVVSIGPYYHGKLDGFLEMEKSKCNMVRGFVQQSGKHNIEELNKTVEDVAEVARRCYDEDESVILNDMTEFTKMMFLDGCFVIQFMHCLLRDHQNLKMSSHFAALVARDMFLLENQLPFVVLRSLMKLRFGSDEEGIKLIKDFIKHIRAMPRQRVSCRKKISKFFSKTIPRRAISNYSAKDPEMGEYYGASHLLELFHMHFVTSVDKNVTVDSSRTSLYRYHPAMDLRRVGIHFKPSKTSQFTDVQFKPTWLAGRLQIPALTIDDSTKPLLLNLVAYEACLGDNDKLWVTSYICFMDSLIDQPEDVRELRSQGVLIVTLGSEEEVARLFNEVANYLVPNPLAFNKVKKDIESHCRNTFKRWILHYKGPIYTVIFKYSFIFGLIVSALKYVKVFPAEPLYGVCRLPGNNSTLYP >Potri.017G115300.1.v4.1 pep chromosome:Pop_tri_v4:17:12265133:12267405:-1 gene:Potri.017G115300.v4.1 transcript:Potri.017G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115300.v4.1 MAEFAEYQQRFRPSQPLTEMMDMNMEMLKHLPEMNPSILESFSITDFSADSLLARQQPEFTATYDHNNLSSTFHPDILSTATVVHTVTLNQNDSHDSKKRKSMEQSTSSYISPTASTNETKKKNNLGGSKKGENKEKEGDKAEEVIHVRAKRGQATDSHSIAERVRREKINNKLRCLQDLVPGCHRSMGMAVMLEEIINYVHSLQNQVEFLSMELAAASSSNDLNNLTESSKRAQGTDSTEAQKTQKWLRERYGEITCFHSAWSI >Potri.007G013300.1.v4.1 pep chromosome:Pop_tri_v4:7:1021597:1022315:1 gene:Potri.007G013300.v4.1 transcript:Potri.007G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G013300.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.011G110400.1.v4.1 pep chromosome:Pop_tri_v4:11:14050549:14051382:1 gene:Potri.011G110400.v4.1 transcript:Potri.011G110400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110400.v4.1 MFYYFLISNKGKITDVCPDAGKSSWPELVGINGEVAAKIIVRENPKVRAGIVKEGMMVTMDFRCDRVRVWVDKYGIVKDIPQIG >Potri.004G183500.4.v4.1 pep chromosome:Pop_tri_v4:4:19731990:19735327:1 gene:Potri.004G183500.v4.1 transcript:Potri.004G183500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183500.v4.1 MGFHFTPLLLTLLLFSTLHSRSSSQTFKCSTPSTCHSLIDYISPNATTFSHIKTLFSVKNIHSILAANNLPLSTLPNSTIPANQTIKISFPCMCINNTGHSNKQPIYTVQKDDGLFHIAAEVFSGLVTYQEIAAVNNISDVNLIKVGQKLWIPLPCNCDDVDGVKVVHYGHVVEAGSSLELIAQEYGTSTDTLVKLNGVNDSSLLAGQVLDVPLQACNSSVRSDSVDYPLLVPNNTYFFTANNCVKCKCDAANNWTLQCEASGIKPSNWSTCPVMQCEGGLLSIDNSTTSGCNITTCAYAGFNKNQSIFTTLATRSTCPVTAAPGNYASRTGLSWNYLFISLHLILLLVYHL >Potri.004G183500.5.v4.1 pep chromosome:Pop_tri_v4:4:19732077:19734818:1 gene:Potri.004G183500.v4.1 transcript:Potri.004G183500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183500.v4.1 MGFHFTPLLLTLLLFSTLHSRSSSQTFKCSTPSTCHSLIDYISPNATTFSHIKTLFSVKNIHSILAANNLPLSTLPNSTIPANQTIKISFPCMCINNTGHSNKQPIYTVQKDDGLFHIAAEVFSGLVTYQEIAAVNNISDVNLIKVGQKLWIPLPCNCDDVDGVKVVHYGHVVEAGSSLELIAQEYGTSTDTLVKLNGVNDSSLLAGQVLDVPLQGKLI >Potri.014G085500.1.v4.1 pep chromosome:Pop_tri_v4:14:5514980:5518633:1 gene:Potri.014G085500.v4.1 transcript:Potri.014G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085500.v4.1 MSPTKPAKKFPPITACNGTTHQSIAADLDGTLLVSSSSFPYFMIVAVEAGSLFRGLVLLLSLPIVIVSYLFISEALGIQMLIFISMSGLKIRDIELVSRAVLPRFYAADVRSESFEVFDRCKRKVVVTANPTIMVEPFVKDFLGGDKVLGTEIEVNPKTKRATGFVKKPGVLVGKWKELAVLKEFGEEAPDLGIGDRKTDHDFMSLCKEGYMVHRSKSATPLPRDRLKNRIIFHDGRLVQRPDPLNALITYIWLPFGFILSIIRVYFNLPLPERIVRYTYEMLGIHLVIRGTPPPAPSPGTPGNLYVCNHRTALDPIVIAIALGRKVSCVTYSVSRLSRFLSPIPAIALTRDRAADAARISSILQKGDLVVCPEGTTCREEFLLRFSALFAELSDRIVPVAVNCKQNMFYGTTVRGVKFWDPYFFFMNPRPTYEVTFLDRLPEEMTVKAGGKSSIEVANYVQKVLGEVLGFENTGLTRKDKYLLLGGNDGKVESMHNAKK >Potri.014G061000.3.v4.1 pep chromosome:Pop_tri_v4:14:3930374:3932242:-1 gene:Potri.014G061000.v4.1 transcript:Potri.014G061000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061000.v4.1 MAFVSVFQRLRPYRICKLGKASIANQAMASLVKAPPTMSTINAHQVHLRKLKLLSNLNMHHGLRFPRIHVNHTTVCCAKLTPWEPSPVTYAPTIDASGNLLKKTSNIFETLKSEDTAEAPATNSEELTDTKNRSLVQFQFLKWPMWLLGPSLLLTTGMVPTLWLPLSSVFLGPNIASLLSLIGLDCIFNLGATLFLLMADSCSRSKNQAQTCNSKPPLSYKFWNVVATVSGFVIPLMALLGSQKGTLLPQLPFIPFAVLLGPYMLLLSVQILTELLTWHWQSPVWLVTPVVYESYRLLQLMRGLKLGAELSAPTWMLHMIRGLVSWWILILGVQLMSVAWFAGFAARSQQQHSPAASGG >Potri.014G061000.2.v4.1 pep chromosome:Pop_tri_v4:14:3930408:3932519:-1 gene:Potri.014G061000.v4.1 transcript:Potri.014G061000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G061000.v4.1 MASLVKAPPTMSTINAHQVHLRKLKLLSNLNMHHGLRFPRIHVNHTTVCCAKLTPWEPSPVTYAPTIDASGNLLKKTSNIFETLKSEDTAEAPATNSEELTDTKNRSLVQFQFLKWPMWLLGPSLLLTTGMVPTLWLPLSSVFLGPNIASLLSLIGLDCIFNLGATLFLLMADSCSRSKNQAQTCNSKPPLSYKFWNVVATVSGFVIPLMALLGSQKGTLLPQLPFIPFAVLLGPYMLLLSVQILTELLTWHWQSPVWLVTPVVYESYRLLQLMRGLKLGAELSAPTWMLHMIRGLVSWWILILGVQLMSVAWFAGFAARSQQQHSPAASGG >Potri.005G196600.2.v4.1 pep chromosome:Pop_tri_v4:5:20336598:20338613:-1 gene:Potri.005G196600.v4.1 transcript:Potri.005G196600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G196600.v4.1 MKSWHNILKPREKKNWVIYHIEKFWWVKSSFEKTKPKHFLIKTLVRYLDSSSATTRPFPPRPAPEPRSSRKHKMSLVANEDFQHILRVLNTNVDGKQKIMFALTSIKGIGRRFSNIVCKKADVDMNKRAGELSAAELDNLMTIVANPRQFKIPDWFLNRQKDYKDGKYSQVVSNALDMKLRDDLERLKKIRNHRGLRHYWGLRVRGQHTKTTGRRGKTVGVSKKR >Potri.017G019900.2.v4.1 pep chromosome:Pop_tri_v4:17:1703469:1705579:1 gene:Potri.017G019900.v4.1 transcript:Potri.017G019900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G019900.v4.1 MDITLFSPKDTLTHFFPSHQQSNDQVAAIDMQSNSSNNNNNQPQTSHTSTSRSSDSGEACGGGNKWASKLLSECARAISEKDSSKIHHLLWMLNELASPYGDCDQKLASYFLQALFCKATESGQRCFKTLTTVAEKSHSFDSARKLILKFQEVSPWTTFGHVASNGAILEALDGESKLHIIDISNTLCTQWPTLLEALATRNDETPRLKLTVVVTASIVRSVMKEIGQRMEKFARLMGVPFEFKVISVLNHIGELTKEGLGVQEDEAVAINCIGALRRVEVDERSSVIQLFRSLNPRVVTIVEEEADFTSSRYDFVKCFEECLRYYTLYFEMLEESFVPTSNERLMLERECSRNIVRVLACDEETGGGECERRERGVQWSERLREAFSPVGFSDDVVDDVKALLKRYKAGWALVLPQGDHESGIYLTWKEEPVVWASAWKP >Potri.006G108700.6.v4.1 pep chromosome:Pop_tri_v4:6:8445587:8449683:1 gene:Potri.006G108700.v4.1 transcript:Potri.006G108700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108700.v4.1 MNGFSLYFFFLVGNIYKHSKIEVEKESEDRKLGGEESEEVWKQTLESFKEQALKLQSVSQEAYEIYSKKTMVVLQETSEKLKIQAEKAKSDLGELAKEFGEDGIQLLTVATENSPESVKEVVETLTSSTDNLNDVSKVRDFHLGIPYGLLLSTAGFLSFMLSGSISSLRFGVILGGMLLALSVSSLKSYKRAEPYSLALKGQAAIAAIIFLRDISIILTRRTSFLTPLATLISGAMAAFYLYKIALDGKQSKGSDLGHGAEG >Potri.006G108700.5.v4.1 pep chromosome:Pop_tri_v4:6:8445648:8449623:1 gene:Potri.006G108700.v4.1 transcript:Potri.006G108700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108700.v4.1 MSVSMELLSAGCSTLPLKRPPVCSSSSMALLAPSLKFKSLLLKPSGHRLSFESSRAFVPKGLSVGFHSGNSLNRPIVAFAASHEDSIEVEKESEDRKLGGEESEEVWKQTLESFKEQALKLQSVSQEAYEIYSKKTMVVLQETSEKLKIQAEKAKSDLGELAKEFGEDGIQLLTVATENSPESVKEVVETLTSSTDNLNDVSKVRDFHLGIPYGLLLSTAGFLSFMLSGSISSLRFGVILGGMLLALSVSSLKSYKRAEPYSLALKGQAAIAAIIFLRDISIILTRRTSFLTPLATLISGAMAAFYLYKIALDGKQSKGSDLGHGAEG >Potri.006G108700.4.v4.1 pep chromosome:Pop_tri_v4:6:8445597:8449674:1 gene:Potri.006G108700.v4.1 transcript:Potri.006G108700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108700.v4.1 MSVSMELLSAGCSTLPLKRPPVCSSSSMALLAPSLKFKSLLLKPSGHRLSFESSRAFVPKGLSVGFHSGNSLNRPIVAFAASHEDSHSKIEVEKESEDRKLGGEESEEVWKQTLESFKEQALKLQSVSQEAYEIYSKKTMVVLQETSEKLKIQAEKAKSDLGELAKEFGEDGIQLLTVATENSPESVKEVVETLTSSTDNLNDVSKVRDFHLGIPYGLLLSTAGFLSFMLSGSISSLRFGVILGGMLLALSVSSLKSYKRAEPYSLALKGQAAIAAIIFLRDISIILTRRTSFLTPLATLISGAMAAFYLYKIALDGKQSKGSDLGHGAEG >Potri.014G174700.1.v4.1 pep chromosome:Pop_tri_v4:14:13018588:13023714:1 gene:Potri.014G174700.v4.1 transcript:Potri.014G174700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G174700.v4.1 MPTSFLASSFITISLFLLSYAPLHLSSSTTTNRRILHQPFFPQDSIPPTEPPSPSPPSPPSPPPPPSQIPFPTPTPNQSPFFPSYPSPPPPPSPTAFASFPANISSLILPQSSKPKPTSQKLLVVAISAVISALIVLGIIAFYYARRRRNQTNFSDNKTYGGSSNSNRLQVTADTRASSNSHKLRTSSTSSEFLYLGTLVNSRRLDESSNDNTNVRSDHHKLESPELRPLPPLNRDNSRQNYGSGNFDRDGNEEEEEEEFYSPRGSSGGRESSSGSRRGFSAAAAGFDAKSIESSSSHSSSTSASPARSHSLSISPPVSLSPRPKSPESVTVLAAQSLPPPSPSIMDAHNERESSSSSCASSPYASPKITLNLERNVLSSASTSPRVLNDLDRNNVIMRSPSLSPARILNSNLAQNRPSSSPSSVSTSPDRVLNDLARFNVNSPSLSSVSSSPDRDLERTPSPKISIDLDRTAQSPSLSVASSPERGFEKSLPTSPIVSNVFDRIEMSPSLSVAKSTFSSPRISNVSDHTRMSPTLLSSPSSSPDRKSPDVSPTMTSSVLGLNARISNVFEQLMSVPPPPPPPPPPPPPMLLPRRQWESPSVNALSTPTDQPISKPPALIPPSRPFVLQSTTNVSPIELPPSSKTMEDAEETPKPKLKPLHWDKVRASSDREMVWDHLRSSSFKLNEEMIETLFVVNTPKPKPATPHSVSLTPNQENRVLDPKKAQNIAILLRALNVTIEEVCEGLLEGNVDALGTELLESLLKMAPTKEEERKLKEYKDDSPTKLGHAEKFLKAVIDVPFAFKRVDAMLYVANFESEVEYLKRSFETLEAACEELRNSRMFLKLLEAVLKTGNRMNVGTNRGDAHAFKLDTLLKLVDVKGADGKTTLLHFVVQEIIRTEGARLSGTNNTPNSTSSEDAKCRKLGLQVVSGLSSELGDVKKAAAMDSDVLSSDVSKLSRGIENISEVVRLNETLGMVESCQRFSESMTRFMKMAEGELIRIQAQESVALSLVKEITEYFHGNSAKEEAHPFRIFMVVRDFLSVLDRVCKEVGMINERTVVSSAHKFPVPVNPMLPVPVNPTLPQVFSGSNARKQCDSSDDESASP >Potri.012G042800.1.v4.1 pep chromosome:Pop_tri_v4:12:3824833:3826525:-1 gene:Potri.012G042800.v4.1 transcript:Potri.012G042800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX67 MKTAFIFACVAVLTVVGVCQAGDLRKDFYRTSCPAAESIVKNITETRAASNPNLPAKLLRMHFHDCFVRGCDASILINSANSTAEKDAIPNLSLANFDVIDEIKTELENKCAGKVSCADILALAARDAVSFQFKKPMWEVLTGRRDGNVSVASEVLTNIPSPFLNFSSLVQSFKSKGLTVHDLVVLSGAHTIGVGHCNLFSNRLYNFTGKADQDPSLNSTYAAFLKTKCQSLSDRTTTVEMDPGSSQNFDASYFVILKQQKGLFQSDAALLTDKTSSNIVGELVKSTDFFKEFSQSMKRMGAIGVLTGNSGEIRKTCGVINS >Potri.003G096300.3.v4.1 pep chromosome:Pop_tri_v4:3:12224340:12229727:1 gene:Potri.003G096300.v4.1 transcript:Potri.003G096300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096300.v4.1 MELFPAQPDLSLQISPPNSKPTSTWRRTEEEMDLGFWTRALDSRNSISSMAKPDTCFELSLSNPKVSEPNSNHFHNILQNSNNCNGNNLFHSFQQNQYTHHHHQQNHLHNHHPVLFQQQQQQGLSQELGFLRPIRGIPVYQNPPSPFPFSQQTFDNTCSQASSLAANNSSATSISPYQSQGSMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAAPSALSDVFDNGSSGDTSEDLVFDIENPRRSEMSMQQGRPNAHQDKDYQALWSNSSREAWLHGKLKDSDGNLPPLEQKGMDPKCLSYEKISDVSSSTVSGTSPKKPNLEFTLGRPH >Potri.003G096300.4.v4.1 pep chromosome:Pop_tri_v4:3:12224333:12229385:1 gene:Potri.003G096300.v4.1 transcript:Potri.003G096300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096300.v4.1 MELFPAQPDLSLQISPPNSKPTSTWRRTEEEMDLGFWTRALDSRNSISSMAKPDTCFELSLSNPKVSEPNSNHFHNILQNSNNCNGNNLFHSFQQNQYTHHHHQQNHLHNHHPVLFQQQQQQGLSQELGFLRPIRGIPVYQNPPSPFPFSQQTFDNTCSQASSLAANNSSATSISPYQSQGSMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAAPSALSDVFDNGSSGDTSEDLVFDIENPRRSEMSMQQGRPNAHQDKDYQALWSNSSSREAWLHGKLKDSDGNLPPLEKGMDPKCLSYEKISDVSSSTVSGTSPKKPNLEFTLGRPH >Potri.003G096300.1.v4.1 pep chromosome:Pop_tri_v4:3:12224274:12229729:1 gene:Potri.003G096300.v4.1 transcript:Potri.003G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096300.v4.1 MELFPAQPDLSLQISPPNSKPTSTWRRTEEEMDLGFWTRALDSRNSISSMAKPDTCFELSLSNPKVSEPNSNHFHNILQNSNNCNGNNLFHSFQQNQYTHHHHQQNHLHNHHPVLFQQQQQQGLSQELGFLRPIRGIPVYQNPPSPFPFSQQTFDNTCSQASSLAANNSSATSISPYQSQGSMRSRFMSRFPAKRSMRAPRMRWTTTLHARFVHAVELLGGHERATPKSVLELMDVKDLTLAHVKSHLQMYRTVKTTDRAAAPSALSDVFDNGSSGDTSEDLVFDIENPRRSEMSMQQGRPNAHQDKDYQALWSNSSSREAWLHGKLKDSDGNLPPLEQKGMDPKCLSYEKISDVSSSTVSGTSPKKPNLEFTLGRPH >Potri.019G099900.7.v4.1 pep chromosome:Pop_tri_v4:19:13659638:13662424:1 gene:Potri.019G099900.v4.1 transcript:Potri.019G099900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099900.v4.1 MTRMTTPFEFNVGDRFNPNDEELTYHYLMQKLLGNDHLVSRIPLLDLYQFDPSDLPYFAGTDSNDGEGHFFSPLHTKLGTNNGRINRSTGAGSWLATGKHCEITSQHNGEVNSGIRKIYVYFKDGIKYVMHEYSIPNLSTSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLASGVMKKKMSVKKSEGPSKKVKRTDEKADMPYNKENITPVPDEGSDLENWITSDKSDFSTYNNIIDNKDQQTEKSTCDNGGTPASAYPVADFTTLEDQVDPEILPHLQSFPGYDQLDYSRYDHFTQVDPSLTEKVSTNNGPAGTSMFVPEDDQFNEPETFWKYLLSNTL >Potri.019G099900.4.v4.1 pep chromosome:Pop_tri_v4:19:13659654:13662424:1 gene:Potri.019G099900.v4.1 transcript:Potri.019G099900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099900.v4.1 MTRMTTPFEFNVGDRFNPNDEELTYHYLMQKLLGNDHLVSRIPLLDLYQFDPSDLPYFAGTDSNDGEGHFFSPLHTKLGTNNGRINRSTGAGSWLATGKHCEITSQHNGEVNSGIRKIYVYFKDGIKYVMHEYSIPNLSTSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLASGVMKKKMSVKKSEGPSKKVKRTDEKADMPYNKENITPVPDEGSDLENWITSDKSDFSTYNNIIDNKDQQTEKSTCDNGGTPASAYPVADFTTLEDQVDPEILPHLQSFPGYDQLDYSRYDHFTQVDPSLTEKVSTNNGPAGTSMFVPEDDQFNEPETFWKYLLSNTL >Potri.019G099900.2.v4.1 pep chromosome:Pop_tri_v4:19:13659654:13662425:1 gene:Potri.019G099900.v4.1 transcript:Potri.019G099900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099900.v4.1 MTRMTTPFEFNVGDRFNPNDEELTYHYLMQKLLGNDHLVSRIPLLDLYQFDPSDLPYSNDGEGHFFSPLHTKLGTNNGRINRSTGAGSWLATGKHCEITSQHNGEVNSGIRKIYVYFKDGIKYVMHEYSIPNLSTSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLASGVMKKKMSVKKSEGPSKKVKRTDEKADMPYNKENITPVPDEGSDLENWITSDKSDFSTYNNIIDNKDQQTEKSTCDNGGTPASAYPVADFTTLEDQVDPEILPHLQSFPGYDQLDYSRYDHFTQVDPSLTEKVSTNNGPAGTSMFVPEDDQFNEPETFWKYLLSNTL >Potri.019G099900.8.v4.1 pep chromosome:Pop_tri_v4:19:13659638:13662424:1 gene:Potri.019G099900.v4.1 transcript:Potri.019G099900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099900.v4.1 MTRMTTPFEFNVGDRFNPNDEELTYHYLMQKLLGNDHLVSRIPLLDLYQFDPSDLPYSNDGEGHFFSPLHTKLGTNNGRINRSTGAGSWLATGKHCEITSQHNGEVNSGIRKIYVYFKDGIKYVMHEYSIPNLSTSLVLCKVMKKMPSKKSGGTCKKVKKRKEAAELPLPICNEDNSTLASGVMKKKMSVKKSEGPSKKVKRTDEKADMPYNKENITPVPDEGSDLENWITSDKSDFSTYNNIIDNKDQQTEKSTCDNGGTPASAYPVADFTTLEDQVDPEILPHLQSFPGYDQLDYSRYDHFTQVDPSLTEKVSTNNGPAGTSMFVPEDDQFNEPETFWKYLLSNTL >Potri.011G122800.1.v4.1 pep chromosome:Pop_tri_v4:11:15316794:15319127:1 gene:Potri.011G122800.v4.1 transcript:Potri.011G122800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122800.v4.1 MWSRVLNIVLAWTKSSRWRRASRCKRLIEQLQLHLAAQKHRRESIIRQSRADIAQLLQNDRLQQALTRVQQLYKDQCLLAAYDQINQLCECIITSMPHISQQQAWQCLPIDVSQAISNLIFASSRCGDLPELHMLRSLFKIRYGSKFETTNVELLPGNLVDSKMKENLSVNSVPEDVKLWLINGISYEYNIHLGFQDFGHSFRPQWQEKAEVLDLDIQDICSDSDESSIPASKPRTPTTTKSLYNDMDRSSTDSLGRSHIKTKKNEVLIRNLEASATSTSSYGNLPIHQTSFIYLDDMEVKSLSQGHSSSKKAPRSVVDSWNSSTSPSSVSNVTKRPAKPRLGTKPVPPPLSNDHYRISVKGRSSLDSSIDDWKAQSGSSSSSSHVHPKLPNYEDVIAKFTDIKAEYRHRKSFYY >Potri.017G053600.2.v4.1 pep chromosome:Pop_tri_v4:17:4034894:4037309:1 gene:Potri.017G053600.v4.1 transcript:Potri.017G053600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053600.v4.1 MAGKQQVTKSSELSICDSYFENIQSRKKLPFSLQETLTAAFAEIPASSFPPVPGGKVIEILADSSVADAVRILSECNIMAAPVKKVDAGDSLDWRDRYLGIIDYSAIVLWVLESAELAAVALAATSAAAAGIGTGAVGALGAVALGLTGPVAVAGLTAAAVGAAVVGGVAAEKGAGKDASTAADNLGQDFYKVLLQEEPFKSTTVGSIITSYRWSPFLPVTTNSSMLSILLLLSKYRLRNVPVIEPGKPDIQNFITQSAIVQGLEGCKGRDWFDCIAAQPISNVGLPFVSANEVVSVQSGELILEAFKKMKDNKIGGLPVVEGPNKKIIGNLSIRDIRHLLLKPELFSNFRQHTVMDFMNAIVSTTKGTGSVIPPITCKPDATLGSLIHALSSRSGHRIHVVNQSEEVVGVITLRDVISCFVYEPPNHFDSYFSFSTKEMLDE >Potri.017G053600.3.v4.1 pep chromosome:Pop_tri_v4:17:4034699:4037309:1 gene:Potri.017G053600.v4.1 transcript:Potri.017G053600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G053600.v4.1 MAGKQQVTKSSELSICDSYFENIQSRKKLPFSLQETLTAAFAEIPASSFPPVPGGKVIEILADSSVADAVRILSECNIMAAPVKKVDAGDSLDWRDRYLGIIDYSAIVLWVLESAELAAVALAATSAAAAGIGTGAVGALGAVALGLTGPVAVAGLTAAAVGAAVVGGVAAEKGAGKDASTAADNLGQDFYKVLLQEEPFKSTTVGSIITSYRWSPFLPVTTNSSMLSILLLLSKYRLRNVPVIEPGKPDIQNFITQSAIVQGLEGCKGRDWFDCIAAQPISNVGLPFVSANEVVSVQSGELILEAFKKMKDNKIGGLPVVEGPNKKIIGNLSIRDIRHLLLKPELFSNFRQHTVMDFMNAIVSTTKGTGSVIPPITCKPDATLGSLIHALSSRSGHRIHVVNQSEEVVGVITLRDVISCFVYEPPNHFDSYFSFSTKEMLDE >Potri.019G031000.2.v4.1 pep chromosome:Pop_tri_v4:19:4315610:4320443:1 gene:Potri.019G031000.v4.1 transcript:Potri.019G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G031000.v4.1 MKRPGMRNEPLSDKVHKYRGVLLVISIPMLLIAFVLLVMPSREDYEYGGGVSRKMSPNLVRDSRSYAVIFDAGSSGSRVHVFCFDRNLDLVPIGKELELFVQLKPGLSAYANNPQEAAKSLASLLDKAESSVPKELRPKTPVRVGATAGLRALGMEASDRILQAVRDFLRAKSTLKSEANGVTVLDGSQEGSYQWVTINYLLGNLGKKYSNTVGVVDLGGGSVQMAYAISEMDAAKAPRISDGEDTYVKEMFLMGTKYYLYVHSYLHYGLLAARAEILDASEESSNPCILGGYDGVYNYGGKDHKASASPSGSNLDECRRVALNALKVNESTCTNMKCTFGGVWNGGGGDGQKNMFVASFFFDRAAQAGFVDSTLPVVKVRPVDFEHAAKRACGTKLENAKSIYHSLDENDLPYICMDLVYQYTLLVEGFAMDPLQDMMLVKKVQYRDSLVEAAWPLGSAIEAVSSPA >Potri.006G274900.1.v4.1 pep chromosome:Pop_tri_v4:6:26653898:26657651:-1 gene:Potri.006G274900.v4.1 transcript:Potri.006G274900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G274900.v4.1 MCLTGSESPVVVVLSGSMEPGFKRGDILFLHMSKAPVRIGEIVVYNVEGRPVPIVHRVIEVHEEENNGNVDILPKGDANPLDDRSLYANGQHWLKPQQIIGRAVALRDNSG >Potri.016G001800.3.v4.1 pep chromosome:Pop_tri_v4:16:97116:98269:-1 gene:Potri.016G001800.v4.1 transcript:Potri.016G001800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G001800.v4.1 MISLYRNIIQVMEQENIKRKRGREEEEEEVKEESSNNKSTKMQADHLSHPRSVRNDIKIERRSCNHEEDSNTRNEITGDNNFALGVFDFPWLKEEGSSMISKADEEEWCLEDTIFSSSLYYSTTSAAEFSGQHLWETTPEATCIGSCIDVPVDKFEEINAWSLEMEATVD >Potri.013G092900.1.v4.1 pep chromosome:Pop_tri_v4:13:9529964:9533752:-1 gene:Potri.013G092900.v4.1 transcript:Potri.013G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092900.v4.1 MSGIVFQQQQLVFLSHQKCCSVPRIMGQRVSFPNLQHGYAASCPKFVKLSPHATVSGSGGAQYSEQWLDARTKQKREKIAGIDQDELLDPSLLADPDSCFCEFRGVQIHHKVYDAESQANNSSQSHTLSQVAHNNSWKLGLPMILLHGFGASVYSWSRAMKPLAELTGSKVLAFDRPAFGLTSRVDASTHLSTGTNDAKPLNPYSLSFSVLATLYFIDFLAAEKIVLVGHSAGSLVAIDSYFEAPECIAALILIAPAILAPRAVQKLAEQDKVGRENQTEGDISNSNMLAKPFIKIFKILLKFITVITQAIVQMAKGMTDMLNSIYKKALSAILRSAFGVMLIRMIIDKFGRGAVRIAWHDPNQVTEHVLNGYTKPLRAKGWDKALAEFTAATLTNAESESKPSMSKRLNEISCPVLIITGDNDKIVPSWNAKGLSQAIPGSCLEVIKNCGHLPHEEKVEEFVSIVYKFLHGAFGDQKELSLQAGAVV >Potri.017G057900.1.v4.1 pep chromosome:Pop_tri_v4:17:4633440:4646871:-1 gene:Potri.017G057900.v4.1 transcript:Potri.017G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G057900.v4.1 MISSSRLKISFFQFLSFYLIIQFTLISSNFFEPFNVTYDHRALIIDGRRRILNSAGIHYPRATPEMWPDLIAKSKEGGADVVQTYVFWGGHEPVKGQYYFEGRYDLVKFVKLVGESGLYLHLRIGPYVCAEWNFGGFPVWLRDVPGVVFRTDNAPFKEEMQKFVTKIVDLMREEMLLSWQGGPIIMFQIENEYGNIEHSFGQGGKEYMKWAAGMALALDAGVPWVMCKQTDAPENIIDACNGYYCDGFKPNSPKKPIFWTEDWDGWYTTWGGRLPHRPVEDLAFAVARFFQRGGSFQNYYMYFGGTNFGRTSGGPFYITSYDYDAPIDEYGLLSEPKWGHLKDLHAAIKLCEPALVAADSAQYIKLGPKQEAHVYGGSLSIQGMNFSQYGSQSKCSAFLANIDERQAATVRFLGQSFTLPPWSVSILPDCRNTVFNTAKVAAQTHIKTVEFVLPLSNSSLLPQFIVQNEDSPQSTSWLIAKEPITLWSEENFTVKGILEHLNVTKDESDYLWYFTRIYVSDDDIAFWEKNKVSPAVSIDSMRDVLRVFINGQLTGSVVGHWVKAVQPVQFQKGYNELVLLSQTVGLQNYGAFLERDGAGFKGQIKLTGFKNGDIDLSNLSWTYQVGLKGEFLKVYSTGDNEKFEWSELAVDATPSTFTWYKTFFDAPSGVDPVALDLGSMGKGQAWVNGHHIGRYWTVVSPKDGCGSCDYRGAYSSGKCRTNCGNPTQTWYHVPRAWLEASNNLLVVFEETGGNPFEISVKLRSAKVICAQVSESHYPPLRKWSRADLTGGNISRNDMTPEMHLKCQDGHIMSSIEFASYGTPNGSCQKFSRGNCHASNSSSVVTEACQGKNKCDIAISNAVFGDPCRGVIKTLAVEARCISSSNIGYSRY >Potri.007G086100.1.v4.1 pep chromosome:Pop_tri_v4:7:11086340:11087238:-1 gene:Potri.007G086100.v4.1 transcript:Potri.007G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G086100.v4.1 MASFFQLLSYLYTMAIALFDLLLLRAVLLIRSAVPGSMVTHSDKLFRIITTQYFNLVEEKNPTICYSENFRHHESRECAVCLSEFLEGESLRKLKCKHTFHKDCLDKWLEEYLATCPLCRTRVLPEGLVANYRLLKDHIENGGSYDDATFMLSALYGDFLRRLF >Potri.004G003650.1.v4.1 pep chromosome:Pop_tri_v4:4:227983:229402:1 gene:Potri.004G003650.v4.1 transcript:Potri.004G003650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003650.v4.1 MEVPMKRLVRKLDNDGNSNVHTIRRKRKDLYLMRRWKALHSYYRKSCSGLSVEKFTPSHFLNHESTKKLTAEGFFVAANSELRNLAKEWLKTTAEGCSVVAVLIATVAFAAAYTAPGGSNQSIVVPVLLNKPLFVWFSL >Potri.005G207600.1.v4.1 pep chromosome:Pop_tri_v4:5:21215997:21218867:-1 gene:Potri.005G207600.v4.1 transcript:Potri.005G207600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G207600.v4.1 MGEESEYQTPLLELDSHSRIRDLSSVTIEEFLEHGPVAVRWWPRLVAWESRLLWILSGSSIIVSVSTFMLSFVTQMFSGHLGALELAGASIANVGIQGLAYGIMLGMASAVQTVCGQAYGAKKYSSMGIICQRAIILHLGAAFLLTFLYWFSGPVLRAIGQTESIAEQGEIFARGLIPQLYAFAFSCPMQRFLQAQNIVNPLAYMSVAVFLLHILLTWIVVYVLQYGLLGAALTLSFSWWLFVILNGLYIILSPSCKETWTGLSASAFTGIWPYFKLTVSSAVMLCLEIWYSQGLVLISGLLTDPTVALDSISICMNYLNWDMQFMLGLSASTSVRVGNELGAGHPKVAKLSVMVVNGTSIVISIIFSAIVLIFRVGLSKLFTTDYEVIDAVSDLTPLLAISVFLNGIQPILSGVAIGSGWQATVAYVNLATYYVIGLPIGCVLAFKTSLGVAGIWWGMVAGVLLQTITLIILTARTNWDTEVQNAAERVKKSANEDFSGLVEAI >Potri.009G070400.1.v4.1 pep chromosome:Pop_tri_v4:9:6949623:6952117:-1 gene:Potri.009G070400.v4.1 transcript:Potri.009G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G070400.v4.1 MDSFNESTGFRYNPNSNTMVDADGDSEFSGILEIYVHHARNIHNICIYDNQDVYAKFSLTYNPDETLSTRIINGGGKNPEFNENFMMKLTQLDAVLKCEIWMLSRVRNYMEDQLLGFALVPISQVSGKGKVTQDYSLSSTDLFHSPAGTVQLSLSLNTSLPVKPSATAANSSISSEVVLLDRKISEVILEPVEYSRIEFPDINVVRENQQMVSEYFDGMGSRPGSFLYLGASPQPAVHDYEMTTNSSEENHGGSGSPNGSTQNSSFLSSTTTSLSDDRNSSDSVERKIRLGGQSSNSLNVLITTEANHNSCACPDTPTSKKGNEVRDEKESNFTSKEEETNKEGNMSSIKFGQVFSAPLGNINLEAEQSAMQQQIVDMYMRSMQQFTESLAKMKLPMDLDKPEPEDRGDVIQSHRNELELEKKKKDGGRVFYGSRAFF >Potri.005G203900.1.v4.1 pep chromosome:Pop_tri_v4:5:20904408:20908997:1 gene:Potri.005G203900.v4.1 transcript:Potri.005G203900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G203900.v4.1 MATAAAAAQRSQLPSISTNASPSPSSSSPLQRLSTFKTPSASSPPPSSTTATSSASPLDSFSKDPFLSPFLSPSFSSTSFSSAALSSGSPASTAEHLHHAIRLLESQLRSEVLSRHPHLFHQLSSIKEAELSLSTLRSAISSMQSSIRRVRSELSDPHNAIKSKTIQLSNLHRTNQALQHTIRALRLSKKLRDLISASESEPEKLDLAKAAQLHYEILTMCNEYDLRGIDMVDEELNWVKEIGEKLRSQAMKVLERGMEGLNQAEVGTGLQVFYNLGELKVTVEQLVNKYKGMGVKSVGLALDMKAISASGGGYGPGGIRGSGTPQIGGGAKAREALWQRMGNCMDRLHSIVVAVWHLQRVLSKKRDPFTHVLLLDEVIKDGDPMLTDRVWEALVKAFASQMKSAFTASSFVKEIFAMGYPKLFSLTENLLERISHDTDVKGVLPAITLDGKEQMVAAIEIFQTAFLAMCLSRLSDLVNTVFPVSSRGSVPSKEQISRIISRIEEEVEAVQLDGRLTLLVFHEIGKVLLLLSERVEYQISAGHEARQITGPATAAQVRNFALCQHLQEIHTRISSMIAGLPTIAVDVLSPALGAIYGVARDSVTPLFKAMIDRLESCILQIHDQNFGAHGMDAAMDNNASPYMEELQKCILHFRTEFLSRLLPSSASATTAGTETICTQLVRSMASRVLIFFIRHASLVRPLSESGKLRMARDMAELELTVGQYLFPVQQLGPPYRALRAFRPLIFLETSQLGASPLLQDLPPSVILHHLYTRGPDELESPLQRNRLTPLQYSLWLDSQGEDQIWKGIKATLDDYAAKVRSRGDKEFSPVYPLMHHLGSLLTENAPVSQRH >Potri.012G062100.3.v4.1 pep chromosome:Pop_tri_v4:12:6466599:6474713:-1 gene:Potri.012G062100.v4.1 transcript:Potri.012G062100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062100.v4.1 MRWERVQQQLQQGVAIEELNGPRKRWGHTCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTVNQTWSQPMLNGTLPVPRDSHSCTTVGENLYVFGGTDGMNPLKDLRILDTSSHTWISPNVRGDGPDAREGHSAALVGKRLFIFGGCGKSSDNSHEIYYNDLYILNTETFVWKQAITTGTPPSARDSHTCSSWRDKIIVIGGEDGHDYYLSDVHILDTETLVWKELNTSGQKLPPRAGHSTVSFGKNLFVFGGFTDAQNLYDDLHMLNVETGIWTMVMTTGDGPSARFSVAGDCLDPLKGGVLVFIGGCNKSLEALEDMYYLHTGLTRVQDEWRMEKLSLKKQLKLKCQEQNLNSSVHEKVLVRIDTNADFHHTFLSYAQPGKK >Potri.012G062100.2.v4.1 pep chromosome:Pop_tri_v4:12:6465264:6474710:-1 gene:Potri.012G062100.v4.1 transcript:Potri.012G062100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062100.v4.1 MRWERVQQQLQQGVAIEELNGPRKRWGHTCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTVNQTWSQPMLNGTLPVPRDSHSCTTVGENLYVFGGTDGMNPLKDLRILDTSSHTWISPNVRGDGPDAREGHSAALVGKRLFIFGGCGKSSDNSHEIYYNDLYILNTETFVWKQAITTGTPPSARDSHTCSSWRDKIIVIGGEDGHDYYLSDVHILDTETLVWKELNTSGQKLPPRAGHSTVSFGKNLFVFGGFTDAQNLYDDLHMLNVETGIWTMVMTTGDGPSARFSVAGDCLDPLKGGVLVFIGGCNKSLEALEDMYYLHTGLTRVQDEWRMEKLSLKKQLKLKCQEQNLNSSVHEKVLVRIDTNADFHHTFLSYAQPGRENFPLNQTQRDHGKKTFQAKVTESLPHGYTIETIVDGKLLRGILFSNKQSSPQIVSHNNRRKRASAESGVVLNGDYNSKSKTYKTLIQDAVENIQPDNAHGMESTAQEPKTEAAAVPDLKNLASSNVHLSHEVPPNAEPPATPTLNLNDDMTSDTGFLKEKSTAAEGLPLCH >Potri.012G062100.1.v4.1 pep chromosome:Pop_tri_v4:12:6466755:6474676:-1 gene:Potri.012G062100.v4.1 transcript:Potri.012G062100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062100.v4.1 MRWERVQQQLQQGVAIEELNGPRKRWGHTCNSIKGGRFLYVFGGYGKDNCQTNQVHVFDTVNQTWSQPMLNGTLPVPRDSHSCTTVGENLYVFGGTDGMNPLKDLRILDTSSHTWISPNVRGDGPDAREGHSAALVGKRLFIFGGCGKSSDNSHEIYYNDLYILNTETFVWKQAITTGTPPSARDSHTCSSWRDKIIVIGGEDGHDYYLSDVHILDTETLVWKELNTSGQKLPPRAGHSTVSFGKNLFVFGGFTDAQNLYDDLHMLNVETGIWTMVMTTGDGPSARFSVAGDCLDPLKGGVLVFIGGCNKSLEALEDMYYLHTGLTRVQDEWRMEKLSLKKQLKLKCQEQNLNSSVHEKVLVRIDTNADFHHTFLSYAQPGRENFPLNQTQRDHGKKTFQAKVTESLPHGYTIETIVDGKLLRGILFSNKQSSPQIVSHNNRRKRASAESGVVLNGDYNSKSKTYKTLIQDAVENIQPDNAHGMESTAQEPKTEAAAVPDLKNLASSNVHLSHEVPPNAEPPATPTLNLNDDMTSDTGFLKEKSTAAEGLPLCH >Potri.019G044500.2.v4.1 pep chromosome:Pop_tri_v4:19:6203035:6208390:-1 gene:Potri.019G044500.v4.1 transcript:Potri.019G044500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044500.v4.1 MVVIGEGQGERERARMGIDAMKIRVQIRKFSVILFRLCYRSVCKHPFLVGMVCYLLLLYSSFPVLFSLLVSASPVLICTAILLGTLLCFGEPNAPDIETEKEEEEVSHEILSLKKEGVVEDATFVVQKDESFRLERYVGNIDIEKESLVESNNKMIEGHGYLGDYVPLIYETLWEVQFEKQVIEEVKSDFDNLESGKKREIQEENLEIMEGTSHEEGTEDQYSLLQNFRDENLEDNSAVEFNETQNGYLEFSQESSWKPANHDDEEDDDEASDFGSDGAENSSPDASMADILLMLDELNPLLDEEAPRPAHISHDGSDAGSEGSHKSDERSIESEEDVENQADEDEDGDDDNDNKEEAQGSKEDESKSAIKWTEDDQKNLMDLGTLELERNLRLESLISRRRACRNMRLMAEKNLIDLDAADLPINVPSISTARQNPFDFPYNDVPGSAPSVLLPRQNPFDLPYNSHEEKPDLKGDSFQQGFSTIQHRESFFRRPESFSVRPSTLGGAWQERQDLQWKPYFVPERFTTEGTSYHTFQQQLSEASDSKVSSVPDSESISSALEEEDKRINEVDVSHETEMISNVDHASLLVEPGSLSSEEVDSVDDEQVGEGDFHLDGAEITPGVFENHHEVDSGLSESEGATPKELNPSEILLRMGPGEEDYSSRSSLSSLSEIDEKISDVKRGSMCLEPANFQIEGSHISTQTSLDSDFHFVSGLADDNEYREPILESRNDHIDECDISTQSSLDSDFHFTSRMMDESSHREPAVESTGYQIADSGILKESSPEFDLYVMSGLADDNQEHVLEPRGHHIVESGISSETSHNSDFHLTTAVVDDGQHSDTVYDSSPPSIETFLSFSSLSSDTHRSEMGSPLAMVEDKESEAHIESLEKGASGYKEMLEGSSQEQLPDESEIGSGVVENTGDEITELGLSGVDSNFYGQNGVMKPESAVENVSVDSPASLSDDGSAEEVVAYKEESSHHKQDQLHSLSLVTEIVVDGNKPLDSAYSSYKMTSEECNLPVLEKDYPPLVVEQVSADTELSASEAKPVKDHGLITEKSLGLEQDQVLEHYNYPGETCGPPIYEDNVNEEEDEMKEIDEELLSELDTVGDFSVKEVVGESLHDEQVPENTSVSPEFSFLPKNSSQTEVKPELPVLEVRSVEDIDLAFKQLHEGVNVEEVILPSMVEEQLAEDEHKLQTNSDL >Potri.019G044500.3.v4.1 pep chromosome:Pop_tri_v4:19:6202370:6208260:-1 gene:Potri.019G044500.v4.1 transcript:Potri.019G044500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G044500.v4.1 MGIDAMKIRVQIRKFSVILFRLCYRSVCKHPFLVGMVCYLLLLYSSFPVLFSLLVSASPVLICTAILLGTLLCFGEPNAPDIETEKEEEEVSHEILSLKKEGVVEDATFVVQKDESFRLERYVGNIDIEKESLVESNNKMIEGHGYLGDYVPLIYETLWEVQFEKQVIEEVKSDFDNLESGKKREIQEENLEIMEGTSHEEGTEDQYSLLQNFRDENLEDNSAVEFNETQNGYLEFSQESSWKPANHDDEEDDDEASDFGSDGAENSSPDASMADILLMLDELNPLLDEEAPRPAHISHDGSDAGSEGSHKSDERSIESEEDVENQADEDEDGDDDNDNKEEAQGSKEDESKSAIKWTEDDQKNLMDLGTLELERNLRLESLISRRRACRNMRLMAEKNLIDLDAADLPINVPSISTARQNPFDFPYNDVPGSAPSVLLPRQNPFDLPYNSHEEKPDLKGDSFQQGFSTIQHRESFFRRPESFSVRPSTLGGAWQERQDLQWKPYFVPERFTTEGTSYHTFQQQLSEASDSKVSSVPDSESISSALEEEDKRINEVDVSHETEMISNVDHASLLVEPGSLSSEEVDSVDDEQVGEGDFHLDGAEITPGVFENHHEVDSGLSESEGATPKELNPSEILLRMGPGEEDYSSRSSLSSLSEIDEKISDVKRGSMCLEPANFQIEGSHISTQTSLDSDFHFVSGLADDNEYREPILESRNDHIDECDISTQSSLDSDFHFTSRMMDESSHREPAVESTGYQIADSGILKESSPEFDLYVMSGLADDNQEHVLEPRGHHIVESGISSETSHNSDFHLTTAVVDDGQHSDTVYDSSPPSIETFLSFSSLSSDTHRSEMGSPLAMVEDKESEAHIESLEKGASGYKEMLEGSSQEQLPDESEIGSGVVENTGDEITELGLSGVDSNFYGQNGVMKPESAVENVSVDSPASLSDDGSAEEVVAYKEESSHHKQDQLHSLSLVTEIVVDGNKPLDSAYSSYKMTSEECNLPVLEKDYPPLVVEQVSADTELSASEAKPVKDHGLITEKSLGLEQDQVLEHYNYPGETCGPPIYEDNVNEEEDEMKEIDEELLSELDTVGDFSVKEVVGESLHDEQVPENTSVSPEFSFLPKNSSQTEVKPELPVLEVRSVEDIDLAFKQLHEGVNVEEVILPSMVEEQLAEDEHKLQTNSDL >Potri.006G096000.6.v4.1 pep chromosome:Pop_tri_v4:6:7294011:7306633:1 gene:Potri.006G096000.v4.1 transcript:Potri.006G096000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096000.v4.1 MVVTMDLNVSPVPEGDEDNSEGHVEEYSPAGERSESAVETARREREERRKRLRNECSDDRPVHVSQQPVHDQFFPSKRYKSYDKSKLPPGWLDCPAFGQEINCIVPSKVPLGEAYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTVDLKKEGIKHVKIYCKGRDAVPENTAVNTFVYEVMQFLLRQKHTKKYILVHCTHGHNRTGYMIAHYLMRSQLMSVTQAIDIFAEARPPGIYKPDYIDALYSFYHERKPITVVCPPTPEWKRSSEFDLNGEAVPDDDDDDGGSATSVHGNHEMAVTMTNDDVLGDEIPNDQLDALRQFCYQALKLNGRGNSQFPGSHPVSLSRDNLQLLRQRYYYATWKADGTRYMMLITVDGCFLIDRNFKFRRVQMRFPCRYTNEGPADKMHHFTLLDGEMIIDTMPDSQKQERRYLIYDMMAVNQVSVIERPFHERWKMLEKEVIEPRNTERQSIYQSRNPNYRYDLEPFRVRRKDFWLLSTVTKVLKEFIPRLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVDDDDRQLLYLHERGKKKLMEGHRVSFKDALDPSSYSGKIVECSWDSEERVWVCMRIRTDKSTPNDFNTYKKVMRSINDNITEDVLLNEIYEIVCLPMYADRIRIESKAQQHANAVRRK >Potri.006G096000.5.v4.1 pep chromosome:Pop_tri_v4:6:7294109:7306624:1 gene:Potri.006G096000.v4.1 transcript:Potri.006G096000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096000.v4.1 MVVTMDLNVSPVPEGDEDNSEGHVEEYSPAGERSESAVETARREREERRKRLRNECSDDRPVHVSQQPVHDQFFPSKRYKSYDKSKLPPGWLDCPAFGQEINCIVPSKVPLGEAYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTVDLKKEGIKHVKIYCKGRDAVPENTAVNTFVYEVMQFLLRQKHTKKYILVHCTHGHNRTGYMIAHYLMRSQLMSVTQAIDIFAEARPPGIYKPDYIDALYSFYHERKPITVVCPPTPEWKRSSEFDLNGEAVPDDDDDDGGSATSVHGNHEMAVTMTNDDVLGDEIPNDQLDALRQFCYQALKLNGRGNSQFPGSHPVSLSRDNLQLLRQRYYYATWKADGTRYMMLITVDGCFLIDRNFKFRRVQMRFPCRYTNEGPADKMHHFTLLDGEMIIDTMPDSQKQERRYLIYDMMAVNQVSVIERPFHERWKMLEKEVIEPRNTERQSIYQSRNPNYRYDLEPFRVRRKDFWLLSTVTKVLKEFIPRLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVDDDDRQLLYLHERGKKKLMEGHRVSFKDALDPSSYSGKIVECSWDSEERVWVCMRIRTDKSTPNDFNTYKKVMRSINDNITEDVLLNEIYEIVCLPMYADRIRIESKAQQHANAVRRK >Potri.006G096000.7.v4.1 pep chromosome:Pop_tri_v4:6:7294109:7306111:1 gene:Potri.006G096000.v4.1 transcript:Potri.006G096000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G096000.v4.1 MVVTMDLNVSPVPEGDEDNSEGHVEEYSPAGERSESAVETARREREERRKRLRNECSDDRPVHVSQQPVHDQFFPSKRYKSYDKSKLPPGWLDCPAFGQEINCIVPSKVPLGEAYNDCIPPGKRYSFKQVIHQQRVLGRKLGLVIDLTNTTRYYSTVDLKKEGIKHVKIYCKGRDAVPENTAVNTFVYEVMQFLLRQKHTKKYILVHCTHGHNRTGYMIAHYLMRSQLMSVTQAIDIFAEARPPGIYKPDYIDALYSFYHERKPITVVCPPTPEWKRSSEFDLNGEAVPDDDDDDGGSATSVHGNHEMAVTMTNDDVLGDEIPNDQLDALRQFCYQALKLNGRGNSQFPGSHPVSLSRDNLQLLRQRYYYATWKADGTRYMMLITVDGCFLIDRNFKFRRVQMRFPCRYTNEGPADKMHHFTLLDGEMIIDTMPDSQKQERRYLIYDMMAVNQVSVIERPFHERWKMLEKEVIEPRNTERQSIYQSRNPNYRYDLEPFRVRRKDFWLLSTVTKVLKEFIPRLSHDADGLIFQGWDDPYVTRTHEGLLKWKYPEMNSVDFLFEVDDDDRQLLYLHERGKKKLMEGHRVSFKDALDPSSYSGKIVECSWDSEERVWVCMRIRTDKSTPNDFNTYKKVMRSINDNITEDVLLNEIYEIVCLPMYADRIRIESKAQQHANAVRRK >Potri.019G095932.1.v4.1 pep chromosome:Pop_tri_v4:19:13399775:13402861:-1 gene:Potri.019G095932.v4.1 transcript:Potri.019G095932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095932.v4.1 MSCFFEVFAYIWRFIIRNPHVSPSPSTPSTLTTAQPQGIKYDVFLSFRGEDTRVGFTSHLYAALKRKQILTFVDYQLVRGDEISASLLRTIEEAKLSVIVFSENYASSKWCLEELAKIFERRKNNGQIVIPVFYQVDPSNVRNQTGSFGDAFARLIKKKALTMDKEQSFRDALKDTATPAGWTLRESHPESQFIEKIVGDVLKKLHAMSSSHTMAGLFGIDVRVSEVESLLDMESPDVLIVGIWGMGGIGKTTIAEVVCSKVRSRFERIFFANFRQQSDLRRSFLSWLLGQETLDTMGSLSFRDSFVRDRLRRIRGLIVLDNVDNLMHLEEWRDLLDERNSSFGPGSKVLITSRDKQVLSNVVDETYKVQGLTDEQAIQLFSSKALKNCIPTSDHRHLIEQIGRHVQGNPLALKVLGSSLYGKSIEEWRSALNKLAQHPQIERALRISYDGLDSEQKSIFLDIAHFLTRSRWEKSRAIRILDVFYGRSVIFDINTLIDKCLINTSPSSLEMHDLLREMAFNIVRAESDFPGERSRLCHPRDVVQVLEENKELNKLKAYLWTGYRDTYT >Potri.019G095932.2.v4.1 pep chromosome:Pop_tri_v4:19:13399775:13408496:-1 gene:Potri.019G095932.v4.1 transcript:Potri.019G095932.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G095932.v4.1 MFAYIWRFIIRNPHVSPSPSTPSTLTTAQPQGIKYDVFLSFRGEDTRVGFTSHLYAALKRKQILTFVDYQLVRGDEISASLLRTIEEAKLSVIVFSENYASSKWCLEELAKIFERRKNNGQIVIPVFYQVDPSNVRNQTGSFGDAFARLIKKKALTMDKEQSFRDALKDTATPAGWTLRESHPESQFIEKIVGDVLKKLHAMSSSHTMAGLFGIDVRVSEVESLLDMESPDVLIVGIWGMGGIGKTTIAEVVCSKVRSRFERIFFANFRQQSDLRRSFLSWLLGQETLDTMGSLSFRDSFVRDRLRRIRGLIVLDNVDNLMHLEEWRDLLDERNSSFGPGSKVLITSRDKQVLSNVVDETYKVQGLTDEQAIQLFSSKALKNCIPTSDHRHLIEQIGRHVQGNPLALKVLGSSLYGKSIEEWRSALNKLAQHPQIERALRISYDGLDSEQKSIFLDIAHFLTRSRWEKSRAIRILDVFYGRSVIFDINTLIDKCLINTSPSSLEMHDLLREMAFNIVRAESDFPGERSRLCHPRDVVQVLEENKELNKLKAYLWTGYRDTYT >Potri.003G131400.1.v4.1 pep chromosome:Pop_tri_v4:3:14962912:14966567:-1 gene:Potri.003G131400.v4.1 transcript:Potri.003G131400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131400.v4.1 MKLNAQKDPEKIIWDQMRSPSTNPISGPQRTLPKLMIWLILLVSVSYVVYTLKLVSTSRACNDEPFTTNRHLSAISHNNSLPLIQNHTSLAIHRRENHEPRQETGLQHIVFGIAASAKLWEQRQNYIKIWFKPQEMRGIVWLDDKVKNQGREDNNLPPIKISSDTSRFSYTNKQGHRSAIRISRIVSETLRLGLKNVRWFVMGDDDTVFIAENLVRILRKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAVSYPLAKALDKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKEVGFHQYDVYGNLFGLLAAHPVTPLVSLHHLDVVEPIFPNATRVQALRWLTVPMKLDSAGLMQQSICYDKSKRWTVSVSWGFAVQIFRGVFSPREIEMPSRTFLNWYRKADYTAYAFNTRPVSRNPCQKPFVFYLSKVKFDSSLNTTVSEYSRHYVPHPACKWKMADPDKIETIVVHKKPDPHLWDRSPRRNCCRVMNSKKKGSVMINVGVCRDDEISEV >Potri.003G131400.2.v4.1 pep chromosome:Pop_tri_v4:3:14962968:14966233:-1 gene:Potri.003G131400.v4.1 transcript:Potri.003G131400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131400.v4.1 MKLNAQKDPEKIIWDQMRSPSTNPISGPQRTLPKLMIWLILLVSVSYVVYTLKLVSTSRACNDEPFTTNRHLSAISHNNSLPLIQNHTSLAIHRRENHEPRQETGLQHIVFGIAASAKLWEQRQNYIKIWFKPQEMRGIVWLDDKVKNQGREDNNLPPIKISSDTSRFSYTNKQGHRSAIRISRIVSETLRLGLKNVRWFVMGDDDTVFIAENLVRILRKYDHNQYYYIGSLSESHLQNIYFSYGMAYGGGGFAVSYPLAKALDKMQDRCIQRYPGLYGSDDRMQACMAELGVPLTKEVGFHQVIEFLAVFHCSR >Potri.001G184300.1.v4.1 pep chromosome:Pop_tri_v4:1:16340501:16343647:1 gene:Potri.001G184300.v4.1 transcript:Potri.001G184300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184300.v4.1 MGASVPASPEILLTILLFAMSCLWAFPEVAGAKHAGITRHYKFNIKLKNVTRLCHTKSMVTVNGKFPGPRVVAREGDRLVVKVVNHVPNNISIHWHGIRQLQSGWADGPAYITQCPIQTNQTYVYNFTVTGQRGTLFWHAHLSWLRASVYGPLIILPKRNVSYPFAKPHKEVTIMLGEWFNADTEAVISQALQTGGGPNVSEAYTFNGLPGPLYNCSENNTYKLKVKPGKTYLLRLINAALNDDLFFSIANHTFTVVEVDATYAKPFETNLLVITAGQTTNVLLKTKSIAPNASFYMLARPYFTGQGTFDNTTVAGILEYETSSNSTAFKSTLPPINATNVVANFTRKLRSLANSRFPVNVPQTVDKKFFFTVGLGNSPCPKNQTCQGPNGTKFAASVNNISMALPSSALLQSYFFKKSNGVYTSDFPSFPLHPFNYTGTPPNNTLVTNGNKLVVVPFNTSVEVVMQGTRIFGAESHPLHLHGFNFYVVGEGFGNFDPNNDPKNFNLVDPVERNTVGVPTAGWVAIRFYADNPGVWFMHCHFDVHLSWGLRMAWIVLDGTLPSQKLPPPPSDLPKC >Potri.011G006100.3.v4.1 pep chromosome:Pop_tri_v4:11:517898:520921:1 gene:Potri.011G006100.v4.1 transcript:Potri.011G006100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006100.v4.1 MSATELVSLLQFQKVTHIRLYDADPDMLKALAKTKIRVIISVPNNQLLAIGSSNATAASWIGKNVVAYYPQTVISAIAVGDEVLTTVPSSAPLLVPAIESLYSALVAANLHNLIKISTPHSASIILDPFPPSQAFFNQSWSSVMQPLLQFLSKTGSPLMMNLYPYYVFMQNKGVVPLENSLFKPLTPSKEMVDPNTLLHYTNVLDAMVDAAYYSMKNFNFTDVAVLVTESGWPSKGDSKEPYATIDNADTYNSNMIKHVLDRSGTPLHPEITSSVYLYELFNEDLRSPPVSEANWGLFYANATPVYLLHVSGSGTFLANDTTNQTYCIVMDGVDSKTLQAALDWACGPGQANCSEIQPGENCYQPNNVKNHASYAFDSYYQKEGRAAGSCDFKGVAMITTTDPSHGSCIFPGSKKITNKTRTVVNTTTPSNAAGGSRLISFRSSRISAMDKALQAFLTIIFSILLHIPFVVS >Potri.011G006100.2.v4.1 pep chromosome:Pop_tri_v4:11:517878:520769:1 gene:Potri.011G006100.v4.1 transcript:Potri.011G006100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006100.v4.1 MALSKLPLSTIFFLLCTSLFTISQALSSKIAVAQIKDQQDNEPFVGINIGVDVSNLMSATELVSLLQFQKVTHIRLYDADPDMLKALAKTKIRVIISVPNNQLLAIGSSNATAASWIGKNVVAYYPQTVISAIAVGDEVLTTVPSSAPLLVPAIESLYSALVAANLHNLIKISTPHSASIILDPFPPSQAFFNQSWSSVMQPLLQFLSKTGSPLMMNLYPYYVFMQNKGVVPLENSLFKPLTPSKEMVDPNTLLHYTNVLDAMVDAAYYSMKNFNFTDVAVLVTESGWPSKGDSKEPYATIDNADTYNSNMIKHVLDRSGTPLHPEITSSVYLYELFNEDLRSPPVSEANWGLFYANATPVYLLHVSGSGTFLANDTTNQTYCIVMDGVDSKTLQAALDWACGPGQANCSEIQPGENCYQPNNVKNHASYAFDSYYQKEGRAAGSCDFKGVAMITTTDPSKCFMLLFALNNHRSFILH >Potri.011G006100.1.v4.1 pep chromosome:Pop_tri_v4:11:517124:521375:1 gene:Potri.011G006100.v4.1 transcript:Potri.011G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006100.v4.1 MALSKLPLSTIFFLLCTSLFTISQALSSKIAVAQIKDQQDNEPFVGINIGVDVSNLMSATELVSLLQFQKVTHIRLYDADPDMLKALAKTKIRVIISVPNNQLLAIGSSNATAASWIGKNVVAYYPQTVISAIAVGDEVLTTVPSSAPLLVPAIESLYSALVAANLHNLIKISTPHSASIILDPFPPSQAFFNQSWSSVMQPLLQFLSKTGSPLMMNLYPYYVFMQNKGVVPLENSLFKPLTPSKEMVDPNTLLHYTNVLDAMVDAAYYSMKNFNFTDVAVLVTESGWPSKGDSKEPYATIDNADTYNSNMIKHVLDRSGTPLHPEITSSVYLYELFNEDLRSPPVSEANWGLFYANATPVYLLHVSGSGTFLANDTTNQTYCIVMDGVDSKTLQAALDWACGPGQANCSEIQPGENCYQPNNVKNHASYAFDSYYQKEGRAAGSCDFKGVAMITTTDPSHGSCIFPGSKKITNKTRTVVNTTTPSNAAGGSRLISFRSSRISAMDKALQAFLTIIFSILLHIPFVVS >Potri.011G006100.4.v4.1 pep chromosome:Pop_tri_v4:11:517880:520924:1 gene:Potri.011G006100.v4.1 transcript:Potri.011G006100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G006100.v4.1 MSATELVSLLQFQKVTHIRLYDADPDMLKALAKTKIRVIISVPNNQLLAIGSSNATAASWIGKNVVAYYPQTVISAIAVGDEVLTTVPSSAPLLVPAIESLYSALVAANLHNLIKISTPHSASIILDPFPPSQAFFNQSWSSVMQPLLQFLSKTGSPLMMNLYPYYVFMQNKGVVPLENSLFKPLTPSKEMVDPNTLLHYTNVLDAMVDAAYYSMKNFNFTDVAVLVTESGWPSKGDSKEPYATIDNADTYNSNMIKHVLDRSGTPLHPEITSSVYLYELFNEDLRSPPVSEANWGLFYANATPVYLLHVSGSGTFLANDTTNQTYCIVMDGVDSKTLQAALDWACGPGQANCSEIQPGENCYQPNNVKNHASYAFDSYYQKEGRAAGSCDFKGVAMITTTDPSKCFMLLFALNNHRSFILH >Potri.013G074100.2.v4.1 pep chromosome:Pop_tri_v4:13:6193967:6197373:-1 gene:Potri.013G074100.v4.1 transcript:Potri.013G074100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G074100.v4.1 MAKSHTIFMSWRPYSLLRLALPSSLLHLPKPHSVILNKTQPNLLFSCANRTKLHFETHGFVKLGFGSVGNRRFSTEASRDVNDAGSIDSPLMKSMENKIKEELTAESVSVKDAYGDGRHVCIDVISEAFEGQSAVNRQRMVYKAIWEELQSTVHAVDQMTTRTPTEAAAQK >Potri.018G129500.6.v4.1 pep chromosome:Pop_tri_v4:18:13997867:14002140:-1 gene:Potri.018G129500.v4.1 transcript:Potri.018G129500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129500.v4.1 MKSHHHQLLFMFLVFFAQKIELLTAETFTGTYGINYGRIADNIPSPDEVATLLRAAKIKNVRIYDADHSVLKAFSGTGLQLVVGLPNGFVKEMSANASHAMAWVKENVQAFLPKTSVCGIAVGNEILGGGDYELWEALLGAVKNIYNAVDKLGLADVVQISTAHSQAVFDNSYPPSSCIFRENVAQFMKPLLEFFSQIGSPFCLNAYPFLAYMSDPENIDINYALFQKTKGIYDMKTDLHYDNMLDAQIDATYAALEDAGFKKMEVIVTETGWASLGDTNEAAATVNNARTFNYNLRKRLAKKKGTPLRPKMVVKAYIFAIFNENLKSGPTSERNFGLFKPDGSISYDIGFHGLSSAESSLLSLKVRDSLYQHTRSFKRQHIGIRTVYFLDKNN >Potri.018G129500.8.v4.1 pep chromosome:Pop_tri_v4:18:13997936:14002138:-1 gene:Potri.018G129500.v4.1 transcript:Potri.018G129500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129500.v4.1 MKSHHHQLLFMFLVFFAQKIELLTAETFTGTYGINYGRIADNIPSPDEVATLLRAAKIKNVRIYDADHSVLKAFSGTGLQLVVGLPNGFVKEMSANASHAMAWVKENVQAFLPKTSVCGIAVGNEILGGGDYELWEALLGAVKNIYNAVDKLGLADVVQISTAHSQAVFDNSYPPSSCIFRENVAQFMKPLLEFFSQIGSPFCLNAYPFLAYMSDPENIDINYALFQKTKGIYDMKTDLHYDNMLDAQIDATYAALEDAGFKKMEVIVTETGWASLGDTNEAAATVNNARTFNYNLRKRLAKKKGTPLRPKMVVKAYIFAIFNENLKSGPTSERNFGLFKPDGSISYDIGFHGLSSAESSLLSLKAVQSQGLTKSYILILTISAAALILFLKQ >Potri.018G129500.7.v4.1 pep chromosome:Pop_tri_v4:18:13997864:14002163:-1 gene:Potri.018G129500.v4.1 transcript:Potri.018G129500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129500.v4.1 MKSHHHQLLFMFLVFFAQKIELLTAETFTGTYGINYGRIADNIPSPDEVATLLRAAKIKNVRIYDADHSVLKAFSGTGLQLVVGLPNGFVKEMSANASHAMAWVKENVQAFLPKTSVCGIAVGNEILGGGDYELWEALLGAVKNIYNAVDKLGLADVVQISTAHSQAVFDNSYPPSSCIFRENVAQFMKPLLEFFSQIGSPFCLNAYPFLAYMSDPENIDINYALFQKTKGIYDMKTDLHYDNMLDAQIDATYAALEDAGFKKMEVIVTETGWASLGDTNEAAATVNNARTFNYNLRKRLAKKKGTPLRPKMVVKAYIFAIFNENLKSGPTSERNFGLFKPDGSISYDIGFHGLSSAESSLLSLKAVQSQGLTKSYILILTISAAALILFLKQ >Potri.018G129500.5.v4.1 pep chromosome:Pop_tri_v4:18:13998351:14002184:-1 gene:Potri.018G129500.v4.1 transcript:Potri.018G129500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129500.v4.1 MSANASHAMAWVKENVQAFLPKTSVCGIAVGNEILGGGDYELWEALLGAVKNIYNAVDKLGLADVVQISTAHSQAVFDNSYPPSSCIFRENVAQFMKPLLEFFSQIGSPFCLNAYPFLAYMSDPENIDINYALFQKTKGIYDMKTDLHYDNMLDAQIDATYAALEDAGFKKMEVIVTETGWASLGDTNEAAATVNNARTFNYNLRKRLAKKKGTPLRPKMVVKAYIFAIFNENLKSGPTSERNFGLFKPDGSISYDIGFHGLSSAESSLLSLKAVQSQGLTKSYILILTISAAALILFLKQ >Potri.003G001200.2.v4.1 pep chromosome:Pop_tri_v4:3:414010:414809:1 gene:Potri.003G001200.v4.1 transcript:Potri.003G001200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G001200.v4.1 MIKAAKKLKKFWPRKKKRKKTLQYHEPYYHHPPSSCHCCCSYPTAPTQPSAPPLPPWLEPELTYEAVSAPGLLSLPELAHPSHIQVPSQEIVMETTPIYPTLPSYQQYLVPNPVYGVPVEQKPRREKSTGFFGCVVTFCANLIRCFCPCFRIQEKSEFVGA >Potri.006G095400.1.v4.1 pep chromosome:Pop_tri_v4:6:7236786:7240304:-1 gene:Potri.006G095400.v4.1 transcript:Potri.006G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095400.v4.1 MEEPLFSENRSELGESEKWSSYQFVGRTGSVLPTASVSVDEIRSAAASSDYYPPSIHAALLTSPEPNPTEQEAIVYQGGYVGGDYGGSTNEFQRQILDEVEIRELLIDHVGHRCCWGSRPARTWKIHAVEDCNVYIGSLETFIEEREIIRETEPYHGGNIVGKDTAPELGVWELDLRSQFPVLFIPHKETRTKVPHSEIVEKCTDCAGKGDIVCTTCNANQEPGINKENQMFDCPTCYGRGLIAHRDGSDTICTKCSGKGKIPCATCGSRGLIKCKKCIGSGALLSCNVAIVRWKTLSTRKVSATSGAASVPDEVFHRAKGVQLCNTQAHQCSPAFFADSFFLNKFSSEVIAERASVPPTARVICERHAISVVPVTRVTMTHRDRSFSFYIIGFSREVYLKDYYPSRFCWGLCPCLEWLKL >Potri.017G044700.1.v4.1 pep chromosome:Pop_tri_v4:17:3161017:3164773:1 gene:Potri.017G044700.v4.1 transcript:Potri.017G044700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044700.v4.1 MAIPSPPFSLSKHSLSNSPSFHASNPSLDPSKASALGTQNVFGSKANLGGVEQTHLRLRNNLKTNSDLRLQASREPPGLTKELKSDKSERMERIEHGSDLFDEMKQRFLSFKKHKYMQNLELYEKLAKGQAPKFMVIACADSRVCPSSILGFQPGEAFVVRNVANMVPPYENGPSETNAGLEFAVNSLKVENILVIGHSQCGGIRALMSMHDDVETSSLIGSWVSVGMNARVRTKAATKLLNFDQQCKHCEKESVNCSLANLLTYPWVEEKVRNGELAIHGAYYDFVDCAFEKWTLDYKESNLKDKGGRVAVKDRAFWF >Potri.017G044700.2.v4.1 pep chromosome:Pop_tri_v4:17:3160928:3164771:1 gene:Potri.017G044700.v4.1 transcript:Potri.017G044700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044700.v4.1 MERIEHGSDLFDEMKQRFLSFKKHKYMQNLELYEKLAKGQAPKFMVIACADSRVCPSSILGFQPGEAFVVRNVANMVPPYENGPSETNAGLEFAVNSLKVENILVIGHSQCGGIRALMSMHDDVETSSLIGSWVSVGMNARVRTKAATKLLNFDQQCKHCEKESVNCSLANLLTYPWVEEKVRNGELAIHGAYYDFVDCAFEKWTLDYKESNLKDKGGRVAVKDRAFWF >Potri.002G188650.1.v4.1 pep chromosome:Pop_tri_v4:2:15066690:15067151:-1 gene:Potri.002G188650.v4.1 transcript:Potri.002G188650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188650.v4.1 MRRASRVHEQEHNLHQIRKSLLCQKILHTQLFKDLVSSKVSLKNYEGLTNLHEHIQNIKSILKLITQDNDVVCKVLLATLYGSTRAWYHSLEFVFILHFRDFNSKLISYFNISILAKKKKHHKSLPCHKKGRWEHQSLSLKGQRGNAQRGTNP >Potri.011G166250.1.v4.1 pep chromosome:Pop_tri_v4:11:19036880:19037311:-1 gene:Potri.011G166250.v4.1 transcript:Potri.011G166250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G166250.v4.1 MCCGCRMCMLCTCVILVAIVIGLSFGLGVFKNGFHKVKDHLGVCDPNAIGSLCGGGKVSRPFLGFPASPPGPF >Potri.014G017800.3.v4.1 pep chromosome:Pop_tri_v4:14:1107391:1110388:-1 gene:Potri.014G017800.v4.1 transcript:Potri.014G017800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017800.v4.1 MAGYGLLFLGPSQHLWFNFMRKVLPKRDVLTTFKKVFMGQAVYGPANATLFSPIMQLYKAILCLFPPQGYREAVIGQFFIISHLYKSVEATPEPSSSSGERGDEIVARLKRDLLPTLRNGLLYWPVCDFATYKFVLVHPQPLVNIICSYVWTIYLTYMASLKKASTD >Potri.014G017800.2.v4.1 pep chromosome:Pop_tri_v4:14:1107392:1110387:-1 gene:Potri.014G017800.v4.1 transcript:Potri.014G017800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017800.v4.1 MMSSTSSSSFDFIRTLRMAGYGLLFLGPSQHLWFNFMRKVLPKRDVLTTFKKVFMGQAVYGPANATLFSPIMQLYKAILCLFPPQGYREAVIGQFFIISHLYKSVEATPEPSSSSGERGDEIVARLKRDLLPTLRNGLLYWPVCDFATYKFVLVHPQPLVNIICSYVWTIYLTYMASLKKASTD >Potri.006G203601.1.v4.1 pep chromosome:Pop_tri_v4:6:21164271:21166183:1 gene:Potri.006G203601.v4.1 transcript:Potri.006G203601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203601.v4.1 MGSLINGNSSSLLPGYHIRYAKGNSSRINAIVSVLLWMQRDAKVQQILYSYKEEIAIFHEAYARYRYSFASHQVSYVHIHVHVSLFLYYKRSINKGRKRAHHLKGREERLKVQLAFFKSNTPYAYWSCSLQRK >Potri.006G203601.2.v4.1 pep chromosome:Pop_tri_v4:6:21164271:21166183:1 gene:Potri.006G203601.v4.1 transcript:Potri.006G203601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203601.v4.1 MGSLINGNSSSLLPGYHIRYAKGNSSRINAIVSVLLWMQRDAKVQQILYSYKEEIAIFHEAYARYRYSFASHQVSYVHIHVHVSLFLYYKRSINKGRKRAHHLKGREERLKVQLAFFKSNTPYAYWSCSLQRK >Potri.017G021330.1.v4.1 pep chromosome:Pop_tri_v4:17:1461874:1464293:1 gene:Potri.017G021330.v4.1 transcript:Potri.017G021330.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G021330.v4.1 MDLEHLSCVDDDLPPATSSPWEKMEKALSLLKNSLGIGLQNLPYPHLCVPNSTLLEYRQLKGIIAFPVFDSDQPMHCRAVLELVTMEEKRDFDLETEKGFQAADLRINLQPRLRPECFSRDQRAELTEIANVTTAVCQTHRLPLALTWIPFDYTWGAVDDISKLRVRLRDSRMIRKCVFFIERTSCYADEEMQDFVHACEQLFMHSWQGAAGRAFIKYLPSFEPDVKENHVSEYPLAHHARKYNWNAAVAIWLRGSDADYNYILEFFLPILMKESSEQQGLVRNLKLTLRKTCKSLRMFSKEELLWERGSKVSKNNAKIEPAPNADGNMFTVCANNKDERYLKCGNGSMRL >Potri.008G079066.1.v4.1 pep chromosome:Pop_tri_v4:8:4893240:4893844:1 gene:Potri.008G079066.v4.1 transcript:Potri.008G079066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G079066.v4.1 MGFEVRKYKPREPKLPVSQLTKEQLRAIFMESDINKDGVLSKKELKQAFGRLGAFIPAFRAARGLHHADANHDGVVDKDELDDLIKYAYRLGYKVA >Potri.003G110600.1.v4.1 pep chromosome:Pop_tri_v4:3:13288627:13294135:-1 gene:Potri.003G110600.v4.1 transcript:Potri.003G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110600.v4.1 MGTRTNFYKTPSLSYKKDLNLSSALQNLKAYNIATGNAPLTTEEEDEQQPRGDSKIARPKRQRIQKNPQHNKHGHAIEENDEPMSHLDYINKRRKEVSSSNQSYENLTSDVLVQGNTISVLNLVNYGSDSSESEEKEGSSGSRQDDTLHSDHPNEVDRVKSRSEQRYPVPGEPVCLLCGKYGEYICNETDDDICSLECKAELLQSLKLAKGPASNQQLDVSSSGFKCALPMPLLGEDTWDYNRHRWSKKISNLCAYECWKCQRPGHLPEDCLVTTSNQVAVGNKNSDSISRDLLGLYGRCHQIGKKLSGANCNTCRGSLSLATCLDCSMILCDNAGHLHEHIRAQPSHQQYYSHKLKRLVKCCKSTCKVTSISDLLVCHHCFDKAFDKFYDMYTATWKGTGLSIISGSICCEDHFEWHRMNCLSAGVEDRAYIIDKNANKKCVQLSDFIF >Potri.003G110600.2.v4.1 pep chromosome:Pop_tri_v4:3:13288564:13294136:-1 gene:Potri.003G110600.v4.1 transcript:Potri.003G110600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G110600.v4.1 MGTRTNFYKTPSLSYKKDLNLSSALQNLKAYNIATGNAPLTTEEEDEQQPRGDSKIARPKRQRIQKNPQHNKHGHAIEENDEPMSHLDYINKRRKEVSSSNQSYENLTSDVLVGNTISVLNLVNYGSDSSESEEKEGSSGSRQDDTLHSDHPNEVDRVKSRSEQRYPVPGEPVCLLCGKYGEYICNETDDDICSLECKAELLQSLKLAKGPASNQQLDVSSSGFKCALPMPLLGEDTWDYNRHRWSKKISNLCAYECWKCQRPGHLPEDCLVTTSNQVAVGNKNSDSISRDLLGLYGRCHQIGKKLSGANCNTCRGSLSLATCLDCSMILCDNAGHLHEHIRAQPSHQQYYSHKLKRLVKCCKSTCKVTSISDLLVCHHCFDKAFDKFYDMYTATWKGTGLSIISGSICCEDHFEWHRMNCLSAGVEDRAYIIDKNANKKCVQLSDFIF >Potri.012G036500.1.v4.1 pep chromosome:Pop_tri_v4:12:3285555:3289332:1 gene:Potri.012G036500.v4.1 transcript:Potri.012G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036500.v4.1 MEEELHIQAQTSKALIKQLASSDTKTRNKSLKILLNKWLPSQPQISEETMKKIWKGLFYCMWHADKSLAQNQLINKLSSLLTVIEVESVCFSYFSVFLVTMRREWSGIDGLRLDKFYLLIRRFVNSFFGFLKKKGWGLDVVERFMRVFVEKGFLADDNFLGNGVNYHVVSVFVEELKGFLPVKEAALEVIFGNFVGVMGKVSDKVLLGKIKSNVFDLLLRMGKELLEVKIKGDDVGDNDEVVILGSIALVMGFSKRFYELGSSVECCQGNRKVVLGLHEVFLKLEKDFVASGIKIALPESNGDEDEEEVPALVPIDSGMGVEGLNGDVANGPGSKKLKKSKKAKESDGNSKKAKKKKRNVISGSHSESDSMADENGYEDLPNGENSSKEKTVDDNLVRFDESAIANLQRQFEKVAAEVGIDEGVTSVCDFPKVTGNGNLSKKRKRAKRVELKQSENQESNGQEDDEAGTSTMAKSTEKSAKKVRFSMKNNLIWKPSTPLPPQSLRIPPSVTPRGSALKKGIPPGPVREMPAKKNMKQRAKSMKKVIKGISPATKRVKKLKSLAV >Potri.006G157000.8.v4.1 pep chromosome:Pop_tri_v4:6:14129549:14134275:-1 gene:Potri.006G157000.v4.1 transcript:Potri.006G157000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157000.v4.1 MMPPVKTSYRDRTQEFLSVAERLKKSFSSASNAASSSTGSSTKPDAKRSAVAIQSEFSKRASMIGYGIHQTSQKLAKLAKLAKRTSVFDDPTLEIQELTAVIKQDITALNAAVVDLQLLCNSQNESGNISSDTTTHSTTVVDNLKNRLMTATKEFKEVLTTRTENLKVHENRRQLFSSTASKDSSNPFVRQRPLTSRTAASATQAPPPPWANASVSSSQLVPSKSTDVESQPLLQQQQQQMVPLQDSYMHSRAEALHNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDESLSNVEGAQGQLVRYLNSISSNRWLMMKIFLVLIVFLMFFVFFVA >Potri.006G157000.9.v4.1 pep chromosome:Pop_tri_v4:6:14129967:14134296:-1 gene:Potri.006G157000.v4.1 transcript:Potri.006G157000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157000.v4.1 MMPPVKTSYRDRTQEFLSVAERLKKSFSSASNAASSSTGSSTKPDAKRSAVAIQSEFSKRASMIGYGIHQTSQKLAKLAKLAKRTSVFDDPTLEIQELTAVIKQDITALNAAVVDLQLLCNSQNESGNISSDTTTHSTTVVDNLKNRLMTATKEFKEVLTTRTENLKVHENRRQLFSSTASKDSSNPFVRQRPLTSRTAASATQAPPPPWANASVSSSQLVPSKSTDVESQPLLQQQQQQMVPLQDSYMHSRAEALHNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDESLSNVEGAQGQLVRYLNSISSNRWLMMKIFLVLIVFLMFFVFFVA >Potri.006G157000.10.v4.1 pep chromosome:Pop_tri_v4:6:14129958:14134225:-1 gene:Potri.006G157000.v4.1 transcript:Potri.006G157000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G157000.v4.1 MMPPVKTSYRDRTQEFLSVAERLKKSFSSASNAASSSTGSSTKPDAKRSAVAIQSEFSKRASMIGYGIHQTSQKLAKLAKLAKRTSVFDDPTLEIQELTAVIKQDITALNAAVVDLQLLCNSQNESGNISSDTTTHSTTVVDNLKNRLMTATKEFKEVLTTRTENLKVHENRRQLFSSTASKDSSNPFVRQRPLTSRTAASATQAPPPPWANASVSSSQLVPSKSTDVESQPLLQQQQQQMVPLQDSYMHSRAEALHNVESTIHELSNIFTQLATMVSQQGELAIRIDENMDESLSNVEGAQGQLVRYLNSISSNRWLMMKIFLVLIVFLMFFVFFVA >Potri.003G015900.1.v4.1 pep chromosome:Pop_tri_v4:3:1765801:1766517:1 gene:Potri.003G015900.v4.1 transcript:Potri.003G015900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015900.v4.1 MQIFSKVLTDTDVRFRFSFPTHCLQHLDFAGNNSVDLHVKDSCGELRVIRCRKRNGGYDKPELSTGWLKFVADYGLRVGDKVVVHREDDHNLGSQFRIEAKRSIKLFGEEDWGEVTRAN >Potri.018G062300.1.v4.1 pep chromosome:Pop_tri_v4:18:7267683:7269009:1 gene:Potri.018G062300.v4.1 transcript:Potri.018G062300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062300.v4.1 MVHVSFYRNYGKTFKKPRRPYEKERLDAELKLVGEYGLRAKRELWRVQYALSRIRNAARMLLTLDEKNQRRIFEGEALLRRMNRYGLLEESQNKLDYVLALTVENFLERRLQTLVFKAGMAKSIHHARVLIKQRHIRVGRQVVNIPSFMVRVDSQKHIDFSLTSPFGGGRPGRVKRKNQKAASKKAAGGDGDEEDEE >Potri.013G113900.1.v4.1 pep chromosome:Pop_tri_v4:13:12224518:12230522:-1 gene:Potri.013G113900.v4.1 transcript:Potri.013G113900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G113900.v4.1 MGVIDIYNTASSLCLIKSNNNFHQALVPQRPTCSFQRNGNPRRVVAMTSTVPVEHVNEGQQNVTGDSFIRFHLRKLSPYQSILPFEILSAQLGRKPEDIVKLDANENPYGPPPEVLEALGTLKFPYIYPDPESRRLREALAIDSGLESNHILVGCGADELIDLIMRCTLDPGDKIVDCPPTFTMYEFDAAVNGALVTKVLRKPDFSLNVDLIVGAVRKEKPKCIFLTSPNNPDGSIINDEDLLKILELPILVVLDEAYIEFSGLESRMKWVKKHDNLIVLRTFSKRAGLAGLRVGYGAFPLSIIEYLWRAKQPYNVSVAAEVAACAALQNPTYLEDVKNALVEERERLFKFLQEVPFLTPFPSHSNFILCEVKSAMDAKKLKDDLASMGVMVRHYNKKELKGFIRVSVGKPEQTDILMQCLKRLS >Potri.015G017300.1.v4.1 pep chromosome:Pop_tri_v4:15:1211642:1216487:1 gene:Potri.015G017300.v4.1 transcript:Potri.015G017300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G017300.v4.1 MWKLNQFIPGDDGEREESFLEEESDGIFSLSPTQRMYAFAASLAAGLILMFLSLIVFAKPIKFALLFTFGNVLAVGSTAFLIGPGRQLGMMFDPVRIYATVIYIGCVVLALIFALLIHSKILTVFAIIFEICALIWYGLSYIPFARRMVSSLMIRLCDTEL >Potri.005G061200.3.v4.1 pep chromosome:Pop_tri_v4:5:3872458:3873356:1 gene:Potri.005G061200.v4.1 transcript:Potri.005G061200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G061200.v4.1 MCCGKRVCMLCTCVVLVAILIGLLFGFGIYKNGFHKLKDAFHECDSSVSGSLCGAGIGRPFMGYAPPPPPF >Potri.002G031900.1.v4.1 pep chromosome:Pop_tri_v4:2:2094076:2094546:-1 gene:Potri.002G031900.v4.1 transcript:Potri.002G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G031900.v4.1 MASSSGNSSGSTQLQNSGSEEQVVLVDQRKRKRMLSNRESARRSRMRKQKYLGDLMAQVAQLRTDNNQILTTINVTTQHFLNVEAENSILRAQMMELNHRLDSLNEILNYINTSNGIFEIDHHEDLQTSADHGFMNPLNLILLNQPIMASPDLFQY >Potri.011G072300.1.v4.1 pep chromosome:Pop_tri_v4:11:6495303:6508521:1 gene:Potri.011G072300.v4.1 transcript:Potri.011G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072300.v4.1 MFFSLRYAFLISILALSCLETERLAAAELPQDEVDALNLITKKMGANGWNFNADSCGEYLPRVRPTDPERNISCNCSENNTCHIVSLKFKRFSLAGELPPELIQLPYLESIDLSYNYLNGSIPSEWAPLQLKSIALLANRLSGNIPSYLGNLTSLTYLDLELNQFSGMIPHELGKLVNLKTLILSSNKLDGNLPMELSKLRNLTDFRINDNNFNGSIPDFVENWKQLKRLEMVASGLEGPIPSSISALETLTDLRITDITSTDQSFPDLSNITGLTRLLLRGCNISGEIPLYIWEMSKLRILDLSFNKLRGELPNAITTETLVFIFLSGNLLTGNIPMFRKGMTVDLSYNNFSEQSTGQPACQQRTDVTLNLFRSSSMGNDLGGACMDDLKCDQYWHSLYINCGGQNVQINGSTYEGDAAVSGGAGLFYQSADEWGLSSTGDFMDDNDFQNRAYTENVPSLNINELYQTARISPISLTYYRRCLENGNYTVSLHFAEIRFTNDNTFNSLGRRLFDIYIQNNLVEKDFNIEVQAAGVAKPVTEIHNAIVTNNILEIRLFWAGKGTRRIPVSGVYGPLISAISVDPNFKPRFSREEKTKTVPIIVGVVVGFCLIFSVLAIFWWRCCFRINKKRRKGLEGIEIQTVSFTLKQIKAATDNFDPANKIGEGGFGPVYKGLLPDGTVIAVKQLSSKSSQGNREFLNEIGVISCMQHPHLVKLHGCCIEGDQLLLVYEYMENNSLSRALFGPEHQLHLDWKTRQKICVGIAKGLAFLHEESRLKIVHRDIKVTNVLLDKDLNPKISDFGLAKLDEREKTFISTRVAGTVGYMAPEYALWGRLTYKADVYSFGIVALEIVSGKYNMSCGPENQYSCLLDWACHLERNGNLIELVDRKLGSEFNKVEAQRMIKVALLCANASPLLRPIMSEVVSMLEGTRIIPEVIPEPISEDLRFKAIRGHQEQTRSLRERGNQTILDRSATSSSYVCTDDDLWETDTESNVRSNTRSKQHESPAEVSTSVLPVTASSSTSV >Potri.016G033450.1.v4.1 pep chromosome:Pop_tri_v4:16:1909928:1910730:-1 gene:Potri.016G033450.v4.1 transcript:Potri.016G033450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033450.v4.1 MSKYQYTPGRIFPTLLANVKVSGAGINLTAASEVYLMEPWWNSEFEEQAIDRVHQYGQEKNVIIVRLIVQDSIEERILMMQERKKQAIEAFGMQGPKERREVSLEDLCSLLSLE >Potri.003G177000.6.v4.1 pep chromosome:Pop_tri_v4:3:18391984:18398702:1 gene:Potri.003G177000.v4.1 transcript:Potri.003G177000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177000.v4.1 MAGIDISKYAHSPVHKAIATKNYATLRKIVAGLPRLCNPAEIRTEAISLAEEEKAEAIAAAIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICNKEEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTMAGFDGFRIQRSDQSILFLGDGSEDGKVPSGSLCMITHKDKEVMNALDGAGSPATDEEVRQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGSWKAKVYDMHNVVVSIKSRKVPGALSDDEFFSSSNDNETESEELDDILTVEERRQLEVALKLDASELNYENGENGDGIIAHRHSCYEHREIPIEDANGIINGETKQEKKGWFGGWRKRDSKVEGQKKIVPPRSSLCVDEKVSDLLGDSPSGSQPKPGRHSVEIVLRDEHRKERDSKASTSVSSESNNRRKEGSRENEYKKGLRPTLWLSPDFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFSTPPSSPTAAGRESPLVTQSSTSSWFQWIKAPYQRPGSSAGGSSSRIENIQDPFAIPSEYTWVTAEAKKKKMQEKNKSKKAKNHGH >Potri.003G177000.1.v4.1 pep chromosome:Pop_tri_v4:3:18391544:18398772:1 gene:Potri.003G177000.v4.1 transcript:Potri.003G177000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G177000.v4.1 MAGIDISKYAHSPVHKAIATKNYATLRKIVAGLPRLCNPAEIRTEAISLAEEEKAEAIAAAIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICNKEEGIAMIIVRHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTMAGFDGFRIQRSDQSILFLGDGSEDGKVPSGSLCMITHKDKEVMNALDGAGSPATDEEVRQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGSWKAKVYDMHNVVVSIKSRKVPGALSDDEFFSSSNDNETESEELDDILTVEERRQLEVALKLDASELNYENGENGDGIIAHRHSCYEHREIPIEDANGIINGETKQEKKGWFGGWRKRDSKVEGQKKIVPPRSSLCVDEKVSDLLGDSPSGSQPKPGRHSVEIVLRDEHRKERDSKASTSVSSESNNRRKEGSRENEYKKGLRPTLWLSPDFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFSTPPSSPTAAGRESPLVTQSSTSSWFQWIKAPYQRPGSSAGGSSSRIENIQDPFAIPSEYTWVTAEAKKKKMQEKNKSKKAKNHGH >Potri.013G117600.1.v4.1 pep chromosome:Pop_tri_v4:13:12614249:12616191:1 gene:Potri.013G117600.v4.1 transcript:Potri.013G117600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G117600.v4.1 MEPCSWNSARVQASACEGMSDVFLVNSELQAETRNGSRSTSSLVFDNERGELVEATVRMERKGVSAEKSIAALRNHSEAERKRRARINAHLDTLRSLVPGTSKMDKASLLAEVISHLKELKIQAAGAGEGLLMPLDIDEVRVEQEEDGLCSAPCLIRASICCDYKPEILSGLRQALDALHLMITRAEIATLEGRMMNVLVMSSCKEGLGGDSKVRQFLAGSVHKAFRSVLDKFSASQEFSLKPTLSNKRRRVGLLQPFSSSSSGDLCS >Potri.003G182100.2.v4.1 pep chromosome:Pop_tri_v4:3:18747556:18751788:1 gene:Potri.003G182100.v4.1 transcript:Potri.003G182100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G182100.v4.1 MSRSRLSRIGVGIVKELSREQCTALCRKSFIRRPYSACWRSQVELHPESKGHQGSIFQKHHQFSSTATSRDSADGSDPNEMISVTFVDKDGEEKDIKVPVGMSMLEAAQENDIELEGACEGSLACSTCHVIVTDMEYYNKLEDPTDEENDMLDLAFGLTETSRLGCQVIAKPELNGMRLALPAATRNFAVDGYVPKPH >Potri.019G108501.1.v4.1 pep chromosome:Pop_tri_v4:19:14216091:14218465:1 gene:Potri.019G108501.v4.1 transcript:Potri.019G108501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108501.v4.1 MTTYMDPVLFKAAEAGDIGPFENYQTCLDQLLTPDENTILHVYLGNQSREPESTDFVDKILEMCPPLLLQANKKGEIPLHLAARYGHSNVVGVLIERAEALPTDPESGVSEAKKMLRMTNDEQDTALHEAARNMRSHVVEILTEEDPEFSYSANVHGETPLYIAASSWGQEQEKVIDEILANCISVDYGGPNGRTVLHAASAVGDYETARKLLKKEKKLTKTTDDNGWSPLHYAAYFSTWLNISVVKVLLKYDASAAYIAETEKKRTALHIAAIQGHVKDM >Potri.016G063100.2.v4.1 pep chromosome:Pop_tri_v4:16:4413344:4414539:1 gene:Potri.016G063100.v4.1 transcript:Potri.016G063100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063100.v4.1 MDSQIKHAVVMKIMGRTGSRGQVTQVRVKFLDDPNRFIMRNVKGPVREGDVLTLLESEREARRLR >Potri.016G063100.1.v4.1 pep chromosome:Pop_tri_v4:16:4414082:4414464:1 gene:Potri.016G063100.v4.1 transcript:Potri.016G063100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G063100.v4.1 MDSQIKHAVVMKIMGRTGSRGQVTQVRVKFLDDPNRFIMRNVKGPVREGDVLTLLESEREARRLR >Potri.006G165100.9.v4.1 pep chromosome:Pop_tri_v4:6:16119726:16130676:1 gene:Potri.006G165100.v4.1 transcript:Potri.006G165100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165100.v4.1 MATESPLRMPSHKEPATFAPPTPNMAVEELGFPRKGQRFHGSGRDTVPNRSGSAPPNMEGSILAINNLIFHQNSNLNPRLGRSNDALQEFDSEKQSYVSYYGTGANPNTSPIPRENQLAEHHAVKFGTNWGLTPIDDSSNSFLHLSQGVLSTHKEELEDDHSPKQPVESLVNTTNGFWSGEAAASLAGQSKSLVDLIQEDFPRTPSPVYNQSRSLSSGMTDEPADHDIVSSSLPDPTVSTSNAVPSILGTIQPKPPRSKGFLNTADVNVIEPGMKDLNISNLQNPKVQTNQEQWQHSYQSQVQQHQVHQQPNNSFQVQSAKSQMGHQNSAYIDVDQALHGPSKFSAEVQPVLQSSGFTPPLYATAGYMTSPNPFYPNLQAPGLCAPQYGTGGYALNSNVIPPYVAGYPPHGTVPMVFDGSVSQNFNAGMSGASSGGGIAHGADVQHYNKFFGQLGYAVQPSFTDPVYMQYYQQPYGLAYNTSSQFDPLASGGGVIGRQNNAPDSKKGSEVAAGLEDQKLLHQRGGAGNLNQGRGQMMNLPYFGNSPNMGILQYPTSPLASPVFPGSPVGGTGISGGRNELRFSPGSGRYAAVHSGWRGQRGSESFNDPKIYNFLEELKSGKGRRFELSDIVGNIIEFSADQHGSRFIQQKLENCNAEEKASVFKEVLPYASKLMTDVFGNYVIQKFFEYGSTEQRKELAIQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVRELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIISAFRGEVATLSMHPYGCRVIQRVLERCADELQCQFIVDEILESVCILAQDQYGNYVTQHVLERGKPQERCQIISKLSGHIVTLSQHKFASNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLIMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQFGEEGQTS >Potri.006G165100.2.v4.1 pep chromosome:Pop_tri_v4:6:16119643:16130676:1 gene:Potri.006G165100.v4.1 transcript:Potri.006G165100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165100.v4.1 MATESPLRMPSHKEPATFAPPTPNMAVEELGFPRKGQRFHGSGRDTVPNRSGSAPPNMEGSILAINNLIFHQNSNLNPRLGRSNDALQEFDSEKQSYVSYYGTGANPNTSPIPRENQLAEHHAVKFGTNWGLTPIDDSSNSFLHLSQGVLSTHKEELEDDHSPKQPVESLVNTTNGFWSGEAAASLAGQSKSLVDLIQEDFPRTPSPVYNQSRSLSSGMTDEPADHDIVSSSLPDPTVSTSNAVPSILGTKTVGLPLNADPLVAPVSSSLSRNRTGTIQPKPPRSKGFLNTADVNVIEPGMKDLNISNLQNPKVQTNQEQWQHSYQSQVQQHQVHQQPNNSFQVQSAKSQMGHQNSAYIDVDQALHGPSKFSAEVQPVLQSSGFTPPLYATAGYMTSPNPFYPNLQAPGLCAPQYGTGGYALNSNVIPPYVAGYPPHGTVPMVFDGSVSQNFNAGMSGASSGGGIAHGADVQHYNKFFGQLGYAVQPSFTDPVYMQYYQQPYGLAYNTSSQFDPLASGGGVIGRQNNAPDSKKGSEVAAGLEDQKLLHQRGGAGNLNQGRGQMMNLPYFGNSPNMGILQYPTSPLASPVFPGSPVGGTGISGGRNELRFSPGSGRYAAVHSGWRGQRGSESFNDPKIYNFLEELKSGKGRRFELSDIVGNIIEFSADQHGSRFIQQKLENCNAEEKASVFKEVLPYASKLMTDVFGNYVIQKFFEYGSTEQRKELAIQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVRELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIISAFRGEVATLSMHPYGCRVIQRVLERCADELQCQFIVDEILESVCILAQDQYGNYVTQHVLERGKPQERCQIISKLSGHIVTLSQHKFASNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLIMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQFGEEGQTS >Potri.006G165100.8.v4.1 pep chromosome:Pop_tri_v4:6:16119611:16130710:1 gene:Potri.006G165100.v4.1 transcript:Potri.006G165100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165100.v4.1 MATESPLRMPSHKEPATFAPPTPNMAVEELGFPRKGQRFHGSGRDTVPNRSGSAPPNMEGSILAINNLIFHQNSNLNPRLGRSNDALQEFDSEKQSYVSYYGTGANPNTSPIPRENQLAEHHAVKFGTNWGLTPIDDSSNSFLHLSQGVLSTHKEELEDDHSPKQPVESLVNTTNGFWSGEAAASLAGQSKSLVDLIQEDFPRTPSPVYNQSRSLSSGMTDEPADHDIVSSSLPDPTVSTSNAVPSILGTIQPKPPRSKGFLNTADVNVIEPGMKDLNISNLQNPKVQTNQEQWQHSYQSQVQQHQVHQQPNNSFQVQSAKSQMGHQNSAYIDVDQALHGPSKFSAEVQPVLQSSGFTPPLYATAGYMTSPNPFYPNLQAPGLCAPQYGTGGYALNSNVIPPYVAGYPPHGTVPMVFDGSVSQNFNAGMSGASSGGGIAHGADVQHYNKFFGQLGYAVQPSFTDPVYMQYYQQPYGLAYNTSSQFDPLASGGGVIGRQNNAPDSKKGSEVAAGLEDQKLLHQRGGAGNLNQGRGQMMNLPYFGNSPNMGILQYPTSPLASPVFPGSPVGGTGISGGRNELRFSPGSGRYAAVHSGWRGQRGSESFNDPKIYNFLEELKSGKGRRFELSDIVGNIIEFSADQHGSRFIQQKLENCNAEEKASVFKEVLPYASKLMTDVFGNYVIQKFFEYGSTEQRKELAIQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVRELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIISAFRGEVATLSMHPYGCRVIQRVLERCADELQCQFIVDEILESVCILAQDQYGNYVTQHVLERGKPQERCQIISKLSGHIVTLSQHKFASNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLIMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQFGEEGQTS >Potri.006G165100.6.v4.1 pep chromosome:Pop_tri_v4:6:16119770:16130413:1 gene:Potri.006G165100.v4.1 transcript:Potri.006G165100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165100.v4.1 MATESPLRMPSHKEPATFAPPTPNMAVEELGFPRKGQRFHGSGRDTVPNRSGSAPPNMEGSILAINNLIFHQNSNLNPRLGRSNDALQEFDSEKQSYVSYYGTGANPNTSPIPRENQLAEHHAVKFGTNWGLTPIDDSSNSFLHLSQGVLSTHKEELEDDHSPKQPVESLVNTTNGFWSGEAAASLAGQSKSLVDLIQEDFPRTPSPVYNQSRSLSSGMTDEPADHDIVSSSLPDPTVSTSNAVPSILGTKTVGLPLNADPLVAPVSSSLSRNRTGTIQPKPPRSKGFLNTADVNVIEPGMKDLNISNLQNPKVQTNQEQWQHSYQSQVQQHQVHQQPNNSFQVQSAKSQMGHQNSAYIDVDQALHGPSKFSAEVQPVLQSSGFTPPLYATAGYMTSPNPFYPNLQAPGLCAPQYGTGGYALNSNVIPPYVAGYPPHGTVPMVFDGSVSQNFNAGMSGASSGGGIAHGADVQHYNKFFGQLGYAVQPSFTDPVYMQYYQQPYGLAYNTSSQFDPLASGGGVIGRQNNAPDSKKGSEVAAGLEDQKLLHQRGGAGNLNQGRGQMMNLPYFGNSPNMGILQYPTSPLASPVFPGSPVGGTGISGGRNELRFSPGSGRYAAVHSGWRGQRGSESFNDPKIYNFLEELKSGKGRRFELSDIVGNIIEFSADQHGSRFIQQKLENCNAEEKASVFKEVLPYASKLMTDVFGNYVIQKFFEYGSTEQRKELAIQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVRELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIISAFRGEVATLSMHPYGCRVIQRVLERCADELQCQFIVDEILESVCILAQDQYGNYVTQHVLERGKPQERCQIISKLSGHIVTLSQHKFASNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLIMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQFGEEGQTS >Potri.006G165100.7.v4.1 pep chromosome:Pop_tri_v4:6:16119702:16130389:1 gene:Potri.006G165100.v4.1 transcript:Potri.006G165100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165100.v4.1 MATESPLRMPSHKEPATFAPPTPNMAVEELGFPRKGQRFHGSGRDTVPNRSGSAPPNMEGSILAINNLIFHQNSNLNPRLGRSNDALQEFDSEKQSYVSYYGTGANPNTSPIPRENQLAEHHAVKFGTNWGLTPIDDSSNSFLHLSQGVLSTHKEELEDDHSPKQPVESLVNTTNGFWSGEAAASLAGQSKSLVDLIQEDFPRTPSPVYNQSRSLSSGMTDEPADHDIVSSSLPDPTVSTSNAVPSILGTKTVGLPLNADPLVAPVSSSLSRNRTGTIQPKPPRSKGFLNTADVNVIEPGMKDLNISNLQNPKVQTNQEQWQHSYQSQVQQHQVHQQPNNSFQVQSAKSQMGHQNSAYIDVDQALHGPSKFSAEVQPVLQSSGFTPPLYATAGYMTSPNPFYPNLQAPGLCAPQYGTGGYALNSNVIPPYVAGYPPHGTVPMVFDGSVSQNFNAGMSGASSGGGIAHGADVQHYNKFFGQLGYAVQPSFTDPVYMQYYQQPYGLAYNTSSQFDPLASGGGVIGRQNNAPDSKKGSEVAAGLEDQKLLHQRGGAGNLNQGRGQMMNLPYFGNSPNMGILQYPTSPLASPVFPGSPVGGTGISGGRNELRFSPGSGRYAAVHSGWRGQRGSESFNDPKIYNFLEELKSGKGRRFELSDIVGNIIEFSADQHGSRFIQQKLENCNAEEKASVFKEVLPYASKLMTDVFGNYVIQKFFEYGSTEQRKELAIQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVRELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIISAFRGEVATLSMHPYGCRVIQRVLERCADELQCQFIVDEILESVCILAQDQYGNYVTQHVLERGKPQERCQIISKLSGHIVTLSQHKFASNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLIMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQFGEEGQTS >Potri.006G165100.5.v4.1 pep chromosome:Pop_tri_v4:6:16119781:16130472:1 gene:Potri.006G165100.v4.1 transcript:Potri.006G165100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G165100.v4.1 MATESPLRMPSHKEPATFAPPTPNMAVEELGFPRKGQRFHGSGRDTVPNRSGSAPPNMEGSILAINNLIFHQNSNLNPRLGRSNDALQEFDSEKQSYVSYYGTGANPNTSPIPRENQLAEHHAVKFGTNWGLTPIDDSSNSFLHLSQGVLSTHKEELEDDHSPKQPVESLVNTTNGFWSGEAAASLAGQSKSLVDLIQEDFPRTPSPVYNQSRSLSSGMTDEPADHDIVSSSLPDPTVSTSNAVPSILGTKTVGLPLNADPLVAPVSSSLSRNRTGTIQPKPPRSKGFLNTADVNVIEPGMKDLNISNLQNPKVQTNQEQWQHSYQSQVQQHQVHQQPNNSFQVQSAKSQMGHQNSAYIDVDQALHGPSKFSAEVQPVLQSSGFTPPLYATAGYMTSPNPFYPNLQAPGLCAPQYGTGGYALNSNVIPPYVAGYPPHGTVPMVFDGSVSQNFNAGMSGASSGGGIAHGADVQHYNKFFGQLGYAVQPSFTDPVYMQYYQQPYGLAYNTSSQFDPLASGGGVIGRQNNAPDSKKGSEVAAGLEDQKLLHQRGGAGNLNQGRGQMMNLPYFGNSPNMGILQYPTSPLASPVFPGSPVGGTGISGGRNELRFSPGSGRYAAVHSGWRGQRGSESFNDPKIYNFLEELKSGKGRRFELSDIVGNIIEFSADQHGSRFIQQKLENCNAEEKASVFKEVLPYASKLMTDVFGNYVIQKFFEYGSTEQRKELAIQLTGQILHLSLQMYGCRVIQKALDVIELDQKAQLVRELDGHVMKCVRDQNGNHVIQKCIESVPAEKIGFIISAFRGEVATLSMHPYGCRVIQRVLERCADELQCQFIVDEILESVCILAQDQYGNYVTQHVLERGKPQERCQIISKLSGHIVTLSQHKFASNVVEKCLEYGGATEREIIIQEILGQNEGNDNLLIMMKDQYANYVVQKILDTCTDIQRAMLLNRIRTHVHALKKYTYGKHIVARFEQQFGEEGQTS >Potri.003G119300.1.v4.1 pep chromosome:Pop_tri_v4:3:14073409:14075701:-1 gene:Potri.003G119300.v4.1 transcript:Potri.003G119300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G119300.v4.1 MEKKSSRDRDGEMGGGAAHARPLPPPSRAHPPPPPPRPRIEPIDREKTCPLLLRVFTKIGSHHKPEDFAVRGKEPKDEVQIYTWKDATLRELTDLVKEVAPEARRRNAKLSFAFVYPDKHGRFVLRVVGMTHSSGRRPDDLKALAELNFQIGDYLDVAIM >Potri.005G019600.1.v4.1 pep chromosome:Pop_tri_v4:5:1247885:1249519:-1 gene:Potri.005G019600.v4.1 transcript:Potri.005G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G019600.v4.1 MELQETQSKNKNGQTSTPDPKTDLGNPYNGKTLETHYFTEDFDSACSTPYVSAPSSPGRPGSGPVNGGFFYSCPASPRHFAMTSAEKAMANFASSPDNSVAIGYEFEFSARFGSTGSGQPGSMSSADELFLNGQIRPMKLSSHLQRPQVLAPLMDLENEEEDGDSSNEDEFMKGWRKVGAHGESMSRGRDLRLKNKSLRRRTRSMSPLRSTSFEFEDYGEENKNVIDGSITSLEVNDESLKLDEATTSGGTDTPPASASSSRSSSAGRNSKKWVFLKDLLYRSKSEGRSNNKFWSNFSFSPVKEKSKKQSTGVQLGVSKEKASSSSSTNNSRSNVGSESQKVKGNSGHGSSSSSGKKPMNGIGKRRVPASPHELHYKASKAQAEEMRKKTFLPYRQGLLGCLGFSSKGYGAMNGFARALNPVSSR >Potri.018G130800.4.v4.1 pep chromosome:Pop_tri_v4:18:14099174:14102806:-1 gene:Potri.018G130800.v4.1 transcript:Potri.018G130800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130800.v4.1 MPALVNYSGDDEIYSGGSLYANSSDLGRLYSIGSRVDVYSPACKRARISAPFLFGSSGFEQNKRPSIEVLPDECLFEIFRRVPEGKERSSCACVSKKWLMLLSSIRRNEFCSSSAAAEEKETVAPVRNDVEMVSCEENREVESDGYLTRSLEGKKATDMRLAAIAVGTSSRGGLGKLLIRGSNSVRGVTNLGLSTIARGCPSLRALSLWNVPFVGDEGLFEIAKECHLLEKLDLTNCPSISNKGLIAVAENCPNLSSLNIESCSKIGNEGLQTIGKLCPKLQSISIKDCPLVGDHGVSSLLSSASSVLTRVKLQALNITDFSLAVIGHYGKAVTNLALSGLQHVSEKGFWVMGNAKGLQKLMSLTITSCRGITDVSLEAIAKGSVNLKQMCLRKCCFVSDNGLVAFAKAAGSLESLQLEECNRVSQSGIVGSLSNCGAKLKALSLVKCMGIKDMAFRMSVSSPCSSLRYLSIRNCPGFGSASMAMIGKLCPQLQHVDLSGLCGITDAGLLPLLESCEAGLVKVNLSGCLSLTDEVVSALARLHGGTLELLNLDGCRKITDASLLAIAENCLFLSDLDVSKCAVTDSGITILSSAEQLNLQVLSLSGCSEVSNKILPCLKKMGRTLVGLNLQNCSSISSSTVELLVESLWRCDILS >Potri.018G130800.3.v4.1 pep chromosome:Pop_tri_v4:18:14098869:14102902:-1 gene:Potri.018G130800.v4.1 transcript:Potri.018G130800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130800.v4.1 MPALVNYSGDDEIYSGGSLYANSSDLGRLYSIGSRVDVYSPACKRARISAPFLFGSSGFEQNKRPSIEVLPDECLFEIFRRVPEGKERSSCACVSKKWLMLLSSIRRNEFCSSSAAAEEKETVAPVRNDVEMVSCEENREVESDGYLTRSLEGKKATDMRLAAIAVGTSSRGGLGKLLIRGSNSVRGVTNLGLSTIARGCPSLRALSLWNVPFVGDEGLFEIAKECHLLEKLDLTNCPSISNKGLIAVAENCPNLSSLNIESCSKIGNEGLQTIGKLCPKLQSISIKDCPLVGDHGVSSLLSSASSVLTRVKLQALNITDFSLAVIGHYGKAVTNLALSGLQHVSEKGFWVMGNAKGLQKLMSLTITSCRGITDVSLEAIAKGSVNLKQMCLRKCCFVSDNGLVAFAKAAGSLESLQLEECNRVSQSGIVGSLSNCGAKLKALSLVKCMGIKDMAFRMSVSSPCSSLRYLSIRNCPGFGSASMAMIGKLCPQLQHVDLSGLCGITDAGLLPLLESCEAGLVKVNLSGCLSLTDEVVSALARLHGGTLELLNLDGCRKITDASLLAIAENCLFLSDLDVSKCAVTDSGITILSSAEQLNLQVLSLSGCSEVSNKILPCLKKMGRTLVGLNLQNCSSISSSTVELLVESLWRCDILS >Potri.018G130800.2.v4.1 pep chromosome:Pop_tri_v4:18:14099171:14102839:-1 gene:Potri.018G130800.v4.1 transcript:Potri.018G130800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G130800.v4.1 MPALVNYSGDDEIYSGGSLYANSSDLGRLYSIGSRVDVYSPACKRARISAPFLFGSSGFEQNKRPSIEVLPDECLFEIFRRVPEGKERSSCACVSKKWLMLLSSIRRNEFCSSSAAAEEKETVAPVRNDVEMVSCEENREVESDGYLTRSLEGKKATDMRLAAIAVGTSSRGGLGKLLIRGSNSVRGVTNLGLSTIARGCPSLRALSLWNVPFVGDEGLFEIAKECHLLEKLDLTNCPSISNKGLIAVAENCPNLSSLNIESCSKIGNEGLQTIGKLCPKLQSISIKDCPLVGDHGVSSLLSSASSVLTRVKLQALNITDFSLAVIGHYGKAVTNLALSGLQHVSEKGFWVMGNAKGLQKLMSLTITSCRGITDVSLEAIAKGSVNLKQMCLRKCCFVSDNGLVAFAKAAGSLESLQLEECNRVSQSGIVGSLSNCGAKLKALSLVKCMGIKDMAFRMSVSSPCSSLRYLSIRNCPGFGSASMAMIGKLCPQLQHVDLSGLCGITDAGLLPLLESCEAGLVKVNLSGCLSLTDEVVSALARLHGGTLELLNLDGCRKITDASLLAIAENCLFLSDLDVSKCAVTDSGITILSSAEQLNLQVLSLSGCSEVSNKILPCLKKMGRTLVGLNLQNCSSISSSTVELLVESLWRCDILS >Potri.010G180200.3.v4.1 pep chromosome:Pop_tri_v4:10:17913036:17917531:-1 gene:Potri.010G180200.v4.1 transcript:Potri.010G180200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180200.v4.1 MANPPSPPPPPPPQPSDPIRIDGADEDAVLSSSAYLTHQELLTRRSRRLKQLAQIFRAHYWTLMEELKIKHKEYYWIHGKSPYKEDEKNKKRKRDLNSDKENFEWNTKLGINGGGEVEAEEREEAEEREEEGVRKCSASGCKAKPMALTTFCYTHILSDSKQKLYKGCAYVVKSAQGRHVLCGKPALISTVPSLCPMHCQKAERLVGRALKKAGLSVSSPSKLAPKLHVIVTEFVRQIQTKRRAALKENVSEDHIKEDKISQGS >Potri.010G180200.2.v4.1 pep chromosome:Pop_tri_v4:10:17913041:17917531:-1 gene:Potri.010G180200.v4.1 transcript:Potri.010G180200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180200.v4.1 MANPPSPPPPPPPQPSDPIRIDGADEDAVLSSSAYLTHQELLTRRSRRLKQLAQIFRAHYWTLMEELKIKHKEYYWIHGKSPYKEDEKNKKRKRDLNSDKENFEWNTKLGINGGGEVEAEEREEAEEREEEGVRKCSASGCKAKPMALTTFCYTHILSDSKQKLYKGCAYVVKSAQGRHVLCGKPALISTVPSLCPMHCQKAERLVGRALKKAGLSVSSPSKLAPKLHVIVTEFVRQIQTKRRAALKENVSEDHIKEDKISQGS >Potri.015G079000.1.v4.1 pep chromosome:Pop_tri_v4:15:10501196:10512866:-1 gene:Potri.015G079000.v4.1 transcript:Potri.015G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G079000.v4.1 MGWPKLSWLVVLCWVLSFPCFRALGDDAEASVNFLKAPHAFSHLNIATFEFQVLVGGHVNSCTNCSVSCKLDSGPESDCGASKISYQGLQDGNHTFEVCINGSQRVGCATYNWTVDTIPPTAYITASKLFTNALNVSVNISFTEPCTGGGFGCSSVNACNLLVYGAGQVIPSSLTVLEPNLKYTLLVGLSPSVLYGRVILVMDKNFCTDTAGNRFTRAANSSFFVHVDRRSVFVDLRIHIPEKLLQLNNEIRTVKATNNDDNLKFYMYFSEPILNSSAEILNSLNTSQGVLLPISGENLGNRKFGFQVANLSSIAVVTIGLLSNSIISRPGTSVSPIAPVTFLYDSQRPAVRLSTSSNTRTKEHSIPISIKFVKPVFGFNSSFLSISGGHLQGFHEISRSKYIAEIKADGDILSVSIPQNVIGDVAGNKNLASNILQVRHYSVPTISSVISAFATACFLATSLAAGLLTLSTASLLSAGAFSRPSSLLTAEPTRNIFRTACHIQVFAMSRWLAVTLPVEYYEFARNLQWSIPYFSLPWETGDIQPIMVKSNSSSGAHSYISKTHDISLSMQLKGKSVNKSSPVYGLPLSPMEYLSFFESQSFKPEAEHVLDPQHSNGWRDFDRSMFWLAVIGGSMILLHAILLFILKLRKGNTEKQRDYGALTLPRFEIFLTFLALPCICVASAALVRGGTTSGIIVGILLLGVVGFILLALFLILSIGITFGKLLQYKEVHQEGQIFHWYQDIIRVTLGPGKRGQWTWNNQPKSVYLVRLGALFEDLRGPPKYMLSQIAGVPRNQGDRIIASDDETEDAEAPFIQKLFGVLRIYYTLLESVKRVSLGILAGVYLDSWSSKTPTVVLLSITCFQLFFLVLKKPFIKKKVQLVEIISISCQVCIFATCFILLEKELSTGVETKVGIFMIALFLIGFLAQMANEWYALYRQIMRLDPSEKYFLTGLKTASIGFLLLFISKGLSQDLESKLPAKRRSDGGTGGEAGSSVDRNKSSGSPGTPDKPWQKQLRELARASFTKERSGSRNDPSTSRTKWSGIWTNKRSGSSSQKTSPDSKSKTKWLYEDLEEIFASK >Potri.016G012400.1.v4.1 pep chromosome:Pop_tri_v4:16:650573:653348:1 gene:Potri.016G012400.v4.1 transcript:Potri.016G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G012400.v4.1 MDINNLSKRAQELWNEWEIRSLILLSLFLQILLIVIGNRRKYHTRIVLGGLVWIAYLSADWVTTYALSSVSRSQGGSGTNCINPTPNNIPAFWAPILLVHLGGPDTITAYALEDNELWLRHLLQLAIQASTTSYSLFKSWGKDPLIYIAIPIFVAGITKYGDRVLVLWLASSKKFRDIQSEEVDTFQSEFGKKFPSVGFFDMSEEDLNKGLEFNNIIPEAVYLHEAHFLFQMFKIFYADLALSHSSHMASYRILSSKKKATEAFKVIEVELGLMYDVLFTKVTSVCSTRTILRSISFLSSASALVAFSLMVANKCAYTETEVIISYILLGGGVVLEIYGFIMLLLSEWAMFRLSLLKNPWANALYKAVHKAIYSDNNKRWERDMAQHDLTDAHITKNGALWKMVKNSLVCKPTPKVCFLKLIGEDIQSWEVISDELKELIWEYLKDKRSRYSHEMPQPDPGMNDLKEILAERGDHVLKKMGCLEEFRWAVVEIDFHGSLLLWHIATDICYHDDIRNNKVDANNQLCKMSRSLSNYMLYLLSERPNMLPKGIGQARYKQTGIQLTESSWCWRSKIITSTHWGSEEFMKEIKKKEGDVSMLHDACKLAKELQSLETSEKKLTNEQKWRMISKIWVEMLTYAASNCGWKEHAQALTRGGELLTRVCLLMAHLGLSEQCLPRASTSSREAQNP >Potri.017G111050.1.v4.1 pep chromosome:Pop_tri_v4:17:11869753:11870583:-1 gene:Potri.017G111050.v4.1 transcript:Potri.017G111050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111050.v4.1 MFWIYIFPVSFAFPLVLPLRLFFLATGIRVFFPIRLSLITDPAVLKEVQQSLSPASFSLCYPVRIPLFPFFILPVLFFWCVCVLLVSFPLCSFLFPFFPPCFFFLLSPCFLLPFFLPFARSFLWLL >Potri.014G129000.1.v4.1 pep chromosome:Pop_tri_v4:14:8649670:8654120:1 gene:Potri.014G129000.v4.1 transcript:Potri.014G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G129000.v4.1 MRMEFEYREEYIKSSRGVQLFTCRWLPANSCSPKALVFLCHGYGMECSGFMRECGVRLASARYAVFGIDYEGHGRSSGSRCYIKKFENIVNDCDEFFKSVCAEKEYRYKGRFLYGESMGGAVALLLHKKEPSFYNGAVLAAPMCKISEKLKPHQVVINILTGFEDLIPKWKIVPSKDVIDSAFKDPVKREEIRNNKLIYQDKPRLKTALEMLRTSMRVEESLNKVTLPFLVLHGDSDTVTDPEISKALYERASSIDKTMKLYPGMWHGLTAGETDENVGIVFTDVVAWLDKYTAEGSNLVVEPLHETFNIGIEKLPSPPPTTYKPSLGCYLCGFKETRTLHSAM >Potri.016G136700.1.v4.1 pep chromosome:Pop_tri_v4:16:14058775:14060500:-1 gene:Potri.016G136700.v4.1 transcript:Potri.016G136700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136700.v4.1 MMSMSLPSPCSPLSEFLPSPSLSNHPVFFNNTSKLCCHKFSSLKLVFIPAASLSTTKSYPTETTVLHSPILGNKEQRLYPKEGEEHKGLPMKEEEQESLDFDEKASKFQVLDLLNAVKALPCKERVDYIVRVLDKEIGFFNISDFNDVLMALVTANESDLVLKLYSGLSCYSLEPNSWTFSIMVRCHCKKKDPGEAKRVLDQMMQKGFNPNVATLTILINSFCKMGQLQNTFQVFEVMDRIGCKPNIQTYNCLLKGMCYVGRIEEAFELMEDIKKTTVEPDIYTYTAMMDGFCKVGRSDEAMELLNEAMEMGLAPNVVTFNTLLDGYAKEGRPLKGFGVLKLMKQRKCMPDYISYSTLLHGLLLWGKVLAGLRIFNEMEGSGLEADERLMNSLVRGLCRKSIKENDLVEVAYEVFEKMKKRGFVIEQSTYALVIQALWVAKKVDDAFINLHQMVRLGYIPRLITINNVIRALCVGGKVDKAFYVLVLMYENSKIPSRMSYDLLIHELNRQERTLGACNVYGAALVRGVVPHKKPRR >Potri.001G337200.1.v4.1 pep chromosome:Pop_tri_v4:1:34561628:34563664:1 gene:Potri.001G337200.v4.1 transcript:Potri.001G337200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337200.v4.1 MRKRSPKVCSSQHVDRLSNLPNHIIHHILSFLDAKYAVQTSVLAKGWRNLWTSVHVLHLNSNSFRRLGRFKKFVVAVLNKLNHNSTAGTFLFTYRGRIDDYLKKRVIYFASFHGVGHLVLDLGCKRPPVSQALLNCQTFKTIKLKNATFTTSFGFSKLTTLHLKHCLFSLVSNCFDFSDCLPNLSSLSLLGCDFTRFKVLKISGPQLLNVTIRSMWYSYGGLSKGCKVEISAPKLTFFSYKESHVVDFSVINLPSLQHADVDVFLSYEEENKDIQCLLKFFQGLYNVQSATLSYSTIQALNGVPGLEHQQSPFSTLSLKLTHPSDCSFPIPVKVAKYLCNGSPTPDTILVK >Potri.001G337200.2.v4.1 pep chromosome:Pop_tri_v4:1:34561450:34563597:1 gene:Potri.001G337200.v4.1 transcript:Potri.001G337200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337200.v4.1 MRKRSPKVCSSQHVDRLSNLPNHIIHHILSFLDAKYAVQTSVLAKGWRNLWTSVHVLHLNSNSFRRLGRFKKFVVAVLNKLNHNSTAGTFLFTYRGRIDDYLKKRVIYFASFHGVGHLVLDLGCKRPPVSQALLNCQTFKTIKLKNATFTTSFGFSKLTTLHLKHCLFSLVSNCFDFSDCLPNLSSLSLLGCDFTRFKVLKISGPQLLNVTIRSMWYSYGGLSKGCKVEISAPKLTFFSYKESHVVDFSVINLPSLQHADVDVFLSYEEENKDIQCLLKFFQGLYNVQSATLSYSTIQALNGVPGLEHQQSPFSTLSLKLTHPSDCSFPIPVKVAKYLCNGSPTPDTILVK >Potri.001G337200.3.v4.1 pep chromosome:Pop_tri_v4:1:34561579:34562894:1 gene:Potri.001G337200.v4.1 transcript:Potri.001G337200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337200.v4.1 MRKRSPKVCSSQHVDRLSNLPNHIIHHILSFLDAKYAVQTSVLAKGWRNLWTSVHVLHLNSNSFRRLGRFKKFVVAVLNKLNHNSTAGTFLFTYRGRIDDYLKKRVIYFASFHGVGHLVLDLGCKRPPVSQALLNCQTFKTIKLKNATFTTSFGFSKLTTLHLKHCLFSLVSNCFDFSDCLPNLSSLSLLGCDFTRFKVLKISGPQLLNVTIRSMWYSYGGLSKGCKVEISAPKLTFFSYKESHVVDFSVINLPSLQHADVDVFLSYEEENKDIQCLLKFFQGLYNVQSATLSYSTIQVCQDDYHYAV >Potri.001G404875.1.v4.1 pep chromosome:Pop_tri_v4:1:43090879:43092807:-1 gene:Potri.001G404875.v4.1 transcript:Potri.001G404875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G404875.v4.1 MEPKVFHILRFSNVHLNDSALQQCLLYCAFFPEDFTVDREDLIGYLIDEGIIQPMKSRQAEYDRGQAMLNKLENACLLESYISKEDYRCFKMHDLIRDMALQKLREKSPIMVEAEEQLKELPDESEWKVDVMRVSLMKNHLKEIPSGCSPMCPKLSTLFLFSNFKLEMIADSFFKHLQGLKVLDLSSTAIRELPSSFSDLVNLTALYLRRCHNLRYIPSLAKLRELRKLDLRYTALEELPQGMEMLSNLRYLNLFGNSLKEMPAGILPKLSQLQFLNVNRASGIFKTVRVEEVACLKRMETLRYQFCDLVDFKKYLKSPEVRQPLTTYFFTIGQLGVDPVMDSLLYMTPEEVFYKEVLVHDCQIGENGRFLELPPRRCFIFLNRKMSRRKELMRCFSI >Potri.019G077400.3.v4.1 pep chromosome:Pop_tri_v4:19:11825905:11829950:1 gene:Potri.019G077400.v4.1 transcript:Potri.019G077400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077400.v4.1 MGNHFTCMTKKDTRDNHGSRSKRMGRSQRKLLAEEELLHRQALSMALQQHQLSQRFDGSMSRRIGSTSSRRRNLSDPFSNGKQVPDFLENINVKKFVLVHGEGFGAWCWYKTIALLEEAGLFPIAIDLTGSGIDLADTSSVTTLAEYSKPLISYLENLPEDEQVFLVGHSSGGACVSYALEHFPKKISKAIFLCATMVSDGQRPFDVFAEELGSAERFMQESQFLIYGNGKDKPPTAFMFEKQQMKGLYFNQSPTKDVALAMVSMRPIPLGPIMEKLSLSPEKYGTGRRFFIQTLDDRALSPDVQEKLVRDNPPERVFKIKGSDHCPFFSKPQSLHKMLLEIAQIP >Potri.007G111700.1.v4.1 pep chromosome:Pop_tri_v4:7:13317313:13318496:-1 gene:Potri.007G111700.v4.1 transcript:Potri.007G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111700.v4.1 MLRLIGSLSFLWLLMAISTMAQSPPVLDAGGEPLRSGVEYLADPAVADVAGSLTLVARNGSCPFYVGQESARSGRLGIPVIFTPRNPQETIITESIEVTVTFSGVSTCVRNTAWTIGGEDSQTRRRFVVTGAEPSYFQINSRNQLGGSYTFQGCPQCVDEPDCGRAKCGTAGILIQNGTRFLVLDGPEFTFMFVRAN >Potri.001G159400.1.v4.1 pep chromosome:Pop_tri_v4:1:13478371:13484742:-1 gene:Potri.001G159400.v4.1 transcript:Potri.001G159400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159400.v4.1 MEEEKAKKSSRTVEAAAEEEKERPVRVYADGIYDLFHFGHARSLEQAKKLFPNTYLVVGCCNDEMTHRYKGKTVMTDQERYESLRHCRWVDEVIPDAPWVITQEFLDKHRIDYVAHDSLPYADASGAGKDVYEFVKSVGRFKETKRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKFREKVKKQQEIVGEKIQVVAKTARVHRNEWVENADRLVAGFLEMFEERCHKMGTAIRERIQEKLTKRQLIGLMYDRYDGDSDEYYYDDDTGEEYSD >Potri.001G159400.7.v4.1 pep chromosome:Pop_tri_v4:1:13478837:13484359:-1 gene:Potri.001G159400.v4.1 transcript:Potri.001G159400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159400.v4.1 MTHRYKGKTVMTDQERYESLRHCRWVDEVIPDAPWVITQEFLDKHRIDYVAHDSLPYADASGAGKDVYEFVKSVGRFKETKRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKFREKVKKQQEIVGEKIQVVAKTARVHRNEWVENADRLVAGFLEMFEERCHKMGTAIRERIQEKLTKRQLIGLMYDRYDGDSDEYYYDDDTGEEYSD >Potri.001G159400.6.v4.1 pep chromosome:Pop_tri_v4:1:13478805:13484505:-1 gene:Potri.001G159400.v4.1 transcript:Potri.001G159400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159400.v4.1 MTHRYKGKTVMTDQERYESLRHCRWVDEVIPDAPWVITQEFLDKHRIDYVAHDSLPYADASGAGKDVYEFVKSVGRFKETKRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKFREKVKKQQEIVGEKIQVVAKTARVHRNEWVENADRLVAGFLEMFEERCHKMVSLPSWPELSGWWSVCAFIISLSMLMPRLVCISIREQLSESEFKRN >Potri.001G159400.2.v4.1 pep chromosome:Pop_tri_v4:1:13478745:13484686:-1 gene:Potri.001G159400.v4.1 transcript:Potri.001G159400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159400.v4.1 MTHRYKGKTVMTDQERYESLRHCRWVDEVIPDAPWVITQEFLDKHRIDYVAHDSLPYADASGAGKDVYEFVKSVGRFKETKRTDGISTSDIIMRIVKDYNEYVMRNLARGYTRKDLGVSYVKEKRLRVNMGLKKFREKVKKQQEIVGEKIQVVAKTARVHRNEWVENADRLVAGFLEMFEERCHKMGTAIRERIQEKLTKRQLIGLMYDRYDGDSDEYYYDDDTGEEYSD >Potri.010G133300.1.v4.1 pep chromosome:Pop_tri_v4:10:14943913:14945552:1 gene:Potri.010G133300.v4.1 transcript:Potri.010G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G133300.v4.1 MFIKYLNLISAHLRWAFNFLCYYPFSFQEHELFAVTAIGEELNTVINEAPAECAVCLSDVQEGEEIRELRCGHIFHRACLYRWLDFRQSTCPLCRGSLAPRRTLILDQHRTEVLTFKFCSFTSTDERDTWWLR >Potri.009G046200.1.v4.1 pep chromosome:Pop_tri_v4:9:5215466:5219069:1 gene:Potri.009G046200.v4.1 transcript:Potri.009G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046200.v4.1 MVATAAAAHKPQQQQTRVLIVGNYCHDVLIQDDTVKAESLGGAASFISNVVNGMSIPCNLVSKVGEDFKYPVDYTPIVIPTSKTTVFHAYFDLGILENGHQDRVLKRVCACDPIRPSDLPDVRSNFGMAVGVGGEILPETLERMIEICDAVFVDIQALIRVFDSVDGTVKLVKLEETEFYSMLPRIGVLKASSEEAVFMDVEEVRKWCCVVVTTGKDGCKVYWKDGELRISPFSANQEDPTGAGDSFLGGFVAGLVQGLAVPDAALLGNLFGSLTVEQIGLPKFDSRLLQRVKDEVQRRKMQCLHCERSDNELDFLKPVGHEQFHASLGSAKLIPPCSSQARPWTPSNSPKETEQTPIPHYTAQAKLLVNSVYEEPIQTVEGKP >Potri.019G098800.1.v4.1 pep chromosome:Pop_tri_v4:19:13560489:13563348:1 gene:Potri.019G098800.v4.1 transcript:Potri.019G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098800.v4.1 MSCFFEVFAYIWRFIIRNPRVSPSPSTPSTLTTAQPQVMKYNVFLSFRGEDTRFDFTSHLYAALNRKQILTFIDYQLVRGDEISASLLRTIEEAKLSVIVFSENYASSKWCLEELAKIFERRKNNGQIVIPVFYQVDPSHVRNQTGSFGDAFARLIKKKALTMDKEQSFRDALKDTATLSGWTLGNSQLESEFIEKIVGDVSNKLQAMSSSHTTALFGIDVRVNKVESLLKMESPDVLIVGIRGMGGIGKTTIAQAVSNKVRSRFEGIFFANFRQELETGSMADLQRRFLSQLLGQEILNNSFLSFRDSFVRERLRRKTVFIVLDDVDDSIPLEGWTDLLDGRSSFGRGSKVLITSRDKQVFKNVVDETYEVEGLNYEDSLKLFSSKALKNCSPTIDHRELIEKIASHVQGNPLALIDLGSSLYGKSTVEWCSALNKLAQNPRIEKA >Potri.001G117400.2.v4.1 pep chromosome:Pop_tri_v4:1:9542323:9545462:1 gene:Potri.001G117400.v4.1 transcript:Potri.001G117400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117400.v4.1 MQGGRGNRDPFFDNGDPFGGFGGQRSLLSDIFGGRDPFDDPFFTRPFGGMLESNFFGSGGNPFVNMHPAPFPNMLPSPFPNMHPSPFPNMLPSPFPNMHPSPFPNLHPSGFADHQAPELKKSRGPIIEELDSDNEKGEGDREKKENPRKHGRSSKEPYVEDPDDEAEARKSKHLQYSNDYSSFNGMESQPQGRSFTFQSSTVTHGGANGAYYTSSKTRRAGSDGLTFEESKAADSATGQATHKVSRGLHNKGHSLTRKLNSDGRVNTMQMLHNLNEDELTGFEEAWKGNAGKHLPGWTGSFTGIDNIGASRSGQNTQASRGGWALPSAEQSQHSGRMVPDTTVGAGSSGKQQSGRRKGSSDVKDMIGYPKKQ >Potri.001G287900.33.v4.1 pep chromosome:Pop_tri_v4:1:30021650:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.33.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.29.v4.1 pep chromosome:Pop_tri_v4:1:30021685:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MPNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKHYSCLQSSAIIHSKNTWNADMTWQLHLGNEKLSPGKFKLPRVSHRFCKNVLFIFKYIFIV >Potri.001G287900.26.v4.1 pep chromosome:Pop_tri_v4:1:30021632:30025578:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MINDQSLLVNQSKQQVLMDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.10.v4.1 pep chromosome:Pop_tri_v4:1:30021685:30025440:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKHYSCLQSSAIIHSKNTWNADMTWQLHLGNEKLSPGKFKLPRVSHRFCKNVLFIFKYIFIV >Potri.001G287900.35.v4.1 pep chromosome:Pop_tri_v4:1:30021740:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.35.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.31.v4.1 pep chromosome:Pop_tri_v4:1:30021650:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.31.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.23.v4.1 pep chromosome:Pop_tri_v4:1:30021632:30025561:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MINDQSLLVNQSKQVLMDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKHYSCLQSSAIIHSKNTWNADMTWQLHLGNEKLSPGKFKLPRVSHRFCKNVLFIFKYIFIV >Potri.001G287900.36.v4.1 pep chromosome:Pop_tri_v4:1:30021685:30025440:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.36.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.25.v4.1 pep chromosome:Pop_tri_v4:1:30021650:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKHYSCLQSSAIIHSKNTWNADMTWQLHLGNEKLSPGKFKLPRVSHRFCKNVLFIFKYIFIV >Potri.001G287900.30.v4.1 pep chromosome:Pop_tri_v4:1:30021650:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.34.v4.1 pep chromosome:Pop_tri_v4:1:30021685:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.34.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.15.v4.1 pep chromosome:Pop_tri_v4:1:30021650:30025589:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.13.v4.1 pep chromosome:Pop_tri_v4:1:30021647:30025578:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MINDQSLLVNQSKQQVLMDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.32.v4.1 pep chromosome:Pop_tri_v4:1:30021650:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.32.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.7.v4.1 pep chromosome:Pop_tri_v4:1:30021647:30025565:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MINDQSLLVNQSKQQVLMDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKHYSCLQSSAIIHSKNTWNADMTWQLHLGNEKLSPGKFKLPRVSHRFCKNVLFIFKYIFIV >Potri.001G287900.20.v4.1 pep chromosome:Pop_tri_v4:1:30021685:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MPNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKHYSCLQSSAIIHSKNTWNADMTWQLHLGNEKLSPGKFKLPRVSHRFCKNVLFIFKYIFIV >Potri.001G287900.16.v4.1 pep chromosome:Pop_tri_v4:1:30021647:30025578:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MINDQSLLVNQSKQVLMDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.24.v4.1 pep chromosome:Pop_tri_v4:1:30021650:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKHYSCLQSSAIIHSKNTWNADMTWQLHLGNEKLSPGKFKLPRVSHRFCKNVLFIFKYIFIV >Potri.001G287900.28.v4.1 pep chromosome:Pop_tri_v4:1:30021740:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MINDQSLLVNQSKQVLMDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.27.v4.1 pep chromosome:Pop_tri_v4:1:30021632:30025578:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MINDQSLLVNQSKQVLMDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.001G287900.11.v4.1 pep chromosome:Pop_tri_v4:1:30021740:30025515:1 gene:Potri.001G287900.v4.1 transcript:Potri.001G287900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G287900.v4.1 MINDQSLLVNQSKQQVLMDLETENRIAAILLKEAAELRQRAEREGVHVYLEQPKVRARPNSRFLTATVLGVQQTNRAVEVNEMWRVRQKELKMDDRLREGSGYDDNCSKNYGDVGDIPRSTGRGHSVNENNTRVSSSSSKRVGSSYSREDEGLRDGEVEEFLHSRVKRGRGAVGSRMDETGPYLPPCPDYEEKLSRSPDAKLLGVFFKPEKYCASSEEELGTERLKKAKKVCSKSLDKKNSRKHRSKEKSRGKKRKRKDEKRSKH >Potri.014G077150.1.v4.1 pep chromosome:Pop_tri_v4:14:4981747:4982555:-1 gene:Potri.014G077150.v4.1 transcript:Potri.014G077150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077150.v4.1 MSHRWTELSFLRTFSNSDCLLSTLAHETQTTDGNSFDHGNMVQTNENQSCPNNLVRMRYHIKVLKWWLAMCQRNFLHCLLLLFNSMDYTFRTPFFPRTHKIIAEQAKYSVTNSSVYATSTSPLEYQ >Potri.010G106800.4.v4.1 pep chromosome:Pop_tri_v4:10:12804332:12807504:-1 gene:Potri.010G106800.v4.1 transcript:Potri.010G106800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106800.v4.1 MWPELCKLCDSSNTSPLYSAAVKNHLDVVNAILDADVSSMRIVRKNGKTALHTAARYGLLDIVKVLIARDSGIVCIKDKKGQTALHMAVKGQSTSVVEEILLADHSILNERDKKGNTAVHIATRKSRPQIIFLLLSYTSINVNIINNERETAMDLADKLQYGESALEIKEALIEAGAKHARHVGQMDEAMELKRTVSDIKHEVHSQLIQNEKTNRRVSGIAKELRKLHREAVQNTTNSVTVVAVLFASIAFLAIFNLPGQYIQDGAETGKANIADSVGFQVFCLLNATSLFISLAVVVVQITLVAWDTQAQKQVVSVVNKLMWAACACTCGAFLSIAFVVVGKKSSWMAITITLTGAPILVGTLASMCYFVFRQHFGFFRDSQRRIKRASGSKSFSWSVHSTNISDPDEYNSDLEKIYAL >Potri.010G106800.1.v4.1 pep chromosome:Pop_tri_v4:10:12804372:12807513:-1 gene:Potri.010G106800.v4.1 transcript:Potri.010G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G106800.v4.1 MMMMEPSKSSLRFIAHQAFFSAVRSGDLDSLRQIVGDQPSDVSDLMSLQTDAGETALYIAADNNLEEIFSYLIKRCDLETVKIRSKSDLDAFHLAAKKGHLGIVKELLAMWPELCKLCDSSNTSPLYSAAVKNHLDVVNAILDADVSSMRIVRKNGKTALHTAARYGLLDIVKVLIARDSGIVCIKDKKGQTALHMAVKGQSTSVVEEILLADHSILNERDKKGNTAVHIATRKSRPQIIFLLLSYTSINVNIINNERETAMDLADKLQYGESALEIKEALIEAGAKHARHVGQMDEAMELKRTVSDIKHEVHSQLIQNEKTNRRVSGIAKELRKLHREAVQNTTNSVTVVAVLFASIAFLAIFNLPGQYIQDGAETGKANIADSVGFQVFCLLNATSLFISLAVVVVQITLVAWDTQAQKQVVSVVNKLMWAACACTCGAFLSIAFVVVGKKSSWMAITITLTGAPILVGTLASMCYFVFRQHFGFFRDSQRRIKRASGSKSFSWSVHSTNISDPDEYNSDLEKIYAL >Potri.018G086400.7.v4.1 pep chromosome:Pop_tri_v4:18:10418849:10420986:1 gene:Potri.018G086400.v4.1 transcript:Potri.018G086400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086400.v4.1 MDAEHKFGVRKLEILDKSKGFIELLQQLTICDSVSYKEFEERFQEISSYGDDHLICVIEDVRSGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSAARGMQLGKKIIEFLTDHAHSMGCYKVILDCSLENKAFYEKCGYKQKEVQMVKYFI >Potri.018G086400.10.v4.1 pep chromosome:Pop_tri_v4:18:10418849:10420986:1 gene:Potri.018G086400.v4.1 transcript:Potri.018G086400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086400.v4.1 MDAEHKFGVRKLEILDKSKGFIELLQQLTICDSVSYKEFEERFQEISSYGDDHLICVIEDVRSGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSAARGMQLGKKIIEFLTDHAHSMGCYKVILDCSLENKAFYEKCGYKQKEVQMVKYFI >Potri.018G086400.9.v4.1 pep chromosome:Pop_tri_v4:18:10418849:10420986:1 gene:Potri.018G086400.v4.1 transcript:Potri.018G086400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086400.v4.1 MDAEHKFGVRKLEILDKSKGFIELLQQLTICDSVSYKEFEERFQEISSYGDDHLICVIEDVRSGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSAARGMQLGKKIIEFLTDHAHSMGCYKVILDCSLENKAFYEKCGYKQKEVQMVKYFI >Potri.018G086400.6.v4.1 pep chromosome:Pop_tri_v4:18:10418849:10420986:1 gene:Potri.018G086400.v4.1 transcript:Potri.018G086400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086400.v4.1 MLNTVNFLLYLQVCNSLSQPFASNTWTAPTQASTLHHSSMDAEHKFGVRKLEILDKSKGFIELLQQLTICDSVSYKEFEERFQEISSYGDDHLICVIEDVRSGKIIATGSVFIEKKFLRNCGKVGHIEDVVVDSAARGMQLGKKIIEFLTDHAHSMGCYKVILDCSLENKAFYEKCGYKQKEVQMVKYFI >Potri.005G172400.1.v4.1 pep chromosome:Pop_tri_v4:5:17761982:17766134:-1 gene:Potri.005G172400.v4.1 transcript:Potri.005G172400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172400.v4.1 MAASVDNRQFHLEPNLNGVVRSFKSVPTHQPNSPVRTFNFSNQDFTRSDQKPVPTLEEEDRSSDDEADYKDLIRKTNSELEPSVIDSRDEGTADNWIERNPSMVRLTGKHPFNSEPPLARLMHHGFITPVPLHYVRNHGPVPKATWQDWTVEVCGLVKRPARFTMDKLVNEFPARELPVTLVCAGNRRKEQNMVKQTIGFNWGSAGVSTSVWRGVPLHLLLKKCGIYSRKKGALNVCFEGAEDLPGGGGSKYGTSIKKEFAMDPSRDIILAYMQNGEPLAPDHGFPVRMIIPGFIGGRMVKWLKRIIVTSVESDNYYHYMDNRVLPSHVDAELANAEAWWYKPEYIINELNINSVITTPSHEEILPINSWTTQSPYTLRGYAYSGGGKKVTRVEVTLDGGETWQVCSLDHEEKPNKYGKYWCWCFWSLEVEVLELLGAKEIAVRAWDETLNTQPEKLNWNIMGMMNNCWFRVKTNVCKRHKGEIGIIFEHPTVPGNQSGGWMAKQRHLEKSLENIQALKKSVSTPFMNTSSKTFSMAEVKKHNSADSAWIIVHGHVYDCTRFLKDHPGGTDSILINAGTDCTEEFDAIHSDKAKKMLEDHRIGELVSSSAYTSDSNASSPNNSVHLAPIKEIASIRNVALVPREKIPCKLIKKEILSHDVRLFRFALPSEDQVLGLPVGKHIFLCATVNDKLCMRAYTPASTVDVVGYFDLVIKVYFKGVHPKFPNGGQMSQYLNSLSLGSVIDVKGPLGHIEYVGRGKFLVRDKPKFAKKLTMLAGGTGITPIYQLIQAILKDPEDDTEMYLVYANRTEDDILLRDELDSWAKEHERLKVWHVIQESIKEGWQYSVGFVTESILREHVPEGSDDTLALACGPPPMIQFAVQPNLEKMNYDIKDSLLVF >Potri.016G020800.3.v4.1 pep chromosome:Pop_tri_v4:16:1123873:1125501:-1 gene:Potri.016G020800.v4.1 transcript:Potri.016G020800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020800.v4.1 MADKPHAVFIPLQLQSHIKSMLKLAKLLHHKGFHITFVNTEFNHRLLLNSRGPESLNGLHDFRFETIPDSVPPSDTLASAEDFKKNQLASFNDLLAKLHDTTFSGVPPVTCIVSDGLMPFTINAAEMLGIPIALFFTISACVFMCSKQFRALKKKGLAPLDDESFQTNDFADRIIDGIPGMKDLRLRDIPRFSRSTDPNGWFFNNAMEAVESASKASAIILHTFDALEQEVLNALFSMHSRVFPIGPLQLLLNQVPEDDLKSIGCNLWKEESECLQWLDSKEPKSVIYVNFGSIAVISKQQFIEFGMGLAKSGHPFLWAIRPDMVIGDSPIFPLEFMKETKERGFIASWCPQEEVLSRPSIGGFITHCGWGSTIESISSGVPMLCWPSFGDQQTNCRYTCTEWGIGMEIDSNVKIKR >Potri.003G043200.1.v4.1 pep chromosome:Pop_tri_v4:3:4872069:4876619:1 gene:Potri.003G043200.v4.1 transcript:Potri.003G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G043200.v4.1 MDSFPVVKNILLLDSEGKRVAVKYYSDDWPTNSAKLAFEKSLFAKTMKSNARTEAEITMFDSNIVIYKFVQDLHFYVTGGEDENELILAAVLQGFFDSVSLLLRSNVDKREALENLDLIFLCLDEIVERGMILETDANVIAGKVAVNSMDPTAPLSEQTIGQALATAREHLTRTLFQ >Potri.008G101675.2.v4.1 pep chromosome:Pop_tri_v4:8:6434939:6436017:-1 gene:Potri.008G101675.v4.1 transcript:Potri.008G101675.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G101675.v4.1 MGEREKGLSKVKERHKFLQGNLFERMNKASTCYATSQEKNFADGFFAGFQLVAEKMGFSC >Potri.010G226000.7.v4.1 pep chromosome:Pop_tri_v4:10:20945829:20951910:-1 gene:Potri.010G226000.v4.1 transcript:Potri.010G226000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226000.v4.1 MKNSVSEQNFYIESEEEDEEKELNRDGEGEADVNGTDSDESLADDNRQQSKTGSYNTSWPQSYRQSIDLYSSVPSPNLTFLGTPTLSRLSSSYLSSSLTRRHTPESLPTVVKPLLDKPEDEQLPPQRRSSRSLLPPTLLRRSSSIRKDEKLSRVSHELPMSRQSSFGQALLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRDCLDSEPGLGTYPDIGQAAFGTAGRVVISIILYVELYACCVEYIILESDNLSSLFPNANISLGGFELDSHHFFALMTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWIGLIDNVGIHSEGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNRFPAVLLACFGLCTLMYAGVAYMGYTMFGEKTESQFTLNLPQDLVASKVAVWTTVVNPFTKYALTMSPVAMSLEELIPSNHMKSHMYAICIRTALVISTLLVALSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKATRFQIAVCIIIIAVGVVSSVFGTRSSLSRIIENLSS >Potri.010G226000.6.v4.1 pep chromosome:Pop_tri_v4:10:20945941:20951603:-1 gene:Potri.010G226000.v4.1 transcript:Potri.010G226000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226000.v4.1 MKNSVSEQNFYIESEEEDEEKELNRDGEGEADVNGTDSDESLADDNRQQSKTGSYNTSWPQSYRQSIDLYSSVPSPNLTFLGTPTLSRLSSSYLSSSLTRRHTPESLPTVVKPLLDKPEDEQLPPQRRSSRSLLPPTLLRRSSSIRKDEKLSRVSHELPMSRQSSFGQALLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRDCLDSEPGLGTYPDIGQAAFGTAGRVVISIILYVELYACCVEYIILESDNLSSLFPNANISLGGFELDSHHFFALMTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWIGLIDNVGIHSEGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNRFPAVLLACFGLCTLMYAGVAYMGYTMFGEKTESQFTLNLPQDLVASKVAVWTTVVNPFTKYALTMSPVAMSLEELIPSNHMKSHMYAICIRTALVISTLLVALSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKATRFQIAVCIIIIAVGVVSSVFGTRSSLSRIIENLSS >Potri.010G226000.8.v4.1 pep chromosome:Pop_tri_v4:10:20945951:20951868:-1 gene:Potri.010G226000.v4.1 transcript:Potri.010G226000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226000.v4.1 MKNSVSEQNFYIESEEEDEEKELNRDGEGEADVNGTDSDESLADDNRQQSKTGSYNTSWPQSYRQSIDLYSSVPSPNLTFLGTPTLSRLSSSYLSSSLTRRHTPESLPTVVKPLLDKPEDEQLPPQRRSSRSLLPPTLLRRSSSIRKDEKLSRVSHELPMSRQSSFGQALLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRDCLDSEPGLGTYPDIGQAAFGTAGRVVISIILYVELYACCVEYIILESDNLSSLFPNANISLGGFELDSHHFFALMTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWIGLIDNVGIHSEGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNRFPAVLLACFGLCTLMYAGVAYMGYTMFGEKTESQFTLNLPQDLVASKVAVWTTVVNPFTKYALTMSPVAMSLEELIPSNHMKSHMYAICIRTALVISTLLVALSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKATRFQIAVCIIIIAVGVVSSVFGTRSSLSRIIENLSS >Potri.010G226000.3.v4.1 pep chromosome:Pop_tri_v4:10:20945829:20951909:-1 gene:Potri.010G226000.v4.1 transcript:Potri.010G226000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226000.v4.1 MKNSVSEQNFYIESEEEDEEKELNRDGEGEADVNGTDSDESLADDNRQQSKTGSYNTSWPQSYRQSIDLYSSVPSPNLTFLGTPTLSRLSSSYLSSSLTRRHTPESLPTVVKPLLDKPEDEQLPPQRRSSRSLLPPTLLRRSSSIRKDEKLSRVSHELPMSRQSSFGQALLNGLNVLCGVGILSTPYAAKEGGWLGLSILLIFAVLSFYTGMLLRDCLDSEPGLGTYPDIGQAAFGTAGRVVISIILYVELYACCVEYIILESDNLSSLFPNANISLGGFELDSHHFFALMTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWIGLIDNVGIHSEGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNRFPAVLLACFGLCTLMYAGVAYMGYTMFGEKTESQFTLNLPQDLVASKVAVWTTVVNPFTKYALTMSPVAMSLEELIPSNHMKSHMYAICIRTALVISTLLVALSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKATRFQIAVCIIIIAVGVVSSVFGTRSSLSRIIENLSS >Potri.010G226000.9.v4.1 pep chromosome:Pop_tri_v4:10:20945950:20951904:-1 gene:Potri.010G226000.v4.1 transcript:Potri.010G226000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G226000.v4.1 MLLRDCLDSEPGLGTYPDIGQAAFGTAGRVVISIILYVELYACCVEYIILESDNLSSLFPNANISLGGFELDSHHFFALMTTLAVLPTVWLRDLSVLSYISAGGVIASVLVVLCLFWIGLIDNVGIHSEGTVLNLGTLPVAIGLYGYCYSGHAVFPNIYTSMAQPNRFPAVLLACFGLCTLMYAGVAYMGYTMFGEKTESQFTLNLPQDLVASKVAVWTTVVNPFTKYALTMSPVAMSLEELIPSNHMKSHMYAICIRTALVISTLLVALSIPFFGLVMSLIGSLLTMLVTLILPCACFLSILRGKATRFQIAVCIIIIAVGVVSSVFGTRSSLSRIIENLSS >Potri.004G236900.7.v4.1 pep chromosome:Pop_tri_v4:4:24113531:24119556:-1 gene:Potri.004G236900.v4.1 transcript:Potri.004G236900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236900.v4.1 MVLGRISDLVSAATSRLSPAKSSAFPYMPTGLSPPHETIDHGFTFSNSAPKNNNMRLSSSLQDFSSYHHLDLEQGDINLGVGRKPHSLQRENAGSSFSKEKALPCGTPVLRRKGLQLLLIFLCLLLFAFLTYLVTAYVYSYWSQGASRFYVVLDCGSTGTRVYVYQATIDHNSDGLPFVLKSYTEGVSRKPSGRAYDRMETEPGLHTLVHNTSGLKAAINPLVRWAEKQIPQQAHKTTSLFLYATAGVRRLPSADSKWLLDKSWSILKESPFLCQREWIKIISGMEEAYYGWIALNHRTGVLGASPKKATFGALDMGGSSLQVTFESEEHVHNETSLSLRIGAVNHHLSAYSLAGYGLNDAFDRSVAHILKKPSSADLVSGNIEIRHPCLQSGYKEQYICSQCFSKQQDGASPVIRGRNLGNRVKSGLPVQLIGAPNWEECSALAKIAVNLSEWSNQDPGIDCDLQPCALPPNLPRPYGHFYGMSGFFVVYRFFNLTSEAALDDVLEKGREFCEKNWEIAKNSVPPQPFIEQYCFRAPYIVLLLREGLHITENQIIIGSGSITWTLGVALLEAGKTFSTRLKLHDYEVLQMKIHPVVLITILLISLILLVWALSCYGNWMPRFFWRPYFLLFRNNSTSATSVLSIQSPFRFRRWSPISSGDGRVKMPLSPTVAGSQQRSFGLGDSLGDSGIQLMESSLHPSTNSVSHSYSSSSLGQMIDSSSMGSFWTPHRGQMRLQSRRSQSREDLNSSLADAHMTKV >Potri.004G236900.1.v4.1 pep chromosome:Pop_tri_v4:4:24113574:24119556:-1 gene:Potri.004G236900.v4.1 transcript:Potri.004G236900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236900.v4.1 MVLGRISDLVSAATSRLSPAKSSAFPYMPTGLSPPHETIDHGFTFSNSAPKNNNMRLSSSLQDFSSYHHLDLEQGDINLGVGRKPHSLQRENAGSSFSKEKALPCGTPVLRRKGLQLLLIFLCLLLFAFLTYLVTAYVYSYWSQGASRFYVVLDCGSTGTRVYVYQATIDHNSDGLPFVLKSYTEGVSRKPSGRAYDRMETEPGLHTLVHNTSGLKAAINPLVRWAEKQIPQQAHKTTSLFLYATAGVRRLPSADSKWLLDKSWSILKESPFLCQREWIKIISGMEEAYYGWIALNHRTGVLGASPKKATFGALDMGGSSLQVTFESEEHVHNETSLSLRIGAVNHHLSAYSLAGYGLNDAFDRSVAHILKKPSSADLVSGNIEIRHPCLQSGYKEQYICSQCFSKQQDGASPVIRGRNLGNRVKSGLPVQLIGAPNWEECSALAKIAVNLSEWSNQDPGIDCDLQPCALPPNLPRPYGHFYGMSGFFVVYRFFNLTSEAALDDVLEKGREFCEKNWEIAKNSVPPQPFIEQYCFRAPYIVLLLREGLHITENQIIIGSGSITWTLGVALLEAGKTFSTRLKLHDYEVLQMKIHPVVLITILLISLILLVWALSCYGNWMPRFFWRPYFLLFRNNSTSATSVLSIQSPFRFRRWSPISSGDGRVKMPLSPTVAGSQQRSFGLGDSLGDSGIQLMESSLHPSTNSVSHSYSSSSLGQMIDSSSMGSFWTPHRGQMRLQSRRSQSREDLNSSLADAHMTKV >Potri.004G236900.2.v4.1 pep chromosome:Pop_tri_v4:4:24113573:24119513:-1 gene:Potri.004G236900.v4.1 transcript:Potri.004G236900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236900.v4.1 MVLGRISDLVSAATSRLSPAKSSAFPYMPTGLSPPHETIDHGFTFSNSAPKNNNMRLSSSLQDFSSYHHLDLEQGDINLGVGRKPHSLQRENAGSSFSKEKALPCGTPVLRRKGLQLLLIFLCLLLFAFLTYLVTAYVYSYWSQGASRFYVVLDCGSTGTRVYVYQATIDHNSDGLPFVLKSYTEGVSRKPSGRAYDRMETEPGLHTLVHNTSGLKAAINPLVRWAEKQIPQQAHKTTSLFLYATAGVRRLPSADSKWLLDKSWSILKESPFLCQREWIKIISGMEEAYYGWIALNHRTGVLGASPKKATFGALDMGGSSLQVTFESEEHVHNETSLSLRIGAVNHHLSAYSLAGYGLNDAFDRSVAHILKKPSSADLVSGNIEIRHPCLQSGYKEQYICSQCFSKQQDGASPVIRGRNLGNRVKSGLPVQLIGAPNWEECSALAKIAVNLSEWSNQDPGIDCDLQPCALPPNLPRPYGHFYGMSGFFVVYRFFNLTSEAALDDVLEKGREFCEKNWEIAKNSVPPQPFIEQYCFRAPYIVLLLREGLHITENQIIIGSGSITWTLGVALLEAGKTFSTRLKLHDYEVLQMKIHPVVLITILLISLILLVWALSCYGNWMPRFFWRPYFLLFRNNSTSATSVLSIQSPFRFRRWSPISSGDGRVKMPLSPTVAGSQQRSFGLGDSLGDSGIQLMESSLHPSTNSVSHSYSSSSLGQMIDSSSMGSFWTPHRGQMRLQSRRSQSREDLNSSLADAHMTKV >Potri.004G236900.9.v4.1 pep chromosome:Pop_tri_v4:4:24113548:24119513:-1 gene:Potri.004G236900.v4.1 transcript:Potri.004G236900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236900.v4.1 MVLGRISDLVSAATSRLSPAKSSAFPYMPTGLSPPHETIDHGFTFSNSAPKNNNMRLSSSLQDFSSYHHLDLEQGDINLGVGRKPHSLQRENAGSSFSKEKALPCGTPVLRRKGLQLLLIFLCLLLFAFLTYLVTAYVYSYWSQGASRFYVVLDCGSTGTRVYVYQATIDHNSDGLPFVLKSYTEGVSRKPSGRAYDRMETEPGLHTLVHNTSGLKAAINPLVRWAEKQIPQQAHKTTSLFLYATAGVRRLPSADSKWLLDKSWSILKESPFLCQREWIKIISGMEEAYYGWIALNHRTGVLGASPKKATFGALDMGGSSLQVTFESEEHVHNETSLSLRIGAVNHHLSAYSLAGYGLNDAFDRSVAHILKKPSSADLVSGNIEIRHPCLQSGYKEQYICSQCFSKQQDGASPVIRGRNLGNRVKSGLPVQLIGAPNWEECSALAKIAVNLSEWSNQDPGIDCDLQPCALPPNLPRPYGHFYGMSGFFVVYRFFNLTSEAALDDVLEKGREFCEKNWEIAKNSVPPQPFIEQYCFRAPYIVLLLREGLHITENQIIIGSGSITWTLGVALLEAGKTFSTRLKLHDYEVLQMKIHPVVLITILLISLILLVWALSCYGNWMPRFFWRPYFLLFRNNSTSATSVLSIQSPFRFRRWSPISSGDGRVKMPLSPTVAGSQQRSFGLGDSLGDSGIQLMESSLHPSTNSVSHSYSSSSLGQMIDSSSMGSFWTPHRGQMRLQSRRSQSREDLNSSLADAHMTKV >Potri.004G236900.8.v4.1 pep chromosome:Pop_tri_v4:4:24113522:24119513:-1 gene:Potri.004G236900.v4.1 transcript:Potri.004G236900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236900.v4.1 MVLGRISDLVSAATSRLSPAKSSAFPYMPTGLSPPHETIDHGFTFSNSAPKNNNMRLSSSLQDFSSYHHLDLEQGDINLGVGRKPHSLQRENAGSSFSKEKALPCGTPVLRRKGLQLLLIFLCLLLFAFLTYLVTAYVYSYWSQGASRFYVVLDCGSTGTRVYVYQATIDHNSDGLPFVLKSYTEGVSRKPSGRAYDRMETEPGLHTLVHNTSGLKAAINPLVRWAEKQIPQQAHKTTSLFLYATAGVRRLPSADSKWLLDKSWSILKESPFLCQREWIKIISGMEEAYYGWIALNHRTGVLGASPKKATFGALDMGGSSLQVTFESEEHVHNETSLSLRIGAVNHHLSAYSLAGYGLNDAFDRSVAHILKKPSSADLVSGNIEIRHPCLQSGYKEQYICSQCFSKQQDGASPVIRGRNLGNRVKSGLPVQLIGAPNWEECSALAKIAVNLSEWSNQDPGIDCDLQPCALPPNLPRPYGHFYGMSGFFVVYRFFNLTSEAALDDVLEKGREFCEKNWEIAKNSVPPQPFIEQYCFRAPYIVLLLREGLHITENQIIIGSGSITWTLGVALLEAGKTFSTRLKLHDYEVLQMKIHPVVLITILLISLILLVWALSCYGNWMPRFFWRPYFLLFRNNSTSATSVLSIQSPFRFRRWSPISSGDGRVKMPLSPTVAGSQQRSFGLGDSLGDSGIQLMESSLHPSTNSVSHSYSSSSLGQMIDSSSMGSFWTPHRGQMRLQSRRSQSREDLNSSLADAHMTKV >Potri.004G236900.6.v4.1 pep chromosome:Pop_tri_v4:4:24113291:24119562:-1 gene:Potri.004G236900.v4.1 transcript:Potri.004G236900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G236900.v4.1 MVLGRISDLVSAATSRLSPAKSSAFPYMPTGLSPPHETIDHGFTFSNSAPKNNNMRLSSSLQDFSSYHHLDLEQGDINLGVGRKPHSLQRENAGSSFSKEKALPCGTPVLRRKGLQLLLIFLCLLLFAFLTYLVTAYVYSYWSQGASRFYVVLDCGSTGTRVYVYQATIDHNSDGLPFVLKSYTEGVSRKPSGRAYDRMETEPGLHTLVHNTSGLKAAINPLVRWAEKQIPQQAHKTTSLFLYATAGVRRLPSADSKWLLDKSWSILKESPFLCQREWIKIISGMEEAYYGWIALNHRTGVLGASPKKATFGALDMGGSSLQVTFESEEHVHNETSLSLRIGAVNHHLSAYSLAGYGLNDAFDRSVAHILKKPSSADLVSGNIEIRHPCLQSGYKEQYICSQCFSKQQDGASPVIRGRNLGNRVKSGLPVQLIGAPNWEECSALAKIAVNLSEWSNQDPGIDCDLQPCALPPNLPRPYGHFYGMSGFFVVYRFFNLTSEAALDDVLEKGREFCEKNWEIAKNSVPPQPFIEQYCFRAPYIVLLLREGLHITENQIIIGSGSITWTLGVALLEAGKTFSTRLKLHDYEVLQMKIHPVVLITILLISLILLVWALSCYGNWMPRFFWRPYFLLFRNNSTSATSVLSIQSPFRFRRWSPISSGDGRVKMPLSPTVAGSQQRSFGLGDSLGDSGIQLMESSLHPSTNSVSHSYSSSSLGQMIDSSSMGSFWTPHRGQMRLQSRRSQSREDLNSSLADAHMTKV >Potri.006G271200.2.v4.1 pep chromosome:Pop_tri_v4:6:26416672:26422333:-1 gene:Potri.006G271200.v4.1 transcript:Potri.006G271200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G271200.v4.1 MVAAAAVRRGASEAFFIGSQVQRCLMKITGMILPSLLSSKHSNSISSSSRSSSGSSSSRYKHKNDASSSSFRNIDDALASFNHMIHRKPLPCIIQFTKLLSAIVKMGQYYDVVISLSKQMGLAGLSSNTYTLHILMNCFCQLQRVDLGFSVLAKVIKLGLQPTIVTFNTLINGLCKVGEFAQAVELFDDMVARGCQPNVYTYTTIINGLCKTGEAAEAAGLFKKMEEAGCQPDVVTYSTLIDSLCKDRLVNEALDIFSYMKAKGISPDIFTYTSLIQGLCNFSRWKEASALLNEMTSLNIMPDIVTFNVLVDTFCKEGKVLEALGVLKTMTEMGVEPNVVTYNSLMYGYSSCTQVVEARKLFDVMITKGFKPVVFSYNILINGYCKAKRIDEAKQLFNEMIHQGLTPNKVTYNTLIHGLCQLGRVREAQDLFRNMRTNGNLPNLRTYSILLDGFCKQGYLGKAFRLFRAMQSTHLKPNPVMYTILVHAMCKSGNLKDARKLFSELFVQGLQPNVQIYTTIIFGLCKEGLLDEALEAFRNMEEDGCPPDEICYRVIIRGFLQHKDESRAVQLIGEMRDRGFVADARTRLSEVG >Potri.010G022100.2.v4.1 pep chromosome:Pop_tri_v4:10:3240229:3246200:-1 gene:Potri.010G022100.v4.1 transcript:Potri.010G022100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022100.v4.1 MNETRAALVEMEGIPSKARTLQMSLLMAKLYRSSRHTRFAITCYKECLRHCPFVIEAIIALAELGVAAKDIISLFLQASNRSGRAPLDHTDSTRWVQRYVEAQCCIASNDYKGGLDLFAELLQRFPHNIHVLLEIAKVQAIIGKNDEALMNFEKVRSIDPYIVTYMDEYAMLLKTKGDFSKLNKLVHDLLSIDPTRPEVFVALSVLWEKKDERGALSYAEKSTRIDERHILGYIMKGTLLLSLKRPEAAVIAFRGAQELRADLRSYQGLVHSYLAFSKIKEALHAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEAMSHYQSALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEENEIEDADGDQEETDLL >Potri.010G022100.1.v4.1 pep chromosome:Pop_tri_v4:10:3240208:3246224:-1 gene:Potri.010G022100.v4.1 transcript:Potri.010G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G022100.v4.1 MDVPKDQITALLDNQLYNSAQILGCFLVSSSTVSLETSPQLKAENQILLGDALFRDREFRRAIHTYKQALHYCKIIPKQSSTTSRSSLSNRSSSPNSFNISAINENEVKFKIASCHSAMNETRAALVEMEGIPSKARTLQMSLLMAKLYRSSRHTRFAITCYKECLRHCPFVIEAIIALAELGVAAKDIISLFLQASNRSGRAPLDHTDSTRWVQRYVEAQCCIASNDYKGGLDLFAELLQRFPHNIHVLLEIAKVQAIIGKNDEALMNFEKVRSIDPYIVTYMDEYAMLLKTKGDFSKLNKLVHDLLSIDPTRPEVFVALSVLWEKKDERGALSYAEKSTRIDERHILGYIMKGTLLLSLKRPEAAVIAFRGAQELRADLRSYQGLVHSYLAFSKIKEALHAAREAMKAMPQSAKALKLVGDVHASNSGGREKAKKFYESALRLEPGYLGAALALAELHVIEGRNGDAVSLLERYLKDWADDSLHVKLAQVFAATNMLQEAMSHYQSALRINPQNEAAKKGLERLEKQMKGVDPDAPEEDEENEIEDADGDQEETDLL >Potri.018G026700.8.v4.1 pep chromosome:Pop_tri_v4:18:1968557:1972906:1 gene:Potri.018G026700.v4.1 transcript:Potri.018G026700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026700.v4.1 MTEFSIANRRYGYLHERKWPSEAIDMHHVMVRKSNGKGFFVFFSALIVLANASYLLLVKNKSISVLLWSFFLSVFLVKLMFWKRVMKESVMVMPTFGVQLETHYLSGRIVRRFVPIDKILKPVLLECVSPITCYWSLSLLLRGEAELMLVFKELRPPVKMLIPIWKALCCASGIKEGSDTLKDVS >Potri.018G026700.9.v4.1 pep chromosome:Pop_tri_v4:18:1968622:1972906:1 gene:Potri.018G026700.v4.1 transcript:Potri.018G026700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026700.v4.1 MTEFSIANRRYGYLHERKWPSEAIDMHHVMVRKSNGKGFFVFFSALIVLANASYLLLVKNKSISVLLWSFFLSVFLVKLMFWKRVMKESVMVMPTFGVQLETHYLSGRIVRRFVPIDKILKPVLLECVSPITCYWSLSLLLRGEAELMLVFKELRPPVKMLIPIWKALCCASGIKEGSDTLKDVS >Potri.018G026700.4.v4.1 pep chromosome:Pop_tri_v4:18:1968845:1972801:1 gene:Potri.018G026700.v4.1 transcript:Potri.018G026700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026700.v4.1 MTEFSIANRRYGYLHERKWPSEAIDMHHVMVRKSNGKGFFVFFSALIVLANASYLLLVKNKSISVLLWSFFLSVFLVKLMFWKRVMKESVMVMPTFGVQLETHYLSGRIVRRFVPIDKILKPVLLECVSPITCYWSLSLLLRGEAELMLVFKELRPPVKMLIPIWKALCCASGIKEGSDTLKDVS >Potri.005G053900.3.v4.1 pep chromosome:Pop_tri_v4:5:3421875:3425016:1 gene:Potri.005G053900.v4.1 transcript:Potri.005G053900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G053900.v4.1 MTSIMGAETADTYSMINYEETELRLGLPGGASNGNDGEAAKGNGKRGFSETVDLKLNLSTKETGKDGSDQEKVVMKEKTVAPRPNDPAKPPSKAQVVGWPPIRSFRKNVMAVQKNSNDEGEKASSSGTTGTAAFVKVSMDGAPYLRKVDLKLYKSYRELSDALGKMFSSFTIGNCGSQGTKDFMNESKLIDLLNSSEYVPTYEDKDGDWMLVGDVPWGMFVDSCKRLRIMKGSEAIGLAPRAVEKCKNRS >Potri.011G052000.1.v4.1 pep chromosome:Pop_tri_v4:11:4150916:4154292:1 gene:Potri.011G052000.v4.1 transcript:Potri.011G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G052000.v4.1 MPPKEKPKKDYTLKVTSPDIGGRTVIGSDKLTLVEQRQFLYVRIVRANGLPVNNMTGTCDPFVELKIGNYKGITRCFEQTSNPEWNEVYAFTRDRLQGGRLEILVRDKESAINEIIGCLSFDLGDTPTRFPPNSPLAPQWYKLEDRNGVKVAGELMLSAWIGNQADDAFSVAWHSDAAAVSGKSVTNIRSNVYLSPVLWYLRVQVIAAQDLAPSDKNRKPEAYIKAVLGNLVLRTTVSKDKNPNPTWNEEVMFVAAEPFDDHLILSVEDKMGANKEVCLGRSVIPLHQVEKRLMPQAIGAQWINLEKYVAEGEEKTEVKFASRLHLRIFLDGLYHVFDEPTYYSSDLRATSPKLWPEKIGVLELGILKAEGLLPTKSRDGRGTTDAYCVAKYGRKWVRTSTIVDSYAPKWNEQYCWDVYDPYTVVTIGVFDNCHLQAGDKNDGTGDPRLGKVRIRLSTLETGRIYTHSYPLLVLQPNGLKKMGELHLAVKFSCNNWINLFHTYSQPLLPMMHYLQPLSVYQLDSLRHQATYILSLRLGRADPPLRREVLEYMLDTGVNRWSLRRANANCERVMTCLSGIVVLWRQFDQIRHWKINSAITVLIYSLFVAMVMCPKLILTAFFLAPFVLGVWCFPKRPRHPPHMDTKLSHAETAQPDVLDEEFDSFPSSKQGEALKTRYDRLRGISGRWMIIIGDLATQLERIHALVSWRDSRATAMFLAFCLIACFLVHKVQFKYLVLVIGTYAMRPPRLRAGIPSIPQNFLRRLPAKTDSML >Potri.003G157300.2.v4.1 pep chromosome:Pop_tri_v4:3:16818608:16823248:-1 gene:Potri.003G157300.v4.1 transcript:Potri.003G157300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157300.v4.1 MAASNVKLAFFSSLLLFFLINPSQSLSSHNIYLERQASILVSVRQSFESYDPSFDSWNVSNYPLLCSWTGIQCDDKNRSVVAIDISNSNISGTLSPAITELRSLVNLSLQGNSFSDGFPREIHRLIRLQFLNISNNLFSGQLDWEFSQLKELQVLDGYNNNLNGTLPLGVTQLAKLKHLDFGGNYFQGTIPPSYGSMQQLNYLSLKGNDLRGLIPRELGNLTNLEQLYLGYYNEFDGGIPPEFGKLINLVHLDLANCSLRGLIPPELGNLNKLDTLFLQTNELTGPIPPELGNLSSIKSLDLSNNALTGDIPLEFSGLHRLTLLNLFLNKLHGQIPHFIAELPELEVLKLWHNNFTGVIPAKLGENGRLIELDLSSNKLTGLVPKSLCLGKKLQILILRINFLFGPLPDDLGHCDSLRRVRLGQNYLTGSIPSGFLYLPELSLMELQNNYLSEQVPQQTGKIPSKLEQMNLADNHLSGPLPASIGNFSNLQMLLLSGNRFTGEIPPQIGQLKNVLTLDMSRNNLSGNIPSEIGDCPTLTYLDLSQNQLSGPIPVHITQIHILNYLNISWNHLNQSLPKEIGSMKSLTSADFSHNNFSGSIPEFGQYSFFNSTSFIGNPQLCGSYLNPCNYSSMSPLQLHDQNSSRSQVHGKFKLLFALGLLVCSLVFAALAIIKTRKIRRNSNSWKLTAFQKLGFGSEDILECIKENNIIGRGGAGTVYRGLMATGEPVAVKKLLGISKGSSHDNGLSAEVQTLGQIRHRNIVRLLAFCSNKESNLLVYEYMPNGSLGEVLHGKRGGFLKWDTRLKIAIEAAKGLCYLHHDCSPLIIHRDVKSNNILLNSDFEAHVADFGLAKFLRDTGNSECMSAIAGSYGYIAPEYAYTLKVDEKSDVYSFGVVLLELITGRRPVGDFGEEGLDIVQWTKTQTKSSKEGVVKILDQRLTDIPLIEAMQVFFVAMLCVQEQSVERPTMREVVQMLAQAKQPNTFHME >Potri.010G225400.7.v4.1 pep chromosome:Pop_tri_v4:10:20918370:20922642:1 gene:Potri.010G225400.v4.1 transcript:Potri.010G225400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225400.v4.1 MIVFEQDPDVVRWGLHNLIQDCTLPNSGSCYAVTRHDRDTFNAGYVRENYNDPEYANNVENDAVIARALQEELSRIADAESFGLNNAEQESIIMQLWPCSHEKYHGSEHKNSQEVTNDHCENKTGVDNHSKNVADDSSKKDETAIPTSSSKSEEDSLNMEELAINSNLANEYALDGEVGKRISDMVPIPLVPKTNGEIPSEDAETSDHQRLLDRLKVYDLVENKVLGDGNCQFRSLSDQLYRSPEHHKLVREQVIDQLKSQPQMYEGYVPMAYDDYLKKMSKGGEWGDHITLQAAADSYGVKIFVITSFKDTCYLEILPQAQKSDRVIFLSFWAEVHYNSIYSEGVGIEEKRLVEDLKLGTSSAKLIRRCFCAT >Potri.010G225400.10.v4.1 pep chromosome:Pop_tri_v4:10:20918423:20922475:1 gene:Potri.010G225400.v4.1 transcript:Potri.010G225400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225400.v4.1 MQLWPCSHEKYHGSEHKNSQEVTNDHCENKTGVDNHSKNVADDSSKKDETAIPTSSSKSEEDSLNMEELAINSNLANEYALDGEVGKRISDMVPIPLVPKTNGEIPSEDAETSDHQRLLDRLKVYDLVENKVLGDGNCQFRSLSDQLYRSPEHHKLVREQVIDQLKSQPQMYEGYVPMAYDDYLKKMSKGGEWGDHITLQAAADSYGVKIFVITSFKDTCYLEILPQAQKSDRVIFLSFWAEVHYNSIYSEGELPQLESKKKDWWKI >Potri.010G225400.8.v4.1 pep chromosome:Pop_tri_v4:10:20918423:20922580:1 gene:Potri.010G225400.v4.1 transcript:Potri.010G225400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225400.v4.1 MIVFEQDPDVVRWGLHNLIQDCTLPNSGSCYAVTRHDRDTFNAGYVRENYNDPEYANNVENDAVIARALQEELSRIADAESFGLNNAEQESIIMQLWPCSHEKYHGSEHKNSQEVTNDHCENKTGVDNHSKNVADDSSKKDETAIPTSSSKSEEDSLNMEELAINSNLANEYALDGEVGKRISDMVPIPLVPKTNGEIPSEDAETSDHQRLLDRLKVYDLVENKVLGDGNCQFRSLSDQLYRSPEHHKLVREQVIDQLKSQPQMYEGYVPMAYDDYLKKMSKGGEWGDHITLQAAADSYGVKIFVITSFKDTCYLEILPQAQKSDRVIFLSFWAEVHYNSIYSEGELPQLESKKKDWWKI >Potri.010G225400.6.v4.1 pep chromosome:Pop_tri_v4:10:20918443:20922538:1 gene:Potri.010G225400.v4.1 transcript:Potri.010G225400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225400.v4.1 MQLWPCSHEKYHGSEHKNSQEVTNDHCENKTGVDNHSKNVADDSSKKDETAIPTSSSKSEEDSLNMEELAINSNLANEYALDGEVGKRISDMVPIPLVPKTNGEIPSEDAETSDHQRLLDRLKVYDLVENKVLGDGNCQFRSLSDQLYRSPEHHKLVREQVIDQLKSQPQMYEGYVPMAYDDYLKKMSKGGEWGDHITLQAAADSYGVKIFVITSFKDTCYLEILPQAQKSDRVIFLSFWAEVHYNSIYSEGELPQLESKKKDWWKI >Potri.010G225400.5.v4.1 pep chromosome:Pop_tri_v4:10:20918370:20922642:1 gene:Potri.010G225400.v4.1 transcript:Potri.010G225400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225400.v4.1 MQLWPCSHEKYHGSEHKNSQEVTNDHCENKTGVDNHSKNVADDSSKKDETAIPTSSSKSEEDSLNMEELAINSNLANEYALDGEVGKRISDMVPIPLVPKTNGEIPSEDAETSDHQRLLDRLKVYDLVENKVLGDGNCQFRSLSDQLYRSPEHHKLVREQVIDQLKSQPQMYEGYVPMAYDDYLKKMSKGGEWGDHITLQAAADSYGVKIFVITSFKDTCYLEILPQAQKSDRVIFLSFWAEVHYNSIYSEGAILNKL >Potri.010G225400.1.v4.1 pep chromosome:Pop_tri_v4:10:20918370:20922642:1 gene:Potri.010G225400.v4.1 transcript:Potri.010G225400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225400.v4.1 MIVFEQDPDVVRWGLHNLIQDCTLPNSGSCYAVTRHDRDTFNAGYVRENYNDPEYANNVENDAVIARALQEELSRIADAESFGLNNAEQESIIMQLWPCSHEKYHGSEHKNSQEVTNDHCENKTGVDNHSKNVADDSSKKDETAIPTSSSKSEEDSLNMEELAINSNLANEYALDGEVGKRISDMVPIPLVPKTNGEIPSEDAETSDHQRLLDRLKVYDLVENKVLGDGNCQFRSLSDQLYRSPEHHKLVREQVIDQLKSQPQMYEGYVPMAYDDYLKKMSKGGEWGDHITLQAAADSYGVKIFVITSFKDTCYLEILPQAQKSDRVIFLSFWAEVHYNSIYSEGELPQLESKKKDWWKI >Potri.010G225400.11.v4.1 pep chromosome:Pop_tri_v4:10:20918370:20922642:1 gene:Potri.010G225400.v4.1 transcript:Potri.010G225400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225400.v4.1 MQLWPCSHEKYHGSEHKNSQEVTNDHCENKTGVDNHSKNVADDSSKKDETAIPTSSSKSEEDSLNMEELAINSNLANEYALDGEVGKRISDMVPIPLVPKTNGEIPSEDAETSDHQRLLDRLKVYDLVENKVLGDGNCQFRSLSDQLYRSPEHHKLVREQVIDQLKSQPQMYEGYVPMAYDDYLKKMSKGGEWGDHITLQAAADSYGVKIFVITSFKDTCYLEILPQAQKSDRVIFLSFWAEVHYNSIYSEGAILNKL >Potri.010G225400.9.v4.1 pep chromosome:Pop_tri_v4:10:20918423:20922475:1 gene:Potri.010G225400.v4.1 transcript:Potri.010G225400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225400.v4.1 MQLWPCSHEKYHGSEHKNSQEVTNDHCENKTGVDNHSKNVADDSSKKDETAIPTSSSKSEEDSLNMEELAINSNLANEYALDGEVGKRISDMVPIPLVPKTNGEIPSEDAETSDHQRLLDRLKVYDLVENKVLGDGNCQFRSLSDQLYRSPEHHKLVREQVIDQLKSQPQMYEGYVPMAYDDYLKKMSKGGEWGDHITLQAAADSYGVKIFVITSFKDTCYLEILPQAQKSDRVIFLSFWAEVHYNSIYSEGELPQLESKKKDWWKI >Potri.001G294000.1.v4.1 pep chromosome:Pop_tri_v4:1:30558502:30561374:-1 gene:Potri.001G294000.v4.1 transcript:Potri.001G294000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G294000.v4.1 MNSSDHQESARSKETGGPRLFSDFGKLAYDLLRYKINQSFNISTSSDIGLTLTPYAARRKKLSKAGVTAEYNLEDTHATIKVDVNPELPISTTLTMSRTWPFMKNTVLVKFPDYNSREFQILHEVQYFHKRAALAMTLPLLRSPLIQLSATVGTAHLAFGMETIYNTSSRQFLMFDAGISMTSLNCEGSIILENKGDSLRASYIHYFDHERKVAAVAVIGRTLSRKENAFAVGASWIMDDLTTIKARFDSHGKLVTVLHHKIKPKSYFTISGEFEPKALDKTPEIRLGLSLVL >Potri.005G057600.1.v4.1 pep chromosome:Pop_tri_v4:5:3618817:3624321:1 gene:Potri.005G057600.v4.1 transcript:Potri.005G057600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057600.v4.1 MLSSDEGKRDLFYDSVDYFDLGCDQLEYDIWLTEPQSVEERRQGFLRGMGLDGFAFKRHENTGLERITECSGAVSSSSVPCTNVEEVNVVSCSAGREENSEANCVIDQMSKDKMDKSDVASENENIGPLLDLQGCEQCEEEKCKKCDSGKSIMKSWWKHFLKKSKEERVGRCVSGVSKLDTEAPKTNRTKVKQNKKGCMEFTGVFMRQEIQAHKGFIWTMKFSPDGQYLATGGEDRIIRVWRVTLVDSSCKSFPSEGHCDSNLKEAKSNNLSTKKRMYSSVVIPEKVFQIEETPLQEFHGHASEILDLAWSDSNHLLSSSMDKTVRLWRLGCNHSLNIFRHSNYVTCIQFNPVDKNYFISGSIDGKVRIWGVSEKRVVHWTDVRDVISAISYQPDGRGFVVGTIKGTCRFYEVSGTDLQLEAEVHIQGRRRTSGNRITSIQFSQEICPRVMITSEDSKVRVFDGVDIVNKFKGLPKSGSQMSASFTSNGRHIISVGEDCRVYVWNYDGLCTSWSKHIKSVKSCEFFFSEGVSVAVPWSGTGTEVRGLGSRRSLTEETASWRKDSERFSLGSWFFMDGRCRGSYATWPEEKLPMWDVPVLDAEYQNQQIKSLHQQQCVNSNDHISLSEAWGLVIVTAGWDGKIRAFHNYGLPIMLK >Potri.003G020200.1.v4.1 pep chromosome:Pop_tri_v4:3:2135725:2137649:-1 gene:Potri.003G020200.v4.1 transcript:Potri.003G020200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020200.v4.1 MASKKVLSLILLCTISVSCCIWAEGASHRHASAPAPSVDCTTLVLSMADCLSFVSNDSTSKKPEGTCCSGLKTVLGTDAECLCEAFKSSAQFGVVLNVTKALALPSACKIKAPPASNCGLTTPSPAGAPAGSAAAGPSVNGVSNELAPAPSPGSSGSNGLFVSAGSLIVGLVVASFSSF >Potri.006G119000.1.v4.1 pep chromosome:Pop_tri_v4:6:9358705:9364672:1 gene:Potri.006G119000.v4.1 transcript:Potri.006G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G119000.v4.1 MAEVTETTAASSSEGQKPAVPETKRLWSDEVDDEEVQPSATEEKAVLELNVDALAIDENTKVNKFLDEPEDSRIQAVTTGDTPYTSASTFEDLNLSPELLKGLYVEMKFQKPSKIQAISLPMIMTPPYKDLIAQAHNGSGKTTCFVLGMLSRVDPKQQSPQALCICPTRELSIQNMEVLQKMGKYTGISSECAVPIESRNNDRSRYRPPISAQVVIGTPGTIKRLMSQKKLGVNDMKVLVFDEADHMLAKDGFQDDSLRIMKDIQRFNSHCQVLLFSATFDETVKNFVSKVVKDYNQLFVKKEDLSLESLKQYKVILPDELAKIRVVKDRILELGENIGQIIIFVNTKRSASMLHTSLVELGYEVTTIHGALNLEDRDKIVKEFKDGLTQVLISTDVLARGFDQQQVNLVINYDLPVKYENQSEPHYEVYLHRIGRAGRFGRKGAVFNFIMTDHDLMIMRKIESYFNSPVAEIPSWGSEEGFKVALKEAGLL >Potri.008G066900.1.v4.1 pep chromosome:Pop_tri_v4:8:4040152:4044878:-1 gene:Potri.008G066900.v4.1 transcript:Potri.008G066900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G066900.v4.1 MNRSFRAQDSQMQMQAAVVKQRQQLRAKMMKEKEEELALFLEMKKREKEQNNLFLINNTEDFEAPFGSRHGTSPIFNISSSTPARKTGADDFLNSENDKHDYDWLLTPPGTPLFPSLEVESQKTIMSQIGTPKACPTALKSRLASPQPDPVRRGNLVSKQPASSPGLNSSGAAMRRPSSSGGPGSRPSTPTGRPTLTTGSKPSRSSTPTSRATLSSSKPTVSAAKPTVSAAKSTTSTMKSTVPARSSTPSRSTRSSTPTARPSIPPSKSTSRAATPTRRPSTPSSSPSLSAPPVKSSSLVTKSAPTVTKSAPTVARNPVPSRGSSPTVKSRPWKPSEMPGFSLDAPPNLRTTVPERPLSATRGRPGAPSARSSSVEPTPNGRPRRQSCSPSRGRGPNGIMHPSGSSVPAFSRGRSKINDNVSPVVIGTKMVDRVINMRKLAPPKQDDKHSPRFLTGKSSSPDSSGFGRTLSKKSLDMAIRHMDIRRTIPGNLRPLMTNIPASSMYSVRSGHTRSRTVSVSDSPLATSSNASSEVSVNNNGLCLDGIELEDDIGSGRGGRSPLRGR >Potri.002G099000.2.v4.1 pep chromosome:Pop_tri_v4:2:7213490:7217465:1 gene:Potri.002G099000.v4.1 transcript:Potri.002G099000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099000.v4.1 MQQLFLSFLPAVSDSLHSCTKMEKPQDHNDQEPSKSPTTPASPTCASSTSVKCAGSTSFHPPPTQSPWSEISPPPTYRPIRSPAINPPPNTNSQAIILAPVPQSQKVLTISLPHTFQSPSKKIHSPDDIRQFINSDSSKNFLGFIVSLSESIRSHKTSDSFHESTTLKKIVSIIETLIQWTEEIPPAQQSSRYGNISYRTWHNRLVENSESLMLQILPELLKSSMVEIVPYFTDSFGNSSRIDYGTGHETNFAAWLYCLARMGVIEEVDYQALVSRVFVNYIELMRKLQLVYNLEPAGSHGVWGLDDYHFLPFIFGSSQLINHKFMKPKSIHNEDILENFSNEYMYLSCIVFIKKVKKGLFAEHSPLLDDISGVPNWNKVNSGLLKMYRAEVLEKVPIMQHFLFGSLIQWE >Potri.002G099000.3.v4.1 pep chromosome:Pop_tri_v4:2:7213550:7218327:1 gene:Potri.002G099000.v4.1 transcript:Potri.002G099000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G099000.v4.1 MEKPQDHNDQEPSKSPTTPASPTCASSTSVKCAGSTSFHPPPTQSPWSEISPPPTYRPIRSPAINPPPNTNSQAIILAPVPQSQKVLTISLPHTFQSPSKKIHSPDDIRQFINSDSSKNFLGFIVSLSESIRSHKTSDSFHESTTLKKIVSIIETLIQWTEEIPPAQQSSRYGNISYRTWHNRLVENSESLMLQILPELLKSSMVEIVPYFTDSFGNSSRIDYGTGHETNFAAWLYCLARMGVIEEVDYQALVSRVFVNYIELMRKLQLVYNLEPAGSHGVWGLDDYHFLPFIFGSSQLINHKFMKPKSIHNEDILENFSNEYMYLSCIVFIKKVKKGLFAEHSPLLDDISGVPNWNKVNSGLLKMYRAEVLEKVPIMQHFLFGSLIQWYGFLFLFHG >Potri.001G405025.1.v4.1 pep chromosome:Pop_tri_v4:1:43127314:43138849:-1 gene:Potri.001G405025.v4.1 transcript:Potri.001G405025.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G405025.v4.1 MDQERPQKKRVKHTDPFWDHVEKTNDSDPFKCKFCKSTFAASTSISRFKYHLSGESGKGVGICGRVPVDVKEEAYQAMHKKQNAIPPIDHTVPNVEAQRIEQEERDLPYMAMEDWTESTRWEELVVINEAGGSRGSQGQGGYLSDMLDTENLTQSRTVEGIALIDHVRVYEEQGADVSDGGVENLTDNFTRGVSIVTDESRVSEGLDGQKAKGEALLTTKLVGQASDRNKEMIWSWLMKDDVLSVGVYGMGGVGKTSLATQIHNQLLQRPSSFNYVFWVTASQNFTISKLQYLIAKAINLDLSNEEDENRRAAKLSKALVAKGKSVLILDDLWNHFLLEKVGIPVEVNACKLILTTRSLEVCRRMGCREIIKVELLTEEEAWTLFAEKLGHDAALSPEVVQIAKSIAAECACLPLGIIAMAGSMRGVDDLHEWRNALTELKQSEVRVEDMEPEVFL >Potri.003G032701.1.v4.1 pep chromosome:Pop_tri_v4:3:3657555:3658748:1 gene:Potri.003G032701.v4.1 transcript:Potri.003G032701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G032701.v4.1 MQTFSHSDIGGGCWGASVSPISILFLCSIICFHQKTIWDVLVAWGILFLCLSSWHLFCQVFNLIGSIFSHFTGTSFFSSFVLHCFPCVFFLFNFQCLLESLSISFTAILVTSASSRVFI >Potri.004G225100.2.v4.1 pep chromosome:Pop_tri_v4:4:22950305:22952433:1 gene:Potri.004G225100.v4.1 transcript:Potri.004G225100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225100.v4.1 MAIRFLALFQVLIIYSTFLHIILPQNSCNASNIVPKLKQCGFDAIYNLGTSISDTGNSAIDNPSIWQAMFPYGKTINEATGRPSDGLLIIDYIARSADLPLVVPYKNSSALHLSTSRGVNFAYSGAPALSEEALAKKNITLDWAKPTLSVQLGWLDDYFKGYCNNVKDCKEAVSSSLFMINFGTNDYGYAFSQNHNIEEIKKNGLVSDVVEAIKQALQKIISQGARKVLVFGVALDGCRPISVTMESANKSATYDRFGCVKDNNDFCNYHNVLLQEGLKELREQHPDVQIVYGDLYNAMQSILDNSQSLGFKSLTEACCDVDVEIKKKAVLYKDKLCGAHGTIVCPKPEEYVFWDNGHCTQKANEQLADWIIQDIFPKFQCNA >Potri.004G225100.1.v4.1 pep chromosome:Pop_tri_v4:4:22950305:22952433:1 gene:Potri.004G225100.v4.1 transcript:Potri.004G225100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G225100.v4.1 MAIRFLALFQVLIIYSTFLHIILPQNSCNASNIVPKLKQCGFDAIYNLGTSISDTGNSAIDNPSIWQAMFPYGKTINEATGRPSDGLLIIDYIARSADLPLVVPYKNSSALHLSTSRGVNFAYSGAPALSEEALAKKNITLDWAKPTLSVQLGWLDDYFKGYCNNVKGDCKEAVSSSLFMINFGTNDYGYAFSQNHNIEEIKKNGLVSDVVEAIKQALQKIISQGARKVLVFGVALDGCRPISVTMESANKSATYDRFGCVKDNNDFCNYHNVLLQEGLKELREQHPDVQIVYGDLYNAMQSILDNSQSLGFKSLTEACCDVDVEIKKKAVLYKDKLCGAHGTIVCPKPEEYVFWDNGHCTQKANEQLADWIIQDIFPKFQCNA >Potri.014G058900.2.v4.1 pep chromosome:Pop_tri_v4:14:3792409:3794792:-1 gene:Potri.014G058900.v4.1 transcript:Potri.014G058900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G058900.v4.1 MRITACKNISQYNTTTHRAKPTINPLSIAKNKASRYSFYSATALGNPWPIGAAALHDSTISVDSPVWSLSPLSAALPESPPPICSDCSSPPRSSATIFYSLAPTVLSTSRFQSARSNFSKPPVSSNIEIEEWVQSGMNLNGFHRLTDDGIATQNCHSKYRSRQSAVADAEVHSRTLSSFLDDDNEKKHDDSDPKCNYNINSSDADSVDCGNHKLMTRPKPYIDFLDEIKAQELEAQMGAWKKAKHRELMNKLRRNESVIRDWEYKQTQKALKDMRKVENKLERKRAEALERAQKRINRARKEANKAAGKVIESAMKKGTKIAKESEIQGTKNPIWRRLMNLCP >Potri.006G145800.1.v4.1 pep chromosome:Pop_tri_v4:6:12388216:12391563:-1 gene:Potri.006G145800.v4.1 transcript:Potri.006G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145800.v4.1 MKLAGLKSIENAHDESVWAATWIPATETRPALLMTGSLDETVKLWKPDELTLERTNTGHCLGVVSVAAHPSGSIAASASLDSFVRVFDVDSNASIATLEAPPSEVWQMKFDPKGTTLAVAGGGSASVQLWDTEKWKLIATLSIPRQEGPKPTDKNSSKKFVLSVAWSPDGKRVACGSMDGTISVFDVARAKFLHHLEGHFMPVRSLVYSPADPRVLFSASDDAHVHMYDAEGKSMIAALSGHASWVLSVDASPDGAAIATGSSDKTVRLWDLGMRAAVQTMSNHVDQVWGVTFRPPGGPGPRAGRLASVSDDKSLSLYDYS >Potri.001G038100.1.v4.1 pep chromosome:Pop_tri_v4:1:2765654:2769378:-1 gene:Potri.001G038100.v4.1 transcript:Potri.001G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038100.v4.1 MWAASCLASCCAACACSACTTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEQIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAIMMVGVKNQKDPRDSLHHGGWMAKVVCWCLLVIFMFFLPNEIVSFYESISKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYAALFAVSFVCYVGTFAFSGLLFHWFTASGQDCGLNTFFIVMTLIFAFVFAIAALHPAVNGSVLPASVISLYCMYLCYSGLSSEPREYECNGLHRHSKAVSTSTLTIGLLTTVLSVVYSAVRAGSSTTLLSPPSSPHAGADKPLLPLDNKPSEKEEKEKAKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRILTGWATAGLYIWSLVAPILFPDREF >Potri.001G038100.2.v4.1 pep chromosome:Pop_tri_v4:1:2765770:2769362:-1 gene:Potri.001G038100.v4.1 transcript:Potri.001G038100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G038100.v4.1 MWAASCLASCCAACACSACTTVVSGISRRSARIAYCGLFALSLIVSWILREVAAPLMEQIPWINHFHKTPDREWFETDAVLRVSLGNFLFFTILAIMMVGVKNQKDPRDSLHHGGWMAKVVCWCLLVIFMFFLPNEIVSFYESISKFGSGLFLLVQVVLLLDFVHGWNDKWVGYDEQFWYAALFAVSFVCYVGTFAFSGLLFHWFTASGQDCGLNTFFIVMTLIFAFVFAIAALHPAVNGSVLPASVISLYCMYLCYSGLSSEPREYECNGLHRHSKAVSTSTLTIGLLTTVLSVVYSAVRAGSSTTLLSPPSSPHAGADKPLLPLDNKPSEKEEKEKAKPVTYSYSFFHIIFSLASMYSAMLLTGWSTSVGESGKLVDVGWPSVWVRILTGWATAGLYIWSLVAPILFPDREF >Potri.016G043800.1.v4.1 pep chromosome:Pop_tri_v4:16:2774292:2777917:1 gene:Potri.016G043800.v4.1 transcript:Potri.016G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043800.v4.1 MATYDLTPRIAPNLDRHLVFPLLEFLQESQLYPDEQILKAKIELLNKTNMVDYAMDIHKSLYRTEEVPQDMIDRRAEVVARLKALEEAAAPLVEFLQNASAMQELRADKQYNLQMLHERYQIGQEQIEALYQYAKFQFECGNYSGAADYLYQYRGLCNNSERSLSALWGKMAAEILMQNWDIALEELNRLKEIIDSKSFSSPLNQVQSRIWLMHWSLFIFFNNDNGRTQIIDLFNQDKYLNAIQTNAPHLLRYLATAFIVNKRRRPQFKDFIKVIQQEQHSYKDPITEFLACVYVNYDFDEAQKKMKECEEVILNDPFLGKRLEDGNFSNVLLRDEFLENARLFIFETYCRIHQRIDIGVLAEKLNLNFEEAERWIVNLIRNSKLDAKIDSESGTVIMEPNHPNVYEQLIDHTKALSGRTSKSVSQILEHAHAQAAR >Potri.013G159600.2.v4.1 pep chromosome:Pop_tri_v4:13:15403273:15405275:1 gene:Potri.013G159600.v4.1 transcript:Potri.013G159600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G159600.v4.1 MGRRPARCYRQIKNKPYPKSRYCRGVPDSKIRIYDVGMKRKGVDEFPFCVHLVSWEKENVSSEALEAARIACNKYMAKFAGKDAFHLRVRVHPFHVLRINKMLSCAGADRLQTGMRGAFGKPQGTCARVAIGQVLLSVRCKDSNSHHAQEALRRAKFKFPGRQKIIVSRKWGFTKFNRNDYLKLKAENKIMSDGVNAKLLGCHGPLANRQPGRAFLSATA >Potri.002G194250.1.v4.1 pep chromosome:Pop_tri_v4:2:15720638:15724573:1 gene:Potri.002G194250.v4.1 transcript:Potri.002G194250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G194250.v4.1 MARIKPQDLLQQSKKKKAPSRMSVTSIVSYCLILLLTLFFLYSIYKHWSLRSSVQTENVESGFGGGHAFVDSKKYDLPGYAVFDVINGPEICLLFKVGTLTFEHDNIIFCHLKRGTSRGCLFHRVMKHYVIQAGDGGLLGDTEDWTLRGKHYSQLDTCLKREAFMFGASKTKHDDRGEDVVQTFSFFNVCQEIEENIPRSHAGIIDVTWRQKI >Potri.004G022400.1.v4.1 pep chromosome:Pop_tri_v4:4:1559222:1561699:-1 gene:Potri.004G022400.v4.1 transcript:Potri.004G022400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022400.v4.1 MDVIFEPQRGGPFSIEVGFFDTVSEIKEKVHKYHGIPINKQTLVFHGQVLQDDKDVEYCEILQNSRIQLLITPETDQIPQDKVEQTSHSKKVQLRIKIPSNQTLVPLEMDMSDTVLHLKEKIREIEPVPVKRLVLQSNGAELQDHQSLHECELKYNSEISVNVKPSPSGSGSKGGSTGFKKLRVIVVTKCGTKKIPVEVNPSDNVGELRKELQDLQQKNQFHLPQEGYFFIHKQDVMDDDRSFRWHRVNQGDTIDVFNGRVTSGS >Potri.008G183000.1.v4.1 pep chromosome:Pop_tri_v4:8:12639328:12642638:1 gene:Potri.008G183000.v4.1 transcript:Potri.008G183000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G183000.v4.1 MEENGSVQFPGNLDPRAQEFRPRRDNLHNFSPKFLPFGPPPPPPPPPPPQLPHQVYYTYTPQALPFSDFVGFVQYDHHIPPAYVSVEPLPPLPPTGAPTRTLVLSSVPSEVNESLIRRELEVFGEVRGVQMERVGYGTVTVHFYDLRHAERALREIREQHMLHQARLRNFFIQNSESISFNIAPTPPPPARGVIAGCVVWAQFIIPSCNEVPDGQNQGTLVVFNLDPNVSTRSLKEIFQAFGAVKEVRETPLKRHQRFIEFYDVRDAAKALREMNGKEIYGKQVDIEFSRPGGHGKKFFNARPRATSKNSFTTPVFDSTTNLRHSKVATFVSPQPPPLLHRFSSGCSPPNVSPRSFLSETQSSAGKKPSGDPSEGNPIEASIEASLGCLSLGGDVIVGKVAYRGPPKRSLKKSQSFTSTKQQQKSAKSWKGSRQAKKLDSRFLISDESMVETSGSDSRTTVMIKNIPNKYSQKLLLNMLDNHCIHCNEQIANGDDQPLSSYDFLYLPIDFNNKCNVGYGFVNMTSPQAAWRLYKAFHNQHWEVFSSRKICAVTYARVQGLEALKEHFKNSKFPCEMDHHLPVVFSPPRDGRQQTEPLPIIGHKHDQQPINIILGDSIACTHHEIDGVNDNLKTCNRLFGDTDQGGESQLKCSSSSSSQNGGDIGDDDKDSSSGSS >Potri.005G008050.1.v4.1 pep chromosome:Pop_tri_v4:5:623873:638000:1 gene:Potri.005G008050.v4.1 transcript:Potri.005G008050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G008050.v4.1 MANFTASQLKEIAACLKASGHQFIWVVRRSKKGQEDKEDCLPEGFEGRMEGKGLIIRGWALQVLILDHEAIGAFVTH >Potri.001G095300.1.v4.1 pep chromosome:Pop_tri_v4:1:7548024:7551160:-1 gene:Potri.001G095300.v4.1 transcript:Potri.001G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G095300.v4.1 MNRSGTADLRAATAFEVTKDRNGVDQVVLRNRRGASARVSLYGGQVLSWRTDQGEELLFTSSKAIFKPPNPVRGGIPICFPQFGNCGSLEQHGFARKKIWVIDQNPPPFLQHNDSNDKVYTDLLLKPSEEDLKIWPHSFEFRLRVCLTVEGNLTMISRIRNINCKPFSFSIAFHTYFSISDISEVRVEGLETLDYLDNLFQRERFTEQGDALTFESEVDRVYLSSSDVIAVFDHEQKRTFTIRKEGLPDVVVWNPWEKKSKSMVDFGDEEYKQMLCVDGAAIEKQITLKPGEEWTGRLELSVVHSG >Potri.005G139500.1.v4.1 pep chromosome:Pop_tri_v4:5:11002837:11004833:-1 gene:Potri.005G139500.v4.1 transcript:Potri.005G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139500.v4.1 MSEHKTSLMSDQLSKPTSVFGLRLWVVLGVCVGTTIVLLLFFITLWLASKSKKSTNNEPKIPIVSKKIQEIRIDQSIKPNWAQMQTHQFQDQEMITRKERGDFLLLIPPEEESPVKYHGIQIDIGKGHLISYLGSSREAPRGGGGGNGGGWGHWYTLRELEVATNSFAHENVIGEGGYGIVYHGVLEDNTEIAGQAEREFKVEVEDIGRVRHKNLVRLLGYCAEGAQRMLVYEYVNSGNLEQWLHGDVGPCSPLTWEIRMKIILGTAKGLTYLHDGLEPKVIHRDIKSSNILLDKQWNPKVSDFGLAKLLFSGSSYITTRVMGTFGYVALEYASSGMLNERSDVYSFGILIMEIISGRNPVDYSRPSEEVNLIDWLKRMVSNRNPEGVLDPKLPEKPTLRALKRALLVALRCVDPSAQKRQKMSHIVHMLEADNSPFREVCI >Potri.007G053000.3.v4.1 pep chromosome:Pop_tri_v4:7:5261253:5266561:-1 gene:Potri.007G053000.v4.1 transcript:Potri.007G053000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053000.v4.1 MELSSSFSQLPKISDISFSSSCAPFPLQIRYLKPHHKTLQNLGVFAVAIDPQELPKNSPQRLLKELAERKKTTSPKRRIPPKRFILKPPLDDKRLAQRFLNSPQLSLKQFPLLSSCLPSSRLNNADQTWIDEYLLEAKQALGYPLEPSDRFGDDNPAKQFDTLLYLAFQHPSCERTNARHVRSGHSRLCFLGQYVLELAFAEYFLQRYPRESPAPLRERVFGLIGKRNLPKWIKAASLHNLIFPYDNMDKLQRKEREPPVKSVFWAVFGAIYLCFGMPEVYRVLFEVFEMDPEAEDCQPKLRRQFEDVDYVSVEFEGKQLSWQDVAAYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYESRPHVMRTLGYPLTMRDRIPDITKARNIELGLGLQLCFLHPSNYKFEHPRFCYERLEYVGQKIQDIVMAERLLMKHLDAPGKWLQEKHRRVLMNKFCGRYLREKHLHKYITYADKVQDAYEHNRRLRNPSTTAVNHSLHGLSYTIYGKPDVRRLMFDVFDFEQTQPKLV >Potri.007G053000.2.v4.1 pep chromosome:Pop_tri_v4:7:5261493:5266590:-1 gene:Potri.007G053000.v4.1 transcript:Potri.007G053000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G053000.v4.1 MELSSSFSQLPKISDISFSSSCAPFPLQIRYLKPHHKTLQNLGVFAVAIDPQELPKNSPQRLLKELAERKKTTSPKRRIPPKRFILKPPLDDKRLAQRFLNSPQLSLKQFPLLSSCLPSSRLNNADQTWIDEYLLEAKQALGYPLEPSDRFGDDNPAKQFDTLLYLAFQHPSCERTNARHVRSGHSRLCFLGQYVLELAFAEYFLQRYPRESPAPLRERVFGLIGKRNLPKWIKAASLHNLIFPYDNMDKLQRKEREPPVKSVFWAVFGAIYLCFGMPEVYRVLFEVFEMDPEAEDCQPKLRRQFEDVDYVSVEFEGKQLSWQDVAAYKPPEDALFAHPRLFRACVPPGMHRFRGNIWDYESRPHVMRTLGYPLTMRDRIPDITKARNIELGLGLQLCFLHPSNYKFEHPRFCYERLEYVGQKIQDIVMAERLLMKHLDAPGKWLQEKHRRVLMNKFCGRYLREKHLHKYITYADKVQDAYEHNRRLRNPSTTAVNHSLHGLSYTIYGKPDVRRLMFDVFDFEQTQPKLV >Potri.004G003700.1.v4.1 pep chromosome:Pop_tri_v4:4:230274:231487:-1 gene:Potri.004G003700.v4.1 transcript:Potri.004G003700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G003700.v4.1 MKESRVDKKQKLQQRGCKAFCCSCRLSVSSSEEAESSNPDRFASISSLAHAMVQERLDQMIRERQEARQRERRRRLRSDGTKFIVMVAMEKSSYDPREDFRESMVEMIMANRLQEPKDLRSLLNYYMSMNSEEYHGMILEVFHEVCTNLFLCCKCH >Potri.017G155000.1.v4.1 pep chromosome:Pop_tri_v4:17:15150395:15158335:-1 gene:Potri.017G155000.v4.1 transcript:Potri.017G155000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G155000.v4.1 MWPVVVIFAGGFLSGLLTLVALQALGVYVLIKRLNRKTQQQQASHSSSSSPHHQDLDPQQSLDYAHNKKGYVWVLDSDQVLKNWPVEKVQKDQKKKKELLEVTPIRKQAKIKDRSLILTDSGGSHRVIPLKGCAIEAVSATSLSSRKWAKRFPIKVESKTSPIYNASKTVFIFLETSWEKESWCKALRLASSDDQEKLNWFIKLNEEFLRYLTSLNTEYPSFMKPSVGFYVEPVDRASRFDGSESKVRLFWKKLARKASKSGVENKVSSLLGREERKINDKYHPSHDPAFSGSVGKNDPTLKAPITSEEENISLPSSSTSSRASSLSQLQVISDADADEKLNVDEGTLCWNLIISRLFFDAKSNDRMKSLTQARIQRTLSNMRTPSYIGEVICTDLNLGNLPPYIHGIRVLPTHMNEVWAWEVDIEYCGGLVLDIETRLEVRDLDLQRGLVDTDVGSSSVRDASSDLLEGFDHLGKQLNFSEGTVDSREWKDEDNPKSDKLKDSKSGISTSTNVSRWKSLLNSVAKQVSQVPLSLSIRLGSLRGTVRLHIKPPPSDQLWFGFTSTPDVEFELESSVGERKITSGQVALYLINKFKAAIRETMVLPNCESVCIPWMLAEKNDWVPRNVAPFIWINQEAASDNATALELLNSQLDAKTKIEAGRETSCDHPESRHKKTRNAENVQPPYSDSSDDLTQALSSNKPSMKNDKSSQDLTSPLLANSEAQETGQNSSGYASESQSPSRSLISLEKQTRSVEEDDSRPKKMGRRAKMLDLGKKMGEKFEEKRRNIEEKGRNIVDKMRGP >Potri.004G120300.1.v4.1 pep chromosome:Pop_tri_v4:4:11438379:11440946:-1 gene:Potri.004G120300.v4.1 transcript:Potri.004G120300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G120300.v4.1 MLRVPGSALSMEIAFHKQLLITKVDGLDCDNITGKRSSAPFVQASSADIADSSSFIHLKLPNLMNRRGNSVKVFGVRRRRIHQKTGTYVLLEPGEDEKFVSEEELKAVLKGYLENWPRPTLPLDLAKFETVDDAASFLVSSVCELEIDGDVGSVQWYEVRLE >Potri.009G031600.1.v4.1 pep chromosome:Pop_tri_v4:9:4235546:4236435:1 gene:Potri.009G031600.v4.1 transcript:Potri.009G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G031600.v4.1 MSGVWIFDKNGVARLITNPTRESFEQKEPLHPGTATAPGARPRVLVYLPANHVIRSYTELEQRLAELGWTRYYNSNQPNLLQFHKSDNSAHLISLPRDFDSFKPLHMYDIVVKNRSFFEVRDPAAS >Potri.006G088500.1.v4.1 pep chromosome:Pop_tri_v4:6:6662704:6663893:1 gene:Potri.006G088500.v4.1 transcript:Potri.006G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088500.v4.1 MGMLLSFRRHSQIQGNQLSPPVQSFAMTEENQQIKSPQEPPADQAVPPLPRMYGLGSNPAAKTTWPELVGFTAEEAERRIKEEKPGAQIQVVQPDCFVTMDFRQNRVRLHVDSLGKIQRAPRIG >Potri.015G127800.1.v4.1 pep chromosome:Pop_tri_v4:15:13920695:13923494:-1 gene:Potri.015G127800.v4.1 transcript:Potri.015G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127800.v4.1 MATKVRLLATLIVFSSIFSLAASKSTKSNITWWCNQTPHPSTCKYFMSHSHHHFALKHRSKFRLMSVQLALEKALIAQRQVSQLGQNCEHQHQKVVWADCLKLHSNTILQLNRTLIGIRKKRLRCTDVDAQTWLSTALTNIQTCRTGSLDLNVSDFTMPAMSRNLSELISNTLAINGVLLEDNNTAQEFPSWFSRRNRRLLQSASITAMANLVVAKDGSGKFRSIQAAINAASKRRYKTRLIIHVKRGVYKENIEVGANNNNIWLVGDGMRNTIITSSRSVGGGYTTYSSATAGIDGLRFVARGITFSNTAGPLKGQAVALRSASDLSVYYRCSFQGYQDTLFVHSQRQFYRECYIYGTIDFIFGNAAVVFQNSIILVRRPLKGQANMITAQGRNDPFQNTGISIHNSQILPAPDLKPVAGVFETYLGRPWMRYSRTVILQTYIDGFINPAGWSPWLNSDFAQDTLYYGEYKNFGPGSSTRRRVAWKGFHVITSPSVASRFTVRSLIAGQSWLPATKVPFSSDL >Potri.002G128400.18.v4.1 pep chromosome:Pop_tri_v4:2:9718905:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.26.v4.1 pep chromosome:Pop_tri_v4:2:9718968:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.14.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.6.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.12.v4.1 pep chromosome:Pop_tri_v4:2:9718098:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.17.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.21.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.5.v4.1 pep chromosome:Pop_tri_v4:2:9718098:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.25.v4.1 pep chromosome:Pop_tri_v4:2:9718905:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.23.v4.1 pep chromosome:Pop_tri_v4:2:9718905:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.23.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.7.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.13.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.15.v4.1 pep chromosome:Pop_tri_v4:2:9718098:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.2.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.22.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.4.v4.1 pep chromosome:Pop_tri_v4:2:9718099:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.3.v4.1 pep chromosome:Pop_tri_v4:2:9718098:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.16.v4.1 pep chromosome:Pop_tri_v4:2:9718097:9722444:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MNTSARNRLQSMKAPMKLEKEKAEMQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.24.v4.1 pep chromosome:Pop_tri_v4:2:9718968:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.24.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSTDGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.20.v4.1 pep chromosome:Pop_tri_v4:2:9718756:9722508:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKATINIGGHLLNAITIEHFILRLPYYSKYVSGAHYNFHESFFRKLNTSSACHLLLSFDQTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G128400.19.v4.1 pep chromosome:Pop_tri_v4:2:9718905:9722443:1 gene:Potri.002G128400.v4.1 transcript:Potri.002G128400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G128400.v4.1 MQGSKPNVAKNRRISSRERKIALQQDVDNLKKQLRHEENIHRALERAFSRPLGALPRLPPYLPRATLELLAEVAVLEEEVVQLEEQIVYFKQDLYQEAVHISSSKRNMGSFSDLYNLYRIKNPKPDQLKSSAQNLDKSATSMISHLPSLSNGTGKENAFSTANSTKNNKGSSIHKAQTSKNMFKIPAVNNGSAEKTLDSPKLQLERRVTGQENVEARTVVTPGERLSGDDSPNKVSEDIMKCLSSIFLRMSSVKNKPTADDLPFSSTLVPQENGKEIECRDPYGICSEFGNRDIGSYKRLFSIEPGAINPNRTSNSLFLLHRLELLLGKLASVNLQNLSHQEKLAFWINIYNSCMMNAFLEHGIPESPEMVVELMRKTISKGAKNDEMAARNKFGLELSEPLVSFALRCGSWSSPALH >Potri.002G024500.1.v4.1 pep chromosome:Pop_tri_v4:2:1580802:1581928:-1 gene:Potri.002G024500.v4.1 transcript:Potri.002G024500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024500.v4.1 MSAGLAKCAKIRHIVRLRQMLRRWRNKARMSANRIPSDVPAGHVAVCVGTGCRRFVVRATYLNHPIFKKLLVQAEEEFGFSNQGPLTIPCDETLFEEMIRCISRSENGKSDLFVNLEDLQRYCHVGVKNAKLDFWTDSRPLLHSDNSFW >Potri.006G214900.1.v4.1 pep chromosome:Pop_tri_v4:6:22133642:22135274:1 gene:Potri.006G214900.v4.1 transcript:Potri.006G214900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G214900.v4.1 MTKPPHLILRLLVLVLLISLSTAEIKNLTITNDARDMIVFEKFGFTPTGHVTISVNSVSVASSLNAGNPLSSRLGFFLLSEESRLQVLLEIQQSPNFCVLDSHFILSLFTFRDLSPPPLSSFSQSYPVTAPNEYSLYFANCAPETRVSMSVKTEIYNLDRDGSRDYLSAGLTQLPSLYSLYFIAYAGFLGLWIYVLYSNKRSVHRIHLLMGGLLLMKALNLICAAEDKHYVKVTGTPHGWDVLFYIFQFIRVVLLFTVIVLIGTGWSFLKPFLQEKEKKVLMVVIPLQVLANIASVVIGETGPFIKDWVTWNQVFLLVDIICCCAIIFPIVWSIRSLRETSKTDGKAARNLAKLQLFRQFYIVVIGYLYFTRIVVFALKTIAAYKYQWVSNAAEETASLMFYMVIFYMFRPVEKNEYFVLDEEDEEAAELALRDEEFEL >Potri.015G008700.7.v4.1 pep chromosome:Pop_tri_v4:15:543943:564498:1 gene:Potri.015G008700.v4.1 transcript:Potri.015G008700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008700.v4.1 MGDDNLKTWVSDKLMSLLGYSQATVVQYIIGISKQASSSADVLSKLEAFGFPSSTETQSFALEIFAKVPRKASGGLNLYQKQEREAAVLARKQKTYQLLDADDDDDDEDDDAGGIDNKSLIATTSDRHKKRFRKKIESEEDEDDEVVKQVEEARQVKRRTSSYEEDDDDSEAEQERLRDQREREQLERNIRERDAAGTRKLTEPKLKKKDEEEAVRRSNALEKNELDTLRKVSRQEYLKKREQKKLEEIRDDIEDEQYLFDGVKLTEAEYRELRYKKEIYELVKKRSEDVEDTNEYRMPEAYDEEGGVNQEKRFSVALQRYRDGSAGDKMNPFAEQEAWEDHQIQKATLKYGSKNKKQISDDYQFVFEDQIEFIKATVVEGDKFDDELATESLDESNAKSALEKLQEDRKTLPIYPYREELLKAINDHQVIIIVGETGSGKTTQIPQYLHEAGYTKHGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSDKTVLKYMTDGMLLREFLGEPDLAGYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVEIHYTKAPEADYLDAAVVTVLQIHVTQPPGDILIFLTGQEEIETAEEIMRHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYNYLHDLEDNTIPEIQRTNLANVVLTLKSLGIHDLINFDFMDPPPSEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKCKCSDEIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYSSWKETNYSTQWCYENYIQVRSMKRARDVRDQLEGLLERVEIELSSNPNDLDAIKKSITSGFFPHSARLQKNGSYKTVKHSQTVHIHPSSGLSQVLPRWVIYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQMKDVEDPGSKKMPRGQGRASSQQLGS >Potri.015G008700.2.v4.1 pep chromosome:Pop_tri_v4:15:543800:564564:1 gene:Potri.015G008700.v4.1 transcript:Potri.015G008700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008700.v4.1 MGDDNLKTWVSDKLMSLLGYSQATVVQYIIGISKQASSSADVLSKLEAFGFPSSTETQSFALEIFAKVPRKASGGLNLYQKQEREAAVLARKQKTYQLLDADDDDDDEDDDAGGIDNKSLIATTSDRHKKRFRKKIESEEDEDDEVVKQVEEARQVKRRTSSYEEDDDDSEAEQERLRDQREREQLERNIRERDAAGTRKLTEPKLKKKDEEEAVRRSNALEKNELDTLRKVSRQEYLKKREQKKLEEIRDDIEDEQYLFDGVKLTEAEYRELRYKKEIYELVKKRSEDVEDTNEYRMPEAYDEEGGVNQEKRFSVALQRYRDGSAGDKMNPFAEQEAWEDHQIQKATLKYGSKNKKQISDDYQFVFEDQIEFIKATVVEGDKFDDELATESLDESNAKSALEKLQEDRKTLPIYPYREELLKAINDHQVIIIVGETGSGKTTQIPQYLHEAGYTKHGKVGCTQPRRVAAMSVAARVSQEMGVKLGHEVGYSIRFEDCTSDKTVLKYMTDGMLLREFLGEPDLAGYSVVMVDEAHERTLSTDILFGLVKDIARFRPDLKLLISSATLDAEKFSDYFDSAPIFKIPGRRFPVEIHYTKAPEADYLDAAVVTVLQIHVTQPPGDILIFLTGQEEIETAEEIMRHRTRGLGTKIAELIICPIYANLPTELQAKIFEPTPEGARKVVLATNIAETSLTIDGIKYVIDPGFCKMKSYNPRTGMESLLVTPISKASAMQRAGRSGRTGPGKCFRLYTAYNYLHDLEDNTIPEIQRTNLANVVLTLKSLGIHDLINFDFMDPPPSEALLKALELLFALSALNKLGELTKVGRRMAEFPLDPMLSKMIVASDKCKCSDEIISIAAMLSVGNSIFYRPKDKQVHADNARMNFHTGNVGDHIALLKVYSSWKETNYSTQWCYENYIQVRSMKRARDVRDQLEGLLERVEIELSSNPNDLDAIKKSITSGFFPHSARLQKNGSYKTVKHSQTVHIHPSSGLSQVLPRWVIYHELVLTTKEYMRQVTELKPDWLVEIAPHYYQMKDVEDPGSKKMPRGQGRASSQQLGS >Potri.013G037500.2.v4.1 pep chromosome:Pop_tri_v4:13:2445984:2449205:1 gene:Potri.013G037500.v4.1 transcript:Potri.013G037500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037500.v4.1 MMSLLQKPFSMFLQVLFFLLLICIPSFFAFPPKSSATSFGAAKYVAAEGNKEAEALLKWKASLDDKHSQSVLSSWVGSSPCKWLGITCDNSGSVADFSLPNFGLRGTLHGFNFSSFPNLLTLNLWKNSLYGTIPSHISNLTKITNLDLSDNHFTGNIPLEIGLLTSLNFLYLDKNNLTGLIPASIGTLKRLSILYLWWNKLSGSIPSSIGNMTMLTRLDLSTNNLSASVPREIGQLESLVGLSLSFNNLNGSLPPEVNNLTHLTVLQLFSNNFTGHLPRDLCLGGLLVNFSAGYNHFSGPIPRSLRNCTGLFRFRLDWNQLTGNISEDFGLYPNLNYVDLSHNDLYGELTWKWGGFHNLASLKLSNNNITGEIPSELGKGTGLQMIDLSSNLLKGTIPKELGQLKALFNLALHNNHLFGVLPVEIQMLSQLQSLNLASNNLGGSIPKQVGECSNLLQLNLSHNKFIGSIPSEIGFLHFLGNLDLSGNLLAGEIPSQIGQLKQLETMNLSHNKLSGLIPNDFVDLVSLTAVDISYNELEGPIPKIKGFIEAPFEAFMNNSGLCGNASGLKPCTLLTSRRKSNKIVILILFPLLGSLLLLLIMVGCLYFHHRTSRERISCLGERQSPHSFVVWGHEEEILHETIIQATNNFNFNNCIGRGGYGIVYRAMLPTGQVVAVKKLHPSREGELMNLRTFRNEIRMLIDIRHRNIVKLYGFCSIIEHSFLVYEFIERGSLKMNLSSEEQAMDLDWNRRLNVVKGVANGLSYLHHDCSPPIIHRDISSSNVLLDLEYEAHVSDFGTARLLMPDSTNWTSFAGTFGYTAPGTYLISFLIYISRTILPVL >Potri.001G229500.1.v4.1 pep chromosome:Pop_tri_v4:1:24880007:24881302:1 gene:Potri.001G229500.v4.1 transcript:Potri.001G229500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229500.v4.1 MGRLPAQTEAAIQHFSHPHPLQLSNYQPQQTLCLASCSGCKLKISGWIYACTQCNYFLHVSCSQMPQQITHPYHQNHVLSLLSTPMYPGDLFNCDACGKQGNGFSYHCGTCNIYIHTTCAAMPLVLTHQSHHHQLNLTPFAPYPNMSFSCDMCHNFGSKQWLYRCNLCGFDAHLDCAVSQPNPAQAQAQYYQSTAPASGIPQYQAVGTPLATGPVMQNLAPNNYVPKAATSNVFGSNMPMSYGRPRGQANSSLNQLGSILLPALLGIGIGGLGTGGRNNSGGGINLGGTFGGGGGDLSSSLSGVDIGGFGGGMDLGGGSSF >Potri.018G042350.1.v4.1 pep chromosome:Pop_tri_v4:18:3369596:3375148:1 gene:Potri.018G042350.v4.1 transcript:Potri.018G042350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G042350.v4.1 MAGRICLIKSVLNSLPLYYMSVFTMPKGITKAISSINRRFLWKGTLNSHGICKVAWNKVIKSKSLGGLGLGSIHNKNLALMFKWLWNLDKRVVGGWQDLILRKHRPYFVNGLPVFAGSLSPTWRGLVSAISLNHSISAPLQSNVGFKVGDGRNIRFWSDSWLGHAAPLQFMFPRLYNLSLQQSLSIAEVHNQSDNSINLSWRRPLRSRELCMRESLIAEVERGLVFSDGEDRKIWKSHSSNVYTVSSGCHLLDGLIVSSNLHSPALLWKGFAPPKIDVFIWLLLNGSVCTKYFLAKRRIINYEDALCPFCCKEIETIHHLFHLRPTSWSLWGRLVRWFGCVGCLPKDPNHNLQEWSGLLKGNFQRQAITLLCKGLYWSIWIARNNMIFDSKTPDWDVIFDLTFHRLAFWLKSSVKNFSYTGSDLYRNPECIMNWTN >Potri.009G010400.3.v4.1 pep chromosome:Pop_tri_v4:9:2001631:2008362:1 gene:Potri.009G010400.v4.1 transcript:Potri.009G010400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010400.v4.1 MKLQLKVALAFLISLIWIQQGFSDELSAKSGINKWTCTCSASYQGNQSYIKSNCSTSCDCSPDGGQSGGMWTCTCSSDGLPKVATGIQDTTCFTACNCTSGSLTDVQDTRKHFSSKIVLVILLLCVILTTLAFLASITCYLYRKDKCLVQSPVFLSDRERSCNSATNLISHRASSVSETKIRVDSPINPISGCFRKASFLCRSKTEIIQGNLILFTYSELEHATNKFSHSNLIGLGGSSYVYHGQLKDGRTVAVKRLKAQGGPDADFLFSTEVELLAKLHHCHVVPLLGYCSKFQGKFSERLLVFEYMPNGNLRDCLDGVLGEKMNWQTRVTIAIGAARGLEYLHESAAPRILHRDVKSTNILMDENWRAKITDLGMAKRLRGDGVPSSPSSPARMQGTFGYFAPEYAMIGRASPMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPLLQDSGLVLLELPDPRLKGNFPEEELQIMAYLAKECLLLDPDARPSMGEVVQILSTIAPEKSKRRNIPVNLFQMSSIQRMKTELFKEKPDSRAEGPVDAEEVLKPDRSIQQSALDVEHDHFVGSNNVGADNNSIKYMERLILLTSKAQSLRSSDDEAVDLTEPRLESFCMANVKSP >Potri.009G010400.1.v4.1 pep chromosome:Pop_tri_v4:9:2001235:2008375:1 gene:Potri.009G010400.v4.1 transcript:Potri.009G010400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010400.v4.1 MKLQLKVALAFLISLIWIQQGFSDELSAKSGINKWTCTCSASYQGNQSYIKSNCSTSCDCSPDGGQSGGMWTCTCSSDGLPKVATGIQDTTCFTACNCTSGSLTDVQDTRKHFSSKIVLVILLLCVILTTLAFLASITCYLYRKDKCLVQSPVFLSDRERSCNSATNLISHRASSVSETKIRVDSPINPISGCFRKASFLCRSKTEIIQGNLILFTYSELEHATNKFSHSNLIGLGGSSYVYHGQLKDGRTVAVKRLKAQGGPDADFLFSTEVELLAKLHHCHVVPLLGYCSKFQGKFSERLLVFEYMPNGNLRDCLDGVLGEKMNWQTRVTIAIGAARGLEYLHESAAPRILHRDVKSTNILMDENWRAKITDLGMAKRLRGDGVPSSPSSPARMQGTFGYFAPEYAMIGRASPMSDVFSFGVVLLELITGRQPIHKSTNKGEESLVLWATPLLQDSGLVLLELPDPRLKGNFPEEELQIMAYLAKECLLLDPDARPSMGEVVQILSTIAPEKSKRRNIPVNLFQMSSIQRMKTELFKEKPDSRAEGPVDAEEVLKPDRSIQQSALDVEHDHFVGSNNVGADNNSIKYMERLILLTSKAQSLRSSDDEAVDLTEPRLESFCMANVKSP >Potri.002G176000.1.v4.1 pep chromosome:Pop_tri_v4:2:13657117:13661397:1 gene:Potri.002G176000.v4.1 transcript:Potri.002G176000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176000.v4.1 MATLADSFLADLDELSDNDADIVDEDDDVEAGNMEEDVDGDLADIEALNYDDLDSVSKLQKTQRFNDIMQKVEDALQKGSDVQDHGMVLEDDPEYQLIVNCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDMEGLIPAAIRMVISVTASTTSGKPLPEEVLQKTIDACNRALALDSAKKKVLDFVETRMGYIAPNLSAIVGSAVAAKLMGMAGGLTALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQSTPPSLRMRAGRLLAAKSTLAARVDSTRGDPSGNTGRTLREEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSIGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSENGTFSKIKRT >Potri.002G176000.2.v4.1 pep chromosome:Pop_tri_v4:2:13657223:13661681:1 gene:Potri.002G176000.v4.1 transcript:Potri.002G176000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176000.v4.1 MATLADSFLADLDELSDNDADIVDEDDDVEAGNMEEDVDGDLADIEALNYDDLDSVSKLQKTQRFNDIMQKVEDALQKGSDVQDHGMVLEDDPEYQLIVNCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDMEGLIPAAIRMVISVTASTTSGKPLPEEVLQKTIDACNRALALDSAKKKVLDFVETRMGYIAPNLSAIVGSAVAAKLMGMAGGLTALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQSTPPSLRMRAGRLLAAKSTLAARVDSTRGDPSGNTGRTLREEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSIGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSENGTFSKIKRT >Potri.002G176000.3.v4.1 pep chromosome:Pop_tri_v4:2:13657021:13661387:1 gene:Potri.002G176000.v4.1 transcript:Potri.002G176000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G176000.v4.1 MATLADSFLADLDELSDNDADIVDEDDDVEAGNMEEDVDGDLADIEALNYDDLDSVSKLQKTQRFNDIMQKVEDALQKGSDVQDHGMVLEDDPEYQLIVNCNALSVDIENEIVIIHNFIRDKYRLKFPELESLVHHPIDYARVVKKIGNEMDLTLVDMEGLIPAAIRMVISVTASTTSGKPLPEEVLQKTIDACNRALALDSAKKKVLDFVETRMGYIAPNLSAIVGSAVAAKLMGMAGGLTALAKMPACNVQLLGAKKKNLAGFSTATSQFRVGYIEQTEVFQSTPPSLRMRAGRLLAAKSTLAARVDSTRGDPSGNTGRTLREEIHKKIEKWQEPPPAKQPKPLPVPDSEPKKKRGGRRLRKMKERYAITDMRKLANRMQFGVPEESSLGDGLGEGYGMLGQAGNGKLRVSIGQSKLAAKVAKKFKEKNYGSSGATSGLTSSLAFTPVQGIELTNPQAHAHQLGSGTQSTYFSENGTFSKIKRT >Potri.001G394300.2.v4.1 pep chromosome:Pop_tri_v4:1:41813761:41818631:-1 gene:Potri.001G394300.v4.1 transcript:Potri.001G394300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G394300.v4.1 MGKRGRACVVVLGDIGRSPRMQYHALSLARQASLQVDIVAYGGSEPHMALRENQSIHIHKMKQWPAIPQGLPKMFKPFMLLLKPLFQFLMLLWYLCVKIPPPDVFLVQNPPSVPTLVAVKWASWLRNAKFIVDWHNFGYTLLALSLGRNSRFVTVYRWFERHYGKMAHGSLCVTRAMQHELTQNWGIKAAVLYDQPPEFFHPASLEEKHKLFCRLGKHISESQGVHDCASHGAVGMGSPNLNETLFTTMVADDIFLKPNRPALVVSSTSWTADEDFGILLEAAVMYDRRVAAILNEDDSTVEVLGNEMSAGKECLYPRLLFIITGKGPEKEKYEEKIRRLHLKRVAFRTMWLSAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCGLPVCAVAYSCIKELVKVEKNGLLFSSSSELADELLMLFKGFPEECDALKLLRNGALEMGSSRWATEWEEHAKPLISEVISQNLG >Potri.016G096600.1.v4.1 pep chromosome:Pop_tri_v4:16:9603889:9608449:1 gene:Potri.016G096600.v4.1 transcript:Potri.016G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G096600.v4.1 MELISLFPSYHQNPTAILLREPLLSIPITKRRLSNGNSGALKCRSSPSSSDDETTKPTPLSLHQEGRRALVASLLSTAVGIYVCDVAEAASTSRRALRASKIPESDFTTLSNGLKYYDLKVGGGPKAVKGSRVAVHYVAKWKGITFMTSRQGLGVGGGTPYGFDVGQSERGAVLKGLDLGVEGMRVGGQRLLVVPPELAYGSKGVQEIPPNATIELDVELLAIKQSPFGSPVKVIEG >Potri.007G090400.1.v4.1 pep chromosome:Pop_tri_v4:7:11543944:11548380:-1 gene:Potri.007G090400.v4.1 transcript:Potri.007G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090400.v4.1 MEQKKQNPKAEDETQIVSRRIQRISLHITPIPRPLHDHDSNLGLLPCARREKLEVETEKLSVYMRGKYRDIQEKIYEYFNARPELQTPVEISKDEHRELCWRQMYGLIREAGIRPLKYVVEEPAKYFAIVEAVGAVDISLGIKLGVQYSLWGGSVINLGTKKHKDKYFEKIDSLEYPGCFAMTELHHGSNVQGIQTVATFDPITDEFTINTPNDGAIKWWIGNAAVHGKFASVFAKLMLPTHDAKGVSDMGVHAFIVPLRDLKTHQALPGIEIHDCGHKVGLNGVDNGALRFTSVRIPRDNLLNRFGDVSRDGKYTSSLPTINKRFAATLGELVGGRVGLAYSSVGVLKIANTIAIRYSLLRQQFGPPKQPEISILDYQSQQHKLMPMLASTYAFHFATVYLVEIYSQMKMTHNEQLVGDVHALSAGIKAYVTSYTAKSLSVCREACGGHGYAAVNRFGTLRNDHDIFQTFEGDNTVLLQQVAADLLKQYKEKFQGGTLAVTWNYLRESMNTYLSQPNPVTSRWEGAEHLQDPKFQLDAFRYRTSRLLQSAAVRLRKHSKTLGGFGAWNRCLNHLLTLAESHIESVILAKFIEAVEKCPDASSQAALKLVCDLYALNRIWNDIGTYRNVDYVAPNKAKAIHKLTDYLSFQVRNIAKELVDAFDLPDHVTRAPIAMQSEAYARYTHHVGF >Potri.019G023006.2.v4.1 pep chromosome:Pop_tri_v4:19:565259:568800:1 gene:Potri.019G023006.v4.1 transcript:Potri.019G023006.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023006.v4.1 MALTHFASSFCTLPTLASPSRALAGPVSSKNYRSLPTKVRCMVATEAAGQIVRRSANYQTSIWEYDFVQSLTSKYKGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFVDEIKSTLKSLFYKNHIENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHDDLKGMLFLYEASYFLVEGERILEDARDFTTKNLEKYVNKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNFMDRLPDYMKLCFLAFYNSVNEMAYNILKYQGIDILPYLKKERRPHNSWRNT >Potri.019G023006.1.v4.1 pep chromosome:Pop_tri_v4:19:565179:568722:1 gene:Potri.019G023006.v4.1 transcript:Potri.019G023006.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023006.v4.1 MALTHFASSFCTLPTLASPSRALAGPVSSKNYRSLPTKVRCMVATEAAGQIVRRSANYQTSIWEYDFVQSLTSKYKGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFVDEIKSTLKSLFYKNHIENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHDDLKGMLFLYEASYFLVEGERILEDARDFTTKNLEKYVNKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNFMDRLPDYMKLCFLAFYNSVNEMAYNILKYQGIDILPYLKKEWADLCKSYLLEAKWYFSGYTPTLQEYMDNAWISISAPVILVHAYFYVSNPTTEEASQFMEEYLDIIRWSSMILRLADDLGTSTDELKRGDISKSIQCYMHEAEVSEEKAREHIKSLIGNTWKKINDYQFVNPRISQTFIGIAMNLARMAQCMYQYGDGHGVGHLETKDRVKSLLIKPL >Potri.004G143300.1.v4.1 pep chromosome:Pop_tri_v4:4:16625544:16631175:1 gene:Potri.004G143300.v4.1 transcript:Potri.004G143300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G143300.v4.1 MADDLCFFFFFSKDSFIIKAPKKSPLALRMVVLVFAMVCGVYICSICLKQIGIRTNPGFLNVEVIERPCPEPNIEPWEIPYVHYPRPKTYSRAECKCNPVRYFAILSMQRSGSGWFETLLNNHTNISSNGEIFSVKVRRSNVSTITETLDKIYNLDWSSSASKNECTAAVGLKWMLNQGVMRHHEEIVEYFKTRGVSAIFLFRRNLLRRMISILANSYDRDVKPLNGTHKSHVHSPREAEILAKYKSLINTTLLIPSLKQVEDTTAKALEYFKSARHIILYYEDVVKNRTKLLDVQDFLKVPRRELKSHQVKIHKGSLLNYVENWDEVQKSLKGTHYESLLSGDY >Potri.002G188700.1.v4.1 pep chromosome:Pop_tri_v4:2:15069330:15076394:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.4.v4.1 pep chromosome:Pop_tri_v4:2:15069278:15076424:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEVVSTLLSNGEGPSNLKQHLTVPVSGMPQQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.11.v4.1 pep chromosome:Pop_tri_v4:2:15069508:15076281:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.9.v4.1 pep chromosome:Pop_tri_v4:2:15069508:15076324:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.7.v4.1 pep chromosome:Pop_tri_v4:2:15069508:15076377:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEVVSTLLSNGEGPSNLKQHLTVPVSGMPQQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.10.v4.1 pep chromosome:Pop_tri_v4:2:15069521:15076301:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.13.v4.1 pep chromosome:Pop_tri_v4:2:15069508:15076276:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.8.v4.1 pep chromosome:Pop_tri_v4:2:15069506:15076370:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.12.v4.1 pep chromosome:Pop_tri_v4:2:15069507:15076279:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.002G188700.6.v4.1 pep chromosome:Pop_tri_v4:2:15069506:15076377:1 gene:Potri.002G188700.v4.1 transcript:Potri.002G188700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G188700.v4.1 MEARFGGEPHHFYAMGPTDMRAVGKRGLEWDLNDWKWDGDLFIASPLNPVPSTSVSRPFFPLGVGTGVPATGNSSNSSSSCSDEVNLGVEKGKRELEKRRRVVVIDDDNLNDQETGGLSLKLGGQRDVGNWEGSSGKKTKLVGGGLSRAVCQVEDCGVDLSNAKDYHRRHKVCEMHSKASKALVGNVMQRFCQQCSRFHVLQEFDEGKRSCRRRLAGHNKRRRKTNPDTVGNGSSMNDDQNSGYLLISLLRILSNMHSNRSDETTDQDLLTHLLRSLASHSVEHGGRNMFGPLQEPRDLSTSFGNSEVVSTLLSNGEGPSNLKQHLTVPVSGMPQQVMPVHDAYGANIQTTSSLKPSIPNNFAVYSEVRESTAGQVKMNNFDLNDICVDSDDGTEDIERSPAPVNARTSSLDCPSWVQQDSHQSSPPQTSRNSDSASAQSPSSSSGEAQSRTDRIVFKLFGKEPNDFPLVLRAQILDWLSHSPTDIESYIRPGCIILTIYLHQAEAAWEELCCGLGSSLSRLLAVSEDTFWRTGWIYIRVQHQIAFVYNGQVVVDTSLPLTSNNYSKILSVKPIAITASERAEFLIKGVNLSRPATRLLCAVEGNYMVQENRQEVMDGVDSFKGHDEVQCVNFSCSIPMVTGRGFIEIEDHGFSSSFFPFLVAEEDVCSEIRMLEGVLETETDADFEETEKMEAKNQAMNFVHEMSWLLHRSQLKSRLGCSDPSMNLFPLRRFKWLMEFSMDHEWCAVVGKLLNILHNGIVGTEEHSSLNVALSEMGLLHRAVRRNSRSLVELLLRYVPEKFGSKDTALVGGSHESILFRPDVTGPAGLTPLHIAAGKDGSEDVLDTLTEDPGMVGIEAWKNAVDSTGFTPEDYARLRGHYTYIHLVQRKINKRQAVGGHVVLDIPSNLSNSNINEKQNEGLSSSFEIGQTALRPTQGNCKLCSQKVVYGIASRSQLYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFRPFRWEMLDYGTS >Potri.016G076550.2.v4.1 pep chromosome:Pop_tri_v4:16:5775422:5778322:1 gene:Potri.016G076550.v4.1 transcript:Potri.016G076550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076550.v4.1 MVLVDMRNHGKSVDIEGLDPPHNMFNAAMDVANLVKEKGWEWPDVVIGHSMGGKVALQFAESCTRGDYGHSVSFPKQLWVLDSVPVEVSPEYSDGEVEKVLRTLHSLPSPIPSRSWLVNHMIQLGFSKSLSEWIGSNLKKSGEQESWAFDLKGAIQMFNFYSLNSEYKNSIRRLHIGLVVAEKSDRWDPDLIQRLESLSCRTRDESEGKFSLHVLPNSGHWVHVDNPKRLLEIVTPRMASLST >Potri.016G076550.1.v4.1 pep chromosome:Pop_tri_v4:16:5775064:5778322:1 gene:Potri.016G076550.v4.1 transcript:Potri.016G076550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076550.v4.1 MVLVDMRNHGKSVDIEGLDPPHNMFNAAMDVANLVKEKGWEWPDVVIGHSMGGKVALQFAESCTRGDYGHSVSFPKQLWVLDSVPVEVSPEYSDGEVEKVLRTLHSLPSPIPSRSWLVNHMIQLGFSKSLSEWIGSNLKKSGEQESWAFDLKGAIQMFNFYSLNSEYKNSIRRLHIGLVVAEKSDRWDPDLIQRLESLSCRTRDESEGKFSLHVLPNSGHWVHVDNPKRLLEIVTPRMASLST >Potri.014G045300.1.v4.1 pep chromosome:Pop_tri_v4:14:2922788:2925287:1 gene:Potri.014G045300.v4.1 transcript:Potri.014G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045300.v4.1 MADPVSYSKPHFPLKELHNSKPVTKINKSSSSSFTSRVLCKSLFFVLFLVLIPLFPSQAPEFISHSTILTIFWDLAHLLFIGLAVCYGFFSSRNVEDFDFEPPPHYSDDSQSSYVSRIFHFSPIFEDGSENLSGFDDKNVYQNWDSQYYRGESMVNDTNGLELNKIGQRYYRGESMVDVANGNERNEGGSSDAENGFENSFENGDSNVVQSWNSQYFQVESTVVVSQPNYSLDEYGNLGQANGYRPLGLPVRSLNPRVRNLDSPQFSNGSESGTSFSGSGSADGSGRSVKENDFGDMGPTNLEGMLDETVALPSQSPWRPRFETREIREKVGSSSGGYSHFRPLSVDETQFESLKSQSFISTTSLSSQGNPGPQHLDPSHFRPLSVDETQFESLKSQAFRSTTSLSSQGNPGPQHLGPSHFRPLSADEAQFESLKSQSFRSTTSLSSQGSSASYSPTTLSPSRSVSSEMPNSETEELGENKSYRASYPPTSQSPTTRKADAPLNAFHLRRYSSGSLFQKDSRRSLKDELKDLKGKRNEDTMGSREAGHGSLRSVQKPATRNEDTMGSTEAGQGSLRSVQKPATPVKISSLKGKSVRTIRASRYAAETIKAGEMSRNHIDDKVGKICNEAQTVNMGKDEMERGPDNMLIGADKKNSDAQHHMSKPTLSKYKKKENEVISESVTVESTEDSESENDISRVSSDEDSAPAIYNDAGDYSSEVDKKAGEFIAKFREQIRLQKVASIERSKGKRMNGTYVR >Potri.014G045300.2.v4.1 pep chromosome:Pop_tri_v4:14:2923283:2925290:1 gene:Potri.014G045300.v4.1 transcript:Potri.014G045300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G045300.v4.1 MVNDTNGLELNKIGQRYYRGESMVDVANGNERNEGGSSDAENGFENSFENGDSNVVQSWNSQYFQVESTVVVSQPNYSLDEYGNLGQANGYRPLGLPVRSLNPRVRNLDSPQFSNGSESGTSFSGSGSADGSGRSVKENDFGDMGPTNLEGMLDETVALPSQSPWRPRFETREIREKVGSSSGGYSHFRPLSVDETQFESLKSQSFISTTSLSSQGNPGPQHLDPSHFRPLSVDETQFESLKSQAFRSTTSLSSQGNPGPQHLGPSHFRPLSADEAQFESLKSQSFRSTTSLSSQGSSASYSPTTLSPSRSVSSEMPNSETEELGENKSYRASYPPTSQSPTTRKADAPLNAFHLRRYSSGSLFQKDSRRSLKDELKDLKGKRNEDTMGSREAGHGSLRSVQKPATRNEDTMGSTEAGQGSLRSVQKPATPVKISSLKGKSVRTIRASRYAAETIKAGEMSRNHIDDKVGKICNEAQTVNMGKDEMERGPDNMLIGADKKNSDAQHHMSKPTLSKYKKKENEVISESVTVESTEDSESENDISRVSSDEDSAPAIYNDAGDYSSEVDKKAGEFIAKFREQIRLQKVASIERSKGKRMNGTYVR >Potri.012G073400.2.v4.1 pep chromosome:Pop_tri_v4:12:9687432:9691257:-1 gene:Potri.012G073400.v4.1 transcript:Potri.012G073400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073400.v4.1 MAKRSFKRFVEQELGKLPLFLIYAVLEWVLIAVLFIDGFLAFFANEFAKFFELKIPCLLCTRIDHVLVHRDADFYYNESICGTHKKEVSCLAYCHVHKKLSDIRNMCEGCLLSFATERESDCDLFKFPAGVLHKDIELFADDDRDIHLRLPALGNDIVVPADKSSPHQYCSCCGEPLKVKSYSKGKIAGMLSQAPAPSPRAPFVTLRNEGPRKLDLSHVRYTELKFSENDSELHDDEDASNASHLDKQFREDVKAAMVPLLTEAENMNEERTPTFSRGNKFFGIPLTDSAAASPRAFTRFPRKLDKTELSSETIEGASLSNEVDGESILQHLKKQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALQATRDIVSKREEQIKTLEAELVAYREKYGVLMGEDFMESGDEIDEDCHDLKTDSHSSYTERYECLSPSYSSTEGQNNAENVFYQSKSPSFLAVENGGETEDKPLKAFQGQEPHRLGRLNNWDKKVHVSSDDGDLSSQSSSDDVNHSEKVTDQEREADLVKESSHLHGSAKDLDAEGGFLKLAGHTLQNGSEVTSDSLQKICQLVTTDDHDA >Potri.012G073400.3.v4.1 pep chromosome:Pop_tri_v4:12:9687432:9691257:-1 gene:Potri.012G073400.v4.1 transcript:Potri.012G073400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G073400.v4.1 MAKRSFKRFVEQELGKLPLFLIYAVLEWVLIAVLFIDGFLAFFANEFAKFFELKIPCLLCTRIDHVLVHRDADFYYNESICGTHKKEVSCLAYCHVHKKLSDIRNMCEGCLLSFATERESDCDLFKFPAGVLHKDIELFADDDRDIHLRLPALGNDIVVPADKSSPHQYCSCCGEPLKVKSYSKGKIAGMLSQAPAPSPRAPFVTLRNEGPRKLDLSHVRYTELKFSENDSELHDDEDASNASHLDKQFREDVKAAMVPLLTEAENMNEERTPTFSRGNKFFGIPLTDSAAASPRAFTRFPRKLDKTELSSETIEGASLSNEVDGESILQHLKKQVRLDRKSLMALYMELDEERSASAVAANNAMAMITRLQAEKAAVQMEALQYQRMMEEQAEYDQEALQATRDIVSKREEQIKTLEAELVAYREKYGVLMGEDFMESGDEIDEDCHDLKTDSHSSYTERYECLSPSYSSTEGQNNAENVFYQSKSPSFLAVENGGETEDKPLKAFQGQEPHRLGRLNNWDKKVHVSSDDGDLSSQSSSDDVNHSEKVTDQEREADLVKESSHLHGSAKDLDAEGGFLKLAGHTLQNGSEVTSDSLQKICQLVTTDDHDA >Potri.002G175400.1.v4.1 pep chromosome:Pop_tri_v4:2:13591152:13592979:-1 gene:Potri.002G175400.v4.1 transcript:Potri.002G175400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175400.v4.1 MSNQPAPARPWFRLPSIARPTAPTTTPTPEPPPPQPRPALARPAFRPTAPPQPVPTQPRETTPPAATGPQAVAAPTPEPVPPVSGVASVPTSPVARAAVGVASVPTSPASRAPAPSSSVPTSPVPTSAVLPLTTSSLPPSPTPKPAPTSSSVPTSPATKPMTTTSSVPTSPAPKPVTTTSSVPTSYASKSVTVTSSVQNSPATKAVTTNATRVPSPGPSLRTIKPAVQTPPQSPKPRPTAPPPSPLTLPPSQVKSYADLEPKIPLVAEQKTVLVQKTFDKPKVARDSQRDFADSLSSGIARLAKQETTKDVQTKEKGNRKKNSSDSEDGGMKVITIAGENKGAFMEVIRSPKKQVFEGTSHFLHKKGNPKSEGSDSSSSEEGNSKKKDKNPNGKAMGPSPLSSFMNSNVQGVNNSIVYNSSCSHHDPGVHVALSRKPAGAAAFHVKDHGNDNQS >Potri.010G066200.1.v4.1 pep chromosome:Pop_tri_v4:10:9474728:9477291:1 gene:Potri.010G066200.v4.1 transcript:Potri.010G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066200.v4.1 MDDTFANAQKDGLGKEDSLHSLSHDRELSGDHELDCSQSKSSRLTRRVNSHQYLHLKVKQLQRSKSCIKDSFLRSIHVLDNWIPKHIITIDEKYLRRCLEFIHASTSQAAPCNASMYLDWGNMGFFSDGLNIAKIGNKNTCSSAGFDFDCPLAGTGSVVISPAEQWIAGSIMGSKSMVNILKSPLLRRYGAYDGDANFEKVTSSDVKGSICYDFMNSPGGLSSYSPHKLDNGAQTPGSHKYESESLHKRFVSMSSTNSTCSDQSSSSLPAAVTQGTLQCTWKGGNPHFIFSLDDQKVVYVANFYNVNSADDKALEYVYLFHSRKGGQKEHMIHDKESRLVGQMNVSTSFSLCPNNCRIMEREFVLFGCYENLVGELQSSSHDLRKNKRLSSRVVEVFRTNNSFKKRTNSRFDGSGAILENSSWEPFQEREYNMDALGGANLLENHLPPNLELAAILVKNHLPEKRPEKTGGWGLNFLKNTAVTQAKDTMKSSVLSASCARDTGDCSTSIDILIPAGLHGGPRTRNGGPSSLIDRWRSGGYCDCGGWDLGCPLTVLKSRSANKEFSSSADMQGECKLINLFIQGSENGAPPLRMVNVHDGMYSVHFQSTLSALQTFSIALAFIHSQKVPHSNQNMYRS >Potri.008G102000.1.v4.1 pep chromosome:Pop_tri_v4:8:6456685:6457986:1 gene:Potri.008G102000.v4.1 transcript:Potri.008G102000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G102000.v4.1 MAELFTSTTIATIIASLILLLVPISCSSPFITDGSRDSPIPQSDIDLLEFPLNLEYLEAEFFLYGSMGQGLDNFAPNLTMGGPKPLGAKKANLDPYTRDVIKQFAWQEVGHLRAIKDTVQGFPRPLLDLSTKSFAKTMDAAFGKRLSPPFDPYASSLHYLIASYVIPYVGLTGYVGANPKLQAAASKRLVAGLLAVESGQDAVIRGLLYEYALIRLHPYGITVAEFTNRISDLRNKLGQEGIKDEGLVVPKKFGAEGQIRGNVLAGDEYSIGYARTPEEILRVVYGSGNESTPGGFYPKGADGRIAKSHLQHS >Potri.005G070300.1.v4.1 pep chromosome:Pop_tri_v4:5:4561388:4569664:1 gene:Potri.005G070300.v4.1 transcript:Potri.005G070300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G070300.v4.1 MGLFRAKGVVYKPVENVNLGPDSDEFYLQANVKAPRMAGFPVKIFAWFLESRIFGTFLLYILKRNNLIHKLVTNAELKESPVYVPMHPFEELNEQEVKHIDSGLSPSEQVQQAINCLPLPSEKIVNGLKPSFRRWTIMDYSKAYSSGEITPCMVAEQLVTAIRESSSPPMDMAFFINYDAEDILRQAKESTRRYERGEPISALDGVPIAIKDEIDCSPYPTTGGTKWLHKFRSCKGDACCVMRLRSCGAVIIGKTNMHELGAGTSGINPHYGATRNPYNPGMISGGSSSGSAAVVAAGLCPVALGVDGGGSVRMPAALCGVVGFKPTFGRVPHSGVLPLNWTVGMVGVLAGTIEDAFIVYAAINGPLPSHETSAIPLPKVYFPLLQSTNSVSNVILARYGEWFSDCGDDIRTCCSQALHQLSEKFGWKTVDVTIPDIESMRLAHYLTIGSECTAALSSYLEKLDNAESGWDLRVALCVYGSFSGEEYIKAQKLRSRQMQFHRNIFTKADVIVTPTVGVTAYPIFDDALKTGELDYINGAALVRYQIAGNFLGLPAVTVPVGYDKNGLPIGLQFIGRPWSEPTLIHIAYAMQTLCVSKYRKPQVFYDLLEKD >Potri.005G091501.1.v4.1 pep chromosome:Pop_tri_v4:5:6363291:6363889:1 gene:Potri.005G091501.v4.1 transcript:Potri.005G091501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G091501.v4.1 MMALHEDKSLVCSQGRLAHNMYRERFQFATSYLVCFGQSPWLCRWTLLSHDRHLLFINPSAIKKKKNKNTNTHLCFTVIVRRITMDLYNDSLFFSPCNFLHFDPSIYLSSNLVL >Potri.014G149900.1.v4.1 pep chromosome:Pop_tri_v4:14:10344326:10344637:1 gene:Potri.014G149900.v4.1 transcript:Potri.014G149900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G149900.v4.1 MEKVQKLLCVALLLAVLAIASNIANAQSTICKMPVAGLMSCKPSVTPPNPTAPSADCCSALSHADINCLCSYKNSNLLPSLGIDPKLAMQLPGKCKLPHPANC >Potri.015G148300.6.v4.1 pep chromosome:Pop_tri_v4:15:15204989:15214475:-1 gene:Potri.015G148300.v4.1 transcript:Potri.015G148300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G148300.v4.1 MVWGLFPVDPLSGEDKYYIFTKGVYKVGRKGCDVIICKDKGVSRIHAELVVDEMISMDHPLHMKSDLPSRVLIRDCSKYGTYINKEKVHKFLNKETSLKDGDVVSFGTGTAVYRFCFVPLVFFVYCSESFQVDQLLRDKVSSIGACITYNLSEECTHVLADELMPVKEHLVDAIVSKKPIVLRSWVELVAEKRIGLEIPSWSSYIPTLTVEGVSVKVAASGTRAKCLEGFTCLLESINMYKFKDRLQSLLEVCGAKIVLVEEFCSNAKGLDCGQDSHVVCVIPRGSPDKFNLFNKLGSLSRVNELDLLRAVLAGHLDLSVLVSPSVLVSSSCSTDETVVADSEAEVETPTSEHFTADISNEEAPKYVNKLEMSIDPHLRSENNHVVSSTYSIGKMTAKRETVDEAESGNSDIIYSQDLIIRDLNLPAQISSTPNNEVLNFKRFRKGNTQSGNSFNNLIPFSKYPYKICSSL >Potri.015G148300.1.v4.1 pep chromosome:Pop_tri_v4:15:15204964:15214480:-1 gene:Potri.015G148300.v4.1 transcript:Potri.015G148300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G148300.v4.1 MVWGLFPVDPLSGEDKYYIFTKGVYKVGRKGCDVIICKDKGVSRIHAELVVDEMISMDHPLHMKSDLPSRVLIRDCSKYGTYINKEKVHKFLNKETSLKDGDVVSFGTGTAVYRFCFVPLVFFVYCSESFQVDQLLRDKVSSIGACITYNLSEECTHVLADELMPVKEHLVDAIVSKKPIVLRSWVELVAEKRIGLEIPSWSSYIPTLTVEGVSVKVAASGTRAKCLEGFTCLLESINMYKFKDRLQSLLEVCGAKIVLVEEFCSNAKGLDCGQDSHVVCVIPRGSPDKFNLFNKLGSLSRVNELDLLRAVLAGHLDLSVLVSPSVLVSSSCSTDETVVADSEAEVETPTSEHFTADISNEEAPKYVNKLEMSIDPHLRSENNHVVSSTYSIGKMTAKRETVDEAESGNSDIIYSQDLIIRDLNLPAQISSTPNNEVLNFKRFRKGNTQSGNSFNNLIPFSKYPYKDFDYGNQDMLESVKEEKRRKQMEAIAEDLFNTEKGRRRGVAGSLHVLLTRG >Potri.015G148300.7.v4.1 pep chromosome:Pop_tri_v4:15:15205002:15214492:-1 gene:Potri.015G148300.v4.1 transcript:Potri.015G148300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G148300.v4.1 MVWGLFPVDPLSGEDKYYIFTKGVYKVGRKGCDVIICKDKGVSRIHAELVVDEMISMDHPLHMKSDLPSRVLIRDCSKYGTYINKEKVHKFLNKETSLKDGDVVSFGTGTAVYRFCFVPLVFFVYCSESFQVDQLLRDKVSSIGACITYNLSEECTHVLADELMPVKEHLVDAIVSKKPIVLRSWVELVAEKRIGLEIPSWSSYIPTLTVEGVSVKVAASGTRAKCLEGFTCLLESINMYKFKDRLQSLLEVCGAKIVLVEEFCSNAKGLDCGQDSHVVCVIPRGSPDKFNLFNKLGSLSRVNELDLLRAVLAGHLDLSVLVSPSVLVSSSCSTDETVVADSEAEVETPTSEHFTADISNEEAPKYVNKLEMSIDPHLRSENNHVVSSTYSIGKMTAKRETVDEAESGNSDIIYSQDLIIRDLNLPAQISSTPNNEVLNFKRFRKVLEFSPFLPVSCLGVQTQLVLAGVLENLCPVISLLHGCDGKICGADQIHDFRLRNMLKKDAIELVT >Potri.006G150600.1.v4.1 pep chromosome:Pop_tri_v4:6:13158602:13159870:1 gene:Potri.006G150600.v4.1 transcript:Potri.006G150600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G150600.v4.1 MDGPIFVIEASEAESMAKQSGLTVLQLLPALVKSAQALARPPISDYHVGAVGLGSSGRIFLGGNLEFPGLPLHHSVHAEQFLITNLTLNAEPSLKYIAVSAAPCGHCRQFLQEIRHAPDVQILITGDSTNNQSYKNDLANKQQFEPLSCLLPHRFGPDDLLDKDIPLLLETRHNNLSFVGDALLPNGICASFDDLENEALEAANKSHAPFTNCPSGVALMDCEGKVYRGSYMESAAYNPSIGPVQAALVAYVMGGRGGGYDRIVAAVLVEKQGAKARQEQTARLLLKEISPKCELKVFHCGSSSSFNGCNNQNSC >Potri.003G170600.3.v4.1 pep chromosome:Pop_tri_v4:3:17913559:17921180:1 gene:Potri.003G170600.v4.1 transcript:Potri.003G170600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170600.v4.1 MLDPAFEHIVLVHYREISEGKPSPGSAAQLSPGFSYSPSSNTSQTQGSSSAISGVYEQHQSLSSPASVEVNSGLDIKDNGVDSTAELTSFANNEVTQCLRRLEEQLSLNKDNIKEIGSFGGDEGDTNDSKILEYVNHISKEDQSKNLLRGSQYIVDYQSYGGLSGKQLERNNLAPLQDAGDSGAYQQSYSQYYTDGSKEDLSWNEVFESYNTSSGIEYQEKPKSSLMMETAQEQENSLWINFAETNVGNSSLLPPQEFEGFETPTYSSVIETHENNADCYAMLYDQGHLGIPIEADSNLTVAQQQKFSIREISPEWGYATEATKVIIVGSFLCDPSESSWTCMFGDTEVPLQIIQEGVIRCEAPPHQPGKVTLCITSGNRESCSEIRDFDYRAKDSSCAHCNFSQTEATKSPEELLLLVRFVQMLLSDFSLQRGDNIETGIHLLQKLKADDDSWGYIIEALLVGSGTSSTTVDWLLQQLLKDKLRQWLSSKSQEEHDHPGCSLSKKEQGIIHMLAGLGFEWALSPILSHGVSINFRDINGWTALHWAARFGREKMVAALLASGASAGAVTDPSSKDPIGKTAASIAASSGHKGLAGYLSEVALTSHLSSLKLKESELSKGSAEIEAERAVDSISKESFAANEDQVSLKDTLAAVRNAAQAAARIQSAFRAHSFRKRQEIEASLLDEYGISAGDIQGLSAMSKLAFRNSQDINSAALSIQKKYRGWKGRKDFLELRQKVVKIQAHVRGYRVRKNYKVICWAVGILDKVVLRWRRKGIGLRGFRNETESIDEREDDDILKMFRKQKVDGTIDEAFSRVLSMVDSPDARQQYRRMLQRYRQAKDELGTSEAAASTSLADANEMENDDLYRFQ >Potri.003G170600.1.v4.1 pep chromosome:Pop_tri_v4:3:17912881:17921088:1 gene:Potri.003G170600.v4.1 transcript:Potri.003G170600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G170600.v4.1 MLQSGYDINSLFEEAQTRWLKPAEVLFILQNHDKYQFTKEPLQKPTSGSLFLFNKRILRFFRRDGHSWRKKKDGRTVGEAHERLKVGNVETINCYYAHGEQNPNFQRRSYWMLDPAFEHIVLVHYREISEGKPSPGSAAQLSPGFSYSPSSNTSQTQGSSSAISGVYEQHQSLSSPASVEVNSGLDIKDNGVDSTAELTSFANNEVTQCLRRLEEQLSLNKDNIKEIGSFGGDEGDTNDSKILEYVNHISKEDQSKNLLRGSQYIVDYQSYGGLSGKQLERNNLAPLQDAGDSGAYQQSYSQYYTDGSKEDLSWNEVFESYNTSSGIEYQEKPKSSLMMETAQEQENSLWINFAETNVGNSSLLPPQEFEGFETPTYSSVIETHENNADCYAMLYDQGHLGIPIEADSNLTVAQQQKFSIREISPEWGYATEATKVIIVGSFLCDPSESSWTCMFGDTEVPLQIIQEGVIRCEAPPHQPGKVTLCITSGNRESCSEIRDFDYRAKDSSCAHCNFSQTEATKSPEELLLLVRFVQMLLSDFSLQRGDNIETGIHLLQKLKADDDSWGYIIEALLVGSGTSSTTVDWLLQQLLKDKLRQWLSSKSQEEHDHPGCSLSKKEQGIIHMLAGLGFEWALSPILSHGVSINFRDINGWTALHWAARFGREKMVAALLASGASAGAVTDPSSKDPIGKTAASIAASSGHKGLAGYLSEVALTSHLSSLKLKESELSKGSAEIEAERAVDSISKESFAANEDQVSLKDTLAAVRNAAQAAARIQSAFRAHSFRKRQEIEASLLDEYGISAGDIQGLSAMSKLAFRNSQDINSAALSIQKKYRGWKGRKDFLELRQKVVKIQAHVRGYRVRKNYKVICWAVGILDKVVLRWRRKGIGLRGFRNETESIDEREDDDILKMFRKQKVDGTIDEAFSRVLSMVDSPDARQQYRRMLQRYRQAKDELGTSEAAASTSLADANEMENDDLYRFQ >Potri.016G029200.5.v4.1 pep chromosome:Pop_tri_v4:16:1618793:1625896:1 gene:Potri.016G029200.v4.1 transcript:Potri.016G029200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029200.v4.1 MRRSQYSICSKAGLWEVASKFGYSAEQLGMQLSLLKMEDELQDAKETPEEMASNFTCAMFESPQTVLKGARHMAAVEISCEPCVRRYVRFIFMDNAVVSTSPTADGNAAIDSFHQFAGVKWLREKPIKMFEDAQWLLIQKAEEEKLLQVTVKLPQKVMDQLIEDCNGRYLSVGVSKYAQLWNEQRSLILKDALFGFLLPSMEKEARSLLASRAKNWLLYEYGKVLWNKVSVGPYQRKESDVSMDDEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQQRVLKFMTDHQPHVVVLGAAHLSCTKLKDDIYEIIFKMVEENPRDVGHEMDELSVVYGDESLPRLYENSRISSDQLPGQSGIVKRAVALGRCLQNPLAMVATLCGPAREILSWKLNPLENFLTPDEKYLVIEQVMVDATNQVGLDINLATSHEWLFAPLQFISGLGPRKAASLQRSLVRTGAIFTRKDFVTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDVLDDTRIHPESYGLAQELAKVVYEKDSGDANDDDDALEMAIEYVRERPNLLKTFAFDLYFKDNKRDNKKETFKDIKMELIQGFQDWRKQYKEPTQDEEFYMISGETEDTLAEGRVVQATVRRVVGGKAICALETGLTGILTKEDYADDWRDIPELSDKLREDDILTCKIKSIQKNRYQVFLVCKDSEMRSNRYRQVQNLDLYFHEDQSSMRSEQEKVRKERELAKKHFKPRMIVHPRFQNITADEAMEFLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDSFEDLDEVMDRYVDPLVGHLKSMLNYRKFRSGTKAEVDELLRIEKSQQPTRIVYSFGISHEHPGTFILTYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQKHIDDPLHESAPSIRSVAAMVPMRSPATRGSSWGGSTDEDGWRGQSFDRDRSSGPGSRTGRNDYRSGGSRDGHQNGPPRPFSGRGRGRGSYNSTRGNNSGNERQDSGYDKPRWDSGTKDNDEGWGSFPGAKVQNSPGREAFPGGWGTGASGGDNGGRGHGNGGDTDSGNAGWGNTSLKRDSAQGGWGAGGGGSGGGDSGHGTGGGGTDNGNSGWGNSSKKGGTQSGWGSGGRGGGGDVGQGSGGVTDDGKWGTASKRDSSQSQADNGWSGGGGGW >Potri.016G029200.1.v4.1 pep chromosome:Pop_tri_v4:16:1616331:1625898:1 gene:Potri.016G029200.v4.1 transcript:Potri.016G029200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G029200.v4.1 MGKNVVSDEDEVEFEDEEREPVDGDRIDRRRHHDDDDDEDEEGQDEYEKDDFIVDDVEEEEEADEEEERADSDEERLKKKKKKKKREAEDVLDEDDYELLRDNNVYHHRPKDSKKFKRLKKAQRDSDEDLSDDEFDGSGKGGRTAEEKLKRSLFGDDEGVPLEDMPEEEEQEEVEEDADIGDEDEMADFIVDEDDEDGTLVRRKKLKKKKSRQASGASSSALQEAQEIFGDVDELIQMRKQGLESSEWRERRLEDEFEPTVLFEKYMTEKDDQIRMIDIPERMQVSEESTGPPPLDDFSILEESNWLYSQIASGTVPLFAKNGLFINKDDVTRFLELHHIQKLDIPFIAMYRKEECLSLLKDPDQHEDNENYDDTDKNPTFKWHKVLWAIQDLDRKWLLLQKRKSALNSYYNKRFEEESRRIYDETRLNLNQQLFESILKSLKTAESEREVDDVDAKFNLHFPPGEVGADEGQYKRPMRRSQYSICSKAGLWEVASKFGYSAEQLGMQLSLLKMEDELQDAKETPEEMASNFTCAMFESPQTVLKGARHMAAVEISCEPCVRRYVRFIFMDNAVVSTSPTADGNAAIDSFHQFAGVKWLREKPIKMFEDAQWLLIQKAEEEKLLQVTVKLPQKVMDQLIEDCNGRYLSVGVSKYAQLWNEQRSLILKDALFGFLLPSMEKEARSLLASRAKNWLLYEYGKVLWNKVSVGPYQRKESDVSMDDEAAPRVMACCWGPGKPATTFVMLDSSGEVLDVLYTGSLTLRSQNVNDQQRKKNDQQRVLKFMTDHQPHVVVLGAAHLSCTKLKDDIYEIIFKMVEENPRDVGHEMDELSVVYGDESLPRLYENSRISSDQLPGQSGIVKRAVALGRCLQNPLAMVATLCGPAREILSWKLNPLENFLTPDEKYLVIEQVMVDATNQVGLDINLATSHEWLFAPLQFISGLGPRKAASLQRSLVRTGAIFTRKDFVTAHGLGKKVFVNAVGFLRVRRSGLAASSSQFIDVLDDTRIHPESYGLAQELAKVVYEKDSGDANDDDDALEMAIEYVRERPNLLKTFAFDLYFKDNKRDNKKETFKDIKMELIQGFQDWRKQYKEPTQDEEFYMISGETEDTLAEGRVVQATVRRVVGGKAICALETGLTGILTKEDYADDWRDIPELSDKLREDDILTCKIKSIQKNRYQVFLVCKDSEMRSNRYRQVQNLDLYFHEDQSSMRSEQEKVRKERELAKKHFKPRMIVHPRFQNITADEAMEFLSDKDPGESIIRPSSRGPSYLTLTLKVYDGVYAHKDIVEGGKEHKDITSLLRIGKTLKIGEDSFEDLDEVMDRYVDPLVGHLKSMLNYRKFRSGTKAEVDELLRIEKSQQPTRIVYSFGISHEHPGTFILTYIRSTNPHHEYVGLYPKGFKFRKRMFEDIDRLVAYFQKHIDDPLHESAPSIRSVAAMVPMRSPATRGSSWGGSTDEDGWRGQSFDRDRSSGPGSRTGRNDYRSGGSRDGHQNGPPRPFSGRGRGRGSYNSTRGNNSGNERQDSGYDKPRWDSGTKDNDEGWGSFPGAKVQNSPGREAFPGGWGTGASGGDNGGRGHGNGGDTDSGNAGWGNTSLKRDSAQGGWGAGGGGSGGGDSGHGTGGGGTDNGNSGWGNSSKKGGTQSGWGSGGRGGGGDVGQGSGGVTDDGKWGTASKRDSSQSQADNGWSGGGGGW >Potri.014G077800.2.v4.1 pep chromosome:Pop_tri_v4:14:5024996:5033638:1 gene:Potri.014G077800.v4.1 transcript:Potri.014G077800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077800.v4.1 MEIKTLTVRNHTRVGGRGGGSAKNNAVCYFWKEGKCNRNPCRFLHTDSPAPNIYHRNFKQSQASKDQLKKSLKYALGENTRGGSLEDKSNKSRLSFNYEDLPRKCPLYISKNWSILKTGSHGLEGVNNQESSCPGAEDLLRKCPDHKNALVLSAGGGGSEDRIARNCNVSVTEAEGLKRIRVQKFIEDSPKDTVISTTEGSVPKVEIPQKDQQKACEQWMSDSCVEGKGCQYLHSWFHGVGFSMLAKLSGHSEAVSGIALPSGSDKLYSGSTDGTVRVWDCCTGQSVRVMNLGDVIGSLINVGSWVFVGMPNVVKAWNIQTEAEFSLYELVGQIYAMTAVRDMLFAGAQNGAILAWKGSTESKNPFQLATSLEGHTGAVTCLAVGAKWLYSGSADSTIRVWDLDTLQCIYTLNGHADAVMSLICWNQHLLSCSLDQTVKVWFATDESHFEVIYTHDEYHGALVLCGVNDAATKPVLLCSCNDKSVRQYELPSFSERGRIFSKQVVRTIQVGPDGLFFTGDGSGLLSVWKWAEHRVAS >Potri.014G077800.1.v4.1 pep chromosome:Pop_tri_v4:14:5025017:5033633:1 gene:Potri.014G077800.v4.1 transcript:Potri.014G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077800.v4.1 MEIKTLTVRNHTRVGGRGGGSAKNNAVCYFWKEGKCNRNPCRFLHTDSPAPNIYHRNFKQSQASKDQLKKSLKYALGENTRGGSLEDKSNKSRLSFNYEDLPRKCPLYISKNWSILKTGSHGLEGVNNQESSCPGAEDLLRKCPDHKNALVLSAGGGGSEDRIARNCNVSVTEAEGLKRIRVQKFIEDSPKDTVISTTEGSVPKVEIPQKDQQKACEQWMSDSCVEGKGCQYLHSWFHGVGFSMLAKLSGHSEAVSGIALPSGSDKLYSGSTDGTVRVWDCCTGQSVRVMNLGDVIGSLINVGSWVFVGMPNVVKAWNIQTEAEFSLYELVGQIYAMTAVRDMLFAGAQNGAILAWKGSTESKNPFQLATSLEGHTGAVTCLAVGAKWLYSGSADSTIRVWDLDTLQCIYTLNGHADAVMSLICWNQHLLSCSLDQTVKVWFATDESHFEVIYTHDEYHGALVLCGVNDAATKPVLLCSCNDKSVRQYELPSFSERGRIFSKQVVRTIQVGPDGLFFTGDGSGLLSVWKWAEHRVAS >Potri.008G180900.1.v4.1 pep chromosome:Pop_tri_v4:8:12471619:12476432:1 gene:Potri.008G180900.v4.1 transcript:Potri.008G180900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G180900.v4.1 MGESACLLRSFSHPSSASREAKEDNPIRALTESISFGRFMSESLAWEKWSTFSHNRYLEEVEQFSKPGSVAQKKAYFEAHYKKRAAMKAAALLEQANAASNVPEVEAADEALNSSHVNSELPKETNDVIINEQDEGSVDAGVIQSSDANAFYADELKDNLQNAKEEGNEEVREKNVAMENSIQVENVKENENAEDSDIIVAMPEEKIPNKVSCHSNQVAAEEENVTLPSKERQSKSSSQSRASILPKSSAKPPSSARLRAETNDTPNIKKSAGELMNKKRVTPKSIHMSINFASQFQDTSESSLRVSKFRSATPEIPTKVANAKQIENAQDSDTANKVSCHSTQVAAEEENVALPSNKRQMSSSSKSSSQSRATKLPKSSAKLSSSTRLRAETNATTNSKKSAGGLMDRKGVTQKSIHMSINFSSRLQDTNKSSLRVSKDMSATPEISTKGSVYGVSKLLPSVFRRSQDRRTKSELNKSVSGKITAGGISQMLSSDCSKSSSAKGSKSRPPLISSPFSFRSDERAAKRKEFFEKLGEKNNAKEDTEKKHLQARPKEKAEYDIKKPRQSAVFGGKPRDDLHQGLRSPENSTMKIPLTRPRSPKLGRKSTSNVASSKPVIQRSNHSSTRSITLLPKKNTHENASPNIQS >Potri.010G246900.1.v4.1 pep chromosome:Pop_tri_v4:10:22144097:22145190:-1 gene:Potri.010G246900.v4.1 transcript:Potri.010G246900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G246900.v4.1 MTGLLLLSSHEVKGSATNQMSKCSKNDISVAQGPAGTLPGGISQYLVQITNTNPQVPFADIHLNCKDFSSAILVSPEIFRRIAVDDCLVNDGRALAPGAALSFRYASTKQYPLPVVSATC >Potri.006G249700.2.v4.1 pep chromosome:Pop_tri_v4:6:24909275:24915596:1 gene:Potri.006G249700.v4.1 transcript:Potri.006G249700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249700.v4.1 MGTSVTQYPYGITSPSYVEFGHKLEISSSNFPYKVELSRLNLYPSSVSTTNSKVRVRRICALPDIDDIFSDLIATPLLDVVENPIHLKNLTIKELKLLASEIRSELSSIMSKTQNDFKASLAVVELTVAIHHVFHAPVDKILWDVGEQTYAHKILTGRRSLMHTLRQKDGLSGFTSRSESEYDPFGAGHGCNSISAGIGMAIARDIKGKRERIVTVIGNGTTMAGQVYEAMGNAGYLDTNMIVILNDSRHSLHPKIEEGSKTSITALSSTLSKLQSSKSFRRLREVAKGVTKRIGMHELAAKVDEYARGMMGPLGSTLFEELGLYYIGPVDGHNIGELVCVLQEVSSLDSMGPVLIHVITEENQCTEYKQPSEAMENQQEGSFDSNELLYSMHARTYSDCFVEALIMEAEKDKDIVIVHAGMEMDPSFQLFRERFPDRFFDLGMAEQHAVTFSAGLSCGGLKPFCIIPSAFMQRAYDQVVHDVDRQRIPVRFVITSAGLVGSDGPTMCGAFDITFMSCLPNMIVMAPSDEDELVDMVATAVHSDDHPICFRYPRGAIVGTDHYTRSGIPIEIGKGKILIEGKDVALLGYGEMVQNCLRARALLSKLGIEVTVADARFCKPLDMKLLRQLCENHAFLVTVEEGSIGGFGSHVSQFIALDGQLDGRTKWRPIVLPDKYIEHALPKEQLALAGLTGHHIAATVLRLLGRTREALLLMC >Potri.019G047540.1.v4.1 pep chromosome:Pop_tri_v4:19:7215732:7216142:-1 gene:Potri.019G047540.v4.1 transcript:Potri.019G047540.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047540.v4.1 MTGVAGVLGVALLCAIHGATVENTLFEDDDGANTLCAFNPTQAEETYSMVTANHFWS >Potri.017G128300.2.v4.1 pep chromosome:Pop_tri_v4:17:13170769:13183789:1 gene:Potri.017G128300.v4.1 transcript:Potri.017G128300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G128300.v4.1 MGDPLERLGSETEMASLESTMKEELCMEIDPPFKESVATAEDWRKALNKVVPAVVVLRTTACRAFDTESAGASYATGFVVDKRRGIILTNRHVVKAGPVVAEAMFLNREEIPVYPIYRDPVHDFGFFRYDPGAIQFLNYEEIPLAPEAACVGLEIRVVGNDSGEKVSILAGTLARLDRDAPHYKKDGYNDFNTFYMQAASGTKGGSSGSPVIDWQGRAVALNAGSKSSSASAFFLPLERVVRALEFLQKGRNSYSNKWEAVSIPRGTLQMTFVHKGFDETRRLGLQSETEQIVRHASPLEETGMLVVDSVVPGGPAYTHLEPGDILFRVNGEVVTQFLKLENLLDDSVDQKIVLQIERGGTSLTVNLMVQDLHSITPDYFLEVSGAVIHPLSYQQARNFRFHCGLVYVSEPGYMLFRAGVPRHAIIKKFAGEEISQLDELISVLSKLSRGARVPLEYISYTDRHRRKSVLVTVDRHEWYAPPQIYTRDDSSGLWTAKPAIQPDSLQLSSAVKYMGQSVTSQTVLPSGEGTHVEHVNLGNNLELADGVTCMESSDDHSSEEPHSREESDVGTKKRRVSDLSANGIAVTDCSLSETGEVKSVDSSTMESEVSRDYQGAMTVTTNASFAESVIEPTLVMFEVHVPQSIMLDGVHSQHFFGTGVIVYHSQDLGLVAVDRNTVAISASDVMLSFAAFPIEIPGEVVFLHPVHNYALVAYDPSALGAVGASMVRAAELLPEPALRRGDSVYLVGLNRSLHATSRKSIVTNPYAALNISSADCPRYRATNMEVIELDTDFGSSFSGVLTDEQGRVQAIWGSFSTQLKFGCSTSEDHQFVRGIPVYAVSQVLDKIINGAKGPPLLINGVSRPMPLVRILEVELYPTLLSKARSFALSDHWVQALVKKDPVRRQVLRVKGCLAGSKAENLLEQGDMILAVDKEPVTCFCDIENACQALDKCSDNDGKLKLTIFRQGREIDLIVGTDVRDGNGTTRVINWCGCIVQDSHPAVRALGFLPEEGHGVYVARWCHGSPVHRYGLYALQWIVEINGKPTPDLDAFLNVTKELGHGEFVRVKTVHLNGKPRVLTLKQDLHYWPTWELRFDPTNAVWRRETIKGLDYSVLSE >Potri.009G106100.1.v4.1 pep chromosome:Pop_tri_v4:9:9249102:9252683:1 gene:Potri.009G106100.v4.1 transcript:Potri.009G106100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G106100.v4.1 MGPPSKNARTISQEAFDELVKENIEDLGMDPTEALEDAIQTLTLQGVDLSGIVTCVPGEGNVRENPVIKCLERLEELGFDNNGSNEMAGLFDQLAGLFSGVEGSGNVAIGVRNGGVELVCSICSNIPIGSEKVLVSALETLALLIHDVQSTETFRSSDGPKMVVDILKDGSESLDILNSGFAVVAAAATSNEVVKELFMELKIDELILEALNRQSKGNIRGLYDSIRVLLTPDDNRVVASQVYGYARRFAKIGIARALVESLRAGLTSPSLVSASIALKAVAVNDEICKSITESGGIDAILKFIDDSGEQGNKIVAKTCCSLLSKLAGCDSNKSAIVEKKGMNKLIQLSARFSDDPPVLQEVMSVFTVLCLRSPDNAARAMEAGAGDLAIQVMEKLSTVQQLQRNSCLMIRNLVVRNPENRTTLLSHGIEKFIRRAKVNHETCKDAATDALRDLGLDNYNS >Potri.012G081800.1.v4.1 pep chromosome:Pop_tri_v4:12:10694278:10700277:1 gene:Potri.012G081800.v4.1 transcript:Potri.012G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081800.v4.1 MEETFVPLRGIKNDLRGRLSCYKQDWNGGFRAGIRILAPTTYIFFASAIPVISFGEQLERDTGGTLTAVQTLASTALCGIIHSIVGGQPLLILGVAEPTVLMYTFMFDFAKDRKDLGPNLFLAWTGWVCVWTALLLFLLAVLGACSIINRFTRVTGELFGLLIAMLFMQQAIKGLVEEFRIPQRENINQTALQPSWRFGNGMFALVLSFGLLLTALRSRKARSWRYGTGWLRGFIADYGVPLMVLVWTAISYIPVNDVPRGIPRRLFSPNPWSVGAHSNWTVIKEMVNVPPLYIVGSFIPATMIAVLYYFDHSVASQLAQQKEFNLKKPSSYHYDLLLLGFLVILCGLIGIPPSNGVIPQSPMHTKSLATLKHQLLRNKLVSTARKSMGKNSNLCQLYRSMQEAYNEMQTPLAYQQPPSLGLKELKESTIQLASSTGYIDAPVDETTFDVHKDIDDLLPVEVKEQRLSNLLQSLMVGGCVAAMPILKKIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEEYHATFIETVPFKTIATFTLFQTAYLLLCFGLTWIPIAGVLFPLLIMLLVPVRQYVLPKFFKGAHRQDLDAAEYEEAPAVSYNMTFEDQDPQARNTNIDGVEILDEMITRSRGEIRHTQSPKITSSTPGSVEDIKSSYNPCLSQRAYSPRVGELRVDQSPRFSGKGVELKQNPSPGPSNLGQSSHASSSC >Potri.014G098400.6.v4.1 pep chromosome:Pop_tri_v4:14:6440845:6450500:-1 gene:Potri.014G098400.v4.1 transcript:Potri.014G098400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098400.v4.1 MIIKQFMKGEMRRRNIGDVTLNELDEEENFEYALIPKKRKLNGYNSNPMGMYSEFDDFSSGPGSFCSVGSYWANEAQSYSKKRLKNQSLASSRKPISRSYRGRVQILPSRFNDSVVDMWKNEECRIDDTDLEIGDGEFVDSEDFYSEKYRYNSKFGFGSSHSYPFYGIEGHGQVGQFGCNNFHYRKGSSKKFLSSGNPLIEDGVVPRYGYTGLDKLRRERAKKKKDVYRPEDFALGDIVWAKCGKRYPWWPAVVIDPILKAPDAVLSCCVPGALCVMFYGYSKNGTQRDYAWVKQGMIFPFAEFMDRFQVQTQMFKCKLSDFQAALEEAILAESAGMGSISAEIPYPEAYPTRLQEASCSSQDLDFYTQQQDACYKDMRCCDGCNLILPCKTLKKRKRSTFQSEILCKHCAKLRKSKQYCGICKKTWHHSDGGNWVCCDGCNVWVHAECDNISSKLFKDLEDIDYYCPDCKVKFKFAQPDLERRKPPVKSIGNSGQAVPLDKVTVICNGMEGTYIPKLHLIECNCGSCGSRKQAPSEWEKHTGCRAKKWKHSVKIKDTMLPLAQWIAEYNASIDPLKLDKQMLLALLEEKYEPIYAKWISERCAVCRWVEDWDDNKIMICNRCQIAVHQECYGVRNVQDFASWVCRACETPDVEKECCLCPVKGGALKPSDIETLWVHIICAWFRPEVGFLNHEKMEPATGILRIPSMSFMKRCVVCKQTHGPCAQCCKCATYFHATCASRAGYFLELNCTEKNGVQVTEKLIYCAIHRKPNPDYAVVVRTPSGVFSGRSLLQNQNGCLRGSRLVSSKRVELPEPSTTGSNEFEPLSAAKCRAFKRTNHKWSEGDPIFHRLMGPRHHPLCSIINLSTYKQETEDSTVFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYCGEKVRRSVADLREARYRLEGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRKFMN >Potri.014G098400.12.v4.1 pep chromosome:Pop_tri_v4:14:6440908:6450468:-1 gene:Potri.014G098400.v4.1 transcript:Potri.014G098400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098400.v4.1 MIIKQFMKGEMRRRNIGDVTLNELDEEENFEYALIPKKRKLNGYNSNPMGMYSEFDDFSSGPGSFCSVGSYWANEAQSYSKKRLKNQSLASSRKPISRSYRGRVQILPSRFNDSVVDMWKNEECRIDDTDLEIGDGEFVDSEDFYSEKYRYNSKFGFGSSHSYPFYGIEGHGQVGQFGCNNFHYRKGSSKKFLSSGNPLIEDGVVPRYGYTGLDKLRRERAKKKKDVYRPEDFALGDIVWAKCGKRYPWWPAVVIDPILKAPDAVLSCCVPGALCVMFYGYSKNGTQRDYAWVKQGMIFPFAEFMDRFQVQTQMFKCKLSDFQAALEEAILAESAGMGSISAEIPYPEAYPTRLQEASCSSQDLDFYTQQQDACYKDMRCCDGCNLILPCKTLKKRKRSTFQSEILCKHCAKLRKSKQYCGICKKTWHHSDGGNWVCCDGCNVWVHAECDNISSKLFKDLEDIDYYCPDCKVKFKFAQPDLERRKPPVKSIGNSGQAVPLDKVTVICNGMEGTYIPKLHLIECNCGSCGSRKQAPSEWEKHTGCRAKKWKHSVKIKDTMLPLAQWIAEYNASIDPLKLDKQMLLALLEEKYEPIYAKWISERCAVCRWVEDWDDNKIMICNRCQIAVHQECYGVRNVQDFASWVCRACETPDVEKECCLCPVKGGALKPSDIETLWVHIICAWFRPEVGFLNHEKMEPATGILRIPSMSFMKRCVVCKQTHGPCAQCCKCATYFHATCASRAGYFLELNCTEKNGVQVTEKLIYCAIHRKPNPDYAVVVRTPSGVFSGRSLLQNQNGCLRGSRLVSSKRVELPEPSTTGSNEFEPLSAAKCRAFKRTNHKWSEGDPIFHRLMGPRHHPLCSIINLSTYKETEDSTVFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYCGEKVRRSVADLREARYRLEGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRKFMN >Potri.014G098400.7.v4.1 pep chromosome:Pop_tri_v4:14:6440849:6450474:-1 gene:Potri.014G098400.v4.1 transcript:Potri.014G098400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098400.v4.1 MIIKQFMKGEMRRRNIGDVTLNELDEEENFEYALIPKKRKLNGYNSNPMGMYSEFDDFSSGPGSFCSVGSYWANEAQSYSKKRLKNQSLASSRKPISRSYRGRVQILPSRFNDSVVDMWKNEECRIDDTDLEIGDGEFVDSEDFYSEKYRYNSKFGFGSSHSYPFYGIEGHGQVGQFGCNNFHYRKGSSKKFLSSGNPLIEDGVVPRYGYTGLDKLRRERAKKKKDVYRPEDFALGDIVWAKCGKRYPWWPAVVIDPILKAPDAVLSCCVPGALCVMFYGYSKNGTQRDYAWVKQGMIFPFAEFMDRFQVQTQMFKCKLSDFQAALEEAILAESAGMGSISAEIPYPEAYPTRLQEASCSSQDLDFYTQQQDACYKDMRCCDGCNLILPCKTLKKRKRSTFQSEILCKHCAKLRKSKQYCGICKKTWHHSDGGNWVCCDGCNVWVHAECDNISSKLFKDLEDIDYYCPDCKVKFKFAQPDLERRKPPVKSIGNSGQAVPLDKVTVICNGMEGTYIPKLHLIECNCGSCGSRKQAPSEWEKHTGCRAKKWKHSVKIKDTMLPLAQWIAEYNASIDPLKLDKQMLLALLEEKYEPIYAKWISERCAVCRWVEDWDDNKIMICNRCQIAVHQECYGVRNVQDFASWVCRACETPDVEKECCLCPVKGGALKPSDIETLWVHIICAWFRPEVGFLNHEKMEPATGILRIPSMSFMKRCVVCKQTHGPCAQCCKCATYFHATCASRAGYFLELNCTEKNGVQVTEKLIYCAIHRKPNPDYAVVVRTPSGVFSGRSLLQNQNGCLRGSRLVSSKRVELPEPSTTGSNEFEPLSAAKCRAFKRTNHKWSEGDPIFHRLMGPRHHPLCSIINLSTYKQETEDSTVFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYCGEKVRRSVADLREARYRLEGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRKFMN >Potri.014G098400.11.v4.1 pep chromosome:Pop_tri_v4:14:6440816:6450500:-1 gene:Potri.014G098400.v4.1 transcript:Potri.014G098400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098400.v4.1 MIIKQFMKGEMRRRNIGDVTLNELDEEENFEYALIPKKRKLNGYNSNPMGMYSEFDDFSSGPGSFCSVGSYWANEAQSYSKKRLKNQSLASSRKPISRSYRGRVQILPSRFNDSVVDMWKNEECRIDDTDLEIGDGEFVDSEDFYSEKYRYNSKFGFGSSHSYPFYGIEGHGQVGQFGCNNFHYRKGSSKKFLSSGNPLIEDGVVPRYGYTGLDKLRRERAKKKKDVYRPEDFALGDIVWAKCGKRYPWWPAVVIDPILKAPDAVLSCCVPGALCVMFYGYSKNGTQRDYAWVKQGMIFPFAEFMDRFQVQTQMFKCKLSDFQAALEEAILAESAGMGSISAEIPYPEAYPTRLQEASCSSQDLDFYTQQQDACYKDMRCCDGCNLILPCKTLKKRKRSTFQSEILCKHCAKLRKSKQYCGICKKTWHHSDGGNWVCCDGCNVWVHAECDNISSKLFKDLEDIDYYCPDCKVKFKFAQPDLERRKPPVKSIGNSGQAVPLDKVTVICNGMEGTYIPKLHLIECNCGSCGSRKQAPSEWEKHTGCRAKKWKHSVKIKDTMLPLAQWIAEYNASIDPLKLDKQMLLALLEEKYEPIYAKWISERCAVCRWVEDWDDNKIMICNRCQIAVHQECYGVRNVQDFASWVCRACETPDVEKECCLCPVKGGALKPSDIETLWVHIICAWFRPEVGFLNHEKMEPATGILRIPSMSFMKRCVVCKQTHGPCAQCCKCATYFHATCASRAGYFLELNCTEKNGVQVTEKLIYCAIHRKPNPDYAVVVRTPSGVFSGRSLLQNQNGCLRGSRLVSSKRVELPEPSTTGSNEFEPLSAAKCRAFKRTNHKWSEGDPIFHRLMGPRHHPLCSIINLSTYKETEDSTVFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYCGEKVRRSVADLREARYRLEGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRKFMN >Potri.014G098400.10.v4.1 pep chromosome:Pop_tri_v4:14:6440766:6450507:-1 gene:Potri.014G098400.v4.1 transcript:Potri.014G098400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098400.v4.1 MIIKQFMKGEMRRRNIGDVTLNELDEEENFEYALIPKKRKLNGYNSNPMGMYSEFDDFSSGPGSFCSVGSYWANEAQSYSKKRLKNQSLASSRKPISRSYRGRVQILPSRFNDSVVDMWKNEECRIDDTDLEIGDGEFVDSEDFYSEKYRYNSKFGFGSSHSYPFYGIEGHGQVGQFGCNNFHYRKGSSKKFLSSGNPLIEDGVVPRYGYTGLDKLRRERAKKKKDVYRPEDFALGDIVWAKCGKRYPWWPAVVIDPILKAPDAVLSCCVPGALCVMFYGYSKNGTQRDYAWVKQGMIFPFAEFMDRFQVQTQMFKCKLSDFQAALEEAILAESAGMGSISAEIPYPEAYPTRLQEASCSSQDLDFYTQQQDACYKDMRCCDGCNLILPCKTLKKRKRSTFQSEILCKHCAKLRKSKQYCGICKKTWHHSDGGNWVCCDGCNVWVHAECDNISSKLFKDLEDIDYYCPDCKVKFKFAQPDLERRKPPVKSIGNSGQAVPLDKVTVICNGMEGTYIPKLHLIECNCGSCGSRKQAPSEWEKHTGCRAKKWKHSVKIKDTMLPLAQWIAEYNASIDPLKLDKQMLLALLEEKYEPIYAKWISERCAVCRWVEDWDDNKIMICNRCQIAVHQECYGVRNVQDFASWVCRACETPDVEKECCLCPVKGGALKPSDIETLWVHIICAWFRPEVGFLNHEKMEPATGILRIPSMSFMKRCVVCKQTHGPCAQCCKCATYFHATCASRAGYFLELNCTEKNGVQVTEKLIYCAIHRKPNPDYAVVVRTPSGVFSGRSLLQNQNGCLRGSRLVSSKRVELPEPSTTGSNEFEPLSAAKCRAFKRTNHKWSEGDPIFHRLMGPRHHPLCSIINLSTYKQETEDSTVFSSFKERLYHLQKTENHRVCFGKSGIHGWGLFARRNIQEGEMVIEYCGEKVRRSVADLREARYRLEGKDCYLFKISEEVVIDATNKGNIARLINHSCMPNCYARIMSVGDVENRIVLIAKTNVSAGDELTYDYLFDPDEHDELKVPCLCKAPNCRKFMN >Potri.014G194500.2.v4.1 pep chromosome:Pop_tri_v4:14:17295494:17297700:1 gene:Potri.014G194500.v4.1 transcript:Potri.014G194500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194500.v4.1 MASFMGLFPYNMAGPLNFYHHNNYKYQIGNHRNSALFSCIDHNLTQHMLSCKRQSCSTSTITCSAANKPSPSTEVSSTAKIRSEVLSPFRTVRMFFYLAFLASGALGGLIATTRLIAALANPSRAAEVPEILKGLGIDIGAAAIFAFLYYRENKAKNVQLARLSREENLSNLKLRVDEKKIISVSSLRGVARLVICAGPAPFILESFKLSEPFTQSLLDRGVLVVPFASNGNLPSFEFDEGEEMKELTTKRKRLWQLAPIYVSEWSNWLDEQKKLAGVSPESPVYLSLRMDGRVRGSGVGYPPWNAFVAQLPPSKGMWSGLLDGMDGRVL >Potri.001G099001.1.v4.1 pep chromosome:Pop_tri_v4:1:7881373:7883114:-1 gene:Potri.001G099001.v4.1 transcript:Potri.001G099001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099001.v4.1 MSSESVCMEESWDLQAVVRSGCSTNYQEFSNIMNNPPSLFAPLSFDQHELLNSQETYETPTDFDELDGLYRPFYPVLHQTLNSSQNNILGTCTNTTSMSVRKEVKERQKVQKKKPLSEPATCPNSSNIDATSATKSKRRENQHKRVVQHVKEDGLSSDMWAWRKYGQKPIKGSPYPRSYYRCSSLKGCLARKQVERSSTDPSIFIITYTAEHSHAHPTRRSSLAGSTRIKRDAIKGTTPNIEPNMPTIKDQRSPNFDGLISPTTPSMTSTEDELVQNLSIKNEELLDQGQILEGNESKEISLPDLVFSDDLFPTLEDLEGFLLDH >Potri.003G103100.3.v4.1 pep chromosome:Pop_tri_v4:3:12729177:12735166:-1 gene:Potri.003G103100.v4.1 transcript:Potri.003G103100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103100.v4.1 MINDRNKGSLTDNSVIKPESSQQHNFSFYQRGEGEKGVMETEGRGFTDLYRNSSEELFLKSLMETSIGMPVPTMEMLGFKNLSQNFRTDSEELFKSWLTNGENGYNSTSIAHRTRQASRRISSELVNLTSQQHGSAPQKKRSNDVLFTQNNPTADDISNDLNEQSISRNAVERTVQASNLYLAKAWFHSSQPMTRSRSSELRRRYAAMQYTQTNIGMEVMQNVSGHGVNNLNQEFGNPNGFSDPPVHDTANQLGTFMSPTNSSSSTFNTPQMSSIDKVSSVVNMLKGTLERKKLGNQIEKEIVEDSSNAFYHVQVINSTFDQQKGNGIHEIPPGSFQEISPGQVKDPEFLKTVQGPMDLDFEGFVNTINPVQLSTVSREPSQSGSSAATPVVSSAFDACDGPSNSSQTLSICETSRKQIGNDFRDRIIDNLKDDRKRGGLVRYGSVTSAGSVDKGDPTKKRRVERSRKMAEAKERNMTPAIPSDMQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLTDEKERLLEEIERILAETGKM >Potri.003G103100.5.v4.1 pep chromosome:Pop_tri_v4:3:12729194:12734895:-1 gene:Potri.003G103100.v4.1 transcript:Potri.003G103100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103100.v4.1 MINDRNKGSLTDNSVIKPESSQQHNFSFYQRGEGEKGVMETEGRGFTDLYRNSSEELFLKSLMETSIGMPVPTMEMLGFKNLSQNFRTDSEELFKSWLTNGENGYNSTSIAHRTRQASRRISSELVNLTSQQHGSAPQKKRSNDVLFTQNNPTADDISNDLNEQSISRNAVERTVQASNLYLAKAWFHSSQPMTRSRSSELRRRYAAMQYTQTNIGMEVMQNVSGHGVNNLNQEFGNPNGFSDPPVHDTANQLGTFMSPTNSSSSTFNTPQMSSIDKVSSVVNMLKGTLERKKLGNQIEKEIVEDSSNAFYHVQVINSTFDQQKGNGIHEIPPGSFQEISPGQVKDPEFLKTVQGPMDLDFEGFVNTINPVQLSTVSREPSQSGSSAATPVVSSAFDACDGPSNSSQTLSICETSRKQIGNGRSSENGPRAKDFRDRIIDNLKDDRKRGGLVRYGSVTSAGSGNQFILRTSPA >Potri.003G103100.1.v4.1 pep chromosome:Pop_tri_v4:3:12729594:12734887:-1 gene:Potri.003G103100.v4.1 transcript:Potri.003G103100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103100.v4.1 MINDRNKGSLTDNSVIKPESSQQHNFSFYQRGEGEKGVMETEGRGFTDLYRNSSEELFLKSLMETSIGMPVPTMEMLGFKNLSQNFRTDSEELFKSWLTNGENGYNSTSIAHRTRQASRRISSELVNLTSQQHGSAPQKKRSNDVLFTQNNPTADDISNDLNEQSISRNAVERTVQASNLYLAKAWFHSSQPMTRSRSSELRRRYAAMQYTQTNIGMEVMQNVSGHGVNNLNQEFGNPNGFSDPPVHDTANQLGTFMSPTNSSSSTFNTPQMSSIDKVSSVVNMLKGTLERKKLGNQIEKEIVEDSSNAFYHVQVINSTFDQQKGNGIHEIPPGSFQEISPGQVKDPEFLKTVQGPMDLDFEGFVNTINPVQLSTVSREPSQSGSSAATPVVSSAFDACDGPSNSSQTLSICETSRKQIGNGRSSENGPRAKDFRDRIIDNLKDDRKRGGLVRYGSVTSAGSVDKGDPTKKRRVERSRKMAEAKERNMTPAIPSDMQSVLKRCENLEKEVRSLKLNLSFMNRKDSEQTKQIEELQKQNEDLTDEKERLLEEIERILAETGKM >Potri.013G005100.6.v4.1 pep chromosome:Pop_tri_v4:13:342469:344754:-1 gene:Potri.013G005100.v4.1 transcript:Potri.013G005100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005100.v4.1 MGSEGLPAVTIHVTGFKKFHGVAENPTETIVSNLKEYMKKKGMPKGVVLGSCNVLEAAGQGGVSPLYQTFQSAINSKDYESSSPGRIIWLHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPSDGGISRVRETTLPVEEITKSLAKKGYEVMTSDDAGRFVCNYVYYHSLRFAEQNGTKSLFVHVPLFLTIDEETQMQFAASLLEVLASLY >Potri.013G005100.4.v4.1 pep chromosome:Pop_tri_v4:13:342471:345768:-1 gene:Potri.013G005100.v4.1 transcript:Potri.013G005100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005100.v4.1 MGSEGLPAVTIHVTGFKKFHGVAENPTETIVSNLKEYMKKKGMPKGVVLGSCNVLEAAGQGGVSPLYQTFQSAINSKDYESSSPGRIIWLHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPSDGGISRVRETTLPVEEITKSLAKKGYEVMTSDDAGRFVCNYVYYHSLRFAEQNGTKSLFVHVPLFLTIDEETQMQFAASLLEVLASLY >Potri.013G005100.5.v4.1 pep chromosome:Pop_tri_v4:13:342489:345514:-1 gene:Potri.013G005100.v4.1 transcript:Potri.013G005100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G005100.v4.1 MGSEGLPAVTIHVTGFKKFHGVAENPTETIVSNLKEYMKKKGMPKGVVLGSCNVLEAAGQGGVSPLYQTFQSAINSKDYESSSPGRIIWLHFGVNSGATRFAIEHQAVNEATFRCPDEMGWKPQKVPIIPSDGGISRVRETTLPVEEITKSLAKKGYEVMTSDDAGRFVCNYVYYHSLRFAEQNGTKSLFVHVPLFLTIDEETQMQFAASLLEVLASLY >Potri.005G050000.1.v4.1 pep chromosome:Pop_tri_v4:5:3171380:3172542:1 gene:Potri.005G050000.v4.1 transcript:Potri.005G050000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G050000.v4.1 MIPACFSNPSTLSSASQVPQNLITCIYQTQLGNSPTYLTLAWSKTLFSHSLTIYAADSFSITISLYPSAFSLFRNKPGSKSIYLTHHHYKKIKLYWDFTRAEFTHNSAEPESRFYIAISCDARLEFLLGDLCPELTRRSGLVIARQLGEPALLSRREHVFGLRSYVSRASFLGSKHEIEIECGGGVLVVKVDGEISLVIKRLAWKFRGNERIHVGGLEVEFFWDVFNWVNNNNNCNNYNSLGSAAKGHGVFIFQVGDGGVWPEMVGPEKRLIRKSMSMAGPTSTPRPMSLSSPSPSCSSVLQWAEESGDCGRSSCSSSTTRSCGSNSGGFSLLLYAWRKD >Potri.012G090300.1.v4.1 pep chromosome:Pop_tri_v4:12:11537216:11541063:-1 gene:Potri.012G090300.v4.1 transcript:Potri.012G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090300.v4.1 MPMLLMLMPMSLSLPAGVIAYGFRNCSPPLKRLLAHSNTTNLHHYHHLRRRNNTIPPQLNTLFSFNFFPRNLNCLLTGNSLFFRMERFWSGSSINKNKALVEQLQNYGTISSKKVSEVMETIDRALFVPDGTPAYVDSPIAIGYNATISAPHMHATCLQLLEENLKSGMHVLDVGSGTGYLTACFALMVGPQGRAVGVEHIPELAGSSIKNIKKSAAAPLLKEGSLSIHVGDGRQGWPEFAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGNIFQDLKVIDKNEDGSISVRSETSVRYVPLTSRDAQLRGY >Potri.012G090300.4.v4.1 pep chromosome:Pop_tri_v4:12:11537542:11541053:-1 gene:Potri.012G090300.v4.1 transcript:Potri.012G090300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G090300.v4.1 METIDRALFVPDGTPAYVDSPIAIGYNATISAPHMHATCLQLLEENLKSGMHVLDVGSGTGYLTACFALMVGPQGRAVGVEHIPELAGSSIKNIKKSAAAPLLKEGSLSIHVGDGRQGWPEFAPYDAIHVGAAAPEIPQPLLDQLKPGGRMVIPVGNIFQDLKVIDKNEDGSISVRSETSVRYVPLTSRDAQLRGY >Potri.016G110300.2.v4.1 pep chromosome:Pop_tri_v4:16:11287820:11289421:-1 gene:Potri.016G110300.v4.1 transcript:Potri.016G110300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110300.v4.1 MFLFFSGDYFLACLWSCANSTYCEDSCFQVLQKALEVWDLQVIASDSPVAEHAQIDPDLENAFICHLHDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLAAYLDSLKNSGWSIFLVRGNFPKEFPIASSEASNGYGQWLSPEDAERIIKSCNNTQSPQRINSTQQDSNPYQEILLEMEDQDMKAAIAASLMEPSQSMSRVETGSPQKDDEDTKDDNKDSEDKKPQ >Potri.016G110300.1.v4.1 pep chromosome:Pop_tri_v4:16:11287821:11291160:-1 gene:Potri.016G110300.v4.1 transcript:Potri.016G110300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G110300.v4.1 MEGESNGGMLYHEVQEAKLCAVHCVNTVLQGPFFSEFDLAALASDLDTKERQMMQEGTVSAAASGDFLSAESHNVSLGGDFSIQVLQKALEVWDLQVIASDSPVAEHAQIDPDLENAFICHLHDHWFCIRKVNGEWYNFDSLYAAPQHLSKFYLAAYLDSLKNSGWSIFLVRGNFPKEFPIASSEASNGYGQWLSPEDAERIIKSCNNTQSPQRINSTQQDSNPYQEILLEMEDQDMKAAIAASLMEPSQSMSRVETGSPQKDDEDTKDDNKDSEDKKPQ >Potri.005G101800.3.v4.1 pep chromosome:Pop_tri_v4:5:7373721:7375737:1 gene:Potri.005G101800.v4.1 transcript:Potri.005G101800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101800.v4.1 MRYDCFDVCSNIAFACSVAGGRLGNLYCDPLMASGGHKITARQRWTPTPVQLQILERIFDQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLVASSNNAESEVETEVDSLNEKKKPEIFHAQQNPPRAEDLCFQSPEISSELHFLGMLLNPSM >Potri.005G101800.1.v4.1 pep chromosome:Pop_tri_v4:5:7373076:7375839:1 gene:Potri.005G101800.v4.1 transcript:Potri.005G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G101800.v4.1 MDWDNNQENHQDSHQNQRECRNGINGTNVNVNGNGGTNMLYVKVMTDEQLETLRKQIAVYAAICEQLVEMHKTLSAQQDLAGGRLGNLYCDPLMASGGHKITARQRWTPTPVQLQILERIFDQGNGTPSKQKIKEITSELSQHGQISETNVYNWFQNRRARSKRKQLVASSNNAESEVETEVDSLNEKKKPEIFHAQQNPPRAEDLCFQSPEISSELHFLGDDHLTGKMGVPGNYNLYDQAEDYGMAG >Potri.016G009101.1.v4.1 pep chromosome:Pop_tri_v4:16:421915:423942:1 gene:Potri.016G009101.v4.1 transcript:Potri.016G009101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009101.v4.1 MPFLMSLCVAYWKRKIMSSGCKGIVQKSSACLTTGFVTTICTDLARKLISQDELEETRKAIRDCQTAGVNVKFISQDDISVVRAAAIEFEILTENSEAAMLKGEDFRNFSEEERIGMVDQITAIGNCLPSDKLLLMQCLKKKGNVVALTGANDLHDTPALRQADIGFVKADWGTKMARDYLDLMVYMGWNLEPSSQHHKMRSMLIQKHSEIHPTRANHDPIWVIDNYHLNNTIRRSSYDTNSVVPD >Potri.006G260300.3.v4.1 pep chromosome:Pop_tri_v4:6:25653378:25654157:-1 gene:Potri.006G260300.v4.1 transcript:Potri.006G260300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260300.v4.1 MMSIFKRPLFLVSKFTILLFLILAASATLVIATRQPKSRASSMYSPQSNRPVQPSGPNPCSYLPGSGQCKPPK >Potri.009G022800.1.v4.1 pep chromosome:Pop_tri_v4:9:3500916:3503880:-1 gene:Potri.009G022800.v4.1 transcript:Potri.009G022800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022800.v4.1 MGLEPKNLESSIPVPSVQELASLKLETVPSRYTRDDMDSIIGTVPSDKTLRVPLIDMAKLVDSESQETELQKFHAACKEWGIFQLINHGVSDESLRNMNKQTQEFFDLPLKEKKRWAQKPGSLEGYGQAFVTSKEQKLEWNDMIFLKALPIEDRNLEIWPENPPKFRESLDRYSQDMRQIAVALTRFMAMGLEIESQELYNAYEEGQYQIRMNYYPPCPQPERVMGLTPHVDIPGFALLLDCGDTPGLQVLKDDHWIFVEPLDGAIVVNMGQITEILSNGLYKAPEHRAVVNKSMERRSIVTFCYPNLSFKVGPAKELIKLGSPPLYKTVTVEEYIGCFFNRKLEVPFIDAMKI >Potri.008G034700.6.v4.1 pep chromosome:Pop_tri_v4:8:1884706:1887961:1 gene:Potri.008G034700.v4.1 transcript:Potri.008G034700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034700.v4.1 MDKFKSMLKPKPNPQQQLRDWQRKLRQECRNIERQIRDVQREEKSVHKAIKEAAKRNDMGSAKALAKEIVMSRKAVNRLYENKAQLNSISMHLGESVAIARTVGHLSKSAEVMKLVNNLMKAPEMAATMQEFSREMTKAGVIEEMVTDAVDSALDSEDIEEEIEEEVDKVLTEIAGETAAQLPEAVRKERVRVPAQEASTSHEEEAIAEGVDDEEELEELRARLAKVRS >Potri.001G131000.2.v4.1 pep chromosome:Pop_tri_v4:1:10677788:10680320:1 gene:Potri.001G131000.v4.1 transcript:Potri.001G131000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G131000.v4.1 MVKHNNVVPNGHFKKHWQNYVKTWFNQPARKTRRRIARQKKAVKIFPRPTAGPLRPIVHGQTLKYNMKVRAGRGFSLEELKAAGIPKKLAPTIGIAVDHRRRNRSLEGLQTNVQRLKTYKAKLVVFPRRARKSKAGDSAPEELATATQVQGHFMPIVREKPSVELVKVTEEMKSFRAYDKLRAERTNARHVGVRLKRAAEAEKEEKK >Potri.010G189800.2.v4.1 pep chromosome:Pop_tri_v4:10:18567407:18572891:-1 gene:Potri.010G189800.v4.1 transcript:Potri.010G189800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189800.v4.1 MAVISLYLVFFVVLPAIVLIAHRSSRCRRLRLPPGSLGLPFVGETLQLISAYKTENPEPFIDERVSRFGSLFTTHVFGEPTVFSVDPETNRFIMQNEGKLFECSYPGSISNLLGKHSLLLMKGSLHKRMHSLTMSFANSSIIRDHLLVDIDRLIRLNLDSWSDRVLLMEEAKKITFELTMKQLMSFDPCEWTESLRKEYVLVIEGFFSVPLPIFSPTYRRAIKARTKVAEALSLVVKQRRIESESGEKRKDMLAALVASDDHGSFSDEEIVDFLVALLVAGYETTSTSMTLAVKFLTETPLALAQITEEHEQIRAKKGEGEALEWSDYKSMTFTQCVVNETLRIANIIGGIFRRTMTDINVKGYTIPKGWKVFASFRGVHLDHEYFKDARTFNPWRWQNNSGATCPANVFTPFGGGQRLCPGYELARVELSVFLHHLVTRFSWTPAGEDKLVFFPTTRTQKRYPINVQRRDRVQAM >Potri.001G400401.1.v4.1 pep chromosome:Pop_tri_v4:1:42676700:42677265:1 gene:Potri.001G400401.v4.1 transcript:Potri.001G400401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G400401.v4.1 MATPATRMMLFKNGKSFPLHLLRGSLLNGSNNITSQFMISDPALFQPQQKPIFNLHGGQSNAGLVSDINGALNWRFGRFGEMGRVEARGKSERVISDEDEEDDEDVDDDDEEIEDFDEDVEYEDGGDFDDDDDDEDDRK >Potri.001G048400.2.v4.1 pep chromosome:Pop_tri_v4:1:3561428:3562047:-1 gene:Potri.001G048400.v4.1 transcript:Potri.001G048400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048400.v4.1 MVISSSIPTKSTKNMPFKIVHPGGHIELHDKPVLAAEVMLCNPRCIVAYPHVFQQPWAIAGHHATAWSERKSIKYSQSPINDVQASKTPRNDERGCRIPSTCWFFINKNMKSPSPCLHREDERANTTGTNIKSKANSTVETKTSSSSWCSGTKGLARKRNKEMTTGSPNRFASLDRWQPNLDSIVEEYENS >Potri.004G061600.1.v4.1 pep chromosome:Pop_tri_v4:4:5157453:5159452:-1 gene:Potri.004G061600.v4.1 transcript:Potri.004G061600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G061600.v4.1 METIKCRSLPNNKSKIARTFQKVINLKTATRIASNNGIGMCMLTPHNKFDQDDSNTAYKPQNTNNHKKKDAKAKRRAVLEALLAKLFASITTIKAGYAELQMAQNPYCSDAIQASDQAVVDELKQLSQLKRSFFKNELDLSPQVTMMLAEIQEQQGLMKTYEITIKKLEAGVEVKGSDIGSLKKQLDEAIAFNKSLEKRLNASGPLSMFDNIRFSLLNPTHFVQFLHHALRSVRNFVKLMVCEMEVARWDIEAAAKAIEPENTVFANPSHRCFVFESFVCKTMLEGFNHPNEELQSEHYYFIEFKKLKSLNPKQFLTQNPDSSFARFTRAKYLQLVHAKMECSLFGNLNQRKLVNSGGFPDSAFFNAFVEMARRLWALNLLAFSFGEDVSIFQVAKNCRFSDVYMEAVTQDSVLETTNADTDLLVAFTVVPGFKIGKTVIQSQVYLSPASS >Potri.001G144500.1.v4.1 pep chromosome:Pop_tri_v4:1:11903917:11910069:1 gene:Potri.001G144500.v4.1 transcript:Potri.001G144500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144500.v4.1 MPALAITPQIRTPITIKPKIKHKQKQKPKSFSLSTTPITQASFSDPFVLQLAETLEDSLSPSVSLQRLRDSSSESLLSTSWPSRKDEPFRFTDTSFIRLSQIVPITKPPQLDYLPSINEDTLLPSIVIADGFVLNSMSKLSTLPDGVYVGSLLSDSSDRIAERVLEFVGDFEWGDLFWSINGLGAHDMTVVYVPAGVKVENPIHFKYVSVEGGEKGSKKLPVSNPRVFVVVEEGGEVGIIEEFVSKEGNDDRYYWANPVLEVVIGKGAKVRHSYVQSQSLNSAHIKWTAVRQEAASTYELVEVSTGGKLSRHNLHVQQLGPDTATELSTFHLSVGDQTQDLHSRLILDHPRGRSQQLHKCIVAHSQGQAVFDGNVKVNRYAQQTDAGQLTRSLLLEPRATVNVKPNLQIIADDVKCSHGAAISDLEETQLFYFQARGIDLETARKALVFSFGAEVIERLPNSFMQKQVESHVKGLLSSTFKGSSH >Potri.008G097100.1.v4.1 pep chromosome:Pop_tri_v4:8:6044646:6045821:-1 gene:Potri.008G097100.v4.1 transcript:Potri.008G097100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G097100.v4.1 MIGGGKIRLNRWQQAAVAVGSAVGSLLDPRRADLIAALGETTGKPAFERVVERMKKSPEGRAVLLERPRVISAQVGHAWDLPANTFGAAYARFMGSRNFSPDDRPPVRFMETEELAYVAMRAREVHDFWHTLFGLPTNLIGESALKVIEFEQMYLPMCLMSVVGGTVRFTEKQRKLFFQHYFPWAIRAGMQSTDLMCVYYEKHFQEDLEDVRRKWGITPAPAAPNQNVP >Potri.018G139200.1.v4.1 pep chromosome:Pop_tri_v4:18:14427321:14428694:-1 gene:Potri.018G139200.v4.1 transcript:Potri.018G139200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139200.v4.1 MGLEISEEFRPITPIRTVSRTCSNSTTNSSIGTKFCEAKEADAKKILNFDEDQESSNPSTPKSPTHILKSPLVCPPAPRKPRPPKRKLSPPPQGFFEIPVNDSDSVLMVLTTCNSKKMRAT >Potri.002G156300.1.v4.1 pep chromosome:Pop_tri_v4:2:11921905:11924434:1 gene:Potri.002G156300.v4.1 transcript:Potri.002G156300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156300.v4.1 MAQESTSLATKRYAVVTGANKGIGYEICRQLASNGILVVLTARDEKRGLEAVQKLKDSGISDDLVIYHQLDVVDPDSIVSLAEFVKNNFGKLDILVNNAGIGGVALEADAFQRAFEQAGEFPYGEQVWAEIGTQNYEMAEQCVKTNYYGARGMAEALAPLLQLSDSPRIVNVSSMLGLLKNIPNEWAKGLLNDVENLNEDRLDEVVNEFLKDFKEDLLGSKGWPTYLSAYIVAKAAMSAYTRILAKKYPSFRVNCLCPGYCKTDITTNTGPFTAAEGAENAVRLALLPDGGPSGCFFYQKQMLPCF >Potri.018G009400.1.v4.1 pep chromosome:Pop_tri_v4:18:684651:688664:-1 gene:Potri.018G009400.v4.1 transcript:Potri.018G009400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G009400.v4.1 MENRSEAEASPYKSLVAAVSYGIASMAMVFINKAILMQYGHSMTLLTLQQLATALLIHFGRQMGYTRSRGVDMQTAKKLLPVSLFYNANVAFALASLKGVNIPMYIAIKRLTPLAVLIAGFSSGKGKPTTQVTLSVLLIAAGVIIAALGDFSFDLWGYGMALTSVFFQTMYLVLVERSGAEDGLSSIEIMFYNSFLSLPFLIFLIIATGEFPNSLALLFAKSNSLSFLVILVISLVMGIVLNFTMFLCTIVNSALTTTIVGVLKGVGSTTLGFVVLGGVEVHALNVTGLVINTTGGVWYSYAKYQQKMSKPPRHVSDVEVHHK >Potri.005G221000.1.v4.1 pep chromosome:Pop_tri_v4:5:22305142:22306082:1 gene:Potri.005G221000.v4.1 transcript:Potri.005G221000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G221000.v4.1 MTDVCSDTGKSSWPELVGINGEVAAKIIESENPKVRVSIVEEGMMVTQEIRCDRVRVWVDKNGIVKDIPSIR >Potri.002G231250.4.v4.1 pep chromosome:Pop_tri_v4:2:22285626:22289965:-1 gene:Potri.002G231250.v4.1 transcript:Potri.002G231250.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231250.v4.1 MTGSSGGPLRGRLWPQLAVALTILFVASNVGSVSGDAYVYSSPPPPPYLYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSKSPPPPYYYKSPPPPTKSPPPPTPYYYKSPPPPSHPLPPPYYYKSPPPPKALPPPYHYTSPPPPVIYPHPHHHDLMVKVVGKVYCYKCYDWGYPVKSHDKKHLKGAVVEVTCKEGAKKIKAYGKTKINGKYSITLKGFNYSKYGGKACKAKLHMAPKGSPCSIPTKLHWGNKGASLKVKSKTKYEVVLSAKPFAYAPKTPYKECEKSKPTPAPYYYKSPPPPPPTYIYKSPPPPPYLYKSPPPPPYIYKSPPPPTHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYVYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPVYIYASPPPPTHY >Potri.002G231250.1.v4.1 pep chromosome:Pop_tri_v4:2:22285623:22290143:-1 gene:Potri.002G231250.v4.1 transcript:Potri.002G231250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231250.v4.1 MTGSSGGPLRGRLWPQLAVALTILFVASNVGSVSGDAYVYSSPPPPPYLYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSKSPPPPYYYKSPPPPTKSPPPPTPYYYKSPPPPSHPLPPPYYYKSPPPPKALPPPYHYTSPPPPVIYPHPHHHDLMVKVVGKVYCYKCYDWGYPVKSHDKKHLKGAVVEVTCKEGAKKIKAYGKTKINGKYSITLKGFNYSKYGGKACKAKLHMAPKGSPCSIPTKLHWGNKGASLKVKSKTKYEVVLSAKPFAYAPKTPYKECEKSKPTPAPYYYKSPPPPPPTYIYKSPPPPPYLYKSPPPPPYIYKSPPPPTHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYVYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPVYIYASPPPPTHY >Potri.002G231250.3.v4.1 pep chromosome:Pop_tri_v4:2:22285622:22289965:-1 gene:Potri.002G231250.v4.1 transcript:Potri.002G231250.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231250.v4.1 MTGSSGGPLRGRLWPQLAVALTILFVASNVGSVSGDAYVYSSPPPPPYLYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSKSPPPPYYYKSPPPPTKSPPPPTPYYYKSPPPPSHPLPPPYYYKSPPPPKALPPPYHYTSPPPPVIYPHPHHHDLMVKVVGKVYCYKCYDWGYPVKSHDKKHLKGAVVEVTCKEGAKKIKAYGKTKINGKYSITLKGFNYSKYGGKACKAKLHMAPKGSPCSIPTKLHWGNKGASLKVKSKTKYEVVLSAKPFAYAPKTPYKECEKSKPTPAPYYYKSPPPPPPTYIYKSPPPPPYLYKSPPPPPYIYKSPPPPTHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYVYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPVYIYASPPPPTHY >Potri.002G231250.2.v4.1 pep chromosome:Pop_tri_v4:2:22285623:22289965:-1 gene:Potri.002G231250.v4.1 transcript:Potri.002G231250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231250.v4.1 MTGSSGGPLRGRLWPQLAVALTILFVASNVGSVSGDAYVYSSPPPPPYLYKSPPPPSPSPPPPYEYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYMYKSPPPPSPSPPPPYIYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKKSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPKHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSKSPPPPYYYKSPPPPTKSPPPPTPYYYKSPPPPSHPLPPPYYYKSPPPPKALPPPYHYTSPPPPVIYPHPHHHDLMVKVVGKVYCYKCYDWGYPVKSHDKKHLKGAVVEVTCKEGAKKIKAYGKTKINGKYSITLKGFNYSKYGGKACKAKLHMAPKGSPCSIPTKLHWGNKGASLKVKSKTKYEVVLSAKPFAYAPKTPYKECEKSKPTPAPYYYKSPPPPPPTYIYKSPPPPPYLYKSPPPPPYIYKSPPPPTHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPVHSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYHYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSPSPPPPYYYKSPPPPSSSPPPPYVYKSPPPPSPSPPPPYYYHSPPPPVKSPPPPVYIYASPPPPTHY >Potri.014G114700.1.v4.1 pep chromosome:Pop_tri_v4:14:7711880:7714715:-1 gene:Potri.014G114700.v4.1 transcript:Potri.014G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:METK1 METFLFTSESVNEGHPDKLCDQISDAVLDACLEQDPDSKVACETCTKTNMVMVFGEITTKGKIDYEKIVRDTCRNIGFISDDVGLDADKCKVLVNIEQQSPDIAQGVHGHFTKRPEEIGAGDQGHMFGYATDETPEYMPLSHVLATKLGARLTEVRKNGTCPWLRPDGKTQVTVEYYNDNGAMVPVRVHTVLISTQHDETVTNDEIAADLKEHVIKPVIPEKYLDEKTIFHLNPSGRFVIGGPHGDAGLTGRKIIIDTYGGWGAHGGGAFSGKDPTKVDRSGAYIVRQAAKSIVANGLARRCIVQVSYAIGVPEPLSVFVDTYGTGKIPDKEILKIVKENFDFRPGMMTINLDLKRGGNRFLKTAAYGHFGRDDPDFTWEVVKPLKWEKPQA >Potri.005G113301.10.v4.1 pep chromosome:Pop_tri_v4:5:8237780:8240330:-1 gene:Potri.005G113301.v4.1 transcript:Potri.005G113301.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G113301.v4.1 MGTCPFFLALEVMLKALLGTPSAFSSSEVALPVAAEEGFTRKFAYGPQAASEDMERIEFKMKFFKILQKRSMQCWSLSTGAEQFNFGSRIDHILCAGPCLHQEHDLQGHNSLSCHVKECDILTEYKRWKLGDTSRWKGGWGIRLEGSDHAPVYTSLEEIHDIPTHSTLPLSSRYLSANDSWCPANSCDLVNDKAGCYMTSILQDIKFIFRWRCYCTDVNSLMRIRTVLTLQ >Potri.017G078800.2.v4.1 pep chromosome:Pop_tri_v4:17:8714546:8718548:-1 gene:Potri.017G078800.v4.1 transcript:Potri.017G078800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078800.v4.1 MENGNCNSELGGQASSVRFGSDASTGLAAIEENAIEENAIGAGRGKDALPFDLHLMTLPYGVPCMVEIFHFLCSLLNVAEHIGKGPRSNTIAFYEDVPLFALGLINSAIELGGPSIHCQPRLLSLIQDELFSNLMQFDLSTSPLILSMVCSIALNLYHHLRTELKLQLEAFFSFVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDMTCNNVFEDLINLLSKSAIPMDCPLSSMHVLALDGLIAVIQGIVGRIGNGSDGSEPAPVNIDEYTSFWTAKCDSYSDPNQWVPFIRQRKHIKRRLMVGADHFNRDPKKGLEFLQGTHLLPEKLNPQSVACFLRYTSGLDKNLIGDFLGSHDEFSIQVLHEFAQTFDFEDMSLDNALRLLLETFRLPGESQKIQRVLEAFSERYYEQSPQVLANKDAALLLSYSLILLNTDRHNAQVKKKMTEEDFIRNNRRINGGNDLPREFLSELYHSICKNEIRTTPEQGFGIPEMTPSRWIDLMHKSKRTAPFILSDSRADLDHDMFAIMSGPTVDAISVVFDHAELEDIYQTCIDGYLALAKISACHHLVDVLDAVVVSLCKFTTLLNPSSVEESVLAFGDDARAMMATVTVFTIANRYGDYIRTGWRNILDCVLRLYKLGLLPARVASDATEESELSADPVHGKTISNSLPSVHMQSMETPRRYTGLMGRFSQFLALDIEEPRSQPTEQLAAHQRTLQTIQECHVGSIFTESKFLQAESLWELAQALILAAGHPQKGNSLPEDEDTAVICLELLITITLNNRDRILLLWQGVYEHIANIVQSTVMPCSLVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVGRLVKANAAHIRSLMGWRTITSLLSITARHPDAAEAGFDALFFIMCDGSHLLPANYVLCVDAARQFAESRVGQAERSVCAVDLMGDSVSCLVRWSQDAKEAMGEEESAKLSEDIGEMWLRLVQGLRKVCLDQREEVRNRSLLSLQKCLTGVDGIKLPHDLWLQCFDLVIFTMLDDLHEIAQGHQSNYRNMEGTLVIAVKLLSKVFLQLLPEIAQLTTFCKLWVGVLSRMEKYLKVKVKGKKNEILQETVPELLKNTLLVMKSWGVLVQRSASGGDSLWELTWVHVNSIAPSLQAEVFPDQGQEQSLHKLDETGCVPSNEPVHAECAAGTGG >Potri.017G078800.1.v4.1 pep chromosome:Pop_tri_v4:17:8714382:8721223:-1 gene:Potri.017G078800.v4.1 transcript:Potri.017G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078800.v4.1 MGRIKLQSGIKVIEEEPEECGTSESYRATIVCMINAEAGTVLAVMRRNVRWGVHYMSGDDQLEDSLIQSLKTLRKQIFSWQNPWHTINPALYLQPFLDVIRSDETGAPITGVALLSVYKILTLDVIDENTVNVEDAMQSVVDAVTGCRFEVTDPASEEVVLMRILQVLLACMKSKASVMLSNQHVCILVNTCFRIVHQAGSKSELLQRISRHTMHELIRFIFSHLPDVENTNQALVDGVATVKQESGGLGNDYALGSTQMENGNCNSELGGQASSVRFGSDASTGLAAIEENAIEENAIGAGRGKDALPFDLHLMTLPYGVPCMVEIFHFLCSLLNVAEHIGKGPRSNTIAFYEDVPLFALGLINSAIELGGPSIHCQPRLLSLIQDELFSNLMQFDLSTSPLILSMVCSIALNLYHHLRTELKLQLEAFFSFVILRLAQSRYGASYQQQEVAMEALVDFCRQKTFMVEMYANLDCDMTCNNVFEDLINLLSKSAIPMDCPLSSMHVLALDGLIAVIQGIVGRIGNGSDGSEPAPVNIDEYTSFWTAKCDSYSDPNQWVPFIRQRKHIKRRLMVGADHFNRDPKKGLEFLQGTHLLPEKLNPQSVACFLRYTSGLDKNLIGDFLGSHDEFSIQVLHEFAQTFDFEDMSLDNALRLLLETFRLPGESQKIQRVLEAFSERYYEQSPQVLANKDAALLLSYSLILLNTDRHNAQVKKKMTEEDFIRNNRRINGGNDLPREFLSELYHSICKNEIRTTPEQGFGIPEMTPSRWIDLMHKSKRTAPFILSDSRADLDHDMFAIMSGPTVDAISVVFDHAELEDIYQTCIDGYLALAKISACHHLVDVLDAVVVSLCKFTTLLNPSSVEESVLAFGDDARAMMATVTVFTIANRYGDYIRTGWRNILDCVLRLYKLGLLPARVASDATEESELSADPVHGKTISNSLPSVHMQSMETPRRYTGLMGRFSQFLALDIEEPRSQPTEQLAAHQRTLQTIQECHVGSIFTESKFLQAESLWELAQALILAAGHPQKGNSLPEDEDTAVICLELLITITLNNRDRILLLWQGVYEHIANIVQSTVMPCSLVEKAVFGLLRICQRLLPYKENLADELLRSLQLVLKLDARVADAYCEQITQEVGRLVKANAAHIRSLMGWRTITSLLSITARHPDAAEAGFDALFFIMCDGSHLLPANYVLCVDAARQFAESRVGQAERSVCAVDLMGDSVSCLVRWSQDAKEAMGEEESAKLSEDIGEMWLRLVQGLRKVCLDQREEVRNRSLLSLQKCLTGVDGIKLPHDLWLQCFDLVIFTMLDDLHEIAQGHQSNYRNMEGTLVIAVKLLSKVFLQLLPEIAQLTTFCKLWVGVLSRMEKYLKVKVKGKKNEILQETVPELLKNTLLVMKSWGVLVQRSASGGDSLWELTWVHVNSIAPSLQAEVFPDQGQEQSLHKLDETGCVPSNEPVHAECAAGTGG >Potri.005G036700.1.v4.1 pep chromosome:Pop_tri_v4:5:2354034:2357409:-1 gene:Potri.005G036700.v4.1 transcript:Potri.005G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036700.v4.1 MEREERGEEQNTNSSNQNKKVLIQIPSYQEVIESSQVKPTQTQESSLFKPSQTFSQAFSFIKNSEFYSPPPPPPSKSEHGPSSSATTSEKVSPSPLASAPAASFGGGVASSSVQNNQSRNAILVSHRQKGNPLLKHIRNVKWAFADVVCDYLLGQSSCALYLSLRYHLLHPDYLYYRIRELQKNCKLRVVLCHVDVEDVVKPLLEVTKTALLHDCTLLCAWSLEECGRYLETIKMYENKPADLIQGQMDTDYSSRLHHALTTVRRVNKTDVVTLGSTFGSLSNIMDASMEDLARCPGIGERKVKRLYDTFHEPFKRVVSSHPVVPQTPVQKDTEPSSVDEVAEMEMEEANANKRRKKEPELTVKSALSSAFAKCATNKLGNKKFQREKVGETSTAIDSEAETRKTSG >Potri.001G177400.1.v4.1 pep chromosome:Pop_tri_v4:1:15396851:15398874:-1 gene:Potri.001G177400.v4.1 transcript:Potri.001G177400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G177400.v4.1 MSPENGSNLLESDAANVSFKETELTLGLPGESRGLALIEKTSGKRGFLETVDLNLGRSSNVDSDHNKYSGESETDVPNTAKPPAAKAQVVGWPPVRAYRKNAMKSCKYVKVAVDGAPYLRKVDLEMYNSYQQLLNALQDMFSCFSFTIRNYLNERTIMEQEVNNGVEYVPTYEDKDGDWMMLGDVPWKMFVESCKRLRLMKSSEATGFAPRTPSKCSSSS >Potri.018G090100.1.v4.1 pep chromosome:Pop_tri_v4:18:11012602:11014738:-1 gene:Potri.018G090100.v4.1 transcript:Potri.018G090100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G090100.v4.1 MSCFKSKYADELIKNAAYIGTPGKGILAADESTGTIGKRLSSINVENVEENRRALRELLFCAPGALQYLSGVILFEETLYQKTASGKPFVDVLKEGGVLPGIKVDKGTVELAGTNGETTTQGLDGLGQRCAKYYEAGARFAKWRAVLNIGANEPSELAIHENAYGLARYAVICQENGLVPIVEPEILVDGSHDIEKCAAVTERVLAACYKALNDHHAILEGTLLKPNMVTPGSEAPKVAHEVIAEYTVRALQRTVPPAVPAIVFLSGGQSEEEATLNLNAMNKLNTKKPWSLSFSFGRALQQSTLKAWAGKPENVGKARAALLARCKANSEATLGTYKGDATLGEGASESLHVKDYKY >Potri.007G140800.4.v4.1 pep chromosome:Pop_tri_v4:7:15098652:15102908:-1 gene:Potri.007G140800.v4.1 transcript:Potri.007G140800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140800.v4.1 MNAGLNGAPIRVAIDLLTNRILSYLIFGPITKYFPSGTWIYYSRRGGQPHLGFRIYKEYYTYVGTKTISSDFLSIIIVLLIALLIFAVIFRAILFLFGLSCLVTYLIYTWRRRHLSMYDTIEEFLQSNDNLMPVRYSYSEIKKITNDFKEKLGEGGFGSVYKGKLRSGRFAAVKILSNSKANGQDFTNEVDTIGRIYHVNVVQLIGFIAEGSKRGLIYEFMPNGSLDKYIFSKRGSVTLSKEKMFDISLGIARGIDYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTNNGIVALTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMDMIGRKKNLSELVVDASQIYFPSWVYEQVCEGNDLEVLGDTTEQEKKITKKMIIVASWCIQLKPEDCPSMHEVVKMLETDVESLQMSPKPFLTTSQQMPKHDDVANQSDPSSYCINSSYQFGR >Potri.007G140800.5.v4.1 pep chromosome:Pop_tri_v4:7:15098652:15102908:-1 gene:Potri.007G140800.v4.1 transcript:Potri.007G140800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140800.v4.1 MTFSFLRNEVFGPITKYFPSGTWIYYSRRGGQPHLGFRIYKEYYTYVGTKTISSDFLSIIIVLLIALLIFAVIFRAILFLFGLSCLVTYLIYTWRRRHLSMYDTIEEFLQSNDNLMPVRYSYSEIKKITNDFKEKLGEGGFGSVYKGKLRSGRFAAVKILSNSKANGQDFTNEVDTIGRIYHVNVVQLIGFIAEGSKRGLIYEFMPNGSLDKYIFSKRGSVTLSKEKMFDISLGIARGIDYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTNNGIVALTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMDMIGRKKNLSELVVDASQIYFPSWVYEQVCEGNDLEVLGDTTEQEKKITKKMIIVASWCIQLKPEDCPSMHEVVKMLETDVESLQMSPKPFLTTSQQMPKHDDVANQSDPSSYCINSSYQFGR >Potri.007G140800.3.v4.1 pep chromosome:Pop_tri_v4:7:15098652:15102908:-1 gene:Potri.007G140800.v4.1 transcript:Potri.007G140800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140800.v4.1 MNAGLNGAPIRVAIDLLTNRILSYLIFGPITKYFPSGTWIYYSRRGGQPHLGFRIYKEYYTYVGTKTISSDFLSIIIVLLIALLIFAVIFRAILFLFGLSCLVTYLIYTWRRRHLSMYDTIEEFLQSNDNLMPVRYSYSEIKKITNDFKEKLGEGGFGSVYKGKLRSGRFAAVKILSNSKANGQDFTNEVDTIGRIYHVNVVQLIGFIAEGSKRGLIYEFMPNGSLDKYIFSKRGSVTLSKEKMFDISLGIARGIDYLHQGCDMQILHFDIKPHNILLDEKFVPKISDFGLAKLYPTNNGIVALTAARGTMGYIAPELFYKNIGGVSYKADVYSFGMLLMDMIGRKKNLSELVVDASQIYFPSWVYEQVCEGNDLEVLGDTTEQEKKITKKMIIVASWCIQLKPEDCPSMHEVVKMLETDVESLQMSPKPFLTTSQQMPKHDDVANQSDPSSYCINSSYQFGR >Potri.005G112400.1.v4.1 pep chromosome:Pop_tri_v4:5:8140682:8144784:1 gene:Potri.005G112400.v4.1 transcript:Potri.005G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112400.v4.1 MCPLLSPASPAGKWLGFVTAIWVQASCGNNYTFSNYSDALKSIMALTQLELNTLSVAKDVGKAFGLLSGIASDRWSTSVILLIGSFEGLIGYGVQWLVVSQRIHPLPYWQMCIFLCLGGNSTTWMNTAVLVTCMRNFPKNRGPVSGILKGYVGLSTAIFTDICTALFSSNPSAFLLILAIVPAIICLAAILFLRETASAAGPIEEKEEARFFNIFNAIAIIAAAYLLAFDITGNHGHVVSLVFVAGLIFLLASPLFVPLYSVLLKLKSNSDTEQQIKEPLLVGPEDSPAKAQKPEPATTVSVEVENAGIKQRPMIGEDHTIIEMIRTYDFWVLFISFLCGVGTGMCVMNNLGQMGLALGYIDVSIFVSLTSIWGFFGRIISGLVSEQLLWKFGTPRPLWNAASQVLMTLGFVIMALALPGSLYIGSILVGICYGVRLTITVAVASELFGLKYYGLLYNILILNLPLGSFLFSGLLAGYLYDAQAARSPAGGGNTCVGPHCYFLVFLIMALACVIGFGLDVLLAIRTKKVYSKIYTDRKFSPASTVA >Potri.003G013601.1.v4.1 pep chromosome:Pop_tri_v4:3:1568871:1569692:-1 gene:Potri.003G013601.v4.1 transcript:Potri.003G013601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013601.v4.1 MEQVHLFTKKLKPTHISHALPIPTHVLEAFPIPEGAHMMNFEAVDATDNAWRFCLSTRLTGAYPKPVLLRSSWHRFVEQKGLAPEDRVVFFMERDEANDMIRRYTVRAQRKVMILMGQDVWVDVEHLPLYGL >Potri.008G167400.2.v4.1 pep chromosome:Pop_tri_v4:8:11553355:11561066:1 gene:Potri.008G167400.v4.1 transcript:Potri.008G167400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167400.v4.1 MAFSSSTFSTIPAFLYKSTLHAPNGKTRLRPPITATLAEKKNTNALQYRKLGDSDLEISEITMGTMTFGEQNTEKEAHEMLSYSFDHGINIFDTAEAYPVPVKKETQGRTDLYVGNWLKSQPRDKVILATKVCGYSERLSYLRGNAKVLRVDAANIKESVEKSLKRLGTDYIDLLQIHWPDRYVPVFGEFSYDYSKWRPSSPFVEQLKAFQDLIDEGKVRYIGVSNETSYGVMEFVHAAKVEGLPKIISIQNSYSLLVRCRFEVDLLEVCHPKNYNIGLLAYSPLGSGMLSGKYLDVNSEAAKKGRLNLFPGYMERYKNSLSREATVQYIELAKKHGLTPVELALGFVRDRPFVTSSIIGSTSLEQLKEDIDAIMTAPRPLPAELTADIETIFKRYKDPSII >Potri.010G218400.1.v4.1 pep chromosome:Pop_tri_v4:10:20472409:20473784:-1 gene:Potri.010G218400.v4.1 transcript:Potri.010G218400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G218400.v4.1 MIIGAGQDYNPEAVQENTGLLDFEDVEEAFTFCNLSSNNFDSAHRDNFSFDHQDHFGFFSDASTAHSSDSIIFCGKLIPYKGEKVVADQTAQKYQEITDKSKLTRKSSISPSKLSHSSSKSTERAAERSNASPKKKKQEKSDREDCGSTDKGHGGRKLSVDKYDSSMRKGSDLPPLMKSVSHSIRSGAAKFAMEIGLISDLKTRQSKRSSTPAMFSSANESDKKDRRSKEKREKKNLRGSCRGEASVDCISYF >Potri.010G188900.6.v4.1 pep chromosome:Pop_tri_v4:10:18521955:18527118:-1 gene:Potri.010G188900.v4.1 transcript:Potri.010G188900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188900.v4.1 MYVLCGDASNSFVRGHVKSALDKLCHSSDCSEVPIVKALQRGVRVIELDLWPGSSKDEILVLHGRTLTTPVPLIKCLKSIRDYAFSSSPYPVIITLEDHLRPDLQSKVAEMVTQTFGGMLYYPESDSLVQFPSPESLKHRIIISTKPPKEYLESNGIKQKGALSPGGRNSSEEDEEASGIPDHTAELEADDRSNSDQDDADLTDCDNKSGQLGAPAYKRLITIHAGKPKGQLKDALKVAVDKVRRLSLSEQELEKAATTNGTDVVRFTQNNILRIYPKGTRITSSNYKPLVGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYLKKPDFLMEKGPNNEVFDPKIKLAVTKTLKVKVYLGDGWRLDFSHTHFDSYSPPDFYTKVYIVGAPADAAKKKTKIIEDNWSPAWNQEFTFPLTVPELALLRIEVREYDMSEKDDFGGQTCLPVSDLRPGIRSVPLHDKKGEKLKNVRLLVGFQFV >Potri.010G188900.1.v4.1 pep chromosome:Pop_tri_v4:10:18521968:18527118:-1 gene:Potri.010G188900.v4.1 transcript:Potri.010G188900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188900.v4.1 MGSYKKDQRRSFSSPEPSNSNKDEMGSYNYKMFNLFNRKFKITEAEPPKDVKQVFSKFSDGGSHMMADQLRRFLVLHQDELHCTLAEAKKIMEEVINRRHHLTRYSRHSLNLDDFFHFLLYDDLNGPITSQVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSEVPIVKALQRGVRVIELDLWPGSSKDEILVLHGRTLTTPVPLIKCLKSIRDYAFSSSPYPVIITLEDHLRPDLQSKVAEMVTQTFGGMLYYPESDSLVQFPSPESLKHRIIISTKPPKEYLESNGIKQKGALSPGGRNSSEEDEEASGIPDHTAELEADDRSNSDQDDADLTDCDNKSGQLGAPAYKRLITIHAGKPKGQLKDALKVAVDKVRRLSLSEQELEKAATTNGTDVVRFTQNNILRIYPKGTRITSSNYKPLVGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYLKKPDFLMEKGPNNEVFDPKIKLAVTKTLKVKVYLGDGWRLDFSHTHFDSYSPPDFYTKVYIVGAPADAAKKKTKIIEDNWSPAWNQEFTFPLTVPELALLRIEVREYDMSEKDDFGGQTCLPVSDLRPGIRSVPLHDKKGEKLKNVRLLVGFQFV >Potri.010G188900.5.v4.1 pep chromosome:Pop_tri_v4:10:18521968:18525931:-1 gene:Potri.010G188900.v4.1 transcript:Potri.010G188900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188900.v4.1 MSFQVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSEVPIVKALQRGVRVIELDLWPGSSKDEILVLHGRTLTTPVPLIKCLKSIRDYAFSSSPYPVIITLEDHLRPDLQSKVAEMVTQTFGGMLYYPESDSLVQFPSPESLKHRIIISTKPPKEYLESNGIKQKGALSPGGRNSSEEDEEASGIPDHTAELEADDRSNSDQDDADLTDCDNKSGQLGAPAYKRLITIHAGKPKGQLKDALKVAVDKVRRLSLSEQELEKAATTNGTDVVRFTQNNILRIYPKGTRITSSNYKPLVGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYLKKPDFLMEKGPNNEVFDPKIKLAVTKTLKVKVYLGDGWRLDFSHTHFDSYSPPDFYTKVYIVGAPADAAKKKTKIIEDNWSPAWNQEFTFPLTVPELALLRIEVREYDMSEKDDFGGQTCLPVSDLRPGIRSVPLHDKKGEKLKNVRLLVGFQFV >Potri.010G188900.4.v4.1 pep chromosome:Pop_tri_v4:10:18521968:18527118:-1 gene:Potri.010G188900.v4.1 transcript:Potri.010G188900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G188900.v4.1 MSFQVHHDMTAPLSHYFIYTGHNSYLTGNQLSSDCSEVPIVKALQRGVRVIELDLWPGSSKDEILVLHGRTLTTPVPLIKCLKSIRDYAFSSSPYPVIITLEDHLRPDLQSKVAEMVTQTFGGMLYYPESDSLVQFPSPESLKHRIIISTKPPKEYLESNGIKQKGALSPGGRNSSEEDEEASGIPDHTAELEADDRSNSDQDDADLTDCDNKSGQLGAPAYKRLITIHAGKPKGQLKDALKVAVDKVRRLSLSEQELEKAATTNGTDVVRFTQNNILRIYPKGTRITSSNYKPLVGWMHGAQMIAFNMQGYGKSLWLMHGMFRANGGCGYLKKPDFLMEKGPNNEVFDPKIKLAVTKTLKVKVYLGDGWRLDFSHTHFDSYSPPDFYTKVYIVGAPADAAKKKTKIIEDNWSPAWNQEFTFPLTVPELALLRIEVREYDMSEKDDFGGQTCLPVSDLRPGIRSVPLHDKKGEKLKNVRLLVGFQFV >Potri.010G191600.1.v4.1 pep chromosome:Pop_tri_v4:10:18694139:18697190:1 gene:Potri.010G191600.v4.1 transcript:Potri.010G191600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G191600.v4.1 MGLEILQSLHLIVPPLSSTCRRPVFARSYFGGGSNQGLACLLPHPSILFGSSRNLKGPGLKRSCSDSLDEFYNEEIEYLARSYDVVDDDENDHNDTAYAVSDSSNISKSNDGESSKNRNSSDSFLPSKIEFLEPSLLGIQPEPPDWPGRNEIVRMSIELRANSVDIPLSLRMLKRKLKWQKGFAVVRNSAYCSVKRAFASMVLIIQELQSHALYTRGSLHGEDLRRILEKVHSELNASFVWLFQQVFSRTPTLMVYVMLLLANFTVHSMFGNIGVTAAPLPRIFQETITTPDEMDQEQSNADHAVGNNNGGAGKVDRSIGGSEGGDWHFGRISPFIRYPNLVPEETGEVSLPGNQEMVSVEEAVLWNAMVEEASRMQVESGYEVLDRETMKQFVSRVTVDLEPSDYVEYYRTDLLYQMAIAEDPKNPLLLSNYAQFLCIVRHDYDRAEKCFKRAIMVGPPDAEAFSHYADFLWRVRMDLWSAEERYLQALSIEPNNTEHASKYASFLWSTGGEETCFPLNAPQ >Potri.019G076001.1.v4.1 pep chromosome:Pop_tri_v4:19:11710373:11712096:1 gene:Potri.019G076001.v4.1 transcript:Potri.019G076001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076001.v4.1 MASFAEAPPGDSKVGEKVFKTKCAQCHTVDKGAGHKQGPNLNGLFGRQSGTTAGYSYSTANKNMAVTWEEKTLYDYLLNPKKYIPGTKMVFPGLKKPQERADLIAYLKQSTAS >Potri.011G091700.1.v4.1 pep chromosome:Pop_tri_v4:11:11797838:11799610:1 gene:Potri.011G091700.v4.1 transcript:Potri.011G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G091700.v4.1 MENVSRVRAFSSPELLPLPTSSTDQGPEDYSLEGVATNVKLLLKLIQDHNEASTKDNDDRKMRRFAGMVSILDDVKFRIQKSQSGKKKAPQLRRCNTDLRRSQAPADKKPQESVGDEKERLRKQLNASMAARKSLEMMCSSLGKEKEIMAAEIARKVHELNEAEELVSDLKAQNETLMAKLQARAPQKKSSNSGGEAQGNAALQERNRTLSEQLLKSLDSCRSLKRKYKVAKEENRAICATMDVIKIEVGAGLEKIRSFRSRVAISKDIEEEISELEQMFEGFEMKISKHRDIECAKPKAAINTSKPPVLE >Potri.006G211200.1.v4.1 pep chromosome:Pop_tri_v4:6:21826239:21831564:1 gene:Potri.006G211200.v4.1 transcript:Potri.006G211200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211200.v4.1 MTEVLQSSPPHHFPSPSSSTSTPCVVSTNDVDIPHQHQQQQHHTHNRPHEILDQEEGSKEREREGDQVSIVELLLAAFRRSIVGCSVTASTGSKGLCKMEIGVPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRAPSASATVFGVSTESMQLSYDSRGNSVPTILMMMQRHLYAQGGLQAEGIFRITAGNSQEEYVRDQLNGGVIPDGIDVHCLAGLIKAWFRELPTSVLDSLSPEQVMQCQSEEECARLAGLLPPTEAALLDWAVNLMADVAQMEHLNKMNARNVAMVFAPNMTQMSDPLTALMYAVQVMNFLKNLIIRTLRERDESVIDSVPVSRLEPTDGNGNQSASQPSCEEDEDATEENEWEKAFVAEEPAFESPSQPSQDDSSTMDGSQPSQDDSSTMDGSAGFLSSIENIPGGRWSLVDNCPCEVVSQVNALKNEHHEGGHTYKTGGVQTRSCKSKTGQSSNSTLKRGSKKVKEQLIVRAAGPVEKGEGTGIVGHINPKTELFEAWR >Potri.009G112799.1.v4.1 pep chromosome:Pop_tri_v4:9:9609611:9609847:-1 gene:Potri.009G112799.v4.1 transcript:Potri.009G112799.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G112799.v4.1 MEGKGIRASILLVLMLVLMVLCNARMAASDCFTPCVQKCKLQIEPGKCIKECEIKCGDGPDVNRQNQVDDGKEAAKLS >Potri.001G423500.1.v4.1 pep chromosome:Pop_tri_v4:1:45130615:45131826:-1 gene:Potri.001G423500.v4.1 transcript:Potri.001G423500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423500.v4.1 MAPIAVGDVLPDGKLAYFDEQDQLQDVSVHSLAAGKKVILFGVPGAFTPTCSLKHVPGFVEKAEELKSKGVAEILCISVNDPFVMKAWAKTYPENKHVKFLADGSATYTHALGLELDLQEKGLGTRSRRFALLVDDLKVKAANIEGGGEFTVSSADDILKDL >Potri.019G059400.1.v4.1 pep chromosome:Pop_tri_v4:19:9874939:9878839:1 gene:Potri.019G059400.v4.1 transcript:Potri.019G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059400.v4.1 MLRGCSPPLLLLRMLSSSPQTLNPNPTFLTPMKSTTQTLNSSQNHNHPLLFSNNPKVSLSNSHKLPQNMTVSSCFSGSPSTDQLSISGAPGSNNSNPESTLVVVSFYKFADFPDYADMRKPLKELCEELRVSGGIILAPEGINGSICGTWESVENVLGFIQSDDRLKGLRQVESPVSPEEEAIHHGHTSGSPLAAGEDAPFRWDHVRVKLKKEIVTLGMPSISPNERVGKYVKPRDWNALISDPDTVVVDVRNNYETRIGKFKGAVDPCTSAFREFPSWVGDEFQHAETDEVNCSGGSTDKETKSPNKKMPQKVAMYCTGGIRCEKASSFLLNKGFKEVYHLEGGILKYLEEIPKSESLWEGECFVFDKRVSVEHGLEQGTFKLCYGCKQPVSDADMEAPEWEYGVSCPYCVSSKSEEEKERARARQRQFETWGVIGGPDKGRRPTFKPDSNNSDAKQQLSSTF >Potri.007G123800.4.v4.1 pep chromosome:Pop_tri_v4:7:14063740:14067871:-1 gene:Potri.007G123800.v4.1 transcript:Potri.007G123800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123800.v4.1 MKGSISSFTYKGSIAEAILESKKQKKLFVVYISGENVASAELEKSTWTDSKVAESLSKYCILLHIPEGSTDALNFSAIYQQKSAPCITAIGYNGVQLWQSEGFVTAEVLASGLEKVWLTLHIQETTATVLTTALASKKPEPLSGSSDIGSSGQGSSSGTVVPVPLKDRHIQPSEVGTQAAASEVIEENKSHEPTAEKTITNLGDKTSSKSFNVQKSQTVGDERSTCPTEEDKKSPSSSVTSTDNIIADHTSSAAEDGLLAQEKSVSNHTGVPTGGSELSTTEIKEVGDKKAESMDDMVPGTLNNNKKVNVSSDVHLNIRLPDGVSLQEKFSVTSTLRTVKDYVDRNQASGIGAYDLAIPYPRKTFSDQDLNKSLSELSLLNRQALIVVPRQRATSYHQRGSLSDRATTTTSSGSVNANDGGYFAYVKRILSYVNPLSYFGGSANPSSSGQAQSAIGEYGPDSTSQNNTPRMDRPKLFINWQK >Potri.002G260401.2.v4.1 pep chromosome:Pop_tri_v4:2:24875185:24875620:1 gene:Potri.002G260401.v4.1 transcript:Potri.002G260401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G260401.v4.1 MTSAPVLSLVLVFGEILAQAVCTRFGLTVGAAMAPLVRVLLLLFFPISYPVSKVDLAQFGMDLRNQILPLLAVFEARTSSV >Potri.001G052600.1.v4.1 pep chromosome:Pop_tri_v4:1:3985727:3987021:-1 gene:Potri.001G052600.v4.1 transcript:Potri.001G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G052600.v4.1 MSALKITSVLSKFLTARGTSTSSAAGSPRAFVTATSRPLQAKKDEETAEACKGVTEAAESVKEGARSVKNAAETVTNMTKEVTKKVSETAETITDKAASVIKDKIVGM >Potri.004G207200.2.v4.1 pep chromosome:Pop_tri_v4:4:21479818:21484486:1 gene:Potri.004G207200.v4.1 transcript:Potri.004G207200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207200.v4.1 MEEMRGARQGGSSLVKEFSPAYYGLCAVGGMLSAGTTHLAITPLDVLKVNMQANPIKYNSILSGFSTLLKEQGPSSLWRGWSGKLFGYGVQGGCKFGLYEYFKRLYSDVLMDQNRNFVFFLSSASAQVFADVALCPFEAVKVRVQTQPTFANGLADGFPKLYKAEGLTGFYRGLVPLWGRNLPFSMVMFTTFEQSVDLIYRNVIQRRKEDCSRSQQLGVTCLAGYVAGAVGTVISNPADNVVTSLYNKKAENVLQAVKNIGLANLFTRSLPIRIAIVGPVVTLQWFFYDTIKVSSGLPTTGGLGRHQEATDLLA >Potri.008G156100.1.v4.1 pep chromosome:Pop_tri_v4:8:10680942:10686367:1 gene:Potri.008G156100.v4.1 transcript:Potri.008G156100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G156100.v4.1 MDTPEKTQVTSPLSKFEDSPVFNYINSLSPIKPVKSINIAHTFHSLSFASLPSVFTSPHVNSHKETRFLKRHNYADLSKPEFSSENGNEACNDEGVAVDAAQLYDNSSELQESFDPGVSIREASVEPPSEHSKLAIELPRTLKYDCGIPDSDQTPRCGTQTDTVSKSDGTIASLVPFTNVASHKDSPEGQVHLAGLYKIESKKEATECDWENFISDSADLLIFNSPIDAEGFKELFQKSPNPVVGFCTSFSEVQKMQIVNPIGPGEQNEKEDPFTQPGETIELTQMDPTQDNLAANKDPNKYIISNPSKAVSNLHRGMRRRCLDFEMVGARRKNVEDGSSTSSVVVQSDEKITYKNTQLVPFKPSSDSSRCILPGIGLHLNALAINSRDSKKIKHETFSSSITLPGSAASFHSPTSGQELDESLALASTERDMDPNDNVVPFVEDFSQTSPKKKRRRLEGEAEACKRCNCKKSKCLKLYCECFAAGVYCIEPCACQDCFNKPIHEDTVLATRKQIESRNPLAFAPKVIRSSEPAPEIGDESSKTPASARHKRGCNCKKSSCLKKYCECYQGGVGCSLNCRCEGCKNAFGRKDGSALVEMEDEPEDETEASEKNGVDKTVQKAEIQNNDEQHPNSALPTTPLRFSRPLVQLPFSSKGKPPRCFLGVGSSSGLYTGQRYGKPNILRPQWKFEKQFQNVSGDEIPEILRENHSPSSGIKTSPNSKRVSPPQSNLGSSPGRRSGRKLILQSIPSFPSLTPQH >Potri.006G117300.1.v4.1 pep chromosome:Pop_tri_v4:6:9179312:9183594:-1 gene:Potri.006G117300.v4.1 transcript:Potri.006G117300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117300.v4.1 MASSCDEDFSLLGDDNHHHHIIHHPYRYPTKSTPIHAPPESVLPLDGEEDRQDNNETDSAFADVNPYSDNETSKRSEREGEIDGGETPYNIYKRGRSGGGEYRKDREEWSDVAIGCLLDAYMEKFTQLSRGNLRGRDWEEVAAMVKQGKSVEQCKNKVDNLKKRYKLERHRITTGSISTSHWPWFQKMEDIVGNSLTIKVAPPAPAPADEDNGGGGASSASVSRQSKRYANTIASPAGQTNNMMPKSISKTRWRRVILKISGVALAGTGPNNIDPKVMTLIAREVAMACQLGLEIAIVVGARNFFCGNTWVTATGSHRRTAYQIGMMASVMNSLLLQSALEKTGIQTRVQTAFAMQEVSEPYSRQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAAVQASEIHAEAVLKGTNVDGVYDCHSPDSNFIFEHISIRDLVSRGATSMDMTALSFCEENNIPVVVFNLLEPGNISKALCGEQVGTLIDQTGRIG >Potri.006G117300.3.v4.1 pep chromosome:Pop_tri_v4:6:9179317:9183594:-1 gene:Potri.006G117300.v4.1 transcript:Potri.006G117300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117300.v4.1 MASSCDEDFSLLGDDNHHHHIIHHPYRYPTKSTPIHAPPESVLPLDGEEDRQDNNETDSAFADVNPYSDNETSKRSEREGEIDGGETPYNIYKRGRSGGGEYRKDREEWSDVAIGCLLDAYMEKFTQLSRGNLRGRDWEEVAAMVKQGKSVEQCKNKVDNLKKRYKLERHRITTGSISTSHWPWFQKMEDIVGNSLTIKVAPPAPAPADEDNGGGGASSASVSRQSKRYANTIASPAGQTNNMMPKSISKTRWRRVILKISGVALAGTGPNNIDPKVMTLIAREVAMACQLGLEIAIVVGARNFFCGNTWVTATGSHRRTAYQIG >Potri.006G117300.2.v4.1 pep chromosome:Pop_tri_v4:6:9179948:9183596:-1 gene:Potri.006G117300.v4.1 transcript:Potri.006G117300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G117300.v4.1 MASSCDEDFSLLGDDNHHHHIIHHPYRYPTKSTPIHAPPESVLPLDGEEDRQDNNETDSAFADVNPYSDNETSKRSEREGEIDGGETPYNIYKRGRSGGGEYRKDREEWSDVAIGCLLDAYMEKFTQLSRGNLRGRDWEEVAAMVKQGKSVEQCKNKVDNLKKRYKLERHRITTGSISTSHWPWFQKMEDIVGNSLTIKVAPPAPAPADEDNGGGGASSASVSRQSKRYANTIASPAGQTNNMMPKSISKTRWRRVILKISGVALAGTGPNNIDPKVMTLIAREVAMACQLGLEIAIVVGARNFFCGNTWVTATGSHRRTAYQIGMMASVMNSLLLQSALEKTGIQTRVQTAFAMQEVSEPYSRQRAIRHLEKGRVVIFGGIGAGTGNPLFSTDTAAAVQASEIHAEAVLKGTNVDGVYDCHSPDSNFIFEHISIRDLVSRGATSMDMTALSFCEENNIPGCCGLQPP >Potri.007G058200.1.v4.1 pep chromosome:Pop_tri_v4:7:6177705:6179380:-1 gene:Potri.007G058200.v4.1 transcript:Potri.007G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G058200.v4.1 MVPPDNLQEKQTSKEDNQSSSSSRKTATTRPQEQALKCPRCESPNTKFCYYNNYSLTQPRHFCKTCRRYWTKGGALRSVPIGGGCRKNKKIKSSSRLSSDSKDSSGSSEIAGFSFFHGLSPAVDFNLGGLSFPRLNPSQNGLYNHFPSFGDISATSAAAATVTSPSFTLDPSVSSTGSCSLMGFNYPLTSVASGFCGAIQENIGGASMNINTNLPSSIESLSCINQDLHWKLQQQRLAMLFGTGENHKDGSTVSTDPIENQVQKPQPIMFENLEISKPQVCAAGNSRKEGAASGDTATEWFFGNSYSQVTATPTNTSNNGNNDNTGNWNGVQAWGDLHQYSALP >Potri.015G057000.1.v4.1 pep chromosome:Pop_tri_v4:15:7931721:7935890:-1 gene:Potri.015G057000.v4.1 transcript:Potri.015G057000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G057000.v4.1 MDPGKFTHKTNEALATAHELTVGAGHAQITPLHLAVALISDPSGILRQAVANAGDGENTAQAAERVFNQVLKKLPSQSPPPEEVPPSTSLIKVIRRSQALQKSRGDSYLAVDQMILGLLEDSQIRDLLKEVGVSASTVKSEVEKLRGKEGKKVENASGDTNFQALKTYGRDLVEGAGKLDPVIGRDEEIRRVVRILSRRTKNNPVLIGEPGVGKTAVAEGLAQRIVRGDVPSNLADVRLIALDMGALVAGAKYRGEFEERLKAVLKEVEEAEGKVILFIDEIHLVLGAGRTEGSMDAANLFKPMLARGQLRCIGATTLEEYRKYVEKDAAFERRFQQVYVAEPSVPDTISILRGLKEKYEGHHGVRIQDRALVVAAQLSSRYITGRHLPDKAIDLVDEACANVRVQLDSQPEEIDSLERKRMQLEVELHALEKEKDKASKARLAEVVKELDDLRDKLQPLLMKYKKEKERIDEIRRLKQKREEIHFSIQEAERRYDLARVADLRYGALEEVEAAIARLEGSTTDENLMLTETVGPEHIAEVVSRWTGIPVTRLGQNEKERLIGLADRLHHRVVGQDQAVNAVAEAVLRSRAGLGRPQQPTGSFLFLGPTGVGKTELAKTLAEQLFDNENQLVRIDMSEYMEQHSVSRLIGAPPGYVGHEEGGQLTEAVRRRPYSVVLFDEVEKAHISVFNTLLQVLDDGRLTDGQGRTVDFRNTVIIMTSNLGAEHLLSGLLGKCSMQVARDRVMQEVRKQFRPELLNRLDEIVVFDPLSHDQLRKVARLQMKDVASRLAERGIALAVTDAALDYILAESYDPVYGARPIRRWLERKVVTELSRMLVREEIDENSTVYIDAGPDGQDLVYRVEKNGGLVNATTGQKTDVLIQIPKAPRDDAAQKVKKMKIQEIVDNDDDDEMIE >Potri.014G168100.1.v4.1 pep chromosome:Pop_tri_v4:14:12258231:12259881:1 gene:Potri.014G168100.v4.1 transcript:Potri.014G168100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G168100.v4.1 MPRPLPLLTLAISLVLLASTTTVNAHNITRILAKHPQFSTFNHYLTVTHLAAEINRRQTITVLALDNAAMSSLISKQLSVYTLRNVLSLHVLVDYFGTRKLHQITNGTELTATMFQATGSAPGASGYVNITDLNGGKVAFGAEDNDGKLNAVYVKSLEEIPYNISILQISQPLNSAEAEAPTAAPTLNVTAILSNQGCKAFSDLLIASGAHTTFEENVDGGLTVFCPTDPVINGFMPKYKNLTAPQKVSLLLYHGIPIYQSLQMLKTSNGIMNTLATNGANKYDFTVQNDGEVVTLETKVTTATITGTVKDEEPLVVYKINKVLLPRELFKAAPEKKAPAPKGEKDVADGPNADAPSDESDDQTADNDNGVNKMGGGRLAVVAPSFFFGVVMFFLFD >Potri.008G045133.1.v4.1 pep chromosome:Pop_tri_v4:8:2562835:2563412:-1 gene:Potri.008G045133.v4.1 transcript:Potri.008G045133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045133.v4.1 MLVKPRQNAVLCVAVALASLGLGGTRLTTATMGADQFDKPNNQFYRHVKPKGSPFASIARVVAAAIRRRRVVETVQSQQNYYHGCGDPIEAVGCPTESLGYANSTLDISGINQLIPHIPFLLVCALLFIQSVYLLF >Potri.016G119600.2.v4.1 pep chromosome:Pop_tri_v4:16:12451633:12455391:1 gene:Potri.016G119600.v4.1 transcript:Potri.016G119600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119600.v4.1 MKRRFFKFSDAELICLRRPSPSPTPRTITTSPSSSILAKPPFYRFFQSSPPSNSASASAKHLISNVIGLFVHKLTINDNSGSNNNNNDIKSKERKDPTNKISSLRNNNNDLKSKKREDLVNKISSLRDELLQNVDMVFQILEETKKDDPSLLTNSSAFLELLKLLLLSSPKVALKIFNWKRTQAENDTPMTAAEYAKGIMIAGTDKNVDLAVEIFDEAIKKCIKTTSMYNALMTACMCNGLAGKCESLFREMKRDVKCRPSVVTYNILVSVFGRLMLVDKMEAIFKEMEDSRISPNLTTYNNLISGYVTVWMWDSMEKTFQMMIAGPVKPDLNTHLLMLRGYAHSGHLEQMELVYELIKDHVNARRLTLIRAMICAYCKSSIPERVQKIEALMRLIPEKEYRPWLNVLLIKLYAEEDRLEGMENSINEAFNHRITISTVSIMQAIITSYFRCNAVDKLTDFIKRATYARWRIIRPLFHCKMVMYGTQKRLDEMESVLIEMENCNLPRTKKTFWILYKAYLNCGQWYKVEQVAGLMCKHGYGNPFDTSPL >Potri.016G119600.3.v4.1 pep chromosome:Pop_tri_v4:16:12451654:12455386:1 gene:Potri.016G119600.v4.1 transcript:Potri.016G119600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119600.v4.1 MKRRFFKFSDAELICLRRPSPSPTPRTITTSPSSSILAKPPFYRFFQSSPPSNSASASAKHLISNVIGLFVHKLTINDNSGSNNNNNDIKSKERKDPTNKISSLRNNNNDLKSKKREDLVNKISSLRDELLQNVDMVFQILEETKKDDPSLLTNSSAFLELLKLLLLSSPKVALKIFNWKRTQAENDTPMTAAEYAKGIMIAGTDKNVDLAVEIFDEAIKKCIKTTSMYNALMTACMCNGLAGKCESLFREMKRDVKCRPSVVTYNILVSVFGRLMLVDKMEAIFKEMEDSRISPNLTTYNNLISGYVTVWMWDSMEKTFQMMIAGPVKPDLNTHLLMLRGYAHSGHLEQMELVYELIKDHVNARRLTLIRAMICAYCKSSIPERVQKIEALMRLIPEKEYRPWLNVLLIKLYAEEDRLEGMENSINEAFNHRITISTVSIMQAIITSYFRCNAVDKLTDFIKRATYARWRIIRPLFHCKMVMYGTQKRLDEMESVLIEMENCNLPRTKKTFWILYKAYLNCGQWYKVEQVAGLMCKHGYGNPFDTSPL >Potri.016G119600.4.v4.1 pep chromosome:Pop_tri_v4:16:12451649:12455385:1 gene:Potri.016G119600.v4.1 transcript:Potri.016G119600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119600.v4.1 MKRRFFKFSDAELICLRRPSPSPTPRTITTSPSSSILAKPPFYRFFQSSPPSNSASASAKHLISNVIGLFVHKLTINDNSGSNNNNNDIKSKERKDPTNKISSLRNNNNDLKSKKREDLVNKISSLRDELLQNVDMVFQILEETKKDDPSLLTNSSAFLELLKLLLLSSPKVALKIFNWKRTQAENDTPMTAAEYAKGIMIAGTDKNVDLAVEIFDEAIKKCIKTTSMYNALMTACMCNGLAGKCESLFREMKRDVKCRPSVVTYNILVSVFGRLMLVDKMEAIFKEMEDSRISPNLTTYNNLISGYVTVWMWDSMEKTFQMMIAGPVKPDLNTHLLMLRGYAHSGHLEQMELVYELIKDHVNARRLTLIRAMICAYCKSSIPERVQKIEALMRLIPEKEYRPWLNVLLIKLYAEEDRLEGMENSINEAFNHRITISTVSIMQAIITSYFRCNAVDKLTDFIKRATYARWRIIRPLFHCKMVMYGTQKRLDEMESVLIEMENCNLPRTKKTFWILYKAYLNCGQWYKVEQVAGLMCKHGYGNPFDTSPL >Potri.016G119600.5.v4.1 pep chromosome:Pop_tri_v4:16:12451712:12454928:1 gene:Potri.016G119600.v4.1 transcript:Potri.016G119600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119600.v4.1 MTAAEYAKGIMIAGTDKNVDLAVEIFDEAIKKCIKTTSMYNALMTACMCNGLAGKCESLFREMKRDVKCRPSVVTYNILVSVFGRLMLVDKMEAIFKEMEDSRISPNLTTYNNLISGYVTVWMWDSMEKTFQMMIAGPVKPDLNTHLLMLRGYAHSGHLEQMELVYELIKDHVNARRLTLIRAMICAYCKSSIPERVQKIEALMRLIPEKEYRPWLNVLLIKLYAEEDRLEGMENSINEAFNHRITISTVSIMQAIITSYFRCNAVDKLTDFIKRATYARWRIIRPLFHCKMVMYGTQKRLDEMESVLIEMENCNLPRTKKTFWILYKAYLNCGQWYKVEQVAGLMCKHGYGNPFDTSPL >Potri.016G119600.1.v4.1 pep chromosome:Pop_tri_v4:16:12451628:12455528:1 gene:Potri.016G119600.v4.1 transcript:Potri.016G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G119600.v4.1 MKRRFFKFSDAELICLRRPSPSPTPRTITTSPSSSILAKPPFYRFFQSSPPSNSASASAKHLISNVIGLFVHKLTINDNSGSNNNNNDIKSKERKDPTNKISSLRNNNNDLKSKKREDLVNKISSLRDELLQNVDMVFQILEETKKDDPSLLTNSSAFLELLKLLLLSSPKVALKIFNWKRTQAENDTPMTAAEYAKGIMIAGTDKNVDLAVEIFDEAIKKCIKTTSMYNALMTACMCNGLAGKCESLFREMKRDVKCRPSVVTYNILVSVFGRLMLVDKMEAIFKEMEDSRISPNLTTYNNLISGYVTVWMWDSMEKTFQMMIAGPVKPDLNTHLLMLRGYAHSGHLEQMELVYELIKDHVNARRLTLIRAMICAYCKSSIPERVQKIEALMRLIPEKEYRPWLNVLLIKLYAEEDRLEGMENSINEAFNHRITISTVSIMQAIITSYFRCNAVDKLTDFIKRATYARWRIIRPLFHCKMVMYGTQKRLDEMESVLIEMENCNLPRTKKTFWILYKAYLNCGQWYKVEQVAGLMCKHGYGNPFDTSPL >Potri.012G099600.2.v4.1 pep chromosome:Pop_tri_v4:12:12267215:12273489:-1 gene:Potri.012G099600.v4.1 transcript:Potri.012G099600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099600.v4.1 MASQGSSTRKSMSSSSFHGRKKANEGGGGPDASRKAITASRSMGLTGERTVKRLRLSKALTVPESTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDLASRVIAPEVNLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSISVPNTFIETLRERMFRPALSTIIPENSKVVTVSPSETVLVVTKKMLESRSGCAVVTVDEKPRGIFTSKDILMRVIAQNLPPDSTLVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGNIVAVIDVIHITHAAVATVGNATGANNETASTMMQKFWDSAMAMSPNDDEDETRSEGSLKIASEGTETARSLLYPSSGLPNNFSFKIEDKKGRMHRFTCETRSLTDLITSILQRLGDDINRNNLPQILYEDEDHDKVVLASDSDLTTAVEHARSAGLKGLRLHLDYTGTRRRRGSSSESLDYAQADAWASAYSAVAAGAALVAGLGVLAYLRRSGY >Potri.012G099600.3.v4.1 pep chromosome:Pop_tri_v4:12:12267216:12273570:-1 gene:Potri.012G099600.v4.1 transcript:Potri.012G099600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G099600.v4.1 MMASQGSSTRKSMSSSSFHGRKKANEGGGGPDASRKAITASRSMGLTGERTVKRLRLSKALTVPESTSIYEACRRMAARRVDALLLTDSNALLCGILTDKDLASRVIAPEVNLEETPVSKVMTRNPVFVLSDTLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSISVPNTFIETLRERMFRPALSTIIPENSKVVTVSPSETVLVVTKKMLESRSGCAVVTVDEKPRGIFTSKDILMRVIAQNLPPDSTLVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVVDRDGNIVAVIDVIHITHAAVATVGNATGANNETASTMMQKFWDSAMAMSPNDDEDETRSEGSLKIASEGTETARSLLYPSSGLPNNFSFKIEDKKGRMHRFTCETRSLTDLITSILQRLGDDINRNNLPQILYEDEDHDKVVLASDSDLTTAVEHARSAGLKGLRLHLDYTGTRRRRGSSSESLDYAQADAWASAYSAVAAGAALVAGLGVLAYLRRSGY >Potri.017G143880.1.v4.1 pep chromosome:Pop_tri_v4:17:14417693:14420707:1 gene:Potri.017G143880.v4.1 transcript:Potri.017G143880.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G143880.v4.1 MGKSVLLLCGDYMEDHEAMVPFQALQAFGIAVDAACPGKKAGDICRTAIHDSAGYQTYTESRGHNFTLNATFDEVDFGKYDGLVIPGGRAPEYLAMNESVLDCVRKFSDSGRPIASVCHGQLILAAANSVKGRKCTAYPAVKPVLIDAGAHWVEPETMKACVADGNIITGATYEGHPEFIQLFVRALGGKITGSDKKILFLCGDFMEDYEVTVPFQSLEALGCHVDAVCPKKKAGDTCPTAVHDFEGDQTYSEKPGHSFTLTASFEGLDASNYDALVIPGGRAPEYLALDETVIALVKEFMHSKKPVASICHGQQILAAAGVLKGRKCTAYPAVKLNVVLGGATWLEPDPIDRCYTDENLVTGAAWPGHPQFVSQLMALLGIRVSF >Potri.008G085600.1.v4.1 pep chromosome:Pop_tri_v4:8:5351475:5352886:1 gene:Potri.008G085600.v4.1 transcript:Potri.008G085600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G085600.v4.1 MFLTEKPNYPSFFDSFNKEKKKKKKEFDLISQSFDESILRRLNTLCNSHSSVTINLSWLSSALAFLSFTHNQAITLLSNPKLTDSLSFYLDDSVKLLDICNSIASEIERLRHRRLLLKFALHLFNNNSNNNSEDAEKLRRARASLTDWDNNLKGYRYYSSKNLEHLVIDLALMLKEVPRCKISSDERIVRRTIHSVGLVTVFVAGVVVAALRGSTELGVTVRAPSEFLWADSFNLLNSAISAELARPGKKRHLLEELDDVEARLMEVIGVMDEAGGEKGESLNGAVKELERVTETLGEGLERLSNGVNEVFNTVMSSRKEMLERMRVGQQKQQTK >Potri.004G041700.1.v4.1 pep chromosome:Pop_tri_v4:4:3323166:3326460:-1 gene:Potri.004G041700.v4.1 transcript:Potri.004G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041700.v4.1 MLPEQWTQPCGNQCTQKFSALTQIPWRVFCKKGCGTDGETWEDCLDECNEICYKDPVLKDRQWTAYIDRSPGSASYSEECFKACKAGCGYKFEIHPGEVDKARPNRPCNPPPSEKPPPVQKRPPQAVKHGEPTEDVPCTSA >Potri.015G065100.1.v4.1 pep chromosome:Pop_tri_v4:15:9050636:9051720:1 gene:Potri.015G065100.v4.1 transcript:Potri.015G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065100.v4.1 MAVRAPLITSAAYLRGTNGPHFLTSKSRFGLQSPTKQLALTIVAMAPQKKVNKYDGNWKKQWYGAGIFYEDSEEVEFDVFKKLEKRKVLSNVEKAGLLSKAEELGVTLSSIEKLGVFSKAEQLGLLSLLEKTASVSPSTLASAALPILVAAVVAIVVIPDDSAGLVAAQAVLAGALGVGAAGLLVGSFVLGGLQEAD >Potri.003G159000.6.v4.1 pep chromosome:Pop_tri_v4:3:16945821:16948404:-1 gene:Potri.003G159000.v4.1 transcript:Potri.003G159000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159000.v4.1 MSDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALSELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWESLDINRYQLKNSATGEIVIKHLNKEQETNQDNFRDAETKAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDDLSDGDVYDDSE >Potri.003G159000.3.v4.1 pep chromosome:Pop_tri_v4:3:16945676:16948424:-1 gene:Potri.003G159000.v4.1 transcript:Potri.003G159000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159000.v4.1 MSDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALSELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWESLDINRYQLKNSATGEIVIKHLNKEQETNQDNFRDAETKAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDDLSDGDVYDDSE >Potri.003G159000.5.v4.1 pep chromosome:Pop_tri_v4:3:16945817:16948346:-1 gene:Potri.003G159000.v4.1 transcript:Potri.003G159000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G159000.v4.1 MLSLQVNLSVLKHATMSDGHETDKNIEIWKIKKLIKALEAARGNGTSMISLIMPPRDQISRVTKMLGDEFGTASNIKSRVNRQSVLGAITSAQQRLKLYNKVPPNGLVLYTGTIVTEDGKEKKVTIDFEPFRPINASLYLCDNKFHTEALSELLESDDKFGFIVMDGNGTLFGTLSGNTREVLHKFTVDLPKKHGRGGQSALRFARLRMEKRHNYVRKTAELATQFYINPATSQPNVSGLILAGSADFKTELSQSDMFDPRLQAKILNVVDVSYGGENGFNQAIELSAEILSNVKFIQEKRLIGKYFEEISQDTGKYVFGVDDTLKALEMGAVETLIVWESLDINRYQLKNSATGEIVIKHLNKEQETNQDNFRDAETKAELEVQDKMPLLEWFANEYKRFGCTLEFVTNKSQEGSQFCRGFGGIGGILRYQLDMRTFDDLSDGDVYDDSE >Potri.001G440500.1.v4.1 pep chromosome:Pop_tri_v4:1:46618327:46619016:-1 gene:Potri.001G440500.v4.1 transcript:Potri.001G440500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440500.v4.1 MASKHGLLLVSLAFLLLCSSHYCSASGRLLNPMKPTSIEFGIDPPYLLKPTFPLPEDQETQTPPGSILPALPMPLQQPIMTPPPPAPFPPGQDEAGLIPAFPFPHLPSLPKFPPFPFIPGMPSVPALPSVPLAPQFVDSGFTSPGIGDEGSP >Potri.001G016900.1.v4.1 pep chromosome:Pop_tri_v4:1:1249093:1249870:-1 gene:Potri.001G016900.v4.1 transcript:Potri.001G016900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G016900.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPATGGVKKPHRFRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSSAVAALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.001G327500.5.v4.1 pep chromosome:Pop_tri_v4:1:33655400:33659162:1 gene:Potri.001G327500.v4.1 transcript:Potri.001G327500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G327500.v4.1 MRVLDWMHHRSKKSNVEPFKDFLTGSYCTCLSAQSPIDDHVSYTGPSFNSRYGPRLLKQPNEECENTFSENEGVDENNGDETSIISSDLFHGFLTIGTLGSEPITSEPATPTFPMSSESITEENIEVTENELKIMNNELEKFLEAEAEEEGCNESLARSSYVSTITLSGMQMEATDAEDYGKTVACPLQGYLFGSSVELPETRVGVKKEKVSLGEMFRMTKVTDEIPSEKEVKGEMQAKKAHKSAKYLIKKILGMFCTTSGNPTPSTSDEASNSVSTKKTLNKVLKVFHRKVHPENPLAEREFTKSHKDKTMNTLNDGGYNAELTNQVKEKRKFLPGYKSREGVQCHKNSLKLPQHDHICSNSSANGEYWIKTDADYLVLEL >Potri.005G057750.2.v4.1 pep chromosome:Pop_tri_v4:5:3632730:3633992:1 gene:Potri.005G057750.v4.1 transcript:Potri.005G057750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G057750.v4.1 MKLIQPPPPEPLSSTNCHSNNNRLRRRPDLTLPLPLRDSHSDEANRLWARVSDLGFFSHNLITNPWLSEHLSCLLILLLPLFLSLLWNSSGFFGRKKKSSFFYHLG >Potri.004G080501.1.v4.1 pep chromosome:Pop_tri_v4:4:6625504:6625959:-1 gene:Potri.004G080501.v4.1 transcript:Potri.004G080501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080501.v4.1 MSSTSFRERFLDSLNARNGGFNKNEHITQVNEKALEGHYELEEEENPQVLFYKNLWLEAEAALRSMKYKASVLGMKTEMEKIKMAIR >Potri.003G075900.1.v4.1 pep chromosome:Pop_tri_v4:3:10335574:10337343:-1 gene:Potri.003G075900.v4.1 transcript:Potri.003G075900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075900.v4.1 MAFSRSALISRLLRLNHPRPAVTSARSFHATTCTLSAPPKDDPADSTQSLEQNEDMETLAEKASRMISDMKEKIKSINPDFQFPNFDNREDFESLTKTAHRMVSDMRKKIKADHPEVSPKFWEVLYWHPFQDEGNQLPYDVKVEDDCWYGRVDMPGIGSKGVRVWFENNTLHFKGEEKDKGPFHGARNYSGKFNIPASEYQIDKISAVMNDGVLNIVIPKIDGAL >Potri.003G075900.3.v4.1 pep chromosome:Pop_tri_v4:3:10335631:10337342:-1 gene:Potri.003G075900.v4.1 transcript:Potri.003G075900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G075900.v4.1 MAFSRSALISRLLRLNHPRPAVTSARSFHATTCTLSAPPKDDPADSTQSLEQNEDMETLAEKASRMISDMKEKIKSINPDFESLTKTAHRMVSDMRKKIKADHPEVSPKFWEVLYWHPFQDEGNQLPYDVKVEDDCWYGRVDMPGIGSKGVRVWFENNTLHFKGEEKDKGPFHGARNYSGKFNIPASEYQIDKISAVMNDGVLNIVIPKIDGAL >Potri.015G077300.10.v4.1 pep chromosome:Pop_tri_v4:15:10346099:10359116:-1 gene:Potri.015G077300.v4.1 transcript:Potri.015G077300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077300.v4.1 MAGLKEDPILGIDGITIKEELEIGKPEIDRSREDHPVLETGGAVIKGESLINNRSVIDKVREEGSCGQGERDMVPPISNSIHRSGSRPQLDLSKAAIEGTFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHQDRPTNDKRKKTGKERFGIANGYRRSYPILGGRLHFVKFETSKINECLDFISSKQLHCGGMDSHSWHSETSPNGNAVIKATGGGAYKFADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYNKIGLSASTIASSFGKTISEKKELADYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVQKGTEITAPVPMDPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFSQLADPKMYEPNTIDLSDHNELEYWFTVLSEHLSDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDVYRSIKQRENEASLAVLPDLLAELDSMTEETRLLTLIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMLGSGDKKPRPHKRALLFVDNSGADVVLGMLPLARELLQRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTSDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIKGNIYDCVCRYEPAS >Potri.015G077300.11.v4.1 pep chromosome:Pop_tri_v4:15:10345855:10358825:-1 gene:Potri.015G077300.v4.1 transcript:Potri.015G077300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077300.v4.1 MAGLKEDPILGIDGITIKEELEIGKPEIDRSREDHPVLETGGAVIKGESLINNRSVIDKVREEGSCGQGERDMVPPISNSIHRSGSRPQLDLSKAAIEGTFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHQDRPTNDKRKKTGKERFGIANGYRRSYPILGGRLHFVKFETSKINECLDFISSKQLHCGGMDSHSWHSETSPNGNAVIKATGGGAYKFADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYNKIGLSASTIASSFGKTISEKKELADYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVQKGTEITAPVPMDPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFSQLADPKMYEPNTIDLSDHNELEYWFTVLSEHLSDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDVYRSIKQRENEASLAVLPDLLAELDSMTEETRLLTLIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMLGSGDKKPRPHKRALLFVDNSGADVVLGMLPLARELLQRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTSDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIKGNIYDCVCRYEPAS >Potri.015G077300.3.v4.1 pep chromosome:Pop_tri_v4:15:10345943:10359305:-1 gene:Potri.015G077300.v4.1 transcript:Potri.015G077300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G077300.v4.1 MAGLKEDPILGIDGITIKEELEIGKPEIDRSREDHPVLETGGAVIKGESLINNRSVIDKVREEGSCGQGERDMVPPISNSIHRSGSRPQLDLSKAAIEGTFEERDPTILLPNQSDDISHLALDIGGSLIKLVYFSRHQDRPTNDKRKKTGKERFGIANGYRRSYPILGGRLHFVKFETSKINECLDFISSKQLHCGGMDSHSWHSETSPNGNAVIKATGGGAYKFADLFKERLGVSLDKEDEMDCLVAGANFLLKAIRHEAFTHMEGQKEFVQIDQNDLFPYLLVNIGSGVSMIKVDGDGKFERVSGTNVGGGTYWGLGRLLTKCKSFDELLELSQRGDNRTIDMLVGDIYGGMDYNKIGLSASTIASSFGKTISEKKELADYRPEDISLSLLRMISYNIGQISYLNALRFGLKRIFFGGFFIRGHAYTMDTISFAVHFWSKGEAQAMFLRHEGFLGALGAFMSYEKHGLDDLMVHQLVERFPMGAPYTGGKIHGPPLGDLNEKISWMEKFVQKGTEITAPVPMDPPGTTGLGGFEVPSSKGGTLRSDASALNVGVLHLVPTLEVFSQLADPKMYEPNTIDLSDHNELEYWFTVLSEHLSDLVDKAVASEGGTDDAKRRGDAFARAFSAHLARLMEEPAAYGKLGLANLLELREECLREFQFVDVYRSIKQRENEASLAVLPDLLAELDSMTEETRLLTLIEGVLAANIFDWGSRACVELYHKGTIIEIYRMSRNKMQRPWRVDDFDVFKERMLGSGDKKPRPHKRALLFVDNSGADVVLGMLPLARELLQRGTEVVLVANSLPALNDVTAMELPDIVAEAAKHCDILRRAAEAGGLLVDAMINTSDGSKENSSSVPLMVVENGCGSPCIDLRQVSSELAAAAKDADLIILEGMGRALHTNFNARFKCEALKLAMVKNQRLAEKLIKGNIYDCVCRYEPAS >Potri.019G070565.1.v4.1 pep chromosome:Pop_tri_v4:19:11148332:11153005:1 gene:Potri.019G070565.v4.1 transcript:Potri.019G070565.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070565.v4.1 MGGIGKTTIAGAFYDSFSSQYERHHFLQNIRQESEKGRLNDLRDELLSKLLEEQNLRVGTPHIPTFIRDRLCQKKVLLVLDDVNDVRQFQHLIEERSFGEGSVVVITSRDKQVLKNVVDEIYEVEELNSHEALQLFSLNAFKGNQPPKAYMELSITAINYAKGNPLALQVLGSFLFGRERYFWESQLNEIESFPELNIYDLLRIGFDALRDHNTKSIFLDIACFFRGHRVDFVKRILDGCGFKTDIGFSVLIDRCLIKISDDKIEMHDLLQEMAHEVVRKESLDELGRQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVTKIREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPRGLEYLSEELRYLHWDGYPLTSLPSKFCPQNLVELSLSSSKVKQLWRGQQNLVNLKDVNLSNCEHITSLPDLSTARNLERLNLQFCTSLDKVPSSIQHLDKLNDLDLRGCKRLINLPSRFNSSFLETLNLSGCSNIKKCPETARKLTYLNLNETAVEELPQSIGEQSGLVALNLKNCKHLVNLPENIYLLKSLLIADFSGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGGLRELIYLDLVGCNWLKNLPSAVSKLGCLKKLDLSGCSSITEFPKVSNTIKELYLNGTAIREIPSSIECLFDLAELHLRNCKQFEILPSSICKLRKLERLNLSGCLQFRNFPEVLEPMVFLRYLYLEQTRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLPKRCVDLDCLRKLNLDGCSLSEVPDSLGRLSSLEVLDLSGNDFKTIPISINKLLELQYLGLRNCKRLESLPELPPQLSKLDADNCESLNYLESSSSTVVEGNIFEFIFTNCMRLLETNQILAYSLLKFQLYTKRLYHQLPDVPEGACSFCLPGVVTPKWFSHQSWGSTVTFQLSSYWANSKFLGFSLCAVIAFDSFNHSLQVKCTYHFHNEHGDSHDFYCYLHGWYDEKLISSDHIFVGFDPCLDAKKKDMFSEYNEVSVKFQLEDMNGNFLPLDLCQVLECGVRLLYEDGIHQFDLIMPGFSRFHPLDRDGLEARFQAKRARSQGMRRDYSVMHTTSEFLAYLQEPNFSKRIPSSCLPEDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFCLCAVIAFYSFDFELQVKCTYHFRNEHGISHDLYCYLHDEFEERRINSENIVMRFDPCLVAKEKDMFSIYSEVSIEFQLEDMDGNLLPLDLCQVVECGVRLLHANDGLEAMHQAKRERFYDLDMRWEDYFGVVIRGRKKRRHN >Potri.019G070565.2.v4.1 pep chromosome:Pop_tri_v4:19:11148332:11153005:1 gene:Potri.019G070565.v4.1 transcript:Potri.019G070565.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G070565.v4.1 MHDLLQEMAHEVVRKESLDELGRQSRLWSPKDVYQVLTNNLGTGKVEGIFLDVTKIREIELSSTALERMYKLRLLKIYNSEAGVKCRVHLPRGLEYLSEELRYLHWDGYPLTSLPSKFCPQNLVELSLSSSKVKQLWRGQQNLVNLKDVNLSNCEHITSLPDLSTARNLERLNLQFCTSLDKVPSSIQHLDKLNDLDLRGCKRLINLPSRFNSSFLETLNLSGCSNIKKCPETARKLTYLNLNETAVEELPQSIGEQSGLVALNLKNCKHLVNLPENIYLLKSLLIADFSGCSSISRLPDFSRNIRYLYLNGTAIEELPSSIGGLRELIYLDLVGCNWLKNLPSAVSKLGCLKKLDLSGCSSITEFPKVSNTIKELYLNGTAIREIPSSIECLFDLAELHLRNCKQFEILPSSICKLRKLERLNLSGCLQFRNFPEVLEPMVFLRYLYLEQTRITKLPSPIGNLKGLACLEVGNCKYLNDIECFVDLQLPKRCVDLDCLRKLNLDGCSLSEVPDSLGRLSSLEVLDLSGNDFKTIPISINKLLELQYLGLRNCKRLESLPELPPQLSKLDADNCESLNYLESSSSTVVEGNIFEFIFTNCMRLLETNQILAYSLLKFQLYTKRLYHQLPDVPEGACSFCLPGVVTPKWFSHQSWGSTVTFQLSSYWANSKFLGFSLCAVIAFDSFNHSLQVKCTYHFHNEHGDSHDFYCYLHGWYDEKLISSDHIFVGFDPCLDAKKKDMFSEYNEVSVKFQLEDMNGNFLPLDLCQVLECGVRLLYEDGIHQFDLIMPGFSRFHPLDRDGLEARFQAKRARSQGMRRDYSVMHTTSEFLAYLQEPNFSKRIPSSCLPEDVTPEWFSHQSWGSTVTCQLSSHWANSEFLGFCLCAVIAFYSFDFELQVKCTYHFRNEHGISHDLYCYLHDEFEERRINSENIVMRFDPCLVAKEKDMFSIYSEVSIEFQLEDMDGNLLPLDLCQVVECGVRLLHANDGLEAMHQAKRERFYDLDMRWEDYFGVVIRGRKKRRHN >Potri.014G148800.1.v4.1 pep chromosome:Pop_tri_v4:14:10205541:10220428:-1 gene:Potri.014G148800.v4.1 transcript:Potri.014G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148800.v4.1 MAPPKVLMIAEKPSIALSIASTISGGQMHSRKGTTEVHEFEGMFNGFRAYFKVTSVIGHVFSVDFPAKYQDWAATDPADLFQAPIHKAEANPKAHICRHLSQEARGCNHLVLWLDCDREGENICFEVIQCTGFQANDDRRKVYRARFSSVTEKDILKAMNCLVEPNEYEALAVDARQEIDLKVGVAFTRFQTSFFQGKYGNLDSRVISYGPCQTPTLGFCVQRYLQITTFKPEKFWALRPHVMVDGYELQLEWERHKLFDLDVALMFQKLVLQDRILEVTDMSEKQESKGRPPGLNTVNLLKIASSALGFGPQVTMQLAERLYIQGFISYPRTESTAYPSSFDFKGTLGALANNPMWGDYVQGLLSGGYHKPQSGKDAGDHPPITPMRSANEDMLGRDAWRLYQYVCQHFLGTVSPDCKYTRTKVEFSIGGEFFHCIGLHVTVKGFTSIMPWLAVSEKNLPQFAKGDKIEVSKVELFEGQTVPPDYLSESELISLMEKNGIGTDASISVHINNICERNYVQVQAGRKLVPTALGITLIRGYQCIDPDLCLPDIRGFIEQQITLVAKGEADHSCVVQHVLEQFKRKYSYFVKQIDNMDALFEAQFSPLADSGRAFSKCGKCLRYMKYISSQPSRLYCGTCEEVYYLPQKGTIKLYKELTCPLDNFELLIFAMAGPEGKSFPLCPLCYNSPPFEGIDALFGASKTGSSGMLGKGAGMPCFLCPHPTCRHSLIAQGVCACPECNGTLVLDPISAPKWRLYCNMCNCLVLLPQGAHRISTTREQCPECDSTIIEVDFNKNTTPLEDGATLHVGCILCDNLLHSLVEVKHGKSFFKRAGKWARARGRGAYRGRGRGLGKMDPKMSFRDF >Potri.004G196200.1.v4.1 pep chromosome:Pop_tri_v4:4:20847569:20853296:-1 gene:Potri.004G196200.v4.1 transcript:Potri.004G196200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196200.v4.1 MKNIPLLLMGCGGVGRQLLQHIVSCRSLHAQQGVHLRVVGVCDSKSLVFASDVITRELNDQTLSEVCRFKSNGSSLSALGDLGECIVNGNTESKRKVMDIAALLGKATGLAFVDCSASSETIRMLNQVVDMGCCVVLANKKPLTSTMEDYDKLVSYPRRIRHESTVGAGLPVIASLNRLLSSGDPVHRIIGSLSGTLGYVMSEVEDGKPFSEVVKVAKNLGFTEPDPRDDLSGMDVARKALILARLLGRRINLDSIKIESLYPDEMGPDAMSVEEFLGSGIVSLDNDVQERVKRASLNGNVLRYVCVIEGSSRCEVGIQELPKDSPLGRLRGSDNVLEIYSRCYNKQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >Potri.004G196200.2.v4.1 pep chromosome:Pop_tri_v4:4:20847553:20853271:-1 gene:Potri.004G196200.v4.1 transcript:Potri.004G196200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196200.v4.1 MKNIPLLLMGCGGVGRQLLQHIVSCRSLHAQQGVHLRVVGVCDSKSLVFASDVITRELNDQTLSEVCRFKSNGSSLSALGDLGECIVNGNTESKRKVMDIAALLGKATGLAFVDCSASSETIRMLNQVVDMGCCVVLANKKPLTSTMEDYDKLVSYPRRIRHESTVGAGLPVIASLNRLLSSGDPVHRIIGSLSGTLGYVMSEVEDGKPFSEVVKVAKNLGFTEPDPRDDLSGMDVARKALILARLLGRRINLDSIKIESLYPDEMGPDAMSVEEFLGSGIVSLDNDVQERVKRASLNGNVLRYVCVIEGSRCEVGIQELPKDSPLGRLRGSDNVLEIYSRCYNKQPLVIQGAGAGNDTTAAGVLADILDIQDLFP >Potri.009G152000.2.v4.1 pep chromosome:Pop_tri_v4:9:11966822:11967906:-1 gene:Potri.009G152000.v4.1 transcript:Potri.009G152000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152000.v4.1 MYCTINSFSNNIPTHPKPIQKTWYTTFPGIPQLGARLPSRRQETWVPVLISSQEKTTVQGDNADTGSWLGKIALSNSLFLSSHISRFQNCLRTTISKFIDHRPPLHLSVDPCQVFTGNFAPVDELEPTNCTVVEGELPGCLNGVYIRNGSNPQHIPNGPLHFFEGDGMLHSLKLSGGQATHCSRYVKTYKYMLEKEAGFPIFPNILSGFYSLPDVLAYVMVAGRVLCGQINLMRKRHACLWFVSKKKKIRKKLIKNIYIKKQTKGISLFIIDYTIQSIVKNIKITKKKSLISPI >Potri.006G055900.1.v4.1 pep chromosome:Pop_tri_v4:6:3955587:3956069:-1 gene:Potri.006G055900.v4.1 transcript:Potri.006G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055900.v4.1 MAATQVTLSRAFLLLLVIVTFAVVSAQESEMAPAPAPMDAGAGFSLPVSGAIVGFSLVVSLLGFLKH >Potri.003G117000.2.v4.1 pep chromosome:Pop_tri_v4:3:13918626:13927151:-1 gene:Potri.003G117000.v4.1 transcript:Potri.003G117000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117000.v4.1 MATTAGVYRNGNYSRNSLSTNNNKVEKPLSVNSNPKNSLKSKSLPGSGLRKSAPPSLGAAKDDTGVPGRVRVAVRLRPRNEEEMVADADFADCVELQPEVKRLKLRKNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVMDGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADVSLETDSVSVSYLQLYMETIQDLLDPTNDNISIVEDPKSGDVSLPGASLVEIRNQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSVKGRDSILSNENGNNSHMAKSLKPPVVRKGKLVVVDLAGSERIDKSGSEGHALEEAKSINLSLSALGKCINALAENSAHVPIRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETTSTIMFGQRAMKVENMLKLKEEFDYKSLSRRLDIQLEKLIAEHEKQQKAFENEIERVTTEAKHQILESERNYAVEMEKERLNYQKEYMESIKKLEEKWMMNQQKHAGGENAAGLKDESSNWISNGEDSRVANMEELSEMKKKLQKETLLRKAAEEEVNKLKSQLAELKKSEALAKSDMSKLQKMLEDEACQKEKLEGEIAILHSQLLQISFEADETARRIDKGGSEKVPGSLDSLLSQVRQPQTNDLGNGEKSSIAKLFEQVGLQRILSLLEAEDVDVRIHAVKVVANLAAEETNQEKIVEAGGLKSLLMLLRSSEDETIHRVAAGAIANLAMNETNQELIMSQGGIRLLSMTAGSAEDPQTLRMVAGAIANLCGNDKLQMKLRGEGGIKALLGMVRCRHPDVLAQVARGIANFAKCESRASTQGTKNGRSLLIEDGVLPWIVQNANNEASPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRTLAHRTLTSSPTFQAEMRRLGIDY >Potri.003G117000.5.v4.1 pep chromosome:Pop_tri_v4:3:13918609:13926321:-1 gene:Potri.003G117000.v4.1 transcript:Potri.003G117000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117000.v4.1 MDGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADVSLETDSVSVSYLQLYMETIQDLLDPTNDNISIVEDPKSGDVSLPGASLVEIRNQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSVKGRDSILSNENGNNSHMAKSLKPPVVRKGKLVVVDLAGSERIDKSGSEGHALEEAKSINLSLSALGKCINALAENSAHVPIRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETTSTIMFGQRAMKVENMLKLKEEFDYKSLSRRLDIQLEKLIAEHEKQQKAFENEIERVTTEAKHQILESERNYAVEMEKERLNYQKEYMESIKKLEEKWMMNQQKHAGGENAAGLKDESSNWISNGEDSRVANMEELSEMKKKLQKETLLRKAAEEEVNKLKSQLAELKKSEALAKSDMSKLQKMLEDEACQKEKLEGEIAILHSQLLQISFEADETARRIDKGGSEKVPGSLDSLLSQVRQPQTNDLGNGEKSSIAKLFEQVGLQRILSLLEAEDVDVRIHAVKVVANLAAEETNQEKIVEAGGLKSLLMLLRSSEDETIHRVAAGAIANLAMNETNQELIMSQGGIRLLSMTAGSAEDPQTLRMVAGAIANLCGNDKLQMKLRGEGGIKALLGMVRCRHPDVLAQVARGIANFAKCESRASTQGTKNGRSLLIEDGVLPWIVQNANNEASPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRTLAHRTLTSSPTFQAEMRRLGIDY >Potri.003G117000.4.v4.1 pep chromosome:Pop_tri_v4:3:13918615:13926534:-1 gene:Potri.003G117000.v4.1 transcript:Potri.003G117000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G117000.v4.1 MVADADFADCVELQPEVKRLKLRKNNWDSDTYEFDEVLTEFASQKRVYEVVAKPVVESVMDGYNGTVMAYGQTGTGKTYTLGRLGEEDTAARGIMVRAMEDILADVSLETDSVSVSYLQLYMETIQDLLDPTNDNISIVEDPKSGDVSLPGASLVEIRNQQSFVELLRLGEAHRFAANTKLNTESSRSHAILMVHVKRSVKGRDSILSNENGNNSHMAKSLKPPVVRKGKLVVVDLAGSERIDKSGSEGHALEEAKSINLSLSALGKCINALAENSAHVPIRDSKLTRLLRDSFGGTARTSLVITIGPSPRHRGETTSTIMFGQRAMKVENMLKLKEEFDYKSLSRRLDIQLEKLIAEHEKQQKAFENEIERVTTEAKHQILESERNYAVEMEKERLNYQKEYMESIKKLEEKWMMNQQKHAGGENAAGLKDESSNWISNGEDSRVANMEELSEMKKKLQKETLLRKAAEEEVNKLKSQLAELKKSEALAKSDMSKLQKMLEDEACQKEKLEGEIAILHSQLLQISFEADETARRIDKGGSEKVPGSLDSLLSQVRQPQTNDLGNGEKSSIAKLFEQVGLQRILSLLEAEDVDVRIHAVKVVANLAAEETNQEKIVEAGGLKSLLMLLRSSEDETIHRVAAGAIANLAMNETNQELIMSQGGIRLLSMTAGSAEDPQTLRMVAGAIANLCGNDKLQMKLRGEGGIKALLGMVRCRHPDVLAQVARGIANFAKCESRASTQGTKNGRSLLIEDGVLPWIVQNANNEASPIRRHIELALCHLAQHEVNAKDMISGGALWELVRISRDCSREDIRTLAHRTLTSSPTFQAEMRRLGIDY >Potri.011G089100.1.v4.1 pep chromosome:Pop_tri_v4:11:11431543:11432771:-1 gene:Potri.011G089100.v4.1 transcript:Potri.011G089100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G089100.v4.1 MINLLFTVVFTEMALILILLFRTPVRKLVIMAIDQLKQGKGPLVAKTVATTLIVVFTSVLHNALQIRKRLLDAGAVNSADEVLMVERILEASLLGFSLFLAMMIDRLHCYIKELLQVRNELEAVKRLN >Potri.006G159300.1.v4.1 pep chromosome:Pop_tri_v4:6:14275698:14277033:1 gene:Potri.006G159300.v4.1 transcript:Potri.006G159300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G159300.v4.1 MCHPGVLSVSQRNLVVYQRRLVLQAVETETSHARTEVAAGGGSAISRSINKCLCSPTRHPGSFRCRHHRSDYVWSGRITRKKQLLEKV >Potri.015G019000.2.v4.1 pep chromosome:Pop_tri_v4:15:1321226:1323246:-1 gene:Potri.015G019000.v4.1 transcript:Potri.015G019000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G019000.v4.1 MSIALNIEGSSHSPSHSPSHSTDHAWLQSLMTESRAGNSNQVNELEVPRVPSEFRKMKENTDCYEPLVVSIGPYHHGKKELKEMEKLKAEMAGQFVKDRRVTAEEMYSKVKELVTDARKCYAEESTRQFNDEKFTQMMFLDGYFILHVVSHKLAKQNLRKEEVASVRRDLFLLENQLPFRVLIPLMRLYKRKGDHIAVEDTSSSKHSSSPESEDQNWMKILENFSKDIHEIPPQRELCWKKISLFFSELPRALKLRSKSPISKDTAFGLDPYDHLLEFFYFMFVYGKLGQHLPERRRYGEITSWNRYYSVNELKNVGISFMPSNTRVFTDVKFKNTLLGGALCIPPLSIEDSTKSLLLNLAAYETCAGWYYGRCTSYVCLMRSLIDKPEDVKELRSKGILRTTIGSDDQIAQIFKEMTTNLAPNPTAYTKVKRSVESHYRSSVKRWILENKGPFSRAVVKYSFIYGIAVSAIQAYLAETKEKPGFGNCSCSNATL >Potri.007G110301.1.v4.1 pep chromosome:Pop_tri_v4:7:13209441:13210375:-1 gene:Potri.007G110301.v4.1 transcript:Potri.007G110301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G110301.v4.1 MLWVAIVVGGGNIFRGSSWAGSTGLDWSSADYMGMLATVMNAIFFKQQWRVLASQQGFRLHFACQRLQNHISVDGT >Potri.015G045800.1.v4.1 pep chromosome:Pop_tri_v4:15:4470322:4476398:-1 gene:Potri.015G045800.v4.1 transcript:Potri.015G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045800.v4.1 MKSSSSSCMDIINIEARTQRLDVDNRFALNIYFRIANNILKQAEIFRAEKNIIDLYVMLLRFSSLVSETIPCHRGYGAFPQSKKDYLRKKLLNALGELEQLKTAVQQSINELNRKHTHQVNGWGYNSQNDLLEKPPYNKKILNGNGVTKAVRPVTGESLYQGSRTRQYSYVRPVEQQFRRVSLNFQRPNEETLSRHSILGPNGLNAKWQPPRTNEGVKYPSIIDLTPVEIPRLQESVKAELFVKSEHSSSEPGRSSLESILSVQDDNQKCRDEEPCSLISFETIETPVLPAVIRQPSPPPVLAEVQDLIPATPPQVSEAENKMDISSPNDLICSEAPLQLHISTTLMENFMKMAKSNTDKNLETCGVLAGSLKNRKFYVTALIIPKQESTSDSCQTTNEEEIFEVQDKQSLFPLGWIHTHPTQSCFMSSIDVHTHYSYQIMLPEAVAIVMAPRDSSRTHGIFRLTTPGGMSVIRQCQHRGFHPHDPPPDGGPIYKPCTDVYMNPNLKFDVIDLR >Potri.005G143800.1.v4.1 pep chromosome:Pop_tri_v4:5:11563882:11565899:1 gene:Potri.005G143800.v4.1 transcript:Potri.005G143800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G143800.v4.1 MQMEETHVYSFLCLLLVIVAVKLLLQTRKKRRNLPPSPPAIPFIGHLHLLRQPIHRSLENLSKKYGPIISLRLGPRPVVVVSSPSAVEECFTKNDIVFANRPQFLAGKHLHYNNTTLASASYGDHWRNLRRICAIEIFSSSRLNAFLAIRKDEIRRLVCRLHRDSSDGFAKVELRSMFMDFTFNIVMRMIAGKRYYGEDVKLVEEATKFKETLQGYAALSELTNLGDVFPIFQSVDYNGFIKRCTGLSNRMDLILQGLIDELRREKNGNTMINHLLTLQESEPEYYTEEIIKGLILIMLLAGTKTLVTSIEWGVCNLFNHPDVVKKAREELDTQIGHERLIDESDFSKLHYLQSIILENLRLYPVVPLLAPHMSSADCEVGGYDVPAGTILLVNAWAIHRDPQIWEDPESFKPERFENWKSEAYKHLPFGLGRRACPGEVLAHKIMALTLGSLIQCFDWEGVGGKEIDMTEKMVNLMSRAEPLEVMCKARPNLNNILS >Potri.004G128880.1.v4.1 pep chromosome:Pop_tri_v4:4:14059255:14060490:1 gene:Potri.004G128880.v4.1 transcript:Potri.004G128880.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128880.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQKRIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMQKLDRVLVNEKWNLKFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRHNRNKLLSLTREDGEVVEGHEAVKIRSNCILPSCVRS >Potri.005G079600.1.v4.1 pep chromosome:Pop_tri_v4:5:5406275:5408056:1 gene:Potri.005G079600.v4.1 transcript:Potri.005G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G079600.v4.1 METWLLILVSISISLFLKFIFNNFLTTKKLPPGPVTFPIIGNLLWLRLSSFKLEPILRSLHAKFGPMVTLRIGTRPVIFIADRALAHRALIHKGAVYADRPPAFATRNQLNISSSSYGPTWRLLRRNLMAEILHPSRVKSYSHARNWVLQILQNRFESEAKSGRPVRVMEHFQYAMFCLLVLMCFGDKLDESQIEKIEEVLRHMLVNIGKFNILNCWPRVTKIVLRKRWNELFRLRKLQEDVLIPLIRARKKAKEERIRRGKEDKKGHEDEYVLSYVDTLLSLELPEEKRKLEEGEMVSLCSEFLNGGTDTTSTALQWIMANLVKYPQIQEKLFMEIKGVVRDGEENIKEDELQKMPYLKAIILEGLRRHPPGHFVLPHAVSEDVVLDKYVIPKDGTINFMVAEMGWDPKVWEDPMAFKPERFLNGGGETFDITGSREIKMMPFGAGRRICPGYGLAMLHLEYFVANLIWKFEWKAVDGDDVDLSEKEEFTVVMKNPLQAQICPRLK >Potri.003G030500.1.v4.1 pep chromosome:Pop_tri_v4:3:3399857:3402071:1 gene:Potri.003G030500.v4.1 transcript:Potri.003G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030500.v4.1 MAGVSALPAKILLSKRVQEMVLNDEELQKPYISRNDGTNEAIPRPPSDLIPIIDLSLLSSSEPCSAQELQRLRSALCSWGCFQATGHGIPKSFLDKIRQVARDFFEQPMEEKKRHAKGVEEFEGYGADPVPAEGQSLDWSDRLFLNVYPEDRRKHKFWPENPKSFREVLEEYTSRMQIFTELVSKAMAKSLNLEADCFLNQFGKQATLKARFNYYSPCQRPDLVLGLRAHADGSGYTIILQDDVEGLQVFKDERWFTVPAISDALLVLMGDQMEIMTNGVFKSPVHRVLTTSEKERISVAVFYAPEPNKEIGPEEGLINEERKQIYKKVKEYSVVHWEHYQQGKRAIHVAKV >Potri.013G053301.2.v4.1 pep chromosome:Pop_tri_v4:13:3876760:3877930:-1 gene:Potri.013G053301.v4.1 transcript:Potri.013G053301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G053301.v4.1 MESLPREIAGDILSRLPVTSLVNVKFVCRSWRKLLQDSSLLVFMLFLRTTKKDPCLILHSVHPIKHYVADFPSDDSTPNQLYVADFSSDGDRIEVVKKIRVPTLSDSKLFIYNPFTMDVLALPDSAQYPNQQVVVGFGFSSMENDYKVVKIVYYSHRGSIRPSGGNLPQSSVEILSLRSLTWRSLGEIPYQIFGKRPSQVLVNGRLNWAIGLYTGAVQLKFYEVPRPDCGSLDKFNHNLVVLGGCLSASVYRKDGYFEIWVMKEYNVKESWINLYNIDIMDQSFENSGLHLKRSYARVICLLKNGEILLEMTITRVLLSFDPKSKTFKHLAFHGLPRWYETIVHVGSLHWIDTHVVAT >Potri.004G102500.2.v4.1 pep chromosome:Pop_tri_v4:4:8998965:9001552:-1 gene:Potri.004G102500.v4.1 transcript:Potri.004G102500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102500.v4.1 MAAYSSSALRSISSVKASAARSHTCGVVPTKPSLLSFKLAQNPCLLTKTTSLGNFSGTKRSFSCKSQAASTEDSRPTKVQELSVYEINERDRGSPAYLRLSQKSVNSLGDLVPFSNKLYTGDLQKRVGITAGLCVLIQNKPEKKGDRYEAIYSFYFGDYGHIAVQGSYLTYEDTYLAVTGGSGIFEGVYGQVKLQQLVFPFKLFYTFYLKGLKADLPEELLGKAVEPSPAVEPSPAAKACEPHAVIANYTN >Potri.014G050200.3.v4.1 pep chromosome:Pop_tri_v4:14:3238558:3244068:-1 gene:Potri.014G050200.v4.1 transcript:Potri.014G050200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050200.v4.1 MMDYTLPISKRTRLREAEVYKKLHDEIRKGRNQGKESNAAATGSTNGLNGAQEESVGGLSYKGSKSPVVLDDSEDDAFLDDCEKGGLEEGLDVVSLDDSDDDDQSEGVESKSFDVGGKKSGGTDVGGSCSGVKSDGEESGRSKVPLPRWQRIVNESYNGDVFAHERNEGGVCFLSSGIGNGSGGVGLKGRESNGVAGRTELRSGFCEKKKDGNVVVVVDDDDDDACIILEKDAEELQSSSSGEEETFKDDSDDDDYRVELPESFMVEEEEKEEDGDREQGEMELKRNKVYGIEVLCDSDIGKFENNDVDMDDSLCVAKRTRSHYNLESAKKRMKLETVSRPLCVDEEKLDDNGDNDEDDTEAYEAVDVAQKVRSKKGKTKPTGGNGGDVDDGDETCDHKSQRRTIESREGSRDEHGHGVCRRKPSKRRRKEYEVVKILANSLFLDLEDVPFKEEREPLEEPVLPLKFTFGIEESSPPVKSEEEKQLEELWADMALALCLKDTTDDAALDENEDDAHEVEPDTVTLCHQGNHELYLDEEIGLLCKYCSFVDLEIKYYVPPFDRYPRGKSARRDFVTMQHNIFNDLHHQDSGHDTHPDYDPCTLVQGTVWNLIPGIGKGMHGHQREGFEFLWKNIAGGIYLDKLKENANLNGGTGCIISHAPGTGKTRLTIVFLQTYMQLYPTSRPVIVAPCSMLLTWEAEFLKWGVDIPFHIMNKKNLSGKENRTAMDLFRELKPAERGLNAIRMVKLYSWKKERSILGISYRLFEELVGEEKSKTKVSDKTEDDQVRKVLLELPGLLVLDEGHTPRNDRSRIWKALSKVQTQKRIILSGTPFQNNFDELYNTLCLVKPKFADEISSKHHRAFPKRRRCKRNTDARRNWASLTTAIGKVTDDKLEAQRVEELRKMIWQFVHVHKGGVLRERLPGLRDSVVILQPVHLQKTLLENVKQINGLDHFEMEYLLSVLSVHPSLLPEKSVGTLEFKFVDRMELEMLRSKPEAGVKTKFLMELIRLCQARNEKVLVFSQYLEPLNLVIKQLESNFSWIQGEDILYMHGKLKIDERQILIKHFNNANSNAKVLLASTRACSEGINLVGASRVVLLDVLWNPSVERQAISRAYRLGQEKVVYIYHLITSGTMEEEKYFCQVEKERLSNLVFDCTNRSSNHQKGVFDIAEDKKDKILEEMVQHDKLKLMFKRIVYQPKDTNIVKSFDLDL >Potri.003G141100.1.v4.1 pep chromosome:Pop_tri_v4:3:15715163:15717677:1 gene:Potri.003G141100.v4.1 transcript:Potri.003G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G141100.v4.1 MASEREEFSLSGPLHLTVVDWKNAHHRRSVAASLVQGVRILERDRQVKRQGSQALAPPWWEFFHFQLIRQLVDDVDSSIFGAIYEFKPPESHYHNSVDESPRYVIAFRGTITKPGSVSRDLELDVCIIRNGLHETSRFETAIQAVRNVVATVGKSNVWLAGHSLGAAMALLAGKTMAKTGIFLQAFLFNSPFISAPLERIKNKRVKHGLRIASSVITAGLALATKKSYQNSRSVDPFATLSAWVPFLFVNPGDHFCSEYIGYFEHRKKMDDIGIGAIERLATQNSLGGLLMSATGRESEPLHLIPSANVIVNLTPCQDFREAHGIHQWWRPDLHIKSKLYNYK >Potri.007G009100.1.v4.1 pep chromosome:Pop_tri_v4:7:689453:693839:1 gene:Potri.007G009100.v4.1 transcript:Potri.007G009100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G009100.v4.1 MQQSNLRVARIAAHINPPNLQMVEEDLGLERVNCRAKGASSGFKVAILGAAGGIGQPLAMLMKMNPLVSLLHLYDVVNAPGVTADISHMDTSAVVRGFLGQQQLEDALIGMDLVIIPAGVPRKPGMTRDDLFNINAGIVKTLCEAIAKCCPKAIVNIISNPVNSTVPIAAEVFKKAGVFDPKRVLGVTMLDVVRANTFVAEIMGLDPREVDVPVVGGHAGVTILPLLSQVKPLCSFTQKEIDYLTDRIQNGGTEVVEAKAGAGSATLSMAYAAVKFADACLRALRGDAAVVHCAYVASAVTELPFFASKVRLGRNGVEEIYPLGPLNDYERAGLEKAKKELAGSIQKGVSFVKK >Potri.005G200000.5.v4.1 pep chromosome:Pop_tri_v4:5:20543602:20547343:-1 gene:Potri.005G200000.v4.1 transcript:Potri.005G200000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200000.v4.1 MVFWEGYVSDEVMGIFAPIVIYWLYAGFYQLLPPLDEYRLHTRKEEEEKNLVPLSKVIKGVLLQQLVQAVVAHALFLLTSSADESGTTIQPSIPIQIVQIIIGMIVMDTWQYFAHRYMHQNKFLYRHIHSQHHRLVVTYAIGALYNHPLEGLLLDTVGGAIAFLVSGMTARTSVIFFCFAVVKTVDDHCGLWLPGNIFHIFFQNNTAYHDIHHQLPGTKYNYSQPFFSIWDKLLGTYMPYTLVNRPEGGLEARLVKD >Potri.005G200000.7.v4.1 pep chromosome:Pop_tri_v4:5:20543627:20547421:-1 gene:Potri.005G200000.v4.1 transcript:Potri.005G200000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200000.v4.1 MVFWEGYVSDEVMGIFAPIVIYWLYAGFYQLLPPLDEYRLHTRKEEEEKNLVPLSKVIKGVLLQQLVQAVVAHALFLLTSSADESGTTIQPSIPIQIVQIIIGMIVMDTWQYFAHRYMHQNKFLYRHIHSQHHRLVVTYAIGALYNHPLEGLLLDTVGGAIAFLVSGMTARTSVIFFCFAVVKTVDDHCGLWLPGNIFHIFFQNNTAYHDIHHQLPGTKYNYSQPFFSIWDKLLGTYMPYTLVNRPEGGLEARLVKD >Potri.005G200000.6.v4.1 pep chromosome:Pop_tri_v4:5:20543734:20548016:-1 gene:Potri.005G200000.v4.1 transcript:Potri.005G200000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G200000.v4.1 MVFWEGYVSDEVMGIFAPIVIYWLYAGFYQLLPPLDEYRLHTRKEEEEKNLVPLSKVIKGVLLQQLVQAVVAHALFLLTSSADESGTTIQPSIPIQIVQIIIGMIVMDTWQYFAHRYMHQNKFLYRHIHSQHHRLVVTYAIGALYNHPLEGLLLDTVGGAIAFLVSGMTARTSVIFFCFAVVKTVDDHCGLWLPGNIFHIFFQNNTAYHDIHHQLPGTKYNYSQPFFSIWDKLLGTYMPYTLVNRPEGGLEARLVKD >Potri.002G246700.1.v4.1 pep chromosome:Pop_tri_v4:2:23748344:23752171:-1 gene:Potri.002G246700.v4.1 transcript:Potri.002G246700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246700.v4.1 MGRKRASPVNVLFGWVRRQSMKVKILAGVVFALCSLVALKHSVKDHEYFFIFSEAIHAAGIFVLIYKLTTHKTCSGLSLKTQEITALFLAARLACSVLMELDAHSVLDMATLISTAWVIYMIRFKLKSTYIKELDNMPLYYLVVPCIILALIVNPFTRFCYFSQVLWAFCVFLESVSVLPQLRLMQNAKMIEPFTAHYVFALGVARFLSCAHWIIQVYETRGMYLFLIGSGYFWFPAALLAEAVQTFILADFCYYYVKSFVQGQLLMRMPV >Potri.001G230800.4.v4.1 pep chromosome:Pop_tri_v4:1:25020706:25022356:-1 gene:Potri.001G230800.v4.1 transcript:Potri.001G230800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230800.v4.1 MEGIVRPRSCNPSSSASSKLGMHRESHVISQLIKPKVRIIHVFAPKVIKTDVANFRELVQRLTGQPCGSEGMIKKKARSSAPGKRKKTGSSICESSKKAMQQLPELGLPSLMRGEKLRVEVEANEMLGGQNCSSNFNSLDGYGDLKGFVPDVWMAPD >Potri.011G146200.3.v4.1 pep chromosome:Pop_tri_v4:11:17457220:17457914:-1 gene:Potri.011G146200.v4.1 transcript:Potri.011G146200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146200.v4.1 MIIKSKRSFLCVLQLKGLQSLVNLKKLPTWAKPDSDEPPPWAKGEGKENSSKQNFEVPFFVYLLASAITAIAAIGSIFEYVNQRPVFGIVNTDSILYAPLLGFFAFTGIPTSAFLWFKSVQAANKEADEQDKRDGYL >Potri.001G198800.1.v4.1 pep chromosome:Pop_tri_v4:1:19622443:19622812:1 gene:Potri.001G198800.v4.1 transcript:Potri.001G198800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G198800.v4.1 MTLLEGGASTTGGAAGLQQVTVEPVVADEECLPLLLRSCSRCWCREVRCCWWFFLLLRGCVDHELQRGDVAGAELETELRSRCYRGCGEVADADVARNLWLQ >Potri.013G068700.1.v4.1 pep chromosome:Pop_tri_v4:13:5435514:5440835:1 gene:Potri.013G068700.v4.1 transcript:Potri.013G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068700.v4.1 MSIRKLQGSSTEKSLSEQEQLAKINDVRKILGPVADKLPVLCSDASISRYLRARNWNTKKAAKMLKNTLKWRLEFKPEKIRWEDIANEAETGKVYRANYLDKKGRTVLIFRPGFQNTSGIRGQIRHLVYCMENAITTLNPDQDQMVWLIDFQGWTMSCISVKAARETAHILQNHYPERLGVGILYNPPKVFESFWTLVKPFIEPKTYKKVSFVYSNGPQSQKLMEELFDMDKLDCAFGGRNSAGFNYEAYAQWMREDDKKKFDMMNCGSSSPLPSIMSESQSSETLTPSGISMASDEDDSSSGDEKTLNLENIDEKTQGLPLSGEDVAVSEAVDIVKELKKGELA >Potri.013G068700.5.v4.1 pep chromosome:Pop_tri_v4:13:5435632:5440794:1 gene:Potri.013G068700.v4.1 transcript:Potri.013G068700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G068700.v4.1 MSIRKLQGSSTEKSLSEQEQLAKINDVRKILGPVADKLPVLCSDASISRYLRARNWNTKKAAKMLKNTLKWRLEFKPEKIRWEDIANEAETGKVYRANYLDKKGRTVLIFRPGFQNTSGIRGQIRHLVYCMENAITTLNPDQDQMVWLIDFQGWTMSCISVKAARETAHILQNHYPERLGVGILYNPPKVFESFWTLVKPFIEPKTYKKVSFVYSNGPQSQKLMEELFDMDKLDCAFGGRNSAGFNYEAYAQWMREDDKKKFDMMNCGSSSPLPSIMSESQSSETLTPSGISMASDEDDSSSGDEKTLNLENIDEKTQGLPLSGEDVAVSEAVDIVKELKKGELA >Potri.013G104601.1.v4.1 pep chromosome:Pop_tri_v4:13:11368947:11370717:1 gene:Potri.013G104601.v4.1 transcript:Potri.013G104601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104601.v4.1 MLAIMHFIAQETEGMLQQLSLASNVGSSASTAAKQYQRHEASERLIKKYSSFNNCLGPEEISKPGYLELTNLEVEYLCIWVCRNTYGFRSPKVVKITHQDKSDVDTTHNQCPDIQSSQ >Potri.014G127300.2.v4.1 pep chromosome:Pop_tri_v4:14:8550644:8553100:-1 gene:Potri.014G127300.v4.1 transcript:Potri.014G127300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G127300.v4.1 MGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTGSKFNAVILKRLFMSKTNKPPLALSRLITYMKGKEGKIAVVVGTITDDIRVYEVPALKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYIRSKGRKFEKARGKRNSKGFRV >Potri.017G021796.1.v4.1 pep chromosome:Pop_tri_v4:17:1464956:1465346:-1 gene:Potri.017G021796.v4.1 transcript:Potri.017G021796.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G021796.v4.1 MNQEEDPVDNSHDEREKEWQKVLISNCFTSALQIALHFHQITDSKFDSLHLVCILVAIIFSCLFVSHFINPAKFPKTSKVLGKVAVFLAATALFITISIPFPPGVKWATWIIYSISLLVIAICNFCY >Potri.001G406600.1.v4.1 pep chromosome:Pop_tri_v4:1:43393745:43395868:1 gene:Potri.001G406600.v4.1 transcript:Potri.001G406600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G406600.v4.1 MVLPASSSGNPMLSLKVALISAGVLSLAVILKLSVLSVVADFAVSELPIMYSSVLSWLQPPYLYLVVNCIIISIVASSKLQLQKPSQEQQVPLPSSADIIAPPVQVENISVRARSDYDNDAAVVSSYQYGSNYQDSDVDWKAAAVEDCTVKTIGVYEREEGGKAAPSMEFLFEKEKPLVSARLGRRKSLKATPEGNGKAPAPAALGVSKPKRYDTLESTWKTITDGRPMPLTRHLKKSDTWETHVRRDSTRPPKLTKKSETFNDRSSSSKPEKLARSPQGSGKLIGREPSLGQDELNKRVEAFIKKFNEEMRLQRQESLNQYQEMIGRGAY >Potri.005G120800.2.v4.1 pep chromosome:Pop_tri_v4:5:8964540:8967314:-1 gene:Potri.005G120800.v4.1 transcript:Potri.005G120800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120800.v4.1 MGTLGRAIYSVGFWIRETGQALDRLGCRLQGNYYFQEQLSRHRTLMNVFDKAPVVEKDAFVAPSASITGNVHVGRSSSIWYGCVLRGDVNSISVGSGTNIQDNSLVHVAKSNLSGKVLPTIIGDNVSVGHSAVLHGCTVEDEAFVGTGATLLDGVCVEKHAMVAAGALVRQNTRIPSGEVWGGNPAKFLRKLTEEEMAFISQSALNYANLAQVHAAENAKGFDEIEFEKVLHKKFARRDEEYESMLGVVRETPPELILPNNMMPDKMPKAA >Potri.018G072101.3.v4.1 pep chromosome:Pop_tri_v4:18:9209067:9209894:-1 gene:Potri.018G072101.v4.1 transcript:Potri.018G072101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072101.v4.1 MSFSNYLPLPSRSNRRKGHGKNGFRRRCLSMAKQQKTRFYILGRCISMLLCWHDHAIRD >Potri.018G072101.2.v4.1 pep chromosome:Pop_tri_v4:18:9209433:9209822:-1 gene:Potri.018G072101.v4.1 transcript:Potri.018G072101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G072101.v4.1 MSFSNYLPLPSRSNRRKGHGKNGFRRRCLSMAKQQKTRFYILGRMNTKAWPPQSKAAASRSLLGLSAQRRQKHSTWVKQTEGPASPGSLIQAA >Potri.007G064000.1.v4.1 pep chromosome:Pop_tri_v4:7:7967826:7972571:1 gene:Potri.007G064000.v4.1 transcript:Potri.007G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G064000.v4.1 MARRSIPVLKHLLTSSSTPTLRRSVTYMPRPGDGAPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYDIHGDMMRVPSEVIESIKKNKVCLKGGLATPMGGGVSSLNVQLRKELDLYASLVNCFNLQGLPTRHENVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGTGVMPGGNVGADHAIFEQGASAGNVGNDKLLEQKTANPVALLLSSAMMLRHLQFPSFADRLETAVKRVISESHYRTKDLGGTSTTQEVVDAVIGALD >Potri.001G221000.1.v4.1 pep chromosome:Pop_tri_v4:1:23041626:23045067:-1 gene:Potri.001G221000.v4.1 transcript:Potri.001G221000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G221000.v4.1 MEDLCDGNMELKEIQTLAGHSDRVWSLAWNPATGTSNNPPVLASCSGDKTVRIWEQTPSNRLWHCKAVLEETHTRTVRSCAWSPCGKFLATASFDATTAIWENIGGDFECVSTLEGHENEVKCVSWNASGSLLATCSRDKTVWIWEVMPGNEFECVSVLQGHTQDVKMVKWHPTMDVLFSCSYDNTVKVWAEDGTGDWHCVQSLGESNNGHSSTVWALAFNAEGNRMVTCSDDLTLKIWETDVGGMQSGNDLVSWNHLCTLSGYHDRTIFSVHWSREGIIASGAADDALRFFVESKDGLVDGPSYKLLLKREKAHEMDINSVQWGPGETGLLASTSDDGTIKIWELATLT >Potri.005G144500.2.v4.1 pep chromosome:Pop_tri_v4:5:11688051:11688608:1 gene:Potri.005G144500.v4.1 transcript:Potri.005G144500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144500.v4.1 MIFQSDVAIENLLNDQNSGSKDTQDDNLKEWLSLSLERNEPAATTGDSDFKAKPDASNKVFSCNFCMRKFYSSQALGGAAKRLQSHIMMMTTIGFSFNSLSARSLGVQPHSHVYKPNMEAASSMVARFSDVSSGFGMAWTLFMVEEAMDSIWLGSFHVDKIKTSDVIKVDLNLRL >Potri.007G056300.1.v4.1 pep chromosome:Pop_tri_v4:7:5871549:5881139:1 gene:Potri.007G056300.v4.1 transcript:Potri.007G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G056300.v4.1 MEKLERDLTWFDGWVLIAFVVVFGLHGTVVNGGYVDYNTGGGVVPGKLNVHLVPHSHDDVGWLKTVDQYYVGSNNSIQGACVENVLDSVVESLRRDSNRKFVFVEMAFFQRWWVEQSEEIQEQVRKLVDAGQLEFVNGGWCMHDEATCHYIDMIDQTTLGHRAIKEQFNKTPRAGWQIDPFGHSAVQAYLLGTELGFDSVHFARIDYQDRAKRKDDKALEVIWRGSKTFGSSSQIFANAFPVHYSPPNGFHFEVFDDFVPVQDNPLLDDYNVEQRVNDFINAAMTQANVTRTNHIMWTMGDDFQYQYAESWFKQMDKLIHYVNKDGRVNALYSTPSIYTDVKNAANESWPLKTDDYFPYADTENAYWTGFFTSRPALKRYVRQLSGYYLAARQLEFLVGKKSTGPNTYRLGDALGIAQHHDGVTGTAKQHTTNDYEKRLAIGALEAEATVSSALSCLVSNKSRDQCAKPALNFSQCQLLNISYCPPTEEAIANGKRLVMVLYNALGWNRTDVIRIPVNDPNLVVADSYGKHIETQYVTMDNTTSNLRNFYLKAYGFPSIQVPRYWLHFQVSVPPLGWSTYFIARATGIGKRRNGLSVMDSPQNDTIEIGSGNLKMSFSSMTGQLKRMYNSKTGVDVPIQQSYFWYGSSSELMQSSGAYILRPDGSPPHVVARSVPLQVHRGPLFDEVRQQFNSWIYQVTRVYKDQEHAELEYTIGPIPLEDSVGKEVITRMTANMATEKVFYTDSNGRDFLKRIRDYRADWSLSVNEPVAGNYYPLNLGIFTMDKRSELSVLVDRATGGASIEDGQLELMLHRRTLHDDSRGVNEALDESVCIGDECEGLTIRGNYYLSINQVGAGAVWRRTTGQEIYTPLLAAFTQEKEETSKASHVATGTAMDPGYSLPLNVALITLQELDDGSVLLRLAHLYEAGEDAAYSTLATVELKKMFSGKAIKELKEMSLSSNQEKSEMKKMTWKVEGDNGEQPSPVRGGPVDSSTLVVELGPMEIRTFLLQF >Potri.005G048400.1.v4.1 pep chromosome:Pop_tri_v4:5:3078156:3082221:-1 gene:Potri.005G048400.v4.1 transcript:Potri.005G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048400.v4.1 MAEEKGSIAKDVTELIGKTPLVYLNNVVDGCVARIAAKLEMMEPCSSVKDRIGYSMIKDAEEKGLIKPGESVLIEPTSGNTGIGLAFMAAAKGYRLIITMPASMSLERRMVLLAFGAELILTDPARGINGAVQKAEEILAKTPNAYILQQFENPANPKIHYETTGPEMWKGSGGKVDAFVSGIGTGGTITGAAKYLKEQNPDIKIYGVEPVESAVLSGGKPGPHKIQGIGAGFIPAVLDVGLLDETVQISSEEAIETAKLLALKEGLLVGISSGAAAAAAIEIAKRPENAGKLIIVIFPSFGERYLSTVLFESVRKEAENMVFVP >Potri.012G047800.1.v4.1 pep chromosome:Pop_tri_v4:12:4437285:4438881:1 gene:Potri.012G047800.v4.1 transcript:Potri.012G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047800.v4.1 MAAMLRSLLALLLFTSIFSHISEVSSTTITLHNKCTHPVWPGIQPSAGKPILARGGFKLPPNKAYTLHIPPLWSGRLWGRHGCSFDASGRGRCATGDCGGSLYCNGIGGTPPATLAEITLGNEQDFYDVSLVDGYNLAISITPFKGSGKCSYAGCVSDLNLMCPLGLQVRSKDNRSVVACKSACFAFNSPRYCCTGRFGTPQACKPTAYSRIFKAACPKAYSYAYDDPTSIATCTRGNYLVTFCHHR >Potri.002G173300.1.v4.1 pep chromosome:Pop_tri_v4:2:13340007:13355926:-1 gene:Potri.002G173300.v4.1 transcript:Potri.002G173300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G173300.v4.1 MPETSTASPTRASINPTDHLPLSLFRSDIIPPAPTRSASTIDWLPDFSGYSWVAYGASSLLVISHLPSPLSPEEAAIGPILQQVFELSSDDSSPVKSVSWSPVTPSIGELAAASDNCISVFSHDSASSKGSFCWSQNAMLVQSTKVGAITWTGSGDGIVSGGIDVVLWRRRNMSWEIAWKFKRDQPQNLVSATWSIEGPSATAAYLSKVDVKGSDQTSNCVLVCYGNGTSEYVKTELHHPQPVSKIQWRPSTGQQAQRDKKHLRRHVLLTCCLDGTLRLWTEVDSGKVRKLGKDNHDHKTVRKSFCIAAVIEINQVLKGTLGMDVFFSWAAEIGGIYRIGEGISQTFSVEGNGHDRVGRCEWLIGFGPGRGITFWAIHCLDDISPIRFPRVTLWKTQELEDLEAGHLHGAGFANFNAWLLLDKVVVLRNCLSGPPNICSLMHLSPCNFLVRSLLYSQIPSDIEDASFNKSKIDKYSSCSSGGVLNGGHTGKILQVAMHPHIYEVELAVSLDSDGLLLFWIVSTISNCSLGPAKLIPGWKLSGKLATYDSCSKYTSLRWAPSTLGEDHVLLVGHAGGIDCFIVKISQICKEGIICHYIGTIPLTGHGPFEDGPTNIFAVPLPSSCNKTFRYNRFLLLAIWLKGFQPISWEVTLHSFDLSGRCCDCKFDDKNTPVLNFENTFADRRYCVGVDPCSSHLPEPYCHDQITSFSVVCPGDFISMPESLGSNKDLCSGVPAYVMATGCSDGSLKLWRSNSSKQSTPQIPWELVGKFVAHQGPVSAICLTACGRKIATISAGSHLDDTSILHVWEAVHVIGAGSFILEDRIAVGRDVISLNWLTLGNGQLFLGICMHNELQVYAQKHHGGQTLLSPQSLNVNSWSCIAVSHTFPAIRDFLWGPNATAIIVHDSYISLLSQWLFLEGDKQWGKYPPNVIREGYKGGKDKEILIREGYKGGKDKEILSCIFTDGEIDLKETLIEGISGGFKSPIHDKLDAKNDCSSSSLFVAMAQLKHHSNAVRGFWSLVELAEKLTGTLAVYHPEALIMNIYSGNWKRAYVSVRHLVEYLSSGCAAEKIYNSADHSKIVPQILLSNYFEGFLLKDSGSTNKGFQWSADARLPTSSSQFFAYNFTSDASNNMFAASSTKSELSAFAETLEKYDFESLTNLEKSEMLAIIDLLSDVQHSACAYANLDEPGQRFWVSLKFQQLHFFRSFGRSPSVEELVGDSRLMSWAFHSDCQENLLSSFLPNEPSWKEMQTLGVGFWFTNVAQLRARMEKLARSQYLRKKDPKDSALLYIVLNRLPVLSGLFKISKDEKDKPLVAFLSRNFQEEKNKAAALKNAYVLMGRHQLELAIAFFLLGGDTYSAITICAKNFGDEQLALVICRLIEGRGGPLEHHLITKFILPSASERGDYWLTSLLEWELGNYSQSFLSMLGLQASSLTDKSALSSNNAAFMDPHIGLHCLSLASKNSMRNAVGEQNAAILRRWATIMAATAFNRCGLPLEALECLQSSLNILGGIDPGSVSDVDQSQILHGILNPFASESCNWLSGDVALCLQSHGKLDLALQYFSKLMSEHPSWLNTIVGSIQPGTSSKDCEIHQHEKLLEEFREKLYTGLLMFEQKFLVVPSCVIKMILVWSCSNGLPFIGHDLIVNYASRNHTQDKSDGVESFILYPLLHKPCLKFMEDASLLLSRFITSCSVTCFQPKPFYIEGTMSVEVKSIWSDMHGFYFQGIMQTLRSLRAAMRIFSSSEDVSRSLVILDLFEYYIYFASAWLQRKSKGLLLMVQPLLITLTSGHTPYEVDIGNLKSILHHIAELPFSLSIDDAGSGHEVVKCSSHEQDGQTMLSFSKDEKWHVVGACLWMHMSRFMKHQLHLLSIKLEDGCFSGVSHGNVSSLASSLTIFGSDSISRKEEIGFCSLILAKLLRTMLVHVSSYHVKLLGLFLQQEVENRLQIPTLVWMKESSLSQAKALYQDVSADMMNSKDELSSFDVLWDACADPRMVSEGFVQEEINLSLFFNHKSYEGWSDEYMSITGELETEDTCEHELKLGNHPSGDEIGSPSIGLFRNGRAFLSSWQKDAVMTKEVSHFQNAKVVHKRDGELVEALCINSVDERQAALASNRKGIVFFSWEDGIPFGDQSEYIWSDADWPPNGWAGAESTPIPTCVSPGVGLGSTKGAHLGLGGATIGVGALARRRRNLTGNGAFGVPGYAGIGASGLGWEVQEDFEEFVDPLATVENTSTRAFSSHPSRPFFLAGSSNTHIYLWEFGKEKATATYGVLPAANVPPPYALASISAVQFDHYGHRFATAALDGTVCTWQLEVGGRSNIHPTESCLCLNGHASDVTYITSSGSVIAATGYSSNGANVVIWDTLAPPTTSRASIVCHEGGARSISVFDNDIGSGSISPLIVTGGKNGDVGLHDFRYIATGRTKRHNMNSNLPSNIDMQTGVGRQLGGQNPNGMLWYMPKAHLGSVTKISTIPHTSLFLTGSKDGDIKLWDAKAAKLVCHWPKLHERRTFLQPSSRGFGGVVRAAVTDIQVVSHGFLSCGGDGIVKFVQLKDKQCRTG >Potri.012G075300.1.v4.1 pep chromosome:Pop_tri_v4:12:9878997:9884408:1 gene:Potri.012G075300.v4.1 transcript:Potri.012G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G075300.v4.1 MALSYSDIIDPSSRHHTYSRKQKSLGLLCTNFLTLYDRDDIDVIGLDDAASKLGVERRRIYDIVNVLESVGVLARKAKNKYLWKGFAAVPKALQELKEEGLRDNVNTIDKQSNNSVKVADDDEDEDDDSDSNPNTGSQNENSGIIKSTAASRFDHRREKSLGLLTQNFVKLFVCFNANLISLDESAKLLLGDGHKSSIMRTKVRRLYDIANVLSSLKLIEKTHTADTRKPAFRWLGLRGKSENGSGDPLAPFESRKRTFGADVTNICSKRNKTDSSVDGDKSKNLKMQKQIKDENIVTVVQRGNFDQDSQQNSGSFQFGPFAPVSIARVGNSEEKVTQIYDWEGLSSTFRPQYHNQALRDLFFHYTEAWKSWYTEVAGKKPLHIS >Potri.005G131000.1.v4.1 pep chromosome:Pop_tri_v4:5:10088510:10088975:-1 gene:Potri.005G131000.v4.1 transcript:Potri.005G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131000.v4.1 MGHFLLSFIYPSPKTMSFVVSKAPQSFSENEKLNLKHREVEDNQALTNPISNQLHLKPAVHAMEKDVILKRIRHHKSVNKVKKAFQALAASSLDQENMASAYQQKWLDPHDAFSSP >Potri.005G131000.2.v4.1 pep chromosome:Pop_tri_v4:5:10088491:10088953:-1 gene:Potri.005G131000.v4.1 transcript:Potri.005G131000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G131000.v4.1 MSFVVSKAPQSFSENEKLNLKHREVEDNQALTNPISNQLHLKPAVHAMEKDVILKRIRHHKSVNKVKKAFQALAASSLDQENMASAYQQKWLDPHDAFSSP >Potri.006G022800.2.v4.1 pep chromosome:Pop_tri_v4:6:1538432:1540037:-1 gene:Potri.006G022800.v4.1 transcript:Potri.006G022800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022800.v4.1 MIFNILADKPHAVCIPSPAQSHIKSMLKLSKLLHYKGFHITFVNTEFNHKRLLKSRGPDAMNGLPDFRFESIPDGLPPSNENETQDVAALCEAAKKNLLAPFNDLLDKLNDSASSNVPPVTCIVSDALREKGLTPLKDESFLTIGYLDKVVDWIPGMRDIRLRDLPSFVRTTDPNDFMFKNCLECTGRASEGSAVIFHTFDVLEQEVLNALYSMFPRVYTIGPLQLLLNQIQEVYLSSIGCNLWKEEVECLQWLDSNKPKSVIYVNFGSITPVRKEQLVGFGMGLAKSGHPFLWIIRPDMVTGDSAILPPEFTEETKERSFICSWCPQEEVPNHPSIGGFLTHSGWGSTIESISSGVPMLCWPFFGDQQTNCRYTCSEWGIGMAIDNNVKRDKVEKLVRELMEGEKGKSMKKKAMEWKKLAEEANGPSGSSSMNLDKLVKEVLLS >Potri.014G043400.1.v4.1 pep chromosome:Pop_tri_v4:14:2804288:2805456:1 gene:Potri.014G043400.v4.1 transcript:Potri.014G043400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043400.v4.1 MDSPAIAKNTNLDGICADFTSTASGFGVSGDHGTKSSEVYGFLGSITTVVATVIFLVWAYVPENWLHTIGIFYYPNKYWALAGPIYAMLTILIALLFYVGLNSMSTPPPSSLNTIFDEFSKEPSTFIPSREGDEQPIEPISDNGINKIKKSHVY >Potri.001G101800.2.v4.1 pep chromosome:Pop_tri_v4:1:8213241:8218317:1 gene:Potri.001G101800.v4.1 transcript:Potri.001G101800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101800.v4.1 MLNWVIQIAKCPDDPSIGVRSPQFKWKNHKGNELWLQAIRAREALLHRRHFDPNIEQSLLQVYNMNFQDNRKMHPSMFEDVSVLSEHFAERSRCSKRLPALAKPDVCSCCNSCSAPQSKSTSPLKTECENGLKEQELAVDLSSKNATFDGSGDGHVRRHVAVGPLFQAEVPEWTSVVSESDSKWLGTRLWPLECENHNAVFAMDPIGNGRPSVCGCQLPGSVGCVRFHIAEKRIKLKLELGYLFYHWQFDRMGEEVSLRWTTEEEKRFKDMVKFNLLSAGKCFWDNKHKYFPRKTREELVSYYFNAYLVRRRSYQNRVTPKNIDSDDDETEFGSFSDGYGHEVLMVPGAYMLICSENKQCTDFK >Potri.001G050000.5.v4.1 pep chromosome:Pop_tri_v4:1:3771202:3781172:-1 gene:Potri.001G050000.v4.1 transcript:Potri.001G050000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050000.v4.1 MARKMLIDGEVNQTNQVEEEAHFDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGANFGGEIEDARNYGWEVNEKVDFNWKKLLQKKTDEIIRLNGIYKRLLSNAGVKLYEGEGKIVGPNEVEMTQLDGTKLRYSAKHILIATGSRAQRPAIPGQELAITSDEALSLEDLPKRAVVFGGGYVAVEFASIWRGMGATVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPRSNLIELTKTEEGIKIYTDHKEELLADVVLFATGRAPNTKRLNLEAVGIELDNAGAVKVDEYSRTNIPTIWAVGDVTNRMNLTPVALMEGTCFAKTVFAGQPTKPDYNHIPCAVFSIPPLSVVGLSEEQALEQANGDVLVFTSTFNPMKNTISGRQEKTVMKLVVDAETDKVLGASMSGPDAPEIMQGIAVALKCGATKQQFDSTVGIHPSAAEEFVTMRSVTRRVTAGGKPKTTNP >Potri.001G050000.4.v4.1 pep chromosome:Pop_tri_v4:1:3771383:3781047:-1 gene:Potri.001G050000.v4.1 transcript:Potri.001G050000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050000.v4.1 MARKMLIDGEVNQTNQVEEEAHFDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGANFGGEIEDARNYGWEVNEKVDFNWKKLLQKKTDEIIRLNGIYKRLLSNAGVKLYEGEGKIVGPNEVEMTQLDGTKLRYSAKHILIATGSRAQRPAIPGQELAITSDEALSLEDLPKRAVVFGGGYVAVEFASIWRGMGATVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPRSNLIELTKTEEGIKIYTDHKEELLADVVLFATGRAPNTKRLNLEAVGIELDNAGAVKVDEYSRTNIPTIWAVGDVTNRMNLTPVALMEGTCFAKTVFAGQPTKPDYNHIPCAVFSIPPLSVVGLSEEQALEQANGDVLVFTSTFNPMKNTISGRQEKTVMKLVVDAETDKVLGASMSGPDAPEIMQGIAVALKCGATKQQFDSTVGIHPSAAEEFVTMRSVTRRVTAGGKPKTTNP >Potri.001G050000.3.v4.1 pep chromosome:Pop_tri_v4:1:3771250:3780548:-1 gene:Potri.001G050000.v4.1 transcript:Potri.001G050000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G050000.v4.1 MARKMLIDGEVNQTNQVEEEAHFDFDLFVIGAGSGGVRAARFSANYGAKVGICELPFHPISSEVIGGVGGTCVIRGCVPKKILVYGANFGGEIEDARNYGWEVNEKVDFNWKKLLQKKTDEIIRLNGIYKRLLSNAGVKLYEGEGKIVGPNEVEMTQLDGTKLRYSAKHILIATGSRAQRPAIPGQELAITSDEALSLEDLPKRAVVFGGGYVAVEFASIWRGMGATVDLFFRKELPLRGFDDEMRAVVARNLEGRGINLHPRSNLIELTKTEEGIKIYTDHKEELLADVVLFATGRAPNTKRLNLEAVGIELDNAGAVKVDEYSRTNIPTIWAVGDVTNRMNLTPVALMEGTCFAKTVFAGQPTKPDYNHIPCAVFSIPPLSVVGLSEEQALEQANGDVLVFTSTFNPMKNTISGRQEKTVMKLVVDAETDKVLGASMSGPDAPEIMQGIAVALKCGATKQQFDSTVGIHPSAAEEFVTMRSVTRRVTAGGKPKTTNP >Potri.004G146000.1.v4.1 pep chromosome:Pop_tri_v4:4:16857446:16859409:-1 gene:Potri.004G146000.v4.1 transcript:Potri.004G146000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G146000.v4.1 MGEVNPAFIQDLEHRPKLEIIKAEGIPLIDLSIISSPNTNLDNSQALDGLVKEIGNACKNLGFFQVINHGVPLDKRQKIENASRQFFGQPLEEKRKVRRDERKVLGYYDTEHTKNVRDWKEVFDFAVKTPTIVPSSYEPDDKEVTEWLNDQWPEYPLELREALEEYAKDVEKLAFKLLGLVALSLGLPENRFHGFFEDQTSFIRLNHYPPCPVPQLALGVGRHKDGGALTILAEDDVGGLEVKRKTDGEWIRVKPTPDAFIINIGDIIQVWSNDAYESVEHRVMVNSERERFSIPFFFNPAHYTDVKPLEELTNEQNPVRYKPYNWGKFFVTRKRSNFKKLDVENIQIYHFRILESELADKLEGALSIK >Potri.008G224084.1.v4.1 pep chromosome:Pop_tri_v4:8:18915439:18916598:-1 gene:Potri.008G224084.v4.1 transcript:Potri.008G224084.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224084.v4.1 MEENGLPASGDGEEAYSAVVLLSSAYDFPFCFPFVSVMMKVTAFCLGSSFSDLQEAIKKTVTLTLVFWVFCLSLSLFFSVCSLFFCFSGFPFFCSLIFSPHILASTTARSLSKSLFNILAVSLGESSFSDPSKSHLRPDPPPSSFLDNCPPLSSLCLF >Potri.001G205601.1.v4.1 pep chromosome:Pop_tri_v4:1:20930841:20932129:-1 gene:Potri.001G205601.v4.1 transcript:Potri.001G205601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G205601.v4.1 MSGGRKKTQEIRGSKKFRHAGIEPSLYSKFDRMFSNVVVTGHYAWTPSFRSLFDDDVNQSTQDVNVNEEENLEEGSGDLEEDGIPNYTNDVCNLVARVNIGNSSTTNSSGKRKAREQCGEQNKKKSKKSYGFEAQLLSCWDQLLDRVSIRNEK >Potri.012G028300.5.v4.1 pep chromosome:Pop_tri_v4:12:2781492:2782658:-1 gene:Potri.012G028300.v4.1 transcript:Potri.012G028300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028300.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLTFVFLITWSGFGSYLLGLNRKTYEQAGVDTEGNTPGSTGEPGIGWMTGFLFVSSFVGLLALVPLRKVLEAF >Potri.012G028300.7.v4.1 pep chromosome:Pop_tri_v4:12:2781990:2782658:-1 gene:Potri.012G028300.v4.1 transcript:Potri.012G028300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028300.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLTFVFLITWSGFGSYLLGLNRKTYEQAGVDTEGNTPGSTGEPGIGWMTGFLFVSSFVGLLALVPLRKVICFLQFS >Potri.012G028300.6.v4.1 pep chromosome:Pop_tri_v4:12:2781728:2782658:-1 gene:Potri.012G028300.v4.1 transcript:Potri.012G028300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G028300.v4.1 MNMNMEEMKEIERVGGEGMEEVRDEPEDIKRIAPWTKQITVRGRGIVASIAIGIIYSVIVMKLNLTTGLVPNLNVSAALLTFVFLITWSGFGSYLLGLNRKTYEQAGVDTEGNTPGSTGEPGIGWMTGFLFVSSFVGLLALVPLRKYKQDIKWI >Potri.013G022200.2.v4.1 pep chromosome:Pop_tri_v4:13:1432913:1445395:1 gene:Potri.013G022200.v4.1 transcript:Potri.013G022200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022200.v4.1 MADSQPADSSKIFSLRIVSIDHYMSPPIPGVDICYSSFQGGKVNEVPVIRVYGSTPAGQKTCLHVHRAFPYLYVPCLDIPINGNREGDAYTHAISLALEKALKLKGNVGSKRQHVHGCSLVRAKKFYGYHSDEELFVKIYLYYPHDVSRAANLLLSGAVFDKSLQPHESHIPFILQFLVDYNLYGMGHLHLSKMKFRNPVPDSFTPRKFSNQRQDGPEMDESTCISADFQADSSSGQCLVSPVWISSTIPSSWMWQFSSEFDVSSDQDMQRCKRQSVCNLEGDATIDDILNQQSKMYTSLSQARSDVKMVQSLIPIWEEEHERTGINEVTILPDPGKPLPGDVLKTLLHALEFERKLSELCIKTEGDLPLSESKINVLPTVTFTTDGENLAEHENVNSDNANEEFLKCSAEQDTIQSSAQGSVCEETDATPTEMKDLCLKLSSEIIGTVDPKVADEEALGLLRWLATSQAAEDINSDDELICDTILSPLLPAATIDKVLEKANIDYESESQKECQDILDSIEDLVNFEVFKEKASHSVDHSPQTSLEKKVLQSDTLRSSPYGSAGSSFKVESKSECKGYSQDQILPTTDSCISNKQKRNRSLWCSLPFSINQKANDDPEVARSKVVDLHVDESKNYAGTVMTGNEEAKCSDALVNADKNACEASVLVGCSVRDMMRRKRSRRTAQHGDGSVRVKNVHLGGEQDESNTLFPKQLDLHILPNDENDKRVYGPLDFRPSVNNQQTEFLETCAPKAIPHASSSASSMQVVTNPLSADTRREELQCTFTPPKQDAVVSMVGCEINKGKEFDFGGVTSIEPITSTVSSKFDSLPDNYLSKHILLADKRLERTEAAGSNCSPALPIDHDMFARDSYKPKYVHQGRISLQNLYDIPTTHLIGMGMSVDTGLQSENCAANQEGDSGLSILGSSAPEAFKMGGETIDLLGMTFCKKPPTAEWKDGASENVSFSPAPSFLPSSANVENKDRTSGCIFNFLGEHLPFFDGDYHDKKGVEIKSSSNIDFNAPQEAIIGVPTHYLNDGSVLYLLTHVFSPPSVDSVHRWLLCDDKDALRELNAVSAEPLLMKGSSEIGSQSSLPIHCDKVLTEPTPVAHLMPILNQAPQATNMNDNAESHLSSDEAQRKLQSEGNIMKLNPCTNCPMDISQISGPDRTSRLTPLSQIGFRDSASVGAGQQLTSLSIEVQAESRGDLRPNPRFDAINVVVLAFQNDGDSAVEVHVLLCSKSESCQRSYDGTSGCSVLVFSEEKHLFSHFMAIIVSFDPDILMGWDVQGGSLGFLAERAAHLGIGLLNNISRTPSEANIDVGERENSGKVILDTMLKESLITDSALVEDTVIEDEWGRTHASGVHVGGRVVLNVWRLMRGEVKLNMYTVEAVGEALLRRKIPSIPYKVLTKWFASGPGRARYRCIEYMIDRAKLNLEIMNQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGNVAPSKANTLGVSSFSTDPSVLRDLKDKILLTPNGTMYVPSEIRKGVLPRLLEEILSTRIMLKQAMKKLAPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISLVNANEKWKAKVIYGDTDSMFVLLKGRSVKESFQIGREIASAVTAINPDPVTLKLEKVYHPCFLLTKKRYVGYSYESADQIEPMFDAKGIETVRRDTCGAVAKIMEQSLRIFFEHEDISEVKTYLQRQWTRILSGRVSLQDFVFAKEVRLGTYSTRASAALPPAAIVATKAMRTDPRAEPCYAERVPYVVIHGEPGARLVDMVVDPLDLLAIDSPFRLNDVYYITKQIIPALQRVFGLLGADLNQWFSEMPRPAREALSKHPSYAPNPQRTRIDYYYLSKHCVLCGELVQASAHICNKCSQREIAAATAVIGRTSKLEKEMQHLAAICRHCGGGDWLLESGIKCTSLACSVFYERRKVQRELQGLSAVAGDVGLYPKCMVEWF >Potri.013G022200.5.v4.1 pep chromosome:Pop_tri_v4:13:1433060:1445647:1 gene:Potri.013G022200.v4.1 transcript:Potri.013G022200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022200.v4.1 MADSQPADSSKIFSLRIVSIDHYMSPPIPGVDICYSSFQGGKVNEVPVIRVYGSTPAGQKTCLHVHRAFPYLYVPCLDIPINGNREGDAYTHAISLALEKALKLKGNVGSKRQHVHGCSLVRAKKFYGYHSDEELFVKIYLYYPHDVSRAANLLLSGAVFDKSLQPHESHIPFILQFLVDYNLYGMGHLHLSKMKFRNPVPDSFTPRKFSNQRQDGPEMDESTCISADFQADSSSGQCLVSPVWISSTIPSSWMWQFSSEFDVSSDQDMQRCKRQSVCNLEGDATIDADILNQQSKMYTSLSQARSDVKMVQSLIPIWEEEHERTGINEVTILPDPGKPLPGDVLKTLLHALEFERKLSELCIKTEGDLPLSESKINVLPTVTFTTDGENLAEHENVNSDNANEEFLKCSAEQDTIQSSAQGSVCEETDATPTEMKDLCLKLSSEIIGTVDPKVADEEALGLLRWLATSQAAEDINSDDELICDTILSPLLPAATIDKVLEKANIDYESESQKECQDILDSIEDLVNFEVFKEKASHSVDHSPQTSLEKKVLQSDTLRSSPYGSAGSSFKVESKSECKGYSQDQILPTTDSCISNKQKRNRSLWCSLPFSINQKANDDPEVARSKVVDLHVDESKNYAGTVMTGNEEAKCSDALVNADKNACEASVLVGCSVRDMMRRKRSRRTAQHGDGSVRVKNVHLGGEQDESNTLFPKQLDLHILPNDENDKRVYGPLDFRPSVNNQQTEFLETCAPKAIPHASSSASSMQVVTNPLSADTRREELQCTFTPPKQDAVVSMVGCEINKGKEFDFGGVTSIEPITSTVSSKFDSLPDNYLSKHILLADKRLERTEAAGSNCSPALPIDHDMFARDSYKPKYVHQGRISLQNLYDIPTTHLIGMGMSVDTGLQSENCAANQEGDSGLSILGSSAPEAFKMGGETIDLLGMTFCKKPPTAEWKDGASENVSFSPAPSFLPSSANVENKDRTSGEHLPFFDGDYHDKKGVEIKSSSNIDFNAPQEAIIGVPTHYLNDGSVLYLLTHVFSPPSVDSVHRWLLCDDKDALRELNAVSAEPLLMKGSSEIGSQSSLPIHCDKVLTEPTPVAHLMPILNQAPQATNMNDNAESHLSSDEAQRKLQSEGNIMKLNPCTNCPMDISQISGPDRTSRLTPLSQIGFRDSASVGAGQQLTSLSIEVQAESRGDLRPNPRFDAINVVVLAFQNDGDSAVEVHVLLCSKSESCQRSYDGTSGCSVLVFSEEKHLFSHFMAIIVSFDPDILMGWDVQGGSLGFLAERAAHLGIGLLNNISRTPSEANIDVGERENSGKVILDTMLKESLITDSALVEDTVIEDEWGRTHASGVHVGGRVVLNVWRLMRGEVKLNMYTVEAVGEALLRRKIPSIPYKVLTKWFASGPGRARYRCIEYMIDRAKLNLEIMNQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGNVAPSKANTLGVSSFSTDPSVLRDLKDKILLTPNGTMYVPSEIRKGVLPRLLEEILSTRIMLKQAMKKLAPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISLVNANEKWKAKVIYGDTDSMFVLLKGRSVKESFQIGREIASAVTAINPDPVTLKLEKVYHPCFLLTKKRYVGYSYESADQIEPMFDAKGIETVRRDTCGAVAKIMEQSLRIFFEHEDISEVKTYLQRQWTRILSGRVSLQDFVFAKEVRLGTYSTRASAALPPAAIVATKAMRTDPRAEPCYAERVPYVVIHGEPGARLVDMVVDPLDLLAIDSPFRLNDVYYITKQIIPALQRVFGLLGADLNQWFSEMPRPAREALSKHPSYAPNPQRTRIDYYYLSKHCVLCGELVQASAHICNKCSQREIAAATAVIGRTSKLEKEMQHLAAICRHCGGGDWLLESGIKCTSLACSVFYERRKVQRELQGLSAVAGDVGLYPKCMVEWF >Potri.013G022200.1.v4.1 pep chromosome:Pop_tri_v4:13:1433058:1445075:1 gene:Potri.013G022200.v4.1 transcript:Potri.013G022200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G022200.v4.1 MADSQPADSSKIFSLRIVSIDHYMSPPIPGVDICYSSFQGGKVNEVPVIRVYGSTPAGQKTCLHVHRAFPYLYVPCLDIPINGNREGDAYTHAISLALEKALKLKGNVGSKRQHVHGCSLVRAKKFYGYHSDEELFVKIYLYYPHDVSRAANLLLSGAVFDKSLQPHESHIPFILQFLVDYNLYGMGHLHLSKMKFRNPVPDSFTPRKFSNQRQDGPEMDESTCISADFQADSSSGQCLVSPVWISSTIPSSWMWQFSSEFDVSSDQDMQRCKRQSVCNLEGDATIDDILNQQSKMYTSLSQARSDVKMVQSLIPIWEEEHERTGINEVTILPDPGKPLPGDVLKTLLHALEFERKLSELCIKTEGDLPLSESKINVLPTVTFTTDGENLAEHENVNSDNANEEFLKCSAEQDTIQSSAQGSVCEETDATPTEMKDLCLKLSSEIIGTVDPKVADEEALGLLRWLATSQAAEDINSDDELICDTILSPLLPAATIDKVLEKANIDYESESQKECQDILDSIEDLVNFEVFKEKASHSVDHSPQTSLEKKVLQSDTLRSSPYGSAGSSFKVESKSECKGYSQDQILPTTDSCISNKQKRNRSLWCSLPFSINQKANDDPEVARSKVVDLHVDESKNYAGTVMTGNEEAKCSDALVNADKNACEASVLVGCSVRDMMRRKRSRRTAQHGDGSVRVKNVHLGGEQDESNTLFPKQLDLHILPNDENDKRVYGPLDFRPSVNNQQTEFLETCAPKAIPHASSSASSMQVVTNPLSADTRREELQCTFTPPKQDAVVSMVGCEINKGKEFDFGGVTSIEPITSTVSSKFDSLPDNYLSKHILLADKRLERTEAAGSNCSPALPIDHDMFARDSYKPKYVHQGRISLQNLYDIPTTHLIGMGMSVDTGLQSENCAANQEGDSGLSILGSSAPEAFKMGGETIDLLGMTFCKKPPTAEWKDGASENVSFSPAPSFLPSSANVENKDRTSGEHLPFFDGDYHDKKGVEIKSSSNIDFNAPQEAIIGVPTHYLNDGSVLYLLTHVFSPPSVDSVHRWLLCDDKDALRELNAVSAEPLLMKGSSEIGSQSSLPIHCDKVLTEPTPVAHLMPILNQAPQATNMNDNAESHLSSDEAQRKLQSEGNIMKLNPCTNCPMDISQISGPDRTSRLTPLSQIGFRDSASVGAGQQLTSLSIEVQAESRGDLRPNPRFDAINVVVLAFQNDGDSAVEVHVLLCSKSESCQRSYDGTSGCSVLVFSEEKHLFSHFMAIIVSFDPDILMGWDVQGGSLGFLAERAAHLGIGLLNNISRTPSEANIDVGERENSGKVILDTMLKESLITDSALVEDTVIEDEWGRTHASGVHVGGRVVLNVWRLMRGEVKLNMYTVEAVGEALLRRKIPSIPYKVLTKWFASGPGRARYRCIEYMIDRAKLNLEIMNQLDMINRTSELARVFGIDFFSVLSRGSQYRVESMFLRLAHTQNYLAISPGNQQVASQPAMECLPLVMEPESGFYADPVVVLDFQSLYPSMIIAYNLCFCTCLGNVAPSKANTLGVSSFSTDPSVLRDLKDKILLTPNGTMYVPSEIRKGVLPRLLEEILSTRIMLKQAMKKLAPSQQVLHRIFNARQLALKLIANVTYGYTAAGFSGRMPCAELADSIVQCGRSTLEKAISLVNANEKWKAKVIYGDTDSMFVLLKGRSVKESFQIGREIASAVTAINPDPVTLKLEKVYHPCFLLTKKRYVGYSYESADQIEPMFDAKGIETVRRDTCGAVAKIMEQSLRIFFEHEDISEVKTYLQRQWTRILSGRVSLQDFVFAKEVRLGTYSTRASAALPPAAIVATKAMRTDPRAEPCYAERVPYVVIHGEPGARLVDMVVDPLDLLAIDSPFRLNDVYYITKQIIPALQRVFGLLGADLNQWFSEMPRPAREALSKHPSYAPNPQRTRIDYYYLSKHCVLCGELVQASAHICNKCSQREIAAATAVIGRTSKLEKEMQHLAAICRHCGGGDWLLESGIKCTSLACSVFYERRKVQRELQGLSAVAGDVGLYPKCMVEWF >Potri.T125606.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:106945:107886:-1 gene:Potri.T125606.v4.1 transcript:Potri.T125606.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125606.v4.1 MEVEVKLRLPDSDSHQKLSIILSSFHTKTLIQENIFFDTTNSKLSSNFAALRLRFYNLDSYCILSLKAKPIMSDGISRVEEQEEPIDPKIGRMCVAEPGQLLGLLESSKIIQRVRREFGVGENEVLVCLGMFRNVRQVFDWKGLKLELDETIYDFGTSYEIECESKEPEKDKKLIEGLLKDNGIEFSYSEANKFAVFRSGKLPR >Potri.014G134900.2.v4.1 pep chromosome:Pop_tri_v4:14:9046848:9052888:1 gene:Potri.014G134900.v4.1 transcript:Potri.014G134900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G134900.v4.1 MNFGLRMVSEPDQAPIHSITLFVALLCACILIGHLLEKHRWINESITALAIGLCTGTLILLTTQGRSSHILLFDEQLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIMLFGAVGTLISFIIISLGTAQLFKKLDIGFLDTVDYLGLGAIFSATDSVCTLQVLDQEETPLLYSLVFGEGVVNDATSIVLFNAILRFDLSHITSSSAIHLLGNFFYLFGASTALGIAVGLISAYIIKKLYFGRHSTDREVALMILMAYLSYIMAELFNLSGILTVFFCGILMSHYTWHNVSESSRVTTKHAFATMSFISEIFIFLYVGMDALDIEKWKIVSKSPGKSIGVSSILLGLVLVGRAASIFPLSFISNLTKKSQSDKIGFKQQIVIWWAGLMRGAVSMALAYNQFTRSGHTQLQENAIMITSTITVVLFSTVVFGLLTKPLISFLLPRKHTMLPSDLTNLKLLNLPLLANGQDSESDMNGDHIPRPVSLRMLLTTPSHTVHHLWRKFDDSFMRPVFGGRGFTAHVHDSPPERIIH >Potri.001G154100.2.v4.1 pep chromosome:Pop_tri_v4:1:12899656:12900786:-1 gene:Potri.001G154100.v4.1 transcript:Potri.001G154100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G154100.v4.1 MYGENFLDQSDYAFLESVRMHLLGEPTSPTTASSCFNPASTNSAMSAAAATTPATCTPVPVYCRSTSFSSLYPCLTENWGDLPLKVDDSEDMFLYGVLSDAVTVGWVPSLKTDQFIEPNLPAVKLEPSENLTISPPRTEAPIAEPAVVPSKGKHYRGVRQRPWGKFAAEIRDPAKNGARVWLGTFETAEDAALAYDRAAYRMRGSRALLNFPLRVNSGEPAPVRVTSKRSSPERSSSVESASLKRKKVGGTAGTATVVPQAGLEMRNGVGCQVGTHGEQLLVI >Potri.019G029200.1.v4.1 pep chromosome:Pop_tri_v4:19:4123948:4130675:1 gene:Potri.019G029200.v4.1 transcript:Potri.019G029200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029200.v4.1 MNDADVSKQIQQMVRFIRQEAEEKANEILVSAEEEFNIEKLQLVEAEKKKIRQEYERKEKQVQVRKKIEYSMQLNASRIKVLQAQDDVVNSMKDVAGKDLLNVSQHHHRYKHLLKDLIVQSLLRLKEPAVLLRCRKDDHHLVESVLNSAKEEYAEKANVYPPEVIVDHDVYLPPAPSHHNAHGPFCSGGVVLASRDGKIVFENSLDARLDVVFRKKLPEIRKLLVGQVV >Potri.008G060300.7.v4.1 pep chromosome:Pop_tri_v4:8:3626318:3629760:-1 gene:Potri.008G060300.v4.1 transcript:Potri.008G060300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060300.v4.1 MDESYGNLPSSHLLGSVPAVVTEEKAKTIVNYEVPEASMQTFPPNINGGSGRGYQTLGSPPEGFEQQPPNNWKGVFSISSYMQYFNVDTDIVVNRLMSSFYPIGGDFFSKIDANPDLYGLVWVATTLIFVLASLGNLATYLIQKRTDHKSSWSFDVGYVNVAVFSVYGYAIVVPLAFYFLFRYLESNPKLIQFWCMWGYSLFIFVPSSFLLVVPVEAFRWIIILVAGVDSGMFVASNLKTLVEGNDLAIIVVAAFFLQLALAIFFKVWFFQ >Potri.008G060300.5.v4.1 pep chromosome:Pop_tri_v4:8:3626284:3629736:-1 gene:Potri.008G060300.v4.1 transcript:Potri.008G060300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G060300.v4.1 MDESYGNLPSSHLLGSVPAVVTEEKAKTIVNYEVPEASMQTFPPNINGGSGRGYQTLGSPPEGFEQQPPNNWKGVFSISSYMQYFNVDTDIVVNRLMSSFYPIGGDFFSKIDANPDLYGLVWVATTLIFVLASLGNLATYLIQKRTDHKSSWSFDVGYVNVAVFSVYGYAIVVPLAFYFLFRYLESNPKLIQFWCMWGYSLFIFVPSSFLLVVPVEAFRWIIILVAGVDSGMFVASNLKTLVEGNDLAIIVVAAFFLQLALAIFFKVWFFQ >Potri.016G098150.1.v4.1 pep chromosome:Pop_tri_v4:16:9842729:9843820:-1 gene:Potri.016G098150.v4.1 transcript:Potri.016G098150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098150.v4.1 MIAAAKQKVAIYSRRPAYESRATRPKTGDKPLIHGGVRNHHHPHQQSKELHPLFLINEHWKIFLFLLHLSLNLSLGIINPNHRILPQPYSSPLSKHNLQPSMIKRFHCPNCASSQQFLIHHNQAFQTEPPKTDKQHTSCYCPLNETPLISRISRAILGKVSP >Potri.012G095166.1.v4.1 pep chromosome:Pop_tri_v4:12:11953724:11955215:-1 gene:Potri.012G095166.v4.1 transcript:Potri.012G095166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095166.v4.1 MAKSLMAPLPLLPVTGNVSKEERGFWGQPDGEGYKPYLHFSLKYRKASARIAKERRLFLVVVASGGLNHRRNQIVYAVVIARNLEAALVAPVLKVNPIWGDESEFSEIFNAEHFKRVLRADVQIVSSLPSEHLMSKQSIENQIPYDVSPNWIRARWFMYLNEESLLILKELDSKLSKNLPLDLQKLRCKVAFHALRFAAPI >Potri.008G109300.1.v4.1 pep chromosome:Pop_tri_v4:8:6920059:6933973:-1 gene:Potri.008G109300.v4.1 transcript:Potri.008G109300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109300.v4.1 MANLIDQDQEWLLNCLNATLDPNQEIRSLAEVSLRQASLQPGFGVALSKVAANKELPFGLRQLAAVLLKQFIKKHWHESEESFEPPAVATEEKEVIRRLLLPSLDDSHRKICTAISMAIASIAVYDWPENWPDLLPFLLKLINDRTNVSGVHGALRCLALLSGDLDDTVVPTLVPVLFPCLLTIVSSPQNYDNYLRTKALTIVYSCVSVLGIMSGVYKTEISALITPMLKPWMDQFSVILEPPMQPEDPDNWSLRMEVLKCLNQFVQNFPSLTESEFMVIVGPLWQTFVTSLSVYVRSSIECTEDPYGDRYDSDGAEKSLDAFVIQLFEFLLTIVGSAKLMKVVKNNIKELAYYTIAFLQMTEQQVHTWSRDANQFVADEDDATYSCRVSGVLLLEEVVNSFGSEGIYAIIDAMRERFNESEREKAAGSAAWWRIRESILFALADLSDQLLDAEASGMISVNLGNLVEQIVTIDVGTGVHEYPFLYARIFTSVAKFSSVISHGVLEHFLHAAIKGVGMNVPPPVKMGACQALSQLLPEANKENIQPQLMGLFSSLTDLLHQASDETLHLVLETLQASIKAVREAAVSFESVVSPVVLNTWALYVSDPFLSIDAIEVLEALKNAPGGIHPLVSRILPHIGPILNKPYQQPDGLVAGSLDLVTMLLKNAPSDIIKAIYDTCFDAVIRIVLQSDDHSEMQNATQCLASFISGGREEILSWAADSGFTMRSLLDAASRLLDPGMESSGSLFVGSYILQLILHLPLQMAMHIRDLVTALVRRMQSAQIVGLKSSLLLIFARLVHMSVPHVEQFIDMLIGIPAEGYENSFVYVMSEWTQKQGEIQGAYQIKVTTSALALLLSTRHAELNKVNVLGHLKSAAGITTRSKAKLAPDQWTLVPLPVKILALLADTVIEFQEQAMADDEESDWEEIQGGVAESNDSLLSSAAAPFGRTTYGQLEAMAKAYNENEEDWDDDDLLSVSDQLNGINLVNYLADFFAKFVHSNRQLFDHLCQSLAQAQRDAIQTLLRC >Potri.009G150600.2.v4.1 pep chromosome:Pop_tri_v4:9:11899296:11902542:-1 gene:Potri.009G150600.v4.1 transcript:Potri.009G150600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G150600.v4.1 MAASTVRINLDGKPINQLTILMIGAGGFIGSHLCEKILQETPHKILALDVYSDKIKHLLEPDSLEWAGRIQFHRINIKHDSRLEGLIKMSDLTINLAAICTPADYNTRPLDTIYSNFIDALPVVKYCSENGKRLIHFSTCEVYGKTIGSFLPKDSPLRQDPAYYVLKEDASPCIFGSIEKQRWSYACAKQLIERLIYAEGAENGLEFTIVRPFNWIGPRMDFIPGIDGPSEGVPRVLACFSNALLRREQLKLVDGGESQRTFVYIKDAIEAVLLMIENPDRANGHIFNVGNPNNEVTVRQLAEMMTAVYANVSGEPALEEPTVDVSSKEFYGEGYDDSDKRIPDMTIINKQLGWNPKTSVWDLLDSTLTYQHKTYAEAVKKVISKPTTS >Potri.007G062382.1.v4.1 pep chromosome:Pop_tri_v4:7:7098594:7098923:1 gene:Potri.007G062382.v4.1 transcript:Potri.007G062382.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062382.v4.1 MFKNRLLLHYPLLTRMSVVVSSSVVEPSGGEFVAPVTSFLPYLVLEMKWIPSPSGANPLHHMPFSFYDFLLSSLTTSTEKLSLASGLPNRMILFPPPLLKRKSPGTSFY >Potri.003G175550.1.v4.1 pep chromosome:Pop_tri_v4:3:18260506:18261580:1 gene:Potri.003G175550.v4.1 transcript:Potri.003G175550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175550.v4.1 MAVRHSSVKISIIAIMVIALLFAIGEAALVCVERCGVHPDCNAFCNRLGYRGGQCLPPVYVQCCCNR >Potri.014G012800.1.v4.1 pep chromosome:Pop_tri_v4:14:731095:735054:1 gene:Potri.014G012800.v4.1 transcript:Potri.014G012800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012800.v4.1 MDFGVQVGLDGLVGSDTSNSGFASLAGSDPEAKQKLYGSGFLKQERPGNIDGDWRSSKLSKTESMLLEQSNTSLLKSSSNFLFADGQQQQQQMLSFSYPRSAPSAERSSQNGTLPYFHLTSSAHNRNTGYSSGIYNAASMHGVLTETRWPFTQSQWMELEHQALIYKYIAANVPIPSNLLLPIRKALDSAGFPSFSAGFFRPNTLPWGAFHMGFSSNTDPEPGRCRRTDGKKWRCSRDAVADQKYCERHMNRGRHRSRKPVEGQSGHSAAAATTVKPANGTSSSTSSSVVGLRSTVSDSLTIAHNQQQAASPSNLSASNTLSRMFLTKENVGERTQDATALSMLRSNMDLKSKETPFFISKQQNSYGESLRNEFGLVTSDSLLNHSQKSSSLMSCRNFGSSQDLTDQESVSQHSLRQFMDDWPKSQSDRSAVSWPELDQQSERTQLSISIPMAPADFMSSTSSPNNEKVTLSPLRLSREFDPIQMGLGVGGGGGGIANEPNQRQANWIPISWGTSMGGPLGEVLHNTNNNAAAECKTTSSLNLMTYRWDNSPRIGSSPTGVLQKSAFASLSNSSAGSSPRAENKTNEGGSLCNDLLGSTIVHSSSLPAM >Potri.012G056400.1.v4.1 pep chromosome:Pop_tri_v4:12:5549058:5552370:1 gene:Potri.012G056400.v4.1 transcript:Potri.012G056400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056400.v4.1 MTCSREALVSLFNNVSSSSFLLLLLLYLSSNLLLKLINFIGSYPIIQRNRNGYEYNFSDEDEEEENETYSRYYVQSMEKENLAAGIVHGGDRESALQFMPPNNSTHTNVEDFISSPEEPLLEDQDGSFASEDMLSVLVSAGSESEHDDADMVEEEMPTSDTDSFHDSVPNLHGPTAPITKDLHKSGFMDSDEICGEDCINQEIENKKTHTNFSVDEKFLVLAPTRLESRKLQVQEKDEEEIFGDSCTVGSTSKSSSEWRSSIKDSGTEDPFSSSSRRSCPRWESYTVFQKYDEEMMFLDRISAQKLHETDSLRSIQVNPRSISDRIVHKFSTIKKKPSDIRQNPYHELEGAYVAQICLTWEALNWNYKNFERKRASQRDFDPGCPAHIAQQFQQFQVLLQRYVENEPYEQGRRPEVYARMRLLAPKLLLVPEYRDSEDDQKDDANFGSRISSAAFLMIMEDGIRTFMNFLKADKEKTCQILMAFFKRNRRDSVDPVLLQLMKKVNKKKRIKLKDLRRVRTCIRKRKLTVEEEMEILMGLIDLKLVSRVLRMSDMSEEQLHWCEEKMSKVRVLDGKLQRDSSPLFFPAH >Potri.008G005900.5.v4.1 pep chromosome:Pop_tri_v4:8:271345:274110:-1 gene:Potri.008G005900.v4.1 transcript:Potri.008G005900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G005900.v4.1 MARSLSVSLTKTYCLTSPTRFSSRLLTLRAQSNIPNSNSPSETDDSSSASSSTDPLLRKLEDAIHRIIVRRAAPDWLPFLPGSSYWVPSPRSTSGSLGIAHLVEKLANPLSDEESLSTTTVRGWPSSDYFVKGAPAHMMELKLTSKEVESDTTSSNVSHSEDEEG >Potri.007G075400.3.v4.1 pep chromosome:Pop_tri_v4:7:9954114:9958845:-1 gene:Potri.007G075400.v4.1 transcript:Potri.007G075400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075400.v4.1 MGSLSGIIQRPVIAAAVAALASVSVEFSDELQSFRSSDTCSTSEQSSSLLSNSVQDSKFSWVSHISVSKLANLSFVTRNRVPVPNVSFPVLDVSQNFVPNTLGSSVASSPFLVNLYRSAELAKGPKSSAFKTTTIPPSSPDILYRWHLPELSTIDVSGSSDCSSEKSRTVVVLLGWLGSKQKHLNKYAEWYTSRGFHVITFTFPLAEILSYQVGGKTEQDIDLLATHLADWLEEDGKNLVFHTFSNTGWLTYGAILEKFQKQDLSLMGRIRGCIVDSAPVAAPDPQVWASGFSAAFLKKHSVATKVHASSKESDMEVLVGSKTFMEPKPAIAESALLAVLEKFFDVILNIPMVNRRLSDVLSLLSSGQPSCPQLYIYSSADRVIPAGSVESFIEKQRRAGHEVRACNFVFTPHVDHFRNDPKLYTTQLSQFLDDYVLTHCKHS >Potri.018G103900.2.v4.1 pep chromosome:Pop_tri_v4:18:12376269:12381201:-1 gene:Potri.018G103900.v4.1 transcript:Potri.018G103900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G103900.v4.1 MEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDEIGVTVDGDLFVACNECGFPVCRPCYEYERREGTQNCPQCKTRYKRLKGSPRVEGDDEEDDVDDIEHEFIIEDEQDKNKHLTEAMLHGKMTYGRGHDDEENSQFPPVITGIRSRPVSGEFSIGSHGEQMLSSSLHKRVHPYPVSEPGSARWDEKKEGGWKERMDEWKMQQGNLGPEQDDDAEAAMLEDARQPLSRKVPIASSKINPYRMVIVARLIILAVFLRYRILHPVHDAIGLWLTSIVCEIWFAISWILDQFPKWLPIDRETYLDRLSLRYEKEGEPNMLAPVDIFVSTVDPMKEPPLVTGNTLLSILAMDYPVEKISCYLSDDGASMCTFEAMSETAEFARKWVPFCKKFNIEPRAPEFYFTLKVDYLKDKVQPTFVKERRAMKREYEEFKVRINAIVAKAQKVPTEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGHDVEGNELPRLVYVSREKRPGFSHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQIGKKVCYVQFPQRFDGIDTHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFKRQALYGYDPPKDPKRPKMETCDCCPCFGRRKKKNAKNGAVGEGMDNNDKELLMSHMNFEKKFGQSAIFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPMLYGYKEGKLKWLERFAYVNTTIYPFTSLALVAYCCLPAICLLTDKFIMPEISTFASLFFIGLFLSIFSTGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATDDDDFGELYAFKWTTLLIPPTTILIINLVGVVAGVSDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTRGPDTKQCGLNC >Potri.013G084000.1.v4.1 pep chromosome:Pop_tri_v4:13:7584974:7588166:1 gene:Potri.013G084000.v4.1 transcript:Potri.013G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G084000.v4.1 MSNNQLKFRLSSWLTLGCITLLQALSAPRFIFSACASLMEQNYHISHVQLNNLIVASETGRLFGFVSTAAATCFPAWMILFIGLVFGLVGYGVQCFCISHRIPALSFWQALLLNILAGNSSCWINTYCQLLATRNFKDSYRTIVEITSTYSGLSGKILTSLVEGIEGRKGSTNSSIYLLLTCLVPVAAGLIVALVHSCLEFMEYGDSDVFPAVFVLIIATGVYTVIESVAPFFGFVSLRLRAVILALVLTIPFKVALLTAAADWFSAEKYHSQVTRTESNDSFESNPEKVSKEVKIAIGEEREADQKAGGEVDSDDKGLFKAGNDSGMKQLLLNVDFWMFYLVNACGPTLGMVYLNNLERITQSRSMGEASFLLEISSAFGFFGRMLSIMFHWYTREKSVIANPALTVLLMIPMPIAVFLLLDSNRCLYISTGILGTCSGALIAINSMTTSELFGSENLAAKQTIVLTNIPLGSLLFGYLAAINLQSEGAGDHGVCIGLQCYHKTFIIWGSICFIGTILSFLLHLRTQNFYSQKS >Potri.018G107600.1.v4.1 pep chromosome:Pop_tri_v4:18:12624758:12627079:1 gene:Potri.018G107600.v4.1 transcript:Potri.018G107600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G107600.v4.1 MKIPKDRVRFNVGGRIFETTSTTLANAGRNSFFGALFSENWTLKQPNTDSFSNCEFFIDRNPDCFSVLLDLLRTGDLNIPPNVSERHIYREASFYGLLDHVRSAKWGQFDGNRLRHSRSVTGRAPGDGTAIRAGPDGGCCVAHGSVVHVYDWIMEEHPPLNLDYQRVNDVAWVDSENIIISACERLGRGDGGMGLFSKSTGELRYKFQVCHENQVKSFTAGALSTSSDYKIFSSCKGRSNEYGVGVWDQVTGKQIDFLYESPGWSLGDADKLQWLNGSNCLLVATLFPRKDNCYISMLDFRDKRMVWSWSDFGAPITVDEKRVRDAIAMEDSNAICVVNEYEDLGFMDLRMNGGSVRWSSRSRMMKGKLSDEPCYPKLALHGGQLFSSMDDCISVFCGPDWVLTSRLRQGYGGSICDFSIGGDRLFALHSEENVFDVWETPPPPIS >Potri.007G088700.1.v4.1 pep chromosome:Pop_tri_v4:7:11385740:11390218:-1 gene:Potri.007G088700.v4.1 transcript:Potri.007G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088700.v4.1 MASLAIETGHQEIHDLERNGHAEKAQWVLNAPEPPSLWQELTGSVRETVLPHARRFPTVKDKGSLSKTVISFLHAIFPIFCWCRNYKATNFKNDLLAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVIPPLIYAVMGTSRDIAIGPVAVVSLLLSSMIPKLEDPEANPILYRNLVLTTTFFAGIFQAAFGLFRLGFLVDFLSHAAIVGFVAGAAIVIGLQQMKGLLGITHFTNKTDVISVMEAIWRAVHHSWNPHNFILGCSFLTFILITRFVGRRNRKLFWLPAIAPLISVVLSTLLVYLTRADKHGVMIIKHIKRGLNPSSVHQLQFNNPHIGEVAKIGLIVAVVALTEAIAVGRSFASIKGYHINGNQEMVAMGFMNILGSFTSCYVATGSFSRSAVNFSAGCETAMSNIVMAITVIISLELFTRLLYYTPIAILAAIILSALPGLVDLHEAYNIWKIDKLDFLACAGAFIGVLFASVEIGLLAAVTISFVKIIIISIRPGAEVLGRLPETDIFCDVDQYPMAAKNPQVLIIRVKSGLLCFANANFVKEKIMKLATEEEEGSKGKRTIQVVILDMSNLMNIDVSGITSLVELHKNLASSGMELAITNPKWQVIHKLRVANVVTKIGGRVFLTIGEAVDACLGAKMAAV >Potri.009G124700.2.v4.1 pep chromosome:Pop_tri_v4:9:10388017:10388637:-1 gene:Potri.009G124700.v4.1 transcript:Potri.009G124700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124700.v4.1 MATVMQWCGAVARRAMAGQRSAVFSTSSISSGAEMAPILCGRGDKKTKRGKRFKGTYGNARPKKEKKIERIKDKVEVPRSTPWPLPFKLI >Potri.007G076000.1.v4.1 pep chromosome:Pop_tri_v4:7:10007055:10008568:-1 gene:Potri.007G076000.v4.1 transcript:Potri.007G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G076000.v4.1 MRFTKQFQFVCLALLFILGAWPSKSTARTLLDAPMYERHEQWMTQYGRVYKDDNERATRYSIFKENVARIDAFNSQTGKSYKLGVNQFADLTNEEFKASRNRFKDHMCSPQAGPFRYENVSAVPSTVDWRKEGAVTPVKDQGQCGCCWAFSAVAAMEGINKLTTGKLISLSEQEVVDCDTKGEDQGCNGGLMDDAFKFIEQNKGLTTEANYPYKGTDGTCNTNKAAIHAAKITGFEDVPANSEAALMKAVAKQPVSVAIDAGGSDFQFYSSGIFTGSCDTQLDHGVTAVGYGVSDGSKYWLVKNSWGAQWGEEGYIRMQKDISAKEGLCGIAMQASYPTA >Potri.019G096600.1.v4.1 pep chromosome:Pop_tri_v4:19:13437812:13438993:1 gene:Potri.019G096600.v4.1 transcript:Potri.019G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G096600.v4.1 MARSVIFLVAIFLSFCILSCIAQQQPCTTYKFSNNKQFSSYSDLPVLSSSLYWNYHPLSSRVEVAFRHTGVTGRRWIAWAINPTSGGMIGSQAIVSFQRTDGSLAVYTSPITSYGTRLEQGNLSFPVLELSATNQNNEMIIYASLELHGNISTVNHLWQVGPMSENTLMMHSVAPSSPNVKSMGSLDFLSGRIKATRSSSTTLKNVHGILNTVSWGILMPVGGVIARYLKRFESAGPLWFYLHVSCQLLAYILGGLSGFGTGIFLGIRSHGMEHSCHKIIGIVLFCLATAQVFGGLVRPDKDSKYRPFFNWFHFLAGCSTLILSIFNIYKGFDILHAARFWRLTYSGTILTLLLVTLLLEICTRWCLPITKHSMSNTVDKNTSTVAAVAAMEV >Potri.005G202600.2.v4.1 pep chromosome:Pop_tri_v4:5:20793061:20796088:1 gene:Potri.005G202600.v4.1 transcript:Potri.005G202600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G202600.v4.1 MIPCCCSLIPSPASISKFISDQPYLSMLDKNCTSMKDLQKIHAQLIKTGLAKDTIAASRVLAFCTSPAGDINYAYLVFTQIRNPNLFVWNTIIRGFSQSSTPHNAISLFIDMMFTSPTTQPQRLTYPSVFKAYAQLGLAHEGAQLHGRVIKLGLENDQFIQNTILNMYVNCGFLGEAQRIFDGATGFDVVTWNTMIIGLAKCGEIDKSRRLFDKMLLRNTVSWNSMISGYVRKGRFFEAMELFSRMQEEGIKPSEFTMVSLLNACACLGALRQGEWIHDYIVKNNFALNSIVITAIIDMYSKCGSIDKALQVFKSAPKKGLSCWNSLILGLAMSGRGNEAVRLFSKLESSNLKPDHVSFIGVLTACNHAGMVDRAKDYFLLMSETYKIEPSIKHYSCMVDVLGRAGLLEEAEELIKSMPVNPDAIIWGSLLSSCREYGNIEMAKQAAKRVNELDPNESSSFILLSNVYAAHNHFEEAIEQRLSLKEKQMDKEPGCSLIEVNGEVHEFVAGGRLHPRSKDIYHALDDLGLTLKEMG >Potri.008G049700.11.v4.1 pep chromosome:Pop_tri_v4:8:2914386:2924341:1 gene:Potri.008G049700.v4.1 transcript:Potri.008G049700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049700.v4.1 MGTENPGRPNFPLTGSPFAAPPPTTTPFSASGPVVGSEASGFRPPAQPPQNAMPSVSSGPVVGPQASGFRPNNLPARFNDPPVISPPTAYVTPIGGPPFQRYPTPQFPSAHQAPPPRAPPIGQPPFQSPAGQVPSPASFHPQPQVHAVPMGSPPSRANNPQLPSDSSSFGSRANFQPPFSSMDSSYSASRANLQPPLPGYVKQANAVSQAPPMAPFQAQQGSYAAPTPTPPPTFHPQQGGFAQPPPIAAPFGLHSRDQIQHPGSAPPIGGIQGLAEDFGSLSIGSVPGTIDSGLDPKALPRPLDGDVEPNSLGEAYSMNCNPRYLRLTTSAIPSSQSLLSRWHCPLGAVVCPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNDVPGDYFAQLDATGRRIDLNQRPELIKGSVDFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGFPRTQVGFITFDSAIHFYNMKSSLTQPQMMVVTDLDDIFVPLPDDLLVNLSESRLVVEAFLDSLPSMFQDNMNMESALGPAVKAAFMVMSQLGGKLLIFQNTMPSLGVGRLKLRGDDLRVYGTDKEHALRTPEDPFYKNMAAECTKYQIGVNVYAFSDKYIDIASLGALAKYSGGQVYYYPSFQSASHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTAAVPVVTDLGEMYRQADAGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREFRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSAALRGGYADVQLDDRCAAGFTMMALPVKKLLKLLYPSLIRVDEYLLKPSAQTDEFKNIMKRLPLTAESLDSRGLYVYDDGFRFVVWFGRMLSPDLAMNLLGQDAAAEFSKVSFGKHDTEMSRKLMGVLRKLRESDPSYYQLCNLVRQGEQPREGFFLLTNFVEDQIGGTSGYSEWMVQIHRQVQQNA >Potri.008G049700.9.v4.1 pep chromosome:Pop_tri_v4:8:2916353:2924926:1 gene:Potri.008G049700.v4.1 transcript:Potri.008G049700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049700.v4.1 MGTENPGRPNFPLTGSPFAAPPPTTTPFSASGPVVGSEASGFRPPAQPPQNAMPSVSSGPVVGPQASGFRPNNLPARFNDPPVISPPTAYVTPIGGPPFQRYPTPQFPSAHQAPPPRAPPIGQPPFQSPAGQVPSPASFHPQPQVHAVPMGSPPSRANNPQLPSDSSSFGSRANFQPPFSSMDSSYSASRANLQPPLPGYVKQANAVSQAPPMAPFQAQQGSYAAPTPTPPPTFHPQQGGFAQPPPIAAPFGLHSRDQIQHPGSAPPIGGIQGLAEDFGSLSIGSVPGTIDSGLDPKALPRPLDGDVEPNSLGEAYSMNCNPRYLRLTTSAIPSSQSLLSRWHCPLGAVVCPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNDVPGDYFAQLDATGRRIDLNQRPELIKGSVDFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGFPRTQVGFITFDSAIHFYNMKSSLTQPQMMVVTDLDDIFVPLPDDLLVNLSESRLVVEAFLDSLPSMFQDNMNMESALGPAVKAAFMVMSQLGGKLLIFQNTMPSLGVGRLKLRGDDLRVYGTDKEHALRTPEDPFYKNMAAECTKYQIGVNVYAFSDKYIDIASLGALAKYSGGQVYYYPSFQSASHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTAAVPVVTDLGEMYRQADAGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREFRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSAALRGGYADVQLDDRCAAGFTMMALPVKKLLKLLYPSLIRVDEYLLKPSAQTDEFKNIMKRLPLTAESLDSRGLYVYDDGFRFVVWFGRMLSPDLAMNLLGQDAAAEFSKVSFGKHDTEMSRKLMGVLRKLRESDPSYYQLCNLVRQGEQPREGFFLLTNFVEDQIGGTSGYSEWMVQIHRQVQQNA >Potri.008G049700.14.v4.1 pep chromosome:Pop_tri_v4:8:2914386:2924323:1 gene:Potri.008G049700.v4.1 transcript:Potri.008G049700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049700.v4.1 MGTENPGRPNFPLTGSPFAAPPPTTTPFSASGPVVGSEASGFRPPAQPPQNAMPSVSSGPVVGPQASGFRPNNLPARFNDPPVISPPTAYVTPIGGPPFQRYPTPQFPSAHQAPPPRAPPIGQPPFQSPAGQVPSPASFHPQPQVHAVPMGSPPSRANNPQLPSDSSSFGSRANFQPPFSSMDSSYSASRANLQPPLPGYVKQANAVSQAPPMAPFQAQQGSYAAPTPTPPPTFHPQQGGFAQPPPIAAPFGLHSRDQIQHPGSAPPIGGIQGLAEDFGSLSIGSVPGTIDSGLDPKALPRPLDGDVEPNSLGEAYSMNCNPRYLRLTTSAIPSSQSLLSRWHCPLGAVVCPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNDVPGDYFAQLDATGRRIDLNQRPELIKGSVDFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGFPRTQVGFITFDSAIHFYNMKSSLTQPQMMVVTDLDDIFVPLPDDLLVNLSESRLVVEAFLDSLPSMFQDNMNMESALGPAVKAAFMVMSQLGGKLLIFQNTMPSLGVGRLKLRGDDLRVYGTDKEHALRTPEDPFYKNMAAECTKYQIGVNVYAFSDKYIDIASLGALAKYSGGQVYYYPSFQSASHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTAAVPVVTDLGEMYRQADAGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREFRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSAALRGGYADVQLDDRCAAGFTMMALPVKKLLKLLYPSLIRVDEYLLKPSAQTDEFKNIMKRLPLTAESLDSRGLYVYDDGFRFVVWFGRMLSPDLAMNLLGQDAAAEFSKVSFGKHDTEMSRKLMGVLRKLRESDPSYYQLCNLVRQGEQPREGFFLLTNFVEDQIGGTSGYSEWMVQIHRQVQQNA >Potri.008G049700.10.v4.1 pep chromosome:Pop_tri_v4:8:2914389:2924922:1 gene:Potri.008G049700.v4.1 transcript:Potri.008G049700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049700.v4.1 MGTENPGRPNFPLTGSPFAAPPPTTTPFSASGPVVGSEASGFRPPAQPPQNAMPSVSSGPVVGPQASGFRPNNLPARFNDPPVISPPTAYVTPIGGPPFQRYPTPQFPSAHQAPPPRAPPIGQPPFQSPAGQVPSPASFHPQPQVHAVPMGSPPSRANNPQLPSDSSSFGSRANFQPPFSSMDSSYSASRANLQPPLPGYVKQANAVSQAPPMAPFQAQQGSYAAPTPTPPPTFHPQQGGFAQPPPIAAPFGLHSRDQIQHPGSAPPIGGIQGLAEDFGSLSIGSVPGTIDSGLDPKALPRPLDGDVEPNSLGEAYSMNCNPRYLRLTTSAIPSSQSLLSRWHCPLGAVVCPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNDVPGDYFAQLDATGRRIDLNQRPELIKGSVDFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGFPRTQVGFITFDSAIHFYNMKSSLTQPQMMVVTDLDDIFVPLPDDLLVNLSESRLVVEAFLDSLPSMFQDNMNMESALGPAVKAAFMVMSQLGGKLLIFQNTMPSLGVGRLKLRGDDLRVYGTDKEHALRTPEDPFYKNMAAECTKYQIGVNVYAFSDKYIDIASLGALAKYSGGQVYYYPSFQSASHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTAAVPVVTDLGEMYRQADAGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREFRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSAALRGGYADVQLDDRCAAGFTMMALPVKKLLKLLYPSLIRVDEYLLKPSAQTDEFKNIMKRLPLTAESLDSRGLYVYDDGFRFVVWFGRMLSPDLAMNLLGQDAAAEFSKVSFGKHDTEMSRKLMGVLRKLRESDPSYYQLCNLVRQGEQPREGFFLLTNFVEDQIGGTSGYSEWMVQIHRQVQQNA >Potri.008G049700.13.v4.1 pep chromosome:Pop_tri_v4:8:2914369:2924337:1 gene:Potri.008G049700.v4.1 transcript:Potri.008G049700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049700.v4.1 MGTENPGRPNFPLTGSPFAAPPPTTTPFSASGPVVGSEASGFRPPAQPPQNAMPSVSSGPVVGPQASGFRPNNLPARFNDPPVISPPTAYVTPIGGPPFQRYPTPQFPSAHQAPPPRAPPIGQPPFQSPAGQVPSPASFHPQPQVHAVPMGSPPSRANNPQLPSDSSSFGSRANFQPPFSSMDSSYSASRANLQPPLPGYVKQANAVSQAPPMAPFQAQQGSYAAPTPTPPPTFHPQQGGFAQPPPIAAPFGLHSRDQIQHPGSAPPIGGIQGLAEDFGSLSIGSVPGTIDSGLDPKALPRPLDGDVEPNSLGEAYSMNCNPRYLRLTTSAIPSSQSLLSRWHCPLGAVVCPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNDVPGDYFAQLDATGRRIDLNQRPELIKGSVDFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGFPRTQVGFITFDSAIHFYNMKSSLTQPQMMVVTDLDDIFVPLPDDLLVNLSESRLVVEAFLDSLPSMFQDNMNMESALGPAVKAAFMVMSQLGGKLLIFQNTMPSLGVGRLKLRGDDLRVYGTDKEHALRTPEDPFYKNMAAECTKYQIGVNVYAFSDKYIDIASLGALAKYSGGQVYYYPSFQSASHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTAAVPVVTDLGEMYRQADAGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREFRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSAALRGGYADVQLDDRCAAGFTMMALPVKKLLKLLYPSLIRVDEYLLKPSAQTDEFKNIMKRLPLTAESLDSRGLYVYDDGFRFVVWFGRMLSPDLAMNLLGQDAAAEFSKVSFGKHDTEMSRKLMGVLRKLRESDPSYYQLCNLVRQGEQPREGFFLLTNFVEDQIGGTSGYSEWMVQIHRQVQQNA >Potri.008G049700.8.v4.1 pep chromosome:Pop_tri_v4:8:2914338:2924842:1 gene:Potri.008G049700.v4.1 transcript:Potri.008G049700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049700.v4.1 MGTENPGRPNFPLTGSPFAAPPPTTTPFSASGPVVGSEASGFRPPAQPPQNAMPSVSSGPVVGPQASGFRPNNLPARFNDPPVISPPTAYVTPIGGPPFQRYPTPQFPSAHQAPPPRAPPIGQPPFQSPAGQVPSPASFHPQPQVHAVPMGSPPSRANNPQLPSDSSSFGSRANFQPPFSSMDSSYSASRANLQPPLPGYVKQANAVSQAPPMAPFQAQQGSYAAPTPTPPPTFHPQQGGFAQPPPIAAPFGLHSRDQIQHPGSAPPIGGIQGLAEDFGSLSIGSVPGTIDSGLDPKALPRPLDGDVEPNSLGEAYSMNCNPRYLRLTTSAIPSSQSLLSRWHCPLGAVVCPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNDVPGDYFAQLDATGRRIDLNQRPELIKGSVDFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGFPRTQVGFITFDSAIHFYNMKSSLTQPQMMVVTDLDDIFVPLPDDLLVNLSESRLVVEAFLDSLPSMFQDNMNMESALGPAVKAAFMVMSQLGGKLLIFQNTMPSLGVGRLKLRGDDLRVYGTDKEHALRTPEDPFYKNMAAECTKYQIGVNVYAFSDKYIDIASLGALAKYSGGQVYYYPSFQSASHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTAAVPVVTDLGEMYRQADAGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREFRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSAALRGGYADVQLDDRCAAGFTMMALPVKKLLKLLYPSLIRVDEYLLKPSAQTDEFKNIMKRLPLTAESLDSRGLYVYDDGFRFVVWFGRMLSPDLAMNLLGQDAAAEFSKVSFGKHDTEMSRKLMGVLRKLRESDPSYYQLCNLVRQGEQPREGFFLLTNFVEDQIGGTSGYSEWMVQIHRQVQQNA >Potri.008G049700.2.v4.1 pep chromosome:Pop_tri_v4:8:2914387:2924900:1 gene:Potri.008G049700.v4.1 transcript:Potri.008G049700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049700.v4.1 MGTENPGRPNFPLTGSPFAAPPPTTTPFSASGPVVGSEASGFRPPAQPPQNAMPSVSSGPVVGPQASGFRPNNLPARFNDPPVISPPTAYVTPIGGPPFQRYPTPQFPSAHQAPPPRAPPIGQPPFQSPAGQVPSPASFHPQPQVHAVPMGSPPSRANNPQLPSDSSSFGSRANFQPPFSSMDSSYSASRANLQPPLPGYVKQANAVSQAPPMAPFQAQQGSYAAPTPTPPPTFHPQQGGFAQPPPIAAPFGLHSRDQIQHPGSAPPIGGIQGLAEDFGSLSIGSVPGTIDSGLDPKALPRPLDGDVEPNSLGEAYSMNCNPRYLRLTTSAIPSSQSLLSRWHCPLGAVVCPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNDVPGDYFAQLDATGRRIDLNQRPELIKGSVDFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGFPRTQVGFITFDSAIHFYNMKSSLTQPQMMVVTDLDDIFVPLPDDLLVNLSESRLVVEAFLDSLPSMFQDNMNMESALGPAVKAAFMVMSQLGGKLLIFQNTMPSLGVGRLKLRGDDLRVYGTDKEHALRTPEDPFYKNMAAECTKYQIGVNVYAFSDKYIDIASLGALAKYSGGQVYYYPSFQSASHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTAAVPVVTDLGEMYRQADAGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREFRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSAALRGGYADVQLDDRCAAGFTMMALPVKKLLKLLYPSLIRVDEYLLKPSAQTDEFKNIMKRLPLTAESLDSRGLYVYDDGFRFVVWFGRMLSPDLAMNLLGQDAAAEFSKVSFGKHDTEMSRKLMGVLRKLRESDPSYYQLCNLVRQGEQPREGFFLLTNFVEDQIGGTSGYSEWMVQIHRQVQQNA >Potri.008G049700.12.v4.1 pep chromosome:Pop_tri_v4:8:2914362:2924337:1 gene:Potri.008G049700.v4.1 transcript:Potri.008G049700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049700.v4.1 MGTENPGRPNFPLTGSPFAAPPPTTTPFSASGPVVGSEASGFRPPAQPPQNAMPSVSSGPVVGPQASGFRPNNLPARFNDPPVISPPTAYVTPIGGPPFQRYPTPQFPSAHQAPPPRAPPIGQPPFQSPAGQVPSPASFHPQPQVHAVPMGSPPSRANNPQLPSDSSSFGSRANFQPPFSSMDSSYSASRANLQPPLPGYVKQANAVSQAPPMAPFQAQQGSYAAPTPTPPPTFHPQQGGFAQPPPIAAPFGLHSRDQIQHPGSAPPIGGIQGLAEDFGSLSIGSVPGTIDSGLDPKALPRPLDGDVEPNSLGEAYSMNCNPRYLRLTTSAIPSSQSLLSRWHCPLGAVVCPLAEAPDGEEVPVINFVSTGIIRCRRCRTYVNPYVTFTDSGRKWRCNICALLNDVPGDYFAQLDATGRRIDLNQRPELIKGSVDFVAPTEYMVRPPMPPLYFFLIDVSVSAVRSGMIEVVAQTIKSCLDELPGFPRTQVGFITFDSAIHFYNMKSSLTQPQMMVVTDLDDIFVPLPDDLLVNLSESRLVVEAFLDSLPSMFQDNMNMESALGPAVKAAFMVMSQLGGKLLIFQNTMPSLGVGRLKLRGDDLRVYGTDKEHALRTPEDPFYKNMAAECTKYQIGVNVYAFSDKYIDIASLGALAKYSGGQVYYYPSFQSASHGEKLRRELARDLTRETAWEAVMRIRCGKGIRFTSYHGNFMLRSTDLLALPAVDCDKAYGAQLSLEETLLTSQTVYFQVALLYTASCGERRIRVHTAAVPVVTDLGEMYRQADAGAIVSLFARLAIEKSLSHKLEDARSSVQLRIVKALREFRNLYAVQHRLGGRMIYPESLKLLPLYGLALSKSAALRGGYADVQLDDRCAAGFTMMALPVKKLLKLLYPSLIRVDEYLLKPSAQTDEFKNIMKRLPLTAESLDSRGLYVYDDGFRFVVWFGRMLSPDLAMNLLGQDAAAEFSKVSFGKHDTEMSRKLMGVLRKLRESDPSYYQLCNLVRQGEQPREGFFLLTNFVEDQIGGTSGYSEWMVQIHRQVQQNA >Potri.002G190400.1.v4.1 pep chromosome:Pop_tri_v4:2:15216646:15219379:-1 gene:Potri.002G190400.v4.1 transcript:Potri.002G190400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190400.v4.1 MIVESEVVSVPVLDVQYFAAEGKSPAHEIEDVVTVSSSPRRLSQVRVSDLISAELSASQLDITCSEKVSDAETIESAILEFVPSIRSGSFADIGNRRYMEDEHIRIDDLSAELGSAFKFPKPSAFYGVFDGHEGPEAAAYIRRNAMRIFFEDVNFPQTSEVDNIFLKEVENSLRKAFHQADLALENDCSVSTFSGTTALTAFVFGRLLMVANAGDCRAVLCRKGKAIDMSQDHRPIYPSERRRVEELGGYVDNYGFLNGELSVSRALGDWYNKHSWGSPSPLISEPEFQHLVLTEEDEFLIIGCDGIWEFISSQHAVSLVRRGLRQHDDPEKCAKDLVMEALDCKALDNLTALIVCFSSPLAPKQKQGCSLSAEALCSLRNHLERSANC >Potri.017G016600.1.v4.1 pep chromosome:Pop_tri_v4:17:1221497:1224978:1 gene:Potri.017G016600.v4.1 transcript:Potri.017G016600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G016600.v4.1 MFFYLSVLFLSLLFTSHSLNQDGLFLQRVKLGLSDPAHSLSSWNDRDDTPCNWYGITCDNSTHRVSSVDLSSSELMGPFPYFLCRLPFLTSINLLNNSINSSLTDDIATCQNLESLDLSDNLLVGSIPASLSELRNLKLLNLESNNFSGVIPAKFGLFQKLEWISLAGNLLTGSIPSELGNISTLQHLLVGYNPFAPSRIPSQFGNLSNLVELWLANCNLVGPIPESLSKLTRLTNLDFSLNRLTGSIPSWLTGLKSIEQIELYNNSLSGGLPLGFSNLTMLRRFDASTNQLTGTIPTQLTQLELESLNLFENRLVGTLPESIANSPNLYELKLFNNELTGELPSQLGLNSPLKWLDVSYNKFSGNIPGNLCAKGELEDLILIYNSFSGKIPESLGKCDSLGRVRLRNNGFTGAVPEEFWGLPQVYLFELEENSFSGKVSNRIASAYNLSVLKISKNKFSGNLPMEIGFLGKLIDFSASDNMFTGPIPESMVNLSTLSMLVLGDNELSGGLPGGIQGWKSLNELNLANNKLSGPIPDEIGSLQVLNYLDLSGNYFSGKIPVQLEDLNLNLLNLSNNMLSGALPPLYAKEMYRSSFVGNPGLCGDLKDLCLQEGDSKKQSYLWILRSTFILAVVVFVVGVVWFYFKYQDFKKEKEVVTISKWRSFHKIGFSEFEILDFLREDNVIGSGASGKVYKAVLSNGETVAVKKLGGESKKDNTNGSSEKDEFEAEVETLGRIRHKNIVRLWCCCNTGDCKLLVYEYMPNGSLGDLLHGSKGGSLDWPTRYRIALDAAEGLSYLHHDCVPPIVHRDVKSNNILLDAEFGARVADFGVAKVVQGVNKGMESMSVIAGSCGYIAPEYAYTLRVNEKSDIYSFGVVILELVTGRLPVDPEFGEKDLVKWVCTTLDQNGMDHVIDPELDSRYKDEISKVLDIGLRCTSSFPISRPSMRRVVKMLQEAGMGEKPTADKNDEKPTPYYHEEVSDQGSLVQEF >Potri.013G046150.1.v4.1 pep chromosome:Pop_tri_v4:13:3248661:3250925:1 gene:Potri.013G046150.v4.1 transcript:Potri.013G046150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046150.v4.1 METNHHTTQQTEKEKNPNLEKILGIKMRSIGVLMTCPMDKYLEQHLETHFNLFKLWHCNSSITEFLKTDQGNTIRAVVGNTEIGEDVELIASLPSLEIVANYSVGLDKIDLRKCEEKGIRVANTPDVLTDDVADLAIWLILRVLRRICASDAYVRIGKWKDADFGLATKVC >Potri.010G165800.3.v4.1 pep chromosome:Pop_tri_v4:10:16949538:16955783:1 gene:Potri.010G165800.v4.1 transcript:Potri.010G165800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165800.v4.1 MEMDPKTKDYEALTELLPLNVLIFLLIILLWPFNMFYRSSRFLLLTCIFHCIAAPLYKVTLPDFFLADQLTSQVQSLRSLEFYICYYAWGDYKHRRNNCKESPVFITFSFIVAVIPYWSRLLQCLRRLFEEKDPMQGYNGLKYFLTIVAVCLRTAYNINNGDGWRAIAWVFSSVAAIIGTYWDLVFDWGLLQRHSKNRWLRDKLLVPHKSVYFGAMVLNILLRFAWLQTVLNFRVTSLHEETMVTLVASLEIIRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFNNVEDDDHDD >Potri.010G165800.1.v4.1 pep chromosome:Pop_tri_v4:10:16946056:16955813:1 gene:Potri.010G165800.v4.1 transcript:Potri.010G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165800.v4.1 MKFGKEFKAQAVPEWQEAYMDYDFLKTLLKEIQSFRLRTNPPATNSGGLKRKLTLYRAFSGLTRRNSTNYTPMSPSSPDLELQPILVNSVNLAGSQSYQTTFLMPTVRGGEYELVFFRRLDDEFNKVDKFYRSKVEEVLKEAEMLNKQMDALIAFRIKVENPAGWSDRVADMTRLASDVAASTAALAASSPSGARERRRGLHLMDAIEEGQSLHEQSDESDHDKMDKESDNIDQKEEEEEEEEKPKSMVRSTFRPAPLEILNRVKINNTLATPRSTIKSFLKVPQQTELKFTRENLRKVEEQLKGAFFEFYQKLRLLKSYSFLNTLAFSKIMKKYDKITTRDASQVYMKMVDNSFLGSSDEVTKLMERVEATFIKHFLNSNRSKGMRVLRPKGKKERHRITFYMGFFSGCTVALLIALVLIVNVRKIMNNPGRNTYMQTMFPLYSLFGLIVLHVLIYAANIYFWRRYRVNYSFIFGFKRETELGYRQVLLLGFGIAVLALCSVHLNLDMEMDPKTKDYEALTELLPLNVLIFLLIILLWPFNMFYRSSRFLLLTCIFHCIAAPLYKVTLPDFFLADQLTSQVQSLRSLEFYICYYAWGDYKHRRNNCKESPVFITFSFIVAVIPYWSRLLQCLRRLFEEKDPMQGYNGLKYFLTIVAVCLRTAYNINNGDGWRAIAWVFSSVAAIIGTYWDLVFDWGLLQRHSKNRWLRDKLLVPHKSVYFGAMVLNILLRFAWLQTVLNFRVTSLHEETMVTLVASLEIIRRGMWNFFRLENEHLNNVGKYRAFKSVPLPFNNVEDDDHDD >Potri.007G059401.1.v4.1 pep chromosome:Pop_tri_v4:7:6310208:6326943:-1 gene:Potri.007G059401.v4.1 transcript:Potri.007G059401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G059401.v4.1 MEGLVLCPANYVPLSPISFLERAATVYGDRTSIVYGSYVRFSWKDTFARCLKVASALVQLKIFPGDVVVALAPNVPALYELHFGVPMAGAVLSALNTRLDASTLALTLEQLNAKLIFVDYQFNDVALKALDLLSLKSVKPPHLVGIPECDKSTSSMDCKNISCDLDYNGLLEMGRDDFTIIRPNKECDPISVNYTSGSTGKPKGVIYSHRAAYLNSLAEIFRFDMRQMPVFLWTVDMFRCNGWCLTWAMAALGATNICLRNVSAEVIFDSISLHKVTHFCGPPAILNTIANAPAGNQNPLPFNVNVIIAGPFPYSEILTKVEGLGFNVYHGYGMTEALGPAIVRPWKPELDSTFENEQEKIRNREGLHNLLIEGVDVKDPNTMKSVPRDGKTIGEVMFRSNILMSGYLKNSEVTQEAFRGGWYHTGDLGVRHQNGYIQMKDRGKDIIISGGEAISTLEVEAVLLSHPKVSEAAVVGQPDAILNEVPCAFVKVKEGFGASAEEITNFCGNRLPDHMIPKSIVFGDLPVNFSGKVQKFAIREKVICSTSLSNC >Potri.010G108600.1.v4.1 pep chromosome:Pop_tri_v4:10:12938913:12941103:-1 gene:Potri.010G108600.v4.1 transcript:Potri.010G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G108600.v4.1 MGILHYVENKMHESKEYFMQPLQILYAFFSHLGSKNRYTIREVEPGPPHEALFFVLAYLDVFDLLVMSEVCMPLRDAVSKDVLPWRDIIIERPLNSRLSDEILVQITSKAHGRLRTLALINCFKITDDGLQTVIEKNHLISKLHVPGCSGLTPEGIIRTVKTLSQHHNSLESLQINGIHNLKKEHLETISSHLQMNPPHQKPQPILYHHHSSSLTSRNEESSRMIDVDICPKCNEVRTVFDCPRATSKSGREHSFTHCRGCYFCISRCEECGQCVDDEELEETLCFGILCTDCWLLLPKCCFCNQAYCKQHENQRCSLPDSTGFLCDLCNEKLETYGELE >Potri.005G229500.3.v4.1 pep chromosome:Pop_tri_v4:5:22899777:22901988:-1 gene:Potri.005G229500.v4.1 transcript:Potri.005G229500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229500.v4.1 MGVEVETVCVTGASGFIGSWLVMRLLEKGYTVRATVRDPDNTRKVKHLLELPKADTHLTLWKADLSVEGSFDEAVQGCTGVFHVATPMDFESKDPENEVIKPTINGVLDIMKACAKAKTVRRIVFTSSAGTVDVEEHKKPVYDESCWSDLEFVQTVKMTGWMYFVSKTLAEQAAWKYAKENNLDFISVIPPLVVGPFIMHSMPPSLITALSLITGNEAHYGIIKQGNYVHLDDLCRAHIVLFENPKAEGRYICSSHEATIHDLAKLLREKYPKYNVPAKFKDIDEDLASVVFSSKKLLDLGFEFKYSLEEMFAGAVETCREKGLIPLSHEKQAAEDKLVGAVETCREKGPIPLSHEKQAVEESKENGRVPADSEAL >Potri.004G230300.1.v4.1 pep chromosome:Pop_tri_v4:4:23380986:23389288:-1 gene:Potri.004G230300.v4.1 transcript:Potri.004G230300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G230300.v4.1 MSEMRFSDPSRLHLKKELTQIRKAARVLRDPGTSSSWKSPLNSARSAATMAAAASSTSASAWKHFETENAIQNGGGGGSHNNNSAHLDSHFKSGNNHGKDKRVFLYNWKSQKSSSEKSALARNDADDDYESCSIQGSLDDSLSDARNAGDSKSDTYLGETRSAAMIFRCRDANLVSPSMRRAMGIKKKSKKTNARFDVLSRYQQKEMNLRRLLKGHPSMGLGLGLGRDDVVEQSDDTEEYSNSEYLRKISGASPLLLKLKHKNRSHSPSKLLRTTRKEDSSYSHSTPALSASSYDKYRKRNPSNVGSWDATTTSVNDGDDEDDDHLDLPGRQGCGIPCYWSKRTPRYRGVCGSSCCSPSLSDTLRRKGSSMFCGSQPLYHRRRRSWSISNKRRIGSRTGHALLPLLTNSGDGIGGSSIGTGLSDDELSTNYGELDLEALSRLDGRRWSSCRSQDGLEIVALNGDGEEEGTPENIGSLSQKYKPVFFSELIGQNIVVQSLTNAISRGRIAPVYLFQGPRGIGKTSAARIFASALNCTSAEEIKPCGYCRECSDSISGKTRDLWEVDGTDKKGIDKVRYLLKKISHRPPLGSSHYKVFLIDECHLLPSKMWLAFLKFLEEPPQRVVFIFVTTDPDNVPRTVQSRCQKYLFNKIKDGDIVARLRKISKEENLDVELGALDLISLNADGSLRDAETMLDQLSLLGKKITTSLVNELVGVVSDEKLLELLELAMSSDTAETVKRARDLMDSGVDPMVLMSQLASLIMDIIAGTYNVVDAKHGDSLFGTHNLTEAELERLKHALRLLSEAEKQLRISSDRSTWFTATLLQLGSTPSMDLTQSSSSRRQSSRTTEEDPSSASKESKVYKTKSNAQYLTQRSSSPPSLYREINGCSSQQGEFGFNAKAPRSRLVNSRTSSTSLDDEITGNMIFRYKNSEKLDDIWEKCIEKCHSQTLRQLLHAHGKLLSISEVDGALAVYVAFEDQDIKARAERFLSSITNSIEIVLRRNVEVRIILITDGLDSVIYANQSELQEGHRQADTTLAIELGKKANCSDAVAGYSNLDLQEEFPKLSKGSFNDANAENNGEGKREMPMQRIESIIREQRLETAWLQAAEKGTPGSLSCLKPEKNQVLPQDDTYQQSQIDSIGSVAPSSQTWGDELNHELKVLKMQNRRVHHKDQIGHMVDHYPISPSLLHGSSYVVNGSKESLGYESSSAGGGCSGLLCWNTSRSHRAKVKETPVQPRGRSGRFSLFGECAKQKKPDSRITR >Potri.012G067100.2.v4.1 pep chromosome:Pop_tri_v4:12:8636876:8639930:-1 gene:Potri.012G067100.v4.1 transcript:Potri.012G067100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G067100.v4.1 MSIILENDSVFPTHENYSSTPVISENTKPEGVRIRRYSSGDITIPYSRVRILSRYLASSTGSCHDYCKYGIKNDSETKTSNPILKRIPEKQGRETKKTVTSAERRRTFSVVCIPSPGSKRHNSSVPVVIGTKVPSSTKKKIVLSEQLSLPVKIKDAGEKKVLVRPTLSSSVKKVVSVIPKHSVKRVSQPKGQNKVVKAEIDTYENKAMKLSRNGTLKAGLSAPPAEKILVRTRKGIHATQLPQSTEKNFIRHIKDVTTRVSRRTPLSSSALFKSSHSSASCEYHESDTMNTVANKLPSKTRPRKGGVFCTTDKNSAAIKESSRSGKVFELHPENSTSKRLKFTRRALNDGQMGEVDTSKNNLKNKEVCEGEANIAKRESEKIVLRRKDVQEKKIVQSLLNNMIEETACKLVENRKSKVKALVGAFETVISLQDSTTSSTFCAC >Potri.007G028400.1.v4.1 pep chromosome:Pop_tri_v4:7:2163577:2165192:-1 gene:Potri.007G028400.v4.1 transcript:Potri.007G028400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028400.v4.1 MSSTLRHLRHLSTTTQKISITKAKSRLRTEHDPDKALAIFSSASSNDSSPPVVSRYAQDLTVRRLAKSHRFADIESLMESHKSDPKIKQEPFLSSLIRSYGVAGMFDQALKTYQEMDQLGTPRSCISFNALLSACIQSKLYKKVPVLFNEISEKYRVLPDTVSYGMLVKAYCEDGKPDKAIEVLGGMEKKGVEVTAIVCTPVLNCLYSKGKKDEAERFLDGMVERGCELDAVVYNVKISNAVSQGPERVKELIEEMESYGLKPDTISYNYLMTSYCTSGMMEEAKKVYEEFRVHGCKANAATFRTLVFNLCKSGEYEKGYEIFKDSVKVHRIPDFNTLKYLVKGLVEKKKIKEAKGLIRTMKKKFPLNLLNAWKKVEENLGLHSTEEDKEVKEATA >Potri.005G038850.1.v4.1 pep chromosome:Pop_tri_v4:5:2490272:2490729:-1 gene:Potri.005G038850.v4.1 transcript:Potri.005G038850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038850.v4.1 MADRDIALQILTLLSILSSTVNSIDFNYPAVFNFGDSNSDTGELAAGLGFLLAPPNGQIYFKTPTGRFCDGRLNVDFLSKVSISNLAKLCHFYCMQNDFVYTLQ >Potri.019G043150.1.v4.1 pep chromosome:Pop_tri_v4:19:5987290:5987850:-1 gene:Potri.019G043150.v4.1 transcript:Potri.019G043150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043150.v4.1 MPKVACMHKLRLKTWKFVSNAEAAAYARIIVFWNPETVMVEMLHFSAQGIHVLITSLVQQFCFSATFIYGFNTITARRALWEDLRRWGTEDLWLLLGDFNSILSQEDKHNGEPVSIYETLDFRECCSDLRIADLNSTGSHFTWTNGTIWTKIDRVMANPQWLSLQQMTHVHFGTPGAFSDHSPSTV >Potri.003G195600.1.v4.1 pep chromosome:Pop_tri_v4:3:19790064:19799121:-1 gene:Potri.003G195600.v4.1 transcript:Potri.003G195600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195600.v4.1 MGQASSPVLILLAFGFFFATYNLVTMTMHNRSIGKWVYDDSDGEAFFDPVIEMPEEVKKPKNARMPFHVALTATDAPYSKWQCRIMYYWYKKNRDLSGSEMGGFTRILHSGKPDNLMDEMPTVVVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYILMAEPDHILVNPLPNLARGGLPAAFPFFYIEPAKFENIVRKYYPEEKGPVTDIDPIGNSPVIIKKELLEKIAPKWMNVSLKMKNDKETDKAFGWVLEMYAYAVAAALNDVQHVLRKDFMLQPPWDLSTRKFFIIHYTYGCDYNLKGQLTCGKIGEWRFDKRSYLRGPPPKNLSLPPPGVPESVVTLVKMVNEATANIPNWDAE >Potri.003G195600.7.v4.1 pep chromosome:Pop_tri_v4:3:19790064:19795113:-1 gene:Potri.003G195600.v4.1 transcript:Potri.003G195600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195600.v4.1 MGQASSPVLILLAFGFFFATYNLVTMTMHNRSIGKWVYDDSDGEAFFDPVIEMPEEVKKPKNARMPFHVALTATDAPYSKWQCRIMYYWYKKNRDLSGSEMGGFTRILHSGKPDNLMDEMPTVVVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYILMAEPDHILVNPLPNLARGGLPAAFPFFYIEPAKFENIVRKYYPEEKGPVTDIDPIGNSPVIIKKELLEKIAPKWMNVSLKMKNDKETDKAFGWVLEMYAYAVAAALNDVQHVLRKDFMLQPPWDLSTRKFFIIHYTYGCDYNLKGQLTCGKIGEWRFDKRSYLRGPPPKNLSLPPPGVPESVVTLVKMVNEATANIPNWDAE >Potri.003G195600.6.v4.1 pep chromosome:Pop_tri_v4:3:19790063:19799121:-1 gene:Potri.003G195600.v4.1 transcript:Potri.003G195600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G195600.v4.1 MGQASSPVLILLAFGFFFATYNLVTMTMHNRSIGKWVYDDSDGEAFFDPVIEMPEEVKKPKNARMPFHVALTATDAPYSKWQCRIMYYWYKKNRDLSGSEMGGFTRILHSGKPDNLMDEMPTVVVDPLPAGLDRGYIVLNRPWAFVQWLEKTTIEEEYILMAEPDHILVNPLPNLARGGLPAAFPFFYIEPAKFENIVRKYYPEEKGPVTDIDPIGNSPVIIKKELLEKIAPKWMNVSLKMKNDKETDKAFGWVLEMYAYAVAAALNDVQHVLRKDFMLQVQSKPCVALCAHPHGI >Potri.011G127800.1.v4.1 pep chromosome:Pop_tri_v4:11:15568556:15569572:1 gene:Potri.011G127800.v4.1 transcript:Potri.011G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G127800.v4.1 MRILPYKILLSLTIDIISLASNIYTPLFPRILRLRVMIKEVKTQVNVGVAVDVLWKALTKDLKDILPKMMPNLVKDADMLEGDGGLGTIYLFNFGPGIKTVTYQKERVSEFDESVHRIGLEVIEGGHLDHGFSHHKATFQLTSTGEQETLIDVTISYESATEEDIMPPNTPSSTLLFIKNLENYLVHGAP >Potri.017G052900.2.v4.1 pep chromosome:Pop_tri_v4:17:3976628:3979891:1 gene:Potri.017G052900.v4.1 transcript:Potri.017G052900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052900.v4.1 MHCACYSALNKVLLSLSFSAAKESFIYYIYTASMDNNRYQIMMEARGVVCCKCRQRLSLLTQNSTGVKCPICNMMNPVPTCERSRSKDVKAKENISGPDLLISSKKTESLNKMPSPSEIWRSSSGTRTARKRALLIGVTYKRKHMLKGTINDVKSMRGFLIKNFGFKEENIRVLTEQDTTKKNILQSMEWLVKDCQAGDSLVFYFSGHGLRQPDFERDERDGFDENICPVDFMTEGMIRDNEINSLIVWPLKKDVTLHAIVDACHSGTILDLEHVYNREQNKWEDNSPPSGNARKHTDGGLAISVSACLDNENAADTSAFTKTMNGALTYLLIYFLKKYPGLTYGDLLDLMHEELRKFNEGGCLPAKFLRKIFNDLLSQSPQISSSQPFDVYKRHFIL >Potri.017G052900.1.v4.1 pep chromosome:Pop_tri_v4:17:3976628:3979891:1 gene:Potri.017G052900.v4.1 transcript:Potri.017G052900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052900.v4.1 MHCACYSALNKVLLSLSFSAAKESFIYYIYTASMDNNRYQIMMEARGVVCCKCRQRLSLLTQNSTGVKCPICNMMNPVPTCERSRSKDVKAKENISGPDLLISSKKTESLNKMPSPSEIWRSSSGTRTARKRALLIGVTYKRKHMLKGTINDVKSMRGFLIKNFGFKEENIRVLTAEQDTTKKNILQSMEWLVKDCQAGDSLVFYFSGHGLRQPDFERDERDGFDENICPVDFMTEGMIRDNEINSLIVWPLKKDVTLHAIVDACHSGTILDLEHVYNREQNKWEDNSPPSGNARKHTDGGLAISVSACLDNENAADTSAFTKTMNGALTYLLIYFLKKYPGLTYGDLLDLMHEELRKFNEGGCLPAKFLRKIFNDLLSQSPQISSSQPFDVYKRHFIL >Potri.001G139500.5.v4.1 pep chromosome:Pop_tri_v4:1:11379235:11384065:1 gene:Potri.001G139500.v4.1 transcript:Potri.001G139500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139500.v4.1 MVSRSYSNLLDLASGDAPIPSFGRERKRFPRVATVAGILTDLDDENNVGSDSPSSVSLGRMIIVGNQLPLRAHRSPDSSGGWCFSWDEDSLLLQLKDGLGEGVEVIYVGSLKEEIEPSEQDDVAQTLLETFKCVPAFIPPDLFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVKEVISPEDDYVWVHDYHLMVLPTFLRKIFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYFGRTVSIKILPVGIHIGQLQSVLNLPETESKVAELHDQFRGQAVMLGVDDMDIFKGISLKLLAMEELLTQHPNKRGEVVLVQIANPARGRGREVQEVQSETKAAVRRINEAFGSPGYTPVVLIDRPLQFYERIAYYAIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGRDPSAPRKSMLVLSEFIGCSPSLSGAIRVNPWNIDAVAEAMNSALVVPEPEKQMRHEKHHRYVSTHDVAYWARSFLQDLERACRDHVKRRCWGFGFGLGFRVIALDPNFRKISVEHIVSAYKRTKNRVILLDYDGTMTLPSSTRTPNMETVGVLNSLCTDPKNVVFLVSGRDRETLTEWFSSCEKLGIAAEHGYFVRTNHDAEWETCVSVPDFDWKRIAEPVMKLYTETTDGSAIETKESSLAWNYQYADPDFGSCQAKELLDHLESVLVNEPVTVKSGQHTVEVKPQGVRKGLVAERLLDTMKLKGKLPDFVLCVGDDQSDEDMFEVILSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASALEQDARSAPQSSQQVIIDRE >Potri.001G139500.1.v4.1 pep chromosome:Pop_tri_v4:1:11379236:11384208:1 gene:Potri.001G139500.v4.1 transcript:Potri.001G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139500.v4.1 MVSRSYSNLLDLASGDAPIPSFGRERKRFPRVATVAGILTDLDDENNVGSDSPSSVSLGRMIIVGNQLPLRAHRSPDSSGGWCFSWDEDSLLLQLKDGLGEGVEVIYVGSLKEEIEPSEQDDVAQTLLETFKCVPAFIPPDLFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVKEVISPEDDYVWVHDYHLMVLPTFLRKIFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYFGRTVSIKILPVGIHIGQLQSVLNLPETESKVAELHDQFRGQAVMLGVDDMDIFKGISLKLLAMEELLTQHPNKRGEVVLVQIANPARGRGREVQEVQSETKAAVRRINEAFGSPGYTPVVLIDRPLQFYERIAYYAIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGRDPSAPRKSMLVLSEFIGCSPSLSGAIRVNPWNIDAVAEAMNSALVVPEPEKQMRHEKHHRYVSTHDVAYWARSFLQDLERACRDHVKRRCWGFGFGLGFRVIALDPNFRKISVEHIVSAYKRTKNRVILLDYDGTMTLPSSTRTPNMETVGVLNSLCTDPKNVVFLVSGRDRETLTEWFSSCEKLGIAAEHGYFVRTNHDAEWETCVSVPDFDWKRIAEPVMKLYTETTDGSAIETKESSLAWNYQYADPDFGSCQAKELLDHLESVLVNEPVTVKSGQHTVEVKPQGVRKGLVAERLLDTMKLKGKLPDFVLCVGDDQSDEDMFEVILSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASALEQDARSAPQSSQQVIIDRE >Potri.001G139500.4.v4.1 pep chromosome:Pop_tri_v4:1:11379228:11384207:1 gene:Potri.001G139500.v4.1 transcript:Potri.001G139500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139500.v4.1 MVSRSYSNLLDLASGDAPIPSFGRERKRFPRVATVAGILTDLDDENNVGSDSPSSVSLGRMIIVGNQLPLRAHRSPDSSGGWCFSWDEDSLLLQLKDGLGEGVEVIYVGSLKEEIEPSEQDDVAQTLLETFKCVPAFIPPDLFTKFYHGFCKQHLWPLFHYMLPLSPDLGGRFDRSLWQAYVSVNKIFADKVKEVISPEDDYVWVHDYHLMVLPTFLRKIFNRVKLGFFLHSPFPSSEIYRTLPVRDELLRALLNSDLIGFHTFDYARHFLSCCSRMLGLSYQSKRGYIGLEYFGRTVSIKILPVGIHIGQLQSVLNLPETESKVAELHDQFRGQAVMLGVDDMDIFKGISLKLLAMEELLTQHPNKRGEVVLVQIANPARGRGREVQEVQSETKAAVRRINEAFGSPGYTPVVLIDRPLQFYERIAYYAIAECCLVTAVRDGMNLIPYEYIICRQGNEKLDETLGRDPSAPRKSMLVLSEFIGCSPSLSGAIRVNPWNIDAVAEAMNSALVVPEPEKQMRHEKHHRYVSTHDVAYWARSFLQDLERACRDHVKRRCWGFGFGLGFRVIALDPNFRKISVEHIVSAYKRTKNRVILLDYDGTMTLPSSTRTPNMETVGVLNSLCTDPKNVVFLVSGRDRETLTEWFSSCEKLGIAAEHGYFVRTNHDAEWETCVSVPDFDWKRIAEPVMKLYTETTDGSAIETKESSLAWNYQYADPDFGSCQAKELLDHLESVLVNEPVTVKSGQHTVEVKPQGVRKGLVAERLLDTMKLKGKLPDFVLCVGDDQSDEDMFEVILSARSGPSLSPVAEVFACTVGRKPSKAKYYLEDTSEILRMLQGLASALEQDARSAPQSSQQVIIDRE >Potri.006G031600.1.v4.1 pep chromosome:Pop_tri_v4:6:1960842:1963872:-1 gene:Potri.006G031600.v4.1 transcript:Potri.006G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G031600.v4.1 MAVAIDQHHGFKPFKRSQRCRLQSLTNYDLNILEIDQTNLSSLKQAFEVVKFHRSFSSPCFSLATRVEEELDTTPRIEILGGHGAPRVRDLVVEVAIALASGVDPIPVSSGLGGAYFLRSRNGDNIALAKPIDEEPLAFNNPKGFGGLMLGQPGMKRSIRVGETGLRELAAYLLDHGGFAGVPPTALVKISSVGFHVNGVENISAPPCKIASLQRFVEHEFDAGELGCSGFSVASVHQIAIFDVRVLNLDRHAGNILVKKNDQKEKYAAGAAELVPIDHGLCLPEWLDDPYFEWLHWPQALVPFSESELVYISNLDPFKDAELLRSELSSLRESSIRVLVLCSIFLKQAAAAGLCLADIGKMMTRESCSGEESMSVLEDLCTKAKGAVVNASDDEEDNMDSREEKDEFELFQFDEETEHISAEVMDLHQLLQSPTEIAKPPKIAKFSPVRSLPRSQDEELSPLFEETDHEVKNYNDGDDSNRTSKENGGDNNGDDSKLGGLTRSKSYSVRNRACESEGISFGDLSEGEWKLFLECFEKLLLEVLEGTNCGSLKQRLGTSCQF >Potri.010G152501.1.v4.1 pep chromosome:Pop_tri_v4:10:16202175:16204109:1 gene:Potri.010G152501.v4.1 transcript:Potri.010G152501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152501.v4.1 MAVETEVATVPELALADSDNNRARQSFILLVLSSLIIIQQGLLHPTAVVKTRMQAADSGLSHMLRNDAFEVSKDMILKYTEGLDMPEATRVSIVNGVAGGKTIFFSFNSCAVWNLIVIFGVTLNTRVLYLEGYG >Potri.010G152501.2.v4.1 pep chromosome:Pop_tri_v4:10:16203115:16203859:1 gene:Potri.010G152501.v4.1 transcript:Potri.010G152501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152501.v4.1 MQAADSGLSHMLRNDGIPGLSRGFGTSAIEAFPDGVLSLTAFEVSKDMILKYTEGLDMPEATRVSIVNGVAGGKTIFFSFNSCAVWNLIVIFGVTLNTRVLYLEGYG >Potri.002G153000.1.v4.1 pep chromosome:Pop_tri_v4:2:11709988:11710994:-1 gene:Potri.002G153000.v4.1 transcript:Potri.002G153000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G153000.v4.1 MNNNNTTPPPLPDLILSLEQATLMAKQLPSTTDPTHLLQIYSSLHHAHHHLSSFLSQNHQLPSFPLQPPPQENSLSSATGADENGDEPMQVGDDDEENSNKVVSIEKVEEGMRDCFIKNKRPKRPLSPSTVAVAEERRLYDDGFGGGIVGFDPRETRLRALDLIYQFHG >Potri.012G055000.3.v4.1 pep chromosome:Pop_tri_v4:12:5239926:5243353:-1 gene:Potri.012G055000.v4.1 transcript:Potri.012G055000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G055000.v4.1 MASPSLMDTLFQRSLDDIIKGLRHQQSTESTFISKVIEEIRREIKTTDLQTKSTALQKLTYLNSIHSIDMSWASFHAIECISSPTFAHKKIGYLAISQSFNESTPVILLITNQLRKDLNSGNEFEVSLALDCLSRIGTVDLCRDLTSEVFTLMSTSKVFVRKKAVSVVLRLFEKYPDAVRVCFKRLVESLESSDSQIVSAVVGVFCELASKEPRSYLPLAPEFYRILVDSRNNWVLIKVLKIFANLAPLEPRLAKRVVEPICDHMRKTGAKSMVFECIRTVVTSFTEYESAVKLAAVKIREFLLEDDPNLKYLGLHVLSIMAPKNLWAVLENKDVVIQSLSDEDPNIKLKSLCLVMAMVSESNVVEICRVLVNYALKSDPEFCNEILGSILSTCCQNVYEIIIDFDWYVSLLGEMSRIPHCQKGEEIENQLIDIGMRVKDVRPELVRVGRHLLIDPALLGNPFLHRILSAAAWVCGEYVEFSRNPVELMEALLQPRTGLLPSSIRTVYMQSAFKVLIFCVCSYLVQKEDMTSEVSDLASKRECSESSDLASAKAPVERDQDEGFNPRNSNQSYEDPSVVNGGHGQLSTSALMEEKSFTHESIFKLLNLMELAMCPLLGSYDVEIEERARNALGFIELVKRDILNPSLREANLETEEVSASRIVEWVHDAFSEELGPVSITAQERVLIPDELVLKENLADLEAICGNVELPSSCSFSLRSPYYGESAGISFSNLQDEEDPEPSTEATSLLTEHRKLHELYYLPSEKNETITIANDYPPANYPSSGINTNDDTQDLVTLTNQSLVSKRKPNHAKPRPVVVKLDEGDAAPVTAKKPEVKDDLLSGAIRDILLLGNEAKPASSQSNPSDKSSIKKKGKEKLNVDLSDSKEDLAVREQPNPENPSSRRSKHRGHGKEKSKKSQGKKDGDGSEDGGEKEKQKSRNRNGKHKTRQRADAPLNVVAQTPPIPDFLL >Potri.005G095300.2.v4.1 pep chromosome:Pop_tri_v4:5:6704139:6710605:-1 gene:Potri.005G095300.v4.1 transcript:Potri.005G095300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095300.v4.1 MASSVVGTRPNFSTQSLSMNEPVVSVDWLHANLREPNLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDIDGIVDRTINLPHMLPSEEAFAAAASALGIENKDGIVVYDGKGIFSAARVWWMFLVFGHSKVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTVGPITFQAKFQPCLVWTLEQIKQNIEDKTHQHIDARSKGRFDGTAPEPRKGIKSGHVPGSKCIPFPQMLDASQTLLPADELKKRFDQEGISLESPVVASCGTGVTACVLVLGLHRLGKPDVAVYDGSWTEWADRGCYSC >Potri.005G095300.9.v4.1 pep chromosome:Pop_tri_v4:5:6704006:6710499:-1 gene:Potri.005G095300.v4.1 transcript:Potri.005G095300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095300.v4.1 MASSVVGTRPNFSTQSLSMNEPVVSVDWLHANLREPNLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDIDGIVDRTINLPHMLPSEEAFAAAASALGIENKDGIVVYDGKGIFSAARVWWMFLVFGHSKVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTVGPITFQAKFQPCLVWTLEQIKQNIEDKTHQHIDARSKGRFDGTAPEPRKGIKSGHVPGSKCIPFPQMLDASQTLLPADELKKRFDQEGISLESPVVASCGTGVTACVLVLGLHRLGKPDVAVYDGSWTEWADRGCYSC >Potri.005G095300.10.v4.1 pep chromosome:Pop_tri_v4:5:6704282:6710490:-1 gene:Potri.005G095300.v4.1 transcript:Potri.005G095300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G095300.v4.1 MASSVVGTRPNFSTQSLSMNEPVVSVDWLHANLREPNLKVLDASWYMPDEQRNPIQEYQVAHIPGALFFDIDGIVDRTINLPHMLPSEEAFAAAASALGIENKDGIVVYDGKGIFSAARVWWMFLVFGHSKVWVLDGGLPRWRASGYDVESSASSDAILKASAASEAIEKVYQGQTVGPITFQAKFQPCLVWTLEQIKQNIEDKTHQHIDARSKGRFDGTAPEPRKGIKSGHVPGSKCIPFPQMLDASQTLLPADELKKRFDQEGISLESPVVASCGTGVTACVLVLGLHRLGKPDVAVYDGSWTEWADRGCYSC >Potri.004G095800.3.v4.1 pep chromosome:Pop_tri_v4:4:8220417:8223618:-1 gene:Potri.004G095800.v4.1 transcript:Potri.004G095800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095800.v4.1 MDRRVFDDRRRIGTVKAAVNMYGERILESSSSSLKKPAQMDLPEKSSSRAKDLRFAERDLVRYKESRRAAESAKGKAESRLSEAKRKVKDLALQIEQSNLKVKAQMRDMDMLKKLIKHEDKALVVGSAESHQYAEVIRELEGVKQELSKLEIEMVSVLEEKTRIEKEIASSNSKLSSNMNHVEAIRKKIDEANEEQMPVELTRIEALKEFGEIQAQREKEASEFSSAMVESKKKMKNAKEEDSSSKDLESKLAVTLYDANLLQNELKLAKNMDIKGRNDGMKHLGDSFRKGKQLEDSPALKSITEELHAAKKELASIREEGFQFMTSLDIIRNELRHVTEETARLEKEKEKADITAQNLNSKLLRAKSKLETACAVEEKAKSILFSLSVTLEQLKTETELARKEKKLISEETANIKSEIHKTESQMDLTEGKLQAAIRELQAVKTSESLALENLRNGIENTMRSRASASQHSSSITILKFEYEYLTGHTAKAEEIADKKVAGAHAWIEALKASEKEILMKIELAHRDIRETRVEEEQEIYRTESSLTAKRMVEGELRKWRQTSKKNTEAEKQQQPLPRKSMEANGNQTPSRRSKLRNSGSPAVRMTPRSTSITIKKKGTVEPNLAKFFIGKS >Potri.014G193800.1.v4.1 pep chromosome:Pop_tri_v4:14:17177181:17180370:-1 gene:Potri.014G193800.v4.1 transcript:Potri.014G193800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193800.v4.1 MATQGQPITCKAAVAWEANTPLVIEDVQVAPPQAGEVRIRILFTALCHTDAYTWSGKDPEGLFPCILGHEAAGIVESVGEGVTEVQPGDHVIPCYQAECRECKFCKSGKTNLCGKVRAATGVGVMMNDRKTRFSIKGKPIYHFMGTSTFSQYTVVHDVSVAKIDPAAPLEKVCLLGCGVPTGLGAVWNTAKVEAGSIVAIFGLGTIGLAVAEGAKAAGASRIIGIDIDRKKFDRAKDFGVTEFVNPKEHDEPIQQRLIDLTDGGVDYSFECIGNVSVMRAALECCHKGWGTSVIVGVAASGQEISTRPFQLVTGRVWKGTAFGGFKSRSQVPLLVEKYMKKEIKIDEYITHKLTLGEMNEAFDLLHEGSCLRCVLDMQL >Potri.001G120800.1.v4.1 pep chromosome:Pop_tri_v4:1:9856086:9857709:1 gene:Potri.001G120800.v4.1 transcript:Potri.001G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120800.v4.1 MACKTTSFLCFCLLLISIDSIISQDITDTERGCSNRWIHVRSLPPRFNLDLLANCSEYPLFNNFCPYLANHGLGPRTHNKSQSWYRTNPLLLELIFHHRMLEYPCLTSDPNQANAIYLPYYAAIDALRYLYGPEVNSSMEHGLELYDYLQDNEGWIWSRNHGADHFLVMSRPAWDFSQSVDVDPPIWGTSFLELPEFYNVTVLIVEGRAWPWQEQAVPYLTSFHPPNLGFLESWIKRVKASRRTTLMLFAGGGGVGSTPNIRRSIRNECESSSFSSSSDIYVNNVEGFDYPKMRKVCDIVDCSNGICEHDPIRYMRPMLQATFCLQPPGDTPTRRSTFDGIIAGCIPVFFEEQSAKSQYGWHLPEEMYRDFAVFIPKEDVVFKGLRILDVLTGIPRNEVRRMRERVIELIPRVLYRKHGSSSGLRARKDAFDIAVEGALQRINSRLKARDFDQ >Potri.002G164000.2.v4.1 pep chromosome:Pop_tri_v4:2:12557185:12559690:-1 gene:Potri.002G164000.v4.1 transcript:Potri.002G164000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164000.v4.1 MITNPNNSFAKTICYEDLKPIIEDLQSISICGHVYHEICLQAELLGSGWRSPLFSFCWGWGEEDSELLRGEVERLEGIFLVLNTILERQVKEIKQLNEELYLCKDELKRQVKSMIDSMEQKASILHLIRLKSEELDALKLESIRLHDRNVALTKELVALKLVSDVNLEEDEVLKPASFGIEANNIDTVDIIRKSVVILIRVRLVFVKDSRRQKINKLKTRVQELKIVVEVKDNGTLRALKAPKKTKCKGIVTEGIKDNSSALSTSVSSIGIKEQQTHLTAINNDNCNTLDSATDQEVTASHDDIREVWPILNIRKDSLSPAPLARPVNLLAVPFEFDVTGFKEWLEPNFVV >Potri.011G125151.1.v4.1 pep chromosome:Pop_tri_v4:11:15866811:15875980:1 gene:Potri.011G125151.v4.1 transcript:Potri.011G125151.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125151.v4.1 MGRFLEIFVCCFLFFILTNSTTPATINPSHSIRDGETLLSDGGSFELGFFSPANSTNRYLGLWFKKSPQAVFWVANREIPLSNMLGVLNITSEGILIIYSSTKDIVWSSNSSRTAENPVAELLETGNLVVREENDNSTANFLWQSFDYPCDTLLPGMKLGINFVTRLESSLSSWKSSEDPARGEFSFLLDPNGYPQLLLTKGNKTQVRIGSWNGLRFAAEIISKPDSISTDEFVLNEKEGYFVFGITSLGFPRLTLATSGIPQRSIWNDRTHQWQYVEIAQHDICENYSICGPNAYCQFNNSPICACLDGFMPKSPRDWKLSNWSGGCVRRTACSDKDRFQNYSRMKLPDTSSSWYNKSTGLEECKGICLKNCSCTAYANLDIRGGGSGCLVWFGSLVDTRRSNGDGQDLYVRIAVSELENVEKKRPVDKKKQAVIIASSVISVLGLLILGVVCYTRKTYLRTNDNSEERKEDMEIPMYDLNTIAHATNNFSSMNKLGEGGFGPVFKGTLVDGQEIAVKRLSKSSGQGMDEFKNEVVLIAKLQHRNLVKLLGFCIHKDEKMLIYEYMPNKSLDSIIFDLTRRKLLNWRRRIHIIGGIARGLVYLHQDSRLRIIHRDIKASNILLDNELNPKISDFGLARMFGGDQVEANTNRVVGTYGYMSPEYALDGHFSVKSDVFSFGVLVLEIASGKKNRGFCHPDQNLNLLGHAWILWTEGTPLDLIDEGLSDSRNLAELLRCIHVALLCVQQRPEDRPTMSTVVVMLGSENPLPQPKQPGFFMGKNPSEKDSSSSNKHEAHSANEVSLTLLEAR >Potri.005G116001.1.v4.1 pep chromosome:Pop_tri_v4:5:8456623:8460203:-1 gene:Potri.005G116001.v4.1 transcript:Potri.005G116001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116001.v4.1 MKRSSKSNRVSWAPGLNLCQERLFVSEDCPSKVGGQVQDHLQKKTSLLLLHSSGKEPNDFPPGFEGSHFLNPCKKELSCFPRIQWKCPPKFVVSSNWHVTAGEESQESQAQKLREMRALEAFYPRPSAVPPSPVVSLDVEDTDYDDSLTPIIPLIPVEEEEATELPSDLTEPLKTSQSPALPPFLLSFGTLNSSKCITPASNPLLSEKTAFGKLHLVRSSHGRSQHVRFPYPVRNLPCLCHQCHPTDACIFNLVRCTRQ >Potri.002G071700.1.v4.1 pep chromosome:Pop_tri_v4:2:5028365:5030562:1 gene:Potri.002G071700.v4.1 transcript:Potri.002G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071700.v4.1 MNLISPWNLRFPPISIPPITASPHCKPSLTNHSFSFPFWPHPCRIISTTSFLVHAKKRNSKPEPVLKPTIIEQVSGDDEDKEEQLLFDDFEDEAVMDGDDDYFDDEEFLEDEAELYVGDGGGGGGIALAGTWWDKEALKIAEEVCSTFDRELEIYAFKTLSNSTIQVRIERLTNKSGSPNMEDIEAFSTTYRARLDEAELAKTITENITLEVSSPGVERVVRIPEDLDRFKDRAMYVKYVNEADAKDSSSESDGVLRLVSFDMETKYCTWGLADVRVNREKAGKGRPLSKKQREWRLDTPFHSLRLVRVYPEI >Potri.010G068300.3.v4.1 pep chromosome:Pop_tri_v4:10:9665776:9668716:1 gene:Potri.010G068300.v4.1 transcript:Potri.010G068300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068300.v4.1 MTPTLVRSLATRHLSLCVLLPKRLLSTFSITHPPSLPTVLPCRQSLPSLSHALQSINKTTNPTRFTSIRCRVNRAGNSGYSPLNSGSNFSDRPPNEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLSKVVGSEEEAKNKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQKRVEPQPQRANDRPRYNDRTRYVRRRENMR >Potri.010G068300.2.v4.1 pep chromosome:Pop_tri_v4:10:9665773:9668775:1 gene:Potri.010G068300.v4.1 transcript:Potri.010G068300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G068300.v4.1 MTPTLVRSLATRHLSLCVLLPKRLLSTFSITHPPSLPTVLPCRQSLPSLSHALQSINKTTNPTRFTSIRCRVNRAGNSGYSPLNSGSNFSDRPPNEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIQTLSKVVGSEEEAKNKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQKRVEPQPQRANDRPRYNDRTRYVRRRENMR >Potri.003G090900.1.v4.1 pep chromosome:Pop_tri_v4:3:11682466:11690794:1 gene:Potri.003G090900.v4.1 transcript:Potri.003G090900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090900.v4.1 MDSREPPQPSPQPQQLQSPHAPPPQLQSQPSMILVPTSSYPSTTSHLINNPNISPQNAALGGGFPFNTMSGNRLQSKPEGAFDGSSPTSSSGMRFSIEPAKKKRGRPRKYTPDGNIALGLSPTPVPSGISAGHADSGGGGVTHDAASEHPSKKNRGRPPGSGKKQLDALGGVGGVGFTPHVITVKAGEDIASKIMAFSQQGPRTVCILSANGAICNVTLRQPAMSGGSVTYEGRFEIISLSGSFLLSESNGSRSRSGGLSVSLAGSDGRVLGGGVAGMLTAASPVQVIVGSFIADGKKSNSSASKSGPSSTPPPQMLNFSAPLTTASPPSQGGSSDSSDENGGSPVNRNPGIYGNPNQSIHNMQMYQLWADQNPR >Potri.019G014330.1.v4.1 pep chromosome:Pop_tri_v4:19:2041345:2041855:1 gene:Potri.019G014330.v4.1 transcript:Potri.019G014330.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014330.v4.1 MKKEDCLLLKKGAASSVKRAIWHKKTCGNCTREREKKRAQMRKGMTNTVMGFYHTECEIIFLRLSALSLVN >Potri.008G022216.1.v4.1 pep chromosome:Pop_tri_v4:8:1099998:1104627:-1 gene:Potri.008G022216.v4.1 transcript:Potri.008G022216.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022216.v4.1 MYCNFMEHGIEYAKQAVKEDDAGNYRKAFQLYMNALEYFQAQLKYEKNPQIEKTIRQKCMGYLRRAEEIRSVLDNGRSMPASNGDASVAARPKTSPKPKDGGRKGKEDPELAKLKEGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDNAPSIIFIDEIDSLCGQRGECNESEASRRIKTELLVQMQGIGNDDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHDLTERDFEKLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEYFIKSSDGMWVPCELQRVAVKTTLQELDAQGLASKVLPPHITRADFNKVLARQKPTVSKADLEVHERFTKEFGEEG >Potri.008G022216.2.v4.1 pep chromosome:Pop_tri_v4:8:1100067:1104643:-1 gene:Potri.008G022216.v4.1 transcript:Potri.008G022216.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G022216.v4.1 MYCNFMEHGIEYAKQAVKEDDAGNYRKAFQLYMNALEYFQAQLKYEKNPQIEKTIRQKCMGYLRRAEEIRSVLDNGRSMPASNGDASVAARPKTSPKPKDGGRKGKEDPELAKLKEGLDSVIIREKPNVKWSDVAGLENAKLALQEAVILPVKFPQFFTGKRKPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSVSSSDLVSKWMGESEKLVSNLFQMARDNAPSIIFIDEIDSLCGQRGECNESEASRRIKTELLVQMQGIGNDDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDLKARQHMFKVHLGDTPHDLTERDFEKLARKTEGFSGSDISVCVKDVLFEPVRKTRDAEYFIKSSDGMWVPCELQRVAVKTTLQELDAQGLASKVLPPHITRADFNKVLARQKPTVSKADLEVHERFTKEFGEEG >Potri.005G230800.11.v4.1 pep chromosome:Pop_tri_v4:5:23002405:23006075:-1 gene:Potri.005G230800.v4.1 transcript:Potri.005G230800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230800.v4.1 MFGMVIFRACWVFFDILIFIFIPYSLCRNSDNSNPSYNGVIFNQRQKNESPISSLNSTMFQDLGFHYWNNNAGNFSSHSAYDLQLSKIKEGLSSSDSFPKFTEMLNSPSSTIEDPHVSSSSYIKDELKDLSLSEKLLLETISSGFPINGHDQFSPRQISSSHHNCSSFGSAIPSRGSFSQIYPSINISNLNQPSSPLISGSFDMNLQALDLLTSTRFSGSFPQPASLDPLDMFKDSLSFGLDSIQQSNQRPSCSPSKISSTNEITEAKRPNNSMMEPKATQAAAPKKSRLESRSPCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKTSRSIQAASNSGGDQESKRDLRSRGLCLVPLSCMSYVTTDGGGGGIWPPPNFGGGT >Potri.005G230800.9.v4.1 pep chromosome:Pop_tri_v4:5:23002405:23006971:-1 gene:Potri.005G230800.v4.1 transcript:Potri.005G230800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230800.v4.1 MESANLHHQHQLQDQFVGSSSLTTATPSSYAEAGSARAWTQTITLNSDNSNPSYNGVIFNQRQKNESPISSLNSTMFQDLGFHYWNNNAGNFSSHSAYDLQLSKIKEGLSSSDSFPKFTEMLNSPSSTIEDPHVSSSSYIKDELKDLSLSEKLLLETISSGFPINGHDQFSPRQISSSHHNCSSFGSAIPSRGSFSQIYPSINISNLNQPSSPLISGSFDMNLQALDLLTSTRFSGSFPQPASLDPLDMFKDSLSFGLDSIQQSNQRPSCSPSKISSTNEITEAKRPNNSMMEPKATQAAAPKKSRLESRSPCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVEASNSGGDQESKRDLRSRGLCLVPLSCMSYVTTDGGGGGIWPPPNFGGGT >Potri.005G230800.8.v4.1 pep chromosome:Pop_tri_v4:5:23002406:23006969:-1 gene:Potri.005G230800.v4.1 transcript:Potri.005G230800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230800.v4.1 MESANLHHQHQLQDQFVGSSSLTTATPSSYAEAGSARAWTQTITLNSDNSNPSYNGVIFNQRQKNESPISSLNSTMFQDLGFHYWNNNAGNFSSHSAYDLQLSKIKEGLSSSDSFPKFTEMLNSPSSTIEDPHVSSSSYIKDELKDLSLSEKLLLETISSGFPINGHDQFSPRQISSSHHNCSSFGSAIPSRGSFSQIYPSINISNLNQPSSPLISGSFDMNLQALDLLTSTRFSGSFPQPASLDPLDMFKDSLSFGLDSIQQSNQRPSCSPSKISSTNEITEAKRPNNSMMEPKATQAAAPKKSRLESRSPCPPFKVRKEKLGDRIAALQQLVAPFGKTDTASVLMEAIGYIKFLQNQVETLSVPYMKSSRNKTSRSIQAASNSGGDQESKRDLRSRGLCLVPLSCMSYVTTDGGGGGIWPPPNFGGGT >Potri.005G198800.1.v4.1 pep chromosome:Pop_tri_v4:5:20493551:20499203:-1 gene:Potri.005G198800.v4.1 transcript:Potri.005G198800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198800.v4.1 MSARRRTLLKVIVLGDSGAGKTSLMNQYVHKKFSQQYKATIGADFVTKELQIDDRLVTLQIWDTAGQERFQSLGVAFYRGADCCALVYDVNVMRSFDTLDNWHEEFLKQANPSDPKTFPFILLGNKIDIDGGNSRVVSEKKAKDWCASKGNILYFETSAKEDYNVDPAFLSIAKTALAHEHGQDIYFQGIPEDVTENEQRGGCAC >Potri.016G017400.1.v4.1 pep chromosome:Pop_tri_v4:16:912436:914126:1 gene:Potri.016G017400.v4.1 transcript:Potri.016G017400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017400.v4.1 MKKAELVFIPAPGISHLMSTVEVAKLLLDRDERLSITFLIMSLSYDSKTACYIDSLSATCNRIRFIKLPEDEPEPNTFLFSLIGTQKRHVKEEVSKLVSQSESSPDSPTLAGFVLDFFCTSMIDVANEFGVPSYIFLTTGAAYLGLQFYIQALHDEQRVDATQFKDSNAELVMPCLAYPLPAKALPSAALNKEWLPAFLDQARRFRETKGIIVNTFEELESHAINSFSNGNTPPVYPVGPILNLNRDGDHDVESDKRKDIKQWLDDQPLSSVVYLCFGSMGSFGVDQVKEIACGLEQSGHRFLWSLRKPQPKGKMEIPSDYTNTQDVLPEGFLDRTAKIGKIIGWGPQIDILSHPSVGGFVSHCGWNSILESIWFGVPIAAWPLQAEQQLNAFKIIVELGFGVEIKMDYRREFFYDDNENIISAGEIERGIRCLMELGREKVERLKEMSEKSRKALMEGGSSYTWLGQLIHEMVDNIP >Potri.002G060100.1.v4.1 pep chromosome:Pop_tri_v4:2:4161139:4162037:1 gene:Potri.002G060100.v4.1 transcript:Potri.002G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G060100.v4.1 MEDNLNQAMIPTFHKRKERKTTHEKSEMAKHESLVSLKRSRRAENVHKRSRRKREEMLKVQEKDQASTVGAGEDDEKAEVDRKIVALQMIIPGGESFGVDKLFEETADYIMALQCQIKAMRVLAGFLEGLEKEKRKSGG >Potri.001G332200.3.v4.1 pep chromosome:Pop_tri_v4:1:34075774:34076574:-1 gene:Potri.001G332200.v4.1 transcript:Potri.001G332200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G332200.v4.1 MEYRVCLVLVFVALITKEAMAAQHVVGGSQGWEESTDFSSWASGQKFKVGDQLVFKYTSGLHSVVELGGESAYKSCGLGTALNSMNTGNDVVKLNKPGTRYFACGTLGHCGQGMKVKITVESGTAPSTPESPSSSSSPAASSASAMHSYFATFVLLTALVATSLLYMF >Potri.001G200000.6.v4.1 pep chromosome:Pop_tri_v4:1:19842251:19848079:1 gene:Potri.001G200000.v4.1 transcript:Potri.001G200000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200000.v4.1 MSREQKKGKQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHAPGRRLWGFPRFAADCANGHRKSHSGATSDQRCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQAKVLRLNLVGTSKEPEVVGPSPSKLNEASEQHSKNKNNSSGSIRGPVVTPTSSPELGTPFTVTEAGTSSVSSDPGASPFFISETNGELKKEEPLVIKENRDLDESSSDTDTEHLSLASSLRFEPWVGELLGSHIKSSRHVEESSQRSNCMAQTSTTEALLEKFSKLDRQTGIGMSNYRTDLDLSVNVREAISLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGHHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAETGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIVELIDPQLGNHYSEQEVYCMLHAASICIRRDPHSRPRMSQVLRILEGDMHVDTNYMSAPGYDVGNRSGRIYIDRTAAAAAAIKTLWCSAVNE >Potri.001G200000.12.v4.1 pep chromosome:Pop_tri_v4:1:19842255:19848037:1 gene:Potri.001G200000.v4.1 transcript:Potri.001G200000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200000.v4.1 MSREQKKGKQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHAPGRRLWGFPRFAADCANGHRKSHSGATSDQRCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQAKVLRLNLVGTSKEPEVVGPSPSKLNEASEQHSKNKNNSSGSIRGPVVTPTSSPELGTPFTVTEAGTSSVSSDPGASPFFISETNGELKKEEPLVIKENRDLDESSSDTDTEHLSLASSLRFEPWVGELLGSHIKSSRHVEESSQRSNCMAQTSTTEALLEKFSKLDRQTGIGMSNYRTDLDLSVNVREAISLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGHHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAETGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIVELIDPQLGNHYSEQEVYCMLHAASICIRRDPHSRPRMSQVLRILEGDMHVDTNYMSAPGYDVGNRSGRIYIDRTAAAAAAIKTLWCSAVNE >Potri.001G200000.9.v4.1 pep chromosome:Pop_tri_v4:1:19842470:19848061:1 gene:Potri.001G200000.v4.1 transcript:Potri.001G200000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200000.v4.1 MSREQKKGKQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHAPGRRLWGFPRFAADCANGHRKSHSGATSDQRCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQAKVLRLNLVGTSKEPEVVGPSPSKLNEASEQHSKNKNNSSGSIRGPVVTPTSSPELGTPFTVTEAGTSSVSSDPGASPFFISETNGELKKEEPLVIKENRDLDESSSDTDTEHLSLASSLRFEPWVGELLGSHIKSSRHVEESSQRSNCMAQTSTTEALLEKFSKLDRQTGIGMSNYRTDLDLSVNVREAISLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGHHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAETGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIVELIDPQLGNHYSEQEVYCMLHAASICIRRDPHSRPRMSQVLRILEGDMHVDTNYMSAPGYDVGNRSGRIYIDRTAAAAAAIKTLWCSAVNE >Potri.001G200000.11.v4.1 pep chromosome:Pop_tri_v4:1:19842300:19848038:1 gene:Potri.001G200000.v4.1 transcript:Potri.001G200000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200000.v4.1 MSREQKKGKQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHAPGRRLWGFPRFAADCANGHRKSHSGATSDQRCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQAKVLRLNLVGTSKEPEVVGPSPSKLNEASEQHSKNKNNSSGSIRGPVVTPTSSPELGTPFTVTEAGTSSVSSDPGASPFFISETNGELKKEEPLVIKENRDLDESSSDTDTEHLSLASSLRFEPWVGELLGSHIKSSRHVEESSQRSNCMAQTSTTEALLEKFSKLDRQTGIGMSNYRTDLDLSVNVREAISLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGHHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAETGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIVELIDPQLGNHYSEQEVYCMLHAASICIRRDPHSRPRMSQVLRILEGDMHVDTNYMSAPGYDVGNRSGRIYIDRTAAAAAAIKTLWCSAVNE >Potri.001G200000.13.v4.1 pep chromosome:Pop_tri_v4:1:19842234:19848036:1 gene:Potri.001G200000.v4.1 transcript:Potri.001G200000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200000.v4.1 MSREQKKGKQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHAPGRRLWGFPRFAADCANGHRKSHSGATSDQRCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQAKVLRLNLVGTSKEPEVVGPSPSKLNEASEQHSKNKNNSSGSIRGPVVTPTSSPELGTPFTVTEAGTSSVSSDPGASPFFISETNGELKKEEPLVIKENRDLDESSSDTDTEHLSLASSLRFEPWVGELLGSHIKSSRHVEESSQRSNCMAQTSTTEALLEKFSKLDRQTGIGMSNYRTDLDLSVNVREAISLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGHHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAETGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIVELIDPQLGNHYSEQEVYCMLHAASICIRRDPHSRPRMSQVLRILEGDMHVDTNYMSAPGYDVGNRSGRIYIDRTAAAAAAIKTLWCSAVNE >Potri.001G200000.10.v4.1 pep chromosome:Pop_tri_v4:1:19842511:19848046:1 gene:Potri.001G200000.v4.1 transcript:Potri.001G200000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200000.v4.1 MSREQKKGKQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHAPGRRLWGFPRFAADCANGHRKSHSGATSDQRCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQAKVLRLNLVGTSKEPEVVGPSPSKLNEASEQHSKNKNNSSGSIRGPVVTPTSSPELGTPFTVTEAGTSSVSSDPGASPFFISETNGELKKEEPLVIKENRDLDESSSDTDTEHLSLASSLRFEPWVGELLGSHIKSSRHVEESSQRSNCMAQTSTTEALLEKFSKLDRQTGIGMSNYRTDLDLSVNVREAISLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGHHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAETGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIVELIDPQLGNHYSEQEVYCMLHAASICIRRDPHSRPRMSQVLRILEGDMHVDTNYMSAPGYDVGNRSGRIYIDRTAAAAAAIKTLWCSAVNE >Potri.001G200000.5.v4.1 pep chromosome:Pop_tri_v4:1:19842191:19848041:1 gene:Potri.001G200000.v4.1 transcript:Potri.001G200000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200000.v4.1 MSREQKKGKQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHAPGRRLWGFPRFAADCANGHRKSHSGATSDQRCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQAKVLRLNLVGTSKEPEVVGPSPSKLNEASEQHSKNKNNSSGSIRGPVVTPTSSPELGTPFTVTEAGTSSVSSDPGASPFFISETNGELKKEEPLVIKENRDLDESSSDTDTEHLSLASSLRFEPWVGELLGSHIKSSRHVEESSQRSNCMAQTSTTEALLEKFSKLDRQTGIGMSNYRTDLDLSVNVREAISLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGHHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAETGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIVELIDPQLGNHYSEQEVYCMLHAASICIRRDPHSRPRMSQVLRILEGDMHVDTNYMSAPGYDVGNRSGRIYIDRTAAAAAAIKTLWCSAVNE >Potri.001G200000.1.v4.1 pep chromosome:Pop_tri_v4:1:19842552:19848036:1 gene:Potri.001G200000.v4.1 transcript:Potri.001G200000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G200000.v4.1 MSREQKKGKQEKGGSDVAVKVVVAVKASKEIPKTALVWALTHVVQPGDCITLLVVVPSHAPGRRLWGFPRFAADCANGHRKSHSGATSDQRCDITDSCSQMILQLHDVYDPNKINVKIKIVSGSPCGAVSAEAKKAQANWVVLDKQLKHEEKRCMEELQCNIVVMKRSQAKVLRLNLVGTSKEPEVVGPSPSKLNEASEQHSKNKNNSSGSIRGPVVTPTSSPELGTPFTVTEAGTSSVSSDPGASPFFISETNGELKKEEPLVIKENRDLDESSSDTDTEHLSLASSLRFEPWVGELLGSHIKSSRHVEESSQRSNCMAQTSTTEALLEKFSKLDRQTGIGMSNYRTDLDLSVNVREAISLSRNTPPGPPPLCSICQHKAPVFGKPPRWFSYAELELATGGFSQANFLAEGGFGSVHRGVLPDGQAVAVKQHKLASSQGDVEFCSEVEVLSCAQHRNVVMLIGFCIEDRRRLLVYEYICNGSLDSHLYGHHREPLEWSARQKIAVGAARGLRYLHEECRVGCIVHRDMRPNNILITHDFEPLVGDFGLARWQPDGDTGVETRVIGTFGYLAPEYAETGQITEKADVYSFGVVLVELVTGRKAVDLNRPKGQQCLTEWARPLLEEYAIVELIDPQLGNHYSEQEVYCMLHAASICIRRDPHSRPRMSQVLRILEGDMHVDTNYMSAPGYDVGNRSGRIYIDRTAAAAAAIKTLWCSAVNE >Potri.002G011650.1.v4.1 pep chromosome:Pop_tri_v4:2:724097:724898:-1 gene:Potri.002G011650.v4.1 transcript:Potri.002G011650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011650.v4.1 MKWVQLLAILHGVIHTVKINKTQTHTEARSVAQARLLLDSCFGREFLQMINGAFENQTVGKPP >Potri.006G171500.2.v4.1 pep chromosome:Pop_tri_v4:6:17426244:17430783:1 gene:Potri.006G171500.v4.1 transcript:Potri.006G171500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171500.v4.1 MGIFFSKMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKDEFHAILEEEELRGAVVLIYANKQDLPGALDDAAVTEALELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >Potri.006G171500.1.v4.1 pep chromosome:Pop_tri_v4:6:17426244:17430903:1 gene:Potri.006G171500.v4.1 transcript:Potri.006G171500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171500.v4.1 MGIFFSKMFSSVFGNKEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAIIYVVDSSDTDRLVIAKDEFHAILEEEELRGAVVLIYANKQDLPGALDDAAVTEALELHKIKNRQWAIFKTSAIKGEGLFEGLDWLSNTLKSGGG >Potri.016G138100.1.v4.1 pep chromosome:Pop_tri_v4:16:14164665:14168381:1 gene:Potri.016G138100.v4.1 transcript:Potri.016G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G138100.v4.1 MEKELVELFDKAKKAADASLNDDKSSSGPEVSRCVDSLKQLRKFKVTSEILVSTQVGKKLRPLAKHPKDKIRAVASDLLETWKKIVIDETMRKKNGSIDNNSSVTAEVSKSATVKVEKLQKTSMVKVSTSETVKVEKMDQDKTVKVAQTCKEEIQTSSVKKPSQAPTGPPKLKTLVKCNDALRDKIRELLAEALSKVASEADEDIRDEVEACDPIRVAVSVESAMFEKLGRSNGAQKMKYRSIMFNIKDQNNPDLRRKVLLGQVQPQRLVTMPPEEMASEQRKRENNQIKEKALFDCERGGKAEATTDQFKCGRCGQRKCTYYQMQTRSADEPMTTYVTCVNCNNHWKFC >Potri.001G079600.1.v4.1 pep chromosome:Pop_tri_v4:1:6331033:6332393:-1 gene:Potri.001G079600.v4.1 transcript:Potri.001G079600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G079600.v4.1 MATPEESSTLELIRQHLLGDFTSTDEFISNLESTVASISVKLENSLSGSESNSPVSDQSYYSTQETCSFEIKSEIIDLTPPEPMFSDSSNQSPPPELVKMTDREETILRHYRGVRRRPWGKFAAEIRDPTRKGSRVWLGTFDSDIDAARAYDCAAFKMRGRKAILNFPLEAGLSSSPPATGRKRRRVKREEVLPESVDVSPENWDVEWSGEEVEGFSDEEQLSPLSRKPVLLYVSVD >Potri.001G143800.1.v4.1 pep chromosome:Pop_tri_v4:1:11836069:11842707:1 gene:Potri.001G143800.v4.1 transcript:Potri.001G143800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G143800.v4.1 MPVAASAIYFLNLRGDVLINRLYRDDVGGNMVDAFRLHIMQTKELGTCPVRQIGGCSFFYMRISNVYIVIVVSSNANVACAFKFVVEAVALFKSYFGGAFDEDAIRNNFVLIYELLDEIMDFGYPQNLSPEILKLYITQEGVRSPFSSKPTDIPVPNATLQVTGAVGWRREGLVYKKNEVFLDIVESVNLLMSSKGNGLRCDVTGKILMKCFLSGMPDLKLGLNDKIGLEKESQLKSRATKSGKTIELDDVTFHQCVNLTRFNSEKTVSFVPPDGEFELMKYRITEGVNLPFRVLPTIKELGRTRMEVNVKVKSVYGAKMFALGVVIKIPVPKQTAKTSFQVTSGRAKYNAAIDCIVWKIRKFPGQTEPTMSAEVELISTMAEKKSWTRPPIQMEFQVPMFTASGLRVRFLKVWEKSGYNTVDWVRYITKAGSYEIRC >Potri.009G159300.1.v4.1 pep chromosome:Pop_tri_v4:9:12354069:12359107:-1 gene:Potri.009G159300.v4.1 transcript:Potri.009G159300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G159300.v4.1 MEEEKRETQNQLAEEEDQIVNPWEVAAKDGGKIDYDKLIDKFGCQRLDQTLIDRVHRLTGRPPHVFLRRGVFFAHRDFNEILDAYEKGKKFYLYTGRGPSSEALHLGHLVPFMFTKYLQDVFRVPLVIQLTDDEKCMWKNLTVEESQRLARENAKDIIACGFDITRTFIFSDFDYVGGAFYKNMVKVAKCVTLNKVQGIFGFSGEDHIGKISFPPVQAVPSFPSSFPHLFSGKDDLRCLIPCAIDQDPYFRMTRDAAPRIGYHKPSLIESTFFPALQGETGKMSASDPNSAIYVTDSAKVIKSKINKYAFSGGQDSVEKHRQLGANLEVDISVKYLNFFLEDDAELEHIKKEYAAGRMLTGEVKGRLGSVLSEIVERHRAARASVTDEVVDAFMAARPLPNMFD >Potri.013G156900.1.v4.1 pep chromosome:Pop_tri_v4:13:15184297:15187504:-1 gene:Potri.013G156900.v4.1 transcript:Potri.013G156900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G156900.v4.1 METRDPLSKPPQNSDPPKPNNPNPNPTVSPSSSSSSSSSMFNNIRGGAHHRRAHSEMSFRLPEDMTMMMMDLHPSDQINGGNGIGIGNGNGNGGSSTGSLEEIGSEDDLFSTYIDVDKLTGGNNGNGTGVGNQNDNDNTINGEKGGVSDSGPGSGTSRPKHRHSYSVDGSVFGGGEVMEAKKAMPPNKLAELWSIDPKRAKRILANRQSAARSKERKARYILELERKVQTLQTEATTLSAQLSLFQRDTTGLSTENTELKLRLQAMEQQAQLRDALNEALKKEVGRLKIATGEMLSPSDSYNLGMHQMPFTPSNFFPLPSQPGPAGHPNMQLPSFTHSPSSMSTRHIHQVDSQSLSDYMQNDPIGRLQGLDISNKGSHIVKSEGPSLSASESSTTF >Potri.008G100600.7.v4.1 pep chromosome:Pop_tri_v4:8:6287497:6321703:1 gene:Potri.008G100600.v4.1 transcript:Potri.008G100600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100600.v4.1 MFEAHVLHLLRRYLGEYVHGLSVEALRISVWKGDVVLKDLNLKADALNSLKLPVTVKAGFVGTITLKVPWKSLGKEPVVVLVDRVFILAHPAPDSRTLKEDDRRKLFETKLQQIEEAESATLEATRSKLGSPPPGNSWLGSLIATIIGNLKISISNVHIRYEDSVSHPGHPFSCGVTLAKLAAVTTDEQGIETFDISGALDRLRKSLQLERLAVYHDSDNLPWKKDKKWEDLSPEEWVEIFEDGVNEPSTGHGMVSKWAMNRNYLVSPINGALKYHRLGKQERSDPEIPFEKASLVLSDVSLTITEVQYHDWIKLLEAVSRYKTYVEISHLRPKIPVSDNPCLWWRYAAQAVLQQRKMCYRFSWDRIQHLCQLRRHYVQLYAAMLQQSSNASTSELREMEKDLDSKVILLWRLLAHAKAESLKTKEAAEQRRLKKKGWFSFGWRTNSEDASDGDASEASQLREEKLTQEEWLAINKLLSYQSDEELMPHSGKDMQNMIRYLVTVSVKQAAARIIDINQTEIVCGRFEQLQVSTKLKNRSTHCDVSLKLYGLSAPEGSLAQSVSSEQKVNALSASFVHSPVGENVDWRLSATISPCHVTVLMESFDRFFEFVRRSNAVSPTVALETANALQMKIEKVTRRAQEQFQMVLEEQSRFALDIDLDAPKVTVPMRTVSSSNCDMHFLLDFGHFTLHTMETESDEKRQSIYSRFYISGRDIAAFFTDCDSHCYNSTVVVPNHNSQSLTSQIPEKVDNYFSLIDRCGMAVIVDQIKAHHPSYPSTRISVQVPNLGIHFSPARYSRLMELVNILYNTVDNYGQSTVDNFQTQIAPWSSADLATDSRILVWRGIGNSVAHWQPCFLVLSGLYLYVMESQKSQSYQRYLSMAGRQINEVPPSSVGGSQFCVAVSFRGMDIQQALESSSTWILEFQDDEEKTCWLKGLIQATYLASAPPSMDVLGETSGIASNFGEPETPILRTADLVINGALVEAKLFIYGKNGDEVDGELGETLIIEVRAGGGKVHMIRAEGDLRVKMKLHSLKIKDELKSRQSANPRYLACSVLKNDKFLVSSHNVEPLGMGMPVVSHDEEDTFKDALPDFLSLADGGIWSPKMDVSHFGIMGDANDSSEFESPESFTLEQDLLQGKTIPDEIFYEAHGSDSSDFVSVTFSMQSSSSPDYDGIDTQMSIRMSKLEFFCNRPTLVALIGFGFDLSYVDSSESGTNMTEISDDKSSLKEMTEVTGRIKGLLGYGKNRVVFYLNMNVDSVTVFLNKEDDSQLAMLVQESFVLDLRVHPSSLSIEGMLGNFRLCDMSPETNQCWSWVCDLRNPGLDSLIKFNFSSYSAEDDDYEGYDYKLSGRLSAACIIFLYRFVQEITAYFMELATPNTEEAIKLVDKVGGFEWLIQKYEIDGATALKLDLSLDTPIIIVPRNSMSKEFIQLDLGQLQVTNELSWHGSAEKDPSAVHIDVLHAEIQGINMSVGVDGCLGKPMIQEGQGLDIYVRRSLRDVFRKVPTFSLEVKVDFLRGVISDKEYSIIVDCMCLNLLEEPRIPPSFRGCKSDTKDAIRLLVDKVNTNSQVLSQTVTIVAVEVNYALLELCNGVHESPLARLELEGLWVSYRMTSLPETDLYVTISKFSILDIQPDTKPEMRLMLGSSTDASKQVSGGNIPYSLNRSGFRRMNSEYALEADAPNSTMFLMDYRWRPSSQSFVVRVQQPRVLVVPDFLLAVGEYFVPSLGTITGREELIDPKKDPISRSNSIVLSESVYKQSEDVVHLSPSRQLVADAKTVDEYTYDGCGKIICLSEETDTKEFHSGRSRPIIVIGRGKRLRFVNVKIENGSLLRKYAYLSNDSSYSISIEDGVDISLLDNSSSDDDKKILDYMHEQSDVLNSSDSENDSNRLQSFTFESQVVFPEFTFYDGTKSSLDDSSYGEKLLRAKMDLSFMYASKENDIWIRALVKDLTVEAGSGLMILDPVDISGGYTSVKEKTNMSLISTDICVHLSLSVISLLLNLLNQATTALQFGNAIPLAPCSNFDRVWVSPRENGPYNHLTFWRPHPPSNYVILGDCVTSRPIPPSQAVMAVSNAYGRVQKPVGFNFVSLLPGIQGFGGESHSGFDCDCSLWVPVAPPGYTALGCVAHVGCEPPPTHIVYCLRTDLVASSTYSECIFSSAPNPQSASGLSIWRLDNVIASFYAHSSTEYPPRDSGGDLNHLLLWNSIRNQSLSRDAVSDSADEHDHGSQTSNNSANSSGWDIIRSISKATNSYVSTPNFERIWWDKGSEIRRPVSIWRPIACPGYAILGDCITEGSEPPALGIIFKIGDPEISSKPVQFTKVANIVGKGFDEVFFWYPIAPPGYASLGCVVTRTDEAPLLNSFCCPRLDIVNQANIIEVPISRSPSTKASQCWSIWKIENQACTFLARMDLKKPSSRLAFTIADSVKPKSRENVTADIKLVCFSITVLDSLCGMMTPLFDVTITNIKLATHGRLEAMNAVLISSIAASTFNAQLEAWEPLVEPFDGIFKLETYDNNVHPPSRIAKKVRVAATSIMNINVSAANLETFIGTMLSWRKQLELDQKAVKLIEEAGCHLKHEEDPTFSALDEDDFQTVIIENKLGCDLYLKQIEDNTDTVSQLHNDDCTFVWIPPPTFSDNLKVVDRSREARCYVAIQILEAKGLPIVDDGNSHKFFCAVRLVVDSRATDQQKLFPQSVRTKCVKPLLPREHEITSATAKWNELFIFEIPRKQGVAKLEVEVTNLAAKAGKGEVVGALSLPVGQGAVMLKKVASARMLNQPHDFQNVMSCPLRRRAPHDDVEQMLESGHLLVSTTYFERNLAANFQRDKETELSRNRDVGFWIRLSPEGAWESVRSLLPLSVVPKLLHDEFLAMEVVMKNGKKHVIFRGLAIVVNDSDVKLDISICHVSLVHGRDPSLGTSKLNIVIEEIFENQSYHPISGWGNKLPGFRSTGPGRWSTRDFSCSSKDFFEPHLPTGWQWTSTWIIDKSVPVDDDGWTYGPDFHTLKWPPASKSYKSAHNVVRRRRWIRRRQQLTGEGSNSVNSDFISINPGSSSVLPWRSISKNSDLCLLVRPCADHSQPEYVWGQAVAFVSDYMFEKDQPFSDQGLLARQNTLKQQRKMPNAFMLNQLEKKDVLFHCRPSSGSAAFWLSVGADASILHTELNSPVYDWRISINSPLKLENQLPCAAEFTVWEKGKEGNCIERQHGIISSRQSIHVYSADIRKSVYLTLLLQGGWVLEKDPALVLDLGSSGQISSFWMVHQQSKRRLRVSIERDMGGTTSAPKTIRLFVPYWIVNDSSLPLSYRVVEIEPLETVKSVKASFKNPTNSMERRFGTKRNVQVLEVIEDTSPIPSMLSPQDTAGRSGIMLFPSQKDAYLSPRLGLAVAIHHSEIYSPGISFLELEKKERVGIKAFGSDGSYYKLSALLKTSDRTKVLHIQPHTLFINRLGFSLCLQQCGSQLVEWIHPADAPKPFGWHSSADVELLKLRVDGYKWSTPFSICNEGMMRISLEKDSGDDQMQLRVQVRSGTKRTQYEVIFRPNSLSSPYRIENHSFFLPIRFRQVDGPSESWKLLLPNAAASFLWEDFGRPRLLELLVDGTDSSKSLKYNIDEILDHQPNHAEGQPVRPLRVTVLKEDKMNIVRISDWMPENELPITGKRVQPPLSQLCGNDSLQQQLPLSTGCEFHVVLELAELGISVIDHTPEEILYLSVQNLLLAYSTGLGSGFSRLNLRVHGIQVDNQLPLTPMPVLFRPQKVGEDRDYVLKFSMTMQSNGSLDLCLYPYIGFTGPESSAFIINIHEPIIWRLHEMIQQVNLSRLYDTKTTAVSVDPIIHIGVLNISEVRFKVSMAMSPSQRPRGVLGFWSSLMTALGNTENMPVRLNQRFNENMCMRQSTMIGIAVSNIKKDLLRQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEALGDVIREGGGALAKGLFRGVTGILTKPLEGAKNSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKITSAITSEEQLLRQRLPRVISADNLLRPYNEYKSQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFMLPKGKIIVVTHRRVMLLQQPSNILAQRKFSPARDPCSVSWGVLWVDLVTMELTHGKKDQPKAPPSHLTLYLRSRSTESKEQFRVIKCSRETDQALKVYSSIERAVNTYGRNLSNEMLKNQVTKPYAPSADVSRLEGISKEGDCIWSPQQMPESVTQSSTFGNSSN >Potri.008G100600.8.v4.1 pep chromosome:Pop_tri_v4:8:6303235:6322716:1 gene:Potri.008G100600.v4.1 transcript:Potri.008G100600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G100600.v4.1 MHEQSDVLNSSDSENDSNRLQSFTFESQVVFPEFTFYDGTKSSLDDSSYGEKLLRAKMDLSFMYASKENDIWIRALVKDLTVEAGSGLMILDPVDISGGYTSVKEKTNMSLISTDICVHLSLSVISLLLNLLNQATTALQFGNAIPLAPCSNFDRVWVSPRENGPYNHLTFWRPHPPSNYVILGDCVTSRPIPPSQAVMAVSNAYGRVQKPVGFNFVSLLPGIQGFGGESHSGFDCDCSLWVPVAPPGYTALGCVAHVGCEPPPTHIVYCLRTDLVASSTYSECIFSSAPNPQSASGLSIWRLDNVIASFYAHSSTEYPPRDSGGDLNHLLLWNSIRNQSLSRDAVSDSADEHDHGSQTSNNSANSSGWDIIRSISKATNSYVSTPNFERIWWDKGSEIRRPVSIWRPIACPGYAILGDCITEGSEPPALGIIFKIGDPEISSKPVQFTKVANIVGKGFDEVFFWYPIAPPGYASLGCVVTRTDEAPLLNSFCCPRLDIVNQANIIEVPISRSPSTKASQCWSIWKIENQACTFLARMDLKKPSSRLAFTIADSVKPKSRENVTADIKLVCFSITVLDSLCGMMTPLFDVTITNIKLATHGRLEAMNAVLISSIAASTFNAQLEAWEPLVEPFDGIFKLETYDNNVHPPSRIAKKVRVAATSIMNINVSAANLETFIGTMLSWRKQLELDQKAVKLIEEAGCHLKHEEDPTFSALDEDDFQTVIIENKLGCDLYLKQIEDNTDTVSQLHNDDCTFVWIPPPTFSDNLKVVDRSREARCYVAIQILEAKGLPIVDDGNSHKFFCAVRLVVDSRATDQQKLFPQSVRTKCVKPLLPREHEITSATAKWNELFIFEIPRKGVAKLEVEVTNLAAKAGKGEVVGALSLPVGQGAVMLKKVASARMLNQPHDFQNVMSCPLRRRAPHDDVEQMLESGHLLVSTTYFERNLAANFQRDKETELSRNRDVGFWIRLSPEGAWESVRSLLPLSVVPKLLHDEFLAMEVVMKNGKKHVIFRGLAIVVNDSDVKLDISICHVSLVHGRDPSLGTSKLNIVIEEIFENQSYHPISGWGNKLPGFRSTGPGRWSTRDFSCSSKDFFEPHLPTGWQWTSTWIIDKSVPVDDDGWTYGPDFHTLKWPPASKSYKSAHNVVRRRRWIRRRQQLTGEGSNSVNSDFISINPGSSSVLPWRSISKNSDLCLLVRPCADHSQPEYVWGQAVAFVSDYMFEKDQPFSDQGLLARQNTLKQQRKMPNAFMLNQLEKKDVLFHCRPSSGSAAFWLSVGADASILHTELNSPVYDWRISINSPLKLENQLPCAAEFTVWEKGKEGNCIERQHGIISSRQSIHVYSADIRKSVYLTLLLQGGWVLEKDPALVLDLGSSGQISSFWMVHQQSKRRLRVSIERDMGGTTSAPKTIRLFVPYWIVNDSSLPLSYRVVEIEPLETVKSVKASFKNPTNSMERRFGTKRNVQVLEVIEDTSPIPSMLSPQDTAGRSGIMLFPSQKDAYLSPRLGLAVAIHHSEIYSPGISFLELEKKERVGIKAFGSDGSYYKLSALLKTSDRTKVLHIQPHTLFINRLGFSLCLQQCGSQLVEWIHPADAPKPFGWHSSADVELLKLRVDGYKWSTPFSICNEGMMRISLEKDSGDDQMQLRVQVRSGTKRTQYEVIFRPNSLSSPYRIENHSFFLPIRFRQVDGPSESWKLLLPNAAASFLWEDFGRPRLLELLVDGTDSSKSLKYNIDEILDHQPNHAEGQPVRPLRVTVLKEDKMNIVRISDWMPENELPITGKRVQPPLSQLCGNDSLQQQLPLSTGCEFHVVLELAELGISVIDHTPEEILYLSVQNLLLAYSTGLGSGFSRLNLRVHGIQVDNQLPLTPMPVLFRPQKVGEDRDYVLKFSMTMQSNGSLDLCLYPYIGFTGPESSAFIINIHEPIIWRLHEMIQQVNLSRLYDTKTTAVSVDPIIHIGVLNISEVRFKVSMAMSPSQRPRGVLGFWSSLMTALGNTENMPVRLNQRFNENMCMRQSTMIGIAVSNIKKDLLRQPLQLLSGVDILGNASSALGHMSKGVAALSMDKKFIQSRQRQENKGVEALGDVIREGGGALAKGLFRGVTGILTKPLEGAKNSGVEGFVQGVGKGIIGAAAQPVSGVLDLLSKTTEGANAMRMKITSAITSEEQLLRQRLPRVISADNLLRPYNEYKSQGQVILQLAESGSFFGQVDLFKVRGKFALSDAYEDHFMLPKGKIIVVTHRRVMLLQQPSNILAQRKFSPARDPCSVSWGVLWVDLVTMELTHGKKDQPKAPPSHLTLYLRSRSTESKEQFRVIKCSRETDQALKVYSSIERAVNTYGRNLSNEMLKNQVTKPYAPSADVSRLEGISKEGDCIWSPQQMPESVTQSSTFGNSSN >Potri.010G044601.1.v4.1 pep chromosome:Pop_tri_v4:10:7649562:7651625:-1 gene:Potri.010G044601.v4.1 transcript:Potri.010G044601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G044601.v4.1 MEDVAENVLKELIDLGMLQVQQVQQETEVKVPASYVQLCILKLEKQDFVSKTANSPVRLRIRDDGRDIYPNVEGLLIQSLFIISERRDSSFGAPRGLSRAYMRNVCGMHFLLVLDLRGLIECLPDELGDLIHLRYLGLFNSNLDELPGTLGNLKRLQTLDIRMCRHLRKLPIEILHIQQLRHLLMSDSINDCEIRVPKGVGTLVSLHTLSGIYGGDDIAIELIALTQLRELGVKRVTDDHASELFAAIKKMENLTSLSLEAERNYFEDTSFSIFAELDAFSPPPLLQEFFLLGGLIEMPVWFASMENLTRLSLFYSYLSENPTSVLQLLPKLKHLQLWEAYKARHIGKEFCNAGGFPVLETLTIASEFLAEWTEIETGAFPRLRYLCFRRCRNLIFLPEGLQNISTLQDLCFFPWNPDLKRRLMGEENYKIKHVPNIRGFENR >Potri.014G131200.1.v4.1 pep chromosome:Pop_tri_v4:14:8789954:8792117:1 gene:Potri.014G131200.v4.1 transcript:Potri.014G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131200.v4.1 MEALLRQVFGDSSDSEDKSEEKEDSIDDVGCHSTSDPNYPTWEPIKEIKGLWLCRHFLSPHQQSTFLSAIQNEGWFLEASNNQAMRFGDLPSWAIELSNSIREVVLYGDRIHEPPSCAGSDRGEAACWLPPDLLSREPFFDQLIVNVYQPGEGICAHVDLMRFEDGIAIVSLESSCVMHFTQVGEVSDCGKEQPELPMIKIPVFLMPGSLVLLFGDARYLWKHEINRKPGFQMWEGQEVNQERRTSITLRKLCHAE >Potri.008G069600.1.v4.1 pep chromosome:Pop_tri_v4:8:4248290:4251106:-1 gene:Potri.008G069600.v4.1 transcript:Potri.008G069600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069600.v4.1 MASWVLSECGLRPLPRIYPQSRTGLTSKSTNLLKLRQSPDSKSCNLGSPFKVSSCSRQRNWALNVAVPVSVPVCEEEDKERESVNGANEQEGGFFDPGAPPPFKLSDIRAAIPKHCWVKNPWISMSYVVRDVAVVFGLAAIAAYFNNWVVWPLYWFAQGTMFWALFVLGHDCGHGSFSNNPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPEKIYRSLDNVTRKLRFTLPFPMLAYPVYLWSRSPGKKGSHFHPDSDLFVPNERKDVITSTACWTAMAALLVSLSFVMGPFQVLKLYGIPYWIFVMWLDLVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPRKSEPLPFYLLGTLVRSMKQDHYVSNTGDVLYYQTDPELCGPEKTE >Potri.003G151500.1.v4.1 pep chromosome:Pop_tri_v4:3:16426923:16446992:1 gene:Potri.003G151500.v4.1 transcript:Potri.003G151500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151500.v4.1 MTTSVASQLQAIRSVIQTGLESKKRPITRPSILFDPKEAADLDIDTILDIALSGLEVLVSADERFKNYKNDLFSHKSKELDRELMTGEENKHINSTISSYLRLLSGHLQLAASLRTLEYLIRRYKIHVYNFEDLILCSLPYHDTHAFVRIVQLIDTRNGKWKFLDGVKASGAPPPRNVMVQQCVRDMGVLEALCNYASPAKKFQPSRSVVSFCTAVVIEVLGSITTVNTDVVQRILPFVISGLQPGSKGGSDHKAAALMIVCLLANKVSLSPKLVKSLMRSIAEIAQKDASKSTDLQWFRLSIMALINLVQLQSIDVFPKKVLEILKETREIAGVLMGLSKEFNIDRFLAVLLEALVDNSSSDDTYHHVLVSILETVPIKNFVDRVVSKVLLSCMKMSQKNSNPSSQSGSWAKDILMVINKIYPFELHQAVQKFLEDTKVQSKNDDAVFEICKMLDGNLDMSASISDSKIWLALHHPKAEVRRATLSGLNRHVDLKNMAVDSKRLVTIQDAVLCQLRDDDLTVVQAALSLKGLSEIISPSDLLKALDGVLKKCVSTLRSGASDKAALANDVAIAFLKTAVSTFHDQIDYSKKLAAMMFPLLLIFQKTQSLNLEVLELVKEVKWPFYNDLTAVSSEVVKLQQEVISSINMKIVNGLAETFSMHPGKYMTWLVDSSSDCTVSKTLLLLVLMQSFIRPKNKSEQFSALFEAFFSFLKTEWELQSAVVSGNEFNNEMLQWDCGRFLDQLFDTDLKALNINILICTFWRLLEAFTSMEDNQQLISSRHTDLFVFFSNSQSKHFFKEHLHYLVTKCKISPIDFLSGFYTNEDISITVQVESLHCLAFLCSEPDDRLLLQLLFSFPSLLVPLASDSQDLRIASMGCIEGLSALSHRADYLSKKNGNNANWSHFLDELLGLIVQQKRLILSDSNFLPSFLCCLLGSSRNSLLVPQNVEQRFDQSTKEKILAFVLGSGLQLSSFAKMMIISLLKGMGSALLHVKEAESLLSQLLKRRRQYYFEVDRSSQKLSKTEVKILCLLLEVCAMPPSLEGHACEDYLLKALQLDGLSSEEFAIIEPCITVLQKLSAPLYSGLTTEKQELLFRELVILFRNANGDIQNATREALMRLNITCSTVVHTIKFIFEQESRIGGSASGKKKRKSIVHQTSTLDGDVVCKVETALCLLSSLLDILILKKDIASREHLIGPLFKLLEKIFSDDWMPARDENWIKASYGVSQTGSSTICYTQQTLLLVLEDIIGSLKNVIPLKDDITNKINIKLLIMCARSAKHGVVRNHVFSLLSSIVKVVPENIMGYILDIFTVAGESTVSQIDSHSQHVFEDLISAVVPCWLAETRNTDKLLQVFVNVLPKIAEHRRLSIVVYLLRTLGEHNSLASLLALLFRSLVSRKGLSLLDETNDLTSSAEREWEYAFAIRICEQYSCRIWLPSLVPLLQLIGAGNSCQEIFMELLFATEFILHKLEDPEFSFKLDSSEDSDKIQETLQELLEHVVCLSQLSDLRRKQINVPVRVRKEMKECMHGVLRSTTAVMIPSAYFRGIISLLCNSDGNVKKKALGLLSETLKKRESIKTKHKGRRDSIASSITDWFHVDGSTLDSFQQMCLEIARLIDDTMDDSDTSLKLSAVSTLEVLAHRFSSNYSVFSMCLPSITKGICSNNLAISSSCLRTTGALVDALGPRAFVQLPQIMENVIKTSSKFSAALSLPEESLMLSILLALEAVVDKLGGFLNPYLEDIIRLVVHGPEYTSGSKMKLRQKADAVRKLLTEKIPVRLALPPLLKMYPDTVEAGDSSLAVFFEMLGSLVGTMDRSSVGGYNETIFDLCLRALDLRRQHPVSIQNIDLVEKSIINAMIALTMKLTETMFKPLFIRSIEWAESYVEENDSKDNVIDRAISFYGLVNKLAENHRSLFVSYFEYLLEGCVRHLTNIVKPKGAGLIQKKKKAKIQEAGSDIKENSVLTLKSWHLRALVISALHKCFLYDTGSRKFLDSSKFQVLLKPIVSQLIAEPPALLEEHPSIPSVNEVDELLVVCIGQMAVTAGTDLLWKPLNHEVLLQTRSDKIRSRILGLRIVKYLMDNLKDEYLVFLPETIPFLGELLEDLELPVKSLAQDVLKEMESMSGESLQQYL >Potri.003G151500.4.v4.1 pep chromosome:Pop_tri_v4:3:16438479:16446860:1 gene:Potri.003G151500.v4.1 transcript:Potri.003G151500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151500.v4.1 MPPSLEGHACEDYLLKALQLDGLSSEEFAIIEPCITVLQKLSAPLYSGLTTEKQELLFRELVILFRNANGDIQNATREALMRLNITCSTVVHTIKFIFEQESRIGGSASGKKKRKSIVHQTSTLDGDVVCKVETALCLLSSLLDILILKKDIASREHLIGPLFKLLEKIFSDDWMPARDENWIKASYGVSQTGSSTICYTQQTLLLVLEDIIGSLKNVIPLKDDITNKINIKLLIMCARSAKHGVVRNHVFSLLSSIVKVVPENIMGYILDIFTVAGESTVSQIDSHSQHVFEDLISAVVPCWLAETRNTDKLLQVFVNVLPKIAEHRRLSIVVYLLRTLGEHNSLASLLALLFRSLVSRKGLSLLDETNDLTSSAEREWEYAFAIRICEQYSCRIWLPSLVPLLQLIGAGNSCQEIFMELLFATEFILHKLEDPEFSFKLDSSEDSDKIQETLQELLEHVVCLSQLSDLRRKQINVPVRVRKEMKECMHGVLRSTTAVMIPSAYFRGIISLLCNSDGNVKKKALGLLSETLKKRESIKTKHKGRRDSIASSITDWFHVDGSTLDSFQQMCLEIARLIDDTMDDSDTSLKLSAVSTLEVLAHRFSSNYSVFSMCLPSITKGICSNNLAISSSCLRTTGALVDALGPRAFVQLPQIMENVIKTSSKFSAALSLPEESLMLSILLALEAVVDKLGGFLNPYLEDIIRLVVHGPEYTSGSKMKLRQKADAVRKLLTEKIPVRLALPPLLKMYPDTVEAGDSSLAVFFEMLGSLVGTMDRSSVGGYNETIFDLCLRALDLRRQHPVSIQNIDLVEKSIINAMIALTMKLTETMFKPLFIRSIEWAESYVEENDSKDNVIDRAISFYGLVNKLAENHRSLFVSYFEYLLEGCVRHLTNIVKPKGAGLIQKKKKAKIQEAGSDIKENSVLTLKSWHLRALVISALHKCFLYDTGSRKFLDSSKFQVLLKPIVSQLIAEPPALLEEHPSIPSVNEVDELLVVCIGQMAVTAGTDLLWKPLNHEVLLQTRSDKIRSRILGLRIVKYLMDNLKDEYLVFLPETIPFLGELLEDLELPVKSLAQDVLKEMESMSGESLQQYL >Potri.003G151500.3.v4.1 pep chromosome:Pop_tri_v4:3:16426913:16447012:1 gene:Potri.003G151500.v4.1 transcript:Potri.003G151500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151500.v4.1 MTTSVASQLQAIRSVIQTGLESKKRPITRPSILFDPKEAADLDIDTILDIALSGLEVLVSADERFKNYKNDLFSHKSKELDRELMTGEENKHINSTISSYLRLLSGHLQLAASLRTLEYLIRRYKIHVYNFEDLILCSLPYHDTHAFVRIVQLIDTRNGKWKFLDGVKASGAPPPRNVMVQQCVRDMGVLEALCNYASPAKKFQPSRSVVSFCTAVVIEVLGSITTVNTDVVQRILPFVISGLQPGSKGGSDHKAAALMIVCLLANKVSLSPKLVKSLMRSIAEIAQKDASKSTDLQWFRLSIMALINLVQLQSIDVFPKKVLEILKETREIAGVLMGLSKEFNIDRFLAVLLEALVDNSSSDDTYHHVLVSILETVPIKNFVDRVVSKVLLSCMKMSQKNSNPSSQSGSWAKDILMVINKIYPFELHQAVQKFLEDTKVQSKNDDAVFEICKMLDGNLDMSASISDSKIWLALHHPKAEVRRATLSGLNRHVDLKNMAVDSKRLVTIQDAVLCQLRDDDLTVVQAALSLKGLSEIISPSDLLKALDGVLKKCVSTLRSGASDKAALANDVAIAFLKTAVSTFHDQIDYSKKLAAMMFPLLLIFQKTQSLNLEVLELVKEVKWPFYNDLTAVSSEVVKLQQEVISSINMKIVNGLAETFSMHPGKYMTWLVDSSSDCTVSKTLLLLVLMQSFIRPKNKSEQFSALFEAFFSFLKTEWELQSAVVSGNEFNNEMLQWDCGRFLDQLFDTDLKALNINILICTFWRLLEAFTSMEDNQQLISSRHTDLFVFFSNSQSKHFFKEHLHYLVTKCKISPIDFLSGFYTNEDISITVQVESLHCLAFLCSEPDDRLLLQLLFSFPSLLVPLASDSQDLRIASMGCIEGLSALSHRADYLSKKNGISGNNANWSHFLDELLGLIVQQKRLILSDSNFLPSFLCCLLGSSRNSLLVPQNVEQRFDQSTKEKILAFVLGSGLQLSSFAKMMIISLLKGMGSALLHVKEAESLLSQLLKRRRQYYFEVDRSSQKLSKTEVKILCLLLEVCAMPPSLEGHACEDYLLKALQLDGLSSEEFAIIEPCITVLQKLSAPLYSGLTTEKQELLFRELVILFRNANGDIQNATREALMRLNITCSTVVHTIKFIFEQESRIGGSASGKKKRKSIVHQTSTLDGDVVCKVETALCLLSSLLDILILKKDIASREHLIGPLFKLLEKIFSDDWMPARDENWIKASYGVSQTGSSTICYTQQTLLLVLEDIIGSLKNVIPLKDDITNKINIKLLIMCARSAKHGVVRNHVFSLLSSIVKVVPENIMGYILDIFTVAGESTVSQIDSHSQHVFEDLISAVVPCWLAETRNTDKLLQVFVNVLPKIAEHRRLSIVVYLLRTLGEHNSLASLLALLFRSLVSRKGLSLLDETNDLTSSAEREWEYAFAIRICEQYSCRIWLPSLVPLLQLIGAGNSCQEIFMELLFATEFILHKLEDPEFSFKLDSSEDSDKIQETLQELLEHVVCLSQLSDLRRKQINVPVRVRKEMKECMHGVLRSTTAVMIPSAYFRGIISLLCNSDGNVKKKALGLLSETLKKRESIKTKHKGRRDSIASSITDWFHVDGSTLDSFQQMCLEIARLIDDTMDDSDTSLKLSAVSTLEVLAHRFSSNYSVFSMCLPSITKGICSNNLAISSSCLRTTGALVDALGPRAFVQLPQIMENVIKTSSKFSAALSLPEESLMLSILLALEAVVDKLGGFLNPYLEDIIRLVVHGPEYTSGSKMKLRQKADAVRKLLTEKIPVRLALPPLLKMYPDTVEAGDSSLAVFFEMLGSLVGTMDRSSVGGYNETIFDLCLRALDLRRQHPVSIQNIDLVEKSIINAMIALTMKLTETMFKPLFIRSIEWAESYVEENDSKDNVIDRAISFYGLVNKLAENHRSLFVSYFEYLLEGCVRHLTNIVKPKGAGLIQKKKKAKIQEAGSDIKENSVLTLKSWHLRALVISALHKCFLYDTGSRKFLDSSKFQVLLKPIVSQLIAEPPALLEEHPSIPSVNEVDELLVVCIGQMAVTAGTDLLWKPLNHEVLLQTRSDKIRSRILGLRIVKYLMDNLKDEYLVFLPETIPFLGELLEDLELPVKSLAQDVLKEMESMSGESLQQYL >Potri.015G089100.5.v4.1 pep chromosome:Pop_tri_v4:15:11244983:11249074:1 gene:Potri.015G089100.v4.1 transcript:Potri.015G089100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089100.v4.1 MFNISLLSSMQYVWLKNDILFLYYNYFLSLLQYYLRTGGCKYGKACRFNHTREKTFSVPPLKTPMPSILELNFLGLPIRPGEKQCEFYMRNGSCKYGATCKYNHPDPMAVGGSDLTSAFVNGGTTSLPAPSPSSVGSWSSPRALNDPTPFVPYVFSPTRLPSQSSEWNGYQGTLYPPERSLHPPPSYAMSNPATESNVYAPQQQQTVVDEFPERPGQQLCSYFMKFGDCKFKSNCKYHHPKNRIPKSPSLTLSDKGLPLRPDQIICSYYSRYGICKFGPACKFDHSIQPPSSGSGDDQHTAFGNSVTQEKARMAESGNGSDISVEQPV >Potri.015G089100.1.v4.1 pep chromosome:Pop_tri_v4:15:11243743:11249098:1 gene:Potri.015G089100.v4.1 transcript:Potri.015G089100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G089100.v4.1 MEQQIELPIQIQTEIPQLGLQPSSSPHSSHPGTSPSSEPDQTAQDDDNYLHQELQNQLDLREEFKELNDFHEEEEEEEEFKSEERDKKSEFFYENDNESSEKEGDDLEKKNERSDENANNNRRYHQYPVRPEAEDCAFYMKTGTCKFGVNCKFNHPVRRKNQAVKENVKEREEATEKPGQTECKYYLRTGGCKYGKACRFNHTREKTFSVPPLKTPMPSILELNFLGLPIRPGEKQCEFYMRNGSCKYGATCKYNHPDPMAVGGSDLTSAFVNGGTTSLPAPSPSSVGSWSSPRALNDPTPFVPYVFSPTRLPSQSSEWNGYQGTLYPPERSLHPPPSYAMSNPATESNVYAPQQQQTVVDEFPERPGQQLCSYFMKFGDCKFKSNCKYHHPKNRIPKSPSLTLSDKGLPLRPDQIICSYYSRYGICKFGPACKFDHSIQPPSSGSGDDQHTAFGNSVTQEKARMAESGNGSDISVEQPV >Potri.006G152000.16.v4.1 pep chromosome:Pop_tri_v4:6:13331695:13341801:-1 gene:Potri.006G152000.v4.1 transcript:Potri.006G152000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152000.v4.1 MGLVMVPAILQLLLKLCAIGFVFTVQGSTGDKISPTPAIVSDISPIDGTPGPQLQPFRSSAPSSESQTNGSHVPSPLALPPITSAPVPQTITGLVPLHSPSPPVVTTPPLIFQGHVPSLSPSISVVSPTYNTAPPPTLNQGHEPPKPPSAHRREAPVRHTPVSVSSAPAAVPSGQSPKNSTVSQSSAPKASSPSSPKKDIFFSGAPILKSIAPAVSPSRKSKQNHPAVPPIAPAARPSAVPVVSPIGAMPQNSSATHPVMPGESPSTLSGPNVSYSSASTPSIDHKKNGIPVSAQPNGTLPPLSHSPAKGPVIPLSFLPISRHRQYAPPPLSSPVLSPEATPLGFSSRTPKMPPLPPLHTLPPPPPNEDCSATVCTEPYTNTPPGSPCGCVLPMQVGLSVSVALYTFFPLVSELAQEIATGVFMKQSQVHIIGANAASQQPEKTIILVDLVPLGERFDNTTAFFIYQRFWHKQVVINPSFFGDYEVLYVRYLGLPPSPHLAPSGIAIIDDGPYSGDDNNARTIKPLGVDVHRKHKNGLGHGVIAIIALSGVVALVLFSAVAWALLFRHRDRASQSETVLQPLPPSVVKPSGIAGSLVGSGLSSASLSFGSSIPAYAGSAKTFSTSDIERATNSFDASRILGEGGFGRVYCGVLEDGTKVAIKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRSLVYELIPNGSVESHLHGVDKGSASLDWDARIKIALGAARGLAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTWARPLLTSKEGLKLIIDPSLGSDVPFDSVAKVAAIASMCVQPEVSNRPFMGEVVQALKLVSNECDEAKELDSRSSSQGLSIDMDAEVSAVSGQLRGAFQNHALVLNYDSEPDIERGLPVSDLLSTSVGYGREGCGSLRRCSSGPLRKVRGRELLRKMRLTGETVSERGTIFKMWPGSH >Potri.006G152000.2.v4.1 pep chromosome:Pop_tri_v4:6:13331690:13342095:-1 gene:Potri.006G152000.v4.1 transcript:Potri.006G152000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152000.v4.1 MGLVMVPAILQLLLKLCAIGFVFTVQGSTGDKISPTPAIVSDISPIDGTPGPQLQPFRSSAPSSESQTNGSHVPSPLALPPITSAPVPQTITGLVPLHSPSPPVVTTPPLIFQGHVPSLSPSISVVSPTYNTAPPPTLNQGHEPPKPPSAHRREAPVRHTPVSVSSAPAAVPSGQSPKNSTVSQSSAPKASSPSSPKKDIFFSGAPILKSIAPAVSPSRKSKQNHPAVPPIAPAARPSAVPVVSPIGAMPQNSSATHPVMPGESPSTLSGPNVSYSSASTPSIDHKKNGIPVSAQPNGTLPPLSHSPAKGPVIPLSFLPISRHRQYAPPPLSSPVTNISPAPSPSPTAVSGWTKILSPEATPLGFSSRTPKMPPLPPLHTLPPPPPNEDCSATVCTEPYTNTPPGSPCGCVLPMQVGLSVSVALYTFFPLVSELAQEIATGVFMKQSQVHIIGANAASQQPEKTIILVDLVPLGERFDNTTAFFIYQRFWHKQVVINPSFFGDYEVLYVRYLGLPPSPHLAPSGIAIIDDGPYSGDDNNARTIKPLGVDVHRKHKNGLGHGVIAIIALSGVVALVLFSAVAWALLFRHRDRASQSETVLQPLPPSVVKPSGIAGSLVGSGLSSASLSFGSSIPAYAGSAKTFSTSDIERATNSFDASRILGEGGFGRVYCGVLEDGTKVAIKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRSLVYELIPNGSVESHLHGVDKGSASLDWDARIKIALGAARGLAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTWARPLLTSKEGLKLIIDPSLGSDVPFDSVAKVAAIASMCVQPEVSNRPFMGEVVQALKLVSNECDEAKELDSRSSSQGLSIDMDAEVSAVSGQLRGAFQNHALVLNYDSEPDIERGLPVSDLLSTSVGYGREGCGSLRRCSSGPLRKVRGRELLRKMRLTGETVSERGTIFKMWPGSH >Potri.006G152000.15.v4.1 pep chromosome:Pop_tri_v4:6:13331654:13341979:-1 gene:Potri.006G152000.v4.1 transcript:Potri.006G152000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152000.v4.1 MGLVMVPAILQLLLKLCAIGFVFTVQGSTGDKISPTPAIVSDISPIDGTPGPQLQPFRSSAPSSESQTNGSHVPSPLALPPITSAPVPQTITGLVPLHSPSPPVVTTPPLIFQGHVPSLSPSISVVSPTYNTAPPPTLNQGHEPPKPPSAHRREAPVRHTPVSVSSAPAAVPSGQSPKNSTVSQSSAPKASSPSSPKKDIFFSGAPILKSIAPAVSPSRKSKQNHPAVPPIAPAARPSAVPVVSPIGAMPQNSSATHPVMPGESPSTLSGPNVSYSSASTPSIDHKKNGIPVSAQPNGTLPPLSHSPAKGPVIPLSFLPISRHRQYAPPPLSSPVTNISPAPSPSPTAVSGWTKILSPEATPLGFSSRTPKMPPLPPLHTLPPPPPNEDCSATVCTEPYTNTPPGSPCGCVLPMQVGLSVSVALYTFFPLVSELAQEIATGVFMKQSQVHIIGANAASQQPEKTIILVDLVPLGERFDNTTAFFIYQRFWHKQVVINPSFFGDYEVLYVRYLGLPPSPHLAPSGIAIIDDGPYSGDDNNARTIKPLGVDVHRKHKNGLGHGVIAIIALSGVVALVLFSAVAWALLFRHRDRASQSETVLQPLPPSVVKPSGIAGSLVGSGLSSASLSFGSSIPAYAGSAKTFSTSDIERATNSFDASRILGEGGFGRVYCGVLEDGTKVAIKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRSLVYELIPNGSVESHLHGVDKGSASLDWDARIKIALGAARGLAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTWARPLLTSKEGLKLIIDPSLGSDVPFDSVAKVAAIASMCVQPEVSNRPFMGEVVQALKLVSNECDEAKELDSRSSSQGLSIDMDAEVSAVSGQLRGAFQNHALVLNYDSEPDIERGLPVSDLLSTSVGYGREGCGSLRRCSSGPLRKVRGRELLRKMRLTGETVSERGTIFKMWPGSH >Potri.006G152000.4.v4.1 pep chromosome:Pop_tri_v4:6:13331639:13341704:-1 gene:Potri.006G152000.v4.1 transcript:Potri.006G152000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152000.v4.1 MGLVMVPAILQLLLKLCAIGFVFTVQGSTGDKISPTPAIVSDISPIDGTPGPQLQPFRSSAPSSESQTNGSHVPSPLALPPITSAPVPQTITGLVPLHSPSPPVVTTPPLIFQGHVPSLSPSISVVSPTYNTAPPPTLNQGHEPPKPPSAHRREAPVRHTPVSVSSAPAAVPSGQSPKNSTVSQSSAPKASSPSSPKKDIFFSGAPILKSIAPAVSPSRKSKQNHPAVPPIAPAARPSVPVVSPIGAMPQNSSATHPVMPGESPSTLSGPNVSYSSASTPSIDHKKNGIPVSAQPNGTLPPLSHSPAKGPVIPLSFLPISRHRQYAPPPLSSPVTNISPAPSPSPTAVSGWTKMPVLSPEATPLGFSSRTPKMPPLPPLHTLPPPPPNEDCSATVCTEPYTNTPPGSPCGCVLPMQVGLSVSVALYTFFPLVSELAQEIATGVFMKQSQVHIIGANAASQQPEKTIILVDLVPLGERFDNTTAFFIYQRFWHKQVVINPSFFGDYEVLYVRYLGLPPSPHLAPSGIAIIDDGPYSGDDNNARTIKPLGVDVHRKHKNGLGHGVIAIIALSGVVALVLFSAVAWALLFRHRDRASQSETVLQPLPPSVVKPSGIAGSLVGSGLSSASLSFGSSIPAYAGSAKTFSTSDIERATNSFDASRILGEGGFGRVYCGVLEDGTKVAIKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRSLVYELIPNGSVESHLHGVDKGSASLDWDARIKIALGAARGLAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTWARPLLTSKEGLKLIIDPSLGSDVPFDSVAKVAAIASMCVQPEVSNRPFMGEVVQALKLVSNECDEAKELDSRSSSQGLSIDMDAEVSAVSGQLRGAFQNHALVLNYDSEPDIERGLPVSDLLSTSVGYGREGCGSLRRCSSGPLRKVRGRELLRKMRLTGETVSERGTIFKMWPGSH >Potri.006G152000.14.v4.1 pep chromosome:Pop_tri_v4:6:13331626:13342122:-1 gene:Potri.006G152000.v4.1 transcript:Potri.006G152000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152000.v4.1 MGLVMVPAILQLLLKLCAIGFVFTVQGSTGDKISPTPAIVSDISPIDGTPGPQLQPFRSSAPSSESQTNGSHVPSPLALPPITSAPVPQTITGLVPLHSPSPPVVTTPPLIFQGHVPSLSPSISVVSPTYNTAPPPTLNQGHEPPKPPSAHRREAPVRHTPVSVSSAPAAVPSGQSPKNSTVSQSSAPKASSPSSPKKDIFFSGAPILKSIAPAVSPSRKSKQNHPAVPPIAPAARPSAVPVVSPIGAMPQNSSATHPVMPGESPSTLSGPNVSYSSASTPSIDHKKNGIPVSAQPNGTLPPLSHSPAKGPVIPLSFLPISRHRQYAPPPLSSPVTNISPAPSPSPTAVSGWTKMPVLSPEATPLGFSSRTPKMPPLPPLHTLPPPPPNEDCSATVCTEPYTNTPPGSPCGCVLPMQVGLSVSVALYTFFPLVSELAQEIATGVFMKQSQVHIIGANAASQQPEKTIILVDLVPLGERFDNTTAFFIYQRFWHKQVVINPSFFGDYEVLYVRYLGLPPSPHLAPSGIAIIDDGPYSGDDNNARTIKPLGVDVHRKHKNGLGHGVIAIIALSGVVALVLFSAVAWALLFRHRDRASQSETVLQPLPPSVVKPSGIAGSLVGSGLSSASLSFGSSIPAYAGSAKTFSTSDIERATNSFDASRILGEGGFGRVYCGVLEDGTKVAIKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRSLVYELIPNGSVESHLHGVDKGSASLDWDARIKIALGAARGLAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTWARPLLTSKEGLKLIIDPSLGSDVPFDSVAKVAAIASMCVQPEVSNRPFMGEVVQALKLVSNECDEAKELDSRSSSQGLSIDMDAEVSAVSGQLRGAFQNHALVLNYDSEPDIERGLPVSDLLSTSVGYGREGCGSLRRCSSGPLRKVRGRELLRKMRLTGETVSERGTIFKMWPGSH >Potri.006G152000.13.v4.1 pep chromosome:Pop_tri_v4:6:13331693:13342148:-1 gene:Potri.006G152000.v4.1 transcript:Potri.006G152000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G152000.v4.1 MGLVMVPAILQLLLKLCAIGFVFTVQGSTGDKISPTPAIVSDISPIDGTPGPQLQPFRSSAPSSESQTNGSHVPSPLALPPITSAPVPQTITGLVPLHSPSPPVVTTPPLIFQGHVPSLSPSISVVSPTYNTAPPPTLNQGHEPPKPPSAHRREAPVRHTPVSVSSAPAAVPSGQSPKNSTVSQSSAPKASSPSSPKKDIFFSGAPILKSIAPAVSPSRKSKQNHPAVPPIAPAARPSAVPVVSPIGAMPQNSSATHPVMPGESPSTLSGPNVSYSSASTPSIDHKKNGIPVSAQPNGTLPPLSHSPAKGPVIPLSFLPISRHRQYAPPPLSSPVTNISPAPSPSPTAVSGWTKMPVLSPEATPLGFSSRTPKMPPLPPLHTLPPPPPNEDCSATVCTEPYTNTPPGSPCGCVLPMQVGLSVSVALYTFFPLVSELAQEIATGVFMKQSQVHIIGANAASQQPEKTIILVDLVPLGERFDNTTAFFIYQRFWHKQVVINPSFFGDYEVLYVRYLGLPPSPHLAPSGIAIIDDGPYSGDDNNARTIKPLGVDVHRKHKNGLGHGVIAIIALSGVVALVLFSAVAWALLFRHRDRASQSETVLQPLPPSVVKPSGIAGSLVGSGLSSASLSFGSSIPAYAGSAKTFSTSDIERATNSFDASRILGEGGFGRVYCGVLEDGTKVAIKVLKRDDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEERSRSLVYELIPNGSVESHLHGVDKGSASLDWDARIKIALGAARGLAYLHEDSSPCVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENQHISTRVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVTWARPLLTSKEGLKLIIDPSLGSDVPFDSVAKVAAIASMCVQPEVSNRPFMGEVVQALKLVSNECDEAKELDSRSSSQGLSIDMDAEVSAVSGQLRGAFQNHALVLNYDSEPDIERGLPVSDLLSTSVGYGREGCGSLRRCSSGPLRKVRGRELLRKMRLTGETVSERGTIFKMWPGSH >Potri.018G067300.1.v4.1 pep chromosome:Pop_tri_v4:18:8098170:8102025:-1 gene:Potri.018G067300.v4.1 transcript:Potri.018G067300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G067300.v4.1 MALIKQTVVVLVTLSVVSTWIPVSESAKTDKPLIVARKDDIPYIKCQVCEKVASQLYRQAQKKQDQISPQKISEYQVIEIAENVCNLKKEEADWIMKLDIMEQGDKLELIEQDTEGQCNSECKTIEQTCQQVMDYVDTDVAEYIYTTKPQIDLLVKHLCKDLTNSCTKKPPPVPKNRMPGEPFVPKPSKEVEMEKIMRSMEGMPGAPGMKMYSREDLMGMNNFGNEDAEDEDDEDEQFPSNMGKVLREKQDMKDDWKQKIFEGIKSTAEALKRHTNIVSKQIQKWWKGIRAAPAKKNSKTGKSEL >Potri.009G055900.1.v4.1 pep chromosome:Pop_tri_v4:9:5954101:5959272:1 gene:Potri.009G055900.v4.1 transcript:Potri.009G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G055900.v4.1 MESNPCLLLVVLLVVSCSVVQSEAAGKRQSEALGHLYKAKYSKGNLSVDNSLFQAIQHVDTSRFHAQEGLKEKDRIESLPGQPKVEFSQYGGYVTVDKSTGRALYYYFAEAQHSNKESLPLLLWLNGGPGCSSLSYGAMQELGPFRVYSDGQALYKNRHSWNYAANVLFLESPAGVGFSYSNTTSDYKKSGDKMTAEDNYVFLVNWLERFPEYKDRDFYISGESYAGHYVPQLAHTILYHNNKAKKTIVNLKGILIGNAVINDETDSIGMYSYFGNHALISDEMVQKILKSCDFSPNATSQSDECNQAAEAAGKDTSYINIYNIYGPLCLREGTTAKPKKPSLVDFDPCSDYYVYAYLNRPDVQEAMHANVTKLTHDWEPCSDIIPSWSDSPSTIIPLLQEFMANGLRVWLFSGDTDGRVPFTSTQYSINKMKLQVKTEWHPWYVKGEVGGYTQVYKGDLTFATVRGAGHQVPSYQPLRALSLVKHFLDGTPLPDTTRY >Potri.015G012300.1.v4.1 pep chromosome:Pop_tri_v4:15:772470:774035:-1 gene:Potri.015G012300.v4.1 transcript:Potri.015G012300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G012300.v4.1 MISNPNHVFYACIAKGPTVLAEFSSSKESGIEIIGQRCIEETPPNHSMFSHTTRNKTYTFLIDDPFAYFAIFDENLQKSESLWFLNRVKDAFEEFLGAKMMKDGEKMGSFCFQGELRFVFRQILALDADLVNALMEESTKDSRNPSLDSTKAKGAVIRPLLPKPSKVLMKKKKRSPGEANGDHHGGDLDNNKASGVGENGSRDFSVTMMQKSGGFYMGDGKQKAKQIWKKHVWVVLILDLIVCAVLFGVWLWVCRGLKCIDG >Potri.008G011200.1.v4.1 pep chromosome:Pop_tri_v4:8:556434:558902:-1 gene:Potri.008G011200.v4.1 transcript:Potri.008G011200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011200.v4.1 MSSLKAYGKLDEAEQARLEARRRTRKRITIISLSSILLVAIVVAAVVGTRAASGNSKKGGADKSISTSVKAVCDVTLYKDSCYNNLAPVAKPDQLQPEELFKLAIQVAKNELSKASQHFSKDGGVLYNGVKDNMTITALENCQELLSLALDHLDNSLEAGHGVSVIDIVDDLRTWLSTSGTCYQTCIDGLSETKLKATANDYLKSSSELTSNSLAIITWISKVASSVLNIHRRLLNYEDQEMPKWQHPEARKLLQSSDLNKADAIVAQDGSGKYKRITDALKDVPEKSEKRYVIYVKKGIYFENVRIEKKQWNVMMIGDGMNATIVSASLNVVDGTPTFSTATFAVFGKGFIARDMGFRNTAGAIKHQAVALMSNADMSAFYRCSMDAFQDTLYTHANRQFYRECNIYGTVDFIFGNSAVVIQNSNILPRKPMEGQQNTITAQGRIDPNQNTGISIQNCTILPFGDLSSVKTYLGRPWKNYSTTVFMRSMMGSLIDPAGWLPWIGDTAPPTIFYTEFENFGPGASTKNRVKWKGLKTITNKQASKFTVKAFIQGEGWLKGTGISYKPGL >Potri.018G138206.1.v4.1 pep chromosome:Pop_tri_v4:18:15849266:15853230:1 gene:Potri.018G138206.v4.1 transcript:Potri.018G138206.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138206.v4.1 MSCSTLLDQSLQLGFAKKWTSVSLRPRTSTCCTCQERSTLEPKKKGDRESRGEKNRSVTEDKSLISRSRISQQDSLPPLVGALKASAEKNAASFHFPGHNRGRAAPSSLTQLIGLRPFIHDLPELPELDNLFSPEGPILEAQMQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPSQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G138206.2.v4.1 pep chromosome:Pop_tri_v4:18:15849270:15853225:1 gene:Potri.018G138206.v4.1 transcript:Potri.018G138206.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138206.v4.1 MSCSTLLDQSLQLGFAKKWTSVSLRPRTSTCCTCQERSTLEPKKKGDRESRGEKNRSVTEDKSLISRSRISQQDSLPPLVGALKASAEKNAASFHFPGHNRGRAAPSSLTQLIGLRPFIHDLPELPELDNLFSPEGPILEAQMQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPSQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G138206.3.v4.1 pep chromosome:Pop_tri_v4:18:15849266:15853174:1 gene:Potri.018G138206.v4.1 transcript:Potri.018G138206.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138206.v4.1 MQAAKLFGSSETWFLVGGTTCGIQAAIMATCSPGEHIVLPRNSHISAISAMVLSGAIPKYIMPGYDCKWDIAGGVTPSQVGKAIKELEMDGKKPAAVFITSPTYHGICSNLSEISQLCHAYGIPVIVDEAHGAHLGFHPQMPHSALKQGADLVVQSTHKVLCSLTQSSMLHMSGNIVDRDRICRCLQTLQSTSPSYLLLASLDAARAQLAENPGTVFNKALELAIEASTLIKKIPGISVLDLASFNEFPAIDPLRLTLGFWSLGLSGYEADDILDRDHGVISELVGTQSITFAINLGTCREHNQRLVSGLKKLPTSSLHSKTTEKRVESREHAPFNDICISLNPRDAFFANKRSVSVRESLGKVCGELICPYPPGIPVMIPGEIITERALNYLLDVRRKGAVVTGASDSHLSSIVICDV >Potri.018G138401.2.v4.1 pep chromosome:Pop_tri_v4:18:14399162:14402344:1 gene:Potri.018G138401.v4.1 transcript:Potri.018G138401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138401.v4.1 MGILCCCSSGQSNPALDTGPAPVVLAPVSIPDPDIAPTSSGHLFEGEISFLVSDVTVNNWFSMVTMTFTRRRSAALGKNAPEDIELPALPDETPESKLRVFTFEQLKTAAFDFRSDMLLGKGGFGSVYKGLLKEKLFKGYTRKRRIAVKKLDSDSKQGLRQWQTEVGFLARVSHPNIVKLLGYCQENANKELLIVYQFMEKGSLNYHLFGKRSDRLLPWETRLKIITGMARALSYLHTMERPIIFRDFKTSNILLDETYTPKLSDFGLAKWGPNDGSSHVTGNVMGTYGYVGPEYKNGGKLYVKSDVYSYGVVLMEMLTGLRAIDKNRPPGQQDLREWALPFLSDRSRLRHIMDPRLQGKYGTKQASEIAVLAVRCVKANPTFRPSMKEVAETLDRLKLQK >Potri.018G138401.3.v4.1 pep chromosome:Pop_tri_v4:18:14399162:14402344:1 gene:Potri.018G138401.v4.1 transcript:Potri.018G138401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138401.v4.1 MFKALIFPTCIYSGEISFLVSDVTVNNWFSMVTMTFTRRRSAALGKNAPEDIELPALPDETPESKLRVFTFEQLKTAAFDFRSDMLLGKGGFGSVYKGLLKEKLFKGYTRKRRIAVKKLDSDSKQGLRQWQTEVGFLARVSHPNIVKLLGYCQENANKELLIVYQFMEKGSLNYHLFGKRSDRLLPWETRLKIITGMARALSYLHTMERPIIFRDFKTSNILLDETYTPKLSDFGLAKWGPNDGSSHVTGNVMGTYGYVGPEYKNGGKLYVKSDVYSYGVVLMEMLTGLRAIDKNRPPGQQDLREWALPFLSDRSRLRHIMDPRLQGKYGTKQASEIAVLAVRCVKANPTFRPSMKEVAETLDRLKLQK >Potri.018G138401.4.v4.1 pep chromosome:Pop_tri_v4:18:14399162:14401787:1 gene:Potri.018G138401.v4.1 transcript:Potri.018G138401.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138401.v4.1 MGILCCCSSGQSNPALDTGPAPVVLAPVSIPDPDIAPTSSGHLFEGEISFLVSDVTVNNWFSMVTMTFTRRRSAALGKNAPEDIELPALPDETPESKLRVFTFEQLKTAAFDFRSDMLLGKGGFGSVYKGLLKEKLFKGYTRKRRIAVKKLDSDSKQGLRQWQTEVGFLARVSHPNIVKLLGYCQENANKELLIVYQFMEKGSLNYHLFGKRSDRLLPWETRLKIITGMARALSYLHTMERPIIFRDFKTSNILLDETYTPKLSDFGLAKWGPNDGSSHVTGNVMGTYGYVGPEYKNGGNFCYFLNLDASMFDLLMVYLAWF >Potri.003G172000.2.v4.1 pep chromosome:Pop_tri_v4:3:18036281:18040080:1 gene:Potri.003G172000.v4.1 transcript:Potri.003G172000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G172000.v4.1 MVCRSVLRSVLTTEAWMMMMMIPPLHPNRAIIYSFSSARTVGFSSSKTTSIRAPESHHFGRTKPALVNCFCSYNNEGDEDQEPPQEAVLKAISEVSKTQARVGQTTNVVIGGTVVDDSTDEWLALDKKVNSYPTVRGFTAIGTGGDDFVQAMVIAVESVIQQPIPEGQVRQKVSSRGKYVSVNIGPVQVVSSEQVQAVYNTMRKDDRMKYFL >Potri.001G163100.1.v4.1 pep chromosome:Pop_tri_v4:1:13845233:13846191:1 gene:Potri.001G163100.v4.1 transcript:Potri.001G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G163100.v4.1 MAVACLSSPHILVQKSGPVIKPASRILMLQRNRSHVPLKKAPTLPVRSSLQAKLVFENRAEGIVCYRDESGEIICEGFDEGPRFHQQLQCSSYHPRDAEIINLLQQRLLQIVNGGEFNNTENGVIVVQEDCNRNGFNKFY >Potri.011G065100.11.v4.1 pep chromosome:Pop_tri_v4:11:5563054:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMVWLPVNFVRKGQRIKFSIRDQLGTEISVQNRVIGEKVLHHMLLPHQMTVSLIPSG >Potri.011G065100.4.v4.1 pep chromosome:Pop_tri_v4:11:5563021:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMVWLPVNFERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.9.v4.1 pep chromosome:Pop_tri_v4:11:5563021:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.16.v4.1 pep chromosome:Pop_tri_v4:11:5563020:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.19.v4.1 pep chromosome:Pop_tri_v4:11:5563859:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESITP >Potri.011G065100.7.v4.1 pep chromosome:Pop_tri_v4:11:5562947:5566289:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.13.v4.1 pep chromosome:Pop_tri_v4:11:5563054:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.15.v4.1 pep chromosome:Pop_tri_v4:11:5563149:5566010:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.2.v4.1 pep chromosome:Pop_tri_v4:11:5563021:5566323:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.5.v4.1 pep chromosome:Pop_tri_v4:11:5563021:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMVWLPVNFERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.1.v4.1 pep chromosome:Pop_tri_v4:11:5562945:5566323:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.18.v4.1 pep chromosome:Pop_tri_v4:11:5563859:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESITP >Potri.011G065100.14.v4.1 pep chromosome:Pop_tri_v4:11:5563054:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.10.v4.1 pep chromosome:Pop_tri_v4:11:5563021:5565930:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.6.v4.1 pep chromosome:Pop_tri_v4:11:5563021:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMVWLPVNFERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.17.v4.1 pep chromosome:Pop_tri_v4:11:5563020:5565923:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMERTENQVFNQGPARYRNLGPESSHRREGFASYVITTPDDSLSDSEWVIV >Potri.011G065100.12.v4.1 pep chromosome:Pop_tri_v4:11:5563054:5566256:-1 gene:Potri.011G065100.v4.1 transcript:Potri.011G065100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G065100.v4.1 MAWMQNMCRKFEAMCLELDCILEQEGLEYVNQLQTTGANVKQFCSEIMREVLPKPAEDTVDGVASDSCQVKNTEVDKMSKEGIVQDHFDMEPCNLRQSLYSFSVEPIKATKDDLSLEEMVVAEIHEKSVSSFKEIHSEEKQVQLEKLDTLEEKDLSISVLSSTSYDLLESNSLTEVIPIDKPSSKSVNLVYSNESKVPELGFTSSETSAESIRQLDNSTEDAANLGLSCTVKLDGSCFVIDCNELSSVSSEAGQLWSSMVWLPVNFVRKGQRIKFSIRDQLGTEISVQNRVIGEKVLHHMLLPHQMTVSLIPSG >Potri.008G173100.3.v4.1 pep chromosome:Pop_tri_v4:8:11951475:11957499:-1 gene:Potri.008G173100.v4.1 transcript:Potri.008G173100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G173100.v4.1 MGSLLQDLNLVPPSPSSSLTAAAAAAAASTSSTIIATDGSTTEAAAALLVPKVEPKLEPFDVETPIYQPQQPQDPTSSSQDLFFSSSTPNCFSNSQLTPPLSQSTSSEDDNSNNLYSEYNRISELFRTAFAKRLQDQYGDVSVVSDPDSRAIVPVKEGDNNALSSVVVSQRPKYQKRSSELVRVTDLGLEDQRYFRDLVRRTRMIYDSLRILSVLEEEKRRGERLGRRARGDLRAAATMRDCGLWLNRDKRIVGSIPGVQIGDVFFFRMELCVVGLHGQPQAGIDYLPASQSSNREPIATSIIVSGGYEDDEDSGDVIIYTGHGGQDSLNKQCEHQKLEGGNLAMERSMHYGIEVRVIRGIKHVGSVSSKVYVYDGLYKILDCWFDVGKSGFGVYKYKLLRIDGQPEMGSSILKFAETLRTKPLSVRPRGYLSLDISNKKENMPVFLFNDIDNDHDPMCYQYLERTVFPVFVITNGSNGTGCDCVSGCSDGCFCERKNGGEFAYDDNGFLLRGKPVVFECGVSCKCPPTCRNRVTQRGLRNRLEVFRSMETGWGVRSLDLIHAGAFICEYAGVVITREQAQIFTMNGGGLVYPNRFSAKWSEWGDLSQIYPNYIRPSYPEIPPLDFAMDVSKMRNVACYMSHSSTPNVLVQFVLYDHNNLMFPHIMLFAMENIPPLRELSLDYGVADEWTGKLAICN >Potri.019G119600.3.v4.1 pep chromosome:Pop_tri_v4:19:14552670:14556957:1 gene:Potri.019G119600.v4.1 transcript:Potri.019G119600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119600.v4.1 MVRRGLNQRDLCAMHSMLSTVCFSYAFLLCSSLLCCFARDTITYAGNLISHDGGETLVSAGKRFELGFFAPEQSSVYGSYVGIWYYRSHPRIVVWVANRNSPLLDGGAVLAVTDDGNLKILDKNADPFWSTALQSTSKPGYRLAKLLDSGNLVFGDSNTLSTTILWQSFEHPTDTFLSGMKMSGNLKLTSWKSQVDPKEGNFTFQLDGEKNQFVIVNDYVKHWTSGESSDFFSSERMPDGIVYFLSNFTRSVPNSKGRRTTRSPSDYNNTRIRLDVKGELQYWNFDVYTNWSLQWFEPRDKCNVFNACGNFGSCNLYNMLACRCLPGFEPISQENWRNGDFSGGCIRSAAGCGKNDTFLSLKMMRVGQPDTSFVVEDEKQCREECLNKCQCRAHSFVKGEVNMRRDRPPSDNSCLIWMDDLKDLQEEYSYGGPDLFVRVTIADIESKAKSCEPCGINVIPYPLSTGSDCGDPMYFSFYCDNSTGKLSFKTHNGTYNVTTINQDRRTFAIQEKDVADCNASTRGQIGKFNTSSPFKMNASKRWCDSNVSSQGLVEIDIGWEPPPEPVCSSSSDCDDWPNSTCNVTGNRTARCLCNSNFWWDGMALNCVQVVDGQAGGYSRKKKPLSLIVGVTIASVIVLSSIFLYTCIFMRKKAKRRESQQNTERNAALLYGTEKRVKNLIDAEEFNEEDKKGIDVPLFDLDSILAATDYFSEANKLGRGGFGPVYKGKFPGGQEIAIKRLSSVSGQGLEEFKNEVILIARLQHRNLVRLVGYCIKGDEKILLYEYMPNKSLDSFIFDRDLGMLLDWEMRLDIILGVARGLLYLHQDSRLRIIHRDMKTSNILLDAEMNPKISDFGLARMFEGKQTEGSTNRVAGTYGYMSPEYALDGLFSVKSDVFSFGVVVLEILSGKRNTGYFNSDEAQSLLAYAWRLWREDKSLDLMDETSRESCNTNEFLRCVNAALLCVQDDPSDRPTMSNVVVMLSSETANLPVPKNPAFFIRRGLSGTAFASSEQEGGLSGTASSSSKQERSIDTTIASDEVR >Potri.019G119600.4.v4.1 pep chromosome:Pop_tri_v4:19:14552727:14556931:1 gene:Potri.019G119600.v4.1 transcript:Potri.019G119600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119600.v4.1 MVRRGLNQRDLCAMHSMLSTVCFSYAFLLCSSLLCCFARDTITYAGNLISHDGGETLVSAGKRFELGFFAPEQSSVYGSYVGIWYYRSHPRIVVWVANRNSPLLDGGAVLAVTDDGNLKILDKNADPFWSTALQSTSKPGYRLAKLLDSGNLVFGDSNTLSTTILWQSFEHPTDTFLSGMKMSGNLKLTSWKSQVDPKEGNFTFQLDGEKNQFVIVNDYVKHWTSGESSDFFSSERMPDGIVYFLSNFTRSVPNSKGRRTTRSPSDYNNTRIRLDVKGELQYWNFDVYTNWSLQWFEPRDKCNVFNACGNFGSCNLYNMLACRCLPGFEPISQENWRNGDFSGGCIRSAAGCGKNDTFLSLKMMRVGQPDTSFVVEDEKQCREECLNKCQCRAHSFVKGEVNMRRDRPPSDNSCLIWMDDLKDLQEEYSYGGPDLFVRVTIADIESKAKSCEPCGINVIPYPLSTGSDCGDPMYFSFYCDNSTGKLSFKTHNGTYNVTTINQDRRTFAIQEKDVADCNASTRGQIGKFNTSSPFKMNASKRWCDSNVSSQGLVEIDIGWEPPPEPVCSSSSDCDDWPNSTCNVTGNRTARCLCNSNFWWDGMALNCVQVVDGQAGGYSRKKKPLSLIVGVTIASVIVLSSIFLYTCIFMRKKAKRRESQQNTERNAALLYGTEKRVKNLIDAEEFNEEDKKGIDVPLFDLDSILAATDYFSEANKLGRGGFGPVYKVIFTLIIGIFVADKFSG >Potri.005G223450.1.v4.1 pep chromosome:Pop_tri_v4:5:22495552:22495934:1 gene:Potri.005G223450.v4.1 transcript:Potri.005G223450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G223450.v4.1 MGFSRLVFLSFLVFLSCMQKREEKKTTVYNFCYTHDFFAFSIYIFFLEIFN >Potri.015G011500.1.v4.1 pep chromosome:Pop_tri_v4:15:737922:740587:1 gene:Potri.015G011500.v4.1 transcript:Potri.015G011500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G011500.v4.1 MAAAAALDTQWDFSCDFEVDFGSEENASIIYAALAVDKELQPDKVKRLMSVSDGKLSVHFEAVEARFLRASFSAFVDVLTLSTKTIEEFGKGMAS >Potri.004G027400.1.v4.1 pep chromosome:Pop_tri_v4:4:2094966:2098660:-1 gene:Potri.004G027400.v4.1 transcript:Potri.004G027400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027400.v4.1 MGLGRGKISVALLLLTSSFCVEIITAIDTITSTQFLKDPEAIVSNGNIYTLGFFSPVNSTDRYVGIWFNEVPVVTAIWVANRNNPLNDSSGILAISKDGALVVLNGQQEILWSTNVSNFVSNSSAQLSDTGNLVLRDNNNEEIMWESFQYPSDTFFSNMKLSANKRTGGKTLITSWKSATDPSIGSFSAGLNHLDIPEIFIWKDNYPYFRSGPWNRLVFIGVPYMNSAAVDGLNLVDDGEGTIDLTFSYANQSIMSSFVLTSQGQLEQTRWEHGMEDRIVLWSVPMFDCEFYGRCGLFGSCNAQASPICSCLRGFEPNNPEEWYVGNWTGGCIRRKSLQCERVKSESEAAGKNDVFLKLGNMKVPDLAQWSRLTEIECKDKCLTNCSCIAYAYDSGIGCMSWIGDLIDVQEFPTGGADLYIRMAYSELDGNHRKKVIVIVSAVIGTITSAMICALLTWRFMSKHRARKEGGEKLHSDTNEKHPSFLDRDMAGDSMDHVKLQELPLFSLESLTAATDGFDLSNKLGQGGFGPVYKGKLSDGKEIAVKRLSRASGQGLKEFMNEVEVISKLQHRNLVRLLGCCVEGEEKLLVYEYMPNKSLDAFLYDPLRKQLLDWKKRFNIIEGICRGLLYLHRDSRLRIIHRDLKASNILLDPELKPKISDFGAARIFGGDEDQANTIRVVGTYGYISPEYAMEGRFSEKSDVYSFGVLLLEIVSGRRNTSFYGNEQALSLLGFAWKLWNEGNISALVDPAISDPSSQVEIFRCIHVGLLCVQEFPEDRPTASTVVSMLNSEISYLATPKQPPFAERKYHFNEERPHQNEEKCSINYVTVTVVDAR >Potri.009G117300.1.v4.1 pep chromosome:Pop_tri_v4:9:9903602:9906811:1 gene:Potri.009G117300.v4.1 transcript:Potri.009G117300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117300.v4.1 MINRALLPAGGGENMLHCTDITVLERQRACIKWQQEQQQQQQVQLQQQEISYFTELTGVFQQAGFHEGGLSEVVTRSVKPDPGLVDNGWNNDHVVGLGVGPLYDNGSGFELNYGAISRISSCPPAAVAAVAAATVKGSESVVSDKISSGVGRESSKKRKVDNKQNNSKVDAEEDTRDKRIKGCAEEGESKITEKNNNKNSRNNNTNKNNNSNKESSAGNSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNFDNLFAREQAFPACSVNFPTIGMSSDMTNPAYLQFNPAQQQLVTCCGLDMGTDPPDMGLKRTTSSPESIPETFLDSSCFTQAHPPPAWDADLQNLYNVAFDQGRQTSFPTQPFTGSIEASNLKMEM >Potri.009G117300.2.v4.1 pep chromosome:Pop_tri_v4:9:9903601:9906807:1 gene:Potri.009G117300.v4.1 transcript:Potri.009G117300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G117300.v4.1 MINRALLPAGGGENMLHCTDITVLERQRACIKWQQEQQQQQQVQLQQQEISYFTELTGVFQQAGFHEGGLSEVVTRSVKPDPGLVDNGWNNDHVVGLGVGPLYDNGSGFELNYGAISRISSCPPAAVAAVAAATVKGSESVVSDKISSGVGRESSKKRKVDNKQNNSKVDAEEDTRDKRIKGCAEEGESKITEKNNNKNSRNNNTNKNNNSNKESSAGNSKDNSKVTEVQKPDYIHVRARRGQATDSHSLAERVRREKISERMKYLQDLVPGCNKITGKAGMLDEIINYVQSLQRQVEFLSMKLAAVNPRLDFNFDNLFAREAFPACSVNFPTIGMSSDMTNPAYLQFNPAQQQLVTCCGLDMGTDPPDMGLKRTTSSPESIPETFLDSSCFTQAHPPPAWDADLQNLYNVAFDQGRQTSFPTQPFTGSIEASNLKMEM >Potri.014G003501.2.v4.1 pep chromosome:Pop_tri_v4:14:266308:268072:1 gene:Potri.014G003501.v4.1 transcript:Potri.014G003501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003501.v4.1 MQNSVCQPAHWIIGSDSTSDVPWLEKNRESRSWRMQNIFNSISKLKRVTKIWRQVL >Potri.014G003501.1.v4.1 pep chromosome:Pop_tri_v4:14:266308:268072:1 gene:Potri.014G003501.v4.1 transcript:Potri.014G003501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G003501.v4.1 MQNSVCQPAHWIIGSDSTSDVPWLEKNRESRSWRMQNIFNSISKLKRVTKIWRQVL >Potri.011G057000.1.v4.1 pep chromosome:Pop_tri_v4:11:4641327:4642497:-1 gene:Potri.011G057000.v4.1 transcript:Potri.011G057000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057000.v4.1 MAPREKTAPGKVNGGTCGGAGGGGKEVHFRGVRKRPWGRYAAEIRDPGKKSRVWLGTFDTAEEAARAYDAAAREFRGSKAKTNFAYPSYENVRKNTISVDSNHKASNKNNSCGGGGGGGNSNNNQSPSQSSTVEFSSSDTPLDLNLGPAVSTVRLPFQPMVMNHQQVIYFDAVMKSQCQKTVFDNGYHHHHHPNQPMGFSCGGIQSDSDSSSIVFDLNHQDIKTPRSSVDLDLNLNLPPPPEIA >Potri.003G152300.4.v4.1 pep chromosome:Pop_tri_v4:3:16521770:16523306:-1 gene:Potri.003G152300.v4.1 transcript:Potri.003G152300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G152300.v4.1 MPTIIARGPEEKSGQLAFDYPRTDLRFLHRFIYIFCDLNKHLDREVITITVAKLSCMCRLIMKSCIYTKLVGERTSLGATRRKLPQKRENNDRKLSRSVKRIRADMVEISEGQKRIREGQKEIRKRFQEISEEAAKLREETNVISKQSSENQLRLDLMFQIVKARAENDYAKDALLTQTLRDLMGKRNLSKSNPSEEKQEEQ >Potri.002G158200.2.v4.1 pep chromosome:Pop_tri_v4:2:12062896:12067783:-1 gene:Potri.002G158200.v4.1 transcript:Potri.002G158200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158200.v4.1 MDRRDTMTISGSASFFMQGSGTHPSLNVSSGINTLSNINAPFQPNMGANTMGSALLMEHPAAISVGELSTMVSGQPEKRKRGRPRKYGPDGAVSLALSPSLSTHPETSIPSQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAICILSANGAVSTVTLHQPSTSGGTVTYEGRFEILCLSGSYLFSKDGGSRNRTGGLSVSLASPDGCVIGGGVGGVLIAASPVQVIAGSFLWGGSKTKNKKVEGAEVARDSDHQTVENPVTPTSVQPSLNLTPTSSMGVWPGSRSVDMRNTHVDIDLMRG >Potri.002G158200.1.v4.1 pep chromosome:Pop_tri_v4:2:12062258:12067886:-1 gene:Potri.002G158200.v4.1 transcript:Potri.002G158200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158200.v4.1 MDRRDTMTISGSASFFMQGSGTHPSLNVSSGINTLSNINAPFQPNMGANTMGSALLMEHPAAISVGELSTMVSGQPEKRKRGRPRKYGPDGAVSLALSPSLSTHPETSIPSQKRGRGRPPGTGRKQQLASLGEWLSGSAGMGFTPHIITIAVGEDIATKIMSFSQQGPRAICILSANGAVSTVTLHQPSTSGGTVTYEGRFEILCLSGSYLFSKDGGSRNRTGGLSVSLASPDGCVIGGGVGGVLIAASPVQVIAGSFLWGGSKTKNKKVEGAEVARDSDHQTVENPVTPTSVQPSLNLTPTSSMGVWPGSRSVDMRNTHVDIDLMRG >Potri.010G027100.2.v4.1 pep chromosome:Pop_tri_v4:10:3939118:3940407:-1 gene:Potri.010G027100.v4.1 transcript:Potri.010G027100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G027100.v4.1 MEVVQMQRAWVEYTKSLFREGFLDAQFQQLQLLQDESNPDFVAEVVSLFFEDSERLLSDLTFALEQQSVDFKKVDAHVHQLKGSSSSIGAQRVKNDCIAFRSFCEEQNIEGCQRCLQQVKQDYCLVKSKLEALIRLEQQIVAAGGSIPMEELSC >Potri.002G023800.1.v4.1 pep chromosome:Pop_tri_v4:2:1484457:1487380:-1 gene:Potri.002G023800.v4.1 transcript:Potri.002G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023800.v4.1 MEGETGNQSKQERFRTRWTPSLDRIFADLVVQQIQLGNRPNNVFDKKTWNHIRDEFNKETGSKFNNNQLRKHLDVLRTRFNNVKSAFARNEFALVDPCGVGFDLWEDSFGAQPRPETVKVKDCPIYEQLCKIFTDTSADGKYAQSSHFEGLDKSVGNDIAGRISWPDGGTSRSEDPSSSSKLSKGNSASSEKAVKNAGERKRKRPSETPSSEQNNRDQELNEAMAEALLEMVAASKWREVAARQDEERFTITNCIEALDEIQKIDQHLYFAALDLFEDPTLRETFLSLKGDDLRLTWLQGKCGRYNHFVG >Potri.002G023800.2.v4.1 pep chromosome:Pop_tri_v4:2:1484299:1487439:-1 gene:Potri.002G023800.v4.1 transcript:Potri.002G023800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023800.v4.1 MEGETGNQSKQERFRTRWTPSLDRIFADLVVQQIQLGNRPNNVFDKKTWNHIRDEFNKETGSKFNNNQLRKHLDVLRTRFNNVKSAFARNEFALVDPCGVGFDLWEDSFGAQPRPETVKVKDCPIYEQLCKIFTDTSADGKYAQSSHFEGLDKSVGNDIAGRISWPDGGTSRSEDPSSSSKLSKGNSASSEKAVKNAGERKRKRPSETPSSEQNNRDQELNEAMAEALLEMVAASKWREVAARQDEERFTITNCIEALDEIQKIDQHLYFAALDLFEDPTLRETFLSLKGDDLRLTWLQGKCGRYNHFVG >Potri.012G125800.1.v4.1 pep chromosome:Pop_tri_v4:12:14234586:14236041:1 gene:Potri.012G125800.v4.1 transcript:Potri.012G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125800.v4.1 MDLATEELQFLTIPEILKESTSIPKQSPKTFQLITVALIFPLSFASLAHSLFTHPLLDQIQDHPSSQNTRQWTLLLVFQFFYLIFLFAFSLLSTAAVVFTVASLYTSKPVSFSSTTSAIPQVFKRLFITFLWVCLLMLVYNSVFLLFLVILIVGIDIQNTLLVLFSLMVIGVLFLVVHVYITALWHLASVVSVLEPVYGLVAMKKSYELLKGKIRVAGVLVFGYLSICGSVSVVFATVVVHGGDKYGVFTRIVVGGFLVGALVIVNLVGLLVQSVFYYVCKSYHHQGIDKTALHEHLGGYLGEYVPLKSSIQMENLDA >Potri.015G006850.1.v4.1 pep chromosome:Pop_tri_v4:15:401989:402090:-1 gene:Potri.015G006850.v4.1 transcript:Potri.015G006850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006850.v4.1 MSNIPRSLTGSSFTLFKLAISASDPWPFSLVFL >Potri.016G059400.2.v4.1 pep chromosome:Pop_tri_v4:16:4071006:4072825:1 gene:Potri.016G059400.v4.1 transcript:Potri.016G059400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G059400.v4.1 MRIKKQWRDDPHYSTPPPPPPPDRVSSTVDQAPTDDPLLNGIIRRASFINSQEPHDRYRISPPFVDDTNKLSPHSCVSHNAQLYLPKNQRSFDPPPVMRSTINASICSNQNPEILAEERTSEEKRLVAANTHQSTTTMDNKRHSSSSSSSSADISAATSVSSSLPSYGSWCEEEKVFPLKKRRISLERFISTQESRKVDKQKRNRKIAKMWASSGTEAIYGEERDYNEVEINSDQAIEENLMTRCTKVNGAGWRCSKRRFEGYSLCKHHFNMQRMYNSRRPRFGGSKKRKKVMISSILDRTMPLLDT >Potri.015G091700.1.v4.1 pep chromosome:Pop_tri_v4:15:11459077:11464402:1 gene:Potri.015G091700.v4.1 transcript:Potri.015G091700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091700.v4.1 MQILRTPFPLFSLPKPLNPSLKPHHKNHHQFLLLPRHPLSTTLTPPKSYSSDEFPVDETFLEKFAPKDQETEDEARRKNWIVRGWAPWEEILTPEADFAKKSLNEGEEVPLTTPEAIEAFNMLSPSYRSQKIKEMGLTEDEWYMKQFEIKGEIPDKLETEWASPLVVRQVAPRDWPPRGWEVDRKELEFIREGHKLQGVRVNLEDLENGVGVDKENMCLERYKVFLKQYEEWVEANKDRLEEESYKEDQDYYPGRRKRGKDYKEGMYELPFYYPGQICEGKVTTIHLYQGAFVDVGGVHDGWIPIKRNDWFWIRHHIKVGMHVIVEILAKRDPYRFRFPLEMRFVYPNIDHLIFNRFEFPPVFHRDEDTNLDELRRDCGRPPVPRRDPEDKPEEEPLLSNHPYVDKLWQIHVAEQTILDDWEANPEKYKGKMISELTDNEDFDEENSIEYGEAYHKKTVLPKVILKTSVKELDLEAALAEREFHNKLRMEAKERGEKYKITKLRRNIEMDEYDLLHWRRSFEEREALIRDISCRRALGLPVEEPGRYKPASFFGKDQYDPENPLYRYDYWGEPKNSEKSKQERMTELHNKSIVGKGNVWYEMSYDDAIAQRMQREARAKEEKQGVEEDSDRDYDDEDDDDDDIDFNLLGDFGVDLANRPVVNGTESAGLSDEGMFGN >Potri.019G008904.1.v4.1 pep chromosome:Pop_tri_v4:19:1281484:1283107:1 gene:Potri.019G008904.v4.1 transcript:Potri.019G008904.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008904.v4.1 MTDKIKVWCFLLFLSRLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRTVVDIIGELLGFNQFIPPFATARGRDILVGVNYASGASGIRDESGRQLGDRISLNEQLQNHAATLSRLTQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYHLGARKIALPGLRPIGSIPYSFSTLCRNNVSCVTNINNAVLPFNAGLVLLPNF >Potri.008G146500.2.v4.1 pep chromosome:Pop_tri_v4:8:9972520:9974564:1 gene:Potri.008G146500.v4.1 transcript:Potri.008G146500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G146500.v4.1 MGNCQAIDAAALVIQHPSGKIERLYWPVSVSEVMRMNPGHYVSLIIPLPVSGDQENQEHIKTVQFTRVKLLRPSDSLTLGHAYRLVTTQEVMKVIRAKKYAKLKRQQQPESVDENKSQLQVAPEKKRSTDCEAEKKPNTEKDCKEPKHRRHGHRTPSINSASLRSKSWRPSLQSISEAAS >Potri.008G146500.4.v4.1 pep chromosome:Pop_tri_v4:8:9972531:9974463:1 gene:Potri.008G146500.v4.1 transcript:Potri.008G146500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G146500.v4.1 MILHSGDGSCVERDLCLPRLASFLIFLHPFLSSIHSQLEGLIENREMGNCQAIDAAALVIQHPSGKIERLYWPVSVSEVMRMNPGHYVSLIIPLPVSGDQENQEHIKTVQFTRVKLLRPSDSLTLGHAYRLVTTQEVMKVIRAKKYAKLKRQQQPESVDENKSQLQVAPEKKRSTDCEAEKKPNTEKDCKEPKHRRHGHRTPSINSASLRSKSWRPSLQSISEAAS >Potri.013G142702.1.v4.1 pep chromosome:Pop_tri_v4:13:15692689:15693249:1 gene:Potri.013G142702.v4.1 transcript:Potri.013G142702.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142702.v4.1 MEAKRLILALFLLFLLSKSSAFPSRKSRVHKPCKRLVFYFHDIIYNGKNSKNATAAIVGAPAWGNKTILANQNHFGDLVVFDDPITLDNNLHSAPVGRAQGIYVYDKKEIFTAWLGFSFVFNSTEHKGSINFAGADPLMNKTRDVSVIGGTGDFIMARGIATLMTDAFEGEVYFRLRVDIQLYECW >Potri.017G126200.1.v4.1 pep chromosome:Pop_tri_v4:17:13041042:13042030:-1 gene:Potri.017G126200.v4.1 transcript:Potri.017G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126200.v4.1 MAKSKNPTTFGSMDDIRKIFNKFDKNGDGKISCSEVVDNLKELGTKISPAEVQSIMQEFDKDGDGYIDLDEFVDFIQNGGLDDGGGNDSKELRDAFDLYDKNKNGLISVDELHSVMKMLGLKCSLSDCRKMIREVDQDGDGNVNFEEFKKMMTRGLA >Potri.018G028100.3.v4.1 pep chromosome:Pop_tri_v4:18:2090112:2096662:-1 gene:Potri.018G028100.v4.1 transcript:Potri.018G028100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028100.v4.1 MEAEGSAKETVVTQVSVGGFDIHVTAKDLLEYLDRAIGLVWRCRLKTSWTPPESYPNFEITDITKIERTEDYRRVVPHAFVHFALPQSATLAMNASGRCELFLNNKALKVSLGPKNPFTLNQRRRTTTPFKLSDVGFEIGNLVSRDEFFVGWRGPPSGVDFLVDPFDGTCKFCFSRNTAFSLKSTSEHAVIKCDFKVEFLVRDINEIIQYTETSCLVLLLQLASAPWVWYRTADDDIEAWVPFDLLDDDDPWIRTTDFTASGAIGRCHSYRVSIPPRHGSKLRKAVKYLKERRVQVLQEENHRRRIRILDEPDFGMPMSDPFFCIHHKEGIAFEVLFLVNAVMHKGIFNQHQLSNDFFDLLRNQHTEVNVSALKHICTYRRPVFNAYRRLKAVQEWLLKNPNLFKNPKQLGDVVEIRRLVITPTKAYCLPPEVELSNRVLRKYKDVADRFLRVTFMDEGLQRMNSNVLNYYVAPIVRDITSNSFPQKTRIFKRVRSILTEGFYLCGRRYSFLAFSANQLRDQSAWFFSEERNISVLDVKSWMGKFTNRNIAKCAARMGQCFSSTYATIEVPPEEVNSDLPDIERNGYVFSDGIGIITPDLAREVAEKLKLDIDPPCAYQIRYAGCKGVVACWPGKGDGVRLSLRPSMNKFQSNHTTLEICSWTRFQPGFLNRQIITLLSTLNVPDAVFWKMQETMVSKLNQMFVNSDVAFDVLTASCADQGNVAAIMLSAGFKPDREPHLRGMLTCVRAAQLWDLREKTRIFVPSGRWLMGCLDELGMLEQGQCFIQVSNSSLEKCFMKHGAKFSEAEKNLQVIKGTVVIAKNPCLHPGDVRVLEAVDVPGLHHLYDCLVFPQKGERPHTNEASGSDLDGDLYFVTWDENLIPPSKRSWIPMQYDAAEAKLLARPVNHQDIIEFFAKNMVNDNLGAICNAHVVHADLSEYGATDKNCLTLAELAATAVDFPKTGKVVSMPPYLKPKMYPDFMGKEEYQSYKSEKILGRLYRQIKDAYDEDVAASSELNLVPGDIPYDSDLEVVGASDYISDAWDQKCSYDGQLNGLLSQYKVKREEEVVTGHIWSMPKYSSRKQGELKDRLKHSYNSLKKEFRQIFEKMDLEFEQLEDGEKNKLYEQKASAWYQVVYHPHWVKKSLELQDPDGAGTSVMLSFAWIAADYLARIKIRHRETGNVDSAKPVNSLAKYLADRM >Potri.018G028100.4.v4.1 pep chromosome:Pop_tri_v4:18:2090072:2095519:-1 gene:Potri.018G028100.v4.1 transcript:Potri.018G028100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028100.v4.1 MEAEGSAKETVVTQVSVGGFDIHVTAKDLLEYLDRAIGLVWRCRLKTSWTPPESYPNFEITDITKIERTEDYRRVVPHAFVHFALPQSATLAMNASGRCELFLNNKALKVSLGPKNPFTLNQRRRTTTPFKLSDVGFEIGNLVSRDEFFVGWRGPPSGVDFLVDPFDGTCKFCFSRNTAFSLKSTSEHAVIKCDFKVEFLVRDINEIIQYTETSCLVLLLQLASAPWVWYRTADDDIEAWVPFDLLDDDDPWIRTTDFTASGAIGRCHSYRVSIPPRHGSKLRKAVKYLKERRVQVLQEENHRRRIRILDEPDFGMPMSDPFFCIHHKEGIAFEVLFLVNAVMHKGIFNQHQLSNDFFDLLRNQHTEVNVSALKHICTYRRPVFNAYRRLKAVQEWLLKNPNLFKNPKQLGDVVEIRRLVITPTKAYCLPPEVELSNRVLRKYKDVADRFLRVTFMDEGLQRMNSNVLNYYVAPIVRDITSNSFPQKTRIFKRVRSILTEGFYLCGRRYSFLAFSANQLRDQSAWFFSEERNISVLDVKSWMGKFTNRNIAKCAARMGQCFSSTYATIEVPPEEVNSDLPDIERNGYVFSDGIGIITPDLAREVAEKLKLDIDPPCAYQIRYAGCKGVVACWPGKGDGVRLSLRPSMNKFQSNHTTLEICSWTRFQPGFLNRQIITLLSTLNVPDAVFWKMQETMVSKLNQMFVNSDVAFDVLTASCADQGNVAAIMLSAGFKPDREPHLRGMLTCVRAAQLWDLREKTRIFVPSGRWLMGCLDELGMLEQGQCFIQVSNSSLEKCFMKHGAKFSEAEKNLQVIKGTVVIAKNPCLHPGDVRVLEAVDVPGLHHLYDCLVFPQKGERPHTNEASGSDLDGDLYFVTWDENLIPPSKRSWIPMQYDAAEAKLLARPVNHQDIIEFFAKNMVNDNLGAICNAHVVHADLSEYGATDKNCLTLAELAATAVDFPKTGKVVSMPPYLKPKMYPDFMGKEEYQSYKSEKILGRLYRQIKDAYDEDVAASSELNLVPGDIPYDSDLEVVGASDYISDAWDQKCSYDGQLNGLLSQYKVKREEEVVTGHIWSMPKYSSRKQGELKDRLKHSYNSLKKEFRQIFEKMDLEFEQLEDGEKNKLYEQKASAWYQVVYHPHWVKKSLELQDPDGAGTSVMLSFAWIAADYLARIKIRHRETGNVDSAKPVNSLAKYLADRM >Potri.018G028100.1.v4.1 pep chromosome:Pop_tri_v4:18:2090275:2095104:-1 gene:Potri.018G028100.v4.1 transcript:Potri.018G028100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G028100.v4.1 MEAEGSAKETVVTQVSVGGFDIHVTAKDLLEYLDRAIGLVWRCRLKTSWTPPESYPNFEITDITKIERTEDYRRVVPHAFVHFALPQSATLAMNASGRCELFLNNKALKVSLGPKNPFTLNQRRRTTTPFKLSDVGFEIGNLVSRDEFFVGWRGPPSGVDFLVDPFDGTCKFCFSRNTAFSLKSTSEHAVIKCDFKVEFLVRDINEIIQYTETSCLVLLLQLASAPWVWYRTADDDIEAWVPFDLLDDDDPWIRTTDFTASGAIGRCHSYRVSIPPRHGSKLRKAVKYLKERRVQVLQEENHRRRIRILDEPDFGMPMSDPFFCIHHKEGIAFEVLFLVNAVMHKGIFNQHQLSNDFFDLLRNQHTEVNVSALKHICTYRRPVFNAYRRLKAVQEWLLKNPNLFKNPKQLGDVVEIRRLVITPTKAYCLPPEVELSNRVLRKYKDVADRFLRVTFMDEGLQRMNSNVLNYYVAPIVRDITSNSFPQKTRIFKRVRSILTEGFYLCGRRYSFLAFSANQLRDQSAWFFSEERNISVLDVKSWMGKFTNRNIAKCAARMGQCFSSTYATIEVPPEEVNSDLPDIERNGYVFSDGIGIITPDLAREVAEKLKLDIDPPCAYQIRYAGCKGVVACWPGKGDGVRLSLRPSMNKFQSNHTTLEICSWTRFQPGFLNRQIITLLSTLNVPDAVFWKMQETMVSKLNQMFVNSDVAFDVLTASCADQGNVAAIMLSAGFKPDREPHLRGMLTCVRAAQLWDLREKTRIFVPSGRWLMGCLDELGMLEQGQCFIQVSNSSLEKCFMKHGAKFSEAEKNLQVIKGTVVIAKNPCLHPGDVRVLEAVDVPGLHHLYDCLVFPQKGERPHTNEASGSDLDGDLYFVTWDENLIPPSKRSWIPMQYDAAEAKLLARPVNHQDIIEFFAKNMVNDNLGAICNAHVVHADLSEYGATDKNCLTLAELAATAVDFPKTGKVVSMPPYLKPKMYPDFMGKEEYQSYKSEKILGRLYRQIKDAYDEDVAASSELNLVPGDIPYDSDLEVVGASDYISDAWDQKCSYDGQLNGLLSQYKVKREEEVVTGHIWSMPKYSSRKQGELKDRLKHSYNSLKKEFRQIFEKMDLEFEQLEDGEKNKLYEQKASAWYQVVYHPHWVKKSLELQDPDGAGTSVMLSFAWIAADYLARIKIRHRETGNVDSAKPVNSLAKYLADRM >Potri.013G079400.2.v4.1 pep chromosome:Pop_tri_v4:13:6818542:6819087:1 gene:Potri.013G079400.v4.1 transcript:Potri.013G079400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G079400.v4.1 MCVCVCILVNKVCLIPLVMGCHKTWIMRSKWCMQSAFFILTAGKGCQVTRDISLKYYVSVYIIYQNLL >Potri.018G141900.1.v4.1 pep chromosome:Pop_tri_v4:18:14730887:14742498:-1 gene:Potri.018G141900.v4.1 transcript:Potri.018G141900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141900.v4.1 MASSSSSSSTAHTKFSILVSLFNWISKTKTSSRKRSKFRKFIDTFCSPSDYFSAVRLILPNLDRERGTYGLKESVLAVSLIEALGMSRDSPDALKLINWRKGGANATGANAGNFSLVAAEVLQRRQGTVSGGLTIEELNGLLDKLASSENRGEKTAVLAALINKTNTQEMKWIIMIILKDLKLGMSEKSVFHEFHPDAEDLFNVTCDLKLVCEKLRDRNQRHKRQDIEVGKAVRPQLAMRVSDAHAAWKKLHGKEVVVECKFDGDRIQIHKNGAEVHYFSRNFLDHSEYAHGMSDIIVQNVLDERCILDGEMLVWDTSLNRFAEFGSNQEIAKAARDGLDSDRQLCYVAFDILYVGDTSVIHQTLKERHELLRKVVKPVKGRLEILVPNGGLNAHRLPGEPYCSLIAYNVDDIEKFFKETIENRDEGIVLKDLGSKWEPSDRSGKWLKLKPEYIRAGSDLDVLIIGGYYGSGRRGGEVAQFLLGLAERPASNTYPRRFISFCRVGNGLSDEELDTVVSKLKPYFRKNEYPKNSPPSFYQVTNNSKERPDVWIENPDKSIILSITSDIRTISSEVFSAPYSLRFPRIDRVRYDKPWHECLDVQSFVELVHSSNGTTQKGKGYGYVQDSKPTHIKSSRKGEKKSVSVVPSHLIQTDISDIKGETLIFSNMMFYFVNVPPSNSLESLHKMVAENGGTFSMNLNNSVTHCIAAESKGIKYQAAKLHGDIIHYSWVLDCCLQKKLLPLQPKSFLFLSDGSKKKLHEEIDEFSDSYYWDLDLSDIKQLLSNINASEDAKAIDDLKQKYCPEEKWSLFHGCCVYFHISRESLTPDWESLLGLAFRRLKLEIFMGGGKVSNNIAHATHLVVLIVPASDVDFGSLVKSFTTAEKHFLLNKRLYVIGSQWLEDSLERGQKLLEDTYNLKPSGLEESNCKEVVCDLDMEEATPILDGAENERLPSVTDSEAKEKGSKAALKDSNKLGSLEKETTRKRGRPAGGSTKKGKIGAGQARRTRARIVNKPAKISVEKSDESCSHDDKNEENDTSDGDDEIHGGKPAGGITKKRKMGAGLARRTRACIVNKPAKISEEKPDESCSHDDKNEENEMSEGNYEIHGGRPAGGSTKKGKIGAGQALRTRTRIANKPAKILEEKSEESCLHDDEIEENEMSEGNEEIHGPVSKYNLDIQQTKTVEDSESSRRDKAKEETAEENRHEEWLDKAPDVEMSERYYDQVTEKPEKLEVMVDPVHAILMDMIPSLGIKKGETTNPTIDNEKQAEGPYAQSSLSMKKAENTTPTLDTEKPAENPSLLSVKKKVSYKDLAAKLLMN >Potri.018G141900.4.v4.1 pep chromosome:Pop_tri_v4:18:14730894:14742444:-1 gene:Potri.018G141900.v4.1 transcript:Potri.018G141900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G141900.v4.1 MYLSIGGYYGSGRRGGEVAQFLLGLAERPASNTYPRRFISFCRVGNGLSDEELDTVVSKLKPYFRKNEYPKNSPPSFYQVTNNSKERPDVWIENPDKSIILSITSDIRTISSEVFSAPYSLRFPRIDRVRYDKPWHECLDVQSFVELVHSSNGTTQKGKGYGYVQDSKPTHIKSSRKGEKKSVSVVPSHLIQTDISDIKGETLIFSNMMFYFVNVPPSNSLESLHKMVAENGGTFSMNLNNSVTHCIAAESKGIKYQAAKLHGDIIHYSWVLDCCLQKKLLPLQPKSFLFLSDGSKKKLHEEIDEFSDSYYWDLDLSDIKQLLSNINASEDAKAIDDLKQKYCPEEKWSLFHGCCVYFHISRESLTPDWESLLGLAFRRLKLEIFMGGGKVSNNIAHATHLVVLIVPASDVDFGSLVKSFTTAEKHFLLNKRLYVIGSQWLEDSLERGQKLLEDTYNLKPSGLEESNCKEVVCDLDMEEATPILDGAENERLPSVTDSEAKEKGSKAALKDSNKLGSLEKETTRKRGRPAGGSTKKGKIGAGQARRTRARIVNKPAKISVEKSDESCSHDDKNEENDTSDGDDEIHGGKPAGGITKKRKMGAGLARRTRACIVNKPAKISEEKPDESCSHDDKNEENEMSEGNYEIHGGRPAGGSTKKGKIGAGQALRTRTRIANKPAKILEEKSEESCLHDDEIEENEMSEGNEEIHGPVSKYNLDIQQTKTVEDSESSRRDKAKEETAEENRHEEWLDKAPDVEMSERYYDQVTEKPEKLEVMVDPVHAILMDMIPSLGIKKGETTNPTIDNEKQAEGPYAQSSLSMKKAENTTPTLDTEKPAENPSLLSVKKKVSYKDLAAKLLMN >Potri.001G450100.1.v4.1 pep chromosome:Pop_tri_v4:1:47610417:47613435:-1 gene:Potri.001G450100.v4.1 transcript:Potri.001G450100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G450100.v4.1 MALGSVLLEILQRPTIGEVLSEILIFVIPLWVAVAVGVLVGWAWKPKWASNLSREMLLDSKVSKEAKKEGEFSATTRSSVIPSFNILKFQLPSCVSWVADDGGFQKDSLSVPPTLNSECSSSKMKKEKPDLVMEDDLEHLSKLVEVTDGGPAWIQMMDRSTPTMSYQAWRRDPETGPPQYRSRTVYEDVTPELMRDFFWDDEFRAKWDDMLLHAETLEDCPTTGTMLVQWVRKFPFFCSDREYIIGRRIWESGRMYYCVTKGVPCSSVPRRNKPRRVDLYYSSWCIRAVESKRGDGQLTACEVMLFHHEDMGIPWEIAKLGVRQGMWGAVKKIEPGLRAYQKHRASAAPLSRSAFMAQINTKVSADYVRSLESRTSSDMLEIESQETPEKRVGNNIPKFLVIGGAVALACTLDRGLATKALIFGVARRFAIGRRM >Potri.016G124501.1.v4.1 pep chromosome:Pop_tri_v4:16:12843527:12843841:1 gene:Potri.016G124501.v4.1 transcript:Potri.016G124501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G124501.v4.1 MELGADASLTQHGVGRGCTIAQHGVGRGHILVQLEIGCGCVTTRLSVGRGPITTQISVGRGRALTQLRVGGGHAMGQPRVGLKLVFAQLRVRYGHAMTKHEVER >Potri.001G112400.1.v4.1 pep chromosome:Pop_tri_v4:1:9099331:9111864:1 gene:Potri.001G112400.v4.1 transcript:Potri.001G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112400.v4.1 MVRGKTQMKRIENATSRQVTFSKRRNGLLKKAFELSVLCDAEVALIVFSTRGKLYEFSSSSMNRTIESYQKRAKDVGTSSKMVKDNMQPMKEDAFTLAKKIELLEVSKRKLLGDGLEPCSIDDLQQLENQLERSLTRIRARKNQLFREQIEKLKGEEKILMEENTELREKCGMQPLDLSATKTPQILQDRQIIEVETELFIGPPDSRDTACPQNHKS >Potri.001G282900.1.v4.1 pep chromosome:Pop_tri_v4:1:29625314:29627795:1 gene:Potri.001G282900.v4.1 transcript:Potri.001G282900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G282900.v4.1 MPRRSSGGRSARPAPRPARARSPPPQTVNHAPPPAHAQSSGGGSILGGIGSTIAQGMAFGTGSAVAHRAVDAVMGPRTIQHETVVSEAAAAAAPTASSMGGADACNIHTKAFQDCVNNFGNDISKCQFYMDMLTECRKNSGSMLGA >Potri.005G177400.1.v4.1 pep chromosome:Pop_tri_v4:5:18319343:18324162:1 gene:Potri.005G177400.v4.1 transcript:Potri.005G177400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177400.v4.1 MAQLKTDSALSRRIARAFIDFLNSVEAAPGVDLEGLEVAKECVSDAFKLDSPNIDDDRLEPGLLIDLFRSLEANGLQKNKSDPSSGTAPVDAPTSSNNNTNNDGLSRDELFGQFFAALEKMHFFRTTPDGNDDPAQLDRATRLFHDALNEMEKNGCHTYGRNSLAEALKSQGNRAVQCKLYSDAIELYSCAISLCENNAVYYCNRAAAYTQIHKYTEAIRDCLKSVEIDPGYSKAYSRLGLAYYAQGNYRDAIDKGFKKALQLDPSNETVKENIRVAEQKLIEQQKRTEQGQNSSSSNRDNYESSNQSTGGSRSHSMPMQFDINGISVDFSSMLRNMTAHMGEQSQDRQGQDGSANGSDEPEIIIGGNIGVNMTETMPDEPHLGVNMTETMPDELRGAFRSMMEMFSGAASHGNAQDAMNGRSPTN >Potri.001G036700.1.v4.1 pep chromosome:Pop_tri_v4:1:2675281:2676549:-1 gene:Potri.001G036700.v4.1 transcript:Potri.001G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036700.v4.1 METSKMPPITEENLQELLLTLPSEKNIDGTNSLYLYQGAWIPGFNLRGVDSFQRRFIAQDTDIIVASMPKSGTTWLKALAFSVAERHIYGPRESPLLSTSPHELVRFFETDLYSKDQPPDLEQLPPPRIFGCHSHYANLPESIRDSKCKVVYICRNPLDQIVSFFQFAHKFKLDDGTSLLSLDECYENICRGVQSQGPFWDNVLGYWKASLERPDKVLFLKYEELKEDIVLNLKKLAEFLGLPFTDKEEEEGVIEEISRLCSFDNLRNLEVNKNGVRPLSGAPNSAFFRKGEVGDWANYLSPSMAEKFFNIVEEKLAGSGLSFKTSQESA >Potri.012G144700.1.v4.1 pep chromosome:Pop_tri_v4:12:15523826:15528324:1 gene:Potri.012G144700.v4.1 transcript:Potri.012G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144700.v4.1 MVWSRLLPKPSHIRLLSRSLITPRPHNSTSSTFLLLSRPFSTNDDDDNNNNNKRDQPGYNIWQLSGENEGNFDSFFPQESDTGVPGITDSPVTAKGEDEESWLKEDENGDDGGRYIFDRLEKDVINERSVSDIGGGGGEWRTSSLGVKQWSFEEEEKEDKVFDFGEGVVRSGEGNINWGENVPSERSAEEEKMLEKEKEELNAVLKGPNRAFGDLIAASGITDAMLDSLIALKDFDGVEGLPPLSELEDMRYEKNIMKSPRAEIERQKQEEIARARVRQVDDKGRAYGTGRRKCSIARVWVQPGDGKFIVNDKQFDVYFPMLDHRAALLRPFSETKTLGLWDVTCTVKGGGVSGQVGAIQLGISRAMQNWEPDLRPTLRSGGFLTRDPRVVERKKPGKAKARKSFQWVKR >Potri.001G010300.1.v4.1 pep chromosome:Pop_tri_v4:1:674400:677620:-1 gene:Potri.001G010300.v4.1 transcript:Potri.001G010300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G010300.v4.1 MEDNTGCLSPNEPLLNGNERWKNDGFSRVNSYNSLRTDFLSRLPHKVRSGLDVESSFHVDISKTKGLSQEEKEYYERQFATLKSFEEVDTLMETNTMDEEDDEEQVEAEKAMKISNYANVLLLVFKIYATIRTGSIAIAASTLDSLLDLLAGGILWFTHISMKNINIYKYPIGKLRVQPVGIIIFAAVMATLGFQILIQALEELIVNESRPKMSSNQLLWLYIIMITATVVKLALWIYCRSSGNSIVRAYAKDHYFDVVTNVVGLVAAVLGDKYYWWIDPTGAILLALYTITNWSGTVIENAVSLVGQTASPEVLQKLTYLVTRHPQVKRVDTVRAYTFGALYFVEVDIELPEELPLKEAHTIGETLQNKIEKLPEVERAFVHLDFECEHKPEHSVLSRLPNS >Potri.014G072800.3.v4.1 pep chromosome:Pop_tri_v4:14:4640110:4646014:1 gene:Potri.014G072800.v4.1 transcript:Potri.014G072800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072800.v4.1 MGLISGIFLGIIFGIGLMAGWKHMMQYRSTKRVAKAVDIKLLGSLNRDDLKKICGDNFPDWISFPAFEQVKWLNKQLGKLWPFVAEAAALVVKESVEPLLEDYRPPGITSLKFNKFSLGTVPPKIEGIRVQSLKQGEVTMDIDLRWCGDPSIILGVEAALVASIPIQLKDLEVYTVIRVIFHLAEEIPCISALVIALLAEPKPKIEYVLKAVGGSLAALPGVSDMIDDTVNSIVTDMLQWPHRIVVPLGGIPVDTSELELKPQGKLTVTVVKANDLKNMEMIGKSDPYAVVYIRPMFKVKTQVVDNNLNPVWNQTFDLIAEDKETQSLILEVFDKDIGQDKRLGRAKLALNELEAETWKEVELRLLASFDTLKVKDKKDRGTITIKVLYHEFNKEEQLVALEEEKKIVEERKKLKEAGVIGSTMDALDGAASLLGSGVGMVGTGIGAGVGLVGNGLGAVGSGLGKAGRFMGRTITGQSSKRSGTTTPVNNVQENGGAKPL >Potri.014G072800.2.v4.1 pep chromosome:Pop_tri_v4:14:4640061:4646342:1 gene:Potri.014G072800.v4.1 transcript:Potri.014G072800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G072800.v4.1 MGLISGIFLGIIFGIGLMAGWKHMMQYRSTKRVAKAVDIKLLGSLNRDDLKKICGDNFPDWISFPAFEQVKWLNKQLGKLWPFVAEAAALVVKESVEPLLEDYRPPGITSLKFNKFSLGTVPPKIEGIRVQSLKQGEVTMDIDLRWCGDPSIILGVEAALVASIPIQLKDLEVYTVIRVIFHLAEEIPCISALVIALLAEPKPKIEYVLKAVGGSLAALPGVSDMIDDTVNSIVTDMLQWPHRIVVPLGGIPVDTSELELKPQGKLTVTVVKANDLKNMEMIGKSDPYAVVYIRPMFKVKTQVVDNNLNPVWNQTFDLIAEDKETQSLILEVFDKDIGQDKRLGRAKLALNELEAETWKEVELRLLASFDTLKVKDKKDRGTITIKVLYHEFNKEEQLVALEEEKKIVEERKKLKEAGVIGSTMDALDGAASLLGSGVGMVGTGIGAGVGLVGNGLGAVGSGLGKAGRFMGRTITGQSSKRSGTTTPVNNVQENGGAKPL >Potri.001G244200.1.v4.1 pep chromosome:Pop_tri_v4:1:26172331:26172774:1 gene:Potri.001G244200.v4.1 transcript:Potri.001G244200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G244200.v4.1 MELYDGNVFRRPARAQAIPVTDIKAWKPLTRLQKHAPEALRLDQLSITASTNHSLPASHTSPPLTPIPLLSPLSVSPPPLPSEAEEFTFPVICGDIDKGREADVGDAYSEARVWRHPAVAGGYTEPSSLFAFFRSKCVLVNHAQSRF >Potri.003G221400.1.v4.1 pep chromosome:Pop_tri_v4:3:21536601:21538520:-1 gene:Potri.003G221400.v4.1 transcript:Potri.003G221400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221400.v4.1 MKLGLSRACFYSSCSASIFSSSIPSLTTIKPKIPLFLRPPTYSVTSSDLQKWHGWAKGLASSVGSSFVESDNGPDSTLLCRELNWLLEDSLENRSSSSCFSFAACKYDTFDGIENVMLRISLDDLYQLWKQRIEERRPFQYIVGCEHWRDLVLSVQEGVLIPRPETELIVDLVSDAVSNNQELGQGLWADVGTGSGAIAIGISKILRSYGRVIATDLSPVAVSVAMFNVQRYGLQHVTEVRQGSWFEPLKDVEGQLVGIVSNPPYIPSDNISGLQAEVGRHEPRLALDGGASGIDYLLHLCNGAAAMLKPGGFFAFETNGEKQCKFLVDYMQNDIAGSFCNLNIVSDFAGIQRFVTGFRQ >Potri.003G206601.2.v4.1 pep chromosome:Pop_tri_v4:3:20575099:20580219:-1 gene:Potri.003G206601.v4.1 transcript:Potri.003G206601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206601.v4.1 MENGGTSSTNQQMIDQSHGNVGEEENSQLSLDINKLAKSLRADMEMLHSFSDQCCIYRVPIRLRDSNEKIFTPQVVNLGPLHHGKEELKAMEEHKILYLQVFLKWSTANMEEVIKVVEERETRLRNCYAETIDLGSEDFVKMMLLDASFTIMVLLKDCCEGFESSNDRILNKPSMLRDISLDMCLIENQLPFFILEDLFKASNITKCSPAEEEYSVIKLAHKFFEKRWDSWLKKAILEEINSSEVAHFVDFIRKCQKPSESDKTEKELETINVPSITELYQAGVKFRCKQGKSFLDMKFVRGILEMPLLKIDDNTEILFRNIQAFEQCHCDEYCIANYISMINFLVITPKDVEILVRNGIIENWIHDNEAVTTLLHNISKGNSLSADDFIFASLVEDLNAYCRRPWNKWKATLKQEYFHTPWAIISLIAALILLILTMVQTVCSLIQL >Potri.003G206601.1.v4.1 pep chromosome:Pop_tri_v4:3:20575099:20580219:-1 gene:Potri.003G206601.v4.1 transcript:Potri.003G206601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206601.v4.1 MENGGTSSTNQQMIDQSHGNVGEEENSQLSLDINKLAKSLRADMEMLHSFSDQCCIYRVPIRLRDSNEKIFTPQVVNLGPLHHGKEELKAMEEHKILYLQVFLKWSTANMEEVIKVVEERETRLRNCYAETIDLGSEDFVKMMLLDASFTIMVLLKDCCEGFESSNDRILNKPSMLRDISLDMCLIENQLPFFILEDLFKASNITKCSPAEEEYSVIKLAHKFFEKRWDSWLKKAILEEINSSEVAHFVDFIRKCQKPSESDKTEKELETINVPSITELYQAGVKFRCKQGKSFLDMKFVRGILEMPLLKIDDNTEILFRNIQAFEQCHCDEYCIANYISMINFLVITPKDVEILVRNGIIENWIHDNEAVTTLLHNISKGNSLSADDFIFASLVEDLNAYCRRPWNKWKATLKQEYFHTPWAIISLIAALILLILTMVQTVCSLIQL >Potri.009G095400.1.v4.1 pep chromosome:Pop_tri_v4:9:8609147:8611128:-1 gene:Potri.009G095400.v4.1 transcript:Potri.009G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095400.v4.1 MVSESLGHLFLVSFPAQGHVNPLLRLGKILASKGFLVTFSSTEITGKQMRQASDIIDKLTPFGDGFIRFEFFEDGWEEDEDRHQDLDKYLLQLELVGKQVIPQMIKKNAEQGRPVSCLINNPFIPWVTDVATSLGLPSAMLWIQSCACFASYYHYYHGTVPFPDEEHPEIDVQLPCNMPLLKHDEVPSFLYPTTPYPFLRRAILGQYKNLDKPFCILMETFEELEPELIKHMSEIFPIKAVGPLFRNPKASKTTVHGDLLKADDCIEWLDTKPPSSVVYVSFGSVVQLKQDQWNEMACGLLNSGVSFLIVMKPTQKDTGHIDLLVLPDWFLEKAGDRGKVVQWSPQEKVLTHPSVACFVTHCGWNSSMEALTSGMPVVAFPQWGDQVTNAKYLVDILKVGVRMSRGEAENKLITRDEIEKCLLEATVGPKAVEMKQNAMKWKEAAAAAVAEGGSSDWNIRSFTDDIVKAKESEIARKCIGSNEFLVSVVVKSNEKVVELVGSSA >Potri.002G049200.1.v4.1 pep chromosome:Pop_tri_v4:2:3267210:3271267:-1 gene:Potri.002G049200.v4.1 transcript:Potri.002G049200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049200.v4.1 MEEIPRLNLRRPAYSPVCSNIPLPESPKLPMEFLSRSWSVSALEVSKSLSCMASNKSASSSTCTDASSIPEDVTGEMTEEIVHTNPFANQFPFASSATSQLVLERIMSQSEVSPLASGRLSHSSGPLNLTESDSPPISHSDEFEDIVKYFRSHNTLNPLFSGGRASAGPGSGAPPSGAKTVGRWLKDRKEKRKEETRAQNAQLHAAVSVAAVASAIAAIAAATASSASGKNEQLTKTDMAVASAATLVAAQFVEAAEAMGAERDHLASVVTSAVNVHSHDDITTLTAAAATALRGAATLKARALKDVLNVAAVIPMERGIGIYGVGNYVHHNRSYSGELSNGENFSGACSVEFLARGSELLKRTRQGDLHWKSVSVYIHRTGQVMLKMKSRHIAGTITKKKKNVVLEVCKDLPTWPGRHLLEGGDQRRYFGLKTLTRGIVDFECKNQREHDIWTQGVSRLLSIVSQRKNRIPI >Potri.002G049200.3.v4.1 pep chromosome:Pop_tri_v4:2:3267210:3271274:-1 gene:Potri.002G049200.v4.1 transcript:Potri.002G049200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049200.v4.1 MEEIPRLNLRRPAYSPVCSNIPLPESPKLPMEFLSRSWSVSALEVSKSLSCMASNKSASSSTCTDASSIPEDVTGEMTEEIVHTNPFANQFPFASSATSQLVLERIMSQSEVSPLASGRLSHSSGPLNLTESDSPPISHSDEFEDIVKYFRSHNTLNPLFSGGRASAGPGSGAPPSGAKTVGRWLKDRKEKRKEETRAQNAQLHAAVSVAAVASAIAAIAAATASSASGKNEQLTKTDMAVASAATLVAAQFVEAAEAMGAERDHLASVVTSAVNVHSHDDITTLTAAAATALRGAATLKARALKDVLNVAAVIPMERGIGIYGVGNYVHHNRSYSGELSNGENFSGACSVEFLARGSELLKRTRQGDLHWKSVSVYIHRTGQVMLKMKSRHIAGTITKKKKS >Potri.007G015400.1.v4.1 pep chromosome:Pop_tri_v4:7:1141357:1147340:1 gene:Potri.007G015400.v4.1 transcript:Potri.007G015400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015400.v4.1 MEMMKLALMSTFLFFLLFSTASHAYLAPRTLLYSFSKSSTNSNRSLTTKELWFNQTLDHYSPFDHHKFQQRYYEFLDYFRVPDGPIFLKICGESSCDGIANDYIGVLAKKFGAAVVSLEHRYYGKSSPFKSTTTENLRYLSSKQALFDLAVFRQYYQESLNLKLNRTGVENPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNYTEFDQQIGESAGAECKAALQETTQLVEERLASNKKAVKTLFDAAELEIDGDFLYFLADAAATAFQYGNPDKLCPPLVQAKKDGEDLVEAYAKYVKEDYVGSFGVSVQTYDQRHLKDTTLNENSGDRLWWFQVCTEVAYFQVAPANDSIRSSQVDTRYHLDLCKKVFGEGIYPEVDKTNIYYGGTNMAGSKIVFTNGSQDPWRHASKQISSPDMPSFVMSCHNCGHGTDMRGCPQSPFNIEGNARNCGSPDAVEKVRHQIIEKMDLWLSECHASGRSSM >Potri.007G015400.2.v4.1 pep chromosome:Pop_tri_v4:7:1141357:1147398:1 gene:Potri.007G015400.v4.1 transcript:Potri.007G015400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015400.v4.1 MEMMKLALMSTFLFFLLFSTASHAYLAPRTLLYSFSKSSTNSNRSLTTKELWFNQTLDHYSPFDHHKFQQRYYEFLDYFRVPDGPIFLKICGESSCDGIANDYIGVLAKKFGAAVVSLEHRYYGKSSPFKSTTTENLRYLSSKQALFDLAVFRQYYQESLNLKLNRTGVENPWFVFGVSYSGALSAWFRLKFPHLTCGSLASSAVVLAVYNYTEFDQQIGESAGAECKAALQETTQLVEERLASNKKAVKTLFDAAELEIDGDFLYFLADAAATAFQYGNPDKLCPPLVQAKKDGEDLVEAYAKYVKEDYVGSFGVSVQTYDQRHLKDTTLNENSGDRLWWFQVCTEVAYFQVAPANDSIRSSQVDTRYHLDLCKKVFGEGIYPEVDKTNIYYGGTNMAGSKIVFTNGSQDPWRHASKQISSPDMPSFVMSCHNCGHGTDMRGCPQSPFNIEGNARNCGSPDAVEKVRHQIIEKMDLWLSECHASDV >Potri.015G006100.1.v4.1 pep chromosome:Pop_tri_v4:15:366961:368981:1 gene:Potri.015G006100.v4.1 transcript:Potri.015G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G006100.v4.1 MDHWFLILISISLSISIPGLLKFILNRYFISKKPAHHKLPPSPQSIPVISNFLWLGRISPSNIHSILNPLHAKLGPILTIYFGFRPVIFIADRFLAHKALIQKGALFASRPPASETQRFRGSNRRLVSLSFYGPTWRLLRQNLTKNVLHPSCAKYSAHSRRWALQILKNRLESQAKSGQPVCLREHFLYAIFCLLGVICFGDKVDEDQIKQIQEVVHRAFLSSRRFDTLNLWPRVTKIVLRRRWEELLQLRQSVQDVTIPLIRARKKLQEEERTGMDTHHDHVVPYVDTLLALEFPDDKRKLDEEEISNLCGEFLNAGTDTTTTALEWIMANLVKYPKIQEKLFMEIKGVVGDGDVKEVNESDLKKMSYLKAVILEGLRRHSPARFLIPHAVTEDFVLNNEYLIPKNAAINFLVAEMGWDPKVWEDPMAFKPERFLNHENGITKEFDITGSREIKMMPFGAGRRICPGYQLSMLLLEFYVANLVWKYEWKAVDGNDVDLSEKIEHIMAMRNPLQVHLSPR >Potri.010G249600.1.v4.1 pep chromosome:Pop_tri_v4:10:22301779:22305654:1 gene:Potri.010G249600.v4.1 transcript:Potri.010G249600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G249600.v4.1 MASSTTKPIFTPPSTTLTSSSSSSSQPPTRSSLLSFLRNTASTPISLKLSHSHPSFRSLSIRNATKTIESAETSPVSKVGGKDTINSQETKPTILVSEKLGEAGLELLRNFGDVDCSYDLSQEDLCKKIASCDALIVRSGTKVTRQVFEAAKGKLKVVGRAGVGIDNVDLQAATEFGCLVVNAPTANTVAAAEHGIALLASMARNVAQADASMKAGQWQRNKYVGVSLVGKTLAVMGFGKVGSEVARRAKGLGMEVVTHDPYAPADRARAIGVEVVSFDQAISTADFISLHMPLTPATKKVFNDDSFAKVKKGVRIINVARGGVIDEEALVRALDSGKVAQAALDVFTEEPPPKDSKLVQHERVTVTPHLGASTKEAQEGVAIEIAEAVVGALKGELAATAVNAPMVPAEVLSELAPYVVLAERLGRLAVQLVAGGSGMKSAKVVYKSARDPDDLDTRLLRAMITKGIIEPISDSFINLVNADFTAKKKGLRISEERVVVDASPELPVFSIQVQLSNVDSKFGSAVSGGGDISIEGKVKNGIPHLTQVGSFSVDVSLEGNLILCRQVDQPGMIGQVGNILGEQNVNVSFMSVGRTARRRNAIMAIGVDEEPNLESLKKIGEVPAIEEFVFLKL >Potri.006G070400.1.v4.1 pep chromosome:Pop_tri_v4:6:5134642:5136929:-1 gene:Potri.006G070400.v4.1 transcript:Potri.006G070400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070400.v4.1 MAKEHQFYSLKLALLVSCSLLVLPFSSFYVQALNIGVQTADSAISLGKDCSRKCESEFCSVPPFLRYGKYCGLLYSGCPGEKPCDGLDACCMKHDACIQSKNNSYLSQECSQNFISCMSNFKTGARTFKGNKCRADEVIHVISVVMEAALLAGRALHKP >Potri.001G203900.1.v4.1 pep chromosome:Pop_tri_v4:1:20640251:20642246:1 gene:Potri.001G203900.v4.1 transcript:Potri.001G203900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G203900.v4.1 MASPRVLGTAFLVLLIVDLTLAARTLQAISGGGGGGQGGGGGGGSGSGLGSGYGSGSGSGSGEGYGAGGRGGGGGGGSGGGGGGGIGGGNGSGSGYGSGSGSGYGSGSGIGGGEGGGGGGGSGGGGGGGQGSGSGSGSGYGSGSGSGSGSGGGKGGKGSGGGGGGGGGGGGGSGSGSGSGYGSGSGNGEGYGGGKGN >Potri.009G096000.1.v4.1 pep chromosome:Pop_tri_v4:9:8669275:8672527:-1 gene:Potri.009G096000.v4.1 transcript:Potri.009G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G096000.v4.1 MDNNRVKGPWSPEEDDLLKHLVIKHGPRNWTMIARAVPGRSGKSCRLRWCNQLSPEVEHRAFTREEDEIIINAHAKYGNKWATIARLLDGRTDNAIKNHWNSTLKRKYADLIENDGTVNEDGVKEKSAKTGSSSSVHKRASTPSGSDVSDAGLPVTSSMLASENMPVVETGISNDDVGVSTELTLSTLGMESGQLGRDGVGTKELFTGDVEKTMTFAPELLAVMQEMIRKEVSIYMVGIGKKSSG >Potri.014G069500.1.v4.1 pep chromosome:Pop_tri_v4:14:4400949:4403212:-1 gene:Potri.014G069500.v4.1 transcript:Potri.014G069500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069500.v4.1 MLPYQNLHQAEAALGRELTIAEKLWLNYSANKPDYLLHYHNILFLILFYSIVPLPYMFIELSRSKRIDKHKIQSKIKNSFQDMLKCYKDVVQTFIVVVGPLQVSSYPFIKLIGIRTSLSLPSGGEMFWQLLVYFLIEDYTGYWVHRLLHSNGGYEKIHHVHHEYSAPMGFAGPYAHWSEILILGMPAFLGPAVVPGHITTYWLWFVLRQIEAIETHSGYDFSWSPTRFIPFYGGARYHDYHHYVGRHSQSNFASVFTYCDYLYGTDKGYRYHKSIHRKMEETVERNGGHREGSYNSMPAQDFKSE >Potri.014G069500.2.v4.1 pep chromosome:Pop_tri_v4:14:4400949:4403212:-1 gene:Potri.014G069500.v4.1 transcript:Potri.014G069500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069500.v4.1 MLPYQNLHQAEAALGRELTIAEKLWLNYSANKPDYLLHYHNILFLILFYSIVPLPYMFIELSRSKRIDKHKIQSKIKNSFQDMLKCYKDVVQTFIVVVGPLQVSSYPFIKLIGIRTSLSLPSGGEMFWQLLVYFLIEDYTGYWVHRLLHSNGGYEKIHHVHHEYSAPMGFAGPYAHWSEILILGMPAFLGPAVVPGHITTYWLWFVLRQIEAIETHSGYDFSWSPTRFIPFYGGARYHDYHHYVGRHSQSNFASVFTYCDYLYGTDKGYRYHKSIHRKVPL >Potri.007G016000.4.v4.1 pep chromosome:Pop_tri_v4:7:1173519:1180335:1 gene:Potri.007G016000.v4.1 transcript:Potri.007G016000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016000.v4.1 MAPKQEPSGEFCHSNDNNGSFSDSFCGNLEIHAKRRKMEDQPKLHIEENSVVMEKSRIDQLTHLPDSTLWATKELLDFVSHMKNGDMSVLSQFDVQSLLLEYIKRNDLRDPHQKSHIFCDSRLIKLFGKERVGHFEMLKLLEYHFLVKEKSPVDETTAGGGQVEVAGNSDSQLGTGSDRRRKTRKKIDERGPQINCNPEEYAAIDVHNISLLYLKRSLMENLMDDAGKFHEKVVGSFVRIRISGGDQKQDMYRLVQVVGIGKAAESYKVGTKTTDDMLEILNLDKKEVISIDGISNQDFSEGECKRLRQSIKCGLIKRLTVGEIQKRAMAIQDAKVRDRLEEDILRLNHLRDRASEKGLRKELRECVEKLELLKSPEERQRRLLEIPDVHADPNMNPSYDSEEDSGESHKKKQGDHARPRNSSAARNGAALNSSMGGGDVLSDRGNMGQNLATASEQGRDTCTTSYVDRDGTNMVHERASESMQTQGGEQTGLNSQNAPKNWVASTGSMTDDWKSQSIVQCGSYSGVVSLNLPPPLSIGREQLVDDMEMDKLWHYQDPTGKTQGPFAMAQLRKWSTSGLFPQDLRVWKINEKPDDSILLTDALVGRFHKGPALPDNSYLLAQEAIVASDKDKRHEFDLHQSADASLVDKKNMDHWKSVQNNASVNCNDNDALLKSNALGTHSSSWTTGADAIIPNNGSAQLALQLLELSKGCKSWSDQSQMCSSLSSLPSSGKIGEIPLPQAKEEHEDEKRSHDLSYVNGNALKTPEGKNNIGKSEDKQADSESYSNQSSGQNWRPPIKSSSGWDSKPAFVSGDKSVETSQKNEEIDFFDLPSPTPKQHLKDLKGHTAENNHSISSKLPVLDSGCSWSTASSLVVGGATLARVAGEWGGYSPAPVKPVEEWDSNHVSASSLKPTDGGSDHASTQTPDSGPLAHSPSTHPVIDASDWQRIIPEPTEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKLRSAEELTRGYDDDCFSPVDGFSPAPDPGKSDAFSSTADIQIPSHLTVASEALLSCHMPSEPTVIDKPLAVSPMPSQLTAVNESLRISCTPSQSTITDEPLERSQKPSQSTLIDEPLGLSQIDVPNPQKSFSEHSSTSPEVEGNTKPNDVPVNEWEKGSEIQPLVSLAGNQGESGADIQSTTPSTASQLEAGSDVQQPTPSHGDAGQGTINEREAQGNTNMVWGNGHGGTGQQHARTNGANSAGNPGSWGSQPRYGGDRFSGPRDHRNNFQGRDRDSGFGRDRSSWNKQPLHGGGNGASTYRPPPKGQRVCKFYESGYCKKGASCSYWHP >Potri.007G016000.5.v4.1 pep chromosome:Pop_tri_v4:7:1175887:1180459:1 gene:Potri.007G016000.v4.1 transcript:Potri.007G016000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016000.v4.1 MNPSYDSEEDSGESHKKKQGDHARPRNSSAARNGAALNSSMGGGDVLSDRGNMGQNLATASEQGRDTCTTSYVDRDGTNMVHERASESMQTQGGEQTGLNSQNAPKNWVASTGSMTDDWKSQSIVQCGSYSGVVSLNLPPPLSIGREQLVDDMEMDKLWHYQDPTGKTQGPFAMAQLRKWSTSGLFPQDLRVWKINEKPDDSILLTDALVGRFHKGPALPDNSYLLAQEAIVASDKDKRHEFDLHQSADASLVDKKNMDHWKSVQNNASVNCNDNDALLKSNALGTHSSSWTTGADAIIPNNGSAQLALQLLELSKGCKSWSDQSQMCSSLSSLPSSGKIGEIPLPQAKEEHEDEKRSHDLSYVNGNALKTPEGKNNIGKSEDKQADSESYSNQSSGQNWRPPIKSSSGWDSKPAFVSGDKSVETSQKNEEIDFFDLPSPTPKQHLKDLKGHTAENNHSISSKLPVLDSGCSWSTASSLVVGGATLARVAGEWGGYSPAPVKPVEEWDSNHVSASSLKPTDGGSDHASTQTPDSGPLAHSPSTHPVIDASDWQRIIPEPTEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKLRSAEELTRGYDDDCFSPVDGFSPAPDPGKSDAFSSTADIQIPSHLTVASEALLSCHMPSEPTVIDKPLAVSPMPSQLTAVNESLRISCTPSQSTITDEPLERSQKPSQSTLIDEPLGLSQIDVPNPQKSFSEHSSTSPEVEGNTKPNDVPVNEWEKGSEIQPLVSLAGNQGESGADIQSTTPSTASQLEAGSDVQQPTPSHGDAGQGTINEREAQGNTNMVWGNGHGGTGQQHARTNGANSAGNPGSWGSQPRYGGDRFSGPRDHRNNFQGRDRDSGFGRDRSSWNKQPLHGGGNGASTYRPPPKGQRVCKFYESGYCKKGASCSYWHP >Potri.007G016000.2.v4.1 pep chromosome:Pop_tri_v4:7:1170935:1180366:1 gene:Potri.007G016000.v4.1 transcript:Potri.007G016000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016000.v4.1 MRQRQEDYRPSIPDATKEEAPLTFDNSVPTSDPMSTDQCQTIPEMEDSQLVGATVSMVTADVDSSKRESVHIVPAVEVSNHVRIAENSTGKRKRGRPPRTQGKLGPPQAPPASSSQRKKRDEEDVCFICFDGGSLVLCDRRGCPKAYHPACIKRDEAFFRSKAKWNCGWHICSSCQRASHYMCYTCPYSLCKGCTKDADYLCVRGNKGFCGTCMRTIMLIENIATVNQEKVQVDFDDTTSWEYLFKVYWIYLKAKLSLTIDELTKAKNPWKGDDLTKVKSPWKGAGAMAPKQEPSGEFCHSNDNNGSFSDSFCGNLEIHAKRRKMEDQPKLHIEENSVVMEKSRIDQLTHLPDSTLWATKELLDFVSHMKNGDMSVLSQFDVQSLLLEYIKRNDLRDPHQKSHIFCDSRLIKLFGKERVGHFEMLKLLEYHFLVKEKSPVDETTAGGGQVEVAGNSDSQLGTGSDRRRKTRKKIDERGPQINCNPEEYAAIDVHNISLLYLKRSLMENLMDDAGKFHEKVVGSFVRIRISGGDQKQDMYRLVQVVGIGKAAESYKVGTKTTDDMLEILNLDKKEVISIDGISNQDFSEGECKRLRQSIKCGLIKRLTVGEIQKRAMAIQDAKVRDRLEEDILRLNHLRDRASEKGLRKELRECVEKLELLKSPEERQRRLLEIPDVHADPNMNPSYDSEEDSGESHKKKQGDHARPRNSSAARNGAALNSSMGGGDVLSDRGNMGQNLATASEQGRDTCTTSYVDRDGTNMVHERASESMQTQGGEQTGLNSQNAPKNWVASTGSMTDDWKSQSIVQCGSYSGVVSLNLPPPLSIGREQLVDDMEMDKLWHYQDPTGKTQGPFAMAQLRKWSTSGLFPQDLRVWKINEKPDDSILLTDALVGRFHKGPALPDNSYLLAQEAIVASDKDKRHEFDLHQSADASLVDKKNMDHWKSVQNNASVNCNDNDALLKSNALGTHSSSWTTGADAIIPNNGSAQLALQLLELSKGCKSWSDQSQMCSSLSSLPSSGKIGEIPLPQAKEEHEDEKRSHDLSYVNGNALKTPEGKNNIGKSEDKQADSESYSNQSSGQNWRPPIKSSSGWDSKPAFVSGDKSVETSQKNEEIDFFDLPSPTPKQHLKDLKGHTAENNHSISSKLPVLDSGCSWSTASSLVVGGATLARVAGEWGGYSPAPVKPVEEWDSNHVSASSLKPTDGGSDHASTQTPDSGPLAHSPSTHPVIDASDWQRIIPEPTEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKLRSAEELTRGYDDDCFSPVDGFSPAPDPGKSDAFSSTADIQIPSHLTVASEALLSCHMPSEPTVIDKPLAVSPMPSQLTAVNESLRISCTPSQSTITDEPLERSQKPSQSTLIDEPLGLSQIDVPNPQKSFSEHSSTSPEVEGNTKPNDVPVNEWEKGSEIQPLVSLAGNQGESGADIQSTTPSTASQLEAGSDVQQPTPSHGDAGQGTINEREAQGNTNMVWGNGHGGTGQQHARTNGANSAGNPGSWGSQPRYGGDRFSGPRDHRNNFQGRDRDSGFGRDRSSWNKQPLHGGGNGASTYRPPPKGQRVCKFYESGYCKKGASCSYWHP >Potri.007G016000.3.v4.1 pep chromosome:Pop_tri_v4:7:1170974:1180293:1 gene:Potri.007G016000.v4.1 transcript:Potri.007G016000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G016000.v4.1 MCYTCPYSLCKGCTKDADYLCVRGNKGFCGTCMRTIMLIENIATVNQEKVQVDFDDTTSWEYLFKVYWIYLKAKLSLTIDELTKAKNPWKGDDLTKVKSPWKGAGAMAPKQEPSGEFCHSNDNNGSFSDSFCGNLEIHAKRRKMEDQPKLHIEENSVVMEKSRIDQLTHLPDSTLWATKELLDFVSHMKNGDMSVLSQFDVQSLLLEYIKRNDLRDPHQKSHIFCDSRLIKLFGKERVGHFEMLKLLEYHFLVKEKSPVDETTAGGGQVEVAGNSDSQLGTGSDRRRKTRKKIDERGPQINCNPEEYAAIDVHNISLLYLKRSLMENLMDDAGKFHEKVVGSFVRIRISGGDQKQDMYRLVQVVGIGKAAESYKVGTKTTDDMLEILNLDKKEVISIDGISNQDFSEGECKRLRQSIKCGLIKRLTVGEIQKRAMAIQDAKVRDRLEEDILRLNHLRDRASEKGLRKELRECVEKLELLKSPEERQRRLLEIPDVHADPNMNPSYDSEEDSGESHKKKQGDHARPRNSSAARNGAALNSSMGGGDVLSDRGNMGQNLATASEQGRDTCTTSYVDRDGTNMVHERASESMQTQGGEQTGLNSQNAPKNWVASTGSMTDDWKSQSIVQCGSYSGVVSLNLPPPLSIGREQLVDDMEMDKLWHYQDPTGKTQGPFAMAQLRKWSTSGLFPQDLRVWKINEKPDDSILLTDALVGRFHKGPALPDNSYLLAQEAIVASDKDKRHEFDLHQSADASLVDKKNMDHWKSVQNNASVNCNDNDALLKSNALGTHSSSWTTGADAIIPNNGSAQLALQLLELSKGCKSWSDQSQMCSSLSSLPSSGKIGEIPLPQAKEEHEDEKRSHDLSYVNGNALKTPEGKNNIGKSEDKQADSESYSNQSSGQNWRPPIKSSSGWDSKPAFVSGDKSVETSQKNEEIDFFDLPSPTPKQHLKDLKGHTAENNHSISSKLPVLDSGCSWSTASSLVVGGATLARVAGEWGGYSPAPVKPVEEWDSNHVSASSLKPTDGGSDHASTQTPDSGPLAHSPSTHPVIDASDWQRIIPEPTEFCSLVDESVSDLLAEVEAMESLGGLPSPTSKLRSAEELTRGYDDDCFSPVDGFSPAPDPGKSDAFSSTADIQIPSHLTVASEALLSCHMPSEPTVIDKPLAVSPMPSQLTAVNESLRISCTPSQSTITDEPLERSQKPSQSTLIDEPLGLSQIDVPNPQKSFSEHSSTSPEVEGNTKPNDVPVNEWEKGSEIQPLVSLAGNQGESGADIQSTTPSTASQLEAGSDVQQPTPSHGDAGQGTINEREAQGNTNMVWGNGHGGTGQQHARTNGANSAGNPGSWGSQPRYGGDRFSGPRDHRNNFQGRDRDSGFGRDRSSWNKQPLHGGGNGASTYRPPPKGQRVCKFYESGYCKKGASCSYWHP >Potri.004G052200.1.v4.1 pep chromosome:Pop_tri_v4:4:4229111:4231794:1 gene:Potri.004G052200.v4.1 transcript:Potri.004G052200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052200.v4.1 MQRISSRLTRTSSLSTLLTQTIKRSSNTHSLKSANLQKQQEPAIPGHFLKWGSLGFVRTSRFATGFTPLEPKPLDSIMDIERAKTKSPEDLASIWDDYHLGRGHIGASMKAKLYQLLVQRAADCKYFVIPLWRGSGYTTMFAQVQMPHMIFTGLEDYKSRGTQASPYLTVKFYTEFAESKDLVLIRGDIVFTSKLTDEEAEWILETAQSFYLNDVRFKLVEQFNKQTRDFEFKDVLRSLNMPIM >Potri.013G032500.6.v4.1 pep chromosome:Pop_tri_v4:13:2107089:2108652:-1 gene:Potri.013G032500.v4.1 transcript:Potri.013G032500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032500.v4.1 MALPPSAQNPVVEQQKVTISNKHGEKLIGLLHDTGSNDIVILCHGFCSTKENDIMVNLAKALEKEGISAFRFDLAGNGESEGSFAYGNYRREADDLRAVIEHFRGASPSRGISAILGHSKGGDVVLLYASKYQDISTVFNVSGRYDLKRGIEERTGKGFMEKIKQDGFIDVKDGTGSVIYRVTKESLMDRLNTDMHEACLAIKKDCRVFTIHGSADEIIPVEDALEFAKIIPNHNLHIIEGANHCYTSHLTELASVVSNLMKATLKQGKDTP >Potri.013G032500.2.v4.1 pep chromosome:Pop_tri_v4:13:2107085:2108652:-1 gene:Potri.013G032500.v4.1 transcript:Potri.013G032500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032500.v4.1 MALPPSAQNPVVEQQKVTISNKHGEKLIGLLHDTGSNDIVILCHGFCSTKENDIMVNLAKALEKEGISAFRFDLAGNGESEGSFAYGNYRREADDLRAVIEHFRGASPSRGISAILGHSKGGDVVLLYASKYQDISTVFNVSGRYDLKRGIEERTGKGFMEKIKQDGFIDVKDGTGSVIYRVTKESLMDRLNTDMHEACLAIKKDCRVFTIHGSADEIIPVEDALEFAKIIPNHNLHIIEGANHCYTSHLTELASVVSNLMKATLKQGKDTP >Potri.013G032500.7.v4.1 pep chromosome:Pop_tri_v4:13:2107088:2108636:-1 gene:Potri.013G032500.v4.1 transcript:Potri.013G032500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032500.v4.1 MALPPSAQNPVVEQQKVTISNKHGEKLIGLLHDTGSNDIVILCHGFCSTKENDIMVNLAKALEKEGISAFRFDLAGNGESEGSFAYGNYRREADDLRAVIEHFRGASPSRGISAILGHSKGGDVVLLYASKYQDISTVFNVSGRYDLKRGIEERTGKGFMEKIKQDGFIDVKDGTGSVIYRVTKESLMDRLNTDMHEACLAIKKDCRVFTIHGSADEIIPVEDALEFAKIIPNHNLHIIEGANHCYTSHLTELASVVSNLMKATLKQGKDTP >Potri.002G186100.1.v4.1 pep chromosome:Pop_tri_v4:2:14792951:14796064:1 gene:Potri.002G186100.v4.1 transcript:Potri.002G186100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G186100.v4.1 MDSVSSVKEFIRKQVPDWDDEIMATARFKAFSGQRSDWEPKYLFWKDLILKIARHLDLFIIQPSQVKEKWFNRGGLTPLCLDHVLCLMYNEGDIVRNVDLVDPSSGRISQLFRKVRNLMVRSPVTPEIIMLEDHLFLTPLLKDKTAQIIKCLSESHWTSSCIITMSKFQGMCGGPSEAAPLLSYLSGLGKAQYFSISKEVIEGVKISLSSASVPAISSLDLDVLHLIWTAQKLEDQIDVIDRRYEMSRKSALASLNSGNKKVALRHAREMKLALESRERCTSLLNRVEEVLNVIANAESTQKVTEAIRIGAEAMKQNKITVEEVENCLEELEESIDAQKQVEKALESNPISGIEDEDIEEELEKLELELESENLQISKVEVGNTSGEMDALGSPDSLTDALSNLKLHDGSARGSVSQKSPFPTRTKNSKHAMLEAA >Potri.001G207600.1.v4.1 pep chromosome:Pop_tri_v4:1:21195481:21196543:1 gene:Potri.001G207600.v4.1 transcript:Potri.001G207600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G207600.v4.1 MGGCASVPKDLKDEVGSAPAPEPPMEETAENNEAVKVELEAVEKVEEENAEKSDDYKKSLGSLLNENEVQMETTSESKEEEVPCKQNEEQAATEAPAAESEKEQIKNAEEKATGEKKEDI >Potri.012G004300.2.v4.1 pep chromosome:Pop_tri_v4:12:15663:18324:-1 gene:Potri.012G004300.v4.1 transcript:Potri.012G004300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G004300.v4.1 MDSSVNCPFCHLTLPPSQLQRHANSHFDEDDGVAEKDEQLARDLELAQQLSIPPPSSTFTLQENNTMDEKISCLIALQYRSSFYHVKSPGGLISLLSNCFELDADTDTTVLLSGYVDHFQSLASEDAGWGCGWRNIQMLSSHLLSHRHDASELLFGGSGFVPDIPFLQRWLEIAWEKGFDALGSHHFNNSVYGSKHWIGTTECAAIFRSFGLRARIVDFGPKELQSFFLSVPGTSLTPPVVIANASDKRKAFQVYGPMDRYLVGRNSDVSQLDSIVNGQSQSSTNYVAGGSDHSMANRFPRKKEGHQVLLDWVWNYFSEESLTTSVQNRQVVITNRVPLYFQHDGHSRTIVGIQFRRQQNGVPQYNLLILDPAHRTVALERSLRENAGWKKLIKRGAHTLKKPQYQLCYIDPGIAIGEEMEQLKKIDSVFIEF >Potri.003G050400.1.v4.1 pep chromosome:Pop_tri_v4:3:7342645:7345546:1 gene:Potri.003G050400.v4.1 transcript:Potri.003G050400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G050400.v4.1 MMMNGQKLAPAAEVAVQLPESKVAADNISGTMSGPLVGASGGGTTAAMRPFGRKAEVMHVLLRLLCIITSVAALSFMFTAQQSSTISIYGFMLPVQSKWSFSHSFEYLVGVSAAVAAHSLLQLLISMSRLLRKSPVIPSRSHAWLIFAGDQVFAYAMISAGAAASGVTNLNRTGIQHTALPNFCKPLQSFCDHVAVSIFFTFTSCFLLAASAVQEVIWLSRSKY >Potri.013G070325.1.v4.1 pep chromosome:Pop_tri_v4:13:5738052:5739902:1 gene:Potri.013G070325.v4.1 transcript:Potri.013G070325.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070325.v4.1 MAMPKLEVPLLFLFFFLALTPSRAETFGPPLVSPIQKDASTLQYIITAYLQSPQETKLLLDLGASYIWINCDDYNSSSYRHIPCTTLLDEFVGCFACLMNCRDSNPNCGDSVCVLKPENPFQPSFSGNEQYAPALVDYFSMLTLDNTGSIGGPSSSSPFTFIFSCGYKENLEGLARGVTGSAGLGRSSISIPVQASAIFHYPRYFALCLSGSKTRPGAAFIGTKGPYKFGRRVDLSKPLAYTPLLLNPVGKYSYPDLKKPSSEYFIGVTSIKVNGKAVALNQSLLAIDSGNGSGGTKLSTVVPYTQLETSIYKAVTEAFVKAAASSPFNLTTTKPVQPFSVCYPASNVRSTRAGPTVPAIDLVMHMNDVVWKILGSNSMVRVGADVWCLGFVDAGVRPKTSVFAGDPSIVIGGHQMEDNFLQFDLESMRLGFSSSVLSRGTSCASFRFAAKTG >Potri.019G001800.1.v4.1 pep chromosome:Pop_tri_v4:19:804044:809593:1 gene:Potri.019G001800.v4.1 transcript:Potri.019G001800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001800.v4.1 MAKDNRGHPSGGKASSTESFCYTKYRYMFGVFSLWNPLKLVINCLILLNFLKITISTKEPDTEGNALRDLLLALNDSNRQINWDTNLVSPCFSWTHVICRNGHVESLSLNSLGFSGTLSPAIMKLEFLVTLELQNNSLSGPLPDYLGNMVHLQNLNLASNKFSGSIPTTWGQLSNLKNLDLSSNNLTGRIPGKLFSVAMFNFTATHLACGLSLEEPCISGSPLRVSTSKSRLKVIATSASCGAFILLILVAVLAYRYHQFHKEKNDIFVDVAGEDDRKITFGQLRRFSWRELQLATDNFSESNIIGQGGCGKVYKGILSDNMKVAVKRLADYYSPGGEAAFQREVQLISVAFHKNLLKLVGFCTTSSERILVYPYMQNLSVAYRLRELKPGEKGLDWPTRKKIAFGAAHGLEYLHEHCNPKIIHRDLKAANILLDDNFEAVLGDFGLAKLVDTKFTHVTTQVRGTMGHIAPEYLSTGKSSEKTDVFGYGITLLELVTGQRAIDLSRLEEEEEVLLLDHIKKLLRENRLDDIVDGNLKTYDRKEVETIVQVALLCTNSSPEGRPKMEEVVKMLRGIGLAERWAKWEQLEDAMNQDLAVLMSQQYIWAEDSSIDQEAIQLSRAR >Potri.003G093000.1.v4.1 pep chromosome:Pop_tri_v4:3:11943939:11945726:-1 gene:Potri.003G093000.v4.1 transcript:Potri.003G093000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G093000.v4.1 MALSNNVIGAINFVAMLLSIPVIGAGIWLATEPDNSCVKILQWPVIILGMLILKVALAGFVGGFWRIPWLLIFYLIAMLILIILLACLTVFIYMVTVRGSGHLAPSRAYLEYRLDDFSGWLRRRVHSSYKWDRIRGCLSSSNTCAELNQSYHMAQDFFNAHISPLQSGCCKPPTECGYTFVNPTYWISPINIAADMDCLKWNNDQNQLCYNCDSCKAGLLANLKREWRRADVVLLITLIALICVYLIGCCAFRNAKTEDLFRRYKQGYT >Potri.010G255200.5.v4.1 pep chromosome:Pop_tri_v4:10:22599526:22603398:1 gene:Potri.010G255200.v4.1 transcript:Potri.010G255200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255200.v4.1 MMENCANGSSNCLLAVCLLLLISSSPSSSIRGSPGVTSVDSVSRVEKNRLPSNWDSSRRNLLANGLADTPPMGWNSWNHFNCKIDEKIIKATADFLVSTGLSKLGYTYVNIDDCWAEMARDGKGNLVPKKSTFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKTMPGSLGHEEQDAKSFASWGIDYLKYDNCNNDGTKPTVRYPVMTRALMKTGHPIFFSLCEWGDMHPATWGAKVGNSWRTTNDISDTWDSMVSRADMNEVYAELARPGGWNDPDMLEVGNGGMTKDEYTLHFSIWAISKAPLLLGCDVRNMTKETMDIIANKEVIAVNQDPLGVQAKKVRMEGDLEIWAGPLAGYRVAVLLVNRGPWRNSISAQWDDIGIPLNSIVKARDLWEHKTLKTHFVGNLTATMDSHACKMYILKPIS >Potri.010G255200.1.v4.1 pep chromosome:Pop_tri_v4:10:22598747:22603357:1 gene:Potri.010G255200.v4.1 transcript:Potri.010G255200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255200.v4.1 MMENCANGSSNCLLAVCLLLLISSSPSSSIRGSPGVTSVDSVSRVEKNRLPSNWDSSRRNLLANGLADTPPMGWNSWNHFNCKIDEKIIKATADFLVSTGLSKLGYTYVNIDDCWAEMARDGKGNLVPKKSTFPSGIKALADYVHSKGLKLGIYSDAGYFTCSKTMPGSLGHEEQDAKSFASWGIDYLKYDNCNNDGTKPTVRYPVMTRALMKTGHPIFFSLCEWGDMHPATWGAKVGNSWRTTNDISDTWDSMVSRADMNEVYAELARPGGWNDPDMLEVGNGGMTKDEYTLHFSIWAISKAPLLLGCDVRNMTKETMDIIANKEVIAVNQDPLGVQAKKVRMEGDLEIWAGPLAGYRVAVLLVNRGPWRNSISAQWDDIGIPLNSIVKARDLWEHKTLKTHFVGNLTATMDSHACKMYILKPIS >Potri.016G031000.2.v4.1 pep chromosome:Pop_tri_v4:16:1721837:1724631:-1 gene:Potri.016G031000.v4.1 transcript:Potri.016G031000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G031000.v4.1 MALPLLVLVSIFVLVLAYILYQRLRFKLPPGPRPWPIVGNLYDVKPIMFRCFAEWAQAYGPIVSVWFGSTLNVVVCNAELARQVLKENDQQLADRHRTRFLARFSRGGEDLIWADYGPHYVKLRKVSTLELFSAKRLEELRPIREDEVSFMAESIFKDCTNPENHGKILLVKKYLGDVAWNNITRLAFGKRFMNSEGIIDEQGQEFKAIVSDGFRLGASHSMAEHIPWLQWMVRLEEEAFAKLNARRDRLVRSIMEEHNNARKKSGGAKNHFVDALLTLQEKYDLSEVTFISLLWDMISAGMDTTAISVEWAMAELLKNPRVQQKAQDELDRVVGFERVMTEADFPNLPYLQAVVKESLRLHPPTPLMLPHRANTTVKIGGYDIPRGSVVHVNVWAVARDPALWKNPLEFRPERFFEEDVDMRGHDFRLLPFGAGRRVCPGAQLGINLVTSIIGHLLHHFHWTTPDGVKPEEIDMSERPGLVTYMMTPLQAVATPRLPSHLYKRMASDM >Potri.008G070101.2.v4.1 pep chromosome:Pop_tri_v4:8:4284567:4285422:1 gene:Potri.008G070101.v4.1 transcript:Potri.008G070101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070101.v4.1 MNVRFSEGVTCMKNGKQDSTYQNWRWQPRDCSFPKFSAELLLEKLGGKRLMFVGDSINLNQWVSLSCLIQSAIPPAKKRLRYSDYIQAFIIEECNASIEFYRAPFLVESNSDPPMSRDGKRDPIIMAGSISKSTGDNWKRIDYLIFIPIYGG >Potri.015G122800.1.v4.1 pep chromosome:Pop_tri_v4:15:13598597:13603201:1 gene:Potri.015G122800.v4.1 transcript:Potri.015G122800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122800.v4.1 MSFSFSISEPLAFPQQPLFSSLGGNKRASGIWRNHQVVNKLVVRAGPKKISFGKDCREALQAGIDKLADAVSLTLGPQGRNVVLSDSKTLKVVNDGVTIARAIELPDSMENIGAILIQEVASKMNDMAGDGTTTAIILAREMIKTGMLAVTFGANPVFVKKGMDMAVKELVKVLKKNSFPVKGKDDIKAVASISAGNDEFVGNLIAETIEKIGSDGVISLESSSTSDTFVIIEEGMKFDKGYMSPQFITNQEKSLVEFDKAKVLVTDQKIANVQEIVPVLEKTTQLSVPLLIIAEDISKPVLETLVLNKMKGLLNVAVVKCPGFGDRKKALLQDIALMTGADFLSGDFGLTLGSVTSDQLGIARKVTITSNSTTIVADSATKAEIQARILQIKKDLAETDNAALSRKLSERIAKLSGGVAVIKVGAHTETELEDRKLRIEDAKNATFAAMDEGIVPGGGATYVHLSEQISSIKNSMKDENEKIGADIVAKALLAPAKTIATNAGVDGAVVVENIRSCDWRTGYNAMTGRYEDLLNAGVVDPCRVSRCALQSAVSIAGIVLTTQAVLVEKIKKPKPAVPYVPGITP >Potri.002G055600.1.v4.1 pep chromosome:Pop_tri_v4:2:3795348:3798927:-1 gene:Potri.002G055600.v4.1 transcript:Potri.002G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G055600.v4.1 MPEKITAESLFSNLVETIADNVPKQKSVSFFEEGEESVTSRINRLFGRQKPVHHILGGGKSADVLLWRNKKISAGVLTGATAIWVLFEWLNYHLLSLVCFALALGMLAQFVWINASGLMNRSPSQVPRLVLPDDIFVSIGRSIGAEVNRALLFLQDLSCGGNLKQFLAAIVSLWVAAIIGSWCNFLTVMYIGFVAAHTLPVLYERYEDQVDDFVFKAFDQLRNNYQKLDAGVLGKIPKGKFNGKKHE >Potri.017G142800.1.v4.1 pep chromosome:Pop_tri_v4:17:14308107:14312096:-1 gene:Potri.017G142800.v4.1 transcript:Potri.017G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142800.v4.1 MGSMTIFRLIFLVMFLGWLMLWVMLPTKVYKNAWTPKLNGKLNSTYFEGQGTNLLLFSFPVMFIAAFGCLYLHLQKNLRKPNSRSVVTSNRLSFLRRPALVVAPMGIVTAMELAFVAMFIALLIWSLANYLYVSFGHLHMHKQGEKVWQAKFRSVSLRLGYIGNICWAFLFFPVTRGSSILPLVGLTSESSIKYHIWLGHLSMILFAAHTVGFIIYWAMTNQMALMLEWSKTYVSNVAGEIATVLALAMWVTSSYRIRRKMFEVFFYTHQLYILYVVFYVLHVGAAYFCMILPGIFLFIVDRYLRFLQSQRRARLDSARLLPCGSIELTFSKSPGLYYNPTSILFVNVPSISKLQWHPFTITSSCNLEQDKLSVVVKRLGSWSQKLYRQISSSVDRLEVSVEGPYGPTSSHFLRHELLVLVSGGSGITPFISIIREIIVESTKQNCQVPRVLLVCAFKNSADLAILDLLLPVNGTPSNIPQMQLQIEAYITREGELPTEDNLKLLQTIWFKSNQLDSPINASLGNNNWLWLGAIIVSSFVMFLLILGIVTRYYIYPFDHSTGDTYHYSYYVLWDMFLLCACIFIASSAVFLFRKKEHAMEGKQIQNLEVPAPTTSPGSWFQNANRELESQPHQSLVQVTKVHFGARPDLKRILFDCKASDVGVLACGPKKMRHEIAEICSSGLADNLHFESISFNW >Potri.016G099900.1.v4.1 pep chromosome:Pop_tri_v4:16:10099515:10101954:-1 gene:Potri.016G099900.v4.1 transcript:Potri.016G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G099900.v4.1 MEGEVISSSWFSESEEDELVRELLDDVSPFFFLPEERNQSKAASPTPRNEEAMNQLISKVYSGPTKQDIENALSMTSRRDQPQPVSQARFSLLQKGLSKIENNKYTVKLKSCDNGVAGDGYKWRKYGQKSIKNSTHPRSYYRCTNRRCGAKKQVERSSEDPDTLVITYEGLHLHFSHPYFLSNQPQHVDPPSKKPKRTISEDEFQAHETRQPPEQGQECSTHVTSPGSLPSSSTADDYMQESDLEAMGPRGLLEDVVPFMIRNPSNYNVSSYSSSSSYRSPPTSPSSSLSWSPILSHSCFDVGLNTSIG >Potri.008G092100.2.v4.1 pep chromosome:Pop_tri_v4:8:5749863:5754979:-1 gene:Potri.008G092100.v4.1 transcript:Potri.008G092100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G092100.v4.1 MKGACLNFENPIEDAVSRIRFAPQSNNLLISSWDSNLRLYDVDSSLLRLEAPAPSQAALLDCCFQSESVAFTAASDGSITRYDLHSGTIDAIGSHQDMATCVGYSIETCQVISAGLDKKVMSWDMRLANPLALFRNLGAEIDSISISGFDLMVAVGAAVNIYDLRNYERAVDLKELSMDVGISCVASVPFTRGYAIGLIDGRVALEISNPLNSNSTGYAFRCHPTTKDGTAHLVSVNDIVFNPHIGGTFVTGDNEGYVTAWDAKSKRRLHEFPRYPNSVASLSYNHVGQLLAVVSSYTYQEANESSGSLERERTSQASCKS >Potri.009G108700.1.v4.1 pep chromosome:Pop_tri_v4:9:9398597:9399228:1 gene:Potri.009G108700.v4.1 transcript:Potri.009G108700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108700.v4.1 MATASATLSPATLAAATVSSPERKQIKVNYITGLDSFGGLKAHNNVSSLGLPVCTEQSFAKVVSSLRAPSKGKGRSGGALSSTCSDVGEIFRIAAIMNGLVLVGVAVGFVLLRVEAFVEGTE >Potri.017G152420.1.v4.1 pep chromosome:Pop_tri_v4:17:14981076:14981390:-1 gene:Potri.017G152420.v4.1 transcript:Potri.017G152420.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G152420.v4.1 MGVVVRLSGSMWSARWSWLEAVAGFYGGQSPGRRKQNRSGKGKVGSWSVGVGQAREADGSAERGKSYWVRGKLGGWVFGGELGKRWLWEQKSQSRGSGGFGWFW >Potri.001G196600.6.v4.1 pep chromosome:Pop_tri_v4:1:19054545:19060902:-1 gene:Potri.001G196600.v4.1 transcript:Potri.001G196600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196600.v4.1 MDLELKRVQEARRNDCKKVMNYINSMDFTSLDDKEIRTMVMIRELGNGIVNRQTKLATEDDVSRVLKISIETMVGECRKIVDQISLTSFHDFNAQERKSLTKISEIARWIIAKQGSTMNRHRDINQVLEGEDKLAVGMIGYGEMDIEFGDKEELAIGELSVLQDNHSVMQDKNEVAVGQHNDLQDREIAMLDKEGLVMGDQGDLHDRQSLLVDIEGVVIGEHSDLLNRQIVMGDKDGLAVGEHNDLQDRLISMGDEEGMGVRNLQDIEIIMEDKEGLVVGEHSDMQDKHVDMELGEPTREPISWPLNGEITLDWILNLMETFKWASWNKSLSEFASIMPLFVVEELITKASYILCQEPNCVKIQCDNNTEVVVVGDLRGQYLDLLNIWESVGLPSDKQLFVFNGNYIDRGKSSLELFLVLLAWKAFLPHRIYLLRGNHESSDISEICGFLKEVNRKFPEHGQTVYRKCLKVFAELPLASIIADCVYTTHGGLFRSEGITSSQSFGENELQNGNGNKSGNKKQKMTTTLSLGSLDELHQVSRFVHDLSAKDAILTDVLWSDPTTESGLTENNRGDAGLLWGPDCTEAFLEHSKLKVIIRSHEGPDSIACQKGFKNMLEGYSTDHEVESGKLYTLFSAPDYPQHTSKDYKSKGAYAILKPPNFDTPEFVSFEARKRHEASIKAISFGQQSDSAATSSGTDIGASGISTSPSWIISLADDVGTPAQISEASKVERSPLPSDLQEPHKSNYEYLLNLIGSLKKEIKKKDDELDDYKRKYILQSPSPAK >Potri.001G196600.1.v4.1 pep chromosome:Pop_tri_v4:1:19054465:19061084:-1 gene:Potri.001G196600.v4.1 transcript:Potri.001G196600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196600.v4.1 MDLELKRVQEARRNDCKKVMNYINSMDFTSLDDKEIRTMVMIRELGNGIVNRQTKLATEDDVSRVLKISIETMVGECRKIVDQISLTSFHDFNAQERKSLTKISEIARWIIAKQGSTMNRHRDINQVLEGEDKLAVGMIGYGEMDIEFGDKEELAIGELSVLQDNHSVMQDKNEVAVGQHNDLQDREIAMLDKEGLVMGDQGDLHDRQSLLVDIEGVVIGEHSDLLNRQIVMGDKDGLAVGEHNDLQDRLISMGDEEGMGVRNLQDIEIIMEDKEGLVVGEHSDMQDKHVDMELGEPTREPISWPLNGEITLDWILNLMETFKWASWNKSLSEFASIMPLFVVEELITKASYILCQEPNCVKIQCDNNTEVVVVGDLRGQYLDLLNIWESVGLPSDKQLFVFNGNYIDRGKSSLELFLVLLAWKAFLPHRIYLLRGNHESSDISEICGFLKEVNRKFPEHGQTVYRKCLKVFAELPLASIIADCVYTTHGGLFRSEGITSSQSFGENELQNGNGNKSGNKKQKMTTTLSLGSLDELHQVSRFVHDLSAKDAILTDVLWSDPTTESGLTENNRGDAGLLWGPDCTEAFLEHSKLKVIIRSHEGPDSIACQKGFKNMLEGYSTDHEVESGKLYTLFSAPDYPQHTSKDYKSKGAYAILKPPNFDTPEFVSFEARKRHEASIKAISFGQQSDSAATSSGTDIGASGISTSPSWIISLADDVGTPAQISEASKVERSPLPSDLQEPHKSNYEYLLNLIGSLKKEIKKKDDELDDYKRKYILQSPSPAK >Potri.001G196600.7.v4.1 pep chromosome:Pop_tri_v4:1:19054548:19060723:-1 gene:Potri.001G196600.v4.1 transcript:Potri.001G196600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G196600.v4.1 MDLELKRVQEARRNDCKKVMNYINSMDFTSLDDKEIRTMVMIRELGNGIVNRQTKLATEDDVSRVLKISIETMVGECRKIVDQISLTSFHDFNAQERKSLTKISEIARWIIAKQGSTMNRHRDINQVLEGEDKLAVGMIGYGEMDIEFGDKEELAIGELSVLQDNHSVMQDKNEVAVGQHNDLQDREIAMLDKEGLVMGDQGDLHDRQSLLVDIEGVVIGEHSDLLNRQIVMGDKDGLAVGEHNDLQDRLISMGDEEGMGVRNLQDIEIIMEDKEGLVVGEHSDMQDKHVDMELGEPTREPISWPLNGEITLDWILNLMETFKWASWNKSLSEFASIMPLFVVEELITKASYILCQEPNCVKIQCDNNTEVVVVGDLRGQYLDLLNIWESVGLPSDKQLFVFNGNYIDRGKSSLELFLVLLAWKAFLPHRIYLLRGNHESSDISEICGFLKEVNRKFPEHGQTVYRKCLKVFAELPLASIIADCVYTTHGGLFRSEGITSSQSFGENELQNGNGNKSGNKKQKMTTTLSLGSLDELHQVSRFVHDLSAKDAILTDVLWSDPTTESGLTENNRGDAGLLWGPDCTEAFLEHSKLKVIIRSHEGPDSIACQKGFKNMLEGYSTDHEVESGKLYTLFSAPDYPQHTSKDYKSKGAYAILKPPNFDTPEFVSFEARKRHEASIKAISFGQQSDSAATSSGTDIGASGISTSPSWIISLADDVGTPAQISEASKVERSPLPSDLQEPHKSNYEYLLNLIGSLKKEIKKKDDELDDYKRKYILQSPSPAK >Potri.008G154301.1.v4.1 pep chromosome:Pop_tri_v4:8:10569221:10570419:1 gene:Potri.008G154301.v4.1 transcript:Potri.008G154301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G154301.v4.1 MSLPTALLSALQVEDGLLGTDSFSFPSLLKAASRTSGLIEGKEIHGIAAKLCFDKDPFVRMGLVGLYYQSGLYDDVLQLFEEMRNSNLKPGEKSALVTMYASCGCLDIAEELFTKISTKNLVVLSFNSHGFWVFESWES >Potri.017G106851.1.v4.1 pep chromosome:Pop_tri_v4:17:11604513:11606406:-1 gene:Potri.017G106851.v4.1 transcript:Potri.017G106851.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106851.v4.1 MRDGRFGWLHERSWRSMVEAAATTTTIVTETTATSINDSHQRQQHRRQQERKTNNFQVSNLQADFNKCPTNIEHRQATSQVHKRVSIA >Potri.017G106851.2.v4.1 pep chromosome:Pop_tri_v4:17:11604513:11606406:-1 gene:Potri.017G106851.v4.1 transcript:Potri.017G106851.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G106851.v4.1 MRDGRFGWLHERSWRSMVEAAATTTTIVTETTATSINDSHQRQQHRRQQERKVSNLQADFNKCPTNIEHRQATSQVHKRVSIA >Potri.015G110400.2.v4.1 pep chromosome:Pop_tri_v4:15:12763182:12765666:-1 gene:Potri.015G110400.v4.1 transcript:Potri.015G110400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G110400.v4.1 MVAAAATATAANPLTCSLFPSQGLRGTTSGLQWTKRQCTRKASALTVTAKFELKPPPYPMNALEPHMSKDTFEYHWGKHHRAYVNNLNKQIEGTELDDMSLDDVVLITYNKGDPLPAFNNAAQAWNHEFFWESMKPGGGGKPSGELLQLMERDFGSFDKFVEEFKSAAATQFGSGWAWLVYKANRLDVGNAVNPCPSEEDKKLVVVKSPNAVNPLVWDYYPLLTIDVWEHAYYLDFQNQRPDYTSNFMERLVSWDAVSARLEVARARAAEREIEEARKRKEEEEGNFTDKEPAEIFIDGVADDSETD >Potri.019G039500.1.v4.1 pep chromosome:Pop_tri_v4:19:5447047:5455038:1 gene:Potri.019G039500.v4.1 transcript:Potri.019G039500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039500.v4.1 MPPTTSSPPACTSPTSSLSVTETVNGSHKFTIQGYSLAKGIGVGKHIASETFTVGGYQWAIYFYPDGKNPEDHSSYVSVFIALASEGTDVRALFELTLIDQSGKGKHKVHSHFDRSLESGPYTLKYRGSMWGYKRFFRRAMLESSDFLKDDCLKIHCTVGVVVSATDCSRLHSIKVPESDIGDHFGMLLENEDCSDIIFSVQGQKFHAHKLVLVARSPVFENELLDAMEEDNSEIVISDIEPKVFKALLHFIYKDDLIEDEELSASSSTSVISVFDTLTAKLLEAADRYDLPRLRLMCEAVLCKDISVSSVAKILALADRYHAMDLKSVCLKFSAENLVAVVQSDGFEYLKENCPLLQSELLKTVAGCEEDVSGGGKSRSVWAQFSDGGDTNDRSVRQPWENGGERSQNLWAQPENSNGRSPRQEE >Potri.015G131600.9.v4.1 pep chromosome:Pop_tri_v4:15:14152697:14157570:-1 gene:Potri.015G131600.v4.1 transcript:Potri.015G131600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131600.v4.1 MGKAMNLASSPKGTNPAMAAFPRSLSSMAASVGGLALFLVIASLLLVSYPIGSTVRGYFYGIDSSRQVDLLIFEGNQSSIDLHHDSNLDVVDEDSSLGLDLKGPISLGGVNNNSVNVIDSQSEFNLQESTTGTRKEGQTNPKGGSVTLSVKEIDADKGSEENSTDAASADSKSGAKSDISAVPSNASKTGSDDSGCDLYQGSWFYDSLGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPSQCDLPRFDAKKFLELMRGKTIAFIGDSVARNQMESMLCLLWQVEAPKNRGNKKMQRYFFRSTSTMVVRIWSSWLVHQTSESIDFAPKGVVKLHLDAPDEHFMEFIPNFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDRSRPMKINNVEAFGISVETILTSIATHPNFTGLTILRTYSPDHYEGGAWNTGGSCTGKEKPLAPGELVENGFTEIMHKKQITGFDRAINKATNKSKLKLMDITESFGYRHDGHPGPYRSPDPNKLTKRGPHGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFEANQDPSI >Potri.015G131600.8.v4.1 pep chromosome:Pop_tri_v4:15:14153284:14157854:-1 gene:Potri.015G131600.v4.1 transcript:Potri.015G131600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131600.v4.1 MGKAMNLASSPKGTNPAMAAFPRSLSSMAASVGGLALFLVIASLLLVSYPIGSTVRGYFYGIDSSRQVDLLIFEGNQSSIDLHHDSNLDVVDEDSSLGLDLKGPISLGGVNNNSVNVIDSQSEFNLQESTTGTRKEGQTNPKGGSVTLSVKEIDADKGSEENSTDAASADSKSGAKSDISAVPSNASKTGSDDSGCDLYQGSWFYDSLGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPSQCDLPRFDAKKFLELMRGKTIAFIGDSVARNQMESMLCLLWQVEAPKNRGNKKMQRYFFRSTSTMVVRIWSSWLVHQTSESIDFAPKGVVKLHLDAPDEHFMEFIPNFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDRSRPMKINNVEAFGISVETILTSIATHPNFTGLTILRTYSPDHYEGGAWNTGGSCTGKEKPLAPGELVENGFTEIMHKKQITGFDRAINKATNKSKLKLMDITESFGYRHDGHPGPYRSPDPNKLTKRGPHGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFEANQDPSI >Potri.015G131600.7.v4.1 pep chromosome:Pop_tri_v4:15:14152736:14157586:-1 gene:Potri.015G131600.v4.1 transcript:Potri.015G131600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131600.v4.1 MGKAMNLASSPKGTNPAMAAFPRSLSSMAASVGGLALFLVIASLLLVSYPIGSTVRGYFYGIDSSRQVDLLIFEGNQSSIDLHHDSNLDVVDEDSSLGLDLKGPISLGGVNNNSVNVIDSQSEFNLQESTTGTRKEGQTNPKGGSVTLSVKEIDADKGSEENSTDAASADSKSGAKSDISAVPSNASKTGSDDSGCDLYQGSWFYDSLGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPSQCDLPRFDAKKFLELMRGKTIAFIGDSVARNQMESMLCLLWQVEAPKNRGNKKMQRYFFRSTSTMVVRIWSSWLVHQTSESIDFAPKGVVKLHLDAPDEHFMEFIPNFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDRSRPMKINNVEAFGISVETILTSIATHPNFTGLTILRTYSPDHYEGGAWNTGGSCTGKEKPLAPGELVENGFTEIMHKKQITGFDRAINKATNKSKLKLMDITESFGYRHDGHPGPYRSPDPNKLTKRGPHGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFEANQDPSI >Potri.015G131600.10.v4.1 pep chromosome:Pop_tri_v4:15:14153283:14157552:-1 gene:Potri.015G131600.v4.1 transcript:Potri.015G131600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131600.v4.1 MGKAMNLASSPKGTNPAMAAFPRSLSSMAASVGGLALFLVIASLLLVSYPIGSTVRGYFYGIDSSRQVDLLIFEGNQSSIDLHHDSNLDVVDEDSSLGLDLKGPISLGGVNNNSVNVIDSQSEFNLQESTTGTRKEGQTNPKGGSVTLSVKEIDADKGSEENSTDAASADSKSGAKSDISAVPSNASKTGSDDSGCDLYQGSWFYDSLGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPSQCDLPRFDAKKFLELMRGKTIAFIGDSVARNQMESMLCLLWQVEAPKNRGNKKMQRYFFRSTSTMVVRIWSSWLVHQTSESIDFAPKGVVKLHLDAPDEHFMEFIPNFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDRSRPMKINNVEAFGISVETILTSIATHPNFTGLTILRTYSPDHYEGGAWNTGGSCTGKEKPLAPGELVENGFTEIMHKKQITGFDRAINKATNKSKLKLMDITESFGYRHDGHPGPYRSPDPNKLTKRGPHGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFEANQDPSI >Potri.015G131600.4.v4.1 pep chromosome:Pop_tri_v4:15:14153283:14157521:-1 gene:Potri.015G131600.v4.1 transcript:Potri.015G131600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G131600.v4.1 MGKAMNLASSPKGTNPAMAAFPRSLSSMAASVGGLALFLVIASLLLVSYPIGSTVRGYFYGIDSSRQVDLLIFEGNQSSIDLHHDSNLDVVDEDSSLGLDLKGPISLGGVNNNSVNVIDSQSEFNLQESTTGTRKEGQTNPKGGSVTLSVKEIDADKGSEENSTDAASADSKSGAKSDISAVPSNASKTGSDDSGCDLYQGSWFYDSLGPLYTNNTCPVLTQMQNCQGNGRPDKEYENWRWKPSQCDLPRFDAKKFLELMRGKTIAFIGDSVARNQMESMLCLLWQVEAPKNRGNKKMQRYFFRSTSTMVVRIWSSWLVHQTSESIDFAPKGVVKLHLDAPDEHFMEFIPNFDVIVLSSGHWFAKQSVYVLNNEIVGGQLWWPDRSRPMKINNVEAFGISVETILTSIATHPNFTGLTILRTYSPDHYEGGAWNTGGSCTGKEKPLAPGELVENGFTEIMHKKQITGFDRAINKATNKSKLKLMDITESFGYRHDGHPGPYRSPDPNKLTKRGPHGKPPPQDCLHWCMPGPVDTWNELVLEIIRRDFEANQDPSI >Potri.006G093300.2.v4.1 pep chromosome:Pop_tri_v4:6:7094963:7096016:-1 gene:Potri.006G093300.v4.1 transcript:Potri.006G093300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093300.v4.1 MGDHEVMMPHHGTTVSSSLTEAWDTTGFTHPHRKMMMHMTFFWGHKTEVLFKGWPGSSTGMYAVALTFVFALAVLVEVFSLFSVIKPGTNKAAAGFFQTGMYAVRSGLSYMVMLAVMSFNGGVFLAAVGGHAVGFALFGGRAKKSGGS >Potri.006G093300.3.v4.1 pep chromosome:Pop_tri_v4:6:7094963:7096016:-1 gene:Potri.006G093300.v4.1 transcript:Potri.006G093300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G093300.v4.1 MGDHEVMMPHHGTTVSSSLTEAWDTTGFTHPHRKMMMHMTFFWGHKTEVLFKGWPGSSTGMYAVALTFVFALAVLVEVFSLFSVIKPGTNKAAAGFFQTGMYAVRSGLSYMVMLAVMSFNGGVFLAAVGGHAVGFALFGGRAKKSGGS >Potri.019G108050.1.v4.1 pep chromosome:Pop_tri_v4:19:14243613:14246029:-1 gene:Potri.019G108050.v4.1 transcript:Potri.019G108050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108050.v4.1 MGGGGAGAQETIDTGTTQGKHWREDELRGGNNTGGAYNRDEQRKPGEKKEDRGSEGNTDRPEEKITDQRRKSQGTNRQKDEEEEAQNRRRENRTNNTRIPGDKYRERTGDPRK >Potri.002G113300.1.v4.1 pep chromosome:Pop_tri_v4:2:8562424:8568771:1 gene:Potri.002G113300.v4.1 transcript:Potri.002G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:KNOX MEDYNQMSENTTPRGNFLYASQLLAPNSSPYGRTSSGSTVSNQQTQMPLNPFHLQSNDQCFQSDTHPIVKTEASTSHAQKFHYPLLRGYQTINQQQQGSESSSEVEAIKAKIIAHPQYSNLMEAYMDCQKVGAPPEVVARLAAARQEFESRQRSFITSRDNSKDPELDQFMEAYYDMLVKYREELTRPIQEAMDFMRRIETQLNMICHGPLRIFNSDDKSEGVGSSEDDQDNSGGETELPEIDPRAEDRELKNHLLRKYSGYLGSLKQELSKKKKKGKLPKEARQKLLSWWELHYKWPYPSETEKVALAETTGLDQKQINNWFINQRKRHWKPSEDMQFMVMDGLHPQNAALYMDGHYMGDGHYRLGP >Potri.001G465600.1.v4.1 pep chromosome:Pop_tri_v4:1:49078521:49083788:-1 gene:Potri.001G465600.v4.1 transcript:Potri.001G465600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G465600.v4.1 MAICRLRASLSLLKTKSPFFHYVPLHGFSSSQLSSQFESVGFIGLGNMGSRMANNLIKNGYKVAVHDINCNVMKMFSDMGVPTKETPFEVAEASDVVITMLPSSSHVLDVYTGPNGLLRCANLMRPQLLIDSSTIDPQTSRKVSVAVSNCILKEKKDHWEKPVMLDAPVSGGVLAAEAGTLTFMVGGSEDAYLAAKPLFLSMGKNAIYCGGAGTGSAAKICNNLALAVSMLGVSEAFVLGQSLGISAGTLTKVLNTSSARCWSSDSYNPVPGVMEGVPASRNYAGGFASKLMAKDLNLAATSAKEVGLQCPLTYQAQEIYTELCKDGHESKDFSCAFRHYYSGQDEL >Potri.001G408800.1.v4.1 pep chromosome:Pop_tri_v4:1:43649958:43659982:-1 gene:Potri.001G408800.v4.1 transcript:Potri.001G408800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408800.v4.1 MDLLRSNLSRVRIPEPTNRIYKQECCVSFETPRSEGGLFVDMTTFLAFGKDYVGWNYEKTGNPVYLHIKQTRKVVPEDRPLKKPTLLAIGVEGGFDNNEPEHEENHNIVILPDYVTLPFPSVELPEKVRLAVDAIIMAEGAERKEQLAAWIAADKKQVSAYAMSLPQIDNGVVIPPSRWKCAKCDKKDNLWLNLTDGMILCGRKNWDGTGGNNHAIEHYKETSYPLAVKLGTITADLEAADVFSYPEDDSVVDPLLAQHLAFFGIDFSALQKTEMTTAERELDQNTNYDWNRIQESGQDVEPIFGPGYTGLVNLGNSCYMAATMQVVFSTRSFNSRFYINQSLKMAFEMAPADPTVDLNMQLTKLAHGMLSGKYSVPALENDDKANAVTSTSNKQEGIPPRMFKAVIAASHPEFSSMRQQDALEFFLHFLDQVERVNGGKPALDPSRSFKFGIEERISCPSGKVGFNRRLDYILSLNIPLHEATNKEELEAFGKLKAEKTSEGKDLSSDEIVRPRVPLEACLANFSAPEEIQDYYSTALKAKTTALKTAGLTSFPDYLVLHMRKFVLEEGWVPKKLDVYIDVPDIIDISYMRSKGLQPGEELLPDDVPEAEVESNMPLANEDIVSQLVSMGFNYLHCQKAAINSSNAGVEEAMNWLLSHMDDPDIDAPVSQGAHGNEVVDQLKVDTLLSFGFQEEIARKALKASGGDIEKATDWIFNNPDASVSSDMDTSTSSSKPTPDDTELPDGGGKYKLFGIVSHMGTSTHCGHYVAHILKDGRWAIFNDSKVAVSVNLPKDMGYLYFFERLDS >Potri.011G084400.1.v4.1 pep chromosome:Pop_tri_v4:11:9425112:9436333:-1 gene:Potri.011G084400.v4.1 transcript:Potri.011G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G084400.v4.1 MSLPTKRSATATTSTAGTSTSNTYPPMKKAKCQAASACSPLDYNKNGLHHSDDVVFDPSSMSLDDDPKLVDYRPPPAAANLSRKKATLPQPAKKLVIKLVKAKPTLPTNFEEDTWAKLQSAIKAIFLKQPALCDLEKLYQAVNDLCLHKMGGNLYLRIEKECEAHISAALQSLVGQSPDLEVFLKLVATCWKDLCDQMLMIRGIALYLDRTYVKQTPNVRSLWDMGLQLFRKHLSLSPEVEHKTVTGILRMIERERLGESADRSLLDHLLKMFTSLGIYAESFERPFLECTSEFYAAEGMKYMQQSDVPDYLKHVESRLNEEQDRCNIYIDASTKKPLIATAETQLLERHISAILDKGFMMLMDGHRIKDLQTMYSLFLRVNALESLRQALSMYIRRTGQGIVMDEEKDKDMVSSLLEFKASLDSIWEESFSKNEGFCITIKDAFEHLINLRQNRPAELIAKFLDEKLRAGNKGTSEEELEGTLDKVLVLFRFIQGKDVFEAFYKKDLAKRLLLGKSASIDAEKSMISKLKTECGSQFTNKLEGMFKDIELSKEINESFRQSSQARTKLPSGIEMSVHVLTTGYWPTYPPMDVRLPHELNVYQDIFKEFYLSKYSGRRLMWQNSLGHCVLKAEFPKGKKELAVSLFQTVVLMLFNDAQKLSFQDIKDSTGIEDKELRRTLQSLACGKVRVLQKLPKGRDVEEDDSFVFNEGFTAPLYRIKVNAIQMKETVEENTSTTERVFQDRQYQVDAAIVRIMKTRKVLSHTLLITELFQQLKFPIKPADLKKRIESLIDREYLERDKNNPQIYNYLA >Potri.013G031300.2.v4.1 pep chromosome:Pop_tri_v4:13:2026994:2029383:-1 gene:Potri.013G031300.v4.1 transcript:Potri.013G031300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031300.v4.1 MAASTGSFISMQSRPGMAASRISGLKPVSLSNQGRNTLSFGLRSMPARRLRVSCAAKPETIDKVCEIVKKQLALSDEIPVTGESKFTTLGADSLDTVEIVMGLEEAFGISVEEESAQSIATVQDAADLIEKLVEKKD >Potri.013G031300.1.v4.1 pep chromosome:Pop_tri_v4:13:2026989:2029384:-1 gene:Potri.013G031300.v4.1 transcript:Potri.013G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G031300.v4.1 MAASTGSFISMQSRPGMAASRISGLKPVSLSNQGRNTLSFGLRSMPARRLRVSCAQAKPETIDKVCEIVKKQLALSDEIPVTGESKFTTLGADSLDTVEIVMGLEEAFGISVEEESAQSIATVQDAADLIEKLVEKKD >Potri.009G027800.4.v4.1 pep chromosome:Pop_tri_v4:9:3914560:3917877:1 gene:Potri.009G027800.v4.1 transcript:Potri.009G027800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027800.v4.1 MSKGTDGDPAFGNPSRLESKRSHQWFIDDAEPELFPSKKQAVQTPNSTMTSGISSANAPSWDNTPGFQSVPNQFIHRLFGAETARSVNFAERNLYPSGTGDSNASVSLSISHAMGDPEACVNYGGFRKVKVNQVKDSDSGMHVPKGHGFAIESDSNNSTVQGFNRESESSFISMGQAFDEDNNVTVMGHTYNKEDAHIGSMSSTYIKVDDSAIPISDTYRKEDTNLLSFGGFDDAHDIIPVCRPINNYDHPYDQSSVKTREAVDQKELGAKAVASNTRATKSKSEPVSKNRQELKTTRKEAPNSFPSNVRSLISTGMLDGVPVKYISLSRKELRGIIKGSGYLCGCQSCNYSKVLNAYEFERHAGCKTKHPNNHICFENGKTIYQIVQELRNTPESMLFDAIQTVFGAPINQKSFRIWKESFKAATRELQRIYGKEELML >Potri.009G027800.5.v4.1 pep chromosome:Pop_tri_v4:9:3914641:3918124:1 gene:Potri.009G027800.v4.1 transcript:Potri.009G027800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027800.v4.1 MQNKGFWMSKGTDGDPAFGNPSRLESKRSHQWFIDDAEPELFPSKKQAVQTPNSTMTSGISSANAPSWDNTPGFQSVPNQFIHRLFGAETARSVNFAERNLYPSGTGDSNASVSLSISHAMGDPEACVNYGGFRKVKVNQVKDSDSGMHVPKGHGFAIESDSNNSTVQGFNRESESSFISMGQAFDEDNNVTVMGHTYNKEDAHIGSMSSTYIKVDDSAIPISDTYRKEDTNLLSFGGFDDAHDIIPVCRPINNYDHPYDQSSVKTREAVDQKELGAKAVASNTRATKSKSEPVSKNRQELKTTRKEAPNSFPSNVRSLISTGMLDGVPVKYISLSRKELRGIIKGSGYLCGCQSCNYSKVLNAYEFERHAGCKTKHPNNHICFENGKTIYQIVQELRNTPESMLFDAIQTVFGAPINQKSFRIWKESFKAATRELQRIYGKEELML >Potri.009G027800.6.v4.1 pep chromosome:Pop_tri_v4:9:3914526:3917858:1 gene:Potri.009G027800.v4.1 transcript:Potri.009G027800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027800.v4.1 MNKGFWMSKGTDGDPAFGNPSRLESKRSHQWFIDDAEPELFPSKKQAVQTPNSTMTSGISSANAPSWDNTPGFQSVPNQFIHRLFGAETARSVNFAERNLYPSGTGDSNASVSLSISHAMGDPEACVNYGGFRKVKVNQVKDSDSGMHVPKGHGFAIESDSNNSTVQGFNRESESSFISMGQAFDEDNNVTVMGHTYNKEDAHIGSMSSTYIKVDDSAIPISDTYRKEDTNLLSFGGFDDAHDIIPVCRPINNYDHPYDQSSVKTREAVDQKELGAKAVASNTRATKSKSEPVSKNRQELKTTRKEAPNSFPSNVRSLISTGMLDGVPVKYISLSRKELRGIIKGSGYLCGCQSCNYSKVLNAYEFERHAGCKTKHPNNHICFENGKTIYQIVQELRNTPESMLFDAIQTVFGAPINQKSFRIWKESFKAATRELQRIYGKEELML >Potri.011G050600.3.v4.1 pep chromosome:Pop_tri_v4:11:4050715:4053686:-1 gene:Potri.011G050600.v4.1 transcript:Potri.011G050600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050600.v4.1 MKTLIFINPINCAAPQSPPSIFLFHFHSLSRENKKSLLRISHTKNPLFTHKPRHFSPIKASASDTYNGWHDLGLIGGDSVNSGESTQLRNFLVSIGIDDKKHVFMFILGIFCALAISRVRVSSIVVFPASVLVFAVGFSFGFVRGGSFNEFNVNGIKRKAKEEFFRVYSERLRSLVGFFDGFDVKASDLKNDIQRAIDSKEIKLGDLENYVNVIQSIKASALNARNIVQANIVNSGNVNGVLVENQKSSSSMKGKEIGEVGFEFLQFVGGLFGEKAVSSKSNKVKEKEKEIAKQGTAKGVENDRAQGNNSTPVVEEEVLNAVDNEKANRDFLFSQGSMNKSALNLDSQRTRIVSENGKMNLGDVGGDRKRLVNNEEYRYQNNRLQFMGNHGVYWKMDQNNETETWKSQDNLFDSVDFGVSLEQMETETNFVQKQMYRKSSRAYRSSHTWKMSEDESYRSQLKEGWVDDDLHLGDHQSVPDSEVVSSSSSSVVSDDVVFDRHLTEANNLLKQAKEFLRGRSDEEHVEIILHKSAKLLSKAIAMKPMSLLAVGQLGNTYLLHGELKLKISRELRTLLSRRDPFYANDHGGMLKGLDDQVIKKDKIASVLVNVCEECEELLVEAGRKYRLALSIDGNDVRALYNWGLALSFRAQLIADIGPVSVHWHLDIVIILLLILYVLLRLLGLALLVQVSM >Potri.011G050600.1.v4.1 pep chromosome:Pop_tri_v4:11:4049686:4053773:-1 gene:Potri.011G050600.v4.1 transcript:Potri.011G050600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G050600.v4.1 MKTLIFINPINCAAPQSPPSIFLFHFHSLSRENKKSLLRISHTKNPLFTHKPRHFSPIKASASDTYNGWHDLGLIGGDSVNSGESTQLRNFLVSIGIDDKKHVFMFILGIFCALAISRVRVSSIVVFPASVLVFAVGFSFGFVRGGSFNEFNVNGIKRKAKEEFFRVYSERLRSLVGFFDGFDVKASDLKNDIQRAIDSKEIKLGDLENYVNVIQSIKASALNARNIVQANIVNSGNVNGVLVENQKSSSSMKGKEIGEVGFEFLQFVGGLFGEKAVSSKSNKVKEKEKEIAKQGTAKGVENDRAQGNNSTPVVEEEVLNAVDNEKANRDFLFSQGSMNKSALNLDSQRTRIVSENGKMNLGDVGGDRKRLVNNEEYRYQNNRLQFMGNHGVYWKMDQNNETETWKSQDNLFDSVDFGVSLEQMETETNFVQKQMYRKSSRAYRSSHTWKMSEDESYRSQLKEGWVDDDLHLGDHQSVPDSEVVSSSSSSVVSDDVVFDRHLTEANNLLKQAKEFLRGRSDEEHVEIILHKSAKLLSKAIAMKPMSLLAVGQLGNTYLLHGELKLKISRELRTLLSRRDPFYANDHGGMLKGLDDQVIKKDKIASVLVNVCEECEELLVEAGRKYRLALSIDGNDVRALYNWGLALSFRAQLIADIGPEAAYDAEKVFLAAIDKFDAMMSKGNVYAPDALYRWGVVLQQRSRLRPTNSREKVKLLQQARRLYEDALHMDSNNLQVREALLSCTSELNHRLL >Potri.009G048300.1.v4.1 pep chromosome:Pop_tri_v4:9:5401520:5402781:-1 gene:Potri.009G048300.v4.1 transcript:Potri.009G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G048300.v4.1 MKQKIVIKVTGNGPKSRTKALRIAVGLSGVESARLGGEDKSQIEVVGDGVDAVQLTNLLRKKVGYAELASVEAVGEKKEEKKEEPAVQPVVWPVFGGGMPQTYIYPIHPHQDPSCSIM >Potri.010G011900.6.v4.1 pep chromosome:Pop_tri_v4:10:2202684:2212097:1 gene:Potri.010G011900.v4.1 transcript:Potri.010G011900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011900.v4.1 MEGSRTKGRTTIEVGADGVALITIINPPVNSLSFDVLYSLKDSFEQALRRDDVKAIVITGAKGKFSGGFDISSFGGVQGGKSNEPKPGFISVEILSDTVEAAKKPSVAAIDGLALGGGLEVAMACHARISTSTAQLGLPELQLGLIPGFGGTQRLPRLVGISKALEMMLTSKPVKGEEAHALGLVDAVVSPNELVSTARQWALDILERRRPWIASLYKTEKLDSLGEAREIFKFAREQVRKRAPNLTHPIVCIDVIEHGIVSGPRDGLYKELESFHELVRSDTCKSLIHIFFAQRGTTKVPGITDLGLVPRRVKKVAVLGGGLMGSGIATALVLSNYPVILKEVNNQFLQAGIGRVRANLQSRVKKGRMTQEKFEKTMSLLKGALDYESFKDVDMVIEAVIENVSLKQQIFSDLEKYCPPHCILASNTSTIDLNLIGKQTKSQDRIIGAHFFSPAHVMPLLEIVRTKQTSPQVIVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAALLLVEHGADLYQIDKVITKFGMPMGPFRLVDLVGFGVAIATGTQFVVNFPERTYKSMLIPLMQEDKRAGETTRKGFYLYDDRRKAKPDPELRKYIEKARNISGVANDPKLAKLPEKDIVEMIFFPVVNEACRVFAEGIAVKAADLDISSLMGMGFPPYRGGIMFWADSLGSKYIYSRLEEWSKTYGEFFKPCAFLAERAAKGAPLSSPVDQAKSRL >Potri.010G011900.4.v4.1 pep chromosome:Pop_tri_v4:10:2202612:2212082:1 gene:Potri.010G011900.v4.1 transcript:Potri.010G011900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G011900.v4.1 MEGSRTKGRTTIEVGADGVALITIINPPVNSLSFDVLYSLKDSFEQALRRDDVKAIVITGAKGKFSGGFDISSFGGVQGGKSNEPKPGFISVEILSDTVEAAKKPSVAAIDGLALGGGLEVAMACHARISTSTAQLGLPELQLGLIPGFGGTQRLPRLVGISKALEMMLTSKPVKGEEAHALGLVDAVVSPNELVSTARQWALDILERRRPWIASLYKTEKLDSLGEAREIFKFAREQVRKRAPNLTHPIVCIDVIEHGIVSGPRDGLYKELESFHELVRSDTCKSLIHIFFAQRGTTKVPGITDLGLVPRRVKKVAVLGGGLMGSGIATALVLSNYPVILKEVNNQFLQAGIGRVRANLQSRVKKGRMTQEKFEKTMSLLKGALDYESFKDVDMVIEAVIENVSLKQQIFSDLEKYCPPHCILASNTSTIDLNLIGKQTKSQDRIIGAHFFSPAHVMPLLEIVRTKQTSPQVIVDLLDVGKKIRKTPVVVGNCTGFAVNRMFFPYTQAALLLVEHGADLYQIDKVITKFGMPMGPFRLVDLVGFGVAIATGTQFVVNFPERTYKSMLIPLMQEDKRAGETTRKGFYLYDDRRKAKPDPELRKYIEKARNISGVANDPKLAKLPEKDIVEMIFFPVVNEACRVFAEGIAVKAADLDISSLMGMGFPPYRGGIMFWADSLGSKYIYSRLEEWSKTYGEFFKPCAFLAERAAKGAPLSSPVDQAKSRL >Potri.001G064900.1.v4.1 pep chromosome:Pop_tri_v4:1:6098718:6103488:-1 gene:Potri.001G064900.v4.1 transcript:Potri.001G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G064900.v4.1 MGLFLQVLTVLVITVSLQGWQPLGCLEEERIALLHLKDSLNYPNGTSLPSWIKADAHCCDWESIVCNSSTGRVTRLYLDSVRNQELGDWYLNASLFLPFQQLYALHLWNNRIAGLVENRGGYELSRLSNLEILDLGSNRFDNNILSSMKGLSSLKLLYLDYNRLEGLIDLEESLSSLETLYLGGNNINKLIASRGSSNLSTLYLENITTYGSSFQLLQSLKVFPNLTTLYLRYNDFRGRILGDELQNLNSLEELDLGYCSLDEHSLQSLGALLSLKQLSLQNLNGTVPFIGSFPNLVALHLEGNDFRGRILGFRDLKNLEYLDLSYNTLNNSIFQAIGTMTSLKTLRLQSCNLNGQLPTTQGLCDLNHLQELDMSDNDFNGVLPSCLTNLTSLQQLSLSSNHLKIPLSLSPLYNLSKLKSFYGSGNEIFSEEDDHNLSPKFQLESLYLSGIGQGGAFPKFLYHQFSLQSLDLTNIQKKGEFPNWLIENNTYLKELYLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQVLDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPPRFGTSLNLRYVYLSRNKLQGPIAMAFYDSSKIFALDLSHNNFTGTIPEWIDRLSNLRFLLLSYNNLEGEIPIQLSRLDLLILIDLSHNHLSGNILSWMISTHNFPVESTYFDLLAISHQSFEFTTKNVSLSYRGDIIWYFKGIDFSCNNFTGEIPPEIGNLSMIKVLNLSHNNLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGNTSARVAQFATFEESCYKDNPFLCGEPLPKICGADMSPSPTPTSTNNKDNGGFMDIEVFYVTFWVAYIMVLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.001G064900.2.v4.1 pep chromosome:Pop_tri_v4:1:6098718:6103593:-1 gene:Potri.001G064900.v4.1 transcript:Potri.001G064900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G064900.v4.1 MGLFLQVLTVLVITVSLQGWQPLGCLEEERIALLHLKDSLNYPNGTSLPSWIKADAHCCDWESIVCNSSTGRVTRLYLDSVRNQELGDWYLNASLFLPFQQLYALHLWNNRIAGLVENRGGYELSRLSNLEILDLGSNRFDNNILSSMKGLSSLKLLYLDYNRLEGLIDLEESLSSLETLYLGGNNINKLIASRGSSNLSTLYLENITTYGSSFQLLQSLKVFPNLTTLYLRYNDFRGRILGDELQNLNSLEELDLGYCSLDEHSLQSLGALLSLKQLSLQNLNGTVPFIGSFPNLVALHLEGNDFRGRILGLQNLSSLEELYLDGCSLDEHSLQNLGVLSYLKYLLLQELNGIVPFGGFRDLKNLEYLDLSYNTLNNSIFQAIGTMTSLKTLRLQSCNLNGQLPTTQGLCDLNHLQELDMSDNDFNGVLPSCLTNLTSLQQLSLSSNHLKIPLSLSPLYNLSKLKSFYGSGNEIFSEEDDHNLSPKFQLESLYLSGIGQGGAFPKFLYHQFSLQSLDLTNIQKKGEFPNWLIENNTYLKELYLENCSLSGPFLLPKNSHVNLSFLSISMNHFQGQIPSEIGARLPGLEVLFMSDNGFNGSIPFSLGNISSLQVLDLSNNSLQGQIPGWIGNMSSLEFLDLSGNNFSGRLPPRFGTSLNLRYVYLSRNKLQGPIAMAFYDSSKIFALDLSHNNFTGTIPEWIDRLSNLRFLLLSYNNLEGEIPIQLSRLDLLILIDLSHNHLSGNILSWMISTHNFPVESTYFDLLAISHQSFEFTTKNVSLSYRGDIIWYFKGIDFSCNNFTGEIPPEIGNLSMIKVLNLSHNNLTGPIPPTFSNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGNTSARVAQFATFEESCYKDNPFLCGEPLPKICGADMSPSPTPTSTNNKDNGGFMDIEVFYVTFWVAYIMVLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.007G134200.1.v4.1 pep chromosome:Pop_tri_v4:7:14682480:14688095:1 gene:Potri.007G134200.v4.1 transcript:Potri.007G134200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134200.v4.1 MKNSDNNSSLDSRINQTLKNVQGLLKGRSIPGKVLLTRRADPPEDPSLRSSTFGRSSSENDAGTSDQMDMSKEAEAQGTSKQLNNNMTTNKLKPTNSNVEITSKEVQKSVMGARATDSARVMKFTKELSGSTVTLEKLRELAWSGVPPYMRPNIWRLLLGYASPNSDRREGVLRRKRLEYLDCVAQFYDIPDTERSDDEINMLRQISVDCPRTVPDVIFFQQEQVQKSLERILYTWAIRHPASGYVQGINDLATPFLVVFLSEHLEGDIHKWSISDLSPDKISNVEADCYWCLSKLLDGMQDHYTFAQPGIQRLVFKLKELVNRIDEPVSRHMEEQGLEFLQFAFRWFNCLLIREIPFNLVTRLWDTYLAEGDALPDFLVYIFASFLLTWSEELQKLDFQELVMFLQHLPTQNWTHQELEMVLSRAFMWHSMFNNSPSHLAS >Potri.010G150700.1.v4.1 pep chromosome:Pop_tri_v4:10:16086480:16087402:1 gene:Potri.010G150700.v4.1 transcript:Potri.010G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150700.v4.1 MASKKSAIVLPGSKVLKHIVFVRFNDGITDEQIEKFIKDYAHVADAIEPVKGFGWGKNYPVQQLNHDYLYGFELSFDSQDAFNEYLQSPALTEFHAKFLPACASRMIMDYYLF >Potri.001G129200.2.v4.1 pep chromosome:Pop_tri_v4:1:10579179:10581079:-1 gene:Potri.001G129200.v4.1 transcript:Potri.001G129200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129200.v4.1 MKRRGNHVNPSPRGSRDIDAQTKGGGDDFRSISALPIQNLVDILSRLPFKTIFSCMCVCKKWKDLILAPEFAKLHHTRAPASLILRSHRHGRRRRPRSLCLLDLEASDICSPDAAVKLSPDFGFPTGNFEIVNTCNGLICIRHFHSPNDISILNPLVGDYITLPLTKKKHKSYVFSWFGHSPKTDEYKVIQFVQQPSKLEAEIHTLGKGTWTSIHGGALSPPIDMRCHNAFVGGCLHWIVNDPSKSEFIYCLEFGRELFQPVAAPPHLGPNDKDRTGDMMLGVLGGCLYFFDYPLGDSFDIWVMKQYGVQESWTKEFILKNPSTDIIWYWDLYRPISLLSNGEILMSHKSKAMVSYNPEDRSFRFLKIYGIQNFEANPYVPSFLSPREIVKGENTGAANIHSR >Potri.017G136266.2.v4.1 pep chromosome:Pop_tri_v4:17:13766434:13769382:-1 gene:Potri.017G136266.v4.1 transcript:Potri.017G136266.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136266.v4.1 MAHKLKNVREKLDAIAKERQNFHLTEGAVEMEADSFFQRQTWSLVNESEIYGRGKEKEELINVLLPTSGDLPIHAIRGMGGMGKTTLVQLVFNEESVKQQFSLRIWVCVSTDFDLRRLTRAIIESIDGASCDLQELDPLQRCLQQKLTGKKFLLVLDDVWEDYTDWWSQLKEVLRCGSKGSAVIVTTRIEIVALRMATAFVKHMGRLSEEDSWHLFQRLAFGMRRKEERAHLEAIGVSIVKKCGGVPLAIKALGNLMRLKDNEDQWIAVKESEIWDLREEASKILPALRLSYTNLSPHLKQCFTYCAIFPKDHVMRREELVALWMANGFISCRREMDLHVMGIEIFNELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAVQECYMSTEGDGKLEIPKTVRHVAFYNKSGASSSEVLKVLSLRSLLLRNDALRNEWGKFLSRKHRALRLINVRVENFPKSICDLKHLRYLDVSGSEFKTLPESITSLQNLQTLDLRYCRELIQLPKGMKHMKSLVYLDITYCCSLQFMPAGMGQLICLRKLTLFIVGGENGRGISELEWLNNLAGELSIADLVNVKNLEDAKSANLKLKTALLSLTLSWHGNGSYLFNPWSFVPPQQRKSVIQVNNEEVLAGLQPHSNLKKLKIFGYGGSRFPNWMMNLNMTLPNLVEMELSAFPNCEQLPPLGQLQLLKSLKVWGMDGVKSIDSNVYGDGQNPSPVVHSTFPRLQELKIFSCPLLNEIPIIPSLKKLDIWGGNASSLISVRNLSSITSLIIEQIPKVRELPDGILQNHTLLESLVIGGMRDLESLSNRVLDNLSALKSLTIGGCDELESLPEEGLRNLNSLEVLEIIKCGRLNCLPMNGLCGLSSLRKLSVVGCDKFTSLSEGVQHLTVLEDLELVNCPELNSLPESIQHLTSLRSLFIEGCPNLKKRCEKDLGEDWPKIAHIPKINIQ >Potri.017G136266.1.v4.1 pep chromosome:Pop_tri_v4:17:13753559:13769930:-1 gene:Potri.017G136266.v4.1 transcript:Potri.017G136266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136266.v4.1 MGNLNSPILQELGLAGGLTTELENLKRTFRTIQAVLQDAEEKQWKSEPIKVWLSDLKDAAYVVDDVLDDFAIEAKWLLQRRDLQNRVRSFFSSKHNPLVFRQRMAHKLKNVREKLDAIAKERQNFHLTEGAVEMEADSFFQRQTWSLVNESEIYGRGKEKEELINVLLPTSGDLPIHAIRGMGGMGKTTLVQLVFNEESVKQQFSLRIWVCVSTDFDLRRLTRAIIESIDGASCDLQELDPLQRCLQQKLTGKKFLLVLDDVWEDYTDWWSQLKEVLRCGSKGSAVIVTTRIEIVALRMATAFVKHMGRLSEEDSWHLFQRLAFGMRRKEERAHLEAIGVSIVKKCGGVPLAIKALGNLMRLKDNEDQWIAVKESEIWDLREEASKILPALRLSYTNLSPHLKQCFTYCAIFPKDHVMRREELVALWMANGFISCRREMDLHVMGIEIFNELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAVQECYMSTEGDGKLEIPKTVRHVAFYNKSGASSSEVLKVLSLRSLLLRNDALRNEWGKFLSRKHRALRLINVRVENFPKSICDLKHLRYLDVSGSEFKTLPESITSLQNLQTLDLRYCRELIQLPKGMKHMKSLVYLDITGCHSLRFMPYGMGQLICLRKLTLFIVGKEDGRFIGELERLNNLAGELSITDLDNVKNSTDARTANLKLKAALLSLTLSWQVNGAFIMRSLPNNEQEVLEGLQPHSNLKKLRLVGYGGSKFPNNWMMNLNLMLPNLVEMELKACHNCEQLPPFGKLQFLKNLKLHAMDGVKCIDNNVYGDEEDPFPSLETLTFDSMERLEQWAACTFPRLRELNIVDCPVLNEIPTIPSIKKLDIQGGNVSLLMSVRNLVSITSLHISWIPNVRELPDGLLQNHTLLEDLRIFYLQNLQSLSNKVLDNLSALKSLSIQWCDELESLPEEGLRNLTSLEVLHIADCGRLNSLPMNGLCGLSSLRRFLIQGCNQFASLTEGVRHLTALEYLGLYRCPELNSLPDSIQHLTSLLSLVIYDCPNLEKRCEKERGKDWPKIAHIPDIEIN >Potri.014G146600.5.v4.1 pep chromosome:Pop_tri_v4:14:10043582:10045813:-1 gene:Potri.014G146600.v4.1 transcript:Potri.014G146600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146600.v4.1 MMKISIWIILALALVNLALVVNGDDSEKTIVKTVKGKKLCKRGWECLDWSEYCCNETISDIFQPYQFENLFSNRNSPVAHAVGFWDYRSFILASTSFQHLGFCTTGGKATQMKELAAFLAHVGCKTSCGYGVATGGPLAWGLCYNKEMSPSQTYCDDFYKYTYPCTPGAEYYGRGAIPIFWNYNYGAAGEALKEDLLSHPEYIEQNATLAFKAAMWRWYTPIKKSQPSAHEAFLGKWKPTKNDTLAKRVPGFGTTMNVLYGDQVCGQGDIDAMNNFISHYLYYLDLLGLNREDAGPHEYLTCAEQVAFNPSTSSPSASS >Potri.014G146600.1.v4.1 pep chromosome:Pop_tri_v4:14:10043486:10045928:-1 gene:Potri.014G146600.v4.1 transcript:Potri.014G146600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G146600.v4.1 MMKISIWIILALALVNLALVVNGDDSEKTIVKTVKGKKLCKRGWECLDWSEYCCNETISDIFQPYQFENLFSNRNSPVAHAVGFWDYRSFILASTSFQHLGFCTTGGKATQMKELAAFLAHVGCKTSCGYGVATGGPLAWGLCYNKEMSPSQTYCDDFYKYTYPCTPGAEYYGRGAIPIFWNYNYGAAGEALKEDLLSHPEYIEQNATLAFKAAMWRWYTPIKKSQPSAHEAFLGKWKPTKNDTLAKRVPGFGTTMNVLYGDQVCGQGDIDAMNNFISHYLYYLDLLGLNREDAGPHEYLTCAEQVAFNPSTSSPSASS >Potri.007G108500.1.v4.1 pep chromosome:Pop_tri_v4:7:13081390:13083829:-1 gene:Potri.007G108500.v4.1 transcript:Potri.007G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108500.v4.1 MSSTAGQVICCKAAVAWEAGKPLVIEEVEVAPPQAMEVRLKILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGGIVESVGEGVTDLKPGDHVLPVFTGECKECRHCKSEESNMCNLLRINTDRGVMLNDGKSRFSIRGQPIYHFVGTSTFSEYTVVHVGCVAKINPAAPLDKVCVLSCGISTGLGSTLNVAKPKKGSSIAIFGLGAVGLAAAEGARIAGASRIIGVDLNSNRFDEAKKFGVTEFVNPKDHNKPVQEVIAEMTNGGVDRSVECTGSVNAMISAFECVHDGWGVAVLVGVPNKDDSFKTHPMNVLNERTLKGTFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVPFSEINKAFEYMLSGAGLRCIIRMGA >Potri.007G004400.1.v4.1 pep chromosome:Pop_tri_v4:7:310180:312754:-1 gene:Potri.007G004400.v4.1 transcript:Potri.007G004400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004400.v4.1 MPHHFYLSFLLPLLLLPSANSVYFQISQFNDTNMYYQGDAVPFGGHIEFNLVDYINRVGWATYPERVRLWDSSSGQLSDFTSHFSFTINTQGATNYGHGIAFFLAPVGFQIPPNSAGGFLGLFNTTTMQSPQSQIVSVEFDSFPNYGWDPKVGHVGINNNSISSALYTPWNASFHSGDTAEAWITYNSTDRNLSVFWEYQTTSDPRENSSLFYIIDLSKFLPEWVTVGFSAATGSNVEQQRLLSWEFNSSLNVKEMKGNKNSKKSKIKIDVIVPVLVLIAGATTALVILWRRKQMMTRKRAAEKMNVTSINEDLERGAGPRRFSYDDLVSATNNFSDQRKLGEGGFGAVYRGYLNDMDMEIAVKKISRSSRQGKKEYITEVKTISQLRHRNLVQLIGWCHDKDEFMVVYEFMSNGSLDSHLFGKKKVNPLSWVVRYKISLGLASALLYLHEEWERCVVHRDVKSSNIMLDSSFNLKLGDFGLARLMDHDKPGPTTTGLAGTFGYMAPEYISTRRAGKESDVYSFGVVALEIASGRKANDPVDQNPEMSLVEWIWDLYGCGHLSLAVDKRLDIKDFDDEKQAERLMIVGLWCAHPDRNLRPSIRQAIHVLNLEAAIPDLPPKMPVPVFHVPLPLITSSQPCSITNTSLEAGGR >Potri.001G300300.1.v4.1 pep chromosome:Pop_tri_v4:1:31118409:31120365:1 gene:Potri.001G300300.v4.1 transcript:Potri.001G300300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G300300.v4.1 MSTGGAKDGELQVVAAPEKTKPALPPLPLAARTGSTALVEYTAPVLKEEEEDLEVKLRRILENVPVRVSNTSGSSAGSGSGDFHQYRQMRRREQDRLARMDADYQRRKELAEFTMRREERMKAAEEQTEKKRLKRQRKKQRKKEKKMKPNAGGEECRREDDEDSDDDGDETGN >Potri.011G125801.2.v4.1 pep chromosome:Pop_tri_v4:11:16036557:16040291:-1 gene:Potri.011G125801.v4.1 transcript:Potri.011G125801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125801.v4.1 MMVPYGYPEIVLTEGSKVKCRSGAWNGILLSGLTQLKSTSKFTIEFLFNEKEMFLTYHFHSSSILSRAVVSPNGDFQEFVLNEKTQSWFLYDTGTTDNCDRYALCGTNGICSIDSSPVLCDCLDGFVPKTPRDWNVADWSNGCVRRTPLNCSGDGFQKLSGLKLPETKTSWFNTSMNLEECKKKCIKNCSCTAYSNLDIRNGGSGCLLWFGDLIDIRVIAVNEQDVYIRMAESELDNGDGAKINTKSNAKKRIIISTALFTGILFLGLALVLYIWKQQQKNRQSNNMRKKEDLELPFFDFGTLACATNNFSTDNKLGEGGFGPVYKGTLADGREIAVKRLSRNSRQGLDELKNEANYIVKLQHRNLVKLLGCCIEGDEKMLIYEFLPNKSLDVLIFEKTHSLQLDWPKRYKIINGIARGILYLHQDSRLRVIHRDLKASNILLDYELSPKISDFGLARSFGGNETEANTNKVAGTFGYISPEYANYGLYSLNSDVFSFGVLVLEIVSGKRNRGFCHPDHHLNLLGHAWKLFKENRSVELAAESFVITCNLSEVLRSIHVGLLCVQEDPEDRPNMSNVVLMLGNEDELPHPRQPGFFFERDLIEAAYSSSPSKPCSANECSISELEQR >Potri.011G125801.1.v4.1 pep chromosome:Pop_tri_v4:11:16036612:16040289:-1 gene:Potri.011G125801.v4.1 transcript:Potri.011G125801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125801.v4.1 MMVPYGYPEIVLTEGSKVKCRSGAWNGILLSGLTQLKSTSKFTIEFLFNEKEMFLTYHFHSSSILSRAVVSPNGDFQEFVLNEKTQSWFLYDTGTTDNCDRYALCGTNGICSIDSSPVLCDCLDGFVPKTPRDWNVADWSNGCVRRTPLNCSGDGFQKLSGLKLPETKTSWFNTSMNLEECKKKCIKNCSCTAYSNLDIRNGGSGCLLWFGDLIDIRVIAVNEQDVYIRMAESELDNGDGAKINTKSNAKKRIIISTALFTGILFLGLALVLYIWKQQQKNILRAGQSNNMRKKEDLELPFFDFGTLACATNNFSTDNKLGEGGFGPVYKGTLADGREIAVKRLSRNSRQGLDELKNEANYIVKLQHRNLVKLLGCCIEGDEKMLIYEFLPNKSLDVLIFEKTHSLQLDWPKRYKIINGIARGILYLHQDSRLRVIHRDLKASNILLDYELSPKISDFGLARSFGGNETEANTNKVAGTFGYISPEYANYGLYSLNSDVFSFGVLVLEIVSGKRNRGFCHPDHHLNLLGHAWKLFKENRSVELAAESFVITCNLSEVLRSIHVGLLCVQEDPEDRPNMSNVVLMLGNEDELPHPRQPGFFFERDLIEAAYSSSPSKPCSANECSISELEQR >Potri.011G024700.1.v4.1 pep chromosome:Pop_tri_v4:11:1827911:1829398:-1 gene:Potri.011G024700.v4.1 transcript:Potri.011G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024700.v4.1 MNCLNLTTLMSSKSCLSSTSLLSCHSSTNPFQLPRSSSRFSHVTPIHCGLRELRNRINSVKNTQKITEAMKLVSAAKVRRAQEAVVNGRPFSETLVEVLYYINEQLQTEDIDMPLTNIRPVKKVALVVITGDRGLCGGFNNNIIKIAEARIAVLKNQGVEYTVISVGKKGKSYFIRRPHIPVDRFLEGMGFPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSFVKFVPVIQTLLPLSPKGRICDMNGVSVDADGDEFFRLTTKEGKLAVERDVVRTETAELSPILQFEQDPVQILDALLPLYLNSQILKALQESLASELAARMCAMSNATDNAVELKKSLSIIYNRERQAKITGELLEIIAGADALT >Potri.005G260400.1.v4.1 pep chromosome:Pop_tri_v4:5:24968407:24975618:-1 gene:Potri.005G260400.v4.1 transcript:Potri.005G260400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G260400.v4.1 MVKETEYYDVLGVSPTATEAEIKKAYYIRARQVHPDKNPNDPLAAKNFQTLGEAYQVLSDPAQRQAYDAYGKSGISTEAIIEPAAIFAMLFGSELFVDYIGQLAMASMASLDIFTEGEQLDTKKLQEKMRVVQKEREEKLAEILKDRLNQYVQGNKEEFIKHAEAEVARLSNAAYGADMLNTIGYIYARQAAKELGKKAIYLGVPFIAEWFRNKGHSIKSQVTAATGAIALIQLQEDIKKQLSAEGNYTEEELEAYMQSHKKLMTDSLWKLNVADIEATLSRVCQMVLQDNSVKKEELRARAKGLKTLGKIFQSMKSVDGGEGEPVLGGSLHKLNGREPSFDACSPSTSPKSKSPEEASYSTLASQSPYVEAPQFNGAQFNYNFPRPTAPPGAQRHSSTGSN >Potri.005G239200.1.v4.1 pep chromosome:Pop_tri_v4:5:23651223:23652549:-1 gene:Potri.005G239200.v4.1 transcript:Potri.005G239200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239200.v4.1 MAAATMALSSPSLAGKAVKLNPSSSEIMGNGRVSMRKTTKPVPSGSPWYGPDRVKYLGPFSGEPPSYLTGEFPGDYGWDTAGLSADPETFAKNRELEVIHSRWAMLGALGCVFPELLSRNGVKFGEAVWFKAGAQIFSEGGLDYLGNPSLIHAQSILAIWATQVVLMGAVEGYRIAGGPLGEVTDPIYPGGSFDPLGLADDPEAFAELKVKELKNGRLAMFSMFGFFVQAIVTGKGPLENLADHLSDPVNNNAWAYATNFAPGK >Potri.014G193150.2.v4.1 pep chromosome:Pop_tri_v4:14:17063192:17063575:1 gene:Potri.014G193150.v4.1 transcript:Potri.014G193150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193150.v4.1 MLARRFISLFKGSQASQIPSGVKSLDEGKSKSFGRKAVSFVSVTVTGGVALSALDDLAIYHGCSRIGKLMPWRQCCFC >Potri.004G095150.1.v4.1 pep chromosome:Pop_tri_v4:4:8182547:8183149:-1 gene:Potri.004G095150.v4.1 transcript:Potri.004G095150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G095150.v4.1 MELAPSFFNGSWKRYWRRKRYQRLDGAITARKNTNVARFGGSPRRTWKIKAVPKLRILKNIASPTKLLRKLKNAYISMILSVAGNADGTHVFGDKRVPRGRQVKAAYPSEAFEKRLVYEIYKNLLATRELSTM >Potri.003G223501.1.v4.1 pep chromosome:Pop_tri_v4:3:21642978:21643448:-1 gene:Potri.003G223501.v4.1 transcript:Potri.003G223501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223501.v4.1 PFSTCFQKIAQYKAGIVPVQYRRVACRKSGGIRFTINGHSYFNLVVITNVGGAGDVVAVSIKGSKSNWQAMSRNWGQNWQSNTYLNNQALSFKVTTSDGHTMVSNNVAPSNWAFGRTYTGGSSN >Potri.005G076900.1.v4.1 pep chromosome:Pop_tri_v4:5:5196258:5197345:-1 gene:Potri.005G076900.v4.1 transcript:Potri.005G076900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G076900.v4.1 MSVTTLHLSLIPFLFLSLATIAFSDEDCVYTVYIRTGSIIKGGTDSIISVRLYDSYGKGLEVPDLERWGGLMEPGHNYFERGNLDIFSGRAPCLSSPVCALNLTSDGSGSGHGWYVNYVEVTTTGVHAACSQKQFTIEQWLALDTSPYELTAIRNYCDYPDVKKSAGASFM >Potri.001G309600.1.v4.1 pep chromosome:Pop_tri_v4:1:32005571:32006717:-1 gene:Potri.001G309600.v4.1 transcript:Potri.001G309600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G309600.v4.1 MSTSTSTTHQLFQGFLGDFYSRRLLLHTPLYQSSNTATPPAPGNTASSETYTSTDNSFDANVVMVLSVLLCALICSLGLNSIIRCALRCSNLVASESAANPSTQAANTGVKRKALKTFPIVKYSTDLNLPGLDTQCVICLSEFTPGEHVRLLPKCNHGFHVKCIDKWLSSHSSCPTCRHCLIETCKKIAGCSQASTSGPTSLPVQEAIVSILPLEPEGLISNYRG >Potri.002G205600.1.v4.1 pep chromosome:Pop_tri_v4:2:17081723:17086377:1 gene:Potri.002G205600.v4.1 transcript:Potri.002G205600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G205600.v4.1 MEEATAMEVEEPKSKKLGLKNSIQTNFGNDYVFQIVPKDDWSSMAVSLSTKAVKLYSPETGQFQGECKGHSDTINQISFSVSSSSSSPHVLHSCSSDGTIRAWDTRTFQQVSCISAGSYQEIFSFSFGGSNDNLLAAGAKSQILFWDWRNGKQVACLEESHTEDVTQVHFVPDNRNKLLSASVDGLMCIFNTDGDINDDDHLESVINVGTSIGKVGFFGETYKKLWCLTHIESLSIWDWKDARNEANLLEARSLASDSWTLDHVDYFVDCHYPGEGESLWVIGGTNAGALGYFPVNYRGVGAIGSPEAILGGGHTGVVRSVLPVSSKKGGLAQSQSIFGWTGGEDGRLCCWLSDDSTEINRSWISSALVMKPSKARKKKRHNPY >Potri.004G165450.1.v4.1 pep chromosome:Pop_tri_v4:4:18465571:18466127:-1 gene:Potri.004G165450.v4.1 transcript:Potri.004G165450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G165450.v4.1 MVAIRLPRILQAKQNLLRGSSPARDVRKGYIAVYVGEEEKKRFVIPVSHLNQPSFQELLSKAEEEFGFDHQMGGLTIPCREDIFIDLTSRLNAS >Potri.006G189100.2.v4.1 pep chromosome:Pop_tri_v4:6:19632452:19636181:-1 gene:Potri.006G189100.v4.1 transcript:Potri.006G189100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G189100.v4.1 MAGGSIGPAGVAKERAEQYQGRVTCSVIIACVIAAVGGSLFGYDIGISGGVTSMDGFLKKFFHGVYEKKQRAHENNYCKYNDQGLSAFTSSLYLAGLVSSLVASPITRIYGRRISIICGGSSFLIGAILNATSINLAMLLMGRIMLGVGIGFGNQAVPLYLSEMAPTHLRGALNMMFQLATTSGVFTANMVNYGTQKLKPWGWRLSLGLAAFPAILMTVGGIYLSETPNSLIERGMRDKGRKVLEKIRGTKNVDAEFDDMVDASELANSIKHPFRNILIKRNRPQLVMAILLPAFQILTGINSILFYAPVLFQSMGFGRNASLYSSAVTGAALCSSTFIAIATVDRLGRRFLLISGGIQMITCQVIVSIILGVKFGDNQKLSKVFSVLVVIVICLFVVAFGWSWGGLGWTIPSEIFPLETRSAGQSITVAVNLLFTFVIAQVFLSLLCAFKFGIFLFFASWILVMTIFVYFFLPETKGVPIEEMIFLWRKHWFWKKIVPGNPNDDTQYEEGKGVGPNSI >Potri.010G135400.2.v4.1 pep chromosome:Pop_tri_v4:10:15073941:15075818:-1 gene:Potri.010G135400.v4.1 transcript:Potri.010G135400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135400.v4.1 MASFTEINAITTSLILILLFTLPTSTSARQQCDSASTGGCHDEAKSLQLKLIAIFSILIASMIGMCLPLFSRAIPALMPDRDLFVVIKAFASGVILSTGYMHVLPDSFNDLMSDCLPINPWKKFPFTTFVVMLSALLTLMIDSFAMSYYKKHDHRGSKPLGPKLLPLGLKKHGFDRKGGGVDGEKVNNGVRGLGNVENGGAHVGHCDGFNGGANDKDSMLLRNRVVAQVMPLIAALCFHQLFEGMGLGGCILQIKAILVFFFSTTTPFGIVLGIGLSNVYSESSPTALIVVGLLNASSAGLLNYMALVDLLAADFMGPKLQDSMRLQAWSFVAVLLGAGGMSLMAKWA >Potri.006G260948.1.v4.1 pep chromosome:Pop_tri_v4:6:25706333:25706863:-1 gene:Potri.006G260948.v4.1 transcript:Potri.006G260948.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G260948.v4.1 MVSSGCKRSLSLRAKAIFLLFLILVSRATLVVAARPSNTMTKKPRFGASSTHFPQSNRPVQPSAPNPCSYIPGKGECKPPK >Potri.001G322500.1.v4.1 pep chromosome:Pop_tri_v4:1:33176907:33182184:-1 gene:Potri.001G322500.v4.1 transcript:Potri.001G322500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322500.v4.1 MTSRYWVVSLPVENSASSVWNRLQEQISKHSFDTPLYRFNIPNLRVGTLDLLLALSDDLVKSSNFIEGVSHKTRRQIEEFERVSGVESNALTVDGVPVDSYLTRFVWDEAKYPTMSPLKEIVDSIHSQVAKIEDDLKVRVAEYNNVRSQLNAINRKQSGSLAVRDLSDLVKTEDIIISEHLITLPAIVPKYSQKDWLASYETLTDYVVPRSSKKLHEDNEYALYTVTLFKRVADNFRTNAREKGFQIRDFEYSPEAQESRKQELERLVQDQESLRSSLLQWCYTSYGEVFSSWMHFCAVRVFAESILRYGLPPSFLACVLSPTTKSEKKVRSILEGFCDNSNSTHWKSEDEMGGGIFGLGGEADTYPYPSFTINLV >Potri.015G071500.1.v4.1 pep chromosome:Pop_tri_v4:15:9716991:9717969:1 gene:Potri.015G071500.v4.1 transcript:Potri.015G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071500.v4.1 MAVRSLLALMVFVFCLAEVSSDLKIDTGILHVGQLVVRGGNRRLMQDIDCGGLCKQRCSLHSRPNVCTRACGTCCVRCKCVPPGTSGNREVCGTCYTDMTTHGNKTKCP >Potri.005G038100.1.v4.1 pep chromosome:Pop_tri_v4:5:2455769:2461453:-1 gene:Potri.005G038100.v4.1 transcript:Potri.005G038100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G038100.v4.1 MGVAYSSLLSLSPCTATIHITGSSLFPYASKANPERVINSIFNHTNNICLANLQKPTKKFGSFSRFSHNSTCLGSKIVPLKCCGKVREMETQERILQKPLIDFHGIDEELVQKMVYDALVWSSLHGLVVGDKSVQRSGKVPGVGLVHAPFALLPMAFPESHWNLACEVAPIFNELIDRVSLDGKFLQDALSRTKKVDAFTSGLLDIHSKMLEINKKEEIRLGLHRSDYMLDEQTKFLLQIELNTISASFPGLGCRVSELHRSLLNYHGEHIGLDPRRIPGNTSADKFAEALAKAWTEYNRPRALVMVVVQPEERNMYDQHWLCAALKDRHNVTTIRKTLAEIDKEGEILPDGTLLVGGQEISVVYFRAGYAPTDYPSEAEWRARLLMEQSSAVKCPSISYHLAGTKKIQQELAKPKMLERFLENKEDISKLQKCFAGLWSLEDSDIIKKAIERPELFVMKPQREGGGNNFYGDDVRTNLVRLQKEGTQEDAAYILMQRIFPAVSPTFLVREGICHKDHAISELGVYGAYLRNKEKVIVNEQCGYLMRTKVSSSNEGGVAAGFAVLDSIYLS >Potri.019G043800.9.v4.1 pep chromosome:Pop_tri_v4:19:6077767:6085014:-1 gene:Potri.019G043800.v4.1 transcript:Potri.019G043800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043800.v4.1 MRRCSGSSKSQEKQLQHHHKHKHCCLSHLFLKAAAQNPPKVAVIHAAPSSSSSPAAASSGPQTQISRELITSTTPPIYEGDQCFTFANVFSSVDSLSSRLRSILDGADDPHLIKPQSPPGKGSNNPGKNQAETASAYNPKIVGIYMPPSVEYIISVFSILRCGEAFLPIDPSWPRDRVLSIVASANAALIITSRSSFGKGGNKDINEADWLVDRSGCRVLCFSMEDSECSGGPSELAWPCENEKERLFCYLMYTSGSTGKPKGVCGTEQGLLNRFWWMQELYPLHGEEALLFKTSISFIDHLQEFLSAMLTTCTLVIPPFHELKEYPFSLVNVLQAYSINRLTAVPSLMRAILPVLQRQHSMQIQTSLKLLVLSGEVFSLSLWDALSTLLPRTTILNLYGTTEVSGDCTYFDCKRLPAILETEALTSIPIGLPISNCDVALICESDTSNEGEIYVGGLCVSNGYYSESTVTSFISANPHMDNICNSSVDNWGCQAYYRTGDFAQRLQNGDLLFLGRTDRTVKINGQRIVLEEIENTLRGHPDVADAAVISREGPGELLFLDAILLFKEREKSEDFFVRSSIRKWMVDKVPLAMVPNRFVITESLPMSSTGKVDYALLARSKFLNLHVQDEIGNATSDLLQIIKKVCAEPALRFLTFQHLNIS >Potri.019G043800.1.v4.1 pep chromosome:Pop_tri_v4:19:6068538:6085014:-1 gene:Potri.019G043800.v4.1 transcript:Potri.019G043800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G043800.v4.1 MRRCSGSSKSQEKQLQHHHKHKHCCLSHLFLKAAAQNPPKVAVIHAAPSSSSSPAAASSGPQTQISRELITSTTPPIYEGDQCFTFANVFSSVDSLSSRLRSILDGADDPHLIKPQSPPGKGSNNPGKNQAETASAYNPKIVGIYMPPSVEYIISVFSILRCGEAFLPIDPSWPRDRVLSIVASANAALIITSRSSFGKGGNKDINEADWLVDRSGCRVLCFSMEDSECSGGPSELAWPCENEKERLFCYLMYTSGSTGKPKGVCGTEQGLLNRFWWMQELYPLHGEEALLFKTSISFIDHLQEFLSAMLTTCTLVIPPFHELKEYPFSLVNVLQAYSINRLTAVPSLMRAILPVLQRQHSMQIQTSLKLLVLSGEVFSLSLWDALSTLLPRTTILNLYGTTEVSGDCTYFDCKRLPAILETEALTSIPIGLPISNCDVALICESDTSNEGEIYVGGLCVSNGYYSESTVTSFISANPHMDNICNSSVDNWGCQAYYRTGDFAQRLQNGDLLFLGRTDRTVKINGQRIVLEEIENTLRGHPDVADAAVISREGPGELLFLDAILLFKEREKSEDFFVRSSIRKWMVDKVPLAMVPNRFVITESLPMSSTGKVDYALLARSKFLNLHVQDEIGNATSDLLQIIKKAFCDGLMVEEVSCDDDFFAMGGNSISAAHVSYNLGINMRLLYNFPTPSKLHAALLEKKESYCMEVRVDANSQLKPKKDSLVSDMAYSPNPTSPVVPGLKSMKQPSKNPHQNNDDHTVASKRFKEDLDINISSACVKPSDGQPLSSSISMLCSFSRCNTVIYDENCRSRKSHQINRLAKVPRNGKGSSMHELWKVYMESCVDASPLVVVKQQDVYLFIGSHSHKFVCVNALSGSIQWEVKLEGRIESSAAIVGDFSQVVVGCYSGKIYFLDFLDGSICWTFQTCGEVKCQPVVDIHRQLIWCGSHDHNLYALDYRNHCCIYKLSCDGSIYGSPAIDEVHNTLYVASTSGHVTAISIKALPFNTLWEHELKVPVFGSLSLCPSSGNVICCLVDGNIVVLDFCGSIIWRCGTGGPVFAGACISCVLPSQVLICSRNGRVYSFEMETGDLLWEYNVGDPITASAYVDEHLQLLSDPCLLSDRLVCVCTSSGRVHLLQINLDDSGKQNQPGLNIVQEFARLELPGDIFSSPVMIGGRIFVGCRDDYVHCISVEDLSSVYEGAGY >Potri.010G005200.1.v4.1 pep chromosome:Pop_tri_v4:10:480668:483414:1 gene:Potri.010G005200.v4.1 transcript:Potri.010G005200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005200.v4.1 MAANTSNVTAALPKPMKATSNGAFQHENPLDYALPLLILQICLVVAFTRTLAFLLKPLRQPRVIAEIIGGILLGPSALGRSERFLHTVFPPKSMTVLDTVANIGLLFFLFLVGLELDIRSIRRTGKKSLAIAGAGITLPFLLGIGTSFVLRSTISKGSAHAPFLVFMGVSLSITAFPVLARILAELKLLTTDVGRIAMSAAAVNDVVAWILLALAIALSGSNTSPLISLWVLLCGVGFVVFSVYVIRPLLELMARRSPDGEPVKEIYICITLSLVLASSFVTDSIGIHALFGAFVVGILVPKDSPFPGVLIEKIEDLVAGLFLPLYFASSGLKTNVATISGAQSWGLLVLVIATACFGKIIGTMFVSRMFFKVPFREAAALGFLMNTKGLVELIVLNIGKDRKVLNDQTFAVLVLMALFTTFITTPIVMAVYKPARRVAPYKNRTVKRKDLDTELRLLACFHSSRNIPTMINLVECSRGTRKRGRLCVYAMHLMELSERSSAISMVHKARKNGLPFWNKKRDDRDQMVIAFEAYQQLSSVTIRPMTAISALNTIYEDICTSAHQKRAAMILLPFHKHQRVDGSLESLGHSLQEVNQRVLRHSPCSAGILIDRGFGGTTQVSASDVSYKIAVPFFGGSDDMEALAYGIRMAEHPGIMLTVLKFVPASGKTLLTLEGHDTNVIRVENDKNSNSEADSEIFFSEFVQLAAKKLQDSVTHEERVVESKADVVAALKSMSKSNLFLVGRMPPIAPLLISTDTPELGPVGSFLASSNFSNTASVLVIQHYNPNVNLHPLVEEKENEDTDDGTDTPVLVEKY >Potri.009G119000.1.v4.1 pep chromosome:Pop_tri_v4:9:9983514:9984686:1 gene:Potri.009G119000.v4.1 transcript:Potri.009G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G119000.v4.1 MDSFNITSIKIEKAKAIKKHRKIRKIASLFRLIEIGVVLALISRFSIQLPVAVKNSSEYFKDLTVILVSPRFVFVLGNMIVITLFAKSGQFSGQDSNGKNPSTDLYDEFVEKSEKSQETHQYEAECREKQVTYVEYKVTEDTSTSLERKKYHRSESEKLERPDSSRELRRSATDKCRKTIDSAKESEKSSSPKDKMSNDEFRSTIEAFIARQKRFLIDEENSYIL >Potri.011G059300.4.v4.1 pep chromosome:Pop_tri_v4:11:4933122:4939974:-1 gene:Potri.011G059300.v4.1 transcript:Potri.011G059300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059300.v4.1 MVGMIDLNTIEEDETTPSCGSLSSPSSSSAASALSASGSGSSTSSVCLELWHACAGPLISLPKRGSVVVYFPQGHLEQLPDLPLAVYDLPSHVFCRVVDVKLHAEAASDEVYAQVSLVPESEEIEQKLREGIFEGDGEEEDGEATVKMTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYTQQRPSQELVAKDLHGSEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRAAQVKCGPTFPAQWNHQLNQISPGDVANAISTRSFFHIYYNPRASSSEFIIPFNKFLKSLDQSFSSGMRFKMRFETEDAAERRYTGIITGVSELDPARWPGSKWKCLLVRWDDMEANRLSRVSPWEVEPSGSGSFSSSNNFTAPGLKRSRSGLPSSKAEFPIPDGIGAPDFRESSRSQEVLQGQEIMSFNALYDGVDGQNQHPSEIRSCFPGYHSSGIAALGSGIRDSIATSNNSYKGIGFNESYRFHKVFQGQEIFPSSPYGRIPNANEARENCSLGFSDGVQRSSSSSRNGWSTLMQGYNTQIRPPAQVSSPSSVLMFQHASNPVPKPSSNFNFNDHVQQTATTRSWFCGPEMQGGDFKLPAHSEPSVKRGGQWSNSPFGLSHEHLQHGVSQPIVAQSAFRGSQDLVSCKSSCRLFGFSLTEDKCLVNKEDNMTLITSPLNPGSSFLPRAGEHFHPKPPAINNAVGSSCTEAILQTRAENYRIY >Potri.011G059300.5.v4.1 pep chromosome:Pop_tri_v4:11:4933762:4940278:-1 gene:Potri.011G059300.v4.1 transcript:Potri.011G059300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059300.v4.1 MVGMIDLNTIEEDETTPSCGSLSSPSSSSAASALSASGSGSSTSSVCLELWHACAGPLISLPKRGSVVVYFPQGHLEQLPDLPLAVYDLPSHVFCRVVDVKLHAEAASDEVYAQVSLVPESEEIEQKLREGIFEGDGEEEDGEATVKMTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYTQQRPSQELVAKDLHGSEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRAAQVKCGPTFPAQWNHQLNQISPGDVANAISTRSFFHIYYNPRASSSEFIIPFNKFLKSLDQSFSSGMRFKMRFETEDAAERRYTGIITGVSELDPARWPGSKWKCLLVRWDDMEANRLSRVSPWEVEPSGSGSFSSSNNFTAPGLKRSRSGLPSSKAEFPIPDGIGAPDFRESSRSQEVLQGQEIMSFNALYDGVDGQNQHPSEIRSCFPGYHSSGIAALGSGIRDSIATSNNSYKGIGFNESYRFHKVFQGQEIFPSSPYGRIPNANEARENCSLGFSDGVQRSSSSSRNGWSTLMQGYNTQIRPPAQVSSPSSVLMFQHASNPVPKPSSNFNFNDHVQQTATTRSWFCGPEMQGGDFKLPAHSEPSVKRGGQWSNSPFGLSHEHLQHGVSQPIVAQSAFRGSQDLVSCKSSCRLFGFSLTEDKCLVNKEDNMTLITSPLNPGSSFLPRAGEHFHPKPPAINNAVGSSCTEVRGLYSDQAF >Potri.011G059300.3.v4.1 pep chromosome:Pop_tri_v4:11:4933053:4940348:-1 gene:Potri.011G059300.v4.1 transcript:Potri.011G059300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059300.v4.1 MVGMIDLNTIEEDETTPSCGSLSSPSSSSAASALSASGSGSSTSSVCLELWHACAGPLISLPKRGSVVVYFPQGHLEQLPDLPLAVYDLPSHVFCRVVDVKLHAEAASDEVYAQVSLVPESEEIEQKLREGIFEGDGEEEDGEATVKMTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYTQQRPSQELVAKDLHGSEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRAAQVKCGPTFPAQWNHQLNQISPGDVANAISTRSFFHIYYNPRASSSEFIIPFNKFLKSLDQSFSSGMRFKMRFETEDAAERRYTGIITGVSELDPARWPGSKWKCLLVRWDDMEANRLSRVSPWEVEPSGSGSFSSSNNFTAPGLKRSRSGLPSSKAEFPIPDGIGAPDFRESSRSQEVLQGQEIMSFNALYDGVDGQNQHPSEIRSCFPGYHSSGIAALGSGIRDSIATSNNSYKGIGFNESYRFHKVFQGQEIFPSSPYGRIPNANEARENCSLGFSDGVQRSSSSSRNGWSTLMQGYNTQIRPPAQVSSPSSVLMFQHASNPVPKPSSNFNFNDHVQQTATTRSWFCGPEMQGGDFKLPAHSEPSVKRGGQWSNSPFGLSHEHLQHGVSQPIVAQSAFRGSQDLVSCKSSCRLFGFSLTEDKCLVNKEDNMTLITSPLNPGSSFLPRAGEHFHPKPPAINNAVGSSCTEAILQTRAENYRIY >Potri.011G059300.2.v4.1 pep chromosome:Pop_tri_v4:11:4933762:4940327:-1 gene:Potri.011G059300.v4.1 transcript:Potri.011G059300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G059300.v4.1 MVGMIDLNTIEEDETTPSCGSLSSPSSSSAASALSASGSGSSTSSVCLELWHACAGPLISLPKRGSVVVYFPQGHLEQLPDLPLAVYDLPSHVFCRVVDVKLHAEAASDEVYAQVSLVPESEEIEQKLREGIFEGDGEEEDGEATVKMTTPHMFCKTLTASDTSTHGGFSVPRRAAEDCFPPLDYTQQRPSQELVAKDLHGSEWKFRHIYRGQPRRHLLTTGWSAFVNKKKLVSGDAVLFLRGEDGELRLGVRRAAQVKCGPTFPAQWNHQLNQISPGDVANAISTRSFFHIYYNPSSSEFIIPFNKFLKSLDQSFSSGMRFKMRFETEDAAERRYTGIITGVSELDPARWPGSKWKCLLVRWDDMEANRLSRVSPWEVEPSGSGSFSSSNNFTAPGLKRSRSGLPSSKAEFPIPDGIGAPDFRESSRSQEVLQGQEIMSFNALYDGVDGQNQHPSEIRSCFPGYHSSGIAALGSGIRDSIATSNNSYKGIGFNESYRFHKVFQGQEIFPSSPYGRIPNANEARENCSLGFSDGVQRSSSSSRNGWSTLMQGYNTQIRPPAQVSSPSSVLMFQHASNPVPKPSSNFNFNDHVQQTATTRSWFCGPEMQGGDFKLPAHSEPSVKRGGQWSNSPFGLSHEHLQHGVSQPIVAQSAFRGSQDLVSCKSSCRLFGFSLTEDKCLVNKEDNMTLITSPLNPGSSFLPRAGEHFHPKPPAINNAVGSSCTEAILQTRAENYRIY >Potri.018G015100.1.v4.1 pep chromosome:Pop_tri_v4:18:1052338:1057965:-1 gene:Potri.018G015100.v4.1 transcript:Potri.018G015100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G015100.v4.1 MATPISSVSLTMRCFLYAYFLCLCLLFSLEKGYALEGRKVAESHHSHSIEVSSLLPSASCKPSTKVLSNNDNKASLKVVHKHGPCSKLSQDEASAAPTHTEILLQDQSRVKSIHSRLSNSKTSGGKDVKVTDSTTIPAKDGSTVGSGNYIVTVGLGTPKKDLSLIFDTGSDITWTQCQPCARSCYKQKEQIFDPSQSTSYTNISCSSSICNSLTSATGNTPGCASSACVYGIQYGDSSFSVGFFGTEKLTLTSTDAFNNIYFGCGQNNQGLFGGSAGLLGLGRDKLSVVSQTAQKYNKIFSYCLPSSSSSTGFLTFGGSASKNAKFTPLSTISAGPSFYGLDFTGISVGGKKLAISASVFSTAGAIIDSGTVITRLPPAAYSALRASFRNLMSKYPMTKALSILDTCYDFSSYTTISVPKIGFSFSSGIEVDIDAKGILYASSISQVCLAFAGNSDATDVFIFGNVQQKTLEVFYDGSAGKVGFAPGGCS >Potri.005G164550.1.v4.1 pep chromosome:Pop_tri_v4:5:16314879:16316502:-1 gene:Potri.005G164550.v4.1 transcript:Potri.005G164550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164550.v4.1 MELPLLQFPSLCSPSSPLDFCNSNAARDQFLDGFVLEAYINYSIQSLGVCQIIICSCGGIVFFSGTHFSGKRKGAQTSNYIVLELPIGLFFNGTAALQDS >Potri.002G092300.1.v4.1 pep chromosome:Pop_tri_v4:2:6680937:6684642:1 gene:Potri.002G092300.v4.1 transcript:Potri.002G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092300.v4.1 MGRVKLEIKRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDIALIMFSPSGRLSHFSGKKRIEDVLARLVNLPDQERQLAIVFPEQGQHQRDIQNKEYLFRTLQQLRNESDIALQLSNPTAINSEELQHEIARLLQRLQLAEEQIRIYEPDPIKFTSMGELESCDKRLVDTLSRVMQRKEYLLSNHLSSFNPSRLEHGLPTSSFNNDMVNWLPDAGSDHAEILHHGAHNHAQIFNASTPLNALRNLSSTMYDSLLQGSHSNAEAHNFREWPGDGNFPQWPPYNSTVLHSNPMSPSLYSQIQHEMVGPNIPDMMPREQVEIPISSPHLQVENDGASYEDNKVPRLDDQEHQTIFEL >Potri.002G092300.2.v4.1 pep chromosome:Pop_tri_v4:2:6680937:6684642:1 gene:Potri.002G092300.v4.1 transcript:Potri.002G092300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G092300.v4.1 MGRVKLEIKRIENNTNRQVTFSKRRNGLIKKAYELSVLCDIDIALIMFSPSGRLSHFSGKKRIEDVLARLVNLPDQERQLAIVFPEQGQHQRFSCCSDIQNKEYLFRTLQQLRNESDIALQLSNPTAINSEELQHEIARLLQRLQLAEEQIRIYEPDPIKFTSMGELESCDKRLVDTLSRVMQRKEYLLSNHLSSFNPSRLEHGLPTSSFNNDMVNWLPDAGSDHAEILHHGAHNHAQIFNASTPLNALRNLSSTMYDSLLQGSHSNAEAHNFREWPGDGNFPQWPPYNSTVLHSNPMSPSLYSQIQHEMVGPNIPDMMPREQVEIPISSPHLQVENDGASYEDNKVPRLDDQEHQTIFEL >Potri.011G155900.1.v4.1 pep chromosome:Pop_tri_v4:11:18250136:18251893:1 gene:Potri.011G155900.v4.1 transcript:Potri.011G155900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G155900.v4.1 MKVSMTVILSVFSALLLSVSLAANSDPSLDNFLQCLPNNSLPSYPISEAIYTTANPSFESVLLTYINNRRFLTPTTPKPLAIVTALHESHVQATVVCAKSHGLQVRIRSGGHDYEGLSYVSEVPFVILDMFNLRSIDIDLASETAWVQAGATLGEIYYNIANKSNVHAFPAGVCPTIGAGGHISGGGYGTLMRKYGLSVDNVIDAKVVDVKGNILDRQTMGEDLFWAIRGGGGASFGVILSWKINLVRVPAKVTVFKVDRTLEEGATDIFYQWQQVSTELDKELFIRAMPQVANGSVEGTKTIRISFYGLFLGQSGTLISMMNKRFPELGLQQSDCIEMRWIESTLFWFDLPNGTSIDVLLNRPRGAQSFYKNKSDYVNHIVPKEALERIWKMMIKAEPMWMQWNPIGGRMSEIPDTATPFPHRAGYLFKLQYSINWREEGTEATDRYISLIREMHDAMAPYVTKFPREAFQNYRDLDIGSSPSNQTNFEEAKEYGLKYFKGNFLRLVKVKGMVDPDNFFKHEQSIPPRWM >Potri.001G053900.2.v4.1 pep chromosome:Pop_tri_v4:1:4085504:4086531:1 gene:Potri.001G053900.v4.1 transcript:Potri.001G053900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G053900.v4.1 MDAMEAAKTLAQSSGAIIAVSGTVDIITYGHQVVGAHNGVPMMQKITATGCAITALIAAFVAVDPLHALEATASALSIFGIAGEMGMDMANGPASLRMHFIDSLYNLDQASVSSRLKITSL >Potri.015G052000.1.v4.1 pep chromosome:Pop_tri_v4:15:6600790:6601620:1 gene:Potri.015G052000.v4.1 transcript:Potri.015G052000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G052000.v4.1 MASDLFNGSCKVFLSLIIFSSIFQFCFVISTEFLVGGQDGWTIPKKDSQMYIDWASKNRFKVDDTVQFKYNKDSVLVVTEEEYQKCRSAHPLFFSNNGDSVFKLDRPGLFYFISGVAGHCERGQKMIIKVLELETPPQSANDTSPPDHTNKKNGAVQMPPAIIPPIIVLPSLFFLGFLFV >Potri.001G445700.1.v4.1 pep chromosome:Pop_tri_v4:1:47096210:47110759:1 gene:Potri.001G445700.v4.1 transcript:Potri.001G445700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G445700.v4.1 MAIESVGGSILSKIAELLVEPTIRQFRYMFCFNNFVQEFNEQKKNLALTLDRLQNAVEVAERNAEEIEKDVNKWLEDAKNEIEGVNRLENEKGKNSKCFNWCPNWIPQFKLSKALAKKIETLKKLEENSRKFPKVSHKAPLQDTEFLPSKGFTPSESSKEAFEQIMKALKDDNVNMIGLYGMGGVGKTTLVKEVGRKAKELQLFNEVLMATVSQNPHVTDMQDQMADSLGLHFDEKSKEGRAGRLWQRLQGKKMLIILDDVWKDIDLKEIGIPFGDAHRCCKIVITTRLENICSYMECQQKVFLRVLSEEEAWALFKINAGLRDEDSDLNRVAKEVARECQGLPLALVAVGKALKDKSEHEWEVASEELKKSQSRHVETFDDRRNAYACLKLSYDYLKHEETKLCFLLCCLFSEDYNIPIEELTRYAVGYGLYQDVKFIEGARKRVYMEIENLKACCMLLGTETEEYVQMHDLVRDVAIQIASSEKYRFMVKAGFGLKEWPMSNRRFEGCTVISLMGNKLAELPQGLVCPQLKVLLLELDDGLNVPERFFEGMKEIEVLSLKGGCLSLQSLEFSTNLQSLLLVECICKDLVSLRKLQRLKILVFMWCDSIKELPEEMGELKELRLLDVTGCMYVASIPVNLIGRLKMLEELLIWDGSFTGWDSTGGMNARVTELNSLSHLAVLSLTIPKVECIPRDFVFPRLLKYDIVLGNGYSITAYPILTRLYLGDISATSLNAKTFEQLFPTVSQIGFSNVEGLENIVLSSDQMTTHGHGSQKDFLQRLEHVEVAACGDIRTLFPAKWRQALKNLRSVEINHCNSLEEIFELGEADEGSSEEKELPLLSSLTELQLSWLPELKWIWKGPSRHVSLQSLNHLELWYLSKLTFIFTPSLAQSLIHLETLRIEYCRGLKHLIREKDDEREIIPESLRFPKLKTLSISDCDKLEHVFPVSVSPSLLNLEQIKITFADNLKQIFYSGEGDALTRDGIINFPRLRELSLSCCSFFGRKNFTAQLPSLQELTIKGHQELSNLLTQLHGLTNLRGIYITDCKGAQDLMQVGSFLTNRRTGHELSLLSLETLHVDSFPDMKCIWKGLLLSHLTTLMVRKCQRLAHVFTSSMIASLVQLKVLDISTCEELEQIIAKDNDDEKLQILSRSDLQSLCFPNLCRLEIERCNKLKSLFPVAMASGLPKLQILKVSQCSQLLGVFGQDDHASPFNVEKEMVLPDMLELLLENLPGIVCFSPGCYDFLFPRLKTLKVYECPKLTTKFSTTTNGSMSAQSEVSQVVEDSSTGCYVLTSTCRMWTRNNGWWEEEKEEEEWEEEEEEEEEWEEEEEEEEEEEEEEEWEEEEEEEEEGEEEEEKDEDGGGHEDYVK >Potri.004G135100.1.v4.1 pep chromosome:Pop_tri_v4:4:15644861:15646441:-1 gene:Potri.004G135100.v4.1 transcript:Potri.004G135100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G135100.v4.1 MDESSLQKDMPISRNGSYAGGNGHSHTVTSAAAAQVPTIGHSSPSSQQEDQRPYKKVMRYKECLKNHAAAIGGNATDGCGEFIPGGEEGSLEALKCSACNCHRNFHRKEIDGECSYDCHHHYPVMSNIGSGRLISGHHNGIIGSPPQGYPTSSFISSRAPPPHQVVVSYKNGGANAITSESDEKEEDNGGGILTTRPVEKLRKRFRTKFTEEQKQKMLNFAEKAGWKMQKLEESVVQGLCQELGIKRRVLKVWMHNNKHNYVKNSTSS >Potri.001G241900.1.v4.1 pep chromosome:Pop_tri_v4:1:25970655:25972628:1 gene:Potri.001G241900.v4.1 transcript:Potri.001G241900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G241900.v4.1 MNPVFEDPTANAVLKYISQILMEEESEEQTFVPRDFSLRAAERPFSDILAPEGSSSDGKVSDPNQTINNVSTYCCDVYGCNSSMKANNIVASSSICDGGAPPVNYTVPLCLQVNPPPFFPRSVFRTFPGPVDSVNVALKERQLEVLFRGGTDETWKFHQQPNEMVVDFANNRVTPVMAITKESNLDHSVCELMERQNHYRVETELQPRINKHTSIYAEEAQQFGMFAGVFPSTGGDGEPAGFNLNEAFQSGPGMISYLKEQSRGSNDGVICRKNEVRSRELVDMRTLLIHSAEAVAVNDHRTATELLTQIRQHSTPFGDGSQRLAHCFANALETRIAGTGSEVYATLAAKRVTAACILKAGRLYISACPFMIMSNFFAEQNIMDLAENATRLHIIHFGILYGFPWPSLIQRLSTRPSGPPVLCITGIECSQTGYESAAVLEDIGRYLASYCEKFNVPFNYNAISQKWENVQLEDLKIDRDEVTVVSSLYRFQHLLDETVALNCQRDAVLNLIKRINPAIFIHGIINGAYNSPFFVSRFREALFYYSSLFDMLEANTAREDPERMVFEQEVFGKEILNVISCEGWDRLERPEKYKQWQARNARAGLRQLPLKEGIMKQVREQVKSSYHKDFLMDQDGQWMLQGWKGRILFAISCWKSA >Potri.019G119750.1.v4.1 pep chromosome:Pop_tri_v4:19:14572810:14573790:1 gene:Potri.019G119750.v4.1 transcript:Potri.019G119750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G119750.v4.1 MSDSDMITEMLCSFLNRGLVGLPAKVMFNYSVTTINQDTRTFVIQEKDVDDCNASTRGQIREFNTSFPFKMNASKRWCDTVAGNFISNASSQGLVEIDIGWAPPQEPVCSSSSDCDDWPNSTCNVTGNGTARCLCNSDFWWDGMALKCVHVVDGQAGGKKPLPLIVGVAIASVIVLSSIFLYICIFMRKKAKRRESQQNTERNAALLYGTEKRVKNLIDAEEFKEEDKKGIDVPFFDLDSILAATDYFSEANKLGRGGSGPC >Potri.004G216700.4.v4.1 pep chromosome:Pop_tri_v4:4:22240149:22245619:1 gene:Potri.004G216700.v4.1 transcript:Potri.004G216700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216700.v4.1 MQAAAMAAHAILTATPPTRYPLLSPLPPNHSSFHGVSLKLPRQSFSFSLAAKKQQPPFVVAATKKAVAVLKGTSSVEGVVTLSQEDDGPTTVSVRITGLTPGPHGFHLHEFGDTTNGCMSTGPHFNPKKLTHGAPEDEIRHAGDLGNIVANADGVAEATIVDSQIPLSGPNAVIGRALVVHELEDDLGKGGHELSSTTGNAGGRLACGVVGLTPI >Potri.003G098200.3.v4.1 pep chromosome:Pop_tri_v4:3:12389521:12398489:1 gene:Potri.003G098200.v4.1 transcript:Potri.003G098200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098200.v4.1 MESREGESWRELVRKMLPPGVPLPEDETELDYSIAMVYDGPPVSYDVPKVEPLDVISHVILTAEPLSESQRLVSNPGPPVIEPIPLPVSRIAGVTGSPNQSPRISASSESVVSVLQNPEFSSASASASPGSVQNSLSHPPKQMANEVKRVPVVTFNTVDRSERKDVEKLDYPGYVGVAKEKKKKKSRVCYRCGKGRWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECSGNQLRPEQLIVNGYPLKPEEMSELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIFSSNLNFTGKLSPNASNGRTEVYINGREITKLELRVLKLAKVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRIVSTLFSLPVPHGQPHGQRDEASNYTTVPNYLEQKKVQKLLLLGIQGSGTSTIFKQAKFLYGSKFTAEDLQDIKLMIQSNMYKYLSILLDGRERFEEEASWMKSLGDEDQNSDAGGDVDHSETNHCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPATQETYRRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTTNLDAPPPPLTRYQLIRVNAKGMNEGCKWVEMFEDVQAVVFCVALSDYDQMWLVPESSGTGSLLRNKMIESKELFETMIRHPCFKDTPFVLILNKYDVFEEKVNRVHLSACEWFNDFSPVQPHHNNQSLAHQAYYYVAMKFKDLYASITGRKLFVWQARARDRVTIDEAFKYTREVLKWDEEKEDNYYGGAEDSFYSSTDMSSSPFVRQE >Potri.003G098200.1.v4.1 pep chromosome:Pop_tri_v4:3:12389341:12398583:1 gene:Potri.003G098200.v4.1 transcript:Potri.003G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098200.v4.1 MESREGESWRELVRKMLPPGVPLPEDETELDYSIAMVYDGPPVSYDVPKVEPLDVISHVILTAEPLSESQRLVSNPGPPVIEPIPLPVSRIAGVTGSPNQSPRISASSESVVSVLQNPEFSSASASASPGSVQNSLSHPPKQMANEVKRVPVVTFNTVDRSERKDVEKLDYPGYVGVAKEKKKKKSRVCYRCGKGRWETKESCLVCDAKYCSNCVLRAMGSMPEGRKCVTCIGQPIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECSGNQLRPEQLIVNGYPLKPEEMSELLGCPLPPRKLKPGRYWYDKESGLWGKEGEKPDRIFSSNLNFTGKLSPNASNGRTEVYINGREITKLELRVLKLAKVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRIVSTLFSLPVPHGQPHGQRDEASNYTTVPNYLEQKKVQKLLLLGIQGSGTSTIFKQAKFLYGSKFTAEDLQDIKLMIQSNMYKYLSILLDGRERFEEEASWMKSLGDEDQNSDAGGDVDHSETNHCIYSINPRLKHFSDWLLDIIATGDLDAFFPAATREYAPLVEEVWRDPATQETYRRKDELHFLPDVAEYFLSRAVEISSNEYEPSERDILYAEGVTQGNGLAFIEFSLDDRSPMSETYTTNLDAPPPPLTRYQLIRVNAKGMNEGCKWVEMFEDVQAVVFCVALSDYDQMWLVPESSGTGSLLRNKMIESKELFETMIRHPCFKDTPFVLILNKYDVFEEKVNRVHLSACEWFNDFSPVQPHHNNQSLAHQAYYYVAMKFKDLYASITGRKLFVWQARARDRVTIDEAFKYTREVLKWDEEKEDNYYGGAEDSFYSSTDMSSSPFVRQE >Potri.004G202200.2.v4.1 pep chromosome:Pop_tri_v4:4:21173518:21175654:1 gene:Potri.004G202200.v4.1 transcript:Potri.004G202200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202200.v4.1 MSFLSKIFHSSSYAPSAPSLPESYDQRGQTYSTTSYPSNYSHQQQQQSYYGQGTSGGGGGGGGGGGYSYGHSGFPPGTSPDVIRSFEMVDRDRSGFIDENELQQALSSGYQRFHIKTVRLLMFLFKNPHDSLRLGPKEFAALWSCLGQWRGIYERYDRDRSGKIDLLELRDALYGIGLATPSSVLQVLISKYDDGSGRKIELNFDSFVECGVILKGLTEKFKEKDKGYTGTASFDYDEFMSMVIPFLVSHD >Potri.017G070401.1.v4.1 pep chromosome:Pop_tri_v4:17:7613704:7614674:-1 gene:Potri.017G070401.v4.1 transcript:Potri.017G070401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G070401.v4.1 MIPVAANDVAFSIHAVFVTISSIIFFQIVIHDRGDQNVSKISISIVCVAWLFAGVCFFITLPNHSWLWLISIFK >Potri.001G409900.1.v4.1 pep chromosome:Pop_tri_v4:1:44320428:44323856:-1 gene:Potri.001G409900.v4.1 transcript:Potri.001G409900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409900.v4.1 MLKSFLITMTNIILLLIVIFLTTCLFVNSKPISRADFPGGFTFGTASSAYQFEGAVNEGNKGDSIWDTFTRQPGRILDLSNADTAVDQYHRFKGDIDLMKDLGMDAYRFSISWPRIFPNGTGVPNQEGIDYYSCLIDTLLEKGIQPYVTLYHWDLPQMLEDKYEGWLSKQIVEDFEHYAFTCFQAFGDRVKHWITFNEPRGFSIQGYDTGIQAPGRCSIMGHFLCKRGNSSSEPYMVAHNILLSHAAAYRCYQLHFKGKQGGQIGITLDSKWYEPISDAEEDKDAAQRAMDFAIGWFLDPLFLGKYPLSMKKLVGERLPEISQGMSKLLVGSLDFVGINHYTTLYVRNDRTRIRKLILQDASSDAAVITTSYRRGVAIGERAASRWLHIVPWGIHRLLKYVKDKYGNPPVIITENGMDDLNTPFISLNKALQDDKRIEYHRDYLSNISAAIRQDNCDVRGYFAWSLLDNWEWNSGYTVRFGLYFVDYRNNLTRVPKASAEWFKRTLRLEDNLQSQL >Potri.001G409900.5.v4.1 pep chromosome:Pop_tri_v4:1:44320452:44323833:-1 gene:Potri.001G409900.v4.1 transcript:Potri.001G409900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409900.v4.1 MKDLGMDAYRFSISWPRIFPNGTGVPNQEGIDYYSCLIDTLLEKGIQPYVTLYHWDLPQMLEDKYEGWLSKQIVEDFEHYAFTCFQAFGDRVKHWITFNEPRGFSIQGYDTGIQAPGRCSIMGHFLCKRGNSSSEPYMVAHNILLSHAAAYRCYQLHFKGKQGGQIGITLDSKWYEPISDAEEDKDAAQRAMDFAIGWFLDPLFLGKYPLSMKKLVGERLPEISQGMSKLLVGSLDFVGINHYTTLYVRNDRTRIRKLILQDASSDAAVITTSYRRGVAIGERAASRWLHIVPWGIHRLLKYVKDKYGNPPVIITENGMDDLNTPFISLNKALQDDKRIEYHRDYLSNISAAIRQDNCDVRGYFAWSLLDNWEWNSGYTVRFGLYFVDYRNNLTRVPKASAEWFKRTLRLEDNLQSQL >Potri.001G409900.4.v4.1 pep chromosome:Pop_tri_v4:1:44320443:44323833:-1 gene:Potri.001G409900.v4.1 transcript:Potri.001G409900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G409900.v4.1 MLKSFLITMTNIILLLIVIFLTTCLFVNSKPISRADFPGGFTFGTASSAYQFEGAVNEGNKGDSIWDTFTRQPGRILDLSNADTAVDQYHRFKGDIDLMKDLGMDAYRFSISWPRIFPNGTGVPNQEGIDYYSCLIDTLLEKGIQPYVTLYHWDLPQMLEDKYEGWLSKQIVEDFEHYAFTCFQAFGDRVKHWITFNEPRGFSIQGYDTGIQAPGRCSIMGHFLCKRGNSSSEPYMVAHNILLSHAAAYRCYQLHFKGKQGGQIGITLDSKWYEPISDAEEDKDAAQRAMDFAIGWTRIRKLILQDASSDAAVITTSYRRGVAIGERAASRWLHIVPWGIHRLLKYVKDKYGNPPVIITENGMDDLNTPFISLNKALQDDKRIEYHRDYLSNISAAIRQDNCDVRGYFAWSLLDNWEWNSGYTVRFGLYFVDYRNNLTRVPKASAEWFKRTLRLEDNLQSQL >Potri.013G019400.1.v4.1 pep chromosome:Pop_tri_v4:13:1226050:1228431:1 gene:Potri.013G019400.v4.1 transcript:Potri.013G019400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019400.v4.1 MGRLCSPKCRLARIMGWLQIMLGGLVIVVSIASLFKFYNAGFFLHHEDICQHFYHVGDAYDGFDIRALNDRVGEVLDRMDKLQEKLEKTVQEMEKNKVDLGKTTMTRLEHKRFLEQEVIRPLYGAHIALRQIRLPQAEGIRNSTMKEEPLINTFVVEEIRKYITPKENRVGNTNIYGTERIYNTIGHACVLMKKELEEYMDYDIGSYCKDDWSLSQKLMVNGCDPLPRRRCLTRASKVYQKPYPINESLWRLPDDRNVRWSTYQCRNFQCLSSKNPKRGYSKCTGCFEMDKEKLKWVTNSSLPVDFLIKDVLAIKPGEIRIGLDFGVGTGTFAARMKEQNVTVVSTALNLGAPFSEMIALRGLVPLYVTLNQRLPFFDNTMDLIHTTGFMDGWIDLMLIDFILFDWDRILRPGGLLWIDRFFCNRKDLDDYMYMFLQFRYKKHRWAISPKSKDEVYLSALLEKPPRGI >Potri.019G020800.5.v4.1 pep chromosome:Pop_tri_v4:19:3270355:3272204:-1 gene:Potri.019G020800.v4.1 transcript:Potri.019G020800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020800.v4.1 MNPADMFTQVGSVIASVMFAWAMFKQYCPYSVQEYFDKYSKRAFTFVYPYIQISFNEFTGDRFMRSEAYSAIENYLGSRSSTQAKRLKADVVKNSQSVVLSMDDYEEVADEFQGVKLRWASGKHISKTQSVSFYPVTDEKKYYKLTFHKRHRQLILGDYLKHVLKEGNEIKVRNRQRKLYTNSGSYWRHVVFQHPASFETLAMEAERKQEIVDDLVIFSTAEDFYARIGRAWKRGYLLFGPPGTGKSTMIAAMANLLNYDIYDLELTAVKDNTELRKLLIETTTRSIIVIEDIDCSLDLTGQRKKKKEEEGQRDEKDPKPKLPKEEDSKQSQVTLSGILNFVDGLWSACRGERLIVFTTNFVEKLDPALIRKGRMDKHIELSYCSFEAFQVLAKNYLRLDSHHLFARIQELLGETKMTPAEVAEHLMPKTITGDAKVCLESLIGALEKAKEDAILKAEEEAKEKEESARLKAEEEAKEKEKTKAEEEAKEKAKAEEEAKEKDSSAAENAKKQAKENGFCDNGNGELNNKENKQEGFSH >Potri.001G172400.3.v4.1 pep chromosome:Pop_tri_v4:1:14801117:14814562:-1 gene:Potri.001G172400.v4.1 transcript:Potri.001G172400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172400.v4.1 MQEKKLLLEKKGPRLARISVFETNRISKNNLVGYCEIDLLELLTQDSDSDFEVFELFDPAATGKVVGRISLSCSVEDPIETEKNFARRILAIVDYNEDGKLSFSEFSDLIKAFGNQVADNKKEELFKAADKNGDDAVSMDELAELLAIQQENEPLINCCPVCGEILEVSDKLNTLVHLSLCFDEGTGNQVMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLNSGSSASHILVFDRKTKRLVEELIDKKIVLSMRAIYQSKIGLHLMDKGAKEILLSISEKQGREMNTTESARDIPAFVEFFKDQINLAEVKYPLEHFKTFNEFFVRELKPGTRPVASMERDDVAICAADCRLMAFKSVEDGQRFWIKGRKFSVQGLLGKETYSSAFADGTLVIFRLAPQDYHRFHSPVSGTIEKCVKIPGCLYTVNPIAVNSKYCNVFTENKREVSIISTAHFGKVAFVAIGATMVGSITFSKKAGDHVKKGDEYGYFSFGGSTVICVFEKDAIEIDEDLLANSARSLETLVSVGMKLGVATKKQATVNLPNFDNWVLEE >Potri.001G172400.2.v4.1 pep chromosome:Pop_tri_v4:1:14801098:14814600:-1 gene:Potri.001G172400.v4.1 transcript:Potri.001G172400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G172400.v4.1 MGHGSSKELPENTNTEGASTPSSRIKRPHIRRIFRRPTVSRGNGSSSSTLKLVSAEDFAGIALLTLISADMQQFKDKWLACVSFGEQTFRTHISDQTEKPVWNSEKKLLLEKKGPRLARISVFETNRISKNNLVGYCEIDLLELLTQDSDSDFEVFELFDPAATGKVVGRISLSCSVEDPIETEKNFARRILAIVDYNEDGKLSFSEFSDLIKAFGNQVADNKKEELFKAADKNGDDAVSMDELAELLAIQQENEPLINCCPVCGEILEVSDKLNTLVHLSLCFDEGTGNQVMTGGFLTDKQASYGWMFKLSEWAHFSSYDVGLNSGSSASHILVFDRKTKRLVEELIDKKIVLSMRAIYQSKIGLHLMDKGAKEILLSISEKQGREMNTTESARDIPAFVEFFKDQINLAEVKYPLEHFKTFNEFFVRELKPGTRPVASMERDDVAICAADCRLMAFKSVEDGQRFWIKGRKFSVQGLLGKETYSSAFADGTLVIFRLAPQDYHRFHSPVSGTIEKCVKIPGCLYTVNPIAVNSKYCNVFTENKREVSIISTAHFGKVAFVAIGATMVGSITFSKKAGDHVKKGDEYGYFSFGGSTVICVFEKDAIEIDEDLLANSARSLETLVSVGMKLGVATKKQATVNLPNFDNWVLEE >Potri.013G083101.1.v4.1 pep chromosome:Pop_tri_v4:13:7382610:7383573:-1 gene:Potri.013G083101.v4.1 transcript:Potri.013G083101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083101.v4.1 MPSDGQEVSQQSLEIKQNDKFFTRIMSKESSMANSSNRVYYGGASGAIPFMWESRPGTPKHTLADTCLPPLTPPPSYHSSSKSNSMHKNNANPNILTTLFHRFVPKRTRMSPSSSMSSTSSSSSSCSPFYSSQSNFMNSKSKKSYCFSSARSLICYRFDERDDDYDNDGHGLGSPISTLCYDVKRKAVNGCHGYHFMRNMKSAVL >Potri.012G118800.1.v4.1 pep chromosome:Pop_tri_v4:12:13715824:13718982:1 gene:Potri.012G118800.v4.1 transcript:Potri.012G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118800.v4.1 MDLASNCKYQMFFGRNLNSITCKGLALIVIALFLRVVLLPSFSGYGGIDKNNLDLVHSRSLSLDSDNGIRKDKFLEVPQIVWGLNNQKIAFARACLTARMLNRTLLMPSLSASLFYKEIDRLQPISFDKVFQFERFNSLCNGFVQLGSYSDLRNQTGVYELQKGSGRKWTVERDLDQLKQLSNDPFDGYEVIRIVGKNPFLWHDHWPVKDYAMVFECLVLVDEIAREADRVVSKIREVGKEMSSRSGSAQSDFGPDSSLLEPVPYVAVHMRIEIDWMIHCKKLERKLNVSEICSSKREIMERVGNIVGLKGPTVVYLAVADSLLEDSSILTGWKEGLLPVEKNKLGVDGIYNKYPYLIQSAIDYEVCSKADVFVGNSFSTFSSLVALERTQKMIRMGVTSSCGAGVRWPSYAYNILGESNGPHKWMTNMSDSSLKAISYGSNIITC >Potri.006G046200.2.v4.1 pep chromosome:Pop_tri_v4:6:3140746:3143747:1 gene:Potri.006G046200.v4.1 transcript:Potri.006G046200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G046200.v4.1 MSDLISGVDNMSVTEAKSGGNDAGRIAEVKAWLTSQFDAAGKDVPEFEYTPRSIAHLYNLATASQAKTQAANIVANDFRQKAVEYRAQAARIREILENVGLAQESLPSNVVSSAQVLANVANFLNIRDTELSSFLVAMGDLSLRKTGVEEKRAKVQKESKILLDYTRKAIARLTYLKRTLAQLEDDVPPCEAQMENWKTNLAVMASKERQYLQQYSNYKALLNRVGYTQDISHGMLVEMAEHRQDLEKKTKPIMDTLRSYQDLPPDKALATLAIEDKKRQYAAAEKYLEDVLQSALATTD >Potri.014G121500.1.v4.1 pep chromosome:Pop_tri_v4:14:8161830:8166571:-1 gene:Potri.014G121500.v4.1 transcript:Potri.014G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G121500.v4.1 MKLSSLIASRPVIIFIILVIGVLLLPCVVVPWWYNMTKHMKKHMDFNAHVVQSGLLSEIENIAKYLHPINSSAINLAKVMSSSINGSKLSSYDVENKVAPSLFQAFSIIPFISQISYIGLGGLFFSYYYEGNQTFAMYSNSTASNVRNFSWYRQPVDSDTGRVYGDAVKSLPFITTNASWIEQALNSSQGYASFESGWNSAQDPLFLNTVSLRGQGALSLGFSAKALTSFFNNVELYGGSLYLATQSGKVLVGGLPNTQTVIKENSLSLYMTKLNGDQIDHVGNVSCMPNNGKLEDSVLYLGEAKYRVFCSRVEIVGVQSVYALAFPYNGLASSVNRSTKISLILFIIMIAAIFISIISFILLVVRSARREMHLCSTLIKQMEATQQAERKSMNKSLAFATASHDIRAALAGITGLIEICYAEVRAGSELDTNLRQMDGCTKDLVGLLNSILDTSKIEAGKMQLEEEEFDLAKLLEDAVDLYHPVGMKKGVDVVLDPYDGSILKHSRVKGDRGKLKQVLCNLLSNAVKFTFEGHVSVRAWTQKPSLENKIMASNQNGLWRCFSCLFSKNKKEFNAMKPKQSSMEFVFEVNDTGKGIPKEKQKSVFENFVQVKETALGQGGTGLGLGIVQSLVRLMGGEIGIVNKENGEKGTCFKFNVFLDICEISSTDNKNAEVEIEGDSMPGGEHNYSELTIRTPSPGLVIRTPSPRLSILGSSPKIEGSHVVLLIQNEERLRSSQKYIEGLGIKVSSVKEWEHLHSTLKRIKARQNVSPHSSSGKSDLGSRSDHFNSRSMKDVPLSSMDGIDQKPSASGSSNLRGAPGFVLLVIDAGAGPFQELCRVVAEFKRDLHSSCCKVVWLDKPTSRSINLRSFEQDLIDPRDDILLKPFHGSRLYQVIRLLPEFRGHGLISRSKRGSTIQATNALKDPGSSSSTHSQKTKLKVPSTCENSFQQVDSQAEGSSKNEKNRKNPLLDDPDHSHVRSKSRQSPIERLLVRSSEIQEVGGNLSKGKSLSGLKFLVADDNEISRRVTRHILKGHGATVEVCENGEEALQLVRIGLHNQREHSHSIVLPYDYILMDCEMPKMDGCEATRQIRKEEKFYGVHIPILAFSADNSGGEGKKMEEAGTDGRVNKKINMEQLEETIRNIQRKRMHL >Potri.005G117400.2.v4.1 pep chromosome:Pop_tri_v4:5:8580793:8586654:1 gene:Potri.005G117400.v4.1 transcript:Potri.005G117400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117400.v4.1 MESSKREGGEEEKKQTEKRKQIQNEGGGGSNLKGKSCKGYLYYSSTLKSNGINPRCIGIPRSLPQIPNYVGQSEVEASKDGRTLIDFYYGCAGYSVYVNKHLSTDKQVAKTELPVCVGLELLVDRRVASEDSASAPAHIHHKEDGGELPQLQPRRELPQPRAHKPVISAADDFLSRYTRNANLVASGVARNMRRVGNYVKDSVDDILYPYRRRPK >Potri.008G162400.6.v4.1 pep chromosome:Pop_tri_v4:8:11156911:11161453:-1 gene:Potri.008G162400.v4.1 transcript:Potri.008G162400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162400.v4.1 MQSNNNNGHAKLTGVLAASLVGFISAAAAWTLDYRRKPKAKRDQHIIPGLVWKEFGRLENLERFSDYVARQMGFADADECPRLCKLAYDYLKRSEGCENNIYDFFAGAPELQTFLYVKLMAEFEKCILTYFAFHWSRASLFIGQVMDVESVRKPKRKGFDDNDLKQRFERVTEELKVTRLFSTLVEGLKASGQGESHCTEVMVPVALSERSPVLLLMGGVMGAGKSTVTKDILKEPFWSGAKAKAVVVEADAFKESDVTYRANSSMGHHDDMLQTAELVHQSSLLVTALNEGQDVIIDGTLSWESFVEQTIAMARNVHKCRYRMRPGYQVAEDWTVDVNYWEKVEQEEEDQRLNNEKGELTGRKPYRIELVGVVCDPYLAVVRGIRRAITTRGAVRVDSQLKSHKRFASAFERYCQLVDNARLYCTNSVGAPPSQIAWKDGDNMLLINPEGYKCLTNASNLRAEAESIYELYTDPSPIFEPGSFWKDIVMDPSRPTVQSELRTSILNIEKS >Potri.008G162400.7.v4.1 pep chromosome:Pop_tri_v4:8:11156911:11161453:-1 gene:Potri.008G162400.v4.1 transcript:Potri.008G162400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162400.v4.1 MQSNNNNGHAKLTGVLAASLVGFISAAAAWTLDYRRKPKAKRDQHIIPGLVWKEFGRLENLERFSDYVARQMGFADADECPRLCKLAYDYLKRSEGCENNIYDFFAGAPELQTFLYVKLMAEFEKCILTYFAFHWSRASLFIGQVMDVESVRKPKRKGFDDNDLKQRFERVTEELKVTRLFSTLVEGLKASGQGESHCTEVMVPVALSERSPVLLLMGGVMGAGKSTVTKDILKEPFWSGAKAKAVVVEADAFKESDVTYRANSSMGHHDDMLQTAELVHQSSLLVTALNEGQDVIIDGTLSWESFVEQTIAMARNVHKCRYRMRPGYQVAEDWTVDVNYWEKVEQEEEDQRLNNEKGELTGRKPYRIELVGVVCDPYLAVVRGIRRAITTRGAVRVDSQLKSHKRFASAFERYCQLVDNARLYCTNSVGAPPSIAWKDGDNMLLINPEGYKCLTNASNLRAEAESIYELYTDPSPIFEPGSFWKDIVMDPSRPTVQSELRTSILNIEKS >Potri.008G162400.1.v4.1 pep chromosome:Pop_tri_v4:8:11157250:11161356:-1 gene:Potri.008G162400.v4.1 transcript:Potri.008G162400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G162400.v4.1 MQSRVLAASLVGFISAAAAWTLDYRRKPKAKRDQHIIPGLVWKEFGRLENLERFSDYVARQMGFADADECPRLCKLAYDYLKRSEGCENNIYDFFAGAPELQTFLYVKLMAEFEKCILTYFAFHWSRASLFIGQVMDVESVRKPKRKGFDDNDLKQRFERVTEELKVTRLFSTLVEGLKASGQGESHCTEVMVPVALSERSPVLLLMGGVMGAGKSTVTKDILKEPFWSGAKAKAVVVEADAFKESDVTYRANSSMGHHDDMLQTAELVHQSSLLVTALNEGQDVIIDGTLSWESFVEQTIAMARNVHKCRYRMRPGYQVAEDWTVDVNYWEKVEQEEEDQRLNNEKGELTGRKPYRIELVGVVCDPYLAVVRGIRRAITTRGAVRVDSQLKSHKRFASAFERYCQLVDNARLYCTNSVGAPPSQIAWKDGDNMLLINPEGYKCLTNASNLRAEAESIYELYTDPSPIFEPGSFWKDIVMDPSRPTVQSELRTSILNIEKS >Potri.013G133700.3.v4.1 pep chromosome:Pop_tri_v4:13:13954405:13958669:1 gene:Potri.013G133700.v4.1 transcript:Potri.013G133700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133700.v4.1 MDTLLIKIDDEPHEPNEMMDPSLYEFIKLDSVVPFKSCVRKRSAKELQTPAGNSLLHVAVSYGSDNITSYLAETFPSLITIQNSQKDTILHLAAREGKASHTIKSLAESNPSLMRKTNTKGNTPLHDAVIKGNKELAIFLVSKDPEVAYYNNKNGRSPLYLAVENGNKKEILDYLLKTEASFPIESEDGDALPKGKSPVHAAIEQRNRDILEKIEKAKPELLCLTDKELGNSLHYASSRGFLEGVQFLLQKFLNGAYKRNHEGNYPIHLACKNDSVDVVKEFLKITPFPKEFLNEKGQNILHVAAENGKGNVVRYILRQEKTLVEPLLNEMDEDGNTPLHLATSHGQSVAAFVLVRDKRVDSSIVNNENLTPYDIAEKQSKIAVEQYEKTDEMLAEDRKQFDSKNSTPADKTKDKVVDSKKQDTKKASRKHYELVDYYGAMTTLSILYFHARPKKSLYERFTSTQGKPPRKQETKSRTENLLVVAVLVVGVTFAGAIQMPQLRYKNNSSEHLQEFNSTATASRNSTALDSPTGSSLLDGYLCLDVWALNTSVVAAIILLWTNLNDVKFAPFALWFSSLMVGGSIYMMCLSFFFAVSIALGGSNYGVFAIIIIVVGIAFFVAQTLLYIQWILPPSVNQIIEGLISYYVYYISFFVLVYSWRWLTDKLPDLRRKKSNYPG >Potri.013G133700.1.v4.1 pep chromosome:Pop_tri_v4:13:13954341:13957622:1 gene:Potri.013G133700.v4.1 transcript:Potri.013G133700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G133700.v4.1 MDTLLIKIDDEPHEPNEMMDPSLYEFIKLDSVVPFKSCVRKRSAKELQTPAGNSLLHVAVSYGSDNITSYLAETFPSLITIQNSQKDTILHLAAREGKASHTIKSLAESNPSLMRKTNTKGNTPLHDAVIKGNKELAIFLVSKDPEVAYYNNKNGRSPLYLAVENGNKKEILDYLLKTEASFPIESEDGDALPKGKSPVHAAIEQRNRDILEKIEKAKPELLCLTDKELGNSLHYASSRGFLEGVQFLLQKFLNGAYKRNHEGNYPIHLACKNDSVDVVKEFLKITPFPKEFLNEKGQNILHVAAENGKGNVVRYILRQEKTLVEPLLNEMDEDGNTPLHLATSHGQSVAAFVLVRDKRVDSSIVNNENLTPYDIAEKQSKIAVEQYEKTDEMLAEDRKQFDSKNSTPADKTKDKVVDSKKQDTKKASRKHYELVDYYGAMTTLSILYFHARPKKSLYERFTSTQGKPPRKQETKSRTENLLVVAVLVVGVTFAGAIQMPQLRYKNNSSEHLQEFNSTATASRNSTALDSPTGSSLLDGYLCLDVWALNTSVVAAIILLWTNLNDVKFAPFALWFSSLMVGGSIYMMCLSFFFAVSIALGGSNYGVFAIIIIVVGIAFFVAQTLLYIQWILPPSVNQIIEGLISYYVYYISFFVLVYSWRWLTDKLPDLRRKKSNYPG >Potri.014G122300.1.v4.1 pep chromosome:Pop_tri_v4:14:8238737:8241936:1 gene:Potri.014G122300.v4.1 transcript:Potri.014G122300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G122300.v4.1 MVDSSSSTRKTTKMTTSTSPCLSGNEKKHWWLSNRKIVDKYIKDARNLIASQEMSEIASALNLLDAALALSPRFEVALELKARSLLYLRRFKDVADMLQDYIPSLKMANDDSGSISASDSSSQQLSRERVNLLPSEKTDPSFKCFSVSDLKKKVMAGLCKNCDKEGQWRYLVLGQACCHLGLMEDAMVLLQTGKRLTTAAFRRESISWSEDSFSFSNFPVSSDISTSTAPPTPPRNLTESESIPQLLAHIKLLLRRRTAALAALNAGLYSEAIRHFTKIVEGRRGAPQGFLAECYMHRAFAYKASGRIAESIADCNKTLALDPTCIQALDTRAFLLETIRCLPDCLHDLEHLKLLYNSILRDRKLPGPAWKRHNVGYREIPGKLCALSTKIQELKQRVASGETGNVDYYALIGLRRGCSRSELERAHLLLSLRHKPDKSINFIERCEFADDRDLDSVKDRAKMSALLLYRLLQKGYSNVMSTIMDEEVGEKQRKKAAAALQAAQAAAIQAQQTTQNAKMQSNPSAVEISGSDRINWNDNKVSSASAGSTAAPVFQGVFCRDLAAVGNLLSQGGFSRPIPMKYEALSC >Potri.002G150900.1.v4.1 pep chromosome:Pop_tri_v4:2:11524548:11525962:1 gene:Potri.002G150900.v4.1 transcript:Potri.002G150900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G150900.v4.1 MIHGNIKGNQISPQRFEVIVNELPSFLIHSSSRYHIMPELDPYEYLNIRIDPDGTVTRLLNLPPANANPDLNSGAAVFSKDAILSEEKNTAVRIYLPSNIITKHAAAATTVNEKMRLPIVFHFHGCSWVQFRANSTILHASRSLFAFTIPAIVILVDYRLAPENRLPAPYEDATDALLWLQKQALDPQGEKWLKDYGDFSRCYLHGSGCGGNIAFNAALRSLDMDLSPLKIDGIILNQPLFGGRKRTKSEMKFLADQVASLPAMDLMWELALPEGADRDHPFCNPMADGPHKSKLRSLQRCLVFGFGRDPLVDRQQEFVQMLILHGANVEACFDDSGFHRIDIVDPQRAAILDEIAKGFIDS >Potri.002G011700.1.v4.1 pep chromosome:Pop_tri_v4:2:727594:730779:1 gene:Potri.002G011700.v4.1 transcript:Potri.002G011700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011700.v4.1 MGCSISRIDQLPAVSLCHDRCKFLEEALYQSYALADAHVAYMHSLKSLGPALHRFFDEALINNQSDSQSNGDSAAVTKLSKPSSPDIFCPSSSTNSETSHIDFRSDSEDEEFKDNKDLDSLHRVHKSQFNPFSYDHLDDNEYDYPAWKTPPPPAPSSSAWDFLNFFETYERYELPTKDKEFVNGIRLEGKCGKNGEEDRREAEKGNNVKQKKEAKVVEEKKVEYVKEESKESKDLGHQAKIQSVLEVMKGVEVLFDKAAESGNEVLKIFDAGKFRYYYKNSVYQGVSSKMLHTVTPSFSEKNGSVHGDFDEDLGIISVNLSSTLRKLCLWEKKLYHEVKAEEKLRLILAKNCGQMNILGEKGADANKVNSTQPLLRMLSTKITVAIQVIDKISITISKLRDEELWPLISDLIEKLLDMWKVMLECHRCQSQAVVETRSLDAVASNVKFSDAYLEAAIQLKIELQNWNLSFSNWINAQRGYVRALNGWLLRCLPSELEETLDDVPPFSPGRTGAPLVFAFCNQWSLAIDRVSEMEVIYAMNGLFDIVNQFLERHHVYLQQRLTTDKDMVKKMKILEREGQRLQKVIQARGKTFQAGRAVHRSEMTDNSSLQFGLRQIFVAIEQFSADTMHGYEELHVHIEHSRLGQENPAAP >Potri.006G191700.5.v4.1 pep chromosome:Pop_tri_v4:6:19882836:19887007:-1 gene:Potri.006G191700.v4.1 transcript:Potri.006G191700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191700.v4.1 METSFLLKLTIPLSCHLDVCLLQLININKTNSCHSLKSSALLSMILKPLHYPSSFSTNTAIKCLFQVLVSFIFSPFPFTTTKIAIESCFISTPVGTTLHVIVFEPSQETTQIKSNAIVLVHPYSKMGGSRTLVHGIASRLSIKGFTAITFDMRSVGRSTGVFLMVLLKFRCRCCLYSGLLKVASKQDFEGTAHAFHVDW >Potri.016G077400.2.v4.1 pep chromosome:Pop_tri_v4:16:5823104:5827107:-1 gene:Potri.016G077400.v4.1 transcript:Potri.016G077400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077400.v4.1 MMAMPHTLSVLALFALLFVLTNNGAEASHKIYSEYQTLSVENVNQVHRTGYHFQPPRHWINDPNAPMYYKGLYHLFYQYNPKGAVWGNIVWAHSVSKDLINWESLEPAIYPSKWFDNYGCWSGSATVLPDGEPVIFYTGIVDKNNSQIQNYAVPANLSDPYLREWVKPDDNPIVNPDANVNGSAFRDPTTAWWADGHWRILIGSRRKHRGVAYLYRSKDFKKWVKAKHPLHSVQGTGMWECPDFYPVSLSGENGLDPSVMGQNVKHVLKVSLDMTRYEYYTMGTYDKKKDKYFPDEGLVDGWAGLRLDYGNFYASKTFFDPSTNRRILWGWANESDDPQKDKDKGWAGIQLIPRKVWLDPSGKQLLQWPVAELEKLRGHNVQLSNQMLDQGNHVEVKVITAAQADVDVTFSFSSLDKAEPFDPKWAKLDALDVCAQKGSKDPGGLGPFGLLTLASENLEEFTPVFFRVFKAADKHKVLLCSDARSSSLGKELYKPSFAGFVDVDLTDKKLSLRSLIDHSVVESFGAGGRIAISSRVYPTIAVFEKAHLYVFNNGSETITVKNLNAWSMNTPVMNVPVKS >Potri.010G223300.3.v4.1 pep chromosome:Pop_tri_v4:10:20764280:20767363:-1 gene:Potri.010G223300.v4.1 transcript:Potri.010G223300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223300.v4.1 MIGQTNTSSGFMDEIDCGSFFDHIDDLLDFPSDDVDASLPDCTTTNNHASCFIDNDDNSFPGIWSTQSDSLPGSASDLSAELSVPYEDIVQLEWLSNFVEDSFSGGSLTMKKEESASVDKKDSTPHHQFQTSSPVSVLESSSDCSGEKNAPRSPEIVASGKCGRARSKRPRPAAFTPRPAMQLVSPTSSITEVPQQFVSPRVPSDSESFAESRLVIKIPEHVDPEHKKKKKIKFIVPSGTVEMNQNSQPQQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVVEMRAKAGEKITTSRPATMMVNSPEFIPNESNPAMDYM >Potri.010G223300.2.v4.1 pep chromosome:Pop_tri_v4:10:20764164:20767665:-1 gene:Potri.010G223300.v4.1 transcript:Potri.010G223300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223300.v4.1 MIGQTNTSSGFMDEIDCGSFFDHIDDLLDFPSDDVDASLPDCTTTNNHASCFIDNDDNSFPGIWSTQSDSLPGSASDLSAELSVPYEDIVQLEWLSNFVEDSFSGGSLTMKKEESASVDKKDSTPHHQFQTSSPVSVLESSSDCSGEKNAPRSPEIVASGKCGRARSKRPRPAAFTPRPAMQLVSPTSSITEVPQQFVSPRVPSDSESFAESRLVIKIPEHVDPEHKKKKKIKFIVPSGTVEMNQNSQPQQAVRKCMHCEITKTPQWRAGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFVPSLHSNSHKKVVEMRAKAGEKITTSRPATMMVNSPEFIPNESNPAMDYM >Potri.006G266900.3.v4.1 pep chromosome:Pop_tri_v4:6:26180384:26182454:1 gene:Potri.006G266900.v4.1 transcript:Potri.006G266900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G266900.v4.1 MEISEEMSLNELPEGCIATALSFTTPQDVGRLSVVSPMFMLAAVSDVVWERFLPRDLESILSTSPDGSRLMASAASKKELYFSLCENPVLVGNGRKSFSLEKKSGKKCYRLSARDLLITWGDSPEYWTWNSDPTSRFPEVAELISVCWLEISGKINTSMLSPATLYTAYLVLKLSIDIHNYGLDDQPVAVAMKLDGEESYTRTVSWSAERRRGQVPRNVRRSFSLFSFSRSRSVSTGESDGHYPQERGDGWLEIELGEFFTKEGKDGELGIRVFDGTTHWKRGLIVEGIEIRPKQGARGASPSS >Potri.004G107500.1.v4.1 pep chromosome:Pop_tri_v4:4:9495548:9496486:1 gene:Potri.004G107500.v4.1 transcript:Potri.004G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G107500.v4.1 MADNTQKMSYHAGEAKGQAQEKASNLMDRADNAAQSAKESVQEAGQQVREKSQGAVEGVKNATGMNK >Potri.018G051800.3.v4.1 pep chromosome:Pop_tri_v4:18:5047409:5053579:-1 gene:Potri.018G051800.v4.1 transcript:Potri.018G051800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G051800.v4.1 MDRFGGVHGFGSGGSDERRALLSRGGGRKRNDASEDNQFTDLEHGDAVPAANVGFGRVLSLAKPDAVKLIIATLALLIASTSSILIPKFGGKIIDIVSGDIETPEQKAEALHAVNNTILEIFLIVITGSVCTALRAWLFSSTSERVVARLRSNLFAHLINQEVAFFDVTKTGELLSRLSEDTQIIKKAATTNLSEALRNVSTALIGLGFMFATSWKLTFLSLAVVPAISVAVRQFGRFLRELSHKTQAAAAASASIAEKVVGLFLGGLNAASTLSVIVVVIYGANLTITGSVTAGALTSFILYSLTVGSSISGLSGLYTVAMKAAGSSRRVFQLLDRVSSMPKSGNKCPLGEQDGDVELDDVWFAYPSRPNHMVLKGITLKLQPGSKVALVGPSGGGKTTIANLIERFYDPIKGKILLNGVPLVEISHEHLHRKISIVSQEPVLFNCSIEDNIAYGCEGKVSSMDIENAAKMANAHDFIANFPDKYQTFVGERG >Potri.017G092400.1.v4.1 pep chromosome:Pop_tri_v4:17:10519173:10525566:1 gene:Potri.017G092400.v4.1 transcript:Potri.017G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092400.v4.1 MAWKRLLTLARRPHRPLSATTARSFSNAASVATVSPTTPSTPPPPTAMIYDRLAESVKSKLKLLENPDPRFLKYGSPHPTLKTHTHILSSPETRITTLPNGLRVATESNLAAKTATVGVWIDAGSRFESDETNGTAHFLEHMIFKGTEKRGVRELEEEIENMGGHLNAYTSREQTTYYAKVMDKDVNKALDILADILQNSTFDEGRISRERDVITLEMKEVEGQTEEVIFDHLHATAFQYTPLGRTILGPAKNIETISRNDLQNYIQTHYTAPRMVIVASGAVKHEEFVGEVKKLFTKLSSDPTTAAQLVSKDPAYFTGSEVRIIDDDVPLAQFAVAFQGASWTDPDSIALMVMQAMLGSWNKSAGGGKHMGSELAQRVGIDEIAESMMAFNTNYKDTGLFGVYAVAKPDSLDDLAWAIMHETSKLCYRVSEADVTRACNQLKSSLLLHIDGTSPVAEDIGRQLLTYGRRIPYAELFARIDSVDSSTIKRVANRFIHDQDIAIAAMGPIQGLPDYNWFRRRTYLNRY >Potri.T002418.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:257977:260137:1 gene:Potri.T002418.v4.1 transcript:Potri.T002418.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T002418.v4.1 MPERALYCCWSWKRRALLVADACSRCMEVAELLLILTILVSSAVKVFVPLHPIKACAFPSKSHGPLKLSRFSEQGGSHANVVHGSGLEADVAEINGDWDHSLEGDREEDDLKPLFAFFFFVRSLQIPGVENEE >Potri.005G128401.1.v4.1 pep chromosome:Pop_tri_v4:5:9697377:9698562:-1 gene:Potri.005G128401.v4.1 transcript:Potri.005G128401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G128401.v4.1 MEGTMDLNEHYKIRGSVYRAKINGRVLAVKKTKDDVTEELKILQKVSHANLVKLMGMSSGFDREGNRFLVYEYAENGSLEKWLHPTSESSSSSAGFLTWSQRLHVALDVANGLQYMHEHTQPSIVHKDIRTTNILLDSTFRAKIANFSMARPATDSLMPKVDVFDYGVVLLQLLSGKKAMVTKENGEIVLLCKEIKAVLEIEEKREESLRKWMDPCLERFYPIDSALSLATLARLCTLEESSERPSMAEIVFNLTVLTQSPPETFERWTSGMETEDFTRLISPVTAR >Potri.019G012400.1.v4.1 pep chromosome:Pop_tri_v4:19:1715390:1715743:1 gene:Potri.019G012400.v4.1 transcript:Potri.019G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012400.v4.1 MGSQRKNQCLTMIIFVAIVFGPCSHQILAARPLEGEQWLKQNLGNIQSLQRAPVPPSSGSPCTHTPGLGSGGHCPLGEMNFAGHIVAHAPPAFPDAIVNFSTASVTNNETQKQDSSS >Potri.004G184800.2.v4.1 pep chromosome:Pop_tri_v4:4:19833801:19838013:-1 gene:Potri.004G184800.v4.1 transcript:Potri.004G184800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184800.v4.1 MTFKTLTKPQIKQTSKTLRSRFNLQLHTHQPLLQTHSVALKPHSGSKPPNLSEAHPVFCKSPQQDLVYYNHLLFQCSRENRNRGVVDLFVGIHRSGFFIDGSTLSCVLKACSFLFDRNFGIQVHNYSLKSGFLEDVSVGTALLDMYLKNENVEEGRRAFDEMGARNVVSWTSLLTGYAQNGLNVETLKLFLRMLVEGIKPNPFTFSAVIGALTDEGMVEAGIQVHTMAIKNGFGVATFASNSLINMYFKSGMIRDARAVFDSMTDRNAVSWNSMVAGLVTNGLHLETLDVFYHMRLAGVKLTKMVFAPVIKLCGNIKELGFSRQLHCQVLKSGFEYDKNIRTTLMLAYSKCGEMDNAFKIFSVMSDEVRNVVSWTAMISGYLQNGMAEQAVNLFCQMSREGIKPNDFTYSTILTAQPGVSPFEMHAQAIKRNYVKSPSVGTALLDAYVKRGNVDEASKVFQRIEEKDIVAWSAMICGYAQIGDTEGAVRIFVQMAKEKIKPNEYTFSGIINACAAPTAGVEQGKQLHAWSIKSRFNNALCVSSALLTMYSKRGDIESAFEVFKRQRERDLVSWNSIISGYAQHGYGRKALEVFEEMQRQNLEMDGVTFIGVISACTHTGLANEGQRYFDIMVKDHHIEPRMEHYSCMVDLYGRAGMLVKAMEIINEMPFPASANVWRTLLAASCIHRNLEVGKLAADKLISLQPQNPASYVLLTNMYASVGNWKERAKVRKLMEEKKVKKVAGYSWIEVKNKTYTFLAGDVSHPLSNQIYAKLEELSCQLKGAGYQPDTSYVFQDVDEEHKEAILSQHSERLAIAFGLIGTPPGTPLQIVKNLRVCGDCHSVIKLISILEGRDIVVRDSNRFHHFKGGLCSCGDYW >Potri.004G184800.5.v4.1 pep chromosome:Pop_tri_v4:4:19834426:19838011:-1 gene:Potri.004G184800.v4.1 transcript:Potri.004G184800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184800.v4.1 MTFKTLTKPQIKQTSKTLRSRFNLQLHTHQPLLQTHSVALKPHSGSKPPNLSEAHPVFCKSPQQDLVYYNHLLFQCSRENRNRGVVDLFVGIHRSGFFIDGSTLSCVLKACSFLFDRNFGIQVHNYSLKSGFLEDVSVGTALLDMYLKNENVEEGRRAFDEMGARNVVSWTSLLTGYAQNGLNVETLKLFLRMLVEGIKPNPFTFSAVIGALTDEGMVEAGIQVHTMAIKNGFGVATFASNSLINMYFKSGMIRDARAVFDSMTDRNAVSWNSMVAGLVTNGLHLETLDVFYHMRLAGVKLTKMVFAPVIKLCGNIKELGFSRQLHCQVLKSGFEYDKNIRTTLMLAYSKCGEMDNAFKIFSVMSDEVRNVVSWTAMISGYLQNGMAEQAVNLFCQMSREGIKPNDFTYSTILTAQPGVSPFEMHAQAIKRNYVKSPSVGTALLDAYVKRGNVDEASKVFQRIEEKDIVAWSAMICGYAQIGDTEGAVRIFVQMAKEKIKPNEYTFSGIINACAAPTAGVEQGKQLHAWSIKSRFNNALCVSSALLTMYSKRGDIESAFEVFKRQRERDLVSWNSIISGYAQHGYGRKALEVFEEMQRQNLEMDGVTFIGVISACTHTGLANEGQRYFDIMVKDHHIEPRMEHYSCMVDLYGRAGMLVKAMEIINEMPFPASANVWRTLLAASCIHRNLEVGKLAADKLISLQPQNPASYVLLTNMYASVGNWKERAKVRKLMEEKKVKKVAGYSWIEVKNKTYTFLAGDVSHPLSNQIYAKLEELSCQLKGAGYQPDTSYVFQDVDEEHKEAILSQHSERLAIAFGLIGTPPGTPLQIVKNLRVCGDCHSVIKLISILEGRDIVVRDSNRFHHFKGGLCSCGDYW >Potri.004G184800.1.v4.1 pep chromosome:Pop_tri_v4:4:19833801:19838011:-1 gene:Potri.004G184800.v4.1 transcript:Potri.004G184800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184800.v4.1 MTFKTLTKPQIKQTSKTLRSRFNLQLHTHQPLLQTHSVALKPHSGSKPPNLSEAHPVFCKSPQQDLVYYNHLLFQCSRENRNRGVVDLFVGIHRSGFFIDGSTLSCVLKACSFLFDRNFGIQVHNYSLKSGFLEDVSVGTALLDMYLKNENVEEGRRAFDEMGARNVVSWTSLLTGYAQNGLNVETLKLFLRMLVEGIKPNPFTFSAVIGALTDEGMVEAGIQVHTMAIKNGFGVATFASNSLINMYFKSGMIRDARAVFDSMTDRNAVSWNSMVAGLVTNGLHLETLDVFYHMRLAGVKLTKMVFAPVIKLCGNIKELGFSRQLHCQVLKSGFEYDKNIRTTLMLAYSKCGEMDNAFKIFSVMSDEVRNVVSWTAMISGYLQNGMAEQAVNLFCQMSREGIKPNDFTYSTILTAQPGVSPFEMHAQAIKRNYVKSPSVGTALLDAYVKRGNVDEASKVFQRIEEKDIVAWSAMICGYAQIGDTEGAVRIFVQMAKEKIKPNEYTFSGIINACAAPTAGVEQGKQLHAWSIKSRFNNALCVSSALLTMYSKRGDIESAFEVFKRQRERDLVSWNSIISGYAQHGYGRKALEVFEEMQRQNLEMDGVTFIGVISACTHTGLANEGQRYFDIMVKDHHIEPRMEHYSCMVDLYGRAGMLVKAMEIINEMPFPASANVWRTLLAASCIHRNLEVGKLAADKLISLQPQNPASYVLLTNMYASVGNWKERAKVRKLMEEKKVKKVAGYSWIEVKNKTYTFLAGDVSHPLSNQIYAKLEELSCQLKGAGYQPDTSYVFQDVDEEHKEAILSQHSERLAIAFGLIGTPPGTPLQIVKNLRVCGDCHSVIKLISILEGRDIVVRDSNRFHHFKGGLCSCGDYW >Potri.002G248100.1.v4.1 pep chromosome:Pop_tri_v4:2:23839305:23841181:1 gene:Potri.002G248100.v4.1 transcript:Potri.002G248100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G248100.v4.1 MPPVSLNRLLYSTLKKPRLVFSPLSPPSTLQITDPSLYHRHRPFSENPQSQTPEIPFLKTPTRTPLETQFETWTQNLKPGFTPTDVDTAIRAQSDPDLALDIFRWTAQQRNYKHNHITYLTVIKILISGRRYRQAETLIEEVIAGACEISVPLYNSMIRFCCGRKLLFNRAFDIYKKMLKSEDCKPTLDTYTLLFNSLLRRFNKLNVCYVYLHAVKSLTKQMKASGVIPDTFVLNMIIKAYAKCLEVDEAVRVFREMGLYGCEANAYSYSYLVKGLCGKGRSGQGLGFYKEMKGKGLVPSGSTYMILICSLGMERRFEEAIGVVFDMLGDSMSPDLLTYRTVLEGLCREGMVDKAFELLEEWRKKDGFMGEKNYKSLLNGLHFVSRQ >Potri.011G079000.4.v4.1 pep chromosome:Pop_tri_v4:11:8482661:8484479:-1 gene:Potri.011G079000.v4.1 transcript:Potri.011G079000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079000.v4.1 MGFTMGLNLVLVLAMVATNILSLYHLSSRVQSPKPPSDQQPVPDHLLHQLNTIRATISHLTRHQPPSTTPSPTTTKPSVPQDLLLYSHLSPIASSCHNHPDLLHKYMSYSPYSLCPLDSDLLAESLILHGCHPLPRRRCFSKTPPKPPSSLPRNPFPSSFLDSNVIWNKYPTCRSFSCLAKQNPSLGFDLNNEISKFMTYKTELDLPIPQLLQVAKSADSVIRLGIDIGGATGTFAARMKQYNVTVVTTTMNFNVPNNEVVAMRGLVPLHVPLQHRLPVFDGVVDLVRCGHAVNRWMPLTMMEFLFYDVDRVLRGGGYLWFDHFFSKRVDLDKVFGPLIGKLGYKKVKWAVGNKTDSGGLKNGEVYLTALLQKPV >Potri.011G079000.1.v4.1 pep chromosome:Pop_tri_v4:11:8480943:8484151:-1 gene:Potri.011G079000.v4.1 transcript:Potri.011G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G079000.v4.1 MGFTMGLNLVLVLAMVATNILSLYHLSSRVQSPKPPSDQQPVPDHLLHQLNTIRATISHLTRHQPPSTTPSPTTTKPSVPQDLLLYSHLSPIASSCHNHPDLLHKYMSYSPYSLCPLDSDLLAESLILHGCHPLPRRRCFSKTPPKPPSSLPRNPFPSSFLDSNVIWNKYPTCRSFSCLAKQNPSLGFDLNNEISKFMTYKTELDLPIPQLLQVAKSADSVIRLGIDIGGATGTFAARMKQYNVTVVTTTMNFNVPNNEVVAMRGLVPLHVPLQHRLPVFDGVVDLVRCGHAVNRWMPLTMMEFLFYDVDRVLRGGGYLWFDHFFSKRVDLDKVFGPLIGKLGYKKVKWAVGNKTDSGGLKNGEVYLTALLQKPV >Potri.001G019600.9.v4.1 pep chromosome:Pop_tri_v4:1:1442383:1446776:1 gene:Potri.001G019600.v4.1 transcript:Potri.001G019600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019600.v4.1 MNWNSHMEGHYMNPSYPYNSAGSFIEYFEGLTYDHVNFIFNGGSHAQDIVYPSTNANFYKFGISPPGSTSYYDPTHIYEVHDNGLRNEEYGRPLENSSTTTNEQTSRVNTEWEVNENRTSHDDPVECLRRHHNVQDYQAIWQDNVDPDSMTYEELLELGETVGTQNRGLTQELISLLPISKYNRSFFSRRNSRSERCVICQMEYKRGDRRITLPCKHIYHAGCGTRWLCINKACPICYTEVFGDASRH >Potri.001G019600.10.v4.1 pep chromosome:Pop_tri_v4:1:1442595:1446776:1 gene:Potri.001G019600.v4.1 transcript:Potri.001G019600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019600.v4.1 MNWNSHMEGHYMNPSYPYNSAGSFIEYFEGLTYDHVNFIFNGGSHAQDIVYPSTNANFYKFGISPPGSTSYYDPTHIYEVHDNGLRNEEYGRPLENSSTTTNEQTSRVNTEWEVNENRTSHDDPVECLRRHHNVQDYQAIWQDNVDPDSMTYEELLELGETVGTQNRGLTQELISLLPISKYNRSFFSRRNSRSERCVICQMEYKRGDRRITLPCKHIYHAGCGTRWLCINKACPICYTEVFGDASRH >Potri.002G091500.1.v4.1 pep chromosome:Pop_tri_v4:2:6617232:6621414:1 gene:Potri.002G091500.v4.1 transcript:Potri.002G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091500.v4.1 MNYSQVTSCICIPKAILLQPKTNWTSSKSGNERRAGHWTHVPRNVNMEGLRSGYLFPEISIREHEHIQKNPNARLIRLGIGDTTQPIPDIITTAMAEHADALSTTRGYRGYGAEQGNMELRMAIAETLYRGTGVKGSEIFVSDGAQCDISRLQMLLGSNVKVAVQDPSFPAYIDTSVIVGQSGKLEEKTGKYSDIVYMNCGAENNFFPDLSTTPRTDVIFFCSPNNPTGSAASWKQLKQLVDFAKTNGSIIVYDSAYAAYISDESPRSIFEIPGAKEVAIEISSFSKFAGFTGVRLGWTVVPEELKYSNGFPVIEDFNRIVCTCFNGASNIVQAGGLACLSRDGYQAVCNVVDYYKENAKILVEAFASLGLKVYGGKNAPYVWVHFPGMSSWNVFNEILEKTHVVTVPGRGFGPGGEEYIRVSAFSHRENMMEASLRMKKLFR >Potri.013G142201.1.v4.1 pep chromosome:Pop_tri_v4:13:15656779:15657495:1 gene:Potri.013G142201.v4.1 transcript:Potri.013G142201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142201.v4.1 MTIALGKFTKDENDLFDIMDDWLRRDRFVFVGWSGLLLFPCAYFALGGWFTGTTFVTSWYTHGLASSYLEGCNFLTAAVSTPANSLAHSLLLLWGPEAQGDFTRWCQLGGLWTFVALHGAFGLIGFMLRQFELARSVQLRPYNAIAFSGPIAVFVSVFLIYPLGQSGWFFAPSFGVAAIFRFILFFQGFHNWTLNPFHMMGVAGGRLPMSVIDDYTIRTILNSPQSLIKMVKPPLIKD >Potri.018G100000.1.v4.1 pep chromosome:Pop_tri_v4:18:12035113:12037084:1 gene:Potri.018G100000.v4.1 transcript:Potri.018G100000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G100000.v4.1 MAENSNPTEALAVTTTKETTKTNKRIVENTKSDKGTQVRKYAGLLSIFTFVLSVLIIALAIWLLYMRDYDCEKLLRLPRLQMGIGIGLIFVSLISNIVVFLRPRFPVPGFFLVMVPLIVMFTMGLALVGANKMESRRLVATPMWFREKIRNHDDWENIKSCIFSSGTCDDLVSRSLNLKAFDFSIKKLSSIESGCCKPPSICQMEYVNATFWIKVDGAVDESQLQYSDCATWRNDPSSLCYNCGSCRRGFVRIMESKWRNLGVLLILMGLLLVIAHILLFVMVMWER >Potri.016G004401.1.v4.1 pep chromosome:Pop_tri_v4:16:215580:216153:1 gene:Potri.016G004401.v4.1 transcript:Potri.016G004401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G004401.v4.1 MKFNKETKMLAIVTIIALLITSASAKRGGGGSIGGGSRGGSSAGGGTRARGGSPGLQSGGVLSGGRGTTIPVGAGGVVPIVRGGTHAGGRSGSASLARDAAPMFLNALVTLWIFF >Potri.016G039051.1.v4.1 pep chromosome:Pop_tri_v4:16:2396102:2397009:-1 gene:Potri.016G039051.v4.1 transcript:Potri.016G039051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039051.v4.1 MAELPSYPQELFQFIASKTSSRDLVWDVGTGSGQAARSLAGIYKPVTGTDTSLKQLEFAPKLPNVSYHHTPSVMSMSGLEQTLSTQSSVDLVTVAQAMHWFDLHAFYQQVKWILKKPNGVIAAWCYTVPEVNDSVDSVLNPFYSIDSDPYWEPQLKLIDDKYMSIDFPFEPVEGADHTGPSKSWSAYQTAKTKGVELLRDDMIESFKRAWNEDGHDEKVVKFPVYLRIGKVGNA >Potri.012G096900.2.v4.1 pep chromosome:Pop_tri_v4:12:12083633:12086851:-1 gene:Potri.012G096900.v4.1 transcript:Potri.012G096900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096900.v4.1 METSSSHPSDSSPKAAGASKFLANLPSRGFLSSTVSSSSSNPGGMRVYICEHDTSPPEGQQIKTNQTNILIRSLQLNKQKGDSSSKDVKGVAANEGSRKRAPEKALNSRASAKRGNNQIGSRQDESDSRTSDKDYYSLTVERLRALLKERGLSPKGRKDELVARLRGV >Potri.014G186866.1.v4.1 pep chromosome:Pop_tri_v4:14:15564539:15565590:-1 gene:Potri.014G186866.v4.1 transcript:Potri.014G186866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186866.v4.1 MWVEHQDYKSLLLKHWHTEVYGSPMYILCRRLKLLKGPLKQLNKFHFGHISERVRRAEVNLEHHQSLLQDNRNNAQFLAQDHKLCLELVNLKSSKKMFYSQKLKCNLFKDSERGTSFFHALMNQKHKKNFIHATGYFKQE >Potri.016G116900.1.v4.1 pep chromosome:Pop_tri_v4:16:12146349:12149140:1 gene:Potri.016G116900.v4.1 transcript:Potri.016G116900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G116900.v4.1 MEMASSARTVEEIFKDFSARRAAVVRALTHDVDAFYGLCDPDKENLCLYGHPSETWEVALPAEEVPPELPEPALGINFARDGMNRKDWLSLVAVHSDSWLLSVAFYLGARLNRNERKRLFSLINDLPTVFEVVTERKPVKEKPSVDSGSKSRGSIKRSSDGQMKSNPKLMEDSYEDEEDHTETLCGSCGGNYNADEFWIGCDVCERWYHGKCVKITPAKADSIKQYKCPSCMKRSRS >Potri.009G165100.1.v4.1 pep chromosome:Pop_tri_v4:9:12653260:12654963:-1 gene:Potri.009G165100.v4.1 transcript:Potri.009G165100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G165100.v4.1 MANLSDPLVVGRVIGDVIDYFTPNVKMTVTYNSNKQVYNGHELFPSAVTHKPKVEVHGGDMRSFFTLIMTDPDVPGPSDPYLREHLHWIVTDIPGTTDATFGREVVNYEMPRPNIGIHRFVYLLFRQKGRQTVSTPSSRDKFNTRKFAEENELDLPVAAVFFNAQRETAARRR >Potri.008G186300.1.v4.1 pep chromosome:Pop_tri_v4:8:12972690:12974432:1 gene:Potri.008G186300.v4.1 transcript:Potri.008G186300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G186300.v4.1 MEEALRRLDGIPSHTLETILHEPIIADLQKKSAPTNTASTTTNKRSLKESGGTGGNMRYRGVRRRPWGRYAAEIRDPQSKERRWLGTFDTAEEAACAYDYAALAMRGLKARTNFVYPDAHSATADPAHNLLPPFTFSKSSHQPPRPYTISSSNCSSLGHPHVGDFSAGGSPPHRSTSDSIAGTSLNMLFLRDFIHSPSGSSFHNHPQPFSDHSPCINGSSNSDPSNFSGGYLVNPSINTISSSFNISLADSTVTTLPHMEFHQNYRAPGDLKRPDAKAEELEFFPQEPSDSGLLQEIIQGFFPKHSSDKIASSEISGESVAAQTSEIISVNQSLDGSRRGMKKYFVKNEHHDPYLGHQGIRSGQLIEGCYSGVSSHELPHCNEMSLINHQMGPDSILDDIFQYPDRMSAFAARFQNV >Potri.001G061200.1.v4.1 pep chromosome:Pop_tri_v4:1:4691664:4693977:1 gene:Potri.001G061200.v4.1 transcript:Potri.001G061200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061200.v4.1 MEKLSFVKNGVLRLPPGFRFHPTDEELVVQYLKRKVFACPLPASIIPEVDVCKSDPWDLPGDLEQERYFFSTREAKYPNGNRSNRATGSGYWKATGIDKQIVTSKGHQVVGMKKTLVFYRGKPPHGTRTDWIMHEYRLASTETTACNTLKNKNSTQGPVVVPMENWVLCRIFLKKRGTKNEEENIQVGNDNRLPKLRATEPVFYDFMTKEKTTDLNLAPSSSSSGSSGITEEVSCNESDDHEESSSCNSFPYVRRKP >Potri.010G014200.1.v4.1 pep chromosome:Pop_tri_v4:10:2384613:2386627:1 gene:Potri.010G014200.v4.1 transcript:Potri.010G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G014200.v4.1 MALKPIDNALPLPHETAKFGVNEESKIASLPPPPADPIIDYISSENLEPISDPESEIQGLVEELDSKDWTRVCESLNNVRRFALYHSLLLLPILEKVMLMVVKAMKNPRSALCKTSIMASSDIFKVFGDQSLDSANNAFDNLLLQLLLKASQDKRFVCEEADKALNAMVKSMTPLPLLNKLRPYVRHINPRIRAKAAITISNSVSKMGLEAMNEFGLVSLVQMAADLLNDRLPEAREAARNIVTCIYEAYTRNEEQKQESWQNFCQSSLPPIHAQSMVKITSSQ >Potri.001G321300.2.v4.1 pep chromosome:Pop_tri_v4:1:33091660:33092001:-1 gene:Potri.001G321300.v4.1 transcript:Potri.001G321300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G321300.v4.1 MERKCFPHFCFLLVILSLVILQLGDKLVASKTNGCNGSIAECDEEYEFLMPSHVSKRYLEEKRKYISPGALKPDQPVCNEGASGQSYSSSCLPPPSNSPSRGCSKYYRCRSDD >Potri.010G240300.1.v4.1 pep chromosome:Pop_tri_v4:10:21853345:21856025:-1 gene:Potri.010G240300.v4.1 transcript:Potri.010G240300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G240300.v4.1 MYHPTRGGVRGGRDQFSWDDVKADKHRENYLGHSIKAPVGRWQKGKDLHWYARDKKSGSSNEDALKEEIQRIKEEEERSMREALGLAPKRSSRPQGNRLDKHEYTELVKRGSTAEDLGAGHADAARVDGLGFSRAPRAWEDPSTLPSISKEASPEPVKVAMPDPPAGNSEEDKPEEDKSSRKKRRHEEKKYEKEKKREKHDRHEKYHSRDSDEKKHKKDKERRRHNSGSD >Potri.018G004100.1.v4.1 pep chromosome:Pop_tri_v4:18:310350:315704:-1 gene:Potri.018G004100.v4.1 transcript:Potri.018G004100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004100.v4.1 MAIRHCFDKDVDQVLFEGNSCVKKVILNRPHKLNSLTYHMTCQMIKELKAYEVDPKVKIVILKGNGKAFCAGGDVLASYTCMVAGHWSYGINFYKKQIMLDYLVATYGKPVVAIIDGIVMGGGAGLSLQGTFRIVTENTVFAMPETAIGHFTDVGSSYFLSRLPGFFGEYLGLTGTKIRGAEMVECGLANHFMLSKDVRLLETALDEVTSSDTKTISEIISKFMHKPNVKQHGAFSRLEIINKCFSRTTVEEILSSLESEAETRAEKWILEAINWMKSACPTSLKISLRSIREGRTQGLEQCLIQEFTVGSHIARRTVSDDFYEGTRAMLLDKDRNPKWDPSKLELATDEIVDRYFSRADEDYMESLQLPTRSNLVDTMRPKL >Potri.018G004100.2.v4.1 pep chromosome:Pop_tri_v4:18:310350:316041:-1 gene:Potri.018G004100.v4.1 transcript:Potri.018G004100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G004100.v4.1 MAIRHCFDKDVDQVLFEGNSCVKKVILNRPHKLNSLTYHMTCQMIKELKAYEVDPKVKIVILKGNGKAFCAGGDVLASYTCMVAGHWSYGINFYKKQIMLDYLVATYGKPVVAIIDGIVMGGGAGLSLQGTFRIVTENTVFAMPETAIGHFTDVGSSYFLSRLPGFFGEYLGLTGTKIRGAEMVECGLANHFMLSKDVRLLETALDEVTSSDTKTISEIISKFMHKPNVKQHGAFSRLEIINKCFSRTTVEEILSSLESEAETRAEKWILEAINWMKSACPTSLKISLRSIREGRTQGLEQCLIQEFTVGSHIARRTVSDDFYEGTRAMLLDKDRNPKWDPSKLELATDEIVDRYFSRADEDYMESLQLPTRSNLVDTMRPKL >Potri.009G157000.8.v4.1 pep chromosome:Pop_tri_v4:9:12229899:12234961:1 gene:Potri.009G157000.v4.1 transcript:Potri.009G157000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G157000.v4.1 MESLQSHRVEMISIAVALVAIGAGTAFYFYITKKPKGCLDPENFKEYKLVKRTELSHNVAKFKFALPTPNSVLGLPIGQHMSCRGQDSVGEEVVKPYTPTTLDSDIGYFELVIKMYPQGRMSHHFREMREGDYLAVKGPKGRFKYQPNQVRAFGMIAGGTGITPMFQVTRAILENPDDKTKIHLIYANVTYEDILLKEEIDNLAIIFPNQFNVHYVLNQPPEGWEGGIGFISKEMIQSHCPPPSPDVQILRCGPPPMNKAMAAHLNDLGYTAQMQFQF >Potri.013G066100.3.v4.1 pep chromosome:Pop_tri_v4:13:4905194:4908582:-1 gene:Potri.013G066100.v4.1 transcript:Potri.013G066100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066100.v4.1 MVAGILVQRLFRVLFFSHIVLIAILVICLTIRGLLSAHSHHFHPKKWYPPLLTATGCAGIVAFTWQWFTLCNPSRALRTAFWLSPLLTCAVGVLFLLIGSAAGLTIGVIAIVLALILSLYTCWVNPRFDYATKVLSIAAASPPAKTTTVVLNLSIITGTVYSCFLVSGIGGATAVGTVTDTLFILAILASLAWSTQVIRNTLQVTIARVKYLHYAYGVDMDLRIALRDTFKYSMGSVCISSVLVPIITVVHGSARAISLIAGGTDEFLFSCANCYAAVAATLVNYGNRWGLVQVGVYNKGFVQASMDTWEMLKKVGLEPIIDRDLTGSFCFLSGMAGGAICTLVGGAWTFAVHKSYATEVSIYAFLIGYFMCRIEMAWLQSCVSAYYIAYTENPQNSRLDPAILFRLEEFQRYGD >Potri.003G112000.5.v4.1 pep chromosome:Pop_tri_v4:3:13438237:13443739:-1 gene:Potri.003G112000.v4.1 transcript:Potri.003G112000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112000.v4.1 MVHELHQLYRTQKSLMKNLGCKGCGAYNSWDANVQSFLPPFTNPTRVEPLVKETGISSFSKVFSTPSTSEELLDGCQDAYYRLKQRPLDLQLSADEFISHVEEDLPSIGHAWNHRLREPIDFKHPLSSNYSSGTEELKLSLTTGVDYRRTEGALRTWFDKKTHQYCSVIDLESDEMISDDHAKCTPSVGGAAPETYSPGKHKSLVSAFSNLIFSTRAKKDPSVEIAESSSFQEHSECCREQTSSNEGIMELHDDILFNNLSTKIQQSTSHLKADLDLNKVCLDDPSCFSNDPLLAYPSPASSAGVSAVVIGSVQEETCPTTSWEKRVNGCSNEISDILHAAQVDLNSTTRSANVWTRSSDHNGISGRVVNLTGPEPMASSPVDIFVDIGSCSGDLKNDNVVLKAKLANGLLHDLNQMRLAAIELTSEKSQVEDAVFSCVYQSQNDRHGNQSPVSCKSGIYDNDSNSGKTAQCGNVSGDVNTDLKSHLGAQVADASSDENDLRTSNSCDLKNECYHKKEESAKVDGLMKRAAESLINLSLENSVSYQDSSAKEIRNETREEPQYTCDSFELIVVDLTESNVDENSVTSKPYEVNDVETKDFGSKLRRGRRMKDFQKEILPALASLSRHEIHEDLNIIEGVLRSREYRKISGKMARNGENWSPPLRSRRSRLNYAGRRNCSSRFK >Potri.003G112000.7.v4.1 pep chromosome:Pop_tri_v4:3:13438372:13443776:-1 gene:Potri.003G112000.v4.1 transcript:Potri.003G112000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112000.v4.1 MDLFNPSKEMLMQGDLDLNSVQLYTDSFKEVIKKTILKQEVIFRTQVHELHQLYRTQKSLMKNLGCKGCGAYNSWDANVQSFLPPFTNPTRVEPLVKETGISSFSKVFSTPSTSEELLDGCQDAYYRLKQRPLDLQLSADEFISHVEEDLPSIGHAWNHRLREPIDFKHPLSSNYSSGTEELKLSLTTGVDYRRTEGALRTWFDKKTHQYCSVIDLESDEMISDDHAKCTPSVGGAAPETYSPGKHKSLVSAFSNLIFSTRAKKDPSVEIAESSSFQEHSECCREQTSSNEGIMELHDDILFNNLSTKIQQSTSHLKADLDLNKVCLDDPSCFSNDPLLAYPSPASSAGVSAVVIGSVQEETCPTTSWEKRVNGCSNEISDILHAAQVDLNSTTRSANVWTRSSDHNGISGRVVNLTGPEPMASSPVDIFVDIGSCSGDLKNDNVVLKAKLANGLLHDLNQMRLAAIELTSEKSQVEDAVFSCVYQSQNDRHGNQSPVSCKSGIYDNDSNSGKTAQCGNVSGDVNTDLKSHLGAQVADASSDENDLRTSNSCDLKNECYHKKEESAKVDGLMKRAAESLINLSLENSVSYQDSSAKEIRNETREEPQYTCDSFELIVVDLTESNVDENSVTSKPYEVNDVETKDFGSKLRRGRRMKDFQKEILPALASLSRHEIHEDLNIIEGVLRSREYRKISGKMARNGENWSPPLRSRRSRLNYAGRRNCSSRFK >Potri.003G112000.6.v4.1 pep chromosome:Pop_tri_v4:3:13438420:13443776:-1 gene:Potri.003G112000.v4.1 transcript:Potri.003G112000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112000.v4.1 MDLFNPSKEMLMQGDLDLNSVQLYTDSFKEVIKKTILKQEVIFRTQVHELHQLYRTQKSLMKNLGCKGCGAYNSWDANVQSFLPPFTNPTRVEPLVKETGISSFSKVFSTPSTSEELLDGCQDAYYRLKQRPLDLQLSADEFISHVEEDLPSIGHAWNHRLREPIDFKHPLSSNYSSGTEELKLSLTTGVDYRRTEGALRTWFDKKTHQYCSVIDLESDEMISDDHAKCTPSVGGAAPETYSPGKHKSLVSAFSNLIFSTRAKKDPSVEIAESSSFQEHSECCREQTSSNEGIMELHDDILFNNLSTKIQQSTSHLKADLDLNKVCLDDPSCFSNDPLLAYPSPASSAGVSAVVIGSVQEETCPTTSWEKRVNGCSNEISDILHAAQVDLNSTTRSANVWTRSSDHNGISGRVVNLTGPEPMASSPVDIFVDIGSCSGDLKNDNVVLKAKLANGLLHDLNQMRLAAIELTSEKSQVEDAVFSCVYQSQNDRHGNQSPVSCKSGIYDNDSNSGKTAQCGNVSGDVNTDLKSHLGAQVADASSDENDLRTSNSCDLKNECYHKKEESAKVDGLMKRAAESLINLSLENSVSYQDSSAKEIRNETREEPQYTCDSFELIVVDLTESNVDENSVTSKPYEVNDVETKDFGSKLRRGRRMKDFQKEILPALASLSRHEIHEDLNIIEGVLRSREYRKISGKMARNGENWSPPLRSRRSRLNYAGRRNCSSRFK >Potri.003G112000.2.v4.1 pep chromosome:Pop_tri_v4:3:13438343:13443870:-1 gene:Potri.003G112000.v4.1 transcript:Potri.003G112000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112000.v4.1 MDLFNPSKEMLMQGDLDLNSVQLYTDSFKEVIKKTILKQEVIFRTQVHELHQLYRTQKSLMKNLGCKGCGAYNSWDANVQSFLPPFTNPTRVEPLVKETGISSFSKVFSTPSTSEELLDGCQDAYYRLKQRPLDLQLSADEFISHVEEDLPSIGHAWNHRLREPIDFKHPLSSNYSSGTEELKLSLTTGVDYRRTEGALRTWFDKKTHQYCSVIDLESDEMISDDHAKCTPSVGGAAPETYSPGKHKSLVSAFSNLIFSTRAKKDPSVEIAESSSFQEHSECCREQTSSNEGIMELHDDILFNNLSTKIQQSTSHLKADLDLNKVCLDDPSCFSNDPLLAYPSPASSAGVSAVVIGSVQEETCPTTSWEKRVNGCSNEISDILHAAQVDLNSTTRSANVWTRSSDHNGISGRVVNLTGPEPMASSPVDIFVDIGSCSGDLKNDNVVLKAKLANGLLHDLNQMRLAAIELTSEKSQVEDAVFSCVYQSQNDRHGNQSPVSCKSGIYDNDSNSGKTAQCGNVSGDVNTDLKSHLGAQVADASSDENDLRTSNSCDLKNECYHKKEESAKVDGLMKRAAESLINLSLENSVSYQDSSAKEIRNETREEPQYTCDSFELIVVDLTESNVDENSVTSKPYEVNDVETKDFGSKLRRGRRMKDFQKEILPALASLSRHEIHEDLNIIEGVLRSREYRKISGKMARNGENWSPPLRSRRSRLNYAGRRNCSSRFK >Potri.003G112000.8.v4.1 pep chromosome:Pop_tri_v4:3:13438849:13443770:-1 gene:Potri.003G112000.v4.1 transcript:Potri.003G112000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112000.v4.1 MDLFNPSKEMLMQGDLDLNSVQLYTDSFKEVIKKTILKQEVIFRTQVHELHQLYRTQKSLMKNLGCKGCGAYNSWDANVQSFLPPFTNPTRVEPLVKETGISSFSKVFSTPSTSEELLDGCQDAYYRLKQRPLDLQLSADEFISHVEEDLPSIGHAWNHRLREPIDFKHPLSSNYSSGTEELKLSLTTGVDYRRTEGALRTWFDKKTHQYCSVIDLESDEMISDDHAKCTPSVGGAAPETYSPGKHKSLVSAFSNLIFSTRAKKDPSVEIAESSSFQEHSECCREQTSSNEGIMELHDDILFNNLSTKIQQSTSHLKADLDLNKVCLDDPSCFSNDPLLAYPSPASSAGVSAVVIGSVQEETCPTTSWEKRVNGCSNEISDILHAAQVDLNSTTRSANVWTRSSDHNGISGRVVNLTGPEPMASSPVDIFVDIGSCSGDLKNDNVVLKAKLANGLLHDLNQMRLAAIELTSEKSQVEDAVFSCVYQSQNDRHGNQSPVSCKSGIYDNDSNSGKTAQCGNVSGDVNTDLKSHLGAQVADASSDENDLRTSNSCDLKNECYHKKEESAKVDGLMKRAAESLINLSLENSVSYQDSSAKEIRNETREEPQYTCDSFELIVVDLTESNVDENSVTSKPYEVNDVETKDFGSKLRRGRRMKDFQKEILPALASLSRHEIHEDLNIIEGVLRSREYRKISGKMARNGENWSPPLRSRRSRLNYAGRRNCSSRFK >Potri.008G071200.1.v4.1 pep chromosome:Pop_tri_v4:8:4378515:4380256:-1 gene:Potri.008G071200.v4.1 transcript:Potri.008G071200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G071200.v4.1 MANSVHVKEVIVIKPSEPTPSCVLSLSALDSQLFLRFTVEYLFVFKARPGLDQGVITARVKAALAKILVPYYPLAGRVRAKLDGSSLEVVCRAQGAVFMEAVSDHTINDFDRAPRYVTQWRKLLSVSVADVLKGAPPLVVQLTWLRGGDATLGVGLNHSVCDGIGSAEFLNSFAELATSRNRVDDLKPKPIWDRHLFYPTNSSRCYSATHLEFNRVPDLCGFTSRFSNERLTPTSIIFDKRWLNELKKLALSTSTPIGLAYTSYEVLSAHVWRSWARALNLPSNQILKLLFSINIRNRVKPSVPSGYYGNAFVLGCAQTTVKDLAEKGLGYSAMLIKRAKERVDNEYVRSVIESVSQSRACPDSVGVLIISQWSRLGLERVDFGIGKPVHVGPICSDRYCLVLPVYNQPDAVKVTVAVPASVVDKYEYLVKSPCS >Potri.008G069750.1.v4.1 pep chromosome:Pop_tri_v4:8:4260812:4263813:-1 gene:Potri.008G069750.v4.1 transcript:Potri.008G069750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G069750.v4.1 MFSKLFQKHTAQQQPPSPQQQPPPQPQSPPAKDNVSKGGLTLKDINPRIALHYGIPSTASVLAFDHIQSLLAIGTLDGRIKVIGGDSIEGLLVSPKTLPFKYLEFLQNQGILVSVSSDNEIQVWDLEQRQIASTLQWDSNITAFSVIFASSYMYIGDEYGMVYVLKYDAEEVKLVQMPYHVPADVAEDASGMSSPKNHSVVGVLPQPSSQGNKVLIAYEDGLMILWDVSEDKVVLVKGNKDIELKCEITADSHKEIGHKLSDDRSDYEPLEKEIAALCWASTDGSVLAVGYVDGDILLWNLSSTASATDKHAAKSSNDVVKLQLSTGDRRLPVIVLHWSSHRPHNEC >Potri.003G069700.2.v4.1 pep chromosome:Pop_tri_v4:3:9689750:9692907:-1 gene:Potri.003G069700.v4.1 transcript:Potri.003G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G069700.v4.1 MVTYKQKKPQDEQEEEVYSTESGGSDPDYDSDTDPSYSIIEETRSNLSRLSIKKKSKSRVAKDLDLSFDKDPGEKETKLAEVDEKSYEEVQKIIQAGKLEGLKVEQCKVYLRKNKLRLTGKKDTLIQRIKEHQEILSGGGEKKYPISSFVLDCKGDACRGDIVMFDQNVYDKYNIASRSAMGPPIGTRMVAGKIVQESYGAAKQQHTFTIEVLWSKGENPLPPLHPLLIKGRNLYRMKTLRQRWEDEGERRKILLEKHLRGSLARSSRETRIQEKEGRKMLRVERALKKEETNKSRSHLNPRTSLTNGLSRMDQRQPLKCADSYRPMGSPHRQGCEQPKLHANRGENDYRGQVPGNSVEYSDIQDDFCSRSPNFCRKPLAIIHNSSPIRSPHRQGGDQQIQLCRYFAQGRCHYGHNCKFVHESREGQLCRYFAQGRCYYGHDCKFVHESREGREQRREESGGPCSPRREEWPTNPRRLERRPYLF >Potri.005G127900.2.v4.1 pep chromosome:Pop_tri_v4:5:9645326:9646900:1 gene:Potri.005G127900.v4.1 transcript:Potri.005G127900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G127900.v4.1 MESGYEESTSVRLESSSFSSNNGTDAGDFECNICFELAQDPIVTLCGHLFCWPCLYRWLHHHSHSHECPVCKAIIQEEKLVPLYGRGKTQADPRSKSYPGIDIPTRPSGQRPETAPPPDANNLPHFGFGMPGGFMPAAPTRIGGFTISTAFGGLSLFPSLFNIQFQGYPDATVYGTTSGFPYGFHGFHGNRTHRFPPATTRGQQADNVLKNLFFFIGFLVVLALLWW >Potri.003G100700.1.v4.1 pep chromosome:Pop_tri_v4:3:12576878:12578569:1 gene:Potri.003G100700.v4.1 transcript:Potri.003G100700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100700.v4.1 MGSFIGHALPGTLFLLVGVWHIWSSLVRYVSNPKSFRVRVWNPVPGFDGKLEYLELYLVAGGAFIDMCIEVLYSTHLKFFINGVLNPHHMNDFEHGGMLLMFFIFGVVSLLSEKTRFLPLPEGALCLVAAAAFSAEYLLFYFHSTTHKGLEGHYHLLLVLLIGLCVLSVAAGALLRTSFPVDLSSGIAITLQGLWFYQTAFTLYGPMMPNGCQLKGDQIVCRSSDSLVRGELLANFQLFSLVFGVLVTVAASYGFAASRYGHSDLKSLHVLPDGLE >Potri.003G100700.2.v4.1 pep chromosome:Pop_tri_v4:3:12576878:12577240:1 gene:Potri.003G100700.v4.1 transcript:Potri.003G100700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100700.v4.1 MGSFIGHALPGTLFLLVGVWHIWSSLVRYVSNPKSFRVRVWNPVPGFDGKLEYLELYLVAGGAFIDMCIEVLYSTHLKFFINGVLNPHHMNDFEHGGMLLMFFIFGVVSLLSEKTRLVFS >Potri.013G125300.1.v4.1 pep chromosome:Pop_tri_v4:13:13321219:13323829:1 gene:Potri.013G125300.v4.1 transcript:Potri.013G125300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G125300.v4.1 MILGALVLLILYGFWKTLARERESKKLARAPEPSGAWPVIGHLPRLRGQDPACKTLAAIADKYGPIYSLRLGSHRIVVVSSWETVKDCLTTNDRILATRANIAAGKHMGYNNAAFALSPYGKYWRDVRKLVTLQLLSNHRLEMLKHVRVLEVDAFIKGLHNSYAETAEYPAKVTMSKLFESLTFNISLRTIVGKRYCSSLYDKENSEPWRYKKAIEKALYLSGIFVMSDAIPWLEWIDFQGHISAMKRTARELDAVIGSWLEEHLKKEIQGESDFMDVIISNLADGAAEMSGYSRDVVIKATTLILTLTGAGSTAVTLTWALSLLLNHPSVLKAAQEELDKQVGREKWVEESDIQNLMYLQAIVKETLRLYPPGPLTGIREAMEDCHICGYYVPKGTRLVVNIWKLHRDPRVWKNPDDFQPERFLTTHADLDFRGQDFEFIPFSSGRRSCPAINLGMAVVHLTLARLLQGFDLTTVAGLPVDMNEGPGIALPKLIPLEAVIKPRLGLPLYN >Potri.004G032700.7.v4.1 pep chromosome:Pop_tri_v4:4:2510353:2518258:1 gene:Potri.004G032700.v4.1 transcript:Potri.004G032700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032700.v4.1 MIKNPFSLSFPHFTLSNKSKLSVTFPRKSGFSGKISKLSLLMEPLPDHLRCKRTDGRQWRCNRRVMEDKKLCEIHHLQGRHRQYRRKVPETLKLQRKKSKKSSASSSNFEALSRVSLKEGKLGKFKKKGKKLKRGDLQLDLIRMVLQREMEKRKNKKTKGFSEKESVNNGEGNDNVNDCSGSDSEEEGEGEELMRDLPNGFMAISPAKNFGNGNVGSCSGSHVDVKVGGGGFNGVNTARRCFRSKNIEPMPVGKLQVLPNKRDAVRLRKGKRKCHWCRSGSRTLIRCLSCRKEFYCLCCIKEQYLETQEDVRMKCPVCRRTCSCKACSAIQCRDIECKDLSKERSKVDKVLHFHYLICMLLPILKQINQDQSIEIEIEAKIKGLKPTEVQIQQAEISCNKQCCCNNCKASIVDFHRTCPDCSYSLCLSCCQDIFHGSLHGSVKGLLCKCPNGRKACISGKQLSEMKSLCATKLSYGSRFLGSTFSPCQGAAHCNGSIPCPPREFGGCGGSLLDLSCIFPLSWTKELEVSAEELVGCYELPETLDVCSSCSLCVGLDCETNGIEQLQEAAAREDSSDNLLYYPTIMDIRGDNLEHFQKHWGRGQPVIVRNVLQSTSDVSWDPMVMFCNYLKNNAARSQNGQATDCLDWFEVEIGVKQMFMGSFKGLTNGNIWHEKLKLKGWLSSNLFQEHFPAHYTDILQALPLPEYMDPISGVLNIAAELPQETLKPDLGPCLYISYGSGESLAQADSVTKLRYNSYDVVNILAHTTDVPVSTKQLNYIRKLMTKHKEQNKESSEATLNEENIEVELHDMFKEDMQVNKKVARISWFSAATHEAHASSLKDREMFHDGDSDSDSDTDTDTEVSKFFFGPVKSSRTSDNLKFYGKHSESSNNFRMKKLSESCGAQWDVFRRQDVPKLAEYLRRHFNEFTYTYGLQKHMVHPILDQNFFLDASHKMRLKEEFKIEPWSFEQHVGEAVIIPAGCPYQIRNLKSCVSVVLDFLSPENVTECIQLIDELRQLPENHKAKVDSLEVKKMALHSISRAVKEIRELTCAE >Potri.004G032700.4.v4.1 pep chromosome:Pop_tri_v4:4:2510347:2518261:1 gene:Potri.004G032700.v4.1 transcript:Potri.004G032700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032700.v4.1 MIKNPFSLSFPHFTLSNKSKLSVTFPRKSGFSGKISKLSLLMEPLPDHLRCKRTDGRQWRCNRRVMEDKKLCEIHHLQGRHRQYRRKVPETLKLQRKKSKKSSASSSNFEALSRVSLKEGKLGKFKKKGKKLKRGDLQLDLIRMVLQREMEKRKNKKTKGFSEKESVNNGEGNDNVNDCSGSDSEEEGEGEELMRDLPNGFMAISPAKNFGNGNVGSCSGSHVDVKVGGGGFNGVNTARRCFRSKNIEPMPVGKLQVLPNKRDAVRLRKGKRKCHWCRSGSRTLIRCLSCRKEFYCLCCIKEQYLETQEDVRMKCPVCRRTCSCKACSAIQCRDIECKDLSKERSKVDKVLHFHYLICMLLPILKQINQDQSIEIEIEAKIKGLKPTEVQIQQAEISCNKQCCCNNCKASIVDFHRTCPDCSYSLCLSCCQDIFHGSLHGSVKGLLCKCPNGRKACISGKQLSEMKSLCATKLSYGSRFLGSTFSPCQGAAHCNGSIPCPPREFGGCGGSLLDLSCIFPLSWTKELEVSAEELVGCYELPETLDVCSSCSLCVGLDCETNGIEQLQEAAAREDSSDNLLYYPTIMDIRGDNLEHFQKHWGRGQPVIVRNVLQSTSDVSWDPMVMFCNYLKNNAARSQNGQATDCLDWFEVEIGVKQMFMGSFKGLTNGNIWHEKLKLKGWLSSNLFQEHFPAHYTDILQALPLPEYMDPISGVLNIAAELPQETLKPDLGPCLYISYGSGESLAQADSVTKLRYNSYDVVNILAHTTDVPVSTKQLNYIRKLMTKHKEQNKESSEATLNEENIEVELHDMFKEDMQVNKKVARISWFSAATHEAHASSLKDREMFHDGDSDSDSDTDTDTEVSKFFFGPVKSSRTSDNLKFYGKHSESSNNFRMKKLSESCGAQWDVFRRQDVPKLAEYLRRHFNEFTYTYGLQKHMVHPILDQNFFLDASHKMRLKEEFKIEPWSFEQHVGEAVIIPAGCPYQIRNLKSCVSVVLDFLSPENVTECIQLIDELRQLPENHKAKVDSLEVKKMALHSISRAVKEIRELTCAEASMDLND >Potri.004G032700.8.v4.1 pep chromosome:Pop_tri_v4:4:2510346:2516941:1 gene:Potri.004G032700.v4.1 transcript:Potri.004G032700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032700.v4.1 MIKNPFSLSFPHFTLSNKSKLSVTFPRKSGFSGKISKLSLLMEPLPDHLRCKRTDGRQWRCNRRVMEDKKLCEIHHLQGRHRQYRRKVPETLKLQRKKSKKSSASSSNFEALSRVSLKEGKLGKFKKKGKKLKRGDLQLDLIRMVLQREMEKRKNKKTKGFSEKESVNNGEGNDNVNDCSGSDSEEEGEGEELMRDLPNGFMAISPAKNFGNGNVGSCSGSHVDVKVGGGGFNGVNTARRCFRSKNIEPMPVGKLQVLPNKRDAVRLRKGKRKCHWCRSGSRTLIRCLSCRKEFYCLCCIKEQYLETQEDVRMKCPVCRRTCSCKACSAIQCRDIECKDLSKERSKVDKVLHFHYLICMLLPILKQINQDQSIEIEIEAKIKGLKPTEVQIQQAEISCNKQCCCNNCKASIVDFHRTCPDCSYSLCLSCCQDIFHGSLHGSVKGLLCKCPNGRKACISGKQLSEMKSLCATKLSYGSRFLGSTFSPCQGAAHCNGSIPCPPREFGGCGGSLLDLSCIFPLSWTKELEVSAEELVGCYELPETLDVCSSCSLCVGLDCETNGIEQLQEAAAREDSSDNLLYYPTIMDIRGDNLEHFQKHWGRGQPVIVRNVLQSTSDVSWDPMVMFCNYLKNNAARSQNGQATDCLDWFEVEIGVKQMFMGSFKGLTNGNIWHEKLKLKGWLSSNLFQEHFPAHYTDILQALPLPEYMDPISGVLNIAAELPQETLKPDLGPCLYISYGSGESLAQADSVTKLRYNSYDVVNILAHTTDVPVSTKQLNYIRKLMTKHKEQNKESSEATLNEENIEVELHDMFKEDMQVNKKVARISWFSAATHEAHASSLKDREMFHDGDSDSDSDTDTDTEVSKFFFGPVKSSRTSDNLKFYGKHSESSNNFRMKKLSESCGAQWDVFRRQDVPKLAEYLRRHFNEFTYTYGLQKHMVHPILDQNFFLDASHKMRLKEEFKIEPWSFEQHVGEAVIIPAGCPYQIRNLKSCVSVVLDFLSPENVTECIQLIDELRQLPENHKAKVDSLEVKKMALHSISRAVKEIRELTCAE >Potri.003G023300.1.v4.1 pep chromosome:Pop_tri_v4:3:2426318:2429886:-1 gene:Potri.003G023300.v4.1 transcript:Potri.003G023300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023300.v4.1 MNLNKKGLRFSNNNELPKNDCFGDTALSLNCLGYGGSSSTNAEGAQNNLKVDFSNGSDDGCKLVLGLGPTPSAYFDDCYCLGVNKKKGLDSAVIFPMGLLSESDSILKLGLSGGDKEALSGLDYSISETDTNTPMLNQISDDDSRSLIPVVDEGSTSAKKSGGYMTSLLLAPRMDVRKAPSQTELLNFGTRSNHQFQLSHELSANTDFSMGIMSEQAISTTSSDHRTSNPKKCKFLGCSKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKVHGGGRRCQHLGCTKSAEGKTDLCIAHGGGRRCGFPGGCTKAARGKSGLCIRHGGGKRCKVEDCTRSAEGQAGLCISHGGGRRCEHQGCTKGAQGSTGYCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCMFDGGGICPKSVHGGTNFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCRVDNCGKSAQGSTDFCKAHGGGKRCTWGEGKCEKFARGKSGLCAAHSSMVQEREANRTGLIRPGLFHGLVSAASTAGSSIDNNHSYSGVSAVSDCSDSLEKPAKRLHLIPPQVLVPHSMKATSSFTSFMNADNLEEGTNGYGATSGGKKNFDYLVPEGRVHGGGLMSLFGGNLRNAINEV >Potri.003G023300.3.v4.1 pep chromosome:Pop_tri_v4:3:2426351:2429856:-1 gene:Potri.003G023300.v4.1 transcript:Potri.003G023300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023300.v4.1 MNLNKKGLRFSNNNELPKNDCFGDTALSLNCLGYGGSSSTNAEGAQNNLKVDFSNGSDDGCKLVLGLGPTPSAYFDDCYCLGVNKKKGLDSAVIFPMGLLSESDSILKLGLSGGDKEALSGLDYSISETDTNTPMLNQISDDDSRSLIPVVDEGSTSAKKSGGYMTSLLLAPRMDVRKAPSQTELLNFGTRSNHQFQLSHELSANTDFSMGIMSEQAISTTSSDHRTSNPKKCKFLGCSKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKVHGGGRRCQHLGCTKSAEGKTDLCIAHGGGRRCGFPGGCTKAARGKSGLCIRHGGGKRCKVEDCTRSAEGQAGLCISHGGGRRCEHQGCTKGAQGSTGYCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCMFDGGGICPKSVHGGTNFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCRVDNCGKSAQGSTDFCKAHGGGKRCTWGEGKCEKFARGKSGLCAAHSSMVQEREANRTGLIRPGLFHGLVSAASTAGSSIDNNHSYSGVSAVSDCSDSLEKPAKRLHLIPPQVLVPHSMKATSSFTSFMNADNLEEGTNGYGATSGGKKNFDYLVPEGRVHGGGLMSLFGGNLRNAINEV >Potri.003G023300.2.v4.1 pep chromosome:Pop_tri_v4:3:2426193:2430193:-1 gene:Potri.003G023300.v4.1 transcript:Potri.003G023300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G023300.v4.1 MNLNKKGLRFSNNNELPKNDCFGDTALSLNCLGYGGSSSTNAEGAQNNLKVDFSNGSDDGCKLVLGLGPTPSAYFDDCYCLGVNKKKGLDSAVIFPMGLLSESDSILKLGLSGGDKEALSGLDYSISETDTNTPMLNQISDDDSRSLIPVVDEGSTSAKKSGGYMTSLLLAPRMDVRKAPSQTELLNFGTRSNHQFQLSHELSANTDFSMGIMSEQAISTTSSDHRTSNPKKCKFLGCSKGARGASGLCIGHGGGQRCQKPGCNKGAESRTAYCKVHGGGRRCQHLGCTKSAEGKTDLCIAHGGGRRCGFPGGCTKAARGKSGLCIRHGGGKRCKVEDCTRSAEGQAGLCISHGGGRRCEHQGCTKGAQGSTGYCKAHGGGKRCIFAGCTKGAEGSTPLCKGHGGGKRCMFDGGGICPKSVHGGTNFCVAHGGGKRCVVPGCTKSARGRTDCCVRHGGGKRCRVDNCGKSAQGSTDFCKAHGGGKRCTWGEGKCEKFARGKSGLCAAHSSMVQEREANRTGLIRPGLFHGLVSAASTAGSSIDNNHSYSGVSAVSDCSDSLEKPAKRLHLIPPQVLVPHSMKATSSFTSFMNADNLEEGTNGYGATSGGKKNFDYLVPEGRVHGGGLMSLFGGNLRNAINEV >Potri.005G123600.1.v4.1 pep chromosome:Pop_tri_v4:5:9171902:9172123:-1 gene:Potri.005G123600.v4.1 transcript:Potri.005G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123600.v4.1 MYYVRSFIVIFFLSLFVRFAIDPDSLEFGSQELRFLNHDFLTGVGEIICVNSLLSYICTRCWFQSFYGPSQVG >Potri.015G025600.2.v4.1 pep chromosome:Pop_tri_v4:15:1921163:1921921:-1 gene:Potri.015G025600.v4.1 transcript:Potri.015G025600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025600.v4.1 MRDPNYGYPYPAQGYYQGPPVMAPPQYYAAPPPPREPGFLEGCLAALRCCSLIECCCDPSFIFC >Potri.004G116400.6.v4.1 pep chromosome:Pop_tri_v4:4:10907780:10913492:-1 gene:Potri.004G116400.v4.1 transcript:Potri.004G116400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116400.v4.1 MLASIFESGNEGMDMTKEAPEVPSSRKRKVRQKNRRRNNQQDSDPAHKQAEEGGLPSTYNLNNVSTVCLSDERNREAVFLSDNGETKLVNKGMETSTESLEVPSLRKRKARKRSKRRNNQQDSDPAHKQAEEGGMVITHNLNNVSTVSLSDERNREAVFLSDNGETKLVNKGMETSTESLEVPSLRKRKARKRSKRRNNQQDSDPAHKQAEEGGMVITHSLNNVSTVSLSDERNREAVFLSDNGETKLVNKGMETSTESLEVPSLRKRKARKRSKRRNNQQDSDPAHKQAEEGSMLSTCNLNNVSTVCLSDERNREAVFLSDNGETKLVNKGMETSKESLEVPSLRKKKARKRSKRRNNQQDSDPANKQAEEGGLPSTNNLNNASTVCLSHETNREVALLSNSGKTKLVNKGMGTTKEAPEVPSLQKRKARRRNRRRNNQQDSDPAHMQVEEGGMLSTYNLNSVSTVCFSDETDREVVFLSDKGETKFVNKGMETTKEASEVPSLQKTKARRRNRRGNNRLDRETCSASLAIRGKENVPPESLSCLLEITPICLVRKKLLILDINGVLVDIVSAPPKGHIADIKIAKKAVFRRPFCFDFLKFCFERFEVGVWSSRTRKNVDRVVEFVMGDMKKKLLFCWDLSKCTATQFCTLENKHKPLVFKELRRIWEKDDSELPWEKGDYSESNTMLLDDSPYKALLNPAHTAIFPYPYQFQNSNDNSLGAGGDLRVYLEELAAADNVQEFVEHHPFGQRAISQRSPNWGFFLKAIRSVCPVQVTR >Potri.004G116400.1.v4.1 pep chromosome:Pop_tri_v4:4:10907732:10913493:-1 gene:Potri.004G116400.v4.1 transcript:Potri.004G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116400.v4.1 MLASIFESGNEGMDMTKEAPEVPSSRKRKVRQKNRRRNNQQDSDPAHKQAEEGGLPSTYNLNNVSTVCLSDERNREAVFLSDNGETKLVNKGMETSTESLEVPSLRKRKARKRSKRRNNQQDSDPAHKQAEEGGMVITHNLNNVSTVSLSDERNREAVFLSDNGETKLVNKGMETSTESLEVPSLRKRKARKRSKRRNNQQDSDPAHKQAEEGSMLSTCNLNNVSTVCLSDERNREAVFLSDNGETKLVNKGMETSKESLEVPSLRKKKARKRSKRRNNQQDSDPANKQAEEGGLPSTNNLNNASTVCLSHETNREVALLSNSGKTKLVNKGMGTTKEAPEVPSLQKRKARRRNRRRNNQQDSDPAHMQVEEGGMLSTYNLNSVSTVCFSDETDREVVFLSDKGETKFVNKGMETTKEASEVPSLQKTKARRRNRRGNNRLDRETCSASLAIRGKENVPPESLSCLLEITPICLVRKKLLILDINGVLVDIVSAPPKGHIADIKIAKKAVFRRPFCFDFLKFCFERFEVGVWSSRTRKNVDRVVEFVMGDMKKKLLFCWDLSKCTATQFCTLENKHKPLVFKELRRIWEKDDSELPWEKGDYSESNTMLLDDSPYKALLNPAHTAIFPYPYQFQNSNDNSLGAGGDLRVYLEELAAADNVQEFVEHHPFGQRAISQRSPNWGFFLKAIRSVCPVQVTR >Potri.004G116400.7.v4.1 pep chromosome:Pop_tri_v4:4:10907791:10913497:-1 gene:Potri.004G116400.v4.1 transcript:Potri.004G116400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116400.v4.1 MLASIFESGNEGMDMTKEAPEVPSSRKRKVRQKNRRRNNQQDSDPAHKQAEEGGLPSTYNLNNVSTVCLSDERNREAVFLSDNGETKLVNKGMETSTESLEVPSLRKRKARKRSKRRNNQQDSDPAHKQAEEGGMVITHNLNNVSTVSLSDERNREAVFLSDNGETKLVNKGMETSTESLEVPSLRKRKARKRSKRRNNQQDSDPAHKQAEEGGMVITHSLNNVSTVSLSDERNREAVFLSDNGETKLVNKGMETSTESLEVPSLRKRKARKRSKRRNNQQDSDPAHKQAEEGSMLSTCNLNNVSTVCLSDERNREAVFLSDNGETKLVNKGMETSKESLEVPSLRKKKARKRSKRRNNQQDSDPANKQAEEGGLPSTNNLNNASTVCLSHETNREVALLSNSGKTKLVNKGMGTTKEAPEVPSLQKRKARRRNRRRNNQQDSDPAHMQVEEGGMLSTYNLNSVSTVCFSDETDREVVFLSDKGETKFVNKGMETTKEASEVPSLQKTKARRRNRRGNNRLDRETCSASLAIRGKENVPPESLSCLLEITPICLVRKKLLILDINGVLVDIVSAPPKGHIADIKIAKKAVFRRPFCFDFLKFCFERFEVGVWSSRTRKNVDRVVEFVMGDMKKKLLFCWDLSKCTATQFCTLENKHKPLVFKELRRIWEKDDSELPWEKGDYSESNTMLLDDSPYKALLNPAHTAIFPYPYQFQNSNDNSLGAGGDLRVYLEELAAADNVQEFVEHHPFGQRAISQRSPNWGFFLKAIRSVCPVQVTR >Potri.013G029900.7.v4.1 pep chromosome:Pop_tri_v4:13:1938349:1943874:-1 gene:Potri.013G029900.v4.1 transcript:Potri.013G029900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029900.v4.1 MQATKADIIKVVSNANDITELDRIFHLLSHSEVPAVAYSLGERGLISQLLCPKFGGALVYGAMEGNSIPGLPTLDSLREAYKVENINSDTKVFGLVSKPVSHSKGPILHNPAFRHANFNGIYVPMFVDDLKEFFEVYASPDFAGYSVGFPYKEAVVQFCDEVHPLAKSIGAVNTIIRKPSDGKLIGYNTDCEGSIASIEDALKDQRYINGASLNSPLAGKQFVVVGAGGAGRAIAVGAKSRGARVIIFDIDLAPKDFMREIVLAKF >Potri.013G029900.6.v4.1 pep chromosome:Pop_tri_v4:13:1938349:1943874:-1 gene:Potri.013G029900.v4.1 transcript:Potri.013G029900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029900.v4.1 MQATKADIIKVVSNANDITELDRIFHLLSHSEVPAVAYSLGERGLISQLLCPKFGGALVYGAMEGNSIPGLPTLDSLREAYKVENINSDTKVFGLVSKPVSHSKGPILHNPAFRHANFNGIYVPMFVDDLKEFFEVYASPDFAGYSVGFPYKEAVVQFCDEVHPLAKSIGAVNTIIRKPSDGKLIGYNTDCEGSIASIEDALKDQRYINGASLNSPLAGKQFVVVGAGGAGRAIAVGAKSRGARVIIFDIDLGQSLLLRLFLVKLNILIV >Potri.013G029900.2.v4.1 pep chromosome:Pop_tri_v4:13:1938349:1943874:-1 gene:Potri.013G029900.v4.1 transcript:Potri.013G029900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029900.v4.1 MGRAGILANSTMVCAPLMSRSVEQMVIDMQSAEAQGADAVEVRLDYINSFQPSQDLETIIRNKPLPVIIVYRPRWEGGQYEGDEHTRLEALRLAHELGADYIDVELKVASDLVREVKNKHQTGGKVIVSSYLSGATPSKEDLSHLVASMQATKADIIKVVSNANDITELDRIFHLLSHSEVPAVAYSLGERGLISQLLCPKFGGALVYGAMEGNSIPGLPTLDSLREAYKVENINSDTKVFGLVSKPVSHSKGPILHNPAFRHANFNGIYVPMFVDDLKEFFEVYASPDFAGYSVGFPYKEAVVQFCDEVHPLAKSIGAVNTIIRKPSDGKLIGYNTDCEGSIASIEDALKDQRYINGASLNSPLAGKQFVVVGAGGAGRAIAVGAKSRGARVIIFDIDLDRAKSLAQVVSGEAQHFDSLAHFQPEKGAILANATPIGMHPSTDRIPVAEATLGNYQLVFDAVYTPRKTRLLEDADAAGAITVSGVEMFLKQAIGQFSLFTGREAPKDFMREIVLAKF >Potri.013G029900.4.v4.1 pep chromosome:Pop_tri_v4:13:1938349:1943874:-1 gene:Potri.013G029900.v4.1 transcript:Potri.013G029900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029900.v4.1 MGRAGILANSTMVCAPLMSRSVEQMVIDMQSAEAQGADAVEVRLDYINSFQPSQDLETIIRNKPLPVIIVYRPRWEGGQYEGDEHTRLEALRLAHELGADYIDVELKVASDLVREVKNKHQTGGKVIVSSYLSGATPSKEDLSHLVASMQATKADIIKVVSNANDITELDRIFHLLSHSEVPAVAYSLGERGLISQLLCPKFGGALVYGAMEGNSIPGLPTLDSLREAYKVENINSDTKVFGLVSKPVSHSKGPILHNPAFRHANFNGIYVPMFVDDLKEFFEVYASPDFAGYSVGFPYKEAVVQFCDEVHPLAKSIGAVNTIIRKPSDGKLIGYNTDCEGSIASIEDALKDQRYINGASLNSPLAGKQFVVVGAGGAGRAIAVGAKSRGARVIIFDIDLAPKDFMREIVLAKF >Potri.013G029900.5.v4.1 pep chromosome:Pop_tri_v4:13:1938349:1943874:-1 gene:Potri.013G029900.v4.1 transcript:Potri.013G029900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029900.v4.1 MQATKADIIKVVSNANDITELDRIFHLLSHSEVPAVAYSLGERGLISQLLCPKFGGALVYGAMEGNSIPGLPTLDSLREAYKVENINSDTKVFGLVSKPVSHSKGPILHNPAFRHANFNGIYVPMFVDDLKEFFEVYASPDFAGYSVGFPYKEAVVQFCDEVHPLAKSIGAVNTIIRKPSDGKLIGYNTDCEGSIASIEDALKDQRYINGASLNSPLAGKQFVVVGAGGAGRAIAVGAKSRGARVIIFDIDLDRAKSLAQVVSGEAQHFDSLAHFQPEKGAILANATPIGMHPSTDRIPVAEATLGNYQLVFDAVYTPRKTRLLEDADAAGAITVSGVEMFLKQAIGQFSLFTGREAPKDFMREIVLAKF >Potri.013G029900.3.v4.1 pep chromosome:Pop_tri_v4:13:1938349:1943874:-1 gene:Potri.013G029900.v4.1 transcript:Potri.013G029900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G029900.v4.1 MGRAGILANSTMVCAPLMSRSVEQMVIDMQSAEAQGADAVEVRLDYINSFQPSQDLETIIRNKPLPVIIVYRPRWEGGQYEGDEHTRLEALRLAHELGADYIDVELKVASDLVREVKNKHQTGGKVIVSSYLSGATPSKEDLSHLVASMQATKADIIKVVSNANDITELDRIFHLLSHSEVPAVAYSLGERGLISQLLCPKFGGALVYGAMEGNSIPGLPTLDSLREAYKVENINSDTKVFGLVSKPVSHSKGPILHNPAFRHANFNGIYVPMFVDDLKEFFEVYASPDFAGYSVGFPYKEAVVQFCDEVHPLAKSIGAVNTIIRKPSDGKLIGYNTDCEGSIASIEDALKDQRYINGASLNSPLAGKQFVVVGAGGAGRAIAVGAKSRGARVIIFDIDLGQSLLLRLFLVKLNILIV >Potri.009G026300.1.v4.1 pep chromosome:Pop_tri_v4:9:3772980:3775872:1 gene:Potri.009G026300.v4.1 transcript:Potri.009G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G026300.v4.1 MSSAQQRTTQTGGFRKTIAWFFIIVGTLYLIYSSHLILNNDRRCLATEENLEHLTNLSTSIQLNEQDSNFESPPILSKKSQEYNTELKHIVFGIAASADLWQKRKEYVKVWWRPKQTRGIVWMDRQVRSPSDEGLPQIRISADTSRFKYSNKKGHRSALRISRVVSETLRLGLKDVRWFVMGDDDTVFIVDNVVRILSKYDHRQLYYVGSSSESHLQNIYFSYSMAYGGGGFAISQPLAQELAKMQDRCIRRYPGLYGSDDRIQACMAEIGVPLSKESGFHQYDVYGDLLGLLAAHPVAPLASLHHIDVVQPIFPGMSRARALQHLFKSVQLDSASVMQQSICYDKNRYWSISVSWGYVVQIWRGVVSPRELETPARTFLNWYRKADYTAYTFNTRPVTKHPCMKPFVFYMSTSKYDRAKKRAIGVYTRRKSPSPYCRWKMASPERIDSIVVLKRPDTLRWLKSPRRDCCRVLPTNKASTMYLWVGNCRDGEISEFQRP >Potri.010G235700.2.v4.1 pep chromosome:Pop_tri_v4:10:21611758:21616912:1 gene:Potri.010G235700.v4.1 transcript:Potri.010G235700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235700.v4.1 MQSRKPEDYIAQSPSAKTRNQHRTEVGHESHPAPRRHAVDRSPRVQQRRSLSPRRKVEGTRKVVHGEGRSSSTERRDSSWHLGAGRTEKVRSGSPQYAQERKKPRFDEGVVHRKYRQVEEHVDGKSNRLKRGYGYDHHAASSRASKEKDYRDNRGVGIDGHGMMGQKSVPEEDGMIRGSYRVPRDLVPNSNYGDTGSHIQSMSRNMDSGHFDDEELQFRETIPSDKIPARRFYEEGEKPMFHSRYVPYTRMSAPHSKDLESAPRYKDFAGSSSGFSRSEFPGSYREGMPLAASDEYPRSSIKHTEPTNFNTYRERSVMDIRDYEASKRIMTSYPQGAYNPKRPSHDHYFYSKSQEIVDDNHAYPSDDVHRMMSPPSPLNYEHAQTDFEHREISRMSMHHVRDRTDHTDGSFINVRRSTVFDHPTIQRQAPMENLDTGRIQNTSKHNVEYLGSAYTQVDRGQGELQDNRRSHSGVTQDRQVPHLRPNYGFGRDAGPQFQKEILHDPPMPIYDMEMKRFAAQRQRMRGELASYEPPDKAFNRNYVMEEEINRHDRKYIVEEDINRHDTRNIVSNKRNLPQEFEDLYESGEEWVDEDTGALHVSRTRRFDQSAYRNAKRTYDRDNFGDSASEDWLSSQDSSVHAQRDLIRDYKPGAKYMKGHPRSGPLSWYNSHQTDKKSVHRQHRIWKRNDDYGNDANINDDDQPEDWVNLGEAEPREGSEEFKQLVDEAFLLFSKRLNLNTAVRRRYKEQGKAGSLFCIVCGKRSVFFSVICIFKVESISIF >Potri.010G235700.3.v4.1 pep chromosome:Pop_tri_v4:10:21611762:21616911:1 gene:Potri.010G235700.v4.1 transcript:Potri.010G235700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G235700.v4.1 MQSRKPEDYIAQSPSAKTRNQHRTEVGHESHPAPRRHAVDRSPRVQQRRSLSPRRKVEGTRKVVHGEGRSSSTERRDSSWHLGAGRTEKVRSGSPQYAQERKKPRFDEGVVHRKYRQVEEHVDGKSNRLKRGYGYDHHAASSRASKEKDYRDNRGVGIDGHGMMGQKSVPEEDGMIRGSYRVPRDLVPNSNYGDTGSHIQSMSRNMDSGHFDDEELQFRETIPSDKIPARRFYEEGEKPMFHSRYVPYTRMSAPHSKDLESAPRYKDFAGSSSGFSRSEFPGSYREGMPLAASDEYPRSSIKHTEPTNFNTYRERSVMDIRDYEASKRIMTSYPQGAYNPKRPSHDHYFYSKSQEIVDDNHAYPSDDVHRMMSPPSPLNYEHAQTDFEHREISRMSMHHVRDRTDHTDGSFINVRRSTVFDHPTIQRQAPMENLDTGRIQNTSKHNVEYLGSAYTQVDRGQGELQDNRRSHSGVTQDRQVPHLRPNYGFGRDAGPQFQKEILHDPPMPIYDMEMKRFAAQRQRMRGELASYEPPDKAFNRNYVMEEEINRHDRKYIVEEDINRHDTRNIVSNKRNLPQEFEDLYESGEEWVDEDTGALHVSRTRRFDQSAYRNAKRTYDRDNFGDSASEDWLSSQDSSVHAQRDLIRDYKPGAKYMKGHPRSGPLSWYNSHQTDKKSVHRQHRIWKRNDDYGNDANINDDDQPEDWVNLGEAEPREGSEEFKQLVDEAFLLFSKRLNLNTAVRRRYKEQGKAGSLFCIVCGKSSSKEFMAAQNLVQHAFMSHKIGLRAQHLGLHKAICVLMGWNSSVPCDAITCAPEILPDEEAFAQKEDLMLWPPLVVIHNISMSNNNPEQQKVIPIEGVEAFLRGKGIVGGKIKVCLGKPADQSVMLVKFLGTFTGLGNAEKLHKYFAEKKHGREEFEHNTSNNINNSNSLEEETQGGQLEEHLLYGYLGIAEDLDRLDFNTKKRILIKSKKEIQELANAPVKTDDKSLNK >Potri.017G008100.2.v4.1 pep chromosome:Pop_tri_v4:17:626710:627342:1 gene:Potri.017G008100.v4.1 transcript:Potri.017G008100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G008100.v4.1 MDKILSTKLPQLEEFTMSNVVQLIGYTVEGSKHALIYEFMPNGSLEKYIFSREGSVPLSNEKMYEISLGVAHGIQYLHQGCDMQILHFDIKPHNILLNDKFVPKVSDFGLAKLYPTNNNIVSLTAARGTMGYMAPELCYKNIGGVSFKADVYSYGMLLMEMVGRRKNLNALANHSSQIYFPSWVYDQVSEGKNIEVQEEPWNMERKQRKR >Potri.001G237900.1.v4.1 pep chromosome:Pop_tri_v4:1:25573721:25575128:-1 gene:Potri.001G237900.v4.1 transcript:Potri.001G237900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G237900.v4.1 MDVSSSGGASVNSRWSPTKEQISMLESFYSQGIRTPSTEMIEQIASRLKAYGHIEGKNVFYWFQNHKARQRQKQKQENMAYINKYLHKAHQPVFAPPCRNVVNSPCYLPKSDIMGLCQQHQNMLLPGNFKRRSRSETISYAFKGYDQEAVLREYHNHITKNKFERSPVTIDKSSSDQETLPLFPLHPTGILEGASPIYSHGSTSAENSINTPISSEITHGIGEHSADHKPFFDFFSEKDPFESSH >Potri.011G031312.1.v4.1 pep chromosome:Pop_tri_v4:11:2547978:2548850:1 gene:Potri.011G031312.v4.1 transcript:Potri.011G031312.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031312.v4.1 MAMIAMKRVLEKLASFVAQETRLLGGPWGGIDELRDDLYSMKSFLQDAEARSESDQGLQIWVKQVRDVAYDAEDILELRSAPPQGSGFIHSLCNSYRYIRKLRAQHRQAVQLQSIKARAKAISERRK >Potri.014G128600.1.v4.1 pep chromosome:Pop_tri_v4:14:8632317:8637857:-1 gene:Potri.014G128600.v4.1 transcript:Potri.014G128600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128600.v4.1 MASAYNQSDGGRFGDTNIVGHEKCESYFLFRGETTLGEGFRTFLYFLGLAYCFIGLSAITARFFRSMENVVKQSRKVVEIDPYTNAEVIRYEKVWNYAIADISLLAFGTSFPQISLATIDAIQNIGNLYAGGMGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKIADIGVWLVELFWSFWAYIWLYIILEVWTPNVITLWEALLTVLQYGLLLMHAYAQDKRWPYLSLPIPRTERPEEWVPGEVPSPTHENNVYGEENRNVVDIFSIHSNNGTGPVYQKVPVTDDAAESSNKHFHQEKDLHVLSLWKEQFVDALTLESLETRKLNSIHLRVARISWQLILAPWRLLFAFVPPYHFAHGWIAFIFSLLFISGIAYIVTKLTDVISCVTGINAYVIAFTALASGTSWPDLVASKIAAERQTTADSAIANITCSNSVNIYVGIGVPWLIDTAYNFFMYNEPLRIQNAAGLSFSLLVFFCTSIGCIAVLVLRRLTLKAELGGPRIWAWVTFVYFMLLWIIFVVLSSLRVSGII >Potri.014G128600.2.v4.1 pep chromosome:Pop_tri_v4:14:8632353:8637827:-1 gene:Potri.014G128600.v4.1 transcript:Potri.014G128600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G128600.v4.1 MASAYNQSDGGRFGDTNIVGHEKCESYFLFRGETTLGEGFRTFLYFLGLAYCFIGLSAITARFFRSMENVVKQSRKVVEIDPYTNAEVIRYEKVWNYAIADISLLAFGTSFPQISLATIDAIQNIGNLYAGAGELKKIADIGVWLVELFWSFWAYIWLYIILEVWTPNVITLWEALLTVLQYGLLLMHAYAQDKRWPYLSLPIPRTERPEEWVPGEVPSPTHENNVYGEENRNVVDIFSIHSNNGTGPVYQKVPVTDDAAESSNKHFHQEKDLHVLSLWKEQFVDALTLESLETRKLNSIHLRVARISWQLILAPWRLLFAFVPPYHFAHGWIAFIFSLLFISGIAYIVTKLTDVISCVTGINAYVIAFTALASGTSWPDLVASKIAAERQTTADSAIANITCSNSVNIYVGIGVPWLIDTAYNFFMYNEPLRIQNAAGLSFSLLVFFCTSIGCIAVLVLRRLTLKAELGGPRIWAWVTFVYFMLLWIIFVVLSSLRVSGII >Potri.001G233600.3.v4.1 pep chromosome:Pop_tri_v4:1:25221943:25224419:-1 gene:Potri.001G233600.v4.1 transcript:Potri.001G233600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233600.v4.1 MYGNNTFLGSNSSLSNSSGVINTRPLAADQLVIPDPVAAAAAAAGIELGSTVGSHCTSSTASGCSSYGSPSSLVSGCCSVNMQRSISSHSFQKNGYHCHFASHPHDFLDMDTSPVRRVFSTGDLQHGHRAESPLLSESSLIIESMSKACKYSPEEKKERIERYRSKRNQRNFNKKIKYECRKILADSRPRIRGRFARNDEIEKNPQLQWSNVSGEEDEEDDDNWIDFLDSFSENSVP >Potri.018G036400.3.v4.1 pep chromosome:Pop_tri_v4:18:2801532:2805890:-1 gene:Potri.018G036400.v4.1 transcript:Potri.018G036400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036400.v4.1 MGCAQSKVDNEEPVSRCKERKILMKEAVTARNAFAAGHSGYTISLKNTGASLSDYGHGEADQESQFQQPSSLDSSSQPQPPPPPPSMDNFPPPSMDNFPPPPPLPDFSPSPIKRTLSMPEIVMKRKEMGDSVAIEEVVEEEEEEEEEGEELRNRNLSRKTKNYDNIEKVSQRGPQSSGNVGPGEEDTRPRNPPRTVESHSSVVPPMPEAKNMAWDYFFMMDNVPDSSLEPEEDASRSRDNFGNVENVGVGFGGVGGLRGGVDGSGNVIDGVEPKTPEKAEENMEPVLKEEEKGGEKKERKQIEHSKTAPPDFRVVGRKVPSVNLMQVLNEIDDHFLKSSESAQDVCKMLEATRLHYHSNFADNRGHIDHSARVMRVITWNRSFKGVPSAEGGEDELDSEDYETHATVLDKLLAWEKKLYDEVKQGELMKLEYKRKVALLNKQKKRGASAESLEKTKAAVSHLHTRYIVDMQSMDSTVSEVNQIRDQQLYPKLVDLVDGMAKMWASMCMHHDSQLKIVTNLKSLDVNHAIKETTKHHHERTIQLLKVVQGWLSHFEKLVTHQKQYIHTLTSWLKLNLIPIESSLKEKISSPPRAQNPPIQALLHSWHDYLEKLPDELAKSAISSFAAVVETIVHHQEEEMKLKEKCEETRREFMRKNQAFEEWYQKYMQRRTPTDETDADRGEDANPNPVSERQFVVESLKKRLEEEIEAHGKHCLQVREKSVGSLKIRLPELFRAMSDYAHACSDAYEKLRSITQLQKSDRNRA >Potri.003G214200.3.v4.1 pep chromosome:Pop_tri_v4:3:21068257:21079169:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.9.v4.1 pep chromosome:Pop_tri_v4:3:21062792:21079127:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MASTSRGGGGMGMDQAAAGVGTGATPPPTQRRITRTQTAGNLGESVFDSEIVPSSLFEIAPILRVANEVETSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQGFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDEVAEKTQIYLPYNILPLDPDSADQAIMRYPEIQAAVLALRNTRGLPWPKDYKKKTDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHMRQFVKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKKGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSSEQLRFVQNGDDKPAYRDRWVGKVNFVEIRTFWHVFRSFDRMWSFFILCLQAMIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKNPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.1.v4.1 pep chromosome:Pop_tri_v4:3:21062759:21079169:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MASTSRGGGGMGMDQAAAGVGTGATPPPTQRRITRTQTAGNLGESVFDSEIVPSSLFEIAPILRVANEVETSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQGFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDEVAEKTQIYLPYNILPLDPDSADQAIMRYPEIQAAVLALRNTRGLPWPKDYKKKTDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHMRQFVKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKKGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSSEQLRFVQNGDDKPAYRDRWVGKVNFVEIRTFWHVFRSFDRMWSFFILCLQAMIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.5.v4.1 pep chromosome:Pop_tri_v4:3:21063002:21079142:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MASTSRGGGGMGMDQAAAGVGTGATPPPTQRRITRTQTAGNLGESVFDSEIVPSSLFEIAPILRVANEVETSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQGFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDEVAEKTQIYLPYNILPLDPDSADQAIMRYPEIQAAVLALRNTRGLPWPKDYKKKTDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHMRQFVKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKKGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSSEQLRFVQNGDDKPAYRDRWVGKVNFVEIRTFWHVFRSFDRMWSFFILCLQAMIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.4.v4.1 pep chromosome:Pop_tri_v4:3:21062825:21079167:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MASTSRGGGGMGMDQAAAGVGTGATPPPTQRRITRTQTAGNLGESVFDSEIVPSSLFEIAPILRVANEVETSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQGFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDEVAEKTQIYLPYNILPLDPDSADQAIMRYPEIQAAVLALRNTRGLPWPKDYKKKTDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHMRQFVKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKKGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSSEQLRFVQNGDDKPAYRDRWVGKVNFVEIRTFWHVFRSFDRMWSFFILCLQAMIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.11.v4.1 pep chromosome:Pop_tri_v4:3:21069274:21079132:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.8.v4.1 pep chromosome:Pop_tri_v4:3:21062792:21079110:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MASTSRGGGGMGMDQAAAGVGTGATPPPTQRRITRTQTAGNLGESVFDSEIVPSSLFEIAPILRVANEVETSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQGFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDEVAEKTQIYLPYNILPLDPDSADQAIMRYPEIQAAVLALRNTRGLPWPKDYKKKTDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHMRQFVKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKKGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSSEQLRFVQNGDDKPAYRDRWVGKVNFVEIRTFWHVFRSFDRMWSFFILCLQAMIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.12.v4.1 pep chromosome:Pop_tri_v4:3:21069642:21079144:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.6.v4.1 pep chromosome:Pop_tri_v4:3:21062761:21079127:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MASTSRGGGGMGMDQAAAGVGTGATPPPTQRRITRTQTAGNLGESVFDSEIVPSSLFEIAPILRVANEVETSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQGFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDEVAEKTQIYLPYNILPLDPDSADQAIMRYPEIQAAVLALRNTRGLPWPKDYKKKTDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHMRQFVKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKKGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSSEQLRFVQNGDDKPAYRDRWVGKVNFVEIRTFWHVFRSFDRMWSFFILCLQAMIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.7.v4.1 pep chromosome:Pop_tri_v4:3:21063171:21079127:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MASTSRGGGGMGMDQAAAGVGTGATPPPTQRRITRTQTAGNLGESVFDSEIVPSSLFEIAPILRVANEVETSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENDPTLMGRVKKSDAREMQGFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNMTQSIEVDREILEAQDEVAEKTQIYLPYNILPLDPDSADQAIMRYPEIQAAVLALRNTRGLPWPKDYKKKTDEDVLDWLQAMFGFQKDNVANQREHLILLLANVHMRQFVKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKKGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSSEQLRFVQNGDDKPAYRDRWVGKVNFVEIRTFWHVFRSFDRMWSFFILCLQAMIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.003G214200.10.v4.1 pep chromosome:Pop_tri_v4:3:21065555:21079143:1 gene:Potri.003G214200.v4.1 transcript:Potri.003G214200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214200.v4.1 MRQFVKPDQQPKLDERALTEVMKKLFKNYKKWCKYLDRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEEAFLRKVVTPIYNVIAKEAERSKKGKSKHSQWRNYDDINEYFWSVDCFRLGWPMRADADFFCLSSEQLRFVQNGDDKPAYRDRWVGKVNFVEIRTFWHVFRSFDRMWSFFILCLQAMIIVAWNGSGKLSAIFSGDVFKKVLSVFITAAILKLGQAILDVILSWKARQIMSFHVKLRYILKVVSAAAWVVVLPVTYAYTWKENPPGFAQTIKGWFGNSSSSSSLFVLAVVIYLAPNMLAALLFLFPFIRRFLERSDYRIVMFMMWWSQPRLYVGRGMHESTISLFKYTMFWVLLIVTKLAFSYYIEIKPLVDPTKAIMDVHITAFQWHEFFPQAKNNIGVVIALWAPIILVYFMDAQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFQSLPGAFNACLIPDEKSERKKKSLKARFSRNFNENPPNKDTEAPRFAQLWNKIISSFREEDLISNREMDLLLVPYWADRDLGVLGLTQWPPFLLASKIPIALDMAKDSNGKDKELKKRIEADNYMSCAVCECYASFKNIIKFLVQGRPETEVIDSIFVDVENHIKQGDLIKDYKMSALPLLYDHLVKLIKCLVDNRPEDRDQVVILFQDMLEVVTRDIMEDQISSLVDSIPDGSGYEGMKPLEQQYQLFASAGAIKFPIEPETEAWKEKIKRLYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPAAPKVHNMLSFSVLTPYYTEEVLFSLHDLEEPNEDGVSILFYLQKIFPDEWNHFLERVNCTGEEELKERDDLEELRLWASYRGQTLTRTVRGMMYYRHALELQAFLDIAKHEDLMEGYKAIELNTEDQSKGGSSLLAECQAVADMKFTYVVSCQQYGIHKRSGDLRAQDILRLMTTYPSLRVAYIDEVEETNPDKSKKVIQKVYYSSLVKAALPKSIDSSEPVQNLDQVIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEALKMRNLLQEFLKKPDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLKVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMLSCYFTTVGFYFSTLITVLTVYVFLYGRLYLVLSGLEEGLSTQKAIRDNKPLQVALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFLLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGIEMMILLVVYQIFGQPYRSAVAYVLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWSDWNKWISNRGGIGVPPEKSWESWWEEEQEHLRHSGKRGIVAEILLSLRFFIYQYGLVYHLTITKKMKDRSFLIYGISWLVILLILFVMKTVSVGRRKFSANFQLVFRLIKGMIFLTFVSILVTLIALPHMTVQDVIVCILAFMPTGWGMLLIAQACKPVVQRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE >Potri.001G272700.1.v4.1 pep chromosome:Pop_tri_v4:1:28692337:28695297:1 gene:Potri.001G272700.v4.1 transcript:Potri.001G272700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272700.v4.1 MREILHIQGGQCGNQIGSKFWEVVCAEHGIDPTGKYTGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPIGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDDIDYEDEEEEEAAEM >Potri.001G272700.2.v4.1 pep chromosome:Pop_tri_v4:1:28692911:28695252:1 gene:Potri.001G272700.v4.1 transcript:Potri.001G272700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272700.v4.1 MREILHIQGGQCGNQIGSKFWEVVCAEHGIDPTGKYTGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPIGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATAEDDIDYEDEEEEEAAEM >Potri.001G088100.1.v4.1 pep chromosome:Pop_tri_v4:1:7013873:7016973:-1 gene:Potri.001G088100.v4.1 transcript:Potri.001G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088100.v4.1 MDSKTSSSSVNGPREPSIHEELLMQQSLLFSDALKDLKNLRKQLYSAADYFELAYNKEDQKQIVVENLKDYAIKALINTVDHLGSVAYKVDRFLDQKIDEVSGMELRFSCSEQRLEACQKYINQGGLSQQSLVIKTPYHYKRYIFPVDEETMDSFSHAKPDHDSRNLSTEHNLLEFKNAVQASIEGTPSSFFRERHSELRSPQFYSGQGTFTFTRTSTNNKPEKRSSSPQRSPIIRSGSLLKRPISPNYANALRRYPSEPRRSVSLSMYSERDKAKDSDQQYSAKSQRLFKALLSMRKSRKEGSLFTCLDQI >Potri.001G088100.2.v4.1 pep chromosome:Pop_tri_v4:1:7013858:7016832:-1 gene:Potri.001G088100.v4.1 transcript:Potri.001G088100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088100.v4.1 MDSKTSSSSVNGPREPSIHEELLMQQSLLFSDALKDLKNLRKQLYSAADYFELAYNKEDQKQIVVENLKDYAIKALINTVDHLGSVAYKVDRFLDQKIDEVSGMELRFSCSEQRLEACQKYINQGGLSQQSLVIKTPYHYKRYIFPVDEETMDSFSHAKPDHDSRNLSTEHNLLEFKNAVQASIEGTPSSFFRERHSELRSPQFYSGQGTFTFTRTSTNNKPEKRSSSPQRSPIIRSGSLLKRPISPNYANALRRYPSEPRRSVSLSMYSERDKAKDSDQQYSAKSQRLFKALLSMRKSRKEGSLFTCLDQI >Potri.016G071850.1.v4.1 pep chromosome:Pop_tri_v4:16:5293918:5294805:1 gene:Potri.016G071850.v4.1 transcript:Potri.016G071850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071850.v4.1 MDLDFLKTRHHLLWFSLKKTTALIFAKASPTKTTLARSCNFNTMAKAKAKAKANAFAIYVPPPFAAFVFLEAMESLSMI >Potri.017G017200.1.v4.1 pep chromosome:Pop_tri_v4:17:1268141:1272368:1 gene:Potri.017G017200.v4.1 transcript:Potri.017G017200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017200.v4.1 MDTKALAKSKRAHTLQHNKGKKPHPNQNPSKTPSTGNNQKPQKSKLPSNWDRYEDDEEDEFGVNLENPSGDNSKKPSFKDYGDGLALPKSKGADFKYLLDEAKSKPHQVDDFPFLEGFLAEESMHGVGPLLAVRGESILSWIGDDNFVVEDETTSSHEASFLSLNLHALAEQLAKVDVSERLFIEADLLPTELGSNTSSSQEFDQMQTTGSEASSNHGPNRKQTTHDKETKTISGELTFEDFSEKNKAVNQDAEIFVSGLTIGNSDPISFIQGLDVKDNLNLNQHGKSNQRTAMESPAQFYASSVAPNSRLPTFEAAAAESELDMLLDSLSEAKLLDSSGFGSGTLPVSEKEAAVPLPQLTRNAPGSAKTTPTAATLDNVLDDLLEETSNLQEAAAPLPLLARNAHGSLKTTSTAATLDDVLDDLFEETSSLSNQNNLHQPSEKKADHVIQSSSSQSVNKSKVLDDFDSWLDTI >Potri.015G078600.2.v4.1 pep chromosome:Pop_tri_v4:15:10481543:10484298:1 gene:Potri.015G078600.v4.1 transcript:Potri.015G078600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078600.v4.1 MGGTEETVSTYAPKMAGTVNWGTATVVGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQHRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAEFYGLQNLLAEKLGVHGIFNVVGAGSATAATFGLIMPGSLRWRARNVLLGLFMGAAFCFPLGWIHLKLVEKANEGSSGERGEAKSGVGATIERLEGNLKKKI >Potri.015G078600.1.v4.1 pep chromosome:Pop_tri_v4:15:10481542:10484323:1 gene:Potri.015G078600.v4.1 transcript:Potri.015G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078600.v4.1 MGGTEETVSTQYAPKMAGTVNWGTATVVGVFAGMLYGGSKEAAASVSKDAEVMLKLGSTPDKREQHRLMRDAMEKRFIRVTRGSIVGGVRLGMFTAEFYGLQNLLAEKLGVHGIFNVVGAGSATAATFGLIMPGSLRWRARNVLLGLFMGAAFCFPLGWIHLKLVEKANEGSSGERGEAKSGVGATIERLEGNLKKKI >Potri.018G035000.1.v4.1 pep chromosome:Pop_tri_v4:18:2701508:2707732:1 gene:Potri.018G035000.v4.1 transcript:Potri.018G035000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035000.v4.1 MTKKDKEEERIEKIIRGLLKLPENRRCINCNSLGPQYVCTTFFTFVCTGCSGIHREFTHRVKSVSMAKFNAEEVSALQAGGNERARQIYLKDWDPQRNQLPDGSNLQKLRDFIKHVYVDRRYTGEKSEEKLSRLRLTDKEESSENRRVVLYSGGSRTLNYEDRQGQSERCGFSGRTDVKTFRYYDDERRSPRYSQENTRCGGFKKSPARFEVVDDRFRDDKIRSVRQTDVHLFSPTESRFGNRSSDIQKNNAPVVRPLKDILGENLPPLQVVEHSKAPNGKDASVHSQTPASSCPMASADGNPVQQKSHNSESSVDLNADSKSSNAAAAPVALENLPSSEEGNCSYESSGKENIPPVPKPNMLEFLLMELSVPSVIPFDNTSEIPTNDNPSSATSEENILMSSGASVAGPSGQMFALPSSGVDSATDASTTASGDNMPAGSVSLPVEQMLTLPSSAGASTAVSRGTMTVGSVSLAAPVVQTATASGISLPEGDPVPAVPLEETLTLIDAFDAYIAPLNTSLPVQPSNAVPPQAALDNNGDSTFKVFDGQQISTMQQQSSALPANKSSTGQQTTNTPAGGVNDQIWTSSNVPNAQGPPDFLGEYPSQDVSTPAQESNSDAKSKPLASETKSGGRRELPVDLFTTTIPTPGPIPGWQISPPYGMGFNMQYYPNATRVPAYANTTKSTNPFNLNGESTSVQAPPFPSMGNMHSGLPMHTSALPPQSPPFASAMPYGGYMGQQAYMNLPNSGPQGPGDLGSEGFPFGSLDMAQQPTNEYLLPASSSSLPSRGGNPFG >Potri.018G035000.3.v4.1 pep chromosome:Pop_tri_v4:18:2701508:2707732:1 gene:Potri.018G035000.v4.1 transcript:Potri.018G035000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035000.v4.1 MTKKDKEEERIEKIIRGLLKLPENRRCINCNSLGPQYVCTTFFTFVCTGCSGIHREFTHRVKSVSMAKFNAEEVSALQAGGNERARQIYLKDWDPQRNQLPDGSNLQKLRDFIKHVYVDRRYTGEKSEEKLSRLRLTDKEESSENRRVVLYSGGSRTLNYEDRQGQSERCGFSGRTDVKTFRYYDDERRSPRYSQENTRCGGFKKSPARFEVVDDRFRDDKIRSVRQTDVHLFSPTESRFGNRSSDIQKNNAPVVRPLKDILGENLPPLQVVEHSKAPNGKDASVHSQTPASSCPMASADGNPVQQKSHNSESSVDLNADSKSSNAAAAPVALENLPSSEEGNCSYESSGKENIPPVPKPNMLEFLLMELSVPSVIPFDNTSEIPTNDNPSSATSEENILMSSGASVAGPSGQMFALPSSGVDSATDASTTASGDNMPAGSVSLPVEQMLTLPSSAGASTAVSRGTMTVGSVSLAAPVVQTATASGISLPEGDPVPAVPLEETLTLIDAFDAYIAPLNTSLPVQPSNAVPPQAALDNNGDSTFKVFDGQQISTMQQQSSALPANKSSTGQQTTNTPAGGVNDQIWTSSNVPNAQGPPDFLGEYPSQDVSTPAQESNSDAKSKPLASETKSGGRRELPVDLFTTTIPTPGPIPGWQISPPYGMGFNMQYYPNATRVPAYANTTKSTNPFNLNGESTSFPSMGNMHSGLPMHTSALPPQSPPFASAMPYGGYMGQQAYMNLPNSGPQGPGDLGSEGFPFGSLDMAQQPTNEYLLPASSSSLPSRGGNPFG >Potri.005G217700.1.v4.1 pep chromosome:Pop_tri_v4:5:22064878:22068182:-1 gene:Potri.005G217700.v4.1 transcript:Potri.005G217700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217700.v4.1 MAVALKSTPSFLQLKKPETYFIVRNKPPIVSTRRFAPMASLTATRSLGIGETFSNLKKQGKVALIPYITAGDPDLSTTAEALKLLDACGCDIIELGVPYSDPLADGPVIQAAATRSLARGTNFEAITSMLKEVVPQVSCPIALFTYYNPILKRGIEKFMSTVNDIGVHGLVVPDVPLEETQVLRKEAVKNGLELVLLTTPTTPTERMKAIVEAADGFVYLVSSVGVTGTRASVSDRVQTLLQDIKETTTKPVAVGFGISKPEHVKQVAGWGADGVIVGSAMVKLLGEAKSPEEGLKELESFTKSLKAALP >Potri.008G133000.1.v4.1 pep chromosome:Pop_tri_v4:8:8804356:8807825:1 gene:Potri.008G133000.v4.1 transcript:Potri.008G133000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G133000.v4.1 MEGAELELERRSKFLSGLIEKRKAKEHQEQPSKLSVRVRAADMPIVLQDRAFRCARDQLDSMPGKLDSKRLALALKKEFDAAYGPAWHCIVGTSFGSYVTHSTGGFLYFSIDKVYILLFRTAVEPLER >Potri.004G013750.1.v4.1 pep chromosome:Pop_tri_v4:4:851967:853309:1 gene:Potri.004G013750.v4.1 transcript:Potri.004G013750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013750.v4.1 MGLICPLYSLLYARLRLLIMNMIVIFGWKLMIMVCVYMYGKVLCTKMETGYVAGFFHVKTLIKVGLRFLFYIFYGEGKLGKDSQLIHLEGRTEDLWGIEYRHRPIFGRV >Potri.012G106650.2.v4.1 pep chromosome:Pop_tri_v4:12:12849760:12850127:-1 gene:Potri.012G106650.v4.1 transcript:Potri.012G106650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106650.v4.1 MSREGRKKRRLSSDECEDTNLRDMNMLKSQLQAQNTNCKLDREQRTDHNDSLVSALNKLTDALVKIADNGSKQRDVYEASYIVNEHYDIC >Potri.014G175600.2.v4.1 pep chromosome:Pop_tri_v4:14:13115354:13116695:1 gene:Potri.014G175600.v4.1 transcript:Potri.014G175600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175600.v4.1 MATTASPMASQLKSSLASSLGRRLVIPRGISGAPFRVSPNKRSFTVKAVQADKPTYQVVQPINGDPFIGSLETPVTSSPLIAWYLSNLPAYRTAVSPLLRGIEVGLAHGFLLVGPFVKAGPLRNTEYAGAAGSLAAGGLIVILSICLTMYGAASFTEGEPSIAPSLTLTGRKKVPDQLQTADGWAKFTGGFFFGGISGVTWAFFLLYVINLPYYVK >Potri.004G073600.2.v4.1 pep chromosome:Pop_tri_v4:4:6137430:6140523:-1 gene:Potri.004G073600.v4.1 transcript:Potri.004G073600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073600.v4.1 MHNLSCSSGSYLIKLKNTLSIIDSGVGMTKADLVNNLGTIARSGTKEFMEALQAGADVSMIGQFGVGFYSAYLVAERVVVTTKHNDDEQYVWESQAGGSFTVTRDVNGEQLGRGTKITLFLKEDQLEYLEERKIKDLVKKHSEFISYPIYLWTEKTTEKEISDDEDDEPKKEEEGDIEEVDEEKESKSKKKKIKEVSHEWQLINKQKPIWLRKPEEITKEEYAAFYKSLTNDWEDHLAVKHFSVEGQLEFKAILFVPKRAPFDLFDTRKKMNNIKLYVRRVFIMDNCEELIPEYLGFIKGVVDSDDLPLNISREMLQQNKILKVIRKNLVKKCIEMFSEIAENKEDYQKFYDAFSKNLKLGIHEDSQNRAKLADLLRYHSTKSGDEMTSLKDYVTRMKEGQKDIYYITGESKKAVENSPFLEKLKKRGYEVLFMVDAIDEYAVGQLKEYDGKKLVSATKEGLKLDDETEEEKKKKEEKKKSFENLCKTIKDILGDRVEKVVVSDRIVDSPCCLVTGEYGWTANMERIMKAQALRDSSMSSYMSSKKTMEINPDNGIMEELRKRAEADKNDKSVKDLVLLLFETALLTSGFSLEDPNTFAARIHRMLKLGLSIDEDEAAGDDTDMPALEEDGAEESKMEEVD >Potri.004G149800.1.v4.1 pep chromosome:Pop_tri_v4:4:17181109:17181894:1 gene:Potri.004G149800.v4.1 transcript:Potri.004G149800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149800.v4.1 MGNCASPQYKEKVAGGGLNRTSPAKIVHIDGRLQEFREPIKASNVLSLNPNSFLCSSESMYIDRRLPQVPDDEELQVGQLYFLMPLSKSKTPLSLQELCALASKANASLAQSDMGLTQKKHYRIWIDVALKFWPVINGAVRFRFAST >Potri.014G013000.1.v4.1 pep chromosome:Pop_tri_v4:14:746488:747375:1 gene:Potri.014G013000.v4.1 transcript:Potri.014G013000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G013000.v4.1 MSMKQSKIHSKGNVPFSWEKKPGESKVTSHHDYLSRETSVVKLQPPPCPVESSRISTHDIIIPLPPCTFQAPGAPLRSSSRRGLKKDIDDDPFLAAYKECTKKDKPVGKDFGSGRRKRAIFDFSSCKQSCSVRDDNLVRVSQVPYEREKWHHS >Potri.006G229100.1.v4.1 pep chromosome:Pop_tri_v4:6:23286502:23287173:1 gene:Potri.006G229100.v4.1 transcript:Potri.006G229100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229100.v4.1 MEEESLIFPGNDVTDEYLTDFDLDGDHSPEFPIKEEMIEEVMQDLYKEITCTKSTPLGNVPSPVFVDNGKSESCGASVSDSSSTVMAGVEFVGVAGKLAGGYLGLPGNRLREERRGFALGEGFLAERMMMDGCDGVELGDDQWLDRVLMGWGPVEVEGWT >Potri.010G000400.1.v4.1 pep chromosome:Pop_tri_v4:10:56623:57663:-1 gene:Potri.010G000400.v4.1 transcript:Potri.010G000400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G000400.v4.1 MGVFAFSDEFTSPVPPARLFKALILDSGNLLPKLLPQLIKSVEFTQGNGEAGSIRQISFQDGIGLTSVKNRVEAVDPENFSYSYSLIEGEGLLDKMETIVYEVQFVPGPDGGSINKMKSTYHTKGDIVLTEEEVKEGKEKALGMYKAVEAYLLQNPEAYV >Potri.006G040000.4.v4.1 pep chromosome:Pop_tri_v4:6:2674251:2677595:1 gene:Potri.006G040000.v4.1 transcript:Potri.006G040000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040000.v4.1 MRSEGRMLSLGPRFWGEFSSFLVSWFNKGRFVVSLFQVPMKMPRKKVNLGSRVQEIVEEEEEEEPSLLDLPELALERILERLSPAGLCSMAGVCSSLRDRCRSDHLWEKHLKQKWGRVIGESAYKEWQWHIASSKRPSLLDKRNQKGFMGSLISMWPFCWFKPKCESRSKPTTCLPVDSIMALYLSLESGKFWFPAQVYNRENGHVGFMLSCYDAQLSYDSKTDTFQARYSPFARQTIEQSIHWERLRAPPVDTPAHVLHTSDCLNDLKPGDHIEIQWRRSKEFPYGWWYAVVGHQELCDGNENRCRCRHNGE >Potri.006G040000.1.v4.1 pep chromosome:Pop_tri_v4:6:2674338:2677597:1 gene:Potri.006G040000.v4.1 transcript:Potri.006G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G040000.v4.1 MRSEGRMLSLGPRFWGEFSSFLVSWFNKGRFVVSLFQVPMKMPRKKVNLGSRVQEIVEEEEEEEPSLLDLPELALERILERLSPAGLCSMAGVCSSLRDRCRSDHLWEKHLKQKWGRVIGESAYKEWQWHIASSKRPSLLDKRNQKGFMGSLISMWPFCWFKPKCESRSKPTTCLPVDSIMALYLSLESGKFWFPAQVYNRENGHVGFMLSCYDAQLSYDSKTDTFQARYSPFARQTIEQSIHWERLRAPPVDTPAHVLHTSDCLNDLKPGDHIEIQWRRSKEFPYGWWYAVVGHQELCDGNENRCRCRHNDTVVLEFRQYTPGSRWRQTIINRKDHREVGNEADGFYGGIRKLCKAEEISKWKQLWPNQIVD >Potri.001G338800.1.v4.1 pep chromosome:Pop_tri_v4:1:34750544:34751434:-1 gene:Potri.001G338800.v4.1 transcript:Potri.001G338800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338800.v4.1 MSSSKQMSLLLMTILSSFLYLSVSSFEYEIGANEGWVVPPANDTRIYNDWASENRFQVDDTIRFKYRKDSVMEVSVEDYKKCNSSHPNFFSNTGNTVYHLNHSGYFYFMSGVSGHCERGQRMIIKVISSDQETNSGGEKSSASPSAPVLSSGVFKALLSQLAMSYVASYVFY >Potri.006G118600.1.v4.1 pep chromosome:Pop_tri_v4:6:9322677:9329399:1 gene:Potri.006G118600.v4.1 transcript:Potri.006G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118600.v4.1 MYGRGRRGGSPAPTKGGGRGRGRGAPLPSPMASSEADSISSVSQLGGEMERLSVQTEPPAPTQAPAAIPAPQQQKQQQQQLVPASSVKFAQRPDHGTVGSRCLIRANHFLVELADRDLHHYDVSITPEVASRGVNRAIMRELLASNSTHFQSRKPAYDGRKGFYTAGPLTFTSKDFVVTLVDKDDQGSVRKERKFKVTIRLASKTDLYHLKEFLQGRQRGAPHDTIQVLDVVLREPPSNNCTIVGRSFFTAGLGGQNEIGNGIECWKGFYQSLRPTQMGMSLNIDVSVAAFYEPILAVDFVAKLLNLGDPIRAATRPLSDSDRAKLKKALRGVRVKVTHGEEKRYKITGISPSATNQLRFAAEDGKQKSVVQYFLEKYNIRLRFASWPALQSGNDSRPIFLPMECCKIIEGQRYSKKLNEKQVTALLREACRRPVEREHSIEQIVHFNDVAQDDLAKEFGVSVKKELTCIDARVLPPPVLKYHDLGKARTVRPRVGQWNMINAKLFNGATVNFWMCVNFSSLGEQMAASFCRALVGMCNNKGMVINPAPVFPIRSGHPNQLEKTLAEVHSMCNNERKQLQILIIILPDVSGSYGTIKRVCETELGIVSQCCQPKQARKCSPQYLENVALKINVKAGGRNTVLEDALNRRIPLLSDTPTIIFGADVTHPQPGEDSSPSIAAIVASMDWPEVTTYRGLVSAQKHRQEIIQDCAGMIRELMIAFRRTTNQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEPNYLPPVTFIVVQKRHHTRLFATNPNQTDKSGNILPGTVVDTKICHPSEHDFYLCSHAGIQGTSRPVHYHVLCDMNKFTADCLQMLTNNLCYTYARCTRSVSVVPPAYYAHLAAFRARYYIEGDIASDSGGGGTGPPVRREAAPVRPLPAISPNVKNVMFYC >Potri.006G118600.3.v4.1 pep chromosome:Pop_tri_v4:6:9322676:9329400:1 gene:Potri.006G118600.v4.1 transcript:Potri.006G118600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118600.v4.1 MYGRGRRGGSPAPTKGGGRGRGRGAPLPSPMASSEADSISSVSQLGGEMERLSVQTEPPAPTQAPAAIPAPQQQKQQQQQLVPASSVKFAQRPDHGTVGSRCLIRANHFLVELADRDLHHYDVSITPEVASRGVNRAIMRELLASNSTHFQSRKPAYDGRKGFYTAGPLTFTSKDFVVTLVDKDDQGSVRKERKFKVTIRLASKTDLYHLKEFLQGRQRGAPHDTIQVLDVVLREPPSNNCTIVGRSFFTAGLGGQNEIGNGIECWKGFYQSLRPTQMGMSLNIDVSVAAFYEPILAVDFVAKLLNLGDPIRAATRPLSDSDRAKLKKALRGVRVKVTHGEEKRYKITGISPSATNQLRFAAEDGKQKSVVQYFLEKYNIRLRFASWPALQSGNDSRPIFLPMECCKIIEGQRYSKKLNEKQVTALLREACRRPVEREHSIEQIVHFNDVAQDDLAKEFGVSVKKELTCIDARVLPPPVLKYHDLGKARTVRPRVGQWNMINAKLFNGATVNFWMCVNFSSLGEQMAASFCRALVGMCNNKGMVINPAPVFPIRSGHPNQLEKTLAEVHSMCNNERKQLQILIIILPDVSGSYGTIKRVCETELGIVSQCCQPKQARKCSPQYLENVALKINVKAGGRNTVLEDALNRRIPLLSDTPTIIFGADVTHPQPGEDSSPSIAAIVASMDWPEVTTYRGLVSAQKHRQEIIQDCAGMIRELMIAFRRTTNQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEPNYLPPVTFIVVQKRHHTRLFATNPNQTDKSGNILPGTVVDTKICHPSEHDFYLCSHAGIQAGALSCVV >Potri.006G118600.2.v4.1 pep chromosome:Pop_tri_v4:6:9322676:9329400:1 gene:Potri.006G118600.v4.1 transcript:Potri.006G118600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118600.v4.1 MYGRGRRGGSPAPTKGGGRGRGRGAPLPSPMASSEADSISSVSQLGGEMERLSVQTEPPAPTQAPAAIPAPQQQKQQQQQLVPASSVKFAQRPDHGTVGSRCLIRANHFLVELADRDLHHYDVSITPEVASRGVNRAIMRELLASNSTHFQSRKPAYDGRKGFYTAGPLTFTSKDFVVTLVDKDDQGSVRKERKFKVTIRLASKTDLYHLKEFLQGRQRGAPHDTIQVLDVVLREPPSNNCTIVGRSFFTAGLGGQNEIGNGIECWKGFYQSLRPTQMGMSLNIDVSVAAFYEPILAVDFVAKLLNLGDPIRAATRPLSDSDRAKLKKALRGVRVKVTHGEEKRYKITGISPSATNQLRFAAEDGKQKSVVQYFLEKYNIRLRFASWPALQSGNDSRPIFLPMECCKIIEGQRYSKKLNEKQVTALLREACRRPVEREHSIEQIVHFNDVAQDDLAKEFGVSVKKELTCIDARVLPPPVLKYHDLGKARTVRPRVGQWNMINAKLFNGATVNFWMCVNFSSLGEQMAASFCRALVGMCNNKGMVINPAPVFPIRSGHPNQLEKTLAEVHSMCNNERKQLQILIIILPDVSGSYGTIKRVCETELGIVSQCCQPKQARKCSPQYLENVALKINVKAGGRNTVLEDALNRRIPLLSDTPTIIFGADVTHPQPGEDSSPSIAAIVASMDWPEVTTYRGLVSAQKHRQEIIQDCAGMIRELMIAFRRTTNQKPSRIIFYRDGVSEGQFSQVLLYEMDAIRKACASLEPNYLPPVTFIVVQKRHHTRLFATNPNQTDKSGNILPGTVVDTKICHPSEHDFYLCSHAGIQGTSRPVHYHVLCDMNKFTADCLQMLTNNLCYTYARCTRSVSFLLHTMHTWQHSGQDTT >Potri.002G098600.2.v4.1 pep chromosome:Pop_tri_v4:2:7198694:7200349:1 gene:Potri.002G098600.v4.1 transcript:Potri.002G098600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098600.v4.1 MASLHFQFALKSLLLVCLFHVSFAARKLNELVQDQPQLLRYHDGALLYGKISVNLIWYGKFKPSQRAIISDFVTSLSVSPSSLKDSQPSVAKWWETTEKYYHLTSKKNTLSLTLGTQILDAKYSLGKSLTDKQIVELASKGDQKDAVNIVLTSSDVTVEGFCLNRCGTHGSALGSKSGNIKGKNYRFAYIWVGNSETQCPGYCAWPFHQPIYGPQNPPLVAPNNDVGLDGMVINLASLMAGTATNPFGNGYYQGPKEAPLEAASACPGVYGKGAYPGYAGSLLVDSTTGASYNAHGSNGRKYLLPALYDPSTSTCSTLV >Potri.010G169400.1.v4.1 pep chromosome:Pop_tri_v4:10:17150603:17152015:1 gene:Potri.010G169400.v4.1 transcript:Potri.010G169400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169400.v4.1 MTKKAMDSTTSPHHITKSPDSDTDTETPLQTHLTKALSLTNGSHKTHQNRHLPPPPQQQNMVVSYKECHKNHAAGIGGLALDGCGEFMPKSTATPQDPTSFKCAACGCHRNFHRREPSGPTTITHMLPPPALNWTTSSSQSPGSTSSGPSPSPTSPASPSPQSFYPSAPHMLLSLSSGHSGHLDETQLQKQSYSLAMTSPHGKKRARTKFSQEQREKMYLFAEKLGWRLLRGNNDRGVEEFCSEIGVTRNVFKVWMHNNRSRKEKLNNSYGINSSDKCGFNANEEAGRVGADNKGCSFNNNGSNYDSFDRYQIESKVRVHGSMAPHGSSPSY >Potri.004G104000.3.v4.1 pep chromosome:Pop_tri_v4:4:9121259:9127312:-1 gene:Potri.004G104000.v4.1 transcript:Potri.004G104000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104000.v4.1 MNLPSSGNGSLTDPSSHASSNGLPRPTFQGSLPQYQPDASLESWGPSHLLPTTNGSGLAMPMYWQGYYGPSNGVQAPQQALLRPPPGLSMPPSMMQSVQYPAMNASNTSASPLSESPPLLPPFSTSTLNLQTSTIPSSRSSAMVSDSTNLTPDRVSTQTLPSNLPLASPLTIAVDKIAIASPGSYLPKTVPDPIMPFKRMSEPPSSIMRTSSSVLNEGKTPLVTPGQLSQPGPPIVPSLQSSQIAQKDVEVVQVSSPELSALPPTTAAAEVQKPILPLPSQPEHKVYGAPMYTYHTSRGGRGRGRENEISRSATRFEEDFDFTAMNEKFNKDEVWGHLGKSHKAQDRDDLLDEDDVGSSKLETKPVYVKDDFFDSISCDALDGGSRNGRARFSQQSRRDTDTFVNFSHHWGGRGGWGPGRGGRSRGGYYGRGYGYAGRGYGMSHGSF >Potri.004G104000.2.v4.1 pep chromosome:Pop_tri_v4:4:9121181:9127360:-1 gene:Potri.004G104000.v4.1 transcript:Potri.004G104000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104000.v4.1 MAAATAEAARSSSSSSSTGSGGSGGSSADSYVGSLISLTSKSEIRYEGVLFNINTQESTIGLRNVRSFGTEGRKKDGLQVPPSDKIYEFILFRGTDIKDLQVKSSPPVQTATPVHNDPAIIQSQYPQAATASMNLPSSGNGSLTDPSSHASSNGLPRPTFQGSLPQYQPDASLESWGPSHLLPTTNGSGLAMPMYWQGYYGPSNGVQAPQQALLRPPPGLSMPPSMMQSVQYPAMNASNTSASPLSESPPLLPPFSTSTLNLQTSTIPSSRSSAMVSDSTNLTPDRVSTQTLPSNLPLASPLTIAVDKIAIASPGSYLPKTVPDPIMPFKRMSEPPSSIMRTSSSVLNEGKTPLVTPGQLSQPGPPIVPSLQSSQIAQKDVEVVQVSSPELSALPPTTAAAEVQKPILPLPSQPEHKVYGAPMYTYHTSRGGRGRGRENEISRSATRFEEDFDFTAMNEKFNKDEVWGHLGKSHKAQDRDDLLDEDDVGSSKLETKPVYVKDDFFDSISCDALDGGSRNGRARFSQQSRRDTDTFVNFSHHWGGRGGWGPGRGGRSRGGYYGRGYGYAGRGYGMSHGSF >Potri.013G158000.1.v4.1 pep chromosome:Pop_tri_v4:13:15283766:15290191:1 gene:Potri.013G158000.v4.1 transcript:Potri.013G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G158000.v4.1 MSYIPPHLRNSSSNATITASRAHSVPPTDTNDHPNLSHSSSNFNTSSSTTFASPSRRSSGAFSRTISVPQPVFPNWTPSDRVLRFNPDQIAEIRSRLNIDVSVASGSPLAPAAIESFEDMCLHQSIMKDIAHHEYTRPTSIQAQAMTVALSGRDLLGCAETGSGKTAAFTIPMIQHCLAQPTVRRGDGPLALVLAPTRELAQQIEKEVKGFSRSLESFRTAIVVGGTNIADQRLELRAGVDVIVATPGRLIDHLQQGNTSLSRISFIVLDEADRMLDMGFEPQIREVMRNLPEKHQTLLFSATMPVEIETLTQEYLTSPVQVRVGKVSSPTANVSQILTKVSESEKIDCLLALLVEDASQAERSNQPFPLTIVFVERKTRCNEVAEALVAQALQAVALHGGRSQSDREAALRDFRSGSTSILVATDVASRGLDVTGVAHVINLDLPKTMEDYVHRIGRTGRAGSTGQATSFYTDQDLFLVAQIKKAIADVESGNTVAFATGKTARRKEREAAAALHKEARNDPSKVTGPACINIEDKYRFMMAPSIIKSEGAADSAWDD >Potri.010G130200.1.v4.1 pep chromosome:Pop_tri_v4:10:14676919:14679981:1 gene:Potri.010G130200.v4.1 transcript:Potri.010G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130200.v4.1 MFSSSSSFNPFQYENPTMIASTLADPENPSSIEDYSPTSFLQHFPDLFLDDGDLLVGELLSQQQQQQQQPGVFGSNTHVLAVETQEINPVASNDESTRKFNNSKMKGNVNTSKQPIRQRRTGKKDRHSKIHTAQGPRDRRMRLSLQIARKFFDLQDMLGFDKASKTIEWLFTKSKAAIKELTDTVPKVRKCSSTSAGKSVSSTSESEVVSGIKLTPDTNGDRRVMEAKSDSLVSKPKEKRSKKVHKPVFNPVDRESREKARARARDRTREKMKNKGINKSSQSSQANPDNLEKFGYSSTLEYGENLASGRQEINSSVKVVDEEEESNNHHHLLPHQMDHVSIIDKFFGITNSPRSSSIFDFSESIEVPSGATLKDEFSGFPLKWDVTNGRVQYKYNALPNMKLPSGNVQAQNPNVNFMTTPHALEPNLSTMIMTTLNAHVEESPNSAFMNMPNPNEQNPISILKTTTTIDNGNQFSSSHIFARDYDRLY >Potri.016G126400.1.v4.1 pep chromosome:Pop_tri_v4:16:12974445:12975581:-1 gene:Potri.016G126400.v4.1 transcript:Potri.016G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126400.v4.1 MSSQSPPVLPITNLQPTTTTTTTATASQPPLPPHALRAFLNNITESVRNGFSQRRPFTELIDRSAFSKPESISEATTRIRKNYAYFRINYLAAIFVILAFSLLTNPFSLLLLVGLLCSWLFLYLFRASDQPLVLFGRTFSDRETLGILIVLSVFVVFLTNVGSVIISALLVGVGIVCAHGAFRVPEDLFLDDVQENASTGFLSSFLGGAASNVVASAAPIVAAARA >Potri.012G086500.1.v4.1 pep chromosome:Pop_tri_v4:12:11198421:11201826:1 gene:Potri.012G086500.v4.1 transcript:Potri.012G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086500.v4.1 MDVDFWTSRVLSSKNLSAVQAASRNSDNHLAMDDSDGDDNSRAYFPCPFCYVEIEVHLFCSHLLDEHCFDLKNAVCPLCAANLGKDAIGHFIVQHASSLKHRRKHKKSGLWTGSSAMLGKDLSSFLGSSTNSRTNTHESAPDPLLSPFLGNLSRSDPRQSQHDEPFNISASHSKSSGMSSLDRGSQVDYEEQRQKSTFVQQLIASTIF >Potri.014G104750.1.v4.1 pep chromosome:Pop_tri_v4:14:7018139:7018832:1 gene:Potri.014G104750.v4.1 transcript:Potri.014G104750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104750.v4.1 MSYATRCVGFLFLVRALLAKVSREDRYPSDHLSARTSSRCYSEYMLILLRFSISLLVVGASAGISSWQKRDFTTRICYRIFFSPLKARSGLGVRRLINC >Potri.007G120600.2.v4.1 pep chromosome:Pop_tri_v4:7:13848841:13849913:1 gene:Potri.007G120600.v4.1 transcript:Potri.007G120600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G120600.v4.1 MSSSRFTSFLYLLTLSLLLQNVLGVDPLYSSCSGNEKSTANYGSYKTSLDVLMSSFYQLAPAKEGFALGSLGQKNLDRPYGLVLCRGDVSSPDCSACVADATREIRKRCPYGKSGFIAYDNCLLKYSNKDFFGQIDSQNKIYLYNVRNVSNPVVFNQKTKDLLTQLANKAYIARKMYAAGELGLGGSKKLYGMAQCTRDLSSADCKKCLDGAISELQGFAGGKEGGRVTGGSCTVRYEIYPFVKA >Potri.003G205200.1.v4.1 pep chromosome:Pop_tri_v4:3:20437065:20438297:1 gene:Potri.003G205200.v4.1 transcript:Potri.003G205200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205200.v4.1 MSSRLSSILRLRSHFLHRIPATTTTTTATALFHNSTKSKSITLTSLSDLHSLSTLRFFSASRRHSPTRPKPVDIGARARQLQNRRLWTYALTFSCIAGFIVIVLNNFQDQLVFYITPSDAVEKFKNNPSKNKFRLGGLVLEGSVVHPLTSPEMEFVVTDLITDILVKYEGSLPDLFREGHSVVVEGFMKELDDKVRKEVGLKNVSGKARSGECYFKAFDVLAKHDEKYMPQEVAAAIERNKKLIEAGEVGEGGAEKKK >Potri.003G132100.1.v4.1 pep chromosome:Pop_tri_v4:3:15029720:15031834:1 gene:Potri.003G132100.v4.1 transcript:Potri.003G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132100.v4.1 MPATEHQPSFLGRISIRRNQVTAMDGNHEQELEDLELFQRHIGDRFAELLSPPDNQDSPFSGESFLSISWLRKLVDVLLCCEAEFKAVLIMGRDPSQISKPPLDRSLSDYMERAVKVLDICNAVSGGVDSIRQCQKLAEIIVSALEQKPIGDGQVKRAKKALSALMSALAIDDKESNHPRYAERTWSFGRRGNNSGGNHKDRANHGSFRSLSMVVAKNWSAAKQIQAMCSNLAVPRGGESTGLVQPVYIMSNVMVFVMWALVAAIPCQERSGLLTHFQIPKQFGWAHSIIGMQEKIGEEWKKKEKKGSAGLLEEMQRMEKLGQSLMEFADGFQFPAEDEKVEEAAAQVVELAEICRRMEEGLLPLQQQIREVFHRIVRSRTEVLDLLDHGKVSQPII >Potri.005G259700.1.v4.1 pep chromosome:Pop_tri_v4:5:24921798:24924792:-1 gene:Potri.005G259700.v4.1 transcript:Potri.005G259700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259700.v4.1 MGKRSRWLWWMVVAVGVVGVVATGTKSGAGEGEGKGKVLEPLVTRIAFGSCANQSAPQPIWNAIIDFDPQVFIWLGDNVYGDTKRPFKLFGKERTIGPWKNAPRFIPSSPKELESRYQKAKSNPGYSRLRHTTQVIGTWDDHDYGLNDAGKEFGGKITNQKLLLDFLDEPQDSPRRNQEGVYTSYMFGPVGRQIKVILLDTRYHRDPLRSDGSVLGSSQWTWLEKELNGPKSAITIIGSSVQVISNLSATTRPLFSLESWGRFPKERDRLFKLIADTKREGVFFISGDVHFGEISRYDCATGYPLYDVTASGLTQAVEKAVPHVFSLIVRFVAWLTPTTMRVISTNCRFKSCTYGQPNFGAIEIDWSTTPVTLKLEVRDIVGYPVTGVKFPLVELQSRGSVPSVKAGEHRRHCSLEVNLPWMIKYRLAILFYCSVSALLLAMIGLAYAATLAFRLFLHKCKLD >Potri.005G259700.2.v4.1 pep chromosome:Pop_tri_v4:5:24921799:24924794:-1 gene:Potri.005G259700.v4.1 transcript:Potri.005G259700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G259700.v4.1 MGKRSRWLWWMVVAVGVVGVVATGTKSGAGEGEGKGKVLEPLVTRIAFGSCANQSAPQLFGKERTIGPWKNAPRFIPSSPKELESRYQKAKSNPGYSRLRHTTQVIGTWDDHDYGLNDAGKEFGGKITNQKLLLDFLDEPQDSPRRNQEGVYTSYMFGPVGRQIKVILLDTRYHRDPLRSDGSVLGSSQWTWLEKELNGPKSAITIIGSSVQVISNLSATTRPLFSLESWGRFPKERDRLFKLIADTKREGVFFISGDVHFGEISRYDCATGYPLYDVTASGLTQAVEKAVPHVFSLIVRFVAWLTPTTMRVISTNCRFKSCTYGQPNFGAIEIDWSTTPVTLKLEVRDIVGYPVTGVKFPLVELQSRGSVPSVKAGEHRRHCSLEVNLPWMIKYRLAILFYCSVSALLLAMIGLAYAATLAFRLFLHKCKLD >Potri.012G123550.1.v4.1 pep chromosome:Pop_tri_v4:12:14061364:14066264:1 gene:Potri.012G123550.v4.1 transcript:Potri.012G123550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123550.v4.1 MGDSQYSFSLTTFSPTGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILVDESSVQKIQNLTPNIGVVYSGMGPDFRVLVRKSRKQAEQYHRLYKEPIPVTQLVRETAAVMQEFTQSGGVRPFGVSLLVAGFDDKGPQLYQVDPSGSYFSWKASAMGKNVSNAKTFLEKRYTDDMELDDAVHTAILTLKEGFEGQISGKNIEIGIIGADKQFRVLTPAEIDDYLAEVE >Potri.004G169100.5.v4.1 pep chromosome:Pop_tri_v4:4:18656417:18659034:1 gene:Potri.004G169100.v4.1 transcript:Potri.004G169100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169100.v4.1 MAEKASSPWGKPGAWALDAEEHEAELQQEQQNSQRAPTEPLGGTAEFPSLAAATKQPKKKKNKTLTLAELSNYPSAKQSHEPDLLNLPTRPRERSAEELDRARIGGGFKSYGLNYRNGGEESNSRWGGGGNGNSRVSNRESSREFAPSRADEIDDWSKTKKSPVGSGYERRDRERERGSSFFDSQSKADESENWVSNKTANDGPRRFGGVNNGGFERRGSFDSLSRERHGFSGGIGAAADSDNWGRKKDESLNNGSGGERPKLNLQPKTFPLSNGNEVAGKPKGPSPFGDARPREEVLKEKGMDYKEIDEKLEAVKISSERNKDVERGDSFGRKGFGIIGGGSGNERSWRKPDVTDSGSRPQRFVLQAL >Potri.004G169100.2.v4.1 pep chromosome:Pop_tri_v4:4:18656493:18659216:1 gene:Potri.004G169100.v4.1 transcript:Potri.004G169100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G169100.v4.1 MAEKASSPWGKPGAWALDAEEHEAELQQEQQNSQRAPTEPLGGTAEFPSLAAATKQPKKKKNKTLTLAELSNYPSAKQSHEPDLLNLPTRPRERSAEELDRARIGGGFKSYGLNYRNGGEESNSRWGGGGNGNSRVSNRESSREFAPSRADEIDDWSKTKKSPVGSGYERRDRERERGSSFFDSQSKADESENWVSNKTANDGPRRFGGVNNGGFERRGSFDSLSRERHGFSGGIGAAADSDNWGRKKDESLNNGSGGERPKLNLQPKTFPLSNGNEVAGKPKGPSPFGDARPREEVLKEKGMDYKEIDEKLEAVKISSERNKDVERGDSFGRKGFGIIGGGSGNERSWRKPDVTDSGSRPQSSGTTENGSIAEDGPAIEDAAAEGN >Potri.017G059200.6.v4.1 pep chromosome:Pop_tri_v4:17:4786030:4789824:-1 gene:Potri.017G059200.v4.1 transcript:Potri.017G059200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059200.v4.1 MNSRQHETNNNSKISWSPILDWDQPLTDQELEAIDAIEASFQSSTPSSSSSSSSSTPAATTPSSSIINKRHSSPQKDQEPPKTRRQLPNSIFSLSKPFSLSPCQGNVKMRYPAMKFGGQILYSRTSIEVEKAARELLQSLEAEKREMDRVIIGFDIEWKPSFTTGVLPGKAAVMQICANTSLCHVMHIFHSGITPSLQFLLEDSKLVKVGIGIGGDCAKVFRDYNASVKSVEDLSYLANQKLDGKPKTWGLQALAKILVCKELQKPNKIRLGNWQVDVLSKEQLQYAATDAFASWQLYQVLKSLPDAKDATDSTSKELKVEST >Potri.002G081900.1.v4.1 pep chromosome:Pop_tri_v4:2:5800136:5804095:1 gene:Potri.002G081900.v4.1 transcript:Potri.002G081900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G081900.v4.1 MRVCAPQALKKPANPPHQHIKTVAQSQMAQYPSSLTLSPPKSQPMASLRGSAATVRLLHSASRVRLSLSSASSSLSISSSSSYSPSSLKCLQFSPLAPHIFKDQNRSSMSTVAAASDPAQLKSAREDIKELLKSKSCHPILVRLGWHDSGTYNKNIEEWPRRGGANGSLRFDIELKHAANAGLVNALKLIKPIKDKYSGVTYADLFQLASATAIEEAGGPKIPMKYGRVDVSAPEECPEEGRLPAAGPPKPADHLREVFYRMGLNDKEIVALSGAHTLGRSRPERSGWGKPETKYTKNGPGAPGGQSWTAEWLKFDNSYFKDIKQRKDDDLLVLPTDAALFEDPSFKVYAEKYAEDQEAFFKDYAEAHAKLSNLGAKFDPQEGIVLDGVAGEKFMAAKYSSGKD >Potri.006G144100.1.v4.1 pep chromosome:Pop_tri_v4:6:12186275:12191383:-1 gene:Potri.006G144100.v4.1 transcript:Potri.006G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144100.v4.1 MEAGKGVIDKKGTNDVVIHISTTNQDATTKAYSFSKNSQLGSSPKDSSSNLELTEFENLSVRVQTSPSSEIPKPSPAPSPTPHKPPKIPTTDSITRRKSLARSEFSKPKSRLVEPSYPYDAILKEEMKTGQSGNSSSPRNVASPNDTHGVTTPRDNLRSAPITPKTPLIGTPGLDDDDDEVYRTAILNLGKIRGKKWKVLPLIELVAFVCIMGLLIASLTVDGLLNSKIWSLKLWKWCVLVLVIFSGRLFTEWFMNVLVFLIERNFLLKKKVLYFVYGLKKSVQAFIWLGLVLLAWGLLFESGVKRSRRTTKILNKITRALAGCLIGAAIWLAKTFSLKLLASSFHVTRFFDRIQESIFHQYVLITLSGPPVMEMAESIASTKTLPGQLSFTNTNKRNEEKKEEVIDVDKLKKMKHGKISAWTMKGLINVISGSGLSTLSNNLDQSDEEDAEKKDEEITSEWEARAAAYKIFRNVAKPHSKYIEEDDLLRFMKKEEVDNVIPLFEGATETRKIKRSALKNWLVNVYNERKSLAHSLNDTKTAIEELNKLASAAVLVVIVAVWLLVMGYLTTKVLVFISSQLLLVVFIFGNSAKTVFEAIIFVFVMHPFDVGDRCVIDGVQMVVEEMNILTTVFLRYDNEKIFYPNTVLATKPISNFYRSPEMSDSVEFAVDISTSIETIGALKARIKTYLESKPQHWRPGHSVQVKEIENVNKMRMALYANHTINFQNSGDRGNRRSDLVLELKKCFEDLGIKYHLLPQQVHLSYVGTAASVAPTLAR >Potri.018G135901.1.v4.1 pep chromosome:Pop_tri_v4:18:15602559:15604835:1 gene:Potri.018G135901.v4.1 transcript:Potri.018G135901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135901.v4.1 MLLSSKCKQGNREFVNEIGMISALPHANLVKLYGCCVEGNQLMLGYEYMQNNCLSRALFVVIWLLSMQCVATKLTKKMFVALELLLWKLLVAYVLQERGSLSELVDPELGSEYSSEEAMVMLNVALSCKFL >Potri.010G026350.1.v4.1 pep chromosome:Pop_tri_v4:10:3830618:3833666:1 gene:Potri.010G026350.v4.1 transcript:Potri.010G026350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026350.v4.1 MRDNALLSLNEDIVCGLMKMLDGTNELVKLFRNAKQRNANSECPSYTICLLGKRDGDLRQYDTPSSNDVSGLVVGDIGDFCSKHDIIIESCSGSLQRISKLYPKFMSLQYPLLFPYGKYGYQCDIMFANNDQQSLRKRLRVPMRAYYASLINEIVGFKNIIIKGGYTNNFWLMQRDCIRANQNDLRTEVYKGIHEAVLKGDVDGNATRKVIVPSSLNGSPHYTINNYQDAYMQDL >Potri.012G061400.7.v4.1 pep chromosome:Pop_tri_v4:12:6334237:6344427:1 gene:Potri.012G061400.v4.1 transcript:Potri.012G061400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061400.v4.1 MPGNEVGDRIHNFLGQDNWSQGQHQSQTVDGTWSGPNNNPWAGSQRQIGTPLISNLKNDNVHQPADTERGGESSSVQLGMYFSHSNPRPEFARSQTQSQQPPLNGYMHGHQVLQTNQNEENFLGVDTESDRRNMTSKGFSMLDSQLADGPEFLKKNSVRMDFNESPVNYDFFGGQQQISSQHPGMLQSFPRQQPGISDMQLLQHQFMLKKIQEMQWQQELQKQEDARKLNSVNQASAFAKQAAGNSQPLINGIPIHETSNFSLQPELMAASTNWPQQGVPPVMQGSVRGHMVSPEQGQALPHIVGMVPQQVDQSLYGVPISAMSLTPSQYSPVQMDKSLMQQVSDSSNSLTNNQYAFPEQVSVRDGALISRRGYQGKMIASSDGHGINSGFKLENLHQVNPQQNNEPVQEICMRQDLAGPSEISEEETMIQVAPSQNVATLDPAEAKILFGSDDNLWDAFGRTTNMGSGGYNMLDGTDFFSTLPSVQSGSWSALMQSAVAETSSSDTRLQEEWSGVTYRKREPPAVNQHTPTANDISKQKSNWADNSLPSASSLNTRPFPVSHETNTGTSYNNIRGVHQSGVNTSHEQSERLRTASLRHTQQFPGDETKWPDRRLLQKAAAEGSHFYGKATHSSDAASNAKSIPGSWANQQSMPSYSSSGQPLTSRSGLNFMDSASPITTAASKYQENEKSFHDSQNADKKSPMFEVMGHGADIWKTTSVSNSTAELEHAKSSMTSPLVNQEDTNRNNVAALPDSSTERANMESSKQLSKSNNIDIWKHSGFSVNHKGNEVVGKCQPHMVKNDHSFESSRNSSLANRAVETQEAQRSNTKDNTTDSFPSITDHASAFGARENTWLGASDSCSLSRGKQKSSSPIGRKPSGSRKFQYHPMGDLDADMEPSYGANLEANSQSIPQQVCQGLKGLDQGYGSYPNFPSHAARDSVEIEKGHLSGFQGETKGLDEIPAKSIPPGSAPGLSTPFDRSVRAPSKTMTSNRNMLELLHKVDQLSEQGNEMHFNSKMPEAETSDASFHVQRDQSPASQAFGLQLAPPSQRGLIPEHALPSQSPTNAIFSTSTSMHSGNSAQRNFAAAFPPGFPYSRNHLSNQHKTDTGGHTTTSKCVNESFDQFSSQQKQTDESSERDQTNQSALPSVSDSSRHASHSDNASSPDHARDSAQQFSVLEVAPAPQRNALSQDAVSSKMSPTMWTSVPSQLHPFGSQPFQTSYSMFKSNLLSHNSSGATLTLPQKPDNQIMQVGGSSQAESGSCLMNSHGFLGKEQPSKGDHLQQVSPENDRAQNTMSASHEKGSVLNHLTETSLLASTRKQIEAFGRSLKPNNTLHQNYPLLHQMQGMENEEVDNGNRSLKRFKSPDAPVDPQLVTTQGGQQFYGHNNMVRDAPADCTPIPPGDSKMLSFSAKTADVQDSNAPSKEMLAFGRHDSQSFASSNGAVSVRGEHSQISPQMAPSWFDQYGTFKNGQILRMHDAQRTISMNTSEMPFTAGRPDDRSHAHSSIEQGNAAAAASQFGIVQKGSTCSSIASEKFSSPQSLQPDSGDVSLVVMRPKKRKIAVSELVPWHKEVMHGPQRLQNVSAVEVDWAQATNRLTEKVEDEVEMVDDGLPVLRSKRRLILTTQLMQILLRPALASVFSADATLHYENAAYFVARSTLGDACSKLSCTGSDTHAPSNSRDLLPEKIKSPDKSVDQYFSKVMEDLISRTRKLESDLLRLDKRASVSDLRLECQDLERFSVINRFAKFHGRGQGDGAESSSSSDASGNAQKCLQRYVTALPMPRNLPDRTQCLSL >Potri.012G061400.10.v4.1 pep chromosome:Pop_tri_v4:12:6334355:6343927:1 gene:Potri.012G061400.v4.1 transcript:Potri.012G061400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061400.v4.1 MPGNEVGDRIHNFLGQDNWSQGQHQSQTVDGTWSGPNNNPWAGSQRQIGTPLISNLKNDNVHQPADTERGGESSSVQLGMYFSHSNPRPEFARSQTQSQQPPLNGYMHGHQVLQTNQNEENFLGVDTESDRRNMTSKGFSMLDSQLADGPEFLKKNSVRMDFNESPVNYDFFGGQQQISSQHPGMLQSFPRQQPGISDMQLLQHQFMLKKIQEMQWQQELQKQEDARKLNSVNQASAFAKQAAGNSQPLINGIPIHETSNFSLQPELMAASTNWPQQGVPPVMQGSVRGHMVSPEQGQALPHIVGMVPQQVDQSLYGVPISAMSLTPSQYSPVQMDKSLMQQVSDSSNSLTNNQYAFPEQVSVRDGALISRRGYQGKMIASSDGHGINSGFKLENLHQVNPQQNNEPVQEICMRQDLAGPSEISEEETMIQVAPSQNVATLDPAEAKILFGSDDNLWDAFGRTTNMGSGGYNMLDGTDFFSTLPSVQSGSWSALMQSAVAETSSSDTRLQEEWSGVTYRKREPPAVNQHTPTANDISKQKSNWADNSLPSASSLNTRPFPVSHETNTGTSYNNIRGVHQSGVNTSHEQSERLRTASLRHTQQFPGDETKWPDRRLLQKAAAEGSHFYGKATHSSDAASNAKSIPGSWANQQSMPSYSSSGQPLTSRSGLNFMDSASPITTAASKYQENEKSFHDSQNADKKSPMFEVMGHGADIWKTTSVSNSTAELEHAKSSMTSPLVNQEDTNRNNVAALPDSSTERANMESSKQLSKSNNIDIWKHSGFSVNHKGNEVVGKCQPHMVKNDHSFESSRNSSLANRAVETQEAQRSNTKDNTTDSFPSITDHASAFGARENTWLGASDSCSLSRGKQKSSSPIGRKPSGSRKFQYHPMGDLDADMEPSYGANLEANSQSIPQQVCQGLKGLDQGYGSYPNFPSHAARDSVEIEKGHLSGFQGETKGLDEIPAKSIPPGSAPGLSTPFDRSVRAPSKTMTSNRNMLELLHKVDQLSEQGNEMHFNSKMPEAETSDASFHVQRDQSPASQAFGLQLAPPSQRGLIPEHALPSQSPTNAIFSTSTSMHSGNSAQRNFAAAFPPGFPYSRNHLSNQHKTDTGGHTTTSKCVNESFDQFSSQQKQTDESSERDQTNQSALPSVSDSSRHASHSDNASSPDHARDSAQQFSVLEVAPAPQRNALSQDAVSSKMSPTMWTSVPSQLHPFGSQPFQTSYSMFKSNLLSHNSSGATLTLPQKPDNQIMQVGGSSQAESGSCLMNSHGFLGKEQPSKGDHLQQVSPENDRAQNTMSASHEKGSVLNHLTETSLLASTRKQIEAFGRSLKPNNTLHQNYPLLHQMQGMENEEVDNGNRSLKRFKSPDAPVDPQLVTTQGGQQFYGHNNMVRDAPADCTPIPPGDSKMLSFSAKTADVQDSNAPSKEMLAFGRHDSQSFASSNGAVSVRGEHSQISPQMAPSWFDQYGTFKNGQILRMHDAQRTISMNTSEMPFTAGRPDDRSHAHSSIEQGNAAAAASQFGIVQKGSTCSSIASEKFSSPQSLQPDSGDVSLVVMRPKKRKIAVSELVPWHKEVMHGPQRLQNVSAVEVDWAQATNRLTEKVEDEVEMVDDGLPVLRSKRRLILTTQLMQILLRPALASVFSADATLHYENAAYFVARSTLGDACSKLSCTGSDTHAPSNSRDLLPEKIKSPDKSVDQYFSKVMEDLISRTRKLESDLLRLDKRASVSDLRLECQDLERFSVINRFAKFHGRGQGDGAESSSSSDASGNAQKCLQRYVTALPMPRNLPDRTQCLSL >Potri.012G061400.11.v4.1 pep chromosome:Pop_tri_v4:12:6336039:6343911:1 gene:Potri.012G061400.v4.1 transcript:Potri.012G061400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061400.v4.1 MPGNEVGDRIHNFLGQDNWSQGQHQSQTVDGTWSGPNNNPWAGSQRQIGTPLISNLKNDNVHQPADTERGGESSSVQLGMYFSHSNPRPEFARSQTQSQQPPLNGYMHGHQVLQTNQNEENFLGVDTESDRRNMTSKGFSMLDSQLADGPEFLKKNSVRMDFNESPVNYDFFGGQQQISSQHPGMLQSFPRQQPGISDMQLLQHQFMLKKIQEMQWQQELQKQEDARKLNSVNQASAFAKQAAGNSQPLINGIPIHETSNFSLQPELMAASTNWPQQGVPPVMQGSVRGHMVSPEQGQALPHIVGMVPQQVDQSLYGVPISAMSLTPSQYSPVQMDKSLMQQVSDSSNSLTNNQYAFPEQVSVRDGALISRRGYQGKMIASSDGHGINSGFKLENLHQVNPQQNNEPVQEICMRQDLAGPSEISEEETMIQVAPSQNVATLDPAEAKILFGSDDNLWDAFGRTTNMGSGGYNMLDGTDFFSTLPSVQSGSWSALMQSAVAETSSSDTRLQEEWSGVTYRKREPPAVNQHTPTANDISKQKSNWADNSLPSASSLNTRPFPVSHETNTGTSYNNIRGVHQSGVNTSHEQSERLRTASLRHTQQFPGDETKWPDRRLLQKAAAEGSHFYGKATHSSDAASNAKSIPGSWANQQSMPSYSSSGQPLTSRSGLNFMDSASPITTAASKYQENEKSFHDSQNADKKSPMFEVMGHGADIWKTTSVSNSTAELEHAKSSMTSPLVNQEDTNRNNVAALPDSSTERANMESSKQLSKSNNIDIWKHSGFSVNHKGNEVVGKCQPHMVKNDHSFESSRNSSLANRAVETQEAQRSNTKDNTTDSFPSITDHASAFGARENTWLGASDSCSLSRGKQKSSSPIGRKPSGSRKFQYHPMGDLDADMEPSYGANLEANSQSIPQQVCQGLKGLDQGYGSYPNFPSHAARDSVEIEKGHLSGFQGETKGLDEIPAKSIPPGSAPGLSTPFDRSVRAPSKTMTSNRNMLELLHKVDQLSEQGNEMHFNSKMPEAETSDASFHVQRDQSPASQAFGLQLAPPSQRGLIPEHALPSQSPTNAIFSTSTSMHSGNSAQRNFAAAFPPGFPYSRNHLSNQHKTDTGGHTTTSKCVNESFDQFSSQQKQTDESSERDQTNQSALPSVSDSSRHASHSDNASSPDHARDSAQQFSVLEVAPAPQRNALSQDAVSSKMSPTMWTSVPSQLHPFGSQPFQTSYSMFKSNLLSHNSSGATLTLPQKPDNQIMQVGGSSQAESGSCLMNSHGFLGKEQPSKGDHLQQVSPENDRAQNTMSASHEKGSVLNHLTETSLLASTRKQIEAFGRSLKPNNTLHQNYPLLHQMQGMENEEVDNGNRSLKRFKSPDAPVDPQLVTTQGGQQFYGHNNMVRDAPADCTPIPPGDSKMLSFSAKTADVQDSNAPSKEMLAFGRHDSQSFASSNGAVSVRGEHSQISPQMAPSWFDQYGTFKNGQILRMHDAQRTISMNTSEMPFTAGRPDDRSHAHSSIEQGNAAAAASQFGIVQKGSTCSSIASEKFSSPQSLQPDSGDVSLVVMRPKKRKIAVSELVPWHKEVMHGPQRLQNVSAVEVDWAQATNRLTEKVEDEVEMVDDGLPVLRSKRRLILTTQLMQILLRPALASVFSADATLHYENAAYFVARSTLGDACSKLSCTGSDTHAPSNSRDLLPEKIKSPDKSVDQYFSKVMEDLISRTRKLESDLLRLDKRASVSDLRLECQDLERFSVINRFAKFHGRGQGDGAESSSSSDASGNAQKCLQRYVTALPMPRNLPDRTQCLSL >Potri.012G061400.8.v4.1 pep chromosome:Pop_tri_v4:12:6334355:6344016:1 gene:Potri.012G061400.v4.1 transcript:Potri.012G061400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G061400.v4.1 MPGNEVGDRIHNFLGQDNWSQGQHQSQTVDGTWSGPNNNPWAGSQRQIGTPLISNLKNDNVHQPADTERGGESSSVQLGMYFSHSNPRPEFARSQTQSQQPPLNGYMHGHQVLQTNQNEENFLGVDTESDRRNMTSKGFSMLDSQLADGPEFLKKNSVRMDFNESPVNYDFFGGQQQISSQHPGMLQSFPRQQPGISDMQLLQHQFMLKKIQEMQWQQELQKQEDARKLNSVNQASAFAKQAAGNSQPLINGIPIHETSNFSLQPELMAASTNWPQQGVPPVMQGSVRGHMVSPEQGQALPHIVGMVPQQVDQSLYGVPISAMSLTPSQYSPVQMDKSLMQQVSDSSNSLTNNQYAFPEQVSVRDGALISRRGYQGKMIASSDGHGINSGFKLENLHQVNPQQNNEPVQEICMRQDLAGPSEISEEETMIQVAPSQNVATLDPAEAKILFGSDDNLWDAFGRTTNMGSGGYNMLDGTDFFSTLPSVQSGSWSALMQSAVAETSSSDTRLQEEWSGVTYRKREPPAVNQHTPTANDISKQKSNWADNSLPSASSLNTRPFPVSHETNTGTSYNNIRGVHQSGVNTSHEQSERLRTASLRHTQQFPGDETKWPDRRLLQKAAAEGSHFYGKATHSSDAASNAKSIPGSWANQQSMPSYSSSGQPLTSRSGLNFMDSASPITTAASKYQENEKSFHDSQNADKKSPMFEVMGHGADIWKTTSVSNSTAELEHAKSSMTSPLVNQEDTNRNNVAALPDSSTERANMESSKQLSKSNNIDIWKHSGFSVNHKGNEVVGKCQPHMVKNDHSFESSRNSSLANRAVETQEAQRSNTKDNTTDSFPSITDHASAFGARENTWLGASDSCSLSRGKQKSSSPIGRKPSGSRKFQYHPMGDLDADMEPSYGANLEANSQSIPQQVCQGLKGLDQGYGSYPNFPSHAARDSVEIEKGHLSGFQGETKGLDEIPAKSIPPGSAPGLSTPFDRSVRAPSKTMTSNRNMLELLHKVDQLSEQGNEMHFNSKMPEAETSDASFHVQRDQSPASQAFGLQLAPPSQRGLIPEHALPSQSPTNAIFSTSTSMHSGNSAQRNFAAAFPPGFPYSRNHLSNQHKTDTGGHTTTSKCVNESFDQFSSQQKQTDESSERDQTNQSALPSVSDSSRHASHSDNASSPDHARDSAQQFSVLEVAPAPQRNALSQDAVSSKMSPTMWTSVPSQLHPFGSQPFQTSYSMFKSNLLSHNSSGATLTLPQKPDNQIMQVGGSSQAESGSCLMNSHGFLGKEQPSKGDHLQQVSPENDRAQNTMSASHEKGSVLNHLTETSLLASTRKQIEAFGRSLKPNNTLHQNYPLLHQMQGMENEEVDNGNRSLKRFKSPDAPVDPQLVTTQGGQQFYGHNNMVRDAPADCTPIPPGDSKMLSFSAKTADVQDSNAPSKEMLAFGRHDSQSFASSNGAVSVRGEHSQISPQMAPSWFDQYGTFKNGQILRMHDAQRTISMNTSEMPFTAGRPDDRSHAHSSIEQGNAAAAASQFGIVQKGSTCSSIASEKFSSPQSLQPDSGDVSLVVMRPKKRKIAVSELVPWHKEVMHGPQRLQNVSAVEVDWAQATNRLTEKVEDEVEMVDDGLPVLRSKRRLILTTQLMQILLRPALASVFSADATLHYENAAYFVARSTLGDACSKLSCTGSDTHAPSNSRDLLPEKIKSPDKSVDQYFSKVMEDLISRTRKLESDLLRLDKRASVSDLRLECQDLERFSVINRFAKFHGRGQGDGAESSSSSDASGNAQKCLQRYVTALPMPRNLPDRTQCLSL >Potri.016G125000.1.v4.1 pep chromosome:Pop_tri_v4:16:12865921:12867521:-1 gene:Potri.016G125000.v4.1 transcript:Potri.016G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX82 MSQKIVLMFLLLAMVGTTMVQGQGTRVGFYATTCRRAESIVRATVQSHFTSDSSIAPGLLRMHFHDCFVNGCDASILIDGANTEKTARPNLLLRGYDVIADAKTQLEAECPGVVSCADILALAARDSVVLTKGLTWPVPTGRRDGRVSLASDTSNLPGFTDSVDVQKQKFAAFGLNAQDLVTLVGGHTIGTTACQFFSYRLYNFTTTGNGADPSINPSFVSQLQTLCPQNGDGSRRIALDTGSQNRFDSSFFSNLRSGQGILESDQKLWTDATTRTFVQRFLGVRGLAGLTFGAEFGRSMVKMSNIGVKTGTNGEIRRVCSAIN >Potri.005G106500.1.v4.1 pep chromosome:Pop_tri_v4:5:7684222:7688136:1 gene:Potri.005G106500.v4.1 transcript:Potri.005G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106500.v4.1 MASFLNITSPPFFSSRTSLSPSPPPTLTAQLTQFKKGWCWKLKCMPSSGPSQETDSEPPETAPSPSNSASLLSSSSVSTYNWCAGLGGVGFLETAYLTFLKLTNSDAFCPIGGGNCGDVLSSDYAVVFGVPLPLIGMISYGLVAALGLQWSGKKFPFGIEESNGRLLLLGCTTSMAVASGYFLYILSTKFSGTSCTYCLLSAFLSFSLFFITLKDFGLEEIQKFLGLQLCIASVVIFSLNTSYATLQRASSSSVADINLEYFTTEITTPSSPFAISLARHLQSTGAKMYGAFWCSHCQEQKQMFGKEAAELLNYVECFPNGFRKGTKMIKACADAKLEGFPTWVINGQVLSGDQELSELAKVSGFKIEESNQPS >Potri.005G106500.2.v4.1 pep chromosome:Pop_tri_v4:5:7684265:7688147:1 gene:Potri.005G106500.v4.1 transcript:Potri.005G106500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106500.v4.1 MASFLNITSPPFFSSRTSLSPSPPPTLTAQLTQFKKGWCWKLKCMPSSGPSQETDSEPPETAPSPSNSASLLSSSSVSTYNWCAGLGGVGFLETAYLTFLKLTNSDAFCPIGGGNCGDVLSSDYAVVFGVPLPLIGMISYGLVAALGLQWSGKKFPFGIEESNGRLLLLGCTTSMAVASGYFLYILSTKFSGTSCTYCLLSAFLSFSLFFITLKDFGLEEIQKFLGLQLCIASVVIFSLNTSYATLQRASSSVADINLEYFTTEITTPSSPFAISLARHLQSTGAKMYGAFWCSHCQEQKQMFGKEAAELLNYVECFPNGFRKGTKMIKACADAKLEGFPTWVINGQVLSGDQELSELAKVSGFKIEESNQPS >Potri.009G154500.1.v4.1 pep chromosome:Pop_tri_v4:9:12089724:12090772:-1 gene:Potri.009G154500.v4.1 transcript:Potri.009G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G154500.v4.1 MILQRWVFLLMMLLLVAAVTGATANPDVKPGCQERCGDVIVPFPFGIGEQRCAMNENFFLDCTSTDDGHHELWFGENMPARNISLLNGTVTVGIFASFDCYDKSGRRSRIFNQFISLGSGPFTISDSRNVFTAVGCDTTAMVTDEEVTFGSACLSLCTRNVTMSKNNSCSGSGCCQTSIPKGLKSMNITIQSISNHVDVSEFNPCGFAFVEDIDSLDLSDWPLSRTPTDLETSNVVIEWVAQTETCENAQGNKSSYACGINTNCY >Potri.010G183300.1.v4.1 pep chromosome:Pop_tri_v4:10:18108166:18109712:-1 gene:Potri.010G183300.v4.1 transcript:Potri.010G183300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183300.v4.1 MSSPTIPISNPQTQSPIATPAFRAFLSRISTSIRQGFSQRRPWSELIDRNYIARPDSLSEAATRIRKNLSYFKVNYITLLALILAFSLLSHPLSLIVLLSLLASWIFLYLFRPSDQPLVILGRSFSDRETLGILVVSTIVVIFLTSVGSLLISASMVGFALVCAHGAFRVPEDLFLDDQEPASAGLLSFLGVTSTSAAAAAAAAPAVAARV >Potri.018G002801.1.v4.1 pep chromosome:Pop_tri_v4:18:191884:194279:-1 gene:Potri.018G002801.v4.1 transcript:Potri.018G002801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002801.v4.1 MEATQSITAINNKKRKKGNQDCKNSNSSRVLSTPRTRSQVSPEWTAKQALILVNEIAAVEKDCSKAVSTNQKWKIIVGNCVALGVTHPLSQCRSKWNSLVIEYNQIKKWDKESESRSDFYWSLGCERRKEFGLPENFDDELFKAIDDYMWSQKEQLDTDPDTDLQKADLLDVIANLGAKKQRKWLTSLKTHTEEKTHKCCRKENSQTIHAEEEPQKRHEEENSQIYCTMEKPHGFHAEEECQESQVQENTQTCCAEGKLQTIHAEDDPWESCAEEEPHTIHAEEWLQPCCSKEKPQTIHVQEENQERYVEENHQTCCTKEKPQTIPAEEELHEIQVKEKPQKRLRKEKPQIGNGDEKPKIYSGRKKMPSTEDMEQMMVEKLSENAEMIQAVVNGNLPEMADLEAADSNNIEGFKNDLIRSQGDKLIACLENIVNTMRQFPWLLQDATS >Potri.015G101900.1.v4.1 pep chromosome:Pop_tri_v4:15:12120930:12125306:1 gene:Potri.015G101900.v4.1 transcript:Potri.015G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G101900.v4.1 MRDMYVVPPPPDPAGGVTVDSAIDGDDGEGLMTYQAWKGSNTFLLQGRLIFGPDARSILLTIFLIVVPAVVFCVFVARKLIDDFSHHLGISIVVFVVVLTILDLTFLLLTSGRDPGIIPRNAHPPEPEGYEGNTPLTPGQTPPFRLPRTKDVIINGITVKTKYCDTCMLYRPPRCSHCSICNNCVERFDHHCPWVGQCIGLRNYRFFFMFVFTSTIICLYVHAFCWVYIKRIMNSEETSIWKAMSKTPASIALVIYTFISVWFVGGLTLFHSYLISKNQSTYENFRYRYDGLANPFDRGLIENFKEIFCSSIPPSKNSFRAKVPKEPAIPPRMVASSFVSSSVEKSAGDIEMGRKPVWDEASGDDDYNGTARNDDSLHEDRGLPDVSPDLSRILPPQGMEGRSVMHSRRSSLGRKSGSWDISPDVLALAAGTGESKRMASGSGDNLTSDAQQSKRHTRL >Potri.017G045600.1.v4.1 pep chromosome:Pop_tri_v4:17:3201780:3202160:1 gene:Potri.017G045600.v4.1 transcript:Potri.017G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045600.v4.1 MASFSCFILALFIALSISGGEAARQLLQLPPLPAVPNLPKPTLPPMPSIPTLPQPTLPTAQPSLPKPTLPPLPSLPIMPSTLPVVTLPPLPSMPSMPTIPIPTTIPTIPIPTTIPSIPFLSPPPGN >Potri.007G079800.1.v4.1 pep chromosome:Pop_tri_v4:7:10434820:10437752:1 gene:Potri.007G079800.v4.1 transcript:Potri.007G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079800.v4.1 MEYYPQNPKPSYNYSYINEGLDPWAIEFQPSDYLMLDDGFGEDDSSSQNMVSPEQVASGSSTGYSGATSRNNSIKCKNGVKKNKTEVEHRVAFRTKSELEIMDDGFKWRKYGKKSVKNSPNPRNYYKCSSGGCNVKKRVERDREDSRYVLTSYDGVHNHESPCMVYYNNQMPLMASNAWTLQPSSLHSSSFS >Potri.007G143600.1.v4.1 pep chromosome:Pop_tri_v4:7:15297288:15300991:-1 gene:Potri.007G143600.v4.1 transcript:Potri.007G143600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G143600.v4.1 MAGRGETVSNKHVLFKNYVSGFPKESDMYVATTTTELKVPDGCNNGVLVKNLYLSCDPYMIILMKKSIDQRTFTSYTPGSPLRGYGVAKVLDSRHPGFKEGDFVWGTVGWEEYSLITSFQPFFKIQDTDVPLSYYTGILGMPGMTAYFGFYQVCSPKKGEHVYISAASGAVGQLVGQFAKLMGCYVVGSAGSKEKVDLLKNKFGFDEAFNYKEEPDLNAALGRYFPEGIDIYFENVGGKMLDAVLPNMRFRGRIAVCGMISQYNLDKPEGVFNLMTVVYKRVRIEGFVVTDYYDQYPKFLDFVLPCIREGKIKYMEDISEGLENGPAALVGLFSGQNVGKKLVVVARE >Potri.009G062700.1.v4.1 pep chromosome:Pop_tri_v4:9:6425477:6425983:-1 gene:Potri.009G062700.v4.1 transcript:Potri.009G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062700.v4.1 MAQLVCTFKAMAIFFVVAMYFATVTAQDLEMEPAPAPTMDKGAAYSLGMSAAVFCSSLLLSLLAFLKH >Potri.012G031150.1.v4.1 pep chromosome:Pop_tri_v4:12:954630:954947:1 gene:Potri.012G031150.v4.1 transcript:Potri.012G031150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031150.v4.1 MRNQAWLEDQFSSLTTHAGPKRPQALFLVV >Potri.011G049400.1.v4.1 pep chromosome:Pop_tri_v4:11:3927093:3936273:1 gene:Potri.011G049400.v4.1 transcript:Potri.011G049400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049400.v4.1 MYKSVVYKGEELLGEVEIYAQEQQQEEEENKNKRKRVIDEIVKGIRISHFSQASERCPPLAVLHTITSIGVCFKMEESTASSSTKISSQQESPLRLLHSSCIQENKTAVMHLGGEELHLVAMPSRSNERKHPCFWGFNVASGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDKIEALQKKISTEVDQQRILAIISEIKRYQDDKIILKQYVENDQVIENGKVIKTQFEVVPAASDNHQPLVRPLIRLPEKNIIFTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSNELLDRIVCVSSGSRKSLFNVFQDGICHPKMALVIDDRMNVWDEKDQSRVHVVPAFAPYYAPQAEANNAVPILCVARNVACNVRGGFFKEFDEGLLQKIPEVAYEDDTSNIPSPPDVSNYLVSEDDASAANGNRDPPSFDSTADAEVERRLKEAVSASSTIPSTIPSTVSSLDPRLLQSLQYAVASSSSLMPASQPSMLASQQPVPASQTSMMPFPNTQFPQVAPLVKQLGQVVHPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDSRDNAPSESPFPARPSAPVSAAHVQSRGSWVPVEEEMTPRQLNRTPREFPLDSDPMNIEKHQTHHPSFFPKVESNIPSDRMIHENQRLPKEAPYRNDRMRLNHSTPNYHSFQVEETPLSRSSSNRDLDLESERAFTISETPVEVLQEIAMKCETKVEFRPALVASIDLQFSIEAWFAGEKVGEGTGKTRREAQRQAAEGSIKKLAGIYMLRAKPDSGPMHGDSSRYPSANDNGFLGNMNLFGNQPLPKDELVAYSAASEPSRLLDPRLEGSKKSSGSVTALKEFCTMEGLVVNFLAQTPLSANSIPGEEVHAQVEIDGQVLGKGIGSTWDEAKMQAAEKALGSLRTMFGQYTQKRQGSPRPMQGMPNKRLKQEFPRVLQRMPPSARYHKNAPPVP >Potri.011G049400.3.v4.1 pep chromosome:Pop_tri_v4:11:3928873:3936450:1 gene:Potri.011G049400.v4.1 transcript:Potri.011G049400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049400.v4.1 MLNLRCLGIVFDLDETLIVANTMRSFEDKIEALQKKISTEVDQQRILAIISEIKRYQDDKIILKQYVENDQVIENGKVIKTQFEVVPAASDNHQPLVRPLIRLPEKNIIFTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSNELLDRIVCVSSGSRKSLFNVFQDGICHPKMALVIDDRMNVWDEKDQSRVHVVPAFAPYYAPQAEANNAVPILCVARNVACNVRGGFFKEFDEGLLQKIPEVAYEDDTSNIPSPPDVSNYLVSEDDASAANGNRDPPSFDSTADAEVERRLKEAVSASSTIPSTIPSTVSSLDPRLLQSLQYAVASSSSLMPASQPSMLASQQPVPASQTSMMPFPNTQFPQVAPLVKQLGQVVHPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDSRDNAPSESPFPARPSAPVSAAHVQSRGSWVPVEEEMTPRQLNRTPREFPLDSDPMNIEKHQTHHPSFFPKVESNIPSDRMIHENQRLPKEAPYRNDRMRLNHSTPNYHSFQVEETPLSRSSSNRDLDLESERAFTISETPVEVLQEIAMKCETKVEFRPALVASIDLQFSIEAWFAGEKVGEGTGKTRREAQRQAAEGSIKKLAGIYMLRAKPDSGPMHGDSSRYPSANDNGFLGNMNLFGNQPLPKDELVAYSAASEPSRLLDPRLEGSKKSSGSVTALKEFCTMEGLVVNFLAQTPLSANSIPGEEVHAQVEIDGQVLGKGIGSTWDEAKMQAAEKALGSLRTMFGQYTQKRQGSPRPMQGMPNKRLKQEFPRVLQRMPPSARYHKNAPPVP >Potri.011G049400.2.v4.1 pep chromosome:Pop_tri_v4:11:3927021:3936439:1 gene:Potri.011G049400.v4.1 transcript:Potri.011G049400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G049400.v4.1 MYKSVVYKGEELLGEVEIYAQEQQQEEEENKNKRKRVIDEIVKGIRISHFSQASERCPPLAVLHTITSIGVCFKMEESTASSSTKISSQQESPLRLLHSSCIQENKTAVMHLGGEELHLVAMPSRSNERKHPCFWGFNVASGLYDSCLVMLNLRCLGIVFDLDETLIVANTMRSFEDKIEALQKKISTEVDQQRILAIISEIKRYQDDKIILKQYVENDQVIENGKVIKTQFEVVPAASDNHQPLVRPLIRLPEKNIIFTRINPQIRDTSVLVRLRPAWEDLRSYLTARGRKRFEVYVCTMAERDYALEMWRLLDPESNLINSNELLDRIVCVSSGSRKSLFNVFQDGICHPKMALVIDDRMNVWDEKDQSRVHVVPAFAPYYAPQAEANNAVPILCVARNVACNVRGGFFKEFDEGLLQKIPEVAYEDDTSNIPSPPDVSNYLVSEDDASAANGNRDPPSFDSTADAEVERRLKEAVSASSTIPSTIPSTVSSLDPRLLQSLQYAVASSSSLMPASQPSMLASQQPVPASQTSMMPFPNTQFPQVAPLVKQLGQVVHPEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDSRDNAPSESPFPARPSAPVSAAHVQSRGSWVPVEEEMTPRQLNRTPREFPLDSDPMNIEKHQTHHPSFFPKVESNIPSDRMIHENQRLPKEAPYRNDRMRLNHSTPNYHSFQVEETPLSRSSSNRDLDLESERAFTISETPVEVLQEIAMKCETKVEFRPALVASIDLQFSIEAWFAGEKVGEGTGKTRREAQRQAAEGSIKKLAGIYMLRAKPDSGPMHGDSSRYPSANDNGFLGNMNLFGNQPLPKDELVAYSAASEPSRLLDPRLEGSKKSSGSVTALKEFCTMEGLVVNFLAQTPLSANSIPGEEVHAQVEIDGQVLGKGIGSTWDEAKMQAAEKALGSLRTMFGQYTQKRQGSPRPMQGMPNKRLKQEFPRVLQRMPPSARYHKNAPPVP >Potri.005G013200.1.v4.1 pep chromosome:Pop_tri_v4:5:1059518:1065285:1 gene:Potri.005G013200.v4.1 transcript:Potri.005G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013200.v4.1 MMVKKMGAWMLLTLLTLVGDWCGCCYGCLEEERIGLLEIKALIDPNHLFLGDWVDSSNCCEWPRIECDNTTRRVIQLNLGDARDKSLGDWVLNASLFLPFKELQSLDLGSNGLVGCFENQGFQVLASGLRNLEELYLTHNKLNDIILSSLGGFSTLKSLYLSNNRFTGSTGLNGLRNLEILYLNSNDFKESVLIESLGALPSLKTLHASFSKFKHFGKGLSNSSSLEEVFLDDSFLPASFLRNIGPLSTLKVLSLTGVDFSSTLPAEGTFFNSSTLEELHLDRTSLPLNFLQNIGTLPTLKVLSVGQCDLNDTLPAQGWCELKNLEQLDLSGNNFGGSLPDCLGNLSSLQLLDVSNNQFTGNIASGSLTNLISIESLSLSNNLFEVPISMKPFMNHSSLKFFYSKNNKLVTEPMSFHDFIPKFQLVFFRLSNSPTSEAVNIEIPNFLYSQYDLRVLDLSHNNITGMFPSWLLKNNTQLEQLLLNENSFVGTLQLQDHPNPHMTELDISNNNMHGQILKNSCLIFPNLWILRMAENGFTGCIPSCLGNNLSMAILDLSNNQLSTVKLEQPRIWSLQLSNNNLGGQIPISIFNSSGSLFLYLSGNNFWGQIQDFPSPSWEIWVELDLSNNQFSGMLPRCFVNSTQMFTFDLSKNQFNGPITEDFCKLDQLEYLDLSENNLSGFIPSCFSPPQITQVHLSKNRLSGPLTNGFYNSSSLITIDLRDNNFTGSIPNWIGNLSSLSVLLLRANHFDGEFPAHLCWLEKLKFLDVSQNHLSGPLPSCLGNLTFKESSALVDRLQFLRNPFWHYYTDEVIEFKTKNMYYSYQGEILDLMSGIDLSSNNFLGAIPQELGSLSEIHALNLSHNNLAGSIPATFSNLKQIESLDVSHNNLNGRIPAQLIELTFLEVFNVSYNNLSGKTPEMKYQFATFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDFNGDGGVIDMDSFYVSFGVCYIILVLTIATVLCINPHWRRRWFYFIEECIDTCYCLLAINFRKLSRFRR >Potri.007G036100.1.v4.1 pep chromosome:Pop_tri_v4:7:2815477:2819690:-1 gene:Potri.007G036100.v4.1 transcript:Potri.007G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036100.v4.1 MHLSLWKPISHCAALLLDKKSRRKDGSESSLEIKRNSSILRKLQEHKLREALEEASEDGSLVKSQDMESDTLANQDESLGRSRSLARLHAQREFLRATALAAERIFENEDSIPDLLEAFSKFLTMYPKYQSSEKVDQLRLDEYAHLSPKVCLDYCGFGLFSYLQSLHYWESSTFSLSEITANLSNHALYGGAEKGTVEHDIKTRIMDYLNIPEHEYGLVFTVSRGSAFKLLAESYPFHTNKKLLTMFDYESQSVNWMAQSAKEKGAKVYSAWFKWPTLKLCSTDLRKQILNKKRRKKDSAVGLFVFPVQSRVTGAKYSYQWMALAQQNRWHVLLDAGSLGPKDMDSLGLSLFRPDFIITSFYKVFGHDPTGFGCLLIKKSVMGSLQNQSGSTGSGMVKITPEYPMYLSDSVDGLDGLVGVEDDEVAGNAEKTTEIRPGSQLPAFSGAFTSAQVRDVFETEMDHENSSDRDGTSTIFEETESISVGEVMKSPVFSEDESSDNSFWIDLGQSPLGSDSAGQLNKQKLASPLPPFWFSGKKNNKRLSPKPTSKIYGSPMYDDKGVNLGSHDDHHMLSFDAAVLSVSQELDRVKEVPEEEQFSETDLSSRNNKGSDHLHVHEIEEEPGISSFSNSAINRSHNNNSTSGSHHNLTNGSTAAICSEMKESAIRRETEGEFRLLGRREGSRYAGSRFFGLEENEHPSRERRVSFSMEDNRKERPSHTLEPGEISATSLDDEDYSTDGEYVDGQDWDRREPEITCRHLDHVNMLGLNKTTLRLRFLINWLVTSLLQLRLPSSDGDGRVNLVHIYGPKIKYERGAAVAFNVRDRNRGLINPEVVQKLAEREGISLGIGFLSHIRILDSPRQQRGSVNLEDTTLCRPMENGHNNGKGGFIRVEVVTASLGFLTNFEDVYKLWAFVSKFLNPTFISEGGLPTVEEGTEV >Potri.003G166500.1.v4.1 pep chromosome:Pop_tri_v4:3:17583316:17585709:-1 gene:Potri.003G166500.v4.1 transcript:Potri.003G166500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166500.v4.1 MEKLNFVKNGAVRLPPGFRFHPTDEELVVQYLKRKVFACPLPASIIPEVDVCKSDPWDLPGDLDQERYFFSTREAKYPNGNRSNRATGSGYWKATGIDKQIVTSKGNQAVGMKKTLVFYRGKPPHGTRTDWIMHEYRLASTETAACNALLNKNSIQGSVAVPMENWVLCRIFLKKRSTKNEEENMQFDNDNRLSKLRTTKPVFYDFMTKHRTTDLNLAPSSSSGSSGITEVSCNESDDHEESSSCNSFPYFRRKP >Potri.017G140900.2.v4.1 pep chromosome:Pop_tri_v4:17:14148206:14150636:1 gene:Potri.017G140900.v4.1 transcript:Potri.017G140900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G140900.v4.1 MAGVEMFPRGFGNVAFVLVAYCFLNFWMGFQVGKARKKYNVPYPTLYAIESENKDANLFNCIQRGHQNSLEHMSMFFLLMVLGGIRHPCACVALGSIYTVTRFFYFTGYSTGDPKNRLTIGKYGLLPLMGLIGCTISFGISLLRGPCDGKTSSGSSCYFSSSRAN >Potri.008G104500.1.v4.1 pep chromosome:Pop_tri_v4:8:6600829:6602469:-1 gene:Potri.008G104500.v4.1 transcript:Potri.008G104500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104500.v4.1 MDQTRIENLILVTRDQNGIATITINRPKSLNSLTRPMIVRLARQINALGKDDSVRVIILTGSGRAFCSGVDLTAAEEVFKGDVKDVEADPVAQMEQCKKPIIGAINGFAVTAGFEIALACDILIASKGAKFMDTHSRFGIFPSWGLSQKLSRVIGANRAREASLTAMPISGEQAERWGLVNHAVEEGKVLKKAREVAEAIIKNNQDMVLKYKAVINDGLKLDLAHALSLEKERAHAYYNGMTKDQFQKMQEFIAGRSSKTPSSKL >Potri.007G078900.1.v4.1 pep chromosome:Pop_tri_v4:7:10363659:10365761:1 gene:Potri.007G078900.v4.1 transcript:Potri.007G078900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G078900.v4.1 MARTGLLVWLYCLILASVNVVHVLSSTVLPGIGVNWGTMASNPLPRNIVVNMLKDNGITKVKLFDSDSPTLKALAGTGIEVMVGIPNNQMSIVAGDIEDAEDWVKENITAYLHNGGVDIKYVAVGNEPFLSSYNNTYDNITFPALQNVQKALDKAGVGDKIKATVALNADVYESLSDKPSGGDFRKDIKDIMIQIIKFLHQNKAPFVVNIYPFLSLYQNAGFPFDYAFFDGGKTISDKNVSYSNVFDANYDTLVWTLKKNGVGDLKIIIGEVGWPTDGNFNANNKLAKKFYDGLLKKLVAEKGTPLRPGQLDLYLFGLIDENQKSIAPGHFERHWGLFYYDGKPKFPIDLSGKGNDKMLIAAKGVQYMSPRWCVLNEENKNLSMIADEISYACSSADCTSLGYGSSCSKMDIDGNVSYAFNMYFQMQDQGDYACNFNGLAMIVKTNASRGNCLFPLQLVGAGERLELAYGVRIIAGLMLAFFSLM >Potri.008G008400.2.v4.1 pep chromosome:Pop_tri_v4:8:405137:409101:-1 gene:Potri.008G008400.v4.1 transcript:Potri.008G008400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G008400.v4.1 MDQPRYQHCRWIGAGAFGKVYQAIDTKINCVVALKLTELEGDGNDGGVPAVSLREMSVLKEMDHENIIKLLDVVHQDGKRLTLVFEFMDGDLLEFMKAHPDRFSDSNLIKRLLGQILSAVDHCHSRRVFHRDLKPANLLVNQKNYTLKVADFGLAKAFSIPQKKCTPQCITLAYRAPEVLLGSTEHYVAADMWSVGCIFAEMVNQERLFDTVNLKRDPDRDFKKEQLSLIFSILGTPEQDSFIGITFPDCLSNFPEHQPPELRVVVPTLGSTGIDLLSKMLCLDPERRITAAAALRHEYFRDIAGH >Potri.013G017801.1.v4.1 pep chromosome:Pop_tri_v4:13:1127347:1128436:1 gene:Potri.013G017801.v4.1 transcript:Potri.013G017801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017801.v4.1 MISQRDRSLMVDGILVQVTTSLRLPSQFLLLHADDVVGAGPLPLSSVVRSETGNWKQITLRYLRNQLLSYQTMAPHREPESSSNNLPASRAIGKCGKGTPA >Potri.013G161900.1.v4.1 pep chromosome:Pop_tri_v4:13:15567207:15567458:1 gene:Potri.013G161900.v4.1 transcript:Potri.013G161900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbE MSGSTGERSFADIITSIRYWVIHSITIPSLFIAGWLFVSTGLAYDVFGSPRPNEYFTESRQGIPLITGRFDPLEQLDEFSRSF >Potri.019G081000.1.v4.1 pep chromosome:Pop_tri_v4:19:12142030:12144465:1 gene:Potri.019G081000.v4.1 transcript:Potri.019G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G081000.v4.1 MFFTQTSEPPVSPTKKMACIISSCAALSTQSLLSADSLPTSSTRSIKPNSLSWGSSFPTIHISISINNNNPSSLNKTSFIQAAWTRRSRGELAKKPNKKSWKQRTDMYMRPFLLNVFFSRRFIQAKVMHRGTSKVVSVATTNAKDLRHSLPSLTDHNACRIVGKLIAERSKEADVYAMSYEPRKDERIEGKLGIVIDTIKENGIIFV >Potri.019G065500.1.v4.1 pep chromosome:Pop_tri_v4:19:10548563:10550037:1 gene:Potri.019G065500.v4.1 transcript:Potri.019G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065500.v4.1 MGKIWVEVCLISARGLRRTSSLWKLQWFAVGWIDPKNKYCTKIDASGNANPTWKTKFATLLDDSDFQDMALHVEVYSREPIFLRERLEGTATVVLKEFLAKYSNSNEASRPGTEEVGSYQLRKRNSSKPQGFVDVSIHISEEREQSSSYPGNEGGIMLMDHNNKITLPTEGSGKAFPSELPLGSLRQPENHSSSVAYNHPLPYPANYSNPSVGGPSYPPAAGPSYQPSRTPPPPPPPSNVGYMPTILPNTDYINMPSSVAAAGPRGPRPGLAMGVGAGALAAGAVIFGDDFMSGFDILTGLHDPSLTITTNPPF >Potri.019G065500.2.v4.1 pep chromosome:Pop_tri_v4:19:10545828:10550044:1 gene:Potri.019G065500.v4.1 transcript:Potri.019G065500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065500.v4.1 MGKIWVEVCLISARGLRRTSSLWKLQWFAVGWIDPKNKYCTKIDASGNANPTWKTKFATLLDDSDFQDMALHVEVYSREPIFLRERLEGTATVVLKEFLAKYSNSNEASRPGTEEVGSYQLRKRNSSKPQGFVDVSIHISEEREQSSSYPGNEGGIMLMDHNNKITLPTEGSGKAFPSELPLGSLRQPENHSSSVAYNHPLPYPANYSNPSVGGPSYPPAAGPSYQPSRTPPPPPPPSNVGYMPTILPNTDYINMPSSVAAAGPRGPRPGLAMGVGAGALAAGAVIFGDDFMSGFDILTGLHDPSLTITTNPPF >Potri.009G163500.2.v4.1 pep chromosome:Pop_tri_v4:9:12554622:12557010:-1 gene:Potri.009G163500.v4.1 transcript:Potri.009G163500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163500.v4.1 METEKVVAEAEELPKAIVRRVVKDTLSRCSPEDSDINVHKDALLAFSESARIFIHYLSATANDICKESKRQTMNADDVFKALEDIEFPEFVGPLKVSLSEFKRKNGGKKVGSAQNKEVQKKRKTGGPSKKSGGKSTPKKGDTGEK >Potri.001G439600.1.v4.1 pep chromosome:Pop_tri_v4:1:46511889:46513514:-1 gene:Potri.001G439600.v4.1 transcript:Potri.001G439600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G439600.v4.1 MAVEARHLNLFPPQLLSNREMMMNPIEADTYMYNTQMGYGVPLSGTTTTAETVLPMYSSVITDSIPHKTQIKSESGLTYNLPVQRKRPRDTINPLLSYPIPVQSTKTCTPFSFLGQDLSFQIQQQQLDTDCLISQHMEKVRMEIEEKRKRQARRLLEALETGMVKRLRAKEEEIEKIGKLNWALEEKVKSLCMENQIWRDLAQSNEATANALRSNLEQVLAAQVNEERTLGAGLDNQAAALMDDAQSCCGSSGGDGPVGDDGWEERVSERCTLANGAQDNKGTGAGSWMCRNCNKEESCVLLLPCRHLCLCTVCGSSLHTCPICRATKNASVHVNLS >Potri.014G018200.1.v4.1 pep chromosome:Pop_tri_v4:14:1128279:1134816:1 gene:Potri.014G018200.v4.1 transcript:Potri.014G018200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018200.v4.1 MVFPRSYPSMQERVRDVQSKFQNHHIKQNIIKGKIVIQNHGQSGPGKSAYVQIYSSTTVDPSTGKGKLSAKAYLKHGKSEGHGGTKTRVYKIKIHVEPDFGIPGAFLMKNQHKHKFFLESVTLEILDNQIIYFDCRSWVYPFQKTKSERLFFSNNSYLPNHTPSALVELRKLELASLRGDGKQVRKEWDRIYDYDYYNDLCNPDKGQEHIRPVLGGSELHPYPRRVRTGHPPSNTEPSTESRTETINLDIYVPLDERFSPKKLSEFISNSIQATVHFIITEADSLFKQDSSSFESFDEIHDMFSSKRSNAVEGKAKNKLKGKVKERLKKLVPDVLFKEIIYTGKEDLVKFPLPQIIRENELAWGNDEEFGRQMLAGTNPTRIQSLQEFPPEGRYGVSTIEASHIEHNLDGLTLFEAMNEWRIFILDHHDYLMSYLSKINTKGVCAYASRTLFFLRTDDTLKPLAIELSLPGSNEDTEVSRVFLPANQGTEAALWQLAKAHVAANDSAYHQLISHWLHTHAVVEPFIIATRRQLSVMHPINWLLRPHFKDTMHINALARSILINSRGILEKTLFSGEISMELSSELYKEWRFDEQALPADLVKRGLALEDPDNPNNPTGVQLLFDDYPYGADGLDIWYAIKTWVTDFCTLFYKDDSSVNSDVEIQAWWSEIQNVGHGDKCNETWWYKMTTLVDLTEALTTLIWITSGLHASVNFGQYAYDGCPLNRPMLCRKFIPKEGTQEFAEFLRDPDKYYLNMLPDRFEMSLGIALTEVLSKHTSDEVYLGQRPLLECTDNVVQQKFKKFNEHLQEIEKKIIQRNKDPKFKNRSGPAKIPYELLYPDTSNVGPRWGITRKGIPNSVSI >Potri.016G011100.1.v4.1 pep chromosome:Pop_tri_v4:16:530593:535676:-1 gene:Potri.016G011100.v4.1 transcript:Potri.016G011100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G011100.v4.1 MKGLFKSKPRTPVDIVRQTRDLLIYADQSSASLSDSKREEKMAELAKNIRELKSILYGNSESEPVSEACAQLTQEFFRENTLRLLIFCLSQLNLEARKDATQVVANLQRQQVNSRLIASDYLEKNTDLLDTLIAGYENTDMALHYGVMLRECIRHQTVARYVLESPNVKKFFDYIQLPYFDISADAAATFKELLTRHKSTVAEFLSKNYDWFFAEFNSKLLESTNYITRRQAVKLLGDILLDRSNAVVMTRYVSSRDNLRILMNLLRESSKSIQIEAFHVFKLFAANQNKPPDIVNILVANRSKLLRLFADFKIDKEDEQFEADKAQVVREIAALEPNE >Potri.003G121700.1.v4.1 pep chromosome:Pop_tri_v4:3:14266875:14270593:-1 gene:Potri.003G121700.v4.1 transcript:Potri.003G121700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121700.v4.1 MPFEMESMAAAIGVSVPVLRFLLCFVVTIPVSFIHRFVPSSFGKHLYAAISGAFLSYLSFGFSSNLHFLVPMLLGYLSMVLFRPYCGILTFLFGFGYLIGCHVYYMSGDAWKEGGIDATGALMVLTLKVISCAMNYNDGLLKEEELREVQKKNRLIKLPSFIEYVGYCLCCGSHFAGPVYEMKDYLEWTERKGIWAPSEKGPSPSPFGATIRALFQAAVCMALYLYLIPQYNIPGLNGPAYKGWGFWKRLGYQYMSGFTARWKYYFIWSISEAAIIVSGLGLSGWTETSPPRPQWDRAKNVDVLGVEFAKSAVQLPLVWNIQVSTWLRHYVYDRLVQKGKKPGFFQLLATQTTSAVWHGLYPGYIIFFVQSALMIAGSRVLYRWQQTIPPNMALVKKLLVFINVAYTILVLNYSAVGFMVLSLQETIALYGSVYYIGTIVPISLILLGNIIKPAKPARSKARKEQ >Potri.004G108960.1.v4.1 pep chromosome:Pop_tri_v4:4:9694270:9696000:1 gene:Potri.004G108960.v4.1 transcript:Potri.004G108960.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108960.v4.1 MSHMLLEILAEETLKGNKPSSTFKAESFVKVATKISQKFNVQCEPKYVGNHLKTVKKEWGIITKLKNKIGMIAMTIIVGKDMATRNYAKSYADVNLEENAEEQSISIENEGEYEETSKEKETSSSSAHKQGCQFRFDDGVEKLSKKIADVVFAIQNLSKNQLDVNELYTKVMKIEGFDEITLGDAFDHLVQHEMLAKAFMTKNANFRKIWVQNFVNQHYYRPDC >Potri.009G072201.1.v4.1 pep chromosome:Pop_tri_v4:9:7083519:7085028:-1 gene:Potri.009G072201.v4.1 transcript:Potri.009G072201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G072201.v4.1 MAFGVRLCLCLLLVFAVISSARNTISFSDNELALAVKGRSLKMTLNDYGEPMANRGHDPSQRNKNRGGRKG >Potri.004G099400.2.v4.1 pep chromosome:Pop_tri_v4:4:8589766:8591575:1 gene:Potri.004G099400.v4.1 transcript:Potri.004G099400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099400.v4.1 MAEFAEYLQRFGASQPLTEMMDMNMEMLKHLPELNPSILENFSITHDFSADSFFAHQQPEFPATYNHNNLSSTSHPHILSTAPLVHSVSLNQNVFHERKKPKAMEQSTGSSKNVSPTASINITEIKNNLGRGKKGKNKEKEGDKSKEVIHVRAKRGQATDSHSIAERIRREKINNKLRCLQDIVPGCHKSMGMAVMLEEIINYVHSLQNQVEFLSMELAAASCSNDLKNLTESSKKAQGTNSTDDAQETQKWSRERYGEITCFHSTWSI >Potri.005G224300.1.v4.1 pep chromosome:Pop_tri_v4:5:22539216:22539904:1 gene:Potri.005G224300.v4.1 transcript:Potri.005G224300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G224300.v4.1 MAKSSNKTTLLFNLALMLSFLLIASVVESRSVLGRAAASTPECVSVHGVVTGDTCTAVEKQFDLTANDFKAINPNLDCDKLFVGQWLCVEGTSN >Potri.002G062950.1.v4.1 pep chromosome:Pop_tri_v4:2:4313692:4314096:-1 gene:Potri.002G062950.v4.1 transcript:Potri.002G062950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G062950.v4.1 MARELHLKQIPLSSSEAGLAAVTLLLCAFALFVCASHSRKWRRWSACYGSFDHDPVIQLNTEDTMLGTGVIYGIHAGSRDDSTFSGEQGAPLPDFSGVIIYDSGGNVVTPAKNPRPLLTWK >Potri.014G007100.2.v4.1 pep chromosome:Pop_tri_v4:14:587203:588180:1 gene:Potri.014G007100.v4.1 transcript:Potri.014G007100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007100.v4.1 MLSTVSAIFPSVEPMASNPFQSFENGFTPWDCFDPFSSSPQSPKPVGSSSGSDKSNQAGQNPDNSNSNSGSDDPNPQASLIDERKRRRMVSNRESARRSRMRKQKHVENLRNQVNRLRIENRELTNRLRFVLYHSHGVRTDYDRLRSEYSTLRKKLSDIRQILMMRQLQELTSAWPCNNMITTTTTTEQIAPSLITS >Potri.007G025900.1.v4.1 pep chromosome:Pop_tri_v4:7:1968332:1970751:-1 gene:Potri.007G025900.v4.1 transcript:Potri.007G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G025900.v4.1 MYLIRRQPHTQQENDPSERDAKVCELRAALGPLSGRSLQYCTDSCLRRYLEARNWNVDKAKKMLEETIKWRATFKPEEIRWHEVAHEGVTGKISRANFHDRSGRTVLIMRPGMQTTKCTEDNVRYMVYLLENGILNLADGQEQMSWLIDFTGWSLSTNIPIKTSRDCINVLQNHYPQRLAIAFLYNPPRIFEAFWKAVRYFLDPITFKKVKFAYPKKKESSELMQSYFDIENLPSEFGGKASLEYDHEEFSRLMVEDDERTAKFWGSDEKSRDNIADHDHLAQMTPVPAPLAITAS >Potri.017G123900.3.v4.1 pep chromosome:Pop_tri_v4:17:12832944:12839904:-1 gene:Potri.017G123900.v4.1 transcript:Potri.017G123900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123900.v4.1 MDPETALELVKQGATLLLLDVPQYTLVGIDTQMFTVGPAFKGIKMIPPGPHFVYYSSSSKDGKQFSPIVGFFVDADPSEVIVRKWNQQEERLVKVPEDEEERFCQAVKSLEFDRYLGPYNLSQYGEWKRLSSYLTKTIIKRIEPIGGEITVACESEMDKNSPKTSIERALHAQLGTGKFSASTSVDRSKKRGCYYTTIPRVIKRRGMEGKELTSLNLDKTELLESVLIKDYGGSEDLLLGELQFAYIAFLMGQSLEAFFQWKSLVSLLLSCIEAPFRTRSHLFTKFIKVIFYQLKYGLQKDRKESNGAGIAVSSLLDESWFSADSFLHRLCKDFFLLVQDATVVDGDLLTWVCTLKSSFHSQFALFFYM >Potri.017G123900.2.v4.1 pep chromosome:Pop_tri_v4:17:12833506:12839949:-1 gene:Potri.017G123900.v4.1 transcript:Potri.017G123900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123900.v4.1 MDPETALELVKQGATLLLLDVPQYTLVGIDTQMFTVGPAFKGIKMIPPGPHFVYYSSSSKDGKQFSPIVGFFVDADPSEVIVRKWNQQEERLVKVPEDEEERFCQAVKSLEFDRYLGPYNLSQYGEWKRLSSYLTKTIIKRIEPIGGEITVACESEMDKNSPKTSIERALHAQLGTGKFSASTSVDRSKKRGCYYTTIPRVIKRRGMEGKELTSLNLDKTELLESVLIKDYGGSEDLLLGELQFAYIAFLMGQSLEAFFQWKSLVSLLLSCIEAPFRTRSHLFTKFIKVIFYQLKYGLQKDRKESNGAGIAVSSLLDESWFSADSFLHRLCKDFFLLVQDATVVDGDLLTWVCTLKSSFHSQFALFFYM >Potri.017G123900.1.v4.1 pep chromosome:Pop_tri_v4:17:12833517:12839905:-1 gene:Potri.017G123900.v4.1 transcript:Potri.017G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G123900.v4.1 MDPETALELVKQGATLLLLDVPQYTLVGIDTQMFTVGPAFKGIKMIPPGPHFVYYSSSSKDGKQFSPIVGFFVDADPSEVIVRKWNQQEERLVKVPEDEEERFCQAVKSLEFDRYLGPYNLSQYGEWKRLSSYLTKTIIKRIEPIGGEITVACESEMDKNSPKTSIERALHAQLGTGKFSASTSVDRSKKRGCYYTTIPRVIKRRGMEGKELTSLNLDKTELLESVLIKDYGGSEDLLLGELQFAYIAFLMGQSLEAFFQWKSLVSLLLSCIEAPFRTRSHLFTKFIKVIFYQLKYGLQKDRKESNGAGIAVSSLLDESWFSADSFLHRLCKDFFLLVQDATVVDGDLLTWTRKLKELLENILGWEFQQNSAVDGIYFEEDDEFAPVVEMLDESSFNGVPAA >Potri.016G047000.1.v4.1 pep chromosome:Pop_tri_v4:16:3011954:3014752:-1 gene:Potri.016G047000.v4.1 transcript:Potri.016G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G047000.v4.1 MEVEHFSHPYHPLILINQILEYSCELVMCSGCEGPIWGSCYSCTSCYFFLHKKCAELPREIKRRIHRRHPLHLLAKPPYKIECYCNRCNKTWKSFIYHCSFCEFDLDIKCAFQPGFLEVDSQAHQFAHKDHPLVLNEEKEYHGEGVVCSVCKEPMSGPSYSCTSCNVFLHKKCAELPPEIKRHIHPEHPLRLLPNHHMICSFCKETCYESFAYCCFVCEFNLHIKCAFPPCVYAADQDQGHQFRSLLNPRSFKSISFTCNACGTDGYGSPFMCTMCQLVVHEECISLPGTLKTALHHHPRIIHTYHPQQCIESINKYCGICCREVDTEYGVYYCPDCDFVAHVNCSREYGDSATETGGENEEEQSVTVDDQFMEPSFRVVREIKHGEERIIEEIEHFSHQHNLILIDKVDDDLKCDGCMLPISTPFYSCASCNFFLDKTCMELPRRKKWQYHENQLILSRSRGPYELFYCDVCNQTSHGLRYKCNVCALRIDVRCFKSLKDSFKHGGHEHPLNLPTDRKSILRCRIGGYGLPPLLADDTEIIPHCSGCCVSEESKVFFKCAVCDFKLGMKCATVPYKARHKYDDHPLFLTYINENDYQPSCIICEKDRDPKLWFYRCEECDFDAHPECALGRDPYVKLGGVHTYRKHPHPLVLVDKTEDYAACDTCGEPCDDLALECTDTECSFIVHRKRGQCFYSLL >Potri.003G181400.1.v4.1 pep chromosome:Pop_tri_v4:3:18702819:18705703:1 gene:Potri.003G181400.v4.1 transcript:Potri.003G181400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181400.v4.1 MPVDASSLSGQGQTICVTGAGGFIASWMVKLLLDKGYTVRGTARNPADPKNSHLRGLEGAEERLTLCKADLLDYESLKEAIQGCDGVFHTASPVTDDPEEMVEPAVNGTKNVIIAAAEAKVRRVVFTSSIGAVYMDPNKGPDVVIDESCWSDLEFCKNTKNWYCYGKAVAEQAAWDMAKEKGVDLVVVNPVLVLGPLLQPTVNASITHILKYLTGSAKTYANSVQAYVHVRDVALAHILVFETPSASGRYLCSESVLHRGEVVEILAKFFPEYPIPTKCSDEKNPRKQPYKFSNQKLRDLGFEFTPVKQCLYETVKSLQEKGHLPIPKQAAEESLKIQ >Potri.004G111350.1.v4.1 pep chromosome:Pop_tri_v4:4:10200472:10201599:-1 gene:Potri.004G111350.v4.1 transcript:Potri.004G111350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111350.v4.1 MQIASLALQNQTLVVKLQQTGKDAFGNIKYHYKGSGENLVKFSATISELDKAQRSGPVSETEVASADSISELETVRRIDAGLLSFKHVFMAMFISLISAIVYICQPQKLPFW >Potri.001G134300.3.v4.1 pep chromosome:Pop_tri_v4:1:10915737:10918954:-1 gene:Potri.001G134300.v4.1 transcript:Potri.001G134300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134300.v4.1 MSEGVVTFLLTKLGDFLAERGKQLAGVQGEAEYISDELEFMTAFLRLADAMEDGDPVLKCLIKKVRDAAYDTEDALDNFSLSLASDTGHGFFSCFRKISRSIKDARARRRIASKIQIIKSRVISISESHRRYCNKNNIMIQGSSSISIPRLECQKDALLLEEADLVGIEKPKKQLIEWLLGSKSGREVISVVGMGGLGKSTLVKKVYDDSDVKKHFKFRAWITVSQSFKREDLLKDMIQQLFRVHRKPDPKGVDSMNYNKLRSVIHEFLRQKKYLIVLDDVWHTSAWRAFQHALPNNICGSRILVTTRNTEVASTSCMDSPDKVYPLNPLSQEESWTLFCKKIFQDNLCPPHLKNISETILGRCEGLPLAIVAISGVLATKDKSKTDEWEMVHLSLGAGLEENDMLMSARKILSLSYNDLPYYLKSCLLYFSIFPVGNRIKRMRLIRLWIAEGFVKGKEGMTVEEVAQDYLNELMKRSLVQVVRATSDGRVKTCRVHDLLREIMITKAKDQDFVAIAKEEGTIWPEKVRRVSMHNVMPSKQQRHVASRFRSLLTFWVADCSYESPVHNLFSGRLRLLHVLDLEGAPLKEFPNEVVSLFLLKYLSLRNTRVSFIPSSISKLKNLETLDLKHAQVSILPAEIRKLRKLCYLLVYRYEIDSDDRIPTKYGFKAPAHIGGLQSIQKLCFVEAHQGRNLMLELGRLKQLRRLGIVKLKKKHGKALCSSIERLTNLRALSLTSITESEIIDLDYLASPPQFLQRLYLAGRMEKFPDWISSLDSLVKLVLKWSKLSEDPLLSLQYLPNLVHLEFVQVYNGEILCFQAKGFQWLKFLGLNKLDRLRMIIVEQGAMPSLEKMIVQSCKSLRRVPSGIEHLSTLKVLEFFNMPKELVMTLHPNGEDGDYLKVAHVPDVYSTYWNNGNWDIFSLLSAKLEDKHSAQLSPTLYKRNYTWK >Potri.010G099100.3.v4.1 pep chromosome:Pop_tri_v4:10:12223727:12227846:1 gene:Potri.010G099100.v4.1 transcript:Potri.010G099100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099100.v4.1 MAAASSSAPFFGRAREEHQNQIIQQHSSTATSSTVPTTGPQKKRRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYFRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTSLNTIGSHLYGNNHMSLGFSQVGSQISHLQDHHPSGNNMLRLGSAGAANFEHLIPPPNPPSMPMMPPSGFFMGDANQGPFPNKSLHGLMQLPDLQSNTNNPSSASNLFNFGFFSNNSNTGRTSNNDNANTGSTTTNLASPGLLNATQFNNVNGAGQRTSVFPTNMSGDHVGSAMSSFFNTSMQQENITPHVSATALLQKAAQMGSTTSSNSPSGLLRSLGSSSTTGAKSIRPLVSTNFGSSFSNANVGESLETHMESESQLQGLMNSLANGSSSIFGNEQDNSYTGFDSSSFSKADEGNMHQGLAGSDKLTLDFLGVGGRVRNIGGGFPQRQQQNGINNI >Potri.010G099100.1.v4.1 pep chromosome:Pop_tri_v4:10:12223612:12227795:1 gene:Potri.010G099100.v4.1 transcript:Potri.010G099100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099100.v4.1 MAAASSSAPFFGRAREEHQNQIIQQHSSTATSSTVPTTGPQKKRRNQPGTPNPDAEVIALSPKTLMATNRFICEVCNKGFQREQNLQLHRRGHNLPWKLKQKTTKEVKRKVYLCPEPTCVHHDPSRALGDLTGIKKHYFRKHGEKKWKCEKCSKRYAVQSDWKAHSKTCGTREYRCDCGTLFSRRDSFITHRAFCDALAQESARHPTSLNTIGSHLYGNNHMSLGFSQVGSQISHLQDHHPSGNNMLRLGSAGAANFEHLIPPPNPPSMPMMPPSGFFMGDANQGPFPNKSLHGLMQLPDLQSNTNNPSSASNLFNFGFFSNNSNTGRTSNNDNANTGSTTTNLASPGLLNATQFNNVNGAGQRTSVFPTNMSGDHVGSAMSSFFNTSMQQENITPHVSATALLQKAAQMGSTTSSNSPSGLLRSLGSSSTTGAKSIRPLVSTNFGSSFSNANVGESLETHMESESQLQGLMNSLANGSSSIFGNEQDNSYTGFDSSSFSKADEGNMHQGLAGSDKLTLDFLGVGGRVRNIGGGFPQRQQQNGINNI >Potri.002G235900.1.v4.1 pep chromosome:Pop_tri_v4:2:22907435:22913546:-1 gene:Potri.002G235900.v4.1 transcript:Potri.002G235900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G235900.v4.1 MDSQDPRKNHTHTPGHGSHGVHVCHRCGWPFPKPHPSARCKRAHNKICGTLEGYKVVDSEETSLSALSDDDNVSDEEPETPSPKGLERSSNEKGSGGVGNISNRSEDEVFKDAVAEFPESGYSSVTGEHTRDVKEQEIGLEFNKATAQTSKDGSINVTGPPPSNSADPIQMQSTEAPVCNLSGKAQESLDHDSNSTIGFMTRPLIDCRDEESGFEYSHNNEGSACDSIPIKLETQTDASQENKKIGAGKDLSESDAKGYEGTKFDAGEASEMVSKLQKMEDLTSEPVPTAESLKLKEGHADVLASGMSLNDLSSEVKSDEPVDSSFDAAQTKGGGVQEMDLTDYVNSTDSYDNKGEGDENVHVLIVPHDFPVVADAENMVKGFKDHEGGKLPQLINVDSSEVFNNVKDSGTKDNPSGFNSRPLIKDTKVSTSDLHVLDDNVEPRGVASQLIVEELPDEAEDDVPLKSEVGVTDVVVGDLEKSISVQSPEEVPRDHCETSSLTSYLEHTTNAISVTNTLVVPIDAEVRQTNLDDTGNHDKDKIESSEIAVNDINKRNAVENCAENRIPTSGHASIPAEQVDRRNSILGDVNADAHEEGKIERCNVSKIETEGDSVPGLGEENLLREPKATPESAANVEYHFTSENEINVCGGKLSEHQHIDLGRVLELQDSKKEPESNSMANLQEHDGKVSTFAESDGRGDVEVLWESSEDKMVREPPVSPPEITSSLQNPSPIADSHARDFLAVASGNTSDFLPVEGDNNLVTQQVVASATDFSVDSSSQTDSLEAHWGSVSVLSTQSDIPTILDAETLPSNGSQALSEAEKATLKMLRAASETQHADKSDVFEAPSFMTLVEPTDGINQKADASEAQTTQNPQQPKAASVQAGWFPSITNVVNDSPGRKKNEEIMAKVTNWSTGKQHPPLKSPQHAPKILLGKANMETKSKSPDAKEIPVDKGDPAANSNGTSPKTLSPILVPQEPVGEPVKDEEKTWNLPARHPADIKSEKKKVKGRPHWAQFVCCSSVNEDS >Potri.009G042600.1.v4.1 pep chromosome:Pop_tri_v4:9:4970521:4973322:1 gene:Potri.009G042600.v4.1 transcript:Potri.009G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042600.v4.1 MKWETEILSPGSYLSSSNWLAEESKNTKWTIAENKAFENALAIYDKETSDRWHKVAAMIPGKTVEDVIKQYKELELDVSYIEAGLIPVPGYSSSPFTLDWVNGNGYGYDGFKQSYGLGGKRSSTGRPTDQERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVISRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNETRTPSPDNKRTSPDQSGAISQQPNSAAMPRTHFQWNQPNSGATMAFNSTNANMFMSSPYGINSYGLKMQGQNPHRGAVHDSYIGQQTMGFQMQSAQHYPHG >Potri.009G042600.2.v4.1 pep chromosome:Pop_tri_v4:9:4970656:4973251:1 gene:Potri.009G042600.v4.1 transcript:Potri.009G042600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042600.v4.1 MKWETEILSPGSYLSSSNWLAEESKNTKWTIAENKAFENALAIYDKETSDRWHKVAAMIPGKTVEDVIKQYKELELDVSYIEAGLIPVPGYSSSPFTLDWVNGNGYGYDGFKQSYGLGGKRSSTGRPTDQERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVISRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLNETRTPSPDNKRTSPDQSGAISQQPNSAAMPRTHFQWNQPNSGATMAFNSTNANMFMSSPYGINSYGLKMQGQNPHRGAVHDSYIGQQTMGFQMQSAQHYPHG >Potri.008G011620.1.v4.1 pep chromosome:Pop_tri_v4:8:591566:591754:-1 gene:Potri.008G011620.v4.1 transcript:Potri.008G011620.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G011620.v4.1 MGLCFPSTPKKLAMTIGLFASGAALFALGLHKCYVNIAPQRARIEARNDFVRERLRKKYGKE >Potri.001G339900.2.v4.1 pep chromosome:Pop_tri_v4:1:34873001:34874242:1 gene:Potri.001G339900.v4.1 transcript:Potri.001G339900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339900.v4.1 MTEEPGTTPGSDGTTVEECKNMIRRSFRTPMVKFLREHMEKAGCGVGENFLKAVNCDKKIAGGYVRGEGIMVCSNHMNTQDDVNQVVIHELIHAYDDCRAANLDWADCAHHACSEIRAGHLSGDCHYKRELLRGYMKLRGHEQVSSNSAETIQINFTHVHYIHTSACV >Potri.001G339900.1.v4.1 pep chromosome:Pop_tri_v4:1:34872831:34877811:1 gene:Potri.001G339900.v4.1 transcript:Potri.001G339900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G339900.v4.1 MTEEPGTTPGSDGTTVEECKNMIRRSFRTPMVKFLREHMEKAGCGVGENFLKAVNCDKKIAGGYVRGEGIMVCSNHMNTQDDVNQVVIHELIHAYDDCRAANLDWADCAHHACSEIRAGHLSGDCHYKRELLRGYMKLRGHEQDCVKRRVMKSMIANPYCSKAAAKDAMEAVWDVCYNDTQPFDRAP >Potri.008G187800.1.v4.1 pep chromosome:Pop_tri_v4:8:13116035:13121183:-1 gene:Potri.008G187800.v4.1 transcript:Potri.008G187800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187800.v4.1 MGGSENGATDNQNKPTETSLSPDSIINLDQGDPTLFEPYWKKMGDKCTLVIGGCDLMSYFSDSSNICWFLQPQLGDAIKGLHRVVGNAVTDGRHIVVGTGSTQLLMAALYALSSPSASHPVSLVAAAPYYSGYKDQAEFLRSGLYKWEGDAHTFDKDGPYIEVVTSPNNPDGTIREAVVNLGEGKLVYDLAYYWPQYTPITHPLDHDIMLFTFSKCTGHAGSRIGWALVKDKEVARKMTEYMQISSIGVSKESQLRAAKILGVLSEGCQHFRTADSENFFEYSHRIMRERRESLQNVVKNSKIFSLPKFPQDYCNFTGKYTDSNPAFAWLHSKEGIDWESLLREHKIIGRSGERFGADPKYVRISMFSPPEAFNLFLERLSAIIDNTNGNVVGGEESSIIK >Potri.014G070900.1.v4.1 pep chromosome:Pop_tri_v4:14:4515698:4523527:-1 gene:Potri.014G070900.v4.1 transcript:Potri.014G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G070900.v4.1 MASSCNGAFRNGSSSAQRPLKPLTASNLKSTSFKSRVHSSHAPPPPFRRSYPSNFSATADGVPGRVRVAVRLRPRNAEELAADADFADCVELQPELKRLKLRKNNWDADTYEFDDVLTEFASQKRVYEVVAKPVVESVLDGYNGTVMAYGQTGTGKTFTLGHLGDDDTASRGIMARAMEDVLADISPETDSVSVSYLQLYMEAVQDLLVPANDNISIVEDPKTGDVSLPGATVVQIRDQQSIVELLRLGEANRIAANTKLNTESSRSHAILMVHVKRSFAGREDALSSEIDNASHLVKPSKLIVRKSKLVLVDLAGSERVHKSGSEGHMLEEAKSINLSLSALGKCINALAENSPHVPIRDSKLTRLLKDSFGGTARTSLIVTIGPSPRHRGETSSTILFGQRAMKVENMLKIKEEFDYKSLSRKLEIQVDKLIAENERQQKAFDNEVERINQEAQNRITEVERNFAEALEKERLKCQMEYMESVKELEEKLVANQRRHDCDGFINGNCNGEELGSVSEEVSELRKLLQNEIQLRKAAEDELNKLKSQFEQFMQPGAGGDTEIVRLHRILEDEAYKKKSLEEEVAILQSQLLQLTFEAGQAKESLERSGSANGFNGVDPRMSQVRHLQFKETVNGQKAPIAPLYEHVGLQKILSLLESEDANVRIHAVKVVANLAAEEANQEKIVESGGLTSLLMLLRSFEDETIRRVAAGAIANLAMNEANQELIMVQGGISLLSMTAADAEDPQTLRMVAGAIANLCGNDKLQMKLRSEGGIRALLGMERCGHPDVLSQVARGIANFAKCESRASTQGLKSGRSLLIEDGALPWIVQNANNEAAPIRRHIELALCHLAQQEVNAKEMISGGALWELVRISRDCSREDIRTLARRTLNSSSTFRSEMRRLRIEC >Potri.006G153800.1.v4.1 pep chromosome:Pop_tri_v4:6:13695777:13701797:-1 gene:Potri.006G153800.v4.1 transcript:Potri.006G153800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153800.v4.1 MMMKKLARNWRKSCEVDKFTLPIRDEDDSRPMDTREQEELILSLEREEAEQSVLWRRVVGGIVFCHAAFLLYSIYQQALFPWELRYHAYFMEDMDSSMVIFADWIAISACALAIIGLLHNSKYHRQWIWYSCSVGLLLAVFWLYYMLRMPRFRWDVIWLPFGPLSGAGICLYVDHLLTESSEEVKKLRSYMYACKAN >Potri.004G021100.1.v4.1 pep chromosome:Pop_tri_v4:4:1511873:1512759:1 gene:Potri.004G021100.v4.1 transcript:Potri.004G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G021100.v4.1 MGVITSENEFAIAVAPAKLFKAYCLDTDTLLPKILPEHIKSSEIIEGNGGPGTIRKITFAEGKELSYAKQMIEAIDEENLTYSFSLIEANVWKDAVEKVTYEHKFVPTPEGGCICKRTSTYYIKGDAEINKDQIKDVYGKKTAGLFKAVEAYFLANPDA >Potri.003G183501.1.v4.1 pep chromosome:Pop_tri_v4:3:18842618:18845948:1 gene:Potri.003G183501.v4.1 transcript:Potri.003G183501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G183501.v4.1 MGSEAKSNGIANSEGFKSRVNRYLHSGDTKHVMAGMVIITLVFGVPWFLMNRGSSEQTSDTFKYVVPNEILFTFQTGSKRMETWSSKSNIL >Potri.004G148900.1.v4.1 pep chromosome:Pop_tri_v4:4:17106009:17107780:1 gene:Potri.004G148900.v4.1 transcript:Potri.004G148900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G148900.v4.1 MFPPQSAVPLKPIPGSYGLPFFGAIKDRLDYFYNQGKDEFFSSRVEKYQSTVFKTNMPPGPFIAQNPKVIAVLDAISFPILFDTSKIEKFNVLDGTYLPSLSFTGGYRVCAYLDPSEPNHTSLKSFFMSVLASKHKDFVPLFRTCLSQMFIDIEDEMGSKRTANFNDSSDAMSFNFVFRLFCEKDPSETKLGSEGPAIVDKWVGLQLAPLATIGFPKFLKHFEDLLMHTFPIPFFLVKSDYKKLYDAFYASSSSFLDKAESFGIDRDEACHNLVFVAGFNAYGGMKAWFPTLIKWVGKAGEKLHRQLANEIRTVVKEEGGVTFQALEKMTLTKSVVFEALRIEPGVPFQYGKAKEDIVINSHDAAYEIKKGEMIFGYQPFATKDPKIFYHPEEFVGHRFVGEGENLLKYVYWSNGRETEDPTVGNKQCPGKDLVVLLSRLLVVELFLRYDTFTVETAVLPFGSSVTLTSLIKATST >Potri.007G101600.1.v4.1 pep chromosome:Pop_tri_v4:7:12558421:12561902:-1 gene:Potri.007G101600.v4.1 transcript:Potri.007G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101600.v4.1 MVMLPLKIIFLLAIFGGLTFPHTSSSATTSAGGDDATVCIIGSGIGGSSVAHFLRQYTTSHHPKILIFERHAIVGGRMATVTIGGDAFEAGASILHPKNYHASNYTNLLNLTGKRPSSSDSSISLGIWDGNGFVVKTLNVNSNWGIVNKIVSFFNGIYLFMRYGFSLVKMEGFVDETVNKFLKYYEGVETRPVFESVEEMLKWAGLFNLTGKSLKEELVDGVKLAPLLIKELVTVITRINYGQSVNISGLAGAVSLAGSGGGLWAVEGGNWQMAAGLINSSDVELYLHEEIDSISYLGEYYELNSTKGNSYSCEVAVVATPLDESSIQFSPPVSVPVRQLQHTHATFVRGLVNPVYFGLKAVSEIPELVATIEDPRLPFTSISILKCYNETDMTYKIFSRQAMTDALLDSIFSVRKETVRINWGAYPHYKAPERFAPFILDGKHLYYVNAFENAASTMETSAVAAENIARLILSRFFGKDSSCPSDLKRTSCSSAEALHSDM >Potri.006G028200.2.v4.1 pep chromosome:Pop_tri_v4:6:1844741:1858885:1 gene:Potri.006G028200.v4.1 transcript:Potri.006G028200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G028200.v4.1 MSTMVLDLRPAATVEPRSDLVPDPTAVPTEDDNLYSRFKSLQRQLEFIDIQEEYVKDEQKNLKRELLRAQEEVKRIQSVPLVSGQFMEMVDQNNGIVGSTTGSKNYYVRILSTINWELLKASTSMALHGQSNALVDVLPPRLILVFLCLVRVRNLMLLIMYVKKSFLGGFGFGVSKIGDFVI >Potri.002G212701.1.v4.1 pep chromosome:Pop_tri_v4:2:19495837:19500644:-1 gene:Potri.002G212701.v4.1 transcript:Potri.002G212701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G212701.v4.1 MLTESLISSGSMLLSTLCIPNGYAPSTGTGLPFNTSLCFRKNLRNIVCACVAPPPPNNIGGDGFAATKFIDSYKAEKLSTIGDLEDGSDVLIECRNVYKSFGEKHILRGVSFKIRHGEAVGIIGPSGTGKSTILKIIAGLLAPDRGEVYIHGKKRDGLISDEGISGLRIGLVFQSAALFDSLTVRENVGFLLYENSSMLEEQIAELVTETLAQVGLKGVEDRLPSELSGGMKKRVALARSIIFDTTNETIEPEVLLYDEPTAGLDPIASTVVEDLIRSVHMKGEDGKPEKIASYVVVTHQHSTIRRAVDRLLFLHEGKVVWQGMTDEFMTSANPIVQQFASGSLDGPIKY >Potri.003G049600.1.v4.1 pep chromosome:Pop_tri_v4:3:7243534:7252722:-1 gene:Potri.003G049600.v4.1 transcript:Potri.003G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G049600.v4.1 MDGEEKARASGRRSSHSSLSRSISRSLIRAGWNMDDVFSVGRDSRRTSLVDGDEEALKWAAIEKLPTYNRLRTSIIKSFVESEVQGNKLLLHREVDVRKLDMNDRKTFIDNLFKVAEEDNEKFLKKFRQRVDKAGIGLPTIEVRFEHLTVEADCHIGTRALPTLPNAARNIAESALGMVGINLSERTKLTILKDAYGLIKPSRMTLLLGPPSSGKTTLLLALAGKLDPSLKVKGDITYNGYGLDEFVPRKSSAYISQNDAHIGEMTVKETLDFSSRCQGVGTRYDLLSALVSKEKKRGIFPEAEVDLFMKATAMEGVQSSLITDYTLKILGLDICKDTVVGDEMIRGISGGQKKRVTTGEMMVGPTKTLFMDEISTGLDSSTTYQIVKCLQHIVHHTEATVIVSLLQPAPETFDLFDDIIFLSEGQIVYQGPREHILAFFESCGFRCPERKGAADFLLEVTSKKDQEQYWVDRSKPYRCITVPEFAERFKRFHVGMRIENELSLPFDKSRGHKAALSFSKYTVPKMELLKACWDREWILVRRNAYVYVAKTVQLIIMAIIVSTLFIKSKMHTRNEEDGAVYIGALLFTIIINIFNGFAELTLVIKRLPVFYKQRELQFHPAWTFTLPTFLLQLPSSIIESLVWVSITYYSIGFAPEANRFFKQLLLVFFIQQMAAGLFRLIAGVCRTMIIANTGGALTLLLVFLLGGFILPKGTIPNWWEWGYWVSPLSYGYNAIAVNEMFAPRWMNKLASDNATRLGAAVLDSFGVYTDKNWYWIGTAAILGFAVLFNVLFTISLEYFSPPGKPQAIISEETTKEGTTSKKGKIELLRMSSPSNPSGPIKNSDSTLEAANGVAPKRGMVLPFTPLSMSFDDVNYFVDMPPEMKEQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYVDGDIRISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVGKQEKMNFVDEVAELVELDNLKDAIVGLPGIIGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYSGTLGRNSCKIIEYFEAIHGVPKIKEKYNPATWMLEVSSAAVEVRLGMDFAEHYKCSSLYQRNKALVKELSTQKPGAKDLYFATRYSESIWGQFKSCLWKQWWTYWRTPDYNLVRYIFTLLCALMVGSIFWKIGTRRESSSDLSMIIGAMYSSVLFVGINNCQTVQPVVAVERTVFYREKAAGMYAALPYAIAQVVCEIPYVFVQATYYTLIVYAMVAFEWTAAKFFWFFFINFFSFLYFTYYGMMAVAVTPNHQIAAIFAATFYSLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYGDVLDTIEVPGYDDNPQIKFYIQDHFGFDPDFMGPVAAVLIGFTVFFAFLYAFCIRTLNFQAR >Potri.003G173800.1.v4.1 pep chromosome:Pop_tri_v4:3:18161591:18164922:-1 gene:Potri.003G173800.v4.1 transcript:Potri.003G173800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173800.v4.1 MTEAVIRNKPGMASVKDMPILQDGPPPGGFAPVRFARRIPNKGPSAMAIFLAAFGAFSYGMYQVGQGNKVRRALKEEKYAARRAILPLLQAEEDERFVKEWNKYLEYEAEVMKDVPGWKVGQSVYNSGKWMPPATGELRPEVW >Potri.013G047300.2.v4.1 pep chromosome:Pop_tri_v4:13:3357364:3367691:1 gene:Potri.013G047300.v4.1 transcript:Potri.013G047300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G047300.v4.1 METTTSDVAMLDAELLQLPEVAALDFKSYPDFAQKLFDQWLSLPDANKLVTSLVNDAKTGAPLNISGNSSSANSATGSSLPSMFPAGSTPPLSPRSSTGSPRVTKQRVGPSNLGSPLKVVSEPIKELIPQFYFQNGRPVPNELKEQCLIRINHFFYGHSDGLQIHDFKSITKEVCKLPSFFSTVLFRRIDVNSTNFVTRDAFLNYWINGNMLTLDLATQIFTILKQPDLKYLTQENFKPVLRELLATHPGLEFLQSTPEFQERYAETVIYRIFYYINRSGNGHLTLRDLKRGNLIDAMQHADEEEDINKVLRYFSYEHFYVIYCKFWELDTDHDFLIDKENLIRYGNHALTYRIVDRIFSQVARKFTSKVEGKMGYEDFVYFILSEEDKSSDPSLEYWFKCIDLDGNGILTRNEMQFFYEEQLHRMECMAQEPVLFEDILCQIIDMIGPEDDGYITLRDLKGCKLSGSVFNILFNLNKFMAFETRDPFLIRQERENPTLTEWDRFAHREYIRLSMEEDVEDASNGSAEVWDESLEAPF >Potri.001G289200.5.v4.1 pep chromosome:Pop_tri_v4:1:30147576:30165106:1 gene:Potri.001G289200.v4.1 transcript:Potri.001G289200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289200.v4.1 MHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPCVQVTGHISALVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRFRRREPIILGSTAAQRLSRRLPVEVISDPLNDMENDPYEDGIDMQRHLRGHQVNDGRLRTAGEASQRSTREDWAEWMRHLSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNEASQKHLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALADKCRAFAKALHYKEMEFEGSRSKKMDANPVAVVETLIHINNQLHQHEAAVGILTYAQQLLDVQLKESWYEKLQRWDDALKAYTVKASQVSSPHLVLEATLGRMRCLAALARWEELNNLCKEYWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKIRGLGNTAASGDGSSNGTFFRAVLLVRKEKYDEAREYVERARKCLATELAALVLESYERAYVNMVRVQQLSELEEVIDYCTLPAGNPVAEGRRALIRNMWTERIRGAKRNVEVWQLLLAVRALVLPPTEDIDNWLKFASLCRKSNRISQARSTLVKLLQYDPETSPENVRYHGPPQVMLAYLKYQWSLGEDHKRKEAFARLQDLAIELSSAPNMQSITPISLMGSTGQNVHLLARVYRTLGAWQWTLSPGLDDDSIQEILLSFRNATQYATEWGKAWHSWALFNTAVMSQYTLQGLPNVASQFVVSAVTGYFHSIACAANAKGVDDSLQDILRLLTLWFNHGASAEVQMALQKGFSHVNINTWLVVLPQIIARIHSNTHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAEEVVNKVRKHSGVLVDQAQLVSTELIRVAILWHEMWHEGLEEASRLYFGEHNIEGMLKVLEPLHKMLEEGAVKENITIKERAFIEAYRHELLEAWDCCMKYKRTVKEAELTQAWDLYYHVFRRIDKQLQVMTTLDLQSVSPELVECRNLELAVPGTYRADLPVVTIASFAPELVVITSKQRPRKLTIHGSDGEDHAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTVEKDLSIHRYAVIPLSPNSGLIEWVPNCDTLHHLIREYRDARKITLNQEHKYMLSFAPDYDNLPLIAKVEVFEYALDNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLLKAMEVSGIEGNFRSTCENVMQVLRTHKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFTNSHVPAVVNAEESAPSRELPQPQRSARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTPSFTASSIQHAVDHSSLISGDTREVDHGLSVKLQVQKLIIQATSHENLCQNYVGWCPFW >Potri.001G289200.1.v4.1 pep chromosome:Pop_tri_v4:1:30135508:30164915:1 gene:Potri.001G289200.v4.1 transcript:Potri.001G289200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289200.v4.1 MASTSQSLRFLVGPATTAPGGGSFDALNRILADLCTRGNPKEGATLALRKHLEEEARDISGEAFPRFMDHLYERISSLLESNEVAENLGALRATDELIDVALGENASKVSKFAIYMRSVFEVKRDPEVLTHASRVLGHLARAGGAMTADEVEFQVKMALDWLHNDKAEFRLFAAVLILKEVAENASTVFNVHVPEFVDAIWVALRHPTLAIRERAVEALRACLRVIEKRETRWRVQWYYRMFEATQDGLGKNAPVHSIHGSLLAVGELLRNTGEFMMSRYREVADIVLRYLEHRDRLVRLSITSLLPRIAHFLRDRFVTNYLEICMNHILAVLRIPAERGSGFIALGEMAGALDGELEHYLPTITAHLRDAIAPRRAKPSLEALACVGNIAKAMKTAMEPYVRSLLDVMLSAGLSPTLVEALEQISDSIPSLLPTIQERLLDCISLALSKSHFSQSRAAIHTVRGSMTNAPQQVSDLSGSALVQLALQTLARFNFKGHELLEFARESVVVYLDDEDGATRKDAALCCCKLVANSFSAMVSTQVGSGRSNRTGGKRWRLVEELVEKLLIAAVADADVTVRQSIFSSLHGNRGFDDFLAQADILSAVFAALNDEDFDVREYAISVAGRLSEKNPAYVLPALRRHLIQLLTYLKQSADNKCREESAKLLGCLIRNCEQLVLPYIAPVHKALVARLLEGTGVNANNGIISGVLVTVGDLARVGGFAMRQYISELMPLIVEALLDGAAATKREVAVATLGQVVQSTGYVITPYTEYPQLLGLLLKLLNGELGWTTRREVLKVLGIMGALDPHVHKRNQQNLPGSHGEVARAASDSGQHIPSMDELPMDLWPSFATSEDYYSTVAINSLMRILRDPSLASYHQRVVGSLMFIFKSMGLGCVPYLPKVLPDLFHTVRTCDDCLKDFITWKLGTLVSIVRQHIRKYLPELLSLISELWSSFSLPAPIRPPRGFPVLHLVEQLCLALNDEFRKHLPVILPCCLQVLSDAERCNDYSYVLDILHTLEVFGGTLDEHMHLLLPALIRLFKVDASVDIRRAAIKTLTRLIPCVQVTGHISALVHHLKLVLDGKNDELRKDAVDALCCLAHALGEDFTIFIPSIHKLLLKHRLRHKEFEEIEGRFRRREPIILGSTAAQRLSRRLPVEVISDPLNDMENDPYEDGIDMQRHLRGHQVNDGRLRTAGEASQRSTREDWAEWMRHLSIELLKESPSPALRTCARLAQLQPFVGRELFAAGFVSCWAQLNEASQKHLVRSLEMAFSSPNIPPEILATLLNLAEFMEHDEKPLPIDIRLLGALADKCRAFAKALHYKEMEFEGSRSKKMDANPVAVVETLIHINNQLHQHEAAVGILTYAQQLLDVQLKESWYEKLQRWDDALKAYTVKASQVSSPHLVLEATLGRMRCLAALARWEELNNLCKEYWTPAEPSARLEMAPMAASAAWNMGEWDQMAEYVSRLDDGDETKIRGLGNTAASGDGSSNGTFFRAVLLVRKEKYDEAREYVERARKCLATELAALVLESYERAYVNMVRVQQLSELEEVIDYCTLPAGNPVAEGRRALIRNMWTERIRGAKRNVEVWQLLLAVRALVLPPTEDIDNWLKFASLCRKSNRISQARSTLVKLLQYDPETSPENVRYHGPPQVMLAYLKYQWSLGEDHKRKEAFARLQDLAIELSSAPNMQSITPISLMGSTGQNVHLLARVYRTLGAWQWTLSPGLDDDSIQEILLSFRNATQYATEWGKAWHSWALFNTAVMSQYTLQGLPNVASQFVVSAVTGYFHSIACAANAKGVDDSLQDILRLLTLWFNHGASAEVQMALQKGFSHVNINTWLVVLPQIIARIHSNTHAVRELIQSLLVRIGQSHPQALMYPLLVACKSISNLRKAAAEEVVNKVRKHSGVLVDQAQLVSTELIRVAILWHEMWHEGLEEASRLYFGEHNIEGMLKVLEPLHKMLEEGAVKENITIKERAFIEAYRHELLEAWDCCMKYKRTVKEAELTQAWDLYYHVFRRIDKQLQVMTTLDLQSVSPELVECRNLELAVPGTYRADLPVVTIASFAPELVVITSKQRPRKLTIHGSDGEDHAFLLKGHEDLRQDERVMQLFGLVNTLLENSRKTVEKDLSIHRYAVIPLSPNSGLIEWVPNCDTLHHLIREYRDARKITLNQEHKYMLSFAPDYDNLPLIAKVEVFEYALDNTEGNDLARVLWLKSRTSEVWLERRTNYTRSLAVMSMVGYLLGLGDRHPSNLMLHRYSGKILHIDFGDCFEASMNREKFPEKVPFRLTRMLLKAMEVSGIEGNFRSTCENVMQVLRTHKDSVMAMMEAFVHDPLINWRLFNFNEVPQMSMFTNSHVPAVVNAEESAPSRELPQPQRSARERELLQAVNQLGDANEVLNERAVVVMARMSNKLTGRDFSTPSFTASSIQHAVDHSSLISGDTREVDHGLSVKLQVQKLIIQATSHENLCQNYVGWCPFW >Potri.001G142000.1.v4.1 pep chromosome:Pop_tri_v4:1:11617279:11622187:-1 gene:Potri.001G142000.v4.1 transcript:Potri.001G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G142000.v4.1 MEVSTEAAVDVFSLPSKIESLESKSLIVADGFSSKSQVEALNDELMEDSHEDPVNSPNSLKTLPELSCGEQCLVSISSNSGGFSQISTSTPQSEETLTISLPSSHIVSPGNQISVPSQSNQDGSGSFLANGNEDGVLLDSGHRLVASCDNDDSEPDSPMQLAREKLASSSSDSKLADGNVEDEEEGFGNDDEKENPRRFFHYDPYYHAMYHNQWGHFDPEFHEYHPGLPPPWIRRFRRQPCPQLWNRWDDREKRRNQEYRLPGFMQETGPTGVGAALFNKGNTCYINAILQCFTHTVPLVQALRSCNHEMPCITEGFCVLCVLRDHIELSLSSSGKILEPLKLVNNLENISSVFRRYQQEDAHEFLQCLLERLERHCLDSSLTDDSASSHDKNIVERVFGGRLVSKLRCCNCGHCSDKYEPLIDLSLEIEDADSLQSALESFTKVEKIEDSETKFTCESCKEEVSREKQLMLDQAPSVAALHLKIFKIDGTSVEKIGKHVQFPLELDLKPYTNDNEDSDEVGFKYQLYAVVVHKGDSLMSGHYFCYIRSSPDTWHKLDDPEVSKEQEEFVLSQAAYILFYAREGTPWCSSLIKPQELCSDPSNSNTSPKSVLDNVNRECTGVGNNKSSETNVIKDAIEATSTHIPFERKFEESESRVETKGNFVQISPANRPNFHRIVSIGETPMVDVSVPLGVSDYHDGVLHDEMLCFPPSVEEDNCNQGAEKIEINGDLHSPTPHRSPTPDKGLPEARHRILRDHQKGENRVNCKRSSKKVTKDSQTAEALRCIKRMPTARGMKLMAAMLPRNDKIRPRSSPCKRASPPGSRCKPTIRMAVMR >Potri.005G045900.1.v4.1 pep chromosome:Pop_tri_v4:5:2912350:2914604:-1 gene:Potri.005G045900.v4.1 transcript:Potri.005G045900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH2 MEKESSKINQILSMKGGLGEESYAKNSKPQRANLSSSVPVLEQAVLDFCDTELPPCITIADLGCSSGPNTLFAVTQITSLIYERCSQLGQSPPEFSIFLNDLPGNDFNTVFQSFLPAFKEKIRAENGSDFGPCYISGVPGSFYGRLFPSNSLHFVHSGTSLHWLSQVPPELNDKSNPLVNKGKIYISKTSPAAAIEAYQIQFQKDFFSFLMARSKEVVPGGRMVFTLKARRFADPTADESCLIWDYLGQALQDLVLKGLIEEEKLNTYNAPYHEPYVEEIKTEIAKEGSFTLNCLEIIALPWDACNGGMKCDRETTAKNLVRVLRAINESMIQSHFGAEVLDPLFQGLTDIIAADTKEVEHVTAVVYVTRKD >Potri.007G082350.1.v4.1 pep chromosome:Pop_tri_v4:7:10696461:10697712:1 gene:Potri.007G082350.v4.1 transcript:Potri.007G082350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082350.v4.1 MELKIVVIVACPTPNISPNDNSLQGSTPTYATDKHPCPAIPGLMRCVPGSSPPAVSIPGILLLALFLLFIFLTS >Potri.008G195700.2.v4.1 pep chromosome:Pop_tri_v4:8:13811850:13813091:-1 gene:Potri.008G195700.v4.1 transcript:Potri.008G195700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195700.v4.1 MASFWFLTALIVPLVIFPSPSSSSSSTQLNSKTSPYPISTSPAFLTNSPPIPPFQELSPDIAPLLPSPGGVLPSPTVSSVPTIPSTPSPPNPDEVVASGPDSAFSPLGALLASSAAPRNLINSVIVVGFIAYRSIQLFKM >Potri.007G010200.2.v4.1 pep chromosome:Pop_tri_v4:7:785096:790466:1 gene:Potri.007G010200.v4.1 transcript:Potri.007G010200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010200.v4.1 MSSNGAVLDYLEAKVPLLEEKTKRDDDIVVQDLACRVGVESKKLWHIVGPAIFSRLTSYSMLVITQAFAGHLGDLELAGISIANNVIVGFDFGLLLGMASALETLCGQAFGAKKYYMLGVYMQRSWIVLFLCCILLLPLYLFASPVLKLLGQPNDIAELSGKAAVWMIPLHFSFAFQFPLQRFLQSQLKNMVIAWVSFVALVVHIFVSWLLVYKLQLGVAGTAMTLNFSWWVLVFGLLGYTICGGCPLTWTGFSTEAFSGLWEFTKLSAASGVMLCLENWYYRILILMTGNLKNAEIAVDALSICMTINGWEMMIPLAFFAGTGVRVANELGAGNGKGAKFATIVSVTTSVIIGLVFWLLIMFFHDKLTWIFTSSEPVLEAVNKLSILLAFTVLLNSVQPVLSGVAVGSGWQKYVAYINLGCYYAIGVPLGFLMGWFFHQGVMGIWAGMIFGGTAVQTLILAIITIRCDWEKEAEKASQHVLKWSEAI >Potri.018G093700.1.v4.1 pep chromosome:Pop_tri_v4:18:11398327:11401443:1 gene:Potri.018G093700.v4.1 transcript:Potri.018G093700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093700.v4.1 MWEKKGAIGGDCLRYILSKKIFISPPSCINTFHSKNLAKFPFPILTQYSVISSYLNPTARKLKDVDLLAQTQILPSSNFSTFYSTKAPSRSFRKRNNKRAKANSRPILDEAKFQRSVSQLPSRFTNEELCNNITLEDDPLVCLELFNWASQQHRFRHDASTYHVTIKKLGIAKMYQEMDDVVNQLLAVPHIGNEALYNSIIYYFTEARKLTRAVNIFKRMKSSRNLDCRPSIKTYNILLTAMLSRGRNSYINHMYMETMRCLFKQMVDDGVEPDIFSLNSMIKGYALSLHVNDALRVFHQMGVVYKCLPNSFSYDYLVHGLCAQGRTNNARELFDEMKEKGFVLSNKSFNSLVNALALGGEVGEAVNYLWEMIDKHRSVDLITYKTVLDEICRQGRIGEATSLLKEWQEKDLVDGITYRELLHVLEDDFGNSNDRERFRY >Potri.018G093700.2.v4.1 pep chromosome:Pop_tri_v4:18:11398347:11402106:1 gene:Potri.018G093700.v4.1 transcript:Potri.018G093700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093700.v4.1 MWEKKGAIGGDCLRYILSKKIFISPPSCINTFHSKNLAKFPFPILTQYSVISSYLNPTARKLKDVDLLAQTQILPSSNFSTFYSTKAPSRSFRKRNNKRAKANSRPILDEAKFQRSVSQLPSRFTNEELCNNITLEDDPLVCLELFNWASQQHRFRHDASTYHVTIKKLGIAKMYQEMDDVVNQLLAVPHIGNEALYNSIIYYFTEARKLTRAVNIFKRMKSSRNLDCRPSIKTYNILLTAMLSRGRNSYINHMYMETMRCLFKQMVDDGVEPDIFSLNSMIKGYALSLHVNDALRVFHQMGVVYKCLPNSFSYDYLVHGLCAQGRTNNARELFDEMKEKGFVLSNKSFNSLVNALALGGEVGEAVNYLWEMIDKHRSVDLITYKTVLDEICRQGRIGEATSLLKEWQEKDLVDGITYRELLHVLEDDFGNSNDRERFRY >Potri.010G021400.10.v4.1 pep chromosome:Pop_tri_v4:10:3124247:3133125:1 gene:Potri.010G021400.v4.1 transcript:Potri.010G021400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G021400.v4.1 MAKPSKQQTSSDEAMSSEEEPINEQVSEEEDEEEIEAVARSADSDEDEAAGDAEGDDGEGDEADEVEVTNNEISKRERERLKEMQKLKKHKIQEILDQQNAAIDADMNNRGKGRLQYLLQQTELFAHFAKHDQSSSQKKAKGRGRHASKVTEEEEDEECLKEEEDGISGNTRLMTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKHIREELLAAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYSTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIEVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIRSIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSALFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKSMTPSKRSMGRQTDSPPSLKKRKQLSMDDYPNMGKRKK >Potri.010G021400.13.v4.1 pep chromosome:Pop_tri_v4:10:3124215:3132306:1 gene:Potri.010G021400.v4.1 transcript:Potri.010G021400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G021400.v4.1 MAKPSKQQTSSDEAMSSEEEPINEQVSEEEDEEEIEAVARSADSDEDEAAGDAEGDDGEGDEADEEVTNNEISKRERERLKEMQKLKKHKIQEILDQQNAAIDADMNNRGKGRLQYLLQQTELFAHFAKHDQSSSQKKAKGRGRHASKVTEEEEDEECLKEEEDGISGNTRLMTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKHIREELLAAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYSTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIEVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIRSIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSALFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKSMTPSKRSMGRQTDSPPSLKKRKQLSMDDYPNMGKRKK >Potri.010G021400.7.v4.1 pep chromosome:Pop_tri_v4:10:3124179:3133126:1 gene:Potri.010G021400.v4.1 transcript:Potri.010G021400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G021400.v4.1 MAKPSKQQTSSDEAMSSEEEPINEQVSEEEDEEEIEAVARSADSDEDEAAGDAEGDDGEGDEADEEVTNNEISKRERERLKEMQKLKKHKIQEILDQQNAAIDADMNNRGKGRLQYLLQQTELFAHFAKHDQSSSQKKAKGRGRHASKVTEEEEDEECLKEEEDGISGNTRLMTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKHIREELLAAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYSTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIEVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIRSIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSALFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKQSMTPSKRSMGRQTDSPPSLKKRKQLSMDDYPNMARKKEEVI >Potri.010G021400.12.v4.1 pep chromosome:Pop_tri_v4:10:3124185:3133129:1 gene:Potri.010G021400.v4.1 transcript:Potri.010G021400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G021400.v4.1 MAKPSKQQTSSDEAMSSEEEPINEQVSEEEDEEEIEAVARSADSDEDEAAGDAEGDDGEGDEADEEVTNNEISKRERERLKEMQKLKKHKIQEILDQQNAAIDADMNNRGKGRLQYLLQQTELFAHFAKHDQSSSQKKAKGRGRHASKVTEEEEDEECLKEEEDGISGNTRLMTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKHIREELLAAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYSTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIEVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIRSIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSALFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKSMTPSKRSMGRQTDSPPSLKKRKQLSMDDYPNMGKRKK >Potri.010G021400.8.v4.1 pep chromosome:Pop_tri_v4:10:3124236:3133125:1 gene:Potri.010G021400.v4.1 transcript:Potri.010G021400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G021400.v4.1 MAKPSKQQTSSDEAMSSEEEPINEQVSEEEDEEEIEAVARSADSDEDEAAGDAEGDDGEGDEADEVEVTNNEISKRERERLKEMQKLKKHKIQEILDQQNAAIDADMNNRGKGRLQYLLQQTELFAHFAKHDQSSSQKKAKGRGRHASKVTEEEEDEECLKEEEDGISGNTRLMTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKHIREELLAAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYSTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIEVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIRSIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSALFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKQSMTPSKRSMGRQTDSPPSLKKRKQLSMDDYPNMGKRKK >Potri.010G021400.11.v4.1 pep chromosome:Pop_tri_v4:10:3124235:3132409:1 gene:Potri.010G021400.v4.1 transcript:Potri.010G021400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G021400.v4.1 MAKPSKQQTSSDEAMSSEEEPINEQVSEEEDEEEIEAVARSADSDEDEAAGDAEGDDGEGDEADEEVTNNEISKRERERLKEMQKLKKHKIQEILDQQNAAIDADMNNRGKGRLQYLLQQTELFAHFAKHDQSSSQKKAKGRGRHASKVTEEEEDEECLKEEEDGISGNTRLMTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKHIREELLAAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYSTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIEVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIRSIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSALFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKQSMTPSKRSMGRQTDSPPSLKKRKQLSMDDYPNMGKRKK >Potri.010G021400.9.v4.1 pep chromosome:Pop_tri_v4:10:3124246:3133126:1 gene:Potri.010G021400.v4.1 transcript:Potri.010G021400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G021400.v4.1 MAKPSKQQTSSDEAMSSEEEPINEQVSEEEDEEEIEAVARSADSDEDEAAGDAEGDDGEGDEADEEVTNNEISKRERERLKEMQKLKKHKIQEILDQQNAAIDADMNNRGKGRLQYLLQQTELFAHFAKHDQSSSQKKAKGRGRHASKVTEEEEDEECLKEEEDGISGNTRLMTQPSCIQGKMRDYQLAGLNWLIRLYENGINGILADEMGLGKTLQTISLMGYLHEFRGITGPHMVVAPKSTLGNWMNEIRRFCPVLRAVKFLGNPDERKHIREELLAAGKFDVCVTSFEMAIKEKSTLRRFSWRYIIIDEAHRIKNENSLLSKTMRLYNTNYRLLITGTPLQNNLHELWALLNFLLPEIFSSAETFDEWFQISGENDQQEVVQQLHKVLRPFLLRRLKSDVEKGLPPKKETILKVGMSQMQKQYYKALLQKDLEVVNAGGERKRLLNIAMQLRKCCNHPYLFQGAEPGPPYSTGDHLVTNAGKMVLLDKLLPKLKERDSRVLIFSQMTRLLDILEDYLMFRGYLYCRIDGNTGGEDRDASIDAFNKPGSEKFCFLLSTRAGGLGINLATADVVILYDSDWNPQVDLQAQDRAHRIGQKKEVQVFRFCTEYTIEEKVIERAYKKLALDALVIQQGRLAEQKTVNKDELLQMVRFGAEMVFSSKDSTITDEDIDRIIAKGEEATAELDAKMKKFTEDAIKFKMDDTAELYDFDDDKDENKFDFKKIVSENWIEPPKRERKRNYSESEYFKQTMRQGGPAKPKEPRIPRMPQLHDFQFFNTQRLSELYEKEVRYLMQAHQKNQLKDTIEVDEPEETGDPLTAEELEEKERLLEEGFSSWSRRDFNTFIRACEKYGRNDIRSIATEMEGKTEEEVERYAKVFKERYKELNDYDRIIKNIERGEARISRKDEIMKAIGKKLDRYKNPWLELKIQYGQNKGKLYNEECDRFMICMVHKLGYGNWDELKAAFRTSALFRFDWFVKSRTTQELARRCDTLIRLVEKENQEYDERERQARKEKKLAKQSMTPSKRSMGRQTDSPPSLKKRKQLSMDDYPNMGKRKK >Potri.015G147600.2.v4.1 pep chromosome:Pop_tri_v4:15:15140167:15144631:-1 gene:Potri.015G147600.v4.1 transcript:Potri.015G147600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147600.v4.1 METTPLLLNNESSSSLSLPAVPEEDYLPAAFPTFQDFIKTVFWKETVKLWRIAGPIALSLVCQNGTNILTSIFVGHLGNLELSAVSVSLSVIITFCFGFLLGMGSALETLCGQAFGAGQVHMLGIYLQRSCIILLVTCVILLPIFIFAAPLLKVLGQEAALAELAGKFTLLAIPNLFSWAIYFPTQKFLQAQRKVGVITWIAVVALVLHALWLWLFIYELDWGITGAAIAFDLTGWLISLAQAVYVMGWCKEGWRGFSWSAFEDIWSFVTLSIASAVMLCLEIWYMMSIVVLTGHLDNAVIAVGSLTICLNINGLELMVFLGINAAISVRVSNELGLGHPRAAKYSVYVTVFQSLVIGLVCMAVVLIAKDYFAYIFTSSKVMQVATSKLAFILAITMVLNSVQPVISGVAIGGGWQALVAYINIGCYYVFGLPLGYLLGYKANLGVEGVWGGMLGGTALQTLLLLIILYRTNWKKEVAQTAERMKRWGGGGQDIDTDQKKEEINYP >Potri.019G014411.1.v4.1 pep chromosome:Pop_tri_v4:19:2492371:2493421:-1 gene:Potri.019G014411.v4.1 transcript:Potri.019G014411.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014411.v4.1 MEARVCVLAMMIFYGFLASPGAKTCHGRDVAIQFYRTELSRMALQVVKRDYKKRGIPNQVLPPASNYMRNQGAPTPLPPPINTRS >Potri.009G090200.2.v4.1 pep chromosome:Pop_tri_v4:9:8313519:8315940:-1 gene:Potri.009G090200.v4.1 transcript:Potri.009G090200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090200.v4.1 MPDNPLQHLFQKVSNCFQTHLANFTGQPQNPSSSTSKNTIFSLSPPSSHVSSKIYPANRDTSSVQPKDVLNKGKSAAPVTKEELGRATWTFLHTLAAQYPEHPTRQQKKDVKELMAILSRMYPCQECADHFKEVLRVNPVQAGSHAEFSQWLCHVHNVVNRSLGKLVFPCERVDARWGKLECEQRACDLQGTTNFDED >Potri.005G009700.2.v4.1 pep chromosome:Pop_tri_v4:5:847325:854511:-1 gene:Potri.005G009700.v4.1 transcript:Potri.005G009700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G009700.v4.1 MMMKKMGAWMLLALLTLVGDWCGRCYGCLEEERIGLLEIKPLFDPNSIYMRDWVEYSSNCCEWYGIECDNTTRRVIHLSLWDATDFLLGDWVLNASLFLPFKELQSLDLSFNGLVGCSENEGFEVLPSKLEVLDLTRNRFNNDKGILSCFNGISALKSLDLSDNQLTGSGLKVLSSRLKKLENLHLSANQCNDSIFSSITGFSSLKSLDLSYNEVTGSGLKVLSSRLQKLENLHLSGNQCNDSIFSSITGFSSLKSLDLSDNQVTGSGLKVLSSRLKRLENLDLSGNQCNDSIFSSLSGFSSLKYLNLSKNQLTGSSTGINSFQVLVSGLRNLEELHLYSNKLNNNILSSLSGFSTLKSLDLSDNMFTGSTGLNGLRNLEELYLGSNKFNDSILLSLSGFSTLKSLDLSNNSFTGSTGLNGLRNLETLYLDSTDFKESILIESLGALPSLKTLDASYSNFTHFGKGLCNSSSLEEVFLDDSSLPASFLRNIGPLSTLKVLSLAGVDFNSTLPAQGWCELKNLEELYLSGNNLKGVLPPCLGNLSFLQILDLSHNQLEGNIAFSYLSHLKQLRSLSIKNNYFQVPISFGSFMNLSNLKLIACDNNELIAAPSFQPSAPKFQLLFFSASNCTPKPLKAGFTNFLHSQYDLMFVDLSHNKFVGEPFPSWLFENNRKLNRLYLRDTSITGPLQLPQHPTPYLQTVDISGNTIHGQIARNICSIFPRLKNFLMANNSLTGCIPRCFGNMSSLEFLDLSNNHMSCELLEHNLPTVGSLWSLQLSNNNFSGRLPPSVFNMTYLLYLLLDGNKFVGEVPGTFSLESSLLWLDISNNLLSGMLPRGIGNSSKNQLDGIDLSRNHFEGTIPIEYFNSSGLEFVDLSENNLSGSLPLGFHALDLRYVHLYGNRLSGPLPYDFYNLSSLVTLDLGDNNLTGPIPNWIDSLSELSIFVLKSNQFNGKLPHQLCLLRKLSILDLSENNFSGLLPSCLSNLNLTASDEKTSVEPDWGSRDYWSEEEMFSSMGGRGFSPSDTMLWPEISVKIAVELTAKKNFYTYEGGILRYMSALDLSCNRFTGEIPTEWGNLSGIYSLNLSQNNLTGLIPSSFSNLKHIESLDLSHNNLNGRIPAQLVELTFLAVFNVSYNNLSGRTPEMKNQFGTFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDCNGDGGFIDMYSFYASFGVCYIIAVLTIAAVLCINPHWRRRWFYFIEECIDTCFCFLAINFRKLSRFRR >Potri.004G133500.6.v4.1 pep chromosome:Pop_tri_v4:4:15478577:15483157:-1 gene:Potri.004G133500.v4.1 transcript:Potri.004G133500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133500.v4.1 MDQYEKVEKIGEGTYGVVYKARDRVTNETIALKKIRLEQEDEGVPSTAIREISLLKEMQHGNIVRLQDVVHSEKRLYLVFEYLDLDLKKHMDSSPEFANDPRLVKTFLYQILRGIAYCHSHRVLHRDLKPQNLLIDRRTNALKLADFGLARAFGIPVRTFTHEVVTLWYRAPEILLGSRHYSTPVDVWSVGCIFAEMVNQKPLFPGDSEIDELFKIFRILGTPNEDTWPGVTSLPDFKSAFPKWPSKDLATVVPTLEKAGVDLLSKMLFLDPTKRITARSALEHEYFKDIGFVP >Potri.014G035500.2.v4.1 pep chromosome:Pop_tri_v4:14:2233452:2238721:-1 gene:Potri.014G035500.v4.1 transcript:Potri.014G035500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035500.v4.1 MPFFSKTPSSSMPSSPSVSSTKDNSNNYSNTAGTSWINRGQSFMLKKMERQRKPRPVSEQDLGWNLTDRPNSPSGDPDSAKKSRSPSGTDHWSSVAVPHPLPVPVSLLTRRQEPLGSILGHGHLGSPEEGPNHVVRRKNTDQIATKFAKPSSNFRQRFSQHANLDSTSRDLRLKIQARSAPTSGFSSPSASPRRSNATDLFASHVATELTKSPGNSNRVLSHDLNIEGANYKSSLISSPRSAPISGLPSPATVSPQRSYTGDFLPSFVASQESQVRSTLKIPDLGRLAIHSSQVPPVKTVFSPDHSPLRSPTLQSPCVDLENKFYFRSHHKLLQGSSKEWPENSRVSAHPFPLSRFPPPHPLPLPPGAAPPQSMPSPPTIIHNTLEKLNEPLRKNQWVKGKLIGSGTYGRVYMGTNRVTGASCAMKEVDIIPDDPKSAECIKQLEQEIRVLRDLKHPNIVQYYGCEIVDDQFYIYLEYINPGSINKYVREHCGHMTESIVRNFTRHILSGLAYLHSKKTVHRDIKGANLLVDASGVVKLTDFGTAKHLTGLSYELSLKGSPHWMAPEVIKAVMLKSGNPELAFAVDTWSLGCTIIEMFTGKPPWGDLQGAQAMFKILNKDPPMPETLSPEGKDFLRRCFRRNPAERPSAMMLLEHPFVCKASDLNVSASREAIPAVNLEDNSQSLRDRTAENDVSPTSPGMRMRNHRLPSSSETSQQSHAYTFNCAATSHHPPCSTLEARPYLTAAQLVHGSHNFISSSNVSSNVHLRSHGREIPHI >Potri.013G153200.1.v4.1 pep chromosome:Pop_tri_v4:13:14892247:14895146:-1 gene:Potri.013G153200.v4.1 transcript:Potri.013G153200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G153200.v4.1 MNASNPDLQNLWVCQGRAGIISKGYFYGQNPLSHTTPAVLAQLSLSSLCSVLLQYILTPLGESAFVSLLLVGFILGPTLWGRSSFLTSVYSMKSIQTSSTFAFFGCIFYIFLIGIKMDLGIVKRAGRKPVVIGFLTFLFPVTLNLIVAGILSGKRELDPVLLKSIWHVAIFQAVTSFHVIVCLLADLKLVNSELGQLAISSSMISGMCSWGLVIVILFITELKHEPFWILLCPISLIVLIFYVLRPLMVKMIAKTPEGKQVKEGYVLSIFIMVLGTAFLSEVLGHHVVFGATALGIAVPHGPPLGTALENKIESFVSSILLPSYFVLSVSRVDLLSIHSETVFVICVFGLTSFIGKVLGGMLPALFFKVPPVEAFSLGLVMSCQGISDVLLVQHGHLTFLVDRQMYSMMVINMLFVSGTFTPVIKFLYDPSRHYKASNKRTIHHTSLNMEFRILAGIYHQDSTPCMIRLLEISNPTAKTPMCCYVVHLVQLVGSLSPLFMYHEPGATAKLPTKDCGRIINAFRLYEQESNGNVIVNLFTSISPFASIHEEVCRLAVEKRTSVVIIPFHMQWRFHGIEDITEARAVNRHILAKAPCSVGILVDRGTLSASKHHFVYKIGIIFVHGRDDREALAYGLRMAKHSKVSLTVIHLIDPAAGAVQSLDMDLDDDIITEFKAASAGKKPHSYVTEFVKDSVELITVIRSVQNSYDLILVGRHHRSFSPIFMGLTEWNEFPELGFLGDVLASSDSQCQVSVLVVQQQVYRAGDRMNSAKNLLEDSAETVEIIFESTQGWKDVDISRHRMQPR >Potri.003G055200.1.v4.1 pep chromosome:Pop_tri_v4:3:8117715:8119721:-1 gene:Potri.003G055200.v4.1 transcript:Potri.003G055200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055200.v4.1 MVSDGKEERRRRRIVERGSDRMALITGQIQSLDSSSSQASSTTSNYSHLAHDNPSSPSTTVSQHAQIDAGSGGADYEPGSKFPKRKASNEAFEGIGFDIRNQVEQHLQERVTPTEAYSKMTEIQMSIATPSIQKASDKPNFFSSKRINSCIIASQRSRVICSLIIASLVLISYIDYPLLGINIVSSESIIASRPLYIVLLTDVTIVLVRLFRERGNHGSEESERERMVSKEDGDNWVGAVKLLERGLTVYQAVRGIFIDCSVYLVVVICALSLL >Potri.003G055200.2.v4.1 pep chromosome:Pop_tri_v4:3:8117836:8119688:-1 gene:Potri.003G055200.v4.1 transcript:Potri.003G055200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G055200.v4.1 MFPFALMAVTAGSGGADYEPGSKFPKRKASNEAFEGIGFDIRNQVEQHLQERVTPTEAYSKMTEIQMSIATPSIQKASDKPNFFSSKRINSCIIASQRSRVICSLIIASLVLISYIDYPLLGINIVSSESIIASRPLYIVLLTDVTIVLVRLFRERGNHGSEESERERMVSKEDGDNWVGAVKLLERGLTVYQAVRGIFIDCSVYLVVVICALSLL >Potri.005G160700.2.v4.1 pep chromosome:Pop_tri_v4:5:15507013:15510772:1 gene:Potri.005G160700.v4.1 transcript:Potri.005G160700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160700.v4.1 MGVGEEENPLLFSGLEAHTQRHQQVHLSSGVSSSTIKEIWLESKKLWQIAGPSIFSRLAMFSMTVITQSFAGHLGDLNLASISIATTLIISISFGFLLGMASALETLCGRAYGAKQYHMLGIYMQRSWIVLFLCSILLLPLFLFATPILKLIGQPADIAEQTGLVAIWLIPFHFSFPFQFTLQRFLQSQLKTGVIALVSGGALLIHVILSWVFVYKLRVGIVGTALTLDFSWWVSVFGMFIYCVCGGCQLSWTGFSTQAFTGLWEFFKLSLASGIMLLLENIYYRVLITVSGFVHNTKVAVDALSICVTILSWESMIPLGFLAATGVRVANELGAGNAKGAKFATIVSLLTSLVVGLLFWSIVIAFPEKLAMIFTSSSFVILMVNELAVLLAFTILLNCIQPVLSGVAIGSGWQALVAYINIGSYYIVGVPLGFLLGWLLHFGIKVSATMLLLI >Potri.005G160700.1.v4.1 pep chromosome:Pop_tri_v4:5:15506957:15511457:1 gene:Potri.005G160700.v4.1 transcript:Potri.005G160700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160700.v4.1 MGVGEEENPLLFSGLEAHTQRHQQVHLSSGVSSSTIKEIWLESKKLWQIAGPSIFSRLAMFSMTVITQSFAGHLGDLNLASISIATTLIISISFGFLLGMASALETLCGRAYGAKQYHMLGIYMQRSWIVLFLCSILLLPLFLFATPILKLIGQPADIAEQTGLVAIWLIPFHFSFPFQFTLQRFLQSQLKTGVIALVSGGALLIHVILSWVFVYKLRVGIVGTALTLDFSWWVSVFGMFIYCVCGGCQLSWTGFSTQAFTGLWEFFKLSLASGIMLLLENIYYRVLITVSGFVHNTKVAVDALSICVTILSWESMIPLGFLAATGVRVANELGAGNAKGAKFATIVSLLTSLVVGLLFWSIVIAFPEKLAMIFTSSSFVILMVNELAVLLAFTILLNCIQPVLSGVAIGSGWQALVAYINIGSYYIVGVPLGFLLGWLLHFGIKGLWAGMICGTVVQTLVLSVVTMKCEWEKEAEKAQIHITKEAAST >Potri.005G160700.4.v4.1 pep chromosome:Pop_tri_v4:5:15507014:15509717:1 gene:Potri.005G160700.v4.1 transcript:Potri.005G160700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160700.v4.1 MGVGEEENPLLFSGLEAHTQRHQQVHLSSGVSSSTIKEIWLESKKLWQIAGPSIFSRLAMFSMTVITQSFAGHLGDLNLASISIATTLIISISFGFLLGMASALETLCGRAYGAKQYHMLGIYMQRSWIVLFLCSILLLPLFLFATPILKLIGQPADIAEQTGLVAIWLIPFHFSFPFQFTLQRFLQSQLKTGVIALVSGGALLIHVILSWVFVYKLRVGIVGTALTLDFSWWVSVFGMFIYCVCGGCQLSWTGFSTQAFTGLWEFFKLSLASGIMLLYVYSYLSWLLIDWILNELVV >Potri.017G117285.3.v4.1 pep chromosome:Pop_tri_v4:17:12445193:12452954:-1 gene:Potri.017G117285.v4.1 transcript:Potri.017G117285.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117285.v4.1 MLLPLARMNSSAFSFLSDFVLVLLLFIHVPSSSSNDDLYTACSKKFECGGISAGFPFWGNDRSPDCGLPELELRCENNIAKMNISQVTYRVLDFNPEMGTLRVATEDSFVGLCSPQFMNSMFNPKVFESVEGYTNLTFIYGCKDAPPTIPFTCKINEVNDIQGGYIQEGDAGPGECYRSVLVPFSITNWPRIKQPVTVQALEEHLKKGFEVRFKVHRPEACGECKMSSGVCGIDDVTNQTTCYCPNQSRGSKTCALPAPESSWSRRRLHIAIGISAAVAAIITFSVTTICLIRKKGSFSAVIAMIITPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFANILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISDKGSLHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDDEFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQSKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGTTEEEKEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRRSAQEQSCTVSSLPCVSSQGDELN >Potri.017G117285.2.v4.1 pep chromosome:Pop_tri_v4:17:12445213:12452933:-1 gene:Potri.017G117285.v4.1 transcript:Potri.017G117285.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117285.v4.1 MLLPLARMNSSAFSFLSDFVLVLLLFIHVPSSSSNDDLYTACSKKFECGGISAGFPFWGNDRSPDCGLPELELRCENNIAKMNISQVTYRVLDFNPEMGTLRVATEDSFVGLCSPQFMNSMFNPKVFESVEGYTNLTFIYGCKDAPPTIPFTCKINEVNDIQGGYIQEGDAGPGECYRSVLVPFSITNWPRIKQPVTVQALEEHLKKGFEVRFKVHRPEACGECKMSSGVCGIDDVTNQTTCYCPNQSRGSKTCALPAPESSWSRRRLHIAIGISAAVAAIITFSVTTICLIRKKGSFSAVIAMIITPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFANILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISDKGSLHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDDEFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQSKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGTTEEEKEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRRSAQEQSCTVSSLPCVSSQGDEVNKLPADGSDL >Potri.017G117285.1.v4.1 pep chromosome:Pop_tri_v4:17:12445196:12452967:-1 gene:Potri.017G117285.v4.1 transcript:Potri.017G117285.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G117285.v4.1 MLLPLARMNSSAFSFLSDFVLVLLLFIHVPSSSSNDDLYTACSKKFECGGISAGFPFWGNDRSPDCGLPELELRCENNIAKMNISQVTYRVLDFNPEMGTLRVATEDSFVGLCSPQFMNSMFNPKVFESVEGYTNLTFIYGCKDAPPTIPFTCKINEVNDIQGGYIQEGDAGPGECYRSVLVPFSITNWPRIKQPVTVQALEEHLKKGFEVRFKVHRPEACGECKMSSGVCGIDDVTNQTTCYCPNQSRGSKTCALPAPESSWSRRRLHIAIGISAAVAAIITFSVTTICLIRKKGSFSAVIAMIITPKNSQHVDSVETFMMDYHSLTPKRYSYSDIKKMTSSFANILGQGGFGYVYRGKLPDDGRLVAVKVLKESKGDGEEFMNEVASISRTSHVNVVTLLGFCYERNKRALIYEFMPNGSLDSFISDKGSLHTNCRLEWKKLYEIAVGIARGLEYLHRGCNTRIVHFDIKPHNILLDDEFCPKISDFGLAKLCQSKVSKISMIGARGTVGYIAPEVFCRSFGGVTYKSDVYSYGMMVLEMVGQSKDFDMGSLETNEMYFPDWFYMYLEPGKISTLHGGTTEEEKEIVEKMILVGLWCIQTIPSHRPSMTKVVEMFEGSLQSLQIPPRPSFSSPRRSAQEQSCTVSSLPCVSSQGDEVNKLPADGSDL >Potri.006G075200.2.v4.1 pep chromosome:Pop_tri_v4:6:5540249:5544076:1 gene:Potri.006G075200.v4.1 transcript:Potri.006G075200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075200.v4.1 MSSPCISGGGRTYGFDLEIVKSSSTSSTRTSHTSSPSSTISESSNSPLAISTRKSRTPRKRPNQTYNEAAALLSTAYPNIFSTKHLTKSSKFTKPQDNSLLLDQSSDLLLPFRVFDNSGFLIHQPIQGKPSYGNESRFANFTDKSSCQSSGEVDFHGNSVELCDGLDEDFDAESILDEEFEEGIDSIMGHSSIGNEMVDEVPNGISSSFGGQMNSWYGSSMGYNFGGKSQYGHGIAMRRGVRALRHVDEGNWWDFPIVDMLQISPRLTTTVAATANANSNNGPECNSIPKPKPKPKLKLKPNSSSEKKKKKVEKPAVMEEKNVELKDENPVKENSIPQSSQGLILKLNYDHVLSEWSDRGSPFSDESMGCAEGNDVSAGTD >Potri.006G075200.6.v4.1 pep chromosome:Pop_tri_v4:6:5540865:5542238:1 gene:Potri.006G075200.v4.1 transcript:Potri.006G075200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075200.v4.1 MSSPCISGGGRTYGFDLEIVKSSSTSSTRTSHTSSPSSTISESSNSPLAISTRKSRTPRKRPNQTYNEAAALLSTAYPNIFSTKHLTKSSKFTKPQDNSLLLDQSSDLLLPFRVFDNSGFLIHQPIQGKPSYGNESRFANFTDKSSCQSSGEVDFHGNSVELCDGLDEDFDAESILDEEFEEGIDSIMGHSSIGNEMVDEVPNGISSSFGGQMNSWYGSSMGYNFGGKSQYGHGIAMRRGVRALRHVDEGNWWDFPIVDMLQISPRLTTTVAATANANSNNGPECNSIPKPKPKPKLKLKPNSSSEKKKKKVEKPAVMEEKNVELKDENPVKENSIPQSSQGLILKLNYDHVLSEWSDRGSPFSDESMGCAEGNDVSVCFSSPAGK >Potri.006G075200.5.v4.1 pep chromosome:Pop_tri_v4:6:5540170:5544452:1 gene:Potri.006G075200.v4.1 transcript:Potri.006G075200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075200.v4.1 MSSPCISGGGRTYGFDLEIVKSSSTSSTRTSHTSSPSSTISESSNSPLAISTRKSRTPRKRPNQTYNEAAALLSTAYPNIFSTKHLTKSSKFTKPQDNSLLLDQSSDLLLPFRVFDNSGFLIHQPIQGKPSYGNESRFANFTDKSSCQSSGEVDFHGNSVELCDGLDEDFDAESILDEEFEEGIDSIMGHSSIGNEMVDEVPNGISSSFGGQMNSWYGSSMGYNFGGKSQYGHGIAMRRGVRALRHVDEGNWWDFPIVDMLQISPRLTTTVAATANANSNNGPECNSIPKPKPKPKLKLKPNSSSEKKKKKVEKPAVMEEKNVELKDENPVKENSIPQSSQGLILKLNYDHVLSEWSDRGSPFSDESMGCAEGNDVSARLAQIDLFSENGMREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFVRRPNPRSDEQEKKKKL >Potri.006G075200.7.v4.1 pep chromosome:Pop_tri_v4:6:5540417:5544583:1 gene:Potri.006G075200.v4.1 transcript:Potri.006G075200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075200.v4.1 MSSPCISGGGRTYGFDLEIVKSSSTSSTRTSHTSSPSSTISESSNSPLAISTRKSRTPRKRPNQTYNEAAALLSTAYPNIFSTKHLTKSSKFTKPQDNSLLLDQSSDLLLPFRVFDNSGFLIHQPIQGKPSYGNESRFANFTDKSSCQSSGEVDFHGNSVELCDGLDEDFDAESILDEEFEEGIDSIMGHSSIGNEMVDEVPNGISSSFGGQMNSWYGSSMGYNFGGKSQYGHGIAMRRGVRALRHVDEGNWWDFPIVDMLQISPRLTTTVAATANANSNNGPECNSIPKPKPKPKLKLKPNSSSEKKKKKVEKPAVMEEKNVELKDENPVKENSIPQSSQGLILKLNYDHVLSEWSDRGSPFSDESMGCAEGNDVSAGTD >Potri.006G075200.4.v4.1 pep chromosome:Pop_tri_v4:6:5540152:5544075:1 gene:Potri.006G075200.v4.1 transcript:Potri.006G075200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075200.v4.1 MSSPCISGGGRTYGFDLEIVKSSSTSSTRTSHTSSPSSTISESSNSPLAISTRKSRTPRKRPNQTYNEAAALLSTAYPNIFSTKHLTKSSKFTKPQDNSLLLDQSSDLLLPFRVFDNSGFLIHQPIQGKPSYGNESRFANFTDKSSCQSSGEVDFHGNSVELCDGLDEDFDAESILDEEFEEGIDSIMGHSSIGNEMVDEVPNGISSSFGGQMNSWYGSSMGYNFGGKSQYGHGIAMRRGVRALRHVDEGNWWDFPIVDMLQISPRLTTTVAATANANSNNGPECNSIPKPKPKPKLKLKPNSSSEKKKKKVEKPAVMEEKNVELKDENPVKENSIPQSSQGLILKLNYDHVLSEWSDRGSPFSDESMGCAEGNDVSARLAQIDLFSENGMREASVLRYKEKRRTRLFSKKIRYQVRKVNADQRPRMKGRFVRRPNPRSDEQEKKKKL >Potri.013G028800.2.v4.1 pep chromosome:Pop_tri_v4:13:1878261:1879030:1 gene:Potri.013G028800.v4.1 transcript:Potri.013G028800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028800.v4.1 MSSKGAAVASTRGGRGKPKASKAVSRSQKAGLQFPVGRIARFLKTGKYAERLGAGSPVYLSAVLEYLAAEVLELAGNAARDNKKNRIIPRHIQLAVRNDEELGKLLGSVTIANGGVLPNINQTLLPKKAGKGKGGDIGSASQEF >Potri.010G081700.1.v4.1 pep chromosome:Pop_tri_v4:10:10931370:10934366:-1 gene:Potri.010G081700.v4.1 transcript:Potri.010G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081700.v4.1 MERRRKQIYVVAALLMSFISRIYSLSVTVNDVECVYEYVLYEGDTVSGNFVVVDHDIFWGSDHPGIDFTATSPGDNTVHTVKGTSGDKFEFKAPRSGMYKFCFHNPYATPETVSFYIHVGHIPSEHDLAKDEHLNPVNVKIAELREALESVTAEQRYLKARDIRHRHTNESTRRRVIAYTVGEYLLLAAASTLQVVYIRRLFSKSVAYNRV >Potri.010G081700.2.v4.1 pep chromosome:Pop_tri_v4:10:10931565:10934366:-1 gene:Potri.010G081700.v4.1 transcript:Potri.010G081700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081700.v4.1 MERRRKQIYVVAALLMSFISRIYSLSVTVNDVECVYEYVLYEGDTVSGNFVVVDHDIFWGSDHPGIDFTATSPGDNTVHTVKGTSGDKFEFKAPRSGMYKFCFHNPYATPETVSFYIHVGHIPSEHDLAKDEHLNPVNVKIAELREALESVTAEQRYLKARDIRHRHTNESTRRRVIAYTVGEYLLLAAASTLQVVYIRRLFSKSVAYNRGLSPD >Potri.018G013500.1.v4.1 pep chromosome:Pop_tri_v4:18:888596:891891:1 gene:Potri.018G013500.v4.1 transcript:Potri.018G013500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013500.v4.1 MDMIAVQVVLEKLASFVAEETRFLGGVRGGIVELQDDLYSMKYFLQDAEERSESDQGLRDWVKQVRDVAYDAEDILEEFMLRFAPSHGSGFTHHLRNLYRSIRKLSARHRLAVQLQSIKARVKAISERRNAFSLNRIDMPSTSSATVEKWHDPRLASLYLDEADVVGIENPKHLLVSWLVEGEEKLSSISVVGMGGLGKTTLVKKVYDSQPIRRSFDTHCWVTVSKSFASTELLRVALQGFLVTANEPVPDNLQSMTNLQLIDALRDYLQRRRYVIVLDDVWTVNAWETIKYAFPDCNCGSRIIFTTRLSNLAESIENSSHVYDLQALRENEAWTLFCMKAFRGEHKAVCPPELEKMSRNILKKCEGLPLAIVAIGGLLSKKKNEGLEWKKVHDCLATELKSNNDLGSLRRILQLSYDDLPYYLKQCYLYLSVFPEDYLIKRMKLIRLWIVERFVEEKQGFTMEEVAEEYLNELVNRSLIQVVEMNYFNRVKTCRVHDLMREIIQMKSREESFVMIANGARIGQNEKVRRLSIHENSEEVHSDMRFPYLWSLLSFSSHHSFEHGFRNYKLLRVLNLDRAPLSSFLPELVDLIHLRYLSLRWTMISELPESIRKLKYLEILDLKTSFVSSLPAGITQLTCLCQLRNYRHSFQPSSFFPDTHGMRVPSGIGRLTSLQKLGSVEVNEDYELVRELGKLTSLRRLGILKLREEQGMDLCYTLDRLKHLTALYLVSLNKTEFLQFDSLSSPPKYLQRLYLKCSLPALPGWIASLQYISKLVLQYSNLKSDPLKALQKLPSLVLLELRQAYAGEELCCDPSGFPKLKKLGLHELERLRRIRIAKGSMPGLERLDITACTVLETVPDGIENLNNIEDLVLWHMPSTFIKTIKRYSEDFWRVQHVTTITRIYESRGRWVSETLL >Potri.013G107700.1.v4.1 pep chromosome:Pop_tri_v4:13:11655378:11659524:1 gene:Potri.013G107700.v4.1 transcript:Potri.013G107700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107700.v4.1 MTILVVEVHDACDLMPKDGHGSASPYVEVDFDEQKQRTQTKPQELNPIWNEKLVFSVRNPRDLPNKTIEVVVYNDRKGGHNKNFLGCVRISGISVPLLSDSEAIDPQRYPLDKRGPFSHVKGDVALKIYAAHDGSHPPPPPPPTNAGNIETEATPVFQEIKTTMLQEDVIDDHEKKKKKKKNKDKEVRTFHTIGTATAAPAAAPAPPVSTGFVFQPQVMKEKAPTVETRTDFARAGPPTAMNMQMPRQNPEFLLVETSPPVAARMRYRGWDKMASTYDLVEQMHYLYVSVVKARDLPVMDVSGSLDPYVEVKLGNYKGKTKYLEKNQSPVWTQIFAFAKDRLQSNLLEVTVKDKDFGKDDFVGRVFFDLSEVPLRVPPDSPLAPQWYILEDKKGVKTRGEIMLAVWMGTQADESFPEAWHSDAHDISHTNLSNTRSKVYFSPKLYYLRVHVIEAQDLVPSDRGRMPDVYVKVQLGNQLRVTKPSEMRTINPIWNDELIFVASEPFEDFIIVSVEDRIGQGKVEILGRVILSVRDVPTRLETHKLPDPRWLNLLRPSFIEEGDKKKDKFSSKILLCLCLDAGYHVLDESTHFSSDLQPSSKHLRKQNIGILELGILSARNLLPLKGKDGRTTDAYCVSKYGNKWVRTRTILDTLNPRWNEQYTWDVYDPCTVITIGVFDNCHINGSKEDARDQRIGKVRIRLSTLETNRIYTHYYPLLVLTHSGLKKHGELHLALRFTCTAWVNMLAHYGKPLLPKMHYYHPISVRHIDWLRHQAMQIVAARLARSEPPLRREAVEYMLDVDYHMWSLRRSKANVHRMMSMLSGVTAVCKWFNDICYWRNPITTCLVHVLFFILVCYPELILPTIFLYLFVIGLWNYRFRPRHPPHMDTRLSQADNAHPDELDEEFDTFPASRPSDIVRMRYDRMRSVAGRVQTVVGDLASQGERAQALLSWRDPRATAIFILFSLIGAVLIYVTLFQVVAVLVGLYVLRHPRFRSRMPSVPVNFFKRLPSRADMLL >Potri.015G138500.13.v4.1 pep chromosome:Pop_tri_v4:15:14633548:14641139:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G138500.10.v4.1 pep chromosome:Pop_tri_v4:15:14633796:14641160:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G138500.14.v4.1 pep chromosome:Pop_tri_v4:15:14633621:14641123:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G138500.6.v4.1 pep chromosome:Pop_tri_v4:15:14633544:14641123:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G138500.12.v4.1 pep chromosome:Pop_tri_v4:15:14633792:14641147:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G138500.15.v4.1 pep chromosome:Pop_tri_v4:15:14633796:14641094:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G138500.8.v4.1 pep chromosome:Pop_tri_v4:15:14633587:14641157:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G138500.11.v4.1 pep chromosome:Pop_tri_v4:15:14633793:14641148:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G138500.9.v4.1 pep chromosome:Pop_tri_v4:15:14633572:14641160:1 gene:Potri.015G138500.v4.1 transcript:Potri.015G138500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138500.v4.1 MAPSRVAGGLAQSSSSSGIFFQGDGQSKGLVNSRLSSSFGNSSNSIPGTGRPILGPVSGDMNNVVLNSVANSGPSVGASSLVTDANSALSGGPHLQRSASINTESYMRLPASPMSFSSNNISISGSSVVDGSSVVQQGNHQDRNVQQVLQNQQQQHGASSATSLPTSQIGGMSLPLGPRGQGSYLQDPNNLSQVQKKPRLDVKQEDILPQQVLQQLLQRQDSMQLQSRIPQLQNMFHQQRLRQQQQILQSMPPLQRAQLQQQQQQQQQMQLRQQMQQQAMQPASSLKRPFDGGICARRLMQYLYHQRQRLAENTIAYWRKFVAEYYSPRAKKRWCLSLYDNVGHHALGVFPQASMEVWQCDICGSKSGRGFEATFEVLPRLNEIKFGSGVIDELLFLDMPREIRLPSGIMMLEYAKAVQESVYEQLRVVREGQLRVIFTQDLKILSWEFCVRRHEELLPRRVVAPQVNQLLQVAQKCQSTIAESGSDGVSQQDLQTNSNMVLTASRQLAKSLELQSLNDLGFSKRYVRCLQISEVVNSMKDLIDFCREQKVGPIEGLKSYPRHATAAKLQIQKMQEMEQLASVQGLPTDRNTLNKLMALHPGINSHVNTNHQMVGRGTLSGPAQAALALTNFQNLLRRQNSMNSNSSSQQEAASPFNNSNQSPSSNFQGTANFIPGSMQNLPVSGFSSPHLPPQQPQQMQQRSLSSNSLLQQSIPQSSQGNQALQPHMIQQLLQEMSNNSGGGVQQHSLSGQSGNGGMTRSGLGFGSNTLATPPTASTVSVGAGGLAPSRSNSFKAAANSDSSAAGGNSGFNQKVLDLPPNLHLQDDLVSDIAHEFTENGFFNSDLDDNMGYGWKA >Potri.015G120900.2.v4.1 pep chromosome:Pop_tri_v4:15:13487626:13490362:-1 gene:Potri.015G120900.v4.1 transcript:Potri.015G120900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G120900.v4.1 MFSDQSLVMEYQYLLGGVIASVFVWGLLLAFHAKGRKKVRVSMDIPRDSTLKSSENGMSWARNPESTDIIIVGAGVAGSALAYTLGKDGRRVHVIERDLTEPDRIVGELLQPGGYLKLIELGLEDCVSDIDAQRVLGYAIFKDGKSTKLSYPLENFPSDVAGRSFHHGRFIQKMREKAATLSNVKLEQGTVTSLLKENGTIKGVQYKTRVGQELTAYAPLTIVCDGCFSNLRQSLCNPKVEIPSCFVGLVLENCNLPYANHGHVVLADPSPILFYPIRDTEIRCLVDVPGQKVPSVSNGEMSHYLKNTIAPQIPPELHDAFISAIDKGGMRTMTNRSMPASPYPTPGALLMGDAFNMRHPLTGGGMTVALSDIVLVRDLLRPLNNLNDASSLCNYLESFYTLRKPVASTINTLAGALYKVFSASPDPARNEMRQACFEYLSLGGVFSKGPIALLSGLDPRPLSLVLHFFAVAIYGVSRLMLPLPSPKRLWTSARLISGASGIIFPIIKGEGVRQMFFPVMVPAYHRSPPMA >Potri.006G045601.1.v4.1 pep chromosome:Pop_tri_v4:6:3094896:3095411:1 gene:Potri.006G045601.v4.1 transcript:Potri.006G045601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045601.v4.1 MDLWMPSENSIPMLLGIPTGVIDMVVGKLTKFETGWRLDYFLVSESIADNVHDSYIVPAVTGGDHCPLRPVLEL >Potri.016G089500.1.v4.1 pep chromosome:Pop_tri_v4:16:7227109:7228739:1 gene:Potri.016G089500.v4.1 transcript:Potri.016G089500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089500.v4.1 MGKDIEVGGEFSAKDYHDPPPAPLIDAEEITQWSFYRAIIAEFVATLLFLYITVLTVIGYKSQTDVNKNGDECGGVGILGIAWAFGGMIFILVYCTAGISGGHINPAVTFGLFLARKVSLVRAILYMVAQCLGAICGCGLVKAFQKSYYTNYGGGANGLANGYSKGTGLGAEIIGTFVLVYTVFSATDPKRNARDSHVPVLAPLPIGFAVFMVHLATIPITGTGINPARSFGAAVIFNKEKAWDDHWIFWVGPFIGAAIAALYHQFILRAAAVKSLGSFRSSPNI >Potri.015G104200.4.v4.1 pep chromosome:Pop_tri_v4:15:12306305:12309086:-1 gene:Potri.015G104200.v4.1 transcript:Potri.015G104200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104200.v4.1 MAGFSYQHQPLFPDSAFLPSIATPTKNMNNNMYGSFEEAGNMMNTNGFSQIYSPETFHETPSLDVRFHQSSHPDDHSYKVSLSDNETSLTKKQSTNSSTVVDKLEIGEHVTQEVTPMARKRKSANGFLNSAQSKFLLQDARKVKSKRQNKCSGDMKHEEKKPKVEKKVHGEPPAGYIHVRARRGQATDSHSLAERVRRERISERMKILQLLVPGCDKITGKALMLDEIINYVQSLQNQVEFLSMKLASVNPLLYDFGMDRDAFMVRPERLSSMSPPLPSLQHNSPIQPTAFADTASATTATFATEENNYPLIDNSATLFLQGMRPSDFTTHQDSGYLMWDVDEQRQKFLNPSGLANNLCSFH >Potri.015G104200.1.v4.1 pep chromosome:Pop_tri_v4:15:12306305:12309117:-1 gene:Potri.015G104200.v4.1 transcript:Potri.015G104200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104200.v4.1 MAGFSYQHQPLFPDSAFLPSIATPTKNMNNNMYGSFEEAGNMMNTNGFSQIYSPETFHETPSLDVRFHQSSHPDDHSYKVSLSDNETSLTKKQSTNSSTVVDKLEIGEHVTQEVTPMARKRKSANGFLNSAQSKDARKVKSKRQNKCSGDMKHEEKKPKVEKKVHGEPPAGYIHVRARRGQATDSHSLAERVRRERISERMKILQLLVPGCDKITGKALMLDEIINYVQSLQNQVEFLSMKLASVNPLLYDFGMDRDAFMVRPERLSSMSPPLPSLQHNSPIQPTAFADTASATTATFATEENNYPLIDNSATLFLQGMRPSDFTTHQDSGYLMWDVDEQRQKFLNPSGLANNLCSFH >Potri.012G129400.1.v4.1 pep chromosome:Pop_tri_v4:12:14475555:14476810:1 gene:Potri.012G129400.v4.1 transcript:Potri.012G129400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129400.v4.1 MFESTVELIVQAASNSLVIFCFCNLIIVMILMGSKPVSNFGQESEIPQSMVTKTHTKVKEDVLAMPSLDENKKPSLDEDKMSTDDGRVSITLEEPTGDDDEEENGNDNEEDEDEDELRRRVEEFINKVNHGWRAELSRHHV >Potri.016G118000.1.v4.1 pep chromosome:Pop_tri_v4:16:12343153:12347405:1 gene:Potri.016G118000.v4.1 transcript:Potri.016G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118000.v4.1 MAIPKNQDEVEQNARSSYFNLPPLDVSVAFPQATPASTFPPSVSDYFQISDLLTAEDQAIRMRVRQCMEKEIAPIMAEYWEKAKFPFHVIPKLGALGIAGGTIKGYGCPGLSITTSAVAIAEVARVDASCSTFILVHSSLAMLTIALCGSEEQKQKYLPSLAKFSTVACWALTEPDYGSDASSLQTTATKVEGGWILEGQKRWIGNSTFADLLVIFARNTTTDQINGYIVKKDAPGLTVTKIENKIGLRIVQNGDIVMKRVFVPDEDRLPGVNSFQDTNKVLAVSRVMVAWQPIGISMGVYDMCHRYLKERKQFGAPLAAFQINQQKLVHMLGNVQAMVLVGWRLCKLYEKGTMTPGHASLAKSWISLKARETAAIGRELLGGNGILSDFLVAKAMGDLEPIYTYEGTYDINSLVTGREITGLASFKPAMLSKRSRL >Potri.016G118000.4.v4.1 pep chromosome:Pop_tri_v4:16:12343256:12347747:1 gene:Potri.016G118000.v4.1 transcript:Potri.016G118000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G118000.v4.1 MAIPKNQDEVEQNARSSYFNLPPLDVSVAFPQATPASTFPPSVSDYFQISDLLTAEDQAIRMRVRQCMEKEIAPIMAEYWEKAKFPFHVIPKLGALGIAGGTIKGYGCPGLSITTSAVAIAEVARVDASCSTFILVHSSLAMLTIALCGSEEQKQKYLPSLAKFSTVACWALTEPDYGSDASSLQTTATKVEGGWILEGQKRWIGNSTFADLLVIFARNTTTDQINGYIVKKDAPGLTVTKIENKIGLRIVQNGDIVMKRVFVPDEDRLPGVNSFQDTNKVQQ >Potri.007G046400.1.v4.1 pep chromosome:Pop_tri_v4:7:4244220:4248948:1 gene:Potri.007G046400.v4.1 transcript:Potri.007G046400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046400.v4.1 MDASILLHPSSLFVTRLRASTTASAVSLAHQMPCLLFSPPGTKLVSLSSVSCPRSSSSFVAAASSGTLMANSPKSGVYTVGDFMTRKEDLHVVKPTTTVDEALEALVEHRITGFPVIDDDWKLVGLVSDYDLLALDSISGGGRTETNMFPEVESTWKTFNEVQRLLSKTNGKVVGDLMTPAPVVVRETTNLEDAARLLLETKYRRLPVVDADGKLVGIITRGNVVRAALHIKHDIERKA >Potri.012G098000.2.v4.1 pep chromosome:Pop_tri_v4:12:12189145:12192899:1 gene:Potri.012G098000.v4.1 transcript:Potri.012G098000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098000.v4.1 MNQKMKINNEKKKNMEFEFCKACNLNHDQGQNHKYFPNHKKSLSNFLSRFQTKLADIRFFLKNPTILRPELASRNRIWCIFCDFDIHETGSSFACAKAISHLASEEHLKKLKHFMWKYGGGMDRVDTFRISEADAAKWEKKCEALRNDASSYSDGSRGMQVRPSNDIRDELSHENINSFENNSLDNVNLNISNGVMPLQYYTNEYQISNSGFSAARNAGPSMYGAVSTLPVGARSATSLCNSNDTTGNWNSQHSVPYNSINCASNPVNGEVSLVLYTLSL >Potri.012G098000.1.v4.1 pep chromosome:Pop_tri_v4:12:12189147:12192844:1 gene:Potri.012G098000.v4.1 transcript:Potri.012G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G098000.v4.1 MNQKMKINNEKKKNMEFEFCKACNLNHDQGQNHKYFPNHKKSLSNFLSRFQTKLADIRFFLKNPTILRPELASRNRIWCIFCDFDIHETGSSFACAKAISHLASEEHLKKLKHFMWKYGGGMDRVDTFRISEADAAKWEKKCEALRNDASSYSDGSRGMQVRPSNDIRDELSHENINSFENNSLDNVNLNISNGVMPLQYYTNEYQISNSGFSAARNAGPSMYGAVSTLPVGARSATSLCNSNDTTGNWNSQHSVPYNSINCASNPVNGELCQVYQDERIGHGVSSLQDSQNIPQVPAIAPQLAGGSQVPALTPQMAGGNVHTGAPPPWFEGTDTKQLNFQLTLSNKSMSSSNKSGKSYKLNPKRVGAAWAERRKIEMEMEKRGEAVKSDYNANWLPNFGRVWQSGSRKESRKEFEKEKKKLSSVKIDTEMPIMIQPYISKRMRKDAGK >Potri.004G059900.1.v4.1 pep chromosome:Pop_tri_v4:4:4996431:4997358:-1 gene:Potri.004G059900.v4.1 transcript:Potri.004G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G059900.v4.1 MDATEVIEFFDSCWFEMEIFKKQPSLAKSSSVETNPHQENQEKAPKPEISRVPTIITRSMSEDLCSKTSLSSGFSLSPDSVLRAPKLHAILSGKEVKEEEYSTPTERVYILESPKKKVSRGRKGKKVTSKSLSELEYEELRGFMDLGFVFSEEDKDSNLASIIPGLHRLGKKDEEEAILDEPTVCRPYLSEAWEVLEKKRKEEPLMNWRIPALGNEIDMKDNLRWWAHTVASTVR >Potri.005G058300.1.v4.1 pep chromosome:Pop_tri_v4:5:3677436:3693798:1 gene:Potri.005G058300.v4.1 transcript:Potri.005G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G058300.v4.1 MSNLEPSGSQMLSRRPSRSAATTTFSLEVFDNEVVPSSLGSIAPVLRIAAEIEHERPRVAYLCRFYAFEKAHRLDPNSSGRGVRQFKTSLLQRLERDNNSSLASRVKKTDAREIESFYQQYYEHYVRALDQGEQADRAQLGKAYQTAGVLFEVLCAVNKTEKVEEVAPEIIAAARDVQEKKEIYAPFNILPLDSAGASQSIMQLEEVKAAVAALWNTRGLNWPTAFDPQRQKAGDLDILDWLRAMFGFQRDNVRNQREHLILLLANKHIRLNPKPEPISKLDDRAVDEVMNKLFKNYKTWCKFLGRKHSLRLPQGQPEIQQRKILYMGLFLLIWGEAANVRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPIYHVIEKEANKSKNGKASHSQWCNYDDLNEYFWSSDCFSLGWPMRDDGSFFTSTRDVGKKASSEKPRSTGKAYFVETRTFWHIFRSFDRLWTFYILALQAMIIIAWSGVSILNIVQKDVLYQLSSIFITAACLRLLQSILDLVLNFPGFHKWKFTDVLRNVLKIIVSLAWAIILPLCYVHSFKVAPDKIKDLLSFFKEVKDIPALYLLAVAVYMLPNILAAALFIFPMLRRWIENSDWLIIRFLLWWSQPRIYVGRGMHESQFVLIKYTVFWLLLLCSKIAFSYFVQIKPLVKPTKAIMNIRNVDYEWHEFFPNAKNNYGAVLSLWLPVILVYFMDTQIWYSIFSTIYGGFAGAFDRLGEIRTLGMLRSRFQSLPGAFNTYLVPSDKKRKKGFSFSKRFSEVTASKRSEAAKFAQLWNEVICSFREEDLISDREMDLLLVPYTSDPSLKLIQWPPIMLASKIPIALDMAVQFRSRDADLWKRICADEYMKCAVIECYESFKHVLNILVVGEIEKRILSIIFKEVESNISKNTLLTNFRMGPLPALCNKFVELVILLKDADPSKQNTVVLILQDMLEVFTNDMMVNENRELVDLGQSGKDSGRQVFSGTDTKPAIMFPPVVTAQWEEQIRRIHLLLTVNEFANDVPTNLEARRRISFFTNSLFMDMPRPPRVRKMLSFSVLTPYYSEETVYSKSDLEMENEDGVSIIYYLQKIYPDEWNNFMERINCKKESEVWENEENILQLRHWGSLRGQTLCRTVRGMMYYRRALRLQAFLDMAKESEILEGYKAITDPTEEDKKSQRSVSAQIEAVADMKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREREGGKVQKVYYSVLVKAVDNLDQEIYRIRLPGTAKLGEGKPENQNHAIIFTRGEALQAIDMNQDNYLEEALKMRNLLEEFNEDHGVLPPTILGVREHIFTGSVSSLAWFMSNQETSFVTIGQRVLARPLKVRFHYGHPDVFDRIFHVTRGGISKASHGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDIYRLGHRFDFFRMLSCYYTTIGFYVSSMIVVLTVYAFLYCKLYLSLSGLEESIIKYARARGNDPLKAAMASQSLVQIGFLMALPMVMEMGLERGFRTALGDIIIMQLQLASVFFTFSLGTKVHYFGRTILHGGAKYRATGRGFVVRHQKFAENYRMYSRSHFVKGLELLILLICYKIYGKAASGVGFALVTASMWFLVTSFLFAPFLFNPSGFEWQKIVDDWDDWSKWISSQGGIGVPANKSWESWWDEEQEHLQHTGFLGRFWEIFLSLRFFIYQYGIVYQLKAVKESTPGRSRSAIVYGLSWLVIVAMMIILKIVSMGRKKFSADFQLMFRLLKLFLFIGSVITLVILFTTLHLTVGDIFQSLLAFLPTGLAILQIAQACRPVVKGLKMWGSVKALARGYEYMMALVIFAPVAVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKNK >Potri.001G197200.1.v4.1 pep chromosome:Pop_tri_v4:1:19319235:19323965:1 gene:Potri.001G197200.v4.1 transcript:Potri.001G197200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197200.v4.1 MDSCPVVKNILLLDSEGKRVAVKYYSDDWPTNNAKLAFEKLLFAKTMKSNARTEAEITMFDSNIVIYKCVQDLHFYVTGGEDENELILAAVLQGFFDSVSLLLRSNVDKREALENLDLIFLCLDEIVERGMILETDANVIAGKVAVNSMDPSAPLSEQTIGQALATAREHLTRTLFQ >Potri.014G104600.3.v4.1 pep chromosome:Pop_tri_v4:14:6998083:7000836:-1 gene:Potri.014G104600.v4.1 transcript:Potri.014G104600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104600.v4.1 MGKKGGSSWLTVVKRAFRSPNKENDKRTAGTTGHDQEEDEEKKREKRRWLFRKPTNQETVTQQILSKAGNVKASTGGGGGAPTDHVSAAAAAEQRHAIAVAVATAAAAEAAVATAQAAVEVARLTRPSYHPRERSAAIVIQTAFRGYLARRALRALKGLVKLQALVRGHNVRKQAKMTLRCMQALVRVQARVLDQRMRLSHEGSRESAFSDTNSVFESRYLQEISERKSMSRDGSSIADDWDDRPRTIEEVKAMLQRRKDVAFKREKALSQAFSQQIWRNRRSPSMGNEGELQERSQWLDHWMPAKPWDNSSRARASTDQRDPIKTVEIETSQPCSYLAPNFGRTNQNQYHEHQRSNSINNGVTRSAPPPLHRAHQDASLRHSPITPSPSRTRPLQVRSASPRCAREDRSCNSSRTPSLRSNYLYNGNLKQHGIRGGAASVSGNANATLPNYMATTESAKARLRSQSAPRQRPSTPERDRVGSARKRLLYPVPDPYGVGMGYGGVGYGHGFRSPSFKSVSGSHFGGLEQQSNYSSCCTDTFGAEISPSSTSDQRRWLR >Potri.011G039100.1.v4.1 pep chromosome:Pop_tri_v4:11:3023828:3027349:-1 gene:Potri.011G039100.v4.1 transcript:Potri.011G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039100.v4.1 MDHGRFTSTIAFLLILYCFCWEFGASVDTITSSQYIKDPEDIVSAGNKFKLGFFSPVNSTNRYAAIWYSNISITTPVWVANRNMPLNDSSGIMTISEDGNLVVLNGQKEILWSSNVSTGMNDSRAQLMDDGNLVLGGSENGNSLWQSFQEPSDTYMPKMRLTANSRTGKKTLLKSWTSVSDPSIGSISGGIDPSRIPQFYIWNGSRPIWRTGPWNGQVFIGIPEMVSVYLDGFNIADEGNGTFTLSVGFANESLISNYILSSEGKFGKVLWDDTEGSWRYEWKFPKDECDVYGKCGSFGSCNPKDSPICSCLKGFEPKNADEWNNGNWTNGCVRRRELQCERTQNGGQVGKEDGFLKLERMKVPDFSEWLSSTSEHTCKNECLNINCSCIAYSYYPGFGCMLWRGNLTDLKKFPIKAADLYIRLADSELDNKKINLKVIISLTVVVGAIAIAICVFYSWRRIDRKRKSKKVFLSKRKVGYPILSDENMIQDNLNHVKLQELPLFSLQTLIAATDNFNTANKLGQGGFGPVYKGNLSDGQEIAVKRLSRSSGQGLEEFMNEVVVISKLQHRNLVRILGCCVEGEEKMLIYEYMPNKSLDAFLFDSLRKQLLDWKNRFKIVEGICRGLLYLHRDSRLRIIHRDLKASNILLDQELNPKISDFGMARIFGNHEDQANTRRVVGTYGYMSPEYAMEGRFSEKSDVFSFGVLLLETISGRKNTSFYGNEEDLSLLGYAWKLWNEGNIAALVDPGISYPSFHEEIFRCVHVGLLCVQEFAKDRPAIFTVISMLNSEIADLPTPKQPAFSERRSELDTKSLQHDQRPESINNVTVTLLSGR >Potri.006G178600.2.v4.1 pep chromosome:Pop_tri_v4:6:18492294:18495195:1 gene:Potri.006G178600.v4.1 transcript:Potri.006G178600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178600.v4.1 MGSGSRNLLCKSSSSALLFLAPSFTSAQLRFNSFTPSKLRRMATQPSSSPSSLTTNDTASPSPSPSPSSTIDFLSLCHRLKTTKRAGWVKRGIKGPESISDHMYRMGLMALIAPDIPGIDRDKCIKMAIVHDIAEAIVGDITPSDGVPKAEKSRKEREALEHMCKLLGAESRAKEMSELWNEYEENSTPEAKIVKDFDKVEMILQALEYENEQGKDLEEFFQSTAGKFQTEVGKAWALEIASRRRKER >Potri.006G178600.5.v4.1 pep chromosome:Pop_tri_v4:6:18492290:18495452:1 gene:Potri.006G178600.v4.1 transcript:Potri.006G178600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178600.v4.1 MGSGSRNLLCKSSSSALLFLAPSFTSAQLRFNSFTPSKLRRMATQPSSSPSSLTTNDTASPSPSPSPSSTIDFLSLCHRLKTTKRAGWVKRGIKGPESISDHMYRMGLMALIAPDIPGIDRDKCIKMAIVHDIAEAIVGDITPSDGVPKAEKSRKEREALEHMCKLLGAESRAKEMSELWNEYEENSTPEAKIVKDFDKVEMILQALEYENEQGKDLEEFFQSTAGKFQTEVGKAWALEIASRRRKER >Potri.015G064800.1.v4.1 pep chromosome:Pop_tri_v4:15:9040282:9041668:1 gene:Potri.015G064800.v4.1 transcript:Potri.015G064800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064800.v4.1 MANNGGMRSGGIADRTRSRSHVVEECVPSSAWTEDSNGHYLLVDLPDFKKEEVKLQVDNSGQIVVSGERFVNNNSKVIYFEQKFKLPENSDTDKITGKFDGEILYVTVPKQEETSVEPEYQNTATATATATATGDENHRRLEEKGSMDSYRLFSRKYWRQEDEATPLEKAMEMIKKNKGILLTAVIAFSLGIIVTRHKLESGGE >Potri.010G183799.1.v4.1 pep chromosome:Pop_tri_v4:10:18141406:18142665:1 gene:Potri.010G183799.v4.1 transcript:Potri.010G183799.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G183799.v4.1 MANRKKHGTQIREVKLGVANRIEHQDQLAIVPVPTEDDLVTFEQYDSPLKTPDNFPQECIEFPIRSYSKKGYSVQRKNDFDEDMMFGSGWGGKSSRKKVQRARYQSTHLKRDDSCKPKTYKQTALSAGAYDKLISFYMKNFDSTIKSKEVTRIIDQWEEFKAKHSSDQKETMEPSLVEDDGESSETEMLWREMELCLTSAYIFEDNESRVSTQTTQNSSECCQHEFKLDEEIGILCHKCSFVKTEKKYVSAPFMERTSQTAESKPRDEEDSELKPDEDEGLNLFSNHTSGEACLLK >Potri.004G155300.1.v4.1 pep chromosome:Pop_tri_v4:4:17588908:17589912:1 gene:Potri.004G155300.v4.1 transcript:Potri.004G155300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155300.v4.1 MAAEVEYRCFVGGLAWATTDQSLQEAFSQYGEIIDSKIINDRETGRSRGFGFVTFNNEKAMRDAIDGMNGQDLDGRNITVNEAQSRGSGGGGGGGGYSRGGGGGYGGRREGGGGGYSRGGGGYGGGGGGYGGGGGGYGGGRDRGYGDGGSRYSSRGGSDGGSWRD >Potri.004G155300.3.v4.1 pep chromosome:Pop_tri_v4:4:17588908:17589872:1 gene:Potri.004G155300.v4.1 transcript:Potri.004G155300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G155300.v4.1 MRDAIDGMNGQDLDGRNITVNEAQSRGSGGGGGGGGYSRGGGGGYGGRREGGGGGYSRGGGGYGGGGGGYGGGGGGYGGGRDRGYGDGGSRYSSRGGSDGGSWRD >Potri.017G120000.2.v4.1 pep chromosome:Pop_tri_v4:17:12605346:12608352:1 gene:Potri.017G120000.v4.1 transcript:Potri.017G120000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G120000.v4.1 MDLNQSIELDLASADPSPQEIENALPMNSSMLPYHQTTKELQHKQNLDEFWNQQLLEIYNTTASKSNNMLPLARIKRVMKSDGDVKMISAETPILFSKACELFILELTLRSWLQTTSCKRRTLQRCDISRVIRQEDMLNFLNRVVPCDQKKEDEVTKCTEEMESLPNMQMPAFPFLDLNGEVMMDENSHEDPQELMIKPPMPSSDFTSGSASKWAN >Potri.014G053300.1.v4.1 pep chromosome:Pop_tri_v4:14:3422697:3424913:1 gene:Potri.014G053300.v4.1 transcript:Potri.014G053300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053300.v4.1 MAEQTEKAFLKQPKVFLSSKKTGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGTVSIRGRILSGTCHSAKMNRTIIVRRNYLHWVKKYQRYEKRHSNIPAHISPCFRVREGDYVIIGQCRPLSKTVRFNVLKVIPAGSSGGAKKVFTGM >Potri.014G053300.2.v4.1 pep chromosome:Pop_tri_v4:14:3422744:3425004:1 gene:Potri.014G053300.v4.1 transcript:Potri.014G053300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G053300.v4.1 MAEQTEKAFLKQPKVFLSSKKTGKGKRPGKGGNRFWKSIGLGFKTPRDAIEGTYIDKKCPFTGTVSIRGRILSGTCHSAKMNRTIIVRRNYLHWVKKYQRYEKRHSNIPAHISPCFRVREGDYVIIGQCRPLSKTVRFNVLKVIPAGSSGGAKKVFTGM >Potri.002G167500.2.v4.1 pep chromosome:Pop_tri_v4:2:12824459:12825927:1 gene:Potri.002G167500.v4.1 transcript:Potri.002G167500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167500.v4.1 MELGGNLQTLVERAWVLHDRLNEEIEKINSSFCRFCSEHGRYCNIVETPFQEKEGLIAIKDSLKEVGNVLMLLQRLRSWQPIDRQESLTRLEESRLTLMEKIAQYQGRPLGVVEELNACFSNGETAFHRKLSEIKKIKGDSNIRNEKRRTNPGFCWIRMLFNPWKWKRAAGVTAKLILISASVSSTARFCQGGLFSCSSRRKVLSLLKPIDSRTEENSTALSLSNSPLDVFYGRG >Potri.006G125600.1.v4.1 pep chromosome:Pop_tri_v4:6:10064735:10065346:-1 gene:Potri.006G125600.v4.1 transcript:Potri.006G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125600.v4.1 MAGKEKSETQLHGCEPCRSFGQKCSHLVKKQRGKFYIVRRCIAMLICWHERERGEP >Potri.014G147200.1.v4.1 pep chromosome:Pop_tri_v4:14:10098115:10099022:-1 gene:Potri.014G147200.v4.1 transcript:Potri.014G147200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147200.v4.1 MGKVHGSLARAGKVRGQTPKVAKQDKKKKPRGRAHKRMQYNRRFVTAVVGFGKKRGPNSSEK >Potri.016G027900.1.v4.1 pep chromosome:Pop_tri_v4:16:1549962:1550984:-1 gene:Potri.016G027900.v4.1 transcript:Potri.016G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G027900.v4.1 MQNGLPRPPPGFKFVPTEEELINYYLYNKIHGRFHGEDATLIKDYDLYGEEEPWEIFNKFQGHKFGDNGLYFLTTLHKKTTNGIKNMNRSVGTHGGTWHGDGGKEVKSSEGVVIGTKKRFRYHKHGKPVKDGWILLEYGSESISENIVISQLKKSERGSSNDKEPTSRKRKHINAEVFEVAEDDDILKIMQSIVINSIPIRSPALEPQQIIQNQDTWLEAATVSMELGASVTVDSGPDLSLAQEPQQITANQEMWLEAASVAWENDGGFSTAYSFDDEISFVNGEPVGLQFEPGLGNFIQEPMINEHQQMALACFSGNHEEKPISVEDYELMGLSEATFQ >Potri.007G045000.1.v4.1 pep chromosome:Pop_tri_v4:7:3946672:3948296:-1 gene:Potri.007G045000.v4.1 transcript:Potri.007G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045000.v4.1 MSAQLSKTDSEVSSLTPSSPARSSPPRRPVYYVQSPSRDSHDGEKTTNSFHSTPVLSPMGSPPHSHSNSSLGPHSRESSSTRYSASLKPHKQHKTDGSGPKGRKPWKEFDAIEEEGLLDGDNGPHGMSRRCYFLAFIVGFWILFSLFSLILWGASRPQKPTITMKNIIFDQFIVHAGMDFSGVATEMVSMNCTVKLTFRNTATFFGVHVTSTPLDLSYSELTVATGTISKFYQSRKSQRTLTVMVKGSKIPLYGGGASLSSLNGAPTQPVPLILNFMVRSRAYVLGKLVKPKFYKRIECSVVMDPKKMNVPISLKNKCTYQ >Potri.009G099800.2.v4.1 pep chromosome:Pop_tri_v4:9:8872711:8874507:-1 gene:Potri.009G099800.v4.1 transcript:Potri.009G099800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CCOAOMT1 MATNGEEQQSQAGRHQEVGHKSLLQSDALYQYILETSVYPREPECMKELREVTAKHPWNIMTTSADEGQFLNMLLKLVNAKNTMEIGVYTGYSLLATALAIPEDGKILAMDINRENYELGLPVIQKAGVAHKIDFKEGPALPVLDQMIEDGKCHGSFDFIFVDADKDNYINYHKRLIELVKVGGLIGYDNTLWNGSVVAPPDAPMRKYVRYYRDFVLELNKALAADPRIEICMLPVGDGITLCRRIQ >Potri.001G291300.1.v4.1 pep chromosome:Pop_tri_v4:1:30333934:30337626:-1 gene:Potri.001G291300.v4.1 transcript:Potri.001G291300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291300.v4.1 MMLFLVFTLLLLSDAAASKSIIKSLPGFDGNLPFVLETGYIGVGELEAVQLFYYFIESERSPKDDPLVLWLTGGPGCSALSGLIYEIGPLSFDYAKSSGGGKPVFALNPYSWTKIANIIFVDAPVGTGFSYSTTGEGYHVSDTISAAETYEFLRKWLVDHPKFLTNPLYVAGDSFSGIVAPIIVQEISDGNEVGRQPTINLKGYVLGNPVTDHEIDTNSIVPFAHLKALISDKLYESFMKNCKGEYLNPDQSNASCMEDILAIKECIGNVFTGQILEPACKEISPKPVALKWDPRFLIADDADILLSRPRVPGPWCRSYNYVYIYMWANDETVRDALHIRKGTIKDWRRCNKTLAYSYNVESTVDYHRNLTKKPYRALIYSGDHDMTIPYIGTHEWIESLNLTIKYDWEPWFVDGQVAGYAMLYADNVQDYITYDLTFATVKGGGHTAPEYRPEQCFAMMDRWFDYYPL >Potri.003G080500.1.v4.1 pep chromosome:Pop_tri_v4:3:10777282:10784443:-1 gene:Potri.003G080500.v4.1 transcript:Potri.003G080500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080500.v4.1 MDNTANALEAISKETVDLENVPIEEVFEKLKCTKEGLSDDDVQKRLGVFGYNKLEEKKESKILKFLGFMWNPLSWVMEAAAIMAIALAHGGGKGTDYHDFIGILTLLIINSTISFIEENNAGNAAAALMARLAPKAKVLRDGKWREEEAAELVPGDIVSIKLGDIIPADARLLEGDPLKIDQSALTGESLPVTKNPGDGVYSGSTCKQGEIEAVVIATGVHTFFGKAAHLVENTTHVGHFQKVLTAIGNFCICSIAIGMLIEIIVMYGIQGRAYRVGIDNLLVLLIGGIPIAMPTVLSVTMAIGSHRLSQQGAITKRMTAIEEMAGMDVLCSDKTGTLTLNKLTVDKSMIEVFSKEVDKDMVVLMAARASRLENQDAIDAAIVSMLADPKEARAGITEVHFLPFNPTDKRTALTYLDSAGKMHRVSKGAPEQILNLAWNKSDIERRVHSIIDKFAERGLRSLGVARQEVPAGNKDSPCGPWEFVGLLPLFDPPRHDSAETIRRALDLGVSVKMITGDQLAIGKETGRRLGMGTNMYPSSSLLGENKDGVGALPIDELIENADGFAGVFPEHKYEIVKRLQAKKHIVGMTGDGVNDAPALKIADIGIAVADATDAARSASDIVLTEPGLSVIISAVLTSRAIFQRMKNYTIYAVSITIRIVMGFMLLAVFWKFDFPPFMVLIIAVLNDGTIMTISKDRVKPSPIPDCWKLSEIFATGIVIGSYLAVMTVVFFWMAFKTDFFPKHFHVKSFNQHLDLSDKVLSKELNGQLASAVYLQVSTISQALIFVTRSRSWSYKERPGLLLLSAFIIAQLIATVISATATWDFAGISKIGWRWTAVIWLYNIVTYKLLDPIKFAVRYAQSGRAWSLVYNQRTAMTTQKDFGKEARKAAWAAEQRTLHGLQSMEAKSFSEKHTFRDINIMAEEARRRAEIARLRELHTLKGKVESIAKLRGLDIDVNPHYTV >Potri.007G019800.1.v4.1 pep chromosome:Pop_tri_v4:7:1491158:1494609:-1 gene:Potri.007G019800.v4.1 transcript:Potri.007G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019800.v4.1 MSLKNPKKWRFTWETQSQSPNLKLFLFNSQSKPSVHHLQAQLNLSKSHLLVTFTENEETSEVSIRVPIPRVLIDPESPVNAKASDDHIEVKLVLLLPVDHHLVSTFDLLNLSDDESERNEDLDLLNPFIMDSDLKSLSSMEGVHFYCRSCSNRLTRSPLKQFVEMPSVNWPEMADNWFGGCCCSFGGASEKLVNRYAHAYACPMGVCMLNSTAVTLCSDDLAGCKFSEKYRIQTCKPEQESGDEGLSEEAMRDFETESGRATRCDSQCGVIHGVNGKSGSSCSKLENHGENVKFKVAEEKTNSSILLSALPASDLSEKVAPGPGCCDSVHHTQDYTDEGGIHDVCGPSLEDQKTTKDMELRINQRSFLNGFLGDAFTARSYNLSTDIEWKQFVCPQCSSLIGAYPCANGDMPVDDGVRLFKCYISTSLPVGEQADLFRKYTLERMFTSQLVESAKDELSFRTVVRDLRTKSPMLQIVLVNPNSWCCSGDCLDTKSNTDSVLKLDLHPVIKVLFSDCSSNTESELRVLEDWVTKNQADEVFMLAHLIKELIETIASAKVEFPPSCTFLQGLSFSSMPR >Potri.011G126300.2.v4.1 pep chromosome:Pop_tri_v4:11:15681346:15683591:1 gene:Potri.011G126300.v4.1 transcript:Potri.011G126300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G126300.v4.1 MAPRLLSCFGKRNSDSSSKNQNHANVTVDVSAEEQRRGGAVLVELFSSQGCATSPAAELLVSRLGRGDFQLEMPVIILAFHVDYWDYMGWKDPYGGSQWTVRQKAYVESLKLDTMFTPQIVVQGRTQCVGNDEEALLSSIVNAPRFPSPTFQATFLRPTTDSLQVSMSGALRAKVDHNGVNVMVALYESGLVTDCPRGENKGRVLSNDYVVRKLEKLCTVKDISAKKTVSGTVNFALWEGFNSSKCGIAVFVEDSSHQIFGSQSIQFPDTL >Potri.006G268000.1.v4.1 pep chromosome:Pop_tri_v4:6:26250114:26254480:1 gene:Potri.006G268000.v4.1 transcript:Potri.006G268000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G268000.v4.1 MTSLTSRLIHSRTSLSKLYTMAVSSNGRYLSTDSNKVDEPFKVEEAETLNVPPPPTEKLLVLGGNGFVGSHICKEAVDRGLTVASLSRSGRPSIQESWVNNVIWHQGNLLSSDSWMQALNGVTSVISCVGGFGSQSYMYKINGTANINAIRAASEKGVKRFVYISAADFGLVNYLLQGYYEGKKAAETELLTKFAYGGVVLRPGFIYGTRNVGSVKLPLGVIGSPLEMVLQHAKPLKQLPLVGPLFTPPVSVTAVVKVAVRAATDPVFPPGIVDVYGILRYSQQQRAT >Potri.006G067700.2.v4.1 pep chromosome:Pop_tri_v4:6:4950436:4954797:-1 gene:Potri.006G067700.v4.1 transcript:Potri.006G067700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067700.v4.1 MTSPMEKDKETVAIEEEGFSLELPAPSGWKKKFVPKKSGTPKKGEIVFSAPTGEEITSKRQLEQYLKAHPGGPAVSEFDWGSGETPRRSARISEKAKVTPVKESEPPKKRSKKSSASKESEDTETTLEGTEEAKEVAEKTEKGDMEVEVEKDVEKENQGENKGLGTETQTEAACTEEAGAGKEVKTSAETEGGKAESEPRNSKGKSNGSGASGNEKEKIDEEKVQEKDEQPQGEAAKEQGSGQQEKASAAIDDEKKDEAEDDKEKLNRTAPESDGEMKGKEAENCSGEKPDHTGVDGVKGKVDGQAIENGSNADEVKP >Potri.006G067700.8.v4.1 pep chromosome:Pop_tri_v4:6:4950475:4954770:-1 gene:Potri.006G067700.v4.1 transcript:Potri.006G067700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067700.v4.1 MTSPMEKDKETVAIEEEGFSLELPAPSGWKKKFVPKKSGTPKKGEIVFSAPTGEEITSKRQLEQYLKAHPGGPAVSEFDWGSGETPRRSARISEKAKVTPVKESEPPKKRSKKSSASKESEDTETTLEGTEEAKEVAEKTEKGDMEVEVEKDVEKENQGENKGLGTETQTEAACTEEAGAGKEVKTSAETEGGKAESEPRNSKGKSNGSGASGNEKEKIDEEKVQEKDEQPQGEAAKEQGSGQQEKASAAIDDEKKDEAEDDKEKLNRTAPESDGEMKGKEAENCSGEKPDHTGVDGVKGKVDGQAIENGSNADEVKP >Potri.006G067700.3.v4.1 pep chromosome:Pop_tri_v4:6:4950445:4954765:-1 gene:Potri.006G067700.v4.1 transcript:Potri.006G067700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067700.v4.1 MTSPMEKDKETVAIEEEGFSLELPAPSGWKKKFVPKKSGTPKKGEIVFSAPTGEEITSKRQLEQYLKAHPGGPAVSEFDWGSGETPRRSARISEKAKVTPVKESEPPKKRSKKSSASKESEDTETTLEGTEEAKEVAEKTEKGDMEVEVEKDVEKENQGENKGLGTETQTEAACTEEAGAGKEVKTSAETEGGKAESEPRNSKGKSNGSGASGNEKEKIDEEKVQEKDEQPQGEAAKEQGSGQQEKASAAIDDEKKDEAEDDKEKLNRTAPESDGEMKGKEAENCSGEKPDHTGVDGVKGKVDGQAIENGSNADEVKP >Potri.012G046300.1.v4.1 pep chromosome:Pop_tri_v4:12:4231645:4236762:1 gene:Potri.012G046300.v4.1 transcript:Potri.012G046300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G046300.v4.1 MQRHKLTLSLLIFSLLPLSLHARQYFLILSQEDLKDIPSSPTTTSSDVDPNESPPEWDEFGDSDSKPEHELDPGSWRPIFEPDATTSSTSASKLDPEMEQYYSAVEKMFSAVSDSEVKVVEEAVAEIEELATVKGNSHAQSVLGFLYGLGQIKERDKAKAFLYHHFAADGGSLQSKSALAYTYYRQQMYENAVKLYAELAEVAVNSFLISKDSPVIEPVRIHNGAEENKEALRKSRGEDDDVFQILEYQAQKGNAGAMFKIGYFHYFGLRGLRRDHAKALAWFSKAVEKGEPRSMELLGEIYARGAGVERNYTKALEWLTLAAQQQLYSAYNGMGYLYVKGYGVQKKNYSKAKEYFERAADHEDAGGHYNLGVMHLKGIGVKRDVRLACQYFIVAANAGQPKAFYQLAKMFHMGVGLKKNLLMATALYKLVAERGPWNSLSRWALESYLKGDVGKAFLLYSRMAELGYEIAQSNAAWILDKYAEGSMCMGESGFCTDSERHQRAHFLWWQASEQGNEHAALLIGDAYYYGRGTERDYERAAEAYMHAKSQSNAQAMFNLGYMHEHGQGLPLDLHLAKRYYDQALEIDPAAKLPVTLALASLWIRKNYADSFMVHVIDSLPGVYPKIKAWVENIILEEGNAAILTLFVCLLTVLYFRERQRRNAAVEVGIQGQHIHHAPAALN >Potri.011G054500.2.v4.1 pep chromosome:Pop_tri_v4:11:2304408:2309134:1 gene:Potri.011G054500.v4.1 transcript:Potri.011G054500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G054500.v4.1 MGFSPLSLSQYLSSILFLFHFHTTISSSNYSYSSHFCAHDQSLSLLQFKESFSISSSASGRCQHPKTESWKEGTDCCLWDGVSCDLKTGHVTGLDLSCSMLYGTLHPNNSLFSLHHLQQLDLSFNDFNSSHVSSRFGQFSNLTHLNLSSSDLAGQVPLEVSHLSKLVSLDLSWNNDLSLEPICFDELVRNLTNLRELDLSRVNMSLVVPDSLMNLSSSLSSLKLNYCRLQGKLPSSMGKFKHLQSLDLGENNLTGPIPYDFDQLTELVSLDLSENFYLSPEPISFDKLVRNLTKLRELNLDYVNMSLVAPNSLTNLSSSLSSLFLGDCGLQGKFPGNIFLLPNLESFYLAYNEGLTGSFPSSNLSNVLSRLDLSITRISVYLENDLISNLKSLEYMSLRNSNIISSDLALLGNLTKLIYLDLSNNNFSGEIPSSLGNLTKLYFLDLSGNNFNGQIPSSLGNLTKLSSLYLSSNNLNSYIPFSLGNLINLLELDLSNNQLVGNFLFALPSLDYLDLHNNNLGNISELQHNSLGFLDLSNNHLHGPIPSSIFKQENLQFLILASNSKLTGEISSSICKLRSLWLLDLSNNSLSGSMPQCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNELEGKIPPSINNCAMLKVLDLGNNKIEDTFPYFIETLPELQILVLKSNKLQGFVKGPPAYNSFSKLQIFDISGNNFSGPLPTGYFNTLKAMMVSDQNMIYMGATRLNYVYSIEMTWKGVEIEFLKIQSTIKVLDLSNNSFTGEISKVIGKLKALQQLNLSHNFLTGHIQSLLGNLTNLESLDLSSNLLTGRIPMQMAHLTFLAILNLSHNQLEGPIPSGKQFDTFDASSFEGNLGLCGFQVLKECYDDKAPSLPPSSFDEGDDSTLFGDGFGWKAVTIGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLQSKKTKKNAGRYGARRN >Potri.011G054500.1.v4.1 pep chromosome:Pop_tri_v4:11:2304519:2307775:1 gene:Potri.011G054500.v4.1 transcript:Potri.011G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G054500.v4.1 MGFSPLSLSQYLSSILFLFHFHTTISSSNYSYSSHFCAHDQSLSLLQFKESFSISSSASGRCQHPKTESWKEGTDCCLWDGVSCDLKTGHVTGLDLSCSMLYGTLHPNNSLFSLHHLQQLDLSFNDFNSSHVSSRFGQFSNLTHLNLSSSDLAGQVPLEVSHLSKLVSLDLSWNNDLSLEPICFDELVRNLTNLRELDLSRVNMSLVVPDSLMNLSSSLSSLKLNYCRLQGKLPSSMGKFKHLQSLDLGENNLTGPIPYDFDQLTELVSLDLSENFYLSPEPISFDKLVRNLTKLRELNLDYVNMSLVAPNSLTNLSSSLSSLFLGDCGLQGKFPGNIFLLPNLESFYLAYNEGLTGSFPSSNLSNVLSRLDLSITRISVYLENDLISNLKSLEYMSLRNSNIISSDLALLGNLTKLIYLDLSNNNFSGEIPSSLGNLTKLYFLDLSGNNFNGQIPSSLGNLTKLSSLYLSSNNLNSYIPFSLGNLINLLELDLSNNQLVGNFLFALPSLDYLDLHNNNLGNISELQHNSLGFLDLSNNHLHGPIPSSIFKQENLQFLILASNSKLTGEISSSICKLRSLWLLDLSNNSLSGSMPQCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNELEGKIPPSINNCAMLKVLDLGNNKIEDTFPYFIETLPELQILVLKSNKLQGFVKGPPAYNSFSKLQIFDISGNNFSGPLPTGYFNTLKAMMVSDQNMIYMGATRLNYVYSIEMTWKGVEIEFLKIQSTIKVLDLSNNSFTGEISKVIGKLKALQQLNLSHNFLTGHIQSLLGNLTNLESLDLSSNLLTGRIPMQMAHLTFLAILNLSHNQLEGPIPSGKQFDTFDASSFEGNLGLCGFQVLKECYDDKAPSLPPSSFDEGDDSTLFGDGFGWKAVTIGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDKWNLQSKKTKKNAGRYGARRN >Potri.007G057800.1.v4.1 pep chromosome:Pop_tri_v4:7:6089493:6091218:1 gene:Potri.007G057800.v4.1 transcript:Potri.007G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G057800.v4.1 METPSSTKRVTRSQALAALTNTTTNNIPLSRAKIEDSSDKGETKSRRNAKQQLRDRSALIDITNDSPIVGLAMESLETPSSTLRNQKSSRAKNNIPHTPGSGEALLRGQVKTLLHKVEEEAELSKLSLGVIEIFEVEKQDCLESQKTLTRSLMLDFSEKSEGSDSLECSSELTFQGDSCGVMVSESKDKSSMTEDDNASIWSIQVNASTHDEDEEEVIIEGEEEEYYCYHNEYEEVEEEEEGEQADDGGLLDELCEGISKISVTAKFEGKHTRFVYNSDDEIVEEVDCGDMPTPKGKHLRFHSDEE >Potri.003G011250.1.v4.1 pep chromosome:Pop_tri_v4:3:1418317:1418676:-1 gene:Potri.003G011250.v4.1 transcript:Potri.003G011250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011250.v4.1 MANLRKTLSETDVEVRFSVPSKWFKENLFSRFEGKNKLDLPVKDLSGEVQSFGFSVRTKGNHFKPVISRGWRKFVHAKGLKPGNKIIFVMENDPETGTEYKVEVIKEIRLFGRDIEGRV >Potri.011G158400.1.v4.1 pep chromosome:Pop_tri_v4:11:18408437:18410292:1 gene:Potri.011G158400.v4.1 transcript:Potri.011G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G158400.v4.1 MKSPFVFFSVLLISVSLPNSIALPDNFLQCLTENSQPTNPISDAIHTPDNPSFTTVLQSYARNLRFLTLSTPKPLAIIAAKHESHVQATIICSKKLGLQIRIRSGGHDYDGLSYVSDVAFIILDMFNLRSINIDIEDESAWVQAGATLGEVYYRIAEKSNVHGFPAGVCPTLGVGGHFSGGGYGNMMRKYGLSVDNIVDAQIIDVRGRILDRKSMGEDLFWAIRGGGAASFGVILSWKIKLVPVPEIVTVFSVDRTLEEGVSDLAWKWQQIAADKLDNDLFIRLMLQPVNGTQEGKKTIQASFVAMFLGRAERLLSVMNESFPELGLQAKDCAEMRWIESVLSWVGMPKGTPIEVLLDRIPKGVSYLKRKSDYVKEPISKEGLESIWKVMTEVGEVAMLWNPYGGKMSEISETETAFPHRAGNIFKIQYSVNWKQEGIDTTNHYVNLTRTLFEAMTPYVSKNPREAFLNYRDIDIGSIGSHGNGTFQEASVYGHKYFKDNFDRLVQIKTRVDPDNFFGYEQSIPTQSSSYSKIL >Potri.012G003450.1.v4.1 pep chromosome:Pop_tri_v4:12:1272559:1272927:1 gene:Potri.012G003450.v4.1 transcript:Potri.012G003450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G003450.v4.1 MVLLEMVGGRKTIDDKVENSNQIYFPEWVYNSLDKGEELRIRIEKEGDAQIAKKLTLVGLWCIQWHPVDRPSMNTVVQMLEGEGDKLTMPPSPFASAGPGRMHANMPGRPHYQALEVISETE >Potri.006G001900.1.v4.1 pep chromosome:Pop_tri_v4:6:183416:184339:1 gene:Potri.006G001900.v4.1 transcript:Potri.006G001900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001900.v4.1 MKQKIVLGVQMNCQKCRRKALEVVAETDGVSFLGLEGENKEKVVVIGDGVDAAKLACRLRKKVGHTAIISVAPTDN >Potri.006G001900.2.v4.1 pep chromosome:Pop_tri_v4:6:183542:184339:1 gene:Potri.006G001900.v4.1 transcript:Potri.006G001900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001900.v4.1 MNCQKCRRKALEVVAETDGVSFLGLEGENKEKVVVIGDGVDAAKLACRLRKKVGHTAIISVAPTDN >Potri.004G208800.2.v4.1 pep chromosome:Pop_tri_v4:4:21580957:21584430:1 gene:Potri.004G208800.v4.1 transcript:Potri.004G208800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208800.v4.1 MLLIVVRLVVLGFFLHWRIMHPNEDARWLWGMSVVCEVWFAFSWILDIIPKLSPINRFTDLEVLRDKFDMPSPSNPTGRSDLPGIDLFVSTADPDKEPPLVTANTILSILSVDYPVEKVACYISDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPETYFSLKIDPTKNKSRIDFVKDRRKMKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMLKHMRESAGGDPLEPIKVPKATWMADGTHWPGTWAFPAAEHSKGDHAGILQVMLKPPSPDPLMGGADDKMIDFTDVDIRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYFYNCKAIREGMCFMMDRGGENICYIQFPQRFEGIDPSDRYANRNTVFFDGNMRALDGVQGPVYVGTGCMFRRFALYGFDPPNTSKTEEKKEAETLPLRATDFDPDLDFNLLPKRFGNSTMLSESIPIAEFQGRPLADHPAVKYGRPPGALRVSREPLDAATVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLATRRLKILQRLAYLNVGIYPFTSIFLIVYCFLPALSLFSGFFIVQTLDIAFLIYLLLITICLVLLAILEVKWSGIELEEWWRNEQFWLISGTSAHFAAVMQGLLKVIAGIEISFTLTSKSAGDDVDDIYADLYLVKWTSLMIPPIVIAMTNMIAMAFAFIRTIYSTVPQWSKFVGGAFFSFWVLAHLYPFAKGLMGRRRKTPTIVFVWSGLIAITISLLWIAISPPKTTGTADGAGGGFQFP >Potri.004G208800.1.v4.1 pep chromosome:Pop_tri_v4:4:21579060:21584457:1 gene:Potri.004G208800.v4.1 transcript:Potri.004G208800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208800.v4.1 MASLSSQPSKKGIRSPAGNTANNNSSQQGNRGSTGQTVKFARRTSSGRYVSLSREDLDISGELSGDYTNYTVQIPSTPDNQPMDTSVAVKAEEQYVSNSLFTGGFNSVTRAHLMDKVIDSEVSHPQMAGAKGSSCAMHACDGKVMKDERGHDVIPCECRFKICRDCYMDAQKDTGLCPGCKEPYKVGDYEDEIPNFSSGALPLPPPSKGGDHNNMTMTKRNQNGDFDHNRWLFETQGTYGYGNAFWPQDDMYGDDGDEGFPGGMLENMDKPWKPLSREQPISNAIISPYRLLIVVRLVVLGFFLHWRIMHPNEDARWLWGMSVVCEVWFAFSWILDIIPKLSPINRFTDLEVLRDKFDMPSPSNPTGRSDLPGIDLFVSTADPDKEPPLVTANTILSILSVDYPVEKVACYISDDGGALLTFEAMAEAASFADLWVPFCRKHNIEPRNPETYFSLKIDPTKNKSRIDFVKDRRKMKREYDEFKVRINGLPDSIRRRSDAFNAREEMKMLKHMRESAGGDPLEPIKVPKATWMADGTHWPGTWAFPAAEHSKGDHAGILQVMLKPPSPDPLMGGADDKMIDFTDVDIRLPMFVYVSREKRPGYDHNKKAGAMNALVRASAILSNGPFILNLDCDHYFYNCKAIREGMCFMMDRGGENICYIQFPQRFEGIDPSDRYANRNTVFFDGNMRALDGVQGPVYVGTGCMFRRFALYGFDPPNTSKTEEKKEAETLPLRATDFDPDLDFNLLPKRFGNSTMLSESIPIAEFQGRPLADHPAVKYGRPPGALRVSREPLDAATVAEAVSVISCWYEDKTEWGDRVGWIYGSVTEDVVTGYRMHNRGWRSVYCITKRDAFRGSAPINLTDRLHQVLRWATGSVEIFFSRNNAFLATRRLKILQRLAYLNVGIYPFTSIFLIVYCFLPALSLFSGFFIVQTLDIAFLIYLLLITICLVLLAILEVKWSGIELEEWWRNEQFWLISGTSAHFAAVMQGLLKVIAGIEISFTLTSKSAGDDVDDIYADLYLVKWTSLMIPPIVIAMTNMIAMAFAFIRTIYSTVPQWSKFVGGAFFSFWVLAHLYPFAKGLMGRRRKTPTIVFVWSGLIAITISLLWIAISPPKTTGTADGAGGGFQFP >Potri.003G092800.1.v4.1 pep chromosome:Pop_tri_v4:3:11927195:11929093:1 gene:Potri.003G092800.v4.1 transcript:Potri.003G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092800.v4.1 MGAGGPDDEDHRWPPWLKPLLRERFFVQCKMHADSHKSECNMYCLDCMSGALCSLCLAYHKDHRAIQIRRSSYHDVIRVSEIQKVLDITGVQTYVINSARVVFLNERPQPRPGKGVTNTCEVCERSLLDSFRFCSLGCKIVGTSKNFQKRKKQQMSMASDSEDSYSSSSSHGQYMKTKNNDNKVQSFSPSTPPPTPASHRAAKRRKGIPHRAPMGGLIIEY >Potri.004G176400.2.v4.1 pep chromosome:Pop_tri_v4:4:19143621:19147887:-1 gene:Potri.004G176400.v4.1 transcript:Potri.004G176400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176400.v4.1 MADLLHKPLLDIEEQPRTSKKTPRVASLDVFRGLCVFLMMLVDYGGAIVPIIAHSPWNGLHLADFVMPFFLFTAGVSLALVYKRVPNRIEATRKAVLRAVELFLLGVILQGGYFHGINSLTYGVDMKRIRWLGILQRISIGYIFAALCEIWLSCRSRRDVSFLKSYYWHWGAAFSLSAIYWGLLYGLYVPDWQFEMSNATSSVFPTNHSYVYMVKCSVRGDLGPACNSAGMIDRYVLGIDHLYKKPVYRNLKECNMSTNGQVPESAPSWCHAPFDPEGVLSSITAAVACIIGLQYGHSLAHLQDHKQRMQNWILFSLSLLLVGLLLAVVGDPVNKSLYTFGYMLITCASAGITYSAIYLLVDVYGYRCLTFALEWMGKHSLSIFVLITSNLAVIAIQGFYWKAPENNLIQWIVTRFVRR >Potri.005G025000.1.v4.1 pep chromosome:Pop_tri_v4:5:1586348:1588184:-1 gene:Potri.005G025000.v4.1 transcript:Potri.005G025000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G025000.v4.1 MATSNVVCALVLSSLFHFLLVPVSSTRGVNVLKKCGFKAIYQLGDSIADTGNLIRENPLSPYASFPYGLKLSKPTGRCSNGLLMIDYIARSAKLPCPGAYLNSARKFSGGRGGVNFAVAGSTALPAEVLSSKNIMNIVTNESLSTQLEWMFSYFNTTCSKDCAKEIKSSLFMVGEIGGNDYNYAFMFNKTTEEISALVPEVVRAIKDAVAKAIGRGARRVVVPGNFPIGCFPVYLSQFQPNDAAAYDEFHCLKGLNSLASYHNELLKQTVEGLKTNYPDVIIVYGDYYKAFMSIYQNAQSLGFDTKSMQKACCGTGGDHNFSLMRMCGAPDVPVCPKPDQYISWDGVHLTQKAYQHMAEWLINDIFPKLQCSA >Potri.016G006500.1.v4.1 pep chromosome:Pop_tri_v4:16:318035:322100:-1 gene:Potri.016G006500.v4.1 transcript:Potri.016G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006500.v4.1 MASQESTDGRHTNGLRLDSSAEHHERCPVEEVALVVPETDDPTLPVLTFRAWFLGLTSCVILIFLNTFFTYRTQPLTISAILMQIAVLPVGKFMAKTLPTKDYRILGWSFSLNPGPFNMKEHVIITIFANCGVSYGGGDAYSIGAITVMKAYYRQSLSFLCGLLIVLTTQILGYGWAGMLRRYLVYPVEMWWPSNLAQVSLFRALHEKEPQSKGMTRMRFFLIAMTVSFFYYAVPGYLFPILTFFSWVCWAWPHNMTAQQIGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSIVNVAVGFIMFIYVIVPVCYWKYNTFDARKFPIFSNQLFTSSGQKYDTTKILTPEFQLNIPAYDSYSKLYLSPLFALSIGSGFARFTATLTHVALFNGRDIWKQSRKAMQNVKLDVHAKLMKAYKEVPDWWFYILLAGSVFLSLLMSFVWKETVQLPWWGMLFAFGLAWLVTLPIGVIQATTNQQPGYDIIAQFMIGYVLPGQPIANLLFKIYGRISTVHALSFLSDLKLGHYMKIPPRCMYVAQLVGTLVAGTVNLAVAWWMLENIENICDVDSQDSNSPWTCPKYRVTFDASVIWGLIGPKRLFGPGGLYRNLVWLFLIGAFLPVPFWVLSKMFPEKKWIALINIPVISYGFAGMPPSTPTNIASWLITGTIFNYFVFRYRKRWWQKYNYVLSAALDAGTAFMGVLLFFAVQNTDKKLSWWGAELDHCPLATCPTAPGIVVKGCPVF >Potri.010G176100.1.v4.1 pep chromosome:Pop_tri_v4:10:17628112:17631251:1 gene:Potri.010G176100.v4.1 transcript:Potri.010G176100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176100.v4.1 MALQCWSSASNLTYPNLSTLFSDSKPYNFPRFRKHRKPAKLTCFLKLGVEDIAEIAHNKVVIAAVVSAAIGQLSKPYTCVLLYGKDFDFKTTFQAGGFPSTHSSSVVAAATCLALERGFSDSIFGLAVVYAFLVMYDAQGVRREVGNHAKALNKMLPKTEVNSKVCSRDDLIDSQEAPEENLGALLSKEERPFLPNSTNSPLLLETENKTRQTSQRLAFSSLTAAEEATEKIPCSSAPLKESIGHTEVEVIAGALLGFFVSVAVYTIL >Potri.008G151550.1.v4.1 pep chromosome:Pop_tri_v4:8:10396374:10397641:-1 gene:Potri.008G151550.v4.1 transcript:Potri.008G151550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151550.v4.1 MRGRGREQPRIWHSNQQNRVIAHSLLILQKHGNMVQLLNFSPDCKTGDCLKTPRIL >Potri.010G062600.4.v4.1 pep chromosome:Pop_tri_v4:10:9200591:9202668:1 gene:Potri.010G062600.v4.1 transcript:Potri.010G062600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062600.v4.1 MDCFVGKKKSDQCEKGLRGLTEKVRLFQEEMKAMMYEREKETRAYEIDMMVFAFKEAEWKQERKKLKEELKRLRKAVEEKDERIRVMEDRSVGERSEKNGEFLGTPSFLVEQMREERVWRDEAVDKWKKLYLAIKDELDDLIQRTHQDGLYRRAEEEMIEELKMEVKAKEGCIKELKARLVFVENEEYSRAREVDILRQSLKIMSSRKASSFSRKPNSALLKQARKA >Potri.010G062600.2.v4.1 pep chromosome:Pop_tri_v4:10:9200587:9202668:1 gene:Potri.010G062600.v4.1 transcript:Potri.010G062600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G062600.v4.1 MDCFVGKKKSDQCEKGLRGLTEKVRLFQEEMKAMMYEREKETRAYEIDMMVFAFKEAEWKQERKKLKEELKRLRKAVEEKDERIRVMEDRSVGERSEKNGEFLGTPSFLVEQMREERVWRDEAVDKWKKLYLAIKDELDDLIQRTHREDGLYRRAEEEMIEELKMEVKAKEGCIKELKARLVFVENEEYSRAREVDILRQSLKIMSSRKASSFSRKPNSALLKQARKA >Potri.005G086700.3.v4.1 pep chromosome:Pop_tri_v4:5:6024578:6027277:-1 gene:Potri.005G086700.v4.1 transcript:Potri.005G086700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086700.v4.1 MNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDNVRYSRDTKLSILVVLLGVAVCTVTDVSVNAKGFIAAVIAVWSTSLQQYYVHFLQRRYSLGSFNLLGHTAPAQAASLLLVGPFLDYWLTNNRVDSYAYSITSILFILLSCSIAVGTNLSQFICIGRFSAVSFQVLGHMKTILVLILGFILFGKEGLNLHVVIGMIIAVIGMIWYGNASSKPGGKERRSLSMNGNKPQKHDVLPESTEVDEKV >Potri.005G086700.2.v4.1 pep chromosome:Pop_tri_v4:5:6024578:6029616:-1 gene:Potri.005G086700.v4.1 transcript:Potri.005G086700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086700.v4.1 MSSTKADRKAADDAASWLFNVVTSVGIILVNKALMATYGFSFATTLTGLHFATTTLLTVVLRWLGYIQPSHLPLPDLLKFVLFANCSIVGMNVSLMWNSVGFYQIAKLSMIPVSCFLEVVLDNVRYSRDTKLSILVVLLGVAVCTVTDVSVNAKGFIAAVIAVWSTSLQQYYVHFLQRRYSLGSFNLLGHTAPAQAASLLLVGPFLDYWLTNNRVDSYAYSITSILFILLSCSIAVGTNLSQFICIGRFSAVSFQVLGHMKTILVLILGFILFGKEGLNLHVVIGMIIAVIGMIWYGNASSKPGGKERRSLSMNGNKPQKHDVLPESTEVDEKV >Potri.010G120500.1.v4.1 pep chromosome:Pop_tri_v4:10:13857205:13860346:-1 gene:Potri.010G120500.v4.1 transcript:Potri.010G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120500.v4.1 MAVSVSILAVITSLHLIAFVLAIGAERRRSTAKVVPDQYDERTYCVYDTDASTVYGLAAFGLILISQMILNGVTRCLCFGKGLVTGSCSTTCAIIFFIFSWLSFLGAEACLLAGSARNAYHTKYRGLFNQGELSCATLRKGVFAAGAALTLLSLIGSILYYFAHSRADTGGWEKHQNVGVGMTSASYPQQQQTSEFEKV >Potri.010G138900.1.v4.1 pep chromosome:Pop_tri_v4:10:15305231:15315021:1 gene:Potri.010G138900.v4.1 transcript:Potri.010G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G138900.v4.1 MANRASPPNLHKSVAISKGYNFASTWEQNAPLTEQQHEAIVSLSHAVSERPYPNNLAQDHASVKENGGLTVSTRDSSFGESQGIDAVLVNTNQFYKWFTDLESAMKSEAEEKYQHYVNNLTEHMETCDDILLQVDETLDFFNELQLQHQAVATKTKTLHDACDRLVVEKQRLIEFAEAVHSKLHYFDELENLNNNFYASNTNVGNEKFLPLLKRLDECISYVESNPQYAESSVYLLKFRQLQSRALGMIRTHVLSVLKNASSQVQHAIRSTGGSKTSISEGVEASVIYVRFKAASTELKPILEEIESRSSRKEYAQILADCHKLYCEQRLSLVKGIVHQRISEFAKKEALPSLTRSGCAYLMLVCQLEHQLFDHFFPSSSEDISSLAPLIDPLSTYLYDTLRPKLIHETNVDLLCELVDILKVEVLGEQLSRRSESLAGLRPTLQRILADVHERLTFRARTYIRDEIANYIPSNEDMDYPAKLEQSTEMKSETNSVDENPDVFKTWYPPVERTLSCLSKLYRCLEPSVFTGLAQEAVEVCSDSVQKASKLITKRSTAMDGQLFLIKHILILREQIAPFDIEFSVTYKELDFSHLLEHLRRILRGQASLFDWSRSTSLARTLSPRVLESQVDAKKDLEKSLKATCEEFIMSVTKLVVDPMLSFVTKVTAVKLALSSGSQNQKVDSVMAKPLKDQAFATPDKVAELVQKVNAAIQQELPVVMTKMKLYLQNPSTRTILFKPIKTNIVEAHVQIQSLVKAEYSPEEQSIINMTSIQNLQAELDNLL >Potri.005G232900.1.v4.1 pep chromosome:Pop_tri_v4:5:23166891:23169955:-1 gene:Potri.005G232900.v4.1 transcript:Potri.005G232900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G232900.v4.1 MNFLYIFALTLLISVLSPSTSSSDISQLFETWCKEHGKSYTSQEERSHRLKVFEDNYDFVTKHNSKGNSSYSLALNAFADLTHHEFKTSRLGLSAAPLNLAHRNLEITGVVGDIPASIDWRNKGVVTNVKDQGSCGACWSFSATGAIEGINKIVTGSLVSLSEQELIECDKSYNDGCGGGLMDYAFQFVINNHGIDTEEDYPYRARDGTCNKDRMKRRVVTIDKYVDVPENNEKQLLQAVAAQPVSVGICGSERAFQMYSKGIFTGPCSTSLDHAVLIVGYGSENGVDYWIVKNSWGTGWGMRGYMHMQRNSGNSQGVCGINMLASYPVKTSPNPPPPPPPGPTKCNLLTYCAAGETCCCARKFFGICISWKCCGLDSAVCCKDRLHCCPHDYPVCDTDKNMCFKRAGNATRMEAIEGKTSGKFGSWNSLPEAWIQ >Potri.013G118300.1.v4.1 pep chromosome:Pop_tri_v4:13:12649681:12650862:-1 gene:Potri.013G118300.v4.1 transcript:Potri.013G118300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G118300.v4.1 MARSVIFLVAIFLSFCTLSCIAQQQPCTTYKFSNNKQFSSCSDLPVLSSSLHWNYHPLSSRVEVAFRHTGVTDRRWIAWAINPTSGGMIGSQAIVSFQRTDGSLAVYTSPITSYGTRLEHGNLSFPVLDLSATNQNNEMIIYASLELHGNISTVNHLWQVGPMSENTPMMHSVAPSSPNVKSMGSLDFLSGRIKATRSSSTTLKNVHGILNTVSWGILMPVGAVIARYLKRFESAGPLWFYLHVSCQLLAYILGGLSRFGTGIFLGIRSHGIEHSCHKIIGIVLFCLATAQVFGGLVRPDKDSKYRPFFNWFHFLAGCSTLILSIFNIYKGFDVLHAARFWRLTYSGIILTLLLVTLLLEICTRWCLPITKRSMSNTVDKNTSTVTAVAEMEV >Potri.018G137900.2.v4.1 pep chromosome:Pop_tri_v4:18:15755133:15761480:-1 gene:Potri.018G137900.v4.1 transcript:Potri.018G137900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G137900.v4.1 MVLPKGPFWEHVEDMNDGSMRCKFCGHLFAKDTSISRIKCHLSGMRGRGVKICKDVPEEVQDAALATIDGPPEKTLKTVAGSSNNEVTNAIAASAQEQNSEVIRVEMAQQGEAFYPGTLEDWMDSIIDNEIELVLVSSSPKELPRDAFEIISGTEQVQHRERGSSHERSSINQADQPRGDSSQPTDLLCLGLGRYCDQIYFPPVNNDVIMDDVRNMVRVRIEPEEEDVVNNSGRLVQPGTGASSSEDLTYNTSETRGDPLPTNSTTLVGRAFVENGNVVWSWLMEDKVSTIGIYGMGGVGKTAMLKHIYNELLKRPDICQHVYWVIVSRDFSIKRLQHSIARRIGLKHFNEKEELHRAVKLSKELIKKQKWILILDDLWNSFELHEVGIPVPLKGCKLIITTRSEKVCHQMTCQHKIKVKPLSDEEAWTLFTKKFEYDIPLSPKVERIAKHITRECDCLPLGIITMARCMKGVDDTHEWKNALEDMRQSRVGQDDMKKVFRTLRFSYTRLNDSALQRCFLYCALFPENFVIPKDYLIAYFIDEGVIKGQKSREAEFDKGHSMLNRLENVCLLEIINDGRCVKMHDLIRDMAIQIVEEDSQGMVKAGAQLKELPDAEEWTENLTTVSLMHNQIEEIPFCHSPRCLNLSILLLCHNYRLRFVADSFFKKLHGLNILDLSHTNIEKLPNSVSDLVKLTSLLLSNCKRLRHVPSLKNLGELKRLDLSSTQALKKMPQGMEFLSNLRCLRMNGCGNKKFPSGILPKLSHLQVFVLEVELICVPVTVKGKEVGCLRKLGTLECHFEGHSDYVEFLKSREKNQALSKYKIFVGQFKEYDVRTYCSNKTVGLGNLSVNRDGDFQVWFPNDIQELIIEKCDDATSLCDVFSLKKCSIELEVISILNCNSMESLVLSSWFCSATLPSPSYNSIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLEEIRVKHCEKMEEIIGGTRSDEEGVMGEKSSNNEFKLPKLRILYLSDLPELKSICSAELICDSLKAIEVINCQKLKRFPICLPLLENGQPSPPPSLEKIKVHPKEWWKSMVEWEHPNAKDVLCPFVEFSQPQVRKQDEDK >Potri.012G112200.1.v4.1 pep chromosome:Pop_tri_v4:12:13156253:13159406:-1 gene:Potri.012G112200.v4.1 transcript:Potri.012G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G112200.v4.1 MSLNCSNCSSPLQLPPGANSICCSICHATTLVADSRSAPPPPALSYSSSGQDDHDHPPHHPSQVVPSPYNHAPPGPPPAVHGTKRAVICGVSYKNTKNELKGSINDVVCMKHLLVNRFNFPESSIIVLTEEETDPYRRPTKYNMRLALSWLVQGCQPGDSLVFHFSGHGSQQKDQNGDELDGYDETLCPTDFETQGMIVDDEINEIIVKPLSHGVKLHAIIDACHSGTVLDLPFLCRMDRSGKYVWEDHRPRSGEWKGTSGGEAISFSSCDDDQTSADTSALSKITSTGAMTYSFILAIERGHATTYGSMLNAMRSTIRDTSNELRGGIVTSLISMFLTGRSFSGEITQEPQLTANEPFDVYSKPFSL >Potri.012G112200.2.v4.1 pep chromosome:Pop_tri_v4:12:13156253:13159406:-1 gene:Potri.012G112200.v4.1 transcript:Potri.012G112200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G112200.v4.1 MSLNCSNCSSPLQLPPGANSICCSICHATTLVADSRSAPPPPALSYSSSGQDDHDHPPHHPSQVVPSPYNHAPPGPPPAVHGTKRAVICGVSYKNTKNELKGSINDVVCMKHLLVNRFNFPESSIIVLTEEETDPYRRPTKYNMRLALSWLVQGCQPGDSLVFHFSGHGSQQKDQNGDELDGYDETLCPTDFETQGMIVDDEINEIIVKPLSHGVKLHAIIDACHSGTVLDLPFLCRMDRSGKYVWEDHRPRSGEWKGTSGGEAISFSSCDDDQTSADTSALSKITSTGAMTYSFILAIERGHATTYGSMLNAMRSTIRDTSNELRGGIVTSLISMFLTGRSFSGEITQIFLQEPQLTANEPFDVYSKPFSL >Potri.012G106700.1.v4.1 pep chromosome:Pop_tri_v4:12:12854754:12856869:1 gene:Potri.012G106700.v4.1 transcript:Potri.012G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106700.v4.1 MAMWLKKRSRKLPMSPVLPLPPPPVPEMQQQSGDNMYSLSTRRNHKKAKLQEVVAKTCQIRSWNEMDHDILVMIMNKLVKSLGWRTLDDNTMYFCKPWLLALLDALFPPGTTLDLHSYDKFPPDSYCNRARGRYFFLLHLALGPFRPQNHYTKVLFGELPADFFPWEYFGSRLPLVRSISFPTTSIDQFFVVGALLPWRNLKEVCCNDTIVKCLAKFCKRIHSLTLFGRISSPTASLIAENFPALKRLVISSCVLSVNALPTILDGQKKLEYLDTSHCFCVDEKHLYKKQVRAKEWKEEIYVKAAKNIKIHLQCARENCPPCSHLYR >Potri.016G092200.1.v4.1 pep chromosome:Pop_tri_v4:16:7580357:7584817:-1 gene:Potri.016G092200.v4.1 transcript:Potri.016G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092200.v4.1 MMCRNWFALALVCFVLLSGFSSVSATPPAKILSAAVSNVVSALVKWLWSLKSNTKMAVSSPSMMKFEGGYTVETVFDGSKLGIEPYSVEVSPSGELLLLDSENSNIYKISTQFSKYGRPKLITGSFEGYPGHVDGKLRDARMNHPKGLTVDEGGNIYVADTMNMAIRKISTDGGVTTIAGGKWARGGGHVDGPSEDAKFSNDFDVVYSASSCSLLIIDRGSQAIREIQLHDDDCNYPHDDCFHLGLAVLVAAGFFGYMLALLQRRVQILFSSTRGVPQDSRTQTKKGPPKAPYQSPPMSVRPPFIPDEDEPVKSDEGLFGSLGRLILNTSSTVGEIFGGIFSGFRRKPIHYQFQQHYQQPLKHSNTWPVQDSFVIPDEDEPPSIETRSPTSQKTYPFMTKDVEQNHHLEQNQGYYSNWGGGYHQQQQQQMHLQRYKQQQQQHHRHYMPNPKTYYEKSCETNEIVFGAVQEQNGRREAVVIKAVDYGDPRYNHHNIRPRFNYVGYSDSY >Potri.001G212700.4.v4.1 pep chromosome:Pop_tri_v4:1:21889810:21897655:1 gene:Potri.001G212700.v4.1 transcript:Potri.001G212700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212700.v4.1 MPTENPRLRDTAYEVALVALATNPSFHKDLLSTVKSWPPLIYSALPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLFADLMKPEIFDFIEKHSLHDTIREKVVQLMLLDCKRTVPLLIQNKDLISPPEVVSQLLTASNKCDSRYFLHLYLHALFEANPHAGKDFHDMQVELYADYDLKMLLPFLRSSQHYTLEKAYDICVKRDLLREQVFILGRMGNSKKALAIIINKLGDIEEAVEFVTMQHDDELWEELIKQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLVKYYKEARRAICLSNEEDARAKRDGSRDSQAAGRTASARTMEVKSKTRGETRCCMCFDPFSIRDVSVVAFFCCHAYHMSCLMDSMHTVSSGKGSGATSGISEYDSNDEDEETVSGVPRLRCILCTTAAS >Potri.001G212700.1.v4.1 pep chromosome:Pop_tri_v4:1:21889783:21897667:1 gene:Potri.001G212700.v4.1 transcript:Potri.001G212700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212700.v4.1 MTPITSENGVDGDDEREEEEEDDDDEEEEEEEEEEEEEEEEPRLKYQRMGGSIPSLLSNDAASCIAVAERMIALGTLDGTVHILDFLGNQVKEFAAHTAAVNDLSFDIEGEYIGSCSDDGTVVINSLFTDEKVLKFEYHRPMKAIALDPEYSRKMSKRFVAGGLAGQLYFNSKKWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDRRITFIERPRGSPRPELLLPHLVWQDDTLLVIGWGMSVKIASIRANQQKGANGTYRDVPVSSMNQVDIVASFQTSYYISGIAPFGDSLVVLAYIPVEEDGEKEFSSTISSRLGNAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDAEDHIAWLLEHGWHEKALAAVEAGQGRSELVDEVGSRYLDHLIVERKYAEAASLCSKLLRGSAPAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSFHKDLLSTVKSWPPLIYSALPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLFADLMKPEIFDFIEKHSLHDTIREKVVQLMLLDCKRTVPLLIQNKDLISPPEVVSQLLTASNKCDSRYFLHLYLHALFEANPHAGKDFHDMQVELYADYDLKMLLPFLRSSQHYTLEKAYDICVKRDLLREQVFILGRMGNSKKALAIIINKLGDIEEAVEFVTMQHDDELWEELIKQCLHKPEMVGVLLEHTVGNLDPLYIVNMVPNGLEIPRLRDRLVKIITDYRTETSLRHGCNDILKADCVNLLVKYYKEARRAICLSNEEDARAKRDGSRDSQAAGRTASARTMEVKSKTRGETRCCMCFDPFSIRDVSVVAFFCCHAYHMSCLMDSMHTVSSGKGSGATSGISEYDSNDEDEETVSGVPRLRCILCTTAAS >Potri.001G212700.2.v4.1 pep chromosome:Pop_tri_v4:1:21889810:21897655:1 gene:Potri.001G212700.v4.1 transcript:Potri.001G212700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212700.v4.1 MTPITSENGVDGDDEREEEEEDDDDEEEEEEEEEEEEEEEEPRLKYQRMGGSIPSLLSNDAASCIAVAERMIALGTLDGTVHILDFLGNQVKEFAAHTAAVNDLSFDIEGEYIGSCSDDGTVVINSLFTDEKVLKFEYHRPMKAIALDPEYSRKMSKRFVAGGLAGQLYFNSKKWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDRRITFIERPRGSPRPELLLPHLVWQDDTLLVIGWGMSVKIASIRANQQKGANGTYRDVPVSSMNQVDIVASFQTSYYISGIAPFGDSLVVLAYIPVEEDGEKEFSSTISSRLGNAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDAEDHIAWLLEHGWHEKALAAVEAGQGRSELVDEVGSRYLDHLIVERKYAEAASLCSKLLRGSAPAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSFHKDLLSTVKSWPPLIYSALPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLFADLMKPEIFDFIEKHSLHDTIREKVVQLMLLDCKRTVPLLIQNKDLISPPEVVSQLLTASNKCDSRYFLHLYLHALFEANPHAGKDFHDMQVWRRLTTYFFSLFLFLSTIC >Potri.001G212700.3.v4.1 pep chromosome:Pop_tri_v4:1:21889810:21897656:1 gene:Potri.001G212700.v4.1 transcript:Potri.001G212700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G212700.v4.1 MTPITSENGVDGDDEREEEEEDDDDEEEEEEEEEEEEEEEEPRLKYQRMGGSIPSLLSNDAASCIAVAERMIALGTLDGTVHILDFLGNQVKEFAAHTAAVNDLSFDIEGEYIGSCSDDGTVVINSLFTDEKVLKFEYHRPMKAIALDPEYSRKMSKRFVAGGLAGQLYFNSKKWLGYRDQVLHSGEGPIHAVKWRTSLIAWANDAGVKVYDAANDRRITFIERPRGSPRPELLLPHLVWQDDTLLVIGWGMSVKIASIRANQQKGANGTYRDVPVSSMNQVDIVASFQTSYYISGIAPFGDSLVVLAYIPVEEDGEKEFSSTISSRLGNAQRPEVRVVTWNNDELATDALPVHGFEHYKAKDYSLAHAPFSGSSYAGGQWAAGDEPLYYIVSPKDVVIAKPRDAEDHIAWLLEHGWHEKALAAVEAGQGRSELVDEVGSRYLDHLIVERKYAEAASLCSKLLRGSAPAWERWVFHFAHLRQLPVLVPYMPTENPRLRDTAYEVALVALATNPSFHKDLLSTVKSWPPLIYSALPVISAIEPQLNTSSMTDALKEALAELYVIDGQYEKAFSLFADLMKPEIFDFIEKHSLHDTIREKVVQLMLLDCKRTVPLLIQNKDLISPPEVVSQLLTASNKCDSRYFLHLYLHALFEANPHAGKDFHDMQSSIYNDCCELYFH >Potri.001G206200.1.v4.1 pep chromosome:Pop_tri_v4:1:21031907:21036490:1 gene:Potri.001G206200.v4.1 transcript:Potri.001G206200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206200.v4.1 MRRRRSFKTAPPMVKILRLLGFIVSLIIQNYTTANGKIHHHKFVVKSASFTRLCNTKEILTVNGKFPGPTLEAYTGDELIVTVYNRAKYNITLHWHGARQVRNPWSDGPEYITQCPIQPGRRFNYKITLTTEEGTIWWHAHNSWARATVHGALIIYPKHGSHYPFPKPHAEFPIILGEWWKKDVMKIPGDANITGGEPTLSAAFTINGEPGYMYPCSKAGTFKMMVEQGKTYLLRIINAVLDENLFFSIAKHKLTIVGKDGCYLKPFTSDYLMITPGQTMDVLFEANQPPSHYSMASRAYSSAFGAGFDNTTTTAIVEYHGIYHLPKSPHFSPLPPYNRTQASTDFTKQFRSPVKAHVPQKVDTRLFFTISVNLLNCSTDKPCAGPFGKRFAASMNNISFVNPPSLDILQAYYYGVAGVFERNFPRKPPNEFNYTAENLPANLLTPSFGTEVRVLKYNASVEIILQGTNVLAADNHPIHLHGYSFYVVGWGFGNFDPSKDPSRYNLVDPPEETTVGVPHNGWAAIRFRADNPGVWLLHCHIERHVTWGMGMVFLVKNGVSSQARILKPPRDLPRC >Potri.015G018600.1.v4.1 pep chromosome:Pop_tri_v4:15:1292511:1295519:1 gene:Potri.015G018600.v4.1 transcript:Potri.015G018600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G018600.v4.1 MSATKILLASHTGTALLLFLIICIQVQTCTSQTNHVCAPSSCGSLHNISLPFRLKGDPPNCGFSFYELSCENNQTVLYMYSGRYLVQSINYNNSTIRVVDSNVNKGNCSSLPQHSLTGSNFSYADPFDWRTSFWSPSNESQKIMMFMKCANPVKSPLYMDTAPCINGTNSYVLIEANLSYVENLCRVELIAMSSLPIKDYRNISYMDIHNRLVYGFELWWNEVNCGICKGKEKCYLPSKGFSCPTESIFDQIITQIRLLWWFILMMIAGLIIARTVLCSPFVFAFLIYKWLRRHLSMYDIIEEFLQSQNNFAPIRYSYSDIKKITNGFKEKLGQGGYGSVYKGKLRSGHLAAVKMLDKSSANGQDFINEVATIGRIHHVNVVRLIGFCAEGSKRALVYDFMPNGSLDKYVLSRQGNTILSWQKMYEISLGVARGIEYLHRGCDMQILHFDIKPHNVLLDENFAPKVSDFGLAKLYPTNNNTVMLTAARGTLGYIAPELFYKNIGSVSYKADVYSFGMLLMEMVGKRHSTNPVTESNSENYFPDWVYNQVVDGRVEIADATKDENKLAKKIIIVALWCIQMKPINRPPMNKVVEMLEGDVESLEFPPKPVLFPDETPTNYTYSTDETCSSSMLFDSNETISLLINSG >Potri.006G254200.1.v4.1 pep chromosome:Pop_tri_v4:6:25204010:25205029:-1 gene:Potri.006G254200.v4.1 transcript:Potri.006G254200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254200.v4.1 MAATTMMTATALPQFSGLRPSAAPVKSLAAVQPTRRKGNGALGARMDFIGSPTNLIMVTSTSLMLFAGRFGLAPSANRKATAGLKLEVRDSGLQTGDPAGFTLADTLACGSVGHIIGVGVVLGLKNIGAL >Potri.008G035600.1.v4.1 pep chromosome:Pop_tri_v4:8:1939045:1946867:1 gene:Potri.008G035600.v4.1 transcript:Potri.008G035600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035600.v4.1 MAQIPNLDNSPLNLKSFREQSQKDLVDILKNIRGKKCLVIDPKLSGSISLIIQTTILRENGAELRHLSAEPVQTDCTKVVYLVRSEFSLMRFICSNIHHDTSQGLQREYYVYFVPRREVVCEKVLEEENVHNLVTIGEYPLYMVPLDEDVLSFELDLANKECLVDGNTSSLWHIAKAIHKLESSFGVIPNVRAKGKASVRIADILNRMQAEEPVNSSDMVMPEINTLILIDREVDMVTPMCSQLTYEGLLDEFLHINNGAMELDSSIMGDQQEGKKMKVSLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTDMTTTHQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKHSFQSRLDMEQTLVEAQSYDICYDYIEELIHKQEPLVTVVRLLILFSITNSGLPKKNFDHLRRELLHSYGFEHIAMLNNLEKAGLLKKQESKGNWLTIKRNLQLVTEDTDTTKRPMEETLKLLPGPHSEMKRGGFSSSSSFDTLHGASAAVDRVADGRRSLVLVVFIGGVTFAEISALRFLSAQEAMAYDMIIGTTKIVNGNTLTQTYMEKLG >Potri.008G035600.10.v4.1 pep chromosome:Pop_tri_v4:8:1939016:1946868:1 gene:Potri.008G035600.v4.1 transcript:Potri.008G035600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035600.v4.1 MAQIPNLDNSPLNLKSFREQSQKDLVDILKNIRGKKCLVIDPKLSGSISLIIQTTILRENGAELRHLSAEPVQTDCTKVVYLVRSEFSLMRFICSNIHHDTSQGLQREYYVYFVPRREVVCEKVLEEENVHNLVTIGEYPLYMVPLDEDVLSFELDLANKECLVDGNTSSLWHIAKAIHKLESSFGVIPNVRAKGKASVRIADILNRMQAEEPVNSSDMVMPEINTLILIDREVDMVTPMCSQLTYEGLLDEFLHINNGAMELDSSIMGDQQEGKKMKVSLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTDMTTTHQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKHSFQSRLDMEQTLVEAQSYDICYDYIEELIHKQEPLVTVVRLLILFSITNSGLPKKNFDHLRRELLHSYGFEHIAMLNNLEKAGLLKKQESKGNWLTIKRNLQLVTEDTDTTNPNDIAYVFSGYAPLSIRLVQHAVRSGWWGLF >Potri.008G035600.4.v4.1 pep chromosome:Pop_tri_v4:8:1938996:1946871:1 gene:Potri.008G035600.v4.1 transcript:Potri.008G035600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G035600.v4.1 MAQIPNLDNSPLNLKSFREQSQKDLVDILKNIRGKKCLVIDPKLSGSISLIIQTTILRENGAELRHLSAEPVQTDCTKVVYLVRSEFSLMRFICSNIHHDTSQGLQREYYVYFVPRREVVCEKVLEEENVHNLVTIGEYPLYMVPLDEDVLSFELDLANKECLVDGNTSSLWHIAKAIHKLESSFGVIPNVRAKGKASVRIADILNRMQAEEPVNSSDMVMPEINTLILIDREVDMVTPMCSQLTYEGLLDEFLHINNGAMELDSSIMGDQQEGKKMKVSLNSSDKLFKEIRDLNFEVVVQVLRQKATSMKQDYTDMTTTHQTVSELKDFVKKLNSLPEMTRHINLAQHLSTFTSKHSFQSRLDMEQTLVEAQSYDICYDYIEELIHKQEPLVTVVRLLILFSITNSGLPKKNFDHLRRELLHSYGFEHIAMLNNLEKAGLLKKQESKGNWLTIKRNLQLVTEDTDTTNPNDIAYVFSGYAPLSIRLVQHAVRSGWRPMEETLKLLPGPHSEMKRGGFSSSSSFDTLHGASAAVDRVADGRRSLVLVVFIGGVTFAEISALRFLSAQEAMAYDMIIGTTKIVNGNTLTQTYMEKLG >Potri.001G375133.1.v4.1 pep chromosome:Pop_tri_v4:1:39374128:39377224:-1 gene:Potri.001G375133.v4.1 transcript:Potri.001G375133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375133.v4.1 MIDLEQVHWPGGPRYTPRGWTALTSAKLFRIGVASLSGYEEYVKVESDDRLGTNFSGFANEVFKATTASMPFCPQYEFQYFNGSYNELLEQLHLKNFDAVVGDVEIVASRHQYAEFTYPYTETGLVLIVPVRSSSKAWSFIKPFTATMWVLISVITVYNGFVVWWIERKHCDELQGSIPNQIGIMIWLSFNTLFSLNGPKLHSNLSRMSGVVWLFVALIIIQTYTANLSSMLTVQRLEPTIPSVEELLNSNAMVGTGTYMERYLAKVLKFKNQNMQHFQSAESYVKGFEDKKISAAFLGTPSAKIFLAKYCNSFIQIGPTYKIGGFGFAFPRGSPLLASVNEALLKISENGTLQELEKTWITPQKCPKMPSDSSSLGPSGFRELFFITAGTTTIAFVIYVCRTNLLRHKNIWGIISAVLKRWVSPRRHFTSRRVANVEISLPEIHLKPTKLSTE >Potri.017G077200.3.v4.1 pep chromosome:Pop_tri_v4:17:8581123:8586900:1 gene:Potri.017G077200.v4.1 transcript:Potri.017G077200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077200.v4.1 MAYGGAGTASTTRQVKLEKESELRIEVANDTPLRLRLLNGTAEIFGTELPPQIWLTFPPHFKFAVFTWYGATIEMDGSTETDYTADETPMVSYVNVHAVLDGRRNQAKASPESSQGPRVIVVGPTDSGKSTLSRMLLSWAAKQGWKPTFVDLDIGQGSITAPGCIAATPIELPIDPVEGISLEMPLVYFYGHTTPSQNVDLYKALVKELAQILERQFTGNAESRASGMVINTMGWIEGVGYELLLHAIDTFNANVVLVLGQEKLFSMLRDVLKSKPNVDVLKLQKSGGVVSRSSKFRQKSRSYRIREYFYGIANDLSPHSNIANFSDLSVYRIGGGPQAPRSALPIGADPVANPLRVAPVNFDRDLLHVVLAVSYAQEPDQIVSSNIAGFIYVTDIDLQRRKITYLSPTAGELPSKYLVMGTLTWLET >Potri.005G078000.1.v4.1 pep chromosome:Pop_tri_v4:5:5295602:5299006:-1 gene:Potri.005G078000.v4.1 transcript:Potri.005G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078000.v4.1 MAPATEIKELRICVATWNVGGKTPDPGLNLEDFLQVEDSADIYVCGFQEIVPLNAGNVLVIEDNEPAARWLALISQALNKPLHDFTNYYSDSSHGSRGLNSNKDSKSHNFFHKPSLKVLSKNYRADSSLLKICNCHEESQPRERQRTRKLSDPINKLESAKELHRRPESCADDMLYIPDMPTSPSSPTNRTYNLIASKQMVGIFLTVWVRKELVPHIGHLRVDSVCRGIMGRLGNKGCISISMSLHQTSFCFVCSHLASGEKEGDELKRNADVAEILKSTQFPKICKHRPRRAPERIVDHDRIIWLGDLNYRVALSYEETKVLLEDNDWDTLLEKDQLNIEREAGRVFEGFKEGQILFAPTYKYTQNSDSYAGETVKSRRKRRTPAWCDRILWRGDGIEQLSYVRRESRFSDHRPVCAVFAAEVEMRNKTTNRFRKGYSCAAAKLELDSCIPQRHSLYEF >Potri.005G078000.2.v4.1 pep chromosome:Pop_tri_v4:5:5295671:5300202:-1 gene:Potri.005G078000.v4.1 transcript:Potri.005G078000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078000.v4.1 MTKAKSPSNATSDDSAKNEKKKKSIIPKIFSSKRNNRSGSNDDDILGSEGSGVSLDVDKKIAARKKTFTEASPLMRKSFSERRNSSGSEGLNLSNFDQSMAPATEIKELRICVATWNVGGKTPDPGLNLEDFLQVEDSADIYVCGFQEIVPLNAGNVLVIEDNEPAARWLALISQALNKPLHDFTNYYSDSSHGSRGLNSNKDSKSHNFFHKPSLKVLSKNYRADSSLLKICNCHEESQPRERQRTRKLSDPINKLESAKELHRRPESCADDMLYIPDMPTSPSSPTNRTYNLIASKQMVGIFLTVWVRKELVPHIGHLRVDSVCRGIMGRLGNKGCISISMSLHQTSFCFVCSHLASGEKEGDELKRNADVAEILKSTQFPKICKHRPRRAPERIVDHDRIIWLGDLNYRVALSYEETKVLLEDNDWDTLLEKDQLNIEREAGRVFEGFKEGQILFAPTYKYTQNSDSYAGETVKSRRKRRTPAWCDRILWRGDGIEQLSYVRRESRFSDHRPVCAVFAAEVEMRNKTTNRFRKGYSCAAAKLELDSCIPQRHSLYEF >Potri.005G078000.3.v4.1 pep chromosome:Pop_tri_v4:5:5295601:5299007:-1 gene:Potri.005G078000.v4.1 transcript:Potri.005G078000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G078000.v4.1 MSLDCRICVATWNVGGKTPDPGLNLEDFLQVEDSADIYVCGFQEIVPLNAGNVLVIEDNEPAARWLALISQALNKPLHDFTNYYSDSSHGSRGLNSNKDSKSHNFFHKPSLKVLSKNYRADSSLLKICNCHEESQPRERQRTRKLSDPINKLESAKELHRRPESCADDMLYIPDMPTSPSSPTNRTYNLIASKQMVGIFLTVWVRKELVPHIGHLRVDSVCRGIMGRLGNKGCISISMSLHQTSFCFVCSHLASGEKEGDELKRNADVAEILKSTQFPKICKHRPRRAPERIVDHDRIIWLGDLNYRVALSYEETKVLLEDNDWDTLLEKDQLNIEREAGRVFEGFKEGQILFAPTYKYTQNSDSYAGETVKSRRKRRTPAWCDRILWRGDGIEQLSYVRRESRFSDHRPVCAVFAAEVEMRNKTTNRFRKGYSCAAAKLELDSCIPQRHSLYEF >Potri.015G044100.1.v4.1 pep chromosome:Pop_tri_v4:15:4293556:4299119:1 gene:Potri.015G044100.v4.1 transcript:Potri.015G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G044100.v4.1 MMRRFLPRNVTLYTRHLLQSSPHPNPTIPNPSLAASTHPRLRFYSDSSNNTPPEPLPESSALAELRKKDDSDEVKDVSNKELREMMDKYFKGDGEVLPSIMEAVLQRKLSRKHEETDDELMEELRMKPLSDVDAEEFQSDFDELYETDKELNDLCDAKEYVAKKMMQDQYFNMDDKKWDEMVKEAMDHGFIKDTKECEEILEDMLSWDKLLPDDIKEKVEKKFNELGDMCERGEIEAEEAYELFKKFEDELVMDYVKKMEAEGPPQFDEATVPDKKKHLDDPPGVGPIIRWQTRVVFAPGGDAWHPKNRKVKMSVTVKELGLSKPQFRRLRELVGKRYHPGRDELTITSERFEHREENRKDCLRTLFALIEEAGKATKLAEEARVSYCKDRLRANPAFMVRLHSKITGQGSNTISA >Potri.019G038701.1.v4.1 pep chromosome:Pop_tri_v4:19:5351311:5362151:1 gene:Potri.019G038701.v4.1 transcript:Potri.019G038701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038701.v4.1 MTQNDGEPAEEECPPKPQTLQTLTATHLSSSISNLSASSRAIPSNKDFHFYYNFDEFKVPIQEIAAKSQSLLESIGSSSSNDLFKEKLQFPSDVDIDEAYDWLVNVNDEIFERFDTSIDEFKRVREETGRAVDVDNEDGFQLVLGKKNKRLMKEALSDDSASCAGVDSGVKVADNKKGILGNKAKVPFHIPTIRRPQEEHNILVNNSNRGFEHVWLERSEDGSRAIHPLEKLSVLDFVDKRIGDVEPAPPLPTESTPFKLVEEVKDLKELAAKLRGVNEFAVDLEHNQYRSFQGLTCLMQISTRTEDFIVDTLKLRIHVGPYLREVFKDPAKRKVMHGADRDIVWLQRDFGIYICNLFDTGQASRVLKLERNSLEYLLHHFCGVTAKKEYQNAEWRLRPLPDEMIRYAREDTHYLLHIYDLMRALLLTKHSDNDNGDPPLVEVYKRSYDVCMQLYEKELFTENSYLNMYGLPNAGFNAQQLAIVAGLYEWRDAIARAEDESTGYILPNKTLLEIAKEMPVTPSKLRRLLKSKHSYIERHLSSVVSIIRHSMQTSAAFEAAVQHLKERLMETASQKDTEVNDGCEEKSVHGGNGMNGSVAAETSVQLKRGLLKQGSSIVELGKGGHESSAKHHGENGGVKTGSSSYISDTSPAAKVAGATVQILKKPTGAFGALLRGAAAKRKLGTDKKVGEEVKLEKIRSSVNLPFHSFMPRNEPPKPVVEEPIVSEISHPEESLAVPATGSSLQDIIMLDDDSDMEQDTRIGEPERDDSKPTNVNRDDISSGTALETDKVEEPVSLSDLSTSSQKRFQSSNQNRRTAEGKKSGESSSVLKLKPFDYTAALRFGEGPTEKLKVGNDKNQRDVRVSVGKIKSSPGAPVQKDDETGEFREGRRRQAFPASGNRSATFR >Potri.004G017900.2.v4.1 pep chromosome:Pop_tri_v4:4:1278686:1279993:-1 gene:Potri.004G017900.v4.1 transcript:Potri.004G017900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G017900.v4.1 MEVQLISRKLIKPSVQTPPHLQNLNISFLNQLAPSLYVANIFYYPSHRHEIIKNIDRLEKSLSEILTLFYPLAGRYIKDNLSVDCNDEGVELLEAKVDGVDLTQIVQQDPNTIQINKFKCGGLAIDLRNSHRISDGITISTFINAWATTFREAPKVYSKIVTKVFVINKEAIDKLNSKISGGSDSGVKYHPSRLEVVTALLWKVLIGSAKAQRGHLRASSLLQIMTLRGKVSMPLPENCCGNMLLRDAKRKANSDCANAINKFYEELNKGELNFGWGKPAWMSAVQLTLEFGILKDNKFGDGIEAWMNLKEIDMLHFQQDPDMLALTSSQ >Potri.004G175000.2.v4.1 pep chromosome:Pop_tri_v4:4:19034760:19036302:1 gene:Potri.004G175000.v4.1 transcript:Potri.004G175000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G175000.v4.1 MASKRILKELKDLQRDPPTSCSAGPVAEDMFHWQATIIGPNDSPYAGGVFLVTIHFPPDYPFKPPKVAFRTKVFHPNINSNGNICLDILKEQWSPALTISKVLLSICSLLTDPNPDDPLVPEIAHMCKADKIKYESSARSWTQKYAMG >Potri.014G073000.1.v4.1 pep chromosome:Pop_tri_v4:14:4662268:4663552:1 gene:Potri.014G073000.v4.1 transcript:Potri.014G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G073000.v4.1 MDPYEFLHLSPNPDGSLARNYLFPSVPPVEKPLSDPSKPQLALSKDIPLNPTKNTFIRIFLPSNQPPSTKLPVILYFHGGGFVLFSVASLPFHQSCCAMASNFPALILSVEYRLAPEHRLPAAYDDAMDSLAWVRDQAINGDDPWLKEYGDLSKFFLMGSSAGGNIVYHAALRALDADLSSIRIKGLIMNVPYFGGVNRTESEIRLKDDKILPMPANDLLWSLALPKDADRDHEYCNPIVAGSNDDGKIRRLPMCYVKIYGGDPLSDKQKEFSKMLESLGVHVVTSSDPDGYHAVELFDPRKAKAFYDEVKEFISTASPATNSSM >Potri.014G115600.1.v4.1 pep chromosome:Pop_tri_v4:14:7777823:7786170:1 gene:Potri.014G115600.v4.1 transcript:Potri.014G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115600.v4.1 MASVSVVPATGLRDRSGNSAGVDKLPDEMNDMKIRDDKEMEATVVDGNGTETGHIIVTTIGGKNGQPKQTISYMAERVVGHGSFGVVFQAKCLETGETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFFSTTEKDELYLNLVLEYVPETIHRVIKHYSKMSQRMPLIYVKLYFYEICRALAYIHNSIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTSAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEFKFPQIKAHPWHKIFHKRTPPEAVDLVSRLLQYSPNLRSTALEALIHPFFDELRDPNTRLPNGRFLPPLFNFKPHELKGVPLEMLVKLIPEHARKQCAFLGL >Potri.005G026400.2.v4.1 pep chromosome:Pop_tri_v4:5:1670538:1678760:1 gene:Potri.005G026400.v4.1 transcript:Potri.005G026400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026400.v4.1 MEKSVACDGTVEKLIEMGFESCAAEQAVKEVGPSLDKAVDYLLNGSSSRNCEGSGAMTSSSECFTSSKSGKRMLSGSAYSGRKRQSSILEHFRLPRSVKRGMLSSDVSDVLVSGSKVLPLSVNGCEESCVSVDCGKVENAVDGLPVWCKEEVGFGLDWEERANRVLRERFGYSSLKGFQKEALAAWAAHQDCLVLAATGSGKSLCFQIPALLTGKVVVVISPLISLMHDQCLKLSKHGVSACFLGSGQPDSSVEKKAMRGMYDIIYVCPETISRLIKPLQGLAESRGITLFAIDEVHCVSKWGHNFRPSYRQLSVLRENFSACNLKFLKFNIPLMALTATATIPVRGDVLKVLSMSKETKVVLTSFFRPNLRFSVKHCRTSSPSSYGKNLSHLIGIYAGKKRSNEKKWSSIAEELDDCSDSSADNSISDGDVSSPNDMNRIEDDCCDVDGDELNLTKENGLTASREKEMSIEYLENDVDVFHIVDDWDVACGEFIGQSPCKDQYICKSSETVDPSSKIEDRSKLLQAPLEEGATIIYVPTRKQTLSITEYLCGFGVKAAAYNASLPKSHLRQVHKEFHENLIQVVVATVAFGMGIDKSNIRRIIHYGWPQSLEAYYQEAGRAGRDGKLAECVLYANLSRTPSLLPSKRSEAQTKHAFKMLSDCFRYGMNTSCCRAKTLVEYFGEDFSYEKCLLCDVCVNGPPEMQDLKEEADILMKVIAAYHLSEQNHSFDSSYDGKCNDTKSQRAVQKPNLRMFVTKIKEQYQKFWTTDQLWWQGLARIMEGKGYIREGDEKSHVQIKCPEPTKLGLDYLEYDREQPLSVYPEADMQLSVNKHKSYSSFAEWGKGWADPEIRRQRLERKQSNRKPRKPRRTRKSGKMKLDFKTARGRIAAKLFSKQK >Potri.010G143500.1.v4.1 pep chromosome:Pop_tri_v4:10:15616684:15618843:-1 gene:Potri.010G143500.v4.1 transcript:Potri.010G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143500.v4.1 MANESFFLEESNFGEVQDAFNSTHKSFDSKEGEKTQEIRFFGADEELGQSWSNDPQSSFMSPPLETCLEEISKLRGIESGIGDLLEHNKEKQLPFSLASLQLLQKYGNGLKRLNDIERRIEPNIDPSTPKVKKQELSTEEIMKIAGARFIQSSNTDVHFNHPFDLSFSSLSASEAKNMELAELLFSSAEKLSNQQFNSASRLLDLCDFLSSNTGNPVQRLVYYFSRALRERINQETRRSTSKEQSFNIYEAIMTPSLSNMAFYKQNPFNQVSHFAGIQAIVENTIESKRIHIIDLEIRSGLQWTIFMQALVSQEAWPLELLKITAIGTTSKQLIEDTGKRLLSFAQTMNLPCSFNVVMVSDILDLREDHFQLDDEETVAVFSEFYLASLIASPNRLDSLMKVIRNINPRVMVIIEVEANHNSPVFVDRFVETLFYLSAFFDCLDTCMERDDPNRVISESIYFGEGIRKILVAEGEERNIRNVKIDVWRACFARFEMVEAEMSMSSTCQANIMAKKLACGKACTLNMDGKSLIIGWKGTPIHCLSVWKFA >Potri.012G031800.1.v4.1 pep chromosome:Pop_tri_v4:12:903095:906713:1 gene:Potri.012G031800.v4.1 transcript:Potri.012G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031800.v4.1 MVKRSFKMTESLSDFLEEVQPEFGDTAFDADDLFAIFESLDSVTDFPPVTPLDEAVVSSKESEETRQLVSQKSSSSNALHDFDETNNELETSPKSKRQKISASAAATASSDQEVNPDGQQRMSHITVERNRRKQMNEHLSVLRSLMPCFYVKRGDQASIIGGVVDYINELQQVLQSLEAKKKRKVYSEVLSPRIVSSPRPSPLSPRKPPLSPRLNLPISPRTPQPCSPYKPRILQQGYLSPTMANSLESSPSPSSSSSINDNINELVANSKSAIADVEVKFSGPNVLLKTVSPQIPGQAVKIISALEDLALEILHVSISIVDHETMLNSFTIKIGIECQLSAEELAQQIQLTFC >Potri.010G184132.1.v4.1 pep chromosome:Pop_tri_v4:10:18170137:18171423:1 gene:Potri.010G184132.v4.1 transcript:Potri.010G184132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184132.v4.1 MIHMMLFLRYDLLPKRTMMSWRKDCGERIESICFLHSCSAYKDHHCSYIFSWKELEVGKLGMGYGLLQLPSMPEVKHYSLSTKGFTPVDDVNLEEIKYKDKSSEKKRQKDLQAKKEAQQ >Potri.001G296450.1.v4.1 pep chromosome:Pop_tri_v4:1:30733940:30734179:1 gene:Potri.001G296450.v4.1 transcript:Potri.001G296450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296450.v4.1 MSYIFSLVISDRLLFFFFPFVPCQIIINCHKIGDDALIKLNKVSIQSFGGICPNSLTKSTFEVHFWEQILGMEMLYNLR >Potri.013G049000.2.v4.1 pep chromosome:Pop_tri_v4:13:3513635:3514742:1 gene:Potri.013G049000.v4.1 transcript:Potri.013G049000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G049000.v4.1 MDTFLIPMTDGNDLSTTLVSEKDDIDLSFNLLFRDAAGDKVVGFDTEYSLEPKFSRDLNAHVVEKRIALVKLCTQYRCILFRLDRDNVDYVHLLKKLSALLANKDIVFVGVRKRDDLVKLRNEYGLEISNLVELSELVVSLRGEPALGTYGARDLASNLEVLRYLEPRNSILSLINWLDNSLNRQQVMCAVIDTYAAYKIGKKLLDDRPRKYIY >Potri.017G101000.1.v4.1 pep chromosome:Pop_tri_v4:17:11228559:11231824:1 gene:Potri.017G101000.v4.1 transcript:Potri.017G101000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G101000.v4.1 MAPKRGVKAPLAAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLLLKYRPEDKAAKKERLLKRAQAAEEGKTVESKKPIVVKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTASVLCLTSVKNEDKLEFSKVLEAVKANFNDKFDEHRKKWGGGIMGSKSLAKTKAKERVLAKEAAQRMS >Potri.014G151700.4.v4.1 pep chromosome:Pop_tri_v4:14:10547617:10561115:1 gene:Potri.014G151700.v4.1 transcript:Potri.014G151700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151700.v4.1 MVIAAAAAAASSLPLGASHCQLSQADRICCSTPYGFTNGWIKSPINSCRSCDLSSIRYRNPFFGSTQFQWSSVDRELCLLKVSVAADYSDSVPDSSNYTSHQGYHPLEEVKISKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHKQISWTEFQYIIDEYGDIFFEIFDDKNILQDRGASNLVNVLIGMDIPIYENKKVANEYSIFNIGSEDDLPFDEDYFEAMDSEVSVDWGMPDTFSLVHPIYFSKCMTKAINMEYCRKMDHPSNGVSIVGCLRPSFADEESYLRRSFHCEDSDGCNSDWKDGEILSFSSKSDGSSSGSTLHRLEILRIELFSLYGSQSVVSLQDFQDAEPDVLAPSTSAILEHFSGKGSRCNVALKALCKKKGLHVEAANLVGIDSLGMDVRIFCGVEARTHRFPFKVRATCEVAAQKQMHQLLFPRSRRKKFRSHEDELGDSKS >Potri.014G151700.11.v4.1 pep chromosome:Pop_tri_v4:14:10547617:10557689:1 gene:Potri.014G151700.v4.1 transcript:Potri.014G151700.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151700.v4.1 MVIAAAAAAASSLPLGASHCQLSQADRICCSTPYGFTNGWIKSPINSCRSCDLSSIRYRNPFFGSTQFQWSSVDRELCLLKVSVAADYSDSVPDSSNYTSHQGYHPLEEVKISKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHKQISWTEFQYIIDEYGDIFFEIFDDKNILQDRGASNLVNVLIGMDIPIYENKKVANEYSIFNIGSEDDLPFDEDYFEAMDSEVSVDWGMPDTFSLVHPIYFSKCMTKAINMEYCRKMDHPSNGVSIVGCLRPSFADEESYLRRSFHCEDSDGCNSDWKDGEILSFSSKSDGSSSGSTLHRLEILRIELFSLYGSQVFT >Potri.014G151700.9.v4.1 pep chromosome:Pop_tri_v4:14:10547617:10561115:1 gene:Potri.014G151700.v4.1 transcript:Potri.014G151700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151700.v4.1 MVIAAAAAAASSLPLGASHCQLSQADRICCSTPYGFTNGWIKSPINSCRSCDLSSIRYRNPFFGSTQFQWSSVDRELCLLKVSVAADYSDSVPDSSNYTSHQGYHPLEEVKISKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHKQISWTEFQYIIDEYGDIFFEIFDDKNILQDRGASNLVNVLIGMDIPIYENKKVANEYSIFNIGSEDDLPFDEDYFEAMDSEVSVDWGMPDTFSLVHPIYFSKCMTKAINMEYCRKMDHPSNGVSIVGCLRPSFADEESYLRRSFHCEDSDGCNSDWKDGEILSFSSKSDGSSSGSTLHRLEILRIELFSLYGSQSVVSLQDFQDAEPDVLAPSTSAILEHFSGKGSRCNVALKALCKKKGLHVEAANLVGIDSLGMDVRIFCGVEARTHRFPFKVRVSNLMHS >Potri.014G151700.7.v4.1 pep chromosome:Pop_tri_v4:14:10547617:10561115:1 gene:Potri.014G151700.v4.1 transcript:Potri.014G151700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151700.v4.1 MVIAAAAAAASSLPLGASHCQLSQADRICCSTPYGFTNGWIKSPINSCRSCDLSSIRYRNPFFGSTQFQWSSVDRELCLLKVSVAADYSDSVPDSSNYTSHQGYHPLEEVKISKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHKQISWTEFQYIIDEYGDIFFEIFDDKNILQDRGASNLVNVLIGMDIPIYENKKVANEYSIFNIGSEDDLPFDEDYFEAMDSEVSVDWGMPDTFSLVHPIYFSKCMTKAINMEYCRKMDHPSNGVSIVGCLRPSFADEESYLRRSFHCEDSDGCNSDWKVCG >Potri.014G151700.10.v4.1 pep chromosome:Pop_tri_v4:14:10547631:10561115:1 gene:Potri.014G151700.v4.1 transcript:Potri.014G151700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151700.v4.1 MVIAAAAAAASSLPLGASHCQLSQADRICCSTPYGFTNGWIKSPINSCRSCDLSSIRYRNPFFGSTQFQWSSVDRELCLLKVSVAADYSDSVPDSSNYTSHQGYHPLEEVKISKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHKQISWTEFQYIIDEYGDIFFEIFDDKNILQDRGASNLVNVLIGMDIPIYENKKVANEYSIFNIGSEDDLPFDEDYFEAMDSEVSVDWGMPDTFSLVHPIYFSKCMTKAINMEYCRKMDHPSNGVSIVGCLRPSFADEESYLRRSFHCEDSDGCNSDWKDGEILSFSSKSDGSSSGSTLHRLEILRIELFSLYGSQSVVSLQDFQDAEPDVLAPSTSAILEHFSGKGSRCNVALKALCKKKGLHVEAANLVGIDSLGMDVRIFCGVEARTHRFPFKVRVSNLMHS >Potri.014G151700.13.v4.1 pep chromosome:Pop_tri_v4:14:10547617:10557689:1 gene:Potri.014G151700.v4.1 transcript:Potri.014G151700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151700.v4.1 MVIAAAAAAASSLPLGASHCQLSQADRICCSTPYGFTNGWIKSPINSCRSCDLSSIRYRNPFFGSTQFQWSSVDRELCLLKVSVAADYSDSVPDSSNYTSHQGYHPLEEVKISKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHKQISWTEFQYIIDEYGDIFFEIFDDKNILQDRGASNLVNVLIGMDIPIYENKKVANEYSIFNIGSEDDLPFDEDYFEAMDSEVSVDWGMPDTFSLVHPIYFSKCMTKKNGPSIKWCFYCGLSAAIFC >Potri.014G151700.8.v4.1 pep chromosome:Pop_tri_v4:14:10547625:10561115:1 gene:Potri.014G151700.v4.1 transcript:Potri.014G151700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151700.v4.1 MVIAAAAAAASSLPLGASHCQLSQADRICCSTPYGFTNGWIKSPINSCRSCDLSSIRYRNPFFGSTQFQWSSVDRELCLLKVSVAADYSDSVPDSSNYTSHQGYHPLEEVKISKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHKQISWTEFQYIIDEYGDIFFEIFDDKNILQDRGASNLVNVLIGMDIPIYENKKVANEYSIFNIGSEDDLPFDEDYFEAMDSEVSVDWGMPDTFSLVHPIYFSKCMTKAINMEYCRKMDHPSNGVSIVGCLRPSFADEESYLRRSFHCEDSDGCNSDWKDGEILSFSSKSDGSSSGSTLHRLEILRIELFSLYGSQSVVSLQDFQDAEPDVLAPSTSAILEHFSGKGSRCNVALKALCKKKGLHVEAANLVGIDSLGMDVRIFCGVEARTHRFPFKVRCPPLSPDL >Potri.014G151700.12.v4.1 pep chromosome:Pop_tri_v4:14:10547617:10558091:1 gene:Potri.014G151700.v4.1 transcript:Potri.014G151700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151700.v4.1 MVIAAAAAAASSLPLGASHCQLSQADRICCSTPYGFTNGWIKSPINSCRSCDLSSIRYRNPFFGSTQFQWSSVDRELCLLKVSVAADYSDSVPDSSNYTSHQGYHPLEEVKISKRTRETQLTSAEIARTTVEANTSALLVFPGSVHCEPHKQISWTEFQYIIDEYGDIFFEIFDDKNILQDRGASNLVNVLIGMDIPIYENKKVANEYSIFNIGSEDDLPFDEDYFEAMDSEVSVDWGMPDTFSLVHPIYFSKCMTKKNGPSIKWCFYCGLSAAIFC >Potri.001G402200.1.v4.1 pep chromosome:Pop_tri_v4:1:42801678:42802735:1 gene:Potri.001G402200.v4.1 transcript:Potri.001G402200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G402200.v4.1 MEGLEYFDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLISETGVGWNSELGTISASDEWWKQKIQEIKGAKKFRHVGIEPSLKNKVDRMYSNIVATGAFAWAPSSGVPDGSDVDPGTSNADIAHDGLEEGSGDSEKDVIPDFQTDMARMVGGINMSTSSNTKSGGKRKERDHYDVQGRKKKTSGIQLLSRCNQLLESMSTKSDSTSINLDREGCSISKVMAELHSIPEVSIEDEFHDFATEYLSLRRKREMWASIGDKEQKLRWLQRMYARTKHA >Potri.008G024400.1.v4.1 pep chromosome:Pop_tri_v4:8:1230546:1237989:1 gene:Potri.008G024400.v4.1 transcript:Potri.008G024400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G024400.v4.1 MVEAFAGRHDLARSMRSLSTRSPSMRSLSSASKRNWASASIREVWVNQGDMFQKSGREEDEEELKWAAIERLPTYDRLRKGMLKQVRDSGSVRYEEFDVANLDVHGRKQLIESILKVAEEDNEIFLRKLRERTDRVGIVTPKIEVRFEHLSVEGDAYVGTRALPTLVNVAVNKIEGLLGFLRLSPSKKRVVNILHDVSGIVEPMRMTLLLGPPGSGKTTLLQALSGKRDRELRVSGKVTYCGHELHEFVPQRTCAYISQHDLHHGEMTVRETLDFSGRCLGVGARYELLAELLRREKEAGIKPDPEIDAFMKAIAMEGQEASLVTDYVLKILGMDICADITVGDDMRRGISGGQKKRVTTGEMLVGPAKALFMDEISTGLDSSTTYQIVKFMRQMVHILDVTMIISLLQPAPETYDLFDDIILLSEGQIVYQGPREEVLEFFESVGFKCPERKGVADFLQEVTSKKDQEQYWSKRHEPYRYVSTLELVNCFKSFRTGQRVSEQLRIPYDKSTAHPAALVKDEYGISNMELFKACFSREWLLMKRSSFIYIFKTTQITIMALIAMTVFLRTEMTVGTVEGGGKYYGALFFSLINVMFNGMAEMAMTTTRLPVFFKQRDFKFYPAWAFALPIYLLRIPVSLLESGIWILLTYYTIGFAPAASRFFKQFLAFFSVHQMALSLFRFIAAVGRTEVVSSTLGTFTLLVVFVLGGFIVSKDDIGPWMIWGYYISPMMYGQNAIVLNEFLDDRWSVPNQDKAFSEPTVGKVLLKMRGMFMEEYWYWISVGALLGFAMLFNVLFVAALTYLDPLGDSKSIILDEDETKKSSSTGKQNIKAIEMTPASASQFTEEKAPKQRGMVLPFQPLSLAFNHVNYYVDMPAEMKMQGIKEDRLQLLRDVSGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGYIEGGISISGYPKKQETFARVSGYCEQNDIHSPYVTVYESLLYSAWLRLAKEINEDTRKMFVEEVMDLVELNTLRNSMVGLPGIDGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQVIYAGSLGHESHKLIEYFEAVPGVPKIKDGYNPATWMLEISSTAVEAQLKVDFAEIYAQSELYQSNQELIEELSKPEPGSKDLYFPTQYSQDFFTQCKACFLKQKWSYWKNPRYNTMRFFMTLTIGLIFGLIFWNQGQKINKQQDLFNLLGAMYSAVIFLGATNTSSVMSIVSIERTVFYRERAAGMYSELPYAFAQVAIEGIYVAIQTMVYSILLYVMIGFSWEFTNFLWFYFFIFTAFMYFTLYGMMLVSLTPGHQIAAIVMSFFLSFWNLFSGFLVPRTQIPLWWRWYYWASPVSWTIYGLITSQVGNLKKMIEIPEVGPVAVKDFLKARLGFEYDFLGAVAAAHIGFVVLFLFSFAYGIKYLNFQRR >Potri.006G263200.1.v4.1 pep chromosome:Pop_tri_v4:6:25899399:25902708:-1 gene:Potri.006G263200.v4.1 transcript:Potri.006G263200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263200.v4.1 MALAFFGLSIFLFLNLDLDGASFSPASAASDSGPEITYGSVVKLMHERTKYRLHSHDVPYGSGSGQQSVTGFPNVDDANSYWIVRPQPGTNAKQGDSIKTGTIFRLQHMKTRKWLHSHLHASPISGNLEVSCFGGENESDTGDYWRLMIEGSGKTWKQDQRIRLHHVDTNGYLHSHDKRYQRIAGGQQEVCGVREKRADNVWLAAEGIYLPVTESK >Potri.008G128500.1.v4.1 pep chromosome:Pop_tri_v4:8:8352876:8354647:1 gene:Potri.008G128500.v4.1 transcript:Potri.008G128500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128500.v4.1 MGKPGRDKQAKNQGAWSKQEDQKLIDYIRKHGEGCWSYLPQAAGLLRCGKSCRLRWINYLRPDLKRGNFAEDEEDLIIKLHALLGNRWSLIAGRLPGRTDNEVKNYWNSHLRRKLINMGVDPNNHRLKQNLLRPHNPPNPASASSSGLQIQAIQKPTKPHVDKEQVSDALSCVEDDSCALPDLNLDLTARFHYPSIPHVEGNPKDSSPLDIAPSPTLLLFQ >Potri.010G042700.1.v4.1 pep chromosome:Pop_tri_v4:10:7444359:7448181:1 gene:Potri.010G042700.v4.1 transcript:Potri.010G042700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042700.v4.1 MARKKIREYDSKRLLREHFKRFSGRDLPIKSAQVTESTDFNELAEKEPWLASAKLVVKPDMLFGKRGKSGLVALDLDLDQVAEFIQGRLGKEVEMGGCKGPITTFIIEPFIPHNQEFYLNIVSERLGCSISFSECGGIEIEENWDKVKTIFVPTRATFTSGDCALLVATLPSAIKGEIEEFIQVVFALFQDLDFTFLEMNPFTFVDGKPYPLDMRGELDDTAAFKNFKKWGSIEFPMPFGRVMSPSESFVHGLDEKTSASLKFTVLNPKGRIWTMVAGGGASVIYADTVGDLGYATELGNYAEYSGAPNKEEVLRYARVVIDCATADPDGHKRALVIGGGIANFTDVAATFNGIIRTLKEKESKLKAARMSIYVRRGGPNYQTGLAKMRALGEEIGIPIEVYGPEATMTGICKQAIECITAAA >Potri.018G046100.1.v4.1 pep chromosome:Pop_tri_v4:18:3933866:3936048:-1 gene:Potri.018G046100.v4.1 transcript:Potri.018G046100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G046100.v4.1 MEQDPQPKWEGKVSERLPKATADQIWPLLNDFFNLHKWFPSLATCYGIHGTNGEPGCIRHCEGSSIPSTDTNTDGHSQPVSWSSERLTVVDHVERSLSYEIVDSNIGFKSYVSTVKVVPQGDDGQDGCVIEWSFNVDPVAGLVLDELVRKYKVGLQQMAERLEDAVKGF >Potri.019G034300.2.v4.1 pep chromosome:Pop_tri_v4:19:4691954:4696742:-1 gene:Potri.019G034300.v4.1 transcript:Potri.019G034300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G034300.v4.1 MAAVPSATDKAAGLLENLSLDSQTKTAGNPAPATKDFYGDNGNFIYNQGYGYAPFGTYLPPNSPIPTMGYDGQLYGAQQQYQYPGSFYQPSTSAGLFYPSNQPNHSQGHVASSGTADKVPFSAGTATRNSNNKVNAGSVNRSNGPAAGAGFSSTLNTHPTRPISGMDQASGYMNLMNPNNRMYGQYGNRMYGQYGSRAGADFGSYAYNSWTNGRGWVVVDNKYKSRGHGYGNENRDGLNELNRGPRAKSFRNHKEFGAVTQTAEGQNLPLSESNRDENLLQIPDREQYNKEDFPEEYSDAKFFVIKSFSEDDVHKSIKYSVWTSTPNGNKKLDAAYKQGKENPGDCPVFLLFSVNTSGQFVGLAEMVGPVDFNKTVEYWQQDKWTGCFPLKWHIIKDVPNGCLRHITLENNENKPVTNSRDTQEVIFEKGVQILKIFKDHKGKTSILDDFSFYAGRERIMQEKRAKHNIHKQISEGKSAAIGKESLQTDAASIKEPAGATPLESVKMNGEVNGKEENGSDLTVENSGRDATSAVSSENVAPNVVASAC >Potri.004G151050.1.v4.1 pep chromosome:Pop_tri_v4:4:17272784:17274824:-1 gene:Potri.004G151050.v4.1 transcript:Potri.004G151050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G151050.v4.1 MMSPYFLRTLSTDKKVCYLTMMNMHVNSGFLHFLSFQFITICVEGTLFDIFQGSRFLRGFPVQDEYQRLGYTSLSPSKQIQKSGPIDNGYKRFIPAAYSFYGAAFSMALLLSKPLFTVFIF >Potri.009G116800.3.v4.1 pep chromosome:Pop_tri_v4:9:9860605:9862616:1 gene:Potri.009G116800.v4.1 transcript:Potri.009G116800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116800.v4.1 MVNTLSLYKPLLQGLMKLAGVTPRAVEIEPGTVIHFWIPSETTTTNKPAVVFLHGFGCDGILTWQFQALALAKKYAVYVPDLLFFGDSITDKTERSPAFQAECMAKGLRKLRVEKCTLVGLSYGGIVGFRMAEMFPNLVDSMVITCSVMALTESITCAGLQRIGFSSWADYLIPETVEGVKKLLEVAFYKLPWIPDFVYRHILEALYFDHKKERHELLEASIVKDKDFTVPRFTQATRRQSNGALHREGRSLGGIGVPLGLQ >Potri.009G116800.1.v4.1 pep chromosome:Pop_tri_v4:9:9860563:9862574:1 gene:Potri.009G116800.v4.1 transcript:Potri.009G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116800.v4.1 MKTNNTTPVVIIHTRFGRAYLSREGEMVNTLSLYKPLLQGLMKLAGVTPRAVEIEPGTVIHFWIPSETTTTNKPAVVFLHGFGCDGILTWQFQALALAKKYAVYVPDLLFFGDSITDKTERSPAFQAECMAKGLRKLRVEKCTLVGLSYGGIVGFRMAEMFPNLVDSMVITCSVMALTESITCAGLQRIGFSSWADYLIPETVEGVKKLLEVAFYKLPWIPDFVYRHILEALYFDHKKERHELLEASIVKDKDFTVPRFTQRIYLLGGGDDIIFDKEEVRNLKELLEGKATVHCIERAGHLVELECPLAYNRTLKQILASLYEDGKEK >Potri.013G057832.1.v4.1 pep chromosome:Pop_tri_v4:13:4236182:4236694:-1 gene:Potri.013G057832.v4.1 transcript:Potri.013G057832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057832.v4.1 MVLNSGLMVTIANVSANLCQYIACNPERLSSDQVLHLLFCLPLHHFGRLALSFWTYLCYSPTPANLPDSDSDAYSDNDSHSD >Potri.013G107650.1.v4.1 pep chromosome:Pop_tri_v4:13:11654929:11655551:-1 gene:Potri.013G107650.v4.1 transcript:Potri.013G107650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107650.v4.1 MRRLILEYEDRNMSGDRGRFWWAHFNICELTKCRVLIFFDGERKRLCFLVLVFLCNYDVGTGFMKMKITPRFEPYDYSYDSH >Potri.006G144850.1.v4.1 pep chromosome:Pop_tri_v4:6:12273330:12275339:1 gene:Potri.006G144850.v4.1 transcript:Potri.006G144850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144850.v4.1 MNPGDFHNNCWLLVWSGFSYKLSYQERKKATRGFRGKELLGFDGHGKVYEGTLLNCNTQVAVKRFFHESKQGLREFSTEISGIGHLHHKNLVCMLGWSCRRGRRIALTKRQRQKC >Potri.009G149400.2.v4.1 pep chromosome:Pop_tri_v4:9:11807083:11810202:1 gene:Potri.009G149400.v4.1 transcript:Potri.009G149400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149400.v4.1 MSSMEFWGVEVKAGEPLKVEPKDFCMIHLSQAALGESKKGNESVPLFVKFDEKKLVLGTLSPENIPQLSFDLVFEKEFELSHNWKKGSVFFCGYQVIPDDDASDFSGDEEDFEFAKADIMKAATAKDKAAKPEKPKATLVEPSIDDDEDDSDEDDSDEDGDSEEGMSLDEDSDDESDSEDEETPKKAETSKKRATDSATKTPVSSKKAKTATPLKTDAKKAGQVTPHPAKGKAAANGNSSKSPKSGGNFSCKSCDKAFGTDGALKSHSQAKHGAK >Potri.016G113200.4.v4.1 pep chromosome:Pop_tri_v4:16:11685761:11690790:-1 gene:Potri.016G113200.v4.1 transcript:Potri.016G113200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G113200.v4.1 MEYRKIKDEEDQIGDGVVGDAVTSRGVSPSKVTSFPTGSVDRLRWKRKMVVTLALTILTSSQAILIVWSKRAGKYEYSVTTANFMVETLKCALSLAALARTWKKEGVTEDNRLSTTFDEVKVYPIPAALYLVKNLLQYYIFAYVNAPGYQILKNLNIISTGVLYRIILKRKLSEVQWAGFILLCAGCTTAQLNPTSDHVLETPLQGWMMAIVMALLSGFAGVYTEAIIKKRPSRNINVQNFWLYVFGMIFNALAIVTQDFDAVVNKGFFYGYSLITTLMILNHALSGIAVSMVMKFADNIVKVYSTSVAMLLTAVVSVFLFDFHLSLAFFLGSTVVSVSVYLHSVGKMQR >Potri.002G195200.1.v4.1 pep chromosome:Pop_tri_v4:2:15805466:15809741:1 gene:Potri.002G195200.v4.1 transcript:Potri.002G195200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195200.v4.1 MAHSCLSTSTSLLFSPNPSLGSAPNADKFSFPFHHLRYSKLRELGSDRKAIQTSSVKAVYSGEFWAPERSLRQGIWSMREDLQIPSSPFFPAYANGAQGQGPPPMVHERFQSVISQLFQHRIIRCGGAVDDDMANIIVAQLLYLDAVDPNKDIVMYVNSPGGSVTAGMAIFDTMRHIRPDVSTVCVGLAASMGAFLLSAGTKGKRYSLPNSRIMIHQPLGGAQGGQSDIDIQANEMLHHKANLNGYLAYHTGQSLEKINQDTDRDYFMSAKEAKDYGLIDGVILNPLKVLQPLAAAADQQ >Potri.006G015200.7.v4.1 pep chromosome:Pop_tri_v4:6:966916:970614:-1 gene:Potri.006G015200.v4.1 transcript:Potri.006G015200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015200.v4.1 MVEGGVATADKTEFTECWRTVWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFEDVDRKTWLQETIVSMAVAGAIVGAAFGGYINDRWGRRVAILGADVVFFFGAVVMAVAPKPWVIVIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLGFTKAPGTWRWMLGVAGVPAVVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEQELNALKSSVEAEKADEAAIGEGMITKVMGAFKNKVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALTLSLITSGLNAVGSIVSMCFVDRYGRRRLMIISMIGIISFLVILSVVFIEASNHAPKVSGIETAHFGTNSTCPRFLTASDASRWSCMTCLKADCGFCANAVSEIHPGACLDSTKAIRGDCRAEKRVFFEKGCPSRFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVCGGIAAVSNWCSNLIVSESYLSLTEALGAGGTFFLFAGISTISLTFIYFLVPETKGLQFEEVEKLLEDGYKPRLFRGKKEKSKYQVESA >Potri.006G015200.8.v4.1 pep chromosome:Pop_tri_v4:6:967272:970605:-1 gene:Potri.006G015200.v4.1 transcript:Potri.006G015200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015200.v4.1 MVEGGVATADKTEFTECWRTVWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFEDVDRKTWLQETIVSMAVAGAIVGAAFGGYINDRWGRRVAILGADVVFFFGAVVMAVAPKPWVIVIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLGFTKAPGTWRWMLGVAGVPAVVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEQELNALKSSVEAEKADEAAIGEGMITKVMGAFKNKVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALTLSLITSGLNAVGSIVSMCFVDRYGRRRLMIISMIGIISFLVILSVVFIEASNHAPKVSGIETAHFGTNSTCPRFLTASDASRWSCMTCLKADCGFCANAVSEIHPGACLDSTKAIRGDCRAEKRVFFEKGCPSRFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVCGGIAAVSNWCSNLIVSESYLSLTEALGAGGTFFLFAGISTISLTFIYFLVPETKGLQFEEVEKLLEDGYKPRLFRGKKEKSKYQVESA >Potri.006G015200.9.v4.1 pep chromosome:Pop_tri_v4:6:967271:970288:-1 gene:Potri.006G015200.v4.1 transcript:Potri.006G015200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015200.v4.1 MVEGGVATADKTEFTECWRTVWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFEDVDRKTWLQETIVSMAVAGAIVGAAFGGYINDRWGRRVAILGADVVFFFGAVVMAVAPKPWVIVIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLGFTKAPGTWRWMLGVAGVPAVVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEQELNALKSSVEAEKADEAAIGEGMITKVMGAFKNKVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALTLSLITSGLNAVGSIVSMCFVDRYGRRRLMIISMIGIISFLVILSVVFIEASNHAPKVSGIETAHFGTNSTCPRFLTASDASRWSCMTCLKADCGFCANAVSEIHPGACLDSTKAIRGDCRAEKRVFFEKGCPSRFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVCGGIAAVSNWCSNLIVSESYLSLTEALGAGGTFFLFAGISTISLTFIYFLVPETKGLQFEEVEKLLEDGYKPRLFRGKKEKSKYQVESA >Potri.006G015200.6.v4.1 pep chromosome:Pop_tri_v4:6:966900:970912:-1 gene:Potri.006G015200.v4.1 transcript:Potri.006G015200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015200.v4.1 MVEGGVATADKTEFTECWRTVWKTPYIMRLALSAGIGGLLFGYDTGVISGALLYIRDDFEDVDRKTWLQETIVSMAVAGAIVGAAFGGYINDRWGRRVAILGADVVFFFGAVVMAVAPKPWVIVIGRIFVGLGVGMASMTAPLYISEASPARIRGALVSTNGLLITGGQFLSYLINLGFTKAPGTWRWMLGVAGVPAVVQFVLMLSLPESPRWLYRKDRVDEARAILEKIYPAHEVEQELNALKSSVEAEKADEAAIGEGMITKVMGAFKNKVVRRGLYAGITVQVAQQFVGINTVMYYAPTIVQFAGFASNSVALTLSLITSGLNAVGSIVSMCFVDRYGRRRLMIISMIGIISFLVILSVVFIEASNHAPKVSGIETAHFGTNSTCPRFLTASDASRWSCMTCLKADCGFCANAVSEIHPGACLDSTKAIRGDCRAEKRVFFEKGCPSRFGFLAVILLGLYIISYSPGMGTVPWIVNSEIYPLRYRGVCGGIAAVSNWCSNLIVSESYLSLTEALGAGGTFFLFAGISTISLTFIYFLVPETKGLQFEEVEKLLEDGYKPRLFRGKKEKSKYQVESA >Potri.012G093200.5.v4.1 pep chromosome:Pop_tri_v4:12:11783598:11787065:-1 gene:Potri.012G093200.v4.1 transcript:Potri.012G093200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G093200.v4.1 MTSKEEACPMCTQNCLMTHGNRTNSRTLVSSFFKVLIGGPFLTVLYFPPKFAPMVSSLNGQETFLEDSSGQRWKVKVSILNDSFVLQEGWSAFASDHGLELGDFIIFNYIMGSHFEVHIYDKSACERLDFSERRNQKERTGNNTQNFNTEYGQCLAKDKGSMNVHGSSTPNLAGEEICRGQSKLKNDVLKAKMVAKNTSTNKKETRAEKIVSKAECVEESRDMINRELGKKHEDYGETLVDLSNWGLSKANLGIEGSKKTRAGTKKLSHHAGASFKSQKEAGLGSKDTR >Potri.012G093200.1.v4.1 pep chromosome:Pop_tri_v4:12:11783861:11787043:-1 gene:Potri.012G093200.v4.1 transcript:Potri.012G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G093200.v4.1 MTSKEEACPMCTQNCLMTHGNRTNSRTLVSSFFKVLIGGPFLTVLYFPPKFAPMVSSLNGQETFLEDSSGQRWKVKVSILNDSFVLQEGWSAFASDHGLELGDFIIFNYIMGSHFEVHIYDKSACERLDFSERRNQKERTGNNTQNFNTEYGQCLAKDKGSMNVHGSSTPNLAGEEICRGQSKLKNDVLKAKMVAKNTSTNKKETRAEKIVSKAECVEESRDMINRELGKKHEDYGETLVDLSNWGLSKANLGIEGSKKTRAGTKKLSHHAGASFKSQKEAGLGSKDTR >Potri.017G050800.1.v4.1 pep chromosome:Pop_tri_v4:17:3603550:3607873:-1 gene:Potri.017G050800.v4.1 transcript:Potri.017G050800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050800.v4.1 MDPEIAKTQEERKKMEQQLASLTSLTFDRDLYGGVDRNAYETSIPATDDEEPEVGLNEVAQKLASYTAPKSVLKEMPRGGDDSEEVNGFRKPSRIIDREDDYRRRRLDRIISPERHDPFSAGEKTPDPSVRTYSDIMKEESLKRQKEELLREIAKKKKEEEEARAEKGDKGEKESNSMAKRRNRWDQSMEDGGNAAKKAKTGSDWDLPDATPGIGRWDATPTPGRIGDATPGAGRKNRWDETPTPGRVADSDATPAGGVTPGATPAGVTWDSTPKGMVTPTPKRQKSRWDETPASMESATPALGGVTPSLGGATPGPTPLGAIDMATPTPNALAMRGAITPEQYNLLRWEKDIEERNRPLTDEELDAMFPQEGYKILEPPASYVPIRTPARKLLATPTPMGTPLYSIPDENRGQQFDLGQEPPAGLPFMKPEDYQYFGALLNEEDEEELSPEEQKERKIMKLLLKVKNGTPPQRKTALRQLTDKAREFGAGPLFNRILPLLMQPTLEDQERHLLVKVIDRVLYKLDELVRPYVHKILVVIEPLLIDEDYYARVEGREIISNLSKAAGLATMIAAMRPDIDNIDEYVRNTTARAFSVVASALGIPALLPFLKAVCQSKKSWQARHTGIKIVQQIAILIGCAVLPHLRSLVEIIEHGLNDENQKVRTITALSLAALAEASAPYGIESFDSVLKPLWKGIRSHRGKVLAAFLKAIGFIIPLMDAMYANYYTKEVMFILIREFQSPDEEMKKIVLKVVKQCVSTEGVEAEYIRSDILPEFFKNFWVRRMALDRRNYRQLVETTVEIANKVGVKDIVGRIVEDLKDESEPYRRMVMETIEKVVTNMGSSDIDARLEELLIDGILYAFQEQTSDDANVMLNGFGAVVNSLGQRVKPYLPQICGTIKWRLNNKSAKVRQQAADLISRIAVVMKQCQEEQLMGHLGVVLYEYLGEEYPEVLGSILGALKAIVNVIGMTKMTPPIKDLLPRLTPILKNRHEKVQENCIDLVGRIADRGAEFVPAREWMRICFELLEMLKAHKKGIRRATVNTFGYIAKAIGPQDVLATLLNNLKVQERQNRVCTTVAIAIVAETCSPFTVLPALMNEYRVPELNVQNGVLKSLSFLFEYIGEMGKDYIYAVTPLLEDALMDRDLVHRQTAASAVKHMALGVAGLGCEDALVHLLNYVWPNIFETSPHVINAVMEAIEGMRVALGAAVVLNYCLQGLFHPARKVREVYWKIYNSLYIGSQDALVAAYPILDDEQNNIYSRPELMMFV >Potri.005G111100.7.v4.1 pep chromosome:Pop_tri_v4:5:8071705:8075394:1 gene:Potri.005G111100.v4.1 transcript:Potri.005G111100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111100.v4.1 MREGLFLILSLFYAIMIIEGSSATVLIKPSSISFPDLPAKSALSLNGSSVCGSLHVANPLDACSPLRNRFEFNESGRFALIVRGECAFEDKIKNAQSAGFRAAIVFDDKDNRNLIYMMVNPEGIKVHAVFVSKYAGEILKDRARGKEGECCIYSSRTDAAWTVLAISLISVVVILGLLILVFVTPRHWLHWQRTNNRCKSVDSKMVEALPCFTFRNASLSQCHVGETCAICLEDYKDGEVLKVLPCHHEFHSTCVDSWLTKWGTFCPVCKLDMKDKSAYFGIKR >Potri.008G175500.1.v4.1 pep chromosome:Pop_tri_v4:8:12167292:12169510:1 gene:Potri.008G175500.v4.1 transcript:Potri.008G175500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G175500.v4.1 MVKYSREPDNPTKSCKARGGDLRVHFKNTRETAFALRKLPLAKAKRYLEDVLAHKQAIPFRRFCGGVGRTAQAKNRHSNGQGRWPAKSARFILDLLKNAESNAELKGLDVDALYISHIQVNQAQKQRRRTYRAHGRINPYMSSPCHIELVLSEKEEPVKKEPETQIATSKSKKSQASS >Potri.004G209900.2.v4.1 pep chromosome:Pop_tri_v4:4:21637832:21642884:-1 gene:Potri.004G209900.v4.1 transcript:Potri.004G209900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209900.v4.1 MGSALPPRLLISASLSLSLSRRNRLFSSSSNYRYYQYKKIGIPTALPAPLTPAERRRDYTSTVNATVTATASNEDDENQKPKLIRKINDGGGGGGGGNSGIIITSCLVGLLTGIAVVLFNNAVHEIRDFFWDGIPYRGATWLREEPLDSNWLRVVSVPACGGLIVSILNHLQTTIITSSSSTTNKNDKKGWLQLPVAAVAAAPSFLKTLAACFTLGTGNSLGPEGPSVEIGASVAKGIASLAVFNNHNSNQTKLSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSPSDSKTSLTNTTSMVILSAVVASVVSEIGLGSEPAFKVPDYDFRSPSELPLYLLLGILCGLVSLTLSRCTSFMLSTVNHLHRTVGIPSAAFPVLGSLATGTIALAYPEILYWGFENVDILLESRPFVQGLSADLLLQLVGVKIVATSLCRASGLVGGYYAPSLFIGAATGMAYGKFINIAVAQSSPTLQLSFLEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWVTSGQKRRRDGKGTTKLNEGNRRPTQEPEMSSSETSGLSSDYVLTEKAPYENNPCEIESSLCIDDSSIETEVFEKNVLVSEAMRTRYVTVLMSTLLTEAVSLMLAEKQSCAMVVDDNNILIGLLTLADIDDFSKIIKSENRITKELLVTELCSLDGKRCQVPWIAKPSMDLLSVQIIMDRHGVNQVPVVSEHIEDHKRQPVGLLDRECISVTFRALATRESLR >Potri.004G209900.3.v4.1 pep chromosome:Pop_tri_v4:4:21637765:21642782:-1 gene:Potri.004G209900.v4.1 transcript:Potri.004G209900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G209900.v4.1 MGSALPPRLLISASLSLSLSRRNRLFSSSSNYRYYQYKKIGIPTALPAPLTPAERRRDYTSTVNATVTATASNEDDENQKPKLIRKINDGGGGGGGGNSGIIITSCLVGLLTGIAVVLFNNAVHEIRDFFWDGIPYRGATWLREEPLDSNWLRVVSVPACGGLIVSILNHLQTTIITSSSSTTNKNDKKGWLQLPVAAVAAAPSFLKTLAACFTLGTGNSLGPEGPSVEIGASVAKGIASLAVFNNHNSNQTKLSLLAAGSAAGISSGFNAAVAGCFFAVESVLWPSPSDSKTSLTNTTSMVILSAVVASVVSEIGLGSEPAFKVPDYDFRSPSELPLYLLLGILCGLVSLTLSRCTSFMLSTVNHLHRTVGIPSAAFPVLGVKIVATSLCRASGLVGGYYAPSLFIGAATGMAYGKFINIAVAQSSPTLQLSFLEVASPQAYGLVGMAATLAGVCQVPLTAVLLLFELTQDYRIVLPLLGAVGLSSWVTSGQKRRRDGKGTTKLNEGNRRPTQEPEMSSSETSGLSSDYVLTEKAPYENNPCEIESSLCIDDSSIETEVFEKNVLVSEAMRTRYVTVLMSTLLTEAVSLMLAEKQSCAMVVDDNNILIGLLTLADIDDFSKIIKSENRITKELLVTELCSLDGKRCQVPWIAKPSMDLLSVQIIMDRHGVNQVPVVSEHIEDHKRQPVGLLDRECISVTFRALATRESLR >Potri.019G106950.1.v4.1 pep chromosome:Pop_tri_v4:19:14239247:14240456:-1 gene:Potri.019G106950.v4.1 transcript:Potri.019G106950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G106950.v4.1 MASSPLHLPVGCGRRYDQGAAGDCVSVASVLLLEAEWKGDMFRSAMDKKKLKRPARGESEGRTTLPSFWSWRACGWFRREGVSGLSGCWFKGGECRGEGNGASGGSVLAERGGSFGCREEGRERGMGQCVAEG >Potri.001G240666.2.v4.1 pep chromosome:Pop_tri_v4:1:25826220:25828727:1 gene:Potri.001G240666.v4.1 transcript:Potri.001G240666.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240666.v4.1 MMSYKSEERDISDSEFQEEYELRKYEYLKRERIRVLNSVYGCPYCIGKRYYHPKELPQHASNLDRGSQRRTRGEQARHRALERYVRRYLCVEDSSEPSTTTESPAVCDRDRERLFVWPWMGVVANIPTQVKDGRRVGESGSKLRHELATKGFDPVTVHPLWSCFGHSGLAVVEFKKDWDGFNNAIMFEKDFDLNHCGKKDYVTILERDRGQRLYGWIARDDDYKANGLLGEHLRKNGDLKTVCGKEAEDQRKDAKLLCNLTSTLKEKYDHLREMEIRYEETTQSLIKVMDQKDSMVKSYNEEIRKMQQIAHDHFAKISLEHEKATQQLLAKREELVQCEKKLQQREVQIENERSKLLLEKKMVTHFGFLKAVNFQLCWEFCKMTEIDRSKPDIKEFYINIHLSAYKSTSKLVQHQSRYNVCDVLADISILEGKDQSYSFEHL >Potri.008G084700.3.v4.1 pep chromosome:Pop_tri_v4:8:5290086:5294897:1 gene:Potri.008G084700.v4.1 transcript:Potri.008G084700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084700.v4.1 MEEQQQEKTHVVDSNFPLFSKTPKRPKLQKTQNPDESPEIPKLEKEASSNPSNPDSAAAKTTLFSDLGLSEWALQTCKELGMKNPTQVQSHCIPKILSGRDVLGLAQTGSGKTAAFALPILHRLAEDPFGVFALVITPTRELAYQLAEQFRAFGSCLHLRCAVVVGGMDLLTQAKTLMGRPHVVIATPGRIKVLLENPDISPVFSRTKFLVLDEADRLIDVGFQEELRVVFKCLPKSRQTLLFSATMTSELQTLLELSENKAYFYEEYEGFKTVDTLNQQYIQMPKNVKEVYLVYILSKMEEMGIRSAIIFVSACSTCRLLSSLLKELDHEPATLYSLESQSARLASLHRFKSGQASILIATDLASRGLDIPTVDLVINYDLPRDPTDYVHRVGRTARAGREGLAVSLVAQHDGKLMKAIEAEVGKQFEKFECKENEVLSDITKVYKARRVAKMKMMDDGFDELVKERKKQKQKSLAEKGLLKNKRRKRKSKDMLS >Potri.008G084700.4.v4.1 pep chromosome:Pop_tri_v4:8:5290124:5294995:1 gene:Potri.008G084700.v4.1 transcript:Potri.008G084700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084700.v4.1 MEEQQQEKTHVVDSNFPLFSKTPKRPKLQKTQNPDESPEIPKLEKEASSNPSNPDSAAAKTTLFSDLGLSEWALQTCKELGMKNPTQVQSHCIPKILSGRDVLGLAQTGSGKTAAFALPILHRLAEDPFGVFALVITPTRELAYQLAEQFRAFGSCLHLRCAVVVGGMDLLTQAKTLMGRPHVVIATPGRIKVLLENPDISPVFSRTKFLVLDEADRLIDVGFQEELRVVFKCLPKSRQTLLFSATMTSELQTLLELSENKAYFYEEYEGFKTVDTLNQQYIQMPKNVKEVYLVYILSKMEEMGIRSAIIFVSACSTCRLLSSLLKELDHEPATLYSLESQSARLASLHRFKSGQASILIATDLASRGLDIPTVDLVINYDLPRDPTDYVHRVGRTARAGREGLAVSLVAQHDGKLMKAIEAEVGKQFEKFECKENEVLSDITKVYKARRVAKMKMMDDGFDELVKERKKQKQKSLAEKGLLKNKRRKRKSKDMLS >Potri.008G084700.5.v4.1 pep chromosome:Pop_tri_v4:8:5290158:5294851:1 gene:Potri.008G084700.v4.1 transcript:Potri.008G084700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084700.v4.1 MEEQQQEKTHVVDSNFPLFSKTPKRPKLQKTQNPDESPEIPKLEKEASSNPSNPDSAAAKTTLFSDLGLSEWALQTCKELGMKNPTQVQSHCIPKILSGRDVLGLAQTGSGKTAAFALPILHRLAEDPFGVFALVITPTRELAYQLAEQFRAFGSCLHLRCAVVVGGMDLLTQAKTLMGRPHVVIATPGRIKVLLENPDISPVFSRTKFLVLDEADRLIDVGFQEELRVVFKCLPKSRQTLLFSATMTSELQTLLELSENKAYFYEEYEGFKTVDTLNQQYIQMPKNVKEVYLVYILSKMEEMGIRSAIIFVSACSTCRLLSSLLKELDHEPATLYSLESQSARLASLHRFKSGQASILIATDLASRGLDIPTVDLVINYDLPRDPTDYVHRVGRTARAGREGLAVSLVAQHDGKLMKAIEAEVGKQFEKFECKENEVLSDITKQGSYRDAMQLDFTISTPLLQYIHMPMLV >Potri.011G063500.5.v4.1 pep chromosome:Pop_tri_v4:11:5376055:5382986:1 gene:Potri.011G063500.v4.1 transcript:Potri.011G063500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063500.v4.1 MSVMDDDKMDFGFDTVSSGKKKAFNFGNLDMNFDLGCDFGKLSSFKVDMSDLDFPISSKKAAKSKDTSEGESPMGNSQRKKDSFSFSFDFNELDNFDFGSTLKKDEKTSLKNLDIDGVVSDRSMHQGSKGNQARGSDAVLDDGQTEKPPASESVPTSKVETTLGGGEGDSSLSVILPSISGMKEDTVVSHRARTSTEKSISATAEETDRQSSSPERTVPAEPYALQTTQILAVQSLSKNDSTQDIVSNVEIEIGSLRTDVDVESGAEQIVNGRIVDGTDPSHESAQPKNSDSLHLTGSDNNGGESKRTDGGTPIDNTVEAEPMPDDSDFETTSIRSFSGTAPQENNTSKDIRNSASKLLVTLASQPVVDKMTIIKEKESRGVCSKFFRRSAEPEPQLHHPSSEGVEVSSYESKGISSLQLIHPEIGKRQGFTTIDAHLGRKLVGDSKSAFRELSKGEPTLLGSEKNVKSLCNIRESINYDGGQKGSKAVVTPRTLADKEVPKRNPPGIGSKMNINDLHNFGCGVNPSGSTGKTTKSNTQTSENSRTVVSSIGLLRNSKIISAEGLKAMKKTPDLSSMKNSKTMGENKGPNVTCERDISFLRNSEKNMEVKGNKASKSVLPLCNAEKNTPLITSLKRKTNEALNTDIVPSKPLKRLSLTPRENRNFKECPESMVEDQVCDQGNRGASVTPTVLYDHSSGLQITEEVNMKDVEISVASENDGNVEKAEAYAKELEDICNMLKKKHEEAKEIMVRAIVTNNSLLMLNHPMFDEKMRMVEKFAAQLVLK >Potri.011G063500.4.v4.1 pep chromosome:Pop_tri_v4:11:5376063:5382986:1 gene:Potri.011G063500.v4.1 transcript:Potri.011G063500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063500.v4.1 MAESEKKTSSSIDPKEKKSLFDVDIGNEFLGSWKSMSVMDDDKMDFGFDTVSSGKKKAFNFGNLDMNFDLGCDFGKLSSFKVDMSDLDFPISSKKAAKSKDTSEGESPMGNSQRKKDSFSFSFDFNELDNFDFGSTLKKDEKTSLKNLDIDGVVSDRSMHQGSKGNQARGSDAVLDDGQTEKPPASESVPTSKVETTLGGGEGDSSLSVILPSISGMKEDTVVSHRARTSTEKSISATAEETDRQSSSPERTVPAEPYALQTTQILAVQSLSKNDSTQDIVSNVEIEIGSLRTDVDVESGAEQIVNGRIVDGTDPSHESAQPKNSDSLHLTGSDNNGGESKRTDGGTPIDNTVEAEPMPDDSDFETTSIRSFSGTAPQENNTSKDIRNSASKLLVTLASQPVVDKMTIIKEKESRGVCSKFFRRSAEPEPQLHHPSSEGVEVSSYESKGISSLQLIHPEIGKRQGFTTIDAHLGRKLVGDSKSAFRELSKGEPTLLGSEKNVKSLCNIRESINYDGGQKGSKAVVTPRTLADKEVPKRNPPGIGSKMNINDLHNFGCGVNPSGSTGKTTKSNTQTSENSRTVVSSIGLLRNSKIISAEGLKAMKKTPDLSSMKNSKTMGENKGPNVTCERDISFLRNSEKNMEVKGNKASKSVLPLCNAEKNTPLITSLKRKTNEALNTDIVPSKPLKRLSLTPRENRNFKECPESMVEDQVCDQGNRGASVTPTVLYDHSSGLQITEEVNMKDVEISVASENDGNVEKAEAYAKELEDICNMLKKKHEEAKEIMVRAIVTNNSLLMLNHPMFDEKMRMVEKFAAQLVLK >Potri.011G063500.3.v4.1 pep chromosome:Pop_tri_v4:11:5376253:5382986:1 gene:Potri.011G063500.v4.1 transcript:Potri.011G063500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063500.v4.1 MAESEKKTSSSIDPKEKKSLFDVDIGNEFLGSWKSMSVMDDDKMDFGFDTVSSGKKKAFNFGNLDMNFDLGCDFGKLSSFKVDMSDLDFPISSKKAAKSKDTSEGESPMGNSQRKKDSFSFSFDFNELDNFDFGSTLKKDEKTSLKNLDIDGVVSDRSMHQGSKGNQARGSDAVLDDGQTEKPPASESVPTSKVETTLGGGEGDSSLSVILPSISGMKEDTVVSHRARTSTEKSISATAEETDRQSSSPERTVPAEPYALQTTQILAVQSLSKNDSTQDIVSNVEIEIGSLRTDVDVESGAEQIVNGRIVDGTDPSHESAQPKNSDSLHLTGSDNNGGESKRTDGGTPIDNTVEAEPMPDDSDFETTSIRSFSGTAPQENNTSKDIRNSASKLLVTLASQPVVDKMTIIKEKESRGVCSKFFRRSAEPEPQLHHPSSEGVEVSSYESKGISSLQLIHPEIGKRQGFTTIDAHLGRKLVGDSKSAFRELSKGEPTLLGSEKNVKSLCNIRESINYDGGQKGSKAVVTPRTLADKEVPKRNPPGIGSKMNINDLHNFGCGVNPSGSTGKTTKSNTQTSENSRTVVSSIGLLRNSKIISAEGLKAMKKTPDLSSMKNSKTMGENKGPNVTCERDISFLRNSEKNMEVKGNKASKSVLPLCNAEKNTPLITSLKRKTNEALNTDIVPSKPLKRLSLTPRENRNFKECPESMVEDQVCDQGNRGASVTPTVLYDHSSGLQITEEVNMKDVEISVASENDGNVEKAEAYAKELEDICNMLKKKHEEAKEIMVRAIVTNNSLLMLNHPMFDEKMRMVEKFAAQLVLK >Potri.011G063500.6.v4.1 pep chromosome:Pop_tri_v4:11:5376055:5382986:1 gene:Potri.011G063500.v4.1 transcript:Potri.011G063500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063500.v4.1 MNRSMHQGSKGNQARGSDAVLDDGQTEKPPASESVPTSKVETTLGGGEGDSSLSVILPSISGMKEDTVVSHRARTSTEKSISATAEETDRQSSSPERTVPAEPYALQTTQILAVQSLSKNDSTQDIVSNVEIEIGSLRTDVDVESGAEQIVNGRIVDGTDPSHESAQPKNSDSLHLTGSDNNGGESKRTDGGTPIDNTVEAEPMPDDSDFETTSIRSFSGTAPQENNTSKDIRNSASKLLVTLASQPVVDKMTIIKEKESRGVCSKFFRRSAEPEPQLHHPSSEGVEVSSYESKGISSLQLIHPEIGKRQGFTTIDAHLGRKLVGDSKSAFRELSKGEPTLLGSEKNVKSLCNIRESINYDGGQKGSKAVVTPRTLADKEVPKRNPPGIGSKMNINDLHNFGCGVNPSGSTGKTTKSNTQTSENSRTVVSSIGLLRNSKIISAEGLKAMKKTPDLSSMKNSKTMGENKGPNVTCERDISFLRNSEKNMEVKGNKASKSVLPLCNAEKNTPLITSLKRKTNEALNTDIVPSKPLKRLSLTPRENRNFKECPESMVEDQVCDQGNRGASVTPTVLYDHSSGLQITEEVNMKDVEISVASENDGNVEKAEAYAKELEDICNMLKKKHEEAKEIMVRAIVTNNSLLMLNHPMFDEKMRMVEKFAAQLVLK >Potri.001G352700.6.v4.1 pep chromosome:Pop_tri_v4:1:36689936:36692378:1 gene:Potri.001G352700.v4.1 transcript:Potri.001G352700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352700.v4.1 MAYTPYSLCPLDSDLLAESLILHGCHPLPRRRCFSKTPPKPPSSLPRNPFPSSFLDSNVIWNKYPSCKSFSCLAKKSPGLGFDPNAEISKFMTYKTELDLPVPQLLQVAKSANSVIRLGIDIGGATGTFAARMKQYNVTVVTTTMNFNVPNNEVVALRGLVPLHVPLQQRLPVFDGVVDLVRCAHAVNRWIPLTMMEFLFYDVDRVLRGGGYLWFDHFFCKRMDLDKVFGPLIGKLGYKKVKWAVGNKTDSSGLKNEEVYLTALLQKPVSK >Potri.001G352700.1.v4.1 pep chromosome:Pop_tri_v4:1:36689540:36692846:1 gene:Potri.001G352700.v4.1 transcript:Potri.001G352700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352700.v4.1 MGFSMGLNLVLLLAMVATNILSLYHLSSTLQSPKPPTQQPVPDHLLHQLNTIRATISHLTRHQPPSITPSPTSTKPSIPQDLLLYSHLSPIASSCHNHPDLLHKYMAYTPYSLCPLDSDLLAESLILHGCHPLPRRRCFSKTPPKPPSSLPRNPFPSSFLDSNVIWNKYPSCKSFSCLAKKSPGLGFDPNAEISKFMTYKTELDLPVPQLLQVAKSANSVIRLGIDIGGATGTFAARMKQYNVTVVTTTMNFNVPNNEVVALRGLVPLHVPLQQRLPVFDGVVDLVRCAHAVNRWIPLTMMEFLFYDVDRVLRGGGYLWFDHFFCKRMDLDKVFGPLIGKLGYKKVKWAVGNKTDSSGLKNEEVYLTALLQKPVSK >Potri.001G352700.5.v4.1 pep chromosome:Pop_tri_v4:1:36689478:36692848:1 gene:Potri.001G352700.v4.1 transcript:Potri.001G352700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352700.v4.1 MGFSMGLNLVLLLAMVATNILSLYHLSSTLQSPKPPTQQPVPDHLLHQLNTIRATISHLTRHQPPSITPSPTSTKPSIPQDLLLYSHLSPIASSCHNHPDLLHKYMAYTPYSLCPLDSDLLAESLILHGCHPLPRRRCFSKTPPKPPSSLPRNPFPSSFLDSNVIWNKYPSCKSFSCLAKKSPGLGFDPNAEISKFMTYKTELDLPVPQLLQVAKSANSVIRLGIDIGGATGTFAARMKQYNVTVVTTTMNFNVPNNEVVALRGLVPLHVPLQQRLPVFDGVVDLVRCAHAVNRWIPLTMMEFLFYDVDRVLRGGGYLWFDHFFCKRMDLDKVFGPLIGKLGYKKVKWAVGNKTDSSGLKNEEVYLTALLQKPVSK >Potri.001G181000.4.v4.1 pep chromosome:Pop_tri_v4:1:15942768:15944233:-1 gene:Potri.001G181000.v4.1 transcript:Potri.001G181000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181000.v4.1 MEAQMKDCSENPIIISDMGTKGLLGHYQFEDGSSQFIFLDIDEETGGISINNPLSLTTGSYVQIISSSEGLLLLSSTGENQLNYLVFNPLTKQSVTLPQHGIARLIIRSGLAFDGKHYQVVLVHVSRDEENGLGPLPGDIELEVFSSETGAWRNHRPFSLSLNVELPDNEFPELNTTPLFSNGAIHWEISGQLLVYHVEDDYCEVIELPNVFEDWSWQSTMTYRRCLWESEGRVHYTYTDFDGVHSWNLLKEDEHNVYSHQNVYDREKFRWALAYTINHEDLAEQDPDILSLGDQWEPRNISPIAYVQDSETMYLQLPGIVVAYNTKNRVLLKVCRYTFPGVDFNCCSFFPSIHRSECHKQNASKSQVGEVVDLPIGEEVNSFSF >Potri.010G102300.2.v4.1 pep chromosome:Pop_tri_v4:10:12477099:12477551:-1 gene:Potri.010G102300.v4.1 transcript:Potri.010G102300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102300.v4.1 MGADFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVAEFSDLVLHGCAVDPVEQFPRCDNTESSQAIPTGVTPVQRIKMESFRAKFMTYSYCYDRVRYRAPPSECVINTKEADRLKSYDPVTFGGGRRHHGKRHHHSRSSHAEVISI >Potri.010G102300.1.v4.1 pep chromosome:Pop_tri_v4:10:12476781:12479753:-1 gene:Potri.010G102300.v4.1 transcript:Potri.010G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102300.v4.1 MACSYLGPCFLLICSLLALFVSGSQIQRTSLPIISFDEGYTQLFGDDNLVMYRDGKRVHLSLDERTGSGFVSQDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDIFEKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSAGREERYSLWFDPSDDFHQYSILWTNSQIIFYVDNIPIREVKRTESMGADFPSKPMSLYATIWDGSDWATNGGKYRVNYKYAPYVAEFSDLVLHGCAVDPVEQFPRCDNTESSQAIPTGVTPVQRIKMESFRAKFMTYSYCYDRVRYRAPPSECVINTKEADRLKSYDPVTFGGGRRHHGKRHHHSRSSHAEVISI >Potri.010G101500.1.v4.1 pep chromosome:Pop_tri_v4:10:12425387:12426943:1 gene:Potri.010G101500.v4.1 transcript:Potri.010G101500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101500.v4.1 MGLSCGEDDFEKNESHGVPLIIESGETRARGGYMDLQHHHHPVEFDVEFWPVEHPMEPQDEDRPVKCPMPTSSVIKNGRAHEESLEKRADDLPLPAVMNKQGIFVVAAEPQVRAVRKRHHTLTRPDHRVIAPNLARMASLPAIPTQNVTIFQMLQEFDKFDQY >Potri.010G101500.2.v4.1 pep chromosome:Pop_tri_v4:10:12425488:12426864:1 gene:Potri.010G101500.v4.1 transcript:Potri.010G101500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101500.v4.1 MVGIFLRLSLCMRSHIANATSLPSEKNESHGVPLIIESGETRARGGYMDLQHHHHPVEFDVEFWPVEHPMEPQDEDRPVKCPMPTSSVIKNGRAHEESLEKRADDLPLPAVMNKQGIFVVAAEPQVRAVRKRHHTLTRPDHRVIAPNLARMASLPAIPTQNVTIFQMLQEFDKFDQY >Potri.019G005256.1.v4.1 pep chromosome:Pop_tri_v4:19:96796:99735:1 gene:Potri.019G005256.v4.1 transcript:Potri.019G005256.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G005256.v4.1 MSQHPLFISGLDLADLVVNSDLLELSCGAIKDLQTEASSDQQDSCSLSLRYKLEKKSKYTLIAFTTSTLSRKELLQQGGDLVSSTTLMEMDLPIFDFLCTERNRSFSIHRGAITLFKTHFKELSQLKTQIHDSKTGELLRTPLIVTGHSIGGSVASLFTLWLLDNIKRNQPPPKLPLCITFGSPFIGNQGLRQAMLECLTWNSCFLHVVGNKDLFPKASISHYDSPTQSAIEEYKAFGTFILCSEKGCACVDDLEVVSRLLEITRRQASCEAQEIDYYVEIVNDLKSKVIISGDSQLDLSYVQPLKAGIILQLEAIGVEMTTQQQQRKVDNKNLISKLEEREKVLMAERVQTMDPRKRLNQIKIKMAHLEWYHKICKTKGIGYYDCYKNQLGSSDRDVTRLKKFLTNYWKNFVEGVERKPQKEGAFIRGTWLYSGRNYRRMVEPLDIAEYYRDSDKRDYQTHGRSRHYILLEQWQEDDDAEKLKSSPNNKKKQNVAGILTEDSCFWAKVEDALISCKLLKSGTSSAVEKQSAKENLDMFEQYAMNQINNYAVSPEIFLKESSFMKWWKTFQEIIETSHDSPLCDFMKNGRYLQYEKGSTFLQ >Potri.005G073200.1.v4.1 pep chromosome:Pop_tri_v4:5:4878010:4883239:1 gene:Potri.005G073200.v4.1 transcript:Potri.005G073200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073200.v4.1 MEEEEHEVYGGEIPDVEGDMDPHNADVDMSAADDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPATAAANQANREEADSRSVFVGNVDYACTPEEVQQHFQSCGTVNRVTILTDKFGQPKGFAYVEFLEVEAVQEALALNESELHGRQLKVSPKRTNVPGMKQYRPRRINPYMGYRFRRPYAPPYFYSPYGYGKVPRFRRSMRYMPYY >Potri.005G073200.2.v4.1 pep chromosome:Pop_tri_v4:5:4877978:4880276:1 gene:Potri.005G073200.v4.1 transcript:Potri.005G073200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073200.v4.1 MEEEEHEVYGGEIPDVEGDMDPHNADVDMSAADDDAVKELDEMKKRLKEMEEEAAALREMQAKVEKEMGAVQDPATAAANQANREEADSRSVFVGNVRNIMSCTLDLLPLVECLNHSMHTVYMCMRVHIRKKEDIIWIY >Potri.007G018900.1.v4.1 pep chromosome:Pop_tri_v4:7:1455375:1457064:1 gene:Potri.007G018900.v4.1 transcript:Potri.007G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G018900.v4.1 MDLGEVNHQRIKTNGIWLHVVEKGSGPLVLLLHGFPEFWYSWRHQITFLANHGYHAVAPDLRGYGDSDSPLSPNSYSVLHLAGDLVGLLDYFGEQQAFVVGHDWGAVIGWHLSLFRPDRLKGLIAISVPYFPRDPVAKPIEFFTGNFGDEFYISQFQEPGRAERAFARYDYLTVMKKFLMINKTDPLIAPSGMEIIDYLQIPAVLPPWITEEELQVYADKFEESGFTGPLNYYRAMDLNWELSAPWQGAKVTVPTKYIVGDKEIGFDTYGTREYVQGDTFKGLVPDLEVVILEGGHHFIHEERAHEVSQEILTFLQKLSVD >Potri.016G109700.4.v4.1 pep chromosome:Pop_tri_v4:16:11236978:11240987:-1 gene:Potri.016G109700.v4.1 transcript:Potri.016G109700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G109700.v4.1 MNQRRQCACLRERERERERERNNINRKPKNQKNHYTPLLSLTLRPISLPPSHPSQKPHAHTSNTTKNNNNKTQISDLSNILPLMTTLSSGGPTGNSANASPRNHSGPTTTRRRVDVAPSDRTSNFSDYNHEFSDEEDNLNGSSTSGGPHLYYHYHNHSHHHPVIKYLLLRRKLFFFVPEACLLGVEDLTATISHSLRSGKNMGRKIFGVLLLMAVLSVFLKVLFWSRMEENSHENSNLVLFRHFKDDWARAQRSIIDHHPSISTPLFDKLPPQTPKIWMKPNSDNFYKCIAPARSQTRPRKTNGYLLVHANGGLNQMRTGICDMVAAAKLMNATLVLPSLDRESFWTDPSTFKDIFDWRHFMEALKGDIDIVEYLPPRYAGKKPLERAPVSWSKAKYYREEMAALLKKYKVIRFTHSDSRLANNGLAAHIQRLRCRANYEALRYSKEIVDLGKKLVDRLGNNSEPYVALHLRYEKDMLAFTGCSHNLTAEEADELRDMRHKTPHWKEKEIDSEARRLQGGCPMTPREAAIFLKAMGYPSSTTIYIVAGPIYGSDSMAPFLAEFPNVFSHSNLATAEELEPFKPYQNRLAALDYIVALESDVFIYTYDGNMAKAVQGHRRFEGFRKTINPDKQNFVALIDQLDEGTLSWEEFSSQVQSLHSDRIGAPYRRQAGSFPKEEENFYANPLPGCVCNSSQDKFVSPKLVHKVKLRTSAALNRQGLSLNL >Potri.016G109700.2.v4.1 pep chromosome:Pop_tri_v4:16:11237076:11240978:-1 gene:Potri.016G109700.v4.1 transcript:Potri.016G109700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G109700.v4.1 MTTLSSGGPTGNSANASPRNHSGPTTTRRRVDVAPSDRTSNFSDYNHEFSDEEDNLNGSSTSGGPHLYYHYHNHSHHHPVIKYLLLRRKLFFFVPEACLLGVEDLTATISHSLRSGKNMGRKIFGVLLLMAVLSVFLKVLFWSRMEENSHENSNLVLFRHFKDDWARAQRSIIDHHPSISTPLFDKLPPTPKIWMKPNSDNFYKCIAPARSQTRPRKTNGYLLVHANGGLNQMRTGICDMVAAAKLMNATLVLPSLDRESFWTDPSTFKDIFDWRHFMEALKGDIDIVEYLPPRYAGKKPLERAPVSWSKAKYYREEMAALLKKYKVIRFTHSDSRLANNGLAAHIQRLRCRANYEALRYSKEIVDLGKKLVDRLGNNSEPYVALHLRYEKDMLAFTGCSHNLTAEEADELRDMRHKTPHWKEKEIDSEARRLQGGCPMTPREAAIFLKAMGYPSSTTIYIVAGPIYGSDSMAPFLAEFPNVFSHSNLATAEELEPFKPYQNRLAALDYIVALESDVFIYTYDGNMAKAVQGHRRFEGFRKTINPDKQNFVALIDQLDEGTLSWEEFSSQVQSLHSDRIGAPYRRQAGSFPKEEENFYANPLPGCVCNSSQDKFVSPKLVHKVKLRTSAALNRQGLSLNL >Potri.016G060700.1.v4.1 pep chromosome:Pop_tri_v4:16:4214666:4215369:-1 gene:Potri.016G060700.v4.1 transcript:Potri.016G060700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060700.v4.1 MARTLTEVLIFLFLSLILFPITLATARPDTFSRNLSPKKLGLKREKLSHLHFYFHDTLSGKNPTAVPVAQAATTNKSSTSFGLVAMIDDPLTVKPEVSSKQVGRAQGIYASASQSEVSFLMVLNLFFTEGKYNGSTLSILGRNSIFSGIREMPIVGGSGLFRFARGYTQAKTYIANLKTNDAIVEYNVYVFHY >Potri.014G078200.1.v4.1 pep chromosome:Pop_tri_v4:14:5061879:5062915:-1 gene:Potri.014G078200.v4.1 transcript:Potri.014G078200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G078200.v4.1 MQLTLEFGGGLELLCDSVKIHNVDVSPNNEVDKFTMKDLLVWVRANLIKERPEMFMKDDSVRPGVLVLVNDCDWELSGQLDTPLEEKDVVVFISTLHGG >Potri.013G051100.3.v4.1 pep chromosome:Pop_tri_v4:13:3625909:3628387:1 gene:Potri.013G051100.v4.1 transcript:Potri.013G051100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051100.v4.1 MASNSSACSSYWVISGLALVLGAIVHQADARAFLVFGDSLVDSGNNNYLATTARADSYPYGIDYPTHQATGRFSNGLNIPDLISEQIGSESPLPYLSPELRGQKLLVGANFASAGIGILNDTGIQFLNIIRMHRQLEYFQQYQQRVGALIGAEKAKRLVNQSLILLTVGGNDFVNNYYLVPYSARSRQYDLPDYVKHLISEYKKLLMRLYNLGARRVLVTGTGPLGCVPAELATRSTNGGCSAELQRAAALYNPQLESMIIDVNRKIGSDVFIAANTHQMHADFVSNPQAYGFTTSKIACCGQGPYNGLGLCTLLSNLCPNRELYAFWDPFHPSEKANKIIVQQIMTGSTRYMKPMNLSTIMALDSRT >Potri.005G189500.1.v4.1 pep chromosome:Pop_tri_v4:5:19727479:19730154:-1 gene:Potri.005G189500.v4.1 transcript:Potri.005G189500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G189500.v4.1 MRSFSFLVFLLSFFLLFDFSFPSLSVSFLPDRNVTLYGDAHLTNNAISLTQQHTCPASSSSSSSSSNGVGKALYLYPIRFLDPITNTTASFFCRFSFSIIRSPLCSSGDGMAFLITSNADSFSLSKGYMGLPEPALNPQDSFIAVEFDTSCDPSLGDISSNHIGIDANTIVSFAAIDAVSVGIDLQSGRQITAWIEYSDSSKLIQVWVSYFQVRPPSPILVAQVDLSEHFKEYMHVGFSASNGQGSAVHIVDHWRFKTYATLSSVTPRDTSDKGDCLMCYPENPMYNYGPGTQNGWKKKLLEMALGLGGLAAFTVSLIVVLFVIIFFAIKKGKGVGGRTKEGRINRVPRRLSITEIRTATMGFHRSRIIGQGASATVFKGYLSSCGSVAVKRFDQAGIECARNPFITEFATMVGCLRHENLVQLQGWCCEGTVLALVYEYLPNGSLNEVLHKNSSSAIFLLWKQRVNIVLGVASALSYLHEECERQIIHRDVKACNILLDAEFNAKLGDFGLAEVYEHSSVMRAATIPAGTTGYLAPEYVYYGVPSVKSDVYSFGVVMLEVATGKRPVDDVGAVLVDRVWSFWEKGKLIEAADSKLVGMFNTLEVERMLMVGLSCVHPNHEMRPTVKEAAVILRGQAPLPVLPQRKPAVGFQSVLSEDSDETLNLAGDNSPCTDDVTWMTPRTSFG >Potri.009G081600.1.v4.1 pep chromosome:Pop_tri_v4:9:7768999:7772319:-1 gene:Potri.009G081600.v4.1 transcript:Potri.009G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081600.v4.1 MESVANQRIARVSAHLQPPNSQMEESCVLKRTDCRAKGGAPGFKVAILGAAGGIGQPLAMLMKMNPLVSVLHLYDVVNAPGVTADISHMDTGAVVRGFLGQPQLESALTGMDLVIIPAGVPRKPGMTRDDLFKINAGIVRTLCEGVAKCCPNAIVNLISNPVNSTVPIAAEVFKKAGTYDPKRLLGVTMLDVVRANTFVAEVLGLDPREVDVPVVGGHAGVTILPLLSQAKPPSSFTPEETEYLTKRIQDGGTEVVQAKAGAGSATLSMAYAAVKFADACLRGLRGDAGVVECAFIASEVTELPFFATKVRLGRRGAEEVYQLGPLNEYERVGLQKAKKELAESIQKGISFIRK >Potri.005G047901.1.v4.1 pep chromosome:Pop_tri_v4:5:3038862:3040789:-1 gene:Potri.005G047901.v4.1 transcript:Potri.005G047901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047901.v4.1 MESSSSSSLTGGFGQIGSSKQLTADSISQFREGICLILSKWSALQLAVENEWGGRGSGLLAEQLASDILSWFTQSKEPLFIDDLEGILDEAMLSLNTMIDDGSIEEVAEKLMIMHEECLEGNYSSIQKLREAAPRTRAHQHVKQAVDSDDDSEDSGNDDKMGDDESNMMVDAPEFQSKMYPVNKPVNVPRAKEAQSEDGWTVVSSRRNKDKRN >Potri.004G140200.2.v4.1 pep chromosome:Pop_tri_v4:4:16259681:16265420:-1 gene:Potri.004G140200.v4.1 transcript:Potri.004G140200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140200.v4.1 MLDMYDFQNDIWLCHSFGGNCYNFTAFQPAINVLKEIQAFLEANPSEIITIFIEDYVTSPRGLTKVFDAAGLRKYWYPVSRMPKNGGKWPTVDDMVQKNQRLVVFTSKSAKEASEGIAYEWRYIVENQYGDGGMIAGSCPNRAESPALDTTSRSLVLVNHFPDRPDITQACKHNSAPLMAMVNTCYQAAGNRWPNFIAVDFYKRSDGGGAPAAVDVSNGHLVCGCGNIATCKPNMTFGACNLPEPSITPAPGTVANDSSFANFEKRPVQGRWLPGTVLVALFLSLSI >Potri.004G140200.1.v4.1 pep chromosome:Pop_tri_v4:4:16259608:16265490:-1 gene:Potri.004G140200.v4.1 transcript:Potri.004G140200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140200.v4.1 MLCLQASIRQNTPKPPIMQLQRSILIASLFTSAFLLGFSTALKEGQTCVVDSNCNSGLHCETCVANGNVRPRCTRIQPLIPTSKEKGLPFNQYTWLTTHNSFAKLGDRSATGSIILAPTNQQDTVTSQLNNGIRGFMLDMYDFQNDIWLCHSFGGNCYNFTAFQPAINVLKEIQAFLEANPSEIITIFIEDYVTSPRGLTKVFDAAGLRKYWYPVSRMPKNGGKWPTVDDMVQKNQRLVVFTSKSAKEASEGIAYEWRYIVENQYGDGGMIAGSCPNRAESPALDTTSRSLVLVNHFPDRPDITQACKHNSAPLMAMVNTCYQAAGNRWPNFIAVDFYKRSDGGGAPAAVDVSNGHLVCGCGNIATCKPNMTFGACNLPEPSITPAPGTVANDSSFANFEKRPVQGRWLPGTVLVALFLSLSI >Potri.006G134400.1.v4.1 pep chromosome:Pop_tri_v4:6:11032355:11038036:1 gene:Potri.006G134400.v4.1 transcript:Potri.006G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G134400.v4.1 MIKMADQSQAHSRVPDDTVYYAIFPDSSSLSNPSASSQSLHLYLQILDFISPYTSSYIWQHEPFSLSLSSSSSPPLPHLHGKLRFGDNIEDEWFTVFLLFQISHHFPSLSIRVWDNDGEFLLIEAAFHLPRWINPETSDNRVFIRRGDIHIVPKSRLPNPKLIDSLKFLIDCEGESRAAESVQIAVKGRISDYPERARRNMHQARVRVPVSVAQVLKQEPCLISLAVEGFYDRDIDTMKYAAKMEKFLSKGKEEELVCVVIKMSRAMYAQLMQQKFQAPKCYRMPNRGDDLGAYLEAELGMKIACGFEMMYQQRRREGEEGKGSTWLKYKESLERSGYFEGFLPGSKDYKRLMENAEGYYRNSTLFSRTSQMMSAPVKRIDEILALPHSADDFSCQEVPPSDDDSWLYSGEDELNAALQQRQNEMDLYNAKHKKKQMPKESQDAGPSSSSNFDDFDLGEMAKAMQAFVDKASSYKGAEVPENRNMKEVDLDVECFLNDMESVMKRYGPKDGAADVDSEEASSSDMDFDESEDESDIMEASEDNVDGEDTFMNTYSDALNEELKNTTLKKSFVRTDDQLSKKNEETSNTMEGMDEEFTPVDVDVNLVKSLLDSYSSQQGQPGPTSNLLGLMGLQLPQDTTKGK >Potri.001G056200.3.v4.1 pep chromosome:Pop_tri_v4:1:4229659:4232258:-1 gene:Potri.001G056200.v4.1 transcript:Potri.001G056200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056200.v4.1 MRSQSLFLLGVLLFFASSASVFRAVDGESLSEECSSDVQKVMGCLSYATGKANTPPKDCCSAVQDIKDSEPKCLCYTMQQAHNASSQFKSLGVQEAKLLQLPTACQLQNASLSFCPKLLGLSPGSADAAIFTNASTSATPAVSTGKSQPEQAGGSSRIQLRPPLAGLLMIVATIFVFAFPAGSASMFQVLG >Potri.006G038500.10.v4.1 pep chromosome:Pop_tri_v4:6:2541548:2546378:1 gene:Potri.006G038500.v4.1 transcript:Potri.006G038500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038500.v4.1 MRENYEAIILEDHAFSEQHEIEYALWQLHYRRIEEFRTHCKAALASNGSVTSQNGTMIARPERITKIRSQFKTFLSEATGFYHDLMLKIRAKCGLPLVSFSDNSESQNIMCGEGNKATMMRKGLISCHRCLIYLGDLSRYKGLYGEGDSKISDFSAASSYYKQASSLWPSSGNPHHQLGILATYSGYEFEAIYCYFRSLAVDNPFSTARDNLIIEFEKNRQSFSQLCGDAKASLTKNATRQIGRRGRGRGSKMSPLKDNKKDASALKKNTSSIPETLKAFKIRFVRLNGILFTRTSLETFVEVLSMVKSDLLELLSSGPKEEYNFDSSAEDNGLVIVRLISILIFTIHNVNREAKLESYADILQRSVLLQNAFTAIFDLMGLVIKRCTQLNDPLASFLLPGVMIFLEWLACHPDFATGIEVEEHQAAARLFFWENCISFLNKLLSNGTMPIDVDGEDACFSNMSSYDESETSNRLALWEDFELRGFLPLAPAQLILDFSRKQSVTSDVGVKEKKACLQRILYAGKALVSLVRVGQQGMYFDSESKKFAIGAEPQTAHSFEVAASLEMSLNVSGQKYPAVEKLSVEQTPLYMDGEEEDEVIIFKPLMTDRHFDVNALELSTFEIPSNASQGNMESCIGSVPVSCDSYYLSNGFNRSTVGPKSPASVAPLHFQALQPTASKWPAKSEGSISNGLNNFNLVGYGLVMKSGLQEHQVVLQPSAVSLPLPLFVNPSAGNLLPAKVPDTVVHLKSEPVMSSVSGFDSLSLKASSVFPASSRLNPVSRPVHHLGPPPGFSSVPPKAKCEILSGIGQENYDFHMDDYSWLDGYQPPSSAKATVFNNSINHPEQSCHHTTANDGLTGTRMFPFPGKQLQTFPMKIESQNGSLNRQLPDHLKLYQEWQQQQLAKIG >Potri.006G038500.7.v4.1 pep chromosome:Pop_tri_v4:6:2539286:2546484:1 gene:Potri.006G038500.v4.1 transcript:Potri.006G038500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038500.v4.1 MTVPMDNPVDLSSRDRVQRLYDKNVELGNRLRRSAQARIPSDFTVWQQMRENYEAIILEDHAFSEQHEIEYALWQLHYRRIEEFRTHCKAALASNGSVTSQNGTMIARPERITKIRSQFKTFLSEATGFYHDLMLKIRAKCGLPLVSFSDNSESQNIMCGEGNKATMMRKGLISCHRCLIYLGDLSRYKGLYGEGDSKISDFSAASSYYKQASSLWPSSGNPHHQLGILATYSGYEFEAIYCYFRSLAVDNPFSTARDNLIIEFEKNRQSFSQLCGDAKASLTKNATRQIGRRGRGRGSKMSPLKDNKKDASALKKNTSSIPETLKAFKIRFVRLNGILFTRTSLETFVEVLSMVKSDLLELLSSGPKEEYNFDSSAEDNGLVIVRLISILIFTIHNVNREAKLESYADILQRSVLLQNAFTAIFDLMGLVIKRCTQLNDPLASFLLPGVMIFLEWLACHPDFATGIEVEEHQAAARLFFWENCISFLNKLLSNGTMPIDVDGEDACFSNMSSYDESETSNRLALWEDFELRGFLPLAPAQLILDFSRKQSVTSDVGVKEKKACLQRILYAGKALVSLVRVGQQGMYFDSESKKFAIGAEPQTAHSFEVAASLEMSLNVSGQKYPAVEKLSVEQTPLYMDGEEEDEVIIFKPLMTDRHFDVNALELSTFEIPSNASQGNMESCIGSVPVSCDSYYLSNGFNRSTVGPKSPASVAPLHFQALQPTASKWPAKSEGSISNGLNNFNLVGYGLVMKSGLQEHQVVLQPSAVSLPLPLFVNPSAGNLLPAKVPDTVVHLKSEPVMSSVSGFDSLSLKASSVFPASSRLNPVSRPVHHLGPPPGFSSVPPKAKCEILSGIGQENYDFHMDDYSWLDGYQPPSSAKATVFNNSINHPEQSCHHTTANDGLTGTRMFPFPGKQLQTFPMKIESQNGSLNRQLPDHLKLYQEWQQQQLAKIG >Potri.006G038500.6.v4.1 pep chromosome:Pop_tri_v4:6:2539304:2546936:1 gene:Potri.006G038500.v4.1 transcript:Potri.006G038500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038500.v4.1 MTVPMDNPVDLSSRDRVQRLYDKNVELGNRLRRSAQARIPSDFTVWQQMRENYEAIILEDHAFSEQHEIEYALWQLHYRRIEEFRTHCKAALASNGSVTSQNGTMIARPERITKIRSQFKTFLSEATGFYHDLMLKIRAKCGLPLVSFSDNSESQNIMCGEGNKATMMRKGLISCHRCLIYLGDLSRYKGLYGEGDSKISDFSAASSYYKQASSLWPSSGNPHHQLGILATYSGYEFEAIYCYFRSLAVDNPFSTARDNLIIEFEKNRQSFSQLCGDAKASLTKNATRQIGRRGRGRGSKMSPLKDNKKDASALKKNTSSIPETLKAFKIRFVRLNGILFTRTSLETFVEVLSMVKSDLLELLSSGPKEEYNFDSSAEDNGLVIVRLISILIFTIHNVNREAKLESYADILQRSVLLQNAFTAIFDLMGLVIKRCTQLNDPLASFLLPGVMIFLEWLACHPDFATGIEVEEHQAAARLFFWENCISFLNKLLSNGTMPIDVDGEDACFSNMSSYDESETSNRLALWEDFELRGFLPLAPAQLILDFSRKQSVTSDVGVKEKKACLQRILYAGKALVSLVRVGQQGMYFDSESKKFAIGAEPQTAHSFEVAASLEMSLNVSGQKYPAVEKLSVEQTPLYMDGEEEDEVIIFKPLMTDRHFDVNALELSTFEIPSNASQGNMESCIGSVPVSCDSYYLSNGFNRSTVGPKSPASVAPLHFQALQPTASKWPAKSEGSISNGLNNFNLVGYGLVMKSGLQEHQVVLQPSAVSLPLPLFVNPSAGNLLPAKVPDTVVHLKSEPVMSSVSGFDSLSLKASSVFPASSRLNPVSRPVHHLGPPPGFSSVPPKAKCEILSGIGQENYDFHMDDYSWLDGYQPPSSAKATVFNNSINHPEQSCHHTTANDGLTGTRMFPFPGKQLQTFPMKIESQNGSLNRQLPDHLKLYQEWQQQQLAKIG >Potri.006G038500.9.v4.1 pep chromosome:Pop_tri_v4:6:2539420:2546367:1 gene:Potri.006G038500.v4.1 transcript:Potri.006G038500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038500.v4.1 MTVPMDNPVDLSSRDRVQRLYDKNVELGNRLRRSAQARIPSDFTVWQQMRENYEAIILEDHAFSEQHEIEYALWQLHYRRIEEFRTHCKAALASNGSVTSQNGTMIARPERITKIRSQFKTFLSEATGFYHDLMLKIRAKCGLPLVSFSDNSESQNIMCGEGNKATMMRKGLISCHRCLIYLGDLSRYKGLYGEGDSKISDFSAASSYYKQASSLWPSSGNPHHQLGILATYSGYEFEAIYCYFRSLAVDNPFSTARDNLIIEFEKNRQSFSQLCGDAKASLTKNATRQIGRRGRGRGSKMSPLKDNKKDASALKKNTSSIPETLKAFKIRFVRLNGILFTRTSLETFVEVLSMVKSDLLELLSSGPKEEYNFDSSAEDNGLVIVRLISILIFTIHNVNREAKLESYADILQRSVLLQNAFTAIFDLMGLVIKRCTQLNDPLASFLLPGVMIFLEWLACHPDFATGIEVEEHQAAARLFFWENCISFLNKLLSNGTMPIDVDGEDACFSNMSSYDESETSNRLALWEDFELRGFLPLAPAQLILDFSRKQSVTSDVGVKEKKACLQRILYAGKALVSLVRVGQQGMYFDSESKKFAIGAEPQTAHSFEVAASLEMSLNVSGQKYPAVEKLSVEQTPLYMDGEEEDEVIIFKPLMTDRHFDVNALELSTFEIPSNASQGNMESCIGSVPVSCDSYYLSNGFNRSTVGPKSPASVAPLHFQALQPTASKWPAKSEGSISNGLNNFNLVGYGLVMKSGLQEHQVVLQPSAVSLPLPLFVNPSAGNLLPAKVPDTVVHLKSEPVMSSVSGFDSLSLKASSVFPASSRLNPVSRPVHHLGPPPGFSSVPPKAKCEILSGIGQENYDFHMDDYSWLDGYQPPSSAKATVFNNSINHPEQSCHHTTANDGLTGTRMFPFPGKQLQTFPMKIESQNGSLNRQLPDHLKLYQEWQQQQLAKIG >Potri.006G038500.8.v4.1 pep chromosome:Pop_tri_v4:6:2539282:2546396:1 gene:Potri.006G038500.v4.1 transcript:Potri.006G038500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038500.v4.1 MTVPMDNPVDLSSRDRVQRLYDKNVELGNRLRRSAQARIPSDFTVWQQMRENYEAIILEDHAFSEQHEIEYALWQLHYRRIEEFRTHCKAALASNGSVTSQNGTMIARPERITKIRSQFKTFLSEATGFYHDLMLKIRAKCGLPLVSFSDNSESQNIMCGEGNKATMMRKGLISCHRCLIYLGDLSRYKGLYGEGDSKISDFSAASSYYKQASSLWPSSGNPHHQLGILATYSGYEFEAIYCYFRSLAVDNPFSTARDNLIIEFEKNRQSFSQLCGDAKASLTKNATRQIGRRGRGRGSKMSPLKDNKKDASALKKNTSSIPETLKAFKIRFVRLNGILFTRTSLETFVEVLSMVKSDLLELLSSGPKEEYNFDSSAEDNGLVIVRLISILIFTIHNVNREAKLESYADILQRSVLLQNAFTAIFDLMGLVIKRCTQLNDPLASFLLPGVMIFLEWLACHPDFATGIEVEEHQAAARLFFWENCISFLNKLLSNGTMPIDVDGEDACFSNMSSYDESETSNRLALWEDFELRGFLPLAPAQLILDFSRKQSVTSDVGVKEKKACLQRILYAGKALVSLVRVGQQGMYFDSESKKFAIGAEPQTAHSFEVAASLEMSLNVSGQKYPAVEKLSVEQTPLYMDGEEEDEVIIFKPLMTDRHFDVNALELSTFEIPSNASQGNMESCIGSVPVSCDSYYLSNGFNRSTVGPKSPASVAPLHFQALQPTASKWPAKSEGSISNGLNNFNLVGYGLVMKSGLQEHQVVLQPSAVSLPLPLFVNPSAGNLLPAKVPDTVVHLKSEPVMSSVSGFDSLSLKASSVFPASSRLNPVSRPVHHLGPPPGFSSVPPKAKCEILSGIGQENYDFHMDDYSWLDGYQPPSSAKATVFNNSINHPEQSCHHTTANDGLTGTRMFPFPGKQLQTFPMKIESQNGSLNRQLPDHLKLYQEWQQQQLAKIG >Potri.006G020900.1.v4.1 pep chromosome:Pop_tri_v4:6:1406880:1412938:1 gene:Potri.006G020900.v4.1 transcript:Potri.006G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G020900.v4.1 MVISKLLRSTLKSQLRSCIKNGTASGSSQEHHLRAPFLARLYSTKASLQKEDSTIDGNSFKGHDMLAPFTAGWQSSDLNPLVIEKSEGSYVYDINGKKYLDALAGLWCTALGGNEPRLVAAATEQLNKLPFYHSFWNRTTKPSLDLAKELLETFTASKMAKAFFTNSGSEANDTQVKLVWYYNNALGRPNKKKFIARAKSYHGSTLIAASLSGLPALHQKFDLPAPFVLHTDCPHYWRYHLPGETEEEFSTRLANNLENLILKEGPETIAAFIAEPVMGAGGVIPPPATYFDKIQAVVKKYEILFIADEVICAFGRLGTMYGCDKYNIKPDLVSVAKALSSAYMPIGAVMVSPEVSDVIHSQSSKLGSFSHGFTYSGHPVACAVAIEALKIYKERNILDQVNRIAPKFQDGVKAFADSPIIGEIRGTGLILGTEFVDNKSPNDPFPPEWGVGAYFGAQCEKDGMLVRVAGDNIMMSPPFIMSPEEVDVLISKYGKALRATEERVKELKSQHKKQ >Potri.016G071100.2.v4.1 pep chromosome:Pop_tri_v4:16:5188369:5190008:1 gene:Potri.016G071100.v4.1 transcript:Potri.016G071100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071100.v4.1 MASLKSQDCGSICQLALFLLAVLAGISIITLGLMMNSKYSPRTQKQPLVIRLNSFSVSDLNVSNSISGANWDAVLLFGNRHSYFEISIESFESFVYYYHQDALSCALVESIHLGPKKQKLVQIKFNASGCGGGEQPFVEERVLKEIKRDGDNGTLHLGLVLNFQASYRKGPWSWVYWLKAKCTDLDVLFETGPGAGMMIGDEPRACSVPLLK >Potri.003G142400.1.v4.1 pep chromosome:Pop_tri_v4:3:15792949:15799437:1 gene:Potri.003G142400.v4.1 transcript:Potri.003G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142400.v4.1 MGARQQGGSAIQATSTGGHPSLHTVKPLGRTLFNRVFATIYALSILALLFYHAKTLFYSTTLVSFSVTLALLISDLVLAFFWVSTQTFRMFPVYRKQFPENLKKIMERSDFPALDVFICTADPYKEPPISVVNTALSVMAYDYPTEKISVYVSDDGGSALTLFAFMEASKFATHWLPFCKKNNILERSPEAYFELNHTCTSEAVNIKIIYESMKVKVERVLERGKVEDENITSGQEREAFNKWADSFTRQDHPAVIQVLLDASKDKDIAGYSMPNLIYVSREKNKASPHHFKAGALNALLRVSGCMTNAPIILTLDCDSCSNDPETPLRAMCYLSDPETRPQLAYVQFPQIFRGINKSDIYNAEFKRLYQINVMGFDGLSGPNYLGTGCFFQRRAFYGSPSSLASPEIPELAPDYIVDKPIQSQSVLAKAHQVATCNYESRSNWGSKIGFRYGSLSEDYHTGFRMQCEGWKSIFCDPDRPAFLGDVPITLNDALNQQKRWSIGLLEVGFSKYSPATFGVKAIGLLMGLAYAQSAFWAIWSIPITTYAFLPQLALLNKVYIFPKVSEPWFFLYAFLFLGAYGQDFLDFILAGGSIQRWWSDQRFWIIRGISSYVFGSVEFFLKFLGISAFGFNVTSKVVDHEQSKRYGQGIFEFGVHSPMFVTLTAAAIINLISFSQGLVEVFRGNNLEGLFVQMFISGFAVVNSWPIYEAIAWRKDKGKMPIKTSIIATLLAGTLYTVSCFVFL >Potri.003G142400.3.v4.1 pep chromosome:Pop_tri_v4:3:15792949:15799403:1 gene:Potri.003G142400.v4.1 transcript:Potri.003G142400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142400.v4.1 MPNLIYVSREKNKASPHHFKAGALNALLRVSGCMTNAPIILTLDCDSCSNDPETPLRAMCYLSDPETRPQLAYVQFPQIFRGINKSDIYNAEFKRLYQINVMGFDGLSGPNYLGTGCFFQRRAFYGSPSSLASPEIPELAPDYIVDKPIQSQSVLAKAHQVATCNYESRSNWGSKIGFRYGSLSEDYHTGFRMQCEGWKSIFCDPDRPAFLGDVPITLNDALNQQKRWSIGLLEVGFSKYSPATFGVKAIGLLMGLAYAQSAFWAIWSIPITTYAFLPQLALLNKVYIFPKVSEPWFFLYAFLFLGAYGQDFLDFILAGGSIQRWWSDQRFWIIRGISSYVFGSVEFFLKFLGISAFGFNVTSKVVDHEQSKRYGQGIFEFGVHSPMFVTLTAAAIINLISFSQGLVEVFRGNNLEGLFVQMFISGFAVVNSWPIYEAIAWRKDKGKMPIKTSIIATLLAGTLYTVSCFVFL >Potri.003G142400.2.v4.1 pep chromosome:Pop_tri_v4:3:15792951:15799236:1 gene:Potri.003G142400.v4.1 transcript:Potri.003G142400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G142400.v4.1 MGARQQGGSAIQATSTGGHPSLHTVKPLGRTLFNRVFATIYALSILALLFYHAKTLFYSTTLVSFSVTLALLISDLVLAFFWVSTQTFRMFPVYRKQFPENLKKIMERSDFPALDVFICTADPYKEPPISVVNTALSVMAYDYPTEKISVYVSDDGGSALTLFAFMEASKFATHWLPFCKKNNILERSPEAYFELNHTCTSEAVNIKIIYESMKVKVERVLERGKVEDENITSGQEREAFNKWADSFTRQDHPAVIQVLLDASKDKDIAGYSMPNLIYVSREKNKASPHHFKAGALNALLRVSGCMTNAPIILTLDCDSCSNDPETPLRAMCYLSDPETRPQLAYVQFPQIFRGINKSDIYNAEFKRLYQINVMGFDGLSGPNYLGTGCFFQRRAFYGSPSSLASPEIPELAPDYIVDKPIQSQSVLAKAHQVATCNYESRSNWGSKIGFRYGSLSEDYHTGFRMQCEGWKSIFCDPDRPAFLGDVPITLNDALNQQKRWSIGLLEVGFSKYSPATFGVKAIGLLMGLAYAQSAFWAIWSIPITTYAFLPQLALLNKVYIFPKVRYFF >Potri.007G093825.1.v4.1 pep chromosome:Pop_tri_v4:7:11925088:11925396:-1 gene:Potri.007G093825.v4.1 transcript:Potri.007G093825.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093825.v4.1 MESSYSTLWCPATLLHLHGLQFARHKILHQKPKRDPLIMHSILSLLRRAAFRTRLMAIKSSQHAKTARPEHPLSYQQSNDKCMYCASKARTNQCVSKSMLHS >Potri.007G127000.1.v4.1 pep chromosome:Pop_tri_v4:7:14238110:14241391:-1 gene:Potri.007G127000.v4.1 transcript:Potri.007G127000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G127000.v4.1 MGSCVSTPANLGQIISKRSSHNVRKPLPSPDQNDSVVQTPRALQIASVIKEPTGHNIHEKYTFGKELGRGEFGITYHCFDIKTGEKYACKTISKSKLKSEIDVEDVRREVEIMRHLPKHPNIVSFREAYEDRDAVHLVMELCEGGELFDRIISKGHYSERAAAMVTKTILEIVKVCHDHGVIHRDLKPENFLFADASESSQLKAIDFGLSIFFEPGQRFREIVGSPYYMAPEILRRNYGPEVDVWSTGVILYILLCGVPPFWAETEEGIAHAIVRGEIDFARDPWPKVSEEGKDIVKKMLDQNPYNRLTVEEVLENPWIQNASDVPNISLGENVRTKIKQFSLMNRFKKKALRVVADSLPDEQVDKIKQMFHMMDTDHNGDLSFQELKDGLNKFGHSVPDPDVKLLMDAADADGNGSLSCEEFVAVSVHLKRISSDKHLTQAFRFFDKDQNGFIEFDELREAMSNDDLGPNNEQVIKDIIFDVDLDKDGRISYNEFKAMMKSGLDWKMGSRQYSRAMMKALSINLLKNESKQLK >Potri.015G128200.1.v4.1 pep chromosome:Pop_tri_v4:15:13944570:13945536:-1 gene:Potri.015G128200.v4.1 transcript:Potri.015G128200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128200.v4.1 MADLTSKSLILIAISFFINSSSAATVTPQSSIEFIRTSCSTTTYPRLCYTSLSIHSRTIQTSPKLIASAALNVTLSSAKSTSTMMSKLSQSHGLKPKEVSAMKDCVEELSDAVYELRESIDEMDHVKRSDFEVMISDVRTWVSAAMTDESTCSDGFAGNAMNGNLKRAVRGRIMNIAQLTSNALALVNNYALLDG >Potri.009G006701.1.v4.1 pep chromosome:Pop_tri_v4:9:1395121:1396266:1 gene:Potri.009G006701.v4.1 transcript:Potri.009G006701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006701.v4.1 MRKCNGGVVYDAMARDWMRNSALGIPALLEDGIRLLTYAGEEDLICNWLGNSRWVNALAWSGKKKAFGEAPTVPFVVEGKKAGQLKLLSFLKVHNAGHFVPMDQPKAALTMLKSWLQGKLSLPGNLRLLF >Potri.012G022600.3.v4.1 pep chromosome:Pop_tri_v4:12:2287675:2290376:-1 gene:Potri.012G022600.v4.1 transcript:Potri.012G022600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022600.v4.1 MHRGRPRSRKHVELNASNNNNKKNRHNPAICPEAPVTVAISKPTINNSNSGSASHDQFFGPMPQPYIQTPVFVNKTSEKTSTYDVNGAYGSTFKEPRSLDWMLKGEAGPIAKNDQQWPHLVHKEIELATEGSFNSASVLKQHYQGESLNLNSFGNFNAREDQQSNQYSLFLDEAPRSFIDAWSNDAISRNTSSVSSDGKLHLSPLSLSMGSNRSTDDEMGQIQMGLGLIKSDRNEECGNTSSAPGGPLAEVLQLRTSNTTGTNQSSSMMENGDSISPPATTVSSPSGVLQKTLASFSDSSGNSSPTLASSRTKPEIAMLWLNQG >Potri.012G022600.2.v4.1 pep chromosome:Pop_tri_v4:12:2287464:2290376:-1 gene:Potri.012G022600.v4.1 transcript:Potri.012G022600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022600.v4.1 MMTTDDGLNVSNKVAKEINTTSSISNVDFGVKLHQPIDHHQSFPSSTPMMVPHVNHHRPMFDNGPTSSCDRNKSLMNYISDRIYRVAAGGATSGGAVGVRNLQPFDISETSISTAASAFRSPGGNMAASLGFPFTNTQWKELERQAMIYNYITASVPVPPQFLIPTPMGNGLNVRFSNGADLEPGRCRRTDGKKWRCSRDVAPDQKYCERHMHRGRPRSRKHVELNASNNNNKKNRHNPAICPEAPVTVAISKPTINNSNSGSASHDQFFGPMPQPYIQTPVFVNKTSEKTSTYDVNGAYGSTFKEPRSLDWMLKGEAGPIAKNDQQWPHLVHKEIELATEGSFNSASVLKQHYQGESLNLNSFGNFNAREDQQSNQYSLFLDEAPRSFIDAWSNDAISRNTSSVSSDGKLHLSPLSLSMGSNRSTDDEMGQIQMGLGLIKSDRNEECGNTSSAPGGPLAEVLQLRTSNTTGTNQSSSMMENGDSISPPATTVSSPSGVLQKTLASFSDSSGNSSPTLASSRTKPEIAMLWLNQG >Potri.015G053800.1.v4.1 pep chromosome:Pop_tri_v4:15:7155820:7157877:-1 gene:Potri.015G053800.v4.1 transcript:Potri.015G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053800.v4.1 MSLFIANGNGGGGRILYTNPTRHHPYTHTTCRPQFLFPLRRSPQDHLHIVSASNKKLSSVSRIGKFDSKNRRSSTTSTKDQEENKRDGSGGDENVNGEVKNVSTSVGENYDGYFLPELPGDEPDFWEGPQWDGFGFFVQYMWAFGIVFALVACGIAVATYNGGAADFKETPAYKESIQSRDLLEEPEASNSDVFDSNPTEVAPSLE >Potri.016G049300.1.v4.1 pep chromosome:Pop_tri_v4:16:3204923:3208088:-1 gene:Potri.016G049300.v4.1 transcript:Potri.016G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G049300.v4.1 MNPIAAPDHKDDFKLKNTKPQLGERWPHGGPRGGGGWISSERATSTYDLVEQMFYLYVRVVKAKDLPTNPVTGSFDPYMEVKVGNYKGKTQHFEKKTNPEWNQVFAFSKEKIQSSVVEVFLRDREMVLRDDYVGKVVFDMHEVPTRVPPDSPLAPQWYRLEGRSGDRKVRGEVMLAVWMGTQADEAFPESWHSDATSVHGEGVFNIRSKVYVSPKLWYLRVNVIEAQDVESLDRSQLPQVFVKAQVGNQILKTKLCPTRTTNPMWNEDLIFVAAEPFEEQLILTVENKASPAKDEVMGRANLPLHIFERRLDHRPVHSKWFNLEKFGFGALEGDKRHELKFSTRIHLRVCLEGAYHVLDESTMYISDQRPTARQLWKQPIGILEVGILSAQGLLPMKKKDGRGTTDAYCVAKYGLKWVRTRTIIENFNPKWNEQYTWEVYDPCTVITLGVFDNCHLGGTENPATVGGARNDMRIGKVRIRLSTLETDRIYTHSYPLLVLQPSGLKKMGELQLAVRFTCLSLANMIYLYGQPLLPKMHYLHSFTVNQLDSLRYQAMNIVAVRLGRAEPPLRKETVEYMLDVDSHMWSMRRSKANFFRIVSLFSGVISMSKWLGEVCKWKNPVTTVLVHVLFFILICYPELILPTIFLYMFLIGLWNYRFRARHPPHMDTKLSWAEAVHPDELDEEFDTFPTSKQQDVARMRYDRLRSVAGRIQTVVGDMATQGERFQALLSWRDPRATSLYIIFCLIAAVVLYITPFKIITLGTGLFWLRHPRFRSKQPSVPSNFFRRLPSRADSML >Potri.004G123200.3.v4.1 pep chromosome:Pop_tri_v4:4:11791601:11793201:-1 gene:Potri.004G123200.v4.1 transcript:Potri.004G123200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123200.v4.1 MFLRGVHLILCRKMALLGFLLVGFLSSVSSVHGYYGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFDNGLSCGACFEIRCVNDPQWCLPGVIVVTATNFCPPGGWCDPPNHHFDLSQPVFQHIAQYRAGIVPVIYRRIRCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVAIKGSRTGWQSMSRNWGQNWQSNSYLNGQSLSFVVTTSDGRSVVSYNVAPAGWSFGQTYSGGQYRY >Potri.004G123200.2.v4.1 pep chromosome:Pop_tri_v4:4:11791584:11793273:-1 gene:Potri.004G123200.v4.1 transcript:Potri.004G123200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123200.v4.1 MALLGFLLVGFLSSVSSVHGYYGGWINAHATFYGGGDASGTMGGACGYGNLYSQGYGTNTAALSTALFDNGLSCGACFEIRCVNDPQWCLPGVIVVTATNFCPPGGWCDPPNHHFDLSQPVFQHIAQYRAGIVPVIYRRIRCRRSGGIRFTINGHSYFNLVLITNVGGAGDVHSVAIKGSRTGWQSMSRNWGQNWQSNSYLNGQSLSFVVTTSDGRSVVSYNVAPAGWSFGQTYSGGQYRY >Potri.006G047600.1.v4.1 pep chromosome:Pop_tri_v4:6:3256192:3260260:1 gene:Potri.006G047600.v4.1 transcript:Potri.006G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047600.v4.1 MATYDLTPRIAPNLDRHLVFPLLEFLQERQLYPDEQILKAKIELLNKTNMVDYAMDIHKSLYHTEDVPQDMIERRVEVVARLKALEEAATPLVSFLQNASAVQELRADKQYNLQMLHDRYQIGPEQIEALYQYAKFQFECGNYSGAADYLYQYRALCTNSERSLSALWGKMAAEVLMQNWDIALEELNRLKEIIDSKSFSTPLNQVQSRIWLMHWSLFIFFNNENGRTQIIDLFNQDKYLNAIQTNAPHLLRYLATAFIVNKRRRPQFKDFIKVIQQEQHSYKDPITEFLACVYVNYDFDEAQKKMKECEEVILNDPFLGKHLEDSNFSTVPLRDEFLENARLFVFETYCRIHQRIDMEVLAEKLNLNYEEAERWIVNLIRNSKLDAKIDSQSGTVIMEPNHPNVYEQLIDHTKALSGRTSKLVSQILEHAQGQSAR >Potri.014G025200.3.v4.1 pep chromosome:Pop_tri_v4:14:1533954:1534421:1 gene:Potri.014G025200.v4.1 transcript:Potri.014G025200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L7 MEGGCCTSSTSTPSSTSTATTEKRKHSRQQNQEKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPIAAARAYDTAVFYLRGPSARLNFPDLIYQEDELRDVSAASIRKKATEVGAKVDALQTAVHASPEDNSRVLLSEKPDLNKFPENSDEE >Potri.011G076800.6.v4.1 pep chromosome:Pop_tri_v4:11:8191069:8195901:-1 gene:Potri.011G076800.v4.1 transcript:Potri.011G076800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076800.v4.1 MIASAIDKIMMNEVALSSGFLFPSVKISFFLRTARLTSLEPKVTSALCVKCSKKQLKLNSRADENRKVVKKSGKKEHHLWQKRDSAGSGQKALNLVRIVSELPNEKEAVYGALDKWTAWETEFPLIAAAKALKILQQRRQWTRVIQVAKWMLSKGQGATLGTYDTLLLAFDKDDRVDEAKSLWNMIIHVHTRSMSKRLFSRMISLYDHHNMQDEIIEVFADMEELGVRPDEDTVWRVARAFKKLGQEEKRELVLERYLCKWKYIHFNGERVRVKRDGWNEE >Potri.011G076800.2.v4.1 pep chromosome:Pop_tri_v4:11:8191051:8195901:-1 gene:Potri.011G076800.v4.1 transcript:Potri.011G076800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076800.v4.1 MMNEVALSSGFLFPSVKISFFLRTARLTSLEPKVTSALCVKCSKKQLKLNSRADENRKVVKKSGKKEHHLWQKRDSAGSGQKALNLVRIVSELPNEKEAVYGALDKWTAWETEFPLIAAAKALKILQQRRQWTRVIQVAKWMLSKGQGATLGTYDTLLLAFDKDDRVDEAKSLWNMIIHVHTRSMSKRLFSRMISLYDHHNMQDEIIEVFADMEELGVRPDEDTVWRVARAFKKLGQEEKRELVLERYLCKWKYIHFNGERVRVKRDGWNEE >Potri.011G076800.4.v4.1 pep chromosome:Pop_tri_v4:11:8191212:8195901:-1 gene:Potri.011G076800.v4.1 transcript:Potri.011G076800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076800.v4.1 MGYLRQYKIMMNEVALSSGFLFPSVKISFFLRTARLTSLEPKVTSALCVKCSKKQLKLNSRADENRKVVKKSGKKEHHLWQKRDSAGSGQKALNLVRIVSELPNEKEAVYGALDKWTAWETEFPLIAAAKALKILQQRRQWTRVIQVAKWMLSKGQGATLGTYDTLLLAFDKDDRVDEAKSLWNMIIHVHTRSMSKRLFSRMISLYDHHNMQDEIIEVFADMEELGVRPDEDTVWRVARAFKKLGQEEKRELVLERYLCKWKYIHFNGERVRVKRDGWNEE >Potri.011G076800.1.v4.1 pep chromosome:Pop_tri_v4:11:8191025:8195938:-1 gene:Potri.011G076800.v4.1 transcript:Potri.011G076800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076800.v4.1 MIASAIDKIMMNEVALSSGFLFPSVKISFFLRTARLTSLEPKVTSALCVKCSKKQLKLNSRADENRKVVKKSGKKEHHLWQKRDSAGSGQKALNLVRIVSELPNEKEAVYGALDKWTAWETEFPLIAAAKALKILQQRRQWTRVIQVAKWMLSKGQGATLGTYDTLLLAFDKDDRVDEAKSLWNMIIHVHTRSMSKRLFSRMISLYDHHNMQDEIIEVFADMEELGVRPDEDTVWRVARAFKKLGQEEKRELVLERYLCKWKYIHFNGERVRVKRDGWNEE >Potri.002G138700.3.v4.1 pep chromosome:Pop_tri_v4:2:10412558:10416934:1 gene:Potri.002G138700.v4.1 transcript:Potri.002G138700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138700.v4.1 MDDRGGSFVAVRRISQGLERGNNTCHSTSAEAVAGSAAWLGRGLSCVCAQRRESDARPSFDLTPVQEECLQRLQSRIDVPYDSSVLDHQEALKTLWNAAFPEEELHGLISEQWKEMGWQGKDPSTDFRGGGFISLENLLFFARNFPKSFQDLLQKREGDRSVWEYPFAVAGVNITFMLIQMLDLEAVKPRALVGATFLKFLAENDSAFDLLYCITFKLMDHEWLTMRASYMDFNAVMKSTRRQLERELLSEDITRLEDLPSYTLLTR >Potri.006G043900.1.v4.1 pep chromosome:Pop_tri_v4:6:2998727:3003565:1 gene:Potri.006G043900.v4.1 transcript:Potri.006G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043900.v4.1 MMPTKLGAEFDSDYSFSSESSCMSSKSSSSSSCYQKEDNSYEAGRELKRKVKKLRSSKLARLPSLKLITRQAKIQSDDVSVLSSDAARSQHSGMSPNYMRTTTSSNAKKENLQKSARTLARRSSFKPAKSLPRLSSIKFRRPLIRKSSGGTDLKKKMKRSRSIKLADRSSIVFASDADASVDYLEAKNCSDGRNVHFQASPHLESTLISNNEDRKKSSNSKQNLASSGNNSMRVMTRTSTLRPVRIFTKVASFRTKRSQIPDSSIQKTTCSSAIKDSKFPNHLELQPGGSESEGNSIMKVCPYSYCSLHDHRHSDVPPLKRFVSMRRRLLKTQKSMKSESQSSRRAKHSGISKKGTQTSQSASCGDLAVLETAHDKMAVSSSIGRKAGQRAESKSAHGGDEKDYRDVISVTENQTLPEEADEGRIASLNLNVFKGDSQLNTAKENASTSVADERVNKPRSLSLNRFVESTEIDNKVSSVSIGKPSQEETASCEEKNQDAVQDYRFLGADSEHDYTVDTGHRNPWEKQKPMGLWNLIYQHMASGVAAEDGTRPHLNKEAKEEEEEENTLPGMSKSGSFQDFSSTDHSIGEEYHDERSQKIQQYQCDAIKLVQEAFDRILSEIPDQPTDDLSVTSDTTSDKKIAENDHGEDRQLNISTSYDSCGDSMVQEPEETRLQADNAFQKEKAESSVESKSNQQTPKSWSNLRKILILKRFIKALEKVRNFSPQKPRNLNVEADPEAEKVHLRHQTMGERKNSEEWMLDHALQQVISTLAPAQKRKVALLVQAFEKVTLPTEVGTSPRSNIEASSQTTPVKTSTGASDCKGSREGKETIFGITLCKTSSLETSFKQNQDQASDFYKVDEHIQGSCSEVKETSLKNGCIHLASSPSSTKNTAAELKNEFVAFNLGNGETNSTVKDDEPDFVSHCLVEDTDSNLCDNPLPRSADVLRTSSEELVIYGETLQEDAKEASAVSASEVHDRNFGLNGQKSDINNKNNGTCDESDEPKSQTLKDYEGSIANTDVVSSSSVSVPLKESSEVAGEENKLLQGSTLLDDSEPGCTTDAAHEKQKHMKFWFLIYKHMVSGNATLLEGAENEEQGDGGNQLVEMNTLDNDDAGNQKIKLQQIETIRLVEEAIDQIPLPEFQEDSPDDQSVACDIIQDQDQEHTEKKAGEGEEPFISSSFEDTNESFEKSDSTKVEESTTLYQQEQQLNSDNISAQEKAKPIPPAGNKPKPAMQNWSNLKKVILLKRFVKALEKVKKFNPREPRFLPLDPASEAEKVHLRHQDTGDRKNADEWMLDYTLQQVVAKLTPARKRKVSLLVEAFEAVTPIGS >Potri.006G251900.7.v4.1 pep chromosome:Pop_tri_v4:6:25055992:25062141:1 gene:Potri.006G251900.v4.1 transcript:Potri.006G251900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251900.v4.1 MQPKPLKNLNGQTCQICGDNVGVTENGDIFVACNECAFPVCRPCYEYERKDGTQSCPQCKTRYRRHKGSPRVDGDEDEDDVDDLENEFNYAQGIGKARRQWQGEDIELSSSSRHESQPIPLLTNGQPVSGEIPCATPDNQSVRTTSGPLGPAERNVNSSPYIDPRQPVHVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQDKNIMQMTNRYPEGKGDIEGTGSNGDELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRVTHPVKDAYGLWLTSVICEIWFALSWLLDQFPKWMPINRETYLDRLALRYDRDGEPSQLAPIDIFVSTVDPLKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYIQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGNKKYIDKKRAMKRTESTVPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFQEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPLTSLPLLAYCVLPAVCLVSGKFIIPEISNYASMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVILLNMVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDSTKAAANGQCGINC >Potri.006G251900.9.v4.1 pep chromosome:Pop_tri_v4:6:25057203:25062138:1 gene:Potri.006G251900.v4.1 transcript:Potri.006G251900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251900.v4.1 MQMTNRYPEGKGDIEGTGSNGDELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRVTHPVKDAYGLWLTSVICEIWFALSWLLDQFPKWMPINRETYLDRLALRYDRDGEPSQLAPIDIFVSTVDPLKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYIQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGNKKYIDKKRAMKRTESTVPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFQEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPLTSLPLLAYCVLPAVCLVSGKFIIPEISNYASMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVILLNMVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDSTKAAANGQCGINC >Potri.006G251900.8.v4.1 pep chromosome:Pop_tri_v4:6:25057126:25062119:1 gene:Potri.006G251900.v4.1 transcript:Potri.006G251900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251900.v4.1 MLPIATVHVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQDKNIMQMTNRYPEGKGDIEGTGSNGDELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRVTHPVKDAYGLWLTSVICEIWFALSWLLDQFPKWMPINRETYLDRLALRYDRDGEPSQLAPIDIFVSTVDPLKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYIQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGNKKYIDKKRAMKRTESTVPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFQEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPLTSLPLLAYCVLPAVCLVSGKFIIPEISNYASMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVILLNMVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDSTKAAANGQCGINC >Potri.006G251900.3.v4.1 pep chromosome:Pop_tri_v4:6:25054863:25062138:1 gene:Potri.006G251900.v4.1 transcript:Potri.006G251900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G251900.v4.1 MEANAGMVAGSYRRNELVRIRHDSDSAPKPLKNLNGQTCQICGDNVGVTENGDIFVACNECAFPVCRPCYEYERKDGTQSCPQCKTRYRRHKGSPRVDGDEDEDDVDDLENEFNYAQGIGKARRQWQGEDIELSSSSRHESQPIPLLTNGQPVSGEIPCATPDNQSVRTTSGPLGPAERNVNSSPYIDPRQPVHVRIVDPSKDLNSYGLGNVDWKERVEGWKLKQDKNIMQMTNRYPEGKGDIEGTGSNGDELQMADDARQPLSRVVPISSSHLTPYRVVIILRLIILGFFLQYRVTHPVKDAYGLWLTSVICEIWFALSWLLDQFPKWMPINRETYLDRLALRYDRDGEPSQLAPIDIFVSTVDPLKEPPIVTANTVLSILAVDYPVDKVSCYVSDDGSAMLTFEALSETAEFARKWVPFCKKHNIEPRAPEFYFAQKIDYLKDKIQPSFVKERRAMKREYEEFKVRINALVAKAQKMPEEGWTMQDGTPWPGNNPRDHPGMIQVFLGHSGGLDTDGNELPRLVYVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKALKEAMCFMMDPAYGKKTCYIQFPQRFDGIDLHDRYANRNIVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGRGGNKKYIDKKRAMKRTESTVPIFNMEDIEEGVEGYDDERSLLMSQKSLEKRFGQSPVFIAATFQEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCMPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERLAYINTIVYPLTSLPLLAYCVLPAVCLVSGKFIIPEISNYASMWFILLFISIFATGILELRWSGVGIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTVILLNMVGIVAGVSYAINSGYQSWGPLFGKLFFAIWVIAHLYPFLKGLLGRQNRTPTIVIVWSILLASIFSLLWVRIDPFTSDSTKAAANGQCGINC >Potri.004G058800.1.v4.1 pep chromosome:Pop_tri_v4:4:4910369:4914759:1 gene:Potri.004G058800.v4.1 transcript:Potri.004G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058800.v4.1 MAADPKPEEISHPPMDQLQGLEYCIDSNPSWGESIALGFQHYILALGTAVMIPSFLVPLMGGNHGDKVRVVQTLLFVEGINTLLQTLFGTRLPTVIGGSYAFMVPIVSIIHDPSLTKIPDDHLRFLSTMRAVQGALIVSSSIQIILGYSQLWAICSRFFSPIGMVPVIALVGFGLFDRGFPVTGRCVEIGIPMLILFITCSQYLKGFQTKQLPILERFALLISITVIWAYAHLLTASGAYKHRPEITQINCRTDKAYLISSAPWIKIPYPLQWGAPTFDAGHCFGMMAAVFVSLIESTGAYKAASRLASATPPPAHVLSRGIGWQGIGILLDGLFGTLTGSTVSVENIGLLGSTRVGSRRVIQISAGFMIFFSILGKFGALFASIPFPIFGAVYCVLFGLVASVGLSFLQFTNMNSMRNLFITGVAFFLGLSVPEYFREYTSKAYHGPAHTRAGWFNDFLNTIFFSSPTVALIVAVFLDNTLDYKDSARDRGMPWWVKFRTFKGDSRNEEFYTLPFNLNRFFPPS >Potri.001G026400.3.v4.1 pep chromosome:Pop_tri_v4:1:1976048:1982548:1 gene:Potri.001G026400.v4.1 transcript:Potri.001G026400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026400.v4.1 MPIRHENSEGSAPCPDDLLCSNKQSCSNGKDQLKSSGSASSPDDLLNPDQQSCSSRKVQRKPSGLAPCLNDLVRSGKQSCSSRKDKQKSPGPLKRLERLLSQSGNKTCADCGSPDPKWVSLSYGVFICIKCSGVHRSLGVHLSKVLSIKLDEWTDEQVNALIDLGGNTAANKKYEASMPDDYRKPRPDASTEERYDFIRRKYELKEFSNCDEQMSSPFPGSISSLPTPSNNCPSQDKKQYEKQATRHRIGNAFRNSWGRKDSEHKHTKKSYLFGRYG >Potri.004G072900.1.v4.1 pep chromosome:Pop_tri_v4:4:6092560:6093431:1 gene:Potri.004G072900.v4.1 transcript:Potri.004G072900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G072900.v4.1 MNTLVCSQKSPLYLANSSPWKPMAPSILPTSIQKTLNNPPATWKVQASAKGFGSAPPSIQESSIKKTSKNTNNNDDEEIPEEVLYRIIKRVLVSVGAPMALAFASMNVIGLVMEQHIWNVPKWFMFLTLFLTLGASVCGIAYGALSTSMDPNEKGSFLGFEQVQKNWVEMWKEEDEGKKSWD >Potri.011G044100.1.v4.1 pep chromosome:Pop_tri_v4:11:3439918:3445378:-1 gene:Potri.011G044100.v4.1 transcript:Potri.011G044100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044100.v4.1 MNEKANVSKELNAKHRKILESLLKLPENRECADCKSKGPRWASVNLGIFICMQCSGIHRSLGVHISKVRSATLDTWLPEQIAFIQSTGNERSNNYWEAELPPNYDRVGIENFIRAKYEEKRWVPRDGKAKSPSRGSGEGTSIYRPEPRSSGHGRMNGTNHGSEERRITRPPVTNDSSPASRSSTPAPIKASTPLPVKASTPMPVKASQPVARDTKPQEPVRKSEPVAPKVELEKKEESATKVVTPPKVDYATELFNLLCMDDSRGSNSTTPAHDIGWTGLKAAEAKSTPERSGTSNFIESMTQPNSTSPPLEKPLKDSNNDPMNLFNKSSMVSPFSVPQQQLAMLSQQQQFHMTAAARTGGGSQTVPANAHRPISNGIHLPAQNWGSYGYQVPGMVMPSTYPQTYIQMGSGQQTYSAGNSFNFPISSMYRPGSVAPINGMTNSNPSMPPPAFPVAPTQPAGYFDLSSLAQGMYTKR >Potri.006G043019.1.v4.1 pep chromosome:Pop_tri_v4:6:2903518:2903942:-1 gene:Potri.006G043019.v4.1 transcript:Potri.006G043019.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G043019.v4.1 MFTCQVAPSTYILAIGRFSTCNRPSTRVVTTILAFYFQNLWLGTAHRKLSLIISLSFIFIKASCFASYLHMLYDDQNLYACTFKPSA >Potri.018G145558.2.v4.1 pep chromosome:Pop_tri_v4:18:15397382:15408482:-1 gene:Potri.018G145558.v4.1 transcript:Potri.018G145558.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145558.v4.1 MENMGGDIGTVQTEVQGLEHGVGEERISSHAEAANDILSMTGPRGDALESKLRIKQAVQVLQQSNVADNLVADVAKIQMGVQGMEQGLGGERICSHLKVANGMENTGERCIQHVDGSVSHWRHTVDDHDTRREATERLVQTNVGASPSGGNDIDNAISTSPHEQNNEADNLAGDAQDMNEVEIYNFLMKDNTVNGAGGVAQPGAGALEENTNVIRSWLMDDEVSTIGIWGMGGVGKTTMLERIYNELLERPDISHHVYWVTVSQDFSIYKLQNKIARLLHLDLSSEYDIQPRAAKLLEELVKKQKWILILDDLWESFDLRKVGIPIPLKGSKVIFTTRLEIICQQMGIKHKIKVKPLSDTETWTLFMDKLGHDIPLSLEVECIAKDVAKECAGLPIAITTMAGSLTGVDDLDEWRNTLKELKESKYSDMDEVFRILRFSYDRLYDLALQQCLLYCALFPEGQVIEREELISNLINVGIIERMESRQEALDKGHKMLNRLEGVCLLDRIDGGNAIKMHDLIRDMAIQIRKENPSVMDKMSRPKDPFWNHVEDMNGSSMKCKFCGHEFAKSTSVTRIKLHLSGERGRGVKICKDVPEEVQGIAFLAILDGTPGRKRKTVAGSRNNEVTIAIDVSMSDRENGTGEVAEKLLDAGESSRPGEKSGWTLLMTNLTLEFASAVSDQLSYALIGMVLAYVALLLATAELILYMARKKIMSLLPCFHRRSTSPSAPAEAVEYFGLFGAAWQCIYSTTQYTYARQQKANPIKMCLLPFIFVLCAVISKLIRRWFH >Potri.018G145558.1.v4.1 pep chromosome:Pop_tri_v4:18:15397382:15403240:-1 gene:Potri.018G145558.v4.1 transcript:Potri.018G145558.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145558.v4.1 MENMGGDIGTVQTEVQGLEHGVGEERISSHAEAANDILSMTGPRGDALESKLRIKQAVQVLQQSNVADNLVADVAKIQMGVQGMEQGLGGERICSHLKVANGMENTGERCIQHVDGSVSHWRHTVDDHDTRREATERLVQTNVGASPSGGNDIDNAISTSPHEQNNEADNLAGDAQDMNEVEIYNFLMKDNTVNGAGGVAQPGAGALEENTNVIRSWLMDDEVSTIGIWGMGGVGKTTMLERIYNELLERPDISHHVYWVTVSQDFSIYKLQNKIARLLHLDLSSEYDIQPRAAKLLEELVKKQKWILILDDLWESFDLRKVGIPIPLKGSKVIFTTRLEIICQQMGIKHKIKVKPLSDTETWTLFMDKLGHDIPLSLEVECIAKDVAKECAGLPIAITTMAGSLTGVDDLDEWRNTLKELKESKYSDMDEVFRILRFSYDRLYDLALQQCLLYCALFPEGQVIEREELISNLINVGIIERMESRQEALDKGHKMLNRLEGVCLLDRIDGGNAIKMHDLIRDMAIQIRKENPSVMDKMSRPKDPFWNHVEDMNGSSMKCKFCGHEFAKSTSVTRIKLHLSGERGRGVKICKDVPEEVQGIAFLAILDGTPGRKRKTVAGSRNNEVTIAIDVSMSDRENGTGEVAEKLLDAGESSRPGEKSGWTLLMTNLTLEFASAVSDQLSYALIGMVLAYVALLLATAELILYMARKKIMSLLPCFHRRSTSPSAPAEAVEYFGLFGAAWQCIYSTTQYTYARQQKANPIKMCLLPFIFVLCAVISKLIRRWFH >Potri.001G078000.1.v4.1 pep chromosome:Pop_tri_v4:1:5860781:5861125:-1 gene:Potri.001G078000.v4.1 transcript:Potri.001G078000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G078000.v4.1 MISVLGNKGCNSWQGKAKMSFCMTRCKLREETTYGREFVILENGNKIDSSKRALTPMIYSESERYNSNRICTYR >Potri.001G277700.3.v4.1 pep chromosome:Pop_tri_v4:1:29126481:29127026:-1 gene:Potri.001G277700.v4.1 transcript:Potri.001G277700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277700.v4.1 MAKHHPDLIMCRKQPGIAIGRLCEKCDGKCVICDSYVRPCTLVRVCDECNYGSFQGRCVICGGVGISDAYYCKECTQQEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Potri.011G140000.2.v4.1 pep chromosome:Pop_tri_v4:11:17087020:17092220:-1 gene:Potri.011G140000.v4.1 transcript:Potri.011G140000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140000.v4.1 MSCLDIYAQVGANKPLVVSGLKAFVEGDEGLLIRFEGVMGKPIVCGISVTKDFSANIAEARLLKPIGMSQVAECDSPKDNGHLEVEGDYQKLLRDHEFQRRELTEMRRAMDELKRENRLKSRECQDALKSLQELQNELMRKSMHVGSLAFAIEGQVKEKGRWFTSLRDLTRKLKIMKMEHIKLSEEALAYKNCVVDMDEIRSTILSKMKQQVDLHEDLKIKFVEGAKERKELYNKVLELKGNIRVFCRCRPLKSEEVAAGALMTIDFESAKDGELTVMSNGLPKKTFKFDAVFGPQANQADVFEDTAPFASSVLDGYNVCIFAYGQTGTGKTFTMEGTEEDRGVNFRTLEQVFHMIKEREKLFRYDVSVSVLEVYNEQIKDLLVSDSQPGVAAKRLEIRQAGDGLHHVPGLVEAKVHNMSEVWQVLRTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERIAKTEVQGERLKETQNINKSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTLMFLQISPNENDLGETLCSLNFASRVRGIELGPAKRQMDNAELLRYKQMAEKSKQDLKSKDVQIKKLEDTINGLDLKTKEKDFKYKILQDKVKELEAQLLVERKLARQHVDTKIAEQQQQQQQDEQIIAPPRPPLANRILGSNKNFDEPVNGALNKEQINLTLPPMGNTSYKSTIPLPSTEGVVKLTDSTEKENNPAMADQPRLLKRTGRASICTTARHVLAAPAPRRNSMIPLPSVPSIPSSFPLCQVDMKEDSEGSETNCLPEQTQCDSPKEIRYGSKRISTMLKRSLQKKVNMKSPLQQHMRRGGINVGMEKVRVSIGSRGRTAHRVLLGNGRRTGMRETQQKQMLGEKERRWNSGTVARTPV >Potri.011G140000.1.v4.1 pep chromosome:Pop_tri_v4:11:17087064:17093465:-1 gene:Potri.011G140000.v4.1 transcript:Potri.011G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G140000.v4.1 MEDSNSTNEQPPHWHDPLLLTDVHHQQQHNTSPLSCSSKTGLISSKSQTLAMVDSDSNEDMCWQESQSVESVTMKKLIDRVENDAIDGRSMLGFSLTSPDLVICAGSPDISRTGYGDSPELLDGNKCSIELSLENGIDGSDMKDSIKTPCVKFSSVFQTFNKELSPESSFELLPQQEKEEKLVKDFVPGVCINAGCTDRAVVFGGVEFLGDDCFLGGDTVRTDATIGDGQDGGLSLYQTARYGNFSYCFRALEPGNYDVSLHLAEIVFTDGPPGLRVFDVFVQEKKVMSCLDIYAQVGANKPLVVSGLKAFVEGDEGLLIRFEGVMGKPIVCGISVTKDFSANIAEARLLKPIGMSQVAECDSPKDNGHLEVEGDYQKLLRDHEFQRRELTEMRRAMDELKRENRLKSRECQDALKSLQELQNELMRKSMHVGSLAFAIEGQVKEKGRWFTSLRDLTRKLKIMKMEHIKLSEEALAYKNCVVDMDEIRSTILSKMKQQVDLHEDLKIKFVEGAKERKELYNKVLELKGNIRVFCRCRPLKSEEVAAGALMTIDFESAKDGELTVMSNGLPKKTFKFDAVFGPQANQADVFEDTAPFASSVLDGYNVCIFAYGQTGTGKTFTMEGTEEDRGVNFRTLEQVFHMIKEREKLFRYDVSVSVLEVYNEQIKDLLVSDSQPGVAAKRLEIRQAGDGLHHVPGLVEAKVHNMSEVWQVLRTGSNARAVGSTNANEHSSRSHCIHCVMVKGENLLNGECTKSKLWLVDLAGSERIAKTEVQGERLKETQNINKSLSALGDVISALATKSPHIPFRNSKLTHLLQDSLGGDSKTLMFLQISPNENDLGETLCSLNFASRVRGIELGPAKRQMDNAELLRYKQMAEKSKQDLKSKDVQIKKLEDTINGLDLKTKEKDFKYKILQDKVKELEAQLLVERKLARQHVDTKIAEQQQQQQQDEQIIAPPRPPLANRILGSNKNFDEPVNGALNKEQINLTLPPMGNTSYKSTIPLPSTEGVVKLTDSTEKENNPAMADQPRLLKRTGRASICTTARHVLAAPAPRRNSMIPLPSVPSIPSSFPLCQVDMKEDSEGSETNCLPEQTQCDSPKEIRYGSKRISTMLKRSLQKKVNMKSPLQQHMRRGGINVGMEKVRVSIGSRGRTAHRVLLGNGRRTGMRETQQKQMLGEKERRWNSGTVARTPV >Potri.005G206200.1.v4.1 pep chromosome:Pop_tri_v4:5:21121671:21122463:1 gene:Potri.005G206200.v4.1 transcript:Potri.005G206200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206200.v4.1 MASPTLLTPTSRLKPLSPIKPKPTPTTAFPPPTPPQQQIRNHLLRRHFLSLATAVLTSPLILPVTPAFAASDEEYVKDTEEVIGKVRTTMNMDKSDPNVADAVAVLRETSNSWVAKYRREKALLGRASFRDIYSALNAVTGHYISFGPTAPIPSKRKARILEEMDTAEKALLRGR >Potri.005G212700.1.v4.1 pep chromosome:Pop_tri_v4:5:21630735:21636530:-1 gene:Potri.005G212700.v4.1 transcript:Potri.005G212700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212700.v4.1 MRDENSKKSKLSWPKTLVKKWFNIKSKAEEFQADDVLYGGGGEEWNHNFSEREACTIKKSKTETSYRRHSGGVQRGKIDLDAAQVTDVNNYRIFVATWNVAGKSPPSHLNLEDWLHTSPPADIYVLGFQEIVPLNAGNVLGTEDNGPGKKWLALIRKTLNSLPGTSWGYHTPSPVSDPIVELDADFEGSTRQKASSFFHRRSFQSLSRSMRMDGDMVIPQPKLDRRFSVCDRVIFGNRPSDYDPNYQWGSSDDENGPGDSPLATQHSPIDYSGSLSMEDRDRQTGQSRYCLVACKQMVGVFLTVWVKSDLRDDVRNLKVSCVGRGLMGYLGNKGSISISMALHQTSFCFICSHLTSGQKEGDELRRNSDVMEILRKTRFPRVHSRGDKNSPQTILEHDRIIWLGDLNYRIALSYRAVKTLVEMQNWRALLENDQLRIEQGRGRVFDGWNEGKIYFPPTYKYSNNSDRYAGDDRHPKEKRRTPAWCDRILWYGRGLTQLSYVRGESRFSDHRPVCGVFLAEVESINRSRIKKSMSCSNSRIEVEELLPHLHGYTEFNFF >Potri.008G068000.1.v4.1 pep chromosome:Pop_tri_v4:8:4115842:4120383:1 gene:Potri.008G068000.v4.1 transcript:Potri.008G068000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068000.v4.1 MVGPSRPQFVLFGSSIVQLCFSHGGWGSILSDIYSRKADILLRGYYGWNSRRAVQVLDQVFPKDAPVQPALVIVYFGGNDSMGPHSSGLGPHVPLDEYMENMRKIAVHLKSLSDTTRIIFMSCPPVDEARVSSSTSGIFSEVVRTNELCQIYSNSCIKLCQELGVKVVDLFSAFQKRDGWTTACFTDGIHLSAEGSKIVVEEILKVLKEAEWVPSLHWKSMPTEFSEDSPYDLVAADGKQTLNPSEWTFHREVHWD >Potri.017G006700.1.v4.1 pep chromosome:Pop_tri_v4:17:504726:506756:1 gene:Potri.017G006700.v4.1 transcript:Potri.017G006700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G006700.v4.1 MAKFVVSYVVLFLFCTFQQSNAASASYNVIKFGAKPDGKTDSTQPFLKAWSAACGSASPSTINVPKGRYLLKATVFRGPCKNKITVQIDGTLVAPTDYRALGNSGYWILFIKVNRVSVFGGTLDAKGAGFWACRKSGQNCPVGARSITFNWANDILISGLTSINSQSMHLVINSCNNVLVRNVRVIAPDQSPNTDGIHVQTSTGVTITGSTLQTGDDCISIGPSTRNMLMSSIKCGPGHGISIGSLGKDFNEGGVENITLTNSIFSGSDNGVRIKSWARPSNGFVRNVVFQNLIMKNVRNPIIVDQNYCPNNQGCPRQSSGVKISQVTYRNIQGTSASPEAVTFDCSSSNPCRGIKLQDIKLTYMNTAATSSCKNIGGTSSGVLMPESCI >Potri.017G012200.3.v4.1 pep chromosome:Pop_tri_v4:17:849189:850896:1 gene:Potri.017G012200.v4.1 transcript:Potri.017G012200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012200.v4.1 MLKGKAIKIEDPLPEDLITEILSWLPVKALLQFKCVCKSWYAIITSQAFISKHLNNYYDNYNDIDTFLAQYFVTKLGELALYEFLVDETSTILSYEELRDRPIYNSFICGPCNGIFYLDRYSYRDHRALWNPATNELKTLPPLIRKPNLPSLSFYAGVKEVFGFGFDRVTKDYKVIVIKSWSKTNSKIRYPLSVFVYSLSTDSWRYWGDLPQNYKLLSNNKCYICLNGVFYWLGSDYSGGKTYDEAIISFDMATDLIQDMQLPDYDKPARETVLSVYHDSLALLTVHDIKHFLEIWTLKEGCWTKQFTVGTSSYGRISPIAHWKNSKFIFECGPCKELTLFDIITQEITYLGYIKDIHSCEGIFVYRGSLVSFKDRNEHWEAEALAQ >Potri.014G056000.1.v4.1 pep chromosome:Pop_tri_v4:14:3575535:3575923:-1 gene:Potri.014G056000.v4.1 transcript:Potri.014G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G056000.v4.1 MARRKSRSNNRPVKPKLKLDTVFRCPFCQLENSVGCSFDKDLNIGEISCSICHAGYETKLTPLTEPIDIYCEWIDECERVNQKS >Potri.010G118700.2.v4.1 pep chromosome:Pop_tri_v4:10:13733349:13738232:-1 gene:Potri.010G118700.v4.1 transcript:Potri.010G118700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G118700.v4.1 MRHQDLINRSALCLARLREVTVEMECLRQDNADLRSINRELNNQLSVLIQASLHKHYNDSTRTPFDIDIGFHNLCNISSGGGGGHDMCEEDESPTSVMEAGGDDRERISLPKSISVRSNSYSKRNQEQAASASVTRAITRLRTPSPLNTAKVYVRRGKKQEEPLELEVYNQGMFKTELCNKWQETGACLYGNHCQFAHGIEELRPVIRHPRYKTEVCKMVLAGGICPYGHRCHFRHALTEQDRFLSHIKPGKSSLTGRISGLTRVLCWKGIGEYNLN >Potri.010G118700.3.v4.1 pep chromosome:Pop_tri_v4:10:13734853:13738265:-1 gene:Potri.010G118700.v4.1 transcript:Potri.010G118700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G118700.v4.1 MRHQDLINRSALCLARLREVTVEMECLRQDNADLRSINRELNNQLSVLIQASLHKHYNDSTRTPFDIDIGFHNLCNISSGGGGGHDMCEEDESPTSVMEAGGDDRERISLPKSISVRSNSYSKRNQEQAASASVTRAITRLRTPSPLNTAKVYVRRGKKQEEPLELEVYNQGMFKTELCNKWQETGACLYGNHCQFAHGIEELRPVIRHPRYKTEVCKMVLAGGICPYGHRCHFRHALTEQDRFLSHIKPGKSSLTGKIMMLMREV >Potri.001G232900.1.v4.1 pep chromosome:Pop_tri_v4:1:25148784:25149703:1 gene:Potri.001G232900.v4.1 transcript:Potri.001G232900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G232900.v4.1 MNSPSVVAAAMAALMMFLLLTPPSDAAISCSDVIKDLRPCVKYLMNGTGKPPAACCSGISAIQATASTTADKQAACNCIKSASKQINPNPQLAQALPANCGITLPFAVSPNVDCSKIT >Potri.003G105500.1.v4.1 pep chromosome:Pop_tri_v4:3:12873013:12874640:1 gene:Potri.003G105500.v4.1 transcript:Potri.003G105500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105500.v4.1 MAFNSKPKKPHAIPSQSKSSLCTTLFFIVLFTIPALFLLHAPTTSICTTLIANHANKPWSGDLRNADFAWNRLAFIENKPPPVKLKIAVFSRKWPVGTTPGGMERHAYTLHTALAHRGHQVHIFTSPVDENNPSVSHGSAISYPQIHFHEGEPGKWRYNKAWEQFDEENQRERPFDVVHSESVALPHWLARNVQNLAVSWHGIALESLQSSIYQDLTRKPKEPISPDFNRSLHGVVPKVLNEIRFFKNYEHHVAISDSCGEMLRDVYQIPSRRVHVIVNGVDEHGFGVDVRLGHEFRSKIGVPINASLVLGVAGRLVKDKGHPLLYEAFSEFMTKHPDVYLIVAGSGPWQQRYKELGTRVLVLGSMSPSALRAFYNSIDIFVNPTLRPQGLDLTLMEAMMSGKPVMASRFPSIKGTIVVDDEFGFMFSPNVASLLEALEAAVMEGSRRLAQRGKACQQYAASMFTASKMALAYERLFLCIKNETYCTYH >Potri.013G003500.3.v4.1 pep chromosome:Pop_tri_v4:13:245261:248886:-1 gene:Potri.013G003500.v4.1 transcript:Potri.013G003500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003500.v4.1 MAGVASKCLFLFFLSLLTISSSGTLVGFSYNARGITAASSLSRTVSFLELNKVFASHLRVFASDHKVLSALSNFNVSVDLYLDDSLVENLINSKPSAISWFKTLLVTFLPHVNIKSIIVSGNNDLPKLLYTLKSIHSVLNRFHVDSEVKVSVAFSLSFLENLDRTQENDLHGILGSIKRTKSFVTVETSLDVDVELGMKDLFIQSMIQKVTAATSLLSPYDVLIAMTIRSLVVPGAKEVAEFAEKVSKSLENSKITGQVAGLYAEVSSVEDFMEKELKREHEQIFPSSRRELLTNFRTTLHDDIINTPTVFPTNPGSTPPGTLLPDTPAPTIVTVPATNPANPVTVTPTNPVSTPLPFPYTTPVDFPPANPSVNPPVPISNPVTTPAPITVPGAQPVTNPVTTYPAPTGNVPVTAPVTNPVAPPATTNAPAIPGQSWCVARSGVTETALQSALDYACGMGGADCSQIQQGGNCYNPNSLQNHASFAFNSYFQKNPAATSCDFGGTATIVNVNPSTGSCIYPTSSSSSGTPSLPAPTTSSANPATTSPVVGVSG >Potri.013G003500.2.v4.1 pep chromosome:Pop_tri_v4:13:246003:248888:-1 gene:Potri.013G003500.v4.1 transcript:Potri.013G003500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003500.v4.1 MAGVASKCLFLFFLSLLTISSSGTLVGFSYNARGITAASSLSRTVSFLELNKVFASHLRVFASDHKVLSALSNFNVSVDLYLDDSLVENLINSKPSAISWFKTLLVTFLPHVNIKSIIVSGNNDLPKLLYTLKSIHSVLNRFHVDSEVKVSVAFSLSFLENLDRTQENDLHGILGSIKRTKSFVTVETSLDVDVELGMKDLFIQSMIQKVTAATSLLSPYDVLIAMTIRSLVVPGAKEVAEFAEKVSKSLENSKITGQVAGLYAEVSSVEDFMEKELKREHEQIFPSSRRELLTNFRTTLHDDIINTPTVFPTNPGSTPPGTLLPDTPAPTIVTVPATNPANPVTVTPTNPVSTPLPFPYTTPVDFPPANPSVNPPVPISNPVTTPAPITVPGAQPVTNPVTTYPAPTGNVPVTAPVTNPVAPPATTNAPAIPGQSWCVARSGVTETALQSALDYACGMGGADCSQIQQGGNCYNPNSLQNHASFAFNSYFQKNPAATSCDFGGTATIVNVNPSTGSCIYPTSSSSSGTPSLPAPTTSSANPATTSPVVGVSGTPSVLNSSTNPASSFGFDTPPILNPPASMSANLQPFIGCAILVTSFVARTIILDM >Potri.004G094100.2.v4.1 pep chromosome:Pop_tri_v4:4:8043765:8045919:1 gene:Potri.004G094100.v4.1 transcript:Potri.004G094100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094100.v4.1 MELPTPRNDSQEEEEEEEEEEMTIYLKVMKTSTMKVQRSDTIGTIKSAFCEKEGIPKSFQELFFNGDRLKDDQRVVDYGIPKDATLHMILQSSVGVKLLVVIPSRQKSVVVEARTYDTVQKIKAVILEKEQILPHQYNLVYAGEVLEDDRSLASLNLQSEPTLHLIFNPKDLLSFSVITPAGETVKLKVKFLYSVSEVKAIIGGVIGVPVSDYDLIYQGKKLEDSNSLACCDLQEESILEMSPQTFQVFVKAWSGKTITLNVHQRDTVEDVKDKIFQKLGGPSYCQSIVFSGKRLEANLDLAYYNIRKNATLHMVFSPSTITTKMGLSQIGAEPNSSARIRDLKAMIQNKLPSTVKEVYFREIPLQDECSIASYNIGESDELTVVSEQRW >Potri.001G086700.1.v4.1 pep chromosome:Pop_tri_v4:1:6904587:6905959:1 gene:Potri.001G086700.v4.1 transcript:Potri.001G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086700.v4.1 MGRAPCCSKVGLYRGPWTTKEDTLLINYIQAHGEGHWRSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPDEDDLIIRLHSLLGNRWSLIAGRLPGRTDNEIKNYWNSHLSKRLKNNTARNKSKCMGESAGKRGDTKANNKRKHKEDNEGISSRNGEATTKTRIYLPRATRVCASSVAKYINNIQSLIGSSSSNAEDGDHTNWGMSGLKVANNGGQAWASNNEEFDGPISLQKDIMLDDIFEEYQQLLKADDHGQLDSFVESLLA >Potri.001G324000.1.v4.1 pep chromosome:Pop_tri_v4:1:33304772:33309800:1 gene:Potri.001G324000.v4.1 transcript:Potri.001G324000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G324000.v4.1 MSTVTGGCLFTAVHCCVVPSSLSSTSKLKLQPTPTPTLRFAVSGQISAETTPSKTETTTTNLNGNGRFKKKREEEAETEGKKEKQNPYVLEIEKKEIEFTSSRKGLDAYFEGSRNFIKSDGGPPRWFSPLECGSRLDNSPLLLFLPGIDGVGLGLCKHHHTLGRIFDIWCLHIPVKDRTPFLGLVKLVERTVRSENYHSPKRPIYLVGESLGACLALAVAARNPDINLSLVLSNPATSFEESPLQPLISFLEIIPVEHQLSLSYILSLMTGDSLRMVMDNAVKGIPLHQIIGGLSKDVIAMSSHLNDLAALLPRETLLWKLQMLRPASEFANSRLSAVKAQTLVLSSGKDQFLPSEEEGQRLFRAFPKCENRKFNDSRHFLFLEDGIDLATIIKGSVAFYRRGMYHDYVSDYVPPTPSELKMLYESNRLFLLATSSVMLSTLEDGKVVKGLAGIPSDGPVLFVGYHMLMGHELAPMITQLLLERNILLRGLAHPLVFMRKKKEGRLPPLSDFDPVRVMGAVPVSGTNLFKLLSSKAHVLLYPGGAREAVHRKGEQYKLFWPEHSEFVRTAARFGAKIVPFGVVGEDDFGEVIFDYDDQMKFPCLREDIRRYTEEEGIKVRAQINGELGNEDMHYPWILPKLPGRFYYYFGKPIETEGRELELRDKDKAQELYLQIKSEVEKNLAFLKEKRESDPYRNVVARLAYQAMHGFTSEVPTFEI >Potri.014G055400.8.v4.1 pep chromosome:Pop_tri_v4:14:3525728:3527769:-1 gene:Potri.014G055400.v4.1 transcript:Potri.014G055400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G055400.v4.1 MSPGGHGVTRSKRDLPPMHYSFKIESFSLLLKTKVEKYESDVFEAGGYKWRLCLYPNGNTKGIGKGFVSLYLQIENTSNLRHRWEVTTEFKLFVRDHINDKYLTVRESDASVKRFHEMKTEWGFDQLLSLETFNDASKGYLFNDGCVFGAEIFVIKPTGKGELLSMVKKPANGSLTWKIRAFSKLDRMSYSKAFTAGGRSWRIDVSPEGYGDGKGNSLSVFLELVGGGKLPPKKTVWAEYKLRVLDQRHGKHVEEKMSRWFTSSSHTRGFPKFMPLGDLRDVSKGYVKNDTLIVEAEILTLSVSKLFS >Potri.004G153400.3.v4.1 pep chromosome:Pop_tri_v4:4:17432609:17435313:-1 gene:Potri.004G153400.v4.1 transcript:Potri.004G153400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153400.v4.1 MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDHLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEIYLPDTIDVGSSSQPRSTGCEC >Potri.004G153400.1.v4.1 pep chromosome:Pop_tri_v4:4:17432606:17435342:-1 gene:Potri.004G153400.v4.1 transcript:Potri.004G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153400.v4.1 MPSRRRTLLKVIILGDSGVGKTSLMNQYVNKKFSNQYKATIGADFLTKEVQFEDRLFTLQIWDTAGQERFQSLGVAFYRGADCCVLVYDVNSMKSFDHLNNWREEFLIQASPSDPENFPFVVLGNKVDVDGGNSRVVSEKKARAWCASKGNIPYFETSAKEGVNVEEAFQCIAKNALKSGEEEEIYLPDTIDVGSSSQPRSTGCEC >Potri.005G122900.1.v4.1 pep chromosome:Pop_tri_v4:5:9118273:9120684:-1 gene:Potri.005G122900.v4.1 transcript:Potri.005G122900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122900.v4.1 MIKAVLIINTQGKPRLTKFYDFLTVEKQQELIRSVFGVLCSRADNVSNFMEADSIFGPGSRLVYKHYATLYFVFVFDSSENELAMLDLIQVFVETLDKCFRNVCEFDAVFNYSKLHTILDGIIFEGQVLETSSAEVIRAVEEISKLEAASNSISLVPKTVSGWRRR >Potri.005G122900.4.v4.1 pep chromosome:Pop_tri_v4:5:9118270:9120684:-1 gene:Potri.005G122900.v4.1 transcript:Potri.005G122900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122900.v4.1 MIKAVLIINTQGKPRLTKFYDFLTVEKQQELIRSVFGVLCSRADNVSNFMEADSIFGPGSRLVYKHYATLYFVFVFDSSENELAMLDLIQGQFLSQM >Potri.005G122900.3.v4.1 pep chromosome:Pop_tri_v4:5:9118273:9120684:-1 gene:Potri.005G122900.v4.1 transcript:Potri.005G122900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122900.v4.1 MIKAVLIINTQGKPRLTKFYDFLTVEKQQELIRSVFGVLCSRADNVSNFMEADSIFGPGSRLVYKHYATLYFVFVFDSSENELAMLDLIQVCRSILVTNVSASFVCLADSANVNPPAFSFCGNTG >Potri.005G122900.2.v4.1 pep chromosome:Pop_tri_v4:5:9118272:9120684:-1 gene:Potri.005G122900.v4.1 transcript:Potri.005G122900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G122900.v4.1 MIKAVLIINTQGKPRLTKFYDFLTVEKQQELIRSVFGVLCSRADNVSNFMEADSIFGPGSRLVYKHYATLYFVFVFDSSENELAMLDLIQVCRSILVTNVSASFVCLADSANVNPPAFSFCGNTG >Potri.011G123000.2.v4.1 pep chromosome:Pop_tri_v4:11:15332084:15333289:1 gene:Potri.011G123000.v4.1 transcript:Potri.011G123000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G123000.v4.1 MKHPLFYVLAIFVAAALIIPLMTMSAVRISRHSNRERYTTWPRKRNSTMGRLTLTSKGVVRERMKQNGAHPLQIAGSRLPDCSHACGSCTPCVLKIVSSLCSSLAQSEACPISYKCMCKNKYYPVP >Potri.010G094400.1.v4.1 pep chromosome:Pop_tri_v4:10:11847369:11853805:-1 gene:Potri.010G094400.v4.1 transcript:Potri.010G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094400.v4.1 MEGDDDRIEESSVRLVGSSNDGIVDGGGGGVGESRWVDGSEVDSESPPWSLLDENDSSQGYGSMRRRLVKKPKSVDSFDVEAMEIAGAHHHHSKDLSVWQNLALAFQTLGVVYGDLGTSPLYVFTDVFSKVPIRSEVDVLGALSLVIYTIALIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVNMLPNRQPADENISSYRLKLPTPELERALNIKETLEKRSSLKTVLLLLVLTGTSMVIGDGILTPAMSVMSAVSGLQGEISGFGTSAVVVVSIIILLGIFSIQRFGTGKVGFMFAPVLALWFFSLGAIGIYNLVKHDISVLKALNPAYIYFFFKKNSSAAWSALGGCVLCITGAEAMFADLGHFSVQSIQIAFTCVVFPCLLLAYMGQASYLMKYPDSASRIFYDSVPESLFWPVFVIATLAAMIASQAMISATFSCVKQAMALGCFPRLKIVHTSRKLMGQIYIPIINYFLMIMCIIVVSIFRRTTDIANAYGIAEVGVMIVSTTLVTLVMLLIWRTNLFLALCFPLVFGSIELIYLSAVLSKILEGGWLPLAFATFFLCVMYTWNYGSVLKYQSEVREKISMDFMLELGSTLGTVRVPGIGLLYNELVQGVPSIFGQFLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEGHHVFEQLLVESLEKFLRREAQDLAIESNLNEYFDNVSERSRDSGAAGGDGTDELRVPLMHDRRLEDAGSSISEETSSAFPSSVMSLDEDPSLEYELSALREAMDSGFTYLLAHGDVRAKKNSFFFKKLVINYFYAFLRKNCRAGAANMSVPHMNILQVGMTYMV >Potri.010G094400.7.v4.1 pep chromosome:Pop_tri_v4:10:11847371:11853744:-1 gene:Potri.010G094400.v4.1 transcript:Potri.010G094400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094400.v4.1 MLKPWRLLVLITTTPRNSINSTLSAVVLRIYGKRARPKRSWKDLSVWQNLALAFQTLGVVYGDLGTSPLYVFTDVFSKVPIRSEVDVLGALSLVIYTIALIPLAKYVFVVLKANDNGEGGTFALYSLICRYAKVNMLPNRQPADENISSYRLKLPTPELERALNIKETLEKRSSLKTVLLLLVLTGTSMVIGDGILTPAMSVMSAVSGLQGEISGFGTSAVVVVSIIILLGIFSIQRFGTGKVGFMFAPVLALWFFSLGAIGIYNLVKHDISVLKALNPAYIYFFFKKNSSAAWSALGGCVLCITGAEAMFADLGHFSVQSIQIAFTCVVFPCLLLAYMGQASYLMKYPDSASRIFYDSVPESLFWPVFVIATLAAMIASQAMISATFSCVKQAMALGCFPRLKIVHTSRKLMGQIYIPIINYFLMIMCIIVVSIFRRTTDIANAYGIAEVGVMIVSTTLVTLVMLLIWRTNLFLALCFPLVFGSIELIYLSAVLSKILEGGWLPLAFATFFLCVMYTWNYGSVLKYQSEVREKISMDFMLELGSTLGTVRVPGIGLLYNELVQGVPSIFGQFLLSLPAIHSTIVFVCIKYVPVPVVPQEERFLFRRVCPKDYHMFRCVARYGYKDVRKEGHHVFEQLLVESLEKFLRREAQDLAIESNLNEYFDNVSERSRDSGAAGGDGTDELRVPLMHDRRLEDAGSSISEETSSAFPSSVMSLDEDPSLEYELSALREAMDSGFTYLLAHGDVRAKKNSFFFKKLVINYFYAFLRKNCRAGAANMSVPHMNILQVGMTYMV >Potri.004G037700.4.v4.1 pep chromosome:Pop_tri_v4:4:2971050:2982038:1 gene:Potri.004G037700.v4.1 transcript:Potri.004G037700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037700.v4.1 MIMADGRSGSVMPGGEIMAVGDGNSGDGEEEDLSMDVDLFYTILGEEPSPASPSGKGDFLDETVLDAGNSRNWLLQSGSQKIEGADGLGGESMDHAAYSLHNPEGSDARAGHSGGSIDYTGRQMSSLMHARSGSSREFCFPFQEDQGTMRAGASQSEIASCITEPTTFADGVSSFVADHAGGLNLKLLLDDNGNQLRHVEGNVESKGSSHGPWMDGLDEKFGSRDALDNDSLGILELKTDIHRSMVMPLMDTDHDMISTKSADWHYPGFNSELRDHDSAMQFGMNGYDAHYTDSSGFDFSSDFNFGLFPINQEINEFQPENACSGPEISMMPCSDVNGMNFKSEGDGYMFPKTRKFSSSADDGLNHDKASVMPPSDIQLGISEVQTVCVEDEKTDGLVACRNMTWQSGEGVTEAVDRKCSWSDGNSTFVYKDKQQSPSGVLSSVQSQKHVIYTNDDRGGMALGSSRAQVEGIAGRFPFDSVYLNLSASEQYLPFAPTSHISKMQLGCGKDEKQGLPIHSKALGSHLSIVSPESIQSNSSGSKSHVDDEPDICILDDISQPARSNQCFAPSKPIVPLLHPTYNDSLHHSTVEGTRFKANDEQLVLRVALQDLAQPKSEAVPPDGFLAVPLLRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPLCRVDAVAVKKEECETLNLDDDDDGVIEIDRLKKGADGSQVKSNRSSTKSLNSPGQSKGRPAAGTLIVCPTSVLRQWADELHTKVTTEANLSVLVYHGSNRTKDPSEVAKYDVVVTTYSIVSMEVPKQPLADEDEEKQRMEGDDVPHLGLSYGKKRKYPPTSGKKGLKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYEPYAVYKLFCSAIKVPIQKNPAKGYRKLQAVLKTVMLRRTKGTLLDGEPIINLPPKVVELKKVDFTEEERDFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVKGLDSNSLGGSSIEMAKKLPQEKQLCLLKCLEASLAICGICSDPPEDAVVSVCGHVFCKQCICEHLTGDDNQCPVSNCKVRLNVSSVFSKATLNSSLSDEPDQDSSGSGSELVAAVSSSSDNRPHNSSKIRATLEVLQSLTKPKDCLSKCNLSENSADGNVACHETSSGSTGSLNDGTDKRHPPAKVVGEKAIVFSQWTGMLDLLEACLKSSSIQYRRLDGTMSVVARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRKVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.004G037700.5.v4.1 pep chromosome:Pop_tri_v4:4:2972434:2982059:1 gene:Potri.004G037700.v4.1 transcript:Potri.004G037700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037700.v4.1 MVMPLMDTDHDMISTKSADWHYPGFNSELRDHDSAMQFGMNGYDAHYTDSSGFDFSSDFNFGLFPINQEINEFQPENACSGPEISMMPCSDVNGMNFKSEGDGYMFPKTRKFSSSADDGLNHDKASVMPPSDIQLGISEVQTVCVEDEKTDGLVACRNMTWQSGEGVTEAVDRKCSWSDGNSTFVYKDKQQSPSGVLSSVQSQKHVIYTNDDRGGMALGSSRAQVEGIAGRFPFDSVYLNLSASEQYLPFAPTSHISKMQLGCGKDEKQGLPIHSKALGSHLSIVSPESIQSNSSGSKSHVDDEPDICILDDISQPARSNQCFAPSKPIVPLLHPTYNDSLHHSTVEGTRFKANDEQLVLRVALQDLAQPKSEAVPPDGFLAVPLLRHQRIALSWMVQKETSSLHCSGGILADDQGLGKTVSTIALILKERAPLCRVDAVAVKKEECETLNLDDDDDGVIEIDRLKKGADGSQVKSNRSSTKSLNSPGQSKGRPAAGTLIVCPTSVLRQWADELHTKVTTEANLSVLVYHGSNRTKDPSEVAKYDVVVTTYSIVSMEVPKQPLADEDEEKQRMEGDDVPHLGLSYGKKRKYPPTSGKKGLKNKKGMDSAMLESIARPLAKVAWFRVVLDEAQSIKNHRTQVARACWGLRAKRRWCLSGTPIQNAIDDLYSYFRFLRYEPYAVYKLFCSAIKVPIQKNPAKGYRKLQAVLKTVMLRRTKGTLLDGEPIINLPPKVVELKKVDFTEEERDFYTRLEIDSRAQFKEYAAAGTVKQNYVNILLMLLRLRQACDHPLLVKGLDSNSLGGSSIEMAKKLPQEKQLCLLKCLEASLAICGICSDPPEDAVVSVCGHVFCKQCICEHLTGDDNQCPVSNCKVRLNVSSVFSKATLNSSLSDEPDQDSSGSGSELVAAVSSSSDNRPHNSSKIRATLEVLQSLTKPKDCLSKCNLSENSADGNVACHETSSGSTGSLNDGTDKRHPPAKVVGEKAIVFSQWTGMLDLLEACLKSSSIQYRRLDGTMSVVARDKAVKDFNTLPEVSVMIMSLKAASLGLNMVAACHVLLLDLWWNPTTEDQAIDRAHRIGQTRKVTVLRLTVKNTVEDRILALQQKKREMVASAFGEDENGGRQTRLTVDDLNYLFMV >Potri.019G006500.1.v4.1 pep chromosome:Pop_tri_v4:19:1454550:1455421:-1 gene:Potri.019G006500.v4.1 transcript:Potri.019G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G006500.v4.1 MADYETHHQSNHHQQIPKETAFQAINTIIQLHFEKTLEKKRAIDLQKKELHKLFLLFFIFLSLIFMAEAQPNRLQCRHCWAPIILLSLSHLIFYVSVAQTLRCINGFKYQRRCHKLTLGLATEKLRELKMRTASGSNEYGHDHVVVSDEGELEIHYQEPPESYFGKFKRNWALHFGFLIFIYAFMVSTSVVLLCF >Potri.010G230500.7.v4.1 pep chromosome:Pop_tri_v4:10:21280691:21285136:-1 gene:Potri.010G230500.v4.1 transcript:Potri.010G230500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230500.v4.1 MESTESSYVSSPEQPQKRSPPPPASPPSDSEEKPTYTRFLVSNAAAGSVIGKGGATITDFQSQSGARIQLSRNYEFFPGTSDRIIMVSGGIDDVLKAVELIIAKLLSEIPAEDGDEAEPRMRVRLVVPNSACGSIIGKGGSIIKSFIEESHAGIKISPLDTKFFGLTDRLVTVTGTLEEQMHAIDLILSKLTDDPHYSQTMHAPFSYAAAYNSMNHGPNGAAVKFQHNKDDITNSVTIGVADEHIGLVVGRGGRNIMEISQTSGARLKISDRGDFMSGTTDRKITITGSQRAIRAAEDMIMQKVSYASERETD >Potri.010G230500.8.v4.1 pep chromosome:Pop_tri_v4:10:21280803:21285315:-1 gene:Potri.010G230500.v4.1 transcript:Potri.010G230500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230500.v4.1 MESTESSYVSSPEQPQKRSPPPPASPPSDSEEKPTYTRFLVSNAAAGSVIGKGGATITDFQSQSGARIQLSRNYEFFPGTSDRIIMVSGGIDDVLKAVELIIAKLLSEIPAEDGDEAEPRMRVRLVVPNSACGSIIGKGGSIIKSFIEESHAGIKISPLDTKFFGLTDRLVTVTGTLEEQMHAIDLILSKLTDDPHYSQTMHAPFSYAAAYNSMNHGPNGAAVKFQHNKDDITNSVTIGVADEHIGLVVGRGGRNIMEISQTSGARLKISDRGDFMSGTTDRKITITGSQRAIRAAEDMIMQKVSYASERETD >Potri.010G230500.2.v4.1 pep chromosome:Pop_tri_v4:10:21280732:21285316:-1 gene:Potri.010G230500.v4.1 transcript:Potri.010G230500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230500.v4.1 MESTESSYVSSPEQPQKRSPPPPASPPSDSEEKPTYTRFLVSNAAAGSVIGKGGATITDFQSQSGARIQLSRNYEFFPGTSDRIIMVSGGIDDVLKAVELIIAKLLSEIPAEDGDEAEPRMRVRLVVPNSACGSIIGKGGSIIKSFIEESHAGIKISPLDTKFFGLTDRLVTVTGTLEEQMHAIDLILSKLTDDPHYSQTMHAPFSYAAAYNSMNHGPNGAAVKFQHNKDDITNSVTIGVADEHIGLVVGRGGRNIMEISQTSGARLKISDRGDFMSGTTDRKITITGSQRAIRAAEDMIMQKVSYASERETD >Potri.010G230500.9.v4.1 pep chromosome:Pop_tri_v4:10:21280790:21285309:-1 gene:Potri.010G230500.v4.1 transcript:Potri.010G230500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G230500.v4.1 MESTESSYVSSPEQPQKRSPPPPASPPSDSEEKPTYTRFLVSNAAAGSVIGKGGATITDFQSQSGARIQLSRNYEFFPGTSDRIIMVSGGIDDVLKAVELIIAKLLSEIPAEDGDEAEPRMRVRLVVPNSACGSIIGKGGSIIKSFIEESHAGIKISPLDTKFFGLTDRLVTVTGTLEEQMHAIDLILSKLTDDPHYSQTMHAPFSYAAAYNSMNHGPNGAAVKFQHNKDDITNSVTIGVADEHIGLVVGRGGRNIMEISQTSGARLKISDRGDFMSGTTDRKITITGSQRAIRAAEDMIMQKVSYASERETD >Potri.010G153100.6.v4.1 pep chromosome:Pop_tri_v4:10:16243771:16250983:-1 gene:Potri.010G153100.v4.1 transcript:Potri.010G153100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153100.v4.1 MLMAETRRYIPDHTINIEQILEEAKHRWLRPTEILEILRNYQKFKLTAEPPARPAAGSMFLFDRKALRYFRKDGHRWRKKKDGKTVREAHEKLKAGSVDVLHCYYAHGEDNENFQRRCYWMLDGQLEHIVFVHYREVKEGYKSGVSRLLEDSGTQVENLQPSPVTSFAQAASPASTVQTSYASSPNRIDWNGKALSSEFEDVDSRNGPGTSSLAQSIHGSMSHNSSLLSPRVEGFHVLPKNPPGSWLAGAKFDLGTQSSLLPEISSSERSVSRLPGQKFFVDQPGGAEFITNKLTDATLEGIAVPDTVVSATGLITDRTATPQNELGFNLISPQLHNLSGTQTVAASTAQVENKANDGGANNIESGELKKLDSFGRWMDKEIGGDCDDSLMASDSGNYWSTLSAENEDKEVSSLSHHMQLDTDSLGPSLSQDQLFSIRDFSPDWAYSGVDTKVLIIGTFLGSKKFSSETKWGCMFGEIEVSAEVLNDCVIRCQVPQHAPGRVPFYITCRNRLSCSEVREFEYRENPFGTASLPAESAQQEEILFQMRLSKLLYLGPGMKSSNCSIEDCERCKISTLFSLRNDSKGDLGKVQDNCMVAVGDGIGFRDKLIQSLLMDRLCEWLACKVHEGDKGSDVLDGEGQGVIHLAASLGYEWAMDLIVAAGGNPNFRDARGRTALHWASYFGREETVIALIRLDADPTAVDDPNPAFPGGQSAADLASCRGHKGISGYLAEAFLSRHLSSLKIDQNEMDHDTAAMAAEKETDIAAQVASLSSKGEYELLSLKGSLAAVRKSARAVALIHAAYRTSSFRQRQLAKSSDDISEISLDLAALGSLNMVQRRGHFEDYLHSAAVKIQQKYRGWKGRKDFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVGIVEKAILRWRRKRTGLRGFRLEKKIGDVKPESENADEYDFLRISRKQKFAGVEKALARVTSMVRHPEAREQYMRMVTKFENIKMGDEGCSVSQQDESSR >Potri.010G153100.3.v4.1 pep chromosome:Pop_tri_v4:10:16243851:16250988:-1 gene:Potri.010G153100.v4.1 transcript:Potri.010G153100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153100.v4.1 MLMAETRRYIPDHTINIEQILEEAKHRWLRPTEILEILRNYQKFKLTAEPPARPAAGSMFLFDRKALRYFRKDGHRWRKKKDGKTVREAHEKLKAGSVDVLHCYYAHGEDNENFQRRCYWMLDGQLEHIVFVHYREVKEGYKSGVSRLLEDSGTQVENLQPSPVTSFAQAASPASTVQTSYASSPNRIDWNGKALSSEFEDVDSRNGPGTSSLAQSIHGSMSHNSSLLSPRVEGFHVLPKNPPGSWLAGAKFDLGTQSSLLPEISSSERSVSRLPGQKFFVDQPGGAEFITNKLTDATLEGIAVPDTVVSATGLITDRTATPQNVIQELGFNLISPQLHNLSGTQTVAASTAQVENKANDGGANNIESGELKKLDSFGRWMDKEIGGDCDDSLMASDSGNYWSTLSAENEDKEVSSLSHHMQLDTDSLGPSLSQDQLFSIRDFSPDWAYSGVDTKVLIIGTFLGSKKFSSETKWGCMFGEIEVSAEVLNDCVIRCQVPQHAPGRVPFYITCRNRLSCSEVREFEYRENPFGTASLPAESAQQEEILFQMRLSKLLYLGPGMKSSNCSIEDCERCKISTLFSLRNDSKGDLGKVQDNCMVAVGDGIGFRDKLIQSLLMDRLCEWLACKVHEGDKGSDVLDGEGQGVIHLAASLGYEWAMDLIVAAGGNPNFRDARGRTALHWASYFGREETVIALIRLDADPTAVDDPNPAFPGGQSAADLASCRGHKGISGYLAEAFLSRHLSSLKIDQNEMDHDTAAMAAEKETDIAAQVASLSSKGEYELLSLKGSLAAVRKSARAVALIHAAYRTSSFRQRQLAKSSDDISEISLDLAALGSLNMVQRRGHFEDYLHSAAVKIQQKYRGWKGRKDFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVGIVEKAILRWRRKRTGLRGFRLEKKIGDVKPESENADEYDFLRISRKQKFAGVEKALARVTSMVRHPEAREQYMRMVTKFENIKMGDEGCSVSQQDESSR >Potri.010G153100.1.v4.1 pep chromosome:Pop_tri_v4:10:16243751:16250988:-1 gene:Potri.010G153100.v4.1 transcript:Potri.010G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153100.v4.1 MLMAETRRYIPDHTINIEQILEEAKHRWLRPTEILEILRNYQKFKLTAEPPARPAAGSMFLFDRKALRYFRKDGHRWRKKKDGKTVREAHEKLKAGSVDVLHCYYAHGEDNENFQRRCYWMLDGQLEHIVFVHYREVKEGYKSGVSRLLEDSGTQVENLQPSPVTSFAQAASPASTVQTSYASSPNRIDWNGKALSSEFEDVDSRNGPGTSSLAQSIHGSMSHNSSLLSPRVEGFHVLPKNPPGSWLAGAKFDLGTQSSLLPEISSSERSVSRLPGQKFFVDQPGGAEFITNKLTDATLEGIAVPDTVVSATGLITDRTATPQNVIQELGFNLISPQLHNLSGTQTVAASTAQVENKANDGGANNIESGELKKLDSFGRWMDKEIGGDCDDSLMASDSGNYWSTLSAENEDKEVSSLSHHMQLDTDSLGPSLSQDQLFSIRDFSPDWAYSGVDTKVLIIGTFLGSKKFSSETKWGCMFGEIEVSAEVLNDCVIRCQVPQHAPGRVPFYITCRNRLSCSEVREFEYRENPFGTASLPAESAQQEEILFQMRLSKLLYLGPGMKSSNCSIEDCERCKISTLFSLRNDSKGDLGKVQDNCMVAVGDGIGFRDKLIQSLLMDRLCEWLACKVHEGDKGSDVLDGEGQGVIHLAASLGYEWAMDLIVAAGGNPNFRDARGRTALHWASYFGREETVIALIRLDADPTAVDDPNPAFPGGQSAADLASCRGHKGISGYLAEAFLSRHLSSLKIDQNEMDHDTAAMAAEKETDIAAQVASLSSKGEYELLSLKGSLAAVRKSARAVALIHAAYRTSSFRQRQLAKSSDDISEISLDLAALGSLNMVQRRGHFEDYLHSAAVKIQQKYRGWKGRKDFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVGIVEKAILRWRRKRTGLRGFRLEKKIGDVKPESENADEYDFLRISRKQKFAGVEKALARVTSMVRHPEAREQYMRMVTKFENIKMGDEGCSVSQQDESSR >Potri.010G153100.7.v4.1 pep chromosome:Pop_tri_v4:10:16243752:16250988:-1 gene:Potri.010G153100.v4.1 transcript:Potri.010G153100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G153100.v4.1 MLMAETRRYIPDHTINIEQILEEAKHRWLRPTEILEILRNYQKFKLTAEPPARPAAGSMFLFDRKALRYFRKDGHRWRKKKDGKTVREAHEKLKAGSVDVLHCYYAHGEDNENFQRRCYWMLDGQLEHIVFVHYREVKEGYKSGVSRLLEDSGTQVENLQPSPVTSFAQAASPASTVQTSYASSPNRIDWNGKALSSEFEDVDSRNGPGTSSLAQSIHGSMSHNSSLLSPRVEGFHVLPKNPPGSWLAGAKFDLGTQSSLLPEISSSERSVSRLPGQKFFVDQPGGAEFITNKLTDATLEGIAVPDTVVSATGLITDRTATPQNELGFNLISPQLHNLSGTQTVAASTAQVENKANDGGANNIESGELKKLDSFGRWMDKEIGGDCDDSLMASDSGNYWSTLSAENEDKEVSSLSHHMQLDTDSLGPSLSQDQLFSIRDFSPDWAYSGVDTKVLIIGTFLGSKKFSSETKWGCMFGEIEVSAEVLNDCVIRCQVPQHAPGRVPFYITCRNRLSCSEVREFEYRENPFGTASLPAESAQQEEILFQMRLSKLLYLGPGMKSSNCSIEDCERCKISTLFSLRNDSKGDLGKVQDNCMVAVGDGIGFRDKLIQSLLMDRLCEWLACKVHEGDKGSDVLDGEGQGVIHLAASLGYEWAMDLIVAAGGNPNFRDARGRTALHWASYFGREETVIALIRLDADPTAVDDPNPAFPGGQSAADLASCRGHKGISGYLAEAFLSRHLSSLKIDQNEMDHDTAAMAAEKETDIAAQVASLSSKGEYELLSLKGSLAAVRKSARAVALIHAAYRTSSFRQRQLAKSSDDISEISLDLAALGSLNMVQRRGHFEDYLHSAAVKIQQKYRGWKGRKDFLKIRNRIVKIQAHVRGHQVRKQYKKVVWSVGIVEKAILRWRRKRTGLRGFRLEKKIGDVKPESENADEYDFLRISRKQKFAGVEKALARVTSMVRHPEAREQYMRMVTKFENIKMGDEGCSVSQQDESSR >Potri.010G198500.1.v4.1 pep chromosome:Pop_tri_v4:10:19148772:19151062:-1 gene:Potri.010G198500.v4.1 transcript:Potri.010G198500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198500.v4.1 MSFTAILRKSALSVAPLASRIIRVGQRDYHPALFSALKHQVNLPCKNPSLVVPFLQRFCSTVTEIQSPSSKESILRALESEIKVAQETVGHDGVEEFPKDYPFKIDDNAGQQTVILTREYEGELVRVEVHMPDVVTGEDNDVDDGSDDNQRPVQSSIPLVVTVSKKCGTCLEFNCVAYADEIKIDSMSIIGPETSEDQMAYDGPNFHDFDEKLKKGFHKYLEIRGIKASTTNFLHEYMINKDSREYMGWLSNLKQFIEA >Potri.002G157800.2.v4.1 pep chromosome:Pop_tri_v4:2:12035108:12040467:1 gene:Potri.002G157800.v4.1 transcript:Potri.002G157800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157800.v4.1 MIFINTFRAMKRSSASTILFLFFIILLAGALCFWIDMSVIVAVPLSLRNRFTGRPRTSTEFSLNCSNQSTTTSCVGANESSAMACPGFFRWIHEDLRPWKDTGISRDMLERARKHAHFRLVIVEGKAYVEQYSKPYQTRDVFTIWGILQLLNLYPGKIPDLELMFRCGDKTVIQKHDIQGSDAMSPAVLFQYCGHSTALNIVFPDWTFWGWAETNIKPWKIVLEGMVEGNKKIKWQDREPYAYWRGNPHVSPNREDLMKCNVSDKYDWLARLYEQNWGKEREQGYKHSKLEDQCTHRYKIYIEGNSWSVSEKYILACDSMALLIKPEYYDFFSRSMEPMQHYWPIRASNKCKDIKFAVEWGNNHSVDAQAIGKAGSKFIQENLKMEYVYEYMFHLFKEYAKLLRFKPKIPAGAVEVSSESTASSLGGLWKMFILESVVKSPGDALVPCTAPPPYDAHTLQDLFQRKENVRRQVEMWGDEY >Potri.017G146300.1.v4.1 pep chromosome:Pop_tri_v4:17:14641639:14643210:1 gene:Potri.017G146300.v4.1 transcript:Potri.017G146300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G146300.v4.1 MDTANLISQLPDHIRHHILSFLSTPEVVRLSVLSKAWHRIFNSFPIFEFSSSSFAKTSDSSFEFIIFVYKSLLLQCHQYRSIPKFQFSFTQDRWRPYVYSKYLPSPCELDKLISRCIEIGTRKGVKELSIYFSITGYYRLPEAVLSVKELVVCSLGGCIFDGAINWPSLRELSLKEVQICDQRIINNLFFTCPLIEKFSLVECFGLKYLHLSGLRKLKKVKVKSGYPHMEKIEIDVVSLHTFSYSAHHYMKTDIDLTSCKNLEVFKFKGYNITEDMIQYLNRNFPALKVLVLHGERLHFQRIEISIPLLEKLNLIVAQISAVEAIINTPRLRSFKCVMHKIPSLFYLNSLQEVTLELFVDLNNIKHGESFLEDFREYLKNLNKIKLVTLSICVYSSSEIHKIVSSTASNPVLLEISHLKLETFTREKESHALVDGLFCICRPESLLLVSGCARNDEFIKNLCEKLVQIENAATYANCWQHHLKGVKIQHCGRKGYNKILTCEAFLDSLQTLEPKEKIRFLFEW >Potri.003G216651.1.v4.1 pep chromosome:Pop_tri_v4:3:21232139:21235109:1 gene:Potri.003G216651.v4.1 transcript:Potri.003G216651.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216651.v4.1 MVELEESRRKLVNLKMQRDAAVGMHTPAPSAVNGNLSPEKTTDRSKRFWELRDSLDEMKILAADRLSELENQTLSKELEDLEDELKDDKHIHSSHLYSLVKDQLQHWNDEVERYKTLTDSLQVIYLGFFFPVSFYTCLILNVLEIKESECRAHSQAEVLKSSLDEHSLELRVKAAIGAEAACHQRLSATEAEIAELRAKLDASERDVFELKEAIKSKDKEAESIGQAYEDMQTQNQHLLQQVGERDDYNIKQSFLLSEKQALAKHLQQVNASVESLKSRIAHSEEQMKHYVIEAVRSTKEDRHVAINLESALLELMDAEKELKWLKYAIQKKIDEIQTELVSERSERKMLEEELMELNNRVAELTSETGEAAIQRLQDEIKDCKSMLKCSVCSDRPKEVVIVKCYHLFCNP >Potri.011G167650.1.v4.1 pep chromosome:Pop_tri_v4:11:19109708:19115884:-1 gene:Potri.011G167650.v4.1 transcript:Potri.011G167650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167650.v4.1 MPVLQALKGTLEEFANAKERSKQCVAAEAFAGVLHSDINGLLGAWDNWIIVQLQTVILSQSVESIPEWAACIRYSVTGKGKYGTRVPVLRKQILDCLMTPLPPAVNTTVVAKRYTFLSAALIEISPQKMPVAEIKLHNRLMNELLDNMWHSSAQVREAIGVTLAVLCSNIRLHLSSAHDYSCEEASEIDNQLKEEKWVLILTHRATDVVTNIQNTSPADNLETAGHTAFQNGSLNGDAQDDVKWMETLFHFIISTLKSGRSSYLLDVIVQFLYPVLSLQETSNKDLSTLAKACFELLKWRIFWAPHLQRAVSVILCSANDPNWRTRSATLTYLRTFMYRHTFILSNVEKQQIWKTVESLLRDNQVEVREHAATVLAGLVKGGNEDLARDFRERAYLEANTIHRKRKQRNLKTGQSIASVHGAVLALVASVLSVPYDMPSWLPDHVTLLACFGGEPSPVKSTVTKAIAEFRRTHADTWNVQKDSFTEEQLEVLADTSSSSSYFA >Potri.015G054500.1.v4.1 pep chromosome:Pop_tri_v4:15:7396701:7398870:1 gene:Potri.015G054500.v4.1 transcript:Potri.015G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054500.v4.1 MPGLQRPILDQDRIFDQEKQKKPAMESNMKASRKVRIICHDPEATDSSSDEDEEYFDRCNRWKQFIREITLPVFPSKSILEGPSQDKTGVCKSNIIISNSLDNIRPRRSSAIYKGVRRRPWGKYSAEIRDPFLKIRLWLGTYTTAEEAAAAYKKKKEEFDSKMALERANNLHVDTKVVSEEFDGLCSHPSPLSVLDVSTMTSLGHGLESNVEMVAKECNMESSIKEESCVEMIEDGSEEVQCISDLWEERTLSPSVSQELLGFDQQSQFGQFFDGFINGEGFSMCDNTEPVSSPMDGVMDLPDIELETLAFVEETLNFAHQ >Potri.009G067700.1.v4.1 pep chromosome:Pop_tri_v4:9:6751621:6759038:-1 gene:Potri.009G067700.v4.1 transcript:Potri.009G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067700.v4.1 MASRFYLFFSLSLLLLTHSPSNSQSNQFCEAGIGYGEVGCGLSSSTKLLIKGGTVVNAHHQEVADVYVEDGIIVAVKPDLKVTDDVKVIDATGKFVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLIAGLESYEEKAKKSCMDYGFHMAITKFDDSVSRDMEIMVKEKGINSFKFFLAYKGSLMVNDELLLEGLKRCKSLGALAMVHAENGDAVFEGQKRMIQLGITGPEGHALSRPPLLEGEATARAIRLAGFVNTPLYVVHVMSIDAMEEIAKARKSGQRVVGEPVVSGLVLDDSGLWDSDFATASKFVMSPPIRAAGHNKVLQGALSNGVLQLVGTDHCVFNSTQKAFGIDDFRKIPNGVNGIEERMHLVWDTMVESGQISINDFVRVTSTECARVFNIYPRKGAIIAGSDADIIILNPNSSFEINARSHHSRTDTNVYEGRRGKGKVEVTIAGGRVVWENNELKVVPGSGKYIEMPPFNYLFSGIDKADASYLSSLNAPVKRSKSTT >Potri.009G067700.4.v4.1 pep chromosome:Pop_tri_v4:9:6751652:6759038:-1 gene:Potri.009G067700.v4.1 transcript:Potri.009G067700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067700.v4.1 MASRFYLFFSLSLLLLTHSPSNSQSNQFCEAGIGYGEVGCGLSSSTKLLIKGGTVVNAHHQEVADVYVEDGIIVAVKPDLKVTDDVKVIDATGKFVMPGGIDPHTHLAMEFMGTETIDDFFSGQAAALAGGTTMHIDFVIPVNGSLIAGLESYEEKAKKSCMDYGFHMAITKFDDSVSRDMEIMVKEKGINSFKFFLAYKGSLMVNDELLLEGLKRCKSLGALAMVHAENGDAVFEGQKRMIQLGITGPEGHALSRPPLLEGEATARAIRLAGFVNTPLYVVHVMSIDAMEEIAKARKSGLKFLIFHFLVC >Potri.009G094450.1.v4.1 pep chromosome:Pop_tri_v4:9:8558969:8559647:1 gene:Potri.009G094450.v4.1 transcript:Potri.009G094450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094450.v4.1 MRCRSFVLAFNAISILIFFLLLSYTMHVEGRPVPQGHSFEWEKGVTLNIRQARSGPSNRGRGH >Potri.009G050801.1.v4.1 pep chromosome:Pop_tri_v4:9:5592522:5592866:-1 gene:Potri.009G050801.v4.1 transcript:Potri.009G050801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050801.v4.1 MFGIRRQAVTIAATARVPRPGMSARICWR >Potri.002G145000.1.v4.1 pep chromosome:Pop_tri_v4:2:10936182:10938487:1 gene:Potri.002G145000.v4.1 transcript:Potri.002G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G145000.v4.1 MTETMETATSTTPKQPQISEMFSKFALAFKTKTFEFFADETTAADETTDVDDGFSLLDSAEDFIADQKVIILKPDQPLSQNQDFLPQKELTVKNSETQIKPLNTQLANTLISSVFSSVSSFEASYLQLQTAHVPFNEESIKVADKALVSALQRLSDLKQVYRDLCKNPDFGDDLPIGSCLESQVDENQSKLRILGTVSNSLQAEIDQKDSEVSVLKKKLSEVQKFNSLSSKRLCSSLNLNSEVLLTVKVFDSVLNDACRTMHKFTKILVDLMRKARWDLDLAANSVHSDVDYVKRGHNRYAFLSYVSLVMYKGFNLEGFGLESEGEVSCNKLGLDSVKSNSSLKQLLEHVSSNPMELLSRNPTCKFSRFCEKKYQELMHPAMESSIFSNLDQNEVVLNSWRSLSMFYESFVNMSSSVWTLHKLAFSFDPVVDIFQVERGVDFSTVYMEDVTRRCTMPNKTRLKVGFTVVPGFKIGRTVIQSQVYLCA >Potri.012G043000.9.v4.1 pep chromosome:Pop_tri_v4:12:3845734:3853349:-1 gene:Potri.012G043000.v4.1 transcript:Potri.012G043000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043000.v4.1 MMMMIQGRERTRGGVGNGGDGSDEEEELEVEKLRGESKPSWKRLFGLLRMESSTRDGIVFRDGSSLGQSSVSDAYIIRPDSCRRYTVWVHFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIVVHFFVAYRATHSYRLVSSHKLIAIRYLKSRFLVDFLGCLPWDAIFKVSGRKEAVRYMLWIRLSRAKRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTMPPSQEGYTWIGSLQMGDYRYTHFREIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDRMTDLIKYMNRNNLGKGMSNEIKRHLRLQYDRSYTETSVLQEIPASIRTKISQKLYEPYIKEVSLFKGCSLEFIKQIAIRVHEEYFLPGEVIIEQGHVADQLYVVCHGELEEFGRGENDRAEEFIKRLQTYSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFTEILDIYFSDGRIILNNLLEGKDANLRNELLESDVTLYIEKSESELAMRLNCAAFDGDYYRLKRLIEVGADPNKADYDRRSPLHVAASKGDGDISQLLIEHGVDVNISDKFGNTPLLEAVKGGHDEVASLLVKAGASLAIDDAGGFLCTTVVKRDLNLLKRVLANGINPNAKNFDYRTPLHIAASEDLHSIASLLIEAGASVFPKDRWGNTPLDEARIGGNKDLIKLLEVARASQIVTDDMQRMKCTVFPFHPWDPKEKRREGVVLWVPQTIEELVKAAMEQLKSSGGYLLSENGGKILDVNMISHDQKLFLVYE >Potri.012G043000.3.v4.1 pep chromosome:Pop_tri_v4:12:3845724:3853335:-1 gene:Potri.012G043000.v4.1 transcript:Potri.012G043000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043000.v4.1 MMMMIQGRERTRGGVGNGGDGSDEEEELEVEKLRGESKPSWKRLFGLLRMESSTRDGIVFRDGSSLGQSSVSDAYIIRPDSWRYTVWVHFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIVVHFFVAYRATHSYRLVSSHKLIAIRYLKSRFLVDFLGCLPWDAIFKVSGRKEAVRYMLWIRLSRAKRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTMPPSQEGYTWIGSLQMGDYRYTHFREIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDRMTDLIKYMNRNNLGKGMSNEIKRHLRLQYDRSYTETSVLQEIPASIRTKISQKLYEPYIKEVSLFKGCSLEFIKQIAIRVHEEYFLPGEVIIEQGHVADQLYVVCHGELEEFGRGENDRAEEFIKRLQTYSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFTEILDIYFSDGRIILNNLLEGKDANLRNELLESDVTLYIEKSESELAMRLNCAAFDGDYYRLKRLIEVGADPNKADYDRRSPLHVAASKGDGDISQLLIEHGVDVNISDKFGNTPLLEAVKGGHDEVASLLVKAGASLAIDDAGGFLCTTVVKRDLNLLKRVLANGINPNAKNFDYRTPLHIAASEDLHSIASLLIEAGASVFPKDRWGNTPLDEARIGGNKDLIKLLEVARASQIVTDDMQRMKCTVFPFHPWDPKEKRREGVVLWVPQTIEELVKAAMEQLKSSGGYLLSENGGKILDVNMISHDQKLFLVYE >Potri.012G043000.8.v4.1 pep chromosome:Pop_tri_v4:12:3845734:3853349:-1 gene:Potri.012G043000.v4.1 transcript:Potri.012G043000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043000.v4.1 MMMMIQGRERTRGGVGNGGDGSDEEEELEVEKLRGESKPSWKRLFGLLRMESSTRDGIVFRDGSSLGQSSVSDAYIIRPDSCRRYTVWVHFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIVVHFFVAYRATHSYRLVSSHKLIAIRYLKSRFLVDFLGCLPWDAIFKVSGRKEAVRYMLWIRLSRAKRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTMPPSQEGYTWIGSLQMGDYRYTHFREIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDRMTDLIKYMNRNNLGKGMSNEIKRHLRLQYDRSYTETSVLQEIPASIRTKISQKLYEPYIKEVSLFKGCSLEFIKQIAIRVHEEYFLPGEVIIEQGHVADQLYVVCHGELEEFGRGENDRAEEFIKRLQTYSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFTEILDIYFSDGRIILNNLLEGKDANLRNELLESDVTLYIEKSESELAMRLNCAAFDGDYYRLKRLIEVGADPNKADYDRRSPLHVAASKGDGDISQLLIEHGVDVNISDKFGNTPLLEAVKGGHDEVASLLVKAGASLAIDDAGGFLCTTVVKRDLNLLKRVLANGINPNAKNFDYRTPLHIAASEDLHSIASLLIEAGASVFPKDRWGNTPLDEARIGGNKDLIKLLEVARASQIVTDDMQRMKCTVFPFHPWDPKEKRREGVVLWVPQTIEELVKAAMEQLKSSGGYLLSENGGKILDVNMISHDQKLFLVYE >Potri.012G043000.7.v4.1 pep chromosome:Pop_tri_v4:12:3845744:3853383:-1 gene:Potri.012G043000.v4.1 transcript:Potri.012G043000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043000.v4.1 MMMMIQGRERTRGGVGNGGDGSDEEEELEVEKLRGESKPSWKRLFGLLRMESSTRDGIVFRDGSSLGQSSVSDAYIIRPDSWRYTVWVHFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIVVHFFVAYRATHSYRLVSSHKLIAIRYLKSRFLVDFLGCLPWDAIFKVSGRKEAVRYMLWIRLSRAKRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTMPPSQEGYTWIGSLQMGDYRYTHFREIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDRMTDLIKYMNRNNLGKGMSNEIKRHLRLQYDRSYTETSVLQEIPASIRTKISQKLYEPYIKEVSLFKGCSLEFIKQIAIRVHEEYFLPGEVIIEQGHVADQLYVVCHGELEEFGRGENDRAEEFIKRLQTYSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFTEILDIYFSDGRIILNNLLEGKDANLRNELLESDVTLYIEKSESELAMRLNCAAFDGDYYRLKRLIEVGADPNKADYDRRSPLHVAASKGDGDISQLLIEHGVDVNISDKFGNTPLLEAVKGGHDEVASLLVKAGASLAIDDAGGFLCTTVVKRDLNLLKRVLANGINPNAKNFDYRTPLHIAASEDLHSIASLLIEAGASVFPKDRWGNTPLDEARIGGNKDLIKLLEVARASQIVTDDMQRMKCTVFPFHPWDPKEKRREGVVLWVPQTIEELVKAAMEQLKSSGGYLLSENGGKILDVNMISHDQKLFLVYE >Potri.012G043000.1.v4.1 pep chromosome:Pop_tri_v4:12:3845726:3853110:-1 gene:Potri.012G043000.v4.1 transcript:Potri.012G043000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043000.v4.1 MMMMIQGRERTRGGVGNGGDGSDEEEELEVEKLRGESKPSWKRLFGLLRMESSTRDGIVFRDGSSLGQSSVSDAYIIRPDSWRYTVWVHFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIVVHFFVAYRATHSYRLVSSHKLIAIRYLKSRFLVDFLGCLPWDAIFKVSGRKEAVRYMLWIRLSRAKRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTMPPSQEGYTWIGSLQMGDYRYTHFREIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDRMTDLIKYMNRNNLGKGMSNEIKRHLRLQYDRSYTETSVLQEIPASIRTKISQKLYEPYIKEVSLFKGCSLEFIKQIAIRVHEEYFLPGEVIIEQGHVADQLYVVCHGELEEFGRGENDRAEEFIKRLQTYSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFTEILDIYFSDGRIILNNLLEGKDANLRNELLESDVTLYIEKSESELAMRLNCAAFDGDYYRLKRLIEVGADPNKADYDRRSPLHVAASKGDGDISQLLIEHGVDVNISDKFGNTPLLEAVKGGHDEVASLLVKAGASLAIDDAGGFLCTTVVKRDLNLLKRVLANGINPNAKNFDYRTPLHIAASEDLHSIASLLIEAGASVFPKDRWGNTPLDEARIGGNKDLIKLLEVARASQIVTDDMQRMKCTVFPFHPWDPKEKRREGVVLWVPQTIEELVKAAMEQLKSSGGYLLSENGGKILDVNMISHDQKLFLVYE >Potri.012G043000.10.v4.1 pep chromosome:Pop_tri_v4:12:3845733:3853319:-1 gene:Potri.012G043000.v4.1 transcript:Potri.012G043000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G043000.v4.1 MMMMIQGRERTRGGVGNGGDGSDEEEELEVEKLRGESKPSWKRLFGLLRMESSTRDGIVFRDGSSLGQSSVSDAYIIRPDSWRYTVWVHFILIWAVYSSFFTPLEFGFFRGLPENLFLLDIAGQIAFLIDIVVHFFVAYRATHSYRLVSSHKLIAIRYLKSRFLVDFLGCLPWDAIFKVSGRKEAVRYMLWIRLSRAKRVSEFFERLEKDIRINYLFTRIVKLLVVELYCTHTAACIFYYLATTMPPSQEGYTWIGSLQMGDYRYTHFREIDLWKRYITSLYFAIVTMATVGYGEIHAVNVREMIFVMVYVSFDMILGAYLLGNMTALIVKGSKTEKFRDRMTDLIKYMNRNNLGKGMSNEIKRHLRLQYDRSYTETSVLQEIPASIRTKISQKLYEPYIKEVSLFKGCSLEFIKQIAIRVHEEYFLPGEVIIEQGHVADQLYVVCHGELEEFGRGENDRAEEFIKRLQTYSSFGEVSFLCNTPQPYTIRVRELCRVLRLDKQSFTEILDIYFSDGRIILNNLLEGKDANLRNELLESDVTLYIEKSESELAMRLNCAAFDGDYYRLKRLIEVGADPNKADYDRRSPLHVAASKGDGDISQLLIEHGVDVNISDKFGNTPLLEAVKGGHDEVASLLVKAGASLAIDDAGGFLCTTVVKRDLNLLKRVLANGINPNAKNFDYRTPLHIAASEDLHSIASLLIEAGASVFPKDRWGNTPLDEARIGGNKDLIKLLEVARASQIVTDDMQRMKCTVFPFHPWDPKEKRREGVVLWVPQTIEELVKAAMEQLKSSGGYLLSENGGKILDVNMISHDQKLFLVYE >Potri.002G119800.1.v4.1 pep chromosome:Pop_tri_v4:2:9105598:9107166:1 gene:Potri.002G119800.v4.1 transcript:Potri.002G119800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119800.v4.1 MANAWKRENNKQPKLLSPILLILSLSLLLLLFLFFFSARSPSNPPNSTLFVTQVYPIPSFNCLESPQAHPVIANIVENLKYPFLYSLSDFGSLPDKPHKNIVRLLKGKPFRKPDISATIQQLLEGMKGRNGLVVDVGANVGMASFAAAVMGFKVLAFEPVIDNLKRICDGIWFNRVADLVTVFEAAVSDRIGNITFYKLVGRLDNSAVSANGAKLAFKSNEEIAFQVRTIPLDELIPNSEPVLLLKIDVQGWEYHVLKGAVKLLSRKKDAAPYLIYEEDERLLQASNSSAKEIRDFLHGVGYSHCVQHGTDAHCTKG >Potri.002G119800.2.v4.1 pep chromosome:Pop_tri_v4:2:9105645:9107326:1 gene:Potri.002G119800.v4.1 transcript:Potri.002G119800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119800.v4.1 MKGRNGLVVDVGANVGMASFAAAVMGFKVLAFEPVIDNLKRICDGIWFNRVADLVTVFEAAVSDRIGNITFYKLVGRLDNSAVSANGAKLAFKSNEEIAFQVRTIPLDELIPNSEPVLLLKIDVQGWEYHVLKGAVKLLSRKKDAAPYLIYEEDERLLQASNSSAKEIRDFLHGVGYSHCVQHGTDAHCTKG >Potri.006G099800.1.v4.1 pep chromosome:Pop_tri_v4:6:7638363:7642505:-1 gene:Potri.006G099800.v4.1 transcript:Potri.006G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099800.v4.1 MREEERAILSFQTLPNLKTSHSSLSETTHHREPLWRNAQFSCRIRSGGNNGSFGNFASVSMAEMKEDGRNEFAPSSAQLLKYPLAILAYVPRDAAIFAAGAVAGAAAKTVTAPLDRIKLLMQTHGVRAGQESAKKAIGFIEAIVMIGKEEGVKGYWKGNLPQVIRIIPYSAVQLLAYETYKKLFKGKDGELSVIGRLAAGACAGMTSTFVTYPLDVLRLRLAVDPGYRTMSEIALTMLREEGVASFYYGLGPSLLGIAPYIAVNFCIFDLVKKSLPEKYQQKTQSTLLTAVVSAAVATLTCYPLDTVRRQMQMKGTPYKSVLDAFPGIVQRDGVIGLYRGFLPNALKNLPNSSIRLTTFDIVKRLIAASEKEFQRIVEENRHKQSQDASNEPS >Potri.001G382200.2.v4.1 pep chromosome:Pop_tri_v4:1:40205648:40207724:-1 gene:Potri.001G382200.v4.1 transcript:Potri.001G382200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382200.v4.1 MVVKKKSISSASPWSTEKGKYSKTNEIHYSFLKPQLRHALLLCFLLSVWFVILRCFLSSSSTSENNMEKQNVDEKAKTTSKSSAISKCEGGMSVYLYDMPAEFNKGLLKDCSHLNAYTDMCPHVANRGLGQPLSYMVESAVATTWFATHQFIAEMIFHARMENHPCRVLDPINAKLFYVPFYGGLDASSKFHDANLTARDELAVRLADYLRSKPWWERHHGKDHFLVLGRTAWDFLRRNNDFGNSLLNLPDVQNMSVLTVERNPWDRVHNQHGIPYPSYFHPYTSHEMMTWQNKMRQSSRPHLFSFIGGPRRGVEKAAVRDELIRQCSESGRCKLLKCGKGPSKCHDPIEVLKVMSQSQFCLQAPGDSFTRRSTFDSVLAGCIPVFFSPHTVYTQYEWFFPAGDAREYSVYIDENALKTGNGSKRVVSIEEELFKIEREKVERMRSAVINLMPRLTYAHPNATDLGFQDAVDVALEALWAKRLKLNV >Potri.001G382200.3.v4.1 pep chromosome:Pop_tri_v4:1:40205648:40207724:-1 gene:Potri.001G382200.v4.1 transcript:Potri.001G382200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382200.v4.1 MVVKKKSISSASPWSTEKGKYSKTNEIHYSFLKPQLRHALLLCFLLSVWFVILRCFLSSSSTSENNMEKQNVDEKAKTTSKSSAISKCEGGMSVYLYDMPAEFNKGLLKDCSHLNAYTDMCPHVANRGLGQPLSYMVESAVATTWFATHQFIAEMIFHARMENHPCRVLDPINAKLFYVPFYGGLDASSKFHDANLTARDELAVRLADYLRSKPWWERHHDVQNMSVLTVERNPWDRVHNQHGIPYPSYFHPYTSHEMMTWQNKMRQSSRPHLFSFIGGPRRGVEKAAVRDELIRQCSESGRCKLLKCGKGPSKCHDPIEVLKVMSQSQFCLQAPGDSFTRRSTFDSVLAGCIPVFFSPHTVYTQYEWFFPAGDAREYSVYIDENALKTGNGSKRVVSIEEELFKIEREKVERMRSAVINLMPRLTYAHPNATDLGFQDAVDVALEALWAKRLKLNV >Potri.013G026600.3.v4.1 pep chromosome:Pop_tri_v4:13:1723942:1728272:1 gene:Potri.013G026600.v4.1 transcript:Potri.013G026600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026600.v4.1 MLTESFSLKISSNHLTCEMATKISNAAMFGLSPENMSSHEHLPLELDSSFLNTSTIIALCVFFALLCACIIIGHLLEEHRWANESITALLLGLCAGGVVLLVRKGASSHLLKFSEDLFFLYLLPPIIFNAGFQVKKKRFFKNFSTILLFGIFGTVISFCIISLGVFWIFRKIGVESLSLKDHLAIGAIMSATDSVCTLQVLSQDETPFLYSIVFGEGVVNDATSIVLFNSVQTLDFHNIDGLTVLKLIGTFLYLLFTSTALGIAVGLLSAFIIKTLYFGRHSTDREFALMILMAYLSYLLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWRESKASAGTSAAVSSTLFALVLVGRAAFVFPIANILNCVQKSESSKIHFKQQG >Potri.013G026600.4.v4.1 pep chromosome:Pop_tri_v4:13:1723942:1728269:1 gene:Potri.013G026600.v4.1 transcript:Potri.013G026600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026600.v4.1 MLTESFSLKISSNHLTCEMATKISNAAMFGLSPENMSSHEHLPLELDSSFLNTSTIIALCVFFALLCACIIIGHLLEEHRWANESITALLLGLCAGGVVLLVRKGASSHLLKFSEDLFFLYLLPPIIFNAGFQVKKKRFFKNFSTILLFGIFGTVISFCIISLGVFWIFRKIGVESLSLKDHLAIGAIMSATDSVCTLQVLSQDETPFLYSIVFGEGVVNDATSIVLFNSVQTLDFHNIDGLTVLKLIGTFLYLLFTSTALGIAVGLLSAFIIKTLYFGRHSTDREFALMILMAYLSYLLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWRESKARNFSCRQLNIVCISVSWKGSICFSHSKYLKLCSEKRELENSFQTTVYYVVGRVNERCSYNCFIL >Potri.013G026600.5.v4.1 pep chromosome:Pop_tri_v4:13:1723942:1728269:1 gene:Potri.013G026600.v4.1 transcript:Potri.013G026600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026600.v4.1 MLTESFSLKISSNHLTCEMATKISNAAMFGLSPENMSSHEHLPLELDSSFLNTSTIIALCVFFALLCACIIIGHLLEEHRWANESITALLLGLCAGGVVLLVRKGASSHLLKFSEDLFFLYLLPPIIFNAGFQVKKKRFFKNFSTILLFGIFGTVISFCIISLGVFWIFRKIGVESLSLKDHLAIGAIMSATDSVCTLQVLSQDETPFLYSIVFGEGVVNDATSIVLFNSVQTLDFHNIDGLTVLKLIGTFLYLLFTSTALGIAVGLLSAFIIKTLYFGRHSTDREFALMILMAYLSYLLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWRESKCRNFSCRQLNIVCISVSWKGSICFSHSKYLKLCSEKRELENSFQTTVYYVVGRVNERCSYNCFIL >Potri.013G026600.1.v4.1 pep chromosome:Pop_tri_v4:13:1723942:1728269:1 gene:Potri.013G026600.v4.1 transcript:Potri.013G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026600.v4.1 MLTESFSLKISSNHLTCEMATKISNAAMFGLSPENMSSHEHLPLELDSSFLNTSTIIALCVFFALLCACIIIGHLLEEHRWANESITALLLGLCAGGVVLLVRKGASSHLLKFSEDLFFLYLLPPIIFNAGFQVKKKRFFKNFSTILLFGIFGTVISFCIISLGVFWIFRKIGVESLSLKDHLAIGAIMSATDSVCTLQVLSQDETPFLYSIVFGEGVVNDATSIVLFNSVQTLDFHNIDGLTVLKLIGTFLYLLFTSTALGIAVGLLSAFIIKTLYFGRHSTDREFALMILMAYLSYLLAELLNLSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATMSFIAETFIFLYVGMDALDIDKWRESKASAGTSAAVSSTLFALVLVGRAAFVFPIANILNCVQKSESSKIHFKQQFIMWWAGLMRGAVTIALSYNQFASYMRKSPESALMITSTIIVVLFSTIVCGSVTKPLIEAVLLRHRKPMVSDFTDNVSLEDLRVLLLESGDPSNSNNNQPARRASSLRLLIRHPTTTVHYFWRKFDDRFMRPMFGGRGFVPFVPSTPTGAADESSSA >Potri.008G054200.1.v4.1 pep chromosome:Pop_tri_v4:8:3189500:3190289:1 gene:Potri.008G054200.v4.1 transcript:Potri.008G054200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054200.v4.1 MSDDDNDDLNSKVAVLLIGVGAAAIVATIYHCLVMTWCCRYRARPNPQEPQLHVNETILENSTAQVIPSYEYRKDTGLTGDNGTCAICLGDFEEGEQLRELPECLHSYHVACIDMWLYSHSSCPMCRTDAKHSQQVFSNARDLDSERSSEPYRGVGVLRGIVVHPRAM >Potri.019G067050.1.v4.1 pep chromosome:Pop_tri_v4:19:10651536:10653465:-1 gene:Potri.019G067050.v4.1 transcript:Potri.019G067050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G067050.v4.1 MGLEVVSSAIISFSLFLLLASTTQAQSNGVFDVTKYGAGKDITEALTNAWKSACASTKPSKVLIPSGTYWLRKVTLAGPCKAAIKLQVDGILKAPVDPNKLSGGHWVNFRYVDQFTLSGRGTFDGQGKVAWSKSTCHKDKNCKGLPMNLRFDFITNALVRDITTLDSKNFHVNVLGCKNLTFQHFTVRAPGESVNTDGIHIGRSTGIYIIDSKISTGDDCISVGDGTEELHITGVTCGPGHGISVGSLGKYPNEKPVSGIFVKNCTISDTTNGVRIKSWPALYGGVASNMHFEDIVMNNVQNPVIIDQGYCPWNQCTLKAPSKVKISDVSFKSIRGTSATPVVVRIACSSGFPCQKVKLANINLAYRGPGGPAKSQCSNVKPIISGIMSASGC >Potri.010G187401.1.v4.1 pep chromosome:Pop_tri_v4:10:18385340:18387451:-1 gene:Potri.010G187401.v4.1 transcript:Potri.010G187401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187401.v4.1 MSPTHVRSLDWDNPDGMKCANETKPILNKTKPLDVGTNWQLFAIAVNVTRSMKVPVNFLKVTTPSEYRKDAHTSIYTAVEGKLLSPEQKSDPLKHADCLHWCLPGLPDTWNELLYTYIISRT >Potri.003G018400.1.v4.1 pep chromosome:Pop_tri_v4:3:1897505:1898365:1 gene:Potri.003G018400.v4.1 transcript:Potri.003G018400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G018400.v4.1 MQIFSEVLGDTDVRFRFSLPAHCLQHLDFAGNNYVDLHVKDSSGELRVIRCLKRNEDYDKPVLSKGWLKFVADYGLRADDMVVLHREDDHNLGSQFRIEAKRRIKLFGEEDWSDVTRAN >Potri.019G023600.2.v4.1 pep chromosome:Pop_tri_v4:19:3500705:3502471:-1 gene:Potri.019G023600.v4.1 transcript:Potri.019G023600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023600.v4.1 MACSASDLAPLLSTTVNSTGAATYLCSQFTSISSQLSDTNYAINNTYLLFSAYLVFAMQLGFAMLCAGSVRAKNTMNIMLTNVLDAAAGGLSYYLFGYAFAFGSPGNGFIGRHLFGLSDFPTIQADYSFFLYQWAFAIAAAGITSGSIAERTQFVAYLIYSSFLTGFVYPVVSHWLWSGDGWANPAKTDNKLLFGSGAIDFAGSGVVHMVGGIAGLWGALIEGPRIGRFDQNGRSVALRGHSASLVVLGSFLLWFGWYGFNPGSFLTILKSYGGNRVFYGQWSAVGRTAVTTTLAGSTAALTTLFGKRLLSGHWNVIDVCNGLLGGFAAITAGCSVVEPWAAIICGFVAAWVLIGCNKLADKLQYDDPLEAAQLHGGCGMWGLLFTGLFAKETYVNEVYSNKPGRPYGLFMGGGGKLLAAQIIEILVIVGWVSATMGPLFYGLHKLKLLRISAEDEMAGMDLTRHGGFAYAYDEEDDVSGKPSFMMKKVEPAKNTSPNGNSPAINV >Potri.009G125600.1.v4.1 pep chromosome:Pop_tri_v4:9:10434589:10437297:-1 gene:Potri.009G125600.v4.1 transcript:Potri.009G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125600.v4.1 MAATAATTTSFSALSKHSLSLNTSPQVPQKAPPFLLPKTLQKPHLSHATHKTHITLSSKNPISDLVSTDKRSSDDFSSYFDDDDDKPREECGVVGIYGDPEASRLCYLALHALQHRGQEGAGIVTVNDNKVLQSVTGVGLVSEVFNESKLDQLPGDLAIGHVRYSTAGSSMLKNVQPFVAGYRFGSVGVAHNGNLVNYRKLRAILEENGSIFNTSSDTEVVLHLIATSKTRPFFLRIVDACEKLEGAYSMVFLTEDKLVAVRDPYGFRPLVMGRRSNGAVVFASETCALDLIEATYEREVYPGEVLVVDKDGVQSLCLMPHPEPKQCIFEHIYFSLPNSIVFGRSVYESRHIFGEILATEAPVDCDVVIAVPDSGVVAALGYAAKAGVPFQQGLIRSHYVGRTFIEPSQKIRDFGVKLKLSPVRRVLEGKRVVVVDDSIVRGTTSSKIVRLLKEAGAKEIHMRIASPPIIASCYYGVDTPSSEELISNRMSVEEIREFIGCDSLAFLPLDSLKKLLAEESPNFCYACFSGKYPVQPKEVMVKRIGDFVDDGLNGSPESIDGGWVQAPLNQDVPKVSEAGKLSSLT >Potri.008G201900.1.v4.1 pep chromosome:Pop_tri_v4:8:14369624:14373964:-1 gene:Potri.008G201900.v4.1 transcript:Potri.008G201900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G201900.v4.1 MSCLLTCFSSCCASLTCGLCASVASGISNRSARLAYCGLFGTSLILSWILREVAAPLLEKIPWIKSSGTHPKEWYQIQAVLRVSMGNFLFFAVLALIMIGVKDQNDRRDSWHHGGWIAKMVIWLLLVVLMFFLPDSVISVYGILSKFGAGLFLLVQVIILLDFTHTWNDAWVEKDEQKWYIALLSVSVGCYLAAFTFSGILFMWFNPSGHDCGLNVFFIVMTMILAFAFAVIALHPAVNGSLLPASVISIYCAYVCYTGLSSEPHDYACNGLHNKSKAVSTSTLVLGMLTTILSVLYSAVRAGSSTTFLSPPSSPKASAGKKPLLEAEELEEGKEKKKEAEGQPVSYSYTFFHLIFALASMYSAMLLSGWTDTSESSSLIDVGWTSVWVRICTEWITGLLYTWTLLAPLFFPDREFF >Potri.007G067500.1.v4.1 pep chromosome:Pop_tri_v4:7:8683182:8684876:1 gene:Potri.007G067500.v4.1 transcript:Potri.007G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067500.v4.1 MQIIVGKNTLEVDSSDTIDSVKAKIQDKEGIPPDQLRLFFAGKRLEDGRTLADYNIQKGSTLHLNLRLRGGTMIKVKTLTGKEIEIDIEPTDTIDRIKERVEEKEGIPPVQQRLIYAGKQLGDDKTARDYNIEGGSVLHLVLALRGGSF >Potri.011G064800.2.v4.1 pep chromosome:Pop_tri_v4:11:5532163:5533845:1 gene:Potri.011G064800.v4.1 transcript:Potri.011G064800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064800.v4.1 MATSISLISSSPPPWMASSRTRSDGSASASLSLISGSRTKRGFSMNVATNSTTRSGLLHCSFLPSSSLSLPSSFSGLSLGLDLNSNIGVRKDIGRGLVVRAGKAALCLTKRSRSRKSLARTHGFRRRMRTTSGRAVLKRRRAKGRKVLCTKSNPNSGKGS >Potri.012G020000.4.v4.1 pep chromosome:Pop_tri_v4:12:2119147:2131434:1 gene:Potri.012G020000.v4.1 transcript:Potri.012G020000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020000.v4.1 MAEDEVLYETRLHISRPYTSNYPPLLHSNKVNQGGFLSIIKEKLSDYRNYNSKSSTEIRKNLSLFISTRGDYVAVASANQITILSKENDYQQPHGSFTCRGGGVFTSGVWSEFHGVLGVVDDSDTVYLIKVNGEEIMRISKRQLRASSSIVGLIPQDADDNDAQRSCLCRFVVITADGCLHLIEMSKEPSASVLNSGLKSLGKFPKDVFCSDYSSECSLLVVVGSAVGISQSSVENAAGSCLLSLWCRSRNLDLEPLISIQFEGLYSKSKDAILSCPKVLISPQGKFVATLDITGRLHIFKMDKESRSLVSFACEEQLRSQGTSNITNGQNELLNDIVDFTWWSDRIMTLAKRGGILAMLDIVTGLKFQEHNHLYSMLVLDRIQQFQGRIFVLDSKVPSKPHRESGNVYNVEQVTGSRSDQLDVSQLHWSLISLSKRSVPEMYNILISNCKYQAALDFANRHGLDRDEVLKSQWLHSSQGKDGINMFLSNIKDHSFVLSECVDKVGPTEDAVKALLSYGLQVTDQFRFSESEADEGSQIWDFRMARLQLLQFRDRLETYMGINMGRFSVQEYRKFRIIPVGEAAITLAESGKIGALNLLFKRHPYSLSPSLLKILAAIPETVPLQTYGQLLPGRSPPPRIALREEDWVECEEMVNFINRLPENHEIGTQIQTEPIVKRRLGYLWPSSSELSEWYKNRARDIDSFSGQLDNCIDLIDLACRKGIYELQKFHEDILLLHQLIYSDENDVDACSNMSLISWEQLSDYEKFRMMLKGVKEENVVKRLHDKAIPFMRNRFHNMTYFTQDQDTDCHFPSHENDSFVVKWLKEIALENKLDTCLMVIEEGCRELHMNGFFKDEIEAVDCALQCIYLCTVTDRWSVMAALLSKLPQKQDVGISIEHLEKRLKLAEGHIEAGRLLALYQVPKPMNFFLEAHADEKGVKQILRLILSKFVRRQPGRSDNDWANMWHDLQCLREKAFPFLDPEYMLVEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKAKECLNLFPSSRNVQTEADLIDALTVKLPYLGVTLLPLQFRQIKDPIEIIKMAITSQAGAYLHVDELIEVAKLLGLNSSEDISTVQEAIAREAAVAGDLQLAFDLCLVLAKKGHGHVWDLCAAIARGPALENIDIGSRKHLLGFALSHCDEESIGELLHAWKDLDMQGQCETLSILTGTSPSSFSDQGSSITSPPAYEETIDLKDYSELDGGASSGDREVCFSNIKNTLSFVTKNCRVDSGTDLESFLWENGKLVSFASIQLPWLLELSKKADNGKKFSTFIPGKHYVSIKTQAVVTILSWLAKNDYAPRDDVIASLAKSIIEPPVTEEEDIMGCSILLNLADAFSGVEIIEEQLRIRENYQEICSIMNVGMTYSLLHNSGVECKGPAQRRELLLRKFKEKHKPPSSDEMTKIDVQSTFWREWKFKLEEKKHVAEQSRVLEKIIPGVETGRFLSGDLDYIKSAIFSLIESVKFEKKHIIKDVLRLVDAYGLNHTEVLLRYLSSILVSEVWTDDDDVKAEISEVKGEIISFGSETIKTISLVVYPTIDGCNKQRLACIYGLLSDCYLWLGESKKSSSTAHPNSPNLSALDVARLYKVFEQECHRVSFIKNLDFKNVAGLDGLNLQSFKNEVFSHVNESSLEALAKMVQTLASIYADSLPEGLIVWQDVYKHYTMSLLTTLESRVRKECDVQNAERFQEFMSQLEQTYDFCRTYMRLLSHSDSLDIMKRYFTVIIPLHSSHEIIPDNSTWQDCVIVLLNFWLKLTEEMQEIALDESSVGTLRFDPEFLSSCLKVFMRMVMEDSVSPSQARGTVIGYASSGLIGDFSVEIPIFCRAMLYSGCGFGAISEVFLESMSICAISSASTAKNESLDLPHLYVNMLELILRNLVGGSHEHQNLYHLLSSLSKLEGQMENLQRVRHVVWERMAQFSDNLELPSHVRVYVLEIMQFITGRSIKGFSTELNSNLLPWEGWDGLLSTGKKSNPSANQGSPDHTDNSSRFTSTLVALRSSQLASAISPSIAITPDDLLNAETAVSCFLKLCESSSTEPHFDALIGILEEWEGFFVTAKDEVDTTEATETGNDWNNDDWDEGWESFQEVEALEKEKPENSNHVHPLHVCWMEIFKKLITLSKFKDVLRLIDCSLSKSYGILLDEDDARSLSHTVLEKDSFMALKMGLLLPYEAIQLQCLNVVEDKLKQGGISGVLGRDHEVLMLVLSSGVISNIITKPSYGTTFSYLCYVVGNFSRQSQEAQLSTITNKGANERVNIEKDVLLLFIRIMFPCFISELVKTDQQILAGFLITKFMHTNPSFSLINTTESSLSRYLERQLHALQQGDYFSLEEISSCEMFRNTVSRLTNKLGDEIRSALPLLSSNAR >Potri.012G020000.5.v4.1 pep chromosome:Pop_tri_v4:12:2124250:2131446:1 gene:Potri.012G020000.v4.1 transcript:Potri.012G020000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G020000.v4.1 MLFTSLFQVPKPMNFFLEAHADEKGVKQILRLILSKFVRRQPGRSDNDWANMWHDLQCLREKAFPFLDPEYMLVEFCRGLLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKAKECLNLFPSSRNVQTEADLIDALTVKLPYLGVTLLPLQFRQIKDPIEIIKMAITSQAGAYLHVDELIEVAKLLGLNSSEDISTVQEAIAREAAVAGDLQLAFDLCLVLAKKGHGHVWDLCAAIARGPALENIDIGSRKHLLGFALSHCDEESIGELLHAWKDLDMQGQCETLSILTGTSPSSFSDQGSSITSPPAYEETIDLKDYSELDGGASSGDREVCFSNIKNTLSFVTKNCRVDSGTDLESFLWENGKLVSFASIQLPWLLELSKKADNGKKFSTFIPGKHYVSIKTQAVVTILSWLAKNDYAPRDDVIASLAKSIIEPPVTEEEDIMGCSILLNLADAFSGVEIIEEQLRIRENYQEICSIMNVGMTYSLLHNSGVECKGPAQRRELLLRKFKEKHKPPSSDEMTKIDVQSTFWREWKFKLEEKKHVAEQSRVLEKIIPGVETGRFLSGDLDYIKSAIFSLIESVKFEKKHIIKDVLRLVDAYGLNHTEVLLRYLSSILVSEVWTDDDDVKAEISEVKGEIISFGSETIKTISLVVYPTIDGCNKQRLACIYGLLSDCYLWLGESKKSSSTAHPNSPNLSALDVARLYKVFEQECHRVSFIKNLDFKNVAGLDGLNLQSFKNEVFSHVNESSLEALAKMVQTLASIYADSLPEGLIVWQDVYKHYTMSLLTTLESRVRKECDVQNAERFQEFMSQLEQTYDFCRTYMRLLSHSDSLDIMKRYFTVIIPLHSSHEIIPDNSTWQDCVIVLLNFWLKLTEEMQEIALDESSVGTLRFDPEFLSSCLKVFMRMVMEDSVSPSQARGTVIGYASSGLIGDFSVEIPIFCRAMLYSGCGFGAISEVFLESMSICAISSASTAKNESLDLPHLYVNMLELILRNLVGGSHEHQNLYHLLSSLSKLEGQMENLQRVRHVVWERMAQFSDNLELPSHVRVYVLEIMQFITGRSIKGFSTELNSNLLPWEGWDGLLSTGKKSNPSANQGSPDHTDNSSRFTSTLVALRSSQLASAISPSIAITPDDLLNAETAVSCFLKLCESSSTEPHFDALIGILEEWEGFFVTAKDEVDTTEATETGNDWNNDDWDEGWESFQEVEALEKEKPENSNHVHPLHVCWMEIFKKLITLSKFKDVLRLIDCSLSKSYGILLDEDDARSLSHTVLEKDSFMALKMGLLLPYEAIQLQCLNVVEDKLKQGGISGVLGRDHEVLMLVLSSGVISNIITKPSYGTTFSYLCYVVGNFSRQSQEAQLSTITNKGANERVNIEKDVLLLFIRIMFPCFISELVKTDQQILAGFLITKFMHTNPSFSLINTTESSLSRYLERQLHALQQGDYFSLEEISSCEMFRNTVSRLTNKLGDEIRSALPLLSSNAR >Potri.003G137100.1.v4.1 pep chromosome:Pop_tri_v4:3:15428264:15436471:-1 gene:Potri.003G137100.v4.1 transcript:Potri.003G137100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137100.v4.1 MDEGLGDEVALMDMAEEAHLQGKESEYSVKPPESSNLLESRETVIASAGDYPESSFHVLADKLEGKNGNRSASPPMDASKQPCSSPRSMDDAGNMVEELMVRNYDGSNSAVVGTSNNRQRMQARQSQWPHLYQIGGGSVTGISCSNTLYRDSGQALLDVQHPSSSDILVQKTLSNERNEVSEQLVHTDFNGLLGNVSSHGSFRTKILSKSGFSEFFVKNTLKGKGIIYRGPPHDSLKLGPRDQNNERFAGGTLAASDTPLNLSAKTVTMTSSYGITGPSPSGSDHDGVRGGILAASDTPLNLSAKTVTMTSSYGITGPSPSGYDHDGVSLRKWLNAGQLKANKVERLQIFRRIVDLVDYSHSQGVALPDLRPSSFKLLQSNQVKYLGSAVPRDMLESSMDQDTPCSDNHAVRRRPLEQGMFSFVTAFAKKQKFSESMNYISSWPQLSTKHSLKLESTSDGGVDTIVSQDSQNEATERNHDTEYGIQAKSSSHELSKTGQRQLTAIVDRLEEKWYTSPEEFNDGICRIASNIYGLGILLFELLGRFDSDRAQAMVMSDLRHRILPPQFLSENPREAGFCLWLLHPEPSSRPSTREILQSELINGLQEVSAEELSSSINQDDAESELLFHFLVSLKEQKQNHASKLVEDIRCLDTDIEEVGRRNCSKKHLLHSCLENDFLNARQPTSEHKEPYRLEAFSQVSPDFKTNDMRLMSNISQLESAYFSMRSEVQLAETDATIRQDNDLLRNHENWYIEQEGEETQNTTDCLGSFFDGLCKYARYSKFEVRGLLRTGDFSNSANVICSLSFDRDADYFAAGGVSKKIKIFDFNSIFNDPVDIHYPVIEMSNESKLSCICWNSYIKNYLASTGYDGVVKLWDVSTGQGVFQYNEHEKRAWSVDFSQVCPTKLASGSDDCSVKLWSINEKNSISTIRNIANVCCVQFSSHSTHLLAFGSADYRTYCYDLRNCRAPWCVLAGHDKAVSYVKFLDSETLVTASTDNSLKIWDLNKTSSSSSSVSACIVTLGGHTNEKNFVGLSVANDYITCGSETNEVFAYHRSLPMPITSHKFGSIDPISGKETDDDNGLFVSSVCWRGKSDMVVAANSSGCLKVLQMV >Potri.013G099200.1.v4.1 pep chromosome:Pop_tri_v4:13:10393606:10397806:-1 gene:Potri.013G099200.v4.1 transcript:Potri.013G099200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099200.v4.1 MEGDAAAVTDFQDWELLANSDSDLVNSPISVADSSRSFEEIVADTEGMFRLDYFSLENDNRYVKTTLDATDEGSVESDNPSWIDPGSETRFQRRNSVEFWPDSGSDRSDDRKLGDFDVKNELGVVENERTDVGFEEIGEIEAKEGKFESLEGKVSNFERKSELGFEENVKVHVGFEGFGETQSKDKELVKFWSDSGGDDLFSGDVGKDQVGSEILGESEGENESKDVNLSVVAVGETKPGDEVEKRKVVLWKVPFEMLRYCVFRFSPVWSVSVAAAVMGFVILGRRLYKMKRKTKSLQLKVTVDDKKVSHFMSRAARLNETFSVVRRVPIVRPLLPAAGVNPWPVMSLR >Potri.013G099200.3.v4.1 pep chromosome:Pop_tri_v4:13:10394246:10397669:-1 gene:Potri.013G099200.v4.1 transcript:Potri.013G099200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099200.v4.1 MEGDAAAVTDFQDWELLANSDSDLVNSPISVADSSRSFEEIVADTEGMFRLDYFSLENDNRYVKTTLDATDEGSVESDNPSWIDPGSETRFQRRNSVEFWPDSGSDRSDDRKLGDFDVKNELGVVENERTDVGFEEIGEIEAKEGKFESLEGKVSNFERKSELGFEENVKVHVGFEGFGETQSKDKELVKFWSDSGGDDLFSGDVGKDQVGSEILGESEGENESKDVNLSVVAVGETKPGDEVEKRKVVLWKVPFEMLRYCVFRFSPVWSVSVAAAVMGFVILGRRLYKMKRKTKSLQLKVTVDDKVSYCGYLICPFQLLIIWFIWKSFNGVFKLNVYKLSGFLFFLLNFILRFGSVCCAAII >Potri.012G124100.1.v4.1 pep chromosome:Pop_tri_v4:12:14097699:14101372:-1 gene:Potri.012G124100.v4.1 transcript:Potri.012G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124100.v4.1 MASMSKKTVSIASQYSLFSTAPFLVYFLLGLACVFSPSSFAEATIGDQVTQGWKEAEALLKWKADLDNQSQSLLSSWAGDNPCNWEGITCDKTGNITKLSLQDCSLRGTLHGLQFSSFLNLIELNLRNNSLYGTIPSHISNLSKLIVLDLSQNQISGSIPSEIGSLTSLELFSLMKNLINGSIPSNSIGNLSNLVYLYLNDNDLSGAIPQEVGRMKSLVLLNLSSNNLTGAIPSSIGNLSNLVYLDLLKNKLSGSVPEEVGMLENLRTLQLGGNSLDGTIHTSIGNMRSLTVLDLRENYLTGTIPASMGNLTRSLTFIDLAFNNLTGTIPSSLGNLRSLSFLYLPSNNLSGSFPLELNNLTHLKHFYVNSNRFTGHLPDDICRGGLLSLLCVMDNDFTGPIPKSLRNCTSLVRLRIERNQLSGNISNDLVVYPNMTYINLSDNEFYGELSWKWEQFQSLMTLRVSNNRISGEIPAELGKATRLQAIDLSSNHLVGEIPKELGKLKLLELTLNNNNLSGDVTSVIATIPYITKLNLAANYLSGSIPKQLGELSNLLFLNFSKNKFTGNVPPEMGNLRSLQSLDLSWNYLQGYIPPQLGQFKHLETLNISHNMMSGSIPTTFADLLSLVTVDISCNDLEGPVPDIKAFSEAPYEAIRNNNLCGSSAGLKPCAASTGNKTASKKDRKMVVLFVFPLLGLFFLCLALIGGFLTLHKIRSRRKMLREARQENLFSIWDCCGEMNYENIIEATEEFDSNYCIGAGGYGAVYKAVLPTGMVVAVKKFHQSQDGEMTGSKAFRSEIHVLLSIRHRNIVKLYGFCSHRKHSFLVCEFIERGSLRMTLNSEERARELDWIKRLNLVKGVANALSYMHHDCSPPIIHRDISSNNVLLDSKYEARVTDFGTAKLLMPEASNWTSIAGTYGYIAPELAFTMKVDEKCDVYSFGVLTLEIIMGRHPGDFISALLSPSSSSTSLPMSQHTILKDVLDQCIPPPEHRVASGVVYIARLAFACLCADPQSRPTMKQVASDLSIQWPPLSKPFSRIELKDVLLPRNSTG >Potri.014G076300.2.v4.1 pep chromosome:Pop_tri_v4:14:4936002:4940249:1 gene:Potri.014G076300.v4.1 transcript:Potri.014G076300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076300.v4.1 MEIRMDFLNCLDHDTSMKILRCLEDPSDLVRASYVSRSWRDFVIANGLCKQLCLSMFPHLLRVDCVIEPSCVMEKASEVGCSKFVEWETLKREHKAYAFLAQACLSFPFDDCIKDAISASSTDNYPEESIRNTLQKGDRVGRRPSYWSSKGQRKAAVPETLVYKLVADICVITEINIQPFQAYFQQGSPIYSAESVRFHLGHPKCPMDDTLGEPLDNCADDKFIWTYSSPEFPMAQERSLQNFKLPEPVVCIGGILQIELLRRVQRQEMDGLFYICVAHVQVKGRPLSSAFGVEILGPSGKFVLKTLSSAPRSSLPPSLPEDDALYHGVPLQGLADLEQVVNGLGVEVLDEDWNSEDDEAADEMDDELAF >Potri.014G076300.7.v4.1 pep chromosome:Pop_tri_v4:14:4936096:4940244:1 gene:Potri.014G076300.v4.1 transcript:Potri.014G076300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G076300.v4.1 MEIRMDFLNCLDHDTSMKILRCLEDPSDLVRASYVSRSWRDFVIANGLCKQLCLSMFPHLLRVDCVIEPSCVMEKASEVGCSKFVEWETLKREHKAYAFLAQACLSFPFDDCIKDAISASSTDNYPEESIRNTLQKGDRVGRRPSYWSSKGQRKAAVPETLVYKLVADICVITEINIQPFQAYFQQGSPIYSAESVRFHLGHPKCPMDDTLGEPLDNCADDKFIWTYSSPEFPMAQERSLQNFKLPEPVVCIGGILQIELLRRVQRQEMDGLFYICVAHVQVKGRPLSSAFGVEILGPSGKFVLKTLSSAPRSSLPPSLPEDDALYHGVPLQGLADLEQVVNGLGVEVLDEDWNSEDDEAADEMDDELAF >Potri.003G194700.1.v4.1 pep chromosome:Pop_tri_v4:3:19730962:19731829:-1 gene:Potri.003G194700.v4.1 transcript:Potri.003G194700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194700.v4.1 MDVLGANMKSGKRSHTKRGKKAIKVVYISSPMKVKTSASKFRALVQELTGKDSDAERFMDINGARDSLEIPHQTAEYDHHPSVFPLTNSCNDQSPSTTSSESFLGSLDGEFFPSMEGSFMGMLQPSLFHESFQLDVLN >Potri.014G181200.2.v4.1 pep chromosome:Pop_tri_v4:14:14141805:14149236:1 gene:Potri.014G181200.v4.1 transcript:Potri.014G181200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G181200.v4.1 MELRSCSHLHFIQTVEGGKMMNVFRGKPALKFKELKDIYETGEAGNHHLLPAARPKLELEDWSIDSDRAETESLHVLAGATIKIESESPESNCSSVVDGGKTESDDVNFGTMTLKQFKERYKSKKRKLSRNAGLIGQTSETCSPAKQEPPDSQFELEELEAMEPLSSWKSRILKSRKKKRKCRLESSFSSSSESAPCIVKSKREKSDQVIFQSSGILPAPIDVKVEVMEPISFDCRYVTLINSSGGCGEPLASSLVVSSGEPEIADGCVLGTGTLIVSVEEPETANYGGPPMPVFSSDEPQCCVVNDQSYEGRGHVNPESILDVNASCGEILTVDIVEAISVPSSDLSLSEIKEEDYVVDSHPSVDPAGVVSPIKGDSSIAYNDSQSEESERVEDHGFETHKSTFFSNELQCCAVNEESYEPVEHADPKSMPDVRASGGEIRMVDVAELTTDHKPERVEDHGFETHKSTFFTNDLQCCVVNEESYEPVEHAVLKSMPDIRASGEEIIMVDVAELTTDHKPERVEDHGFETHKSTFFTNDLQCCVVNKESYEPVEHAVLKSMPDIRASGREIIMVDVAEVTTDHNSCLSSAELKKESSAAYPHPQHCGDDSIEVASPSKDHASDMGNDSQNLHELSNRHGLHLSEDEAKDDRPHVEASVISSPVSGFISLRDSNLCSGQDGCLASAAAKEKKAPLSACADAARKFSAVTCVDEPVTLANVQGRHHSKLQHLPEKLLSTRKAISPTSQKKLCKAMEASDLDDEEYYKYTRKLCYRNLNGNKIGRLGRANQNQRVDLTISPERITRKPKNDKNGFHHKGILKVPQPSGTVPRFGTGCSSVQSCSESAISFSQKQMRDIESIATKLTKELNSMKDVVQESWHSKVYPATPLKYSADEVKIAVQNATRVEESARRWLSIMARDCNRFCKIMKLTDKASPTSGNVVHKEKRKIVFADEAGGKLCDVKTFENDTASVTGSSSSDK >Potri.014G181200.1.v4.1 pep chromosome:Pop_tri_v4:14:14142780:14147652:1 gene:Potri.014G181200.v4.1 transcript:Potri.014G181200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G181200.v4.1 MELRSCSHLHFIQTVEGGKMMNVFRGKPALKFKELKDIYETGEAGNHHLLPAARPKLELEDWSIDSDRAETESLHVLAGATIKIESESPESNCSSVVDGGKTESDDVNFGTMTLKQFKERYKSKKRKLSRNAGLIGQTSETCSPAKQEPPDSQFELEELEAMEPLSSWKSRILKSRKKKRKCRLESSFSSSSESAPCIVKSKREKSDQVIFQSSGILPAPIDVKVEVMEPISFDCRYVTLINSSGGCGEPLASSLVVSSGEPEIADGCVLGTGTLIVSVEEPETANYGGPPMPVFSSDEPQCCVVNDQSYEGRGHVNPESILDVNASCGEILTVDIVEAISVPSSDLSLSEIKEEDYVVDSHPSVDPAGVVSPIKGDSSIAYNDSQSEESERVEDHGFETHKSTFFSNELQCCAVNEESYEPVEHADPKSMPDVRASGGEIRMVDVAELTTDHKPERVEDHGFETHKSTFFTNDLQCCVVNEESYEPVEHAVLKSMPDIRASGEEIIMVDVAELTTDHKPERVEDHGFETHKSTFFTNDLQCCVVNKESYEPVEHAVLKSMPDIRASGREIIMVDVAEVTTDHNSCLSSAELKKESSAAYPHPQHCGDDSIEVASPSKDHASDMGNDSQNLHGMHELSESKSYSQVPDTSMVNISHSTELSNRHGLHLSEDEAKDDRPHVEASVISSPVSGFISLRDSNLCSGQDGCLASAAAKEKKAPLSACADAARKFSAVTCVDEPVTLANVQGRHHSKLQHLPEKLLSTRKAISPTSQKKLCKAMEASDLDDEEYYKYTRKLCYRNLNGNKIGRLGRANQNQRVDLTISPERITRKPKNDKNGFHHKGILKVPQPSGTVPRFGTGCSSVQSCSESAISFSQKQMRDIESIATKLTKELNSMKDVVQESWHSKVYPATPLKYSADEVKIAVQNATRVEESARRWLSIMARDCNRFCKIMKLTDKASPTSGNVVHKEKRKIVFADEAGGKLCDVKTFENDTASVTGSSSSDK >Potri.002G146400.1.v4.1 pep chromosome:Pop_tri_v4:2:11029782:11035593:1 gene:Potri.002G146400.v4.1 transcript:Potri.002G146400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146400.v4.1 MAQVSKISNGAQNTYTTIHLLKPQIPKSLSSISFRSQLIKGSSFGLKQCKKMGSCKLKVEPLKVLASIATAEKPSTVPEIVLQPIKDISGTVTLPGSKSLSNRILLLAALSEGTTVVDNLLNSDDVHYMLGALRTLGLHVEDNKKLKQAIVEGCGGQFPVGKEANVDVELFLGNAGTAMRPLTAAVTAAGGNSSYILDGVPRMRERPIGDLVIGLQQLGADVSCSPTNCPPVRINANGGLPGGKVKLSGSISSQYLTALLMAAPLALGDVEIEIVDKLISVPYVEMTLKLMERYGVFVEHSDNWDRFFVRGGQKYKSPKNSFVEGDASSASYFLAGAAITGGTITVEGCGMDSLQGDVKFAEVLEKMGAKVTWTKNSVTVTGPPRDSSGQKHLRAVDVNMNKMPDVAMTLAVVALFADGPTAIRDVASWRVKETERMIAICTELRKLGATVEEGPDYCVITPPEKLNVTEIDTYDDHRMAMAFSLAACGEVQVTIKDPGCTRKTFPDYFEVLERYTKH >Potri.001G077280.1.v4.1 pep chromosome:Pop_tri_v4:1:5822262:5822897:-1 gene:Potri.001G077280.v4.1 transcript:Potri.001G077280.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077280.v4.1 MGSTKYHQKSFRTMHSHENPENSTRINRRINKLQAEMAEISKQHEEIKQGQKEMRERFEEIDSECEQLKKETEVISHASDNVQLRLNIMLDILKARQQDGFAKVSDPTGSLRGSIMK >Potri.005G197200.1.v4.1 pep chromosome:Pop_tri_v4:5:20379322:20384973:-1 gene:Potri.005G197200.v4.1 transcript:Potri.005G197200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197200.v4.1 MDVPAFQNISLGALEYKRCNSLMEPPCRFFASVSAAENKPFYFLHAVYEGIIAGGAAGVIAEAVLYPIDTIKTRLQAVRGGGKINLKGLYSGLAGNLAGVLPASAIFVGIYEPTKQKLLKSLPENLSALAQLTAGAIGGAASSLVRVPTEVVKQRMQTGQFASAPDAIRLIVSKEGFKGLYVGYGSFLLRDLPFDAIQFCIYEQMLMGYKLAAKRDPKDAEIAIVGAFAGAITGAMTTPLDVVKTRLMVQGSANQYKGIFDCARTIAKEEGTRALLKGIGPRVLWIGVGGAIFFGVLEKTKQILAQRCPEPKV >Potri.015G039100.1.v4.1 pep chromosome:Pop_tri_v4:15:3468008:3470960:1 gene:Potri.015G039100.v4.1 transcript:Potri.015G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G039100.v4.1 MWMMGYNDGGEFNISDSFNGRKLRPLVPRPIPSTNNTPTASSPPCLGSRLHNTDFFALNQYHLASMADQNKREFNTQPVVMSSRWNPTPEQLRTLEDLYRRGTRTPSTDQIQDITAQLRRYGRIEGKNVFYWFQNHKARERQKRRRQMESDSFDGHLQNGHGIEIFERKESEASRTGYEGEQTKNWAPSTNCSTLSEESVSISRATKGAMAEYCRPDGWMQLDEGELQHRRNFIERNATWEMMQLSCPSPTHQRNTISSTSSTTTMSKQGAAAAKLIKAHDLNVFIAPYRENGHHGALINQFNSSVINDGDESRGGTGESQTLQLFPLRSGGDGNNNIESINERESEVSVSAAEALNANNFAPCQFFEFLPLKH >Potri.019G118000.1.v4.1 pep chromosome:Pop_tri_v4:19:14414521:14416012:1 gene:Potri.019G118000.v4.1 transcript:Potri.019G118000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118000.v4.1 MAQSHSVKVIDRVQVSPPPGSVPTTSLPLTFFDFPWHLCPPMERLFFYELPYPTLYFMHKILPSLKNSLSLALQHFFPLASNLMCPLSPHKPYILFNDGDSIPFTIVESTMDFDQIIGDQAGDVTGLHAFVPKWPPTRVTSDGKRVVPLLALQVVVFPNSGICIGTKFCHVVADGMAFNHFMKSWASIFRSRKNMACLEKSMLPSHDRSGIKDPFELDSIFSKEWWSWASSRDYNLASNHDDQLRDKVRVTFTIGQTHIERLKDLVSIQCLKNYQGQVHVSTFVVACAFIWVNMIKSQEKEASDLFDNDKVYYFVFVADCRHRLEVKLPATYFGNCLAICYVPAKKSELLGENGIIMAARAIGKKVKELESGVLVGAEKWISNWKEVSEQGRLVTVAGSPKLRAYETDFGWGRPKKTEVLHIYASGGFHLCECRDGGGGLEIGLALPQGQMDVFCGIFEQGKRNLV >Potri.002G020750.1.v4.1 pep chromosome:Pop_tri_v4:2:1280309:1281527:-1 gene:Potri.002G020750.v4.1 transcript:Potri.002G020750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020750.v4.1 MWMRWQPQSHGLDSTSTQLSLRLNESSPVPFKLANGLSFQGPQIYQYMDPFSYQPISAVFNIVMYNVFKSFLLKKYIKIFFLTSKINTLKLLKNKNKNTNSIFFKINIIFKKYN >Potri.001G426430.2.v4.1 pep chromosome:Pop_tri_v4:1:45460786:45466424:-1 gene:Potri.001G426430.v4.1 transcript:Potri.001G426430.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426430.v4.1 MAIESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKERKENLALALDGLQKDVEAAERNAEEIKKGVKKWLEDANNEIEAANPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKLGEISEKFKTVAHKAHPQPIEFLPSKEFTPSESSKEALKQIMKALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRLADKLGLDIKEKSKEGRADRLWQRLKQVEKMLIILDDVWKHIDLKEIGIPFGDDHRGCKILLTTRLQGICSSMECQQKVFLRVLPEDEAWDLFRINAGLRDGDSTLNTVAREVARECKGLPIALVTVGRALRDKSAVQWEVASKQLKDSQFPRMEQFDEQKNAFTCLKLSYENLKHEETKLCFLLCSLFPEDYDIPIEDLMRYTVGYWLHQDEELIEDARKQVYVAIENLKDCCMLLGTETEEHVKMHDLVRDFAIQIASSEEYGFMVKAGIGLEKWPMSNKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVDYGLNVPQRFFEGMKEIEVLSLKGGRLSLQSLELSTKLQSLVLIWCGCKNLIWLRKMQRLKILGFIHCLSIEELPDEIGELKELRLLDVRGCRRLRRIPVNLIGRLKKLEELLIGGRSFEGWDVDGCDSTGGMNASLKELNLLSHLAVLSLRIPKVECIPRDFVFPSLLKYDIKLWNAKEYDIKLRDQFEAGRYPTSTRLILGGTSLNAKIFEQLFPTVSQIAFESLEGLKNIELHSNQMTQKGFLHKLEFVKVRDCGDVFTLFPAKLRQVLKNLKEVIVDSCKSVEEVFELGEDDEGSSEEKELPLLSSITLLQLLWLPELKCIWKGPTRHVSLQNLNLLDLYSLDKLTFIFTASLAQSLPKLERLDISDCGELKHIIKEEDGERKIIPESPGFPKLKNIFIEDCGKLEYVLPVSVSPSLLNLEEMRIFKAHNLKQIFFSVEDCLYRDATIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLQGLTNLETLRLSFLLVPDIRCIWKGLVLSKLTTLEVVKCKRLTHVFTCSMIVSLVQLEVLKILSCDELEQIIAKDDDENDQILLGDHLRSLCFPKLRQIEIRECNKLKSLFPIAMASGLPNLRILRVTKSSQLLGVFGQEDHASLVNVEKEMVLPNLWELSLEQLSSIVCFSFGWCDYFLFPRLEKFKVLQCPKLTTKFATTPDGSMSAQSEVSEVAEDSSINREWTRNKGWKEDGETHVFEL >Potri.001G426430.1.v4.1 pep chromosome:Pop_tri_v4:1:45460786:45466424:-1 gene:Potri.001G426430.v4.1 transcript:Potri.001G426430.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G426430.v4.1 MAIESAGGSIIAMLAELMVEPVGRQFRYMFCFNNFVEEFKERKENLALALDGLQKDVEAAERNAEEIKKGVKKWLEDANNEIEAANPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSETFRKLGEISEKFKTVAHKAHPQPIEFLPSKEFTPSESSKEALKQIMKALKDDNVNMIGLYGMGGVGKTTLVKEVGRRAKELQLFPEVLMATVSQNPNVTDIQDRLADKLGLDIKEKSKEGRADRLWQRLKQVEKMLIILDDVWKHIDLKEIGIPFGDDHRGCKILLTTRLQGICSSMECQQKVFLRVLPEDEAWDLFRINAGLRDGDSTLNTVAREVARECKGLPIALVTVGRALRDKSAVQWEVASKQLKDSQFPRMEQFDEQKNAFTCLKLSYENLKHEETKLCFLLCSLFPEDYDIPIEDLMRYTVGYWLHQDEELIEDARKQVYVAIENLKDCCMLLGTETEEHVKMHDLVRDFAIQIASSEEYGFMVKAGIGLEKWPMSNKSFEGCTTISLMGNKLAELPEGLVCPRLKVLLLEVDYGLNVPQRFFEGMKEIEVLSLKGGRLSLQSLELSTKLQSLVLIWCGCKNLIWLRKMQRLKILGFIHCLSIEELPDEIGELKELRLLDVRGCRRLRRIPVNLIGRLKKLEELLIGGRSFEGWDVDGCDSTGGMNASLKELNLLSHLAVLSLRIPKVECIPRDFVFPSLLKYDIKLWNAKEYDIKLRDQFEAGRYPTSTRLILGGTSLNAKIFEQLFPTVSQIAFESLEGLKNIELHSNQMTQKGFLHKLEFVKVRDCGDVFTLFPAKLRQVLKNLKEVIVDSCKSVEEVFELGEDDEGSSEEKELPLLSSITLLQLLWLPELKCIWKGPTRHVSLQNLNLLDLYSLDKLTFIFTASLAQSLPKLERLDISDCGELKHIIKEEDGERKIIPESPGFPKLKNIFIEDCGKLEYVLPVSVSPSLLNLEEMRIFKAHNLKQIFFSVEDCLYRDATIKFPKLRRLSLSNCSFFGPKNFAAQLPSLQILEIDGHKELGNLFAQLQGLTNLETLRLSFLLVPDIRCIWKGLVLSKLTTLEVVKCKRLTHVFTCSMIVSLVQLEVLKILSCDELEQIIAKDDDENDQILLGDHLRSLCFPKLRQIEIRECNKLKSLFPIAMASGLPNLRILRVTKSSQLLGVFGQEDHASLVNVEKEMVLPNLWELSLEQLSSIVCFSFGWCDYFLFPRLEKFKVLQCPKLTTKFATTPDGSMSAQSEVSEVAEDSSINREWTRNKGWKEDGETHVFEL >Potri.013G034000.1.v4.1 pep chromosome:Pop_tri_v4:13:2201594:2204593:-1 gene:Potri.013G034000.v4.1 transcript:Potri.013G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G034000.v4.1 MALSTVTYSPTQKGIVISIPTLVLSVSVGAIMLFFLLSSLSTCSCPSSLQSFNDNNNGRGGLGEVDKKERISATQEDIEWIMDQIQANGLHMQDNVLRKGINPRTRAQQLQDLIQFKGISHYEGLVSDNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAESSHLSPNSRVLEIGCGTLRVGLHFIRYLVPEHFHCLERDELSLMAAFRYELPSQGLLHKRPLIVKGEDMDFSKFGSGVVYDLIYASAVFLHMPDKLVWAGLERLVGMLKPYDGLIFVSHNIKFCSRLGGEECTKRLTSLGLEYSGKHTHDSLLFNHYEIWFEFRRSKA >Potri.009G043900.1.v4.1 pep chromosome:Pop_tri_v4:9:5051467:5053564:1 gene:Potri.009G043900.v4.1 transcript:Potri.009G043900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G043900.v4.1 MAPDIGSVFNKFLSLFVLLLHLGCFIFTTKLDDHHPNSPPPTKKRKKVSPLAPISSSPSRLKSHKALSSSWSYLKSIFSSKSSKTQTQSGTPTLTSARSSQQSIVPTIPPETHLPELPPRKKSSGSCEESDISTDHHFFPLRNDIFPCTACGEIFQKPQLLEQHQATKHAVTQLLDGDSGKNIVHIIFKTGWSCKEKSPEIHRILKIHNSPKILSRFEEYREFVRAKAARNSKRGDERCIADGNELLRFYCSTFMCDLGLNEDSSICNQQYCSVCGIIKSGFSPKMDGISTLSTSWRAHMAIPEEIEEEFKFMNVKRAMLVCRVVAGRVGCDLEEDVDKQDGGSDSVLGRGGSGVHTRLDEEELLVFNPRAVLPCFVIVYTV >Potri.016G042000.3.v4.1 pep chromosome:Pop_tri_v4:16:2639965:2644256:1 gene:Potri.016G042000.v4.1 transcript:Potri.016G042000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042000.v4.1 MSSKRGVSSSTKSAAASIVDDKKDSKLKGMSGDCSENVLIEESCWKVQSVEFQSIKDDPGKIEAMTVQELRATLRKFGVPAKGRKGDLVFALKHFMGESSQELEERVSFNSLENISLQKNTKRTSDESCVVSINTVSEVSGFKQSKRRMKQSPVEDEIVKVGTEIVTTKRKLSIKTDDLVTLPQAEPWTVLSHKKPQKGWIPYNPRTMRPAPLTDGNSVKLMSWNVNGLRALLKFEGFSALELAQRENFDVLCLQETKLQEKDVDSIKQCLIDGYENSFWTCSNAKLGYSGTAIVSRIKPLSVCYGLGIPDHDSEGRVVTAEFDSFYLVNTYVPNSGDGLKRLSYRITQWDPSLSNYMKELEKSKPVILTGDLNCAHQEIDIFNPAGNKRSAGFTEEERQSFGSNFLSKGLVDTFRKQHPNVVGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKVHDSYIVPDVNGSDHCPIGLVLKV >Potri.016G042000.5.v4.1 pep chromosome:Pop_tri_v4:16:2640117:2644207:1 gene:Potri.016G042000.v4.1 transcript:Potri.016G042000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G042000.v4.1 MNLQALQIGVKSFINLSSFAARPRSLKIGQLGSLRLRVMSSKRGVSSSTKSAAASIVDDKKDSKLKGMSGDCSENVLIEESCWKVQSVEFQSIKDDPGKIEAMTVQELRATLRKFGVPAKGRKGDLVFALKHFMGESSQELEERVSFNSLENISLQKNTKRTSDESCVVSINTVSEVSGFKQSKRRMKQSPVEDEIVKVGTEIVTTKRKLSIKTDDLVTLPQAEPWTVLSHKKPQKGWIPYNPRTMRPAPLTDGNSVKLMSWNVNGLRALLKFEGFSALELAQRENFDVLCLQETKLQEKDVDSIKQCLIDGYENSFWTCSNAKLGYSGTAIVSRIKPLSVCYGLGIPDHDSEGRVVTAEFDSFYLVNTYVPNSGDGLKRLSYRITQWDPSLSNYMKELEKSKPVILTGDLNCAHQEIDIFNPAGNKRSAGFTEEERQSFGSNFLSKGLVDTFRKQHPNVVGYTYWGYRHGGRKTNKGWRLDYFLVSESIADKVHDSYIVPDVNGSDHCPIGLVLKV >Potri.008G215200.1.v4.1 pep chromosome:Pop_tri_v4:8:16695773:16698391:-1 gene:Potri.008G215200.v4.1 transcript:Potri.008G215200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G215200.v4.1 MESSSSIASRRSKRQSQEKFLSKVILPAIRGQSCPVCLKDFGGEEDYRRVAVIRVCLHAYCLDCIRKWSDIKRKCPLCNSEFNSWFCRIILSTRNFSTEKLPVVRESRRVTPLDILSSRQRAGLNVANRRSRPLPCRRRFGQPMPGSVGSDVIAQRKLQWRASIYNQRLHAVPISSRNCLKQIISRNGCMKQRILHRIEPWIQRELQAILEDPDPSVIVHLASSLFIASLERRSDVQSDQLGVEDHFLEPLRRFLHGWTNTFWHELRCFAESSLTMETYDMVVEYKRSD >Potri.007G038700.2.v4.1 pep chromosome:Pop_tri_v4:7:3100229:3108488:-1 gene:Potri.007G038700.v4.1 transcript:Potri.007G038700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038700.v4.1 MECVVAGFVAEKVLGELLKSVKEAMRKKKKLETTLKSIESTLNSIIPVVESINELNEKLDREDEDIGRLWKQIKEGQELVSKCSKGNCCGSCFKQSNCTEELRDFDHSLKSFYDFVQEVKEVREEVKVTHVGVKDIQVQVKEIHEEVKDMRKDLRSNIQTEKAASPMSNSNVPLWPFTPPEPPQITVGLDKPTKDLKNELFKDGMSTVVLTAPGGCGKTTLAKKLCHDEAIKEKFKDNIFFITVSKSPDLKVIIQQLFRHKGHSVHEFRTDEEAVNCLEQLLKQIGTKPILLVLDDVWSGSESLLERFKFQIPGYKILLTSRSSLGGFGSKYKLDTLNYEDSLSLFRQSAELRNSTSNNVEDDVLKKIVSFCKGFPLALSVVGRSLRQQRPEIWRNKVKQWSKAGAFFESNNDLFTCLKSSLDALDNKLKECYIDLGAFPEGQLISASAIIDMWEELYEMNGDGLNSISNLHELSSLNLIDLVDTRRDGSERENYNETFVTQHDLLRDLVNHVSGLAGSEQGRKNLVVDINGNEFRGWWKNQTISAHVLSISTDETFLSNWPNIQAPEVGVLVLNFRTKKYTLPKFIKSMDKLKTLILTNYGFFSAEISNFIVLGNLSNLKRIRLEQVLIPSLTINCVQLENLQKISLIGCNIGPASGDKAIRISDALPKLVEINIGYCNSLNELPVGLCDIVSLKKLRITYCPGLSILPREIGKMVNLQVLMLSSCRNLSDLPDTIGSLHKLSILDISDCISIKNLPEQIGELQSLKKLYMTGCSNCRLPNSVTTLHSLKSVICDEETEKSWKPFKRDLPNTLILIGEDLSLNQ >Potri.009G047600.1.v4.1 pep chromosome:Pop_tri_v4:9:5333855:5334959:-1 gene:Potri.009G047600.v4.1 transcript:Potri.009G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047600.v4.1 MSSMEEDCFDRLPDALLLVIFNKVGDAKSLTRCISVSKRFASLVFEADTVFLSIPPRKHNPKADNRSLRRNLFKILISTLIAKPLHFFHRFIADRSDTDRKTVSFYSPKEVLKHFQQAKTMHMELPSDGGMTGLEGNDSLIKWKARFGSEIKNFVILSATSFQKRMQPLSSDEFSENNGEVLTDGQMKLQIVQTIYCLLAASARHYLMKQVLEEHHALENIIASDVCKQGRVCMGKDEVAEIRNSMKSMVASTDSSLERTRVPDLSMKLWYVPVLELPENGYIMRGATLAVLRPNDDGQIGNGSKSDLFGFDGEECEKKAFNEAVREMVKMKKSYLMTVDSF >Potri.017G122600.3.v4.1 pep chromosome:Pop_tri_v4:17:12759461:12764192:1 gene:Potri.017G122600.v4.1 transcript:Potri.017G122600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122600.v4.1 MALSFEETNLLYSQLRSEQIPFDEIVTEFLNKINRSRSSPLSASLLLLLQNKKMLKSTERLIAFAILYQTYSSQPTSANPYVALFINAACDEDAEKYERAFVLQLLASGGSGGSKEFLKQSAANYIKLFDPSVHAFPSREQLQHQYSDKVHPEAHNSIFKNDSLKNIVPDPDVPRGCDANSPEFDLQPGAKPKLGSGDRDEALLGLLANLSTEGIGHQWIRPRPPRLPIQDGELVWLNPDSNHELVWDHGMCADTSKGAAVRDLIAKALKGPLAPAQQEQVLVELTNDPKLVYHCGLAPRKLPELVENNPLIAVEVLTKLINSPEIADYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKVHSMP >Potri.017G122600.1.v4.1 pep chromosome:Pop_tri_v4:17:12759462:12764522:1 gene:Potri.017G122600.v4.1 transcript:Potri.017G122600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122600.v4.1 MALSFEETNLLYSQLRSEQIPFDEIVTEFLNKINRSRSSPLSASLLLLLQNKKMLKSTERLIAFAILYQTYSSQPTSANPYVALFINAACDEDAEKYERAFVLQLLASGGSGGSKEFLKQSAANYIKLFDPSVHAFPSREQLQHQYSDKVHPEAHNSIFKNDSLKNIVPDPDVPRGCDANSPEFDLQPGAKPKLGSGDRDEALLGLLANLSTEGIGHQWIRPRPPRLPIQDGELVWLNPDSNHELVWDHGMCADTSKGAAVRDLIAKALKGPLAPAQQEQVLVELTNDPKLVYHCGLAPRKLPELVENNPLIAVEVLTKLINSPEIADYFTVLVNMDMSLHSMEVVNRLTTAVELPKEFVRMYITNCISSCENIKDKYMQNRLVRLVCVFLQSLIRNRIIDVKDLFIEVQAFCIEFSRIREAAALFRLLKTLE >Potri.019G049300.1.v4.1 pep chromosome:Pop_tri_v4:19:8119189:8121454:1 gene:Potri.019G049300.v4.1 transcript:Potri.019G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G049300.v4.1 MAKFNVLQKIRRAQIAESKRAIHGDPLTKKLKIRPQPHSVSGKRKRKLLKNWRREQKEAVDKGLVTMQDVEMTFAQGEGTSKDVKRTPAKFNKKGLKLKQLKRKGKSKTKPKPAAEISVDAMAE >Potri.011G053800.4.v4.1 pep chromosome:Pop_tri_v4:11:4376036:4381608:1 gene:Potri.011G053800.v4.1 transcript:Potri.011G053800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053800.v4.1 MDTNSLLKDQKEKPSLLVEVANLEKQLWTLVHTRGLLYSNVQDLYRKICSSYEKLILSDHRLEELQDTEYSLWKLHYRHIDEFRKRIKKFSANRETITFVTPQSKLAAQRSSDNHVDGFKSFLSEATEFYQNLFFKIKRYYGLPEDFSFHRNGGNSASPEPNKMQKLQFLCHRFLVCLGDLARYREQCEKSDTQNHKWSVAVAHYLEATIIWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRSSHLHYLSSEACFDFLRPSESSVWTEAQSANDFLNCKPLKAEDEGSRETHLWPLIIRTISFFFIKSSFEDFPCTFASTIKELDVLMALDDATLKTAMESYQHMNSARSGPFRTLQFISLLIFVIENLINIPDEKDSKGKTEVHQIALIQAAVAASFIFMGRLTDRCLKADLLDSCPLLPALLVFVEWLARILDELETHGSDDKSTSSMSYFFGVFLELLNQFDINSGEVEPPHSIALWEDYELRGFAPVAHSQVPLDFTSHWGHRDSFETGTRYRANRIIDAAMKIADRTNNSHKWIFYDKSGRRFSVAESNKFQDRKELEKMGSASTVVQEKDPNQQILQSTEKSEKVILEEKPSSPVVNGKSISLEEEEVILFKPLTRYNSAPLYRSITSNDQTPSEDTGDQVVPADECLRRATSLLIAQNQRQGDPSAFHSDLTNFRCIKPVKQQEPPLKDTADHLVSEAPNSHGTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKGDMSRHSLAPIQEMASASMNDLSISETDSVISSTHEHLTPHYSSPPYSAPVPSAPFLPDDAVWLNGIQSTFTDYNSSGTINRTNSNYFDTSQVSGYSNWTGSHQPLHHGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNPERTTSHLWPVHSYTIGNTGNFHDISRSGLFNQWATPVASNQLVYEGSPPMLPGFPPVHGTDDQRNKFFYGYQRPNPYGCGGMNEPEPLLQYLKEKEWLLQQDPTFRGPTYMGS >Potri.011G053800.5.v4.1 pep chromosome:Pop_tri_v4:11:4376373:4381607:1 gene:Potri.011G053800.v4.1 transcript:Potri.011G053800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053800.v4.1 MDTNSLLKDQKEKPSLLVEVANLEKQLWTLVHTRGLLYSNVQDLYRKICSSYEKLILSDHRLEELQDTEYSLWKLHYRHIDEFRKRIKKFSANRETITFVTPQSKLAAQRSSDNHVDGFKSFLSEATEFYQNLFFKIKRYYGLPEDFSFHRNGGNSASPEPNKMQKLQFLCHRFLVCLGDLARYREQCEKSDTQNHKWSVAVAHYLEATIIWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRSSHLHYLSSEACFDFLRPSESSVWTEAQSANDFLNCKPLKAEDEGSRETHLWPLIIRTISFFFIKSSFEDFPCTFASTIKELDVLMALDDATLKTAMESYQHMNSARSGPFRTLQFISLLIFVIENLINIPDEKDSKGKTEVHQIALIQAAVAASFIFMGRLTDRCLKADLLDSCPLLPALLVFVEWLARILDELETHGSDDKSTSSMSYFFGVFLELLNQFDINSGEVEPPHSIALWEDYELRGFAPVAHSQVPLDFTSHWGHRDSFETGTRYRANRIIDAAMKIADRTNNSHKWIFYDKSGRRFSVAESNKFQDRKELEKMGSASTVVQEKDPNQQILQSTEKSEKVILEEKPSSPVVNGKSISLEEEEVILFKPLTRYNSAPLYRSITSNDQTPSEDTGDQVVPADECLRRATSLLIAQNQRQGDPSAFHSDLTNFRCIKPVKQQEPPLKDTADHLVSEAPNSHGTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKGDMSRHSLAPIQEMASASMNDLSISETDSVISSTHEHLTPHYSSPPYSAPVPSAPFLPDDAVWLNGIQSTFTDYNSSGTINRTNSNYFDTSQVSGYSNWTGSHQPLHHGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNPERTTSHLWPVHSYTIGNTGNFHDISRSGLFNQWATPVASNQLVYEGSPPMLPGFPPVHGTDDQRNKFFYGYQRPNPYGCGGMNEPEPLLQYLKEKEWLLQQDPTFRGPTYMGS >Potri.011G053800.1.v4.1 pep chromosome:Pop_tri_v4:11:4375852:4381829:1 gene:Potri.011G053800.v4.1 transcript:Potri.011G053800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053800.v4.1 MDTNSLLKDQKEKPSLLVEVANLEKQLWTLVHTRGLLYSNVQDLYRKICSSYEKLILSDHRLEELQDTEYSLWKLHYRHIDEFRKRIKKFSANRETITFVTPQSKLAAQRSSDNHVDGFKSFLSEATEFYQNLFFKIKRYYGLPEDFSFHRNGGNSASPEPNKMQKLQFLCHRFLVCLGDLARYREQCEKSDTQNHKWSVAVAHYLEATIIWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRSSHLHYLSSEACFDFLRPSESSVWTEAQSANDFLNCKPLKAEDEGSRETHLWPLIIRTISFFFIKSSFEDFPCTFASTIKELDVLMALDDATLKTAMESYQHMNSARSGPFRTLQFISLLIFVIENLINIPDEKDSKGKTEVHQIALIQAAVAASFIFMGRLTDRCLKADLLDSCPLLPALLVFVEWLARILDELETHGSDDKSTSSMSYFFGVFLELLNQFDINSGEVEPPHSIALWEDYELRGFAPVAHSQVPLDFTSHWGHRDSFETGTRYRANRIIDAAMKIADRTNNSHKWIFYDKSGRRFSVAESNKFQDRKELEKMGSASTVVQEKDPNQQILQSTEKSEKVILEEKPSSPVVNGKSISLEEEEVILFKPLTRYNSAPLYRSITSNDQTPSEDTGDQVVPADECLRRATSLLIAQNQRQGDPSAFHSDLTNFRCIKPVKQQEPPLKDTADHLVSEAPNSHGTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKGDMSRHSLAPIQEMASASMNDLSISETDSVISSTHEHLTPHYSSPPYSAPVPSAPFLPDDAVWLNGIQSTFTDYNSSGTINRTNSNYFDTSQVSGYSNWTGSHQPLHHGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNPERTTSHLWPVHSYTIGNTGNFHDISRSGLFNQWATPVASNQLVYEGSPPMLPGFPPVHGTDDQRNKFFYGYQRPNPYGCGGMNEPEPLLQYLKEKEWLLQQDPTFRGPTYMGS >Potri.011G053800.2.v4.1 pep chromosome:Pop_tri_v4:11:4375857:4381777:1 gene:Potri.011G053800.v4.1 transcript:Potri.011G053800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053800.v4.1 MNMKIYLGYNHIFEIGIWGLVYSLTLRCLVNDRSEKAFSTPVMDTNSLLKDQKEKPSLLVEVANLEKQLWTLVHTRGLLYSNVQDLYRKICSSYEKLILSDHRLEELQDTEYSLWKLHYRHIDEFRKRIKKFSANRETITFVTPQSKLAAQRSSDNHVDGFKSFLSEATEFYQNLFFKIKRYYGLPEDFSFHRNGGNSASPEPNKMQKLQFLCHRFLVCLGDLARYREQCEKSDTQNHKWSVAVAHYLEATIIWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRSSHLHYLSSEACFDFLRPSESSVWTEAQSANDFLNCKPLKAEDEGSRETHLWPLIIRTISFFFIKSSFEDFPCTFASTIKELDVLMALDDATLKTAMESYQHMNSARSGPFRTLQFISLLIFVIENLINIPDEKDSKGKTEVHQIALIQAAVAASFIFMGRLTDRCLKADLLDSCPLLPALLVFVEWLARILDELETHGSDDKSTSSMSYFFGVFLELLNQFDINSGEVEPPHSIALWEDYELRGFAPVAHSQVPLDFTSHWGHRDSFETGTRYRANRIIDAAMKIADRTNNSHKWIFYDKSGRRFSVAESNKFQDRKELEKMGSASTVVQEKDPNQQILQSTEKSEKVILEEKPSSPVVNGKSISLEEEEVILFKPLTRYNSAPLYRSITSNDQTPSEDTGDQVVPADECLRRATSLLIAQNQRQGDPSAFHSDLTNFRCIKPVKQQEPPLKDTADHLVSEAPNSHGTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKGDMSRHSLAPIQEMASASMNDLSISETDSVISSTHEHLTPHYSSPPYSAPVPSAPFLPDDAVWLNGIQSTFTDYNSSGTINRTNSNYFDTSQVSGYSNWTGSHQPLHHGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNPERTTSHLWPVHSYTIGNTGNFHDISRSGLFNQWATPVASNQLVYEGSPPMLPGFPPVHGTDDQRNKFFYGYQRPNPYGCGGMNEPEPLLQYLKEKEWLLQQDPTFRGPTYMGS >Potri.011G053800.3.v4.1 pep chromosome:Pop_tri_v4:11:4376036:4381608:1 gene:Potri.011G053800.v4.1 transcript:Potri.011G053800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053800.v4.1 MDTNSLLKDQKEKPSLLVEVANLEKQLWTLVHTRGLLYSNVQDLYRKICSSYEKLILSDHRLEELQDTEYSLWKLHYRHIDEFRKRIKKFSANRETITFVTPQSKLAAQRSSDNHVDGFKSFLSEATEFYQNLFFKIKRYYGLPEDFSFHRNGGNSASPEPNKMQKLQFLCHRFLVCLGDLARYREQCEKSDTQNHKWSVAVAHYLEATIIWPDSGNPQNQLAVLATYVGDEFLALYHCIRSLAVKDPFPDAWNNLILLFERNRSSHLHYLSSEACFDFLRPSESSVWTEAQSANDFLNCKPLKAEDEGSRETHLWPLIIRTISFFFIKSSFEDFPCTFASTIKELDVLMALDDATLKTAMESYQHMNSARSGPFRTLQFISLLIFVIENLINIPDEKDSKGKTEVHQIALIQAAVAASFIFMGRLTDRCLKADLLDSCPLLPALLVFVEWLARILDELETHGSDDKSTSSMSYFFGVFLELLNQFDINSGEVEPPHSIALWEDYELRGFAPVAHSQVPLDFTSHWGHRDSFETGTRYRANRIIDAAMKIADRTNNSHKWIFYDKSGRRFSVAESNKFQDRKELEKMGSASTVVQEKDPNQQILQSTEKSEKVILEEKPSSPVVNGKSISLEEEEVILFKPLTRYNSAPLYRSITSNDQTPSEDTGDQVVPADECLRRATSLLIAQNQRQGDPSAFHSDLTNFRCIKPVKQQEPPLKDTADHLVSEAPNSHGTPSLSTSISAGPPSLNAWVLNRGLSNERVKGKGDMSRHSLAPIQEMASASMNDLSISETDSVISSTHEHLTPHYSSPPYSAPVPSAPFLPDDAVWLNGIQSTFTDYNSSGTINRTNSNYFDTSQVSGYSNWTGSHQPLHHGPGIPGFMDAYTPVRRMTSSEWLRQYRESQNPERTTSHLWPVHSYTIGNTGNFHDISRSGLFNQWATPVASNQLVYEGSPPMLPGFPPVHGTDDQRNKFFYGYQRPNPYGCGGMNEPEPLLQYLKEKEWLLQQDPTFRGPTYMGS >Potri.001G155800.1.v4.1 pep chromosome:Pop_tri_v4:1:13103063:13107519:1 gene:Potri.001G155800.v4.1 transcript:Potri.001G155800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155800.v4.1 MHPHSYTVDSLSKSQDLASSIFHSSTPPQISSVCASIDSFLHSHSPDQSRCFFSLAFPTLIRKLYGFDDSTSPPSKQSSAGGWLDIIHLANDPALTSRVFNFLSPNSLLFQSIFAVDRQYLVNYAFPIERLPEWARFILSGEKGCQVLNNLCPLFKDKVKEDSIKGGGSLYYQVQLNLFEYFMFWFAYYPVCKGNSDNLKSLATERPKKFKLENWTSSIPCFSHPKHGSERNVEGNDDLYMRLLYAYLRAFVPVCDLNSHQPYRGSLLHYGYGNDGSVLYRAEFFVDVLVNYWLVDSDFSPLNVNVCKSWGLSFKLRLVPGETPPTPNLGEVVKLLVKYLNLSASAVKEGIEHFENPSWSRVSSEKSKDLAASINSPMHVVGSWNAWIQRPAYRFILRSFLFCPMGTTIKNASQVFSVWVTYLEPWKIGLDNFAELDAIIDGSGNDVKKEGEKNVECGYSSSWQGYVLSNYLYYSSLVMHFIGFAHKFLHTDPEMIVQMVLKVIKILTSSKELTDLIKNVDTAFHSRQAGSGKSMLSSLYGYIPLIREQLQDWEDGLCESDADGSFLHENWNKDLRLFGDGEDGGQQLLQLFILRAEAELQANSGDNLAGNLQCIDSLKLQVSCFFGGHTVKQISFTPEVKHVQSRDEIFKPRRVFNHASHNVKYKGDWMKRPISDDEVAWLAKFLVWLSSWLNENLGLYQAERSNVDPKLSYVEPPSDAGNVCGSTEIMKMTLCAVCSWFLMSGAMVVRLMRKHGARVNLRMLASKKIVMVLLACSVFRILKRVFGTSQV >Potri.013G142760.2.v4.1 pep chromosome:Pop_tri_v4:13:15597000:15598621:-1 gene:Potri.013G142760.v4.1 transcript:Potri.013G142760.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbC MKTLYSLRRFYPVETLFNGTLALAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALAVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >Potri.013G142760.3.v4.1 pep chromosome:Pop_tri_v4:13:15596997:15598543:-1 gene:Potri.013G142760.v4.1 transcript:Potri.013G142760.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbC MNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALAVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >Potri.013G142760.1.v4.1 pep chromosome:Pop_tri_v4:13:15597000:15598730:-1 gene:Potri.013G142760.v4.1 transcript:Potri.013G142760.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbC MKAFVLGWRLKISLMKTLYSLRRFYPVETLFNGTLALAGRDQETTGFAWWAGNARLINLSGKLLGAHVAHAGLIVFWAGAMNLFEVAHFVPEKPMYEQGLILLPHLATLGWGVGPGGEVIDTFPYFVSGVLHLISSAVLGFGGIYHALLGPETLEESFPFFGYVWKDRNKMTTILGIHLILLGIGAFLLVFKALYFGGVYDTWAPGGGDVRKITNLTLSPSVIFGYLLKSPFGGEGWIVSVDDLEDIIGGHVWLGSICILGGIWHILTKPFAWARRALVWSGEAYLSYSLGALAVFGFIACCFVWFNNTAYPSEFYGPTGPEASQAQAFTFLVRDQRLGANVGSAQGPTGLGKYLMRSPTGEVIFGGETMRFWDLRAPWLEPLRGPNGLDLSRLKKDIQPWQERRSAEYMTHAPLGSLNSVGGVATEINAVNYVSPRSWLATSHFVLGFFLFVGHLWHAGRARAAAAGFEKGIDRDFEPVLSMTPLN >Potri.002G228700.2.v4.1 pep chromosome:Pop_tri_v4:2:21825461:21827474:1 gene:Potri.002G228700.v4.1 transcript:Potri.002G228700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228700.v4.1 MKRHQRAGLPIYPQDFQAKTAAVRFHHQEGRRTQPNSTSLSSSPYNLCFPPLDPINSFATPLNPLQNHLSPLAFYSNPSHQLKLFANTNSNDGCLPLSLPPVSSYQQSPLSTNLFSQNPTRQAAPINTPPSVLFYNDADMESNMSFTSLIMGGQVQPIGFIPSGQTPPGPTTSWGGGACFEEASNKSNNNGYSNSETSQQGMAGNMNNSGLLDALLQEAKTISFKGKSNSDDLLPASNEGKRAMDSTVKEEGEKLWDDLSSSQSSNIWTKPSEEAADQELNSMDDDFISLLNNFPSSEPLPEWYRQSRNERNSNRMPYSGLNIVDDNRDPDVKEDASLTRASNTEAVPNRGRALVSCHWNNMPGIC >Potri.002G228700.1.v4.1 pep chromosome:Pop_tri_v4:2:21824705:21827474:1 gene:Potri.002G228700.v4.1 transcript:Potri.002G228700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228700.v4.1 MMSNRNCNNIDRVVVMESGQATAPKGFNQGLKKGPWTVAEDAILIEYVKKHGEGNWNSVQKNFRLMRCGKSCRLRWANHLRPNLKKGSFTPDEEKIIIELHAKHGNKWARMASQLPGRTDNEIKNYWNTRMKRHQRAGLPIYPQDFQAKTAAVRFHHQEGRRTQPNSTSLSSSPYNLCFPPLDPINSFATPLNPLQNHLSPLAFYSNPSHQLKLFANTNSNDGCLPLSLPPVSSYQQSPLSTNLFSQNPTRQAAPINTPPSVLFYNDADMESNMSFTSLIMGGQVQPIGFIPSGQTPPGPTTSWGGGACFEEASNKSNNNGYSNSETSQQGMAGNMNNSGLLDALLQEAKTISFKGKSNSDDLLPASNEGKRAMDSTVKEEGEKLWDDLSSSQSSNIWTKPSEEAADQELNSMDDDFISLLNNFPSSEPLPEWYRQSRNERNSNRMPYSGLNIVDDNRDPDVKEDASLTRASNTEAVPNRGRALVSCHWNNMPGIC >Potri.017G109500.3.v4.1 pep chromosome:Pop_tri_v4:17:11747910:11754230:1 gene:Potri.017G109500.v4.1 transcript:Potri.017G109500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109500.v4.1 MVTAQHPKRRVAFILIDGLGDVSLPRLGYKTPLQAANVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYKGRGAFESMGAGLAMSPGDIAFKSNFATLDEETGIVTSRRADRHFDKEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSCNISGTDPLKDNRLILQAEALDDTDEARHTAAVVNELSREISRVLVSHPLNAKRAAEGKNIANVVLLRGCGIRIEVPSFENKHGLWPCMVAPTKIIAGLGLSLDIDILEAPGATGDYQTLLTSKATVIAKALSAPLASSPNVFVPGEDEHKPGRPDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDRAIGQLAKLLLQAESTGKFQYFLCVTGDHSTPVEYGDHSFEPVPFSMCRLRDFVGAVGGESIIMETSLDPFPLPVVKAGENLVETESAEKERSSKQLKAFSGDSVCELSEIAAARGCLGRFPGGQMMGIIKAFLELNA >Potri.017G109500.5.v4.1 pep chromosome:Pop_tri_v4:17:11747882:11753585:1 gene:Potri.017G109500.v4.1 transcript:Potri.017G109500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109500.v4.1 MVTAQHPKRRVAFILIDGLGDVSLPRLGYKTPLQAANVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYKGRGAFESMGAGLAMSPGDIAFKSNFATLDEETGIVTSRRADRHFDKEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSCNISGTDPLKDNRLILQAEALDDTDEARHTAAVVNELSREISRVLVSHPLNAKRAAEGKNIANVVLLRGCGIRIEVPSFENKHGLWPCMVAPTKIIAGLGLSLDIDILEAPGATGDYQTLLTSKATVIAKALSAPLASSPNVFVPGEDEHKPGRPDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDRAIGQLAKLLLQAESTGKFQYFLCVTGDHSTPVEYGDHSFEPVPFSMCRLRDFVGAVGGESIIMETSLDPFPLPVVKAGENLVETESAEKERSSKQLKAFSGDSVCELSEIAAARGCLGRFPGGQMMGIIKAFLELNA >Potri.017G109500.4.v4.1 pep chromosome:Pop_tri_v4:17:11748691:11753661:1 gene:Potri.017G109500.v4.1 transcript:Potri.017G109500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109500.v4.1 MVTAQHPKRRVAFILIDGLGDVSLPRLGYKTPLQAANVPNLDAIASAGVNGLMDPVEVGLGCGSDTAHLSLLGYDPRVYYKGRGAFESMGAGLAMSPGDIAFKSNFATLDEETGIVTSRRADRHFDKEGPILCAALDGMKLPSFPEYEVRVRYATEHRCGVVVKGPRLSCNISGTDPLKDNRLILQAEALDDTDEARHTAAVVNELSREISRVLVSHPLNAKRAAEGKNIANVVLLRGCGIRIEVPSFENKHGLWPCMVAPTKIIAGLGLSLDIDILEAPGATGDYQTLLTSKATVIAKALSAPLASSPNVFVPGEDEHKPGRPDGYDFGFLHIKAIDDAGHDKASILKVKALEAVDRAIGQLAKLLLQAESTGKFQYFLCVTGDHSTPVEYGDHSFEPVPFSMCRLRDFVGAVGGESIIMETSLDPFPLPVVKAGENLVETESAEKERSSKQLKAFSGDSVCELSEIAAARGCLGRFPGGQMMGIIKAFLELNA >Potri.002G027000.1.v4.1 pep chromosome:Pop_tri_v4:2:1789004:1790867:-1 gene:Potri.002G027000.v4.1 transcript:Potri.002G027000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G027000.v4.1 MSQPSMPRYTSIIFFLFLQLFFAAQPCHRTVTYAAGGGLWQLLQNSIGITAMHMQLLSNDRVVIYDRTDFGRSNLSLPDGKCRNDSSELVIKYDCTAHSVEYDVLANRFRPLMVQSDVWCSSGAVVPDGRLIQTGGFNDGERKVRIFSPCNGADCDWEEVGDGLKAKRWYATSHILPDGRQIIIGGRRQFNYEFYPKSSAPNVYSLPFLMETNDRGIENNLYPFVFLNGDGNLFIFANNRAILFDHKTNKVVKTYPAIPGGDPRSYPSTGSAVLLPLKNLQASTIEAEVLVCGGAPKGSFAKVENGTFVQALDTCARIKINDPNPRWVMETMPTARVMGDMTLLPNGNVLIINGAGAGTAGWEKGRDPVLNPVLYRPDDASGSRFELQNPSTIPRMYHSTAILLRDGRVLVGGSNPHIGYEFTGVLFPTELSLEAFSPSYLDPNFDDLRPTIVSSSASEGKNIGYGQKLLVRFKVTSKIVTDMVSVTMVAPAFNTHSFSMNHRLLVLGNEKVTVVGTSIYDIQVMTPPSGDLAPSGHYMLYVVHQEIPSEGLWVKIL >Potri.013G130100.1.v4.1 pep chromosome:Pop_tri_v4:13:13674817:13676308:1 gene:Potri.013G130100.v4.1 transcript:Potri.013G130100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130100.v4.1 MASSMFFIICILHSTIAITLGSLIMFYLKEISVVGHGTETAQKLLGSTPHDQLLIQISNSFAGLLLFVVGFLVFMVAFVKDREFQNFFAKGCALLYVVMALWRFYFERRVEDLAWDWPKQVVGDFVMALSWVFFLLYTWREKYD >Potri.003G198301.1.v4.1 pep chromosome:Pop_tri_v4:3:20006245:20012386:-1 gene:Potri.003G198301.v4.1 transcript:Potri.003G198301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G198301.v4.1 MEMPISHKNSEGLVPCLHDLLHSDEQSCSSSKEEQKFSGSPPCPDDLLHSNKQSDSSGRDRHKSSGSASWLDNLLNSDEQSCSSKKDQRKHSGSAPCLDDLLHSGRRSCRSSRDPQKSSGPQKRLEQLLSQSGNKICADCGSPDPKWVSISFGVFICIKCSGVHRSLGVHLTKVLSIKLDEWTDEQVNTLIDLGGNTAANKKYEAFIPDDYQKPKSDASIEERSDFIRRKYEQKQFSNCDGQMSCPFPGPRSTLSSSCSSHCSPQDKKQYEKQATRHRIGNAFRNSWGRKDTEYKNNKKSNSLAAMVEFVGLIKVNVVKGTNLAVRDVLTSDPYVVLTLGQQSVRTRVIKNNLNPIWNESLMLSIPEQIPPLKVLVYDKDKFTTDDFMGEAEIDIQPLVSAAKAYENSTITESMQLGKWIAGQDNTLVKDGIISLADGKVKQEISLKLKNVERGVLEIELECVPLTQ >Potri.003G198301.2.v4.1 pep chromosome:Pop_tri_v4:3:20006207:20012472:-1 gene:Potri.003G198301.v4.1 transcript:Potri.003G198301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G198301.v4.1 MEMPISHKNSEGLVPCLHDLLHSDEQSCSSSKEEQKFSGSPPCPDDLLHSNKQSDSSGRDRHKSSGSASWLDNLLNSDEQSCSSKKDQRKHSGSAPCLDDLLHSGRRSCRSSRDPQKSSGPQKRLEQLLSQSGNKICADCGSPDPKWVSISFGVFICIKCSGVHRSLGVHLTKVLSIKLDEWTDEQVNTLIDLGGNTAANKKYEAFIPDDYQKPKSDASIEERSDFIRRKYEQKQFSNCDGQMSCPFPGPRSTLSSSCSSHCSPQDKKQYEKQATRHRIGNAFRNSWGRKDTEYKNNKKSNSLAAMVEFVGLIKVNVVKGTNLAVRDVLTSDPYVVLTLGQQSVRTRVIKNNLNPIWNESLMLSIPEQIPPLKVLVYDKDKFTTDDFMGEAEIDIQPLVSAAKAYENSTITESMQLGKWIAGQDNTLVKDGIISLADGKVKQEISLKLKNVERGVLEIELECVPLTQ >Potri.004G233200.1.v4.1 pep chromosome:Pop_tri_v4:4:23832615:23835755:-1 gene:Potri.004G233200.v4.1 transcript:Potri.004G233200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233200.v4.1 MSTPGEYYRSLPPVSKAYGVACLMTTAAYYLGLYQASSIALYYDDVIKRFQVWRLITNFFFLGPFSFPFAFRLIIIARYGVQLERGPFDKRTADFVWMFFFGALSLLVMAAVPFLWSGFMGVSLVFMLVYIWGREFPNAQVSIYGLVSLKGFYLPWAMLALDLIFGDPLMPDILGMLAGHLYYFLTVLHPLSGGKFIFKTPIWVHKLVAFWGEGTQVNAPVQRDPSAGTAFRGRSYRLNGTRNNSAGQAQENPQTQQPDSNNGVAFRGRGYRLGGQ >Potri.009G038500.1.v4.1 pep chromosome:Pop_tri_v4:9:4707509:4708290:-1 gene:Potri.009G038500.v4.1 transcript:Potri.009G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038500.v4.1 MSRNNHTITTTRIHIMALDGIVNVNSLFTLALFLGLAWYPTAPDPTTTLITDTSCIASSSIVEDLIAFHVYSFSSFLFSSLIALAVKQTIKIFDTNKDDDSDGVVRSAPLARVNLVALRAGTLVSGFGSVFGCGFLMMALVDLVQIKLGVLGCGSLHTFAAVTPLVILVPSASVIYVFLMLYAFAR >Potri.009G020900.1.v4.1 pep chromosome:Pop_tri_v4:9:3330332:3336402:1 gene:Potri.009G020900.v4.1 transcript:Potri.009G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G020900.v4.1 MGSDADMEDYGFEYSDEEPEEQDVDIENQYYNSKGLVETDPEGALAGFAEVVSMEPEKAEWGFKALKQTVKLYYRLGKYKEMMEAYREMLTYIKSAVTRNYSEKCINNIMDFVSGSASQNFGLLQEFYQTTLKALEEAKNERLWFKTNLKLCKIWFDMGEYGRMSKILKELHKSCQREDGTDDQKKGSQLLEVYAIEIQMYTETKNNKKLKQLYHKALAIKSAIPHPRIMGIIRECGGKMHMAERQWAEAATDFFEAFKNYDEAGNQRRIQCLKYLVLANMLMESEVNPFDGQEAKPYKNDPEILAMTNLIAAYQRNEILEFEKILKSNRRTIMDDPFIRNYIEDLLKNVRTQVLLKLIKPYTRIRIPFISKELNVPEKDVEQLLVSLILDNRIDGHIDQVNRLLERGDRSKGMKKYTAIEKWNAQLRSLYQTVSNRVS >Potri.013G057766.1.v4.1 pep chromosome:Pop_tri_v4:13:4232841:4233352:-1 gene:Potri.013G057766.v4.1 transcript:Potri.013G057766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057766.v4.1 MVLNSSLMVTIANVSANLCQYIACNPERLSSDQVLHLLFCLPLHHFGRLALSFWTYLYYHPAPPYLPSSDSDAYSDNDSHSD >Potri.009G134400.1.v4.1 pep chromosome:Pop_tri_v4:9:10889534:10894307:-1 gene:Potri.009G134400.v4.1 transcript:Potri.009G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134400.v4.1 MAGKNHVFLIATLLSLLVSSVVAHGGKQVGVTYDERSLIINGKRELLFSGSIHYPRSTPDMWPELILKAKRGGLNVIQTYVFWNIHEPEQGKFNFEGPYDLVKFIKTIGENGMFATLRLGPFIQAEWNHGGLPYWLREIPDIIFRSDNAPFKHHMEKFVTKIIDMMKEEKLFASQGGPIILSQIENEYNTVQLAYKNLGVSYIQWAGNMALGLNTGVPWVMCKQKDAPGPVINTCNGRHCGDTFTGPNKPNKPSLWTENWTAQFRVFGDPPSQRSAEDTAFSVARWFSKNGSLVNYYMYHGGTNFDRTAASFVTTRYYDEAPLDEYGLQREPKWGHLKDLHRALNLCKKALLWGNPNVQKLSADVEARFYEQPGTKVCAAFLASNNSKEAETVKFRGQEYYLPARSISILPDCKTVVYNTMTVVSQHNSRNFVKSRKTNKLEWNMYSETIPAQLQVDSSLPKELYNLTKDKTDYVWFTTTINVDRRDMNERKRINPVLRVASLGHAMVAFVNGEFIGSAHGSQIEKSFVLQHSVDLKPGINFVTLLGTLVGLPDSGAYMEHRYAGPRGVSILGLNTGTLDLTSNGWGHQVGLSGETAKLFTKEGGGKVTWTKVQKAGPPVTWYKTHFDAPEGKSPVAVRMTGMNKGMIWINGKSIGRYWMTYVSPLGEPTQSEYHIPRSYLKPTDNLMVIFEEEEANPEKIEILTVNRDTICSYVTEYHPPSVKSWERKNNKFTPVVDNAKPAAHLKCPNQKKIIAVQFASFGDPLGTCGDYAVGTCHSLVSKQVVEEHCLGKTSCDIPIDKGLFAGKKDDCPGISKTLAVQVKCSTKDPKRSAN >Potri.015G034182.1.v4.1 pep chromosome:Pop_tri_v4:15:2811133:2812596:1 gene:Potri.015G034182.v4.1 transcript:Potri.015G034182.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034182.v4.1 MAPGSGDPRTFNKLPLLRLAVSLYIFSSLVPYFHHSLHLYEFEIERKMKLLAFLLCLCCLIFFFQSSTQARAPPLPPTPGRLQPPAPRPPPPEGRFNDQPRRSPPPPGAPPGGRP >Potri.012G087450.1.v4.1 pep chromosome:Pop_tri_v4:12:11285365:11286334:1 gene:Potri.012G087450.v4.1 transcript:Potri.012G087450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087450.v4.1 MALISSAATSNVNFAPPNIGHLSSQIVPVLKSHELIGFVDGSEPCPSKFIDDNPEDSSHSLNPDYILWNKKDQCVLSWINATLSDKVLASVYDITSARECMDNRVHHSL >Potri.010G045701.1.v4.1 pep chromosome:Pop_tri_v4:10:7753457:7754539:1 gene:Potri.010G045701.v4.1 transcript:Potri.010G045701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045701.v4.1 MEYSQSQDKACWTREMLNTFCDICIKAIEKGMRPNTNFDKAGWKYVMNCFKERTGHALTKAQLKNRWDGIKKGLENMKKIDFQYGREIMHLMSNEDPHLEEGSGDSEEDTLSNFVEDVNNMVTSVNFANNISNPSSSSGKRKGVQQCTQKSGKKSIEEVMKEFHSIEEVVFGSELYCFATEFFVVKNRREMWATLGDNKRKIQWLKLMFERRSNVKP >Potri.009G004200.1.v4.1 pep chromosome:Pop_tri_v4:9:1057947:1058740:-1 gene:Potri.009G004200.v4.1 transcript:Potri.009G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004200.v4.1 MRRSKETGKRQSRLSSPSRPSRSGPSPPRSRKSTLSSSASTVDNLEVVSEQQSPFTTGSELFDEPRSFPYSVKQQCWEKAEKVKGRDPDRWRRDPLGNIVFRKLVGCPGCLCHDYDHIVPYSKGGKSSLENCQVLQATVNRSKGNRTELSRAELIRRSSYCRVSGRDMDFVELSAYGNVRREQESGGCRIQ >Potri.001G189500.4.v4.1 pep chromosome:Pop_tri_v4:1:17115839:17124613:1 gene:Potri.001G189500.v4.1 transcript:Potri.001G189500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189500.v4.1 MDGVERARASGRRSSHNSLSRSISRSLSRASWNMEDMFSVGRQSRRSNLVDEDEEALKWAAIEKLPTYNRLRTSIIKSFVDTEDQGNKMLQHKEVDVRKLDINERQNFIDKLFKVAEEDNEKYLKKFRQRVDKVGIRLPTIEVRFDHLTIEADCHFGTRALPTLPNAARNMFESALGVVGINLAQRTKLTILKDASGVIKPSRMALLLGPPSSGKTTLLLALAGKLDPSLKVTGDLTYNGYEFKEFMPRKSSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEAEVDLFMKATAMEGVESSLITDYTLKILGLDICKDTIVGDDMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQHIVHYTEATILVSLLQPAPETFDLFDDIILLSEGQIVYQGPREHILAFFESCGFRCPERKGTADFLQEVTSKKDQEQYWDDRNKPYRYVTVPEFVERFKRFHVGMRLENELSVPFDKTQGHKAALSFSKYSVPRMELLKACWDREWILVKRNAYVYVAKTVQLIIMAIIMSTVFIKSKMHTRNEGDGAVYIGALLFTMIINMFNGFAELSLVIKRLPVFYKQRDLQFHPAWTFTLPTFLLQLPMSIIESVVWVSITYYSVGFAPDASRFFKQLLLVFFIQQMASGLFRLIAGVCRTMIIANTGGALTLLLVFLLGGFILPKGAIPDWWGWGYWVSPLSYGFNAIAVNEMSAPRWMNKNSSDASTSLGTAVLKNFDVYTDKNWYWIGTAAILGFAVLFNVLFTFALAYFSPAGKSQAIISEETTKERTRSTQSLSHSNGNNTSEMAILRTRSPSNPNGLSGNADSIEAANGVAPKRGMVLPFSPLAMSFDSMNYFVDMPPEMKEQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEIKISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVSKQEKMIFVDEVMELVELNNLKDAVVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQAIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSVAAEVRLGMDFAEQYRSSSLHQRNKALVKELSTPPPGATNLYFATQYSESAWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVCALMVGSIFWKVGTKRDSSSDLNMIIGAMYASVLFVGINNCSTVQPVVAVERTVFYREKAAGMYSALPYAIAQVVCEIPYVFVQTTYYTLIVYAMVSFEWTAAKFFWFFFVNFFSFLYFTYYGMMTVSVTPNHQVAAIFAATFYSLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYGDVMDTINVPGRAGADPTIKVYIQENFGYDPDFMGQVAAVLVGFTVFFAFLFAFCIRTLNFQTR >Potri.001G189500.1.v4.1 pep chromosome:Pop_tri_v4:1:17115928:17124581:1 gene:Potri.001G189500.v4.1 transcript:Potri.001G189500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189500.v4.1 MDGVERARASGRRSSHNSLSRSISRSLSRASWNMEDMFSVGRQSRRSNLVDEDEEALKWAAIEKLPTYNRLRTSIIKSFVDTEDQGNKMLQHKEVDVRKLDINERQNFIDKLFKVAEEDNEKYLKKFRQRVDKVGIRLPTIEVRFDHLTIEADCHFGTRALPTLPNAARNMFESALGVVGINLAQRTKLTILKDASGVIKPSRMALLLGPPSSGKTTLLLALAGKLDPSLKVTGDLTYNGYEFKEFMPRKSSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEAEVDLFMKATAMEGVESSLITDYTLKILGLDICKDTIVGDDMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQHIVHYTEATILVSLLQPAPETFDLFDDIILLSEGQIVYQGPREHILAFFESCGFRCPERKGTADFLQEVTSKKDQEQYWDDRNKPYRYVTVPEFVERFKRFHVGMRLENELSVPFDKTQGHKAALSFSKYSVPRMELLKACWDREWILVKRNAYVYVAKTVQLIIMAIIMSTVFIKSKMHTRNEGDGAVYIGALLFTMIINMFNGFAELSLVIKRLPVFYKQRDLQFHPAWTFTLPTFLLQLPMSIIESVVWVSITYYSVGFAPDASRFFKQLLLVFFIQQMASGLFRLIAGVCRTMIIANTGGALTLLLVFLLGGFILPKGAIPDWWGWGYWVSPLSYGFNAIAVNEMSAPRWMNKNSSDASTSLGTAVLKNFDVYTDKNWYWIGTAAILGFAVLFNVLFTFALAYFSPAGKSQAIISEETTKERTRSTQSLSHSNGNNTSEMAILRTRSPSNPNGLSGNADSIEAANGVAPKRGMVLPFSPLAMSFDSMNYFVDMPPEMKEQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEIKISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVSKQEKMIFVDEVMELVELNNLKDAVVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQAIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSVAAEVRLGMDFAEQYRSSSLHQRNKALVKELSTPPPGATNLYFATQYSESAWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVCALMVGSIFWKVGTKRDSSSDLNMIIGAMYASVLFVGINNCSTVQPVVAVERTVFYREKAAGMYSALPYAIAQVVCEIPYVFVQTTYYTLIVYAMVSFEWTAAKFFWFFFVNFFSFLYFTYYGMMTVSVTPNHQVAAIFAATFYSLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYGDVMDTINVPGRAGADPTIKVYIQENFGYDPDFMGQVAAVLVGFTVFFAFLFAFCIRTLNFQTR >Potri.001G189500.5.v4.1 pep chromosome:Pop_tri_v4:1:17116318:17124563:1 gene:Potri.001G189500.v4.1 transcript:Potri.001G189500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189500.v4.1 MDGVERARASGRRSSHNSLSRSISRSLSRASWNMEDMFSVGRQSRRSNLVDEDEEALKWAAIEKLPTYNRLRTSIIKSFVDTEDQGNKMLQHKEVDVRKLDINERQNFIDKLFKVAEEDNEKYLKKFRQRVDKVGIRLPTIEVRFDHLTIEADCHFGTRALPTLPNAARNMFESALGVVGINLAQRTKLTILKDASGVIKPSRMALLLGPPSSGKTTLLLALAGKLDPSLKVTGDLTYNGYEFKEFMPRKSSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEAEVDLFMKATAMEGVESSLITDYTLKILGLDICKDTIVGDDMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQHIVHYTEATILVSLLQPAPETFDLFDDIILLSEGQIVYQGPREHILAFFESCGFRCPERKGTADFLQEVTSKKDQEQYWDDRNKPYRYVTVPEFVERFKRFHVGMRLENELSVPFDKTQGHKAALSFSKYSVPRMELLKACWDREWILVKRNAYVYVAKTVQLIIMAIIMSTVFIKSKMHTRNEGDGAVYIGALLFTMIINMFNGFAELSLVIKRLPVFYKQRDLQFHPAWTFTLPTFLLQLPMSIIESVVWVSITYYSVGFAPDASRFFKQLLLVFFIQQMASGLFRLIAGVCRTMIIANTGGALTLLLVFLLGGFILPKGAIPDWWGWGYWVSPLSYGFNAIAVNEMSAPRWMNKNSSDASTSLGTAVLKNFDVYTDKNWYWIGTAAILGFAVLFNVLFTFALAYFSPAGKSQAIISEETTKERTRSTQSLSHSNGNNTSEMAILRTRSPSNPNGLSGNADSIEAANGVAPKRGMVLPFSPLAMSFDSMNYFVDMPPEMKEQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEIKISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVSKQEKMIFVDEVMELVELNNLKDAVVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQAIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSVAAEVRLGMDFAEQYRSSSLHQRNKALVKELSTPPPGATNLYFATQYSESAWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVCALMVGSIFWKVGTKRDSSSDLNMIIGAMYASVLFVGINNCSTVQPVVAVERTVFYREKAAGMYSALPYAIAQVVCEIPYVFVQTTYYTLIVYAMVSFEWTAAKFFWFFFVNFFSFLYFTYYGMMTVSVTPNHQVAAIFAATFYSLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYGDVMDTINVPGRAGADPTIKVYIQENFGYDPDFMGQVAAVLVGFTVFFAFLFAFCIRTLNFQTR >Potri.001G189500.6.v4.1 pep chromosome:Pop_tri_v4:1:17119537:17124613:1 gene:Potri.001G189500.v4.1 transcript:Potri.001G189500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189500.v4.1 MRLENELSVPFDKTQGHKAALSFSKYSVPRMELLKACWDREWILVKRNAYVYVAKTVQLIIMAIIMSTVFIKSKMHTRNEGDGAVYIGALLFTMIINMFNGFAELSLVIKRLPVFYKQRDLQFHPAWTFTLPTFLLQLPMSIIESVVWVSITYYSVGFAPDASRFFKQLLLVFFIQQMASGLFRLIAGVCRTMIIANTGGALTLLLVFLLGGFILPKGAIPDWWGWGYWVSPLSYGFNAIAVNEMSAPRWMNKNSSDASTSLGTAVLKNFDVYTDKNWYWIGTAAILGFAVLFNVLFTFALAYFSPAGKSQAIISEETTKERTRSTQSLSHSNGNNTSEMAILRTRSPSNPNGLSGNADSIEAANGVAPKRGMVLPFSPLAMSFDSMNYFVDMPPEMKEQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEIKISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVSKQEKMIFVDEVMELVELNNLKDAVVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQAIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSVAAEVRLGMDFAEQYRSSSLHQRNKALVKELSTPPPGATNLYFATQYSESAWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVCALMVGSIFWKVGTKRDSSSDLNMIIGAMYASVLFVGINNCSTVQPVVAVERTVFYREKAAGMYSALPYAIAQVVCEIPYVFVQTTYYTLIVYAMVSFEWTAAKFFWFFFVNFFSFLYFTYYGMMTVSVTPNHQVAAIFAATFYSLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYGDVMDTINVPGRAGADPTIKVYIQENFGYDPDFMGQVAAVLVGFTVFFAFLFAFCIRTLNFQTR >Potri.001G189500.3.v4.1 pep chromosome:Pop_tri_v4:1:17116219:17124588:1 gene:Potri.001G189500.v4.1 transcript:Potri.001G189500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189500.v4.1 MDGVERARASGRRSSHNSLSRSISRSLSRASWNMEDMFSVGRQSRRSNLVDEDEEALKWAAIEKLPTYNRLRTSIIKSFVDTEDQGNKMLQHKEVDVRKLDINERQNFIDKLFKVAEEDNEKYLKKFRQRVDKVGIRLPTIEVRFDHLTIEADCHFGTRALPTLPNAARNMFESALGVVGINLAQRTKLTILKDASGVIKPSRMALLLGPPSSGKTTLLLALAGKLDPSLKVTGDLTYNGYEFKEFMPRKSSAYISQNDVHIGEMTVKETLDFSARCQGVGTRYDLLSELARREKDAGIFPEAEVDLFMKATAMEGVESSLITDYTLKILGLDICKDTIVGDDMIRGISGGQKKRVTTGEMIVGPTKTLFMDEISTGLDSSTTYQIVKCLQHIVHYTEATILVSLLQPAPETFDLFDDIILLSEGQIVYQGPREHILAFFESCGFRCPERKGTADFLQEVTSKKDQEQYWDDRNKPYRYVTVPEFVERFKRFHVGMRLENELSVPFDKTQGHKAALSFSKYSVPRMELLKACWDREWILVKRNAYVYVAKTVQLIIMAIIMSTVFIKSKMHTRNEGDGAVYIGALLFTMIINMFNGFAELSLVIKRLPVFYKQRDLQFHPAWTFTLPTFLLQLPMSIIESVVWVSITYYSVGFAPDASRFFKQLLLVFFIQQMASGLFRLIAGVCRTMIIANTGGALTLLLVFLLGGFILPKGAIPDWWGWGYWVSPLSYGFNAIAVNEMSAPRWMNKNSSDASTSLGTAVLKNFDVYTDKNWYWIGTAAILGFAVLFNVLFTFALAYFSPAGKSQAIISEETTKERTRSTQSLSHSNGNNTSEMAILRTRSPSNPNGLSGNADSIEAANGVAPKRGMVLPFSPLAMSFDSMNYFVDMPPEMKEQGVPEDRLQLLREVTGAFRPGVLTALMGVSGAGKTTLMDVLAGRKTGGYIEGEIKISGFPKKQETFARISGYCEQNDIHSPQVTVKESLIYSAFLRLPKEVSKQEKMIFVDEVMELVELNNLKDAVVGLPGITGLSTEQRKRLTIAVELVANPSIIFMDEPTSGLDARAAAIVMRTVRNTVDTGRTVVCTIHQPSIDIFEAFDELLLMKRGGQAIYSGPLGRNSHKIIEYFEAIPGVPKIKEKYNPATWMLEVSSVAAEVRLGMDFAEQYRSSSLHQRNKALVKELSTPPPGATNLYFATQYSESAWGQFKSCLWKQWWTYWRSPDYNLVRYFFTLVCALMVGSIFWKVGTKRDSSSDLNMIIGAMYASVLFVGINNCSTVQPVVAVERTVFYREKAAGMYSALPYAIAQVVCEIPYVFVQTTYYTLIVYAMVSFEWTAAKFFWFFFVNFFSFLYFTYYGMMTVSVTPNHQVAAIFAATFYSLFNLFSGFFIPRPKIPKWWVWYYWICPVAWTVYGLIVSQYGDVMDTINVPGRAGADPTIKVYIQENFGYDPDFMGQVAAVLVGFTVFFAFLFAFCIRTLNFQTR >Potri.T084601.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:112726:118772:1 gene:Potri.T084601.v4.1 transcript:Potri.T084601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084601.v4.1 MDFQLPYCFFFLVLLLLPFSGNGQAHSNISSGLFLTAASDNLPWTSPSGEFAFGFQQVGDAGYLLAIWFNKIPERTIVWSANRNDPVQGGSRVQLTRDGELVLNDQSGRTIWSPVFGGSGAAYAAMLDTGNFVLASQAGANLWQSFDEPTDTLLPTQNLNLGAQLIAPYLEKNYSDGRFKFILQADSNLGLYTTRYPTTTSNVAYWSPQSSTGSGYRVIFNQSGYMYLADQNGNTLNSVFSNSVSMQDFYLRATLDYDGVFRQYAYPKTASSSTRWAMAWTTLPNFIPSNICVVIRGPVGSGACGFNSYCILGDDQRPRCKCPPGYTFFDPNDERKGCKKNFISQDCDHPSQEIDNFMIWDMLNTNFPFTDYEDFFSVDEDWCRQACLSDCYCAVATYNSGHCWKKRGPLSNGVTDPSIGDKALMKVRKGNRTAGSSAKKSDRSILITTGSVLLGSSIFLIVLSLLGIYVFFTRWNQQKQKMVPQLHVMPEMNLQNFTYNELETATGGFKEELGRGAFGIVYRGALANEDKPLIAVKKLEKMAGEGDTEFNTEVKVIGRTNHKNLVQLVGFCNEGENRLLVYEYMSSGSLSNYIFGYTRPSWHRRMQIAFGVARGLLYLHEECSSQIIHCDIKPQNILLDESLNARISDFGLAKLLKTDQTKTTTGIRGTKGYVNLPVTTKVDTYSFGILLLELVCCRKNFEINAMQEHQIVLADWACDCLKEGKLNLLVEEDEEAMEDMKRVERFVMVAIWCIQEDPSLRPGMKKVVQMLEGGVQVSVPPDPSSFISTI >Potri.006G081600.1.v4.1 pep chromosome:Pop_tri_v4:6:6055871:6058424:-1 gene:Potri.006G081600.v4.1 transcript:Potri.006G081600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081600.v4.1 MGQSSSSCSCNNGHEIYRPVIIGKNSGDIDGDDDLTLSLPDECLGSVFGKLGCLDRNSCSLVCKRWKCVDSKSRNRLVLLARSELSPCLPSLLSRFNTVSVLSLKCSRKLFSIDDAALSRIPIFLPFLKKLKLKGCIHISDDGLHAFSLHHPPLLTKLSFASCGFGAKGLNSLLSNCPSLQDLTLKRLRKLDATSSTPASSLWPGALNVDGGDVSNDHHNNINAVVAGDANKKEKDVHNYYYKRSLRLERLCLKDLHNARLFIPLILSASASIKTLIVCRSSGNWDRVLETSLHGKTTSISEIQMENVQMGDAGLLAISSSCPDLQLLYLSRTTDCTDDGLSAIANSCRKLRKLHIDAWSRFGSRTIGDDGVFSIANKCSQLQEVVLMGIPIAIPSLNALASNCPGLERMALCNTDSVQDSEMAFIAAKFLALKKLCIKNCPNVSKSGIEAVGRGCPNLVKLKVKRCKGVTQAMVSRLRFQRSSLVVSLDAGSMLFESGGISLLASAVNEEEQGTATAMTNTNSTRSAAAAATTVAATHVICSSRGALLLRSKFESALQLGRRRRPTEDNAS >Potri.004G185850.1.v4.1 pep chromosome:Pop_tri_v4:4:19938149:19943498:1 gene:Potri.004G185850.v4.1 transcript:Potri.004G185850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185850.v4.1 MERISDLVEQCALSAGNRSQVLHVGLGLTNTTDHHNISASMCRFSNKKYNSNVFQFPGYVRDSVVVAVVLHTNRIKIPKNIIISLKLCLLVCSTIVLEEGNYAM >Potri.006G098900.2.v4.1 pep chromosome:Pop_tri_v4:6:7574853:7576698:-1 gene:Potri.006G098900.v4.1 transcript:Potri.006G098900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G098900.v4.1 MFKKTPAETWFEEMKSGNKDPGEIPLSQNLSNLIILAVLNKYGGIYLDTDFIVLKSFAGLRNAIGAQSIGVSKNWTRLNNAVLVFDMNHPLLFKFIEEFASTFDGNKWGHNGPYLVSRVVQKVAERPGYNFTILPPMAFYPVGWNRIGGFFKKSESNAESRWVNAKLLQLISGETYGIHVWNRQSSRFSIEEGSIMGRLISDNCVIWEYK >Potri.002G118900.1.v4.1 pep chromosome:Pop_tri_v4:2:8999108:9001040:-1 gene:Potri.002G118900.v4.1 transcript:Potri.002G118900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G118900.v4.1 MGSHILDALNVRVEGQGDKFLVFAHGFGTDQSAWQRILPFFTPYYRVILFDLVCAGSVNPDYFNFRRYTNLEAYVDDLLNILDTLGVDRCFYVGHSVSAMIGILASIRRPELFTKLIMIGASPRFLNDKDYHGGFEQEEIESVFVAMEANYEAWVKGFAPLAVGADVPAAVREFSRTLFNMRPDITLFVSRTVFNSDLRGILGLVKVPCCVIQTSKDVSVPASVAKYLKNHLGGKATVEMLRTEGHLPHLSAPAMLAPVIRRALSR >Potri.008G063200.1.v4.1 pep chromosome:Pop_tri_v4:8:3818351:3820582:1 gene:Potri.008G063200.v4.1 transcript:Potri.008G063200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063200.v4.1 MVKGRQGERVRLYVRGTVLGYKRSKSNQYPNTSLIQIEGVNTKEEVAWYAGKRMAYIYKAKVKRDGSHYRCIWGKVTRPHGNSGVVRAKFKSNLPPKSMGARVRVFMYPSNI >Potri.006G224400.1.v4.1 pep chromosome:Pop_tri_v4:6:22907837:22909392:-1 gene:Potri.006G224400.v4.1 transcript:Potri.006G224400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224400.v4.1 MRITKKDKRDQSSTSPCAHLRAAYHNCFNRWYSEKFVKGQWGKEECVSEWQKYRACLSEHLDDKHLSRFLEAEIVPSDLGKPVDGVSQ >Potri.001G073200.1.v4.1 pep chromosome:Pop_tri_v4:1:5500800:5502032:-1 gene:Potri.001G073200.v4.1 transcript:Potri.001G073200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073200.v4.1 MLVTAGTFSSGSSEVSTEFIAFFLALPFPGALVALNHELLEEWQKFTALRVYWAGVCTMLWYAHVLDVPSTSPLSGYLSPGDAIVSLDGKRIHNEQDWMEITALIDEQTLQSSNLSKSFEGPATVHRMKGYCVPTFVVEEINRTFFIDNQSACPDDLAEFVAIQCFDPSKSDNVDIEDGLSRRQRRHCLNAKDVVELNKCGEGRVTEITKGRHYVNQNPLRGTTA >Potri.012G013334.1.v4.1 pep chromosome:Pop_tri_v4:12:1604436:1609623:1 gene:Potri.012G013334.v4.1 transcript:Potri.012G013334.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G013334.v4.1 MGGIGGTSIYNQFLSYDRRDVEMGHYRRTCLNSRLLMMVTSFMWGHGISSRVHIYEDHEIMKIVNTNIVLHIYRYKIP >Potri.001G379700.4.v4.1 pep chromosome:Pop_tri_v4:1:39991601:39994955:-1 gene:Potri.001G379700.v4.1 transcript:Potri.001G379700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379700.v4.1 MGKRFLLVLDDVWNAKYDDWDILLTPLKFGAQGSKIIVTTQNERVASVLSTVPPYHLKGLTDDDCWCLFEKHAFDDGDSSAHPGLEGIGRKIVRKCKGLPLAVKSLAGLLRSKRDVEEWEKILRSNLWDLQNINILPALRLSYHYLPAHLKRCFSYCSIFPKDYEFRKEEMVRLWMAEGFLIQLNGNQKMKEVGGEYFNDLVSRSFFQQSSSHPSCFVMHDLMNGLAKFVAGEFCYTLDDANGLKLAKKTRHLSYVRAKHGNLKKFEGTYETQFLRTFLLVEQSWELDHNESEAMHDLLPTLKRLRVLSLSQYSYVQELPDSIGNLKHLRYLNLFQASLKNLPRIIHAMYNLQTLILRECNDLVELPDSIGNLKHLQYLDLFGTSIRKLPNFVIGLCHLETLILCQCKDLTELPTNMGSLINLHHLDIRETNLQEMPLQMGNLKYLRIL >Potri.001G379700.3.v4.1 pep chromosome:Pop_tri_v4:1:39990479:39995888:-1 gene:Potri.001G379700.v4.1 transcript:Potri.001G379700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379700.v4.1 MAGALVGGSFLSAFLQVLFDRMASREFVDFFKGQKLNDELLMKLKITMRSINRLLDDAEEKQITNRDVQMWLDDLKDAVYEADDLLDEIAYEGLRSEIEPAPQTNNIAMWRNFLSSRSPFNKRIVKMKEKLNKILGRLNDLVEQKDVLGLGENIGEKPSLHKTPTTSLVDESGVFGRNNDQKAIVKMLLSDDAHGRSLGVIPIVGMCGVGKTTLSQLVYNNSRVQEWFDLKTWVCVSEEFGVCKITKDIIKEFGSKYCDTKTQNQLHLELKEKLMGKRFLLVLDDVWNAKYDDWDILLTPLKFGAQGSKIIVTTQNERVASVLSTVPPYHLKGLTDDDCWCLFEKHAFDDGDSSAHPGLEGIGRKIVRKCKGLPLAVKSLAGLLRSKRDVEEWEKILRSNLWDLQNINILPALRLSYHYLPAHLKRCFSYCSIFPKDYEFRKEEMVRLWMAEGFLIQLNGNQKMKEVGGEYFNDLVSRSFFQQSSSHPSCFVMHDLMNGLAKFVAGEFCYTLDDANGLKLAKKTRHLSYVRAKHGNLKKFEGTYETQFLRTFLLVEQSWELDHNESEAMHDLLPTLKRLRVLSLSQYSYVQELPDSIGNLKHLRYLNLFQASLKNLPRIIHAMYNLQTLILRECNDLVELPDSIGNLKHLQYLDLFGTSIRKLPNFVIGLCHLETLILCQCKDLTELPTNMGSLINLHHLDIRETNLQEMPLQMGNLKYLRIL >Potri.013G116200.1.v4.1 pep chromosome:Pop_tri_v4:13:12488831:12491687:1 gene:Potri.013G116200.v4.1 transcript:Potri.013G116200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116200.v4.1 MPPPPPKTTTTTTTPLPCSNPTLFLAFTLLTFLSLSLFFISNKISSFPNPQNTLQISQAFIKVYVADLPRSLNYGLLDQYWSSSMPDARISSDPDHQIRPRPIKNLKFPDYPENPLIKQYSAEYWITGDLMTSEKLKSRSFAKRVFDFNEADVVFVPFFATLSAEMELAKGKGSFRRKEGNEDYQRQKEVVDFVRNSEAWKRSGGKDHVFVLTDPVAMWHVRAEIAPAILLVVDFGGWYRLDSKSSNGSSSDMIRHTQVSLLKDVIVPYTHLLPRFQFSENKKRNTLLYFKGAKHRHRGGIVRENLWDLLVNEPGVIMEEGFPNATGRELSIRGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVSDNIELPFEGILDYTEFSVFVAGDDALKPTWLMDHLRSISEKQKEELRRNMAKIQLIYQYENGHPGGIGPISPNGAVNHIWKKIHEKLPVIKEAIVREKRKPPGVSIPLRCHCT >Potri.013G116200.2.v4.1 pep chromosome:Pop_tri_v4:13:12488814:12491687:1 gene:Potri.013G116200.v4.1 transcript:Potri.013G116200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116200.v4.1 MPPPPPKTTTTTTTPLPCSNPTLFLAFTLLTFLSLSLFFISNKISSFPNPQNTLQISQAFIKVYVADLPRSLNYGLLDQYWSSSMPDARISSDPDHQIRPRPIKNLKFPDYPENPLIKQYSAEYWITGDLMTSEKLKSRSFAKRVFDFNEADVVFVPFFATLSAEMELAKGKGSFRRKEGNEDYQRQKEVVDFVRNSEAWKRSGGKDHVFVLTDPVAMWHVRAEIAPAILLVVDFGGWYRLDSKSSNGSSSDMIRHTQVSLLKDVIVPYTHLLPRFQFSENKKRNTLLYFKGAKHRHRGGIVRENLWDLLVNEPGVIMEEGFPNATGRELSIRGMRTSEFCLHPAGDTPTSCRLFDAIQSLCIPVIVMMP >Potri.013G116200.3.v4.1 pep chromosome:Pop_tri_v4:13:12488826:12491881:1 gene:Potri.013G116200.v4.1 transcript:Potri.013G116200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116200.v4.1 MPPPPPKTTTTTTTPLPCSNPTLFLAFTLLTFLSLSLFFISNKISSFPNPQNTLQISQAFIKVYVADLPRSLNYGLLDQYWSSSMPDARISSDPDHQIRPRPIKNLKFPDYPENPLIKQYSAEYWITGDLMTSEKLKSRSFAKRVFDFNEADVVFVPFFATLSAEMELAKGKGSFRRKEGNEDYQRQKEVVDFVRNSEAWKRSGGKDHVFVLTDPVAMWHVRAEIAPAILLVVDFGGWYRLDSKSSNGSSSDMIRHTQVSLLKDVIVPYTHLLPRFQFSENKKRNTLLYFKGAKHRHRVGIQFRNYYKHCCCLIIKTNIQSFASAFILTSCNFLFVYNEEPIFFVFNDMLRFMYHMTRTLLNA >Potri.013G102700.1.v4.1 pep chromosome:Pop_tri_v4:13:11163195:11167506:-1 gene:Potri.013G102700.v4.1 transcript:Potri.013G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102700.v4.1 METKMHQEEEKSNNHAVAAPLLTPYKMGKFNLSHRIVLAPLTRQRSYNNVPQPHAILYYSQRTTEGGLLIAEATGVSDTAQGYPNTPGIWTKEQVEAWKPIVDAVHAKGGIFFCQLWHVGRVSNRDFQPNGQAPISCTDKPLAPQIRANGIDAVDFTTPRRLRTDEIPHVVNDFRIAARNAMEAGFDGVEIHGAHGYLIDQFMKDQVNDRTDQYGGSLENRCRFALEVVGAVVDEIGADRVGIRLSPYANYGQAGDSNPGALGLYMVESLNKYGILFCHMVEPRMKTVGERVESPHSLLPMRKAFNGTFIVAGGYDREEGNKAVAENYSDLVAYGRVFLANPDLPRRFELDAPLNKYDRGTFYTTDPVIGYTDYPFLESTA >Potri.014G030700.1.v4.1 pep chromosome:Pop_tri_v4:14:1926023:1926536:1 gene:Potri.014G030700.v4.1 transcript:Potri.014G030700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030700.v4.1 MGIKGGVNNSIMTLEEFKKRMLKEIDGDKDGKISKDELSAAVRRHGGWFASWKAKWGVWSADSNGNGFVDDSEIRNLVDFAHKNLGIKIIF >Potri.005G165500.1.v4.1 pep chromosome:Pop_tri_v4:5:16534484:16537611:-1 gene:Potri.005G165500.v4.1 transcript:Potri.005G165500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G165500.v4.1 MERSTPVRKPHTSTADLLTWSETPPPDSPSVGSAPRPTRSHQPSDGISKVVFGGQVTEEEFESLNKRKPCSGYKMKEMTGSGIFAANGEDDTAESGSANPIPNSKTGLRMYQQAIAGISHISFAEDDSVSPKKPTTLPEVAKQRELSGTLESESDAKLKKQISGAKSKELSGHDIFAPPPEILPRPTTVRALALQESIQLGEPSPHDPVGGQQPSEESVVKTAKKINNQKFNELSGNDIFKGDAPPSSAEKPLSVAKLREMSGNDIFADGKVESRDFLGGVRKPPGGESSIALV >Potri.004G023788.1.v4.1 pep chromosome:Pop_tri_v4:4:1684880:1693490:1 gene:Potri.004G023788.v4.1 transcript:Potri.004G023788.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023788.v4.1 MGHNFLLVKKSFQILLLYFSIANLLDLAYADPPYRLCSNKSNYLDNSPFQNNLETLMASLSSNASVSKIFNTSTGIDPDRVYAQYMCLNYVTNESCRTCVAAASQDIRQLCPGDKEAVVWGDLCQLRYSNQRFLGHLDVSGNIPQYNAKNISNPEHLSLVVNKTLSSLIKKAAFDSSANMYATRDEPFTDSDSFFSLVQCSTDLSPNDCYTCLEVAIKNVTTCCYFSRGARVLSRSCYLRYELYAFYDGAAESSQSPVTGKGNESEIWIITISTVASTLLVVAILGSFFCHLAMKFRMRKCKKENTSQDGKFRGFDHPNRNDFQNQDFQRDGLNDRESAIMDLASINAATDNFSEANFLGQGGFGPVYKGILSDGKELAVKRLSASSEQGKNEFTNEVLLIMKLQHKNLVKLLGFCVDGEEKLLVYEFMPNNSLDMVLFDPRKGAQLSWRSRIHIINGIAKGTLYLHEDSRLRIIHRDLKASNILLDNNMNPKISDFGMARIMEANEGEANTVRIVGTYGYMAPEYAMEGLYSTKSDVFSFGVILLEIITGRKNSGFHKSKRAPSLLAYAWELWNNGKELEMIDPVLADSCCSDEFSRCVHIGLLCVQEDASERPTMSSVVLMLKSDNSIDLPQSQRPAIFAGRFTDHHEAKANDCSVNGLTVSDILPS >Potri.015G063500.1.v4.1 pep chromosome:Pop_tri_v4:15:8920847:8926128:1 gene:Potri.015G063500.v4.1 transcript:Potri.015G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063500.v4.1 MELIHGFPLLYQQFTALFKKNLLLSWRNKSATFLQLFSSFFFMFLLFGIEKASDSRSKATTGYKTVTNPQPMWESPIPPCEEKFYVKMPCFDFVWSGNDSSRIGNIVTAIMNNNPNRQIPPGKVKSFKTPEEVDDWLFRNPMQVPGALHFVDVSPSLITYGLQTNSTPIARRGHYEDPTFKFQIPLQIAAEREIARSIIKVPTFTWSVGLTEFAHPAKATFSAVATVGPAFFLAFTMFGFVLQISNLVAEKELKLRQAMNMTGLYESAYWTSWIIWEGIITFISSLLLVLFGMMFQFDFFKKNSFGVLFFVFFLFQVNMIGFAFMLSTFISKASSGTTMGFSIFIVGFMTQIITIAGFPYKKSISAFLRLIWSFFPPNLLAKAVNVLSDASSTPEALGISWKGRSKCPPDVDDCVMTINDVYTWLICLFILWFALAIYFDNIFPNVSGVRKSVFYFFKPGYWTGKGGDKVEEGGMCSCITDIPQQEHIVPDDEDVLEEENIVKNDAKDGTVNPDIAVQIRGLGKTYPGATHIGCFKCKKTSPYHAVRDLWVNFTKDQLFCLLGPNGAGKTTTINCLTGITPVTGGDALVYGHSVRSTVGMSGIRKIIGVCPQFDILWDALSGEEHLELFASIKGLPPASIKSVARESLAQVKLTESAKVRARSYSGGMRRRLSVAISLLGDPKLIILDEPTTGMDPISRRHVWDIIQNTKKGRAIVLTTHSMEEADILSDRIGIMAKGRLRCIGNSIRLKSKFGTGFIANVRFGDTNGGHTPARTPVDTSSVHHEAVKKFFKSHLDVTPTDETRSFLTFVIPHDKESVLKNFFAELQARQREFNVSDIQLGLATLEEVFLNIAKQAELESAAAEGKMVTLDLTSGKSVQIPVGARFVGVPETNSEENPSGTMVEVYWEQDDSGSLCISRHSAEMPVPYNVQPLRSEPQLLARTNILGGQRRGPVYGLVYDSDQIITAQSC >Potri.014G197000.6.v4.1 pep chromosome:Pop_tri_v4:14:12951855:12953944:1 gene:Potri.014G197000.v4.1 transcript:Potri.014G197000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G197000.v4.1 MSQSDISYSCGSCGYPLNLTSSNRITSNIGSGYQKSIKKGYISFLSVDLSRFTQVDEVNCLPVSWGRYHSKSKLLCRKCGVHVGYGYGDSPALCGFDSPNSSSSAYKKFTIKIRALQPSEEC >Potri.011G108101.1.v4.1 pep chromosome:Pop_tri_v4:11:13712541:13713337:-1 gene:Potri.011G108101.v4.1 transcript:Potri.011G108101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108101.v4.1 MARLSTMAFIFILILICHAPSFEARKLLSLEKKENPALEDKFIPSFPPVRDAQSIVIGKRFITPQLSKIDRILQSVPSPGAGN >Potri.005G181000.1.v4.1 pep chromosome:Pop_tri_v4:5:18709775:18718986:-1 gene:Potri.005G181000.v4.1 transcript:Potri.005G181000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G181000.v4.1 MAGIQQQQLVNDTLPASWNGSSNRIASVNVEEGQPQPWVDDLDLEDPNHQKPGWRKFLSYVGPGFLVSLAYLDPGNLETDLQAGANHRYELLWVILIGLIFALIIQSLAANLGVSTGKHLSELCKAEYPKYVKYCLWLLAEIAVIAADIPEVIGTAFALNILFHIPVWVGVLCTGCSTLLLLGLQKYGVRKLELLIAVLVFVMAACFFGEMSYVKPSATDVLKGMFIPKLSGQGATGDAIALLGALIMPHNLFLHSALVLSRKMPNSVRGINDACRYFLIESGFALFIAFLINLAVISVSGTVCSAQNLSSENADRCGDLTLNSASFLLQNVLGKSSSKIYAIALLASGQSSTITGTYAGQYIMQGFLELKMRKWIRNLVTRCIAIAPSLVVSIIGGSSGAGRLIIIASMILSFELPFALIPLLKFSSSTTKMGPHKNSIYIIVISWILGLGIIGVNIYYLSTGFVGWLIDNNLPKVGNVFIGIIVFPLMAIYILAVIYLTFRKDSVVTFLGPNKNDPQQQANMENGLTKSTEGPEMERVPYREDLADIPLPE >Potri.010G229800.1.v4.1 pep chromosome:Pop_tri_v4:10:21245366:21248320:1 gene:Potri.010G229800.v4.1 transcript:Potri.010G229800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:EIF6 MASRLQFENNCEVGVFSKLTNAYCLVAIGGSESFYSTFEAELADVIPVVKTSIAGTRIIGRLCAGNKNGLLVPHTTTDQELQHLRNSLPDQVVVQRIDEKLSALGNCIACNDHVALAHTDLDRETEEIIADVLGVEVFRQTIAGNILVGSYCAFSNRGGLVHPHTSIEDLDELSTLLQVPLVAGTVNRGSEVIGAGITVNDWTAFCGSDTTATELSVIESVFKLREARPSAIVDEMRKSLIDSYV >Potri.010G164100.5.v4.1 pep chromosome:Pop_tri_v4:10:16844878:16854072:-1 gene:Potri.010G164100.v4.1 transcript:Potri.010G164100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164100.v4.1 MLVSAILTSVGINSALCVIFLVLYSILKKQPSYYEVFAPRLLAEGSSKQGSRFNLERLLPSAGWLSKAWKLSEEEMLSSSGLDAVVYMRMITFCLKVFSFAGIIGILILLPVNCSGTELDQIDFADLYTSSLDAFTISNVNSGSKLLWIHFSAVYAVTIFICYLLYYEYNYISSKRIAYFYSAKPQPHQFTILVRNIPVSVGSNVSDSVESFFTEYHPTTYLSHTVLRRTSKVQSLIKDANKLYKRLLHLQSEPSEQKYKRVGLCGHKVDLLDHYGKRLDDLEQNVRLKQSEALLAEDTHAAFVSFKSRYGASTVFHLQQSINPTHWLTEEAPAPDDVYWPFLSSSFMRRWISKLVVVVACILLTVLFLIPVVVVQGLTNLSQLEVWFPFLKSILDISFVSQVITGYLPSLILQLFLKAVAPIMVFLSSIQGYISHSMIEKSACKKVLWFTIWNIFFATAFSGSIFYQVSIFLDPKKIPAKLAVAVPAQASFFITYVVTSGWTSTTSELARIFPLICHLTTKCCAKSTDEGIEVPSIPYHKDIPRILFFGLLGITYFFLAPVILPFLLVYLCLAYIIFRNQFINVYAPKYETAGKFWPIAHNSMIFSLVLMHAIAVGIFTLKKLPLASTLIIPLPVLTLLFNEYCRKRFLPFFIAYPAEVLIKKDMEDQNDATMSEFLDRLVTAYRDPALMPIQYSADIDSLNRPLISSAGV >Potri.010G164100.1.v4.1 pep chromosome:Pop_tri_v4:10:16844878:16854187:-1 gene:Potri.010G164100.v4.1 transcript:Potri.010G164100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164100.v4.1 MLVSAILTSVGINSALCVIFLVLYSILKKQPSYYEVFAPRLLAEGSSKQGSRFNLERLLPSAGWLSKAWKLSEEEMLSSSGLDAVVYMRMITFCLKVFSFAGIIGILILLPVNCSGTELDQIDFADLYTSSLDAFTISNVNSGSKLLWIHFSAVYAVTIFICYLLYYEYNYISSKRIAYFYSAKPQPHQFTILVRNIPVSVGSNVSDSVESFFTEYHPTTYLSHTVLRRTSKVQSLIKDANKLYKRLLHLQSEPSEQKYKRVGLCGHKVDLLDHYGKRLDDLEQNVRLKQSEALLAEDTHAAFVSFKSRYGASTVFHLQQSINPTHWLTEEAPAPDDVYWPFLSSSFMRRWISKLVVVVACILLTVLFLIPVVVVQGLTNLSQLEVWFPFLKSILDISFVSQVITGYLPSLILQLFLKAVAPIMVFLSSIQGYISHSMIEKSACKKVLWFTIWNIFFATAFSGSIFYQVSIFLDPKKIPAKLAVAVPAQASFFITYVVTSGWTSTTSELARIFPLICHLTTKCCAKSTDEGIEVPSIPYHKDIPRILFFGLLGITYFFLAPVILPFLLVYLCLAYIIFRNQFINVYAPKYETAGKFWPIAHNSMIFSLVLMHAIAVGIFTLKKLPLASTLIIPLPVLTLLFNEYCRKRFLPFFIAYPAEVLIKKDMEDQNDATMSEFLDRLVTAYRDPALMPIQYSADIDSLNRPLISSAGV >Potri.010G164100.4.v4.1 pep chromosome:Pop_tri_v4:10:16844878:16854163:-1 gene:Potri.010G164100.v4.1 transcript:Potri.010G164100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164100.v4.1 MLVSAILTSVGINSALCVIFLVLYSILKKQPSYYEVFAPRLLAEGSSKQGSRFNLERLLPSAGWLSKAWKLSEEEMLSSSGLDAVVYMRMITFCLKVFSFAGIIGILILLPVNCSGTELDQIDFADLYTSSLDAFTISNVNSGSKLLWIHFSAVYAVTIFICYLLYYEYNYISSKRIAYFYSAKPQPHQFTILVRNIPVSVGSNVSDSVESFFTEYHPTTYLSHTVLRRTSKVQSLIKDANKLYKRLLHLQSEPSEQKYKRVGLCGHKVDLLDHYGKRLDDLEQNVRLKQSEALLAEDTHAAFVSFKSRYGASTVFHLQQSINPTHWLTEEAPAPDDVYWPFLSSSFMRRWISKLVVVVACILLTVLFLIPVVVVQGLTNLSQLEVWFPFLKSILDISFVSQVITGYLPSLILQLFLKAVAPIMVFLSSIQGYISHSMIEKSACKKVLWFTIWNIFFATAFSGSIFYQVSIFLDPKKIPAKLAVAVPAQASFFITYVVTSGWTSTTSELARIFPLICHLTTKCCAKSTDEGIEVPSIPYHKDIPRILFFGLLGITYFFLAPVILPFLLVYLCLAYIIFRNQFINVYAPKYETAGKFWPIAHNSMIFSLVLMHAIAVGIFTLKKLPLASTLIIPLPVLTLLFNEYCRKRFLPFFIAYPAEVLIKKDMEDQNDATMSEFLDRLVTAYRDPALMPIQYSADIDSLNRPLISSAGV >Potri.001G111100.4.v4.1 pep chromosome:Pop_tri_v4:1:8940978:8948205:1 gene:Potri.001G111100.v4.1 transcript:Potri.001G111100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111100.v4.1 MKGAKRFAASDPVPDSNDTARLIVQHSIWLQLRNKRIMEGSLFDIHRPEQSQQQSTPLPPLDAKRAASSQQYVRALNNQFASWVQTQLKNHPDELWEDGIRDYLAHASNIMEKFSDVVNWLKANAVKGGPVADSLRAEKKLVPEIKSNESKLLQEKTGFALPSTSTSFTSSWSSGVFSANQSSGGVSSSSQSSSLFSNGQSSGSLLSNNPSSVLSSNNQSSGFFSNIQSPGLLSNNQSSGFFSNIQSPGLLSNNQSSGAFSNSQSLGALSNSQTPFSFNQSSGTFSNSQSLGALPNTQTSFLFGGQSSIPANHNTADDADDENELQQPGSPSVKKSEEKGIVTVHEVKCKLYVKSSDPADKDTWKDKGPGQLSIKCREGIGKSTKESKPTIVVRNDVGKVLLNALLYPGIKTNPQKNSLVAIFHTAGDDSGNNDSVVARTFLIRTKTEEDRNKLATAIQEYAPTS >Potri.001G111100.1.v4.1 pep chromosome:Pop_tri_v4:1:8940970:8948129:1 gene:Potri.001G111100.v4.1 transcript:Potri.001G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111100.v4.1 MKGAKRFAASDPVPDSNDTALRNKRIMEGSLFDIHRPEQSQQQSTPLPPLDAKRAASSQQYVRALNNQFASWVQTQLKNHPDELWEDGIRDYLAHASNIMEKFSDVVNWLKANAVKGGPVADSLRAEKKLVPEIKSNESKLLQEKTGFALPSTSTSFTSSWSSGVFSANQSSGGVSSSSQSSSLFSNGQSSGSLLSNNPSSVLSSNNQSSGFFSNIQSPGLLSNNQSSGFFSNIQSPGLLSNNQSSGAFSNSQSLGALSNSQTPFSFNQSSGTFSNSQSLGALPNTQTSFLFGGQSSIPANHNTADDADDENELQQPGSPSVKKSEEKGIVTVHEVKCKLYVKSSDPADKDTWKDKGPGQLSIKCREGIGKSTKESKPTIVVRNDVGKVLLNALLYPGIKTNPQKNSLVAIFHTAGDDSGNNDSVVARTFLIRTKTEEDRNKLATAIQEYAPTS >Potri.001G111100.5.v4.1 pep chromosome:Pop_tri_v4:1:8941021:8948131:1 gene:Potri.001G111100.v4.1 transcript:Potri.001G111100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111100.v4.1 MKGAKRFAASDPVPDSNDTALRNKRIMEGSLFDIHRPEQSQQQSTPLPPLDAKRAASSQQYVRALNNQFASWVQTQLKNHPDELWEDGIRDYLAHASNIMEKFSDVVNWLKANAVKGGPVADSLRAEKKLVPEIKSNESKLLQEKTGFALPSTSTSFTSSWSSGVFSANQSSGGVSSSSQSSSLFSNGQSSGSLLSNNPSSVLSSNNQSSGFFSNIQSPGLLSNNQSSGFFSNIQSPGLLSNNQSSGAFSNSQSLGALSNSQTPFSFNQSSGTFSNSQSLGALPNTQTSFLFGGQSSIPANHNTADDADDENELQQPGSPSVKKSEEKGIVTVHEVKCKLYVKVIDMSYCTY >Potri.018G056500.3.v4.1 pep chromosome:Pop_tri_v4:18:5593170:5598844:-1 gene:Potri.018G056500.v4.1 transcript:Potri.018G056500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G056500.v4.1 MSTERHGNSSSTSREENALFLDIVQEAPLFGHRKSRSIFGAIVYCALLAGYAVLAAGAPYIFRPIKHLVPSLLCSCDVVLLILTGIFQQYFVSQVQKIRLQGYYSFSQKLKHIVRLPFAITAYGTATMLLVMVWKPHISVLSVSTLLRINMLAEAICAASFMSVYIGYLHQYNSLNSEPDILKSLYSPLQHSSPLEGLRYHDGSRLSDQQMALLQYQRENLHFLSEEVLRLQECLSKYERSDDGSTPQVDLAHLLAAREQELRTLSAEMNQLQSELRLARSLIAERDSELQQVRTTNNQYVEENERLRAILGEWSARAAKLERALEGERMSNLELQKNFSTSRNQSHVSTETSEKH >Potri.007G095200.11.v4.1 pep chromosome:Pop_tri_v4:7:12032417:12035736:-1 gene:Potri.007G095200.v4.1 transcript:Potri.007G095200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G095200.v4.1 MASSLLISHSNFLSFSQSPTLFPFHLKTTAIKQRQRQHYSPPSRHLNIVSSSAASASLEALIFDCDGVILESEHLHRQAYNDAFAHFNVICSSSLPLNWSPDFYDVLQNRIGGGKPKMRWYFKEHGWPSSNLFEKPPEDDESRAKLIDTLQDWKTERYKEIIKSGTVEPRPGVLRLMDEAKAAGKKLAVCSAATKSSVILCLENLIGMERFQGLDCFLAGDDVKEKKPDPSIYVTASKMLGVSERDCLVVEDSVIGLQAATTAGMSCVITYTPSTADQDFKDAIAIYPDLSNVRLKDLELLLQNVVAAS >Potri.008G110700.2.v4.1 pep chromosome:Pop_tri_v4:8:7021817:7024090:-1 gene:Potri.008G110700.v4.1 transcript:Potri.008G110700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G110700.v4.1 MGDVLTELPPSSRFFQEDLDNFATSSPPLSSPSLLLTNLKPDKPLHPSLLIIALSSPSLYVFHHISSKTLIGSLVLPEIPFSANLIGPSLGDKSCNIYALNGADNLTLVVSVQCSVSAERSIAVAKLLIGDQIIPERVLILDSVQNQNFRGRLAPDEINVFKLETSAERKGLSDDGRGGSSLLKGLDYFPSGSVLDGLAAALLARCQMRKIRGTLCVSWPRHGVSVVAMVMSLLQRNVLHGFDLSSIGDSMDESSRFSSIKNYPFDSDMYT >Potri.004G068500.1.v4.1 pep chromosome:Pop_tri_v4:4:5819391:5821723:-1 gene:Potri.004G068500.v4.1 transcript:Potri.004G068500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068500.v4.1 MASGQKPKGLNRSCFLLIVIAGMERFAFKGVASNLVTYLTDVVMMSNSAAAKTVNNWCGFTSMLPLLVASLADSWDRYSTILSSSFLYVVGLVALTSTALAWARHPTNNISSSYLFWSLCLISLGQGGYNPSLQAFGADQIPNDDELPCTKDEQKSNKKSLFFQWWYFGVCGGSLAGVTVMSYIQDTFGWALGFAIPTIAMTASILLFWCGSRIYTYKQDDNISERSSLDIFRAIKGAVSKLMNSRITLSSNKPDVAELELQEKPLCQNSGNAKALMEKPVGDINYLVENGKVVLRLLPIWSMLLMFAVIFQLPATFFTKQGMTMKRNVGSSFKIPPATLQSSITVSIILLMPFYDALLIPLARLITRDEKGISVTERMGIGMVLSIIAMAIAALVETKRLEIGQKMEVVDQKMETEVPLSIFWLLPQYILLGISDIFTVVGMQEFFYSEVPVRMRTMGIALYTSVFGVGSFLSALLISLVEYFTSSTGGKSWFSDDMREARLDKYYWLLALLSVLSLIFYVVFCKCYVSRSSDLDNEKS >Potri.004G164400.1.v4.1 pep chromosome:Pop_tri_v4:4:18406549:18407553:-1 gene:Potri.004G164400.v4.1 transcript:Potri.004G164400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164400.v4.1 MAIRKSHKLPQTAVLKQILKRCSSLGKKHGYDDDGLPLDVPKGHFAVYVGENRSRYIVPISFLSHPEFQSLLQRAEEEFGFDHDMGLTIPCEEVVFRSLTSMLR >Potri.006G149400.2.v4.1 pep chromosome:Pop_tri_v4:6:12927163:12931163:-1 gene:Potri.006G149400.v4.1 transcript:Potri.006G149400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G149400.v4.1 MGRRKNNQTSTSLNNTQHQATKVCFDDDEEEENINLDDSIVATEKAIAMDDVTMSDPNAPFVDGGDDDKTSADYYFDSYSHFGIHEEMLKDGVRTKTYQNVIFQNKFLFKDKVVLDVGAGTGILSLFCAKAGAAHVYAVECSHIANMAKEIVESNGFSNVITVLKGKIEDIELPVAKVDIIISEWMGYFLLFENMLNSVLYARDKWLVSDGIVLPDKASLYLTAIEDAEYKEDKIEFWNNVYGFDMTCIKKQAMGEPLVDTVDQNQIVTNCQLLKTMDISKMVSGDTSFTVPFKLVAERDDYIHALVAYFDVSFTKCHKLMGFSTGPRSRATHWKQTVLYLEDVLTICQGEALIGSMTVAPNKKNPRDIDITINYSLNGRRCVASRTQHYKMR >Potri.010G134450.1.v4.1 pep chromosome:Pop_tri_v4:10:15022216:15023186:1 gene:Potri.010G134450.v4.1 transcript:Potri.010G134450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134450.v4.1 MYTDGNKRGADQKILGREQRERERERESRTVTSQNYHPKRNTPPPPPPNPPFLHFTQNQSFLSSSNIRPHKKISLISPLSKTAAITTAPRPTPSSSPSHGETISAAAH >Potri.008G051900.7.v4.1 pep chromosome:Pop_tri_v4:8:3044201:3046032:-1 gene:Potri.008G051900.v4.1 transcript:Potri.008G051900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G051900.v4.1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLMVMQKHGYIGEFEFVDDHRSGKIVVELNGRLNKCGVISPRFDVGVKEMETWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Potri.010G135633.1.v4.1 pep chromosome:Pop_tri_v4:10:15098487:15099216:-1 gene:Potri.010G135633.v4.1 transcript:Potri.010G135633.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135633.v4.1 MENTSMKWSISLIFFLITTGTLLKMPGADARAPARCPRMIDCSSVCQGFPYKCVDGECICGKEVLPRSPASIQL >Potri.001G336600.1.v4.1 pep chromosome:Pop_tri_v4:1:34486765:34489510:-1 gene:Potri.001G336600.v4.1 transcript:Potri.001G336600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G336600.v4.1 MLAIQKAIRRVHTSLDAPRLTRFTLQAPKNVEVEYANGSKFNLSAEFLRIHSPAVDGKVRSVGGEKVIVGRRHVGIMSAEPVGNYGVRMIFDDLHKTGIYTWDFFYHLGSNKFTLMRNYIKTLKKHGLSRDPPRRK >Potri.006G116800.1.v4.1 pep chromosome:Pop_tri_v4:6:9115559:9120661:-1 gene:Potri.006G116800.v4.1 transcript:Potri.006G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116800.v4.1 MTITIVSVKARQIFDSRGNPTVEADVTTSDGVLSRAAVPSGASTGVYEALELRDGGSDYLGKGVSKAVGNVNTIIGPALIGKDPTEQVAIDNLMVQQLDGTVNEWGWCKQKLGANAILAVSLAVCKAGAHAKGIPLYKHIANLAGNKNLVLPVPAFNVINGGSHAGNKLAMQEFMILPTGASSFKEAMKMGAEVYHHLKSVIKKKYGQDATNVGDEGGFAPNIQDNQEGLELLKTAIAKAGYTGKVVIGMDVAASEFYGADKTYDLNFKEENNDGSKKITGDALKDLYKSFVSEYPIVSIEDPFDQDDWEHYAKLTAEIGEKVQIVGDDLLVTNPKRVEKAIKEKACNALLLKVNQIGSVTESIEAVKMSKQAGWGVMASHRSGETEDTFIADLSVGLATGQIKTGAPCRSERLAKYNQILRIEEELGAEAVYAGANFRRPVEPY >Potri.002G096800.1.v4.1 pep chromosome:Pop_tri_v4:2:7058898:7060470:1 gene:Potri.002G096800.v4.1 transcript:Potri.002G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096800.v4.1 MGRSPCCDEIGLKKGPWTPEEDEKLVDHIKKHGQGSWRALPKLAGLNRCGKSCRLRWTNYLRPDIKRGKFSQDEEQTILHLHSIHGNKWSAIATHLPGRTDNEIKNFWNTHLKKKLIQMGFDPMTHQPLTDFFASLPQLMALANLREPMEHHPLDEHAMGLQAEALQLAKLQYLQCLLQSAASLIPSANSYDQNGITDLEVLNLLNSIPPIKENPVLNSSELENQGSYHFGNATSQLLHHPSLLSQLSAPQVPFSYQPSLNTEMGQAPFLTTILSQGDRNPSDSSWVLPSPTLAPQAATETSRSNPGDASSITSSYGGVTSWPELLFEGPIMHDIS >Potri.012G048200.1.v4.1 pep chromosome:Pop_tri_v4:12:4464729:4465733:1 gene:Potri.012G048200.v4.1 transcript:Potri.012G048200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048200.v4.1 MATPNAKTTAPKPTTAVKLDDTEELKVVFDQFDANGDGKISTSELGEVLKSTGSTYTTEDLRRVMEDVDTNKDGHIDLAEFAQLCRSPSTASAASELRDAFDLYDQNGDGLISTAELHQVLSRLGMKCKVGECVKMIKNVDSDGDGSVNFEEFQKMMAANMGS >Potri.013G042300.1.v4.1 pep chromosome:Pop_tri_v4:13:2907462:2915265:-1 gene:Potri.013G042300.v4.1 transcript:Potri.013G042300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G042300.v4.1 MASEALAARQKVQHFLTAACVGNLDLLKNIASQLDEGSGMSNTVADIKDANKRGALHFAAREGQTEVCKYLIEELKLDVDTKDEDGETPIIHAARQGHTATAKYLLDQGANPVMRSDVGATALHHSAGIGNNELMEYLLSKGAEVDSQSDAGTPLIWAAGHGQQDALKVLLEHHANPNAETEDGVTPLLSAVAAGSLTCLELLVQAGADVNVASGGATPLHIAADIGSPEILNCLLEAGADPNVTDEDGQKPIQVAAARGNRAAVEILFPLTTKVKSISKWTVDGILEHMQSEANKVEETQKVKEVDASGDTETLKRDLPEVSPEAKERAAEAKSRGDDAFKRNEYLTAVNDYAQAIDLDPTNAAVLSNRSLCWIRLGKPDQALADAKACRELKPDWPKAWYREGAALRLLQRFDEAANSFYEGVKLDPENKELVKSFREAVDAGRKFHGTDQGKP >Potri.001G147000.1.v4.1 pep chromosome:Pop_tri_v4:1:12138246:12139576:-1 gene:Potri.001G147000.v4.1 transcript:Potri.001G147000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G147000.v4.1 MEFLDEDARPRFLFQSRPIPSSLTNTQTQQKPFNKLFLFLSISLSSLLLLLSFLYLQTEPIRSLLFWVSISLLVGPIAPSHLTGGDIRVGEGPILEPLEQEPEFVEEKQARKKRSKPIRSEEIVKNPIQNVEITNGLSTKGKKAETLASGEKGLVRNEGEKEWNEEDSEILKKQMVKNPVGKPRRWEVIAEAFNGRYRVESVIKKAKELGEKKMDDSDSYARFLKNRKPLDTRAESGSQGLESDESGQEVGGGLGWTTGEDIALLNALKVFSKDVAMRWEKIAAAVPGKSKAACMKRVTELKKDFRSSKAGSES >Potri.002G170200.1.v4.1 pep chromosome:Pop_tri_v4:2:13107733:13110604:1 gene:Potri.002G170200.v4.1 transcript:Potri.002G170200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G170200.v4.1 MEDHKDPMPFQIFAKFLDGKTKVLNFKTPSSCTAQAIKQQIFQVTQIPIHYQRLVCRGFQLNDDAIITTPESTVYLLLRLLGGKGGFGSLLRGAATKAGQKKTNNFDACRDMSGRRLRHVNAEKRLEEWRAEEEDRRMEKMAEEFIKKKAKKGKKGVGDGEAEKYVAKYREDSAKCAAVVEEAVREVLGNGNGFRKRKGKGKGVVEGAEAKKLKIWMGKRKVDESDSEGMDEDSSDEENEKSVVLNNGSHSDSNKEVEGSSDSVTGNRDGECSGGASCSSEEEKEASSERSLKSNPCGEVALNKEDELVEAQILEETVAQNANVACLKTEEISETEALEAERKENVGPDSQCPDASSSGNGGIIESGLVIPEANGFSQSKPESNELVNEGNGDMEKPLIFDEFNSASELEVLGMERLKTELQVRGLKCGGTLQERAARLFLLKSTPLEKLPKKLLAKK >Potri.016G067501.1.v4.1 pep chromosome:Pop_tri_v4:16:4766066:4766218:1 gene:Potri.016G067501.v4.1 transcript:Potri.016G067501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G067501.v4.1 MLAVARLLTVALLLEFTVPCEVTGGNTNQCSEGNLFIKRWKSQVFLIFFC >Potri.006G175124.1.v4.1 pep chromosome:Pop_tri_v4:6:17915603:17918864:1 gene:Potri.006G175124.v4.1 transcript:Potri.006G175124.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G175124.v4.1 MEKLVCLTTKKVSEKIWHPIHLLRGGPGISHLFFANDVLLFAKASTAQMQIMTNVLADFCNAFGLKFNVDKSRVMCSRNVQWRIKHDISQLFPIKFASSPGKYLRFPLIQGRVKRPYFNFIIERIQSRQTDWKQRLLNKLGRDMLARAVISSTPTYAMQIFWLPQSICNGINKLIRNFIWGRSDGEGGLYLVNWESVSCPRRIGGLGIQDTRAANVALLGKASLKSF >Potri.015G142600.1.v4.1 pep chromosome:Pop_tri_v4:15:14833773:14836792:-1 gene:Potri.015G142600.v4.1 transcript:Potri.015G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142600.v4.1 MGAFASRFWFMLFPAKEYKIVVVGLDNAGKTTTLYKLHLGEVVTTHPTVGSNVEELVYKNIRFEVWDLGGQDRLRTSWATYYRGTHAVIAVIDSTDRDRISIMKDEIFRLLGHDDLQHSVILVFANKQDLKDAMTPAEITDALSLHSIKNHDWHIQACCALTGDGLHDGLGWIAQRVTGKAPS >Potri.014G184900.4.v4.1 pep chromosome:Pop_tri_v4:14:15054813:15057085:-1 gene:Potri.014G184900.v4.1 transcript:Potri.014G184900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184900.v4.1 MVVLPYTVAFLLLSFLQTVKIANSQSFIGINYGQVADNLPPPSSTAKLLQSTSIQMVRLYGSDPAIIKALANTGIGIVIGTANGDIPGLASDPNFAKSWINTNVLPFYPASNIILITVGNEVMTSNDQNLMNKLLPAMQNVQNALNDASLGGKIKVSTVHSMGVLKQSEPPSSGSFDPSYGDLMKGLLEFNSANGSPFAINPYPYFAYRSDTRPETLAFCLFQPNAGRMDGNTKIKYMNMFDAQVDAVYSALNSMGFKNVEIVVAETGWPYKGDDNEVGPSIENAKAYNGNLIAHLRSLVGTPLMPGESVDTYLFAFYDEDLIKPGPGSERSSGLFKTGVTMVYDVGLSTSSQAPAAAPQPSPAAATTTTTTTTTTITSNSNSSTSTSTSTSTGTGTSTSTSTNTVNISIGSSSGSGSKVYLIRIFNLGLLYGFMGLSLICLFFYDLQI >Potri.012G001000.4.v4.1 pep chromosome:Pop_tri_v4:12:1093149:1099351:-1 gene:Potri.012G001000.v4.1 transcript:Potri.012G001000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001000.v4.1 MFKRNFAKLNQAMGFQRSSNRQKVQPGVSDLSSNERAPNSCHPVINGSAVSDDANYSSWMVDHPSALTSFDQMMKDARGKKIVVFLDYDGTLSPIVNDPDRAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKGFVQLNNIYYAGSHGMDIMAPPRPVRSSDGKYHTVSLDRKGNEAVFQPAQKFLPSIQKILKELEEAIMKIQGARVENNRFCVSVHFRQVRDEDYGILEEKVKSILKHYPDFRLGWGKKVMEIRPSIEWDKGNALEYLLDTLGLGNCTDVLPVYIGDDRTDEDAFKVIQKRGQGYPIVVASSPKDTKASYSLHDPSEVLTFLLRLARWRKASSSSRSLSQIWGVGS >Potri.012G001000.5.v4.1 pep chromosome:Pop_tri_v4:12:1093252:1099335:-1 gene:Potri.012G001000.v4.1 transcript:Potri.012G001000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001000.v4.1 MFKRNFAKLNQAMGFQRSSNRQKVQPGVSDLSSNERAPNSCHPVINGSAVSDDANYSSWMVDHPSALTSFDQMMKDARGKKIVVFLDYDGTLSPIVNDPDRAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKGFVQLNNIYYAGSHGMDIMAPPRPVRSSDGKYHTVSLDRKGNEAVFQPAQKFLPSIQKILKELEEAIMKIQGARVENNRFCVSVHFRQVRDEDYGILEEKVKSILKHYPDFRLGWGKKVMEIRPSIEWDKGNALEYLLDTLGLGNCTDVLPVYIGDDRTDEDAFKVIQKRGQGYPIVVASSPKDTKASYSLHDPSEVLTFLLRLARWRKASSSSRSLSQIWGVGS >Potri.012G001000.7.v4.1 pep chromosome:Pop_tri_v4:12:1093253:1097134:-1 gene:Potri.012G001000.v4.1 transcript:Potri.012G001000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001000.v4.1 MFKRNFAKLNQAMGFQRSSNRQKVQPGVSDLSSNERAPNSCHPVINGSAVSDDANYSSWMVDHPSALTSFDQMMKDARGKKIVVFLDYDGTLSPIVNDPDRAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKGFVQLNNIYYAGSHGMDIMAPPRPVRSSDGKYHTVSLDRKGNEAVFQPAQKFLPSIQKILKELEEAIMKIQGARVENNRFCVSVHFRQVRDEDYGILEEKVKSILKHYPDFRLGWGKKVMEIRPSIEWDKGNALEYLLDTLGLGNCTDVLPVYIGDDRTDEDAFKVIQKRGQGYPIVVASSPKDTKASYSLHDPSEVLTFLLRLARWRKASSSSRSLSQIWGVGS >Potri.012G001000.6.v4.1 pep chromosome:Pop_tri_v4:12:1093222:1097130:-1 gene:Potri.012G001000.v4.1 transcript:Potri.012G001000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G001000.v4.1 MFKRNFAKLNQAMGFQRSSNRQKVQPGVSDLSSNERAPNSCHPVINGSAVSDDANYSSWMVDHPSALTSFDQMMKDARGKKIVVFLDYDGTLSPIVNDPDRAFMSDEMRAAVREVAKYFPTAIISGRSRDKVKGFVQLNNIYYAGSHGMDIMAPPRPVRSSDGKYHTVSLDRKGNEAVFQPAQKFLPSIQKILKELEEAIMKIQGARVENNRFCVSVHFRQVRDEDYGILEEKVKSILKHYPDFRLGWGKKVMEIRPSIEWDKGNALEYLLDTLGLGNCTDVLPVYIGDDRTDEDAFKVIQKRGQGYPIVVASSPKDTKASYSLHDPSEVLTFLLRLARWRKASSSSRSLSQIWGVGS >Potri.008G204200.1.v4.1 pep chromosome:Pop_tri_v4:8:14878515:14881067:-1 gene:Potri.008G204200.v4.1 transcript:Potri.008G204200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204200.v4.1 MEVSVIGSSSQAKICTSWSELSSYREIRFCNFQKRVSLLHNTKSTRWRNSGLSFTLNAVQSSPVRSDRRRRPGSSSKPKSLDGVRVFVGLPLDAVSDCNTVNHARAIAAGLRALKLLGIDGVELPVWWGIVEKESMGKYDWSGYLVLAEMIQNAGLKLHVSLCFHGSKQPKIPLPEWVSQIGDSEPSIYHADRSGNHYRECLSLAVDEVPVLNGKTPVQVYQEFCESFKSSFSHFFGSTITGVTVGLGPDGELRYPSHRQLASHSNILGVGEFQCYDKNMLNLLKVKAEATGNPLWGLGGPHDAPSYDQFPNSNHFFKDNGGSWDSPYGDFFLSWYSSELLSHGDRLLSLASTSFGDTSVTVHGKIPLMHSWYKTRSHPSELTAGFYNTVSRDGYEAVAEMFARNSCKMILPGMDLSDKHQPQESLSSPESILAQIRTVCRKHGVEISGQNSVVSKAPHGFEQIKKNISGESAVDLFTYQRMGADFFSPEHFPSFTHFIRNLNQLGMFSDDLPEEEEVVESVLLNSESNTHMQAA >Potri.008G190500.4.v4.1 pep chromosome:Pop_tri_v4:8:13380525:13386742:-1 gene:Potri.008G190500.v4.1 transcript:Potri.008G190500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190500.v4.1 MGACVSTPEGCARCRLSSSEKIKLRRKGKENTTVKRRSVPPCRDDRSDGHAPAAPPLRLPSSTNPTFEGNIEEPWFDSASKFESDCDEDFESFPDDVLSLNGFEGASLSSIASGRVANHGDYNNVNMQDSSFTDQMQQAGDLSGNSTHGPVSNFTKQSNIQVLNSDYVDSLSKSDGLSNEVKQPVFLDEITSVDENAGKEEGLLDICGTIPGNCLPCLASAVPSVEKRRSLSSSSPSARKKGALKPPFKWKEGNSSNTLFSSKTILHRPQAGSQVPFCPVEKKMLDCWSQIEPCSFKIRGKNYFRDKKKEFAPNCAAYYPFGVDVFLSPRKIDHIARFVNLPAINSAGKVPPILVVNVQIPLYPAAIFQSETDGEGANFVLYFKLSDSYSKELPTHFQESIRRLIDDEVERVKGFRVDTTIPFRERLKILGRVANVEDLHLSAAERKLMQAYNEKPVLSRPQHEFYLVQLHL >Potri.008G190500.1.v4.1 pep chromosome:Pop_tri_v4:8:13380525:13386742:-1 gene:Potri.008G190500.v4.1 transcript:Potri.008G190500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190500.v4.1 MGACVSTPEGCARCRLSSSEKIKLRRKGKENTTVKRRSVPPCRDDRSDGHAPAAPPLRLPSSTNPTFEAGNIEEPWFDSASKFESDCDEDFESFPDDVLSLNGFEGASLSSIASGRVANHGDYNNVNMQDSSFTDQMQQAGDLSGNSTHGPVSNFTKQSNIQVLNSDYVDSLSKSDGLSNEVKQPVFLDEITSVDENAGKEEGLLDICGTIPGNCLPCLASAVPSVEKRRSLSSSSPSARKKGALKPPFKWKEGNSSNTLFSSKTILHRPQAGSQVPFCPVEKKMLDCWSQIEPCSFKIRGKNYFRDKKKEFAPNCAAYYPFGVDVFLSPRKIDHIARFVNLPAINSAGKVPPILVVNVQIPLYPAAIFQSETDGEGANFVLYFKLSDSYSKELPTHFQESIRRLIDDEVERVKGFRVDTTIPFRERLKILGRVANVEDLHLSAAERKLMQAYNEKPVLSRPQHEFYLGHNYFEIDIDMHRFSYISRKGFEAFLDRLKICVLDVGLTIQGNKVEELPEQILCCIRLNGIDYMNYHQLFSEEPTDSLSG >Potri.008G190500.2.v4.1 pep chromosome:Pop_tri_v4:8:13380525:13386742:-1 gene:Potri.008G190500.v4.1 transcript:Potri.008G190500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190500.v4.1 MGACVSTPEGCARCRLSSSEKIKLRRKGKENTTVKRRSVPPCRDDRSDGHAPAAPPLRLPSSTNPTFEGNIEEPWFDSASKFESDCDEDFESFPDDVLSLNGFEGASLSSIASGRVANHGDYNNVNMQDSSFTDQMQQAGDLSGNSTHGPVSNFTKQSNIQVLNSDYVDSLSKSDGLSNEVKQPVFLDEITSVDENAGKEEGLLDICGTIPGNCLPCLASAVPSVEKRRSLSSSSPSARKKGALKPPFKWKEGNSSNTLFSSKTILHRPQAGSQVPFCPVEKKMLDCWSQIEPCSFKIRGKNYFRDKKKEFAPNCAAYYPFGVDVFLSPRKIDHIARFVNLPAINSAGKVPPILVVNVQIPLYPAAIFQSETDGEGANFVLYFKLSDSYSKELPTHFQESIRRLIDDEVERVKGFRVDTTIPFRERLKILGRVANVEDLHLSAAERKLMQAYNEKPVLSRPQHEFYLGHNYFEIDIDMHRFSYISRKGFEAFLDRLKICVLDVGLTIQGNKVEELPEQILCCIRLNGIDYMNYHQLFSEEPTDSLSG >Potri.008G190500.3.v4.1 pep chromosome:Pop_tri_v4:8:13380900:13386597:-1 gene:Potri.008G190500.v4.1 transcript:Potri.008G190500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190500.v4.1 MGACVSTPEGCARCRLSSSEKIKLRRKGKENTTVKRRSVPPCRDDRSDGHAPAAPPLRLPSSTNPTFEAGNIEEPWFDSASKFESDCDEDFESFPDDVLSLNGFEGASLSSIASGRVANHGDYNNVNMQDSSFTDQMQQAGDLSGNSTHGPVSNFTKQSNIQVLNSDYVDSLSKSDGLSNEVKQPVFLDEITSVDENAGKEEGLLDICGTIPGNCLPCLASAVPSVEKRRSLSSSSPSARKKGALKPPFKWKEGNSSNTLFSSKTILHRPQAGSQVPFCPVEKKMLDCWSQIEPCSFKIRGKNYFRDKKKEFAPNCAAYYPFGVDVFLSPRKIDHIARFVNLPAINSAGKVPPILVVNVQIPLYPAAIFQSETDGEGANFVLYFKLSDSYSKELPTHFQESIRRLIDDEVERVKGFRVDTTIPFRERLKILGRVANVEDLHLSAAERKLMQAYNEKPVLSRPQHEFYLVQLHL >Potri.008G220900.1.v4.1 pep chromosome:Pop_tri_v4:8:18428461:18429703:1 gene:Potri.008G220900.v4.1 transcript:Potri.008G220900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G220900.v4.1 MLCYDANVKYLWSFILFLLVVAPDEVVAADRFGSPEPDTPTHLNFFRSALRGRQRVLSCADDPRVCVDRGKNPWGGSTCCFRKFCKDTLRDSDNCGACGQTCAYGFVCCDGKCVDIRNDPRHCGSCFQECPGQGRCSFAMCDYSG >Potri.017G131800.1.v4.1 pep chromosome:Pop_tri_v4:17:13440559:13442526:-1 gene:Potri.017G131800.v4.1 transcript:Potri.017G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131800.v4.1 MLLFYLFLLLTSCSLSAQETQHKNDSLSFSFPLTSLPRSPQASPNFYPSFISQTKKASTLKSSSFSSSPYNYRSGFKYSMILLVSLPIGTPPQTQQMILDTGSQLSWIQCHKKVPRKPPPSSVFDPSLSSSFSVLPCNHPLCKPRIPDFTLPTSCDQNRLCHYSYFYADGTLAEGNLVREKITFSRSQSTPPLILGCAEESSDAKGILGMNLGRLSFASQAKLTKFSYCVPTRQVRPGFTPTGSFYLGENPNSGGFRYINLLTFSQSQRMPNLDPLAYTVAMQGIRIGNQKLNIPISAFRPDPSGAGQTMIDSGSEFTYLVDEAYNKVREEVVRLVGARLKKGYVYGGVSDMCFNGNAIEIGRLIGNMVFEFDKGVEIVVEKERVLADVGGGVHCVGIGRSEMLGAASNIIGNFHQQNIWVEFDLANRRVGFGKADCSRSV >Potri.010G243200.1.v4.1 pep chromosome:Pop_tri_v4:10:21986031:21987137:1 gene:Potri.010G243200.v4.1 transcript:Potri.010G243200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243200.v4.1 MNSGSPLESSTPRFGGFALTFGISMGVLSAIAIAILAYYFCTRKPLPAGHSNHDGSLSIDDQDSVVIEIGLDEATLNTYPKLVYSEAKEKLGKGDDSVAASCCSICLADYKDSDLLRLLPDCDHLFHAQCIDPWLKLHTTCPMCRNSPVRTPSNVTETASREPRRVFFDPWFVQFMH >Potri.010G051200.1.v4.1 pep chromosome:Pop_tri_v4:10:8299050:8303168:-1 gene:Potri.010G051200.v4.1 transcript:Potri.010G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051200.v4.1 MKLQGLSSIRTIKAMKTGLCSAQFSSHSQGLPKVKLSDEELMSKVLNRRWMLHIPDTEIHQIKLSLSQGRNRDGPSGNLSFLNNTKPYFGDDLMEKGSEDPFFYVVRDDLLHPLVNGNKARKLDALLPLLVDYSVTDVVTCGGCQSAHAAAVAVSCAERGLKSHLLLRGEQPEILTGYNLISTIYGDITYVPRSIYAHRMNMLKSHADLVASNTGHILCCNDILESSLSAQSSTSSSGHIDAHGNTENHPRKVVIINEGAGDVVALLGVIRLVQYLCQSHLLGKERQIKLVVDAGTGTTAIGLGIGAQCLGLPWEVTAVMLADTIDAYRQQEQKLISDFRTRFGFHLTDHCLNEVDGVVHWVARCHQRKFGNVLEGETETCQQIAQQTGILVDPVYTLAAWEMATQLSRKEMEGGARVVMLHTGGTLGLFGLAQRYKSYFRKLKEGLSSVKVALH >Potri.006G062700.2.v4.1 pep chromosome:Pop_tri_v4:6:4467174:4471104:1 gene:Potri.006G062700.v4.1 transcript:Potri.006G062700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062700.v4.1 MFCYLIHLFWLLNRCFRVIFIRLDPWKSRRPCIPGSMAAAAEITSGNNINAATDSVRPGQHHRNIEIVVAPQQPLPTGDGVERRRHLQLYHAALSGDWETAEGIYESFRGEVNARITKRGETALHIAAAAEHTHFVKQLVGKMSIEALTYKNEAGNTAFCFAAISGVEALAKVMMETGRDLAMTRGRENLLPIYMAALLGHRGMVSYLYDETNEQLTDSDRITLLVALINSDIYDVALRILKAHPGLAYARDEHQLTALHALAQKSCMPSNVVDQSPLGFWNKCLDPFSGFKLAQMKKLMHKQALDLIQYLWEQVVLLDDSTISSQIGKPWPLIFTAAERGNLDLLTILIRLYPELIFKVDQNTYSIFHIAILNRHEDILKMIYQIGSIKNVMTIYKDREGNNMLHLAAKVLESPSRLNVIPGAALQLQRELLWFEEVKKVVQPRHIEEKNIHGKTPGALFIEQHKDLMKEGEQWMRDTADSCMLVATLIATVVFAAAFTLPGGNIQDKGTPVFLEKPAFKFFVISDAISLVTSASSLITFLSIRTSRYAEQNFLWSLPNRLIIGLTTLFISIGAMMGAFVAAFFLVFKDKLQQFSIPIVVVASLPVIFFIWQHYRLFLDMIHSTYTSRSLFKPNKSPLFSKRVKPKVS >Potri.012G145600.1.v4.1 pep chromosome:Pop_tri_v4:12:15577700:15581258:-1 gene:Potri.012G145600.v4.1 transcript:Potri.012G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145600.v4.1 MQSLSSLRSALCSIPASFPLPSHGTFNSISRHAASPGLVGSELNFSRTTFHPRSKVNCISTPSEKAAFTHEDHEKKCPLVKMCGITSARDAVMAAEAGANFIGMILWPNSKCSISLSVAKEISKVAREYEAAPVGVFVDDDADKILRAADAADLEFVQLHGKGSRAAFLDLKGKNQIVYVLHANENGNLLNQISDEECSLVDWILVDSATGGSGKGFNWTHFELPPIKSKNGWLLAGGIKPENVSEAVSLLKPHGVDVSSGICGSDGINKDQSRIVSFMNAVHSVRY >Potri.012G145600.4.v4.1 pep chromosome:Pop_tri_v4:12:15578615:15581258:-1 gene:Potri.012G145600.v4.1 transcript:Potri.012G145600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145600.v4.1 MQSLSSLRSALCSIPASFPLPSHGTFNSISRHAASPGLVGSELNFSRTTFHPRSKVNCISTPSEKAAFTHEDHEKKCPLVKMCGITSARDAVMAAEAGANFIGMILWPNSKCSISLSVAKEISKVAREYEAAPVGVFVDDDADKILRAADAADLEFVQLHGKGSRAAFLDLKGKNQIVYVLHANENGNLLNQISDEECSLVDWILVDSATGGRMFNL >Potri.012G145600.2.v4.1 pep chromosome:Pop_tri_v4:12:15577700:15581258:-1 gene:Potri.012G145600.v4.1 transcript:Potri.012G145600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145600.v4.1 MQSLSSLRSALCSIPASFPLPSHGTFNSISRHAASPGLVGSELNFSRTTFHPRSKVNCISTPSEKAAFTHEDHEKKCPLVKMCGITSARDAVMAAEAGANFIGMILWPNSKCSISLSVAKEISKVAREYEAAPVGVFVDDDADKILRAADAADLEFVQLHGKGSRAAFLDLKGKNQIVYVLHANENGNLLNQISDEECSLVDWILVDSATGGRSRLDYLKVSRYD >Potri.012G145600.3.v4.1 pep chromosome:Pop_tri_v4:12:15577700:15581258:-1 gene:Potri.012G145600.v4.1 transcript:Potri.012G145600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145600.v4.1 MQSLSSLRSALCSIPASFPLPSHGTFNSISRHAASPGLVGSELNFSRTTFHPRSKVNCISTPSEKAAFTHEDHEKKCPLVKMCGITSARDAVMAAEAGANFIGMILWPNSKCSISLSVAKEISKVAREYEAAPVGVFVDDDADKILRAADAADLEFVQLHGKGSRAAFLDLKGKNQIVYVLHANENGNLLNQISDEECSLVDWILVDSATGGRMFNL >Potri.002G043600.1.v4.1 pep chromosome:Pop_tri_v4:2:2852196:2855416:-1 gene:Potri.002G043600.v4.1 transcript:Potri.002G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043600.v4.1 MVYMASWDEFVERSVQLFRADPDSTRYVMKYRHCDGKLVLKVTDNKECLKFKTDQAQDAKKMEKLNNQFFTLMSRGPDADLSEVTGKEQTEAQPGKKGRGRKQ >Potri.002G179800.15.v4.1 pep chromosome:Pop_tri_v4:2:14117612:14123366:1 gene:Potri.002G179800.v4.1 transcript:Potri.002G179800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179800.v4.1 MGKVVLSSSSEEAGGMVVELETEKKDIGSSEVVRWEKFLPKMVLRVLLVEADDSTRQIIVALLRKCGYRVSAVPDGLMAWETLKERPHSIDLILTEVELPLISGYAFLALVMEHDVCKNIPVIMMSSHDSISVVLKCMLKGSADFLVKPVRKNELRNLWQHVWRRQTQTAGKIPRNSNRVEASSENNAASSDFATSLQKNKDCSEKGSDAQGLSYLKYRSASNLSDADNEKYEDYAKLNKSPVNPESKTGERSNRTRPDREPYHGAYNPTASRLVEEHACAKSAIHDENSRPENDREHANSSFGHDDVLAETSSGAIDLIGSFNNQPKHTYAYSSLHDATNKFEFPPLLELSLRRLYPSSSKNQGLDERHALNHSNSSAFSLYNSKTLQSLFPTSASNGSDSKEEASKSPDPSSNQLAQNVGTLSQIHDASLSGNQEIMTTPVIGQSGKVELAHPSPQLGLIPVLGTRLDNISTGCGHVFSPLCYTQSNAAWNPNLAGRQQSPFPTTASVHSNPEVLDSKQNHKCYVDQNDLQQNNREPVDEMRHDSPAAGQSTSSSLCNRVANNNSSSAYESFGSGNDVNASSVGTAEKSMAQENLNNGGNFNHDGFGGSDSYRSSQREAALTKFRLKRKDRCYEKRVRYQSRKRLAEQRPRVKGQFVRQAQNDCPVANG >Potri.002G179800.2.v4.1 pep chromosome:Pop_tri_v4:2:14117609:14123266:1 gene:Potri.002G179800.v4.1 transcript:Potri.002G179800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179800.v4.1 MGKVVLSSSSEEAGGMVVELETEKKDIGSSEVVRWEKFLPKMVLRVLLVEADDSTRQIIVALLRKCGYRVSAVPDGLMAWETLKERPHSIDLILTEVELPLISGYAFLALVMEHDVCKNIPVIMMSSHDSISVVLKCMLKGSADFLVKPVRKNELRNLWQHVWRRQTQTAGKIPRNSNRVEASSENNAASSDFATSLQKNKDCSEKGSDAQGLSYLKYRSASNLSDADNEKYEDYAKLNKSPVNPESKTGVFVAERSNRTRPDREPYHGAYNPTASRLVEEHACAKSAIHDENSRPENDREHANSSFGHDDVLAETSSGAIDLIGSFNNQPKHTYAYSSLHDATNKFEFPPLLELSLRRLYPSSSKNQGLDERHALNHSNSSAFSLYNSKTLQSLFPTSASNGSDSKEEASKSPDPSSNQLAQNVGTLSQIHDASLSGNQEIMTTPVIGQSGKVELAHPSPQLGLIPVLGTRLDNISTGCGHVFSPLCYTQSNAAWNPNLAGRQQSPFPTTASVHSNPEVLDSKQNHKCYVDQNDLQQNNREPVDEMRHDSPAAGQSTSSSLCNRVANNNSSSAYESFGSGNDVNASSVGTAEKSMAQENLNNGGNFNHDGFGGSDSYRSSQREAALTKFRLKRKDRCYEKRVRYQSRKRLAEQRPRVKGQFVRQAQNDCPVANG >Potri.002G179800.9.v4.1 pep chromosome:Pop_tri_v4:2:14117543:14123501:1 gene:Potri.002G179800.v4.1 transcript:Potri.002G179800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179800.v4.1 MGKVVLSSSSEEAGGMVVELETEKKDIGSSEVVRWEKFLPKMVLRVLLVEADDSTRQIIVALLRKCGYRVSAVPDGLMAWETLKERPHSIDLILTEVELPLISGYAFLALVMEHDVCKNIPVIMMSSHDSISVVLKCMLKGSADFLVKPVRKNELRNLWQHVWRRQTQTAGKIPRNSNRVEASSENNAASSDFATSLQKNKDCSEKGSDAQSSCTTPCLEAESAHMQNIQGLSYLKYRSASNLSDADNEKYEDYAKLNKSPVNPESKTGERSNRTRPDREPYHGAYNPTASRLVEEHACAKSAIHDENSRPENDREHANSSFGHDDVLAETSSGAIDLIGSFNNQPKHTYAYSSLHDATNKFEFPPLLELSLRRLYPSSSKNQGLDERHALNHSNSSAFSLYNSKTLQSLFPTSASNGSDSKEEASKSPDPSSNQLAQNVGTLSQIHDASLSGNQEIMTTPVIGQSGKVELAHPSPQLGLIPVLGTRLDNISTGCGHVFSPLCYTQSNAAWNPNLAGRQQSPFPTTASVHSNPEVLDSKQNHKCYVDQNDLQQNNREPVDEMRHDSPAAGQSTSSSLCNRVANNNSSSAYESFGSGNDVNASSVGTAEKSMAQENLNNGGNFNHDGFGGSDSYRSSQREAALTKFRLKRKDRCYEKRVRYQSRKRLAEQRPRVKGQFVRQAQNDCPVANG >Potri.002G179800.1.v4.1 pep chromosome:Pop_tri_v4:2:14117553:14123267:1 gene:Potri.002G179800.v4.1 transcript:Potri.002G179800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179800.v4.1 MGKVVLSSSSEEAGGMVVELETEKKDIGSSEVVRWEKFLPKMVLRVLLVEADDSTRQIIVALLRKCGYRVSAVPDGLMAWETLKERPHSIDLILTEVELPLISGYAFLALVMEHDVCKNIPVIMMSSHDSISVVLKCMLKGSADFLVKPVRKNELRNLWQHVWRRQTQTAGKIPRNSNRVEASSENNAASSDFATSLQKNKDCSEKGSDAQSSCTTPCLEAESAHMQNIQGLSYLKYRSASNLSDADNEKYEDYAKLNKSPVNPESKTGVFVAERSNRTRPDREPYHGAYNPTASRLVEEHACAKSAIHDENSRPENDREHANSSFGHDDVLAETSSGAIDLIGSFNNQPKHTYAYSSLHDATNKFEFPPLLELSLRRLYPSSSKNQGLDERHALNHSNSSAFSLYNSKTLQSLFPTSASNGSDSKEEASKSPDPSSNQLAQNVGTLSQIHDASLSGNQEIMTTPVIGQSGKVELAHPSPQLGLIPVLGTRLDNISTGCGHVFSPLCYTQSNAAWNPNLAGRQQSPFPTTASVHSNPEVLDSKQNHKCYVDQNDLQQNNREPVDEMRHDSPAAGQSTSSSLCNRVANNNSSSAYESFGSGNDVNASSVGTAEKSMAQENLNNGGNFNHDGFGGSDSYRSSQREAALTKFRLKRKDRCYEKRVRYQSRKRLAEQRPRVKGQFVRQAQNDCPVANG >Potri.002G251600.1.v4.1 pep chromosome:Pop_tri_v4:2:24097439:24103354:1 gene:Potri.002G251600.v4.1 transcript:Potri.002G251600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G251600.v4.1 MSGYVGILVSDPWLQNQFTQVELRSLKTHFMSMRRESGKLTLRDLASRMSRLKVVGENLTEEDRAACIQDLYQNLDEEVDFEFFLKVYLKLHAHASARTGSVAKNSSAFLKAATTTLLHTISESEKASYVAHINNYLGEDDFLKKYLPIDPSTNDLFEIAKDGVLLCKLINVAVAGTIDERAINTKRILNPWERNENHTLCLNSAKAIGCTVVNIGTQDFIEGRRHLVLGMISQIIKIQLLADLNLKKTPQLLELVDDSKDVEELMSLPPEKILLRWMNFLLKKAGYKKIVTNFSSDVKDAEAYAHLLNVLAPEYSNPSTLTVKDPLTRAKLVLEHADRMGCKRYLTAKDIVEGSPNLNLAFVAHIFQHRNGLSTQTKQISFLETLPDDTQISREERAFRFWMNSLGNSTYIDNVFEDLRNGWLLLETLDKVSPGIVNWKVANKPPIKLPFRKVENCNQVVKIGKQLKFSLVNIAGNDIVQGNKKLILAYLWQLMRYNILQLLKNLRFHSHGKEITDADILQWANTKVSNSGTQSRMKSFKDKSLSDGIFFLELLSAVQPRAVNWSLVTKGVTDDEKKMNATYIISIARKLGCSIFLLPEDLTEVNQKMILTLTASIMYWYLKQPVDQDKSSGTSDSETISNSTLDDSASESSIEENGNL >Potri.011G101900.1.v4.1 pep chromosome:Pop_tri_v4:11:12972302:12973662:-1 gene:Potri.011G101900.v4.1 transcript:Potri.011G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G101900.v4.1 MEARVSDLGLATLMEPNKTHVSTLVAGTSGYLAPEYFETGKVTVKVDVYSFGVVLLELLTGMKPTDEEFFEEGTKLVTWVKAIVEDKREEYVLDSSLKCSPTEEINKVFRIAFMCLEPEPSKRPTMDEIVKMLERAKSERVV >Potri.014G142200.3.v4.1 pep chromosome:Pop_tri_v4:14:9668817:9674414:1 gene:Potri.014G142200.v4.1 transcript:Potri.014G142200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142200.v4.1 MAMAMTIVSYVSANSTTTPLSQDSSSSSSSTPSPRQTKVILPKKKPLKWSTGIAPGEYGGPPTTTKLRKYWGGEGEDPLTSDDFIWNKDFMPRMKRLLHNDPVDPFLQTSPAKEESSGFLSLNRVMTLDSVEVDLSKELAQRPKPVIEQPVEATTKVRSGSSPKWRMAPTRREQDKWDKATKASTSGSDVMFRELRRPQGDPEVLAAQSREQYFKLKNNLQILTLGIGSVGLVSTYVSYSPEIAASFGAGFIGSLVYIRMLGNSVDSLADGAKGIVKYVNYPLNIYVSCL >Potri.014G142200.1.v4.1 pep chromosome:Pop_tri_v4:14:9668695:9674421:1 gene:Potri.014G142200.v4.1 transcript:Potri.014G142200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142200.v4.1 MAMAMTIVSYVSANSTTTPLSQDSSSSSSSTPSPRQTKVILPKKKPLKWSTGIAPGEYGGPPTTTKLRKYWGGEGEDPLTSDDFIWNKDFMPRMKRLLHNDPVDPFLQTSPAKEESSGFLSLNRVMTLDSVEVDLSKELAQRPKPVIEQPVEATTKVRSGSSPKWRMAPTRREQDKWDKATKASTSGSDVMFRELRRPQGDPEVLAAQSREQYFKLKNNLQILTLGIGSVGLVSTYVSYSPEIAASFGAGFIGSLVYIRMLGNSVDSLADGAKGIVKGAAAQPRLLVPVLLVMIYNRWNGILVPDYGFMHLELIPMLVGFFSYKIATFVQAIDEALAPLVRKT >Potri.006G058400.1.v4.1 pep chromosome:Pop_tri_v4:6:4115849:4118858:1 gene:Potri.006G058400.v4.1 transcript:Potri.006G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058400.v4.1 MVTVNIGMLHYVIDHIYGAFMHRTKISPPFFSRGWGGSKLELLERMIKDLFPEVEGQNWPPTLIQPIWRTVWETRTACLREGVFRTTCDEQLISALPPESHTARVAFLAPKCVPPQKTACVVHLAGTGDHTFDRRLRLGGPLLKQNIATMVLESPFYGRRRPMLQCGAKLLCVSDLLLLGRATIEETRSLLHWLDSEGGFGKMGVCGLSMGGVHAAMVGSLHPTPVATLPFLSPHSAVVAFCDGILRYGTAWEALREDLAVQKTVMTLEEARQRMRNVLSLTDVTRFPIPKNPNAVIFVAATDDGYIPKHSVLELQKAWPGSEVRWVTGGHVSSFLLHNDEFRRAIVDGLNRLEWKEPPL >Potri.006G154500.1.v4.1 pep chromosome:Pop_tri_v4:6:13842963:13846721:1 gene:Potri.006G154500.v4.1 transcript:Potri.006G154500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154500.v4.1 MVELLLSYHWLIKLLAISFLALVLLLKIAVLLWWRPRRIEHHFAKQGIRGPPYRFFIGNVKELVEMMLKASSQPMPFSHNILPRVLSFYHHWKKIYGATFLVWFGPTVRLTVSDPDLIREIFTSKSEFYEKIEAHPLVKQLEGDGLLSLKGEKWAHHRKIIAPTFHMENLKLLVPVVAKSVTDMLEQWLTVSNSDEVEIEVSEWFQTLTEEVITRTAFGSSYEDGKAIFRLQAQQMVLAAVAFQRVLIPGYRFFPTKRNVNSWKLDKEIRRSLMKLVERRREGSSIINETHRHGPKDLLGLMIQASNSSKDVTVHDIVEECKSFFFAGKQTTSNLLTWTTILLAMHPHWQVQAREEVLRVCGSRDIPTKDDVVKLKTLTMILNESLRLYPPTIATIRRSKADVELGGYKIPRGTELLIPILALHHDQSIWGHDANEFNPRRFSNGVARAAKHHVAFIPFGLGVRTCIGQNLAILQAKLTLAIILQRFSFRLATSYQHAPTVLMLLYPQHGAPIIFQRLPNP >Potri.003G085700.1.v4.1 pep chromosome:Pop_tri_v4:3:11193024:11193760:-1 gene:Potri.003G085700.v4.1 transcript:Potri.003G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085700.v4.1 MVFQSSKRPCFIEEDDGLASLADMGAGFSGNHHPFFSRSLCYARRCSFRNLSSLVPSPRSARFCDSRFDDHQPHFLEACFLCKKPLGDNRDIFMYRGDMPFCSEECRQEQIDIDEAKEKNWNLSSSMKALRKNDQKKSTSPTKAQDYSSRAGTVAAG >Potri.001G288401.1.v4.1 pep chromosome:Pop_tri_v4:1:30072967:30073611:1 gene:Potri.001G288401.v4.1 transcript:Potri.001G288401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G288401.v4.1 MEISKNSLAIAFLISLAIIIPLSLAQDSPQDYLNAHANARAQVGVGNNVWDNNVAAYASDYVKRLTGDCRLVHSGGPYGENLAWSSGDLTGSDAVKLWVDEKSNYDYNSDSCVGGECRHYTQVIWRNSFRLGCAKARCSNGGTLISCNYAPSGNFVNERPY >Potri.019G125000.1.v4.1 pep chromosome:Pop_tri_v4:19:14911290:14914005:1 gene:Potri.019G125000.v4.1 transcript:Potri.019G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125000.v4.1 MAVSVFKMLGFFVGFFLIVGLVSSAKFDELFQPSWALDHFAYEGELLRLKLDNYSGAGFQSKSKYMFGKVTVQIKLVEGDSAGTVTAFYMSSEGPYHNEFDFEFLGNTTGEPYLVQTNVYVNGVGNKEQRLNLWFDPTKDFHSYSLLWNQRQVVFLVDETPIRLHTNMENKGIPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFVASYKGFEIDACECPVSVAAADNAKKCSSSGEKRYWWDEPTLSELNAHQSHQLLWVKANHMVYDYCSDTARFPVTPLECLHHSHRHH >Potri.019G125000.4.v4.1 pep chromosome:Pop_tri_v4:19:14911846:14914055:1 gene:Potri.019G125000.v4.1 transcript:Potri.019G125000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G125000.v4.1 MFGKVTVQIKLVEGDSAGTVTAFYMSSEGPYHNEFDFEFLGNTTGEPYLVQTNVYVNGVGNKEQRLNLWFDPTKDFHSYSLLWNQRQVVFLVDETPIRLHTNMENKGIPFPKDQAMGVYSSIWNADDWATQGGRVKTDWSHAPFVASYKGFEIDACECPVSVAAADNAKKCSSSGEKRYWWDEPTLSELNAHQSHQLLWVKANHMVYDYCSDTARFPVTPLECLHHSHRHH >Potri.001G106500.1.v4.1 pep chromosome:Pop_tri_v4:1:8544526:8546040:1 gene:Potri.001G106500.v4.1 transcript:Potri.001G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106500.v4.1 MAQAIGKIASAFIQEELKTGSAYEYMFLLPNEYAKLLKFKPQVPDGAAGMCSEILACSAEHGLERKFMIESFVKKSPPTTCPATMPPPFKPGVDFVHHYKKPEV >Potri.008G029100.1.v4.1 pep chromosome:Pop_tri_v4:8:1541790:1546741:-1 gene:Potri.008G029100.v4.1 transcript:Potri.008G029100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029100.v4.1 MGAKQENGDPENILPPIEQELSHELDTKVKKYLRGEGANLEVLKDKKLKGQLSVREDLYGISAKAAAKAEKWLMPSKGGYLEAEGIEKTWRIKQDAINHEVDISSAKNQYDIVLPDFGPYTLDFTSSGRYMAAAGRKGHLAVVDMKNMSLIKEMQVRETVRDIVFLHNELFFAAAQKKYPYIYNRDGVELHCLKEHGAVTRLQFLKNHFLLVSINKFGQLRYQDVTMGEMISNFRTGLGRTDVMLVNPLNGVVALGHSGGTVSMWKPTSAVPLVKMLCHPGPITAMAFHPNGNLMATSGKEKKIKIWDLRKFEVLQIIPGHAKTLDFSQKGLLAAGTGSYVQILGDFSGSRIYSRYMGHSIVKGYQIGKVAFRPYEDVLGIGHSMGWSSILIPGSGEPNFDTWLANPFETSKQRREKEIHSLLDKLPPETIMLDPSKIGTVKSAKKKDKPTKKEKEAEMEAVVEAAKGTAIRKKTKGKNKPSKIAVKKKKIVETAKRPFLEKQMEEENVAKKKQKISEEISLPTALQRFARKKATA >Potri.016G022900.1.v4.1 pep chromosome:Pop_tri_v4:16:1259038:1264203:-1 gene:Potri.016G022900.v4.1 transcript:Potri.016G022900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G022900.v4.1 MEEENPVAKHQDSTSGSPDHSQVCSRKPSLSSLEIPARSLETEFTKIEIAQSPSSAKPGLPPRPSSAKFKSTVKSLLPQRSLKAKNLIQDGEKTVLIVPDTPPSDSPAAKPSTSRSFSLNKVLFPLKSTNSLPVTPSANSDPEALQERNVNSCSDYDKVEVQHHIRRSLSVPVNIKVRSLRRTDSGGGLFRVVSATPRPVTADSTSTNDASTTEIAIEDDGEDIPEDEAVCRICLVELSEGGDAFKMECSCKGELALAHQQCAVKWFSIKGNKTCDVCKQDVQNLPVTLLKIHNPQATGRRPLPAPQQREVARYRVWQDVPVLVMVSMLAYFCFLEQLLVSDLGPRALAISLPFSCVLGLLSSMIATTMVNRSYIWAYASFQFAVVILFAHIFYTVLNVNPILSVLLSSFTGFGIAISTNSLLVEYLRWRSSRLLQYSHQQNNRAVQQAQQLQQQQSTQTWHQEHQQPRQQSVEDSNVGSTDSTRQREATIPNS >Potri.003G107501.2.v4.1 pep chromosome:Pop_tri_v4:3:13024174:13024601:1 gene:Potri.003G107501.v4.1 transcript:Potri.003G107501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G107501.v4.1 MCFLFTHLSSQKLILQKEEKIVLVWLDTPNNQAVYGLVHKLGSLVVRLVNLPFKESSHATFSRSASGLFLSSFVLLTLF >Potri.009G144100.3.v4.1 pep chromosome:Pop_tri_v4:9:11465413:11466572:-1 gene:Potri.009G144100.v4.1 transcript:Potri.009G144100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144100.v4.1 MAEEQHRCQEPRLCVNNCGFFGSPATQNLCSKCYGDLRQSQPLNQLLAPSSSASVSSFSSPTVDVIKNQIAPVLVVEGDEKGEFKAEPTVVVPQQKPNRCLTCRRRVGLTGFNCRCGMVFCGTHRYPEQHDCEFDFKSLGKEQIAKANPVVKGEKLQRI >Potri.002G162500.1.v4.1 pep chromosome:Pop_tri_v4:2:12430328:12436838:-1 gene:Potri.002G162500.v4.1 transcript:Potri.002G162500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162500.v4.1 MSSLESSSGGDPNIKGIPTHGGRYVQYNVYGNLFEVSMKYVPPIRPVGRGAYGIVCAAVNAETREEVAIKKIGNAFDNRIDAKRTLREIKLLRHMDHENVIAIKDIIRPPQTENFNDVYIVYELMDTDLHQIIRSNQQLTDDHCRYFLYQLLRGLKYVHSANVLHRDLKPSNLFLNANCDLKIGDFGLARTTSETDFMTEYVVTRWYRAPELLLNCSEYTAAIDIWSVGCILGEILTRQPLFPGKDYVHQLRLVTELIGSPDDSCLGFLRSENARRYVRQLPQYPRQNFAARFPNISAGAADLLEKMLVFDPNRRITVDEALCHPYLAPLHDINEEPVCPRPFLFDFEQPSFTEENIKELIWMESVNFNPGP >Potri.010G220200.2.v4.1 pep chromosome:Pop_tri_v4:10:20575014:20577727:1 gene:Potri.010G220200.v4.1 transcript:Potri.010G220200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G220200.v4.1 MAMHIRTSSRSLLYVLNLATLLLSTIAATNHGHLEEQRRDKIIKLQGQPPNVSFSQFSGYITVDPLAGRALFYWLIEAPKIVKPKSKPLVLWLNGGPGCSSVAYGASEEVGPFRVRPDGKTLHLNPYAWNKVANLLFLDSPAGVGFSYSNTSSDTYTVGDKRTAKDAYTFLVNWFERFTQYKHRPFYIAGESYAGHYIPELSRIIARRNKGVKNPVINFTGFLLGNPLIDDYHDNVGTHEFWWNHGLISDSTYKDLKKFCPNSTFLFPKSECNSALKRAYSEFGDINPYSIYSSPCNEIITLRHYLNYSLPWKFRGNDECVVMYTKRYMNRPEVQRALHANITRIPHPWATCSSIVRRNWSDSPKSMLPIFKELIAAGIRIWVFSGDTDAILPLTATRYSINALQLQTNISWYAWHDDHHQVGGWSQVYKGLTYVTVRGAGHEVPLTRPRLALLLFRQFLKNEPMPAF >Potri.008G136300.1.v4.1 pep chromosome:Pop_tri_v4:8:9135978:9138012:-1 gene:Potri.008G136300.v4.1 transcript:Potri.008G136300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:SABATH8 MSKSETDAPPMVGGDGTCSYYKNSYLQRRSANVVKEKIDEEIAKKLDFHNLPIASNTFRLADLGCSVGPNTFFHVQDLLEAIKQKYEMQFHTSQIPEFQVFFNDQPMNDFNALFNNLPQERQYFAAGVPGSFYDRLFPESFLHFVHCSISLHWLSKLPEQLLDKNSPAWNRGRIHYTNAPNEVVNAYASQFAKDMENFLNARSKELVSGGMIVIISQGIPNGMLYSELQNGVMFECMSLSLMDMVKEGVVSEAQVDSFNLPFYAASPDEMTEIVERNGFFNIERMELNDPAAWLKRRINIPEWVLHLRAAMEESFRKHFGGEVLDKFFDRLTKKLSKFSDELELKYREKTLLLVVLKRQ >Potri.018G014800.1.v4.1 pep chromosome:Pop_tri_v4:18:1029752:1031576:1 gene:Potri.018G014800.v4.1 transcript:Potri.018G014800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014800.v4.1 MSSKSLLSFVIYGFLLLSPCNSLKDNADEGTRAYFHTLKISSLPSTEVCKESSKALNEGSSSLKLVHRFGPCNPHRTSTAPASSFNEILRRDKLRVDSIIQARRSMNLTSSVEHMKSSVPFYGLSKITASDYIVNVGIGTPKKEMPLIFDTGSGLIWTQCKPCKACYPKVPVFDPTKSASFKGLPCSSKLCQSIRQGCSSPKCTYLTAYVDNSSSTGTLATETISFSHLKYDFKNILIGCSDQVSGESLGESGIMGLNRSPISLASQTANIYDKLFSYCIPSTPGSTGHLTFGGKVPNDVRFSPVSKTAPSSDYDIKMTGISVGGRKLLIDASAFKIASTIDSGAVLTRLPPKAYSALRSVFREMMKGYPLLDQDDFLDTCYDFSNYSTVAIPSISVFFEGGVEMDIDVSGIMWQVPGSKVYCLAFAELDDEVSIFGNFQQKTYTVVFDGAKERIGFAPGGCD >Potri.010G204900.1.v4.1 pep chromosome:Pop_tri_v4:10:19601850:19603458:-1 gene:Potri.010G204900.v4.1 transcript:Potri.010G204900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G204900.v4.1 MGKNDFLTPKAIANRIKAKGLQKLRWYCQMCQKQCRDENGFKCHCMSESHQRQMQVFGQNPNRIIDGYSEEFENEFLDMMKRSHRFSRVAATVVYNEYIHDRHHIHMNSTQWATLTEFVKYLGRTGKCKVDETPKGWFITYIDRDSETIFKENMKNKRVRADLAEEEKQEREIKKQIEKAGELSVNNDGVESGDVDNGEVRPAKELKLDSGVKVGFALGLKSNNVGKDRGESSSSRLVFEEVEDKERKMGRSKESSNGGKSGKSALEELMKEEEKAKERSNRKDYWLFEGIIVKVMSKALAEKGYYKQKGVVRKVIDKYVAEIEMLESKHKLRVDQEELETVIPQIGGLVKIVNGAYRGSNARLLGVDTEKFCAKVQIEKGIYDGRVLKAVEYEDICKLA >Potri.008G043250.1.v4.1 pep chromosome:Pop_tri_v4:8:2439854:2439997:-1 gene:Potri.008G043250.v4.1 transcript:Potri.008G043250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043250.v4.1 MIRPFIFILIVLILKPGPAWWVDLGPGQPGPGIGPDLSKNPRGELAR >Potri.019G126400.1.v4.1 pep chromosome:Pop_tri_v4:19:14970258:14971900:-1 gene:Potri.019G126400.v4.1 transcript:Potri.019G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G126400.v4.1 METHHRAPLLLDRKDVVLVKPAKPTPSKTLSFSTIDNDPNLEFVCHSVYVYKANSVSSDNGSTADHDLASKLNGQADPARVIKDAISKVLVHYYPLAGKLKRDGSDGKLYINCNGEGVPFLEATANCKLASLKYLDGIDVETAEEFVFDFPSKSDFGYHPLMFQVTKFPCGGFTIGMGLSHSVCDGFGASQLFRAMAELASGKSEPLVKPVWERERLVAKSTQGPLQSPVDKNSLASSPYLITNDILHECFFVKAETIKRLKMSLMKECGDHEEMKGSFTTIEVLGAYIWRSRFRAFKLNPDGNTLFCLAMGIRHLLNPPLPSGYYGNAFVGSNLVMTGKDLDEGPLSRVAKLIKESKKHASNAHYIWSQISMLDKVRELNFKIDSDNGASMVLTDWRQLGLLEELDFGWKGLANMIPVPLKMFGFVYLCIFLPPCNLDPSTKGGVRVLVSLPRAVMPKFKEEMHALSHLGTDDDDIIVPMLEPAILI >Potri.001G192200.1.v4.1 pep chromosome:Pop_tri_v4:1:17733526:17736319:-1 gene:Potri.001G192200.v4.1 transcript:Potri.001G192200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G192200.v4.1 MACFKNKNCIVIQRVYLFDTFELQKGWAIPTSFLQMECTFLDHLSTRIMGFYSFFLWLLLLFSVISSNVFTVYAFKGTYGVNYGRIADNLPSPRSVVTLLKAAKIKNTRIYDADHEVLKAFKGSGIGIIVGLGNGYLKEIAVGEDRAMNWIKENVQPFLPGTNIAGIAVGNEILGGDDHELWEVLLPAVKNVYDALRRLDLTKVVEVSSPHSEAVFTNSFPPSSCVFREDVSIYMKPLLQFFSQIGSPFYINAYPFLAYKSDPEHIDINYALFKSNKGILDAKTNLHYDNMFEAQVDAAYAALDKAGFPKMEVIVSETGWASRGDDNEAGASLENARTYNRNLRKRLAKKKGTPYRPKFVAKAYIFALFNENLKPGPTSERNFGLFKPDGSISYDIGFTGLKESSGVSSFIHFKVIGAYGWLGSSCALLLTTCITLVLLISAS >Potri.004G134800.1.v4.1 pep chromosome:Pop_tri_v4:4:15625033:15626669:1 gene:Potri.004G134800.v4.1 transcript:Potri.004G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX29 MVLANFLGVVLLMELIAGGYRFGADGLSMNYYVFNCPLAEPIVRSTVSSALQSDPTLAAALVRMHFHDCWIQGCDGSILLDSTKDNTAEKDSPGNLSVRGFELIDDVKEQLENQCPGVVSCADIVAMAAREAVSWSGGPVYDIPKGRKDGRRSKIEDTINLPFPTFNASELVRVFGKRGFSAQYMVALSGAHTLGVARCSSFKTRLSDPVDPTMDSDFSKALAKTCSGGDNAEQSFDVTRNNFDSFYFQALQRKAGVLFSDQTLYNNPETKAIVNNYAMNQAMFFLDFQRAMVKMSLLDVKEGSKGEVRADCRKVN >Potri.014G016300.1.v4.1 pep chromosome:Pop_tri_v4:14:964894:967781:-1 gene:Potri.014G016300.v4.1 transcript:Potri.014G016300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G016300.v4.1 MSKGPGLFSDFGKKAKDLLNKDYSPDQKITIYSSSFSGVALTSNVANKGGLSSGDVGACYKYENAEFDVKLETESNIVTTLSVTDFLPSTKAIASIKLPDYNSGKFEVQYLHQHASFTGALGLNKSPAVDFSAAIGTPSIAFGAEATYITASGEFAKYNTGVSLTKPDSNASVILADKGDSIRFSYLRNLNQLNGGAVVGEMSRRFSTNENTLTVGCSYVVDPQTLLKAKLNNHGNLGALVQHELMPKSFLTISGAFDTKALQNTPKFGLALSLKP >Potri.009G040000.2.v4.1 pep chromosome:Pop_tri_v4:9:4795394:4796685:-1 gene:Potri.009G040000.v4.1 transcript:Potri.009G040000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G040000.v4.1 MYPPQLPLLYKPSKRTNLISHTIFHFSFADQINMALIAFLALSLLLSGALGELVCEQLPVDLCSYSIATSGKRCLLENYATKDGGVKYQCKTSEVVVGIVLSEWIEIDECITACGLSRNTVGISSDSLLQPQFLTKLCSKSCSQACPNIVDLYSNLALAEGVYLPNLCASPRRAMYQTRSNGYAAPAPVYFGALSPEYAVPGPDDVACAPTYF >Potri.002G054700.1.v4.1 pep chromosome:Pop_tri_v4:2:3715542:3715775:-1 gene:Potri.002G054700.v4.1 transcript:Potri.002G054700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054700.v4.1 MGMKPAKQAIFVFGALAFGWLAIEMAFKPFLDKARSAEDKSDPARDPGDDDDSADHAQKDLPTESDAFVSDENPATV >Potri.008G223000.1.v4.1 pep chromosome:Pop_tri_v4:8:18745737:18754307:-1 gene:Potri.008G223000.v4.1 transcript:Potri.008G223000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G223000.v4.1 MQPLPLRAAEDLVRLLRQPVYHNQRFKLWLSFFEIYGGKLFDLLSERKKLCMREDGRQQVCIVGLQEFEVSDVQIVKEYIERGIAARSTGSTGANEESSRSHAILQLAVKKHSEVKDSRRNNDVNESKSGKVVGKISFIDLAGSERGADTTDNDRQTRIEGAEINKSLLALKECIRALDNDQIHIPFRGSKLTEVLRDSFVGNSGTVMISCISPNAGSCEHTLNTLRYADRVRSLSKSGNAKKDQAVSSLPPTKKDASSTSSLPVSADVDGVYEQQEVKVPDMGRRVVEKETPSFNHTVDYDKQPSSFTSGFSYNGREESGLTSGLADRERYESNSSFGGLTSQKVHSLYTQHSVDTEEKAPKVSPTRRKGSREEKSEKSGNWLKKDGSGPDLPTGNSKQQNTGYFSASNTGPRQYEPCPPPDGNINAILERLLSLLKTQKQQRSLANFNRRIVQNGFVAEYSVGEWR >Potri.010G015533.1.v4.1 pep chromosome:Pop_tri_v4:10:2562342:2562773:-1 gene:Potri.010G015533.v4.1 transcript:Potri.010G015533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G015533.v4.1 MDYIPMLVFCFISFLIVRTTTPTDTINTAQFIGDGDTIVSSGGTYELGFFSPGKSKSRYLGIWYGKISVQTAVWVANRETPLNDSSGLVRLTNQGVLVLLNRSGSIIWSSNTSTPARNPVAQLLDTGNLVVKEEGDNNMENSL >Potri.004G012900.1.v4.1 pep chromosome:Pop_tri_v4:4:793442:794894:1 gene:Potri.004G012900.v4.1 transcript:Potri.004G012900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G012900.v4.1 MFRFLCKSLVLGCSNRANLSVHELHCFLENPSILSCLRHISSVNTDDIKEHSFTVSYLMNKCGFSLKSALEVSKQVHFETPDKPDTVLAVFKNYGFSKSHILNLVTRRPTVLLSKPNTTLLPKLEFFQSKGFSSPDHVKIISSYPRILMCSLENQLVPAFDFLENLLQSDASVIKAIKRYPGILYINVESMARVVDVLRDNGVPKKNIALLIRSKPSIMISNLENFKKLIQKVALMGFRPSKSQFVCAIMVLMSLSRSTWEKKFAVYRRWGLSEEEILTAFVKFPMFMRISAEKIAGSMDLFVNKLGWESSYIAKNPTFSSYSLEQRLIPRALVLQFLVSKGLVEKSFRSLAFFNTPEDKFRQMFIDHHAESTQILRFYEEKLNLSSVVNSSTF >Potri.008G009201.1.v4.1 pep chromosome:Pop_tri_v4:8:448222:448545:-1 gene:Potri.008G009201.v4.1 transcript:Potri.008G009201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G009201.v4.1 MLENGFRPIVCICLEREGGLQEARIISSEMIVNGMDDLNSNEELSAVAKIQEVFLVPLAICRDYTFSHVFSSHDLIYYMMPAFEMCGRAVFPCTCILRFLFISSLLR >Potri.004G185100.1.v4.1 pep chromosome:Pop_tri_v4:4:19860205:19864358:1 gene:Potri.004G185100.v4.1 transcript:Potri.004G185100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G185100.v4.1 MELGSVLQFLENKTILVTGATGYLAKIFVEKILRVQPNVKKLYLLLRAVDAKSARERLHHEVIGKELFKVIREKHGASLHSFISEKVTPVPGDISYEELGVKDCSLKDEMWREIDVVLNFAATTNFDERYDVALGINTLGALHVLNFAKKCVNVKMLVHVSTAYVCGEDAGLIMEQPYHMGMAKRGDDKVDINFEKKMVQDKLNQLVLEDVPEKEITSAMKDLGIERARRFGWPNTYVFTKAMGEMLVVNFKDDLPVLIIRPTMVASTYKEPFPGWIEGLRTIDSIIVGYGIGKVSCFISGPQATLDVIPADMVVNAITVAMVASAKQHPENVYHLGSSLRNPVKFSNLHDFSFRYFSENPWINKEGEVVKIGRGTVFSSMSKFYTYMTIRYLLPLKALQLFNTLLFKRYQDVYTVLDRKAKLVMRLVDLYKPYVFFEGIFDDMNAEKLRIASRETCPEANDFDFDPISIDWEDYMMNVHIPGLVKYVIK >Potri.014G083100.1.v4.1 pep chromosome:Pop_tri_v4:14:5333384:5335440:1 gene:Potri.014G083100.v4.1 transcript:Potri.014G083100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083100.v4.1 MSSNNPTEIIRSPEKWESRFEEEEEEVEKLEKLETEVKQMAKKILEYRTTLPDQLKTTVASLLSSQRPVLRHFDSGSDPGSSGELNPDSGGQDTSSRAALLTEEDRKTAEKTHLLKEKISSNVSAMPVVLKRMEDCISKIDKLYSYNGSIHPAFKKKRTS >Potri.010G215900.1.v4.1 pep chromosome:Pop_tri_v4:10:20297763:20298814:-1 gene:Potri.010G215900.v4.1 transcript:Potri.010G215900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G215900.v4.1 METTGLLSFPSSKPPLSRTHFRPSNPFSLSFPTTHKTSVSHRPITIKSAISRTKKEETVEIVKTQLENCYLLAAIKYTGFTVKQFQDFRRSLPESSKLIVAKNTLVYKAIEGTPWEALKPCMTGMNAWLFVHTEEIPEALKPYRDFQKEKKLENDFTGAVFEGKFYGPDDFKQLETMPSRAEIYAKILGALQGPAIGLVGTLQAPARDVVMVLKAYVQKLEEESGGQ >Potri.018G132900.1.v4.1 pep chromosome:Pop_tri_v4:18:14238213:14241478:1 gene:Potri.018G132900.v4.1 transcript:Potri.018G132900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G132900.v4.1 MARRALEVTPPAPNTLHRQSPSSENSSALLFVIGGMLVLVILLILILLLWKFNKPEKLRKSLKRNGSLTATKDFWSGSLQTISYFDFQTLKKATKNFHPGNLLGRGGFGPVYRGKLSDGRMVAVKKLSLDKSQQGESEFLSEVKMITSIQHKNLVRLLGCCSDGPQRLLVYEYMKNRSLDLIVYGNGDKFLDWETRFQIILGIARGLQYLHEDSHLRIVHRDIKASNILLDVKFQPRISDFGLARFFPEDQAYLSTTFAGTLGYTAPEYAIRGELSEKADIYSFGVLVLEIISCRKNTDLRLPSEMQYLPEYAWKLYERSSVIDLVDPKLREDGFMEKDVLQVIHVAFLCLQPLANLRPPMSKIVALLTCKVEMVGTPMRPAFLERRRKTDEKLSWDTISEVFSSPLHSESPSLPRQQN >Potri.006G139100.3.v4.1 pep chromosome:Pop_tri_v4:6:11602910:11608292:-1 gene:Potri.006G139100.v4.1 transcript:Potri.006G139100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139100.v4.1 MPGSWIGILLIASLGLIGSCSAAAAAAAAAAVEYDSSAVIINGQRKIILSGSIHYPRSTVEMWSDLIQKAKEGGLDTIETYIFWNAHERRRREYNFTGNLDFVKFFQKVQEAGLYGILRIGPYACAEWNYGGFPVWLHNIPEIKFRTDNEIFKNEMQTFTTKIVNMAKEAKLFASQGGPIILAQIENEYGNVMGPYGEAGKSYVQWCAQMAVAQNIGVPWIMCQQSDSPSSVINTCNGFYCDTFTPNSPKSPKMWTENWTGWYKKWGQKDPHRTAEDLAFSVARFFQYNGVLQNYYMYYGGTNFGRTSGGPFIATSYDYDAPLDEYGNLNQPKWGHLKNLHAALKLGEKILTNSTVKTTKYSDGWVELTTYTSNIDGERLCFLSNTKMDGLDVDLQQDGKYFVPAWSVSILQDCNKETYNTAKVNVQTSLIVKKLHENDTPLKLSWEWAPEPTKAPLHGQGGFKATQLLEQKAATYDESDYLWYMTSVDNNGTASKNVTLRVKYSGQFLHAFVNGKEIGSQHGYTFTFEKPALLKPGTNIISLLSATVGLQNYGEFFDEGPEGIAGGPVELIDSGNTTTDLSSNEWSYKVGLNGEGGRFYDPTSGRAKWVSGNLRVGRAMTWYKTTFQAPSGTEPVVVDLQGMGKGHAWVNGNSLGRFWPILTADPNGCDGKCDYRGQYKEGKCLSNCGNPTQRWYHVPRSFLNNGSNTLILFEEIGGNPSDVSFQITATETICGNTYEGTTLELSCNGGRRIISDIQYASFGDPQGSSCGSFQRGSVEASRSFSAVEKACMGKESCSINVSKATFGVEDSFGVDNNRLVVQAVCT >Potri.010G185400.1.v4.1 pep chromosome:Pop_tri_v4:10:18262541:18263620:1 gene:Potri.010G185400.v4.1 transcript:Potri.010G185400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185400.v4.1 MASNQLTIVICSLLLLPLVFPSTAAYNETAPTKPIEKKVDVVVEGMVYCQSCKYSGSWSLTGAKPIPSAKVSVICKNSNKQVTFYKAFETDAYGYFYANLDGFKMSNIVLDHPLHGCHAKLVSSPLPNCSLLSNINYGLYGAPLRFKNKVLRGTHYEVVIYAAGPLAFRPAQCTPESHV >Potri.001G217700.1.v4.1 pep chromosome:Pop_tri_v4:1:22758547:22763260:-1 gene:Potri.001G217700.v4.1 transcript:Potri.001G217700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217700.v4.1 MKLCNLLFLLLLLGCVTLGYSVTDPNDLKILLDFQKGLENPELLKWPANGDDPCGPPLWPHVFCSDGRVTQIQVQSMGLKGPLPQNFNQLSKLYNIGLQRNNFTGKLPTFKGLSELEFAFLDYNNFDTIPSDFFVGLSSIRVLALDSNPLNESTGWSLPSELADSVQLTNLSVSSSNLAGSLPDFLGSMQSLSNLRLSYNRLSGEIPASFGKSLMSTLLLNNQEGGGMSGPIDVIASMTSLSQLWLHGNSFTGTIPENIGGLSLLRDLNLNGNKLVGLVPQSLADMPLDDLDLNNNQLMGPVPKFKAGKVSYESNPFCQSKPGVECAPEVNALLDFLGGVNYPSILTSQWSGNDPCQGSWLGLNCDSNSKVSVINLLRHNLTGTLSPSIARLDSLIEIDLGGNSIKGTIPSNFTNLNSLRLLDVSGNNLGPPLPKFRTSVKLVVDGNPLLDGGNQTHQPPSSASSPPTGSFTPPENPPRGSAPPSPSTMPFSPPSPTSISNTNQRTKLVIVGGIFAGSLLAIVLIALSLYCCFKKRKETSNPPSSIVVHPRDPSDRENIVKIAFSNNTIRSLSTQTGISSVSNTSNLTENSSLVESGNVVISVQVLRKVTDNFAQKNQLGSGGFGIVYKGELEDGTKIAVKRMEAGVMGSKAGDEFQAEIAVLSKVRHRHLVSLLGYSIEGNERLLVYEYMPQGALSMHLFHWKKLNLEPLSWMRRLSIALDVARGVEYLHSLARQTFIHRDLKSSNILLGDDFHAKVSDFGLVKLAPDREQSVATRLAGTFGYLAPEYAVMGKITTKVDVFSYGVVLMELLTGLTALDEERPEESRYLAEWFWRIKSSKEKLMAAIDPALNVNDETFESISSIAELAGHCTSRDPNHRPDMGHAVNVLVPLVEKWKPVNDESEDFYGIDYSQPLPEMLKVWQDADSTGLSYTSLSDSKGSIPARPAGFAESFTSADGR >Potri.001G140600.2.v4.1 pep chromosome:Pop_tri_v4:1:11479535:11484176:-1 gene:Potri.001G140600.v4.1 transcript:Potri.001G140600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G140600.v4.1 MVKAIRVHELGGPEVLKWEDVEIGEPGEGEIRVKNKAIGLNFIDVYYRKGVYTASTMPFIPGREAVGEVIAVGPGLTGRKVGDVVAYAGDPMGSYAEEQILPANKVVSVPESISPVIAASVMLKGMTTQFLLRRCFKVEPGHTILVHAAAGGVGSLLCQWANALGATVIGTVSTKEKAAQAKEDGCQHVILYQEEDFVARVNEITSCNGVDVVYDSVGKDTFQGSLACLKTRGYMVSFGQSSGTPDPVPLSALAPKSLFLTRPSMMHYNQTRDELLGAAGELFSALASGVLRVRVNHTYPLSQAAQAHADIESRKTTGSVVLIP >Potri.001G464700.1.v4.1 pep chromosome:Pop_tri_v4:1:49014406:49016467:1 gene:Potri.001G464700.v4.1 transcript:Potri.001G464700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464700.v4.1 MPPRNASMLPFLVFLLSSSSLATSSHTHEDFLRCLSLYFEDPVAISKVVYTSNNSSYSSVLHFSIRNLRFNSSTLKPLVIVTPTDLSHIQATIHCSRHHNLQIRMRSGGHDFEGLSYRSVLPFVVVDLINLRTITVDATNRTAWVQAGATLGELYYRIVEKSRTLAFPAGSCPTVGVGGHFSGGGYGLLLRKYGLAADNIVDAQLIDAKGRILDRESMGDDLFWAIRGGGGNSFGVVVAWKINLVEVPSTVTVFTVVRNLEQNATKLIHQWQYVANKLPEDLFLAAVIRRVNSSQGGNTAVQAIFVSLFLGKVDQLLPWMHGSFPDLGIVRDDCIEMSWIESILYVYGFPRNASLDVLLDRSSQSLINFKVKSDYVKEPMAEIVLKEIWERFSDENMEVSAMSFIPYGGKMNKISESSIPFPHRAGNLYKIIHTVAWSEETASERHLAWIRRLYGYMTSYVSQKPREAYINYRDLDIGMNNPVGSTSYGQASIWGRKYFKNNFDKLVRVKTEVDPANFFRNEQSIPPLSPW >Potri.010G178700.2.v4.1 pep chromosome:Pop_tri_v4:10:17804814:17806079:-1 gene:Potri.010G178700.v4.1 transcript:Potri.010G178700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178700.v4.1 MSSGGEKGSTTTKTPADFLKSIRGRPVVVKLNSGVDYRGILACLDGYMNIAMEQTEEYVNGQLKNKYGDAFIRGNNVLYISTSKRTLADGA >Potri.004G056600.5.v4.1 pep chromosome:Pop_tri_v4:4:4706209:4707331:1 gene:Potri.004G056600.v4.1 transcript:Potri.004G056600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056600.v4.1 MATVSSESLPETQASADSTDSDTAPKPPVIYRCKRCRRIVASEENIVPHERGKGEQCFKWNKKSVDSCENQEPPECSSIFVEPMKWMLTVQEGFVGEKLQCMGCKARLGSFNWAGMQCNCGTWINPAFLLHKNKLDECHI >Potri.018G068600.3.v4.1 pep chromosome:Pop_tri_v4:18:8273293:8277712:-1 gene:Potri.018G068600.v4.1 transcript:Potri.018G068600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068600.v4.1 MARGVEQLIFLISLLLTLLVLCRGSTIGVCYGRNADDLPTPDKVAQLVQQHKIKYLRIYDSSIQVLKAFANTGVELMIGITNSDLLPFSQFQSNVDTWLKNSVLPYYPAARITCITVGAEVTESPGNASALVVPAMHNVLMALKKVGLHKKIKVSSTHSLGVLSRSFPPSAGAFNSSHAFFLKPMLEFLAENQSPFMIDIYPYYAYRDSPNNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDAIYFALMALNFRTIKVMVTETGWPSKGSSKEKAATPDNAQIYNTNLIRHVINNSGTPAKLGEELDVYIFSLFNENRKPGMESERNWGLFYPDQTSVYSLDFSGKGVLDVPANKSLTSFNGTTWCIASNNASQLDLQNALDWACGSGDVDCSAIQPSQPCFEPDTLVSHASYAFNSYYQQNGASDVACSFGGTGVKVNKDPSKYLTFSILVQISLLSWFFLFHVQECYPCLLGSTSVSQFEIV >Potri.018G068600.1.v4.1 pep chromosome:Pop_tri_v4:18:8273250:8277712:-1 gene:Potri.018G068600.v4.1 transcript:Potri.018G068600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068600.v4.1 MARGVEQLIFLISLLLTLLVLCRGSTIGVCYGRNADDLPTPDKVAQLVQQHKIKYLRIYDSSIQVLKAFANTGVELMIGITNSDLLPFSQFQSNVDTWLKNSVLPYYPAARITCITVGAEVTESPGNASALVVPAMHNVLMALKKVGLHKKIKVSSTHSLGVLSRSFPPSAGAFNSSHAFFLKPMLEFLAENQSPFMIDIYPYYAYRDSPNNVSLDYALFESSSEVIDPNTGLLYTNMFDAQIDAIYFALMALNFRTIKVMVTETGWPSKGSSKEKAATPDNAQIYNTNLIRHVINNSGTPAKLGEELDVYIFSLFNENRKPGMESERNWGLFYPDQTSVYSLDFSGKGVLDVPANKSLTSFNGTTWCIASNNASQLDLQNALDWACGSGDVDCSAIQPSQPCFEPDTLVSHASYAFNSYYQQNGASDVACSFGGTGVKVNKDPSYDNCMYMITGTNKTAASNTTAIASTSSSAQNEACAWISSFLLMTCVVYFLSFEH >Potri.008G103800.1.v4.1 pep chromosome:Pop_tri_v4:8:6566690:6570076:1 gene:Potri.008G103800.v4.1 transcript:Potri.008G103800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103800.v4.1 MATEESASVMDDYETLISTTDVELLKRAWRNEKAAPEILQFESSLVQRIKEQIELAEQNVEISEANNIDPLTVSLYQMDLDRTQFLLRSYLRVRLQKIEKYLFYVLKTDEYLNRLSKQEQMFARRCTDDLGSHLDETVLAKLPDNYQSILKQSITSEEDDMVPVPRLDTFVICKAKQYLSGLDFEPEYSMEITEMERDLLTFVCYKFIKKPLENGKIDLV >Potri.018G005800.4.v4.1 pep chromosome:Pop_tri_v4:18:476486:480778:-1 gene:Potri.018G005800.v4.1 transcript:Potri.018G005800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005800.v4.1 MGWIAENVESIKSMKIRDTLSQLITLGMIVSMALIIWKALMCLTGSESPVVVVLSGSMEPAFKRGDILFLHMSEAPFRAGEIVVYNVEGEPIPIVHRVVEVHEQENTGKVDILTKGDANDADDRGLYAYGQYWLKPQQIMGRAVGFMPYAGWVTIVMTEKPIIKYMLIGALGLLVITSKD >Potri.002G161300.1.v4.1 pep chromosome:Pop_tri_v4:2:12341012:12342086:1 gene:Potri.002G161300.v4.1 transcript:Potri.002G161300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G161300.v4.1 MANFRKTILVVSFLTTALCGVSMATVYQVGDSAGWTSMGQVDYQDWAANKNFHVGDTLVFNYNNQFHNVKQVTHQGFESCNATSPIATYTNGSDTVTLEKLGHFYFICGYPGHCQAGQKIDILVAPATSNLGPAPLSQISPSSASTLSFSNLSWASGVLLASCLLGFGY >Potri.010G067600.1.v4.1 pep chromosome:Pop_tri_v4:10:9571284:9576830:-1 gene:Potri.010G067600.v4.1 transcript:Potri.010G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067600.v4.1 MAAANCSSGETCAALIHGKQMAPSRLQAWARLFVTKFLGRHISTDRLILEEDRGATFTFEGTSKRFSLEVVLKVHNPRFYWKVTTRADIGLADAYIDRDFSFADKDQGLLHLIMVLIANRDANKSISKANKKRGWWTPSLFTAGIASAKFFLQHVLRQNTLTQARRNISRHYDLSNEVFSLFLGETMAYSCAIFKTEDEDLNTAQLRKISVLIEKARIDKKHEILDIGCGWGTFAIEVVKQTGCKYTGLTLSVEQLKYAEMKVKEAGLQDNIRLLLCDYRELPQGYKYDRIVSCEMIEHVGHEYMEDFFSSCESALAEDGLLVLQSTSIADERYDEYRRSSDFIKEYIFPGACVPSLSRITSAMGVASRLCVEHVENIGSHYYLTLRCWKKYFLENKSKILAMGFDEKFIRTWEYYFDYSAAGFKSYALGNYQIVFSRPGNVGVLGNPYKGFPSAYRHLL >Potri.010G186000.1.v4.1 pep chromosome:Pop_tri_v4:10:18309653:18310417:1 gene:Potri.010G186000.v4.1 transcript:Potri.010G186000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G186000.v4.1 MRNHEPRASSSCAACKFLKRRCTPNCIFAPYFRSDEPKKFAKVHKVFGASNVSKILIEVPEEQREDTVNSLAYEAEARLRDPVYGCIGAIALLQRKMVELQVDLAIARARLARYAANSPPLLNDHGSMIPTFAEFPACGGLVDSFSQNSSDTMNDFSQFPYIF >Potri.005G233600.1.v4.1 pep chromosome:Pop_tri_v4:5:23204535:23207484:-1 gene:Potri.005G233600.v4.1 transcript:Potri.005G233600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G233600.v4.1 MPDVGGSASSRRMWCSVPERFQLHLAMLALQFGYAGFHVVSRAALNMGVSKLVFPVYRNIIALLLLLPFAYFLEKKERPALTLNFVLQFFFLALVGITANQGFYLLGLENTSPTFASAIQNSVPAITFLMAALLRIEKVRINRKDGIAKVLGTICCVAGASVITLYTGPVVYSPAKHLNRPTPMFVSLGDAEAKNWTLGCLYLIGHCLSWSGWLVLQAPVLKKYPARLSVTSYTCFFGLIQFIIIAAFMERDPQAWIFHSGGELFTILYAGVVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIAGLYLVLWGKSEEKKFLALEKAAIQAAPEHGISRAQTHIKTSLTQPLLPSSTENV >Potri.004G024404.2.v4.1 pep chromosome:Pop_tri_v4:4:1746316:1753781:1 gene:Potri.004G024404.v4.1 transcript:Potri.004G024404.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024404.v4.1 MNSLKFYIILLSLLALAILTLAQDDANYLYHNCQNATTSTINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDLSNTVCRNCVTFATEDIVQRCPIGITSIVYYDACILRYSNVNFFSKVDQNPGFYMWNLQNITTEPQRFNNLVGATVNDLAARAASAPPGAKKFAVNETSFDAFQKIYSLAQCTPDLSSSDCNRCLSAAIAGLPNCCSNKIGGRVLFPSCYIRYESTEFYDAAAVAAESPPPPPPPVALPSPPPPRSATIPEDPERQGLLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQTQASTIRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAVDLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIILTLNSYSVTLPSPQEPAFFFHSTITDEVNISSKEFLLEQSKSKSVAYSVDEDSITEVYPR >Potri.004G024404.1.v4.1 pep chromosome:Pop_tri_v4:4:1746244:1753785:1 gene:Potri.004G024404.v4.1 transcript:Potri.004G024404.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G024404.v4.1 MNSLKFYIILLSLLALAILTLAQDDANYLYHNCQNATTSTINSTYRVNLNLLLSSLASNATRNNTNGFYNTSFGQNTDQVYGLFICRGDLSNTVCRNCVTFATEDIVQRCPIGITSIVYYDACILRYSNVNFFSKVDQNPGFYMWNLQNITTEPQRFNNLVGATVNDLAARAASAPPGAKKFAVNETSFDAFQKIYSLAQCTPDLSSSDCNRCLSAAIAGLPNCCSNKIGGRVLFPSCYIRYESTEFYDAAAVAAESPPPPPPPVALPSPPPPRSATIPEEKGGVSTVLIIAIVIPIAVSIALFSMCFCFLRRARKTIDYVPENDVGDEITTEESLQFDLSTIEAATNNFSADNKLGEGGFGEVYKGTLPNGQQIAVKRLSRNSGQGAAEFKNEVVLVAKLQHRNLVRVQGFCLEREEKILVYEFVSNKSLDYFLFDPERQGLLDWSRRYKIIGGIARGILYLHEDSRLRIIHRDLKASNILLDGDMNPKISDFGLARIFVVDQTQASTIRIVGTYGYMSPEYAMHGRFSVKSDVYSFGVLILEIITGKKNSSFYQTGGAVDLVSYVWKHWRDGTPLEVLDPTLTDTYSRNEVIRCIHIGLLCVQEDPAIRPAMATIILTLNSYSVTLPSPQEPAFFFHSTITDEVNISSKEFLLEQSKSKSVAYSVDEDSITEVYPR >Potri.010G063900.1.v4.1 pep chromosome:Pop_tri_v4:10:9273177:9276970:-1 gene:Potri.010G063900.v4.1 transcript:Potri.010G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G063900.v4.1 MTLFSVWKAVSLRSSRNYCYATPVSSAENLLDLLRLSLTHKSLKLTQQCHARILSLGFTQNPFLATKLISAYAIFGVPALSRLVFDSLHFKSVYLWNSLINGFVKNRAYNEAFGWFYQMCCRGVLLDDYTLATMSKVCHEIGDLNAGKLIHGKSLKTGFVLDVIVANSLMSMYSKCGGFGECLKLFDEMPERNVGSWNVILSGYADSGDRNFDKEVSGFVKDMQIEGLKPDAFTVSSLLTLCNGHMGKRDHGRELHGFIVRIELAVGSGTEVHLGCCLIDMYSRSNRVDVGRRVFDRMECRNVYAWTAMINGHVQNGALEEGLVLFHEMQVRDGVEPNKVSLVSVLPACSAVAGLTGVKQIHGYAIRKQFNNDVSLCNALIDMYSKCGSLDHAKQVFEFGSFRRDPISWSSMISGYGLHGKGEEAVFVYNKMLQLGNKPDMITIVGVLSACSRAGLVDEGLCIYKSAINKYRIKPTVEICACVVDMLGRSGQLGQALDYIKTMPMEPSPSVWGAVVSASIIHGNSEMQDLAYRFLVQLEPENPSNYVSLSNLHASSRRWDVVSEVRTMMKDRCLTKTPGCSWISINNTTHFFYAADKLHPCSKSIYELLGGLILLMKGPAVSHDFENFTWVS >Potri.013G033101.2.v4.1 pep chromosome:Pop_tri_v4:13:2136386:2143359:-1 gene:Potri.013G033101.v4.1 transcript:Potri.013G033101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033101.v4.1 MIRPDGGPPRWFCPIECGQPLKSSPTLLFLPGVDGVGLGLTLHHKALGKAFEVLCLHIPVYDRTPFEGLVKFVEETVRLEHARNPNKPIYLVGESIGGCLAIAVAARNPKVDLVVILANPATSFGRSKLQPFLPILEAVPNQLHNGLLCLLSVLTGNPVKMAMVGVEDRLPSRLKIGKLYQNLMALLHSLSVVADIIPKDTLVWKMKLLRSAADYANSCLHTVKAEVLLLASERDRLLPSRDEALRLKSLLQNCTVRNFKGNGHAILLEDGVGLLTAIKGTSKYRRSKRIDFVSDYLPPSTSEFKSFFEEAYGLLLYAAGSTMFSTLEDGKIVKGLAGVPNEGPVLLVGYHMLMAFDIYPLGEGFLREKNIMVRGLGHPDLFTGKLEDSSNEFAYADWIRVMGTVAGTASNLFKLLSTKSHVVLYPGGARESLHNKGEEYKLFWPDQQEFVRTAARFGATIVPFGTVGEDDLTHLVLDYHDMMKIPIVSDYIREVNSKATRIRDNSKGEVANQQVYIPGVLPKLPGRFYYLFGKPIKTKGMEDMLRDRENANQLYLHVKSEVENNIAYLLKKREEDPYRSLINRTIYQALHSPSSNVPTFDP >Potri.018G145518.1.v4.1 pep chromosome:Pop_tri_v4:18:15208915:15210261:-1 gene:Potri.018G145518.v4.1 transcript:Potri.018G145518.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145518.v4.1 MIHNPCLLLLLQLLLRIEAAKRGKEKESLTWSCYLKTKTMVMEGWLTNVTFLKDAGYRQRRHSLPNSCHC >Potri.018G145518.2.v4.1 pep chromosome:Pop_tri_v4:18:15208915:15210261:-1 gene:Potri.018G145518.v4.1 transcript:Potri.018G145518.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145518.v4.1 MIHNPCLLLLLQLLLRIEAAKRGKEKESLTWSCYLKTKTMVMEGWLTNVTFLKDAGYRQRRHSLPNSCHC >Potri.011G167700.4.v4.1 pep chromosome:Pop_tri_v4:11:19110557:19123439:-1 gene:Potri.011G167700.v4.1 transcript:Potri.011G167700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G167700.v4.1 MHLYNAWLPPPVVEETKKEKDSFRTVLNSVKNSYKPDDPDSVYSTLKWISVLELFIKAKSELNLEDVAELVQFGIELFNISQNKLYAQVRWGNLLVRVLNKYRKRLAFKVQWRPLYDTLIHTHFTRNTGPEGWRLRQRHFQTISSLVRSCRRFFPAGSALEIWNEFCSLLENPWHNSSFEGSGFLRLFLPTNLENQDFYTETWVKKSLNMWDSIPNSQFWNSQWAAIIARVIKNYDFIDWECFLPMLFSRFLNMFEVPLANGSASYPFSVDVPRYTRFLFSHKTSTPAKAIAKSIVYLLKPGGAAQELFGKLGNLLEQYYHPSNGGRWTYSLERFLLHLVIMFQKRLQHEQWSTDNNRQAEMFLGRSERTYFVNVLLKLIDRGQYSKDEHLSETVAAATSILSYVEPTLVLPFLASRFHLALETMTATHQLKTAVMSVAFAGRSLCLTSLSTRGKQEDCGGGDDAYVDLLTISLSNALLGMDANDPPKTLATMQLIGSIFSNIATLDDSTDQLSFMPMIRFSEWLDEFLCRLFSLLQHLEPSSVLHEGLHSSATSGTFLVDDGPFYYCMLEILLGRLSKSLYNQALRKIAKFVRTSILPGAVAEVGLLCCACVHSNPEAAVASLVDPILSSVISSLKGTPATGFGGRGIPDATVSIKAKPTLSPALETAIDYQLKILSVAINYGGPALLRCKDQFKEAIVSAFESPSWKVNGAGDHLLRSLLGSLIVYYPMDQYKSISRHPAALALEEWISAKDYNSDGPSMGPKWHVPNDDEVQFANELLNLHFQSALDDLLKICQNKIHSDTGNEKEHLKVTLLRIDSSLQGVLSCLPDFSPSSRNGIVEDASHISFLIAGATGSSVGSTGLREKAVEIIHAACKYMLEEKSDDSILLILTVRIMDALGNFGSLEYEEWSNHRQAWKLESAAILEPPMNFIVSSHSQGKKRPRWALIDKAYMHSTWRSSQSSYHLFRMSGNFSPPDHAILLMDDLLKLSLHSYETVRSLAGKSLLKMIKRWPSMISKCVLSLTEHLRNPSSPEYAVLGSCTVLSTQTVLKHLTTDPKALSSFLLGILSSSHHESLKAQKAINELFVMYNIYFPGVSRSIFRTSDNHIDGPNFADLVSQIGSMSFDSSGLHWRYNLMANRVPFLLLCD >Potri.005G237900.1.v4.1 pep chromosome:Pop_tri_v4:5:23581472:23584148:1 gene:Potri.005G237900.v4.1 transcript:Potri.005G237900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G237900.v4.1 MIGSFLTRGLVMVFGYAYPAYECYKTVELNKPEIEQLRFWCQYWILVAVLTVCEKFGDAFISWVPMYSEAKLAFYIYLWYPKTKGTSYVYDSFFRPYVAKHENEIDRSLLELRTRAGDMAVVYWQRAASYGQTRVFDVLQYVAAQSTPRPRAAQPQQQGARARQPPAPSRQPSTNRQATPAQAETEEPPSPTSSTSSSQNQMEVAEVAAGPSKVLETAVPATASSNAQKENAAASEVSSQPKPTEEEAVETEEAPPSSSANENENPAPKETVMEQTMRVTRGRLRKTRSGTNR >Potri.012G108100.1.v4.1 pep chromosome:Pop_tri_v4:12:12930502:12937093:1 gene:Potri.012G108100.v4.1 transcript:Potri.012G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108100.v4.1 MSTPSPILKRPKLEQRNNDDDNEASLNQKSNENNGREEEEDSIEEQKVAFIALIEHRSHEVQHLKQRLSYYKTQLVEAEKRLEDSQTKLARLSGRSNASVANKPSVENGIKKVKMERKSPSPVRLNEASSSSQPQSRTELVIPAVNPKVPQTVKSVGSGARISCGSSAHLSSLAPSNGVVNVKVEKPYSAANVKVEKSNSAVKVKMEKCHRSSSDVEVVEIQDRGNKRKIEQKEHKELIPLVSRSSAPCTVHCHTSNHISSQHKRKLRSVAVCPVNDQLFVSSALDGMINLWQLQARGSGASLLSTTDSVSPVQRRWPEDIAWHPLGNSLFSAYTADSGDAQISVLNLNKTQGRARVTFLEDKPHIKGIINGIEFMPWENTCFVTGGSDHGVVLWNEKDEENSWKPKALHRNMHSSAVMGVAGMQQKQIVLSAGADKRIVGFDVQVGRADFKHQLDSRCMSVLPNPCDFNLFMVQTGTLGKQLRLFDIRLRQTEIHSFGFKQESSDSQSALINQAWSPDGLYLTSGSVDPVIHVFDIRYNYHKPSQSIKAHQKRVFKAVWHYSLPLLISISSDLHIGLHKII >Potri.012G108100.4.v4.1 pep chromosome:Pop_tri_v4:12:12930502:12936664:1 gene:Potri.012G108100.v4.1 transcript:Potri.012G108100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108100.v4.1 MSTPSPILKRPKLEQRNNDDDNEASLNQKSNENNGREEEEDSIEEQKVAFIALIEHRSHEVQHLKQRLSYYKTQLVEAEKRLEDSQTKLARLSGRSNASVANKPSVENGIKKVKMERKSPSPVRLNEASSSSQPQSRTELVIPAVNPKVPQTVKSVGSGARISCGSSAHLSSLAPSNGVVNVKVEKPYSAANVKVEKSNSAVKVKMEKCHRSSSDVEVVEIQDRGNKRKIEQKEHKELIPLVSRSSAPCTVHCHTSNHISSQHKRKLRSVAVCPVNDQLFVSSALDGMINLWQLQARGSGASLLSTTDSVSPVQRRWPEDIAWHPLGNSLFSAYTADSGDAQISVLNLNKTQGRARVTFLEDKPHIKGIINGIEFMPWENTCFVTGGSDHGVVLWNEKDEENSWKPKALHRNMHSSAVMGVAGMQQKQIVLSAGADKRIVGFDVQVGRADFKHQLDSRCMSVLPNPCDFNLFMVQTG >Potri.006G026300.1.v4.1 pep chromosome:Pop_tri_v4:6:1730354:1732550:1 gene:Potri.006G026300.v4.1 transcript:Potri.006G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026300.v4.1 MANPRRNSYSNNTENSFQLQNHSRLSSIKHFLKKPLAFPFLLSIFLLLAWISLRLQHSSSSFSSSNLHERKWSQEEDEKANLIRFKSGFLSSKDKRGWLLDPVSIALEYGIKGGAVSCFSIHIGEIRPGGARGNHRHHTCNETFVIWGAKTLFRLENNQIVDKGYAEVIVGVDEVAVAASPSGTGHAIVNMDRTHTAYLIGCQDSTINYNSSATDFSVWKDL >Potri.001G123600.1.v4.1 pep chromosome:Pop_tri_v4:1:10146779:10154675:1 gene:Potri.001G123600.v4.1 transcript:Potri.001G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123600.v4.1 MTRFQNGSRLLSYTFSRSKTKSSVSPTTALLQGNFQIQHSRHFSNLASATTKEEKEPWWKDSMDRLRNIGISAHIDSGKTTLTERILFYTGRIHEIHEVRGRDGVGAKMDSMDLEREKGITIQSAATYCTWNGYQVNIIDTPGHVDFTIEVERALRVLDGAILVLCSVGGVQSQSITVDRQMRRYEVPRLAFINKLDRMGADPWKVLNQARSKLRHHSAAVQVPIGLEEDFQGLIDLVKMKAYYFHGSNGEKIVTAEIPVEIEALAAEKRRELIETVSEVDDKLADAFLADESISTSDLEEAIRRATVAKKFVPVFMGSAFKNKGVQPLLDGVLSYLPCPLEVSNYALDQTKDEEKVVLSGTPDGPLVALAFKLEEGRFGQLTYLRIYEGVIRKGDFIVNVNTGKKIKVPRLVRMHSNEMEDIQEAHVGQIVAVFGVDCASGDTFTDGSVRYTMTSMNVPEPVMSLAIQPVSKDSGGQFSKALNRFQKEDPTFRVGLDPESAQTIISGMGELHLDIYVERIRREYKVDASVGKPRVNFRETITQRAQFDYLHKKQSGGQGQYGRVCGYIEPIPQGSMTKFEFDNMIVGQVIPSNFIPAIEKGFKEAANSGSLIGHPVENLRIALTDGAAHAVDSSELAFKLAAIYAFRQCYVAAKPVILEPVMLVELKVPTEFQGTVAGDINKRKGVIVGNDQDGDDSIITAHVPLNNMFGYSTALRSMTQGKGEFTMEYKEHSAVSQDVQMQLVNTYKASKTAE >Potri.003G097800.7.v4.1 pep chromosome:Pop_tri_v4:3:12357735:12360016:1 gene:Potri.003G097800.v4.1 transcript:Potri.003G097800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097800.v4.1 MGTKADQEEEARRLKTIAETKFTNSNLKSALKHAKKAHRLSPKLEGLSSMLTALKTLRVASKTQNSDITDWYKILQVEPFSHMNSIKKQYKKLALVLHPDKNPFLGCEEAFKLVAEGFRVLSDKIRRKEYDLRLRIRLQDERVSDNSAVETFWTACSRCRLLHQFERQYLGHNLVCPSCKKSFEAVEVKGGDKKDAEVGVWSERLRRKDIGGKGIGGLSSGEGVSAGSRRELDAESAGGVNLKGNEVISGEWGGGKLRTGGLRRRTSTVGEVLERSKKRVKFSDETMTLAEMKLEAKKKASQKVELKEKQKDVGEKEKKKEKQKVMEKGKGKGKETCLVLNKSTDLETEKGGASKKSGDTEIKTREGVKTSRKMEIMRQGASKKSASLQMERHKNSRGDLDSMAVEYSNFFDFDSDRVERRFKKGQVWAIYDDDGMPRHYGLIDEVVSVNPFKVNLSWLDLQRYGDEVLIWEKMGLHVSCGRFKVARTMIIDSVNIFSHAVECEREAREVYRIYPKKGSVWALYNKATLGAEGRNLSASDERCHDIVVLLTTYSEMHGLSMASLEKVDGYKTVFKRREIGCHAVRLLEKDDIWLFSHQIPSRKFSGDEVADNLKDCWELDPASLPSNLLTVGGEADIE >Potri.014G190600.1.v4.1 pep chromosome:Pop_tri_v4:14:16499510:16503913:1 gene:Potri.014G190600.v4.1 transcript:Potri.014G190600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G190600.v4.1 MELPPRWAFLLFLLLSSTKASGSAVDKEEFQEELLLKPLPDRKVLAHFHFETRAPPCNSNGRRHHHLFPKAISQMVEKFRVKEMELSFTQGRWNYERWGGFDTMSSNNAKPPGVELWAVFDVPKEQVDASWKNLTHTLSGLFCASINFLESSTMYSAPEWSFRQASGSLRYGMLPREAVCTENLTPWLKLLPCRDNAGLSALMDRPSIYTSFYHSQRLHLTSNSSDLEGLNGIVLEQTLTVVLHPSSQRTSLTHISESYLQPSWSLSSIFGRKVNGRCALAKSSKVYLQLERGLVSELEKNIGSEGYDVEANFELSVNPDRVLKEENSRHGIGSSLLYEFSVDKYSNSKPFDLGLTWKFPVIWSCQQAPLHAIRFLMGSGNERGAIAILLKSTDLNDSSPGADSASDGCELHVNIFQIVPWYIRVYYHSLQLFVDDQLKAVGAFVEKIHVIPSKDKISPGMMEMVLKLPCGVKSAALTLDFDKGFLHIDEYPPDANQGFDIPSAAISFPNFHASMHFPSNDSERKSPMLSKFQESSPVLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLWQRVGEKERLLKSKAAAVKTGRPSELLSRLSAKLRGRSQEPSQSPSESSPLINSKLVLKVLLMAALAVAWQYYLG >Potri.014G190600.4.v4.1 pep chromosome:Pop_tri_v4:14:16499896:16503912:1 gene:Potri.014G190600.v4.1 transcript:Potri.014G190600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G190600.v4.1 MELSFTQGRWNYERWGGFDTMSSNNAKPPGVELWAVFDVPKEQVDASWKNLTHTLSGLFCASINFLESSTMYSAPEWSFRQASGSLRYGMLPREAVCTENLTPWLKLLPCRDNAGLSALMDRPSIYTSFYHSQRLHLTSNSSDLEGLNGIVLEQTLTVVLHPSSQRTSLTHISESYLQPSWSLSSIFGRKVNGRCALAKSSKVYLQLERGLVSELEKNIGSEGYDVEANFELSVNPDRVLKEENSRHGIGSSLLYEFSVDKYSNSKPFDLGLTWKFPVIWSCQQAPLHAIRFLMGSGNERGAIAILLKSTDLNDSSPGADSASDGCELHVNIFQIVPWYIRVYYHSLQLFVDDQLKAVGAFVEKIHVIPSKDKISPGMMEMVLKLPCGVKSAALTLDFDKGFLHIDEYPPDANQGFDIPSAAISFPNFHASMHFPSNDSERKSPMLSKFQESSPVLSYTEVLLVPLTTPDFSMPYNVITITCTVFALYFGSLLNVLWQRVGEKERLLKSKAAAVKTGRPSELLSRLSAKLRGRSQEPSQSPSESSPLINSKLVLKVLLMAALAVAWQYYLG >Potri.007G052800.1.v4.1 pep chromosome:Pop_tri_v4:7:5248457:5253474:-1 gene:Potri.007G052800.v4.1 transcript:Potri.007G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052800.v4.1 MKKDGRNPAVAPLFPRFLLLCALIALRATVAHDSIQRTTILSNNEETDTVNIIEISNRRGSMSKARVYTDVNVLRPKEYWDYESLTVQWGDQDDYEVVRKVGRGKYSEVFEGINVNSNERCVIKILKPVKKKKIKREIKILQNLCGGPNVVKLLDIVRDQHSKTPSLIFEYVNSTDFKVLYPTLTDYDIRYYIYELLKALDYCHSQGIMHRDVKPHNVMIDHELRKLRLIDWGLAEFYHPGKEYNVRVASRYFKGPELLVDLQDYDYSLDMWSLGCMFAGMIFRKEPFFYGHDNHDQLVKIAKVLGTDELNAYLNKYHLELDPQLDALVGRHSRKPWSKFINSDNQHLVSPEALDFLDKLLRYDHQDRLTAREAMAHPYFSQVRAAESSRMRTQ >Potri.008G091400.1.v4.1 pep chromosome:Pop_tri_v4:8:5707836:5711339:-1 gene:Potri.008G091400.v4.1 transcript:Potri.008G091400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091400.v4.1 MDSYIQEKIHKFEEFVDGHLKPQLVRAIAERDKVFEQQKIFSDLRRSIENLEKNSVTNLRTMVNLGSEVYMQADVPDTQRIFVDVGLGFHVEFTWTEALNFIALREEKIARQIEEYTRLISSIKARIKLVCEGIRELLQLPAEKSLPQRVF >Potri.008G091400.6.v4.1 pep chromosome:Pop_tri_v4:8:5707950:5711257:-1 gene:Potri.008G091400.v4.1 transcript:Potri.008G091400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091400.v4.1 MDSYIQEKIHKFEEFVDGHLKPQLVRAIAERDKVFEQQKIFSDLRRSIENLEKNSVTNLRTMVNLGSEVYMQADVPDTQRIFVDVGLGFHVEFTWTEALNFIALREEKIARQIEEYTRLISSIKARIKLVCEGIRELLQLPAEKSLPQRVF >Potri.001G297500.1.v4.1 pep chromosome:Pop_tri_v4:1:30806060:30810108:-1 gene:Potri.001G297500.v4.1 transcript:Potri.001G297500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297500.v4.1 MEKKQGFFSALKEEVVRGLSPSRSRSNSPARTASPMSGLLRRKKHNKETSFFASQPEPVIGRSGSLRPMVGEALSPLMEGPDPDGGEGERKRVGSGLGQWMRGQLSRAPSVTSLAYKRSDLRLLLGVMGAPLAPVHVSTLDPLPHLSIKDTPIETSSAQYILQQYMAASGGHQVQNSIRNAYAMGKLKMIASEFETPTRVVKNRNGARGVESGGFVLWQMNPDMWYVELAVGGSKVHAGCNGKLVWRHTPWLGAHTAKGPVRPLRRALQGLDPRTTASMFADAKCIGEKNINGEDCFILKLFADPQTLKARSEGPAEIIRHVLFGYFSQKTGLLVHMEDSHLTRIQSNGGDAVYWETTINSFLDDYRPVEGIMIAHSGRTVVTLYRFGEVAMSHTKTKMEEAWTIEEVAFNVPGLSVDCFIPPADLKSGSFSEACELAQDERGKSAMALAAYRAKVAALDKSDDSCADNMIWKMEVSD >Potri.001G127900.2.v4.1 pep chromosome:Pop_tri_v4:1:10482336:10489046:1 gene:Potri.001G127900.v4.1 transcript:Potri.001G127900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G127900.v4.1 MEESFQEDEVMEVALRGRRPRQDSQSSTTISTTSTNGDTDVHDPVDSSMTANGSAELINQEQNGKQETSIFVNKGTESIDGFHGLKDAIVDIVYSKPERFFPEVSSLPPSKVSLEEQIVPVSSTNQGTTGHGDISHIKEVAELYLERVFEKPAAHEFYCPNCKSCIKKVIIRGNEEGSRDAQRPGPVKTFRCTSCFSFLIPIGNWFFPNLVPKGEEDTLHHEKDTVQVPSPDSSTYKSPSGGTPPLDQTKAPSSETVHDEDTNASVMNRIRSPVATTVNQEQIYGTTGPQEADRINAASSKQGSKPLEVIIVGGRKVDDAGPEAEPPLSRPDIADSTEGTTDSELRGAKKLEIVKSIVYGGLIESITSLSVVTSAAGAEATTLNIISLSLANLIGGIFIIAHSLSDLKSEQPRGASSQTNEQVDRYQQLLGRRENFLLHATIALLSFLVFGIVPPAVYGFTFMETDDKNFKLAAVAVTSLLCITILAIGKAYIQNSPKPYLKTVLHYFVTGIMASGVSYVVGDLAKKLFEKLAWFEPGEAVPVRLAEMSSGRLAWASY >Potri.010G125700.1.v4.1 pep chromosome:Pop_tri_v4:10:14297812:14298210:-1 gene:Potri.010G125700.v4.1 transcript:Potri.010G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125700.v4.1 MSAEFDLTFVGAQSQLWISFFITKAFTLCVGGVVSWCFCFFCYMLLCCFKQRLNLGYPAVSMPLKPKRPCSGVEAFHLKRFFNLFLFLRGALT >Potri.013G090800.3.v4.1 pep chromosome:Pop_tri_v4:13:9198730:9203783:-1 gene:Potri.013G090800.v4.1 transcript:Potri.013G090800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090800.v4.1 MVVHRDLKPENLLLDSKHNVKIADFGLSNIMQDGHFLKTNCGSYNYAAPEVLARKLYAGPEVDIWSCGVILYALLCGSLPFDDESIPNLLRKIKGGIYSIPRYLSPGATDMISKMLMVDPMRRMNMPEIRQHPWFQAHLPRYLAVPLPDTMQYAKKIDVEIFQEVVKLGFDGKQLTESIICRMQNEASVAYHLLLDHQFRDSNGYLGAEIQETTESSLSSMHPDEPSSSTGRLLPGHINNQGLALQFPGNRKWALGLQSRAHPHEIMIVVLRALQELTVCWKKIGDYNMKCRWIPGTLEPHKGKAMAVNDHPVHNSDLLAQPSIVEKDAIMNPPNVVKFEVQLYKSHEEKYLLDLQRVEGPQLLFLDLCAAFLVQLRVL >Potri.013G090800.2.v4.1 pep chromosome:Pop_tri_v4:13:9198699:9204597:-1 gene:Potri.013G090800.v4.1 transcript:Potri.013G090800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090800.v4.1 MEGSSRRKRSRVEMLSPNYKLGKTLGYGSFGEVKLAEHKLTGLHVAIKILNRHEMKKQGMEEKARREIKILKMLMHPHIIRLYEVIETSSDIFVVMEYAKCGELFEYILEKGRLEEDEARSFFQQTISGLEFCHRNMVVHRDLKPENLLLDSKHNVKIADFGLSNIMQDGHFLKTNCGSYNYAAPEVLARKLYAGPEVDIWSCGVILYALLCGSLPFDDESIPNLLRKIKGGIYSIPRYLSPGATDMISKMLMVDPMRRMNMPEIRQHPWFQAHLPRYLAVPLPDTMQYAKKIDVEIFQEVVKLGFDGKQLTESIICRMQNEASVAYHLLLDHQFRDSNGYLGAEIQETTESSLSSMHPDEPSSSTGRLLPGHINNQGLALQFPGNRKWALGLQSRAHPHEIMIVVLRALQELTVCWKKIGDYNMKCRWIPGTLEPHKGKAMAVNDHPVHNSDLLAQPSIVEKDAIMNPPNVVKFEVQLYKSHEEKYLLDLQRVEGPQLLFLDLCAAFLVQLRVL >Potri.013G090800.4.v4.1 pep chromosome:Pop_tri_v4:13:9198699:9204597:-1 gene:Potri.013G090800.v4.1 transcript:Potri.013G090800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090800.v4.1 MEGSSRRKRSRVEMLSPNYKLGKTLGYGSFGEVKLAEHKLTGLHVAIKILNRHEMKKQGMEEKARREIKILKMLMHPHIIRLYEVIETSSDIFVVMEYAKCGELFEYILEKGRLEEDEARSFFQQTISGLEFCHRNMVVHRDLKPENLLLDSKHNVKIADFGLSNIMQDGHFLKTNCGSYNYAAPEVLARKLYAGPEVDIWSCGVILYALLCGSLPFDDESIPNLLRKIKGGIYSIPRYLSPGATDMISKMLMVDPMRRMNMPEIRQHPWFQAHLPRYLAVPLPDTMQYAKKIDVEIFQEVVKLGFDGKQLTESIICRMQNEASVAYHLLLDHQFRDSNGYLGAEIQETTVCLSFPCLLYRGKNVVDILIYVGK >Potri.013G090800.5.v4.1 pep chromosome:Pop_tri_v4:13:9198699:9204597:-1 gene:Potri.013G090800.v4.1 transcript:Potri.013G090800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090800.v4.1 MEGSSRRKRSRVEMLSPNYKLGKTLGYGSFGEVKLAEHKLTGLHVAIKILNRHEMKKQGMEEKARREIKILKMLMHPHIIRLYEVIETSSDIFVVMEYAKCGELFEYILEKGRLEEDEARSFFQQTISGLEFCHRNMVVHRDLKPENLLLDSKHNVKIADFGLSNIMQDGHFLKTNCGSYNYAAPEVLARKLYAGPEVDIWSCGVILYALLCGSLPFDDESIPNLLRKIKGGIYSIPRYLSPGATDMISKMLMVDPMRRMNMPEIRQHPWFQAHLPRYLAVPLPDTMQYAKKEVVKLGFDGKQLTESIICRMQNEASVAYHLLLDHQFRDSNGYLGAEIQETTVCLSFPCLLYRGKNVVDILIYVGK >Potri.017G029400.2.v4.1 pep chromosome:Pop_tri_v4:17:1996257:1998165:-1 gene:Potri.017G029400.v4.1 transcript:Potri.017G029400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G029400.v4.1 MQMDVDERIDIAESSIGNELVEHGGELVEHEGDDMVVEPHEGMEFESEDAAKIFYDEYARRIGFVMRVMSCRRSERDGRILARRLGCNKEGYCVSIRGKFGNVRKPRPSTREGCKAMIHVKFDKSGKWVITKFVKDHNHPLVVAPREARQTLDEKDKKIQELTAELRNKKRLCATYQEQLSAFVKIVEDHSEKLSKKVKNAVENLKEFESIEQELMQHT >Potri.008G161100.1.v4.1 pep chromosome:Pop_tri_v4:8:11074093:11076074:-1 gene:Potri.008G161100.v4.1 transcript:Potri.008G161100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161100.v4.1 MEGGVAYENDLNLKETELRLGLPGTGCTNEKGVSGARNNKRPFPETREEGGANGKSDAQHDDQETASAPKVQIVGWPPIRSYRKNSFQPKKAEDEAAAGMVQIVGWPPIRSYRKNSLQPKKAEDEAAAGMYVKVSMDGAPYLRKIDLKVYKGYPELLKALENMFKLTIGEYSEREGYKGSEYAPTYEDKDGDWMLVGDVPWDMFLSSCKKLRIMKGSEAIGLGCGA >Potri.002G204700.1.v4.1 pep chromosome:Pop_tri_v4:2:16960293:16962246:-1 gene:Potri.002G204700.v4.1 transcript:Potri.002G204700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204700.v4.1 MSHNSRQSLDSCTLQLHSWRPFLDSDPTTSYKPHASSPTLTKRPCLSDRSTSFPSNVDSIDLSKLTLLEDDHNNTNNKPIPAVTSRPYKRGTLRLIQRKRRRRGSRSVSGRSSDRSGTRRCCSVGAASAAHATCSDFHVAVGTDSSGELFVNGDANWASDVSQAKNSVKGREEKENLLGVGNVIGNLDSESGYGSEPGYRGDAEVGYGDEVDEEEDDARLLFWGHHFQDSKMEMVGENTFDSKTHHRCRRKKHDCSRMVDPVR >Potri.001G418100.1.v4.1 pep chromosome:Pop_tri_v4:1:44606458:44610210:1 gene:Potri.001G418100.v4.1 transcript:Potri.001G418100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418100.v4.1 MDTLSSMLIIIANLLLLFSRFCNTANTLTLSQSIRDGGTRTLVSKDGSFELGFFSPGSSRNRYVGIWYKNIPVRTVVWVANRNNPINDSSGFLMLDNTGNFVLVSNNNSTVVWSSNSKKAAQSAMGELQDSGNLVLRDEKDDNSGIYLWQSFDYPSDTLLPGMKLGWDLRIGLDRRLSAWKSPDDPSSGDFTWGTQLQSNPELVMWKGSKKYYRSGPWNGIGFSGGLALRINPVFYFDFVDDGEEVYYTYNLKNKSLITRIVMNQTTYFRQRYTWNEINQTWVLYATVPRDYCDTYNLCGAYGNCIMSQSPVCQCLEKFTPRSPESWNSMDWSKGCVRNKPLDCQKGDGFVKYVGLKLPDATNSWVNKTMNLKECRSKCLQNCSCMAYTATNIKERSGCAVWFGDLIDIRQFPAAGQEIYIRMNASESKAKAASNIKMAVGIALSISVVCGMLLVAYYIFKRKAKLIGGNREENDQIDSGPKEDLELPLFQFTTIAKATNGFSFNNKLGEGGFGPVYKGTLEDGQEIAAKTLSRSSGQGLNEFKNEVILITKLQHRNLVKLLGCCIQGEEKILVYEYMPNKSLDSFIFDQTRGKLLDWSKRFSIICGIARGLLYLHQDSRLRIVHRDLKASNVLLDKDMNPKISDFGLARMFGGDQTEGNTTRVVGTYGYMAPEYATDGLFSVKSDVFSFGILMLEIISGKKSRGFCHPDHSLSLIGHAWRLWKDGKPLGLIEAFPGESCNLSEVIMRCINISLLCVQQHPDDRPSMATVVWMLGGENTLPQPKEPGFFKGSGPFRPSSSSKNTELFSNNEITSSLLYPR >Potri.011G104200.2.v4.1 pep chromosome:Pop_tri_v4:11:13250224:13253693:1 gene:Potri.011G104200.v4.1 transcript:Potri.011G104200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104200.v4.1 MESRTRKRSSLNNNEDRISTLPNVLLHRILSFVDAVQVVQTCVLSKRWMNVWKSHPYLDFNFETFSSLINSDYYEDEMVNFTDFINQVLVRRNNFKAIKISLDLCGHTRYSLVESLIYYAVKHHAEEICIDTACRDVPIVLPRCFFNCESLRSLKLRIDGGIALPKSLGLPSLKTLHLGGAQNFDGKIFSSCPNLENLTIEDICLNTIENFNIHALNLKSLEILNWRYSRIMRGCKVMLFAPKLTSFKFDGNTPLFWSEVNLTSLDDVNVVLQRYYYRHDYQFYVDEDEYISGEDETKQGFCLDLLKMLHQFCSAKSLTLSMNIIEVLSKVPAALNKHPSPFSNLKYLKLKTDHKDVTLPAHVLNYFLSSSSLLKVCF >Potri.004G197600.1.v4.1 pep chromosome:Pop_tri_v4:4:20943163:20944226:1 gene:Potri.004G197600.v4.1 transcript:Potri.004G197600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197600.v4.1 MADRVHPRDDSPPQTTEFKPPPAAAHYPESPTKPDAPRSEKPVPPPPGTYVIQIPKDQVYRVPPPENAKRHERLTRRKPSRSPCCCCLCWLLALLTALIFLVGVAAAVFYFVFRPESPDYSVERLSISGFNLTSSRWVSPEFDVTVRANNPNDKIGIYYRRGSSVDVYYDSVKLATGSLPIFYQGTNNVTVFVTPLKGSAVELTSGDRTAMIDEVGKGQVPFKLALRAPVKIKVGSVETWEITVKVDCDLTVDKLTATAEIGSKKCDYGVDLW >Potri.010G107300.1.v4.1 pep chromosome:Pop_tri_v4:10:12847631:12849392:1 gene:Potri.010G107300.v4.1 transcript:Potri.010G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G107300.v4.1 MACLVARSGRELQRYDNLGRRQVVGCIPYRFKNCSDGSVGDELEVLVITSQKGQARGMMFPKGGWELDESVEEAASRESLEEAGVLGNVEDGLGKWNFLSKRHGTFYEGYMFPLLVTKQLDLWPEKNVRQRIWMTVDEAREVCRHWWMKEALDMLVERHKSLQQQNEEHMLSCSLR >Potri.011G138500.1.v4.1 pep chromosome:Pop_tri_v4:11:16982281:16983627:-1 gene:Potri.011G138500.v4.1 transcript:Potri.011G138500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138500.v4.1 MGGGTEAFPDLGRHCQHSECKQLDFLPFNCNGCRKVFCLEHRSYKSHECPKSDHKSRKVVVCETCSASIETTGCNEDAEKVVLLKHEKSGDCDPRKKKKKKPTCAVKRCKEILTFSNTCTCKTCQLKVCLKHRFPADHACKKYHPLQYM >Potri.009G045601.1.v4.1 pep chromosome:Pop_tri_v4:9:5182826:5184737:1 gene:Potri.009G045601.v4.1 transcript:Potri.009G045601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045601.v4.1 MLKARRLSFFICLWKQNLVYWRSPQYNGVRLFFTVLAAFLLGSVFWDIGSERDSAQALFLVMGALCSSCMFLGVSNASTVQPIVSIERTVFYREKAAGMYSPLSYAMAQVTVTVLKK >Potri.011G120900.1.v4.1 pep chromosome:Pop_tri_v4:11:15160231:15163659:1 gene:Potri.011G120900.v4.1 transcript:Potri.011G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G120900.v4.1 MAALFSSLVNRTQNLVANAIMRRSYFKKATTQRNNLYSRISPLGDPRISLAPVLDQWVEEGKKVKDYELRTIVKGLRERKRFKQALEVSQWMSSNRLCNFSPSDDAVRLDLIGKVHGLESAESYFKNLDEKDKIHKTYGALLNCYVRGGLVEKSLSHVQKMKELGFFSTALNYNDLMCLYVNTGLLEKVPDVLSDMKENGISPDLFSYRICLKSYGERSDFDNVEKILREMESQSHISMDWRTFATVANIYLEAGLKEKALVYLKKCEEKVNKNALGYNHLISLYASLGNKDEMMRLWELAKANCKKQLNRDYITILGSLVKLGHLEEAEKLLQDWESSCQYYDFRVPNVVLIGYSRKGLPEKAEAMLQDIIEKQKMKNPSSWSIISAGYMDKQNMEKAFECMKEALAAETENNGWRPKPAMISNILNWLGDNRDAQEVEAFVGLLETKVPKSREMYHALIKSYIRCGKEVDGLLESMKAADNIDEDEETKTILSSRQQV >Potri.001G171100.1.v4.1 pep chromosome:Pop_tri_v4:1:14715506:14722573:1 gene:Potri.001G171100.v4.1 transcript:Potri.001G171100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171100.v4.1 MNLQQAMQPKSSANGFGRRRTEKDWGTRFENKVQSGKAHTNRPSNAGATGKVGVCESPLRDRLVYLTTCLIGHPVEVQLKNGSVYSGTCYTTNAEKEFAIILKMARLIKDVSLRGPKAECVSKAPSKTLILPGKEVVQVIAKDVSVTIDGMSNELQQAKQQEIMIDSFISQSRLVETERELEPWVPDEDELQCPELENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPQTKDMEREALRIAREIEGEETRDLHLAEERGIHLHESFEVDEETRFSSVYRGGAIDDGGHEELDDVVLSSLNSETFGGPSASSIKKSADLTHAKSNVGTRVLSTSSLDEVQCSQSSTCADLHHPGSHDHAAKLASEPPTSLSTSDSESRAQEDRHFEHGELDSIKERVEEKMLTEDAQLSKGKDSKSLDDKKNESDKGRLSSNTTAYTPSSHVFSKNNKKTSSPGQLLDGVASAKGAVEMQPVNSRGRPGSSASSNSDRAGALPASSGPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPCQTPARPPSPMSDGSFYFQPNLSAPPHMHGMPVGVGPGPSFNGQQPVMFNPQVTPLQAPQAYFHPGGPQFGQQMLLGHPRQVLYMPSYQPEMPYKGREF >Potri.001G171100.2.v4.1 pep chromosome:Pop_tri_v4:1:14715378:14722587:1 gene:Potri.001G171100.v4.1 transcript:Potri.001G171100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171100.v4.1 MNLQQAMQPKSSANGFGRRRTEKDWGTRFENKVQSGKAHTNRPSNAGATGKVGVCESPLRDRLVYLTTCLIGHPVEVQLKNGSVYSGTCYTTNAEKEFAIILKMARLIKDVSLRGPKAECVSKAPSKTLILPGKEVVQVIAKDVSVTIDGMSNELQQAKQQEIMIDSFISQSRLVETERELEPWVPDEDELQCPELENIFDGHWNRGWDQFETNEMLFGVKSTFDEELYTTKLERGPQTKDMEREALRIAREIEGEETRDLHLAEERGIHLHESFEVDEETRFSSVYRGGAIDDGGHEELDDVVLSSLNSETFGGPSASSIKKSADLTHAKSNVGTRVLSTSSLDEVQCSQSSTCADLHHPGSHDHAAKLASEPPTSLSTSDSESRAQEDRHFEHGELDSIKERVEEKMLTEDAQLSKDSKSLDDKKNESDKGRLSSNTTAYTPSSHVFSKNNKKTSSPGQLLDGVASAKGAVEMQPVNSRGRPGSSASSNSDRAGALPASSGPGLSPSSSMGSLSSEKSTLNPHAKEFKLNPNAKSFTPCQTPARPPSPMSDGSFYFQPNLSAPPHMHGMPVGVGPGPSFNGQQPVMFNPQVTPLQAPQAYFHPGGPQFGQQMLLGHPRQVLYMPSYQPEMPYKGREF >Potri.013G081300.10.v4.1 pep chromosome:Pop_tri_v4:13:7306641:7323021:-1 gene:Potri.013G081300.v4.1 transcript:Potri.013G081300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081300.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEIERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPPFKSSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFIDHSCTPTTANGAHPPPPSNTPLVGPIPKAGAFPPIGAHGPFQPVVSPTPGAIAGWMSANNPSLPHPAVAAGPPTLVQPSSAAAFLKHPRTPTGMTGMNYQSADSEHLMKRMRPGQSEEVSFSGIAHTPNIYSQDDLPKTVVRTLNQGSNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLAHKPFKVWDLSASSMPLQTALLNDAAISVNRCVWGPDGLMLGVAFSKHIVQIYTYNPTGEPRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKMIKVWDAGAGGRQYIFEGHEAPVYSLCPHYKENIQFIFSTAIDGKIKAWLYDSLGSRVDYDAPGLWCTMMAYSADGTRLFSCGTSKEGESHLVEWNESEGSIKRTYLGFRKRSLDVVQFDTTRSHFLAAGDEFQIKFWDMDNTNMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILASSDGLRLIRMLESRAIDKSRSPSEPINSKPLIVNALGSVANVSSGLASSLERSDRIQPAVSIGNLGTMDNSRLVDVKPRISDDTDKLKSWKSDIVDSSQLKALRLPDSIVAGKVVRLIYTNSGLALLALASNAVHKLWKWQRSERNLTGKATASNAPQLWQPPSGTPMTNDINESKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTVQIYNVRVDEVKTKLKGHQNRITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKKKMRFIQAPPSRQSPLVGETRVQFHNDQAHLLVVHESQIAIYDSKLECSRSWSPKDTLAAPISSAIYSSDGFLVYTGFCDGAVGVFDADSLRIRCRIAPSAYIPSHPAGTAYPLVIAAHPSEPNQIALGMSDGAVHVVEPSDVEMKWGGPSSQDNGTHPSNTSNPSPSGHLSELPSR >Potri.013G081300.7.v4.1 pep chromosome:Pop_tri_v4:13:7305924:7323021:-1 gene:Potri.013G081300.v4.1 transcript:Potri.013G081300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081300.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEIERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPPFKSSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFIDHSCTPTTANGAHPPPPSNTPLVGPIPKAGAFPPIGAHGPFQPVVSPTPGAIAGWMSANNPSLPHPAVAAGPPTLVQPSSAAAFLKHPRTPTGMTGMNYQSADSEHLMKRMRPGQSEEVSFSGIAHTPNIYSQDDLPKTVVRTLNQGSNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLAHKPFKVWDLSASSMPLQTALLNDAAISVNRCVWGPDGLMLGVAFSKHIVQIYTYNPTGEPRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKMIKVWDAGAGGRQYIFEGHEAPVYSLCPHYKENIQFIFSTAIDGKIKAWLYDSLGSRVDYDAPGLWCTMMAYSADGTRLFSCGTSKEGESHLVEWNESEGSIKRTYLGFRKRSLDVVQFDTTRSHFLAAGDEFQIKFWDMDNTNMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILASSDGLRLIRMLESRAIDKSRSPSEPINSKPLIVNALGSVANVSSGLASSLERSDRIQPAVSIGNLGTMDNSRLVDVKPRISDDTDKLKSWKSDIVDSSQLKALRLPDSIVAGKVVRLIYTNSGLALLALASNAVHKLWKWQRSERNLTGKATASNAPQLWQPPSGTPMTNDINESKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTVQIYNVRVDEVKTKLKGHQNRITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKKKMRFIQAPPSRQSPLVGETRVQFHNDQAHLLVVHESQIAIYDSKLECSRSWSPKDTLAAPISSAIYSSDGFLVYTGFCDGAVGVFDADSLRIRCRIAPSAYIPSHPAGSTAYPLVIAAHPSEPNQIALGMSDGAVHVVEPSDVEMKWGGPSSQDNGTHPSNTSNPSPSGHLSELPSR >Potri.013G081300.8.v4.1 pep chromosome:Pop_tri_v4:13:7305873:7322989:-1 gene:Potri.013G081300.v4.1 transcript:Potri.013G081300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081300.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEIERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPPFKSSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFIDHSCTPTTANGAHPPPPSNTPLVGPIPKAGAFPPIGAHGPFQPVVSPTPGAIAGWMSANNPSLPHPAVAAGPPTLVQPSSAAAFLKHPRTPTGMTGMNYQSADSEHLMKRMRPGQSEEVSFSGIAHTPNIYSQDDLPKTVVRTLNQGSNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLAHKPFKVWDLSASSMPLQTALLNDAAISVNRCVWGPDGLMLGVAFSKHIVQIYTYNPTGEPRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKMIKVWDAGAGGRQYIFEGHEAPVYSLCPHYKENIQFIFSTAIDGKIKAWLYDSLGSRVDYDAPGLWCTMMAYSADGTRLFSCGTSKEGESHLVEWNESEGSIKRTYLGFRKRSLDVVQFDTTRSHFLAAGDEFQIKFWDMDNTNMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILASSDGLRLIRMLESRAIDKSRSPSEPINSKPLIVNALGSVANVSSGLASSLERSDRIQPAVSIGNLGTMDNSRLVDVKPRISDDTDKLKSWKSDIVDSSQLKALRLPDSIVAGKVVRLIYTNSGLALLALASNAVHKLWKWQRSERNLTGKATASNAPQLWQPPSGTPMTNDINESKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTVQIYNVRVDEVKTKLKGHQNRITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKKKMRFIQAPPSRQSPLVGETRVQFHNDQAHLLVVHESQIAIYDSKLECSRSWSPKDTLAAPISSAIYSSDGFLVYTGFCDGAVGVFDADSLRIRCRIAPSAYIPSHPAGSTAYPLVIAAHPSEPNQIALGMSDGAVHVVEPSDVEMKWGGPSSQDNGTHPSNTSNPSPSGHLSELPSR >Potri.013G081300.9.v4.1 pep chromosome:Pop_tri_v4:13:7306015:7322970:-1 gene:Potri.013G081300.v4.1 transcript:Potri.013G081300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G081300.v4.1 MSSLSRELVFLILQFLDEEKFKETVHKLEQESGFFFNMKHFEDQVQAGEWDEIERYLCGFTKVEDNRYSMKIFFEIRKQKYLEALDRQDRAKAVEILVKDLKVFASFNEELFKEITQLLTLDNFRQNEQLSKYGDTKSARNIMLVELKKLIEANPLFRDKLTFPPFKSSRLRTLINQSLNWQHQLCKNPRSNPDIKTLFIDHSCTPTTANGAHPPPPSNTPLVGPIPKAGAFPPIGAHGPFQPVVSPTPGAIAGWMSANNPSLPHPAVAAGPPTLVQPSSAAAFLKHPRTPTGMTGMNYQSADSEHLMKRMRPGQSEEVSFSGIAHTPNIYSQDDLPKTVVRTLNQGSNVMSMDFHPQHQTILLVGTNVGDISLWEVGSRERLAHKPFKVWDLSASSMPLQTALLNDAAISVNRCVWGPDGLMLGVAFSKHIVQIYTYNPTGEPRQHLEIDAHVGGVNDIAFAHPNKQLCIVTCGDDKMIKVWDAGAGGRQYIFEGHEAPVYSLCPHYKENIQFIFSTAIDGKIKAWLYDSLGSRVDYDAPGLWCTMMAYSADGTRLFSCGTSKEGESHLVEWNESEGSIKRTYLGFRKRSLDVVQFDTTRSHFLAAGDEFQIKFWDMDNTNMLTAVDADGGLPASPRLRFNKEGSLLAVTTSDNGIKILASSDGLRLIRMLESRAIDKSRSPSEPINSKPLIVNALGSVANVSSGLASSLERSDRIQPAVSIGNLGTMDNSRLVDVKPRISDDTDKLKSWKSDIVDSSQLKALRLPDSIVAGKVVRLIYTNSGLALLALASNAVHKLWKWQRSERNLTGKATASNAPQLWQPPSGTPMTNDINESKPAEESAACIALSKNDSYVMSASGGKVSLFNMMTFKVMTTFMSPPPAATFLAFHPQDNNIIAIGMEDSTVQIYNVRVDEVKTKLKGHQNRITGLAFSQSLNVLVSSGADAQLCVWSIDGWEKKKMRFIQAPPSRQSPLVGETRVQFHNDQAHLLVVHESQIAIYDSKLECSRSWSPKDTLAAPISSAIYSSDGFLVYTGFCDGAVGVFDADSLRIRCRIAPSAYIPSHPAGTAYPLVIAAHPSEPNQIALGMSDGAVHVVEPSDVEMKWGGPSSQDNGTHPSNTSNPSPSGHLSELPSR >Potri.001G357700.1.v4.1 pep chromosome:Pop_tri_v4:1:37266645:37293013:1 gene:Potri.001G357700.v4.1 transcript:Potri.001G357700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357700.v4.1 MEEPLGDEGARKAPTSERQKQQSSVSSVETSMKVSKTTKPTISATSNLSAPKRSKPKNTSDSISNVTTTTASSRKTSSVPVTRRNSTGGAPEKLSVSATKLQNTTTGSGGKTNAVSDPVKQSLPQLRRSSLPSAKPTIRTSSVSEARKSVPMDKSLRTSIGSGVRKPETVKKSSVKPALSVSSSSSSRRLTSSSLDSTGSSMSRKTISKLSSPSARSPSSSSGLRAGSLSISLDRSSNLSGRRRAGTPESRDSRFIILPQVEINKAGDDVRLDLRGHKVRSLNASGLNLTQNLEFVYLRDNLLHTLEGIEILKRVKVLDLSFNEFKGPEFEPLENCQALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLRSLSMAGQPRLQVLAASKNKITTLKSFPHLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSREEVAIAKRYPACTALCIRYGWELCRPEKAADSTFCFLYEQWKEHFPPGYLLKDALVDQPFEEDACHCHFVFVQDNNLSADPQLVLKYQWFVEERALSSFSAIPDATGEVYWPKHEDIGKFLKVECTPIMGEIKYPPVFAISSQVSPGNGIPKVVNLEVQGELVEGNVVKGYAEIAWCGGTPGKGVASWLRRRWNSSPTVIAGAEDEGYRLTLDDIDSSVVFMYTPVTEEGAKGEPHYKYTDFVKAAPPSVSNVRIIGDVVEGNIVKGVGNYFGGKEGPSKFEWLRENKNTGDFVSISTGTSEYALTNEDVGGRLAFVYSPINFEGQEGESVTILSLPVKRAPPKVKNVKIIGHLRENSKVTVTGTVTGGTESSSRVQWFKTSSSTLDGENSLDALSTAKIAKAFRIPLGAVGYYIVAKYTPMTPDGESGEPAYAISEKAVETLPPSLNFLSISGDYIEGGLLTASYGYVGGHEGKSEYNWYLHEFESDTGSLILEGSGVLQCRVTRDAIGKFISFQCVPVRDDGIVGEPRTCMGVERVRPGSPRLLSLQIVGTAIEGTMLTVDKKYWGGQEGNSVFRWFRTSSDGTQIEIRGATTASYVLLVDDISCFVSVSCEPVRSDWARGPIVLSEQMGPIIPGPPNCQSLEFLGSMLEGQRLSFVASYSGGERGNCFHEWFRVKSGDIKEKLSEDEFLDLTLKDVGKHIELVYTPIRKDGAKGSSQTILSNVIAPADPVGLELVIPSCYEDKEVTPQKTYFGGQEGAGEYIWFRTRNKLNKSELLDIANAGDHVLICGKTLAYTPSIEDVGAYLALYWLPTRADGKCGKPLVSISNSPVNPALPVVSNVHVKKLPSGVYAGEGKYFGGHEGLSLFSWYRETNDGAIILIEGATYRTYEVTDSDYNCRLLFGYTPVRSDSVVGELKLSEPTGLVLPELPKVEMVSLTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKEVRYQWFCSSVSGDSNSFEHLPAQRSCSYKLRLEDIGRCFKCECVVTDVFGRSSEPAYAEIGPVLPGIPRIAKLEIEGRGFHTNLYAVRGVYSGGKEGKSRIQWLRSMVGSPDLISIPGEVGRMYEANVDDVGYRLVAIYTPVREDGVEGQPVSASTEATAVEPDVLKEVKQKLELGSVKFEVLFNKDCSPKKILGEGSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGSYAPPFHVDLFRNDQHRLRIVVDSENEVDLMVPSRHLRDVIVLVIRGFAQRFNSTSLNSLLKIET >Potri.001G357700.2.v4.1 pep chromosome:Pop_tri_v4:1:37267249:37293218:1 gene:Potri.001G357700.v4.1 transcript:Potri.001G357700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357700.v4.1 MEEPLGDEGARKAPTSERQKQQSSVSSVETSMKVSKTTKPTISATSNLSAPKRSKPKNTSDSISNVTTTTASSRKTSSVPVTRRNSTGGAPEKLSVSATKLQNTTTGSGGKTNAVSDPVKQSLPQLRRSSLPSAKPTIRTSSVSEARKSVPMDKSLRTSIGSGVRKPETVKKSSVKPALSVSSSSSSRRLTSSSLDSTGSSMSRKTISKLSSPSARSPSSSSGLRAGSLSISLDRSSNLSGRRRAGTPESRDSRFIILPQVEINKAGDDVRLDLRGHKVRSLNASGLNLTQNLEFVYLRDNLLHTLEGIEILKRVKVLDLSFNEFKGPEFEPLENCQALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLRSLSMAGQPRLQVLAASKNKITTLKSFPHLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSREEVAIAKRYPACTALCIRYGWELCRPEKAADSTFCFLYEQWKEHFPPGYLLKDALVDQPFEEDACHCHFVFVQDNNLSADPQLVLKYQWFVEERALSSFSAIPDATGEVYWPKHEDIGKFLKVECTPIMGEIKYPPVFAISSQVSPGNGIPKVVNLEVQGELVEGNVVKGYAEIAWCGGTPGKGVASWLRRRWNSSPTVIAGAEDEGYRLTLDDIDSSVVFMYTPVTEEGAKGEPHYKYTDFVKAAPPSVSNVRIIGDVVEGNIVKGVGNYFGGKEGPSKFEWLRENKNTGDFVSISTGTSEYALTNEDVGGRLAFVYSPINFEGQEGESVTILSLPVKRAPPKVKNVKIIGHLRENSKVTVTGTVTGGTESSSRVQWFKTSSSTLDGENSLDALSTAKIAKAFRIPLGAVGYYIVAKYTPMTPDGESGEPAYAISEKAVETLPPSLNFLSISGDYIEGGLLTASYGYVGGHEGKSEYNWYLHEFESDTGSLILEGSGVLQCRVTRDAIGKFISFQCVPVRDDGIVGEPRTCMGVERVRPGSPRLLSLQIVGTAIEGTMLTVDKKYWGGQEGNSVFRWFRTSSDGTQIEIRGATTASYVLLVDDISCFVSVSCEPVRSDWARGPIVLSEQMGPIIPGPPNCQSLEFLGSMLEGQRLSFVASYSGGERGNCFHEWFRVKSGDIKEKLSEDEFLDLTLKDVGKHIELVYTPIRKDGAKGSSQTILSNVIAPADPVGLELVIPSCYEDKEVTPQKTYFGGQEGAGEYIWFRTRNKLNKSELLDIANAGDHVLICGKTLAYTPSIEDVGAYLALYWLPTRADGKCGKPLVSISNSPVNPALPVVSNVHVKKLPSGVYAGEGKYFGGHEGLSLFSWYRETNDGAIILIEGATYRTYEVTDSDYNCRLLFGYTPVRSDSVVGELKLSEPTGLVLPELPKVEMVSLTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKEVRYQWFCSSVSGDSNSFEHLPAQRSCSYKLRLEDIGRCFKCECVVTDVFGRSSEPAYAEIGPVLPGIPRIAKLEIEGRGFHTNLYAVRGVYSGGKEGKSRIQWLRSMVGSPDLISIPGEVGRMYEANVDDVGYRLVAIYTPVREDGVEGQPVSASTEATAVEPDVLKEVKQKLELGSVKFEVLFNKDCSPKKILGEGSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGSYAPPFHVDLFRNDQHRLRIVVDSENEVDLMVPSRHLRDVIVLVIRGFAQRFNSTSLNSLLKIET >Potri.001G357700.3.v4.1 pep chromosome:Pop_tri_v4:1:37266522:37293200:1 gene:Potri.001G357700.v4.1 transcript:Potri.001G357700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357700.v4.1 MEEPLGDEGARKAPTSERQKQQSSVSSVETSMKVSKTTKPTISATSNLSAPKRSKPKNTSDSISNVTTTTASSRKTSSVPVTRRNSTGGAPEKLSVSATKLQNTTTGSGGKTNAVSDPVKQSLPQLRRSSLPSAKPTIRTSSVSEARKSVPMDKSLRTSIGSGVRKPETVKKSSVKPALSVSSSSSSRRLTSSSLDSTGSSMSRKTISKLSSPSARSPSSSSGLRAGSLSISLDRSSNLSGRRRAGTPESRDSRFIILPQVEINKAGDDVRLDLRGHKVRSLNASGLNLTQNLEFVYLRDNLLHTLEGIEILKRVKVLDLSFNEFKGPEFEPLENCQALQQLYLAGNQITSLVSLPQLPNLEFLSVAQNKLRSLSMAGQPRLQVLAASKNKITTLKSFPHLPVLEHLRVEENPILKMPHLEAASILLVGPTLKKFNDRDLSREEVAIAKRYPACTALCIRYGWELCRPEKAADSTFCFLYEQWKEHFPPGYLLKDALVDQPFEEDACHCHFVFVQDNNLSADPQLVLKYQWFVEERALSSFSAIPDATGEVYWPKHEDIGKFLKVECTPIMGEIKYPPVFAISSQVSPGNGIPKVVNLEVQGELVEGNVVKGYAEIAWCGGTPGKGVASWLRRRWNSSPTVIAGAEDEGYRLTLDDIDSSVVFMYTPVTEEGAKGEPHYKYTDFVKAAPPSVSNVRIIGDVVEGNIVKGVGNYFGGKEGPSKFEWLRENKNTGDFVSISTGTSEYALTNEDVGGRLAFVYSPINFEGQEGESVTILSLPVKRAPPKVKNVKIIGHLRENSKVTVTGTVTGGTESSSRVQWFKTSSSTLDGENSLDALSTAKIAKAFRIPLGAVGYYIVAKYTPMTPDGESGEPAYAISEKAVETLPPSLNFLSISGDYIEGGLLTASYGYVGGHEGKSEYNWYLHEFESDTGSLILEGSGVLQCRVTRDAIGKFISFQCVPVRDDGIVGEPRTCMGVERVRPGSPRLLSLQIVGTAIEGTMLTVDKKYWGGQEGNSVFRWFRTSSDGTQIEIRGATTASYVLLVDDISCFVSVSCEPVRSDWARGPIVLSEQMGPIIPGPPNCQSLEFLGSMLEGQRLSFVASYSGGERGNCFHEWFRVKSGDIKEKLSEDEFLDLTLKDVGKHIELVYTPIRKDGAKGSSQTILSNVIAPADPVGLELVIPSCYEDKEVTPQKTYFGGQEGAGEYIWFRTRNKLNKSELLDIANAGDHVLICGKTLAYTPSIEDVGAYLALYWLPTRADGKCGKPLVSISNSPVNPALPVVSNVHVKKLPSGVYAGEGKYFGGHEGLSLFSWYRETNDGAIILIEGATYRTYEVTDSDYNCRLLFGYTPVRSDSVVGELKLSEPTGLVLPELPKVEMVSLTGKAIEGDVLTAVEVIPKSETQQCVWSKYKKEVRYQWFCSSVSGDSNSFEHLPAQRSCSYKLRLEDIGRCFKCECVVTDVFGRSSEPAYAEIGPVLPGIPRIAKLEIEGRGFHTNLYAVRGVYSGGKEGKSRIQWLRSMVGSPDLISIPGEVGRMYEANVDDVGYRLVAIYTPVREDGVEGQPVSASTEATAVEPDVLKEVKQKLELGSVKFEVLFNKDCSPKKILGEGSLERRILEVNRKRVKVVKPGSKTSFPTTEIRGSYAPPFHVDLFRNDQHRLRIVVDSENEVDLMVPSRHLRDVIVLVIRGFAQRFNSTSLNSLLKIET >Potri.004G223500.1.v4.1 pep chromosome:Pop_tri_v4:4:22818671:22819661:-1 gene:Potri.004G223500.v4.1 transcript:Potri.004G223500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223500.v4.1 MSLRPRTTSTKQLSIPEVSTQSSSSTTSNDNSNNVQPETPKSPSRPPTLSQRAISQTLTSTANLANLLPTGTLLAFQLLTPFFTNNGACDSATRPMTLILLALLALSCFLASFTDSVRSPTDGRVYYGLASFKGMYLFDCPDPAASGLVDLSKFKMGFIDVVHAVLSVLVFISVALREKNVLSCFYPMPKHETQEVLSIVPIGVGLICSLLFVVFPTRRHGIGYPVVQGK >Potri.009G075200.10.v4.1 pep chromosome:Pop_tri_v4:9:7310944:7314985:1 gene:Potri.009G075200.v4.1 transcript:Potri.009G075200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075200.v4.1 MASAHAFSSLSKVSPVFSLKKRYWNSCMKPCCMVSTIICNYQTPKRPNFVVAKTTKVREFRLFKSVELDQYVTSDDEEEMGEGFFEAIEELERMTREPSDILEEMNDRLSARELQLVLVYFSQEGRDSWCALEVFEWLRKENRVDKETMELMVSIMCSWVKKLIEGEQDVGDVVDLLVDMDCVGLKPSFSMIEKVISLYWDMGKKEGAVSFVKEVLRRGIAYSGDDGEGQKGGPTGYLTWKMMVDGNYRNAVKLVIHLRESGLKPEIYAYLIAMTAVVKELNEFSKALRKLKGYSRSGMVTELDAENVELVEKYQSDLLADGVCLSSWVIQEGSPALYGVVHERLLAMYICAGRGLDAERQLWEMKLVGKEADGDLYDIVLAICASQKEASAVARLLTRIEVASSMRKKKSLSWLLRGYIKGGHYGEAAETLIKMLDLGLSPDYLDRVAVMQGLRKRIQQWGNVESYLKLCKRLSDVNLIGPSLVYLYIKKYKLWIMKLL >Potri.009G075200.8.v4.1 pep chromosome:Pop_tri_v4:9:7310861:7315003:1 gene:Potri.009G075200.v4.1 transcript:Potri.009G075200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075200.v4.1 MASAHAFSSLSKVSPVFSLKKRYWNSCMKPCCMVSTIICNYQTPKRPNFVVAKTTKVREFRLFKSVELDQYVTSDDEEEMGEGFFEAIEELERMTREPSDILEEMNDRLSARELQLVLVYFSQEGRDSWCALEVFEWLRKENRVDKETMELMVSIMCSWVKKLIEGEQDVGDVVDLLVDMDCVGLKPSFSMIEKVISLYWDMGKKEGAVSFVKEVLRRGIAYSGDDGEGQKGGPTGYLTWKMMVDGNYRNAVKLVIHLRESGLKPEIYAYLIAMTAVVKELNEFSKALRKLKGYSRSGMVTELDAENVELVEKYQSDLLADGVCLSSWVIQEGSPALYGVVHERLLAMYICAGRGLDAERQLWEMKLVGKEADGDLYDIVLAICASQKEASAVARLLTRIEVASSMRKKKSLSWLLRGYIKGGHYGEAAETLIKMLDLGLSPDYLDRVAVMQGLRKRIQQWGNVESYLKLCKRLSDVNLIGPSLVYLYIKKYKLWIMKLL >Potri.009G075200.9.v4.1 pep chromosome:Pop_tri_v4:9:7310882:7315091:1 gene:Potri.009G075200.v4.1 transcript:Potri.009G075200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075200.v4.1 MASAHAFSSLSKVSPVFSLKKRYWNSCMKPCCMVSTIICNYQTPKRPNFVVAKTTKVREFRLFKSVELDQYVTSDDEEEMGEGFFEAIEELERMTREPSDILEEMNDRLSARELQLVLVYFSQEGRDSWCALEVFEWLRKENRVDKETMELMVSIMCSWVKKLIEGEQDVGDVVDLLVDMDCVGLKPSFSMIEKVISLYWDMGKKEGAVSFVKEVLRRGIAYSGDDGEGQKGGPTGYLTWKMMVDGNYRNAVKLVIHLRESGLKPEIYAYLIAMTAVVKELNEFSKALRKLKGYSRSGMVTELDAENVELVEKYQSDLLADGVCLSSWVIQEGSPALYGVVHERLLAMYICAGRGLDAERQLWEMKLVGKEADGDLYDIVLAICASQKEASAVARLLTRIEVASSMRKKKSLSWLLRGYIKGGHYGEAAETLIKMLDLGLSPDYLDRVAVMQGLRKRIQQWGNVESYLKLCKRLSDVNLIGPSLVYLYIKKYKLWIMKLL >Potri.018G048700.4.v4.1 pep chromosome:Pop_tri_v4:18:4312955:4321469:-1 gene:Potri.018G048700.v4.1 transcript:Potri.018G048700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G048700.v4.1 MKIWCFSCFIFGKKEVVEYKPMREGISENEGNTEGNFGNNEEEDTEAVALTDIRRDRNARESLRWIEEMIRAMQRTRGGGGSGAATWGEVGPGFEVEAQFVAPSLALRSSAFRASWLARGESSGSSSAVAEAEGSGKEKCDRDAHNKRAKVYSCSNDSHYAAVMSSDVGDSTSSADRDLGLTQSSSISSNNEICYHNFMWNNNSDENPFDSSGGRDGGDDSVISNSEDLDVRMDLTDDLLHMVFSFLDHINLCRAAMVCRQWQAASAHEDFWRCLDFENRNISVEQFEDMSRRYPNATEVNIYGAPSIQLLVMKAVSSLRNLESLTLGKGQLGDPFFHALGDCSMLKNLNVNDATLGNGIQEIPINHDRLCHLQLTKCRVMRISVRCPQLETLSLKRSNMAQAVLNCPLLRLLDIGSCHKLTDAAIRSAAISCPQLASLDMSNCSCVSDETLREISHTCANLHTLNASYCPNISLESVRLPMLTILKLHSCEGITSASMSAIAHSSLLEVLELDNCSLLTSVSLDLPRLQNIRLVHCRKFADLNLRSIMLSSIMVSNCPALHRINITSNSLQKLALQKQENLATLALQCQSLQEMDLTDCESLTNSICDVFSDGGGCPKLKSLVLDNCESLTAVRFRSTSLVSLSLVGCHAITALDLACPSLELVCLDGCDHLEKASFCPVALRLLNLGICPKLNMLSIEAPFMVSLELKGCGVLSEATINCPLLTSLDASFCSQLKDGCLSATTASCPLIGSLILMSCPSVGSDGLFSLGRLPHLTLLDLSYTFLMNLEPVFDSCLQLKVLKLQACKYLTDTSLEPLYKDGALPALQELDLSYGTLCQSAIEELLACCRHLTHLSLNGCANMHDLNWGCSGGQIYEFPSKFSSAALFSDENLPVSTEQPNRLLQNLNCVGCPNIRKVAIPPVARCLLLSSLNLSLSSNLKEVDVVCFNLCYLNLSNCCSLEILKLECPRLTSLFLQSCNIDEETVEAAISQCGMLETLDVRFCPKICSISMGQLRAACPSLKRIFSSL >Potri.018G048700.5.v4.1 pep chromosome:Pop_tri_v4:18:4312893:4321506:-1 gene:Potri.018G048700.v4.1 transcript:Potri.018G048700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G048700.v4.1 MKIWCFSCFIFGKKEVVEYKPMREGISENEGNTEGNFGNNEEEDTEAVALTDIRRDRNARESLRWIEEMIRAMQRTRGGGGSGAATWGEVGPGFEVEAQFVAPSLALRSSAFRASWLARGESSGSSSAVAEAEGSGKEKCDRDAHNKRAKVYSCSNDSHYAAVMSSDVGDSTSSADRDLGLTQSSSISSNNEICYHNFMWNNNSDENPFDSSGGRDGGDDSVISNSEDLDVRMDLTDDLLHMVFSFLDHINLCRAAMVCRQWQAASAHEDFWRCLDFENRNISVEQFEDMSRRYPNATEVNIYGAPSIQLLVMKAVSSLRNLESLTLGKGQLGDPFFHALGDCSMLKNLNVNDATLGNGIQEIPINHDRLCHLQLTKCRVMRISVRCPQLETLSLKRSNMAQAVLNCPLLRLLDIGSCHKLTDAAIRSAAISCPQLASLDMSNCSCVSDETLREISHTCANLHTLNASYCPNISLESVRLPMLTILKLHSCEGITSASMSAIAHSSLLEVLELDNCSLLTSVSLDLPRLQNIRLVHCRKFADLNLRSIMLSSIMVSNCPALHRINITSNSLQKLALQKQENLATLALQCQSLQEMDLTDCESLTNSICDVFSDGGGCPKLKSLVLDNCESLTAVRFRSTSLVSLSLVGCHAITALDLACPSLELVCLDGCDHLEKASFCPVALRLLNLGICPKLNMLSIEAPFMVSLELKGCGVLSEATINCPLLTSLDASFCSQLKDGCLSATTASCPLIGSLILMSCPSVGSDGLFSLGRLPHLTLLDLSYTFLMNLEPVFDSCLQLKVLKLQACKYLTDTSLEPLYKDGALPALQELDLSYGTLCQSAIEELLACCRHLTHLSLNGCANMHDLNWGCSGGQIYEFPSKFSSAALFSDENLPVSTEQPNRLLQNLNCVGCPNIRKVAIPPVARCLLLSSLNLSLSSNLKEVDVVCFNLCYLNLSNCCSLEILKLECPRLTSLFLQSCNIDEETVEAAISQCGMLETLDVRFCPKICSISMGQLRAACPSLKRIFSSL >Potri.008G170700.1.v4.1 pep chromosome:Pop_tri_v4:8:11806324:11809760:-1 gene:Potri.008G170700.v4.1 transcript:Potri.008G170700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170700.v4.1 MEKDVGKDANGSKNEPLMMTLDSPDVEEDVEENGEDYSEFKALLPPRKGGMSRSPNKVRRKVQWNDNNGNKLVEILEFEPSDVGDSDEEDGKDYCSCTIM >Potri.001G160700.1.v4.1 pep chromosome:Pop_tri_v4:1:13593676:13599705:1 gene:Potri.001G160700.v4.1 transcript:Potri.001G160700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160700.v4.1 MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLASHYGDDEDETPSKAAKGDIPSAQRIGGMMPGPLSTGYPPQPLGAMQPMYNSAVPVPPAGWPVPPRPQPWFPTHPAVSISPSAPIAYAQQPLFPVQNMRPPVPSTTSIALPPSQTPPPGLPSSTPPVSQPLFPVVNNNLPQSSPFSAPLPPTSISSSSPGEVKGSVNVHSGVNSSMTTGYLPAGTLGNTHSYASGPNTGGPSIGPPPVIANKAPATQTAVNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQVSYDYLFSIWSWFAHLTRWVGFMLFVFRYSCLCIVTYLERYFCMNFQFLFFLTHQQTHSYSYVFSQASLDFNVHFLVVMLFVFFFPTILWFACQCHDGS >Potri.001G160700.2.v4.1 pep chromosome:Pop_tri_v4:1:13593608:13598707:1 gene:Potri.001G160700.v4.1 transcript:Potri.001G160700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160700.v4.1 MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLASHYGDDEDETPSKAAKGDIPSAQRIGGMMPGPLSTGYPPQPLGAMQPMYNSAVPVPPAGWPVPPRPQPWFPTHPAVSISPSAPIAYAQQPLFPVQNMRPPVPSTTSIALPPSQTPPPGLPSSTPPVSQPLFPVVNNNLPQSSPFSAPLPPTSISSSSPGEVKGSVNVHSGVNSSMTTGYLPAGTLGNTHSYASGPNTGGPSIGPPPVIANKAPATQTAVNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQMSSVDAAIDKRILESRLAGRMAF >Potri.001G160700.6.v4.1 pep chromosome:Pop_tri_v4:1:13593610:13598710:1 gene:Potri.001G160700.v4.1 transcript:Potri.001G160700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160700.v4.1 MGKKKKRVSSKVWCYYCDREFDDEKILVQHQKAKHFKCHVCHKKLSTAGGMAIHVLQVHKESVTKVPNAKPGRESTDIEIYGMQGIPPDVLASHYGDDDETPSKAAKGDIPSAQRIGGMMPGPLSTGYPPQPLGAMQPMYNSAVPVPPAGWPVPPRPQPWFPTHPAVSISPSAPIAYAQQPLFPVQNMRPPVPSTTSIALPPSQTPPPGLPSSTPPVSQPLFPVVNNNLPQSSPFSAPLPPTSISSSSPGEVKGSVNVHSGVNSSMTTGYLPAGTLGNTHSYASGPNTGGPSIGPPPVIANKAPATQTAVNEVYLVWDDEAMSMEERRMSLPKYQVHDETSQMSSVDAAIDKRILESRLAGRMAF >Potri.001G444600.2.v4.1 pep chromosome:Pop_tri_v4:1:47043477:47044067:1 gene:Potri.001G444600.v4.1 transcript:Potri.001G444600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444600.v4.1 MADSLDLKFDKKSKEGRANELWQRLQGKKMLIVLDDVWKDIDFQEIGIPFGDDHRCCKILLTTRLEDRCSYMKCKEKVFLGLFSEEEAWALFRINADLRDEDSTLNTVAKKVARECKGLHTALVTVGRALRDKSVVEWEVASEELKNSQFRHLEQIDGQKKCICMS >Potri.001G009700.1.v4.1 pep chromosome:Pop_tri_v4:1:652418:659378:1 gene:Potri.001G009700.v4.1 transcript:Potri.001G009700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009700.v4.1 MPELRKNRARLARNQQQEKQTDTPVARNHAKTRAAVAREAKKRPRTRLEAKRLKEKEEEGDQSNSKKSKRVEEEEEEEGKKVILISESDKKGKNLVVDIEEEEKVERVKGVMADDSGGLSANKAGAQEEEGSTAPFPDKVQVGGSPVYKIERKLGKGGFGQVFVGRRANGGNERATGSGALEVALKFEHRNSKGCNYGPPYEWQVYNTLGGSHGVPRVHYKGRQGDYYVMVMDMLGPSLWDVWNSSGQAMSSEMVACIAAESLSILEKMHSRGYVHGDVKPENFLLGQPSTAQEKKLFLVDLGLATKWKDSGTGQHVEYDQRPDMFRGTVRYASVHAHLGRTASRRDDLESLAYTLIFLHKGRLPWQGYQGDNKSFLVCKKKMATSPEMLCCFCPPPLKQFLEIVVNMKFDEEPNYSKLISLFESLIGPNPAIRPINTDGAQKIICQVGQKRGRLNIEEDDDGQPKKKVRLGVPAAQWISVYNAKPPMKQRYHYNVADGRLGQHVERGIADGLLISCVASSSNLWALIMDAGTNFTSQVYELSPFFLHKEWIMEQWEKNYYISSIAGSNNGSSLVVMSKGTQYTQQSYKVSDSFPFKWINKKWREGFYVTSMATAGTRWGVVMSRNAGFSDQVVELDFLYPSEGIHRRWDTGFRITSTAATFDQAALILSVPKRKPGDETQETLRTSQFPSTHVKEKWAKNLYLACLCYGRTVS >Potri.002G110200.1.v4.1 pep chromosome:Pop_tri_v4:2:8229506:8233713:1 gene:Potri.002G110200.v4.1 transcript:Potri.002G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G110200.v4.1 MSTPRVQLLIEDQHVVMDNGILQVTLSNPGGIVTGIQYNGIDNLLEALDLETNRGYWDLVWSQAGSTGTTGTFDVFEGTTFRVIVENEEQVEISFTRTWDPSLEGKFVSLNLDKRFIMLRNSSGFYSYAIFEHLADWPPFNLPQTRIVFQLRKDKFHYMVVADNRQRFMPLPDDRQPERGEPLDFPEAVLLVDPVEPEFKGEVDDKYQYSCENKDLHVHGWMCFDPPTGFWQITPSSEFRSGGPLKQNLTSHVGPYTLAMFLSAHYAGEDLVLKLNPGEPWKKVFGPVFMYLNSVMDKDNALSLWDDAKEQYLKEVHCWPYSFPASEDFPCSDQRGKISGRLQVLDRYISHECIAANDAYVGLAPPGDVGSWQRECKGYQFWTKTDGDGSFCIGDIRTGDYNLYAWIPGFIGDYRNDTVITVTAGCEIDVGDLVYEPPRDGPTVWEIGIPDRSAAEFYIPDPNPKYINKLFINHPDRFRQYGLWERYADLYPDGDLVYKVGHSDYKKDWFFAQVNRKKDDGTLEGTTWQIRFKLDNVDQSGAFKLRLALATANVAELQVRINDPKIDPPHFSTGEIGHDNTIARHGVHGLYRLYNVDVPGTTLVEGENTVFLTQTPRTSPLQGIMYDYIRLEGPPPPDSKETL >Potri.006G090200.1.v4.1 pep chromosome:Pop_tri_v4:6:6887372:6889694:1 gene:Potri.006G090200.v4.1 transcript:Potri.006G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090200.v4.1 MEKSILSMLILMVTLLLCSWSATALQHCGNCGLTPVPFPLSTGPDCGNQQYKLRCSFGKLWFDALNGSSYLIASINPVLRRIVIRPASLANKICISSDFHSQGIQLNQNLPFSITNSNTILLLNCKNEILHLKPPIDCAPGSICHNYIQGNAAACASAPLCCTFKSSTGLQSAYMIKVYDGGCAAYQSFVNLDVKKVGMIKKWPEPGVEIEWALPEEPICKIPVDCKDLLYSKCLPDPISLGQKRCFCDAGFKWDPINGLCQNLKCLPGKVCKKRKKKTVVFAGAAVGAVAVLVMVLGGGLFLKKQNRSKRAQKNLIKERKEMLNAKHSGKSARIFTGKEITKATNNFSKDNLIGAGGFGEVFKGILDDGTVTAIKRAKLGNTKGIDQVINEVRILCQVNHRSLVRLLGCCVELEQPIMIYEYIPNGTLFDHLHCHHSGKWTSLSWQLRLRIAYQTAEGLTYLHSAAVPPIYHRDVKSSNILLDERLNAKVSDFGLSRLVEASENNDSHIFTCAQGTLGYLDPEYYRNFQLTDKSDVYSFGVVLLEVLTSKKAIDFNREEENVNLVVYIKNVIEEDRLMEVIDPVLKEGASKLELETMKALGSLAAACLHDKRQNRPSMKEVADEIEYIISIEAGKVSKT >Potri.011G147600.2.v4.1 pep chromosome:Pop_tri_v4:11:17558361:17562451:-1 gene:Potri.011G147600.v4.1 transcript:Potri.011G147600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147600.v4.1 MANGSPKQHQLEAKRKRLTWILGVSGLCVLFYVLGAWQHTAAPTNLAQSITKVACDVSNVAGVSSNPSSESAVLDFNSHHQIQINNTDSVNEIPPCDMSYSEYTPCQDPQRGRKFDRNMLKYRERHCPTKDELLLCLIPAPPKYKTPFKWPQSRDYAWYDNIPHNELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDISELIPLTDGSIRTAIDTGCGVASWGAYLLKRDIIAMSFAPRDTHEAQVWFALERGVPAMIGIMASQRLPYPARAFDMAHCSRCLIPWHQNDGLYLIEVDRVLRPGGYWILSGPPIHWKKYWRGWERTAKDLKQEQDAIEDVAKRLCWKKVVEKGDLSVWQKPLNHIECVASRKIYKTPHICKSDNPDAAWYKDMETCITPLPEVSGSDEVAGGVVEKWPARAFAVPPRIRSGSIPGINAEKFKEDNDLWKDRVAHYKNIISPLTQGRFRNIMDMNAQLGGLAAALVKYPVWVMNVVPANSNPDTLGVIYERGFIGSYQDWCEAVSTYPRTYDLIHAGGVFSIYQDRCDITHILLEMDRILRPEGTVIFRDTVEVLVKIQTITNGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEAKQKQQ >Potri.011G147600.1.v4.1 pep chromosome:Pop_tri_v4:11:17557661:17562500:-1 gene:Potri.011G147600.v4.1 transcript:Potri.011G147600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147600.v4.1 MANGSPKQHQLEAKRKRLTWILGVSGLCVLFYVLGAWQHTAAPTNLAQSITKVACDVSNVAGVSSNPSSESAVLDFNSHHQIQINNTDSVNEIPPCDMSYSEYTPCQDPQRGRKFDRNMLKYRERHCPTKDELLLCLIPAPPKYKTPFKWPQSRDYAWYDNIPHNELSIEKAVQNWIQVEGDRFRFPGGGTMFPRGADAYIDDISELIPLTDGSIRTAIDTGCGVASWGAYLLKRDIIAMSFAPRDTHEAQVWFALERGVPAMIGIMASQRLPYPARAFDMAHCSRCLIPWHQNDGLYLIEVDRVLRPGGYWILSGPPIHWKKYWRGWERTAKDLKQEQDAIEDVAKRLCWKKVVEKGDLSVWQKPLNHIECVASRKIYKTPHICKSDNPDAAWYKDMETCITPLPEVSGSDEVAGGVVEKWPARAFAVPPRIRSGSIPGINAEKFKEDNDLWKDRVAHYKNIISPLTQGRFRNIMDMNAQLGGLAAALVKYPVWVMNVVPANSNPDTLGVIYERGFIGSYQDWCEAVSTYPRTYDLIHAGGVFSIYQDRCDITHILLEMDRILRPEGTVIFRDTVEVLVKIQTITNGMRWKSQIMDHESGPFNPEKILVAVKTYWTGEAKQKQQ >Potri.006G176800.1.v4.1 pep chromosome:Pop_tri_v4:6:18203051:18205223:-1 gene:Potri.006G176800.v4.1 transcript:Potri.006G176800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G176800.v4.1 MIAVIGFQFFMFSSGVKSDVNMLKSLGRKVWSIGFLSVFLPLLLGFGALKMTTGRETLFFVNFAAATSYSITSFPVIVTLLTDLKLLNSELGRLGLSTAQVSDVFSLFLIFFLSVLKVMSQEMYSRENIFIIAGFIVFVAGILKPALHSLVKKLRNGMPLKDVYIYLIMSLFLGSVFLSHYYGQSAIFAPYIIGLAVPSGPPLGSALVEKFEVVSGLFLPLFVTTCGMRLDLLETKYTAEISIPAAVSIVVITLSKFLVCFVSHSYFWELPKSNAAAFALVMCSRGVVELAFYTFLSDQQIVKDESFVFMLFMVVLFSGLIPFFVTCLYNPTKSYAGHHIRSLTHSDSDSELQIISCIHAPGDVTAVIRLLDASCGGDSPIAVTVLHHMKLVAQSTPIFISHRKERLILCEYIYSVNVINLFNEFEQNTRGSVSINAVTAVSPPTSMHGDICSVAVDKLASLIIIPFHIRWWKQDGTIESEDHALRELNCRVLESAPCSVAILVDRCNNIPRKPVSKDDELSLTYDVAMIFLGGNDDREALTFAIRMAEDTRVRLSVVHLIAPNNGVSGGNEGVNINCQDFESTHDYMAMRDIKEREYIAYREVIAEDAAATASIVRSIMDEHELIVVGRRNMEDDIPQTAGLKEWCEHPELGVLGDLILSNETKSTSSLFVIQKQQQKSALN >Potri.006G208400.1.v4.1 pep chromosome:Pop_tri_v4:6:21647552:21649531:1 gene:Potri.006G208400.v4.1 transcript:Potri.006G208400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208400.v4.1 MSFSTQPPCSSNTATTTVEPSIATSISTIHPDILQAHILNRLDGLSLVSTACTSTELNSLASQQDLWTNICHSIWPSTNTPRIREIISRLPSGWRSLFSESFPLTTGPRVNPPRDHDHPSELISAVDIHYKNKLIFSKVVETETSSSWFLCSPFRIDMLDPKDTCPTPIPNSGSEETCRDLAGDLSLSWILLDPTRLRSVNLSSHKPVSVQRHWLSGEVHARFATVLAAGERGSASESVQCGIVVTCGGGAQGGEMQVRGVSLQVEDMDGVFLNGKGSLGVLNTGFEGKKGMSGRREMEGRKRYGMFLEMKRERRERRLKKEGALDMLCVSFGVMVLVSLGLFHLLR >Potri.017G089700.1.v4.1 pep chromosome:Pop_tri_v4:17:10249694:10254025:-1 gene:Potri.017G089700.v4.1 transcript:Potri.017G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089700.v4.1 MGLKFAKQGPGKALNTDLSHAQLSLINCITKSIYIRNWVSKHRRRMLVAGYDLDMSYITGRILAMSFPAERVRAMYRNPLWQVKSVLDMRHGEHYKIYNLCIEEAYDPSHFHGRVETYPFDDNHVPPLEMMKLFCESVGSWLSSDPRNIAVVHCMAGKGRTGLMVCAYLVYSGMTPEDALQLYANKRTTNNQGVSIPSQRRYVGYWAEALSFPKRVYDSHRGDGHTPPEVILPQSRIRELRRIRLYDMVNTESVFFVVSELQEIPGQLYRPSVEVAKSCLRPIIKGYQRNSSPQYYISFTEGNEEEEEDLKPAESRLIVQMDTESPIIYQKTTLDYYFDKPIRVKGDVRVIFYQKMIGGRLFYVCFNTAFIRNGLLQFSTRDLDKVGTKGRSICGPAFCLELLFAPANSNHSGTLTEDDNGGGGGGDDISNDCP >Potri.010G047700.1.v4.1 pep chromosome:Pop_tri_v4:10:7994508:7996390:1 gene:Potri.010G047700.v4.1 transcript:Potri.010G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047700.v4.1 MPDSLGIPACFSSGERQIVEPGANVTRSGQSVFMSVYRTKLAGLCRLIIITWCKNLLMHGLSISVQATNGSEHHQCKVELKPWNFWRKQGSKQFIVDGRAVDVVWDLKAAKFNGETEPQSDYYVAIVCEEEVVLLVGDLKKDAYRKTGCRPALIEPMLVSRKEHVFGKKRFKTRVKFIEKGKFHGISIEYINGGSSGSNIIGDRFDPQLEIKVDGELAILVKHLQWKFRGNESIHVNKSTRVDVYWDVHDWLFGSGPRQGLFIFKAVSASSSSSPSLLLTQEEENYGSVLENDNTGGSSSFSLFLHAWKEE >Potri.001G453700.2.v4.1 pep chromosome:Pop_tri_v4:1:48023276:48025031:1 gene:Potri.001G453700.v4.1 transcript:Potri.001G453700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453700.v4.1 MTEIMFEMFGVPDMYVAIGAELSLYASGRTTEIRHSFTTAAEQEIVRDIKERIAYVAFDYEQEIETAKSSSSIEKSHELPDGQVISIGTELFHCPEVPFQPSLIGMEAPGIHEITYDLIMKCEKKVQSLD >Potri.011G143900.2.v4.1 pep chromosome:Pop_tri_v4:11:17316675:17324634:1 gene:Potri.011G143900.v4.1 transcript:Potri.011G143900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143900.v4.1 MMMDGGGVEQTPKIGDNSTDTVFDASQYAFFGKDLVEEVELGGLEDDEELPAVDLEEEEFFFDRQEGEVLRSVSDVDDLASTFSMLNKVVSGPSTGIIGDRGSRESSSAAEWAQGEEFPNWFDQQLLDPDGVQDGKRWSSQPYYSTARLAESKPLHRTSSYPEQQQQQQQQHQQPHHQHYSSEPILVPKSSYTSYPIQGGQSPQASPNHSHLNIPYLSGGHQMALSSPNLPPFSNSQPLLSSLHHGSPHYGGNLPQFSSGLSANSRPPSQWVNHTGLYPGEHPNRMNNMLQQPLSHQNGLMPPQLMPQLQSQQHRLHPSIQPSLGHLSGMQSQVFNPHISPSPPMMNNFDTMLALADRDQRPKAAQKVRAIMRYPQQGFDANGQKIDIGWPQFRSKHMTTDEIETILRMQLAATHSNDPYVDDYYHQACLSKKTAGAKLKHHFCPTHLRDLPPRARANSEPHAFLQVDALGRIPFSSIRRPRPLLEVEPPNSSVGGNAEQNSVEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLEFNQFHDGGAQLMRHRRQVLLEGLAASMQLVDPLGKNGNTVGLAPKDDFVFLRLVSLPKGRKLLARYLQLLFTGSDLMRIVCMAIFRHLRFLFGGLPSDLGAAETTNNLSRVVSLCVRRMDLGSLSACLAAVVCSSEHPPLRPLGSSAGNGASLILMSVLERAAELSNDPHDATNYNVTDQALWKASFDEFFGLLIKHCINKYDSIMQSLSDSDPAEAIKRELPMELLRASVPHTNDYQKKLLYDLSQRSLVGQDGGNGGHINSQAVLS >Potri.011G143900.3.v4.1 pep chromosome:Pop_tri_v4:11:17320123:17324506:1 gene:Potri.011G143900.v4.1 transcript:Potri.011G143900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143900.v4.1 MTGLLNFVCLITHLFQLNKVVSGPSTGIIGDRGSRESSSAAEWAQGEEFPNWFDQQLLDPDGVQDGKRWSSQPYYSTARLAESKPLHRTSSYPEQQQQQQQQHQQPHHQHYSSEPILVPKSSYTSYPIQGGQSPQASPNHSHLNIPYLSGGHQMALSSPNLPPFSNSQPLLSSLHHGSPHYGGNLPQFSSGLSANSRPPSQWVNHTGLYPGEHPNRMNNMLQQPLSHQNGLMPPQLMPQLQSQQHRLHPSIQPSLGHLSGMQSQVFNPHISPSPPMMNNFDTMLALADRDQRPKAAQKVRAIMRYPQQGFDANGQKIDIGWPQFRSKHMTTDEIETILRMQLAATHSNDPYVDDYYHQACLSKKTAGAKLKHHFCPTHLRDLPPRARANSEPHAFLQVDALGRIPFSSIRRPRPLLEVEPPNSSVGGNAEQNSVEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLEFNQFHDGGAQLMRHRRQVLLEGLAASMQLVDPLGKNGNTVGLAPKDDFVFLRLVSLPKGRKLLARYLQLLFTGSDLMRIVCMAIFRHLRFLFGGLPSDLGAAETTNNLSRVVSLCVRRMDLGSLSACLAAVVCSSEHPPLRPLGSSAGNGASLILMSVLERAAELSNDPHDATNYNVTDQALWKASFDEFFGLLIKHCINKYDSIMQSLSDSDPAEAIKRELPMELLRASVPHTNDYQKKLLYDLSQRSLVGQDGGNGGHINSQAVLS >Potri.011G143900.1.v4.1 pep chromosome:Pop_tri_v4:11:17316873:17324956:1 gene:Potri.011G143900.v4.1 transcript:Potri.011G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G143900.v4.1 MMMDGGGVEQTPKIGDNSTEDTVFDASQYAFFGKDLVEEVELGGLEDDEELPAVDLEEEEFFFDRQEGEVLRSVSDVDDLASTFSMLNKVVSGPSTGIIGDRGSRESSSAAEWAQGEEFPNWFDQQLLDPDGVQDGKRWSSQPYYSTARLAESKPLHRTSSYPEQQQQQQQQHQQPHHQHYSSEPILVPKSSYTSYPIQGGQSPQASPNHSHLNIPYLSGGHQMALSSPNLPPFSNSQPLLSSLHHGSPHYGGNLPQFSSGLSANSRPPSQWVNHTGLYPGEHPNRMNNMLQQPLSHQNGLMPPQLMPQLQSQQHRLHPSIQPSLGHLSGMQSQVFNPHISPSPPMMNNFDTMLALADRDQRPKAAQKVRAIMRYPQQGFDANGQKIDIGWPQFRSKHMTTDEIETILRMQLAATHSNDPYVDDYYHQACLSKKTAGAKLKHHFCPTHLRDLPPRARANSEPHAFLQVDALGRIPFSSIRRPRPLLEVEPPNSSVGGNAEQNSVEKPLEQEPMLAARVTIEDGLCLLLDVDDIDRFLEFNQFHDGGAQLMRHRRQVLLEGLAASMQLVDPLGKNGNTVGLAPKDDFVFLRLVSLPKGRKLLARYLQLLFTGSDLMRIVCMAIFRHLRFLFGGLPSDLGAAETTNNLSRVVSLCVRRMDLGSLSACLAAVVCSSEHPPLRPLGSSAGNGASLILMSVLERAAELSNDPHDATNYNVTDQALWKASFDEFFGLLIKHCINKYDSIMQSLSDSDPAEAIKRELPMELLRASVPHTNDYQKKLLYDLSQRSLVGQDGGNGGHINSQAVLS >Potri.009G028200.1.v4.1 pep chromosome:Pop_tri_v4:9:3942819:3944011:1 gene:Potri.009G028200.v4.1 transcript:Potri.009G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G028200.v4.1 MANAASGMAVHDDCKLKFLELKAKRTYRFIVYKIEEKQKQVIVEKLGEPAQSYEDFTASLPADECRFAVYDFDFVTAENVQKSRIFFIAWCPDTSRVRSKMIYASSKDRFKRELDGIQVELQATDPTEMGLDVIRSRAS >Potri.001G344900.2.v4.1 pep chromosome:Pop_tri_v4:1:35579663:35583274:-1 gene:Potri.001G344900.v4.1 transcript:Potri.001G344900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G344900.v4.1 MDPHHNEPIHDGEPNDVKSTHIPKDDKPQPLSGDGASPGKIFIGGLARETTTAQFIKHFGKYGEITDSVIMKDRKTGQPRGFGFVTYADPSVVDQVIQDTHIINGKQVEIKRTIPKGVVGSKDFRTRKIFVGGIPAVVTEDEFNEFFTQFGEVTEHQIMRDHSTNRSRGFGFITFDTEQAVDDLLARGNKLELAGTQVEIKKAEPKKANPPPPPSKRYNDSRPAFRGGFDDAYGGFGGSGFGSVGGGYRSGGAYGSRAGAYSGYGGGEFGGYGGYGVGGIGAYRGEPSLGYTGRYGGLYSRGYDLGGGGYGGPGENYGGYGGGSGGGGSASGYGGSYDTGLGGGYGGNGGGSMYGSRVGYGGAGSGRYHPYGR >Potri.017G071366.1.v4.1 pep chromosome:Pop_tri_v4:17:7752537:7753368:1 gene:Potri.017G071366.v4.1 transcript:Potri.017G071366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071366.v4.1 MGAQGKGTKPNFACFICDCLYFARECPKNEKLNVIRVGDSDENEGVVTHVNPMRVLNYLVAESGDTTVETSLVDQDLARIDAVRKGKSGAGDQMYEQISVNEQPIDAILDSGTTYTFVVDRLVKALGLRLSSSHTSMKAVNSKAHKIASMSYDMLIVLDRWRGKQVVMDPIWVLPQVLLEIKEDLTLEVHPI >Potri.018G108300.6.v4.1 pep chromosome:Pop_tri_v4:18:12681531:12687792:1 gene:Potri.018G108300.v4.1 transcript:Potri.018G108300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108300.v4.1 MSLVTDSPVHSSSSDDFAAFLDTELDSKSSASSASDDEAPNERHSDSAASSSPDQDKEAEEDDDSDFQSKRVKRSKVETVEIVEDDGGTTSFASLKHNSEASISKEICTHPGSFGTMCIVCGQLLDGESGVTFGYIHKGLRLGNDEIVRLRNTDMKNLLRHKKLYLILDLDHTLLNSTQLMHMTLDEEYLNGQTDSLQDVSKGSLFMLSSMQMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPGREYFNAKVISRDDGTQRHQKGLDVVLGQESAVLILDDTENAWMKHKDNLILMERYHFFASSCHQFGFNCKSLSEQKTDESESEGALASILKVLRKIHQIFFEELEENMDGRDVRQVKRLMKIGLVERIFLCCLASQFEGTCICIKFGIHAHVMDIFRIYGRLLLYKIVLLINWFSSSTKLSFVSLLVDVSIPFFSSSIS >Potri.018G108300.7.v4.1 pep chromosome:Pop_tri_v4:18:12681557:12687856:1 gene:Potri.018G108300.v4.1 transcript:Potri.018G108300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108300.v4.1 MLSSMQMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPGREYFNAKVISRDDGTQRHQKGLDVVLGQESAVLILDDTENAWMKHKDNLILMERYHFFASSCHQFGFNCKSLSEQKTDESESEGALASILKVLRKIHQIFFEELEENMDGRDVRQVLKTVRKDVLKGCKIVFSRVFPTQSQADNHHLWRMAEQLGATCSTELDPSVTHVVSKDSGTEKSHWALKHNKFLVQPGWIEAANYFWQRQPEENFAVNQIKN >Potri.018G108300.1.v4.1 pep chromosome:Pop_tri_v4:18:12681557:12687898:1 gene:Potri.018G108300.v4.1 transcript:Potri.018G108300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108300.v4.1 MSLVTDSPVHSSSSDDFAAFLDTELDSKSSASSASDDEAPNERHSDSAASSSPDQDKEAEEDDDSDFQSKRVKRSKVETVEIVEDDGGTTSFASLKHNSEASISKEICTHPGSFGTMCIVCGQLLDGESGVTFGYIHKGLRLGNDEIVRLRNTDMKNLLRHKKLYLILDLDHTLLNSTQLMHMTLDEEYLNGQTDSLQDVSKGSLFMLSSMQMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPGREYFNAKVISRDDGTQRHQKGLDVVLGQESAVLILDDTENAWMKHKDNLILMERYHFFASSCHQFGFNCKSLSEQKTDESESEGALASILKVLRKIHQIFFEELEENMDGRDVRQVLKTVRKDVLKGCKIVFSRVFPTQSQADNHHLWRMAEQLGATCSTELDPSVTHVVSKDSGTEKSHWALKHNKFLVQPGWIEAANYFWQRQPEENFAVNQIKN >Potri.018G108300.4.v4.1 pep chromosome:Pop_tri_v4:18:12681557:12687831:1 gene:Potri.018G108300.v4.1 transcript:Potri.018G108300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G108300.v4.1 MSLVTDSPVHSSSSDDFAAFLDTELDSKSSASSASDDEAPNERHSDSAASSSPDQDKEAEEDDDSDFQSKRVKRSKVETVEIVEDDGGTTSFASLKHNSEASISKEICTHPGSFGTMCIVCGQLLDGESGVTFGYIHKGLRLGNDEIVRLRNTDMKNLLRHKKLYLILDLDHTLLNSTQLMHMTLDEEYLNGQTDSLQDVSKGSLFMLSSMQMMTKLRPFVRTFLKEASQMFEMYIYTMGDRAYALEMAKLLDPGREYFNAKVISRDDGTQRHQKGLDVVLGQESAVLILDDTENAWMKHKDNLILMERYHFFASSCHQFGFNCKSLSEQKTDESESEGALASILKVLRKIHQIFFEELEENMDGRDVRQVLKTVRKDVLKGCKIVFSRVFPTQSQADNHHLWRMAEQLGATCSTELDPSVTHVVSKDSGTEKSHWALKHNKFLVQPGWIEAANYFWQRQPEENFAVNQIKN >Potri.001G149300.1.v4.1 pep chromosome:Pop_tri_v4:1:12483262:12484576:1 gene:Potri.001G149300.v4.1 transcript:Potri.001G149300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G149300.v4.1 MTKRTKKAGIVGKYGTRYGASLRKQIKKMEVSQHSKFFCEFCGKYAVKRKAVGIWGCKDCGKVKAGGAYTLNTASAVTVRSTIRRLREQTES >Potri.001G407200.7.v4.1 pep chromosome:Pop_tri_v4:1:43450154:43454764:1 gene:Potri.001G407200.v4.1 transcript:Potri.001G407200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407200.v4.1 MDPINKARDHWAFLEEIEAPIWVDFLVEAKSNYQDVDDEWFRTSHPFHQCSSGQLKAAFAYSGEKSTSSDFECKGSFSPNIPSSVSRSRGKHYASKKWGGGGHDISMNKQHPVKVLSKSSRVNSEPNDKIKPKLSLVNSKGTSRSKASVVSGKSFTRNAKETDLEAKSGQGGTESSLNSLVVKAAESNTSTVTSERDHQAKQRNLEVSSRGFDHASGLLSAVRNGLRKSFVTRKASRVEINDENKQLRDRKSSSSKSSWGSSSNPGYDAKSSTLAFKEQTPDSRNVARMTEAARKKTKDSDMSRASDVRVKEKVFNSRKGGISNVAKSASLEALKSKVQNQTLRVKALADHRGNELHPLPGTAKAKEKVRVGGINKLVGPGKENVTGKASLSLNCSSRGTKLNVPQKGDKTVLVDHRGNELHPLPGTAKAKEKVRVGGISKLVGPGKENVTGKASLSLNCSSRGTKLDVPRKGDKTVLVRQKGKTSSPTEGRHSANLTQRRHLR >Potri.001G407200.6.v4.1 pep chromosome:Pop_tri_v4:1:43450154:43454764:1 gene:Potri.001G407200.v4.1 transcript:Potri.001G407200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G407200.v4.1 MDPINKARDHWAFLEEIEAPIWVDFLVEAKSNYQDVDDEWFRTSHPFHQCSSGQLKAAFAYSGEKSTSSDFECKGSFSPNIPSSVSRSRGKHYASKKWGGGGHDISMNKQHPVKVLSKSSRVNSEPNDKIKPKLSLVNSKGTSRSKASVVSGKSFTRNAKETDLEAKSGQGGTESSLNSLVVKAAESNTSTVTSERDHQAKQRNLEVSSRGFDHASGLLSAVRNGLRKSFVTRKASRVEINDENKQLRDRKSSSSKSSWGSSSNPGYDAKSSTLAFKEQTPDSRNVARMTEAARKKTKDSDMSRASDVRVKEKVFNSRKGGISNVAKSASLEALKSKVQNQTLRVKALADHRGNELHPLPGTAKAKEKVRVGGINKLVGPGKENVTGKASLSLNCSSRGTKLNVPQKGDKTVLVDHRGNELHPLPGTAKAKEKVRVGGISKLVGPGKENVTGKASLSLNCSSRGTKLDVPRKGDKTVLVRQKGKTSSPTEGRHSANLTQRRHLR >Potri.005G005866.2.v4.1 pep chromosome:Pop_tri_v4:5:433809:435633:1 gene:Potri.005G005866.v4.1 transcript:Potri.005G005866.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G005866.v4.1 MSLFFPTLSIPSWDSSTSRPISPCSSSLKDPPFSLQLMTRNFGGGGGLCRRFCGLKLWITQRFNIWQMNRKSGPLKEFMTTKNQNFPNDSTEECVRGSLCIESLCFAVMITTKRVRTLPLPSHYLNYPTEGGRGPSLCIAVIGATGELAKGEIFLALFALYYNGFLSEDVGIFGYSRKNLTAEDLRSIIALTLTCRIDHQQNCGDKWRLSS >Potri.019G055800.2.v4.1 pep chromosome:Pop_tri_v4:19:9408556:9412635:-1 gene:Potri.019G055800.v4.1 transcript:Potri.019G055800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055800.v4.1 MAFYGVASNLVNYLTTQLHEETVASVRNVNNWSGAVWITPILGAYIADTYLGRYWTFTVSSLIYAMGMILLTMAVSFKFMKPACTNGVCNKASPSQIAFFYSALYIIAIGAGGTKPNISTFGADQFDDYNPQEKKLKVSFFNWWMFSSFVGALFATLCLVYIQENLGWGLGYGIPAVGLLLSLFIFYLGTPIYRHKVRKTKSPARELFQVLIAAFNNRKLQLPNSPSELQEFDLQYYIQTGKRQVHHTPVLRCLDKAAIKDGSNNADTSNPSSSSCTVTQVEGVKLVFGMMLIWLVTLIPSTIWAQINTLFVKQGTTLDRNLGPNFQIPAASLGSFVTFSMLLSVPMYDRFFVPFMRKKTGNPRGITLLQRLGIGFAIQVIAIAIAYAVEVRRMHVIRMHHVVGPKEIVPMSIFWLLPQYVLLGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVAKITGTGGGKSWIGNNLNDSHLDYYYGFLLVISALNLGVFLWASSRYVYKKETLQALNEDCIRIDGKTMDTSPLGLQV >Potri.019G055800.3.v4.1 pep chromosome:Pop_tri_v4:19:9408567:9412636:-1 gene:Potri.019G055800.v4.1 transcript:Potri.019G055800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055800.v4.1 MDTKCCTQDGTVDLRGRPVQASRTGKWKACAFLVGYEAFERMAFYGVASNLVNYLTTQLHEETVASVRNVNNWSGAVWITPILGAYIADTYLGRYWTFTVSSLIYAMGMILLTMAVSFKFMKPACTNGVCNKASPSQIAFFYSALYIIAIGAGGTKPNISTFGADQFDDYNPQEKKLKVSFFNWWMFSSFVGALFATLCLVYIQENLGWGLGKLQLPNSPSELQEFDLQYYIQTGKRQVHHTPVLRCLDKAAIKDGSNNADTSNPSSSSCTVTQVEGVKLVFGMMLIWLVTLIPSTIWAQINTLFVKQGTTLDRNLGPNFQIPAASLGSFVTFSMLLSVPMYDRFFVPFMRKKTGNPRGITLLQRLGIGFAIQVIAIAIAYAVEVRRMHVIRMHHVVGPKEIVPMSIFWLLPQYVLLGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVAKITGTGGGKSWIGNNLNDSHLDYYYGFLLVISALNLGVFLWASSRYVYKKETLQALNEDCIRIDGKTMDTSPLGLQV >Potri.019G055800.1.v4.1 pep chromosome:Pop_tri_v4:19:9408584:9412652:-1 gene:Potri.019G055800.v4.1 transcript:Potri.019G055800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055800.v4.1 MDTKCCTQDGTVDLRGRPVQASRTGKWKACAFLVGYEAFERMAFYGVASNLVNYLTTQLHEETVASVRNVNNWSGAVWITPILGAYIADTYLGRYWTFTVSSLIYAMGMILLTMAVSFKFMKPACTNGVCNKASPSQIAFFYSALYIIAIGAGGTKPNISTFGADQFDDYNPQEKKLKVSFFNWWMFSSFVGALFATLCLVYIQENLGWGLGYGIPAVGLLLSLFIFYLGTPIYRHKVRKTKSPARELFQVLIAAFNNRKLQLPNSPSELQEFDLQYYIQTGKRQVHHTPVLRCLDKAAIKDGSNNADTSNPSSSSCTVTQVEGVKLVFGMMLIWLVTLIPSTIWAQINTLFVKQGTTLDRNLGPNFQIPAASLGSFVTFSMLLSVPMYDRFFVPFMRKKTGNPRGITLLQRLGIGFAIQVIAIAIAYAVEVRRMHVIRMHHVVGPKEIVPMSIFWLLPQYVLLGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVAKITGTGGGKSWIGNNLNDSHLDYYYGFLLVISALNLGVFLWASSRYVYKKETLQALNEDCIRIDGKTMDTSPLGLQV >Potri.019G055800.4.v4.1 pep chromosome:Pop_tri_v4:19:9408572:9412635:-1 gene:Potri.019G055800.v4.1 transcript:Potri.019G055800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055800.v4.1 MILLTMAVSFKFMKPACTNGVCNKASPSQIAFFYSALYIIAIGAGGTKPNISTFGADQFDDYNPQEKKLKVSFFNWWMFSSFVGALFATLCLVYIQENLGWGLGYGIPAVGLLLSLFIFYLGTPIYRHKVRKTKSPARELFQVLIAAFNNRKLQLPNSPSELQEFDLQYYIQTGKRQVHHTPVLRCLDKAAIKDGSNNADTSNPSSSSCTVTQVEGVKLVFGMMLIWLVTLIPSTIWAQINTLFVKQGTTLDRNLGPNFQIPAASLGSFVTFSMLLSVPMYDRFFVPFMRKKTGNPRGITLLQRLGIGFAIQVIAIAIAYAVEVRRMHVIRMHHVVGPKEIVPMSIFWLLPQYVLLGIADVFNAIGLLEFFYDQSPEDMQSLGTTFFTSGIGVGNFLNSFLVTMVAKITGTGGGKSWIGNNLNDSHLDYYYGFLLVISALNLGVFLWASSRYVYKKETLQALNEDCIRIDGKTMDTSPLGLQV >Potri.001G275604.1.v4.1 pep chromosome:Pop_tri_v4:1:28916653:28916973:-1 gene:Potri.001G275604.v4.1 transcript:Potri.001G275604.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275604.v4.1 MIPIGRDQRELIIGDRQTDKTAVAIDTILNQQGQNVIYVNVAIGQKTSSIAQVVTTLQERRVMEYTIVAAETTDSPSTLQYLAPHTRAALPEYFGMRVASPPISSR >Potri.010G201200.1.v4.1 pep chromosome:Pop_tri_v4:10:19340848:19343006:1 gene:Potri.010G201200.v4.1 transcript:Potri.010G201200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201200.v4.1 MDAVVSELEGTLLKDRDPFSYFMLVAFEASGLLRFALLLIFWPVIWLLEMLGMGEYGLKLVVFVATAGVSESEIESVARAVLPKFYMDDIDMEAWKVFSSYDKRVVVTKMPRIMVERFVKEHLRADEVIGSELVISRFGFATGFVKGNTIDSYISIRVAKLFIDEKPGLGLGTITSSFLSLCKEQIHPPFMANQNQYDHQLVRPLPVIFHDGRLVKRPTPSTALLIILWMPLGIILATIRILVGVMLPMWAKPYLSRVLGGKVIVKGKPPPPASGGNSGVLFVCTHRTLMDPVVLSTVLRRKIPAVTYSLSRLSEILSPIPTVRLTRIRNVDAEKIKTELAKGDLVVCPEGTTCREPFLLRFSALFAELTDQIVPVAMNYRVGFFHATTARGCKALDPIFFFMNPRPVYEVTFLNQLPVEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYTSFVDQIKKVVSTFKPFFH >Potri.016G007450.1.v4.1 pep chromosome:Pop_tri_v4:16:361940:363329:-1 gene:Potri.016G007450.v4.1 transcript:Potri.016G007450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007450.v4.1 MEKTQFLSVQTETYKLGFYWRAGKMEQSIAKGVVQTRVSPPPLRISNPARHFTYLSFDVEVLPPRNKSRRAMITLLELHSIESWFQNYRQTIYSMQLALIKSSCMIHEY >Potri.012G140001.1.v4.1 pep chromosome:Pop_tri_v4:12:15239211:15240819:-1 gene:Potri.012G140001.v4.1 transcript:Potri.012G140001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140001.v4.1 MENGKEQSAARKKSTILVGPWGGNGGDSWDDGIYHGVREITIVYDQCIDSIQVVYDKNGKPITAENHGGVGGSRTAEIKLQYPEEYLTSVSGHYCPVVYGGSPVIRSLAFSSNKRTFGPFGVEEGTPFTLSMDGASIVGFKGRGGWYLDAIGFRLSRIQSTKVLKKFQQKLQRLTSTVSKSSASKDAEKTY >Potri.003G034600.1.v4.1 pep chromosome:Pop_tri_v4:3:3985782:3987914:1 gene:Potri.003G034600.v4.1 transcript:Potri.003G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G034600.v4.1 MAAVAAAAGGIVVVFDFDKTIIDVDSDNWVIDELGFTDLFNQLLHTMPWNSLMDRMMKEIHSHGKTIEDIAEVLRRIPIHPQVISAIKAAHALGCELRIVSDANMFFIETILNHLGLKDYFSEINTNPGFVDEQERLRISPYHDFTQSSHCCSLCPPNMCKGLIIERIQASISKDGSKKIIYLGDGAGDYCPSLKLTEADYVMPRKNFPVWDLISENPLLVKAEIHEWINGAELERVLLQIIERISTDEISSNSAQLLSADCKLQTISIAAHEGLPQPLSVTQ >Potri.010G007932.1.v4.1 pep chromosome:Pop_tri_v4:10:1411689:1412203:-1 gene:Potri.010G007932.v4.1 transcript:Potri.010G007932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007932.v4.1 MADRLVKIGQEGFAAIDEHFARARSRRPPVMKVPYAHPTYYYVPATEVIDSNEAARRYKGRVYVDYPKGKPVPF >Potri.002G029300.1.v4.1 pep chromosome:Pop_tri_v4:2:1972765:1976918:1 gene:Potri.002G029300.v4.1 transcript:Potri.002G029300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G029300.v4.1 MVEMVYDNFIRVPENWKRSRRAETERRWDSHLFVVCLILSSAEIVNGGITSSYVRDADFSLDMPLDSDVFRVPPGYNAPQQVHITQGDHEGKGVIVSWVTQDEPGSKTVHYWAENSGHKKIAEGFIVTYKFYNYTSGYIHHCTIEDLEFDTKYYYEVGIGNTTRQFWFLTPPKPGPDVPYTFGLIGDLGQTSDSNRTLTHYELNPAKGQTLLFVGDLSYANDYPFHDNTRWDTWGRFVERVAAYQPWIWTAGNHEIDYAPEIGESKPFKPYTHRYHVPYIASGSTSSLWYSIKRASTYIIVMSSYSAYGKYTPQYLWLKNELPKVNRTETPWLIVLMHSPMYNSYVNHYMEGETMRVMYEPWFVENKVDIVFAGHVHAYERSYRISNIAYRIVAGSCTPTRDESAPIYITIGDGGNLEGLATNMTEPRPSYTAFREASFGHGILDIKNRTHAYFSWYRNQDGYPVEADSLWLQNRFWNPFKASSVAAI >Potri.002G063700.1.v4.1 pep chromosome:Pop_tri_v4:2:4359857:4363926:-1 gene:Potri.002G063700.v4.1 transcript:Potri.002G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063700.v4.1 MVMKTNGFIEGGFPDELKRVLRSVGSEWGDVVDDMEALQVVPLKGAMTNEVFRIIWPTKCGNLNRNILVRIYGEGVEAFFNRDNEIRTFECMSKHGQGPRLLGRFADGRVEEFIHARTLSAADLRDHEISALVAAKMREFHDLEMPGPRTILLWNRMRGWLVQAKSMCSAKDVKEFCLDSLENEINMLEKELSHDYLDIGFCHNDLQYGNIMLDEETRSITLIDYEYASFNPVAYDIANHFCEMVANYHSATPHILDYSKYPELEERHRFVQAYLCSAGKQPSEDEAELLLQEVEKYTLASHVFWGLWGIISGYVNKIEFDYMEYARQRFQQYWMRKQELLASSDKAPDNHVDGYVVYDR >Potri.006G042600.1.v4.1 pep chromosome:Pop_tri_v4:6:2852636:2855517:1 gene:Potri.006G042600.v4.1 transcript:Potri.006G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G042600.v4.1 MEATQFLAIQTETYKLGFIGAGKMAESIAKGAVQSGFLPPPRISTSIHSNPARRLAFDSLGVKVLPQNRNVVEESDVVIFSVKPQVVRDVVLELRPLLTKDKLLVSIAAGTKLKDLQEWAGHSRFIRVVPNTPSAVGEAASVMCLGGAATEEDGELVAKLFGSVGKIWRADEKLFDAIVGLSGSGPAYIYLAIEALADGGVAAGLPRELAMGLASQTVLGAASMASKTGKHPGQLKDDVASPGGTTIAGIHELEKGGFRGTLMNAVVAAAKRSRELSQS >Potri.001G265200.1.v4.1 pep chromosome:Pop_tri_v4:1:28025930:28030314:1 gene:Potri.001G265200.v4.1 transcript:Potri.001G265200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265200.v4.1 MEAAVIDAGSQLLKAGSAVPDQAPPMIIPSQMIRVVEDGTSGDNNESVFEDVTVDPVVRGHIRNWDAMEDLLQYVLYGGLDWEEGNEGQILFTEPLCTPKAVREQLVQLMFETFNVSGFYASEQAVLSLYAVGRISGCTVDIGHGKIDIAPVLEGAVQHIASRRYEIGGVDLTKLLAQELGKSNPLVNLNASDVEMLKVKYSCCAEDELAYEKTQRSSDEEEHTLPDGQVIRIGREKYTVGEALFQPSILGIEAHGIVEQLARSISIVSSENHRQLLENTVLCGGITSMPGFEDRFQKEASLCSSAISPSLVKPPEYMPDKLTEYSAWVGGAILAKVVFPQNQHVTKGDYDETGPSIVHRKCF >Potri.001G399500.2.v4.1 pep chromosome:Pop_tri_v4:1:42571821:42575604:1 gene:Potri.001G399500.v4.1 transcript:Potri.001G399500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399500.v4.1 MGSLNVEKRWAFPLVISSLICLFLLATCFNMGLVSSLHTINQIFNIFQFRINQTTEVYAETKVSQSPPPPLPSQIPRFAYLVSGSKGDLEKLWRTLHSLYHPRNQYVVHLDLESPANERLELASRVEKHPVFSKVGNVYMISKANMVTYKGPTMVANTLHACAILLKMGKDWDWFINLSASDYPLVTQDDLIHTFSTINRNLNFIEHTSKLEWKADKRAMPLIVDPGLYSTTKADIYWAMPRRSLPTAFKLFTGSAWMVLTRSFVEYLIWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNVPEYAQTAVSHDLHYIAWDNPPKQHPHTLTLNDTDHMIASGAAFARKFKRDDPVLDKIDKDLLHRKNGSFTPGGWCSGKPKCSEVGDLDKIKPGPGAHRLKRLIARVALNTKLKQNQCK >Potri.001G399500.1.v4.1 pep chromosome:Pop_tri_v4:1:42571759:42576040:1 gene:Potri.001G399500.v4.1 transcript:Potri.001G399500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399500.v4.1 MGSLNVEKRWAFPLVISSLICLFLLATCFNMGLVSSLHTINQIFNIFQFRINQTTEVYAETKVSQSPPPPLPSQIPRFAYLVSGSKGDLEKLWRTLHSLYHPRNQYVVHLDLESPANERLELASRVEKHPVFSKVGNVYMISKANMVTYKGPTMVANTLHACAILLKMGKDWDWFINLSASDYPLVTQDDLIHTFSTINRNLNFIEHTSKLEWKADKRAMPLIVDPGLYSTTKADIYWAMPRRSLPTAFKLFTGSAWMVLTRSFVEYLIWGWDNLPRTLLMYYTNFVSSPEGYFHTVICNVPEYAQTAVSHDLHYIAWDNPPKQHPHTLTLNDTDHMIASGAAFARKFKRDDPVLDKIDKDLLHRKNGSFTPGGWCSGKPKCSEVGDLDKIKPGPGAHRLKRLIARVALNTKLKQNQCK >Potri.004G094850.1.v4.1 pep chromosome:Pop_tri_v4:4:8112947:8113340:1 gene:Potri.004G094850.v4.1 transcript:Potri.004G094850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094850.v4.1 MAENRGIYQSWFILSGWTSSGCCAWFCSSFKRKRPLDWNISWVLRAISSSFYRYCLYRLEQTGNKGKGKGI >Potri.T084200.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_104:36847:39261:1 gene:Potri.T084200.v4.1 transcript:Potri.T084200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T084200.v4.1 MNDTGNFVLQNRNSERLWESFNNPTDTLLPTQIMEAGGVVSSRRTETNFSLGRFQLRLLDNGNLVLNSMNLPTKFAYDDYYGSGTSDASNSSNSGYRLLFNESGYMYILRRNGLREDLTKTALPTTDFYRRATLNFDGVFTQYSYPKTSSSIRSWSPVRSEPENICKFNSIWGSGACGYNSICSLSVDRRPNCTCPQEFSLLDQNDKHGGCIPNFEISCKDNGKNSSEDLYDFVELRYVDYPSGDAEHLQPQNEEQCRKACLNDCLCGAVIFLGNNCWKKKLPLSNGKVDSGFNGKTFIKFKKGHIPPGNPVLQIPETKTERDDIKVITGIVLLVSSVFVNFILISTLCFCSSFIYRNKVANVREENNVESNLRSFTYKELTEATEGFKDELGRGAFGGVYKGAIKTGFTNFIAVKKLDGVVEHGEKEFKTEVTVIGQTHHKNLVRLLGFCDEGQHRLLVYEFLSNGTLADFLFGSLRPSWKQRTQIAFGIARGLLYLHEECSTQIIHCDIKPQNILIDDYYNARISDFGLAKLLAINQSQTKTAIRGTKGYVAPEWFRNTPVTVKVDVYSFGVLLLEIICCRRSVDLEISGTGAILIDWAYDCYRHGTLDALIEDDMEAMNDVSTLERAMKVAIWCIQEVPSLRPTMRKVTQMLEGVVEVPAPPNPFPFSEISCS >Potri.003G065133.1.v4.1 pep chromosome:Pop_tri_v4:3:9263673:9263921:1 gene:Potri.003G065133.v4.1 transcript:Potri.003G065133.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065133.v4.1 MSAQSEGNYAEALQNYYEAMRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSTL >Potri.003G065133.2.v4.1 pep chromosome:Pop_tri_v4:3:9263715:9264040:1 gene:Potri.003G065133.v4.1 transcript:Potri.003G065133.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G065133.v4.1 MRLEIDPYDRSYILYNIGLIHTSNGEHTKALEYYFRALERNPFLPQAFNNMAVICHYVRLSTL >Potri.002G125900.1.v4.1 pep chromosome:Pop_tri_v4:2:9567547:9570582:1 gene:Potri.002G125900.v4.1 transcript:Potri.002G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G125900.v4.1 MLYMDGYRESESRTRSETSGLTGHESVELAQLQDSPMIESMSSEWTDEKHKLYLKSMEASFVNQLYNSIDLLGWRSQKGRPVPNLSGEVNCSTCRPSGQFKVLRRGGWQKINFRRHESQLSSAKDSRGYLTSPWIQQFTPARKPEGATSPALQECAIQSRGINLKWKKAVLCCPATNSKLSHFGNSFSCHRDFVESNTEMSGQNFVDEDIESESASSSFSSKRLKTLKTDPSSSDQVVPHSKTPVEEEVTECISAAK >Potri.012G023900.1.v4.1 pep chromosome:Pop_tri_v4:12:2380779:2382710:-1 gene:Potri.012G023900.v4.1 transcript:Potri.012G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G023900.v4.1 MASGGQALNVPVLLAGYKFSPDNDDLIVYYLKRKILGQQLPADVITTTDVYASSPDKLPLDDFKGGVPNEWFFFSTRSKDDNIIALDGGYYAIDPEGAGPITWEGKVVGYVKTLNFYQGSSPNGTETEWMVEEFRVNPEFVPINNNDRSTREKIENLVACKISRVQPEPEW >Potri.012G027600.3.v4.1 pep chromosome:Pop_tri_v4:12:2681672:2685724:-1 gene:Potri.012G027600.v4.1 transcript:Potri.012G027600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027600.v4.1 MPFFTSHLKFPVNYTLNPVRPANMGFSLPCLSQSLSFILFLFHFHSTISSPLSSNYSSSSSSHLCAHRQSLSLLQFKQSFSIQNSSAFLEYYCPYPFPKTESWKEGTDCCLWDGVSCDLKTGHVTALDLSCNMLYGTLLPNNSLFSLHHLQHLDLSFNDFNSSHISSRFGQFSNLTHLNLSGSDLAGQVPSEISHLSKMVSLGLSWNDYVSVEPISFDKLVRNLTKLRELHLSWVNMSLVVPDSLMNLSSSLSSLKLNSCRLQGKLPSSMGKFKHLQYLDLGGNDFTGSIPYGFEQLRELVSLDLSGNFYLSPEPISFDKLVHNLTKLRDLALDYVNMSLVAPNSLTNLSSSLSSLSLSHCGLQGKFPGNNFLLPNLESLYLSYNEGLTGSFPSSNLSNVLSWLDLSNTRISVHLENDLISNLKSLEYMSLRNCNIIRSDLALLGNLTQLILLDLSSNNFSGQIPPSLSNLTILDLSSNNFSGQIPPSLSNLTILDLSSNNFSGQIPPSLSNLTQLTFLDLSSNNFSGQIPQSLRNLTQLTFLDLSSNNFSGQIPQSLRNLTQLTFLDLSSNNFSGQIPQSLRNLTFLDLSSNNFSGQIPSSLRNLIQLRYLYLSSNKLMGQVPDSLGSLVNLSDLHLSNNQLVGAIHSQLNTLSNLRSLYLSNNLFNGTIPSFLFALPSLHYLDLHNNNFIGNISELQHDSLRFLDLSNNHLRGPIPSSIFKQENLTTLILASNSKLTGEISSSICKLRFLRVLDLSTNSLSGSMPQCLGNFSSMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNEIEGKISSSIINCTMLQVLDLGNNKIEDTFPYFLETLPKLQILVLKSNKLQGFVKGPAAYNSFSKLRILDISDNNFSGPLPTGYFNSLEAMMASDQIMIYMRTTNYTGYVYSIEMTWKGVEIEFTKIRSTIRVLDLSNNNFTGEIPKMIGKLKALQQLNLSHNSLTGQIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGRIPSGEQFNTFDASSFEGNLGLCGSQVLKKCYGDEARSLPPSSFDEGDDSTLFGEGFGWKAVTVGYGCGFVFGVATGYVVFRTKKPSWFLRMVEDIWNLKSKKTKKNAGRYDARRN >Potri.008G141800.4.v4.1 pep chromosome:Pop_tri_v4:8:9544992:9546748:-1 gene:Potri.008G141800.v4.1 transcript:Potri.008G141800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141800.v4.1 MEPHIVRRHGDDVQRTASKRLMGKVAVITGGARGIGAATAKVFAENGASVIIADILDDLGTSLADSIGGRYIHCDVVNEADVESAINLALAWKGKLDIMFNNAGISGTEGSITNLDMEQVNYLLSVNVNGILHGIKHAARVMIKGQKGGCIICMSSSAAIMGGLGSHPYSLSKEAIIGLMRSTACELGVHGIRVNCISPHGVASEMLVGAYRKVLGKKDMTPEEVSKIVGERGSLLKGRSPSLEDVAQAVMFLASEEAGYITAHNLVIDGGFTSASSNMSFIYQ >Potri.008G141800.2.v4.1 pep chromosome:Pop_tri_v4:8:9544992:9546748:-1 gene:Potri.008G141800.v4.1 transcript:Potri.008G141800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141800.v4.1 MYNKEDEVLLAYSFEVVAIKEREEHVRHAVSRRHFRYAMEPHIVRRHGDDVQRTASKRLMGKVAVITGGARGIGAATAKVFAENGASVIIADILDDLGTSLADSIGGRYIHCDVVNEADVESAINLALAWKGKLDIMFNNAGISGTEGSITNLDMEQVNYLLSVNVNGILHGIKHAARVMIKGQKGGCIICMSSSAAIMGGLGSHPYSLSKEAIIGLMRSTACELGVHGIRVNCISPHGVASEMLVGAYRKVLGKKDMTPEEVSKIVGERGSLLKGRSPSLEDVAQAVMFLASEEAGYITAHNLVIDGGFTSASSNMSFIYQ >Potri.008G141800.3.v4.1 pep chromosome:Pop_tri_v4:8:9544646:9546271:-1 gene:Potri.008G141800.v4.1 transcript:Potri.008G141800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141800.v4.1 MEPHIVRRHGDDVQRTASKRLMGKVAVITGGARGIGAATAKVFAENGASVIIADILDDLGTSLADSIGGRYIHCDVVNEADVESAINLALAWKGKLDIMFNNAGISGTEGSITNLDMEQVNYLLSVNVNGILHGIKHAARVMIKGQKGGCIICMSSSAAIMGGLGSHPYSLSKEAIIGLMRSTACELGVHGIRVNCISPHGVASEMLVGAYRKVLGKKDMTPEEVSKIVGERGSLLKGRSPSLEDVAQAVMFLASEEAGYITAHNLVIDGGFTSASSNMSFIYQ >Potri.019G060650.1.v4.1 pep chromosome:Pop_tri_v4:19:10075793:10078204:-1 gene:Potri.019G060650.v4.1 transcript:Potri.019G060650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G060650.v4.1 MNNLTHLKYLSLGINEFTGQLPLDLCHGGVLEDFIVDHNYFSGSIPKSLKNCTSLYRVSLDWNQLTGNISEVFGVYPHLDYIDLSYNNFYGELSSKWGDYRNMTSLKISNNNVSGEIPPELGKATQLHLIDLSSNQLKGAIPKDLGGLKLLYKLLLNNNHLSGAIPLDIKMLSNLQILNLASNNLSGLIPKQLGECSNLLLLNLSGNKFRESIPGEIGFLLSLQDLDLSCNFLTREIPRQLGQLQRLETLNVSHNMLSGRIPSTFKDMLSLTTVDISSNKLQGPIPDIKAFHNASFEALRDNMGICGNASGLKPCNLPRSSKTVNKLVVLIALPLLGSLLLVFVVIGALFILCKRARKRNAEPENEQDRNTFTILGHDGKKLYENIVEATEEFNSNYCIGEGGYGTVYKAVMPTEQVVAVKKLHRSQTEKLSDFKAFEKEVCVLANIRHRNIVKMYGFCSHAKHSFLVYEFIERGSLRKIITSEEQAIEFDWRRRLNVVKGVGGALSYLHHSCSPPIIHRDITSNNILLDLEYEAHVSDFGTARLLMTDSSNWTSFAGTFGYTAPELAYTMKVTEKCDVYSFGVVTMEVMTGRHPGDLISALLSPGSSSSSSMPPIAQHALLKDVLDHRISLPKKGAAEGVVHMMKIALVCLHANPQSRPTMEKISFELTTKWPPLPKAFCTISLGDLFS >Potri.017G091100.1.v4.1 pep chromosome:Pop_tri_v4:17:10411365:10413433:-1 gene:Potri.017G091100.v4.1 transcript:Potri.017G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091100.v4.1 MASHHHCQNHVSVPTTFTTTTTPCCCYSHCSPQPHHHPISPPQLSNDPLLQSLVSLLQQQQQQQLQLQHHQSHIFSPCLDRPNSHTKNHHQKPKLHFQQEDDPQQTHFVLASLLQRINTLESSLHQFSASCTNNHNYPSHSLRDTAARVIQTHFRAFLVHRSRTLRQLKELAFIKSSFNSLKSSISTESHFDFKVASHKAMGLLLKLDSIQGGDTMIRDGKRSVTRDLVRFLEFVDGFAIKRHELSYKSARNVRALGNTNKARALNAKNGYGGCRDLTESQREIVDKLRKRVEKISGFSRACENDQEDVELEGFQQFVDDGDGELNRKVSVDGKRGVSLKKRVGHPRVKKTVSFAENGNSYRVISDTDESVLNGDDSFNDGSDYSDDHGEAVEIHFSETGERKGFSKGAENDQEAHLEDGGSSQSSDSGYRLEKDGSLVFSAPVPVKMESRADLMKRKAMKIVT >Potri.004G206600.1.v4.1 pep chromosome:Pop_tri_v4:4:21399460:21401175:-1 gene:Potri.004G206600.v4.1 transcript:Potri.004G206600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206600.v4.1 MSQRPYSPLSFCCALFIPLLFIHHVYASTSSTSSPQVQTLNTKPDIINPKLPPRTLSSSKKFEGSSDLVQLRYHMGPVLSSAPINIYLIWYGRWANSQKLLIKDFINSISPSTVAAKPSVSDWWRTVSLYTDQTGANVSRSILIAGEYADSAYSHGTGLTRLTIQQVIASAVRSAPFPVDHKNGIYLILTSQDVTMQDFCRAVCGFHYFTFPSMVGYTLPYAWVGNSGKQCPEVCAYPFAVPGYMGGGGPGALKPPNGDVGVDGMISVIGHELAELSSNPLVNAWYAGEDPTAPTEIGDLCEGLYGTGGGGGYIGQVMRDRKGRTFNLNGRRGRKFLVQWIWSPELKACAGPNALD >Potri.003G147400.2.v4.1 pep chromosome:Pop_tri_v4:3:16170622:16175165:-1 gene:Potri.003G147400.v4.1 transcript:Potri.003G147400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G147400.v4.1 MPSSEMAVMGKTIMILRLTGRVLHDAVSFIVFSLLDIFDLILCFAFKAVDFIIEAEWKPCYCTSAKEAITSSGKILVSEQGESKIVCLTSTKLGLEEISDTLYTRPSLVSEISKSTVNELKRFKVEDKSSTVTVQSSEKNIKKGTTRSTFTVNSTIVGMLRGKIGGQQLYPTSRWSDCDCKFCTSWTTSSKETLFVRAEGPKDKAKEDVLFVHGFISSSAFWTETLFPNFSNAAKSTYRLFAIDLLGFGRSPKPADSLYTLREHLDMIEQSVLEPYKVKSFHIVAHSLGCILALALAVKHPGSVKSLTLLAPPYYKVPKGVPAAQHVMKQVAPRRVWPLITFGASIACWYEHITRAVCLVICKNHRLWEFLTKLVTRNRMKTFLIEGFFCHTHNAAWHTLHNIICGTGSKLDGYLDSVRDHLKCDVNIFHGKNDELIPVECSYNVQQKVPRAQVKVIDNEDHITIVVNRQKVFARELEEIWRGGDRVVN >Potri.016G081000.4.v4.1 pep chromosome:Pop_tri_v4:16:6249312:6257602:-1 gene:Potri.016G081000.v4.1 transcript:Potri.016G081000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G081000.v4.1 MNVVGKVGSLISQGMYSVVTPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKIVRINVNGVEANFHMYLDNSGEAYFIKEVQPGKGSEANGVIKDSNSMTMSNEGVSVGFSDVGDNNVVGISRLEHSVSDSRVIQLREEENSSGAAQLRRAESDGDRRYYDFQDEQASMDDSVELSEYASDIYNGLDGEHPAVSQGSDSEVILVSVDGHVLTAPVLESEQNTESVQLCIPQFHLGPGDDTEEFNLGDDAWAADYITKLNASVSNFSSDNAYSVNNGGNARQPEVSEGDRELLCQSQEIQDIPRSEGDLLVQSDSDASVRINREEVFKSCLALPELAKPGGIADPEETDTSPEVQKDSHAESPCSPPAVDQTTNRDLVEFTDNGCNSDSSGLHGSPTVQVELKAAEKNASRTEHIGADSTCTSVRIGNSSDEMGEESYHMSTVGEELDNSLQGPVPEDESSKSETVKPQIECEACSSKGFEISLCGKELHSGMGLESAAEVFVSHRISAEEFKNSETSIIRNENLIIRYREKYFTWEKAAPIVLGMAAFGLDLPAEPKDAIPVELDDILEQRDDDAGITSAPSSRRWRLWPIPFRRVKTSSNSSSEELFVDSESGVQNSNVESTSASCGGSESPHKQFLRTNVPTSEQIASLNLKDGQNLITFSFSTRVLGTQQVDCHIYLWKWNARIVISDVDGTITRSDVLGQFMPLVGKDWTQSGVAKLFCAIKENGYQLLFLSARAIVQAYLTRSFLFNLKQDGKTLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKRLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHRIDVKSYTSLHTLVNDMFPPTSSAEQEDYNSWNFWKVPLPEIEF >Potri.016G081000.2.v4.1 pep chromosome:Pop_tri_v4:16:6249317:6256650:-1 gene:Potri.016G081000.v4.1 transcript:Potri.016G081000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G081000.v4.1 MNVVGKVGSLISQGMYSVVTPFHPFGGAVDVIVVQQQDGTFRSTPWYVRFGKFQGVLKGAEKIVRINVNGVEANFHMYLDNSGEAYFIKEVQPGKGSEANGVIKDSNSMTMSNEGVSVGFSDVGDNNVVGISRLEHSVSDSRVIQLREEENSSGAAQLRRAESDGDRRYYDFQDEQASMDDSVELSEYASDIYNGLDGEHPAVSQGSDSEVILVSVDGHVLTAPVLESEQNTESVQLCIPQFHLGPGDDTEEFNLGDDAWAADYITKLNASVSNFSSDNAYSVNNGGNARQPEVSEGDRELLCQSQEIQDIPRSEGDLLVQSDSDASVRINREEVFKSCLALPELAKPGGIADPEETDTSPEVQKDSHAESPCSPPAVDQTTNRDLVEFTDNGCNSDSSGLHGSPTVQVELKAAEKNASRTEHIGADSTCTSVRIGNSSDEMGEESYHMSTVGEELDNSLQGPVPEDESSKSETVKPQIECEACSSKGFEISLCGKELHSGMGLESAAEVFVSHRISAEEFKNSETSIIRNENLIIRYREKYFTWEKAAPIVLGMAAFGLDLPAEPKDAIPVELDDILEQRDDDAGITSAPSSRRWRLWPIPFRRVKTSSNSSSEELFVDSESGVQNSNVESTSASCGGSESPHKQFLRTNVPTSEQIASLNLKDGQNLITFSFSTRVLGTQQVDCHIYLWKWNARIVISDVDGTITRSDVLGQFMPLVGKDWTQSGVAKLFCAIKENGYQLLFLSARAIVQAYLTRSFLFNLKQDGKTLPNGPVVISPDGLFPSLYREVIRRAPHEFKIACLEDIKRLFPSDYNPFYAGFGNRDTDELSYRKIGIPKGKIFIINPKGEVAISHRIDVKSYTSLHTLVNDMFPPTSSAEQEDYNSWNFWKVPLPEIEF >Potri.016G065500.1.v4.1 pep chromosome:Pop_tri_v4:16:4580705:4583028:1 gene:Potri.016G065500.v4.1 transcript:Potri.016G065500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065500.v4.1 MSGFSNLVLRKLELKNPKLSLLESCTTLSHLKIIHAHLIRAHTIFDVFAASCLISISINKNLLDYAAQVFYQIQNPNLFIYNSFIRGFSGSKDPDKSFHFYVQSKRNGLVPDNLTYPFLVKACTQKGSLDMGIQAHGQIIRHGFDSDVYVQNSLVTMYSTLGDIKSASYVFRRISCLDVVSWTSMVAGYIKSGDVTSARKLFDKMPEKNLVTWSVMISGYAKNSFFDKAIELYFLLQSEGVHANETVMVSVIASCAHLGALELGERAHDYILRNKMTVNLILGTALVDMYARCGSIDKAIWVFDQLPGRDALSWTTLIAGFAMHGYAEKALEYFSRMEKAGLTPREITFTAVLSACSHGGLVERGLELFESMKRDYRIEPRLEHYGCMVDLLGRAGKLAEAEKFVNEMPMKPNAPIWGALLGACRIHKNSEIAERAGKTLIELKPEHSGYYVLLSNIYARTNKWENVENIRQMMKERGVVKPPGYTLFEMDGKVHKFTIGDKTHPEIQQIERMWEEILGKIRLAGYSGNNDDALFDIDEEEKESNIHRHSEKLAIAYAIMRTKGHDPIRIVKNLRVCEDCHTATKLISKVYERELIVRDRNRFHHFKGGACSCMDYW >Potri.013G082500.1.v4.1 pep chromosome:Pop_tri_v4:13:7208156:7216155:1 gene:Potri.013G082500.v4.1 transcript:Potri.013G082500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082500.v4.1 MSDNLMEKVSAFGELLKTGGAEVGRKMSAGMSSMSFKVKELLQGPNQEDKLVEDATAETLDEPDWAMNLDICDMINHEKVSSVELIRGIKKRIMIKNARVQYLALMLLETCAKNCEKAFSEVAAEKVLDEMVKLIDDPQTAVNNRNKALMLIEAWGESTSELRYLPVYEETYKSLKSRGIRFPGRDNESLVPIFTPPCSVSAPEVDASLTHQIQHDFPLQSFTAEQTKEAFDVARNSIELLTTVLSSSPQQDALQDGLATTLVQQCHQSQLTVQRIIETAGDNEALLFEGLNVNDEIQKVLSKYEELKTPSVVPAVPEPALIPVAVEPDSPIHAKEDVLIRKPAGPQDGTHGGSSDDMIDDLDEMIFGKKGGSASEGAQDPKKQQFSKDDLISF >Potri.012G040900.1.v4.1 pep chromosome:Pop_tri_v4:12:3648478:3649168:1 gene:Potri.012G040900.v4.1 transcript:Potri.012G040900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G040900.v4.1 MESDSTNDLYKECLRNHAASLGSYATDGCGEFTLDDTSLSTLQCAACGCHRNFHRKVSYSNRRDHIMHSPSSETVVMEMMDYAEGNNERNSRPPVMVVESGERSGKKRFRTKFTAEQREKMMEFAEKLGWKLQRKDEEDEVERFCEGIGVSRQVFKVWMHNHKNSSSTTSASPGNASSLTTQ >Potri.002G178900.1.v4.1 pep chromosome:Pop_tri_v4:2:14019565:14021013:-1 gene:Potri.002G178900.v4.1 transcript:Potri.002G178900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178900.v4.1 MGNCVFKGFRLEVEEMIKVVTTNGGIMELYAPITAECITNEFPGHAIYRSRDLFSKPLLHNEELHVGQLYHLLPINTSSIALNNTRNNNNTKNHLSSSTSSSKVTPYRMSFDNQRMLKRSSTEAEVFPRYNSTGVWKVKLVISPEQLAEILAQEARTEELIESVRTVAKCGNGVSSSVANSDQSSLSSSWKGLSDQKYGVDI >Potri.009G107350.1.v4.1 pep chromosome:Pop_tri_v4:9:9336190:9336696:-1 gene:Potri.009G107350.v4.1 transcript:Potri.009G107350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G107350.v4.1 MGEVDPAFIQAQEHRPKPEITRAEGIPLIDLSIISSPNSNLDNDQALGGLVEEIGNACKDWGFFQVINHGVPLAKRHNIEKASREFFGQPLEEKRKVRRNEEKVLGYYDTEHTKNVRDWKEVFDLKVQDPTAVPASYKPDDEELTKWFNQWPEYPNDLRYILLFSLFF >Potri.002G215700.1.v4.1 pep chromosome:Pop_tri_v4:2:20193190:20196514:-1 gene:Potri.002G215700.v4.1 transcript:Potri.002G215700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215700.v4.1 MDAEADPPTRSRTERHKCFACYKQFKKKEHLVEHMKISYHTPHQPKCGVCQKHCKSFESLRNHLTGPLSRAGCSRTFSAQGCDLCLKLYDSPSSLGKHREICHLSAPASLGTKILPFAGSVDVKYTTKGAKAIAINCGLVGGGTDGSLDLCARVCLVDEDENIIFHTYVQPQSAVTDYRYEITGLTEEHLRNSKSHKEVQDRILEILYNGESARRLMSDSGKARLLVGHDLKRGLDCLRINYPGHLLRDTAKYRPLLKTNLVSHSLKYLTKTYLGYDIQTGEHDPYVDCVSVMRLYKRMRAQDHQGKGIGTPNSDSGFESQKAEELENMTPDELYQISKSDYKCWCLDSSIAAGLNS >Potri.001G013200.1.v4.1 pep chromosome:Pop_tri_v4:1:915288:919845:-1 gene:Potri.001G013200.v4.1 transcript:Potri.001G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013200.v4.1 MEFGGGHRKRTRNDAAFNGNGGHKKNRQEMESFSTGIGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGFKAVSQMLNVGGSPALPPASRNQGVPTLSYQDRSSPPSVKSRLCNKYNTVEGCKFGDKCHFAHGEWELGKASAAPSYEDPRAMGPIPGRMSRHMEHPHQGHGAAASFGSSATTKISIDASLAGAIIGKNGVNSKHICRVTGAKLSIRDHEADPKKRSIELEGSFDQISQASDMVRQLISNVGQASGPPIKNQAMHSSGGSNNFKTKICENFNKGSCTFGDRCHFAHGAEELRKSGM >Potri.004G009300.1.v4.1 pep chromosome:Pop_tri_v4:4:538533:540094:1 gene:Potri.004G009300.v4.1 transcript:Potri.004G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G009300.v4.1 MASLQASSFLFSSCSCSTRINAAVSVPKLPRFRLPVPRTPLRFVEELNLRDGFTSTVPLLENTRPDHQCEPIESKTTAAAAKLYAILEAVSDRVEMHKNIGEQRDNWNKLLLNSINMITLTAATMAGVASAGTVGAPLLALKLSSTLLFSAATGMLLIMNKIQPSQLAEEQRNATRLFKQLYSHIRTTLALRDPTALDVKDAMEKTLALDKAYPLPLLGKMIEKFPEKFEPAVWWPKTQGSPRKQRKTQGRNGCSGDLEEEMRQVIEVIKRKDSEDYMRLGNLALKVNKILAISGPLLTGIAAAGSAFVGHGSWAAIVAVTAGALASTVNTFEHGGQIGMVVEMYRNCAGFFTLMEESIETSIQQRDFEKSEDREMFEMNVALKLGRSLSQLRDLARKSSSSHVDGSTIDEFASKLF >Potri.001G395200.1.v4.1 pep chromosome:Pop_tri_v4:1:41918965:41919575:-1 gene:Potri.001G395200.v4.1 transcript:Potri.001G395200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395200.v4.1 MDDCRPLGFLIGLPFALIALVLSLIGAVVWVIGSVLSCICPCCICCAGLANLAVSLVKLPVNVIRWFIDLIPC >Potri.003G220000.1.v4.1 pep chromosome:Pop_tri_v4:3:21438411:21439947:1 gene:Potri.003G220000.v4.1 transcript:Potri.003G220000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G220000.v4.1 MQKPEKTGMQKQKKREIDRRYRQKKKKAVVETKIKLAMTMIENENLKSTVQKLEQEIIQLTKQLNSIEPRPDQTTYDELQQEIKYLRVENEVTKCLLKDTDASYISNMIKVLKENEDMKRTIEHYERLSSHAGCLKKLET >Potri.004G160300.2.v4.1 pep chromosome:Pop_tri_v4:4:18029972:18032347:1 gene:Potri.004G160300.v4.1 transcript:Potri.004G160300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G160300.v4.1 MSLNLSLLVILSLLFISAVHAETLNGDDPLIREVVDGQDASSSNLLSAEQHHFSLFKSKFKKSYGSQEEHDYRFSVFKANLRRAARHQELDPTASHGVTQFSDLTPAEFRKQVLGLRRLRLPKDANEAPILPTSDLPEDFDWRDKGAVGPIKNQGSCGSCWSFSATGALEGAHFLATGELVSLSEQQLVDCDHECDPEEPGSCDSGCNGGLMNSAFEYTLKAGGLMREEDYPYTGTDRDACKFDKNKVAARVANFSVVSLDEDQIAANLVKNGPLAVAINAVFMQTYIGGVSCPYICSRRLDHGVLLVGYGSAGYSPVRMKEKPFWIIKNSWGEKWGENGFYKICRGRNVCGVDSMVSTVAAVQTSSQ >Potri.T126006.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:128699:132898:-1 gene:Potri.T126006.v4.1 transcript:Potri.T126006.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126006.v4.1 MASSNLFFDDIRNKPEVIDPPQNEDLTDIGESVNDPAQTALKPNVTVSSSVRELLECPVCLNAMYPPIHQCSNGHTLCSGCKPRVQNRCPTCRHELGNIRCLALEKVAASLELPCKYQSFGCIGIYPYYSKLKHESQCVFRPYNCPYAGSECTVIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFQLGMAPVYIAFLRFMGDDNEAKNYSYSLEVGGGGRKMIWQGVPRSIRDSHRKVRDSFDGLIIQRNMALFFSGGDRKELKLRVTGRIWKEQ >Potri.018G112800.1.v4.1 pep chromosome:Pop_tri_v4:18:12999691:13005162:-1 gene:Potri.018G112800.v4.1 transcript:Potri.018G112800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G112800.v4.1 MSGWDEGAVYYSDQAQFPESGSDAAAATPSRHTILRKFKEFIRNFEADKNVFPYRESLVNNPRSLLVHLEDLLAFDAELPSLLRSSPSDYLPLFETAAAEVLQSLRLKEQGESGEMKEPETREVQILLSSKEDPVSMRLLGAQYISKLIKISGITISASRVKAKATYVSLVCKNCQSTREVPCRPGLGGAIVPRSCDHVPQTGEEPCPIDPWIVVPDKSKYVDQQTLKMQENPEDVPTGELPRNMLLSVDRHLVQKIVPGTRLTIIGIYSIFQAANSSASQRGAVAVRQPYIRVVGIEEINEASSRGHAAFTVEEVEEFKKFASRTDAYEVICSQIAPSIFGEENVKKAVACLLFGGSRKSLPDGVKLRGDINVLLLGDPSTAKSQFLKFVEKTAPVAVYTSGKGSSAAGLTASVIRDNSTREFYLEGGAMVLADGGVVCIDEFDKMRPEDRVAIHEAMEQQTISIAKAGITTVLNSRTSVLAAANPPSGRYDDLKTAQENIDLQTTILSRFDLIFIVKDKRDYGRDKIIASHIIRVHASADRTSSNGRAPKEENWLKRYIKYCRTECHPRLSESASSRLQNEYVRFRQDMRKQANETGEASAVPITVRQLEAIIRLSEALAKMKMSHVATEADVVEAVNLFKVSTVEAAQSGINQQVTLTPEIKQAETQIKRRLGIGMRISERKLIDELARMGMNESIVRRALIVMHQRDEIEYKHERRVIVRKV >Potri.002G168400.1.v4.1 pep chromosome:Pop_tri_v4:2:12921594:12925531:1 gene:Potri.002G168400.v4.1 transcript:Potri.002G168400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168400.v4.1 MVKEMRSDLNPFSLSKHNSVIIKFAVSFLLVGLAFRLLISGSFRFSSVVETSVPANEETKPESLMASLPEEPASNDFEANKSQNSQSGKCDLFTGEWIPDPSGPFYTNQSCLQIEGHQNCMKNGRPDSGYLYWRWSPRGCSLPKFNPKKFLHLMRNKSWAFIGDSISRNHVQSLLCILSQVEQAVETYHDEEYRSKIWHFRTHNFTLSVIWTPFLIKADIFEDMNGVSSSEIQLHLDELDKKWTDQYRNFDYAIVAGGKWFLKTAIYHENNVVTGCHYCPGKNLTELGFDYAYRKAIQLIFNFITNSGHKTLAFLRTTTPDHFENGEWFSGGTCDRKVPFKEGEVNMTDVDTIMRNIELEEFAKAAALGPDKGVVLKLLDTTRLSLLRPDGHPGPYRQFQPFAEDKNSKVQNDCLHWCLPGPIDSWNDLVMEMIVNGGIYQ >Potri.009G042100.2.v4.1 pep chromosome:Pop_tri_v4:9:4918814:4920309:-1 gene:Potri.009G042100.v4.1 transcript:Potri.009G042100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G042100.v4.1 MEKAREFLNLSNEESESVSSIKFHPHRPWTERSFYEDFSIRGIQADRIEPGFISTTFKVPPRLTDRNGKLATGAIANLVDEGGALVAQAEGIPFLVSVDMSISFLSTANVNDELEITARVLGRNGGYAGTIVLVKNKATGELIAEGRHSLFGKHNSKM >Potri.010G099600.1.v4.1 pep chromosome:Pop_tri_v4:10:12276444:12280250:-1 gene:Potri.010G099600.v4.1 transcript:Potri.010G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G099600.v4.1 MGSCGRSGAVRQYVRSKVPRLRWTPELHHCFVHAIERLGGQDKATPKLVLQMMDVKGLTISHVKSHLQMYRSMRSGDLGRLDRSSTQQKRQSFAVYNECVEEVKAIEESDSHSMYRPLSSKRARIETRSSLSEEFLQCSQGICETISNPYSFDDYAGIKEEVNGVGFKWEQTHSNPQCTAFSLPHDLYNFNPLKREVEESDFLKIAKLEGRKCKPEKLFTPEVTGSGRAEDEEPVDCELSLALSLPQPSSHRSDSSSTSEISEAFSSYSRSNFKDCSGFSTGKRDINLDLSIALCGA >Potri.015G124601.1.v4.1 pep chromosome:Pop_tri_v4:15:13761906:13762680:-1 gene:Potri.015G124601.v4.1 transcript:Potri.015G124601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G124601.v4.1 MRCLSSSIDLGDYCRILMCLRLIDGVHYGFPAGCHRYETHQRLGAPTIRCKIRPLRHHLL >Potri.002G152700.1.v4.1 pep chromosome:Pop_tri_v4:2:11688513:11691404:-1 gene:Potri.002G152700.v4.1 transcript:Potri.002G152700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152700.v4.1 MGSFYNSYSNTNYNQRNHFSIERTPKMLKEFLIDDSYSCSSRGFKSFSRKPSDSTMKTLIEIDIYNPRNVANPSNNIASYKLLKSRSKAAASTTISAFQAMMNAVKNVHFIAIKSPSLLPRSLSRRLSKKKCQNKENEVKMTITVKDIIRWKSFRDIVEDDKAPPSDLPPSPHHCTTTTTRSTSTTPRSGSSWCDSDFNSDYLPSWNGNFDECVENEVGAGKKFLPCVGEDSLEATTEARTYTKVGPKEDEDEQQHSPVSVIEFHFEEDEESSSSFHQSLATLNRTREKIMEKIRRSESVAKLVPVNLDKWMSMDENVSSGEDDDVDEDSDDLEGIRETNMIKDEEEEEINKVEEKAWKLLNHVKETGVECCNDNVDLLLNFFRDELATGRYETRKNGIDVELLNKAKAWINGEDSLWVGWEIVNKREDYVREMDREGRWKKFEEEQQELALEIENGVLRLLVDDLLLDLISC >Potri.004G105900.1.v4.1 pep chromosome:Pop_tri_v4:4:9299366:9300134:1 gene:Potri.004G105900.v4.1 transcript:Potri.004G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G105900.v4.1 MVMMGLRRLVMILNSKIRFLKMKKGYDKIEKSESMRVEVRSRKARKLIEETLKIADSPYTKTYAF >Potri.001G269500.7.v4.1 pep chromosome:Pop_tri_v4:1:28417247:28419603:-1 gene:Potri.001G269500.v4.1 transcript:Potri.001G269500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269500.v4.1 MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDADDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFVQPDGA >Potri.001G269500.8.v4.1 pep chromosome:Pop_tri_v4:1:28417439:28419345:-1 gene:Potri.001G269500.v4.1 transcript:Potri.001G269500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269500.v4.1 MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDADDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFVQPDGA >Potri.004G032800.2.v4.1 pep chromosome:Pop_tri_v4:4:2522908:2527881:-1 gene:Potri.004G032800.v4.1 transcript:Potri.004G032800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032800.v4.1 MSRFLTIGNIKKVARLIFSKQKSSSNQLLEVKKYGTVVSVGLHLPQFRYYSQYSFPSRGYSSFALYNVKEQFGRRCFTSCYSSTSDVVTRNAQLAWKRLCRKGSANGWSFPRISRIAQAVSLALTRSHLVVPSALALTCGQVAWAQRTLVESDFYPNSLYMRAQDGHAYVTLLVSAVVDAFVLLVRAIYLAILFSPSMMMAPFADSCGPEFRKIWLHVVHRTLEKAGPAFIKWGQWAATRPDLFPRDLCTKLSELHSKAPEHSFAYTKKTIERAFGRKLSEIFEDFEEVPVASGSIAQVHRASLRFRYPGKKQTKPTIVAVKVRHPGVGESIRRDFMIINLVAKISTFIPTLNWLRLDESLQQFGVFMMSQVDLAREAAQLSRFIYNFRRWKDVSFPKPVYPLVHPAVLVESYEQGESVSHYVDDLEGHNRIKSALAHIGTHALLKMLLVDNFIHADMHPGNILVRLSKNSSSRKRLFKSKPHVIFLDVGMTAELSQGDRINLINFFKAVATRDGRTAAESALSLSKRQNCPNPKAFIEEVEESFTFWGTPEGDLVHPADCMQQLLEKVRRHRVNIDGNVCTVMVTTLVLEGWQRKLDPGYNVMQTLQTLLLRADWAKSLSYTIDGLMGP >Potri.003G100800.5.v4.1 pep chromosome:Pop_tri_v4:3:12582173:12585145:1 gene:Potri.003G100800.v4.1 transcript:Potri.003G100800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100800.v4.1 MLYFCVHYMLYVSFFAVSYCSYFGKKIDSEPGRCRRTDGKKWRCSKDAHPDSKYCERHMNRSRNRSRKPVESQTTSQSLSTVASEIATGSSSIGSRGYPTNPGTLGLGSNMSRWQMESMPYGVNSKDYRSLHGPKPEADEKTFLPEALGNTRSFGMNSTVDSTWHLTSQVPANPVPESRNGSLLQNYPQVQTLQDFEPLTVDAASSKQQQQQHYLFGREFSSSGSMRRENQSLQPLFDEWPKCRDMDSHLTDQRSNNNSSAVQLSMAIPMAPNPAARSYHSPNDA >Potri.003G100800.2.v4.1 pep chromosome:Pop_tri_v4:3:12580831:12585172:1 gene:Potri.003G100800.v4.1 transcript:Potri.003G100800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100800.v4.1 MSNSSVTVAGVGSRSPPGFTMSQWHELEHQVLIFKCLNAGLPVPPSLLLPIRKSFQLLSPGFLHPSNLSYCSYFGKKIDSEPGRCRRTDGKKWRCSKDAHPDSKYCERHMNRSRNRSRKPVESQTTSQSLSTVASEIATGSSSIGSRGYPTNPGTLGLGSNMSRWQMESMPYGVNSKDYRSLHGPKPEADEKTFLPEALGNTRSFGMNSTVDSTWHLTSQVPANPVPESRNGSLLQNYPQVQTLQDFEPLTVDAASSKQQQQQHYLFGREFSSSGSMRRENQSLQPLFDEWPKCRDMDSHLTDQRSNNNSSAVQLSMAIPMAPNPAARSYHSPNDA >Potri.001G094200.6.v4.1 pep chromosome:Pop_tri_v4:1:7455472:7461109:-1 gene:Potri.001G094200.v4.1 transcript:Potri.001G094200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094200.v4.1 MTKKKHHFQESLKSLFGSHIDPEKDEQLKETKTEIDDKVKRILKLIKEEDLEEQEGLSEENSKKEPLIELIEDLQKEYHSLYGQYDHLKGELRKKVHGKHGKDTSSSSSSDSESDDSSKHKGSKNGRLESEYQKIIDGMKQKLEAANLELAELKSKLTATGEEKDALKLEHETGLIKIQEEEEIIRNLKLEVERSDTDKAQLLVENGELKQKLDAGGMIEAELNQRLEELNKVKDTLILEKEAATRSIEESEKIAEALKLEYETALIKKQEAEEIIRNLKLEVERSDADKAQLLIENGELKQKLDTAGMIEAELYKKLEELNKEKDSLILEKEAAMQSNEESEKITEDLRTLTDWLQEEKSATGQELEALKAELSITKQQLESAEQQVADFIHNLKVTKEENDSLTLKLSEISNDMVQAQNTIDGLKGESGQLKEKLDNREREYLSLAEMHEMHGNKSSDRIKELEVQVRGLELELKSSQAQNRDLEVQIESKMAEAKQLREHNHGLEARILELEMMSKERGDELSALTKKLEENQNESSRTEILTVQVNTMLADLESIRAQKEELEEQMVIRGNETSIHVEGLMDQVNVLEQQLEFLNSQKAELGVQLEKKTLEISEYLIQIENLKEEIVSKTADQQRFLAEKESSTAQINDLELEVEALCNQNTELGEQISTEIKERELLGEEMVRLQEKILELEKTRAERDLEFSSLQERQTTGENEASAQIMALTEQVSNLQQGLDSLRTEKNQTQSQFEKEREEFSEKLTELENQKSEFMSQIAEQQRMLDEQEEARKKLNEEHKQVEGWFQECKVSLEVAERKIEDMAEEFLKNAGSKDQMVEQLEEMIEDLKRDLEVKGDEINTLVENVRNIEVKLRLSNQKLRITEQLLTENEESLRKAEERYQQEKRVLKERAAILSGIITANNEAYHRMVADISQKVNSSLLGLDALNMKFEEDCNRYENCILVVSKEIRIAKNWFMETNNEKEKLRKEVGDLVVQLQDTKERESALKEKVEQLEVKVRMEGAEKENLTKAVNHLEKKAVALENMLKEKDEGISDLGEEKREAIRQLCLWIEYHRSRHDYLREMLSKMPIRSQRAS >Potri.001G094200.8.v4.1 pep chromosome:Pop_tri_v4:1:7455363:7458426:-1 gene:Potri.001G094200.v4.1 transcript:Potri.001G094200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094200.v4.1 MIEAELNQRLEELNKVKDTLILEKEAATRSIEESEKIAEALKLEYETALIKKQEAEEIIRNLKLEVERSDADKAQLLIENGELKQKLDTAGMIEAELYKKLEELNKEKDSLILEKEAAMQSNEESEKITEDLRTLTDWLQEEKSATGQELEALKAELSITKQQLESAEQQVADFIHNLKVTKEENDSLTLKLSEISNDMVQAQNTIDGLKGESGQLKEKLDNREREYLSLAEMHEMHGNKSSDRIKELEVQVRGLELELKSSQAQNRDLEVQIESKMAEAKQLREHNHGLEARILELEMMSKERGDELSALTKKLEENQNESSRTEILTVQVNTMLADLESIRAQKEELEEQMVIRGNETSIHVEGLMDQVNVLEQQLEFLNSQKAELGVQLEKKTLEISEYLIQIENLKEEIVSKTADQQRFLAEKESSTAQINDLELEVEALCNQNTELGEQISTEIKERELLGEEMVRLQEKILELEKTRAERDLEFSSLQERQTTGENEASAQIMALTEQVSNLQQGLDSLRTEKNQTQSQFEKEREEFSEKLTELENQKSEFMSQIAEQQRMLDEQEEARKKLNEEHKQVEGWFQECKVSLEVAERKIEDMAEEFLKNAGSKDQMVEQLEEMIEDLKRDLEVKGDEINTLVENVRNIEVKLRLSNQKLRITEQLLTENEESLRKAEERYQQEKRVLKERAAILSGIITANNEAYHRMVADISQKVNSSLLGLDALNMKFEEDCNRYENCILVVSKEIRIAKNWFMETNNEKEKLRKEVGDLVVQLQDTKERESALKEKVEQLEVKVRMEGAEKENLTKAVNHLEKKAVALENMLKEKDEGISDLGEEKREAIRQLCLWIEYHRSRHDYLREMLSKMPIRSQRAS >Potri.001G094200.5.v4.1 pep chromosome:Pop_tri_v4:1:7455532:7461280:-1 gene:Potri.001G094200.v4.1 transcript:Potri.001G094200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094200.v4.1 MTKKKHHFQESLKSLFGSHIDPEKDEQLKETKTEIDDKVKRILKLIKEEDLEEQEGLSEENSKKEPLIELIEDLQKEYHSLYGQYDHLKGELRKKVHGKHGKDTSSSSSSDSESDDSSKHKGSKNGRLESEYQKIIDGMKQKLEAANLELAELKSKLTATGEEKDALKLEHETGLIKIQEEEEIIRNLKLEVERSDTDKAQLLVENGELKQKLDAGGMIEAELNQRLEELNKVKDTLILEKEAATRSIEESEKIAEALKLEYETALIKKQEAEEIIRNLKLEVERSDADKAQLLIENGELKQKLDTAGMIEAELYKKLEELNKEKDSLILEKEAAMQSNEESEKITEDLRTLTDWLQEEKSATGQELEALKAELSITKQQLESAEQQVADFIHNLKVTKEENDSLTLKLSEISNDMVQAQNTIDGLKGESGQLKEKLDNREREYLSLAEMHEMHGNKSSDRIKELEVQVRGLELELKSSQAQNRDLEVQIESKMAEAKQLREHNHGLEARILELEMMSKERGDELSALTKKLEENQNESSRTEILTVQVNTMLADLESIRAQKEELEEQMVIRGNETSIHVEGLMDQVNVLEQQLEFLNSQKAELGVQLEKKTLEISEYLIQIENLKEEIVSKTADQQRFLAEKESSTAQINDLELEVEALCNQNTELGEQISTEIKERELLGEEMVRLQEKILELEKTRAERDLEFSSLQERQTTGENEASAQIMALTEQVSNLQQGLDSLRTEKNQTQSQFEKEREEFSEKLTELENQKSEFMSQIAEQQRMLDEQEEARKKLNEEHKQVEGWFQECKVSLEVAERKIEDMAEEFLKNAGSKDQMVEQLEEMIEDLKRDLEVKGDEINTLVENVRNIEVKLRLSNQKLRITEQLLTENEESLRKAEERYQQEKRVLKERAAILSGIITANNEAYHRMVADISQKVNSSLLGLDALNMKFEEDCNRYENCILVVSKEIRIAKNWFMETNNEKEKLRKEVGDLVVQLQDTKERESALKEKVEQLEVKVRMEGAEKENLTKAVNHLEKKAVALENMLKEKDEGISDLGEEKREAIRQLCLWIEYHRSRHDYLREMLSKMPIRSQRAS >Potri.001G094200.7.v4.1 pep chromosome:Pop_tri_v4:1:7455366:7461105:-1 gene:Potri.001G094200.v4.1 transcript:Potri.001G094200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094200.v4.1 MTKKKHHFQESLKSLFGSHIDPEKDEQLKETKTEIDDKVKRILKLIKEEDLEEQEGLSEENSKKEPLIELIEDLQKEYHSLYGQYDHLKGELRKKVHGKHGKDTSSSSSSDSESDDSSKHKGSKNGRLESEYQKIIDGMKQKLEAANLELAELKSKLTATGEEKDALKLEHETGLIKIQEEEEIIRNLKLEVERSDTDKAQLLVENGELKQKLDAGGMIEAELNQRLEELNKVKDTLILEKEAATRSIEESEKIAEALKLEYETALIKKQEAEEIIRNLKLEVERSDADKAQLLIENGELKQKLDTAGMIEAELYKKLEELNKEKDSLILEKEAAMQSNEESEKITEDLRTLTDWLQEEKSATGQELEALKAELSITKQQLESAEQQVADFIHNLKVTKEENDSLTLKLSEISNDMVQAQNTIDGLKGESGQLKEKLDNREREYLSLAEMHEMHGNKSSDRIKELEVQVRGLELELKSSQAQNRDLEVQIESKMAEAKQLREHNHGLEARILELEMMSKERGDELSALTKKLEENQNESSRTEILTVQVNTMLADLESIRAQKEELEEQMVIRGNETSIHVEGLMDQVNVLEQQLEFLNSQKAELGVQLEKKTLEISEYLIQIENLKEEIVSKTADQQRFLAEKESSTAQINDLELEVEALCNQNTELGEQISTEIKERELLGEEMVRLQEKILELEKTRAERDLEFSSLQERQTTGENEASAQIMALTEQVSNLQQGLDSLRTEKNQTQSQFEKEREEFSEKLTELENQKSEFMSQIAEQQRMLDEQEEARKKLNEEHKQVEGWFQECKVSLEVAERKIEDMAEEFLKNAGSKDQMVEQLEEMIEDLKRDLEVKGDEINTLVENVRNIEVKLRLSNQKLRITEQLLTENEESLRKAEERYQQEKRVLKERAAILSGIITANNEAYHRMVADISQKVNSSLLGLDALNMKFEEDCNRYENCILVVSKEIRIAKNWFMETNNEKEKLRKEVGDLVVQLQDTKERESALKEKVEQLEVKVRMEGAEKENLTKAVNHLEKKAVALENMLKEKDEGISDLGEEKREAIRQLCLWIEYHRSRHDYLREMLSKMPIRSQRAS >Potri.014G007600.8.v4.1 pep chromosome:Pop_tri_v4:14:611407:615011:-1 gene:Potri.014G007600.v4.1 transcript:Potri.014G007600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007600.v4.1 MAAELFLTFAMEETLTRVISIAAEGIGLAWGLEGQLLKLEESLTMIQAVLQDAARRPVTDKSAKLWLEKLQDVAYNAEDVLDEFAYEILRKDQKKGKVRDFFSSHNPAAFRLNMGRKVQKINDALDEIQKLATRFGLGIASQHVESAPEVIRDIDRETDSLLESSEVVVGREDDVSKVMKLLIGSIGQQVLSVVPIVGMAGLGKTTIAKKVCEVVTEKKLFDVIIWVCVSNDFSKRRILGEMLQDVDGTTLSNLNAVMKTLKEKLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKNGNAVVVTTRIKEVADTMKTSPGSQHEPGQLSDDQCWSIIKQKVSRGGRETIASDLESIGKDIAKKCGGIPLLAKVLGGTLHGKQAQEWKSILNSRIWDSRDGDKALRILRLSFDHLSSPSLKKCFAYCSIFPKDFEIEREELVQLWMAEGFLRPSNGRMEDEGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHILHLNLISRGDVEAAFPAGDARKLRTVFSMVDVFNGSWKFKSLRTLKLKKSDIIELPDSIYKLRHLRYLDVSDTAIRALPESITKLYHLETLRFTDCNSLEKLPKKMRNLVSLRHLHFSDPKLVPDEVRLLTRLQTLPLFVVGPNHMVEELGCLNELRGALKICKLKQVRDREEAEKAKLRQKRMNKLVFEWSDDEDSCSVNSEDALEGLQPHPDIRSLKIKGYGGEYFPSWILQLNNLMELSLKDCGKCRQLPTLGCLPRLKTLKMSGMPNVKCIGNEFYSSSGSAAVLFPALEELTLYQMDGLEEWMVPGGEVVAVFPCLEKLWIRRCGKLKSIPICGLSSLVEFEINGCDELRYLCGEFHGFTSLQILWIRSCPELASIPSVQHCTALVELDISWCDELISIPGDFRELKYSLKRLEIWGCKLGALPSGLQCCASLEELVIKDCSELIHISGLQELSSLRSLGIRGCDKLISIDWHGLRQLPSLVELEITTCPSFSHIPEDDCLGGLTQLERLTIGGFSEEMEAFPAGVLNSIQHLNLSGSLKSLWIVGWDKLKSVPHQLQHLTALTSLCISRFEGEGFEEALPEWLANLSSLQSLTIVGCKNFEYLPSSTAIQRLSKLKTLYIRECPHLKENCRKENGSEWPKISHIPQVYI >Potri.014G007600.9.v4.1 pep chromosome:Pop_tri_v4:14:611298:614890:-1 gene:Potri.014G007600.v4.1 transcript:Potri.014G007600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G007600.v4.1 MIQAVLQDAARRPVTDKSAKLWLEKLQDVAYNAEDVLDEFAYEILRKDQKKGKVRDFFSSHNPAAFRLNMGRKVQKINDALDEIQKLATRFGLGIASQHVESAPEVIRDIDRETDSLLESSEVVVGREDDVSKVMKLLIGSIGQQVLSVVPIVGMAGLGKTTIAKKVCEVVTEKKLFDVIIWVCVSNDFSKRRILGEMLQDVDGTTLSNLNAVMKTLKEKLEKKTFFLVLDDVWEGHDKWNDLKEQLLKINNKNGNAVVVTTRIKEVADTMKTSPGSQHEPGQLSDDQCWSIIKQKVSRGGRETIASDLESIGKDIAKKCGGIPLLAKVLGGTLHGKQAQEWKSILNSRIWDSRDGDKALRILRLSFDHLSSPSLKKCFAYCSIFPKDFEIEREELVQLWMAEGFLRPSNGRMEDEGNKCFNDLLANSFFQDVERNECEIVTSCKMHDLVHDLALQVSKSEALNLEEDSAVDGASHILHLNLISRGDVEAAFPAGDARKLRTVFSMVDVFNGSWKFKSLRTLKLKKSDIIELPDSIYKLRHLRYLDVSDTAIRALPESITKLYHLETLRFTDCNSLEKLPKKMRNLVSLRHLHFSDPKLVPDEVRLLTRLQTLPLFVVGPNHMVEELGCLNELRGALKICKLKQVRDREEAEKAKLRQKRMNKLVFEWSDDEDSCSVNSEDALEGLQPHPDIRSLKIKGYGGEYFPSWILQLNNLMELSLKDCGKCRQLPTLGCLPRLKTLKMSGMPNVKCIGNEFYSSSGSAAVLFPALEELTLYQMDGLEEWMVPGGEVVAVFPCLEKLWIRRCGKLKSIPICGLSSLVEFEINGCDELRYLCGEFHGFTSLQILWIRSCPELASIPSVQHCTALVELDISWCDELISIPGDFRELKYSLKRLEIWGCKLGALPSGLQCCASLEELVIKDCSELIHISGLQELSSLRSLGIRGCDKLISIDWHGLRQLPSLVELEITTCPSFSHIPEDDCLGGLTQLERLTIGGFSEEMEAFPAGVLNSIQHLNLSGSLKSLWIVGWDKLKSVPHQLQHLTALTSLCISRFEGEGFEEALPEWLANLSSLQSLTIVGCKNFEYLPSSTAIQRLSKLKTLYIRECPHLKENCRKENGSEWPKISHIPQVYI >Potri.006G213801.1.v4.1 pep chromosome:Pop_tri_v4:6:22016225:22017364:-1 gene:Potri.006G213801.v4.1 transcript:Potri.006G213801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213801.v4.1 MHQGLNDGKKQKRMVDLVVEGAMKPLLIASMVLLAMMGFAFSSTNARPLLSQQTSQSLNGIPFYHIHGPPPPPAKGANVFASRSLKAASDLSSQQQSSILNRIPVYHLYSPPPPSPKAAGAFSRPPPPPIPKGAIFFASPPPPPRIPKGAIFFASPPPPSPKPVKATGAFSRPPPPPIPKGAIFFASPPPPLIPKGAIFFASPPPPSPKLASTGPSKV >Potri.001G439100.1.v4.1 pep chromosome:Pop_tri_v4:1:46491449:46492856:1 gene:Potri.001G439100.v4.1 transcript:Potri.001G439100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G439100.v4.1 MRRSSIFNGLHKSLKSCLCHKKNSYALSPPTPFQKPGSIWIPSRQKSSGGRRPKRKIYHRVQDLDRAMDLQKKPSLILQLKSILQSQKNQSLLLRDLEKEVGFVQKWNFMSVIEKYPAIFRVGGGSNTRTPPFVAFTAKAEKIAREEAEARELMEPILVKNLRKLLMLSVDCRVPLEKIEFIQNELGLPQDFKSSLIPKYPDFFSVKDVNGKAYLLLENWDSALAVTSREERLRVEGVPSINPLKRNARISKDGNFFGPFAFKMCFAAGFRPNMSYLEELEKWQRMEFPSPYLNARRFEIADPKARKRVAAVLHELLSLTMEKRMTSAQLDAFHSEYMLPSRLLLCLIKHHGIFYITNKGARSTVFLKDCYNGSNLIEKCPLLSFHDKFVALSGRAPIDSCTVMPSSQLFT >Potri.002G226600.1.v4.1 pep chromosome:Pop_tri_v4:2:21538610:21549164:1 gene:Potri.002G226600.v4.1 transcript:Potri.002G226600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G226600.v4.1 MNPKLGFGFLLLLLLCYSIDSKCSKGCDLALASYYVWQGANLSFIAEVMQSSILKSTDFDTILRYNPQVTNKDSLPSFIRISIPFPCECINGEFLGHFFTYNVRSQDTYGTVADTYYANLTTTPSLINFNSYPEVNIPDNGVLNVSVNCSCGDSSVSKDYGLFMTYPLRLNDTLASIANQTNLTQSLLQRYNVGFDFNQGSGVVYIPTKDPDGSYLPLKSSTGIAGGVVAGICIAAVAVALLLAVFIYVGFYRKKKVKGAILLPASQELSPRIVQVPGSNSNKPVDATGFQGLTGLTVDKSVVFSYEELAKATDDFSLANKIGQGGFGSVYYAELRGEKAAIKKMDMQASKEFLAELKVLTHVHHLNLVRLIGYCVEGSLFLVYEFIENGNLSQHLRGSEKDPLPWSTRVQIALDSARGLEYIHEHTVPVYIHRDIKSANILIDKNFRGKVADFGLTKLTEVGSTSLPTRLVGTFGYMPPEYAQYGDVSPKVDVYALGVVLYELISAKEAIVKSNGSSAESRGLVALFEDVLNQPDPREDLRKVVDPRLGEDYPLDSVRKMAQLGKACTQENPQLRPSMRSIVVALMTLSSSTEDWDVGSFYENQALVNLMSGR >Potri.006G071000.5.v4.1 pep chromosome:Pop_tri_v4:6:5181852:5186945:-1 gene:Potri.006G071000.v4.1 transcript:Potri.006G071000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071000.v4.1 MEYESSSSIWDGVYYYPHLFGGLMLTAALLGLSTSYFGGLGYSYLPYMWSDLGVFYKKKSEKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIVANKGPPVLSMEERLALVSGLKWVDEVIANAPYAITEKFMNSLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVERILSSLKDAKACENHDNTSSLPGDDHKGSQSNSSRISQFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIHTDQIVSEHRGKGHPIMHLHERSLSVLACSYVDEVIIGAPWEVTRDMVTTFNISLVVHGTVAESNSLLAGEPDPYAVPKSMGIFWMLDSPKNITTTSVAQRIVANHEAYLKRNAKKAESEKKYYAEKVHVSGD >Potri.006G071000.2.v4.1 pep chromosome:Pop_tri_v4:6:5181816:5187234:-1 gene:Potri.006G071000.v4.1 transcript:Potri.006G071000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G071000.v4.1 MEYESSSSIWDGVYYYPHLFGGLMLTAALLGLSTSYFGGLGYSYLPYMWSDLGVFYKKKSEKKRIRVYMDGCFDLMHYGHANALRQAKALGDELVVGVVSDEEIVANKGPPVLSMEERLALVSGLKWVDEVIANAPYAITEKFMNSLFNEHKIDYIIHGDDPCLLPDGTDAYALAKKAGRYKQIKRTEGVSSTDIVERILSSLKDAKACENHDNTSSLPGDDHKGSQSNSSRISQFLPTSRRIVQFSNGKGPGPNARVVYIDGAFDLFHAGHVEILRSARQLGDFLLVGIHTDQIVSEHRGKGHPIMHLHERSLSVLACSYVDEVIIGAPWEVTRDMVTTFNISLVVHGTVAESNSLLAGEPDPYAVPKSMGIFWMLDSPKNITTTSVAQRIVANHEAYLKRNAKKAESEKKYYAEKVHVSGD >Potri.010G026200.3.v4.1 pep chromosome:Pop_tri_v4:10:3816726:3823841:1 gene:Potri.010G026200.v4.1 transcript:Potri.010G026200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026200.v4.1 METSSSSSPPPPPPPQHDGDMEIHLPPVTTEWDWGDLLDFTVDDQFPLSFDTVVDVTQPIDNPTPEVESQQLEAPVSDRVRKRDPRLTCSNFLAGIVPCACPEMDELLLEEEAALPGKKRVRVARAGSSIARCQVPSCEADISELKGYHRRHRVCLGCANATAVVLDGETKRYCQQCGKFHVLSDFDEGKRSCRRKLERHNNRRRRKPADSSKGSAGDKEVHGDLLTEDTTCDAEAGKDGLWSSSQMVEKEGLVESEDGHISALNSDPISQNVNSDSGVSFTASGDTRMDCGKDDSKLPFSPSICDNKSAYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCTILTAFLAMPTFMWVKLLEDPASYLNDLLGSGKMLSKKGRMRVYLNNMIFNVTKDGHSVMKVNVKGHAPRLHYVHPTCFEAGKPMEFVVCGSNLLQPKFRFLVSFAGKYLAHDYCVALPQVHTKGGSGLHHQLYKILTHCIEPNLLGPLFIEVENESGLSNFIPVLIGDRDVCSEMKIIQQRFDVSHSLIFGSECEVSAMRQTAFSEFSTDIAWLLKEPSAENFQQTITSFQIRRFNSLLSFLLHHESIIILDRILKNLEIMMDKREVNGMFDDTSDTNMRLLQSYMEYASNILHKKKRSEVLKHHLECPGQEYCVSGSCCVSNKPAVVISSEGLEQRPDGGLGVMANSKCIVKSEEVPLFNRDERPKKSCGLVFSNRVLKYRPSVFVIAIIAVCFAVCALLLHPRKVSKLAVSIRRCLTDRY >Potri.010G026200.1.v4.1 pep chromosome:Pop_tri_v4:10:3816771:3823857:1 gene:Potri.010G026200.v4.1 transcript:Potri.010G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G026200.v4.1 METSSSSSPPPPPPPQHDGDMEIHLPPVTTEWDWGDLLDFTVDDQFPLSFDTVVDVTQPIDNPTPEVESQQLEAPVSDRVRKRDPRLTCSNFLAGIVPCACPEMDELLLEEEAALPGKKRVRVARAGSSIARCQVPSCEADISELKGYHRRHRVCLGCANATAVVLDGETKRYCQQCGKFHVLSDFDEGKRSCRRKLERHNNRRRRKPADSSKGSAGDKEVHGDLLTEDTTCDAEAGKDGLWSSSQMVEKEGLVESEDGHISALNSDPISQNVNSDSGVSFTASGDTRMDCGKDDSKLPFSPSICDNKSAYSSVCPTGRISFKLYDWNPAEFPRRLRHQIFQWLASMPVELEGYIRPGCTILTAFLAMPTFMWVKLLEDPASYLNDLLGSGKMLSKKGRMRVYLNNMIFNVTKDGHSVMKVNVKGHAPRLHYVHPTCFEAGKPMEFVVCGSNLLQPKFRFLVSFAGKYLAHDYCVALPQVHTKGGSGLHHQLYKILTHCIEPNLLGPLFIEVENESGLSNFIPVLIGDRDVCSEMKIIQQRFDVSHSLIFGSECEVSAMRQTAFSEFSTDIAWLLKEPSAENFQQTITSFQIRRFNSLLSFLLHHESIIILDRILKNLEIMMDKREVNGMFDDTSDTNMRLLQSYMEYASNILHKKKRSEVLKHHLECPGQEYCVSGSCCVSNKPAVVISSEGLEQRPDGGLGVMANSKCIVKSEEVPLFNRDERPKKSCGLVFSNRVLKYRPSVFVIAIIAVCFAVCALLLHPRKVSKLAVSIRRCLTDRY >Potri.011G119500.11.v4.1 pep chromosome:Pop_tri_v4:11:15042276:15049814:1 gene:Potri.011G119500.v4.1 transcript:Potri.011G119500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119500.v4.1 MCLFWLACSPRGIAMEGSSESAWQKSDSHREFNTSVVSNRNLRSTSHNSGFRKERTDRVVLARQNLKNQAGTLSGVCEDEAAVDRFMQTIEWNDVSLRHWLDKPQRSVNEFECSHIFRQVVEVVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSLDDGLNSETMEVKNSSSSLPHDMCQQRSRLQSEDFLPASTPTNTLSEASCMQSSLVYAADVPLVEETEEHKVHDMRNVEHEEEREQPFPMKQILLMESCWYTSPEEDAGSPSSCASDIYRLGVLLFELFCPFTSSEDKSRTMSSLRHRVLPPQLLLKWSKEASFCLWLLHPEPSSRPKIGELLQSDFLNEPINNLEELEAATQLRERIEEQELLLEFLLLIQQRKQDAADKLQDTISLLCSDIEEVTKHQVFLKKKGDTCKERGEGDHLTSNIPALNVVDIDDSSSLGSRKRFCPGLEIHNVEKCDDNLDESQNSDTFVESQESPLFRSSRLMKNFKKLESAYFLTRCRPVRPPGKPSFARNLPVISDGRISIVATERSSINSIAPKQQFTEGRRSGWISPFLEGLCKYLSFSKLKVKADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIINEARDIHYPVVEMVCRSKLSSICWNSYIKSQLASSNFEGVVQVWDVTRSQVVTEMREHERRVWSVDFSSADPTMLASGSDDGSVKLWSINQGVSIGSIKTKANICCVQFPLDSSCSIAFGSADHRIYYYDLRNSKVPLCTLIGHNKTVSYVKFVDMTNLVSASTDNTLKLWDLSMGTSRVIDSPVQSFTGHMNAKNFVGLSVADGYIATGSETNEVFVYHKAFPMPVLSFKFNNTDPLSGHEMDDTAQFISSVCWRGQSSTLVAANSTGNIKILEMV >Potri.011G119500.13.v4.1 pep chromosome:Pop_tri_v4:11:15042040:15050037:1 gene:Potri.011G119500.v4.1 transcript:Potri.011G119500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119500.v4.1 MCLFWLACSPRGIAMEGSSESAWQKSDSHREFNTSVVSNRNLRSTSHNSGFRKERTDRVVLARQNLKNQAGTLSGVCEDEAAVDRFMQTIEWNDVSLRHWLDKPQRSVNEFECSHIFRQVVEVVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSLDDGLNSETMEVKNSSSSLPHDMCQQRSRLQSEDFLPASTPTNTLSEASCMQSSLVYAADVPLVEETEEHKVHDMRNVEHEEEREQPFPMKQILLMESCWYTSPEEDAGSPSSCASDIYRLGVLLFELFCPFTSSEDKSRTMSSLRHRVLPPQLLLKWSKEASFCLWLLHPEPSSRPKIGELLQSDFLNEPINNLEELEAATQLRERIEEQELLLEFLLLIQQRKQDAADKLQDTISLLCSDIEEVTKHQVFLKKKGDTCKERGEGDHLTSNIPALNVVDIDDSSSLGSRKRFCPGLEIHNVEKCDDNLDESQNSDTFVESQESPLFRSSRLMKNFKKLESAYFLTRCRPVRPPGKPSFARNLPVISDGRISIVATERSSINSIAPKQQFTEGRRSGWISPFLEGLCKYLSFSKLKVKADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIINEARDIHYPVVEMVCRSKLSSICWNSYIKSQLASSNFEGVVQVWDVTRSQVVTEMREHERRVWSVDFSSADPTMLASGSDDGSVKLWSINQGVSIGSIKTKANICCVQFPLDSSCSIAFGSADHRIYYYDLRNSKVPLCTLIGHNKTVSYVKFVDMTNLVSASTDNTLKLWDLSMGTSRVIDSPVQSFTGHMNAKNFVGLSVADGYIATGSETNEVFVYHKAFPMPVLSFKFNNTDPLSGHEMDDTAQFISSVCWRGQSSTLVAANSTGNIKILEMV >Potri.011G119500.14.v4.1 pep chromosome:Pop_tri_v4:11:15042837:15049813:1 gene:Potri.011G119500.v4.1 transcript:Potri.011G119500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119500.v4.1 MCLFWLACSPRGIAMEGSSESAWQKSDSHREFNTSVVSNRNLRSTSHNSGFRKERTDRVVLARQNLKNQAGTLSGVCEDEAAVDRFMQTIEWNDVSLRHWLDKPQRSVNEFECSHIFRQVVEVVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSLDDGLNSETMEVKNSSSSLPHDMCQQRSRLQSEDFLPASTPTNTLSEASCMQSSLVYAADVPLVEETEEHKVHDMRNVEHEEEREQPFPMKQILLMESCWYTSPEEDAGSPSSCASDIYRLGVLLFELFCPFTSSEDKSRTMSSLRHRVLPPQLLLKWSKEASFCLWLLHPEPSSRPKIGELLQSDFLNEPINNLEELEAATQLRERIEEQELLLEFLLLIQQRKQDAADKLQDTISLLCSDIEEVTKHQVFLKKKGDTCKERGEGDHLTSNIPALNVVDIDDSSSLGSRKRFCPGLEIHNVEKCDDNLDESQNSDTFVESQESPLFRSSRLMKNFKKLESAYFLTRCRPVRPPGKPSFARNLPVISDGRISIVATERSSINSIAPKQQFTEGRRSGWISPFLEGLCKYLSFSKLKVKADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIINEARDIHYPVVEMVCRSKLSSICWNSYIKSQLASSNFEGVVQVWDVTRSQVVTEMREHERRVWSVDFSSADPTMLASGSDDGSVKLWSINQGVSIGSIKTKANICCVQFPLDSSCSIAFGSADHRIYYYDLRNSKVPLCTLIGHNKTVSYVKFVDMTNLVSASTDNTLKLWDLSMGTSRVIDSPVQSFTGHMNAKNFVGLSVADGYIATGSETNEVFVYHKAFPMPVLSFKFNNTDPLSGHEMDDTAQFISSVCWRGQSSTLVAANSTGNIKILEMV >Potri.011G119500.12.v4.1 pep chromosome:Pop_tri_v4:11:15043223:15050043:1 gene:Potri.011G119500.v4.1 transcript:Potri.011G119500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119500.v4.1 MCLFWLACSPRGIAMEGSSESAWQKSDSHREFNTSVVSNRNLRSTSHNSGFRKERTDRVVLARQNLKNQAGTLSGVCEDEAAVDRFMQTIEWNDVSLRHWLDKPQRSVNEFECSHIFRQVVEVVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSLDDGLNSETMEVKNSSSSLPHDMCQQRSRLQSEDFLPASTPTNTLSEASCMQSSLVYAADVPLVEETEEHKVHDMRNVEHEEEREQPFPMKQILLMESCWYTSPEEDAGSPSSCASDIYRLGVLLFELFCPFTSSEDKSRTMSSLRHRVLPPQLLLKWSKEASFCLWLLHPEPSSRPKIGELLQSDFLNEPINNLEELEAATQLRERIEEQELLLEFLLLIQQRKQDAADKLQDTISLLCSDIEEVTKHQVFLKKKGDTCKERGEGDHLTSNIPALNVVDIDDSSSLGSRKRFCPGLEIHNVEKCDDNLDESQNSDTFVESQESPLFRSSRLMKNFKKLESAYFLTRCRPVRPPGKPSFARNLPVISDGRISIVATERSSINSIAPKQQFTEGRRSGWISPFLEGLCKYLSFSKLKVKADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIINEARDIHYPVVEMVCRSKLSSICWNSYIKSQLASSNFEGVVQVWDVTRSQVVTEMREHERRVWSVDFSSADPTMLASGSDDGSVKLWSINQGVSIGSIKTKANICCVQFPLDSSCSIAFGSADHRIYYYDLRNSKVPLCTLIGHNKTVSYVKFVDMTNLVSASTDNTLKLWDLSMGTSRVIDSPVQSFTGHMNAKNFVGLSVADGYIATGSETNEVFVYHKAFPMPVLSFKFNNTDPLSGHEMDDTAQFISSVCWRGQSSTLVAANSTGNIKILEMV >Potri.011G119500.15.v4.1 pep chromosome:Pop_tri_v4:11:15042837:15049810:1 gene:Potri.011G119500.v4.1 transcript:Potri.011G119500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119500.v4.1 MCLFWLACSPRGIAMEGSSESAWQKSDSHREFNTSVVSNRNLRSTSHNSGFRKERTDRVVLARQNLKNQAGTLSGVCEDEAAVDRFMQTIEWNDVSLRHWLDKPQRSVNEFECSHIFRQVVEVVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSLDDGLNSETMEVKNSSSSLPHDMCQQRSRLQSEDFLPASTPTNTLSEASCMQSSLVYAADVPLVEETEEHKVHDMRNVEHEEEREQPFPMKQILLMESCWYTSPEEDAGSPSSCASDIYRLGVLLFELFCPFTSSEDKSRTMSSLRHRVLPPQLLLKWSKEASFCLWLLHPEPSSRPKIGELLQSDFLNEPINNLEELEAATQLRERIEEQELLLEFLLLIQQRKQDAADKLQDTISLLCSDIEEVTKHQVFLKKKGDTCKERGEGDHLTSNIPALNVVDIDDSSSLGSRKRFCPGLEIHNVEKCDDNLDESQNSDTFVESQESPLFRSSRLMKNFKKLESAYFLTRCRPVRPPGKPSFARNLPVISDGRISIVATERSSINSIAPKQQFTEGRRSGWISPFLEGLCKYLSFSKLKVKADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIINEARDIHYPVVEMVCRSKLSSICWNSYIKSQLASSNFEGVVQVWDVTRSQVVTEMREHERRVWSVDFSSADPTMLASGSDDGSVKLWSINQGVSIGSIKTKANICCVQFPLDSSCSIAFGSADHRIYYYDLRNSKVPLCTLIGHNKTVSYVKFVDMTNLVSASTDNTLKLWDLSMGTSRVIDSPVQSFTGHMNAKNFVGLSVADGYIATGSETNEVFVYHKAFPMPVLSFKFNNTDPLSGHEMDDTAQFISSVCWRGQSSTLVAANSTGNIKILEMV >Potri.011G119500.9.v4.1 pep chromosome:Pop_tri_v4:11:15043223:15050035:1 gene:Potri.011G119500.v4.1 transcript:Potri.011G119500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119500.v4.1 MCLFWLACSPRGIAMEGSSESAWQKSDSHREFNTSVVSNRNLRSTSHNSGFRKERTDRVVLARQNLKNQAGTLSGVCEDEAAVDRFMQTIEWNDVSLRHWLDKPQRSVNEFECSHIFRQVVEVVNVAHSQGIVVHNVRPSCFVMSSFNHVSFIESASCSDSGSDSLDDGLNSETMEVKNSSSSLPHDMCQQRSRLQSEDFLPASTPTNTLSEASCMQSSLVYAADVPLVEETEEHKVHDMRNVEHEEEREQPFPMKQILLMESCWYTSPEEDAGSPSSCASDIYRLGVLLFELFCPFTSSEDKSRTMSSLRHRVLPPQLLLKWSKEASFCLWLLHPEPSSRPKIGELLQSDFLNEPINNLEELEAATQLRERIEEQELLLEFLLLIQQRKQDAADKLQDTISLLCSDIEEVTKHQVFLKKKGDTCKERGEGDHLTSNIPALNVVDIDDSSSLGSRKRFCPGLEIHNVEKCDDNLDESQNSDTFVESQESPLFRSSRLMKNFKKLESAYFLTRCRPVRPPGKPSFARNLPVISDGRISIVATERSSINSIAPKQQFTEGRRSGWISPFLEGLCKYLSFSKLKVKADLKQGDLLNSSNLVCSISFDRDGEFFATAGVNKKIKVFECDTIINEARDIHYPVVEMVCRSKLSSICWNSYIKSQLASSNFEGVVQVWDVTRSQVVTEMREHERRVWSVDFSSADPTMLASGSDDGSVKLWSINQGVSIGSIKTKANICCVQFPLDSSCSIAFGSADHRIYYYDLRNSKVPLCTLIGHNKTVSYVKFVDMTNLVSASTDNTLKLWDLSMGTSRVIDSPVQSFTGHMNAKNFVGLSVADGYIATGSETNEVFVYHKAFPMPVLSFKFNNTDPLSGHEMDDTAQFISSVCWRGQSSTLVAANSTGNIKILEMV >Potri.007G036600.4.v4.1 pep chromosome:Pop_tri_v4:7:2873019:2877494:1 gene:Potri.007G036600.v4.1 transcript:Potri.007G036600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036600.v4.1 MAFSFSTPPQQTPSLFQPQPQPFQQSSPLFPQQQQQQQPQQQFQQQQQQFQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLYLFTNDKAPASYSTKWEDLHPDSQKTLLQIEERILEYRDESKRLDQCSRLYDSSVSNEGFELDASQIIQAYLQELGGISTSMERQKALLQELMTNVKDMLRNTEMAVRSFMMLHPRFLHSNAGGGASNATAPSQPPGTTGIPGSTSQPASSSIVPVFDFYSGLPKKPSPFLQQTVARFEKYLGECSQWIEELEQLLLLDSERNSSHPGSSLLQSLPKVMSNVHDFFVHVAAKVESIHQYIESMKTAYLVDQRRRGDGNDPFLEADRRERARKEAAAKRAHPTLHLPANSQPSTQGAGLFASSATSSASTAPQASTATAPAPASSGNAFSLFNTPSVPSSSMSSSLFATPTTSAPVSTLFGSAATPSLFGSATQAFGASSSAPALGSASTPSLFGSTTPAFGTVAGSGASFGPTSKPSRPKYRTVRR >Potri.007G036600.1.v4.1 pep chromosome:Pop_tri_v4:7:2873016:2877526:1 gene:Potri.007G036600.v4.1 transcript:Potri.007G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G036600.v4.1 MAFSFSTPPQQTPSLFQPQPQPFQQSSPLFPQQQQQQQPQQQFQQQQQQFQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQQLYLFTNDKAPASYSTKWEDLHPDSQKTLLQIEERILEYRDESKRLDQCSRLYDSSVSNEGFELDASQIIQELGGISTSMERQKALLQELMTNVKDMLRNTEMAVRSFMMLHPRFLHSNAGGGASNATAPSQPPGTTGIPGSTSQPASSSIVPVFDFYSGLPKKPSPFLQQTVARFEKYLGECSQWIEELEQLLLLDSERNSSHPGSSLLQSLPKVMSNVHDFFVHVAAKVESIHQYIESMKTAYLVDQRRRGDGNDPFLEADRRERARKEAAAKRAHPTLHLPANSQPSTQGAGLFASSATSSASTAPQASTATAPAPASSGNAFSLFNTPSVPSSSMSSSLFATPTTSAPVSTLFGSAATPSLFGSATQAFGASSSAPALGSASTPSLFGSTTPAFGTVAGSGASFGPTSKPSRPKYRTVRR >Potri.005G217800.1.v4.1 pep chromosome:Pop_tri_v4:5:22075377:22080917:1 gene:Potri.005G217800.v4.1 transcript:Potri.005G217800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217800.v4.1 MANNPTEPPTDDFLQEILGMPNFASAEAGLVGADAGLAGAAAAQASMMLQLSSGDGSGHISDLGGAPGGGSAGFHGFPLGLSLEQGKGGFLKPEEASGSGKRFRDEIVDGRAKNVFHGQPMPTTVAIAPHPPAMRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPSVNKTDRATMLDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDIPLSSVEDETGEGGRNQPAWEKWSNDGTERQVAKLMEENVGAAMQFLQSKALCIMPISLATAIYHTQPPDTTTIVKPETNPPS >Potri.016G030400.2.v4.1 pep chromosome:Pop_tri_v4:16:1697907:1704400:1 gene:Potri.016G030400.v4.1 transcript:Potri.016G030400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G030400.v4.1 MPSFPLQGSVTICEINRTLIVAEALSDDRAKDAYGKILGMVFSPIPFQPEQLGSTGENQESEHQERNNGESVERKGLMKSLQYLVNESIKRMFYPNCVHLLPEIDLQGVSWHQHRHIIAFISGPNQVIVRDYEDSEGKDACVLSNGAQQDIRALEWRPNGGKSLSVACKGGICIWAASYPGNPATVRPGAASSLGTLTRGSGGRWTLVDFLRSHSDEQTSAISWSPDGRYLASASCESSSFTIWDVSQGLGTPIRRGLGGISLLKWSPTGDYFFAAKFDGTFYLWETNTWTSEPWSSTSGFVTGATWDPDGHIILIAFSGSLTLGSIHFSSKPPSLDAHLLPVDLPEITTMTGSEGIEKIAWDASGERLAVSYKGGDDNYKGLVAIYDVRRTPLISASLVGFIRGPGDNPKPIAFSFHDKFKQGPLLSVCWSSGFCCTYPLIFHSHSLP >Potri.003G072500.1.v4.1 pep chromosome:Pop_tri_v4:3:10030414:10034695:1 gene:Potri.003G072500.v4.1 transcript:Potri.003G072500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072500.v4.1 MFLTRTEYDRGVSTFSPEGRLFQVEYAIEAIKLGSTAIGLKTKEGVVLAVEKRITSPLLEPSSVEKVMEIDECIGCAMSGLIADARTLVEHARVETQNHRFSYGEPMTVESTTQALCDLALRFGEGDEESMSRPFGVSLLIAGHDEKGPSLYYTDPSGTFWQCNAKAIGSGSEGADSTLQEQYNKDLTLKEAETIALSILKQVMEEKVTPNNVDIAKVAPVYHLYTPAEVEEVISRL >Potri.016G124900.1.v4.1 pep chromosome:Pop_tri_v4:16:12852660:12855253:-1 gene:Potri.016G124900.v4.1 transcript:Potri.016G124900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G124900.v4.1 MASTFSRSVSAFSLATKQGDAASGGHGISSLPLFSRKKRNTSLVVSSASGTLTGLVFQPFEEVKREDFLVPISSQVSLSRQFYVDECEAAINEQINVEYSASYVYHALFAYFDRDNIALKGLSKFFKESSEEEREHAEKFMKYQNIRGGKVVLHSILKPVSEFEHGDKGDALYAMELALSLEKLTNEKLLNLHKVADENNDPQLQDFIDSEFLKEQVESIKKIAEYVTQLRMVGKGHGVWHFDQMLLHA >Potri.016G100200.1.v4.1 pep chromosome:Pop_tri_v4:16:10120246:10123998:-1 gene:Potri.016G100200.v4.1 transcript:Potri.016G100200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100200.v4.1 MKRRVSFSLPVTVVVLAIIYIYFSTIFVFIDGWFGLMSSPGILNAVVFTAVAFMSVFSYAVAILMDAGRVPFTFMPDIEDSSNPVHEIKRKGGDLRYCQKCSHFKPPRAHHCRVCKRCVLRMDHHCIWISNCVGHANYKVFFVFVVYAVIACIYSLVLLVGSLTVDPQKHELNNGDSFRTIYVISGLLLVPLSVALGVLLGWHVYLILQNKTTIEFHEGVRAMWLAEKEGHVYKHPYDVGTYENLTMVLGPSISCWVCPTSGHIGSGLRFRTAYDGMSSASV >Potri.019G038352.1.v4.1 pep chromosome:Pop_tri_v4:19:5297345:5298624:-1 gene:Potri.019G038352.v4.1 transcript:Potri.019G038352.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G038352.v4.1 MAGIMHKIEETLHIGGKKEEQKSGKSGEEHKSGKSGEHKGEHKGEYRGEHKEGFVDKIHGDGHGEGEKKKKEKKEKKKKEKKHGHDGHSSSDSDSD >Potri.006G052500.1.v4.1 pep chromosome:Pop_tri_v4:6:3632580:3632912:1 gene:Potri.006G052500.v4.1 transcript:Potri.006G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052500.v4.1 MGTLEARLNDQLTPAVAKPSGNTNHSIETLVVVLAVITIAAVIAGIIARLCGGRHFGGNGEHDIEGWVESRCRNCIDGGVPAAPPQPAEAKPAAAAADAKPAAAAEEAKK >Potri.014G044200.1.v4.1 pep chromosome:Pop_tri_v4:14:2861315:2865234:1 gene:Potri.014G044200.v4.1 transcript:Potri.014G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G044200.v4.1 MANLRTAMDSQFWDQPISSSQTLEGCAYSIPGDPFPLEVTRASKALRVQQLSVLGNGFPLGTIPSFSPTSTKDLGAFSLQSLFLKLATSNSWLGLIGQFRPKKLISSIKGEFTNADEFEWPAFKDVAKHVFDKSIYSLGLFSQISLSSSSVLLSTERHGDKRRPRYKMMLWHELPDHDITLEAAWPGLFLDHKGKYWDVPESISLDMSSLPSESGFQYRIGVHKNGGHPQPVNTLNGEVPCALMPGLCAKAAFSYEKRKDFWRQKDKVDDTAVKTDKGKVWHPSFDMRLREPHSAISGIIGGTSVAWFGGSESSPSTESHVDMDTSIGTKKRSPLNANLFGSVCYTFQHGRFTKLYGDLTRVDARLDICSASAVAKRVFNIFRRSSFSNADNPLSSPKLSLILQQQVAGPIMVRVDSKFSLGSSSGKQGPHVEDLICSLSYSLRLLRSGKVVAWYSPKRKEGMVELRLFEF >Potri.016G069200.2.v4.1 pep chromosome:Pop_tri_v4:16:4962801:4966820:1 gene:Potri.016G069200.v4.1 transcript:Potri.016G069200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069200.v4.1 MSMEAAQKKTPAQIVRLDNAFKMAGQWVNNMSKAVEDEPAEVESEGRPSRLGLGAKEVPRHSKARLSNDPVERRLYAKLEAGKRRAAKIIEESIVPARDCNEDDDSDGELESRTSTFAKKRPGPQVQSLQVKKKQK >Potri.001G272800.3.v4.1 pep chromosome:Pop_tri_v4:1:28701823:28704361:1 gene:Potri.001G272800.v4.1 transcript:Potri.001G272800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272800.v4.1 MDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATVDEELEYEDEEEEEAA >Potri.001G272800.2.v4.1 pep chromosome:Pop_tri_v4:1:28701244:28704350:1 gene:Potri.001G272800.v4.1 transcript:Potri.001G272800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272800.v4.1 MREILHIQGGQCGNQIGSKFWEVVCAEHGIDPTGKYTGSSDLQLERVNVYYNEASCGRFVPRAVLMDLEPGTMDSVRTGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATVDEELEYEDEEEEEAA >Potri.001G272800.4.v4.1 pep chromosome:Pop_tri_v4:1:28702315:28704386:1 gene:Potri.001G272800.v4.1 transcript:Potri.001G272800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G272800.v4.1 MGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRSLTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMMNVQNKNSSYFVEWIPNNVKSSVCDIPPIGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATVDEELEYEDEEEEEAA >Potri.003G207802.2.v4.1 pep chromosome:Pop_tri_v4:3:20679838:20682353:1 gene:Potri.003G207802.v4.1 transcript:Potri.003G207802.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207802.v4.1 METGIDKLIQSVKEELQISYAFSDTCCIYKVPERLRELNEKAYTPRLVSIGPIHHCNDKLKAMEDHKRMYLQEFIARSGVSVEGFIEYIKENETRLRNCYAETIAFSSEYFIKMILMDAAFVIMLLLKWTYTDFRGSRDSIFYPPYKSIDVRVDICLLENQLPFFILDELSRLSTIIGNSPKATLIELTHWFFSCEWGPWAVWENLGSIEISEVKHLVDFLTIYHRPTEQQPIEELEVLTAPSVKDLHQAGVKFVLSSRKNLLDIKFDRNKGRLEIPRLKLDGRTEIIIRNMQAFEQCHGLKHGYVGDYICLMGLFLGASKDVEILVENRIVDNCLPSNEEVVQLFYNLNKQNSVWSGTFLFKGLIKDLNAFCERPWNKWKANLKQNYFNTPWAVLSVAGAVILLILTVIQSVCSILQVV >Potri.003G207802.3.v4.1 pep chromosome:Pop_tri_v4:3:20679838:20682353:1 gene:Potri.003G207802.v4.1 transcript:Potri.003G207802.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207802.v4.1 METGIDKLIQSVKEELQISYAFSDTCCIYKVPERLRELNEKAYTPRLVSIGPIHHCNDKLKAMEDHKRMYLQEFIARSGVSVEGFIEYIKENETRLRNCYAETIAFSSEYFIKMILMDAAFVIMLLLKWTYTDFRGSRDSIFYPPYKSIDVRVDICLLENQLPFFILDELSRLSTIIGNSPKATLIELTHWFFSCEWGPWAVWENLGSIEISEVKHLVDFLTIYHRPTEQQPIEELEVLTAPSVKDLHQAGVKFVLSSRKNLLDIKFDRNKGRLEIPRLKLDGRTEIIIRNMQAFEQCHGLKHGYVGDYICLMGLFLGASKDVEILVENRIVDNCLPSNEEVVQLFYNLNKQNSVWSGTFLFKGLIKDLNAFCERPWNKWKANLKQNYFNTPWAVLSVAGAVILLILTVIQSVCSILQVV >Potri.003G207802.1.v4.1 pep chromosome:Pop_tri_v4:3:20680054:20682747:1 gene:Potri.003G207802.v4.1 transcript:Potri.003G207802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G207802.v4.1 METGIDKLIQSVKEELQISYAFSDTCCIYKVPERLRELNEKAYTPRLVSIGPIHHCNDKLKAMEDHKRMYLQEFIARSGVSVEGFIEYIKENETRLRNCYAETIAFSSEYFIKMILMDAAFVIMLLLKWTYTDFRGSRDSIFYPPYKSIDVRVDICLLENQLPFFILDELSRLSTIIGNSPKATLIELTHWFFSCEWGPWAVWENLGSIEISEVKHLVDFLTIYHRPTEQQPIEELEVLTAPSVKDLHQAGVKFVLSSRKNLLDIKFDRNKGRLEIPRLKLDGRTEIIIRNMQAFEQCHGLKHGYVGDYICLMGLFLGASKDVEILVENRIVDNCLPSNEEVVQLFYNLNKQNSVWSGTFLFKGLIKDLNAFCERPWNKWKANLKQNYFNTPWAVLSVAGAVILLILTVIQSVCSILQVV >Potri.005G171600.1.v4.1 pep chromosome:Pop_tri_v4:5:17611605:17612607:1 gene:Potri.005G171600.v4.1 transcript:Potri.005G171600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G171600.v4.1 MGNGYNHHFHNQNLHLQHKSTFLPMLCSGATIKDVTLPKWEYRSMSISSTDPLSPEIGCMGQVKINSKIDGFPTSNKLTVTSKNNNNVKYSKLKRIFSGKNLPGTTASIASSTAHRRREVKVNGASGPKIDDSKENSVSVSIENMDPPLPVIKKVQQPADGGEASSLWKRRSGGLALKNLQLNRNNLAPTTV >Potri.003G186200.2.v4.1 pep chromosome:Pop_tri_v4:3:19149466:19153013:1 gene:Potri.003G186200.v4.1 transcript:Potri.003G186200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186200.v4.1 MDRMVSRLVVKMTLLMLMFQLAKAAAPVAKFGCPDRCGAISIPYPFGTRKECYMDERFAIECNETANPPRAFISRIKMEVLNISVKTATATVKSPVISFNCIGRVDGAPLNLTGTPFVFSSKRNLFVAVGCDTRAFMTGTEPDLVVWESTWGNLESNVRLQENKMCSGQNCSLARIPSLLQVFNPRLVSTNANQVGEGCKLAFLVNPTWFESNISDPFAMQYRDYVPMDLGWMMNLNDNDISTHCEESYNQSSKSECVCEDGFEGNPYLELGFIGLVGVLFLLIGARWIYNCIRLKKKFFKRNGGLLLQQQLSSSDGSVQKTKIFSSNELEKATDYFNESRILGHGGQGTVYKGMLADGTIVAVKKSKIVDEDKLEEFINEVVILSQISHRNVVRLLGCCLETDVPLLVYEFIPNGTLFQYLHEQNEDFTLSWELRLRIASEAAGAISYLHSTASIPIYHRDIKSTNILLDEKYRAKVSDFGTSRSVSIDQTHLTTKVQGTFGYLDPEYFRTSQLTEKSDVYSFGVVLVELLSGKKPIFLTHSLETMSLAEHFIELMEDSRLFDIIDAQVKGDCTEEEAIVIANLAKRCLNLNGRNRPTMREVAMELEGILLSRNGINIQQIGEVDNSSRSISCSSFEIGIDLPLDCKPSTSSETW >Potri.006G243300.3.v4.1 pep chromosome:Pop_tri_v4:6:24420017:24420858:-1 gene:Potri.006G243300.v4.1 transcript:Potri.006G243300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G243300.v4.1 MGKGTGSFGKRRNKTHTLCVRCGRRSFHLQKSRCSACAFPAARVRKYNWSVKAIRRKTTGTGRMKYLRHLPRRFKTNFREGTQATPRNKGAAAA >Potri.009G079500.1.v4.1 pep chromosome:Pop_tri_v4:9:7624057:7629811:-1 gene:Potri.009G079500.v4.1 transcript:Potri.009G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079500.v4.1 MGSLEIQTPHTSSRLTDLEKPIADAVEDDDVSPIEEVRLTVANTDDPTLPVWTFRMWFLGLISCSLLAFLNQFFSYRTEPLIISQITVQIASLPIGRSMAAVLPKTKFKIPGFGSRTFSLNPGPFNIKEHVLISIFANAGSAFGSGSAYAVGIVTIIKAFYGRSISFLAGWLLITTTQVLGYGWAGLLRKYVVEPAHMWWPGTLVQVSLFRALHEKDDGHRMTRAKFFVIALACSFVWYLFPGYLFTTLSSISWVCWVFPKSVTAQQLGSGMRGLGLGAITLDWSVVASFLFSPLISPFFAIANVLVGYVFIIYVAMPLAYWGLDLYSARKFPIFSSHLFTAEGRKYNITAIVNNKFQLDIPNYEQQGRIHLSMFFALTYGFGFATIAATLTHVAFFYGREILQKYRASYKGREDIHTRLMKRYKDIPSWWFYLLLGVTLIVALALCIFLNDQVQMPWWGLIFASAMAFVFTLPISIITATTNQTPGLNIITEYVMGIILPGRPIANVCFKTYGYMSMAQAVSFLNDFKLGHYMKIPPRSMFLVQFIGTILAGTINLAVAWWLLNSIENICQDDLLPANSPWTCPGDRVFFDASVIWGLVGPKRIFGTLGNYQAMNWFFLGGALGPVIVWLLHKSFPKQSWIPLINLPVLLGATAMMPPATPVNYNAWIIVGTIFNFFIFRYKKTWWQRYNYVLSAALDAGVAFMAVLLYFSVGIEDRTLNWWGTNGEHCELATCPTAKGIMVDGCPDFVAF >Potri.015G111000.1.v4.1 pep chromosome:Pop_tri_v4:15:12792456:12796390:1 gene:Potri.015G111000.v4.1 transcript:Potri.015G111000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111000.v4.1 MNALAATNRNFRHAARILGLDSKVEKSLLIPFREIKVECTIPKDDGTLASYIGFRVQHDNARGPMKGGIRYHPEVDPDEVNALAQLMTWKSAVADIPYGGAKGGIGCNPGDLSKSELERLTRVFTQKIHDLIGVHTDVPAPDMGTNAQTMAWILDEYSKFHGHSPAVVTGKPIDLGGSLGREAATGRGVVFATEALLAEHGKSIKGLTFAVQGFGNVGSWAAKIIHERGGKVIAVSDISGAVKNPNGIDIPELIRHKESTGSLKNFQGGDSMDANELLVHECDVLIPCALGGVLNRENAADVKAKFIIEAANHPTDPEADEILAKKGVVVLPDIYANSGGVTVSYFEWVQNIQGFMWDEEQVNKTLQNYMTRAFHNIKVMCQTHDCNLRMGAFTLGVNRVARATLLRGWEA >Potri.019G014368.1.v4.1 pep chromosome:Pop_tri_v4:19:2294094:2294477:1 gene:Potri.019G014368.v4.1 transcript:Potri.019G014368.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014368.v4.1 MEGFQPSFMEEVDNMTWDQLGFQSEEDTFNMSELLLPPPEVPVNPSSISCNDQGGQNNVINDSIQQTTPFPTSFPETMVSTPICYNVH >Potri.006G051300.1.v4.1 pep chromosome:Pop_tri_v4:6:3565398:3569229:1 gene:Potri.006G051300.v4.1 transcript:Potri.006G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G051300.v4.1 MSCNSSGCQSNCYKNGDQVSDSPPPPPPLAAANNSNNLCLKCKTNSPITPGSLSGDEARFCADCFRSNIYGKFRLAVTSQAMISPSDNVLVAFSGGPSSRVALQFVHGLQDRAQKNFEASKDKSLPVFGVGVAFIDESAVYPVSSDKVDGAIQDMRSVVSNLAPPTKQLHVVPIENIYASDSSDGKDRLTKLLDAVNDATGKEDLLLHLRMLALQMVASQNGYNRLILGSCTSRIACHVLAATVKGQGYSLSADIQYVDARWEIPVVLPLRDCTSQELNLLCQLDGLKTLQPFDSTPSGINGLISSFVNVLQEENSSRECTILRTAGKLTPFEFNRIPETDNCNVPLAIRRRQKRYNLKTKESISSELFCPICNAPLKNFNSLNLSSLKSCQSSRFNAACCSSCQFQIIPKDPSSMGNFCSLLPQQVVDRAKHGNCSNLSLLRGQIQDCLLSDSEDET >Potri.003G090501.1.v4.1 pep chromosome:Pop_tri_v4:3:11667325:11667825:1 gene:Potri.003G090501.v4.1 transcript:Potri.003G090501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G090501.v4.1 MQAAKEKISNLASVAKERMTICKAKVEEQATARTEEEKELAKERGKVKEAQAKMGSNHHHQRPAVGTQPVVGTRPMVGTRANQPVGTAGTVPVSTVPTYPLGGHPPGQNYV >Potri.003G071300.12.v4.1 pep chromosome:Pop_tri_v4:3:9832389:9842148:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDR >Potri.003G071300.6.v4.1 pep chromosome:Pop_tri_v4:3:9832475:9842240:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDRSSAGLSSENLGNDVKIETTISASESGSSLKGRSQTGSSDDIAGGFPDRFVQWVMSGEMLFH >Potri.003G071300.8.v4.1 pep chromosome:Pop_tri_v4:3:9832324:9842128:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDRSSAGLSSENLGNDVKIETTISASESGSSLKGRSQTGSSDDIAGGFPDRFVQWVMSGEMLFH >Potri.003G071300.2.v4.1 pep chromosome:Pop_tri_v4:3:9832469:9842189:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDRSSAGLSSENLGNDVKIETTISASESGSSLKGRSQTGSSDDIAGGFPDRFVQWVMSGEMLFH >Potri.003G071300.9.v4.1 pep chromosome:Pop_tri_v4:3:9832331:9842128:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDRSSAGLSSENLGNDVKIETTISASESGSSLKGRSQTGSSDDIAGGFPDRFVQWVMSGEMLFH >Potri.003G071300.10.v4.1 pep chromosome:Pop_tri_v4:3:9832326:9842203:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDR >Potri.003G071300.11.v4.1 pep chromosome:Pop_tri_v4:3:9832331:9842189:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDR >Potri.003G071300.5.v4.1 pep chromosome:Pop_tri_v4:3:9832462:9842249:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDRSSAGLSSENLGNDVKIETTISASESGSSLKGRSQTGSSDDIAGGFPDRFVQWVMSGEMLFH >Potri.003G071300.7.v4.1 pep chromosome:Pop_tri_v4:3:9832415:9842203:-1 gene:Potri.003G071300.v4.1 transcript:Potri.003G071300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G071300.v4.1 METNLIGGASNYCYLQKFRLYETRSNFYMIGRDKNRTLCRVLKIDRLEPSELVVLEDSTTYSESECVDLLRRIHEGNKSTGGLKFVTICYGIVGFIKFLGPHYMLLITKRRKIGAICGHTVYSITKSEMIPIPNSTVQSNMTNSKNENRYKKLLCTVDLTRDFFFSYSYHVMHSLQKNLSCNETGQGHYESMFVWNEFLTRGIRNNLKNTLWTVALVYGFFKQVKLSVPGREFKLALIARRSRHYAGTRYLKRGVNEKGRVANDVETEQIMFEDVPEEQPVQISSVVQNRGSIPLFWSQETSRLNIKPDIMLSRKDQNFEATKLHFENLVKRYGSPIIILNLIKSREKKPRETILRAEFANAIRFINKSLPEENRLKFLHWDLHKHSRKATNVLALLGRVASYALNLTGIFYCQVMPSSSSKGLLNGSCFEERDGDYSLENPSSDNVSKLDSEIAKAECDANQNQSINVPMFQSGVLRTNCIDCLDRTNVAQYAYGLVALGHQLHALGYMESPSIDLDNPLAEDLMRIYETMGDTLALQYGGSAAHNKIFSERRGQWKAATQSQEFFRTLQRYYSNAYMDAEKQDAINVFLGHFQPQQGKPALWELDSDQHCDVGRRGPDLVENARSFIKRSLSDGNLRCENESPVAANIGYSKPLSEKEGGVNNGLSDSNPEISTCESDISYSRYTPSMPCRKLFKDVEEYQCFESNHICYDEHGDACSCSNFLDMDWLSTSGNSCEEDLCDRSSAGLSSENLGNDVKIETTISASESGSSLKGRSQTGSSDDIAGGFPDRFVQWVMSGEMLFH >Potri.002G203500.1.v4.1 pep chromosome:Pop_tri_v4:2:16790270:16790545:-1 gene:Potri.002G203500.v4.1 transcript:Potri.002G203500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203500.v4.1 MARSFSNAKVISGLISEAINGRGFSAVASQGAAVSKARSGAAVMKKTGEEVTKTTEKISWVPDPRTGFYRPENVAQEIDAAELRATLLKKH >Potri.002G076051.1.v4.1 pep chromosome:Pop_tri_v4:2:5326658:5326951:-1 gene:Potri.002G076051.v4.1 transcript:Potri.002G076051.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076051.v4.1 MVKQDNIRQLKGVANIAKKCLRVKGEGRPNMKNVAMELEGLRTSAKHPWTNDESNVEETEYLLGKSVETARFEEMAGTSAGYHSLQNYLMQSLDGGR >Potri.019G085200.1.v4.1 pep chromosome:Pop_tri_v4:19:12451649:12456402:1 gene:Potri.019G085200.v4.1 transcript:Potri.019G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085200.v4.1 MLLQATISSSPPLQHPHPHPHSLLPFGSLQFRTHRLSLQALFQHYSSPDLTTFRVGHGLNVTEFPDFQIHGKELAMGSYSLTKSSSNLWNIEDNVCMKRVMVWASVLSFGQISLLTSAQVAHARESIKPEAIYEVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLTRRELDLSAKELQEQVRSGDATATGLFELGAVMLRRKFYPAATKYLLQAIEKWDGDDQDLAQVYNALGVSYVLDGKLDKGSKQFEAAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDKVQMYRGVPIKSKDR >Potri.019G085200.3.v4.1 pep chromosome:Pop_tri_v4:19:12451407:12456402:1 gene:Potri.019G085200.v4.1 transcript:Potri.019G085200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085200.v4.1 MGSYSLTSTEKSSSNLWNIEDNVCMKRVMVWASVLSFGQISLLTSAQVAHARESIKPEAIYEVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLTRRELDLSAKELQEQVRSGDATATGLFELGAVMLRRKFYPAATKYLLQAIEKWDGDDQDLAQVYNALGVSYVLDGKLDKGSKQFEAAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDKVQMYRGVPIKSKDR >Potri.019G085200.2.v4.1 pep chromosome:Pop_tri_v4:19:12451729:12456450:1 gene:Potri.019G085200.v4.1 transcript:Potri.019G085200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085200.v4.1 MLLQATISSSPPLQHPHPHPHSLLPFGSLQFRTHRLSLQALFQHYSSPDLTTFRVGHGLNVTEFPDFQIHGKELAMGSYSLTSTEKSSSNLWNIEDNVCMKRVMVWASVLSFGQISLLTSAQVAHARESIKPEAIYEVGELFELGIQLSYLLLLLALLGVGTFFVIRQVLTRRELDLSAKELQEQVRSGDATATGLFELGAVMLRRKFYPAATKYLLQAIEKWDGDDQDLAQVYNALGVSYVLDGKLDKGSKQFEAAVKLQPGYVTAWNNLGDAYEKKKDLKSALKAFEEVLLFDPNNKVARPRRDALKDKVQMYRGVPIKSKDR >Potri.011G157500.3.v4.1 pep chromosome:Pop_tri_v4:11:18347260:18351768:1 gene:Potri.011G157500.v4.1 transcript:Potri.011G157500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G157500.v4.1 MHLINTHPYRFGPLCYTQKPYKKSYLSLQTTNYCHPTRFHKHYTAAAIPSPMEAAGTDSDGREFKNPDEMWLEHTGDTNKKTQWYRDGVAYWEGVEASVNGVLGGYGHVNDADVKGSEGFLQTLLAELFVDGGIDRHLVALDCGSGIGRITKNLLIRFFNEVDLLEPVSHFLDAARENLVQENHMALDKHKATNFYCVPLQEFTPDAGRYDVIWVQWCIGHLTDDDFVSFFNRAKIGLKPGGFFVLKENLARSGFVLDKEDRSITRSDSYFKGLFSRCGLHLYKSREQKGLPKELFAVKMYALTTDIPKRVIKARSKVQANRPGIIK >Potri.001G338700.1.v4.1 pep chromosome:Pop_tri_v4:1:34740044:34746879:1 gene:Potri.001G338700.v4.1 transcript:Potri.001G338700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338700.v4.1 MSNRPELLAPPEIFYDDSEARKYTSSSRIIDIQARLSERALELLALPVDGIPRLLLDIGCGSGLSGETLTENGHQWIGLDVSQSMLNIALEREVEGDLLLGDMGQGLALRPGIIDGAISISAVQWLCNADKSSHEPRLRLKAFFGSLYRCLARGARAVFQMYPENIAQRELILRSAMHAGFAGGVVIDYPHSAKSRKEYLVLTCGPPSLSTAVPRGKGEDGESCSEDENSEENQMVCISDRNRPKKKQKITRKGKGRDWIFKKKEQLRRKGNAVPPDTRYTGRKRKARF >Potri.008G045400.6.v4.1 pep chromosome:Pop_tri_v4:8:2601307:2614180:-1 gene:Potri.008G045400.v4.1 transcript:Potri.008G045400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045400.v4.1 MDHHEDESRGGSVTPRKQDDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMEELRNVNLSKFVSEAVTSICDAKLRTSDIQAAVQICSLLHQRYKDFSPSLVQGLLKVFFPGKSGEDLDVDKNSKAMKKRSSLKLLLELYFVGVTEDSSIFINIIKDLTSIENLKDRDTTQTNLTLLASFARQGRVFLGLPLSGQETQEEFLKGLSITTDQKKIFRKAFHTYYDVVAELLKSEHASLRQMEHENAKMLNAKGELSDDNVSSYEKLRKSYDQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDTSLLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKANEHSAKTQDQPSELAPESDQGQPTQDMAEVSAESGPLQEGKSTEKGKDKEEKDKEKVKDSEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVDFCYLNSKSSRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRTPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYWILMFGHDTPEQDVLDPPEDCFRIRMVITLLDTCGHYFDRGSSKRKLNRFLMHFQRYILSKGLLPLDVEFDLQDLFAELRPNMIRYSSIEEVNAALIELEENEQTVSTDKFNSEKHSDTDKPLCRTTSSTISANGQSILNGNEENGSHEDIGGSDTDSGSGTIDQDGHDEEELDDENHDGGVDTEDEDDDGDGPASEEEDEVHVRQKVAEVDPLEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGSAKDHHGRAVGGESGDEDEEAGGNKDVQVKVLVKRGNKQQTKQLYIPRDCSLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENNGLGTQTLNWMTGGTSRVTGRGSTWEGSSGRGTGSRYRHHHHSGSGLHGRRR >Potri.008G045400.9.v4.1 pep chromosome:Pop_tri_v4:8:2601279:2610266:-1 gene:Potri.008G045400.v4.1 transcript:Potri.008G045400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045400.v4.1 MEHENAKMLNAKGELSDDNVSSYEKLRKSYDQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDTSLLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKANEHSAKTQDQPSELAPESDQGQPTQDMAEVSAESGPLQEGKSTEKGKDKEEKDKEKVKDSEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVDFCYLNSKSSRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRTPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYWILMFGHDTPEQDVLDPPEDCFRIRMVITLLDTCGHYFDRGSSKRKLNRFLMHFQRYILSKGLLPLDVEFDLQDLFAELRPNMIRYSSIEEVNAALIELEENEQTVSTDKFNSEKHSDTDKPLCRTTSSTISANGQSILNGNEENGSHEDIGGSDTDSGSGTIDQDGHDEEELDDENHDGGVDTEDEDDDGDGPASEEEDEVHVRQKVAEVDPLEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGSAKDHHGRAVGGESGDEDEEAGGNKDVQVKVLVKRGNKQQTKQLYIPRDCSLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENNGLGTQTLNWMTGGTSRVTGRGSTWEGSSGRGTGSRYRHHHHSGSGLHGRRR >Potri.008G045400.8.v4.1 pep chromosome:Pop_tri_v4:8:2601241:2614180:-1 gene:Potri.008G045400.v4.1 transcript:Potri.008G045400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045400.v4.1 MDHHEDESRGGSVTPRKQDDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMEELRNVNLSKFVSEAVTSICDAKLRTSDIQAAVQICSLLHQRYKDFSPSLVQGLLKVFFPGKSGEDLDVDKNSKAMKKRSSLKLLLELYFVGVTEDSSIFINIIKDLTSIENLKDRDTTQTNLTLLASFARQGRVFLGLPLSGQETQEEFLKGLSITTDQKKIFRKAFHTYYDVVAELLKSEHASLRQMEHENAKMLNAKGELSDDNVSSYEKLRKSYDQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDTSLLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKANEHSAKTQDQPSELAPESDQGQPTQDMAEVSAESGPLQEGKSTEKGKDKEEKDKEKVKDSEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVDFCYLNSKSSRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRTPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYWILMFGHDTPEQDVLDPPEDCFRIRMVITLLDTCGHYFDRGSSKRKLNRFLMHFQRYILSKGLLPLDVEFDLQDLFAELRPNMIRTTSSTISANGQSILNGNEENGSHEDIGGSDTDSGSGTIDQDGHDEEELDDENHDGGVDTEDEDDDGDGPASEEEDEVHVRQKVAEVDPLEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGSAKDHHGRAVGGESGDEDEEAGGNKDVQVKVLVKRGNKQQTKQLYIPRDCSLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENNGLGTQTLNWMTGGTSRVTGRGSTWEGSSGRGTGSRYRHHHHSGSGLHGRRR >Potri.008G045400.4.v4.1 pep chromosome:Pop_tri_v4:8:2601116:2614289:-1 gene:Potri.008G045400.v4.1 transcript:Potri.008G045400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045400.v4.1 MDHHEDESRGGSVTPRKQDDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMEELRNVNLSKFVSEAVTSICDAKLRTSDIQAAVQICSLLHQRYKDFSPSLVQGLLKVFFPGKSGEDLDVDKNSKAMKKRSSLKLLLELYFVGVTEDSSIFINIIKDLTSIENLKDRDTTQTNLTLLASFARQGRVFLGLPLSGQETQEEFLKGLSITTDQKKIFRKAFHTYYDVVAELLKSEHASLRQMEHENAKMLNAKGELSDDNVSSYEKLRKSYDQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDTSLLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKANEHSAKTQDQPSELAPESDQGQPTQDMAEVSAESGPLQEGKSTEKGKDKEEKDKEKVKDSEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVDFCYLNSKSSRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRTPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYWILMFGHDTPEQDVLDPPEDCFRIRMVITLLDTCGHYFDRGSSKRKLNRFLMHFQRYILSKGLLPLDVEFDLQDLFAELRPNMIRYSSIEEVNAALIELEENEQTVSTDKFNSEKHSDTDKPLCRTTSSTISANGQSILNGNEENGSHEDIGGSDTDSGSGTIDQDGHDEEELDDENHDGGVDTEDEDDDGDGPASEEEDEVHVRQKVAEVDPLEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGSAKDHHGRAVGGESGDEDEEAGGNKDVQVKVLVKRGNKQQTKQLYIPRDCSLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENNGLGTQTLNWMTGGTSRVTGRGSTWEGSSGRGTGSRYRHHHHSGSGLHGRRR >Potri.008G045400.7.v4.1 pep chromosome:Pop_tri_v4:8:2601240:2614041:-1 gene:Potri.008G045400.v4.1 transcript:Potri.008G045400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045400.v4.1 MDHHEDESRGGSVTPRKQDDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMEELRNVNLSKFVSEAVTSICDAKLRTSDIQAAVQICSLLHQRYKDFSPSLVQGLLKVFFPGKSGEDLDVDKNSKAMKKRSSLKLLLELYFVGVTEDSSIFINIIKDLTSIENLKDRDTTQTNLTLLASFARQGRVFLGLPLSGQETQEEFLKGLSITTDQKKIFRKAFHTYYDVVAELLKSEHASLRQMEHENAKMLNAKGELSDDNVSSYEKLRKSYDQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDTSLLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKANEHSAKTQDQPSELAPESDQGQPTQDMAEVSAESGPLQEGKSTEKGKDKEEKDKEKVKDSEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVDFCYLNSKSSRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRTPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYWILMFGHDTPEQDVLDPPEDCFRIRMVITLLDTCGHYFDRGSSKRKLNRFLMHFQRYILSKGLLPLDVEFDLQDLFAELRPNMIRYSSIEEVNAALIELEENEQTVSTDKFNSEKHSDTDKPLCRTTSSTISANGQSILNGNEENGSHEDIGGSDTDSGSGTIDQDGHDEEELDDENHDGGVDTEDEDDDGDGPASEEEDEVHVRQKVAEVDPLEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGSAKDHHGRAVGGESGDEDEEAGGNKDVQVKVLVKRGNKQQTKQLYIPRDCSLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENNGLGTQTLNWMTGGTSRVTGRGSTWEGSSGRGTGSRYRHHHHSGSGLHGRRR >Potri.008G045400.5.v4.1 pep chromosome:Pop_tri_v4:8:2601209:2614224:-1 gene:Potri.008G045400.v4.1 transcript:Potri.008G045400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045400.v4.1 MDHHEDESRGGSVTPRKQDDEEAVARLEEMKKSIEAKVALRQSNLNPERPDSGFLRTLDSSIKRNTAVIKKLKQINEEQKEGLMEELRNVNLSKFVSEAVTSICDAKLRTSDIQAAVQICSLLHQRYKDFSPSLVQGLLKVFFPGKSGEDLDVDKNSKAMKKRSSLKLLLELYFVGVTEDSSIFINIIKDLTSIENLKDRDTTQTNLTLLASFARQGRVFLGLPLSGQETQEEFLKGLSITTDQKKIFRKAFHTYYDVVAELLKSEHASLRQMEHENAKMLNAKGELSDDNVSSYEKLRKSYDQLYRNVSSLAEALDMQPPVMPEDGHTTRVTSGEDASSPAAGKDTSLLEALWDDEDTRAFYECLPDLRAFVPAVLLGEAEPKANEHSAKTQDQPSELAPESDQGQPTQDMAEVSAESGPLQEGKSTEKGKDKEEKDKEKVKDSEKEKGKEKDAERKGENEKEKLKSLEGTNLDALLQRLPGCVSRDLIDQLTVDFCYLNSKSSRKKLVRALFNVPRTSLELLPYYSRMVATLSTCMKDVSSMLLQLLEEEFNFLINKKDQMNIETKIRNIRFIGELCKFRIAPASTVFSCLKACLDDFTHHNIDVACNLLETCGRFLYRTPETTVRMANMLEILMRLKNVKNLDPRHSTLVENAYYLCKPPERSARVSKVRPPLYQYIRKLLFSDLDKSSIEHVLRQLRKLPWSECEAYLLKCFMKVHKGKYGQIHLIASLTAGLSRYHDEFAVAVVDEVLEEIRLGLELNDYGMQQRRIAHMRFLGELYNYEHVDSSVIFETLYWILMFGHDTPEQDVLDPPEDCFRIRMVITLLDTCGHYFDRGSSKRKLNRFLMHFQRYILSKGLLPLDVEFDLQDLFAELRPNMIRYSSIEEVNAALIELEENEQTVSTDKFNSEKHSDTDKPLCRTTSSTISANGQSILNGNEENGSHEDIGGSDTDSGSGTIDQDGHDEEELDDENHDGGVDTEDEDDDGDGPASEEEDEVHVRQKVAEVDPLEVASFEQELRAVMQESMEQRRQELRGRPALNMVIPMNLFEGSAKDHHGRAVGGESGDEDEEAGGNKDVQVKVLVKRGNKQQTKQLYIPRDCSLVQSTKQKEAAEFEEKQDIKRLVLEYNDREEEENNGLGTQTLNWMTGGTSRVTGRGSTWEGSSGRGTGSRYRHHHHSGSGLHGRRR >Potri.018G143400.2.v4.1 pep chromosome:Pop_tri_v4:18:14844573:14852621:1 gene:Potri.018G143400.v4.1 transcript:Potri.018G143400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G143400.v4.1 MRVVEFWCTILVLFALLINGKAEVYIVTMVGEPVISYTGGIPGFEATAVESDETLDATSQLVTSYAQHLEQKHDILLDSLFDRGTYKKLYSYKHLINGFAVHISPDQAETLRRTTDVKSVERDWKVRRLTTHTPQFLGLPTGVWPTGGGFDRAGEDIIIGFVDSGIFPRHPSFGSHNADPYGPLPKYRGKCEVDPDTKREFCNGKIIGAQHFAEAAIAAGAFNPSIDFASPMDGDGHGSHTAAIAAGNNGIPVRIHGHEFGKASGMAPRARIAVYKALYRLFGGFIADVVAAIDQAVHDGVDILSLSVGPNSPPATTTTTFLNPFDATLLGAVKAGVFVVQAAGNGGPFPKTLVSYSPWITSVAAAIDDRRYKNHLFLGNGKILPGIGLSPCTHPNQTYTLVAANDVLLDSSVMKYSPSDCQRPEVLNKNLVEGNILLCGYSFNFVVGTASIKKVSETARSLGAIGFVLAVENVSPGTKFDPVPVGIPGILITDVTKSMDLIDYYNTSTPRDWTGRVKSFNGTGSIGNGLEPILHKSAPQVALFSARGPNIKDFRFQDADLLKPDILAPGSLIWAAWSPNGTDEPNYVGEGFAMMSGTSMAAPHIAGIAALVKQKHPHWSPAAIKSALMTTSTNLDRAGRPLQAQQYSETEAMKLVTATPFDYGSGHVNPRAALDPGLILDAGYEDYLGFLCTTPGIDVHEIRNYTNTPCNYSMGHPSNLNTPSITVSHLVKTQTVTRRVTNVAEEETYVITARMQPAVAIEANPPAMTLRPGASRKFTVSLTVRSVTGTYSFGEILMKGSRGHKVRIPVVAMGYWR >Potri.001G454500.2.v4.1 pep chromosome:Pop_tri_v4:1:48085960:48090637:-1 gene:Potri.001G454500.v4.1 transcript:Potri.001G454500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454500.v4.1 MQQGGGERGSSQSQYAVPQQQQQQGDMPLPPSTSAALATHMQQQQQVVEEASPISSRPPATAATTSGGGVMNLDEFMRLSGGGGGAEEDIAGEDADRTGGIASGNRWPRQETLALLQIRSEMDAAFRDATLKGPLWEDVSRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALQNTGGGGGVSSSISNVSGVAPQLIGTATTSSLDVAPVSVGIPMPIRTPPPSSQVPQPASNIGSMFPPDLGATVARAAAAGAPVRISFSSNESSSSQSSEDDDDDEDEGILGGQTSAMGAGTSRKRKRASLSSSKGETHRMMEFFEGLMKQVMQKQEAMQHRFLEAIEKREQDRMIRDEAWKRQEMARLSREHEIMAQERSISASRDAAIVAFLQKITGQTIHLPTPVSIAPLVSQPQPPPPTQPQQVQIAPLVTVSTQPPLQPQPMPLSQVTPQQNKQLPQQQHHQQQQHQQVHHQHQPPSISSEIVMAVPEQQIAPLELGSGGSEPASSRWPKPEVLALIKLRSGLETRYQEAGPKGPLWEEISAGMLRLGYKRSSKRCKEKWENINKYFKKVKESNKKRTEDAKTCPYFHELDALYRKKILGSSSGGAGSTSTSGFDSQINRPQKQQHQHQESLELDPMPPPMQQTVPQQTQATESQNKNGASVDVQASNTVLAGSPFGEGNGGAEKKKTS >Potri.001G454500.3.v4.1 pep chromosome:Pop_tri_v4:1:48086101:48089395:-1 gene:Potri.001G454500.v4.1 transcript:Potri.001G454500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454500.v4.1 MGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALQNTGGGGGVSSSISNVSGVAPQLIGTATTSSLDVAPVSVGIPMPIRTPPPSSQVPQPASNIGSMFPPDLGATVARAAAAGAPVRISFSSNESSSSQSSEDDDDDEDEGILGGQTSAMGAGTSRKRKRASLSSSKGETHRMMEFFEGLMKQVMQKQEAMQHRFLEAIEKREQDRMIRDEAWKRQEMARLSREHEIMAQERSISASRDAAIVAFLQKITGQTIHLPTPVSIAPLVSQPQPPPPTQPQQVQIAPLVTVSTQPPLQPQPMPLSQVTPQQNKQLPQQQHHQQQQHQQVHHQHQPPSISSEIVMAVPEQQIAPLELGSGGSEPASSRWPKPEVLALIKLRSGLETRYQEAGPKGPLWEEISAGMLRLGYKRSSKRCKEKWENINKYFKKVKESNKKRTEDAKTCPYFHELDALYRKKILGSSSGGAGSTSTSGFDSQINRPQKQQHQHQESLELDPMPPPMQQTVPQQTQATESQNKNGASVDVQASNTVLAGSPFGEGNGGAEKKPEDIVKELMKQQGTQQQQQLMVDDYDKMEEGDSENVNEDEYDEEDDGDEDEEEDEALQEERKMAYKIEFQRQNTSNATNGGGSGAPSFLAMVQ >Potri.001G454500.1.v4.1 pep chromosome:Pop_tri_v4:1:48085927:48090656:-1 gene:Potri.001G454500.v4.1 transcript:Potri.001G454500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454500.v4.1 MQQGGGERGSSQSQYAVPQQQQQQGDMPLPPSTSAALATHMQQQQQVVEEASPISSRPPATAATTSGGGVMNLDEFMRLSGGGGGAEEDIAGEDADRTGGIASGNRWPRQETLALLQIRSEMDAAFRDATLKGPLWEDVSRKLAEMGYKRSAKKCKEKFENVHKYYKRTKEGRAGRQDGKSYRFFSQLEALQNTGGGGGVSSSISNVSGVAPQLIGTATTSSLDVAPVSVGIPMPIRTPPPSSQVPQPASNIGSMFPPDLGATVARAAAAGAPVRISFSSNESSSSQSSEDDDDDEDEGILGGQTSAMGAGTSRKRKRASLSSSKGETHRMMEFFEGLMKQVMQKQEAMQHRFLEAIEKREQDRMIRDEAWKRQEMARLSREHEIMAQERSISASRDAAIVAFLQKITGQTIHLPTPVSIAPLVSQPQPPPPTQPQQVQIAPLVTVSTQPPLQPQPMPLSQVTPQQNKQLPQQQHHQQQQHQQVHHQHQPPSISSEIVMAVPEQQIAPLELGSGGSEPASSRWPKPEVLALIKLRSGLETRYQEAGPKGPLWEEISAGMLRLGYKRSSKRCKEKWENINKYFKKVKESNKKRTEDAKTCPYFHELDALYRKKILGSSSGGAGSTSTSGFDSQINRPQKQQHQHQESLELDPMPPPMQQTVPQQTQATESQNKNGASVDVQASNTVLAGSPFGEGNGGAEKKPEDIVKELMKQQGTQQQQQLMVDDYDKMEEGDSENVNEDEYDEEDDGDEDEEEDEALQEERKMAYKIEFQRQNTSNATNGGGSGAPSFLAMVQ >Potri.003G021934.1.v4.1 pep chromosome:Pop_tri_v4:3:2319319:2324183:1 gene:Potri.003G021934.v4.1 transcript:Potri.003G021934.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021934.v4.1 MPPHGHPSNGENPTRQRPLPPHSNQQQQHHHPYYSSASSSSASFKGCCCCLFLLFSFLALLILAVFLVIILAVKPKKPQFDLQQVGVQYMGITASNPTASMDPTTATTTTPATASLSLTIHMLFTAVNPNKVGIKYGESSFTVMYRGIPLGKALVPGFYQEAHSQRQVEATISVDRYSLMQADASDLIRDASLNDRVELRVLGEVGAKIRVLDLDSPGVQVSVDCAIVISPRKQSLTYKQCGFDGLSV >Potri.007G061250.1.v4.1 pep chromosome:Pop_tri_v4:7:6642016:6642447:-1 gene:Potri.007G061250.v4.1 transcript:Potri.007G061250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061250.v4.1 MSRHEEESHGHLFFACDWTGRLWAKIKSWLRIGRRMLTLNNAIRGLHTQRCNIESLMKRVSLAITVYLIWEERNKRVFDGKTRGVDTVFRRFQILFYIVFHFHEKTTSNCTLVDHLSMDGRRTQLCVADTLYPVRLLLVVVYC >Potri.008G046000.1.v4.1 pep chromosome:Pop_tri_v4:8:2654688:2659120:1 gene:Potri.008G046000.v4.1 transcript:Potri.008G046000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G046000.v4.1 MDDYTREMMDLKTLVTRTLEKKGVLAKIRAELRASVFEAIEEEDRVIDKDEGLPPALLGSCNDRAKQLHASPSGRLLTALICEYLDWGQLNHTLKVYLPECNLQKDSWKAELKEFSSKNGYDLNRNGDSAPLLLDVLEGFLKFENLSQGRGTGRRMSETESLSNVESRNMRRPSSSSVAGGLPPLIRPASSQASDRRAGSSMSGYRKDDNSWRYDGDELPEDVIRASTALENLQLDRKARNLTTSWRHAGDGISDDGGRADHI >Potri.003G040250.1.v4.1 pep chromosome:Pop_tri_v4:3:4606724:4610402:-1 gene:Potri.003G040250.v4.1 transcript:Potri.003G040250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G040250.v4.1 MKVSSSPKVSKHVECLRHLQSQHDELEAQFLEERKALEAKYHKLYQPLYTKRYEIVNGLEEVDGVMSAELDSIKEDQATEEKGVPEFWLTAMKTHEVLAEEIKGQDEGALKFIKDIKCSRLQNPEGFELEFYFNPCPYFKNSVLTKTYHIIDESDPILSQTIGTEIEWFPGKCLTKKVIVKKKPRMGSKIAKIITTIENCESFFTFFNPPHIPENEDDLDDDANDELQDRIEQDYNVGIIIRDKIIPHAMSWFTREVIEDVELEGIDYDDNDGGNGGDDDNEDEAEAEAEQEEKTKQGVKDIKEDHDEDEDEEEENERKWGLKKDDDEDEAEAEENNDRDEEDNESDKKAEQEEKIKQGVKEIKKDHDEDEDEENERKWSLKKDDDDDDDEDEVEDEENNDTDEDDNESDKKAEQGEKIKQGVKKDIKKDHDEDADEKNERKWGLKKDDEDEDGEVGDEENDDGDEEDNESDKEVEQEEKRNQGVKKDINEDHDEDEDEDEEKERKWGKKKDDDEDEENDDGDEDNNESNKEAEQEEKSKQGVKKDIKEDHDGDEDEEKKRKWGLKKFDDEDEDEDEDEDEDEDEDEDEDEDEENDDWDEEDNDDDEDEDEDEENDDWDEEDNDEENDYGDEEVNENDKEVEQEEKRKQGVKKDINEDHDEDEDEDEDEEKERKWGQKKDDDEDEENDDEDEENNESNKEAEQEEKSKQVVKKDIKEDHDGDGDEDEEKKRKWGLKKFDDDDDYEDEDEDEENDDWDEEDDDDEDEDEENDDWDEEDNDEENDYGDEEEDDDDDEGEENDYEDEEEDDDDDEVLRCRGCTN >Potri.015G147100.1.v4.1 pep chromosome:Pop_tri_v4:15:15097195:15100901:1 gene:Potri.015G147100.v4.1 transcript:Potri.015G147100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G147100.v4.1 MVMASLISNSAPLCSTSTSTKSALYPLPSSSFIPYHKAPQFGLSTSIALPGLGPFSQWSGLKHLDISTPPRFIRKERKRRCKGKVIHASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIKELQEVSREFKSTLEREIGLDEISNQTQNTYNSKITNTASTPSSAGSTNISTTVADPNGAPSPNKSYTSEEYLKITEEQLKASAAKQQGQPPPPAESQLEPQAQPQPQETTKAMPPPEKLENEAQNS >Potri.017G144601.2.v4.1 pep chromosome:Pop_tri_v4:17:14500422:14503877:1 gene:Potri.017G144601.v4.1 transcript:Potri.017G144601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144601.v4.1 MKNVICPACGGHPFGEEERQLNLQKLRQENARLREEHEKVSSLLAKYIGKPKSQIDLLTSDTGSSQEELPTFVQNQRMGNPGIDWGRNPGSDISHFACRLEGIPDMENALMAETAAGAMDELIRLLRVNEPFWIKSPSDGRLILDRLSYERIYPRAAHFISRNARVESSKDSATVTMPGMDLVDMFLDPNKWVDLFPTIVTEARTIQVLEAGTVGNRHGSLQMMYEQMHILSPLVPPREFYFLRLCLQLEPGQWVIADVSYNYLKESGSPPCAWRLPSGCMIQDMPNGCSKIIWVEHVEANDRIQTHCLYRDLICGSYAYGAERWIASLQRICERLAFSTAVPPRELGGVVTSPEGRKSIVNLAHRMVKIFCSSLGMSGKLDFRQLSEGNNSGVRVAICKNAEQGQPIGTVASAATSFWLPLSPQNVFNFFKAEKSRTQWDILSNGNPVLEISHISNGADPGNCISIIRPFIPAENNMLILQESCTDSSVSMVVYAPVGIPAMNVAISGDDSSIIPILPSGFVISGDGRMDTRGTSSSSTSSTGSNSGGSLLTIAFQILVSGSNSSSSTEFNMESVATVNTLISTTVLKIKSAFNCSDLD >Potri.007G079700.2.v4.1 pep chromosome:Pop_tri_v4:7:10416832:10419225:-1 gene:Potri.007G079700.v4.1 transcript:Potri.007G079700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079700.v4.1 MNQYVYNKYSQQSKATIGADFVTKELQIDDKLVTLQIWNTAGQERFQSLGPAFYRGADCCVLEYDVNVQKSFETLNNWHEEFLKQMYPDDPHAFPFILLGNKIDLDGGGSSRVSEKKAREWCASMGGIPCFETSAKEDCNIDEAFLCDAKTALEEEHEHEHYFQGISETVSEAEQRGGCAC >Potri.004G021600.1.v4.1 pep chromosome:Pop_tri_v4:4:1532172:1532969:-1 gene:Potri.004G021600.v4.1 transcript:Potri.004G021600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G021600.v4.1 MQVTISFNDKELEVEVEENATVLGLKHRLRDKLSLQPLAQELEFEGFILQNHGKTLRSYHIGDNAKIVLRELFGISIHVVKQDHKDGKPDPQFPISVHKENTIGFLKEMLSIKYGVEFTHMKLGLSSMWNNNLHDSTKIGAYNLRDTSILYAFEM >Potri.018G120400.1.v4.1 pep chromosome:Pop_tri_v4:18:13234669:13235307:1 gene:Potri.018G120400.v4.1 transcript:Potri.018G120400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120400.v4.1 MAAMATGSSRRTSSGPVIRSLSPSGRFHQHFSRSPSASASSSPFAYSSSSFMSRSSTFFTRSSSPTRVNMYGHSTPSPSSSVRLNAVSPNRSISTVNPSRSHNHQVVRKQSTPKRTCMCSPSTHPGSFRCSLHKGTGFGSSTSSSNYSSNNNRLNARRSAMTNSLVRIGGVEGDLVRRALASLIRPSSHQQRRRTAFQSRPSRLSVMSKAES >Potri.007G101100.1.v4.1 pep chromosome:Pop_tri_v4:7:12530106:12532423:-1 gene:Potri.007G101100.v4.1 transcript:Potri.007G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101100.v4.1 MSGRGGKRAAAAREEEGSGADDNYAQQPPAKKPTKADNSSSDNSDEIVVCEIGRNRRVTVRNWRGKINVDIREFYPKDGNLLPGKKGITLSLDQWNMLRDHVEEIDKALGHS >Potri.007G101100.5.v4.1 pep chromosome:Pop_tri_v4:7:12532027:12532423:-1 gene:Potri.007G101100.v4.1 transcript:Potri.007G101100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G101100.v4.1 MSGRGGKRAAAAREEEGSGADDNYAQQPPAKKPTKADNSSSDNSDEIVVCEIGRNRRVTVRNWRGKINVDIREFYPKDGNLLPGKKGIFLDVFVCFVCLLIVFL >Potri.003G049100.1.v4.1 pep chromosome:Pop_tri_v4:3:7164534:7172430:-1 gene:Potri.003G049100.v4.1 transcript:Potri.003G049100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G049100.v4.1 MTRRCSHCSNNGHNSRTCPTRSSLASSSSSPLSGVKLFGVRLTDGSIIKKSASMGNLSVHYHSSSSAAASPNPDSPLFDHVRDSAHVPDGYLSDDPAAHASCSTNQRGDRKKGVPWTEDEHRLFLIGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKFFIRQSNATRRKRRSSLFDMVPEMATDPQPVPEEQELQSSSRAGDTGNADSLPSLNLSLKPEFEPMDIASQELVKEPDKTVMGLSEIKPIVPSSNESSTVVSGSSEFTAVPGFFPAYMPVPYPYWPPNTTSFEEGTVAAASHHEVLKPVPIIPKEPFVVDELVGMSHLHLGETDRHHREPSPLSLKLIGEPSRQSAFHASAPAGGSDLSNGKASSIQAV >Potri.018G034900.4.v4.1 pep chromosome:Pop_tri_v4:18:2690897:2699103:1 gene:Potri.018G034900.v4.1 transcript:Potri.018G034900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034900.v4.1 MENRVGKSHGVEIPKKSRSLDHKSLYESKNPKGDQNSNNLKRKGGGAGDDEKGHEKKKSRKEVSISSFKNKNVNSSYSKSLKEVYNRSLSSGLKESKSGLIQRLADSNGFSGVSLPLDGGVFKIPRRKRGFVGRKKVDNGSEGSKLTGGFGREAGNVDQADKLTGEDESKWVENGGRELKAVGISGGEVDDVDQASKLTVEDKGKQVEPLKAKQKKGSDDLKENRNDELNASRNLEEEDGHEGHSVATKRDSSSKRPHNGPLVDNNGDLSLKKSLRKRSRKKGMVSDKKRTKEDDPTVDTSMKMSGVFHDDEEENLEENAAMMLSSRFDPSCTGFSSNSKASASPSKNDFQEFVAHGSSYVSGSESSSVDTDGRVLRPRKQNKEKGSTRKRRHYYEVFSGDLDAHWVLNRRIKVFWPLDQRWYHGLVGDYDKERKLHHIKYDDRDEEWIDLQNERFKLLLLPSEVPGKMRRKRSITSNKRSDGWKEKLTSRKEKRDLMTEDDSYEGAYMESEPIISWLARSTHRVKSSPLHALKKQKTSYLSSTMTPLSSLKRDKCKLSYNSASSDSVATDGRSDLPVMESPVFPKDSKLPIVYYRKRFRKTSNVLCHESKGICVSASVPETDSSLVPLTVAFWALQEHYTSLGRLDRDLDSNRLDSSDPLWSTGNAGLLRLNISATEPRWLRFKLSFQLPSFLNYYSFGSENVWLIHAVLLLQYGMLMTTWPRIHLEMLFVDNMVGLRFLLFEGCLMQAVAFVFLVLTVFHQPREQEKSADFQLPITSIRYRFSCIRDLRKHFAFSFYNFSEVENSKWKYLDHKLKRHCLAYRQLSLSECTYDNIKALQCGKNRLFSPLVCSDATLNKVLHRRSRQSISLMGVTRESTCVNGSQSSFKSDKNHRYLPSFALSFTAAPTYFFGLHLKMLVEHSVMHINTEDHNSIEHPEKSSGLVGDSCTSIEDCSKACLDCTPGNDFKALTRGADYDGCISCAKPESQSVDVSICSGGDWKKSLSNQSGDVNVEISASYRDLGESGSGAIVPLQNLECNHSESQPCDLLSRLSINKDETGAGSHALSNGITVDIPSVNQFDQHVNKELQGVQQSSDLSWNMNGGVIPSPNPTARRSTWHRNRSSFASFGWSEGRADFLQNNFGNGPKKPRTQVSYALPFGGFDYSPRNKGYQQKGFPHKRIRTATEKRTSFISRGSERKLELLSCDANVLITNGDKGWRECGVQVVLELFDHNEWRLGVKLSGTTKYSYKAHQFLQTGSTNRFTHAMMWKGGKDWTLEFPDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVRLIEENDDNGIEVPFFRGCKYFRQLESDVEMALDPSRVLYDMDSDDEQWMLKNQSSSEVNSSSWQISEEMFEKAMDMFEKAAYSQQLDQFTFKEIVEFMTGIEPTEAIKTIHEYWQHKRQRNRMPLIRHLQPPLWERYQQQLREWEQAMTRSNTGIPNGCHEKFALSDKPPMYAFCLKPRGLEVPNKGSKQRSHKKFSVAGQSNGLAGNHDGLHPYGRRINGFASGDEKTIYSVHNNESFDDSPLPQISPRVFSPRDAYGRAYVSLTGDGYDRNNLHKLCRTKSKKLGTFVSPYDVQMATSYNHRMLDQRNGFRHWNLGFSDWPSQRHHQTDGYARHGREQLNDSGLDELRLREASGAAKHALNVAKLKRHRAQRLLYRADLAIHKAVVALMNAEAIKASSEDINVDG >Potri.018G034900.3.v4.1 pep chromosome:Pop_tri_v4:18:2690917:2699331:1 gene:Potri.018G034900.v4.1 transcript:Potri.018G034900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G034900.v4.1 MENRVGKSHGVEIPKKSRSLDHKSLYESKNPKGDQNSNNLKRKGGGAGDDEKGHEKKKSRKEVSISSFKNKNVNSSYSKSLKEVYNRSLSSGLKESKSGLIQRLADSNGFSGVSLPLDGGVFKIPRRKRGFVGRKKVDNGSEGSKLTGGFGREAGNVDQADKLTGEDESKWVENGGRELKAVGISGGEVDDVDQASKLTVEDKGKQVEPLKAKQKKGSDDLKENRNDELNASRNLEEEDGHEGHSVATKRDSSSKRPHNGPLVDNNGDLSLKKSLRKRSRKKGMVSDKKRTKEDDPTVDTSMKMSGVFHDDEEENLEENAAMMLSSRFDPSCTGFSSNSKASASPSKNDFQEFVAHGSSYVSGSESSSVDTDGRVLRPRKQNKEKGSTRKRRHYYEVFSGDLDAHWVLNRRIKVFWPLDQRWYHGLVGDYDKERKLHHIKYDDRDEEWIDLQNERFKLLLLPSEVPGKMRRKRSITSNKRSDGWKEKLTSRKEKRDLMTEDDSYEGAYMESEPIISWLARSTHRVKSSPLHALKKQKTSYLSSTMTPLSSLKRDKCKLSYNSASSDSVATDGRSDLPVMESPVFPKDSKLPIVYYRKRFRKTSNVLCHESKGICVSASVPETDSSLVPLTVAFWALQEHYTSLGRLDRDLDSNRLDSSDPLWSTGNAGLLRLNISATEPRWLRFKLSFQLPSFLNYYSFGSENVWLIHAVLLLQYGMLMTTWPRIHLEMLFVDNMVGLRFLLFEGCLMQAVAFVFLVLTVFHQPREQEKSADFQLPITSIRYRFSCIRDLRKHFAFSFYNFSEVENSKWKYLDHKLKRHCLAYRQLSLSECTYDNIKALQCGKNRLFSPLVCSDATLNKVLHRRSRQSISLMGVTRESTCVNGSQSSFKSDKNHRYLPSFALSFTAAPTYFFGLHLKMLVEHSVMHINTEDHNSIEHPEKSSGLVGDSCTSIEDCSKACLDCTPGNDFKALTRGADYDGCISCAKPESQSVDVSICSGGDWKKSLSNQSGDVNVEISASYRDLGESGSGAIVPLQNLECNHSESQPCDLLSRLSINKDETGAGSHALSNGITVDIPSVNQFDQHVNKELQGVQQSSDLSWNMNGGVIPSPNPTARRSTWHRNRSSFASFGWSEGRADFLQNNFGNGPKKPRTQVSYALPFGGFDYSPRNKGYQQKGFPHKRIRTATEKRTSFISRGSERKLELLSCDANVLITNGDKGWRECGVQVVLELFDHNEWRLGVKLSGTTKYSYKAHQFLQTGSTNRFTHAMMWKGGKDWTLEFPDRSQWALFKEMHEECYNRNIRAASVKNIPIPGVRLIEENDDNGIEVPFFRGCKYFRQLESDVEMALDPSRVLYDMDSDDEQWMLKNQSSSEVNSSSWQISEEMFEKAMDMFEKAAYSQQLDQFTFKEIVEFMTGIEPTEAIKTIHEYWQHKRQRNRMPLIRHLQPPLWERYQQQLREWEQAMTRSNTGIPNGCHEKFALSDKPPMYAFCLKPRGLEVPNKGSKQRSHKKFSVAGQSNGLAGNHDGLHPYGRRINGFASGDEKTIYSVHNNESFDDSPLPQISPRVFSPRDAYGRAYVSLTGDGYDRNNLHKLCRTKSKKLGTFVSPYDVQMATSYNHRMLDQRNGFRHWNLGFSDWPSQRHHQTDGYARHGREQLNDSGLDELRLREASGAAKHALNVAKLKRHRAQRLLYRADLAIHKAVVALMNAEAIKASSEDINVDG >Potri.008G218622.1.v4.1 pep chromosome:Pop_tri_v4:8:17975393:17976185:1 gene:Potri.008G218622.v4.1 transcript:Potri.008G218622.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G218622.v4.1 MAPQEDRLTRIGIQSSALIEDSHGGRPYISRRPGRLPLTQVHEHHLYQHQEISIYHGAQISTVRMPEITSNEVAPYRGTMVKEPVIAIPYRRAMVKEPVIAIPYRGAMVKEPVIAIPYRGAMVKEPIIARNEAAQNYGGLEKQPVITSDEAAKYYGGFVIVEHGRKKQFRSAY >Potri.003G121800.1.v4.1 pep chromosome:Pop_tri_v4:3:14273323:14284524:1 gene:Potri.003G121800.v4.1 transcript:Potri.003G121800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121800.v4.1 MALQAYLDNYLLALSSLDSSQVTTDLVKSSNSENILQRGQIHAALGCCSNASSGKFPGSLIKIPDLNVAEVSEDREKTILNSITNLESSLAASFLATGSNSAVPKGLQLQGSASTDTDHLDLSTFPLLMSPNLSNFGSSTACYSIAEEDVHLGQNRLQIQKRKRQQGDIRTTFQSELQKSLDSLLDFKREGLSSSPQLHKKIRLDNQKNAILQENIIQQLLPSQDSVQLQASRPTLHALVPQNKLGNQKQQDTLQSTLQLLGVNMKQQQQRQMRDYLQSLALPRVQSMLSFNANVCSRRLMQYMYHQRQRPPDSGISYWRKFVAEYYAPCAKKRWCLSSCDSARLHAIGVFSQGTWHCDLCRTKSGRGFEATFEVLPRLNNIQFDSGVINELLFLECPFEFTLPSGLMVLEYGKVVHETLYDQLHVVREGKLRIIFAHNLKIICWEFCSRDHEELIPRSSILPKVNELVHASKNYQTNIDDIGSYSTPLCDLQENCTMLLSAGRELERDLGLQLVGDLGFSKRYVRCLQIADIFNCMKDLMTFSWDNQIGPIESLKKYTQQFSTTKLHKDELQDKEQLEVLQGLPTDPNKLSASHALGGNSNDNSNMSKGALLNISDVSCHCIYPSQTCINSNVGELEQTSLLYKRCGRNASSTPSQGPKTLSAEFIQEFAFPGLHSSGGGQHRREHKVQNLLEEIIIRAENEAVNAKIGNIISGLQTGAKGKTLLRMG >Potri.003G121800.2.v4.1 pep chromosome:Pop_tri_v4:3:14273324:14284524:1 gene:Potri.003G121800.v4.1 transcript:Potri.003G121800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121800.v4.1 MALQAYLDNYLLALSSLDSSQVTTDLVKSSNSENILQRGQIHAALGCCSNASSGKFPGSLIKIPDLNVAEVSEDREKTILNSITNLESSLAASFLATGSNSAVPKGLQLQGSASTDTDHLDLSTFPLLMSPNLSNFGSSTACYSIAEEDVHLGQNRLQIQKRKRQQGDIRTTFQSELQKSLDSLLDFKREGLSSSPQLHKKIRLDNQKNAILQENIIQQLLPSQDSVQLQASRPTLHALVPQNKLGNQKQQDTLQSTLQLLGVNMKQQQQRQMRDYLQSLALPRVQSMLSFNANVCSRRLMQYMYHQRQRPPDSGISYWRKFVAEYYAPCAKKRWCLSSCDSARLHAIGVFSQGTWHCDLCRTKSGRGFEATFEVLPRLNNIQFDSGVINELLFLECPFEFTLPSGLMVLEYGKVVHETLYDQLHVVREGKLRIIFAHNLKIICWEFCSRDHEELIPRSSILPKVNELVHASKNYQTNIDDIGSYSTPLCDLQENCTMLLSAGRELERDLGLQLVGDLGFSKRYVRCLQIADIFNCMKDLMTFSWDNQIGPIESLKKYTQQFSTTKLHKDELQDKEQLEVLQGLPTDPNKLSASHALGGNSNDNSNMSKGALLNISDVSCHCIYPSQTCINSNVGELEQTSLLYKRCGRNASSTPSQGPKTLSAEFIQEFAFPGLHSSGGGQHRREHKVQNLLEEIIIRAENEAVNAKIGNIISGLQTGAKGKTLLRMG >Potri.006G202500.1.v4.1 pep chromosome:Pop_tri_v4:6:20977404:20978627:1 gene:Potri.006G202500.v4.1 transcript:Potri.006G202500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202500.v4.1 METGWKGNSEISPSCPRCGSSNTKFCYYNNYSLTQPRYFCKGCRRYWTKGGSLRNVPVGGGCRKNRRGKSLRLSSNDHSKSFGCGGLPNNSIRHPHSHKGNSSIESSSSPMIPDGSHIDLALVYAKFLNPQQDSKSGCEVPEFTSEFDPSLIFTNISNTNLEPSSQLSEENGPAGCLTTSDFSTKAPLSDNDHLMYYYSLDSAHKHQDHQDRTKQCTSNDTSSFHLPPLPGQDTASQEILWSNSHLMGNHNLEMSQQPVLGPETQDPNLLFGNWSPFDLSSDDTFSRS >Potri.011G096500.1.v4.1 pep chromosome:Pop_tri_v4:11:12467468:12470886:1 gene:Potri.011G096500.v4.1 transcript:Potri.011G096500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096500.v4.1 MGSGYWLKSIIGLRKAKRDRSKKVKVHSAIEKANESKESPPTNGESSSFAHADLQSSHAVPGLSAEYIAAVRIQKAFRAYKARKAVQRLKGAVRFNVQIHGQDTQKQASSTLSHIHSWSNIQTQIRARRHHMVTEGRIKQKKLENQLKLDAKLQELEVEWCGGSDTMEEILSRIQQREEAAVKRERAMAYAFSHQWRANPTRYLGQAYYILGEENWGWSWKERWVAARPWEVRVHAEPNNLKKVHSRQGSKTEIKIPVLSTKHALSNGKVNAKAKKLSSPAVDYQATQDASSTAGSSHLLIQS >Potri.011G096500.2.v4.1 pep chromosome:Pop_tri_v4:11:12467404:12470872:1 gene:Potri.011G096500.v4.1 transcript:Potri.011G096500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096500.v4.1 MGCFFSGYWLKSIIGLRKAKRDRSKKVKVHSAIEKANESKESPPTNGESSSFAHADLQSSHAVPGLSAEYIAAVRIQKAFRAYKARKAVQRLKGAVRFNVQIHGQDTQKQASSTLSHIHSWSNIQTQIRARRHHMVTEGRIKQKKLENQLKLDAKLQELEVEWCGGSDTMEEILSRIQQREEAAVKRERAMAYAFSHQWRANPTRYLGQAYYILGEENWGWSWKERWVAARPWEVRVHAEPNNLKKVHSRQGSKTEIKIPVLSTKHALSNGKVNAKAKKLSSPAVDYQATQDASSTAGSSHLLIQS >Potri.005G044000.5.v4.1 pep chromosome:Pop_tri_v4:5:2780982:2785739:-1 gene:Potri.005G044000.v4.1 transcript:Potri.005G044000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G044000.v4.1 MEILVKLKEENSKEWRRELELKEINFGQQVRERYDEIELKEKKVEEEFREVALREERVEKRFREVEEKERRVRELFKEVRVKDDEFRERRKGVELKGREVEERIKEIGFKDRKVGERLKEVGLKERKAEQRLKDLGLKGREVEERVKEIALMEKNVGKRSEEVELNRRKLEEGFRKLELKSREVEEIIKGVELKEKILEERYRRFDLKGKQIEEVQLKEKELEERLREVEMENKKCLERIKEFELKEKQVADACNARVKSETVDYSMDANLHFSVKMDGKALQILLNKRCKHDEKMKNEVSIALGLSSDPAKLVLDAMEGFYPPHLREGDVEFKEVVVKRSCNLLLEQLTKISPTIKPHVRKEATKLAFLWMTKMTVDDQHNLDVMGFFYLLAAYGLASAFDSDELISRLVIIARNRQIPEFLRVLELGDKIPGFIENLIVKKQQMEAIRFICAFEMVNRFPPGPILRDYLSGSKIAAKKIRRSNSIEGLVESVNRRVADLMVVLKCVEDYKLETVFSPNTLKQQIKDVERQLSISETKLPNLGSNSPQPNLSEKKRLSPKAAASASVLASKSVSATKPALNSTMAACTATSAAPITVTSYIAYPVTVTSLAPMAAFIANPVVPIIVTSPSTTAAAAVTPIAVASPASTASITASITRSTVGPPSSSSASPSGSIPKTEPKYQGCQAQYQGRNKRCQAQYQGRDKHPHPQEQHQSGNKRPRIAESSEVPLRAPLLQNTSIAHPVPVPHLRAEGPFINQSAP >Potri.011G074033.1.v4.1 pep chromosome:Pop_tri_v4:11:7849498:7849815:1 gene:Potri.011G074033.v4.1 transcript:Potri.011G074033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074033.v4.1 MPQLHTSLHFHLTPIVMINGSSHRDLLLNSQNFCRSIPAGAENPSLSRLCYRRLWGSRNRRALILGWAYYLDAFSSYPLRTWLPSVYRGHNNWYTRGASFPVLSY >Potri.001G015450.1.v4.1 pep chromosome:Pop_tri_v4:1:1136922:1138586:-1 gene:Potri.001G015450.v4.1 transcript:Potri.001G015450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015450.v4.1 MEAPVSGDCEEGCNAGVNALVRLLSALSPGTKKIISKIMLLLETVIFHERDYRRETWSTIGSNPLQIFSLLNRDGEDEHGCSTSNGGVSAARDSTSNGNVSAAMDIFFLTPELWKFGNWTPNQLKISFNFAPFGLISIKSLNWYTVYKTVLNSWIVQFGS >Potri.006G229600.1.v4.1 pep chromosome:Pop_tri_v4:6:23341501:23344683:1 gene:Potri.006G229600.v4.1 transcript:Potri.006G229600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229600.v4.1 MAIPLLHLSSPFLLSSLTQKRFIYHHHHNYKNTLPKIPSSSFRNGSSNTPPETECPVPLDQQPINEYQNLSTSFPFSWASGDIVEYCSRLFVTGASFALLIALPVAWFGTVAPKTEPLKPVLAALSSGVFVVSLAVVRMYLGWAYVGNRLLSATVEYEETGWYDGQIWVKTAEVLARDRLLGSFSVKPVLSRLKYTLVTLAASLFVCVVLFINIEGGQKGSYTPFEEAGGRAIPGVYNDDSARSFEPDAFCGEPAPPES >Potri.008G127400.6.v4.1 pep chromosome:Pop_tri_v4:8:8291709:8295793:-1 gene:Potri.008G127400.v4.1 transcript:Potri.008G127400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127400.v4.1 MGDHFVLLVNRLLTESTLEAAIESRNLSMQATASTVDDTKIDKSFQKMDFGDISTPRKLVECRICQDEDDDSNMETPCSCCGSLKYVHRRCVQRWCNEKGNTICEICHQEFKPGYTAPPPLFQIGFPVNFRGNWETSRRELNGPHFIAVVSTERNFLNNDYDEYAASTTRNAIYCRLIAVVFMVLLILRHSLPLVLNGTNNISFPSLFLRTAGIILSIYVMLKAVTAIQRCCLHQEPPNSSFHSYDEDAEHPTLQPRPHIINVH >Potri.008G127400.8.v4.1 pep chromosome:Pop_tri_v4:8:8291710:8296262:-1 gene:Potri.008G127400.v4.1 transcript:Potri.008G127400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127400.v4.1 MGDHFVLLVNRLLTESTLEAAIESRNLSMQATASTVDDTKIDKSFQKMDFGDISTPRKLVECRICQDEDDDSNMETPCSCCGSLKYVHRRCVQRWCNEKGNTICEICHQEFKPGYTAPPPLFQIGFPVNFRGNWETSRRELNGPHFIAVVSTERNFLNNDYDEYAASTTRNAIYCRLIAVVFMVLLILRHSLPLVLNGTNNISFPVFMSLFLRTAGIILSIYVMLKAVTAIQRCCLHQEPPNSSFHSYDEDAEHPTLQPRPHIINVH >Potri.008G127400.3.v4.1 pep chromosome:Pop_tri_v4:8:8291710:8296244:-1 gene:Potri.008G127400.v4.1 transcript:Potri.008G127400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127400.v4.1 MGDHFVLLVNRLLTESTLEAAIESRNLSMQATASTVDDTKIDKSFQKMDFGDISTPRKLVECRICQDEDDDSNMETPCSCCGSLKYVHRRCVQRWCNEKGNTICEICHQEFKPGYTAPPPLFQIGFPVNFRGNWETSRRELNGPHFIAVVSTERNFLNNDYDEYAASTTRNAIYCRLIAVVFMVLLILRHSLPLVLNGTNNISFPVFMSLFLRTAGIILSIYVMLKAVTAIQRCCLHQEPPNSSFHSYDEDAEHPTLQPRPHIINVH >Potri.008G127400.9.v4.1 pep chromosome:Pop_tri_v4:8:8291710:8296242:-1 gene:Potri.008G127400.v4.1 transcript:Potri.008G127400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127400.v4.1 MGDHFVLLVNRLLTESTLEAAIESRNLSMQATASTVDDTKIDKSFQKMDFGDISTPRKLVECRICQDEDDDSNMETPCSCCGSLKYVHRRCVQRWCNEKGNTICEICHQEFKPGYTAPPPLFQIGFPVNFRGNWETSRRELNGPHFIAVVSTERNFLNNDYDEYAASTTRNAIYCRLIAVVFMVLLILRHSLPLVLNGTNNISFPVFMSLFLRTAGIILSIYVMLKAVTAIQRCCLHQEPPNSSFHSYDEDAEHPTLQPRPHIINVH >Potri.008G127400.10.v4.1 pep chromosome:Pop_tri_v4:8:8291710:8295792:-1 gene:Potri.008G127400.v4.1 transcript:Potri.008G127400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127400.v4.1 MGDHFVLLVNRLLTESTLEAAIESRNLSMQATASTVDDTKIDKSFQKMDFGDISTPRKLVECRICQDEDDDSNMETPCSCCGSLKYVHRRCVQRWCNEKGNTICEICHQEFKPGYTAPPPLFQIGFPVNFRGNWETSRRELNGPHFIAVVSTERNFLNNDYDEYAASTTRNAIYCRLIAVVFMVLLILRHSLPLVLNGTNNISFPVFMSLFLRTAGIILSIYVMLKAVTAIQRCCLHQEPPNSSFHSYDEDAEHPTLQPRPHIINVH >Potri.008G127400.4.v4.1 pep chromosome:Pop_tri_v4:8:8291710:8295792:-1 gene:Potri.008G127400.v4.1 transcript:Potri.008G127400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127400.v4.1 MGDHFVLLVNRLLTESTLEAAIESRNLSMQATASTVDDTKIDKSFQKMDFGDISTPRKLVECRICQDEDDDSNMETPCSCCGSLKYVHRRCVQRWCNEKGNTICEICHQEFKPGYTAPPPLFQIGFPVNFRGNWETSRRELNGPHFIAVVSTERNFLNNDYDEYAASTTRNAIYCRLIAVVFMVLLILRHSLPLVLNGTNNISFPVFMSLFLRTAGIILSIYVMLKAVTAIQRCCLHQEPPNSSFHSYDEDAEHPTLQPRPHIINVH >Potri.008G127400.11.v4.1 pep chromosome:Pop_tri_v4:8:8291710:8296262:-1 gene:Potri.008G127400.v4.1 transcript:Potri.008G127400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127400.v4.1 MGDHFVLLVNRLLTESTLEAAIESRNLSMQATASTVDDTKIDKSFQKMDFGDISTPRKLVECRICQDEDDDSNMETPCSCCGSLKYVHRRCVQRWCNEKGNTICEICHQEFKPGYTAPPPLFQIGFPVNFRGNWETSRRELNGPHFIAVVSTERNFLNNDYDEYAASTTRNAIYCRLIAVVFMVLLILRHSLPLVLNGTNNISFPSLFLRTAGIILSIYVMLKAVTAIQRCCLHQEPPNSSFHSYDEDAEHPTLQPRPHIINVH >Potri.008G127400.7.v4.1 pep chromosome:Pop_tri_v4:8:8291709:8295793:-1 gene:Potri.008G127400.v4.1 transcript:Potri.008G127400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127400.v4.1 MGDHFVLLVNRLLTESTLEAAIESRNLSMQATASTVDDTKIDKSFQKMDFGDISTPRKLVECRICQDEDDDSNMETPCSCCGSLKYVHRRCVQRWCNEKGNTICEICHQEFKPGYTAPPPLFQIGFPVNFRGNWETSRRELNGPHFIAVVSTERNFLNNDYDEYAASTTRNAIYCRLIAVVFMVLLILRHSLPLVLNGTNNISFPSLFLRTAGIILSIYVMLKAVTAIQRCCLHQEPPNSSFHSYDEDAEHPTLQPRPHIINVH >Potri.001G091900.2.v4.1 pep chromosome:Pop_tri_v4:1:7263688:7270464:1 gene:Potri.001G091900.v4.1 transcript:Potri.001G091900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G091900.v4.1 MEQRKYLVEVEKAKEAIDGRPSTGPVYRSLFAKDGFPPPVPGLESCWDIFRMSVEKYPNNPMLGCREIVNGKAGNYVWQTYKQVYDTVVQVGNSIRSCGVEPGAKCGIYGANCAEWVMSMEACNAHGLYCVPLYDTLGADAVEFIICHSEVSIAFVEEKKITELLKTFPNSTQYMKTIVSFGKVASKEKEEIEKFGLAVYSWDEFLNLGENKQYELPVKKKEDICTIMYTSGTTGDPKGVMISNDSIVTLLAGVKRLLESVNEALTSKDVYLSYLPLAHIFDRVIEELFIQHGASIGFWRGDVKLLLEDIGELKPSIFCAVPRVLDRVYSGLQQKVSTGGFLTKTLFNLAYSHKFSSMKKGFAHDEASPICDKIVFNKVRQGLGGNVRLILSGAAPLSNHVEAFLRVVSCAHVLQGYGLTETCAGTFVSLPNELPMLGTVGPPVPNVDVFLESVPEMGYDALSSTPRGEICIRGKTLFAGYYKREDLTKEVLIDGWFHTGDIGEWQPDGSMKIIDRKKNIFKLSQGEYVAVENLENIYSLVSDIDSIWVYGNSFESFLIAVANPNQQALEHWAQEHGISGNFKSLCENPEAKKFILGELTKIGKEKKLKGFELVKDVHLDPEPFDMERNLITPTYKKKRPQLLKYYQNVIDNMYKSASKPNA >Potri.004G073100.1.v4.1 pep chromosome:Pop_tri_v4:4:6102085:6103601:-1 gene:Potri.004G073100.v4.1 transcript:Potri.004G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073100.v4.1 MQKAMLKLDMHDEKTKKKAMKTVSGFSGVDSISMDWNDKKLTVTGDIDPVNIVKKLRKFCHVEIVSVGEAKEPEKKKEEPEKQEDEKKDVHQNVDELARAYRAYYPHATMYYHVSSVEDGTNACVIS >Potri.004G073100.2.v4.1 pep chromosome:Pop_tri_v4:4:6102085:6103464:-1 gene:Potri.004G073100.v4.1 transcript:Potri.004G073100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G073100.v4.1 MQEHRKAMLKLDMHDEKTKKKAMKTVSGFSGVDSISMDWNDKKLTVTGDIDPVNIVKKLRKFCHVEIVSVGEAKEPEKKKEEPEKQEDEKKDVHQNVDELARAYRAYYPHATMYYHVSSVEDGTNACVIS >Potri.002G242300.3.v4.1 pep chromosome:Pop_tri_v4:2:23448842:23451550:1 gene:Potri.002G242300.v4.1 transcript:Potri.002G242300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242300.v4.1 MTEDFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLIPLADELRIVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHMNKQAKREGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSSQTKVDLISTGHERLVVETIVNLLPVEKLAVPITFLFGLLRSAVMLDCTIACRLDLERRIGSQLDVATLDDLLIPSFRHAGDTLFDVDTVHRILVNFSQQDDSEDDMEDASVFESDSPHSPSQTALFKVAKLVDNYLAEIAPDANLKLSKFMVIAETLPTHARTVHDGLYRAIDIYLKAHQGLSDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNALCCSYADDDHKPMHNSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQKSHSRKFMSSFSKKIGKLSIFGHSSSRGSSSPSKNSHRTDSRVIERTCASTD >Potri.002G242300.4.v4.1 pep chromosome:Pop_tri_v4:2:23448683:23453021:1 gene:Potri.002G242300.v4.1 transcript:Potri.002G242300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242300.v4.1 MDKHQQQQLSLAKCSRQKYSEWIFRDVPSDITIEVSGGTFALHKFPLVSRSGRIRKLVAEHRDADISRVELLNLPGGAEAFELAAKFCYGINFEITSSNVAQLCCVSEYLEMTEDFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLIPLADELRIVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHMNKQAKREGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSSQTKVDLISTGHERLVVETIVNLLPVEKLAVPITFLFGLLRSAVMLDCTIACRLDLERRIGSQLDVATLDDLLIPSFRHAGDTLFDVDTVHRILVNFSQQDDSEDDMEDASVFESDSPHSPSQTALFKVAKLVDNYLAEIAPDANLKLSKFMVIAETLPTHARTVHDGLYRAIDIYLKAHQGLSDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNALCCSYADDDHKPMHNSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQKSHSRKFMSSFSKKIGKLSIFGHSSSRGSSSPSKNSHRTDSRVIERTCASTD >Potri.002G242300.5.v4.1 pep chromosome:Pop_tri_v4:2:23448842:23452980:1 gene:Potri.002G242300.v4.1 transcript:Potri.002G242300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G242300.v4.1 MDKHQQQQLSLAKCSRQKYSEWIFRDVPSDITIEVSGGTFALHKFPLVSRSGRIRKLVAEHRDADISRVELLNLPGGAEAFELAAKFCYGINFEITSSNVAQLCCVSEYLEMTEDFSKDNLGSRAEEYLESIVCKNLEMCVEVLQQCENLIPLADELRIVSRCIDAIASKACAEQIASSFSRLEYSSSGRLHMNKQAKREGDWWIEDLSVLRIDLYQRVMTAMKCRGVRPESIGASLVNYAQKELTKKSSLWNPSSQTKVDLISTGHERLVVETIVNLLPVEKLAVPITFLFGLLRSAVMLDCTIACRLDLERRIGSQLDVATLDDLLIPSFRHAGDTLFDVDTVHRILVNFSQQDDSEDDMEDASVFESDSPHSPSQTALFKVAKLVDNYLAEIAPDANLKLSKFMVIAETLPTHARTVHDGLYRAIDIYLKAHQGLSDSDRKKLCKLIDFQKLSQEAGAHAAQNERLPLQAIVQVLYFEQIRLRNALCCSYADDDHKPMHNSWRISSGALSAAMSPRDNYASLRRENRELKLELARLRMRLNDLEKEHVCMKRDMQKSHSRKFMSSFSKKIGKLSIFGHSSSRGSSSPSKNSHRTDSRVIERTCASTD >Potri.014G175100.1.v4.1 pep chromosome:Pop_tri_v4:14:13075023:13076253:-1 gene:Potri.014G175100.v4.1 transcript:Potri.014G175100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G175100.v4.1 MAFIPKTSTFSLEKKSSFIFNLTLPQSTAYPLSINFGWTILLISFPFIFLHYHPLTQQQLPFPTMTVTTGTNHCEQYSFSTKRYNRSIHHVQQSVTSSASTKPATDDCGNRAQPP >Potri.015G044750.1.v4.1 pep chromosome:Pop_tri_v4:15:4339711:4343835:1 gene:Potri.015G044750.v4.1 transcript:Potri.015G044750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G044750.v4.1 MVARLSLYQHHPIITTVFSVLIILVSTSYGDDDKDYTDCEKPFSCGLLPELSYPFWGGDRPEVCGHKAFQLRCEEGQLPIIASDTLEFRLLNLKQSSRWMALQLVNFEEYICPTQIRTNSSSGSDIHIFGYDLSLQNLNLLYNCSISSSEVLSKNTISFCNGYTGSSFYGNYDILVNSSGLDVMQCSMRIRIPIAKSFGELLVGDRAELEEVLGEGFNVSYEYDQGPSICEGCMASRGICGTNMTHPDKEFMCLCRDQPYAFVCQGTSLNVGRKVAIGLGASLGTLVTMLIAFFFWYRRKKRQYESIFSRSIKSVPSSKAHTEKRSSYNGAHLFSYEELEEATNNFDKTRELGNGGFGTVYYGKLPDGLEVAVKRSYENNYKRLEQFLNEVDILTRLRHQNLVLLHGCTSRDSRELLLVYQYIPNGTLADHLHGERAKPGALPWSTRMNIAVETAYALAYLHASVIVHRDVKTTNILLDNNFCVKVADFGLSRLFPTDVTHVSTAPQGTPGYVDPEYHQCYQLTDKSDVYSFGVVLIELISSMPAVDISRHRHEINLSTMAINKIQSNSLNELVDPSLGFESDYAARKMIRAVAELAFQCLQNAKELRPSMEEVLQILKEIQSRDYNAEKAEDINSPSDDVGMLKSGPIPPSPDTVTVTWISTSSTPHASV >Potri.015G036900.1.v4.1 pep chromosome:Pop_tri_v4:15:3150585:3151865:-1 gene:Potri.015G036900.v4.1 transcript:Potri.015G036900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G036900.v4.1 MLDPTSDMLPPPSSPTNSSVSSSDLDTESTGSFFHDRSTTLGTLMGVTFPAITFRAPSQHLHPAAASFATDNVVNGGSPRRNTKKRRNMAASAVAERRRRRWWSLCRDGGGAKPASLREFLEVERRFGDAALELEGVMVAEHPRNGGVNGRLLFADGRVLPPADVVDDGSSSSSSTAGAHWRFPVSLTGICSGGAG >Potri.011G163248.1.v4.1 pep chromosome:Pop_tri_v4:11:18807307:18808745:1 gene:Potri.011G163248.v4.1 transcript:Potri.011G163248.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G163248.v4.1 MSTTQNEKCKGKHFTWSKPMSHMLLEILAEEALKGNKPSSTFRAESFVKVATEISQKFNVQCEPTHVDNHLKTVKKEWGIITKLKNKSGFGWDDCLKMITVSKDVYDEEVKAHPNHDKYLNKKLDMYEAMTIVVGKDMATGNYAKSYADVNLEENTEEQSISIENEGEYEEISKGKETSSSSTQKRQHRKRNRMYEDDGVEKLSKQIGDVALAIQSLSKNQLDVNALYAEVMKIEGFNEITLGEAFDHLVQNEMLAKAFMAKNANLRKIWVQNFVNQHYYRPAC >Potri.012G066200.1.v4.1 pep chromosome:Pop_tri_v4:12:8478686:8485330:-1 gene:Potri.012G066200.v4.1 transcript:Potri.012G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G066200.v4.1 MEGLVHYRINPIHATRRQYHSCLVPAFSLLLSKNFTKTPVLFMKGHRSFNSVVASVQPLDASGLGHFDNTLPSKVILQLWRSADAVCFDVDSTVCLDEGIDELAEFCGAGKAVADWTARAMGGSVPFEEALAARLSLFKPSLPQVQEFLETRPPKISPGINELVKKLKAKNTNVYLISGGFRQMINPVASILGIPPENIFANQLLFGSSGEFVGFDVNEPTSRSGGKATAVQKIRKVRGYKALVMIGDGATDLEARKPGGADLFICYAGVQLREAVAVKADWLVFNFADLINSLE >Potri.010G073400.1.v4.1 pep chromosome:Pop_tri_v4:10:10134489:10136627:-1 gene:Potri.010G073400.v4.1 transcript:Potri.010G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G073400.v4.1 MAFVSFVGRVLFASVFILSAWQEFNEFGTNGGPAAHLLEPKFHVFSKHVSSQTGFQVPHFEMKHAVAAAISVKAFGSLLFIFGSSVGAYLLLLHQIIITPILYDFYNYDADTKEFNLLFAKFAQNLALFGALLFFIGMKNSIPRRQLKKKSPKSKTT >Potri.001G088600.3.v4.1 pep chromosome:Pop_tri_v4:1:7037648:7042360:-1 gene:Potri.001G088600.v4.1 transcript:Potri.001G088600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088600.v4.1 MMAMNRGIGGGGGGGSLSQGNSEGCGGDDLYTELWKACAGPLVDVPKRGERVFYFPQGHMEQLEASTNQELNQRVPLFNLPSKILCRVIHTQLLAEQDTDEVYAQITLIPESDQIEPTSPDSSSSEPPRPTVHSFCKVLTASDTSTHGGFSVLRKHATECLPPLDMTQPTPTQELVAKDLHGYEWRFKHIFRGQPRRHLLTTGWSTFVTSKRLVAGDSFVFLRGENGELRVGVRRVACQQSSMPSSVISSQSMHLGVLATASHAVSTLTLFVVYYKPRTSQFIISLNKYLEAVSNKFVVGMRFKMRFEGEDSPDRRFSGTIVGVEDFSPHWNDSKWRSLKVQWDEPAPIPRPDRVSPWEIEPCVASVPTNLSQPVTQKNKRPRPPFEIPALDLSSTASTRNSGLTQSHDLTQLSVTGEGKSYENHVMWHQKQTDVNGHSNSMSRTQTEGGWLASPHVIVSQHPFQDAMEDSKSVSPWPVFSGYSTPLSSKSKNDAILDPSDKGRKSEVPTSYRLFGIDLVNHSSSSTPIEVPAQLMSICSGATEGHVLGALSAVDSDQKSEVSKEQKPEQLQKSPKEIQSKQSSTSTRSRTKVQMQGIAVGRAVDLTMLKGYSQLIDELEQLFDIKGQLHPRDKWEIVYTDDEGDMMLVGDDPWPEFCNMVRRIYICSSQDVKRMGPGSKLPMLPIKGEGTVLSSDSAEN >Potri.009G139600.1.v4.1 pep chromosome:Pop_tri_v4:9:11186542:11189084:-1 gene:Potri.009G139600.v4.1 transcript:Potri.009G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G139600.v4.1 MALSLAALPSPSITLCIPKKGSNLYPPKLNKMSPIQCSLSVSTHSKTPQFDLKTYWTTLILEINQKLDQAVPIQYPDKIYEAMRYSVLAKGAKRAPPVMCVAACELFGGNRHAAFPTACALEMVHSASLIHDDLPCMDDDPSRRGQPSNHKIYGVDMAILAGDALFPLGFRHIVSHTPSDLVPEPRLLSVIAEIARAVGSTGMAAGQFLDLEGGPNSVEFVQEKKFGEMGECSAVCGGLLAGAKDDEIQRLRRYGRAVGVLYQVVDDILEAKTMKSKVDEDKKKRKGKSYVALYGVEKAIEVAEELRAKAKEELDGFEKYGESVLPLYSFVDYAADRGFSFGESS >Potri.015G078100.2.v4.1 pep chromosome:Pop_tri_v4:15:10437380:10441364:1 gene:Potri.015G078100.v4.1 transcript:Potri.015G078100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G078100.v4.1 MQSLNLKLLTDFSTGTRRFVPGRSFGTEWRQCLNLRNYNRNCRIVACSVERDGGGEGTSSSSSSSSSSDPSPSSFLSRSQTYAMLKQQMEVAAQSEDYEEAARLRDSLRSFEEVEPVLRLRRLLKEAVADERFEDATRYRDELKEIAPLSLLKCSSDATTLGIRVQVRSVYIEGRSQPSKGQYFFAYRIRITNNSDRPVQLLRRHWIITDANGKTENFWGVGVIGEQPVILPRTGFEYSSACPLCTPNGRMEGDFEMKHIDKAGSPTFNVAIAPFSLSILGDGSDAF >Potri.005G031500.2.v4.1 pep chromosome:Pop_tri_v4:5:2061309:2064742:-1 gene:Potri.005G031500.v4.1 transcript:Potri.005G031500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031500.v4.1 MVSLVDTGETINSEEESVSNRIRQVSPSQGPRLPILQKIINLSDKIQNLKKEHSNLSNQVKTAKDSFLGPNILDTLQKLGNEYELLKKKYLQELSERKRLYNEVIELKGNIRVFCRCRPLNQVEITNGSNYVVEFDSSQDNELQIISSDSSKKQFKFDHVFGPEDNQEAVFAQTKPIVASVLDGYNVCIFEYGQTGTGKTFAMEGSPENRGVNYRTLDELFSREKWHYEIWSFLLEIKQTAEGTQEVPGLVETRVTGTEDVWDLLKSGSRARSLGSTSANELSSRSHRLLRVTVKGVNLIDGQKTRSHLWMVDLAGSERVGKIDVEDLYYFNLLNNRIRGEIPDSIGNLSVGLATLQLPYNHLDGTIPATFGKLKLLQRLYLGRNKLQGSIPDEMGQMENLGLLDLANNSITGSILHLLSSLMKPLSSAFFLMKPLSIKIEPPNFATLSMLILKPPICSVLTKAVN >Potri.007G082200.2.v4.1 pep chromosome:Pop_tri_v4:7:10683505:10684492:-1 gene:Potri.007G082200.v4.1 transcript:Potri.007G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082200.v4.1 MTGKRTQISPIGSPLSENISESSSKLEQDRFLPIANVSRIMKKSLPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMSTLGFENYVGSLKVYLNKYRDTEGEKNSMARQEDQLSPTNHGSLGTHETIKVNGSPSLSTGMDLQSFNAGLYSLGTEVTAKSYGQNTRFLGYQESSMVGDFNMNKIRDHGDRNGNIAMAGQLHHGVGW >Potri.005G140100.4.v4.1 pep chromosome:Pop_tri_v4:5:11091195:11094256:-1 gene:Potri.005G140100.v4.1 transcript:Potri.005G140100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140100.v4.1 MSPYFTDHHSLCRVPLAIGYLYLSLLLSSFMTAHAQVLQSCTATTNCGVGLYCGNCPALGKTQPICTRGQAIIPNSIINGLPFNKYTWLVTHNSFSIVDAPPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDLYDFEGDIWLCHSFRGQCFNFTAFQPAINTLREVESFLSENPTEIVTIIIEDYVHTPKGLINLFTNAGLDKYWFPVSKMPKKGEDWPTVTEMVQENHRLVVFTSVASKEAEEGIAYQWKYMLENEAGDPGVKPGSCPNRKESKPLNSKRASLFLMNYFPTYPVETEACKEHSTPLAQMVGTCYKAARNVMPNFLAVNFYMRSDGGGVFDALDRMNGQTLCGCNTVTACQFGAPFGSCKNVAVPNVSPVTNTVGNTSPLTNTAGSFSGSVQFSKSASTIQSPNSLVFYLFFFIGNIFII >Potri.005G140100.1.v4.1 pep chromosome:Pop_tri_v4:5:11090229:11094203:-1 gene:Potri.005G140100.v4.1 transcript:Potri.005G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140100.v4.1 MSPYFTDHHSLCRVPLAIGYLYLSLLLSSFMTAHAQVLQSCTATTNCGVGLYCGNCPALGKTQPICTRGQAIIPNSIINGLPFNKYTWLVTHNSFSIVDAPPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDLYDFEGDIWLCHSFRGQCFNFTAFQPAINTLREVESFLSENPTEIVTIIIEDYVHTPKGLINLFTNAGLDKYWFPVSKMPKKGEDWPTVTEMVQENHRLVVFTSVASKEAEEGIAYQWKYMLENEAGDPGVKPGSCPNRKESKPLNSKRASLFLMNYFPTYPVETEACKEHSTPLAQMVGTCYKAARNVMPNFLAVNFYMRSDGGGVFDALDRMNGQTLCGCNTVTACQFGAPFGSCKNVAVPNVSPVTNTVGNTSPLTNTAGSFSGSVQFSKSASTIQSPNSLVFYLFFFIGNIFII >Potri.005G140100.3.v4.1 pep chromosome:Pop_tri_v4:5:11090553:11094226:-1 gene:Potri.005G140100.v4.1 transcript:Potri.005G140100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G140100.v4.1 MSPYFTDHHSLCRVPLAIGYLYLSLLLSSFMTAHAQVLQSCTATTNCGVGLYCGNCPALGKTQPICTRGQAIIPNSIINGLPFNKYTWLVTHNSFSIVDAPPLPGVQRLTFYNQEDTVTNQLRNGVRGLMLDLYDFEGDIWLCHSFRGQCFNFTAFQPAINTLREVESFLSENPTEIVTIIIEDYVHTPKGLINLFTNAGLDKYWFPVSKMPKKGEDWPTVTEMVQENHRLVVFTSVASKEAEEGIAYQWKYMLENEAGDPGVKPGSCPNRKESKPLNSKRASLFLMNYFPTYPVETEACKEHSTPLAQMVGTCYKAARNVMPNFLAVNFYMRSDGGGVFDALDRMNGQTLCGCNTVTACQVCSCYLCNINCFISMLWFSCFWKQRIKIVQIFYPIPPGVGGCTASIFFPTSSWLILEIFQSLGPGPPSKFIPAEIMKSCFYPLKCEIYSCALIG >Potri.003G201000.1.v4.1 pep chromosome:Pop_tri_v4:3:20122381:20126214:1 gene:Potri.003G201000.v4.1 transcript:Potri.003G201000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201000.v4.1 MENPDSRSISEIESEQQASTEEVVSLAKNSEFDREIFTEFAVLLDKFTPVLVAIKDNEKLMDRPPVKKGVESIEKELTRAKKLIEGACSRSPVKQIVVVTQELGRSLGLVLFASIDASTEVKQDIAALHRELMNVKFDISFTPSPSPSPSLGSSPCVIHGPRPSKESGFVSEQGSFINEIEEEKISLSIDDVVLQLKYGNDEEFRLALLVLSDFIRDQVIDKEWIHEEDIIPILFNRLGSSKPHNRLTIIQILRILALDNDENKEKMTDVVCLSGLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTASHDAAKLLIALSSNTQNVLHMAEAGYFKPLVHCLKEGSDMSKILMATAVSRMELTDQCRASLGEDGAVEPLVKMFKSGKLEAKLSALNALQNLSNLTENIKRLISSGIVSPLLQLLFSVTSVLMTLREPASAILARIAQSETILVKKDVAQQMLSLLNLSSPAIQYNLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNIKIRSAALNLLYTLSKDSPEEFMEQLGESYLINIVNIISSSASESEKAAAIGIVSNLPVSNKKSTEVLKKLHFLPILISLMSSGASTSTSTKTWLEESIAGVLIRFTIPSDKKLQLLSAELGVIPVLLKLLASESSVAKCRAAISLAQLSQNSVALRKSRKSRWTCMPPSADTFCQVHDGYCVVKSTFCLVKAGAVPPLIQILEGEEREADEAVLNALATLLQDEIWESGSHYMAKTSVVQAIIRVLESGTVKAQEKALWILERIFSIEEHRSQHGESAQAVLIDLAQNGHPRLKPTVAKVLARLQLLQDQSSYF >Potri.003G201000.2.v4.1 pep chromosome:Pop_tri_v4:3:20122389:20126215:1 gene:Potri.003G201000.v4.1 transcript:Potri.003G201000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G201000.v4.1 MENPDSRSISEIESEQQASTEEVVSLAKNSEFDREIFTEFAVLLDKFTPVLVAIKDNEKLMDRPPVKKGVESIEKELTRAKKLIEGACSRSPVKQIVVVTQELGRSLGLVLFASIDASTEVKQDIAALHRELMNVKFDISFTPSPSPSPSLGSSPCVIHGPRPSKESGFVSEQGSFINEIEEEKISLSIDDVVLQLKYGNDEEFRLALLVLSDFIRDQVIDKEWIHEEDIIPILFNRLGSSKPHNRLTIIQILRILALDNDENKEKMTDVVCLSGLVKSLARDADEGREAVGLLSELSDISAVRRRIGRIQGCIVMLVTMLNGDDPTASHDAAKLLIALSSNTQNVLHMAEAGYFKPLVHCLKEGSDMSKILMATAVSRMELTDQCRASLGEDGAVEPLVKMFKSGKLEAKLSALNALQNLSNLTENIKRLISSGIVSPLLQLLFSVTSVLMTLREPASAILARIAQSETILVKKDVAQQMLSLLNLSSPAIQYNLLQALNSIASHSSASKVRRKMKENCAVQLLLPFLTESNIKIRSAALNLLYTLSKDSPEEFMEQLGESYLINIVNIISSSASESEKAAAIGIVSNLPVSNKKSTEVLKKLHFLPILISLMSSGASTSTSTKTWLEESIAGVLIRFTIPSDKKLQLLSAELGVIPVLLKLLASESSVAKCRAAISLAQLSQNSVALRKSRKSRWTCMPPSADTFCQVHDGYCVVKSTFCLVKAGAVPPLIQILEGEEREADEAVLNALATLLQDEIWESGSHYMAKTSVVQAIIRVLESGTVKAQEKALWILERIFSIEEHRSQHGESAQAVLIDLAQNGHPRLKPTVAKVLARLQLLQDQSSYF >Potri.009G081850.1.v4.1 pep chromosome:Pop_tri_v4:9:7782708:7783016:1 gene:Potri.009G081850.v4.1 transcript:Potri.009G081850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081850.v4.1 MEGFPLLSVQERKEEDQSFRKDYKHESIKKLRNWRSEIAGSTDAIIGCWCFSFGHLLLTLLARADGAIFL >Potri.019G128725.1.v4.1 pep chromosome:Pop_tri_v4:19:15143667:15144857:-1 gene:Potri.019G128725.v4.1 transcript:Potri.019G128725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128725.v4.1 MLTICIPFLLCFELITDMYLAKIFIQVESKNFDIKMMLGVGTSFAAHSSCSSPDHTSRARESGMSRLVVFYPEETLNKFYFSLPDSWD >Potri.010G116600.1.v4.1 pep chromosome:Pop_tri_v4:10:13583676:13587911:-1 gene:Potri.010G116600.v4.1 transcript:Potri.010G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G116600.v4.1 MASRRLLSSLLRSSSRRSISKSTLSSSNPKVSASSTRRASPYGYLLNRAAEYATSAAAASPPSQPPPVQSEGKKGKVIDEFTGKGSIGHVCQVIGAVVDVKFDEGLPPILTALEVQGHSIRLVLEVAQHLGESVVRTIAMDGTEGLVRGQPVLNTGSPITVPVGRATLGRIINVIGEAIDEKGDLKTEHYLPIHREAPSFVEQATEQQVLVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDQQAESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEEHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKEGVQSFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKISKESAA >Potri.017G065800.6.v4.1 pep chromosome:Pop_tri_v4:17:7382912:7385520:1 gene:Potri.017G065800.v4.1 transcript:Potri.017G065800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065800.v4.1 MGSSQAAVSFLNNLARAAFGIGAAATVLNSSLYTVDGGQRAVLFDRFRGVIDTTIGEGTHFLIPWLQKPFIFDIRTRPHTFSSVSGTKDLQMVNLTLRVLSRPEVSRLPHIFQRLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSAMVRDSLIKRARDFDIVMDDVAITHLSYGVEFSRAVEQKQVAQQEAERSKFVVMKADQERRAAIIRAEGESDAAKLISEATTKAGMGLIELRRIEASREIASTLAKSSNVAYLPGGNNMLLALNANR >Potri.017G065800.2.v4.1 pep chromosome:Pop_tri_v4:17:7382867:7385520:1 gene:Potri.017G065800.v4.1 transcript:Potri.017G065800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065800.v4.1 MGSSQAAVSFLNNLARAAFGIGAAATVLNSSLYTVDGGQRAVLFDRFRGVIDTTIGEGTHFLIPWLQKPFIFDIRTRPHTFSSVSGTKDLQMVNLTLRVLSRPEVSRLPHIFQRLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSAMVRDSLIKRARDFDIVMDDVAITHLSYGVEFSRAVEQKQVAQQEAERSKFVVMKADQERRAAIIRAEGESDAAKLISEATTKAGMGLIELRRIEASREIASTLAKSSNVAYLPGGNNMLLALNANR >Potri.008G187700.3.v4.1 pep chromosome:Pop_tri_v4:8:13112974:13118152:1 gene:Potri.008G187700.v4.1 transcript:Potri.008G187700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187700.v4.1 MPYFKCTQEIGKPDLVPEEFHGSKSQAGVVPSTSTAEGISSSRVADNTGESSSSETCKSNYAKLPDYLRSCLDYSSFVSNRYPVEIGKLVRLLLAEGLIQDKPGDIMEDVAANVVKELIDLGMLQEVHDGTEVKVPPSYLQLSILKLEKQDFVSKTANSPVRLAIRDGGRDIFPNVEGRLVRSLFIITAERRHDSFGSTGCLSRAYMGNVCGMRFLLVLDLDGKIAYLPDEVGDLIHLRYLGLANSDLDELPRTLGNLQKLQTLDIRMCGKLSKLPIEVLHIQQLRHLLMSKSINDCEIRVSEGIGKMVNLHTLSGIYGGDGIARELSALTQIKDLGVKRVSEDHASELFAAIKKMESLASLSLEAEECFFEETNCALFPELDAFSPPPLLQEFYLHGGLIEIPMWLASMENLTRLTLSFSFLWENPTSVLQLLPKLKHLNLWEAYRAKHIGKEFCNAGGFPALETLTIASQFLVEWTEIATGAFPSLRSLSFRCCLSLIFFLKACRTFPHFKSCIWTPCHQTLQGD >Potri.008G187700.2.v4.1 pep chromosome:Pop_tri_v4:8:13112946:13118151:1 gene:Potri.008G187700.v4.1 transcript:Potri.008G187700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187700.v4.1 MPYFKCTQEIGKPDLVPEEFHGSKSQAGVVPSTSTAEGISSSRVADNTGESSSSETCKSNYAKLPDYLRSCLDYSSFVSNRYPVEIGKLVRLLLAEGLIQDKPGDIMEDVAANVVKELIDLGMLQEVHDGTEVKVPPSYLQLSILKLEKQDFVSKTANSPVRLAIRDGGRDIFPNVEGRLVRSLFIITAERRHDSFGSTGCLSRAYMGNVCGMRFLLVLDLDGKIAYLPDEVGDLIHLRYLGLANSDLDELPRTLGNLQKLQTLDIRMCGKLSKLPIEVLHIQQLRHLLMSKSINDCEIRVSEGIGKMVNLHTLSGIYGGDGIARELSALTQIKDLGVKRVSEDHASELFAAIKKMESLASLSLEAEECFFEETNCALFPELDAFSPPPLLQEFYLHGGLIEIPMWLASMENLTRLTLSFSFLWENPTSVLQLLPKLKHLNLWEAYRAKHIGKEFCNAGGFPALETLTIASQFLVEWTEIATGAFPSLRSLSFRCCLSLIFFLKACRTFPHFKSCIWTPCHQTLQGD >Potri.008G187700.5.v4.1 pep chromosome:Pop_tri_v4:8:13113068:13114984:1 gene:Potri.008G187700.v4.1 transcript:Potri.008G187700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187700.v4.1 MPYFKCTQEIGKPDLVPEEFHGSKSQAGVVPSTSTAEGISSSRVADNTGESSSSETCKSNYAKLPDYLRSCLDYSSFVSNRYPVEIGKLVRLLLAEGLIQDKPGDIMEDVAANVVKELIDLGMLQEVHDGTEVKVPPSYLQLSILKLEKQDFVSKTANSPVRLAIRDGGRDIFPNVEGRLVRSLFIITAERRHDSFGSTGCLSRAYMGNVCGMRFLLVLDLDGKIAYLPDEVGDLIHLRYLGLANSDLDELPRTLGNLQKLQTLDIRMCGKLSKLPIEVLHIQQLRHLLMSKSINDCEIRVSEGIGKMVNLHTLSGIYGGDGIARELSALTQIKDLGVKRVSEDHASELFAAIKKMESLASLSLEAEECFFEETNCALFPELDAFSPPPLLQEFYLHGGLIEIPMWLASMENLTRLTLSFSFLWENPTSVLQLLPKLKHLNLWEAYRAKHIGKEFCNAGGFPALETLTIASQFLVEWTEIATGAFPSLRSLSFRCCLSLIFFLKACRTFPHFKSCIWTPCHQTLQGD >Potri.008G187700.4.v4.1 pep chromosome:Pop_tri_v4:8:13112978:13118151:1 gene:Potri.008G187700.v4.1 transcript:Potri.008G187700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187700.v4.1 MPYFKCTQEIGKPDLVPEEFHGSKSQAGVVPSTSTAEGISSSRVADNTGESSSSETCKSNYAKLPDYLRSCLDYSSFVSNRYPVEIGKLVRLLLAEGLIQDKPGDIMEDVAANVVKELIDLGMLQEVHDGTEVKVPPSYLQLSILKLEKQDFVSKTANSPVRLAIRDGGRDIFPNVEGRLVRSLFIITAERRHDSFGSTGCLSRAYMGNVCGMRFLLVLDLDGKIAYLPDEVGDLIHLRYLGLANSDLDELPRTLGNLQKLQTLDIRMCGKLSKLPIEVLHIQQLRHLLMSKSINDCEIRVSEGIGKMVNLHTLSGIYGGDGIARELSALTQIKDLGVKRVSEDHASELFAAIKKMESLASLSLEAEECFFEETNCALFPELDAFSPPPLLQEFYLHGGLIEIPMWLASMENLTRLTLSFSFLWENPTSVLQLLPKLKHLNLWEAYRAKHIGKEFCNAGGFPALETLTIASQFLVEWTEIATGAFPSLRSLSFRCCLSLIFFLKACRTFPHFKSCIWTPCHQTLQGD >Potri.011G132400.1.v4.1 pep chromosome:Pop_tri_v4:11:16594278:16597600:1 gene:Potri.011G132400.v4.1 transcript:Potri.011G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G132400.v4.1 MASTGNAGWVSDSYFMDDITVHDPNFSGFSWPSPSPPVQQQQHHQTPFAPVVTASSPNFGEEIDGSFGDCDVHKEPNSKKRSRSESCSASSSKACREKLRRDRLNDKFMELGSILDPGRTPKTDKAAILVDAVRIVTQLRGEAQKLKDSNSSLQEKIKELKAEKNELRDEKQRLKAEKEKLEQQLKTMNSQPSFMPAPPAIPAAFTTQGQAPGNKLMPFISYPGVAMWQFMPPAAVDTSQDHVLRPPVA >Potri.017G008600.3.v4.1 pep chromosome:Pop_tri_v4:17:682326:686321:1 gene:Potri.017G008600.v4.1 transcript:Potri.017G008600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G008600.v4.1 MSCGNKSYELACENNDRPTLYLDMEKYYVQAINYSDFTIRLVDAAVQKDDCFSIPHHSFTEELLRSADGNYYYEIKWRDISVLTFLCCKNQMLNPPDYIMDASSCKNGSGTAYNSSSSSSISSPSCDVNMGGHSYVMVDGHIQDVPDLCRINLIYPVPENMTNMSYTDVHDILVYGFELSWFSFCCRIENRCNLDEATVLNNCSQENSFTITYHDINLSIYEDIEKFLQSHDNDLMPIRYTYSEIKKITNGFKDKLGEGGFGSVYKGKLCSGRSAAVKLLGKSKANGQDFINEVAIIGRIHHVNVVQLIGFTVEGLKRALIYEFMPNGSLEKYIFSREGSVPLSNEKMYEISLGVARGIEYLHQGCDMQILHFDIKPHNILLNDKFVPKISDFGLAKLYPTNNNIVPLTAARGTMGYMAPELCYKNIGGVSYKADVYSYRMLLMEMVGRRKNLNTLANHSSQIYFPSWVYDQVSEGKDIEVQEDAMEHEKKTTKKMIIVALWCIQLKPVDRPSMHKVVEMLESDVESLRIPPKPFITPYQMPGDDDKANHLLLPLMLNAPASVLQGTLLSLVLLCWLVRKRRCQFKNEAVIEKNGEKMAFCHDNVRRYPRSNRRVGVEELWAESR >Potri.016G121200.1.v4.1 pep chromosome:Pop_tri_v4:16:12595646:12598337:1 gene:Potri.016G121200.v4.1 transcript:Potri.016G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121200.v4.1 MSSKNEEINHGGRQFNHNLIKINVSHGPSHLELHVPAHSTFGHVKKVIEQQTGLESEKQRILFRGNEKEDGENLQEAGVRDNSKILVLEDVARKEMKEGEDTSTATMQENVEEVKGNDKEMSRAFRAIDETRKEIDKLAERVGALEVAVRGGTRVSEDEFGVFSELLMRQLLKLDAIEAEGEARVQRKAEVRRVQNFHEILDNLKARNPKPLGNSGNATVTTEWETFDSGLGSSSPPPSIPSSTRITQDWERLE >Potri.011G002200.1.v4.1 pep chromosome:Pop_tri_v4:11:130239:134574:-1 gene:Potri.011G002200.v4.1 transcript:Potri.011G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002200.v4.1 MTTAKMDSKESRGELQEPLVLSSTEPETNDHYHEADSRLENVLNDTNLSYFMRLGLASWIELKLLFRLAAPAVFVYLINNSLSLSTRIFSGHLGNLEFAAVSLANSGVQLFVYGLMLGMGSAVETLCGQSYGAQRFEMLGTFLQRATVVLTLTGIPLAAVYVFAKPILILLGEPTTVASAAAVFVYGLLPQIFAYAVNFPIQKFLQAQSIVTPSAIISAITLVFHLFLTWLAVYKLGWGLIGASLVLSLSWWIVVAAQFLFIVMSRRCKKTWTGFTSQAFNGLWDFLKLSTGSAVMLCLETWYFQILVLIAGLLKNPELALNSLSVCTSITGFLFMISVGFNAAASVRVSNELGAGNHKSAAFSVAMVTLVSFIIALIEAGAILSLRHVISYVFTGGETVANAVSELCPLLAVTLILNGVQPVLSGVAVGCGWQAFVAYVNVGCYYVVGIPFGCLIGFKFDLGVKGIWSGMIGGTLLQTLILLWVTFRTDWKKEVEKAKSRLHKWEDKRGNQV >Potri.001G150500.2.v4.1 pep chromosome:Pop_tri_v4:1:12572728:12576039:1 gene:Potri.001G150500.v4.1 transcript:Potri.001G150500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150500.v4.1 MALSTTLSTARPSISPLKPQNFTSTTRNPNLKPKTTSVFASLRSTPTSKPTISSNWALDSWKSKPARQLPDYPDPVELHSVLQTLTNFPPIVFAGEARKLEERIASAAVGGAFLLQGGDCAESFKEFNANNIRDTFRVLLQMGVVLTFGAQMPIIKVGRMAGQFAKPRSDPFEEKDGVKLPSYRGDNINADAFDKKSRTPDPQRLIRAYLQSVGTLNLLRAFATGGYAAMQRVSQWNLDFVEHSEQGDRFMELARRVDEALGFMAAAGLTIDHPVMNTTEFWTSHECLHLPYEQALTREDSTTGLYYDCSAHMLWVGERTRQLDGAHVEFLRGVSNPLGIKVSDKMDPKELVKLCEILNPHNRPGRLTIITRMGADNMRIKLPHLIRAVRHAGLIVTWVSDPMHGNTIKAPCGLKTRPFDSIRAELRAFFDVHDQEGSYPGGVHLEMTGQNVTECVGGSKTITFDDLNSRYHTHCDPRLNASQSLELAFAISERLRKKRLRAGDGILSGHNTGSVA >Potri.014G041900.1.v4.1 pep chromosome:Pop_tri_v4:14:2737590:2738985:1 gene:Potri.014G041900.v4.1 transcript:Potri.014G041900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G041900.v4.1 MGQIIPLLEFSKRLVVDHDFHVSFLVITTSNEASAAQDQLLQSPTFPSGLDVVYLPPIDVFSVTTDDMLMLTRLCVMVEESLKSLKSVLKELGELRAVVIDKFFTQAFDVCCELSIPAYLFYTSAIVMLTFSLSLPTLDCEVEGEFVDLAEPLKVPGCPPFPIEDLFDPLKNRKIDEYKWLLFHSSRFHLAAGIFVNSWKELESVTYKAITEDPFFKQIPTPPVLPVGPLIKGEEPLTARDIEYLAWLDKQPSDSVLFVALGSGGTLTADQLTELAWGIELSHQRFVFVARKPTNSSASAAVFTAGSDIGNPMTYLPEGFLERTQERRLVVPSWAPQTIINGVPLIAWPLFAEQRMNATILAEQVGIAVKPVVKPGESLVGREEVERVVRLVIEGEKGRDMRRRTGELKESAAKALEIGGSSHDALERVAKEWKAESNV >Potri.001G233100.3.v4.1 pep chromosome:Pop_tri_v4:1:25171671:25179140:1 gene:Potri.001G233100.v4.1 transcript:Potri.001G233100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233100.v4.1 MFPKSTHETFAQKMYQTYKAHKRFSKPKLARTDFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLDASRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLNTTEPHYIRCVKPNTVLKPGIFENINVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPDVLDGSDEKSACVAILDRMGLTGYQIGKTKVFLRAGQMAELDARRAEVLANAVRRIQRQIRTYLTRKEFITLRRATIHLQKLWRAQLARKLYEQMRKEAASIRIQKNVRAHEARTFYTNLQASAIVIQTGMRAMAARNEYRYRRRTKAAIIIQTRWKRYRSLSSYKQHKMATLALQCLWRARTARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLEFEKHLRLDLEEAKGQEIVKLKSSLHEMQGQLDEAHATIIQEKEEAKLAIEQAPPVIKEVPVVDNTKLELLKNQNDELENELSELKKKMEEFENKCSELEKESKARTKEAEESHLKTMTLQDTIERLELNLSNLESENQVLRQQALDASENEGLSEELKILKSKIAELESENELLRKQPAIVEQMTPEIILPRVKSFENGHGHQREEEPQMTKESGPPISLLTTQRSLTDKQQENHDVLIKCLMEDKRFDETRPVAACVAYKTLLQWRSFEAEKATIFDKIIHTIRSSIESQDNITDLAYWLSSTSTLLYLLQNTLKASNTKNVSSRSNRNSPATIFGRMALGFHSSSVGMGMSSGYSGMVGKGNEQLKVEAKYPALLFKQHLTAYVEKIYGLIRDSVKKEIGPFLNLCIQAPRSIRGSSKNIHSSIVAKQQSSNIHWQSIVNKLDLTLGIMSENHVPPVFMRKIFSQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLQELEQWCRKASDQFAGSSWDELRHIRQAVGFLVSHQKAQKSLEEITNELCPMLSIPQIYRIGTMFWDDKYGTQGLSSDVISKMRTLMAEDSIKMPNNTFLLDVDSSIPFSMEEIFGSLSTIRLSNMDPPPLLRQRSDFHFLLQETQTETA >Potri.001G233100.2.v4.1 pep chromosome:Pop_tri_v4:1:25167712:25179412:1 gene:Potri.001G233100.v4.1 transcript:Potri.001G233100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233100.v4.1 MMEQYKGAAFGELSPHLFAVADTCYRAMINDQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSAGNEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVERFKLGDPRTFHYLNQTNCHEVANVDDAREYLETRNAMDIVGISQDEQDAIFRIVAAILHLGNINFVKGKEVDSSKLKDDKSHYHLRTAAELLMCDEKALEDSLCKRVIVTPDGNITKPLDPASAVLSRDAMAKTVYSRLFDWIVDKINSSIGQDPTATSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTDFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLDASRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLNTTEPHYIRCVKPNTVLKPGIFENINVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPDVLDGSDEKSACVAILDRMGLTGYQIGKTKVFLRAGQMAELDARRAEVLANAVRRIQRQIRTYLTRKEFITLRRATIHLQKLWRAQLARKLYEQMRKEAASIRIQKNVRAHEARTFYTNLQASAIVIQTGMRAMAARNEYRYRRRTKAAIIIQTRWKRYRSLSSYKQHKMATLALQCLWRARTARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLEFEKHLRLDLEEAKGQEIVKLKSSLHEMQGQLDEAHATIIQEKEEAKLAIEQAPPVIKEVPVVDNTKLELLKNQNDELENELSELKKKMEEFENKCSELEKESKARTKEAEESHLKTMTLQDTIERLELNLSNLESENQVLRQQALDASENEGLSEELKILKSKIAELESENELLRKQPAIVEQMTPEIILPRVKSFENGHGHQREEEPQMTKESGPPISLLTTQRSLTDKQQENHDVLIKCLMEDKRFDETRPVAACVAYKTLLQWRSFEAEKATIFDKIIHTIRSSIESQDNITDLAYWLSSTSTLLYLLQNTLKASNTKNVSSRSNRNSPATIFGRMALGFHSSSVGMGMSSGYSGMVGKGNEQLKVEAKYPALLFKQHLTAYVEKIYGLIRDSVKKEIGPFLNLCIQAPRSIRGSSKNIHSSIVAKQQSSNIHWQSIVNKLDLTLGIMSENHVPPVFMRKIFSQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLQELEQWCRKASDQFAGSSWDELRHIRQAVGFLVSHQKAQKSLEEITNELCPMLSIPQIYRIGTMFWDDKYGTQGLSSDVISKMRTLMAEDSIKMPNNTFLLDVDSSIPFSMEEIFGSLSTIRLSNMDPPPLLRQRSDFHFLLQETQTETA >Potri.001G233100.1.v4.1 pep chromosome:Pop_tri_v4:1:25166272:25179412:1 gene:Potri.001G233100.v4.1 transcript:Potri.001G233100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233100.v4.1 MGTPVNIIVGSHVWIEDPEEAWMDGEVTEIKGRDATIITTNGKTVVADISSIYPKDTEAPPAGVDDMTKLAYLHEPGVLYNLACRFALNEIYTYTGNILIAVNPFRRLPHLYNIHMMEQYKGAAFGELSPHLFAVADTCYRAMINDQGSQSILVSGESGAGKTETTKMLMRYLAFMGGRSAGNEGRTVEQQVLESNPVLEAFGNAKTVKNNNSSRFGKFVEIQFDKNGKISGAAVRTYLLERSRVCQVSDPERNYHCFYMLCAAPPEDVERFKLGDPRTFHYLNQTNCHEVANVDDAREYLETRNAMDIVGISQDEQDAIFRIVAAILHLGNINFVKGKEVDSSKLKDDKSHYHLRTAAELLMCDEKALEDSLCKRVIVTPDGNITKPLDPASAVLSRDAMAKTVYSRLFDWIVDKINSSIGQDPTATSIIGVLDIYGFESFKINSFEQLCINLTNEKLQQHFNQHVFKMEQEEYTREEINWSYVEFVDNQDVLDLIEKKPGGIIALLDEACMFPKSTHETFAQKMYQTYKAHKRFSKPKLARTDFTINHYAGDVTYQADQFLDKNKDYVVAEHQALLDASRCPFVANLFPPLPEETSKQSKFSSIGTRFKQQLQALMETLNTTEPHYIRCVKPNTVLKPGIFENINVLNQLRCGGVLEAIRISCAGYPTKRTFDEFLDRFGMLAPDVLDGSDEKSACVAILDRMGLTGYQIGKTKVFLRAGQMAELDARRAEVLANAVRRIQRQIRTYLTRKEFITLRRATIHLQKLWRAQLARKLYEQMRKEAASIRIQKNVRAHEARTFYTNLQASAIVIQTGMRAMAARNEYRYRRRTKAAIIIQTRWKRYRSLSSYKQHKMATLALQCLWRARTARKELRKLRMAARETGALKEAKDKLEKRVEELTWRLEFEKHLRLDLEEAKGQEIVKLKSSLHEMQGQLDEAHATIIQEKEEAKLAIEQAPPVIKEVPVVDNTKLELLKNQNDELENELSELKKKMEEFENKCSELEKESKARTKEAEESHLKTMTLQDTIERLELNLSNLESENQVLRQQALDASENEGLSEELKILKSKIAELESENELLRKQPAIVEQMTPEIILPRVKSFENGHGHQREEEPQMTKESGPPISLLTTQRSLTDKQQENHDVLIKCLMEDKRFDETRPVAACVAYKTLLQWRSFEAEKATIFDKIIHTIRSSIESQDNITDLAYWLSSTSTLLYLLQNTLKASNTKNVSSRSNRNSPATIFGRMALGFHSSSVGMGMSSGYSGMVGKGNEQLKVEAKYPALLFKQHLTAYVEKIYGLIRDSVKKEIGPFLNLCIQAPRSIRGSSKNIHSSIVAKQQSSNIHWQSIVNKLDLTLGIMSENHVPPVFMRKIFSQVFSFINVQLFNSLLLRRECCSFSNGEYVKAGLQELEQWCRKASDQFAGSSWDELRHIRQAVGFLVSHQKAQKSLEEITNELCPMLSIPQIYRIGTMFWDDKYGTQGLSSDVISKMRTLMAEDSIKMPNNTFLLDVDSSIPFSMEEIFGSLSTIRLSNMDPPPLLRQRSDFHFLLQETQTETA >Potri.016G069566.1.v4.1 pep chromosome:Pop_tri_v4:16:5009735:5010245:1 gene:Potri.016G069566.v4.1 transcript:Potri.016G069566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069566.v4.1 MLLSNSKHRKTEIIGFGVILISWYADSHSENWPTCQIIDSWSCVEVYCQESDFDHHVNFLQLLYVVIDGSLGGLWHGVKNALGILHLAVDFFKLLLLV >Potri.015G025100.2.v4.1 pep chromosome:Pop_tri_v4:15:1876867:1880516:1 gene:Potri.015G025100.v4.1 transcript:Potri.015G025100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G025100.v4.1 MLLIKHDKSFHNLYVLIVLLLLMKLAPGFISGVKGATFGCIERERQALLKFKEDLIDDFGLLSTWGSEEEKRDCCKWRGVGCSNRTGHVTHLDLHRENYNGYYYQLSGNISNSLLELQHLSYLNLNGSRFGGSSFPYFIGSLKKLRYLDLSSIHVDGTLSNQFWNLSRLQYLDLSYIQGVNFTSLDFLSNFFSLQHLDLRGNDLSETIDWLQVLNRLPRLHELLLSSCSLSIIGSPSLSLVNSSESLAIVDFSFNDLSSSIFHWLANFGNSLIDLDLSHNNLQGSIPDVFTNMTSLRTLDLSSNQLQGDLSSFGQMCSLNKLCISENNLIGELSQLFGCVENSLEILQLDRNQLYGSLPDITRFTSMRELNLSGNQLNGSLPERFSQRSELVLLYLNDNQLTGSLTDVAMLSSLRELGISNNRLDGNVSESIGSLFQLEKLHVGGNSLQGVMSEAHFSNLSKLTVLDLTDNSLALKFESNWAPTFQLDRIFLSSCDLGPPFPQWLRNQTNFMELDISGSRISDTIPNWFWNLSNSKLELLDLSHNKMSGLLPDFSSKYANLRSIDLSFNQFEGPLPHFSSDTTSTLFLSNNKFSASFRCDIGSDILRVLDLSNNLLTGSIPDCLRGLVVLNLASNNFSGKIPSSIGSMLELQTLSLHNNSFVGELPLSLRSCSSLVFLDLSSNKLRGEIPGWIGESMPSLKVLSLQSNGFSGSIPPNLCHLSNILILDLSLNNISGIIPKCLNNLTSMVQKTESESNNAVPSRSYVLESRYPPNTNGRSYRSYNLSEIGPVIVYVEYMNEIRVGWKGRADVYRSTLGLLRILDFSGNKLQGEIPEEITGLLLLVALNLSGNNLTGEIPQKIGQLKQLESLDLSGNQLSGVIPITMADLTFLSYLNLSNNHLSGRIPSSTQLQGFNASQFTGNHALCGQPLLQKCPGDETNQSPPANDDNRGKEVVADEFMKWFCISMGIGFSVFFWGVSGALLLKRSWRHAYFRFLDESWDWLYVKVAVRKARIQREFQRLQEHVLA >Potri.005G123100.1.v4.1 pep chromosome:Pop_tri_v4:5:9141903:9144397:1 gene:Potri.005G123100.v4.1 transcript:Potri.005G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123100.v4.1 MTLGLVNANPVVHAKKERVARTEDLHCDDSVDPLDIYDFVRDIRDPEHPYSLEQLSVLSEESITVDDKLGRILITFTPTIQHCSMATVIGLCLRVKLQECFPPHYKVDIKVAPGSHADEEAVNKQLNDKERVAAALENPNLRQLVDECLYSNEL >Potri.005G258900.1.v4.1 pep chromosome:Pop_tri_v4:5:24879174:24882270:1 gene:Potri.005G258900.v4.1 transcript:Potri.005G258900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G258900.v4.1 MRKDCQPPSSGATVSKLPACFETKPLVATLLALTLVMLLWNLPPYYQNLLSTTTRPSCSAPETTVSISNTSSSFTSTSLSDQKYLSSSSSSSSNADPNKRIFQAYGNAAALFVQMGAYRGGPTTFAVVGLASKPIHVFRLPWYKCEWISNNGSSIRAKAYKMLPDWGYGRVYTVVVVNCTFPVNPNQDNAGGRLMLNAYYDESQRKYEKFMALEELPGSYNESKFRPPYQYEYLYCGSSLYGNLSASRFREWMAYHAWFFGPSSHFVFHDAGGVSPEVRAALDPWVRAGRATVQDIRGQAEFDGYYYNQFLVVNDCLHRYRYSANWTFYFDVDEYIYLPEGNTLESVLKDFSNYTQFTIEQNPMSSALCFNDSTQDYPRQWGFEKLLFRESRTGIRRDRKYAIQAKNAYATGVHMSENVIGKTLHQTETKIRYYHYHNSIQVPGELCREFLPLSAKNNVTWYNGLPYVYDDNMKKLASTIKDFERNTIGNVQAYS >Potri.001G367500.1.v4.1 pep chromosome:Pop_tri_v4:1:38366850:38367353:1 gene:Potri.001G367500.v4.1 transcript:Potri.001G367500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367500.v4.1 MADSDNDSGGQNPTSTNELFSPREMDRFLPVANVSRIMKKALPANAKISKEAKETVQECVSEFISFITGEASDKCQREKRKTINGDDLLWAMTTLGFEEYVEPLKVYLQRFREMEGEKNTVARDRDAPSNGSGPGAEGFSGYVYGSGAGFFNQVGGGGAGDSLGRLR >Potri.010G152900.1.v4.1 pep chromosome:Pop_tri_v4:10:16227830:16229131:1 gene:Potri.010G152900.v4.1 transcript:Potri.010G152900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G152900.v4.1 MPTVAPLIIFKLSIFIIVTLQPPPALSLTPCRTSCGSIAINYPFGIDDGCGAPQFRSMLNCSTDLFFLTPSGGYKVQHIDYDKKTMTIYDPAMSTCSILQPHHDFIMTDIQSVTIPPTPDTVFALLNCSIDSPVLNHYKNLCFDFSGHSCDELYGACNAFRVFHLLTNSSPPCCFTGYDTVKFMRMNILDCTHYTTVINTDNLRGIGPLDWVYGIKLSFTVPETGCERCSQSGGTCGYDTETEVMTCLCSSSTNYTRECAGGSFTAGDHRSSHSPWTFFHVAILLVLSLLLVI >Potri.017G052501.1.v4.1 pep chromosome:Pop_tri_v4:17:3923819:3924148:1 gene:Potri.017G052501.v4.1 transcript:Potri.017G052501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G052501.v4.1 MRKIQESIRSLATKLKLRKQKKGFEKQEPIRCNHFPVYVGDQELDEKLNRYDVPVACTSSIIFQALLRQFEDILRVEEGPITISCSKQMFESVLKLSLEESSIAEVRDS >Potri.018G093800.1.v4.1 pep chromosome:Pop_tri_v4:18:11403507:11407534:-1 gene:Potri.018G093800.v4.1 transcript:Potri.018G093800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G093800.v4.1 MSHNSDTIPLHASSQSDIDEIENLINSSIQSSPSTVLPARPPSPPRIPVSASPFIQSNLPPPQPKPQPTIQKPPSIFPSAPLPPLPPSSGNSAGGNFSAAGFGSPPNTLTEPVWDTVKRDLSRIVSNLKLVVFPNPYREDPGKALRDWDLWGPFFFIVFLGLTLSWSASVKKSEVFAVAFALLAAGAVILTLNVLLLGGHIIFFQSLSLLGYCLFPLDVGALVCMLKDNVIIKVIVVSVALAWSSWAAYPFMSSAVNPRRKALSLYPVFLMYVSVGFLIIAID >Potri.008G195800.3.v4.1 pep chromosome:Pop_tri_v4:8:13814291:13819168:-1 gene:Potri.008G195800.v4.1 transcript:Potri.008G195800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195800.v4.1 MIELCAKPCLSECNGDRSLANRTPPKHREKDAKGTPKQDENSMLGSRGHETPVKGTPTGRKTQTKVSGRVVEVKQKDPSSAARHVNLLRLSRIAKSNLQKENEKENYRRPSPNGFENDSEVKTENTDMELDFNENAEDEIHDEPDGSDNSTSTTEEVVNNIPQLETFPVINAPASDESQTNDQDSSSEQVDMVPSPVSASPQLRNQRIKEGLGSAIEFDSSKSSNNLSQVLWHSSDLSVRPVMPSRKADKVSDVIPSLPFEALQPSDFVKMSKEQLDSLTNRIICILNGNTSIGEKQNVIRYLEMLSSNADTANILTNGPIMLLLVKMLRLSKTSALRVQLASLIGLLIRHSTFIEDDLANSGILGSLTDGLRDKQEKVRRFSMAALGELLFYISTQNDQSKDNNPPESSSKDSRSAFGWQVPNSLISLVSSVLRKGEDDITQLYALRTIENICSQGGHWAGRFTSQDVISNICYIYRAAGKQESIRLTAGSCLVRLARFNPPSIQSVMEKLSFKDTVSALGKGSPREQQISLNLLNMAMLGSHMFTNIGRHLSNLAEDKNLVPSLVSLTEQGGEILRGKALLLIALLCKNGRRWLSHFFCNPRLLSAVDRLAKEKDIYLQQCLDAFVHVVASTIPSLLDIIAGDIQQMMGGRRQGHISAIAHRIAPKTNVHMFPVVLHLLGSSSFKLKVVNHQVMQQLANLVKVLETPFPGRDDFQITLLRVLESVAEERLVILESPNIFIGEILPGLAVLYKGNKDGDARFLCLKILFDVMVIFLNEPLEDEKGSEALKSISNIHFLPLYPTFIEDEDPIPMYAQKLLVMLIEYDYIKISDILHLKTVSQCFEFLLGDLSSANVNNVQLCLAMASAPEMESKLLSQLKVVRRIGNLLEFVCAKDMEDFLEPTLGLCRAFLLCSVGGKRGLAYKKEPALLNDSSYEASTAADQLQCIRDITDFGSNVGVLLVLSGSDEANVADIASECVLLVLKAAPREATTGFLTNLPKVSAILESWRKGVPHLLLQRILHALAYSCRQYLSHAMILSIPVNEISRIEVILLELKKSSNPDLANAALLVVSELQRLHRCI >Potri.008G195800.2.v4.1 pep chromosome:Pop_tri_v4:8:13814391:13822011:-1 gene:Potri.008G195800.v4.1 transcript:Potri.008G195800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195800.v4.1 MNHFHIYEAIGRGKYSSVYKGRKKKTIEYFAIKSVDKSQKSKVLHEVRMLHSLDHPNVLKFYSWYETSSHLWLVLEYCVGGDLMTLLRQDSQLPEDSIHDLSHDVVRALQYLHSKGIIYCDLKPSNILLDENGHTKLCDFGLARKLSDISKTPSSMLPQAKRGTPCYMAPELFEDGGAHSYASDFWALGCVLYEGYAGRPPFVGREFTQLVKSILSDPTPPLPGNPSRPFVNLVNSLLVKDPAERIKWSELCGHAFWRTKFAPVHLPPQPAFDNMIELCAKPCLSECNGDRSLANRTPPKHREKDAKGTPKQDENSMLGSRGHETPVKGTPTGRKTQTKVSGRVVEVKQKDPSSAARHVNLLRLSRIAKSNLQKENEKENYRRPSPNGFENDSEVKTENTDMELDFNENAEDEIHDEPDGSDNSTSTTEEVVNNIPQLETFPVINAPASDESQTNDQDSSSEQVDMVPSPVSASPQLRNQRIKEGLGSAIEFDSSKSSNNLSQVLWHSSDLSVRPVMPSRKADKVSDVIPSLPFEALQPSDFVKMSKEQLDSLTNRIICILNGNTSIGEKQNVIRYLEMLSSNADTANILTNGPIMLLLVKMLRLSKTSALRVQLASLIGLLIRHSTFIEDDLANSGILGSLTDGLRDKQEKVRRFSMAALGELLFYISTQNDQSKDNNPPESSSKDSRSAFGWQVPNSLISLVSSVLRKGEDDITQLYALRTIENICSQGGHWAGRFTSQDVISNICYIYRAAGKQESIRLTAGSCLVRLARFNPPSIQSVMEKLSFKDTVSALGKGSPREQQISLNLLNMAMLGSHMFTNIGRHLSNLAEDKNLVPSLVSLTEQGGEILRGKALLLIALLCKNGRRWLSHFFCNPRLLSAVDRLAKEKDIYLQQCLDAFVHVVASTIPSLLDIIAGDIQQMMGGRRQGHISAIAHRIAPKTNVHMFPVVLHLLGSSSFKLKVVNHQVMQQLANLVKVLETPFPGRDDFQITLLRVLESVAEERLVILESPNIFIGEILPGLAVLYKGNKDGDARFLCLKILFDVMVIFLNEPLEDEKGSEALKSISNIHFLPLYPTFIEDEDPIPMYAQKLLVMLIEYDYIKISDILHLKTVSQCFEFLLGDLSSANVNNVQLCLAMASAPEMESKLLSQLKVVRRIGNLLEFVCAKDMEDFLEPTLGLCRAFLLCSVGGKRGLAYKKEPALLNDSSYEASTAADQLQCIRDITDFGSNVGVLLVLSGSDEANVADIASECVLLVLKAAPREATTGFLTNLPKVSAILESWRKGVPHLLLQRILHALAYSCRQYLSHAMILSIPVNEISRIEVILLELKKSSNPDLANAALLVVSELQRLHRCI >Potri.008G195800.1.v4.1 pep chromosome:Pop_tri_v4:8:13814294:13822044:-1 gene:Potri.008G195800.v4.1 transcript:Potri.008G195800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195800.v4.1 MNHFHIYEAIGRGKYSSVYKGRKKKTIEYFAIKSVDKSQKSKVLHEVRMLHSLDHPNVLKFYSWYETSSHLWLVLEYCVGGDLMTLLRQDSQLPEDSIHDLSHDVVRALQYLHSKGIIYCDLKPSNILLDENGHTKLCDFGLARKLSDISKTPSSMLPQAKRGTPCYMAPELFEDGGAHSYASDFWALGCVLYEGYAGRPPFVGREFTQLVKSILSDPTPPLPGNPSRPFVNLVNSLLVKDPAERIKWSELCGHAFWRTKFAPVHLPPQPAFDNMIELCAKPCLSECNGDRSLANRTPPKHREKDAKGTPKQDENSMLGSRGHETPVKGTPTGRKTQTKVSGRVVEVKQKDPSSAARHVNLLRLSRIAKSNLQKENEKENYRRPSPNGFENDSEVKTENTDMELDFNENAEDEIHDEPDGSDNSTSTTEEVVNNIPQLETFPVINAPASDESQTNDQDSSSEQVDMVPSPVSASPQLRNQRIKEGLGSAIEFDSSKSSNNLSQVLWHSSDLSVRPVMPSRKADKVSDVIPSLPFEALQPSDFVKMSKEQLDSLTNRIICILNGNTSIGEKQNVIRYLEMLSSNADTANILTNGPIMLLLVKMLRLSKTSALRVQLASLIGLLIRHSTFIEDDLANSGILGSLTDGLRDKQEKVRRFSMAALGELLFYISTQNDQSKDNNPPESSSKDSRSAFGWQVPNSLISLVSSVLRKGEDDITQLYALRTIENICSQGGHWAGRFTSQDVISNICYIYRAAGKQESIRLTAGSCLVRLARFNPPSIQSVMEKLSFKDTVSALGKGSPREQQISLNLLNMAMLGSHMFTNIGRHLSNLAEDKNLVPSLVSLTEQGGEILRGKALLLIALLCKNGRRWLSHFFCNPRLLSAVDRLAKEKDIYLQQCLDAFVHVVASTIPSLLDIIAGDIQQMMGGRRQGHISAIAHRIAPKTNVHMFPVVLHLLGSSSFKLKVVNHQVMQQLANLVKVLETPFPGRDDFQITLLRVLESVAEERLVILESPNIFIGEILPGLAVLYKGNKDGDARFLCLKILFDVMVIFLNEPLEDEKGSEALKSISNIHFLPLYPTFIEDEDPIPMYAQKLLVMLIEYDYIKISDILHLKTVSQCFEFLLGDLSSANVNNVQLCLAMASAPEMESKLLSQLKVVRRIGNLLEFVCAKDMEDFLEPTLGLCRAFLLCSVGGKRGLAYKKEPALLNDSSYEASTAADQLQCIRDITDFGSNVGVLLVLSGSDEANVADIASECVLLVLKAAPREATTGFLTNLPKVSAILESWRKGVPHLLLQRILHALAYSCRQYLSHAMILSIPVNEISRIEVILLELKKSSNPDLANAALLVVSELQRLHRCI >Potri.007G143100.4.v4.1 pep chromosome:Pop_tri_v4:7:15214951:15221234:1 gene:Potri.007G143100.v4.1 transcript:Potri.007G143100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G143100.v4.1 MPKEKRKQSKDEENDSSSRKRRKADLMTAMTEPDSSRSRPQGAYDVFLSFRGEDTRKTFTDHLYTALVQAGIHTFRDDDELPRGKEISDHLLEAIRESKISTVVFSKGYASSRWCLNELVEILKCRKRKTGQIALPIFYDIDPSDVRKQTGSFAEAFVKHEERSKEKVKEWRETLEEAGNLSGWNLKDMANGHEAKFIQEIIKDVLTKLDPKYLHVPKHLVGIDPLAHNIFHFLSTATDDVRIVGIHGMPGIGKTTIAKVVFNQLCYDCGYGFEGSSFLLNVKESESKDMVLLQ >Potri.017G045100.1.v4.1 pep chromosome:Pop_tri_v4:17:3168725:3169976:-1 gene:Potri.017G045100.v4.1 transcript:Potri.017G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045100.v4.1 MGLKGFAEGGVASIIAGASTHPLDLIKVRMQLQGESHIPNLSSVQSYRPAFTLSSTANISLPTTLELPPPPRVGPLSIGVRIIQSEGAAALFSGVSATILRQTLYSTTRMGLYDVLKHKWTDPDTNTMPLVRKIVAGLISGAVGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVVDALSQMSKQEGVASLWRGSGLTVNRAMIVTASQLASYDQAKEMILEKGLMSDGIGTHVAASFLAGFVASVASNPIDVIKTRVMNMKVEPGVEPPYKGALDCAMKTIKAEGPMALYKGFIPTISRQGPFTVVLFVTLEQVRKLLKDF >Potri.006G107200.1.v4.1 pep chromosome:Pop_tri_v4:6:8308152:8309087:-1 gene:Potri.006G107200.v4.1 transcript:Potri.006G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G107200.v4.1 MLRRSATPDMDLPQKALQIKQDDKFFSRLLSRESSMANPSFRVYYGGVSVGVPFVWESQPGTPKYTFCENTLPPLTPPPSYYRNSDKKTIKKHSRSSLLHLLFSRNNPKKNNVSTSATNLSSTPSSASWSSLNSSSLLTPRKYHERSRYSSRGSSFDSRVFEDVEESHMGSPTSTLCFGISGRNASTGGLRGCYGVW >Potri.004G217300.1.v4.1 pep chromosome:Pop_tri_v4:4:22304686:22306322:-1 gene:Potri.004G217300.v4.1 transcript:Potri.004G217300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G217300.v4.1 MTRTRNVIVATGLLAFAAAGLAFPFYMASSRGKPVIDPSKPLPPQATFRGPYINTGSRDIGPDPGPYPKK >Potri.003G173700.4.v4.1 pep chromosome:Pop_tri_v4:3:18154212:18159874:1 gene:Potri.003G173700.v4.1 transcript:Potri.003G173700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173700.v4.1 MQTKKRISGRNAPREPASPRISRAQKKLLENLQVAEKKVSELITSSARKQKCGSLPKKNEEPISATNSNSRYNNVHQKASNASTQCDVVDPKGCDEGSAQCVLQTIFSPSFHISKIAGGEISGGVDLIKLFRTGDSRVDMLDGHVTQETFKSSFGEHNESTLTSLNTCHSDMELEKNISAKNSYGDRCGDQNSKLECVDEHGQDSMSTDVCLEEDDYEDFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSALEPCNDADFTFPVNFNLQEHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVFVEGNYLKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFEDRSDKELLSLLPFLESLVGVEDVRPLIAKKYNLRQKIAAAVYPPLNSNRGDPFER >Potri.003G173700.1.v4.1 pep chromosome:Pop_tri_v4:3:18154145:18159982:1 gene:Potri.003G173700.v4.1 transcript:Potri.003G173700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173700.v4.1 MQTKKRISGRNAPREPASPRISRAQKKLLENLQVAEKKVSELITSSARKQKCGSLPKKNEEPISATNSNSRYNNVHQKASNASTQCDVVDPKGCDEGSAQCVLQTIFSPSFHISKIAGGEISGGVDLIKLFRTGDSRVDMLDGHVTQETFKSSFGEHNESTLTSLNTCHSDMELEKNISAKNSYGDRCGDQVLSTDVTTVNSYSIAASNGVGLASDVSTIYLALKNSKLECVDEHGQDSMSTDVCLEEDDYEDFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSALEPCNDADFTFPVNFNLQEHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVFVEGNYLKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFEDRSDKELLSLLPFLESLVGVEDVRPLIAKKYNLRQKIAAAVYPPLNSNRGDPFER >Potri.003G173700.3.v4.1 pep chromosome:Pop_tri_v4:3:18154143:18159827:1 gene:Potri.003G173700.v4.1 transcript:Potri.003G173700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173700.v4.1 MNLGSLPKKNEEPISATNSNSRYNNVHQKASNASTQCDVVDPKGCDEGSAQCVLQTIFSPSFHISKIAGGEISGGVDLIKLFRTGDSRVDMLDGHVTQETFKSSFGEHNESTLTSLNTCHSDMELEKNISAKNSYGDRCGDQVLSTDVTTVNSYSIAASNGVGLASDVSTIYLALKNSKLECVDEHGQDSMSTDVCLEEDDYEDFDDFDPYLFIKNLPELSSVVPTFRPMLLPKQTRSCPPTTLVLDLDETLVHSALEPCNDADFTFPVNFNLQEHTVFVRCRPYLRDFMERVSSLFEIIIFTASQSIYAEQLLNVLDPKRRIFRHRVFRESCVFVEGNYLKDLSVLGRDLARVIIIDNSPQAFGFQVDNGIPIESWFEDRSDKELLSLLPFLESLVGVEDVRPLIAKKYNLRQKIAAAVYPPLNSNRGDPFER >Potri.T005401.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:663:6017:1 gene:Potri.T005401.v4.1 transcript:Potri.T005401.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T005401.v4.1 MAAELVSSATSDKLTEVDWTKNIEICELVARDERQARDVVKAIKKRLGSKNANTQLYAVMLLEMLMNNIGEQVHRQVIDTGILPILVKIVKKKTELPVRERIFLLLDATQTALGGASGKFPQYYSAYYDLVCAGVQFPQRPRERPSNHQATQESKKNTLNGELAAARHEVGAHPVPVEPQVVPESSIIQKASNALEVLKEVLDAVDSQNPEGAKDEFTLDLVEQCSFQKQRVMHLVMTSRDEKLVSQAIELNEQLQKVLARHDSLLSGRSTVSDTTTISDRTTTTANHFNHEESEEEEEPEQLFRRLRKGKACARPEDEGNSEERLPLGLLGSTIPGDRLNRPLIRPLPSEQPQDPNANCAPVVIPPPPAKHMERQKFFQEKKADGSAVSGHMRGLSLHSRNASSSCSGSIDFSD >Potri.T005401.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:620:5992:1 gene:Potri.T005401.v4.1 transcript:Potri.T005401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T005401.v4.1 MAAELVSSATSDKLTEVDWTKNIEICELVARDERQARDVVKAIKKRLGSKNANTQLYAVMLLEMLMNNIGEQVHRQVIDTGILPILVKIVKKKTELPVRERIFLLLDATQTALGGASGKFPQYYSAYYDLVCAGVQFPQRPRERPSNHQATQESKKNTLNGELAAARHEVGAHPVPVEPQVVPESSIIQKASNALEVLKEVLDAVDSQNPEGAKDEFTLDLVEQCSFQKQRVMHLVMTSRDEKLVSQAIELNEQLQKVLARHDSLLSGRSTVSDTTTISDRTTTTANHFNHEESEEEEEPEQLFRRLRKGKACARPEDEGNSEERLPLGLLGSTIPGDRLNRPLIRPLPSEQPQDPNANCAPVVIPPPPAKHMERQKFFQEKKADGSAVSGHMRGLSLHSRNASSSCSGSIDFSD >Potri.013G088400.3.v4.1 pep chromosome:Pop_tri_v4:13:8709105:8718379:1 gene:Potri.013G088400.v4.1 transcript:Potri.013G088400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088400.v4.1 MMRLRTYAGVSSVATLAIIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCILWQLTKRLFLGSLREAEVERLNEQSWRELMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVNCLSHVRIVSFLGFLLLVDSLFLYSSVKHLLETRQASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWERKPVYTFYMELVRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYIRYRKITSNMNDRFPDATAEELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASTAGGQHGAQPDTHQQGNYGIGTATTAAQISAGGVADDGVADDSLIQNQVRLQAAAAAASLYEKSFTYPSANTPVWSPGYALIPQVQRPLADRTTVESGGEQGFIGQQHLQFSIHGGPSNLSFPQLPHCVFIPFQAPSASVYQGERAGSTPISELEAQKNFLQHQIEVLQSQLQLLQKPETEESTLLAPTTLPDNKDKTAGSSSSVSESGRHAEIGETDALLMHNPQVTTAL >Potri.013G088400.6.v4.1 pep chromosome:Pop_tri_v4:13:8709174:8718360:1 gene:Potri.013G088400.v4.1 transcript:Potri.013G088400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088400.v4.1 MMRLRTYAGVSSVATLAIIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCILWQLTKRLFLGSLREAEVERLNEQSWRELMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVNCLSHVRIVSFLGFLLLVDSLFLYSSVKHLLETRQASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWERKPVYTFYMELVRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYIRYRKITSNMNDRFPDATAEELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASTAGGQHGAQPDTHQQGIGTATTAAQISAGGVADDGVADDSLIQNQVRLQAAAAAASLYEKSFTYPSANTPVWSPGYALIPQVQRPLADRTTVESGGEQGFIGQQHLQFSIHGGPSNLSFPQLPHCVFIPFQAPSASVYQGERAGSTPISELEAQKNFLQHQIEVLQSQLQLLQKPETEESTLLAPTTLPDNKDKTAGSSSSVSESGRHAEIGETDALLMHNPQVTTAL >Potri.013G088400.5.v4.1 pep chromosome:Pop_tri_v4:13:8709199:8718278:1 gene:Potri.013G088400.v4.1 transcript:Potri.013G088400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088400.v4.1 MMRLRTYAGVSSVATLAIIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCILWQLTKRLFLGSLREAEVERLNEQSWRELMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVNCLSHVRIVSFLGFLLLVDSLFLYSSVKHLLETRQASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWERKPVYTFYMELVRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYIRYRKITSNMNDRFPDATAEELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASTAGGQHGAQPDTHQQGIGTATTAAQISAGGVADDGVADDSLIQNQVRLQAAAAAASLYEKSFTYPSANTPVWSPGYALIPQVQRPLADRTTVESGGEQGFIGQQHLQFSIHGGPSNLSFPQLPHCVFIPFQAPSASVYQGERAGSTPISELEAQKNFLQHQIEVLQSQLQLLQKPETEESTLLAPTTLPDNKDKTAGSSSSVSESGRHAEIGETDALLMHNPQVTTAL >Potri.013G088400.4.v4.1 pep chromosome:Pop_tri_v4:13:8709105:8718380:1 gene:Potri.013G088400.v4.1 transcript:Potri.013G088400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G088400.v4.1 MMRLRTYAGVSSVATLAIIYHAFNSRGQFYPAMVYLSTSKISLVLLLNMGLVIMCILWQLTKRLFLGSLREAEVERLNEQSWRELMEILFAITIFRQDFSVTFLAMVTALLLIKALHWLAQKRVEYIETTPSVNCLSHVRIVSFLGFLLLVDSLFLYSSVKHLLETRQASVSLFFSFEYMILATTTVSTFVKYVFYVSDMLMEGQWERKPVYTFYMELVRDLLHLSMYLCFFLVIFMNYGVPLHLIRELYETFRNFKIRVADYIRYRKITSNMNDRFPDATAEELNASDATCIICREEMTTAKKLICGHLFHVHCLRSWLERQHTCPTCRALVVPPENGASTAGGQHGAQPDTHQQGIGTATTAAQISAGGVADDGVADDSLIQNQVRLQAAAAAASLYEKSFTYPSANTPVWSPGYALIPQVQRPLADRTTVESGGEQGFIGQQHLQFSIHGGPSNLSFPQLPHCVFIPFQAPSASVYQGERAGSTPISELEAQKNFLQHQIEVLQSQLQLLQKPETEESTLLAPTTLPDNKDKTAGSSSSVSESGRHAEIGETDALLMHNPQVTTAL >Potri.016G055700.1.v4.1 pep chromosome:Pop_tri_v4:16:3705554:3710938:-1 gene:Potri.016G055700.v4.1 transcript:Potri.016G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055700.v4.1 MLVQGRVTTNPNPKSPKSQIRPTINHNHHDLHQRFSESKSLDFSTWVSENFYKIITITVLIATVAAIFFLRSTGDTAAFLYLQSQAQPLDKTHHFPRIDWNNIPAITDKSSPYANFRSEKWIVVSVSHYPSDSLKKLDRIKGWQLLAIGNSRTPNDWSLKGAIYLSLEQQASLGFRVLGYVPYDSYLRKSVGYLFAIQHGAKKIFDADDRGEVIDGDLGKHFDVELIGEGARQETILQYSHENENRSVVNPYVHFGQRTVWPRGLPLENVGELGHEEFYTEVYGGKQFIQQGISNGLPDVDSVFYYTRKTGLEAFDIRFDERAPKVALPQGVMVPVNSFNTIYHSSAFWGLMLPVSVSNMASDVLRGYWGQRLLWEIGGYVVVYPPTVHRYDTVGGYPFSEEKDLHVNVGRLVKFLVAWRSSEHRLFEKILELSFAMAEGGFWSEQDVKFTAAWLQDLLAVGYRQPRLMSFELDRPRPTIGHGDRKEFVPRKFPSVHLGVEETGTVNYEIANLIRWRKNFGNVVLIMFCNGPVERTALEWRLLYGRIFKTVIILSWQKNEDLAVEAGHLDHIYKHLPKIFDRYSSAEGFLFLQDDTILNYWNLLQASKAKLWITDKVSKSWTTVSTNGNTDWYAKQAEMVRKVVGSMPVHFQVNYKEAMKSDQSLVIGSSEIFYIPQHFVTDFVDLVGLVGDLDIHQKVAIPMFFMSMDSPQNFDSVLSTMVYKRKPPPDNSTLYSAQVPAVHPWNVSSEQDFIKLIRIMAEGDPLLMELV >Potri.006G153700.2.v4.1 pep chromosome:Pop_tri_v4:6:13686542:13689244:1 gene:Potri.006G153700.v4.1 transcript:Potri.006G153700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153700.v4.1 MDSNKDDALKCLEIGKEALESGDRSRALKFITKARRLDPTLAVDDLLSAAEKDEPNKTAAANINNGSTTATASNESKVRQRGSSSSSSSYTEEQISIVREIRKKKNYYEILGLEKSCSVEDVRKAYRKLSLKVHPDKNKSPGAEDAFKAVSKAFQCLSNEESRSKYDVTGTEEPLYERRSSSHHRHGYYNYNDDLDPDEIFRQFFFGGGMRPATTQFRSFNFGAGMGGPRTDHNGSGFNFRALIQLLPVLLIFLFNFLPSSEPIYALSRSYPYEYRFTTQRGVNFYVKSTKFEKDYLPGTHEREALEAKVEKDYVSVLVQNCRFELQRKQWGFVRETPHCEMLQQFQDGELAA >Potri.006G153700.1.v4.1 pep chromosome:Pop_tri_v4:6:13686537:13689876:1 gene:Potri.006G153700.v4.1 transcript:Potri.006G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G153700.v4.1 MDSNKDDALKCLEIGKEALESGDRSRALKFITKARRLDPTLAVDDLLSAAEKDEPNKTAAANINNGSTTATASNESKVRQRGSSSSSSSYTEEQISIVREIRKKKNYYEILGLEKSCSVEDVRKAYRKLSLKVHPDKNKSPGAEDAFKAVSKAFQCLSNEESRSKYDVTGTEEPLYERRSSSHHRHGYYNYNDDLDPDEIFRQFFFGGGMRPATTQFRSFNFGAGMGGPRTDHNGSGFNFRALIQLLPVLLIFLFNFLPSSEPIYALSRSYPYEYRFTTQRGVNFYVKSTKFEKDYLPGTHEREALEAKVEKDYVSVLVQNCRFELQRKQWGFVRETPHCEMLQQFQDGELAA >Potri.003G068900.1.v4.1 pep chromosome:Pop_tri_v4:3:9604199:9605956:1 gene:Potri.003G068900.v4.1 transcript:Potri.003G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G068900.v4.1 MANMAQKSGKPQDQISNFAQKCNLLSQYLKERGSFGDISLGINGKAEIKGLETPSSPATTLNLLNNMEISSDQITSRQNAMASANMMKFMDFFPQFVGSGPPDSTDDAINKADHLRKSSPMDPETAQMTIFYAGKVSVFNDFPADKAKEIMALAAKGSSISTDGCPSSAPAIRKVSSTNSVAALDSNKGQERLQLQSQANASDVPHARRASLHRFFSKRKDRVTARAPYQINNPTPDHPRPPRSEEDSNPFLALDEGQSSEQLELKL >Potri.018G101400.1.v4.1 pep chromosome:Pop_tri_v4:18:12139814:12140102:1 gene:Potri.018G101400.v4.1 transcript:Potri.018G101400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101400.v4.1 METKGGKKKSSSSSSSNSVQYEVPLGYSIEDVRPNGGIEKFRSAAYSNCVRMPS >Potri.005G032300.1.v4.1 pep chromosome:Pop_tri_v4:5:2130759:2134564:1 gene:Potri.005G032300.v4.1 transcript:Potri.005G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G032300.v4.1 MDLQLPMEKVSFGLNSTEENNKINVALVATGSFNPPTFMHLRMFELARDALQSEGFHVIAAYMSPVNDAYKKAGLISGDHRLQMCRLACETSDFIMVDPWEVNQSTSQRTLTILQRVEGSFTNGTKMSRESLKVMLVCGSDLLQSFSIPGFWIRDQVRTICSDYGVVCISREGQDVNKIISDDEILNENKGNIRVTNDLVPNQISSTRVRESISRGLSIKYLTADGVIDYIRDKGLYRNQEDKKDDF >Potri.002G234000.4.v4.1 pep chromosome:Pop_tri_v4:2:22701600:22704409:-1 gene:Potri.002G234000.v4.1 transcript:Potri.002G234000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G234000.v4.1 MATLKRIKLGSQGLEVSAQGLGCMGMSFGAYGPPKPESDMIALINHAVNTGVTLLDTSDVYGPHTNEILLGKALKAGGLRQRVELATKFGASFKDGSFEIRGDPDYVRAACEASLKRLQLESIDLYYQHRIDTSVPIEATMGELKKLVEEGKIKYIGLSEASASTIRRAHAVHPITAVQLEWSLWSRDVEEEIVPTCRELGIGIVAYSPLGRGFFSSGPKLVESFTDGDYRKGLPRFRPENLEHNSQLFERVKEIAARKQCTSSQLALAWVHHQGDDVCPIPGTTKIENFNQNVGALSVKLTPEEMAELESIASSDAVRGDRYGYGILTFKDSDTPPLTSWKAV >Potri.013G107800.1.v4.1 pep chromosome:Pop_tri_v4:13:11659089:11664779:-1 gene:Potri.013G107800.v4.1 transcript:Potri.013G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107800.v4.1 MEDIEDLLVGNGSGSPPGFRLPLNAVGVNLKKNKNKPKLHAKQLSETPISSKIPGTQTIYIKTFGCSHNQSDSEYMAGQLSSFGYSLSDSPEEADLWLINTCTVKSPSQSAMDTLISKGKSAKKPLVVAGCVPQGSRNVKELEGVSIVGVQQIDRVVEVVEETLKGHEVRLLNRKTLPALDLPKVRKNKFVEILPINVGCLGACTYCKTKHARGHLGSYTVDSLAGRVKTVIDDGVKEIWLSSEDTGAYGRDIGVNLPILLNAIVAELPSDGSTMLRIGMTNPPFILEHLKEIAEVLRHPCVYSFLHVPVQSGSDAILTAMNREYTVNEFRTVVDTLTELVPGMQIATDIICGFPGETDKDFSQTVNLIKAYKFAQVHISQFYPRPGTPAARMKKVPSNIVKQRSRELTSVFEAFTPYNGMEGRVERIWITDIAADGIHLVGHTKAYVQVLIVAQESMLGTSAIVKITSVGRWSVFGEVIETLNQINQKSKSVEKMLSEEKCSPCSDPCDSCACSGESEPCACGPESCGGQSTIEQSDVLQNEVLREDQNRRNLIGWLLRKRKNQAQKMVENGIASGSQKKQEWAKGAPEEWGVVDRALLGGLIVSVFTTVAILIHLGFKTMSSK >Potri.006G144600.1.v4.1 pep chromosome:Pop_tri_v4:6:12246240:12250808:-1 gene:Potri.006G144600.v4.1 transcript:Potri.006G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144600.v4.1 MRTTSPTSPEIMELFSKLAFELQTLTHNSPINQEQQQQEFNDSSIDPSISKLTLSLTHNPGVRVLDTALSLMCFKAPQVLDSVVEYSVKTIVSVLSSSICCEVFRFHNEEVLQIGSFISRGHCMELIECVFDVISKLKEHGMPIDLLLSAVVRVAASASCYKYLSPSMRVLDVKSIDGSITAISKLLCHLPMDFSLSNHEIPTRLLIWYLDPLALKHDISKILQETIKRPFLCLSMEFYERMDWRSILVCLVLSPIMFIDTRALLHDWFLQTGLSSVVELLMELVSLILDVISRPTWWGLSLELGLKLPFSNSYFPYKSDFLRTLTGPFSYESFLQLVHMTRKSAYLHRELCDPAIKPSAVRVASIDHKSIWALAISFPDWFYFASVLLFSDDKNSQQNIQVKCPLGVPEFGQLPASAAAATFIAWILSPVNKSNQDALFENLTKLSKCWNLKEISSDNSQNGMAGYKKKLKKPRFCDSKEDSTLGNKYDCQVIILWLKQFQNIMKHNETIDELAFCEAKSYALLQQHDKLFRRIPLGILTGSSGYINEDGCELLLHYAATGRILDSLGTENTSLKHVNYNSSGPEDLFGGINKEEAVLGACLVFSLTDVVDRMSVSLFETEKTGEDFLCLVKLRAGRYLLKCIKRLTHFNVDEDGVPMLLDLQGRLERWRHQGKEVPEFHKDLDDALKGLSTESS >Potri.006G144600.2.v4.1 pep chromosome:Pop_tri_v4:6:12246881:12250803:-1 gene:Potri.006G144600.v4.1 transcript:Potri.006G144600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144600.v4.1 MTRSIYECLNLFLNNNNKKKIAVGMPIDLLLSAVVRVAASASCYKYLSPSMRVLDVKSIDGSITAISKLLCHLPMDFSLSNHEIPTRLLIWYLDPLALKHDISKILQETIKRPFLCLSMEFYERMDWRSILVCLVLSPIMFIDTRALLHDWFLQTGLSSVVELLMELVSLILDVISRPTWWGLSLELGLKLPFSNSYFPYKSDFLRTLTGPFSYESFLQLVHMTRKSAYLHRELCDPAIKPSAVRVASIDHKSIWALAISFPDWFYFASVLLFSDDKNSQQNIQVKCPLGVPEFGQLPASAAAATFIAWILSPVNKSNQDALFENLTKLSKCWNLKEISSDNSQNGMAGYKKKLKKPRFCDSKEDSTLGNKYDCQVIILWLKQFQNIMKHNETIDELAFCEAKSYALLQQHDKLFRRIPLGILTGSSGYINEDGCELLLHYAATGRILDSLGTENTSLKHVNYNSSGPEDLFGGINKEEAVLGACLVFSLTDVVDRMSVSLFETEKTGEDFLCLVKLRAGRYLLKCIKRLTHFNVDEDGVPMLLDLQGRLERWRHQGKEVPEFHKDLDDALKGLSTESS >Potri.006G144600.9.v4.1 pep chromosome:Pop_tri_v4:6:12246796:12250808:-1 gene:Potri.006G144600.v4.1 transcript:Potri.006G144600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144600.v4.1 MRTTSPTSPEIMELFSKLAFELQTLTHNSPINQEQQQQEFNDSSIDPSISKLTLSLTHNPGVRVLDTALSLMCFKAPQVLDSVVEYSVKTIVSVLSSSICCEVFRFHNEEVLQIGSFISRGHCMELIECVFDVISKLKEHGMPIDLLLSAVVRVAASASCYKYLSPSMRVLDVKSIDGSITAISKLLCHLPMDFSLSNHEIPTRGLSSVVELLMELVSLILDVISRPTWWGLSLELGLKLPFSNSYFPYKSDFLRTLTGPFSYESFLQLVHMTRKSAYLHRELCDPAIKPSAVRVASIDHKSIWALAISFPDWFYFASVLLFSDDKNSQQNIQVKCPLGVPEFGQLPASAAAATFIAWILSPVNKSNQDALFENLTKLSKCWNLKEISSDNSQNGMAGYKKKLKKPRFCDSKEDSTLGNKYDCQVIILWLKQFQNIMKHNETIDELAFCEAKSYALLQQHDKLFRRIPLGILTGSSGYINEDGCELLLHYAATGRILDSLGTENTSLKHVNYNSSGPEDLFGGINKEEAVLGACLVFSLTDVVDRMSVSLFETEKTGEDFLCLVKLRAGRYLLKCIKRLTHFNVDEDGVPMLLDLQGRLERWRHQGKEVPEFHKDLDDALKGLSTESS >Potri.006G144600.8.v4.1 pep chromosome:Pop_tri_v4:6:12246761:12250834:-1 gene:Potri.006G144600.v4.1 transcript:Potri.006G144600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G144600.v4.1 MRTTSPTSPEIMELFSKLAFELQTLTHNSPINQEQQQQEFNDSSIDPSISKLTLSLTHNPGVRVLDTALSLMCFKAPQVLDSVVEYSVKTIVSVLSSSICCEVFRFHNEEVLQIGSFISRGHCMELIECVFDVISKLKEHGMPIDLLLSAVVRVAASASCYKYLSPSMRVLDVKSIDGSITAISKLLCHLPMDFSLSNHEIPTRLLIWYLDPLALKHDISKILQETIKRPFLCLSMEFYERMDWRSILVCLVLSPIMFIDTRALLHDWFLQTGLSSVVELLMELVSLILDVISRPTWWGLSLELGLKLPFSNSYFPYKSDFLRTLTGPFSYESFLQLVHMTRKSAYLHRELCDPAIKPSAVRVASIDHKSIWALAISFPDWFYFASVLLFSDDKNSQQNIQVKCPLGVPEFGQLPASAAAATFIAWILSPVNKSNQDALFENLTKLSKCWNLKEISSDNSQNGMAGYKKKLKKPRFCDSKEDSTLGNKYDCQVIILWLKQFQNIMKHNETIDELAFCEAKSYALLQQHDKLFRRIPLGILTGSSGYINEDGCELLLHYAATGRILDSLGTENTSLKHVNYNSSGPEDLFGGINKEEAVLGACLVFSLTDVVDRMSVSLFETEKTGEDFLCLVKLRAGRYLLKCIKRLTHFNVDEDGVPMLLDLQGRLERWRHQGKEVPEFHKDLDDALKGLSTESS >Potri.014G135540.1.v4.1 pep chromosome:Pop_tri_v4:14:9126740:9131210:1 gene:Potri.014G135540.v4.1 transcript:Potri.014G135540.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135540.v4.1 MAEGKKEKKSSSSSSSSNEESVKLFVGQVPKNMTEAELLAMFKDFALVDEVNIIKDKTTRASRGCCFLICPSRQEADKAVNACHNKKTLPGASSPLQVKYADGELERLEHKLFVGMLPKNVSEAEVSDLFSKYGTIKDLQILRGSQQTSKSCAFLKYETKEQALAALEDINGKHKMEGSSVPLVVKWADTEKERQARRAQKAQSQAMPNTDSQHPSLFGALPMGYAPPYNGYGYQAPGVYGLMPYRLPPMQNQPAFHSMVPPVNQGNVLRGGIRPDLSPNISPRNYAPATYMGSAYPTVTGLQYPVAYPGAMMTHRPLSSSPGALSPTVVSSNSATPSGVGGSSGVQVEGPPGANLFIYHIPQEFGDQELANAFEAFGKVLSAKVFVDKVTGVSKCFGFVSYDSPAAAQNAITMMNGFQLGGKKLKVQLKRDNKQSKPY >Potri.008G014501.1.v4.1 pep chromosome:Pop_tri_v4:8:727506:732318:1 gene:Potri.008G014501.v4.1 transcript:Potri.008G014501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G014501.v4.1 MKEVNQEDVTKRVNTQEWFFYCARRQCNEAVVTFLVEDFRHYFKEQIDWLFSARLKKLGFTSLFDESCYVDMQGR >Potri.003G132600.1.v4.1 pep chromosome:Pop_tri_v4:3:15066110:15069602:1 gene:Potri.003G132600.v4.1 transcript:Potri.003G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132600.v4.1 MAAPEAPLRYVGIVRESPAFRLMKQMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGVEKPNNWAFDTTQFDSILKRLKVQAVQSNDEVFLRPTEVVKENSSEEKTETDATNDAKEQVVKATRARGRYHKRERGKLVNAYSSKDLSGILVKKSEELPQTDPNPDNELESESALESQIWYLGGSKVEDLPPDWWGFKHGFVSGGLLGAKPAKKKSTRTADTHNRNERAMFFEEDQENLYKLVQDKATSGKQGLGIKDRPKKIAGVRFQGKKTTFDNSDDEDSASDDDDSDADDKCSADFPSAKQNCDGILEMENVEAKIVDKDSVDFCSSGKRKREDTPKMQELNEQKVKLKSLCKRLLHQVPGESLKLKKLKSLVEEHSPAVFSNFTSRRDAVAYLKQKLEGCKKFSVEGKRVSLTSRRS >Potri.003G132600.2.v4.1 pep chromosome:Pop_tri_v4:3:15066043:15069620:1 gene:Potri.003G132600.v4.1 transcript:Potri.003G132600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G132600.v4.1 MAAPEAPLRYVGIVRESPAFRLMKQMGWEEGEGLGKDKQGIKGYVRVKNKQDTTGVGVEKPNNWAFDTTQFDSILKRLKVQAVQSNDEEVVKENSSEEKTETDATNDAKEQVVKATRARGRYHKRERGKLVNAYSSKDLSGILVKKSEELPQTDPNPDNELESESALESQIWYLGGSKVEDLPPDWWGFKHGFVSGGLLGAKPAKKKSTRTADTHNRNERAMFFEEDQENLYKLVQDKATSGKQGLGIKDRPKKIAGVRFQGKKTTFDNSDDEDSASDDDDSDADDKCSADFPSAKQNCDGILEMENVEAKIVDKDSVDFCSSGKRKREDTPKMQELNEQKVKLKSLCKRLLHQVPGESLKLKKLKSLVEEHSPAVFSNFTSRRDAVAYLKQKLEGCKKFSVEGKRVSLTSRRS >Potri.010G081800.1.v4.1 pep chromosome:Pop_tri_v4:10:10938415:10946533:-1 gene:Potri.010G081800.v4.1 transcript:Potri.010G081800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081800.v4.1 MGAVPSTPRLSGARPQDTADYLIGSFVGEKTFPIGSDFWQKLLELPLNLHWPTHRVQEACKLFAQNNCNTRHLTKILIHLSWCLQECVSNSGAPSEVYEKAVNAVYISSVFLKYLIENAQSNSIEEFHLSLNESEPAPNGFKTDQNIESLVMHNVLNFIGSVEVSPKTYLLHHELLNFMLVAMSTQLLYGPAPGPTDMNPFIDAAMAQESSLVGLVVRRLLLNYIIRPRIPYNSASYPVFSGGSQPGVLQRVGSAAATLVLLPFNYLVSSTGDGSRNPLADSSLHVLLILNYYHKCVVGDESLTDRSDDSATSDSLSKGKTYFSDNPYCKALENARDIEFDRVDIEGNAHSGSHVRLPFASLFDTLGMCLADETAVLLLYTLVHGNSDFLEYVLVRTDLDTLLMPILETLYSASKRTSNHIYILLIILLILSQDSSFNASIHKIVLPSIPWYQEHLLHRTSLGSLMVIILIRTVKYNLSKLRDLYLHTTCLATLANMAPHFHHLSAYASQRLVSLFYMLSRKYNKLAERIDDKMGKSGSLGQDSLAEDLSAELHIYTDFLRIVLEILNAILTYALPRNPEVVYAIMHRQEVFEPFKNHPRFSELIENIYMVLDFFNSRIDSQTHDGEWSAEKVLQLIIMNCRSWRVEGMKMFTQLHFSYEQESHPEEFFTPYIWRVALSQRGLSFDPSAINLFPVDLPIEKPNDDVDDQSKFQNTNLNEHRVLLDL >Potri.004G000600.1.v4.1 pep chromosome:Pop_tri_v4:4:62405:67340:-1 gene:Potri.004G000600.v4.1 transcript:Potri.004G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G000600.v4.1 MTKTMLPRCLIRQRLTLNRHFSSLSNTTKVPVLYKSPQINESNHNDTITLQLFSWGRGASGQLGGGIEEIRLYPAPVANLQLPPSSFTLSPTPGQLVDNNNKKGVTEVGISCGLFHSAILADGHFWMWGKGDGGRLGFGHENPAFLPTLNPFLDSVRSIALGGVHSAAITSLGHIFTWGYGGFGALGHSVYHRELFPRLVEGSWDGKIRCISTSGTHTAAVTESGEVYTWGREEGDGRLGLGPGRGPNEGGGLSIPCKVKTLPVPVATVYCGGFFTMVLTEEGQIWNWGANSNYELGRGDKVGGWRPKPIPSLEDARIIQIAGGGYHCLALTDEGKVLSWGFGGHGQLGHSSIQSQKIPAVIDALADQCVIYIACGGSSSAAITDKGKLYMWGNAKDSQLGIPGLPEVQSCPVEVKFLMEDDGLGQHSVLSVSVGASHAMCLVLRSS >Potri.002G241500.1.v4.1 pep chromosome:Pop_tri_v4:2:23367560:23368338:-1 gene:Potri.002G241500.v4.1 transcript:Potri.002G241500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241500.v4.1 MARQGRCSAIGVVLASTLLVILSLQFKIAIAKAATFTVGDTSGWTFNIQSWTDGKKFKAGDSLIFNYDPSLHDVATVDVDGYDGCTLSPSSSTYTSGKDTIKLKEGQNYFICSLPSHCDWGLKIAVNASA >Potri.013G028700.1.v4.1 pep chromosome:Pop_tri_v4:13:1865845:1870060:-1 gene:Potri.013G028700.v4.1 transcript:Potri.013G028700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028700.v4.1 MAGSNEVNLNESKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANAVDGVFSFDVIIDRGTSLLSRIYRQADAQVSQPNIVDLEKPNNLDVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRAWLQSKKDSKVHIYLAGDSSGGNIVHHVASRAVESGIEVLGNMLLNPMFGGKERTESEKRLDGKYFVTLQDRDWYWRAFLPEGEDRDHPACNPFGPKGKSLEGMKFPKSLVVVAGLDLVQDWQLAYAEGLKKAGQDVKLLYLEQATIGFYLLPNNNHFHTVMNEISEFVSPNC >Potri.013G028700.2.v4.1 pep chromosome:Pop_tri_v4:13:1866167:1869967:-1 gene:Potri.013G028700.v4.1 transcript:Potri.013G028700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G028700.v4.1 MAGSNEVNLNESKMVVPLNTWVLISNFKLAYNLLRRPDGTFNRHLAEFLDRKVPANANAVDGVFSFDVIIDRGTSLLSRIYRQADAQVSQPNIVDLEKPNNLDVVPVIIFFHGGSFAHSSANSAIYDTLCRRLVGLCKAVVVSVNYRRAPENRYPCAYDDGWTALKWVNSRAWLQSKKDSKVHIYLAGDSSGGNIVHHVASRAVESGIEVLGNMLLNPMFGGKERTESEKRLDGKYFVTLQDRDWYWRAFLPEGEDRDHPACNPFGPKGKSLEGMKFPKSLVVVAGLDLVQDWQLAYAEGLKKAGQDVKLLYLEQATIGFYLLPNNNHFHTVMNEISEFMTQACGRPAAPVLFLEDTLQGTVTQM >Potri.014G167300.1.v4.1 pep chromosome:Pop_tri_v4:14:12147692:12148676:1 gene:Potri.014G167300.v4.1 transcript:Potri.014G167300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G167300.v4.1 MAAKVVLSSSLNTHFPVPSKPSSFSSVVFTLSSPKKRVHHFKIHANLGEGDGELKPKGKKKFITREQEPEQYWQSAGEREGENPMKTPLPYIIIFGMSTPFVILAIAFANGWIKVPVR >Potri.002G098100.2.v4.1 pep chromosome:Pop_tri_v4:2:7158699:7164134:1 gene:Potri.002G098100.v4.1 transcript:Potri.002G098100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G098100.v4.1 MAYPHHRSQFGDTTFTKVFVGGLAWETPTEEMRRYFEQFGEILEAVIITDKNTGKSKGYGFVTFRDPESARRACAERNPVIDGRRANCNIASLGRPRPSPPRGRPQGSNPFQRSAPPGAPSYGGVAAPFPPLAPPPPPPPPPPPPVLYTHYGYPTYTPDYGYHQAMYNTQIQQPAQYYHQMYGTSSSTIGAPYYYGYSLQAPRTALSGPQAQRIPGPSYLYFPTSMEGSFSSFPSPTIQPARHPFPSSSTADSPTPQHTTTETEAGAVTSESPDT >Potri.012G030301.1.v4.1 pep chromosome:Pop_tri_v4:12:1013391:1014245:1 gene:Potri.012G030301.v4.1 transcript:Potri.012G030301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G030301.v4.1 MERSRAWESKHWKPCLWMMNLEDFQGPRVYLTEISDLLIMAWTIQDRNSRPCLQAILSFFCFPTEKIANKICGCCCTGSSIPFLSEIHVDRLYNQTLNALFSISQPRLKSALCPVH >Potri.006G118100.1.v4.1 pep chromosome:Pop_tri_v4:6:9276842:9279560:1 gene:Potri.006G118100.v4.1 transcript:Potri.006G118100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G118100.v4.1 MATSKIRRAFGAVKDQTSIGLAKVGNSHSLSDLDVAIVKATRHEEYPADERHIREILSLTSYSRAYISACVNSLSRRLNKTRNWTVALKTLILIQRLLAEGDPAYEQEIFFATRRGTRLLNMSDFRDSRSNSWDYSAFVRTLALYLDERLEFRMQGRRGKRSAFGIEEDEEEAGQASVKSTPVRDMKIDHIFSRIQHLQQLLERFLACRPTGGAKHNRVVIVALYSTVKESIQLYYDITEILGILIDRFMELEIPEAVKVYEIFCRVSKQLDELDNFYSWCKTVGIARTSEYPDIEKITQKKLDLMDEFIQDKSTLAQTKRATFEEPMNETDEGKKCEDDINAIKALPPPESYTETPVVEVQEDAAKEEEKKEINTQQEADLLNLHDDALSTEEHANNMALALFDGGAPAGPAQALAWEAFNDDTADWETTLVQSASDLTSQKVTLAGGLDMMLLDGMYQHGVKTAEMSATGYGVHGSASSVALGSAGRPAMLALPAPPVPNSSATTSANPDPFAASLAVAPPPYVQMSEMEKKQKLLVEEQLLWQQYAKDGMQGQAAFAKLQPNSYNVGGYTQGYYPRSG >Potri.005G160800.2.v4.1 pep chromosome:Pop_tri_v4:5:15546810:15548189:-1 gene:Potri.005G160800.v4.1 transcript:Potri.005G160800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160800.v4.1 MGHRKKLRTVIDILKCLTSNIKATLSTKRNTKIRIAVLRATTARNSSSPPSDNRIAAVISFGRGSRLTACALIEALMDRLHGTKNPSVALKCLFTIHSIIKKGPFILKDQLSFYPSFGGRNFLNMSKFRQDSDPERWELASWVRWYATVIEQNFIVSRFLGHYLNSSCSSNNSKDKEDKASALLNKDLLGELDVLVDFVEVICEAPDSLHLQRTNLVYAVIRYAGEDYRIVQREILIRVVELKDRMASLSCNELTQLLGSLKRFEDCKERLRLLFVNRARNDALWELIRGAKLKIMEMGKLKSLVKMERTDGSGELTRFRERFGELRQLVRLNSGGGWLGVDRVPALTMSTML >Potri.007G024000.1.v4.1 pep chromosome:Pop_tri_v4:7:1841007:1843936:1 gene:Potri.007G024000.v4.1 transcript:Potri.007G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G024000.v4.1 MEKRVAVIIMVMVLWMEVSLCWSTSYSTEDLVENTKERVNLAAEDARIKAEEMKHGAAETMQDAEEKGKAWTGSAYQKFTDGFGFNQENAREGAQSIMDRAGDAASKTTDTMNSVASETSRYASQKAGEAADMAYGKAGDMRDFASEKADQAKQMASNIKASDARETLAGAMEYGGGKIEGAYDEANQKWNIVKDKVSDGANNMEDTIGGALGYGKDKAANAYGDASQKMNRVTDEADDAKERMGESVDYGKNRAADACNEASNIASDWASDANEAVSGAMRYGRDRAADTYDEVKKYAKADSNMASNKTGDVKDTISEAMWYGHEKVTDAYDVAKEEIYRTSNIASEKASKAKEAAAGAMEYGGDGERDAFDETKNKIEEAYVSAKDTMTDQAKANYEAAKETLSKATGDLGDI >Potri.006G201300.1.v4.1 pep chromosome:Pop_tri_v4:6:20897614:20898897:-1 gene:Potri.006G201300.v4.1 transcript:Potri.006G201300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G201300.v4.1 MKSMKGRFLKKLNFIPSISTLKQGLVSHLNSTESFSYQNLQIPPIYIQEDHKKDILAGSFGVSKHKTESKDEELDLELNFVDKVNIATSVLSNDRVPAMDSPEAPVSTEITVEQDTENEAEKKIEEHPSLSDFEEKCLPGGSQSVILYTTSLRSIRKTFEDCHAIRFLLESLKVMFYERDVSLHLEFREELWRILGGRVIPPRLFIKGRYIGGADEVIGLHEQGRLKKLLVGIPLNLSNSPCNGCGNKRFVVCSNCNGSCKVFEDDQNEEKCIRCPECNENGLAKCPICS >Potri.002G035300.2.v4.1 pep chromosome:Pop_tri_v4:2:2326927:2331518:-1 gene:Potri.002G035300.v4.1 transcript:Potri.002G035300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035300.v4.1 MGEQTQIQPQPQPSQSESQPLPQIETQAYTQPLNDPTTTVITTTTTESTTIPPSITSPPAKIPSRPRKIRKLSPDAAVVTTVNDPNSTQTSIKNTTEPPRTTATKTPRTKTAQHRAIVALAPRIMARSLTCEGELEIAIRHLRNADPLLASLIDSYPPPTFDTFPTPFLALARSILYQQLAFKAGTSIYTRFISLCGGEAGVLPETVLALTPQQLRQIGVSGRKASYLHDLARKYQNGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLQVRKGLQVLYNLPELPRPSQMDHLCEKWRPYRSVASWYLWRFQEVKGSPSSAVALASSGNLTQQQQEEQQHQQEPQLIDPINSILNLGACAWGQ >Potri.002G035300.1.v4.1 pep chromosome:Pop_tri_v4:2:2326930:2331578:-1 gene:Potri.002G035300.v4.1 transcript:Potri.002G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G035300.v4.1 MGEQTQIQPQPQPSQSESQPLPQIETQAYTQPLNDPTTTVITTTTTESTTIPPSITSPPAKIPSRPRKIRKLSPDAAVVTTVNDPNSTQTSIKNTTEPPRTTATKTPRTKTAQHRAIVALAPRIMARSLTCEGELEIAIRHLRNADPLLASLIDSYPPPTFDTFPTPFLALARSILYQQLAFKAGTSIYTRFISLCGGEAGVLPETVLALTPQQLRQIGVSGRKASYLHDLARKYQNGILSDSAIVNMDDKSLFTMLTMVNGIGSWSVHMFMIFSLHRPDVLPINDLQVRKGLQVLYNLPELPRPSQMDHLCEKWRPYRSVASWYLWRFQEVKGSPSSAVALASSGNLTQQQQEEQQHQQEPQLIDPINSILNLGACAWGQ >Potri.013G067200.1.v4.1 pep chromosome:Pop_tri_v4:13:5225373:5230982:1 gene:Potri.013G067200.v4.1 transcript:Potri.013G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067200.v4.1 MSSNATRFLMLLTTTTTIPSPLRYPSILRLTRHHIPSSLSSLSHHHHNLFTLSLPRPLKSNCSPSQHHQQLHTTAHFSDSPSTSTSFPSTSGFSDPWPEWSKFVNNLSAAGYFNIKHGSNTPSDDLTSVDDLSEGFLRSCTASLAFARDKPQALGMLSRRDIEVVVQSGLPFLFKNSDDSVRRMKLFLHGSDSNVPNTDKARTVDLMKFLLSYASSFVSSVKTNLHNLELVEPSVRSLFSELAQLGYNAVEENLNGSFGNQFPDRYGQTPRPRGQNIEMKRGDWICPGCSFMNFARNMKCLECDEQRPKRQLTGGEWECPQCDFYNYARNMVCLRCDCKRPGGVSPISTSSGSDIGYGSGSYVNKSDLVSRLAANEKMAQQRHGNVSQMDSSLDRNGAKADEDFPEIMPLRKGVNRFVVSTRKTPLERRLANSQFQENMGTDDTRERNDNQSIGTTNPLNQTINRSPASPLFSAPRGSNSNYVPFVPLPADMFAKKPENSKMEEREKLETVNYESLTSSNGEQKGVISERSEHGKSRDSCQPSEMSMDQNLSDDNEKDVAEKSERWFKRVAELHNVTDLTSAISDDDFPEIMPLRKGENKFVVSKKKDRSLTSPMYKRHAAMEQANTTNSVPFVPFPPNYFAKKDNQQPDETDSHDKGVSETSSSATLEKHPQKLDDARLGVTHAAQEDSTGSWSGEKKSDLKKGATYGKSAGGNFTQNFIDPLPAGRDSWNTGNSRSANATGTSNQMGNSMQNLNNSPANTNDSESNGSSWKENISEKKIFTGTAPQSSKNQSVGDSWTGKSLEGSAVKEPDPLDMSEEAKSERWFRRVAQIKDISELSQIPDEDFPSIMPMRKGVNRFVVSKRKTPLERRLTSTQYRKNLPIVSSDPVKENDSS >Potri.004G192100.1.v4.1 pep chromosome:Pop_tri_v4:4:20606164:20610330:-1 gene:Potri.004G192100.v4.1 transcript:Potri.004G192100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G192100.v4.1 MWVFLLMMSILLCPVAESTASPDVKPGCHDKCGNVSVPYPFGILEPSCAMNDDFFLNCSSNDEGHTELLDGNTPVHNISVLEGIYTVGIEAAFDCYNKAGNSTDSFAQSVTLRSGPFMFSDTRNVFTVIGCDTYAWMTNNEFTYGAACLSFCTKDVNMSDGNPCSGSGCCQTSIPKGLKSLDYSLSTSNDYTNVSEFNLCGFAFLVDKHSFKISDWPLSRKPKRGKDAYTTEVVIEWVVQNETCEQAKANRSAYACGANANCTYPEIGQGYRCSCNEGFEGNPYLREGCQDIDECKVLKNPCKEGTCENVIGDYKCRCPRGKHGDGKTDCQRGGNGINKIIAAVGASIFLVVICLLLYMICTKRIKDKNFKENGGKFLKNQRVRIFSEAELVKATNNYADDRKLGEGGFGSVYKGVLTDNTVVAVKKSKGVDKAQMNAEFQKEMSIVSQVNHKNVVKLLGLCLETKVPLLVYEFISNGTLSKHVHDKGSRILASWTNRLRVASETALALDYLHSLADPPVIHGDVKSVNILLDSNYTAKVADFGASVLMSPGKTDILATKIQGTLGYLDPEYLMTGILTVQSDVYSFGVVLVELLTGEMPNSISKSGEKRNVIQHFISALENNHLFKILDFQTADEGEMDEIEAVAELAKGCLNSMGVNRPTMKEVSDELAKLKALHQKSLAHENSEETDHLLGESSQSFCKNIASPPMDQSQTVISLQIENYTNSN >Potri.005G111600.4.v4.1 pep chromosome:Pop_tri_v4:5:8088144:8092359:1 gene:Potri.005G111600.v4.1 transcript:Potri.005G111600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111600.v4.1 MASQNPNQNSSLYPQIIQSKPDPLFTSSSPSSNLYPKIDPEDLVENLFPGHDHSQHEYPRAQSHTQAPPSAPPEVVEEVLIKIAGAIVNLIDKNYSVELASGDLYIVRLSQGNNVVAVLARVADEIQWPLAKDEAAVKLDDSHYFFSLRFPNENYSSDSSDEEDDKREKRVDGENILNYGLTIASKGQERLLLEFDQILGSYSCFSVQKVSENAKELLGGNAAKDTSPMDMKIGGEKKEIMEGKCAAYWTTLAPNVEDYSGTAGKLIAAGSGQLIKGILWCGDVTMDRLKWGNEVMKKRMDPKEKSEISPATLKRIKRAKRMTKMTEKVANGLLCGVLKVSGFFTSSLVNSKVGKKFFGLLPGEIVLASLDGFNKVCDALEVAGRNVMSTSSTVTTELVSHR >Potri.005G111600.5.v4.1 pep chromosome:Pop_tri_v4:5:8088102:8092330:1 gene:Potri.005G111600.v4.1 transcript:Potri.005G111600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111600.v4.1 MASQNPNQNSSLYPQIIQSKPDPLFTSSSPSSNLYPKIDPEDLVENLFPGHDHSQHEYPRAQSHTQAPPSAPPEVVEEVLIKIAGAIVNLIDKNYSVELASGDLYIVRLSQGNNVVAVLARVADEIQWPLAKDEAAVKLDDSHYFFSLRFPNENYSSDSSDEEDDKREKRVDGENILNYGLTIASKGQERLLLEFDQILGSYSCFSVQKVSENAKELLGGNAAKDTSPMDMKIGGEKKEIMEGKCAAYWTTLAPNVEDYSGTAGKLIAAGSGQLIKGILWCGDVTMDRLKWGNEVMKKRMDPKEKSEISPATLKRIKRAKRMTKMTEKVANGLLCGVLKVSGFFTSSLVNSKVGKKFFGLLPGEIVLASLDGFSTSIILNLNIECLLYNR >Potri.005G111600.1.v4.1 pep chromosome:Pop_tri_v4:5:8088189:8092481:1 gene:Potri.005G111600.v4.1 transcript:Potri.005G111600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G111600.v4.1 MASQNPNQNSSLYPQIIQSKPDPLFTSSSPSSNLYPKIDPEDLVENLFPGHDHSQHEYPRAQSHTQAPPSAPPEVVEEVLIKIAGAIVNLIDKNYSVELASGDLYIVRLSQGNNVVAVLARVADEIQWPLAKDEAAVKLDDSHYFFSLRFPNENYSSDSSDEEDDKREKRVDGENILNYGLTIASKGQERLLLEFDQILGSYSCFSVQKVSENAKELLGGNAAKDTSPMDMKIGGEKKEIMEGKCAAYWTTLAPNVEDYSGTAGKLIAAGSGQLIKGILWCGDVTMDRLKWGNEVMKKRMDPKEKSEISPATLKRIKRAKRMTKMTEKVANGLLCGVLKVSGFFTSSLVNSKVGKKFFGLLPGEIVLASLDGFNKVCDALEVAGRNVMSTSSTVTTELVSHRYGEEAAKATNEGLGATGHAIGTAWAAFKIRKAFNPKSVLKPSSLAKSAAKAAAAEMKAKNSK >Potri.001G220400.1.v4.1 pep chromosome:Pop_tri_v4:1:22994055:22994841:1 gene:Potri.001G220400.v4.1 transcript:Potri.001G220400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G220400.v4.1 MVKFPVSCKDRRTQNMIMSFSELEIDSAWLLVQMSNRDDKLIKVENSKKEEAEQRSYQGDASNHHSLFYTISEEEDEEEIYPKRKNKRFRSIDHIYKVTGPVLQDFVYSKKKRLSC >Potri.003G011300.1.v4.1 pep chromosome:Pop_tri_v4:3:1432750:1438368:1 gene:Potri.003G011300.v4.1 transcript:Potri.003G011300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011300.v4.1 MAASASASLTSSATEEEITLTVKWSGKEYTVQVCGDDSVAGLKRRLCELTNVLPKRQKLLYPKIGNKLSDDSAFLSQLPLKPSLKMTMIGTVEDDIIVNQMDSPEIVDDFELGQDEAVDIEDDEVNKQKLRRRIDQYEIELKNPCRPGKKLLVLDIDYTLFDHRSTAETPLELMRPYLHEFLTAVYAEYDIMIWSATSMKWVELKMGQLGVLDNPNYKITALLDHSAMITVQSDSCGIFECKPLGLIWAKFPEFYSSKNTIMFDDLRRNFMMNLQNGLVIKPFRKAHANRDNDQELVKLTHYLLAIADLDDLSVLDHKMWEFFADELSDVPE >Potri.015G064700.1.v4.1 pep chromosome:Pop_tri_v4:15:9029116:9031765:-1 gene:Potri.015G064700.v4.1 transcript:Potri.015G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G064700.v4.1 MGAVKNYMLLVFFALAMQTSTLKAGIANFDEYWKKRAEEAKEASREAYEPNPAKVTKHFNDEVHKSLEGGNSTRRNLGKNKGPCLATNPIDRCWRCDKNWAKNRKKLGGCALGFGRKTIGGKHGKYYRVTDPSDNDMVNPKAGTLRYGVIQDKPLWIIFAHDMVIRLSEELMVASNKTIDGRGVNVHIYNGAQITLQFVKNVIIHGIHIHDAKAGNGGMIRDSVDHYGFRSRSDGDGISIFGSTDIWIDHISLSNCEDGLIDAIMGSNAITISNCHFTKHNDVMLFGASDSYSGDSVMQITVAFNHFGRGLVQRMPRVRWGFVHVVNNDYTHWEMYAIGGSQHPTIISQGNRFVAPPDPACKEVTKRDYAVESVWKSWNWRSEGDLMLNGAFFVQSGNAIKTMNKQAVISAKPGRYVSRLTRFSGALNCVRGRPC >Potri.002G139900.6.v4.1 pep chromosome:Pop_tri_v4:2:10488127:10491952:1 gene:Potri.002G139900.v4.1 transcript:Potri.002G139900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G139900.v4.1 MYKDRGGFGGGSSRSDIVGGPLDRKRINDALDKHLEKSSPSTSRGLNNSSKDKERLSVPSTSTGKSLQHHQQQLDHHRADSRSASLSKNKCSDEESETDSEESDVSGSDGDDTSWISWFCNLRGNEFFCEVDDEYIQDDFNLCGLSSQVPYYDYALDLILDVESSHGDMFTEEQNELVESAAEMLYGLIHVRYILTSKGMSAMLEKYKNYDFGRCPRVYCCGQPCFPVGQSDIPRSSTVKIYCPKCEDIYYPRSKYQGNIDGAYFGTTFPHLFLMTYGHLKPQKATQSYVPRVFGFKLHKP >Potri.004G128941.1.v4.1 pep chromosome:Pop_tri_v4:4:14070091:14071326:1 gene:Potri.004G128941.v4.1 transcript:Potri.004G128941.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128941.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQKRIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQAIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRNQSDRLGGSTTWAGTMDRLDTCIREAKVDDLRYSGMHYTWSNQCPENLIMQKLDRVLVNEKWNLKFPLSEARFLPSGMSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRHNRNKLLSLTREDGEVVEGHEAVKIRSNCILPSCVRS >Potri.005G130400.1.v4.1 pep chromosome:Pop_tri_v4:5:10008601:10010578:-1 gene:Potri.005G130400.v4.1 transcript:Potri.005G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130400.v4.1 MAASLQAAATLMQPTKVGVPSRTSLQLRSSQSVSKAFGFEPASARISCSLQSDLKDLARKCVDASKIAGFALATSALVVSGASAEGVPKRLTYDEIQSKTYMEVKGSGTANQCPTLDGGAESFAFKPGKYNAKKFCLEPTSFTVKAESVSKNAPPEFQNTKLMTRLTYTLDEIEGPFEVSPDGTIKFEEKDGIDYAAVTVQLPGGERVPFLFTIKQLAASGKPESFSGEFLVPSYRGSSFLDPKGRGGSTGYDNAVALPAGGRGDEEELLKENIKNTASSTGKITLSVTKSKPETGEIIGVFESLQPSDTDLGAKTPKDVKIQGIWYAQLD >Potri.002G263451.1.v4.1 pep chromosome:Pop_tri_v4:2:25072799:25074719:-1 gene:Potri.002G263451.v4.1 transcript:Potri.002G263451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G263451.v4.1 MKDDGEYLIKLIGSCRNKVQVYRSVRMPQLHISLHFHLTPIVMINGSSRCSILLNSQNFCRSIPAGAENSLLSQLCYQKL >Potri.010G192400.1.v4.1 pep chromosome:Pop_tri_v4:10:18744486:18746308:-1 gene:Potri.010G192400.v4.1 transcript:Potri.010G192400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192400.v4.1 MPSATSLTIVSKCTVFPDQKSTLQDLKLSVSDLPMLSCHYIQKGGLFTSPPTLSIDSLISHLKQSLSQTLSHFPPLAGRFNTDSNGHIYITCNDAGVDFIHATATDISIQDILYPLHVPDCIRGFFAFESTVSYQGHYKPILAVQVTELADGVFIGCSMNHSVTDGTSFWNFFNTFAEVSRGIKKISRKPDFSRNSILISEAVLKVPQGGPRVTFNENEPLSERIFSFSREAILKLKSEVNNKKWSQNSDNANVDVVELMGKQSNDTLFQIHNSGKMTTILESWFKNAVFKPQETVSNLQTGEISSFQSLSALLWRAVTRARKLNPSKTTTFRMAVNCRHRLNPKLDPLYFGNAIQSIPTYASAEDVLSRDLRWCAEQLNKNVAAHNDGTVRRFVQDWESNPRCFPLGNLDGASMTMGSSPRFPMYDNDFGWGRPLAVRSGKANKFDGKISAFPGREGNGTVDLEVVLAPETMAGIESDHEFMQFVSS >Potri.005G024900.1.v4.1 pep chromosome:Pop_tri_v4:5:1568780:1570771:-1 gene:Potri.005G024900.v4.1 transcript:Potri.005G024900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G024900.v4.1 MATSNVVCAFVLSSLFHFLLVPVFSHDVDVLQKCGFKAIYQLGDSIADTGNLITENPLSQYAWFPYGMNLSKPTGRCSNGLLMIDYIARSAKLPYLDAYLNPVRIFFGGCSGVNFAVAGSTALPAEVLLSKNIMNVVTKESLSTQLEWMFTYFNTTCSKDCAKEIKSSLFMVGEIGGNDYNYAFMFSKTTEEMKALVPEVVKAIKDAVEKVIGYGARRVVVPGNFPIGCFPVYLSQFHPNDAAAYDEFHCLKGLNSFASYHNELLKQTVEGLKRNYPDVIIVYGDYYKAFMSIYQNAQSLGFDTKTMQKACCGTGGDHNFSLMRTCGALGVPVCPNPDQHISWDGIHLTQKAYQHMAEWLINDIFPKLQCSA >Potri.006G067800.1.v4.1 pep chromosome:Pop_tri_v4:6:4958648:4962713:1 gene:Potri.006G067800.v4.1 transcript:Potri.006G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067800.v4.1 MSSCIDAVPNEQLCYIPCNFCNIVLAVSVPCSSLFDIVTVRCGHCTNIWSVNMAAAFQSLSWQDQVQASNYNSHDYRIDLGSSSKCNNKISMRTPAANIVTQERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLETNNQTKVDDGSEKRLMSRSALQNN >Potri.001G280700.4.v4.1 pep chromosome:Pop_tri_v4:1:29439846:29445026:-1 gene:Potri.001G280700.v4.1 transcript:Potri.001G280700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280700.v4.1 MAAALECWSSRASTDEDMVEQVLMRTQDRSETSSSSITTTATSLQLSDKITNLSQKDTISSSSAMQKRLQRLSRNVSEAIASLKNSLNLDSPRDSLVQLTSSQQGNANGNKSERCRKVVWASVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKEVFLHIKLIEQTSVDEQPAIMIQEVSDDEVQGCVYKLTFACNSSISWPAMSGALDSASICCKKIQIFEKKGFTLGVVLLLVQAGQEKSFRARIESALKSSVKKSKSTTVKLPFGLCGCQEENTKGNFGEIEEDSCEQNCRNAIENSNVNIQLEMPLPTSSIVVSVDEWQTVNSGRDEIGKWLLNSDNLEFIDQIGPNSFKGVHKGKRVGIEKLKGCDKGNSYEFELRKDLLELMTCGHKNILQFYGICVDENHGLCVVTKLMEGGSVNELMLKNKKLQTKEIVRIATDVAEGIKFMNDHGVAYRDLNTQRIMLDRHGNACLGDMGIVTACKSMGEAMEYETDGYRWLAPEVCSLSFFTSFKIHVFERSQF >Potri.001G280700.1.v4.1 pep chromosome:Pop_tri_v4:1:29440889:29445000:-1 gene:Potri.001G280700.v4.1 transcript:Potri.001G280700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280700.v4.1 MAAALECWSSRASTDEDMVEQVLMRTQDRSETSSSSITTTATSLQLSDKITNLSQKDTISSSSAMQKRLQRLSRNVSEAIASLKNSLNLDSPRDSLVQLTSSQQGNANGNKSERCRKVVWASVVRNLTQLYPGSQLPEKLVSNIRKHYDSLPLSYAQAGFDMKEVFLHIKLIEQTSVDEQPAIMIQEVSDDEVQGCVYKLTFACNSSISWPAMSGALDSASICCKKIQIFEKKGFTLGVVLLLVQAGQEKSFRARIESALKSSVKKSKSTTVKLPFGLCGCQEENTKGNFGEIEEDSCEQNCRNAIENSNVNIQLEMPLPTSSIVVSVDEWQTVNSGRDEIGKWLLNSDNLEFIDQIGPNSFKGVHKGKRVGIEKLKGCDKGNSYEFELRKDLLELMTCGHKNILQFYGICVDENHGLCVVTKLMEGGSVNELMLKNKKLQTKEIVRIATDVAEGIKFMNDHGVAYRDLNTQRIMLDRHGNACLGDMGIVTACKSMGEAMEYETDGYRWLAPEIIAGDPENITETWMSNAYSFGMVVWEMVTGEAAYAAYSPVQAAVGIAACGLRPEIPKDCPQLLKSLMTKCWNNSPSKRPKFSEILSILLRPSNNINR >Potri.011G142100.1.v4.1 pep chromosome:Pop_tri_v4:11:17195446:17199364:-1 gene:Potri.011G142100.v4.1 transcript:Potri.011G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G142100.v4.1 MTCFSFLFGRRIDSPQQFGVVEEDLSHVHNVKCYSYKELRNATEDFSTANKIGEGGFGSVYKGRLKHGEIAAIKVLSAESRQGVPEFLAEIKTMSEIEHENLVKLYGCCAEGNHRILVYNYLENNSLAQTLLGGGHSHINIQFSWRTRTRICIGVARGLAFLHDEVKPCIVHRDIKASNILLDKDLTPKISDFGLAKLIPDHMTHVSTRVAGTLGYLAPEYAIRGQLTRKADLYSFGVLLVEIVCGRNNTNTRLPVAEQYLLERAWDLYERRELVALVDTALDGDFDAEEACRFLKIGLLCTQDNPKLRPSMSTVVRMLTGQKDLDESKIMKPGLISDFMDLKVRAPFNTKASATTSFNAFSGSEMLDSSILSSENSSTATPTTLTGLYGRSI >Potri.004G174900.2.v4.1 pep chromosome:Pop_tri_v4:4:19030012:19033981:1 gene:Potri.004G174900.v4.1 transcript:Potri.004G174900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G174900.v4.1 MSASRFIKCVTVGDGAVGKTCMLISYTSNTFPTDYVPTVFDNFSANVVVDGCTVNLGLWDTAGQEDYNRLRPLSYRGADIFLLAFSLISKASYENVAKKWIPELRHYAPGVPIILVGTKLDLRDDKQFFLDHPGAVPITTAQGEELRKLIGAPVYIECSSKTQQNVKAVFDAAIKVVLQPPKQKKKKKRKAQKACSIL >Potri.001G051400.4.v4.1 pep chromosome:Pop_tri_v4:1:3868590:3874418:-1 gene:Potri.001G051400.v4.1 transcript:Potri.001G051400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051400.v4.1 MAGIDISKYAHSPVHKAIAAKDYATLRKILAGLPRLCNPAEIRNEAISLAEEEKADAIATAIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICNREEGTAMIIVRHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPSGSLCMITHKDKEVMNALDGAGSLATDEEVRQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGSWKAKVYDMHNVVVSIKSRKVPGAMSDDEFFSSCNDNETESEELNDILTEEERRQLEVALKLETSELSSENGDGIIAHRHSCYEPREVPIEDANGCRNGETKQEKKGWFGGWRKRDSKVEGQKKIVPPRSSLCVDEKVSDLLGDSPSTSQPKPGRHSVEIVLRDEHRKGRDSRASTSVSSESNNRRKEGGRENEYKKGLRPTLWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPSSPTAALRESPMVMQSSTSSWFQWIKAPYQRPSLSAGGSSSRIENIQDPFAIPPDYTWVTAEAKKKKMQEKNKSKKARNHGH >Potri.001G051400.2.v4.1 pep chromosome:Pop_tri_v4:1:3868652:3874453:-1 gene:Potri.001G051400.v4.1 transcript:Potri.001G051400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G051400.v4.1 MAGIDISKYAHSPVHKAIAAKDYATLRKILAGLPRLCNPAEIRNEAISLAEEEKADAIATAIDRRDVPNRDTPLHLAVKLGDETATEMLMVAGADWSLQNEQGWSALQEAICNREEGTAMIIVRHYQPLAWAKWCRRLPRLVGTMRRMRDFYMEITFHFESSVIPFISRIAPSDTYKIWKRGANLRADMTLAGFDGFRIQRSDQSILFLGDGSEDGKVPSGSLCMITHKDKEVMNALDGAGSLATDEEVRQEVAAMSQTNIFRPGIDVTQAVLLPQLTWRRQEKTEMVGSWKAKVYDMHNVVVSIKSRKVPGAMSDDEFFSSCNDNETESEELNDILTEEERRQLEVALKLETSELSSENGDGIIAHRHSCYEPREVPIEDANGCRNGETKQEKKGWFGGWRKRDSKVEGQKKIVPPRSSLCVDEKVSDLLGDSPSTSQPKPGRHSVEIVLRDEHRKGRDSRASTSVSSESNNRRKEGGRENEYKKGLRPTLWLSPNFPLQTEELLPLLDILANKVKAIRRLRELLTTKLPMGTFPVKVAIPVVPTIRVLVTFTKFEELQPLDEFATPPSSPTAALRESPMVMQSSTSSWFQWIKAPYQRPSLSAGGSSSRIENIQDPFAIPPDYTWVTAEAKKKKMQEKNKSKKARNHGH >Potri.007G019700.2.v4.1 pep chromosome:Pop_tri_v4:7:1509082:1509450:1 gene:Potri.007G019700.v4.1 transcript:Potri.007G019700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G019700.v4.1 MLSSFGEERINIFTNNYRERLDPALLALTYLGIEDHGFFKCIEDLIQRISVTPAEVSQQLKKCNKTQGAIESLIEFLNMEEESAEEDNDTEDEMIFFLNQAGPFPACHFKYRLHSNFPVEFA >Potri.001G243400.3.v4.1 pep chromosome:Pop_tri_v4:1:26110980:26116509:1 gene:Potri.001G243400.v4.1 transcript:Potri.001G243400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243400.v4.1 MSSVPQSANLSYSDLARSNGGGSNLNSPSLMADRQVELQKLAFPSGNSYMKGSPTSALGGGGGLPAQYQHLDGINSSLPNYGLSGYSMNPALASMIAQQLGTGNLPPLFENVAAASAMAIPGMDSRVLGSGLGSGTNLTAASLESYNLGRGGSPIAGSALQAPFVDPMYLQYLRTPDYAATQLSAINDPSLDRNYLGNSYLNFLEIQKAYGLLSSQKSQYGVPLGGKSGSSTHHGYFGNPAFGVGMPYPGSPLASPVIPNSPVGPASPLRHNELNMRFPSGMRNLAGGIMGHWPLDAGCNMDENYAPSLLEEFKSNKTKCLELSEIVGHVVEFSADQYGSRFIQQKLETATMDEKNVVYEEIMPQALPLMTDVFGNYVIQKFFEHGLPSQRRELAGNLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVSTFFDQVVNLSTHPYGCRVIQRILEHCKDAKTESKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGKIVQMSQQKFASNVVEKCLTFSGPAERQILVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLNALKKYTYGKHIVARVEKLVAAGERRSAAQSLHPA >Potri.001G243400.2.v4.1 pep chromosome:Pop_tri_v4:1:26109170:26116497:1 gene:Potri.001G243400.v4.1 transcript:Potri.001G243400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243400.v4.1 MLSELGRRPMIGANDGSFGDDLEKEIGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGNGGASFSDFIGGKNGNGFTSEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKGSRADSGNGRSMFSMPPGFESRNQDSEVESEKVSGSLEWGGDGLIGLPGLGLASKQKSFAEIFQDDLGRATPVTGPPSRPASRNAFNENVETLGSAEAELAHLRRELSSADTLRSGANGQGSSPVQNIGQPSYSYAAALGASLSRSTTPDPQHVARAPSPCPTPIGQGRVSTSEKRGTASSNSFIGVSSGIREPSELVAAFSGINLATNGGVDEESHLPSQAEQDVDSHQNYLFGLQGGQNHLKQNTYINKSESGHLHMSSVPQSANLSYSDLARSNGGGSNLNSPSLMADRQVELQKLAFPSGNSYMKGSPTSALGGGGGLPAQYQHLDGINSSLPNYGLSGYSMNPALASMIAQQLGTGNLPPLFENVAAASAMAIPGMDSRVLGSGLGSGTNLTAASLESYNLGRGGSPIAGSALQAPFVDPMYLQYLRTPDYAATQLSAINDPSLDRNYLGNSYLNFLEIQKAYGLLSSQKSQYGVPLGGKSGSSTHHGYFGNPAFGVGMPYPGSPLASPVIPNSPVGPASPLRHNELNMRFPSGMRNLAGGIMGHWPLDAGCNMDENYAPSLLEEFKSNKTKCLELSEIVGHVVEFSADQYGSRFIQQKLETATMDEKNVVYEEIMPQALPLMTDVFGNYVIQKFFEHGLPSQRRELAGNLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVSTFFDQVVNLSTHPYGCRVIQRILEHCKDAKTESKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGKIVQMSQQKFASNVVEKCLTFSGPAERQILVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLNALKKYTYGKHIVARVEKLVAAGGKKEKCCTVPAPCLVVIGKKVVCTANRG >Potri.001G243400.1.v4.1 pep chromosome:Pop_tri_v4:1:26108893:26116618:1 gene:Potri.001G243400.v4.1 transcript:Potri.001G243400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G243400.v4.1 MLSELGRRPMIGANDGSFGDDLEKEIGLLLREQRRQEADDREKELNLYRSGSAPPTVEGSLNAVGGLFGGGGNGGASFSDFIGGKNGNGFTSEKELRSDPAYLSYYYSNVNLNPRLPPPLLSKEDWRSAQRLKGGSSVLGGIGDRRKGSRADSGNGRSMFSMPPGFESRNQDSEVESEKVSGSLEWGGDGLIGLPGLGLASKQKSFAEIFQDDLGRATPVTGPPSRPASRNAFNENVETLGSAEAELAHLRRELSSADTLRSGANGQGSSPVQNIGQPSYSYAAALGASLSRSTTPDPQHVARAPSPCPTPIGQGRVSTSEKRGTASSNSFIGVSSGIREPSELVAAFSGINLATNGGVDEESHLPSQAEQDVDSHQNYLFGLQGGQNHLKQNTYINKSESGHLHMSSVPQSANLSYSDLARSNGGGSNLNSPSLMADRQVELQKLAFPSGNSYMKGSPTSALGGGGGLPAQYQHLDGINSSLPNYGLSGYSMNPALASMIAQQLGTGNLPPLFENVAAASAMAIPGMDSRVLGSGLGSGTNLTAASLESYNLGRGGSPIAGSALQAPFVDPMYLQYLRTPDYAATQLSAINDPSLDRNYLGNSYLNFLEIQKAYGLLSSQKSQYGVPLGGKSGSSTHHGYFGNPAFGVGMPYPGSPLASPVIPNSPVGPASPLRHNELNMRFPSGMRNLAGGIMGHWPLDAGCNMDENYAPSLLEEFKSNKTKCLELSEIVGHVVEFSADQYGSRFIQQKLETATMDEKNVVYEEIMPQALPLMTDVFGNYVIQKFFEHGLPSQRRELAGNLFGHVLTLSLQMYGCRVIQKAIEVVDLDQKIKMVEELDGHVMRCVRDQNGNHVIQKCIECIPEDNIQFIVSTFFDQVVNLSTHPYGCRVIQRILEHCKDAKTESKVMDEILGAVSMLAQDQYGNYVVQHVLEHGKSHERSAIIKELAGKIVQMSQQKFASNVVEKCLTFSGPAERQILVNEMLGTTDENEPLQAMMKDQFANYVVQKVLETCDDQQRELILTRIKVHLNALKKYTYGKHIVARVEKLVAAGERRSAAQSLHPA >Potri.018G046600.1.v4.1 pep chromosome:Pop_tri_v4:18:4030731:4034884:1 gene:Potri.018G046600.v4.1 transcript:Potri.018G046600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G046600.v4.1 MMNGVEKTNGEDIAMEEQLITPWTSSVSSGYTLLRDPRHNKGLAFTEDERDAHYLRGLLPPALLTQKLQEKKLMHNLRQYEVPLQRYMAMMDLQERNERLFYKLLIDNVEELLPVVYTPTVGEACQKYGSIFRRPQGLYISLKEKGKILEVLKNWPERNIQVIVVTDGERILGLGDLGCQGMGIPVGKLSLYTALGGLRPSACLPVTIDVGTNNGKLLNDEFYIGLRQRRATGQEYAELLDEFMTAVKKNYGEKVIVQFEDFANHNAFELLAKYSPTHLVFNDDIQGTASVVLAGLLAALKLVGGTLADHTFLFLGAGEAGTGIAELIALEISKQTNAPLEETRKKIWLVDSKGLIVSSRKGSLQHFKKPWAHDHEPIKGLLDAVKAIKPTVLIGSSGVGKTFTKEVVEAMASINEKPLILALSNPTSQSECTAEEAYTWSEGRAIFASGSPFDPVEYNSRVFVPGQANNAYIFPGFGLGLVISGAIRVHDDMLLAASEALAEQVKQENLDKVLIYPPFSNIRKISANIAAKVAAKAYELGLASRLPRPKDLVKYAESCMYSPLYRSYR >Potri.017G114200.1.v4.1 pep chromosome:Pop_tri_v4:17:12166001:12169229:1 gene:Potri.017G114200.v4.1 transcript:Potri.017G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114200.v4.1 MAMIVLVLTTLLVIFLISFFKIAYDTLSCYFLTPRRIKKIMEKQGVRGPKPRPLIGNILDVADFVSQSTAKDMDHITHDTVNRLLPHYVAWSKQYGKRFIYWNGVEPRLCITETELIKELLTKYSSKAGKSWLQREGTKHFIGRGLLMANGSDWYHQRHIAAPAFMGERLKSYAGLMVECTKKMLQSLQNAVESGQTEVEIGEYMTRVSADIISRTEFDSSYEKGKQIFHLLTELQSLCHQATRHLCLPGSRFFPSNYNRQIKSKKMEVDRLLLEIIQSRKDCVEIGRSSSYGNDLLGILVNEMEKKRSDGFNINLQLIMDECKTFFFAGHETTALLLTWTVMLLASNPSWQEKVRAEVNEVCNGETPSIDHLSKFNLLNMVINESLRLYPPATLLPRMAFEDIKLGDLHVPKGLQIWIPVLAIHHSEEIWGKDANEFNPDRFASKPFAPGRHFIPFATGPRNCIGQSFAMMEAKIILAMLISQFSFHISDSYRHAPVVVLTIKPKYGVQVYLKPLNS >Potri.017G114200.2.v4.1 pep chromosome:Pop_tri_v4:17:12166397:12169230:1 gene:Potri.017G114200.v4.1 transcript:Potri.017G114200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114200.v4.1 MANGSDWYHQRHIAAPAFMGERLKSYAGLMVECTKKMLQSLQNAVESGQTEVEIGEYMTRVSADIISRTEFDSSYEKGKQIFHLLTELQSLCHQATRHLCLPGSRFFPSNYNRQIKSKKMEVDRLLLEIIQSRKDCVEIGRSSSYGNDLLGILVNEMEKKRSDGFNINLQLIMDECKTFFFAGHETTALLLTWTVMLLASNPSWQEKVRAEVNEVCNGETPSIDHLSKFNLLNMVINESLRLYPPATLLPRMAFEDIKLGDLHVPKGLQIWIPVLAIHHSEEIWGKDANEFNPDRFASKPFAPGRHFIPFATGPRNCIGQSFAMMEAKIILAMLISQFSFHISDSYRHAPVVVLTIKPKYGVQVYLKPLNS >Potri.006G171128.1.v4.1 pep chromosome:Pop_tri_v4:6:17343115:17344582:-1 gene:Potri.006G171128.v4.1 transcript:Potri.006G171128.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171128.v4.1 MLCKMGLALPQAAVVASNSFEELDPDAVILFKSRLPKFLNIGPFVLTSPDPFMSDPHGCLEWLDKQKQEFVVYISFGSVITLPPQELAELVEALKECKLPFLWSFRGNPKEELPEEFLERTKEKGKVVSWTPQLKVLRHKAIRVFVTHSGWNSVLDSIAGCVPMICRPFFGDQTVNMRTIEAVWGTGLEIEGGRITKGGLMKAMRLIMSTDEGNKMRKKLQHLQGLALDAMQSSGSSTKNFETLLKVVAK >Potri.014G021000.1.v4.1 pep chromosome:Pop_tri_v4:14:1278202:1279273:1 gene:Potri.014G021000.v4.1 transcript:Potri.014G021000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G021000.v4.1 MFQHEIVKFKSQIEDMEKQLRIFEGDLSNLTTLCEVEYQEQILEEVWKRVRMHKQVLEEKYNSLGAPPASQVSLTSTSSPETAEVKSYITENPHVQILNFLNSNGLLPARDQEQRVAEILPPTSSTLLDGQKLNLVDHLSPGDDNNVQRPEFGQVIINSNLSPWTEFYQTGIY >Potri.010G036500.8.v4.1 pep chromosome:Pop_tri_v4:10:6576838:6577392:-1 gene:Potri.010G036500.v4.1 transcript:Potri.010G036500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036500.v4.1 MQKNVFRRAQSDDDQKTMDLREKLSRTVQPSGHPLSTNLDARQRMPEPTDTSILGRIPPTRSADDLHHMYSSRNSFSSWTLDHIRRRSPDRVISSSRGLSPPRNVDNLQRRPLNRTYDDFRTVSYMNKDVLDTPRSVSSSSTFMTKSAMPPPSTVPATSVAPRMSQLPPSSGLVHKSSYAVLVC >Potri.010G036500.1.v4.1 pep chromosome:Pop_tri_v4:10:6573541:6578488:-1 gene:Potri.010G036500.v4.1 transcript:Potri.010G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036500.v4.1 MTETSKARVTITLGRSGQVVKRAATISDDYSNSQQGAGSKRSVMDRLGHQLSNKRQRGDSSLTSLGSNGVKDGRIDKDDLRYKLMQKNVFRRAQSDDDQKTMDLREKLSRTVQPSGHPLSTNLDARQRMPEPTDTSILGRIPPTRSADDLHHMYSSRNSFSSWTLDHIRRRSPDRVISSSRGLSPPRNVDNLQRRPLNRTYDDFRTVSYMNKDVLDTPRSVSSSSTFMTKSAMPPPSTVPATSVAPRMSQLPPSSGLVHKSSYAAEEQQTVEGLLHSLGLGKYAILFKAEEIDMPALKQMGESDLKELGIPMGPRKKILLALLPRSKWHP >Potri.005G123850.1.v4.1 pep chromosome:Pop_tri_v4:5:9197758:9198500:-1 gene:Potri.005G123850.v4.1 transcript:Potri.005G123850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G123850.v4.1 MSRALLLLPLFYLSQRCWMLLTYGDCCFPSSFAVIQDSPKPLCCHAPLPSNNPFSVMQPSQDQDEDG >Potri.007G133400.3.v4.1 pep chromosome:Pop_tri_v4:7:14621250:14627032:1 gene:Potri.007G133400.v4.1 transcript:Potri.007G133400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133400.v4.1 MENSFSSKEKGMGYWASPRAQMDSVTTFDGAPRNSFFEDPFNSFSELMNFDMYAGWCNNSSAMDQMLAPYGTPSFPSTSYPSFDAGSFAEQNSASIQETINAAGTSYNGGDKVMLQQTNSHFGCPSDSIDADDLGAKHSNGAGQQNHFPNTTHYIMSQPVGPSLDERMLRALSLLKVSYGGGILAQVWVPIRSGDQYMLSTSEQPYLLDQMLAGFREVSRTFTFSAEVKPGVPLGLPGRVFISKVPEWTSNVRYYRKAEYLRAKHAVDHEVRGSFALPIFDPDEMSCCAVLELVTVKEKPDFDSEMENVCHALEAVNLRSTAPPRLLPQCLSSNKRAALSEIADVLRAVCHAHRLPLALTWMPCNYTEEAVDEIIKVRVKEANSRSSGKCILCIEGTACYVNDREMQGFVHACAEHYIEEGQGIAGKAVQSNHPFFFPDVKTYDITEYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILELFLPVNIKESSDQQLLLNNLSGTMQRICKSLRTVSDTEFAGQECSEVGLPKEAVPSFQPMSISNGSSQTALSEGNLNSAAKMPLNVCSSKNDQIESNSSNEQTTSGSRRQVEKKRSTAEKTVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPTTGGFVAGGSMNQEFDHRNGFVFQAKNLSNGNSEPANHDVVSVLPASCTDGNNSTVKVEEDECCIGSGGMLKECSVHVIDCSADSKSVAIDAGLCEQTSFGSGSWACLEIDPPGSFAKAGNIGGMKNGGIILENSDSRIVPRSSLPFVAAQEMDTKMEGDDGNVERNQPTCSSMTDSSNSSGSIMHGSISSSPSFEERKHSEEKTSFGDGDLKITVKARYREDIIRFKFDPSAAGCFQLYEEVSKRFKLQTGTFQLKYLDDEEEWVLLVSDSDLLECLEIMEYVGTRSVKFLVRDTPFAMGSSDSSSCFLTGRS >Potri.007G133400.1.v4.1 pep chromosome:Pop_tri_v4:7:14621383:14627021:1 gene:Potri.007G133400.v4.1 transcript:Potri.007G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133400.v4.1 MENSFSSKEKGMGYWASPRAQMDSVTTFDGAPRNSFFEDPFNSFSELMNFDMYAGWCNNSSAMDQMLAPYGTPSFPSTSYPSFDAGSFAEQNSASIQETINAAGTSYNGGDKVMLQQTNSHFGCPSDSIDADDLGAKHSNGAGQQNHFPNTTHYIMSQPVGPSLDERMLRALSLLKVSYGGGILAQVWVPIRSGDQYMLSTSEQPYLLDQMLAGFREVSRTFTFSAEVKPGVPLGLPGRVFISKVPEWTSNVRYYRKAEYLRAKHAVDHEVRGSFALPIFDPDEMSCCAVLELVTVKEKPDFDSEMENVCHALEAVNLRSTAPPRLLPQCLSSNKRAALSEIADVLRAVCHAHRLPLALTWMPCNYTEEAVDEIIKVRVKEANSRSSGKCILCIEGTACYVNDREMQGFVHACAEHYIEEGQGIAGKAVQSNHPFFFPDVKTYDITEYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILELFLPVNIKESSDQQLLLNNLSGTMQRICKSLRTVSDTEFAGQECSEVGLPKEAVPSFQPMSISNGSSQTALSEGNLNSAAKMPLNVCSSKNDQIESNSSNEQTTSGSRRQVEKKRSTAEKTVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPTTGGFVAGGSMNQEFDHRNGFVFQAKNLSNGNSEPANHDVVSVLPASCTDGNNSTVKVEEDECCIGSGGMLKECSVHVIDCSADSKSVAIDAGLCEQTSFGSGSWACLEIDPPGSFAKAGNIGGMKNGGIILENSDSRIVPRSSLPFVAAQEMDTKMEGDDGNVERNQPTCSSMTDSSNSSGSIMHGSISSSPSFEERKHSEEKTSFGDGDLKITVKARYREDIIRFKFDPSAAGCFQLYEEVSKRFKLQTGTFQLKYLDDEEEWVLLVSDSDLLECLEIMEYVGTRSVKFLVRDTPFAMGSSDSSSCFLTGRS >Potri.007G133400.4.v4.1 pep chromosome:Pop_tri_v4:7:14621313:14627033:1 gene:Potri.007G133400.v4.1 transcript:Potri.007G133400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133400.v4.1 MENSFSSKEKGMGYWASPRAQMDSVTTFDGAPRNSFFEDPFNSFSELMNFDMYAGWCNNSSAMDQMLAPYGTPSFPSTSYPSFDAGSFAEQNSASIQETINAAGTSYNGGDKVMLQQTNSHFGCPSDSIDADDLGAKHSNGAGQQNHFPNTTHYIMSQPVGPSLDERMLRALSLLKVSYGGGILAQVWVPIRSGDQYMLSTSEQPYLLDQMLAGFREVSRTFTFSAEVKPGVPLGLPGRVFISKVPEWTSNVRYYRKAEYLRAKHAVDHEVRGSFALPIFDPDEMSCCAVLELVTVKEKPDFDSEMENVCHALEAVNLRSTAPPRLLPQCLSSNKRAALSEIADVLRAVCHAHRLPLALTWMPCNYTEEAVDEIIKVRVKEANSRSSGKCILCIEGTACYVNDREMQGFVHACAEHYIEEGQGIAGKAVQSNHPFFFPDVKTYDITEYPLVHHARKYGLNAAVAIRLRSTYTGDDDYILELFLPVNIKESSDQQLLLNNLSGTMQRICKSLRTVSDTEFAGQECSEVGLPKEAVPSFQPMSISNGSSQTALSEGNLNSAAKMPLNVCSSKNDQIESNSSNEQTTSGSRRQVEKKRSTAEKTVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLRKIQTVLDSVQGVEGGLKFDPTTGGFVAGGSMNQEFDHRNGFVFQAKNLSNGNSEPANHDVVSVLPASCTDGNNSTVKVEEDECCIGSGGMLKECSVHVIDCSADSKSVAIDAGLCEQTSFGSGSWACLEIDPPGSFAKAGNIGGMKNGGIILENSDSRIVPRSSLPFVAAQEMDTKMEGDDGNVERNQPTCSSMTDSSNSSGSIMHGSISSSPSFEERKHSEEKTSFGDGDLKITVKARYREDIIRFKFDPSAAGCFQLYEEVSKRFKLQTGTFQLKYLDDEEEWVLLVSDSDLLECLEIMEYVGTRSVKFLVRDTPFAMGSSDSSSCFLTGRS >Potri.011G162800.1.v4.1 pep chromosome:Pop_tri_v4:11:18723525:18725347:1 gene:Potri.011G162800.v4.1 transcript:Potri.011G162800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162800.v4.1 MTSMSSSMLPFLLCLLFSLSRVTSARPHEDFLQCLSLHFEDSTAISKVIYTPKNSSYSSILHFAIRNPRFNSSELKPFVIVTPTDASHIQAAIHCSQKHKLEIRIRSGGHDFEGLSYMSTVPFVIVDLINLRSITVDATNKTAWVQAGATLGELYYRIAEKSRTLAFPAGSCPTIGVGGHFSGGGYSTISRKYGLASDNVIDAQLIDAKGRILDRESMGEDLFWAIRGGGGQSFGVVIAWRIELVEVPPKVTVFTAARTLEQNATKLIHRWQYVANQLPEDIIIDVLVNRVNSSEEGKSTIQAAFFSLFLGEVDQLLLLMQESFPELGLAKDECTEMSWIESVIYIIGFPSNASLNVLLDRTPQPPSLQFKAKSDYVQEPIPEIAFEGIWKRFFEKDIEVPVFFMIPYGGKMDEISESSTPFPHRAGNRYIFVPVVSWSEETKEASQRHLAWIRRLYRYMTPYVSKNPRAAYVNYRDLDLGVNNLGYTSYKQASIWGRKYFKNNFDRLVRVKTEVDPTNFFRNEQSIPPLSSW >Potri.006G047100.9.v4.1 pep chromosome:Pop_tri_v4:6:3203922:3213759:-1 gene:Potri.006G047100.v4.1 transcript:Potri.006G047100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047100.v4.1 MLGEHIQKYKRRYKDPLPSPAPPPPPPPPPRMGIPIPKSSLGGSKTRKLGSEQRGGLHDMETTSEWANDITPSKRRDYHEPEFTPKIYYEPPYLDIGDGVTYRIPPSYDKLAASLNLPSFSDMRVEEFYLKGTLDLGSLAAMIANDKRFGPRSQAGMGEPQSQYESLQARLKALTASSSAEKFSLKISEEALNSTIPEGAAGNIRRSILSEGGVMQVYYVKVLEKGDTYEIIERGLPKKPKIIKDPSIIEREEMEKIGKVWVNIVRRDIPKHHRIFTTLHRKQLIDAKRFSENCQREVKMKVSRSLKIMKGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELYSHFMSNKPSSQHSEALPIGDEMTDDQGMDLSTAEAGLDDQEEDPEDAELRKEALKAAQDAVSKQKLLTSAFDIECSKLREAADIEGPINDASVAGSSNIDLQTPSTMPVTSTVQAPELFRGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLIVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEVMVHCKLSSRQQAFYQAIKNKISLAELFDGSRGHFNEKKIMNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSFLPPPFGELEDIHYSGGRNPITYKVPKVVHNEIVQSSEVLCSAIGRGVGRESFQKHFNIFSPENVYRSVYAQDNISDRLLIKSGTFGFTHLMDLSPAEVAFLATSSFMERLLFSIMRQGQQFLDGTIDLLMEDMEDDNGNHLEKCKVRAVTRMLLMPSRSETDILKRKIATGLADNPFKALVNSHQDRLLSSIKLLNSTYTSIPRTRAPPIDGQCSDRNFAYQMMEELHQPRVKRLLIGFARTSEFNGPRKPEGPHPLVQEIESELPVSQPALQLTYKIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKHTVQQLVMTGGHVQDDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRQKKKPTKAIRVDAEGDATLEDLTETVTQGTGNEPSEDTEKLKSNNKKRKAASDKQITPKPRNSQKNELNSTSMDYELDDPFLNDEPQSQRPKRLKRPKKSVNEKLEPAFTATPQVDSSQMQYPHANNLPSTYSNT >Potri.006G047100.8.v4.1 pep chromosome:Pop_tri_v4:6:3203923:3214544:-1 gene:Potri.006G047100.v4.1 transcript:Potri.006G047100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047100.v4.1 MANFVNRNLSERELNSVKRKRRYNNSEGEEEDRHFRARITEDKYRSMLGEHIQKYKRRYKDPLPSPAPPPPPPPPPRMGIPIPKSSLGGSKTRKLGSEQRGGLHDMETTSEWANDITPSKRRDYHEPEFTPKIYYEPPYLDIGDGVTYRIPPSYDKLAASLNLPSFSDMRVEEFYLKGTLDLGSLAAMIANDKRFGPRSQAGMGEPQSQYESLQARLKALTASSSAEKFSLKISEEALNSTIPEGAAGNIRRSILSEGGVMQVYYVKVLEKGDTYEIIERGLPKKPKIIKDPSIIEREEMEKIGKVWVNIVRRDIPKHHRIFTTLHRKQLIDAKRFSENCQREVKMKVSRSLKIMKGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELYSHFMSNKPSSQHSEALPIGDEMTDDQGMDLSTAEAGLDDQEEDPEDAELRKEALKAAQDAVSKQKLLTSAFDIECSKLREAADIEGPINDASVAGSSNIDLQTPSTMPVTSTVQAPELFRGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLIVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEVMVHCKLSSRQQAFYQAIKNKISLAELFDGSRGHFNEKKIMNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSFLPPPFGELEDIHYSGGRNPITYKVPKVVHNEIVQSSEVLCSAIGRGVGRESFQKHFNIFSPENVYRSVYAQDNISDRLLIKSGTFGFTHLMDLSPAEVAFLATSSFMERLLFSIMRQGQQFLDGTIDLLMEDMEDDNGNHLEKCKVRAVTRMLLMPSRSETDILKRKIATGLADNPFKALVNSHQDRLLSSIKLLNSTYTSIPRTRAPPIDGQCSDRNFAYQMMEELHQPRVKRLLIGFARTSEFNGPRKPEGPHPLVQEIESELPVSQPALQLTYKIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKHTVQQLVMTGGHVQDDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRQKKKPTKAIRVDAEGDATLEDLTETVTQGTGNEPSEDTEKLKSNNKKRKAASDKQITPKPRNSQKNELNSTSMDYELDDPFLNDEPQSQRPKRLKRPKKSVNEKLEPAFTATPQVDSSQMQYPHANNLPSTYSNT >Potri.006G047100.7.v4.1 pep chromosome:Pop_tri_v4:6:3203924:3214549:-1 gene:Potri.006G047100.v4.1 transcript:Potri.006G047100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047100.v4.1 MANFVNRNLSERELNSVKRKRRYNNSEGEEEDRHFRARITEDKYRSMLGEHIQKYKRRYKDPLPSPAPPPPPPPPPRMGIPIPKSSLGGSKTRKLGSEQRGGLHDMETTSEWANDITPSKRRDYHEPEFTPKIYYEPPYLDIGDGVTYRIPPSYDKLAASLNLPSFSDMRVEEFYLKGTLDLGSLAAMIANDKRFGPRSQAGMGEPQSQYESLQARLKALTASSSAEKFSLKISEEALNSTIPEGAAGNIRRSILSEGGVMQVYYVKVLEKGDTYEIIERGLPKKPKIIKDPSIIEREEMEKIGKVWVNIVRRDIPKHHRIFTTLHRKQLIDAKRFSENCQREVKMKVSRSLKIMKGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELYSHFMSNKPSSQHSEALPIGDEMTDDQGMDLSTAEAGLDDQEEDPEDAELRKEALKAAQDAVSKQKLLTSAFDIECSKLREAADIEGPINDASVAGSSNIDLQTPSTMPVTSTVQAPELFRGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLIVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEVMVHCKLSSRQQAFYQAIKNKISLAELFDGSRGHFNEKKIMNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSFLPPPFGELEDIHYSGGRNPITYKVPKVVHNEIVQSSEVLCSAIGRGVGRESFQKHFNIFSPENVYRSVYAQDNISDRLLIKSGTFGFTHLMDLSPAEVAFLATSSFMERLLFSIMRQGQQFLDGTIDLLMEDMEDDNGNHLEKCKVRAVTRMLLMPSRSETDILKRKIATGLADNPFKALVNSHQDRLLSSIKLLNSTYTSIPRTRAPPIDGQCSDRNFAYQMMEELHQPRVKRLLIGFARTSEFNGPRKPEGPHPLVQEIESELPVSQPALQLTYKIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKHTVQQLVMTGGHVQDDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRQKKKPTKAIRVDAEGDATLEDLTETVTQGTGNEPSEDTEKLKSNNKKRKAASDKQITPKPRNSQKNELNSTSMDYELDDPFLNDEPQSQRPKRLKRPKKSVNEKLEPAFTATPQVDSSQMQYPHANNLPSTYSNT >Potri.006G047100.10.v4.1 pep chromosome:Pop_tri_v4:6:3203840:3213238:-1 gene:Potri.006G047100.v4.1 transcript:Potri.006G047100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047100.v4.1 MRVEEFYLKGTLDLGSLAAMIANDKRFGPRSQAGMGEPQSQYESLQARLKALTASSSAEKFSLKISEEALNSTIPEGAAGNIRRSILSEGGVMQVYYVKVLEKGDTYEIIERGLPKKPKIIKDPSIIEREEMEKIGKVWVNIVRRDIPKHHRIFTTLHRKQLIDAKRFSENCQREVKMKVSRSLKIMKGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELYSHFMSNKPSSQHSEALPIGDEMTDDQGMDLSTAEAGLDDQEEDPEDAELRKEALKAAQDAVSKQKLLTSAFDIECSKLREAADIEGPINDASVAGSSNIDLQTPSTMPVTSTVQAPELFRGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLIVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEVMVHCKLSSRQQAFYQAIKNKISLAELFDGSRGHFNEKKIMNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSFLPPPFGELEDIHYSGGRNPITYKVPKVVHNEIVQSSEVLCSAIGRGVGRESFQKHFNIFSPENVYRSVYAQDNISDRLLIKSGTFGFTHLMDLSPAEVAFLATSSFMERLLFSIMRQGQQFLDGTIDLLMEDMEDDNGNHLEKCKVRAVTRMLLMPSRSETDILKRKIATGLADNPFKALVNSHQDRLLSSIKLLNSTYTSIPRTRAPPIDGQCSDRNFAYQMMEELHQPRVKRLLIGFARTSEFNGPRKPEGPHPLVQEIESELPVSQPALQLTYKIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKHTVQQLVMTGGHVQDDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRQKKKPTKAIRVDAEGDATLEDLTETVTQGTGNEPSEDTEKLKSNNKKRKAASDKQITPKPRNSQKNELNSTSMDYELDDPFLNDEPQSQRPKRLKRPKKSVNEKLEPAFTATPQVDSSQMQYPHANNLPSTYSNT >Potri.006G047100.4.v4.1 pep chromosome:Pop_tri_v4:6:3203929:3214765:-1 gene:Potri.006G047100.v4.1 transcript:Potri.006G047100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G047100.v4.1 MDNRRQAKDSLSYSNLFNLESLVNFRVPQPDDEFDYYGSSSQDESRGSQGGAMANFVNRNLSERELNSVKRKRRYNNSEGEEEDRHFRARITEDKYRSMLGEHIQKYKRRYKDPLPSPAPPPPPPPPPRMGIPIPKSSLGGSKTRKLGSEQRGGLHDMETTSEWANDITPSKRRDYHEPEFTPKIYYEPPYLDIGDGVTYRIPPSYDKLAASLNLPSFSDMRVEEFYLKGTLDLGSLAAMIANDKRFGPRSQAGMGEPQSQYESLQARLKALTASSSAEKFSLKISEEALNSTIPEGAAGNIRRSILSEGGVMQVYYVKVLEKGDTYEIIERGLPKKPKIIKDPSIIEREEMEKIGKVWVNIVRRDIPKHHRIFTTLHRKQLIDAKRFSENCQREVKMKVSRSLKIMKGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELYSHFMSNKPSSQHSEALPIGDEMTDDQGMDLSTAEAGLDDQEEDPEDAELRKEALKAAQDAVSKQKLLTSAFDIECSKLREAADIEGPINDASVAGSSNIDLQTPSTMPVTSTVQAPELFRGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLIVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMVLRKNINPKRLYRRDAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVISELTRKTEVMVHCKLSSRQQAFYQAIKNKISLAELFDGSRGHFNEKKIMNLMNIVIQLRKVCNHPELFERNEGSTYFYFGEIPNSFLPPPFGELEDIHYSGGRNPITYKVPKVVHNEIVQSSEVLCSAIGRGVGRESFQKHFNIFSPENVYRSVYAQDNISDRLLIKSGTFGFTHLMDLSPAEVAFLATSSFMERLLFSIMRQGQQFLDGTIDLLMEDMEDDNGNHLEKCKVRAVTRMLLMPSRSETDILKRKIATGLADNPFKALVNSHQDRLLSSIKLLNSTYTSIPRTRAPPIDGQCSDRNFAYQMMEELHQPRVKRLLIGFARTSEFNGPRKPEGPHPLVQEIESELPVSQPALQLTYKIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKHTVQQLVMTGGHVQDDLLAPEDVVSLLLDDAQLEQKLREIPLQAKDRQKKKPTKAIRVDAEGDATLEDLTETVTQGTGNEPSEDTEKLKSNNKKRKAASDKQITPKPRNSQKNELNSTSMDYELDDPFLNDEPQSQRPKRLKRPKKSVNEKLEPAFTATPQVDSSQMQYPHANNLPSTYSNT >Potri.007G003200.4.v4.1 pep chromosome:Pop_tri_v4:7:217578:223435:1 gene:Potri.007G003200.v4.1 transcript:Potri.007G003200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003200.v4.1 MNQHAVVSVTKSETSKGVTQPFCTTLFPIQNSSSSKSDCQTSLTGESSSPRPSPLIRTESLGSPSKMQLSTAQHQMCCLKFGPDSPLSPTSHVQSSKSTFQRSSVFCTSLYLSSSSISETNRQLGNLPFLPHPPTYSHSVSATDSTKSPLLFSEDLSNQCDEEHSDAFMKDFLNLSGNASEGSFHGMNYTGGNLELTEQLELQFLSDELEIAITDHGENPGLDEIYGTHETSSKPATGFACNQDSPSVDALSSHPSPGSSTAHKPRMRWTPELHERFVEAVNKLDGAEKATPKGVLKLMNVKGLTIYHVKSHLQKYRLAKYLPEKKEEKKASCSEEKKVASINIDGDVKKKGTIQITEALRMQMEVQKQLHEQLEVQRTLQLRIEEHARYLQKIIEQQNAGSALLSPKSLSASTNPPKDSELPPPSPSAVAESKTDLSSPLPSSKHKAADSDNFEKQTSEKRIRLEEKSESASEDAVVEDPPV >Potri.005G086800.1.v4.1 pep chromosome:Pop_tri_v4:5:6039086:6041796:1 gene:Potri.005G086800.v4.1 transcript:Potri.005G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086800.v4.1 MPEKIKLQLFPIDEATLVGLEKDGYHPYLELTLSARKKVSSVLKHLNDKWGSSKIASGEPVLYPYNVAEGLARRKWTLSDIDITAGEVYAAIGNPSVFRLRYGWFSKSEIEPVGVPSASTADEACLQPQFVQKVSSINTESTCGEVKRMEETSEEFKPSTSTGAMNAVLADKISSDGSIGPKGNETKMDVGIGQPSTLWNDGLTDISIGGLLSEASLQGLLNSCDPRSNGSNPGLQPSQIISDSFDAFITAQVNGFQEPRLPPHSSSSSILDAEDTCHAFAVKKLSASGKDCRTLSGSAYSQTCSQDTDSKSSMHPTTTEDLGCKESETELSLGSRMYNHENSLGLSGIKWTDSLGPFDLGLSSSRKIINGDSLSIGRIIASD >Potri.012G033932.1.v4.1 pep chromosome:Pop_tri_v4:12:3057639:3058764:1 gene:Potri.012G033932.v4.1 transcript:Potri.012G033932.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G033932.v4.1 MLATMARVSQATFLLIPLLVLLLNKSSHAAGGISIYWGQNGNEGTLAQTCATGRYAYVNIAFLNKFGNGQPPEMNLAGHCNPANGGCKIVSSGIKSCQQQGIKVLLSLGGSIGNYTLASKDDARGVADYLWSNFLGGRSSSRPLGDAVLDGIDFGIGQGSTLYWEDLARFLSKYGEQGRKVYLAAAPQCPFPDRNLGTALNTGLFDYVWVQFYSNGPCQYSSGNTANLISSWNQWAASLVAGTIFLGLPAAPAAARRGYIPPDVLTSQILPVIKMAPKYGGVMLWSKFWDDRNGYSRSILSSV >Potri.006G283500.1.v4.1 pep chromosome:Pop_tri_v4:6:27334986:27336444:-1 gene:Potri.006G283500.v4.1 transcript:Potri.006G283500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283500.v4.1 MAAGKYQESYSSRFSYCKYQVFLSFRGEDTRKNFTDHLYKALVDAGIHTFRDDDEIRRGKNIELELQEAIQQSKIAIIVFSKNYAWSRWCLDELVKIMECKRNGDCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMEWVNGWRIALKEVANLAGMDLGDGYEAQFVQSILENVSKNLDPKIFHVPLHFIGRGPLVQYINSWLQDGTHGAAIALLYGIGGVGKTAIAKSVFNQNYYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDPDEGILKIKDALCCRRTLIVLDDVDKRDEFNKIIVMQNWLCKGSKIIVTTRNKGLFSANDIQWIRYKVELLDDEKSLELFSWNAFGQANPVDGFVEDSWRIVHYCN >Potri.003G092700.1.v4.1 pep chromosome:Pop_tri_v4:3:11898861:11899753:-1 gene:Potri.003G092700.v4.1 transcript:Potri.003G092700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G092700.v4.1 MALYVDEEEIWKCPKHPFKRRRTGICHVCLRERLSSLCPDCANARPCACYATTASSSSGYSSSFSSFHRFSSEAGVGSVGRVSNLIGSEPSFRRSRSVAVPFLRSKPSADQSYNSHKTSSSFWSLFKGGHGNRSMTEEVDRRHVVILKEEEDESARKVNEDEERRKMMRKSRSVAVTSDSRGSDVMRSSKGGKGWFPSPIKILKQSISRGILVHERSPLYRS >Potri.004G208700.2.v4.1 pep chromosome:Pop_tri_v4:4:21576278:21578598:1 gene:Potri.004G208700.v4.1 transcript:Potri.004G208700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208700.v4.1 MSSMTPLLKPDVTLSLTLSPSSPSPHDQTLKPEERGIRLIQLLLKCAKHASSGNLHRADACLLEISVLSSILGDCMQRLAARFASALAIRLVKRWPGIHKALNQAQQPKVDLDRAKLLFTRTFPYLSFAYAIIAKTLLHAMANERVIHIVDLGSGDSNLWVALLRGFANSPHGPPHLKITCVNGSKAILEKLGQRLVKEAESVGVPFQFNSINASLRELTKDMFKAGSGEALAFVSILNLHVLLSEDDQVVAHFGVNKNDGIKDCKQIGDFLAMIRSMSPTLLFVVEQEADHNLNRLVDRFVEGLNYYSAVFDSIDATLASNLASDERLVLEEMFGREIENIVACEGLERIERHERYARWVVRLAQAGFKPVRFWHSSGEDAKQIMDAFGKNGYKTVIERTGLMICWRERPLYALTAWTC >Potri.004G208700.3.v4.1 pep chromosome:Pop_tri_v4:4:21576278:21578598:1 gene:Potri.004G208700.v4.1 transcript:Potri.004G208700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208700.v4.1 MSSMTPLLKPDVTLSLTLSPSSPSPHDQTLKPEERGIRLIQLLLKCAKHASSGNLHRADACLLEISVLSSILGDCMQRLAARFASALAIRLVKRWPGIHKALNQAQQPKVDLDRAKLLFTRTFPYLSFAYAIIAKTLLHAMANERVIHIVDLGSGDSNLWVALLRGFANSPHGPPHLKITCVNGSKAILEKLGQRLVKEAESVGVPFQFNSINASLRELTKDMFKAGSGEALAFVSILNLHVLLSEDDQVVAHFGVNKNDGIKDCKQIGDFLAMIRSMSPTLLFVVEQEADHNLNRLVDRFVEGLNYYSAVFDSIDATLASNLASDERLVLEEMFGREIENIVACEGLERIERHERYARWVVRLAQAGFKPVRFWHSSGEDAKQIMDAFGKNGYKTVIERTGLMICWRERPLYALTAWTC >Potri.004G007000.1.v4.1 pep chromosome:Pop_tri_v4:4:431120:431985:1 gene:Potri.004G007000.v4.1 transcript:Potri.004G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G007000.v4.1 MKYLKIFFLLAMLMSLAIILSATTPEEESFLDFDNEDEENSDLPQLENQETTSSLRGANRFLAQTRAVMTCDKYPRACRAKGSPGPDCCKKKCVNVMTDKLNCGMCGKKCKYPEICCKGQCVNPMSNKKNCGGCSNKCKKGSTCQYGMCSYA >Potri.012G022100.1.v4.1 pep chromosome:Pop_tri_v4:12:2260784:2262959:-1 gene:Potri.012G022100.v4.1 transcript:Potri.012G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022100.v4.1 MSQEIMENKMVINGTNSVSEFTRDTTYTKIFVGGLPWETRSDSLHRYFEQFGEIKEAVVIIDRSTGRSKGYGFVTFKDPGSATRACQNPYPVIDGRRANCNLAAFGAQKKAAGTDKLGPAASRSITPLNTHGPSAYFNQQIPQYAFPNSVFGYPIYPQSTYAMNNFYNAYGGKQFPFYYPAAAPGSPGVYMNYYPLYAQHGQNSPSHYPKMMHNSQQHNALGTLSNPTSASSSLPITKARPAAAAEQTAMRVPGTVSEQKK >Potri.011G030300.1.v4.1 pep chromosome:Pop_tri_v4:11:2470646:2473461:-1 gene:Potri.011G030300.v4.1 transcript:Potri.011G030300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G030300.v4.1 MASSRFLFSVCLLFMKVLAMAQQPSDQPVMLYKDCVGKGNYTTNSTYQANLNQLLTSIYTNTEINNGFYNFSYGQDADTVYSIALCRPDISPDVCRVCIRNASDFLVRLCPNFVEAIGGLDNCMVRYTNRSIFNRMEKGPYFWVYDDRVNVSDVVGFNQSRMTLLGRLSDQAAAGDSRYKYAMDQIDVPKNFQKIYALVQCTPDLSASECRDCLYNASGLIPQCCDARQGGRVIYPSCNFRYEIDRFYDPPTNSIPPPPDSTSNNTVPSPPASTSQGKKGKKRNVIIITVIVPIAVSVILIVCVCIFLRARKQKEEEEVKDLYEMEDVELFQLDFGTVREATGNFSEDNKLGQGGFGTVYKVRENHSPGTLANGQDIAVKRLSRTSGQGELEFKNEVMLVAKLQHRNLVRLLGFCFEKEERILVYEFLPNSSLNNLIFDPVKRVLLDWETLYKIIEGIARGLLYLHEDSRLRIIHRDLKAANILLDENMNPKISDFGMARMFVMDQAQDSTSRVVGTFGYMAPEYVIRGHFSVKSDVYSFGVLVLEIVSGRKIGGSGIGDDGEDLLTYSWRKWNEGTPLDMIDPTLNIGPRSEIMRCINIGLVCVQENEALRPTMAQVSMMLSNYSVTLAAPSKPAFFMHGETSILPLVNASMLTESDESRTKSPQ >Potri.008G219500.1.v4.1 pep chromosome:Pop_tri_v4:8:18120329:18121177:1 gene:Potri.008G219500.v4.1 transcript:Potri.008G219500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219500.v4.1 MSSKSPIFPIPEPQHFSDYGFDPQIDYFQFLEEARNHKRETTTTRSSVDSLHFKLQKPISKEESANRTIHKPNNRKKKWWRKALLFFKWKWIHSNHKDDYLGHGDVHIARARAFGASISGPVYLTDSLSGSSTPYRSTSRPSSGPLAGSLTPARKGDMEIPYLSLRELNMEQRQQQRSISTSAMPIYLVT >Potri.008G152200.2.v4.1 pep chromosome:Pop_tri_v4:8:10451488:10452831:1 gene:Potri.008G152200.v4.1 transcript:Potri.008G152200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152200.v4.1 MIGRQGNVNVSGLATVLALSAPLSKQTIQFFCLIPLPPILSPVHEPHPLFQMGWLQSLLSPLKKLWFRLHSTPKKRRGIYILYEDVKSCQYEDVHVLWSILVESQTPSLPSKH >Potri.008G152200.1.v4.1 pep chromosome:Pop_tri_v4:8:10451488:10452831:1 gene:Potri.008G152200.v4.1 transcript:Potri.008G152200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152200.v4.1 MIGRQGNVNVSGLATVLALSAPLSKQTIQFFCLIPLPPILSPVHEPHPLFQMGWLQSLLSPLKKLWFRLHSTPKKRRGIYILYEDVKSCQYEDVHVLWSILVESQTPSLPSKH >Potri.018G000500.2.v4.1 pep chromosome:Pop_tri_v4:18:35703:44948:-1 gene:Potri.018G000500.v4.1 transcript:Potri.018G000500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000500.v4.1 MPLGEKAGFEKSQSRYCGVETEFNDDFPQLLNFNLSTGSFDFVVAPLMNPTYRPSLLEKDGVLPFAASDLVLSPSQWSSHVVGKISSWIDLDSEDEALRMDSETTLKQEIAWANHLSVQACILPPPKGASCANYARCVNQILQGLNNMQLWLRIPLVKTDDDAMDANSTSFIDSWELWNSFRLLCEHHGQLSIALDILSSLPSVNSLGRWFGETVAAAIINTDSFLTNGRGYPCLSKRHQKLITGFFNHSIQIVISGKPAHSIPRPSSDLAANNFDNNGESPQRHSLRPYLDYVGFLFQRMDPLPEQERFELGYRDFLQSPLQPLMDNLEAQTYETFERDSMKYIQYQRAISKALLDRVPDDKASATTVLMVVGAGRGPLVRASLQAAEETGRKLKIYAVEKNPNAVVTLHSLVKLEGWEDIVTIISCDMRFWDAPEKADILVSELLGSFGDNELSPECLDGAQRFLKQDGISIPSSYTSFIQPLTAAKLYNDVKSHKDLVHFETAYVVKMHNIARLTPSQPVFTFTHPDYSNKKSNQRYKRLQFEIPSDTGSAMVHGFAGYFDAELYKDVHLGIEPSMATPNMFSWFAIFFPLRTPVCVKPGSPLEVHFWRCCGSSKVWYEWCVASPNSSAIHNSNGRSYWVGL >Potri.009G081100.6.v4.1 pep chromosome:Pop_tri_v4:9:7726944:7738328:1 gene:Potri.009G081100.v4.1 transcript:Potri.009G081100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G081100.v4.1 MAQEDEVKGAKVSSEENPNPSTVVIEMARSSTALSPPAPSWFTPKRLLVIFCVINLINYVDRGAIASNGVNGSRRTCSKSGTCTFGSGIQGDFNLSNFEDGVLSSAFMVGLLVACPIFASLAKSVNPFRLIGVGLSVWTVAVVGCGFSFNFWTITICRMLVGVGEASFISLAAPFIDDNAPVAKKTLWLGIFYMCIPTGYALGYVYGGLIGGHFNWRFAFYGEAILMLPFAVLGFVMKPLQLKGFAPAESKKALTSIETAVLEVQDAGVSVVKGSMKSSKSNCAFIDLKQFSRFMIDVKVLLLEKVYVVNVLGYIAYNFVIGAYSYWGPKAGYNIYNMSNADMIFGGVTIVCGIVGTIGGGYVLDLINSTISNAFKLLSAVTFVGAIFCFSAFCFKNMYAFLAFFAIGELLVFATQAPVNYVCLHCVKPSLRPISMAMSTVAIHIFGDVPSSPLVGVLQDHIDNWRKTALILTSILFPAAVIWFIGIFLHGVDRFDEESEHPQVTTADRSNTMPLLDGKAAGAAETLAEP >Potri.013G051600.1.v4.1 pep chromosome:Pop_tri_v4:13:3689556:3691012:-1 gene:Potri.013G051600.v4.1 transcript:Potri.013G051600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G051600.v4.1 MEGLKFVLVFVLLALASSFASAFDPSPLQDFCVAVDETDGVFVNGKFCKDPKDVTEKDFFSSGLDIPGDTSGRVGSNVTAVNIEKIPGLNTLGISFARIDFAPYGLNPPHTHPRATEIIVVVEGTLYVGFVTSNLANGDNRLITKALKPGDVFVFPIGLIHFQFNVGKTKALAFAGLSSQNPGVITIANAVFGSDPPINPDVLAKAFQLDKKIVDYLQKAF >Potri.016G071600.2.v4.1 pep chromosome:Pop_tri_v4:16:5218673:5219749:1 gene:Potri.016G071600.v4.1 transcript:Potri.016G071600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G071600.v4.1 MADKQGLNGAYYGPKIPPTQQYHRPGRGSDCGCCCLVTLLLKVIITVLTLIGLFVLIVWLIFRPINKVKFHVTDVALTEFNYSTNNTMLRYNLAFNVSIRNPNKKIGIYYNRVEAKAFYEGQRFGYSSLTPFYQGHKNTTVLNVVFTGTQPVTLQGEDLKQFNSEKTSGLYSIALELSLRVKFKLGKVKTARFKPKVECDDLKIRLNGPSVAESNNKCKIKF >Potri.005G245300.3.v4.1 pep chromosome:Pop_tri_v4:5:24032671:24036253:-1 gene:Potri.005G245300.v4.1 transcript:Potri.005G245300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245300.v4.1 MVKVRHSRLHTKKWSTFTLVLSMLFMLTVVLFILLGLGAFSLPVSSEDSSPNDLNSYRRMSSESDGDGMGKREEQWTEILSWEPRAFLYHNFLSKEECEYLINLAKPHMMKSTVVDSKTGRSKDSRVRTSSGMFLRRGLDRVIREIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHFDYFLDEFNTKNGGQRTATLLMYLSDVEEGGETVFPAANMNISAVPWWNELSECAKQGLSLKPKMGNALLFWSTRPDATLDPSSLHGSCPVIRGNKWSATKWMHLGEYKI >Potri.005G245300.2.v4.1 pep chromosome:Pop_tri_v4:5:24032371:24036275:-1 gene:Potri.005G245300.v4.1 transcript:Potri.005G245300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G245300.v4.1 MVKVRHSRLHTKKWSTFTLVLSMLFMLTVVLFILLGLGAFSLPVSSEDSSPNDLNSYRRMSSESDGDGMGKREEQWTEILSWEPRAFLYHNFLSKEECEYLINLAKPHMMKSTVVDSKTGRSKDSRVRTSSGMFLRRGLDRVIREIEKRIADFSFIPVEHGEGLQVLHYEVGQKYEAHFDYFLDEFNTKNGGQRTATLLMYLSDVEEGGETVFPAANMNISAVPWWNELSECAKQGLSLKPKMGNALLFWSTRPDATLDPSSLHGSCPVIRGNKWSATKWMHLGEYKI >Potri.002G191000.2.v4.1 pep chromosome:Pop_tri_v4:2:15299754:15304287:-1 gene:Potri.002G191000.v4.1 transcript:Potri.002G191000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G191000.v4.1 MEETFVPFRGIKNDLRGRLMCYKQDWTGGFRAGFRILAPTTYIFFASAIPVISFGEQLERNTDGVLTAVQTLASTAVCGIIHSIIGGQPLLILGVAEPTVIMYTFMFNFAKERADLGRNLFLAWTGWVCVWTSLLLFLLAVLGACSIINRFTRVAGELFGLLIAMLFMQQAIKGLVDEFRIPKREDPKSMEFIPSWRFANGMFALVLSFGLLLTALRSRKARSWRYGSGWLRSLVADYGVPLMVVVWTAVSYIPTGNVPKGIPRRLFSPNPWSPGAYENWTVVKEMLDVPISYIIGAFIPATMIAVLYYFDHSVASQLAQQKEFNLRKPSSYHYDLLLLGFLTLMCGLIGIPPSNGVIPQSPMHTKSLATLKYQLLRNRLVATARTSLRKNASLGQLYGNMQEAYHQIQTPLMYQQPSQGLKEFKESTIQAATCTGHIDAPVDETVFDIEKEIDDLLPVEVKEQRVSNLLQATMVGGCVAAMPFLKMIPTSVLWGYFAFMAIESLPGNQFWERILLLFTAPSRRYKVLEDFHATFVETVPFKSIAMFTIFQTAYLLICFGLTWIPIAGLMFPLMIMLLVPVRQYCLPKFFKGAHLQDLDAAEYEEAPALPFNLATEAELGGGAAYGGDGEILDEVITRSRGEFRHTSSPKISSSTTTPANNPKSLQSPRLSYTYSPRISELRGQKSPKSSGRGLNSPRTGDQKLSKLGKSPSSSEQN >Potri.015G034125.1.v4.1 pep chromosome:Pop_tri_v4:15:2794759:2795311:1 gene:Potri.015G034125.v4.1 transcript:Potri.015G034125.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034125.v4.1 MKLLWFFLRFFCLALLFSTSSQRMIVNRRSALAPDPREGRDVDQPRPGPPAPGGRPSTRP >Potri.017G036000.2.v4.1 pep chromosome:Pop_tri_v4:17:2394326:2397891:1 gene:Potri.017G036000.v4.1 transcript:Potri.017G036000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G036000.v4.1 MVSFELNDRKKIGLGLTGFGIFFSFLGIVFFFDKGFLAMGNILFISGVSLTIGPKSTMQFFMKRQNFKGTISFGAGFFFVVIGWPVIGMILEAYGFIVLFSGFWPTLAVFIQKIPILGWVFQQPFVRSFFDRYRGKRVPV >Potri.009G057500.1.v4.1 pep chromosome:Pop_tri_v4:9:6038853:6041982:1 gene:Potri.009G057500.v4.1 transcript:Potri.009G057500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AAR2 MSAEGKVVCVTGASGYIASWLVKLLLHRGYTVKATVRDPNDPKRTEHLLNLDGAKERLHLFKANLVEEGSFDPVVDGCESVFHVASPVLLGTNIDPQADLIEPAVKGTLNVLKSCAKFPSVKRVILTSSMASVIFNGKPLTPGVVVDETWFSDSAFCVSNKLWYMASKTLAEEAAWKFVKEKGIDMVTINPGFVIGPLLQPTLKSTAELFLDRINGGAPGLPSEIYRFVDVRDVAYAHIQALEIPSASGRYCLVGRVAHFSDAVKIAHELYPTLPLPEKCADDKPSPLNYEVSKEKAKTLGLDFTPLEVSVKDILESLKEKGFLNV >Potri.010G019550.1.v4.1 pep chromosome:Pop_tri_v4:10:3079608:3080945:1 gene:Potri.010G019550.v4.1 transcript:Potri.010G019550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019550.v4.1 MQFLKMISHTQREETAVDVADYGHSRNHVEHQILSPVPGLSYQDSSLTLGILSIIETNLVIIKPRNPQQN >Potri.012G106800.2.v4.1 pep chromosome:Pop_tri_v4:12:12860470:12861762:1 gene:Potri.012G106800.v4.1 transcript:Potri.012G106800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106800.v4.1 MTTNLHEGVCDQKRCWSDLPGDMVALIISRLRAPDCYCFVAVCKSWSSIPLPKFKKEFPTLVYLKSDGQLINFFSPLLCCSTPEIANSVYKNIITSDTTKFSSDIEDNEHDQCCQKGESDRSHCHEPKTIRFAKHGWLLVSQGKHVVFFLNPITNQRIDLPELPRSEVVFDGISFSESPTSPNCTVLAIHVQTYWVFPTFIRRGEDSWTSDPIYIESGFVPSYSSPVFHKGCFYFLGQTGCLAVFDPKIDEEEEEEEEEEEQEEDEEEEDEEEELDKWAVLDNPGNPCTSSPISDCYLVDCNGELMSVFVGYMGQWVRVYMLDPSIMVWKETKDLGDRVLFLSRIGSGLTKTTDLQVPGLENRIYFPRFNKKDGTCAFYDLSAGKFHTSCNNHGREDYYGTTTFSDCAWIEPSYRMLTDQELDWLHKEAS >Potri.001G185200.1.v4.1 pep chromosome:Pop_tri_v4:1:16406460:16408052:-1 gene:Potri.001G185200.v4.1 transcript:Potri.001G185200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G185200.v4.1 MSDFGDLCFSTIPLDLVKPGDDSVLCNKFCIVVEASFMPPVPFDEDDLQDDESQHLYDADCDWVKRDFLVDRDQLLHDLETSRLTIRNILTVMDIPVRAFMIDQVLTCARQMARSVLLLDRKVLYMKVRVDVPPSFDESSGGGSDDEDDDDDVLSFVPATASSIEKLEIVKVELEGSANQPCAVCFDQLLVGCEATRLPCSHVYHCGCIRRWLEKSKFCPLCRFEVS >Potri.002G209795.1.v4.1 pep chromosome:Pop_tri_v4:2:17636264:17654254:-1 gene:Potri.002G209795.v4.1 transcript:Potri.002G209795.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G209795.v4.1 MVGLLMEESGMSFTKLVEEIYKKLVKMSGDWTVAVVKNVVLFVGQRVMYGVPNVDADILEDETHSSLWCWETRDFKLMPKYVHGALKIRCTCRKKIHDRIAVVSEMITALQKQETNQNYESDLIKLSEKLGKVLTEADIRLLIDGLLQKNGAEIADKEAKQEEKLLVKQLKKNKREEEKEKKRMDLELQKEKRQTEKEQKRLQEEAKKDERRREREESEIKRQLKRQQEEVEKEQRHKEKEEAELKRRVAIQKQASMMERFLKRSKSNSPCQNDQTLTKATTFDSSSKESKRIAEAITQLMDCALSLNDNITADDIRKSYLSSWCHLGFSIRANRKQHWSIRRKPKTGLFKELKLTAIRDPTHNDDSSAEKLDSGWGHQSSDDRSCDDVRKCNWRKQLLQFDKSHRLAFYGIWPKKSHVVGPCHPFRRDPDLDYNVDSDEEWEEEDPGESLSNYDKDDGEEILEEEYSKADEEEESEDGFFVPDGYLLENEGVQLDRMDTDLSVEEARSSPCCKQDLQSEEFCTLLKQQRYLNNFTDNALRKNHPLIMLNLMHEKDAFLVADDLGDIPKVEKMCLQALSIRAFPGGPQIEISLDVSPENHDACLSNSKPSATLIPTMITLQDSDMPLVVFVIQSCSQSMNKVVESLHQKFPPVSKSQLRNKVREMSNFMDIRWQVFGA >Potri.019G050500.9.v4.1 pep chromosome:Pop_tri_v4:19:8339960:8344759:1 gene:Potri.019G050500.v4.1 transcript:Potri.019G050500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050500.v4.1 MILVSPDCLSNSYSSMLFSRNPMLSSHLCFTIRRRTFRTKTSRRLCVASSLITSPESFEVGRLIGSYGFMNITSYSAGGMDFEYSGGQLKVQDVGEGSVKIRLYEGRIAQGSLRGTPVVFKVYPGQRAGGIEADMMAANELNAHAVLQSSSKGACQNLLMLVGGFETKTGEQWLAFRNGGKYSAADYAKVTSEKISKSISTKENSWNHFEQEQKIKRRRYFVIKLLQGAITGLAYMHYHDRLHQSLGPSSVVLNTIAEREAPYLVPRLRDLAFSVDLRISNLEDGRGTLSEGLWRRAIAAGASTPMEKRAFGIADDIYEAGLLLAYLAFVPFCEAGIVDALSLQVSRNMTTSD >Potri.019G050500.1.v4.1 pep chromosome:Pop_tri_v4:19:8339960:8344751:1 gene:Potri.019G050500.v4.1 transcript:Potri.019G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050500.v4.1 MILVSPDCLSNSYSSMLFSRNPMLSSHLCFTIRRRTFRTKTSRRLCVASSLITSPESFEVGRLIGSYGFMNITSYSAGGMDFEYSGGQLKVQDVGEGSVKIRLYEGRIAQGSLRGTPVVFKVYPGQRAGGIEADMMAANELNAHAVLQSSSKGACQNLLMLVGGFETKTGEQWLAFRNGGKYSAADYAKVTSEKISKSISTKENSWNHFEQEQKIKRRRYFVIKLLQGAITGLAYMHYHDRLHQSLGPSSVVLNTIAEREAPYLVPRLRDLAFSVDLRISNLEDGRGTLSEGLWRRAIAAGASTPMEKRAFGIADDIYEAGLLLAYLAFVPFCEAGIVDALSLQRLLENTFRLDLEAMREYCLADDRLEEAVKFLDIGDRAGWQLLEAMLNPDFRKRPIAEAVLKHRFMIGAVV >Potri.009G116700.1.v4.1 pep chromosome:Pop_tri_v4:9:9850590:9852687:1 gene:Potri.009G116700.v4.1 transcript:Potri.009G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G116700.v4.1 MNNQRESESTTTNGVQIRQSRRLPDFLHSVNLKHVKLGYHYLITHLLTLCLVPLMAFVIFQAFQLNPNDIHQLWLHLQYNLVSVIICSAFLVFGATVYIMTRPRSLFLVDYACYKPPSNLQVKFEQFMEHSSLTGDFDDSSLEFQRKILERSGLGEETYVPEAMHYIPPRPSMAAAREEAQQVMFGALDVLFANTNIKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNIRSFNLGGMGCSAGVIAVDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKSMLIPNCLFRVGGSAVLLSNKAKDKRRAKYKLVHVVRTHKGADDKAFKCVYQEQDDAGKTGVSLSKELMAIAGGALKTNITTLGPLVLPISEQLLFFSTLVAKKLFNAKVKPYIPDFKLAFDHFCIHAGGRAVIDELEKNLQLLPIHVEASRMTLHRFGNTSSSSIWYELAYTEAKERVRRGDRIWQIAFGSGFKCNSAIWEALRHIKPSSNNPWQDCIDRYPVQIVM >Potri.018G022300.2.v4.1 pep chromosome:Pop_tri_v4:18:1616600:1619880:-1 gene:Potri.018G022300.v4.1 transcript:Potri.018G022300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022300.v4.1 MGIKDHHQHDDLHRKIILLLIVACCILVIILLSLCSCFIYYKKSSQKKKATRCSDVEKGLSLAPFLGKFSSLKMVSNRGSVSLIEYKILEKGTNNFGDDKLLGKGGFGRVYKAVMEDDSSAAVKKLDCATDDAQREFENEVDLLSKFHHPNIISIVGFSVHEEMGFIIYELMPNGCLEDLLHGPSRGSSLNWHLRLKIALDTARGLEYLHEFCKPAVIHRDLKSSNILLDANFNAKLSDFGLAVADSSHNKKKLKLSGTVGYVAPEYMLDGELTDKSDVYAFGVVLLELLLGRRPVEKLTPAHCQSIVTWAMPQLTNRAVLPTIVDPVIRDSVDEKYLFQVAAVAVLCIQPEPSYRPLITDVVHSLVPLVPLELGGTLRVPQPTTSRGQRQGASKKLFLDGAASA >Potri.018G022300.1.v4.1 pep chromosome:Pop_tri_v4:18:1616601:1620279:-1 gene:Potri.018G022300.v4.1 transcript:Potri.018G022300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G022300.v4.1 MKLLHLVLVPQTVPIWFFHLCLVVVHAVQEDPPVPSPSPSLISPISTSMTAFSPGVESEMGIKDHHQHDDLHRKIILLLIVACCILVIILLSLCSCFIYYKKSSQKKKATRCSDVEKGLSLAPFLGKFSSLKMVSNRGSVSLIEYKILEKGTNNFGDDKLLGKGGFGRVYKAVMEDDSSAAVKKLDCATDDAQREFENEVDLLSKFHHPNIISIVGFSVHEEMGFIIYELMPNGCLEDLLHGPSRGSSLNWHLRLKIALDTARGLEYLHEFCKPAVIHRDLKSSNILLDANFNAKLSDFGLAVADSSHNKKKLKLSGTVGYVAPEYMLDGELTDKSDVYAFGVVLLELLLGRRPVEKLTPAHCQSIVTWAMPQLTNRAVLPTIVDPVIRDSVDEKYLFQVAAVAVLCIQPEPSYRPLITDVVHSLVPLVPLELGGTLRVPQPTTSRGQRQGASKKLFLDGAASA >Potri.003G015050.1.v4.1 pep chromosome:Pop_tri_v4:3:1675336:1676613:-1 gene:Potri.003G015050.v4.1 transcript:Potri.003G015050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G015050.v4.1 MVGWHCFRPLHHFCTLLIIIHFLHSPIPTSIFLLASLLKGILNYLSCNANRNFLDRILSSTAISELELDFVFGWEDSWGEPMETAISA >Potri.005G021200.1.v4.1 pep chromosome:Pop_tri_v4:5:1364054:1367874:1 gene:Potri.005G021200.v4.1 transcript:Potri.005G021200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021200.v4.1 MLDLLTPLHVSTDEVSRMRPPLVPLATLIGRELRNEKIEKPYVKYGQAALAKKGEDYFLIKPDCQRVAGDLSTSFSVFAIFDGHNGISAAIFAKEQLLDNVLSAIPQDISREEWLQALPRALVAGFVKTDIEFQQGGETSGTTVTFVIIDGWTVTVASVGDSRCILDSQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKISNFGGRLVIASDGIWDALSSDMAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDILFLPPIPRKKHNMFSSLLFGKKALSSVSKSTNKLSAVGVVEELFEEGSAVLAERLGKDFPVNTNSGIHRCAVCQVDQTPADGLSVNSGSFFSPGSKPCEGPFLCSNCRKKKDAMEGKRPSRTTVTA >Potri.005G021200.4.v4.1 pep chromosome:Pop_tri_v4:5:1364073:1367850:1 gene:Potri.005G021200.v4.1 transcript:Potri.005G021200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021200.v4.1 MSQDEVSRMRPPLVPLATLIGRELRNEKIEKPYVKYGQAALAKKGEDYFLIKPDCQRVAGDLSTSFSVFAIFDGHNGISAAIFAKEQLLDNVLSAIPQDISREEWLQALPRALVAGFVKTDIEFQQGGETSGTTVTFVIIDGWTVTVASVGDSRCILDSQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKISNFGGRLVIASDGIWDALSSDMAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDILFLPPIPRKKHNMFSSLLFGKKALSSVSKSTNKLSAVGVVEELFEEGSAVLAERLGKDFPVNTNSGIHRCAVCQVDQTPADGLSVNSGSFFSPGSKPCEGPFLCSNCRKKKDAMEGKRPSRTTVTA >Potri.005G021200.3.v4.1 pep chromosome:Pop_tri_v4:5:1364289:1367873:1 gene:Potri.005G021200.v4.1 transcript:Potri.005G021200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021200.v4.1 MLDLLTPLHVSTDEVSRMRPPLVPLATLIGRELRNEKIEKPYVKYGQAALAKKGEDYFLIKPDCQRVAGDLSTSFSVFAIFDGHNGISAAIFAKEQLLDNVLSAIPQDISREEWLQALPRALVAGFVKTDIEFQQGGETSGTTVTFVIIDGWTVTVASVGDSRCILDSQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKISNFGGRLVIASDGIWDALSSDMAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDILFLPPIPRKKHNMFSSLLFGKKALSSVSKSTNKLSAVGVVEELFEEGSAVLAERLGKDFPVNTNSGIHRCAVCQVDQTPADGLSVNSGSFFSPGSKPCEGPFLCSNCRKKKDAMEGKRPSRTTVTA >Potri.005G021200.2.v4.1 pep chromosome:Pop_tri_v4:5:1364051:1367873:1 gene:Potri.005G021200.v4.1 transcript:Potri.005G021200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021200.v4.1 MSQDEVSRMRPPLVPLATLIGRELRNEKIEKPYVKYGQAALAKKGEDYFLIKPDCQRVAGDLSTSFSVFAIFDGHNGISAAIFAKEQLLDNVLSAIPQDISREEWLQALPRALVAGFVKTDIEFQQGGETSGTTVTFVIIDGWTVTVASVGDSRCILDSQGGVVSLLTVDHRLEENVEERERVTASGGEVGRLNVFGGNEVGPLRCWPGGLCLSRSIGDTDVGEFIVPIPHVKQVKISNFGGRLVIASDGIWDALSSDMAAKSCRGLPAELAAKLVVKEALRSRGLKDDTTCLVVDIIPSDILFLPPIPRKKHNMFSSLLFGKKALSSVSKSTNKLSAVGVVEELFEEGSAVLAERLGKDFPVNTNSGIHRCAVCQVDQTPADGLSVNSGSFFSPGSKPCEGPFLCSNCRKKKDAMEGKRPSRTTVTA >Potri.008G007500.1.v4.1 pep chromosome:Pop_tri_v4:8:334372:340006:1 gene:Potri.008G007500.v4.1 transcript:Potri.008G007500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G007500.v4.1 MARGRSSSSSSKWRYCNPSYYLKRPKRLALLFIVFVCASFFVWDRQTLVREHEVEILKLNGEVNQLKTMLEGLKSGGGDDGKLISEKKDVPDEPIDVERRQKVKEAMIHAWSSYEKYAWGHDELQPQSKKGIDSFGGLGATLIDALDTLYIMGLDEQFQRAREWVANSLDFNKDYDASVFETTIRVVGGLLSAYDLSGDKVFLEKARDIADRLLPAWNTPTGIPYNTINLVHGNAHNPGWTGGDSILADSGTEQLEFIALSHRTGDPKYQQKAENVIAELNKTFPDDGLLPIYISPDRGIGSYSTITFGAMGDSFYEYLLKVWIQGNKTSAVRNYREMWEKSMKGLLSLVRKTTPSSFTYLCEKNGDSLSDKMDELACFAPGMLALGSSGYGPDESQKIFTLAEELAWTCYNFYQSTPTKLAGENYFFRPGEDMSVGTSWNILRPETVESLFYLWRFTGNRTYREWGWNIFQAFEKNSRIETGYVGLKDVNTGVKDNMMQSFFLAETLKYLYLLFSPSSVISLDEWVFNTEAHPLKIVTRHDGEHVGQSKNSQASRTLGRKEGHFG >Potri.004G219000.2.v4.1 pep chromosome:Pop_tri_v4:4:22476750:22480583:1 gene:Potri.004G219000.v4.1 transcript:Potri.004G219000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G219000.v4.1 MLFFSYFKDLVGKEVTVELKNDLAIRGTLHSVDQYLNIKLENTRVVDQDKYPHMLSVRNCFIRGSVVRYVQLPPEGVDVDLLHDATRREARGG >Potri.T003068.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_45:355668:358933:1 gene:Potri.T003068.v4.1 transcript:Potri.T003068.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T003068.v4.1 MGREVVRDKFPKEPGKRTRIWNQEDAWNVLEQQKGTVVVEGLALDVRASEAKALCAGSFAEMKRLNLLQINGVHLTGSFKLLSKELMWICWHRCPLKDFPSDFTADYLAVLDMQYSNLKELWKGKKAMCNRGHGYRINFSLEHDELHEMPDWMSYRGEGCSLSFHIPPVFHGLVLWLEKGTHMYTYTNIIIIIRNKSNGRILFKDKRAQIGIHIFMQGWLRYISRSEMAMEDYCGDELELYISSEPTDYALRKGKSLKPSVKECGVHVIAGKSDSLKKSAVERDTVMLSPPLYHLLPHPHRGSITTSTPKQWCDFLLAELQNHSLGLLLLGREDLE >Potri.001G201400.7.v4.1 pep chromosome:Pop_tri_v4:1:20125124:20136397:-1 gene:Potri.001G201400.v4.1 transcript:Potri.001G201400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G201400.v4.1 MSIASLSSVSVDMATTFVSLTSHHPTRIFRSTLLPFLLKPQKTHQSLLLKTTPSLQRRRFSVSSIDTTSSAPQTDDSGLTTKIPPDNRISATIITGFLGSGKTTLLNHILTADHGKRIAVIENEYGEVDIDGSLVAAKTAGAEDIIMLNNGCLCCTVRGDLVRMIADLVNKKKGKFDHIVIETTGLANPAPIIQTFYAEDQVFNDVKLDGVVTLVDAKHAHLHLDEVKPEGVVNEAVEQIAYADRVIVNKTDLVGEQEIASLVQQIRNINRMANLKCTQYGKVDLDYVLGIGGFDLERIESAVNDEDRKEDHASHDHNDNHSQNHAHDHDHAHDHDHAHDHDHHHHHDEHDHKHDHHDGHHSHDHTHDPGVSSVSIVCEGSLDLEKANFWLGTLLMERSEDIYRMKGLLSVQGMNERFVFQGVHDIFEGSPDRLWGPEEPRMNKIVFIGKNLDAQELKKGFKACLL >Potri.001G416800.1.v4.1 pep chromosome:Pop_tri_v4:1:44490506:44494692:-1 gene:Potri.001G416800.v4.1 transcript:Potri.001G416800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416800.v4.1 MQLHISPSLRHVTVFPGKGVREFIKVRVGARRVSYRMLFYSLLFFTFLLRFVFVLSTVDSIDGETKCSTLGCLGKRLGPRILGRRLDSAVPEVMFQVLEQPLGNDELKGRSDIPQTLEEFMDEVKNTRLDAKTFALKLREMVTLLEQRTRNAKIQEYLYRHVASSSIPKQLHCLALRLASEHSTNAAARLQLPLPELVPALVDNTYFHFVLASDNVLAAAVVANSLVQNALRPQKFVLHIITDRKTYSPMQAWFSLHPLAPAIIEVKALHHFDWFAKGKVPVMEAMEKDQRVRSQFRGGSSAIVANNTEKPHIIAAKLQTLSPKYNSVMNHIRIHLPELFPSLNKVVFLDDDIVVQSDLSPLWDIDMNGKVNGAVETCRGEDKFVMSKKLKSYLNFSHPLISENFKPNECAWAYGMNIFDLEAWRKTNISTTYHHWVEENLKSDLSLWQLGTLPPGLIAFHGHVHVIDPFWHMLGLGYQENTSLADAETAGVIHFNGRAKPWLDIAFPQLRPLWAKYINFSDKFIKGCHIRPS >Potri.006G125100.1.v4.1 pep chromosome:Pop_tri_v4:6:9996573:9997413:1 gene:Potri.006G125100.v4.1 transcript:Potri.006G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G125100.v4.1 MKNMEESLKRAMMLKLFIRKLKRVLLLSASRGANVRETGFDEVMEAAKIVPVDVKKGHFAVTAIKGEEPKRFVVKLDCLSNPDFLSLLEQAKEEYGFQQEGVLAVPCRPEELQMILEKRRRRRASTEW >Potri.016G023100.8.v4.1 pep chromosome:Pop_tri_v4:16:1284514:1289410:-1 gene:Potri.016G023100.v4.1 transcript:Potri.016G023100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023100.v4.1 MLSTVLRRTSSSSLLAPNRALAPVTLLHHQLSTTASPIPLRNGSLSGEIRPFSSFLASLIKARDFHVKSGPLDFKASSVTETFNAVPDYGYDEGKGNEEGLEISRLGISQEIVGALAKKGITKLFPIQRAVLEPAMQGKDMFGRARTGTGKTLAFGIPILDKILQFNAQHGRGRYPLGIVMAPTRELARQVEKEFREAAPSLDITCLYGGTPISQQMRDLEYGVDVVVGTPGRIIDLMKRGSLVLSEVQHVVLDEADQMLGVGFVDDIETILSSVPQKRHSMCFSATMPSWIRELVRKYLKDPLTIDLVGDSDKKLAEGITLYSIASDLYAKASILGPLITEHAKGGKCIVFTETKRDADRLAYAMAKTYKCEALHGDISQSVRERTLSGFREGHFNILVATDVAARGLDVPNVDLIIHYALPRCSETFVHRSGRTGRAGKKGTAILIYTQDESRQVRIIERDTGCKFLELPKIAVDGESIDMYNDMGRGRFNSFGSPRGFGDGGRYGGQGNYGSGQGFRNSGFGRSDGQFSGSSRNGYNRNQSGNFGRSSNFGEPRTDRSSNFGDFGSGRSSSFGDFGSGRSSSFGDSGSGRSSSFGNNSGLTNRSQNDYHFRQSAGFGDSRK >Potri.016G023100.2.v4.1 pep chromosome:Pop_tri_v4:16:1284401:1289419:-1 gene:Potri.016G023100.v4.1 transcript:Potri.016G023100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023100.v4.1 MLSTVLRRTSSSSLLAPNRALAPVTLLHHQLSTTASPIPLRNGSLSGEIRPFSSFLASLIKARDFHVKSGPLDFKASSVTETFNAVPDYGYDEGKGNEEGLEISRLGISQEIVGALAKKGITKLFPIQRAVLEPAMQGKDMFGRARTGTGKTLAFGIPILDKILQFNAQHGRGRYPLGIVMAPTRELARQVEKEFREAAPSLDITCLYGGTPISQQMRDLEYGVDVVVGTPGRIIDLMKRGSLVLSEVQHVVLDEADQMLGVGFVDDIETILSSVPQKRHSMCFSATMPSWIRELVRKYLKDPLTIDLVGDSDKKLAEGITLYSIASDLYAKASILGPLITEHAKGGKCIVFTETKRDADRLAYAMAKTYKCEALHGDISQSVRERTLSGFREGHFNILVATDVAARGLDVPNVDLIIHYALPRCSETFVHRSGRTGRAGKKGTAILIYTQDESRQVRIIERDTGCKFLELPKIAVDGESIDMYNDMGRGRFNSFGSPRGFGDGGRYGGQGNYGSGQGFRNSGFGRSDGQFSGSSRNGYNRNQSGNFGRSSNFGEPRTDRSSNFGDFGSGRSSSFGDFGSGRSSSFGDSGSGRSSSFGNNSGLTNRSQNDYHFRQSAGFGDSRK >Potri.016G023100.9.v4.1 pep chromosome:Pop_tri_v4:16:1284494:1289411:-1 gene:Potri.016G023100.v4.1 transcript:Potri.016G023100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G023100.v4.1 MRNTGLFIYCVNLLPQVDFILIEFDFLFFLGFLLGWFALGLFRRGRYPLGIVMAPTRELARQVEKEFREAAPSLDITCLYGGTPISQQMRDLEYGVDVVVGTPGRIIDLMKRGSLVLSEVQHVVLDEADQMLGVGFVDDIETILSSVPQKRHSMCFSATMPSWIRELVRKYLKDPLTIDLVGDSDKKLAEGITLYSIASDLYAKASILGPLITEHAKGGKCIVFTETKRDADRLAYAMAKTYKCEALHGDISQSVRERTLSGFREGHFNILVATDVAARGLDVPNVDLIIHYALPRCSETFVHRSGRTGRAGKKGTAILIYTQDESRQVRIIERDTGCKFLELPKIAVDGESIDMYNDMGRGRFNSFGSPRGFGDGGRYGGQGNYGSGQGFRNSGFGRSDGQFSGSSRNGYNRNQSGNFGRSSNFGEPRTDRSSNFGDFGSGRSSSFGDFGSGRSSSFGDSGSGRSSSFGNNSGLTNRSQNDYHFRQSAGFGDSRK >Potri.014G151800.1.v4.1 pep chromosome:Pop_tri_v4:14:10561034:10568179:-1 gene:Potri.014G151800.v4.1 transcript:Potri.014G151800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151800.v4.1 MLSFKEFCTTKTLVALGLGQFLSLLITSTGFSSSELARRGINAPTSQSFLNYVFLAIVYGSIMLYRKQALKAKWYYYAILSLVDVEANFLVVKAYQYTSITSVMLLDCWSIPCVMVLTWFFLSTKYRFKKIAGVVVCVAGLVMVVFSDVHAGDQSGGSNPRKGDALVIAGATLYAISNVSEEFLVKNADRVELMSLLGFFGAIISAIQISILERNEVKSIHWSAGAALPFFGFAVAMFLFYSLVPILLKISGSTMLNLSLLTSDMWAVMIRIFAYHEKVDWMYFLAFAAVAVGLVVYSGGDKEEDQHCAYVVDEDAERSSKHFDEEACSGNRSQKTILGSSKTGDSSKRDLASTGIGDGQDIENKNVGKDVWGKKS >Potri.014G151800.4.v4.1 pep chromosome:Pop_tri_v4:14:10561034:10568179:-1 gene:Potri.014G151800.v4.1 transcript:Potri.014G151800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G151800.v4.1 MLSFKEFCTTKTLVALGLGQFLSLLITSTGFSSSELARRGINAPTSQSFLNYVFLAIVYGSIMLYRKQALKAKWYYYAILSLVDVEANFLAVVKAYQYTSITSVMLLDCWSIPCVMVLTWFFLSTKYRFKKIAGVVVCVAGLVMVVFSDVHAGDQSGGSNPRKGDALVIAGATLYAISNVSEEFLVKNADRVELMSLLGFFGAIISAIQISILERNEVKSIHWSAGAALPFFGFAVAMFLFYSLVPILLKISGSTMLNLSLLTSDMWAVMIRIFAYHEKVDWMYFLAFAAVAVGLVVYSGGDKEEDQHCAYVVDEDAERSSKHFDEEACSGNRSQKTILGSSKTGDSSKRDLASTGIGDGQDIENKNVGKDVWGKKS >Potri.013G015700.8.v4.1 pep chromosome:Pop_tri_v4:13:1011968:1015097:-1 gene:Potri.013G015700.v4.1 transcript:Potri.013G015700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015700.v4.1 MEILSSITSTVVELLIVPIRRSVTRVFNYSRNVQSLKTHLEELSDTKIRVLHSVEEARNRIEDIEDDVGKWLASVNVITDKASRVFEDEDKAKKRCFMGLFPNVTRRYKFSTKIESIAEEVVKINHRGRFDRVSYLPARRGIGDRSLKDYEAFESRRPVLDEILEALKDDDVDLVGVYGMAGVGKTTLVKKVAEQVKVGRIFDLVVPAVVSQTPNLRKIQGEIADGLGLKLDAETDSGRADLLYKRLESETMLKSEKRLESERKVLVILDDIWERLELEDVGIPSGRGCKILMTSRDRNVLSRGMGTKKVFWLQVLPENEAWNLFKKMAGDVVKYPDLQLVAVEIAKRCAGLPILIVTVARALKDGDLSEWKDALVRLKRFDKDEMDSRVCSALELSYDSLKGEEIKSVFLLCGQLEPHRIAILDLLKYTVGLGLFKRISTLEEARNRLHRLVNDLKASCLLLEGGADGIVKMHDVVHGFAAFVASRDHHVFTLASGTVLKEWPAMLEQCSAISLPRCKIPGLPEVLNFPKAESFILYNEDPSLKIPDSLFKGTKTLQLVDMTAVQLPTLPSSLQFLEKLQTLCLDSCGLKDIAMIGELKMLKVLSLIDSNIVRLPREIGQLTRLQLLDLSNNPRLEMIPPNVLSCLTQLEDLYMENSFLQWRIEGLDSQRNNASLAELKYLPNLSTLYLHITDPMILPRDFFSKKLERFKILIGEGWDWSRKRETSTTMKLKISASIQSEEGIQLLLKRTEDLHLDGLKGVKSVSYELDGQGFPRLKHLHIQNSLEIRYIVDSTMLSPSIAFPLLESLSLDNLNKLEKIGNSQPVAESFSNLRILKVESCPMLKNLFSLHMERGLLQLEEISIIDCKIMEVIVAEESGGQADEDEAIKLTQLRTLTLEYLPEFTSVSSKSNAASISQTRPEPLITDVGSNEIASDNELGTPMTLFNKKVCFILMTMA >Potri.013G015700.1.v4.1 pep chromosome:Pop_tri_v4:13:1003622:1015138:-1 gene:Potri.013G015700.v4.1 transcript:Potri.013G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015700.v4.1 MEILSSITSTVVELLIVPIRRSVTRVFNYSRNVQSLKTHLEELSDTKIRVLHSVEEARNRIEDIEDDVGKWLASVNVITDKASRVFEDEDKAKKRCFMGLFPNVTRRYKFSTKIESIAEEVVKINHRGRFDRVSYLPARRGIGDRSLKDYEAFESRRPVLDEILEALKDDDVDLVGVYGMAGVGKTTLVKKVAEQVKVGRIFDLVVPAVVSQTPNLRKIQGEIADGLGLKLDAETDSGRADLLYKRLESETMLKSEKRLESERKVLVILDDIWERLELEDVGIPSGRGCKILMTSRDRNVLSRGMGTKKVFWLQVLPENEAWNLFKKMAGDVVKYPDLQLVAVEIAKRCAGLPILIVTVARALKDGDLSEWKDALVRLKRFDKDEMDSRVCSALELSYDSLKGEEIKSVFLLCGQLEPHRIAILDLLKYTVGLGLFKRISTLEEARNRLHRLVNDLKASCLLLEGGADGIVKMHDVVHGFAAFVASRDHHVFTLASGTVLKEWPAMLEQCSAISLPRCKIPGLPEVLNFPKAESFILYNEDPSLKIPDSLFKGTKTLQLVDMTAVQLPTLPSSLQFLEKLQTLCLDSCGLKDIAMIGELKMLKVLSLIDSNIVRLPREIGQLTRLQLLDLSNNPRLEMIPPNVLSCLTQLEDLYMENSFLQWRIEGLDSQRNNASLAELKYLPNLSTLYLHITDPMILPRDFFSKKLERFKILIGEGWDWSRKRETSTTMKLKISASIQSEEGIQLLLKRTEDLHLDGLKGVKSVSYELDGQGFPRLKHLHIQNSLEIRYIVDSTMLSPSIAFPLLESLSLDNLNKLEKIGNSQPVAESFSNLRILKVESCPMLKNLFSLHMERGLLQLEEISIIDCKIMEVIVAEESGGQADEDEAIKLTQLRTLTLEYLPEFTSVSSKSNAASISQTRPEPLITDVGSNEIASDNELGTPMTLFNKKIEFPSLEDLKLSSIKVEKIWQDQPGELSYWFPRLTSLIVEGCGNLKYLFTSSMVESLAQLKTLELCDCTPMEEIITKNGLGEEGNVRGMMFPKLQFLKLKGLPNLTRFCTSHLIECYSLKELRIENCPALKTFISNSLSTDAVANNQFEETNSTLFDEKVAFSNIEKLQILGMDNLNMIWHTEFHPDSFCKLKVLKVKQANKLLNIFPPNMLRRFHNLDHLEVADCSSLEEVFDLRSLMNEKESHAVTAFKLRDMYVWNLPKLQKVWNTNPHGILSFQNLHLVNAWNCPSLKSLFPTSVALGLSQLEELQLTSCGVEEIVAEEERLGEELKFVFPKTTSFILWELPKLKSFYPGRHTSEWPVLKKIDVYHCHEVPVFDSELQSTQGACTQDQLEIQVQQPLFSFEKIIPNLEELSLNSKDAAKVCQGQFPADLFHKIRVLELQCFHDASAEFPFGIMHRFQNMEKLLVTHGYFKELFPCRLVDEEEHTLARILYLKLFNLPDLEKIWNQDLQVDQLLQNLGTLEVRSCDSLINLAPSASSFGNLTALHVWDCEALKYLVTSSTARSLVQLSAMSIKECKMVTEIVASKGDEAGNEIIFWKLESLKLDCLASLTSFCSINFTFKFPSLTEVIVTNCPKMKTFSPGISTPKLQKVWLSEEKDKGHWERDLNITIQQLSV >Potri.003G113700.1.v4.1 pep chromosome:Pop_tri_v4:3:13609077:13610827:-1 gene:Potri.003G113700.v4.1 transcript:Potri.003G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G113700.v4.1 MAKLVSFLTLLSFALYMVGTAGSASSPTDFIKSSCKATRYPELCVGCLSGYASVIQRNERRLVLTALSVSLARARSAAAFVTKMTKVRGIKPREYQAAKDCIENMGDSVDRLSQSVRELRHTGRAVGRDFLWHMSNVQTWVSAALTDENTCLDGFAGHLMDGNVKVAIKRRINNFSQVTSNALALVDRFKSRHRARNP >Potri.012G031700.1.v4.1 pep chromosome:Pop_tri_v4:12:909844:912632:-1 gene:Potri.012G031700.v4.1 transcript:Potri.012G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G031700.v4.1 MANMGDWEQKNLVEELTLGMELAKQLQIHLNVPSSSRETREVLVQKILTSYEKALSMLNFGTSLVGELHPVGGITIRNSESPPSHSGSPRSEDSDRDTRDQRQIDYGSSSRKSMPRWTKQVRVNPGMGLEGPLDDGFSWRKYGQKAILGAKYPRGYYRCTHRNVQGCLATKQVQRSDEDPTIFEITYRGRHTCTQASNLLPLTQLRENQGPSTNITIEPQQNQPDQENNPHTQELLSNFRRGLKVMTEDLDSHDQQSFPTNTFQFPSTSTVKPENNSLFPPSMVENSFVGNFSPPFISPSDSVTNYYSVSPNEMQHSFGGNKNFQSSESELTGIISAAASTTTSPTVGLDFPFGNVNFDPNFTFDNSGFLS >Potri.008G084800.7.v4.1 pep chromosome:Pop_tri_v4:8:5303217:5309458:1 gene:Potri.008G084800.v4.1 transcript:Potri.008G084800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084800.v4.1 MSDLEAPLRPKRKKVWVDYFVQFRWILVIFVVLPISFTLYFLTYLGDVKSEMKSYKQRQKEHDENVKKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMIARVEPLVNMGQISRASVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMRLTYKPVVGNLKELAQAYIDSFAPRDGDQDNPEKVPDFVETMIYNSTEGVMMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLLGWMMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVHQEMEVYPLWLCPHRLFKLPVKTMVYPEPGFEHQHKQGDTSYAQMYTDVGVYYSPGPVLRGEVFDGADAVRRMEDWLIENRGFQPQYAVSELSEKKFWRMFDGDLYEHCRKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAT >Potri.008G084800.1.v4.1 pep chromosome:Pop_tri_v4:8:5303187:5308838:1 gene:Potri.008G084800.v4.1 transcript:Potri.008G084800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084800.v4.1 MSDLEAPLRPKRKKVWVDYFVQFRWILVIFVVLPISFTLYFLTYLGDVKSEMKSYKQRQKEHDENVKKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMIARVEPLVNMGQISRASVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMRLTYKPVVGNLKELAQAYIDSFAPRDGDQDNPEKVPDFVETMIYNSTEGVMMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLLGWMMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVHQEMEVYPLWLCPHRLFKLPVKTMVYPEPGFEHQHKQGDTSYAQMYTDVGVYYSPGPVLRGEVFDGADAVRRMEDWLIENRGFQPQYAVSELSEKKFWRMFDGDLYEHCRKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAT >Potri.008G084800.8.v4.1 pep chromosome:Pop_tri_v4:8:5303475:5308832:1 gene:Potri.008G084800.v4.1 transcript:Potri.008G084800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084800.v4.1 MSDLEAPLRPKRKKVWVDYFVQFRWILVIFVVLPISFTLYFLTYLGDVKSEMKSYKQRQKEHDENVKKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMIARVEPLVNMGQISRASVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMRLTYKPVVGNLKELAQAYIDSFAPRDGDQDNPEKVPDFVETMIYNSTEGVMMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLLGWMMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVHQEMEVYPLWLCPHRLFKLPVKTMVYPEPGFEHQHKQGDTSYAQMYTDVGVYYSPGPVLRGEVFDGADAVRRMEDWLIENRGFQPQYAVSELSEKKFWRMFDGDLYEHCRKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAT >Potri.008G084800.6.v4.1 pep chromosome:Pop_tri_v4:8:5303618:5308872:1 gene:Potri.008G084800.v4.1 transcript:Potri.008G084800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084800.v4.1 MSDLEAPLRPKRKKVWVDYFVQFRWILVIFVVLPISFTLYFLTYLGDVKSEMKSYKQRQKEHDENVKKVVKRLKERNPSKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLSAFRNILEIDKERMIARVEPLVNMGQISRASVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFSDTVVAYEIVLADGQVVRATKDNEYSDLFYAIPWSQGTLGLLVSAEIKLIPIKEYMRLTYKPVVGNLKELAQAYIDSFAPRDGDQDNPEKVPDFVETMIYNSTEGVMMTGRYASKEEAKKKGNVINNVGWWFKPWFYQHAQTALKKGEFVEYIPTREYYHRHTRCLYWEGKLILPFADQWWFRFLLGWMMPPKVSLLKATQGEAIRNYYHEMHVIQDMLVPLYKVGDALEWVHQEMEVYPLWLCPHRLFKLPVKTMVYPEPGFEHQHKQGDTSYAQMYTDVGVYYSPGPVLRGEVFDGADAVRRMEDWLIENRGFQPQYAVSELSEKKFWRMFDGDLYEHCRKKYGAVGTFMSVYYKSKKGRKTEKEVQEAEQAHLETAYAEAT >Potri.017G111900.2.v4.1 pep chromosome:Pop_tri_v4:17:11954850:11956962:-1 gene:Potri.017G111900.v4.1 transcript:Potri.017G111900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G111900.v4.1 MEAPKMIEHQIGDIHDALRFGLDTKRGDIIGSHPLESALLSVERNQEHMKRITLANVYGAAFPVQMGIERQMLSRFQRPQGPIPSSMLGLEALTGSLEDFGFEDYLNDPRESETFRPVDMHSGMEVRLGLSKGPACKSFM >Potri.001G352800.1.v4.1 pep chromosome:Pop_tri_v4:1:36694502:36698505:-1 gene:Potri.001G352800.v4.1 transcript:Potri.001G352800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352800.v4.1 MATRLLPFTLFSSSKPQVPLATLSHILFGGHHGRFSTQVEKIRWNHVGESSNRNLIYRFRTFCASRDLAAKKCVPCNSKDLQAMTEESATEFLLKVAGWNLVNENGTLKLNRSWKVKSFTKGLELFQLVGNVAETEGHHPDLHLVGWNNITIEIWTHAVGGLTENDFILAAKINGLNLHHLLRKNASA >Potri.005G250200.1.v4.1 pep chromosome:Pop_tri_v4:5:24387218:24391489:1 gene:Potri.005G250200.v4.1 transcript:Potri.005G250200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G250200.v4.1 MLLFPPPLPNRFPSVYTTSPIVHHHHHHHHHLIFQPPFSATSTTTNFADSSLSYSKRLHYASQNDIEGFSDDDVLPLQSRRYDFTPLLDYLSKKITTSTDTDSDSDSASSSPTSLDPTEFQLAESYRVVPGPLWHSLLKSLCTSSSSIGLAYAVVLWLQKHNLCFSYELLYSILIHALGQSEKLYEAFLLSQRQNLTPLTYNALISACARNNDLEKALNLITRMRQDGYPSDFVNYSLIIRSLMRKNRVDSAILQKLYREIECDKLELDVQLSNDIIVGFAKAGDLSKALEFLGVVQGSGLSVKTATLVAVIWALGNCGRTVEAEAIFEEMRDNGLKPRTRAYNALLRGYVKAGLLKDAEFVVSEMERSGVSPNEQTYSFLIDAYGNAGRWESARIVLKEMEASNVQPNAYVFSRILSSYRDKGEWQKSFQVLREMENSGVRPDRVFYNVMIDTFGKFNCLDHAMATFDRMLSEGIEPDTVTWNTLIDCHCRAGKHDRAEELFEEMMEGGYSPCNTTFNIMINSFGDQERWDDVKNLLAHMRSQGLVPNSVTYTTLIDIYGKSGRFNDAIECLDDMKAAGLKPSSTMYNALINAYAQRGLSEQAVSAFRAMRVDGLKPSLLALNSLINAFGEDRRDAEAFTVLQYMKENDLKPDVVTYTTLMKALIRVEKFDKVPSVYEEMILSGCTPDRKARAMLRSALKYMKQTLEL >Potri.015G055951.1.v4.1 pep chromosome:Pop_tri_v4:15:7721791:7722303:-1 gene:Potri.015G055951.v4.1 transcript:Potri.015G055951.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055951.v4.1 MSMSKGLMAFGVLMLLMTTFVAGDVADTITRKPKYLSYEGLPRCDPEKNPQCLHRVAPGRGCKAEDRCREG >Potri.003G057351.1.v4.1 pep chromosome:Pop_tri_v4:3:8419021:8421530:1 gene:Potri.003G057351.v4.1 transcript:Potri.003G057351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G057351.v4.1 MFGTMFWDLGSKRTKEQDLLNAMGSMYTAVLFLGVQNSGSVQPVVSIERTVFYRERAAGMYSAFPYAMAQVVVELPYLLAQAVAYSIIVYSMIGFEWTVAKFFWYLFYTCLTLFQFTFFGMMAVGVTPNHHMAAIVSTAFYSVWNLFSGFMVPVTRIPVWWRWFYWACPIAWTLYGLLESQYGDRKDMLDIGVTVDDFMRKYFSFRHDFLGVVAAVNVGFALLFALVFAISLKIFNFQKAIAV >Potri.013G132000.2.v4.1 pep chromosome:Pop_tri_v4:13:13798702:13800286:-1 gene:Potri.013G132000.v4.1 transcript:Potri.013G132000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G132000.v4.1 MASNFNPWFYLICFFFLAGLATSSSFISYDALDVHGSSGRTLLQMKKACNISFENLDYSVLTDKCKGPQYPAKPCCDAFKEFACPFSDAINDLESDCASTMFSFINLYGKYPPGLFANECREDKNGLDCQNVEQSKKSGGVQIAATQSSLLMLTAGLIVLLQLF >Potri.017G050700.1.v4.1 pep chromosome:Pop_tri_v4:17:3596628:3599159:1 gene:Potri.017G050700.v4.1 transcript:Potri.017G050700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050700.v4.1 MSYLAFTFILLMISFKTPFMRCQNVSCNSTDLRALIRFSNFIEWGLDWSSSESNCCTWTGVTCDNSTISSKRVVRLELGAKKLVGKLSESLADLDQLRILNVSHNLLRGYLPGKLFGLQKLEILDLSNNYFVGPIPGGSDLPLIRYVDISKNNFNGTLYATIFETSPHFQVLNLANNYFTGEVPASFGSCYYLQHLFLDGNDLTGNFPESLLQLRDLHTLNIQDNLFLGSLNEGISNLSNLVKLDVSFNRFSGILPDVFESLGKLEHFSARSNMFYGHLPKSLVNSPSIITLDLSSNALSGIININCSAMLHLSSLSLGANQFCGPVPESISSCQRLSNLNLGRNNLSGEVPYAFKDLQALTSISLSNSSLVNISSALAILQHCKNLTSLFLGDNFHDEQMPRNMNLHFRNLKTLVIPHCGLKGQFPIWLGSSKMLQLLDISWNQMTGTIPSGFHEFKFLFYMDLSHNSFTGEIPVSLTELEGLIKKNVSEERPSLGFPLFKARNMYKQISSFRPTLDLSYNKLSGLIWPSFGNLKELHVLNLKDNHLSGNIPDSLSGMTNLEVLDLSQNELSGEIPLSLEKLSFLARFSVASNQLHGEIPRGGQFLTFPSPSFEGNKGLFSDNVTPRQPQPADEEMTIIGLQFGFGAVTGFLLTVSFCFLSGWVFRK >Potri.001G037300.1.v4.1 pep chromosome:Pop_tri_v4:1:2706095:2712396:1 gene:Potri.001G037300.v4.1 transcript:Potri.001G037300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G037300.v4.1 MALFNTPSSSLLQTQNFFTSPKKISPFLITVSCSKSPSQPTSSPSPVDDNSENEEPKRRLSQQSSWEAKDSEGKDYLYRLGKEADNMNIAVGARAGVVDSLFAGNFLGKDSDIVFDYRQKVTRSFEYLQGDYYIAPLFLDKVVCHIVKNYLAHRLNVKVPLILGIWGGKGQGKSFQTELIFQTLGVEPVIMSAGELESERAGEPGRLIRERYRTASQVVQNQGKMSCLMINDLDAGLGRFGNTQMTVNNQIVVGTLMNLSDNPTRVSIGQDWRESDITNRVPIIVTGNDFSTIYAPLIRDGRMEKFYWQPNREDIVNIVHRMYEKDGISRDEVVSIVNKFPNQALDFYGALRSRTYDRSISKWVDDIGGIENLGKQLLRRKKDEKLPEFTPPEQTMEALLESGHSLIREQQLIMETKLSKEYMKNVDD >Potri.014G104100.1.v4.1 pep chromosome:Pop_tri_v4:14:6969885:6970899:-1 gene:Potri.014G104100.v4.1 transcript:Potri.014G104100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G104100.v4.1 MGAFKKEKYEAIQECSEKKVKAYIICVWSFLVSMAGGLLLLWWDYEYHPTNSQLWLVPFGLILFVTPVIACFAVVVSEACNYKVDDDNSQKTSGLDV >Potri.006G178100.1.v4.1 pep chromosome:Pop_tri_v4:6:18410797:18414093:-1 gene:Potri.006G178100.v4.1 transcript:Potri.006G178100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G178100.v4.1 MSARRHIRPTLEGRVIQAPGMMRHGPFPAGHHTSEPLSRSDLLEHRFAAQAADIEQLAGDNNRLVTSHMALREDLAAAQQEVQRLKAHIRSIQTESDIQIRVLLDKIAKMEKDIRAGENVKKDLKQAHVEAQNLVKERQELATQIQQASHELQKIHTDVKSIPDLHAELENSRHELKRLRATFEYEKGLNIEKVEQMRAMEQNLIGMAREMENLRVDVLNAETRARAPNQYIGGYANPDGYGRPFVHMGVGPAGEGIIPYNSSNSVVSNVGFGGAAMSTTGGVAQWVGPFDPSHARG >Potri.011G039600.1.v4.1 pep chromosome:Pop_tri_v4:11:3061772:3062366:1 gene:Potri.011G039600.v4.1 transcript:Potri.011G039600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G039600.v4.1 MASRNGIVFEDFFPAMVEKLGAEGFMKELSNGFQLLVDEDKGLITFESLKRNSALLGLQDMSDDEVKCMVREGDLDGDGALNEMEFCTLMFRLSPGLMMNSTEWLVEAIISEM >Potri.016G131500.2.v4.1 pep chromosome:Pop_tri_v4:16:13547092:13548642:1 gene:Potri.016G131500.v4.1 transcript:Potri.016G131500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131500.v4.1 MATGQAPFNPVPAVRTYPPVEHPVVVSDINGTLIFQVNSKLLSLHDRRFLKDAAGKTLVHLRQKIRTMHDRCEAFRGESKEENDLLFTAKKSKLFQFKTELDVFLGNNKGEVPDFKVKEGYSKSSCSILFGDFNTMLAQVHGRHTLAMMPNVDYAFIVALAVVILEWINAYDYGDAAINVIEGFKSKSPYSDVPCLLSE >Potri.004G086300.1.v4.1 pep chromosome:Pop_tri_v4:4:7225266:7227654:-1 gene:Potri.004G086300.v4.1 transcript:Potri.004G086300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G086300.v4.1 MGRQPCCDKVGLKKGPWTSDEDKKLINFILANGQCCWRAVPKLAGLLRCGKSCRLRWTNYLRPDLKRGLLSEYEEKMVIDLHAQLGNRWSKIASHLPGRTDNEIKNHWNTHIKKKLRKMGIDPLTHKPLSAIETPPPPPPPQQEVQVQNSIQELEQQAGRQSSSTNDISELGQNNEPETSLQSARTQDEENNIGSTYDTMERMNGFCTDEVPLIEPHEMLVPGGPSPSSTSTSSLTSASSSSSSSSYGSNNILDELLLPDFEWPLNNVDIGLWDDDLSSWDLLISDVDSGRKQATMFDPFLNQCPRTVLDQDYWTYGLM >Potri.016G040100.1.v4.1 pep chromosome:Pop_tri_v4:16:2491469:2493132:1 gene:Potri.016G040100.v4.1 transcript:Potri.016G040100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G040100.v4.1 MSDSTVNNDARSASSKNCQLLIQIMNAEAEGTTHDDNQRKGRHFPKVPLTFRDIQQNSDSYHPSVVSIGPYHHGKEKLKEMEKLKVTYARQFALDNEKPIDQIYREVDAVVSNAKNCYPEDARKNLNDEQFAVMMFLDGCFIVQFLFCLYQQPGNLKMSSHDAALVIKDLFLLENQLPFEVLTELMSFRNRDHKAQMKILTAFCDQVRAFPAGTELKEKITKIFRELQKSITIGNSQGPAPDQPAAHLLELLHNQFCSRTIVSENSEKNSCKNNSQMNWYRYCPAEELRNIGIHFKPSKTGLFTDVQFKRGWLITRSLYIPPLRIDSSTKSLLLNLVAYEACHNKSRVTSYVCFMDSLIDTPRDVQVLRSKGILLNTLGSDELAAELFNQIASHLKPDPYAYIQVKSSIDKEHRKVLKKWLAMWLRVYFNSPWTFIAFVAATFTIILTAIQTYIALFPLKDR >Potri.006G136900.5.v4.1 pep chromosome:Pop_tri_v4:6:11265611:11272309:-1 gene:Potri.006G136900.v4.1 transcript:Potri.006G136900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136900.v4.1 MERAEDLTDGSVNNHPGTEKSGAAPEQELHSSKNIQKSIETSQSQVMSSQQGDPSGSDRKEPNESGHKNESDEFIKEKAASHSDSSEKGLETSSNPNITSHSEKASSTEEAIVDESKVEQGGGSPQVEAKGENSTQKNEEKTADSSADQNGIVSAKMTEEPLLPAVSATDSQTIERGGNDDQKNEEKTADSSADQNGIVSANMTEEPLPPAVSATDSEAIERVGNGDQKRENKTMQPAHDQNKPPTSDSNPPTFSVTQALDALTGMDDSTQVAVNSVFGVLESMISQLEEETDHENKIKNKNEVEGELVDSKPKKLENANHSGKQSDTLQHPPVHKLHESGGNQQNVASSGLVEEELTEDPILFSGNGTRGSQGDIASNYEIKEEQKKDQLVSGKHLAGYDGHVNSIPLYVTANPYGDFVQNKYFHRYLLSKIPNSKPLDLDTTTALLLDYFPEEGKWKLLEQPGITGESIGGVTTSNDAGIKVQVHSSGKENDGESYIEPSYVVLDTEKQQEPVEEYSTMEIFTENDDGILDELIEFVKIVVLDALRIEVGRKLGAASKKEMKSYFARDLELVADAVSLAIVRNKDHTWCLKGKYHRIEGAEEKVGTVHGEHIVKAISSSVLRTNYLRRLLPVGVIIGSSLAALRKYFNVATRNENDIKSSGQTQNHGQKSQDKVCIKEMDHELTTKSGHRTSFNSSITREGEEATLKTINNDRVMVGAVTAALGASALLVQQQDPSNSKEGGESSSKFLKERGNLLKPAEKLEVTESEKNPNIVTSLAEKAMSVAGPVVPTREDGGVDQERLVAMLADLGQKGGMLKLVGKIALLWGGIRGAMSLTDKLIMFLHIAERPLYQRVLGFAGMVLVLWSPIIVPLLPTLVLSWTTSNPSRFAEFVCIVGLYTAIMILVTLWGRRIRGYEDPLEQYGLDLTALPKIQKYLWGLIGGVLLVASIQSLNALLVCVSFSWPSGIPSSSLDAMTWLKMYVQMIMLAGRGIITATGIVLVEELLFRSWLPEEIEADVGYHQAIIISGLAFSLFQRSVWAVPGLWLFSLALAGFRQRSKGSLSIPIGLRTGIMASSFVLQTGGLLTYKPNYPVWVTGTHPLQPFSGAIGLAFSLLMAIFLYPWQPLEEKSLGRATQG >Potri.006G136900.2.v4.1 pep chromosome:Pop_tri_v4:6:11265618:11276100:-1 gene:Potri.006G136900.v4.1 transcript:Potri.006G136900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G136900.v4.1 MNTNLHHLKPQLLNPHPFFSPKNPYQFRSYKRRRLKPCSSSSNFLEPFKNLLSQFPSPNTPDILAPALGLASGLTLYLSQSNKFSKSSNIGEWILFSSPTPFNRFVILRCPSISFEGSEFIENVNDKLVKEDRHFVRLNSGKIGVGRESSEGLKLEFQRVCVNTEDGGVISLDWPADLELEEEHGLDTTLLLVPGTAKGSSEDNVRFFVVDALKRGFFPVVMNPRGCAASPITTARLFTAADSDDISTAIQFISKARPWTTLMGVGWGYGANMLTKYLAEVGECTPLTAATCINNPFDLEEATRCSPYHVALDQKLTGGLIDILQSNKEIFQGRAKGFDVENALVSKSVRDFEKAISMVSYGFEEIEDFYSKSSTRGMVGNVKIPVLFIQSDDGTVPPFSIPHSLIAENPFTSLLLCSCVPSSAVESGRAAVSWCQNLTIEWLIAVELGLLKGRHPLLKDVDVNINPSKGLTPVESRDKRVELNNLSSLSPTDTSGYTIEPINKILQDIQSRSRKDSQRDLKLDEELQGVENDAVQQRRSVDAELIEQDSADSVDIENGQVLPTAQVVMNMLDVMMPDTLTKEKKKKVLTAVGQGETLIKALQDAVPEEVVGKLTTSVSGILQAQHSNLNANGLLSIGEVPNVPKTKIQEKVREVSSAEVTSKDPHSPDQMERAEDLTDGSVNNHPGTEKSGAAPEQELHSSKNIQKSIETSQSQVMSSQQGDPSGSDRKEPNESGHKNESDEFIKEKAASHSDSSEKGLETSSNPNITSHSEKASSTEEAIVDESKVEQGGGSPQVEAKGENSTQKNEEKTADSSADQNGIVSAKMTEEPLLPAVSATDSQTIERGGNDDQKNEEKTADSSADQNGIVSANMTEEPLPPAVSATDSEAIERVGNGDQKRENKTMQPAHDQNKPPTSDSNPPTFSVTQALDALTGMDDSTQVAVNSVFGVLESMISQLEEETDHENKIKNKNEVEGELVDSKPKKLENANHSGKQSDTLQHPPVHKLHESGGNQQNVASSGLVEEELTEDPILFSGNGTRGSQGDIASNYEIKEEQKKDQLVSGKHLAGYDGHVNSIPLYVTANPYGDFVQNKYFHRYLLSKIPNSKPLDLDTTTALLLDYFPEEGKWKLLEQPGITGESIGGVTTSNDAGIKVQVHSSGKENDGESYIEPSYVVLDTEKQQEPVEEYSTMEIFTENDDGILDELIEFVKIVVLDALRIEVGRKLGAASKKEMKSYFARDLELVADAVSLAIVRNKDHTWCLKGKYHRIEGAEEKVGTVHGEHIVKAISSSVLRTNYLRRLLPVGVIIGSSLAALRKYFNVATRNENDIKSSGQTQNHGQKSQDKVCIKEMDHELTTKSGHRTSFNSSITREGEEATLKTINNDRVMVGAVTAALGASALLVQQQDPSNSKEGGESSSKFLKERGNLLKPAEKLEVTESEKNPNIVTSLAEKAMSVAGPVVPTREDGGVDQERLVAMLADLGQKGGMLKLVGKIALLWGGIRGAMSLTDKLIMFLHIAERPLYQRVLGFAGMVLVLWSPIIVPLLPTLVLSWTTSNPSRFAEFVCIVGLYTAIMILVTLWGRRIRGYEDPLEQYGLDLTALPKIQKYLWGLIGGVLLVASIQSLNALLVCVSFSWPSGIPSSSLDAMTWLKMYVQMIMLAGRGIITATGIVLVEELLFRSWLPEEIEADVGYHQAIIISGLAFSLFQRSVWAVPGLWLFSLALAGFRQRSKGSLSIPIGLRTGIMASSFVLQTGGLLTYKPNYPVWVTGTHPLQPFSGAIGLAFSLLMAIFLYPWQPLEEKSLGRATQG >Potri.008G126001.1.v4.1 pep chromosome:Pop_tri_v4:8:8198650:8199162:1 gene:Potri.008G126001.v4.1 transcript:Potri.008G126001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126001.v4.1 MVLSNFPCYERIQCPQTCYSAYIISFVCMSVVFKLKAEGSEFVLQIWLVLIGMKPPCGVLKTSPPLLKFCAIEEILGRGCLINALCSVHLGRLDFYLERVAVVKFFQSRCSIVHGEPSKGGT >Potri.013G095500.1.v4.1 pep chromosome:Pop_tri_v4:13:9817508:9827747:-1 gene:Potri.013G095500.v4.1 transcript:Potri.013G095500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095500.v4.1 MARNEWINGYLEAILDVGSGVMKKRSDGRLKIAKFQQVKEDKLFSPIKYFVEEVINSFDESDLHRTWVKMIATRNTRERSNRLENMCWRIWHLARKKKQIAWDDAQRLAKRRLEREQGRNDAADDLSELSEGEKEKGEANLSESVRDIARINSDMKLWSDDDKPRQLYIVLISMHGLVRGENMELGRDSDTGGQVKYVVELARALANTKGVYRVDLLTRQITSPEVDFSYGEPIEMLSCPSDDSGSCGAYIIRIPCGPQDRYIPKESLWPWIPEFVDGALNHIVNMARALGEQVNGGKPTWPYVIHGHYADAGEVAALLSGALNVPMVLTGHSLGRNKFEQLLKQGRHSKEHINATYKIMRRIEAEELGLDAAEMVVTSTRQEIEEQWGLYDGFDIKVERKLRVRRRRGVSCLGRYMPRMVVIPPGMDFSYVTADDSLEGDLKSLIDSDRNQNKRSLPPIWSEIMRFFTNPHKPTILALSRPDPKKNVTTLLQAFGECQPLRELANLTLILGNRDDIGEMSDSSSSVLTNVLKLIDKYDLYGQVAYPKHHKQSDVPDIYRLAAKTKGVFINPALVEPFGLTLIEAAAYGLPVVATKNGGPVDISKVLHNGLLVDPHDQKAIADALLKLVADKNLWTECRKNGLKNIHSFSWPEHCRNYLSHIEQCRNRHPTTRLEITPLPEEPMSESLKDMEDLSLRFSIEGDYKLNGELDATNKQKKLIEAITQMAPSNGKASVTYTPGRRQMLFVIATDCYSFNGQSTETFQEIIKNVMKAGGQSLGMDRIGFVLATSSSLQEIMEALRCCEVKIEDFDAIICNSGGDMYYPWRDMVVDVDYEAHVDYRWPGENVRSMVMRLARAEDGDEDDIKEYIKASSSRCFSYSIKPGVKTRKVYELRQRLRMRGLRCNIVYTHAASRLNVTPIFASRTQALRYLSVRWGIDLSKMVVFVGGRGDTDYEDLLAGLHKTIIIRGLVEYGSEKLLHSAESFKREDVVPQESSNISFVEEKYEAADISAALVAMGIK >Potri.003G193500.1.v4.1 pep chromosome:Pop_tri_v4:3:19649855:19651237:1 gene:Potri.003G193500.v4.1 transcript:Potri.003G193500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193500.v4.1 MPASTTTSMVLNHFTKNQANDNGEDLERLTNECKELLLSLPREKGWRTACLYKYKGFWCQPKEIQAIISFQKHFEPRDTDVILASIPKSGTTWLKALSFAILNRKKFAISSNDHPLLVSNPHDLAPFFEYKLYADKQVPDLSKLPDPRLFATHIPFASLQDSIKKSNCRIIYICRNPFDTFISSWTFSNKLRSETVPPLLLEETFKMYCEGVVGFGPFWDHMLGYWKESLERQDKVLFLKYEDMKADVTFYLKKIAKFLGCPFSMEEEKEGVVEKIASLCSFEKMKNLEVNKSGRSITNFENKHLFRKAEVGDWVNYLSPSMVKQLSQLIEEKLGGSGIEFKVFP >Potri.003G223900.1.v4.1 pep chromosome:Pop_tri_v4:3:21660479:21665477:-1 gene:Potri.003G223900.v4.1 transcript:Potri.003G223900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G223900.v4.1 MLLLYCSSLVSSSSILISLIAHSSHLKHIHQTHAFMLLRALDTDNLLLSRFIHACSSLGFYSYAYSLFTSITHAPDIYLYNNIIKALSSSPTHPKASIFLYNNIQLAGLRPDSYSFPFALKAVTRFSSIQTGRQLHSQSIRFGLHSDLHVLTAFVQMYSSFGSGCICDARKMFDGMSMSTGDVALWNAMLNGYAKHGDLCNARDLFERMPQRNVISWTALITGYAQANRPHDAIALFRRMQLENVEPDEIAMLVALTACARLGALELGEWIRHYIDRLGLLTTNIPLNNALIDMYAKSGDIKSALQVFENMNHKTIITWTTMIAGLALHGLGTEALEMFSRMERARVKPNDITFIAILSACSHVGLVQTGRWYFNRMISRYGIEPKIEHYGCMIDLLGRAGHLKEAQTLLAQMPFEPNAVIWGSLLAACNTHGDPELGELALQHLLELEPDNSGNYALLSNIYASRGRWNESRVVRKVMWDAGVKKMPGGSLIEVNNRVHEFIAGEISHSQFDRIQEVLSKINRQLGLSQHFEKESGALLELG >Potri.003G158100.1.v4.1 pep chromosome:Pop_tri_v4:3:16905996:16910615:1 gene:Potri.003G158100.v4.1 transcript:Potri.003G158100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G158100.v4.1 MSFTASSNLLPNTPILSSRRKQSASFNPRASSIKCDLFSPTSSPSPLNPKPHSNSNSNSNSNSNSKQPKIKNSLLKALTFSGTAAAASIFFRLTPVIDSGGGGNCGGGGGGGGGGGGGGDGGAGGEFWKKLFFVAPANADESQSEDWDSHGLPANIVVQLNKLSGFKKYKLSEILFFDRRRWTTVGTEDSFFEMVSLRPGGVYTKAQLQKELESLATCGMFEKVDMEGKTNPDGTIGITISFTESTWQSADKFRCINVGLMQQSKPIEMDSDMTDKEKLEYYRSQEKDYRRRIERARPCLLPTQVHREVLQMLREQGKVSARLLQKIRDRVQKWYHDEGYACAQVVNFGNLNTKEVVCEVVEGDVTQLVIQYLDKLGNVVEGHTQLPVVKRELPKQLRQGQVFNIEAGKQALRNINSLALFSNIEVNPRPDEKNEGGIIVEIKLKELEPKSAEVSTEWSIVPGRGGRPTLASFQPGGTVSFEHRNIKGLNRSILGSITTSNFFSAQEDLSFKLEYVHPYLDGLYSSRNQTLRASCFNIRKLSPVFTGGPGVDEVPPIWVDRTGMKANITENFTRQSKFTYGIVMEEITTSDESSHISSNGQRVLPSGGISADGPPTTLSGTGVDRMAFLQANITRDNTKFVNGAVVGDRNVFQVDQGLGIGSKFPFFNRHQLTLTRFIQLKEVEEGAGKPPPPVLVLNGHYGGCVGDLPSYDAFTLGGPYSVRGYNMGELGAARNILELGAEIRIPVRNTHVYAFAEHGNDLGTSKDVKGNPTEVYRRMGHGSSYGVGVKLGLVRAEYAVDHNTGNGSVFFRFGERY >Potri.004G000750.1.v4.1 pep chromosome:Pop_tri_v4:4:71062:74911:1 gene:Potri.004G000750.v4.1 transcript:Potri.004G000750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G000750.v4.1 MIETRTAALQNELEEPRSSEGDQLPRLQSLQRILQGNVAVQVNSGVLSVCTAFLSGEPAARLSLQELQQLIAALLEFMAVCKRAIRVHFRLIGEEGQDFVLFGCTTAFEWISVPHCSVISLHPRHSC >Potri.014G082900.1.v4.1 pep chromosome:Pop_tri_v4:14:5322837:5325915:1 gene:Potri.014G082900.v4.1 transcript:Potri.014G082900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G082900.v4.1 MSQPSNPLKPPLTNISLSSRFTPKTPLKLPLTTFPSKPLKASTPAFKTLSFNSQTPPKKPSKAITITSLLRAIPDWADRVKERGMRKKRSLYNHEKWVEHRSSFRHVRHLLSSLSSRVVLSLVPPVIAFTSVAVVVASYNSAVEMHFLPGFFPVLRTSSLPYQLTAPALALLLVFRTEASYSRFEDGKTAWTKVISGTNDFARQVISGVDGSSNSALKSELLRYIMAFPIALKCHVTYGSNIGQDLQNLLEAGDLAIVLKSRHRPRCIIEFISQCLQLLNLDESMRNLLESKISCFHEGIGVCEQLMGIPIPLSYTRLTSRFLVLWHLTLPIILWDDCHWIVVPSTFISAASLFCIEEVGVLIEEPFPMLALDELCNVVQSNIWEAIATEKEIQARLVAKRKSHSCEHSTNGWPTPKQME >Potri.015G044600.1.v4.1 pep chromosome:Pop_tri_v4:15:4327998:4333401:-1 gene:Potri.015G044600.v4.1 transcript:Potri.015G044600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G044600.v4.1 MTIRTPGTPASKIDRTPATTPGGAKAKEEKIVVTVRLRPLNKKEQLAKDQVAWDCVDDHTIVFKPPSQERAAQPASFVFDKVFDPSSITEAVYEDGVKNVALSALMGINATIFAYGQTSSGKTYTMRGITEKAVNDIYKHIINTPERDFTIRISGLEIYNENVRDLLNSESGRNLKLLDDPEKGTVVEKLVEETASNDKHLRHLISICEAQRQVGETALNDTSSRSHQIIRLSIESTLRENSDCVRSFVASLNFVDLAGSERASQTHADGARLREGCHINLSLMTLTTVIRKLSVGKRSGHIPYRDSKLTRILQHSLGGNARTAIICTLSPALSHVEQSRNTLYFATRAKEVTNNAHVNMVVSDKQLVKHLQKEVARLEAVLRTPDPSTEKDLKIQEMEMEMEELKRQRDLAQFEVDELRRKLQEDRQASSTLESPCPSVKKCLSYSDASLPNLDSKEPSRSDRTRKTMLRQSMRQSSTAPFTLMHEIRKLEHLQEQLGEEANRALEVLQKEVACHRLGNQDAAETIAKLQAEIREMRTIQPVPKEVEAGSVVAPNKSVSANLKDEITRLHSQGSTIADLEEQLENVQKSIDKLVMSLPNNNPQSNCEVTPKAKNQQKKKKILPLASSNGSNRQNFIRSPCSPLSTSRQILEKEIENRDPYNDDIVASETLPESEKETHKKNEEGGDVSSREGTPGYRRSSSVNMKKMQKMFQNAAEENVRNIRAYVTELKERVAKLQYQKQLLVCQVLELEANEAAGYNIEEEEENNINEQEEPQVSWHVTFREQRQLIIELWDVCYVSIIHRTQFYLLFSGDPADQIYMEVELRRLTWLQKHLAELGNASPAHFGDESTISLSSSIRALKREKEFLAKRLASRLTTEERDALYIKWNVPLDGKQRRLQFVNKLWTDPHDVKHIQESADIVAKLVGFCEGGNMSKEMFELNFALPTDKRPWIMGWNPISNLLHL >Potri.001G088750.1.v4.1 pep chromosome:Pop_tri_v4:1:7064177:7065405:-1 gene:Potri.001G088750.v4.1 transcript:Potri.001G088750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G088750.v4.1 MFLSFVFFILFRLDCVLSGVRGVRNYFQESQGSRPLTRSYTVHSCQDRDIKL >Potri.003G191401.3.v4.1 pep chromosome:Pop_tri_v4:3:19471400:19474039:1 gene:Potri.003G191401.v4.1 transcript:Potri.003G191401.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G191401.v4.1 MEIFEVVKDKLGFDSKRYSTLLLVCAIALPVSFMILIMYQNPSFDLSGGLAKAEKSGNVPIINADFKNDSSPPAFIPDGRLRKRHLPPGFGNGTSSKNNSLPHANETDEKKQLNRTLSPGFRKESGSRNDSFGNGTSSKNNSLPHTSGPNEKELNRTLAPGFRKESSSRKDSFGNGASSKYNSSPNAGRPDEKQDNGTSAPEFLKESDSRNDSSQSTRMPDDKLLDGLLAPGFDERSCLSRYRSSLFRKTSPHKPSSYLLSKLRRYEDLHKRCGPHTKPYNKAFKRLKYSHGSSGGCNYLVWIPVNGLGNRMISLASTFLYALLTDRVLLVELGNDMSDLFCEPFPNSSWMLPKNFPRGVEFRSPDKRHARGYGNQLKNGIINESMESLPSYTFLNLDHSHYDLDRLSFYCNQNPTLLRKVPWLFLISDQYFAPSFFLTPTFKKEVQRMLPEKETVFHHLGRYLFHPSNEAWGLIIRFYQAYLAKADERIGLQIRVFNTKTTTVQTVLDELLKCTQKEKLLPQVDPQSPVATPSKNQRSKAILVTSLYTEFFENISSTYWAKPTVTGEAIGVYQPSHEEIQHFGDNMHNMKAWAEMYLLSLSDVLVTSAWSTFGYVAQGLGGLKPWVLYKIEQGNTRNPSCVRDLSMEPCFHFPPTYDCRTKTKMNAGSLFPYLKYCEDLDWGVKLVGDHQELQL >Potri.013G144300.1.v4.1 pep chromosome:Pop_tri_v4:13:14227713:14231315:1 gene:Potri.013G144300.v4.1 transcript:Potri.013G144300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144300.v4.1 MEPKNTNGSSRSYEHDIISWFEDISKKAGQVQTETLRRILELNWGVEYLNKWLGDINIQDMDASALESLYTSLVPPASHADLEPYISRIADGDTVPLLTKQPITLLSLSSGTTDGRQKFVPFTDHSARTTLQIFSLAAAYRSRVYPTREGGRILEFIYSSKQFKTKGGLTAGTATTHYYASEEFKIKQEKTKCFTCSPQEVISGGDYEQSTYCHLLLGLFFCDQVEFITSTFAYSIVQAFTAFEDVWRDICNDIKHGTLSERINLAKMRKAVLSIISPNPSLASKIEENCKELETQNWLGLITKLWPNSKYVYSIMTGSMQPYLQKLRHYAGGLPLVSADYGSTESWIGANVDPYLPPEDVTFAVIPTFSYYEFMPLYRENHYCGSAIDDFIEDEPVPLSKVKVGQEYEIVLTTFTGLYRCRLGDVVEVAGFHKGTPKLNFICRRKLILTINIDKNTEKDLQLVVEKGSQLLMSKARAELVDFTSHAELETQPGHYIIYWEIKGDVEEEVLGECCKKMDASFVDHGYVVSRRTKSIGPLELCIVKTGTFKKILEYFIGNGAALSQFKTPRCTSNHGLLKILNGSTIKRLYSTAYS >Potri.013G144300.4.v4.1 pep chromosome:Pop_tri_v4:13:14227823:14231257:1 gene:Potri.013G144300.v4.1 transcript:Potri.013G144300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G144300.v4.1 MEPKNTNGSSRSYEHDIISWFEDISKKAGQVQTETLRRILELNWGVEYLNKWLGDINIQDMDASALESLYTSLVPPASHADLEPYISRIADGDTVPLLTKQPITLLSLSSGTTDGRQKFVPFTDHSARTTLQIFSLAAAYRSRVYPTREGGRILEFIYSSKQFKTKGGLTAGTATTHYYASEEFKIKQEKTKCFTCSPQEVISGGDYEQSTYCHLLLGLFFCDQVEFITSTFAYSIVQAFTAFEDVWRDICNDIKHGTLSERINLAKMRKAVLSIISPNPSLASKIEENCKELETQNWLGLITKLWPNSKYVYSIMTGSMQPYLQKLRHYAGGLPLVSADYGSTESWIGANVDPYLPPEDVTFAVIPTFSYYEFMPLYRENHYCGSAIDDFIEDEPVPLSKVKGFIDAG >Potri.014G115100.1.v4.1 pep chromosome:Pop_tri_v4:14:7743474:7745793:-1 gene:Potri.014G115100.v4.1 transcript:Potri.014G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G115100.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGAKKRKKKTYTKPKKIKHKKKKVKLAVLQFYKVDDSGKVQRLRKECPNAECGAGTFMANHFDRHYCGKCGLTYVYQTAGGD >Potri.004G013100.1.v4.1 pep chromosome:Pop_tri_v4:4:803512:804687:1 gene:Potri.004G013100.v4.1 transcript:Potri.004G013100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G013100.v4.1 MFRLLCKGIVIGSSVRASSVHYFLENPSIVSCLRYISSVNTDDNIKKHSFTVSYLMNKCGFSLKSALEVSKQVHFETPYKPDSVLAVFKNYGFSKSHILNLVRRRPAVLLSKPKTTLLPKLEFFKSKGFSSPDVIKIISSYPWVFKYSLENQLVPAFDFLENSLQSDAVAIKAIKRFPRILNVTVENMARVVDVLRDSGVPEKNIALLIRSRPSIMVTNLENFKKLIKEVTLMGFHPCKSQFIEAIRVLTSMSRSTWEKKLDVHRKWGLSEEEILEAFVKCPWFMSLSEEKIMAVMDLFVNKLGWESAYIAKNPTFSSYSLEKRLIPRALVLQFLVSKGLVEKSFRSLAFFNTPEDKFRHMFIDRHGESTRILKFYEEKLNLSAVVNSSTF >Potri.016G132300.2.v4.1 pep chromosome:Pop_tri_v4:16:13647000:13650045:-1 gene:Potri.016G132300.v4.1 transcript:Potri.016G132300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G132300.v4.1 MVFMYRLIKAKTFLLLTSPVPVPYISRQICSGLLSIRKMNGGKDSVSEDSMPGRGGAGIKLRPSPDCIDRRECRGAVETSSDSLGVRHGSFGKRRSRIDLRSEQRSGDGNSSKFGNKNIPAHVKRSKSGQSYRKPDEGGNHVAPFDICLSGSRDSAVLKSLQEMEENQENVEHPIEESGGQGVLRPGMVLLKRYISLGDQIEMVKTCREIGLGPGGFYRPGYKNGAKLRLQMMCLGLNWDPETRKYEDRSPADGCKPPCIPREFNQLVETAIQDAHGLLGKDCTLSNVEDVLKVCTLSNVEDMLPTMSPDICIVNFYTTNGRLGLHQDRDESSESLDKGLPVVSFSVGDSAEFLYGDQRDVNKADKVVLESGDVLIFGGKSRHIFHGVTSVIPNSAPKALIEETRLRPGRLNLTFRQY >Potri.003G016725.1.v4.1 pep chromosome:Pop_tri_v4:3:3253005:3253421:1 gene:Potri.003G016725.v4.1 transcript:Potri.003G016725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G016725.v4.1 MLITLFPFSLALNGILYAAIPLLGICYGIFYDIMVPPASELFGLKHFGLIYSSMGLGNPTGALLVSGLLAGYAYDAEAAKQDSSACVGHDCFEVTFLVLAGVCGLGTILSIILTVRIRPVYQLLYSGGSFRLPQTPGH >Potri.010G013400.1.v4.1 pep chromosome:Pop_tri_v4:10:2310431:2312070:1 gene:Potri.010G013400.v4.1 transcript:Potri.010G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013400.v4.1 MLVYQDLLSGDELLSDSFPYKEIENGILWEVEGKWVVQGAVDVDIGANPSAEGGDEDEGVDDQAAKVVDIVDTFRLQEQPPFDKKQFLTQIKKFIKNLSEKLDEDQKEHFRKNIEGATKFLLSKIKDLQFFVGESMHDDGCLVFAYYKEGATDPTFLYFAPSLKEVKC >Potri.002G187200.1.v4.1 pep chromosome:Pop_tri_v4:2:14936306:14937879:1 gene:Potri.002G187200.v4.1 transcript:Potri.002G187200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187200.v4.1 MQENSKDARNGGDSLSTTPTQVSKKPRVSFSITEHEIHHEFSHHNPNVARINNGSFGSCPGSVLAAQKNWQLQFLQQPDDFYFNTLRKGILHSRTVIKDLINADDVDEISLVDNATTAAAIVLQQIGRAFAEGNFAKNDTVLMLHCAYQAVKKSIQAYVTRAGGSVIEIQLPFPVTSNEEIISEFKRGIEKGKANGKKIRLAIIDHITSMPCVVIPVKELVKICREEGVDQVFVDAAHAIGSVEINVKEIGADFYVSNLHKWFFCPPSVAFLYCKKAASLEFDVHHPVVSHEYGNGLPIESAWIGTRDYSSQLVVPAALEFVNRFEDGIQGIMKRNHEEVVKMGKMLAESWGTNLGSSPEMCAGMIMVGLPSRLRVSSEDDALRLRSHLRECHGVEVPIHYQGLKDGEEGVKDKDGVITAYARISHQVYNKSEDYCKLRDAVNRLSENLLIRKTFYPE >Potri.011G088000.1.v4.1 pep chromosome:Pop_tri_v4:11:11233920:11236747:1 gene:Potri.011G088000.v4.1 transcript:Potri.011G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G088000.v4.1 MWRRRNCYLLLKALAHITVFFFITACSGGELSETESFFTFMRAIDPQNVLRISWSGIVPHPCSYRWRGVKCNFQPPAITQIRLDRQNFTGTIDADSLCGLQHLQVLSLAKNHIQGNIPHSILNCRSLTYLNLSSNFLTGRVPVPLFKLKYLRTLDISNNYLTVIIPRPELEFKHLNHYSMKHSAVKMYNLQKLAIVADSVALNSTDAGSVEHPADPSNGSKPGSGKRKWYDKAIYVVPLAFGIVFLSVLAYFVNKRFSDSAKEREILKSLAHSPQKTPPPVPQEDLKPKERCSELVFFVEEKERFGLDDLFEATADLQSQTPSSSLYKVKLGNIVYAVKRLKKLQVSFEEFGQTMRQIGNLKHPNILPLVGYNSTDEEKLLIYKYQSSGSLLNLLEDYIEGKREFPWKHRLSIAIGIARGLDFIYRNPIEHEIKPHGNIKLSNILLDENQEPLISEYGFSTFLDPKRVWSFSSNGYTAPEKILSEQGDVFSFGIIMLELLTGKTVEKSGIDLPKWVRSIVREEWTGEVFDKEFNHAARQYAFPLLIISLKCVSKSPEERPPMGEVMEKIEEVVNANEEFTISSMGSILSSPPEWCILHSVIPETWDTPGSNY >Potri.018G133100.1.v4.1 pep chromosome:Pop_tri_v4:18:14256741:14258319:-1 gene:Potri.018G133100.v4.1 transcript:Potri.018G133100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G133100.v4.1 MEAEGYCDTRLGLGLGGDNHEPWPQKKKEKPVVRLDLSFTLCPKNDAMDMDHHDKADGICFKSEEDEEYGIKRRDNSIDSNIDGSGRKKLRLTKEQSSLLEESFRRHTTLNPAQKHSLAEQLNLKPRQVEVWFQNRRARTKLKQTEVDCEFLKKCCESLSNENRRLKKELQQLRSQKMGRSSPLYTQLAKEGTSTMCCSSCKGKYHD >Potri.006G263350.1.v4.1 pep chromosome:Pop_tri_v4:6:25929189:25929898:1 gene:Potri.006G263350.v4.1 transcript:Potri.006G263350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263350.v4.1 MGWSTHLSRRRQNLVKNRFLEVLQCKFEQKSLTWSVWFLAFKFLNHQLVSSILDSHQDMSQDSCWEYRSEMKGHHQEYEKCDSSVR >Potri.006G263350.2.v4.1 pep chromosome:Pop_tri_v4:6:25928463:25929898:1 gene:Potri.006G263350.v4.1 transcript:Potri.006G263350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263350.v4.1 MKMVVYSRQKMEKQQQGMGWSTHLSRRRQNLVKNRFLEVLQCKFEQKSLTWSVWFLAFKFLNHQLVSSILDSHQDMSQDSCWEYRSEMKGHHQEYEKCDSSVR >Potri.015G007200.2.v4.1 pep chromosome:Pop_tri_v4:15:431996:436802:1 gene:Potri.015G007200.v4.1 transcript:Potri.015G007200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007200.v4.1 MATASSSLTFSTSLPKFCRPYSISRTPPPQVSVYFKKLSISSSLKISRRTTTRASSMTSSGITTIDQNNKKVVEVFDTEEDLAVSLAKYTADLSDKFAKERGAFTVVVSGGSLIKSLRKLVEAPYVDSIDWSRWHVFWVDERVVPKDHPDSNYKLAFDGFLSKVPIPPGNVYAINDALSSEGAADDYETCLKHLVHTGVINISSLSGFPKFDLMLVGMGPDGHVASLFPGHPLLKENQKWVTHITDSPKPPPERITFTFPVINSSAYIALVVCGAGKASIVQTALGKSQNSEVFPVQMVSPEGELKWFLDKDAASKL >Potri.007G008000.5.v4.1 pep chromosome:Pop_tri_v4:7:601095:610061:-1 gene:Potri.007G008000.v4.1 transcript:Potri.007G008000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008000.v4.1 MERSAVFGGLQPNYLLYPSPNSSSLPFSDHRARLPNFSPPPSLSLKIHKQVSSCFKAVSPFKRGAAFSDTHSDTFELADIDWDDLGFAYVPTDYMYSMKCTKGGNFSKGELQRYGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNLLLFRPEENAMRMIMGAERMCMPSPTIDQFVDAVKATVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPIHLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKARGFSDVLYLDCVHKKYLEEVSSCNIFVVKGNSISTPAIKGTILPGITRKSIIDVARSQGFQVEERLVTVDELLDADEVFCTGTAVVVSPVGSITYKGKRVSYGVEGFGAVSQQLYSVLTKLQMGLIEDKMNWTVELS >Potri.007G008000.8.v4.1 pep chromosome:Pop_tri_v4:7:601216:610063:-1 gene:Potri.007G008000.v4.1 transcript:Potri.007G008000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008000.v4.1 MERSAVFGGLQPNYLLYPSPNSSSLPFSDHRARLPNFSPPPSLSLKIHKQVSSCFKAVSPFKRGAAFSDTHSDTFELADIDWDDLGFAYVPTDYMYSMKCTKGGNFSKGELQRYGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNLLLFRPEENAMRMIMGAERMCMPSPTIDQFVDAVKATVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPIHLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKARGFSDVLYLDCVHKKYLEEVSSCNIFVVKGNSISTPAIKGTILPGITRKSIIDVARSQGFQVEERLVTVDELLDADEVFCTGTAVVVSPVGSITYKGKRVSYGVEGFGAVSQQLYSVLTKLQMGLIEDKMNWTVELS >Potri.007G008000.6.v4.1 pep chromosome:Pop_tri_v4:7:601094:610061:-1 gene:Potri.007G008000.v4.1 transcript:Potri.007G008000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G008000.v4.1 MERSAVFGGLQPNYLLYPSPNSSSLPFSDHRARLPNFSPPPSLSLKIHKQVSSCFKAVSPFKRGAAFSDTHSDTFELADIDWDDLGFAYVPTDYMYSMKCTKGGNFSKGELQRYGNIELNPSAGVLNYGQGLFEGLKAYRKEDGNLLLFRPEENAMRMIMGAERMCMPSPTIDQFVDAVKATVLANKRWVPPPGKGSLYIRPLLMGSGAVLGLAPAPEYTFLIYVSPVGNYFKEGVAPIHLIVEHELHRATPGGTGGVKTIGNYAAVLKAQSAAKARGFSDVLYLDCVHKKYLEEVSSCNIFVVKGNSISTPAIKGTILPGITRKSIIDVARSQGFQVEERLVTVDELLDADEVFCTGTAVVVSPVGSITYKGKRVSYGVEGFGAVSQQLYSVLTKLQMGLIEDKMNWTVELS >Potri.004G058700.1.v4.1 pep chromosome:Pop_tri_v4:4:4897529:4902025:1 gene:Potri.004G058700.v4.1 transcript:Potri.004G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058700.v4.1 MASRKKQLEGIALLSMYNDDDEEDDEEMEDLHYQQPHNDMEEDALNDDNAMATEAEAATYCRNSTPKGGLLRPLKPQQQGGTINLETKRSNRRGRLAIVDYGHDEVAMSPEPEEGEFEEKLQSVNGVIQEKSSPGTAHFLSPSFQATPQSFENLGPSQLDEINDTTNESEAVNTEGANEVPAEGVNPFDKFLPPPPKEKCPEELQKKIDKFLALKKIGRSFNAEVCNKKDYRNPDFLLHAVRYQVIDEIGSCFSKDVFDPHGYDQSDYYLELAEVGMRRERERKEQELKRSTKVEFVMGGTQPGVVVPPTKFSMPIPVVTASGMRPSSNAADAAPLEVRQNKKSKWDKVDGDGRNLLIGGQDSLAAAAAAQAALLSAANVGSGYTGFVQQKRREAEEKRSGERKLERRS >Potri.004G058700.2.v4.1 pep chromosome:Pop_tri_v4:4:4897526:4901951:1 gene:Potri.004G058700.v4.1 transcript:Potri.004G058700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058700.v4.1 MASRKKQLEGIALLSMYNDDDEEDDEEMEDLHYQQPHNDMEEDALNDDNAMATEAEAATYCRNSTPKGGLLRPLKPQQQGGTINLETKRSNRRGRLAIVDYGHDEVAMSPEPEEGEFEEKLQSVNGVIQEKSSPGTAHFLSPSFQATPQSFENLGPSQLDEINDTTNESEAVNTEGANEVPAEGVNPFDKFLPPPPKEKCPEELQKKIDKFLALKKIGRSFNAEVCNKKDYRNPDFLLHAVRYQVIDEIGSCFSKDVFDPHGYDQSDYYLELEVGMRRERERKEQELKRSTKVEFVMGGTQPGVVVPPTKFSMPIPVVTASGMRPSSNAADAAPLEVRQNKKSKWDKVDGDGRNLLIGGQDSLAAAAAAQAALLSAANVGSGYTGFVQQKRREAEEKRSGERKLERRS >Potri.018G066700.1.v4.1 pep chromosome:Pop_tri_v4:18:8021972:8028802:-1 gene:Potri.018G066700.v4.1 transcript:Potri.018G066700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066700.v4.1 MSGGTPVGGGGYIRQRHSQGYASSGDDLEDDACSRAQPFSPPNQRVRPWLEIVENVIWIASAIFIIYFGDRRSNLIYLLWHDERIRRLPLYLGMVGVGLNILIFLYTIMFAWSVRRFDEKWELSSISTLPLVTLLGLVSFCLFSFSLWPIWSFLTLPLLFTLFMACMVIFPNIMIGTFRTQNDAFRID >Potri.016G044901.1.v4.1 pep chromosome:Pop_tri_v4:16:2872132:2872676:1 gene:Potri.016G044901.v4.1 transcript:Potri.016G044901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044901.v4.1 MNQEQEYHGEGVMFSVCEEAMPGPSNCYTFGEFFLQKKCVKLPLEIKWHLHPLHLLAKPPSHYSRCVCDKSFARHCCFCEFDDIKCALPPCIF >Potri.010G176600.3.v4.1 pep chromosome:Pop_tri_v4:10:17659509:17662728:-1 gene:Potri.010G176600.v4.1 transcript:Potri.010G176600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176600.v4.1 MMAGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSQRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQEDGWVVCRVFKKKNQTRGFLPEVSQEEHFSQMKASASSLPLEPKQNHMQSIYDDYTFDGSMHLPQLFSPESAVAPSFVSPLSLNNMDDIECSQNLLRLTSTGCGLVQPAGRFNGDWSFLDKLLASQQNLDHHQHYQNKGNSSSQIVDHVGASTQKFPFQYLGFETDILRFSK >Potri.010G176600.2.v4.1 pep chromosome:Pop_tri_v4:10:17659509:17662728:-1 gene:Potri.010G176600.v4.1 transcript:Potri.010G176600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G176600.v4.1 MMAGNGQLSVPPGFRFHPTDEELLYYYLRKKVSYEAIDLDVIREVDLNKLEPWDLKDKCRIGSGPQNEWYFFSHKDKKYPTGTRTNRATTAGFWKATGRDKAIHLSNSQRIGMRKTLVFYTGRAPHGQKTDWIMHEYRLDDDNSEVQEDGWVVCRVFKKKNQTRGFLPEVSQEEHFSQMKASASSLPLEPKQNHMQSIYDDYTFDGSMHLPQLFSPESAVAPSFVSPLSLNNMDDIECSQNLLRLTSTGCGLVQPAGRFNGDWSFLDKLLASQQNLDHHQHYQNKGNSSSQIVDHVGASTQKFPFQYLGFETDILRFSK >Potri.002G138800.2.v4.1 pep chromosome:Pop_tri_v4:2:10417782:10419415:-1 gene:Potri.002G138800.v4.1 transcript:Potri.002G138800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138800.v4.1 MEQTFIMIKPDGVQRGLVGEIISRFEKKGFTLKGLKLQTVEQSFAEKHYEDLAKKPFFAGLVQYIISGPVVGMIWEGKGVVATGRKIIGATNPAASEPGTIRGDFAIDVGRNVIHGSDSVESATKEIGLWFPEGPANWQSSLHPWIYE >Potri.011G076700.1.v4.1 pep chromosome:Pop_tri_v4:11:8164491:8170639:1 gene:Potri.011G076700.v4.1 transcript:Potri.011G076700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076700.v4.1 MKKLSILFLFLLPLLASCEEKQVYIVYFGEHKGDKALHEIEEFHQSYLYGVKQTEEEATASLLYSYKHSINGFAALLNPDEASKLSELKEVVSVFKSNPRKYSVQTTRSWRFAGLEEEGHNVNHGFGGGRDLLKRAGYGKQVIVGLLDSGVWPESLSFRDEGMGPIPKSWKGICQNGPDFNSSHCNKKIIGARYYIKGFENYYGPLNRTEDSRSPRDKDGHGTHTASTAVGSRVKNAAALGGFARGTATGGAPLAHLAIYKVCWAIPNQEKADGNTCFEEDMLAAIDDAIGDGVHIMSISIGTREPTPLKEDGIAIGAFHALKKNIVVACAAGNEGPAPSTLSNPSPWIITVGASGVDRAFFGPLVLGNGMKIEGQTVTPYKLDKDCPLVFAADAVASNVPENVTSQCLPNSLSPRKVKGKIVLCMRGSGMRVAKGMEVKRAGGFGFILGNSQANGNDVIVDAHVLPATSVGYNDAMKILNYIRSTKNPMARIGIARTILQYRPAPVMASFTSRGPNVIHPSILKPDITAPGVNILAAWSGATAPSKLYEDKRLVRYNIISGTSMACPHVAAAAALLRAIHPEWSSAAIRSALMTTAWMKNNMGQPIADQSGNAATPFQFGSGHFRPAKAADPGLVYDASYTDYLLYLCSYGVKNVYPKFKCPAVSPSIYNFNYPSVSLPKLNGTLNITRTVTNVGASSSVYFFSARPPLGFAVKASPSVLFFNHVGQKKSFIITIKAREDSMSNGHNKGEYAFGWYTWSNGHHYVRSPMAVSLA >Potri.003G003801.1.v4.1 pep chromosome:Pop_tri_v4:3:523158:524389:1 gene:Potri.003G003801.v4.1 transcript:Potri.003G003801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003801.v4.1 MRPKSQQISNLKLLLLGVFLAFFLLFVLRSSMSSSQESSSPTAQPKTSDSMKEARATNCSQGCSKVPRFLTQALIHYTTSTITPQQTQKEISVSAKILEKKSPCNFLVFGLGHDSLMWSALNYGGRTVFLEEDEAWIAQIKRRFPMLESYHVTYDSKVNQANNLMEVGKGPECTAISDPKFSMCQLALKGLPSEVYEIEWDLIMVDAPTGYYEEAPGRMTAIYTAGMMARNRKEGETDVFVHDVNREVEDKFSKALLCEGYMKKQVGRLRHFIIPSHRDALDRPFCPE >Potri.013G004700.1.v4.1 pep chromosome:Pop_tri_v4:13:321533:325951:-1 gene:Potri.013G004700.v4.1 transcript:Potri.013G004700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004700.v4.1 MASAGVAPTSGLREAGVHNAGVDKLPEEMNDMKIRDDKDMEATVVDGNGTETGHIIVTTIGGRNGQPKQTISYMAERVVGNGSFGVVFQAKCLETSETVAIKKVLQDKRYKNRELQTMRLLDHPNVVSLKHCFLSTTEKDELYLNLVLEYVPETVHRVIKHYRKLNQKMPLIYVKLYTYQIFRALSYIHRAIGVCHRDIKPQNLLVNPHTHQVKLCDFGSAKVLVKGEPNISYICSRYYRAPELIFGATEYTTAIDIWSAGCVLAELLLGQPLFPGESGVDQLVEIIKVLGTPTREEIKCMNPNYTEYKFPQIKAHPWHKIFHKRMPPEAVDLVSRLLQYSPNLRCTALDALTHPFFDELRDQNTRLPNGRFLPPLFNFKSHELKGVSVEMLVKLVPEHARKQCAFLGL >Potri.008G026900.1.v4.1 pep chromosome:Pop_tri_v4:8:1394350:1405303:1 gene:Potri.008G026900.v4.1 transcript:Potri.008G026900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026900.v4.1 MSAPRRSTSLRDSSSDSERMEGTGSWDDALDWFKLEVQHPASRSVSHHANYKCLLEAERVLVEGRGVVLINTDEAGTLIVTNFRLIFLSEGTENIIALGTIPLATIEKFSKMVVKNQSAPRHSEKTPSQRFLQVIGKDMRIVVFGFRSKTKQRRAIYDGLLRCTKPSRLWDLYAFSCGPFKFTNANPKVRLLNEYFRLLGKGLCRASMDMIDNGSYTMSNELWRICNVNSNYIMCPSYPFALIVPKSISDEEVIQASNFRSKGRLPVVSWCHPETGAVLARSSQPLVGLMMNMRSNTDEKLVAELCSQLGDEKKRRRKLYIADARPRKNALANVAMGGGSESSSNYFQSEVVFFGIDNIHSMRESLSRLRDYLDAHGTTSSDGTLSLLRHGGWTWGGGNLSNMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTSQLVALANLLLDPYYRTFTGFQALIEKDWLAFGHPFAERGGMPTVSGSSGRPPDLCRQSSVGSFPLPPMCQSSGSFAPPTPSSSHAQNQQSPIFLQWIDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFFCNSEKERQQVGISEACGCLWAYLADLRSSEGRSHVHCNPFYSPLKHNGPLLPPAAALAPTLWPQFHLRWACPSEAQAGELEAQCRIMSIKFSKLQKAKEGAEKKAKETAIVVESLSAELRNEKQLSSSAMALAKRASKETAAIKRAIQSLGCKVHYASGGDTTVDIETSPVKNSQKSVFSPSTRESVGIVQHEDKSDLSVSISVAADDVVSNNPFGRVCDTLCPLRTRDGGCRWPDAGCAQLASQFIGVKADYEALDSLSIYEGYFKTMEGGK >Potri.008G026900.7.v4.1 pep chromosome:Pop_tri_v4:8:1394371:1405292:1 gene:Potri.008G026900.v4.1 transcript:Potri.008G026900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026900.v4.1 MSAPRRSTSLRDSSSDSERMEGTGSWDDALDWFKLEHPASRSVSHHANYKCLLEAERVLVEGRGVVLINTDEAGTLIVTNFRLIFLSEGTENIIALGTIPLATIEKFSKMVVKNQSAPRHSEKTPSQRFLQVIGKDMRIVVFGFRSKTKQRRAIYDGLLRCTKPSRLWDLYAFSCGPFKFTNANPKVRLLNEYFRLLGKGLCRASMDMIDNGSYTMSNELWRICNVNSNYIMCPSYPFALIVPKSISDEEVIQASNFRSKGRLPVVSWCHPETGAVLARSSQPLVGLMMNMRSNTDEKLVAELCSQLGDEKKRRRKLYIADARPRKNALANVAMGGGSESSSNYFQSEVVFFGIDNIHSMRESLSRLRDYLDAHGTTSSDGTLSLLRHGGWTWGGGNLSNMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTSQLVALANLLLDPYYRTFTGFQALIEKDWLAFGHPFAERGGMPTVSGSSGRPPDLCRQSSVGSFPLPPMCQSSGSFAPPTPSSSHAQNQQSPIFLQWIDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFFCNSEKERQQVGISEACGCLWAYLADLRSSEGRSHVHCNPFYSPLKHNGPLLPPAAALAPTLWPQFHLRWACPSEAQAGELEAQCRIMSIKFSKLQKAKEGAEKKAKETAIVVESLSAELRNEKQLSSSAMALAKRASKETAAIKRAIQSLGCKVHYASGGDTTVDIETSPVKNSQKSVFSPSTRESVGIVQHEDKSDLSVSISVAADDVVSNNPFGRVCDTLCPLRTRDGGCRWPDAGCAQLASQFIGVKADYEALDSLSIYEGYFKTVSTL >Potri.008G026900.2.v4.1 pep chromosome:Pop_tri_v4:8:1394311:1405292:1 gene:Potri.008G026900.v4.1 transcript:Potri.008G026900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G026900.v4.1 MSAPRRSTSLRDSSSDSERMEGTGSWDDALDWFKLEHPASRSVSHHANYKCLLEAERVLVEGRGVVLINTDEAGTLIVTNFRLIFLSEGTENIIALGTIPLATIEKFSKMVVKNQSAPRHSEKTPSQRFLQVIGKDMRIVVFGFRSKTKQRRAIYDGLLRCTKPSRLWDLYAFSCGPFKFTNANPKVRLLNEYFRLLGKGLCRASMDMIDNGSYTMSNELWRICNVNSNYIMCPSYPFALIVPKSISDEEVIQASNFRSKGRLPVVSWCHPETGAVLARSSQPLVGLMMNMRSNTDEKLVAELCSQLGDEKKRRRKLYIADARPRKNALANVAMGGGSESSSNYFQSEVVFFGIDNIHSMRESLSRLRDYLDAHGTTSSDGTLSLLRHGGWTWGGGNLSNMSASVSTLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTSQLVALANLLLDPYYRTFTGFQALIEKDWLAFGHPFAERGGMPTVSGSSGRPPDLCRQSSVGSFPLPPMCQSSGSFAPPTPSSSHAQNQQSPIFLQWIDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFFCNSEKERQQVGISEACGCLWAYLADLRSSEGRSHVHCNPFYSPLKHNGPLLPPAAALAPTLWPQFHLRWACPSEAQAGELEAQCRIMSIKFSKLQKAKEGAEKKAKETAIVVESLSAELRNEKQLSSSAMALAKRASKETAAIKRAIQSLGCKVHYASGGDTTVDIETSPVKNSQKSVFSPSTRESVGIVQHEDKSDLSVSISVAADDVVSNNPFGRVCDTLCPLRTRDGGCRWPDAGCAQLASQFIGVKADYEALDSLSIYEGYFKTMEGGK >Potri.019G014306.1.v4.1 pep chromosome:Pop_tri_v4:19:1877748:1879022:-1 gene:Potri.019G014306.v4.1 transcript:Potri.019G014306.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014306.v4.1 MVGASHSWRLTQNLLTYSAGDLHVKLMQLLSLLTIGLLLSTSTHASTKMALMLKYIDGMTFENFPANLDLGRCFIAGDSAGGNLAHHVVLKACEHTFSNIKIKGLIAMQPFFGGEERTESEIKLVGVPLISVERTDWMWKAFLPEGSDRNHSVVNVFGPNAVDISGVKFPATLLFVGGFDPLQDWQKRYHEGLKKSGKEVHLVEYPNVFHGFYCLPESPEFSLLIGEVKSFVQKQSSLT >Potri.010G102250.1.v4.1 pep chromosome:Pop_tri_v4:10:12471097:12472572:-1 gene:Potri.010G102250.v4.1 transcript:Potri.010G102250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G102250.v4.1 MRLAIIHLFVSFLLFLLIVHVNFWIGFGCSRFSRMSCLDTDHRLIIIGSLTQYICVVPDYLRSNRSTQLTRKFSSDRILNTYSRLAMLLYPIPTRSRLN >Potri.003G109200.2.v4.1 pep chromosome:Pop_tri_v4:3:13163161:13164431:1 gene:Potri.003G109200.v4.1 transcript:Potri.003G109200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109200.v4.1 MASSRALKRLVSSSIIPNSSSLRSFLRPVATCPSSSRLFNTNALRDYDDDHESGRGIDDRPSHRSLARSRDDFLSDVFDPFSPTRSLSQVLNMMDQFMENPLISAPRAGGLRRGWDARETDDALNLRIDMPGLGKEDVKVSVEQNSLVIKGEGAKESDDEENARRYSSRIDLPEKMYKTDEIKAEMKNGVLKVVVPKVKEEERANVFHVKVE >Potri.003G109200.1.v4.1 pep chromosome:Pop_tri_v4:3:13163160:13164441:1 gene:Potri.003G109200.v4.1 transcript:Potri.003G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109200.v4.1 MASSRALKRLVSSSIIPNSSSLRSFLRPVATCPSSSRLFNTNALRDYDDDHESGRGIDDRPSHRSLARSRDDFLSGNVFDPFSPTRSLSQVLNMMDQFMENPLISAPRAGGLRRGWDARETDDALNLRIDMPGLGKEDVKVSVEQNSLVIKGEGAKESDDEENARRYSSRIDLPEKMYKTDEIKAEMKNGVLKVVVPKVKEEERANVFHVKVE >Potri.015G053700.3.v4.1 pep chromosome:Pop_tri_v4:15:7153730:7155422:1 gene:Potri.015G053700.v4.1 transcript:Potri.015G053700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053700.v4.1 MASLYSEPPTIAITSLVLLLLISLPPTILSQNPPIPTDPTVTDCTPRLLPLAPCAPFVQGIAQTPVQPCCDNLNQLYQEQPGCICLLLEDTNLSSFPINRTLALELPALCNVQINIAACSGTPQVLSSPPASQVYPGAPSNSSVGRHTDYSFAASPVVEGEPRSSIMGIGFHRSTGVKLEAEGSLMLLVTLAVVSLSKSISLGLG >Potri.015G053700.2.v4.1 pep chromosome:Pop_tri_v4:15:7153920:7155422:1 gene:Potri.015G053700.v4.1 transcript:Potri.015G053700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G053700.v4.1 MASLYSEPPTIAITSLVLLLLISLPPTILSQNPPIPTDPTVTDCTPRLLPLAPCAPFVQGIAQTPVQPCCDNLNQLYQEQPGCICLLLEDTNLSSFPINRTLALELPALCNVQINIAACSGTPQVLSSPPASQVYPGAPSNSSVGRHTDYSFAASPVVEGEPRSSIMGIGFHRSTGVKLEAEGSLMLLVTLAVVSLSKSISLGLG >Potri.004G062400.1.v4.1 pep chromosome:Pop_tri_v4:4:5279906:5283611:1 gene:Potri.004G062400.v4.1 transcript:Potri.004G062400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G062400.v4.1 MTSGTRMPTWKERENNKRRERRRRAIAAKIYSGLRMYGNYKLPKHCDNNEVLKALCKEAGWTVEEDGTTYRKGCKPVERMDIMGGSASASPCSSYHRSPCASYNPSPASSSFPSPVSSHYAANANGNADPNSLIPWLKNLSSGSSSASPKHPHHLFIHTGSISAPVTPPLSSPTARTPRTKNDWDDAAAGQSWMGQNYSFMPSSMPSSTPPSPGRHVLPDSGWLAGIQIPQSGPSSPTFSLVSRNPFGFREEALSGAGSRMWTPGQSGTCSPAIPAGIDQTADVPMSDSMAAEFAFGSNAAGLVKPWEGERIHEECVSDDLELTLGNSNTR >Potri.003G096525.1.v4.1 pep chromosome:Pop_tri_v4:3:12249597:12251273:-1 gene:Potri.003G096525.v4.1 transcript:Potri.003G096525.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096525.v4.1 MRTLLEVMDNSGAKRLMCLQPLKGKKGARLGDTIIVPWNAIACSPSNYLLQQKPYFAPGFRHVVHIVPLFSMLQRAHPPFASPTLPMNLIYGSNNLLQWMRILLNYL >Potri.003G039400.2.v4.1 pep chromosome:Pop_tri_v4:3:4441814:4443241:1 gene:Potri.003G039400.v4.1 transcript:Potri.003G039400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G039400.v4.1 MTDRVYPSTKPATNGTAATNPSFPATNGTAATNPSFPATKAQLYGATRPTYRPQPNRKRSRSCCCACVLWTTAVIFILIVLAVIAGAIIYVLYRPHRPSFDVSGLSISSLNLTSASHLTTNINLNITARNPNKKLVYTYNPITISVTTERDDIVVGSGSLPSFVHGTKNTTFLRAAITSSGVQLDDVSAGKLKADLKSKNGVALKLELETKVKVKMGGLKTPKARIRVTCQGIKATVPSGKKATTASVSNAKCKVDLRIKIWKWTF >Potri.001G076050.1.v4.1 pep chromosome:Pop_tri_v4:1:5737463:5737965:1 gene:Potri.001G076050.v4.1 transcript:Potri.001G076050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G076050.v4.1 MTSSDGNGALCFRMAVKFMQDGATMPVILQMSHIISMETKEDMGWKPWNKWNPKPLTFSVDVTSWIIQ >Potri.019G011750.1.v4.1 pep chromosome:Pop_tri_v4:19:1669025:1671756:1 gene:Potri.019G011750.v4.1 transcript:Potri.019G011750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011750.v4.1 MAFAYAAFFLLVICIYKPVSSQQNHSNLISLGSSISTNVQPTSWLSPSGTFAFGFYPQGSGFIVGIWLVGKPADIITWTAYRDDPPVPSNATLELTVNGKLLLRTYYANNEAGEEKLIAKIEKSASNARMLDSGNLVLCNEHSEVIWESFNFPTDTILGGQNLHAGRELLSSASTTSLSTRRFRLKMQYDGNLVLYPVDTPDTSVDAYWSTDTFGSSGTHLYLNYTGELLILTKTSGKVKAVFYSDSEPESSSIIYRATLEYNGIFRLYSHSFDSNGVYTTSLKAYEPESQCEVKTFCGLNSYCTMNDDQPDCRCLPGTVPVDPEQRYNGCERNYTEQLCKVAEETSLYNITDMEKMSWDDYPYFRNSMSEEDCRKSCLQDCNCAGALYQSGDCKKVKFPVKYARRLEGVSSRVFFKVGLKSVESRNRSIATAMKPPVVHKTSKKTVMVICVMSVAFITCSSIAIAVSVFFISKSRVVKARMRLGSGNLGLAHELTLRAFSYRELKNATKGFREELGKGSFGAVYKGTLYKGKNVIAVKRLEKLVSEGEREFLTEMRSIGKTHHKNLVRLLGYCTEDSHRLLVYEYMNNGSLADLLFRTERIPNWSHRVKIALDVARGILYLHEECEAPIIHCDIKPQNILMDDFWNAKISDFGLAKLLVPDQTRTFTIVRGTRGYLAPEWHKNTPISVKADVYSYGVMLLEIVFCRRNIEANVSRPEEVQLSNWAYELMVARELDKLDLGEDVDLQNLEKMVMVGMWCIQDEPGIRPSMKSVVLMLEGITDVSVPPHPTSASA >Potri.015G083200.1.v4.1 pep chromosome:Pop_tri_v4:15:10838580:10842283:1 gene:Potri.015G083200.v4.1 transcript:Potri.015G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083200.v4.1 MAKAIQLAVLKVLMIIIFAGWIAVWIQKPTNMWTRKWKGAEDSSSYTVFGYYGLNFAVYTFPLISLTIIGLVYLNLVSREPPRSRPARSATIGFSNPVLVNSFLGILSSIEILAVFLFVLFLAWTYYARISNDFKKLMPIKSLNLDLWQLKYLRVATRFGLLAEACLALLLLPILRGLALFQILGIQFEASVRYHIWLGTSMILFATIHGASTLFIWGVSHHIQDEMWRWQKTGRIYLAGEIALVTGLVIWISSLPQIRRRRFEIFYYTHHLYIVFLIFFLFHAGDRHFYSVFAGIFLFGLDKLLRIVQSRPETCVLSARIFLFPDKAIELTLPKDPRLKYTPTSVIYMKIPSISKFQWHPFSITSSSNLDDHTMSVVVKCNGGWTSTFYDVIQAELDSDTGSMSCMPVSIEGPYGPASLDFLRHDSLLMIAGGAGITPFLSILKEIASVNSSRYRFPTQVQLIYVVKKSQDICLLNSVSSLLLNQSSTQLSLKLKVYVTQEERSNATVRGLVNDLSLVRTVNFSTECSKYAVHGPESPIWMAAMAALSSIKFIVSLICFNHIFLPHEKKSAVTEKMVLPSEKKAAKEKTPSSLVDLLLLASFIIALACNTFLASILRWKRLKKDIPPVSPKQGKATEHGSVEAKSPVEEHELHFGGRPDFQDIFSKFPNETGGSDIGVLVCGPVSMTESVASLCQLKSQGLNISSRGKKTYFSFHSLNFTL >Potri.005G185200.1.v4.1 pep chromosome:Pop_tri_v4:5:19264772:19266782:-1 gene:Potri.005G185200.v4.1 transcript:Potri.005G185200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185200.v4.1 MLNPGTESPDDQVHSGDRYNSNGILSYGVLSRKTADNYGNYQGWNSMGIFGAQRKDVQAEESGVCSPPLWRTSPPRSPQHRQNHYRSLSPSSRTQAIARGQKELMEMVSRMPEGCYELSLRDIVEQPMVVADAKEESFSEDRSIINQGDMHILRREQEKKKKKKKIEKKVHMNRSGSSINEGFLLKMVFPISFGSRKKKKNNNSNPPIINNSLRDGRVSPRPLLYDNGSEKSADEEWWNNKFPESRDSESGTALSSSNSGSSKSSGSSSGSSRSSSRNSSRRGRGGCWSFIFAKKGKAAK >Potri.003G077500.1.v4.1 pep chromosome:Pop_tri_v4:3:10496690:10501678:1 gene:Potri.003G077500.v4.1 transcript:Potri.003G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077500.v4.1 MGVANKAWMLSLLSEKPNLALYNQSNGKHYQYHQNIYNDWRWWRSSATQQHRRKVPWSLVCGLMLFGLGLVSLFTGHVASDLEWYSQRLVKRSLFYSRLEGRRREAIDIWKSKYSNLFYGCSERGRNFPPAVRERASNGYLLIAASGGLNQQRTGITDAVVVARILNATLVVPELDHHSYWKDDSDFVNIFDVDWFISYLAKDVTIVKRVPDKVMRSMEKPPYTMRVPRKSPPEYYLDQVLPILLRRRVVQLTKFDYRLASNLDEELQKLRCRANYHALRFTKPIQEIGERLVTKMRKMAKRYIAIHLRFEPDMLAFSGCYFGGGEKERFELGEIRKRWATLPDLSPDGERERGKCPLTPHEVGLMLRALGFANDTYLYVASGEIYGGEETLRPLRELFPNFYTKEMLAIEELKSFFPFSSRMAAIDYIVCDESDVFVTNNNGNMAKILAGRRRYAGHKRTIRPNAKKLSALFKARDRMDWDTFAKKVKASQRGFMGEPDEVRPGRGDFHEYPSCICEKPFTDDENRKGEDLLSDRIHMNLKENVDSKYVGENQGDKSLQRLKKRSIEEPISLRENKDGENFPD >Potri.017G006800.1.v4.1 pep chromosome:Pop_tri_v4:17:519163:524047:1 gene:Potri.017G006800.v4.1 transcript:Potri.017G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G006800.v4.1 MERQSSFNGRTMEKQQSFRGITNKQKVYEKQVSFQGIPNKQKVMEKHPSFHGVTENGSTSHGVMEKHPSFRGVEKGFRGFLEKQKSFRVVMERQLSFIGGGERKRPKDSPGKRGDSQIHLAARTGNLSRVREILQNSDGNDLKVLLATQNQDGETPLYAAAENGHAGVVAKMLEYMNLETASVAARNGYDPFHVAAKQGHLDVLTELLRVFPNLVMTTDLSCTTALHTAATQGHIDVVNLLLETDVNLVKIARNNGKTVLHSAARMGHLEIVRSLLSKDPSTGFRTDKKGQTALHMAVKGQNEEIVLELLKPDRTVMHVEDNKGNTALHIAVMKGRTQNVHCLLSVEGININAINKAGETPLDIAEKLGIQELVSILKKAGANNSKDCGKPPNAAKQLKQTVSDIKHDVQSQLQQTRQTGFRVQKIAKKLKKLHISGLNNAINNSTIVAVLIATVAFAAIFTVPGQYVEEKIEGAAIGQANVARNPAFLVFFVFDSLALFISLAVVVVQTSIVVIEQKAKKQLVFVINKLMWLACLFISAAFISLTYVVVGKNSRWLAIYATVIGGLIMLATIGSMCYCVVLHQMEESKLRNIRRETRPGSYSMSVESDQEILNSEYRRMYAL >Potri.005G212800.3.v4.1 pep chromosome:Pop_tri_v4:5:21647190:21651325:-1 gene:Potri.005G212800.v4.1 transcript:Potri.005G212800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212800.v4.1 MEIDAEEVKDSSLILIKQGAEARVFESNFVGRRSIVKERFSKKYRHPTLDSKLTLKRLNAEARCMTKARRLGVSTPVLYAVDPLLHSLTFEYVEGPSVKDVFLEFGLHGIVEERLDDIAMQIGDSIGKLHDGGLIHGDLTTSNMLLRMGTNQLVLIDFGLSFTSTLPEDKAVDLYVLERALLSMHSSCGNVMDRILAGYRKSSKQWSSTLNKLAQVRQRGRKRTMIG >Potri.001G310200.3.v4.1 pep chromosome:Pop_tri_v4:1:32071166:32072175:-1 gene:Potri.001G310200.v4.1 transcript:Potri.001G310200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310200.v4.1 MNGKTVNHGSLTPIVLQLLSSRDGIMLMKSVQQQMGTCILFDRQNLTIRIFGPENQAALTEQKLVASLLAFRDKQQTDIRL >Potri.001G277800.2.v4.1 pep chromosome:Pop_tri_v4:1:29128986:29130907:-1 gene:Potri.001G277800.v4.1 transcript:Potri.001G277800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277800.v4.1 MAKHHPDLIMCRKQPGIAIGRLCEKDDGKCVICDSYVRPCTLVRICDECNYGSFQGRCVICGGVGISDAYYCKECTQLEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Potri.001G277800.3.v4.1 pep chromosome:Pop_tri_v4:1:29130078:29130512:-1 gene:Potri.001G277800.v4.1 transcript:Potri.001G277800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277800.v4.1 MTRFLQCSQCVVFFFLFLLVGYRCGGNQGFVESNMAKHHPDLIMCRKQPGIAIGRLCEKDDGKCVICDSYVRPCTLVRICDECNYGSFQGRCVICGGVGISDAYYCKECTQLEKDRDGCPKIVNLGSAKTDLFYERKKYGFKKR >Potri.006G057500.1.v4.1 pep chromosome:Pop_tri_v4:6:4066848:4071284:1 gene:Potri.006G057500.v4.1 transcript:Potri.006G057500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057500.v4.1 MKMSLIPFKVTAFLVTITFTNLRFLSLSLNTDGLALLALKAAITTDPTDTLASWTETDPTPCHWHGITCINHRVTSLSLPNKNLIGYIPSELGLLDSLTRLTLSRNNFSKLIPLHLFNASTLRFLDLSHNSLSGPIPAKIESLQALTHLDLSSNCLNGSLPASLIKLKSLTGTLNLSYNSFSGEIPGSYGFFPVMLGLDLRHNNLSGKVPLVGSLVNQGPTAFAGNPSLCGFPLQTPCPEAVNITISDNPENPKGPNPVFIPGSVENVKIKTESIAVPLISGVSVVIGVVSVSAWLYRKKWWANEGKVGKEKIDKSDNNEVTFKEEGQDGKFLVIDEGFNLELEDLLRASASVVGKSRTGIVYKVVVGGRGSGTVVPMVVAVRRLSEDDATWKLKEFESEVEAIGRVHHPNIARLRAYYYAHDEKLLVSDFIRNGSLYSALHGGPSNTLPVLSWAARLKIAQGTARGLMYIHEHSPRKHVHGNLKSTKILLDDELQPYISSFGLARLVSSGSKFTTSASKKLYLNQTISSATGLKISAPYNVYLAPEARVSGRKFTQKCDVYSFGIVLMELLTGRLPDARPENDGKGLDSLVRNMFREERPLSEIIDPALLSEVHAERHVVAVFHIALNCTELDPELRPRMRTVSENLDCIKLH >Potri.011G151300.1.v4.1 pep chromosome:Pop_tri_v4:11:17834376:17837874:-1 gene:Potri.011G151300.v4.1 transcript:Potri.011G151300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G151300.v4.1 MARYSYVYGSERDELAEPSQRLIEAALSGDVEFVTESLKSKTVDVNYIGTVNLRVKCIETVLREEEADEIEIQHRDFVTDVTPLFAAAHSGHVEIARKLLSAGADVNQELFRGFATTAAAREGHCVLLDMLLKAGASQLACEDALLEACLCGQAKAAELLICSEMTGPDVAQHALVSASCRGFVDVVTTLIKNGVDINCTRRVLLQSVKPALHANVDCTPLVAAIVSRQVSVVKYLLEAGARSNCYVRLGAWSWDIFSGEELRVGACLGEPYNEVWCAVEYYEASGQILNLLLQHQISFLESQQQGRNLLCHAILCHNSDAMDVLLNAGADVEFCLRTKKGHEFRPIHLAARMGCLRILKQVIFYGCEVDSRTETGDTALMLAAKSDQADCFLELIVSGADLGLVNNNGESAVHLVKRSVFGSSLADIFRQAITTGRKVCSSNLEVFSLLHFVAGIGNTELLQMILQHSTEDISKHDGLGLTPTMVAVKAGHTEVFRLLIDAGADISERSRDGQAVVSLLQNHACSSVRTRFEEILLDAVLSHKVTSYSEFRALHFAAHVGNLHAIVKLLEMGFPINSVDDSGHSPLMLAAREGHADACKILLQRGAHCGIINQRGEAAISLARKSTKCKAAEGVIFDYLAHSHVLLGEELWKHTREGRGSPHMKVVQMLKSGLLTWGKSNRRNVVCKEAVAGPSPTFLKNRRKVNEAGDEMVFRVLTETGREIHFEASSASSLKLWVHGINLITKEATTGVW >Potri.009G011000.8.v4.1 pep chromosome:Pop_tri_v4:9:2124845:2130111:1 gene:Potri.009G011000.v4.1 transcript:Potri.009G011000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011000.v4.1 MGTIHRSGVYRKTNDSARLIIVTIVGVAFGFFVGISFPAVTFTKNEFRRSKSLETLGSGSNVTNIYVPTNPRGAELLPPGIVVAESDFYLRRLWGEPSEDMLKKPKYLLTFTVGYDQRNNINAAVKKFSDDFQILLFHYDGRTSEWDQFEWSKSAIHVSIMRQTKWWYAKRFLHPDIVGAYEYIFIWDEDLGVEHFNGEKYIQLIKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGKSEKGKAPWEGVRARCRNEWSLFRSRLADAEQAYISQTKKG >Potri.009G011000.9.v4.1 pep chromosome:Pop_tri_v4:9:2124855:2130141:1 gene:Potri.009G011000.v4.1 transcript:Potri.009G011000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G011000.v4.1 MGTIHRSFSCSGVYRKTNDSARLIIVTIVGVAFGFFVGISFPAVTFTKNEFRRSKSLETLGSGSNVTNIYVPTNPRGAELLPPGIVVAESDFYLRRLWGEPSEDMLKKPKYLLTFTVGYDQRNNINAAVKKFSDDFQILLFHYDGRTSEWDQFEWSKSAIHVSIMRQTKWWYAKRFLHPDIVGAYEYIFIWDEDLGVEHFNGEKYIQLIKKHGLEISQPGLEPNNGLTWQMTKRRGDREVHKDTEEKPGWCSDPHLPPCAAFVEIMAPVFSREAWRCVWHMIQNDLVHGWGLDFALRRCVEPAHEKIGVVDSQWIIHQVIPSLGSQGKSEKGKAPWEGVRARCRNEWSLFRSRLADAEQAYISQTKKG >Potri.019G071600.2.v4.1 pep chromosome:Pop_tri_v4:19:11321093:11324237:-1 gene:Potri.019G071600.v4.1 transcript:Potri.019G071600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G071600.v4.1 MQYLTKMKASAQKSIVKIMNDLEDFRWGLSLISLALYFLRRLRKAISMGSFSLTSSLPSPPPLSCTVSQFFHEEIKAPLIKEDSETTVPVHDQEGNVSQQTQSCVMKRKGLHYEEKIMQEGTMAYEAHQKGLHDKSSRINSDVLIKKSGPDSLRIIKVGEEDHNNGATNLKMRPGRLVVPQYCPKVELAQEDRKLENKEFEVQGRDFYLASKKGRREVMEDGYGVMIDILGDAKQAFFAVIDGHGGRAAVDYVAENLGKNIVKGLQNVGCKGDGQLEQAIRGGYLVTDKEFLSQGVSSGACAATVLLKDGELHAANAGDCRVVLSRNGVADVLTIDHRVNREDERLRIENSGGFLHCRNGIWRVHGSIAVSRAIGDLHLKEWIISEPEIKRVPLTSDCQFLIMASDGLWDKVNEQEAVDIILRGKNSTESCKKLVDMSLSRGNIDDITVMVINLRSFATNAC >Potri.007G091000.1.v4.1 pep chromosome:Pop_tri_v4:7:11678421:11679297:1 gene:Potri.007G091000.v4.1 transcript:Potri.007G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G091000.v4.1 MSLNTFLLCLLLLFSFSVIALSDEDCVYTLYIRTGSIIKGGTDSIISVRFYDKYGDSVGISNIEAWGGLMEPGHDYFERGDLDIFSGRAPCLSSPVCALNLTSDGTGSGHGWYVNYVEVTKTGVHATCSQMKFTIEQWLALDTSPYELTAIRNYCDYHADDRAKTSAGLSSS >Potri.001G245300.1.v4.1 pep chromosome:Pop_tri_v4:1:26225068:26228872:-1 gene:Potri.001G245300.v4.1 transcript:Potri.001G245300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G245300.v4.1 MASIIESGWLYLITHFSDFQLACLGSFFLHESVFFLSGLPFIYLERAGWLKKYKIQMKNNTPAAQEKCIVRLLLYHFGVNLPVMLASYPVFRHMGMQSSLPFPSWKVILMQITFYFILEDFIFYWGHRFLHTKWLYKHVHSIHHEYATPFGLTSEYAHPAEILFLGFATIVGPAITGPHLVTLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDWVFGTDKGYRKLQALKNAGVENGGKQT >Potri.004G088200.1.v4.1 pep chromosome:Pop_tri_v4:4:7409727:7411198:1 gene:Potri.004G088200.v4.1 transcript:Potri.004G088200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088200.v4.1 MGNNIGGGNKAKVMLVNGETFKLKTPARAGEVVKDYPGYVLLDSEAVKHFGIRAKPLEPQQELKAKKIYFLIELPQIPEEKDPRSTRRVRSAIHMSAKERLENLMLTRRSVSDLSMVRPSSSQTSDGREPVQVKVRLPKAQVQKLVEESQDEVEVAEKLIDLYMGNSGGINGTDGHRHVHWKPELGNISESFKATNEKRVSFAQEEGETRLAVASP >Potri.006G081100.1.v4.1 pep chromosome:Pop_tri_v4:6:6014730:6019802:1 gene:Potri.006G081100.v4.1 transcript:Potri.006G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081100.v4.1 MYKKKEPCRNFQRGSCQYGDRCKFLHVTPQQPPKSSNNPFGFGNQQTPNNNNNNSFGFGNRQQQQRPNSPFGSAPNNSANNRPQQFKPFENKWSRGASAPSSRQRPDSQPANHNCADPDSCKRLIAEDFEHERPLWKLTCYGHLRDGPCDIIGDVSYEELRAAAYDDYKRGLSLQSIVEKERNLLNSKLIEFNNLLHNPSIAPSKPAPAGQSPFFGATANATPAAAQNTAPPSVSSFGQLGTSLNMRSATPSNNAFGQPSQPLTAFGVSPSAPSNNVFGQSNLPSNSSQTVSAFGTNNFLSANASNSSQTSSAFGTTSFPSINAGLLGSQLPNQALGNSFSPNIAGFGNSGVNSIQNISSSPLSMQNPSIHPLSISNGLNSAFNAAGQATTNVQPVTMVQRETVSGDASIWLKEKWIPGEIPEEAPPEEYVF >Potri.002G144900.1.v4.1 pep chromosome:Pop_tri_v4:2:10917958:10920144:1 gene:Potri.002G144900.v4.1 transcript:Potri.002G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G144900.v4.1 MLVSSNLSLPPWLQALLTEKFFNACVIHEGARKNEKNIFCLDCCISICPHCLSPHGSHRLLQIRRYVYNDVLRVDDAQKLFDSAFVQSYTTNSAKVIFLNQRPLTRPVNIRGNICSRCDRGLQFPYLFCSISCKVDHILRTEGVSGLSSFLYDCKFLPLSEPRSDDGLMTPVSVLEPAASSKTSSSSGGDGGVACRALACTATTEIVRKKRSSLTNSCRTMFPRDTVISTILMNRRKKAPNRAPLY >Potri.008G224601.1.v4.1 pep chromosome:Pop_tri_v4:8:19132592:19132840:1 gene:Potri.008G224601.v4.1 transcript:Potri.008G224601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G224601.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRTGADTGLPRAPARGWPKIESSATVATTSGG >Potri.014G029500.2.v4.1 pep chromosome:Pop_tri_v4:14:1865018:1867545:1 gene:Potri.014G029500.v4.1 transcript:Potri.014G029500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029500.v4.1 MNLAPHTWLSSPKPNLSFFHSLLKSHNETHSPKSALLLFRHLLQSNLKPNDLTFSLLLKSFTSIPLNEKLEANQIHTHLLKSGFDQFVYISTALLDFYMKLGCVHSARNLFDHMLDRDIVSWNALICGCSRNGYDVDALEIFVQLLREGFSPLQTTLVGLVPSCGRREFVFQGRSIHGFGIKTGIDLDSQVKNALTYMYAKSGDLEAAELLFEELEDKSVVSWNTMIGAYAGNGFFNESMLVFKRMVEQKVEVNPVTIMSLLPANISPELIHCYAIKTGLINNGSVVTSLVCLYAKCGSTELAELLYWSFPQKNLVSLTAIISSYAEKGNMDLVVECFSRMQQLDMKLDSVAMVSILHGITDPSHMSIGIALHGYALKNGLDTHNLVSNGLISMYFKFNDIEAAISLFYEMPEKPLISWNSVISGCVQAGRASDAMKFFCQMKMFGLSPDTITVASLLTGCSQLGYLRLGERLHNYILRNNLEVEDFVGTSLIDMYTKCGSILLAERVFKSIREPCVATWNTMISGYSWYGLEHNALNCYSKMREQGLEPDRITFLGVLAACIHGGLLHEGKKHFQIMTEEFGMVPNLQHCACMVGLLGRAGLFEEALLFIKNMESEPDSAVWGALLNACCIHQEIKLGECLAKKLYLLDYKNCGLYVLMSNLYAATNRWNDAAKMREIMKDIGGDGTSGVSQIEVITSSREMDPDLYQEFLQF >Potri.015G030300.2.v4.1 pep chromosome:Pop_tri_v4:15:2317498:2321634:-1 gene:Potri.015G030300.v4.1 transcript:Potri.015G030300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G030300.v4.1 MASLSVANNNSIKKSAAVLYHYPCPDGAFAALAAHLYFKATSLPAIFFPNTVYSPIKPDQLPLHQFSHVYLLDFVGPSGFVQQLSSKVPRVVILDHHKTAMEALGGRSFEGENVSKVIDMERSGATIAFDYFKHKLLESGKNNYDEIIAEFDRVRRVFEYIEDGDLWRWRLENSKAFSSGLKDLNLEFNAQLNPSLFDQLLSLNLESVISQGIVSLSVKQKLIDDTLDQSYEIALGGGAFGRCLAVNADSVSELRSELGHQLATKSRNLKLRGIGAIVYRVPELENDEILKISLRSVDSEDTTPISEEFGGGGHRNASSFMISSADFEQWKVGKSASF >Potri.002G157700.2.v4.1 pep chromosome:Pop_tri_v4:2:12028474:12032739:-1 gene:Potri.002G157700.v4.1 transcript:Potri.002G157700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157700.v4.1 MAEQEVKKVEAVTPVAPAPVETKSDVADGKVTAPPPPVAAEKQKAATAAEESKALAVVEKTEPAPKKVSGGSIDRDVALADLEKEKRLSFIKAWEDSEKTKAENKSQKNFSAVAAWENSKKAALEAKLRKMEEKLEKQKAEYAEKMKNKIALIHKEAEEKKAIVEAKRGEEVLKAGETAAKYRATGQTPKKLLGCF >Potri.017G122100.2.v4.1 pep chromosome:Pop_tri_v4:17:12730416:12731956:-1 gene:Potri.017G122100.v4.1 transcript:Potri.017G122100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122100.v4.1 MASPLSRLPSHLSLHIRPSYKRITGAAMQVRAQRFGDEAGKSSNNVDANLSVLRERIEEVKIRERLERGCRCEYGWNYETGYNNKLRKEVGLQYHHHFVDLVGLVCGTIGFTFIAGALFLSLVSLFVHLSQ >Potri.017G122100.1.v4.1 pep chromosome:Pop_tri_v4:17:12730416:12731956:-1 gene:Potri.017G122100.v4.1 transcript:Potri.017G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G122100.v4.1 MASPLSRLPSHLSLHIRPSYKRITGAAMQVRAQRFGDEGKSSNNVDANLSVLRERIEEVKIRERLERGCRCEYGWNYETGYNNKLRKEVGLQYHHHFVDLVGLVCGTIGFTFIAGALFLSLVSLFVHLSQ >Potri.013G130600.4.v4.1 pep chromosome:Pop_tri_v4:13:13702267:13707195:-1 gene:Potri.013G130600.v4.1 transcript:Potri.013G130600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G130600.v4.1 MTAMSRATGLLTKNPIFRLQQQMGMPFFSSFPFSTACSSSGTDKVSFSNIDDGLAFFNDMLSKNPRPSTYDFNQLLSAAMRMKKHETVVSLSKEMELRGVKHNVFTLTILINCFCHLNRVDFGFSVWGKILKRGFEPDVVTSTTLVNGLCKEGKMGEAVKLVDDMVERGYRPGAYTYSVVINGLCKVGKADVAVGYLEEMEKLSCVLDTVAYNSVIEGYCLQGKVDGAREVFDVMVSKGTTHDVCNYNTLLNGYCKMERIDEAMQVFDEMLREGLVPTVVTYNTLIKGLCRVRRPWAAHQLFRNISACGLTPTIITYSTLIDGFCEQGNLDEGWALFQEMQKSAVKPNLVVYTILIDGMCKCGKLKDAKVLFSRLIVEGFQPDVHTYTVLIGGICKEGSLIEALKLFRKMEEDGCTPNACSYNVIIQGFLQHKDTSTAMQLICEMVNRGFSTDAATRTLLLGLPTNDGSPALKNLPGLCDDHQDVKGCDASVFMNDDDALALATK >Potri.010G254300.1.v4.1 pep chromosome:Pop_tri_v4:10:22554313:22558087:-1 gene:Potri.010G254300.v4.1 transcript:Potri.010G254300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G254300.v4.1 MAQLVETVGSKGVVKRTEFVRIITRALHSLGYEEIGTLLENQSGIPLQSQEISSFKNQVLAGNWDQSVETLHAISLLDKSTLTSQASFLIWEQQFLEFLRDDHILDSLNTLRKKIAPLDINSNRVQELASCTISPPEDLNIESRPEILEKLHNFLPPSLMIHPTRLESIIEEAISLRRLSCLYHNISDRNVSLYSDHKCGMSRLPCQAKQILSMHVDEVWFLQFSHNGKYLASSSKDESAIIWEVTDGGEVLFGHILGHEKPVLTVSWSPDDDQLLTCGEGEVIKRWEANSGRLLHVYERTDFGFISCAWCPVGFILAGTTDQSIILLDLEGAELDSWKDYALRMSEMAITNDGTRILSIYEDSSIAVIDREKKKILRLLPQEGVITSFSLSNDNKVLLVNLLNRGIHFWSLLEGYEGLISRYEGRISTRFIIRSCLGGLEETFIASGSEDSRVFIWHRGQRIPIMQLQSIHTGTVNCVSWNPTNIHMLASASDDHKIVIWGPPS >Potri.004G037800.1.v4.1 pep chromosome:Pop_tri_v4:4:2989261:2993609:1 gene:Potri.004G037800.v4.1 transcript:Potri.004G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037800.v4.1 MDLVPKVTVFLASVHFALSSVNPVVSIRQYWHFPGQPLGGEHLVTNLPGQPDVNFKHYAGYVTVNEQNGRALFYWFYEATTHPDEKPLVLWLNGGPGCSSVGQGATQEIGPFIVDTNGHGLKYNPYSWNTEANMLFLESPVGVGFSYSNTTNDYHIIGDEFTANDSYAFLQKWFLMFPSYRKRAFYIAGESYAGKYVPELAELIIDKNNDPSLYIDLKAILLGNPETSDAEDWRGMVDYAWSHAVISDETHKIIRESCNFDSNDTWSNDDCTESVDELIKQYKEIDIFSLYTSVCIGDSASSDDRFTQIMFRPSSKKMPRIMGGYDPCRDDYAKAFYNRPDVQKALHVSDGHVLKNWSICNKKIFEEWPDSKTSVLPIYKKLIARGLKIWVYSGDTDGGVSVLSTRYSLSSLGLQITKAWRPWYHQKQVSGWFQEYEGLTFATFRGAGHAVPIFKPSNSLAFFSAFLLGESLPCER >Potri.013G111400.1.v4.1 pep chromosome:Pop_tri_v4:13:11987224:11991256:1 gene:Potri.013G111400.v4.1 transcript:Potri.013G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111400.v4.1 MKTTRSSLILILSIIISATESTRADKINTVLELDESNFDSTIAAYDYVFVDFYAPWCTHCKRLAPELDVAAPILAELKKPIVIAKVNADKYTRLARKHEVDGYPTLKIYMHGVPTEYYGPRKAELLVRFLRKFVAPDVVVLNSDSAIREFVEEAGTHFPIFIGFGLNETLISNLAIKYKKKAWFSVASDFSDDVMVQYDFDKIPTVVSIHPSYDDHSIFYGPFEEEFLEEFIEQNFLPLAVPINYDTLKVLKDDQRKIVLTILEDESEEKSQKLIKTLKAAASANRNLVFGYVGVKQWAEFAETFGAKGTKLPKMIVWDGGEEYLSVIGSESIEEEDQGSQISQFLAGYREGKTERNRISGPSLMGYLNSLIGVRTVYIIVFLVAMLILIRHISKEEPLTVGTGDQVEHATSSEAESSDYRPGDKQD >Potri.001G086800.1.v4.1 pep chromosome:Pop_tri_v4:1:6907761:6914743:-1 gene:Potri.001G086800.v4.1 transcript:Potri.001G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G086800.v4.1 MASLIPETTKKLFTAENLRSATKQSDRCLIVPVRLRRAIKKYLREQEEPHMKKKVLRLSESFSDIKDKNMMLAINTSKELVEDPFKSMERSKRWKIKSSYGDIGLSYRDDETIAYVASRMPAVFSACYRVLSEVRRRLPGFSPTKILDFGAGTGSAFWAIREVWPKSLQKVNLVEPSQSMQRAGRSLIQDLKNLPLIHSYNSLQDLSKSIRKSERKHDLVIASYVLGEIPSLKDRITIVRQLWELTGDVLVLVEPGTPHGFGIISQMRSHILWMEKRKCGKAEGKINEPCKDLVPYKGGAFVIAPCSHDGSCPLVKSGKYCHFVQRLQRTTSQRAYKRSKGETLRGFEDEKFSFVAFRRGQRPRKPWPLDGMRFETLKEQHAKRNPVDLEIDYEDLIEQNQPEEEVPYEEVDPVYYDSDVIETDTNDDNDEEEQEEEAHADLGGGWGRIVFSPFKRGRQVTLDVCRSNNRDNSEGSFERIVVTKSKSPALHYQARRSHWGDLWPF >Potri.009G047100.6.v4.1 pep chromosome:Pop_tri_v4:9:5296336:5300060:-1 gene:Potri.009G047100.v4.1 transcript:Potri.009G047100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047100.v4.1 MSLFRNLIQLSTSKSKPLLQNPNFLFTSLSHFTTDTPTRYAGLEPTKGDEKPRVVVLGSGWAGCRLMKGIDTDLYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISKAPGSYFFLANCTSLDTEKHMVHCETVTDGLDTVDPWRFKISYDKLIIALGAEALTFGIHGVKEHAIFLREVRHAQEIRRKLLLNLMLSDMPGLSEEEKSRLLHCVVVGGGPTGVEFSGELSDFILKDVRQTYSHVKDYIHVTLIEANEILSSFDDSLRRYATKQLTKSGVHLVRGIVKDVKPQKLILTDGTEVPYGLLVWSTGVGPSSFVKSLELSKSPGGRIGIDEWLRVPSVPDVFAIGDCSGFLESTGKPVLPALAQVAERQGKYLAKLLNKIGKDGGGRRTSGEEVELGDPFVYRHLGSMATIGRYKALVDLRQSKEAKGLALKGFASWFIWRSAYLTRVISWRNRFYVAINWATTFVFGRDISRI >Potri.009G047100.7.v4.1 pep chromosome:Pop_tri_v4:9:5296337:5299983:-1 gene:Potri.009G047100.v4.1 transcript:Potri.009G047100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G047100.v4.1 MSLFRNLIQLSTSKSKPLLQNPNFLFTSLSHFTTDTPTRYAGLEPTKGDEKPRVVVLGSGWAGCRLMKGIDTDLYDVVCVSPRNHMVFTPLLASTCVGTLEFRSVAEPIGRIQPAISKAPGSYFFLANCTSLDTEKHMVHCETVTDGLDTVDPWRFKISYDKLIIALGAEALTFGIHGVKEHAIFLREVRHAQEIRRKLLLNLMLSDMPGLSEEEKSRLLHCVVVGGGPTGVEFSGELSDFILKDVRQTYSHVKDYIHVTLIEANEILSSFDDSLRRYATKQLTKSGVHLVRGIVKDVKPQKLILTDGTEVPYGLLVWSTGVGPSSFVKSLELSKSPGGRIGIDEWLRVPSVPDVFAIGDCSGFLESTGKPVLPALAQVAERQGKYLAKLLNKIGKDGGGRRTSGEEVELGDPFVYRHLGSMATIGRYKALVDLRQSKEAKGLALKGFASWFIWRSAYLTRVISWRNRFYVAINWATTFVFGRDISRI >Potri.002G033400.1.v4.1 pep chromosome:Pop_tri_v4:2:2216774:2221840:1 gene:Potri.002G033400.v4.1 transcript:Potri.002G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G033400.v4.1 MDSFCGALMASAGANAVNFNKGGIGNDGTIFWGENLKKNLKSWDSRAQLRKNLRSGVKKIKPGVAYSLLTSDVNEETVIFEAPVFETPQADPSNVASIILGGGAGTRLFPLTSRRAKPAVPIGGCYRLIDIPMSNCINSGIKKIFILTQFNSFSLNRHLARTYNFGNGVSFGDGFVEVLAATQTPGEAGKKWFQGTADAVRQFIWMFEDARTKNVEHVLILSGDHLYRMNYMEFVQKHIDTNADITVSCVPMDDSRASDYGLMKIDSTGRIIQFAEKPKGTDLKAMQVDTTLLGLSKQEAMQFPYIASMGVYVFRTDVLLKLLRCSYPSCNDFGSEIIPSAVKEHNVQAYLFNDYWEDIGTIKSLFDANLALTEQPPKFEFYDPKTPFFTSPRFLPPTKVDKCRIVDAIISHGCFLRECSVQHSIVGVRSRLESGVELTDTMMMGADYYQTESEIASVLAEGKVPIGVGQNTKIRNCIIDKNAKIGKDVIITNADGVQEADRPSEGFYIRSGITAVLKNATIKDGTII >Potri.003G004501.1.v4.1 pep chromosome:Pop_tri_v4:3:554523:555834:-1 gene:Potri.003G004501.v4.1 transcript:Potri.003G004501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G004501.v4.1 NMRLSSNGLSNDQKKELAIFANWILAIGDGTQHDALFPDDSDASMIKIPQDLLLEPGSNPILAIVSAVYPSIRDINIDPCYFRDRAIVTPRNATVSEINDFILNMLPGMKRIYLSTDTVCKTSSDGDNAEILYPVEFINQLEFNGLVYVTEQVLIVTQLAERVIEAQIITGSFIGNRVFIPRIVFPINDAKCPFTIKRRQFPIRPCYAMTINKSQGQSLKVVGVFLKDQVFTHGQLYVALSRVTSRQGLKIITCDTEGNHSIYVKNIVYKDVLSSLSVYNLS >Potri.006G256801.1.v4.1 pep chromosome:Pop_tri_v4:6:25397573:25400160:-1 gene:Potri.006G256801.v4.1 transcript:Potri.006G256801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256801.v4.1 MELGSMWDYEETIDELKQKLVYSTIELESLKVEANEELRKHKEDVGRLINMLKVASQERDEAKGQLQNLLNKLILSNPTELLPFLPQAQSGNPLVIPARGNSSITESNSLSDTHNHQSHGSSPVDSFFDAIASPDFCSTNMEESSHMGFVSNTFVKEYNKGSISAGLEVPAVPRINPADAVIENFVKGKVLPEEGNLLQAVMETGPLLQTLLLAGPLPRWRNPPPLQPFKILPVSTSSQNAAANASFLAQKPLASPSYIELRRGSSKTCSSMLNFSSGASGSGLDNGCLLNSGAIHQIPAGKRQRF >Potri.018G061901.1.v4.1 pep chromosome:Pop_tri_v4:18:7205235:7208130:1 gene:Potri.018G061901.v4.1 transcript:Potri.018G061901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G061901.v4.1 MGLSFSLLLSAWTAILGHKFFGSKDTVEKIVVRSLSFARKDGEMGSRTNSFKKDVSETIGKCEGSDKLSFERSLSFNHWDSDKIKAKPSDSSRSSNSLKIKGHETVHITKPTILLPEPPVIFFSPRPISELDAAATKLQKVYKSYRTRRNLADCAVVVEELWWKALDFAALKRSSVSFFNIEKHETAISKWARASTRVAKLGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWFDSRSTQPFFYWLDIGDGKEVNLEKCPRSKLQRQCIQYLGPKEREAFEVIVESGKLVYRQTGLLVNTTDDTKWIFVLSTSRSLYVGQKKKGVFQHSSFLAGGATTAAGRLVSEDGVLRAIWPYSGHYLPTEDNFKEFISFLEEHNVDLTNVKRCSIDDDDSSFKVTDEGCKQEEMKEITTITSTNTTANEVDGPIDNNNKKTTNDQQDNMEANAAMLQAPAFDLSKRLSCKWASGYGPRIGCVRDYPADLQSRALEQVNLSPRINPGLAGSCVPIPSPRPSPKVRVSPRLAYMGLPSPRVAVSTRQRV >Potri.018G007701.1.v4.1 pep chromosome:Pop_tri_v4:18:577297:577530:1 gene:Potri.018G007701.v4.1 transcript:Potri.018G007701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007701.v4.1 MSPSNKLMALGLCMLMACTLFAGNAEATRDINYGAIVKGDHEPFCGPTHPCVKTPANGYHRGCETINKCRGGRNDNI >Potri.012G014200.1.v4.1 pep chromosome:Pop_tri_v4:12:1696025:1699415:-1 gene:Potri.012G014200.v4.1 transcript:Potri.012G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014200.v4.1 MQSSRKASYQETLVSPVLEPIKTETATKKWETDEEKEMADSKESTSSQEPLSPAARLFHAPQFNCTILTAIGCKTSINPGVIKMGLKQTLMKHPRFSKKLVIDDNKCGSKSKWESTKVNVENHVTVPNLDPNMNSPDQFVEDYISNLSTVPLDLSKPLWEMHILNVKTLDAEAIAVFRIHHSLGDGASLISLLLACTRKTSDPDALPSIPVQQRAGSHFSGGFWGLFFAMWTVLRMIWNTLVDSVLFVATMLFLEDTKTPLKGASGVELKPKRFVHRTVSLDDIKLVKNAMNMTINDAIMGVTQAGLSRYLNRKYGDQSEIEDGENGKKNNIPKSIRLRASVLVNVRPTPGIQTLADLMANESNNPKWGWGNRIGYIILPFTVGLQDDPLEHLRRAKAMIDRKKLSLEATFSFHCAILVIKLFGAKASAAIARRVISNTTLAFSNVVGPLEEISFYGHPVAYIAPSVYGSPHALTIHFQSYCKKMTIVLAVDPDVIPDPHKLCDDLEKSLEIIKDSVVERELDAS >Potri.008G211000.1.v4.1 pep chromosome:Pop_tri_v4:8:17710127:17713175:1 gene:Potri.008G211000.v4.1 transcript:Potri.008G211000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G211000.v4.1 MNISLIADTATIAAQQTLCSGNSLFLHRQNRINLADQSSQICAVIVDVLASPPVKSKTSRRTILRKRRRTRSRSKTYGDAGDCGEEYGFFGGGGGWGGFGGGGRGWNFDKFGGHDWDESSGWYSSRSSDFAYGFVYEVIYWIALSNCVHFAFKKVVRLVADGIGDTDRGKVVPLRLGTIC >Potri.019G022402.1.v4.1 pep chromosome:Pop_tri_v4:19:3452987:3454848:1 gene:Potri.019G022402.v4.1 transcript:Potri.019G022402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G022402.v4.1 MMVESVLRMNPGDGETSYAKNSFLQLSLSLLTPYFIKIKTVLSKARPILEDTIKDMFSTALPTCFKLADLGCSSGPNTLLLVSEIMDVIYELCQQLNCKLPEFQVFLNDLPGNDFNAVFKSLPFFYDKFGKEKGDLYGQHCFISGVPGSFYHRLFPSKSLHFFHSSCSLHWLSKVMQVLDPNVFKAYLEQFQKDFSLSLRLRSEEIIQGGRVVLTFIGRSIEDPRSKDCCLYWELLAKSLLDLAAKGLVVEADIDTFNLPYYNPYEGQVREIIEMEGSFDINKLETFAINWDANDDINNKNFVFDKDQCGRNVANIVRAVAEPMLVSHFGDDITDELFKRYAEYVGEHLCVEKTKHINIVLTMTKKE >Potri.006G099700.1.v4.1 pep chromosome:Pop_tri_v4:6:7628857:7635049:-1 gene:Potri.006G099700.v4.1 transcript:Potri.006G099700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099700.v4.1 MSYPLQLSFPGLAFESSKTRTRKKAHHFQTLCCSSLQHPSLQEKPDNEVILLERYGNGTAKRYTLDDAVQLQGFLEKNGSENRSFEESRLSEAGLSWLPDILKDFILPAGFPGSVSDDYLQYMVLQFPTNITGWICHTLVTSSLLKAVGAGSFTGTDAAASAAAIRWVSKDGIGALGRLFIGGRFGDLFDDDPKQWRMYADFIGSAGSIFDLTTQVYPAYFLPLASLGNLTKAVARGLKDPSFRVIQNHFAVSGNLGEVAAKEEVWEVGAQLLGLALGILILDTPDLVKSFPLLTSTWMSMRLLHLWLRYQSLSVLRFDTINLKRARILIKSHILQSRVLGCVDCNQDENILSWETFTRPRIIFGVPLEEMIGGERSVAKIKMLLKLYAKEKHFLVVNQQKTDFEVLVSFKVGATSMSVLRSVWQTYWLHENWKSFDNRSSDTDYDQLAQSLLEMEVKFEDFMQQLDAVGWDTSQINLKVPRKISIEELGPV >Potri.002G119700.2.v4.1 pep chromosome:Pop_tri_v4:2:9096347:9097398:-1 gene:Potri.002G119700.v4.1 transcript:Potri.002G119700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119700.v4.1 MGLCDKLRRVWNGIALRLWIRKRGLLKLRHDVRACEYADVHVMWKMLRRNETETVQFSRKRENKSLWDCFHWARCTSIS >Potri.005G243400.2.v4.1 pep chromosome:Pop_tri_v4:5:23934189:23936225:-1 gene:Potri.005G243400.v4.1 transcript:Potri.005G243400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243400.v4.1 MWSSPGANIDNNNTSNSKVSGNSPSKCFSSTCSSPSPPSPSPPIPNQSMNGASMEEVWDDINLASLHDHSNTNTSSNTNHHSFNGMVFQDFLARPSNKDTSTRAASKEPSSGGGNSFLKNSLGPPPATMLSLNSGSDHFHYLESSNTVPVRPNPQMHSHANGGTISFDSSLDSPFDALGSSSVFLSICKKRPQENGDVSGGDRRHKRMIKNRESAARSRARKLTQLSWNVKLLI >Potri.005G243400.3.v4.1 pep chromosome:Pop_tri_v4:5:23934645:23936225:-1 gene:Potri.005G243400.v4.1 transcript:Potri.005G243400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243400.v4.1 MWSSPGANIDNNNTSNSKVSGNSPSKCFSSTCSSPSPPSPSPPIPNQSMNGASMEEVWDDINLASLHDHSNTNTSSNTNHHSFNGMVFQDFLARPSNKDTSTRAASKEPSSGGGNSFLKNSLGPPPATMLSLNSGSDHFHYLESSNTVPVRPNPQMHSHANGGTISFDSSLDSPFDALGSSSVFLSICKKRPQENGDVSGGDRRHKRMIKNRESAARSRARKQESGSPFENLFLVKFNDYRMLMFYLLLILQAYTVELEREAAHLAQENAKLRRQQERVSTVEEECLFPDLECC >Potri.005G243400.4.v4.1 pep chromosome:Pop_tri_v4:5:23934128:23936225:-1 gene:Potri.005G243400.v4.1 transcript:Potri.005G243400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G243400.v4.1 MWSSPGANIDNNNTSNSKVSGNSPSKCFSSTCSSPSPPSPSPPIPNQSMNGASMEEVWDDINLASLHDHSNTNTSSNTNHHSFNGMVFQDFLARPSNKDTSTRAASKEPSSGGGNSFLKNSLGPPPATMLSLNSGSDHFHYLESSNTVPVRPNPQMHSHANGGTISFDSSLDSPFDALGSSSVFLSICKKRPQENGDVSGGDRRHKRMIKNRESAARSRARKQAYTVELEREAAHLAQENAKLRRQQERFLAAAPAQLPKKNTLYRTSTAPF >Potri.019G108900.2.v4.1 pep chromosome:Pop_tri_v4:19:14029663:14032633:-1 gene:Potri.019G108900.v4.1 transcript:Potri.019G108900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108900.v4.1 MLSPNSITRQLSDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQVLLSSAATRRAPLTTRESETAIRDMALLLFQAQQLHYDSATMIMRLKAKIQVLDEQMGIVNEKSSKYGQIAAEEIPKGLYCIGIRLTTEWFGNPNLQRKKNERMQIQTKLRDSNLYHFCVFSDNILATSVVVNSTALNSKNPDMVVFHLVTDEINYIAMKAWFAMNTFRGVTVEVQKFEDFKWLNASYVPVLKQLQDSETQSYYFSGHNDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSGLFSVDLNSNVNGAVETCMETFHRYHKYLNYSHPLIREHFDPDACGWAFGMNVFDLVEWRKRNVTEIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGLGYTNVDPHLIEKGAVLHFNGNSKPWLKIGMEKYKPLWEKHVDYSHPLLQQCNFH >Potri.019G108900.1.v4.1 pep chromosome:Pop_tri_v4:19:14029490:14033796:-1 gene:Potri.019G108900.v4.1 transcript:Potri.019G108900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108900.v4.1 MRRRPVDFRRPVRRRISSVVWWTLCGISVLLFIVIFSKESRIESRSTSFNKYYTKYEKNIEGLNITDEMLSPNSITRQLSDQISLAKAFVVIAKESNNLQFAWELSAQIRNSQVLLSSAATRRAPLTTRESETAIRDMALLLFQAQQLHYDSATMIMRLKAKIQVLDEQMGIVNEKSSKYGQIAAEEIPKGLYCIGIRLTTEWFGNPNLQRKKNERMQIQTKLRDSNLYHFCVFSDNILATSVVVNSTALNSKNPDMVVFHLVTDEINYIAMKAWFAMNTFRGVTVEVQKFEDFKWLNASYVPVLKQLQDSETQSYYFSGHNDDGRTPIKFRNPKYLSMLNHLRFYIPEVFPALKKVVFLDDDVVVQKDLSGLFSVDLNSNVNGAVETCMETFHRYHKYLNYSHPLIREHFDPDACGWAFGMNVFDLVEWRKRNVTEIYHYWQEKNVDRTLWKLGTLPPGLLTFYGLTEPLDPSWHVLGLGYTNVDPHLIEKGAVLHFNGNSKPWLKIGMEKYKPLWEKHVDYSHPLLQQCNFH >Potri.005G051400.1.v4.1 pep chromosome:Pop_tri_v4:5:3248448:3255812:1 gene:Potri.005G051400.v4.1 transcript:Potri.005G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051400.v4.1 METSKAMEESDVGILCYISQLPGFRGILKQRYADFIVNEVDTDGNVVHLTCLEPPPQMVEETKECEAKVSDEKMSESKSYEAEIELFRSLAGDSDAEKLESLISQVDSCTGSEDSVPHIVLSPDSDKTHRTAVHNFFKQNFKFLVTDTTDGPDASSKCIRVRLDSGGHKNNGRNSKKRKERGEKPFDSRGSSNWPEHLGKFLRFHLYKENKDTQEALGIIGKMLGIQSKSFGFAGTKDKRAVSTQRVTVFKQQASRLAALNDRLIGIKVGDFCHVKEGLLLGRLLGNRFTVTLRGVIADSEDTIKAAADSLGRHGFINYFGLQRFGTGSVPTHLIGATLLRGEWKTAVSMILDPREGERDVIRKAREYYKESNDIEGTLRQLPRHLVAERAVLQCLKKCPGDYLQAMKAIPRTLRMMYVHGYQSYLWNHAASERVQKYGFDQVLLGDLVYCKGDDSEKETVGVNSECNDDNCDDTYDCSHLDETSGTDLLERKNTLVKVVTVEDMSTGNYTVDDVVLPMPGSRVIFPTNEIAKVYHDLAKKDAINLTESVHRVKEFSITSMTGSYRRVFQKPLDFEWELLTYNDSNKPLAETDLDKISKTGSTNIIKQEEQGKGDEDNDLSDCTKLPVCSRNSIALSSDTNEESEREVESEQVSGSNWQETQMALKLSLTLPASCYATMAIRELLKTSTSVAYHKTLNQ >Potri.001G425600.2.v4.1 pep chromosome:Pop_tri_v4:1:45628767:45631722:-1 gene:Potri.001G425600.v4.1 transcript:Potri.001G425600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425600.v4.1 MFCFNNFVEEFNERKQNLVSAKERLQKGVEAAERNAEETYKDVKKWLEDANNEIEGAKPLENEIGKNGKCFTWCPNCMRQFKLSKALAKKSKTFRKLGESSEKFKTVAQKAPPC >Potri.017G080200.1.v4.1 pep chromosome:Pop_tri_v4:17:8935374:8938835:1 gene:Potri.017G080200.v4.1 transcript:Potri.017G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G080200.v4.1 MAKFSALFCFIILVISGCACIDSAMGGEIGIYELKKGNLSMKLTNYGARIISLVLPDKNGKLGDVALGFDTIEEFMNASSPFGATVGRVANRISNAQFTLNGTVYKLPANSGNNTIHGGPIGFSKVVWKVKKYSPDGLVPYIVFAYHSFDGEQGFPGDLLVETSYKLLGDNQLCITMEAKARNKATPVNLVNHAFWNLGGHNSGDILSEKIQIFASRYTPVDSKLIPTGKIVTVKETPYDFLKPNTIGSKINELPKGYDINYALDGSGNKKLRKAAIVHDEKSGRAMEILTNQPGVQFFTSNTLNVKGKGGFMYKPHGALCLETQGFPDSVNHPNFPSQIVNPGKPYKHYMLFKFSTF >Potri.011G124212.1.v4.1 pep chromosome:Pop_tri_v4:11:15473772:15474858:-1 gene:Potri.011G124212.v4.1 transcript:Potri.011G124212.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124212.v4.1 MKNYLTEIPASYSPRCPNLSTLLLSQNYMLRSIEGSFFTQLNGLAVLDLSNTGIKSLPGSISNLVCLTSLLLRRCQQLRHVPTLAKLTALKKLDLVYTQLEELPEGMKLLSNLRYLDLSHTRLKQLSAGIIPKLCRLQVLGVLLSSETQVTLKGEEVACLKRLEALECNFCDLIDFSKYVKSWEDTQPPRAYYFIVGPAVPSLSGIHKTELNNTVRLCNCSINREADFVTLPKTIQALEIVQCHDMTSLCAVSSMKHAIKLKSLVIWDCNGIACLLSLSRISADTLQSLETLCLSSLKTCVASLADKELLHLYFHSRGVA >Potri.015G142100.2.v4.1 pep chromosome:Pop_tri_v4:15:14816597:14816857:1 gene:Potri.015G142100.v4.1 transcript:Potri.015G142100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G142100.v4.1 MEVQKIMTGVLLLLLLSWAVAVAADVDCTTLAGFLTACSTFITYGTPDPLPGSPCCDSMMSLNVIAESGNNRRSICQCLMGLIKHL >Potri.009G067800.2.v4.1 pep chromosome:Pop_tri_v4:9:6764141:6770915:1 gene:Potri.009G067800.v4.1 transcript:Potri.009G067800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067800.v4.1 MESLLGFQDETIAVVGDDQEKSTSSSYGYKLVPWLNWNEWECVRDSLFSDSPEKIHSAITRISTWRSRGCLPAVIDVTASIIEVQQKDPLYRKDLPDDAIHSEQMLAMLYCMAILRLVNCVVEKTRKKTEVSIAEAAGAIGIPRTLIDIRHEGSHRDLPALALVRDSAVKAIDWLKSYYWEPQTKQIPFQRDGSADIRKEIKSKFCELASCLKVKKSTQPGSSAIKAKRGRCCEQLSGHIKFFSLVSSTLYSSKSRGSKKNITKTLKNLVRLYSSFSSEVLSVLLEFLLKALDSSNLVELPKDCLVGEGVCTLLDDWKLVITKFSKKEPEVLLMLLKAVLNMIDTHEAMKYEMGTHLISWEQGTENRQIDRLSSLFAWLVGQLKGLKPLRCKQSAAESLASSIGMNLSNAILMEVLRKCLLVFSYGNKQLMGSALHLAQLMGDSSVMDKLKKLSLLALSDPEVTQEKSPPLSLNSFLTQQDQSIHQATKKLDFVKLCRTKSKVAKRTDGDVGSSGRWIVAKSWNPCPIGMLPRDLGSSGCLPVLDCDDDGKKSVHASERKQIWELKQCSIREPSGDIPLSDYTSVERTGSKREADSDIYLLDKASVKKMRETADSFESEGENVLLSKDDKGCLMINGVWKKVGEEELLAIMSDVRILV >Potri.009G067800.1.v4.1 pep chromosome:Pop_tri_v4:9:6764179:6770999:1 gene:Potri.009G067800.v4.1 transcript:Potri.009G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067800.v4.1 MESLLGFQDETIAVVGDDQEKSTSSSYGYKLVPWLNWNEWECVRDSLFSDSPEKIHSAITRISTWRSRGCLPAVIDVTASIIEVQQKDPLYRKDLPDDAIHSEQMLAMLYCMAILRLVNCVVEKTRKKTEVSIAEAAGAIGIPRTLIDIRHEGSHRDLPALALVRDSAVKAIDWLKSYYWEPQTKQIPFQRDGSADIRKEIKSKFCELASCLKVKKSTQPGSSAIKAKRSKKNITKTLKNLVRLYSSFSSEVLSVLLEFLLKALDSSNLVELPKDCLVGEGVCTLLDDWKLVITKFSKKEPEVLLMLLKAVLNMIDTHEAMKYEMGTHLISWEQGTENRQIDRLSSLFAWLVGQLKGLKPLRCKQSAAESLASSIGMNLSNAILMEVLRKCLLVFSYGNKQLMGSALHLAQLMGDSSVMDKLKKLSLLALSDPEVTQEKSPPLSLNSFLTQQDQSIHQATKKLDFVKLCRTKSKVAKRTDGDVGSSGRWIVAKSWNPCPIGMLPRDLGSSGCLPVLDCDDDGKKSVHASERKQIWELKQCSIREPSGDIPLSDYTSVERTGSKREADSDIYLLDKASVKKMRETADSFESEGENVLLSKDDKGCLMINGVWKKVGEEELLAIMSDVRILV >Potri.001G378900.1.v4.1 pep chromosome:Pop_tri_v4:1:39956798:39964929:1 gene:Potri.001G378900.v4.1 transcript:Potri.001G378900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378900.v4.1 MLEPREADIPVLFLVLVVLPLVAYILLGKWTESAKKRERISLLAQLAAEEACRAEVMATTHVIPPMSTSKNGIQVCARCFSPATTRCSRCKSVRYCSGKCQIIHWRQAHKEECQLLETTSSCSSPMATSCDESIPERLSINDGMDLFSLRYNSKQPTMDIGPSVNNVHLISSTGASAAVNCPAIDTSQEAMMLHRRSTNKQVSCKSNKEMLRRQEVAVFDSSQETAGIRPTNLTSSSSISNVFVNGQDITSAMDETHKYSIQHQNLSKSRSNCASSSSLNAGKYGTNADFSNAEASFNGEMTGPKYSYESPVTNENVKANSGLHPMGNKSSKSSKSKIKVSGDQSYTEIDGKGQLTDDSKVARMSNANPAPGSNGVTSIDIMKMMGLRKSTKLARQDIAEINVIHKTTRMLFPYEEFVKIFNCEVINLTPRGLVNCGNSCYANAVLQCLTCTKPLIIFLLRRSHSRACCGKDWCLMCELEQHVMMLRECGGPLSPSRILLHMRKINCQIGDGSQEDAHEFLRLLIASMQSICLERLGGEDKVDPRLQETTFIQHTFGGLLRSKVKCLRCHHESERYENIMDLTLEIFGWVESLEDALTQFTTPEELDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMIPFMTGMGDVPPLYMLYAVVVHLDTLNASFSGHYVAYVKDLRGSWFKIDDTEVHPVSMSQVMLEGAYILFYKRSCTRPQKLICEKPIGQQVPVSSRHCTSRTQKPSRQGQRRCSSHCVGPEVSLDLKPENGSGLANYANGIPRRSSSKNITQVMDFSDATSSDWSIFTSSDEASFTTESTRDSFSTVDYADACNVDTFSSIFNDLYAPESSYRNTICRRTFSYSRPQTRFILETGHVLDSYSSTQPPGHGKERILHRSVIHQPNSSRTVPAPCL >Potri.001G378900.4.v4.1 pep chromosome:Pop_tri_v4:1:39957241:39964807:1 gene:Potri.001G378900.v4.1 transcript:Potri.001G378900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378900.v4.1 MLEPREADIPVLFLVLVVLPLVAYILLGKWTESAKKRERISLLAQLAAEEACRAEVMATTHVIPPMSTSKNGIQVCARCFSPATTRCSRCKSVRYCSGKCQIIHWRQAHKEECQLLETTSSCSSPMATSCDESIPERLSINDGMDLFSLRYNSKQPTMDIGPSVNNVHLISSTGASAAVNCPAIDTSQEAMMLHRRSTNKQVSCKSNKEMLRRQEVAVFDSSQETAGIRPTNLTSSSSISNVFVNGQDITSAMDETHKYSIQHQNLSKSRSNCASSSSLNAGKYGTNADFSNAEASFNGEMTGPKYSYESPVTNENVKANSGLHPMGNKSSKSSKSKIKVSGDQSYTEIDGKGQLTDDSKVARMSNANPAPGSNGVTSIDIMKMMGLRKSTKLARQDIAEINVIHKTTRMLFPYEEFVKIFNCEVINLTPRGLVNCGNSCYANAVLQCLTCTKPLIIFLLRRSHSRACCGKDWCLMCELEQHVMMLRECGGPLSPSRILLHMRKINCQIGDGSQEDAHEFLRLLIASMQSICLERLGGEDKVDPRLQETTFIQHTFGGLLRSKVKCLRCHHESERYENIMDLTLEIFGWVESLEDALTQFTTPEELDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMIPFMTGMGDVPPLYMLYAVVVHLDTLNASFSGHYVAYVKDLRGSWFKIDDTEVHPVSMSQVMLEGAYILFYKRSCTRPQKLICEKPIGQQVPVSSRHCTSRTQKPSRQGQRRCSSHCVGPEVSLDLKPENGSGLANYANGIPRRSSSKNITQVMDFSDATSSDWSIFTSSDEASFTTESTRDSFSTVDYADACNVDTFSSIFNDLYAPESSYRNTICRRTFSYSRPQTRFILETGHVLDSYSSTQPPGHGKERILHRSVIHQPNSSRTVPAPCL >Potri.001G378900.3.v4.1 pep chromosome:Pop_tri_v4:1:39957173:39964869:1 gene:Potri.001G378900.v4.1 transcript:Potri.001G378900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G378900.v4.1 MLEPREADIPVLFLVLVVLPLVAYILLGKWTESAKKRERISLLAQLAAEEACRAEVMATTHVIPPMSTSKNGIQVCARCFSPATTRCSRCKSVRYCSGKCQIIHWRQAHKEECQLLETTSSCSSPMATSCDESIPERLSINDGMDLFSLRYNSKQPTMDIGPSVNNVHLISSTGASAAVNCPAIDTSQEAMMLHRRSTNKQVSCKSNKEMLRRQEVAVFDSSQETAGIRPTNLTSSSSISNVFVNGQDITSAMDETHKYSIQHQNLSKSRSNCASSSSLNAGKYGTNADFSNAEASFNEVARMSNANPAPGSNGVTSIDIMKMMGLRKSTKLARQDIAEINVIHKTTRMLFPYEEFVKIFNCEVINLTPRGLVNCGNSCYANAVLQCLTCTKPLIIFLLRRSHSRACCGKDWCLMCELEQHVMMLRECGGPLSPSRILLHMRKINCQIGDGSQEDAHEFLRLLIASMQSICLERLGGEDKVDPRLQETTFIQHTFGGLLRSKVKCLRCHHESERYENIMDLTLEIFGWVESLEDALTQFTTPEELDGENMYRCGRCAAYVRARKQLSIHEAPNILTIVLKRFQEGKYGKINKCITFPDMLDMIPFMTGMGDVPPLYMLYAVVVHLDTLNASFSGHYVAYVKDLRGSWFKIDDTEVHPVSMSQVMLEGAYILFYKRSCTRPQKLICEKPIGQQVPVSSRHCTSRTQKPSRQGQRRCSSHCVGPEVSLDLKPENGSGLANYANGIPRRSSSKNITQVMDFSDATSSDWSIFTSSDEASFTTESTRDSFSTVDYADACNVDTFSSIFNDLYAPESSYRNTICRRTFSYSRPQTRFILETGHVLDSYSSTQPPGHGKERILHRSVIHQPNSSRTVPAPCL >Potri.002G020850.1.v4.1 pep chromosome:Pop_tri_v4:2:1285596:1287875:-1 gene:Potri.002G020850.v4.1 transcript:Potri.002G020850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G020850.v4.1 MLYSKERLAVALTVYTSRMNILTIVVLLIWFKMVKLHYLDRVIRQFSGFNITHVDFLLREGRDPTSYLYSTDKKRKVHTVASK >Potri.001G024900.1.v4.1 pep chromosome:Pop_tri_v4:1:1876939:1879525:1 gene:Potri.001G024900.v4.1 transcript:Potri.001G024900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G024900.v4.1 MANLEALAKAFTGLGVDEKSLIENLGKSHPEQRTLFRKKTPQLFIEDERSFERWNDHCVRLLKHEFVRFKNALVLWAMHPWERDARLVKEALKKGPQSYGVIVEIACTRSSEELLGARKAYHSLFDQSIEEDVATHIHGSERKLLVALVSAYRYEGPKVKEDAAKSEAKILANAIKNGNKKNPIEDEEVIRILSTRSKAHLKVVYKHYKEVSGNNIHEDLDASDLILKETVECLCTPHAYFSKVLDEAMSSDAHKNTKKGLTRVIVTRADVDMKEIKEEYMNLFGVSLSKKIEEKANGNYRDFLVTLITRDN >Potri.001G298400.1.v4.1 pep chromosome:Pop_tri_v4:1:30946445:30953434:1 gene:Potri.001G298400.v4.1 transcript:Potri.001G298400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298400.v4.1 MSEAEHMGVSPSKVSHTKSYSCPAQTTLENTHEPSAEYKFGGYPEERHKLECEIIQTEAGDNRAAVLQSCSGEVVQPSTDDLTKSPLIDLDPPPDDARSALFDNSPRPISTAMDQKLEPGATSVNTACVHSESSKAIDSSILLDEPRNSNTELSSCIANETSQASLEGLANDSRAEDAGLSLVEASNSDLIDESSYSQQTTSGQTREFHSDRACCKPLEERQKPGSELAENESMEIGIGLPSGIAIENLEPLTELVTKSCPIKHIGLPPGDDISIPANEQIRPTHDKESKYPDCEHLEKLSGIVIGITSQGVPSVKRTSKLSGKKYTSSSRKSDRVLRSNSQEKPKAPESSNNSTNVNSTGEEKGKRRKKRRGKSIVADEYSRIRARLRYLLNRMSYEQSLITAYSGEGWKGLSLEKLKPEKELQRATSEIIRRKVKIRDLFQHIDSLCGEGRFPASLFDSEGQIDSEDIFCAKCGSKDLTADNDIILCDGACDRGFHQFCLVPPLLREDIPPGDEGWLCPGCDCKVDCIDLLNDSQGTNISISDRWDNVFPEAAAVASGQKLDYNFGLSSDDSDDNDYDPDGPDIDEKSQEESSSDESDFSSASDEFEAPPDDKQYLGLPSDDSEDDDYDPDAPVLEEKLKQESSSSDFTSDSEDLDATLNGDGLSLGDEYHMPIEPHEDSNGRRSRFGGKKNHSLNSKLLSMLEPDSHQEKSAPVSGKRNIERLDYKKLYDETYGNISTSSDDDYTDTVAPRKRRKNTGDVAMGIANGDASVTENGLNSKNMNQELKKNEHTSGRTHQNSSFQDTNVSPAKTHVGESLSGSSSKRVRPSAYKKLGEAVTQKLYSFFKENRYPDQAAKASLAEELGITFEQVNKWFMNARWSFNHSSPEGTSKAESASGKGSCDGHVRDSESKNQKSNKQKTSTPKSRR >Potri.001G298400.3.v4.1 pep chromosome:Pop_tri_v4:1:30946441:30953455:1 gene:Potri.001G298400.v4.1 transcript:Potri.001G298400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298400.v4.1 MSEAEHMGVSPSKVSHTKSYSCPAQTTLENTHEPSAEYKFGGYPEERHKLECEIIQTEAGDNRAAVLQSCSGEVVQPSTDDLTKSPLIDLDPPPDDARSALFDNSPRPISTAMDQKLEPGATSVNTACVHSESSKAIDSSILLDEPRNSNTELSSCIANETSQASLEGLANDSRAEDAGLSLVEASNSDLIDESSYSQQTTSGQTREFHSDRACCKPLEERQKPGSELAENESMEIGIGLPSGIAIENLEPLTELVTKSCPIKHIGLPPGDDISIPANEQIRPTHDKESKYPDCEHLEKLSGIVIGITSQGVPSVKRTSKLSGKKYTSSSRKSDRVLRSNSQEKPKAPESSNNSTNVNSTGEEKGKRRKKRRGKSIVADEYSRIRARLRYLLNRMSYEQSLITAYSGEGWKGLSLEKLKPEKELQRATSEIIRRKVKIRDLFQHIDSLCGEGRFPASLFDSEGQIDSEDIFCAKCGSKDLTADNDIILCDGACDRGFHQFCLVPPLLREDIPPGDEGWLCPGCDCKVDCIDLLNDSQGTNISISDRWDNVFPEAAAVASGQKLDYNFGLSSDDSDDNDYDPDGPDIDEKSQEESSSDESDFSSASDEFEAPPDDKQYLGLPSDDSEDDDYDPDAPVLEEKLKQESSSSDFTSDSEDLDATLNGDGLSLGDEYHMPIEPHEDSNGRRSRFGGKKNHSLNSKLLSMLEPDSHQEKSAPVSGKRNIERLDYKKLYDETYGNISTSSDDDYTDTVAPRKRRKNTGDVAMGIANGDASVTENGLNSKNMNQELKKNEHTSGRTHQNSSFQDTNVSPAKTHVGESLSGSSSKRVRPSAYKKLGEAVTQKLYSFFKENRYPDQAAKASLAEELGITFEQVNKWFMNARWSFNHSSPEGTSKAESASGKGSCDGHVRDSESKNQKSNKQKTSTPKSRR >Potri.001G130400.1.v4.1 pep chromosome:Pop_tri_v4:1:10650480:10652274:1 gene:Potri.001G130400.v4.1 transcript:Potri.001G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130400.v4.1 MLSLLSLKKTHSTNHHLFQIPKRLFVALSPTATSTQSIEVERIARIINDHPFPNQPLHPTLTHHIPPTLLTTAFVENVLGRLFAAHSNGLKALEFFKYSLNNQTHFSPSPDSFEKTLHILARMRYFDKAWDLMVEIGKTHPFLLTLKSMSIMLCKIAKFRSYEETLDAFEKMEKRVFVGRKFGVEEFNVLLRAFCTVRKLKEAKSVFVKMHERFPPNVKTMNILLLGFKESRDVTAMELFYHEMVKRGFKPSSSSYGIRIDAYCKKGYFADALRIFEEMERANCLPTLETVTTLIHGAGVARNAMKARELFDEIPKRNLQADTGAYNALISSLVKCREVKSAIQLMDEMEKNNIHYDDMTYHTMFLGLMKSGSIEGVCELYHKMIDRNFVPKTRTVVMLMKFFCVNSQIDLGLNLWGYLVGKGYCPHHHALDLLVTGLCSRGRSHEAFKCSKQSLERGIHVSEAVYGMLERFLLQSNMTDKLGELNQMIEKLQSVLPPSSGNYIHSPSNGME >Potri.018G147032.1.v4.1 pep chromosome:Pop_tri_v4:18:15883736:15884365:-1 gene:Potri.018G147032.v4.1 transcript:Potri.018G147032.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G147032.v4.1 MARRNGEKKEGDQYDAYNWPGGNKFDSQAKELFLPFRCFCKRKEIKCNEVAQ >Potri.003G095701.3.v4.1 pep chromosome:Pop_tri_v4:3:12155110:12155277:1 gene:Potri.003G095701.v4.1 transcript:Potri.003G095701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095701.v4.1 MELADRAVGFLLSLISILIFTYYTFWAIRGHFLSFLPSTTSVFSSGVCVRVRLYI >Potri.003G095701.2.v4.1 pep chromosome:Pop_tri_v4:3:12153490:12155525:1 gene:Potri.003G095701.v4.1 transcript:Potri.003G095701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095701.v4.1 MELADRAVGFLLSLISILIFTYYTFWAIRGHFLSFLPSTTSVFSSGVCVRVRLYI >Potri.001G357150.1.v4.1 pep chromosome:Pop_tri_v4:1:37195981:37196365:-1 gene:Potri.001G357150.v4.1 transcript:Potri.001G357150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357150.v4.1 MLLSRFKLHEISLILVVGSLLLKLAMLLCKENIEVFTTVINSSGARTRIWFLSKASPKSLHLAFVSIWFAEVLVRLYRTGSYPCRFVEVKE >Potri.001G169200.1.v4.1 pep chromosome:Pop_tri_v4:1:14454722:14455165:-1 gene:Potri.001G169200.v4.1 transcript:Potri.001G169200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G169200.v4.1 METKSSITPTSLILGLILTFYSLLVESQPLNGRSSAPLMSPPPPPPPPPPPPPPPPPPPPPPSPSLPPPSLSRSSPPPPPPRKKLQLPPPPRHRLTVNENRRRRKPPPPMKNNNMNAGKAIGLLFVGIAAILQIGVVWFLVYKRRQL >Potri.011G152500.11.v4.1 pep chromosome:Pop_tri_v4:11:17946624:17951025:-1 gene:Potri.011G152500.v4.1 transcript:Potri.011G152500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152500.v4.1 MSIAGGPAMSNGHSSSYSLNLWPGSAVNSSFKDMDDGFGVHSSVRRVEKGGLAGLQNMGNTCFMNSALQCLLHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEVADECWRNHKTRNDSVIVDVCQGQYKSTLVCPICSKISITFDPFMYLSLPLPSTVTRIMTVTVFHGDGSGLPMPCTVSVLKHGNCRDLGQALDSACGLKSGESLLLAEVYDHKIYRMLENPFEPLVSIKDEDHIVAYRFCGKGAGRKKLEIVHRDKCTPDILKGNVGKYFGTPLITYMDDDSPSGADIYLAASRLLSPLKRACASTMAHSGEENGFLLEANGETSSGCNGQCEPRDQSMGNTELEGTSSQELPFQLFLTDDRYLSCKPIFKDSVIKSGNRIKVVFEWTEKEQKLYDSSNLKDLPEVYHKTGYRAKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPVHNLDLSKYVKQKDGQSYTYELYAISNHYGGLGGGHYTAFAKLIDDNRWYSFDDSRVSPVNEADIKTSAAYVLFYRRVKTESKAELGETSQAHAGL >Potri.011G152500.10.v4.1 pep chromosome:Pop_tri_v4:11:17946371:17951865:-1 gene:Potri.011G152500.v4.1 transcript:Potri.011G152500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152500.v4.1 MDQEILLELKVDSSPSQSGKDSTGNELALVALEPPRSPMSIAGGPAMSNGHSSSYSLNLWPGSAVNSSFKDMDDGFGVHSSVRRVEKGGLAGLQNMGNTCFMNSALQCLLHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEVADECWRNHKTRNDSVIVDVCQGQYKSTLVCPICSKISITFDPFMYLSLPLPSTVTRIMTVTVFHGDGSGLPMPCTVSVLKHGNCRDLGQALDSACGLKSGESLLLAEVYDHKIYRMLENPFEPLVSIKDEDHIVAYRFCGKGAGRKKLEIVHRDKCTPDILKGNVGKYFGTPLITYMDDDSPSGADIYLAASRLLSPLKRACASTMAHSGEENGFLLEANGETSSGCNGQCEPRDQSMGNTELEGTSSQELPFQLFLTDDRYLSCKPIFKDSVIKSGNRIKVVFEWTEKEQKLYDSSNLKDLPEVYHKTGYRAKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPVHNLDLSKYVKQKDGQSYTYELYAISNHYGGLGGGHYTAFAKLIDDNRWYSFDDSRVSPVNEADIKTSAAYVLFYRRVKTESKAELGETSQAHAGL >Potri.011G152500.7.v4.1 pep chromosome:Pop_tri_v4:11:17946408:17955275:-1 gene:Potri.011G152500.v4.1 transcript:Potri.011G152500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152500.v4.1 MTMIDSRCLMENGGGGGGEGSCLPCTPEEEKQIVEELNREAERDLKEGNLYFVVSSRWFSKWESYVGRGGVDNLDNGKSSEPQDLDVERPGPIDNSDIIEGRSSNEGDELELVRTLLEGRDYVLVPKKVWEKLVQWYKGGPALPRKMISQGVFNKKQFNVEVYPLCLKLIDSRDDSESTIQISKKASLHELYEKVCSARGVEREKASIWDFFNKQKSSQLSISNQTLEELHLQMDQEILLELKVDSSPSQSGKDSTGNELALVALEPPRSPMSIAGGPAMSNGHSSSYSLNLWPGSAVNSSFKDMDDGFGVHSSVRRVEKGGLAGLQNMGNTCFMNSALQCLLHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEVADECWRNHKTRNDSVIVDVCQGQYKSTLVCPICSKISITFDPFMYLSLPLPSTVTRIMTVTVFHGDGSGLPMPCTVSVLKHGNCRDLGQALDSACGLKSGESLLLAEVYDHKIYRMLENPFEPLVSIKDEDHIVAYRFCGKGAGRKKLEIVHRDKCTPDILKGNVGKYFGTPLITYMDDDSPSGADIYLAASRLLSPLKRACASTMAHSGEENGFLLEANGETSSGCNGQCEPRDQSMGNTELEGTSSQELPFQLFLTDDRYLSCKPIFKDSVIKSGNRIKVVFEWTEKEQKLYDSSNLKDLPEVYHKTGYRAKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPVHNLDLSKYVKQKDGQSYTYELYAISNHYGGLGGGHYTAFAKLIDDNRWYSFDDSRVSPVNEADIKTSAAYVLFYRRVKTESKAELGETSQAHAGL >Potri.011G152500.6.v4.1 pep chromosome:Pop_tri_v4:11:17946539:17955416:-1 gene:Potri.011G152500.v4.1 transcript:Potri.011G152500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152500.v4.1 MTMIDSRCLMENGGGGGGEGSCLPCTPEEEKQIVEELNREAERDLKEGNLYFVVSSRWFSKWESYVGRGGVDNLDNGKSSEPQDLDVERPGPIDNSDIIEGRSSNEGDELELVRTLLEGRDYVLVPKKVWEKLVQWYKGGPALPRKMISQGVFNKKQFNVEVYPLCLKLIDSRDDSESTIQISKKASLHELYEKVCSARGVEREKASIWDFFNKQKSSQLSISNQTLEELHLQMDQEILLELKVDSSPSQSGKDSTGNELALVALEPPRSPMSIAGGPAMSNGHSSSYSLNLWPGSAVNSSFKDMDDGFGVHSSVRRVEKGGLAGLQNMGNTCFMNSALQCLLHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEVADECWRNHKTRNDSVIVDVCQGQYKSTLVCPICSKISITFDPFMYLSLPLPSTVTRIMTVTVFHGDGSGLPMPCTVSVLKHGNCRDLGQALDSACGLKSGESLLLAEVYDHKIYRMLENPFEPLVSIKDEDHIVAYRFCGKGAGRKKLEIVHRDKCTPDILKGNVGKYFGTPLITYMDDDSPSGADIYLAASRLLSPLKRACASTMAHSGEENGFLLEANGETSSGCNGQCEPRDQSMGNTELEGTSSQELPFQLFLTDDRYLSCKPIFKDSVIKSGNRIKVVFEWTEKEQKLYDSSNLKDLPEVYHKTGYRAKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPVHNLDLSKYVKQKDGQSYTYELYAISNHYGGLGGGHYTAFAKLIDDNRWYSFDDSRVSPVNEADIKTSAAYVLFYRRVKTESKAELGETSQAHAGL >Potri.011G152500.9.v4.1 pep chromosome:Pop_tri_v4:11:17946413:17953706:-1 gene:Potri.011G152500.v4.1 transcript:Potri.011G152500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152500.v4.1 MISQGVFNKKQFNVEVYPLCLKLIDSRDDSESTIQISKKASLHELYEKVCSARGVEREKASIWDFFNKQKSSQLSISNQTLEELHLQMDQEILLELKVDSSPSQSGKDSTGNELALVALEPPRSPMSIAGGPAMSNGHSSSYSLNLWPGSAVNSSFKDMDDGFGVHSSVRRVEKGGLAGLQNMGNTCFMNSALQCLLHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEVADECWRNHKTRNDSVIVDVCQGQYKSTLVCPICSKISITFDPFMYLSLPLPSTVTRIMTVTVFHGDGSGLPMPCTVSVLKHGNCRDLGQALDSACGLKSGESLLLAEVYDHKIYRMLENPFEPLVSIKDEDHIVAYRFCGKGAGRKKLEIVHRDKCTPDILKGNVGKYFGTPLITYMDDDSPSGADIYLAASRLLSPLKRACASTMAHSGEENGFLLEANGETSSGCNGQCEPRDQSMGNTELEGTSSQELPFQLFLTDDRYLSCKPIFKDSVIKSGNRIKVVFEWTEKEQKLYDSSNLKDLPEVYHKTGYRAKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPVHNLDLSKYVKQKDGQSYTYELYAISNHYGGLGGGHYTAFAKLIDDNRWYSFDDSRVSPVNEADIKTSAAYVLFYRRVKTESKAELGETSQAHAGL >Potri.011G152500.8.v4.1 pep chromosome:Pop_tri_v4:11:17946405:17954894:-1 gene:Potri.011G152500.v4.1 transcript:Potri.011G152500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152500.v4.1 MTMIDSRCLMENGGGGGGEGSCLPCTPEEEKQIVEELNREAERDLKEGNLYFVVSSRWFSKWESYVGRGGVDNLDNGKSSEPQDLDVERPGPIDNSDIIEGRSSNEGDELELVRTLLEGRDYVLVPKKVWEKLVQWYKGGPALPRKMISQGVFNKKQFNVEVYPLCLKLIDSRDDSESTIQISKKASLHELYEKVCSARGVEREKASIWDFFNKQKSSQLSISNQTLEELHLQMDQEILLELKVDSSPSQSGKDSTGNELALVALEPPRSPMSIAGGPAMSNGHSSSYSLNLWPGSAVNSSFKDMDDGFGVHSSVRRVEKGGLAGLQNMGNTCFMNSALQCLLHTPQLVEYFLQDYSEEINTQNPLGMHGELALAFGDLLRKLWSSGRTAIAPRVFKGKLALFAPQFSGYNQHDSQELLAFLLDGLHEDLNRVKQKPYIEMKDWSGEPDEEVADECWRNHKTRNDSVIVDVCQGQYKSTLVCPICSKISITFDPFMYLSLPLPSTVTRIMTVTVFHGDGSGLPMPCTVSVLKHGNCRDLGQALDSACGLKSGESLLLAEVYDHKIYRMLENPFEPLVSIKDEDHIVAYRFCGKGAGRKKLEIVHRDKCTPDILKGNVGKYFGTPLITYMDDDSPSGADIYLAASRLLSPLKRACASTMAHSGEENGFLLEANGETSSGCNGQCEPRDQSMGNTELEGTSSQELPFQLFLTDDRYLSCKPIFKDSVIKSGNRIKVVFEWTEKEQKLYDSSNLKDLPEVYHKTGYRAKKTRQEAVSLFSCLEAFLTEEPLGPDDMWYCPSCKEHRQATKKLDLWMLPDILVFHLKRFSYSRYLKNKLDTFVDFPVHNLDLSKYVKQKDGQSYTYELYAISNHYGGLGGGHYTAFAKLIDDNRWYSFDDSRVSPVNEADIKTSAAYVLFYRRVKTESKAELGETSQAHAGL >Potri.011G064700.4.v4.1 pep chromosome:Pop_tri_v4:11:5517827:5522654:-1 gene:Potri.011G064700.v4.1 transcript:Potri.011G064700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064700.v4.1 MGFKCQVLVCTFCLVMLLFLQETRAGIHSSNASRLNGRKKVSGCNLFRGRWVVDTSYPLYDSSGCPFIDDEFNCQKYGRRDNQYLKYSWQPDSCKIPRFNGADFLRRWRGKKIMFVGDSLSLNMWESLSCMIHAAVPKAKTTFSRRDSLSSVTFDGYGVTLYMYRTPYLVDIVRENVGKVLNLNSIEAGNAWKGMDILIFNSWHWWVHTGRSQGWDYIRDGSALYKNMDRLTAFSKGLTTWGRWVDQNIDPSKTKVFFQGISPTHYQGKDWNQPKKSCSGEAVPLSGSTYPAGSPPAAAVVNKVLSSMKKPVYLLDITTLSQLRKDAHPSTYSDGSGTDCSHWCLPGLPDTWNQLLYAALIM >Potri.005G220400.2.v4.1 pep chromosome:Pop_tri_v4:5:22251234:22255315:-1 gene:Potri.005G220400.v4.1 transcript:Potri.005G220400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G220400.v4.1 MHNYTVTLKSPLPYHAPPSHPIFSLPLNPRQFVLPLSPQKTGGSIYHNPVFICKGTQLSVPSHGSASLGPSNGNGAAVHDWKVRSVGIENIDVATLGNLCVDIVLNVPKLPPRSREASFAYMQELSKSPPDKKYWEAGGNCNMAIAAARLGLHCATIGHVGDEIYGQFLLDVLREEGISMVGMSEDGDIVDSSNASYETLLCWVLVDPLQRHGFCSRADFCEDPAFSWMTKLTEEVKMAIKQSKILFCNGYGFDELSPALIMLALDYAVEVGTSVFFDPGPRGKSLLTGTPEERQALSHLLKMSDVLLLTSDEAESLTGIGNPILAGQELLKNGIRTKWVIIKMGSRGSILVTMSSISCAPAFKVNVIDTVGCGDSFVAAIAFGYIHNIPLVNTLAIANAVGAATAMGCGAGRNVATLEKVIELMRASNINEDDEFWNELVKDMDTGEITFLSKMVINGRNNQVNHVALQKVVSELLPKLEDNRLEGKVAS >Potri.007G135300.1.v4.1 pep chromosome:Pop_tri_v4:7:14750318:14752441:-1 gene:Potri.007G135300.v4.1 transcript:Potri.007G135300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G135300.v4.1 MTWCNDCNDVQTIERSSPPPCNASVIAQRHKECLIRSCPSCGHQMKCQDQARIHDLPGLPAGVKFDPTDLELLEHLEGKVKSDTCKVHPLIDEFIPTIDGENGICYTHPEKLPGVSKDGLIRHFFHRPSKAYTTGTRKRRKVHTDTEGGETRWHKTGKTRPVVVVGKVKGYKKILVLYTNYGKQRKPEKTNWVMHQYHLGNNEEEKDGELVVSKVFYQTQPRQCGSLIKDSVPVPSKLKVQSSGHEGSNLKNSTTLVEYYNPSFISFDQSGQNRSTNPNPPQQLLPHFALHDGSSLIP >Potri.017G033300.2.v4.1 pep chromosome:Pop_tri_v4:17:2303096:2306931:-1 gene:Potri.017G033300.v4.1 transcript:Potri.017G033300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G033300.v4.1 MLSIRSIFRPHIRLNSIILSSLSYSSSSAAAIQAEKTIKDGPRNDWTREEIKDVYDSPLLDLLFHGAQVHRYAHNFREVQQCTLLSIKTGGCSEDCSYCPQSSRYDTGVKAQRLMTKETVMEAAKRAKEAGSTRFCMGAAWRDTIGRKTNFNQILDYVKDIRDMGMEVCCTLGMLEKQQAVELKKAGLTAYNHNLDTSREYYPNIITTRSYDERLETLQHVREAGINVCSGGIIGLGEAEEDRVGLLHTLATLPTHPESVPINALVSVKGTPLQEQKPVEIWEMIRMIGTARIVMPKAMVRLSAGRVRFSMSEQALCFLAGANSIFTGEKLLTTPNNDYDADQLMFKVLGLIPKAPSFSGEEEKACEAEQCQEAVSSSG >Potri.006G019500.1.v4.1 pep chromosome:Pop_tri_v4:6:1246668:1248542:-1 gene:Potri.006G019500.v4.1 transcript:Potri.006G019500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019500.v4.1 MVETKVTTMVIKVVDLGCEKCHKKIKKVLCGIPQIQNQTYDKKENTVTITVVCCCPEKIKKKIYCKGGRTVKCVEIKPPPKPKPEPKPETKPEPKPKPEPKPEPCPCCEKCRRGPCCHHYCMPTVPPYCPVPCRRAVCDIWEDGCCSCRSRGYYLCRSAYVCEEYYPSAPCTIM >Potri.006G019500.6.v4.1 pep chromosome:Pop_tri_v4:6:1247225:1248531:-1 gene:Potri.006G019500.v4.1 transcript:Potri.006G019500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019500.v4.1 MVETKVTTMVIKVVDLGCEKCHKKIKKVLCEIQNQTYDKKENTVTITVVCCCPEKIKKKIYCKGGRTVKCVEIKPPPKPKPEPKPETKPEPKPKPEPKPEPCPCCEKCRRGPCCHHYCMPTVPPYCPVPCRRAVCDIWEDGCCSCRSRGYYLCRSAYVCEEYYPSAPCTIM >Potri.002G229900.1.v4.1 pep chromosome:Pop_tri_v4:2:22067479:22072763:1 gene:Potri.002G229900.v4.1 transcript:Potri.002G229900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G229900.v4.1 MKELVILLLFVSIWVIVSGFVCCQRPAVVNIGAIFTFDSVIGKAAKPAMEAAVSDVNNDSRIRTKLNLLMDDVNSSVFLGTIDAFQLIEKEVVAIIGPQVSGIAHMISSIANGLQVPLISYAATDPTLSALQFPFFVRTTQSDSYQMAAMADLVDFFRWKEVIVVGVDDDYGRNGIAALEEELNKKMAKISYKLMLCNQLDESEVMDKLSKSKLLGSRVYVVHVNPDPKLRIFTVAQKLQMMTDTYTWLATDWLSATLDSFPPTKKTSLGFLQGVVGLRQHTPESSQKRALMSRWKRMQQKGSASSELNTYGLQAYDTVWLVAYAIDRFLDEHKNITFSPNSNILHMKISGLQIEKLKVFTGGNDLRDIVLQTNFTGLSGQIQFNEDRNVFSGGYDVLNIDGVSIRTVGYWSNAAGFSLSPPDARKGKQDSNCCLDQRLHNITWPGGKSKTPRGWVIAVDERPLRIGVPNRASFTDFVTEVHVSHKIKGYCIDVFLKALELVPYHVPYMFQPFGNGRSNPKYDDLVKMVAADVFDAAVGDIAIVTNRTKIVDFSQPYASTGLVIVAPIRNSKSSAWVFLKPFTAEMWCVTAASFVVIAVVIWVLEHRVNDDFRGPPRRQLVTMFMFSFSTLFKTNKETTVSPLGKLVMVVWLFLLMVITASYTASLTSILTIQQLSSPITGIESLIASHWPIGYQTGSFAYNYLSETLYIARSRLVPLGSPEEYESALRRGPSDGGVAAIVDELPYVELFLSSQKDFGIIGQPFTRGGWGFAFQRESPLALDISTAILKLSENGELQKIYEKWFCKMGCHGEKKHGDGPNQLKLTSFWGLYILCGAFALTALVVFLLRMVRQFVRYKRRQLRCSSPSSISPSTRCSHVIYHFFDFIDEREEAIKKMFNQREHPHPQANP >Potri.001G111600.2.v4.1 pep chromosome:Pop_tri_v4:1:9015642:9017773:1 gene:Potri.001G111600.v4.1 transcript:Potri.001G111600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G111600.v4.1 MHSPCTGEASQACFHNLCQLPFLGIPEPQSSTSITTAASSRHDFEVAMASSMYPNSQFTNHESFPSLQESFSYFTKAFPLYSQTDQADKIREQEYYHLSLSNHVCLDYIGHGLFSYSQQRSYSREATVASTSSSSLPLRQYSSSLETPFFGISYKAANLHSQIQYGSQESELECKIQKRIMALMNLSEDDYTMVFTANQSSAFKLLADSYPFQSNQNLLTVYDHENEAVKIMIESSKNRGARVMSAEFSWKSLRIHSGKLLEKVRRKRKNRRGLFVFPLQSRMTGARYSYLWMNMARENGWHVLLDACGLGPKDMETLGLSLFKPDFLICSFFKVFGENPSGFGCLFVKKSSSSVIKDSTSTGLVRLVPARRPSQISEESANDDTETEEKAKQELHDDDSLQGSSSGPMSRQQTSEKTSELQETKEVSVKHKAPEIEVSVASFESSQSQIIASSASGYSYLECRGLDHADSLGLISISTRARYLINWLVNALTSLQHPHSENGHPLVRIYGPKVKFDRGPAVAFNVFDWKGEKIDPAIVQKLADRNNISLSCGFLHHILFSNKYEHEREQILETRTSEGGTVLNGKRDKLYSGISVVTAALGFLTNFEDVYKLWAFVSRFLDADFVQKERWRYTALNQMTVEV >Potri.010G164600.1.v4.1 pep chromosome:Pop_tri_v4:10:16882828:16885555:-1 gene:Potri.010G164600.v4.1 transcript:Potri.010G164600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G164600.v4.1 MSRFNLYLLLITTVIAILSSTSKSIRFELESGNTKCIAEDIKSNSMTVGKYSIVNPHDGQPLPDSYKLTVRVTSSSGNNYHHSELVESGQFAFTASEAGDYMACFWAADHKPAVTLNIDFDWKTGVAAKDWTNVAKKGSVDVMELELKKMYDTVISVQEEMNYLREREEEMQDLNISTNVKMAWLSFLSIVVCLSVAGLQVWHLKTFFQKKKLI >Potri.001G325900.2.v4.1 pep chromosome:Pop_tri_v4:1:33495187:33498940:1 gene:Potri.001G325900.v4.1 transcript:Potri.001G325900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G325900.v4.1 MPEEEELVELKFRLYDGSDIGPFRYSPASTVAMLKERIVADWPKDKKIAPKAANDVKLINAGKILENNKTVGQCRVPFGDLPKGVITMHVVVQPSLAKAKAEKKVDDAPRKKFCSCSIL >Potri.004G214966.1.v4.1 pep chromosome:Pop_tri_v4:4:22112059:22113170:1 gene:Potri.004G214966.v4.1 transcript:Potri.004G214966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G214966.v4.1 MYVTVFVKDDYPKQEVTFTVSVRISGNIGVTVDDTYKACERFERLGVEFMKKPNDGKMKGIAFIKDPDGYWTEIFDLKTIGKVTETAA >Potri.002G228800.4.v4.1 pep chromosome:Pop_tri_v4:2:21860796:21862567:-1 gene:Potri.002G228800.v4.1 transcript:Potri.002G228800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G228800.v4.1 MAMAKSSFKMEHPLERRQAEAGRIRDKYPDRIPVIVERAEKSDVPDIDKKKYLVPADLTVGQFVYVVRKRIKLSPEKAIFIFVKNILPPTAAMMSAIYEENKDEDGFLYMTYSGENTFGVY >Potri.004G211500.1.v4.1 pep chromosome:Pop_tri_v4:4:21786979:21795783:1 gene:Potri.004G211500.v4.1 transcript:Potri.004G211500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211500.v4.1 MFWKLTALSTSSPVESLLDKDNFTLEELLDEEEIIQECKALNTRLINFLRDRAQVEQLLRYIIEEPSEDAESKLAFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMLRKTVPLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFTDVMQWLADSNLLEMIVDKLSPSNPPEVNANAAETLCAITRNAPSALATKLSSPSFVARIFGHALEDSHSKSGLVNSLSVCISLLDPKRSAMSSPLMHSFRSHHMYESPIPVNPETISAMLPKLGNLLLLLNVRSDERILPTTYGVLKPPLGKHCLKIVEFIAVLLRAGNEATEMELVSSGTIKRILNLFFEYPYNNALHHHVESIIMSCLEIKSDAMVDHLLQECDLIGKFLQTDKNPLISGDNKPTVPAAGKQAPRAGNLGHITRISNKLFQLGNISSRIQTYLQENSEWMEWQATVLQERNAVENVYRWACGRPTALQDRTRDSDDDDLHDRDYDVAALANNLSQAFRYKIYGNEDNEEDNGSLDRDDEDVYFDDESAEVVISSLRLGDDQGSSLFTNSNWFAFQDDRIGDSLVSTSPGEMMDQINLNGNANGGNSGSDDEVVVGEEDELTESKDSVNGTSTSNTNLIDQFPGSGLVSQSGDANAPDTSFFKYETSVKEELFGDRPLPEWVGWGESSDLQAGGSTVNPFEDHDNSDDSLSSQAKTVTPGASSPSSGESILPNGLSPSKDSSDASVSSDSSKKSPTMPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNISPKVPEKENSDTAEVDNKEFNDANYWRIDQ >Potri.004G211500.2.v4.1 pep chromosome:Pop_tri_v4:4:21787017:21795861:1 gene:Potri.004G211500.v4.1 transcript:Potri.004G211500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211500.v4.1 MFWKLTALSTSSPVESLLDKDNFTLEELLDEEEIIQECKALNTRLINFLRDRAQVEQLLRYIIEEPSEDAESKLAFKFPFIACEIFTCEIDVILKTLVEEEELMNLLFSFLEPNRSHSALLAGYFSKVVVCLMLRKTVPLMNYVQAHQDVFRQLVDLIGITSIMEVLVRLVGADDHVYPNFTDVMQWLADSNLLEMIVDKLSPSNPPEVNANAAETLCAITRNAPSALATKLSSPSFVARIFGHALEDSHSKSGLVNSLSVCISLLDPKRSAMSSPLMHSFRSHHMYESPIPVNPETISAMLPKLGNLLLLLNVRSDERILPTTYGVLKPPLGKHCLKIVEFIAVLLRAGNEATEMELVSSGTIKRILNLFFEYPYNNALHHHVESIIMSCLEIKSDAMVDHLLQECDLIGKFLQTDKNPLISGDNKPTVPAAGKQAPRAGNLGHITRISNKLFQLGNISSRIQTYLQENSEWMEWQATVLQERNAVENVYRWACGRPTALQDRTRDSDDDDLHDRDYDVAALANNLSQAFRYKIYGNEDNEEDNGSLDRDDEDVYFDDESAEVVISSLRLGDDQGSLFTNSNWFAFQDDRIGDSLVSTSPGEMMDQINLNGNANGGNSGSDDEVVVGEEDELTESKDSVNGTSTSNTNLIDQFPGSGLVSQSGDANAPDTSFFKYETSVKEELFGDRPLPEWVGWGESSDLQAGGSTVNPFEDHDNSDDSLSSQAKTVTPGASSPSSGESILPNGLSPSKDSSDASVSSDSSKKSPTMPSLFEEDVEFVGVELEGTEKAMEQALKEGIVGEAGPLKRNISPKVPEKENSDTAEVDNKEFNDANYWRIDQ >Potri.010G157900.6.v4.1 pep chromosome:Pop_tri_v4:10:16472755:16473405:1 gene:Potri.010G157900.v4.1 transcript:Potri.010G157900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157900.v4.1 MESLSLEINRYPRDLVQRLISSDAQQYKTATSEGEEAEEIELNLGLSLGGRFGVDKTSEKLTRSSSIAGSIPLLRDHDALSTPPVSYPFLIRTSSLPTETEEEWRKRKENQSLRRMEAKRRRSEKQKNLRGELNLEEVKLNKGNWVPTWANKQSGVVNRSSNLEGQQQQQGSRGSVESLGGSSSGLSEMESKPVQGTFFFLEFPTFWCLIYFLIVF >Potri.010G157900.2.v4.1 pep chromosome:Pop_tri_v4:10:16472175:16476379:1 gene:Potri.010G157900.v4.1 transcript:Potri.010G157900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157900.v4.1 MGEANANKNRSSSSGSSRAMESLSLEINRYPRDLVQRLISSDAQQYKTATSEGEEAEEIELNLGLSLGGRFGVDKTSEKLTRSSSIAGSIPLLRDHDALSTPPVSYPFLIRTSSLPTETEEEWRKRKENQSLRRMEAKRRRSEKQKNLRGELNLEEVKLNKGNWVPTWANKQSGVVNRSSNLEGQQQQQGSRGSVESLGGSSSGLSEMESKPVQGSSSGGEARSPASNQSLQERSSQEAVGSSGTKKIENACRASRTEPENLSKKLDSAENRGREIGTNAMEDMPCVFTKGDGPNGRRVDGILYKYGKGEEVRIMCVCHGSFHSPAEFVKHAGGSDVDHPLRHIVVNPSGPSFE >Potri.010G157900.3.v4.1 pep chromosome:Pop_tri_v4:10:16472440:16476358:1 gene:Potri.010G157900.v4.1 transcript:Potri.010G157900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157900.v4.1 MGEANANKNRSSSSGSSRAMESLSLEINRYPRDLVQRLISSDAQQYKTATSEGEEAEEIELNLGLSLGGRFGVDKTSEKLTRSSSIAGSIPLLRDHDALSTPPVSYPFLIRTSSLPTETEEEWRKRKENQSLRRMEAKRRRSEKQKNLRGELNLEEVKLNKGNWVPTWANKQSGVVNRSSNLEGQQQQQGSRGSVESLGGSSSGLSEMESKPVQGMEVMGWSGSCYQDQAVVEKHEVLRVINPCRNEAVKKLWVLLGQRKLKMRAEPPEQNRRIYPRSLILQKIEGGKLGPMQWKICLVCLLKEMVLMGEEWMAFCTSMVRERK >Potri.010G157900.5.v4.1 pep chromosome:Pop_tri_v4:10:16472440:16474212:1 gene:Potri.010G157900.v4.1 transcript:Potri.010G157900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G157900.v4.1 MGEANANKNRSSSSGSSRAMESLSLEINRYPRDLVQRLISSDAQQYKTATSEGEEAEEIELNLGLSLGGRFGVDKTSEKLTRSSSIAGSIPLLRDHDALSTPPVSYPFLIRTSSLPTETEEEWRKRKENQSLRRMEAKRRRSEKQKNLRGELNLEEVKLNKGNWVPTWANKQSGVVNRSSNLEGQQQQQGSRGSVESLGGSSSGLSEMESKPVQGTFFFLEFPTFWCLIYFLIVF >Potri.001G155700.1.v4.1 pep chromosome:Pop_tri_v4:1:13080598:13082236:1 gene:Potri.001G155700.v4.1 transcript:Potri.001G155700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155700.v4.1 METSLNIQCDAQTSAFSSSSITSSFSSFPSPMKNSRKQDQSQDSSKRNQDPKNNNESNKKAKSGGNAGRHPSYRGVRMRQWGKWVSEIRQPKKKSRIWLGTFPTPEMAARAHDVAALTIKGHSAHLNFPEIAHEFPRPASSSPKDIQAAAALAATLSCKTSPKGRETEAEDELMLPHSPDSTLASNETQEYSLSSPLRDDGDDTFIDLPDILQDQYQFDEFCYLSSWQLVGPETSDIGFWHHEEPFGNIPFK >Potri.011G053700.1.v4.1 pep chromosome:Pop_tri_v4:11:4361877:4363168:1 gene:Potri.011G053700.v4.1 transcript:Potri.011G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053700.v4.1 METSPRHHQDNQNPQSFLPSPNSHSSSSSNSSSTTTTTNNVALNNNINYPPPLPSPKPISRSESTNPYPTTFVQADTSSFKQVVQMLTGSPKPASTTTSISTTPTISQPDPSPRNHNIPPIKSIPKKNQSSGFKLYERRNSIKNLKINPLNPVFARPSPGFSPRKPEILSPSILDFPSLILSPVTPLIPDPFDRSGSAKYTNCFSPMNNNNNNNNNNNNNFVNANVMDTDAEEKAIKERGFYLHPSPGSTPRETEPRLLPLFPVTSPRVSGSASPSS >Potri.002G141200.1.v4.1 pep chromosome:Pop_tri_v4:2:10597379:10598640:1 gene:Potri.002G141200.v4.1 transcript:Potri.002G141200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141200.v4.1 MPLALARDLPIMEKHINLEIDAQDNPLASSSTTTSTTKGNISSSSTPISCIKHQGSKKEENSIAPRVSRKAQELQSSGNEGGKRRKTAENEKNGKHPTYRGVRMRSWGKWVSEIREPRKKSRIWLGTYPTAEMAARAHDVAALAIKGGSAYLNFPEFAHELPPPLSKSPKDIQAAAAKAAAASFTETRYCEGEGGGEAELNVSNLSDSLAMDNTQESSSSPSTDSDDTLFDLPDLFIDGVHHSDGFCYYSSSWQLCAADTGFRLGEPFLWEY >Potri.008G136533.1.v4.1 pep chromosome:Pop_tri_v4:8:9168567:9169421:1 gene:Potri.008G136533.v4.1 transcript:Potri.008G136533.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G136533.v4.1 MVLILLFLGESATRCWGSGTRPRRGVLVPWWWCLLLLLLFIIISETKRSCLGDEYRVAFYYSTTCFCKFSYFACQIIQNSVDSMLGDPRLGFLPIYRMFPEGENKYPAFFLRNRVQLGACCFFFLLSLCFFFEDMPS >Potri.007G038800.2.v4.1 pep chromosome:Pop_tri_v4:7:3137295:3137585:1 gene:Potri.007G038800.v4.1 transcript:Potri.007G038800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038800.v4.1 MAEGNDLVNKCSKIHKYNFFKKSPYNMKLLNLEKDIRDHISSVLQLQEVGDTKGVSSGVRQLSDQFGKLSMTLSNGSRVDSSKSYSNITLAGSVSI >Potri.010G004300.1.v4.1 pep chromosome:Pop_tri_v4:10:398439:400609:1 gene:Potri.010G004300.v4.1 transcript:Potri.010G004300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004300.v4.1 MGRQSCGYKQKLRKGLWSPDEDEKLLRYITEHGHGCWSSVPKLAGLERCGKSCRLRWINYLRPDLKRTRFSSQEENLVIQLQSVLGNRWSRIAAQLPGRTDNEIKNLWNSSIKKKLMQKGIDPNTHKPLSEVKLSTSKCPEKASRELDTAISLNLKADSSKPSLIDVSSCKIEKGDDNLNASKTSAHQEFFPDKSLPFNRYPNIQHSPLDSIAYLQFPVQQLNHEQLELDQKCSLFEPNQELNSRTLVPTISRSILSAQMDNKIHAGLPFHSMGTFNNIHWDSSNCSSESSEFQKKSLFDSNVFSWGLADDGGSSDKTLQLHSADAETTDIKWSEYHNTSFLAEHNQQTLHS >Potri.010G004300.2.v4.1 pep chromosome:Pop_tri_v4:10:398767:400562:1 gene:Potri.010G004300.v4.1 transcript:Potri.010G004300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G004300.v4.1 MHSYPIRWSRIAAQLPGRTDNEIKNLWNSSIKKKLMQKGIDPNTHKPLSEVKLSTSKCPEKASRELDTAISLNLKADSSKPSLIDVSSCKIEKGDDNLNASKTSAHQEFFPDKSLPFNRYPNIQHSPLDSIAYLQFPVQQLNHEQLELDQKCSLFEPNQELNSRTLVPTISRSILSAQMDNKIHAGLPFHSMGTFNNIHWDSSNCSSESSEFQKKSLFDSNVFSWGLADDGGSSDKTLQLHSADAETTDIKWSEYHNTSFLAEHNQQTLHS >Potri.012G027850.2.v4.1 pep chromosome:Pop_tri_v4:12:2730406:2730895:-1 gene:Potri.012G027850.v4.1 transcript:Potri.012G027850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027850.v4.1 MKTSAGGILDKCKGFGLADNLQLSIQEFRTNPFLISRVIWKNQTSNAFRERKMHLSCEFKHRAM >Potri.012G027850.1.v4.1 pep chromosome:Pop_tri_v4:12:2730383:2730679:-1 gene:Potri.012G027850.v4.1 transcript:Potri.012G027850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G027850.v4.1 MNSGAGGILDKCKGFGLADNLQLSIQEFRTNPFLISRVIWKNQTSNAFRERKMHLSCEFKHRAM >Potri.008G182700.4.v4.1 pep chromosome:Pop_tri_v4:8:12594586:12603555:-1 gene:Potri.008G182700.v4.1 transcript:Potri.008G182700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182700.v4.1 MDPTSRPAVVIDYGTGYTKMGFAGNVEPCFILPTAVAVNESFLNQSRTSSSKANWQAQHSAGVMADLDFFIGEEALAKSRSSNTYSLSYPIKHGQVDNWDAMERYWQQCIFNYLRCDPEDHYFLLTESPLTAPESREYTGEIMFETFNVPGLYIAVNSVLALAAGYTTSKCEMTGVVVDVGDGAAHVVPVADGYVIGSSIKSIPIAGKDVTLFIQQLMRERGENVPPEDSFEVARKVKETHCYTCSDIVKEFNKHDKEPAKYIKHWRGIKPKTGAPYSCDIGYERFLGPEVFFNPEIYSSDFTTPLPAVIDKCIQSAPIDTRRALYKNIVLSGGSTMFKDFGRRLQRDLKKIVDTRVLTSEARLGGKIKSQPVEVNVVSHPIQRFAVWFGGSVLASTPEFFAACHTKAEYEEYGASICRTNPVFKGMY >Potri.011G137400.1.v4.1 pep chromosome:Pop_tri_v4:11:16929646:16935740:1 gene:Potri.011G137400.v4.1 transcript:Potri.011G137400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137400.v4.1 MRIKDICLFFGVRKMIEWVKQLNKAFGASFLWLICLIHFTQGFRSFVWTAVSYQLKDNLKLSPSASQFVSSIAFFPWSIKPLYGILSDCIPIKGRKRIPYLVIATLLSLVPWPMLGIDSNLRSSQWHLTVLLTVHNLGSAMADVVVDAMIAEAVRSERASFAGDLQSITWLSMAVGGIWGSLLGGHALTNLQIDKIFLLFSVLPAIQLLSCGLVGENSADSKVSHDSANSSNSHPGNGNGNILDQDNILLKKSSASATRRKRSQKNSNKRASMRTKSLIPEKGNSLISRWFHSLKTATYSLLRTFRQPIILRPMAWFFLAQITVPNLSTVMFYYQTEVLNLDGSFLGTARVVGWLGLMLGTFTYNRYLKTMKLRKILLWAHVGLSLLTLLDVILVSRLNLAYGVSDKIMVVSGSALADAVNQFKLMPFLILSGQLCPPGIEGTLFALFMSINNLGSTLGSFVGAGLASVLNLSSGSFDNLGLGIAMQVLCTFIPIAFLFLIPKEATGISA >Potri.002G108000.2.v4.1 pep chromosome:Pop_tri_v4:2:8048994:8052589:-1 gene:Potri.002G108000.v4.1 transcript:Potri.002G108000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108000.v4.1 MSPPLLGVVEEEGHSNVTLLASPASAESACLNGLELKERNYMGLSDCSSVDSSAVSAASDERKTSLNLKATELRLGLPGSQSPERNHELSLLSSALLDEKPFFPLHPSNDGHYSSTQKNVVSGNKRVFSDAMDEFSESKFLSNSEVNAMLSPRPSPNMGLKPGMLENLGVQQAKVKEIVAPKAGQERPHAANETRPLRNSSANNSSAPAPKAQVVGWPPIKSFRKNSLATTSKNTEEVDGKAGPGALFIKVSMDGAPYLRKVDLRNYSAYQELSSALEKMFSCFTIGQYGSHGAPGREMLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCKRLRIMKSSDAIGLAPRAMEKCKNRN >Potri.002G108000.6.v4.1 pep chromosome:Pop_tri_v4:2:8049057:8054111:-1 gene:Potri.002G108000.v4.1 transcript:Potri.002G108000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G108000.v4.1 MSPPLLGVVEEEGHSNVTLLASPASAESACLNGLELKERNYMGLSDCSSVDSSAVSAASDERKTSLNLKATELRLGLPGSQSPERNHELSLLSSALLDEKPFFPLHPSNDGHYSSTQKNVVSGNKRVFSDAMDEFSESKFLSNSEVNAMLSPRPSPNMGLKPGMLENLGVQQAKVKEIVAPKAGQERPHAANETRPLRNSSANNSSAPAPKAQVVGWPPIKSFRKNSLATTSKNTEEVDGKAGPGALFIKVSMDGAPYLRKVDLRNYSAYQELSSALEKMFSCFTIGQYGSHGAPGREMLSESKLKDLLHGSEYVLTYEDKDGDWMLVGDVPWEMFIETCKRLRIMKSSDAIGLAPRAMEKCKNRN >Potri.004G094600.1.v4.1 pep chromosome:Pop_tri_v4:4:8089454:8094058:1 gene:Potri.004G094600.v4.1 transcript:Potri.004G094600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G094600.v4.1 MAESNSQTTSQTLIDGNTLAAKSLVAYGITHMFGVVGIPVTSFANRAVAAGIRFIAFHNEQSAGYAASAYGYLTGRPGILLTVSGPGCVHGLAGLSNAMINTWPMVLISGSCDQNDVGRGDFQELNQIEAAKPFSKHLGKAKDIKEIPKCFFEALDSAVLGRPGGCYLDLPTDVLHQTISESEADSLIKSAADVSEIEKGAKKITTASLEVERAVDLLRNAERPLIVFGKGAAYARAENELKRLVESTGIPFLPTPMGKGLLPDTHELAASAARSLAIGKCDVALVVGARLNWLLHFGEPPKWSKDVKFILVDVSEEEIELRKPHLGLVGDAKKVLELVNKEIKEDPFCLGKNHPWLEAISKKARDNVSRMEAQLAKDVVPFNFLTPMRIIRNAILEVGSPAPVLVSEGANTMDVGRAVLVQTEPRTRLDAGTWGTMGVGLGYCIAAAVAEPHRLVVAVEGDSGFGFSAMEVETLVRYQLPVVVIVFNNGGVYGGDRRNPEEITGPFKDDPAPTSFVPGASYHVLIEAFGGKGYLVGTPDELKSALSESFTARKPAVINVTIDPYAGAESGRMQHKN >Potri.011G007200.1.v4.1 pep chromosome:Pop_tri_v4:11:564015:567555:1 gene:Potri.011G007200.v4.1 transcript:Potri.011G007200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007200.v4.1 MIQLLFTVIFSEMAMILLFVFKTPLRKLLIMSLDRVKRGRGPVMVKTVAGTVFVVLMSSVYSMVKIQKRWIDDGGAVNPTDQVLLAKHLLEATLMGSILFLGLMIDRLHHYIRELRMRRKTMEDVKKQNRSFEDGKVEETKALEAEASTLREKLKQLESELEIKTKVVNTSEANAVALSKQSEGFLLEYDRLLEENQNLRSQLQSLDLRFSRSTSKKNT >Potri.001G379800.3.v4.1 pep chromosome:Pop_tri_v4:1:39997191:40004755:-1 gene:Potri.001G379800.v4.1 transcript:Potri.001G379800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379800.v4.1 MSIIHHAGIVLFLLWLLSSFNRCHPIAFILSLIYLFLVHERYVMKLRKKLQYEERKQAYQKRVLTDSETVRWLNHTVEKIWPICMEQIASQKILLPIIPWFLEKYKPWTAKEAVVRHMYLGRNPPLFTEMRVLRQSTGDDHLVLELGMNFCTADDMSAILSIKLRNRLGFGMWARMHMTGIHVEGKVLIGVKFLPNWPFLGRLRVCFAEPPYFQMTVKPIFATGLDVTELPGIAGWLDKLLSVAFEQTLVQPNMLVVDMEKFVSPGSEDWFSVDEKEPVAYAKVEVIEASDMKPSDLNGLADPYVKGQLGPYRFRTKTQRKTLSPKWHEEFKIPICTWELPNILAIDVRDKDPLFDDALGVCTVDINELKDLGRHDMWLPLQNIKMGRLHLAITVLEQNAQGGDCSPDGDTLTKEQIQDSFASDTANRASFSSESTEKSRVPDNFEPINVEGQEETGIWVHHPGSEVPQTWEPRKGKTTNSFISHSAVSGPLNIDSSSGEENAEGENKRKRFKRGLQKIGSVFHRNAKNEDNLSSIGENVPSPYDNIKATNQREIGVKFVVEDSLLVPNSGCLSEVNLGSEKSSPESPQGGNAKGIAKSILKHAEKSARSIKHALSRTGSRRSLADPSVVTERDIYPESDSSDDQSRSSPQTKPVVSSPIPSSTPCNDDSVKPMENIIESGPSEPSINSGGQMNKVDVEGNGLQSSSPKTPSRFGRSEETSSCQM >Potri.016G139000.13.v4.1 pep chromosome:Pop_tri_v4:16:14224866:14230865:1 gene:Potri.016G139000.v4.1 transcript:Potri.016G139000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139000.v4.1 MEEEKKKKRNKKKKNKQNNHGNSNSNQAAEDDVSVDHHNNNNNGQNHGNENDNQVIEVSSNGDAVDVEDFNTHNDKPNGVAPQSSILAEAEKQWWLQREAALQDTIKHLQNETDSLIKTQATFEETIKQLEDENDSHIQKEVTFEETIKQLQDENDSHIQKEASLEETINQLRSVNNSCVKKEATFEDTIKQLKTENDLHMQKEAGLEMKIMQLQREKDFWFLKEAGLEEKLNLLLDEKAALGLKLASLEEKIGQLDSEKDSWAVSENTTKEIVGRMNIDITSLRMQVVELEYSRNSLVKENQQLKESVSDLKLQLQNVETQQSISSANTSELGKNDAEKEDLNSQIEAACALVDKLITENAELVEKVNELYIKLDQQKAAASLSSSAGSDVILRNSELANGTPPMSESSESALGLKSESLDADPPAAVLPQSSEPDAEEIVQIPLDDNEVPDVEMQAEDKSGVPLTDAPLIGAPFRLISFVAKYVSGADLVSKDDSN >Potri.016G139000.14.v4.1 pep chromosome:Pop_tri_v4:16:14224658:14230845:1 gene:Potri.016G139000.v4.1 transcript:Potri.016G139000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139000.v4.1 MEEEKKKKRNKKKKNKQNNHGNSNSNQAAEDDVSVDHHNNNNNGQNHGNENDNQVIEVSSNGDAVDVEDFNTHNDKPNGVAPQSSILAEAEKQWWLQREAALQDTIKHLQNETDSLIKTQATFEETIKQLEDENDSHIQKEVTFEETIKQLQDENDSHIQKEASLEETINQLRSVNNSCVKKEATFEDTIKQLKTENDLHMQKEAGLEMKIMQLQREKDFWFLKEAGLEEKLNLLLDEKAALGLKLASLEEKIGQLDSEKDSWAVSENTTKEIVGRMNIDITSLRMQVVELEYSRNSLVKENQQLKESVSDLKLQLQNVETQQSISSANTSELGKNDAEKEDLNSQIEAACALVDKLITENAELVEKVNELYIKLDQQKAAASLSSSAGSDVILRNSELANGTPPMSESSESALGLKSESLDADPPAAVLPQSSEPDAEEIVQIPLDDNEVPDVEMQAEDKSGVPLTDAPLIGAPFRLISFVAKYVSGADLVSKDDSN >Potri.016G139000.12.v4.1 pep chromosome:Pop_tri_v4:16:14224583:14230849:1 gene:Potri.016G139000.v4.1 transcript:Potri.016G139000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G139000.v4.1 MEEEKKKKRNKKKKNKQNNHGNSNSNQAAEDDVSVDHHNNNNNGQNHGNENDNQVIEVSSNGDAVDVEDFNTHNDKPNGVAPQSSILAEAEKQWWLQREAALQDTIKHLQNETDSLIKTQATFEETIKQLEDENDSHIQKEVTFEETIKQLQDENDSHIQKEASLEETINQLRSVNNSCVKKEATFEDTIKQLKTENDLHMQKEAGLEMKIMQLQREKDFWFLKEAGLEEKLNLLLDEKAALGLKLASLEEKIGQLDSEKDSWAVSENTTKEIVGRMNIDITSLRMQVVELEYSRNSLVKENQQLKESVSDLKLQLQNVETQQSISSANTSELGKNDAEKEDLNSQIEAACALVDKLITENAELVEKVNELYIKLDQQKAAASLSSSAGSDVILRNSELANGTPPMSESSESALGLKSESLDADPPAAVLPQSSEPDAEEIVQIPLDDNEVPDVEMQAEDKSGVPLTDAPLIGAPFRLISFVAKYVSGADLVSKDDSN >Potri.011G074201.1.v4.1 pep chromosome:Pop_tri_v4:11:7852366:7852713:-1 gene:Potri.011G074201.v4.1 transcript:Potri.011G074201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074201.v4.1 MVESVGGPRGGGLPCGGCQRFESAYLQLVSLVGTKLYDSTQVFRFGSSIYDLSFMDVDKILPFSSTLGWHSLKVKGEVQTRKGLRWIPRHPETRKGIVSDEMLRGVENKRRSGDS >Potri.014G126700.1.v4.1 pep chromosome:Pop_tri_v4:14:8504095:8512622:-1 gene:Potri.014G126700.v4.1 transcript:Potri.014G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126700.v4.1 MASASSLSVPVECLNICKLLSKGDGSGRYECSVLSCAWKAPRVLTGFLASTAHPSPQCSSFLCGRNGRRKQFKSRCKAFDTGGCYSSEDSDFALLGRFFKSRLHHVAGKKWQLSSSSSISADTFNEVSPERLWEDLKPTVSYLSPKELELVHKALKLAFEAHDGQKRRSGEPFIIHPVEVARILGELELDWESIAAGLLHDTVEDTNVVTFERIEEEFGPIVRHIVEGETKVSKLGKLKCKNENESVQDVKADDLRQMFLAMTEEVRVIIVKLADRLHNMRTLSHMPLHKQSSIAMETLQVFAPLAKLLGMYQIKSELENLSFMYTNAEDYAKVKRRVADLYKEHEKELEEANKILKKKIEEDQFLDLLTVKTDVRAVCKEPYSIYRAVLKSKGSINEVNQIAQLRIIIQPKPCIGAGPLCSPQQICYHVLGLVHGIWTPIPRAMKDYIATPKPNGYQSLHTTVIPFLYESMFRLEVQIRTEEMDLIAERGIAAHYSGRVFVTGLVGHAMPNGRSTRGKAVCLNNANIALRIGWLNAIREWQEEFVGNMSSREFVETITRDLLGSCVFVFTPRGEIKNLPKGATAIDYAYMIHTEIGNKMVAAKVNGNLVSPMHVLANAEVVEIITYNALSSKSAFQRHKQWLQHAKTRSARHKIMKFLREQAALSAAEITADSVNDFIADSEGESEVEYISDNNKRSRPLWEKILMNVVEKSSQGKCSNDFLPVNYGTVWTPKVNGKHNKHVQTKGDLLSQGNGVAKMIQASIPRYKEVLPGLESWQASKVASWHSLEGHSIQWFCVVCIDRRGMMAEIATALAAVDINICSCVSETDRGRGMAVMLFHIEGNLDSLVKGCSSVDLIQGVLGWSTGCSWPSSTENHLLEC >Potri.007G087200.4.v4.1 pep chromosome:Pop_tri_v4:7:11231817:11243500:1 gene:Potri.007G087200.v4.1 transcript:Potri.007G087200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087200.v4.1 MAATLAWRLSATNGSSLATADLEKNGDLKIQDSEPPTPHSVMKMGVRDRTSSMEDPDGTLASVAQCIELLRQSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPALWELLRNGLKTGNLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANVCFLLACMMMQDASICFKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSFVISSLGQSLESCSSPAQAADTLGALASALMIYDSKAESTRASDPVAIEQTLVNQFKPRLPFLVQERTIEALASLYGNAILSVKLVNSEAKRLLVGLITMAINEVQDELVRALLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLSDVLRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSIAVKTLWSVMKLLNVESENILAESSHCLASVFLSIKENREVAAVGRDALSPLIALANSLTLEVAEQATCALANLILDGEVSEKAIPDEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNRAGTVLALVSFLESASGGSVPTSEALAALAILSRSEGTSGHIKPAWAVLAEFPKRITPIVLSIADATPLLQDKAIEILSRLCRDQPFVLGEAVACASGCIPSVARRVINSTNPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCSHLIQSLVTMLCSADASPSEDLVDDDKEVISIHRYAKEGENGESHKGTAVIYGYNLAVWLLSVLACHDEKSKIVIMEAGAVEVLTNRISSCISHYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPVLASMLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEVFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGVLEALTKYLSLGPQDATEEAATDLLGILFNSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALEKLVDDEQLAELVAAHGAVIPLVGLLYGRNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLGAAFAELLRILTNNASIAKGPSAAKVVEPLFLQLTRPEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQTIEPLIPLLDSPAPAVQQLAAELLSHLLMEEHLQKDSVTQQVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKVTKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDVIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNALFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKITLGSTPPRQTKVVSTGPNPEFDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPQSKSGPSRNLEIEFQWSNK >Potri.007G087200.1.v4.1 pep chromosome:Pop_tri_v4:7:11231870:11243511:1 gene:Potri.007G087200.v4.1 transcript:Potri.007G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087200.v4.1 MAATLAWRLSATNGSSLATADLEKNGDLKIQDSEPPTPHSVMKMGVRDRTSSMEDPDGTLASVAQCIELLRQSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPALWELLRNGLKTGNLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANVCFLLACMMMQDASICFKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSFVISSLGQSLESCSSPAQAADTLGALASALMIYDSKAESTRASDPVAIEQTLVNQFKPRLPFLVQERTIEALASLYGNAILSVKLVNSEAKRLLVGLITMAINEVQDELVRALLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLSDVLRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSIAVKTLWSVMKLLNVESENILAESSHCLASVFLSIKENREVAAVGRDALSPLIALANSLTLEVAEQATCALANLILDGEVSEKAIPDEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNRAGTVLALVSFLESASGGSVPTSEALAALAILSRSEGTSGHIKPAWAVLAEFPKRITPIVLSIADATPLLQDKAIEILSRLCRDQPFVLGEAVACASGCIPSVARRVINSTNPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCSHLIQSLVTMLCSADASPSEDLVDDDKEVISIHRYAKEGENGESHKGTAVIYGYNLAVWLLSVLACHDEKSKIVIMEAGAVEVLTNRISSCISHYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPVLASMLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEVFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGVLEALTKYLSLGPQDATEEAATDLLGILFNSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALEKLVDDEQLAELVAAHGAVIPLVGLLYGRNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLGAAFAELLRILTNNASIAKGPSAAKVVEPLFLQLTRPEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQTIEPLIPLLDSPAPAVQQLAAELLSHLLMEEHLQKDSVTQQVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKVTKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDVIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNALFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKITLGSTPPRQTKVVSTGPNPEFDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPQSKSGPSRNLEIEFQWSNK >Potri.007G087200.7.v4.1 pep chromosome:Pop_tri_v4:7:11231983:11243587:1 gene:Potri.007G087200.v4.1 transcript:Potri.007G087200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087200.v4.1 MAATLAWRLSATNGSSLATADLEKNGDLKIQDSEPPTPHSVMKMGVRDRTSSMEDPDGTLASVAQCIELLRQSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPALWELLRNGLKTGNLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANVCFLLACMMMQDASICFKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSFVISSLGQSLESCSSPAQAADTLGALASALMIYDSKAESTRASDPVAIEQTLVNQFKPRLPFLVQERTIEALASLYGNAILSVKLVNSEAKRLLVGLITMAINEVQDELVRALLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLSDVLRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSIAVKTLWSVMKLLNVESENILAESSHCLASVFLSIKENREVAAVGRDALSPLIALANSLTLEVAEQATCALANLILDGEVSEKAIPDEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNRAGTVLALVSFLESASGGSVPTSEALAALAILSRSEGTSGHIKPAWAVLAEFPKRITPIVLSIADATPLLQDKAIEILSRLCRDQPFVLGEAVACASGCIPSVARRVINSTNPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCSHLIQSLVTMLCSADASPSEDLVDDDKEVISIHRYAKEGENGESHKGTAVIYGYNLAVWLLSVLACHDEKSKIVIMEAGAVEVLTNRISSCISHYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPVLASMLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEVFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGVLEALTKYLSLGPQDATEEAATDLLGILFNSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALEKLVDDEQLAELVAAHGAVIPLVGLLYGRNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLGAAFAELLRILTNNASIAKGPSAAKVVEPLFLQLTRPEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQTIEPLIPLLDSPAPAVQQLAAELLSHLLMEEHLQKDSVTQQVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKVTKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDVIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNALFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKITLGSTPPRQTKVVSTGPNPEFDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPQSKSGPSRNLEIEFQWSNK >Potri.007G087200.6.v4.1 pep chromosome:Pop_tri_v4:7:11231867:11243459:1 gene:Potri.007G087200.v4.1 transcript:Potri.007G087200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087200.v4.1 MAATLAWRLSATNGSSLATADLEKNGDLKIQDSEPPTPHSVMKMGVRDRTSSMEDPDGTLASVAQCIELLRQSSSSVQEKEYALRQLRELVETRENAFSAVGSHSQAVPVLVSLLRSGSLGVKIQAATVLGSLCKENELRVKVLLGGCIPPLLGLLKSSSAEGQIAAAKTIYAVSQGGAKDHVGSKIFSTEGVVPALWELLRNGLKTGNLVDNLLTGALKNLSSSTEGFWSATIQAGGVDILVKLLTTGQSDTQANVCFLLACMMMQDASICFKVLAAEATKQLLKLLGPGNEASVRAEAAGALKSLSAQCKDARQEIAKSNGIPALINATIAPSKEFMQGEYAQALQENAMCALANISGGLSFVISSLGQSLESCSSPAQAADTLGALASALMIYDSKAESTRASDPVAIEQTLVNQFKPRLPFLVQERTIEALASLYGNAILSVKLVNSEAKRLLVGLITMAINEVQDELVRALLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLSDVLRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSIAVKTLWSVMKLLNVESENILAESSHCLASVFLSIKENREVAAVGRDALSPLIALANSLTLEVAEQATCALANLILDGEVSEKAIPDEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNRAGTVLALVSFLESASGGSVPTSEALAALAILSRSEGTSGHIKPAWAVLAEFPKRITPIVLSIADATPLLQDKAIEILSRLCRDQPFVLGEAVACASGCIPSVARRVINSTNPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCSHLIQSLVTMLCSADASPSEDLVDDDKEVISIHRYAKEGENGESHKGTAVIYGYNLAVWLLSVLACHDEKSKIVIMEAGAVEVLTNRISSCISHYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPVLASMLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEVFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGVLEALTKYLSLGPQDATEEAATDLLGILFNSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALEKLVDDEQLAELVAAHGAVIPLVGLLYGRNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLGAAFAELLRILTNNASIAKGPSAAKVVEPLFLQLTRPEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQTIEPLIPLLDSPAPAVQQLAAELLSHLLMEEHLQKDSVTQQVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKVTKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDVIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNALFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKITLGSTPPRQTKVVSTGPNPEFDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPQSKSGPSRNLEIEFQWSNK >Potri.007G087200.8.v4.1 pep chromosome:Pop_tri_v4:7:11235271:11246373:1 gene:Potri.007G087200.v4.1 transcript:Potri.007G087200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G087200.v4.1 MAINEVQDELVRALLTLCNNEGSLWRALQGREGVQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAKEDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKVYVLDALRSMLSVVPLSDVLRDGSAANDAIETMIKILSSTKEETQAKSASALAGIFETRKDLRESSIAVKTLWSVMKLLNVESENILAESSHCLASVFLSIKENREVAAVGRDALSPLIALANSLTLEVAEQATCALANLILDGEVSEKAIPDEIIVPATRVLREGTISGKTHAAAAIARLLHSRRIDNSITDCVNRAGTVLALVSFLESASGGSVPTSEALAALAILSRSEGTSGHIKPAWAVLAEFPKRITPIVLSIADATPLLQDKAIEILSRLCRDQPFVLGEAVACASGCIPSVARRVINSTNPKVKIGGAALLICAAKVSHQRVVEDLNQSNSCSHLIQSLVTMLCSADASPSEDLVDDDKEVISIHRYAKEGENGESHKGTAVIYGYNLAVWLLSVLACHDEKSKIVIMEAGAVEVLTNRISSCISHYSQSDFSEDSSIWICALLLAILFQDRDIIRAHATMKSIPVLASMLKSEESANRYFAAQAIASLVCNGSRGTLLSVANSGAAGGLISLLGCADGDISDLLELSEVFALVRYPDQVALERLFRVEDIRVGATSRKAIPALVDLLKPIPDRPGAPFLALGLLNQLAKDCPPNKTVMVESGVLEALTKYLSLGPQDATEEAATDLLGILFNSAEIRRHEAAFGAVSQLVAVLRLGGRAARYSAAKALESLFSADHIRNADTARQAVQPLVEILNTGLEKEQHAAIAALVRLLSENPSRALAVADVEMNAVDVLCRILSSNCSMELKGDAAELCGVLFGNTRIRSTMAAARCVEPLVSLLVTEFSPAQYSVVCALEKLVDDEQLAELVAAHGAVIPLVGLLYGRNYMLHEAISRALVKLGKDRPACKMEMVKAGVIESILDILHEAPDFLGAAFAELLRILTNNASIAKGPSAAKVVEPLFLQLTRPEFGPDGQHSALQVLVNILEHPQCRADYTLTSHQTIEPLIPLLDSPAPAVQQLAAELLSHLLMEEHLQKDSVTQQVIGPLIRVLGSGIHILQQRAVKALVSIALIWPNEIAKEGGVSELSKVILQADPSLPHALWESAASVLASILQFSSEFYLEVPVAVLVRLLRSGLESTVVGALNALLVLESDDGTSAEAMAESGAIEALLELLRSHQCEETAARLLEVLLNNVKIRESKVTKSAILPLSQYLLDPQTQAQQARLLATLALGDLFQNEGLARSTDAVSACRALVNVLEEQPTEEMKVVAICALQNLVMYSRSNKRAVAEAGGVQVVLDVIGSSDPDTSVQAAMFVKLLFSNHTIQEYASSETVRAITAAIEKDLWATGTVNEEYLKALNALFSNFPRLRATEPATLSIPHLVTSLKTGSEATQEAALDALFLLRQAWSACPAEVSRAQSIAAADAIPLLQYLIQSGPPRFQEKAEFLLQCLPGTLVVIIKRGNNMKQSVGNPSVYCKITLGSTPPRQTKVVSTGPNPEFDESFSWSFESPPKGQKLHISCKNKSKMGKSSFGKVTIQIDRVVMLGAVAGEYTLLPQSKSGPSRNLEIEFQWSNKFSSREN >Potri.001G434400.2.v4.1 pep chromosome:Pop_tri_v4:1:45986964:45987747:-1 gene:Potri.001G434400.v4.1 transcript:Potri.001G434400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434400.v4.1 MSYKVGSALRFHDYDANFKAEVLSFIQISWLNEVREWQEEFVGNRNCREFVETITRDLLGGCVFVFSLRGEVKLCINLH >Potri.010G019001.1.v4.1 pep chromosome:Pop_tri_v4:10:2795274:2795804:-1 gene:Potri.010G019001.v4.1 transcript:Potri.010G019001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019001.v4.1 MLNIECFIIVLVHGLFMCHNLIDIIFIHFKLLLNVLKDIMEGLESSDKAAWTKEMLHIFCDICIKAIDMGMRPNTHFDKPGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNSELGTITASDEWWKQKIQVHSLSSAQFILLFSNSSPKILLVLILHYFI >Potri.019G132300.1.v4.1 pep chromosome:Pop_tri_v4:19:15437550:15442343:-1 gene:Potri.019G132300.v4.1 transcript:Potri.019G132300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132300.v4.1 MAYRRRQQQEEEETSSTSSRSRGRPSPIRTTASSSSFSLDEEDWIYEDADYNNNNNINIENKSNSTSLATKAIRASSAAHRDSSLSSAYAFTNHPSPSSNPSSSNSSSTKEEEPNKAYYHEYTSMKTLKETQQQQQGFWGTLARKAKSILDDDFNTSTYNAATSSSPQKQQMDSGTDRTTTWQHHVPDTTTKSKYQNPYPPRETHGKMDSPVLQKGLNAISSSLNYIGNAVEEGLTRVENRTADIIQETRKHIQKKPNGAAARNQATYRSSMWQQPQMETSRQQPQKETDQELQLKASRDVAMAMAAKAKLLLRELKTVKADFAFAKERCAQLEEENKILRENRERGENLEDDDLIRLQLETLLAEKARLAHENSVYARENRFLREVVEYHQLTMQDVVYLDEGTEEVTEVYPIKVVSNTPSIPSMPPPPLHTEASLGTSLPVARDILPHPVPPPGSVEVSKSAASPNSSTSTSIAADPRKHSNPTV >Potri.004G166900.1.v4.1 pep chromosome:Pop_tri_v4:4:18534222:18537918:1 gene:Potri.004G166900.v4.1 transcript:Potri.004G166900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G166900.v4.1 MEKGSTSKEEIRTSGGNGQVLDGSDIMELVGNEEVFSSFVDHKFQELDRDRDGKLSVKELEPAVADIGAALGLPAQGSSPDSDHIYSEVLNEFTHGKQEKVSKTEFKGVISDFLQGMAAGLKRDPIVILRMDGEDLLEFIKGPGYEAEMVSLFSQLESPGGSLHHHIVKALEQLTVDQGMPPSSDSWVMSNIVEPALQSCAGQDQDKPPSQETFLVEFKKVAQCVAQRLKEQPVIVAHSENTFDGSGIKRLLSNKFELDKNLNTAIENVPKDRNGKISKEYLRVALDTVAPSADLPPVGAIHEMDNVISEMLKMMNADDGKLVKEDEFKKVLTEILGSIMLQLEGSPISISSNSVVHEPLANSSSTFLQPPS >Potri.006G207500.1.v4.1 pep chromosome:Pop_tri_v4:6:21564953:21567204:-1 gene:Potri.006G207500.v4.1 transcript:Potri.006G207500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G207500.v4.1 MHQFMQGNPLSLTSPSMPLNLSSSNPSISLLIKSCKTIPHLHQFHAHIIHKGLEQDHFIISHFLSISTSVSYSTSIFNRLLNPSTFLYNILLKIFSKNSQFIDTFSLFYRMKQSEYALSDKYTYPLLIKVCSNELRLKEGEIVHGSAIRCGVSDDVYVGSSLISFYGKCKEILSARKVFDEIPERNVVSWTAMVAGYASVGDLENAKRVFERMPERNLPSWNAMISGLGKAGDLSGAKKVFDEMVERNVVSFTVMIDGYAKVGDMASARALFDEAPEKDVVAWSALISGYSRNEQPNEAVKIFFEMVSMNVKPDEFIMVSLMSACSQLGNSDLAKWVDSYLSQTSIDTRQAHVLAALIDMHAKCGNMEKAVKLFEDMPSRDLIPCCSLIQGLSIHGRGVEAVELFNRMLDEGLIPDTVAFTVILTACSRGGLIEDGWHFFDTMKNKYSVVPSPNHYACMVDLLSRAGQLRAAYDLLKSMPLKPHACAWGALLGACKLHGDVELREEVANRLLELEPEKAGSYVLLSNIYASANQWLDVSIVRDEMKERGIRKIPGCSYIFTEA >Potri.010G144700.1.v4.1 pep chromosome:Pop_tri_v4:10:15670201:15672860:1 gene:Potri.010G144700.v4.1 transcript:Potri.010G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144700.v4.1 MSGSIENKVVIREYNEDRDIKVVGKLERKCEIGSNKEVSIFTNMMGDPLSRIRFYPVHVMLVAELRENGELVGVVKGCIKCVGTRFGASYVRLGCILGLRVSPRHRRMGIGLELVKSVEEWLIGNGAHYTFLATEKNNVASTNLFTSKCNYMNFTSLVIFVQPASLPVKGLSQDIKIEKLQTDQAIYLYNNKFKSKDIYPTDVDAILKEKLSIGTWVSYFKEEEWISLHSNERNEDIITRTPSSWAMFSIWNSCEAYKLHIRKSHHPFKFFHATLSHARDKIFPCLKFPICHSLQKPFGFLFLFGLYGEGERLQELMKSIWSFASRLAENVKDCKVIISELGVSDPLIEHVPQESSMSFINDLWYLKKVNDNITDDNEEPVVMGQVTGNVFVDPRDF >Potri.011G044600.4.v4.1 pep chromosome:Pop_tri_v4:11:3488200:3492981:1 gene:Potri.011G044600.v4.1 transcript:Potri.011G044600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044600.v4.1 MAFARVARSGLRRTGGTFGSYSSEGDVLLCEGVSIHRGPLPSLKNAKAASDFSYISSFRKINHVNMQSRGIRVTPRYQSATAERIVEESESEYDEPRYPGLEATKPGEKPRVVVLGTGWAACRFMKGLDTKIYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVNRIQSALATSPDSYFYMASCFGVDTDKHEVYCETISNGGLPHEPYQFKVAYDKLVIAAGSEPLTFGIKGVKEHAFFLREVNHAQEIRKKLLLNLMLSENPGIPEEEKKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRDRYTHVKDYVKVTLIEANEILSSFDVSLRQYATNHLTKSGVGFMRGVVKEVHPKNIVLNDGTNVPYGLLVWSTGVGPSQFVKSLDLPKSPGGRIGIDEWLRVPSVEDVFALGDCAGFLENSGRPVLPALAQVAERQGKYLLELFNKIGKHGGKALSAKDIPLGDPFVYQHLGSMASVGRYKALVDLRQSKDAKGLSHAGFVSWLIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >Potri.011G044600.5.v4.1 pep chromosome:Pop_tri_v4:11:3488377:3492934:1 gene:Potri.011G044600.v4.1 transcript:Potri.011G044600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044600.v4.1 MAFARVARSGLRRTGGTFGSYSSEGDVLLCEGVSIHRGPLPSLKNAKAASDFSYISSFRKINHVNMQSRGIRVTPRYQSATAERIVEESESEYDEPRYPGLEATKPGEKPRVVVLGTGWAACRFMKGLDTKIYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVNRIQSALATSPDSYFYMASCFGVDTDKHEVYCETISNGGLPHEPYQFKVAYDKLVIAAGSEPLTFGIKGVKEHAFFLREVNHAQEIRKKLLLNLMLSENPGIPEEEKKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRDRYTHVKDYVKVTLIEANEILSSFDVSLRQYATNHLTKSGVGFMRGVVKEVHPKNIVLNDGTNVPYGLLVWSTGVGPSQFVKSLDLPKSPGGRIGIDEWLRVPSVEDVFALGDCAGFLENSGRPVLPALAQVAERQGKYLLELFNKIGKHGGKALSAKDIPLGDPFVYQHLGSMASVGRYKALVDLRQSKDAKGLSHAGFVSWLIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >Potri.011G044600.3.v4.1 pep chromosome:Pop_tri_v4:11:3488200:3492981:1 gene:Potri.011G044600.v4.1 transcript:Potri.011G044600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G044600.v4.1 MAFARVARSGLRRTGGTFGSYSSEGDVLLCEGVSIHRGPLPSLKNAKAASDFSYISSFRKINHVNMQSRGIRVTPRYQSATAERIVEESESEYDEPRYPGLEATKPGEKPRVVVLGTGWAACRFMKGLDTKIYDIVCVSPRNHMVFTPLLASTCVGTLEFRSVVEPVNRIQSALATSPDSYFYMASCFGVDTDKHEVYCETISNGGLPHEPYQFKVAYDKLVIAAGSEPLTFGIKGVKEHAFFLREVNHAQEIRKKLLLNLMLSENPGIPEEEKKRLLHCVVIGGGPTGVEFSGELSDFIMRDVRDRYTHVKDYVKVTLIEANEILSSFDVSLRQYATNHLTKSGVGFMRGVVKEVHPKNIVLNDGTNVPYGLLVWSTGVGPSQFVKSLDLPKSPGGRIGIDEWLRVPSVEDVFALGDCAGFLENSGRPVLPALAQVAERQGKYLLELFNKIGKHGGKALSAKDIPLGDPFVYQHLGSMASVGRYKALVDLRQSKDAKGLSHAGFVSWLIWRSAYLTRVVSWRNRFYVAVNWATTLVFGRDNSRIG >Potri.014G162300.3.v4.1 pep chromosome:Pop_tri_v4:14:11657231:11659428:1 gene:Potri.014G162300.v4.1 transcript:Potri.014G162300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G162300.v4.1 MVGPLKFEGPCTAPVTVKVQGTLKAPADPKRLRDDWVAFRNVEGLTVSGSGIFDGQGAIAWSVNNCANLEMQFLAHKFTIHKADQLENRRDYVLNSKLFHMNIFNCNNVTFQNIVINAPKNSLNTDGIHIGRSTMVNITGATIRTGDDCVSLGDGCQQINVEKVTCGPGHGISIGSLGRYHDEQPVIGVTVRNCTLTNTENGVRIKTWPASPSGVASNVNFEDIIMNNVSNPPILIDQEYCLCNNCLAKVPSRVKISDVRVEGIRGTSATQVAVKIVCSRGLPCQNVSVEDINLEYNGKEGSSTSLCANVIEAPSLRESISSHLYSVCLTFF >Potri.012G124600.1.v4.1 pep chromosome:Pop_tri_v4:12:14157596:14160860:-1 gene:Potri.012G124600.v4.1 transcript:Potri.012G124600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G124600.v4.1 MIAKLLKTRNPKSPNSSKFPQIMTRKSSLLKQTLILSAFTVLIIYVSFNTFLSYFTTTATAGVSATTTSFFAKQISRENFQEFPVKATGNERKVRVFMHDLPKKFTTGIIENHGLARGYSNLSKVSYPGHQHMGEWYLYSDLSRPESDRVGSPVVKVNDPEEADLFYVPVFSSLSLTVNPVQVGKVPVSDPVYSDEKMQDELVEWLEKQEYWRRNNGRDHVLFAGDPNALYRVLDRVKNAVLLLSDFGRVRSDQGSLVKDVIVPYAHRINVYNGDIGVDERKTLLFFMGNRYRKDGGKIRDMLFQLLEKEEDVLISHGTQSRESRRTATLGMHTSKFCLNPAGDTPSACRLFDSIVSLCVPLIVSDSIELPFEDVIDYRKIAIFVDTESSLKPGYLVKLLRAVSTERILEYQKEMREVKRYFEYSDPNGTVNEIWREIGQKLPLIQLMINREKRLVKRDSIEPDCSCLCTNQSTLFTSL >Potri.009G136900.1.v4.1 pep chromosome:Pop_tri_v4:9:11025125:11028813:-1 gene:Potri.009G136900.v4.1 transcript:Potri.009G136900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136900.v4.1 MTQDVEMKEQHQPSNSTTSSPSTLHHLKEIASLIETGAYAKETRRIQRAVRLTNTLRRKLKASVLYAFLNFALSAGSESFNRLISYLPKEDEYEMEVDTATSVTQAPAKHPLPELEIYCYLLVLIFLIDQKKHIEAKACSSASIARLKNLNRRTVDVLASRLYSYYSLSYELTGDLAEIRGSLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPAAALAFRVQCNKWAVIVRLLLGEIPERTVFMQKGMESALRPYFELTNAVRIGDLELFKSVAEKFSSTFSKDRTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSANPVADAESIVAKAIRDGAIDATLDRANGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >Potri.009G136900.2.v4.1 pep chromosome:Pop_tri_v4:9:11025119:11028458:-1 gene:Potri.009G136900.v4.1 transcript:Potri.009G136900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G136900.v4.1 MEVDTATSVTQAPAKHPLPELEIYCYLLVLIFLIDQKKHIEAKACSSASIARLKNLNRRTVDVLASRLYSYYSLSYELTGDLAEIRGSLLALHRIATLRHDELGQETLLNLLLRNYLHYNLYDQAEKLRSKAPRFEAHSNQQFCRYLFYLGKIRTIQLEYTDAKESLLQAARKAPAAALAFRVQCNKWAVIVRLLLGEIPERTVFMQKGMESALRPYFELTNAVRIGDLELFKSVAEKFSSTFSKDRTHNLIVRLRHNVIRTGLRNISISYSRISLADVAKKLRLDSANPVADAESIVAKAIRDGAIDATLDRANGWMVSKETGDIYSTNEPQLAFNSRIAFCLNMHNEAVRALRFPPNSHKEKESAEKRRERQQQEQELAKHIAEEDDDEF >Potri.019G040100.20.v4.1 pep chromosome:Pop_tri_v4:19:5519735:5537335:-1 gene:Potri.019G040100.v4.1 transcript:Potri.019G040100.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040100.v4.1 MLANPSTSTLHHRPNYTHNPPCSLSNHRCKLSLSPNCPRFSNSAKSNFSSLSLLLSRRKRERLFTVVNAFEETSVAHDAPQSLPQPFSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGKLKDHEVLICRLIDRPLRPTMFKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESELDLLLAGTDSAIFMIEGYCNFLTEEKLLDAVQIGQDAVRAICNEVGVLVKKCGKAKMLDAIKLPPAELYKHVEEIAGDELVKILQITSKVPRRKALASLEEKVLSILTEKGYVSKDERFGTPETVADLLEVEDEDEEIVVDGEVDEGDVHIKPIGRKFSPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPDRIRPIDSRCGILPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYLFPPSCVGEVGRIGAPSRREIGHGMLVERALKPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPFPSERLSKYAPLIHIMKVNPKKVNIIIGSGGKKVKSIIEETGVEAIDTREDGIVKITAKDLSSIEKSKSIINQLTMVPNVGDIYRNCEIKSVAPYGVFVEIAPGREGLCHISELTSNWLPKAEDVFKVGDRVDVKLIKVNEKGQLRLSRKALLPEATPEKSSAEHHATDKASPRRIVQAPKDGLGGEYKDKDSAVNSPRSDSIKDAPVSKKKVYKGLTSSGTEGPKNSVASVSSIASKDEGTLVNGDAKIG >Potri.019G040100.16.v4.1 pep chromosome:Pop_tri_v4:19:5520689:5537386:-1 gene:Potri.019G040100.v4.1 transcript:Potri.019G040100.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040100.v4.1 MLANPSTSTLHHRPNYTHNPPCSLSNHRCKLSLSPNCPRFSNSAKSNFSSLSLLLSRRKRERLFTVVNAFEETSVAHDAPQSLPQPFSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGKLKDHEVLICRLIDRPLRPTMFKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESELDLLLAGTDSAIFMIEGYCNFLTEEKLLDAVQIGQDAVRAICNEVGVLVKKCGKAKMLDAIKLPPAELYKHVEEIAGDELVKILQITSKVPRRKALASLEEKVLSILTEKGYVSKDERFGTPETVADLLEVEDEDEEIVVDGEVDEGDVHIKPIGRKFSPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPDRIRPIDSRCGILPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYLFPPSCVGEVGRIGAPSRREIGHGMLVERALKPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPFPSERLSKYAPLIHIMKVNPKKVNIIIGSGGKKVKSIIEETGVEAIDTREDGIVKITAKDLSSIEKSKSIINQLTMVPNVGDIYRNCEIKSVAPYGVFVEIAPGREGLCHISELTSNWLPKAEDVFKVGDRVDVKLIKVNEKGQLRLSRKALLPEATPEKSSAEHHATDKASPRRIVQAPKDGLGGEYKDKDSAVNSPRSDSIKDAPVSKKKVYKGLTSSGTEGPKNSVASVSSIASKDEGTLVNGDAKIG >Potri.019G040100.19.v4.1 pep chromosome:Pop_tri_v4:19:5519735:5537368:-1 gene:Potri.019G040100.v4.1 transcript:Potri.019G040100.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040100.v4.1 MLANPSTSTLHHRPNYTHNPPCSLSNHRCKLSLSPNCPRFSNSAKSNFSSLSLLLSRRKRERLFTVVNAFEETSVAHDAPQSLPQPFSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGKLKDHEVLICRLIDRPLRPTMFKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESELDLLLAGTDSAIFMIEGYCNFLTEEKLLDAVQIGQDAVRAICNEVGVLVKKCGKAKMLDAIKLPPAELYKHVEEIAGDELVKILQITSKVPRRKALASLEEKVLSILTEKGYVSKDERFGTPETVADLLEVEDEDEEIVVDGEVDEGDVHIKPIGRKFSPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPDRIRPIDSRCGILPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYLFPPSCVGEVGRIGAPSRREIGHGMLVERALKPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPFPSERLSKYAPLIHIMKVNPKKVNIIIGSGGKKVKSIIEETGVEAIDTREDGIVKITAKDLSSIEKSKSIINQLTMVPNVGDIYRNCEIKSVAPYGVFVEIAPGREGLCHISELTSNWLPKAEDVFKVGDRVDVKLIKVNEKGQLRLSRKALLPEATPEKSSAEHHATDKASPRRIVQAPKDGLGGEYKDKDSAVNSPRSDSIKDAPVSKKKVYKGLTSSGTEGPKNSVASVSSIASKDEGTLVNGDAKIG >Potri.019G040100.15.v4.1 pep chromosome:Pop_tri_v4:19:5520601:5537397:-1 gene:Potri.019G040100.v4.1 transcript:Potri.019G040100.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040100.v4.1 MLANPSTSTLHHRPNYTHNPPCSLSNHRCKLSLSPNCPRFSNSAKSNFSSLSLLLSRRKRERLFTVVNAFEETSVAHDAPQSLPQPFSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGKLKDHEVLICRLIDRPLRPTMFKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESELDLLLAGTDSAIFMIEGYCNFLTEEKLLDAVQIGQDAVRAICNEVGVLVKKCGKAKMLDAIKLPPAELYKHVEEIAGDELVKILQITSKVPRRKALASLEEKVLSILTEKGYVSKDERFGTPETVADLLEVEDEDEEIVVDGEVDEGDVHIKPIGRKFSPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPDRIRPIDSRCGILPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYLFPPSCVGEVGRIGAPSRREIGHGMLVERALKPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPFPSERLSKYAPLIHIMKVNPKKVNIIIGSGGKKVKSIIEETGVEAIDTREDGIVKITAKDLSSIEKSKSIINQLTMVPNVGDIYRNCEIKSVAPYGVFVEIAPGREGLCHISELTSNWLPKAEDVFKVGDRVDVKLIKVNEKGQLRLSRKALLPEATPEKSSAEHHATDKASPRRIVQAPKDGLGGEYKDKDSAVNSPRSDSIKDAPVSKKKVYKGLTSSGTEGPKNSVASVSSIASKDEGTLVNGDAKIG >Potri.019G040100.17.v4.1 pep chromosome:Pop_tri_v4:19:5520697:5537386:-1 gene:Potri.019G040100.v4.1 transcript:Potri.019G040100.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040100.v4.1 MLANPSTSTLHHRPNYTHNPPCSLSNHRCKLSLSPNCPRFSNSAKSNFSSLSLLLSRRKRERLFTVVNAFEETSVAHDAPQSLPQPFSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGKLKDHEVLICRLIDRPLRPTMFKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESELDLLLAGTDSAIFMIEGYCNFLTEEKLLDAVQIGQDAVRAICNEVGVLVKKCGKAKMLDAIKLPPAELYKHVEEIAGDELVKILQITSKVPRRKALASLEEKVLSILTEKGYVSKDERFGTPETVADLLEVEDEDEEIVVDGEVDEGDVHIKPIGRKFSPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPDRIRPIDSRCGILPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYLFPPSCVGEVGRIGAPSRREIGHGMLVERALKPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPFPSERLSKYAPLIHIMKVNPKKVNIIIGSGGKKVKSIIEETGVEAIDTREDGIVKITAKDLSSIEKSKSIINQLTMVPNVGDIYRNCEIKSVAPYGVFVEIAPGREGLCHISELTSNWLPKAEDVFKVGDRVDVKLIKVNEKGQLRLSRKALLPEATPEKSSAEHHATDKASPRRIVQAPKDGLGGEYKDKDSAVNSPRSDSIKDAPVSKKKVYKGLTSSGTEGPKNSVASVSSIASKDEGTLVNGDAKIG >Potri.019G040100.18.v4.1 pep chromosome:Pop_tri_v4:19:5521448:5537377:-1 gene:Potri.019G040100.v4.1 transcript:Potri.019G040100.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040100.v4.1 MLANPSTSTLHHRPNYTHNPPCSLSNHRCKLSLSPNCPRFSNSAKSNFSSLSLLLSRRKRERLFTVVNAFEETSVAHDAPQSLPQPFSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGKLKDHEVLICRLIDRPLRPTMFKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESELDLLLAGTDSAIFMIEGYCNFLTEEKLLDAVQIGQDAVRAICNEVGVLVKKCGKAKMLDAIKLPPAELYKHVEEIAGDELVKILQITSKVPRRKALASLEEKVLSILTEKGYVSKDERFGTPETVADLLEVEDEDEEIVVDGEVDEGDVHIKPIGRKFSPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPDRIRPIDSRCGILPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYLFPPSCVGEVGRIGAPSRREIGHGMLVERALKPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPFPSERLSKYAPLIHIMKVNPKKVNIIIGSGGKKVKSIIEETGVEAIDTREDGIVKITAKDLSSIEKSKSIINQLTMVPNVGDIYRNCEIKSVAPYGVFVEIAPGREGLCHISELTSNWLPKAEDVFKVGDRVDVKLIKVNEKGQLRLSRKALLPEATPEKSSAEHHATDKASPRRIVQAPKDGLGGEYKDKDSAVNSPRSDSIKDAPVSKKKVYKGLTSSGTEGPKNSVASVSSIASKDEGTLVNGDAKIG >Potri.019G040100.13.v4.1 pep chromosome:Pop_tri_v4:19:5519820:5537399:-1 gene:Potri.019G040100.v4.1 transcript:Potri.019G040100.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040100.v4.1 MLANPSTSTLHHRPNYTHNPPCSLSNHRCKLSLSPNCPRFSNSAKSNFSSLSLLLSRRKRERLFTVVNAFEETSVAHDAPQSLPQPFSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGKLKDHEVLICRLIDRPLRPTMFKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESELDLLLAGTDSAIFMIEGYCNFLTEEKLLDAVQIGQDAVRAICNEVGVLVKKCGKAKMLDAIKLPPAELYKHVEEIAGDELVKILQITSKVPRRKALASLEEKVLSILTEKGYVSKDERFGTPETVADLLEVEDEDEEIVVDGEVDEGDVHIKPIGRKFSPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPDRIRPIDSRCGILPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYLFPPSCVGEVGRIGAPSRREIGHGMLVERALKPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPFPSERLSKYAPLIHIMKVNPKKVNIIIGSGGKKVKSIIEETGVEAIDTREDGIVKITAKDLSSIEKSKSIINQLTMVPNVGDIYRNCEIKSVAPYGVFVEIAPGREGLCHISELTSNWLPKAEDVFKVGDRVDVKLIKVNEKGQLRLSRKALLPEATPEKSSAEHHATDKASPRRIVQAPKDGLGGEYKDKDSAVNSPRSDSIKDAPVSKKKVYKGLTSSGTEGPKNSVASVSSIASKDEGTLVNGDAKIG >Potri.019G040100.14.v4.1 pep chromosome:Pop_tri_v4:19:5519734:5537420:-1 gene:Potri.019G040100.v4.1 transcript:Potri.019G040100.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G040100.v4.1 MLANPSTSTLHHRPNYTHNPPCSLSNHRCKLSLSPNCPRFSNSAKSNFSSLSLLLSRRKRERLFTVVNAFEETSVAHDAPQSLPQPFSVKIPVGDRHILVETGHIGRQASGSVTVTDGETIVYTSVCLDDVPSEPSDFYPLSVNYQERFSAAGRTSGGFFKREGKLKDHEVLICRLIDRPLRPTMFKGFYHETQILSWVLSYDGLHSPDSLAVTAAGIAVALSEVPNTKVIAGVRVGLVDNKFIVNPTTKEMEESELDLLLAGTDSAIFMIEGYCNFLTEEKLLDAVQIGQDAVRAICNEVGVLVKKCGKAKMLDAIKLPPAELYKHVEEIAGDELVKILQITSKVPRRKALASLEEKVLSILTEKGYVSKDERFGTPETVADLLEVEDEDEEIVVDGEVDEGDVHIKPIGRKFSPLLFSEVDVKLVFKEVTSKFLRKRIVEGGKRSDGRTPDRIRPIDSRCGILPRAHGSALFTRGETQSLAVVTLGDKQMAQRVDNLVDEEEFKRFYLQYLFPPSCVGEVGRIGAPSRREIGHGMLVERALKPILPSDDDFPYTVRVESTITESNGSSSMASVCGGCLALQDAGVPVKCMIAGIAMGMVLDTEEFGGDGTPLILSDITGSEDASGDMDFKVAGNEDGITAFQMDIKVGGITLPVMRKALLQARDGRKHILAEMLKCSPFPSERLSKYAPLIHIMKVNPKKVNIIIGSGGKKVKSIIEETGVEAIDTREDGIVKITAKDLSSIEKSKSIINQLTMVPNVGDIYRNCEIKSVAPYGVFVEIAPGREGLCHISELTSNWLPKAEDVFKVGDRVDVKLIKVNEKGQLRLSRKALLPEATPEKSSAEHHATDKASPRRIVQAPKDGLGGEYKDKDSAVNSPRSDSIKDAPVSKKKVYKGLTSSGTEGPKNSVASVSSIASKDEGTLVNGDAKIG >Potri.008G110800.1.v4.1 pep chromosome:Pop_tri_v4:8:7040209:7046247:1 gene:Potri.008G110800.v4.1 transcript:Potri.008G110800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G110800.v4.1 MVKFSKQFEGQLVPEWKEAFVDYWQLKKDLKKIHLLNNNKKNTLIKHSHHNSLSSNFLSSLKGGFSLFGHQHKDHEAIHVHKKLASSASKGDVYETELVEQFEDSDAAKEFFSCLDLQLNKVNQFYKTKEKEFLDRGDCLKKQMDILVELKAAFKQQRDKVANSAQDSTEDASIDCRISCEEDSVTDRIEQEQIQDDSTDDLEKNEVLDSPRSEEMGKSTRIMKREDRKLRTLSGRVFNCQGKNLRINIPLTTPSRTFSAISYLVWGDLINQSSNNCNPEGSKLRINKTKLNHAEKMIKGAFIELYKGLGYLETYRNLNMLAFVKILKKFDKVTEKQVLPIYLKVVESSYFNSSDKVMNLADEVEDLFIKHFAEEDRRKARKYLKPHQRKESHSVTFFIGLFTGSFIALLVGYVIMARITGMYRQHPDTAYMETVYPVLSMFSLMFLHFFLYGCNILMWRKSRINYSFIFELDPTKELKYRDVFLICTTSMTAVVGVMFIHLSLLTKRHSYSQVQAIPGLLLLSFLLLLVCPFNICYRSSRYSFLCVIRNIVLSPLYKVVMLDFFMADQLCSQVPMLRNLEYVACYYLTGSYKTQDFGYCMRAKHYRDLAYAVSFIPYYWRAMQCARRWFDEGQINHLVNLGKYVSAMLAAGAKVAYEREKSVGWLCLLVVISSAATIYQLYWDFVKDWGLLQMNSKNPWLRNELVLRRKFIYYFSMGLNLVLRLAWLQTVLHSNFEHVDYRVTGLFLASLEVIRRGQWNFYRLENEHLNNAGKYRAVKTVPLPFHEVDEED >Potri.019G131500.8.v4.1 pep chromosome:Pop_tri_v4:19:15382706:15385971:-1 gene:Potri.019G131500.v4.1 transcript:Potri.019G131500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131500.v4.1 MKIFLGSVIYFFIILTIIFPFAFADLKSDKQALLDFATAVPHLRKLNWNPASSVCNSWVGVTCNSNRTRVSQLRLPGVGLVGHIPPNTLGKLDALRVLSLRSNVLEGDLPSDITSLPSLTNLFLQHNNFSGGIPTSFSLQLNVLDLSFNSFTGNIPQTLANLTQLIGLSLQNNTLSGPIPDLNHTRIKRLNLSYNHLNGSIPVSLQNFPNSSFIGNSLLCGPPLNPCSPVIRPPSPSPAYIPPPTVPRKRSSKVKLTMGAIIAIAVGGSAVLFLVVLTILCCCLKKKDNGGSSVLKGKAVSSGRGEKPKEEFGSGVQEHEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLREVVMGKRDFEQQMENVGRVGQHPNIVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHANRGAGRTPLDWDSRVKIALGTARGISHLHSVGGPKFTHGNIKSTNVLLSQDHDGCISDFGLTPLMNVPATSSRSAGYRAPEVIETRKHTHKSDVYSFGVVLLEMLTGKAPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >Potri.019G131500.3.v4.1 pep chromosome:Pop_tri_v4:19:15382730:15387247:-1 gene:Potri.019G131500.v4.1 transcript:Potri.019G131500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131500.v4.1 MKIFLGSVIYFFIILTIIFPFAFADLKSDKQALLDFATAVPHLRKLNWNPASSVCNSWVGVTCNSNRTRVSQLRLPGVGLVGHIPPNTLGKLDALRVLSLRSNVLEGDLPSDITSLPSLTNLFLQHNNFSGGIPTSFSLQLNVLDLSFNSFTGNIPQTLANLTQLIGLSLQNNTLSGPIPDLNHTRIKRLNLSYNHLNGSIPVSLQNFPNSSFIGNSLLCGPPLNPCSPVIRPPSPSPAYIPPPTVPRKRSSKVKLTMGAIIAIAVGGSAVLFLVVLTILCCCLKKKDNGGSSVLKGKAVSSGRGEKPKEEFGSGVQEHEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLREVVMGKRDFEQQMENVGRVGQHPNIVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHANRGAGRTPLDWDSRVKIALGTARGISHLHSVGGPKFTHGNIKSTNVLLSQDHDGCISDFGLTPLMNVPATSSRSAGYRAPEVIETRKHTHKSDVYSFGVVLLEMLTGKAPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >Potri.019G131500.9.v4.1 pep chromosome:Pop_tri_v4:19:15382707:15385776:-1 gene:Potri.019G131500.v4.1 transcript:Potri.019G131500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131500.v4.1 MKIFLGSVIYFFIILTIIFPFAFADLKSDKQALLDFATAVPHLRKLNWNPASSVCNSWVGVTCNSNRTRVSQLRLPGVGLVGHIPPNTLGKLDALRVLSLRSNVLEGDLPSDITSLPSLTNLFLQHNNFSGGIPTSFSLQLNVLDLSFNSFTGNIPQTLANLTQLIGLSLQNNTLSGPIPDLNHTRIKRLNLSYNHLNGSIPVSLQNFPNSSFIGNSLLCGPPLNPCSPVIRPPSPSPAYIPPPTVPRKRSSKVKLTMGAIIAIAVGGSAVLFLVVLTILCCCLKKKDNGGSSVLKGKAVSSGRGEKPKEEFGSGVQEHEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLREVVMGKRDFEQQMENVGRVGQHPNIVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHANRGAGRTPLDWDSRVKIALGTARGISHLHSVGGPKFTHGNIKSTNVLLSQDHDGCISDFGLTPLMNVPATSSRSAGYRAPEVIETRKHTHKSDVYSFGVVLLEMLTGKAPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >Potri.019G131500.6.v4.1 pep chromosome:Pop_tri_v4:19:15382708:15387779:-1 gene:Potri.019G131500.v4.1 transcript:Potri.019G131500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131500.v4.1 MKIFLGSVIYFFIILTIIFPFAFADLKSDKQALLDFATAVPHLRKLNWNPASSVCNSWVGVTCNSNRTRVSQLRLPGVGLVGHIPPNTLGKLDALRVLSLRSNVLEGDLPSDITSLPSLTNLFLQHNNFSGGIPTSFSLQLNVLDLSFNSFTGNIPQTLANLTQLIGLSLQNNTLSGPIPDLNHTRIKRLNLSYNHLNGSIPVSLQNFPNSSFIGNSLLCGPPLNPCSPVIRPPSPSPAYIPPPTVPRKRSSKVKLTMGAIIAIAVGGSAVLFLVVLTILCCCLKKKDNGGSSVLKGKAVSSGRGEKPKEEFGSGVQEHEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLREVVMGKRDFEQQMENVGRVGQHPNIVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHANRGAGRTPLDWDSRVKIALGTARGISHLHSVGGPKFTHGNIKSTNVLLSQDHDGCISDFGLTPLMNVPATSSRSAGYRAPEVIETRKHTHKSDVYSFGVVLLEMLTGKAPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >Potri.019G131500.1.v4.1 pep chromosome:Pop_tri_v4:19:15382731:15387421:-1 gene:Potri.019G131500.v4.1 transcript:Potri.019G131500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131500.v4.1 MKIFLGSVIYFFIILTIIFPFAFADLKSDKQALLDFATAVPHLRKLNWNPASSVCNSWVGVTCNSNRTRVSQLRLPGVGLVGHIPPNTLGKLDALRVLSLRSNVLEGDLPSDITSLPSLTNLFLQHNNFSGGIPTSFSLQLNVLDLSFNSFTGNIPQTLANLTQLIGLSLQNNTLSGPIPDLNHTRIKRLNLSYNHLNGSIPVSLQNFPNSSFIGNSLLCGPPLNPCSPVIRPPSPSPAYIPPPTVPRKRSSKVKLTMGAIIAIAVGGSAVLFLVVLTILCCCLKKKDNGGSSVLKGKAVSSGRGEKPKEEFGSGVQEHEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLREVVMGKRDFEQQMENVGRVGQHPNIVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHANRGAGRTPLDWDSRVKIALGTARGISHLHSVGGPKFTHGNIKSTNVLLSQDHDGCISDFGLTPLMNVPATSSRSAGYRAPEVIETRKHTHKSDVYSFGVVLLEMLTGKAPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >Potri.019G131500.7.v4.1 pep chromosome:Pop_tri_v4:19:15382675:15387354:-1 gene:Potri.019G131500.v4.1 transcript:Potri.019G131500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131500.v4.1 MKIFLGSVIYFFIILTIIFPFAFADLKSDKQALLDFATAVPHLRKLNWNPASSVCNSWVGVTCNSNRTRVSQLRLPGVGLVGHIPPNTLGKLDALRVLSLRSNVLEGDLPSDITSLPSLTNLFLQHNNFSGGIPTSFSLQLNVLDLSFNSFTGNIPQTLANLTQLIGLSLQNNTLSGPIPDLNHTRIKRLNLSYNHLNGSIPVSLQNFPNSSFIGNSLLCGPPLNPCSPVIRPPSPSPAYIPPPTVPRKRSSKVKLTMGAIIAIAVGGSAVLFLVVLTILCCCLKKKDNGGSSVLKGKAVSSGRGEKPKEEFGSGVQEHEKNKLVFFEGCSYNFDLEDLLRASAEVLGKGSYGTAYKAVLEESTTVVVKRLREVVMGKRDFEQQMENVGRVGQHPNIVPLRAYYYSKDEKLLVYDYIPGGSLSTLLHANRGAGRTPLDWDSRVKIALGTARGISHLHSVGGPKFTHGNIKSTNVLLSQDHDGCISDFGLTPLMNVPATSSRSAGYRAPEVIETRKHTHKSDVYSFGVVLLEMLTGKAPIQSPGRDDMVDLPRWVQSVVREEWTAEVFDVELMRYQNIEEEMVQMLQIGMTCVAKVPDMRPNMEEVVRMIEEIRQSDSENRPSSEENKSKDSNVQTP >Potri.005G037300.2.v4.1 pep chromosome:Pop_tri_v4:5:2407238:2408218:-1 gene:Potri.005G037300.v4.1 transcript:Potri.005G037300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G037300.v4.1 MQNSAIHSKSTIISSYLKELTPILTLVGILGFGCGFAIGMSGIPWVIMAEIYPVNVKASAGSLVVLTSWASSWVVTYTFNFMLEWSSAGTFFIFSGMCALTILFVWKLVPETKGRTLEEIQSRLITQIPGQNSVIAKT >Potri.013G035900.1.v4.1 pep chromosome:Pop_tri_v4:13:2351736:2355509:-1 gene:Potri.013G035900.v4.1 transcript:Potri.013G035900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G035900.v4.1 MCFHSIAFLSSSFLTCFLPMGHHHPFLFSLFLLLFSTATADDGATILKLAKSITPLPSGWSTKSSTTFCSWHGINCDSSKSRVTSISLSKLSLSGTLPPEISTLSELQSLSVQGNQLSGDLPSLANLTNLQYIFLDSNNFTSIPPGFFQGLTGLQTFSIGNNVNLSPWQLPTDLAQCTSLTTLTANDCQLFGSIPDVFGSLPSLQNLRLSYNNLTGVLPPSFANSGIQNLWVNNQQIGLTGSIEVIGSITQLSQVWLHMNQFTGPIPDLTECKSLFDLQLRDNQFTGIVPASLVSLPNLVNVSLSNNKFQGPVPQFPPSVITVKNEGINKYCAGPGVPCDALVMTLLEIAGGFGYPSTLSDKWDGNDACGWPLVTCDVGKKNVVTVNLAKQQFTGSISPSFAKLSSLKSLYLNENNLTGSIPDSLTKLPELETLDVSNNNLSGKIPEFPRSVKLITKPGNPFLRTDVDTSSGGTTNGTSNGGTTSGTSSGGSSAGSTKIPGGVIAGIIVAVVIFIVVLSFVLYQYKKRHPKSGKELKWDGGKEFFKNEVAGGGNGSNKVPNALHSQSSDGDNSKNIFEGGNVAVPIEFLRQVTDNFHEINIIGRGGFGVVYRGELHDGTKIAVKRMESTVMGNKGISEFQAEIAVLTKVRHRHLVALLGYCINGNERLLVYEYMPQGTLGQHLFECHDYRYTPLTWKQRITIALDVARGVEYLHGLAQQSFIHRDLKTSNILLGDDMRAKVADFGLVKNAPDGKYSVETRLAGTFGYLAPEYAATGRVTSKVDVYAFGVVLMEIITGRKAVDDTRPEEAAHLVTWFRRILINKENIPKAIDESLNPDEETLATIYTVTELAGHCTARDPYQRPDMGHAVNVLAPLVKQWRPASQQEDQNCGTDLDTNLPETLRRWQTEEVTSTMSDDTSFTQTRSSVPSMASGFSDTFTSNDCR >Potri.003G133900.1.v4.1 pep chromosome:Pop_tri_v4:3:15198583:15204501:-1 gene:Potri.003G133900.v4.1 transcript:Potri.003G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G133900.v4.1 MAECKNQRKHVLLLAYQSFGIVFSDLSIPPLYVYKCTFSGRLRHYQNEDTVFGAFSLVFWTLTLFSLFKYVGFMLCANDNGEGGIFALYSVICRHAKFCLLPNQQAADEEISTYHSVGYSNRNVVSSRFKKFVEGHKKMKTALLVLVLFGAAVFITIAIFTPAISILSSVEGLQVRAKNLHHGMLVIIALFLLIGLFVLQHYGMHRVAFIFAPIVILWLLSIAFVGIYNIIKWNPRVYQALSPYYIYKFFGETGKDGWISLGGILLCITGTEVIFAGLGHFTASSIRVAFSFVVYPCLVLQYMGQAAFLSQNFSSVSTSFHSSIPDSLFWPVTVMATLAAIVASQAVVSATFSIAKQCHALGCFPRIKIVHKSKWVHRQTYVPEINWALMILCLAVTVGSQDTIHLGNAYGIACITGIFVTTCLTSMIIDFVWHKNLLVALLYFSFFGIIEIIFVSSSCMRIPKGGWVPLVLSAVFMSVMYVWHYGSRKKYLYDLHNKASMKWILTLGSDLGIVRIPGIGLVYTELASGVPAMFSQFITDLPTFYQVVVFICVKTVPIPYVSQKERYLIGRIGPKPYKMYRCIVRYGYKDVHENDDYDFENAIVMSVAEFIQLEAEGGGTLDGSVDGRLAVVRSSENFGKRFMMSESDGNKESSSWSYPASGSSSRSAALQKLKSMYELESPEFCNRRRIQLKLLDTTYKDSRVKEEILELLEAKDAGVAYVIGHSHIKAKWNATFWKRLLINVFLSFLRKNCRSPSVGLNIPHISLIEVGMNYYL >Potri.001G250200.1.v4.1 pep chromosome:Pop_tri_v4:1:26612358:26617061:1 gene:Potri.001G250200.v4.1 transcript:Potri.001G250200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250200.v4.1 MPSIAGCSSSIMAVKSDEISRSNGETTPQKRRLRSNADLVMHESPISSPLKRKSPRRCVDSSPNTPTNGIEKLEKKCKSPVKKELSNNLSEKPNWNPRDVKQVSVVKEVLHVSTAPSSAVCREDEQKRVFDFCKACIEQEKAGSLYVCGCPGTGKSLSMEKVKQCLVDWAKEAGFQPPDVLTMNCTSLTKTSEIFKKVMEKNQPGKKINGSTSPLQHLQNLYSQQQKSLGSKMMLIIADELDYLITKDRAVLYDLFMLTTFPFSRCILIGVANAIDLADRFLPRLKSLNCKPMVITFRAYSKDQILRILQERLLAVPHTVFHPHAMELCARKVAAASGDMRKALCVCRSAIEILEAELRESTSILPSDKELSQQKTAPACIKTHENDIVRIDHMAVALSKAFRSPTVDTIQSLPQHQQMILCAAVKFFRGGKKDTTVGELNKSYMEICKSTIIPPVGILEFLSMCRVVADQGLLKLGQSRDDKLKRVTLKVDEADITFALQGVRVFRNCLQ >Potri.001G250200.2.v4.1 pep chromosome:Pop_tri_v4:1:26612358:26617061:1 gene:Potri.001G250200.v4.1 transcript:Potri.001G250200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250200.v4.1 MPSIAGCSSSIMAVKSDEISRSNGETTPQKRRLRSNADLVMHESPISSPLKRKSPRRCVDSSPNTPTNGIEKLEKKCKSPVKKELSNNLSEKPNWNPRDVKQVSVVKEVLHVSTAPSSAVCREDEQKRVFDFCKACIEQEKAGSLYVCGCPGTGKSLSMEKVKQCLVDWAKEAGFQPPDVLTMNCTSLTKTSEIFKKVMEKNQPGKKINGSTSPLQHLQNLYSQQQKSLGSKMMLIIADELDYLITKDRAVLYDLFMLTTFPFSRCILIGVANAIDLADRFLPRLKSLNCKPMVITFRAYSKDQILRILQERLLAVPHTVFHPHAMELCARKVAAASGDMRKALCVCRSAIEILEAELRESTSILPSDKELSQQKTAPACIKTHENDIVRIDHMAVALSKAFRSPTVDTIQSLPQHQQMILCAAVKFFRGGKKDTTVGELNKSYMEICKSTIIPPVGILEFLSMCRVVADQGSLVMIN >Potri.002G016500.1.v4.1 pep chromosome:Pop_tri_v4:2:1008221:1009165:-1 gene:Potri.002G016500.v4.1 transcript:Potri.002G016500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016500.v4.1 MEKQAAAPPNRILKFLPKAASAVNFHNLAFSPGRDRRSEIIHKHKANVGKGFNPCRSMIPVEVRRQPKNESFETQEEPTSPKISCMGQIKHKKKMNKATYKRVSLPQETKPASQTQRQVMKHASKLKRLFTGSKAGRKSNAFDGGKPTLPDRAPSLSQMKRFASGRDTLASFDWTAHQIAPVEPVGRDYYSDEERGDSFEDQEDEEVIIPFSAPMVLGGGVDLLPRKEVNLWKRRTMDPPEPLRLKSSMVRAN >Potri.006G226900.1.v4.1 pep chromosome:Pop_tri_v4:6:23128750:23129205:1 gene:Potri.006G226900.v4.1 transcript:Potri.006G226900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX1 MQRLRRRCSNDVVRLDLTTPPNSSSLSIDGAESTETRIQRLISEHPVIIFSRSSCCMCHVMKKLLATIGVHPTVIELDDHEISALPPAAEDGSPSPSSLAPAVFIGGTCVGGLESLVALHLSGHLVPKLVEVGVLAFSPGYDNNNNPIISS >Potri.006G196500.1.v4.1 pep chromosome:Pop_tri_v4:6:20445216:20447896:-1 gene:Potri.006G196500.v4.1 transcript:Potri.006G196500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196500.v4.1 MGSMFLDSPSLAPNRLIRVSSKCGWCVLRWRKRNVVVYCQGGGGKAIILSSGFPSVLTERSAVGSDQDHNAAVRDSGSLVLSPNGKGQPEIAVTDLVPCGGPTSSSLVEKQDGIGIVKFLRGKCFFISGATGFLAKVLIEKILRTMPDVGKIYLFIKAKDKEAAIARLKNEIINAELFKCLRETYGVSYQSFMLSKLVPVVGNVCESNLGFEEDLADEIANEVDVIINSAANTSFDERYDVAIDVNTRGTCHLVRFAKCQKLKLFLQNKLDFGYFLVTAYVNGQRQGRIMEKPLCLGDCIARENPIVSESTTLDIENEVKLAVNSKEDFQENEGKRYGWQDTYVFTKAMREMVVDNMRGDIPVVVIRPSVIESTWKEPFPGWMEGNRMMDPIVLCYGKGQLTGFLADPNGVLDVVPADMVVNATLAAIAWHGMEQKSDINVYQIASSVVNPLVFQDLATLLYEHYNSSPYMDSNGRPIHVPSMQLFSSMEDFFVHLWRDVIQQSRLAEMASSDRKLSQKHENICRKSLEQAKYLANIYEPYTFYGGRFDNSNTQKLMERMSENEKGEFGFDVGSMDWRDYITNVHISGLRRHLMKGRGMCG >Potri.010G229700.1.v4.1 pep chromosome:Pop_tri_v4:10:21240042:21244372:1 gene:Potri.010G229700.v4.1 transcript:Potri.010G229700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229700.v4.1 MGPETSSQEQQLRLALTTPAPPASAPAKTTPVSTKTTALAPGFRFHPTDEELVIYYLKRKVSNKPFRFNAISEVDIYKNEPWDLADKSRLKSRDQEWYFFSPLDKKYGNGARMNRATGKGYWKATGKDREIRRECQLLAMKKTLVFHSGRAPGGLRTNWVMHEYRLIDEELERTGAMQIDSYVLCRVFHKNNIGPPTGNRYAPFIEEEWDDRGAALIPGEDAADEVVVTYDTGGEMHRTEQDSHSINKSPLGITEVPGDSQNALPVCKTESVEDCPPLCVLNTEAPFPLLQYKRRKHNNETGSNRSNGSENSTRTSQDPCSSTTTTAATTSAEMMMATTSATTTAISALLEFSLMEPLEPKENPRVPPPALDAASLDSSMSPSCRKFINDLQSEIRKISVERETLKLEMMSAHAMINILQSRVDFLNKENEDLKRSVHAK >Potri.010G229700.3.v4.1 pep chromosome:Pop_tri_v4:10:21241021:21244373:1 gene:Potri.010G229700.v4.1 transcript:Potri.010G229700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229700.v4.1 MNRATGKGYWKATGKDREIRRECQLLAMKKTLVFHSGRAPGGLRTNWVMHEYRLIDEELERTGAMQIDSYVLCRVFHKNNIGPPTGNRYAPFIEEEWDDRGAALIPGEDAADEVVVTYDTGGEMHRTEQDSHSINKSPLGITEVPGDSQNALPVCKTESVEDCPPLCVLNTEAPFPLLQYKRRKHNNETGSNRSNGSENSTRTSQDPCSSTTTTAATTSAEMMMATTSATTTAISALLEFSLMEPLEPKENPRVPPPALDAASLDSSMSPSCRKFINDLQSEIRKISVERETLKLEMMSAHAMINILQSRVDFLNKENEDLKRSVHAK >Potri.003G137600.2.v4.1 pep chromosome:Pop_tri_v4:3:15474482:15475523:1 gene:Potri.003G137600.v4.1 transcript:Potri.003G137600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G137600.v4.1 MEEKREAGRAAVNVWDCGSPLYDSYEIASLGHVIDRHSLALPTPFGSRKEGRHVIQYSSMPRDQEKGLEVKQEGALFKIIRVFSWKRTTIKERSDKGIYKTW >Potri.014G030800.1.v4.1 pep chromosome:Pop_tri_v4:14:1928178:1928836:1 gene:Potri.014G030800.v4.1 transcript:Potri.014G030800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030800.v4.1 MAIKTCCISVDGKRVMSFEQFKRWLKTFDADKDGKLSRKELEDAIPGGWFTRWKGKRRIRSADSNGNGFIDESEINNLVEFAQKYLGVKILQLKDV >Potri.013G102800.1.v4.1 pep chromosome:Pop_tri_v4:13:11187744:11190191:-1 gene:Potri.013G102800.v4.1 transcript:Potri.013G102800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102800.v4.1 MVAETPTLPLLTPYKMGKFNLSHRIVLAPLTRQRSYDNVPRPHAVLYYSQRATKGGLLISEATGVSDTAQGYLHAPGIWTREQVEAWKPIVDAVHAKGGIFFCQIWHVGRVSNSGFQPDGQAPVSSTDKPISSQVEGMEFTPPRRLRTDEIPQIVNDFRIAARNAIEAGFDGVEIHGAHGYLIDQFMKDQVNNRTDQYGGSLENRCRFPLEIVEAIANEIGSDKVGIRLSPHVNYMESEDSDPEALGLHMVKSLNKYGIAYCHMVEPRMKIGAGNAKFSESLLPMRKAFNSTFIVAGGYDREDGNKAVEENRGDLVAYGRLFLANPDLPRRFELHAPLNKYNRETFYTHDPVVGYTDYPFLEDTA >Potri.005G168401.1.v4.1 pep chromosome:Pop_tri_v4:5:17084343:17085008:1 gene:Potri.005G168401.v4.1 transcript:Potri.005G168401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G168401.v4.1 MQSPGMLQGYAFPSNNIQNNGDNSSNVLMPNSDLNANFSRGKNITEQGSGSTYAVHSSAGDPAMSCFAAFSRHDHCARGPFPF >Potri.017G131700.3.v4.1 pep chromosome:Pop_tri_v4:17:13432371:13434365:1 gene:Potri.017G131700.v4.1 transcript:Potri.017G131700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G131700.v4.1 MNEPRFLAWSWKCQTNCKDLHLRHPNKTIPGPSYKAFFEFSAICNQILQYGCKNEPASITGIVVACICFFVPAFSMPSEIRSAEQSIALSVNMFFAFSIAQLFLPVLSPMKCGLFIFFASFVAIMTSLFIPFLPERKHVPIEEMYKVWKEHLFWRKFMPVDDHRANVTSNGEPYFRHPTGRHFVLKLQSIVSPTRNM >Potri.003G156650.1.v4.1 pep chromosome:Pop_tri_v4:3:16766256:16766984:-1 gene:Potri.003G156650.v4.1 transcript:Potri.003G156650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156650.v4.1 MRSCALSLLIHFFCELEINLYNGKNKNINSEAMLFGGAVPQQRGIALPFS >Potri.T124708.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:53183:55398:1 gene:Potri.T124708.v4.1 transcript:Potri.T124708.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124708.v4.1 MEIEGQATVKESQPPKFALPVDSEHKATEFRLFSVAAPHMQAFHLSWVSFFACFVSTFAAPPLLPIIRDNLNLTASDIGNAGIASVSGAVFARVAMGTACDLFGPRLASASLILLTAPAVYFTSIASSSTSFLLVRFFTGFSLSTFVSTQFWMSSMFSAPVVGTANGVAGGWGNLGGGATQLIMPLVFGLIRDIGAIKFTAWRIAFFIPALFQTLSAFAVLIFGKDLPDGNFRRLQKAGDKTKDKFTNVFYHGITNYRGWILALSYGYCFGVELTIDNIVAEYFYDRFDLKLHTAGMIAASFGLANIVSRPGGGMISDAVAKRFGMRGRLWALWIVQTLGGVFCIILGRVGSLGASVVVMIVFSLFCQAACGLTFGVVPFVSRRSLGLISGMTGGGGNVGAVLTQLIFFKGSKYSKERGIMLMGVMIICCTLPICFIHFPQWGGMFCGPSSAKTATEEDYYLSEWTSEEKEKGLHLSSLKFADNSRRERGRKEGSETRPVDESPSTKV >Potri.010G015300.1.v4.1 pep chromosome:Pop_tri_v4:10:2551504:2552155:-1 gene:Potri.010G015300.v4.1 transcript:Potri.010G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G015300.v4.1 MANKKKKEEDLKAVVAEYKVSMHCNACERTVAKIISMFKGVETFRTDMNKHKVVVTGRIDPQKLLKKLKKKTRKKVEIVASKKEEEGSKDHTSRTEEINVASESFPQQYPPIFFDCCKNNDLLMAFSDENPNACSIM >Potri.010G213101.1.v4.1 pep chromosome:Pop_tri_v4:10:20129748:20130899:1 gene:Potri.010G213101.v4.1 transcript:Potri.010G213101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213101.v4.1 MFLHKTLRYVIRAGFFYGNYDGLSNPPTFDLHLNGGKWSTVNTASRSGPIYHEIVYSLQKSAILTVCLVQTRDGEVPFISTLEFMPLPDVLYPHLDPNISFSLLVWRANLGGGEVSIMDEVIAELWQKQGHVEAHGRGS >Potri.006G062150.1.v4.1 pep chromosome:Pop_tri_v4:6:4433258:4433903:-1 gene:Potri.006G062150.v4.1 transcript:Potri.006G062150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062150.v4.1 MVYPKFIIPEEKIFEIDDSRLPLFNSYRRSHPWQRSCFFLQANCFLLRLQSSFFYSSTLHQQLSAPLSLFPLSTVSSLVFTLHQQRHRSLFFPQHQQFSLQTSKFSLRLAGFLFSLHRKKFYL >Potri.005G201400.3.v4.1 pep chromosome:Pop_tri_v4:5:20705741:20707349:-1 gene:Potri.005G201400.v4.1 transcript:Potri.005G201400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201400.v4.1 MADETAMTNGVNDYQMAEENFYDLDQGENETKLKQKIESLETEKYSLADENKGIKYQVAKLTAEIESLKSEESSLKLRIAELGREVEKSEETQRTLESIAGRAVELETSASRLQHDLISAVSEGDEAHKEAAELKRVVSQKEVKIEEVKKEKAETEMKAKELERKVGVLELKEIGEKNKKVRLGEEMREKMSEKDMEIFECKKRIEELESQVAEKESLEKKLRETEEKVKEMEGKLVELQKEVQEAEKVVGGLQERTGEVINGIEIKSREKGFKVQPPVVAIGSVGAILAAAAVIYVCYARRR >Potri.005G201400.1.v4.1 pep chromosome:Pop_tri_v4:5:20706055:20707491:-1 gene:Potri.005G201400.v4.1 transcript:Potri.005G201400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201400.v4.1 MADETAMTNGVNDYQMAEENFYDLDQGENETKLKQKIESLETEKYSLADENKGIKYQVAKLTAEIESLKSEESSLKLRIAELGREVEKSEETQRTLESIAGRAVELETSASRLQHDLISAVSEGDEAHKEAAELKRVVSQKEVKIEEVKKEKAETEMKAKELERKVGVLELKEIGEKNKKVRLGEEMREKMSEKDMEIFECKKRIEELESQVAEKESLEKKLRETEEKVKEMEGKLVELQKEVQEAEKVVGGLQERTGEVINGIEIKSREKGFKVQPPVVAIGSVGAILAAAAVIYVCYARRR >Potri.005G201400.4.v4.1 pep chromosome:Pop_tri_v4:5:20705745:20707471:-1 gene:Potri.005G201400.v4.1 transcript:Potri.005G201400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201400.v4.1 MADETAMTNGVNDYQMAEENFYDLDQGENETKLKQKIESLETEKYSLADENKGIKYQVAKLTAEIESLKSEESSLKLRIAELGREVEKSEETQRTLESIAGRAVELETSASRLQHDLISAVSEGDEAHKEAAELKRVVSQKEVKIEEVKKEKAETEMKAKELERKVGVLELKEIGEKNKKVRLGEEMREKMSEKDMEIFECKKRIEELESQVAEKESLEKKLRETEEKVKEMEGKLVELQKEVQEAEKVVGGLQERTGEVINGIEIKSREKGFKVQPPVVAIGSVGAILAAAAVIYVCYARRR >Potri.012G096050.1.v4.1 pep chromosome:Pop_tri_v4:12:12018952:12022555:1 gene:Potri.012G096050.v4.1 transcript:Potri.012G096050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G096050.v4.1 MPSSKESPADADNRTNSWLDSNQSFMVPPVVNSKDISVSVKETLNSSMTMSNETHNISFPSFSEEIPVIAGNTISKSSLDSDQSTTAMPVTNSQYTGVSVNETLNSAMTNEIDNVSMLSFSQETPAKSDKPAINSLDPDLSSMDPLVTNSQNTDSINETLNSSSSVEKDKVCMLSPSRGSPVITDNPIDKNSSESDQSLLAPPSADSQYIIFSVKEAWNSAMTDGTARASMPSSSDESLVITDDQLIEKSLDSDLSSMEPLVTNSQVTSVSIKETRGSTSSNEKDKVCMPSSSGSPVSTDNPIDENSSGSDQSMLASPSTNSQYIIFSVDESQNSAMGDGMAKVSMPSSFEASLVIADDRLVENSLDSDQTSTEPLVTNSQVTSVPINETPSAASSNEKEKVCMPSSSGGPVDTDNPITENSSESDQSLLAPPPSTNSQDISVSVNETPNSAMSNGTTKLSMPSSEESLVTADDRLVENSLDSDQFFMVLPERKSQDIGISVSETSNSSSNETDKVSNPSYSTESPVVADNPIGKNSLDSDQSLTAPPVTKSQDTVREENFYDCQAWLGSDSDDDFFSVRNDYSNASSRQSSMKGSPQPDEISDSKREPNPTKGGKKLVDLIYDRNSMPAAIQEQRESKQEASPKDENKRLSELLQDPSWSDHASDQKSSTPVLKKTKLVEFFQDSHWSQEIASTRATRFPSTKGDGKLRADVEAKHLSPRDGTSTVTTPRSRARYVGGNLKRENTARATYCCFPSWSPIRGSQGEEKANPS >Potri.009G125100.1.v4.1 pep chromosome:Pop_tri_v4:9:10404005:10406546:1 gene:Potri.009G125100.v4.1 transcript:Potri.009G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G125100.v4.1 MAITLNNGFKMPIIGLGVWRMEGKEIRDLITNSIKLGYRHFDCAADYKNEAEVGEALAEAFKTGLVKREDLFITTKLWNSDHGHVVEACKDSLKKLQLDYLDLYLVHFPVATRHTGVGATGSAMDEDGVLDIDTTISLETTWHAMEDLVSLGLARSIGISNYDIFLTRDCLAYSKVKPAVNQIETHPYFQRDSLVKFCQKHGICVTAHTPLGGAVANTELFGTVSVLDDPVLKGLAEKYKKTVAQIALRWGIQRNTVVIPKSSKVERLKENFEVFDFELSKEDMDLLKELDRNYRTNQPAKFWGINLYA >Potri.003G044400.8.v4.1 pep chromosome:Pop_tri_v4:3:5025047:5029283:1 gene:Potri.003G044400.v4.1 transcript:Potri.003G044400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G044400.v4.1 MNHIEDEYVPIRDAEDAQLGMFDKPLPCFGCGIGWFSLLLGFVFPLMWYFSAILYFGKYYNKDPRERSGLAACAIAAITFTVAAVITLLVYLL >Potri.013G070500.1.v4.1 pep chromosome:Pop_tri_v4:13:5761611:5766346:-1 gene:Potri.013G070500.v4.1 transcript:Potri.013G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070500.v4.1 MSCLLPQFKCQPDTFSVHFRNLQHHGTNHTQLPQCVLSSASPSTAVLDLEKLRLPSLEPHSDSISANRPWTYIGGIGPQKKPPFGTSLAAETLIANDEAVIAAAAAEAVALARAAVKVAKDAAEMAKNYSSMKKETKIPIASTTDSFSSMWSLLTEKERADIIGDSVSAETRLREEYSVQYPTEEYDCLEPTQEELALLQKQLSEGIAVRSKRQTERKARRARAAEKAAANVVSVKSGSTSKKKRVPLQEVDQSDPLRFFRGASSSSRLLSATEEVELSEGIQDLLKLERIEEELKERFGGEPSFAQWAAAAGVDQLTLRKRLNYGILCKDKMIKSNVRLVISIAKNYQGTGMNLQDLVQEGCRGLVRGAEKFDASKGFKFSTYAHWWIKQAVRKSLSDRSRTIRLPFHMVDATYRVKEARKQLYSENGRHPDDKEVAEAAGLSMKRLSAVLLTPKAPRSLDQKMGFNMDLKLSEVTADPEAETAEDLLMKEFMKKDLAKVLGSLSPRENQVVRWRFGMEDGRMKTLQEIGELMGVSRERVRQIELSAFRKLKNKNRTKQLRQYMVA >Potri.001G073350.1.v4.1 pep chromosome:Pop_tri_v4:1:5514831:5516337:1 gene:Potri.001G073350.v4.1 transcript:Potri.001G073350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073350.v4.1 MRPVSLLFKTPQASNETEAMSRAKSLKIMAQICKQTENIEVCLQVLLSRPQALFRYDLMAMAENAIQLSRKESNDTVNFFNNLANSKDTNPAFKLVLKNCISNFKEGFMFLNLDGLEGRTATFDMHNAYDKAFACETDLSANKIAIDLVLARIKKWKDVFSVAMAAAGVLEDSLPIPE >Potri.001G361300.3.v4.1 pep chromosome:Pop_tri_v4:1:37938955:37942970:-1 gene:Potri.001G361300.v4.1 transcript:Potri.001G361300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361300.v4.1 MESDLGKLFIGGISWDTDEERLKEYFSKYGEVVEAVIMRDRVTGRARGFGFVVFADPIVAERVIMEKHVVDGRTVEAKKAVPRDDQHILSRNTSSIHGSPGPGRTKKIFVGGLASTVTENDFKKYFEQFGIITDVVVMYDHNTLRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLMGYNYGLTRANNFLNAYAQGYNMNSIGGFGMRMDSRFNPLATGRSGFAPFSTAGYGMSMNLEPALSPSYGGGSNFSNTPAYGRISSPYYSGNPSRYSTPVGYGEGNARNDSLLSPTTWNVWGNGSHNTATNPASPGPLLGSRTGNFGVSFGNSGSNWGTSPVSAQGRGSASGYTTGSMGYGSGDNNYGLSGAGYVRNSGTGAPPTSSFSGSAGGYEGSYGDLYRSGSVYGDSTWQSATPELDGCGSFGYGLGDVASDVTTKSSEGYIGSYGVTSRQSNRGIAT >Potri.001G361300.1.v4.1 pep chromosome:Pop_tri_v4:1:37938955:37943046:-1 gene:Potri.001G361300.v4.1 transcript:Potri.001G361300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G361300.v4.1 MESDLGKLFIGGISWDTDEERLKEYFSKYGEVVEAVIMRDRVTGRARGFGFVVFADPIVAERVIMEKHVVDGRTVEAKKAVPRDDQHILSRNTSSIHGSPGPGRTKKIFVGGLASTVTENDFKKYFEQFGIITDVVVMYDHNTLRPRGFGFITYDSEEAVDRVLHKTFHELNGKMVEVKRAVPKELSPGPSRSPLMGYNYGLTRANNFLNAYAQGYNMNSIGGFGMRMDSRFNPLATGRSGFAPFSTAGYGMSMNLEPALSPSYGGGSNFSNTPAYGRISSPYYSGNPSRYSTPVGYGEGNARNDSLLSPTTWNVWGNGSHNTATNPASPGPLLGSRTGNFGVSFGNSGSNWGTSPVSAQGRGSASGYTTGSMGYGSGDNNYGLSGAGYVRNSGTGAPPTSSFSGSAGGYEGSYGDLYRSGSVYGDSTWQSATPELDGCGSFGYGLGDVASDVTTKSSEGYIGSYGVTSRQSNRGIAT >Potri.001G021200.1.v4.1 pep chromosome:Pop_tri_v4:1:1662766:1663725:1 gene:Potri.001G021200.v4.1 transcript:Potri.001G021200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G021200.v4.1 MASKLLLFLLFSALVCSTSARKLVDGKGSFEDEKTFFHAPKFGGGLGGGDGGWLGGGGLGGGTGFGGGAGGGAGGGLGGGGGGGFGGGGGGGIGGGAGSGFGGGFGAGGGLGGGGGGGGGGGGGGGFGGGGGGGLGGGAGGGFGGGAGGGLGGGWP >Potri.T171001.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_509:103887:104468:1 gene:Potri.T171001.v4.1 transcript:Potri.T171001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T171001.v4.1 MSSEKANVGGDLNASTSNKQAILPNKTPSTSNSNFEKVLAQRALFGSRNYRTKGRKIKNNGANMLPSRLSKVSLADDSSD >Potri.013G026100.4.v4.1 pep chromosome:Pop_tri_v4:13:1695814:1700066:-1 gene:Potri.013G026100.v4.1 transcript:Potri.013G026100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G026100.v4.1 MSLESSSRDKRWGLQGMTALVTGGTKGLGYAIVEELAALGAIVHTCARNQDQINERVREWKEKGFKVTGSVCDVSSNAEREKLMKEVSSLFDGKLNILVNNAGTNIYKATLDYTAEDFTSLMNTNLQSAFHLSQLAHPLLKASGAGKIVFMSSIISVVSMNPQYPLYSASKGAMNQLTRNLACEWAKDNIRVNAVAPWFIRTPLTAHVDCSELTRDAQFCNMIILSNIVIASVLQ >Potri.016G050500.1.v4.1 pep chromosome:Pop_tri_v4:16:3260900:3263955:-1 gene:Potri.016G050500.v4.1 transcript:Potri.016G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G050500.v4.1 MPMDSSSNNNYHQQNQPSSGLLRFRSAPSSLLASFNDSGVDNDSVLNYQEFEDKSAARVREEAVNYSNFPRSYSGLPPHYPRQGSATNSSAMDSSYGLIGSISMGHHEQLKRVDPSLARQNSSPAGLFGNVSVQNGYPGWNGTNGEANSRLKSQLSLSSRAPSSLGFRSQISEIGSESIEAGSPSADSRFHSSHGFPYGSWNNSHLSENFSSMKRDQENGNLFSNNAQNGELGNRTHVFAHHLSLPKTSVEMVAMEKFLHLQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTADMLDLAVDYIKDLQKQYKTLSDNRANCKCLSKQKPVQNKIV >Potri.010G119900.1.v4.1 pep chromosome:Pop_tri_v4:10:13824217:13825495:1 gene:Potri.010G119900.v4.1 transcript:Potri.010G119900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G119900.v4.1 MDSNASGFLLNPPALHSTYYQPREDDGIIDLGLSLRTLKPEAYHPSGHLVGLEGYGDLMDWPRANSPLKHSTSSYIRFTPQDCDEEAEGVQSKDRWAYVKVNMDGVIVGRKICMLDHGGYSSLALQLEDMFGRQSASGLRLFQAGSEFCLFYKDREENWRTVGDVPWKEFVESVKRLRIARKSEPLLPYSPAFS >Potri.002G096700.1.v4.1 pep chromosome:Pop_tri_v4:2:7054335:7055327:-1 gene:Potri.002G096700.v4.1 transcript:Potri.002G096700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096700.v4.1 MANDAALRTSLVWLAVVMVVVGIWTLSFKKVIVTYVLGVLGIAGVLLPDWDYFDRDYSRWFSFVSEQDKLALALRSGFRLWISPLRLVVYTAVYGYALYRWWLFISE >Potri.002G096700.2.v4.1 pep chromosome:Pop_tri_v4:2:7054335:7055327:-1 gene:Potri.002G096700.v4.1 transcript:Potri.002G096700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096700.v4.1 MANDAALRTSLVWLAVVMVVVGIWTLSFKKVIVTYVLGVLGIAGVLLPDWDYFDRDYSRWFSFVSEQDKLALALRSGFRWWLFISE >Potri.006G116700.3.v4.1 pep chromosome:Pop_tri_v4:6:9106730:9109747:-1 gene:Potri.006G116700.v4.1 transcript:Potri.006G116700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116700.v4.1 MAEHLASIFGTEKDRVNCPFYFKIGACRHGDRCSRLHTKPTISPTLLLSNMYQRPDMLTPGVDPQAQSQSLDPRKIQDHFEDFYEDLFEELSKYGDIESLNICDNLADHMVGNVYVQFREEEHAANALRNLNGRFYAGRPIIVDFSPVTDFREATCRQYEENVCNRGGYCNFMHLKKISRELRRQLFGRNRRRRSRSRSHSPDRHRGHEERPHGGRGLNRREDDREHHNERGRRPRSRSPARRGGRSSPGGRRNRSPVRESSAERRAKIEKWNREKEQTDSGGRGDSRNPENDRDNSDFAENGGHYDDAQH >Potri.006G102200.1.v4.1 pep chromosome:Pop_tri_v4:6:7883386:7889849:1 gene:Potri.006G102200.v4.1 transcript:Potri.006G102200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102200.v4.1 MSSDDEREERELDLTSPEVITKYKSAAEIVNKALQLVISECKPKAKIVDICEKGDSFIREQTGNMYKNVKKKIERGVAFPTCVSVNNTICHFSPLASDESVLEEGDIVKIDLGCHIDGFIAVVGHTHALQSGPVTGRAADVIAAANTAAEVALRLVRPGKKNKDVTEAIQKVAAAYDCKIVEGVLSHQLKQFVIDGNKVILSVSNPDTRVDDAEFEENEVYAVDIVTSTGEGKPKLLDEKSTTIYKRAVDKNYHLKMKSSRFIFSEINQKFPIMPFTARALEEKRARLGLLECVNHDLLQPYPVLHEKPGDYVAHIKFTVLLMPNGSDRITSHSLQELQPSKTIDDPEIKAWLALGTKTKKKGGGKKKKAKKSGEKAESTEAEPMDATTNGAAAQE >Potri.010G214000.1.v4.1 pep chromosome:Pop_tri_v4:10:20196336:20196647:-1 gene:Potri.010G214000.v4.1 transcript:Potri.010G214000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214000.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.010G214000.2.v4.1 pep chromosome:Pop_tri_v4:10:20195993:20197262:-1 gene:Potri.010G214000.v4.1 transcript:Potri.010G214000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G214000.v4.1 MSGRGKGGKGLGKGGAKRHRKVLRDNIQGITKPAIRRLARRGGVKRISGLIYEETRGVLKIFLENVIRDAVTYTEHARRKTVTAMDVVYALKRQGRTLYGFGG >Potri.001G261000.4.v4.1 pep chromosome:Pop_tri_v4:1:27724640:27728665:1 gene:Potri.001G261000.v4.1 transcript:Potri.001G261000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G261000.v4.1 MYSDVSSCNTYNYGDALYWDARYVQEAESFDWYQRYASLRPFVRRYIPTSSRVLMVGCGNALMSEDMVDDGYENIMNVDISSVAIDLMRRKYEHMPQLNYMEMDVRDMSFFPDESFDAVIDKGTLDSLMCGSDAPISAARMLGEVSRLLKPGGIYMLITYGDPKVRMPHLTRSIYSWKIVLYAIPRPGFKKPAGSSSNSHLEPVPITETGLLPADFVLDDPDSHFIYVCKKMDETTDLSNISSHPLIADAS >Potri.001G285500.2.v4.1 pep chromosome:Pop_tri_v4:1:29821377:29823779:1 gene:Potri.001G285500.v4.1 transcript:Potri.001G285500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285500.v4.1 MKMVPYKIVRAPNGDAWVEANGQQYSPSQIGAFILTKMKETAEAYLGKGITKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEYLVDEFKRTEGIDLSKDKLALQRLREAAEKAKIELSSTTQTEINLPFITADSSGAKHLNITLTRSKFESLVNHLIERTRIPCKNCLKDAGISTKEVDEVLLVGGMTRVPKVQDIVAEIFGKSPSKGVNPDEAVAMGAALQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQAFSTAADNQTQVGIKVLQGEREMASDNKLLGEFDLVGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKTTGKEQQITIRSSGGLSEDEIEKMVKEAEQFAQKDQERKALIDIKNSADTTIYSVEKSLNEYREKIPSEISKEIEDAVADLRKAMGGDSVDDIKSKLDAANKAVSKIGEHMSKGSSGGGDGASGGGSQGGDQTPEADFEEVKK >Potri.002G001100.5.v4.1 pep chromosome:Pop_tri_v4:2:86039:89739:1 gene:Potri.002G001100.v4.1 transcript:Potri.002G001100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001100.v4.1 MGSEQNRFPQQQQQEQRKRWGGCWGALSCFSVQKGGKRIVPASRIPEGNASAAQPNGPQPVGLTNQATALAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMYATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFAQFLTSSRDLKGAEKNNYIVASDLQSTYSLYPGSPASSLLSPISRTSGDCLSASFPERGFPREWGPSVSPQNGKYSRSGSGRLFGHETTGASMVSHDSNFFCPATFARFYLDHDPNTGGRLSVSKDSDVYPASGNGHQNRHNKSPKQDAEELEAYRASFGFSADEIITTPQYVEISDVMEDTFSMTPFTSAKPTMEESMEASLLNEGQKANANLPKQNSLKLKSDLADRVVCCEVPVTSDRYEDPKSRWQPGNVSGSSTPSNHVVTDDDIFSKMASSKTSRKYHLGLSSSDAEIDYRRGRSLREGKGDFAWHD >Potri.002G001100.3.v4.1 pep chromosome:Pop_tri_v4:2:85548:89800:1 gene:Potri.002G001100.v4.1 transcript:Potri.002G001100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001100.v4.1 MGSEQNRFPQQQQQEQRKRWGGCWGALSCFSVQKGGKRIVPASRIPEGNASAAQPNGPQPVGLTNQATALAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMYATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFAQFLTSSRDLKGAEKNNYIVASDLQSTYSLYPGSPASSLLSPISRTSGDCLSASFPERGFPREWGPSVSPQNGKYSRSGSGRLFGHETTGASMVSHDSNFFCPATFARFYLDHDPNTGGRLSVSKDSDVYPASGNGHQNRHNKSPKQDAEELEAYRASFGFSADEIITTPQYVEISDVMEDTFSMTPFTSAKPTMEESMEASLLNEGQKANANLPKQNSLKLKSDLADRVVCCEVPVTSDRYEVNSDPKSRWQPGNVSGSSTPSNHVVTDDDIFSKMASSKTSRKYHLGLSSSDAEIDYRRGRSLREGKGDFAWHD >Potri.002G001100.6.v4.1 pep chromosome:Pop_tri_v4:2:86339:90317:1 gene:Potri.002G001100.v4.1 transcript:Potri.002G001100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G001100.v4.1 MSNLSSTYNCFAMLSYVEQRKRWGGCWGALSCFSVQKGGKRIVPASRIPEGNASAAQPNGPQPVGLTNQATALAPSLLAPPSSPASFTNSALPSTAQSPSCFLSLSANSPGGPSSTMYATGPYAHETQLVSPPVFSTFTTEPSTAPLTPPPELAHLTTPSSPDVPFAQFLTSSRDLKGAEKNNYIVASDLQSTYSLYPGSPASSLLSPISRTSGDCLSASFPERGFPREWGPSVSPQNGKYSRSGSGRLFGHETTGASMVSHDSNFFCPATFARFYLDHDPNTGGRLSVSKDSDVYPASGNGHQNRHNKSPKQDAEELEAYRASFGFSADEIITTPQYVEISDVMEDTFSMTPFTSAKPTMEESMEASLLNEGQKANANLPKQNSLKLKSDLADRVVCCEVPVTSDRYEVNSDPKSRWQPGNVSGSSTPSNHVVTDDDIFSKMASSKTSRKYHLGLSSSDAEIDYRRGRSLREGKGDFAWHD >Potri.004G080900.2.v4.1 pep chromosome:Pop_tri_v4:4:6674072:6680504:1 gene:Potri.004G080900.v4.1 transcript:Potri.004G080900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G080900.v4.1 MGEESLTTVPFDRTVEQAILAMKKGAQLLKCGRRGKPKFCPFRLSTDEKYLIWYSGQEEKQLRLSLVTTIVPGQRTRQLQPDKENQSFSLIYTNGDHSSSLDLICKDNAQADSWFIGLRAVISKCHHSRPFCALKNHRVAQSCVNSPAGFIRRKHNLGILEDDTELSQVHSLYGSPMQSISDRGFSDGLSLSSDSLCFSEASLPLLQTVEDFLIPHTPNAEPQNIKKNGSDNGFAEFQKNMCHRIIGSNHRSQRTEKNDVLKDVLIWGEGAGGGNIGCVSNHFGYHSTLQVDSLLPKLLEPTVMLDVRNISLGRNHAALITKRGEVFCWGEGSRGKLGHKVDMDVSSPTIVESLDGFLVKFVACGEYQTCALTDSGELFVWGENKYGGNLVCEERTGSQWLPRQISGPLAGVSISNVACGDWHTAAVSSSGQLFTYGDGTFGVLGHGNLQSVSHPKEVESLKGLWVKSVACGSWHTAAIVDVIFDRFKFNGVGGKLFTWGDGDKGRLGHADLEKKLQPTCVAQLVEHDFVQVSCGRMLTVALTRTGKIYTMGSSVHGQLGNPQAKNKSIAIVEGKLKEEFVKEISSGSYHVAALTSGGSVYTWGKGTNGQLGLGNVEDRNVPTLVEALRDRQVQSIACGSNLTAAICLHKSISVSDQSACKGCRMPFGFTRKKHNCYNCGLLFCRACSSKKLINASLAPNKSKLCRVCDSCFNSLHNITHPGGGSRKLLSQQKALSDEKEERGGATPPGHRLQLMSRPSLESQPGERKTSRNQGEKQQHLETVPSISAGLPRWGQVSCPAIFESCYRKDSVHPLEPISSSSSSLNLEEDKHESNNILVEEVERLRAQAKGLEMQCQTGSQKIQECQQTIEKTWLLAREEAAKRKAANGIIKALVLRLHAMSDKVSVRKAAEDGGDPYQPQTRPDYTDTPTVFASTHSPLRVRLPKDCNVESLSSSPIVFSNSLKSLDGRELCHENSMPGEDLHDSTTDPRRKGTNASKLEWVEQYEPGVYITFTVSPGGEKGLKRVRFSRKRFAEKEAGRWWEENEAMVYQHYGIEGYNKSNQNQEKS >Potri.003G184701.1.v4.1 pep chromosome:Pop_tri_v4:3:18926206:18930760:1 gene:Potri.003G184701.v4.1 transcript:Potri.003G184701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184701.v4.1 MADQSKKEKEKEKGNLSKSISAPQAFLIHLISGLGLAISLWVAHNFYSINLVSHPSITLRLIWIVESAIVILIYSRFRIDPQQCSYLKAVVRGILALPVGALVNALGAIALGAPVGIQYLPKTINWSLLMSSFTVAPAASVFGSSWTYWQRIFAETKPNEPLEYMICIPAHGAVIGGWFGAWPMPLDWERPWQEWPICVTYGAMTGYLVGMLASSGFVLANGRRQRLKED >Potri.006G130300.1.v4.1 pep chromosome:Pop_tri_v4:6:10621472:10624002:-1 gene:Potri.006G130300.v4.1 transcript:Potri.006G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G130300.v4.1 MADNSKPSFDRNNNDGEEASPLLNKNLEEQNDKKPTKVSPDAKTATASPGSASPEYGWAVNGLPLSHGSVVGEPMGRNQWDSSLFACLGRNDEFCSSDLEVCLLGSTVPCMLYGSNVERLGSAPGTFASHCLSYCGLYLIGTSFFGRNCIAPWFSYSSRTAIRRKFNLEGSCEALDRSCGCCGSFVEDDLQREQCETACDFATHVFCHPLALCQEGREIRRWVPHPGFNAQPVLVMIPPGEQSMGRGA >Potri.003G085800.1.v4.1 pep chromosome:Pop_tri_v4:3:11224928:11227421:-1 gene:Potri.003G085800.v4.1 transcript:Potri.003G085800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085800.v4.1 MAVQAQYPSNILLLNRNGQEGQDYSLQPQPGGYLDQSSMLLNNGGGNNQRKRGRAAPTGTTATTTTINQFCMQPQPQPLSTTQLIDLSQLHNHRHHQPQPNPNVVSTGLRLSFGDQQQQNHHYQQQNFGTGACQSSALLSLSSEDFSIQIKRQRDEIDQFLQAQGEQLRRTLAEKRQRHYRALLGAAEESIARRLREKEMEIEKATRRNAELEARATQLSIDAQVWQAKVRTQEVTAASLQAQLQQAIMNGGLAQDSRRGDDGIGCPGGVEGQTQAEDAESAYVDPDRVTVVPGGPSCKACRKRMASVVLLPCRHLCVCTECDQVVPACPLCLHVRNSSVEVFLC >Potri.003G085800.2.v4.1 pep chromosome:Pop_tri_v4:3:11224946:11227411:-1 gene:Potri.003G085800.v4.1 transcript:Potri.003G085800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085800.v4.1 MLLNNGGGNNQRKRGRAAPTGTTATTTTINQFCMQPQPQPLSTTQLIDLSQLHNHRHHQPQPNPNVVSTGLRLSFGDQQQQNHHYQQQNFGTGACQSSALLSLSSEDFSIQIKRQRDEIDQFLQAQGEQLRRTLAEKRQRHYRALLGAAEESIARRLREKEMEIEKATRRNAELEARATQLSIDAQVWQAKVRTQEVTAASLQAQLQQAIMNGGLAQDSRRGDDGIGCPGGVEGQTQAEDAESAYVDPDRVTVVPGGPSCKACRKRMASVVLLPCRHLCVCTECDQVVPACPLCLHVRNSSVEVFLC >Potri.018G094500.1.v4.1 pep chromosome:Pop_tri_v4:18:11473361:11476648:-1 gene:Potri.018G094500.v4.1 transcript:Potri.018G094500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094500.v4.1 MALEIPKIEAILRGIAILLLVSTACLVGLDSQTKFVIVYEKEVTYKDLHALVVLVYVDAVAAAYNLLQLCRCSVSALSKGNFKGSYRYLSWACFVLDQLAAYTTFAAHSAALQHSVLGITGAKVFQWMKWCNRFTRFCFQIGGALTCGYIASVLMVMISFISAFNLFRLYSPKHFLRLKGT >Potri.011G147100.1.v4.1 pep chromosome:Pop_tri_v4:11:17521171:17523225:-1 gene:Potri.011G147100.v4.1 transcript:Potri.011G147100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G147100.v4.1 MGCFLRLKFGHKRDTKKIDAAAAAASNGTGDLDPKEEKDFDGTNGCARKYSWSEIERLSMNFSQIVGSGGFSTVYLAHLPGSSIGAIKIHCPSDYLNRVFKQELDILLQLQHDNIVKLLGYCDNQDEGALVFEYVSNGTLQDKLHGAGREIRESSRSTVLSWRKRMAIAYQLAQALEYLHEKCPLQIVHGDIKPSNILLDEQLNCKLCDFGFAKMGFSSTVMSSNNRKQVMMGSPGYTDPHYLRTGIASKKNDVYSYGVIILELVTGMEAFCEERGQDQLLTSMIGPILKSIIASGDECNPTKVAEMVDPKLGGDFEVKEVMAMISLAALCLGQSPSLRPSAAQILHTIKENVASISLLCTQQKDLPNKC >Potri.006G062800.1.v4.1 pep chromosome:Pop_tri_v4:6:4473846:4480559:-1 gene:Potri.006G062800.v4.1 transcript:Potri.006G062800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062800.v4.1 MSSSRSGGSGSRTRVGRYELGRTLGEGTFAKVKFARNVETGENVAIKILDKEKVLKHKMIGQIKREISTMKLIRHPNVVRMYEVMASKTKIYIVLEFVTGGELFDKIASKGRLKEDEARKYFQQLINAVDYCHSRGVYHRDLKPENLLLDASGFLKVSDFGLSALPQQVREDGLLHTTCGTPNYVAPEVINNKGYDGAKADLWSCGVILFVLMAGYLPFEESNLMALYKKIFKADFTCPPWFSSSAKKLIKRILDPNPSTRITISELIENEWFKKGYKPPTFEKANVSLDDVDSIFNESMDSQNLVVERREEGFIGPMAPVTMNAFELISTSQGLNLSSLFEKQMGLVKRETRFTSKHSASEIISKIEAAAAPLGFDVKKNNFKMKLQGEKDGRKGRLSVSTEVFEVAPSLYMVEVRKSDGDTLEFHKFYKNLSTGLKDIVWKTIDEEEEEEAATNGVARVTAR >Potri.008G119200.1.v4.1 pep chromosome:Pop_tri_v4:8:7662353:7663721:-1 gene:Potri.008G119200.v4.1 transcript:Potri.008G119200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119200.v4.1 MSFSNYIPAKTCCMVLRINLDCNACCKKARRIILNMKEVETHMIEKQQCRISVCGIFRPSDVAIKLRKKMNRRVEILEIQEFGGGNEQEEQSANVDG >Potri.002G054800.1.v4.1 pep chromosome:Pop_tri_v4:2:3716891:3719568:-1 gene:Potri.002G054800.v4.1 transcript:Potri.002G054800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054800.v4.1 MKLVRFLMKLNNETVSIELKNGTVVHGTITGVDISMNTHLKTVKLTVKGKNPVTLDHLSVRGNNIRYYILPDSLNLETLLVEETPRVKPKKPTAGRALGGRGRGRGRGRGRGRGR >Potri.002G208251.1.v4.1 pep chromosome:Pop_tri_v4:2:17446054:17454197:1 gene:Potri.002G208251.v4.1 transcript:Potri.002G208251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G208251.v4.1 MKRNTLTKRSYRKSNRRRESSSTAKMKRPLVSHLQQGQHHQIQSSSSTSAASSSRFQNLLDSELTDPQLVAEDVDERDFILSQDFFCTPDYITPDNQNLLCSSDCNKENIQCPKSPEKVNTAKIKKLRQVHPLSPTLSDQQQIVDIGKDNINSEEMMIEKTSTAEIKKPQNYVSQSAVYLRCRVMPPPCIKNPYLMDASEVGIDPFGNQRSKCAEFLPAFVHGDGLSRYHIDFHEIQQIGTGNFSCAFKVLKRIDGCFYAVKHSTRQLHQEPERRKALMEVQALAALGCHENIVGYYSSWFENEQLYIQMELCDCSLSVNRSSKSLTEGEALQVLFQIAKALRFIHERGIAHLDVKPDNIYVKNGVYKLGDFGCATLLDQSLPVEEGDARYMPQEILNENYNYLDKVDIFSLGAAIYELIRGSTLPQSGYQFMNLREGKLPLLPGHSLQLQNLLKVMVDPDPIRRPSAKELVENPIFDKVQRNLKSQAKA >Potri.010G197400.1.v4.1 pep chromosome:Pop_tri_v4:10:19073290:19077905:1 gene:Potri.010G197400.v4.1 transcript:Potri.010G197400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197400.v4.1 MQTEARVGVVVEGGPRALNSQPKQHKPLQQQHQQSQIGTVSQLVAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIIREEGFRAFWKGNLVTIAHRLPYSSVNFYAYERYKELLHMIPGLESNRENMGRDLLVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVIYYRGIWHALQTITREESVFGLYKGLGATLLGVGPSIAISFSVYESLRSFWQLHRPHDATVAVSLACGSLSGIASSSATFPLDLVRRRKQLEGAGGRAPVYTTGLLGIFKQIIQTEGFRGLYRGIMPEYYKVVPGVGICFMTYETLKLLLADVTPKL >Potri.010G197400.7.v4.1 pep chromosome:Pop_tri_v4:10:19073515:19078567:1 gene:Potri.010G197400.v4.1 transcript:Potri.010G197400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197400.v4.1 MQTEARVGVVVEGGPRALNSQPKQHKPLQQQHQQSQIGTVSQLVAGGVAGALSKTCTAPLARLTILFQVQGMHSDVATLRKASIWHEASRIIREEGFRAFWKGNLVTIAHRLPYSSVNFYAYERYKELLHMIPGLESNRENMGRDLLVHFVGGGLAGITAASATYPLDLVRTRLAAQTNVIYYRGIWHALQTITREESVFGLYKGLGATLLGVGPSIAISFSVYESLRSFWQLHRPHDATVAVSLACGSLSGIASSSGE >Potri.004G134700.2.v4.1 pep chromosome:Pop_tri_v4:4:15618655:15623187:1 gene:Potri.004G134700.v4.1 transcript:Potri.004G134700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134700.v4.1 MDLEGWSEHPVCSKRYHFIIKGDGNSIGGYHKPCTCCGDILHLSESRCKACDHVITADDVEEWVYHQLEDTTHLLHGVIHANGYGHLLRVNGKEGGSRVLSGCHIMDFWDRLCKSLGVRKVSVMDVSKKYGIEYRLLHAITKGHSWYGDWGYEFGAGSFGLTVDAYKPAVETLSSLPVSIFLSEGQKTHTRLQDTIKFYQSLSDHELVNTRDLFCYLTSLIHDAHKSTSGVDDSSCKKCPFYAPGISPSWTRGDIERVEEAMFRVLRAVSGSNWVSWRALRGAVFKVAPPELLDHCLKELGGKFAADGMIVSSRCNPSSGAFEYRLEPGNPSLNSTAAAIGSTVITCPSEENLIQDLRFLYENMLLPQTMLSFGHEVTSDAGIISARKLLDCKQFMKDYNKCETTVASVPNTIFLSCEVEIVDQLEENVPNLPPETVVLPSNATVFDLKREASRAFQDVYLMFRRFHAEELLGYSGVDDSTQVKLLIGSAESVQFRGRCLGKNGLGKFRMERGTEGWTVDCCCGAKDDDGERMLACDVCGVWQHTRCSGIPDSDSVPAKFVCLRCRGSSL >Potri.004G134700.3.v4.1 pep chromosome:Pop_tri_v4:4:15617393:15623106:1 gene:Potri.004G134700.v4.1 transcript:Potri.004G134700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134700.v4.1 MDFWDRLCKSLGVRKVSVMDVSKKYGIEYRLLHAITKGHSWYGDWGYEFGAGSFGLTVDAYKPAVETLSSLPVSIFLSEGQKTHTRLQDTIKFYQSLSDHELVNTRDLFCYLTSLIHDAHKSTSGVDDSSCKKCPFYAPGISPSWTRGDIERVEEAMFRVLRAVSGSNWVSWRALRGAVFKVAPPELLDHCLKELGGKFAADGMIVSSRCNPSSGAFEYRLEPGNPSLNSTAAAIGSTVITCPSEENLIQDLRFLYENMLLPQTMLSFGHEVTSDAGIISARKLLDCKQFMKDYNKCETTVASVPNTIFLSCEVEIVDQLEENVPNLPPETVVLPSNATVFDLKREASRAFQDVYLMFRRFHAEELLGYSGVDDSTQVKLLIGSAESVQFRGRCLGKNGLGKFRMERGTEGWTVDCCCGAKDDDGERMLACDVCGVWQHTRCSGIPDSDSVPAKFVCLRCRGSSL >Potri.004G134700.1.v4.1 pep chromosome:Pop_tri_v4:4:15617316:15623185:1 gene:Potri.004G134700.v4.1 transcript:Potri.004G134700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134700.v4.1 MVVNGRPIKRMKRRVTADLYNFLTFPASSSSSSSSSSSSSSSLPPPRGPFRSNVRSFLTEHALLPPPSSLFPHLLTWQISFRVGDLVESGGGEAGSAVVSLDVVEEDVARSRTVYCDQCRVVGWSEHPVCSKRYHFIIKGDGNSIGGYHKPCTCCGDILHLSESRCKACDHVITADDVEEWVYHQLEDTTHLLHGVIHANGYGHLLRVNGKEGGSRVLSGCHIMDFWDRLCKSLGVRKVSVMDVSKKYGIEYRLLHAITKGHSWYGDWGYEFGAGSFGLTVDAYKPAVETLSSLPVSIFLSEGQKTHTRLQDTIKFYQSLSDHELVNTRDLFCYLTSLIHDAHKSTSGVDDSSCKKCPFYAPGISPSWTRGDIERVEEAMFRVLRAVSGSNWVSWRALRGAVFKVAPPELLDHCLKELGGKFAADGMIVSSRCNPSSGAFEYRLEPGNPSLNSTAAAIGSTVITCPSEENLIQDLRFLYENMLLPQTMLSFGHEVTSDAGIISARKLLDCKQFMKDYNKCETTVASVPNTIFLSCEVEIVDQLEENVPNLPPETVVLPSNATVFDLKREASRAFQDVYLMFRRFHAEELLGYSGVDDSTQVKLLIGSAESVQFRGRCLGKNGLGKFRMERGTEGWTVDCCCGAKDDDGERMLACDVCGVWQHTRCSGIPDSDSVPAKFVCLRCRGSSL >Potri.006G183200.1.v4.1 pep chromosome:Pop_tri_v4:6:18938811:18943945:-1 gene:Potri.006G183200.v4.1 transcript:Potri.006G183200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G183200.v4.1 MAVQTKSHLLILSLLLVSSLTTTIVALNRQQELDRISALPGQPAVTFSQFSGYVTVNEKHGRALFYWLTEATAIPDKKPLVLWLNGGPGCSSVAYGASEEIGPFRINRTGLSLYMNKYSWNKEANILFLESPAGVGFSYTNTSSNLKDSGDKRTAQDALVFLTRWMSRFPQYKYREFYIAGESYAGHYVPQLAKKIHDYNKAYPHPIINLKGFIVGNAVTDNYYDSIGTVAFWWTHSMISDRTYRAILDNCNFTEDTASNQCDDAVTYAMNHEFGDIDQYSIYTPSCMQLPNSTVRLKNTLLRRRVSGYDPCTEKYAEKYYNRPEVQKAMHANVTGIPYKWTACSDVLIKNWKDSESSMLPVYKDLIAAGLRIWVFSGDTDSVVPVTATRFSLSHLNLTVKTRWYPWYSGDQVGGWTEVYKGLTFATVRGAGHEVPLFQPRRAFILFRSFLAGEELPKS >Potri.016G085200.3.v4.1 pep chromosome:Pop_tri_v4:16:6679207:6688160:1 gene:Potri.016G085200.v4.1 transcript:Potri.016G085200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085200.v4.1 MGNRGQKRAEMVDELPADKRACSSLEFRPSSSNSLIQTQINTETHNAEIHDADMDTSSSGSASSHSDEEEPEMDSAHGSCDSEGPRHSSLREYQRQRSSGDHSRLKSCLFNLSERTEPSGQLAALTELCEVLSFCTEDSLSSTMADLLSPVLVRLSRHDSNPDIMLLAIRALTYLCDVFPRASVFLVRHDAIPAICQRLMAIEYLDVAEQCLQALEKITRDQPLPCLQAGAIMAVLSFIDFFSTSVQRVALSTVVNICKKLPSENFSPFMEAVPILCNLLQYEDRQLVENVAICLIKIAERVSQSSEMLDELCKHGLINQATHLVQLNSRTTLSQPVYNGLIGLLVKLSSGSIVAFRTLYELNISSILKDLFATYDLSHGISSPHVIDGQGNQVHEVLKLLNELLPTVARNQDAQQLVLDKEAFLANHPDLLHKFGSDIIPSLIQVVNSGANLYVCYGCLYVINKLVYLSKSDMLLELLKNTNFSSFLAGVLTRKDHHVLMLALQITETILQKLPDVFVNSFIKEGVFFAIDGLLVPEKCSQLIFPACNGIHLPLNSNQKSSSKVVMRCLCYAFDTGQSLSASETGTCKLEKDTVENLGKHIRISYFALESCDSEKGLTDILQKLRALSAELSDLMNMSVKIGSCTQDEEKCYSILCQIMEKLDGREPVSTFEFIESGIVKILVNYLFNGKYLREKVEPQSTFDDFYVVEKRFEVFARLLSSSDLSEESPLSALIQKLQGALSSSENFPVILSHASKYRSSFAIIPNGRRTSYPCLRVRFVRGEGETCLCNYSEDPVTVDPLSSVNTIEGFLSPKVRIKGTEQIESAAQALEPAENVQFKSPSTANPSEGESSGLMEPDSMAFDLLVMQDNEANLSQPPPELDVNLIQRNPDETLSNDTHIVSVEDIVQSPSCADDSTKSHCPTSCSNGDAMPKLVFYLEGQQLDRTLTLYQAILQQKVKADHEINSTAKLWTQVHTLTYRIAVDTRDDNTQDCPSMAQNSSILDQAVAFMQHPAFFSSMFNCELPSDLDKSSPTNDILFLLKSLEGLNRFIFHLMSHERIHAFAEGLIDNLDNLRVAARPVAQNEFVSSKLTEKLEQQMRDSLAVSMGGMPVWCNQLMNSCSFLFSFETRCKYFQLSAFGCQQIQIQPSSHNNSGVLRDRLPSAGSLSRKKFIVLRDQVLESAAQMMDRYAHLKVPIEVVYNEEVGTGLGPTLEFYTLVSKEFQKSGIGMWREDHISFPTIENLQAEYSGIVKSPFGLFPRPWSPTVDASDGVQFSEVIKKFFLLGQIVAKALQDGRVLDLPFAKVFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRKKNMGLVIVENSSSTQDACFWNTRIEDLCLDFTLPGYSDYILSFDEDHKIVNMDNLEVYVSHIVDATIHTGISRQVEAFKSGFNQVFPIKHLMIFTEEELERLLCGERDFWAFNELLDHIKFDHGYTASSPPIVNLLEIIKEFEYEQRRSFLQFVTGAPRLPTGGLASLNPKLTIVRKHCSNCEDVDLPSVMTCANYLKLPPYSSKDKMKEKLLYAITEGQGSFHLS >Potri.016G085200.4.v4.1 pep chromosome:Pop_tri_v4:16:6679151:6688202:1 gene:Potri.016G085200.v4.1 transcript:Potri.016G085200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G085200.v4.1 MGNRGQKRAEMVDELPADKRACSSLEFRPSSSNSLIQTQINTETHNAEIHDADMDTSSSGSASSHSDEEEPEMDSAHGSCDSEGPRHSSLREYQRQRSSGDHSRLKSCLFNLSERTEPSGQLAALTELCEVLSFCTEDSLSSTMADLLSPVLVRLSRHDSNPDIMLLAIRALTYLCDVFPRASVFLVRHDAIPAICQRLMAIEYLDVAEQCLQALEKITRDQPLPCLQAGAIMAVLSFIDFFSTSVQRVALSTVVNICKKLPSENFSPFMEAVPILCNLLQYEDRQLVENVAICLIKIAERVSQSSEMLDELCKHGLINQATHLVQLNSRTTLSQPVYNGLIGLLVKLSSGSIVAFRTLYELNISSILKDLFATYDLSHGISSPHVIDGQGNQVHEVLKLLNELLPTVARNQDAQQLVLDKEAFLANHPDLLHKFGSDIIPSLIQVVNSGANLYVCYGCLYVINKLVYLSKSDMLLELLKNTNFSSFLAGVLTRKDHHVLMLALQITETILQKLPDVFVNSFIKEGVFFAIDGLLVPEKCSQLIFPACNGIHLPLNSNQKSSSKVVMRCLCYAFDTGQSLSASETGTCKLEKDTVENLGKHIRISYFALESCDSEKGLTDILQKLRALSAELSDLMNMSVKIGSCTQDEEKCYSILCQIMEKLDGREPVSTFEFIESGIVKILVNYLFNGKYLREKVEPQSTFDDFYVVEKRFEVFARLLSSSDLSEESPLSALIQKLQGALSSSENFPVILSHASKYRSSFAIIPNGRRTSYPCLRVRFVRGEGETCLCNYSEDPVTVDPLSSVNTIEGFLSPKVRIKGTEQIESAAQALEPAENVQFKSPSTANPSEGESSGLMEPDSMAFDLLVMQDNEANLSQPPPELDVNLIQRNPDETLSNDTHIVSVEDIVQSPSCADDSTKSHCPTSCSNGDAMPKLVFYLEGQQLDRTLTLYQAILQQKVKADHEINSTAKLWTQVHTLTYRIAVDTRDDNTQDCPSMAQNSSILDQAVAFMQHPAFFSSMFNCELPSDLDKSSPTNDILFLLKSLEGLNRFIFHLMSHERIHAFAEGLIDNLDNLRVAARPVAQNEFVSSKLTEKLEQQMRDSLAVSMGGMPVWCNQLMNSCSFLFSFETRCKYFQLSAFGCQQIQIQPSSHNNSGVLRDRLPSAGSLSRKKFIVLRDQVLESAAQMMDRYAHLKVPIEVVYNEEVGTGLGPTLEFYTLVSKEFQKSGIGMWREDHISFPTIENLQAEYSGIVKSPFGLFPRPWSPTVDASDGVQFSEVIKKFFLLGQIVAKALQDGRVLDLPFAKVFYKLILQQELNLYDIQSFDPELGRTLLEFQALVNRKKNMGLVIVENSSSTQDACFWNTRIEDLCLDFTLPGYSDYILSFDEDHKIVNMDNLEVYVSHIVDATIHTGISRQVEAFKSGFNQVFPIKHLMIFTEEELERLLCGERDFWAFNELLDHIKFDHGYTASSPPIVNLLEIIKEFEYEQRRSFLQFVTGAPRLPTGGLASLNPKLTIVRKHCSNCEDVDLPSVMTCANYLKLPPYSSKDKMKEKLLYAITEGQGSFHLS >Potri.001G444100.5.v4.1 pep chromosome:Pop_tri_v4:1:46973773:46983571:1 gene:Potri.001G444100.v4.1 transcript:Potri.001G444100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G444100.v4.1 MQFERLVTNRRGGHELSLVSLETLQLNLLPDLRCIWKGLVPSNLTTLKVKRCNRLTHVFTDSMIASLVQLKFLEISNCEELEQIIAKDNDDEKNQIFSGSDLQSPCFPNLCRLEITGCNKLKSLFPVAMASGLKKLQILKVRESSQLLGVFGQGDHASPVNVEKEVVLPHLLQLILEELPSIVYFSHGCYDFIFPRLWNLEVRQCPKLTTRFATTSNGSMSAQSEVSQVAEGSSTGCSVPTSSCRTWTSYNGWEEEKEEEDGVR >Potri.012G068300.1.v4.1 pep chromosome:Pop_tri_v4:12:8916820:8918578:-1 gene:Potri.012G068300.v4.1 transcript:Potri.012G068300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G068300.v4.1 MGLDNFTAQKRASGGGAAGGLPTTTANGRARTMFSQGRQINKTFNNVKITILCGFVTILVLRGTIGIGNLGSSDADAVNKNLIEETNRVLKEIRSDSDPDDPADLEINPNATYTLGPKISNWDQERKVWLSQNPEFPNFVNGKPRILLLTGSPPNPCDNSIGDHYLLKGIKNKIDYCRIHGIEIVYNMAHLDKELAGYWAKLPMIRRLMLSHPEIEWIWWLDSDAMFTDMVFQIPLSKYDKHNLVIHGYPDLLFDQKSWIALNTGSFLFRNCQWSLDLLDAWAPMGPKGPIREEAGKILTANLKGRPAFEADDQSALIYLLLSQKDQWMDKVYIENQYYLHGYWAGLVDRYEEMIEKYHPGLGDERWPFVTHFVGCKPCGSYGDYPVERCLRSMERAFNFADNQVLNLYGFGHRGLLSPKIKRIRNETVTPLEYVDQFDIRRPVHGNSGSRS >Potri.003G059500.1.v4.1 pep chromosome:Pop_tri_v4:3:8630678:8635884:-1 gene:Potri.003G059500.v4.1 transcript:Potri.003G059500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059500.v4.1 MDKKKVAVPLVCHGHSRPVVDLFYSPVTPDGFFLISASKDSSPMLRNGETGDWIGTFEGHKGAVWSCCLDTSALRAASGSADFSAKLWDALTGDELHSFEHKHIVRACTFSEDTHLLLTGGVEKILRIFDLNRPDAPPREVDNSPGSIRTVAWLHSDQTILSSCTDIGGVRLWDVRSGKIVQTLETKSPVTSAEVSRDGRYITTADGSTVKFWDANHFGLVKSYDMPCNVESASLEPKLGNKFVAGGEDMWIHVFDFHTGEQIGCNKGHHGPVHCLRFSPGGESYASGSEDGTIRIWQLGPAIHDENDSVPGNGPTGKVEVSADDVAQKIEGFHISGEGKTTEKENATDA >Potri.008G161600.1.v4.1 pep chromosome:Pop_tri_v4:8:11117761:11119846:-1 gene:Potri.008G161600.v4.1 transcript:Potri.008G161600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161600.v4.1 MEIPSSTSIPSKMKAWVYGEYGNVSNVLKLDSNVTVPQVKEDQVLIKVVAASINPVDAKRMLGMFKVSDSPVPTVPGYDVAGVVVKVGSQVKRLKVGDEVYGDINEKALDHPKRFGSLAEYTAVEENLVALKPKNLSFAEAASLPLVIETAHEGLERTGFSAGKSILVLGGAGGVGTQIIQLAKHVFGASTVAATSSTSKLELLKSLGADLAIDYTKENFEDLPEKFDVVYDAVGQCDRAVKAVKEDGSVVTIVGPITPPALIFVLTSNGSVLDKLKPYLESGKVKPVLDPKGPFPFSQTAEAFSYLETSRAVGKVVIYPIP >Potri.001G418600.2.v4.1 pep chromosome:Pop_tri_v4:1:44673272:44675102:1 gene:Potri.001G418600.v4.1 transcript:Potri.001G418600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G418600.v4.1 MSDLDVQTPTAFDPFADANAEDSGAGAKEYVHIRTQQRNGRKSLTTVQGLKKEFSYNKILKDLKKEFCCNGTVVQDLELGQVIQLQGDQRKNVSTFLVQAGIVKKENIKIHGF >Potri.014G039200.3.v4.1 pep chromosome:Pop_tri_v4:14:2539672:2543408:1 gene:Potri.014G039200.v4.1 transcript:Potri.014G039200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039200.v4.1 MASGSHSQGVEEGVTDKSIKEKVWSLKSAFMSQHFAEIEGLLLAMKEETEIEKKSLKKEKESMEEKARSERSERLKAEIELKECKRECLQLKKEKGGFNELLRISGEDKRIIRELREEICELKCAKLKVETEVDAYKSKFQELEMRVFLLEKDLMLLIPEEPVNNVRVSRGVVEEKVILNENVVTVKTEVVCSDSNDTIAVEANGDPRSHSPESRNGDVGGPGSVSGEGEGLGERAMMENGNGSDRVGNVKAETIAVDNHENMVLGASGGSGSNLPENGDGNIGASGGWTRQSPDIIEINDSDDDSSSSATLSRKKLTKQGYQHEADLGLEDVNNETILLKRKRNSPSNSKDDSGGIKEPRATKCQVLIQGPESAPVNYCAATTMFSGSDDRRNIFNQSRQSPAILRQCGEKITVGQNSQIQRELVLDGSDGEQSSGSSDSDDFDFPTDFSTTQANRIHKKWKSEADMVAALEQDVELRLRAVCALFGQQAAVQKSSNFASTFQNQGFDEVDAARYGKLCTLFCLYVPLGLYD >Potri.014G039200.1.v4.1 pep chromosome:Pop_tri_v4:14:2539557:2543409:1 gene:Potri.014G039200.v4.1 transcript:Potri.014G039200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G039200.v4.1 MASGSHSQGVEEGVTDKSIKEKVWSLKSAFMSQHFAEIEGLLLAMKEETEIEKKSLKKEKESMEEKARSERSERLKAEIELKECKRECLQLKKEKGGFNELLRISGEDKRIIRELREEICELKCAKLKVETEVDAYKSKFQELEMRVFLLEKDLMLLIPEEPVNNVRVSRGVVEEKVILNENVVTVKTEVVCSDSNDTIAVEANGDPRSHSPESRNGDVGGPGSVSGEGEGLGERAMMENGNGSDRVGNVKAETIAVDNHENMVLGASGGSGSNLPENGDGNIGASGGWTRQSPDIIEINDSDDDSSSSATLSRKKLTKQGYQHEADLGLEDVNNETILLKRKRNSPSNSKDDSGGIKEPRATKCQVLIQGPESAPVNYCAATTMFSGSDDRRNIFNQSRQSPAILRQCGEKITVGQNSQIQRELVLDGSDGEQSSGSSDSDDFDFPTDFSTTQANRIHKKWKSEADMVAALEQDVELRLRAVCALFGQQAAVQKSSNFASTFQNQGFDEVDAARGTALVEFLTNGDPQGKLKKSTLELAADDPGGFRDCKRLAIKYSKQLFEMWQVKGDPLFFK >Potri.004G197100.1.v4.1 pep chromosome:Pop_tri_v4:4:20907331:20909133:-1 gene:Potri.004G197100.v4.1 transcript:Potri.004G197100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G197100.v4.1 MATLIRLFPPAPEAKTFLLNHKPTPLFSSRKPQTTPSRKSHHGIQSSKFGSFLDLKPLSKPESLDFDLSWFDPADRHRCFDVIVIGTGPAGLRLAEQVSRYGVKVCCVDPSPLSMWPNNYGVWVDEFESLGLVDCLDKTWPMTCVYIDDDKTKYLDRPYARVGRKEFKTKLLENCASNGVRFHKAKVWKVKHEEFESSIVCDDGIELRASLVVDASGFASTFTEYDKPRNHGYQIAHGILAEVDYHPFDLDKMVLMDWRDSHLGNEPCLRANNSKLPTFLYAMPFDSNLVFLEETSLVSKPLLSYMEVKNRMVARLRHLGIRVKNVIEEEKCLIPMGGPLPRIPQSVMAIGGTSGVVHPSTGYMVARTMALAPIVAGAIVECLGSTRMIRGRPLHHRVWNGLWPLERRCTREFYSFGMETLLKLDLKGTRRFFDAFFDLDPYYWQGFLSSSLSLGELLLLSFSLFRNASNPSRFDIVTKCPVPLARMVGNLAHEAI >Potri.013G062200.2.v4.1 pep chromosome:Pop_tri_v4:13:4592832:4594107:-1 gene:Potri.013G062200.v4.1 transcript:Potri.013G062200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062200.v4.1 MAGIMHKIEDTLNIGGKKDERKGETQGGYNQQDHRGGAQGQRKEGFVGQMQDKILGGGGGGGGGMTQGGYNQQEHRGGAQGQRKEGFVGQMKDKIPGAGGGGGGGGGMTQGGYNQQEHRGGAQGQRKEGFVDKIKGKIPGGGGASGVGGMTQGGYNQQDHRGGAQGVRKEGFVDKIKGKIPGGGGASGVGGMTQGGYNQQDHRGGAQGVRKEGFVDKIKGKIPGGGGASGVRGVGGEK >Potri.013G062200.1.v4.1 pep chromosome:Pop_tri_v4:13:4592826:4594435:-1 gene:Potri.013G062200.v4.1 transcript:Potri.013G062200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G062200.v4.1 MAGIMHKIEDTLNIGGKKDERKGETQGGYNQQDHRGGAQGQRKEGFVGQMQDKILGGGGGGGGGMTQGGYNQQEHRGGAQGQRKEGFVGQMKDKIPGAGGGGGGGGGMTQGGYNQQEHRGGAQGQRKEGFVDKIKGKIPGGGGASGVGGMTQGGYNQQDHRGGAQGVRKEGFVDKIKGKIPGGGGASGVGGMTQGGYNQQDHRGGAQGVRKEGFVDKIKGKIPGGGGASGVRGVGGEK >Potri.014G109400.1.v4.1 pep chromosome:Pop_tri_v4:14:7321665:7326688:-1 gene:Potri.014G109400.v4.1 transcript:Potri.014G109400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109400.v4.1 MGSILRDWQGFHQFPAATQSKLVELFAKLKEEGVNKMTILVMGKGGVGKSSTVNSLLGERVVNVSSFQSEGFRPVMVSRDRAGFTLNIIDTPGLVEGGYVNYQALELIKRFLLNKTINVLLYVDRLDAYRVDDLDKQIISAITDSFGKEIWNKSLLVLTHAQLCPPDDLSYDVFCGRRSEAVLKTIRMGAQIRKRDFEDSAVPVGLVENSGRCNKNENDEKILPNGDAWIPSLVKEIVGVATNGKKSLLVDEKLVNGSESNDRGKIFIPLILGVQWLLVKWIQREIKKDIVKGGKYI >Potri.018G014700.1.v4.1 pep chromosome:Pop_tri_v4:18:999800:1003251:-1 gene:Potri.018G014700.v4.1 transcript:Potri.018G014700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014700.v4.1 MATPICSISLLSVFLLLFSLEKGYAVEENEATKSYLHIIKVNSLLPTTACNHSSKVSNSLSLEVVHRHGPCIGIVNQEKGADAPSNMEIFLRDQNRVDSIHARLSSRGMFPEKQATTLPVQSGASIGAGDYVVTVGLGTPKKEFTLIFDTGSDITWTQCEPCVKTCYKQKEPRLNPSTSTSYKNISCSSALCKLVASEGSQSCSSSTCLYQVQYGDGSYSIGFFATETLTLSSSNVFKNFLFGCGQQNNGLFGGAAGLLGLGRTKLALPSQTAKTYKKLFSYCLPASSSSKGYLSLGGQVSKSVKFTPLSADFDSTPFYGLDITGLSVGGRQLSIDESAFSAGTVIDSGTVITRLSPTAYSELSSAFQNLMTDYPSTSGYSIFDTCYDFSKYDTVRIPKVGVTFKGGVEMDIDVSGILYPVNGLKKVCLAFAGNDDDSDTSIFGNVQQRTYQVVYDGAKGRVGFAPGGCS >Potri.018G014700.2.v4.1 pep chromosome:Pop_tri_v4:18:999697:1003250:-1 gene:Potri.018G014700.v4.1 transcript:Potri.018G014700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014700.v4.1 MATPICSISLLSVFLLLFSLEKGYAVEENEATKSYLHIIKVNSLLPTTACNHSSKVSNSLSLEVVHRHGPCIGIVNQEKGADAPSNMEIFLRDQNRVDSIHARLSSRGMFPEKQATTLPVQSGASIGAGDYVVTVGLGTPKKEFTLIFDTGSDITWTQCEPCVKTCYKQKEPRLNPSTSTSYKNISCSSALCKLVASGSQSCSSSTCLYQVQYGDGSYSIGFFATETLTLSSSNVFKNFLFGCGQQNNGLFGGAAGLLGLGRTKLALPSQTAKTYKKLFSYCLPASSSSKGYLSLGGQVSKSVKFTPLSADFDSTPFYGLDITGLSVGGRQLSIDESAFSAGTVIDSGTVITRLSPTAYSELSSAFQNLMTDYPSTSGYSIFDTCYDFSKYDTVRIPKVGVTFKGGVEMDIDVSGILYPVNGLKKVCLAFAGNDDDSDTSIFGNVQQRTYQVVYDGAKGRVGFAPGGCS >Potri.009G051300.1.v4.1 pep chromosome:Pop_tri_v4:9:5627580:5634614:1 gene:Potri.009G051300.v4.1 transcript:Potri.009G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G051300.v4.1 MEIEQVVISSGGDGGSGGGNAEAGLSGGRGDHGVGSMFLVWEDLTVVLPNFGNGPTRRLLNGLNGYAEPGKILAIMGPSGSGKSTLLDALAGRLAGNAVMTGNVLLNGKKRRLDYGGVAYVTQENTLLGTLTVRETLNYSAHLRLPSSMAKEEIDDIVEGTIMEMGLQECSDRLIGNWHLRGISGGEKKRLSIALEILIRPQLLFLDEPTSGLDSAAAFFVIQTLRNIARDGRTVISSVHQPSSEVFALFDDLFLLSGGETVYFGEAKMAVEFFAEAGFPCPSRRNPSDHFLRCINSDFDLVTATLMGSHREIQNPSDSLANLPTAEIKASLVKKYRSSNHAANARARIQEIVAIKGLVVNIRKENQANWWKQLSTLTRRSFINMWRDLGYYWVRIIVYILLSICVGTIFLDVGKGYTAILAHGACGGFLSGFMTFMSIGGFPSFIEELKVFYKERLSGYYGVAVYVLSNFLSSFPYLTVMSFGTSSITYYMVKFRPEFSNFLYVFFDLLSSIATVESCMMTIASLVPNYLMGFVIGSAYIGILMMTSGFFRLLPDIPKVFWRYPISYINFGSWGLQGAYKNDMIGLEFDPLVPGGPKLKGEEVLTTVLGISLDHSKWWDLSAVLLILIAFRLLFFAILKFKERTLPMLRELHSKRTLKHLKKRPSFRKTSYSPFPSKRHQPAHSLSSQEGLSSPIPY >Potri.014G060400.1.v4.1 pep chromosome:Pop_tri_v4:14:3881385:3882785:1 gene:Potri.014G060400.v4.1 transcript:Potri.014G060400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060400.v4.1 MSVITYSCYFLLSILCISLHACNARPLADIDKKPEKKFQIISNQTSDQKEISVTIVSKADSSSSNEFGAAKEDSIAKTDQLDDNAQKLKDSRAKQKSMSDEKVKDSGAALKESLVSVSWPVPQKKRGETHPGFNLDYSPPKTHPPSHN >Potri.001G171600.1.v4.1 pep chromosome:Pop_tri_v4:1:14747733:14749210:1 gene:Potri.001G171600.v4.1 transcript:Potri.001G171600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G171600.v4.1 MKDHMGNSLHLKSLNHISLLCRSVVESIDFYQDVLGFVPIRRPGSFNFDGAWLFGFGIGIHLLQSENPEKMPKKSEINPKDNHISFQCESMGAVEKKLKELGIQHVRALVEEGGIQVEQLFFHDPDGFMIEICNCDNLPVIPLAGEVARSCSCLNLQTMQQERPMLQQGRAI >Potri.006G079500.1.v4.1 pep chromosome:Pop_tri_v4:6:5916348:5922168:1 gene:Potri.006G079500.v4.1 transcript:Potri.006G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079500.v4.1 MKEAHSQLKTRGSSRVNAQQVVFELKQKVVVALKKLADRDTCQIGVDELEKMAECLTPDGVSPFLSCILDTDKEQKSAVRKECVRLIGTLVNFHEGLMGPHLSKMVASVVKRLKDPDSVVRDACVETMGILAAKLSNHGDESDGVFVMLVKPLFEALGEQNKQVQSGSALCLARVIDNSHDPPVSILQRMLARTIKLLKNPHFMAKPAAIELNRSIILAGGAPSQNILSAAMTSIQEALKNSDWTTRKAASAALGEIASSGGSCLGPFRASCIRYLESCRFDKVKPVRDAVQHALQYWKSLPGSDTPEPSETGSSIKENFYGGAYSDVTSASDVVRKEATLKNVVSDSTKRRAPLSATKACQNYVDSHHPKTDNWHIEISVPKKHNISLADLQNEESEGSSITKTLERMSADVMSPPDIGCEYVPMDDKQDSSSVSNLVTNNFETKFVTVSHGLLEEGSSFKPRGRNQQFSSEGINSEAQIYSAQMRDRRSIDSAVTENSFQTLHGCCSQVASEMACIRKQLLEMENKQSNLMELLQVFSTGVMDNLSILQSKVSGLEHEVDRIGQVLVQDGRRSDSAISRLMKQNQTVSSPRPSTYTPRPSVDIRNRQSSLLSAKNSDIWEGKNFSRSRPINPAKNGTEMWANPTVKTSRNAIGKDMQKRSGQGAQNMGQARKVDSVFAPLSSANSRQSGPESKNCVWQCVKDFLCEGDLESAYEEALCSIDELVLIELIDRTGPVLESLSSKTAGDVLSILASYFLEQRFTNSIIPWLQQVVDLSTINGPDYIILSAKTKREFFCAIQEAVSMEFSNPAERRSISQLAMKLRQLWGKSS >Potri.019G069200.3.v4.1 pep chromosome:Pop_tri_v4:19:10973103:10978506:-1 gene:Potri.019G069200.v4.1 transcript:Potri.019G069200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069200.v4.1 MAATSSRCNTTSPPFSPTQNNCKWTYHVFLSFRGEDTRKNFTGHLYSGLSRFKLLVFKDDEKLEKGKVIAPELLKAIEQSMFSVIVLSKNYASSSWCLDELAKIIECGDQKGQKIFPVFYDVEPSDVRKQTGSFQDDFAKHEEKYRENIDKVRKWRAAMTQVANLSGWTSKNRNESEIIEEIVQKIDYELSQTFSSVSEDLVGIDSRVRVVSDMLFGGQNDVRIIGICGMGGIGKSTIARVVYDKIRCEFEGSCFLANVREGFEKHGAVPLQKQLLSEILREKSPKIWDPEKGIAEIKNRLQNRKVLVILDDVDNLKQLHFLAVDWKWFLPGSRIIITSRDKNLLSTHAVDGIYEAEELNDDDALVLLSRKAFKKDQPIEGYWELCKSVLGHARGLPLAARVLGSSLCGRSMDFWESFIKRLNEIPNRDVMAVLKLSFDGLEELEKKIFLDIACFFKGMNKDQVTRILNQCGFHANYGIQILQDKSLICVSNDTLSMHDLLQAMGREVVRQESTAEPGRRSRLWASKDVFHVLGKNTGTEEIESIALDWPNPEDVEGTMLKTKRSAWNTGVFSKMSRLRLLRIRNACFDSGPEYLSNELRFLEWRNYPSKSLPSCFQPENLVEVHMCYSNLRQRWLGNKILDSLKVVDLSYSEYLTKTPDFTGIPNLERLILKGCRRLSEVHSSIGHHNKLIYVNLIDCKSLTSLPSRISGLKLLEELHLSGCSKLKEFPEIVGNKKCLRKLCLDQTSIEELPLSIQYLVGLISLSLKDCKKLARLPSSINGLKSLKTLHLSGCSELDNLPENLGQLECLNELDVSGTAIREPPVSIFSLKNLKKLSFHGCAESSRSTTNILQRLMFPLMPRKRATSTTLVLPSLSGLSSLTKLDLSNCNLGEGAVPNDIGYLSSLRHLDLSCNKFVSLPTSIDQLSGLQFLRMEDCKMLQSLPELPSNLEEFRVNGCTSLEKMQFSRKLCQLNYLRYLFINCWRLSESDCWNNMFPTLLRKCFQGPPNLIESFSVIIPGSEIPTWFSHQSEGSSVSVQTPPHSHENDEWLGYAVCASLGYPDFPPNVFRSPMQCFFNGDGNESESIYVRLKPCEILSDHLWFLYFPSRFKRFDRHVRFRFEDNCSQTKVIKCGVRLVYQQDVEELNRMTNLYENSTFEGVDECFQESGGALVKRLGHTNDVGEASGSVSSDEQPPTKKLKQI >Potri.019G069200.1.v4.1 pep chromosome:Pop_tri_v4:19:10973103:10978506:-1 gene:Potri.019G069200.v4.1 transcript:Potri.019G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069200.v4.1 MAATSSRCNTTSPPFSPTQNNCKWTYHVFLSFRGEDTRKNFTGHLYSGLSRFKLLVFKDDEKLEKGKVIAPELLKAIEQSMFSVIVLSKNYASSSWCLDELAKIIECGDQKGQKIFPVFYDVEPSDVRKQTGSFQDDFAKHEEKYRENIDKVRKWRAAMTQVANLSGWTSKNRNESEIIEEIVQKIDYELSQTFSSVSEDLVGIDSRVRVVSDMLFGGQNDVRIIGICGMGGIGKSTIARVVYDKIRCEFEGSCFLANVREGFEKHGAVPLQKQLLSEILREKSPKIWDPEKGIAEIKNRLQNRKVLVILDDVDNLKQLHFLAVDWKWFLPGSRIIITSRDKNLLSTHAVDGIYEAEELNDDDALVLLSRKAFKKDQPIEGYWELCKSVLGHARGLPLAARVLGSSLCGRSMDFWESFIKRLNEIPNRDVMAVLKLSFDGLEELEKKIFLDIACFFKGMNKDQVTRILNQCGFHANYGIQILQDKSLICVSNDTLSMHDLLQAMGREVVRQESTAEPGRRSRLWASKDVFHVLGKNTGTEEIESIALDWPNPEDVEGTMLKTKRSAWNTGVFSKMSRLRLLRIRNACFDSGPEYLSNELRFLEWRNYPSKSLPSCFQPENLVEVHMCYSNLRQRWLGNKQILDSLKVVDLSYSEYLTKTPDFTGIPNLERLILKGCRRLSEVHSSIGHHNKLIYVNLIDCKSLTSLPSRISGLKLLEELHLSGCSKLKEFPEIVGNKKCLRKLCLDQTSIEELPLSIQYLVGLISLSLKDCKKLARLPSSINGLKSLKTLHLSGCSELDNLPENLGQLECLNELDVSGTAIREPPVSIFSLKNLKKLSFHGCAESSRSTTNILQRLMFPLMPRKRATSTTLVLPSLSGLSSLTKLDLSNCNLGEGAVPNDIGYLSSLRHLDLSCNKFVSLPTSIDQLSGLQFLRMEDCKMLQSLPELPSNLEEFRVNGCTSLEKMQFSRKLCQLNYLRYLFINCWRLSESDCWNNMFPTLLRKCFQGPPNLIESFSVIIPGSEIPTWFSHQSEGSSVSVQTPPHSHENDEWLGYAVCASLGYPDFPPNVFRSPMQCFFNGDGNESESIYVRLKPCEILSDHLWFLYFPSRFKRFDRHVRFRFEDNCSQTKVIKCGVRLVYQQDVEELNRMTNLYENSTFEGVDECFQESGGALVKRLGHTNDVGEASGSVSSDEQPPTKKLKQI >Potri.008G020300.1.v4.1 pep chromosome:Pop_tri_v4:8:1008523:1009784:-1 gene:Potri.008G020300.v4.1 transcript:Potri.008G020300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G020300.v4.1 MQGLKQFSSLYMIHQRIILLASLFIIINAADLSLCFLCKASRADHTMTPLENKRTTKSYEDRKNTLKKKTRELAILCDVPVCLICVDPDGTTETWPEEKERVVDVLKAYKANRTVNNVEGEEKVVDKAPRVFETWDPRFDYLPEESLMDVLKILERQSQVVDQVVGKEQTGKKRKIMCDKIKSKTGGDVNDERSQEAGLITRNFLDVVGLNHQTSSYTGNYSDISSFLGKNSGSSSNDSKIPVDLELRL >Potri.018G005700.1.v4.1 pep chromosome:Pop_tri_v4:18:470176:473753:-1 gene:Potri.018G005700.v4.1 transcript:Potri.018G005700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005700.v4.1 MLPHQSSRVDLVELRTQIVKKIGVERSKKYFYYLNRFLSQKLSKSEFDKSCFRLLGRENLPLHNNLIRSILKNACQAKTPPPIYEAGPTKSLLQAPNSSPVREDGHEQSGSLIPNQNTPIWSNGVLPVSPRKIRSGIRDRKQRDRPSPLGPNGKVECISHQSIGTEDSGSKFVVENGESAPCDFQRPVQHLQTVAEQSENEREGSAQRPIEGSRIQSKDQTAFVEDGEEVEHANRLNFSRTPLLAPLGIPFCSASVGGARKTMPVASSGDFVRYYDSGELSDSEMLRKRMEQIAAAQGLGGVTMECANRLNNMLDSYLKRLIKSCVELVGARSLHDPRKHPVHKQQAQKVINRMWASNHAHMQSSSGPVEGMQEQRQHSSISMLDFKVAMELNPQQLGEDWPLLLEKICMHSFED >Potri.018G005700.4.v4.1 pep chromosome:Pop_tri_v4:18:470972:473639:-1 gene:Potri.018G005700.v4.1 transcript:Potri.018G005700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005700.v4.1 MLPHQSSRVDLVELRTQIVKKIGVERSKKYFYYLNRFLSQKLSKSEFDKSCFRLLGRENLPLHNNLIRSILKNACQAKTPPPIYEAGPTKSLLQAPNSSPVREDGHEQSGSLIPNQNTPIWSNGVLPVSPRKIRSGIRDRKQRDRPSPLGPNGKVECISHQSIGTEDSGSKFVVENGESAPCDFQRPVQHLQTVAEQSENEREGSAQRPIEGSRIQSKDQTAFVEDGEEVEHANRLNFSRTPLLAPLGIPFCSASVGGARKTMPVASSGDFVRYYDSGELSDSEMLRKRMEQIAAAQGLGGVTMECANRLNNMLDSYLKRLIKSCVELVGARSLHDPRKHPVHKQQAQKVINRMWASNHAHMQSSSGPVEGMQEQRQHSSISMLDFKVAMELNPQQLGEDWPLLLEKICMHSFED >Potri.008G103700.1.v4.1 pep chromosome:Pop_tri_v4:8:6560681:6561748:1 gene:Potri.008G103700.v4.1 transcript:Potri.008G103700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103700.v4.1 MMKILLALLLAFLLMLASLQADAEVLSTTMEPKVASRHLLSEPSSLGRKGNAGENDAKTPTSNKGNSNTETKTASDDDDDDDETNQGLGGYGGGSSTKTHHHYTNGTRPPKN >Potri.018G104801.1.v4.1 pep chromosome:Pop_tri_v4:18:12427746:12428185:1 gene:Potri.018G104801.v4.1 transcript:Potri.018G104801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104801.v4.1 MEDLMDQTLFALFCFVLTQVYSHESVVTRKSCYLGFMCFVPEFHSDMLVFA >Potri.009G052500.1.v4.1 pep chromosome:Pop_tri_v4:9:5716611:5716928:1 gene:Potri.009G052500.v4.1 transcript:Potri.009G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052500.v4.1 MASITMTASFLTGSAMAKQPSTTPRRGLIVAKASRATEGVNVEMKNREESSGGRRDLMFAAAAAAAYSIARVAIADEEPRRGTPEAKKKYAPICVTMPTARICRN >Potri.010G178800.1.v4.1 pep chromosome:Pop_tri_v4:10:17807025:17814120:-1 gene:Potri.010G178800.v4.1 transcript:Potri.010G178800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G178800.v4.1 MAKKEEFLKEHEYLNEKEVSRSDFPPDFIFGVATSAYQIEGASNEGGRGPSIWDAFAQSKGNILDGSNGDVAVDHYHRYKEDIEIIAKLGFDAYRFSISWSRIFPDGLGTKVNDEGIAFYNNVINALLEKGIQPYVTLYHWDLPLHLQESMEGWLNKEVVKYFAIYADTCFASFGDRVKHWITLNEPLQTAINGYDSGSFAPGRHDQPSTEPYLASHHQILAHAAAVSIYRNKYKDKQGGQIGLVLDCEWAETGSNKTEDKVAASRRLEFQLGWYLNPLYYGDYPEVMREILGEQLPKFTEEDKELLRNPMDFVGLNHYTSRFITHATESPEESYYYKAQLMERRVEFEGGEPIGEKAASEWLYVCPWGLRKVLNYLAQKYNNPTIYVTENGMDDEDIDAPLHEVLDDNLRVRYFKGYLASVAQAIKDGVQVKGYFAWSLLDNFEWAQGYTKRFGLVYVDYKNGLSRHPKSSAYWFMRFLKGVEGKSGKEE >Potri.010G206400.1.v4.1 pep chromosome:Pop_tri_v4:10:19698657:19700638:1 gene:Potri.010G206400.v4.1 transcript:Potri.010G206400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206400.v4.1 MDSGSLAHDELEREMNRSIILSRPPDINLPLSSEPSPPPPLTWNDPCDILDVGLGPQIYETETIVTIPKIAKKCAKRLDSIWGAWFFFSFYFKPVLNEKSKSKINRDSKGVSGFEKSDLQLDAFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGEPPFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLVNLDDEERKRWVELTGRDINFSVPSEASDFGSWRNLPSTEFELERTPAALKSNGNAHPRKLLNGTGLNLSTQSSDHSNGEGLDLSPISNKRKHCNDDEFLHSVDMNTHPVEPTWLNEFSGVMKNVNGPVTAAKTIYEDDKAFLVIVSLPFADLQRVKVTWRNTKLHGIVKISCTSTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNLIPEDAKLEAYRDETGTVLEIIVPKHRVGPEEHEVRVCLRPPPWSE >Potri.010G206400.2.v4.1 pep chromosome:Pop_tri_v4:10:19697688:19700637:1 gene:Potri.010G206400.v4.1 transcript:Potri.010G206400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206400.v4.1 MGEALLTTLSMENYHPSTLLSMDSGSLAHDELEREMNRSIILSRPPDINLPLSSEPSPPPPLTWNDPCDILDVGLGPQIYETETIVTIPKIAKKCAKRLDSIWGAWFFFSFYFKPVLNEKSKSKINRDSKGVSGFEKSDLQLDAFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGEPPFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLVNLDDEERKRWVELTGRDINFSVPSEASDFGSWRNLPSTEFELERTPAALKSNGNAHPRKLLNGTGLNLSTQSSDHSNGEGLDLSPISNKRKHCNDDEFLHSVDMNTHPVEPTWLNEFSGVMKNVNGPVTAAKTIYEDDKAFLVIVSLPFADLQRVKVTWRNTKLHGIVKISCTSTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNLIPEDAKLEAYRDETGTVLEIIVPKHRVGPEEHEVRVCLRPPPWSE >Potri.010G206400.3.v4.1 pep chromosome:Pop_tri_v4:10:19697917:19700611:1 gene:Potri.010G206400.v4.1 transcript:Potri.010G206400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206400.v4.1 MGEALLTTLSMENYHPSTLLSMDSGSLAHDELEREMNRSIILSRPPDINLPLSSEPSPPPPLTWNDPCDILDVGLGPQIYETETIVTIPKIAKKCAKRLDSIWGAWFFFSFYFKPVLNEKSKSKINRDSKGVSGFEKSDLQLDAFLVQHDMENMYMWVFKERPENALGKMQLRSYMNGHSRQGEPPFPFSVDRGFVRSHRMQRKHYRGLSNPQCVHGIEVVRSPNLVNLDDEERKRWVELTGRDINFSVPSEASDFGSWRNLPSTEFELERTPAALKSNGNAHPRKLLNGTGLNLSTQSSDHSNGEGLDLSPISNKRKHCNDDEFLHSVDMNTHPVEPTWLNEFSGVMKNVNGPVTAAKTIYEDDKAFLVIVSLPFADLQRVKVTWRNTKLHGIVKISCTSTACMPFIKRHDRTFKLTDPTPEHCPPGEFIREIPLPNLIPEDAKLEAYRDETGTVLEIIVPKHRVGPEEHEVRVCLRPPPWSE >Potri.006G246000.1.v4.1 pep chromosome:Pop_tri_v4:6:24640744:24642473:1 gene:Potri.006G246000.v4.1 transcript:Potri.006G246000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G246000.v4.1 MESPSYQMPLRDTPTQAPRSRFPSNIVHKVRDNLVFRSKWAELNGAMGDLGTYIPIVLALTLSVDLNLGTTLIFTGIYNILTGAIYGVPMPVQPMKSIAAVAISNSAEFGVPEIMAAGICTGGILFLLGVTGLMQLVYKLIPLSVVRGIQLSQGLSFAMSAVKYIRKVQDFSKSKSDDDRHWLGLDGLVLAIVCSFFIIVVNGAGEEGSEREGDDINLGGRERPRKRGLRQMVASLPSAFMVFLLGVILAFIRRPGVVHDFKFGPSSIEAVKISKHAWKEGFVKGTIPQLPLSVLNSVIAVCNLSSDLFPGKDFSASSVSVSVGLMNLVGCWFGAMPCCHGAGGLAGQYKFGGRSGGCVALLGAAKLVLGLVLGSSLVMVLNQFPVGVLGVLLLFAGIELAMASRDMNTKEEAFVMLICSAVSITGSSAALGFVCGIAVHLLLKVRNWHNDQPCSTV >Potri.002G136600.1.v4.1 pep chromosome:Pop_tri_v4:2:10256402:10259787:1 gene:Potri.002G136600.v4.1 transcript:Potri.002G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136600.v4.1 MADPVSHSKPPSPLKGLDNSKPITRTNKSSSFTSHILCKSLFFVLFLFLIPLFPSQAPDFISLSTILTKFWGLAHLLFIGLAVCYVLFRCRNVEDFDSEPPPQYSDDSQSSYVSRIFHVSPISYDGSENLSGFHDKHVYQNWNSQYYSGESMVNGTNGHELNKTESQYCRDDESMVDDTNGNEQNKGGSLNAENDFENSFENGDSDVIQARNSQYFQSESMVVGSQPNYSLDEYGNLGQVNGYRPLGLPIRSLNSRIRVLDSSLFSNENESGTSFSASGSTDGSGRSANENDFGDMPPTNLEESFNETVALPSEIPWHPRSERKEIREKVGSFAGDSSHLRPLSVDETQFESLKSQLESLKTKSFRSTTSLSVQRGPGPQHLGPSHFRPLSVDETQIESQSFRSTTSFASQGSSASYSPTTLSPSHSISSELPNSETEELGKNKSYRASYPPSSQSLATRKADAPLNAFHLRRYSGGSLFPKDSRRSLKDELKDLRGKRNEHTVGSGETGQGSLRSDQKPAVPVKTSSLKGQFIRTIKASGYAAETIKAKEAGRKHIDEKVGKICDEAQTVNVGKNEMKRGPDSILLGSDKKNSDTHHHMPKPTLSKYMKKEKEIFSESLTVESTKDSESGTDNSRVSSDEHSAPATNIDAGDYSSEVDKKAGEFIAKFREQIRLQKVASIQRSKGKRLNGTYVR >Potri.002G136600.2.v4.1 pep chromosome:Pop_tri_v4:2:10256400:10258929:1 gene:Potri.002G136600.v4.1 transcript:Potri.002G136600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G136600.v4.1 MADPVSHSKPPSPLKGLDNSKPITRTNKSSSFTSHILCKSLFFVLFLFLIPLFPSQAPDFISLSTILTKFWGLAHLLFIGLAVCYVLFRCRNVEDFDSEPPPQYSDDSQSSYVSRIFHVSPISYDGSENLSGFHDKHVYQNWNSQYYSGESMVNGTNGHELNKTESQYCRDDESMVDDTNGNEQNKGGSLNAENDFENSFENGDSDVIQARNSQYFQSESMVVGSQPNYSLDEYGNLGQVNGYRPLGLPIRSLNSRIRVLDSSLFSNENESGTSFSASGSTDGSGRSANENDFGDMPPTNLEESFNETVALPSEIPWHPRSERKEIREKVGSFAGDSSHLRPLSVDETQFESLKSQLESLKTKSFRSTTSLSVQRGPGPQHLGPSHFRPLSVDETQIESQSFRSTTSFASQGSSASYSPTTLSPSHSISSELPNSETEELGKNKSYRASYPPSSQSLATRKADAPLNAFHLRRYSGGSLFPKDSRRSLKDELKDLRGKRNEHTVGSGETGQGSLRSDQKPAVPVKTSSLKGQFIRTIKASGYAAETIKAKEAGRKHIDEKVGKICDEAQTVNVGKNEMKRGPDSILLGSDKKNSDTHHHMPKPTLSKYMKKEKEIFSESLTVESTKDSESGTDNSRVSSDEHSAPATNIDAGDYSSEVDKKAGEFIAKFREQIRLQKVASIQRSKGKRLNGTYVR >Potri.017G088900.6.v4.1 pep chromosome:Pop_tri_v4:17:10188618:10192427:1 gene:Potri.017G088900.v4.1 transcript:Potri.017G088900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088900.v4.1 MATCEKNGNMSTLSSVHSSCNGDTFSSNTGRTRGFTDLNEPFQVEETYDTTPFDTLGKVTYSKEEIQGRDLSANSCSGFQSLAKEVSQRPCKEKKEGLSQRNMLLDNEWENKGRPPFNFKAGQISTGTLNNSFYGEYLPTQSESSHVGCMNAHVPDQNKPEQSKKKTIFGVEISERNHNASVMTSDALLRPPAPQSNVVNSESSSISSWKRPPASWKQNAIFVLGNPCFNTFPESNKSSTTLLHCHEVSADGSIVNEKVDFIPKPGVELSYKNDISLISQLKSKASAGHLNGHSDSNSAFEQVPKHNPPNNSRGSGWSENIKSAKEVNLNAVPPKSYPIEAISDSNLISIGIPRMEETPQGALSWMKTISHCNGKSSGEMSDSHKVNWDLLQRKYAEQFACNGGTMKGLHHNIVQDSSSATNVHDAEEKRIGGDCSSNRKILGVPIIEKPISKELPSASSGLKPGFCVLETNDANSIKGGLLHTDLNQDPMESESVEILNTNSLNMAKCSVDCRADLRHSIDLNVSVTEEEAQAPRNKASIAIQIDLEAPIVLENNMDIVSGGGFPESKFKEPFQSITDESKDFHEGFLIAAAEALVDMSLSGVHQFQDDAPCHILEAEVNNSLQLFAEIISSYKGYIENDVGSLLVHKGNNDCEDSISDEVDFFEYMTLNLSETMVEDHDCEPMVLDNTKDETSLPRRPRRGQARRGRQRKDFQRDVLPGLVSLSRNDVAEDLQMIEGLIIATGGTWQSGLSQRNSPKSKAGRGRKRAASSAAFPTVTAVSPPQAQQPNCGELGLEVTGWGKRTRRPPRQRYPSNNPSPHQ >Potri.017G088900.2.v4.1 pep chromosome:Pop_tri_v4:17:10185781:10192196:1 gene:Potri.017G088900.v4.1 transcript:Potri.017G088900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088900.v4.1 MGTEFLYKMYMPGYNSMKDLDESSENGSWPSRHENKAFEQHHGVFAAKPEIDRYSGYDKEHLRQTILRQENTFRHQVHELHRLHKIQMDIMNEVRSKESVICLDRMGTFQSNPFAFTPEGDGRRWHNSGLPLVAMNCHIPSASGADSVQSHFSSINLQNMQSGCGSTHDGSRIKYDVSLEYNHKKLQRKLFDLELPAEKYINDAEEEKGAFGGSGLESDPPNWNCMATCEKNGNMSTLSSVHSSCNGDTFSSNTGRTRGFTDLNEPFQVEETYDTTPFDTLGKVTYSKEEIQGRDLSANSCSGFQSLAKEVSQRPCKEKKEGLSQRNMLLDNEWENKGRPPFNFKAGQISTGTLNNSFYGEYLPTQSESSHVGCMNAHVPDQNKPEQSKKKTIFGVEISERNHNASVMTSDALLRPPAPQSNVVNSESSSISSWKRPPASWKQNAIFVLGNPCFNTFPESNKSSTTLLHCHEVSADGSIVNEKVDFIPKPGVELSYKNDISLISQLKSKASAGHLNGHSDSNSAFEQVPKHNPPNNSRGSGWSENIKSAKEVNLNAVPPKSYPIEAISDSNLISIGIPRMEETPQGALSWMKTISHCNGKSSGEMSDSHKVNWDLLQRKYAEQFACNGGTMKGLHHNIVQDSSSATNVHDAEEKRIGGDCSSNRKILGVPIIEKPISKELPSASSGLKPGFCVLETNDANSIKGGLLHTDLNQDPMESESVEILNTNSLNMAKCSVDCRADLRHSIDLNVSVTEEEAQAPRNKASIAIQIDLEAPIVLENNMDIVSGGGFPESKFKEPFQSITDESKDFHEGFLIAAAEALVDMSLSGVHQFQDDAPCHILEAEVNNSLQLFAEIISSYKGYIENDVGSLLVHKGNNDCEDSISDEVDFFEYMTLNLSETMVEDHDCEPMVLDNTKDETSLPRRPRRGQARRGRQRKDFQRDVLPGLVSLSRNDVAEDLQMIEGLIIATGGTWQSGLSQRNSPKSKAGRGRKRAASSAAFPTVTAVSPPQAQQPNCGELGLEVTGWGKRTRRPPRQRYPSNNPSPHQ >Potri.017G088900.3.v4.1 pep chromosome:Pop_tri_v4:17:10185334:10192423:1 gene:Potri.017G088900.v4.1 transcript:Potri.017G088900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088900.v4.1 MGTEFLYKMYMPGYNSMKDLDESSENGSWPSRHENKAFEQHHGVFAAKPEIDRYSGYDKEHLRQTILRQENTFRHQVHELHRLHKIQMDIMNEVRSKESVICLDRMGTFQSNPFAFTPEGDGRRWHNSGLPLVAMNCHIPSASGADSVQSHFSSINLQNMQSGCGSTHDGSRIKYDVSLEYNHKKLQRKLFDLELPAEKYINDAEEEKGAFGGSGLESDPPNWNCMATCEKNGNMSTLSSVHSSCNGDTFSSNTGRTRGFTDLNEPFQVEETYDTTPFDTLGKVTYSKEEIQGRDLSANSCSGFQSLAKEVSQRPCKEKKEGLSQRNMLLDNEWENKGRPPFNFKAGQISTGTLNNSFYGEYLPTQSESSHVGCMNAHVPDQNKPEQSKKKTIFGVEISERNHNASVMTSDALLRPPAPQSNVVNSESSSISSWKRPPASWKQNAIFVLGNPCFNTFPESNKSSTTLLHCHEVSADGSIVNEKVDFIPKPGVELSYKNDISLISQLKSKASAGHLNGHSDSNSAFEQVPKHNPPNNSRGSGWSENIKSAKEVNLNAVPPKSYPIEAISDSNLISIGIPRMEETPQGALSWMKTISHCNGKSSGEMSDSHKVNWDLLQRKYAEQFACNGGTMKGLHHNIVQDSSSATNVHDAEEKRIGGDCSSNRKILGVPIIEKPISKELPSASSGLKPGFCVLETNDANSIKGGLLHTDLNQDPMESESVEILNTNSLNMAKCSVDCRADLRHSIDLNVSVTEEEAQAPRNKASIAIQIDLEAPIVLENNMDIVSGGGFPESKFKEPFQSITDESKDFHEGFLIAAAEALVDMSLSGVHQFQDDAPCHILEAEVNNSLQLFAEIISSYKGYIENDVGSLLVHKGNNDCEDSISDEVDFFEYMTLNLSETMVEDHDCEPMVLDNTKDETSLPRRPRRGQARRGRQRKDFQRDVLPGLVSLSRNDVAEDLQMIEGLIIATGGTWQSGLSQRNSPKSKAGRGRKRAASSAAFPTVTAVSPPQAQQPNCGELGLEVTGWGKRTRRPPRQRYPSNNPSPHQ >Potri.017G088900.4.v4.1 pep chromosome:Pop_tri_v4:17:10185518:10192210:1 gene:Potri.017G088900.v4.1 transcript:Potri.017G088900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088900.v4.1 MGTEFLYKMYMPGYNSMKDLDESSENGSWPSRHENKAFEQHHGVFAAKPEIDRYSGYDKEHLRQTILRQENTFRHQVHELHRLHKIQMDIMNEVRSKESVICLDRMGTFQSNPFAFTPEGDGRRWHNSGLPLVAMNCHIPSASGADSVQSHFSSINLQNMQSGCGSTHDGSRIKYDVSLEYNHKKLQRKLFDLELPAEKYINDAEEEKGAFGGSGLESDPPNWNCMATCEKNGNMSTLSSVHSSCNGDTFSSNTGRTRGFTDLNEPFQVEETYDTTPFDTLGKVTYSKEEIQGRDLSANSCSGFQSLAKEVSQRPCKEKKEGLSQRNMLLDNEWENKGRPPFNFKAGQISTGTLNNSFYGEYLPTQSESSHVGCMNAHVPDQNKPEQSKKKTIFGVEISERNHNASVMTSDALLRPPAPQSNVVNSESSSISSWKRPPASWKQNAIFVLGNPCFNTFPESNKSSTTLLHCHEVSADGSIVNEKVDFIPKPGVELSYKNDISLISQLKSKASAGHLNGHSDSNSAFEQVPKHNPPNNSRGSGWSENIKSAKEVNLNAVPPKSYPIEAISDSNLISIGIPRMEETPQGALSWMKTISHCNGKSSGEMSDSHKVNWDLLQRKYAEQFACNGGTMKGLHHNIVQDSSSATNVHDAEEKRIGGDCSSNRKILGVPIIEKPISKELPSASSGLKPGFCVLETNDANSIKGGLLHTDLNQDPMESESVEILNTNSLNMAKCSVDCRADLRHSIDLNVSVTEEEAQAPRNKASIAIQIDLEAPIVLENNMDIVSGGGFPESKFKEPFQSITDESKDFHEGFLIAAAEALVDMSLSGVHQFQDDAPCHILEAEVNNSLQLFAEIISSYKGYIENDVGSLLVHKGNNDCEDSISDEVDFFEYMTLNLSETMVEDHDCEPMVLDNTKDETSLPRRPRRGQARRGRQRKDFQRDVLPGLVSLSRNDVAEDLQMIEGLIIATGGTWQSGLSQRNSPKSKAGRGRKRAASSAAFPTVTAVSPPQAQQPNCGELGLEVTGWGKRTRRPPRQRYPSNNPSPHQ >Potri.017G088900.5.v4.1 pep chromosome:Pop_tri_v4:17:10185530:10192196:1 gene:Potri.017G088900.v4.1 transcript:Potri.017G088900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G088900.v4.1 MGTEFLYKMYMPGYNSMKDLDESSENGSWPSRHENKAFEQHHGVFAAKPEIDRYSGYDKEHLRQTILRQENTFRHQVHELHRLHKIQMDIMNEVRSKESVICLDRMGTFQSNPFAFTPEGDGRRWHNSGLPLVAMNCHIPSASGADSVQSHFSSINLQNMQSGCGSTHDGSRIKYDVSLEYNHKKLQRKLFDLELPAEKYINDAEEEKGAFGGSGLESDPPNWNCMATCEKNGNMSTLSSVHSSCNGDTFSSNTGRTRGFTDLNEPFQVEETYDTTPFDTLGKVTYSKEEIQGRDLSANSCSGFQSLAKEVSQRPCKEKKEGLSQRNMLLDNEWENKGRPPFNFKAGQISTGTLNNSFYGEYLPTQSESSHVGCMNAHVPDQNKPEQSKKKTIFGVEISERNHNASVMTSDALLRPPAPQSNVVNSESSSISSWKRPPASWKQNAIFVLGNPCFNTFPESNKSSTTLLHCHEVSADGSIVNEKVDFIPKPGVELSYKNDISLISQLKSKASAGHLNGHSDSNSAFEQVPKHNPPNNSRGSGWSENIKSAKEVNLNAVPPKSYPIEAISDSNLISIGIPRMEETPQGALSWMKTISHCNGKSSGEMSDSHKVNWDLLQRKYAEQFACNGGTMKGLHHNIVQDSSSATNVHDAEEKRIGGDCSSNRKILGVPIIEKPISKELPSASSGLKPGFCVLETNDANSIKGGLLHTDLNQDPMESESVEILNTNSLNMAKCSVDCRADLRHSIDLNVSVTEEEAQAPRNKASIAIQIDLEAPIVLENNMDIVSGGGFPESKFKEPFQSITDESKDFHEGFLIAAAEALVDMSLSGVHQFQDDAPCHILEAEVNNSLQLFAEIISSYKGYIENDVGSLLVHKGNNDCEDSISDEVDFFEYMTLNLSETMVEDHDCEPMVLDNTKDETSLPRRPRRGQARRGRQRKDFQRDVLPGLVSLSRNDVAEDLQMIEGLIIATGGTWQSGLSQRNSPKSKAGRGRKRAASSAAFPTVTAVSPPQAQQPNCGELGLEVTGWGKRTRRPPRQRYPSNNPSPHQ >Potri.001G464800.1.v4.1 pep chromosome:Pop_tri_v4:1:49017824:49019804:1 gene:Potri.001G464800.v4.1 transcript:Potri.001G464800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464800.v4.1 MTCLKASMLPFLLCLLISFSWVISAHPREDFLKCLSLHFEDPAAMSNAIHTPYNSSYSSILQFSIRNLRFNSSELKPLVIVTPTNASHIQAAILCSQRHNLQIRIRSGGHDFEGLSYMAALPFVIIDLISLRAVNVDATSRTAWVQAGATLGELYYSISEKSRTLAFPAGSCPTIGVGGHFSGGGHGTMVRKFGLASDNVIDAHLIDSKGRILDRASMGEDLFWAIRGGGGQSFGVVVAWKISLVEVPSTVTMFSVSRTLEQNATKLLHRWQYVANTLPEDLVIDVQVTRVNSSQEGNTTIQATFFSLFLGEVDQLLPVMQESFPELGLVKDDCFEMSWIESVFYTGGFTSNASLDVLLNRTPRSIPRFKAKSDYVKEPMPEIAFEGIWERFFEEDIEAPTLILIPYGGKMDEISESSTPFPHRAGNLYVLVSSVSWREESKEASRRHMAWIRRLYSYLTKYVSKNPREAYVNYRDLDLGINNLTGTTSYKQASIWGRKYFKNNFDRLVRVKTEVDPTNFFRNEQSIPSLSSW >Potri.001G370200.2.v4.1 pep chromosome:Pop_tri_v4:1:38791443:38795847:-1 gene:Potri.001G370200.v4.1 transcript:Potri.001G370200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G370200.v4.1 MGGGGGELRYEISQNAYIKLVLHSLKHKTSAVNGVFVGSSCDDGVQIIDSVPLFHNHLGLLPPLEISLIMIEEYYGARDLGIVGYFHANERSDDVELGNVAKNIGDHIYRYFPQAAILLLDNKKLEALPKGKDRLPVMQLYTRDASKNWKLAGSDGGCQLTTKEPAANAVLLDYISSKKWEDVVDFDDHLDDITKDWLNPELFK >Potri.001G217800.4.v4.1 pep chromosome:Pop_tri_v4:1:22773983:22776429:-1 gene:Potri.001G217800.v4.1 transcript:Potri.001G217800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217800.v4.1 MERLKEYQAENEKIKSAQSVLIVGGGPTGVELAGEISVDFPEKHVTLVHSGSRLLEFIGPKASDKTLQWLTSKRVDVKLEQRVDLNSVTDSNGSKIYHTTAGESIRADCHFLCTGKPLGSGWLEGTILNSNLDSRGRLMVDEYLRVKGRKNIFAIGDITDVPEIKQGYLAQKHALVAAANLKLLMSGGKERKMSSYKPGSTTAIVSLGRRDAVAQFPYTTLIGIVPGMIKSRDLFVGKTRKQRGLQPH >Potri.001G217800.3.v4.1 pep chromosome:Pop_tri_v4:1:22773986:22777218:-1 gene:Potri.001G217800.v4.1 transcript:Potri.001G217800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217800.v4.1 MEEMGSEKRRLVVIGGGIAGSLLAKSLQFHADVTFIDPKEYFEITWANLRTMVEPSFGERSVINHRDYYTNGRIVTSTAIGITETEVLTADDFSIPYDYLVIATGHRDPVPKTRMERLKEYQAENEKIKSAQSVLIVGGGPTGVELAGEISVDFPEKHVTLVHSGSRLLEFIGPKASDKTLQWLTSKRVDVKLEQRVDLNSVTDSNGSKIYHTTAGESIRADCHFLCTGKPLGSGWLEGTILNSNLDSRGRLMVDEYLRVKGRKNIFAIGDITDVPEIKQGYLAQKHALVAAANLKLLMSGGKERKMSSYKPGSTTAIVSLGRRDAVAQFPYTTLIGIVPGMIKSRDLFVGKTRKQRGLQPH >Potri.019G030400.2.v4.1 pep chromosome:Pop_tri_v4:19:4263595:4266582:1 gene:Potri.019G030400.v4.1 transcript:Potri.019G030400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030400.v4.1 MKPNLLTADSFLIFNSLTLLSRNSIPFPRNSIPHPNHFLSIVSPHPNSSKHYRLLPICSSSNPARKQSSPANEESLNSNVEVLGGDELERNLNVQVANPVVPSYIQSWTKLSLSDQAFFLLSFIAFTTSIAFTCLVAAAVPTLFAVGRAATSLSKLADTAREELPSTMAAIRLSGMEISDLTLELSDLSQEITDGVNKSAQAVQAAEAGIRQIGTLAHQHTISMIQERASLPIISLQPVVAGAAKKTSRAVGQATKTIMNIISRGEFNTEEKEDGSRIDRVEI >Potri.019G011600.5.v4.1 pep chromosome:Pop_tri_v4:19:1663940:1667492:1 gene:Potri.019G011600.v4.1 transcript:Potri.019G011600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011600.v4.1 MTKTEEQKSKAKYYYNVFSTLFVDNPKPTEMDLEATKNLSESHSIGNYILKSKLGESSFSTVWKAENKITGGEVAVKQVYLSKLNKNLRNCLDCELNFLSSVNHPNIIRLLDVFEDECCMFLVLEFCSGGNLASYLQQHGRVQEKIAKRFTQQMGDGLKILQSHHIIHRDLKPENILLSGKESDVVLKIADFGLSRRVLPDNYVETVCGSPFYMAPEVLQFQRYDYKVDMWSVGVILFELLNGYPPFRGRTNFQLLQNIKSSSCLPFSQHILPGLHPDCVDICSRLLSANPVQRLSFDEFYHHKFLRIKGVGKYHGQ >Potri.019G011600.1.v4.1 pep chromosome:Pop_tri_v4:19:1663926:1668068:1 gene:Potri.019G011600.v4.1 transcript:Potri.019G011600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011600.v4.1 MTKTEEQKSKAKYYYNVFSTLFVDNPKPTEMDLEATKNLSESHSIGNYILKSKLGESSFSTVWKAENKITGGEVAVKQVYLSKLNKNLRNCLDCELNFLSSVNHPNIIRLLDVFEDECCMFLVLEFCSGGNLASYLQQHGRVQEKIAKRFTQQMGDGLKILQSHHIIHRDLKPENILLSGKESDVVLKIADFGLSRRVLPDNYVETVCGSPFYMAPEVLQFQRYDYKVDMWSVGVILFELLNGYPPFRGRTNFQLLQNIKSSSCLPFSQHILPGLHPDCVDICSRLLSANPVQRLSFDEFYHHKFLRIKGVGKYHGQ >Potri.019G011600.6.v4.1 pep chromosome:Pop_tri_v4:19:1663940:1667492:1 gene:Potri.019G011600.v4.1 transcript:Potri.019G011600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G011600.v4.1 MTKTEEQKSKAKYYYNVFSTLFVDNPKPTEMDLEATKNLSESHSIGNYILKSKLGESSFSTVWKAENKITGGEVAVKQVYLSKLNKNLRNCLDCELNFLSSVNHPNIIRLLDVFEDECCMFLVLEFCSGGNLASYLQQHGRVQEKIAKRFTQQMGDGLKILQSHHIIHRDLKPENILLSGKESDVVLKIADFGLSRRVLPDNYVETVCGSPFYMAPEVLQFQRYDYKD >Potri.004G206100.1.v4.1 pep chromosome:Pop_tri_v4:4:21376068:21379753:-1 gene:Potri.004G206100.v4.1 transcript:Potri.004G206100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G206100.v4.1 MGNCWGFSAHSPTTPSTTGQLSSAGISHTTSNTTSFGVSNATSSRGSNISAHSRFSAGSGDEEFPNGQILPTPNLRVFSFAELKVATRNFKSDTLLGEGGFGQVYKGWLDEKAPGRNGSGTVIAVKRLNSESLQGFEEWQAEVNFLGRLSHPHLVRLIGYCWEDKELLLVYEFMQKGSLENHLFGRGSAVQPLPWDTRLKIAIGAARGLSFLHASDKQVIYRDFKASNILIDGSYTAKLSDFGLAKLGPSASQSHVTTRVMGTYGYAAPEYVATGHLYVKSDVYGFGVVLVEILTGLRALDANRPSGRHTLVDWIKPFLSDKRKLKSIMDIRLEGRYPAKAALRIAQLALNCLEQEHRHRPHMREVVATLERIEAAKDKPVEPRARPKRSVPHQNVQQPLQYRSPHHPVLTDGSRGHQYSPRVR >Potri.019G109150.1.v4.1 pep chromosome:Pop_tri_v4:19:14257543:14268040:1 gene:Potri.019G109150.v4.1 transcript:Potri.019G109150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G109150.v4.1 MTTYMDPLLFKAAEAGNIGPFENYQTRLNQLLTPDENTILHVYLGNQSREPESTDFVDIILEMCPPLLLQANEKGEIPLHLAARYGHSNVVKVLIDCAKALPTDPESGLTKAQKMLRMANEEQDTALHEAARNRRSHLVEILTKEDPEFSYSANVHGETPLYIAAASSWGREREKVIDEILTNCISVDYGGPNGRTALHAASRVRDDETARKLLEKEKKLTKTTDENGWSPLHYAAYFSTWLDISVVKVLLEYDASAAYIADTEKKRTALHIAAIQGHVNAMKEIVYMSFTMLWQKEILESLEDIGNRPIGRGLFVLKEEEKKKNNDEKNKKEEEALSKARESHLVVAALIATVTFAAAFTLPGGYKSDRGTAFLAKKAAFIVFIISDAMSMVLSILAVFIHFLTAYICGFELDKHEMINKVTTRKLFGVATLLTMIGMGTMIIAFITGTYAVLEPSLGLAISTCLIGLSFFFLVYLVFWIIDKDAKNMV >Potri.017G091600.3.v4.1 pep chromosome:Pop_tri_v4:17:10430207:10431231:-1 gene:Potri.017G091600.v4.1 transcript:Potri.017G091600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091600.v4.1 MWSEVAKIRKTMDDRGVVKKPGLSWIAIKRDVHVFLVGDDSHPKSKEINEFLGKLSKRMKEEGFVPDTNFVLHDVEDEQKEQNLSYHSEKLAVAFGIISTPEGTPIKVFKNLRTCVDCHTAIKFISKITNRKIIVRDSNRFHFFEDGHCSCRDYW >Potri.017G091600.1.v4.1 pep chromosome:Pop_tri_v4:17:10430244:10432730:-1 gene:Potri.017G091600.v4.1 transcript:Potri.017G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G091600.v4.1 MTRFLANLKPSHSSSVFNHSHKIIAFPYSSQTTQPFQPKTFFKSNTKDTTLVPHLCNHKRFDEAIHILCQQNRLKEALQILHQIDKPSASVYSTLIQSCIKSRLLQQGKKVHQHIKLSGFVPGLFILNRLLEMYAKCDSLMDSQKLFDEMPERDLCSWNILISGYAKMGLLQEAKSLFDKMPERDNFSWTAMISGYVRHDRPNEALELFRMMKRSDNSKSNKFTVSSALAAAAAVPCLRIGKEIHGYIMRTGLDSDEVVWSALSDMYGKCGSIEEARHIFDKMVDRDIVTWTAMIDRYFQDGRRKEGFDLFADLLRSGIRPNEFTFSGVLNACANQTSEELGKKVHGYMTRVGFDPFSFAASALVHMYSKCGNMVSAERVFKETPQPDLFSWTSLIAGYAQNGQPDEAIRYFELLVKSGTQPDHITFVGVLSACAHAGLVDKGLDYFHSIKEQYGLTHTADHYACIIDLLARSGQFDEAENIISKMSMKPDKFLWASLLGGCRIHGNLKLAQRAAEALFEIEPENPATYVTLANIYATAGMWSEVAKIRKTMDDRGVVKKPGLSWIAIKRDVHVFLVGDDSHPKSKEINEFLGKLSKRMKEEGFVPDTNFVLHDVEDEQKEQNLSYHSEKLAVAFGIISTPEGTPIKVFKNLRTCVDCHTAIKFISKITNRKIIVRDSNRFHFFEDGHCSCRDYW >Potri.001G379150.1.v4.1 pep chromosome:Pop_tri_v4:1:39970813:39970941:1 gene:Potri.001G379150.v4.1 transcript:Potri.001G379150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379150.v4.1 MSFLLFLVSCAVCTAIMCGGLEWGPANESKGKMVLSSAVMAL >Potri.005G094200.3.v4.1 pep chromosome:Pop_tri_v4:5:6594618:6608627:-1 gene:Potri.005G094200.v4.1 transcript:Potri.005G094200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094200.v4.1 MSRQTTSSHFHKSKTLDNKYMLGDEIGKGAYARVFKGLDLENGDFVAIKQVSLENIAQEDLNIIMQEIDLLKNLNHKNIVKYLGSLKTKSHLHIILEYVENGSLANIIKPNKFGPFPESLVVVYIAQVLEGLVYLHEQGVIHRDIKGANILTTKEGLVKLADFGVATKLTEADVNTHSVVGTPYWMAPEVIEMSGVCAASDIWSVGCTVIELLTCVPPYYDLQPMPALFRIVQDDRPPIPDSLSPDITDFLRQCFKKDATQRPDAKTLLSHPWILNSRRALNSFRHSGPIRSIQEDVSAEAEILTGDNQRTVQINSVDRTKASVADFKAGSRKESLPDSEDVSKSDKNTSSDGDVVEERIDKLEDDLHSDQVPTLAIHENSSLKTSPGRLSTNKVAAASPLLHGSMPLHYQDEILTIDDLESPDARGKNIERRNGGKTSPARVENGSFGFATRNQDNGLRKAVKTSMTSGGNELSKFSDTPRDASLDDLFHPLDKNPEDRAAEASTSTSASHMNQGNAIMADAGKNDLAAILRATIAQKQMESETGQTNGGGDLFRLMMGVLKDGVIDIDGLDFGDKLPAENLFPLQAVEFSRLVGSLRPEESEDVITSSCQKLISIFHQRPEQKIVFITQHGLLPLMELLEVPKPRVICSILQLINQIVKDNTDFQENACLVGLIPVVTSFAGPDRPREVRMEAAYFLQQLCQSSSLTLQMFIACRGIPILVGFLEADYAKHRDMVHLAIDGMWQVFKLQRSTPRNDFCRIAAKNGILLRLINTLYSLNEATRLASISVGTGFPLDGLSQRPRSGPLDSNHPIFIQSETALSASDQPDVFKVRHGMIDHSLPFGTQEPSRASTSHSQRLDAIQPDARFFGTDTDGSQASNETIEAIAASKLSDPAALGKAPNMATKEPSGAVSKERDNLDRWKSDPSRPEIDLRQQRVTGSTQRTSTDRPPKLIESASNGLTSMISAQPEQVRPLLSLLEKEPPSRHFSGQLEYARHLTGLERHESILPLLHASEKKTNGGLEFLMAEFAEVSGRGRENGNLDSIPRISHKTVSKKVGSLAPNEGAASTSGIASQTASGVLSGSGVLNARPGSATSSGLLSQMVSTMNAEVAREYLEKVADLLLEFSQADTTVKSYMCSQSLLSRLFQMFNRIEPPILLKILECINNLSTDPNCLENLQRADAIKYLIPNLELKDGPLVDQIHSEVLNALFNLCKINKRRQEQAAENGIIPHLMNFIMSDSPLKPHALPLLCDMAHASRNSREQLRAHGGLDVYLSLLDDTVWSVTALDSIAVCLAHDNDNRKVEQALLKKDAVQKLVKFFQCCPEQQFVHILEPFLKIITKSSRINTTLAVNGLTPLLIGKLDHQDAIARLNLLKLIKSVYEHHPRPKQLIVENDLPQKLQNLIEERRDGQSSGGQVLVKQMATSLLKALHINTVL >Potri.008G043300.1.v4.1 pep chromosome:Pop_tri_v4:8:2446959:2448350:1 gene:Potri.008G043300.v4.1 transcript:Potri.008G043300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G043300.v4.1 MVAKETSLHQVPVHDNSELQDFDDAAETLSLCDLPLNGYASNWDGFSREDQSLGSSFDQDFFEFFSEDFTASNIYPKDEIIFCGKLITCKGEAVAEKTQNLESTKKAKNTKKSFIFPWKSSSFNKSRATSSKQLQEKSDKTLQEPLSENHGFATRKCDDRYDFSMKKVSILATPTKPRWYFLAFGVGRLPMEMELSDIKMRQSKKSPSRMIQSEKVIETSSGNKRGKGSWSLLRVLGCNSQHSSARAKASLGGAPIV >Potri.010G125800.1.v4.1 pep chromosome:Pop_tri_v4:10:14309459:14315946:-1 gene:Potri.010G125800.v4.1 transcript:Potri.010G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G125800.v4.1 MFSFLSLSFFNYLLLLLLCFHLVNSSSTPTKIGNGYRLISLKETPDGGIGGLLQVKERNNIYGPDIPLLQLYVKHETQDRLRVRITDAEKQRWEVPYNLLPREQAPALKQTIGRSRKNLITTVQEYSGAELIFNYIADPFSFSVKRKSNGQTLFNSSSDGSSSFGVMVFKDQYLEISTQLPNDASLYGLGENTQPHGIKLFPGDPYTLYTTDISAINLNADLYGSHPVYMDLRNVKGQAYAHAVLLLNSNGMDVFYRGTSLTYKIIGGVFDFYFFSGPSPLAVVDQYTSLIGRPAAMPYWAFGFHQCRWGYHNLSVVEDVVENYKNAQIPLDVIWNDDDHMDGHKDFTLNPNNYPRPKLLAFLEKIHSIGMKYIVLIDPGIGVNSSYGVYQRGIANDVFIKYQGEPYLAQVWPGAVNFPDFLNPKTVEWWGDEIRRFHELVPVDGLWIDMNEASNFCSGLCKIPKDKQCPSGTGPGWDCCLDCKNITETRWDDPPYKINASGLQVPIGYKTIATSAVHYNGVLEYDAHSIYGFSQAIATHKALQGLEGKRPFILSRSTYVGSGKYAAHWTGDNKGTWEDLKYSISTMINFGIFGVPMVGSDICGFYPAPTEELCNRWIEVGAFYPFSRDHANYYSPRQELYQWESVAKSARNALGMRYKILPYLYTLNYEAHTTGAPIARPLFFSFPDYTECYGLSTQFLLGSSLMISPVLEQGKSQVKALFPPGSWYNMFDMTQSITSEGGQYVTLDAPLHVVNVHLYQNSILPMQQGGLISKEARMTPFTLLVSFPAGATDGKAAGKLFLDDDELQEMKLGSGSATYVDFYATVSEGTVKLWSEVQESKFALDKGWKIVKVTVLGLGGSGAPSSLEVDGKPVTGASNIELSSLEQKYITNLEVGDEKKKIMMVEVHGLEIPVGKNFAVSWKMGVSG >Potri.003G130000.1.v4.1 pep chromosome:Pop_tri_v4:3:14853809:14855330:-1 gene:Potri.003G130000.v4.1 transcript:Potri.003G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G130000.v4.1 MAATNQFSSLQQPCKWVKGKVIGSGSHGTVHLAINKVTGGLFVAKSALSGVDSKYLEHEANILESLDSPYMIRCMGKGWQKGSDGDAKLNVFIEYMAGGSLSDMAEKFGGALEEEVIRLYTKQILNGLKYLHENGIVHCDLKCKNVLLGLSGNIKLADFGCAKRLKDLDRNGKFAYSWQSVGGTPLWMAPEVLRKEGLDFASDIWSLGCAVIEMATGRPPWGYKASNPMAVVLKIACSNERPNFPVHFSEEGMDFLAKCLERNPESRWTAEELLDHPFITGNSQKKYVCSPASVLDNIGTYEEDYDSDESGNPDEHLRWNPFSMRNCGKPKIIAMRQHADNDFVSSGDWITVRSG >Potri.007G052700.3.v4.1 pep chromosome:Pop_tri_v4:7:5242550:5246926:-1 gene:Potri.007G052700.v4.1 transcript:Potri.007G052700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052700.v4.1 MGVEVENSKWEPNPSLYGFIIMCCFFSIALFPRFFNKSVSPSAFSDQSLSPSSHRYFLFLYCLASAMEGVWSVFGDFEFVYNGMSKEQILFSLCLGFGSSLLFASLLPFLSDSIGGHHKACLMFCILHLFVGTWKRMVPQSHPCIWLPTLSSSLATSIFSFAFEAWLVLENENQGYRQRALTHTFWLMTFFESASLIGSQVLANWLLASNVDTGIASSSTATIFIAIIGIFCVTKGWKQAPYSAPVKDRRQMSYTHIFSDKRILLLGFAHACLQFSIAIFWILWAPTLVADGREVHLGLIYPCLMGARMLGSTVFPWLLSGPSSLRIEDCLVYAFTVLGLALSIVAYDYQEIGVLVSLFCLFHAGVGLIIPSLARLRTIHVPNELRGGMISLSLAPANAAILFLLILRGYYQKIENSTIVALAALGLFMASGSMHLLKRWGKQPFQNWHKS >Potri.004G002000.1.v4.1 pep chromosome:Pop_tri_v4:4:144897:150509:1 gene:Potri.004G002000.v4.1 transcript:Potri.004G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G002000.v4.1 MGTRIQSHQLKNGLFVSGRPEQQQKEKQPTMAARAVPYTGGDIKKSGELGKMFDIPAVVEPPKAPPSRASTSSSGSIRNSSSGPIRNSSGPLNVVLPTGLFTSGPLGSGPLGSGPQRRSGQLDNSAVGSGTGSGSSKALYGSAVTSLAGDVKVGFRVSRPVVWVVMVVVVMGLLVGAFLMVAVKKAVILLAVGAVLVPLIVGLIWNYAWGRRGLLGFVRRYPDTELRGAIDGQYVKVTGVVTCGSIPLESSYQKVHRCVYVSTELYEFRGLGGKSAHSKHRFFSWGSRHSEMFVADFYISDFQSGLRALVKAGYGAKVAPFVREATVVDVKKENMDLSSSFLRWLADRNLSSDDRIMRLKEGYIKEGSTVSVMGVVRRHDNVLMIVPPQEPLSTGCQWFRCLLPTYVEGLVLTCDDNQNADVVPV >Potri.003G097700.5.v4.1 pep chromosome:Pop_tri_v4:3:12351789:12356416:1 gene:Potri.003G097700.v4.1 transcript:Potri.003G097700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097700.v4.1 MWDTIAGGDSEDDQEGARTVDDDNFIDDSGVDPAYGYGIDNEPRSPTDAPQAEEGEEDEEIKDMFKMGKKRKKNEKSPAEIALLVENVMAELEVTAEEDADLNRQGKPAVNKLKKLPLLTEVLSKKQLQQEFIDHGVLTLLKNWLEPLPDGSLPNINIRAAILKILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNVEDDRAFRRPTVRRPSNNSAGMSSRDGDLDLDISRERKSSQSSDRQHASRPEATPLDFLVRPQSKIDPEEVRARAKQVVQDQRRLKMNKKLQQLKGPKKKQLQATKLSVEGRGMLKYL >Potri.003G097700.2.v4.1 pep chromosome:Pop_tri_v4:3:12351299:12356286:1 gene:Potri.003G097700.v4.1 transcript:Potri.003G097700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G097700.v4.1 MGHEDDPYRDEDGEPLMDYDEMQSDGGGQSPEPHQNHILDELEDDIDNWERERSQTPVYDTDKVGKPRKRLVKKGGDSGRESGSSSYVPPAFVDDEEEDYGGFEREGSVGDGNYTKKFAKDKERKKFSKGEKKFGGKGGSESKLGLKKGTPTKLVSGKDDGEVKEMWDTIAGGDSEDDQEGARTVDDDNFIDDSGVDPAYGYGIDNEPRSPTDAPQAEEGEEDEEIKDMFKMGKKRKKNEKSPAEIALLVENVMAELEVTAEEDADLNRQGKPAVNKLKKLPLLTEVLSKKQLQQEFIDHGVLTLLKNWLEPLPDGSLPNINIRAAILKILTDFPIDLEQYDRREQLKKSGLGKVIMFLSKSDEETTSNRKLAKDLVDKWSRPIFNKSTRFEDMRNVEDDRAFRRPTVRRPSNNSAGMSSRDGDLDLDISRERKSSQSSDRQHASRPEATPLDFLVRPQSKIDPEEVRARAKQVVQDQRRLKMNKKLQQLKGPKKKQLQATKLSVEGRGMLKYL >Potri.007G068400.3.v4.1 pep chromosome:Pop_tri_v4:7:8896688:8898505:-1 gene:Potri.007G068400.v4.1 transcript:Potri.007G068400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068400.v4.1 MGMGFFSSFSSSRRKGYFGNLDNALASFNRMLDRNPQPPIIEFNRLLSSVVRMKKYETVVSLFKEMEFRGIKYNVCTLSILINCFCFLHHVDYGFSVFGKTLKRGFKPDVVIFTTLIDGVCRIGKTELAAGLLKEMGLVGCVPDVVTCNSLMRGYCSQGKIDKVRKIFHLMVSKGLKPDVYSYSIFINGYCKVEKIDEAMELFDEMSHRGVVPNAVTYNTLIKALCQALRPRDAQVLFRKMCACGLSPDILAYSTLLDGFCKQGNLDEMLVLFQEMQRRLVKPDLVVYTIIINGMCRSRKVKDAKEVLSRLIVEGLKPDVHTYTALVDGLCREGSIIEALRLFRKMEEDGCMPGSCSYNVLLQGCLQHNDTSTVVQLIHEMADRGFYADAVTRTFLKDFLPGNDSPAIKQLLGLFEGHQGVKVK >Potri.018G049900.1.v4.1 pep chromosome:Pop_tri_v4:18:4781082:4782971:-1 gene:Potri.018G049900.v4.1 transcript:Potri.018G049900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G049900.v4.1 MHRWEKTMEERYNKHDMKNPSFSSSLLDEIYRSFDDGEPKHEELKFYRETMPRKQNKGTSGIKIEREEGMSALQRACLIEKWMEQKVSQKLITQQRRQKLIEFERKSQLDHDLDQDVLFFSSTSTSSDSSSGGFSSSDTESMYGGFSRASSFNPPKPMPVRTSASARSGKTERTLFYEQREAHMFDDYHYNSASEQTPRFEESLIKPESRALKTYRNLKKVKQPISPGSKLANFLNSLFTTGNTKKSKNSPTNGNFDEERELKSGQASTCSSASSFSRSCLSKHSPSTREKLRNGVKRSVRFYPVSVIVDEDCRPVGHKSLYDVEESSLKSVSLSTAWKIGKSPSRKIDDELKYQVVEKSRRIEEVARVFLKDYHQNKKKNDVIKIGAGGKYNDRFEDEDEDEDEDDDAASYSSSDLFELDHLAVIGKDRRYSEELPVYETTHLDTNRAIANGLMV >Potri.006G245400.2.v4.1 pep chromosome:Pop_tri_v4:6:24606400:24612090:-1 gene:Potri.006G245400.v4.1 transcript:Potri.006G245400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245400.v4.1 MAEVDIKSFDPQEFRLFQQMGSCSQHFNEDFIFSEESMNVETPQILDKGLMEYGCLHYRRRCRIRAPCCNEVFDCRHCHNEAKNNINVDQKHRHDMPRHEVKQVICSLCGTEQEVQQVCINCGVCMGKYFCETCKLFDDDTSKKQYHCDGCGICRIGGPENFFHCYKCGCCYSNLLKNSHPCVEGAMHHDCPVCFEFLFESRYDVTVLPCGHTIHESCLKEMRDHYQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYLNKMVWILCNDCGKSSEVQFHVVAQKCMNCKSYNTRQTRS >Potri.006G245400.1.v4.1 pep chromosome:Pop_tri_v4:6:24606234:24611995:-1 gene:Potri.006G245400.v4.1 transcript:Potri.006G245400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245400.v4.1 MAEVDIKSFDPQEFRLFQQMGSCSQHFNEDFIFSEESMNVETPQILDKGLMEYGCLHYRRRCRIRAPCCNEVFDCRHCHNEAKNNINVDQKHRHDMPRHEVKQVICSLCGTEQEVQQVCINCGVCMGKYFCETCKLFDDDTSKKQYHCDGCGICRIGGPENFFHCYKCGCCYSNLLKNSHPCVEGAMHHDCPVCFEFLFESRYDVTVLPCGHTIHESCLKEMRDHYQYACPLCSKSVCDMSKVWEKFDMEIAATPMPEPYLNKMVWILCNDCGKSSEVQFHVVAQKCMNCKSYNTRQTRS >Potri.013G097050.2.v4.1 pep chromosome:Pop_tri_v4:13:10111434:10112652:1 gene:Potri.013G097050.v4.1 transcript:Potri.013G097050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G097050.v4.1 MASSSMQKGTSSSYSAPQWMYDVYLSFRGKDTRNNFTSHLYSNLEQRGIDVYMDDSGLERGKTIEPALWQAIEDSRFSIVVFSRDYASSPWCLDELVKIVQCMKEMGHTVLPFFYDVDPSEVADQKRNYKKAFIEHKEKFSENLDKVKCWSDCLSTVANLSGWDVRNR >Potri.001G008900.1.v4.1 pep chromosome:Pop_tri_v4:1:611895:613461:-1 gene:Potri.001G008900.v4.1 transcript:Potri.001G008900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G008900.v4.1 MGTLVGHVAPGFAFLALGLWHLFSHIKLHAQQPNSYTSSPWFPTSKFRYLELFLIMLSSSLSISMELFIGPEMHQPFDSDGTIPSNHLHNFEHSSISMTFFVYAVFAILLDRIGPKAQYGLTQLLGALAFGQQLFLFHLHSADHMGVEGQYHLLLQIVIVVSLATTLMGIGLPKSFMVSFVRSASIMFQGVWFIVMGYALWTPSLIPKGCFLNLEEGHLVVRCHDNEALHRAKSLVNIQFSWLLIAITIFVMLFYLVLVKFYGDKVEYSTLTREEDQLPDDDLDDVESQKSKKVGDKKSFMDFEKGFAPIDMER >Potri.003G222600.2.v4.1 pep chromosome:Pop_tri_v4:3:21590024:21593516:-1 gene:Potri.003G222600.v4.1 transcript:Potri.003G222600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222600.v4.1 MGNCCCRREPSVYNEKSESPKDQSPSGRPTKDDRKLPSNPEEVEDLRRDSAANPLIAFTFAELKLITGNFRQDHLLGGGGFGSVYKGLITEDLREGLQPLQVAVKVHDGDNSYQGHREWLAEVIFLGQLSHPNLVKLIGYCCEDEQRVLIYEYMSRGSVENILFSRVLLTLPWSIRMKIAYGAAKGLAFLHEAEKPVIYRDFKTSNILLDQDYNAKLSDFGLAKDGPIGDKTHVSTRIMGTYGYAAPEYIMTGHLTPRSDVYSFGVVLLELLTGRKSLDKSRPAREQNLTDWAIPLLKEKKKLLKIVDPRLEGDYPIKGVHKAAMLAYHCLNRNPKARPLMRDIVDSLEPLLEPEDVQNEKPVLTVIHGVADGQLKGKEGSM >Potri.001G009800.14.v4.1 pep chromosome:Pop_tri_v4:1:660235:663957:1 gene:Potri.001G009800.v4.1 transcript:Potri.001G009800.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009800.v4.1 MASSLLSTSHLSVFPERLSLYSKPSQHHLLNVKPVGIRVKSSLEDESSSSSGGFDDPSQSIKMKESQLSTSRRQCLSCLCSTLVLIGTSATSISIPKAIAMDGKERPVCRNCLGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARQLLDKMYNGRILPGS >Potri.001G009800.15.v4.1 pep chromosome:Pop_tri_v4:1:660252:663588:1 gene:Potri.001G009800.v4.1 transcript:Potri.001G009800.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G009800.v4.1 MASSLLSTSHLSVFPERLSLYSKPSQHHLLNVKPVGIRVKSSLEDESSSSSGGFDDPSQSIKMKESQLSTSRRQCLSCLCSTLVLIGTSATSISIPKAIAMDGKERPVCRNCLGSGAVLCDMCGGTGKWKALNRKRAKDVYEFTECPNCYGRGKLVCPVCLGTGLPNNKGLLRRPDARQLLDKMYNGRILPGS >Potri.006G188000.1.v4.1 pep chromosome:Pop_tri_v4:6:19492360:19499401:-1 gene:Potri.006G188000.v4.1 transcript:Potri.006G188000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G188000.v4.1 MMAVDDQRGGNSVNEKKFPVGMRILAVDDDPICLKVLENLLRKCQYEVTTTNQAVTALEMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSSHGDKEFVYKGVTHGAVDYLLKPVRMEELKNIWQHVIRRKKWYPEDQNRSPNQDKGDDGAGEGEQGVTSTGSADQNGKLNRKRKDQDDEDEEEGEDGDDNEVSGNQKKPRVVWSVDLHQKFVAAVNQMGLDKAVPKKILDLMNVDGLTRENVASHLQKFRLYLKRLSSGGNQQGNMVSAFGAKDSSYLQMSSVDGFGDFRSMHGPGRLSTTSLSSYPSGTFLGRLNSPGGLTLQGIASSGLHQPGLLPTNQGTNLFQGISSALEPKQLQMKSINHIGEFNQKGDTAGFTLASCFPDVKVTIGSLSNTISGATSSPPILQANPQQNHNRGSLVTQSSLSMPSLNQESFDVGVQGSSNFLNHSRCDDNWQGAVQLSTFPSLPIGEPFRHDQLPSSTLRGNISSTTCHIVNSPIDFSSTGSLTAPLEDSRVDMQGQTDFIGNIFQNTTNYISKNRWEENSQNYNPGLNGSFGAMNSLVSGNGSSGALNQSMDQRKRFGASMIGQSNSGTLSMFQHPDVENFALDPKMRSNEDVILAQTKSQNGFVQNNYESLDDIMNEMIKQDQNDGSLMDGEFRFGSFSPGSCM >Potri.001G160800.1.v4.1 pep chromosome:Pop_tri_v4:1:13606359:13614017:1 gene:Potri.001G160800.v4.1 transcript:Potri.001G160800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160800.v4.1 MKSLRALRIASTLASKPPPFVYTNQNLLSHFFSAQPDQNESENDNSDSVFDSTHYTIDSSFNNNSNTTSATHKEPTWDERYRERVDKLVFKKETQKGKLQILEEQEEQVEEQRRRLLAKALLEAALERPDEEEGEEVREEDQKSLSVGIIGAPNAGKSALTNFMVGTKVAAVSRKTNTTTHEVLGVMTDGDTQICFFDTPGLMVNNRGYPYKDMKTRVESAWSSVDLYDVLMVIFDVHRHLTRPDSRVVGLIKCMGAQANPKQKRVLCMNKIDLVEKKKDLLKVVEEFKDLPGYDRHFMISGLKGSGVKHLNQYLMEQAVKRPWDEDPLSMSEEVMKNISLEVVRERLLDHVHQEIPYGIDHRLMDWKELRDGSLRIEQHFITPKLSQRKILVGKKGSKIGRIGVEANEELRSIFKREVHLILQVRIK >Potri.002G124000.1.v4.1 pep chromosome:Pop_tri_v4:2:9396996:9397920:1 gene:Potri.002G124000.v4.1 transcript:Potri.002G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L8 MEGECYTSPSASSSTPTISSIGKRKHGRQQNQEKPYRGIRMRKWGKWVAEIREPNKRSRIWLGSYSTPIAAARAYDTAVFYLRGPSVRLNFPDLIHQEDELCDVSAASIRKKATEVGAKVDALQTALHASPGDNSPANRLLLSEKPDLNEYPENCDEE >Potri.008G170800.9.v4.1 pep chromosome:Pop_tri_v4:8:11812881:11816629:1 gene:Potri.008G170800.v4.1 transcript:Potri.008G170800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170800.v4.1 MVKQVCSDAVEIVEPAKEGYQLTLKLNLAKIPRGKDPYKVITQISSVQAVILCSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFKEHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVTFDISSRHVEGKKLDKTVWSLLNFYAYVKKHVKGTRGFIQRRMQKRLESLVEVLHKEKLEENEDVKKFKAHAECRYVGKLVGLSKPKNFKRRCRDLTRKIMQIHFRIKIHGFRRFHRRWLTIPKFSSPLRYTKLE >Potri.008G170800.3.v4.1 pep chromosome:Pop_tri_v4:8:11812210:11816659:1 gene:Potri.008G170800.v4.1 transcript:Potri.008G170800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170800.v4.1 MVKQVCSDAVEIVEPAKEGYQLTLKLNLAKIPRGKDPYKVITQISSVQAVILCSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFKEHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVTFDISSRHVEGKKLDKTVWSLLNFYAYVKKHVKGTRGFIQRRMQKRLESLVEVLHKEKLEENEDVKKFKAHAECRYVGKLVGLSKPKNFKRRCRDLTRKIMQIHFRIKIHGFRRFHRRWLTIPKFSSPLRYTKLE >Potri.008G170800.4.v4.1 pep chromosome:Pop_tri_v4:8:11812211:11816715:1 gene:Potri.008G170800.v4.1 transcript:Potri.008G170800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170800.v4.1 MVKQVCSDAVEIVEPAKEGYQLTLKLNLAKIPRGKDPYKVITQISSVQAVILCSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFKEHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVTFDISSRHVEGKKLDKTVWSLLNFYAYVKKHVKGTRGFIQRRMQKRLESLVEVLHKEKLEENEDVKKFKAHAECRYVGKLVGLSKPKNFKRRCRDLTRKIMQIHFRIKIHGFRRFHRRWLTIPKFSSPLRYTKLE >Potri.008G170800.5.v4.1 pep chromosome:Pop_tri_v4:8:11812312:11816660:1 gene:Potri.008G170800.v4.1 transcript:Potri.008G170800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170800.v4.1 MVKQVCSDAVEIVEPAKEGYQLTLKLNLAKIPRGKDPYKVITQISSVQAVILCSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFKEHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVTFDISSRHVEGKKLDKTVWSLLNFYAYVKKHVKGTRGFIQRRMQKRLESLVEVLHKEKLEENEDVKKFKAHAECRYVGKLVGLSKPKNFKRRCRDLTRKIMQIHFRIKIHGFRRFHRRWLTIPKFSSPLRYTKLE >Potri.008G170800.1.v4.1 pep chromosome:Pop_tri_v4:8:11812201:11816715:1 gene:Potri.008G170800.v4.1 transcript:Potri.008G170800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170800.v4.1 MIARFQLAFYSKFIDIDKTCGFEVLYLSSFPPPCELKLDRGFFNMACFERVSPALKEILLKLYHAEKPVEIDHHLYEFGCVEYHVQSSAADPQNNYLSLSTPLLSQGALLSCGLSSYTTQMVKQVCSDAVEIVEPAKEGYQLTLKLNLAKIPRGKDPYKVITQISSVQAVILCSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFKEHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVTFDISSRHVEGKKLDKTVWSLLNFYAYVKKHVKGTRGFIQRRMQKRLESLVEVLHKEKLEENEDVKKFKAHAECRYVGKLVGLSKPKNFKRRCRDLTRKIMQIHFRIKIHGFRRFHRRWLTIPKFSSPLRYTKLE >Potri.008G170800.6.v4.1 pep chromosome:Pop_tri_v4:8:11812411:11816629:1 gene:Potri.008G170800.v4.1 transcript:Potri.008G170800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170800.v4.1 MVKQVCSDAVEIVEPAKEGYQLTLKLNLAKIPRGKDPYKVITQISSVQAVILCSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFKEHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVTFDISSRHVEGKKLDKTVWSLLNFYAYVKKHVKGTRGFIQRRMQKRLESLVEVLHKEKLEENEDVKKFKAHAECRYVGKLVGLSKPKNFKRRCRDLTRKIMQIHFRIKIHGFRRFHRRWLTIPKFSSPLRYTKLE >Potri.008G170800.8.v4.1 pep chromosome:Pop_tri_v4:8:11812881:11816629:1 gene:Potri.008G170800.v4.1 transcript:Potri.008G170800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170800.v4.1 MVKQVCSDAVEIVEPAKEGYQLTLKLNLAKIPRGKDPYKVITQISSVQAVILCSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFKEHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVTFDISSRHVEGKKLDKTVWSLLNFYAYVKKHVKGTRGFIQRRMQKRLESLVEVLHKEKLEENEDVKKFKAHAECRYVGKLVGLSKPKNFKRRCRDLTRKIMQIHFRIKIHGFRRFHRRWLTIPKFSSPLRYTKLE >Potri.008G170800.7.v4.1 pep chromosome:Pop_tri_v4:8:11812386:11816660:1 gene:Potri.008G170800.v4.1 transcript:Potri.008G170800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G170800.v4.1 MACFERVSPALKEILLKLYHAEKPVEIDHHLYEFGCVEYHVQGALLSCGLSSYTTQMVKQVCSDAVEIVEPAKEGYQLTLKLNLAKIPRGKDPYKVITQISSVQAVILCSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFKEHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVTFDISSRHVEGKKLDKTVWSLLNFYAYVKKHVKGTRGFIQRRMQKRLESLVEVLHKEKLEENEDVKKFKAHAECRYVGKLVGLSKPKNFKRRCRDLTRKIMQIHFRIKIHGFRRFHRRWLTIPKFSSPLRYTKLE >Potri.003G209500.2.v4.1 pep chromosome:Pop_tri_v4:3:20798005:20800137:1 gene:Potri.003G209500.v4.1 transcript:Potri.003G209500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G209500.v4.1 MLSSNSQRAIIVIRRMEIIGTSSEKMKTGDHVSIDIYKLGKTMREELEILHPLLDDCCIYMVPKRLRVLNEKAYTPQVVSIGPLHHGREEFKAMEECKRRYLKDFLAWSELSLEDLIGVTEMEETRPRNCYAEAIELSSDVFVKMMLLDAAFIIMIMLKNYFLDFQSSNDRIFSRPWMIHDIRFDMILLENQLPFFFLNDLLKLSNPYKGYSLIAFTHGFLKGALGSWVTDDILNGINSSEVQHFVDFLRMCQLPTKLPRPRKLKTLSMPSAAELRQAGVKFKLGSSKNLFDAKFNKSRGRLEIPRLLVIDQTEILFRNLQAFEQCNCSDKYVTNYITMINLLVCSTRDVEILVRKGIIENWLRDNDAVLSVVHNLDKENLIFLDNFYFSEIVEDLN >Potri.003G209500.3.v4.1 pep chromosome:Pop_tri_v4:3:20798038:20800108:1 gene:Potri.003G209500.v4.1 transcript:Potri.003G209500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G209500.v4.1 MEIIGTSSEKMKTGDHVSIDIYKLGKTMREELEILHPLLDDCCIYMVPKRLRVLNEKAYTPQVVSIGPLHHGREEFKAMEECKRRYLKDFLAWSELSLEDLIGVTEMEETRPRNCYAEAIELSSDVFVKMMLLDAAFIIMIMLKNYFLDFQSSNDRIFSRPWMIHDIRFDMILLENQLPFFFLNDLLKLSNPYKGYSLIAFTHGFLKGALGSWVTDDILNGINSSEVQHFVDFLRMCQLPTKLPRPRKLKTLSMPSAAELRQAGVKFKLGSSKNLFDAKFNKSRGRLEIPRLLVIDQTEILFRNLQAFEQCNCSDKYVTNYITMINLLVCSTRDVEILVRKGIIENWLRDNDAVLSVVHNLDKENLIFLDNFYFSEIVEDLN >Potri.009G118100.1.v4.1 pep chromosome:Pop_tri_v4:9:9933156:9935570:1 gene:Potri.009G118100.v4.1 transcript:Potri.009G118100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G118100.v4.1 MASKILFIGGTGYIGKFIVEASAKAGHPTFVLVRESTLSNPAKSVVIDNFKNLGVNFLIGDLFDHESLVKAIKQVDVVISTVGHAQLVEQDRIIAAIKEAGNVKRFFPSEFGNDVDRVNAVEPAKSAFATKANVRRAIEAEGIPYTYVSSNFFSGYFLLSFNQPGATAPPRDKVVILGDGNPKAVFNKEDDIATYTIKAVDDPRTLNKILYIKPPANTISFNDLVSLWEKKIGKTLERIYVPEEQLLKNIQEASVPVNVVLSIGHSVFVKGDHTNFEIEPSFGVEASELYPDVKYTTVDEYLKQFV >Potri.005G039000.5.v4.1 pep chromosome:Pop_tri_v4:5:2500359:2504292:1 gene:Potri.005G039000.v4.1 transcript:Potri.005G039000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039000.v4.1 MSSLKDDRLVKGSARIHKCISFLFPDGCEPPSCPKSSNLVVPLHCSLNMLEGDTGCSVWPSSLYLSEFVLSFPDIFSNKSCFEVGSGVGLVGICLSHVKASQVILSDGDLSTLSNMKLNLKLNQLSAETDVLERFGEDPNTVQAELYNKSKVKCFHLPWESATESELEDYLPDIILGADVIYDPSCLPHLLRVLVILLKQKKAYTQTWEESCEGRLQDAEHIDVNGASEGKSLFAHDIQCVTIQNGNRTDPCQVEELHGGSSVARLMKCPVAYIASVIRNIDTFNCFLKLAEEANLVIADITEALVPLNLLPYMQSYNRSSIRLFTVKCK >Potri.005G039000.1.v4.1 pep chromosome:Pop_tri_v4:5:2500353:2504337:1 gene:Potri.005G039000.v4.1 transcript:Potri.005G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039000.v4.1 MAEPKLDPTIPPGLHLVSAFLSMEPTNTLLSLSRECGGGLVTEGVQRFIWDHCISNEAGEKGYGPYLRNFVKRLISEVESRNGTVLDELYERCGYYMSSLKDDRLVKGSARIHKCISFLFPDGCEPPSCPKSSNLVVPLHCSLNMLEGDTGCSVWPSSLYLSEFVLSFPDIFSNKSCFEVGSGVGLVGICLSHVKASQVILSDGDLSTLSNMKLNLKLNQLSAETDVLERFGEDPNTVQAELYNKSKVKCFHLPWESATESELEDYLPDIILGADVIYDPSCLPHLLRVLVILLKQKKAYTQTWEESCEGRLQDAEHIDVNGASEGKSLFAHDIQCVTIQNGNRTDPCQVEELHGGSSVARLMKCPVAYIASVIRNIDTFNCFLKLAEEANLVIADITEALVPLNLLPYMQSYNRSSIRLFTVKCK >Potri.005G039000.4.v4.1 pep chromosome:Pop_tri_v4:5:2500353:2504337:1 gene:Potri.005G039000.v4.1 transcript:Potri.005G039000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039000.v4.1 MSSLKDDRLVKGSARIHKCISFLFPDVFLGCEPPSCPKSSNLVVPLHCSLNMLEGDTGCSVWPSSLYLSEFVLSFPDIFSNKSCFEVGSGVGLVGICLSHVKASQVILSDGDLSTLSNMKLNLKLNQLSAETDVLERFGEDPNTVQAELYNKSKVKCFHLPWESATESELEDYLPDIILGADVIYDPSCLPHLLRVLVILLKQKKAYTQTWEESCEGRLQDAEHIDVNGASEGKSLFAHDIQCVTIQNGNRTDPCQVEELHGGSSVARLMKCPVAYIASVIRNIDTFNCFLKLAEEANLVIADITEALVPLNLLPYMQSYNRSSIRLFTVKCK >Potri.005G039000.3.v4.1 pep chromosome:Pop_tri_v4:5:2500353:2504337:1 gene:Potri.005G039000.v4.1 transcript:Potri.005G039000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039000.v4.1 MAEPKLDPTIPPGLHLVSAFLSMEPTNTLLSLSRECGGGLVTEGVQRFIWDHCISNEAGEKGYGPYLRNFVKRLISEVESRNGTVLDELYERCGYYMSSLKDDRLVKGSARIHKCISFLFPDVFLGCEPPSCPKSSNLVVPLHCSLNMLEGDTGCSVWPSSLYLSEFVLSFPDIFSNKSCFEVGSGVGLVGICLSHVKASQVILSDGDLSTLSNMKLNLKLNQLSAETDVLERFGEDPNTVQAELYNKSKVKCFHLPWESATESELEDYLPDIILGADVIYDPSCLPHLLRVLVILLKQKKAYTQTWEESCEGRLQDAEHIDVNGASEGKSLFAHDIQCVTIQNGNRTDPCQVEELHGGSSVARLMKCPVAYIASVIRNIDTFNCFLKLAEEANLVIADITEALVPLNLLPYMQSYNRSSIRLFTVKCK >Potri.005G039000.6.v4.1 pep chromosome:Pop_tri_v4:5:2500505:2504276:1 gene:Potri.005G039000.v4.1 transcript:Potri.005G039000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G039000.v4.1 MLEGDTGCSVWPSSLYLSEFVLSFPDIFSNKSCFEVGSGVGLVGICLSHVKASQVILSDGDLSTLSNMKLNLKLNQLSAETDVLERFGEDPNTVQAELYNKSKVKCFHLPWESATESELEDYLPDIILGADVIYDPSCLPHLLRVLVILLKQKKAYTQTWEESCEGRLQDAEHIDVNGASEGKSLFAHDIQCVTIQNGNRTDPCQVEELHGGSSVARLMKCPVAYIASVIRNIDTFNCFLKLAEEANLVIADITEALVPLNLLPYMQSYNRSSIRLFTVKCK >Potri.008G096200.1.v4.1 pep chromosome:Pop_tri_v4:8:5988279:5993109:-1 gene:Potri.008G096200.v4.1 transcript:Potri.008G096200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096200.v4.1 MEEFQGLHVPAGVPEPASSHGNVRLNLDGYGNRGSGFPGLKKRGHGHGNRSWIKIDQDGNSKILELDKATIMRHCSLPSRDLRLLDPLFIYPSTILGREKAIVVSLEQIRCIITADEVILMNSLDGCVVQYMSEFCKRLQTNREQAEDLPFEFRALELALDLTCMSLDAQVKELGLEVYPVLDELATSINTHNLERVRRLKGHLLALTQRVQRVHDEIEHLMDDDGDMAEMYLTEKRQRSEAYALGDMYFQNDIPSEGRVVSKSAPVSPVRSLSGAQKLQRAFSNISPSKHGSLMSSSSNGENIDQLEMLLEAYFAAIDNTLSKLFSLKEYIDDTEDLINIKLGNVQNQLIQFELLLTAATFVTTIFAVVTGIFGMNFVASIFDYPNAFNWVLIITGLACVFLYLCFLFYFRYKKVFPL >Potri.010G028300.2.v4.1 pep chromosome:Pop_tri_v4:10:4036703:4041162:-1 gene:Potri.010G028300.v4.1 transcript:Potri.010G028300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G028300.v4.1 MGCVNGKCCSRYPSSTDSDSGGYGEMGSCRNATNKHILTQRSLEIVPVPSQNYELQYSVLTQRGYYPDSPDKENQDSFCIRTQIQGNPNVHFFGVFDGHGHFGTECSRFVKDRLAEILANDPTLLDDPVKAYNSAFLMTNYELHSSEIDDSMSGTTAITVLVIGDAIYVANVGDSRAVIAVKNGNRIVAENLSSDQTPFRKDEYERVKLCGARVLSVDQVEGLKDPDIQAWGDEESQGGDPPRLWVQNGMYPGTAFTRSVGDSTAEKIGVISVPEVSMVRLTPNHLFFVVASDGVFEFLSSQTVVDMVTRYTDSRDACAAIAGESYKIWLEHENRTDDITIIIVHIKGLSNSGAGDTDGTTGGNRNPTSSRTGRGSSDSSAASGSEIYRSIRSEFTDLQLSMNRSPAIVVPSPSQPWELDGG >Potri.001G357800.1.v4.1 pep chromosome:Pop_tri_v4:1:37308789:37325433:-1 gene:Potri.001G357800.v4.1 transcript:Potri.001G357800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357800.v4.1 MEDSEGVLSFDFEGGLDSGPANPIASIPAIPSDNYGAATAAAPNTTNTTTNTTNNSNSGAADIQAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVVQKIQQLNSYNGVTSNKNFQQRNAGFSQQIEKSPNTIIKPSGTESANVQQQQQQQQQTQTPHLTNGQHQQPQQPNPLNRIATPLPQGISRYFIVKSCNRENLELSVQQGVWATQRSNEIKLNEALDSADNVILIFSVNRTRHFQGCAKMASKIGASVGGGNWKYAHGTAHYGRNFSVKWLKLCELSFHKTRHLRNPFNENLPVKISRDCQELEPSIGEQLASLLYLEPDSELMAVSLAAEAKREEEKEKGVNPDSGGENPDIVPFEDNEEEEEEESEEEEESFGQPLGPAAQGRGRGRGMMWPSHNPMARGARPIPGIRGFPPMMMGADGFSYGAVTPDSFGMPDLFGVASRGFPPYGPRFSGDFTGAASGMMFPGRPSQPGAVFPAGGFGMMMGPGRPPFIGGMGPTPSNLLRGPRPGGMFAPFPAPSSQNNSRSVKRDQRAAANDRNDRHSAESDVVRGAAGESNDERKYMQETLKASHEDQFGAVNSIRNDESESEDEAPRRSRHGEGKKKRRGSGDDATPGSEH >Potri.001G357800.4.v4.1 pep chromosome:Pop_tri_v4:1:37324461:37325332:-1 gene:Potri.001G357800.v4.1 transcript:Potri.001G357800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G357800.v4.1 MEDSEGVLSFDFEGGLDSGPANPIASIPAIPSDNYGAATAAAPNTTNTTTNTTNNSNSGAADIQAGRRSFRQTVCRHWLRSLCMKGDACGFLHQYDKSRMPVCRFFRLYGECREQDCVYKHTNEDIKECNMYKLGFCPNGPDCRYRHAKLPGPPPPVEEVVQKIQQLNSYNGVTSNKNFQQRNAGFSQQIEKSPNTIIKPSGTESANVQQQQQQQQQTQTPHLTNGQHQQPQQPNPLNRIATPLPQGISRCVQSSEVLY >Potri.009G144600.1.v4.1 pep chromosome:Pop_tri_v4:9:11484404:11486571:1 gene:Potri.009G144600.v4.1 transcript:Potri.009G144600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144600.v4.1 MSGFVRSKRVTDPLDDKAKARLVGRQLSYVSSGSEHSADDDDDLPCLSELVHGFLENDDSDLTDDSVNGYESDSDRVDSVADCKDFVEGILRSGSRDSYRNLLSAHVSKAMEAFSCLINQRPVLRRKVMSSLRELGHNAAICKTKWESSGGGGLTAGGYEFIDVVQSKSSTLQNRYVVDLDFASQFEIARPTSQFLKLLHSLPRVFVGRSEDLKTIVKSISDASKRSLKSRELSLPPWRKNRYMQNKWFGPYRRTVNPSPATPPSVDVVKCRCVGFDDAVNGRLFVRTR >Potri.006G073450.1.v4.1 pep chromosome:Pop_tri_v4:6:5370000:5371011:1 gene:Potri.006G073450.v4.1 transcript:Potri.006G073450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073450.v4.1 MKSLPTVLKECARGWNVDLPFTFTVKERTSTKPPSQVLNHQTRRGSPTRQQQLPLSPTSKTKAPHNNLSLICSFGSREGVVFPTRPNQVQILQILKSQKQKRFPLCGPWYLQVVNLNQQEPERSLI >Potri.001G032300.2.v4.1 pep chromosome:Pop_tri_v4:1:2401309:2402460:1 gene:Potri.001G032300.v4.1 transcript:Potri.001G032300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032300.v4.1 MSTVAPPVSASAAAGSGLGYGIAIAIGILVLISTIMLTSYACTRIKGNGNGNGSNNNGGGNDSSNIYGHDRHFIAGNPIEPMTVVVGLAEPIIDSYTKMVLGESRRLPKPNEGPCSICLSDYQPKDTIRCIPDCHHCFHADCIDGWLKMSATCPLCRNSPAPSKGPTPVTTPLAEVVPSAFHAR >Potri.012G071900.2.v4.1 pep chromosome:Pop_tri_v4:12:9566040:9577462:1 gene:Potri.012G071900.v4.1 transcript:Potri.012G071900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071900.v4.1 MGNRKRPLTSRTKHPPSPSAAAPTVASDETDLYPSPNSVSTESDGSSTIKHECDRALNALRRGNHTKALRIMKDSCAKHGGDALIHRVHSTVCVKVASIIDDTNSKQRYLKNAIEAARRAAELSPNSIEFAHFYANLLYEAANDGKEYEEVMKECDRALKIENPIDPAKESLQEESQQKIATAEGRIAHVQGELKNLQQKSNIASISTWMKNLGTGEEIRLIPIRRATEDPMEVRLVQTRRPNEIKKATKTQEEKRKEIEVRVAAARLLQKSEIGLGQREGERSDKGVEVTPWSDRRGERRKNGSNARKNGTNTERKDWVRSYWNSMSLEMKRELLKIKVSDLKSYFVSSKNGLASDVLNEALACSEENKSWRFWVCCRCNEKFADSDSHLHHVVQEHMRSLMPKMQEVLPQSPDNEWIEMINSCSWKPLDISSAVKMLWNRGKCQNGELVEDICSENHNEDGDGCFKDAWDSSPEKENLRDGCISCPVSSSNSGKVYSIEGKEFDGNQLSIACTIESWPISEDSERAKLLEKIHDVFQALIRHKYLAASHLNKVIQFTVDELQSLATGSQLLNHGVGQTPMCICFLGAFQLKKILKFLQELSHSCGLGMSPEKSSVVDDMNTGAKGPEIKENIVLNDDASCLYLDKCLLPLEYAPRTCPDDDVTTATSTIVGNGDGVLPAVDTLLSWIFAGLSSGEQLQSWIRTKEERMNQGMEILQTLEKEFYHLQSLYERKCEHLSYEQALQAVEDLCLEEGKKRETDTLFELRSYDSVLRQRREKLVENEHDALFFSSRFELDAISNVLKEADTLNVNQYGYEDTYGGITSQFCDLKSGEDGNWRTKDQMHQVETFIEIAIQRQKEQLSIELSKIDAQIMRIVTGMQQLELKLESVSALDYRSILPPLVKSYMRAHLEDLAEKDATEKSNAAGEAFLAELALDSKKGTQGRSDISRNTLEKGKDRRKNKEYKKTKELKVAAASEQHLLQDVTNERGSFPVASDGDYPDSQCHLSRNGDDLRQQEEEFRWKIEIEEEERMLEESLKYQRRIENEAKQKHLAEQQYKKSHITLPEKLSGGICNICFDPAADSCEPLLTQKSGFPNNLEGMPMTTASEPSTGGNVEGGPSDRRPGRKSRRQKSSAKYDGKNQPMSCEMENIEVGSITPNLGDSATKTLRQLQVEEDDEERFQADLERAVRQSLVATENVDGTDVFGTGLKNDIGEYNCFLNVIIQSLWHLRRFRDEFLSRSKSEHVHVGDPCVVCALYDILTAISMASTDTRREAVAPTSLRIALSNLYPNSNFFQEGQMNDASEVLAVIFDCLHRAFTTGLHGSDSESVECSGMESWECTKKNACIVHSLFGMDISEQMNCQSCGVESRHLKYNAFFHNINATALRTMKVMCAESCFDELLNLVEMNHQLTCDPEAGGCGKPNYIHHIMSTPPHVFTTVLGWRKTCESIDDIKATLAALSTEIDISVFYRGLDLKNIRSLVSVVCYYGQHYHCFAYSHDHSQWIMYDDKTVKVIGSWTEVLIMCEKGHLQPQVLFFEAGN >Potri.012G071900.3.v4.1 pep chromosome:Pop_tri_v4:12:9565981:9577357:1 gene:Potri.012G071900.v4.1 transcript:Potri.012G071900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071900.v4.1 MGNRKRPLTSRTKHPPSPSAAAPTVASDETDLYPSPNSVSTESDGSSTIKHECDRALNALRRGNHTKALRIMKDSCAKHGGDALIHRVHSTVCVKVASIIDDTNSKQRYLKNAIEAARRAAELSPNSIEFAHFYANLLYEAANDGKEYEEVMKECDRALKIENPIDPAKESLQEESQQKIATAEGRIAHVQGELKNLQQKSNIASISTWMKNLGTGEEIRLIPIRRATEDPMEVRLVQTRRPNEIKKATKTQEEKRKEIEVRVAAARLLQKSEIGLGQREGERSDKGVEVTPWSDRRGERRKNGSNARKNGTNTERKDWVRSYWNSMSLEMKRELLKIKVSDLKSYFVSSKNGLASDVLNEALACSEENKSWRFWVCCRCNEKFADSDSHLHHVVQEHMRSLMPKMQEVLPQSPDNEWIEMINSCSWKPLDISSAVKMLWNRGKCQNGELVEDICSENHNEDGDGCFKDAWDSSPEKENLRDGCISCPVSSSNSGKVYSIEGKEFDGNQLSIACTIESWPISEDSERAKLLEKIHDVFQALIRHKYLAASHLNKVIQFTVDELQSLATGSQLLNHGVGQTPMCICFLGAFQLKKILKFLQELSHSCGLGMSPEKSSVVDDMNTGAKGPEIKENIVLNDDASCLYLDKCLLPLEYAPRTCPDDDVTTATSTIVGNGDGVLPAVDTLLSWIFAGLSSGEQLQSWIRTKEERMNQGMEILQTLEKEFYHLQSLYERKCEHLSYEQALQAVEDLCLEEGKKRETDTLFELRSYDSVLRQRREKLVENEHDALFFSSRFELDAISNVLKEADTLNVNQYGYEDTYGGITSQFCDLKSGEDGNWRTKDQMHQVETFIEIAIQRQKEQLSIELSKIDAQIMRIVTGMQQLELKLESVSALDYRSILPPLVKSYMRAHLEDLAEKDATEKSNAAGEAFLAELALDSKKGTQGRSDISRNTLEKGKDRRKNKEYKKTKELKVAAASEQHLLQDVTNERGSFPVASDGDYPDSQCHLSRNGDDLRQQEEEFRWKIEIEEEERMLEESLKYQRRIENEAKQKHLAEQQYKKSHITLPEKLSGGICNICFDPAADSCEPLEQLTQKSGFPNNLEGMPMTTASEPSTGGNVEGGPSDRRPVTPNLGDSATKTLRQLQVEEDDEERFQADLERAVRQSLVATENVDGTDVFGTGLKNDIGEYNCFLNVIIQSLWHLRRFRDEFLSRSKSEHVHVGDPCVVCALYDILTAISMASTDTRREAVAPTSLRIALSNLYPNSNFFQEGQMNDASEVLAVIFDCLHRAFTTGLHGSDSESVECSGMESWECTKKNACIVHSLFGMDISEQMNCQSCGVESRHLKYNAFFHNINATALRTMKVMCAESCFDELLNLVEMNHQLTCDPEAGGCGKPNYIHHIMSTPPHVFTTVLGWRKTCESIDDIKATLAALSTEIDISVFYRGLDLKNIRSLVSVVCYYGQHYHCFAYSHDHSQWIMYDDKTVKVIGSWTEVLIMCEKGHLQPQVLFFEAGN >Potri.012G071900.5.v4.1 pep chromosome:Pop_tri_v4:12:9565981:9572805:1 gene:Potri.012G071900.v4.1 transcript:Potri.012G071900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071900.v4.1 MGNRKRPLTSRTKHPPSPSAAAPTVASDETDLYPSPNSVSTESDGSSTIKHECDRALNALRRGNHTKALRIMKDSCAKHGGDALIHRVHSTVCVKVASIIDDTNSKQRYLKNAIEAARRAAELSPNSIEFAHFYANLLYEAANDGKEYEEVMKECDRALKIENPIDPAKESLQEESQQKIATAEGRIAHVQGELKNLQQKSNIASISTWMKNLGTGEEIRLIPIRRATEDPMEVRLVQTRRPNEIKKATKTQEEKRKEIEVRVAAARLLQKSEIGLGQREGERSDKGVEVTPWSDRRGERRKNGSNARKNGTNTERKDWVRSYWNSMSLEMKRELLKIKVSDLKSYFVSSKNGLASDVLNEALACSEENKSWRFWVCCRCNEKFADSDSHLHHVVQEHMRSLMPKMQEVLPQSPDNEWIEMINSCSWKPLDISSAVKMLWNRGKCQNGELVEDICSENHNEDGDGCFKDAWDSSPEKENLRDGCISCPVSSSNSGKVYSIEGKEFDGNQLSIACTIESWPISEDSERAKLLEKIHDVFQALIRHKYLAASHLNKVIQFTVDELQSLATGSQLLNHGVGQTPMCICFLGAFQLKKILKFLQELSHSCGLGMSPEKSSVVDDMNTGAKGPEIKENIVLNDDASCLYLDKCLLPLEYAPRTCPDDDVTTATSTIVGNGDGVLPAVDTLLSWIFAGLSSGEQLQSWIRTKEERMNQGMEILQTLEKEFYHLQSLYERKCEHLSYEQALQAVEDLCLEEGKKRETDTLFELRSYDSVLRQRREKLVENEHDALFFSSRFELDAISNVLKEADTLNVNQYGYEDTYGGITSQFCDLKSGEDGNWRTKDQMHQVETFIEIAIQRQKEQLSIELSKIDAQIMRIVTGMQQLELKLESVSALDYRSILPPLVKSYMRAHLEDLAEKDATEKSNAAGEAFLAELALDSKKGTQGRSDISRNTLEKGKDRRKNKEYKKTKELKVAAASEQHLLQDVTNERGSFPVASDGDYPDSQCHLSRNGDDLRQQEEEFRWKIEIEEEERMLEESLKYQRRIENEAKQKHLAEQQYKKSHITLPEKLSGGICNICFDPAADSCEPLEQLTQKSGFPNNLEGMPMTTASEPSTGGNVEGGPSDRRPGRKSRRQKSSAKYDGKNQPMSCEMENIEVGSITPNLGDSATKTLRQLQVEEDDEERFQADLERAVRQSLGSIYFDNNNKKPQQ >Potri.012G071900.1.v4.1 pep chromosome:Pop_tri_v4:12:9565981:9577543:1 gene:Potri.012G071900.v4.1 transcript:Potri.012G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071900.v4.1 MGNRKRPLTSRTKHPPSPSAAAPTVASDETDLYPSPNSVSTESDGSSTIKHECDRALNALRRGNHTKALRIMKDSCAKHGGDALIHRVHSTVCVKVASIIDDTNSKQRYLKNAIEAARRAAELSPNSIEFAHFYANLLYEAANDGKEYEEVMKECDRALKIENPIDPAKESLQEESQQKIATAEGRIAHVQGELKNLQQKSNIASISTWMKNLGTGEEIRLIPIRRATEDPMEVRLVQTRRPNEIKKATKTQEEKRKEIEVRVAAARLLQKSEIGLGQREGERSDKGVEVTPWSDRRGERRKNGSNARKNGTNTERKDWVRSYWNSMSLEMKRELLKIKVSDLKSYFVSSKNGLASDVLNEALACSEENKSWRFWVCCRCNEKFADSDSHLHHVVQEHMRSLMPKMQEVLPQSPDNEWIEMINSCSWKPLDISSAVKMLWNRGKCQNGELVEDICSENHNEDGDGCFKDAWDSSPEKENLRDGCISCPVSSSNSGKVYSIEGKEFDGNQLSIACTIESWPISEDSERAKLLEKIHDVFQALIRHKYLAASHLNKVIQFTVDELQSLATGSQLLNHGVGQTPMCICFLGAFQLKKILKFLQELSHSCGLGMSPEKSSVVDDMNTGAKGPEIKENIVLNDDASCLYLDKCLLPLEYAPRTCPDDDVTTATSTIVGNGDGVLPAVDTLLSWIFAGLSSGEQLQSWIRTKEERMNQGMEILQTLEKEFYHLQSLYERKCEHLSYEQALQAVEDLCLEEGKKRETDTLFELRSYDSVLRQRREKLVENEHDALFFSSRFELDAISNVLKEADTLNVNQYGYEDTYGGITSQFCDLKSGEDGNWRTKDQMHQVETFIEIAIQRQKEQLSIELSKIDAQIMRIVTGMQQLELKLESVSALDYRSILPPLVKSYMRAHLEDLAEKDATEKSNAAGEAFLAELALDSKKGTQGRSDISRNTLEKGKDRRKNKEYKKTKELKVAAASEQHLLQDVTNERGSFPVASDGDYPDSQCHLSRNGDDLRQQEEEFRWKIEIEEEERMLEESLKYQRRIENEAKQKHLAEQQYKKSHITLPEKLSGGICNICFDPAADSCEPLEQLTQKSGFPNNLEGMPMTTASEPSTGGNVEGGPSDRRPGRKSRRQKSSAKYDGKNQPMSCEMENIEVGSITPNLGDSATKTLRQLQVEEDDEERFQADLERAVRQSLVATENVDGTDVFGTGLKNDIGEYNCFLNVIIQSLWHLRRFRDEFLSRSKSEHVHVGDPCVVCALYDILTAISMASTDTRREAVAPTSLRIALSNLYPNSNFFQEGQMNDASEVLAVIFDCLHRAFTTGLHGSDSESVECSGMESWECTKKNACIVHSLFGMDISEQMNCQSCGVESRHLKYNAFFHNINATALRTMKVMCAESCFDELLNLVEMNHQLTCDPEAGGCGKPNYIHHIMSTPPHVFTTVLGWRKTCESIDDIKATLAALSTEIDISVFYRGLDLKNIRSLVSVVCYYGQHYHCFAYSHDHSQWIMYDDKTVKVIGSWTEVLIMCEKGHLQPQVLFFEAGN >Potri.012G071900.4.v4.1 pep chromosome:Pop_tri_v4:12:9566170:9577357:1 gene:Potri.012G071900.v4.1 transcript:Potri.012G071900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G071900.v4.1 MGNRKRPLTSRTKHPPSPSAAAPTVASDETDLYPSPNSVSTESDGSSTIKHECDRALNALRRGNHTKALRIMKDSCAKHGGDALIHRVHSTVCVKVASIIDDTNSKQRYLKNAIEAARRAAELSPNSIEFAHFYANLLYEAANDGKEYEEVMKECDRALKIENPIDPAKESLQEESQQKIATAEGRIAHVQGELKNLQQKSNIASISTWMKNLGTGEEIRLIPIRRATEDPMEVRLVQTRRPNEIKKATKTQEEKRKEIEVRVAAARLLQKSEIGLGQREGERSDKGVEVTPWSDRRGERRKNGSNARKNGTNTERKDWVRSYWNSMSLEMKRELLKIKVSDLKSYFVSSKNGLASDVLNEALACSEENKSWRFWVCCRCNEKFADSDSHLHHVVQEHMRSLMPKMQEVLPQSPDNEWIEMINSCSWKPLDISSAVKMLWNRGKCQNGELVEDICSENHNEDGDGCFKDAWDSSPEKENLRDGCISCPVSSSNSGKVYSIEGKEFDGNQLSIACTIESWPISEDSERAKLLEKIHDVFQALIRHKYLAASHLNKVIQFTVDELQSLATGSQLLNHGVGQTPMCICFLGAFQLKKILKFLQELSHSCGLGMSPEKSSVVDDMNTGAKGPEIKENIVLNDDASCLYLDKCLLPLEYAPRTCPDDDVTTATSTIVGNGDGVLPAVDTLLSWIFAGLSSGEQLQSWIRTKEERMNQGMEILQTLEKEFYHLQSLYERKCEHLSYEQALQAVEDLCLEEGKKRETDTLFELRSYDSVLRQRREKLVENEHDALFFSSRFELDAISNVLKEADTLNVNQYGYEDTYGGITSQFCDLKSGEDGNWRTKDQMHQVETFIEIAIQRQKEQLSIELSKIDAQIMRIVTGMQQLELKLESVSALDYRSILPPLVKSYMRAHLEDLAEKDATEKSNAAGEAFLAELALDSKKGTQGRSDISRNTLEKGKDRRKNKEYKKTKELKVAAASEQHLLQDVTNERGSFPVASDGDYPDSQCHLSRNGDDLRQQEEEFRWKIEIEEEERMLEESLKYQRRIENEAKQKHLAEQQYKKSHITLPEKLSGGICNICFDPAADSCEPLEQLTQKSGFPNNLEGMPMTTASEPSTGGNVEGGPSDRRPGRKSRRQKSSAKYDGKNQPMSCEMENIEVGSITPNLGDSATKTLRQLQVEEDDEERFQADLERAVRQSLGKFLLILCLLSLYFTTFYNCTFLTMPTTWALREQNQFSSFDAFWPMKPHFSIKIALFYLL >Potri.002G204800.1.v4.1 pep chromosome:Pop_tri_v4:2:16965127:16975023:1 gene:Potri.002G204800.v4.1 transcript:Potri.002G204800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G204800.v4.1 MASGWGITGNKGRCYDFWIDFSECMSQCREPKDCAFLREDYLECLHHSKEFQRRNRIYKEEQRKLRAASKKADGEDAKIAIMHSHN >Potri.009G153700.1.v4.1 pep chromosome:Pop_tri_v4:9:12047999:12048430:-1 gene:Potri.009G153700.v4.1 transcript:Potri.009G153700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153700.v4.1 MASSTLPSHLAGPRLSSPKMVQATMTCSIVFTLALGFMVVASGLAAAPATPFFGRSPVEIQDCLATIKAHADICLHEVASLVLTFQKNLVGPKCCSVLAVIDDKCKPKGFSFDPFLPPLWIRKRCASLLSAPSPEPVVPSSTS >Potri.008G002500.6.v4.1 pep chromosome:Pop_tri_v4:8:64482:67059:1 gene:Potri.008G002500.v4.1 transcript:Potri.008G002500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002500.v4.1 MANIDIEGLLKEHQDDEEGRVPRTKIVCTLGPSSRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLRIAMQNTNILAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITITTDYSIKGDTDMISMSYKKLPVDIKPRNTILCADGTITLTVLSCDPKAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTDKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNIVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKTMRRICIEAESSLDYAAIFKEMIRSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATKRGLCKPGDAVVALHRIGAASVIKICLVK >Potri.008G002500.4.v4.1 pep chromosome:Pop_tri_v4:8:64012:67235:1 gene:Potri.008G002500.v4.1 transcript:Potri.008G002500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002500.v4.1 MINRWMSFNVDCFFPSVFFIFFAEIKLKKKSNRMANIDIEGLLKEHQDDEEGRVPRTKIVCTLGPSSRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLRIAMQNTNILAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITITTDYSIKGDTDMISMSYKKLPVDIKPRNTILCADGTITLTVLSCDPKAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTDKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKHIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNIVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKTMRRICIEAESSLDYAAIFKEMIRSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATKRGLCKPGDAVVALHRIGAASVIKICLVK >Potri.008G002500.1.v4.1 pep chromosome:Pop_tri_v4:8:64485:67112:1 gene:Potri.008G002500.v4.1 transcript:Potri.008G002500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002500.v4.1 MANIDIEGLLKEHQDDEEGRVPRTKIVCTLGPSSRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLRIAMQNTNILAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITITTDYSIKGDTDMISMSYKKLPVDIKPRNTILCADGTITLTVLSCDPKAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTDKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKHIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNIVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKTMRRICIEAESSLDYAAIFKEMIRSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATKRGLCKPGDAVVALHRIGAASVIKICLVK >Potri.008G002500.5.v4.1 pep chromosome:Pop_tri_v4:8:64285:67134:1 gene:Potri.008G002500.v4.1 transcript:Potri.008G002500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002500.v4.1 MANIDIEGLLKEHQDDEEGRVPRTKIVCTLGPSSRSVPMLEKLLRAGMNVARFNFSHGTHEYHQETLNNLRIAMQNTNILAAVMLDTKGPEIRTGFLKDGNPIQLKEGQEITITTDYSIKGDTDMISMSYKKLPVDIKPRNTILCADGTITLTVLSCDPKAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTDKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNIVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKTMRRICIEAESSLDYAAIFKEMIRSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATKRGLCKPGDAVVALHRIGAASVIKICLVK >Potri.008G002500.7.v4.1 pep chromosome:Pop_tri_v4:8:64464:67209:1 gene:Potri.008G002500.v4.1 transcript:Potri.008G002500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002500.v4.1 MISMSYKKLPVDIKPRNTILCADGTITLTVLSCDPKAGTVRCRCENTAMLGERKNVNLPGVVVDLPTLTDKDKEDILGWGVPNNIDMIALSFVRKGSDLVNVRKVLGPHAKHIQLMSKVENQEGVVNFDEILRETDSFMVARGDLGMEIPVEKIFLAQKMMIYKCNIVGKPVVTATQMLESMIKSPRPTRAEATDVANAVLDGTDCVMLSGESAAGAYPELAVKTMRRICIEAESSLDYAAIFKEMIRSTPLPMSPLESLASSAVRTANKARAKLIVVLTRGGTTAKLVAKYRPAVPILSVVVPVLTTDSFDWTCSDETPARHSLIYRGLIPLLAEGSAKATDAESTEVILEAALKSATKRGLCKPGDAVVALHRIGAASVIKICLVK >Potri.018G150200.2.v4.1 pep chromosome:Pop_tri_v4:18:16114580:16115838:-1 gene:Potri.018G150200.v4.1 transcript:Potri.018G150200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150200.v4.1 MEVIELKVHLHCKACEKAVRKALCRIKGVTCVQIDGISNKITVMGYLDKKMVVKAIWKTGRRADVLPSSPSPRLEAPAPSPRLPTGFRCIIPAKWCFKKPNTIPRSTAVTS >Potri.010G075300.1.v4.1 pep chromosome:Pop_tri_v4:10:10321924:10322619:1 gene:Potri.010G075300.v4.1 transcript:Potri.010G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G075300.v4.1 MASICQGKSSWPELLGVDGKCAVATIERENPLVEAIIVPEGSSIIENFRCDRVWVWVDKDGIVYIVPVIG >Potri.008G029600.1.v4.1 pep chromosome:Pop_tri_v4:8:1586144:1586846:1 gene:Potri.008G029600.v4.1 transcript:Potri.008G029600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029600.v4.1 MSTDLESRQDLPRIQVRAVKTETLQSCSATDDKETIIKQENSETDDCQTPKSEEHKIPAVLSCPPAPRKPRRSFSCKRKLTELEFFEIVNREEVDSFFQSSFELLPKRRCPCI >Potri.001G453800.1.v4.1 pep chromosome:Pop_tri_v4:1:48025835:48026485:-1 gene:Potri.001G453800.v4.1 transcript:Potri.001G453800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453800.v4.1 MRPAPLEAEAAAANISHLSPPPLHSRAPSCDPQVHSCKWWPYSNSNDFGANTAMILIILLCALICALVLNTAIRWFLRSNNNNSSDRLGELEEQRKPKDEADMATLVLATTQVYSAGMKLGGVEADCAICLSEFVEGEGIRVLGRCDHGFHVLCIEKWLSSHSSCPTCRRSCLASSPSSPEPDNCSAGNGHDSNSSQSAEPERAADNLSTNGNIPV >Potri.018G027300.4.v4.1 pep chromosome:Pop_tri_v4:18:2036005:2039763:1 gene:Potri.018G027300.v4.1 transcript:Potri.018G027300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027300.v4.1 MDQWGLVRFMGSISVFVLLFPGGCKAGIQSVGKIYPGLQGSAMTWINLDGLFLRSNNSDFAFGFTTTEDVTQFLLTIVHLGSSKVIWSANRGSPVSNSDKFIFGEDGKVSLQKGEDVVWAADTGGKRVSAIEMQDSGNLVLLGNDTSVLWQSFSHPTNTLISNQDFVDGMKLVSDPNSNNLTHILEIKSGDMILSAGFQTPQPYWSVQKESRITINQGGGKVAVASLRGNSWRFYDGNKVFLSQFIFSDSVDASATWIAVLGNDGFISFYNLDESGGASQTKIPSDPCSRPEPCDAHFVCSGNNVCQCPSGLSTRSNCQTGIVSTCDGSHDSTELVSAGNGLTYFSLGFLPPSSKTNMEGCKSACQSNCSCLAFFFQNSSGNCFLFSDIGSFQNSKAGPSFVAYIKVLSDGGSGSNAGGDGSSKKSFPIVVIIVIATLITICGLLYLAFRYHRRKKKMPESPRETSEEDNFLETLSGMPIRFGYRDLQTATNNFSVKLGQGGFGSVYQGALPDGTRLAVKKLEGIGQGKKEFRAEVSIIGSIHHHHLVRLKGFCAEGTHRLLAYEFMANGSLDKWIFKRNNEEFLLDWEARFNIAVGTAKGLAYLHEDCDVKIIHCDIKPENVLLDGQFLAKVSDFGLAKLMNREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFIATESSEKSHFPSYAFKMMEEGKLREILDSKLRFDKDDERVSTSIKVALWCIQEDMHLRPSMTKVVQMLEGLSPVPLPPTSSPLGPRLYSSFFKSISGEGTSSGPSDSNSDAYLSAVQLSGPR >Potri.018G027300.3.v4.1 pep chromosome:Pop_tri_v4:18:2036158:2040752:1 gene:Potri.018G027300.v4.1 transcript:Potri.018G027300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G027300.v4.1 MDQWGLVRFMGSISVFVLLFPGGCKAGIQSVGKIYPGLQGSAMTWINLDGLFLRSNNSDFAFGFTTTEDVTQFLLTIVHLGSSKVIWSANRGSPVSNSDKFIFGEDGKVSLQKGEDVVWAADTGGKRVSAIEMQDSGNLVLLGNDTSVLWQSFSHPTNTLISNQDFVDGMKLVSDPNSNNLTHILEIKSGDMILSAGFQTPQPYWSVQKESRITINQGGGKVAVASLRGNSWRFYDGNKVFLSQFIFSDSVDASATWIAVLGNDGFISFYNLDESGGASQTKIPSDPCSRPEPCDAHFVCSGNNVCQCPSGLSTRSNCQTGIVSTCDGSHDSTELVSAGNGLTYFSLGFLPPSSKTNMEGCKSACQSNCSCLAFFFQNSSGNCFLFSDIGSFQNSKAGPSFVAYIKVLSDGGSGSNAGGDGSSKKSFPIVVIIVIATLITICGLLYLAFRYHRRKKKMPESPRETSEEDNFLETLSGMPIRFGYRDLQTATNNFSVKLGQGGFGSVYQGALPDGTRLAVKKLEGIGQGKKEFRAEVSIIGSIHHHHLVRLKGFCAEGTHRLLAYEFMANGSLDKWIFKRNNEEFLLDWEARFNIAVGTAKGLAYLHEDCDVKIIHCDIKPENVLLDGQFLAKVSDFGLAKLMNREQSHVFTTLRGTRGYLAPEWITNYAISEKSDVYSYGMLLLEIIGGRKNFIATESSEKSHFPSYAFKMMEEGKLREILDSKLRFDKDDERVSTSIKVALWCIQEDMHLRPSMTKVVQMLEGLSPVPLPPTSSPLGPRLYSSFFKSISGEGTSSGPSDSNSDAYLSAVQLSGPR >Potri.010G047800.2.v4.1 pep chromosome:Pop_tri_v4:10:8000153:8000395:-1 gene:Potri.010G047800.v4.1 transcript:Potri.010G047800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047800.v4.1 MCETGGIFETLLPKEADYFSKASLYTIDGGQNDLTGGYKLNMTTEHVKENDPKMLSQFSGIVKVRQAGINGSPFLSVYVS >Potri.017G089800.10.v4.1 pep chromosome:Pop_tri_v4:17:10256931:10261807:-1 gene:Potri.017G089800.v4.1 transcript:Potri.017G089800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089800.v4.1 MALAVDPLFFYALSIGRNGAPCLYMDGGLAAIVTVLRTSVDAIHLCHLWLQFRLAYVSRESLVVGCGNLVWDARAIASHYVRSLKGFWFDAFVILPVPQAVFWLLVPKLIREEQIKLIMTILLLIFLFQFLPKVYHCICLMKRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLKQSCESRPNCDLSLACSEEVCYQFLLRAGTIGNPCVGNTTHTVRKPMCLDVNGAFNYGIYKWALPVISSNSLSVKILYPIFWGLMTLSTFGNDLEPTSHWLEVIFSICIVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSRLRQRVRHYERQRWATMGGEDEIELIKDLPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKPLVFSKDEKIIREGDPVQRMVFIVRGRIRSSQTLSKGMVATSVLEPGGFLGDELLSWCLRRPFIDRLPASSATFACIESTEAFGLDANHLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQFAWRRYRMRTRGPVIPVTESGGTDRRLLQYAAMFMSIRPHDHLE >Potri.017G089800.1.v4.1 pep chromosome:Pop_tri_v4:17:10256927:10261888:-1 gene:Potri.017G089800.v4.1 transcript:Potri.017G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G089800.v4.1 MPSQPNSHFSLPRWIGLLCHKNSQSETGDNSDNSNLNSTNNIDDSNPISNSIECYACTQVGVPVFHSTSCDQAHQPEWEASAGSSLVPIKNRLGSRKSPANRAQSRRPAGPLGTVLDPRSKRVQKWNRAFLLARGMALAVDPLFFYALSIGRNGAPCLYMDGGLAAIVTVLRTSVDAIHLCHLWLQFRLAYVSRESLVVGCGNLVWDARAIASHYVRSLKGFWFDAFVILPVPQAVFWLLVPKLIREEQIKLIMTILLLIFLFQFLPKVYHCICLMKRMQKVTGYIFGTIWWGFGLNLIAYFIASHVAGGCWYVLAIQRVASCLKQSCESRPNCDLSLACSEEVCYQFLLRAGTIGNPCVGNTTHTVRKPMCLDVNGAFNYGIYKWALPVISSNSLSVKILYPIFWGLMTLSTFGNDLEPTSHWLEVIFSICIVLSGLMLFTLLIGNIQVFLHAVMAKKRKMQLRCRDMEWWMRRRQLPSRLRQRVRHYERQRWATMGGEDEIELIKDLPEGLRRDIKRYLCLDLIKKVPLFHNLDDLILDNICDRVKPLVFSKDEKIIREGDPVQRMVFIVRGRIRSSQTLSKGMVATSVLEPGGFLGDELLSWCLRRPFIDRLPASSATFACIESTEAFGLDANHLRYITDHFRYKFANERLKRTARYYSSNWRTWAAVNIQFAWRRYRMRTRGPVIPVTESGGTDRRLLQYAAMFMSIRPHDHLE >Potri.003G156700.2.v4.1 pep chromosome:Pop_tri_v4:3:16771723:16775122:-1 gene:Potri.003G156700.v4.1 transcript:Potri.003G156700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156700.v4.1 MVLPASDIVLPTSNNGTHETLEISDDLDICIITADYFHELKFMAIEESKKLIRKESMQKEKQISADPVSLRESSRREASFNFMLLPVSTAPIPDLLPPALPAKSQIISGSLPSSACSSPRFSLTMLKKKWKNESQASPRQIDNLAGRHSLAHPPLAAQQEIHLRRNKSCVEGRTAAPADELNFWFPRPNASKSDNRPHGHFKIEASKEDHITGENMDPIDDGLKCGVLCFYLPGFAKGKPVRPKKEEVRGDLGNVISRTVSVEKFECGSWASSAIINDHEDDPKNLYFDLPQELIQASVNDANSPVAAAFIFDKDRKQVLKSCSTRAAPRNSHEFSRHVRFSTSLPTSHPTSPTSCITPRLQKAREEFNAFLEAQSA >Potri.013G010500.3.v4.1 pep chromosome:Pop_tri_v4:13:667255:672910:-1 gene:Potri.013G010500.v4.1 transcript:Potri.013G010500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010500.v4.1 MSDNRMEVERKRTKGGFFHLFDWNGKSRKKLFVNNYEFPEGLKQGKENVEKMAKPRLHMTELDDRRANSSNRGSSEFSCALSVTSDEGYGTRAPGAVARLMGLDSLPASNVAEPSSTLGFDPHSLRAFPCDRSTPNLWSEYNPMDYRNIPNEQEKYAWNSVESRLQKVENRPIARFQTEALAPKLAKSIPVTHHKLLSPIKNPGFTPTKNVAYIMEAAAKIIEASPKASSIGKMPSIRTSSVPLRIRDLKQKMEAAHLTSRPQRSNEPSVARNTKEQQSDKRRSGSEGLSSAKASTGSGKGTPNSLRNKGKSVPIAAQAKSNAQKRDGSPLRSKSIVKQKEQNEVKANQLLKNQHCTQKAIQKRTFESRTNNVLQQNNLKQNSVPNKGSSTLKNSVSNQQGNKTQSTSGSVGQYRNVNKIVVKPEIMPRKIGSVMMDSEKEKKKKQSVSGDLQIDRSVSPNVSFNKDGRSTKSNAVIDGNKNMAMDNRKNGMDVVSFMFSSPIKRAMPSYQSSGQMSDKCNNSAIDSFGSNDHPSFRSSTSYLPGLNVVGGDVMGVFLEQKLRELTNKVESTHCNGIREETSATSSSSLENSLSTPNVASTPSARLDQMLQIVHDKDKSDSLGYFDCVLVEKSQLAMNQKWQQSEEMEVQSSSSNYSETGKELECQRTSPVSILEPSFASGSCSYLNGSSHCSTNESVEMEGETELSDSASSISIVDVVRKYTTRTCSTTELKELSDWELDFIRDILNSAELNLKGFALGQTFKVINPNLFDLLENQDKGSCKAWAKLSTLFQRKGWLAEELYKEILGWQSMGDLMVDELVEQDMSTPNGKWLDFSIEAFEDGVEIEDGILTSLVDELVSDLLPL >Potri.013G010500.1.v4.1 pep chromosome:Pop_tri_v4:13:667187:672803:-1 gene:Potri.013G010500.v4.1 transcript:Potri.013G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010500.v4.1 MSDNRMEVERKRTKGGFFHLFDWNGKSRKKLFVNNYEFPEGLKQGKENVEKMAKPRLHMTELDDRRANSSNRGSSEFSCALSVTSDEGYGTRAPGAVARLMGLDSLPASNVAEPSSTLGFDPHSLRAFPCDRSTPNLWSEYNPMDYRNIPNEQEKYAWNSVESRLQKVENRPIARFQTEALAPKLAKSIPVTHHKLLSPIKNPGFTPTKNVAYIMEAAAKIIEASPKASSIGKMPSIRTSSVPLRIRDLKQKMEAAHLTSRPQRSNEPSVARNTKEQQSDKRRSGSEGLSSAKASTGSGKGTPNSLRNKGKSVPIAAQAKSNAQKRDGSPLRSKSIVKQKEQNEVKANQLLKNQHCTQKAIQKRTFESRTNNVLQQNNLKQNSVPNKGSSTLKNSVSNQQGNKTQSTSGSVGQYRNVNKIVVKPEIMPRKIGSVMMDSEKEKKKKQSVSGDLQIDRSVSPNVSFNKDGRSTKSNAVIDGNKNMAMDNRKNGMDVVSFMFSSPIKRAMPSYQSSGQMSDKCNNSAIDSFGSNDHPSFRSSTSYLPGLNVVGGDVMGVFLEQKLRELTNKVESTHCNGIREETSATSSSSLENSLSTPNVASTPSARLDQMLQIVHDKDKSDSLGYFDCVLVEKSQLAMNQKWQQSEEMEVQSSSSNYSETGKELECQRTSPVSILEPSFASGSCSYLNGSSHCSTNESVEMEGETELSDSASSISIVDVVRKYTTRTCSTTELKELSDWELDFIRDILNSAELNLKGFALGQTFKVINPNLFDLLENQDKGMESNEVEYSKLARKLLFDCVSEFLDFKCRQTFVGSCKAWAKLSTLFQRKGWLAEELYKEILGWQSMGDLMVDELVEQDMSTPNGKWLDFSIEAFEDGVEIEDGILTSLVDELVSDLLPL >Potri.009G107200.1.v4.1 pep chromosome:Pop_tri_v4:9:9326258:9327857:-1 gene:Potri.009G107200.v4.1 transcript:Potri.009G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G107200.v4.1 MEQAKKHLVLISIFIILLNIAANKALSQPLHNPSKHFVLVHGAGHGAWCWYKLVPLLRSSGHNVTTIDLAASGIDPRQISDLQSISDYIRPLRDLLASLPPNDKVILVGHSLGGLALSQTMERLPSKISVAVFLTAVMPGPSLNISTLNQERVRRQTDMLDTRFTFDNGPNNPPTSLIFGPKFLLLRLYQLSPIEDWTLATTLMRETRLFTDQELSRDLVLTREKYGSVKRVFIIAEKDLILEKDFQQWMIQKNPPNEVKEILGSDHMSMMSKPKELWACLQRISKKYN >Potri.010G179900.1.v4.1 pep chromosome:Pop_tri_v4:10:17897213:17898138:-1 gene:Potri.010G179900.v4.1 transcript:Potri.010G179900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179900.v4.1 MPRDREPLSVGRVIGDVLDPFTKSISLRVNYNSREVNNGCELKPSHVVNQPRVDIGGEDLRTFYTLVMVDPDAPSPSNPNLREYLHW >Potri.006G176700.1.v4.1 pep chromosome:Pop_tri_v4:6:18182106:18184507:1 gene:Potri.006G176700.v4.1 transcript:Potri.006G176700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G176700.v4.1 MNLVLLKIKESTFISPTQQPYFLSQPPPQPNLDTDGFNLNTKVSPSLLLIIIILAIIFFVSGLLHLLVRFLLRPRNREYPDDLESVTALQGQLQQLFHLHDAGVDQTFIDALPVFQYKAIIGLKNPFDCAVCLCEFEPEDQLRLLPKCSHAFHMECIDTWLLSHSTCPLCRACLLSDFSPNNTRSPLVLVLESGSESSRELVNDREANVGRTSSVLTTHSNLGCHGDTDLGSSRLDISHKSSESLTKDDSVPKIVVDSGEKVVPVKLGKYRNVDVGEGSSTNIIDSRRCYSMGSFEYVLDENSSLQVPIRTPMRKQSSMKTTLPLTPGHKLAMSECDCESRREFDGFEGLKSVQVNGSASIVASSGKTIGRSERESFSRSKIWLRGKKEKQSSTGESSRRAFSFRFPVNKNVVADDDLKMRKGDSDVRRTNSEISFGRWENGGGQSGGNVLNQSCHRLELQEKTPSFARRTLLWLVGRQNKVVHSSFTPNV >Potri.002G043100.1.v4.1 pep chromosome:Pop_tri_v4:2:2820628:2822839:-1 gene:Potri.002G043100.v4.1 transcript:Potri.002G043100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G043100.v4.1 MSSDEPTVAVDGSTEPTSAEPADDKPAAKPSRAKKTKEPKAKKAPAPKKPRHRTPSSHPPYEEMIKDAIVTLKEKTGSSQYAITKFLEEKHKQLPSNFKKLLLFHLKKLVISDKIVKVKGSFKLPSAKSSAPAKPAAASPAKKKTATAAKPKAKSKPAYSKAKETKSAKSTAKSPAKSKAAAKPKAKPKAAAAKPKVTAKAKPKAAPAKAKTSVAKPKAAPAKPKAKERPAKASRTSTRTSPGKKAAATKVAPKKAATPKKAPAKTVKLKSVKTPTKKAAVKKGKK >Potri.009G037400.1.v4.1 pep chromosome:Pop_tri_v4:9:4655871:4659555:-1 gene:Potri.009G037400.v4.1 transcript:Potri.009G037400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G037400.v4.1 MASLIESCWLYLITHFSDFQLACLGSFFLHESIFFLSGIPFIYLERAGWLKKYKIQMKNNTPASQEKCIIRLLLYHFGVNLPVMLASYPVFRHMGMQSSLPFPSWNVILTQITFYFILEDFIFYWGHRILHTKWLYKHVHSVHHEYATPFGLTSEYAHPAEILFLGFATIIGPAITGPHLLTLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFTYMDWVFGTDKGYRKLQALKNAGVENGGKQM >Potri.014G172101.2.v4.1 pep chromosome:Pop_tri_v4:14:12784630:12790631:1 gene:Potri.014G172101.v4.1 transcript:Potri.014G172101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172101.v4.1 MSDKKGGHDDDREDTFLGGEMGGFSMEGHHFLDGFDKENDGRSGFLEFGYGYPNHQYFELKLPHDDTQLGHLSPLGDSDENDDPFLELQETLEAPTPHHVPQNETYHPAIVSSNEEVGGVVVEKKKEENNKEGLEQQAPSPPPPPQQLHNHLRISFTSDLKPRLRWTRDLHSCFVNAVKELGGPQKATPRSVLKLMDVEGLTLFHVKSHLQKYRQGRHSVREFSEPLRNAAQGSEGPSSSMNLPPSQTKNRPKGKSKVKKEDRGSLYLQIQAQRTIHRYLHAQGSYLSIAINNACKFVSNQCVEGTALENGNYYGQGFTGSGNAALLMPYFYQNQLNASYACNSMEAVNAGISVEMPRSSFQTPTTVQSGTENSFVPVGHSAGSYLEGSKTLPGQGSEGDGESYEGPVDDYLNWDDTCANILAIDYGRFDPDRGAGTSK >Potri.014G172101.3.v4.1 pep chromosome:Pop_tri_v4:14:12784145:12790631:1 gene:Potri.014G172101.v4.1 transcript:Potri.014G172101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172101.v4.1 MTTEIIMMTLFRKGKEKMIRIVDFLDLTVLTSPREQDSHIERKGLHVSLHAPTQSDRPKEATPRSVLKLMDVEGLTLFHVKSHLQKYRQGRHSVREFSEPLRNGISAAQGSEGPSSSMNLPPSQTKNRPKGKSKVKKEDRGSLYLQIQAQRTIHRYLHAQGSYLSIAINNACKFVSNQCVEGTALENGNYYGQGFTGSGNAALLMPYFYQNQLNASYACNSMEAVNAGISVEMPRSSFQTPTTVQSGTENSFVPVGHSAGSYLEGSKTLPGQGSEGDGESYEGPVDDYLNWDDTCANILAIDYGRFDPDRGAGTSK >Potri.014G172101.6.v4.1 pep chromosome:Pop_tri_v4:14:12784145:12790631:1 gene:Potri.014G172101.v4.1 transcript:Potri.014G172101.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172101.v4.1 MDVEGLTLFHVKSHLQKYRQGRHSVREFSEPLRNAAQGSEGPSSSMNLPPSQTKNRPKGKSKVKKEDRGSLYLQIQAQRTIHRYLHAQGSYLSIAINNACKFVSNQCVEGTALENGNYYGQGFTGSGNAALLMPYFYQNQLNASYACNSMEAVNAGISVEMPRSSFQTPTTVQSGTENSFVPVGHSAGSYLEGSKTLPGQGSEGDGESYEGPVDDYLNWDDTCANILAIDYGRFDPDRGAGTSK >Potri.014G172101.5.v4.1 pep chromosome:Pop_tri_v4:14:12788582:12790364:1 gene:Potri.014G172101.v4.1 transcript:Potri.014G172101.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172101.v4.1 MDVEGLTLFHVKSHLQKYRQGRHSVREFSEPLRNGISAAQGSEGPSSSMNLPPSQTKNRPKGKSKVKKEDRGSLYLQIQAQRTIHRYLHAQGSYLSIAINNACKFVSNQCVEGTALENGNYYGQGFTGSGNAALLMPYFYQNQLNASYACNSMEAVNAGISVEMPRSSFQTPTTVQSGTENSFVPVGHSAGSYLEGSKTLPGQGSEGDGESYEGPVDDYLNWDDTCANILAIDYGRFDPDRGAGTSK >Potri.014G172101.1.v4.1 pep chromosome:Pop_tri_v4:14:12784145:12790631:1 gene:Potri.014G172101.v4.1 transcript:Potri.014G172101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172101.v4.1 MSDKKGGHDDDREDTFLGGEMGGFSMEGHHFLDGFDKENDGRSGFLEFGYGYPNHQYFELKLPHDDTQLGHLSPLGDSDENDDPFLELQETLEAPTPHHVPQNETYHPAIVSSNEEVGGVVVEKKKEENNKEGLEQQAPSPPPPPQQLHNHLRISFTSDLKPRLRWTRDLHSCFVNAVKELGGPQKATPRSVLKLMDVEGLTLFHVKSHLQKYRQGRHSVREFSEPLRNGISAAQGSEGPSSSMNLPPSQTKNRPKGKSKVKKEDRGSLYLQIQAQRTIHRYLHAQGSYLSIAINNACKFVSNQCVEGTALENGNYYGQGFTGSGNAALLMPYFYQNQLNASYACNSMEAVNAGISVEMPRSSFQTPTTVQSGTENSFVPVGHSAGSYLEGSKTLPGQGSEGDGESYEGPVDDYLNWDDTCANILAIDYGRFDPDRGAGTSK >Potri.014G172101.4.v4.1 pep chromosome:Pop_tri_v4:14:12784145:12790873:1 gene:Potri.014G172101.v4.1 transcript:Potri.014G172101.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172101.v4.1 MDVEGLTLFHVKSHLQKYRQGRHSVREFSEPLRNGISAAQGSEGPSSSMNLPPSQTKNRPKGKSKVKKEDRGSLYLQIQAQRTIHRYLHAQGSYLSIAINNACKFVSNQCVEGTALENGNYYGQGFTGSGNAALLMPYFYQNQLNASYACNSMEAVNAGISVEMPRSSFQTPTTVQSGTENSFVPVGHSAGSYLEGSKTLPGQGSEGDGESYEGPVDDYLNWDDTCANILAIDYGRFDPDRGAGTSK >Potri.012G145100.3.v4.1 pep chromosome:Pop_tri_v4:12:15547023:15549592:-1 gene:Potri.012G145100.v4.1 transcript:Potri.012G145100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G145100.v4.1 MGGIGVISAQDDDAAASTSFKLKRKSALASKLKSSISKHLRHSYSHDRVLAEYITVLVCNGKNQEQARHDLEAFLGQRTQEFVSWLWDLLLKYVYQSNKDICLLSDAKNVNITTPCAKDSKINKLKDFQSHGHDSSTMDAFVIKDDQMRQLPTNSDPNFCNVNHGQLAEDFEQVQSFSSPSSEINSKKVLSRSCKTERHGKIGASENVLYNSLANESLRKESSSNAKQNSQCVDKATKQIVPGSCNMLFNQLIPRREPAFRNPQPSTSGKCLLPRSVHAESHQKEKHCISVWDRLGKPCDGVPSGVKTVELCDGSGTVYLKQELLNQHKAVLPVLNSELRGSMTGGVTGRGNIQPESRKLERPTRTMHEAHAANNIR >Potri.015G076650.5.v4.1 pep chromosome:Pop_tri_v4:15:10266809:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.6.v4.1 pep chromosome:Pop_tri_v4:15:10266825:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.17.v4.1 pep chromosome:Pop_tri_v4:15:10268472:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQWRWDQE >Potri.015G076650.21.v4.1 pep chromosome:Pop_tri_v4:15:10275801:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.21.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVRITCGFFFTSLLLVSGKHLKFPVFLF >Potri.015G076650.12.v4.1 pep chromosome:Pop_tri_v4:15:10266809:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.18.v4.1 pep chromosome:Pop_tri_v4:15:10268472:10319571:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTSLCSFRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQWRWDQE >Potri.015G076650.14.v4.1 pep chromosome:Pop_tri_v4:15:10267018:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.10.v4.1 pep chromosome:Pop_tri_v4:15:10266431:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.9.v4.1 pep chromosome:Pop_tri_v4:15:10266809:10319571:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTSLCSFRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.3.v4.1 pep chromosome:Pop_tri_v4:15:10266430:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.16.v4.1 pep chromosome:Pop_tri_v4:15:10267965:10319571:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTSLCSFRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.20.v4.1 pep chromosome:Pop_tri_v4:15:10266931:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.19.v4.1 pep chromosome:Pop_tri_v4:15:10266809:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.22.v4.1 pep chromosome:Pop_tri_v4:15:10266809:10303999:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.22.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.11.v4.1 pep chromosome:Pop_tri_v4:15:10266809:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.15.v4.1 pep chromosome:Pop_tri_v4:15:10267664:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.8.v4.1 pep chromosome:Pop_tri_v4:15:10266809:10319571:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTSLCSFRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.7.v4.1 pep chromosome:Pop_tri_v4:15:10267965:10319571:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTSLCSFRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.015G076650.13.v4.1 pep chromosome:Pop_tri_v4:15:10266809:10319659:-1 gene:Potri.015G076650.v4.1 transcript:Potri.015G076650.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076650.v4.1 MVTSRDVQEVVSKLSSDKAKAREEGIKLLNTWLEGGERSIRFCKYLGQNTAKLKPNEIPHSETWPFLVTLLVQCVSSEISSSKRRLPKASFAKTMRIVIQRADDAKFSGKALPLLPMVKILFNHVSDVLSNVPSFQMEYGIILRHLLAVVDYRFHLRNRIYCSLVLLYIEKVVTSLDGNDSSQGNPKEEVFRCILTLHSLFENPPGDFPVDVREDIVKGLVQIFSFVREEGKISRKLIECINTYLLKDGPNLGSQSLEIHNAVQQFVFRCWLTTHDRSLKDALIFYARLQLNLSRGATDGNSLVEQLLDLVCKELDQSSSSCGSAPRSDATKDCKFGALSGSQRGLIELAALVFYKACASTAKAPSTEKRVKREPAAVLLKEALMKGKWLWNAAFCCLIRNYYNRMSKDLFVYWFEGISTSFERILSDANMGLAYDGLLWTLRSLQELSSFMLLSDAQSEILSRPSLPSKELDCGWELIWSGLIRGLLTFSNLNSVADAALLLLGSIISSDLMSTCAVPPDVWDLKLFKRTPSMSVLYFIVCYFSHKGSQGDLRDSLHLRKNLLRAILGYFNWKESSILNEHVVLLLPAAVYALCAGCAPFTHSYRGFSSSNILVDSFEATDDRVKTDEHEQERLCELFECSVEVLSKIHLVSRVEASSFQGHQSGCLPRQFRDLLLHEMEGYILGAVGDKEMEKRPLSDVFFICSLLSNFIYGSVLTRKGEEASPFLSKMSQYLLELLDHAVNAIQGNSNDLQALGCSGSSSDYNLKTTLIASFRSFVFSPIFVKSRDETALDVVLYDAITQSMGRLLKELAELYNQFSECVRSPHSDPSLPDLPSTDSKLQIHGPSGSNTRIMDMELDVTEDTQDVDILPVGGIIGTGVSFSAVKWKLGMISLLSSFHPVLDFVTWDVLFELMENESDNKVRENILYHLCQHFHWSSSAKITDLVKTMNSMFEMQASVKLDCSGVVVAACQLLATLLSLDASGKEAALTAWKRESELSLVHLGELVNKIAEFGLLDWSGRVRLIDCFCDFVLLSPQIGQTMIERLFLMLQDPDYRVRFSLARRIGVLFQTWDGHDELSQDICSNFGVAMVIPLKGKVVTAKEVLACGPQPTPKMETVIVTLMHLALHSEKIELEAVFMMCVISAIDPGHRELVHAALDNLSRQLNYATRFKYLEQLLGSILFYWVTCDVSLVALVEIRHLFVSDAEPSYFMQYCCHWLLPALVLNEDSSNLNWVARVACQPLAVLVKIHFVPIFSVCIVLHCSKRSGWERGAKVLQSSILCLTELSESERDKLIKKHMVSILSHVLALASCALDPAIPFFSRETVARAIQTVVDGFLEMEDYPTNVSVLDKINIFRPDRVFMFLVEMHYKIEAAVHHRHRCHRLAGIEVLVDIIGHRASVSSTFKYLINLIGQFIGCDALQDQCCRVISALLNTLKDNPSKDIANVLGEQLQFLVSKLVACCIPSETSGEIGTRAYEIISLLRQLTVGSDPSLHDYVRELEPFPKIDIFDEIREFHHQLCEAYSPRAHLLEFVKRSFYLPPRLLLCSVQALHKKLLMGESFQRGRNAKDVMEDVYWHCDPEIVQSIWTLVRMSGSDDASSIRPLVSDFVSKVGIGDPHSVVFHLPVDYGQMKVCQQLKITNPCEVNFNMDIGVSEELLIALLKLLMKYLMDDSVRIVDLTSQSLRGILSTERGQRALLSFGSYERSLIEIHSKGVNIELVEKLVLDIGKRYRAEAISLEESIIWETCNKTFQMWICPLVYSLISYCNDVILRLCQDIVLLKAEVAELLFPSVIKDLAFQKDIDVDLHKVISLQAQEHILTESNELIKSVQVFLNALNELRLCHVMEKSSLRQSKRESTKNAKPSNYDSISRSTAVKARDSVAASSSMVMTTSSWDKVYWLTIDYLAVAKSAVICGSYFTAMMYVEHWCEEHFNGLMLGSPDFSHLEVLPHHIEILMSAVTHINEPDSLYGIIQSHKLTSQAVIFEHEGNWSKALEYYDLQIRSNPLLQMDGGSSTLSAGHTQLGTHLSLSASEEEMRQRKPYKGLIRSLQQIGCTHVLDLYCQGLTYRKDQFHYDLEFNELQYEAAWRAGNWDLSLHFVGANSPSRPDVKSDHFNEKLHGCLRAFQEGDFDEFHRKLRGSKQELVRSVSCASEESTEYIYSTVIKLQILYHLGMAWHIRWETSQCERAEFYPGKRQRFSEPVIPTMEQLSWLNVDWNSILERSQLHMNLLEPFIAFRRVLLQILRCNECMTEHLLQSASTLRKGSRFSQSTAFLHEFKLASAGTGEQYSTLYWLGRLEEAKLLRAQGQHEMAISLAKYISQNCHSNQEGSDVYRLVGKWLAETRSSNSRTILEKYLKPAVSVAEAHKTTNKKSIERQSQAHFHLAHYADALFKSCEERLTSSEWQAAMRLRKHKAAELEALVKRLRSSTKGEKNDYSVKILELQRQLAMDKEEAEKLKDDRDNFLSLALEGYKRCLVIGDKYDVRVVFRLVSLWFSLSLRQNVINNMLDTIDEAQSYKFVPLVYQIASRMGSSKDSLGPHNFQFALASLVKKMAIDHPYHTIFQLLALANGDRIKDKQRSRNSFVVDVDKITAAKRLLEELASYHGPIIRQMKQMVEIYIKLAELETKKEDTNKRVSLPREIRSVRQLELVPVVTATFPVDRDCHYHEGSFPHFKGLADSVRIMNGINAPKVVECLGSDGQKYRQLAKSGNDDLRQDAVMEQFFGLVNTFLQNNRDTWKRRLGVRTYKVVPFTPSAGVLEWVNGTFPLGEYLIGSARNGGAHGRYGVGDWSFLKCREHMSNEKDKCKAFREVCQNFRPVMHHFFLERFLQPADWFEKRLAYTRSVAASSMVGYIVGLGDRHSMNILIDQATAEVVHIDLGVAFEQGLMLKTPERVPFRLTRDIVDGMGVTGVEGVFRRCCEETLSVMRTNKEALLTIIEVFIHDPLYKWALSPLKALQRQKETDDDLETSLEDSQDQHEGNKDAARALMRARQKLDGYEEGELRSVHGQVQQLIQDAIDPERLCQMFAGWGAWM >Potri.011G162968.1.v4.1 pep chromosome:Pop_tri_v4:11:18777431:18779370:1 gene:Potri.011G162968.v4.1 transcript:Potri.011G162968.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162968.v4.1 MTSLSSSMLPFLLILLFPFSWGTSAHTHEDFLQCLSLYSEDSAATSKVIYTPNNTSYATVLQFSIRNLRFNSSKLTPVVIVTPTILSHIQATIHCSQKHNLQIRIRSGGHDYEGLSYMSVLPFVILDLINLRKITVDLSTKTAWVQAGATLGELYYSIAEKSRTLAFPAGACHTVGVGGQFSGGGYGGLLRKYGLAADNVIDAELIDANGRVLDRESMGEDLFWAIRGGGGNSFGVVTAWKVNLVEVPPTVTVFAVPKVLKENATKLIHRWQYVANKLPEDIVIAAYVNRINSSQGGNPTIQATFTSLFLGGVDRLLPLMQESFPELGLVKDDCIELSWIEFALFLAGFPSNASLDVLLDRTPDQSRTSFKAKSDYVKQPLPETALEGMWETFFEKDIESPSLFMVPYGGKMEEISESSIPFPHRVGNLYKIHYYVAWTEEGKEASERHISWIRRLYSYMTPYVSKNPREAYVNYRDLDLGINNLAGNTSYKQASIWGRKYFKNNFDKLVRIKTEVDPANFFRNEQSIPPFSSW >Potri.010G055000.1.v4.1 pep chromosome:Pop_tri_v4:10:8617218:8623319:1 gene:Potri.010G055000.v4.1 transcript:Potri.010G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G055000.v4.1 MYSSEKPRPLDFYKEEVTPSSRDNMIIEVVSSNGDLPPHHLPTTTNTNPHQMILGDSSGDDNHEVKAPKKRAETWVQDETRSLIGLRREMDGLFNTSKSNKHLWEQISAKMREKGFDRSPTMCTDKWRNLLKEFKKAKHKDRGSGSAKMSYYKEIDEILRERNKNPQYKSPIPSKVDSYMQFADKGFEDTSISFGPVEASPRPTLNLERRLDHDGHPLAITAADAVAASGVPAWNWRETPGNGAENQSYGGRVISVKYGDYTRRIGVDGTADAIKEAIKSAFRLRSKRAFWLEDEDQIIRSLDRDMPPGNYTLHLDEGLAIKVCLYDESEHMPVHTEEKIFYTEDDYREFLSRRGWTCLREFDGYRSIDSMDDLRHDAIYRGVS >Potri.011G025100.1.v4.1 pep chromosome:Pop_tri_v4:11:1873459:1874272:1 gene:Potri.011G025100.v4.1 transcript:Potri.011G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G025100.v4.1 MGSSKRFCCGLKICVLVTFVLLVIISVVLVTLYFTVFKPKEPKINPQPVILESIRWIIFPIQMLNITLGMVITVENRNYGSFKYQESTAYVSYRGNVVAEAPIEADTIPARGKHNISTTVTMFADKLLSDDNFKRELLQGSILNFTSATTLHGKVILFKLIKAKATSSSTCDISIFVQEQQTESICKSKVGL >Potri.001G258900.3.v4.1 pep chromosome:Pop_tri_v4:1:27432992:27436694:-1 gene:Potri.001G258900.v4.1 transcript:Potri.001G258900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G258900.v4.1 MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVMKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDVSGSCGYSIAQQAALFHSIKSLFMNKPLTIVCNKTDLQPLEGISEEDMKLVMEMKSEAMKTLVAQGGEATNDADVLLTMSTLTEEGVIAVKNAACERLLNQRVEMKMKSKKINDCLNRFHVAMPKPRDQKERPPCIPQPVLEAKAKEAAEKEKRKTEKDLEDENGGAGVYSASLKKNYILAHDEWKEDVLPEILDGHNVYDFIDPDILQRLEELEQEEGIRQAEEGDDDFEMDGEELTAEEKEALAEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDRKFTTKRMGRQLSAMGVDPSKAINRLRERSLSTRGRKRERSTDRGHDDGDAMDMDVDQPNKKLRLRSTSRSRSRSRPPNEVVPGEGFKDSAQKSKALKLFKNSAKKRNKDARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >Potri.001G258900.2.v4.1 pep chromosome:Pop_tri_v4:1:27432915:27436697:-1 gene:Potri.001G258900.v4.1 transcript:Potri.001G258900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G258900.v4.1 MVQYNFKKITVVPNGKDFVDIILSRTQRQTPTVVHKGYAISRLRQFYMRKVKYTQQNFHEKLSTIIDEFPRLDDIHPFYGDLLHVLYNKDHYKLALGQINTARNLISKIAKDYVKLLKYGDSLYRCKSLKVAALGRMCTVMKRIGPSLAYLEQIRQHMARLPSIDPNTRTVLICGYPNVGKSSFINKITRADVDVQPYAFTTKSLFVGHTDYKYLRYQVIDTPGILDRPFEDRNIIEMCSITALAHLRAAVLFFLDVSGSCGYSIAQQAALFHSIKSLFMNKPLTIVCNKTDLQPLEGISEEDMKLVMEMKSEAMKTLVAQGGEATNDADVLLTMSTLTEEGVIAVKNAACERLLNQRVEMKMKSKKINDCLNRFHVAMPKPRDQKERPPCIPQPVLEAKAKEAAEKEKRKTEKDLEDENGGAGVYSASLKKNYILAHDEWKEDVLPEILDGHNVYDFIDPDILQRLEELEQEEGIRQAEEGDDDFEMDGEELTAEEKEALAEIRKKKSLLIQEHRMKKSTAESRPTVPRKFDKDRKFTTKRMGRQLSAMGVDPSKAINRLRERSLSTRGRKRERSTDRGHDDGDAMDMDVDQPNKKLRLRSTSRSRSRSRPPNEVVPGEGFKDSAQKSKALKLFKNSAKKRNKDARRGEADRVIPTLKPKHLFSGKRSIGKTQRR >Potri.001G123100.2.v4.1 pep chromosome:Pop_tri_v4:1:10093293:10096045:-1 gene:Potri.001G123100.v4.1 transcript:Potri.001G123100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G123100.v4.1 MGKLGCGIDGGLNEAKFSAPIPWIGLYIAVASLACAIAMAADFIRGFRQQKFWFPSKFFSINATSLTIIAVAVKLSVDLNTAMPRRVDQLAKLSSGALLCTVMGNSMPSLGTMDNNDLYTNIIALGILVVTVIVNTGIQLGTGVIYLHWKEHALIMFLMLILLVILSFSALTVPVTKKYFQYKYNKKYGMALKEDSNETSKREDRELKEDIMKFWMMAHTCNPQFVVGRSVTCTAAGAFCLLGAMTLAEAMLRSYLMPWSFKFCTGESDYEWSTILILITQTIAVGVGTIAPAIRWFTAVNFRCPIRRMKSGKRKWTVERYWIQLLVEIKECPLSIRFEDRFCKKFAHHVKNKLVDLCIGMQTGIVLGSKVIQFISVYFMIQVLLFFDFCKKLKTMKPKNGISSDSGSESRSTPKPDLRRYVMHLEGEDELVELMMKNNFDATDHWLRRGERKQPKHLTELLEKSTFAEGFKGVREFDSDLVLSLVCDEPPNCWALPVVTLTAIAVALPNVSGSLMKQLMRSVNEGLMYVRLIEDSLDANGELLNIRKAASVAWVGVDLFHKWLDVDLRKLSFQAESTKEILEKLSDAAKNRLEEFKKTPMNQCLKEGPSKWPIKILAANSMYRISQTLLQNCERRNGLIDERLFEALTVMISDILGACLTNLRQVIFHCLSRAVTDREHCVRRAVFILGKTEKIRKLLDQQPISTLDPDQMAYIDEWRSMHDLKISLPSIPSSAKSETALSTSSDLYITME >Potri.004G067400.4.v4.1 pep chromosome:Pop_tri_v4:4:5744008:5749211:-1 gene:Potri.004G067400.v4.1 transcript:Potri.004G067400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067400.v4.1 MNQEDEAEETAISNAWYEVLSVLHLMAMLSLSQANLLLLPRTSSDGYQPKVSEESRRASIDIFLKAAGYLDCAVQNVLPQLPNPLRKELPVDLAEGVLRALCLQALGQSVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLLIEWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKRACEAFNAASPLSRKPLLWGTMKYLSDKIPKDTSSKVRINRDLYSYEKIMETAPTLPDFALALKPDDFQLPPADSSWNEENVVAGQASSNHLRSE >Potri.004G067400.3.v4.1 pep chromosome:Pop_tri_v4:4:5744040:5751230:-1 gene:Potri.004G067400.v4.1 transcript:Potri.004G067400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067400.v4.1 MGCLVSTPQDSGGNRRRPGSIGDVSVYVPGFRIPKPVDFSLSLGDHLPKNLVKSLSALRTRIVVMAGQEAPTVSRTRRKSATQHGGSTLADLHQALEDYLPVLLGLVKDGSQLQHNVQFVWMNQEDEAEETAISNAWYEVLSVLHLMAMLSLSQANLLLLPRTSSDGYQPKVSEESRRASIDIFLKAAGYLDCAVQNVLPQLPNPLRKELPVDLAEGVLRALCLQALGQSVDIQLGMAIDSAKATLAVKRRLACEMVKYWQQAQDNIMNLPLANGWGEKHRLLIEWKYVEAKAAAYYYHGLILDEGNTEKSHGMAVAALQAADEYFKESKRACEAFNAASPLSRKPLLWGTMKYLSDKIPKDTSSKVRINRDLYSYEKIMETAPTLPDFALALKPDDFQLPPADSSWNEENVVAGQASSNHLRSE >Potri.004G096066.1.v4.1 pep chromosome:Pop_tri_v4:4:8261746:8263575:1 gene:Potri.004G096066.v4.1 transcript:Potri.004G096066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G096066.v4.1 MASTKPVSVLEICHVSPSSTSPESSTELSLPLIFSDIFNLKFPPVQGIFFYKLAELTPTFFNSVILPKVKHSLSLTLSHFRPLAGNLTWPPNSIKPIITYNTPDDGIKLTVAESSADFDHLCSEVHDAIESLPYVPSVSISDTIACTLAIQITLFPNKGFCIGHTTNHAVLDGLSASFFMNAWARICKQLVDENIENPSLLPEEVTPFFNRTAVQDPEGLDMWYLKFWLGVKSPGSDNNPRSLKPFPLSEIPPNLVRSTFELSREDIQKLRKTINSQLDKLGSKEEANQTKPIYLSTYVLVFAYTMVCMLEAKGAVNSNDKIKIVIPVDCRARLNPPLPKNYIGNCVSSFDVVVEREDLMKENGVAYVAKRLTEMIKGLENRSVLEGAKERIPYTDWEKFTQTVRAVGTNRFGMYGADFGWGKPSNVEVTTIARTGAFSIMESKDEGGGVEVGLVLKEHEMKLFGSLFTRVKISQSTC >Potri.010G067100.1.v4.1 pep chromosome:Pop_tri_v4:10:9508817:9514193:-1 gene:Potri.010G067100.v4.1 transcript:Potri.010G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G067100.v4.1 MACFDRVSPALREILLKLYRAEKPVEIDHHLYEFGSVEYHVQSSAADPQHNYLSISTPLLSQGALLSYGLSSYTMQMVKQVCSDAVEIVEPAKEGYQLTLKLNFAKVPIGKDSEKVITQISSVQAVILSSQLKEMLRNVNSQDTSQGMNKPIKLVYHPREPFYVIRQPQKITAVFPMRFREHSDVIIATAFFQELMDVGSSEKWAKAPPCTWSPIPPPELRGEPLEDLSTNGGFVSFDISSRHVEGKKLDKTVWSLLNFYAYVKNHVKCTRGFIQRRMQKRLESLVEVLHEEKLEENGNVKKVKAHAESRHGGKLVRLSKPKNFKRRCRDLTKKIMQIRFRIKIHGFRHFRRRWLTIPKFSSPLGYTKLE >Potri.015G016700.1.v4.1 pep chromosome:Pop_tri_v4:15:1146021:1146700:1 gene:Potri.015G016700.v4.1 transcript:Potri.015G016700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016700.v4.1 MAQVSKILKKIDTTKRLSVPIRFLRSLPPFKLGSHAVTFEATDEKGEAWAFQCSIRKRGHPKPVLQRGWVAFVRSKKLQVGDKVRFIKHKNRATAAISYKVRAEKAIKIFGATFGYARI >Potri.015G002200.6.v4.1 pep chromosome:Pop_tri_v4:15:106854:110203:-1 gene:Potri.015G002200.v4.1 transcript:Potri.015G002200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002200.v4.1 MASLVGTLHAGFNNRIAPLTSTTPTPSLASSFVNSTKTQVPKVFLKSRFLGKNVNFVEIRRKTKIPLSHGGSSIRMSWDGPLSSVKLIIQGKHLELSDTVKKHVEDKVGKAVQKSSHLVRGVDVRLSVRGGELGKGPRIRRCEVTLFTKRHGVVRAEEDAETIHASIDLVSSIIQRKLRKIKDKESDHGRHMKGFNRLKVREPMHQVVVEDDADEVSQQEDEDYIEEVVRTKYFDMPPLTVSEAIEQLEHVHHDFYGFRDEETGEINIIYKRKAGGYGLIIPKGNGEAERLEPLVVEPAREPSLAE >Potri.002G063800.1.v4.1 pep chromosome:Pop_tri_v4:2:4368476:4370980:-1 gene:Potri.002G063800.v4.1 transcript:Potri.002G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063800.v4.1 MTKVRSITYTFFLICSITLNVLMINLYFGGGWEQRWTKLAAEEAENVASISCSGHGRAFLDSLGAGIGKPICECNACFKGPDCAEFVPDCVVDADSGDPMFLEPFWLKHAASSAVVVPGWHRMSYEFSDCSLISKELKMHIRKLHATVGNANTDGRYIIFGAGATQLLNAAVHSLSSHDDPSSPSRIVASVPYYPVYREQTQFFESNNYKFSGETSKWKDNMDSLSNYIEFVTSPNNPDGQLKKAVLQGPSVKTIHDLAYFWPHFTPIPAPADEDLMVFTISKLTGHAGSRFGWALIKNEAVYQRMLAYMSLSTHGVPRETQLRVLKLLKVVLEEKGREMFEFGYEAMRNRWKKLSKILSISKRFSLQDLEHQNCSFSKIFRAPSPAFAWLKCEKEEDKNCFEVLKTSNIIGREGSLFGAESRFVRLSLVQSQDDFDLLLQRMETLVVLEEK >Potri.012G108600.1.v4.1 pep chromosome:Pop_tri_v4:12:12972636:12976726:-1 gene:Potri.012G108600.v4.1 transcript:Potri.012G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G108600.v4.1 MAARLLANLLVMGSGIMVRAFAQAYRQALANASKSGVAHETVQNIRRGSKMISEPEARQILGITEHSTWEEILQKYDKLFENNAKNGSFYLQSKVHRAKECLEELYQKKAEGNV >Potri.019G121200.1.v4.1 pep chromosome:Pop_tri_v4:19:14771116:14772158:-1 gene:Potri.019G121200.v4.1 transcript:Potri.019G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G121200.v4.1 MKPQYLLSSFSILLLFLHCTNTFAQSPAAAPAQAPAVVASPPAATPTQAAAPHGITNVTIILEKAGHFTIFIRLLRSTQEENHLFSALNDSSTGLTIFAPTDSAFSELKSGTLNTLSDGDKSELVKFHVVPTFLSTSQFQTVSNPLGTWAGTGSRLPLNVTSYPNSVNITTGLTNTSLSGTVYTDNQLAIYKIEKVLLPKDIFASNAPAPAPVAAAPEKPTKAVPAVTVESPAASVDISSALIFTHNLLVGSVGLLASAMFSL >Potri.015G132400.1.v4.1 pep chromosome:Pop_tri_v4:15:14202437:14210475:-1 gene:Potri.015G132400.v4.1 transcript:Potri.015G132400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132400.v4.1 MKRGGFAYGFGFSRCFCLLLILICVNGRSDKEVRERFYGNLVNSSAPDNGEGSIAKMFDRVLEKEFSENDQPEGSDRNSFNNSVADQQAVLETVAKITHDKGKKNDTQVANGTRPFQLQDVFSLENEESEDTETLIDKKDNVFVMSNKKSKYPILQVDVRLISDLVVAIVSAAIGGIISSCLGQPVIVGYLLAGSIIGPGGLNFISEMVQVETVAQFGVVFLLFALGLEFSLTKLKVVGPVAILGGMLQIVIFICLCGIISVLCGAKLSEGVFVGSFLSMSSTAVVVKFLMERNSSSSLHGQVTIGTLIFQDCAVGLLFALLPVLGGNSGAIQGMISMGKLLLVLSLYLMAASFLSWSFVPRFLKLMIQLSSQTSELYQLAAVAFCLLSAWCSDKLGLSLELGSFMAGVMISTTDFAQHTLEQVEPIRNLFAALFLSSIGMLINVHFLWNHVDILLASVILVIVVKTTIAAAVTKAFGYSIRTSFLVGVLLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPNVMNLGVLLQWFPSESSTPNKWFPSENGTPNEERVSMIEVRNR >Potri.015G132400.2.v4.1 pep chromosome:Pop_tri_v4:15:14202762:14210474:-1 gene:Potri.015G132400.v4.1 transcript:Potri.015G132400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132400.v4.1 MKRGGFAYGFGFSRCFCLLLILICVNGRSDKEVRERFYGNLVNSSAPDNGEGSIAKMFDRVLEKEFSENDQPEGSDRNSFNNSVADQQAVLETVAKITHDKGKKNDTQVANGTRPFQLQDVFSLENEESEDTETLIDKKDNVFVMSNKKSKYPILQVDVRLISDLVVAIVSAAIGGIISSCLGQPVIVGYLLAGSIIGPGGLNFISEMVQVETVAQFGVVFLLFALGLEFSLTKLKVVGPVAILGGMLQIVIFICLCGIISVLCGAKLSEGVFVGSFLSMSSTAVVVKFLMERNSSSSLHGQVTIGTLIFQDCAVGLLFALLPVLGGNSGAIQGMISMGKLLLVLSLYLMAASFLSWSFVPRFLKLMIQLSSQTSELYQLAAVAFCLLSAWCSDKLGLSLELGSFMAGVMISTTDFAQHTLEQVEPIRNLFAALFLSSIGMLINVHFLWNHVDILLASVILVIVVKTTIAAAVTKAFGYSIRTSFLVGVLLAQIGEFAFVLLSRASNLHLVEGKMYLLLLGTTALSLVTTPLLFKLIPNVMNLGVLLQWFPSESSTPNKWFPSENGKSFHD >Potri.014G094000.1.v4.1 pep chromosome:Pop_tri_v4:14:6097406:6099107:1 gene:Potri.014G094000.v4.1 transcript:Potri.014G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G094000.v4.1 MGCNRSWHIFPLFLLLISPVHGLTSYDPESLDALIHQYAMKAQAKKRTGTSLKVPLPANFSGMEVSVVRLRSGHFWERGANFTSFFIPPRITPFPFVKRLSLVYQNLGNWSTLYYRVPDYSLVAPVVGFMAYDASNLSALGNEALKFNVLGDPISIKFPNLMTKGDPKLLKCVELGPDGLVHFRNITNENTCMTQGDGHFSVAVKNSDVDKNNRVWIWWVIGFGAAILALVLLGVIGFTTFKLVRSERFREMEAESENGVALDTTSIGRSKIPSSSMVRTQPTLEQDYVP >Potri.001G034966.1.v4.1 pep chromosome:Pop_tri_v4:1:2573042:2574225:-1 gene:Potri.001G034966.v4.1 transcript:Potri.001G034966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G034966.v4.1 MAMKLFLIRKRFLATQSTSSSLSPSSSSSFTVDFLVNSCGLPLKSALLASRKLKLDKKNLRNPPFVLQFLKSHNFDETHISKLIEKRPEVLQSRVEGNLTPKFDFLVANGFVGKLLHDLIIHHTEIFKRALDSRIKPAFSLLKSILHSNENVVVALKRSSRLLSADLNVNAQPNIDFLRKEGVPADMVAKLIILNPGTILSKRDRMVYAMNAIKNLGLEPNNTMFVRALIVRLQMTETTWNKKIEVMKSLQWSEEEILGAFKRYPQILAMSEEKIRSAMDFYINTMELQRQIIIACPIFLGYSIDKRIRPRYNVIKVLESKELIKGDMKISTLLNTSEETFLINYVSRYVEEVPGLLELYKGTAKRTEKDA >Potri.002G215800.3.v4.1 pep chromosome:Pop_tri_v4:2:20214696:20220345:1 gene:Potri.002G215800.v4.1 transcript:Potri.002G215800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215800.v4.1 MVKLCSMASHVHPPGLAIVVHEDQKRVIKDFQPYLPSWGARQEITRSSSLMLKLPQHEQPWRPMNRFYQSNQFAEIDSTVKMPILIDVQDARPDSVLFIFGIVEKCTRHEKILQFLMSKSNKLERDGLDLSFLSELTGLQAVMFDAHQQSHSPLIYPSDQFDSLKSPVDILGDMAHSSKLRVLPDGRVLLTGSGMEMKDILSTVAEFYLSRNSNIWRKQSLLVPHLSRLDTSKVDSNITGSSFKVRDVTAAALKSPVKIKPSRKRKNTRKGGRESDLYKRNYFNACESLLSLMMDRRHEKTAVLSLRKSGPELPLLLNQLSVGIAGTGLALLFSVICKVACRRVPFSACKLFCTGIGFGLVWLSWAVNKLKDTVVYISKHASKVGLKDKEIIRIVDKSFHDIYFRAVTVMAVVVLRLV >Potri.002G215800.1.v4.1 pep chromosome:Pop_tri_v4:2:20214788:20220474:1 gene:Potri.002G215800.v4.1 transcript:Potri.002G215800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G215800.v4.1 MVKLCSMASHVHPPGLAIVVHEDQKRVIKDFQPYLPSWGARQEITRSSSLMLKLPQHEQPWRPMNRFYQSNQFAEIDSTVKMPILIDVQDARPDSVLFIFGIVEKCTRHEKILQFLMSKSNKLERDGLDLSFLSELTGLQAVMFDAHQQSHSPLIYPSDQFDSLKSPVDILGDMAHSSKLRVLPDGRVLLTGSGMEMKDILSTVAEFYLSRNSNIWRKQSLLVPHLSRLDTSKVDSNITGSSFKVRDVTAAALKSPVKIKPSRKRKNTRKGGRESDLYKRNYFNACESLLSLMMDRRHEKTAVLSLRKSGPELPLLLNQLSVGIAGTGLALLFSVICKVACRRVPFSACKLFCTGIGFGLVWLSWAVNKLKDTVVYISKHASKVGLKDKEIIRIVDKSFHDIYFRAVTVMAVVVLRLV >Potri.006G139600.1.v4.1 pep chromosome:Pop_tri_v4:6:11682887:11684470:1 gene:Potri.006G139600.v4.1 transcript:Potri.006G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G139600.v4.1 MALNFASSSLSSLPTRTRDKPQKSLLGKIEKCQAVKVRVNATKGVSSVCEPLPPDRPLWFPGSSPPEWLDGSLPGDFGFDPLGLGSDPESLKWFAQAELIHGRWAMLAAAGILIPEWLERLGFIDNFNWFDAGTREYFADPTTLFVVQLILMGWIEGRRWADMIKPGCVDIDPKLPHKTKPKPDVGYPGGLWFDPWNWGRGSPEPVMAIRTKEIKNGRLAMLAFVGFWFQAIYTGEGPIDNLMAHIADPGHCNIFSAFTSH >Potri.001G031500.1.v4.1 pep chromosome:Pop_tri_v4:1:2356540:2359640:1 gene:Potri.001G031500.v4.1 transcript:Potri.001G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031500.v4.1 MKWLKIRTLLLLSAIFRVILIVYGEWQDTHMEVRYTDVDYLVFSDAASLMANGESPYKRTTYRYSPLLAFLLTPNSFIHRSWGKFIFSAADLFVGSFIQYILKKREVPEDMCLYSAMVWLLNPFTFTIGTRGNCEPIVCAMILWIIICLINGNVVQAAFWYGLVVHFRIYPIIYALPIVLVLDPHSFQSGQKPRLVNWKSSQDNASHGRKEGSEVYGVWTALKTIFTRGRIMFAMVSGSVFMLCTGLFFYLYRWEFLNEALLYHLTRTDPRHNFSIYFYHIYLHVEHEFSVVEKLISFLPQLIVQLVLIIRFAQDLPFCLFLQTVAFVAFNKVITAQYFVWFFCLLPLILPWSKMKLKWEGLSCVLLWMGAQTHWLLWGYLLEFKGKNVFLQLWLAGLVFLAANSFLLIMFIRHHKYSPVFKQFAPATSGNRDKSE >Potri.001G319800.2.v4.1 pep chromosome:Pop_tri_v4:1:32949475:32951686:1 gene:Potri.001G319800.v4.1 transcript:Potri.001G319800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319800.v4.1 MAFFNKLGSLARQSISQKGQVPMVSMLNTIRCMSSSKLFIGGLPWSADDHTLKEAFSGYGEVIEARVIMDRETGRSRGFGFVSYDSSESASEAQSAMDGQELGGRNVRVSFAEERSRPPRSYNDDYQGNRGYDN >Potri.001G319800.1.v4.1 pep chromosome:Pop_tri_v4:1:32949475:32951675:1 gene:Potri.001G319800.v4.1 transcript:Potri.001G319800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319800.v4.1 MAFFNKLGSLARQSISQKGQVPMVSMLNTIRCMSSSKLFIGGLPWSADDHTLKEAFSGYGEVIEARVIMDRETGRSRGFGFVSYDSSESASEAQSAMDGQELGGRNVRVSFAEERSRPPRSYNDDYQGNRGYDN >Potri.002G249000.1.v4.1 pep chromosome:Pop_tri_v4:2:23935820:23937703:-1 gene:Potri.002G249000.v4.1 transcript:Potri.002G249000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249000.v4.1 MAIPLLTKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Potri.002G249000.2.v4.1 pep chromosome:Pop_tri_v4:2:23936006:23937703:-1 gene:Potri.002G249000.v4.1 transcript:Potri.002G249000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G249000.v4.1 MAIPLLTKKIVKKRVKKFKRPQSDRKISVKTNWRRPKGIDSRVRRKFKGCTLMPNIGYGSDKKTRHYLPNGFKKFVVHNVGELEVLMMHNRTYCAEIAHNVSTRKRKEIVERAAQLDVVVTNKLARLRSQEDE >Potri.004G056100.1.v4.1 pep chromosome:Pop_tri_v4:4:4615505:4617414:-1 gene:Potri.004G056100.v4.1 transcript:Potri.004G056100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056100.v4.1 MAAKKCNTRIFLPFLLILAAWATKIACRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMYHGYKRQSSKLMSSSFRYENLSDIPTSMDWRNDGAVTPVKDQGTCGCCWAFSTVAAIEGIIKLQTGNLISLSEQQLVDCTAGNKGCQGGLMDTAFQYIIRNGGLTSEDNYPYQGVDGTCSSEKAASTEAQITGYEDVPQNNENALLQAVAKQPVSVAVDGGGNDFRFYKSGVFEGDCGTNLNHGVTAIGYGTDSDGTDYWLVKNSWGTSWGENGYMRMRRGIDSSEGLCGVAMDASYPTA >Potri.005G088600.1.v4.1 pep chromosome:Pop_tri_v4:5:6179275:6180851:1 gene:Potri.005G088600.v4.1 transcript:Potri.005G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G088600.v4.1 MQRDMCACLLSNSFTTIVAATTAKSIMRLTKQSQFICLALLFVLGAWPSKSAARTLQDVSMYERHEQWMAQYGRVYKDDAEKETRYNIFKENVARIDAFNSQTGKSYKLGVNQFADLSNEEFKASRNRFKGHMCSPQAGPFRYENVSAVPATMDWRKKGAVTPVKDQGQCGCCWAFSAVAAMEGINQLTTGKLISLSEQEVVDCDTKGEDQGCNGGLMDDAFKFIEQNKGLTTEANYPYTGTDGTCNTQKEATHAAKITGFEDVPANSEAALMKAVAKQPVSVAIDAGGFEFQFYSSGIFTGSCGTQLDHGVTAVGYGISDGTKYWLVKNSWGAQWGEEGYIRMQKDISAKEGLCGIAMQASYPSA >Potri.018G068100.28.v4.1 pep chromosome:Pop_tri_v4:18:8217168:8225822:1 gene:Potri.018G068100.v4.1 transcript:Potri.018G068100.28.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068100.v4.1 MGLVMVPPILQLLGKLSAIGFFFAVQGSTADNISLIPTIFSDIPPIEGTPGPQLQPFRSSVPASESLSTGSNLHPPLALPPLTSAPVPQTITGHVPSYSPSPLIVTKPHNRAPPPLISQGHVPSLSPSFSVTSPTYNTAPPPTLNQGHEPPKPPNAHRREAAVRQTPVSASSAPAAAPSRQSPENSPVSQSNAPEASSLSTHKKDVSFSGAPVPNSVSPAASPSRTSKENQPAAPPIAPATMPSAVPVVSPMGAMPQNSSATHPVMPGEPPAILSGPNVPHPSAPTPSIDDKKDEIPVSAPPNETPTPLSPMSHFPAKGPVMPPSFLPTSRHKQYAFSPLGTPDSSNNASHYRYPKPVIIVSPTPSPTQTAASGWTKMPALSPKASPSGFSSRTPKMPPLPPLHTLPPPPPNEDCSTTVCTEPYTNTPPGSPCRCVLPMQVGLGVSVALYTFFPLVSELAQEIAAGVFMKQGQVRIIGANAPSQQLEKTIVLIDLVPLGERFDNSTALLTYLRFWKKQVVINPSFFGDYEVLYVRYLGLPPPPPMAPFGIAIIDDGPYSGNDNNARMIKPLGVDVHKRKRKDGLAGGIIAIIAVSGFVALVLFSAVALALLFKHRDHASQPASVLQPLPPSVVKPSGIAGSLIGSGLSSASLSFASSIPAYTGSAKTFSKSDIERATSSFDASRILGEGGFGLVYSGVLEDGTKVAVKVLKRNDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEECSRSLVYELIANGSVESHLHGVDKESALNWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENRHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVAWARPFLTTKEGLEVIIDPTLATDVPFDSVAKVAAIASMCVQPEVSHRPFMSEVVQALKLVSNECDEAKELDSRSSSQDLSIYMDAEVSAVSGQLRDSLRSQALVHNYDSEPDIERGLVDSYFFSTSDGCGRQGSGSLRRCSSGPLKTGRGRELFQRMRLTGESVSERGTIFKMWPGSH >Potri.018G068100.27.v4.1 pep chromosome:Pop_tri_v4:18:8217141:8225810:1 gene:Potri.018G068100.v4.1 transcript:Potri.018G068100.27.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068100.v4.1 MGLVMVPPILQLLGKLSAIGFFFAVQGSTEGTPGPQLQPFRSSVPASESLSTGSNLHPPLALPPLTSAPVPQTITGHVPSYSPSPLIVTKPHNRAPPPLISQGHVPSLSPSFSVTSPTYNTAPPPTLNQGHEPPKPPNAHRREAAVRQTPVSASSAPAPSRQSPENSPVSQSNAPEASSLSTHKKDVSFSGAPVPNSVSPAASPSRTSKENQPAAPPIAPATMPSAVPVVSPMGAMPQNSSATHPVMPGEPPAILSGPNVPHPSAPTPSIDDKKDEIPVSAPPNETPTPLSPMSHFPAKGSFSGIAPSTHNAMRHSNDSPISPSSLPKTPLAKQHHSSAPSPSIPFHKQNHESSRISDSAPASSNPTFPPSSKQQGPVMPPSFLPTSRHKQYAFSPLGTPDSSNNASHYRYPKPVIIVSPTPSPTQTAASGWTKMPALSPKASPSGFSSRTPKMPPLPPLHTLPPPPPNEDCSTTVCTEPYTNTPPGSPCRCVLPMQVGLGVSVALYTFFPLVSELAQEIAAGVFMKQGQVRIIGANAPSQQLEKTIVLIDLVPLGERFDNSTALLTYLRFWKKQVVINPSFFGDYEVLYVRYLGLPPPPPMAPFGIAIIDDGPYSGNDNNARMIKPLGVDVHKRKRKDGLAGGIIAIIAVSGFVALVLFSAVALALLFKHRDHASQPASVLQPLPPSVVKPSGIAGSLIGSGLSSASLSFASSIPAYTGSAKTFSKSDIERATSSFDASRILGEGGFGLVYSGVLEDGTKVAVKVLKRNDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEECSRSLVYELIANGSVESHLHGVDKESALNWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENRHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVAWARPFLTTKEGLEVIIDPTLATDVPFDSVAKVAAIASMCVQPEVSHRPFMSEVVQALKLVSNECDEAKELDSRSSSQDLSIYMDAEVSAVSGQLRDSLRSQALVHNYDSEPDIERGLVDSYFFSTSDGCGRQGSGSLRRCSSGPLKTGRGRELFQRMRLTGESVSERGTIFKMWPGSH >Potri.018G068100.25.v4.1 pep chromosome:Pop_tri_v4:18:8217122:8225819:1 gene:Potri.018G068100.v4.1 transcript:Potri.018G068100.25.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068100.v4.1 MGLVMVPPILQLLGKLSAIGFFFAVQGSTEGTPGPQLQPFRSSVPASESLSTGSNLHPPLALPPLTSAPVPQTITGHVPSYSPSPLIVTKPHNRAPPPLISQGHVPSLSPSFSVTSPTYNTAPPPTLNQGHEPPKPPNAHRREAAVRQTPVSASSAPAAAPSRQSPENSPVSQSNAPEASSLSTHKKDVSFSGAPVPNSVSPAASPSRTSKENQPAAPPIAPATMPSAVPVVSPMGAMPQNSSATHPVMPGEPPAILSGPNVPHPSAPTPSIDDKKDEIPVSAPPNETPTPLSPMSHFPAKGSFSGIAPSTHNAMRHSNDSPISPSSLPKTPLAKQHHSSAPSPSIPFHKQNHESSRISDSAPASSNPTFPPSSKQQGPVMPPSFLPTSRHKQYAFSPLGTPDSSNNASHYRYPKPVIIVSPTPSPTQTAASGWTKMPALSPKASPSGFSSRTPKMPPLPPLHTLPPPPPNEDCSTTVCTEPYTNTPPGSPCRCVLPMQVGLGVSVALYTFFPLVSELAQEIAAGVFMKQGQVRIIGANAPSQQLEKTIVLIDLVPLGERFDNSTALLTYLRFWKKQVVINPSFFGDYEVLYVRYLGLPPPPPMAPFGIAIIDDGPYSGNDNNARMIKPLGVDVHKRKRKDGLAGGIIAIIAVSGFVALVLFSAVALALLFKHRDHASQPASVLQPLPPSVVKPSGIAGSLIGSGLSSASLSFASSIPAYTGSAKTFSKSDIERATSSFDASRILGEGGFGLVYSGVLEDGTKVAVKVLKRNDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEECSRSLVYELIANGSVESHLHGVDKESALNWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENRHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVAWARPFLTTKEGLEVIIDPTLATDVPFDSVAKVAAIASMCVQPEVSHRPFMSEVVQALKLVSNECDEAKELDSRSSSQDLSIYMDAEVSAVSGQLRDSLRSQALVHNYDSEPDIERGLVDSYFFSTSDGCGRQGSGSLRRCSSGPLKTGRGRELFQRMRLTGESVSERGTIFKMWPGSH >Potri.018G068100.30.v4.1 pep chromosome:Pop_tri_v4:18:8217079:8225965:1 gene:Potri.018G068100.v4.1 transcript:Potri.018G068100.30.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068100.v4.1 MGLVMVPPILQLLGKLSAIGFFFAVQGSTEGTPGPQLQPFRSSVPASESLSTGSNLHPPLALPPLTSAPVPQTITGHVPSYSPSPLIVTKPHNRAPPPLISQGHVPSLSPSFSVTSPTYNTAPPPTLNQGHEPPKPPNAHRREAAVRQTPVSASSAPAAAPSRQSPENSPVSQSNAPEASSLSTHKKDVSFSGAPVPNSVSPAASPSRTSKENQPAAPPIAPATMPSAVPVVSPMGAMPQNSSATHPVMPGEPPAILSGPNVPHPSAPTPSIDDKKDEIPVSAPPNETPTPLSPMSHFPAKGPVMPPSFLPTSRHKQYAFSPLGTPDSSNNASHYRYPKPVIIVSPTPSPTQTAASGWTKMPALSPKASPSGFSSRTPKMPPLPPLHTLPPPPPNEDCSTTVCTEPYTNTPPGSPCRCVLPMQVGLGVSVALYTFFPLVSELAQEIAAGVFMKQGQVRIIGANAPSQQLEKTIVLIDLVPLGERFDNSTALLTYLRFWKKQVVINPSFFGDYEVLYVRYLGLPPPPPMAPFGIAIIDDGPYSGNDNNARMIKPLGVDVHKRKRKDGLAGGIIAIIAVSGFVALVLFSAVALALLFKHRDHASQPASVLQPLPPSVVKPSGIAGSLIGSGLSSASLSFASSIPAYTGSAKTFSKSDIERATSSFDASRILGEGGFGLVYSGVLEDGTKVAVKVLKRNDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEECSRSLVYELIANGSVESHLHGVDKESALNWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENRHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVAWARPFLTTKEGLEVIIDPTLATDVPFDSVAKVAAIASMCVQPEVSHRPFMSEVVQALKLVSNECDEAKELDSRSSSQDLSIYMDAEVSAVSGQLRDSLRSQALVHNYDSEPDIERGLVDSYFFSTSDGCGRQGSGSLRRCSSGPLKTGRGRELFQRMRLTGESVSERGTIFKMWPGSH >Potri.018G068100.26.v4.1 pep chromosome:Pop_tri_v4:18:8217174:8225823:1 gene:Potri.018G068100.v4.1 transcript:Potri.018G068100.26.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068100.v4.1 MGLVMVPPILQLLGKLSAIGFFFAVQGSTEGTPGPQLQPFRSSVPASESLSTGSNLHPPLALPPLTSAPVPQTITGHVPSYSPSPLIVTKPHNRAPPPLISQGHVPSLSPSFSVTSPTYNTAPPPTLNQGHEPPKPPNAHRREAAVRQTPVSASSAPAAAPSRQSPENSPVSQSNAPEASSLSTHKKDVSFSGAPVPNSVSPAASPSRTSKENQPAAPPIAPATMPSAVPVVSPMGAMPQNSSATHPVMPGEPPAILSGPNVPHPSAPTPSIDDKKDEIPVSAPPNETPTPLSPMSHFPAKGSFSGIAPSTHNAMRHSNDSPISPSSLPKTPLAKQHHSSAPSPSIPFHKQNHESSRISDSAPASSNPTFPPSSKQQGPVMPPSFLPTSRHKQYAFSPLGTPDSSNNASHYRYPKPVIIVSPTPSPTQTAASGWTKTLSPKASPSGFSSRTPKMPPLPPLHTLPPPPPNEDCSTTVCTEPYTNTPPGSPCRCVLPMQVGLGVSVALYTFFPLVSELAQEIAAGVFMKQGQVRIIGANAPSQQLEKTIVLIDLVPLGERFDNSTALLTYLRFWKKQVVINPSFFGDYEVLYVRYLGLPPPPPMAPFGIAIIDDGPYSGNDNNARMIKPLGVDVHKRKRKDGLAGGIIAIIAVSGFVALVLFSAVALALLFKHRDHASQPASVLQPLPPSVVKPSGIAGSLIGSGLSSASLSFASSIPAYTGSAKTFSKSDIERATSSFDASRILGEGGFGLVYSGVLEDGTKVAVKVLKRNDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEECSRSLVYELIANGSVESHLHGVDKESALNWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENRHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVAWARPFLTTKEGLEVIIDPTLATDVPFDSVAKVAAIASMCVQPEVSHRPFMSEVVQALKLVSNECDEAKELDSRSSSQDLSIYMDAEVSAVSGQLRDSLRSQALVHNYDSEPDIERGLVDSYFFSTSDGCGRQGSGSLRRCSSGPLKTGRGRELFQRMRLTGESVSERGTIFKMWPGSH >Potri.018G068100.29.v4.1 pep chromosome:Pop_tri_v4:18:8217314:8225811:1 gene:Potri.018G068100.v4.1 transcript:Potri.018G068100.29.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G068100.v4.1 MGLVMVPPILQLLGKLSAIGFFFAVQGSTADNISLIPTIFSDIPPIEGTPGPQLQPFRSSVPASESLSTGSNLHPPLALPPLTSAPVPQTITGHVPSYSPSPLIVTKPHNRAPPPLISQGHVPSLSPSFSVTSPTYNTAPPPTLNQGHEPPKPPNAHRREAAVRQTPVSASSAPAAAPSRQSPENSPVSQSNAPEASSLSTHKKDVSFSGAPVPNSVSPAASPSRTSKENQPAAPPIAPATMPSAVPVVSPMGAMPQNSSATHPVMPGEPPAILSGPNVPHPSAPTPSIDDKKDEIPVSAPPNETPTPLSPMSHFPAKGPVMPPSFLPTSRHKQYAFSPLGTPDSSNNASHYRYPKPVIIVSPTPSPTQTAASGWTKMPALSPKASPSGFSSRTPKMPPLPPLHTLPPPPPNEDCSTTVCTEPYTNTPPGSPCRCVLPMQVGLGVSVALYTFFPLVSELAQEIAAGVFMKQGQVRIIGANAPSQQLEKTIVLIDLVPLGERFDNSTALLTYLRFWKKQVVINPSFFGDYEVLYVRYLGLPPPPPMAPFGIAIIDDGPYSGNDNNARMIKPLGVDVHKRKRKDGLAGGIIAIIAVSGFVALVLFSAVALALLFKHRDHASQPASVLQPLPPSVVKPSGIAGSLIGSGLSSASLSFASSIPAYTGSAKTFSKSDIERATSSFDASRILGEGGFGLVYSGVLEDGTKVAVKVLKRNDQQGGREFLAEVEMLSRLHHRNLVKLIGICTEECSRSLVYELIANGSVESHLHGVDKESALNWDARIKIALGAARGLAYLHEDSSPRVIHRDFKSSNILLEHDFTPKVSDFGLARTALDEENRHISTQVMGTFGYVAPEYAMTGHLLVKSDVYSYGVVLLELLTGRKPVDMSQPPGQENLVAWARPFLTTKEGLEVIIDPTLATDVPFDSVAKVAAIASMCVQPEVSHRPFMSEVVQALKLVSNECDEAKELDSRSSSQDLSIYMDAEVSAVSGQLRDSLRSQALVHNYDSEPDIERGLVDSYFFSTSDGCGRQGSGSLRRCSSGPLKTGRGRELFQRMRLTGESVSERGTIFKMWPGSH >Potri.016G003033.1.v4.1 pep chromosome:Pop_tri_v4:16:160182:161731:-1 gene:Potri.016G003033.v4.1 transcript:Potri.016G003033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G003033.v4.1 MGNYNSTTGCGASAYSRLPSTHYSPDDDHSLRDPLLRNITQKVEEQHQQLLHHIYEATRVKETVKSATTSSSSFNPNPPPSKPMQEEQKPNGHHALEKEQKPNGHHALEKELHQELIRHGVAKPKALASCPSCYKQGEAIERLKEKNASLEKRVMELTATIEEMKRTSDSNIINNNNIKIPAAGSGSGSGSGSTPHQSNINTPIIPDDLVNLQTSPVPDSSTNEIEMKNDSMMSEQSSATQALQSEIIYGSLNDLTGCLVVLIFLVAVLIGVSL >Potri.010G056400.2.v4.1 pep chromosome:Pop_tri_v4:10:8710481:8712100:-1 gene:Potri.010G056400.v4.1 transcript:Potri.010G056400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056400.v4.1 MSVPLRIEIMQRETIKPSSPTPLHLRSLKLSLLDQFMPVVHIPLLLFYPRNGNDTDHLAKATERSLLLKTSLSEALTHFYPFAGRLKDNSSIECDDHGAEYIEARIHCILSDILKKPDTEVLKQLLPAALSEAATARDSQLLVQASFFDCGGLAIGVNLSHKVADAATVTSFIKCWAATARRSSTEVVISPVFMGASIFPQMDLPIPMLPVDLIQGESVMKRFVFEAPKITALKAKAISASVPDPTRVESVTALIWKCAMSASRSNLGVPRKSVLSLGVNIRKRLVPTLPDNYGGNYVGSISARMEDHDDLELQGIVSRIRKDLIEFGENYAKITQGDDTSLAICKAVEEFGKMATSKDIDYYNGTSWCRFELYDADFGWGKPTWLSTVFTIELKNLMCLIDTRDGDGIEACISLSPEDMALFESNRELLEFAAANHSVSV >Potri.014G087900.1.v4.1 pep chromosome:Pop_tri_v4:14:5705931:5717084:1 gene:Potri.014G087900.v4.1 transcript:Potri.014G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087900.v4.1 MYMAYGWPQVIPLEQGLCPSSQKHIIYFKVINRLFLVVSPSHLELWSSSQHKVRLGKYKRNAESLEREGENLLAVWRPDTKLIAILTSSFFLHIFKVQYSDKRIQIGGKQPSGLFLANVSLLLSEQVPFADKDLTVSNFVSDNKHLLLGLSDGSLYSISWKGEFYGAFELDPCSRDSSDASVSPHSLGNGVASGRAPTDSESNHNITRKTAIVQLELCLLTRLLFVLYSDGQLVSCSISKKGLKQVEYIKAEKKLGSGDAVCISVASDQQILAVGTRRGVVKLYDLAESASLIRTVSLCDWGYSVDDTGPVSCIAWTPDYSAFAVGWKLRGLTVWSVSGCRLMSTIRQIGLSSVSSPKVKPNQDRKYEPLMNGTSLMQWDEYGYRLYVIEEGSLERVIAFSFGKCCLSRGVSGMTYVCQVIYGEDRLLVVQSEDTDELKFLHLNLPVSYISQNWPVQHVAASKDGMHLAVAGLHGLILYDIRLKKWRVFGDITQEQKIQCKGLLWLGKIVVVCNYIDSSNTYELLFYPRYHLDQSSLLCRKPLLAKPMVMDVYQDHILVTYRPFDVHIFHVRLLGELTPSSTPDLQLSTVRELSIMTAKSHPAAMRFIPEQLQRELASNNHISSSDLMDREPARCLILRTNGELSLLDLDDGRERELTDSVELFWVTCGQSEEKTSLIEEVSWLDYGHRGMQVWYPSAGADPFMQEDFSQLDPELEFDREAYPLGLLPNAGVVVCVSQRMSFSACTEFPCFEPSSQAQTILHCLLRHLLQRDKKEEALRLAQLSAEKPHFSHCLEWLLFTVFDAEISRQNANKNQISVPLHAGNRSLLEKTCDLIRNFSEYFDVVVSVARKTDGRHWADLFSAAGRSTELFEECFQQRWYRTAACYILVIAKLEGPAVSQYCALRLLQATLDESLYELAGELVRFLLRSGKEYDQTPPDSDRLSPRFLGYFLFRSSYKKPSLDKSTSFKEQSAHVASVKNILESHASYLMSGKELSKLVAFVKGTQFDLVEYLQRERYGSARLENFASGLELIGQKLQMGTLQSRLDAEFLLAHMCSVKFKEWIVVLATLLRRTEVLFDLFQRDMRLWKAYSVTLQSHPAFSEYHDLLEGLEERLSSVADSQEK >Potri.016G137300.3.v4.1 pep chromosome:Pop_tri_v4:16:14089844:14095728:-1 gene:Potri.016G137300.v4.1 transcript:Potri.016G137300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G137300.v4.1 MREKLGFLVCVWFLLCGFCFGRFVVEKNSLKLTSPESLKGVYECAIGNFGVPQYGGTLVGTVVYPKANQKACKGFDEVGISFKSRPGGLPTFVLADRGDCYFTLKAWNAQNGGAAAILVADDKVEPLITMDNPEEDDAGAAYLQNITIPSALISKSLGDSIKKALSNGEMVNMNLDWTESLPHPDERVEYEFWTNSNDECGPKCDSQIEFVKNFKGAAQILERKGYTQFTPHYITWYCPEAFILSKQCKSQCINHGRYCAPDPEQDFSKGYDGKDVVVQNLRQACFYKVASESKKPWLWWDYVTDFSIRCPMKDKKYTKECADQVIQSLGVDLEKIDKCIGEPEADVENEVLKAEQDTQIGKGSRGDVTILPTLVINNRQYRGKLDKGAVLKAICAGFEETTEPAICLSEDVETNECLENNGGCWQDKAANLTACKDTFRGRVCECPVVQGVKFVGDGYTRCEASGSLRCEINNGGCWKKTQDGRTFSACVEDHSQGCKCPPGFKGDGVNSCEDVDECKDKLACQCSECKCKNTWGSYDCSCGGGLLYMQEHDTCISKAANTNYSWSFVWIIILGLAAAGVAGYAIYKYRIRRYMDSEIRAIMAQYMPLDSQADIPVHHAPRGDI >Potri.008G141700.5.v4.1 pep chromosome:Pop_tri_v4:8:9539354:9540421:1 gene:Potri.008G141700.v4.1 transcript:Potri.008G141700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G141700.v4.1 MARGAFGLNAGAFHPIISCLTRKKNMERSWSLIEIMAELGISPDRTTLNYLSTAYRFKGDLTAASGVVKRIEEEGLGVGSRAYDVPVLDACKKGKVEGALVVMECRCCIRHVINALLKQGYHDQAVKFVMVCGGKEEGLDSENFRILGSKLIEFERFKEAKLVLEEM >Potri.014G083600.2.v4.1 pep chromosome:Pop_tri_v4:14:5357203:5361691:1 gene:Potri.014G083600.v4.1 transcript:Potri.014G083600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083600.v4.1 MSILCGVPILECVYCLGCARWLWKKCLYSAGHESENWGLATAEEFAPVPRLCRLILSVYEDDLRNPLWAPPGGYGINPDWVVVKRTYEDTGGCAAPYMIYLDHDNADVVLAIRGLNLAKESDYAVLLDNKLGQTKFDGGYVHNGLLKAAKWVFDTECELLRDLVEMNPDYRLTFAGHSLGAGIVSLIVMHAVQNRDRLGNIERKRIRCFAMAPARCVSLNLAVRYADVINSIVLQDDFLPRTTTVLEDVYKSIFCLPCLLCLMCLKDTCTLEEKMLKDPTRLYAPGRLYHIVERKPFRIGRFPPVVRTAVPVDGRFEHLVLSCNATSDHAIIWLERESQRALDLMLEKDRIMEIPAQQRMQRQESLAREHNEEYEAALRRAVALEIPQAAYSSSYGTFAEVEKGEGSGSSSGARSSLLSFKRMRERWDNFIERLFDVDESGRMVFKKSST >Potri.014G083600.1.v4.1 pep chromosome:Pop_tri_v4:14:5357134:5361776:1 gene:Potri.014G083600.v4.1 transcript:Potri.014G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G083600.v4.1 MSILCGVPILECVYCLGCARWLWKKCLYSAGHESENWGLATAEEFAPVPRLCRLILSVYEDDLRNPLWAPPGGYGINPDWVVVKRTYEDTGGCAAPYMIYLDHDNADVVLAIRGLNLAKESDYAVLLDNKLGQTKFDGGYVHNGLLKAAKWVFDTECELLRDLVEMNPDYRLTFAGHSLGAGIVSLIVMHAVQNRDRLGNIERKRIRCFAMAPARCVSLNLAVRYADVINSIVLQDDFLPRTTTVLEDVYKSIFCLPCLLCLMCLKDTCTLEEKMLKDPTRLYAPGRLYHIVERKPFRIGRFPPVVRTAVPVDGRFEHLVLSCNATSDHAIIWLERESQRALDLMLEKDRIMEIPAQQRMQRQESLAREHNEEYEAALRRAVALEIPQAAYSSSYGTFAEVEKGEGSGSSSGARSSLLSFKRMRERWDNFIERLFDVDESGRMVFKKSST >Potri.001G044000.1.v4.1 pep chromosome:Pop_tri_v4:1:3173272:3179637:-1 gene:Potri.001G044000.v4.1 transcript:Potri.001G044000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044000.v4.1 MAMAMPLMTFSLSSLSSLPRSSISVVHSTPLRSPSPTLSKLSYTTKIQCANTNKQQKSQTTQSHDPKSGVAVYKPKSYEVLVTDAANSLAFSLQDGKIRLEIDFPPLPSNISSYKGSSDEFIDANIQLALAVIRKLQEKRETRACVVFPDKPEMLRACRIFKTALDSIDGITIGSLDDIPSGPVTTFFKSVRNTLDFDFEDDSEGRWQSNEPPSLYVFINCSTRELSVIEKYVEKFATSTPTLLFNLELDTLRADLGLLGFPTKDLHYRFLSQFIPVFYIRIREYSKTIGVAPYIVNYSGALFRQYPGPWQVMLKQADGSYACVAESATRFTLGETKEELLRVLGLQEEQGTSLEFLRRGYKSATWWEEDVELETSSDWRS >Potri.015G096500.2.v4.1 pep chromosome:Pop_tri_v4:15:11792992:11794172:1 gene:Potri.015G096500.v4.1 transcript:Potri.015G096500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096500.v4.1 MEEGDQKELQLLPSQLSIASSSSSSSLLDSSLRYKSSVVSDHHHHHNQFGGPSLDLQLSISVRPIQAQSNCVLTGPICDFSDVKTDTSCVEALKWQAAEQVKLAAIEKAYAERVRDLTRREMELAQSEFARARHMWQRAREEVEKAERMKEKATRQIDSTCMEITCQSCRQRFKP >Potri.012G016900.4.v4.1 pep chromosome:Pop_tri_v4:12:1891657:1895573:1 gene:Potri.012G016900.v4.1 transcript:Potri.012G016900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016900.v4.1 MGTMTASSLSREASNYDEVSMQQSLLFSDSLKDLKNLRSQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVDEVSGTEFRVCCIEQRLRTCQEYIDHEGISQQSLVIDTPKYHKRYILPVGETMHGAIRTKSKYQGCSLDDEDDWHQFRNAVRATIREAPSSARETPTSSARETPTSLVNSMRKGRSPSPSPRPPPQRSATFSFTSTMPKKDIDKRSISPHRFPLLRSGSVSSRSTTPNTSRPTTPSSAPAKKRYPSEPRKSASMRLQAEKENTKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >Potri.012G016900.2.v4.1 pep chromosome:Pop_tri_v4:12:1891191:1895665:1 gene:Potri.012G016900.v4.1 transcript:Potri.012G016900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G016900.v4.1 MGTMTASSLSREASNYDEVSMQQSLLFSDSLKDLKNLRSQLYSAAEYFELSYTNDDQKQIVVETLKDYAIKALVNTVDHLGSVTYKVNDLLDEKVDEVSGTEFRVCCIEQRLRTCQEYIDHEGISQQSLVIDTPKYHKRYILPVGETMHGAIRTKSKYQGCSLDDEDDWHQFRNAVRATIREAPSSARETPTSSARETPTSLVNSMRKGRSPSPSPRPPPQRSATFSFTSTMPKKDIDKRSISPHRFPLLRSGSVSSRSTTPNTSRPTTPSSAPAKKRYPSEPRKSASMRLQAEKENTKDIEQYPSKSKRLLKALLSRRKSKKDEMLYTYLDEY >Potri.014G107050.1.v4.1 pep chromosome:Pop_tri_v4:14:7195491:7195913:-1 gene:Potri.014G107050.v4.1 transcript:Potri.014G107050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107050.v4.1 MRLLCCHFMLNLRIDVLLGRSMLFCSIAINIQQERVMLRETRRINLEWRRSLQWKELCCRSRRSNSRLEAAGQHNS >Potri.001G298350.1.v4.1 pep chromosome:Pop_tri_v4:1:30946229:30947155:-1 gene:Potri.001G298350.v4.1 transcript:Potri.001G298350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298350.v4.1 MREREREIYQRASSSLGRHFYATFLLKLKQNKSMEHQNSSSFCTVVLIWKERGRICDERTRRRKKRLIVVVVESKRSL >Potri.005G205000.1.v4.1 pep chromosome:Pop_tri_v4:5:21006071:21006457:-1 gene:Potri.005G205000.v4.1 transcript:Potri.005G205000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G205000.v4.1 MDSPDSLSNVRGDFEFEELEITEHDGALLRDLLQETKIEVGGDGADIKESLEVKNDSEVRVDMQAFFEQNSTLLQEVEAMMEVNPAFPYEEITPWYVDDIAGMVEFGDIGDALQLSDEEFFYGSLWQD >Potri.004G153200.3.v4.1 pep chromosome:Pop_tri_v4:4:17419892:17420889:1 gene:Potri.004G153200.v4.1 transcript:Potri.004G153200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G153200.v4.1 MKSFLAVLVLVSFLSFVELRDARKEPREYYWKSMTKDQPMPEAIKDLFVQDPAGAGKLNHFVKDFDTRHSAIIYHSHDGKDELKETNPTNARDHEEDKAYAP >Potri.001G099600.1.v4.1 pep chromosome:Pop_tri_v4:1:7934840:7941484:-1 gene:Potri.001G099600.v4.1 transcript:Potri.001G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099600.v4.1 MSQVRRLIMASGSSISSNPMSFKHGLSLWCPQSSPLHRTLQSSPSSSIGFKTFFRNHVVAASSSSFANENREYVIVGGGNAAGYAARSFVEHGMADGKLCIVTREAYAPYERPALTKAYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKEKGIEMLYEDPVTGIDIEKQTATTISGKLLKYGTLIVATGCSASRFPEKIGGNLPGVHYIRDVADADSLISSLEKAHKLVIVGGGYIGMEVAAAAVAWKLDTTIIFPENHLMQRLFTPSLAQKYEELYQENGVKFIKGASIKNLEASSDGHVAAIKLENGSTIEADMVIIGIGAKPAVGPFERLGLNNSVGGIQVDGQFRTGIPGIFAIGDVAAFPLKMYNRMARVEHVDHARRSAQHCVKSLLTAHTSSYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETIQVGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPELAKSQPIVDKSKLQSASSVEEALEIARTSLQAAV >Potri.001G099600.2.v4.1 pep chromosome:Pop_tri_v4:1:7934859:7941477:-1 gene:Potri.001G099600.v4.1 transcript:Potri.001G099600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099600.v4.1 MASGSSISSNPMSFKHGLSLWCPQSSPLHRTLQSSPSSSIGFKTFFRNHVVAASSSSFANENREYVIVGGGNAAGYAARSFVEHGMADGKLCIVTREAYAPYERPALTKAYLFPLDKKPARLPGFHTCVGSGGERQTPDWYKEKGIEMLYEDPVTGIDIEKQTATTISGKLLKYGTLIVATGCSASRFPEKIGGNLPGVHYIRDVADADSLISSLEKAHKLVIVGGGYIGMEVAAAAVAWKLDTTIIFPENHLMQRLFTPSLAQKYEELYQENGVKFIKGASIKNLEASSDGHVAAIKLENGSTIEADMVIIGIGAKPAVGPFERLGLNNSVGGIQVDGQFRTGIPGIFAIGDVAAFPLKMYNRMARVEHVDHARRSAQHCVKSLLTAHTSSYDYLPYFYSRVFEYEGSPRKVWWQFFGDNVGETIQVGNFDPKIATFWIDSGKLKGVLLESGSPEEFQLLPELAKSQPIVDKSKLQSASSVEEALEIARTSLQAAV >Potri.002G011500.1.v4.1 pep chromosome:Pop_tri_v4:2:712416:713349:-1 gene:Potri.002G011500.v4.1 transcript:Potri.002G011500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G011500.v4.1 MASHLNSNMSCGLKVETKDPEWHRTLTKVLKRIKGVSYAIDVEQGMAYIRGRVDPNKLLKKLSKGGKHADICWVETGNMNPYMNNGYQYPPRGGYHQPGPAYHQGYHPGYAMPSYYPKRPPSYYGYGSAYGYDPRSYVYPTWA >Potri.004G028800.5.v4.1 pep chromosome:Pop_tri_v4:4:2232773:2233891:1 gene:Potri.004G028800.v4.1 transcript:Potri.004G028800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028800.v4.1 MATHIPDDFKCPISLEIMSDPVILSSGHTFDRSSIQRWLDSGHRSCPITKLPLPEHPRLIPNHALRSLISSFTIQKSQPDPNPYRNPNPSKKHQTQFLISALVSPSSTLESSLHSLSQLTRLTKLDPCLRRQITESGAVSTILNCVDSTESEIQEKALALLLNLSLDDDNKVGLVAEGVIGRVINVIRVGSPSSRAIGCTMLTSLAVVEVNKATIGAYPNGIKTLIWVLYNGKGREVREAATALYAICSFVDNRKRAVECGAVPILMKIGGMGLERAVEVLSLLVKCKEGREEIRKVNGCLEVLVKVIRNGSERGVQCALFTLNCLCSFAEEMRVEAKKDGVLEICVGFLDDENEKIRRNAANLVQNLSCRG >Potri.006G191500.8.v4.1 pep chromosome:Pop_tri_v4:6:19863900:19871441:-1 gene:Potri.006G191500.v4.1 transcript:Potri.006G191500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191500.v4.1 MSADLNVNQIEEKISVLDQPEESARVDCNGNGKVNDHHGPDPVCAEDSVADQVGELKADRVESESITGFRDDDDPIEKEEDLQAVEKGREEKLAEIPEDHDVEGNKKELINHAELSNAVAEAQESQDTSVHVAESELNRSNNDEVMVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDNSVHVAESELNRSNNDEERVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELNRSNNDEEMVEEESKLNSTIDIEEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELNRSNNDEEMVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELSRSNNDEEKVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAELITTEDVSESEPSQSRNDDEKVEESKLGSEDSQAVVSNAAHNFLASDQEKKLKELMNNDDVTEFKPKQSSIDVKVEEESKLDSAIHVEEIEDSQAAVINGACNSLDLNQEKEQPELIKDLPLEDSVEESGDPLKQNLETAPCPVMADEKLEAESAEGPTSDENRDGLPAGHAQDTAAETPVVDDLVDAKQNISKSSSENVELVATSDAETGQSFPISSDNGTTGDETSHILMDAVQSEVPHANGLDIHEKGGLLTSQESASQTVLVNDFVHTPEQNHTLEISTEVSSPAVLEEAPVESSESFPVSPINDIGAEPIVRIEDSCPVEDSKLCDIVRTETKVDNIGESADSHPVDDSKVEAEVENVLVAPSGHANDVKLDIGASSHSVESDEKVSILSIGNVDVESEVTEAVNEGDSNRTSVSIDNPDGETFKCDSTGNESYMPKIEVQADSEVENISTAAREEVPNRDGFVSQLEGEVSKNETPKPTSEDSAVVTSDEQYVVAELGKGPFYIIKVPRFDERNLREKVEDAKFQVEEKSKIRDAIQAQIQIIKAKRKEYEDSFLDARSEEKAARDLLKAKRKEIDSVQYIINRTRNALEIEEIDGRIRSMEHKIQHETLPLKEEKQFIRDIKQLKQIREQFSSNMGSQDEVQQAMDQKDQSEERLKSLRKEADVLRDSLLKAEAVTEDAKKKYNDEHEKINQLLFQHRAANDIRQEAFAHLQSLRKQLYEKSKFFYKYKDDLTAATNLALKGDKEELQRHCANQVERVMELWNNNDEFRKEYMSSNMRNTLRRLRTLDGRALGPDEQPPIIPNVVSQRATKHNVAPSAPALEVEKPVTPVETQRIDEKSTAKLGDKKNQTVKTKRQAKPASLENGLPTVSGRDQIEESRQEENKLPKEEESRQENKLTKEEESRQENKLTKEEVELARKIEELRKEKEAAMLKEQRRLEEKAKAKEAMERKKRNAEKAQARASLRAQREAEQKEKEKEKKAKKKEKRKAAAEDTKDIDEVESAPSSETPTETNESERTEKPVTVAKRPQKQTKAKSMPLPLRNKGKRKMQTWMWALITLLAVVALFFMGNSSFFNLGLQQRFGI >Potri.006G191500.9.v4.1 pep chromosome:Pop_tri_v4:6:19863901:19871131:-1 gene:Potri.006G191500.v4.1 transcript:Potri.006G191500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191500.v4.1 MSADLNVNQIEEKISVLDQPEESARVDCNGNGKVNDHHGPDPVCAEDSVADQVGELKADRVESESITGFRDDDDPIEKEEDLQAVEKGREEKLAEIPEDHDVEGNKKELINHAELSNAEAQESQDTSVHVAESELNRSNNDEVMVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDNSVHVAESELNRSNNDEERVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELNRSNNDEEMVEEESKLNSTIDIEEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELNRSNNDEEMVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELSRSNNDEEKVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAELITTEDVSESEPSQSRNDDEKVEESKLGSEDSQAVVSNAAHNFLASDQEKKLKELMNNDDVTEFKPKQSSIDVKVEEESKLDSAIHVEEIEDSQAAVINGACNSLDLNQEKEQPELIKDLPLEDSVEESGDPLKQNLETAPCPVMADEKLEAESAEGPTSDENRDGLPAGHAQDTAAETPVVDDLVDAKQNISKSSSENVELVATSDAETGQSFPISSDNGTTGDETSHILMDAVQSEVPHANGLDIHEKGGLLTSQESASQTVLVNDFVHTPEQNHTLEISTEVSSPAVLEEAPVESSESFPVSPINDIGAEPIVRIEDSCPVEDSKLCDIVRTETKVDNIGESADSHPVDDSKVEAEVENVLVAPSGHANDVKLDIGASSHSVESDEKVSILSIGNVDVESEVTEAVNEGDSNRTSVSIDNPDGETFKCDSTGNESYMPKIEVQADSEVENISTAAREEVPNRDGFVSQLEGEVSKNETPKPTSEDSAVVTSDEQYVVAELGKGPFYIIKVPRFDERNLREKVEDAKFQVEEKSKIRDAIQAQIQIIKAKRKEYEDSFLDARSEEKAARDLLKAKRKEIDSVQYIINRTRNALEIEEIDGRIRSMEHKIQHETLPLKEEKQFIRDIKQLKQIREQFSSNMGSQDEVQQAMDQKDQSEERLKSLRKEADVLRDSLLKAEAVTEDAKKKYNDEHEKINQLLFQHRAANDIRQEAFAHLQSLRKQLYEKSKFFYKYKDDLTAATNLALKGDKEELQRHCANQVERVMELWNNNDEFRKEYMSSNMRNTLRRLRTLDGRALGPDEQPPIIPNVVSQRATKHNVAPSAPALEVEKPVTPVETQRIDEKSTAKLGDKKNQTVKTKRQAKPASLENGLPTVSGRDQIEESRQEENKLPKEEESRQENKLTKEEESRQENKLTKEEVELARKIEELRKEKEAAMLKEQRRLEEKAKAKEAMERKKRNAEKAQARASLRAQREAEQKEKEKEKKAKKKEKRKAAAEDTKDIDEVESAPSSETPTETNESERTEKPVTVAKRPQKQTKAKSMPLPLRNKGKRKMQTWMWALITLLAVVALFFMGNSSFFNLGLQQRFGI >Potri.006G191500.10.v4.1 pep chromosome:Pop_tri_v4:6:19863900:19871153:-1 gene:Potri.006G191500.v4.1 transcript:Potri.006G191500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191500.v4.1 MSADLNVNQIEEKISVLDQPEESARVDCNGNGKVNDHHGPDPVCAEDSVADQVGELKADRVESESITGFRDDDDPIEKEEDLQAVEKGREEKLAEIPEDHDVEGNKKELINHAELSNAVAEAQESQDTSVHVAESELNRSNNDEVMVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDNSVHVAESELNRSNNDEERVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELNRSNNDEEMVEEESKLNSTIDIEEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELNRSNNDEEMVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAELITTEDVSESEPSQSRNDDEKVEESKLGSEDSQAVVSNAAHNFLASDQEKKLKELMNNDDVTEFKPKQSSIDVKVEEESKLDSAIHVEEIEDSQAAVINGACNSLDLNQEKEQPELIKDLPLEDSVEESGDPLKQNLETAPCPVMADEKLEAESAEGPTSDENRDGLPAGHAQDTAAETPVVDDLVDAKQNISKSSSENVELVATSDAETGQSFPISSDNGTTGDETSHILMDAVQSEVPHANGLDIHEKGGLLTSQESASQTVLVNDFVHTPEQNHTLEISTEVSSPAVLEEAPVESSESFPVSPINDIGAEPIVRIEDSCPVEDSKLCDIVRTETKVDNIGESADSHPVDDSKVEAEVENVLVAPSGHANDVKLDIGASSHSVESDEKVSILSIGNVDVESEVTEAVNEGDSNRTSVSIDNPDGETFKCDSTGNESYMPKIEVQADSEVENISTAAREEVPNRDGFVSQLEGEVSKNETPKPTSEDSAVVTSDEQYVVAELGKGPFYIIKVPRFDERNLREKVEDAKFQVEEKSKIRDAIQAQIQIIKAKRKEYEDSFLDARSEEKAARDLLKAKRKEIDSVQYIINRTRNALEIEEIDGRIRSMEHKIQHETLPLKEEKQFIRDIKQLKQIREQFSSNMGSQDEVQQAMDQKDQSEERLKSLRKEADVLRDSLLKAEAVTEDAKKKYNDEHEKINQLLFQHRAANDIRQEAFAHLQSLRKQLYEKSKFFYKYKDDLTAATNLALKGDKEELQRHCANQVERVMELWNNNDEFRKEYMSSNMRNTLRRLRTLDGRALGPDEQPPIIPNVVSQRATKHNVAPSAPALEVEKPVTPVETQRIDEKSTAKLGDKKNQTVKTKRQAKPASLENGLPTVSGRDQIEESRQEENKLPKEEESRQENKLTKEEESRQENKLTKEEVELARKIEELRKEKEAAMLKEQRRLEEKAKAKEAMERKKRNAEKAQARASLRAQREAEQKEKEKEKKAKKKEKRKAAAEDTKDIDEVESAPSSETPTETNESERTEKPVTVAKRPQKQTKAKSMPLPLRNKGKRKMQTWMWALITLLAVVALFFMGNSSFFNLGLQQRFGI >Potri.006G191500.7.v4.1 pep chromosome:Pop_tri_v4:6:19863902:19871600:-1 gene:Potri.006G191500.v4.1 transcript:Potri.006G191500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G191500.v4.1 MSADLNVNQIEEKISVLDQPEESARVDCNGNGKVNDHHGPDPVCAEDSVADQVGELKADRVESESITGFRDDDDPIEKEEDLQAVEKGREEKLAEIPEDHDVEGNKKELINHAELSNAVAEAQESQDTSVHVAESELNRSNNDEVMVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDNSVHVAESELNRSNNDEERVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELNRSNNDEEMVEEESKLNSTIDIEEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELNRSNNDEEMVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAEAQESQDTSVHVAESELSRSNNDEEKVEEESKLNSTIDIKEHEDSQAVAINGVHNDLDLDQQRDLAELITTEDVSESEPSQSRNDDEKVEESKLGSEDSQAVVSNAAHNFLASDQEKKLKELMNNDDVTEFKPKQSSIDVKVEEESKLDSAIHVEEIEDSQAAVINGACNSLDLNQEKEQPELIKDLPLEDSVEESGDPLKQNLETAPCPVMADEKLEAESAEGPTSDENRDGLPAGHAQDTAAETPVVDDLVDAKQNISKSSSENVELVATSDAETGQSFPISSDNGTTGDETSHILMDAVQSEVPHANGLDIHEKGGLLTSQESASQTVLVNDFVHTPEQNHTLEISTEVSSPAVLEEAPVESSESFPVSPINDIGAEPIVRIEDSCPVEDSKLCDIVRTETKVDNIGESADSHPVDDSKVEAEVENVLVAPSGHANDVKLDIGASSHSVESDEKVSILSIGNVDVESEVTEAVNEGDSNRTSVSIDNPDGETFKCDSTGNESYMPKIEVQADSEVENISTAAREEVPNRDGFVSQLEGEVSKNETPKPTSEDSAVVTSDEQYVVAELGKGPFYIIKVPRFDERNLREKVEDAKFQVEEKSKIRDAIQAQIQIIKAKRKEYEDSFLDARSEEKAARDLLKAKRKEIDSVQYIINRTRNALEIEEIDGRIRSMEHKIQHETLPLKEEKQFIRDIKQLKQIREQFSSNMGSQDEVQQAMDQKDQSEERLKSLRKEADVLRDSLLKAEAVTEDAKKKYNDEHEKINQLLFQHRAANDIRQEAFAHLQSLRKQLYEKSKFFYKYKDDLTAATNLALKGDKEELQRHCANQVERVMELWNNNDEFRKEYMSSNMRNTLRRLRTLDGRALGPDEQPPIIPNVVSQRATKHNVAPSAPALEVEKPVTPVETQRIDEKSTAKLGDKKNQTVKTKRQAKPASLENGLPTVSGRDQIEESRQEENKLPKEEESRQENKLTKEEESRQENKLTKEEVELARKIEELRKEKEAAMLKEQRRLEEKAKAKEAMERKKRNAEKAQARASLRAQREAEQKEKEKEKKAKKKEKRKAAAEDTKDIDEVESAPSSETPTETNESERTEKPVTVAKRPQKQTKAKSMPLPLRNKGKRKMQTWMWALITLLAVVALFFMGNSSFFNLGLQQRFGI >Potri.006G221900.1.v4.1 pep chromosome:Pop_tri_v4:6:22738075:22739638:-1 gene:Potri.006G221900.v4.1 transcript:Potri.006G221900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G221900.v4.1 MSVSSTTAAVYISRQNFCNGACKNGNVFLTSVSFASKQRRFYIRSSSDGAAETAATEVEDSENYIEVPGGPPSLISALNVERALRGIPITDVDHYGRLGIQRRSSYEEVTVAYKNKVDELLNQGLDEAEVREKMELLKESYTILSSRDERRMYDWSLVRSEKPERYMWPYEVDFTPTAPPPPQEPEDEGPTRLVGYFALAWLVLAVTLSVTLNR >Potri.001G235800.1.v4.1 pep chromosome:Pop_tri_v4:1:25427794:25428724:1 gene:Potri.001G235800.v4.1 transcript:Potri.001G235800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235800.v4.1 MKRDREQAEIDLAKCLMLLSKVGQADHEILTNYRSAAAAAAAATAGAGAGAGRSFSCKTCNKNFPSFQALGGHRASHKKPKLKESTGNLLKLPNSPSKPKTHQCSICGLEFPLGQALGGHMRRHRAPHNVDTTSTSSKDHELAAVTQPPFLPAVPVLKRSNSSKRVLCLDLSLALPMYQNDSELQLEKVDRPMLRCFI >Potri.004G107700.2.v4.1 pep chromosome:Pop_tri_v4:4:9515566:9516587:1 gene:Potri.004G107700.v4.1 transcript:Potri.004G107700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G107700.v4.1 MADNTQKMSYQAGETKGQAQEKASNLMDRADNAAQSAKESVQEAGQQVRAKGHRKLLKE >Potri.003G192000.1.v4.1 pep chromosome:Pop_tri_v4:3:19495501:19496397:-1 gene:Potri.003G192000.v4.1 transcript:Potri.003G192000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G192000.v4.1 MESIGAERCPSRTSSISAASEETLCRHSGDHDQKNMINLKMKEKVVSGTEPLTLPDSSSSPVLLDLKLSNDDSLGGSKLEFNLFSPINAGSFHANESIDETLKLADSRVFSCSYCKREFSTSQALGGHQNAHKQERAIAKRRQGMDVDAFGHFPYYPCSNPSTHPYYGSFNRSLGMEMDSLIRKPSSYPWSTSSSGYRFGLGGWSRQAMMNAQPTSIDRLRMESLNSLNGRYGNSTASSSSSSRFEDINDLFRNFGGSSSSNIATNKPSNIDIDQLQLIEPPKSDQANPLGLDLSLKL >Potri.011G097300.3.v4.1 pep chromosome:Pop_tri_v4:11:12554269:12558671:1 gene:Potri.011G097300.v4.1 transcript:Potri.011G097300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G097300.v4.1 MGKRKSTAKPPPKKRMDKLDTVFSCPFCNHGTSVECRIDMKNLIGEAVCGICQESFSMTITALTEPIDIYSEWIDECERVNSLEDDGA >Potri.009G131900.1.v4.1 pep chromosome:Pop_tri_v4:9:10717584:10720782:1 gene:Potri.009G131900.v4.1 transcript:Potri.009G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AROl-1 MADIVKEILARPIQLADQVTKLADEAQTFKQDCLELKAKTEKLAGLLRQAARASNDLYERPTRRIIDGTEQVLDKALALVVKCRASNIMIRMFTISPAAAFRKISMQLENSIGDVSWLLRVSASAADRDDEYLGLPPIAANEPILCLIWEQVAILFTGSLEDRSDAAASLVSLARDNDRYGKLIIEEGGVAPLLKLAKEGKMEGQENAARAIGLLGRDPESVEQIVNAGVCTVFAKILKEGHMQVQCVVAWAVSELAAHHPKCQDHFAQNNTIRFLVSHLAFETIQEHSKYLIAIKHNMSIHSAVMASNSTSPDEDEPATKSHPPVDNKNPSQMHSVVTNTMAMRSQTLSNTQPTQTQTQTQNQNLSTHHPNYNHPNLAKGNHNIPKQQHNHHVSLAGTSIKGREFEDPATKAQMKAMAARALWQLARGNVAICRTITESRALLCFAVLLEKGHDEVQSYSAMALMEITAVAEQNSDLRRSSFKPTSPAAKAVVDQLLKVVEKADSDLLTPCVQAIGNLSRTFRATETRMIGPLVKLLDEREPEVTMEAVIALNKFASSDNFLCVTHSKAIIAAGGAKHLIQLVYFGEQMVQIPSLILLSFISLHCPDSEILANEEVLIVLEWSTKQAHLIGEPEIESLLPEAKSRLELYQSRGSRGFH >Potri.009G131900.3.v4.1 pep chromosome:Pop_tri_v4:9:10717554:10720222:1 gene:Potri.009G131900.v4.1 transcript:Potri.009G131900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:AROl-1 MADIVKEILARPIQLADQVTKLADEAQTFKQDCLELKAKTEKLAGLLRQAARASNDLYERPTRRIIDGTEQVLDKALALVVKCRASNIMIRMFTISPAAAFRKISMQLENSIGDVSWLLRVSASAADRDDEYLGLPPIAANEPILCLIWEQVAILFTGSLEDRSDAAASLVSLARDNDRYGKLIIEEGGVAPLLKLAKEGKMEGQENAARAIGLLGRDPESVEQIVNAGVCTVFAKILKEGHMQVQCVVAWAVSELAAHHPKCQDHFAQNNTIRFLVSHLAFETIQEHSKYLIAIKHNMSIHSAVMASNSTSPDEDEPATKSHPPVDNKNPSQMHSVVTNTMAMRSQTLSNTQPTQTQTQTQNQNLSTHHPNYNHPNLAKGNHNIPKQQHNHHVSLAGTSIKGREFEDPATKAQMKAMAARALWQLARGNVAICRTITESRALLCFAVLLEKGHDEVQSYSAMALMEITAVAEQNSDLRRSSFKPTSPAAKAVVDQLLKVVEKADSDLLTPCVQAIGNLSRTFRATETRMIGPLVKLLDEREPEVTMEAVIALNKFASSDNFLCVTHSKAIIAAGGAKHLIQLVYFGEQMVQIPSLILLSFISLHCPDSEILANEEVLIVLEWSTKQAHLIGEPEIESLLPEAKSRLELYQSRGSRGFH >Potri.010G094200.5.v4.1 pep chromosome:Pop_tri_v4:10:11830899:11834584:1 gene:Potri.010G094200.v4.1 transcript:Potri.010G094200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094200.v4.1 MCWFCVLFCYCFLEVSLQLLLLLHQQVKIVSGVFSNVVPAFMKWLWSMKSTTKTVISGRPMMKFESGYTVETVFDGSKLGIEPYSVQVLPSGELLILDSVNSNIYRMSSSLSLYSRPKLVAGSHEGYSGHVDGKLREAKMNHPKGLTVDDRGNIYIADTMNMAIRKISDAGVTTIAGGKWGRGSHVDGASEDAKFSNDFDVLYIGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGIAVLLAAGFFGYMLALLQRRVGMIVSPQNVAMETSTTGNPYQKPIKSFRPPLIPTEDEQEKHEEGLFGSLGKLFINTWASIAEILGGIVPSFRKKPPSYQYQNYQQQSTSWPVQDSFVIPDEDEPPSTETRTPTPRKTYPFMSKDTEKMHQWRQSRSIYSGWDGDFQQQQQQKQQYHHRYQSSTPHTYYEQSYEKTNEIVFGAVQEQDVKHGTTVILPVNYGDPIHNRHNIRSRTNSLH >Potri.010G094200.1.v4.1 pep chromosome:Pop_tri_v4:10:11830926:11834552:1 gene:Potri.010G094200.v4.1 transcript:Potri.010G094200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094200.v4.1 MQVMGEMGKNVLVLCFILLLFFGGVTSAPTTTSPAKIVSGVFSNVVPAFMKWLWSMKSTTKTVISGRPMMKFESGYTVETVFDGSKLGIEPYSVQVLPSGELLILDSVNSNIYRMSSSLSLYSRPKLVAGSHEGYSGHVDGKLREAKMNHPKGLTVDDRGNIYIADTMNMAIRKISDAGVTTIAGGKWGRGSHVDGASEDAKFSNDFDVLYIGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGIAVLLAAGFFGYMLALLQRRVGMIVSPQNVAMETSTTGNPYQKPIKSFRPPLIPTEDEQEKHEEGLFGSLGKLFINTWASIAEILGGIVPSFRKKPPSYQYQNYQQQSTSWPVQDSFVIPDEDEPPSTETRTPTPRKTYPFMSKDTEKMHQWRQSRSIYSGWDGDFQQQQQQKQQYHHRYQSSTPHTYYEQSYEKTNEIVFGAVQEQDVKHGTTVILPVNYGDPIHNRHNIRSRTNSLH >Potri.010G094200.4.v4.1 pep chromosome:Pop_tri_v4:10:11830899:11834523:1 gene:Potri.010G094200.v4.1 transcript:Potri.010G094200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G094200.v4.1 MQVMGEMGKNVLVLCFILLLFFGGVTSAPTTTSPAKIVSGVFSNVVPAFMKWLWSMKSTTKTVISGRPMMKFESGYTVETVFDGSKLGIEPYSVQVLPSGELLILDSVNSNIYRMSSSLSLYSRPKLVAGSHEGYSGHVDGKLREAKMNHPKGLTVDDRGNIYIADTMNMAIRKISDAGVTTIAGGKWGRGSHVDGASEDAKFSNDFDVLYIGSSCSLLVIDRGNQAIREIQLHFDDCAYQYGSGFPLGIAVLLAAGFFGYMLALLQRRVGMIVSPQNVAMETSTTGNPYQKPIKSFRPPLIPTEDEQEKHEEGLFGSLGKLFINTWASIAEILGGIVPSFRKKPPSYQYQNYQQQSTSWPVQDSFVIPDEDEPPSTETRTPTPRKTYPFMSKDTEKMHQWRQSRSIYSGWDGDFQQQQQQKQQYHHRYQSSTPHTYYEQSYEKTNEIVFGAVQEQDVKHGTTVILPVNYGDPIHNRHNIRSRTNSLH >Potri.005G125800.1.v4.1 pep chromosome:Pop_tri_v4:5:9390607:9392604:1 gene:Potri.005G125800.v4.1 transcript:Potri.005G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125800.v4.1 MKVPAPSPQNSSSSNNQSQNPKPVSCNNTNNNSRNISFNPSNRNLCYEPTSVLDLRRSPSPARAGKPASATDPIEWEDHVLQTLDWDSIMRELDFHDDSAPALIKNFPQFGPCSEPQIQSHNLPEFTASQQIDATQFLNSEFNDMYINSIPTHNLTSLDLSHSFHNNIGNWNAGSDFIQELIKAADCFDSNELQVAQVILERLNHRHQSPNGKPLQRAAFFFKEALQSLLTTGSTRPQTNPVVPSWSNTVQTIKAYKAFFSISPIPMFTDFTTNQAILDSLNGNSVFLHVIDFDIGFGCHYASLMRELVDKADSCNKITTPLLRITAVVTEDTVIGTKLIKERLSQFAHELKIRFHVEFVLFPTFEMLSFKAIKFFEGEKIAVLLSPTIFRHLGSTNNVTMFVNDFRRVSPSVVIFVDSEGWTESGARLSFRRNFVNCLEFYSMMFESLDAAVITAGGDWARKIEMCLLKPKILAAVEGCGRRMVSPWREVFAGAGMRAVQLSQFADFQAECLLGKVQVRGFYVAKRQAELVLCWHDRPLIATSAWKC >Potri.015G023800.6.v4.1 pep chromosome:Pop_tri_v4:15:1770109:1777735:1 gene:Potri.015G023800.v4.1 transcript:Potri.015G023800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023800.v4.1 MEDLWTLFCGESVNSDTSGKPSGSSLVFQPTSCINHALIICFDVLLLIVLLCTFMRISSASSKIYKITPRFRGYSSLQIVSVILNGGIGFVYLCLGTWILEEKLRKNQTALPLRSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSILASLLAGIVCALSIYSAILGEGMLVKIALDVLSFPGAILLLLCVYKVYKHEGNEERDLYAPLNGEANGVSKINSVNQVTPFAKAGFFNKMSFWWLNPLMRKGKEKTLEDEDIPKLREAERAESCYMEFLEQLNKQKQAESSQPSLLWTIVFCHWKDIVISGFFAMLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLVLTLFFSKSLESLSQRQWYFRSRLVGLKVRSLLTAAIYKKQQRLSNVGRLMHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSFQLCLSLAILFRAVGLATLAALVVIIITVLCNTPLAKLQHKFQSKLMVAQDARLKACNEALVNMKVLKLYAWETHFKNAIENLRNVEYKWLSAVQTRKAYNGFLFWSSPVLVSTATFGACYFLKIPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQNGNVRHKRNMGSVDHAVLIKSANFSWEENSSKPTLRNVSFGIRPGEKVAICGEVGSGKSTLLAAILGEVPHTQGTIQVCGRIAYVSQTAWIQTGSIQENILFGLEMDRQRYHDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYIMGALSRKIVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHQLLSSSQEFLDLVNAHKETAGSERHTEVDAPQRQGSSVREIKKSYVEGQIKTSQGDQLIKQEEKEVGDTGFKPYVQYLNQNKGYLYFSIAAFSHLLFVIGQITQNSWMAANVDDPHVSTLRLITVYLCIGVTSTLFLLCRSISIVVLGLQSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVTSDLSIVDLDVPFTLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQAYYFASAKELMRINGTTKSLVSNHLAESVAGAMTIRAFEEEERFFAKTLNLIDINASPFFHNFAANEWLIQRLEIFSATVLASAALCMVLLPPGTFNSGFIGMALSYGLSLNMSLVFSIQNQCTLANYIISVERLNQYMHIPSEAPEVIKDNRPPSNWPEKGKVDICDLQIRYRPNAPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDEIDISKIGLHDLRSRLGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLREAVQEKEQGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLVLQKTIRTEFSDCTVITVAHRIPTVMDCTMVLSISDGKLVEYDEPEKLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.015G023800.2.v4.1 pep chromosome:Pop_tri_v4:15:1769925:1777818:1 gene:Potri.015G023800.v4.1 transcript:Potri.015G023800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023800.v4.1 MEDLWTLFCGESVNSDTSGKPSGSSLVFQPTSCINHALIICFDVLLLIVLLCTFMRISSASSKIYKITPRFRGYSSLQIVSVILNGGIGFVYLCLGTWILEEKLRKNQTALPLRSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSILASLLAGIVCALSIYSAILGEGMLVKIALDVLSFPGAILLLLCVYKVYKHEGNEERDLYAPLNGEANGVSKINSVNQVTPFAKAGFFNKMSFWWLNPLMRKGKEKTLEDEDIPKLREAERAESCYMEFLEQLNKQKQAESSQPSLLWTIVFCHWKDIVISGFFAMLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLVLTLFFSKSLESLSQRQWYFRSRLVGLKVRSLLTAAIYKKQQRLSNVGRLMHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSFQLCLSLAILFRAVGLATLAALVVIIITVLCNTPLAKLQHKFQSKLMVAQDARLKACNEALVNMKVLKLYAWETHFKNAIENLRNVEYKWLSAVQTRKAYNGFLFWSSPVLVSTATFGACYFLKIPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQNGNVRHKRNMGSVDHAVLIKSANFSWEENSSKPTLRNVSFGIRPGEKVAICGEVGSGKSTLLAAILGEVPHTQGTIQVCGRIAYVSQTAWIQTGSIQENILFGLEMDRQRYHDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYIMGALSRKIVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHQLLSSSQEFLDLVNAHKETAGSERHTEVDAPQRQGSSVREIKKSYVEGQIKTSQGDQLIKQEEKEVGDTGFKPYVQYLNQNKGYLYFSIAAFSHLLFVIGQITQNSWMAANVDDPHVSTLRLITVYLCIGVTSTLFLLCRSISIVVLGLQSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVTSDLSIVDLDVPFTLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQAYYFASAKELMRINGTTKSLVSNHLAESVAGAMTIRAFEEEERFFAKTLNLIDINASPFFHNFAANEWLIQRLEIFSATVLASAALCMVLLPPGTFNSGFIGMALSYGLSLNMSLVFSIQNQCTLANYIISVERLNQYMHIPSEAPEVIKDNRPPSNWPEKGKVDICDLQIRYRPNAPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDEIDISKIGLHDLRSRLGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLREAVQEKEQGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLVLQKTIRTEFSDCTVITVAHRIPTVMDCTMVLSISDGKLVEYDEPEKLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.015G023800.4.v4.1 pep chromosome:Pop_tri_v4:15:1770110:1777818:1 gene:Potri.015G023800.v4.1 transcript:Potri.015G023800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023800.v4.1 MEDLWTLFCGESVNSDTSGKPSGSSLVFQPTSCINHALIICFDVLLLIVLLCTFMRISSASSKIYKITPRFRGYSSLQIVSVILNGGIGFVYLCLGTWILEEKLRKNQTALPLRSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSILASLLAGIVCALSIYSAILGEGMLVKIALDVLSFPGAILLLLCVYKVYKHEGNEERDLYAPLNGEANGVSKINSVNQVTPFAKAGFFNKMSFWWLNPLMRKGKEKTLEDEDIPKLREAERAESCYMEFLEQLNKQKQAESSQPSLLWTIVFCHWKDIVISGFFAMLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLVLTLFFSKSLESLSQRQWYFRSRLVGLKVRSLLTAAIYKKQQRLSNVGRLMHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSFQLCLSLAILFRAVGLATLAALVVIIITVLCNTPLAKLQHKFQSKLMVAQDARLKACNEALVNMKVLKLYAWETHFKNAIENLRNVEYKWLSAVQTRKAYNGFLFWSSPVLVSTATFGACYFLKIPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQNGNVRHKRNMGSVDHAVLIKSANFSWEENSSKPTLRNVSFGIRPGEKVAICGEVGSGKSTLLAAILGEVPHTQGTIQVCGRIAYVSQTAWIQTGSIQENILFGLEMDRQRYHDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYIMGALSRKIVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHQLLSSSQEFLDLVNAHKETAGSERHTEVDAPQRQGSSVREIKKSYVEGQIKTSQGDQLIKQEEKEVGDTGFKPYVQYLNQNKGYLYFSIAAFSHLLFVIGQITQNSWMAANVDDPHVSTLRLITVYLCIGVTSTLFLLCRSISIVVLGLQSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVTSDLSIVDLDVPFTLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQAYYFASAKELMRINGTTKSLVSNHLAESVAGAMTIRAFEEEERFFAKTLNLIDINASPFFHNFAANEWLIQRLEIFSATVLASAALCMVLLPPGTFNSGFIGMALSYGLSLNMSLVFSIQNQCTLANYIISVERLNQYMHIPSEAPEVIKDNRPPSNWPEKGKVDICDLQIRYRPNAPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDEIDISKIGLHDLRSRLGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLREAVQEKEQGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLVLQKTIRTEFSDCTVITVAHRIPTVMDCTMVLSISDGKLVEYDEPEKLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.015G023800.1.v4.1 pep chromosome:Pop_tri_v4:15:1770030:1777753:1 gene:Potri.015G023800.v4.1 transcript:Potri.015G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023800.v4.1 MEDLWTLFCGESVNSDTSGKPSGSSLVFQPTSCINHALIICFDVLLLIVLLCTFMRISSASSKIYKITPRFRGYSSLQIVSVILNGGIGFVYLCLGTWILEEKLRKNQTALPLRSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSILASLLAGIVCALSIYSAILGEGMLVKIALDVLSFPGAILLLLCVYKVYKHEGNEERDLYAPLNGEANGVSKINSVNQVTPFAKAGFFNKMSFWWLNPLMRKGKEKTLEDEDIPKLREAERAESCYMEFLEQLNKQKQAESSQPSLLWTIVFCHWKDIVISGFFAMLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLVLTLFFSKSLESLSQRQWYFRSRLVGLKVRSLLTAAIYKKQQRLSNVGRLMHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSFQLCLSLAILFRAVGLATLAALVVIIITVLCNTPLAKLQHKFQSKLMVAQDARLKACNEALVNMKVLKLYAWETHFKNAIENLRNVEYKWLSAVQTRKAYNGFLFWSSPVLVSTATFGACYFLKIPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQNGNVRHKRNMGSVDHAVLIKSANFSWEENSSKPTLRNVSFGIRPGEKVAICGEVGSGKSTLLAAILGEVPHTQGTIQVCGRIAYVSQTAWIQTGSIQENILFGLEMDRQRYHDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYIMGALSRKIVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHQLLSSSQEFLDLVNAHKETAGSERHTEVDAPQRQGSSVREIKKSYVEGQIKTSQGDQLIKQEEKEVGDTGFKPYVQYLNQNKGYLYFSIAAFSHLLFVIGQITQNSWMAANVDDPHVSTLRLITVYLCIGVTSTLFLLCRSISIVVLGLQSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVTSDLSIVDLDVPFTLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQAYYFASAKELMRINGTTKSLVSNHLAESVAGAMTIRAFEEEERFFAKTLNLIDINASPFFHNFAANEWLIQRLEIFSATVLASAALCMVLLPPGTFNSGFIGMALSYGLSLNMSLVFSIQNQCTLANYIISVERLNQYMHIPSEAPEVIKDNRPPSNWPEKGKVDICDLQIRYRPNAPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDEIDISKIGLHDLRSRLGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLREAVQEKEQGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLVLQKTIRTEFSDCTVITVAHRIPTVMDCTMVLSISDGKLVEYDEPEKLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.015G023800.7.v4.1 pep chromosome:Pop_tri_v4:15:1770402:1777733:1 gene:Potri.015G023800.v4.1 transcript:Potri.015G023800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023800.v4.1 MEDLWTLFCGESVNSDTSGKPSGSSLVFQPTSCINHALIICFDVLLLIVLLCTFMRISSASSKIYKITPRFRGYSSLQIVSVILNGGIGFVYLCLGTWILEEKLRKNQTALPLRSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSILASLLAGIVCALSIYSAILGEGMLVKIALDVLSFPGAILLLLCVYKVYKHEGNEERDLYAPLNGEANGVSKINSVNQVTPFAKAGFFNKMSFWWLNPLMRKGKEKTLEDEDIPKLREAERAESCYMEFLEQLNKQKQAESSQPSLLWTIVFCHWKDIVISGFFAMLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLVLTLFFSKSLESLSQRQWYFRSRLVGLKVRSLLTAAIYKKQQRLSNVGRLMHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSFQLCLSLAILFRAVGLATLAALVVIIITVLCNTPLAKLQHKFQSKLMVAQDARLKACNEALVNMKVLKLYAWETHFKNAIENLRNVEYKWLSAVQTRKAYNGFLFWSSPVLVSTATFGACYFLKIPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQNGNVRHKRNMGSVDHAVLIKSANFSWEENSSKPTLRNVSFGIRPGEKVAICGEVGSGKSTLLAAILGEVPHTQGTIQVCGRIAYVSQTAWIQTGSIQENILFGLEMDRQRYHDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYIMGALSRKIVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHQLLSSSQEFLDLVNAHKETAGSERHTEVDAPQRQGSSVREIKKSYVEGQIKTSQGDQLIKQEEKEVGDTGFKPYVQYLNQNKGYLYFSIAAFSHLLFVIGQITQNSWMAANVDDPHVSTLRLITVYLCIGVTSTLFLLCRSISIVVLGLQSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVTSDLSIVDLDVPFTLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQAYYFASAKELMRINGTTKSLVSNHLAESVAGAMTIRAFEEEERFFAKTLNLIDINASPFFHNFAANEWLIQRLEIFSATVLASAALCMVLLPPGTFNSGFIGMALSYGLSLNMSLVFSIQNQCTLANYIISVERLNQYMHIPSEAPEVIKDNRPPSNWPEKGKVDICDLQIRYRPNAPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDEIDISKIGLHDLRSRLGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLREAVQEKEQGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLVLQKTIRTEFSDCTVITVAHRIPTVMDCTMVLSISDGKLVEYDEPEKLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.015G023800.5.v4.1 pep chromosome:Pop_tri_v4:15:1770063:1777736:1 gene:Potri.015G023800.v4.1 transcript:Potri.015G023800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023800.v4.1 MEDLWTLFCGESVNSDTSGKPSGSSLVFQPTSCINHALIICFDVLLLIVLLCTFMRISSASSKIYKITPRFRGYSSLQIVSVILNGGIGFVYLCLGTWILEEKLRKNQTALPLRSWLVVLFQGFTWLLVGLTISLRGKHLQRTPLRLLSILASLLAGIVCALSIYSAILGEGMLVKIALDVLSFPGAILLLLCVYKVYKHEGNEERDLYAPLNGEANGVSKINSVNQVTPFAKAGFFNKMSFWWLNPLMRKGKEKTLEDEDIPKLREAERAESCYMEFLEQLNKQKQAESSQPSLLWTIVFCHWKDIVISGFFAMLKILTLSAGPLLLNAFILVAEGKAGFKYEGYVLVLTLFFSKSLESLSQRQWYFRSRLVGLKVRSLLTAAIYKKQQRLSNVGRLMHSGGEIMNYVTVDAYRIGEFPFWFHQTWTTSFQLCLSLAILFRAVGLATLAALVVIIITVLCNTPLAKLQHKFQSKLMVAQDARLKACNEALVNMKVLKLYAWETHFKNAIENLRNVEYKWLSAVQTRKAYNGFLFWSSPVLVSTATFGACYFLKIPLHANNVFTFVATLRLVQDPIRSIPDVIGVVIQAKVAFARIVKFLEAPELQNGNVRHKRNMGSVDHAVLIKSANFSWEENSSKPTLRNVSFGIRPGEKVAICGEVGSGKSTLLAAILGEVPHTQGTIQVCGRIAYVSQTAWIQTGSIQENILFGLEMDRQRYHDTLERCSLVKDLELLPYGDLTEIGERGVNLSGGQKQRIQLARALYQNADIYLLDDPFSAVDAHTATSLFNEYIMGALSRKIVLLVTHQVDFLPAFDSVMLMSDGEILQAAPYHQLLSSSQEFLDLVNAHKETAGSERHTEVDAPQRQGSSVREIKKSYVEGQIKTSQGDQLIKQEEKEVGDTGFKPYVQYLNQNKGYLYFSIAAFSHLLFVIGQITQNSWMAANVDDPHVSTLRLITVYLCIGVTSTLFLLCRSISIVVLGLQSSKSLFSQLLNSLFRAPMSFYDSTPLGRILSRVTSDLSIVDLDVPFTLIFAVGATTNAYSNLGVLAVVTWQVLFVSIPMVYLAIRLQAYYFASAKELMRINGTTKSLVSNHLAESVAGAMTIRAFEEEERFFAKTLNLIDINASPFFHNFAANEWLIQRLEIFSATVLASAALCMVLLPPGTFNSGFIGMALSYGLSLNMSLVFSIQNQCTLANYIISVERLNQYMHIPSEAPEVIKDNRPPSNWPEKGKVDICDLQIRYRPNAPLVLRGISCTFEGGHKIGIVGRTGSGKTTLIGALFRLVEPAGGKIIVDEIDISKIGLHDLRSRLGIIPQDPTLFNGTVRYNLDPLSQHTDQEIWEVLGKCQLREAVQEKEQGLDSLVVEDGLNWSMGQRQLFCLGRALLRRSRVLVLDEATASIDNATDLVLQKTIRTEFSDCTVITVAHRIPTVMDCTMVLSISDGKLVEYDEPEKLMKTEGSLFGQLVKEYWSHLHAAESH >Potri.002G091700.6.v4.1 pep chromosome:Pop_tri_v4:2:6637364:6647536:1 gene:Potri.002G091700.v4.1 transcript:Potri.002G091700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091700.v4.1 MSRITKWELEKTKVKVVFRLQFHATQIPQSGWDKLFISFIPADSGKATGKTTKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTSLHVTVQLLTSKTGFREFEQQREHRERGLQTNQNSPNESSGGKVSSSEEINNDQIDKVNIRVRFKDKSKDLASLKQEVGSNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDRLKSTVSGDLAGLSLSQGPQLEKGDLSDHQFSAQGTNDWVHAWSSDYHADNDLAAAYEVNGRLRGSLEVAESSILELRQEVSSLQGHADEIGYEAQKFAKQLASEIASGEEMTKEVSMLKLECSKLKNELEQLKVSQLSPPFSSRNATEPRQDHRFQDLQLRWLNGLLPMEDKIKELKNKACLGYHESDSSFLCSDIEELLSVLQNLKQATGLPISSTHLVPSEGSSLKEIREMSVHKNGQFVSESGFDVDSYQPELGMLHCLNIPGLVSHETDSINTTNAMNGRIFELLRELDESKAERESLVKKMDQMECYYEALVQELEENQRQMLGELQNLRNEHATCLYTVSSTKAEMETMRLDLNDQLSRLVEDKRDLDSLNKELERRAVTAEAALRRARLNYSIAVDQLQRDLELLSVQVLSMFETNENLIRQAFVDSSQSGFEGNPVTTESQRSDSREVHMGKLFQFQNQFVGTKKQQLGCDILLDDLKRSLHLQEGLYRKVEEEACEMHFANLYLDVLSKALQETLLEASDDVKCMKEKIHELVWQLELSTESKGLLSQKLHSALDDVHALKEHRATCIAKCNEMAQRNQVLETNLQNVTSKNHLLLQKIAEWESQVMHYRSYESMYEICAAEKTELACLLEKKTLENCGLQNEIFSLQEKLKTFRSEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGIPSSESGYQDLESMDLTGVMMQLEELQHNSCDKILQLREEKKGLVHERDIAQVSIAAAKSELALLKQKFECDMRNMVDELDVSNALVQKLQLDIEGIAYKLKVSSEVEEKCAQQHNELFSDFDHLAVQLKELVSKNRDLGHKILALDSVASELDKTKLTAAELMKENQALMASIRNKNEVSSRIAYELESLKGSFRSLHDENQSLMLSSQDKVESAQLASELSNLKDSIKTLHDENQVLMETIRNKTEEAASFASELNSLKENLRFLHDENRALIASSQDKEEVSSKLALELNSLKESLQSLHGEKQALMTSSQDKTEEASKLASELDTLKESLQSLCDENQGLMACLQDKTEESAKLASELNSLRECLQSLQDEKQALMVSLQDKTEESAQLASDMISLRASLRSLNDELHDERSLREGLQSTVTDLTSQLNEKQCQLLQFGLHESELTHLKHLVSGLESEKSRVCQLLLQSEECVKNAHEEASTLKSQLSEMHKSLIAADVKFIFAKTQYEGGVEVLLQKLNSSDGHFAQLQKKHIDMEIILNHCHASETQHIEENARLMTNVNSVQSELEASIAENRLLVETKRAELEGFKNNSQNVVLSYIEDKAQHSKEFEKLKCLLVTPEEEIDNLVLSKVELEVKFLVLEAKLDEQKAQIITLEGYYDELVMLQKHCNELNQRLSDQILKTEEFRNLSIHLKELKDKADAECIQAREKREPEGPPVAMQESLRIAFIREQCETRLQEQKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMRILELEAELQSVLSDKREKVNAYDLMKAEMECSLISLECCKEEKQKLEAALEECNKERSKIAVELASMKELLENSKSLVDMQAEQNDGSCKVDCLSSDESVIRNSSDKNSIIDASSYERKRVHTVPLNGPTGDPNQKCLGRHSSRNSEEAEHAFPASFDRADHSSTLMNGQPEQDVCVSGGVNGLKSSALINQDRLLHIDMKHLAIINDHFRAESLKSSMDHLSNQLERMKNENSLLLQDDNDFDQKFPGLQSEFMKLQKANEELGTMFPLFNEFSGCGNALERVLALEIELAEALQAKKRSSILFQSSFLKQHSDEEAIFKSFRDINELIKDMLELKGRYTTVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNARHQRKPYT >Potri.002G091700.8.v4.1 pep chromosome:Pop_tri_v4:2:6640110:6647580:1 gene:Potri.002G091700.v4.1 transcript:Potri.002G091700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091700.v4.1 MTKEVSMLKLECSKLKNELEQLKVSQLSPPFSSRNATEPRQDHRFQDLQLRWLNGLLPMEDKIKELKNKACLGYHESDSSFLCSDIEELLSVLQNLKQATGLPISSTHLVPSEGSSLKEIREMSVHKNGQFVSESGFDVDSYQPELGMLHCLNIPGLVSHETDSINTTNAMNGRIFELLRELDESKAERESLVKKMDQMECYYEALVQELEENQRQMLGELQNLRNEHATCLYTVSSTKAEMETMRLDLNDQLSRLVEDKRDLDSLNKELERRAVTAEAALRRARLNYSIAVDQLQRDLELLSVQVLSMFETNENLIRQAFVDSSQSGFEGNPVTTESQRSDSREVHMGKLFQFQNQFVGTKKQQLGCDILLDDLKRSLHLQEGLYRKVEEEACEMHFANLYLDVLSKALQETLLEASDDVKCMKEKIHELVWQLELSTESKGLLSQKLHSALDDVHALKEHRATCIAKCNEMAQRNQVLETNLQNVTSKNHLLLQKIAEWESQVMHYRSYESMYEICAAEKTELACLLEKKTLENCGLQNEIFSLQEKLKTFRSEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGIPSSESGYQDLESMDLTGVMMQLEELQHNSCDKILQLREEKKGLVHERDIAQVSIAAAKSELALLKQKFECDMRNMVDELDVSNALVQKLQLDIEGIAYKLKVSSEVEEKCAQQHNELFSDFDHLAVQLKELVSKNRDLGHKILALDSVASELDKTKLTAAELMKENQALMASIRNKNEVSSRIAYELESLKGSFRSLHDENQSLMLSSQDKVESAQLASELSNLKDSIKTLHDENQVLMETIRNKTEEAASFASELNSLKENLRFLHDENRALIASSQDKEEVSSKLALELNSLKESLQSLHGEKQALMTSSQDKTEEASKLASELDTLKESLQSLCDENQGLMACLQDKTEESAKLASELNSLRECLQSLQDEKQALMVSLQDKTEESAQLASDMISLRASLRSLNDELHDERSLREGLQSTVTDLTSQLNEKQCQLLQFGLHESELTHLKHLVSGLESEKSRVCQLLLQSEECVKNAHEEASTLKSQLSEMHKSLIAADVKFIFAKTQYEGGVEVLLQKLNSSDGHFAQLQKKHIDMEIILNHCHASETQHIEENARLMTNVNSVQSELEASIAENRLLVETKRAELEGFKNNSQNVVLSYIEDKAQHSKEFEKLKCLLVTPEEEIDNLVLSKVELEVKFLVLEAKLDEQKAQIITLEGYYDELVMLQKHCNELNQRLSDQILKTEEFRNLSIHLKELKDKADAECIQAREKREPEGPPVAMQESLRIAFIREQCETRLQEQKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMRILELEAELQSVLSDKREKVNAYDLMKAEMECSLISLECCKEEKQKLEAALEECNKERSKIAVELASMKELLENSKSLVDMQAEQNDGSCKVDCLSSDESVIRNSSDKNSIIDASSYERKRVHTVPLNGPTGDPNQKCLGRHSSRNSEEAEHAFPASFDRADHSSTLMNGQPEQDVCVSGGVNGLKSSALINQDRLLHIDMKHLAIINDHFRAESLKSSMDHLSNQLERMKNENSLLLQDDNDFDQKFPGLQSEFMKLQKANEELGTMFPLFNEFSGCGNALERVLALEIELAEALQAKKRSSILFQSSFLKQHSDEEAIFKSFRDINELIKDMLELKGRYTTVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNARHQRKPYT >Potri.002G091700.2.v4.1 pep chromosome:Pop_tri_v4:2:6636702:6647572:1 gene:Potri.002G091700.v4.1 transcript:Potri.002G091700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091700.v4.1 MSRITKWELEKTKVKVVFRLQFHATQIPQSGWDKLFISFIPADSGKATGKTTKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTSLHVTVQLLTSKTGFREFEQQREHRERGLQTNQNSPNESSGGKVSSSEEINNDQIDKVNIRVRFKDKSKDLASLKQEVGSNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDRLKSTVSGDLAGLSLSQGPQLEKGDLSDHQFSAQGTNDWVHAWSSDYHADNDLAAAYEVNGRLRGSLEVAESSILELRQEVSSLQGHADEIGYEAQKFAKQLASEIASGEEMTKEVSMLKLECSKLKNELEQLKVSQLSPPFSSRNATEPRQDHRFQDLQLRWLNGLLPMEDKIKELKNKACLGYHESDSSFLCSDIEELLSVLQNLKQATGLPISSTHLVPSEGSSLKEIREMSVHKNGQFVSESGFDVDSYQPELGMLHCLNIPGLVSHETDSINTTNAMNGRIFELLRELDESKAERESLVKKMDQMECYYEALVQELEENQRQMLGELQNLRNEHATCLYTVSSTKAEMETMRLDLNDQLSRLVEDKRDLDSLNKELERRAVTAEAALRRARLNYSIAVDQLQRDLELLSVQVLSMFETNENLIRQAFVDSSQSGFEGNPVTTESQRSDSREVHMGKLFQFQNQFVGTKKQQLGCDILLDDLKRSLHLQEGLYRKVEEEACEMHFANLYLDVLSKALQETLLEASDDVKCMKEKIHELVWQLELSTESKGLLSQKLHSALDDVHALKEHRATCIAKCNEMAQRNQVLETNLQNVTSKNHLLLQKIAEWESQVMHYRSYESMYEICAAEKTELACLLEKKTLENCGLQNEIFSLQEKLKTFRSEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGIPSSESGYQDLESMDLTGVMMQLEELQHNSCDKILQLREEKKGLVHERDIAQVSIAAAKSELALLKQKFECDMRNMVDELDVSNALVQKLQLDIEGIAYKLKVSSEVEEKCAQQHNELFSDFDHLAVQLKELVSKNRDLGHKILALDSVASELDKTKLTAAELMKENQALMASIRNKNEVSSRIAYELESLKGSFRSLHDENQSLMLSSQDKVESAQLASELSNLKDSIKTLHDENQVLMETIRNKTEEAASFASELNSLKENLRFLHDENRALIASSQDKEEVSSKLALELNSLKESLQSLHGEKQALMTSSQDKTEEASKLASELDTLKESLQSLCDENQGLMACLQDKTEESAKLASELNSLRECLQSLQDEKQALMVSLQDKTEESAQLASDMISLRASLRSLNDELHDERSLREGLQSTVTDLTSQLNEKQCQLLQFGLHESELTHLKHLVSGLESEKSRVCQLLLQSEECVKNAHEEASTLKSQLSEMHKSLIAADVKFIFAKTQYEGGVEVLLQKLNSSDGHFAQLQKKHIDMEIILNHCHASETQHIEENARLMTNVNSVQSELEASIAENRLLVETKRAELEGFKNNSQNVVLSYIEDKAQHSKEFEKLKCLLVTPEEEIDNLVLSKVELEVKFLVLEAKLDEQKAQIITLEGYYDELVMLQKHCNELNQRLSDQILKTEEFRNLSIHLKELKDKADAECIQAREKREPEGPPVAMQESLRIAFIREQCETRLQEQKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMRILELEAELQSVLSDKREKVNAYDLMKAEMECSLISLECCKEEKQKLEAALEECNKERSKIAVELASMKELLENSKSLVDMQAEQNDGSCKVDCLSSDESVIRNSSDKNSIIDASSYERKRVHTVPLNGPTGDPNQKCLGRHSSRNSEEAEHAFPASFDRADHSSTLMNGQPEQDVCVSGGVNGLKSSALINQDRLLHIDMKHLAIINDHFRAESLKSSMDHLSNQLERMKNENSLLLQDDNDFDQKFPGLQSEFMKLQKANEELGTMFPLFNEFSGCGNALERVLALEIELAEALQAKKRSSILFQSSFLKQHSDEEAIFKSFRDINELIKDMLELKGRYTTVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNARHQRKPYT >Potri.002G091700.4.v4.1 pep chromosome:Pop_tri_v4:2:6637316:6647563:1 gene:Potri.002G091700.v4.1 transcript:Potri.002G091700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091700.v4.1 MSRITKWELEKTKVKVVFRLQFHATQIPQSGWDKLFISFIPADSGKATGKTTKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTSLHVTVQLLTSKTGFREFEQQREHRERGLQTNQNSPNESSGGKVSSSEEINNDQIDKVNIRVRFKDKSKDLASLKQEVGSNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDRLKSTVSGDLAGLSLSQGPQLEKGDLSDHQFSAQGTNDWVHAWSSDYHADNDLAAAYEVNGRLRGSLEVAESSILELRQEVSSLQGHADEIGYEAQKFAKQLASEIASGEEMTKEVSMLKLECSKLKNELEQLKVSQLSPPFSSRNATEPRQDHRFQDLQLRWLNGLLPMEDKIKELKNKACLGYHESDSSFLCSDIEELLSVLQNLKQATGLPISSTHLVPSEGSSLKEIREMSVHKNGQFVSESGFDVDSYQPELGMLHCLNIPGLVSHETDSINTTNAMNGRIFELLRELDESKAERESLVKKMDQMECYYEALVQELEENQRQMLGELQNLRNEHATCLYTVSSTKAEMETMRLDLNDQLSRLVEDKRDLDSLNKELERRAVTAEAALRRARLNYSIAVDQLQRDLELLSVQVLSMFETNENLIRQAFVDSSQSGFEGNPVTTESQRSDSREVHMGKLFQFQNQFVGTKKQQLGCDILLDDLKRSLHLQEGLYRKVEEEACEMHFANLYLDVLSKALQETLLEASDDVKCMKEKIHELVWQLELSTESKGLLSQKLHSALDDVHALKEHRATCIAKCNEMAQRNQVLETNLQNVTSKNHLLLQKIAEWESQVMHYRSYESMYEICAAEKTELACLLEKKTLENCGLQNEIFSLQEKLKTFRSEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGIPSSESGYQDLESMDLTGVMMQLEELQHNSCDKILQLREEKKGLVHERDIAQVSIAAAKSELALLKQKFECDMRNMVDELDVSNALVQKLQLDIEGIAYKLKVSSEVEEKCAQQHNELFSDFDHLAVQLKELVSKNRDLGHKILALDSVASELDKTKLTAAELMKENQALMASIRNKNEVSSRIAYELESLKGSFRSLHDENQSLMLSSQDKVESAQLASELSNLKDSIKTLHDENQVLMETIRNKTEEAASFASELNSLKENLRFLHDENRALIASSQDKEEVSSKLALELNSLKESLQSLHGEKQALMTSSQDKTEEASKLASELDTLKESLQSLCDENQGLMACLQDKTEESAKLASELNSLRECLQSLQDEKQALMVSLQDKTEESAQLASDMISLRASLRSLNDELHDERSLREGLQSTVTDLTSQLNEKQCQLLQFGLHESELTHLKHLVSGLESEKSRVCQLLLQSEECVKNAHEEASTLKSQLSEMHKSLIAADVKFIFAKTQYEGGVEVLLQKLNSSDGHFAQLQKKHIDMEIILNHCHASETQHIEENARLMTNVNSVQSELEASIAENRLLVETKRAELEGFKNNSQNVVLSYIEDKAQHSKEFEKLKCLLVTPEEEIDNLVLSKVELEVKFLVLEAKLDEQKAQIITLEGYYDELVMLQKHCNELNQRLSDQILKTEEFRNLSIHLKELKDKADAECIQAREKREPEGPPVAMQESLRIAFIREQCETRLQEQKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMRILELEAELQSVLSDKREKVNAYDLMKAEMECSLISLECCKEEKQKLEAALEECNKERSKIAVELASMKELLENSKSLVDMQAEQNDGSCKVDCLSSDESVIRNSSDKNSIIDASSYERKRVHTVPLNGPTGDPNQKCLGRHSSRNSEEAEHAFPASFDRADHSSTLMNGQPEQDVCVSGGVNGLKSSALINQDRLLHIDMKHLAIINDHFRAESLKSSMDHLSNQLERMKNENSLLLQDDNDFDQKFPGLQSEFMKLQKANEELGTMFPLFNEFSGCGNALERVLALEIELAEALQAKKRSSILFQSSFLKQHSDEEAIFKSFRDINELIKDMLELKGRYTTVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNARHQRKPYT >Potri.002G091700.7.v4.1 pep chromosome:Pop_tri_v4:2:6636913:6646876:1 gene:Potri.002G091700.v4.1 transcript:Potri.002G091700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091700.v4.1 MSRITKWELEKTKVKVVFRLQFHATQIPQSGWDKLFISFIPADSGKATGKTTKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTSLHVTVQLLTSKTGFREFEQQREHRERGLQTNQNSPNESSGGKVSSSEEINNDQIDKVNIRVRFKDKSKDLASLKQEVGSNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDRLKSTVSGDLAGLSLSQGPQLEKGDLSDHQFSAQGTNDWVHAWSSDYHADNDLAAAYEVNGRLRGSLEVAESSILELRQEVSSLQGHADEIGYEAQKFAKQLASEIASGEEMTKEVSMLKLECSKLKNELEQLKVSQLSPPFSSRNATEPRQDHRFQDLQLRWLNGLLPMEDKIKELKNKACLGYHESDSSFLCSDIEELLSVLQNLKQATGLPISSTHLVPSEGSSLKEIREMSVHKNGQFVSESGFDVDSYQPELGMLHCLNIPGLVSHETDSINTTNAMNGRIFELLRELDESKAERESLVKKMDQMECYYEALVQELEENQRQMLGELQNLRNEHATCLYTVSSTKAEMETMRLDLNDQLSRLVEDKRDLDSLNKELERRAVTAEAALRRARLNYSIAVDQLQRDLELLSVQVLSMFETNENLIRQAFVDSSQSGFEGNPVTTESQRSDSREVHMGKLFQFQNQFVGTKKQQLGCDILLDDLKRSLHLQEGLYRKVEEEACEMHFANLYLDVLSKALQETLLEASDDVKCMKEKIHELVWQLELSTESKGLLSQKLHSALDDVHALKEHRATCIAKCNEMAQRNQVLETNLQNVTSKNHLLLQKIAEWESQVMHYRSYESMYEICAAEKTELACLLEKKTLENCGLQNEIFSLQEKLKTFRSEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGIPSSESGYQDLESMDLTGVMMQLEELQHNSCDKILQLREEKKGLVHERDIAQVSIAAAKSELALLKQKFECDMRNMVDELDVSNALVQKLQLDIEGIAYKLKVSSEVEEKCAQQHNELFSDFDHLAVQLKELVSKNRDLGHKILALDSVASELDKTKLTAAELMKENQALMASIRNKNEVSSRIAYELESLKGSFRSLHDENQSLMLSSQDKVESAQLASELSNLKDSIKTLHDENQVLMETIRNKTEEAASFASELNSLKENLRFLHDENRALIASSQDKEEVSSKLALELNSLKESLQSLHGEKQALMTSSQDKTEEASKLASELDTLKESLQSLCDENQGLMACLQDKTEESAKLASELNSLRECLQSLQDEKQALMVSLQDKTEESAQLASDMISLRASLRSLNDELHDERSLREGLQSTVTDLTSQLNEKQCQLLQFGLHESELTHLKHLVSGLESEKSRVCQLLLQSEECVKNAHEEASTLKSQLSEMHKSLIAADVKFIFAKTQYEGGVEVLLQKLNSSDGHFAQLQKKHIDMEIILNHCHASETQHIEENARLMTNVNSVQSELEASIAENRLLVETKRAELEGFKNNSQNVVLSYIEDKAQHSKEFEKLKCLLVTPEEEIDNLVLSKVELEVKFLVLEAKLDEQKAQIITLEGYYDELVMLQKHCNELNQRLSDQILKTEEFRNLSIHLKELKDKADAECIQAREKREPEGPPVAMQESLRIAFIREQCETRLQEQKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMRILELEAELQSVLSDKREKVNAYDLMKAEMECSLISLECCKEEKQKLEAALEECNKERSKIAVELASMKELLENSKSLVDMQAEQNDGSCKVDCLSSDESVIRNSSDKNSIIDASSYERKRVHTVPLNGPTGDPNQKCLGRHSSRNSEEAEHAFPASFDRADHSSTLMNGQPEQDVCVSGGVNGLKSSALINQDRLLHIDMKHLAIINDHFRAESLKSSMDHLSNQLERMKNENSLLLQDDNDFDQKFPGLQSEFMKLQKANEELGTMFPLFNEFSGCGNALERVLALEIELAEALQAKKRSSILFQRYAPQSSCENT >Potri.002G091700.5.v4.1 pep chromosome:Pop_tri_v4:2:6636815:6647538:1 gene:Potri.002G091700.v4.1 transcript:Potri.002G091700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091700.v4.1 MSRITKWELEKTKVKVVFRLQFHATQIPQSGWDKLFISFIPADSGKATGKTTKANVRNGTCKWADPIYETTRLLQDVKTKQYDEKLYKLVISMGSSRSSVLGEATINLADYADALKPSVVALPLHGSDSGTSLHVTVQLLTSKTGFREFEQQREHRERGLQTNQNSPNESSGGKVSSSEEINNDQIDKVNIRVRFKDKSKDLASLKQEVGSNEEYADSAVGFDGSSNTSESLYAEKHDTSSTHEIDRLKSTVSGDLAGLSLSQGPQLEKGDLSDHQFSAQGTNDWVHAWSSDYHADNDLAAAYEVNGRLRGSLEVAESSILELRQEVSSLQGHADEIGYEAQKFAKQLASEIASGEEMTKEVSMLKLECSKLKNELEQLKVSQLSPPFSSRNATEPRQDHRFQDLQLRWLNGLLPMEDKIKELKNKACLGYHESDSSFLCSDIEELLSVLQNLKQATGLPISSTHLVPSEGSSLKEIREMSVHKNGQFVSESGFDVDSYQPELGMLHCLNIPGLVSHETDSINTTNAMNGRIFELLRELDESKAERESLVKKMDQMECYYEALVQELEENQRQMLGELQNLRNEHATCLYTVSSTKAEMETMRLDLNDQLSRLVEDKRDLDSLNKELERRAVTAEAALRRARLNYSIAVDQLQRDLELLSVQVLSMFETNENLIRQAFVDSSQSGFEGNPVTTESQRSDSREVHMGKLFQFQNQFVGTKKQQLGCDILLDDLKRSLHLQEGLYRKVEEEACEMHFANLYLDVLSKALQETLLEASDDVKCMKEKIHELVWQLELSTESKGLLSQKLHSALDDVHALKEHRATCIAKCNEMAQRNQVLETNLQNVTSKNHLLLQKIAEWESQVMHYRSYESMYEICAAEKTELACLLEKKTLENCGLQNEIFSLQEKLKTFRSEFDDLASVKEKLQDLVNFMESKLQNLLASYDKSINGIPSSESGYQDLESMDLTGVMMQLEELQHNSCDKILQLREEKKGLVHERDIAQVSIAAAKSELALLKQKFECDMRNMVDELDVSNALVQKLQLDIEGIAYKLKVSSEVEEKCAQQHNELFSDFDHLAVQLKELVSKNRDLGHKILALDSVASELDKTKLTAAELMKENQALMASIRNKNEVSSRIAYELESLKGSFRSLHDENQSLMLSSQDKVESAQLASELSNLKDSIKTLHDENQVLMETIRNKTEEAASFASELNSLKENLRFLHDENRALIASSQDKEEVSSKLALELNSLKESLQSLHGEKQALMTSSQDKTEEASKLASELDTLKESLQSLCDENQGLMACLQDKTEESAKLASELNSLRECLQSLQDEKQALMVSLQDKTEESAQLASDMISLRASLRSLNDELHDERSLREGLQSTVTDLTSQLNEKQCQLLQFGLHESELTHLKHLVSGLESEKSRVCQLLLQSEECVKNAHEEASTLKSQLSEMHKSLIAADVKFIFAKTQYEGGVEVLLQKLNSSDGHFAQLQKKHIDMEIILNHCHASETQHIEENARLMTNVNSVQSELEASIAENRLLVETKRAELEGFKNNSQNVVLSYIEDKAQHSKEFEKLKCLLVTPEEEIDNLVLSKVELEVKFLVLEAKLDEQKAQIITLEGYYDELVMLQKHCNELNQRLSDQILKTEEFRNLSIHLKELKDKADAECIQAREKREPEGPPVAMQESLRIAFIREQCETRLQEQKQQLSISKKHSEEMLWKLQDAIDEIENRKKSEASHLKKNEELGMRILELEAELQSVLSDKREKVNAYDLMKAEMECSLISLECCKEEKQKLEAALEECNKERSKIAVELASMKELLENSKSLVDMQAEQNDGSCKVDCLSSDESVIRNSSDKNSIIDASSYERKRVHTVPLNGPTGDPNQKCLGRHSSRNSEEAEHAFPASFDRADHSSTLMNGQPEQDVCVSGGVNGLKSSALINQDRLLHIDMKHLAIINDHFRAESLKSSMDHLSNQLERMKNENSLLLQDDNDFDQKFPGLQSEFMKLQKANEELGTMFPLFNEFSGCGNALERVLALEIELAEALQAKKRSSILFQSSFLKQHSDEEAIFKSFRDINELIKDMLELKGRYTTVETELKEMHDRYSQLSLQFAEVEGERQKLMMTLKNARHQRKPYT >Potri.013G148900.1.v4.1 pep chromosome:Pop_tri_v4:13:14538154:14540456:-1 gene:Potri.013G148900.v4.1 transcript:Potri.013G148900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G148900.v4.1 MASTTLSPATPSQLCSSKSGMFSPTHAAFVKPARTNMVTKEKGMRIACQATSIPADDGVPDMGKRELMNLLLLGALSLPTAGMLIPYTYFFVPSGLGGGGGGTVAKDALGNDIVVEQWLKTHGPGDRTLSQGLKGDPTYLVVEKDRTLATYGINAVCTHLGCVVPWNQAEKKFICPCHGSQYNDQGRVVRGPAPLSLALAHCDVDDGKVVFVPWVETDFRTGDDPWWT >Potri.006G111700.3.v4.1 pep chromosome:Pop_tri_v4:6:8671408:8673715:-1 gene:Potri.006G111700.v4.1 transcript:Potri.006G111700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111700.v4.1 MGLLRSRAMLSLLTLILWLPRFNAQSTNSARALDALLQDYAYRAFVLPRTGIPYDGIVPSNLTGIKIAAMRLRSGSLKSRGVRMYKEFGIPEGVVVQPYVERVVLVYQNLGNWSRRYYPLPGYTYIAPVLGLLAYDASNLSATNLPELDIMASGNPLNISFLNVRSAPDGSIAKCVWFDLHGFPSLSNVTSGNVCSTIQQGHFSIVVESLAPSPAPVSPTPSPPNVGPGPSGGGKKKNSKKVWPIIGSVLGGLLLLVLLSFLILWAQKLKQRKKVQQMERAAEVGESLQMTMVGETKAPAAMVTRTQPTLENEYVP >Potri.006G111700.2.v4.1 pep chromosome:Pop_tri_v4:6:8671377:8673762:-1 gene:Potri.006G111700.v4.1 transcript:Potri.006G111700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111700.v4.1 MLSLLTLILWLPRFNAQSTNSARALDALLQDYAYRAFVLPRTGIPYDGIVPSNLTGIKIAAMRLRSGSLKSRGVRMYKEFGIPEGVVVQPYVERVVLVYQNLGNWSRRYYPLPGYTYIAPVLGLLAYDASNLSATNLPELDIMASGNPLNISFLNVRSAPDGSIAKCVWFDLHGFPSLSNVTSGNVCSTIQQGHFSIVVESLAPSPAPVSPTPSPPNVGPGPSGGGKKKNSKKVWPIIGSVLGGLLLLVLLSFLILWAQKLKQRKKVQQMERAAEVGESLQMTMVGETKAPAAMVTRTQPTLENEYVP >Potri.006G111700.1.v4.1 pep chromosome:Pop_tri_v4:6:8671412:8673516:-1 gene:Potri.006G111700.v4.1 transcript:Potri.006G111700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111700.v4.1 MGLLRSRAMLSLLTLILWLPRFNAQSTNSARALDALLQDYAYRAFVLPRTGIPYDGIVPSNLTGIKIAAMRLRSGSLKSRGVRMYKEFGIPEGVVVQPYVERVVLVYQNLGNWSRRYYPLPGYTYIAPVLGLLAYDASNLSATNLPELDIMASGNPLNISFLNVRSAPDGSIAKCVWFDLHGFPSLSNVTSGNVCSTIQQGHFSIVVESLAPSPAPVSPTPSPPNVGPGPSGGGKKKNSKKVWPIIGSVLGGLLLLVLLSFLILWAQKLKQRKKVQQMERAAEVGESLQMTMVGETKAPAAMVTRTQPTLENEYVP >Potri.011G162884.1.v4.1 pep chromosome:Pop_tri_v4:11:18751970:18754030:1 gene:Potri.011G162884.v4.1 transcript:Potri.011G162884.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162884.v4.1 MTSLSSSMLPFLLILLFPFSWGTSAHTHEDFLQCLSLYSEDSAATSKVIYTPNNTSYATVLQFSIRNLRFNSSKLTPVVIVTPTILSHIQATIHCSQKHNLQIRIRSGGHDYEGLSYMSVLPFVILDLINLRKITVDLSTKTAWVQAGATLGELYYSIAEKSRTLAFPAGACHTVGVGGQFSGGGYGGLLRKYGLAADNVIDAELIDANGRVLDRESMGEDLFWAIRGGGGNSFGVVTAWKVNLVEVPPTVTVFAVPKVLKENATKLIHRWQYVANKLPEDIVIAAYVNRINSSQGGNPTIQATFTSLFLGGVDRLLPLMQESFPELGLVKDDCIELSWIEFALFLAGFPSNASLDVLLDRTPQSTTSFKAKSDYVKQPLPETALEGMWETFFEKDIESPSLFMVPYGGKMEEISESSIPFPHRAGNLYKIHYYVAWTEEGKEASERHISWIRRLYSYMTPYVSKNPREAYVNYRDLDLGINNLAGNTSYKQASIWGRKYFKNNFDKLVRIKTEVDPANFFRNEQSIPPFSSW >Potri.003G070900.1.v4.1 pep chromosome:Pop_tri_v4:3:9804812:9805875:1 gene:Potri.003G070900.v4.1 transcript:Potri.003G070900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G070900.v4.1 MGVGVIAKKLSKLIGARGVKRLGGTTTPLPPKGYVPICVGFNNDTRRFIVHTKAFGEAEFLELLYRSAEEYGFDNEGILRIAYEARDFEEWMITRAKGKVIPVKSV >Potri.013G021100.1.v4.1 pep chromosome:Pop_tri_v4:13:1363461:1366354:-1 gene:Potri.013G021100.v4.1 transcript:Potri.013G021100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021100.v4.1 MKSKWVIHKLNSHIPSWATSLTSPLKAKTYHTPSSKTSSFLLNHVDIGHLLSICGREGYLHLGSSLHASIIKTHEFFNPLEQNAFVIWNSLLSMYAKNGVLTDAAKLFDEMPMRDTVSWNIMISGFLKDGSFDVGFGFFKQMQSLGFYRLDQATLTTILSACDRPELGFVNKMVHCLAVLNGFQREISVGNALITSYFKCGFSSSGMQVFDEMLERNVITWTAIISGLVQSELYRDSLRLFVEMTNGLVEPNSLTYLSSLMACSGLQALREGCQIHGRVWKLGLQSDFCVESALMDMYSKCGSMGDTLQIFESAGQLDKVSMTIILAGFAQNGFEEEAMQFFVKMLEAGTEIDSNMVSAVLGVFGADTSLGLGQQIHSLVIKRSFGSNPFVGNGLINMYSKCGDLEDSTKVFSRMPCMNSVSWNSMIAAFARHGDGSRALQLYKEMRLKGVEPTDVTFLSLLHACSHVGLVEKGMEFLKSMTEVHKLTPRMEHYACVVDMLGRAGLLNEAKTFIEGLPIKPDVLVWQALLGACGIHGDPEMGKYAAEHLILSAPEKPSPYILLANIYSSKGRWKERAKTIKRMKEMCVAKETGISWIEIENNLHSFVVEDKMHPQAEIIYGVLAELFGHMIDEGYVPDKRYILSYVNQDEKGLLMNDDRLLASKLSCEEN >Potri.006G050900.3.v4.1 pep chromosome:Pop_tri_v4:6:3536440:3539346:-1 gene:Potri.006G050900.v4.1 transcript:Potri.006G050900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G050900.v4.1 MFFCFGSLGVDGKKSVKERSDRKIRSEEESLSSVTSGSKSCVSSLTSDVSSFKEIRAHKLRIVAIVSRCHEMKPEVARRKRSKVNWISGHQRTVAVAPPEKAVEASTESGSCLSSTPSAACARNHHMKRKGLMMKPVRDDEEPKRIRSRSGSGSRYLSSLAEAILKLLSSGCFAEMRIRQVLGDSPSTSKALRMLLRQEEVKRSGRGGRHDPYIYKIA >Potri.016G064600.1.v4.1 pep chromosome:Pop_tri_v4:16:4518423:4523230:1 gene:Potri.016G064600.v4.1 transcript:Potri.016G064600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064600.v4.1 MKFGETFMEYLHGEQEGCLDKCAHVEYKRLKKVLKKCRSQGPPSTSCNDEQLQERDSEQNHSLSQFCHCQSCPLCDQMFFSELMREASDIAGCFSSRVRHLLHLHVARGMQRYKLRLRQCFINDQQIMVEEGRMLIEYVTMNAIAIRKILKKYDKVHCSINGKNFKSKMRSEHIELLQSPWLIELGAFYLNFDGIDGGEFSEFCSRFSCDLSATEPVMTLMLPNYTKLEYGLTCAICLEMVFNPYALSCGHLFCKLCACLAASVLMVEGLKSASSNAKCPVCREAGVYTNAVHMLELDLLVKRRCKEHWKERMVAERAETVKQTREYWDLQTKYAIGY >Potri.016G064600.2.v4.1 pep chromosome:Pop_tri_v4:16:4518421:4523209:1 gene:Potri.016G064600.v4.1 transcript:Potri.016G064600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064600.v4.1 MKFGETFMEYLHGEQEGCLDKCAHVEYKRLKKVLKKCRSQGPPSTSCNDEQLQERDSEQNHSLSQFCHCQSCPLCDQMFFSELMREASDIAGCFSSRVRHLLHLHVARGMQRYKLRLRQCFINDQQIMVEEGRMLIEYVTMNAIAIRKILKKYDKVHCSINGKNFKSKMRSEHIELLQSPWLIELGAFYLNFDGIDGGEFSEFCSRFSCDLSATEPVMTLMLPNYTKLEYGLTCAICLEMVFNPYALSCGHLFCKLCACLAASVLMVEGLKSASSNAKCPVCREVCTSLKQTDVYNMLH >Potri.014G049600.1.v4.1 pep chromosome:Pop_tri_v4:14:3195397:3196712:1 gene:Potri.014G049600.v4.1 transcript:Potri.014G049600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049600.v4.1 MANIASALLILVLAAPAAYAATTYTVGDSSGWSTTFGDYTTWVSGKTFTVGDSLLFKYSSTHTVAEVSKGDYDSCSTSNLGKTYTDGSSTVPLSTAGPMYFICPTSGHCSGGMKLAITVVAASGTPSTPTTPPVDDGSTTPPTTSGSPPTTPSTTVTPPPPSKSNNGATSILYNMMLGVFLVFGTTVALMGQ >Potri.004G075800.2.v4.1 pep chromosome:Pop_tri_v4:4:6320653:6326160:1 gene:Potri.004G075800.v4.1 transcript:Potri.004G075800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075800.v4.1 MENLIENGTFISQVERPNSSLPTVIVIGGGISGLAAARRLHDASFKVILLESRDRLGGRIHTDHSFGYPVDLGASWLHGVCNENPLAPLIRGLGLKLYRTSGDNSVLYDHDLESYTLFDKEGHKIPQQMVIEVGDAFKRILDETEKVRDEHTDDMSVLQAIWIVLDRHPELRQEGLAYEVLQWYICRMEAWFAADADMISLKSWDQEQVLSGGHGLMVQGYDPIIKALAKDIDIRLNHRVAKISNGPNKVMVTVEDGTGFIADAAIITVPLGILKANLIHFEPKLPQWKVDAISDLGFGSENKIAMQFDRVFWPDVELLGVVAPTSYACGYFLNLHKATGHPVLVYMAAGRFACDLEKLSDESAANFVMLQLKKMFPNATEPVQYLVTRWGTDPNSLGCYSYDLVGKPGDSYERLRAPLGNLFFGGEAVSMEDHQGSVHGAYSAGIMAAENCQGHILERLGYFDKLQLVPSRGEIHDAAFPLQISRM >Potri.001G026200.1.v4.1 pep chromosome:Pop_tri_v4:1:1965329:1969447:1 gene:Potri.001G026200.v4.1 transcript:Potri.001G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G026200.v4.1 MTIRNKPEVIDPPQNKDMTDIVECVNSPAKTALKPNVTVSSSVHELLECPVCLNAMYPPIHQCSNGHTLCSSCKPRVHGRCPICRHELGNIRCLALEKVAASLELPCIYRSFGCIGIYPYHSKSKHESQCVFRPYSCPYSGSECTAIGDIPYLVAHLKDDHKVDMHNGSTFNHRYVKSNPHEVENATWMLTVFSCFGQYFCLHFEAFHLGMSPVYIAFLRFMGDDNEAKNHSYSLQVCGSGRKMTWQGVPRSIRDSHRKVRDSFDGLVIQRNMALLFSGGDRKELKLRVTGRIWKEQ >Potri.015G112268.1.v4.1 pep chromosome:Pop_tri_v4:15:12856536:12859009:-1 gene:Potri.015G112268.v4.1 transcript:Potri.015G112268.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G112268.v4.1 MLRNLATHFSSTSSTTTSSSTTPLLVLSKPFRSLSSKSLLFLSKPFNFPRTSKPISYYKPSMNILNKLGFGPRSPDPSTMDPTIPQGPDDDLPAPGQQFAQFGAGCFWGVELAFQRVPGVTKTEVGYTQGLLHNPTYEDVCTGTTNHNEVVRVQYDPKECSFDTLIDVLWARHDPTTLNRQGNDVGTQYRSGIYYYTPEQEKAAKESLERQQKLLNRKIVTEILPAKKFYRAEEYHQQYLAKGGRFGFMQSAEKGCNDPIKCYG >Potri.005G206400.4.v4.1 pep chromosome:Pop_tri_v4:5:21130409:21134648:-1 gene:Potri.005G206400.v4.1 transcript:Potri.005G206400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206400.v4.1 MASSNSNSSKWDYDVFLSFKGADTGKGFTDHLYSALVRDGIHTFRDVNEINSGEEIGPEYLQAIEKSRFSIVILSKGYASSTWCLDELVHILECRKEGGHAVWPVFYDIDPSDVEELKGSFEEAFAEHEKSFKDDMDKVQRWKDALREVAYLKGLDLQKHWDGHEAKNIDYIVKEISDRLDRTILSVTTHPVGLLSRAKEVISLLGEKLVDVRIVGIYGMGGIGKTTVAKKVYNLVFHEFEGSCFLENVRKESISKGIACLQRQLLSETLKRKHEKIDNISRGLNVIRDRLHRKRIFIVLDDIDELEQLNKILGNFDWLFPGSRVIITTRIKDLLQPSELYLQYEVEELNNDDSLQLLRLHAFNEHHPVDNYMDCMRRIVSYVRGIPLALEVLGSSLCGQTINVWNSKLEKLKVIGNGDIHNKLKIRTWEERSFAKSLLQIPGNVADCGAKKMSSM >Potri.005G206400.2.v4.1 pep chromosome:Pop_tri_v4:5:21128758:21133792:-1 gene:Potri.005G206400.v4.1 transcript:Potri.005G206400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206400.v4.1 MASSNSNSSKWDYDVFLSFKGADTGKGFTDHLYSALVRDGIHTFRDVNEINSGEEIGPEYLQAIEKSRFSIVILSKGYASSTWCLDELVHILECRKEGGHAVWPVFYDIDPSDVEELKGSFEEAFAEHEKSFKDDMDKVQRWKDALREVAYLKGLDLQKHWDGHEAKNIDYIVKEISDRLDRTILSVTTHPVGLLSRAKEVISLLGEKLVDVRIVGIYGMGGIGKTTVAKKVYNLVFHEFEGSCFLENVRKESISKGIACLQRQLLSETLKRKHEKIDNISRGLNVIRDRLHRKRIFIVLDDIDELEQLNKILGNFDWLFPGSRVIITTRIKDLLQPSELYLQYEVEELNNDDSLQLLRLHAFNEHHPVDNYMDCMRRIVSYVRGIPLALEVLGSSLCGQTINVWNSKLEKLKVIGNGDIHNKLKISNDSLDDTEKFIFLDIACFFIGFNKDYIMSILEDCGFFPADGINTLMRRCIVKVGPDNKLSMHDLLRDMGREIVRQESSTDPGERSRLWRQEDVIDVITDRMGTKAVEGLILNLPGLKQSFSTKAFKKMKKLRLLQLNCICLEGSYEYISTKLRWLCWLEFPLKSIPPDLYLETLIALDMRYSSLHQFSEEIKSLKKLKFLNLSHSHELTKTPNFEGLPCLEKLILKDCVSLVEVHDSIGILGRLLLLNFKNCKSLKTLPGSICALSSLKKLNVSGCLKLEGLPEDLGSLKSLVVLLADGTAISTIPETIGNLEKLKILSFHDCHLIFSPRKFPQTMNIFPASLQELDLRHCNLSDSMIPHDFRGLFLLQTLKLCGNNFTSLPASIGNLPKLTKLLLNNCKRLEYIPELQSSLETFHANDCPRLQFINMKFWRGGELKLNGCRNLKCLQGFFNLEPLGVDVVEKILGTCGLVTEKPFPAVEVHIINNLTRTAIISPLQVSYLSL >Potri.005G206400.3.v4.1 pep chromosome:Pop_tri_v4:5:21128716:21134423:-1 gene:Potri.005G206400.v4.1 transcript:Potri.005G206400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G206400.v4.1 MGREIVRQESSTDPGERSRLWRQEDVIDVITDRMGTKAVEGLILNLPGLKQSFSTKAFKKMKKLRLLQLNCICLEGSYEYISTKLRWLCWLEFPLKSIPPDLYLETLIALDMRYSSLHQFSEEIKSLKKLKFLNLSHSHELTKTPNFEGLPCLEKLILKDCVSLVEVHDSIGILGRLLLLNFKNCKSLKTLPGSICALSSLKKLNVSGCLKLEGLPEDLGSLKSLVVLLADGTAISTIPETIGNLEKLKILSFHDCHLIFSPRKFPQTMNIFPASLQELDLRHCNLSDSMIPHDFRGLFLLQTLKLCGNNFTSLPASIGNLPKLTKLLLNNCKRLEYIPELQSSLETFHANDCPRLQFINMKFWRGGELKLNGCRNLKCLQGFFNLEPLGVDVVEKILGTCGLVTEKPFPAVEVHIINNLTRTAIISPLQALCEKSIYSIFLPVKDIPTRFSHQNEGDTISLQVPALDPGCKVTGFLISVVYAWEDSLESCYLSPNITVINRTRNFDWIYDPRVTFFPCEVEQDMMWLSCWLFENEINEKDVVDMSWRFQDEVEEGDQLEVLIDMGFGIVVKRCGIHLLYHHNDLQGSQSNDILAAISHASFSRHHGRFMMSSRLWLTFNRNCHEVTLTRRWYDVQSFKRWSNKREQRVNELPDFYR >Potri.004G047300.1.v4.1 pep chromosome:Pop_tri_v4:4:3763798:3770766:1 gene:Potri.004G047300.v4.1 transcript:Potri.004G047300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G047300.v4.1 MQRFPFLLWTLLFCLAFSTCIARQAPWVMRISCGARLNVHTAPTNTLWYKDFAYTGGIPANATRPSYISPPLKTLRYFPLSSGPNNCYNINRVPKGHYTVRVFFGLDGHRNFDNEPLFDISIEGTQIYSLKSGWTNHDDQVFTEALVFLTDGTASICFHSTGHGDPAILSIEILQMEDRAYYFGPAWVQGIILRTAARLSCGNGKPKFDVDYSGDHWGGDRFWSPIKTFGQGSDLARSTESGIKKASNAPNYYPEALYQTALVSTDTQPDLAYTMDVDPNRNYSIWLHFAEIDSSVTAAGKRVFDILINGVVAFEDVDIVKMSGDRYTALVLNTTVTVSGRILTIGLHPKEGSHAIINAIEVFEILTAESKTSLEEVRALQSLKSALSLPLRFGWNGDPCVPQEHPWNGADCHFDKTSSKWFIDGLSLDNQGLRGFFPNDISRLRHLQNINLSDNSIRGAVPPSIGTIPGLVVLDLSYNSFNGSIPESLGQLTSLRRLNLNGNSLSGRVPAALGGRLLHGASFNFTDNAGLCGIPGLRTCGPHLSAGEKIGIAFGTSVGFLLMVICSMCWWKRRQNILRAQQIAERGAPYAKARTQLSHDIQMTRHYSHGNARTAAENGPSLLS >Potri.017G012400.4.v4.1 pep chromosome:Pop_tri_v4:17:859289:864273:1 gene:Potri.017G012400.v4.1 transcript:Potri.017G012400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012400.v4.1 MGLGKTIQTIAFLAAIFEKDEESGESITLKGNQVGKKGPVLIICPTSVIHNWESEFSRWASFSVSLYHGTNRDLILEKLKAGGVEILITSFDTYRIHGSILSEVDWEVVIVDEAHRLKNEKSKLYLACLEIKTRKRIGLTGTVMQNKIIELFNLLDWVAPGSLGSREHFREFYDEPLKHGQRSTAPESFVRKADKRKEHLVSVLCKYMLRRTKEETIGHLMMGKEDNVVFCSMSELQRRVYRSMLQLPDIQCLVNKDLPCSCGSPLKQVECCKRIVPDGIIWPYLHRDNPEGCDSCPYCLVLPCLVKLQQISNHLELIKPNPRDEPDKQKKDAEFASAVFGADVDLVGGNAQSENFMGLSDVKHCGKMQALEKLMFSWASRGDKILLFSYSVRMLDILEKFLIRKGHSFSRLDGSTPTNLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQKRHVVVFRLLAAGSFEELVYSRQVYKQQLSNIAVSGKIENRYFEGVQDCKEFQGELFGICNLFRDLSDKLFTSEIIELHEKQGKGDGQCSTTMQELPELGTCFLHPDQAAVTTSSACETSDNGNHESVTRKKPVLEELGILYTHRNEDIVNIGPGIRKNTEESIPGKDSINNPPVKRRRKPDDVGGKRNDLPSKDWKKIQYSLLAQFMGMGEVEFSKWVLSATTSERENALRDYKKRKEKMPDG >Potri.017G012400.1.v4.1 pep chromosome:Pop_tri_v4:17:859397:864399:1 gene:Potri.017G012400.v4.1 transcript:Potri.017G012400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G012400.v4.1 MSLLTSFKALKEILKPCSNLSTTTSSSSSSSLTQPSFSQEPEPALTISRKPPKSSLSKQLQRLGEPFRPLSQQNGSLNARTQQPQNSQGLRNEAQVVKSEDRQEEEEKEREFEDLGRTKLGQFQFEHTGPFEPLVLSLLGEVPVIRVPASINCRLLEHQREGVKFLYKLYLDNHGGVLGDDMGLGKTIQTIAFLAAIFEKDEESGESITLKGNQVGKKGPVLIICPTSVIHNWESEFSRWASFSVSLYHGTNRDLILEKLKAGGVEILITSFDTYRIHGSILSEVDWEVVIVDEAHRLKNEKSKLYLACLEIKTRKRIGLTGTVMQNKIIELFNLLDWVAPGSLGSREHFREFYDEPLKHGQRSTAPESFVRKADKRKEHLVSVLCKYMLRRTKEETIGHLMMGKEDNVVFCSMSELQRRVYRSMLQLPDIQCLVNKDLPCSCGSPLKQVECCKRIVPDGIIWPYLHRDNPEGCDSCPYCLVLPCLVKLQQISNHLELIKPNPRDEPDKQKKDAEFASAVFGADVDLVGGNAQSENFMGLSDVKHCGKMQALEKLMFSWASRGDKILLFSYSVRMLDILEKFLIRKGHSFSRLDGSTPTNLRQSLVDDFNSSPSKQVFLISTRAGGLGLNLVSANRVVIFDPNWNPAQDLQAQDRSFRFGQKRHVVVFRLLAAGSFEELVYSRQVYKQQLSNIAVSGKIENRYFEGVQDCKEFQGELFGICNLFRDLSDKLFTSEIIELHEKQGKGDGQCSTTMQELPELGTCFLHPDQAAVTTSSACETSDNGNHESVTRKKPVLEELGILYTHRNEDIVNIGPGIRKNTEESIPGKDSINNPPVKRRRKPDDVGGKRNDLPSKDWKKIQYSLLAQFMGMGEVEFSKWVLSATTSERENALRDYKKRKEKMPDG >Potri.008G109833.1.v4.1 pep chromosome:Pop_tri_v4:8:6959155:6966420:-1 gene:Potri.008G109833.v4.1 transcript:Potri.008G109833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109833.v4.1 MGYIGSHGIAALHKYKYSGVDHSCVAKYVLQPFWARFVNLFPLWMPPNMITLMGFMFLVTSAFLGYIYSPRLDTPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWLISAVPFYCATWENFFTNTLILPAINGPTEGLMLIYMAHFLTAVVGAGWWAQQFAMSFPFLSWLPFVSEIPTYRVVQFLMTAFAVIPTVGFNVSNVYKVVQARKGSMLLALAMLYPFVVLVGGVLLWDYLSPSDLMSNYPHLVILGTGLAFGFLVGRMILSHLCDEPKGLKTNMCMSLLYLPFAIANALAARLNDGVALVDEFWVLLGYCVFTMGLYLHLATSVIHEITTALGICCFRITRKKA >Potri.008G109833.2.v4.1 pep chromosome:Pop_tri_v4:8:6959529:6966109:-1 gene:Potri.008G109833.v4.1 transcript:Potri.008G109833.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G109833.v4.1 MGYIGSHGIAALHKYKYSGVDHSCVAKYVLQPFWARFVNLFPLWMPPNMITLMGFMFLVTSAFLGYIYSPRLDTPPPRWVHFAHGLLLFLYQTFDAVDGKQARRTNSSSPLGELFDHGCDALACAFESMAFGSTAMCGRDTFWFWLISAVPFYCATWENFFTNTLILPAINGPTEGLMLIYMAHFLTAVVGAGWWAQQFAMSFPFLSWLPFVSEIPTYRVVQFLMTAFAVIPTVGFNVSNVYKVVQARKGSMLLALAMLYPFVVLVGGVLLWDYLSPSDLMSNYPHLVILGTGLAFGFLVGRMILSHLCDEPKGLKTNMCMSLLYLPFAIANALAARLNDGVALVDEFWVLLGYCVFTMGLYLHLATSVIHEITTALGICCFRITRKKA >Potri.001G225808.1.v4.1 pep chromosome:Pop_tri_v4:1:24424756:24430030:1 gene:Potri.001G225808.v4.1 transcript:Potri.001G225808.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225808.v4.1 MAIPTGLLLLGMLFLMFSFASAQIEMPRGITEDFDTTCITDLKFGLSRKSFPGDFIFGAAASAYQTEGHANKSCRGPSIWDTFTQDFPERIADGCNGDLGIDLYNRYESDLEEMKDMNMDAFRFSISWSRVIPSGKIRAGVNKDGIEFYNKLIDATIAKGLQPYATLFHWDVPQALEDKYGGFLSDNIVSDFRDFAELCFKEFGDRVKYWITLNEPQKFTGDGYDSGHFAPGRCSKWVDEKYCINGNSSTEPYIVAHNLLLSHAAAVHTYWEKYQASQNGKIGVTLNARWFEPYSNSTEDRNAAKRSLDFMLGWFLNPITYGDYPSSMRELVNDRLPTFSPLDSINLKGSLDFVGLNYYTAYYAANANSSSPDPRRYQTDSNCIITGERDDKPIGPQAGVSWQYIYPEGLQYMLNHIKDTYNNPVIYITENGYGEVVKTDVELHDGTVLDLPRVEYHCTHLRNVVASIKNHGVQVKGYFVWSFADNFEFTDGYTIGFGLLYVNRTSNFTRIAKLSSHWFTEFLGDQPANPVPLYFKRLNIA >Potri.017G136700.2.v4.1 pep chromosome:Pop_tri_v4:17:13810552:13813911:-1 gene:Potri.017G136700.v4.1 transcript:Potri.017G136700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G136700.v4.1 MGLKLKNVREKLDAIANEKNKFNLTPRVGDTAADTYDWRLTSSLVNESEIYGRGKEKEELVNILLANADNLPIYAIWGMGGLGKTTLSQMVYNEERVKQHFSLRIWVCVSTDFDVRRLTRAIIESIDGASCDIQELDPLQQRLQQKLTGKKFLLVLDDVWDDYDDRWNKLKEVLRSGAKGSAVLVTTRIEMVARRMATAFVQQMGRLSEEDSWHLFQRLAFWMRRTEEWAHLEAIGVSIVKKCGGVPLAIKALGNLMRLKDNEDQWIAVKESEIWDLREEASKILPALRLSYTNLSPHLKQCFAYCAIFPKDHVMRREELVALWMANGFISCRREMDLHVMGIEIFNELVGRSFLQEVEDDGFGNITCKMHDLMHDLAQSIAVQECYNTEGHEELEIPKTVRHVAFNHRQVAPPEEKLLNVHSLRSCLLVDYDWIQKRWGKSLNMYSSSQKHRALSLRNVRMKKLPKSICDLKHLRYLDVSGSWIITLPECITSLQNLQTLDLRDCRELIQLPKGMKEMKSLVYLDITGCHSLRFMPCGMGQLICLRKLTLFIVGKEDGRFIGELERLNNLAGELSITDLDNVKNSTDARTANLKLKAALLSLTLSWQVNGAFIMRSLPNNEQEVLEGLQPHSNLKKLRLVGYGGSKFPNNWMMNLNLMLPNLVEMELKACHNCEQLPPFGKLQFLKNLKLHAMDGVKCIDNNVYGDEEDPFPSLETLTFDSMERLEQWAACTFPRLRELNIVDYPVLNEIPTIPSIKKIRYPGRECVIADVSWESRFYHFSSYFMDSQREGASRWVIAKSYAP >Potri.013G099400.3.v4.1 pep chromosome:Pop_tri_v4:13:10415813:10419094:-1 gene:Potri.013G099400.v4.1 transcript:Potri.013G099400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099400.v4.1 MKDLEDFLCGFSIISLALYFLRRLRKVISMASLSLTSPQSSSLPSPPLSWVSQFFLEKRKVPLIKDDSETTVMVHDQESNVSQESQSGDMKSKALHFEEGIMQESMACEAYQKGLHDKSSQKNSDALIRKVGPDSLRIVKISEGDRSKGATKMKKRPGRLVVPEYSPVVEFSRADRKLENKEFEVQGRDFFLASKKGRREVMEDGYGIMIDILGDAKQAFFAVIDGHGGRAAANYVAENLGKNIVKGLQNVGCKEDGQLEEAIRGGYLVTDREFLSQGVSSGACAASVLLKDGELHVANVGDCRVVLSRNGVADVLTIDHRVSREDERLRIENSGGFLHCRNGIWRVHGSLAVSRAIGDQHLKEWIISEPEIKRVPLTSDCQFLIMASDGLWDKVNEQEAVNVILKDNNNSVESCKKLVDMSFGRGNMDDITVMVINLQNFVTNGC >Potri.013G099400.4.v4.1 pep chromosome:Pop_tri_v4:13:10415812:10419076:-1 gene:Potri.013G099400.v4.1 transcript:Potri.013G099400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G099400.v4.1 MKDLEDFLCGFSIISLALYFLRRLRKVISMASLSLTSPQSSSLPSPPLSWVSQFFLEKRKVPLIKDDSETTVMVHDQESNVSQESQSGDMKSKALHFEEGIMQESMACEAYQKGLHDKSSQKNSDALIRKVGPDSLRIVKISEGDRSKGATKMKKRPGRLVVPEYSPVVEFSRADRKLENKEFEVQGRDFFLASKKGRREVMEDGYGIMIDILGDAKQAFFAVIDGHGGRAAANYVAENLGKNIVKGLQNVGCKEDGQLEEAIRGGYLVTDREFLSQGVSSGACAASVLLKDGELHVANVGDCRVVLSRNGVADVLTIDHRVSREDERLRIENSMRAFAYCRVVFYIAAMEFGEFMGPLPFLEQLETSI >Potri.001G322700.4.v4.1 pep chromosome:Pop_tri_v4:1:33187333:33190938:-1 gene:Potri.001G322700.v4.1 transcript:Potri.001G322700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322700.v4.1 MMHQDVVHEKTEACSWMAGGEGVTVEGDNKQSPNIEGGSDLVIRGSTRDHLVGSMVGFEIKRKKRMPRQRRSSSTINHLLSFAANASCSATTHLHVPAFSLPLQDPSSLPARKAAEVHLPFLESKEGIFISMDDLDGLHVWSFKYRYWPNNNSRMYVLENTGDFVNAHGLQLGDFIMVYQDSQSQNYVIQAKKASDQNVYSDIARNAVNDTVLHDYEVNKFSSFYVNYPVVDNTGLSFIYDTTTFSNYSPLDFLGGSMTNFSRIGHLESFGSVENMSLDDFY >Potri.001G322700.1.v4.1 pep chromosome:Pop_tri_v4:1:33187333:33190938:-1 gene:Potri.001G322700.v4.1 transcript:Potri.001G322700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322700.v4.1 MMHQDVVHEKTEACSWMAGGEGVTVEGDNKQSPNIEGGSDLVIRGSTRDHLVGSMVGFEIKRKKRMPRQRRSSSTINHLLSFAANASCSATTHLHVPAFSLPLQDPSSLPARVIDPRRLRFLFQKELQNSDKAAEVHLPFLESKEGIFISMDDLDGLHVWSFKYRYWPNNNSRMYVLENTGDFVNAHGLQLGDFIMVYQDSQSQNYVIQAKKASDQNVYSDIARNAVNDTVLHDYEVNKFSSFYVNYPVVDNTGLSFIYDTTTFSNYSPLDFLGGSMTNFSRIGHLESFGSVENMSLDDFY >Potri.001G322700.5.v4.1 pep chromosome:Pop_tri_v4:1:33187333:33190938:-1 gene:Potri.001G322700.v4.1 transcript:Potri.001G322700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322700.v4.1 MMHQDVVHEKTEACSWMAGGEGVTVEGDNKQSPNIEGGSDLVIRGSTRDHLVGSMVGFEIKRKKRMPRQRRSSSTINHLLSFAANASCSATTHLHVPAFSLPLQDPSSLPARVIDPRRLRFLFQKELQNSDVSSLRRMILPKKAAEVHLPFLESKEGIFISMDDLDGLHVWSFKYRYWPNNNSRMYVLENTGDFVNAHGLQLGDFIMVYQDSQSQNYVCCYSKPVD >Potri.001G322700.6.v4.1 pep chromosome:Pop_tri_v4:1:33187333:33190938:-1 gene:Potri.001G322700.v4.1 transcript:Potri.001G322700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322700.v4.1 MMHQDVVHEKTEACSWMAGGEGVTVEGDNKQSPNIEGGSDLVIRGSTRDHLVGSMVGFEIKRKKRMPRQRRSSSTINHLLSFAANASCSATTHLHVPAFSLPLQDPSSLPARKAAEVHLPFLESKEGIFISMDDLDGLHVWSFKYRYWPNNNSRMYVLENTGDFVNAHGLQLGDFIMVYQDSQSQNYVCCYSKPVD >Potri.001G322700.2.v4.1 pep chromosome:Pop_tri_v4:1:33187333:33190938:-1 gene:Potri.001G322700.v4.1 transcript:Potri.001G322700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G322700.v4.1 MMHQDVVHEKTEACSWMAGGEGVTVEGDNKQSPNIEGGSDLVIRGSTRDHLVGSMVGFEIKRKKRMPRQRRSSSTINHLLSFAANASCSATTHLHVPAFSLPLQDPSSLPARVIDPRRLRFLFQKELQNSDVSSLRRMILPKKAAEVHLPFLESKEGIFISMDDLDGLHVWSFKYRYWPNNNSRMYVLENTGDFVNAHGLQLGDFIMVYQDSQSQNYVIQAKKASDQNVYSDIARNAVNDTVLHDYEVNKFSSFYVNYPVVDNTGLSFIYDTTTFSNYSPLDFLGGSMTNFSRIGHLESFGSVENMSLDDFY >Potri.015G071700.1.v4.1 pep chromosome:Pop_tri_v4:15:9727352:9729657:-1 gene:Potri.015G071700.v4.1 transcript:Potri.015G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071700.v4.1 MATQISKKRKFVADGVFYAELNEVLTRELAEDGYSGVEVRVTPMRTEIIIRATRTQNVLGEKGRRIRELTSVVQKRFKFPENGVELYAEKVNNRGLCAIAQAESLRYKLLGGLAVRRACYGVLRFVMESGAKGCEVIVSGKLRAQRAKSMKFKDGYMISSGQPVKEYIDSAVRHVLLRQGVLGIKVKIMLDWDPKGKVGPMTPLPDLVTIHPPKEEEEYVPPLLTTNIEIPVA >Potri.014G181400.2.v4.1 pep chromosome:Pop_tri_v4:14:14172963:14174343:1 gene:Potri.014G181400.v4.1 transcript:Potri.014G181400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G181400.v4.1 MVLSFGLQDFILRARVLKLYRQALRTTRRAPGDARADLKQTIRQEMENNRHCNDKQRIRFLISEGLERLKRLDEMLDMQGHSQWT >Potri.002G146200.1.v4.1 pep chromosome:Pop_tri_v4:2:11016938:11019460:1 gene:Potri.002G146200.v4.1 transcript:Potri.002G146200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G146200.v4.1 MSIIGKRGIHYLQKLKTANISPELLEKGQNRVIDASLTLIRERAKLKGELLRALGGVKASTTLLGVPLGHNSSFLQGPAFAPPRIREAIWCGSTNSSTEEGKELNDPRVLTDVGDVPVQEIRDCGVDDDRLMNVISESVKLVMEEDPLRPLVLGGDHSISFPVVRAVSEKLGGPVDILHLDAHPDIYHCFEGNKYSHASSFARIMEGGYARRLLQVGIRSITKEGREQGKRFGVEQYEMRTFSRDRQQLENLKLGEGVKGVYISIDVDCLDPAFAPGVSHIEPGGLSFRNVLDILHNLQADVVAADVVEFNPQRDTVDGMTAMVAAKLVRELTAKISK >Potri.005G197400.1.v4.1 pep chromosome:Pop_tri_v4:5:20390101:20392073:-1 gene:Potri.005G197400.v4.1 transcript:Potri.005G197400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G197400.v4.1 MARLVAGTKFHGDFEERLTGIVDEVKQSDGNIVLFIDELHTLVGNGQTLDATNILKPALARGELKCIGATTIDEYRRYIEKDGALKRRFLHVDVPEPSVDEAVEILKGIQRKYEAHHDVKYADEALVAAVRLSNQYISGLFLPDKAIDLIDEAGARVQLRQARSASEKLLVTEEDIQAVVSMVTGIPLDKVTDKESRRLLNMEAELHKYIVGQEEAVKAVSHAIRRARVGTKDPNKPIASFLFTGPTGVGKTELAKALAVEYFGSKEAVVRIDMSEYMEKHTVSKLFGSPPGYIGYDDGGQFTEAVRCRAHTVVLFDEIEKAHQDVNRVFLQILDDGTLTDGKGRKVDFKNTIIIMTSNIGNSLIAQEDEEDEIRFNTVKLIVAEELKKEFSPEFLNRIDEVIVFRKLNNAQLNEIADLMLAEVYGRLKAKNIIIRVTDGLKRKIIEEGNNLSYGARPLKRAIVRLLEDNIAKGILNGFVEEGRSVIVDVNSNGNVIMLHSDVAVETEDYEHSMI >Potri.010G236870.1.v4.1 pep chromosome:Pop_tri_v4:10:21686828:21688435:1 gene:Potri.010G236870.v4.1 transcript:Potri.010G236870.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX63 MAVKVAAAFIFMLFLLSTTACQAKLSSAFYHKSCPKAESAIRTAIRTAIARERRMAASLIRLHFHDCFVQGCDASILLDETSSIQSEKTAGGNNNSVRGYEVIDKAKSKVEKICPGVVSCADIIAVAARDASAYVGGPSWAVKLGRRDSTTASPALAITELPAFFDDLGRLISRFQQKGLTARDMVALSGSHTLGQAQCFTFRDRIYNASNIDAGFASTRKRRCPRAGGQANLAPLDLVTPNSFDNNYFKNLMRNKGLLQSDQVLFNGGSTDSIVSEYSRNPAKFSSDFASAMIKMGDIRPLTGSAGQIRRICSAVN >Potri.015G109100.2.v4.1 pep chromosome:Pop_tri_v4:15:12672477:12673833:1 gene:Potri.015G109100.v4.1 transcript:Potri.015G109100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109100.v4.1 MAVRSMGYWKSMASRLRGTATYATSTPPKLKYAETADQFGHQYHQESKHSKKVRGDFVPVYVAIGMITVSISLGLYTAKQQVLYAPNVRVRKKTRETVPEVVDPDKVVDEADKFIRKSFFRKVAHVQEFDHNGLQYLPDPSRKDVFAQKPRAETLKDVGIDPKSQL >Potri.004G216500.1.v4.1 pep chromosome:Pop_tri_v4:4:22219033:22220419:-1 gene:Potri.004G216500.v4.1 transcript:Potri.004G216500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G216500.v4.1 MRNFIIIERITIGRVEDDFHSNAFKAALAEFISTLIFVFAGQGSTMAYNKLTSNAPTSPAGLIAVALAHAFGLFVGVAVSANISGGHVNPAVTFGAFIGGNISLLRGILYWIAQLLGSTVACLLLKYTTHHMTVSVFTLSPGVTVWNAFVFEIVMTFALVYTVYATAIDPKKGDVGVIAPLAIGFVLGANILVGGAFEGAALNPAVPFGPALVSWNWYHHWVYWAGPLIGGGLAGIVYELIFMSHSTHEPLPGGEF >Potri.008G080600.3.v4.1 pep chromosome:Pop_tri_v4:8:5009450:5013368:-1 gene:Potri.008G080600.v4.1 transcript:Potri.008G080600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080600.v4.1 MSSKPAKGPDSSEMSIPPEEAESETKKVEGFSKSIDANIICNNPLYQEPKKKDPIGKYSANSGYGSPRKTGFTKITENVNFNRHLDPKKMDPLSRPVGNASSNQRALPSTRTSPKSQLSTTSAAAGATTTAALPANMKANEAKTFSSITNHANLSGRSSTRANSLESSGGANKPHTGGDVRWDAIQLATARGTIGLSNFRLLKRLGYGDIGSVYLVELRGTNAHFAMKVMDKASLASRNKILRAQTEREILGLLDHPFLPTLYNYFETDKFYCIVMEFCSGGNLHSLRQKQPNKHFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRHEGHIMLSDFDLSLRCSVSPTLVKSSSLHASNNGSGGLGILEDESVVQGCIQPSTFFPRILPGKKSRKSKSDYGLFVGGSMPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGQGNRATLFNVVGQPLKFPENPQVSMVARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGMNWALVRSALPPHVPEPVDFSQYASKEAPPADKKTPDIGGDKKDGSSPENKDESYVEFEYF >Potri.008G080600.2.v4.1 pep chromosome:Pop_tri_v4:8:5009450:5013368:-1 gene:Potri.008G080600.v4.1 transcript:Potri.008G080600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080600.v4.1 MSSKPAKGPDSSEMSIPPEEAESETKKVEGFSKSIDANIICNNPLYQEPKKKDPIGKYSANSGYGSPRKTGFTKITENVNFNRHLDPKKMDPLSRPVGNASSNQRALPSTRTSPKSQLSTTSAAAGATTTAALPANMKANEAKTFSSITNHANLSGRSSTRANSLESSGGANKPHTGGDVRWDAIQLATARGTIGLSNFRLLKRLGYGDIGSVYLVELRGTNAHFAMKVMDKASLASRNKILRAQTEREILGLLDHPFLPTLYNYFETDKFYCIVMEFCSGGNLHSLRQKQPNKHFTEEAARFYASEVLLALEYLHMLGIVYRDLKPENVLVRHEGHIMLSDFDLSLRCSVSPTLVKSSSLHASNNGSGGLGILEDESVVQGCIQPSTFFPRILPGKKSRKSKSDYGLFVGGSMPELMAEPTNVRSMSFVGTHEYLAPEIIRGEGHGSAVDWWTFGVFLYELLHGTTPFKGQGNRATLFNVVGQPLKFPENPQVSMVARDLIRGLLVKEPHKRIAYKRGATEIKQHPFFEGMNWALVRSALPPHVPEPVDFSQYASKEAPPADKKTPDIGGDKKDGSSPENKDESYVEFEYF >Potri.015G060100.1.v4.1 pep chromosome:Pop_tri_v4:15:8386507:8389407:-1 gene:Potri.015G060100.v4.1 transcript:Potri.015G060100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060100.v4.1 MKFIFLIALVFMIVPHAAAYDPLDPNGNITIKWDVMSWTPDGYVATVTMSNFQMYRHIISPGWTLSWSWAKKEVLWSMVGAQTTEQGDCSKFKGNIPHCCKKTPTVVDLLPGVPYNQQFSNCCKGGVMAAWGQDPTASVSAFQVSVGLAGTSNKTVKLPKNFTLLGPGPGYTCGPAKVVPSTVFLTPDRRRKTQALMTWNVTCTYSQFLASKNPTCCVSFSSFYNETITPCPTCACGCQNKNSCVKSNSKESHKKGINTPKKDNTPLLQCTHHMCPIRVHWHVKVNYRDYWRAKVAVTNFNYRMNYTEWTLVVQHPNLNNVTQVFSFDYKPLVPYESINDTGMFYGMKFYNDLLMEAGPFGNVQSEVLLQKDKNTFSLKQGWAFPRKVYFNGDECMLPPPDTYPYLPNSAYANPTSILSMAASLLLILLSMG >Potri.008G158500.6.v4.1 pep chromosome:Pop_tri_v4:8:10853663:10857081:-1 gene:Potri.008G158500.v4.1 transcript:Potri.008G158500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158500.v4.1 MTATLKAEEQNQLQLVEREEMDDEDDLFEAIDKLINQGINAGDVKKLQDAGIYTCNGLMMFTKKNLTGIKGLSEAKVDKICEAAEKIVNYGYITGSDALLKRKSVIHITTGSQALDELLGGGVETSAITEAFGEFRSGKTQLAHTLCVSTQITAGGIADAKD >Potri.008G158500.4.v4.1 pep chromosome:Pop_tri_v4:8:10853663:10857081:-1 gene:Potri.008G158500.v4.1 transcript:Potri.008G158500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158500.v4.1 MTATLKAEEQNQLQLVEREEMDDEDDLFEAIDKLINQGINAGDVKKLQDAGIYTCNGLMMFTKKNLTGIKGLSEAKVDKICEAAEKIVNYGYITGSDALLKRKSVIHITTGSQALDELLGGGVETSAITEAFGEFRSGKTQLAHTLCVSTQCSIGAHPGLSAPIQSLPSSII >Potri.008G158500.5.v4.1 pep chromosome:Pop_tri_v4:8:10853663:10857081:-1 gene:Potri.008G158500.v4.1 transcript:Potri.008G158500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158500.v4.1 MTATLKAEEQNQLQLVEREEMDDEDDLFEAIDKLINQGINAGDVKKLQDAGIYTCNGLMMFTKKNLTGIKGLSEAKVDKICEAAEKIVNYGYITGSDALLKRKSVIHITTGSQALDELLGGGVETSAITEAFGEFRSGKTQLAHTLCVSTQVPLSFCSLIRLLV >Potri.008G193100.2.v4.1 pep chromosome:Pop_tri_v4:8:13586660:13589538:-1 gene:Potri.008G193100.v4.1 transcript:Potri.008G193100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193100.v4.1 MDNESVRIPEVVLVSSDGRKMPVLGMGTSASPLEGSDETKTAILQAIEIGHRHFDTATLYLTEEPLGEAISEALSRGLIKSRDELFITSKLWCSDAHGDLVLPALKKSLRNLQLEYLDLYLIHWPVSSRSGTYEFPINKGDLLPMDFKSVWEAMQECQDLGLTKSIGVSNFSCKKLSDILAFAKIPPAVNQVCLRWAFEQGVCVVLKSFNKGRMKENLEILNWTLSEEESRMIGEIPQSRGCRGEDYISEKGPIKTIEELWDGEI >Potri.008G193100.1.v4.1 pep chromosome:Pop_tri_v4:8:13586660:13589538:-1 gene:Potri.008G193100.v4.1 transcript:Potri.008G193100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G193100.v4.1 MDNESVRIPEVVLVSSDGRKMPVLGMGTSASPLEGSDETKTAILQAIEIGHRHFDTATLYLTEEPLGEAISEALSRGLIKSRDELFITSKLWCSDAHGDLVLPALKKSLRNLQLEYLDLYLIHWPVSSRSGTYEFPINKGDLLPMDFKSVWEAMQECQDLGLTKSIGVSNFSCKKLSDILAFAKIPPAVNQVEINPLWQQNKLREFCEANGIVLTAYAPLGTRGTIWGSNRVMENEVLREIATAKGKSVAQVCLRWAFEQGVCVVLKSFNKGRMKENLEILNWTLSEEESRMIGEIPQSRGCRGEDYISEKGPIKTIEELWDGEI >Potri.018G095100.2.v4.1 pep chromosome:Pop_tri_v4:18:11510094:11511489:1 gene:Potri.018G095100.v4.1 transcript:Potri.018G095100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G095100.v4.1 MASLKTVLVPLVALLVTVASASNFYNDFDITWGDGRAKILSNGELLTLNLDKASGSGFQSRNEYLFGKIDMQLKLVPGNSAGTVTAYYLSSKGSAWDEIDFEFLGNLSGDPYILHTNVFSQGKGNREQQFYLWFDPTADFHTYSILWNPQRIVFSVDGTPIREFKNLESMGVPFPKNQPMRIYSSLWNADDWATRGGLVKTDWALAPFTASYRNFNAEACVLSNGASSCGTTTSPPASTSNAWFSEELDSTRQERLKWVRENYMVYNYCKDVNRFPQGLPTECSMS >Potri.007G145800.1.v4.1 pep chromosome:Pop_tri_v4:7:15432010:15437980:-1 gene:Potri.007G145800.v4.1 transcript:Potri.007G145800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145800.v4.1 MENREDLKSMLPFLPLLLRSSNLFWPSQVVELLKTLSKGPFYSKVDTGELLFNAISNIRDSLALPSLHRLSPFAHEGYALFFDELISREEAAKWFADVVPTLAKLLLRLPSLLESHYQNADNLRNGVKTGFRLLGPQEAGVVFLGQELIGSLLVCAFFCLFPVSDRDDKRLPTINFDHLFEDIYSSYSEKQENKIKCIIHYFERICLSMPEGSVSFERKVLPLEQLPLCVFYPKADFWIKSVVSLCTLEVHSSGFIEDQSSGALEVDFSNKYLGGGALHRGCVQEEIRFMINPELIAGMLFLPCMEDNEAIEIVGAERFSNYTGYASSFRFSGDHVDERDVDSFRRRRTRIVAIDALCNAGMEQYKLEYLLRETNKAFCGFLDQSKCDHKRLFQDGGLKGSQCIQAGKDDDVMVKEFPMDEAPSTSVEIALNGEESVNQVIRYSDKKGSWCEDPEAKIGIATGNWGCGAFGGDPELKSIIQWLAASQALRPSVLYYTFGLKSLQNLNQLSRWILLHGWTVGDLWYMLVEYSSQRFNKETNLGFFAWLLPSLYAHEAP >Potri.007G119000.1.v4.1 pep chromosome:Pop_tri_v4:7:13731625:13733772:-1 gene:Potri.007G119000.v4.1 transcript:Potri.007G119000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G119000.v4.1 MKTELRGNSNTSISLQNPSLFNNPQSSLSGALNGCLGSLDGACIEKLLLHCASALEHNDGTLAQQVMWVLNNVASLVGDPNQRLTSWFLRALISRASKVCPTAMNFDGSSTIRRRNMSVTELAVYVDLIPWHRFGFCASNSAILKAIEGYSKVHILDFSITHCMQWPTLIDALAIRPEGPPSLRITVPSCRPPVPPFLNVSCEEVGLRLSNFAKYRDVPFEFNVFDHDPSSFASSEIMSQESSHDFHFESLLNHLTPAMLDLRDDEALVINCQNWLRYLSDEEKGNSVQDSSLRDAFLCTVKGFNPCIVVVVDEDSDLSAPSLSSRITTCFNFLWIPFDALETFLLKDSSQRIEYESDIGHKIENIISFEGAQRIERLESGIKLAQRMKNAGFSSVPFCEDTIGEVRSLLEEHAGGWGMKREEDHMLVLTWKGHNSVFSTAWVPNGLQE >Potri.001G382400.1.v4.1 pep chromosome:Pop_tri_v4:1:40219227:40224230:-1 gene:Potri.001G382400.v4.1 transcript:Potri.001G382400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G382400.v4.1 MESKVVQFGSSLIVPCVQELAKVNTAAIPPRYIRPDQDQPTIIPSCASVNEIPVVDMQRLLDQESMDSELAKLHLACRDWGFFQLVNHEVSASLLEKVKTDVQDFFNLPMEEKKLFWQYPGEVEGFGQAFVVSEEQKLDWGDLFFMVTQPVHARKPHLFPKLPLPFRDTVEAFSLELKNLGITILGKMAKALKIEAEEVEELFGNGFQSMRMNYYPPCPQPDKVIGLTPHSDAVGLTILLQVNEVEGLQVKKDGKWVPVKPLPNAFIFNVGDILEIITNGTYRSIEHRATVNSEKERLSIATFLSPNYDGVIGPASSLVTEQTPAMFKSTTTEEYFKGLFARELHEKSYLDVMRI >Potri.008G054400.1.v4.1 pep chromosome:Pop_tri_v4:8:3194403:3196335:1 gene:Potri.008G054400.v4.1 transcript:Potri.008G054400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054400.v4.1 MKSLSSVGLGLSIVFGCLLLALVAELYYLLWWKKRFANKEIGDDYSSPARELFFMFCLRKPSSLRHNQELCSSVRITDALVQHEQESQLNINTSKDILLRPWSDDNVETELMRLHSLSGPPRFLFTIVEETKEDLESEDGRSRGDPKSAKGSRSRSLSDLLRTVETPYLTPLSSPPFFTPPLTPSYNQIGFNHLFESSKDAEFNKIRSSPPPKFKFLQDADEKLHRRKLMQEAGEKVQRHDVFAQDHTKIPASSNSHKDEDDGPFITIIIDRNKERELNEQNHQLPDYQSSTSQVLPLATSPSTSKSAAKKSSFFH >Potri.002G089100.2.v4.1 pep chromosome:Pop_tri_v4:2:6434894:6440757:1 gene:Potri.002G089100.v4.1 transcript:Potri.002G089100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089100.v4.1 MERSEPSLVPEWLRSPGSVSGAGNSAHHFASSSSHSDVSSLGNHTRNRSFKSINDFDSPRSAFLDRQSSSNSRRSSINGSAKHPYSSFSRSHRDKDRERDKERSSFGDHWDRDSSDPLGGILTSRNEKDTLRHSHSMVSRKHSEVMLRRAASELKNGSSSNHANSNGLVSGGSFGSSSQKAVFEKDFPSLGNEDREGVPDIARVSSPGLSSSVQNLPVGSSALIGGEGWTSALAEVPTIIGNSSTSSSSTAQTVAASSSGTSSVMAGLNMAEALTQAPLRTRTAPQLSVQTQRLEELAIKQSRQLIPVTPSMPKNLVLSSSDKSKPKTGIRPGEMNMAAKSSQQQSSLHPANQSSVGVHVKSDATKTSGKLFVLKPVWENGVSPSPKDAASPNTSSRTANSQLAAPSVPSPPLRSPNNPKISSVDRKPTSLNLNSGFGGEKRTQSRNNFFNDLKKKTAMNTSSVADSASVVLSPASEKSCEVIKEVVSAPASPQAVQNGAELTSNGGTLEEVQRFSEEEVSFLRSLGWEENSGEEEGLTEEEINAFLQEYITKKPSLKVCRGMLQNPNECHAATLGGASFASSSSDSGSDA >Potri.002G089100.1.v4.1 pep chromosome:Pop_tri_v4:2:6435355:6444921:1 gene:Potri.002G089100.v4.1 transcript:Potri.002G089100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G089100.v4.1 MERSEPSLVPEWLRSPGSVSGAGNSAHHFASSSSHSDVSSLGNHTRNRSFKSINDFDSPRSAFLDRQSSSNSRRSSINGSAKHPYSSFSRSHRDKDRERDKERSSFGDHWDRDSSDPLGGILTSRNEKDTLRHSHSMVSRKHSEVMLRRAASELKNGSSSNHANSNGLVSGGSFGSSSQKAVFEKDFPSLGNEDREGVPDIARVSSPGLSSSVQNLPVGSSALIGGEGWTSALAEVPTIIGNSSTSSSSTAQTVAASSSGTSSVMAGLNMAEALTQAPLRTRTAPQLSVQTQRLEELAIKQSRQLIPVTPSMPKNLVLSSSDKSKPKTGIRPGEMNMAAKSSQQQSSLHPANQSSVGVHVKSDATKTSGKLFVLKPVWENGVSPSPKDAASPNTSSRTANSQLAAPSVPSPPLRSPNNPKISSVDRKPTSLNLNSGFGGEKRTQSRNNFFNDLKKKTAMNTSSVADSASVVLSPASEKSCEVIKEVVSAPASPQAVQNGAELTSNGGTLEEVQRFSEEEVSFLRSLGWEENSGEEEGLTEEEINAFLQEYITKKPSLKVCRGMLQNPNECHAATLGGASFASSSSDSGSDA >Potri.018G145564.1.v4.1 pep chromosome:Pop_tri_v4:18:15434527:15438159:1 gene:Potri.018G145564.v4.1 transcript:Potri.018G145564.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145564.v4.1 MKELRKKQKWILILDNLWNTFELHEVGIPEPVNLKGCKLIMTSRSKRVCQWMDRRREIKVKPLLENEAWYLFKEKVGRDISLTPEVERIAVDIARECAGLPLGIITIAGSLRRVDDLHEWRNTLKKLKESKYRDMEDKVFRLLRFSYDQLHDLALQQCLLYCALFPEDHEIVREELIDYLIDEGVIERVESRQEAIDEGHTMLSRLESVCLLEGIKWYGDYRCVKMHDLIRDMAIQILQENSQGMVKAGARLREVPGAEEWTENLTRVSLMRNHIKEIPSSHSPRCPSLSILLLCRNSELQFIANSFFKQLHGLKVLDLSYTGITKLPDSVSELVSLTTLLLIDCKMLRHVPSLEKLRALKRLDLSGTALEKIPQGMECLYNLKYLRMNGCGEKEFPSGLLPKLSHLQVFELDNRGGQYASITVKGKEVACLRKLESLRCQFEGYSEYVEYLKSRDETQSLSTYQISVGHFTNSRDARARSKTIVLGDLGDFKDMFP >Potri.002G012300.1.v4.1 pep chromosome:Pop_tri_v4:2:754008:755090:1 gene:Potri.002G012300.v4.1 transcript:Potri.002G012300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G012300.v4.1 MAAFVRSLVLALMVSRFITIMASDDDPYDAICSPIWGYFPDCAEYLGGLSHHLPKKCCHSIRKLNEIAKKTKKGPKVVCFCIEAFMVPQDFHLKPSRIKKLPKKCHTDILFPISETMNCSFDER >Potri.017G119750.1.v4.1 pep chromosome:Pop_tri_v4:17:12585132:12585989:-1 gene:Potri.017G119750.v4.1 transcript:Potri.017G119750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119750.v4.1 MKPKSKTHTKTKNSNSLWKWNTNDSKRKNGNQFPVPEFLQDSYKKVEETILGPGGGVGIGCGIGAGFGLVGGLGYGNWPWNNTKLVFGVGMGCGVGFGFGYGNGLGHGFSLDSLESYFEKAGF >Potri.005G214000.1.v4.1 pep chromosome:Pop_tri_v4:5:21739997:21741644:-1 gene:Potri.005G214000.v4.1 transcript:Potri.005G214000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G214000.v4.1 MWTHPYRKNDVEVGARPLYPMMLESPQLRWAFIRKVYSILAFQLLLTIAVAAVVVSVRPIAVFFSTTVAGLGVYILLILMPLFTLLPLYYYHQKHPVNYILLGIFTICLAFAVGLTCAYTEGKVILESVILTTVVVVSLTLYTFWAARRGHDFNFLGPFLFGAIMVLMVFSLIQILFPLGRISVMIYGCLASIIFCGYIIYDTDNLIKRHTYDEYIWAAVSLYLDIINLFLSLLTIFRAADT >Potri.010G187201.1.v4.1 pep chromosome:Pop_tri_v4:10:18377459:18379997:1 gene:Potri.010G187201.v4.1 transcript:Potri.010G187201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G187201.v4.1 MLLDEEGVWKYGKTVNVVFQITFHKCIKILFFSFFKNHFKNSLLLSWYLQWFAVLASSVIKTTMEKVKPKLVSASSVPLLRLLLPKTETNAIGEIDKLLVSPQVSG >Potri.009G108500.3.v4.1 pep chromosome:Pop_tri_v4:9:9392332:9393158:1 gene:Potri.009G108500.v4.1 transcript:Potri.009G108500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108500.v4.1 MRLTKGSNISQVANESARNVSAHYNELYNSQDEVKLYSPDGETPKPKKRGRKRLKADPNEQQLSSPHDDDSEMRFRFYESASARKRTVTAEERERAMNAAKAYAPDNPYCRVVLRPSYLYRGCIMYLPSGFAEKNLNGVSGFIILQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCIFELLKSRDVVLKVTLFRVLEDGGLMNHP >Potri.009G108500.1.v4.1 pep chromosome:Pop_tri_v4:9:9389816:9393551:1 gene:Potri.009G108500.v4.1 transcript:Potri.009G108500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G108500.v4.1 MPRPFFQKLILSSTIREKRLRIPDNFVKKFGHDISSFVRLIVPGGHVSRIGLIKADDKLWFHDGWQQFVERFAIHVGYFLIFRYEGNAIFNVHIFNLPTSEINYHSNSLSGKRYLAFEELDNDENAENSGIPPPQLIVNKSYNPPSLQNLFSGSKLNNCINWSGEDTMRLTKGSNISQVANESARNVSAHYNELYNSQDEVKLYSPDGETPKPKKRGRKRLKADPNEQQLSSPHDDDSEMRFRFYESASARKRTVTAEERERAMNAAKAYAPDNPYCRVVLRPSYLYRGCIMYLPSGFAEKNLNGVSGFIILQLPDGKQWPVRCLYRGGRAKFSQGWYEFTLENNLGEGDVCIFELLKSRDVVLKVTLFRVLEDGGLMNHP >Potri.006G265300.1.v4.1 pep chromosome:Pop_tri_v4:6:26088408:26093456:-1 gene:Potri.006G265300.v4.1 transcript:Potri.006G265300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G265300.v4.1 MQADQTVISLRPGGGGGSSATRGTRFFTPRLDSASLSDASQSFSSFQTGDLRFEDHERIRYTRNQLLQFKEIENVPEDILKIRQEIESEFVGDDQIWSRADGSPQSQSRYSEPDKRDWRDRSGAFGEEKSLEAIRGNKEFSNRQDQLNSQFARAQITANKGVGPAPALIKAEVPWSARRGTLSEEERVLKTVKGILNKLTPEKFDVLKGQLIDSGITTPDILKGVISLIFDKAVLEPTFCPMYALLCSDLNEKLHPFPSDEPGGKEITFKRILLNNCQEAFEGDDNLRADISKLTAPEQEMERRDKERLVRLRTLGNMRLIGELLKQKMVPEKIVHHIVQELLGDDNKTCPAEENVEAICQFFNTIGKQLDKNPKARQVNDVYFSRLKELTTNPQLAPRFRFVVRDVLDLRANSWVPRREEVKAKTISEIHSEAEKNLGLRPGATAVMRNGRNATAFGGVGPGGFPIGRPGAGGMMPGMPGMMKMPGMPGLDSDNWEVPRTRTMPRGNNFGSTQSTGRIPTSLINKSPSINARLLPQGSGGVIDGNTHSLLQGGGTPSRPGFDTRTELVGQTPQPVAPTVPTIPSPQKPLAPTTRSNPEDLRRKTISLLEEYFSVRILDEALQCVEELKDPAFHPEVAKEAIALALEKSPPCVGPVIKLLEFLLTKNVITARDIGTGCLLYGSLLDDIGIDLPKAPNNFGEILGNLVVVQGLDFEVMKELLEKVEDNRFRKAIFDCAMKSINSNPSGQEVLATQGSNIQACESLFS >Potri.009G004400.2.v4.1 pep chromosome:Pop_tri_v4:9:1058885:1062680:1 gene:Potri.009G004400.v4.1 transcript:Potri.009G004400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G004400.v4.1 MDPRYTGEILKHLEKQNELLTSAYNSMSHELHKLQVEEEMLMRKFYDLMAAQGLSKKKEGSNNVSDGGRTGQSTASLPNTVDISDGGIDRHPSAVVPFTATDEQM >Potri.001G247404.1.v4.1 pep chromosome:Pop_tri_v4:1:26411018:26414941:-1 gene:Potri.001G247404.v4.1 transcript:Potri.001G247404.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G247404.v4.1 MSENTTKETQISGEFQSLQSSYRLNGRNYLKWSQIVKTFLKGKGKINHLMDNPPSPEDPKFTLWDEEDSMIMSWLWNSIMPEVCGPYMFLVTAKDIWDAVRQIYSKVKDAALIYEIKMKLSKTKQGNMMVIEYYNIMKSFWLELDYYQDFKMQCSEDAVILKNYVERERIFEFLAGLNIEFDQMRVQILGKESMPSLNKVFSVIRAEEGRRTVMLEVPNTEGSAMMITNSRHLSDASRNQNDAMNGAEVVKTEGRKFFKDDQFCNYCKKTGHTKEICWKLHRKPPRMGRNGGQKWNHSRGHAHLTNSGEAAHESSTLEVREFNKEEIERLRTLLNTMEKPSGSCSLAQNGPSYGEDDWAS >Potri.019G111501.1.v4.1 pep chromosome:Pop_tri_v4:19:13308518:13309139:-1 gene:Potri.019G111501.v4.1 transcript:Potri.019G111501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G111501.v4.1 MPMKKSSLFAAFVAAATATATTFSASSLSSSCNSNVKEAGSNKDQQRSASMDKFAPRYDGLRFIETLVTAHR >Potri.004G078932.2.v4.1 pep chromosome:Pop_tri_v4:4:6535344:6539078:1 gene:Potri.004G078932.v4.1 transcript:Potri.004G078932.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G078932.v4.1 MDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSGSVVMVKSGEMFLESKEKCWNIHGAFNLEAYVKDKLDEIQSSLLGRPTSFRDSNIVDVGSYDELKAAISLGKWARGPWSASDADEKRVKQETGATIRCFPFKQPQGTKTCLMNGGKPAEEVAIFTHIESCPPSDVQMVSFGRERLPMVGPLVQIMHMRCRHVSWMNHDFIILVRVLSALLCGESQKFGNSVPRSE >Potri.005G201350.2.v4.1 pep chromosome:Pop_tri_v4:5:20703024:20703395:1 gene:Potri.005G201350.v4.1 transcript:Potri.005G201350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201350.v4.1 MQGGIWPCFSGKPTSSFNFMLIKTKVAILQFLLTAAISGKTFPALLQICSLQQKNPSSKAFFGIVFCFFRIIPEHSFPVGRYISMIGVEILLLLELGRSWLDFIDQRIVETPCCVLGAFASLC >Potri.001G331100.1.v4.1 pep chromosome:Pop_tri_v4:1:33975164:33976919:1 gene:Potri.001G331100.v4.1 transcript:Potri.001G331100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331100.v4.1 MANPMSLFVLQVVSFLLLYILLLYLFNVILSRFRRVQEFSGHKPPTYPIIGCLISFYKNRGRLLDWYTELLAESATNTIVVHRLGARRTIVTANPKNVEYMLKTNFNNFPKGQPFTEILGDFLGYGIFNVDGELWRAQRKFASHEFSAKSLREFFMITLEEEVEKGLLPILESLAVTAEVVDLQELLRRFAFNMVLKVSLGIDRCCLDPSQPVPPLTRAFDEASEICAKRGAAPLFIVWKMKKWLGIGSERQLKSAVEEVHRYVSEIIINKKRMIEEGESRSEDLLSRLILAGHEEEVIRDMVISFIMAGRDTTSAAMTWLFWLLSCHPDIEKEVVKETRLLMERMLDYDSLKELNLLKACLCESMRLYPPIAWDSKHAVVSDLLPDNTPVRAGDRVTYFPYGMGRMEALWGEDCFKFKPDRWFVEANDRRELQEVCPCKFPIFQAGPRVCLGKEMAFIQMKYVMASILDRFKIKPLSSASPVFVPLLTAHMAGGLEVLVQKRTQTTSINKQLN >Potri.007G048300.1.v4.1 pep chromosome:Pop_tri_v4:7:4478881:4480781:1 gene:Potri.007G048300.v4.1 transcript:Potri.007G048300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G048300.v4.1 MKKMSFLQEQDTHRQSPALVLDGLYCEEEGFGEDYSCGFDDEIGDQNIKKEQTLSSVLLQQDLFWEDNELLSLISKEKETHVRFDGGGSIDGSLMVARREAVEWFLRVKAHYGFSALTGVLAVNYFDRFISSSRFQRDKSWMGQLAAVACLSLAAKVEETHVPLLLDLQVEDAKYIFEAKTIKRMELLVLSTLQWRMNPVTSISFFDHIIRRLGLKTQLHWEFLWRCERLLLSVISDSRFMSYLPSILATVTMLHVIKEGDPRNQLEYQNQLMAVLKTNEDEVNECYKLIIEPSGSQNQRHKRKYLSTPSSPNGVIDASFSSDISNNSWAVASSVSSSSVPQFKRSRAQVQQMRLPSLNCMCVDVLSSPR >Potri.009G134200.6.v4.1 pep chromosome:Pop_tri_v4:9:10865460:10870267:-1 gene:Potri.009G134200.v4.1 transcript:Potri.009G134200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134200.v4.1 MWPYLDKAICKTVKNIAKPIIAEQIPKYKIDAVEFETLTLGTLPPTFHGMKVYVTDEKELIMEPCIKWAGNPNVTVAVKAFGLKATAQVVDLQVFASPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLLGADLMSIPGLYRVVQEIIKDQVANMYLWPKTLEVPILDPANAMKRPVGILKVKVLRAMKLKKKDLMGASDPYVKIKLTEDKLPAKKTTVKHKNLNPEWNEEFNLVVKDPESQALELRVYDWEQVGKHDRMGMNVVPLKDLTPEEPKVMTLDLLKNMDLNDPQNEKSRGQLMVELTYKPFKEDDLNKSFKDEVEQKAPEGTPAGGGLLVVTIHEAQDVEGKHHTNPYVRLLFRGEEMKTKRVKKNRDPRWEEEFQFTLEEPPVNAKLHVEVVSTSSRIGLLHPKESLGYVEINLSDVVSNRRINERYHLIDSKNGKIQIELQWRPAS >Potri.009G134200.5.v4.1 pep chromosome:Pop_tri_v4:9:10865412:10870769:-1 gene:Potri.009G134200.v4.1 transcript:Potri.009G134200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G134200.v4.1 MGFLSTISGFCGFGVGLSTGLTIGYYLFIYFQPTDVKDPEVRPLAEQDSETLQRILPEIPLWVKNPDYDRIDWLNRFIQLMWPYLDKAICKTVKNIAKPIIAEQIPKYKIDAVEFETLTLGTLPPTFHGMKVYVTDEKELIMEPCIKWAGNPNVTVAVKAFGLKATAQVVDLQVFASPRITLKPLVPSFPCFANIYVSLMEKPHVDFGLKLLGADLMSIPGLYRVVQEIIKDQVANMYLWPKTLEVPILDPANAMKRPVGILKVKVLRAMKLKKKDLMGASDPYVKIKLTEDKLPAKKTTVKHKNLNPEWNEEFNLVVKDPESQALELRVYDWEQVGKHDRMGMNVVPLKDLTPEEPKVMTLDLLKNMDLNDPQNEKSRGQLMVELTYKPFKEDDLNKSFKDEVEQKAPEGTPAGGGLLVVTIHEAQDVEGKHHTNPYVRLLFRGEEMKTKRVKKNRDPRWEEEFQFTLEEPPVNAKLHVEVVSTSSRIGLLHPKESLGYVEINLSDVVSNRRINERYHLIDSKNGKIQIELQWRPAS >Potri.010G088600.1.v4.1 pep chromosome:Pop_tri_v4:10:11377667:11382069:-1 gene:Potri.010G088600.v4.1 transcript:Potri.010G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088600.v4.1 MEEPKYTVASDSETTGEEKSSPAFAEIAIGIDIGTSQCSIAVWNGSEVELLKNTRNQKLMRSYVTFKEEVPSGGVSNQISHEYEILSGVAIFNMKRLIGRVDTDPVVHASKRLPFLVQTLDIGVRPFIAALVNNVWRSTTPEEVLAIFLVELRAMAELQLKKPIRNVVLTIPVSFSRFQLTRIERACAMAGLHILRLMPEPTAVALLYAQQQQQTVHENMGSGSEKNALIFNMGAGYCDVAVTATAGGVSQIKALAGAAIGGEDILQNMMQYLLPNSESLFLNHRVNEIKSLGLLRVATEDAIHQLSSRSSVQVDVDLGNGSKIYKVVTREEFERVNLKVFEKCESLVTRCLRDSKVDIEDLTDVILVGGCSYIPKIRNVVKAVCKREELYEAINPLEAAVCGAALEGAVASGITDPFGSLDLLTIQATPLGIGIRADGNSFVPIIPRNTTMPARKELLFTTTHDNQTEALILVYEGEGTKVEENHLLGYFKIVGIPAAPKGVPEINVCMDIDASNTLRVFAGVVIPGTDQPMAPFMEVRMPTVDDGHGWCAEALNRSYGSTLDLVTVQKKM >Potri.006G200900.2.v4.1 pep chromosome:Pop_tri_v4:6:20830511:20834348:-1 gene:Potri.006G200900.v4.1 transcript:Potri.006G200900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200900.v4.1 MLKAKKKLWSPLSKRLLQTRTISSTTCGFLQNQQQHLSNSQPHSPFPFFSYNNNNKKIQTSSSSGFSSHFLSFSGKSFCSAQSSEKTNLRCWNCNAEPQNAPFLVCESCTTIQPVDHSVDYFQIFGLENKYEIEEDHNLEVKYKNWQKKLHPDLVHSKPEKEREFAAEQSARVIDAYRTLNNALSRAIYILKLEGVNVNEEETVSEPELLAEIMEIREAVEEAPDYQALKEIQSLMQEKLQNWSNSFASAFQGHKFEEAKNCIRRMTYYDRVNEEIVKRL >Potri.006G200900.1.v4.1 pep chromosome:Pop_tri_v4:6:20830515:20834355:-1 gene:Potri.006G200900.v4.1 transcript:Potri.006G200900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G200900.v4.1 MLKAKKKLWSPLSKRLLQTRTISSTTCGFLQNQQQHLSNSQPHSPFPFFSYNNNNKKIQTSSSSGFSSHFLSFSGKSFCSAQSSEKTNLRCWNCNAEPQNAPFLVCESCTTIQPVDHSVDYFQIFGLENKYEIEEDHNLEVKYKNWQKKLHPDLVHSKPEKEREFAAEQSARVIDAYRTLNNALSRAIYILKLEGVNVNEEETVSEPELLAEIMEIREAVEEAPDYQALKEIQSLMQEKLQNWSNSFASAFQGHKFEEAKNCIRRMTYYDRVNEEIVKRL >Potri.001G073400.1.v4.1 pep chromosome:Pop_tri_v4:1:5516402:5521320:-1 gene:Potri.001G073400.v4.1 transcript:Potri.001G073400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G073400.v4.1 MMRYQRVSPDCVPLSNGKKPNGVENGRSIPNGFSSTSTNFETKAFRFRSPSRNQDHHNNSTTSPPHSDNSHNHTQRHGTSPSPSPSRVGNGDVLLQWGQKKRARVSRSEIRAFPDESSSSGQARQPINKIPRRVDNKLSPSSMPPPPPPPSSQQQSTSTNTRGGNLKKENSGILSHRNLEKRSGAGNGSPSRNSGGSGKVVSRSTAGKRSPPTPENIDRKMPSSRSAAKDEKPNGSIVVADHQTRQVDSTRAQSEKEAGATNSNPASVPVVASGGEKVNNNEVIEWPRIYIALSRKEKEDDFFAMKGTKLPQRPKKRAKNIDKALQYCFPGMWLSDLTKSRYEVREKKCVKKQKRRGLKGMESMDSDSE >Potri.019G039700.1.v4.1 pep chromosome:Pop_tri_v4:19:5490335:5498972:1 gene:Potri.019G039700.v4.1 transcript:Potri.019G039700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G039700.v4.1 MGFLVDSHKGGCGVGGGGCFRSLIRRKQVDSVHSKGHGHHRLAKELSILHLIAIGVGSTIGAGVYILVGTVAREHSGPALFLSFLVAGIAAALSAFCYAELASRCPSAGSAYHYSYICVGEGVAWLIGWALILEYTIGGSAVARGISPNLALFFGGQDNLPTFLARQHIPGLDVVVDPCAAVLVLVVTGLLCVGIKESTLAQAIVTSINVCAMLFIIIAGTYLGFKTGWAGYELPTGYFPFGVDGMLAGSATVFFAYIGFDSVASTAEEVKNPQRDLPLGIGLSLSICCSLYMLVSVVIVGLVPYYAMDPDTPISSAFSVHGMQWAAYLITAGAVMALCSTLMGSMLPQPRILMAMARDGLLPSFFSDVNRSTQVPVKSTLVTGFGAAVLSFFMDVSQLAGMVSVGTLLAFTMVAISVLILRYVPPDEVPFPSSLQETIDSVSLRYSSQDVYEEKAAIHAGTSKDSKLPLLGKAKTIEYPLLVKQEAQSNFVLNEGKRREIAGWTIAATCIGAVLLTYAASDLSLPSPLRFTTCGVGGALLLSGLIVLTCIEQDDARHSFGHSGGFICPFVPLLPIVCILVNIYLLINLGAATWTRVSVWLIVGVLVYVFYGRKHSSLRDAVYVPATHADEIYRSSGESLA >Potri.008G216000.2.v4.1 pep chromosome:Pop_tri_v4:8:16553205:16555074:1 gene:Potri.008G216000.v4.1 transcript:Potri.008G216000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G216000.v4.1 MDDNPRKSASSSQIPIVIASSECLMNKLPSCLIMDILSRLPIKTILNCRCVCKTWLHYISDSFFAKLHLERSPTSLLVKTISNNPESRSVQLVQITGKPVGLRFRVVEEMKFVQEINLPYNNDFLIENSCNGLLCISQTFQDGSHDDIYLCNPILGEYISIPLAAGQGTRHKSSFSLGYSAITKEYQVLHTFYSKKGPDSQPEAEIYTIGTGKWRGSKLVEKMASPLLKEHITAPVMINFLRAFLHSTLQMVTCLIASLQSFYLSSSDFQVLLRDFR >Potri.008G063400.1.v4.1 pep chromosome:Pop_tri_v4:8:3831457:3835209:1 gene:Potri.008G063400.v4.1 transcript:Potri.008G063400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063400.v4.1 MKSTSRFFTISLVASWYCSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKMVPMQTIRSKTQFLKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAVFAYLMILKREAWLTYATLVPVVTGVVIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVILLPVTLVMEENVVGITVALARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVLGNAKGAVAVVVSILIFRNPVSVTGMLGYSLTVFGVILYSEAKKRSK >Potri.008G063400.4.v4.1 pep chromosome:Pop_tri_v4:8:3831501:3835205:1 gene:Potri.008G063400.v4.1 transcript:Potri.008G063400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G063400.v4.1 MKSTSRFFTISLVASWYCSNIGVLLLNKYLLSNYGFKYPIFLTMCHMTACSLLSYVAIAWMKMVPMQTIRSKTQFLKISALSLVFCVSVVFGNISLRFLPVSFNQAVGATTPFFTAVFAYLMILKREAWLTYATLVPVVTGVVIASGGEPSFHLFGFIMCISATAARALKSVLQGILLSSEGEKLNSMNLLLYMAPIAVVILLPVTLVMEENVVGITVALARDDSKIIWYLLFNSALAYFVNLTNFLVTKHTSALTLQVHL >Potri.006G224200.3.v4.1 pep chromosome:Pop_tri_v4:6:22899427:22903782:-1 gene:Potri.006G224200.v4.1 transcript:Potri.006G224200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224200.v4.1 MMLSKAESRNGDGDGSSNSGQLLRDIEAISKALYLHKTPQKALISPSSARSKSVEKPRLPESKSSLNPQSFNETVSSKDKKSSSAWNWKKPLKALAHIGRQKFNICFFLHVHSIEGLPPSFNGMNLSVHWKRKDVVLQTRAAKVLKGVAEFDETLMHKCSVYGSRSGPYHAAKYEMKLFLIYASIIGAPGIDMGKQWVDLTLLLPLNSEELEGEKSTDKWTTSYKLEGKAKGATLNVSFGFSVLRDNFIESRSNMSVSDLLNLVHDRPAVDPKTGIGHTNSNGMLRRLESVPSDLNRRPPLSSQSVDAKSYHDVSSNLGLELSKSINFLYEKLDEVNWQNSEKLDALSGHMQQLKPKFHLEFELDEADRGNECDIEFTVVEQGIETSEMEQMEPEQDDVQTTDGSAIETIDLDEIIKDDDIAPDEETKFHSEGNIFHGHVDEVLMDDCKHEENSASRKGSIMEDLESAFNNQLISESEKLESQLAMSKFLENENYMETKSNYKANKVAKKSLSLDEFTTSVASDFLNMLGIEHSPFGLSSDSEPESPRERLLREFEKEAIASGSFIMDFDGNREHEELGRIAQAGSSYEDLSDDLDLSLVIQAAEQEHWRASQLLSGRRKVKVLEDLETEALMREWGLDEGAFQNSPRYCSDGFGSPIELLPEKQVELPPLGDGFGPFIHTNDGGCLRSMNPSLFRNSKNAGSLVMQVSCPVVLPAELGSDIMEILQYLASVGITKLSLLTNKLMPLEDITGKILQQIAEDITERKAPLCHESLFGKDPFNRRKEVEGVCSHQFFNNIKSSLIGSEVDWEYVSLEDLAPLAMKKIDAMSIEGLRIQSGMSEEAAPSSISPQSPGKMLAFEGKDANLVGFLSLGGAELHHLDAEDADSGADGLLSLSITLEEWLRLDAGIISEEDEVDEHTIRILAAHRAKCIDFNGRFTGDINWGTASGGKHGLLGNNLTVALKILLRDPLRNFEPVGAPMLALIQVERTSIHPMSKVYGSVLERSRNEEDDHEWIQYEKNDCLWFKITEVHVSGLNTEPGKTQHWATKTQQQSGTRWLVASGMSKSYKQPFSKSKAIVLAYPQLIRNVEAGDILWSISSQAKDTVTRWKDLAGFVPHVRNPNVIFPG >Potri.006G224200.2.v4.1 pep chromosome:Pop_tri_v4:6:22899253:22903812:-1 gene:Potri.006G224200.v4.1 transcript:Potri.006G224200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G224200.v4.1 MMLSKAESRNGDGDGSSNSGQLLRDIEAISKALYLHKTPQKALISPSSARSKSVEKPRLPESKSSLNPQSFNETVSSKDKKSSSAWNWKKPLKALAHIGRQKFNICFFLHVHSIEGLPPSFNGMNLSVHWKRKDVVLQTRAAKVLKGVAEFDETLMHKCSVYGSRSGPYHAAKYEMKLFLIYASIIGAPGIDMGKQWVDLTLLLPLNSEELEGEKSTDKWTTSYKLEGKAKGATLNVSFGFSVLRDNFIESRSNMSVSDLLNLVHDRPAVDPKTGIGHTNSNGMLRRLESVPSDLNRRPPLSSQSVDAKSYHDVSSNLGLELSKSINFLYEKLDEVNWQNSEKLDALSGHMQQLKPKFHLEFELDEADRGNECDIEFTVVEQGIETSEMEQMEPEQDDVQTTDGSAIETIDLDEIIKDDDIAPDEETKFHSEGNIFHGHVDEVLMDDCKHEENSASRKGSIMEDLESAFNNQLISESEKLESQLAMSKFLENENYMETKSNYKANKVAKKSLSLDEFTTSVASDFLNMLGIEHSPFGLSSDSEPESPRERLLREFEKEAIASGSFIMDFDGNREHEELGRIAQAGSSYEDLSDDLDLSLVIQAAEQEHWRASQLLSGRRKVKVLEDLETEALMREWGLDEGAFQNSPRYCSDGFGSPIELLPEKQVELPPLGDGFGPFIHTNDGGCLRSMNPSLFRNSKNAGSLVMQVSCPVVLPAELGSDIMEILQYLASVGITKLSLLTNKLMPLEDITGKILQQIAEDITERKAPLCHESLFGKDPFNRRKEVEGVCSHQFFNNIKSSLIGSEVDWEYVSLEDLAPLAMKKIDAMSIEGLRIQSGMSEEAAPSSISPQSPGKMLAFEGKDANLVGFLSLGGAELHHLDAEDADSGADGLLSLSITLEEWLRLDAGIISEEDEVDEHTIRILAAHRAKCIDFNGRFTGDINWGTASGGKHGLLGNNLTVALKILLRDPLRNFEPVGAPMLALIQVERTSIHPMSKVYGSVLERSRNEEDDHEWIQYEKNDCLWFKITEVHVSGLNTEPGKTQHWATKTQQQSGTRWLVASGMSKSYKQPFSKSKAIVLAYPQLIRNVEAGDILWSISSQAKDTVTRWKDLAGFVPHVRNPNVIFPG >Potri.001G386550.1.v4.1 pep chromosome:Pop_tri_v4:1:40555768:40556124:-1 gene:Potri.001G386550.v4.1 transcript:Potri.001G386550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G386550.v4.1 MEESSATTFPIMRANDWRIPIKEYLLTWTVPSDRLEAIKLTKRASGYCIIDGLLYRRSTSSPLLKCLSSEENIYVLREMHEGVYGLHAGFRALAAQITKAGFLLAHHITRFQRPSEEV >Potri.013G104000.2.v4.1 pep chromosome:Pop_tri_v4:13:11295688:11297514:1 gene:Potri.013G104000.v4.1 transcript:Potri.013G104000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G104000.v4.1 MYTIKIADDPETYNRVLIYRPQKNIVSQLELISLWEKKTGKTFNRIHVPEDEIVKLSETLLHPQNIPVSILHSLFVKGDMMGFELGEDDLEASGLYPDLEFRTIDQLLDIFLTSPPDPAAAAFE >Potri.002G237800.4.v4.1 pep chromosome:Pop_tri_v4:2:23023804:23031903:-1 gene:Potri.002G237800.v4.1 transcript:Potri.002G237800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237800.v4.1 MAADQRRNRLNGASLEGCSSWEPYRTKKKKKSKHDLNAKSLISLEWDGNRKKVIAKREQIGISQRDLRPFIDSVPQYHNLLADAFPVPREIFELKNLTEVLSNEVWQTHLSENERNFLMQFLPTGLGTVEVVEALLSGDNFRFGNPLLRWGASLCSGNHHPDAVLCQEQHLKADKKAYYSNLQDYHNDMITYLQKLKDAWESSKDPEKEVLQKMWRSRSDADKRISPCDNESKFHDLGENLVVTSESSSLVAEEKASSSDNQSSPATKGGEFQKRIFEKGSMKEKRRKPLVASDHATPGKEDKIHKRNIYRSDGAKYMSYLKISKKQHQLVKSMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEHKKLLEHWMQLAHKDLPAAYAIWRQRQFQRQEITKSMEQEMKGKLKYPVEYLEKDGHETVLQDQSDQGANKHETSLEDMQEQNHEIMLQGQNDHGTRYQESDNSEDGISGSISPQDQSPQHISSLSVGQDLNPVDMNMENNHVHSNSNSDEASPHVSEYSGSMHATDTSINQGIPISSSGGDVWSAASIPNSYYDSSANHEYTSTGGLSLPHQVNEEQRSQLIDLGSKVHEEDAGKDLLHGQSDDGSFSSYPNHDRSGLLQSLFKSQAMLPYHSEQKQNGLDFQSPNGVIMQDGQFTGNLQGQLQPLLSLEPGQKRHTEDYLQQNITEDIYSEGGGFLIPRQGNAPPVILQDWNVNPVRMPARLQSHLNDGGLLTQNWFSGEHQVCRDWTGAGGPSVSNQSIGSNADQSLFSVLSQCNQLHTRNPINQLRSGSPVNQRSSGPFDLVGSAEQFVLPRNYGMVSGVTPRISNTLPQAVHPLDYFGGRDTASSLMPDDMGWMTLPHNSALHDPVGKPHLRSWNQ >Potri.002G237800.5.v4.1 pep chromosome:Pop_tri_v4:2:23023778:23032187:-1 gene:Potri.002G237800.v4.1 transcript:Potri.002G237800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237800.v4.1 MAADQRRNRLNGASLEGCSSWEPYRTKKKKKSKHDLNAKSLISLEWDGNRKKVIAKREQIGISQRDLRPFIDSVPQYHNLLADAFPVPREIFELKNLTEVLSNEVWQTHLSENERNFLMQFLPTGLGTVEVVEALLSGDNFRFGNPLLRWGASLCSGNHHPDAVLCQEQHLKADKKAYYSNLQDYHNDMITYLQKLKDAWESSKDPEKEVLQKMWRSDADKRISPCDNESKFHDLGENLVVTSESSSLVAEEKASSSDNQSSPATKGGEFQKRIFEKGSMKEKRRKPLVASDHATPGKEDKIHKRNIYRSDGAKYMSYLKISKKQHQLVKSMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEHKKLLEHWMQLAHKDLPAAYAIWRQRQFQRQEITKSMEQEMKGKLKYPVEYLEKDGHETVLQDQSDQGANKHETSLEDMQEQNHEIMLQGQNDHGTRYQESDNSEDGISGSISPQDQSPQHISSLSVGQDLNPVDMNMENNHVHSNSNSDEASPHVSEYSGSMHATDTSINQGIPISSSGGDVWSAASIPNSYYDSSANHEYTSTGGLSLPHQVNEEQRSQLIDLGSKVHEEDAGKDLLHGQSDDGSFSSYPNHDRSGLLQSLFKSQAMLPYHSEQKQNGLDFQSPNGVIMQDGQFTGNLQGQLQPLLSLEPGQKRHTEDYLQQNITEDIYSEGGGFLIPRQGNAPPVILQDWNVNPVRMPARLQSHLNDGGLLTQNWFSGEHQVCRDWTGAGGPSVSNQSIGSNADQSLFSVLSQCNQLHTRNPINQLRSGSPVNQRSSGPFDLVGSAEQFVLPRNYGMVSGVTPRISNTLPQAVHPLDYFGGRDTASSLMPDDMGWMTLPHNSALHDPVGKPHLRSWNQ >Potri.002G237800.1.v4.1 pep chromosome:Pop_tri_v4:2:23023779:23032187:-1 gene:Potri.002G237800.v4.1 transcript:Potri.002G237800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237800.v4.1 MAADQRRNRLNGASLEGCSSWEPYRTKKKKKSKHDLNAKSLISLEWDGNRKKVIAKREQIGISQRDLRPFIDSVPQYHNLLADAFPVPREIFELKNLTEVLSNEVWQTHLSENERNFLMQFLPTGLGTVEVVEALLSGDNFRFGNPLLRWGASLCSGNHHPDAVLCQEQHLKADKKAYYSNLQDYHNDMITYLQKLKDAWESSKDPEKEVLQKMWRRSRSDADKRISPCDNESKFHDLGENLVVTSESSSLVAEEKASSSDNQSSPATKGGEFQKRIFEKGSMKEKRRKPLVASDHATPGKEDKIHKRNIYRSDGAKYMSYLKISKKQHQLVKSMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEHKKLLEHWMQLAHKDLPAAYAIWRQRQFQRQEITKSMEQEMKGKLKYPVEYLEKDGHETVLQDQSDQGANKHETSLEDMQEQNHEIMLQGQNDHGTRYQESDNSEDGISGSISPQDQSPQHISSLSVGQDLNPVDMNMENNHVHSNSNSDEASPHVSEYSGSMHATDTSINQGIPISSSGGDVWSAASIPNSYYDSSANHEYTSTGGLSLPHQVNEEQRSQLIDLGSKVHEEDAGKDLLHGQSDDGSFSSYPNHDRSGLLQSLFKSQAMLPYHSEQKQNGLDFQSPNGVIMQDGQFTGNLQGQLQPLLSLEPGQKRHTEDYLQQNITEDIYSEGGGFLIPRQGNAPPVILQDWNVNPVRMPARLQSHLNDGGLLTQNWFSGEHQVCRDWTGAGGPSVSNQSIGSNADQSLFSVLSQCNQLHTRNPINQLRSGSPVNQRSSGPFDLVGSAEQFVLPRNYGMVSGVTPRISNTLPQAVHPLDYFGGRDTASSLMPDDMGWMTLPHNSALHDPVGKPHLRSWNQ >Potri.002G237800.3.v4.1 pep chromosome:Pop_tri_v4:2:23023779:23031413:-1 gene:Potri.002G237800.v4.1 transcript:Potri.002G237800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237800.v4.1 MAADQRRNRLNGASLEGCSSWEPYRTKKKKKSKHDLNAKSLISLEWDGNRKKVIAKREQIGISQRDLRPFIDSVPQYHNLLADAFPVPREIFELKNLTEVLSNEVWQTHLSENERNFLMQFLPTGLGTVEVVEALLSGDNFRFGNPLLRWGASLCSGNHHPDAVLCQEQHLKADKKAYYSNLQDYHNDMITYLQKLKDAWESSKDPEKEVLQKMWRRSRSDADKRISPCDNESKFHDLGENLVVTSESSSLVAEEKASSSDNQSSPATKGGEFQKRIFEKGSMKEKRRKPLVASDHATPGKEDKIHKRNIYRSDGAKYMSYLKISKKQHQLVKSMKQSGKSIQSKSLNCVLGDLDTLHVQPYEEFVKEEHKKLLEHWMQLAHKDLPAAYAIWRQRQFQRQEITKSMEQEMKGKLKYPVEYLEKDGHETVLQDQSDQGANKHETSLEDMQEQNHEIMLQGQNDHGTRYQESDNSEDGISGSISPQDQSPQHISSLSVGQDLNPVDMNMENNHVHSNSNSDEASPHVSEYSGSMHATDTSINQGIPISSSGGDVWSAASIPNSYYDSSANHEYTSTGGLSLPHQVNEEQRSQLIDLGSKVHEEDAGKDLLHGQSDDGSFSSYPNHDRSGLLQSLFKSQAMLPYHSEQKQNGLDFQSPNGVIMQDGQFTGNLQGQLQPLLSLEPGQKRHTEDYLQQNITEDIYSEGGGFLIPRQGNAPPVILQDWNVNPVRMPARLQSHLNDGGLLTQNWFSGEHQVCRDWTGAGGPSVSNQSIGSNADQSLFSVLSQCNQLHTRNPINQLRSGSPVNQRSSGPFDLVGSAEQFVLPRNYGMVSGVTPRISNTLPQAVHPLDYFGGRDTASSLMPDDMGWMTLPHNSALHDPVGKPHLRSWNQ >Potri.001G116600.4.v4.1 pep chromosome:Pop_tri_v4:1:9470288:9472955:1 gene:Potri.001G116600.v4.1 transcript:Potri.001G116600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116600.v4.1 MAEELTAKAAHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSFCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPNTALLPSPSCGGGGATVVHTSSNRKRPVVSSSGISGSGGVASSTYPVTAVGSTYQVSPLAIVDPSRFSDEIAMLPQQPHLMLSGGKDDLGALAMLEDSVKKLKSPKTLPGQALSKTQIDCAFDYLADWVYESCGSVSFTSLEHPKFRAFLNQVGLPVVSRRDFVGGRLNVKYEEARAESEARIRDAMFFQIASDGWKVKSNGGFGDVNLVNLTVNLPNGTGLYRRAVFVSGSVPSKYAEEVFWETITGICGSLVQQCVGIVADRFKAKALRNLENQNHWMVNLSCQLQGFTSLIKDFSKELPLFRTVSENCFKLASFINNKTPIRNSFHKYQLQEYGNAGLLRVPLRGYEKMDFGPVYTMLEDIMSSAQALQLVLQDESYKIVSMEDPTSREVAEMIRDVGFWNDLDAVHSLVKLIKEMAQEIEIERPLVGQCLPLWDELRAKVKDWCSKFHIAEGAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDNSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMRIVNPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVCAHGHSREGMDKVQKLIFIAAHSKLDRREVLSDEDKDADLFALANGEDDVLNEVLVDTSSV >Potri.001G116600.1.v4.1 pep chromosome:Pop_tri_v4:1:9469868:9474856:1 gene:Potri.001G116600.v4.1 transcript:Potri.001G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116600.v4.1 MATTTNTATTPPPPPQQQVDTAMAEELTAKAAHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSFCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPNTALLPSPSCGGGGATVVHTSSNRKRPVVSSSGISGSGGVASSTYPVTAVGSTYQVSPLAIVDPSRFSDEIAMLPQQPHLMLSGGKDDLGALAMLEDSVKKLKSPKTLPGQALSKTQIDCAFDYLADWVYESCGSVSFTSLEHPKFRAFLNQVGLPVVSRRDFVGGRLNVKYEEARAESEARIRDAMFFQIASDGWKVKSNGGFGDVNLVNLTVNLPNGTGLYRRAVFVSGSVPSKYAEEVFWETITGICGSLVQQCVGIVADRFKAKALRNLENQNHWMVNLSCQLQGFTSLIKDFSKELPLFRTVSENCFKLASFINNKTPIRNSFHKYQLQEYGNAGLLRVPLRGYEKMDFGPVYTMLEDIMSSAQALQLVLQDESYKIVSMEDPTSREVAEMIRDVGFWNDLDAVHSLVKLIKEMAQEIEIERPLVGQCLPLWDELRAKVKDWCSKFHIAEGAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDNSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMRIVNPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVCAHGHSREGMDKVQKLIFIAAHSKLDRREVLSDEDKDADLFALANGEDDVLNEVLVDTSSVSVRQRYCWTGETTVRLLDVSTMPWTMIKGGILPEGSKDLT >Potri.001G116600.5.v4.1 pep chromosome:Pop_tri_v4:1:9470380:9473029:1 gene:Potri.001G116600.v4.1 transcript:Potri.001G116600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116600.v4.1 MVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSFCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPNTALLPSPSCGGGGATVVHTSSNRKRPVVSSSGISGSGGVASSTYPVTAVGSTYQVSPLAIVDPSRFSDEIAMLPQQPHLMLSGGKDDLGALAMLEDSVKKLKSPKTLPGQALSKTQIDCAFDYLADWVYESCGSVSFTSLEHPKFRAFLNQVGLPVVSRRDFVGGRLNVKYEEARAESEARIRDAMFFQIASDGWKVKSNGGFGDVNLVNLTVNLPNGTGLYRRAVFVSGSVPSKYAEEVFWETITGICGSLVQQCVGIVADRFKAKALRNLENQNHWMVNLSCQLQGFTSLIKDFSKELPLFRTVSENCFKLASFINNKTPIRNSFHKYQLQEYGNAGLLRVPLRGYEKMDFGPVYTMLEDIMSSAQALQLVLQDESYKIVSMEDPTSREVAEMIRDVGFWNDLDAVHSLVKLIKEMAQEIEIERPLVGQCLPLWDELRAKVKDWCSKFHIAEGAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDNSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMRIVNPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVCAHGHSREGMDKVQKLIFIAAHSKLDRREVLSDEDKDADLFALANGEDDVLNEVLVDTSSV >Potri.001G116600.3.v4.1 pep chromosome:Pop_tri_v4:1:9470099:9472825:1 gene:Potri.001G116600.v4.1 transcript:Potri.001G116600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116600.v4.1 MATTTNTATTPPPPPQQQVDTAMAEELTAKAAHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSFCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPNTALLPSPSCGGGGATVVHTSSNRKRPVVSSSGISGSGGVASSTYPVTAVGSTYQVSPLAIVDPSRFSDEIAMLPQQPHLMLSGGKDDLGALAMLEDSVKKLKSPKTLPGQALSKTQIDCAFDYLADWVYESCGSVSFTSLEHPKFRAFLNQVGLPVVSRRDFVGGRLNVKYEEARAESEARIRDAMFFQIASDGWKVKSNGGFGDVNLVNLTVNLPNGTGLYRRAVFVSGSVPSKYAEEVFWETITGICGSLVQQCVGIVADRFKAKALRNLENQNHWMVNLSCQLQGFTSLIKDFSKELPLFRTVSENCFKLASFINNKTPIRNSFHKYQLQEYGNAGLLRVPLRGYEKMDFGPVYTMLEDIMSSAQALQLVLQDESYKIVSMEDPTSREVAEMIRDVGFWNDLDAVHSLVKLIKEMAQEIEIERPLVGQCLPLWDELRAKVKDWCSKFHIAEGAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDNSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMRIVNPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVCAHGHSREGMDKVQKLIFIAAHSKLDRREVLSDEDKDADLFALANGEDDVLNEVLVDTSSV >Potri.001G116600.2.v4.1 pep chromosome:Pop_tri_v4:1:9469918:9475152:1 gene:Potri.001G116600.v4.1 transcript:Potri.001G116600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116600.v4.1 MATTTNTATTPPPPPQQQVDTAMAEELTAKAAHKRYEGLVMVRTKAIKGKGAWYWAHLEPMLVHNTDTGLPKAVKLRCSFCDAVFSASNPSRTASEHLKRGTCPNFNSLPKPISSISPNTALLPSPSCGGGGATVVHTSSNRKRPVVSSSGISGSGGVASSTYPVTAVGSTYQVSPLAIVDPSRFSDEIAMLPQQPHLMLSGGKDDLGALAMLEDSVKKLKSPKTLPGQALSKTQIDCAFDYLADWVYESCGSVSFTSLEHPKFRAFLNQVGLPVVSRRDFVGGRLNVKYEEARAESEARIRDAMFFQIASDGWKVKSNGGFGDVNLVNLTVNLPNGTGLYRRAVFVSGSVPSKYAEEVFWETITGICGSLVQQCVGIVADRFKAKALRNLENQNHWMVNLSCQLQGFTSLIKDFSKELPLFRTVSENCFKLASFINNKTPIRNSFHKYQLQEYGNAGLLRVPLRGYEKMDFGPVYTMLEDIMSSAQALQLVLQDESYKIVSMEDPTSREVAEMIRDVGFWNDLDAVHSLVKLIKEMAQEIEIERPLVGQCLPLWDELRAKVKDWCSKFHIAEGAVEKVIERRFKKNYHPAWAAAYILDPLYLLRDNSGKYLPPFKCLTPEQEKDVDKLITRLVSREEAHIALMELMKWRTEGLDPVYARAVQMKERDPITGKMRIVNPQSSRLVWETYLTEFKSLGKVAVRLIFLHATSCGFKCNWSLLRWVCAHGHSREGMDKVQKLIFIAAHSKLDRREVLSDEDKDADLFALANEAFDKDIVGLEKPP >Potri.008G185900.1.v4.1 pep chromosome:Pop_tri_v4:8:12911940:12912218:-1 gene:Potri.008G185900.v4.1 transcript:Potri.008G185900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G185900.v4.1 MPLTNCPKHTNQHRAKHHRNNPETMNDRHQDPAIFNYNRHSQAVEHERGAQVCVKVGHYVVCDESVDEVAEEYIKLRHKKFELSKSMSTKAG >Potri.018G083900.3.v4.1 pep chromosome:Pop_tri_v4:18:10180657:10187804:-1 gene:Potri.018G083900.v4.1 transcript:Potri.018G083900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083900.v4.1 MTPVLPCSTSNICLIPGTAFSINKNNSLKNGSLSRKSTKYASSSQRLVLPLPGFVKLFPQYHRDCAMVHRSVAHTVSATGTDVAVEEPDSPVVDKDSDGVSEIPADAVETIDSSTKAGSSPAPAQSSRSKGSRKSEMPPVKNEDLVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSKLSDSFVKDVGSVVSVGQEVKVRLVEANTETGRISLTMRENDDTSKFQQRNDSPATGSSNRQAARRNTSKPNQRKDEVKSSKFVKGQNLEGTVKNLTRSGAFISLPEGEEGFLPRSEESDDVFAGMMGDSSLQIGQEVSVRVLRITRGQVTLTMKKEDADKRDTELIQGIVHTATNPFMLAFRKNKDIAAFLDEREIATEQPEKPIPSVQIGEKNQTEPLPNIAEVQDQPVSNDEVSSGIPSMVDESVEGDETSLKEVVVGANVASDEKQPETVESSVDSTLQTVEKEAEVTGYKEPESIESSTPQNVDDTVQTLEKKAVADDDKEPESMESSTSQNADDTVQALEKEAEANDKEPESIESSLSQSVDDSVTGSDKVESIENSDASGDTSEAQIISSESRTSEEVVESQVKSIEDEMQIQTPAAETEITSASQLEDKKVEPAPEINGTVGASNGQSGSLSPKESVTTATISPVLVKQLREDTGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDSRIGVLVEANCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVTEDVPEDILNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKTLEELALLEQPYIKNDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAEPAKELPAEAEAKETAQKPPAVVVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSAADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSVEDIPENIRNKEKELEMQRDDLMSKPENIREKIVEGRISKRFGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRLTLGESTEDTETGAQA >Potri.018G083900.4.v4.1 pep chromosome:Pop_tri_v4:18:10180657:10187761:-1 gene:Potri.018G083900.v4.1 transcript:Potri.018G083900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083900.v4.1 MTPVLPCSTSNICLIPGTAFSINKNNSLKNGSLSRKSTKYASSSQRLVLPLPGFVKLFPQYHRDCAMVHRSVAHTVSATGTDVAVEEPDSPVVDKDSDGVSEIPADAVETIDSSTKAGSSPAPAQSSRSKGSRKSEMPPVKNEDLVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSKLSDSFVKDVGSVVSVGQEVKVRLVEANTETGRISLTMRENDDTSKFQQRNDSPATGSSNRQAARRNTSKPNQRKDEVKSSKFVKGQNLEGTVKNLTRSGAFISLPEGEEGFLPRSEESDDVFAGMMGDSSLQIGQEVSVRVLRITRGQVTLTMKKEDADKRDTELIQGIVHTATNPFMLAFRKNKDIAAFLDEREIATEQPEKPIPSVQIGEKNQTEPLPNIAEVQDQPVSNDEVSSGIPSMVDESVEGDETSLKEVVVGANVASDEKQPETVESSVDSTLQTVEKEAEVTGYKEPESIESSTPQNVDDTVQTLEKKAVADDDKEPESMESSTSQNADDTVQALEKEAEANDKEPESIESSLSQSVDDSVTGSDKVESIENSDASGDTSEAQIISSESRTSEEVVESQVKSIEDEMQIQTPAAETEITSASQLEDKKVEPAPEINGTVGASNGQSGSLSPKESVTTATISPVLVKQLREDTGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDSRIGVLVEANCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVTEDVPEDILNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKTLEELALLEQPYIKNDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAEPAKELPAEAEAKETAQKPPAVVVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSAADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSVEDIPENIRNKEKELEMQRDDLMSKPENIREKIVEGRISKRFGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRLTLGESTEDTETGAQA >Potri.018G083900.1.v4.1 pep chromosome:Pop_tri_v4:18:10180658:10187694:-1 gene:Potri.018G083900.v4.1 transcript:Potri.018G083900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083900.v4.1 MTPVLPCSTSNICLIPGTAFSINKNNSLKNGSLSRKSTKYASSSQRLVLPLPGFVKLFPQYHRDCAMVHRSVAHTVSATGTDVAVEEPDSPVVDKDSDGVSEIPADAVETIDSSTKAGSSPAPAQSSRSKGSRKSEMPPVKNEDLVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSKLSDSFVKDVGSVVSVGQEVKVRLVEANTETGRISLTMRENDDTSKFQQRNDSPATGSSNRQAARRNTSKPNQRKDEVKSSKFVKGQNLEGTVKNLTRSGAFISLPEGEEGFLPRSEESDDVFAGMMGDSSLQIGQEVSVRVLRITRGQVTLTMKKEDADKRDTELIQGIVHTATNPFMLAFRKNKDIAAFLDEREIATEQPEKPIPSVQIGEKNQTEPLPNIAEVQDQPVSNDEVSSGIPSMVDESVEGDETSLKEVVVGANVASDEKQPETVESSVDSTLQTVEKEAEVTGYKEPESIESSTPQNVDDTVQTLEKKAVADDDKEPESMESSTSQNADDTVQALEKEAEANDKEPESIESSLSQSVDDSVTGSDKVESIENSDASGDTSEAQIISSESRTSEEVVESQVKSIEDEMQIQTPAAETEITSASQLEDKKVEPAPEINGTVGASNGQSGSLSPKESVTTGYDKSIRATFDQLQATISPVLVKQLREDTGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDSRIGVLVEANCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVTEDVPEDILNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKTLEELALLEQPYIKNDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAEPAKELPAEAEAKETAQKPPAVVVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSAADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSVEDIPENIRNKEKELEMQRDDLMSKPENIREKIVEGRISKRFGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRLTLGESTEDTETGAQA >Potri.018G083900.5.v4.1 pep chromosome:Pop_tri_v4:18:10180657:10187720:-1 gene:Potri.018G083900.v4.1 transcript:Potri.018G083900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083900.v4.1 MTPVLPCSTSNICLIPGTAFSINKNNSLKNGSLSRKSTKYASSSQRLVLPLPGFVKLFPQYHRDCAMVHRSVAHTVSATGTDVAVEEPDSPVVDKDSDGVSEIPADAVETIDSSTKAGSSPAPAQSSRSKGSRKSEMPPVKNEDLVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSKLSDSFVKDVGSVVSVGQEVKVRLVEANTETGRISLTMRENDDTSKFQQRNDSPATGSSNRQAARRNTSKPNQRKDEVKSSKFVKGQNLEGTVKNLTRSGAFISLPEGEEGFLPRSEESDDVFAGMMGDSSLQIGQEVSVRVLRITRGQVTLTMKKEDADKRDTELIQGIVHTATNPFMLAFRKNKDIAAFLDEREIATEQPEKPIPSVQIGEKNQTEPLPNIAEVQDQPVSNDEVSSGIPSMVDESVEGDETSLKEVVVGANVASDEKQPETVESSVDSTLQTVEKEAEVTGYKEPESIESSTPQNVDDTVQTLEKKAVADDDKEPESMESSTSQNADDTVQALEKEAEANDKEPESIESSLSQSVDDSVTGSDKVESIENSDASGDTSEAQIISSESRTSEEVVESQVKSIEDEMQIQTPAAETEITSASQLEDKKVEPAPEINGTVGASNGQSGSLSPKESVTTATISPVLVKQLREDTGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDSRIGVLVEANCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVTEDVPEDILNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKTLEELALLEQPYIKNDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAEPAKELPAEAEAKETAQKPPAVVVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSAADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSVEDIPENIRNKEKELEMQRDDLMSKPENIREKIVEGRISKRFGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRLTLGESTEDTETGAQA >Potri.018G083900.6.v4.1 pep chromosome:Pop_tri_v4:18:10180657:10187715:-1 gene:Potri.018G083900.v4.1 transcript:Potri.018G083900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083900.v4.1 MTPVLPCSTSNICLIPGTAFSINKNNSLKNGSLSRKSTKYASSSQRLVLPLPGFVKLFPQYHRDCAMVHRSVAHTVSATGTDVAVEEPDSPVVDKDSDGVSEIPADAVETIDSSTKAGSSPAPAQSSRSKGSRKSEMPPVKNEDLVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSKLSDSFVKDVGSVVSVGQEVKVRLVEANTETGRISLTMRENDDTSKFQQRNDSPATGSSNRQAARRNTSKPNQRKDEVKSSKFVKGQNLEGTVKNLTRSGAFISLPEGEEGFLPRSEESDDVFAGMMGDSSLQIGQEVSVRVLRITRGQVTLTMKKEDADKRDTELIQGIVHTATNPFMLAFRKNKDIAAFLDEREIATEQPEKPIPSVQIGEKNQTEPLPNIAEVQDQPVSNDEVSSGIPSMVDESVEGDETSLKEVVVGANVASDEKQPETVESSVDSTLQTVEKEAEVTGYKEPESIESSTPQNVDDTVQTLEKKAVADDDKEPESMESSTSQNADDTVQALEKEAEANDKEPESIESSLSQSVDDSVTGSDKVESIENSDASGDTSEAQIISSESRTSEEVVESQVKSIEDEMQIQTPAAETEITSASQLEDKKVEPAPEINGTVGASNGQSGSLSPKESVTTATISPVLVKQLREDTGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDSRIGVLVEANCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVTEDVPEDILNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKTLEELALLEQPYIKNDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAEPAKELPAEAEAKETAQKPPAVVVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSAADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSVEDIPENIRNKEKELEMQRDDLMSKPENIREKIVEGRISKRFGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRLTLGESTEDTETGAQA >Potri.018G083900.8.v4.1 pep chromosome:Pop_tri_v4:18:10180657:10186408:-1 gene:Potri.018G083900.v4.1 transcript:Potri.018G083900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083900.v4.1 MTPVLPCSTSNICLIPGTAFSINKNNSLKNGSLSRKSTKYASSSQRLVLPLPGFVKLFPQYHRDCAMVHRSVAHTVSATGTDVAVEEPDSPVVDKDSDGVSEIPADAVETIDSSTKAGSSPAPAQSSRSKGSRKSEMPPVKNEDLVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSKLSDSFVKDVGSVVSVGQEVKVRLVEANTETGRISLTMRENDDTSKFQQRNDSPATGSSNRQAARRNTSKPNQRKDEVKSSKFVKGQNLEGTVKNLTRSGAFISLPEGEEGFLPRSEESDDVFAGMMGDSSLQIGQEVSVRVLRITRGQVTLTMKKEDADKRDTELIQGIVHTATNPFMLAFRKNKDIAAFLDEREIATEQPEKPIPSVQIGEKNQTEPLPNIAEVQDQPVSNDEVSSGIPSMVDESVEGDETSLKEVVVGANVASDEKQPETVESSVDSTLQTVEKEAEVTGYKEPESIESSTPQNVDDTVQTLEKKAVADDDKEPESMESSTSQNADDTVQALEKEAEANDKEPESIESSLSQSVDDSVTGSDKVESIENSDASGDTSEAQIISSESRTSEEVVESQVKSIEDEMQIQTPAAETEITSASQLEDKKVEPAPEINGTVGASNGQSGSLSPKESVTTATISPVLVKQLREDTGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDSRIGVLVEANCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVTEDVPEDILNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKTLEELALLEQPYIKNDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAEPAKELPAEAEAKETAQKPPAVVVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSAADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSVEDIPENIRNKEKELEMQRDDLMSKPENIREKIVEGRISKRFGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRLTLGESTEDTETGAQA >Potri.018G083900.2.v4.1 pep chromosome:Pop_tri_v4:18:10180657:10187883:-1 gene:Potri.018G083900.v4.1 transcript:Potri.018G083900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083900.v4.1 MTPVLPCSTSNICLIPGTAFSINKNNSLKNGSLSRKSTKYASSSQRLVLPLPGFVKLFPQYHRDCAMVHRSVAHTVSATGTDVAVEEPDSPVVDKDSDGVSEIPADAVETIDSSTKAGSSPAPAQSSRSKGSRKSEMPPVKNEDLVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSKLSDSFVKDVGSVVSVGQEVKVRLVEANTETGRISLTMRENDDTSKFQQRNDSPATGSSNRQAARRNTSKPNQRKDEVKSSKFVKGQNLEGTVKNLTRSGAFISLPEGEEGFLPRSEESDDVFAGMMGDSSLQIGQEVSVRVLRITRGQVTLTMKKEDADKRDTELIQGIVHTATNPFMLAFRKNKDIAAFLDEREIATEQPEKPIPSVQIGEKNQTEPLPNIAEVQDQPVSNDEVSSGIPSMVDESVEGDETSLKEVVVGANVASDEKQPETVESSVDSTLQTVEKEAEVTGYKEPESIESSTPQNVDDTVQTLEKKAVADDDKEPESMESSTSQNADDTVQALEKEAEANDKEPESIESSLSQSVDDSVTGSDKVESIENSDASGDTSEAQIISSESRTSEEVVESQVKSIEDEMQIQTPAAETEITSASQLEDKKVEPAPEINGTVGASNGQSGSLSPKESVTTATISPVLVKQLREDTGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDSRIGVLVEANCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVTEDVPEDILNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKTLEELALLEQPYIKNDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAEPAKELPAEAEAKETAQKPPAVVVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSAADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSVEDIPENIRNKEKELEMQRDDLMSKPENIREKIVEGRISKRFGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRLTLGESTEDTETGAQA >Potri.018G083900.7.v4.1 pep chromosome:Pop_tri_v4:18:10180657:10187615:-1 gene:Potri.018G083900.v4.1 transcript:Potri.018G083900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G083900.v4.1 MTPVLPCSTSNICLIPGTAFSINKNNSLKNGSLSRKSTKYASSSQRLVLPLPGFVKLFPQYHRDCAMVHRSVAHTVSATGTDVAVEEPDSPVVDKDSDGVSEIPADAVETIDSSTKAGSSPAPAQSSRSKGSRKSEMPPVKNEDLVPGATFTGKVRSIQPFGAFVDFGAFTDGLVHVSKLSDSFVKDVGSVVSVGQEVKVRLVEANTETGRISLTMRENDDTSKFQQRNDSPATGSSNRQAARRNTSKPNQRKDEVKSSKFVKGQNLEGTVKNLTRSGAFISLPEGEEGFLPRSEESDDVFAGMMGDSSLQIGQEVSVRVLRITRGQVTLTMKKEDADKRDTELIQGIVHTATNPFMLAFRKNKDIAAFLDEREIATEQPEKPIPSVQIGEKNQTEPLPNIAEVQDQPVSNDEVSSGIPSMVDESVEGDETSLKEVVVGANVASDEKQPETVESSVDSTLQTVEKEAEVTGYKEPESIESSTPQNVDDTVQTLEKKAVADDDKEPESMESSTSQNADDTVQALEKEAEANDKEPESIESSLSQSVDDSVTGSDKVESIENSDASGDTSEAQIISSESRTSEEVVESQVKSIEDEMQIQTPAAETEITSASQLEDKKVEPAPEINGTVGASNGQSGSLSPKESVTTATISPVLVKQLREDTGAGMMDCKKALSETGGDIVKAQEFLRKKGLASAEKKASRATAEGRIGSYIHDSRIGVLVEANCETDFVSRGDIFKELVDDLAMQVAACPQVQYLVTEDVPEDILNKEKEIEMQKEDLLSKPEQIRSKIVEGRIRKTLEELALLEQPYIKNDKVVVKDWVKQTIATIGENIKVKRFVRYNLGEGLEKKSQDFAAEVAAQTAAKPAEPAKELPAEAEAKETAQKPPAVVVSAALVKQLREETGAGMMDCKKALSETGGDLEKAQEYLRKKGLSAADKKSSRLAAEGRIGSYIHDSRIGVLIEVNCETDFVGRSEKFKELVDDLAMQVVACPQVQFVSVEDIPENIRNKEKELEMQRDDLMSKPENIREKIVEGRISKRFGELALLEQPFIKNDSVLVKDLVKQTVAALGENIKVRRFVRLTLGESTEDTETGAQA >Potri.010G233100.1.v4.1 pep chromosome:Pop_tri_v4:10:21451892:21456219:1 gene:Potri.010G233100.v4.1 transcript:Potri.010G233100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233100.v4.1 MSGFPIPRTESTHHRLYEFAKSAIIKIFAHPYATVCDLYCGGGVDIEKWDAAQITHYIGIDVASSGISEVKETWESLKKNYTADFFQADPCSENFETQLQEKANQADLVCCLQNLQLCFETEESARKLLHNVASLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSGSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDISAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRTQFAGMIMNAGLNLVDPRGRLLPRSYDVLGLYTTFIFQKPDPDVAPPLTTPLLQHDGYTIDESEWQAPEWQGTLWRDDEKNAHPEQQPPPPPPPLGLGKISEQKGILGPGPADLRFSEAL >Potri.010G233100.4.v4.1 pep chromosome:Pop_tri_v4:10:21451888:21456219:1 gene:Potri.010G233100.v4.1 transcript:Potri.010G233100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233100.v4.1 MSGFPIPRTESTHHRLYEFAKSAIIKIFAHPYATVCDLYCGGGVDIEKWDAAQITHYIGIASSGISEVKETWESLKKNYTADFFQADPCSENFETQLQEKANQADLVCCLQNLQLCFETEESARKLLHNVASLLKPGGYFFGITPDSSTIWAKYQKNVEAYHNRSGSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDISAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRTQFAGMIMNAGLNLVDPRGRLLPRSYDVLGLYTTFIFQKPDPDVAPPLTTPLLQHDGYTIDESEWQAPEWQGTLWRDDEKNAHPEQQPPPPPPPLGLGKISEQKGILGPGPADLRFSEAL >Potri.010G233100.3.v4.1 pep chromosome:Pop_tri_v4:10:21451892:21456219:1 gene:Potri.010G233100.v4.1 transcript:Potri.010G233100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233100.v4.1 MSGFPIPRTESTHHRLYEFAKSAIIKIFAHPYATVCDLYCGGGVDIEKWDAAQITHYIGIDVASSGISEVKETWESLKKNYTADFFQADPCSENFETQLQEKANQADLVCCLQNLQLCFETEESARKLLHNVASLLKPGGYFFGQSTRRMLKHKAKYQKNVEAYHNRSGSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDISAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRTQFAGMIMNAGLNLVDPRGRLLPRSYDVLGLYTTFIFQKPDPDVAPPLTTPLLQHDGYTIDESEWQAPEWQGTLWRDDEKNAHPEQQPPPPPPPLGLGKISEQKGILGPGPADLRFSEAL >Potri.010G233100.2.v4.1 pep chromosome:Pop_tri_v4:10:21451892:21456219:1 gene:Potri.010G233100.v4.1 transcript:Potri.010G233100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233100.v4.1 MSGFPIPRTESTHHRLYEFAKSAIIKIFAHPYATVCDLYCGGGVDIEKWDAAQITHYIGIDVASSGISEVKETWESLKKNYTADFFQADPCSENFETQLQEKANQADLVCCLQNLQLCFETEESARKLLHNVASLLKPGGYFFGQSTRRMLKHKFKTLAKYQKNVEAYHNRSGSMKPNIVPNCIRSESYMITFEVEEEKFPLFGKKYQLKFAHDISAETHCLVHFPSLIRLAREAGLEYVEIQNLTEFYDDNRTQFAGMIMNAGLNLVDPRGRLLPRSYDVLGLYTTFIFQKPDPDVAPPLTTPLLQHDGYTIDESEWQAPEWQGTLWRDDEKNAHPEQQPPPPPPPLGLGKISEQKGILGPGPADLRFSEAL >Potri.016G019900.1.v4.1 pep chromosome:Pop_tri_v4:16:1062607:1065248:1 gene:Potri.016G019900.v4.1 transcript:Potri.016G019900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G019900.v4.1 MKQRLLSVGEQGSHHVFSQNKRITQLGKSGQIEDAIKFFTQMAEKNTVTYNSMISVYAKNGRINAARNLFDKMPRRNLVSWNTMVSGYLHNGKFDEAYKLFVIMPRRDLFSWTLMITCYTRNGEVEKARELFDSLPCSYRKGVACWNAMISGYVKKGRVNEAKRLFDEMPVKNLISWNSMLAGYTQNRKMRLGLEFFNEMDERDVVSWNLMVDGFIQVGDLDSAWKFFQETQKPNVVSWVTMLSGFARNGNILESRRLFDQMPSRNIVSWNAMISAYVQRCEIDEASRLFEEMPERDSVSWTTMINGYVRIGKLDEARELLNEMPYRNIGAQTAMISGYIQCNKVDEARRFFDEIGTWDVVCWNAMIAGYAHHGRINEALCLSKRMVNKDMVTWNTMISCYAQVGQMDRAVKIFEEMGERDLVSWNSLIAGFMLNGQNLDALKSFALMGHEGKKPDQLSFACGLSSCATIAALQVGNQLHQVVVKGGYLNYLVVNNALITMYAKCGRILEAGLVFNGICHADVISWNSLIGGYAINGYGKEALKLFEEMASEGMAPDEVTFIGILSACNHAGMVDHGLKLFKCMSKVYAIEPLAEHYACMVDLLGRVGRLDEAFEIVRGMKVKATAGVWGALLGACRAHGNLELGRLAAHKLSEFEPHKTSNYVLLSNIHAEANRWNEVQEVRMLMNASSTVKEPGCSWVEVRNQVHGFLSDDSTRSRPDIGVTLASLNSHIRNAFHISEVSA >Potri.018G145542.1.v4.1 pep chromosome:Pop_tri_v4:18:15325736:15329479:1 gene:Potri.018G145542.v4.1 transcript:Potri.018G145542.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145542.v4.1 MSGAPAKRSHEEGCHSSSLKFPPHEDTGSYSKLTSGVSNEYHLPYEMGPDVRVAKIPRTESRDVDRRSPLHSMYRMPPSSNESHMDSHFNVAPESRPESRDSKDSRDYRIENRDPRTDAKEMYGEARRDSQSVKNEKDVRFESRGDDNKEVKHDREAHIEPKNDMKIEKDGFGPPSSQVNWKEPKEYHRGKRCLESAGVHVDPWHISRGNSQGPVEIGKEGVSIEERDHAKVHEAVGENKVELKGEDRFKDKDRKRKDLKHREWGDRDKGRSDRRGSMQVGNSSAEGKESVKEEREGERWEWERKDLSKDRERLKEREKDHMKIESGTGAEKERLHNEKESLDGYVRISE >Potri.010G150500.1.v4.1 pep chromosome:Pop_tri_v4:10:16081280:16081819:1 gene:Potri.010G150500.v4.1 transcript:Potri.010G150500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G150500.v4.1 MATRTPKLVKHTLLTRFKDEITREQIDNYINDYTNLLDLIPTMKSFNWGTDLGMESAELNRGYTHAFESTFESKSGLQEYLDSAALAAFAEGFLPTLSQRLVIDYFLY >Potri.008G012100.1.v4.1 pep chromosome:Pop_tri_v4:8:616051:619904:1 gene:Potri.008G012100.v4.1 transcript:Potri.008G012100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012100.v4.1 MDKKNQELMEDFEKKASLTDTEAMETEAAASSSKSSNTLLLLRRFLEIQERRAQAYAKLKQGFSEYMVSGGELAYQQLCSKITEEFNDCSKQVIEMESLFLNPDHDRVDLAHLLRDIQTQEKQKLHLTATIQLLKKAGRPSERLVNHENCRFSRPMEHECVHLHEITEASGTEEAEADAEYDNALKEAIRGVQDSVTTINEHLEEIKYEIAALEAQ >Potri.018G087600.1.v4.1 pep chromosome:Pop_tri_v4:18:10543295:10545575:1 gene:Potri.018G087600.v4.1 transcript:Potri.018G087600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087600.v4.1 MVIAVVALIFGVLLGVFILIPRNRKSAQTEKAYSNENRIKASKTFSKAEVSLHNKRTDCWIIIKDKVYDVTSYVEEHPGGDAILAHAGDDSTEGFFGPQHATRVFDMIGDFYIADLKQ >Potri.004G158000.1.v4.1 pep chromosome:Pop_tri_v4:4:17879194:17891043:1 gene:Potri.004G158000.v4.1 transcript:Potri.004G158000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158000.v4.1 MEESTIAKQNKNVPVLPWMRSPVDVSKFEEYPLDILPCLDPRLKMALQNMGFKTLFPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQLLSTRAVKCLRALVVLPTRDLALQVKQVFAAIAPAMGLSVGLAVGQSSIADEISELIKKPEHEAGICYDPQDVLQELQSSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRLLRESYQSWLPTVLKLTRPYDESLVPGVNNFLPCASGSLKTIRRCGVERGFKGKSYPRLAKMVLSATLTQDPSKLAQLNLHHPLFLTTGQRRYQLPEKLESYKLICVSKLKPLYLVAVLQHLGGEKCIVFTSSVESTHRLCTLLNFFGDLKVKIKEYSGLQRQSVRSKTLKAFREGEIQVLVSSDAMTRGMDIEGVRNIINYDMPAYVKTYVHRAGRTARAGQTGRCITLLRTHEVKRFKKLLQKADNDSCPIYSIPSSSVKSLHPFYLSALEKLKETVQSETSRKGKVGIKFSRVSKGEKKSLEE >Potri.004G158000.2.v4.1 pep chromosome:Pop_tri_v4:4:17879194:17891031:1 gene:Potri.004G158000.v4.1 transcript:Potri.004G158000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158000.v4.1 MEESTIAKQNKNVPVLPWMRSPVDVSKFEEYPLDILPCLDPRLKMALQNMGFKTLFPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQLLSTRAVKCLRALVVLPTRDLALQVKQVFAAIAPAMGLSVGLAVGQSSIADEISELIKKPEHEAGICYDPQDVLQELQSSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRLLRESYQSWLPTVLKLTRPYDESLVPGVNNFLPCASGSLKTIRRCGVERGFKGKSYPRLAKMVLSATLTQDPSKLAQLNLHHPLFLTTGQRRYQLPEKLESYKLICVSKLKPLYLVAVLQHLGGEKCIVFTSSVESTHRLCTLLNFFGDLKVKIKEYSGLQRQSVRSKTLKAFREGEIQVLVSSDAMTRGMDIEGVRNIINYDMPAYVKTYVHRAGRTARAGQTGRCITLLRTHEVKRFKKLLQKADNDSCPIYSIPSSSVKSLHPFYLSALEKLKETVQSETSRKGKVGIKFSRVSKGEKKSLEE >Potri.004G158000.3.v4.1 pep chromosome:Pop_tri_v4:4:17879169:17891036:1 gene:Potri.004G158000.v4.1 transcript:Potri.004G158000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G158000.v4.1 MEESTIAKQNKNVPVLPWMRSPVDVSKFEEYPLDILPCLDPRLKMALQNMGFKTLFPVQIAVWQETIGPGAFERDLCINSPTGSGKTLAYALPIVQLLSTRAVKCLRALVVLPTRDLALQVKQVFAAIAPAMGLSVGLAVGQSSIADEISELIKKPEHEAGICYDPQDVLQELQSSVDILVATPGRLMDHITTTKGFTLEHLCYLVVDETDRLLRESYQSWLPTVLKLTRPYDESLVPGVNNFLPCASGSLKTIRRCGVERGFKGKSYPRLAKMVLSATLTQDPSKLAQLNLHHPLFLTTGQRRYQLPEKLESYKLICVSKLKPLYLVAVLQHLGGEKCIVFTSSVESTHRLCTLLNFFGDLKVKIKEYSGLQRQSVRSKTLKAFREGEIQVLVSSDAMTRGMDIEGVRNIINYDMPAYVKTYVHRAGRTARAGQTGRCITLLRTHEVKRFKKLLQKADNDSCPIYSIPSSSVKSLHPFYLSALEKLKETVQSETSRKGKVGIKFSRVSKGEKKSLEE >Potri.005G067100.1.v4.1 pep chromosome:Pop_tri_v4:5:4348068:4361760:-1 gene:Potri.005G067100.v4.1 transcript:Potri.005G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067100.v4.1 MIKVLNVAEKPSVAKSVATILSRNQLRVRDGRSRYNKIFEFNYSINGQQCHMLVTSVTGHLMEVEFEDRFRKWHSCDPADLYTAPVRKYVPEDKLDIKRTLEEEARRCHWLVLWLDCDREGENIAFEVMEVCKGVNRNLTIRRARFSALIERDIHEAAQNLIAPNQWFSDAVDARQEIDLRIGASFTRFQTMLLRDRFVIDSAQDDRNLVLSYGPCQFPTLGFVVERYWEIQSHEPEEFWTINCTHRSDEGLASFNWMRGHLFDYTSSVILYEMCVEEPTATVTKVQQQEKLKYPPYPLSTIELEKRASRYFRMSSEQTMKVAEDLYQAGFISYPRTETDSFSSRTDLHTIVQEHQEHPVWGSYAQRLLDPGAGLWRNPSNGGHDDKAHPPIHPTKFSAGESRWSQDHHRLYELVVRHFLACVSQPAVGAETVVEIDIAGERFSASGRVILAKNYLDVYRFESWGDSMIPTYVQGQQFIPATLTLDSGVTRPPPLLSEADLLSCMDKAGIGTDATMHDHIKKLLDRFYVTKDANTRFSPTNLGEALVMGYDDMGYELWKPNLRSLMECDMKEVSVGNKSKAEVLATCLQQMKACFLDAKVNKVKLLEAMAIFFNRSDRSNGDDSSALGENVRPCGLCQEANMVLKKNRDGNFMVGCSGFPQCRNAVWLPGPVLEATITNNICNSCTPGPVYLIQFKFRQLEIPPGFNVNHLGCIGGCDETLRQLIEICGTGSRVQARGRPPTTTSSNGPPTTTPSNPQRSNSRQAPCIYCYQTGHASTDCPSRISATRHVQSHGMNQQNGESSIPCSTCGTPCVLRTANTANNRGRKFYSCSSQACNFFVWEDNLNNGSAPRSAPRPNMSNSASNPSRRGGRGRGVQNAGRAADVTFVSATGEPISGRRCFVCGDPSHFANACPSRGS >Potri.011G024500.2.v4.1 pep chromosome:Pop_tri_v4:11:1820845:1823039:1 gene:Potri.011G024500.v4.1 transcript:Potri.011G024500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G024500.v4.1 MASPSDPTNPEAAAAIRRKKNGPPIKFLVPLVYAPVLPLIRLTLRKNPVVRDRLFTAVLVGAFAHGFYLVTDIYDSESK >Potri.007G027800.1.v4.1 pep chromosome:Pop_tri_v4:7:2124703:2127059:-1 gene:Potri.007G027800.v4.1 transcript:Potri.007G027800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027800.v4.1 MRKLCPNIDREDGLETVLEIPIPEEMYTNMGSNAELRWQNMLTWMRAQTSDKLSQPVIAARINELRFLLYMVGSPLIPLQVQVGHSVHKPVKDCSIQASTAKYIVQQYIAATGGQAALNAVHSMCVTGEVKIKASEFHEGEQTINVKGSEETGGFVLWQKDPDLWMLELLVSGCKMICGSNGKISWRHSSNQRKPISKGPPRPLRRFLQGLDPRSTANLFIDATCIGEKLIKDEDCFILKLETSPAIREAQSGPNYEIIHHTMWGYFSQRSGLLIQFEDSRLIGLRTKDGEDIFWETSAESIMDDYRYVDGVNIAHSGQTRVTVFRYGEQSANHKREMEEHWKIDEVDFNIWGLTTEQFLPPSDLEL >Potri.004G233400.2.v4.1 pep chromosome:Pop_tri_v4:4:23844438:23848894:-1 gene:Potri.004G233400.v4.1 transcript:Potri.004G233400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G233400.v4.1 MPSAVSPQWQEKATGFFSTSGVKLKEAGQSAGTFVGEVAKDAKGNVADVAEKVGSAVKTRWAILREPSTRHAMQERLITAAATTGMFFRKGISETKDKVTVGKTKVEEVAKKTAQKSKTILTDIERWQKGVASTDVFGVPIEVTVQRQEFSKLVPHILVKCADYLILSGLNSVDLFKAEGDKKVIQQLVSLYNQDSNASLPEGLNPIDVAFLAKCYLASLPEPLTTFELYDEIKGARSSIHVMKNILKKLPAVNYMTLEFITALLLRVSQKSLLNKMDARAIATEMAPVIMWQKERKPETYRSYWNNLSRSPSKKNMDPAPTYSAWDMLSEESEDMDASSLIPLDDAMPTDFGAIDIVQCLIEQHNAIFTDANETVWR >Potri.005G055000.1.v4.1 pep chromosome:Pop_tri_v4:5:3467071:3467343:1 gene:Potri.005G055000.v4.1 transcript:Potri.005G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G055000.v4.1 MHSSKFCLDIASDTPSSNRLIDAIASHCVPVIISDDIEFPYEDVIDYSQFCISVRTSNVVREKFLVNLISSIKNDEWTRMWKMLKEVENF >Potri.017G039500.2.v4.1 pep chromosome:Pop_tri_v4:17:2622540:2626359:1 gene:Potri.017G039500.v4.1 transcript:Potri.017G039500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G039500.v4.1 MYENRKRKHYTTTSTTVTTVSHPLSRNMQPPPTTATTSKKTLTLTPSRLKDLLLILSFLIIIYLLFSSPRPQLSLTPRTTPSTTFPTTRRHIVFSIASSSTSFIHRQPYIRLWYNPTTTRAFAFLDREVVDPTGNNNRSVIDPTLPPVIISKDTSSFPYTFKGGLKSAIRVARVVKEVVELNEPDVDWFVFGDDDTVFFVENLVTVLSKYDHNGWFYVGSNSESYSQNVKNSFEMGFGGGGFAISYSLAKVLARVLDSCLVRYAHLYGSDARIFSCLAELGVGLSHEPGFHQVDMRGDLFGMLSAHPLSPLVSLHHLDAVNPIFPKMSKTQALEHLFNGVNVDPARILQQTVCYDPVYSLTVSVAWGYSVQVFEGNEFLPDLLTPQRTFIPWRRGGNAEFNRFMFNIREYPKDPCKRPVVFFMESVTSGKNGIWSNYIRHDVADCNRGYAMKNLELVRVLSQKLEPDIEQMKAPRRQCCDLSPLFNGSMVISIRKCGSDELIAMHS >Potri.006G013300.3.v4.1 pep chromosome:Pop_tri_v4:6:809143:813825:-1 gene:Potri.006G013300.v4.1 transcript:Potri.006G013300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013300.v4.1 MDVSSKMGLVYLSQDIEELWNEWQIRSLMLLGLLLQIILTILGEQRKYTVGLGDFLWLAYLSSDSVAIFSLGILARSVANTTNPNLIPVFWAPLLLVHIGGPGTISAYSMHELDKLLINHLLQLVTRVGVVGYVLFRLRENAFMLVAIPIFISGMIKYGERIWVFKRNKESNNLSQQPFAKRPCIPSEDLISLTDSSSEVSYLHEAHLLFKTSKMLFQNLDLVNLDQRITYDLVSEKNAEEAFQLTEIELGLKYDRLYSKVATVSWPRFIIRSVTFLSSIFALVSFSIMIKSKSVYSKNDRIISYVLLSGVVCLESYSIIGHLFSDWTMIWLIRLEKTFPNLYTTRCFSLLLSFSRKRKRWSRLMGQHNLISALSKKPVNKLRKKYFPGNWNIHSRVDADKDLKELIFKQVMHKRSRYERLRYERSKNYPDTSDFPVLLKLLEERGCNSLQSKGCFHELGWSVDDAEFSHSLLTWHIATHVCYIDDSRKNGFVNYQNCAMSRSLSNYMLYLLVQCPNMLAIELSGTRYTDTRIHLHRLLFIRNAHKEAENHIPMDKLDALSFPKAQVKAFFYELLQSPSTMLKEIREQEEEKSAQSPSTMLKEIREQEEEKSAQSPSTMVKQIREQEEEKSALLDGCRLAMSLQSSELQDGWPNEKKWEMISEVWVEMLMYAASHSRWKEHADALGRGGELLTHVCILMAHLGLSKQCRPPALSKQLDEYFPGPVVSGNEV >Potri.006G013300.5.v4.1 pep chromosome:Pop_tri_v4:6:809397:813838:-1 gene:Potri.006G013300.v4.1 transcript:Potri.006G013300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G013300.v4.1 MDVSSKMGLVYLSQDIEELWNEWQIRSLMLLGLLLQIILTILGEQRKYTVGLGDFLWLAYLSSDSVAIFSLGILARSVANTTNPNLIPVFWAPLLLVHIGGPGTISAYSMHELDKLLINHLLQLVTRVGVVGYVLFRLRENAFMLVAIPIFISGMIKYGERIWVFKRNKESNNLSQQPFAKRPCIPSEDLISLTDSSSEVSYLHEAHLLFKTSKMLFQNLDLVNLDQRITYDLVSEKNAEEAFQLTEIELGLKYDRLYSKVATVSWPRFIIRSVTFLSSIFALVSFSIMIKSKSVYSKNDRIISYVLLSGVVCLESYSIIGHLFSDWTMIWLIRLEKTFPNLYTTRCFSLLLSFSRKRKRWSRLMGQHNLISALSKKPVNKLRKKYFPGNWNIHSRVDADKDLKELIFKQVMHKRSRYERLRYERSKNYPDTSDFPVLLKLLEERGCNSLQSKGCFHELGWSVDDAEFSHSLLTWHIATHVCYIDDSRKNGFVNYQNCAMSRSLSNYMLYLLVQCPNMLAIELSGTRYTDTRIHLHRLLFIRNAHKEAENHIPMDKLDALSFPKAQVKAFFYELLQSPSTMLKEIREQEEENQPY >Potri.019G016425.2.v4.1 pep chromosome:Pop_tri_v4:19:256616:262346:-1 gene:Potri.019G016425.v4.1 transcript:Potri.019G016425.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016425.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLSKPVSFVSTATMTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYSALVQAGIHAFRDDDDLPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILECKNRKTGQIVLPIFYHIDPSDVRKQNGSFAEAFANNEERFEEKLVKEWRKALEEAGNLSGWNLNHMANGHEAKFIKEIIKDVLNKLDPKYFYVPEHLVGMDRLAHNIFDFLSTATDHVRIVGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQFNGLALLQRQLLHDILKQDAANINCDDRGKVLIKERLRRKRVVVVADDVAHQDQLKALMGERSWFGPGSIVIITTRDSNLLREADQTYPIKELTRDQSLRLFSWHAFKDTKPAEAYIELSKDAVDYCGGLPLALEVIGACLYGKSKDRWKSEIDNLSRIPENNIQGKLLISFDALDGELQNAFLDIACFFTDVEKEYVAKVLGARSCYNPEVVLETLCERSLIKVFGEMVTMHDLLREMGREVVRKASPKQPGERTRIWNQEDAWNVLDQQKGTDAIEGLALDMRASEAKSLSTGSFAKMKRLTLLQINGAHLTGSFKLLSKELMWICWHECPLKYLPSDFTLENLVVLDIQYSNLKELWKGEKILNKLKILDLSHSHNLIKTPNLHSSSLEKLKLEGCSSLVEVHQSVGNLKSLIFLNMEGCWSLKILPESIGNVKSLKRLNISGCSQLEKLPEHMGDMESLTELLADGIENEQFLSSIGQLKYVRRLSLRGYNFRQDSPSPTSWVSQISSWLSPSSTYWPPSISSFISASVLCLKRSLPTSFIDWRSVKRLKLPDGGLSDCSTNCVDFRGLSSLKELDLSGNKFSSLPSGIGFLPKLKVLIVQGCNNLESISDLPSSLYTLGASYCKSLERVKIPKQSKKELYIELHESHSLEEIQNIEGQSNIFWNMYVDDHNHSSNNLKKSVVEALCNGGHRYYIYPFPGEMPNWLSYSGEGCSLSFQIPPVFQGLVVWVAHNFNYSIVTIRNKSNGIQLFEKKRIAGPGRWIIYISEMAMEDYCGDDELELYIYSEPNGVPLQRVHIKECGVHVIAGKSDSFEELEVKRDTVMPSPPPYHLLPHPHCGSITASTPKQWSDYLFPKLQKHSLNLTLCGVPRT >Potri.019G016425.3.v4.1 pep chromosome:Pop_tri_v4:19:257243:263169:-1 gene:Potri.019G016425.v4.1 transcript:Potri.019G016425.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016425.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLSKPVSFVSTATMTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYSALVQAGIHAFRDDDDLPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILECKNRKTGQIVLPIFYHIDPSDVRKQNGSFAEAFANNEERFEEKLVKEWRKALEEAGNLSGWNLNHMANGHEAKFIKEIIKDVLNKLDPKYFYVPEHLVGMDRLAHNIFDFLSTATDHVRIVGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQFNGLALLQRQLLHDILKQDAANINCDDRGKVLIKERLRRKRVVVVADDVAHQDQLKALMGERSWFGPGSIVIITTRDSNLLREADQTYPIKELTRDQSLRLFSWHAFKDTKPAEAYIELSKDAVDYCGGLPLALEVIGACLYGKSKDRWKSEIDNLSRIPENNIQGKLLISFDALDGELQNAFLDIACFFTDVEKEYVAKVLGARSCYNPEVVLETLCERSLIKVFGEMVTMHDLLREMGREVVRKASPKQPGERTRIWNQEDAWNVLDQQKGTDAIEGLALDMRASEAKSLSTGSFAKMKRLTLLQINGAHLTGSFKLLSKELMWICWHECPLKYLPSDFTLENLVVLDIQYSNLKELWKGEKILNKLKILDLSHSHNLIKTPNLHSSSLEKLKLEGCSSLVEVHQSVGNLKSLIFLNMEGCWSLKILPESIGNVKSLKRLNISGCSQLEKLPEHMGDMESLTELLADGIENEQFLSSIGQLKYVRRLSLRGYNFRQDSPSPTSWVSQISSWLSPSSTYWPPSISSFISASVLCLKRSLPTSFIDWRSVKRLKLPDGGLSDCSTNCVDFRGLSSLKELDLSGNKFSSLPSGIGFLPKLKVLIVQGCNNLESISDLPSSLYTLGASYCKSLERVKIPKQSKKELYIELHESHSLEEIQNIEGQSNIFWNMYVDDHNHSSNNLKKSVVEVLFFSVSLAQEETHTIDTYID >Potri.019G016425.1.v4.1 pep chromosome:Pop_tri_v4:19:255787:262347:-1 gene:Potri.019G016425.v4.1 transcript:Potri.019G016425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016425.v4.1 MQKEKRKQSKDEENDSSSRKRRKADLSKPVSFVSTATMTEPESSRSRPEGAYDVFLSFRGEDTRKTFTDHLYSALVQAGIHAFRDDDDLPRGEEISDHLLRAIQESKISIVVFSKGYASSRWCLNELVEILECKNRKTGQIVLPIFYHIDPSDVRKQNGSFAEAFANNEERFEEKLVKEWRKALEEAGNLSGWNLNHMANGHEAKFIKEIIKDVLNKLDPKYFYVPEHLVGMDRLAHNIFDFLSTATDHVRIVGIHGMPGIGKTTIAKVVFNQLCYGFEGSCFLSNINETSKQFNGLALLQRQLLHDILKQDAANINCDDRGKVLIKERLRRKRVVVVADDVAHQDQLKALMGERSWFGPGSIVIITTRDSNLLREADQTYPIKELTRDQSLRLFSWHAFKDTKPAEAYIELSKDAVDYCGGLPLALEVIGACLYGKSKDRWKSEIDNLSRIPENNIQGKLLISFDALDGELQNAFLDIACFFTDVEKEYVAKVLGARSCYNPEVVLETLCERSLIKVFGEMVTMHDLLREMGREVVRKASPKQPGERTRIWNQEDAWNVLDQQKGTDAIEGLALDMRASEAKSLSTGSFAKMKRLTLLQINGAHLTGSFKLLSKELMWICWHECPLKYLPSDFTLENLVVLDIQYSNLKELWKGEKILNKLKILDLSHSHNLIKTPNLHSSSLEKLKLEGCSSLVEVHQSVGNLKSLIFLNMEGCWSLKILPESIGNVKSLKRLNISGCSQLEKLPEHMGDMESLTELLADGIENEQFLSSIGQLKYVRRLSLRGYNFRQDSPSPTSWVSQISSWLSPSSTYWPPSISSFISASVLCLKRSLPTSFIDWRSVKRLKLPDGGLSDCSTNCVDFRGLSSLKELDLSGNKFSSLPSGIGFLPKLKVLIVQGCNNLESISDLPSSLYTLGASYCKSLERVKIPKQSKKELYIELHESHSLEEIQNIEGQSNIFWNMYVDDHNHSSNNLKKSVVEALCNGGHRYYIYPFPGEMPNWLSYSGEGCSLSFQIPPVFQGLVVWVAHNFNYSIVTIRNKSNGIQLFEKKRIAGPGRWIIYISEMAMEDYCGDDELELYIYSEPNGVPLQRVHIKECGVHVIAGKSDSFEELEVKRDTVMPSPPPYHLLPHPHCGSITASTPKQWSDYLFPKLQKHSLNLTLCGKMEYILSFFNFLIF >Potri.012G095300.1.v4.1 pep chromosome:Pop_tri_v4:12:11964685:11968728:-1 gene:Potri.012G095300.v4.1 transcript:Potri.012G095300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G095300.v4.1 MASSAAANLEDVPSVNLMTELLRRMKCSSKPDKRLILIGPPGSGKGTQSPIIKDEYCLCHLATGDMLRAAVAAKTPLGIKAKEAMDKGELVSDDLVVGIIDEAMKKPSCQKGFILDGFPRTVVQAEKLDEMLQKQGAKIDKVLNFAIDDAILEERITGRWIHPSSGRTYHTKFAPPKVPGVDDVTGEPLIQRKDDTAAVLKSRLEAFHRQTEPVIGYYKNKGAVAELHAEKSPKDVTAEVQKVLSS >Potri.016G115300.1.v4.1 pep chromosome:Pop_tri_v4:16:11970308:11973736:-1 gene:Potri.016G115300.v4.1 transcript:Potri.016G115300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115300.v4.1 MRKSFKDSLKALEADIHFANTLASDYPREYDGACLQMRLSYSPAANFFLFLVQWTDCHLAGALGLLRILIYKAYEDGKTTMSIYERKASIREFYGVIFPSLLQLERGITDVEDRKQKEICAKYKKKDEMDKGKLSEIDLEREEECGICMEINSRVVLPKCNHAMCMKCYRDWRTRSQSCPFCRDSLKRVNSGDLWIYTNNNEIIDLSSITRQNLKRLFMYIDKLPLIVPEPMFVSYDPRYR >Potri.002G193300.1.v4.1 pep chromosome:Pop_tri_v4:2:15603154:15604483:-1 gene:Potri.002G193300.v4.1 transcript:Potri.002G193300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G193300.v4.1 MGVSAGEHPLLVSLKGMVQGNEETMDHMPNEALWNKVSEAQTKRRCPLRRQKNGRHARSKRPGRILMKRRARAEGSTVRSGYGIERRVRTLKKLIPNSESMGLDGLFRETADYILSLQMRVKVMQIMVKVLTGSDDEY >Potri.014G132100.1.v4.1 pep chromosome:Pop_tri_v4:14:8830575:8833223:1 gene:Potri.014G132100.v4.1 transcript:Potri.014G132100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132100.v4.1 MDLRISYTQKGERINHSLLTAVAFLYIDLLKNTSFGAASPAHVMRTFQELSPMINKIINLLNKCASPTHIHQIQSQIILHHLHSNTTLAYHFITASQNFSLLRSSLPLFFTHLHRPHVFICNTLIRAFSRIHDPHIPYSIYIHMHYNSILPNNYTFPFLFKSLSDCRDYLKCQCVHAHVIRLGHLNDIYVQNSLLDVYASCGYMGLCREVFDEMSDRDVVSWTVLIMGYRNAKNYADALIAFEKMQYAGVVPNHVTMVNALGACGSFRAIEMGVWIHDFISRNGWDLDVILGTSLVEMYLKCGRIDEGLNAFRSMKEKNVFTWNVVIQGLGFAKSGQEAVWWFNRMEEEGFEADEVTLANVLNACIHSGLVDMGRQIFSSLINGNYGFSPSLKHYACMIDLLTRAGCLDEAFKLIKEMPFEPSKSIWGSFLTGCRACGNLELSELAAKKLAELESDNGTYFVSSI >Potri.014G132100.2.v4.1 pep chromosome:Pop_tri_v4:14:8830768:8833022:1 gene:Potri.014G132100.v4.1 transcript:Potri.014G132100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132100.v4.1 MRTFQELSPMINKIINLLNKCASPTHIHQIQSQIILHHLHSNTTLAYHFITASQNFSLLRSSLPLFFTHLHRPHVFICNTLIRAFSRIHDPHIPYSIYIHMHYNSILPNNYTFPFLFKSLSDCRDYLKCQCVHAHVIRLGHLNDIYVQNSLLDVYASCGYMGLCREVFDEMSDRDVVSWTVLIMGYRNAKNYADALIAFEKMQYAGVVPNHVTMVNALGACGSFRAIEMGVWIHDFISRNGWDLDVILGTSLVEMYLKCGRIDEGLNAFRSMKEKNVFTWNVVIQGLGFAKSGQEAVWWFNRMEEEGFEADEVTLANVLNACIHSGLVDMGRQIFSSLINGNYGFSPSLKHYACMIDLLTRAGCLDEAFKLIKEMPFEPSKSIWGSFLTGCRACGNLELSELAAKKLAELESDNGTYFVSSI >Potri.004G232301.2.v4.1 pep chromosome:Pop_tri_v4:4:23748501:23755039:-1 gene:Potri.004G232301.v4.1 transcript:Potri.004G232301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232301.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWENTPRNWVGADPCGGKWEGISCHNSRVTSITLAAVGLTGELSGDISSLSELESLDLSYNTGLSGTLPASIVNLKKLKILKLVGCRFSGPIPELIGSLQLLESLDLNSNRFTGPIPHSIGNLSKLFLLDLSNNMLDGAIPVSSGTTSGLDMLVNANHFHLGGNQLSGTIPKELFRSNMTLIHVLLHDNNLTGSIPSTLGLVHTLEAVRFEGNSLTGPVPPNLNNLTTLKTLILSNNKFTGPVPNLTGMAYLSYLDLSNNSFDASDFPLSFSNLRALTTLMMENTGLEGRIPPTLFDLPSLQTLILRNNQLNGTLDIATSSSSQLKVIDMRNNLISSFYSETPERRNNVDVILVGNPVCEHPEATENYCTVPQANSSYTRLPEKCVPLHCISDQISSPNCKCSYPYRGVLVFKPPFLESRNSTYYVHLEEESLMRSFKFHQLPVDSVDVNFPAKDSFGYLESNLSMFPSGQNHFNTATISDIGFVLTLQTYENSDIFGPTYFKGSAYPYFDGKPTMSKELSSTGRIIGAAAGGASFLLLLLLAGVCAYRQKNRRERASEQKNYFAYLDSRNSNSVPQLKGARCFSFNEITKCTNNFSEANHIGLGGYGMVYRGMLPTGQLFAVKRCRQGSVQGGLEFNAEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLNVALGAARGLAYLHELVNPRIIHRDVKSANILLDECLNAKVGDFGLSKPMDNSELILASTQVKGTMGYIDPEYQKTLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYNLHELLDPSIGLDTKPKGLDKIVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGSNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.004G232301.1.v4.1 pep chromosome:Pop_tri_v4:4:23748535:23754882:-1 gene:Potri.004G232301.v4.1 transcript:Potri.004G232301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G232301.v4.1 MCPKVLTFLLVASFQIYTETYGDDFTVMSILKDAWENTPRNWVGADPCGGKWEGISCHNSRVTSITLAAVGLTGELSGDISSLSELESLDLSYNTGLSGTLPASIVNLKKLKILKLVGCRFSGPIPELIGSLQLLESLDLNSNRFTGPIPHSIGNLSKLFLLDLSNNMLDGAIPVSSGTTSGLDMLVNANHFHLGGNQLSGTIPKELFRSNMTLIHVLLHDNNLTGSIPSTLGLVHTLEAVRFEGNSLTGPVPPNLNNLTTLKTLILSNNKFTGPVPNLTGMAYLSYLDLSNNSFDASDFPLSFSNLRALTTLMMENTGLEGRIPPTLFDLPSLQTLILRNNQLNGTLDIATSSSSQLKVIDMRNNLISSFYSETPERRNNVDVILVGNPVCEHPEATENYCTVPQANSSYTRLPEKCVPLHCISDQISSPNCKCSYPYRGVLVFKPPFLESRNSTYYVHLEEESLMRSFKFHQLPVDSVDVNFPAKDSFGYLESNLSMFPSGQNHFNTATISDIGFVLTLQTYENSDIFGPTYFKGSAYPYFDGTYTFHAQVRDWFALFFLSSDDTSSSLPPSLPPSLPLCHAGKPTMSKELSSTGRIIGAAAGGASFLLLLLLAGVCAYRQKNRRERASEQKNYFAYLDSRNSNSVPQLKGARCFSFNEITKCTNNFSEANHIGLGGYGMVYRGMLPTGQLFAVKRCRQGSVQGGLEFNAEIEVLSRVHHKNVVNLVGFCFERGEQMLIYEFVRNGSLRDSLSGLSGIWLDWRRRLNVALGAARGLAYLHELVNPRIIHRDVKSANILLDECLNAKVGDFGLSKPMDNSELILASTQVKGTMGYIDPEYQKTLLLTEKSDVYGFGVVLLELVSGRKPLERGKYLVAEVSSSLDRKKDLYNLHELLDPSIGLDTKPKGLDKIVDLAMKCVQEKGSDRPTMGEVVKEIENILHLAGSNPNAEAESTSASFEEASQDEFPPSLKEEELSLS >Potri.013G000100.1.v4.1 pep chromosome:Pop_tri_v4:13:13384:14304:1 gene:Potri.013G000100.v4.1 transcript:Potri.013G000100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000100.v4.1 MLQVGFEAVVGMHSRFRYEKLSNGEDQNHEKLMARPRRRHCWVKKMNGRVKGLRLSRSRKLTFKALSVILMPSPSSRIAKVYAHIIDRIKIMDDLNLYPNIILSTRWGLPGLSHPHPSVKTAGRPTHTTAPPMPLHKI >Potri.003G010697.1.v4.1 pep chromosome:Pop_tri_v4:3:1282309:1283040:-1 gene:Potri.003G010697.v4.1 transcript:Potri.003G010697.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010697.v4.1 MVSVITPMGSIIVWNVCGLGGREKKRCLRNLGRKFDLHILGILETKLETLNDFTIIAIWGRHPRAWYAVPSLGLSGGILCIWNPDLFCVSSCSVAMNGRILHIEGTFTRSNLDCLVSFVYAPNGGNLKNELWTYLVTFRDSVSKPWCLAGDFNETLFPSDRKGSSQITSSMTRFKNCIDGCNLMELPLNGRKFTWSRGNVASRIDRIFVSGDWLQTFPSSTLFGLSKYSSDHRPLHLLLDSTN >Potri.005G179900.2.v4.1 pep chromosome:Pop_tri_v4:5:18601824:18605058:1 gene:Potri.005G179900.v4.1 transcript:Potri.005G179900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179900.v4.1 MEKKSKRSVSLMMMMFLFFIVISADFQGCFSAASQTPIKGESSTPANDRVGSSVFFRVTGNVYPTGYYSVILNIGNPPKAFDFDIDTGSDLTWVQCDAPCKGCTKPRDKLYKPKNNLVPCSNSLCQAVSTGENYHCDAPDDQCDYEIEYADLGSSIGVLLSDSFPLRLSNGTLLQPKMAFGCGYDQKHLGPHPPPDTAGILGLGRGKVSILSQLRTLGITQNVVGHCFSRARGGFLFFGDHLFPSSGITWTPMLRSSSDTLYSSGPAELLFGGKPTGIKGLQLIFDSGSSYTYFNAQVYQSILNLVRKDLAGKPLKDAPEEKELAVCWKTAKPIKSILDIKSYFKPLTISFMNAKNVQLQLAPEDYLIITDGNVCLGILNGSEQHLGNFNVIGDIFMQDRVVIYDNEKQQIGWFPANCDRLPQS >Potri.005G179900.1.v4.1 pep chromosome:Pop_tri_v4:5:18601824:18605058:1 gene:Potri.005G179900.v4.1 transcript:Potri.005G179900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G179900.v4.1 MEKKSKRSVSLMMMMFLFFIVISADFQGCFSAASQTPIKGESSTPANDRVGSSVFFRVTGNVYPTGYYSVILNIGNPPKAFDFDIDTGSDLTWVQCDAPCKGCTKPRDKLYKPKNNLVPCSNSLCQAVSTGENYHCDAPDDQCDYEIEYADLGSSIGVLLSDSFPLRLSNGTLLQPKMAFGCGYDQKHLGPHPPPDTAGILGLGRGKVSILSQLRTLGITQNVVGHCFSRARGGFLFFGDHLFPSSGITWTPMLRSSSDTLYSSGPAELLFGGKPTGIKGLQLIFDSGSSYTYFNAQVYQSILNLVRKDLAGKPLKDAPEEKELAVCWKTAKPIKSILDIKSYFKPLTISFMNAKNVQLQLAPEDYLIITKDGNVCLGILNGSEQHLGNFNVIGDIFMQDRVVIYDNEKQQIGWFPANCDRLPQS >Potri.016G104300.1.v4.1 pep chromosome:Pop_tri_v4:16:10698769:10699636:-1 gene:Potri.016G104300.v4.1 transcript:Potri.016G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G104300.v4.1 MEAPLKFIGLLGLLVLLSVAGGADAAGECGKSSPDNEAMKLAPCAEAAQDEKAAVSDSCCLQVKRMGQKPSCLCAVMLSDTAKASGVKIETAITIPKRCNIANRPVGYKCGGYTLP >Potri.006G086100.1.v4.1 pep chromosome:Pop_tri_v4:6:6441048:6442448:-1 gene:Potri.006G086100.v4.1 transcript:Potri.006G086100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086100.v4.1 MAHHRLGLLCIVFLTSVFVLAHARIPGVFTGGPWESAHATFYGGSDASGTMGGACGYGNLYSQGYGVNTAALSTALFNNGLSCGACFEIKCADDPRWCNPGNPSILVTATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAQYRAGIVPVAHRRVPCRKQGGIRFTINGFRYFNLVLVTNVAGAGDIVNVWIKGSETDWMSMSRNWGQNWQSNAVLVGQSLSFRVRGSDGRTSTSKNVASPNWQFGQTFTGKNFRI >Potri.014G040300.1.v4.1 pep chromosome:Pop_tri_v4:14:2611389:2613875:-1 gene:Potri.014G040300.v4.1 transcript:Potri.014G040300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G040300.v4.1 MLMPRLIRKLALLFTLLFLFLSLTTNAAATTTITQQFKEAPQFYNSPECPSIDQDEIDSEAEPDGDNTIFCSEHAVHVAMTLDAAYIRGSMAAILSVLQHTSCPQNIAFHFVASASANASLLRATISSSFPYLKFRVYTFDDSSVSGLISTSIRSALDCPLNYARSYLANILPLCVRRVVYLDSDLVLVDDIAKLAATPLGEKSVLAAPEYCNANFTSYFTPTFWSNPSLSLTFADRRPCYFNTGVMVIDLDRWREGDYTTKIEEWMELQKRMRIYELGSLPPFLLVFAGDIVPVDHKWNQHGLGGDNFRGLCRDLHPGPVSLLHWSGKGKPWARLDANRPCPLDALWAPYDLLQTPFALDS >Potri.004G202766.1.v4.1 pep chromosome:Pop_tri_v4:4:21205417:21207835:-1 gene:Potri.004G202766.v4.1 transcript:Potri.004G202766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202766.v4.1 MSYLAGDTIREAVTTIKNGVNDKPRKFVQTIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAESISLQWMDVEALKKLNKNKKLVKKLSKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNEIKATVKFQLKKVLCMGVAVGNCGMEDKQIFQNVQMSVNFLVSLLKKNWQNVKSLHLKSTMGTPVRLY >Potri.004G202766.2.v4.1 pep chromosome:Pop_tri_v4:4:21205376:21207791:-1 gene:Potri.004G202766.v4.1 transcript:Potri.004G202766.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202766.v4.1 MSKLAGDTIREAVTTIKNGVNDKPRKFVQTIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAESISLQWMDVEALKKLNKNKKLVKKLSKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNEIKATVKFQLKKVLCMGVAVGNCGMEDKQIFQNVQMSVNFLVSLLKKNWQNVKSLHLKSTMGTPVRLY >Potri.007G096000.1.v4.1 pep chromosome:Pop_tri_v4:7:12078629:12081324:1 gene:Potri.007G096000.v4.1 transcript:Potri.007G096000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G096000.v4.1 MASGGGYGDASQKIDYVFKVVLIGDSAVGKSQILARFARNEFSLDSKATIGVEFQTRTLVIDHKSVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDITKRQTFDHIPRWLEELRNHADKNIVIILIGNKSDLEDQRAVPTEDAKEFAQKEGLFFLETSALQATNVENAFMTVLTEIFNIVNKKNLVAGEDQINGNPASLSGKKIIIPGPAQEIPAKSKCCS >Potri.019G076600.2.v4.1 pep chromosome:Pop_tri_v4:19:11751113:11753620:-1 gene:Potri.019G076600.v4.1 transcript:Potri.019G076600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076600.v4.1 MATFKLPCMLVIFLVFGAGLGQNVDPFGPGVGRRREMVPAMFIFGDSLIDNGNNNNLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAEQLGLPLIPAYSEASGDQVLNGVNYASAAAGILDITGRNFVGRIPFDQQIRNFQNTLDQITNNLGADDVARQYADLLTQEYSRQLTSLYNLGARKFVIAGLGVMGCIPSILAQSPAGICSDSVNQLVQPFNENVKAMLSNFNANQLPGAKSIFIDVARMFREILTNSPAYGFSVINRGCCGIGRNRGQITCLPFQTPCPNREQYVFWDAFHPTEAVNVLMGRKAFNGDLSMVYPMNIEQLANLDIESN >Potri.019G076600.1.v4.1 pep chromosome:Pop_tri_v4:19:11751113:11753604:-1 gene:Potri.019G076600.v4.1 transcript:Potri.019G076600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G076600.v4.1 MATFKLPCMLVIFLVFGAGLGQNVDPFGPGVGRRREMVPAMFIFGDSLIDNGNNNNLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAEQLGLPLIPAYSEASGDQVLNGVNYASAAAGILDITGRNFVGRIPFDQQIRNFQNTLDQITNNLGADDVARQVGRSIFFVGMGSNDYLNNYLMPNYPTRNQYNGRQYADLLTQEYSRQLTSLYNLGARKFVIAGLGVMGCIPSILAQSPAGICSDSVNQLVQPFNENVKAMLSNFNANQLPGAKSIFIDVARMFREILTNSPAYGFSVINRGCCGIGRNRGQITCLPFQTPCPNREQYVFWDAFHPTEAVNVLMGRKAFNGDLSMVYPMNIEQLANLDIESN >Potri.005G047800.1.v4.1 pep chromosome:Pop_tri_v4:5:3036899:3038446:-1 gene:Potri.005G047800.v4.1 transcript:Potri.005G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G047800.v4.1 MALSDQSLFHYSLLTFYLLGPLTFVALKFFQLPYGRHHRLGWGFTVSPPLAWFLFETPSILLPLLLFPLGQHFTNLKALLLMSPYLLHYFHRTCIYPLRVYHSTTQQNTKTTSAVSVSMVLLAFMLQVLNTYLQTRWVSHYKHDYNSDGGLFWWKFFGGLVVFLWGMRINMWADTVLLGLKREGGGYKVPRGGWFELVSCPNYFGEMVEWLGWAVMTWSWAGFSFFLFTCSNLVPRACAHHKWYLKKFGEDYPNNRKAVIPFFI >Potri.012G048400.2.v4.1 pep chromosome:Pop_tri_v4:12:4480363:4489915:-1 gene:Potri.012G048400.v4.1 transcript:Potri.012G048400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048400.v4.1 MTESTSDKMPSLVDLQATPVSGGVTWEAVLVNRAADANLLKLEKKALEIAVKSRSESQVFIGSALVRRLAVLVSDYMGGAVGDPSNLSRAWRSLSYSLKANLGSMVLPLGSLTIGLPRHRALMFKVLADSVGIPCRLVKGHLYTGSDDVAMNFVKIDDGREYIVDLTADPGTLIPSDAAGSHIEYDDSFFSSSPFSRDIDSYRIASSSSGHTSSFEEHSELGTLEKRFRSRNIAALGNQSDVRGDSHEGASLTKLSKGEEESTISLNDFGKISIAEKVPVRELPGRPIYPSAHARSPSWTEGVSSPSVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYAEQLNASTAEATSPTEGKDGHKQRTEIRYVKDQDDLVPARFFPLLPPNELPYKSSSPGNQPEQSKPVEGLGIKHPFDTKEITGLPISLQSEFTPVKYVKNVPVAAAAAAAAAVVASSMVVAAAKSSTDSNLELPVAAAATATAAAVMATTAAVNKQYVQGARSDGDADSAGYEPHGSGDKGSGGRGSGGRGSGGREHKALVVNSEGERISDRLAVNVRSKSDAGLDDVAECEIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQDITGEALAEFRSEVRIMKRVRHPNVVLFMGAVTRAPNLSIVTEFIPRGSLYRLLHRPNNQLDDRRRLRMALDAARGMNYLHSCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELSTLQQPWGGMNPMQVVGAVGFQHRSLDIPNDMDPAIADIIRKCWQTDPRLRPTFAEIMAALKLLQKPITGPQVPRPNAPLRSGH >Potri.012G048400.6.v4.1 pep chromosome:Pop_tri_v4:12:4478454:4492660:-1 gene:Potri.012G048400.v4.1 transcript:Potri.012G048400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048400.v4.1 MKNFLKKLHIMPNQSQDAEGSNSSRGHKSSNESSSDNKFLHSRLQENKPFSGLSNWLSSVANRKSPSPPSSNVTRGERVEQPESISSSGFDVSEGARRDSVSSTSRDPDVEEEFQIQLALELSAREDPEAVQIEAVKQISLGSCAPEHTLAELIAYRYWNYNALSYDDKVLDGFYDLYGIMTESTSDKMPSLVDLQATPVSGGVTWEAVLVNRAADANLLKLEKKALEIAVKSRSESQVFIGSALVRRLAVLVSDYMGGAVGDPSNLSRAWRSLSYSLKANLGSMVLPLGSLTIGLPRHRALMFKVLADSVGIPCRLVKGHLYTGSDDVAMNFVKIDDGREYIVDLTADPGTLIPSDAAGSHIEYDDSFFSSSPFSRDIDSYRIASSSSGHTSSFEEHSELGTLEKRFRSRNIAALGNQSDVRGDSHEGASLTKLSKGEEESTISLNDFGKISIAEKVPVRELPGRPIYPSAHARSPSWTEGVSSPSVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYAEQLNASTAEATSPTEGKDGHKQRTEIRYVKDQDDLVPARFFPLLPPNELPYKSSSPGNQPEQSKPVEGLGIKHPFDTKEITGLPISLQSEFTPVKYVKNVPVAAAAAAAAAVVASSMVVAAAKSSTDSNLELPVAAAATATAAAVMATTAAVNKQYVQGARSDGDADSAGYEPHGSGDKGSGGRGSGGRGSGGREHKALVVNSEGERISDRLAVNVRSKSDAGLDDVAECEIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQDITGEALAEFRSEVRIMKRVRHPNVVLFMGAVTRAPNLSIVTEFIPRGSLYRLLHRPNNQLDDRRRLRMALDAARGMNYLHSCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELSTLQQPWGGMNPMQVVGAVGFQHRSLDIPNDMDPAIADIIRKCWQTDPRLRPTFAEIMAALKLLQKPITGPQVPRPNAPLRSGH >Potri.012G048400.1.v4.1 pep chromosome:Pop_tri_v4:12:4480362:4492585:-1 gene:Potri.012G048400.v4.1 transcript:Potri.012G048400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G048400.v4.1 MKNFLKKLHIMPNQSQDAEGSNSSRGHKSSNESSSDNKFLHSRLQENKPFSGLSNWLSSVANRKSPSPPSSNVTRGERVEQPESISSSGFDVSEGARRDSVSSTSRDPDVEEEFQIQLALELSAREDPEAVQIEAVKQISLGSCAPEHTLAELIAYRYWNYNALSYDDKVLDGFYDLYGIMTESTSDKMPSLVDLQATPVSGGVTWEAVLVNRAADANLLKLEKKALEIAVKSRSESQVFIGSALVRRLAVLVSDYMGGAVGDPSNLSRAWRSLSYSLKANLGSMVLPLGSLTIGLPRHRALMFKVLADSVGIPCRLVKGHLYTGSDDVAMNFVKIDDGREYIVDLTADPGTLIPSDAAGSHIEYDDSFFSSSPFSRDIDSYRIASSSSGHTSSFEEHSELGTLEKRFRSRNIAALGNQSDVRGDSHEGASLTKLSKGEEESTISLNDFGKISIAEKVPVRELPGRPIYPSAHARSPSWTEGVSSPSVRRMKVKDVSQYMIDAAKENPQLAQKLHDVLLESGVVAPPNLFTEIYAEQLNASTAEATSPTEGKDGHKQRTEIRYVKDQDDLVPARFFPLLPPNELPYKSSSPGNQPEQSKPVEGLGIKHPFDTKEITGLPISLQSEFTPVKYVKNVPVAAAAAAAAAVVASSMVVAAAKSSTDSNLELPVAAAATATAAAVMATTAAVNKQYVQGARSDGDADSAGYEPHGSGDKGSGGRGSGGRGSGGREHKALVVNSEGERISDRLAVNVRSKSDAGLDDVAECEIPWEEITLGERIGLGSYGEVYRGDWHGTEVAVKRFLDQDITGEALAEFRSEVRIMKRVRHPNVVLFMGAVTRAPNLSIVTEFIPRGSLYRLLHRPNNQLDDRRRLRMALDAARGMNYLHSCTPMIVHRDLKSPNLLVDKNWVVKVCDFGLSRIKNSTFLSSRSTAGTAEWMAPEVLRNEPSDEKCDVYSFGVILWELSTLQQPWGGMNPMQVVGAVGFQHRSLDIPNDMDPAIADIIRKCWQTDPRLRPTFAEIMAALKLLQKPITGPQVPRPNAPLRSGH >Potri.012G144500.4.v4.1 pep chromosome:Pop_tri_v4:12:15505519:15507927:-1 gene:Potri.012G144500.v4.1 transcript:Potri.012G144500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144500.v4.1 MGLAFNHAIIDGTSTWHFMSSWAQICSGLPSVTVLPFLDRTKVRNTKVKLHPPPPDQDAQNASSNGTADAKPVDPPLRERVFKFSESAIDKIKSKVNSNPPSDGSKPFSTFQSLAVHMWRHVTQARQLKPEDHTVFTVFADCRKRVDPPMPESYFGNLIQAVYTVTAVGLLSMNPPEFGASMIQKAIEMHDAKAIEERNRQFERSPKIFQFKDAGVNCVSVGSSPRFPVYEVDFGWGKPETVRSGINNRFDGMVYLYRGKSGGRSIDAEISLEAGAMERLEEDKEFVVEVN >Potri.012G144500.1.v4.1 pep chromosome:Pop_tri_v4:12:15505441:15508157:-1 gene:Potri.012G144500.v4.1 transcript:Potri.012G144500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G144500.v4.1 MTPNHTRITSSISIPCLSLQLSITTQIICLSCVGPEAQASFSALIRHTPEYHQQSAMAPEAEYKEEEIMKVKITGKSHVKPSKKLGRRECRLVTFDLPYIAFHYNQKLLVYKGNEYEDMVGKLKDGLGAVLEDFYQLAGKLARDEEGVLSVEYDDDMEGVEVVEANAEWISVEDLAVELGTSTLKELIPYNGILNSEGLHRPLLSMQLTKLEDGLAMGLAFNHAIIDGTSTWHFMSSWAQICSGLPSVTVLPFLDRTKVRNTKVKLHPPPPDQDAQNASSNGTADAKPVDPPLRERVFKFSESAIDKIKSKVNSNPPSDGSKPFSTFQSLAVHMWRHVTQARQLKPEDHTVFTVFADCRKRVDPPMPESYFGNLIQAVYTVTAVGLLSMNPPEFGASMIQKAIEMHDAKAIEERNRQFERSPKIFQFKDAGVNCVSVGSSPRFPVYEVDFGWGKPETVRSGINNRFDGMVYLYRGKSGGRSIDAEISLEAGAMERLEEDKEFVVEVN >Potri.009G016000.1.v4.1 pep chromosome:Pop_tri_v4:9:2729208:2732653:-1 gene:Potri.009G016000.v4.1 transcript:Potri.009G016000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016000.v4.1 MGKVSYKKLRGSQNLRQRLLLSTLSATPVQVEDIRANDMMPGLRPHEVSLLRLLEKISDDCVVKINETGTKFQYKPGIVMGGRHLVHDCGVSRAIGYFLEPLVVLGLFSKKPLSIRLKGITNDSKDPCVDTFRSATLPLLKQFGVPSEGLELKIESRGAPPHGGGEVLLSVPIIQSLTAVTWIDEGMVKRIRGVTFSTRVSSQFENTMIHAARGIFNRLLPDVHIFTDHKAGQQAGNSPGYGISLVAETTSGCFITADTAVSYARADDGGMEGEKQELVPAEDVGEQIASVLLNEIEQGGVVDTTHQGLLFLLCALCPQDVSKIRVGKLGTHGIETLRLIRDFLGVKFVIKPDPSTGTVILKCVGSGLKNLSRKSS >Potri.001G223720.1.v4.1 pep chromosome:Pop_tri_v4:1:24019167:24022874:-1 gene:Potri.001G223720.v4.1 transcript:Potri.001G223720.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223720.v4.1 MGSIAQLSRNSFPDGFVFGSSSSAYQFEGETNRRGKGPNIWDTFIEEHPERISDHSNAKVAVDFYNRYKEDVQRMRGMGMDAFRFSISWSRVLPHGRLSAGINEEGIQFYNNLIDELIKNGIQPYVTLFHWDTPQAIEDKYGGFLSPNILIDFRDFVELCFQRFGDRVKHWITLNEPFMFSVNGYDTGTLAPGRISTLENYPGQPKISGATEVYIVTHHLLLAHATAVKVYKEKYQTCQGGKIGITLVSHWFEPYSTSESDRMATERSLDFMLGWYMDPLTKGDYPQNMHDYVGGRLPRFSEEESKMLRGSYDFIGVNYYTTYYAQNVEDVDYKNIGFMEDARVNWPGERNGIPIGPQAGSSWLYIYPEGIRHLLNYIKDAYENPTIYITENGVDDVNSSSLEEALNDAIREQYYKDIFHNVLKSINDHGVDVKGFFAWSFLDDFEWASGYGSRFGLFYIDYENNLKRYAKNSVKWFKQFLKKDESTQLNDNIKSKSRMEEASARSRKKSRID >Potri.011G156300.1.v4.1 pep chromosome:Pop_tri_v4:11:18277617:18280616:1 gene:Potri.011G156300.v4.1 transcript:Potri.011G156300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156300.v4.1 MPKSKRNRPVTLSKTKKKGREHKESIVNSIRDAVEKYNSIYVFSFENMRNLKFKEFREQHKLTSRFFLGSNKVMQVSLGRSAADEIRPGLHKVSKLLCGDSGLFLTNLSREEVERLFNEYEEYDFARTGTTATETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGVIDLVSNFVVCEEGKPLSPESSRILRLLGTKMATFRLHLICRWSPEDFELYREGLDESDVESA >Potri.019G046201.1.v4.1 pep chromosome:Pop_tri_v4:19:6529988:6530588:1 gene:Potri.019G046201.v4.1 transcript:Potri.019G046201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G046201.v4.1 MMLANASKPLDQLELINTLERLGLSYHFVDEIKSTLKSLFDENHIENTETVHDLYAIALEFRLLRQRGYHVPQEVFNHFKDEQGNFRAWIHDDLKGMLNLYEASYFLVEGENILEDARDFTTKNLENYVKKCNTIFRVGEPCLGASIGLEDAKIGGPLVHQFV >Potri.016G053600.1.v4.1 pep chromosome:Pop_tri_v4:16:3513676:3518829:1 gene:Potri.016G053600.v4.1 transcript:Potri.016G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G053600.v4.1 MFAADAVLYLWRNMAKMPLFVFFKDARSVFRKDELGLEIAQIAIPAALALAADPIASLIDTAFIGHIGPVELAAVGVSIAVFNQVSKIAIFPLVSITTSFVAEEDATGGLTTEDHEDAKLQGGFAVNKEMEELLPQAESTYKSSSVSSNYTKREYERRHIPSASSALLVGCVLGIIQTLFLTFSAKPILSYMGVNYDSPMLIPAERYLILRSLGAPAVLLSLAMQGVFRGIKDTKTPLYATVIGDAANIVLDPIFIFVFRMDVSGAAIAHVISQYLISIILLWKLIKHVDLLSPSMEDLQIGRFLKNGCLLLVRVIAATACVTLAASLATRHGSTSMAAFQVSLQIWLATSLLADGLAVAGQAILASAFAKKDYDKATATASRVLQYALVLGVVLSIILSVGLQFASRLFTKDASVLHLISVGIPFVAATQPINVLAFVFDGVNYGVSDFAYSAYSMVLVAIISILCLFTLSSSHGYVGIWVALATFMSLRALAGFLRIGTGMGPWRFLKS >Potri.005G075300.1.v4.1 pep chromosome:Pop_tri_v4:5:5065109:5068007:-1 gene:Potri.005G075300.v4.1 transcript:Potri.005G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G075300.v4.1 MATVSPLAKYKLVFLGDQSVGKTSIITRFMYDKFDTTYQATIGIDFLSKTMYLEDRTIRLQLWDTAGQERFRSLIPSYIRDSSVAVIVYDVANRQSFLNTSKWIEEVRTERGGDVIVVLVGNKTDLVDKRQVSIEEGDGKAREFGVMFIETSAKAGFNIKPLFRKIAAALPGMETLSSTKQEDMVDVNLKPTVNSSQAEQQGGGCAC >Potri.019G132450.1.v4.1 pep chromosome:Pop_tri_v4:19:15458345:15459895:1 gene:Potri.019G132450.v4.1 transcript:Potri.019G132450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G132450.v4.1 MNRISRLVDSIDSRHGISRQTLLLSIIATASSLRADLHLNDDTLPPTICPLEFEFLQNHAYTCWLRSIDEGGITVIIDSTLIRKSFLAIHTSQLTETIKETTSAHFPTQLFSTSPNHFPNPQDPPFE >Potri.012G131000.1.v4.1 pep chromosome:Pop_tri_v4:12:14590824:14596516:1 gene:Potri.012G131000.v4.1 transcript:Potri.012G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G131000.v4.1 MPSLSLTSNNSPVDPKKEKKMKKKIVLETQETDPLMVSSKKEKKKEKRKAVEFDDDDEERSETSSELGEPVNLKKKSKKAKVVEEEEDEEEVKAEDPNAVTRFRISEPLREALKKRGIEALFPIQARTFEDILNGCDLVGRARTGQGKTLAFVLPILESLVNGPAKASRKTGYGRPPSVLVLLPTRELATQVFEDFKVYGGAVGLNSCCVYGGASYQPQEFALKRGVDIVVGTPGRIKDHIEKGNIDLSLLKFRVLDESDEMLRMGFVEDVELILGKVEDVRKVQTLLFSATLPDWVKNISSRFLKPTKKTIDLVGNEKMKASTNVRHIVLPCSTAAMPQVIPDVISCYGSGGRTIIFTEKRESANELAGLLPGARALHGEIQQSKREVTLSGFRSGKFLTLVATNVAARGLDINDVQLIIQCEPPNDVEAYIHRSGRTGRAGNSGVAVMLYDPRRSNISKIQRESGVKFEHITAPRAEDIAKAAGVGAAETITQVSDSVIPAFKSAAENLLSTSGLSAVELLAKALAKATGYTEIKSRSLLTSMDNHVTLLLESGKPIYTPSFAFSVMRRILPEDKVESVTGMSLTADGNGAVFDVKKEDVDTFLAAQENAAGVNIEVVKALPSLQERDRPRGRFGGGGGGGGRGRGGFGDRSGGNRFSGGRGGRGGGFSDRRNGSGGFQGRNNGNKW >Potri.013G077900.9.v4.1 pep chromosome:Pop_tri_v4:13:6650417:6662392:1 gene:Potri.013G077900.v4.1 transcript:Potri.013G077900.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077900.v4.1 MAAKRRGRNVQELYNATEIIGEPKEPSQAEKGLGKPSMRRKVRMRAESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGIVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKANIRSTDADASAESQMLPKLSSGRAVAEDHFSPKPQCLSDQKTLSKKHGDPKSEEGQDDTISCVSRASDASKVVSYPKKNLDRDNLLRSSALEVEGSGKALVSHNSGSLETPSNDADAGSSSPKVQTKCLSLNANGKCLDEHPSLHDHGKPFECPMEQVNLSLSKEAASNIDCGGNLAAHNNADNHANGKSTINAESSKVSCKIYSKLELEADKDSGDQSNEGFKGSEQVGREEKLNDLEELTDMQEIHLQSASMDESDESEILEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMRDMLQKVPEGDWLCEECKLAEETENQKPDAEEKRMNSTQSSGKRQAETIELVPVPKRQATESSLASPKSCSPSRIAALSRDTSFKSLDKGKVKIAHQTYFGNRLSIDIRETAHPSLNGSRVQTPKGTLLKSNSFNTVNSKPKVKLVNEFPQKHKGTRESSLDMKERPARMMSKSMSFKSVNSGRSVTIESKGKMISSKYSHTQDARGLKQVKDQNAIDRKNLLRLDRPLGSSMPNSAVSTPKVDQRITPRGESAIASSPSINRELKSTQSDGKLGTLSRSTSVGRKSADIPGTSVRVSSTHGISSSSVEQKSNQISPKDEPSSSSWNAERQLNNANENLQDGLPQSRESSNQGEKVRESSVSHLRPAGTTGLKIVTCQKCKEVGHATENCTVVSPMASGTDLPISRTAREGMSKGSKLKAAIEVAMLKRPGIYRKKKESDQSDGVSLLNVDASSEIQDQFSVLNKMNEGTLERQANHGASSSEFSKSTNINNVKQLNEHSTDTVYPSKVGQLDFIAPYLGKPAHTSVEKSVLMKMSAIPEHEYIWQGVLEVHRSEKFIDLYGGIQAHLSTCASPKVHDMVNKFPQNINLDEVPRLSTWPRQFHISGAKEENIALYFFAKDFESYENYKGLLDNMIKKDLALKGSFGGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSESNSFKKLVIPSLNVVPRDKDIPAAVLSSPENLCPSECIVKETSACDSSCDVPLTSNAPEKPCVSLNRNSDNKVFNSQTIQESQDGKLDSKSVPKIPGSNTPWCPEVRRSSSSLEEVGHPECSMDVEFKSCAEVTGTNSSSDVVEIQMHEGTSCFGEGMPSLKIFGVGSQDSGGRTTFGEEKIVDRTYCDRNNVKVETDLNEENVNLDVEASSEKTPRKRPYIDLSETAPLTSSSVTHKALWNKADNNKLVDGESIRKKLKTGFRELYGGSGSRDGNSLSGSFTSQTCDLGSSSSIEEKSYDKASDEKVILEDLGTSERFFFPVDSHRVKDIWLPGNSMPWNSSNDEDKVHDGIPNLELALGAETKSPNKGILPFFGLVEKNDNQNKPPDKVLNKEEDDGVSASLSLSLSFPFPDKEQTVKPVSKTEQLVPERRHVNTSLLLFGDLSDK >Potri.013G077900.3.v4.1 pep chromosome:Pop_tri_v4:13:6650421:6662306:1 gene:Potri.013G077900.v4.1 transcript:Potri.013G077900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077900.v4.1 MAAKRRGRNVQELYNATEIIGEPKEPSQAEKGLGKPSMRRKVRMRAESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGIVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKANIRSTDADASAESQMLPKLSSGRAVAEDHFSPKPQCLSDQKTLSKKHGDPKSEEGQDDTISCVSRASDASKVVSYPKKNLDRDNLLRSSALEVEGSGKALVSHNSGSLETPSNDADAGSSSPKVQTKCLSLNANGKCLDEHPSLHDHGKPFECPMEQVNLSLSKEAASNIDCGGNLAAHNNADNHANGKSTINAESSKVSCKIYSKLELEADKDSGDQSNEGFKGSEQVGREEKLNDLEELTDMQEIHLQSASMDESDESEILEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMRDMLQKVPEGDWLCEECKLAEETENQKPDAEEKRMNSTQSSGKRQAETIELVPVPKRQATESSLASPKSCSPSRIAALSRDTSFKSLDKGKVKIAHQTYFGNRLSIDIRETAHPSLNGSRVQTPKGTLLKSNSFNTVNSKPKVKLVNEFPQKHKGTRESSLDMKERPARMMSKSMSFKSVNSGRSVTIESKGKMISSKYSHTQDARGLKQVKDQNAIDRKNLLRLDRPLGSSMPNSAVSTPKVDQRITPRGESAIASSPSINRELKSTQSDGKLGTLSRSTSVGRKSADIPVRVSSTHGISSSSVEQKSNQISPKDEPSSSSWNAERQLNNANENLQDGLPQSRESSNQGEKVRESSVSHLRPAGTTGLKIVTCQKCKEVGHATENCTVVSPMASGTDLPISRTAREGMSKGSKLKAAIEVAMLKRPGIYRKKKESDQSDGVSLLNVDASSEIQDQFSVLNKMNEGTLERQANHGASSSEFSKSTNINNVKQLNEHSTDTVYPSKVGQLDFIAPYLGKPAHTSVEKSVLMKMSAIPEHEYIWQGVLEVHRSEKFIDLYGGIQAHLSTCASPKVHDMVNKFPQNINLDEVPRLSTWPRQFHISGAKEENIALYFFAKDFESYENYKGLLDNMIKKDLALKGSFGGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSESNSFKKLVIPSLNVVPRDKDIPAAVLSSPENLCPSECIVKETSACDSSCDVPLTSNAPEKPCVSLNRNSDNKVFNSQTIQESQDGKLDSKSVPKIPGSNTPWCPEVRRSSSSLEEVGHPECSMDVEFKSCAEVTGTNSSSDVVEIQMHEGTSCFGEGMPSLKIFGVGSQDSGGRTTFGEEKIVDRTYCDRNNVKVETDLNEENVNLDVEASSEKTPRKRPYIDLSETAPLTSSSVTHKALWNKADNNKLVDGESIRKKLKTGFRELYGGSGSRDGNSLSGSFTSQTCDLGSSSSIEEKSYDKASDEKVILEDLGTSERFFFPVDSHRVKDIWLPGNSMPWNSSNDEDKVHDGIPNLELALGAETKSPNKGILPFFGLVEKNDNQNKPPDKVLNKEEDDGVSASLSLSLSFPFPDKEQTVKPVSKTEQLVPERRHVNTSLLLFGDLSDK >Potri.013G077900.1.v4.1 pep chromosome:Pop_tri_v4:13:6650413:6663103:1 gene:Potri.013G077900.v4.1 transcript:Potri.013G077900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077900.v4.1 MAAKRRGRNVQELYNATEIIGEPKITSVLREGHRMEGPLDKTQKKYMEPSQAEKGLGKPSMRRKVRMRAESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGIVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKANIRSTDADASAESQMLPKLSSGRAVAEDHFSPKPQCLSDQKTLSKKHGDPKSEEGQDDTISCVSRASDASKVVSYPKKNLDRDNLLRSSALEVEGSGKALVSHNSGSLETPSNDADAGSSSPKVQTKCLSLNANGKCLDEHPSLHDHGKPFECPMEQVNLSLSKEAASNIDCGGNLAAHNNADNHANGKSTINAESSKVSCKIYSKLELEADKDSGDQSNEGFKGSEQVGREEKLNDLEELTDMQEIHLQSASMDESDESEILEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMRDMLQKVPEGDWLCEECKLAEETENQKPDAEEKRMNSTQSSGKRQAETIELVPVPKRQATESSLASPKSCSPSRIAALSRDTSFKSLDKGKVKIAHQTYFGNRLSIDIRETAHPSLNGSRVQTPKGTLLKSNSFNTVNSKPKVKLVNEFPQKHKGTRESSLDMKERPARMMSKSMSFKSVNSGRSVTIESKGKMISSKYSHTQDARGLKQVKDQNAIDRKNLLRLDRPLGSSMPNSAVSTPKVDQRITPRGESAIASSPSINRELKSTQSDGKLGTLSRSTSVGRKSADIPGTSVRVSSTHGISSSSVEQKSNQISPKDEPSSSSWNAERQLNNANENLQDGLPQSRESSNQGEKVRESSVSHLRPAGTTGLKIVTCQKCKEVGHATENCTVVSPMASGTDLPISRTAREGMSKGSKLKAAIEVAMLKRPGIYRKKKESDQSDGVSLLNVDASSEIQDQFSVLNKMNEGTLERQANHGASSSEFSKSTNINNVKQLNEHSTDTVYPSKVGQLDFIAPYLGKPAHTSVEKSVLMKMSAIPEHEYIWQGVLEVHRSEKFIDLYGGIQAHLSTCASPKVHDMVNKFPQNINLDEVPRLSTWPRQFHISGAKEENIALYFFAKDFESYENYKGLLDNMIKKDLALKGSFGGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSESNSFKKLVIPSLNVVPRDKDIPAAVLSSPENLCPSECIVKETSACDSSCDVPLTSNAPEKPCVSLNRNSDNKVFNSQTIQESQDGKLDSKSVPKIPGSNTPWCPEVRRSSSSLEEVGHPECSMDVEFKSCAEVTGTNSSSDVVEIQMHEGTSCFGEGMPSLKIFGVGSQDSGGRTTFGEEKIVDRTYCDRNNVKVETDLNEENVNLDVEASSEKTPRKRPYIDLSETAPLTSSSVTHKALWNKADNNKLVDGESIRKKLKTGFRELYGGSGSRDGNSLSGSFTSQTCDLGSSSSIEEKSYDKASDEKVILEDLGTSERFFFPVDSHRVKDIWLPGNSMPWNSSNDEDKVHDGIPNLELALGAETKSPNKGILPFFGLVEKNDNQNKPPDKVLNKEEDDGVSASLSLSLSFPFPDKEQTVKPVSKTEQLVPERRHVNTSLLLFGDLSDK >Potri.013G077900.4.v4.1 pep chromosome:Pop_tri_v4:13:6650421:6663111:1 gene:Potri.013G077900.v4.1 transcript:Potri.013G077900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077900.v4.1 MAAKRRGRNVQELYNATEIIGEPKITSVLREGHRMEGPLDKTQKKYMEPSQAEKGLGKPSMRRKVRMRAESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGIVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKANIRSTDADASAESQMLPKLSSGRAVAEDHFSPKPQCLSDQKTLSKKHGDPKSEEGQDDTISCVSRASDASKVVSYPKKNLDRDNLLRSSALEVEGSGKALVSHNSGSLETPSNDADAGSSSPKVQTKCLSLNANGKCLDEHPSLHDHGKPFECPMEQVNLSLSKEAASNIDCGGNLAAHNNADNHANGKSTINAESSKVSCKIYSKLELEADKDSGDQSNEGFKGSEQVGREEKLNDLEELTDMQEIHLQSASMDESDESEILEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMRDMLQKVPEGDWLCEECKLAEETENQKPDAEEKRMNSTQSSGKRQAETIELVPVPKRQATESSLASPKSCSPSRIAALSRDTSFKSLDKGKVKIAHQTYFGNRLSIDIRETAHPSLNGSRVQTPKGTLLKSNSFNTVNSKPKVKLVNEFPQKHKGTRESSLDMKERPARMMSKSMSFKSVNSGRSVTIESKGKMISSKYSHTQDARGLKQVKDQNAIDRKNLLRLDRPLGSSMPNSAVSTPKVDQRITPRGESAIASSPSINRELKSTQSDGKLGTLSRSTSVGRKSADIPVRVSSTHGISSSSVEQKSNQISPKDEPSSSSWNAERQLNNANENLQDGLPQSRESSNQGEKVRESSVSHLRPAGTTGLKIVTCQKCKEVGHATENCTVVSPMASGTDLPISRTAREGMSKGSKLKAAIEVAMLKRPGIYRKKKESDQSDGVSLLNVDASSEIQDQFSVLNKMNEGTLERQANHGASSSEFSKSTNINNVKQLNEHSTDTVYPSKVGQLDFIAPYLGKPAHTSVEKSVLMKMSAIPEHEYIWQGVLEVHRSEKFIDLYGGIQAHLSTCASPKVHDMVNKFPQNINLDEVPRLSTWPRQFHISGAKEENIALYFFAKDFESYENYKGLLDNMIKKDLALKGSFGGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSESNSFKKLVIPSLNVVPRDKDIPAAVLSSPENLCPSECIVKETSACDSSCDVPLTSNAPEKPCVSLNRNSDNKVFNSQTIQESQDGKLDSKSVPKIPGSNTPWCPEVRRSSSSLEEVGHPECSMDVEFKSCAEVTGTNSSSDVVEIQMHEGTSCFGEGMPSLKIFGVGSQDSGGRTTFGEEKIVDRTYCDRNNVKVETDLNEENVNLDVEASSEKTPRKRPYIDLSETAPLTSSSVTHKALWNKADNNKLVDGESIRKKLKTGFRELYGGSGSRDGNSLSGSFTSQTCDLGSSSSIEEKSYDKASDEKVILEDLGTSERFFFPVDSHRVKDIWLPGNSMPWNSSNDEDKVHDGIPNLELALGAETKSPNKGILPFFGLVEKNDNQNKPPDKVLNKEEDDGVSASLSLSLSFPFPDKEQTVKPVSKTEQLVPERRHVNTSLLLFGDLSDK >Potri.013G077900.2.v4.1 pep chromosome:Pop_tri_v4:13:6650421:6662304:1 gene:Potri.013G077900.v4.1 transcript:Potri.013G077900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077900.v4.1 MEGPLDKTQKKYMEPSQAEKGLGKPSMRRKVRMRAESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGIVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKANIRSTDADASAESQMLPKLSSGRAVAEDHFSPKPQCLSDQKTLSKKHGDPKSEEGQDDTISCVSRASDASKVVSYPKKNLDRDNLLRSSALEVEGSGKALVSHNSGSLETPSNDADAGSSSPKVQTKCLSLNANGKCLDEHPSLHDHGKPFECPMEQVNLSLSKEAASNIDCGGNLAAHNNADNHANGKSTINAESSKVSCKIYSKLELEADKDSGDQSNEGFKGSEQVGREEKLNDLEELTDMQEIHLQSASMDESDESEILEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMRDMLQKVPEGDWLCEECKLAEETENQKPDAEEKRMNSTQSSGKRQAETIELVPVPKRQATESSLASPKSCSPSRIAALSRDTSFKSLDKGKVKIAHQTYFGNRLSIDIRETAHPSLNGSRVQTPKGTLLKSNSFNTVNSKPKVKLVNEFPQKHKGTRESSLDMKERPARMMSKSMSFKSVNSGRSVTIESKGKMISSKYSHTQDARGLKQVKDQNAIDRKNLLRLDRPLGSSMPNSAVSTPKVDQRITPRGESAIASSPSINRELKSTQSDGKLGTLSRSTSVGRKSADIPGTSVRVSSTHGISSSSVEQKSNQISPKDEPSSSSWNAERQLNNANENLQDGLPQSRESSNQGEKVRESSVSHLRPAGTTGLKIVTCQKCKEVGHATENCTVVSPMASGTDLPISRTAREGMSKGSKLKAAIEVAMLKRPGIYRKKKESDQSDGVSLLNVDASSEIQDQFSVLNKMNEGTLERQANHGASSSEFSKSTNINNVKQLNEHSTDTVYPSKVGQLDFIAPYLGKPAHTSVEKSVLMKMSAIPEHEYIWQGVLEVHRSEKFIDLYGGIQAHLSTCASPKVHDMVNKFPQNINLDEVPRLSTWPRQFHISGAKEENIALYFFAKDFESYENYKGLLDNMIKKDLALKGSFGGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSESNSFKKLVIPSLNVVPRDKDIPAAVLSSPENLCPSECIVKETSACDSSCDVPLTSNAPEKPCVSLNRNSDNKVFNSQTIQESQDGKLDSKSVPKIPGSNTPWCPEVRRSSSSLEEVGHPECSMDVEFKSCAEVTGTNSSSDVVEIQMHEGTSCFGEGMPSLKIFGVGSQDSGGRTTFGEEKIVDRTYCDRNNVKVETDLNEENVNLDVEASSEKTPRKRPYIDLSETAPLTSSSVTHKALWNKADNNKLVDGESIRKKLKTGFRELYGGSGSRDGNSLSGSFTSQTCDLGSSSSIEEKSYDKASDEKVILEDLGTSERFFFPVDSHRVKDIWLPGNSMPWNSSNDEDKVHDGIPNLELALGAETKSPNKGILPFFGLVEKNDNQNKPPDKVLNKEEDDGVSASLSLSLSFPFPDKEQTVKPVSKTEQLVPERRHVNTSLLLFGDLSDK >Potri.013G077900.8.v4.1 pep chromosome:Pop_tri_v4:13:6650421:6662354:1 gene:Potri.013G077900.v4.1 transcript:Potri.013G077900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077900.v4.1 MRRKVRMRAESGTCNVCSAPCSSCMHLKLACMGSKGDEFSDETCRVTASSQYSNNDGDGIVSFKSRARDSLQHTTSEASNLLSVSSSHDSLSENAESKANIRSTDADASAESQMLPKLSSGRAVAEDHFSPKPQCLSDQKTLSKKHGDPKSEEGQDDTISCVSRASDASKVVSYPKKNLDRDNLLRSSALEVEGSGKALVSHNSGSLETPSNDADAGSSSPKVQTKCLSLNANGKCLDEHPSLHDHGKPFECPMEQVNLSLSKEAASNIDCGGNLAAHNNADNHANGKSTINAESSKVSCKIYSKLELEADKDSGDQSNEGFKGSEQVGREEKLNDLEELTDMQEIHLQSASMDESDESEILEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMRDMLQKVPEGDWLCEECKLAEETENQKPDAEEKRMNSTQSSGKRQAETIELVPVPKRQATESSLASPKSCSPSRIAALSRDTSFKSLDKGKVKIAHQTYFGNRLSIDIRETAHPSLNGSRVQTPKGTLLKSNSFNTVNSKPKVKLVNEFPQKHKGTRESSLDMKERPARMMSKSMSFKSVNSGRSVTIESKGKMISSKYSHTQDARGLKQVKDQNAIDRKNLLRLDRPLGSSMPNSAVSTPKVDQRITPRGESAIASSPSINRELKSTQSDGKLGTLSRSTSVGRKSADIPGTSVRVSSTHGISSSSVEQKSNQISPKDEPSSSSWNAERQLNNANENLQDGLPQSRESSNQGEKVRESSVSHLRPAGTTGLKIVTCQKCKEVGHATENCTVVSPMASGTDLPISRTAREGMSKGSKLKAAIEVAMLKRPGIYRKKKESDQSDGVSLLNVDASSEIQDQFSVLNKMNEGTLERQANHGASSSEFSKSTNINNVKQLNEHSTDTVYPSKVGQLDFIAPYLGKPAHTSVEKSVLMKMSAIPEHEYIWQGVLEVHRSEKFIDLYGGIQAHLSTCASPKVHDMVNKFPQNINLDEVPRLSTWPRQFHISGAKEENIALYFFAKDFESYENYKGLLDNMIKKDLALKGSFGGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSESNSFKKLVIPSLNVVPRDKDIPAAVLSSPENLCPSECIVKETSACDSSCDVPLTSNAPEKPCVSLNRNSDNKVFNSQTIQESQDGKLDSKSVPKIPGSNTPWCPEVRRSSSSLEEVGHPECSMDVEFKSCAEVTGTNSSSDVVEIQMHEGTSCFGEGMPSLKIFGVGSQDSGGRTTFGEEKIVDRTYCDRNNVKVETDLNEENVNLDVEASSEKTPRKRPYIDLSETAPLTSSSVTHKALWNKADNNKLVDGESIRKKLKTGFRELYGGSGSRDGNSLSGSFTSQTCDLGSSSSIEEKSYDKASDEKVILEDLGTSERFFFPVDSHRVKDIWLPGNSMPWNSSNDEDKVHDGIPNLELALGAETKSPNKGILPFFGLVEKNDNQNKPPDKVLNKEEDDGVSASLSLSLSFPFPDKEQTVKPVSKTEQLVPERRHVNTSLLLFGDLSDK >Potri.013G077900.10.v4.1 pep chromosome:Pop_tri_v4:13:6653745:6663105:1 gene:Potri.013G077900.v4.1 transcript:Potri.013G077900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G077900.v4.1 MEQVNLSLSKEAASNIDCGGNLAAHNNADNHANGKSTINAESSKVSCKIYSKLELEADKDSGDQSNEGFKGSEQVGREEKLNDLEELTDMQEIHLQSASMDESDESEILEHDVKVCDICGDAGREDLLAICSRCTDGAEHTYCMRDMLQKVPEGDWLCEECKLAEETENQKPDAEEKRMNSTQSSGKRQAETIELVPVPKRQATESSLASPKSCSPSRIAALSRDTSFKSLDKGKVKIAHQTYFGNRLSIDIRETAHPSLNGSRVQTPKGTLLKSNSFNTVNSKPKVKLVNEFPQKHKGTRESSLDMKERPARMMSKSMSFKSVNSGRSVTIESKGKMISSKYSHTQDARGLKQVKDQNAIDRKNLLRLDRPLGSSMPNSAVSTPKVDQRITPRGESAIASSPSINRELKSTQSDGKLGTLSRSTSVGRKSADIPGTSVRVSSTHGISSSSVEQKSNQISPKDEPSSSSWNAERQLNNANENLQDGLPQSRESSNQGEKVRESSVSHLRPAGTTGLKIVTCQKCKEVGHATENCTVVSPMASGTDLPISRTAREGMSKGSKLKAAIEVAMLKRPGIYRKKKESDQSDGVSLLNVDASSEIQDQFSVLNKMNEGTLERQANHGASSSEFSKSTNINNVKQLNEHSTDTVYPSKVGQLDFIAPYLGKPAHTSVEKSVLMKMSAIPEHEYIWQGVLEVHRSEKFIDLYGGIQAHLSTCASPKVHDMVNKFPQNINLDEVPRLSTWPRQFHISGAKEENIALYFFAKDFESYENYKGLLDNMIKKDLALKGSFGGVEFFIFPSTQLPENSQRWNMLYFLWGVFRGRRSESNSFKKLVIPSLNVVPRDKDIPAAVLSSPENLCPSECIVKETSACDSSCDVPLTSNAPEKPCVSLNRNSDNKVFNSQTIQESQDGKLDSKSVPKIPGSNTPWCPEVRRSSSSLEEVGHPECSMDVEFKSCAEVTGTNSSSDVVEIQMHEGTSCFGEGMPSLKIFGVGSQDSGGRTTFGEEKIVDRTYCDRNNVKVETDLNEENVNLDVEASSEKTPRKRPYIDLSETAPLTSSSVTHKALWNKADNNKLVDGESIRKKLKTGFRELYGGSGSRDGNSLSGSFTSQTCDLGSSSSIEEKSYDKASDEKVILEDLGTSERFFFPVDSHRVKDIWLPGNSMPWNSSNDEDKVHDGIPNLELALGAETKSPNKGILPFFGLVEKNDNQNKPPDKVLNKEEDDGVSASLSLSLSFPFPDKEQTVKPVSKTEQLVPERRHVNTSLLLFGDLSDK >Potri.013G115000.1.v4.1 pep chromosome:Pop_tri_v4:13:12339735:12348391:-1 gene:Potri.013G115000.v4.1 transcript:Potri.013G115000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G115000.v4.1 MDSSSLSPYILLFNALSQIPTCHYLVAFLIISITFLYKFLEVHFFEDVFFKSQGTPITYNPSSPFCNAILSSCKILHSRFVATTWISSPHFQTAFLQFCGRSPVFSYRRHLFRTSDGGTIALDWLMNADVSGGAFGINGASLPMNNAISQDETTPIVVVVPGLASSSTSNYLKNLAFNLAKHGWNVVVSNHRGLGGVSITSDCFYNAGWTEDLRAVANYLHDKYPKAPLFAIGTSIGANILVKYLGEDGEGTPIAGAVAVCNPWDLLIGDRFICRRLLQRIYDKALTIGLQGYAQLHEPLYTRLANWEGIKTARCIRDYDHYATCHVGKFETVDTYYRHCSSAAYVGNVSVPLLCISALDDPVCTREAIPWDECRANPNIVLATPQHGGHLAFFEGLTASSSWWIRAVDEFLGVLRSSPYIHVQKKQTSGQHSALDSSIDQYPHLHVAEDGIVTAASGEEKTDGIEDIKNLQMLSEEKTTEMLSNAEQEKHVTEAKSDFMSETCQTSEIAKNIQGVESPDITSPLRKIPKSDFAAE >Potri.016G057900.1.v4.1 pep chromosome:Pop_tri_v4:16:3923783:3925774:1 gene:Potri.016G057900.v4.1 transcript:Potri.016G057900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G057900.v4.1 MEVAEEHALHHFSHQHPLGRPIAPSTGNITCDGCSLRILPGKDFYNCKTCSFFLHRVCSNMPRKTRHPAHPDHYLTLLVSPPLDNSNFKCEACGDHITGFCYNVTESNIYYHILCSVLPISVITYSHPHALKIEFSPPYDFSCDLCKNPSSKGWLYRCSFCEFDTHIACAISNKKAEPVPLLDTLTRQIMEADRKIDYGSQSNELMQLIVLGVERDIERNDQEVVSTAVAGWDERLHSPKEQHSVKIKGIERFGLTHLEPYSPNIPPSPEDRSRHQDPSTQISEDMTVASYQFSEMCFSIDLLKSYPSADHHPYSTNMEASSQYIKDVPRVEAKIKSDNVAMPQGVQKHRYPNMPVTDPLYRGPNNWYNEAFLGQTGEKIIATGYESRAGIKDQSPKSNMKSSRYSCCWKLLPYFYRSRYEKCSKSFKIGGL >Potri.003G013000.6.v4.1 pep chromosome:Pop_tri_v4:3:3196447:3198695:1 gene:Potri.003G013000.v4.1 transcript:Potri.003G013000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013000.v4.1 MQNCMASNGVQSYAGLQAAPPPVSGVTQTIPNTVVQNPNMQSIPGVSQNSVGNSMGQGIPSTMFANSQRQMPASLDSTAQTGHANGADWQEEIYQKIKVMKKTYFPEINEMYQRIAAKLQQLDSLPQQPKSEQLEKLKVFKAMLECLITFLQVSKNNITPSFKEKLGSYEKQIVSFLKPSRFRRSIPNLQLGQLPQPHVQPMQQPQSPVPQLQSHENQLNPQLQSLNVHGSIPTMQQNNMSSLQHGSLSSLSGVSMSQSITMMRDERF >Potri.008G204400.2.v4.1 pep chromosome:Pop_tri_v4:8:14887112:14892985:-1 gene:Potri.008G204400.v4.1 transcript:Potri.008G204400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G204400.v4.1 MGCVFGKELNAKKCAVEREGGGGERRREVEVRVAKAEENEVCNGENEKGNNNDVVEERRRREKRNRSSKPNPRLGNAPKHIHGEQVAAGWPSWLSAVAGEAINGWTPRRADTFEKLDKIGQGTYSNVYKARDTLTGKIVALKKVRFDNLEPESVKFMAREILILRRLDHPNVVKLEGLVTSRMSCSLYLVFEYMEHDLAGLAASPNIKFTEPQVKCYMHQLLSGLEHCHNRCVLHRDIKGSNLLIGNDGVLKIADFGLASFFDPNHKQPMTSRVVTLWYRPPELLLGATDYGVGVDLWSAGCILAELLAGKPIMPGRTEVEQLHKIFKLCGSPSEEYWKKSKLPHATIFKPQQSYKRCIAETFKDFPPSSLPLIETLLAIDPAERRTATAALRSEFFTTKPYACDPSSLPKYPPSKEMDAKLRDEESRRLRAVGKASADGMKKARSRDRVARANPAPEANAELQANLDRRRLITHANAKSKSEKFPPPHQDGTLGYPLGSSHHIDPVFDPPDVPFSTTNFSYSKAHIQTWSGPLVDPAAVGAPKRKKQR >Potri.017G001800.2.v4.1 pep chromosome:Pop_tri_v4:17:103896:107402:-1 gene:Potri.017G001800.v4.1 transcript:Potri.017G001800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001800.v4.1 MVLLSASTKDLLSNAVHFHHQNRSFSPLYHKPTQLSFSSSSSIGFGTTSIGFERKERSSIVETAAVRHLEGSVTKTEGFRFALVVARFNEIITRPLLEGAVATFKKYSVKEEDIDVVWVPGSFEIGIVAERLGKSGKYHAVVCIGAVVRGDTTHYDAVANSAASGVLSAGLNSGVPCIFGVLTCEDMEQAINRAGGKSGNKGAEAALTAIEMASLFEHHLK >Potri.013G073600.1.v4.1 pep chromosome:Pop_tri_v4:13:6145198:6149796:1 gene:Potri.013G073600.v4.1 transcript:Potri.013G073600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073600.v4.1 MVADQSSSSSTATPSPIKTVVVLVQENRSFDHMLGWLKTINPEINGATGSESNPISSSDSNSTLVFFGDQAAYVDPDPGHSIQDIYEQVFGVPWTEASLSDDNKPPPKMNGFAQNAERLQKGMAQTVMNGFKPDAVPVYKELAENFAICDRWFASVPASTQPNRLYVHSATSHGATSNNRQLLIEGYPQKTIFESLDESGFTFGIYYQYPPATLFYRNLRKLKYLTKFHQFDLHFKKHCEEGKLPNYVVIEQRFFDLLSIPANDDHPSHDVSEGQKFVKKVYEALRASPQWNEILFIIIYDEHGGFYDHVPTPVTGVPSPDDIVGPAPYNFKFDRLGVRVPAFLISPWIEPGTVLHAPSGPYPTSEFEHSSIAATVKKIFNLKEFLTKRDAWAGTFEGILTRTSPRVDCPVTLVEPVKLREGAAKEDAKLSEFQEELVEMAAVLNGDLKKDIYPQQLVDGLNVSDGAKYVEKAFKRFCDECDKAKKNGVDDSEIICLEKPATTHRASKSFVQKLFSCLVCDNN >Potri.013G149800.3.v4.1 pep chromosome:Pop_tri_v4:13:14653302:14654932:1 gene:Potri.013G149800.v4.1 transcript:Potri.013G149800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149800.v4.1 MVARGYEPNLHTYNTIINGLCKIGDTSVAAGLLKKMDEAGCEPDVVTYNTIIDNLCKDRLVNEALDIFSQMKGKSIKPDVITYTSLMHGLCNSGQWKEASALLNEMMGLNIMLDVVTFSMLIDTLCKEGEVSEAQGVLKQMTEKGVEPNIFTYNSLMDGYCLRREVVEARKILDVMISKGCSPDVFSYSILINGYCKTKRIDDAKQVFDEMIHQGLIPDTVSYSNLIGGLFQAGRVLEAKGLLKDMYTQGHSLNLITCSILLDGLIKQGCFDQALGLFRDMQNSYLKPDLAIYNIIIDAMCKSGKLKDARELFLELSVKGLQPNVRVWTTIINGLCKEGLLDEAYKAFRQMEEDGCPPDNCSYNVFIRGLLQHKDPRAVQLISEMTGKGFSADVHTTELVVDDDLVVKQLLGSCEVHQGEKVVLSKSGPSRQPLAFLLCGC >Potri.013G149800.2.v4.1 pep chromosome:Pop_tri_v4:13:14652867:14654912:1 gene:Potri.013G149800.v4.1 transcript:Potri.013G149800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149800.v4.1 MAMKRLSLLLAPSSAASPASPAFLGFPFERSQIRNMGMILKPSLLFKDNNPFCCLHSCSSSGSYRHTKDKKGCSFSNINDALSAFNHMLHLQPQPSIVQFSKLLSAVVRMRYYETVVFLSKQMELAGISHNVYTFNILINCFCHLHADSGFSVLTKIIKLGFEPSVVTFSTLVNGLCIEGRIARAVEFFNDMVARGYEPNLHTYNTIINGLCKIGDTSVAAGLLKKMDEAGCEPDVVTYNTIIDNLCKDRLVNEALDIFSQMKGKSIKPDVITYTSLMHGLCNSGQWKEASALLNEMMGLNIMLDVVTFSMLIDTLCKEGEVSEAQGVLKQMTEKGVEPNIFTYNSLMDGYCLRREVVEARKILDVMISKGCSPDVFSYSILINGYCKTKRIDDAKQVFDEMIHQGLIPDTVSYSNLIGGLFQAGRVLEAKGLLKDMYTQGHSLNLITCSILLDGLIKQGCFDQALGLFRDMQNSYLKPDLAIYNIIIDAMCKSGKLKDARELFLELSVKGLQPNVRVWTTIINGLCKEGLLDEAYKAFRQMEEDGCPPDNCSYNVFIRGLLQHKDPRAVQLISEMTGKGFSADVHTTELVVDDDLVVKQLLGSCEVHQGEKVVLSKSGPSRQPLAFLLCGC >Potri.013G149800.1.v4.1 pep chromosome:Pop_tri_v4:13:14652868:14656890:1 gene:Potri.013G149800.v4.1 transcript:Potri.013G149800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149800.v4.1 MAMKRLSLLLAPSSAASPASPAFLGFPFERSQIRNMGMILKPSLLFKDNNPFCCLHSCSSSGSYRHTKDKKGCSFSNINDALSAFNHMLHLQPQPSIVQFSKLLSAVVRMRYYETVVFLSKQMELAGISHNVYTFNILINCFCHLHADSGFSVLTKIIKLGFEPSVVTFSTLVNGLCIEGRIARAVEFFNDMVARGYEPNLHTYNTIINGLCKIGDTSVAAGLLKKMDEAGCEPDVVTYNTIIDNLCKDRLVNEALDIFSQMKGKSIKPDVITYTSLMHGLCNSGQWKEASALLNEMMGLNIMLDVVTFSMLIDTLCKEGEVSEAQGVLKQMTEKGVEPNIFTYNSLMDGYCLRREVVEARKILDVMISKGCSPDVFSYSILINGYCKTKRIDDAKQVFDEMIHQGLIPDTVSYSNLIGGLFQAGRVLEAKGLLKDMYTQGHSLNLITCSILLDGLIKQGCFDQALGLFRDMQNSYLKPDLAIYNIIIDAMCKSGKLKDARELFLELSVKGLQPNVRVWTTIINGLCKEGLLDEAYKAFRQMEEDGCPPDNCSYNVFIRGLLQHKDPRAVQLISEMTGKGFSADVHTTELVVDDDLVVKQLLGSCEVHQGEKAHCDGFRRK >Potri.003G175600.1.v4.1 pep chromosome:Pop_tri_v4:3:18262495:18263760:1 gene:Potri.003G175600.v4.1 transcript:Potri.003G175600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175600.v4.1 MSTLKITSMLSKFLTARGKTTSIGRPSHRVFVTATSRPLQDKKDKQTAEACQSVTEAAESVKDGARSVKKAAETVTNMSKEVTKKVSDTAEAITDKAASIIKEKVVGK >Potri.013G032700.1.v4.1 pep chromosome:Pop_tri_v4:13:2114298:2115098:-1 gene:Potri.013G032700.v4.1 transcript:Potri.013G032700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G032700.v4.1 MVKLSTLCFLFVLFLASDEKLVLMVGARDCHKVWTCKGQNRCWEDCKNRYSGTGLCDLYTAPPVPKQCFCAYKC >Potri.017G150000.1.v4.1 pep chromosome:Pop_tri_v4:17:14851915:14853941:1 gene:Potri.017G150000.v4.1 transcript:Potri.017G150000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G150000.v4.1 MEEALVLYPSPPIGHLVSMVELGKLLLTRRPSLSIHILIAASPYVAGKADKYMATVSANVPSIDFHHLPIVTPVSTNITHHEELTLEVLRLSKPHVHEELLNISKRYKIHGLVMDFFCTSGLSVATELDIPSYFFLTSGACFLAFFLYLPTLHQKTSKSFKDMKDHYLDIPGLPPLLASDLPNPFLDRDNQAYQHFLDFATQFPQASGIMINTFELLESRVVKAISDGLCVPNNRTPPISCIGPLIVADDKRGGSGKSSPEDVHECLSWLDSQPSQSVVFLCFGSLGLFTKEQLWEIATGLENSGQRFLWVVRNPPSHNLKVAIKEQGDPDLDSLLPEGFLERTKERGYVVKSWAPQVAIVNHSSVGGFVTHCGWNSTLEAVYAGLPMVAWPLYAEQRLNRVVLVEEMKLALSMNESEDGFVSADEVEKKVRGLMESKEGKMIRERALAMKNEAKAALSEGGSSHVALSKLLESWKHEK >Potri.014G100700.10.v4.1 pep chromosome:Pop_tri_v4:14:6649469:6651942:-1 gene:Potri.014G100700.v4.1 transcript:Potri.014G100700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100700.v4.1 MGTVTQWKQLLLSALESNSHLKHSPYFQFATIGCNGRPSNRSVVFRGFEENSDRIQINTDCRTRKIEELKHCPFAEICWYFSDSWEQFRINGRVDVIDGSNPDPEKLQQREKSWFASSLKSRLQYLGPNPGLPCLSEQSLNEFFLDPSSGPVATFCLLVLDPDQVDYLNLKSNQRTVSTLSRCANGEMCWNSEMINP >Potri.014G069700.3.v4.1 pep chromosome:Pop_tri_v4:14:4412312:4416953:-1 gene:Potri.014G069700.v4.1 transcript:Potri.014G069700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069700.v4.1 MARNKEGLVLLLDVGPTMYSVLPEIKKVCSMLIQKKLIYGKFDEVGVVVFGTQETDNELTKEVGGYEHVVVLRNIKVVDGDLVDAFQELPRGNFDGDYLDAIVVGMDMLIKKYQATNKGKKRLCLITNALCPIKDSCEGTKEDQVNTIAAQMSAHGMKMESVIVRGRLCGGGDQRIMAENDRLLNLFSEKTSARAVYVESPTALLGAVKTRNISPVTIFRGDLELGSKMKIKVWVYKKTSEEKFPTLKKYSDKAPPTDRFATHEVKVDYEYKSVEDPNKVVPPEQRIKGYRYGPQVVPISSAEWDAVKFKPEKSVKLLGFTDASNIMRHYYMKDVNVFIPEPGNARAALAVSALARAMKEMNKVAILRCVWRQGQGSVVVGVLTPNISEKDSTPDSFYFNVLPFAEDVREFQFPSFSSFPASWQPNEQQQEAADNLVKMLDLAPSAKQEALLPDFTPNPVLERFYRHLELKSKHPDAAVPPLDETLKTITEADPDLLSEKKNVMDAFYKSFELKENPRLKKSSKRLLEKKPSGSDEDYQDTTNALVVKPVKVEKIGDSSPVQDFEAMMSCRDSPDWVSKAIQDMKNKIYSLVENSYDGDNHGKAMECLLALRKGCILEQVGLLYLLDVLVSKLV >Potri.014G069700.2.v4.1 pep chromosome:Pop_tri_v4:14:4411255:4416953:-1 gene:Potri.014G069700.v4.1 transcript:Potri.014G069700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069700.v4.1 MARNKEGLVLLLDVGPTMYSVLPEIKKVCSMLIQKKLIYGKFDEVGVVVFGTQETDNELTKEVGGYEHVVVLRNIKVVDGDLVDAFQELPRGNFDGDYLDAIVVGMDMLIKKYQATNKGKKRLCLITNALCPIKDSCEGTKEDQVNTIAAQMSAHGMKMESVIVRGRLCGGGDQRIMAENDRLLNLFSEKTSARAVYVESPTALLGAVKTRNISPVTIFRGDLELGSKMKIKVWVYKKTSEEKFPTLKKYSDKAPPTDRFATHEVKVDYEYKSVEDPNKVVPPEQRIKGYRYGPQVVPISSAEWDAVKFKPEKSVKLLGFTDASNIMRHYYMKDVNVFIPEPGNARAALAVSALARAMKEMNKVAILRCVWRQGQGSVVVGVLTPNISEKDSTPDSFYFNVLPFAEDVREFQFPSFSSFPASWQPNEQQQEAADNLVKMLDLAPSAKQEALLPDFTPNPVLERFYRHLELKSKHPDAAVPPLDETLKTITEADPDLLSEKKNVMDAFYKSFELKENPRLKKSSKRLLEKKPSGSDEDYQDTTNALVVKPVKVEKIGDSSPVQDFEAMMSCRDSPDWVSKAIQDMKNKIYSLVENSYDGDNHGKAMECLLALRKGCILEQEPKQFNDFLHHLFNVRQEKKFRNFCESLIPKGLTLISKSEAIDSEVTDDEARNFLVKKELKFE >Potri.001G090300.1.v4.1 pep chromosome:Pop_tri_v4:1:7148014:7151388:1 gene:Potri.001G090300.v4.1 transcript:Potri.001G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G090300.v4.1 MSVVDVGLSPIFKESGHFLPGYCGQKGPVIEDSSILIYISVGGSSIPMRVFESDSIAAVKLRIQTRKGFVVNKQKLVFGGRELARNDSLVKDYGVTRGNVLHLVLKLSDLLFVIVRTNSGEEFEFHVDRFRNVGYIKQRIFKEGKGFVDVEDQEIFFNGKKLDDQKIVDDICNDNDAAIHLLVEKSAKVRAKPLEKDFEILVVASNSTEKRDRSIDGGENRSEEVLVLSKERSGRNFMLEPVIVNPKVKLNSVFWNMINSALGGLEKGNAPIRSSEGTGGTYFLQDPSGQEFVSVFKPVDEEPMAVNNPQGLPVSSNGEGLKRGTRVGEGALREVAAYILDHPRSGPRAVNGETIGFAGVPPTVIVQCLHKGFNHPEGFENAMEYAKIGSLQMFMKNEGNCEDIGPGAFPVEEVHKISVFDIRMANTDRHAGNILISTGEDGQTILIPIDHGYCLPEKFEDCTFDWLYWPQARQPYSPEVVDYINSLDAEHDIALVQFYGWNIPLECARVLRISTMLLKKGVERGLTPFAIGSIMCRENLNKESVIEEIIREAEDSLLPGMSEAAFLEAVSNIMDYRLDEFTG >Potri.013G116400.6.v4.1 pep chromosome:Pop_tri_v4:13:12493552:12502680:-1 gene:Potri.013G116400.v4.1 transcript:Potri.013G116400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116400.v4.1 MCHYCGADQAKLKDEKQKLENGDSLKLNGEEPIWSCQFCQEKQEPELMNHDGLSHSVSPMISPSTSLSISDRSISSCSDLSVDVNSHDRAHQEEGTVHSAQKDLGYGVNDQQHNTRLEAPVNRVDGLHKVTGKDSHNGSDRDTVRDVEIVELVNDQESEGNSSANSVGFSNEGNDISQISDDEVDARVWEPPEAEDPEDDLDGGVAFIDDDEECGDGTKWGKPSSLSCWRGEGSQSFKFKEEKQKAMEEVVNGKFKAIVSQLLKTAGVACVVRDGESWVDIVTSLSWEAASFLKPEAVDGKAMDLDGYVKVKCIATGSRSESQVVEGLVFKKHAAHKHMPTKYKNPRLLLIRGVLGHSSSVLSSFKSMEQEKDNLKSLVETIEMCHPNVVLVEKSVSRDVQESILAKGITLIYDVKLHRLKRIARCTGSPILSSDALISQKLKHCDSFHIERFVEEHAGVGEGGKKPSKTLMFIEGCPTHLGCTILLKGSHSDELKRVKYVTQFAVVIAYHLILETSFLVDWKTMFSSAVFAGVASNSSRDLQSSVLGTSIPSIEESTTETGSSTIDIPICNGFHEEGFHNINIGLEGYNPAILSGFSSLSASLKKVAGDSLPLVSSSPHQSLSNYVGFNGKEINGQISEEVPVLKTVEASDLYDMEGKKGSDKEKTVDDGYPQSLSPCSEASLDRVKDVNYNEDQIQSEGDVNAVLDSQSILVLMSRRNALRGTVCEQSHFSHIMFYKNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIQVKRLLKILPGEAEGKLWMWIRCGKCKHESKFPKSTKRVLISTAACSLSLGKFLELSFSHQFSSGILFSCGHSLERDFLYFFGLGPLAAMFKYSPVTTYTLSLPPQKLEFHTIRPDGPKQEFHDVYVRGMLLFNGVGETLKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFEKAVVKNRDEAVYKLLSLNQLLWELLLESCIWERRLQSLLSPDPSVLVTGASEKEVQDRFESQMTGTADGRNHGNDTSSDKVYENSGKLRDTLSTTVRASEFSIKEIPVDGHDHESREHDNLYTSPTVAEDIERSRVSSLSQNRFFNQELFVKPSDSAHQHSDDGNCQADYFSDIQVERTIPIVTSIGMSDSFVDSDSSKKGTSARSLAFSLENSNGWFWMPFSEIRRIYMKDLQRGFMPKFQPISSYIQEHVSAAYQLIMEEGQRLHIPVGTDNYMVRDYDGELSSIIACALAFLEDQPVSTELYNEDGRKEGGMSFKSTDSLDILTRIPTMISPRWSSNGSDSDSVHSKLNISLEESHLSSFDGLNLLEAVVPPANLSPEVSLAVSKSFGKGKYSVICLYAKQFRDLRNRCCPSELDYIASLSRCKKWDAKGGKSNSFFAKTLDDRFIIKEIKRTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVITRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGAGDVLLDKNFVDDMNSSPLYVSNTSKYLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPIEYKKRFRKFMTVHFLSVPDNWCSQSSSNPCELCGAGEDGSSQSKSQKQGQNGHTH >Potri.013G116400.2.v4.1 pep chromosome:Pop_tri_v4:13:12493535:12502627:-1 gene:Potri.013G116400.v4.1 transcript:Potri.013G116400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116400.v4.1 MCSMCHYCGADQAKLKDEKQKLENGDSLKLNGEEPIWSCQFCQEKQEPELMNHDGLSHSVSPMISPSTSLSISDRSISSCSDLSVDVNSHDRAHQEEGTVHSAQKDLGYGVNDQQHNTRLEAPVNRVDGLHKVTGKDSHNGSDRDTVRDVEIVELVNDQESEGNSSANSVGFSNEGNDISQISDDEVDARVWEPPEAEDPEDDLDGGVAFIDDDEECGDGTKWGKPSSLSCWRGEGSQSFKFKEEKQKAMEEVVNGKFKAIVSQLLKTAGVACVVRDGESWVDIVTSLSWEAASFLKPEAVDGKAMDLDGYVKVKCIATGSRSESQVVEGLVFKKHAAHKHMPTKYKNPRLLLIRGVLGHSSSVLSSFKSMEQEKDNLKSLVETIEMCHPNVVLVEKSVSRDVQESILAKGITLIYDVKLHRLKRIARCTGSPILSSDALISQKLKHCDSFHIERFVEEHAGVGEGGKKPSKTLMFIEGCPTHLGCTILLKGSHSDELKRVKYVTQFAVVIAYHLILETSFLVDWKTMFSSAVFAGVASNSSRDLQSSVLGTSIPSIEESTTETGSSTIDIPICNGFHEEGFHNINIGLEGYNPAILSGFSSLSASLKKVAGDSLPLVSSSPHQSLSNYVGFNGKEINGQISEEVPVLKTVEASDLYDMEGKKGSDKEKTVDDGYPQSLSPCSEASLDRVKDVNYNEDQIQSEGDVNAVLDSQSILVLMSRRNALRGTVCEQSHFSHIMFYKNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIQVKRLLKILPGEAEGKLWMWIRCGKCKHESKFPKSTKRVLISTAACSLSLGKFLELSFSHQFSSGILFSCGHSLERDFLYFFGLGPLAAMFKYSPVTTYTLSLPPQKLEFHTIRPDGPKQEFHDVYVRGMLLFNGVGETLKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFEKAVVKNRDEAVYKLLSLNQLLWELLLESCIWERRLQSLLSPDPSVLVTGASEKEVQDRFESQMTGTADGRNHGNDTSSDKVYENSGKLRDTLSTTVRASEFSIKEIPVDGHDHESREHDNLYTSPTVAEDIERSRVSSLSQNRFFNQELFVKPSDSAHQHSDDGNCQADYFSDIQVERTIPIVTSIGMSDSFVDSDSSKKGTSARSLAFSLENSNGWFWMPFSEIRRIYMKDLQRGFMPKFQPISSYIQEHVSAAYQLIMEEGQRLHIPVGTDNYMVRDYDGELSSIIACALAFLEDQPVSTELYNEDGRKEGGMSFKSTDSLDILTRIPTMISPRWSSNGSDSDSVHSKLNISLEESHLSSFDGLNLLEAVVPPANLSPEVSLAVSKSFGKGKYSVICLYAKQFRDLRNRCCPSELDYIASLSRCKKWDAKGGKSNSFFAKTLDDRFIIKEIKRTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVITRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGAGDVLLDKNFVDDMNSSPLYVSNTSKYLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPIEYKKRFRKFMTVHFLSVPDNWCSQSSSNPCELCGAGEDGSSQSKSQKQGQNGHTH >Potri.013G116400.7.v4.1 pep chromosome:Pop_tri_v4:13:12493535:12502674:-1 gene:Potri.013G116400.v4.1 transcript:Potri.013G116400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116400.v4.1 MCHYCGADQAKLKDEKQKLENGDSLKLNGEEPIWSCQFCQEKQEPELMNHDGLSHSVSPMISPSTSLSISDRSISSCSDLSVDVNSHDRAHQEEGTVHSAQKDLGYGVNDQQHNTRLEAPVNRVDGLHKVTGKDSHNGSDRDTVRDVEIVELVNDQESEGNSSANSVGFSNEGNDISQISDDEVDARVWEPPEAEDPEDDLDGGVAFIDDDEECGDGTKWGKPSSLSCWRGEGSQSFKFKEEKQKAMEEVVNGKFKAIVSQLLKTAGVACVVRDGESWVDIVTSLSWEAASFLKPEAVDGKAMDLDGYVKVKCIATGSRSESQVVEGLVFKKHAAHKHMPTKYKNPRLLLIRGVLGHSSSVLSSFKSMEQEKDNLKSLVETIEMCHPNVVLVEKSVSRDVQESILAKGITLIYDVKLHRLKRIARCTGSPILSSDALISQKLKHCDSFHIERFVEEHAGVGEGGKKPSKTLMFIEGCPTHLGCTILLKGSHSDELKRVKYVTQFAVVIAYHLILETSFLVDWKTMFSSAVFAGVASNSSRDLQSSVLGTSIPSIEESTTETGSSTIDIPICNGFHEEGFHNINIGLEGYNPAILSGFSSLSASLKKVAGDSLPLVSSSPHQSLSNYVGFNGKEINGQISEEVPVLKTVEASDLYDMEGKKGSDKEKTVDDGYPQSLSPCSEASLDRVKDVNYNEDQIQSEGDVNAVLDSQSILVLMSRRNALRGTVCEQSHFSHIMFYKNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIQVKRLLKILPGEAEGKLWMWIRCGKCKHESKFPKSTKRVLISTAACSLSLGKFLELSFSHQFSSGILFSCGHSLERDFLYFFGLGPLAAMFKYSPVTTYTLSLPPQKLEFHTIRPDGPKQEFHDVYVRGMLLFNGVGETLKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFEKAVVKNRDEAVYKLLSLNQLLWELLLESCIWERRLQSLLSPDPSVLVTGASEKEVQDRFESQMTGTADGRNHGNDTSSDKVYENSGKLRDTLSTTVRASEFSIKEIPVDGHDHESREHDNLYTSPTVAEDIERSRVSSLSQNRFFNQELFVKPSDSAHQHSDDGNCQADYFSDIQVERTIPIVTSIGMSDSFVDSDSSKKGTSARSLAFSLENSNGWFWMPFSEIRRIYMKDLQRGFMPKFQPISSYIQEHVSAAYQLIMEEGQRLHIPVGTDNYMVRDYDGELSSIIACALAFLEDQPVSTELYNEDGRKEGGMSFKSTDSLDILTRIPTMISPRWSSNGSDSDSVHSKLNISLEESHLSSFDGLNLLEAVVPPANLSPEVSLAVSKSFGKGKYSVICLYAKQFRDLRNRCCPSELDYIASLSRCKKWDAKGGKSNSFFAKTLDDRFIIKEIKRTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVITRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGAGDVLLDKNFVDDMNSSPLYVSNTSKYLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPIEYKKRFRKFMTVHFLSVPDNWCSQSSSNPCELCGAGEDGSSQSKSQKQGQNGHTH >Potri.013G116400.3.v4.1 pep chromosome:Pop_tri_v4:13:12493540:12502681:-1 gene:Potri.013G116400.v4.1 transcript:Potri.013G116400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116400.v4.1 MCSMCHYCGADQAKLKDEKQKLENGDSLKLNGEEPIWSCQFCQEKQEPELMNHDGLSHSVSPMISPSTSLSISDRSISSCSDLSVDVNSHDRAHQEEGTVHSAQKDLGYGVNDQQHNTRLEAPVNRVDGLHKVTGKDSHNGSDRDTVRDVEIVELVNDQESEGNSSANSVGFSNEGNDISQISDDEVDARVWEPPEAEDPEDDLDGGVAFIDDDEECGDGTKWGKPSSLSCWRGEGSQSFKFKEEKQKAMEEVVNGKFKAIVSQLLKTAGVACVVRDGESWVDIVTSLSWEAASFLKPEAVDGKAMDLDGYVKVKCIATGSRSESQVVEGLVFKKHAAHKHMPTKYKNPRLLLIRGVLGHSSSVLSSFKSMEQEKDNLKSLVETIEMCHPNVVLVEKSVSRDVQESILAKGITLIYDVKLHRLKRIARCTGSPILSSDALISQKLKHCDSFHIERFVEEHAGVGEGGKKPSKTLMFIEGCPTHLGCTILLKGSHSDELKRVKYVTQFAVVIAYHLILETSFLVDWKTMFSSAVFAGVASNSSRDLQSSVLGTSIPSIEESTTETGSSTIDIPICNGFHEEGFHNINIGLEGYNPAILSGFSSLSASLKKVAGDSLPLVSSSPHQSLSNYVGFNGKEINGQISEEVPVLKTVEASDLYDMEGKKGSDKEKTVDDGYPQSLSPCSEASLDRVKDVNYNEDQIQSEGDVNAVLDSQSILVLMSRRNALRGTVCEQSHFSHIMFYKNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIQVKRLLKILPGEAEGKLWMWIRCGKCKHESKFPKSTKRVLISTAACSLSLGKFLELSFSHQFSSGILFSCGHSLERDFLYFFGLGPLAAMFKYSPVTTYTLSLPPQKLEFHTIRPDGPKQEFHDVYVRGMLLFNGVGETLKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFEKAVVKNRDEAVYKLLSLNQLLWELLLESCIWERRLQSLLSPDPSVLVTGASEKEVQDRFESQMTGTADGRNHGNDTSSDKVYENSGKLRDTLSTTVRASEFSIKEIPVDGHDHESREHDNLYTSPTVAEDIERSRVSSLSQNRFFNQELFVKPSDSAHQHSDDGNCQADYFSDIQVERTIPIVTSIGMSDSFVDSDSSKKGTSARSLAFSLENSNGWFWMPFSEIRRIYMKDLQRGFMPKFQPISSYIQEHVSAAYQLIMEEGQRLHIPVGTDNYMVRDYDGELSSIIACALAFLEDQPVSTELYNEDGRKEGGMSFKSTDSLDILTRIPTMISPRWSSNGSDSDSVHSKLNISLEESHLSSFDGLNLLEAVVPPANLSPEVSLAVSKSFGKGKYSVICLYAKQFRDLRNRCCPSELDYIASLSRCKKWDAKGGKSNSFFAKTLDDRFIIKEIKRTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVITRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGAGDVLLDKNFVDDMNSSPLYVSNTSKYLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPIEYKKRFRKFMTVHFLSVPDNWCSQSSSNPCELCGAGEDGSSQSKSQKQGQNGHTH >Potri.013G116400.4.v4.1 pep chromosome:Pop_tri_v4:13:12493535:12502620:-1 gene:Potri.013G116400.v4.1 transcript:Potri.013G116400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116400.v4.1 MCSMCHYCGADQAKLKDEKQKLENGDSLKLNGEEPIWSCQFCQEKQEPELMNHDGLSHSVSPMISPSTSLSISDRSISSCSDLSVDVNSHDRAHQEEGTVHSAQKDLGYGVNDQQHNTRLEAPVNRVDGLHKVTGKDSHNGSDRDTVRDVEIVELVNDQESEGNSSANSVGFSNEGNDISQISDDEVDARVWEPPEAEDPEDDLDGGVAFIDDDEECGDGTKWGKPSSLSCWRGEGSQSFKFKEEKQKAMEEVVNGKFKAIVSQLLKTAGVACVVRDGESWVDIVTSLSWEAASFLKPEAVDGKAMDLDGYVKVKCIATGSRSESQVVEGLVFKKHAAHKHMPTKYKNPRLLLIRGVLGHSSSVLSSFKSMEQEKDNLKSLVETIEMCHPNVVLVEKSVSRDVQESILAKGITLIYDVKLHRLKRIARCTGSPILSSDALISQKLKHCDSFHIERFVEEHAGVGEGGKKPSKTLMFIEGCPTHLGCTILLKGSHSDELKRVKYVTQFAVVIAYHLILETSFLVDWKTMFSSAVFAGVASNSSRDLQSSVLGTSIPSIEESTTETGSSTIDIPICNGFHEEGFHNINIGLEGYNPAILSGFSSLSASLKKVAGDSLPLVSSSPHQSLSNYVGFNGKEINGQISEEVPVLKTVEASDLYDMEGKKGSDKEKTVDDGYPQSLSPCSEASLDRVKDVNYNEDQIQSEGDVNAVLDSQSILVLMSRRNALRGTVCEQSHFSHIMFYKNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIQVKRLLKILPGEAEGKLWMWIRCGKCKHESKFPKSTKRVLISTAACSLSLGKFLELSFSHQFSSGILFSCGHSLERDFLYFFGLGPLAAMFKYSPVTTYTLSLPPQKLEFHTIRPDGPKQEFHDVYVRGMLLFNGVGETLKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFEKAVVKNRDEAVYKLLSLNQLLWELLLESCIWERRLQSLLSPDPSVLVTGASEKEVQDRFESQMTGTADGRNHGNDTSSDKVYENSGKLRDTLSTTVRASEFSIKEIPVDGHDHESREHDNLYTSPTVAEDIERSRVSSLSQNRFFNQELFVKPSDSAHQHSDDGNCQADYFSDIQVERTIPIVTSIGMSDSFVDSDSSKKGTSARSLAFSLENSNGWFWMPFSEIRRIYMKDLQRGFMPKFQPISSYIQEHVSAAYQLIMEEGQRLHIPVGTDNYMVRDYDGELSSIIACALAFLEDQPVSTELYNEDGRKEGGMSFKSTDSLDILTRIPTMISPRWSSNGSDSDSVHSKLNISLEESHLSSFDGLNLLEAVVPPANLSPEVSLAVSKSFGKGKYSVICLYAKQFRDLRNRCCPSELDYIASLSRCKKWDAKGGKSNSFFAKTLDDRFIIKEIKRTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVITRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGAGDVLLDKNFVDDMNSSPLYVSNTSKYLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPIEYKKRFRKFMTVHFLSVPDNWCSQSSSNPCELCGAGEDGSSQSKSQKQGQNGHTH >Potri.013G116400.1.v4.1 pep chromosome:Pop_tri_v4:13:12493535:12502627:-1 gene:Potri.013G116400.v4.1 transcript:Potri.013G116400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116400.v4.1 MCSMCHYCGADQAKLKDEKQKLENGDSLKLNGEEPIWSCQFCQEKQEPELMNHDGLSHSVSPMISPSTSLSISDRSISSCSDLSVDVNSHDRAHQEEGTVHSAQKDLGYGVNDQQHNTRLEAPVNRVDGLHKVTGKDSHNGSDRDTVRDVEIVELVNDQESEGNSSANSVGFSNEGNDISQISDDEVDARVWEPPEAEDPEDDLDGGVAFIDDDEECGDGTKWGKPSSLSCWRGEGSQSFKFKEEKQKAMEEVVNGKFKAIVSQLLKTAGVACVVRDGESWVDIVTSLSWEAASFLKPEAVDGKAMDLDGYVKVKCIATGSRSESQVVEGLVFKKHAAHKHMPTKYKNPRLLLIRGVLGHSSSVLSSFKSMEQEKDNLKSLVETIEMCHPNVVLVEKSVSRDVQESILAKGITLIYDVKLHRLKRIARCTGSPILSSDALISQKLKHCDSFHIERFVEEHAGVGEGGKKPSKTLMFIEGCPTHLGCTILLKGSHSDELKRVKYVTQFAVVIAYHLILETSFLVDWKTMFSSAVFAGVASNSSRDLQSSVLGTSIPSIEESTTETGSSTIDIPICNGFHEEGFHNINIGLEGYNPAILSGFSSLSASLKKVAGDSLPLVSSSPHQSLSNYVGFNGKEINGQISEEVPVLKTVEASDLYDMEGKKGSDKEKTVDDGYPQSLSPCSEASLDRVKDVNYNEDQIQSEGDVNAVLDSQSILVLMSRRNALRGTVCEQSHFSHIMFYKNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIQVKRLLKILPGEAEGKLWMWIRCGKCKHESKFPKSTKRVLISTAACSLSLGKFLELSFSHQFSSGILFSCGHSLERDFLYFFGLGPLAAMFKYSPVTTYTLSLPPQKLEFHTIRPDGPKQEFHDVYVRGMLLFNGVGETLKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFEKAVVKNRDEAVYKLLSLNQLLWELLLESCIWERRLQSLLSPDPSVLVTGASEKEVQDRFESQMTGTADGRNHGNDTSSDKVYENSGKLRDTLSTTVRASEFSIKEIPVDGHDHESREHDNLYTSPTVAEDIERSRVSSLSQNRFFNQELFVKPSDSAHQHSDDGNCQADYFSDIQVERTIPIVTSIGMSDSFVDSDSSKKGTSARSLAFSLENSNGWFWMPFSEIRRIYMKDLQRGFMPKFQPISSYIQEHVSAAYQLIMEEGQRLHIPVGTDNYMVRDYDGELSSIIACALAFLEDQPVSTELYNEDGRKEGGMSFKSTDSLDILTRIPTMISPRWSSNGSDSDSVHSKLNISLEESHLSSFDGLNLLEAVVPPANLSPEVSLAVSKSFGKGKYSVICLYAKQFRDLRNRCCPSELDYIASLSRCKKWDAKGGKSNSFFAKTLDDRFIIKEIKRTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVITRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGAGDVLLDKNFVDDMNSSPLYVSNTSKYLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPIEYKKRFRKFMTVHFLSVPDNWCSQSSSNPCELCGAGEDGSSQSKSQKQGQNGHTH >Potri.013G116400.5.v4.1 pep chromosome:Pop_tri_v4:13:12493535:12502680:-1 gene:Potri.013G116400.v4.1 transcript:Potri.013G116400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G116400.v4.1 MCHYCGADQAKLKDEKQKLENGDSLKLNGEEPIWSCQFCQEKQEPELMNHDGLSHSVSPMISPSTSLSISDRSISSCSDLSVDVNSHDRAHQEEGTVHSAQKDLGYGVNDQQHNTRLEAPVNRVDGLHKVTGKDSHNGSDRDTVRDVEIVELVNDQESEGNSSANSVGFSNEGNDISQISDDEVDARVWEPPEAEDPEDDLDGGVAFIDDDEECGDGTKWGKPSSLSCWRGEGSQSFKFKEEKQKAMEEVVNGKFKAIVSQLLKTAGVACVVRDGESWVDIVTSLSWEAASFLKPEAVDGKAMDLDGYVKVKCIATGSRSESQVVEGLVFKKHAAHKHMPTKYKNPRLLLIRGVLGHSSSVLSSFKSMEQEKDNLKSLVETIEMCHPNVVLVEKSVSRDVQESILAKGITLIYDVKLHRLKRIARCTGSPILSSDALISQKLKHCDSFHIERFVEEHAGVGEGGKKPSKTLMFIEGCPTHLGCTILLKGSHSDELKRVKYVTQFAVVIAYHLILETSFLVDWKTMFSSAVFAGVASNSSRDLQSSVLGTSIPSIEESTTETGSSTIDIPICNGFHEEGFHNINIGLEGYNPAILSGFSSLSASLKKVAGDSLPLVSSSPHQSLSNYVGFNGKEINGQISEEVPVLKTVEASDLYDMEGKKGSDKEKTVDDGYPQSLSPCSEASLDRVKDVNYNEDQIQSEGDVNAVLDSQSILVLMSRRNALRGTVCEQSHFSHIMFYKNFDVPLGKFLRDNLLNQRSQCNTCGELPEAHFYYYAHHNKQLTIQVKRLLKILPGEAEGKLWMWIRCGKCKHESKFPKSTKRVLISTAACSLSLGKFLELSFSHQFSSGILFSCGHSLERDFLYFFGLGPLAAMFKYSPVTTYTLSLPPQKLEFHTIRPDGPKQEFHDVYVRGMLLFNGVGETLKNLRSRFAGSVLNLQGSLKEFSDIEDMLKQESSEFEKAVVKNRDEAVYKLLSLNQLLWELLLESCIWERRLQSLLSPDPSVLVTGASEKEVQDRFESQMTGTADGRNHGNDTSSDKVYENSGKLRDTLSTTVRASEFSIKEIPVDGHDHESREHDNLYTSPTVAEDIERSRVSSLSQNRFFNQELFVKPSDSAHQHSDDGNCQADYFSDIQVERTIPIVTSIGMSDSFVDSDSSKKGTSARSLAFSLENSNGWFWMPFSEIRRIYMKDLQRGFMPKFQPISSYIQEHVSAAYQLIMEEGQRLHIPVGTDNYMVRDYDGELSSIIACALAFLEDQPVSTELYNEDGRKEGGMSFKSTDSLDILTRIPTMISPRWSSNGSDSDSVHSKLNISLEESHLSSFDGLNLLEAVVPPANLSPEVSLAVSKSFGKGKYSVICLYAKQFRDLRNRCCPSELDYIASLSRCKKWDAKGGKSNSFFAKTLDDRFIIKEIKRTEFESFVKFAPHYFKYMNESFELGNQTCLAKVLGIYQVITRQTKSGKEIKHDLMVMENLTFGRNITRQYDLKGALHARYNSAADGAGDVLLDKNFVDDMNSSPLYVSNTSKYLLERAVWNDTTFLNSINVMDYSLLVGVDTQRRELVCGIIDYLRQYTWDKQLETWVKSSLVVPKNVLPTVISPIEYKKRFRKFMTVHFLSVPDNWCSQSSSNPCELCGAGEDGSSQSKSQKQGQNGHTH >Potri.013G004901.2.v4.1 pep chromosome:Pop_tri_v4:13:329323:336943:-1 gene:Potri.013G004901.v4.1 transcript:Potri.013G004901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004901.v4.1 MTNQLNSHPSFMWWARDDAKFSEPPSRTPSLIGIERIPPTRRPSPVGSEHVPPTTRESSVDSEHISVISRPSSVGSNHISVVGDSVITLPTQGTVTPATTRANTPVFSGGASPEIRRKIANASPETARHVALRMYESMKRHGLREEAGKVVGKEENEIEEIKEGGVDRVRRKSEDLMGMDYLLRDGFVSYLRDMAKITPPIPQQAVRFSNIKLTRKFRVSDGTYETFGNKVVACFVGPIKTLLQEQDSTWLQVLKGVDGYIMPGSMTLLLGPPGSGKSSLLEVIAGRVKVTKNLILEGAVMYNDKYASEISLSRLIAYINGQLNKHIPFLSVRETLEFARDCTQGIRPENFTPKMRKFFAHALVERQDPVLEYVLEILSLKEIQHKLAGEEISDTDRQKLTTAELALGTYSVMLYDQPFSGSDLAATYDLVDTIRTISRIQQSSAIMSLTQLSQEVFDLFDRIILLGDGHVLFQGPRQDAIPYFSNLGYTKPSHVESNEFLEDIAAGNGYQYQEQGTRPFTLDELVACYKISDHYNDIIRIVDEVDVKYTYWVESEPGLGLSLKRPSKHHLSAHAQSSRETELVVAKLSRKVGHSGGIESTGRVQIGDVVTGISLNKEEMQYLSVVPQRIQHERASHVYSMLKQAKGCIRLQVERYKEKEEEYQSQWEQFQRPFVQTWWKSTKTLVKRQIKITKRLHALIKLRLLQVVLLGIFAGTLFYKLGGQYNQQKMNSIRALGFVSTMSIMLINLVQLPLYMLQRPIFYKHKAQRFFRVSSYIVANCIVNLPQTLLEALIYTLFAYFLAGLSMAGKGSPLFAYLALLFLVAYFGSSVFFFLSTISSIPEVGNALAGLLVSIFLLFSGFVIYPSNMPNYWKWLMYVNPVHWANVSFCRFQFIEGYPDPCSNYLGQLPFCDQFPTMTVGKAYLAFHELSEDSKRPWLPYVILLGWIAFANILTLLGLKNIEFVETSQSLPYVRKSTTVYNYEEDADSESQSNYSFSQNSEKYDASTYPSPQNSGTIYRKMKNNGTVDAWMEEFRVDIERNGLGIPVEPVTLLFEGLSFTRYNEGNKERSSVFSNITGYAEPQNMLALLGGTRTNKATLLKCLAGRVPCTGNLQANGFRPGAPFCRLIGYVEKLDAHQPYLSIRESLQFSAALRLGKEVGSMMRNIHVELVLSQLGLLPYSNQLVGSLCDSTGKTFEIAKTLTIAVELAANPGILLLEEPMLGLDTTGTSTVLNVLSKVSRSGRVIVASLTHANGRILSSFDLALILTEEGHQAYFGPVGYNCNELLEYFTSIPKAPRYSENATPVNFVMRALGLGIRKGQTPQINYAETYQTSFLQEINSKTISNAKKLIKERASEDQFSTYSAPYSRQAIWVLLRTQRFLWRNVQYTYGRLIGCIMIGLLMGSLYYQIEYKDTYGATSRMLYIYMQVLLIGVISANNVIPQIGTDRLVYFREKRAGMYLPVFYPVSWAVGEIPYIFIATLAVVGIGNGMAGIGTGSMAEFLQYWLVLFIFTLCVTYFGMMITFLAPLPTLAAFAVSIVTSMWVSASGVVVVLSDIRFYRWMYWSNPFQFAMNVMTSISFYCNTKECAANCGCPRFPDGSYVWDKLALLRSLDHGRMDTDILKLSAMCVLFASLAFIFFIVLKHNSPPQS >Potri.004G029900.1.v4.1 pep chromosome:Pop_tri_v4:4:2308964:2310569:1 gene:Potri.004G029900.v4.1 transcript:Potri.004G029900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G029900.v4.1 MSALSRLFRSTFSTATSAAATENTIVRSLSNEVFRERSLKRLVEKFKKASENERFRTKSAIYKDTIRRLAAAKKFRYVEEILENQKQYQDMSKEGFNARLISLYGSSGMFDNARKVFDEMLERKCARTVLSFNALLGACVNSKKFDEVGGLFRGLSEELEIEPDLVSYNTVMKAFCEMGSLDSAVSLLDEIEKKGLKPDLITFNTLLNGLYANGRFDAGERIWQRMKEKNVKPDGRSYNEKLLGLALEKRMKDATKVVEEMKSEGIEFDIFSYNALIRGFVNEGDLEEAKGWYGEIRKSDIKPDKLTFKTLIPFVVEKGDVAFAFDLCKDALSSKLAVKEALIQPVLDALAKESKINEAKELVELSKARRSDSLKLTLPSI >Potri.010G039000.1.v4.1 pep chromosome:Pop_tri_v4:10:6884789:6886993:1 gene:Potri.010G039000.v4.1 transcript:Potri.010G039000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G039000.v4.1 MDSEQRRKRKQQGRIYRPACAKLLLVRSLTVCLSFLVFLLFISSDRLPIRRDGSFRPVLRTSTMSLLPAFLTGGGGGGGISQYQNLVVEGRVLLPDHLVLIVSSKLTPPTDNLDCVYYDNMLERVVLKPVISVDGYHQQLKSIVRCHLPPLNFSASVNLRGRGWSGDVVVERREWLLRLNQSVVPSWNKVVYEAVLDSNGYTSNVVVFAKGLNLRPHREADARKFRCHFSLTDFDQGLFVFNTRAIAAAQEVFRCLLPPSILNNLDKAKDIRVSVSRVDYNVEGADEAPLPSVAKVQIINSHEHKSNTGKYELCACTMLWNQASFLREWIIYHAWLGIERWFIYDNNSDDEVQEVIDELNLHKYNITRHAWPWVKTQEAGFSHCALRAKHECKWLGFFDVDEFFYFPHRRGRYKPGPNSLRALVMKYSDSPKIAELRTVCHSYGPSGLTSPPSQGVTVGYTCRLEAPERHKSVVRPELLHTTLLNAVHHFKLRDGYKYLNVRESKVLVNHYKYQVWDSFKAKFFRRVSTYVTNWQEDHNKGSKDRAPGLGTEAIEPPDWRLRFCEVWDTGLKHFVMANLADSTSGFLPWERSLT >Potri.006G277100.1.v4.1 pep chromosome:Pop_tri_v4:6:26814264:26815758:1 gene:Potri.006G277100.v4.1 transcript:Potri.006G277100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G277100.v4.1 MLENEANPTISKSTGLPRKRFYRARAHSNPLSDSHFPVPISPSHVDYSLHYPQFFSSSGEVGSIKKVQFADVGCGFGGLLISLSTLFPETLMIGMELRDKVTEYVKERILALRTTNPGQYQNVSVVRTNSMKYIPNYFEKGQLTKMFFLFPDPHFKEKNHRRRVISPHLLDEYAYVLEVGGIIYSITDVEELGDWMKTCLENHPMFEALTEEELEADPAVKLLRTATEEGQKVARNGGQTFQAVYRRIAPSL >Potri.007G095400.1.v4.1 pep chromosome:Pop_tri_v4:7:12045322:12046966:-1 gene:Potri.007G095400.v4.1 transcript:Potri.007G095400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G095400.v4.1 MKHEKPYFKTIMGSYHQCFLFSATVLLHILLSTVFCFAQHDLQPPIASHEGAAFEEKARLGSTPPSCHNKCNGCHPCMAVQVPTLPNQNRPAQPVSTKTSIIDPFFDPYPAGNNRYSNYKPLGWKCRCGDHFYNPLS >Potri.004G164200.2.v4.1 pep chromosome:Pop_tri_v4:4:18377051:18378698:-1 gene:Potri.004G164200.v4.1 transcript:Potri.004G164200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G164200.v4.1 MINVHRHLLHQPQPLLTYQSNFLPITTLYSTSFPIRSPKSTVPIHLQKPFMYGTTIKCMANPRRVKMVAKQIQRELSDMLLTDKVLQYAVLPEAALGADKYLSSLTTISDVEVSADLQVVKVYVSVFGDDRGKEVAIAGLKSKAKYVRSQLGRRMKLRLTPEIRFIEDEGLERGSRVIAILDRIKAEKDNAESQVDELSDSSNSIQYDEDWEGDDPDEDIIYVK >Potri.009G091950.1.v4.1 pep chromosome:Pop_tri_v4:9:8390308:8391937:1 gene:Potri.009G091950.v4.1 transcript:Potri.009G091950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091950.v4.1 MWVTSVSFESFSMGLCIVQDITSDLEVFNLVIGLPDWPWTACNSVNFPGTTRGVCKREDPGQAAAYNACGTYYEGHALVLWWCITILLREKDGEKCLQEEGDYRVEDE >Potri.009G015400.11.v4.1 pep chromosome:Pop_tri_v4:9:2663565:2666369:-1 gene:Potri.009G015400.v4.1 transcript:Potri.009G015400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015400.v4.1 MTKNYPTVSEEYSKAVEKAKKKLRSLIAEKSCAPLMLRLAWHSAGTFDVKTKTGGPFGTMRYSAELAHGANNGLDIAVRLLESIKEQFPILSYADFYQLAGVVGVEITGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGHMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTANPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPIFRPYVDKYAADEDAFFADYSEAHLKLSELGFADA >Potri.009G015400.13.v4.1 pep chromosome:Pop_tri_v4:9:2663142:2666538:-1 gene:Potri.009G015400.v4.1 transcript:Potri.009G015400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015400.v4.1 MTKNYPTVSEEYSKAVEKAKKKLRSLIAEKSCAPLMLRLAWHSAGTFDVKTKTGGPFGTMRYSAELAHGANNGLDIAVRLLESIKEQFPILSYADFYQLAGVVGVEITGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGHMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTANPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPIFRPYVDKYAADEDAFFADYSEAHLKLSELGFADA >Potri.009G015400.14.v4.1 pep chromosome:Pop_tri_v4:9:2663636:2666286:-1 gene:Potri.009G015400.v4.1 transcript:Potri.009G015400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G015400.v4.1 MTKNYPTVSEEYSKAVEKAKKKLRSLIAEKSCAPLMLRLAWHSAGTFDVKTKTGGPFGTMRYSAELAHGANNGLDIAVRLLESIKEQFPILSYADFYQLAGVVGVEITGGPEVPFHPGREDKPEPPPEGRLPDATKGSDHLRDVFGHMGLSDKDIVALSGGHTLGRCHKERSGFEGPWTANPLIFDNSYFKELLSGEKEGLLQLPSDKALLSDPIFRPYVDKYAADEDAFFADYSEAHLKLSELGFADA >Potri.006G282000.1.v4.1 pep chromosome:Pop_tri_v4:6:27124693:27126074:1 gene:Potri.006G282000.v4.1 transcript:Potri.006G282000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G282000.v4.1 MDSPQRISIKEPQVILSPCSSRRRRASSDSNSPPEFEFWMVQNPSFPQPNLVTADELFVDGVLLPLYLLHHPNNNNNNNHPPDPDPDSTEPEPPSSQPDPEPEISPASITMEPTSSSKRWKDIIFKKGDKKTSTAAKKQEEKDKDKDKDKKREKRSQNGASSAELNINIWPFSRSRSEGNSVTRPKLFPGAPGTRKVSSAPCSRSNSAGESKSRKSWPSSPGRPGVHLSRSSPVWQVRRGGGSGTKSSFPEPVVRSGEKSSSKKEVTEPRRSKNTANVNGSSNGARAKVLNINVPVCIGYRNHLSCRSGVRGADGSDGGATKNAGGDCGGSSTTNVGNGGNLFNLRSLFTKKVY >Potri.003G128600.2.v4.1 pep chromosome:Pop_tri_v4:3:14746443:14749698:1 gene:Potri.003G128600.v4.1 transcript:Potri.003G128600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128600.v4.1 MEGKEEDVKLGANKFSERQPIGTSAQTDKDYKEAPPAPLFEPGELKSWSFYRAGIAEFIATFLFLYITVLTVMGVTKPGTSKCSTVGIQGIAWAFGGMIFALVYCTAGISGGHINPAVTFGLFLARKLSLTRAVFYIIMQCLGAICGAGVVKGLQGSHNYELQGGGANVVNHGYTKGDGLGAEIVGTFVLVYTVFSATDAKRNARDSHVPILAPLPIGFAVFLVHLATIPITGTGINPARSLGAAIIFNKDHAWDDHWIFWVGPFIGAALAAVYHQIVIRAIPFKSRA >Potri.008G023866.2.v4.1 pep chromosome:Pop_tri_v4:8:1202781:1206104:-1 gene:Potri.008G023866.v4.1 transcript:Potri.008G023866.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023866.v4.1 MGSPLPVMSILHCSGKFCSLLLLKCCIFLLGFRLDIGDGKEIDLKDCPRTRLCQECIQYLGPQERELYEYIIAEGTVVHKQNGNLLDTNQGLEGSKWIFVMSTCRKLNAGEKKKGAFHHSSFLAGGTTLAAGRLTAENGKLRSISAYSGHYRPTNQNLGGFLAFLEENGINLNEIRVLTPEDSESCESRELSQDRSKFGWSMDSKPSKLHASSKINNYQPSESSMSSQATRTCSYKRMLSANIQNTKANVPKKEILQRIKSKNEASSYQLGHQLSLKWSTGAGPRIGCVADYPLKLREQALTFVYLSSSDDLRKPSASELPGCLLSIIDLCRMM >Potri.005G164600.1.v4.1 pep chromosome:Pop_tri_v4:5:16316855:16320855:-1 gene:Potri.005G164600.v4.1 transcript:Potri.005G164600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164600.v4.1 MDWLCCFFPSYSQLVGGRSSSTSGKGKNHEGLIKYGFSLVKGKANHPMEDYHVAKFVQIQEHELGLFAIYDGHLGDTVPAYLQKHLFSNILKEEEFWVDPNRSISKAYERTDQAILSNSSDLGRGGSTAVTAILINSKRLWVANVGDSRAVLSRGGQARQMTTDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQEIDIDNNTEVLVLASDGLWKVMSNQEAVDIARRIKDPMKAAKQLTTEALKRESKDDISCVVVRFRG >Potri.005G164600.3.v4.1 pep chromosome:Pop_tri_v4:5:16316841:16320808:-1 gene:Potri.005G164600.v4.1 transcript:Potri.005G164600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164600.v4.1 MDWLCCFFPSYSQLVGGRSSSTSGKGKNHEGLIKYGFSLVKGKANHPMEDYHVAKFVQIQEHELGLFAIYDGHLGDTVPAYLQKHLFSNILKEEEFWVDPNRSISKAYERTDQAILSNSSDLGRGGSTAVTAILINSKRLWVANVGDSRAVLSRGGQARQMTTDHEPNTERGSIENKGGFVSNMPGDVPRVNGQLAVSRAFGDKSLKSHLRSDPDIQEIDIDNNTEVLVLASDGLWKVMSNQEAVDIARRIKDPMKAAKQLTTEALKRESKDDISCVVVRFRG >Potri.008G006300.1.v4.1 pep chromosome:Pop_tri_v4:8:295794:298985:-1 gene:Potri.008G006300.v4.1 transcript:Potri.008G006300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G006300.v4.1 MATFFMAKSTPRSSNIGSSSASPIVKLADDHLFTIMLLLPVDSLISFAMTCKRFRSLTTSDTLWESICRREWGSTSVDAFKSSINTNNNQQLPWMRLYKQVSQLDSFSCHKLPDPDSDLMLPTPRASHSLNFVSDCLVLFGGGREGGRDLDDTWVAYIGKDFQRMLKWQKVTSGIPSGRFGHTCAVIGENLVLFGGINDRGMRQNDTWVGQVVLGENLGITTLSWRLLDVSSVAPPPRGAHAACCIDKRTMVIHGGIGLYGLRLGDTWILELSENFCSGTWIELVAHPSPPPRSGHTLTCIEGTGTVLFGGRGLGYDVLHDVWLLQASEDQLKWVQMLYNLQDIPEGVSLPRVGHSATLILGGRLLIYGGEDSQRHRKGDFWVLDVSKIPSIKEQSTPLNSRGLQANMWRRLKAKGYKPNCRSFHRACADHSGRRLYVFGGMVDSLLHPAEASELRFDGELFLVKFELETGAVRC >Potri.003G064300.1.v4.1 pep chromosome:Pop_tri_v4:3:9193981:9197914:1 gene:Potri.003G064300.v4.1 transcript:Potri.003G064300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G064300.v4.1 MATESLSSARKVIVQLKATADAPILKQNKFKILGTDKFAKVIDFLSRQLQRESMFVYINSAFSPNPDELVIDLFNNFGVDGKLLVNYACSVAWG >Potri.001G341400.1.v4.1 pep chromosome:Pop_tri_v4:1:35189244:35197660:-1 gene:Potri.001G341400.v4.1 transcript:Potri.001G341400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G341400.v4.1 MKFLLQGAQRSLLRGFGRRYLATSTEQYAKRNYANNLSEYNTVFTSLTAKRRHYLLRDAYDDMVLDGVQPSRDTFHSLIAGTMKGSRLQDAFYFRDEMKTMGLLPDVVLYNFLISTCGKSKNSDQAIKILDEMKNSGVKPNGQTYICLLNACAAAGRLDPVYAIVRDMTSAGVGLNKFCYAGLIAAHKNKTPIAEDVATKIIELVEQSKGWSSVEASTGENAENVMMNVSEEELYNLPTADYVHKRRGFLRKELTVYHVAFHAFTELKNVQAMEVLVEMLKSEKKDPDVFILLQIMRCYLLSGDLDRALQVFNDYMNSMKPSFIELYTVLIEGAMVGHTPRGMQIALDKLEEMTQRSFFLFPKIANDLLLIAAGDKKGGYTTANYIWDLTQARKMPLSFPAVEAYYNGLKGRCVPEDDPRWLLVSSTYERLRPRSGAGTGPARQQAQNIKTDTKERMAF >Potri.003G025200.3.v4.1 pep chromosome:Pop_tri_v4:3:2682696:2686693:1 gene:Potri.003G025200.v4.1 transcript:Potri.003G025200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025200.v4.1 MDTGDWRTQLQPDSRQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQSHYLRKISLKMLTIETRSQNIIPTGNGNKPLYPGGKCAKSKL >Potri.016G078800.1.v4.1 pep chromosome:Pop_tri_v4:16:5974874:5975805:-1 gene:Potri.016G078800.v4.1 transcript:Potri.016G078800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078800.v4.1 MASECQGKSSWPELLGAQARVAIVTVETQNPNVDAQVVLEGTVVTGEFSCTRVRVWIDRNRIVTRVPIIG >Potri.004G004800.1.v4.1 pep chromosome:Pop_tri_v4:4:297853:302042:1 gene:Potri.004G004800.v4.1 transcript:Potri.004G004800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G004800.v4.1 MALSISRRVLRSFGSLSGFGRCGDSSSLTRQSFRASHDLYGHVSGDCLFSAESSSFIKGAVSSLAHRRLSTSNVTSESTDGSFPSDLLSAKNVVTPQREIGLYQDLVIPVTNFHNEDKGLMVLAGDVFDVPIRKDIIHRVVRWQLAKRQQGTHSTKTISEVSGTGRKPYRQKGTGRARHGTLRGPQFRGGAVMHGPKPRSHAFKLNKKVRRLGLKIALTARAAEGKLLVFEDLEVPTHKTKNIVNYVIEMENTKKLLVVDGGPINEKLKLATQNLHYVNLLPSIGLNVYSILQHDTLVMSRDAVNKIVERMHTPIKR >Potri.004G220100.1.v4.1 pep chromosome:Pop_tri_v4:4:22580740:22581054:-1 gene:Potri.004G220100.v4.1 transcript:Potri.004G220100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G220100.v4.1 MTIPMDSLSAFQIPEGKYSKEVVAVDTDGHRWSFRCSMRRKDPHRKPVLSSGWIKFVKNRGLKEGDEVIFSVAHNDGAEGPQFGIEARRKLTKLFGQDIWVNPL >Potri.013G058800.1.v4.1 pep chromosome:Pop_tri_v4:13:4288329:4293086:-1 gene:Potri.013G058800.v4.1 transcript:Potri.013G058800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058800.v4.1 MAIARTGVYVDDYLEYANTLPAELQRLLNTVRELDDRSQSMINQTRQQTNYCLGLASQSLRKGNGSIYNCYNTNNNEEDEAVEKMRKDIEANQDSALSLCTEKVLLARQAYDLIDSHVKRLDEDLNNFAEDLKQEGKLSPDEPAILPPLPIIVPKIEKRRNFYGTPQSQSKRIDFRDRDWDRERDRDFELMPPPGSHRKDFPVPVEVEQPIDPNEPTYCVCHQVSFGDMIACDNENCQGGEWFHYSCVGLTPETRFKGKWYCPTCRNLPQFQW >Potri.003G189066.1.v4.1 pep chromosome:Pop_tri_v4:3:19311626:19312917:1 gene:Potri.003G189066.v4.1 transcript:Potri.003G189066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G189066.v4.1 MFNQKPPMAEDLQELVLNLPREKNLDGTNSLYLFKGAWVSAYVLRAVDSFQRHFIAQDTDIIVASMPKSGTTWLKALTFSVAKRHLYDPRESPLLTTPPHELVPFFETDLYMKDPHPNLEQLPPPRIFGCHSHFANLPESIRNSKCKVVYICRNPLDQVVSFFQFTHQFKQDGTPLLSLDECYENICRGVHSRGPFWDNVLGYWKASLERPDKVLFLKYEDLKEDIISNLKKIAEFLGIPFTDKEEKEGVIEEISRLCSLDNLRNLEVNKNGVRPSGAPNSSFFRKGEVGDWANYLSPSMAENYLKIVEEKLGGSGLTFKTSQ >Potri.015G091500.1.v4.1 pep chromosome:Pop_tri_v4:15:11443482:11448556:1 gene:Potri.015G091500.v4.1 transcript:Potri.015G091500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G091500.v4.1 MATSAFKSTTKRTPIGNDKSSSSAHRRSRSLSRFSRPIPPDDFSDDSTAPSRGKFVNMDRGSGVPDISLDDLAIQLLSLGDRGRSGFRSGDVSHEERVAGGSLRRGRSVSRQGSESKNNSKSYSRGGGGGKVNSDGSNSRRRRSVSVVRYQNGDSESDPEHSQNSRNHTNSRRQSNGNSQVPLSNKPLASNHRPGLRRSLSQKDLKYHDGYSSHSSSLTDDEGRDSCSNKNGFERTIRTVYAQKKAEHPTGDDMNSGLYEAMRKELRHAVEEIRMELEQSMEKTNIDSLKSGKSDGFQGGSTIIRRNHATKSDQSEKCKQDLLAKLLLEKQHGRDISKIVKELLADPKNTVSEKPSRARKRSNDRSRMSERLTEEAEKYFEDFISNVEDTDISSLDGERSDTSSTLGGIAKTETFQRPVISKSQPVEMDGVLLPWLQWETSNDSSPLSLKNKELTSTPKSNLWDAAQEATPAQELSMHPISSRGSCSPGLTDGHSTNIRELKGSKFGELESFRRRISFRGTRSQFDVDDYLKRPSDEDFLLESWKQQQRIHSGGLLLCNRMFF >Potri.008G146700.1.v4.1 pep chromosome:Pop_tri_v4:8:9998621:10002502:1 gene:Potri.008G146700.v4.1 transcript:Potri.008G146700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G146700.v4.1 MSRMVMGLGNPVSSIHSSYCVLIPRNPNKALHTQHPVFKINHAHLSHFHYKTSATSFTSCRLHARRKESIAPPAVVSDLDDEYDGFDDDELDYDDKDEDEEEFMPFGKMKKWLETRPRGFGGSKVYDTRVEDKLLEEIEQSRLAQAANINNLKYPNPAAPNKTHHQAKNAPDVIPTGIRVHVINLPKKKNIHRDLKTAFKDVQGIINIIPAVSGNKKTKDPICKGFAFVDFKSEEDAARFVQQFSKQSIAFGKIQKQIKCEMKKSSSLSSSDDESAGSFASDISKSDGMDDSFDDPLLMNSVTEGERNADFKMDDSFLEETVSDIFESDDLDEELEDITENVESVSKSDLSSYDSSEPRMEAATDSLSPKKQHKKRASKKKIIAKGGAKKVPKLAIPGSAKRLRIKEKAVLTDVFTKYGLKTTAASAKES >Potri.004G087500.3.v4.1 pep chromosome:Pop_tri_v4:4:7320380:7322574:-1 gene:Potri.004G087500.v4.1 transcript:Potri.004G087500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G087500.v4.1 MAAEEISKPPSLPPYPEMIWSAIAALNETGGSNKTSISKYIESKHGNLPAGHTALLAHHLNRMTDTGELMFLKNNYMKPDPNAPPRRGRGRPPKPKDPLAPPADLAPARPRGRPPKDPNAPPKPVKPKATTAGSGKPRGRPRKMARPTGGITGTPTATSAVPMTTGSGRPRGRPPKVKAAAMTEVSVQN >Potri.017G119600.1.v4.1 pep chromosome:Pop_tri_v4:17:12576814:12577212:1 gene:Potri.017G119600.v4.1 transcript:Potri.017G119600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119600.v4.1 MAEPKADQKYPSPSEEKDVKAPNVIEISKEEIEAIVHGGKSPRHYKETHGRSDDIDEDTPIDEVKGPSVFERIKEEIEALVGAIHPKKDKSDVSSS >Potri.006G203000.15.v4.1 pep chromosome:Pop_tri_v4:6:21039456:21043108:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.006G203000.8.v4.1 pep chromosome:Pop_tri_v4:6:21039470:21044186:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.006G203000.6.v4.1 pep chromosome:Pop_tri_v4:6:21039456:21044221:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.006G203000.12.v4.1 pep chromosome:Pop_tri_v4:6:21039445:21044182:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.006G203000.13.v4.1 pep chromosome:Pop_tri_v4:6:21039518:21044123:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.006G203000.14.v4.1 pep chromosome:Pop_tri_v4:6:21039559:21043477:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.006G203000.10.v4.1 pep chromosome:Pop_tri_v4:6:21039461:21044246:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.006G203000.9.v4.1 pep chromosome:Pop_tri_v4:6:21039454:21044249:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.006G203000.11.v4.1 pep chromosome:Pop_tri_v4:6:21039455:21044186:-1 gene:Potri.006G203000.v4.1 transcript:Potri.006G203000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G203000.v4.1 MATYFHGNPEIQAAAASAEGLQTLVLMNPTYVQYSETPPPPQSNNLVFLNAAASAAANSLSPPPHLSGHAPSNTQQFVGIPLDPNSHEASTLHGLIPRVHYNFYNPIDSTSTARETPRAQQGLSLSLSSQQQGGFGSQAQAMSGEDIRVSGGLVSPGSGVTNGVPGMQGVLLSSKYLKATEELLDEVVNVNSNGIKSELSKKSNGISSNNSNKVIGESSTGEGSGEGEASGKRGPELSTAERQEIHMKKAKLMSMLDEVEQRYRQYHHQMQIVISSFEQAAGIGSAKTYTALALKTISKQFRCLKDAITGQIKAANKSLGEEDCLGGKIEGSRLKFVDHHLRQQRALQQLGMIQHNAWRPQRGLPERSVSVLRAWLFEHFLHPYPKDSDKHMLAKQTGLTRSQVSNWFINARVRLWKPMVEEMYMEEIKEQEQNGSEDKTSKSEHNEDAASRSVLQEKGSVNGNLTRSFKSLDNSPDAPSAISIPTSSTSPVGGNLRNQSGFSFMGSSELDGITQGSPKKPRSHDLIQSPTSVPSINMDIKPGEANNEQVSMKFGDERQSRDGYSFIGGQTNFIGGFGQYPMGEIGRFDGEQFTPRFSGNGVSLTLGLPHCENLSLSGTHQTFLPNQNIQLGRRVEIGEPNEYGALNTSTPHSSTAYESIDIQNRKRFIAQLLPDFVA >Potri.005G096900.4.v4.1 pep chromosome:Pop_tri_v4:5:6904145:6910247:1 gene:Potri.005G096900.v4.1 transcript:Potri.005G096900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G096900.v4.1 MGKNKQKQKVILPPELPPEVPDDEIEVSDEDLQFVNENLDYAGFVAGLDTTSITKHVTRVADLKEDALERLYERRLQKKKLKEKEEEEKKSRVEVDRVDALPVKSLDGQVYYRTLAEKKGGDGGEEEDGGGDKGIVRLTKTERRAKLKKSKKEAKKLGKQVENTEQVEATPQAAVLAEVKEDLTAEATFETKKRKLAELGVALLADPESNIKSLKEMLQFCLDDDDAIIKLGLLSLLAVFKDIIPGYRIRLPTEKELEMKVSKEVKKMRFYESTLLSVYKAYLQKLVLLEKKSKFQHVAVRCICTLLEAVPHFNFRESLLAAVVKNIGSQDDVIRKLSCSAIKSLFVNEGKHGGAASVEAVELIADHVKALNCQLHPDSVEVFISLSFHEDLRKPEEPDKQSKVKYKKNRKRKNVEEPSQLQESDRKRSKKELTAKMREEVTADYKSAVFTPDVKEQRKMQSDMLSAVFETYFRILKHVMQSTAASSQANGTLVAGESGAHPLLAPCLNGLGKFTHFIDLDYIGDLMNYLKKLAAGGSSSDNSSEKCAKGLTVSERLQCCIVAFKVMRSNLDALNVDLQGFFVQLYNLILEYRPGRDQGEVLVEALKIMLFEDRQHDMQKAAAFVKRLATFSLCFGSAESMAALVTLKQLLQKNVKCRNLLENDAGGGSVSGSIAKYQPYATDPNLSGALASVLWELNLLCKHYQPAISTIASSISTMSTSHNQVYLASTSPQQAFRDLSLEQESFNPKPDLRKSNNKRKRGSGPSRLASVEENVNSTGSLDEDELRKKLSDHFSLLRDFKESEKLRTELDRTTSALQLYEEYKKQKKKTARRGIKIGGKGA >Potri.010G243400.1.v4.1 pep chromosome:Pop_tri_v4:10:21989445:21991279:1 gene:Potri.010G243400.v4.1 transcript:Potri.010G243400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G243400.v4.1 MDNSTEYSGSAGINGYAYAIGMSSGVLVLIISITLAAYFCTYGVDSPTHTGTNQGDSITDHDSIVMELGLDEATLASYPKLLYSKARLEPRGNDLLPSCCSICLGDYKDSDMLRLLPDCGHVFHLKCVDCWLRLHPTCPICRNSPMPTPLSTPLAEVAPLAVSRY >Potri.010G051400.1.v4.1 pep chromosome:Pop_tri_v4:10:8307140:8314831:-1 gene:Potri.010G051400.v4.1 transcript:Potri.010G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G051400.v4.1 MNPLCCIAPVSIDRDRANPVVAKSASQSQLGLDSSLRTLNQSSKQSFSVQASRDLDKFSSIVSQEIEDSKVYSVSGSVSVAGILYKWVNYGKGWRSRWFMLEDGVLSYYKIHGPDKILTSPAGRDKGVRVIGEGSLRYMKKVNWSCSSSSSSSNGGGGNNRLRQCKPFGEIHLKVSSFRASKSDDKRLTIFTGTKTLHLCCVTREDRAAWVEALQAAKDLFPRALTSSDFSPSEDVVISTEKLRSRLVQEGVGETVVKDCESIMLSELSEMQNKLKALQHKHITLLDTLRQLETEKIELETTVVDETKERDSYCGQANRRFSDFYSVMSEASASDFDADNESQDGGDVESDEDDGIFFDTNDFLSADALRSASYRSREATGNAGIYDKDGSDHLLGAEKEIMTVQYPYVKRRDNLPEPKEKEKPVGLWSIIKDNIGKDLSGVCLPVYFNEPLSSLQKCFEDLEYSYLVDRALEWGKQGNDLMRILNIAAFAVSGYASTEGRQCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMVVACHCEGRGWKFWADSNLKGKFWGRSIQLDPVGVLTLQFEDGETFQWSKVTTSIYNIILGKIYCDHYGTMRIKGSGNYSCKLKFKEQSIIDRNPHQVHGFVQDNRTGEKVAMLVGKWDEAVYYVLGDPTTKPKGYDPMTEAVLLWERDKSVTKTRYNLTPFAISLNELTPGLLEKLPPTDSRLRPDQRHLENGEYELANSEKLRLEQLQRQARKLQERGWQPRWFRKDEDGCYCYMGGYWDARERKNWDGIPDIFGQSTDPSSCLAEE >Potri.011G031900.3.v4.1 pep chromosome:Pop_tri_v4:11:2584254:2587897:1 gene:Potri.011G031900.v4.1 transcript:Potri.011G031900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031900.v4.1 MSKKKAFSGHTMSLKDFHGGSIPTNLPLPSAPGVSNDWPGHDRLNSWGGRMNRPDHWVRPHSSPATRHWDDKTPFLSRNVCIGRHFDEEERKPLDGFSGPRRTFSDESFRVAPSKVELMPEAALSGEVTASYMAASTAESNARRVHERAHVEVHSQNVGDTVGLRVGGSYANAWTVRKELVMGINEPLQSTWSETSAMSKLAHASALEKVSSGRWQTNHSMHNQTNAEVVEHLETEKDIGNMGSDGYSYNRMNVAGETEISAAMLSRHVERGLTVEDRIPGHRKEFADRDRDRAPLPSELKERNPLTHVDRVQLPCSDAKFGGSIVQPPVRLEASERPKVKLLPRIKPLEVSELPVPHHMQENQLPSNPAHGYAITSEELHPNVNAAKLPSVGVETENQMVERRKLNLKPRSQPLEQLDGNIERERKLLFGGARPREVVLKERGIDDAAMINHDLVRHLDRTKHDVVKTLRVTEHATPTRNGQRTDTLPPDQRIVKKFERKDQQVDTERVDDQRRNWHNENWRNNRETGRRQQNQQQKERQPSPETWRKHVEQPKPASPDTGLRFGKIASALELAQAFSRSFSDRKLADRCSGQRNLPGNVRMPFSRLMAPTPRPQINGY >Potri.011G031900.1.v4.1 pep chromosome:Pop_tri_v4:11:2584259:2587835:1 gene:Potri.011G031900.v4.1 transcript:Potri.011G031900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G031900.v4.1 MSKKKAFSGHTMSLKDFHGGSIPTNLPLPSAPGVIVRSNDWPGHDRLNSWGGRMNRPDHWVRPHSSPATRHWDDKTPFLSRNVCIGRHFDEEERKPLDGFSGPRRTFSDESFRVAPSKVELMPEAALSGEVTASYMAASTAESNARRVHERAHVEVHSQNVGDTVGLRVGGSYANAWTVRKELVMGINEPLQSTWSETSAMSKLAHASALEKVSSGRWQTNHSMHNQTNAEVVEHLETEKDIGNMGSDGYSYNRMNVAGETEISAAMLSRHVERGLTVEDRIPGHRKEFADRDRDRAPLPSELKERNPLTHVDRVQLPCSDAKFGGSIVQPPVRLEASERPKVKLLPRIKPLEVSELPVPHHMQENQLPSNPAHGYAITSEELHPNVNAAKLPSVGVETENQMVERRKLNLKPRSQPLEQLDGNIERERKLLFGGARPREVVLKERGIDDAAMINHDLVRHLDRTKHDVVKTLRVTEHATPTRNGQRTDTLPPDQRIVKKFERKDQQVDTERVDDQRRNWHNENWRNNRETGRRQQNQQQKERQPSPETWRKHVEQPKPASPDTGLRFGKIASALELAQAFSRSFSDRKLADRCSGQRNLPGNVRMPFSRLMAPTPRPQINGY >Potri.002G072300.1.v4.1 pep chromosome:Pop_tri_v4:2:5077773:5080654:1 gene:Potri.002G072300.v4.1 transcript:Potri.002G072300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G072300.v4.1 MGSPNNKPARIFSPHNHTSPPCTRTHQIGALLLIATTFFLTRLFDQAFTTCPPSSLNNDHSSPNVVHVSDGGSLSWPQRGYGSHLSLKIYVYEEDEIDGLKELLRGRDGKISADACLKGQWGTQVKIHGLLLESRFRTRKKEEADLFFVPAYVKCVRMMGGLNDKEINHTYVKVLSQMPYFRRSGGRDHIFVFPSGAGAHLFRSWATYINRSIILTTEADRTDKKDTSAFNTWKDIIIPGNVEDGMTKRRIAMVQPLPLSKRKYLANYLGRAQGKVGRLKLIELAKQYPDKLESPELKFSGPGKFGRMEYFQHLRNAKFCLAPRGESSWTLRFYESFFVECVPVILSDQAEFPFQNVIDYTQISIKWPSTRIGLELLEYLESIPDENIEQMIAAGRQIRCLWVYAPEFESCSAMQGIMWELQRKVRQFHQSAETFWLHNRTIVDRRLVEFSSWVPPMPLP >Potri.001G462000.1.v4.1 pep chromosome:Pop_tri_v4:1:48759732:48761500:1 gene:Potri.001G462000.v4.1 transcript:Potri.001G462000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G462000.v4.1 MGSLSISKLQFLLLPFLTFLWVASADHHEDFLKCLHSQNSNSISKVIYTPINSSYSSVLQFSIRNGRFNTSATPKPLVIVTPLNVAHIQAAIACSQKHGLQIRVRSGGHDYEGLSYVSVLPFVVIDLINMRTVAVDVGNKIAWVQTGATLGEVYYRIAEKSRTLAFPAGVCPTVGSGGHISGGGHGMMMRKYGLAADHIIDVKLIDVKGRILDRASMGEDLFWAIRGGGGNTFGVVVAWKLELVTVPPTVTVFNVTRTLEQNATKLVHQWQSAIGKFDEDLFSRIFLSRANTSQEGKTTILAVYTSLFLDGVDRLLSMMQQSFPQLGLVKEDCIEMSWIESTVYFARFPRNTSLDVLLDRRPGSTRSFKGKTDYVTEPIPEIALEGIWERLNQLDAQVAQLQFTAYGGKMDEISETSIPFPHRAGNLFQIHYAVFWGDQDSERSQKYTSWIRKLYSYMTPYVTKNPRQAYINYRDLDLGMNTLGNTSYKQARIWGTKYFKNNFDRLVHVKTKVDPANFFRNEQSIPPLSSW >Potri.004G051100.1.v4.1 pep chromosome:Pop_tri_v4:4:4135934:4138258:1 gene:Potri.004G051100.v4.1 transcript:Potri.004G051100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051100.v4.1 MGRGKFKGKPTGHRQFSTPEQMLAGTSTRPRTFKREEAEYEEEKQEEESEEESEDDSDQKRKGTQGIIQIENPNMVKAKNLKAKDVDMGKTTELSRREREEIEKQRAHERYMRLQEQGKTDQARKDLERLSLIRQQRVEAARKREEEKAAREQKKAETRK >Potri.006G123800.1.v4.1 pep chromosome:Pop_tri_v4:6:9867512:9870831:1 gene:Potri.006G123800.v4.1 transcript:Potri.006G123800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123800.v4.1 MASCIQTNVHRPSLFIPKVSQSRCQNDAPLCVLPLPRVNGSSMKSLKYPPNGLMQQQFATLLKHQKSEPVVKYRNGSAVCLLGGEDKSEKDNQGLAWNPLEKAMGSFKGQSIEDMLRQQIEKREFYDGGSEKNPPSGGGGGGGGGGDGSGESEDEGLVGIIDETMQVILATIGFIFLYVYIISGEELTRLGKDYLKFLFGKGKSVRLKRAMNKWKRFFQSWNEMKEEDPFWLEKEIINTRTWFDSPEKYKEIFRSV >Potri.001G036500.2.v4.1 pep chromosome:Pop_tri_v4:1:2661801:2664150:-1 gene:Potri.001G036500.v4.1 transcript:Potri.001G036500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G036500.v4.1 MNFMETANEDSFQEFVLNLPRGKDWDGAPLLLYNDTWYPAYCIRGVVSFQQNFRAQATDIIVASLPKSATTWLKALTFSVVNRDRYSPKESPLTTTPPRELMPFFENDLYLKSPNPDLDFPPPRILACHTHYTSLPQSIRDSNCKIVYICRNPLDQAVSYFHFLRNIVSGSTKPLSSIDECFENICRGVQSHGPFWNSMLSYWKASLERPDKVLFLKYEELKEDIILNLKRLAEFLGFPFTEVEEKEGSY >Potri.014G142400.1.v4.1 pep chromosome:Pop_tri_v4:14:9684975:9688907:1 gene:Potri.014G142400.v4.1 transcript:Potri.014G142400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G142400.v4.1 MSRERGKDIAEGSSENQQPATPSRYESQKRRDWNTFGQYLKNQRPPVPLSQCNSNHVLDFLRYLDQFGKTKVHLQGCMFYGQPEPPAPCTCPLRQAWGSLDALIGRLRAAYEENGGTPETNPFANASIRVYLREVRDYQAKARGIPHKKKKKQQISSKGNDESSSAMQFS >Potri.006G058200.1.v4.1 pep chromosome:Pop_tri_v4:6:4108674:4110686:1 gene:Potri.006G058200.v4.1 transcript:Potri.006G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G058200.v4.1 MADIQDYAIPFLIFLASILLVQIILAKIRRNAGLPPSPRALPIIGHMHLLSRIPHQAFHKLSARYGPLVYFFIGSKPCLLASTPEVAKEILKINEANFLNRPKVANLDYLTYGSADFATIYYGPHWKFMKKLCMTEILGSRTLTQFLPIRCEERERFLKLVLKRAEAKEAVDVGGELMRLTNNIISRMLLRTRCSDTENEADDVRELVKELNTLGAKFNLSDSIWFCKNFDLQGFDKRLKDARDRYDAMMERIMKEHEDARKRKKETGDEDDTVKDLLDILLDIYEDENAEKRLTRENIKAFIMNIFGAGTDTSSITVEWGLAELINHPIMMEKVRQEIDSVVGRSRLVQESDIANLPYLQAIVKETLRLHPTGPLIVRESLEDCTIAGYRIPAKTRLFVNIWSLGRDPNHWENPLEFRPERFTSEEWSANSNMMDVRGQHFHLLPFGSGRRSCPGASFALQFVPTTLAALIQCFEWKVGDGENGTVDMDEGPGLTLPRAHSLVCIPVSRPCPF >Potri.004G023964.1.v4.1 pep chromosome:Pop_tri_v4:4:1707927:1711940:1 gene:Potri.004G023964.v4.1 transcript:Potri.004G023964.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G023964.v4.1 MVVDVNLVMFLLIIPFPALPTITVADNLFTSCSNTSNYTLNSPFDSNLKLPLEKLPAMITSLTGFNHTSVGDRPAKVYGQALCRGDVNSSSCQACVEKASQEIFKDCRNYKDAIIWYELCQVHYSFQNMTSLYVYTGKYPDWDSQEKFLSDPKHFSDVLIYLMTNLSTEAACTRDIVADDCQTCLTTALVELKGCCSSRQGGIIVSRNCDVRFELYKYYSASSYSLTYPNPKGSNWKIGIVAFAACAPVAVIVIVIGSCIVCLLKKRGQQRGVERSHLALLQELACPRGVTMTDEGQLVSSEDLPFMDLTTIREATDNFSDSNKLGQGGFGTVYKGVLPDGKEIAVKRLSRKSWQGLEEFKNEVKVIAKLQHRNLVRLLGCGMEGDEKLLIYEFMHNKSLDIFIFDAERRALLDWETCYNIADHEMVAKISDFGMARIFCENQNKANTRRVVGTFGYMAPEYAMGGLFSVKSDVFSFGVILLEITSGKRSSGFYLSEHRQTLLAYAWRLWNEGREMELVDPSLMDRSQTEGIVRCIHVGLLCVQEDPADRPTMSFVVLALGSDPIALPQPKQPAFSLGKMVPIYKSSPTDPSVNQMTVSGIAPR >Potri.011G099000.2.v4.1 pep chromosome:Pop_tri_v4:11:12795634:12795995:-1 gene:Potri.011G099000.v4.1 transcript:Potri.011G099000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G099000.v4.1 QRTWCVAKPSSDQATLLANINYACSHVDCQILQKGYPCFSPDSLISHASIAMNLYYQRKGRNHWNCDFRDSGLIVKTDPSYSNCIYA >Potri.012G121596.1.v4.1 pep chromosome:Pop_tri_v4:12:13881547:13887870:-1 gene:Potri.012G121596.v4.1 transcript:Potri.012G121596.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121596.v4.1 MAMLLEDIVRSVELWLNLIKKPQPYVDPSLDPVLLVPGIAGSILKAVDKDNGGKEERVWVRILAADYTCRTKLWSRFDPQTGRSVTLDPKTNIVVPDDRYGLHAIDVLDPDMIIGRDCVYYFHDMIVEMIKWGFQEGKTLFGFGYDFRQSNRLPETLECLAKKLESVYKASGGKKINIISHSMGGLLVKCFMSLHSDIFEKYVKNWIAIAAPFRGAPGFVTSTFLNGMSFVEGWEQNFFISKWSMHQLLIECPSIYELMACPHFHWQHVPVLEILREKKDNDGNSQIILESYPPEESIQVFKDALSSNTVVYDGEDLPLPFNFDILKWADETHNVLSRAKVPPGVKFYNIYGIDLETPHSVCYGSSEVPVTDLPELQFCEPKYISVNGDGTVPAESAKADGLNAEARVGVPGEHRGILSDRHLFRILKHWLKADSDPFYNPINDYVILPTAFEIERHKENGFQFTSLKEEWEIISEEQDDHDNMVNRKPFVSSICVSQTGDHRSSPAEACATVTVHPHNEGKQVQQHVELNALSVSVDA >Potri.003G203300.2.v4.1 pep chromosome:Pop_tri_v4:3:20260624:20262037:-1 gene:Potri.003G203300.v4.1 transcript:Potri.003G203300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G203300.v4.1 MVTPAGLSSNSYVLAFLLHLSLFSATIFSSIRIADMLDQDYLEEESEEVDDDKEEDDEDDHEKDDTVDISLEKELQTVLPCTRTCNRPKRKPRLHHPCFA >Potri.012G038100.4.v4.1 pep chromosome:Pop_tri_v4:12:3393115:3396348:1 gene:Potri.012G038100.v4.1 transcript:Potri.012G038100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038100.v4.1 MMRAVVIIIMQKVFAKKVGGHREGKEMAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIDLDIIPEIDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVNCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTSGIQVDSYALCRVFKKNGICSEIEEQGQCTTSLSLTESSSQGVLNEYETMSPDVPIASSSCIEEEDKDDSWMQFITDDPWYCSSNNAMVGGEEISQVTFTD >Potri.012G038100.5.v4.1 pep chromosome:Pop_tri_v4:12:3393073:3396402:1 gene:Potri.012G038100.v4.1 transcript:Potri.012G038100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038100.v4.1 MMRAVVIIIMQKVFAKKVGGHREGKEMAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIDLDIIPEIDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVNCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTSGIQDSYALCRVFKKNGICSEIEEQGQCTTSLSLTESSSQGVLNEYETMSPDVPIASSSCIEEEDKDDSWMQFITDDPWYCSSNNAMVGGEEISQVTFTD >Potri.012G038100.6.v4.1 pep chromosome:Pop_tri_v4:12:3393072:3396351:1 gene:Potri.012G038100.v4.1 transcript:Potri.012G038100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038100.v4.1 MMRAVVIIIMQKVFAKKVGGHREGKEMAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIDLDIIPEIDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVNCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTSGIQATFLFHFRIRTHCVECSRKMEYVLRLRSKDNAQQAYH >Potri.012G038100.3.v4.1 pep chromosome:Pop_tri_v4:12:3393115:3396348:1 gene:Potri.012G038100.v4.1 transcript:Potri.012G038100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038100.v4.1 MMRAVVIIIMQKVFAKKVGGHREGKEMAPVGLPPGFRFHPTDEELVNYYLKRKINGQEIDLDIIPEIDLYKCEPWDLAEKSFLPSRDPEWYFFGPRDRKYPNGFRTNRATRAGYWKSTGKDRRVNCQNRAIGMKKTLVYYRGRAPQGIRTDWVMHEYRLDDKECEDTSGIQVVRALCKIVSFLIILTTNKYATFPFLMDSYALCRVFKKNGICSEIEEQGQCTTSLSLTESSSQGVLNEYETMSPDVPIASSSCIEEEDKDDSWMQFITDDPWYCSSNNAMVGGEEISQVTFTD >Potri.002G071500.1.v4.1 pep chromosome:Pop_tri_v4:2:5013873:5015715:1 gene:Potri.002G071500.v4.1 transcript:Potri.002G071500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071500.v4.1 MLGSLGEEELDQMIRDYIESDQSTSPTPVSKPAPKKSQSILQDILLEATDIETQLLDKVLMYVRGMGEPNSLKEWVVMRLQVDGYEASLCKTSWVSSFGHKVIQFTGDYDYIDVMIMDQNLSNKTTRLIVDMDLRSQFELARPTQTYKELINALPSVFVGSEERLDKIISLLCSAAKASLKENDLHIPPWRKAEYMQSKWFSKNCNKVSVMLNPELGSDASEEKNSATCCPSIF >Potri.002G071500.2.v4.1 pep chromosome:Pop_tri_v4:2:5013873:5015715:1 gene:Potri.002G071500.v4.1 transcript:Potri.002G071500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G071500.v4.1 MLGSLGEEELDQMIRDYIESDQSTSPTPVSKPAPKKSQSILQDILLEATDIETQLLDKVLMYVRGMGEPNSLKEWVVMRLQVDGYEASLCKTSWVSSFGHKGDYDYIDVMIMDQNLSNKTTRLIVDMDLRSQFELARPTQTYKELINALPSVFVGSEERLDKIISLLCSAAKASLKENDLHIPPWRKAEYMQSKWFSKNCNKVSVMLNPELGSDASEEKNSATCCPSIF >Potri.008G091200.7.v4.1 pep chromosome:Pop_tri_v4:8:5692167:5700940:1 gene:Potri.008G091200.v4.1 transcript:Potri.008G091200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091200.v4.1 MLVSAILTSVGINSALCVLFVVLYSILKKQPSYYEVYIPRLLTEGNSKRRSRFNLERLIPSTGWLPKAWKLSEEEMLSSSGLDAVVYMRTITFCLKVFSFAGIIGIFILLPVNCSGTELHQIDFEDLYSNSLDVFTISNVNRGSKWLWIHFSSVYAITIFICYLLYHEYNYISSKRIAYFYSSKPQPHQFTILVRNIPVSAGSSVSDSVERFFTEYYPTTYLSHIVVRRTSKVQSLINDAKQLYRRLLHLQSEPSEQKYKQVGLFEKKDDLLDHYGKRLEDLEQNARLEQSEVSLAKDTHAAFVSFKTRYGASTVFHLQQSTNPTHWLTEEAPQPNDVFWPFFSSSFMGRWISKLLVVVACILLTILFLIPVVVVQGLTNLSQLEVWFPFLKSILTLAFVSQIVTGYLPSLILMLFLKIVPPIMEFLSSIQGYISHSEIERSACNKVLWFTVWNIFFATVFSGSVLNQISIALDPKNIPTKLAVVVPAQASFFIAYVVTSGWTSTSSELFRIIPLICSLMTKCCAESTDDEIEVPSIPYHRDIPRILFFGLLGIAYFFLAPVILPFLLVYFCLAYIIFRNQFINVYAPKHETAGKFWPIVHNLVIFSLVLMHAIAVGIFSLKKLSLASTLVLPLPVLTLLFNEYCRKRFLPIFTAYPAEILIKKDREDQNDATMSEFFDKLATTYQDPALMPIQYSADSESLNRPLIPSAEMSM >Potri.008G091200.1.v4.1 pep chromosome:Pop_tri_v4:8:5692126:5700902:1 gene:Potri.008G091200.v4.1 transcript:Potri.008G091200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091200.v4.1 MLVSAILTSVGINSALCVLFVVLYSILKKQPSYYEVYIPRLLTEGNSKRRSRFNLERLIPSTGWLPKAWKLSEEEMLSSSGLDAVVYMRTITFCLKVFSFAGIIGIFILLPVNCSGTELHQIDFEDLYSNSLDVFTISNVNRGSKWLWIHFSSVYAITIFICYLLYHEYNYISSKRIAYFYSSKPQPHQFTILVRNIPVSAGSSVSDSVERFFTEYYPTTYLSHIVVRRTSKVQSLINDAKQLYRRLLHLQSEPSEQKYKQVGLFEKKDDLLDHYGKRLEDLEQNARLEQSEVSLAKDTHAAFVSFKTRYGASTVFHLQQSTNPTHWLTEEAPQPNDVFWPFFSSSFMGRWISKLLVVVACILLTILFLIPVVVVQGLTNLSQLEVWFPFLKSILTLAFVSQIVTGYLPSLILMLFLKIVPPIMEFLSSIQGYISHSEIERSACNKVLWFTVWNIFFATVFSGSVLNQISIALDPKNIPTKLAVVVPAQASFFIAYVVTSGWTSTSSELFRIIPLICSLMTKCCAESTDDEIEVPSIPYHRDIPRILFFGLLGIAYFFLAPVILPFLLVYFCLAYIIFRNQFINVYAPKHETAGKFWPIVHNLVIFSLVLMHAIAVGIFSLKKLSLASTLVLPLPVLTLLFNEYCRKRFLPIFTAYPAEILIKKDREDQNDATMSEFFDKLATTYQDPALMPIQYSADSESLNRPLIPSAEMSM >Potri.008G091200.9.v4.1 pep chromosome:Pop_tri_v4:8:5692212:5700895:1 gene:Potri.008G091200.v4.1 transcript:Potri.008G091200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091200.v4.1 MLVSAILTSVGINSALCVLFVVLYSILKKQPSYYEVYIPRLLTEGNSKRRSRFNLERLIPSTGWLPKAWKLSEEEMLSSSGLDAVVYMRTITFCLKVFSFAGIIGIFILLPVNCSGTELHQIDFEDLYSNSLDVFTISNVNRGSKWLWIHFSSVYAITIFICYLLYHEYNYISSKRIAYFYSSKPQPHQFTILVRNIPVSAGSSVSDSVERFFTEYYPTTYLSHIVVRRTSKVQSLINDAKQLYRRLLHLQSEPSEQKYKQVGLFEKKDDLLDHYGKRLEDLEQNARLEQSEVSLAKDTHAAFVSFKTRYGASTVFHLQQSTNPTHWLTEEAPQPNDVFWPFFSSSFMGRWISKLLVVVACILLTILFLIPVVVVQGLTNLSQLEVWFPFLKSILTLAFVSQIVTGYLPSLILMLFLKIVPPIMEFLSSIQGYISHSEIERSACNKVLWFTVWNIFFATVFSGSVLNQISIALDPKNIPTKLAVVVPAQASFFIAYVVTSGWTSTSSELFRIIPLICSLMTKCCAESTDDEIEVPSIPYHRDIPRILFFGLLGIAYFFLAPVILPFLLVYFCLAYIIFRNQFINVYAPKHETAGKFWPIVHNLVIFSLVLMHAIAVGIFSLKKLSLASTLVLPLPVLTLLFNEYCRKRFLPIFTAYPAEILIKKDREDQNDATMSEFFDKLATTYQDPALMPIQYSADSESLNRPLIPSAEMSM >Potri.008G091200.8.v4.1 pep chromosome:Pop_tri_v4:8:5691926:5700947:1 gene:Potri.008G091200.v4.1 transcript:Potri.008G091200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G091200.v4.1 MLVSAILTSVGINSALCVLFVVLYSILKKQPSYYEVYIPRLLTEGNSKRRSRFNLERLIPSTGWLPKAWKLSEEEMLSSSGLDAVVYMRTITFCLKVFSFAGIIGIFILLPVNCSGTELHQIDFEDLYSNSLDVFTISNVNRGSKWLWIHFSSVYAITIFICYLLYHEYNYISSKRIAYFYSSKPQPHQFTILVRNIPVSAGSSVSDSVERFFTEYYPTTYLSHIVVRRTSKVQSLINDAKQLYRRLLHLQSEPSEQKYKQVGLFEKKDDLLDHYGKRLEDLEQNARLEQSEVSLAKDTHAAFVSFKTRYGASTVFHLQQSTNPTHWLTEEAPQPNDVFWPFFSSSFMGRWISKLLVVVACILLTILFLIPVVVVQGLTNLSQLEVWFPFLKSILTLAFVSQIVTGYLPSLILMLFLKIVPPIMEFLSSIQGYISHSEIERSACNKVLWFTVWNIFFATVFSGSVLNQISIALDPKNIPTKLAVVVPAQASFFIAYVVTSGWTSTSSELFRIIPLICSLMTKCCAESTDDEIEVPSIPYHRDIPRILFFGLLGIAYFFLAPVILPFLLVYFCLAYIIFRNQFINVYAPKHETAGKFWPIVHNLVIFSLVLMHAIAVGIFSLKKLSLASTLVLPLPVLTLLFNEYCRKRFLPIFTAYPAEILIKKDREDQNDATMSEFFDKLATTYQDPALMPIQYSADSESLNRPLIPSAEMSM >Potri.005G254300.1.v4.1 pep chromosome:Pop_tri_v4:5:24610644:24614882:1 gene:Potri.005G254300.v4.1 transcript:Potri.005G254300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MAAGSYGDKTLQNTPTWAVAAVCAVFVIISVLIDHSIHSLGKWFQKRQKKAMSEALEKIKAELMLLGFISLLLTVGTRAILKICIPEKYEKIMLPCKNAYGLDKYEDKSGGKGGEGGYNKRKLLSFAGNVAIHRVLAAAGGDGGDYCSKGKVSLISQTGVHQLHIFLFVLAIFHVLYSVITMALGQAKMKKWKAWELETSSLEYQFSNDSARFRLVHQTSFVKRHSGIATAPGIKWVVALFRLFTGSVTKVDYMAIRHGFINAHFAPNSKFDFHKYIKRCMEDEFKVVVGVSMPLWIFAILFLLLNVYKWYTFTWLAVVPLVILLLVGAKLELVIMEMAQEAQDRSHVVRGAPLVEPNNKYFWFNRPHWILLLIHYTLFQNAFEMAFFLWTWYEFGIKSCFHENLALILTRVFLGLILQFVCSYITFPLYSLVTQMGSHMKKGIFEEQTAKALRKWQMAAKLRNKSRKTAGDQAGGSSLGFMSSEMTPSQGASPVHLLHKYRPSQPDVESVISSALSYTSDTDLSELDGSTHDKHESRKQDHQQPINS >Potri.017G153900.2.v4.1 pep chromosome:Pop_tri_v4:17:15101381:15104281:1 gene:Potri.017G153900.v4.1 transcript:Potri.017G153900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G153900.v4.1 MASSTVAESEMEEEIKLGSYGGKVRVLRQDEESAAAETMLLWGIQQPTFSKPNSFVSQSSLQLNLDACGHYLSILQSPSSLSTPGVTGSVMWDSGVVLGKFLEHAVDSGLLLLHGKKVVELGSGCGLVGCIAALLGAQVTLTDLPDRLRLLKKNIETNLRHGNVRGSAVVRELIWGDDPDQDLIVPFPDYVLGSDVVYSEGAVVDLLDTLVQLCGAQTTIFLAGELRNDAVLEYFLDAAMKEFVVGRVEQTRWHPEYCSPRVAMYVLVKK >Potri.007G055900.1.v4.1 pep chromosome:Pop_tri_v4:7:5824654:5825094:-1 gene:Potri.007G055900.v4.1 transcript:Potri.007G055900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G055900.v4.1 MKYNPRVSSSRRKNRKAHFSAPSSVRRILMSAPLSTDLRQKYNVRSMPIRKDDEIQVVRGTYKGREGKVVQVYRRKWVIHVERITREKVNGSTVNVGINPSKVVITKLRLDKDRKSLLDRKAKGRAVGDKDKGTKFTAEDIMQSVD >Potri.015G092300.1.v4.1 pep chromosome:Pop_tri_v4:15:11511870:11520487:-1 gene:Potri.015G092300.v4.1 transcript:Potri.015G092300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092300.v4.1 MAIDPRSGFCQQTKTFHSLRPPTPFPPPHQPLSITQFVLSLLHSSTIPTTTTTYLTIPSTAQSLTYSQGIDQIYSLSSSLKNHYSLNNNDVAFILCPPSLHVPILYFSLLYLGVTISPANPLSSDSELAHQIQLCKPKIAFATSQTAHKLPSLPLGTILIDSPEFTSLTHPKPQTTHPQVEVSQSDVAAILYSSGTTGRVKGVALTHRNLITLISGFRHNKAVPDPNQPGPPPVSLLILPLFHVFGFFKSINAFSIGETLVLMEKFDFVDMLKCVEKYRITHMPVSPPLIVAFVKADLTKKYDLSSLKTLGCGGAPLGKEVADALKEKFPHVEIVQGYGLTETGGGGTRTIGPEERRQLASAGRLSENMEAKIVNPETGEALGLGQRGELWLRGPTVMKGYVGDEKATAETLLPDGWLKTGDLCYFDSEGFVYIADRLKELIKYKAYQVPPAELEKLLQSNPEIADAAVIPYPDEEAGQIPMAYVVRKPGSNITEAQIMDSIAKQVAPYKKIRRVAFMSAIPKSPAGKILRRELVNHALSGASSKL >Potri.015G092300.2.v4.1 pep chromosome:Pop_tri_v4:15:11515203:11520441:-1 gene:Potri.015G092300.v4.1 transcript:Potri.015G092300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G092300.v4.1 MAIDPRSGFCQQTKTFHSLRPPTPFPPPHQPLSITQFVLSLLHSSTIPTTTTTYLTIPSTAQSLTYSQGIDQIYSLSSSLKNHYSLNNNDVAFILCPPSLHVPILYFSLLYLGVTISPANPLSSDSELAHQIQLCKPKIAFATSQTAHKLPSLPLGTILIDSPEFTSLTHPKPQTTHPQVEVSQSDVAAILYSSGTTGRVKGVALTHRNLITLISGFRHNKAVPDPNQPGPPPVSLLILPLFHVFGFFKSINAFSIGETLVLMEKFDFVDMLKCVEKYRITHMPVSPPLIVAFVKADLTKKYDLSSLKTLGCGGAPLGKEVADALKEKFPHVEIVQGYGLTETGGGGTRTIGPEERRQLASAGRLSENMEAKIVNPETGEALGLGQRGELWLRGPTVMKDQSSLFFNDQNNGVM >Potri.002G052000.4.v4.1 pep chromosome:Pop_tri_v4:2:3492254:3494932:1 gene:Potri.002G052000.v4.1 transcript:Potri.002G052000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052000.v4.1 MSFYMTYSARWPDYFHVAEGPVNKIVGYIMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLLNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKLGYVIYRRVLRYYSGEEDGLDMRKALSRDVEKKSTIPLKRPVTPDELEYD >Potri.002G052000.7.v4.1 pep chromosome:Pop_tri_v4:2:3491409:3495204:1 gene:Potri.002G052000.v4.1 transcript:Potri.002G052000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052000.v4.1 MIQLQRSSRLRLHQSRPSHRNLMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLLNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKCYAGSPLPVMKFTSNLTCSY >Potri.002G052000.5.v4.1 pep chromosome:Pop_tri_v4:2:3491409:3495204:1 gene:Potri.002G052000.v4.1 transcript:Potri.002G052000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052000.v4.1 MSFYMTYSARWPDYFHVAEGPVNKIVGYIMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLLNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKCYAGSPLPVMKFTSNLTCSY >Potri.002G052000.6.v4.1 pep chromosome:Pop_tri_v4:2:3491409:3495198:1 gene:Potri.002G052000.v4.1 transcript:Potri.002G052000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052000.v4.1 MSFYMTYSARWPDYFHVAEGPVNKIVGYIMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLLNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKCYAGSPLPVMKFTSNLTCSY >Potri.002G052000.8.v4.1 pep chromosome:Pop_tri_v4:2:3491409:3495204:1 gene:Potri.002G052000.v4.1 transcript:Potri.002G052000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G052000.v4.1 MIQLQRSSRLRLHQSRPSHRNLMGKVEGQGESWHGHVTAVTVATEYRRQQLAKKLLNLLEDISDKIDKAYFVDLFVRASNTPAIKMYEKCYAGSPLPVMKFTSNLTCSY >Potri.006G171700.1.v4.1 pep chromosome:Pop_tri_v4:6:17450034:17454220:1 gene:Potri.006G171700.v4.1 transcript:Potri.006G171700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G171700.v4.1 MATSSVLGSSFLPNNFLSSQPTFTSLSKFNGVILASLDNNTRDQLNRYQETKQKRSLNFTGNKPSTPVLDTINHPIHMKNLSVQELDNLVDELREEIVYTVSKTGGHLSSSLGVAELTVALHHVFNTPEDKIIWDVGHQTYPHKILTGRRSRMHTIRQTFGLAGFPKREESEHDAFGAGHSSTSISAALGMAVGRDLLGKDNHVIAVIGDGAMTAGQAYEAMNNAGYLDSNLIIILNDNRQVSLPTATVDGPAPPVGALSRALTRLHSSRKFRQLREAAKGITKQIGGQTQEIAAKVDSYMRGMTGASGACLFEELGLYYIGPVDGHNVEDIVDILKKVKAMPAPGPVLIHVITEKGKGYTPAEVAADKMHGVVKFDTKTGKQLKSKSNTLSYTQYFAESLIAEAEKDDKIVAIHAAMGGGTGLNLFQKRFPYRCFDVGIAEQHAVTFAAGLATEGLKPFCAIYSSFLQRGYDQVVHDVDLQKLPVRFALDRAGLVGADGPTHCGAFDTTFMASLPNMVVMAPSDETELMHMVATAAAIDDRPSCIRYPRGNGIGSIIPPNNKGTPLEVGKGRVLREGSKVAILGYGTVVQSCMQAAKLLEETGISATVADARFCKPLDGELIRQLAQEHEVLITVEEGSIGGFSSHVSHFLSLNGLLDGNIKWRPMMLPDRYIDHGSQTDQIEEAGLSPQHIASTAMSLVGGYTNSLHFFHS >Potri.002G256100.1.v4.1 pep chromosome:Pop_tri_v4:2:24505783:24506355:1 gene:Potri.002G256100.v4.1 transcript:Potri.002G256100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256100.v4.1 MAPESSHASFDRVNLKGRNVGATMFIRHGVHDEPQDSYSINIFVNNNVQGVNNSILLESEVSMRDPGVSIFLEDMKLKSKKKGRNEDDASGSSKLGSLGMAMLAAFILLLLFLFS >Potri.006G064000.3.v4.1 pep chromosome:Pop_tri_v4:6:4575458:4581251:1 gene:Potri.006G064000.v4.1 transcript:Potri.006G064000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064000.v4.1 MSTNSINREANTDQESLIIPLLSPRRSLINSTSQVAIVGANVCPIESLDYEIAENEFFKQDWRSRGKMQIFQYVFMKWSLCFLIGLIVSLIGFFNNLAVENIAGLKFVVTSNMMLAKRFGMAFLVFSVSNLILTLFASIITAFIAPAAAGSGIPEVKAYLNGVDAPGIFSLRSLVIKIIGSISAVSSSLFVGKAGPMVHTGACVAALLGQGGSKRFKLTWRWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVVAIVLRALIDVCLSGKCGLFGKGGLIMFDVYSASVTYHLIDVPPVFALGVIGGILGSLYNFLLDKVLRIYNLINEKGVVYKILLACAISIFTSCLLFGLPWLASCQPCPSDASEACPTIGRSGNFKKFQCPPGQYNDLASLIFNTNDDSVKNLFSQDTNSEFQYSSILIFFVTCFFLSIFSYGIVAPAGLFIPVIVTGASYGRFVGMLVGSHSNLDHGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLMLPLIMLVLLISKTVADAFNGNIYDLIMNAKGFPYLEAHTEPYMRQLTVGEVVRGPLQIFQGIEKVGKIVHVLRTTRHNGFPVIDEPPLSESPVLYGLILRAHLIELLKKKAFVPTPVPTGTDAFKLFFAGDFAKRGSGNGDKIEDLQFTEEEMEMFLDLHPFTNASPYTVAETMSLAKALILFREVGLRHLLVIPKISGRSPVVGILTRHDFMPGHILGLHPMLIRSRWKRLRIQAPRLFKLF >Potri.006G064000.1.v4.1 pep chromosome:Pop_tri_v4:6:4575243:4581276:1 gene:Potri.006G064000.v4.1 transcript:Potri.006G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G064000.v4.1 MSTNSINREANTDQESLIIPLLSPRRSLINSTSQVAIVGANVCPIESLDYEIAENEFFKQDWRSRGKMQIFQYVFMKWSLCFLIGLIVSLIGFFNNLAVENIAGLKFVVTSNMMLAKRFGMAFLVFSVSNLILTLFASIITAFIAPAAAGSGIPEVKAYLNGVDAPGIFSLRSLVIKIIGSISAVSSSLFVGKAGPMVHTGACVAALLGQGGSKRFKLTWRWLRFFKNDRDRRDLVTCGSAAGIAAAFRAPVGGVLFALEEMASWWRSALLWRAFFTTAVVAIVLRALIDVCLSGKCGLFGKGGLIMFDVYSASVTYHLIDVPPVFALGVIGGILGSLYNFLLDKVLRIYNLINEKGVVYKILLACAISIFTSCLLFGLPWLASCQPCPSDASEACPTIGRSGNFKKFQCPPGQYNDLASLIFNTNDDSVKNLFSQDTNSEFQYSSILIFFVTCFFLSIFSYGIVAPAGLFIPVIVTGASYGRFVGMLVGSHSNLDHGLYAVLGAASFLGGSMRMTVSLCVIILELTNNLLMLPLIMLVLLISKTVADAFNGNIYDLIMNAKGFPYLEAHTEPYMRQLTVGEVVRGPLQIFQGIEKVGKIVHVLRTTRHNGFPVIDEPPLSESPVLYGLILRAHLIELLKKKAFVPTPVPTGTDAFKLFFAGDFAKRGSGNGDKIEDLQFTEEEMEMFLDLHPFTNASPYTVAETMSLAKALILFREVGLRHLLVIPKISGRSPVVGILTRHDFMPGHILGLHPMLIRSRWKRLRIQAPRLFKLF >Potri.004G049000.1.v4.1 pep chromosome:Pop_tri_v4:4:3947542:3949415:-1 gene:Potri.004G049000.v4.1 transcript:Potri.004G049000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G049000.v4.1 MPRSLFIQGRRIFGRKEKELPVMEIKVESHHDSLHTNEEKLPLLKGVAMPNEDEQNLIQKAISQTFKSTAHLANLLPTGTILAFQLLLPIFSNQGNCDSVSRSMTAGLVALCGLSCFLSSFTDSFRDKNGNVCYGFATFRGLWVIDGSATIPPEVAANYRLQFIDFMHALMSILVFAAIALFDQNVVDCFYPSPSTKEEEVLTALPVGIGVFTSMLFLVFPTRRHGIGFPLSAN >Potri.003G151700.1.v4.1 pep chromosome:Pop_tri_v4:3:16457319:16462035:1 gene:Potri.003G151700.v4.1 transcript:Potri.003G151700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151700.v4.1 MYGRDPWGGPLEINAADSATDDDRSRNLNDLDRAALSRPLDETQQSWLLGPAEQKKKKKYVDLGCIIVSRKIFVWTVGSIVAAGLLVGLITLIVKTVPRHHHSHAPADNYTLALHKALMFFNAQRSGKLPKHNNVSWRGSSCLGDGKGKQGSFYKDLVGGYYDAGDAIKFHFPASFSMTMLSWSVIEYSAKYEAAGELNHVKEIIKWGADYFLKTFNSSADTIDRIVAQVGSGDTSGGSTTQNDHYCWMRPEDIDYVRPVTECSSCSDLAAEMAAALASASIVFKDNKAYSQKLVHGAKTLFKFARDQRGRYSASGSEAAIFYNSTSYWDEFIWGGAWLYYATGNNSYLQLATMPGLAKHAGAFWGGPDYGVLSWDNKLAGAQLLLSRLRLFLSPGYPYEEILSTFHNQTSIIMCSYLPIFTKFNRTKGGLIELNHGRPQPLQYVVNAAFLATLFSDYLEAADTPGWYCGPNFYSTDVLRDFAKTQIDYILGKNPRKMSYIVGFGNHYPKHLHHRGASIPKNKIRYNCKGGWKWRDTSKPNPNTLVGAMVAGPDRHDGFHDVRTNYNYTEPTIAGNAGLVAALVALSGDKTTGIDKNTIFSAVPPMFPTPPPPPAPWKP >Potri.004G015800.1.v4.1 pep chromosome:Pop_tri_v4:4:1144845:1150693:-1 gene:Potri.004G015800.v4.1 transcript:Potri.004G015800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015800.v4.1 MNSTRGTHNTATPRRRRRNTTGSVRRKLKIPPTPFLHWKFLQDDNKSRHPAASGSVASGVKPAGQGGVGVGGSRAAVSARKLAAGLWNLCLAGGGGGDKALNLKYGKTDELGLEIESPLLYSKHIMEGAMKWEPRCSRKFSDICSFYSHTKLLENQVAMVSASAMQEELVQARLRIHELEAECQSYEKKVKHLQKKLGEERTSWQSSERQKIHAVIDDCENQISRERKKRQKLELLNYKLVNELSNVKSSAKQFREDYEEEQKAREIMEEVCNELAYKVAEDKAEVETFKTESIRIQEEMEEERKMLQMAEVWREERVQMKLIDAKLALEDKDCQMNKLITDLETFLRSRSGTLDVNELRKAELIRQAAKLVNVKDIKEFSYAPPKLSDIYSIYEELKQIEDNEREIEECKKSSPASNASKLHLASSDLSAYNYHSLQQGSTIINDDNRHLEDARGCDAVSHAEGQGSSYSHEGNQLPVSRLSQSKNAWQIARECDENEAQNSPNTQSGGVYSVTGESMHRASSISKLSRSAQCNNKCYEITADDSNGVALNGKFSNKETSPRRKSWECMLRHQDSMEQWSSPESVNPHVTQGIKKRFKWSRGIQKNSLKAKLLEARMESQKAQLRSVLKQKT >Potri.018G002900.3.v4.1 pep chromosome:Pop_tri_v4:18:201248:203864:1 gene:Potri.018G002900.v4.1 transcript:Potri.018G002900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002900.v4.1 MVFWIFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPESPARTCTLENVEGAICWGAAYCVRGGPERERLAMEYLERRECEYDKKTLVDFYKEGEPSQPALTGVIVFTSTPDQVSNKYYLGPAPLEEMARQIATAHGPCGNNRDYLFLLEKAMFAIGHEDEMVIELAKEVRKVLGITGNGIPTEKKITGTSPKALISHMPVLQLRPLQEAVVMDS >Potri.018G002900.4.v4.1 pep chromosome:Pop_tri_v4:18:201243:203862:1 gene:Potri.018G002900.v4.1 transcript:Potri.018G002900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G002900.v4.1 MVFWIFGYGSLVWNPGFEYDEKVIGFIKDYRRVFDLACIDHRGTPESPARTCTLENVEGAICWGAAYCVRGGPERERLAMEYLERRECEYDKKTLVDFYKEGEPSQPALTGVIVFTSTPDQVSNKYYLGPAPLEEMARQIATAHGPCGNNRDYLFLLEKAMFAIGHEDEMVIELAKEVRKVLGITGNGIPTEKKITGTSPKALISHMPVLQLRPLQEAVVMDS >Potri.006G009100.4.v4.1 pep chromosome:Pop_tri_v4:6:615902:619787:-1 gene:Potri.006G009100.v4.1 transcript:Potri.006G009100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009100.v4.1 MSFDLQLQPSCSGCGSTTDLYGSNCKHMTLCLTCGKTMAENRGKCFDCGAIVTRLIREYNVRASTSSEKNYFIGRFVTGLPGFSKKKNAENKWSLLKEGIHGRQITDTLREKFKNKPWLLEDETGQFQYQGHLEGSQSATYYLLMMSGKEFVAIPAGSWYNFNKVAHYKQLTLEEAEEKMKNRRKTADGYERWMMKAAHNGAAAFGEVEKPDDKDGVSAGGRGGRKKANGDDDEGNVSDKGEEDEEEEAGRKSRLGLNKGGGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVAIDPEEREDLAPEVPAPPEIKQDEEDEDEENEEGGLSKSGKELKKLLGKANGLNESDAEDDDDDDDMDDDISPVLAPKQKDAVPKEEPADNSPAKPTPPGSAKGTPSTSKSAKGKRKLNADDAKTSNGAPVKKEVKPTVKEESSPATKGTATPKIMPSSSKTGPTSGPTGPVTEEEIRAVLLQNGPVTTQDLVARFKSRLRTPEDKKAFADILRRISKIQKTSGSNFVVLRDKR >Potri.006G009100.3.v4.1 pep chromosome:Pop_tri_v4:6:615901:619825:-1 gene:Potri.006G009100.v4.1 transcript:Potri.006G009100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009100.v4.1 MSFDLQLQPSCSGCGSTTDLYGSNCKHMTLCLTCGKTMAENRGKCFDCGAIVTRLIREYNVRASTSSEKNYFIGRFVTGLPGFSKKKNAENKWSLLKEGIHGRQITDTLREKFKNKPWLLEDETGQFQYQGHLEGSQSATYYLLMMSGKEFVAIPAGSWYNFNKVAHYKQLTLEEAEEKMKNRRKTADGYERWMMKAAHNGAAAFGEVEKPDDKDGVSAGGRGGRKKANGDDDEGNVSDKGEEDEEEEAGRKSRLGLNKGGGDDDEEGPRGGDLDMDDDDIEKGDDWEHEEIFTDDDEAVAIDPEEREDLAPEVPAPPEIKQDEEDEDEENEEGGLSKSGKELKKLLGKANGLNESDAEDDDDDDDMDDDISPVLAPKQKDAVPKEEPADNSPAKPTPPGSAKGTPSTSKSAKGKRKLNADDAKTSNGAPVKKVKTENEVKPTVKEESSPATKGTATPKIMPSSSKTGPTSGPTGPVTEEEIRAVLLQNGPVTTQDLVARFKSRLRTPEDKKAFADILRRISKIQKTSGSNFVVLRDKR >Potri.001G032683.1.v4.1 pep chromosome:Pop_tri_v4:1:2443774:2446385:-1 gene:Potri.001G032683.v4.1 transcript:Potri.001G032683.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G032683.v4.1 MNSPVEERKLDQVTILISSSVDDVSRKGCLAADVIEQTEVEVERLNVLKPGKMKKLVFKKQNEPEEVYRGAHMDVDSDAARQILISLIESGFNQNFSCFHLTKMHDG >Potri.006G160100.1.v4.1 pep chromosome:Pop_tri_v4:6:14437082:14440358:-1 gene:Potri.006G160100.v4.1 transcript:Potri.006G160100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160100.v4.1 MLHAISESDMTSMSPSSPRSPKRPVLYYVQSPSRDSHDGDKSSSMQTTPAYASSMESPSHLSYGRHSLSSSASRVSGGGYGWKGRSKSKGWPQSKCDDVIEEEGDYDPYGNGVSRRWLCQVLIWASGFVSALCVFCFIIWGASRPFKPQITVKSLTVHNFYFGEGSDMTGVPTKMLTVSCSVKMNVYNSATFFGIHVSSIPVNLMYSELTVATGELKRYFQPRKSHRTVSVNLKGTKVPLYGAGAGIAASEDNGGVPMTLVFEVRSIGNVVGKLVKPRHRRRVACSLAIDSRSSKVIIFKEDSCTYH >Potri.016G097400.1.v4.1 pep chromosome:Pop_tri_v4:16:9754289:9756194:-1 gene:Potri.016G097400.v4.1 transcript:Potri.016G097400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G097400.v4.1 MKSELGVDDLFKVKLEIIKTWQHGSANHSHDNTPSLFPSPLCIHRTIASSAVMAPPISSQLHFVLIPLMAQGHMIPMIDMARLISERGVTVSLVTTPHNASRFEAIIDRARESGLPIRLVQIRFPCEEVGLPIGLENLDTLPSRDLLKKFYVAVARLQQPLELLLEHAKPPPSCIISDKCLSWTSKTAQRFNIPRIVFHGMCCFSLLSSHNIRLHKAHLSVTSDSEPFVVPGMPQSFEVTKAQLPGAFVSLPDLDDVRNKMQEAESTAYGVVVNSFDELEHGCAEEYTKALKKKVWCIGPVSLCNKNNLDKFERGNKASIDEKQCLEWLDSMKPGSVIYACLGSLCRLVPSQLIELGLGLEASKQPFIWVVKTGEKGSELEEWFVKEKFEERIKGRGLLIKGWAPQVLILSHTSIGGFLTHCGWNSTVEGICSGVPMITWPQFSEQFLNEKLIVEILRIGVRVGVEVPVRWGDEEKVGVLVKKDEVKKAVITLMDAGGEESKKRRKRAIELGKSANQAMELGGSSNLNLSFLMQDITKQQTQNKG >Potri.005G118800.1.v4.1 pep chromosome:Pop_tri_v4:5:8739975:8744980:1 gene:Potri.005G118800.v4.1 transcript:Potri.005G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118800.v4.1 MAKLQQQHSNTAGWAPPSVQKRWLLTLLIMLSVSTLIAFFIKSAFDSCDPPHPHNFDVAASNKPAKVFSNSIKTAPSPLSFMKSKLVLLVSHELSLSGGPLLLMELAFLLRSVGTEVFWITIQKPSETDEVVYSLEQKMLVRGVQVLSAKGQEAIDTAFKADLVVLNTAVAGKWLDAVLKENVPRVLPKVLWWIHEMRGHYFKLDYVKHLPLVGGAMIDSHVTAEYWKNRTQERLRIKMPETYVVHLGNSKELMEVAEDSVAKRVLREHIRESLGVRDEDILFAIINSVSRGKGQDLFLRSFYESLQIIQVKKLKVPSMHAVIVGSDMSAQTKFETELRNYVMQKNIQDRVHFINKTLTVAPYLAAIDVLVQNSQARGECFGRITIEAMAFQLPVLGTAAGGTTEIVVNGTTGLLHSVGKEGVTPLAKNIVKLATHVERRLTMGKRGYERVREMFLEHHMAHRIASVLKEVLRKSKSHPHS >Potri.005G118800.3.v4.1 pep chromosome:Pop_tri_v4:5:8739980:8744944:1 gene:Potri.005G118800.v4.1 transcript:Potri.005G118800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118800.v4.1 MAKLQQQHSNTAGWAPPSVQKRWLLTLLIMLSVSTLIAFFIKSAFDSCDPPHPHNFDVAASNKPAKVFSNSIKTAPSPLSFMKSKLVLLVSHELSLSGGPLLLMELAFLLRSVGTEVFWITIQKPSETDEVVYSLEQKMLVRGVQVLSAKGQEAIDTAFKADLVVLNTAVAGKWLDAVLKENVPRVLPKVLWWIHEMRGHYFKLDYVKHLPLVGGAMIDSHVTAEYWKNRTQERLRIKMPETYVVHLGNSKELMEVAEDSVAKRVLREHIRESLGVRDEDILFAIINSVSRGKGQDLFLRSFYESLQIIQVKKLKVPSMHAVIVGSDMSAQTKFETELRNYVMQKNIQDRVHFINKTLTVAPYLAAIDVLVQNSQVVS >Potri.010G185200.1.v4.1 pep chromosome:Pop_tri_v4:10:18245882:18247961:-1 gene:Potri.010G185200.v4.1 transcript:Potri.010G185200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G185200.v4.1 MERLVELSDTEVRIDFLLYSKCRATVRLRSLCATAPVAFKIQTSSPHKFLVNPPTGLISPLSFATFQIVLRPQNQLPPTFPRSPSDRFLIKTAPFASNSPCSTHPDSLASWFSSLPLDSTQDFKLKVAFVGPFLLRHAVSCGDADSVKNILKRQKTILSELTQRDAESLLRVATELDNSEVVVNLLLEGGLKIDACVTAGGVGSYQVDPRWESKGWSDLHVAAALDRADDVLDLLKGSGPLDLRDKEGRTPLHLASSRGNIKCAKLLVESDADKDAKSKDGRTALYRAAANGDRRMVEMLIDVGADPTIPDDRGRSAMDAARDKGHEEVVEVLQCGELALMAARRGELESLESLLRRGASLKYRDQYGFTALHAAAVKGHTDIVSMLVEFGVDLECQDNEGHAPLHLAVEGGHIETVEVLINRGANVNARSNRGATPLYMAKAIGYDDISQFLVDRGASSSPPLSLPSSSFLMLQP >Potri.010G212800.2.v4.1 pep chromosome:Pop_tri_v4:10:20108366:20110048:-1 gene:Potri.010G212800.v4.1 transcript:Potri.010G212800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212800.v4.1 MVRCWTIRGPSIIFWILYLEAYEILAIVYSAELFMILKHHHLALLNGALPFTPPLTKLSSHKSLHTYNPEDYLEKLFELLEMAMNDLISYDYDGDDDGYIDLEVSSCSNLLCHSISSPPFPIEFEFQMSTVPLEKDTTTSPADELFYKGKLLPLHLPPRLQMVEKILEKSNSEYDHRKDTFEECFCTPLMTTATTPTSTSTPFESCNISPSESCCVSRELNPEEYFFEYSSEIGGFMDGNPKKSWTKKLKLIKQSSLGSKLKASRAYFRSLFGKSSCSDDSCTVATKVADEVTVSKAKESLNKYERPSKKTPFGQIQKDKYQTSTTAMQNIQKITEDGSGRLHRRSFSMAIKSSTSSNGAHRLPFLKRSSSAKSEIENPIQGAIAHCKQSQQLHHSRKTVNEANLFALSASRISICDEQERPVLCRG >Potri.010G212800.3.v4.1 pep chromosome:Pop_tri_v4:10:20108366:20110048:-1 gene:Potri.010G212800.v4.1 transcript:Potri.010G212800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212800.v4.1 MVRCWTIRGPSIIFWILYLEAYEILAIVYSAELFMILKHHHLALLNGALPFTPPLTKLSSHKSLHTYNPEDYLEKLFELLEMAMNDLISYDYDGDDDGYIDLEVSSCSNLLCHSISSPPFPIEFEFQMSTVPLEKDTTTSPADELFYKGKLLPLHLPPRLQMVEKILEKSNSEYDHRKDTFEECFCTPLMTTATTPTSTSTPFESCNISPSESCCVSRELNPEEYFFEYSSEIGGFMDGNPKKSWTKKLKLIKQSSLGSKLKASRAYFRSLFGKSSCSDDSCTVATKVADEVTVSKAKESLNKYERPSKKTPFGQIQKDKYQTSTTAMQNIQKITEDGSGRLHRRSFSMAIKRYPKNKSLSSSSSSDSSSSSSSSTSSNGAHRLPFLKRSSSAKSEIENPIQGAIAHCKQSQQLHHSRKTVNEANLFALSASRISICDEQERPVLCRG >Potri.018G135800.1.v4.1 pep chromosome:Pop_tri_v4:18:15624634:15630698:1 gene:Potri.018G135800.v4.1 transcript:Potri.018G135800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135800.v4.1 MAAELLLTFAVEESLRRMISIAAEGIGLAWGLNGQLQKLVDSLTMIQAVLRDAATRPAAEDGVKRWLDKLQDAAHDAEDVLDEFDYEQKGKVCDFVSLHNPIVFRWKMGHKVKRIHGALDEILKLGGDLGLANRPEVRRDPPRQTDSFLDSSADTSAVVVGREDDVSKVVEWLTESQHVLSVVPIVGMAGLGKTTIAKNVYKEVKERKLFDETIWVCVSNHFDEVKILREMLQTIDKTTGALENIDAILQNLKKQLENKTFLLVLDDVWNRNRNKWNGLKDGLLKIKSKNGNAVVVTTRIKEVASMMETSPGIQLEPEKLSDDECWSIIKQKVSGGGGAPLAADSESIGKEIAKKCGGLPLLANVLGGTLRQKETKEWESILSNRFWHSTDGNEALDILRFSFDHLSSPSLKKCFAYCSIFPEDFEIEREELIQLWMGEGFLGPSNQRMEDMGNKYFNDLLANSLFQDVERNEYGMVTSCKMHDLVHDLALQVSKAETLNPEPGSAVDGASHILHLNLISCGDVESTFQALDARKLRTVFSMVDVLNQSRKFKSLRTLKLQRSNITELPDSICKLRHLRYLDVSHTNIKALPESITNLYLLETLRLTDCFWLQKLPKKMRNLVSLRHLHFNDKNLVPADVSFLTRLQTLPIFVVGPDHKIEELRCLNELRGELEIWCLERVRDREDAEKAKLREKRMNKLVFKWSDEGNSSVNIEDVLDALQPHPDIRSLTIEGYWGEKFPSWMSMLQLNNLMVLRLKDCSNCRQLPILGCFSRLEILEMSGMPNVKCIGNELYSSSGSTEVLFPALKELSLLGMDGLEEWMVPCGEGDQVFPCLEKLSIEWCGKLRSIPICGLSSLVEFEIAGCEELRYLSGEFHGFTSLQLLSIEGCPKLTSIPSVQHCTTLVKLDIDGCLELISIPGDFQELKYSLKILSMYNLKLEALPSGLQCCASLEELYIWDCRELIHISDLQELSSLRRLEIRGCDKISSIEWHGLRQLPSLVYLEISGCWSLSHFPDVDCLGGLTQLKELAIGGFSEELEAFPAGVLNSFQHLNLCGSLERLEICGWDKLKSVPHQLQHLTALERLEICDFRGEGFEEALPDWLANLSSLRYLGIDNCKNLKYLPSSTAIQRLSKLKGLRILGGCPHLSENCRKENGSEWPKISHIPTIDIV >Potri.011G131001.1.v4.1 pep chromosome:Pop_tri_v4:11:16470955:16472304:1 gene:Potri.011G131001.v4.1 transcript:Potri.011G131001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G131001.v4.1 MIDIFPSSKFIYMVSRVRSRLERMHREADEILESIISERRANSALASKMDKNEEDDLLGVLLNLQDHGNLEFQLTTSAIKAIILGMFSGGGDTSSTALEWAMSELVKNPRVMEKAQKEVRQVFNDIGTIPDEASLHDLKFLKLIIKETLRLHPSGPLIPRECRKRCNVNGYDIHVKSKVLINAWAIGRDPNYWNEPERFYPDRFINVSTDFKGSDFEFIPFGAGKRMCPGMLFAIANIEFPLAQMLYHFDWKPADGLKPEDLDMTESLGGTVKRKRDLKLIPISYRSLVG >Potri.006G208200.2.v4.1 pep chromosome:Pop_tri_v4:6:21619212:21620558:-1 gene:Potri.006G208200.v4.1 transcript:Potri.006G208200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G208200.v4.1 MIMYSVITDSCRVITILIIEIKPALSCWTQPSQVLRSAVDARGGMETLWPALQLVYMTRASTYRDALKSFIQGYQELRCSAGHGNERRI >Potri.001G042800.2.v4.1 pep chromosome:Pop_tri_v4:1:3103780:3105159:1 gene:Potri.001G042800.v4.1 transcript:Potri.001G042800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042800.v4.1 MKVKFLAWTFSIFLCSMALHRVTSTPQHEDFDKPEPLMQGQVHDLEGKIGLSREGERREYKGKHEIFHSIKGQKGKGAYGGANIVHRPKHGGRSDALLSAKASSFVTATMLCVSLAFILIFPFL >Potri.005G119900.2.v4.1 pep chromosome:Pop_tri_v4:5:8813776:8817708:-1 gene:Potri.005G119900.v4.1 transcript:Potri.005G119900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G119900.v4.1 MFSGKEFPSSSSFLAAYASMAGSIMMAQSIANQLSHLIPHHVRSYLSSTLRYFFKPQSPILTLVIDESTGIARNQVYDASETYLCTKVSPNTKRLKISKTPTEKNLTIKLEKGEKIVDNYEGVELQWRLVFAEAEKNDSHNPFQPRNVEKRWFELSFHRDHKETILGSYIPYILERAKSIKEEVRVLKMHTLNNSQGYGGIKWESINLEHPATFETLAMEPDLKNIVIEDLNRFVKRKDFYKRVGRAWKRGYLLYGPPGTGKSSLVAAMANHLKFDVYDLQLANIMRDSDLRRLFLATGNRSILVIEDIDCSLDLPDRRQVSKDGDGRKQHDVQLTLSGLLNFIDGLWSSCGDERIIIFTTNHRDRLDPALLRPGRMDMHIHMSYCTTHGFRVLASNYLGINGYHTLFGEIEDLIKTTEVTPAQVAEELMKSEDSNIALEGVVKLLKRKKLEGDELFDEGAKKVGIQEAKRQKVENKRRVSVRINSGKKTNKRRCS >Potri.003G025566.1.v4.1 pep chromosome:Pop_tri_v4:3:14655:22141:1 gene:Potri.003G025566.v4.1 transcript:Potri.003G025566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025566.v4.1 MAFVQSLSKTVSVFVFGFVVLNCLVVDKFRSHAQGVTPLLPQDEVQILQTISDKLKNNNWTTIDRTSCSSAQWKLYISDPPKNDRIQSNVTCDCTFENNTVCHVISFKLKGFNLTGVLPVEFRNLTQLREIDLSRNYLNGSIPGSLAELPNLQSLSLLANRLSGSIPREIGSFATLKSLVLEDNLLGGSLHPDLGNLKSLERLLLSANNFSGTIPDTFGNLKNLNDFRIDGSELSGKIPDFIGNWTNITTFDLQGTSMEGPIPSTISLLKNLTTLRISDLKGSSSTFPDLKDMTKMEKLILRNCSMTGSIEEYLGNMADLDTLDLSFNKLTGPIPGPLESLTKNIKFMFLNNNLLTGEVPAWILGSRKDLDLSYNNFTGSAQPSCQQLPVNLVSSHVSTGSNKISWCLNKDLVCTRKPQHHSLFINCGGSSETVGDNEYEDDTTPGGAADFASISERWGYSSTGTYIGTDDGAYKATNSYGLNVTGEGFYQTARLAPQSLKYYGLCMLAGSYKVQLHFAEIMYSNNQTFSSLGRRIFDISIQGKVVEANFNIMEEAGGVGIGITKVFDGIIVNGTTLEIHLYWSGKGTTAVPDRGVYGPLISAITVTPNFKVDNGGGLSVGAIIGIVAAPCVLAALVLLVLRKKGYLGGKDLEDKELRALDLQTGYFSLRQIKHATNNFDLANKIGEGGFGPVYKGMLSDGSVIAV >Potri.017G096600.1.v4.1 pep chromosome:Pop_tri_v4:17:11003145:11006078:1 gene:Potri.017G096600.v4.1 transcript:Potri.017G096600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096600.v4.1 MHRFSYSNLFHKRNSTMSIFIALYLLPPLHLFLYVTATTDYLQRYSPSTDLILLNCGASSNLSSPDGRGWDGDSQSKFAASNPPEASSVFAASNQDPSVNQVPYMTARIFHSKFTYTFPVLPGPKFVRLYFYPASYSNLDISTSYFSIRANNYQLLNNFSASLTVSAIIPPVDYFTKEFIITVRDNQKLELTFIPSPASFSFINGIEIVSMPDSFYARGDDNPPTYVGTDNPCSLYNTTALETVYRLNVGGQDIGSTGDTGMYRTWHQDSEYLFGQAGNTPYLPGVKIKYTTKTPAYSAPVMVYSTMRSMGPEPRLNMNYNLTWIFPVDAGFLYLLRLHFCETRMEFKNENQQVFLIFINNQTAEHDADVIHMSGGNGIPVYKDYIVLVPPGSQSKQDLWLELHPNMELKPTYADAILNGLEIFKLNTTDGNLAGFNPEPTVAPPPAEQHPERRTGKRSSILTVIGIVGGSIGAVFACSLILYFFAFKQKRVKDPSKSEEKSSWTIISQTSRSTTTISPSLPTDLCRRFTFFEINEATGNFDDQNIIGSGGFGTVYKAYIEYGFIAVAIKRLDSSSKQGTREFQTEIEMLSNLRHLHLVSLIGYCDDHGEMILVYDYMSRGTLREHLYKTKSSPLPWKQRLEICIGAAKGLHYLHSGAKHTIIHRDVKSTNILLDENWVAKVSDFGLSRLGPTSTSQTHVSTVVRGSIGYVDPEYYRRQHVTEKSDVYSFGVVLFEVLCARPPVIPSSPKDQASLAEWARRCYQRGTLDQIVDPHLKGEVASVSLNKFAEIANSCLHVQGIERPKMGDVVWGLEFALQLQQTAEKNGNSVDGINMENKSSLSPHRDVMTTDDDDMFSGAESHSRSTVSTHESVTQSDPDQRARGVFSEIMDPKAR >Potri.017G096600.2.v4.1 pep chromosome:Pop_tri_v4:17:11003245:11006164:1 gene:Potri.017G096600.v4.1 transcript:Potri.017G096600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096600.v4.1 MSIFIALYLLPPLHLFLYVTATTDYLQRYSPSTDLILLNCGASSNLSSPDGRGWDGDSQSKFAASNPPEASSVFAASNQDPSVNQVPYMTARIFHSKFTYTFPVLPGPKFVRLYFYPASYSNLDISTSYFSIRANNYQLLNNFSASLTVSAIIPPVDYFTKEFIITVRDNQKLELTFIPSPASFSFINGIEIVSMPDSFYARGDDNPPTYVGTDNPCSLYNTTALETVYRLNVGGQDIGSTGDTGMYRTWHQDSEYLFGQAGNTPYLPGVKIKYTTKTPAYSAPVMVYSTMRSMGPEPRLNMNYNLTWIFPVDAGFLYLLRLHFCETRMEFKNENQQVFLIFINNQTAEHDADVIHMSGGNGIPVYKDYIVLVPPGSQSKQDLWLELHPNMELKPTYADAILNGLEIFKLNTTDGNLAGFNPEPTVAPPPAEQHPERRTGKRSSILTVIGIVGGSIGAVFACSLILYFFAFKQKRVKDPSKSEEKSSWTIISQTSRSTTTISPSLPTDLCRRFTFFEINEATGNFDDQNIIGSGGFGTVYKAYIEYGFIAVAIKRLDSSSKQGTREFQTEIEMLSNLRHLHLVSLIGYCDDHGEMILVYDYMSRGTLREHLYKTKSSPLPWKQRLEICIGAAKGLHYLHSGAKHTIIHRDVKSTNILLDENWVAKVSDFGLSRLGPTSTSQTHVSTVVRGSIGYVDPEYYRRQHVTEKSDVYSFGVVLFEVLCARPPVIPSSPKDQASLAEWARRCYQRGTLDQIVDPHLKGEVASVSLNKFAEIANSCLHVQGIERPKMGDVVWGLEFALQLQQTAEKNGNSVDGINMENKSSLSPHRDVMTTDDDDMFSGAESHSRSTVSTHESVTQSDPDQRARGVFSEIMDPKAR >Potri.017G096600.3.v4.1 pep chromosome:Pop_tri_v4:17:11004407:11006188:1 gene:Potri.017G096600.v4.1 transcript:Potri.017G096600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096600.v4.1 MELKPTYADAILNGLEIFKLNTTDGNLAGFNPEPTVAPPPAEQHPERRTGKRSSILTVIGIVGGSIGAVFACSLILYFFAFKQKRVKDPSKSEEKSSWTIISQTSRSTTTISPSLPTDLCRRFTFFEINEATGNFDDQNIIGSGGFGTVYKAYIEYGFIAVAIKRLDSSSKQGTREFQTEIEMLSNLRHLHLVSLIGYCDDHGEMILVYDYMSRGTLREHLYKTKSSPLPWKQRLEICIGAAKGLHYLHSGAKHTIIHRDVKSTNILLDENWVAKVSDFGLSRLGPTSTSQTHVSTVVRGSIGYVDPEYYRRQHVTEKSDVYSFGVVLFEVLCARPPVIPSSPKDQASLAEWARRCYQRGTLDQIVDPHLKGEVASVSLNKFAEIANSCLHVQGIERPKMGDVVWGLEFALQLQQTAEKNGNSVDGINMENKSSLSPHRDVMTTDDDDMFSGAESHSRSTVSTHESVTQSDPDQRARGVFSEIMDPKAR >Potri.009G076000.4.v4.1 pep chromosome:Pop_tri_v4:9:7381992:7392926:1 gene:Potri.009G076000.v4.1 transcript:Potri.009G076000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076000.v4.1 MASTSKVDISGEDDDELERFDDFTLASSWERFISEIEAVCRQWLADGPNNLLEKGAVKLDFSQKLYKVKMELKYAMKSYNMEYYFETSSGGKIADGNSTLHDLQLCFGVKDFLVIAPQSASGVVLDSPEASKLLSAVAIALTNCSSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPVRLMHLEGLYELFVSKFAYSTLDFAMHLYKVHFTMTSTYRTIHHDDDDLQSLGIEKEEYGDNHGSETRSRSQWDDDCPWSEWYSAEDPVKGLELTATWSEKTVESSLEMAELENASPHEAEKWMILPFLSPNLDSSEGNRIGFASQLRLLVDALNMSFDAQFMEDFVSAVENPGSDNLKSSMIVPPPTVLDRVFKDLFHEGSQVAAFAKGEHKISRAIKGAPFGSLFAQFCLHALWVGTCNIRAIAVLWIEFIREVRWCWEESQPLPKMQANGSIDLSTCLINQKLQMLAICIEKKCEMNEDFQDCVGSNEHTYDHMEEDSPVGDKTTNKQKHGDEFDGIQDSPLTKDGLHGSGTTTTSRRSMKHGDSLSTDLKSSDHNRRGSAGAVGSMQLLKSYKSMHAPFTQDAPLMTEDMHEERLQAVEALGNSFSFSAQLEKDILSSDMSAFKAANPDSVFEDFIRWHSPGDWENDDNKESGASKSPVTKGLKDDWPPHGRLSQRMSEQGNLWRKIWNDTPALPVYEQKPLIDPFREGEKILHYLETLRPHQLLEQMVCTTFRVSADTLNQTNFGGLKQMTTKMEQLYRTMASTLKPLQTNHVSGNSETIEDLRRLCVIFEHIEKLLTLASSLHRTFLQAPRLSETIFTDYYNFYLPRMGTGSPGSLEVDEKEFDVKYQVMARERQCVSNMFTPPTANQSWRKVLSMGNLLNGHEPIVREIIFSVRDSLRNNHYAAHNPRGFQREIETYRMYICGTSNDLRVALSVTSCD >Potri.009G076000.5.v4.1 pep chromosome:Pop_tri_v4:9:7381900:7393001:1 gene:Potri.009G076000.v4.1 transcript:Potri.009G076000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076000.v4.1 MASTSKVDISGEDDDELERFDDFTLASSWERFISEIEAVCRQWLADGPNNLLEKGAVKLDFSQKLYKVKMELKYAMKSYNMEYYFETSSGGKIADGNSTLHDLQLCFGVKDFLVIAPQSASGVVLDSPEASKLLSAVAIALTNCSSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPVRLMHLEGLYELFVSKFAYSTLDFAMHLYKVHFTMTSTYRTIHHDDDDLQSLGIEKEEYGDNHGSETRSRSQWDDDCPWSEWYSAEDPVKGLELTATWSEKTVESSLEMAELENASPHEAEKWMILPFLSPNLDSSEGNRIGFASQLRLLVDALNMSFDAQFMEDFVSVENPGSDNLKSSMIVPPPTVLDRVFKDLFHEGSQVAAFAKGEHKISRAIKGAPFGSLFAQFCLHALWVGTCNIRAIAVLWIEFIREVRWCWEESQPLPKMQANGSIDLSTCLINQKLQMLAICIEKKCEMNEDFQDCVGSNEHTYDHMEEDSPVGDKTTNKQKHGDEFDGIQDSPLTKDGLHGSGTTTTSRRSMKHGDSLSTDLKSSDHNRRGSAGAVGSMQLLKSYKSMHAPFTQDAPLMTEDMHEERLQAVEALGNSFSFSAQLEKDILSSDMSAFKAANPDSVFEDFIRWHSPGDWENDDNKESGASKSPVTKGLKDDWPPHGRLSQRMSEQGNLWRKIWNDTPALPVYEQKPLIDPFREGEKILHYLETLRPHQLLEQMVCTTFRVSADTLNQTNFGGLKQMTTKMEQLYRTMASTLKPLQTNHVSGNSETIEDLRRLCVIFEHIEKLLTLASSLHRTFLQAPRLSETIFTDYYNFYLPRMGTGSPGSLEVDEKEFDVKYQVMARERQCVSNMFTPPTANQSWRKVLSMGNLLNGHEPIVREIIFSVRDSLRNNHYAAHNPRGFQREIETYRMYICGTSNDLRVALSVTSCD >Potri.009G076000.1.v4.1 pep chromosome:Pop_tri_v4:9:7381902:7393487:1 gene:Potri.009G076000.v4.1 transcript:Potri.009G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G076000.v4.1 MASTSKVDISGEDDDEQLERFDDFTLASSWERFISEIEAVCRQWLADGPNNLLEKGAVKLDFSQKLYKVKMELKYAMKSYNMEYYFETSSGGKIADGNSTLHDLQLCFGVKDFLVIAPQSASGVVLDSPEASKLLSAVAIALTNCSSLWPAFVPVHDPSRKAYIGIQNMGTVFTRRFEADRIGSQVPVRLMHLEGLYELFVSKFAYSTLDFAMHLYKVHFTMTSTYRTIHHDDDDLQSLGIEKEEYGDNHGSETRSRSQWDDDCPWSEWYSAEDPVKGLELTATWSEKTVESSLEMAELENASPHEAEKWMILPFLSPNLDSSEGNRIGFASQLRLLVDALNMSFDAQFMEDFVSVENPGSDNLKSSMIVPPPTVLDRVFKDLFHEGSQVAAFAKGEHKISRAIKGAPFGSLFAQFCLHALWVGTCNIRAIAVLWIEFIREVRWCWEESQPLPKMQANGSIDLSTCLINQKLQMLAICIEKKCEMNEDFQDCVGSNEHTYDHMEEDSPVGDKTTNKQKHGDEFDGIQDSPLTKDGLHGSGTTTTSRRSMKHGDSLSTDLKSSDHNRRGSAGAVGSMQLLKSYKSMHAPFTQDAPLMTEDMHEERLQAVEALGNSFSFSAQLEKDILSSDMSAFKAANPDSVFEDFIRWHSPGDWENDDNKESGASKSPVTKGLKDDWPPHGRLSQRMSEQGNLWRKIWNDTPALPVYEQKPLIDPFREGEKILHYLETLRPHQLLEQMVCTTFRVSADTLNQTNFGGLKQMTTKMEQLYRTMASTLKPLQTNHVSGNSETIEDLRRLCVIFEHIEKLLTLASSLHRTFLQAPRLSETIFTDYYNFYLPRMGTGSPGSLEVDEKEFDVKYQVMARERQCVSNMFTPPTANQSWRKVLSMGNLLNGHEPIVREIIFSVRDSLRNNHYAAHNPRGFQREIETYRMYICGTSNDLRVALSVTSCD >Potri.006G090400.2.v4.1 pep chromosome:Pop_tri_v4:6:6901133:6904260:-1 gene:Potri.006G090400.v4.1 transcript:Potri.006G090400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G090400.v4.1 MKEIIGGPGTVSGLLLRIGQCVFAAASISIMTSAIGFSSYTSFCYLIASMGLQLLWSFGLACLDIYALRRKKDLQNPVLVSLFVVGDWVTAMLSLAAACSSAGVVVLYARDMNFCKIHPDLPCSRYEISILLAFITWLQVSISSHVMFWILASV >Potri.004G201100.1.v4.1 pep chromosome:Pop_tri_v4:4:21118016:21118267:1 gene:Potri.004G201100.v4.1 transcript:Potri.004G201100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201100.v4.1 MNMCLSFFQNAGQLRWCPKQVTFPGTCGNNSRQQCLVDFLSNFGASSMPKNCVCRDSRSSQRSCTCDVVCQESYVKKPNMNGA >Potri.006G234500.1.v4.1 pep chromosome:Pop_tri_v4:6:23707790:23722714:1 gene:Potri.006G234500.v4.1 transcript:Potri.006G234500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G234500.v4.1 MARFQFIFTFIPILITIITLTTNLRVLCSGSDSDSESGSFSVIDFDSNLLFHQDYSPPAPPPPPPHPPSASCTDDLGGIGSIDTVCQIVADVNLTRDVYIEGKGDFNIHPGVRFHCPNFGCSITINVSGNFNLSVNSSIVTGTFELVANNASFFNGSVVNTTGLAGDPPPQTSGTPQGLEGAGGGHGGRGACCLVDKEKLPEDIWGGDAYSWSSLQDPWSYGSKGGSTSKEVDYGGAGGGRVKMKVKEYLAVDGAILADGGYGGVKGGGGSGGSILLKAYKMTGGGRISACGGNGFAGGGGGRVSVDIFSRHDDPQIFVHGGNSFGCPENAGGAGTLYDAVARSLTVSNHNMSTDTDTLILEFPYQPLWTNVYVRNHARATVPLLWSRVQVQGQISLLCSGVLSFGLAHYASSEFELFAEELLMSDSVIKVYGALRMSVKMFLMWNSKMIIDGGEDVTVATSLLEASNLVVLKESSVIHSNANLGVHGQGLLNLSGSGNWIEAQRLVLSLFYSIHVAPGSVLRGPVENATSDAITPRLHCQLEECPAELFHPPEDCNVNSSLSFTLQICRVEDITVEGLIEGSVVHFNQARAISVPSSGTISASGMGCTGGVGRGNGLSNGIGSGGGHGGKGGSACYNDNCVDGGVSYGDAELPCELGSGSGQENSSGSTAGGGIIVMGSLEHPLSSLSVEGSVRVDGESFKGITRDQLVVMKGTAGGPGGGSGGTILLFLHTLDLGEHAVLSSVGGYGSPKGGGGGGGGRVHFHWSDIPTGDMYQPIARVNGSIHTWGGLGRDDGHAGENGTVTGKACPKGLYGIFCEECPVGTYKNVTGSSRVLCHSCPADDLPRRAAYIAVRGGIAETPCPYKCVSERFHMPHCYTALEELIYTFGGPWLFCLLLLGLLILLALVLSVARMKFVGVDELPGPAPTQHGSQIDHSFPFLESLNEVLETNRAEESQSHVHRMYFMGRNTFSEPWHLPHTPPEQIKEIVYEGAFNTFVDEINGIAAYQWWEGAIYILVSVLAYPLAWSWQQWRRRIKLQRLREFVRSEYDHACLRSCRSRALYEGLKVAATSDLMLGYLDFYLGGDEKRTDIPARLHQRFPMSILFGGDGSYMAPFSIQSDNILTSLMSQMVPSTTWYRIAAGLNAQLRLVCRGRLIVTFRPVLRWLETHANPALRNHGVHVDLAWFQATTSGHCQYGLLVHAVEEESEHTSVEGIDGAKQIEEDSRLVKNTNQENPSGHWREEVFVSQAHRNRDNYMRRKRIYGGIIDTNSLRMLEEKRDLFYLISFIVHNTKPVGHQDLVGLVISTLLLGDFSLVLLTLLQLYSISLAGVFLVLFILPLGILMPFPAGINALFSHGPRRSAGLARIYALWIVTSLINVVVAFICGYIHYNSQSSSSKKFPFQTWSISMDESEWWIFPAGLVVCKILQSQLINWHVANLEIQDRSLYSNDFELFWQS >Potri.015G113300.1.v4.1 pep chromosome:Pop_tri_v4:15:12928243:12928711:-1 gene:Potri.015G113300.v4.1 transcript:Potri.015G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G113300.v4.1 MSTPKQKSLFLAVIFTSLRYLSVYSFEYQIGGNENWVVPPAIDTRIYVDWALGNRFQVGDTFSFNFLGDSVMKVRVEDCKKCHSRHPNFFSNTVYYLNYPASSYFISGVSGHCEKGQRMIIIKVISTDQETNSSLQLFLLQEC >Potri.005G015300.1.v4.1 pep chromosome:Pop_tri_v4:5:1218207:1218443:1 gene:Potri.005G015300.v4.1 transcript:Potri.005G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G015300.v4.1 MKNGLLPFGSFPIDNIPGAGTSEFFQEISRFTCPVYLLIPCFPPGSMYQLDCQNSANKWQMDLSHLLRQCICCTSIRH >Potri.013G017900.1.v4.1 pep chromosome:Pop_tri_v4:13:1128310:1132365:1 gene:Potri.013G017900.v4.1 transcript:Potri.013G017900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G017900.v4.1 MGCAPQILHMIFIITIFMLILLQSAHVFISDDASVDAEGYFQAPGLPKVNFIKESTGDVNNEKQIEKVSGEDENEEKETLIVQKFRALLGLKSSKTRSSLTEFVSRAPSPSATMESEPPAFAPAPAPPRLPIHVHSYSPLHHKHLAPPPHKIQKEHKDKSRLKRILVAVLVPAGAAFLVCALGLIWFSGKFREHRKKSARIMSVHRKKGRTRGKSKFVSSQKSASKVSLNPALDLLYLNSLEKDLEQQTTYLKQTPETVNTLSNHSTPKSSMNERQESKQELIVKSDSDNASSSSTREITSVHGDGESVKYESDGGNSSSGDKIIPTECHSSDDESFHSFVDSHSSNVRLSNASAGSLSDISEIPPSNVPQIIPSPSPPPTHLDILEATQVQDKNFTAPPPPPPPPPPPPPPPALAPRMCIPSSRTPSRSSRITSEASSSSSLPNLSPPRKSDASSGSNQTPRNDLPPSPQKSPKPLRALSSIPPPPCPPPFLKGNNGSAKGPPPPPCPPPFLKGNSGPPPPPSQLPQYTPLGKDGVPLAKLKPLHWDKVRAAPDQSMVWDKIRSSSFELDEEMIESLFGYNLQSTTKNDEAKSKTPSPSKHVLEPKRLQNITILSKAINATAEQVCEALMRGDGLCLQQLEALAKMVPTKEEEAKLFGYKGDIKELGSAEKFVRVVLSIPFAFERVEAMLYRETFEDEVVHLRNSFSMLEEACKELRSSRLFLKLLEAVLKTGNRMNVGTIRGGAKAFKLDALLKLSDVKGTDGKTTLLHFVVQEIIRSEGIRVSDSIMGMINQKNKTKTVEEREEDYRRMGLDLVSGLSTELYNVKKTATIDLDVLASSVSNLSDGIDKLQHLVNKDLSTDKKSINFVHTMKTFLNYAARNLKELREDEDRVLLHVREITEYFHGNVSKDEANPLRIFVIVRDFLGMLDHVCKELRSLKVPSIPNPLAPFR >Potri.006G053000.1.v4.1 pep chromosome:Pop_tri_v4:6:3690981:3698894:-1 gene:Potri.006G053000.v4.1 transcript:Potri.006G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G053000.v4.1 MEMAINIHSSSSSSFSLINPKPPLLTKQLFGSSFTLSSFPNTSKTTSTSSLRCRRSRFSFHSPIKCSVSTEASTTEKRSQMMRRGDIRNIAIVAHVDHGKTTLVDAMLKQSKVFRDNQFVQERIMDSNDIERERGITILSKNTSITYKDTKINIIDTPGHSDFGGEVERILNMVEGVLLVVDSVEGPMPQTRFVLKKALEFGHAVVVVVNKIDRPSARPDFVINSTFELFIELNATDEQCDFQSIYASGIKGKAGLSPDDLAEDLGPLFEAIMRCIPGPCIDKDGALQMLATNIEYDEHKGRIAIGRLHAGVLQKGMDVRVCTSEDSCRFGKVSELFVYEKFIRVPATKVEAGDICAVCGIEDIQIGETIADKAFGKPLPSIRVEEPTVKMAFSINTSPFVGREGKYVTSRNLRDRLYRELERNLAMKVEDGETADTFVVSGRGTLHITILIENMRREGYEFMVGPPKVINKKVDDKVLEPYEIATVEVPEEHMGAVVELLGRRRGQMFDMQGVGSEGTTLLKYKIPTRGLLGLRNAILTASRGTAILNTIFDSYGPWAGDIITRDQGSLVAFEDGASTSYALASSQDRGQMFIRPGAGVYKGQIVGIHQRTGDLSLNVCKKKAATNVRSNKEQTVVLDTPLDYSLDDCIEYIQEDELVEVTPSSIRMCKNPKLAKKTR >Potri.018G038300.1.v4.1 pep chromosome:Pop_tri_v4:18:3052429:3058188:-1 gene:Potri.018G038300.v4.1 transcript:Potri.018G038300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G038300.v4.1 MMAMAMKRQRVLMAISSSSSASILFGLASQRSQTEMGMAFFLHTSSGSGRQKCQKGCSFTNIEDALCRFNDMVHMHPLPRIVDFNDFLSALVRIKQYGAVLSFSKQIELLLIERNVCHFSILINCYCRLQRVDFGFSVFGKIIKLGFEPNVVVFSTLINGLCIEGKFARAVEFFDEMVERGYRPNLHTYTTVIKGLCKIGKTPVAVELLKKMDNEGCQPDVVTYITIIDSLCKDRLVKEAFDIFSAMKGKGIQPNVVIYNSLMHGLCNSDHQEEAPAFVNEMMSLNIMPDVITFNILVDTLCKKGKISEAQGIVKTMIEKGVEPNTVTYSSLMNGYLLQNRVSEARKVFDAMMTKGCIPDAFSYNVLINGCCKAQRIDEAKQLFDEISFRGLIPNTASYNTLINGLCQVGRILEAKYLFKDMHAQGCSPDLITYSILLDGLSKQGYIDEALELFREMQNSYLKPNLVIYNILIDAMCKSGKLEEARELFSELYVKGLLPNVQVWTTIISGLCREGLLDEACTALRQMERDGCPPDGWCYNVIVRGFLRNNDASGAKQLLQEMFDRGFSADAHTRTLMGDLLSNDVMAILV >Potri.010G201400.1.v4.1 pep chromosome:Pop_tri_v4:10:19358986:19360915:1 gene:Potri.010G201400.v4.1 transcript:Potri.010G201400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G201400.v4.1 MVYLRLLVQLFISFIFLRSKQCFSSNQSPIILPLRIQNNHHISTRRLFSNSSSKTTGKLLFHHNVTLTASLTIGTPPQNITMVLDTGSELSWLRCKKEPNFTSIFNPLASKTYTKIPCSSQTCKTRTSDLTLPVTCDPAKLCHFIISYADASSVEGHLAFETFRFGSLTRPATVFGCMDSGSSSNTEEDAKTTGLMGMNRGSLSFVNQMGFRKFSYCISGLDSTGFLLLGEARYSWLKPLNYTPLVQISTPLPYFDRVAYSVQLEGIKVNNKVLPLPKSVFVPDHTGAGQTMVDSGTQFTFLLGPVYSALRKEFLLQTAGVLRVLNEPQYVFQGAMDLCYLIDSTSSTLPNLPVVKLMFRGAEMSVSGQRLLYRVPGEVRGKDSVWCFTFGNSDELGISSFLIGHHQQQNVWMEYDLENSRIGFAELRCDLAGQRLGLDVKNLA >Potri.006G241000.6.v4.1 pep chromosome:Pop_tri_v4:6:24284362:24290534:-1 gene:Potri.006G241000.v4.1 transcript:Potri.006G241000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241000.v4.1 MVNSMVERATSDMLIGPDWAMNIEICDMCNRDPTQAKDVIKGIKKKLGSRNSKVQLLALTLLETIIKNCGDIVHMHVAEKDLLHEMVKIAKKKQPDFHVKEKILILVDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPPRSESSAPVFTPPQTQPLSSYPQNLRSIEYPQGAAESSAESEFPTLSMTEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLVRHESISSGTSAPGLAEKPKAESSGALVDIDAPLVDAGDNKDKKPDGGSTSNSQTLNQLLLPAPPATNGPTTLAAANPKLDLLSGDDYNSPKAGTSLALVPVGEPQTSTPLSQQNALVLFDMFSDSNNAPNTANTQPTNLAGPTNSLTPPFQQQQNFQTPEAGVYLNGNAPNTGSPRYEQSVYMQGTSPAWNGQLPQQQQQPASPVYGVLLFSN >Potri.006G241000.2.v4.1 pep chromosome:Pop_tri_v4:6:24284171:24290591:-1 gene:Potri.006G241000.v4.1 transcript:Potri.006G241000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241000.v4.1 MVNSMVERATSDMLIGPDWAMNIEICDMCNRDPTQAKDVIKGIKKKLGSRNSKVQLLALTLLETIIKNCGDIVHMHVAEKDLLHEMVKIAKKKPDFHVKEKILILVDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPPRSESSAPVFTPPQTQPLSSYPQNLRSIEYPQGAAESSAESEFPTLSMTEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLVRHESISSGTSAPGLAEKPKAESSGALVDIDAPLVDAGDNKDKKPDGGSTSNSQTLNQLLLPAPPATNGPTTLAAANPKLDLLSGDDYNSPKAGTSLALVPVGEPQTSTPLSQQNALVLFDMFSDSNNAPNTANTQPTNLAGPTNSLTPPFQQQQNFQTPEAGVYLNGNAPNTGSPRYEQSVYMQGTSPAWNGQLPQQQQQPASPVYGAQSSGALPPPPWEAQQADTSPVAGAQYPQSMQATQMVGMHSQPLPSGMYPHGPQPVGNEHVGMYMQTAPSNQFLGMNPQAMQGGQYMGMYPQPMQAGPMASMYPQQVYGNQMAGYGYGPQQGTQYLDQRMHGLSVRDDNGLRNSSYQVPTSSYVPSGKPSKPEDKLFGDLVDISKFKPGKSTPGRAGSM >Potri.006G241000.4.v4.1 pep chromosome:Pop_tri_v4:6:24284473:24290534:-1 gene:Potri.006G241000.v4.1 transcript:Potri.006G241000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241000.v4.1 MHVAEKDLLHEMVKIAKKKQPDFHVKEKILILVDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPPRSESSAPVFTPPQTQPLSSYPQNLRSIEYPQGAAESSAESEFPTLSMTEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLVRHESISSGTSAPGLAEKPKAESSGALVDIDAPLVDAGDNKDKKPDGGSTSNSQTLNQLLLPAPPATNGPTTLAAANPKLDLLSGDDYNSPKAGTSLALVPVGEPQTSTPLSQQNALVLFDMFSDSNNAPNTANTQPTNLAGPTNSLTPPFQQQQNFQTPEAGVYLNGNAPNTGSPRYEQSVYMQGTSPAWNGQLPQQQQQPASPVYGAQSSGALPPPPWEAQQADTSPVAGAQYPQSMQATQMVGMHSQPLPSGMYPHGPQPVGNEHVGMYMQTAPSNQFLGMNPQAMQGGQYMGMYPQPMQAGPMASMYPQQVYGNQMAGYGYGPQQGTQYLDQRMHGLSVRDDNGLRNSSYQVPTSSYVPSGKPSKPEDKLFGDLVDISKFKPGKSTPGRAGSM >Potri.006G241000.1.v4.1 pep chromosome:Pop_tri_v4:6:24284244:24290562:-1 gene:Potri.006G241000.v4.1 transcript:Potri.006G241000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241000.v4.1 MVNSMVERATSDMLIGPDWAMNIEICDMCNRDPTQAKDVIKGIKKKLGSRNSKVQLLALTLLETIIKNCGDIVHMHVAEKDLLHEMVKIAKKKQPDFHVKEKILILVDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPPRSESSAPVFTPPQTQPLSSYPQNLRSIEYPQGAAESSAESEFPTLSMTEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLVRHESISSGTSAPGLAEKPKAESSGALVDIDAPLVDAGDNKDKKPDGGSTSNSQTLNQLLLPAPPATNGPTTLAAANPKLDLLSGDDYNSPKAGTSLALVPVGEPQTSTPLSQQNALVLFDMFSDSNNAPNTANTQPTNLAGPTNSLTPPFQQQQNFQTPEAGVYLNGNAPNTGSPRYEQSVYMQGTSPAWNGQLPQQQQQPASPVYGAQSSGALPPPPWEAQQADTSPVAGAQYPQSMQATQMVGMHSQPLPSGMYPHGPQPVGNEHVGMYMQTAPSNQFLGMNPQAMQGGQYMGMYPQPMQAGPMASMYPQQVYGNQMAGYGYGPQQGTQYLDQRMHGLSVRDDNGLRNSSYQVPTSSYVPSGKPSKPEDKLFGDLVDISKFKPGKSTPGRAGSM >Potri.006G241000.5.v4.1 pep chromosome:Pop_tri_v4:6:24284395:24290534:-1 gene:Potri.006G241000.v4.1 transcript:Potri.006G241000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G241000.v4.1 MHVAEKDLLHEMVKIAKKKPDFHVKEKILILVDTWQEAFGGPRARYPQYYAAYQELLRAGAVFPPRSESSAPVFTPPQTQPLSSYPQNLRSIEYPQGAAESSAESEFPTLSMTEIQNARGIMDVLAEMLNALDPGNREGLRQEVIVDLVEQCRTYKQRVVHLVNSTSDESLLCQGLALNDDLQRVLVRHESISSGTSAPGLAEKPKAESSGALVDIDAPLVDAGDNKDKKPDGGSTSNSQTLNQLLLPAPPATNGPTTLAAANPKLDLLSGDDYNSPKAGTSLALVPVGEPQTSTPLSQQNALVLFDMFSDSNNAPNTANTQPTNLAGPTNSLTPPFQQQQNFQTPEAGVYLNGNAPNTGSPRYEQSVYMQGTSPAWNGQLPQQQQQPASPVYGAQSSGALPPPPWEAQQADTSPVAGAQYPQSMQATQMVGMHSQPLPSGMYPHGPQPVGNEHVGMYMQTAPSNQFLGMNPQAMQGGQYMGMYPQPMQAGPMASMYPQQVYGNQMAGYGYGPQQGTQYLDQRMHGLSVRDDNGLRNSSYQVPTSSYVPSGKPSKPEDKLFGDLVDISKFKPGKSTPGRAGSM >Potri.003G115600.1.v4.1 pep chromosome:Pop_tri_v4:3:13722755:13727390:-1 gene:Potri.003G115600.v4.1 transcript:Potri.003G115600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115600.v4.1 MQKSGKSVMAWNVFKFCTALRALGSIMIVLVLGIIGVTYYVIVVANYGPALFHGGLDSFVAFLVLVLFHSLLVMLLWSYFTTVLTDPGGVPPNWRPSIDEESGDADPLVGLGYEGTDLALNQPATFGEPANPQLRVCRKCNQCKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLLTTLVTLSLLRLFIAFFTDGVINGTPGTLVATFVTFVLNLSFALSIMGFLVMHISLVLGNTTTIEAFEKKTNPKWRYDLGRRKNFEQVFGVDKRCWFIPAYSEEDLECMPVLRGFEYPTRPDLDELQQL >Potri.003G115600.2.v4.1 pep chromosome:Pop_tri_v4:3:13722793:13727406:-1 gene:Potri.003G115600.v4.1 transcript:Potri.003G115600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115600.v4.1 MLLWSYFTTVLTDPGGVPPNWRPSIDEESGDADPLVGLGYEGTDLALNQPATFGEPANPQLRVCRKCNQCKPPRCHHCSVCGRCILKMDHHCVWVVNCVGALNYKYFLLFLFYTFLLTTLVTLSLLRLFIAFFTDGVINGTPGTLVATFVTFVLNLSFALSIMGFLVMHISLVLGNTTTIEAFEKKTNPKWRYDLGRRKNFEQVFGVDKRCWFIPAYSEEDLECMPVLRGFEYPTRPDLDELQQL >Potri.013G013600.1.v4.1 pep chromosome:Pop_tri_v4:13:886028:888227:-1 gene:Potri.013G013600.v4.1 transcript:Potri.013G013600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013600.v4.1 MGIDLKAGGKSKKTKRTAPKSDDIYLKLLVKLYRFLVRRTQSKFNAVILKRLFMSKINKAPLSLSRLITYTKGKEGKIAVVVGTVTDDIRVYEVPTLKVTALRFTETARARIEKAGGECLTFDQLALRAPLGQNTVLLRGPKNAREAVKHFGPAPGVPHSHTKPYVRAKGRKFEKARGKRNSKGFRV >Potri.003G073000.1.v4.1 pep chromosome:Pop_tri_v4:3:10082958:10091488:1 gene:Potri.003G073000.v4.1 transcript:Potri.003G073000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G073000.v4.1 MVSVGSKALASRRDRSCCNLVEDNLMGREDKSYNQGRKKKGMSRKAKNGSFGFDADNSNKSVSGRATDGTAKPKKSSKYQNTFSEPQPSIVRKQVDPETTKYFSEIVNLFESDGVDLEERPVICGNALEEARGKEFELATDYYISHTLQILLEGCNVDHLCDFLQGCAKVFPLISMDRSGSHVAETALKSLAMHLQDDEAYSVIEETLTNICKVIVASPVDMMCNCYGSHVFRSLLCLCGGVPLDSPVFHRAKPSMILAERLNLSTSSAPGNNLSHHHQGFPGLLKFLVSGMLKCSEEDVKYLLVDQYSSLVFQTALKLFAGHDQQLLQIIPVLLDCRKENLTEGNFIEMTAVGDIVKLMKEAAYSHLMEVILAVSPESLYDEMFTKIFRKSLFELSSHHCGNFVVQALVSHARDREQMEFIWEKLGPKFRDLLEMGKSGVIASLIATSQRLHTHEHEVCKALADAVCLPNESPRSVVDRILFLESYFACVEKSNWKWPSGAKIHVMGSLILQAVFKFQNQLIQPYIMSLTSMEVDHVLEAAKDVGGARTIEAFLDSDASGKQKHRLINKLRGHFGELAMHSSGSFTVEKCFSASNLSLREAIASDLLSVQSELPKTKQGPYLLRKLDIDGYANRPDQWRSRQASKQSTYKEFYAAFGSGEVKSSKSDSFLADTSKSTSLAIGVKNVRKEIDHHLASSEKYAKHAVVDDVMKVKNKKHEKGHGGASDEKATVSVNQKPFLSVDLKKNKRHGQEERSKASRKKLKV >Potri.007G088500.1.v4.1 pep chromosome:Pop_tri_v4:7:11378030:11380391:1 gene:Potri.007G088500.v4.1 transcript:Potri.007G088500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088500.v4.1 MESSSPYPVGSPEIVVFDLETTVPNRAGQRFRVLEFGAITVCPRKLVELDSYSTLIRPKDLSAVALKSGRCDGITRGAVSNAPAFEEVADKIFSILNGRIWAGHNIQRFDCVRIKEAFAEIGKPAPVPAGMIDSLGVLTERFGRRAGNMKMATLAAYFGLGKQKHRSLDDVRMNLEVLKHCATVLFLESCLPSVSNAKWCNPSTIVTRSRSNGKLPCREENSRKSPPTTLG >Potri.007G088500.2.v4.1 pep chromosome:Pop_tri_v4:7:11378030:11380391:1 gene:Potri.007G088500.v4.1 transcript:Potri.007G088500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088500.v4.1 MESSSPYPVGSPEIVVFDLETTVPNRAGQRFRVLEFGAITVCPRKLVELDSYSTLIRPKDLSAVALKSGRCDGITRGAVSNAPAFEEVADKIFSILNGRIWAGHNIQRFDCVRIKEAFAEIGKPAPVPAGMIDSLGVLTERFGRRAGNMKMATLAAYFGLGKQKHSLDDVRMNLEVLKHCATVLFLESCLPSVSNAKWCNPSTIVTRSRSNGKLPCREENSRKSPPTTLG >Potri.009G124500.4.v4.1 pep chromosome:Pop_tri_v4:9:10374439:10382629:1 gene:Potri.009G124500.v4.1 transcript:Potri.009G124500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124500.v4.1 MGSIGKEELLKMEKMQMASAREEKILVLVRLRPLSDKEIVENEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDNATREVYEEGAKEAALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLSTDSTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTVESSACEFLGKENSTTLSATLNFVDLAGSERASQALSTGARLKEGSHINRSLLTLGTVIRKLSNRRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVATKAQVNVVMSDKALVKHLQKEVARLESELRSPDLASSTCDYTSLLRQKDLQIQKWFLFMQMEKEIRELTKQRDLAQSRVEDLLRVIGNDQNSRKENGISHCHNTQAGDTWEDECSVSKSSGMGDPHYLNGGAGKFGPACYGGDSGSNDEEPYCLLDKTDRHGLSDDTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRNGSNFRHHSVSNGENEGTLALTAFRDGATAVTGISTPVNRDREGSHVQNGYNVLEQRLHHVQRTIDALVSPYPDESSPQSSAADMSTSRNLNLTRSRSCRENFMNDPSPGFEKAEQIDGTPPNGSGKKFTGRPAGPRRKIPPLDFGANATILSRNDSQSSLGSACTDDFRARSIGTCADEEIPSIHTFVAGMREMAQEEYEKQLVDGQVQETEASTMADKYEKSSRDIGLDPMHESLKTSPNWPLEFERQQRAMVELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVEHRRLSFLKETFSQGNQGVGGGRALTLASSIKALHRERGMLSKLMNKRFSVEERNRLYKKWGIALNSKRRRLQLANRVWSNTKDINHVTESAAVVAKLVGFVEQGQALKEMFGLSFTPPTSSTKRRSLGWKYSKSSLL >Potri.009G124500.1.v4.1 pep chromosome:Pop_tri_v4:9:10374364:10382655:1 gene:Potri.009G124500.v4.1 transcript:Potri.009G124500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124500.v4.1 MGSIGKEELLKMEKMQMASAREEKILVLVRLRPLSDKEIVENEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDNATREVYEEGAKEAALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLSTDSTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTVESSACEFLGKENSTTLSATLNFVDLAGSERASQALSTGARLKEGSHINRSLLTLGTVIRKLSNRRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVATKAQVNVVMSDKALVKHLQKEVARLESELRSPDLASSTCDYTSLLRQKDLQIQKMEKEIRELTKQRDLAQSRVEDLLRVIGNDQNSRKENGISHCHNTQAGDTWEDECSVSKSSGMGDPHYLNGGAGKFGPACYGGDSGSNDEEPYCLLDKTDRHGLSDDTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRNGSNFRHHSVSNGENEGTLALTAFRDGATAVTGISTPVNRDREGSHVQNGYNVLEQRLHHVQRTIDALVSPYPDESSPQSSAADMSTSRNLNLTRSRSCRENFMNDPSPGFEKAEQIDGTPPNGSGKKFTGRPAGPRRKIPPLDFGANATILSRNDSQSSLGSACTDDFRARSIGTCADEEIPSIHTFVAGMREMAQEEYEKQLVDGQVQETEASTMADKYEKSSRDIGLDPMHESLKTSPNWPLEFERQQRAMVELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVEHRRLSFLKETFSQGNQGVGGGRALTLASSIKALHRERGMLSKLMNKRFSVEERNRLYKKWGIALNSKRRRLQLANRVWSNTKDINHVTESAAVVAKLVGFVEQGQALKEMFGLSFTPPTSSTKRRSLGWKYSKSSLL >Potri.009G124500.2.v4.1 pep chromosome:Pop_tri_v4:9:10374361:10382555:1 gene:Potri.009G124500.v4.1 transcript:Potri.009G124500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124500.v4.1 MGSIGKEELLKMEKMQMASAREEKILVLVRLRPLSDKEIVENEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDNATREVYEEGAKEAALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLSTDSTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTVESSACEFLGKENSTTLSATLNFVDLAGSERASQALSTGARLKEGSHINRSLLTLGTVIRKLSNRRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVATKAQVNVVMSDKALVKHLQKEVARLESELRSPDLASSTCDYTSLLRQKDLQIQKMEKEIRELTKQRDLAQSRVEDLLRVIGNDQNSRKEVFLLTNLEVPLHVDDITPIVIVKYFFMLLIQNGISHCHNTQAGDTWEDECSVSKSSGMGDPHYLNGGAGKFGPACYGGDSGSNDEEPYCLLDKTDRHGLSDDTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRNGSNFRHHSVSNGENEGTLALTAFRDGATAVTGISTPVNRDREGSHVQNGYNVLEQRLHHVQRTIDALVSPYPDESSPQSSAADMSTSRNLNLTRSRSCRENFMNDPSPGFEKAEQIDGTPPNGSGKKFTGRPAGPRRKIPPLDFGANATILSRNDSQSSLGSACTDDFRARSIGTCADEEIPSIHTFVAGMREMAQEEYEKQLVDGQVQETEASTMADKYEKSSRDIGLDPMHESLKTSPNWPLEFERQQRAMVELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVEHRRLSFLKETFSQGNQGVGGGRALTLASSIKALHRERGMLSKLMNKRFSVEERNRLYKKWGIALNSKRRRLQLANRVWSNTKDINHVTESAAVVAKLVGFVEQGQALKEMFGLSFTPPTSSTKRRSLGWKYSKSSLL >Potri.009G124500.3.v4.1 pep chromosome:Pop_tri_v4:9:10374364:10382565:1 gene:Potri.009G124500.v4.1 transcript:Potri.009G124500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G124500.v4.1 MGSIGKEELLKMEKMQMASAREEKILVLVRLRPLSDKEIVENEVADWECINDTTILYRNTLREGSTFPSAYTFDRVFRGDNATREVYEEGAKEAALSVVSGINSSIFAYGQTSSGKTYTMMGITEYTVADIFDYIHRHEERAFVLKFSAIEIYNEAIRDLLSTDSTPLRLLDDPEKGTVVEKATEETLKDWDHLKELLSVCEAQRRIGETSLNEKSSRSHQILRLTVESSACEFLGKENSTTLSATLNFVDLAGSERASQALSTGARLKEGSHINRSLLTLGTVIRKLSNRRQGHINYRDSKLTRLLQPALGGNARTAIICTLSPARSHVEQTRNTLLFACCAKEVATKAQVNVVMSDKALVKHLQKEVARLESELRSPDLASSTCDYTSLLRQKDLQIQKMEKEIRELTKQRDLAQSRVEDLLRVIGNDQNSRKENGISHCHNTQAGDTWEDECSVSKSSGMGDPHYLNGGAGKFGPACYGGDSGSNDEEPYCLLDKTDRHGLSDDTSPPMSIGKKIVRYNSSQSLEDAAEDADDYCKEVQCIEMEETRNGSNFRHHSVSNGENEGTLALTAFRDGATAVTGISTPVNRDREGSHVQNGYNVLEQRLHHVQRTIDALVSPYPDESSPQSSAADMSTSRNLNLTRSRSCRENFMNDPSPGFEKAEQIDGTPPNGSGKKFTGRPAGPRRKIPPLDFGANATILSRNDSQSSLGSACTDDFRARSIGTCADEEIPSIHTFVAGMREMAQEEYEKQLVDGQLGLNEQQVQETEASTMADKYEKSSRDIGLDPMHESLKTSPNWPLEFERQQRAMVELWQTCNVSLVHRTYFFLLFQGDPTDSIYMEVEHRRLSFLKETFSQGNQGVGGGRALTLASSIKALHRERGMLSKLMNKRFSVEERNRLYKKWGIALNSKRRRLQLANRVWSNTKDINHVTESAAVVAKLVGFVEQGQALKEMFGLSFTPPTSSTKRRSLGWKYSKSSLL >Potri.014G092800.1.v4.1 pep chromosome:Pop_tri_v4:14:6011834:6013168:-1 gene:Potri.014G092800.v4.1 transcript:Potri.014G092800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G092800.v4.1 MSPRFSAKCAILFHATLLALAFKAKAGSIVVYWGQDAREGTLTDTCASGKYGIVNIAFLSVFGNGKKPQVNLAGHCDPSSNGCQRVSRGIHNCQNQGIKVMLSIGGGSGGYTLTSPDEARGVAEYLWNNFLSGNSNSRPLGDAILDGIDFDIEGGERHYVVLASRLSELSRGGRKVYLTAAPQCPFPDNWLDKALQTGLFDYVWVQFYNNPQCEYNTNNPQSFKDSWNKWTSSIPARKFFVGLPASRAAAGNGFVTTDVLKSQVLPFVQGSPKYGGVMLWNKYSDDQSGYSSRIRDSV >Potri.010G007943.1.v4.1 pep chromosome:Pop_tri_v4:10:1427234:1427703:-1 gene:Potri.010G007943.v4.1 transcript:Potri.010G007943.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G007943.v4.1 MARQADRLYKIGLEGFALIDEWYGCPRRSSTPQEHHQQRYDYGGIQVPMMKMDVINNKEAAKHYGGVVIMDYRKKKLLYRAL >Potri.008G049500.1.v4.1 pep chromosome:Pop_tri_v4:8:2887865:2896904:-1 gene:Potri.008G049500.v4.1 transcript:Potri.008G049500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G049500.v4.1 MERTFASSSSRDLPTISVPSSSSSSLRPSMPTRPVKIIPLQHPNTTTSPSLNPLPGALFSRWTAKVKRTTLAQWIDTFLPCCRWIRTYKWREYFQPDLMAGLTVGVMLVPQAMSYAKLAGLHPIYGLYTGFIPIFVYAIFGSSRQLAIGPVALVSLLVSNVLGGIVNSSDELYTELAILLAFMVGILECIMALLRLGWLIRFISHSVISGFTSASAIVIALSQAKYFLGYDIVRSSKIVPLIKSIISGAHKFSWPPFVMGSCILAILLVMKHLGKSRKQFRFLRAAGPLTAVVLGTLLVKMFRPSSISLVGEIPQGLPSFSFPKKFEYAKSLIPTAMLITGVAILESVGIAKALAAKNGYELDSSQELFGLGLANIMGSLFSAYPSTGSFSRSAVNNEGGAKTGLSGVVAGIIMGCSLLFLTPLFEYIPQCALAAIVVSAVMGLVDYDEAIFLWRVDKKDFVLWIITSTTTLFLGIEIGVLVGVGASLAFVIQESANPHIAVLGRLPGTTVYRNIEQYPEAYTYNGIVIVRIDAPIYFANISFIKDRLREYEVDADKSSRRGPEVEKIYFVILEMSPITYIDSSAVQALKDLYQEYNSRDIQICISNPNRDVLLTLTKAGIVELLGKERYFVRVHDAVQVCLQHVQSLSQSPKKPDPFAEDKPRIFKRLSKQREEDLSIAELESGDNKTSAPKYTKPHLEPLLSRKS >Potri.017G029200.6.v4.1 pep chromosome:Pop_tri_v4:17:1986259:1989884:1 gene:Potri.017G029200.v4.1 transcript:Potri.017G029200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKRMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALETAKITPDEIDCLCYTKGPGMGAPLQVSAVVIRVLSQLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLQLSNDPAPGYNIEQLAKKGEQFIDLPYVVKGMDVSFSGILSFIEATTEEKLKNNECTPADLCYSLQETVFAMLVEITERAMAHCDKKDILIVGGVGCNERLQEMMRIMCAERGGMLYATDDRYCIDNGAMIAYTGLLAFAYGETTPLEESTFTQRFRTDEVHAIWRDKKELASVTGIDEPGDKIEENQG >Potri.017G029200.5.v4.1 pep chromosome:Pop_tri_v4:17:1986238:1989767:1 gene:Potri.017G029200.v4.1 transcript:Potri.017G029200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKRMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALETAKITPDEIDCLCYTKGPGMGAPLQVSAVVIRVLSQLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLQLSNDPAPGYNIEQLAKKGEQFIDLPYVVKGMDVSFSGILSFIEATTEEKLKNNECTPADLCYSLQETVFAMLVEITERAMAHCDKKDILIVGGVGCNERLQEMMRIMCAERGGMLYATDDRYCIDNGAMIAYTGLLAFAYGETTPLEESTFTQRFRTDEVHAIWRDKKELASVTGIDEPGDKIEENQG >Potri.017G029200.4.v4.1 pep chromosome:Pop_tri_v4:17:1986253:1989772:1 gene:Potri.017G029200.v4.1 transcript:Potri.017G029200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GCP2 MKRMIALGFEGSANKIGVGVVTLDGTILSNPRHTYITPPGQGFLPRETAQHHLQHVLPLVKSALETAKITPDEIDCLCYTKGPGMGAPLQVSAVVIRVLSQLWKKPIVAVNHCVAHIEMGRIVTGADDPVVLYVSGGNTQVIAYSEGRYRIFGETIDIAVGNCLDRFARVLQLSNDPAPGYNIEQLAKKGEQFIDLPYVVKGMDVSFSGILSFIEATTEEKLKNNECTPADLCYSLQETVFAMLVEITERAMAHCDKKDILIVGGVGCNERLQEMMRIMCAERGGMLYATDDRYCIDNGAMIAYTGLLAFAYGETTPLEESTFTQRFRTDEVHAIWRDKKELASVTGIDEPGDKIEENQG >Potri.005G229700.2.v4.1 pep chromosome:Pop_tri_v4:5:22912402:22917958:-1 gene:Potri.005G229700.v4.1 transcript:Potri.005G229700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229700.v4.1 MDSFCGASKASAGANVVNVNKGSIRNEGTHFWGERIKKNPKSRDLSALLWKNLRSGVKKAKPGVAYSVLTSDVNKEIVTFEAPVFETQQADPGNVASIILGGGAGTRLFPLTSKRAKPAVPIGGCYRLIDIPMSNCINSGIKKIFILTQFNSFSLNRHIARTYNLGNGVSFGDGFVEVLAATQTPGETGKKWFQGTADAVRQFIWVFEDARNKNVEHVLILSGDHLYRMNYMEFVQKHIDTNADVTVSCVPMDDSRASDYGLMKIDNTGRIVQFAEKPKGPDLKAMQVDTTLLGLSRQEAMQFPYIASMGVYVFRTDVLLKLLRWSYPSCNDFGSEIIPSAVRDHNVQAYLFNDYWEDIGTVKSFFDANLGLTKQPPKFEFYDPQTPFFTSPRFLPPTKVDRCRIVDAIISHGCFLRECSVQHSIVGVRSRLESGVELTDTMMMGADYYQTESEIASLLAEGKVPIGVGQNTKIRNCIIDKNAKIGKDVIITNADGVQEADRPSEGFYIRSGITAVLKNAAIKDGTLI >Potri.013G098033.1.v4.1 pep chromosome:Pop_tri_v4:13:10261790:10262494:1 gene:Potri.013G098033.v4.1 transcript:Potri.013G098033.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G098033.v4.1 MGILTEAAISSGLESSGRVNDDMDWEYVSRD >Potri.011G104400.2.v4.1 pep chromosome:Pop_tri_v4:11:13272485:13275091:1 gene:Potri.011G104400.v4.1 transcript:Potri.011G104400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104400.v4.1 MARRRLLLMLKPFDVYQFGQSNGGSSITNSQAFRYLDNRRKVHKDAVNFCQDILRKKSNIDWEPILRTNLSQPIRNFDLVVTVGGDGTLLQASHFLDDSIPVLGVNSDPTQVKEVEKFSNEFDATRSTGYLCAATVQSFEQVLDDILAGQKVPSNLSRISLSVNSQPLSTYALNDILIADPCPATVSRFSFRIQRDSESCGPLVNCRSSGLRVSTAAGSTAAMLSAGGFAMPVLSEDLQYMVREPISPGAAIRLMHGIIKSDQSMKASWFSKKGVIYIDGSHVFHSIQHGDTIEISSKAPSLKVFLPHD >Potri.011G104400.1.v4.1 pep chromosome:Pop_tri_v4:11:13272492:13275107:1 gene:Potri.011G104400.v4.1 transcript:Potri.011G104400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G104400.v4.1 MARRRLLLMLKPFDVYQFGQSNGGSSITNSQAFRYLDNRRKVHKDAVNFCQDILRKKSNIDWEPILRTNLSQPIRNFDLVVTVGGDGTLLQASHFLDDSIPVLGVNSDPTQVKEVEKFSNEFDATRSTGYLCAATVQSFEQVLDDILAGQKVPSNLSRISLSVNSQPLSTYALNDILIADPCPATVSRFSFRIQRDSESCGPLVNCRSSGLRVSTAAGSTAAMLSAGGFAMPVLSEDLQYMVREPISPGAAIRLMHGIIKSDQSMKASWFSKKGVIYIDGSHVFHSIQHGDTIEISSKAPSLKVFLPHD >Potri.009G088700.1.v4.1 pep chromosome:Pop_tri_v4:9:8204389:8207561:1 gene:Potri.009G088700.v4.1 transcript:Potri.009G088700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G088700.v4.1 MKAKRFHYPGCDIIDDYGDDQFEITGPKKLEAYLEKVLSKKRRFAGKELLPPIVEALNNELELKFVENNLFTVLGFCLQFIIKGPSTERQLALNALGLLAMTIDNEDDARELYRSSLAVLSETLNSGTETLKILDSLAMITFFCANYSNETEEPMKIIWTFLDADSDNEVARKHSSAVLAAAISAWSFLLTTMETWRLNEEHWQGAISYFTNVLEEGDESVHVAAAEALALIFEIDCLKKFSTLVDCSLCEEDRTLMEDLLKEELKNTIAEKLKARDEDSARQGTIANLDVLNYFENGTAPEDVTIGEDGLELHTWSQILRVNFLKNLLGEDRVEKHSMEKEYLHYIFSVRPTTFNSSNVELYIPIREEATVRYFYKTAMSPNSFIAKKRTQLRNKQRLISEEDKVSHFLDNDDGIQLA >Potri.009G088700.2.v4.1 pep chromosome:Pop_tri_v4:9:8204389:8206477:1 gene:Potri.009G088700.v4.1 transcript:Potri.009G088700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G088700.v4.1 MKAKRFHYPGCDIIDDYGDDQFEITGPKKLEAYLEKVLSKKRRFAGKELLPPIVEALNNELELKFVENNLFTVLGFCLQFIIKGPSTERQLALNALGLLAMTIDNEDDARELYRSSLAVLSETLNSGTETLKILDSLAMITFFCANYSNETEEPMKIIWTFLDADSDNEVARKHSSAVLAAAISAWSFLLTTMETWRLNEEHWQGAISYFTNVLEEGDESVHVAAAEALALIFEIDCLKKFSTLVDCSLCEEDRTLMEDLLKEELKNTIAEKLKARDEDSARQGTIANLDVLNYFENGTAPEDVTIGEDGLELHTWSQILRVTNSSF >Potri.014G100650.1.v4.1 pep chromosome:Pop_tri_v4:14:6648589:6648720:-1 gene:Potri.014G100650.v4.1 transcript:Potri.014G100650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100650.v4.1 MHIYSSATMLCLLFLISALANQGIRRAMKICNHFMHVFFSSLI >Potri.007G124700.1.v4.1 pep chromosome:Pop_tri_v4:7:14108895:14111689:1 gene:Potri.007G124700.v4.1 transcript:Potri.007G124700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124700.v4.1 MALEFIYSLQNVWPLSILKADDLKASDRIVRKLSIPENTKSFVFAVRDPKSQSVIYILCAQNLSERSAVDVECLIREIRPDAVVAQVGHSPLVQIQSEESELGNIADDLVPTSSFGVIKRCFLNKINKEKYEDLAGSLVLREIFGTGFHGHILAAKKVAEEVGSSFLVLETSSINTVIGDNSSSEVDTGSEVDTGSRVHAFVSSLVPQKAGSISLQSSRRFSLDDNVQSRMVKLSSSYMDLSMRKLRPSSSVSESGLKEIHPGNSFQVPPFAQSVYPLLQDLHNIFIDLPSIGRALAFAQKMLYDVNRGEAVDTRIISEVYTFRVAVEGLRISLNNAGRFPIKELGKPNKTKIEFSELQVQDKSHALIAQALQSQTRKFKTIVAVVDASGLGGIRKHWNTPVPPEVRDLVGQLVTECESDGEVPNHAEKRRLLSNKYLVAVGAGATAVFGASSLSKVVPASTFVKVVTFKLPTSLKLLLTQTQKITAISMGKTLGPTKLLAPGLANSGANATSALKAATSAEKIRTVVHSVIASAEKTSFSAMKTAFYEIMRKRQVQPVGVLPWATFGCSIATCSALLMHGDGIECAVESLPAAPSIASLGRGVQSLHRASQVIGQTDGPRIQKSIESLMYRLKKVNMQ >Potri.009G075400.1.v4.1 pep chromosome:Pop_tri_v4:9:7323490:7328660:-1 gene:Potri.009G075400.v4.1 transcript:Potri.009G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G075400.v4.1 MTGGWWRLNRVKLRMEVLMLVLLLFHQSFSFCWSLNTEGLALLRLRERVETDPFGVLSNWIEKDGDIDPCSWFGVECSDGKVVILHLTNLCLGGTLTPQLGRLAYLKSINLRNNSFYGNIPREIGELKELEALDLGYNNFSGPFPSNFANNLSLTTLLLDNNKFLDSVSPELNELMVLSEVQADENQLRASCCCRDFTWNIVHSGNIAHRMLLQVAAAPNPPIANNRHKAHQSGSEASPSPSFFPQGSQLSPSLAPSDSPFLSPSPSFSPSPSPSESPSFSPAEYSSTFPFPPSPSPMIAPNPASPAPENPPSVFIVPPQRDWMSMVPAPSPNHLGQSKSKHHTALIAGVIAGSMFALISAIGIFFFRSSKVVTVRPWATGLSGQLQNAFVTGVPKLKRSELEAACEDFSNIIGSFSDGTAYKGTLSSGVEIAVMSTAVRSHEDWLKNLEAQFRKKIDTLSKMNHKNFVNLIGFCEENEPFTRMMVFEYAPNGTLFEHLHIKEAEHLDWGMRLRIAMGMAYCLDYMHQLTPPIAHKHLQSSTIYLTEDYAAKISDFSFGNDATAAKIGSASMELLESQTSDPEGNIYSFGVILFEMITGRIPYAVDNGSLADWASDYLRGERSLKEIVDPTLKSFQENELEKLSEVIRNCIHPDPKQRPTMKEIASKLKEITAMEPDGATPKLSPLWWAELEIMSTEGS >Potri.006G217100.1.v4.1 pep chromosome:Pop_tri_v4:6:22261751:22267051:-1 gene:Potri.006G217100.v4.1 transcript:Potri.006G217100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217100.v4.1 MSTEEPPPFQEAARCDVCKCSFNTFRRRHHCRCCGRTLCHEHSSNYLALPQFGILSNVRVCADCFNDSTRSEKVEYQVSVEGVDSVIDKVSRLDIDAEIHPKLEPTTLQQSTIGAIECKCGVPLCICEAPTAKTDPVPMQTKPSSTFTSQSNPKPKKTDATPKNRGSTSSSKPSSVFNHGQMTNGGVDKPQMDYDVNGEGLREAIKNGDTVAVKKLLSEGVDANYHDKQGMSLLHLAALFNRTDIAFILMDSGASMNYKNAQGETPLDCAPATLQYKMKQKVEESGPQGPHTSL >Potri.001G139700.3.v4.1 pep chromosome:Pop_tri_v4:1:11410685:11419461:1 gene:Potri.001G139700.v4.1 transcript:Potri.001G139700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139700.v4.1 MHTGERQAAKMRMAYLDSMLSQDISVFDTETSTAEVITSITSDILVVQDAISEKVGKLMHYISRFLVGFIIGFIRVWQISLVTLSVLPLIALAGGFYAYIATGLIINVRKSYVEASQIAQEVIGNIRTVQSFTGEERAVRSYKEALRNTYKHGRKAGLTKGLGMGTLQSLLFLSWALLVWYTSIVVHKNIANGGDSFTTMLNVLIAGVSLGMAAPDISAFFRAMAAAYPIFEMIEKNTVSKSSSKTGQKLGKLEGHIEFRDVCFCYPSRPDVVIFNKFRLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGKILLDGNDIRDLDLKWLRQQIGLVNQEPALFATSIRENILYGKTDATLDELTSAAKLSEAMSFINNLPDGLETQVGERGIQLSGGQKQRIAISRAIIKNPSILLLDEATSALDAESEKSVQEALNHAMVGRTTVIVAHRLSTIRNADVTVVLQEGKIVEIGSHEKLISNPNSTYASLVHLQEEASVQCHSSVSPSVGWPLRQYSGGLSYTRTSFSASFRSEKDLRSHAGVDTMEPIKPKPVSLKRLYSMLGPDWIYGVVGTISAFVAGALLPLFALGMAQSLVAYYMDWHTTCQEIRKISILFCCGAVISIFAYAIMHLCFGIMGERLAFRVREIMFSAILRNEIGWFDDLNNTSPMLTGRLQSDAILLQTIVVDRTTILLHNVGLVVTSFIIAFILNWRITLVVIATYPLLISGHISEKLFMQGFGGNLSKAYLKANMLAGEAVSNIRTVAAFSAEEKILHLYAHELVEPSNRSFLRGQIAGIFYGVCQFFIFSSYALALWYGSVLMGKEISGFKSIMKSFFVLITTAIAMGETLAMAPDILKGNQIAASVFELLDRKTQVIGDAGEELKNVEGTIELRGVQFSYPSRPDTLIFKDFDFRVCSGKSMALVGQSGSGKSSVLALILRFYDPTAGKVMIDGIDIKKLKLKFLRKHIGLVQQEPPLFATSIYENILYGKEGALEGEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMTNRTTVIVAHRLSTIKNADEISVIQGGKIIQQGTHSNLINNMEGAYFKLVRLQQRE >Potri.001G139700.2.v4.1 pep chromosome:Pop_tri_v4:1:11410685:11419474:1 gene:Potri.001G139700.v4.1 transcript:Potri.001G139700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139700.v4.1 MYSLDFLYLSVAMLFSSWTEVACWMHTGERQAAKMRMAYLDSMLSQDISVFDTETSTAEVITSITSDILVVQDAISEKVGKLMHYISRFLVGFIIGFIRVWQISLVTLSVLPLIALAGGFYAYIATGLIINVRKSYVEASQIAQEVIGNIRTVQSFTGEERAVRSYKEALRNTYKHGRKAGLTKGLGMGTLQSLLFLSWALLVWYTSIVVHKNIANGGDSFTTMLNVLIAGVSLGMAAPDISAFFRAMAAAYPIFEMIEKNTVSKSSSKTGQKLGKLEGHIEFRDVCFCYPSRPDVVIFNKFRLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGKILLDGNDIRDLDLKWLRQQIGLVNQEPALFATSIRENILYGKTDATLDELTSAAKLSEAMSFINNLPDGLETQVGERGIQLSGGQKQRIAISRAIIKNPSILLLDEATSALDAESEKSVQEALNHAMVGRTTVIVAHRLSTIRNADVTVVLQEGKIVEIGSHEKLISNPNSTYASLVHLQEEASVQCHSSVSPSVGWPLRQYSGGLSYTRTSFSASFRSEKDLRSHAGVDTMEPIKPKPVSLKRLYSMLGPDWIYGVVGTISAFVAGALLPLFALGMAQSLVAYYMDWHTTCQEIRKISILFCCGAVISIFAYAIMHLCFGIMGERLAFRVREIMFSAILRNEIGWFDDLNNTSPMLTGRLQSDAILLQTIVVDRTTILLHNVGLVVTSFIIAFILNWRITLVVIATYPLLISGHISEKLFMQGFGGNLSKAYLKANMLAGEAVSNIRTVAAFSAEEKILHLYAHELVEPSNRSFLRGQIAGIFYGVCQFFIFSSYALALWYGSVLMGKEISGFKSIMKSFFVLITTAIAMGETLAMAPDILKGNQIAASVFELLDRKTQVIGDAGEELKNVEGTIELRGVQFSYPSRPDTLIFKDFDFRVCSGKSMALVGQSGSGKSSVLALILRFYDPTAGKVMIDGIDIKKLKLKFLRKHIGLVQQEPPLFATSIYENILYGKEGALEGEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMTNRTTVIVAHRLSTIKNADEISVIQGGKIIQQGTHSNLINNMEGAYFKLVRLQQRE >Potri.001G139700.6.v4.1 pep chromosome:Pop_tri_v4:1:11415112:11419654:1 gene:Potri.001G139700.v4.1 transcript:Potri.001G139700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139700.v4.1 MAAAYPIFEMIEKNTVSKSSSKTGQKLGKLEGHIEFRDVCFCYPSRPDVVIFNKFRLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGKILLDGNDIRDLDLKWLRQQIGLVNQEPALFATSIRENILYGKTDATLDELTSAAKLSEAMSFINNLPDGLETQVGERGIQLSGGQKQRIAISRAIIKNPSILLLDEATSALDAESEKSVQEALNHAMVGRTTVIVAHRLSTIRNADVTVVLQEGKIVEIGSHEKLISNPNSTYASLVHLQEEASVQCHSSVSPSVGWPLRQYSGGLSYTRTSFSASFRSEKDLRSHAGVDTMEPIKPKPVSLKRLYSMLGPDWIYGVVGTISAFVAGALLPLFALGMAQSLVAYYMDWHTTCQEIRKISILFCCGAVISIFAYAIMHLCFGIMGERLAFRVREIMFSAILRNEIGWFDDLNNTSPMLTGRLQSDAILLQTIVVDRTTILLHNVGLVVTSFIIAFILNWRITLVVIATYPLLISGHISEKLFMQGFGGNLSKAYLKANMLAGEAVSNIRTVAAFSAEEKILHLYAHELVEPSNRSFLRGQIAGIFYGVCQFFIFSSYALALWYGSVLMGKEISGFKSIMKSFFVLITTAIAMGETLAMAPDILKGNQIAASVFELLDRKTQVIGDAGEELKNVEGTIELRGVQFSYPSRPDTLIFKDFDFRVCSGKSMALVGQSGSGKSSVLALILRFYDPTAGKVMIDGIDIKKLKLKFLRKHIGLVQQEPPLFATSIYENILYGKEGALEGEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMTNRTTVIVAHRLSTIKNADEISVIQGGKIIQQGTHSNLINNMEGAYFKLVRLQQRE >Potri.001G139700.1.v4.1 pep chromosome:Pop_tri_v4:1:11410587:11419564:1 gene:Potri.001G139700.v4.1 transcript:Potri.001G139700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139700.v4.1 MISISGNEDIDNMIMDKIQTKKEEAAGKKQQKVPLLKLFAFADFYDFVLMGLGSIGACIHGAAVPVFFIYFGKLINIIGLAYLFPQQTSHKVAKYSLDFLYLSVAMLFSSWTEVACWMHTGERQAAKMRMAYLDSMLSQDISVFDTETSTAEVITSITSDILVVQDAISEKVGKLMHYISRFLVGFIIGFIRVWQISLVTLSVLPLIALAGGFYAYIATGLIINVRKSYVEASQIAQEVIGNIRTVQSFTGEERAVRSYKEALRNTYKHGRKAGLTKGLGMGTLQSLLFLSWALLVWYTSIVVHKNIANGGDSFTTMLNVLIAGVSLGMAAPDISAFFRAMAAAYPIFEMIEKNTVSKSSSKTGQKLGKLEGHIEFRDVCFCYPSRPDVVIFNKFRLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGKILLDGNDIRDLDLKWLRQQIGLVNQEPALFATSIRENILYGKTDATLDELTSAAKLSEAMSFINNLPDGLETQVGERGIQLSGGQKQRIAISRAIIKNPSILLLDEATSALDAESEKSVQEALNHAMVGRTTVIVAHRLSTIRNADVTVVLQEGKIVEIGSHEKLISNPNSTYASLVHLQEEASVQCHSSVSPSVGWPLRQYSGGLSYTRTSFSASFRSEKDLRSHAGVDTMEPIKPKPVSLKRLYSMLGPDWIYGVVGTISAFVAGALLPLFALGMAQSLVAYYMDWHTTCQEIRKISILFCCGAVISIFAYAIMHLCFGIMGERLAFRVREIMFSAILRNEIGWFDDLNNTSPMLTGRLQSDAILLQTIVVDRTTILLHNVGLVVTSFIIAFILNWRITLVVIATYPLLISGHISEKLFMQGFGGNLSKAYLKANMLAGEAVSNIRTVAAFSAEEKILHLYAHELVEPSNRSFLRGQIAGIFYGVCQFFIFSSYALALWYGSVLMGKEISGFKSIMKSFFVLITTAIAMGETLAMAPDILKGNQIAASVFELLDRKTQVIGDAGEELKNVEGTIELRGVQFSYPSRPDTLIFKDFDFRVCSGKSMALVGQSGSGKSSVLALILRFYDPTAGKVMIDGIDIKKLKLKFLRKHIGLVQQEPPLFATSIYENILYGKEGALEGEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMTNRTTVIVAHRLSTIKNADEISVIQGGKIIQQGTHSNLINNMEGAYFKLVRLQQRE >Potri.001G139700.5.v4.1 pep chromosome:Pop_tri_v4:1:11410685:11419468:1 gene:Potri.001G139700.v4.1 transcript:Potri.001G139700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139700.v4.1 MLFSSWTEVACWMHTGERQAAKMRMAYLDSMLSQDISVFDTETSTAEVITSITSDILVVQDAISEKVGKLMHYISRFLVGFIIGFIRVWQISLVTLSVLPLIALAGGFYAYIATGLIINVRKSYVEASQIAQEVIGNIRTVQSFTGEERAVRSYKEALRNTYKHGRKAGLTKGLGMGTLQSLLFLSWALLVWYTSIVVHKNIANGGDSFTTMLNVLIAGVSLGMAAPDISAFFRAMAAAYPIFEMIEKNTVSKSSSKTGQKLGKLEGHIEFRDVCFCYPSRPDVVIFNKFRLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGKILLDGNDIRDLDLKWLRQQIGLVNQEPALFATSIRENILYGKTDATLDELTSAAKLSEAMSFINNLPDGLETQVGERGIQLSGGQKQRIAISRAIIKNPSILLLDEATSALDAESEKSVQEALNHAMVGRTTVIVAHRLSTIRNADVTVVLQEGKIVEIGSHEKLISNPNSTYASLVHLQEEASVQCHSSVSPSVGWPLRQYSGGLSYTRTSFSASFRSEKDLRSHAGVDTMEPIKPKPVSLKRLYSMLGPDWIYGVVGTISAFVAGALLPLFALGMAQSLVAYYMDWHTTCQEIRKISILFCCGAVISIFAYAIMHLCFGIMGERLAFRVREIMFSAILRNEIGWFDDLNNTSPMLTGRLQSDAILLQTIVVDRTTILLHNVGLVVTSFIIAFILNWRITLVVIATYPLLISGHISEKLFMQGFGGNLSKAYLKANMLAGEAVSNIRTVAAFSAEEKILHLYAHELVEPSNRSFLRGQIAGIFYGVCQFFIFSSYALALWYGSVLMGKEISGFKSIMKSFFVLITTAIAMGETLAMAPDILKGNQIAASVFELLDRKTQVIGDAGEELKNVEGTIELRGVQFSYPSRPDTLIFKDFDFRVCSGKSMALVGQSGSGKSSVLALILRFYDPTAGKVMIDGIDIKKLKLKFLRKHIGLVQQEPPLFATSIYENILYGKEGALEGEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMTNRTTVIVAHRLSTIKNADEISVIQGGKIIQQGTHSNLINNMEGAYFKLVRLQQRE >Potri.001G139700.4.v4.1 pep chromosome:Pop_tri_v4:1:11410685:11419470:1 gene:Potri.001G139700.v4.1 transcript:Potri.001G139700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139700.v4.1 MISISGNEDIDNMIMDKIQTKKEEAAGKKQQKVPLLKLFAFADFYDFVLMGLGSIGACIHGAAVPVFFIYFGKLINIIGLAYLFPQQTSHKVAKYSLDFLYLSVAMLFSSWTEVACWMHTGERQAAKMRMAYLDSMLSQDISVFDTETSTAEVITSITSDILVVQDAISEKVGKLMHYISRFLVGFIIGFIRVWQISLVTLSVLPLIALAGGFYAYIATGLIINVRKSYVEASQIAQEVIGNIRTVQSFTGEERAVRSYKEALRNTYKHGRKAGLTKGLGMGTLQSLLFLSWALLVWYTSIVVHKNIANGGDSFTTMLNVLIAGVSLGMAAPDISAFFRAMAAAYPIFEMIEKNTVSKSSSKTGQKLGKLEGHIEFRDVCFCYPSRPDVVIFNKFRLDIPSGKIVALVGGSGSGKSTVISLIERFYEPLSGKILLDGNDIRDLDLKWLRQQIGLVNQEPALFATSIRENILYGKTDATLDELTSAAKLSEAMSFINNLPDGLETQVGERGIQLSGGQKQRIAISRAIIKNPSILLLDEATSALDAESEKSVQEALNHAMEGKIVEIGSHEKLISNPNSTYASLVHLQEEASVQCHSSVSPSVGWPLRQYSGGLSYTRTSFSASFRSEKDLRSHAGVDTMEPIKPKPVSLKRLYSMLGPDWIYGVVGTISAFVAGALLPLFALGMAQSLVAYYMDWHTTCQEIRKISILFCCGAVISIFAYAIMHLCFGIMGERLAFRVREIMFSAILRNEIGWFDDLNNTSPMLTGRLQSDAILLQTIVVDRTTILLHNVGLVVTSFIIAFILNWRITLVVIATYPLLISGHISEKLFMQGFGGNLSKAYLKANMLAGEAVSNIRTVAAFSAEEKILHLYAHELVEPSNRSFLRGQIAGIFYGVCQFFIFSSYALALWYGSVLMGKEISGFKSIMKSFFVLITTAIAMGETLAMAPDILKGNQIAASVFELLDRKTQVIGDAGEELKNVEGTIELRGVQFSYPSRPDTLIFKDFDFRVCSGKSMALVGQSGSGKSSVLALILRFYDPTAGKVMIDGIDIKKLKLKFLRKHIGLVQQEPPLFATSIYENILYGKEGALEGEVIEAAKLANAHSFISALPEGYSTKVGERGVQLSGGQKQRVAIARAVLKNPEILLLDEATSALDVESERVVQQALDRLMTNRTTVIVAHRLSTIKNADEISVIQGGKIIQQGTHSNLINNMEGAYFKLVRLQQRE >Potri.008G001450.1.v4.1 pep chromosome:Pop_tri_v4:8:772411:773268:-1 gene:Potri.008G001450.v4.1 transcript:Potri.008G001450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G001450.v4.1 MSGTNKTSGITGGGGDASKAPSSGAPSRVSVSGSVKDATMKAPGQDVRIPRDAFEKNPSGYFHDWHKK >Potri.001G297466.1.v4.1 pep chromosome:Pop_tri_v4:1:30798828:30801101:1 gene:Potri.001G297466.v4.1 transcript:Potri.001G297466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297466.v4.1 MVRRNAVIFLSLICIVIAGVSGQAPATSPTATPAPPTATTPTTSPPPATSTPPPVSAPPPVTQSPPPATPPPVSAPPPASPPPATPPPATPPPATPPPATPPPATPPPATPPPATPPPAVPPPAPLASPPALVPAPAPSKSKLKAPAPSPLASSPPAPPTGAPAPSLGASSPGPVGTDLSGAEKMWSLQKMVVSLALGSTFWLLL >Potri.001G301501.1.v4.1 pep chromosome:Pop_tri_v4:1:31193445:31197204:1 gene:Potri.001G301501.v4.1 transcript:Potri.001G301501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301501.v4.1 MSTTKTMIPLLLLLLLSPLSTTASTAAYPTIPGTIDTSVSSSQPDNLIPIRNEIYGNGKIFDISHRYINDMPVWDSKDGLGKFLSLPASMKNGSLANNSEMKLPTHTGTHVDSPGHVFDHYFDSGFDVDTLDLEVLNGPALLVDVPRHSNITAEVMKSLHIPKGVRRVLFRTLNTDRRLMFKREFDRSYVGFTKDGAKWLVDNTDIKLVGIDYLSVAAWSDLIPSHLVFLEGREIILVEALKLDDIQPGVYSVHCLPLRLFGAEGSPIRCVLIK >Potri.017G110300.1.v4.1 pep chromosome:Pop_tri_v4:17:11789309:11793488:-1 gene:Potri.017G110300.v4.1 transcript:Potri.017G110300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110300.v4.1 MAGLLAWAADVVGAHGNNHELEEDRIPIVFTDDQQKYVQELDSKASSLSRTIQDLRLRLPPPDISQRLPHLLAHSIASNAALALQLNAHSATKEQAQLREVTLQEENAEYEKAILNCENNIHERIQEADLLLRKLQEMDETEQALMEELENAETAMDAGQHGNSGESVNASETAVEAGSDAEAAKSDILEKLDNKKKELSSLEEIVQGLDKRWMQVQENALKQPAPAQREKLLDKQLHSLIEQLAAKQAQAEGLVSEIHLKEMELERLNGLRRRLESSNVEGNTARNRFGRSTSDKGSAFADYIVDKLPYSTGGRTEHQQRLMFLRSAFVIYILFLHIVVFIKLSF >Potri.017G110300.5.v4.1 pep chromosome:Pop_tri_v4:17:11789308:11793479:-1 gene:Potri.017G110300.v4.1 transcript:Potri.017G110300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G110300.v4.1 MAGLLAWAADVVGAHGNNHELEEDRIPIVFTDDQQKYVQELDSKASSLSRTIQDLRLRLPPPDISQRLPHLLAHSIASNAALALQLNAHSATKEQEMDETEQALMEELENAETAMDAGQHGNSGESVNASETAVEAGSDAEAAKSDILEKLDNKKKELSSLEEIVQGLDKRWMQVQENALKQPAPAQREKLLDKQLHSLIEQLAAKQAQAEGLVSEIHLKEMELERLNGLRRRLESSNVEGNTARNRFGRSTSDKGSAFADYIVDKLPYSTGGRTEHQQRLMFLRSAFVIYILFLHIVVFIKLSF >Potri.005G144700.3.v4.1 pep chromosome:Pop_tri_v4:5:11705377:11715394:1 gene:Potri.005G144700.v4.1 transcript:Potri.005G144700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144700.v4.1 MEISLLEVLLKNISAFLHISKDDKISSDPVQKYYQKAEEILKLLKPILDTIVNSEVPSDAVLNKDFQELGQSVDELKEIFENWQPLSSKVHFVLQIESLTSKICSLGLNSFQLLKASHQQLPDELSSSSLENCIQKIKLSGYVQTSSIIKEAISDQEEGVGPSSEILVKISDSLCLRSNQEILIEAVALEKLKENAEQAEKTAEAEFIDQIITLVTRMHERLVLIKQSQTYSPVPIPADFCCPLSLELMTDPVIVASGQTYERAFIKNWIELGLTVCPKTQQTLAHTNLITNYTVKALIANWCESNNVKLPDPIKSMSFNQPSPLLVHAESITSREHVLSHPRGSQPISSESNQATGSPGQNMISSSGIQREGSSPLHSHSTSESSLSVIVGNGQGLDIARISSLTSSEERSSNSEERNLDSVHHCSASPSRKEVSTAVRADGLLSQNHNRSASASSALGHAAFPQGASGDANESSEFSNHLTSYSSDISGEVKPEPQASSALHTPHREPEFPSRLVDTRSRSQTIWRRPSDRLVPRIVSSSAIETRADLAGIETEVRNLVEDLKSTLVDTQRDATAKLRLLAKHNMDNRIVIANFGAISLLVNLLRSTDIKIQENAVTALLNLSINDNNKTAIGNADAIEPLIHVLETGSPEAKENSAATLFSLSVIEDNKVRIGRSGAIVPLVDLLGNGTPRGKKDAATALFNLSIFHENKDRIVQAGAVKHLVELMDPAAGMVDKAVAVLANLATIPEGRNAIGQEGGIPVLVEVVELGSARGKENAAAALLQLCTNSSRFCHMVLQEGAVPPLVALSQSGTPRAKEKAQALLSFFRNQRHGNAGRA >Potri.014G080500.5.v4.1 pep chromosome:Pop_tri_v4:14:5190785:5194203:1 gene:Potri.014G080500.v4.1 transcript:Potri.014G080500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080500.v4.1 MAVRKPGLIALFDVDGTLTAPRKEATPSMIEFVKELRKVVTIGVVGGSDLSKISEQLGKTVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVQNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDEFSEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPDDTVEQCKALFFA >Potri.014G080500.4.v4.1 pep chromosome:Pop_tri_v4:14:5190796:5194231:1 gene:Potri.014G080500.v4.1 transcript:Potri.014G080500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G080500.v4.1 MAVRKPGLIALFDVDGTLTAPRKEATPSMIEFVKELRKVVTIGVVGGSDLSKISEQLGKTVINDYDYVFSENGLVAHKDGKLIGTQSLKSFLGDEKLKEFINFTLHYIADLDIPIKRGTFIEFRSGMLNVSPIGRNCSQEERDEFEKYDKVQNIRPKMVSVLREKFAHLNLTFSIGGQISFDVFPQGWDKTYCLRYLDEFSEIHFFGDKTYKGGNDHEIYESERTVGHTVTSPDDTVEQCKALFFA >Potri.001G013400.1.v4.1 pep chromosome:Pop_tri_v4:1:929381:932673:-1 gene:Potri.001G013400.v4.1 transcript:Potri.001G013400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G013400.v4.1 MDSFSSTNAVLKKQVTGESSIISRENVAVKRIRTEEEQEIANRKPSLIWKRTGGWTAASILLANQCLATLAFFGVGVNLVLFLTRVLGQSNADAANNVSKWTGTVYLCSLVGAFLSDSYWGRYLTCAIFQLIFVSGLVLVSVSSWFFLIKPDGCGDGKLTCVPATSMGQVVFYLAIYLVAFGYGGHQPSIATFGADQFDESKPKERNSKAAFFCYFYFALNFGSLFSNTLLVYFEDHGRWTLGFLLSLGSAVVALVSFLFGAPGYKYVKPCGNPLPRVAQVFVAAARKWDVIPVKADELYELEGPESAIKGSRKIFHSEEFEFLDKAATMTEDDLSHQKNPWRLCTITQVEEAKCVLKMLPIWLCTIIYSVVFTQMASLFVEQGDVMNSYIGKFHLPAASMSAFDICSVLVCTGIYRQILVPLAGRLSGNPKGLTELQRMGIGLVIGMLAMLAAGATEIERLKNVIEGHKVSSLSIFWQIPQYVLVGASEVFMYIGQLEFFNGQAPDGIKSFGSSLCMASISLGNYASSMLVNMVMKITTKGDKPGWIPDDLNTGHMDRFYFLIAVLTAFDFVIYLFCAKWYKPINIDDSQGIEMEKQEDDVLAKV >Potri.011G115400.1.v4.1 pep chromosome:Pop_tri_v4:11:14597949:14601303:1 gene:Potri.011G115400.v4.1 transcript:Potri.011G115400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G115400.v4.1 MESYHHFDSGDAHLPPGFRFHPTDEELITYYLLKKVLDSNFTGRAIAEVDLNKCEPWELPGKAKMGEKEWYFFNLRDRKYPTGLRTNRATEAGYWKATGKDREIYSSKTGALVGMKKTLVFYRGRAPKGEKSNWVMHEYRLEGKFAYHYLSRCSKDEWVISRVFQKSCSGAASGGSGSAPKKNRFNTTINLYTEASSPSSVSLPPLLDATTTLTTAASTTTLNDRDSCSYDSHTQHEHVSCFSTIAAAASAAAKHNSNNFDLAPLPPPPMTDSFSRFPRNTGANGFPSLRSLQENLQLPFFFSSPPSAAPVPPFHGGGAAMNWMVNPEEGRFDGTGAGIGAGGRVAMGPTELDCMWTY >Potri.002G006100.1.v4.1 pep chromosome:Pop_tri_v4:2:338219:341167:1 gene:Potri.002G006100.v4.1 transcript:Potri.002G006100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006100.v4.1 MATDRRRSNTQLLEELEELSESLYQAQTSTNRRTASLAFPRSSVPSIISDESGTAKIDEKSSSRTWSRRMSLSPWRSSPKPDEETERRTSNINQPEIKKLDDIATSTEKKGIWNWKPIRALSHIGMQKLSCLFSVEVVAVQGLPASMNGLRLSVSVRKKETKDGAVHTMPSRVSHSAADFEETLFIKSHVYCTPGKGKPLTFEPRPFMIYVFAVDAEELDFGRSIVDLSRLIQESMEKSQEDTRVRQWDTSFNLSGKAKGGELVLKLGFQIMEKEGGIDIYSQAEGSKSSKSKNFSLSLGRKQSKSSFSVPSPRMTGRSEAWTPSKANPVADIHGMDDLNLDEPAPAPSSSPSIQKSEEPEQKIEDLDLPDFVVVDKGVEIEDKEENENVDSEENVKEKSHSSEVVKEVVHDKVHLTRLSELDSIVQQIKALESMMGEEKTVKTGDETEPPKLDSDEETVTQEFLQKLEDAETNAFKFNQPEIPPLHLDGGDDSSEAESKVYLSDLGKGLGCLVQTRDGGYLAATNPLDTVVSRKDTPKLAMQLSKPLVLQPDKSINGFELFQRMASIGFEELCSRILSLMPLDELLGKTAEQIAFEGIASAIIQGRNKEGASSSAARTIAAVKTMATATSTGRKERISTGIWNVNESPLTAEEILAFSLQKIEAMAIEALKIQAEMAEEEAPFDVSPLAGNASTDSGKDQNYPLDSAISLEDWIKNYSLVSPGKPATITIAVVVQLRDPIRRYEAVGGPVVALVHATQADIEEDNYDEEKKFKVTSSHIGGMKAKSGRKRNVWDSERQRLTAMHWLVEYGLGKAGKKGKHVLSKGQDLLWSLSSRIMADMWLKHMRNPDVKFTK >Potri.016G128300.1.v4.1 pep chromosome:Pop_tri_v4:16:13148452:13151170:-1 gene:Potri.016G128300.v4.1 transcript:Potri.016G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G128300.v4.1 MASSASASISNSMNSPSNFSFSTQFMTSSSSPSSFTNLLSSNNKDMDNLSWGLYDHGTNDRIGIEIPNYKSFQPFSPPPVSPSSYFAIPPGLSPTELLDSPVLFPTSNGLASPTTGAFAGQTFNWRGNSNDNQQGVSGEEKNYSDFSFPTQTRPPAISSSFFQSSSNSVTVEKSLKRKQEEWNFDQLKQTDFSSDQKTGVKSEFAPEQSFSSELVPLQANMQSVNTAAQPSFNQYNQSAHYMRENKRSDDGYNWRKYGQKQVKGSENPRSYYKCTYPNCPTKKKVERSLDGQITEIVYKGSHNHPKLQSSRRSSSQLVQPSGGASSEISDQSIAPIESSMMQEDSSISLGEDEFDQSSSMNSGEEDNANEPDAKRWQGQNENESILGAGSRTVREPRIVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTSVGCPVRKHVERASQDLRAVITTYEGKHNHDVPAARGSGYMNKAPSIANSTANAPIPIRPSVMANHSNQTSYPNSLHSTRSLPASGSQAPFTLEMLQGQGSFEYSSFGKQNGTYMNQTQYSEGVFPRAKEEPKNDSFFDPFLN >Potri.001G266000.4.v4.1 pep chromosome:Pop_tri_v4:1:28085885:28091802:-1 gene:Potri.001G266000.v4.1 transcript:Potri.001G266000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266000.v4.1 MMPAKSEKEDRRLDHSGQSLFQSNVYSQPWWREVGNNPSLGETASKLSSVENLNGSLLNAVTQSKVNTGLQKGAILNKDTQTDVTSLSDEGTGQHHLNHVPSPTTVTMDGHLEPNSQMELVGHSIVLTSHPYTDPQYGGIFASYRPQAMVPQFYGMTHARMPLPLEMEEEPVYVNAKQFNGIMRRRQARAKAELEKKAVKVRKPYLHESRHQHAMRRARGCGGRFLNTKKLDHNAANPTSDKGTGDLDSSGDLQEGKESMVQDMQTHASSNCHGNGNGLSSRYHSLSDDGSFLGQQKETTHGNGVSNGNVSIY >Potri.016G055901.2.v4.1 pep chromosome:Pop_tri_v4:16:3715776:3720196:1 gene:Potri.016G055901.v4.1 transcript:Potri.016G055901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055901.v4.1 MGKYVELLDAGVRIAGRFYSHCPQTARMYYHPPSNSEDLHHHHHHGHNGGSGSQATTQDSTRVASCGVKAATGFGTTDLAFYSVM >Potri.001G176600.1.v4.1 pep chromosome:Pop_tri_v4:1:15281327:15283711:-1 gene:Potri.001G176600.v4.1 transcript:Potri.001G176600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G176600.v4.1 MPSRSLLADAFRAHPVHVHQKHFDFTSLQEIPDSHKWTQIDDEEHPLVDPLNTESVPVIDLSDPNVLQNIGHACKTWGVLQVTNHGIPTSLLENIESASRSLFSLPIQQKLKAARSPDGVSGYGVARISSFFSKLMWSEGFTIVGSPLEHFRQLWSQDYTKFCDIIEEYKKEMQKLARRLTWLMLGSLGIAKKDLKWAGSTGESKKGSAALQLNYYPACPDPDQAMGLAAHTDSTLLTILYQSNTSGLQVLKEGIGWITVPPIPGGLVVNVGDLLHILSNGLYPSVLHRAVVNRTKHRLSIAFLYGPPSSVEISPLQKLVGPNHPPLYRPVTWNEYLGTKAKYFNKALSSVRICAPLNGLADVNDHNRVRVG >Potri.003G104600.1.v4.1 pep chromosome:Pop_tri_v4:3:12820200:12821735:1 gene:Potri.003G104600.v4.1 transcript:Potri.003G104600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G104600.v4.1 MEFWLLLIAFLCLFVFLLSFLDLSHKNKKLPPGPPTLPVLGNFLWLLRSSNNFSSLEPVLRQLRAQYGPIVTLHLGSEPSIFITTAEAAHKALVRSGSIFASRPPALETTRIMLSNETTVTTAPYGPLWLQLRQNFMSAFHPSRLHLYSDGRKWAMNILRSKLLEEARPNHEAIVVVDHFQHAVFCLLIYLCFGEKYEESVIRQITSVQRAIIKNFVKFNLLNFMPKLGKILFHKLWKELLETRRELENVLLPLIDAQREKKHQKLMDEGGGESILSYVDTLIDFQLPDSGRKYSDEELVSLCSEFFHGGTDTSITTLQWAMANIVKHQHIQETLHKEINAAVKPGEEITEEDLKRMPYLKAVILETLRRHPPGHFILPHGVTEDTKLEGYDVPKNSIINFTVADMGWDADVWEDPMEFRPERFLKNGNGQEVVFDMKGIKEIKMMPFGAGRRACPAIAMALLHQEYFVANLVRDFTWTAENGCAIDLSEKQDFTMVMKNPLRVHISPRTC >Potri.002G202000.2.v4.1 pep chromosome:Pop_tri_v4:2:16545919:16547692:1 gene:Potri.002G202000.v4.1 transcript:Potri.002G202000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202000.v4.1 MDKCGSPSSKLRKSKAKISINTGGLVVLGGTLAVTSLIAAAAAAAFAVKRRRRDKDFLCKKEVKDLSFILQNPSSTFHQNTCLTDGSTGMLAEETQIDSIEMVSIESVILEENSASVINGEIENFDGDDQKILITDDPKQEMIIASCDNCCAVEELALPVLDSKSMSEAEDKIKNDSEENSSWLERIEISRQEEEVDTERVMEEETKSVSLIEEEKVEQKRVEGEEEEDEEGHNNEEYDTEEESVCAENSTAETTVAMHLVEEEEEDSDSGEEYVMEERYENSEGTGSTCAETNAEAVWAAESIEVLSQKLKNATINMENSGKMVMEEDGITTKTEEFGDSIEALPLAVKNTSVNFQSSESKEKIVEEDRGNKTQEFGDFNEAKSNILNDVTNNHETSKNPKYLKKKEMPELVEVDNQTTEPTRMKIWVCSIFLLSIFVVNYIYSSLLSCS >Potri.002G202000.4.v4.1 pep chromosome:Pop_tri_v4:2:16545821:16547685:1 gene:Potri.002G202000.v4.1 transcript:Potri.002G202000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G202000.v4.1 MLNTWNYQNGFLICSLTDGSTGMLAEETQIDSIEMVSIESVILEENSASVINGEIENFDGDDQKILITDDPKQEMIIASCDNCCAVEELALPVLDSKSMSEAEDKIKNDSEENSSWLERIEISRQEEEVDTERVMEEETKSVSLIEEEKVEQKRVEGEEEEDEEGHNNEEYDTEEESVCAENSTAETTVAMHLVEEEEEDSDSGEEYVMEERYENSEGTGSTCAETNAEAVWAAESIEVLSQKLKNATINMENSGKMVMEEDGITTKTEEFGDSIEALPLAVKNTSVNFQSSESKEKIVEEDRGNKTQEFGDFNEAKSNILNDVTNNHETSKNPKYLKKKEMPELVEVDNQTTEPTRMKIWVCSIFLLSIFVVNYIYSSLLSCS >Potri.013G059900.1.v4.1 pep chromosome:Pop_tri_v4:13:4396946:4400050:1 gene:Potri.013G059900.v4.1 transcript:Potri.013G059900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G059900.v4.1 MVFWPTDSVFSVTMVSIVLWCLPFVLSFLCSLAQPQITTNTINLGASITAGTNSSWRSPSGDFAFGFYPLLNGMFLVGIWFDKIPERTLVWSANRDDPARTGSTINFTLDGQLVLTHSNGTGYLIYNGTFGASSALMQNDGNFVVKTNSSEVIWQSFDSPTNTILLGQVLVMGKKLYSNANGTVDYSTGQYMLELQMDGNVVMSAYKFADPGYWFTLTEGNQNVSLIFNQSTAFMYVVNHTSITYRMTSQVPTPIGDYYHRATINDHGNLQQFVYHKENGSGWTVVWEPESIKAEPCIPFNICGVYGFCTSIDNTTINCDCLPGYSPWDPSIPSKGCYPDTVIDFCAPNSSASNFTLEEIDNADFPNGAFADMARVTPADVEECRKAIMDDCFAVAGVLVESVCYKKRTPLLNARRSIPSTNNIVAFIKIPKANNNNQIQDKDDDSPSWIALLAGLLLCSIMTLLFATISIYHHPLAQPYISKKQLPVPKPVEINLKAFSFQELLQATNGLRNKLGRGAFGTVYSGVLTLEAEEVEIAVKKLEKVIEQGEKEFLTEVQVIGLTHHKNLVRLVGFCNEKNHRLLVYELVKNGTLSDFLFGEERRPSWDQRAETVYGIARGLLYLHEECETQIIHCDIKPQNVLLDKNYTAKIADFGLAKLLKKDQTRTSTKVRGTMGYMAPEWLKNAPVTTKVDVYSFGVVLLEIIFCRKHIELHQVNESTEDNEMILIDWVLCNVRAGNLHAIVSHDSEVLEDFCRFERMVLVGLWCICPNPTLRPSMNKVTQMLEGTSEVDDPPLIDAQIF >Potri.001G062100.2.v4.1 pep chromosome:Pop_tri_v4:1:4791877:4794159:1 gene:Potri.001G062100.v4.1 transcript:Potri.001G062100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G062100.v4.1 MSGPHRHHHHHNDHSPPPPGIPPPYPPQDFPPPPPPVPPPPPPPVPAPPPPGYQSYFYDPVPQPPPPPPHTQLVHHDHDDSSGCCSFLRECLACLCCCCVLEECCGCCF >Potri.011G116000.1.v4.1 pep chromosome:Pop_tri_v4:11:14681065:14682345:-1 gene:Potri.011G116000.v4.1 transcript:Potri.011G116000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G116000.v4.1 MACSRCDVEYYGDHIFTTVTKSASAVDRWIDQIMYVYQSKLSKLIIGLDTEWFLPAYPGDYQKIAILQLCVGRRCLIFQLCHADYFPRSLIDFLGNEKYTFVGKEVRNDAHKLMNDYGLNVGHCRDVAYWAASKHGGEEDFRKFGLKRLVLRFLKKELEKPLKITLSRWDRKKLDYQQIKYACLDAFVSFKLGELLSKD >Potri.008G072100.1.v4.1 pep chromosome:Pop_tri_v4:8:4430892:4435714:-1 gene:Potri.008G072100.v4.1 transcript:Potri.008G072100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072100.v4.1 MEINNWKISRFGVLILFLVYQNLILCFSLNDEGMALLKLREGIVSDPYGALKSWKMDFGVINPCSWFGVECSYDGKVVVLNLKDLCLEGTLAPEIANLVHIKSIILRNNSFSGIIPEGVGELKELEVLDFGYNNFSGPLPPDLGSNPSLAILLLDNNERLRSLSSEIQHLETLSEFQVDENELSNAAKGSSRNKRSITWNLVRIENAVHRRQLKNNKNNKDVTSPRPSRTSPSPSPKESTAPSTSDPSAPPSISAPARSDSSAPRISSESFQSKKSAIIAGAIGGTLVILISILSIYICKTNKASVKPWATGLSGQLQKAFVTGVPKLKRSELEAGCEDFSNVIGSSPIGTLYKGTLSSGVEIAVLAVASVAITSAKDWSKNLEVQFRQKIETLSKVNHKNFVNLIGYCEEEEPFTRMMVFEYAPNGTLFEHLHIKESEHLDWGMRLRIAMGMAYCLEHMHQLNPPIAHSNLTSSVISLTEDYASKISDFTFSNDIIANEMELSGKKLPDVPLALPESNVYNFGVLLFEMVTGRLPYSVDNVSLEDWASDYLRGYQPLREKVDPTLDSFEEEKLERIGEVIKSCVHPDPKQRPTMREVTGGLREITTLTPDAAIPKLSPLWWAELEILSTEAS >Potri.016G079900.1.v4.1 pep chromosome:Pop_tri_v4:16:6123642:6127972:1 gene:Potri.016G079900.v4.1 transcript:Potri.016G079900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079900.v4.1 METQRITEFPHKNMDKRPRKRQRLTWDIPPPPPPFLAPAKVVPGMFCGQEFGNGNGVIPNYGLFYNRNGSPPWRPDDKDGHYVFAIGDNLTPRYRILSKMGEGTFGQVLECFDNEKKELVAIKIVRSIHKYREAAMTEIDVLQRLARHDIGSTRCVQIRNWFDYRNHICIVFEKLGPSLYDFLRKNSYRSFPIDLVRELGRQLLESVAFMHDLHLIHTDLKPENILLVSSEYIKVPDYKFLSRSTKDGSYFKNLPKSSAIKLIDFGSTTFEHQDHSYVVSTRHYRAPEVILGLGWNYPCDIWSVGCILVELCSGEALFQTHENLEHLAMMERVLGPLPQHMVVRADRRAEKYFRRGMRLDWPEGATSRESMKAVMKLPRLPNLIMQHVDHSAGELIDLLQGLLRHDPAERLKAREALRHPFFSRDLRRYGYPL >Potri.008G087000.1.v4.1 pep chromosome:Pop_tri_v4:8:5439075:5442615:-1 gene:Potri.008G087000.v4.1 transcript:Potri.008G087000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G087000.v4.1 MEQTPGEEIGIRIYTASPQNEPKATKISTGSPQNDEPRAPKPLCNLTKAPKEPGNKRRAVAKGMQKTISKTSMLVNFLPTGTLLTFEMLLPSISRNGVCTPVTALMIHVLLGLCSVSCFLFHFTDSFKGPDDKIYYGFVTTKGLAVFNPGLTVDVPKDERYKIGFTDFVHAMMSVMVFMAIALSDHRVTDCLFPRHVKEMDEVMESFPLMVGVICSGLFLVFPTSRHGIGCMAN >Potri.014G158600.2.v4.1 pep chromosome:Pop_tri_v4:14:11208667:11211365:1 gene:Potri.014G158600.v4.1 transcript:Potri.014G158600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G158600.v4.1 MPSKLRKAIGAVKDKTSISLAKVSNANASNLDVVILKATRHDAVPIDERYVNEVLNLISSNKIYAASSAQAIAKRIGKTRNWIVALKSLMLVLRIFQDGDPYFPKEVLIAMKRGAKVLNISNFRDDSKSKPWDYTAFVRTFALYLDERLGCFLTGKLQRRFTNRERENSHPRSRRANDSVSEMKPAMLLDKLSYWQKLLDRAVATRPAGAAKTNRLVQVSLYAIVQESFDLYRDISDGLALLLDSFFQLQPHYCVTAFQTCVKASKQLEELCSFYDLCKSLGVGRTSEYPCVQKISEDLIETLQEFLRDQSSIPTNGRSPVHLLLPAPSNDDASPSIDSYGRCDESSEPNEIFSERGSEFGSQCTSLEDLMSVTDMGSSPPMTSMDHYLELFEKRSLEDILCIADSNSIHSFTIDQGTLSGANSILDLVSLDGWPPEDHQQEQEQGQRTSASALDSSTDQSDGWEAVLAETASQSMQASPDLTIGFVPNTACNFFDQDSAHVASELEPPIANNIFDQASLPDQQYNPFLQDTTEIPAIVAPTDSQAVCPVNDMLSMAPTFQATPTFSVQKSDTAAGLHNEDDPFASCLAKMAAGHKPNGSMDQQIMLQQQQLWLQQQDMIIAKKMSYI >Potri.001G265400.1.v4.1 pep chromosome:Pop_tri_v4:1:28044596:28052261:1 gene:Potri.001G265400.v4.1 transcript:Potri.001G265400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G265400.v4.1 MAEKSEAEKNQENGVGAGNAIVEVNPEPQKGLTSKLIDYLEKLIVNLMHDTSQSHHYLSGNFAPVLDETAPVKDLPVKGHLPECMNGEFVRVGPNPKFAPVAGYHWFDGDGMIHGMRIKDGKAAYVRRFVRTSRLKQEEFFGGAKFMKIGDLKGLFGLLMVNMQILRLKSKVLDNSYGIGTGNTNLIYHNGKLLALQEADKPYVVKVMEDGDLQTLGLLDYDKRLKHSFTAHPKVDPFTGEMFTFGYSHEPPYVTYRVISKDGVMHDPVPITISDPIMMHDFAITENYAVFLDLPLYFRPKEMVKDKKFIFTFDATKKARFGVLPRYAKDDLLIKWFELPNCFIFHNANAWEEEDEIVLITCRLQNPDLDMVNGAVKEKLENFTNELYEMRFNMKTGVASQKKLSESAVDFPRVNESYTGRKQRYVYGTLLDSIAKVTGIVKFDLHSEPEPGKGKIEVGGNVKGIFDLGPGRFGSEAIFIPREPGTTSEEDDGYLIFFAHDENTGKSSVNVIDAKTMSANPVAVVELPHRVPYGFHAFFVSEEQLQEQEKL >Potri.013G155300.1.v4.1 pep chromosome:Pop_tri_v4:13:15053470:15054626:1 gene:Potri.013G155300.v4.1 transcript:Potri.013G155300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G155300.v4.1 MKMKALVVFRSKLFRPCKKLLILFRFKLKGPVFIRDLRLHRRSKKRRKAPQKSRVFTFFRSFRKSRKMDRVAELRSVSEAERERMLYPSPLTPAYIKASLATKRQTFGDEDVEDACRSFENYLVEMMVEEGKVRDLADVEELLYCWKNLKCPVFIGLVCRFYGELCKDLFSPDVDNTDVDSPKSPK >Potri.008G045532.1.v4.1 pep chromosome:Pop_tri_v4:8:2627937:2628998:1 gene:Potri.008G045532.v4.1 transcript:Potri.008G045532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045532.v4.1 MFGYKGYKDPNLWYHALLVFLLSSSPVTFKASFLSSLIPWKHTLPIFSRIRSMDNKNGTPEEKGNASLPPRRGQIKAKIGEDLKTFITGWVGGKSDKDGGKNLIYFPESGSCSK >Potri.015G055600.1.v4.1 pep chromosome:Pop_tri_v4:15:7663689:7666929:1 gene:Potri.015G055600.v4.1 transcript:Potri.015G055600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G055600.v4.1 MFSKFRNATDKARKLAIEHENCLLPFIPCFRNLINMVEQQNSSSKVQASYELCRKTFTPSGAPPSSSAIQKLCSLLDTFGPADVGLKEENRDDRGHGMLGLNRLSSVARWAQPMTYVDVYECDSFTMCIFCFPTSSVIPLHDHPSMTVFSKVLYGSLHVKAYDWVEPACYPKSKGPGYPAVRLAKLTVDKTLTAPCETSVLYPKRGGNLHCFTAVTPCAVLDILTPPYREDAGRKCTYYHDYPFSTFSRGNGAEIDDEKIDDLAWLAEIDTPDDLYMRQGAYTGPAVQV >Potri.005G153600.1.v4.1 pep chromosome:Pop_tri_v4:5:13237326:13239738:1 gene:Potri.005G153600.v4.1 transcript:Potri.005G153600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G153600.v4.1 MVPTILKTSVSGIKLSSVVPAEVTGDNEDRKLTNMDLAFKLHYVRGVSFFSNETVQGLTIYDLKEPMFSLLALYPTASGRIRKSESGRPFIKCNDGGVRIVEAHCDKTIEEWLKMNDHQPLDDYLVYDQVLGPDLGFSPLVFVQFTWFKCGGMSVGLSWAHVLGDPFSASTFINTWGKIMQGHVPSRSPHVPNTKKSKYPLSTTRRKPFSLKRVDPVGDSWLSTNNCKMETHSLHVTAEQLDDILSDNIRGQKQPTELSHFQVLSAIIWKSLSKVREDSGPRIVTICTGNSRVNDPEVPSNNLVFSIIQADFSVAEGEIYELAELIAEKQEEENSLIEDIVESDEVEFDRIAYGTNLTFVDLEEANIYGLELKGQKPIFANYSIKGVGEGGVVLVLPAGPGNGSGKVSSGRTVTVTLPENQLSRLMNELKLHWGLA >Potri.002G122800.1.v4.1 pep chromosome:Pop_tri_v4:2:9293862:9294593:1 gene:Potri.002G122800.v4.1 transcript:Potri.002G122800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122800.v4.1 MKTQETERINEEGEAETRVETVDHRKSAGQGEPTDEKVGVVHLKRNTRDSGSGGGILSSAAAAVTNTFKSAKDAIMGGRGKDNTTK >Potri.002G122800.2.v4.1 pep chromosome:Pop_tri_v4:2:9293919:9294489:1 gene:Potri.002G122800.v4.1 transcript:Potri.002G122800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122800.v4.1 MKTQQETERINEEGEAETRVETVDHRKSAGQGEPTDEKVGVVHLKRNTRDSGSGGGILSSAAAAVTNTFKSAKDAIMGGRGKDNTTK >Potri.006G073300.1.v4.1 pep chromosome:Pop_tri_v4:6:5358537:5359390:1 gene:Potri.006G073300.v4.1 transcript:Potri.006G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G073300.v4.1 METKKERKEQLEKEGTEEKKGSSTKGVDDPMAKILIRESIISNSSDTVGPKENKQVDVQDPDDVLAFSRSVHKIDSSLE >Potri.017G017400.2.v4.1 pep chromosome:Pop_tri_v4:17:1278238:1282498:-1 gene:Potri.017G017400.v4.1 transcript:Potri.017G017400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017400.v4.1 MNFNNVRVPKVPGGGAIGTLIKIGVLGGLGLYGATNSLYNVDGGHRAIMFNRIAGIKEKVYPEGTHFMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVADQLPEIYRTLGENYNDRVLPSIIHETLKSVVAQYNASQLITQREAVSREIRKVLTARASNFHIALDDVSITSLTFGKEFTAAIEAKQVAAQDAERAKFIVEKAEQDKKSAVIRAEGEATSAQLIGQAIANNPAFITLRKIEAAREIAHTISNSANKVFLDSSDLLLNLQKMELENPRNK >Potri.017G017400.5.v4.1 pep chromosome:Pop_tri_v4:17:1278238:1282457:-1 gene:Potri.017G017400.v4.1 transcript:Potri.017G017400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G017400.v4.1 MNFNNVRVPKVPGGGAIGTLIKIGVLGGLGLYGATNSLYNVDGGHRAIMFNRIAGIKEKVYPEGTHFMIPWFERPIIYDVRARPHLVESTSGSRDLQMVKIGLRVLTRPVADQLPEIYRTLGENYNDRVLPSIIHETLKSVVAQYNASQLITQREAVSREIRKVLTARASNFHIALDDVSITSLTFGKEFTAAIEAKQVAAQDAERAKFIVEKAEQDKKSAVIRAEGEATSAQLIGQAIANNPAFITLRKIEAAREIAHTISNSANKVFLDSSDLLLNLQKMELENPRNK >Potri.001G118800.1.v4.1 pep chromosome:Pop_tri_v4:1:9654687:9656824:-1 gene:Potri.001G118800.v4.1 transcript:Potri.001G118800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118800.v4.1 MGRQPCCDKLGVKKGPWTAEEDKKLINFILTNGQCCWRAVPKLAGLRRCGKSCRLRWTNYLRPDLKRGLLTEAEEQLVIDLHARLGNRWSKIAARLPGRTDNEIKNHWNTHIKKKLLKRGIDPVTHEPLHKEARPEESSSSHADILPESSNNNVMQENDGIVINSDDNPRSPTENSSSPEDSILLDSICNDEMLLNSLWMEEPPLVDASWNNIIPPAAANTNDDTGYPSWEENYTWLSDCQDFGVHDFGLECFDSMELSALSTLEMEHKH >Potri.003G214400.1.v4.1 pep chromosome:Pop_tri_v4:3:21089850:21090350:1 gene:Potri.003G214400.v4.1 transcript:Potri.003G214400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G214400.v4.1 MGLSQGSRVIDGISHSAAAASSYAVAKCAISLCLANAVIDLNTGCYRGYDFSDTSISIPGDEVYENITLWSLSIFLVPCSCILLPDMKLCQPLFVCRIRFIIDAIPLVESGQNSFSW >Potri.001G471800.6.v4.1 pep chromosome:Pop_tri_v4:1:49472884:49477854:1 gene:Potri.001G471800.v4.1 transcript:Potri.001G471800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471800.v4.1 MSHYQGDDAEYMADEYEMEDVDDDMDEEFRAREMGSDSDVDEYDYPNNKVADMSAADARNGKDIQGIPWERLSVTREKYRQTRLEQYKNYENIPHSGEVSRKDCKITKKGGLYYEFRRNSRSVKSTILHFQLRNLVWATTKHDVYLMSNFSVTHWSSLTCSKSDVLDVSGHVAPTEKHPGSLLEGFTQTQVSTLAVKGNLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAVEIYDSPSGAVHFTASNNDCGVRDFDMEKYQLSKHFCFPWPVNHTSLSPDGKLLTIVGDNPAGMLVDSSTGKTVASLSGHWDFSFASAWHPDGVTFATGNQDKTCRIWDARNLSESVAVLKGNLGAIRSIRFTSDGQYMAMAEPADFVHVYDAKNGYEKEQEIDFFGEISGVSFSPDTEALYIGVWDRTYGSLLEYGRCRNYSYLDSFV >Potri.001G471800.7.v4.1 pep chromosome:Pop_tri_v4:1:49474020:49475643:1 gene:Potri.001G471800.v4.1 transcript:Potri.001G471800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471800.v4.1 MSHYQGDDAEYMADEYEMEDVDDDMDEEFRAREMGSDSDVDEYDYPNNKVADMSAADARNGKDIQGIPWERLSVTREKYRQTRLEQYKNYENIPHSGEVSRKDCKITKKGGLYYEFRRNSRSVKSTILHFQLRNLVWATTKHDVYLMSNFSVTHWSSLTCSKSDVLDVSGHVAPTEKHPGSLLEGFTQTQVSTLAVKGNLLVAGGFQGELICKHLDRPGVSFCSRTTYDDNAITNAVEIYDSPSGAVHFTASNNDCGVRDFDMEKYQLSKHFCFPWPVNVSK >Potri.009G160100.9.v4.1 pep chromosome:Pop_tri_v4:9:12393909:12398023:1 gene:Potri.009G160100.v4.1 transcript:Potri.009G160100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160100.v4.1 MTRILVQRGSGSSSNQSRAGSSSSVARPDTQGTSIPQSASTVRDEEIGEEVQEQVVVDEVLENSGNNDNKVVKSDELLTVSINDDRIESLNDDIVDNEKVGNDEGVGSGDLVKGLSGLILERSLVESEGSSAGYPEVGCGSPQPPPPPVPPPKPALTNSNSRRFMSGASNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGENEGYNSGDEQNPCFVSTYDDFERERQFEIDIRRSKGLEVKKMLEDGNCLFRAVADQVYGDSEMYDLARQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQIKAAIKAQQDQQINNALLAEGRFYSDLELTEKEIERMVMEASRAEYLADNKFKPQLGRKESSTAGAEPSSSSATGSSASETSKVDGVREHGSQDTVLSSSMQMVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Potri.009G160100.8.v4.1 pep chromosome:Pop_tri_v4:9:12393063:12398046:1 gene:Potri.009G160100.v4.1 transcript:Potri.009G160100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160100.v4.1 MTRILVQRGSGSSSNQSRAGSSSSVARPDTQGTSIPQSASTVRDEEIGEEVQEQVVVDEVLENSGNNDNKVVKSDELLTVSINDDRIESLNDDIVDNEKVGNDEGVGSGDLVKGLSGLILERSLVESEGSSAGYPEVGCGSPQPPPPPVPPPKPALTNSNSRRFMSGASNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGENEGYNSGDEQNPCFVSTYDDFERERQFEIDIRRSKGLEVKKMLEDGNCLFRAVADQVYGDSEMYDLARQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQIKAAIKAQQDQQINNALLAEGRFYSDLELTEKEIERMVMEASRAEYLADNKFKPQLGRKESSTAGAEPSSSSARSSASETSKVDGVREHGSQDTVLSSSMQMVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Potri.009G160100.12.v4.1 pep chromosome:Pop_tri_v4:9:12393063:12398008:1 gene:Potri.009G160100.v4.1 transcript:Potri.009G160100.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160100.v4.1 MTRILVQRGSGSSSNQSRAGSSSSVARPDTQGTSIPQSASTVRDEEIGEEVQEQVVVDEVLENSGNNDNKVVKSDELLTVSINDDRIESLNDDIVDNEKVGNDEGVGSGDLVKGLSGLILERSLVESEGSSAGYPEVGCGSPQPPPPPVPPPKPALTNSNSRRFMSGASNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGENEGYNSGDEQNPCFVSTYDDFERERQFEIDIRRSKGLEVKKMLEDGNCLFRAVADQVYGDSEMYDLARQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQIKAAIKAQQDQQINNALLAEGRFYSDLELTEKEIERMVMEASRAEYLADNKFKPQLGRKESSTAGAEPSSSSASK >Potri.009G160100.1.v4.1 pep chromosome:Pop_tri_v4:9:12393063:12398056:1 gene:Potri.009G160100.v4.1 transcript:Potri.009G160100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160100.v4.1 MTRILVQRGSGSSSNQSRAGSSSSVARPDTQGTSIPQSASTVRDEEIGEEVQEQVVVDEVLENSGNNDNKVVKSDELLTVSINDDRIESLNDDIVDNEKVGNDEGVGSGDLVKGLSGLILERSLVESEGSSAGYPEVGCGSPQPPPPPVPPPKPALTNSNSRRFMSGASNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGENEGYNSGDEQNPCFVSTYDDFERERQFEIDIRRSKGLEVKKMLEDGNCLFRAVADQVYGDSEMYDLARQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQIKAAIKAQQDQQINNALLAEGRFYSDLELTEKEIERMVMEASRAEYLADNKFKPQLGRKESSTAGAEPSSSSARSSASETSKVDGVREHGSQDTVLSSSMQMVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Potri.009G160100.11.v4.1 pep chromosome:Pop_tri_v4:9:12393045:12398029:1 gene:Potri.009G160100.v4.1 transcript:Potri.009G160100.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160100.v4.1 MTRILVQRGSGSSSNQSRAGSSSSVARPDTQGTSIPQSASTVRDEEIGEEVQEQVVVDEVLENSGNNDNKVVKSDELLTVSINDDRIESLNDDIVDNEKVGNDEGVGSGDLVKGLSGLILERSLVESEGSSAGYPEVGCGSPQPPPPPVPPPKPALTNSNSRRFMSGASNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGENEGYNSGDEQNPCFVSTYDDFERERQFEIDIRRSKGLEVKKMLEDGNCLFRAVADQVYGDSEMYDLARQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQIKAAIKAQQDQQINNALLAEGRFYSDLELTEKEIERMVMEASRAEYLADNKFKPQLGRKESSTAGAEPSSSSASK >Potri.009G160100.10.v4.1 pep chromosome:Pop_tri_v4:9:12393020:12398008:1 gene:Potri.009G160100.v4.1 transcript:Potri.009G160100.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160100.v4.1 MTRILVQRGSGSSSNQSRAGSSSSVARPDTQGTSIPQSASTVRDEEIGEEVQEQVVVDEVLENSGNNDNKVVKSDELLTVSINDDRIESLNDDIVDNEKVGNDEGVGSGDLVKGLSGLILERSLVESEGSSAGYPEVGCGSPQPPPPPVPPPKPALTNSNSRRFMSGASNSVRIGSSRRAVAWPVVSTRSSPTGSRPSSPRSHGENEGYNSGDEQNPCFVSTYDDFERERQFEIDIRRSKGLEVKKMLEDGNCLFRAVADQVYGDSEMYDLARQMCIDYMERERDHFSQFITEGFTSYCKRKRRDKVYGNNVEIQALSEMYNRPIHIYSYSTEPINIFHGSYNTDTPPIRLSYHHGNHYNSLVNPRRSTIGAGLGFSCLQGASVDRDQIKAAIKAQQDQQINNALLAEGRFYSDLELTEKEIERMVMEASRAEYLADNKFKPQLGRKESSTAGAEPSSSSARSSASETSKVDGVREHGSQDTVLSSSMQMVLSMGFSYLQVIEAYSIFGDDVDSMVCYLLETGSSSRRKGKATE >Potri.018G105000.1.v4.1 pep chromosome:Pop_tri_v4:18:12441802:12442119:1 gene:Potri.018G105000.v4.1 transcript:Potri.018G105000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G105000.v4.1 MSPSSGLHKGFPNLALSILPRKCSEGISNHTIISSQIWEKQSSSQANTISKTYSFVTVIQQHNTRLWESMNNERIGTRLPQQARNQYQLLLGNLGGKNWQLPLLH >Potri.008G003000.1.v4.1 pep chromosome:Pop_tri_v4:8:109504:115823:1 gene:Potri.008G003000.v4.1 transcript:Potri.008G003000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G003000.v4.1 METMMKNFMKSHQTLFHPTKSSNTNNKNNYQYDGDGDGDGDDSTIPHLSPLAHSVLSRSSKILAVSVQELQRRFDVEHEADSQQLVKHTRIFLEFCCYRALHHIITTRSDYLSDKDFRRFTYDMMLAWDSPTRALPVNDSLQNETSISSGERQEEDEDGWSLFYSTTTSMAVQVDDTTTVGREAFARIAPACPAVADVITVHNLFDSLTTSSDSRLHFLIYDKYLRSLDKIIRSAKNTPGPLISNHQLAEGEIILDVDGTVPIQPVLQHIGISAWPGRLTLTNHAIYFESLGVGLYDKAVRYDLASDMKQVIKPELTGPLGARLFDKAVMYKSTSVAEPVYFEFPEFKGNSRRDYWLDVCLEILHAHRFIQRNNFNETQRLEVLARAILGIFRCRAVREALCCFSSHYKTLLAFKLAESLPRGDMILETLSSRLALLNATPVSGSPHAKQQLRLSPVALLTLCQLGFILQKEANLDVEVIAFGDLWAGETNPLEISVKQSMSDTGKAEAARATVDKVKVEGIDTNVAVMKELLFPVIESAGRLHHLASWEDPFKSMVFLVLSCCAILWGWTRYILPSIFVWCAVLMLVRSYVSKKMPLEAFRVTAPPNKNAVERLLTLQEAITEVEGLTQTANIVLLKLRAILLAVLPQATERVALLLVFVAAVLAFASLQHLILLVFLEAFTREMPYRKESSDRWLRRLREWWVRIPVAPVQLIKQDDKKWK >Potri.004G014636.1.v4.1 pep chromosome:Pop_tri_v4:4:997020:998740:1 gene:Potri.004G014636.v4.1 transcript:Potri.004G014636.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014636.v4.1 MPVRFSYQELYVATDNFNERLGRGGFGSVFKGKLGDGTQIAVKRLEKRGQGMSAFLAEAEAIGSLHHFNLVRLIGFCAEKSSRLLVFEYLSNGSLDNWIFTNVKKNFLDWQTRKKIILDIAKGLAYLHEDCRHTIIHLDVKPQNILLDSSFHAKIADFGLSKLINRDMSQVQISMRGTPGYLAPEWRQPLGRITVKVDIYSFGIVLLEIVCARRNADQSQPESAFHLLTMLQKKADHQDGVIDIVENLDEYTRSDREEITRMIKVAAWCLQDDPERRPLMSTVLKVLEGVMEVDSNINYRFSHAMISSPAGNNHISSAAPPASVLSNPR >Potri.009G064000.1.v4.1 pep chromosome:Pop_tri_v4:9:6511998:6515046:-1 gene:Potri.009G064000.v4.1 transcript:Potri.009G064000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064000.v4.1 MSGRKETVLDLAKFVDKGVQVKLTGGRQVTGTLKGYDQLLNLVLDEAVEFLRDADDPLKTTDQTRRLGLIVCRGTAVMLVSPTDGTDEIANPFVQPDGA >Potri.003G030700.1.v4.1 pep chromosome:Pop_tri_v4:3:3413939:3418918:1 gene:Potri.003G030700.v4.1 transcript:Potri.003G030700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030700.v4.1 MEKSLHALITSVFFFLLQFTSQCYASKDESYSRPPARNIIFTAHHGLESEAQQVHVSLVGRDHMRVTWITDDKHAPSTVEYGKQPGTYNAMATGDHTSYRYFFYSSGKIHHVKIGPLEPGTTYYYRCGGSGPELSFKTPPATLPLEFVVIGDLGQTGWTNSTLAHVNSRDYDVLLLPGDLSYADTNQPLWDSFGRLVEKYASQRPWMVTEGNHETEIFPIIQPHGFKAYNARWLMPYEESNSSSNLYYSFNVVGTHVIMLGSYTDFDEHSQQYKWLEADLGSIDRKKTPWVIVLLHAPWYNTNYAHQGEGESMRKAMEELLYKARVDVVFAGHVHAYERFTRIYDNKVDPCGPVYITIGDGGNREGLALTFQNPASPLSLYREASFGHGRLRIMDETRAHWSWHRNNDSNSFSADEVWLDSISTSTACWAIDDEKEPPKFIAKDEL >Potri.004G116200.2.v4.1 pep chromosome:Pop_tri_v4:4:10902838:10906966:1 gene:Potri.004G116200.v4.1 transcript:Potri.004G116200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G116200.v4.1 MSHGGGESGRLHEKVGHNGKRKSRASRDEFARAIGKIAVAQMCESMGFQSFQQSALETLTDVTTWYIRNIGKAAQLCANLAGRTEGNVFDVIQGLEELGLPQGFAGASDVDHCLASSGIVREIAQYIGDADDIPFAYSIPPFPVARERKPAPSFSQIGEEPPEEHIPAWLPAFPDPQTYAQLPEGNEGRADLNADNIESVRQHQKMDVSYMNLPQQFNCNGSEGPSSVAFGDSAKATQRTVSNPFLAAPLQFGVKEVSHVVPPAKLSDEAAVRYPVEQTRTMDNNMSVMKTFAPAIEAMKSRLCDSGEGQKKVFFNQRPAVQFKIGVGKNSLDGAPDLSLQNKGIKKISMWSGKDSENDDQKRRAEKILKQSMENPGELAQL >Potri.004G102700.1.v4.1 pep chromosome:Pop_tri_v4:4:9015118:9016941:1 gene:Potri.004G102700.v4.1 transcript:Potri.004G102700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102700.v4.1 MAMRSLTSKTRTLHSLFQSLCTSKSHSHRHPLSTLTPLNQIPPLPGLVNEISRILSDQRNPHHDLETSLNAFSSEISTNLVEQVLKRCKNLGFSAHRFFLWAKRIPGFEHSEESYHILVNILGTSRQFAILWDFLIEMRESHDFEINQEIIWLVFRSYSRANLPSDAIRAFDRMAEFGLRPSVDDLDKLLYVLCKCKHVKCAQQFFDRVKHKFEAKAKTYSILVRGWGDIGEAVEARKVFDEMLGRGCALDVLAYNSLLEALCKGGNVDEAYKMFREIGSHGVEPDACSYAIFIRAYCEANNIHSVFSVLDRMKRYDLVPNVFTYNCIIKKLCKNGKVEDAYQLLHEMMERGVSPDAWSYNTILAYHCEHSEVNRATKLISIMVKDNCLPDRHSYNMLLKLLVRVGRFDRATEIWESMGERGFYPSVSTYSVMIHGLCKKKGKLEEACRYFETMIDEGIPPYASTIEMLRNRLIGFGLLDHIEILACKMERGTSCSIQELAKEMRGNKACVRSRNEETELESE >Potri.002G246500.1.v4.1 pep chromosome:Pop_tri_v4:2:23736965:23740079:1 gene:Potri.002G246500.v4.1 transcript:Potri.002G246500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G246500.v4.1 MATHRSSRSGVGVSFRVLGSAVSLAVFLCLTVSLLFTAHSHSTTDTHGFSNVGYGLGSGRRSVLAMKSDPLKSRLDQIRKQADDHRSLAHAYASYARKLKLENSKLVRVFADLSRNYTDLINKPSYRALSESDSLSIDEATLRLFEKEVKERIKVTRQVIAEAKESFDNQLKIQKLKDTIFAVNEQLTKAKKQGAFSSLIAAKSIPKSLHCLAMRLMEERIAHPEKYNDEGKPPLPELEDPKLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKDYNGAHIEVKAVEDYKFLNSSYVPVLKQLESANLQKFYFENKLENATKDTTNMKFRNPKYLSILNHLRFYLPEMYPKLHRILFLDDDIVVQKDLTGLWKIDMDGKVNGAVETCFGSFHRYAQYMNFSHPLIKEKFNPKACAWAYGMNFFDLDAWRREKCTEEYHYWQNLNENRTLWKLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPSISMDEIQSAAVVHFNGNMKPWLDIAMTQFKPLWTKHVDYELEFVQACNFGL >Potri.001G254300.2.v4.1 pep chromosome:Pop_tri_v4:1:27049935:27058512:1 gene:Potri.001G254300.v4.1 transcript:Potri.001G254300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254300.v4.1 MEFVGKSVKKKFKGFGVFKGTVKSYDPSSGFFEVKYEDGDFEKLGFSDVASLVGEDKEAAVAAAAAAVVGPVDPKPRLGRKPKKRRRADPKKPESGGESGNSRVVEANGNLDMNRNVDLNGGFSGDLRENVDINVDLNETLEKGSGIVENLTEGVFDLNAGFNFDLNEEGEEGNHNNNNNNNHIHIHNHNHSNHSNLSVDFEGKKRECIDLNLDVSGDVDENIKEFDLECQAAETQKRECGFDLNLGIDEEIKDGMDDGFEGQVEEAPNFEIPRMGEVEKSHIESAIPNGKLEEVHVINDSCVELGGRIEELNMVSGEDFRACDSVGVMDVKDVKEDCPEVIDLTNGYKEESVSQRRGRSRRKFADNLNSIPDVTVLLDTNAVRDECLVESGSRRRGRRRKLADNLNSTLETIVLSDANAGGEVCTMGVDGNLGDVGSSCKEVSGSARKRKKPLGNGNSTQETTVLRRSARRGSTKNDMSNDISMSPVVSALMDEKPVKSHHEWPEEPVVLPPKLQLPPSSQSLDLSGIPVLDLFSVYACLRSFSTLLFLSPFGLEEFVAAVKGNSPSSLFDCIHVSILQTLRKHLENLSNEGSESASNCLRSLDWGLLDLVTWPVFMVEYLLIHGSGLKPGFDLSRLKLFRSDYHKQPVSVKVEILKCLCDDMIEAETIRSELNRRSSGTDPDMDFDRNVNLGGYKKRKTAMDVSGNSCLTEDAADDTNDWNSDECCLCKMDGNLICCDGCPAAYHAKCVGVANNYLPEGDWYCPECAIDWQKPWMKPRKLLRGAELLGVDPYNRLYFSSCGYLLVSDSCDTECSFNYYQRDHLSLVIEVLKSSEMIYGGILEAIHKHWDMHLYGASSSLSSLKHTTSLDMFIPPCPSASLDTCATKIKAADGQNLGKFVNGCCGHLDVEFSKSASLTCMSSEGSAETIQISSGNQNFQKEGPDCSNRFAGFPNESDVPGKSPLMGDNSMTSNSLDIKREKNPCPPPTRCPSSAGNAKAEVTLQVQPGTEYMNYYCFGHTSASIADVLLSKPSEKTTENSIKSDEEMALAQMKVILKKSNKFRWSSIPCLNAEVQKGKCGWCFSCRATTDEPDCLFNKSLGPIQEGTESEAIGLQSKRIRKGYLIDLIYHILLIEHRLQGLLLGPWLNPHYTKLWRKSILKASDIASVKHFLLKLEANVRRLALSADWVKYVDSGVTMGSSSHVVTTSSRASSKNGIGRKRARSTEFESKPCANSASGLSMFWWRGGRLSRRLFSWKVLPCSLISKAARQAGCMKIPGILYPENSDFAKRSKHVAWQAAVGSSTTAEQLALQVREFDSNIRWDEIENTHPLSMLDKELRKSFRLFKKVIIRRKCVEEEGAKYLLDFGKRRCIPEVVSKNGFMIEESSSERKKYWLNESYVPLHLLKSFEEKKIARRSSKISSGKLSDACAAVNKPLKKRGFSYLFARAERSEYHQCGHCKKDVLIREAVCCQLCKGSFHKRHARKSAGAIMAKCTYTCHRCHYGKNVKKTNAKTVNIDNKRGKNSKITKVQERKLKKATVDRNSVRLKNSKKALKGSRPILSRNNKKVTVVPLRRSARKAKQKALQNKKALGCKRGRPAKSKKGANKKPKKGTSLHRKRTDTYYSYWLNGLLLSRKPDDERVAHFREKRYIAQSDSVIDDQPKCHLCCEAGSTSISSYISCEMCGEWFHGDAFGLDAENINKLIGFRCHMCLEKTPPICPHAAATSHEFEIGEVQNDVEIDFPKEGTDSILHLEEDHSGILPVDESVHVEGQLGTGLDSNQSFASKSKLGAENGHALDNVMENSDAIQTSNENLKPDLITSSNENHMVK >Potri.001G254300.3.v4.1 pep chromosome:Pop_tri_v4:1:27053210:27058531:1 gene:Potri.001G254300.v4.1 transcript:Potri.001G254300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G254300.v4.1 MHLYGASSSLSSLKHTTSLDMFIPPCPSASLDTCATKIKAADGQNLGKFVNGCCGHLDVEFSKSASLTCMSSEGSAETIQISSGNQNFQKEGPDCSNRFAGFPNESDVPGKSPLMGDNSMTSNSLDIKREKNPCPPPTRCPSSAGNAKAEVTLQVQPGTEYMNYYCFGHTSASIADVLLSKPSEKTTENSIKSDEEMALAQMKVILKKSNKFRWSSIPCLNAEVQKGKCGWCFSCRATTDEPDCLFNKSLGPIQEGTESEAIGLQSKRIRKGYLIDLIYHILLIEHRLQGLLLGPWLNPHYTKLWRKSILKASDIASVKHFLLKLEANVRRLALSADWVKYVDSGVTMGSSSHVVTTSSRASSKNGIGRKRARSTEFESKPCANSASGLSMFWWRGGRLSRRLFSWKVLPCSLISKAARQAGCMKIPGILYPENSDFAKRSKHVAWQAAVGSSTTAEQLALQVREFDSNIRWDEIENTHPLSMLDKELRKSFRLFKKVIIRRKCVEEEGAKYLLDFGKRRCIPEVVSKNGFMIEESSSERKKYWLNESYVPLHLLKSFEEKKIARRSSKISSGKLSDACAAVNKPLKKRGFSYLFARAERSEYHQCGHCKKDVLIREAVCCQLCKGSFHKRHARKSAGAIMAKCTYTCHRCHYGKNVKKTNAKTVNIDNKRGKNSKITKVQERKLKKATVDRNSVRLKNSKKALKGSRPILSRNNKKVTVVPLRRSARKAKQKALQNKKALGCKRGRPAKSKKGANKKPKKGTSLHRKRTDTYYSYWLNGLLLSRKPDDERVAHFREKRYIAQSDSVIDDQPKCHLCCEAGSTSISSYISCEMCGEWFHGDAFGLDAENINKLIGFRCHMCLEKTPPICPHAAATSHEFEIGEVQNDVEIDFPKEGTDSILHLEEDHSGILPVDESVHVEGQLGTGLDSNQSFASKSKLGAENGHALDNVMENSDAIQTSNENLKPDLITSSNENHMVK >Potri.010G086800.3.v4.1 pep chromosome:Pop_tri_v4:10:11265433:11269207:-1 gene:Potri.010G086800.v4.1 transcript:Potri.010G086800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086800.v4.1 MESIVKNGHNKVSTTLKKPEVAVSHLREGIALLLSRWNGLQMAVQNEWGGHDSLQKSHQLVLDIFSWFSQSKGTLYAEDLENLLHESLLLSFNTEIEDGSIEEVAEQLMIMHEEYLNRNHYSKGSA >Potri.010G086800.5.v4.1 pep chromosome:Pop_tri_v4:10:11268103:11268950:-1 gene:Potri.010G086800.v4.1 transcript:Potri.010G086800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086800.v4.1 MESIVKNGHNKVSTTLKKPEVAVSHLREGIALLLSRWNGLQMAVQNEWGGHDSLQKSHQLVLDIFSWFSQSKGTLYAEDLENLLHESLLLSFNTEIEDGSIEEVAEQLMIMHEEYLNRNHYSKGSA >Potri.010G086800.4.v4.1 pep chromosome:Pop_tri_v4:10:11266611:11269207:-1 gene:Potri.010G086800.v4.1 transcript:Potri.010G086800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086800.v4.1 MESIVKNGHNKVSTTLKKPEVAVSHLREGIALLLSRWNGLQMAVQNEWGGHDSLQKSHQLVLDIFSWFSQSKGTLYAEDLENLLHESLLLSFNTEIEDGSIEEVAEQLMIMHEEYLNRNHYSKGSA >Potri.004G019766.1.v4.1 pep chromosome:Pop_tri_v4:4:1408516:1408896:1 gene:Potri.004G019766.v4.1 transcript:Potri.004G019766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G019766.v4.1 MNNKVSWDLFSVILTTNLIFKSNDFYVNSDQFGPPSPASLSSIWNHSCAAHFLALTVSAVSFMQIPQVQEFLVLYNFSIPILRVRSLWWPKEMKVGRHISRYYYCLSLITPQKSMMSILKTGCSEV >Potri.015G099000.2.v4.1 pep chromosome:Pop_tri_v4:15:11924860:11929341:1 gene:Potri.015G099000.v4.1 transcript:Potri.015G099000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G099000.v4.1 MDGEELTEQETALYDRQIRVWGADAQRRLSKSHILVYGMKGTITEFCKNIVLAGVGSLTLVDDRAVTEEALSANFLMPPDENACSGKTLAELCRDSLNEFNPMVRVSVEKGDLASFGVEFFDKFDVVVISFCSLATKKLINERCRKLSKRVSFYTVDCRDCCGEIFVDLQKYNYAKKRLDGTTECELQYPSFQEAISVPWRSLPRKVSKLYLAMRVIERFEEDEGRKPGEICIEDLPAVLKLKKELCEAQSLNESHIPNALLERLVMGAREFPPVCAIIGGILGQEVIKAISGKGDPLKNFFFFDSVDGKGIIEDISDPNPKG >Potri.017G138100.2.v4.1 pep chromosome:Pop_tri_v4:17:13932241:13936198:1 gene:Potri.017G138100.v4.1 transcript:Potri.017G138100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138100.v4.1 MIKTLPESTTSLQNLQTLDLRYCGELIQLPKGMKHMKSLVYLDITACDSLQFMPCGMGQLICLRKLTMFIVGGENGRRISELESLNNLAGELSIAYLVNVKNLEDAKSANLELKTALLSLTLSWNGNRTKSVIQENSEEVLEGLQPHSNLKKLMIWGYGGSRFPNWMMNLNMTLPNLVEMELSACPNCEQLPPLGKLQLLKNLVLRGMDGVKSIDTNVYGDGQNPFPSLETLICKYMEGLEQWAACTFPRLQELEIVGCPLLNEIPIIPSLKKLDIRRCNASSSMSVRNLSSITSLHIEEIDDVRELPDGFLQNHTLLESLEIGGMPDLESLSNRVLDNLFALKSLNIWYCGKLGSLPEEGLRNLNSLESLYIRGCGRLNCLPMDGLCGLSSLRKLVVGSCDKFTSLSEGVRHLTALEDLHLDGCPELNSLPESIQHLTSLQYLSIWGCPNLKKRCEKDLGEDWPKIAHIPNIRIR >Potri.017G138100.1.v4.1 pep chromosome:Pop_tri_v4:17:13932233:13936181:1 gene:Potri.017G138100.v4.1 transcript:Potri.017G138100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138100.v4.1 MADAILSALASTIMGNLNSPILQELGLAGGLTTELENLKRTFRNIQAVLQDAEEKQWKSEPIKVWLSDLKDAAYVVDDVLDEFAIEVQWLLQRRDLKNRVRSFFSSKHNPLVFRQRIAHKLKNVREKLDVIAKERQNFHLTEGAVEMEADSFVQRQTWSSVNESEIYGRGKEKEELINMLLTTSGDLPIYAIWGMGGIGKTTLVQLVFNEESVKQQFSLRIWVCVSTDFDLRRLTRAIIESIDGASGDLQELDPLQRCLQQKLNGKKFLLVLDDVWDDYDDRWNKLKEVLRCGAKGSAVIVTTRIEMVTHRMATAFVKHMGRLSEEDSWQLFQQLAFGMRRKEERAHLEAIGVSIVKKCGGVPLAIKALGNLMWLKESEDEWKKVKESEIWDLKEEASRILSALRLSYTNLSPHLKQCFAFCAIFPKDRVMGREELVALWMANGFISCRKEMDLHVMGIEIFNELVGRSFLQEVQDDGFGNITCKMHDLMHDLAQSIAEQECYMTEGDGKLEIPKTVRHVAFYNKSVAFYNKSVASSSEVLKVLSLRSLLLRNDALWNEWGKFPGRKHRALRLRNVRVQKFPKSICDLKHLRYLDVSFSMIKTLPESTTSLQNLQTLDLRYCGELIQLPKGMKHMKSLVYLDITACDSLQFMPCGMGQLICLRKLTMFIVGGENGRRISELESLNNLAGELSIAYLVNVKNLEDAKSANLELKTALLSLTLSWNGNRTKSVIQENSEEVLEGLQPHSNLKKLMIWGYGGSRFPNWMMNLNMTLPNLVEMELSACPNCEQLPPLGKLQLLKNLVLRGMDGVKSIDTNVYGDGQNPFPSLETLICKYMEGLEQWAACTFPRLQELEIVGCPLLNEIPIIPSLKKLDIRRCNASSSMSVRNLSSITSLHIEEIDDVRELPDGFLQNHTLLESLEIGGMPDLESLSNRVLDNLFALKSLNIWYCGKLGSLPEEGLRNLNSLESLYIRGCGRLNCLPMDGLCGLSSLRKLVVGSCDKFTSLSEGVRHLTALEDLHLDGCPELNSLPESIQHLTSLQYLSIWGCPNLKKRCEKDLGEDWPKIAHIPNIRIR >Potri.001G019985.1.v4.1 pep chromosome:Pop_tri_v4:1:1525798:1527549:1 gene:Potri.001G019985.v4.1 transcript:Potri.001G019985.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G019985.v4.1 MENRGRMGHLSPMIHAIAICLVATSVVAYEPYYYKSPPPPSQSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPQPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPLPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPQYHYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYTSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSPPPPKKSPPPPYHYSSSPPPKKSPPSTIPLHIPTSSKEVSTTSIPLLISSPSKEVSPSTISLYIPTSSEEVSTTSIQILISTPSKEISPSTIPLHISTSSKEISPSSITITTTSILL >Potri.018G036200.1.v4.1 pep chromosome:Pop_tri_v4:18:2791718:2792813:-1 gene:Potri.018G036200.v4.1 transcript:Potri.018G036200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G036200.v4.1 MSKNRSLILAGHPALIGRHRPFPVSPQAAERWLHHMQKAMDSTPDIDDDSKTKMMIYFRHAAFFLVAGDEFKNQNGRVACKHGASK >Potri.002G059300.11.v4.1 pep chromosome:Pop_tri_v4:2:4092639:4101096:1 gene:Potri.002G059300.v4.1 transcript:Potri.002G059300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059300.v4.1 MGSESKHEGGVMQIPGASKKVVQNIKEIVNKNCTDAEIYSVLCDFNMDADAAVQNLLNQDPFHQVKSKRERRKEMKETQESMARGSNNGYHGVKAGGEYNFGLVPCQISDNDLGKAAYRKENGSVAHPGPSSTLIYRVKLKNEQPSSNNDSCNPDDSRQTKATGTGDTILSSAQLSSGTQAAWSGGTTGHVSMADIVRMGRPRSKGSQNMMDTSCTPQDVVGSVNSSQYCHKSSCDSSPSPPEMHKCLQYPHPSQVPETIHESGVAASSHDEWPVFEQQTAADHQLEEVQASDRDAANKNPGSYCAESAFSCRGQENVNTVVGDSHRGDCLLKDKTYDSRSCMDDHCEGTGSGFHLRFPNCAAPLNDEVSSAAVNLQQLSLGKEEPALPPSEDNHAVVFPDYMQAFAADWSHLSFGTYKSGAYNAVSGASIASTPVKTNLEETSAAANSSSTLCKEIRNPEHLDEYLRDEQLRSISNTHRFTAGVGINNMHVYSQQELMRQNIHEVSHRHKYTEPSSVPDSNFKKTQERDCPLSVRIHPQARNLSSLHMELQARATTIPMDMFASSIQSSRGSDYASSFLGTQSMPSRFDSTVSSTGNPAISQSEIPSRVAFSLPMSYSPTLPSANIVPQTTLPQHPSTNLHNQSIVSLEELANLTGYPAMPRNYARNPSAFQQAYQDSTVFHDSLSNMGYSHAQYKTGVSRSNLPLSDVNISGYGGLGIPANFPGAVLQAAAPTGSAGGYDIFHSQYQERNNFTTRQQNDGSSRTMAALLDNGYLSLTGQSQPLSEYPQGQQLSQDYGAPFHHANAYHSQAGIRPEQPRQSLSDLSSSQGPAPEQLQHLWQQSYLSSN >Potri.002G059300.10.v4.1 pep chromosome:Pop_tri_v4:2:4092579:4101086:1 gene:Potri.002G059300.v4.1 transcript:Potri.002G059300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059300.v4.1 MGSESKHEGGVMQIPGASKKVVQNIKEIVNKNCTDAEIYSVLCDFNMDADAAVQNLLNQDPFHQVKSKRERRKEMKETQESMARGSNNGYHGVKAGGEYNFGLVPCQISDNDLGKAAYRKENGSVAHPGPSSTLIYRVKLKNEQPSSNNDSCNPDDSRQTKATGTGDTILSSAQLSSGTQAAWSGGTTGHVSMADIVRMGRPRSKGSQNMMDTSCTPQDVVGSVNSSQYCHKSSCDSSPSPPEMHKCLQYPHPSQVPETIHESGVAASSHDEWPVFEQQTAAGGLYNFNVSNSSSTDIFSNQSYFYGDGTNSNEDHQLEEVQASDRDAANKNPGSYCAESAFSCRGQENVNTVVGDSHRGDCLLKDKTYDSRSCMDDHCEGTGSGFHLRFPNCAAPLNDEVSSAAVNLQQLSLGKEEPALPPSEDNHAVVFPDYMQAFAADWSHLSFGTYKSGAYNAVSGASIASTPVKTNLEETSAAANSSSTLCKEIRNPEHLDEYLRDEQLRSISNTHRFTAGVGINNMHVYSQQELMRQNIHEVSHRHKYTEPSSVPDSNFKKTQERDCPLSVRIHPQARNLSSLHMELQARATTIPMDMFASSIQSSRGSDYASSFLGTQSMPSRFDSTVSSTGNPAISQSEIPSRVAFSLPMSYSPTLPSANIVPQTTLPQHPSTNLHNQSIVSLEELANLTGYPAMPRNYARNPSAFQQAYQDSTVFHDSLSNMGYSHAQYKTGVSRSNLPLSDVNISGYGGLGIPANFPGAVLQAAAPTGSAGGYDIFHSQYQERNNFTTRQQNDGSSRTMAALLDNGYLSLTGQSQPLSEYPQGQQLSQDYGAPFHHANAYHSQAGIRPEQPRQSLSDLSSSQGPAPEQLQHLWQQSYLSSN >Potri.002G059300.5.v4.1 pep chromosome:Pop_tri_v4:2:4092639:4101236:1 gene:Potri.002G059300.v4.1 transcript:Potri.002G059300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059300.v4.1 MGSESKHEGGVMQIPGASKKVVQNIKEIVNKNCTDAEIYSVLCDFNMDADAAVQNLLNQDPFHQVKSKRERRKEMKETQESMARGSNNGYHGVKAGGEYNFGLVPCQISDNDLGKAAYRKENGSVAHPGPSSTLIYRVKLKNEQPSSNNDSCNPDDSRQTKATGTGDTILSSAQLSSGTQAAWSGGTTGHVSMADIVRMGRPRSKGSQNMMDTSCTPQDVVGSVNSSQYCHKSSCDSSPSPPEMHKCLQYPHPSQVPETIHESGVAASSHDEWPVFEQQTAADHQLEEVQASDRDAANKNPGSYCAESAFSCRGQENVNTVVGDSHRGDCLLKDKTYDSRSCMDDHCEGTGSGFHLRFPNCAAPLNDEVSSAAVNLQQLSLGKEEPALPPSEDNHAVVFPDYMQAFAADWSHLSFGTYKSGAYNAVSGASIASTPVKTNLEETSAAANSSSTLCKEIRNPEHLDEYLRDEQLRSISNTHRFTAGVGINNMHVYSQQELMRQNIHEVSHRHKYTEPSSVPDSNFKKTQERDCPLSVRIHPQARNLSSLHMELQARATTIPMDMFASSIQSSRGSDYASSFLGTQSMPSRFDSTVSSTGNPAISQSEIPSRVAFSLPMSYSPTLPSANIVPQTTLPQHPSTNLHNQSIVSLEELANLTGYPAMPRNYARNPSAFQQAYQDSTVFHDSLSNMGYSHAQYKTGVSRSNLPLSDVNISGYGGLGIPANFPGAVLQAAAPTGSAGGYDIFHSQYQERNNFTTRQQNDGSSRTMAALLDNGYLSLTGQSQPLSEYPQGQQQRSHDHRSLLHTRNYQQGQQLSQDYGAPFHHANAYHSQAGIRPEQPRQSLSDLSSSQGPAPEQLQHLWQQSYLSSN >Potri.002G059300.9.v4.1 pep chromosome:Pop_tri_v4:2:4092580:4101180:1 gene:Potri.002G059300.v4.1 transcript:Potri.002G059300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059300.v4.1 MGSESKHEGGVMQIPGASKKVVQNIKEIVNKNCTDAEIYSVLCDFNMDADAAVQNLLNQDPFHQVKSKRERRKEMKETQESMARGSNNGYHGVKAGGEYNFGLVPCQISDNDLGKAAYRKENGSVAHPGPSSTLIYRVKLKNEQPSSNNDSCNPDDSRQTKATGTGDTILSSAQLSSGTQAAWSGGTTGHVSMADIVRMGRPRSKGSQNMMDTSCTPQDVVGSVNSSQYCHKSSCDSSPSPPEMHKCLQYPHPSQVPETIHESGVAASSHDEWPVFEQQTAAGGLYNFNVSNSSSTDIFSNQSYFYGDGTNSNEDHQLEEVQASDRDAANKNPGSYCAESAFSCRGQENVNTVVGDSHRGDCLLKDKTYDSRSCMDDHCEGTGSGFHLRFPNCAAPLNDEVSSAAVNLQQLSLGKEEPALPPSEDNHAVVFPDYMQAFAADWSHLSFGTYKSGAYNAVSGASIASTPVKTNLEETSAAANSSSTLCKEIRNPEHLDEYLRDEQLRSISNTHRFTAGVGINNMHVYSQQELMRQNIHEVSHRHKYTEPSSVPDSNFKKTQERDCPLSVRIHPQARNLSSLHMELQARATTIPMDMFASSIQSSRGSDYASSFLGTQSMPSRFDSTVSSTGNPAISQSEIPSRVAFSLPMSYSPTLPSANIVPQTTLPQHPSTNLHNQSIVSLEELANLTGYPAMPRNYARNPSAFQQAYQDSTVFHDSLSNMGYSHAQYKTGVSRSNLPLSDVNISGYGGLGIPANFPGAVLQAAAPTGSAGGYDIFHSQYQERNNFTTRQQNDGSSRTMAALLDNGYLSLTGQSQPLSEYPQGQQQRSHDHRSLLHTRNYQQGQQLSQDYGAPFHHANAYHSQAGIRPEQPRQSLSDLSSSQGPAPEQLQHLWQQSYLSSN >Potri.002G059300.8.v4.1 pep chromosome:Pop_tri_v4:2:4092580:4101094:1 gene:Potri.002G059300.v4.1 transcript:Potri.002G059300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G059300.v4.1 MGSESKHEGGVMQIPGASKKVVQNIKEIVNKNCTDAEIYSVLCDFNMDADAAVQNLLNQDPFHQVKSKRERRKEMKETQESMARGSNNGYHGVKAGGEYNFGLVPCQISDNDLGKAAYRKENGSVAHPGPSSTLIYRVKLKNEQPSSNNDSCNPDDSRQTKATGTGDTILSSAQLSSGTQAAWSGGTTGHVSMADIVRMGRPRSKGSQNMMDTSCTPQDVVGSVNSSQYCHKSSCDSSPSPPEMHKCLQYPHPSQVPETIHESGVAASSHDEWPVFEQQTAAGGLYNFNVSNSSSTDIFSNQSYFYGDGTNSNEDHQLEEVQASDRDAANKNPGSYCAESAFSCRGQENVNTVVGDSHRGDCLLKDKTYDSRSCMDDHCEGTGSGFHLRFPNCAAPLNDEVSSAAVNLQQLSLGKEEPALPPSEDNHAVVFPDYMQAFAADWSHLSFGTYKSGAYNAVSGASIASTPVKTNLEETSAAANSSSTLCKEIRNPEHLDEYLRDEQLRSISNTHRFTAGVGINNMHVYSQQELMRQNIHEVSHRHKYTEPSSVPDSNFKKTQERDCPLSVRIHPQARNLSSLHMELLLDNMVYGDNIVCLHLFLFKLMQARATTIPMDMFASSIQSSRGSDYASSFLGTQSMPSRFDSTVSSTGNPAISQSEIPSRVAFSLPMSYSPTLPSANIVPQTTLPQHPSTNLHNQSIVSLEELANLTGYPAMPRNYARNPSAFQQAYQDSTVFHDSLSNMGYSHAQYKTGVSRSNLPLSDVNISGYGGLGIPANFPGAVLQAAAPTGSAGGYDIFHSQYQERNNFTTRQQNDGSSRTMAALLDNGYLSLTGQSQPLSEYPQGQQQRSHDHRSLLHTRNYQQGQQLSQDYGAPFHHANAYHSQAGIRPEQPRQSLSDLSSSQGPAPEQLQHLWQQSYLSSN >Potri.018G106400.1.v4.1 pep chromosome:Pop_tri_v4:18:12525505:12529361:-1 gene:Potri.018G106400.v4.1 transcript:Potri.018G106400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G106400.v4.1 MIITSSVATWKDSGSSSNYPLCVMALYHSKLRASSLKMEPFTVSYDQRSEFLKLSYLHSFQHSNVKVRHSLNPRITRTRLHEPHALVISNEHPQNADFPRNYSRKEKKPFPIPIVELRRAARERLKKSKGQPKGRVPPPKKGLIVQSLLPLAYDVFNARITLINNLRKLLKVVPVHACGWCDEIHVGLEGHPFKSCKGKRATLRNGLHQWTNAAIEDVLVPVEAYHLYDRLGKRITHEERFSILQIPAVMELCIQAGVHIPEYPTKRRRKPIIRIGKREFADADESDLPEPLLEVPLKPLLTEISISEAVAPANEEEKTLLAEETLRAWEKMRKGAKRLMQMYRVRACGYCPEVHVGPSGHKAQNCGAHKHQQRNGQHGWQSAVLDDLIPPRYVWHVPDVVGLPLRRELRNFYGQAPAVVEICFQAGAAVPDQYKSTMRLDIGIPSSVKEAEMAV >Potri.003G206000.6.v4.1 pep chromosome:Pop_tri_v4:3:20498420:20503768:1 gene:Potri.003G206000.v4.1 transcript:Potri.003G206000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206000.v4.1 MWASTHNETLKKKMSAVVSALSACQVKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTLADNAQALKMVKWMVDYFYNRVRNVITNYSVERHYLSLNEETGGMNDVLYKLFSITGDPKHLVLAHLFDKPCFLGLLAVQADDISGFHANTHIPVVIGAQMRYEITGDPLYKDIGAFFMDVVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEMAYADYYERALTNGVLGIQRGTEPGVMIYMLPQYPGSSKAKSYHGWGTSYDSFWCCYGTGIESFSKLGDSIYFEEGEAPGLYIIQYISSSLDWKSGQIVLSQKVDPIVSSDPYLRVTLTFSPKKGTSQASTLYLRIPIWTNSEGATATINSQSLRLPAPGSFLSVNRKWRSSDKLTLQIPISLRTEAIKDERHEYASVQAILYGPYLLAGHTSGDWNLKSGSGNSLSDSITPIPGSYNGQLVSFSQESGISTFVLTNSNQSISMEKLPESGTDASLQATFRLVFKDSSSSKLSSVKDVIGKSVMLEPFHLPGMLLVQQGKDRSFTLTNSADDDGSSIFRVVSGLDGKDGTVSLESGIQNGCYVYSGVDYKSGQSMKLSCKSGSSSDTGFNQGASFVMNKGLSQYHPISFVAKGDKRNFLLAPLHSLRDESYTIYFNIQP >Potri.003G206000.1.v4.1 pep chromosome:Pop_tri_v4:3:20498413:20503896:1 gene:Potri.003G206000.v4.1 transcript:Potri.003G206000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206000.v4.1 MQMEKEMNGLLVLAMVSMLCSFGISKECTNIPTQLSSHSFRYELLSSQNETWKEEMFEHYHLIPTDDSAWSSLLPRKILREEDEHSWEMMYRNLKSPLKSSGNFLNEMSLHNVRLDPSSIHWKAQQTNLEYLLMLDVNNLVWSFRKTAGSSTPGKAYGGWEKPDSELRGHFVGHYLSASAQMWASTHNETLKKKMSAVVSALSACQVKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTLADNAQALKMVKWMVDYFYNRVRNVITNYSVERHYLSLNEETGGMNDVLYKLFSITGDPKHLVLAHLFDKPCFLGLLAVQADDISGFHANTHIPVVIGAQMRYEITGDPLYKDIGAFFMDVVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEMAYADYYERALTNGVLGIQRGTEPGVMIYMLPQYPGSSKAKSYHGWGTSYDSFWCCYGTGIESFSKLGDSIYFEEGEAPGLYIIQYISSSLDWKSGQIVLSQKVDPIVSSDPYLRVTLTFSPKKGTSQASTLYLRIPIWTNSEGATATINSQSLRLPAPGSFLSVNRKWRSSDKLTLQIPISLRTEAIKDERHEYASVQAILYGPYLLAGHTSGDWNLKSGSGNSLSDSITPIPGSYNGQLVSFSQESGISTFVLTNSNQSISMEKLPESGTDASLQATFRLVFKDSSSSKLSSVKDVIGKSVMLEPFHLPGMLLVQQGKDRSFTLTNSADDDGSSIFRVVSGLDGKDGTVSLESGIQNGCYVYSGVDYKSGQSMKLSCKSGSSSDTGFNQGASFVMNKGLSQYHPISFVAKGDKRNFLLAPLHSLRDESYTIYFNIQP >Potri.003G206000.5.v4.1 pep chromosome:Pop_tri_v4:3:20498568:20503796:1 gene:Potri.003G206000.v4.1 transcript:Potri.003G206000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G206000.v4.1 MWASTHNETLKKKMSAVVSALSACQVKMGTGYLSAFPSELFDRFEAIKPVWAPYYTIHKILAGLLDQYTLADNAQALKMVKWMVDYFYNRVRNVITNYSVERHYLSLNEETGGMNDVLYKLFSITGDPKHLVLAHLFDKPCFLGLLAVQADDISGFHANTHIPVVIGAQMRYEITGDPLYKDIGAFFMDVVNSSHSYATGGTSVSEFWSDPKRLASTLQTENEESCTTYNMLKVSRHLFRWTKEMAYADYYERALTNGVLGIQRGTEPGVMIYMLPQYPGSSKAKSYHGWGTSYDSFWCCYGTGIESFSKLGDSIYFEEGEAPGLYIIQYISSSLDWKSGQIVLSQKVDPIVSSDPYLRVTLTFSPKKGTSQASTLYLRIPIWTNSEGATATINSQSLRLPAPGSFLSVNRKWRSSDKLTLQIPISLRTEAIKDERHEYASVQAILYGPYLLAGHTSGDWNLKSGSGNSLSDSITPIPGSYNGQLVSFSQESGISTFVLTNSNQSISMEKLPESGTDASLQATFRLVFKDSSSSKLSSVKDVIGKSVMLEPFHLPGMLLVQQGKDRSFTLTNSADDDGSSIFRVVSGLDGKDGTVSLESGIQNGCYVYSGVDYKSGQSMKLSCKSGSSSDTGFNQGASFVMNKGLSQYHPISFVAKGDKRNFLLAPLHSLRDESYTIYFNIQP >Potri.009G086850.3.v4.1 pep chromosome:Pop_tri_v4:9:8099123:8100160:-1 gene:Potri.009G086850.v4.1 transcript:Potri.009G086850.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086850.v4.1 MGAGAEDRRHFQITGSGTEGSEGVRDFLLKRPAVLMMNRAGSFFSDCTVQFS >Potri.009G086850.2.v4.1 pep chromosome:Pop_tri_v4:9:8099123:8100160:-1 gene:Potri.009G086850.v4.1 transcript:Potri.009G086850.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086850.v4.1 MGAGAEDRRHFQITGSGTEGSEGVRDFLLKRPAVLMMNRAGSFFSDCTVQFS >Potri.009G086850.1.v4.1 pep chromosome:Pop_tri_v4:9:8099122:8099740:-1 gene:Potri.009G086850.v4.1 transcript:Potri.009G086850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086850.v4.1 MGAGAEDRRHFQITGSGTEGSEGVRDFLLKRPAVLMMNRAGSFFSDCTVQFS >Potri.001G274000.1.v4.1 pep chromosome:Pop_tri_v4:1:28772672:28774190:-1 gene:Potri.001G274000.v4.1 transcript:Potri.001G274000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G274000.v4.1 MALEISNCCFFMSAPTTPSGFNLDDNMCFYSAPTSPTKGTSIATYDLESMPTTPKTYEDANSNLDDFEFETSRRFNIGDIDSGGSMRYEDAMEEQQKHQHKESLPAMAFADELFCDGKVIPLKPPPCHNHSSTPTSPESQMAKIKFSFPRRNVWNDDFDPFMVALKTVKGERKEKWQKINHTRARSMSPIRARSELMDCTHKQCKQLDRIRPDLNNQLELNGLPTRIWIPNVTNASPNRLAESKGVLFARKARLMKIDLEWPRKPNKTTLQESMLKAGKNAKEKGEPSERKSKRQSMKNFLYRSLSTRRNDEDRKQTEQTVEVAKPETKRKLSFKSMGLVVRNGEKGGSQITKVTQTRYKPKLSLCMGNGAKYVE >Potri.009G058200.1.v4.1 pep chromosome:Pop_tri_v4:9:6084603:6091619:-1 gene:Potri.009G058200.v4.1 transcript:Potri.009G058200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G058200.v4.1 MDSPSRSSVIIIGAGVSGISAGKVLAENGIEDMIILEASDRIGGRIRKENFGGVSAELGAGWIAGVGGKESNPVWELASQSGLRTCFSDYSNARYNIYDRSGKIFPSGVAADSYKKAVDSAIEKLRSLEANLDGEVSEQPCSPKTPIELAIDFILHDFEMAEVEPISTYVDFGEREFLVADDRGYEHLLYKMAENFLFTSEGKILDNRLKLNKVVRELQHSRNGVVVKTEDGCVYEANYVILSASIGVLQSDLISFRPPLPRWKTEAIEKCDVMIYTKIFLKFPYKFWPCGPGKEFFIYAHERRGYYTFWQHMENAYPGSNILVVTLTNGESKRVEAQSDEETLEEAMGVLRDMFGPNIPNATDILVPRWWNNRFQRGSYSNYPIISDNQDVHYIKAPVGRIFFTGEHTSERFSGYVHGGYLAGIDTSKSLLEEMRKQKERKSESQAFLLEPLLALTGSLTLTQTEAVPSLHKCDIPTQLYLGGKVGLQEAIL >Potri.005G036500.1.v4.1 pep chromosome:Pop_tri_v4:5:2345104:2348589:1 gene:Potri.005G036500.v4.1 transcript:Potri.005G036500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G036500.v4.1 MGDIEESVKQEKKVVFVTVGTTLFDALVRTVDTKEVKQELLRNGYTHLIIQMGRGSYTPAKSEGKDGSLAVDYFTFSPSIADHLRSASLVISHAGSGSIFETLQLGKPLIVVVNEDLMDNHQSELAEELAERKHLYCAHPQTLHQTISDMNIESLLPYPPGDAAAVAKLINRFLGFPDD >Potri.019G025800.1.v4.1 pep chromosome:Pop_tri_v4:19:3737077:3738160:-1 gene:Potri.019G025800.v4.1 transcript:Potri.019G025800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025800.v4.1 MRSVHFLLAFVLLTLASSIASASDPSPLQDFCVAINDPKAAVFVNGKFCKDPKLATANDFSFSGLNIPRDTGNRVGSNVTLLNVDQIPGLNTLGISLARIDYAPNGGLNPPHTHPRATEILVVVEGTLYVGFVTSNPDNRFISKVLYPGDVFVFPFGLIHFQLNIAKTPAVVFAGLSSQNPGTITIANAVFGSDPLINPDVLAKAFHLDIKIVNYLQKLFGGNSE >Potri.009G123800.6.v4.1 pep chromosome:Pop_tri_v4:9:10312764:10317480:-1 gene:Potri.009G123800.v4.1 transcript:Potri.009G123800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123800.v4.1 MLFILMQLLEAEITRFSHLCDRASDMGHRKELRECVEKLQLLKTPEERQRRLEEIPEIHADPNMDPSHESDEDESETEDKRQENSLRRRGSGFSRRGREQISPRKGGFASNDTWGGSRSYSSMNREPSRNMTDKGFSNEGDDFGAGEAANENLWGQGREKPTQQSQSWEMPKTASNASQARNSTVISESVPRVAPEISPATPSTVVAQSTAAKVNEAEKIWHYKDPSGKNQGPFSMVQLRKWSNTGYFPADLRIWRNTETKDDSILLTDALSGNFQSDPPAVDNSFPKTQLVQSPHLPSSYTGNIAQAAPVPVEVPKYSTDRWGSGTNLPSPTPGQTATSLMKGQVFESQVTPTQSQPVGSVLGANQSSGDNVEQQHATVISGTPKVSHGVSPVPKLETGMLPSSSNAPQMHSQSMLTGESPKVLVNSHLHSALDTTGASVNAAVDIRSLQNLVLPVTSGNSHVGTHGWAGSISRPEMNASHAAVTGTGSQAWGSTQSHKAEANNLVSMPSQPSTYGNWSNAPTSVQNPTSSLTTGNPSGFSPVTGTGTNPWRAPVPGPSNIQPSAPSSRPWGMGITENQSTTPRQGSENQNTGWGAIPGNQNMGWGVSLPANSNQCWVAPGQVPATGNVKPVWVAPVQGQAPGNANPGWGAPVQGQAPGNAFSGWGPSGQGSVPTSANTAWVPLSQGPPPPGNANTNWAVPTGNAGTWGSDMNQIGDRFSSPKERGSHGGDSGHGGGKPWNRQSSFGRSGDSPRPSFKGQRVCKYHEHGHCKKGSSCDYLHT >Potri.009G123800.2.v4.1 pep chromosome:Pop_tri_v4:9:10312711:10324872:-1 gene:Potri.009G123800.v4.1 transcript:Potri.009G123800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123800.v4.1 METEEKGNSINLSSSSIKLENESNLTTTITTATTDTESVPELHESQLNGADTELQQQPQPPQSQTETTVLDKSDAQDFVLGESQSVSLHTLVVEGGEGEEVAEATEESEEIEAPQEDVEKEVENRDLVAKEERNEDVNGNANAGNNEMETETGTEGVADVAAKLETLSQEVETVEAEVTEVKEKLEVAENMEKKDAVEEKKEDADVVDKVEMDNVVEEAETVKVKEEEEGAEKTGISGVGGEVEAVEQKEVTDFVEEGKAEKTEVADGAGEMEAVELIEMTEIVEEENVEKMEANEEEEKQPAQQVEMTDIAEETREDEKNEMTDIEEMKVAEKIETTDVAGGMEEVINEEGEMKETEKIDVAEEGDKEEDTKVEMAEKENEAEDMLDEMEGEMKETEMIDVAEEGDKEEDAKVEMAEKENEAEDMLDEMEGAEEEVEKGGTSGGGGKRKRQKNAKAPSRATSKKKTEEDVCFICFDGGELVLCDRRGCPKAYHPSCVNRDEAFFRAKGRWNCGWHLCSNCEKNAYYMCYTCTFSLCKGCIKDAVILCVRGNKGFCETCMKTVMLIERNEQGNKETGQVDFDDKSSWEFLFKDYWTDLKERLSLTPEELAQAKNPWKGSDSHAGKQELADEFCDVHNGGSGSGPDSSENAEVTTSKRRKPKKRLRSRAKERDSPGSSSWAGGESADESVEWASKELLEFVMHVKNGDKSACSQFDVQALLLEYIKRNKLRDPHRKSQIICDSRLENLFGKPRVGHFEMLKLLESHYLLKDDSQADDLQGSVVDTEANQLEADGNSDALMKASKDKRRRSRKKGEGRGLQSNIDDYAAIDMHNINLIYLRRSLLEDLIEDTEAFYNKVVGSFVRIRISGSAQKQDLYRLVQIIGTSKAAEPYRVGKKMTNFMLEILNLNKTELVSIDIISNQEFTEDECKRLRQSIKCGLIHRLTVGDIQEKAMAIQAVRVHDLLEAEITRFSHLCDRASDMGHRKELRECVEKLQLLKTPEERQRRLEEIPEIHADPNMDPSHESDEDESETEDKRQENSLRRRGSGFSRRGREQISPRKGGFASNDTWGGSRSYSSMNREPSRNMTDKGFSNEGDDFGAGEAANENLWGQGREKPTQQSQSWEMPKTASNASQARNSTVISESVPRVAPEISPATPSTVVAQSTAAKVNEAEKIWHYKDPSGKNQGPFSMVQLRKWSNTGYFPADLRIWRNTETKDDSILLTDALSGNFQSDPPAVDNSFPKTQLVQSPHLPSSYTGNIAQAAPVPVEVPKYSTDRWGSGTNLPSPTPGQTATSLMKGQVFESQVTPTQSQPVGSVLGANQSSGDNVEQQHATVISGTPKVSHGVSPVPKLETGMLPSSSNAPQMHSQSMLTGESPKVLVNSHLHSALDTTGASVNAAVDIRSLQNLVLPVTSGNSHVGTHGWAGSISRPEMNASHAAVTGTGSQAWGSTQSHKAEANNLVSMPSQPSTYGNWSNAPTSVQNPTSSLTTGNPSGFSPVTGTGTNPWRAPVPGPSNIQPSAPSSRPWGMGITENQSTTPRQGSENQNTGWGAIPGNQNMGWGVSLPANSNQCWVAPGQVPATGNVKPVWVAPVQGQAPGNANPGWGAPVQGQAPGNAFSGWGPSGQGSVPTSANTAWVPLSQGPPPPGNANTNWAVPTGNAGTWGSDMNQIGDRFSSPKERGSHGGDSGHGGGKPWNRQSSFGRSGDSPRPSFKGQRVCKYHEHGHCKKGSSCDYLHT >Potri.009G123800.7.v4.1 pep chromosome:Pop_tri_v4:9:10312888:10316462:-1 gene:Potri.009G123800.v4.1 transcript:Potri.009G123800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123800.v4.1 MDPSHESDEDESETEDKRQENSLRRRGSGFSRRGREQISPRKGGFASNDTWGGSRSYSSMNREPSRNMTDKGFSNEGDDFGAGEAANENLWGQGREKPTQQSQSWEMPKTASNASQARNSTVISESVPRVAPEISPATPSTVVAQSTAAKVNEAEKIWHYKDPSGKNQGPFSMVQLRKWSNTGYFPADLRIWRNTETKDDSILLTDALSGNFQSDPPAVDNSFPKTQLVQSPHLPSSYTGNIAQAAPVPVEVPKYSTDRWGSGTNLPSPTPGQTATSLMKGQVFESQVTPTQSQPVGSVLGANQSSGDNVEQQHATVISGTPKVSHGVSPVPKLETGMLPSSSNAPQMHSQSMLTGESPKVLVNSHLHSALDTTGASVNAAVDIRSLQNLVLPVTSGNSHVGTHGWAGSISRPEMNASHAAVTGTGSQAWGSTQSHKAEANNLVSMPSQPSTYGNWSNAPTSVQNPTSSLTTGNPSGFSPVTGTGTNPWRAPVPGPSNIQPSAPSSRPWGMGITENQSTTPRQGSENQNTGWGAIPGNQNMGWGVSLPANSNQCWVAPGQVPATGNVKPVWVAPVQGQAPGNANPGWGAPVQGQAPGNAFSGWGPSGQGSVPTSANTAWVPLSQGPPPPGNANTNWAVPTGNAGTWGSDMNQIGDRFSSPKERGSHGGDSGHGGGKPWNRQSSFGRSGDSPRPSFKGQRVCKYHEHGHCKKGSSCDYLHT >Potri.009G123800.3.v4.1 pep chromosome:Pop_tri_v4:9:10312612:10321002:-1 gene:Potri.009G123800.v4.1 transcript:Potri.009G123800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123800.v4.1 MKTVMLIERNEQGNKETGQVDFDDKSSWEFLFKDYWTDLKERLSLTPEELAQAKNPWKGSDSHAGKQELADEFCDVHNGGSGSGPDSSENAEVTTSKRRKPKKRLRSRAKERDSPGSSSWAGGESADESVEWASKELLEFVMHVKNGDKSACSQFDVQALLLEYIKRNKLRDPHRKSQIICDSRLENLFGKPRVGHFEMLKLLESHYLLKDDSQADDLQGSVVDTEANQLEADGNSDALMKASKDKRRRSRKKGEGRGLQSNIDDYAAIDMHNINLIYLRRSLLEDLIEDTEAFYNKVVGSFVRIRISGSAQKQDLYRLVQIIGTSKAAEPYRVGKKMTNFMLEILNLNKTELVSIDIISNQEFTEDECKRLRQSIKCGLIHRLTVGDIQEKAMAIQAVRVHDLLEAEITRFSHLCDRASDMGHRKELRECVEKLQLLKTPEERQRRLEEIPEIHADPNMDPSHESDEDESETEDKRQENSLRRRGSGFSRRGREQISPRKGGFASNDTWGGSRSYSSMNREPSRNMTDKGFSNEGDDFGAGEAANENLWGQGREKPTQQSQSWEMPKTASNASQARNSTVISESVPRVAPEISPATPSTVVAQSTAAKVNEAEKIWHYKDPSGKNQGPFSMVQLRKWSNTGYFPADLRIWRNTETKDDSILLTDALSGNFQSDPPAVDNSFPKTQLVQSPHLPSSYTGNIAQAAPVPVEVPKYSTDRWGSGTNLPSPTPGQTATSLMKGQVFESQVTPTQSQPVGSVLGANQSSGDNVEQQHATVISGTPKVSHGVSPVPKLETGMLPSSSNAPQMHSQSMLTGESPKVLVNSHLHSALDTTGASVNAAVDIRSLQNLVLPVTSGNSHVGTHGWAGSISRPEMNASHAAVTGTGSQAWGSTQSHKAEANNLVSMPSQPSTYGNWSNAPTSVQNPTSSLTTGNPSGFSPVTGTGTNPWRAPVPGPSNIQPSAPSSRPWGMGITENQSTTPRQGSENQNTGWGAIPGNQNMGWGVSLPANSNQCWVAPGQVPATGNVKPVWVAPVQGQAPGNANPGWGAPVQGQAPGNAFSGWGPSGQGSVPTSANTAWVPLSQGPPPPGNANTNWAVPTGNAGTWGSDMNQIGDRFSSPKERGSHGGDSGHGGGKPWNRQSSFGRSGDSPRPSFKGQRVCKYHEHGHCKKGSSCDYLHT >Potri.009G123800.4.v4.1 pep chromosome:Pop_tri_v4:9:10312860:10324078:-1 gene:Potri.009G123800.v4.1 transcript:Potri.009G123800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123800.v4.1 MEAVELIEMTEIVEEENVEKMEANEEEEKQPAQQVEMTDIAEETREDEKNEMTDIEEMKVAEKIETTDVAGGMEEVINEEGEMKETEKIDVAEEGDKEEDTKVEMAEKENEAEDMLDEMEGEMKETEMIDVAEEGDKEEDAKVEMAEKENEAEDMLDEMEGAEEEVEKGGTSGGGGKRKRQKNAKAPSRATSKKKTEEDVCFICFDGGELVLCDRRGCPKAYHPSCVNRDEAFFRAKGRWNCGWHLCSNCEKNAYYMCYTCTFSLCKGCIKDAVILCVRGNKGFCETCMKTVMLIERNEQGNKETGQVDFDDKSSWEFLFKDYWTDLKERLSLTPEELAQAKNPWKGSDSHAGKQELADEFCDVHNGGSGSGPDSSENAEVTTSKRRKPKKRLRSRAKERDSPGSSSWAGGESADESVEWASKELLEFVMHVKNGDKSACSQFDVQALLLEYIKRNKLRDPHRKSQIICDSRLENLFGKPRVGHFEMLKLLESHYLLKDDSQADDLQGSVVDTEANQLEADGNSDALMKASKDKRRRSRKKGTSKAAEPYRVGKKMTNFMLEILNLNKTELVSIDIISNQEFTEDECKRLRQSIKCGLIHRLTVGDIQEKAMAIQAVRVHDLLEAEITRFSHLCDRASDMGHRKELRECVEKLQLLKTPEERQRRLEEIPEIHADPNMDPSHESDEDESETEDKRQENSLRRRGSGFSRRGREQISPRKGGFASNDTWGGSRSYSSMNREPSRNMTDKGFSNEGDDFGAGEAANENLWGQGREKPTQQSQSWEMPKTASNASQARNSTVISESVPRVAPEISPATPSTVVAQSTAAKVNEAEKIWHYKDPSGKNQGPFSMVQLRKWSNTGYFPADLRIWRNTETKDDSILLTDALSGNFQSDPPAVDNSFPKTQLVQSPHLPSSYTGNIAQAAPVPVEVPKYSTDRWGSGTNLPSPTPGQTATSLMKGQVFESQVTPTQSQPVGSVLGANQSSGDNVEQQHATVISGTPKVSHGVSPVPKLETGMLPSSSNAPQMHSQSMLTGESPKVLVNSHLHSALDTTGASVNAAVDIRSLQNLVLPVTSGNSHVGTHGWAGSISRPEMNASHAAVTGTGSQAWGSTQSHKAEANNLVSMPSQPSTYGNWSNAPTSVQNPTSSLTTGNPSGFSPVTGTGTNPWRAPVPGPSNIQPSAPSSRPWGMGITENQSTTPRQGSENQNTGWGAIPGNQNMGWGVSLPANSNQCWVAPGQVPATGNVKPVWVAPVQGQAPGNANPGWGAPVQGQAPGNAFSGWGPSGQGSVPTSANTAWVPLSQGPPPPGNANTNWAVPTGNAGTWGSDMNQIGDRFSSPKERGSHGGDSGHGGGKPWNRQSSFGRSGDSPRPSFKGQRVCKYHEHGHCKKGSSCDYLHT >Potri.009G123800.5.v4.1 pep chromosome:Pop_tri_v4:9:10312732:10318054:-1 gene:Potri.009G123800.v4.1 transcript:Potri.009G123800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123800.v4.1 MAIQAVRVHDLLEAEITRFSHLCDRASDMGHRKELRECVEKLQLLKTPEERQRRLEEIPEIHADPNMDPSHESDEDESETEDKRQENSLRRRGSGFSRRGREQISPRKGGFASNDTWGGSRSYSSMNREPSRNMTDKGFSNEGDDFGAGEAANENLWGQGREKPTQQSQSWEMPKTASNASQARNSTVISESVPRVAPEISPATPSTVVAQSTAAKVNEAEKIWHYKDPSGKNQGPFSMVQLRKWSNTGYFPADLRIWRNTETKDDSILLTDALSGNFQSDPPAVDNSFPKTQLVQSPHLPSSYTGNIAQAAPVPVEVPKYSTDRWGSGTNLPSPTPGQTATSLMKGQVFESQVTPTQSQPVGSVLGANQSSGDNVEQQHATVISGTPKVSHGVSPVPKLETGMLPSSSNAPQMHSQSMLTGESPKVLVNSHLHSALDTTGASVNAAVDIRSLQNLVLPVTSGNSHVGTHGWAGSISRPEMNASHAAVTGTGSQAWGSTQSHKAEANNLVSMPSQPSTYGNWSNAPTSVQNPTSSLTTGNPSGFSPVTGTGTNPWRAPVPGPSNIQPSAPSSRPWGMGITENQSTTPRQGSENQNTGWGAIPGNQNMGWGVSLPANSNQCWVAPGQVPATGNVKPVWVAPVQGQAPGNANPGWGAPVQGQAPGNAFSGWGPSGQGSVPTSANTAWVPLSQGPPPPGNANTNWAVPTGNAGTWGSDMNQIGDRFSSPKERGSHGGDSGHGGGKPWNRQSSFGRSGDSPRPSFKGQRVCKYHEHGHCKKGSSCDYLHT >Potri.015G031900.4.v4.1 pep chromosome:Pop_tri_v4:15:2455509:2461298:1 gene:Potri.015G031900.v4.1 transcript:Potri.015G031900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G031900.v4.1 MAMVQSPKAATSSSPSLILTSGASGRIRALFSVQALKSLLVLINAFFLLLLVPFRGRRRTVVSAGVSARGSSSSSSFGDQKSKDDRLLQESGVHRTKLRVPATVVPWKSAGGSGVTAVVDSEVGGRRAIAIKRALQEDDPNTIREFSLFVSARSDTIFTQSWTSVSVKIRGLVVLMHGLNEHSGRYSDFAKKLNANGFKVYGMDWIGHGGSDGLHGYVHSLDYAVDDLKSFLDKVLSENPGLPCYCFGHSTGAAIVLKAVMDPKVEARVSGVVFTSPAVGIQPSHPFVVLLAPVISFLLPKFQLSTSNKKGMPVSRDPEALVAKYSDPLVYTGFLRVKTGYEILRITAYLQQNLKRLRVPFLVLHGAADTVTDPDASRKLYEEASSTDKTIKLLEGFLHDLLFEPERDEIMKDIIDWLNCRV >Potri.001G387400.1.v4.1 pep chromosome:Pop_tri_v4:1:40636937:40640909:-1 gene:Potri.001G387400.v4.1 transcript:Potri.001G387400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G387400.v4.1 MGCAGSSQAKADGSAKKIRKPKPWKHPQPITKSQLLQMREEFWDTSPHYGGRKEIWDALQAAAEAELSLAQAIVDSAGVIIQNADLTVCYDERGAKYELPKYVLSEPTNLIRET >Potri.008G209100.2.v4.1 pep chromosome:Pop_tri_v4:8:15996236:16000057:1 gene:Potri.008G209100.v4.1 transcript:Potri.008G209100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G209100.v4.1 MSQESFIYSFVARGTMILAEYTEFTGNFPAIATQCLQKLPSSNDKFTYNCDHHTFNFLVEDGYAYCVVAKETVSKQISIAFLERMKADFKKRYGGGKADTAAAKSLNKEFGPIMKEHMKYIIDHAEEIEKLIKVKAQVSEVKSIMLGNIDKAIDRGEAIATLADKTETLRDQAQAYKKQGTQIRRKMWYQNMKIKLVVLGVLLILVLIIWLSICHGFDCSN >Potri.006G233800.1.v4.1 pep chromosome:Pop_tri_v4:6:23628963:23635007:-1 gene:Potri.006G233800.v4.1 transcript:Potri.006G233800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233800.v4.1 MKRGKDYDKIMGPMFPRLHVNDTDKGGPRAPPRNKMALYEQLSIPSQRFNPDVLPRNSSNTSDLAPTGSSSQGSGLERHFPYPHYVPSPTPTDVAEKYHSRQPDGRNLNTPVAPLMQRKKVGEEDDFTVPVFVHSGKGQGQTKMQTSAAQEKLASLCPTYLGHSSRIQNAGDNGHIGSTGLNLRPDTSNQSEDNLEVCVSSSDHIARHSTNLRTREKNDIPEEGNASQNQQYRNNLVSNFTRLHENDTCLQQETSARLQSNHSEHGDHVPESRRQKEKINIFQPGNDSHLRKDCSSPNEPEIDSECFGDKTCGSLQFRNGDKSNDASETSMVDSVSVLDISPDDVVGIIGQKHFWKARRAIANQQRVFSVQLFELHRLIKVQQLIAGSPHVLLEEEVHLAKPPMKGSPCKNLPSECAVTPPVHVAKHKDNSENPNHKMECFAENAVGKTPFASVKNGQPPNFGPHAGPTTVPMASDTKMAPWCFHPPPGLQWLVPVMSPSEGFVYKPYTAPGFMGSGCGGCGPFGPIPLTDNFMTSAYAIPTSHYHQGIGVSPGAPPVGNACFAPYGMPGMNPAISGSAGSGSCGQTAQFPGGILSSNMPHQSSCNERTQKSEAVLEGMKLRASKNTSVQGSTGSSPSGRVQGVGTVQAADGRAAFPPFPVTPPCPEGAPQHQETDQLSKVIKVVPHNGRSATESVARIFQSIQEGRKQYDSL >Potri.001G423700.2.v4.1 pep chromosome:Pop_tri_v4:1:45109224:45112215:-1 gene:Potri.001G423700.v4.1 transcript:Potri.001G423700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423700.v4.1 MRVSWVSNDKSTLPMVEYGTSPGRYSNKSQGESTSYSYLFYSSGKIHHTIIGPLEDNTVYYYRCGGGGPEYKLKTPPAQFPVMFAVAGDLGQTGWTKSTLDHIDLCKYDVHLLPGDLSYADYIQHRWDTFGELVEPLASARPWMVTQGNHEKESIMFFKDGFQSYNSRWKMPYEESGSSSNLYYSFEVAGAHIIMLGSYTDYDEHSDQYNWLKADVAKVDRKKTPWLIVLFHVPWYNSNEAHQDEGDRMLAAMEPLLHAASVDIVLAGHVHAYERTERVNKGKLDPCGAVHITIGDGGNREGLASKYKNPQPAWSVFREASFGHGELKLANSTHAYWSWHRNDDDESVRSDQVWITSLENSGCIAEKKHELMKILSGP >Potri.001G423700.1.v4.1 pep chromosome:Pop_tri_v4:1:45109125:45112433:-1 gene:Potri.001G423700.v4.1 transcript:Potri.001G423700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423700.v4.1 MEPKLVLFVFLLISAAATCEYIRPPPRKTLHFPWNSKLSSHPQQVHISLAGDKHMRVSWVSNDKSTLPMVEYGTSPGRYSNKSQGESTSYSYLFYSSGKIHHTIIGPLEDNTVYYYRCGGGGPEYKLKTPPAQFPVMFAVAGDLGQTGWTKSTLDHIDLCKYDVHLLPGDLSYADYIQHRWDTFGELVEPLASARPWMVTQGNHEKESIMFFKDGFQSYNSRWKMPYEESGSSSNLYYSFEVAGAHIIMLGSYTDYDEHSDQYNWLKADVAKVDRKKTPWLIVLFHVPWYNSNEAHQDEGDRMLAAMEPLLHAASVDIVLAGHVHAYERTERVNKGKLDPCGAVHITIGDGGNREGLASKYKNPQPAWSVFREASFGHGELKLANSTHAYWSWHRNDDDESVRSDQVWITSLENSGCIAEKKHELMKILSGP >Potri.002G079000.1.v4.1 pep chromosome:Pop_tri_v4:2:5530196:5532972:1 gene:Potri.002G079000.v4.1 transcript:Potri.002G079000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G079000.v4.1 MGRGKIEIKRIENSSNRQVTYSKRRSGIIKKAKEITVLCDAQVSLVIFASSGRMHEYCSPSTTVVDLLDKYHKQSGKRLWDAKHENLSNEIDRIKKENESMQIELRHLKGQDISSLPHKELMAIEEALDTGLAAVRKKQMEFHSMLEQNEKILDEEFKHLQFVLQQQEMAMEENAMEMENAYHQQRVRDYNSQVPLAFRVQPIQPNLQERM >Potri.001G469300.1.v4.1 pep chromosome:Pop_tri_v4:1:49319978:49325668:-1 gene:Potri.001G469300.v4.1 transcript:Potri.001G469300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G469300.v4.1 MRITVMTVGEQIISLEVDPHESVENVKALLEVETQVPLQQQQLLYNGREMKNNDKLSALGVKDEDLVMMVSNSAAISAPSNNLGLNPDGSAVNPGAFQQHLRSDSNTMAQLFQADPELAQAVLGNDLNKLQELLRQRHRQRSELRRQQEEEFALLEADPFDVEAQKKIEAAIRQKGIDENWAAALEYNPEAFARVIMLYVDMEVNGVPLKAFVDSGAQSTIISKSCAERCGLLRLLDQRYKGIAHGVGQSEILGRIHVAPIKIGNIFYPCSFMVLDAPNMEFLFGLDMLRKHQCIIDLKENVLRMGGGEVSVPFLQEKDIPSRFLDEERYSKEASSSGNPVTSGTTVKKNDPPAGGQSSGVARGGATQGPDFEAKVAKLVELGFGREAVIQALRLFDGNEEQAAGFLFGG >Potri.003G126800.1.v4.1 pep chromosome:Pop_tri_v4:3:14628829:14632295:-1 gene:Potri.003G126800.v4.1 transcript:Potri.003G126800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126800.v4.1 MREILHIQAGQCGNQIGGKFWEVVCDEHGIDPTGNYTGNSHVQLERVNVYYNEASGGRYVPRAVLMDLEPGTMDSLRTGPYGKIFRPDNFVFGQNGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQICHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTNPSFGDLNHLISTTMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTIPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPTGLAMSSTFMGNSTSIQEMFRRVSEQFTVMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDAAADNDDEYDEEEIVEN >Potri.002G200600.3.v4.1 pep chromosome:Pop_tri_v4:2:16352568:16356764:-1 gene:Potri.002G200600.v4.1 transcript:Potri.002G200600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200600.v4.1 MGMQARNGNDRLRTIWTPEMDRYFIDLLLEQVSNGNKFDDHLFSKKAWKHMTYLFNAKFKFQCEKDVLKNRHKTLRNLYKAVKKLLEYKGFSWDENRQMVTADNNDWDEYIKIHPDARAFRIKTIPYYSDLCLIYGDPTVEKKGDNVPESLPLPLPSENEEVTTTQPNIGSEGVAEILQEITIDEDYRICVSEVVDDSVTKGVGDDVQEAAPNITGSMASNRTRTYWQPPMDRYFIDLMLEQWQKGNQIDGVFRKQAWMDMIASFNARFGFNYDVDVLKNRFKTLRRQHNVIKNLLELDGFTWDDARQMVTADDYVWQDYIKTHTDARQFMTRPIPYYKDLCTICSDQDSDERDCFSAQYAELQNDFKIAKSCGMPQSSQSPAASNSSGDEVGGISDPAHTASKSSAPTQKNKRRLENHSNSAPPKKPRGDEDGMASALREMATAVSSLSDKRKDENSNSNSIEKVIEAIQSLPEMDEDLVLDACDLLEDELKAKTFMALDVKLRKKWLLRKLRPES >Potri.002G200600.1.v4.1 pep chromosome:Pop_tri_v4:2:16350555:16356782:-1 gene:Potri.002G200600.v4.1 transcript:Potri.002G200600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200600.v4.1 MGMQARNGNDRLRTIWTPEMDRYFIDLLLEQVSNGNKFDDHLFSKKAWKHMTYLFNAKFKFQCEKDVLKNRHKTLRNLYKAVKKLLEYKGFSWDENRQMVTADNNDWDEYIKIHPDARAFRIKTIPYYSDLCLIYGDPTVEKKGDNVPESLPLPLPSENEEVTTTQPNIGSEGVAEILQEITIDEDYRICVSEVVDDSVTKGVGDDVQEAAPNITGSMASNRTRTYWQPPMDRYFIDLMLEQWQKGNQIDGVFRKQAWMDMIASFNARFGFNYDVDVLKNRFKTLRRQHNVIKNLLELDGFTWDDARQMVTADDYVWQDYIKTHTDARQFMTRPIPYYKDLCTICSDQDSDERDCFSAQYAELQNDFKIAKSCGMPQSSQSPAASNSSGDEVGGISDPAHTASKSSAPTQKNKRRLENHSNSAPPKKPRGDEDGMASALREMATAVSSLSDKRKDENSNSNSIEKVIEAIQSLPEMDEDLVLDACDLLEDELKAKTFMALDVKLRKKWLLRKLRPES >Potri.010G130400.1.v4.1 pep chromosome:Pop_tri_v4:10:14697703:14699899:1 gene:Potri.010G130400.v4.1 transcript:Potri.010G130400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G130400.v4.1 MALRIFHTLCVVFWLSLLLLVFHELCNFKSKINSTKDQINNISKSSTFSYRPFLTRKVVARKFDFTPFQKHHQQPMPEEEGHKKRARSEIDPRYGVEKRLVPTGPNPLHH >Potri.010G024900.5.v4.1 pep chromosome:Pop_tri_v4:10:3649752:3652990:-1 gene:Potri.010G024900.v4.1 transcript:Potri.010G024900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G024900.v4.1 MDLSSTKLDYYHDMWKLQSKATLLSFFKGEDGRNALILDSTIFHPQGGGQLADTGVITIAADSSFKFIVQDVRSKDGIVYHYGVIEEGSGKDFEMEVVRGGEVFLFVDEARRKLNSRLHSAGHLMDACLEEVGLGHLEPGKGYHFPDGPFVEYKGTIPQNELQSKQHELELAANALISRGGKVSAAVLPYEEAAELCGGFLPDYIPKDSTPRVVKLGNSAVCCGGTHVSDISEIISLQVSQIRKKK >Potri.005G192400.9.v4.1 pep chromosome:Pop_tri_v4:5:20002005:20007234:1 gene:Potri.005G192400.v4.1 transcript:Potri.005G192400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192400.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHFRGKSHGSACELLDQPVVIQDSPWAGLPPELLRDVIKRLEASESTWPARKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGPRDGTVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRSRRTTCTEYVISMDADNISKSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAHVTYELNVLGTRGPRRMHCTMHSIPVSSLEPGGSVPGQPELLPCSLEDSFRSISFSKSIDNSTEFSSSRFSNIVGPREEDEEGKERPLVLRNKSPRWHEQLQCWCLNFRGRVSVASVKNFQLVAATQPAAGAPTPSQPAQSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.005G192400.11.v4.1 pep chromosome:Pop_tri_v4:5:20005153:20006829:1 gene:Potri.005G192400.v4.1 transcript:Potri.005G192400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192400.v4.1 MDADNISKSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAHVTYELNVLGTRGPRRMHCTMHSIPVSSLEPGGSVPGQPELLPCSLEDSFRSISFSKSIDNSTEFSSSRFSNIVGPREEDEEGKERPLVLRNKSPRWHEQLQCWCLNFRGRVSVASVKNFQLVAATQPAAGAPTPSQPAQSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.005G192400.5.v4.1 pep chromosome:Pop_tri_v4:5:20002004:20007265:1 gene:Potri.005G192400.v4.1 transcript:Potri.005G192400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192400.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHFRGKSHGSACELLDQPVVIQDSPWAGLPPELLRDVIKRLEASESTWPARKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGPRDGTVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRSRRTTCTEYVISMDADNISKSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAHVTYELNVLGTRGPRRMHCTMHSIPVSSLEPGGSVPGQPELLPCSLEDSFRSISFSKSIDNSTEFSSSRFSNIVGPREEDEEGKERPLVLRNKSPRWHEQLQCWCLNFRGRVSVASVKNFQLVAATQPAAGAPTPSQPAQSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.005G192400.1.v4.1 pep chromosome:Pop_tri_v4:5:20001864:20007264:1 gene:Potri.005G192400.v4.1 transcript:Potri.005G192400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192400.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHFRGKSHGSACELLDQPVVIQDSPWAGLPPELLRDVIKRLEASESTWPARKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGPRDGTVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRSRRTTCTEYVISMDADNISKSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAHVTYELNVLGTRGPRRMHCTMHSIPVSSLEPGGSVPGQPELLPCSLEDSFRSISFSKSIDNSTEFSSSRFSNIVGPREEDEEGKERPLVLRNKSPRWHEQLQCWCLNFRGRVSVASVKNFQLVAATQPAAGAPTPSQPAQSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.005G192400.6.v4.1 pep chromosome:Pop_tri_v4:5:20001916:20007248:1 gene:Potri.005G192400.v4.1 transcript:Potri.005G192400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192400.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHFRGKSHGSACELLDQPVVIQDSPWAGLPPELLRDVIKRLEASESTWPARKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGPRDGTVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRSRRTTCTEYVISMDADNISKSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAHVTYELNVLGTRGPRRMHCTMHSIPVSSLEPGGSVPGQPELLPCSLEDSFRSISFSKSIDNSTEFSSSRFSNIVGPREEDEEGKERPLVLRNKSPRWHEQLQCWCLNFRGRVSVASVKNFQLVAATQPAAGAPTPSQPAQSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.005G192400.8.v4.1 pep chromosome:Pop_tri_v4:5:20001971:20007235:1 gene:Potri.005G192400.v4.1 transcript:Potri.005G192400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192400.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHFRGKSHGSACELLDQPVVIQDSPWAGLPPELLRDVIKRLEASESTWPARKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGPRDGTVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRSRRTTCTEYVISMDADNISKSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAHVTYELNVLGTRGPRRMHCTMHSIPVSSLEPGGSVPGQPELLPCSLEDSFRSISFSKSIDNSTEFSSSRFSNIVGPREEDEEGKERPLVLRNKSPRWHEQLQCWCLNFRGRVSVASVKNFQLVAATQPAAGAPTPSQPAQSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.005G192400.10.v4.1 pep chromosome:Pop_tri_v4:5:20002010:20007144:1 gene:Potri.005G192400.v4.1 transcript:Potri.005G192400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192400.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHFRGKSHGSACELLDQPVVIQDSPWAGLPPELLRDVIKRLEASESTWPARKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGPRDGTVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRSRRTTCTEYVISMDADNISKSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAHVTYELNVLGTRGPRRMHCTMHSIPVSSLEPGGSVPGQPELLPCSLEDSFRSISFSKSIDNSTEFSSSRFSNIVGPREEDEEGKERPLVLRNKSPRWHEQLQCWCLNFRGRVSVASVKNFQLVAATQPAAGAPTPSQPAQSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.005G192400.7.v4.1 pep chromosome:Pop_tri_v4:5:20002029:20007245:1 gene:Potri.005G192400.v4.1 transcript:Potri.005G192400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G192400.v4.1 MSFRSIVRDMRDGFGSLSRRSFEVRLPGHFRGKSHGSACELLDQPVVIQDSPWAGLPPELLRDVIKRLEASESTWPARKHVVACASVCRAWREMCKEIVRSPEFSGKITFPVSLKQPGPRDGTVQCFIKRDKSNLTYHLFLCLSPALLVENGKFLLSAKRSRRTTCTEYVISMDADNISKSSSTYIGKLRSNFLGTKFIIYDTQPPYNNAQLAPPGRSRRFYSKKVSPKVPTGSYNIAHVTYELNVLGTRGPRRMHCTMHSIPVSSLEPGGSVPGQPELLPCSLEDSFRSISFSKSIDNSTEFSSSRFSNIVGPREEDEEGKERPLVLRNKSPRWHEQLQCWCLNFRGRVSVASVKNFQLVAATQPAAGAPTPSQPAQSDHDKVILQFGKVGKDMFTMDYRYPLSAFQAFAICLSSFDTKLACE >Potri.004G034000.1.v4.1 pep chromosome:Pop_tri_v4:4:2627064:2627607:1 gene:Potri.004G034000.v4.1 transcript:Potri.004G034000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G034000.v4.1 MLEGKAVVGETDMLQTMQQDALHLAAKALDIFDVTESTDIARFIKKDFDRVHGPGWQCIVGMDFGSFVTHYHGCFIHFCIGNLAILLFKGLGREVVSSTGDC >Potri.010G000200.1.v4.1 pep chromosome:Pop_tri_v4:10:28051:29190:1 gene:Potri.010G000200.v4.1 transcript:Potri.010G000200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G000200.v4.1 MGVFAFSDEFTSPVPPARLFKALILDFGNLLPKLLPQLIKSVEFTQGNGEAGSIRQISFQDGIGLRSVTNRVEAVDPENFSYSYSLIEGEGLLDKMETVVYEVQFVPGPDGGSINKMKSTYHTKGDIVLTEEEVKEGKEKALGMYKAVEAYLLQNPEAYA >Potri.019G078800.7.v4.1 pep chromosome:Pop_tri_v4:19:11957628:11961319:-1 gene:Potri.019G078800.v4.1 transcript:Potri.019G078800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078800.v4.1 MDGPPVNDFCSICHGHFNIACQANCSHWFCGDCIMLVWHHGSVLQPCKCPLCRRQITLLVPGEASLRERSDPDVAEVLGKIERYNHLFGGNTSGLIQRMQDLPFLLRRLLREIMDPQRSLPLVIRARVYIAVVLSAIYVISPIDIIPEGILGIVGLLDDLLVVLICFLHVAAIYRAVLHYRHGGS >Potri.010G169200.4.v4.1 pep chromosome:Pop_tri_v4:10:17134363:17138118:1 gene:Potri.010G169200.v4.1 transcript:Potri.010G169200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169200.v4.1 MSDHVVLDVDQLIRPASTAPAEESDKAIVKEADVGPSCSRANDGIDDGISGEEEPLIQGAECRICQEEDSVSNLENPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPRPPHSEDTGIDIGGGWTISGTQLDLRDPRLLAIAEAERHFLEAEYDDYAASNASGAAFCRSVALILMALLLLRHALTATDSDGEDDVSAFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQQRGLQFTIAPGPTVTPHQEPV >Potri.010G169200.1.v4.1 pep chromosome:Pop_tri_v4:10:17134361:17140866:1 gene:Potri.010G169200.v4.1 transcript:Potri.010G169200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169200.v4.1 MSDHVVLDVDQLIRPASTAPAEESDKAIVKEADVGPSCSRANDGIDDGISGEEEPLIQGAECRICQEEDSVSNLENPCACSGSLKYAHRKCVQHWCNEKGDITCEICHQPYQPGYTAPPRPPHSEDTGIDIGGGWTISGTQLDLRDPRLLAIAEAERHFLEAEYDDYAASNASGAAFCRSVALILMALLLLRHALTATDSDGEDDVSAFFSLFLLRAAGFLLPCYIMAWAISILQRRRQRQEAAALAATQVAFVLQSGQQRGLQFTIAPGPTVTPHQEPV >Potri.009G140800.1.v4.1 pep chromosome:Pop_tri_v4:9:11262283:11265477:-1 gene:Potri.009G140800.v4.1 transcript:Potri.009G140800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G140800.v4.1 MGEVVDANSTPVTPRPNVNSTPVTPRPASVSPTPPISAPPSQFHSPSLSRSPLLTPDHIVPSKTPRNSTPRNATPRLRTPRFMTPLGSPLRRALQLTKLDPQDAWLPITESRNGNAWYAAFHCLCSGIGFQALVLPVSFTVLGWAWGIIALTVAFAWQLYTFYLLVQLHENTETGIRYSRYLQIMSANFGEKKAKWLGLFPILYLSIGTCVALNIIGGSTSKLFFQTVCGQSCTVKTLTPVEWYLVFASAAVLLSQLPNLNSIAGVSLIGSITAVVYCTIMWMVSVNKDRLPGITYKPVRGPKEVDRLFEVLNSLGIIAFAFRGHNLVLEIQATMPSSEKHPSRVPMWKGAKAAYAVIAACLFPLAIGGFWAYGQRIPKNGGLQSAFYAYRRNDTSEFIMGLVSLLIIINALSSFQIYAMPMFDELESIFTKRMKKPCQWWLRIILRAFFGYGVFFLAVAIPSIGSVGGLVGGISLPVTLAYPCFMWLRMKKPKKYGKMWYLNWSLGITGLILSVSFMAAGVYVIKENDSKFEWFKPK >Potri.003G205400.1.v4.1 pep chromosome:Pop_tri_v4:3:20441032:20445871:-1 gene:Potri.003G205400.v4.1 transcript:Potri.003G205400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G205400.v4.1 METKNKEMVSKMDDYGLIEQIGRGIFGAAFLVLHKFENKRYVLKKIRLAKQTEKFKQTAYQEMNLISKLNNPYIVEYKDSWVEKESYVCIVTSYCAGGDMAQMIKKARGTYLPEEKLCKWLTQLLLAVDYLHSNRVLHRDLKCSNIFLTKDGNIQLGDFGLAKLLNKEDLASTIVGTPKYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAHDMAGLINKINRSSISPLPAAYSSTLKQLIKTMLRKSPEHRPTAAELLRHPHLQPYLAKCQNLSLVFLPVKSEYSFLDKPKGTRLPNKSSVHKNNIGLKASPSKGCRCFKQDAFEQKVAASDLYNSAEKTESANSETSSASIARTHPEDEKTEIASKKSQIVQEKLCGAGQASMEFKNASLGICKRMEKLSEDSTGYVGLSGYKKASASAMGDKTGHDMELEPKFCKLPAVTEMKSTPSKPPCGNDVGQKEMNRTPSDISLISSLTSLHGDEIKIEWNPQSLQRADALESLLEICANLLRQERYEELSGVLGPFSEEAVSSRETAIWLTKSLMKLEKNGNGAA >Potri.008G174600.1.v4.1 pep chromosome:Pop_tri_v4:8:12084243:12086859:1 gene:Potri.008G174600.v4.1 transcript:Potri.008G174600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174600.v4.1 MDNTCLNTPNMFQTSGPDHDFFARRCIWVNGPVIVGAGPSGLAVGSGLRGQGVPFVMLERANCIASLWQNRTYDRLKLHIPKQFCQLPSFPFPEDFPEYPTKYQFINYLESYAKHFNINPHFNETVQSAKYDETFGLWRVKTVSTSSSNPSEVEYICRWLVVATGENAEKFLPEFEGLQDFGGHVMHACDYKSGESYHGERVLVVGCGNSGMEVSLDLCNHNASPSMVVRSSVHVLPREILGRSTFELAVTMMKWLPLWMVDKILLLFAWLILGNLEKYGLTRPCLGPLQLKNTQGKTPVLDIGALEKIRSGKIKVVPGIKRFSSGKAELVNGEILQIDSVILATGYRSNVPSWLKENEFFSEDGIPKNQFPNGWKGNAGLYAVGFTKRGLSGASLDAISVAFDIAKSWKEETKQKKITVAARHRRCISHF >Potri.008G045467.1.v4.1 pep chromosome:Pop_tri_v4:8:2625680:2626733:1 gene:Potri.008G045467.v4.1 transcript:Potri.008G045467.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045467.v4.1 MLFQWLDCQRREMEMVAVSHFIYKHAFIKFDFLILCQVLQGVYVFGARLYASLFRFWDKTSFISEFANITKSFCFLSSSFSALMSMLLAEGKGIAELFSVN >Potri.014G046900.1.v4.1 pep chromosome:Pop_tri_v4:14:2987932:2988888:1 gene:Potri.014G046900.v4.1 transcript:Potri.014G046900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G046900.v4.1 MDSQSDFHQIESIRQHLLDDESSLGINTSNSSVYCQNMSFSKLFLTGNWSDILLKIDNSARIVACDTREPEPFNKQIQYWVPLNPIDSTITATAKIKNEPEKNVPGASPTPAREKRNNYTGVRRRPWGKYAAEIRDPKKNGARVWLGTYETPEDAALAYDDAAFKMRGAKAKLNFPHLIGFSDYQPVRVTHKRSSPLMSSSSLYSSSSSSLSWELDDGSPKLKRRMI >Potri.017G059400.1.v4.1 pep chromosome:Pop_tri_v4:17:4818534:4821572:1 gene:Potri.017G059400.v4.1 transcript:Potri.017G059400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G059400.v4.1 MALLVEKTTSGRAYKVKDLSQADFGRLEIELAEVEMPGLMSCRAEFGPSQPFKGAKITGSLHMTIQTAVLIETLTALGAEVRWCSCNIFSTQDHAAAAIARDSAAVFAWKGETLQEYWWCTERALDWGPGGGPDLIVDDGGDATLLIHEGVKAEEIYEKTGVLPDPASTDNVEFQLVLTIIRDGLKSDPMKYHKMKERLVGVSEETTTGVKRLYQMQANGTLLFPAINVNDSVTKSKFDNLYGCRHSLPDGLMRATDVMIAGKVAVVCGYGDVGKGCAAAMKQAGARVIVTEIDPICALQALMEGLQVLTLEDVVSEADIFVTTTGNKDIIMVDHMRKMKNNAIVCNIGHFDNEIDMHGLETFPGVKRITIKPQTDRWVFPDTKSGILVLAEGRLMNLGCATGHPSFVMSCSFTNQVIAQLELWNEKTSGKYEKKVYVLPKHLDEKVASLHLGKLGARLTKLSKDQADYINVPVEGPYKPAQYRY >Potri.001G327700.3.v4.1 pep chromosome:Pop_tri_v4:1:33676092:33690715:-1 gene:Potri.001G327700.v4.1 transcript:Potri.001G327700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G327700.v4.1 MDGFHWVLKKFRIYASVSNQCLIDSAGGAKTSGQPHLEMPSYKCTGLVHQIPLLFFLACCWDEKFSLEALNWMFAEGLLGWFIQVALLKMILLSFGSYPC >Potri.018G019250.1.v4.1 pep chromosome:Pop_tri_v4:18:1306062:1308756:-1 gene:Potri.018G019250.v4.1 transcript:Potri.018G019250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G019250.v4.1 MAFLHVLILVILSLNRYKNNKAMAEGSSGSEFTLDSIRKSLIRQEDTIVFCLMERARFPMNSALYNQSLDLVPGFSGPLVDFIVQETEAVQAKAGRYVNPEENPFFPDNLPPSLVPNYNYSQVLHSGGASININKAIWDMYLNQLLPLFVVAGDDGNYASTAASDLSCLQALSRRIHYGKFVAEIKFRDAPHDYEPPIRAKDADELMKLLTDERVEKMVKKRVEKKAIVFGQDVSGSNNAVSKHYKVDPSVVARLYDEWVIPLTKRVEVEYLIRRLD >Potri.011G150900.3.v4.1 pep chromosome:Pop_tri_v4:11:17797709:17801197:-1 gene:Potri.011G150900.v4.1 transcript:Potri.011G150900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150900.v4.1 MDLKSSKWRRPSKNHFFTIIAIFLIQHQLHVSVKCAEATKKVHIVYMGEKEHEDPAITKKIHYEMLSTLLGSKEAARSSILYSYRHGFSGFAARLTESQAEDIAEFPGVIQVIPNGIHKLHTTRSWEFIGLNHHSPQNLLRQSNMGQGTIIGVIDSGVWPESKSFHDEGMGPVPSHWKGICQQGESFNSSNCNRKIIGARWFVKGFQDQLPFNTTESREFMSPRDGEGHGSHTASTAAGNFVEKVSYKGLAAGLARGGAPLAHLAIYKVCWNIEDGGCTDADLLKAFDKAIHDGVDILSVSIGNNIPLFSYVDMRNSIAIGSFHATLNGISVICSAGNDGPISQTVENTAPWLITVAASTIDRTFPTAITLGNNKTLWGQSITTGQHNHGFASLTYSERIPLNPMVDSAKDCQPGSLNATLAAGKIILCLSESNTQDMFSASTSVFEAGGVGLIFVQFHLDGMELCKIPCVKVDYEVGTQIVSYIRKAR >Potri.011G150900.1.v4.1 pep chromosome:Pop_tri_v4:11:17797719:17801248:-1 gene:Potri.011G150900.v4.1 transcript:Potri.011G150900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G150900.v4.1 MDLKSSKWRRPSKNHFFTIIAIFLIQHQLHVSVKCAEATKKVHIVYMGEKEHEDPAITKKIHYEMLSTLLGSKEAARSSILYSYRHGFSGFAARLTESQAEDIAEFPGVIQVIPNGIHKLHTTRSWEFIGLNHHSPQNLLRQSNMGQGTIIGVIDSGVWPESKSFHDEGMGPVPSHWKGICQQGESFNSSNCNRKIIGARWFVKGFQDQLPFNTTESREFMSPRDGEGHGSHTASTAAGNFVEKVSYKGLAAGLARGGAPLAHLAIYKVCWNIEDGGCTDADLLKAFDKAIHDGVDILSVSIGNNIPLFSYVDMRNSIAIGSFHATLNGISVICSAGNDGPISQTVENTAPWLITVAASTIDRTFPTAITLGNNKTLWGQSITTGQHNHGFASLTYSERIPLNPMVDSAKDCQPGSLNATLAAGKIILCLSESNTQDMFSASTSVFEAGGVGLIFVQFHLDGMELCKIPCVKVDYEVGTQIVSYIRKARSPTAKLNFPKTVVGKRVSPRLASFSSRGPSSISPEVLKPDIAAPGVDILAAHRPANKDQVDSYAFLSGTSMACPHVTGIVALIKSLHPNWSPAAIRSALVTTASQTGTDGMKIFEEGSTRKEADPFDIGGGHVNPEKAVYPGLVYDTNTKEYIQFLCSMGYSSSSVTRLTNATINCMKKANTRLNLNLPSITIPNLKTSAKVARKVTNVGNVNSVYKAIVQAPFGINMRVEPTTLSFNMNNKILSYEVTFFSTQKVQGGYRFGSLTWTDGEHFVRSPISVRAMEAYADV >Potri.010G111600.1.v4.1 pep chromosome:Pop_tri_v4:10:13156920:13157443:-1 gene:Potri.010G111600.v4.1 transcript:Potri.010G111600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111600.v4.1 MARRLIPTLNRVLVEKIVPPSKTTAGILLPETSTKLNSGKVISVGPGLRSPEGNTIPPAVKEGDTVLLPSYGGTQVKLGEKEYVLYRDEDILGTLHE >Potri.004G041500.9.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310100:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDPTGRFHDFREFVESNFDFFKWIGMFIILAQGFSMLLAMALRALGPSNESNYDIYDEHPPARLPLINHHLQQPPYVVGEPRFPMKNDAWNTNM >Potri.004G041500.19.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3309782:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDRTFRAYILCCSIRIYLRIRQGGSMILENLWNLILTSSNG >Potri.004G041500.16.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310039:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDRTFRAYILCCSIRIYLRIRQGGSMILENLWNLILTSSNG >Potri.004G041500.11.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310039:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDPTGRFHDFREFVESNFDFFKWIGMFIILAQGFSMLLAMALRALGPSNESNYDIYDEHPPARLPLINHHLQQPPYVVGEPRFPMKNDAWNTNM >Potri.004G041500.8.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310018:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDPTGRFHDFREFVESNFDFFKWIGMFIILAQGFSMLLAMALRALGPSNESNYDIYDEHPPARLPLINHHLQQPPYVVGEPRFPMKNDAWNVRIH >Potri.004G041500.15.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310099:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDRTFRAYILCCSIRIYLRIRQGGSMILENLWNLILTSSNG >Potri.004G041500.7.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310018:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDPTGRFHDFREFVESNFDFFKWIGMFIILAQGFSMLLAMALRALGPSNESNYDIYDEHPPARLPLINHHLQQPPYVVGEPRFPMKNDAWNVRIH >Potri.004G041500.13.v4.1 pep chromosome:Pop_tri_v4:4:3306314:3310099:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDRTFRAYILCCSIRIYLRIRQGGSMILENLWNLILTSSNG >Potri.004G041500.12.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3309782:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDPTGRFHDFREFVESNFDFFKWIGMFIILAQGFSMLLAMALRALGPSNESNYDIYDEHPPARLPLINHHLQQPPYVVGEPRFPMKNDAWNTNM >Potri.004G041500.17.v4.1 pep chromosome:Pop_tri_v4:4:3306314:3310018:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDRTFRAYILCCSIRIYLRIRQGGSMILENLWNLILTSSNG >Potri.004G041500.14.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310099:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDRTFRAYILCCSIRIYLRIRQGGSMILENLWNLILTSSNG >Potri.004G041500.10.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310099:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDPTGRFHDFREFVESNFDFFKWIGMFIILAQGFSMLLAMALRALGPSNESNYDIYDEHPPARLPLINHHLQQPPYVVGEPRFPMKNDAWNTNM >Potri.004G041500.18.v4.1 pep chromosome:Pop_tri_v4:4:3307449:3310018:-1 gene:Potri.004G041500.v4.1 transcript:Potri.004G041500.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G041500.v4.1 MAGIARTCLQSILKFVNSTLGLVGIAMVLYGLWMSRVWQRDMQDSSFDDFDSSAPWFIYTFLSIGVTLCLITCLGHISADCSNGFFLSCYMEIIFVLLLLETALAADILLNSDWEKDLPEDRTFRAYILCCSIRIYLRIRQGGSMILENLWNLILTSSNG >Potri.016G126200.2.v4.1 pep chromosome:Pop_tri_v4:16:12964783:12967838:-1 gene:Potri.016G126200.v4.1 transcript:Potri.016G126200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126200.v4.1 MDDLPFQKITISGPTLSALMHRITTSLGDVDGLLFGHVTHLTPSTLTDDNTPQNPDSNVIATITSFFCPNIPLSFYDSLGRVDSVTLHRLVSPTTHQSNSSSSTSNFLGWFSARRKSPIRPSMREFCVSQSLSRLKTAAKGEKDLNPCVFLLFTTPVQGELLYHTHEYRAYQFRVNSRCFDPKSIGIDNIGPDFRGNYGCFSPNSPFPELKCASAMNDEVGEGLNRMDRVLNDQKELDMVAEGFCVKDLGKLMGSETLNSTMSLEDLYEKMLGKLDNLARQVEKSNAKVLEMENHNRKLRYKIARPVPE >Potri.016G126200.3.v4.1 pep chromosome:Pop_tri_v4:16:12965065:12967816:-1 gene:Potri.016G126200.v4.1 transcript:Potri.016G126200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126200.v4.1 MDDLPFQKITISGPTLSALMHRITTSLGDVDGLLFGHVTHLTPSTLTDDNTPQNPDSNVIATITSFFCPNIPLSFYDSLGRVDSVTLHRLVSPTTHQSNSSSSTSNFLGWFSARRKSPIRPSMREFCVSQSLSRLKTAAKGEKDLNPCVFLLFTTPVQGELLYHTHEYRAYQFRVNSRCFDPKSIGIDNIGPDFRGNYGCFSPNSPFPELKCASAMNDEVGEGLNRMDRVLNDQKELDMVAEGFCVKDLGKLMGSETLNSTMSLEDLYEKMLGKLDNLARQVEKSNAKVLEMVSIG >Potri.016G126200.1.v4.1 pep chromosome:Pop_tri_v4:16:12964671:12967838:-1 gene:Potri.016G126200.v4.1 transcript:Potri.016G126200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G126200.v4.1 MDDLPFQKITISGPTLSALMHRITTSLGDVDGLLFGHVTHLTPSTLTDDNTPQNPDSNVIATITSFFCPNIPLSFYDSLGRVDSVTLHRLVSPTTHQSNSSSSTSNFLGWFSARRKSPIRPSMREFCVSQSLSRLKTAAKGEKDLNPCVFLLFTTPVQGELLYHTHEYRAYQFRVNSRCFDPKSIGIDNIGPDFRGNYGCFSPNSPFPELKCASAMNDEVGEGLNRMDRVLNDQKELDMVAEGFCVKDLGKLMGSETLNSTMSLEDLYEKMLGKLDNLARQVEKSNAKVLEMENHNRKLRYKIARPVPE >Potri.001G440700.1.v4.1 pep chromosome:Pop_tri_v4:1:46629387:46630994:1 gene:Potri.001G440700.v4.1 transcript:Potri.001G440700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440700.v4.1 MTSLSFFPMLSFLFFLIFSFSWVTSAHTHESFLQCLDSQNSHSISKLIYTPINSSYSSILQFSIQNLRFNTSSTPKPLVIVTPTNISHIQAAIICSQKHGMQIRIRSGGHDYEGLSYVSTFSFVVIDLINLRTINVNAENKTAWVQSGVTIGEVYYRIAEKSRTLAFPASVCPTVGVGGHFSGGGYGMLMRKYGLAADHIIDAQLVDVKGRILDRESMGEDLFWAIRGGGGNTFGVVIAWKISLVSAPPTVTVFNVQRTLEQNASKLVHRWQFVADKLHEDLFIRIILNRVNSTEEGKSNTTIQASFNSLFLGGIDRLLPLIQDSFPELGLVKEDCIEMSWIQSVLYFDGFPSNSSLDVLLDRTPSTRRNFKAKSDYVKEPIPELGLEGIWERFFDKDINTPILIFSPYGGKMSEISESSIPFPHRAGNIYKIQHLIYWDEEGIVATKRHISWIRRLYSYLAPYVSKTPRAAYVNYRDLDIGINNHAGNTSYRQASIWGLKYFKNNFDRLVRVKTAVDPANFFRNEQSIPPLSSW >Potri.006G249500.3.v4.1 pep chromosome:Pop_tri_v4:6:24898245:24900471:-1 gene:Potri.006G249500.v4.1 transcript:Potri.006G249500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249500.v4.1 MSIFGATSLSLLILLLATLFQLSYGDVGTCAAYSAPYLPTACYGNSSSQFPSSNMFAAAGEGIWDNGAACGRQYLVRCISAAVPRTCLPDQMVQVRIVDRAQTSRSRPSSDGATIVLATPAFGTIADPSAPLINVEFQQV >Potri.006G249500.2.v4.1 pep chromosome:Pop_tri_v4:6:24898245:24900471:-1 gene:Potri.006G249500.v4.1 transcript:Potri.006G249500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G249500.v4.1 MSIFGATSLSLLILLLATLFQLSYGDVGTCAAYSAPYLPTACYGNSSSQFPSSNMFAAAGEGIWDNGAACGRQYLVRCISAAVPRTCLPDQMVQVRIVDRAQTSRSRPSSDGATIVLATPAFGTIADPSAPLINVEFQQV >Potri.009G073300.1.v4.1 pep chromosome:Pop_tri_v4:9:7174897:7186370:1 gene:Potri.009G073300.v4.1 transcript:Potri.009G073300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G073300.v4.1 MAAANAPITMKEALTLPSLGINPQFINFTHVTMESEKYICIRETSPQNSVVIVDMNMPMQPLRRPITADSALMNPNSRILALKAQLPGTTQDHLQIFNIELKAKVKSHQMPEQVVFWKWISPKMLALVTQTSVYHWSIEGDSEPVKMFDRTANLQGNQIINYRCDPSEKWLVLIGIAQGPPERPQLVKGNMQLFSVDQQRSQALEAHAASFATFKVAGNESPSTLISFASRSFNAGQLTSKLHVIELGAVPGKPSFTKKQADLFFPPDFADDFPVSMQISQKYGLIYAITKQGLLFVYDLETASAIYRNRISPDPIFLTTDASSVGGFYAVNRRGQVLLATVNEATIVPFVSGQLNNLELAVNLAKRGNLPGAENLVVQRFQELFSQSKYKEAAELAAESPQGILRTPDTVAKFQSVPVQAGQTPPLLQYFGTLLTRGKLNAFESLELSRLVVNQNKKNLLENWLAEDKLECTEELGDLVKTVDNDLALKIYIKARATPKVVAAFAERREFDKILIYSKQVGYNPDYLFLLQTILRTDPQAAVNFALMMSQMEGGCPVDYNTITDLFLQRNLIREATAFLLDVLKPNLPEHGYLQTKVLEINLVTFPNVADAILANGMFSHYDRPRIGQLCEKAGLYIRALQHYTELPDIKRVIVNTHAIEPQALVEFFGTLSREWALDCMKDLLLVNLRGNLQIIVQTAKEYCEQLGVDACIKLFEQFKSYEGLYFFLGSYLSSSEDPEIHFKYIEAAAKTGQIKEVERVTRESNFYDAEKTKNFLMEAKLPDARPLINVCDRFGFVPDLTHYLFVNNMLRYIEGYVQKVNPGNAPLVVGQLLDDECAEDFIKGLILSVRSLLPVEPLVEECEKRNRLRLLTQFLEHLVSEGSQDVHVHNALGKIIIESGDNPEHFLTTNPYYDSRVVGKYCEKRDPTLAVVAYRRGQCDDELINVTNKNSLFKLQARYVVERMDGDLWDKALSPDNEYRRQLIDQVVSTALPESKSPDQVSAAVKAFMTADLPHELIELLEKIVLQNSAFSGNFNLQNLLILTAIKADPSRVMDYVNRLDNFDGPAIGEVAVEAQLYEEAFAIFKKFNLNVQAVNVLLDNIHSIDRAVEFAFRVEEEAVWSQVAKAQLREGLVSEAIESFIRADDATQFLEVIKAAEDANVYHDLVKYLLMVRQKSKEPKVDSELIYAYGKIDQLGEIEEFILMPNVANLQNVGDRLYDEALYEAAKIIFRFISNWAKLAVTHVKLKEFQSAVDAARKANSSKTWKEVCFACVDSEEFRLAQICGLNIIIQVDDLEEVSEYYQNRGCFSELISLMESGLGLERAHMGIFTELGVLYARYRPEKLMEHIKLFSTRLNIPKLIRACDEQQHWKELTYLYIQYDEFDNAATTIMNHSPEAWDHMQFKDVVVKVANVELYYKAVHFYLQEHPDLINDLLNVIALRVDHTRVVDIMRKAGQLRLIKPYMVAVQSNNVSAVNEALNEIYIEEEDYDRLHESIELHDNFDQIGLAQKIEKHELLEMRRVASHIYKKAGRWKQSIALSKKDNLYKDCMETCSQSGDRELSEELLVYFIEQGKKECFAAALFVCYDLIRPDVAMELAWMNNMIDFAFPYLLQFIREYTSKVDELIKSKLEALNEAKAKENEEKDMVAQQNMYAQLLPLALPAPPMPGMGGPGMSGGFAPPPMGGMGMPPYGMPPMGPY >Potri.011G055600.4.v4.1 pep chromosome:Pop_tri_v4:11:4473400:4476018:-1 gene:Potri.011G055600.v4.1 transcript:Potri.011G055600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055600.v4.1 MIPLREEMLGNCEKMVVISSTTNAWPQNQIDEKSLMASTSKLMERPSQEVLSQPQQQQQLQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPASAAEGASNSVSSANPNPQTPIDHISSTSNHINPLFYGLSGSNPSEMNLPFPGRFNPRMDSAVSGYHDLQPQLNALALGFSSGIMSTNDANGFNPTKQIQDVVTSNSLLSSYSNLFGSSTTSTNTTSPTIASLLASSFNQQKFTNSGIKESTRAPNYFQSLTVPFKELQMNGNSESGIGMKGVKTEHNQNRLDWNVPCQNQIEQVGLSDPSMYWNTNTSVGSWHDPANMGSSVLL >Potri.011G055600.2.v4.1 pep chromosome:Pop_tri_v4:11:4473337:4476025:-1 gene:Potri.011G055600.v4.1 transcript:Potri.011G055600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055600.v4.1 MIPLREEMLGNCEKMVVISSTTNAWPQNQIDEKSLMASTSKLMERPSQEVLSQPQQQQQLQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPASAAEGASNSVSSANPNPQTPIDHISSTSNHINPLFYGLSGSNPSEMNLPFPGRFNPRMDSAVSGYHDLQPQLNALALGFSSGIMSTNDANGFNPTKQIQDVVTSNSLLSSYSNLFGSSTTSTNTTSPTIASLLASSFNQQKFTNSGIKESTRAPNYFQSLTVPFKELQMNGNSESGIGMKGVKTEHNQNRLDWNVPCQNQIEQVGLSDPSMYWNTNTSVGSWHDPANMGSSVLL >Potri.011G055600.3.v4.1 pep chromosome:Pop_tri_v4:11:4473512:4475457:-1 gene:Potri.011G055600.v4.1 transcript:Potri.011G055600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055600.v4.1 MASTSKLMERPSQEVLSQPQQQQQLQQALKCPRCDSSNTKFCYYNNYSLSQPRHFCKACKRYWTRGGTLRNVPVGGGCRKNKRVKRPASAAEGASNSVSSANPNPQTPIDHISSTSNHINPLFYGLSGSNPSEMNLPFPGRFNPRMDSAVSGYHDLQPQLNALALGFSSGIMSTNDANGFNPTKQIQDVVTSNSLLSSYSNLFGSSTTSTNTTSPTIASLLASSFNQQKFTNSGIKESTRAPNYFQSLTVPFKELQMNGNSESGIGMKGVKTEHNQNRLDWNVPCQNQIEQVGLSDPSMYWNTNTSVGSWHDPANMGSSVLL >Potri.014G137100.2.v4.1 pep chromosome:Pop_tri_v4:14:9318016:9321260:1 gene:Potri.014G137100.v4.1 transcript:Potri.014G137100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137100.v4.1 MALKERSPETPKTSSKNPRVAVRSIDTYAAQCDKCLKWRVIATEEEYEEIRSKMEESPFVCNRKPGVSCDDPADIEYNATRTWVIDRPGIPKTPEGFKRSLVLRRDFSKMDAYYITPTGKKLRTRNEIAAFIDANPKYKDVNLSDFNFTSPKVMEDTIPEDAVRKVSSSGNGNKRKALKDAA >Potri.004G099200.2.v4.1 pep chromosome:Pop_tri_v4:4:8566344:8568692:-1 gene:Potri.004G099200.v4.1 transcript:Potri.004G099200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G099200.v4.1 MYTTKKKIQKDKDAEPTEFEETVAQALFDLENTSSDLKSDLKDLFINSAVQIDVAGNRKAIVIYVPYRLRKAYRKVHLRLVRELEKKFSGKDVVLLATRRIVRPPKKGSAVQRPRSRTLTAVHEAMLEDLVYPAEIVGKRTRYRIDGSKISKIFLDPKERNNTEYKLESYAGVYRKLTGKDVVFDFPVTEA >Potri.001G305300.1.v4.1 pep chromosome:Pop_tri_v4:1:31512325:31523021:1 gene:Potri.001G305300.v4.1 transcript:Potri.001G305300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G305300.v4.1 MASILLSLNTHTLLPLHTRTRTTKTTLKILRFSHKLPPSSPFYCNHETRLHLRCQTTTGTPSAADFAAAAGQDRLRKVPIKNIRNFCIIAHIDHGKSTLADKLLQMTGTVQKREMKEQFLDNMDLERERGITIKLQAARMRYVYENEGYCLNLIDTPGHVDFSYEVSRSLAACEGALLVVDASQGVEAQTLANVYLALENNLEIIPVLNKIDLPGAEPDRVCKEIEEVIGLDCSNAIHCSAKEGIGITEILNAIVERVPPPRDTAAMPLRALIFDSYYDPYRGVIVYFRVIDGNIKKGDRIYFMASEKDYYADEIGVLSPNQMQVEELYSGEVGYLSASIRSVADARVGDTITHYSRKAEQSLPGYEEATPMVFCGLFPVDADQFSELRDALEKLQLNDAALKFEPETSNAMGFGFRCGFLGLLHMEIVQERLEREYNLSLITTAPSVVYRVHCVDDDIVECSNPSLLPEPGKRRSVEEPFVKIELLTPKDYIGTLMELAQERRGEFKEMKYITENRASITYELPLAEMVGDFFDQLKSRSKGYASMEYTVVGYKESDLIRLDIQINGDPVEPLATIVHKDKAYSVGRALTQKLKELIPRQMFKVPIQACIGAKVIASESLSAIRKDVLAKCYGGDISRKKKLLKKQAAGKKRMKAIGKVDVPQEAFMAVLKLEKEVL >Potri.002G209300.1.v4.1 pep chromosome:Pop_tri_v4:2:17852605:17853465:-1 gene:Potri.002G209300.v4.1 transcript:Potri.002G209300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX23 MDVLNVMIQEKPVVIFSKSSCCMSHSIKSLIRGFGANPTVYELDRIPNGQQIERALVQLGFGQSVPAVFIGQRLVGNERQVMSLHVQNQLVPLLIQAGAIWI >Potri.001G157900.1.v4.1 pep chromosome:Pop_tri_v4:1:13335901:13339488:1 gene:Potri.001G157900.v4.1 transcript:Potri.001G157900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157900.v4.1 MADQHDDLEQLLDSAVDDFQTLNLAPASQRSGDGNEENRKQKEEEKGTCLPSLPTGVQGLGMGLPDLKSKKKGKKKVSKESHVEEALDKLREQAREAVKGLESVTAASKPDDSTKDAFTDDWVKQFEELAGSQDMESIVETMMQQLLSKEILYEPMKEIGERYPKWLEEHKASLNKEDHERYSHQYELIKDLNDVYDSDPSNYNKIFDLMQKMQECGQPPNDIVQELAPDIDFANLGQISPEMLEAQGNCCIM >Potri.001G157900.6.v4.1 pep chromosome:Pop_tri_v4:1:13335913:13339418:1 gene:Potri.001G157900.v4.1 transcript:Potri.001G157900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G157900.v4.1 MADQHDDLEQLLDSAVDDFQTLNLAPASQSGDGNEENRKQKEEEKGTCLPSLPTGVQGLGMGLPDLKSKKKGKKKVSKESHVEEALDKLREQAREAVKGLESVTAASKPDDSTKDAFTDDWVKQFEELAGSQDMESIVETMMQQLLSKEILYEPMKEIGERYPKWLEEHKASLNKEDHERYSHQYELIKDLNDVYDSDPSNYNKIFDLMQKMQECGQPPNDIVQELAPDIDFANLGQISPEMLEAQGNCCIM >Potri.014G194700.1.v4.1 pep chromosome:Pop_tri_v4:14:17360881:17366695:1 gene:Potri.014G194700.v4.1 transcript:Potri.014G194700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194700.v4.1 MGQGPSGGLNRQLPGDRKQDGSDKKDKKFEPAAPPVRVGRKQRKQKGPEAAARLPTVTPLTKCKLRLLKLERIKDYLLMEEEFVANQERLKPQEEKVEEDRSKVDDLRGSPMSVGSLEELIDENHAIVSSSVGPEYYVGILSFVDKDQLEPGCAILMHNKVLSVVGLLQDEVDPMVSVMKVDKAPLESYADIGGLDAQIQEIKEAVELPLTHPELYEDIGIKPPKGVILYGEPGTGKTLLAKAVANSTSATFLRVVGSELIQKYLGDGPKLVRELFRVADDLSPSIVFIDEIDAIGTKRYDAHSGGEREIQRTMLELLNQLDGFDSRGDVKVILATNRIETLDPALLRPGRIDRKIEFPLPDIKTRRRIFQIHTARMTLADDVNLEEFVMTKDEFSGADIKAICTEAGLLALRERRMKVTHTDFKKAKEKVMFKKKEGVPEGLYM >Potri.001G004300.5.v4.1 pep chromosome:Pop_tri_v4:1:279669:284268:1 gene:Potri.001G004300.v4.1 transcript:Potri.001G004300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004300.v4.1 MDLEKFEQLEVPLILFGQAAAYLKEEMKVKMQLFDGRPLSGSIPKQVTCVIKETQDHAATPRYKKAVLDNGLIIQVPTYIETGEAVVVNTEDGSFVTRANK >Potri.001G004300.3.v4.1 pep chromosome:Pop_tri_v4:1:279604:285596:1 gene:Potri.001G004300.v4.1 transcript:Potri.001G004300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G004300.v4.1 MRALLQLSKRLSRTLVFSSSYSSLTSSRTFATLTLLSPDCHGAAITTNGNVYARPWFAIQHRGVKVNAIHLRPGNVIEKSGRIFEVVDAEHKQRGRGGAILTLELRDVDSGNKQTFRFGAEEAVERVFVEERSFTCLYTEHESVYLMDLEKFEQLEVPLILFGQAAAYLKEEMKVKMQLFDGRPLSGSIPKQVTCVIKETQDHAATPRYKKAVLDNGLIIQVPTYIETGEAVVVNTEDGSFVTRANK >Potri.019G079900.4.v4.1 pep chromosome:Pop_tri_v4:19:12068967:12073279:1 gene:Potri.019G079900.v4.1 transcript:Potri.019G079900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G079900.v4.1 MQPTPAGSSNTSAGGGSAGGGGGGGIARFRSAPATWIEALLGEEEEDPLKQSQNLTELLTSNTPSSRDSVPFNASSAAVEPGLFEPVGGFQRQNSSPTDFLRSSGIGSDQGYFSSYGNASNYEYMTPNMDVSPSDKRAREVELQNPSARYPPPPSKGEQTGPLRASSLIEMEMDKLLEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLDEALAYVKFLQRQIQELTEQQRKCKCIAKE >Potri.002G232800.4.v4.1 pep chromosome:Pop_tri_v4:2:22549285:22565674:1 gene:Potri.002G232800.v4.1 transcript:Potri.002G232800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G232800.v4.1 MALLHKPHTEDKIHKSPLDFEEEEAGGTSIRYVSLDRVYTAASLCGSSNVMSKKVKARKFLPNHHPRVNNPPSLLYVYSRRPKRPPRPSFHDSLVSRAAEPELAVKSEICEFEEEPMIELNKEKKRRRIGSNELLRLGVDSNILLGFDRPRLRDCRNNTNNSNSKIGNFKRKKRDSLVTNSDKFSALPDTSKRWVRLNFDDVDPKLIVGLPCKVYWPLDADWYSGRVVGHISDTNRYNIEYEDGDKEDLMLSNEKVKFFISGEEMERLNLSVCVKSTDGDRNYYNEMVVLAASLDDCQDLEPGDIIWAKLTGHAMWPAIVVDGALIGDHKGISKNIGGGSISVQFFGTHDFARIKPKQAISFLKGLLSSFHLKCKQPRFTRSLEEAKMYLSEQKLSRRMLQLQNGMKADSCESASSDEGSTDSGEDCMQDGGIQRILARLGTSPYVIGDLQIISLGKIVKDSEHFQDNRFIWPEGYTALRKFTSIKDPNVRMIYKMEVLRDAESKIRPLFRVTLDNGEEINGSTPDACWDKIYRKIRKMQDGNSNGFSAESGGERKLKSGSDMFGFSNPEVIKLLKGLSKSIHSSKLSTCKLTSERYQGIPVGYRPVRVDWKDLDKCNVCHMDEEYENNLFLQCDKCRMMVHARCYGELEPVDGVLWLCNLCRPGAPNSPPPCCLCPVIGGAMKPTTDGRWAHLACAIWIPETCLSDVKRMEPIDGQSRINKDRWKLLCSICGVAYGACIQCSNNTCRVAYHPLCARAAGLCVELEDEDRLYLLSLDEDDADQCIRLLSFCKKHRQPSNDRMVTDERVGRIPRRCSDYIPPCNPSGCARTEPYNYFGRRGRKEPEALAAASLKRLFVENQPYLVGGYSQHESSGCTIASNGLIKSVFSSSLQRLKASRLSAPSNILSMAEKYQHMRQTFRKRLAFGKSGIHGFGIFAKHPHRAGDMVIEYTGELVRPPIADRRERFIYNSLVGAGTYMFRIDDKRVIDATRAGSIAHLINHSCEPNCYSRVISVNGDEHIIIFAKRDIKRWEELTYDYRFFSIEEKLACYCGFPRCRGVVNDTEAEEQVAKLYAPRSELTDWKGE >Potri.003G076300.1.v4.1 pep chromosome:Pop_tri_v4:3:10368716:10370656:-1 gene:Potri.003G076300.v4.1 transcript:Potri.003G076300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G076300.v4.1 MATSHSLLLCFILCFTHIFISTSQTLFLPLIHSLSKTQFTSTHHLLKSTSTRSTTRFHHHHHNKNSHNHRQVSLPLSPGSDYTLSFTINSQPISLYLDTGSDLVWFPCQPFECILCEGKAENASLASTPPPKLSKTATPVSCKSSACSAVHSNLPSSDLCAISNCPLESIEISDCRKHSCPQFYYAYGDGSLIARLYRDSIRLPLSNQTNLIFNNFTFGCAHTTLAEPIGVAGFGRGVLSLPAQLATLSPQLGNQFSYCLVSHSFDSDRVRRPSPLILGRYDHDEKERRVNGVKKPSFVYTSMLDNPRHPYFYCVGLEGISIGRKKIPAPDFLRKVDRKGSGGVVVDSGTTFTMLPASLYDFVVAEFENRVGRVNERASVIEENTGLSPCYYFDNNVVNVPRVVLHFVGNGSSVVLPRRNYFYEFLDGGHGKGKKRKVGCLMLMNGGDEAELSGGPGATLGNYQQQGFEVVYDLENRRVGFARRQCASLWEALNQN >Potri.006G056300.2.v4.1 pep chromosome:Pop_tri_v4:6:3968025:3973353:-1 gene:Potri.006G056300.v4.1 transcript:Potri.006G056300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056300.v4.1 MEADAAEVKSKMEDYEVIEQIGRGAFGSAFLVLHKTEKKKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVEYKDSWVDKGNCVCIVTGYCEGGDMAAIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKENDIRLGDFGLAKLLNTEDLASSVVGSPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSCISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPHLLRCRNASSVFLPVYPINNSKEKTRRKSLPAKLSVGKDNRDKDVGASKQPEYEYPFERNVEAQRSNLPQNDKPTSMSSTEYSLENKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHEADCSSPPQKDGTEIESTSESIPNSQHEEEEPSALRFQDLQEVDVKIVTSKDQATFCSGQFPEEVQTEGKGDTVDETRKLEMPSLSCANHDAASDDKSPPSTVNEPYAEALQKPESPDVYTESTHIEYLSSESNDVLPCKDEIQAKPENNNCSMDTEKDDIHAMTNAQLLSTLAALTGDESKSEWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSQQKLNEGT >Potri.006G056300.3.v4.1 pep chromosome:Pop_tri_v4:6:3966871:3972616:-1 gene:Potri.006G056300.v4.1 transcript:Potri.006G056300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056300.v4.1 MEADAAEVKSKMEDYEVIEQIGRGAFGSAFLVLHKTEKKKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVEYKDSWVDKGNCVCIVTGYCEGGDMAAIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKENDIRLGDFGLAKLLNTEDLASSVVGSPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSCISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPHLLRCRNASSVFLPVYPINNSKEKTRRKSLPAKLSVGKDNRDKDVGASKQPEYEYPFERNVEAQRSNLPQNDKPTSMSSTEYSLENKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHEADCSSPPQKDGTEIESTSESIPNSQHEEEEPSALRFQDLQEVDVKIVTSKDQATFCSGQFPEEVQTEGKGDTVDETRKLEMPSLSCANHDAASDDKSPPSTVNEPYAEALQKPESPDVYTESTHIEYLSSESNDVLPCKDEIQAKPENNNCSMDTEKDDIHAMTNAQLLSTLAALTGDESKSEWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSQQKLNEGT >Potri.006G056300.1.v4.1 pep chromosome:Pop_tri_v4:6:3967967:3973029:-1 gene:Potri.006G056300.v4.1 transcript:Potri.006G056300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G056300.v4.1 MEADAAEVKSKMEDYEVIEQIGRGAFGSAFLVLHKTEKKKYVLKKIRLAKQTEKFKRTAHQEMNLIAKLNNPYIVEYKDSWVDKGNCVCIVTGYCEGGDMAAIIKKARGIFFPEEKLCKWLAQLLLAVDYLHSNRVLHRDLKCSNIFLTKENDIRLGDFGLAKLLNTEDLASSVVGSPNYMCPELLADIPYGYKSDIWSLGCCMFEIAAHQPAFRAPDMAGLINKINRSCISPLPIVYSSSLKQIIKSMLRKNPEHRPTAAELLRHPHLQPHLLRCRNASSVFLPVYPINNSKEKTRRKSLPAKLSVGKDNRDKDVGASKQPEYEYPFERNVEAQRSNLPQNDKPTSMSSTEYSLENKTVDPTSCSVEVSDGPKDSSTDSETSVCNGEKQADCSSPPQKDGTEIESTSESIPNSQHEADCSSPPQKDGTEIESTSESIPNSQHEEEEPSALRFQDLQEVDVKIVTSKDQATFCSGQFPEEVQTEGKGDTVDETRKLEMPSLSCANHDAASDDKSPPSTVNEPYAEALQKPESPDVYTESTHIEYLSSESNDVLPCKDEIQAKPENNNCSMDTEKDDIHAMTNAQLLSTLAALTGDESKSEWENPSQQRADALESLLELCARLLKQEKIDELAGVLKPFGEEMVSSRETAIWLTKSLMSQQKLNEGT >Potri.008G017100.1.v4.1 pep chromosome:Pop_tri_v4:8:847890:852261:1 gene:Potri.008G017100.v4.1 transcript:Potri.008G017100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017100.v4.1 MESHGSSFSGLDSYFRALAQTPARLARRACSVSTSHEEMSQVKARSGSVMQRTLRWYDLVGFGIGGMVGAGVFVITGHASRLRAGPSVVISYAIAGLCALLSSFCYTEFAVDMPVAGGAFSYLRITFGEFAAFLTGANLIMDYVMSNAAVARGFTAYLGSAIGISTSKWRLVIHGLPDGFNEIDTFAVLVVLAITLIICYSTRESSLVNMLLTILHILFIGFVILMGFWKGDWKNFTQPANPNNPSGFFPFGAPGVFNGAAMVYLSYIGYDAVSTLAEEVHDPVKDIPIGVSGSVIIVTILYCLMAASMSMLLPYDMIDADAPFSAAFRGESDGWQWVSNVIGMGASFGILTSLLVAMLGQARYLCVIGRSNVVPAWFARVHPKTSTPVNASAFLGIFTAAIALFTDLNVLLNLVSIGTLFVFYMVANALVYRRYVAIGTTNPWPTLSFLCSFSFTSILFTLIWHFMPNGKGKAFMLGACAVIAIAIIQLFHCIVPQARKPEFWGVPLMPWIPCASIFLNIFLLGSLDGPSYVRFVFFSALAVLVYVLYSVHASFDAEGGGSIGQKNGEIARESDESEDHINSFKV >Potri.018G082600.2.v4.1 pep chromosome:Pop_tri_v4:18:10027023:10028957:-1 gene:Potri.018G082600.v4.1 transcript:Potri.018G082600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082600.v4.1 MQISKSYPSQNPESHESQDIISFDRSLQELRDLRSQLHNAASHCETTFLNTNQKRMVLESTKEYLCRAVVAVVDHLGCVSANLNNNISNNCAFSEAELRINCLKQRLLSCEKYAHRVALTRVRWNAYLPKHHHRYLSTQITSVEKSNEDARDSNSQAPTKILLAKHEFGAEQLPLFLHTCSQKSASTKNLWSGISTGKGDPNAVPVRDGLSILSRISNPTFHFQKCSSRHGRSTIFRKSSAHSRDIFSLLRRAKRTP >Potri.018G082600.1.v4.1 pep chromosome:Pop_tri_v4:18:10026969:10029120:-1 gene:Potri.018G082600.v4.1 transcript:Potri.018G082600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082600.v4.1 MQISKSYPSQNPESHESQDIISFDRSLQELRDLRSQLHNAASHCETTFLNTNQKRMVLESTKEYLCRAVVAVVDHLGCVSANLNNNISNNCAFSEAELRINCLKQRLLSCEKYAHRVALTRVRWNAYLPKHHHRYLSTQITSVEKSNEDARDSNSQAPTKILLAKHEFGAEQLPLFLHTCSQKSASTKNLWSGISTGKGDPNAAVPVRDGLSILSRISNPTFHFQKCSSRHGRSTIFRKSSAHSRDIFSLLRRAKRTP >Potri.003G181200.1.v4.1 pep chromosome:Pop_tri_v4:3:18677472:18678969:1 gene:Potri.003G181200.v4.1 transcript:Potri.003G181200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G181200.v4.1 MSGEETPAPAETPAQPLEAMDLMTALQLVLKKSLAHGGLARGLHEGAKVIEKHAAQLCVLAEDCNQPDYVKLVKALCADHGVGLLMVPSAKTLGEWAGLCKIDSEGKARKVVGCSCVVVKDYGETSEGYNVVQEHVKSH >Potri.005G176600.1.v4.1 pep chromosome:Pop_tri_v4:5:18246130:18250056:-1 gene:Potri.005G176600.v4.1 transcript:Potri.005G176600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176600.v4.1 MTSVPSKLYSDDVSLVVVLLDTNPFFWTPPSSLSFSQFLSHVLAFVNSILLLNQLNQVVVIASGYNTCDYIYDSSSDASQLGSEDGRMPSLYSNLLQKLEEFMIKDEKLGKEQSQRAIKSSLLSGSLSMALCYIQRVFRSGPLHPQPRILCLQGSPDGPEQYVAVMNAIFSAQRSMVPIDSCYVGAHNSAFLQQASYITGGVYVKPQHLDGLFQYLTTVFATDLHSRSFIQLPRPAGVDFRASCFCHKTTIDMGYICSVCLSIFCNHHKKCSTCGSVFGQAQSDTSSTSDLKRKAPET >Potri.001G096680.1.v4.1 pep chromosome:Pop_tri_v4:1:7661719:7662514:1 gene:Potri.001G096680.v4.1 transcript:Potri.001G096680.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G096680.v4.1 MRATCILLCFFTFLVASSAHPGKKKQYKPCKELVLYFHDIIYNGQNAANATSAIVAAPEGANLTILASQFHFGNIAVFDDPITLDNNLHSPPVGRAQGMYIYDTKNTFTAWLGFSFVLNSTDHHGTVNFMGADPTTLKTRDISVVGGTGDFFMHRGIATIATDAYEGDVYFRLRVDIKFYECW >Potri.017G084300.2.v4.1 pep chromosome:Pop_tri_v4:17:9696765:9706687:-1 gene:Potri.017G084300.v4.1 transcript:Potri.017G084300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G084300.v4.1 MHSPDSIFEIYRRYCDIRSVKSCQVNEPDETRKGKSSRDSLAQLLKFVDLKFHSRIKIFDELLKLMSKLELMADFSEFSRFYDFVFFMCRENGQRNITVNKAVSAWKLVLAGRFRLLNQWCDFQENQRHNISEDTWQQVLAFSRCVHENLEGYDPEGAWPVLIDDFVEHMYRILGSNKEPNFFCNCGDSESRPCTFEDPLPGLKVAPGLKRKLPSFQDEEMDCSDALFPDSTRPNHILNSKTSRLLDYRH >Potri.019G128300.1.v4.1 pep chromosome:Pop_tri_v4:19:15112876:15115781:1 gene:Potri.019G128300.v4.1 transcript:Potri.019G128300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G128300.v4.1 MEHATNKLCKPASNISEMVSKFSKACKLRSIGVFSNDHRYQNNLIGNNDGTLMGEDSSDAAEETEFDDEKIHPQPVVVPSKSKMCGDRDIVELFNTVSALKLAYIQLQEAPIPYDPDKIVAADELVVVQLEALCKFKKAFKEKKFLKTKLNPSRFDCLRSEIDVIEKLLEKLMSQNRDKDAEIVRLQQELYDLDSGNMALVEKIREKSLERKNMRILNVSMFEHAFKRASKSIHDFARPIISLMQTSGWDLNLAAKPIENGVVYAKRSDKKYAFEAYITRRMFHGLSLKSYNVDDVLRFEDHNFPISAEKKYMFVVHPMMEMPFFRNLDQRMFVLSGKHLRTPFYQIFARMAKWVWILQGIATSIDPISQIFSVNTGSKFSDEGVVVFDGGQSNFKVEFTVMPGFRIGSTLLKSRVYLSEMKHSTGK >Potri.007G106100.3.v4.1 pep chromosome:Pop_tri_v4:7:12899118:12900808:-1 gene:Potri.007G106100.v4.1 transcript:Potri.007G106100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106100.v4.1 MEDLKGSSSSDDGKTSCHRGHWRPAEDEKLRQLVDQYGPQNWNFIAEHLQGRSGKSCRLRWYNQLDPNINKRPFTEDEEERLLKAHQIHGNRWASISRLFPGRTDNAVKNHYHVVMARRKRERCLTFHGKRNNFQVQYSTDHHLRSNRNSSTPPGSNFGFFRPQVSLDYGSKLGFQNNIIERKGTNIPMTSSNSSPSWTNVSASTITNDSLSFDVFDAKRKDYIQYSSSNSSLHPKERPLALNESLHCTYHPSSTIYVVTTTRMLLDSRSLMLRKLILIILLH >Potri.008G034500.1.v4.1 pep chromosome:Pop_tri_v4:8:1873552:1876737:1 gene:Potri.008G034500.v4.1 transcript:Potri.008G034500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G034500.v4.1 MYGKAIVSLAFIILLLISFSLFIGTVDIRSYFFASPDGAHNLPCTPTHTQSSPSVPLKVYMYDLPRRFNIGMMQWKKGGGDDTPVRTAEELPRWPVNVGVRKQHSVEYWLMASLLGSGGEGEEREAVRVLDPEIAEAYFVPFFSSLSFNTHGRNMTDPETEKDRQLQVDLIDFLQKSKYWQRSGGRDHVIPMTHPNAFRFLRQLVNASILIVADFGRYPKSLSTLSKDVVSPYVHNVDSFKDDDLLDPFESRKTLLFFRGNTVRKDKGKVRAKLEKILAGYDDVRYERSSPTAEAIQASTQGMRSSKFCLHPAGDTPSSCRLFDAIVSHCVPVIVSDLIELPYEDEIDYSQFSIFFSINEAIQPDYLVNQLRRFPKDRWIEMWRQLKKISHHFEFQYPPVKEDAVNLLWRQVKNKLPGAQLAVHRNHRLKVPDWWQRRR >Potri.005G013400.2.v4.1 pep chromosome:Pop_tri_v4:5:1067607:1071525:1 gene:Potri.005G013400.v4.1 transcript:Potri.005G013400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G013400.v4.1 MNMRQMWVWMLLMALAFVNERCHCCLEEERISLLEIKAWFNHAGAAGSYDQLEGWDKEHFNCCNWDYYRVVCDNTTNRVIELHLSSVNYDGLNAVEDLDLNSSLFLPFKELEILDLSGNQLVGGLKNQGWCELKNLEHLSLSGNNLKGVLPPCLGNLSSLRSLDLSDNQLEGNIALSHLSHLPQLQYLKVSNNYFQVPISFASFMNLSNLKFFLCDNNELIATPSFQPLVPKFRLRVFSASNCTPKPLEAGLPNFLQSQYDLVFVDLSHNKFVGEPFPSWLFENNTKLNRLYLKDTSFIGPLQLPQHPTPNLQTVDMSGNSIHGQIARNICSIFPRLKNFMMANNTLTGCIPPCFGNMSSLEYLDLSNNHMSCELLEHNLPTSLWFLKLSNNNFKGRLPLSVLNMTDLDYLFLDGNKFAGQVSGTFSLASSFSWFDISNNLLSGMLPRLRGIGNSSLNSLRGIGNSSLNSLQGIDLSRNQFEGTIPIEYFNSSGLEFLDLSENNLSGSLPLGFLASYLRYVHLYGNRLSGPLPYAFCNLSSLMTFDLGDNNLTGPIPNWIDSLSKLSIFVLKSNQFNGKLPYQLCLLRNLSILDLSENNFSGPLPSCLSNLDFTASDEKTWVDTGRETGDDGSRKEIFASIGGRELENEGFYLDDKILWPEISVKISVELTAKKNFYTYKGDILSYMSVMDLSCNRFTGEIPTEWGNLSGIYALNLSQNNLTGLIPSSFSNLKQIESLDLSHNNLNGRIPAQLIELTFLAVFNVSYNNLSGRTPEMKNQFATFDESSYKGNPLLCGPPLQNSCDKTESPSARVPNDSNGDGGFIDMYSFYASFGVCYIIMVLTIAAVLCINPHWRRRWFYFIEECIDTCYCFLAINFPKLSRFRR >Potri.004G026600.1.v4.1 pep chromosome:Pop_tri_v4:4:2029439:2031846:-1 gene:Potri.004G026600.v4.1 transcript:Potri.004G026600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G026600.v4.1 MDFTCIKLATMRKIAHNSQNLPLKRGAWSPEEDQKLIAYINSHGIRNWIEMPKAAGLLRSGKSCRLRWMNYLRPDIKRGNFSMEEVETILKLHGILGNRWSAIAAKLPGRTDNEIKNFWNTRLKKNYVKNNINTAAQAPKLHGTQTSTAEFKKRKLCENIDVPLPTAPKILKPEDSEGSPKLPISYFSSSFNLVNDLIINENQIIEENVGLLESNGEQCLWGPQFSTEGQTCRVEDHGDNCTVEMWVQELLHGV >Potri.008G138400.6.v4.1 pep chromosome:Pop_tri_v4:8:9316177:9320092:1 gene:Potri.008G138400.v4.1 transcript:Potri.008G138400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138400.v4.1 MACSYLCPCSLLICSLLAFLVSGSQIQRTLLPVISFDEGYTQLFGDDNLAIHRDGKTVHLSLDERTGSGFVSQDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDIFEKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSVGREERYSLWFDPSDDFHQYSILWTDSQITFYVDNVPIREFKRTESMGGDFPSKPMSLYATIWDGSGWATNGGKYRVNYKYAPYVTEFSDLVLHGCAVDPIEQFPKCDNSESSEVIPTGVTTVQRIKMESFRAKFMTYSYCYDRVRYKVPPSECVFNPKVADRLKSFDPVTFGGGRRHHGKRHHRSRASHVEAISI >Potri.008G138400.5.v4.1 pep chromosome:Pop_tri_v4:8:9316322:9320045:1 gene:Potri.008G138400.v4.1 transcript:Potri.008G138400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G138400.v4.1 MACSYLCPCSLLICSLLAFLVSGSQIQRTLLPVISFDEGYTQLFGDDNLAIHRDGKTVHLSLDERTGSGFVSQDLYLHGYFSASIKLPADYTAGVVVAFYMSNGDIFEKNHDEIDFEFLGNIRGKDWRIQTNIYGNGSTSVGREERYSLWFDPSDDFHQYSILWTDSQITFYVDNVPIREFKRTESMGGDFPSKPMSLYATIWDGSGWATNGGKYRVNYKYAPYVTEFSDLVLHGCAVDPIEQFPKCDNSESSEVIPTGVTTVQRIKMESFRAKFMTYSYCYDRVRYKVPPSECVFNPKVADRLKSFDPVTFGGGRRHHGKRHHRSRASHVEAISI >Potri.012G010300.2.v4.1 pep chromosome:Pop_tri_v4:12:99228:102242:-1 gene:Potri.012G010300.v4.1 transcript:Potri.012G010300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G010300.v4.1 MGFSPLSLSQFLSSILFLFHFHTTISSSNYSSSRFCAPDQSLSLLQFKESFSINSSASSEDCQHPKTESWKEGTDCCLWDGVTCDLKTGHVTALDLSCSMLYGTLHPNSTLFSLHHLQKLDLSDNHFNSSHISSRFGQFSNLTHLNLNYSVFAGLEPISFDKLVRNLTQLRELDLSSVDMSLVAPNSLMNLSSSLSSLKLRSCGLQGKFPSSMRKFKHLQQLDLADNNLTGPIPYDLEQLTELVSLALSGNENDYLSLEPISFDKLVQNLTQLRELYLSWVNMSLVVPNSLMNVSSSLSSLALYSCGLQGKFPSSMRQFKHLQQLDLRYNNFTGSIPYDLGQLTELVSIDLSYNDYLSVEPNSFDKIIQNLTKLRGLHLGYVNMPLVIPNSLANLSSSLSVLALWGCGLKGKFPGNIFLLPNLKSLDLTYNDELTGSFPSSNVSNVLRLLGLTHTRISVSLENDFFINLKLLEVLVLRECNIIKSNLTLIGHLTQLTRLDLAGNHFSGQIPPSLRNLVHLQSLYLDNCNFSGRIPDFLGNLTLLENLGLSSNQLVGPIPSQISTRSLKLFNLGNNHLHGPIPSSIFKQENLEALALASNNKLTGEISSSICKLKFLRLLDLSNNNLSGFIPQCLGNFSNSLLILNLGMNNLQGTIFSPFSKGNNLGYLNLNGNELEGKIPLSIINCTMLEILDLGNNKIEDTFPYFLEMLPELHVLVLKSNKLQGFVNGPIANNSFSKLRIFDISSNNLSGSLPTGYFNSLEAMMISDQNSFYMMARNYSDYAYSIKVTWKGFDIEFARIQSALRILDFSNNNFIGEISKVIGKLKALQQLNLSHNSLTGHIQSSLGMLTYLESLDLSSNLLTGRIPVQLADLTFLGVLNLSHNHLEGPMPIGKQFNTFNASSFEGNLGLCGFPMPKECNSDEAPPSQPSNFHDGDDSKFFGEGFGWKAVAIGYGCGFVFGVTMGYVVFRTRKPAWFLKVVEDQWNLKARRTKKNARRNGARRN >Potri.001G144700.1.v4.1 pep chromosome:Pop_tri_v4:1:11918822:11923430:-1 gene:Potri.001G144700.v4.1 transcript:Potri.001G144700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144700.v4.1 MGSGLSTIFPCFKPPNNNQQDLIFTASEPLDETLGHSFCYVRSSNRFLSPTPSDRFLSPSHSLRFSPTRPVPETGFKSISGASVSANTSTPRTVLQLDNIYDDAADVINSSNNSGGFVTSSSGGVRVNNTGSIVNNVTGFESTASFSALPLQPVPRGGEGFFMSGPIERGALSGPLDPNTAGATDGSGGRVHFSAPLGGIYAKNKKRRGKGISRIKKAIYRNISEKNRPWVVPVLNFVNRRENSGIEEEREGREEGDSVQWALGKAGEDRVHVVVSEEHGWLFVGVYDGFNGPDAPEFLMGNLYRAVFNELQGLFWEVAGEEPQETIHAEGIESKTDPLMEKSGASDVKGSIVISECNLVSKTDPLEEVCVKKDGDGLICGMASNVVNQDRVKRVTFQPEETEGTASTRSRRLWEFLAEDDIEDGLDLSGSDRFAFSVDDAISVGNAGSPVSRRWLLLSKLKQGLSKHKERKLFPWKFGLEGKGKVEVEVEVESSKVEERVLKRKWKAGPVDHELVLGALSRALEATELAYLDMTDKVLDTNPELALMGSCLLAVLMRDEDVYVMNVGDSRAIVAQYEPQEVGSSVNENELSTEAIVETRLTALQLSTDHSTNIEEEVIRIKNEHPDDNQCIVNDRVKGRLMVTRAFGAGFLKRPKLNDALLEMFRNEYIGTAPYISCSPSLRHHQLCPRDQFLVLSSDGLYQYLTNQEVVSHIESFMEKFPDGDPAQHLIEELLFRAARKAGMDFHELLDIPQGDRRKYHDDVTVMVISLEGRIWKSSGKYL >Potri.008G041000.1.v4.1 pep chromosome:Pop_tri_v4:8:2308413:2310519:-1 gene:Potri.008G041000.v4.1 transcript:Potri.008G041000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G041000.v4.1 MAATNTLMSCGIATAFPSLLSSSKSKFASSIPLPSVNGTSRVTMSADWLPGQPRPPYLDGSAPGDFGFDPLRLGEVPENLERYKESELIHCRWAMLAVPGILIPEALGLGNWVKAQEWAAAPGGQATYLGQPVPWGTLPTVLAIEFVAIAFVEHQRSMEKDPEKKKYPGGAFDPLGFSKDPKKFEEYKVKEIKNGRLALLAFVGFCVQQSAYPGTGPLENLATHLADPWHNNIGDIIVPRTLSP >Potri.001G460600.1.v4.1 pep chromosome:Pop_tri_v4:1:48603896:48607781:1 gene:Potri.001G460600.v4.1 transcript:Potri.001G460600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G460600.v4.1 MDNYQGDLTDILRASGGALGQSDVPVSNWEFPFDPSSTMGESRGNLFGDPFCNMRDPLLHELNVAAGSSYFSSQNSADHVLGATSVDHDHTSSFVGANSATTSSSSSILAHQRVFEDHEIHKAATPPPAPCNIFSRIQISPNNPPKLPVSPCNSPVIATGSSPRGFKASAMVSSDIINVNNSKGCLMENTGQVQISSPRNMGIKRRKSQAKKVVCIPAPAAANSRPGGEVVPSDLWAWRKYGQKPIKGSPYPRGYYRCSSSKGCSARKQVERSRTDPNMLVITYTSEHNHPWPTQRNALAGSTRSQPLKSTAASKSPTGSQSQKTGNTKEEQKESSNDTLSPTNIVGGRSTASASVKEEFDDIDKQMGMDDNDFSEGFSHSYKPSMPDQSDQDFFAELGEIDADPLDLLFTQEFNGDEQKESKDLDPFSIFDWSGDTNTSFGEAKKGL >Potri.014G114500.1.v4.1 pep chromosome:Pop_tri_v4:14:7709246:7710642:1 gene:Potri.014G114500.v4.1 transcript:Potri.014G114500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G114500.v4.1 MVIAKYPSVCLPLAFALLLCLAVSTESQSYGHGGNQDQIRADDVQSRWCIAKPSAYNFELLRNIDYSCGQNGVDCGQIQPGGGCFRPDTAFGHASYAMNLFFKAAGKHPWDCHFNGTGIVVTQDPSFGTCTYPL >Potri.014G018400.2.v4.1 pep chromosome:Pop_tri_v4:14:1138852:1140167:-1 gene:Potri.014G018400.v4.1 transcript:Potri.014G018400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G018400.v4.1 MGGGNGQKAKMAREKNLEKQKAGSKGSQLESNKKAMSIQFLQVLDDMYVSFI >Potri.019G117301.2.v4.1 pep chromosome:Pop_tri_v4:19:14375450:14376096:-1 gene:Potri.019G117301.v4.1 transcript:Potri.019G117301.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G117301.v4.1 MSSASKAWLVAAAVGGVEALKDQGFCRWNYTLRSLHQHAKNHVRSASQAKKLSSSSSAMISNKVKEVTAKQPEESLRKVMYLSCWGPY >Potri.005G139700.3.v4.1 pep chromosome:Pop_tri_v4:5:11030478:11035637:1 gene:Potri.005G139700.v4.1 transcript:Potri.005G139700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139700.v4.1 MGDVYNNETNNINNTCSASSSSRHYHRSSDDISLFLHQILPRSPSSTSSSSFIGPQTLQPFSVPAPFDDPLRSGVILGVDSSGGGGGALWSGNVRTRLIMSENETDHECDCESEEGLEALIDEMSVKPAPPRSSKRTRAAEVHNLSEKRRRSRINEKMKALQNLIPNSSKTDKASMLDEAIEYLKLLQLQVQMLSMRNGTSLHPMFLPDVLQPSQLSQVRMGFDEEIGSKHMNMTTSVPLDGEARLQTMLTLPDHCTSSNQASNPIHAETSSGFQSTIQAHFSPFPLRVSSEETWRKDILPGQQLNVSHAERNPSEFELAAAVTTSLPFGIQACDLKDSISLEACVIGRDQNEGVCLENMEHNLILSQDLNRFDKFIFI >Potri.005G139700.9.v4.1 pep chromosome:Pop_tri_v4:5:11030478:11035637:1 gene:Potri.005G139700.v4.1 transcript:Potri.005G139700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139700.v4.1 MGDVYNNETNNINNTCSASSSSRHYHRSSDDISLFLHQILPRSPSSTSSSSFIGPQTLQPFSVPAPFDDPLRSGVILGVDSSGGGGGALWSGNVRTRLIMSENETDHECDCESEEGLEALIDEMSVKPAPPRSSKRTRAAEVHNLSEKRRRSRINEKMKALQNLIPNSSKTDKASMLDEAIEYLKLLQLQVQPSQLSQVRMGFDEEIGSKHMNMTTSVPLDGEARLQTMLTLPDHCTSSNQASNPIHAETSSGFQSTIQAHFSPFPLRVSSEETWRKDILPGQQLNVSHAERNPSVGSRSYNFTSLWDTSM >Potri.005G139700.4.v4.1 pep chromosome:Pop_tri_v4:5:11030478:11035637:1 gene:Potri.005G139700.v4.1 transcript:Potri.005G139700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139700.v4.1 MGDVYNNETNNINNTCSASSSSRHYHRSSDDISLFLHQILPRSPSSTSSSSFIGPQTLQPFSVPAPFDDPLRSGVILGVDSSGGGGGALWSGNVRTRLIMSENETDHECDCESEEGLEALIDEMSVKPAPPRSSKRTRAAEVHNLSEKRRRSRINEKMKALQNLIPNSSKTDKASMLDEAIEYLKLLQLQVQMLSMRNGTSLHPMFLPDVLQPSQLSQVRMGFDEEIGSKHMNMTTSVPLDGEARLQTMLTLPDHCTSSNQASNPIHAETSSGFQSTIQAHFSPFPLRVSSEETWRKDILPGQQLNVSHAERNPSEFELAAAVTTSLPFGIQACDLKDSISLEACVIGRDQNEGVCLENMEHNLILSQDLNRASTRR >Potri.005G139700.5.v4.1 pep chromosome:Pop_tri_v4:5:11030478:11035637:1 gene:Potri.005G139700.v4.1 transcript:Potri.005G139700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139700.v4.1 MGDVYNNETNNINNTCSASSSSRHYHRSSDDISLFLHQILPRSPSSTSSSSFIGPQTLQPFSVPAPFDDPLRSGVILGVDSSGGGGGALWSGNVRTRLIMSENETDHECDCESEEGLEALIDEMSVKPAPPRSSKRTRAAEVHNLSEKRRRSRINEKMKALQNLIPNSSKTDKASMLDEAIEYLKLLQLQVQPSQLSQVRMGFDEEIGSKHMNMTTSVPLDGEARLQTMLTLPDHCTSSNQASNPIHAETSSGFQSTIQAHFSPFPLRVSSEETWRKDILPGQQLNVSHAERNPSEFELAAAVTTSLPFGIQACDLKDSISLEACVIGRDQNEGVCLENMEHNLILSQDLNSTRTGRGAGNHEIEIEKLDI >Potri.005G139700.6.v4.1 pep chromosome:Pop_tri_v4:5:11030478:11035637:1 gene:Potri.005G139700.v4.1 transcript:Potri.005G139700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139700.v4.1 MGDVYNNETNNINNTCSASSSSRHYHRSSDDISLFLHQILPRSPSSTSSSSFIGPQTLQPFSVPAPFDDPLRSGVILGVDSSGGGGGALWSGNVRTRLIMSENETDHECDCESEEGLEALIDEMSVKPAPPRSSKRTRAAEVHNLSEKRRRSRINEKMKALQNLIPNSSKTDKASMLDEAIEYLKLLQLQVQPSQLSQVRMGFDEEIGSKHMNMTTSVPLDGEARLQTMLTLPDHCTSSNQASNPIHAETSSGFQSTIQAHFSPFPLRVSSEETWRKDILPGQQLNVSHAERNPSEFELAAAVTTSLPFGIQACDLKDSISLEACVIGRDQNEGVCLENMEHNLILSQDLNRFDKFIFI >Potri.005G139700.8.v4.1 pep chromosome:Pop_tri_v4:5:11030478:11035637:1 gene:Potri.005G139700.v4.1 transcript:Potri.005G139700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139700.v4.1 MGDVYNNETNNINNTCSASSSSRHYHRSSDDISLFLHQILPRSPSSTSSSSFIGPQTLQPFSVPAPFDDPLRSGVILGVDSSGGGGGALWSGNVRTRLIMSENETDHECDCESEEGLEALIDEMSVKPAPPRSSKRTRAAEVHNLSEKRRRSRINEKMKALQNLIPNSSKTDKASMLDEAIEYLKLLQLQVQMLSMRNGTSLHPMFLPDVLQPSQLSQVRMGFDEEIGSKHMNMTTSVPLDGEARLQTMLTLPDHCTSSNQASNPIHAETSSGFQSTIQAHFSPFPLRVSSEETWRKDILPGQQLNVSHAERNPSVGSRSYNFTSLWDTSM >Potri.005G139700.2.v4.1 pep chromosome:Pop_tri_v4:5:11030478:11035637:1 gene:Potri.005G139700.v4.1 transcript:Potri.005G139700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139700.v4.1 MGDVYNNETNNINNTCSASSSSRHYHRSSDDISLFLHQILPRSPSSTSSSSFIGPQTLQPFSVPAPFDDPLRSGVILGVDSSGGGGGALWSGNVRTRLIMSENETDHECDCESEEGLEALIDEMSVKPAPPRSSKRTRAAEVHNLSEKRRRSRINEKMKALQNLIPNSSKTDKASMLDEAIEYLKLLQLQVQMLSMRNGTSLHPMFLPDVLQPSQLSQVRMGFDEEIGSKHMNMTTSVPLDGEARLQTMLTLPDHCTSSNQASNPIHAETSSGFQSTIQAHFSPFPLRVSSEETWRKDILPGQQLNVSHAERNPSEFELAAAVTTSLPFGIQACDLKDSISLEACVIGRDQNEGVCLENMEHNLILSQDLNSTRTGRGAGNHEIEIEKLDI >Potri.005G139700.7.v4.1 pep chromosome:Pop_tri_v4:5:11030478:11035637:1 gene:Potri.005G139700.v4.1 transcript:Potri.005G139700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139700.v4.1 MGDVYNNETNNINNTCSASSSSRHYHRSSDDISLFLHQILPRSPSSTSSSSFIGPQTLQPFSVPAPFDDPLRSGVILGVDSSGGGGGALWSGNVRTRLIMSENETDHECDCESEEGLEALIDEMSVKPAPPRSSKRTRAAEVHNLSEKRRRSRINEKMKALQNLIPNSSKTDKASMLDEAIEYLKLLQLQVQPSQLSQVRMGFDEEIGSKHMNMTTSVPLDGEARLQTMLTLPDHCTSSNQASNPIHAETSSGFQSTIQAHFSPFPLRVSSEETWRKDILPGQQLNVSHAERNPSEFELAAAVTTSLPFGIQACDLKDSISLEACVIGRDQNEGVCLENMEHNLILSQDLNRASTRR >Potri.006G075400.9.v4.1 pep chromosome:Pop_tri_v4:6:5561065:5568867:1 gene:Potri.006G075400.v4.1 transcript:Potri.006G075400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075400.v4.1 MLIQSSLSTSIECTPFHVSDQGKQDLFCDWMPGTEIWQMCSKCHEHCHNCCKDAASITEEKETNNYSCLLSFPRGPHPPTIIKMSESSAPSFVYCRRKLQGNSIEFLSAITKRSGEDCPYAINSDGPSVAVKEHCVVSEDEHETGTVRVPLMTPILCNGVDCSCPFSFGRSTQLASVSSVSESAATNFVYGKTKLRQNSVSFLSAMAKTSGEDCLSVISSDGPSAARKEQRLISIHVHGAALVPRPPVCNRADSPCPLSSQRSPQLPTVSTMSESSACNFVYSRKKLRGNSASFLSEQIPAMAKRSGGEDCLSLISSDCPSAARKEQCLISQHEQGAALMPPPTVYNKDDSPCQLCLQRSQLPTASTMSEISACNFIYSRRRMRGKSVTFLSAEVPGITKRSREDCLSVISSDGPSLAVEEAHVVSQDDRDQHERGTGGALMPPPIAYNSDDSQCRLSLQGSPQLPTSSTMSEISARNFVYSRRKLRGNSATFLSAQVPGITKRSREDCLSIISSDGPSLVVEEARVVSQDHQDQFERGTGGALPRPPLVCYGEPHVSKSESSSGCSLVEDLVSDEATKKSRPKIIEVDSINDSCSSSKSNMDLVSDSTKTEGDDNGECSSSSIVAAEVTGEDQSENDQCISILRRQGAFEGVWPGKTHVSAKSIGDGSGSGSSSSRPCKKCFRKGSPVKMLICDNCEDSFHVSCCNPRVKRIPVDEWLCRSCWKKKRIIPKETISRKSLNIIGDMGRCRDASSTGESNPIALMLRDTEPYTGGVRVGKGFQVDIPDWSGPIIKYVVLLILLILNM >Potri.006G075400.8.v4.1 pep chromosome:Pop_tri_v4:6:5561099:5566073:1 gene:Potri.006G075400.v4.1 transcript:Potri.006G075400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075400.v4.1 MLIQSSLSTSIECTPFHVSDQGKQDLFCDWMPGTEIWQMCSKCHEHCHNCCKDAASITEEKETNNYSCLLSFPRGPHPPTIIKMSESSAPSFVYCRRKLQGNSIEFLSAITKRSGEDCPYAINSDGPSVAVKEHCVVSEDEHETGTVRVPLMTPILCNGVDCSCPFSFGRSTQLASVSSVSESAATNFVYGKTKLRQNSVSFLSAMAKTSGEDCLSVISSDGPSAARKEQRLISIHVHGAALVPRPPVCNRADSPCPLSSQRSPQLPTVSTMSESSACNFVYSRKKLRGNSASFLSEQIPAMAKRSGGEDCLSLISSDCPSAARKEQCLISQHEQGAALMPPPTVYNKDDSPCQLCLQRSQLPTASTMSEISACNFIYSRRRMRGKSVTFLSAEVPGITKRSREDCLSVISSDGPSLAVEEAHVVSQDDRDQHERGTGGALMPPPIAYNSDDSQCRLSLQGSPQLPTSSTMSEISARNFVYSRRKLRGNSATFLSAQVPGITKRSREDCLSIISSDGPSLVVEEARVVSQDHQDQFERGTGGALPRPPLVCYGEPHVSKSESSSGCSLVEDLVSDEATKKSRPKIIEVDSINDSCSSSKSNMDLVSDSTKTEGDDNGECSSSSIVAAEVTGEDQSENDQCISILRRQGAFEGVWPGKTHVSAKSIGDGSGSGSSSSRPCKKCFRKGSPVKMLICDNCEDSFHVSCCNPRVKRIPVDEWLCRSCWKKKRIIPKETISRKSLNIIGDMGRCRDASSTGESNPIALMLRDTEPYTGGVRVGKGFQVDIPDWSGPIINPLLFLPDPRNTSVCESCWQPYKI >Potri.006G075400.6.v4.1 pep chromosome:Pop_tri_v4:6:5561044:5569235:1 gene:Potri.006G075400.v4.1 transcript:Potri.006G075400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075400.v4.1 MLIQSSLSTSIECTPFHVSDQGKQDLFCDWMPGTEIWQMCSKCHEHCHNCCKDAASITEEKETNNYSCLLSFPRGPHPPTIIKMSESSAPSFVYCRRKLQGNSIEFLSAITKRSGEDCPYAINSDGPSVAVKEHCVVSEDEHETGTVRVPLMTPILCNGVDCSCPFSFGRSTQLASVSSVSESAATNFVYGKTKLRQNSVSFLSAMAKTSGEDCLSVISSDGPSAARKEQRLISIHVHGAALVPRPPVCNRADSPCPLSSQRSPQLPTVSTMSESSACNFVYSRKKLRGNSASFLSEQIPAMAKRSGGEDCLSLISSDCPSAARKEQCLISQHEQGAALMPPPTVYNKDDSPCQLCLQRSQLPTASTMSEISACNFIYSRRRMRGKSVTFLSAEVPGITKRSREDCLSVISSDGPSLAVEEAHVVSQDDRDQHERGTGGALMPPPIAYNSDDSQCRLSLQGSPQLPTSSTMSEISARNFVYSRRKLRGNSATFLSAQVPGITKRSREDCLSIISSDGPSLVVEEARVVSQDHQDQFERGTGGALPRPPLVCYGEPHVSKSESSSGCSLVEDLVSDEATKKSRPKIIEVDSINDSCSSSKSNMDLVSDSTKTEGDDNGECSSSSIVAAEVTGEDQSENDQCISILRRQGAFEGVWPGKTHVSAKSIGDGSGSGSSSSRPCKKCFRKGSPVKMLICDNCEDSFHVSCCNPRVKRIPVDEWLCRSCWKKKRIIPKETISRKSLNIIGDMGRCRDASSTGESNPIALMLRDTEPYTGGVRVGKGFQVDIPDWSGPIINVVDIIGKPLVLEPSYFVGLFELKSNKSSKLGSIGNWLQCKQVIDDAAEGGNVTICGKWRRAPLFEVQTAVWECFCCVFWDPIHADCAAPQELETDEVMKQIKYIQMLRPRIAAKHQKLRRASIGDPMDD >Potri.006G075400.7.v4.1 pep chromosome:Pop_tri_v4:6:5561079:5568847:1 gene:Potri.006G075400.v4.1 transcript:Potri.006G075400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075400.v4.1 MLIQSSLSTSIECTPFHVSDQGKQDLFCDWMPGTEIWQMCSKCHEHCHNCCKDAASITEEKETNNYSCLLSFPRGPHPPTIIKMSESSAPSFVYCRRKLQGNSIEFLSAITKRSGEDCPYAINSDGPSVAVKEHCVVSEDEHETGTVRVPLMTPILCNGVDCSCPFSFGRSTQLASVSSVSESAATNFVYGKTKLRQNSVSFLSAMAKTSGEDCLSVISSDGPSAARKEQRLISIHVHGAALVPRPPVCNRADSPCPLSSQRSPQLPTVSTMSESSACNFVYSRKKLRGNSASFLSEQIPAMAKRSGGEDCLSLISSDCPSAARKEQCLISQHEQGAALMPPPTVYNKDDSPCQLCLQRSQLPTASTMSEISACNFIYSRRRMRGKSVTFLSAEVPGITKRSREDCLSVISSDGPSLAVEEAHVVSQDDRDQHERGTGGALMPPPIAYNSDDSQCRLSLQGSPQLPTSSTMSEISARNFVYSRRKLRGNSATFLSAQVPGITKRSREDCLSIISSDGPSLVVEEARVVSQDHQDQFERGTGGALPRPPLVCYGEPHVSKSESSSGCSLVEDLVSDEATKKSRPKIIEVDSINDSCSSSKSNMDLVSDSTKTEGDDNGECSSSSIVAAEVTGEDQSENDQCISILRRQGAFEGVWPGKTHVSAKSIGDGSGSGSSSSRPCKKCFRKGSPVKMLICDNCEDSFHVSCCNPRVKRIPVDEWLCRSCWKKKRIIPKETISRKSLNIIGDMGRCRDASSTGESNPIALMLRDTEPYTGGVRVGKGFQVDIPDWSGPIINVVDIIGKPLVLEPSYFVGLFELKSNKSSKLGSIGNWLQCKQVIDDAAEGGNVTICGKWRRAPLFEVQTAVWECFCCVFWDPIHADCAAPQELETDEVMKQIKYIQMLRPRIAAKHQKLRRASIGDPMDD >Potri.006G075400.1.v4.1 pep chromosome:Pop_tri_v4:6:5561011:5568845:1 gene:Potri.006G075400.v4.1 transcript:Potri.006G075400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G075400.v4.1 MCSKCHEHCHNCCKDAASITEEKETNNYSCLLSFPRGPHPPTIIKMSESSAPSFVYCRRKLQGNSIEFLSAITKRSGEDCPYAINSDGPSVAVKEHCVVSEDEHETGTVRVPLMTPILCNGVDCSCPFSFGRSTQLASVSSVSESAATNFVYGKTKLRQNSVSFLSAMAKTSGEDCLSVISSDGPSAARKEQRLISIHVHGAALVPRPPVCNRADSPCPLSSQRSPQLPTVSTMSESSACNFVYSRKKLRGNSASFLSEQIPAMAKRSGGEDCLSLISSDCPSAARKEQCLISQHEQGAALMPPPTVYNKDDSPCQLCLQRSQLPTASTMSEISACNFIYSRRRMRGKSVTFLSAEVPGITKRSREDCLSVISSDGPSLAVEEAHVVSQDDRDQHERGTGGALMPPPIAYNSDDSQCRLSLQGSPQLPTSSTMSEISARNFVYSRRKLRGNSATFLSAQVPGITKRSREDCLSIISSDGPSLVVEEARVVSQDHQDQFERGTGGALPRPPLVCYGEPHVSKSESSSGCSLVEDLVSDEATKKSRPKIIEVDSINDSCSSSKSNMDLVSDSTKTEGDDNGECSSSSIVAAEVTGEDQSENDQCISILRRQGAFEGVWPGKTHVSAKSIGDGSGSGSSSSRPCKKCFRKGSPVKMLICDNCEDSFHVSCCNPRVKRIPVDEWLCRSCWKKKRIIPKETISRKSLNIIGDMGRCRDASSTGESNPIALMLRDTEPYTGGVRVGKGFQVDIPDWSGPIINVVDIIGKPLVLEPSYFVGLFELKSNKSSKLGSIGNWLQCKQVIDDAAEGGNVTICGKWRRAPLFEVQTAVWECFCCVFWDPIHADCAAPQELETDEVMKQIKYIQMLRPRIAAKHQKLRRASIGDPMDD >Potri.018G148630.1.v4.1 pep chromosome:Pop_tri_v4:18:15999244:16000159:1 gene:Potri.018G148630.v4.1 transcript:Potri.018G148630.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148630.v4.1 MISFTSHFALLILLSVLLLIIATCDAGCLWKPTRLNINNDLGPGLDLTIHCKSKNDDLGQHVVPSGGEYTIDFCSNFWRSTLFFCGLSWSGKFHWFDVYDASRDSSRCGNCNWTIHATGPCMDYYNYYTKEFVCYPWNDKAYLQEARVAILMRGINE >Potri.002G024700.1.v4.1 pep chromosome:Pop_tri_v4:2:1606817:1613238:1 gene:Potri.002G024700.v4.1 transcript:Potri.002G024700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024700.v4.1 MGSAEEKIKTGGIINGAQTNLLEEMKLLKEFQDQSGTRKAINSELWYACAGPLVSLPQVGSLVYYFPQGHSEQVAVSTKRSATSQIPNYPNLPSQLLCQVHNVTLHADKDTDEIHAQMSLQPVNSEKDVFPVPDFGLKPSKHPSEFFCKALTASDTSTHGGFSVPRRAAEKLFPPLDYSMQPPSQELVVRDLHDNTWTFRHIYRGQPKRHLLTTGWSLFVGSKRLKAGDSVLFIRNEKSHLMVGVRHANRQQTTLPSSVLSADSMHIGVLAAAAHAAGNRSPFTIFYNPRACPSDFVIPLIKFRKTVFGTQVSVGMRFGMMFETEESGKRRYMGTIVGISDLDPLRWPGSKWRNLQVEWDEPGCSDKQNRVSSWEIETPESLFIFPSLTSGLKRPLQSGFLGDTEWGGLVKKPLALLPGSGNASLPYASMSNMYSEQLINMLMKPQAVNYPGICGTALPEVSAVKVGSLDVKNMQAAINQTPQLNQSGITPIENQNYSQICLDQSNAMNSYSSKANVAGKSLSLSKVENQASVGGVDGKFKAKPEHLPDQLSQPTSTGECIVQKPISCPMTQQNATNHLVFQNQNQGQSQLQASLWPMQALAESSLLNSQQIRASLADATTPNCSLPFLDAGEWISHPMSIDSMCRSGPLSMFGLQDPSLPFMHQDAWDHQMSNLRILSEANQLIPLAQQEPCSFNSGAVKDSSDESNDQSGIYGSLNIDASNGGGSVYDRSVSSAILDEFCTLKDADLQNASDCLVGNLSSSQDVQSQITSASLADSQAFSRQDFPDNSGGTSSSNIEFDNSNLLQNNSWQQVAPRVRTYTKVQKTGSVGRSIDVSSFKNYEELCSAIECMFGLDGLLNNPKGSGWKLVYVDYENDVLLIGDDPWEEFVGCVRCIRILSPSEVQQMSEEGMKLLNSANIQGINTPITEGIHA >Potri.013G153400.1.v4.1 pep chromosome:Pop_tri_v4:13:14906779:14910011:-1 gene:Potri.013G153400.v4.1 transcript:Potri.013G153400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G153400.v4.1 MAASSGSLDTSGNSHPQSSNNYFSFSTNSSNHYPFMTSSSFTDLLASNDEEPINNNTGNSKLGDRIAERTGSGVPKFKSTPPPSLPLSPPPVSPSYYFAIPPGLSPTELLDSPVLLNPSNILPSPTTGTFPGQGLNWKSSSGNIQQNVKKEDRSFSDFSFQQPARPSTTSSAMFQSSNSTVQPGQQQTWGFQEPAKQDEFVSGKSNMVKMEYNSNSMKSFSPEIAAIQANPQSNNGFQSDHGNQPQQYQSVREQKRSDDGYNWRKYGQKQVKGSENPRSYYKCTFPNCPTKKKVERSLDGQITEIVYKGSHNHPKPQSTRRSSSTGSNPAMIPAPNSNSNEIQDQSYVTHGNGQMDSSVATPDNSSISIGDDDFDSQKSKSVGGDDLDEDEPEAKRWKRERDNEGISAPGSRTVREPRVVVQTTSDIDILDDGYRWRKYGQKVVKGNPNPRSYYKCTFQGCPVRKHVERASHDLRAVITTYEGKHNHDVPAARGSGSRSLQDHSNNGNNNAAMAIRPSTVNHVSNNPIRNQRAPPTATSEGDMPFTLEMLQSPGSFGFSGFGNLMGSYMSQSSTDEVLSRAKRELEVESFW >Potri.006G088700.3.v4.1 pep chromosome:Pop_tri_v4:6:6747035:6748089:1 gene:Potri.006G088700.v4.1 transcript:Potri.006G088700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088700.v4.1 MLLSFHRHSQIQGNQLSPPVQSFAMTEENQQIKSPQEPPADQAVPPLPRMYGLGSNPAAKTTWPELVGLTAEEAERRIKEEKPGAQIQVVQPDCFVTMDFRQNRVRLHVDSLGKIERAPRIG >Potri.006G088700.2.v4.1 pep chromosome:Pop_tri_v4:6:6747083:6748220:1 gene:Potri.006G088700.v4.1 transcript:Potri.006G088700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G088700.v4.1 MLLSFHRHSQIQGNQLSPPVQSFAMTEENQQIKSPQEPPADQAVPPLPILGMYGLGSNPAAKTTWPELVGLTAEEAERRIKEEKPGAQIQVVQPDCFVTMDFRQNRVRLHVDSLGKIERAPRIG >Potri.001G229700.1.v4.1 pep chromosome:Pop_tri_v4:1:24906068:24908023:1 gene:Potri.001G229700.v4.1 transcript:Potri.001G229700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229700.v4.1 MELGLSLGDAATASKPFGFMEKSTKLTNKASLGFCMGLSIGQNHTPREDEDKEKHGNNDHKSDNTNTTTTAEANKRKSLTVDTTDSPIQLDLLPNTPVPRNRNSSPTYVVYDNGNMSRGFDVNRFPAVMVHEDQADQDVAALSSSPPNSATSSFQMDFCMYSSKGRSESHNEADQAERASSRASDEDENGSARKKLRLSKDQSAFLEESFKEHNTLTPKQKLALAKELNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELQELRALKTSNPFYMQLPATTLTMCPSCERVATTSTSTAAATTTTATPTATAATTTTTTNNQNNTADPTSKTTGLSLGSTRPRFYPFSHTQTHPHQHTA >Potri.001G229700.2.v4.1 pep chromosome:Pop_tri_v4:1:24906742:24908125:1 gene:Potri.001G229700.v4.1 transcript:Potri.001G229700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229700.v4.1 MSRGFDVNRFPAVMVHEDQADQDVAALSSSPPNSATSSFQMDFCMYSSKGRSESHNEADQAERASSRASDEDENGSARKKLRLSKDQSAFLEESFKEHNTLTPKQKLALAKELNLRPRQVEVWFQNRRARTKLKQTEVDCEYLKRCCETLTEENRRLHKELQELRALKTSNPFYMQLPATTLTMCPSCERVATTSTSTAAATTTTATPTATAATTTTTTNNQNNTADPTSKTTGLSLGSTRPRFYPFSHTQTHPHQHTA >Potri.012G129200.1.v4.1 pep chromosome:Pop_tri_v4:12:14465528:14470236:1 gene:Potri.012G129200.v4.1 transcript:Potri.012G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G129200.v4.1 MSFWGIEVKPGKPHPYHSDDVQGKLRVTQATLGLGSSKERSILQCSVGHRSPIFVCSLLPGKAESCSLNLEFTDELVAFSVIGPQSIHLCGYFDSVEGDHLRDEYEYDSGEDIVDTESDESSEYDYDDEYDDDDDDLQMYSPSPVPKSGVVIEEITEDDKPTKENGKSKRLKKKNNQSSDKEDQNNSQQQIVLKRDRGISVLESEDEDGFPISSSAKNKDTVQEQQAELDGQKDKETTQETKKKMASEDNDDTRKKRKVKSVDQDGQPERKTKKKKKQRERGTEAKVDEMDDKEEINNASRDEIESKQVKKQDSTNRNKHEQRDLDTDADSMPGEDSSDKKKKKNKKKKKTQDSGATTNDQAVSAAGGEAKSSLESEDKQSTAKSSQVRTFSNGLVIEELAMGKPDGKRASPGSQVSVHYIGKLKNGKIFDSNVGRAPFKFRLGVGQVIKGWDVGVNGMRVGDKRRLTIPPSMGYGDRGAGGKIPPNSWLVFDVELVNAR >Potri.015G104400.2.v4.1 pep chromosome:Pop_tri_v4:15:12331820:12333928:-1 gene:Potri.015G104400.v4.1 transcript:Potri.015G104400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G104400.v4.1 MAKNENSCQSLIPSFLYSSSVGSRNGGVLQQPKLWPSSDSGDHGVLSKNFVIPAPTEKLEMYSPTFYMACTAGGILSCGITHTALTPLDVVKCNMQIDPSKYKSISSGFGVLLREQGVKGFFRGWVPTLLGYSAQGACKYGFYEFFKKYYSDIAGPEYAAKYKTLIYLAGSASAEIIADVALCPMEALKVRVQTQPGFARGLADGMPKFIRAQGYSGLYKGIVPLWGRQIPYTMMKFASFETIVEYLYKNVIPTPKEQCSKTLQLGVSFGGGYVAGILCTIVSQPADNLVSFLNNAKGATAGDAVRQLGLWGLFTRGLPLRLAMIGTLTGAQWVIYDAFKVFVGLPTTGGAAPAPVAEA >Potri.010G250200.1.v4.1 pep chromosome:Pop_tri_v4:10:22335083:22338489:1 gene:Potri.010G250200.v4.1 transcript:Potri.010G250200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G250200.v4.1 MHLQTQSLTTNLPLPSLFLPKPTTTKPHQFTPLKQQKPFPQFSLKCSLSTVSAEPPTHVVGSSNDKPFPAEISRTIMELSSVGTLSTLTPDGWPLSVGVRFAVDDDGTPILCLSDSHRQFSVDRRSSLHVQLEQSGMRTPQCTIQGSLDKPEDTKLLKRAHSMWKKRFGEEVKDELIYVVAMERVLQMEDFMEDGVWVSSSDYKNASPDPLRDFAEAFANEINDKNMEDVSRFCNVYVDLDFQVSEAKMIWVDRLGFDMRLWSPQKGTFDVRIPFPREVTDVKGAKSSFNGMSQLAWEVEKNYQTLNFKKVQQLKQITHKGL >Potri.015G008500.2.v4.1 pep chromosome:Pop_tri_v4:15:511802:519204:-1 gene:Potri.015G008500.v4.1 transcript:Potri.015G008500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008500.v4.1 MLKILAAIPETVPVQTYGQLLPGRSPPPRIALREEDWVECEEMVNSINRPPENHEIGIQLRTEPIVKLCLGYLWPSSSELSEWYRCRARDIDSCSGQLDNCLFLIDFACRKGISELQKFHEDILYLHQLIYSDENDADTCSNMSLISWEQLSDYEKFRMMLKGVKEENVVKKLHDRAIPFMQNRFHNIPFTKDQDIDGHFPSFHMDDSFLVKWLKEIASENKLDICLMVIEEGCRELHDNGFFKDEIEAVDCALQCIYLCTVTDRWSIMAALLTKLPQKQDVGISIEGLEKRLKLAEGHIEAGRLLALYQVPKPMKFFLEAHADEKGVKQILRLILSKFVRRQPGRSDNDWANMWRDVQCLREKAFPFLDPEYMLVEFCRGMLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKAKECLNLFPNSRNVQTEADLIDALTVKLPYLGVTLLPMQFRQIKDPMEIIKMAITSQAGAYLHVDELIEVAKLLGLNSSDDISTVQEAIAREAAVAGDLQLAFDLCLVLAKKGHGPVWDLCAAIARGPALENIDIGSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCENLSILTGTIPSSFSDQGSSITSLPAHGIEEIVDLKDCSELVGGAGSGDQEICFSNIKNTLSFVTKNWHVDSGTDLESFLRENGKLLSFATIQLPWLLELSKKAENGKKFSNFIPGKHYVSIRTEAGVTILSWLARNGFAPRDDVIASLAKSIIEPPATEEEDITGCSFLLNLVDAFSGVEIIEEQLKMRENYQEICSIMNVGMTYSLLHNSGVECKGPAQRRELLLRKFKEKHKLPSSDEMTKMDEVQSTFWREWKFKLEEKRRVAERSRELEKIIPGVETGRFLSGDLDYIKSAIFSLIESVKLEKKHIIRDVLKLVDAYGLNHTEVLQWHLNYFLVSEVWTDDDIKAEISEVKEEIVGCGSETIKTISLVVYPAIDGCNKIRLACIYGLLSDCYLQLEETKESLSTAHPNSSNLSALELAHLYKVFEQECQRVSFINNLNFKNVAGLDGLNLQSFRNEVFSHVDEFSVEALAKMVQALVSIYTDSVPEGLILWPDVYKHYVMSLLMNLENRVRTEFDVRNAEKFQDFMSRLEQTYDFCRTYIRLLALSDSLDIMKQYFTVIIPLHDSHESIPDNSKWQDCLIILLNFWLKLSEEMQEMALNERSVGKFRFDPEFLSSGLKVFMRMMMEDSVSPSQVWGTLIGYASCGLIGDFSVEIPIFCRSMLYACCGFGAISEVFLEAMSKCAISSAPTADNESLDLPHLYINMLEPILRDLVGGSHDHQNLYQFLSSLSKLEGQIEDLQRVRHAVWERMAQFSNNLELPSHVRVYVLEIMQFITGRNIKGFPTELESNLLSWEGWDGLISTSKKSETSANQGLPDHIDTSSRFTSTLVALKSSQLASSISPRIEITPDDLVNIETAVSCFLKLCASSCTEPHFDALIGILEEWEGFFVTAKDEVDTTEAENCWSNDGWDEGWESFQDEEAPEKEKTENSNHVHPLHVCWMEIIKKLIGLSQFKDVSRLIDRSLSKTYGILLDEDDARSLSQAVLEKDSFMALKMVLLLPYEAIQLQCLDVVEDKLKQGGISDLAGRDHEFLMLVLSSGVISTIIAKPSYSTTFSYLCYLVGNFSRQSQEAQSSTIMNKGTNEHVNTEKDVLLLFRRIMFPCFISELVKGDQQILAGFLITKFMHTNPSLSLINITEASLSRYLERQLHALQQADFSAEEIISCEMFKNTVSRLTIKLQDLIQSALPLISSNAR >Potri.015G008500.1.v4.1 pep chromosome:Pop_tri_v4:15:511823:522510:-1 gene:Potri.015G008500.v4.1 transcript:Potri.015G008500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G008500.v4.1 MAEDEVLYETRLHVSRPYTPNYPPPQHSNKVNRVGFLSIIKDKWSDYRNYNSNNSRLATRIKRNLSLFISPRGEYVAVASANQITILSKENEYQQPHGIFTCSSGCVFTCGVWSETHGVLGVVDDSDTVYFIKVNGEEITRISRRLLKVSSSIAGLIPQDDDDKDAQRRSCFFVIITADGCLRQIEIGKEPSASVSNSEVKLPGKFPKDIFCFDYSSECLLLVAVGSAVGLSESTGGNSAGSCILSLWCRSQNFDLEPLFSIQFEGLYSKSKDAILACPKVLISPLGKFVATLDISGCLHIFKMDKESRSLLIFAGEEKLGSQGTSNLTNGQNELLSDVVDFTWWSDHIMTIAKRGGTVTMLDIVTGLKFQEDDHLYSIIVLDRIQQFQGHIFVLDSKIPSNHSRESGDVHNVEQIMGGRSDQFDVSQLHWSLISLSKISVPEMYHILISSLKYQAALDFANRHGLDRDEVLKSQWLHSGQGKDDINMFLSKIKDHSFVISECVDKVGPTEDAVKALLSYGLHVTDQFCFSESKSDKGSQIWDFRIARLQLLQFRDRLETYMGINMGRFSVQEYSKFRVILVSEVATALAESGKIGALNLLFKRHPYSLSPSMLKILAAIPETVPVQTYGQLLPGRSPPPRIALREEDWVECEEMVNSINRPPENHEIGIQLRTEPIVKLCLGYLWPSSSELSEWYRCRARDIDSCSGQLDNCLFLIDFACRKGISELQKFHEDILYLHQLIYSDENDADTCSNMSLISWEQLSDYEKFRMMLKGVKEENVVKKLHDRAIPFMQNRFHNIPFTKDQDIDGHFPSFHMDDSFLVKWLKEIASENKLDICLMVIEEGCRELHDNGFFKDEIEAVDCALQCIYLCTVTDRWSIMAALLTKLPQKQDVGISIEGLEKRLKLAEGHIEAGRLLALYQVPKPMKFFLEAHADEKGVKQILRLILSKFVRRQPGRSDNDWANMWRDVQCLREKAFPFLDPEYMLVEFCRGMLKAGKFSLARNYLKGTSSVALASEKAENLVIQAAREYFFSASSLSCSEIWKAKECLNLFPNSRNVQTEADLIDALTVKLPYLGVTLLPMQFRQIKDPMEIIKMAITSQAGAYLHVDELIEVAKLLGLNSSDDISTVQEAIAREAAVAGDLQLAFDLCLVLAKKGHGPVWDLCAAIARGPALENIDIGSRKQLLGFALSHCDEESIGELLHAWKDLDMQGQCENLSILTGTIPSSFSDQGSSITSLPAHGIEEIVDLKDCSELVGGAGSGDQEICFSNIKNTLSFVTKNWHVDSGTDLESFLRENGKLLSFATIQLPWLLELSKKAENGKKFSNFIPGKHYVSIRTEAGVTILSWLARNGFAPRDDVIASLAKSIIEPPATEEEDITGCSFLLNLVDAFSGVEIIEEQLKMRENYQEICSIMNVGMTYSLLHNSGVECKGPAQRRELLLRKFKEKHKLPSSDEMTKMDEVQSTFWREWKFKLEEKRRVAERSRELEKIIPGVETGRFLSGDLDYIKSAIFSLIESVKLEKKHIIRDVLKLVDAYGLNHTEVLQWHLNYFLVSEVWTDDDIKAEISEVKEEIVGCGSETIKTISLVVYPAIDGCNKIRLACIYGLLSDCYLQLEETKESLSTAHPNSSNLSALELAHLYKVFEQECQRVSFINNLNFKNVAGLDGLNLQSFRNEVFSHVDEFSVEALAKMVQALVSIYTDSVPEGLILWPDVYKHYVMSLLMNLENRVRTEFDVRNAEKFQDFMSRLEQTYDFCRTYIRLLALSDSLDIMKQYFTVIIPLHDSHESIPDNSKWQDCLIILLNFWLKLSEEMQEMALNERSVGKFRFDPEFLSSGLKVFMRMMMEDSVSPSQVWGTLIGYASCGLIGDFSVEIPIFCRSMLYACCGFGAISEVFLEAMSKCAISSAPTADNESLDLPHLYINMLEPILRDLVGGSHDHQNLYQFLSSLSKLEGQIEDLQRVRHAVWERMAQFSNNLELPSHVRVYVLEIMQFITGRNIKGFPTELESNLLSWEGWDGLISTSKKSETSANQGLPDHIDTSSRFTSTLVALKSSQLASSISPRIEITPDDLVNIETAVSCFLKLCASSCTEPHFDALIGILEEWEGFFVTAKDEVDTTEAENCWSNDGWDEGWESFQDEEAPEKEKTENSNHVHPLHVCWMEIIKKLIGLSQFKDVSRLIDRSLSKTYGILLDEDDARSLSQAVLEKDSFMALKMVLLLPYEAIQLQCLDVVEDKLKQGGISDLAGRDHEFLMLVLSSGVISTIIAKPSYSTTFSYLCYLVGNFSRQSQEAQSSTIMNKGTNEHVNTEKDVLLLFRRIMFPCFISELVKGDQQILAGFLITKFMHTNPSLSLINITEASLSRYLERQLHALQQADFSAEEIISCEMFKNTVSRLTIKLQDLIQSALPLISSNAR >Potri.013G037700.1.v4.1 pep chromosome:Pop_tri_v4:13:2532572:2538420:-1 gene:Potri.013G037700.v4.1 transcript:Potri.013G037700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037700.v4.1 MASLILFPAKSVTGTRSETSPPGLLFSGGVGRRRSVVQVGFRNQRLFTVRSALDSLETNVSDMSVNAPKGLFPPEPEHYRGPKLKVAIIGAGLAGMSTAVELLDQGHEVDIYDSRSFIGGKVGSFVDRRGNHIEMGLHVFFGCYNNLFRLMKKVGADKNLLVKDHTHTFVNKGGEIGELDFRFPIGAPLHGISAFLSTNQLKTYDIARNAMALALSPVVKALVDPDGALRDIRKLDSISFSDWFLSKGGTRMSIQRMWDPVAYALGFIDCDNISARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIRKYIEDKGGRFHLRWGCRQIIYDRSLDGEIHVTGLALSKATDKKVVTADAYVAACDVPGIKRLLPSQWRESKFFDNIYELVGVPVVTVQLRYNGWVTELQDLEQSRQLRQATGLDNLLYTPDADFSCFADLALTSPEDYYIEGQGSLLQCVLTPGDPYMPLTNDKIIERVSKQVLALFPSSQGLEVTWSSVVKIAQSLYREGPGKDPFRPDQRTPVKNFFLAGSYTKQDYIDSMEGATLSGRQASAYVCGAGEELVALRKTLAAVESQDGTKSQNLIDELSLV >Potri.015G016901.1.v4.1 pep chromosome:Pop_tri_v4:15:1157968:1158327:1 gene:Potri.015G016901.v4.1 transcript:Potri.015G016901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016901.v4.1 MAEFSKILRKTDTRKRLSVPIRFLRSLPPFKLGSHAVTFEATDEKGEAWAFQCSIRKRGHPKPVLQRGWVAFVRSKKLQVGDKVRFIKHKNRATAAISYKVRAEKAIKIFGATFGYARI >Potri.015G034200.1.v4.1 pep chromosome:Pop_tri_v4:15:2821946:2827893:-1 gene:Potri.015G034200.v4.1 transcript:Potri.015G034200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G034200.v4.1 MDPPLSPTAFSDGLKKETWRHSLILSFQTLGVVYGRLSTAPLYVFGTIQTTDFKSNETAYEYFSFIFWTLTVVSLLKYAFIVLRADDNGEGGVFALYSLLCRHAKVGLLPNDRSTKEVMQHEEVSTLRGKVESRARKAIRNHRSSHYLMLFTALFGACMIIGDAVITPSISVLSASSGLQRSLSNIKYTSSTDAEQTISDDLKKYVPVPSACVITVGLFILQYYGTHKIGFMFAPIVTIWLLFISGVGIYNVFRWDPKIFSAISPAYMYRFVRKINKASWKSLNSILLCIAGSETMFTDLGHFSKRSIKITFVCLIYPVLVLCYAGQAAFISKHWNGTENFNHLSESVPKHLRHVFILVSLLASAVGSQATITASFSIINQCLALGCFPRVKVIHTSDKRLGQVYIPDVNWLLMALSLSVTIGFHDITRIANAAGMAIVFGMMVTTCMMSLVIALYWEKSLFVSGCFLMFFGFVEAVYVSACMLSFHKGAWYLFVISAVSFTIMLAWHYGTMKKYEFDFENKVSTEWLTDYSPGLGVSRVPGIGLIYTDMVTGIPAFFSHFITNLPAFHQVLIFVSFKPQPVPCVPPRERYLVGRVGTEDYRIYRCIVRYGYCDQIRDTDDFEEQIISSIGEFISLEESDCESLTSPEGRMMIVGKPLVDRNALIPMHDTTSFAGSTNIANNETLASPLEDLIERKTPVRRKKVRFLMPEGSPRMRVSVREELQELIDARESGTAYFLGQSHLTVRNDSNFLKKFLIMAYVFLDKNCREPPVALNIPHAALVEVGMVYII >Potri.002G050600.3.v4.1 pep chromosome:Pop_tri_v4:2:3401603:3404918:1 gene:Potri.002G050600.v4.1 transcript:Potri.002G050600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050600.v4.1 MSELIEGLPDAVAIRCIARVPFYLHPKLEVVSRSWQAAVRSTELFKARQEVGSAEDLLCVCAFDPENLWQLYDPLRDLWITLPILPSKIRHLAHFGVVCSAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWSYDPVLREWAARASMLVPRAMFACCALNGKIVVAGGFTSCQKSISQAEMYDPEKDVWVPIPDLHRTHNSACSGVVIGGKLHVLHRGLSTVQVLDSIGSGWTVEDYGWLQGPMAVVHDALYVMSHGLIVKQEGKTRKVVVSASEFRKRIGFAMTGLGDEMYVIGGVIGPDRWNWDIKPVSDVDILTVGGERPTWRQATPMTRCRGTIRGCAQLRI >Potri.002G050600.4.v4.1 pep chromosome:Pop_tri_v4:2:3403563:3404600:1 gene:Potri.002G050600.v4.1 transcript:Potri.002G050600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050600.v4.1 MSELIEGLPDAVAIRCIARVPFYLHPKLEVVSRSWQAAVRSTELFKARQEVGSAEDLLCVCAFDPENLWQLYDPLRDLWITLPILPSKIRHLAHFGVVCSAGKLFVLGGGSDAVDPLTGDQDGSFATNEVWSYDPVLREWAARASMLVPRAMFACCALNGKIVVAGGFTSCQKSISQAEMYDPEKDVWVPIPDLHRTHNSACSGVVIGGKLHVLHRGLSTVQVLDSIGSGWTVEDYGWLQGPMAVVHDALYVMSHGLIVKQEGKTRKVVVSASEFRKRIGFAMTGLGDEMYVIGGVIGPDRWNWDIKPVSDVDILTVGGERPTWRQATPMTRCRGTIRGCAQLRI >Potri.002G084400.1.v4.1 pep chromosome:Pop_tri_v4:2:6007505:6009004:1 gene:Potri.002G084400.v4.1 transcript:Potri.002G084400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084400.v4.1 MEFANRLVAAATKAANNNTVINVCLVGSFLVLAARSANQQNNIEALKAEKDSLVKSNKAMKKTMWDWKQQLFSEAETDSDLVTVARLRAIYGEAPPPQTGDAVKEEAKSSASKLVI >Potri.002G084400.3.v4.1 pep chromosome:Pop_tri_v4:2:6007445:6008913:1 gene:Potri.002G084400.v4.1 transcript:Potri.002G084400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G084400.v4.1 MEFANRLVAAATKAANNNTVINVCLVGSFLVLAARSANQQNNIEALKAEKDSLVKSNKAMKKTMWDWKQQLFSEAETDSDLVTVARLRAIYGEAPPPQTGTFFYKSVHILPRASIA >Potri.010G136601.1.v4.1 pep chromosome:Pop_tri_v4:10:15138886:15142250:1 gene:Potri.010G136601.v4.1 transcript:Potri.010G136601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G136601.v4.1 MALPATMKVLGLGLGIGLIVFVAVLTDCSTYGGLMGDAFGNVGRRVLQIFVMLNNVRVLIVYMIIIADVLSGTSSCGVHHAGALEETTDSLRYTSALAVALAVVFLVITAGITAVILVNGSANMPSVFTVVPILDTVFICHFNLEDSSMIQPVVQTSLALCSAVHIMTSFFGFLLFGDSTLDDMLANFDTDLGVPYSSLLNDIVCISYALHLMLVFHVIFHPLRLNLDGLLFPSATPLVSDNHSIRVAFQFTGATSAACLGFIFLAAIALRDPHFAAAKKDKVMSVLVIFLALFSSLVAIYSDACALFRRNPSPHA >Potri.013G056750.1.v4.1 pep chromosome:Pop_tri_v4:13:4162843:4163060:1 gene:Potri.013G056750.v4.1 transcript:Potri.013G056750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056750.v4.1 MPMMFFYFLKIIFDISTSKRSKRYKPHSILAKKKKKKFEIRRKAG >Potri.002G178801.1.v4.1 pep chromosome:Pop_tri_v4:2:14008511:14011206:-1 gene:Potri.002G178801.v4.1 transcript:Potri.002G178801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G178801.v4.1 MGQKDQNLLFHKETSSKPNQQLKLYSLYGQSTNDQDHSIQCPFRNPKEDSLNWNDVSYDISETLGQDIDSEIPNQDIYLMVYFNIESEIKNLYLFMNSPNGWVIPRITIYDTMQFVLPVVQTVCMGLVVSMRSFILVGGKITKHLAFPHACELFMRDKVMIHQPIATFYEAQIEEFVLEAVFKDMERDVFMVAAEAQVHGIVNLEAVA >Potri.011G113300.1.v4.1 pep chromosome:Pop_tri_v4:11:14397033:14398530:-1 gene:Potri.011G113300.v4.1 transcript:Potri.011G113300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G113300.v4.1 MHGKVFSYNYGKRIRSCVIVMAEEVVLLGFWASPFAMRVKVALAEKEIDYVSREQNLFNKSSLLLEMNPVYKKVPVLIHEGKPICESLIIIEYIDEVWKHKAPLFPSDPCERAHARFWADYVDKHIFPNARLLWAAKGERQEAAKKSLIESFKALEGELGDKPYFGGESFGLRDIALIPFFSFFYAFETLGRFSMEEECPEIVAWAKRCSQRETVSKSVVLDQHKAYEFVLELMAWHGVK >Potri.001G015300.2.v4.1 pep chromosome:Pop_tri_v4:1:1100112:1105064:1 gene:Potri.001G015300.v4.1 transcript:Potri.001G015300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015300.v4.1 MLKPQVYQQSNPTRALLRFTKQFIPGNDHSSFHLKPRPKAQKHFKTGFKPRSSKAIASLSQDTKIKVKAIVKVKRNVGGLLTSLGIDQGLNDIQDLLGKTILLELISAELHPKSELEKPTIKGYAHRRLSQANEYVKYEAGFEVPLDFGEVGAISVENGYHTEVFLQEIVLNGLPQGNINVTCGSWIHSKYKNNRKRVFFTNKSYVPSQTPSGLRRLRKEEIIILRGNGLGKQQAGDRIYDYDVYNDIGDPDKSSELARPVLGGKKLPYPRRCRTGRPRSEIDPTSEIRKGDFYIPRDEASSEVKQITFYAKTSVSLIQAFVPSIGLWKTLLPQLFKAINIPNGEDMLRFEMPKTMKRDKFCWLRDGEFGRQILAGLNPFSIKLVMEWPINSKLDPQIYGPPESMLTTELIEAQIGGLIKVHEAIKQRKLFILDYHDLLLPFVSKVREIEGTTLYGSRTLFFLTPEGTLRPLAIELTRPPIDGKPQWKQVFTPCDHSTGLWLWILAKAHVLAHDSGYHQLVSHWLRTHCVTEPYIIATHRQLSVMHPIFRLLHPHFRNTMAINALARESLINAGSIIESSFSPGKYSMEICSFAYDQLWRFDNEALPNDLISRGMAIEDPTAPHGLKLTIEDYPFANDGLILWDAIKQWVSDYVHHYYPDPSFVSSDEELQAWWTEIRTVGHADKKDEPWWPELRSPHNLIDILTTIIWVASGHHAAVNFGQYTYAGYILNRPTIARIKMPTEDPTDEDWNRFLEKPESVLLETYPSKLQATTVIASLYVLSYHSPYEEYIGEHIEASWADDPIIKTAFEKFNGKLKELEQIINQRNANPELKNRNGAGIVPYELMIPFSKPGVTGKGVPYSISI >Potri.001G015300.1.v4.1 pep chromosome:Pop_tri_v4:1:1100100:1105070:1 gene:Potri.001G015300.v4.1 transcript:Potri.001G015300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G015300.v4.1 MLKPQVYQQSNPTRALLRFTKQFIPGNDHSSFHLKPRPKAQKHFKTGFKPRSSKAIASLSQDTKIKVKAIVKVKRNVGGLLTSLGIDQGLNDIQDLLGKTILLELISAELHPKSELEKPTIKGYAHRRLSQANEYVKYEAGFEVPLDFGEVGAISVENGYHTEVFLQEIVLNGLPQGNINVTCGSWIHSKYKNNRKRVFFTNKSYVPSQTPSGLRRLRKEEIIILRGNGLGKQQAGDRIYDYDVYNDIGDPDKSSELARPVLGGKKLPYPRRCRTGRPRSEIDPTSEIRKGDFYIPRDEASSEVKQITFYAKTSVSLIQAFVPSIGNAITCADHGFEYFTSIESLYDEELELPPLPNEGLWKTLLPQLFKAINIPNGEDMLRFEMPKTMKRDKFCWLRDGEFGRQILAGLNPFSIKLVMEWPINSKLDPQIYGPPESMLTTELIEAQIGGLIKVHEAIKQRKLFILDYHDLLLPFVSKVREIEGTTLYGSRTLFFLTPEGTLRPLAIELTRPPIDGKPQWKQVFTPCDHSTGLWLWILAKAHVLAHDSGYHQLVSHWLRTHCVTEPYIIATHRQLSVMHPIFRLLHPHFRNTMAINALARESLINAGSIIESSFSPGKYSMEICSFAYDQLWRFDNEALPNDLISRGMAIEDPTAPHGLKLTIEDYPFANDGLILWDAIKQWVSDYVHHYYPDPSFVSSDEELQAWWTEIRTVGHADKKDEPWWPELRSPHNLIDILTTIIWVASGHHAAVNFGQYTYAGYILNRPTIARIKMPTEDPTDEDWNRFLEKPESVLLETYPSKLQATTVIASLYVLSYHSPYEEYIGEHIEASWADDPIIKTAFEKFNGKLKELEQIINQRNANPELKNRNGAGIVPYELMIPFSKPGVTGKGVPYSISI >Potri.008G059100.1.v4.1 pep chromosome:Pop_tri_v4:8:3538348:3541522:1 gene:Potri.008G059100.v4.1 transcript:Potri.008G059100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059100.v4.1 MAESSRFKDSRWSLHGMTALVTGGTRGIGNATVEELAGFGARVHTCSRNEEELNKCLKEWEAKGFVVTGSVCDASSRVQREKLIEEVGSVFHGKLNSLVNNVGTNIRKPTTGYSAEEFSNLLATNFESAYHLSQIAHPLLKESGAGSVVFISSVAGLLHIGSGSIYGASKGAINQLTKNLACEWAKDNIRTNCVAPWYIRTSLVEHLLDDKVFLDKIISRTPLQRVGDPKEVSSLVGFLCLPAAAYITGQVISVDGGFTVNGFNPV >Potri.007G031600.1.v4.1 pep chromosome:Pop_tri_v4:7:2430698:2433676:1 gene:Potri.007G031600.v4.1 transcript:Potri.007G031600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031600.v4.1 MESGFAESTSVPPERSSYSSNNGNDAGDFECNICFELARDPIVTLCGHLYCWPCLYQWLHLHSHSHECPVCKAIIQEEKLVPLYGRGNSQSDPRSKSYPGIDIPSRPSGQRPETAPPPDANNSPNFGFGMAGGYMPTAAARSGNFTFSTAFGGLSHFPSFFNVQFQGFPDATVYGTTSGFPYGFHGFHGFHGHHAHRFPPATIRGQRADNVLKNLFFLIGFLVVIALLWW >Potri.009G053000.1.v4.1 pep chromosome:Pop_tri_v4:9:5757158:5757538:-1 gene:Potri.009G053000.v4.1 transcript:Potri.009G053000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G053000.v4.1 MAPTGRKRARARTRTRTTRKTQYKRAAVKPKSIKGASTIDQDFPSNSSTSCDDCSRIDGLDVEGVDISTSACSTPKAERFRIPEIQTCPPAPRKQRMISICSLQRRPIAFFAPPDLELFFFYALRV >Potri.007G010400.3.v4.1 pep chromosome:Pop_tri_v4:7:799884:801927:1 gene:Potri.007G010400.v4.1 transcript:Potri.007G010400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G010400.v4.1 MAAGITRVSSCYYHHLILKNKNGLSASVAVSTSFKKMVTNAASATITASSSINHGEYRSIAERVSLEKEIKKSKFIAIAAPISDEESAFSFLSEVRDPRATHNCWAFKVGQHSRCNDDGEPSGTAGKPIQSAILSSHIDRVMVVVIRYFGGIKLGTGGLVRAYGGVTSECLRNAPTCLVKSKVPMAVEVPFDLLGLVQHQLQHFQVEDIKQDYETGKDGFAMISFKVDFDQVEKLENAVKANCSRQLVFYKQ >Potri.001G314300.1.v4.1 pep chromosome:Pop_tri_v4:1:32514064:32520859:1 gene:Potri.001G314300.v4.1 transcript:Potri.001G314300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G314300.v4.1 MATTMVSSAGGLLAMLNESHPLLKQHALYNLNNLVDQFWPEISTSVPIIESLYEDDEFDLHQRQLAALLVSKVFYYLGELNDSLSYALGAGSLFDVSEDSDYVHTLLAKAIDEYASLKSKAAESNADGADVDPRLEAIVERLLDKCIMDGKYQQAMGIAIECRRLDKLEEAIMKSDNVQGTLSYCINVSHSYVNRREYRQEVLQLLVKVYQKLPSPDYLSICQCLMFLDEPEGVASILEKLLRSGNKDEALLAFQIAFDLVENEHQAFLLNVRDRLSPPKSQVSEPALPKSTAPDSSQNENSSAPEDVQMTEGTSSSTVHEIDPSEAVYAERLTKIKGILSGETSIQLTLQFLYSHNKSDLLILKTIKQSVEMRNSVCHSATIYANAIMHAGTTVDTFLRENLDWLSRATNWAKFSATAGLGVIHRGHLQQGRSLMAPYLPQGGAGGGGSPYSEGGALYALGLIHANHGEGIKQFLRESLRSTSVEVIQHGACLGLGLAALGTADEDIFDDIKSALYTDSAVAGEAAGISMGLLMVGTASEKTSEMLAYAHDTQHEKIIRGLALGIALTVYGREEEADTLIEQMTRDQDPILRYGGMYALALAYSGTANNKAIRQLLHFAVSDVSDDVRRTAVLALGFVLYSEPEQTPRIVSLLSESYNPHVRYGAALAVGISCAGTGLSEAISLLEPLTSDVVDFVRQGALIAMAMVMVQMNEASDSRVGTFRRQLEKIILDKHEDTMSKMGAILASGILDAGGRNVTIRLLSKTKHDKITAVVGLAVFSQFWYWYPLIYFISLAFSPTAFIGLNYDLKVPKFEFVSNAKPSLFEYPKPTTVPTATSAVKLPAAVLSTSVKAKARAKKEADQKATAEKAAGVESSPASTSAGKGKAPSEKDGDAMQVDGQPEKKAEPEPSHEILTNPARVVPAQEKFIKFMEDSRYVPVKSAPSGFVLLRDLQPTEPEVLSLTDTPSSAASPASGSTTGQQSSASAMAVDEEPQPPQPFEYTS >Potri.016G016600.1.v4.1 pep chromosome:Pop_tri_v4:16:867151:868485:1 gene:Potri.016G016600.v4.1 transcript:Potri.016G016600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016600.v4.1 MHPTVDPSTTKYNESLAASTLPDRMRVINLPRVESITSDTKPSTWLSSLVEGQKPHVKEYVSRIRTQYEMNPDSPRLAGFVFDTFATGLKDVSNEFGIPWYVFSAAGAAFIGSMLHLTALHDEQGVDITEITNSEDELEIPSLANPIPAKLVPSTVFEKYSLTTFLVHARLLTEAKGILINTFLELESYAVNSLSHGKTPPVYPVGPIVKHSGVGSDPLSDESNNSRDIMGWLDDQPPWGSFKENQVKEIAFALERSGHRFLWSLRKPSQNGKMKSPSEYENFQSILPQGFLDRTAKIGKVIGWAPQVEILSHSASLRFGVPIATWPLYAEQRFNAFQKVIEVGLAFEIKIDYRKDFYGDTEIIVSSDDILKAIKNVMEQDSEIRKKVKEMSRISEKTLVAGGSSFSSLGRLIEDMVENMS >Potri.006G076000.1.v4.1 pep chromosome:Pop_tri_v4:6:5597347:5601902:-1 gene:Potri.006G076000.v4.1 transcript:Potri.006G076000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G076000.v4.1 MRSASIEQEMPAGEGNRSRFENLRGVQWRIDLGILPCPSSSSVDDLRRVTANSRRRYAGLRRRLLVDPHMSKEGSSSPDPVIDNPLSQNPDSTWGRFFRNAELEKTLDQDLSRLYPEHGSYFQTPGCQGMLRRILLLWCLRHPEYGYRQGMHEVLAPFLYVLHIDVECLSEVRKQYEDHFTDKFDGLAFQENDLTYNFDFKIFLDSMEDEIGSHGNTIKVKSLNELDPEIQMTVLLTDAYGAEGELGIVMSEKFMEHDAYCMFDALMSGSHGSVAIVDFYSHSPACGSHSGLPPVIEASAALYHLLSVVDSSLHEHLVELGVEPQYFALRWLRVLFGREFSLENLLLIWDSIFAADNNIILDKVAEDDADFGFRIFRSPRGALIPAMAVSMILHLRSSLLSTEHATTCLQRLLNFPENIDLRKLINKAKSLQTLALDTNMSSVSPPFDGIYNHSRSMVTRGHTHTLSSDSVSPKTPLNAVPDSYWEEKWRVMHKAEELKHDSLGKLNPTQKKRWTEKVRLPLCRTESAPTPVSVGSGKKDQKSSVRRSLLEDLSRELGLDEDTGKPDCHEVSGEKDHRTAEVEEGGPVNVNNDFACSTVERCLSGIAGSEETSSVFSDPSSSLSGVNDHENESEKSSVASNMSVDENDDQPEALQEDSTRPVSHPPEAASLNSGTNNEPTGKQVAGPKERKLLSGKFQWIWKFGRNTAGEETSEKGSDTLETTKPGNDASNQINSIGSSSVNGSCNSYASSEGESVDQNVMGTLRNLGQSMLEHIQVIESVFQQDRGQVGSLENFSKSVIVGKGQVTALTALKELRKISNLLTEM >Potri.009G090400.1.v4.1 pep chromosome:Pop_tri_v4:9:8325223:8327539:1 gene:Potri.009G090400.v4.1 transcript:Potri.009G090400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G090400.v4.1 MTVSNQMSMRINTLELKSLIVKKIGRQRADKYFYQLTGLLNLKITKCEFDKFFVGIIGRENIPLHNRFIQSILKNPCCSKVPPSKSAKRAGSNLTVTNGYQKNSLQSLYGDAFPSSPRKGRSPVNRDCKFRDRPSPLGPLGKPQSVECEELNARGQEQQSATELLSLGSRPPVEVASVEDGEEVDQMAGSPSVQSRSPVTAPLGISMNFGSRKALSNASLCNNHLKRTCLNSGELPDTRSLRSRLEQKLEMEGISASLDCVNLLNNGLDAYLKRLIEPCMALAGSRHGKEYLKKASGQFIPGLNEMLPGKYMQRETKSIYASMLDFRVSMESNPQILGEDWPTQLEKISLQGFEG >Potri.001G289400.11.v4.1 pep chromosome:Pop_tri_v4:1:30186884:30189543:1 gene:Potri.001G289400.v4.1 transcript:Potri.001G289400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G289400.v4.1 MPNATSEWLIKSTLPPLSIFPSKNFPGKSNSRKTILKLRTFYGSSRRYYEEQQKFKVFCSVREEDNNKRNGEEPPESLFMKELKRRGMTPTSLLEETNRGNYGVEDEMKIGEEDWGFSKRNSVSTEIDKGLSDQRERSMALNSEGLEGLIPRAKLLLTIGGAFFLGFWPLILIIVASFSALYSCFGSSFVHDGSDAPTSPPQHIDPYELLEDERISQIAPSLK >Potri.002G221600.1.v4.1 pep chromosome:Pop_tri_v4:2:20592859:20595782:-1 gene:Potri.002G221600.v4.1 transcript:Potri.002G221600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221600.v4.1 MVSSEEVSPKELQKRQSLDGGTDTAQQTRGSGGDASQQEGIPPSNAPEKRFENTGGRILQLDKEGSVSSLTPRKLSHTPGSDLHSLQSGQERWSPSIMREKVSEDGYRWRKYGQKLVKGNEFIRSYYKCTHPSCQVKKQLECSHDGKLADIVYIGEHEHPKPQLNLPQAVGCDLSTVEEKPDNLLLTAVEESQAPNPIEPTTTSQISTVTSSEYVKRVLSEPNRIRDEIDDDQRSKQRKKGNCNDDSTPLDKPTGEPRLVIQTKCEVDTVNDGYRWRKYGQKLVKGNPNPRSYYRCSSPGCPVKKHVERAYNDPKLVITSYEGQHDHDMPPSRTVAQNTTGLNTCTTTIQNGVSGAKSGESDAISHFP >Potri.001G119900.1.v4.1 pep chromosome:Pop_tri_v4:1:9734384:9735339:-1 gene:Potri.001G119900.v4.1 transcript:Potri.001G119900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G119900.v4.1 MIKRIRGFRLGRKKLARFFKWIAQRRREPARLCSRDHPRRPCNSISKILDMARYFTRGAKTLCFPNSDPGYIKLGHAKPMEVPKGHLAVYVGESDGDTRRELVPVIFFNHPLFAELLQRTERVNGYNHSGGITIPCGYSEFEKVKTRIAAWENCHNSIWTRHYKYW >Potri.003G056000.1.v4.1 pep chromosome:Pop_tri_v4:3:8193093:8195471:-1 gene:Potri.003G056000.v4.1 transcript:Potri.003G056000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G056000.v4.1 MDDTEDDARYPPNPYGENNQKSYGSNRHKLLAGNAMYLREVDDQYSIDEDEDSDEEEEEDEHELGVEDGENNQKNGIHYEGKDVDEEEEEEEEEGVDDEEDEDDNQKYNRRIDEDEDDNEKYNMRIDDDDDDGDIERHPKKQKLKSLVSSYEFAPRVPAPHVAVPAGPKPSVGGRNPLTDWTERETFVLLDAWGDKFLQRGKKSLRSDEWQEVAEKVSEKSKIERTDTQCRNRLDTLKKKYKVEKIKLAEAGGGASKWVYFKKLDILMSTSAQQGGLSCGLDSGEYVFMNSKAYSNHANGLDGMRDSPGNSESAHDDDDSDGLPPKKRRLGRESRNCNEQSSFGLLADSIQKFSEIYEKIESSKRQQMLELEKMKMDFQRDLEMEKRQIIERAKAEIAKIHQDSEDEDTMSANSA >Potri.001G467000.2.v4.1 pep chromosome:Pop_tri_v4:1:49191661:49195375:1 gene:Potri.001G467000.v4.1 transcript:Potri.001G467000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467000.v4.1 MVMEKVQGRGFLGVVFLVIVFLSVSSGEAQSRSFLVNCGANSSVNVDGRRWIGDLVPNDNFTVSSPGVAATDSTANGDSLYRTARIFDNGLNYTFSGVQGNYFVRLHFCPFPIENHNVNESLFSVVANGLKLLADFNVPGEISDKYLPLQNSNSNSSSLSLVKEYILAINDVLVVEFIPSKGSFGFINAMEIVPVIGTLFADLVRRVGGSDANVSGRGIETMYRLNVGGQEIKPDQDSDLWRKWEVDSSYMITADAGVEIKNSSNVTYASNNDSSVAPLLVYETARIMSNTEVLEKKFNMSWKFEVDPDFDYLIRLHFCELVYDKANQRIFKVYINNKTAADNFDVYARSGGKNIAYHQDYFDAISAKINTLWIQLGPDTAVGAWGTDALLNGLEIFKLSRSGNLAYGDRIGPTGKSASHLKSWILWLGIGAGVASALIIAIACTCIFCFCKSQRNEMSNTKDNPPGWRPLFMHGAVLSSIANAKGGAQTLNGSVAAFTRVGRRFTLSEIRAATDNFDDSLVIGVGGFGKVYKGEIEDGTLAAIKRSNPQSEQGLAEFETEIEMLSKLRHRHLVSLIGFCDEQNEMILVYEFMANGTLRSHLFGSGFPPLTWKQRLEACTGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKMADFGLSKDGPALDHTHVSTAVKGSFGYLDPEYFRRQHLTEKSDVYSFGVVLFEVVCSRPVINPSLPKDQINLAEWAMKWQRQRSLETIIDPRLRGNSCPESLKKFGEIAEKCLADEGRNRPTMGEVLWHLEYVLQLHEAWMRTNATETSITSSQALEDLELRVAEDAQRRPSSLDEDTVRPRHEG >Potri.001G467000.1.v4.1 pep chromosome:Pop_tri_v4:1:49191500:49195270:1 gene:Potri.001G467000.v4.1 transcript:Potri.001G467000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G467000.v4.1 MVMEKVQGRGFLGVVFLVIVFLSVSSGEAQSRSFLVNCGANSSVNVDGRRWIGDLVPNDNFTVSSPGVAATDSTANGDSLYRTARIFDNGLNYTFSGVQGNYFVRLHFCPFPIENHNVNESLFSVVANGLKLLADFNVPGEISDKYLPLQNSNSNSSSLSLVKEYILAINDVLVVEFIPSKGSFGFINAMEIVPVIGTLFADLVRRVGGSDANVSGRGIETMYRLNVGGQEIKPDQDSDLWRKWEVDSSYMITADAGVEIKNSSNVTYASNNDSSVAPLLVYETARIMSNTEVLEKKFNMSWKFEVDPDFDYLIRLHFCELVYDKANQRIFKVYINNKTAADNFDVYARSGGKNIAYHQDYFDAISAKINTLWIQLGPDTAVGAWGTDALLNGLEIFKLSRSGNLAYGDRIGPTGKSASHLKSWILWLGIGAGVASALIIAIACTCIFCFCKSQRNEMSNTKDNPPGWRPLFMHGAVLSSIANAKGGAQTLNGSVAAFTRVGRRFTLSEIRAATDNFDDSLVIGVGGFGKVYKGEIEDGTLAAIKRSNPQSEQGLAEFETEIEMLSKLRHRHLVSLIGFCDEQNEMILVYEFMANGTLRSHLFGSGFPPLTWKQRLEACTGAARGLHYLHTGADRGIIHRDVKTTNILLDENFVAKMADFGLSKDGPALDHTHVSTAVKGSFGYLDPEYFRRQHLTEKSDVYSFGVVLFEVVCSRPVINPSLPKDQINLAEWAMKWQRQRSLETIIDPRLRGNSCPESLKKFGEIAEKCLADEGRNRPTMGEVLWHLEYVLQLHEAWMRTNATETSITSSQALEDLELRVAEDAQRRPSSLDEDTVRPRHEG >Potri.002G077300.1.v4.1 pep chromosome:Pop_tri_v4:2:5404738:5405898:-1 gene:Potri.002G077300.v4.1 transcript:Potri.002G077300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G077300.v4.1 MCPLKTNDLHRIFHELDKNGDGLLSTVELNWLLESIGVHFSLEELESSVGKSCLSFDEFSLFYDSITKQSDDPSNKAVLADDEEGRNKEECDLFEAFKVFDSNGDGFISCEELQSLLSKLGLWDEKTGKDCRSMLCRYDTNLDGVVDFEEFKKMMLRTSL >Potri.002G156833.1.v4.1 pep chromosome:Pop_tri_v4:2:11949379:11949821:1 gene:Potri.002G156833.v4.1 transcript:Potri.002G156833.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G156833.v4.1 MEESTKRYAVVTGANKGLGWGIVKLLASKGVMVVLTARDETRGLEAVEKLNECGLSDHVVFHLLDVMDPASIASLADFIRIQYGNLDILVRPRANLHKTCGAKVSLALIFSV >Potri.007G112300.2.v4.1 pep chromosome:Pop_tri_v4:7:13336628:13340968:1 gene:Potri.007G112300.v4.1 transcript:Potri.007G112300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G112300.v4.1 MKEEDVNRCQIQEWYPKFKPVSIRTVIHELPESFVEYLVDDSGPFLLPLSISGEDALPNRIHNPIDEEDYQVSEGPGDESEQPPLPPSFPELELNIKESINTLGGAVFPKLNWSAPKDSAWISTSGTLRCTSFSEIALLLRSSDSLVHDLCHAYDSCIDKTLLRPPSFFLALRKWYSSFLPEMEFRCFVRGQQLVGISQREVTTFYPTLLEEKNDLELLIKEFFTENVRQKFESENYTFDVYVTKDGRAKILDFNPWGAFTLPLLFTWEELEQNLEEVENVVDFRIVESQCGIRPGLKTAVPQDYLDTGPGSGWDQFLRKADKELQQQKMAPGNGA >Potri.014G147400.13.v4.1 pep chromosome:Pop_tri_v4:14:10108781:10115370:-1 gene:Potri.014G147400.v4.1 transcript:Potri.014G147400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G147400.v4.1 MSYFQRLGDMNYMAEEAEMVDFVDEIDGGAAAGVEDVEANEYDLLTKATDTSSGQARNGQDIQGIPWERLNITREKYRLTRLEQYKNYENIPLSGEAVDKECKQMEKGGHYYEFFHNTRSVKPTILHFQLRNLVWATSKHDVYLVSNYSVMHWSSISGNLSEVINFAGHVAPSEKHAGSLLEGFTQTQISTIAVKDNFLVAGGFQGELTCKRLDKQGVSFCTRTTYDDNAITNAIEIYDGMRGGIKFMASNNDCGLREYDLETFQLLNHFRFPWPVNHTSMSPDSRLMTVVGDNLDGLLVDSQSGKTVSTVEGHSDYSFASAWHPDGRVFATGNQDKTCRVWDIRKLSSPTVILKGNLGAVRSIRFSSDGQFMFVAEPADFVHVYSTRDDYRKRQEIDFFGEISGVALSPDDESLSIGIWDRTYASLLQYNKRHKYGYLDSYL >Potri.012G143800.1.v4.1 pep chromosome:Pop_tri_v4:12:15465551:15469206:1 gene:Potri.012G143800.v4.1 transcript:Potri.012G143800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G143800.v4.1 MWFLSRKGTSGFSASSTAEDVTQGIDGSGLTAIVTGASSGIGAETARVLALRGVHVVMGVRNLEAGRAVKEAIVKGNPNAKLDAMDLDLSSMASVKKFAEDFKSLNLPLNLLINNAGIMATPFMLSKDNIELQFATNHVGHFLLTNLLMETIRKTARASRKEGRIVNVSSRRHKFSYPEGIRFAKLNDPSGYNSLSAYGQSKLANILHANELARQLKEDRVEVTANSVHPGLIATNLFRHYSFLTGLVGLVGKYVIKNVQQGAATTCYVALHPKVKAMSGQYFADSSIAKASLQANDAELATKLWDFSLDLVRRGSTP >Potri.012G079600.2.v4.1 pep chromosome:Pop_tri_v4:12:10417092:10421056:1 gene:Potri.012G079600.v4.1 transcript:Potri.012G079600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079600.v4.1 MAMRGIDFKWYDGFFLSMLATSAIIVAINWRRYHICTYPLHIWIMVDYTTVFVFRLLMFIDNGLAAGMGLDFGRQQRYARFCGRIVVLSILSLLLYPFLWAWTITGTLWFTRARDCLPEEGQKWGFLIWLLFSYCGLIGIACISVGKWRVRRQAHHLRAQQGIPISEYGVLVDLIRVPDWAFEAAGQEMRGMGQDAAAYQPGLYLTPTQREAVEALIQELPKFRLKAVPTDCSECLICLEEFYVGNEVRGLPCAHNFHVECIDEWLRLNVKCPRCRCSVFPNLDLSAISNLRADSERSPATVTTNRYVRTVPSSHSYLLRMQGLLWPVQSGNAGDPTDADIDVEAAENGSAHMATGERTGTESVSSAGLALVGQSTQTHH >Potri.002G045800.5.v4.1 pep chromosome:Pop_tri_v4:2:2967799:2971250:1 gene:Potri.002G045800.v4.1 transcript:Potri.002G045800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045800.v4.1 MAQSGADGVSLGIPPLLTTQRKSDDESLGIPALPTTQRKSDDESLGIPALPTTQRKSDGGSLGIPALPITQRKQEVQARQTTSGSSSSDDDDLEGDTGTNENMDPAVVKRARRMQSNRESARRSRRRKQAQLNELETQVGQLRDERTSLLSRFTDVNQKCDDAAVDNRILKADIETLRAKVKMAEEQVKRVTGLNPVLLARSSMPSPGMPFVGGQVDASTNVAIPMQTNPHQFFHQPVQGITPAPPHLQRLNNSFPKRTLVPLATNPQTDNGNSNDGGMAVMPSMQLTADGQSLPAMPSMQQVQKQIGPTVGPAGTLPACDSGLPHVVAKDYKKK >Potri.002G045800.1.v4.1 pep chromosome:Pop_tri_v4:2:2967799:2971281:1 gene:Potri.002G045800.v4.1 transcript:Potri.002G045800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045800.v4.1 MNSVFSVDDFSDPFWLSPPPPPSSTDPKMNRSESEWAFENFLQEMASVPSSASETHTAAPSVLSQSSTSSIPPDNGEDEVVEITKHPIHHHHQHPIPNPHPIPNPHPQPLDRNLTAPIDSEEYRALLKSKLDLACAAVAMSMETNAVKPEVFSSLPEDQRLAARNMSLGTQSFHNGTDQGISMAQSGADGVSLGIPPLLTTQRKSDDESLGIPALPTTQRKSDDESLGIPALPTTQRKSDGGSLGIPALPITQRKQEVQARQTTSGSSSSDDDDLEGDTGTNENMDPAVVKRARRMQSNRESARRSRRRKQAQLNELETQVGQLRDERTSLLSRFTDVNQKCDDAAVDNRILKADIETLRAKVKMAEEQVKRVTGLNPVLLARSSMPSPGMPFVGGQVDASTNVAIPMQTNPHQFFHQPVQGITPAPPHLQRLNNSFPKRTLVPLATNPQTDNGNSNDGGMAVMPSMQLTADGQSLPAMPSMQQVQKQIGPTVGPAGTLPACDSGLPHVVAKDYKKK >Potri.006G199000.5.v4.1 pep chromosome:Pop_tri_v4:6:20661810:20665700:1 gene:Potri.006G199000.v4.1 transcript:Potri.006G199000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199000.v4.1 MDLQVLSAQASPSRDLVKCCICGCSCSLIAGSSSESWIRSVKRKYDEFEERNRFFIPGFDFFSNPRIRIENECSALREMVSSQQQTMQDLYTELEEERNAASSAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMGHDQQEFLALEDVLYKREQAIQSFTCEIQAYKHRMMSYGLTEAEAVGERGGFSRNTSMNENLDAGQFEFPAYDYPPLKCNLNDNPNPLEGEDDIVNVEKYAFGETPHGRENLKNLEYRIYQMERSPRSVQQDGDFSGTKNILEKVVVDHHSPRRSRHSRRFSGDSSSSLIGMSRELGPDFATESPRSKLSNSFKKTDCASQVEDYTNSRKRDNEADFGDDMSDRVYTIDFIHNGVSQNGVTEPKAGIGIYEEHLSTPRETLTRPDISDPDIKKLYLRLQSLEADRESMRQALISMRTDKAQMVLLKEIAQHLCKEMSPERKMPARKPFLLGSFSFTSIFKWVVSIVLWRKKAQRSKYMFGLSAADVGLLILLDKGSRTRQWRCLMSTQV >Potri.006G199000.4.v4.1 pep chromosome:Pop_tri_v4:6:20661865:20665621:1 gene:Potri.006G199000.v4.1 transcript:Potri.006G199000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199000.v4.1 MDLQVLSAQASPSRDLVKCCICGCSCSLIAGSSSESWIRSVKRKYDEFEERNRFFIPGFDFFSNPRIRIENECSALREMVSSQQQTMQDLYTELEEERNAASSAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMGHDQQEFLALEDVLYKREQAIQSFTCEIQAYKHRMMSYGLTEAEAVGERGGFSRNTSMNENLDAGQFEFPAYDYPPLKCNLNDNPNPLEGEDDIVNVEKYAFGETPHGRENLKNLEYRIYQMERSPRSVQQDGDFSGTKNILEKVVVDHHSPRRSRHSRRFSGDSSSSLIGMSRELGPDFATESPRSKLSNSFKKTDCASQVEDYTNSRKRDNEADFGDDMSDRVYTIDFIHNGVSQNGVTEPKAGIGIYEEHLSTPRETLTRPDISDPDIKKLYLRLQSLEADRESMRQALISMRTDKAQMVLLKEIAQHLCKEMSPERKMPARKPFLLGSFSFTSIFKWVVSIVLWRKKAQRSKYMFGLSAADVGLLILLDKGSRTRQWRCLMSTQV >Potri.006G199000.3.v4.1 pep chromosome:Pop_tri_v4:6:20661809:20665629:1 gene:Potri.006G199000.v4.1 transcript:Potri.006G199000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G199000.v4.1 MDLQVLSAQASPSRDLVKCCICGCSCSLIAGSSSESWIRSVKRKYDEFEERNRFFIPGFDFFSNPRIRIENECSALREMVSSQQQTMQDLYTELEEERNAASSAANEAMSMILRLQREKAEIQMEARQFKRFAEEKMGHDQQEFLALEDVLYKREQAIQSFTCEIQAYKHRMMSYGLTEAEAVGERGGFSRNTSMNENLDAGQFEFPAYDYPPLKCNLNDNPNPLEGEDDIVNVEKYAFGETPHGRENLKNLEYRIYQMERSPRSVQQDGDFSGTKNILEKVVVDHHSPRRSRHSRRFSGDSSSSLIGMSRELGPDFATESPRSKLSNSFKKTDCASQVEDYTNSRKRDNEADFGDDMSDRVYTIDFIHNGVSQNGVTEPKAGIGIYEEHLSTPRETLTRPDISDPDIKKLYLRLQSLEADRESMRQALISMRTDKAQMVLLKEIAQHLCKEMSPERKMPARKPFLLGSFSFTSIFKWVVSIVLWRKKAQRSKYMFGLSAADVGLLILLDKGSRTRQWRCLMSTQV >Potri.002G019000.1.v4.1 pep chromosome:Pop_tri_v4:2:1145216:1146938:-1 gene:Potri.002G019000.v4.1 transcript:Potri.002G019000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019000.v4.1 MAVQAQLYPERLGLLPMGGQQDCILNDHVSEFDADWGFAFQEPQQQNLFLDQNNSQNFCFDCNIGASSSSSSYSTTCDSSFSMFLSQCLDVQLDMQRREVDCMLQLQAERLRFALQQQRKQQLGIILKSVESKVSSLIRQNEEDLAQTTKKTMELEVCLRKVEQESEQWQRLAREKEAVVVDLSNTLERIRERLVTPSNKVQDAESFCCGSCDIEQVESQKKVVCKGCNSRTSCVIFLPCRHLCSCKSCEAFLGSCPVCKSVKEASMEVFWV >Potri.002G019000.3.v4.1 pep chromosome:Pop_tri_v4:2:1145216:1147272:-1 gene:Potri.002G019000.v4.1 transcript:Potri.002G019000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G019000.v4.1 MPLKRGSANRERKSSFNLVVVMAVQAQLYPERLGLLPMGGQQDCILNDHVSEFDADWGFAFQEPQQQNLFLDQNNSQNFCFDCNIGASSSSSSYSTTCDSSFSMFLSQCLDVQLDMQRREVDCMLQLQAERLRFALQQQRKQQLGIILKSVESKVSSLIRQNEEDLAQTTKKTMELEVCLRKVEQESEQWQRLAREKEAVVVDLSNTLERIRERLVTPSNKVQDAESFCCGSCDIEQVESQKKVVCKGCNSRTSCVIFLPCRHLCSCKSCEAFLGSCPVCKSVKEASMEVFWV >Potri.010G141201.1.v4.1 pep chromosome:Pop_tri_v4:10:15434520:15436702:-1 gene:Potri.010G141201.v4.1 transcript:Potri.010G141201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G141201.v4.1 MVEWGISLNAVTHNILIRSLCVRGDAARSMKLLLDMQKHGKSLDILSAFLVGVSIFCCNLGKADEDGPQTGTGIAGGMPQTGTGIVDGDPAEVVAKALLCFNNKYVGGILILSTHGRIHAVDWSSTLKYVRLIIAASPSDPFLCLFLTCILMLPIRFIISFTSLRYWVKYLRFWEQSLVWPFLGLGLCSFSL >Potri.011G109100.3.v4.1 pep chromosome:Pop_tri_v4:11:13882498:13882802:1 gene:Potri.011G109100.v4.1 transcript:Potri.011G109100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109100.v4.1 MTIEEAAAGPAGPKVLRLLYFVGAGFICTVGINKWREIERKSILEQQQQEKKMKSDFLPRSSTNSVQKAIK >Potri.014G034600.1.v4.1 pep chromosome:Pop_tri_v4:14:2161600:2165743:1 gene:Potri.014G034600.v4.1 transcript:Potri.014G034600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034600.v4.1 MQSSGSDSQTQQEQNQRQQPPPPPQQQQWIPIQYPAAAMVMQHQIIPPQHYGPPRPQHYLAAAYHQYQPHHHHLPHVQQHTQKQQREGSGENKTIWIGDLHHWMDENYLHSCFVSTGEIASIKVIRNKQTGLSEGYGFVEFLTHATAEKVLQNYGGILMPNTEQPFRLNWATFSTGDKRSDNAPDLSIFVGDLAADVTDSLLQETFVSKYPSVKAAKVVFDANTGRSKGYGFVRFGDDSERTQAMTEMNGVYCSSRPMRIGAATPRKSSGYQQQGGYGSNGASAQGFQSDGDSNNTTIFVGGLDPNVTDEDLKQPFSQYGEIVSVKIPVGKGCGFVQFANRDNAEEALQKLNGTVIGKQTVRLSWGRNPANKQFRADFGSPWNGAYYGGQVYDGYGYALPPPHDPSMYAAAAAAYGAYPIYGSHQQQVS >Potri.011G011650.1.v4.1 pep chromosome:Pop_tri_v4:11:1075900:1076186:1 gene:Potri.011G011650.v4.1 transcript:Potri.011G011650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G011650.v4.1 MGCLLIVKNYTSDRLNFGLAAEQAKFESYKVETVIVGDDCALPPPRGIAG >Potri.018G026500.1.v4.1 pep chromosome:Pop_tri_v4:18:1958328:1961567:-1 gene:Potri.018G026500.v4.1 transcript:Potri.018G026500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G026500.v4.1 MANMIMGTSKPLITLSSSSLPTNSKPKLQIPRLSFPKLLKITKPQLLSLSTSTLKSLSLIAATSLTFAPPSLAEEIEKAALFDFNLTLPIIMVEFLVLMVALDKIWFSPLGNFMDERDAAIKEKLSSVKDTSEEVKQLEEQAAAVMRAARAEISAALNKMKKETQGEVEQKLAEGRKKIEAELQEALAKLDTQKEETIKALDSQIAALSDEIVKKVLPVQ >Potri.017G098800.1.v4.1 pep chromosome:Pop_tri_v4:17:11057667:11058423:1 gene:Potri.017G098800.v4.1 transcript:Potri.017G098800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098800.v4.1 MGRWMKPEVYPLLAAMTCVTSLCIFQLTRNVFMNPDVRVNKANRGMGVLENKEEGERYAEHGLRKFLRTRPPEIMPTVNHFFSEDK >Potri.007G046200.2.v4.1 pep chromosome:Pop_tri_v4:7:4194928:4199091:1 gene:Potri.007G046200.v4.1 transcript:Potri.007G046200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046200.v4.1 MWNLNDSPDQTRDDESEGCSSQKTSIDGEDDKGKRVGSVSNSSSSAVVFEDGSEEEDAVGEKGNKIIKKRSISFSSSSSSKIFGFSVPYDQYSMDMSDPPVTRQFFPLEDQEMGSTSGGGGSFGGGDGVGGGFPRAHWVGVKFCQSESSLASQKSMEVSQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFRIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYENELNSNGNAADHNLDLSLGNPASKQNSIEFGQDRHNVAMEQHSATMPFEPNWQNRGLRPKQLNLCTSDNDGHGRDGYGETETTQLLSKIHIQSPASLKSSEMPRYEQFRRSLGDSQMHPFLPPQYNSPNYQTQHPSSSNGGRIGSDLSLSPSELHYNHHYQQWQAGPPRFANAAASSGFQQQIRTPQNWLQKNGFNSLMRPS >Potri.007G046200.4.v4.1 pep chromosome:Pop_tri_v4:7:4195035:4199049:1 gene:Potri.007G046200.v4.1 transcript:Potri.007G046200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046200.v4.1 MWNLNDSPDQTRDDESEGCSSQKTSIDGEDDKGKRVGSVSNSSSSAVVFEDGSEEEDAVGEKGNKIIKKRSISFSSSSSSKIFGFSVPYDQYSMDMSDPPVTRQFFPLEDQEMGSTSGGGGSFGGGDGVGGGFPRAHWVGVKFCQSESSLASQKSMEVSQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFRIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYENELNSNESPGNAADHNLDLSLGNPASKQNSIEFGQDRHNVAMEQHSATMPFEPNWQNRGLRPKLNLCTSDNDGHGRDGYGETETTQLLSKIHIQSPASLKSSEMPRYEQFRRSLGDSQMHPFLPPQYNSPNYQTQHPSSSNGGRIGSDLSLSPSELHYNHHYQQWQAGPPRFANAAASSGFQQQIRTPQNWLQKNGFNSLMRPS >Potri.007G046200.5.v4.1 pep chromosome:Pop_tri_v4:7:4195035:4198870:1 gene:Potri.007G046200.v4.1 transcript:Potri.007G046200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046200.v4.1 MWNLNDSPDQTRDDESEGCSSQKTSIDGEDDKGKRVGSVSNSSSSAVVFEDGSEEEDAVGEKGNKIIKKRSISFSSSSSSKIFGFSVPYDQYSMDMSDPPVTRQFFPLEDQEMGSTSGGGGSFGGGDGVGGGFPRAHWVGVKFCQSESSLASQKSMEVSQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFRIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYENELNSNGNAADHNLDLSLGNPASKQNSIEFGQDRHNVAMEQHSATMPFEPNWQNRGLRPKLNLCTSDNDGHGRDGYGETETTQLLSKIHIQSPASLKSSEMPRYEQFRRSLGDSQMHPFLPPQYNSPNYQTQHPSSSNGGRIGSDLSLSPSELHYNHHYQQWQAGPPRFANAAASSGFQQQIRTPQNWLQKNGFNSLMRPS >Potri.007G046200.1.v4.1 pep chromosome:Pop_tri_v4:7:4194928:4199109:1 gene:Potri.007G046200.v4.1 transcript:Potri.007G046200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G046200.v4.1 MWNLNDSPDQTRDDESEGCSSQKTSIDGEDDKGKRVGSVSNSSSSAVVFEDGSEEEDAVGEKGNKIIKKRSISFSSSSSSKIFGFSVPYDQYSMDMSDPPVTRQFFPLEDQEMGSTSGGGGSFGGGDGVGGGFPRAHWVGVKFCQSESSLASQKSMEVSQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFRIEDYEEDLKQMSNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEIEAARAYDKAAIKCNGKEAVTNFDPSIYENELNSNESPGNAADHNLDLSLGNPASKQNSIEFGQDRHNVAMEQHSATMPFEPNWQNRGLRPKQLNLCTSDNDGHGRDGYGETETTQLLSKIHIQSPASLKSSEMPRYEQFRRSLGDSQMHPFLPPQYNSPNYQTQHPSSSNGGRIGSDLSLSPSELHYNHHYQQWQAGPPRFANAAASSGFQQQIRTPQNWLQKNGFNSLMRPS >Potri.008G148100.2.v4.1 pep chromosome:Pop_tri_v4:8:10086925:10094063:1 gene:Potri.008G148100.v4.1 transcript:Potri.008G148100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G148100.v4.1 MESAPIRVPYRNLKKEIEVEMVGLEMESPPSPHRIQSPHTHNSDADLRSQSTRHHISLITLVLSCTVAAGVQFGWALQLSLLTPYIQTLGIGHAFSSFIWLCGPITGLVVQPCVGIWSDKCSSKFGRRRPFILAGSLMISVAVIIIGFSADIGYVLGDTEEHCSKFKGTRTWAAFVFVIGFWMLDLANNTVQGPARALLADLSGPDQHNLSNAVFCSWMAVGNILGFSAGASGSWNRWFPFLMNRACCEACGNLKAAFLVAVVFLTFCTLVTLYFADEVPLNVNQPRHLSDSAPLLNGSQQNGHELSTSESHLPGLDNLSGNGNNHDHELRMNSKHANSVGDQNENFSDGPGAVLVNLLTSLRHLPPGMHSVLVVMALTWLSWFPFFLFDTDWMGREVYHGDPKGNSNEVELYDQGVREGAFGLLLNSVVLGISSFLIEPMCRRLGSRFVWAMSNFIVFVCMAGTAVISLISVGEYSEGIEHVIGGNAPIRIAALIVFALLGFPLAITYSVPFSVTAELTADSGGGQGLAIGVLNLAIVIPQMIISIGAGPWDALFGGGNIPAFVLASVSALAAGVIATLKLPNLSSRSFQSGFHFG >Potri.018G070100.3.v4.1 pep chromosome:Pop_tri_v4:18:8441279:8443186:-1 gene:Potri.018G070100.v4.1 transcript:Potri.018G070100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070100.v4.1 MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFALKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRISRRRNDDEDAKEELYSLVTVAELPPEGTKGLGTKVIEEDD >Potri.018G070100.2.v4.1 pep chromosome:Pop_tri_v4:18:8441291:8443217:-1 gene:Potri.018G070100.v4.1 transcript:Potri.018G070100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070100.v4.1 MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFALKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRISRRRNDDEDAKEELYSLVTVAELPPEGTKGLGTKVIEEDD >Potri.018G070100.1.v4.1 pep chromosome:Pop_tri_v4:18:8441300:8443276:-1 gene:Potri.018G070100.v4.1 transcript:Potri.018G070100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070100.v4.1 MVEKTKGRKEEVVTREYTINLHKRLHGCTFKKKAPKAIKEIRKFALKAMGTKDVRVDVKLNKHIWSRGIRSVPRRIRVRISRRRNDDEDAKEELYSLVTVAELPPEGTKGLGTKVIEEDD >Potri.008G021900.3.v4.1 pep chromosome:Pop_tri_v4:8:1079627:1085046:-1 gene:Potri.008G021900.v4.1 transcript:Potri.008G021900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021900.v4.1 MTCRLSLFTSFLSFLSGFDHPLYPFFFIRVKTCNLCCLVLRMEQDIEKFIRDPNQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVCKTSNCRLPLIRLADIPVSLPSEDGDTVKVAIKQRPQKGSQTANNSNSLKTNNSKSVEERKEEYNKARERIFNSSSSTGGTIGKPDSEPRSQDCSQLGTFDISKSEEKSAPGIPDLSSGRGLIESSTSNIRSATLARTRIEKEPVGRYRPNNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFNGGGPYTIQPMYTPALNYNTEFPHLGSRHISQISTEHQPHPLPQHVPGPWAAPSTPAGIGYGHPDTLIPLFNPNHVGARSTPAIYLHSSQYPCQHPGMPFIHPHEHVQPFSQSHQQQPDASFGLARPR >Potri.008G021900.2.v4.1 pep chromosome:Pop_tri_v4:8:1078908:1085071:-1 gene:Potri.008G021900.v4.1 transcript:Potri.008G021900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G021900.v4.1 MEGSVVAEEDLGAPDSWEVADLDQTMNRLSLNKETKHQQPQDQLPRSGPGPGEKVTDDVANQVDQFLLEALQNTRERLSILRMEQDIEKFIRDPNQQQLEFQQLPTSYLRLAAHRVAQHYSLQSMVLLDNSLPDGSGSRIIVCKTSNCRLPLIRLADIPVSLPSEDGDTVKVAIKQRPQKGSQTANNSNSLKTNNSKSVEERKEEYNKARERIFNSSSSTGGTIGKPDSEPRSQDCSQLGTFDISKSEEKSAPGIPDLSSGRGLIESSTSNIRSATLARTRIEKEPVGRYRPNNRVAIFRDREVDRKDPDYDRSYDRYMQRFDPGFGFNGGGPYTIQPMYTPALNYNTEFPHLGSRHISQISTEHQPHPLPQHVPGPWAAPSTPAGIGYGHPDTLIPLFNPNHVGARSTPAIYLHSSQYPCQHPGMPFIHPHEHVQPFSQSHQQQPDASFGLARPR >Potri.001G197400.2.v4.1 pep chromosome:Pop_tri_v4:1:19383528:19392732:-1 gene:Potri.001G197400.v4.1 transcript:Potri.001G197400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G197400.v4.1 MLTVSVKWQKELFPKVEIDTSQPPYVFKCQLHGLTGVPPERQKIMVKGGLLKDDANWATLGVKEGQKLMMMGTADEIVKTPEKGPVFMEDLPEEEQMVAVGHTAGLFNLGNTCYMNSTVQCLHSVPELKSALVSYPSQKSSDLDHTSHMLTAATRELFNELDKSVKPVAPMQFWMVLRKKYPQFGQLHNGVYMQQDAEECWTQLLYTLSQSLGSPSFSENPDTIKALFGIELVSRVHCQESGEESSETESVYSLKCHISQEVNHLHEGLKHGLKSELEKASPCLGRSAIYLKESRINALPRYLTVQFVRFFWKRESNQKAKILRKVDYPLQLDVYDFCSDDLCKKLEAPRQILRDEEGKKAGLKAKEKTSGSKDNDVKMTDVERPSNESGESSKPTSKEDKKSHLTGIYDLVAVLTHKGRSADSGHYVAWVKQESGKWIEFDDDNPVPQREEDITKLSGGGDWHMAYICMYKARTVPM >Potri.019G018800.3.v4.1 pep chromosome:Pop_tri_v4:19:3102612:3107872:1 gene:Potri.019G018800.v4.1 transcript:Potri.019G018800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018800.v4.1 MAAVNNKKSSSSMCEFSYHVFLSFRGADTRKNFTDHLYTALERAGIHVFRDDDAIERGADIECDVEKAIRQSKMSLIVFSSNFASSSWCLDEVAMIMEHKKHHAGHIVLPVFYDVDPSEVQKQTGDLAEAFSGHGKRFKDEKIKRWRKALCKVINLAGMVLHNRPEAKFIQDIVKKVGEMLKRTILHVPSYLVGVDAQVKGINSWIQDRPEDVNIAVICGIGGVGKTTIAKTVFNLNFEQFDCWSFLKDVRETSKQYNGLVQLQMQLLSDLSKGGFHKINNVDDGTIQIRYATRFKKVLIVLDDVDDVGQLKSILEMGKWLYKGSRIIITTRNGRLQSFHDQPCKEFRIMPLHESESLQLFTWHAFRQSQPSEYYLQDSKNIVRYCGGLPLALEVIGFSLSVRTGDSWKRAIREPEALDGGKIHEILRVSYDSLQDDRDKNLFLDIACFFIGEDITFVERIVESCDFYRNVGIQELIDRYLISIDKDNKLAMHQLLREMGWEIVRRESPENHGERSRIWRHGDSFKILRKKNGSRSIKSFILDWEQVNIASKCLVDLNTDAFESMSNLKLIHLNNLRLKGGYENFPKGLVWLCWHHVPWDHIPVDFYLEDLIVLDLCNSSLRHVWHGIRCFPGLKILNLSRCHGLVRTPDFSELLCLEILMLEECTNLAEVHKSIGNLQNLSFSSFKGCINLKRLPDEMCKLTSLQTLILSGCSKLDSEIPNHLEKMKFLGVIRADGPLMSQFQKWASRMWSWLMRNRTLSTKRSFDCLPSSLVNLSLANCNIRDDMMPNNLSSLPCLEHLDLSGNPFEHLPESIGGLKRLDTLMLQGCTSLQSLPSLPSSLERLLISNFGPLLGPLDIYMFGRQEPDKLQCLFKLEHLLNFDLEMIYNVGLQISESMRITQDNTNFDAMTTQGKTTIQVFQDGGISNIYLPVHEIPDWFCHATGTCHACYCVPSSSSFDIFGFNICVVYTCSDLKGNFESSSSPKIRIEAKGQILEYSPEIFGVPEANENMLWLSHWTLSYKLEAGDSVACSIILPACFQVKKFGIHLVSPHQNSADKEGYSIQYLPLA >Potri.019G018800.2.v4.1 pep chromosome:Pop_tri_v4:19:3102627:3107937:1 gene:Potri.019G018800.v4.1 transcript:Potri.019G018800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018800.v4.1 MAAVNNKKSSSSMCEFSYHVFLSFRGADTRKNFTDHLYTALERAGIHVFRDDDAIERGADIECDVEKAIRQSKMSLIVFSSNFASSSWCLDEVAMIMEHKKHHAGHIVLPVFYDVDPSEVQKQTGDLAEAFSGHGKRFKDEKIKRWRKALCKVINLAGMVLHNRPEAKFIQDIVKKVGEMLKRTILHVPSYLVGVDAQVKGINSWIQDRPEDVNIAVICGIGGVGKTTIAKTVFNLNFEQFDCWSFLKDVRETSKQYNGLVQLQMQLLSDLSKGGFHKINNVDDGTIQIRYATRFKKVLIVLDDVDDVGQLKSILEMGKWLYKGSRIIITTRNGRLQSFHDQPCKEFRIMPLHESESLQLFTWHAFRQSQPSEYYLQDSKNIVRYCGGLPLALEVIGFSLSVRTGDSWKRAIREPEALDGGKIHEILRVSYDSLQDDRDKNLFLDIACFFIGEDITFVERIVESCDFYRNVGIQELIDRYLISIDKDNKLAMHQLLREMGWEIVRRESPENHGERSRIWRHGDSFKILRKKNGSRSIKSFILDWEQVNIASKCLVDLNTDAFESMSNLKLIHLNNLRLKGGYENFPKGLVWLCWHHVPWDHIPVDFYLEDLIVLDLCNSSLRHVWHGIRCFPGLKILNLSRCHGLVRTPDFSELLCLEILMLEECTNLAEVHKSIGNLQNLSFSSFKGCINLKRLPDEMCKLTSLQTLILSGCSKLDSEIPNHLEKMKFLGVIRADGPLMSQFQKWASRMWSWLMRNRTLSTKRSFDCLPSSLVNLSLANCNIRDDMMPNNLSSLPCLEHLDLSGNPFEHLPESIGGLKRLDTLMLQGCTSLQSLPSLPSSLERLLISNFGPLLGPLDIYMFGRQEPDKLQCLFKLEHLLNFDLEMIYNVGLQISESMRITQDNTNFDAMTTQGKTTIQVFQDGGISNIYLPVHEIPDWFCHATGTCHACYCVPSSSSFDIFGFNICVVYTCSDLKGNFESSSSPKIRIEAKGQILEYSPEIFGVPEANENMLWLSHWTLSYKLEAGDSVACSIILPACFQVKKFGIHLVSPHQNSADKEGYSIQYLPLA >Potri.019G018800.4.v4.1 pep chromosome:Pop_tri_v4:19:3102671:3107870:1 gene:Potri.019G018800.v4.1 transcript:Potri.019G018800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018800.v4.1 MAAVNNKKSSSSMCEFSYHVFLSFRGADTRKNFTDHLYTALERAGIHVFRDDDAIERGADIECDVEKAIRQSKMSLIVFSSNFASSSWCLDEVAMIMEHKKHHAGHIVLPVFYDVDPSEVQKQTGDLAEAFSGHGKRFKDEKIKRWRKALCKVINLAGMVLHNRPEAKFIQDIVKKVGEMLKRTILHVPSYLVGVDAQVKGINSWIQDRPEDVNIAVICGIGGVGKTTIAKTVFNLNFEQFDCWSFLKDVRETSKQYNGLVQLQMQLLSDLSKGGFHKINNVDDGTIQIRYATRFKKVLIVLDDVDDVGQLKSILEMGKWLYKGSRIIITTRNGRLQSFHDQPCKEFRIMPLHESESLQLFTWHAFRQSQPSEYYLQDSKNIVRYCGGLPLALEVIGFSLSVRTGDSWKRAIREPEALDGGKIHEILRVSYDSLQDDRDKNLFLDIACFFIGEDITFVERIVESCDFYRNVGIQELIDRYLISIDKDNKLAMHQLLREMGWEIVRRESPENHGERSRIWRHGDSFKILRKKNGSRSIKSFILDWEQVNIASKCLVDLNTDAFESMSNLKLIHLNNLRLKGGYENFPKGLVWLCWHHVPWDHIPVDFYLEDLIVLDLCNSSLRHVWHGIRCFPGLKILNLSRCHGLVRTPDFSELLCLEILMLEECTNLAEVHKSIGNLQNLSFSSFKGCINLKRLPDEMCKLTSLQTLILSGCSKLDSEIPNHLEKMKFLGVIRADGPLMSQFQKWASRMWSWLMRNRTLSTKRSFDCLPSSLVNLSLANCNIRDDMMPNNLSSLPCLEHLDLSGNPFEHLPESIGGLKRLDTLMLQGCTSLQSLPSLPSSLERLLISNFGPLLGPLDIYMFGRQEPDKLQCLFKLEHLLNFDLEMIYNVGLQISESMRITQDNTNFDAMTTQGKTTIQVFQDGGISNIYLPVHEIPDWFCHATGTCHACYCVPSSSSFDIFGFNICVVYTCSDLKGNFESSSSPKIRIEAKGQILEYSPEIFGVPEANENMLWLSHWTLSYKLEAGDSVACSIILPACFQVKKFGIHLVSPHQNSADKEGYSIQYLPLA >Potri.019G018800.5.v4.1 pep chromosome:Pop_tri_v4:19:3102618:3107871:1 gene:Potri.019G018800.v4.1 transcript:Potri.019G018800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018800.v4.1 MAAVNNKKSSSSMCEFSYHVFLSFRGADTRKNFTDHLYTALERAGIHVFRDDDAIERGADIECDVEKAIRQSKMSLIVFSSNFASSSWCLDEVAMIMEHKKHHAGHIVLPVFYDVDPSEVQKQTGDLAEAFSGHGKRFKDEKIKRWRKALCKVINLAGMVLHNRPEAKFIQDIVKKVGEMLKRTILHVPSYLVGVDAQVKGINSWIQDRPEDVNIAVICGIGGVGKTTIAKTVFNLNFEQFDCWSFLKDVRETSKQYNGLVQLQMQLLSDLSKGGFHKINNVDDGTIQIRYATRFKKVLIVLDDVDDVGQLKSILEMGKWLYKGSRIIITTRNGRLQSFHDQPCKEFRIMPLHESESLQLFTWHAFRQSQPSEYYLQDSKNIVRYCGGLPLALEVIGFSLSVRTGDSWKRAIREPEALDGGKIHEILRVSYDSLQDDRDKNLFLDIACFFIGEDITFVERIVESCDFYRNVGIQELIDRYLISIDKDNKLAMHQLLREMGWEIVRRESPENHGERSRIWRHGDSFKILRKKNVRSLPFAFT >Potri.011G071700.1.v4.1 pep chromosome:Pop_tri_v4:11:6408094:6410582:-1 gene:Potri.011G071700.v4.1 transcript:Potri.011G071700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071700.v4.1 MDESQGTSNSLPPFLAKAYEMVDDPSSDSIVSWSQNNKSFVVWNPPEFARDLLPRFFKHNNFSSFIRQLNTYGFRKIDPEQWEFANEDFIRGQPHLMKNIHRRKPVHSHSMQNLQGQGSNLLTDSERQSMKDDIEKLKRDKQALILELQKQEQERKGFEMQIEGLKEKLQQTECIQQTIVSFVARVLPKPGLALNIMPQLEGRDRKRRLPRIGYLYSEASNEDNQMVTSQALSRENADSNSVALLNMEQFEQLESSLTFWENMVHDIGQTYNYNNSTIEMDDSTSGAQSPAISCVHLNVDFRPKSPGIDMNSEPSAAVAPEPVSPKEQLAGTAPTVATGVNDVFWEQFLTENPGSTNAQEVQSERKDSDGRKGEIKPVDPGKFWWNMRNVNNLTEQMGHLTPAERT >Potri.T012200.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:184249:196829:1 gene:Potri.T012200.v4.1 transcript:Potri.T012200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012200.v4.1 MVLTFNVGGKVVERVDLIRKKKWPWRFDILPFAILYAVWMVTIVPSIDIVDALIVLGGLVSIHVLALLFTAWSVDFKCFVQYSKVNDIYAADSCKVTPAKFSGSKEVVPLHIRQQSAASSTSGDVEEFYFDFRKQCFIYSKENGTFRKLPYPTKETFGYYLKSTGHGSEAKVAAAAEKWGRNVFEYPQPTFQKLLKEQCMEPFFVFQVFCVGLWCLDEFWYYSLFTLFMLFMFESTMAKSRLKTLSELRRVRVDTQTVMVHRCGKWVKLSGTDLLPGDVVSIGRSSGQNGEDKSVPADLLLLAGSAIVNEAILTGESTPQWKVSIMGRGTEEKLSAKRDKNHVLFGGTKILQHTPDKTFPLRAPDGGCLAVVLRTGFETSQGKLMRTILFSTERVTANSWESGLFILFLLVFAIIAAGYVLKKGLEDPTRSKYKLFLSCSLIITSVIPPELPMELSIAVNTSLIALARRGIFCTEPFRIPFAGKVDICCFDKTGTLTSDDMEFCGVVGQTESTDLETDMTKVPACTAEILASCHALVFVDNKLVGDPLEKAALKGIDWSYKSDEKAMPKKGGGNAVQIVQRHHFASHLKRMAVVVRIQEEFLAFVKGAPETIQDRLVDLPSSYVDTYKKYTRQGSRVLALAFKNLPDMTVGEARSLDRDVVETGLTFAGFAVFNCPIRADSAAVLSELKNSSHDLVMITGDQALTACHVASQVHIISKPALILCPSSGQGYEWISPDEMEKISYGDKEAEELSETHDLCIGGDCIEMLQQSSAVLRVIPYVKVFARVAPEQKELILTTFKTVGRITLMCGDGTNDVGALKQAHVGVALLNAVPPTQSGNKSSETPKDGTPKLSKSKKPKPEVSNLNGESSSRGKAVSRSDSASQSAGNRHLTPAEMQRQRLKKLMEEMNEEGDGRSAPIVKLGDASMASPFTAKHASVAPTTDIIRQGRSTLVTTLQMFKILGLNCLATAYVLSVMYLDGVKLGDVQATISGVFTAAFFLFISQARPLPTLSAERPHPNIFCFYVFLSLMGQFAIHLFFLMSSVKSAEKYMPDECIEPDSNFHPNLVNTVSYMVSMMLQLATFAVNYIGHPFNQSITESKPFLYALLAASGFFTVITSDLFRNLNDWLKLVPLPPELRNKLLIWAVLMFLSCYTWERLLKWAFPGRIPAWKKRQRLAVANVEKKKHV >Potri.008G114600.1.v4.1 pep chromosome:Pop_tri_v4:8:7298953:7299676:-1 gene:Potri.008G114600.v4.1 transcript:Potri.008G114600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G114600.v4.1 MATFSSSFQAKLLSFINITGVLFIIFLLVNSCSATRPGATLMRDEDMSKKSEYIKPHRRLYETSFRHQNHIFNFLPKGAPIPPSGPSKKHNSIEN >Potri.010G171600.6.v4.1 pep chromosome:Pop_tri_v4:10:17305959:17309372:1 gene:Potri.010G171600.v4.1 transcript:Potri.010G171600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171600.v4.1 MATKKSVSSLKETDLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMDHGARVILCSHLGRPKGVTPKYSLKPLVPRLSELLGVEVKIANDCIGEEVEKLVAEIPGGGVLLLENVRFHKEEEKNDPEFAKKLASLAEVYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLEKVDFLFLGGGMIFTFYKAQGYSVGSSLVEEDKLDLATSLIEKAKVKGVKLLLPTDVVVADKFAPDANSKVVPASEIADGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAIAWKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Potri.010G171600.4.v4.1 pep chromosome:Pop_tri_v4:10:17305850:17309959:1 gene:Potri.010G171600.v4.1 transcript:Potri.010G171600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171600.v4.1 MATKKSVSSLKETDLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMDHGARVILCSHLGRPKGVTPKYSLKPLVPRLSELLGVEVKIANDCIGEEVEKLVAEIPGGGVLLLENVRFHKEEEKNDPEFAKKLASLAEVYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLEKVDFLFLGGGMIFTFYKAQGYSVGSSLVEEDKLDLATSLIEKAKVKGVKLLLPTDVVVADKFAPDANSKVVPASEIADGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAIAWKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Potri.010G171600.5.v4.1 pep chromosome:Pop_tri_v4:10:17305845:17309372:1 gene:Potri.010G171600.v4.1 transcript:Potri.010G171600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171600.v4.1 MATKKSVSSLKETDLKGKRVFVRVDLNVPLDDNFNITDDTRIRAAVPTIKYLMDHGARVILCSHLGRPKGVTPKYSLKPLVPRLSELLGVEVKIANDCIGEEVEKLVAEIPGGGVLLLENVRFHKEEEKNDPEFAKKLASLAEVYVNDAFGTAHRAHASTEGVAKYLKPAVAGFLMQKELDYLVGAVANPKKPFAAIVGGSKVSSKIGVIESLLEKVDFLFLGGGMIFTFYKAQGYSVGSSLVEEDKLDLATSLIEKAKVKGVKLLLPTDVVVADKFAPDANSKVVPASEIADGWMGLDIGPDSIKTFSEALDTTKTIIWNGPMGVFEFEKFAAGTEAIAWKLAELSGKGVTTIIGGGDSVAAVEKVGLADKMSHISTGGGASLELLEGKPLPGVLALDDA >Potri.018G061700.1.v4.1 pep chromosome:Pop_tri_v4:18:7051303:7057408:1 gene:Potri.018G061700.v4.1 transcript:Potri.018G061700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G061700.v4.1 MSSIYVSEPPTKGKVILNTTYGPLDIELWPKEAPKAVRNFVQLCLEGYYDKTIFHRIIKGFLVQGGDPTGTGTGGESIYGSVFADEYHSRLRFNHRGLVACANAGRPHSNGSQFFISLEKCDWLDKKNTIFGKVTGDSVYNLLSLGEVETNKDNDWPLDPPPRIISVEVLWNPFEDIIPRVTPKPSNKPAIDTENKDSKKKAVKKLNLLSFGEEAEEEEKELAAVKQKIKSSHDVLNDPRLLKEENPSKETNSSEGKTTRDIQLSVKEALSSKKGTLSVKEGPSSKKEASWRDSAAEFSNSDDNEEDEGMFDARMRQQILQKRKELGDVPPKPKQNGSSSSKDRQVSARSNSESFNDDQPKVEKLSMKKKGVGSEARAARMANADADLQLLGETERGRLLQKQKKRRLQGREDDVLAKLEMFKKALSTKADASKSESGDADNEDLSDWRTVPLTFAHERGKDGMSRKEDPNDYVVHDPLLEKGKEKFNRMQAKQKRREREWAGKSLA >Potri.011G164000.1.v4.1 pep chromosome:Pop_tri_v4:11:18885407:18891176:1 gene:Potri.011G164000.v4.1 transcript:Potri.011G164000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G164000.v4.1 MPPKASKSKEAPAERPILGRFSSHLKIGIVGLPNVGKSTLFNTLTKLSIPAENFPFCTIEPNEARVNIPDERFEWLCQLFKPKSEVSAFLEIHDIAGLVRGAHQGQGLGNSFLSHIRAVDGIFHVLRAFEDPDIIHVDDIVDPVRDLEVISAELRLKDIEFIERRIEDVEKSMKRSNDKQLKIELELCQKVKAWLEEEKDVRLGEWKAADIEILNTFQLLTAKPVVYLINMNEKDYQRKKNKFLPKIHAWVQEHGGETIIPFSCILERTLADMLPDEAAKYCEENKLQSCLPKILKIGFSAINLIYFFTAGPDEVKCWQIRRQTKAPQAAGTIHTDFERGFICAEVMKFDDLKELGSESAVKAAGKYKQEGKTYVVQDGDIIFFKFNVSGGGKK >Potri.013G096775.1.v4.1 pep chromosome:Pop_tri_v4:13:10037002:10037618:-1 gene:Potri.013G096775.v4.1 transcript:Potri.013G096775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G096775.v4.1 MEAIEELSQLSESIMQAAALLADEDVDENSSSSSRRNSTFLNVVALGNVVSDLIYLYLQNGFEFFLSEEKELLFLFSFRFFLISDYKEGRVMYLFFR >Potri.001G178800.4.v4.1 pep chromosome:Pop_tri_v4:1:15588662:15590975:-1 gene:Potri.001G178800.v4.1 transcript:Potri.001G178800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G178800.v4.1 MGLESFSISSVSPLILAKINCCSGRETTARRNPRWALTPKSSNLQNVKYSSRRILCLNSGDGGIMKTNPRGNGVFVGDKDGVIIVDHGSRRKESNLMLNEFVAMFRDKTGYLIVEPAHMELAEPSIRDAFGLCVQQGANRVIVSPFFLFPGRHWHRDIPSLTDEAAKEHPGVSYLITAPLGLHELLVDVMNDRINYCLSHIAGDANECAVCVGTSKCKLY >Potri.006G254400.5.v4.1 pep chromosome:Pop_tri_v4:6:25214122:25219122:-1 gene:Potri.006G254400.v4.1 transcript:Potri.006G254400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254400.v4.1 MDSDNHLLPDGGLEAAHQNGGHQQSPAAGEDGVVSNNLNGSVGNTFKLDDGTTDNLSTGEVEDELKAYVGSNGLPVFKEGEVKVKDADNSENAKSQKGPGKRGTAKPSHLKNASATQVKKGKDGRDAEVQLTVSNGSVAVNSQLKQHLKSKSFNERQGQASKQSGTSDAGPPEGIVEKTKLKPLKKGPVDKAEADTDSTSSPTVEDAKPRKVGALPNYGFSFKCDERAEKRKEFYSKLEEKIHAKEVEKTTLQAKSKETHEAEIKMLRKSLGFKATPMPSFYQEPAPPKVELKKIPTTRAKSPKLGRRKSSSPADTEGNNSQSYRPGRLSLDEKVSSNIPIKGLSPAHPKKPQRKSLPKLPSEKTKLSSDEKTKLPKASNEENPTLSNQSNEGSSPTQEQEAVSKNESEFLPGKDETAVKEEAQATLAKDPVALVV >Potri.006G254400.1.v4.1 pep chromosome:Pop_tri_v4:6:25214011:25219033:-1 gene:Potri.006G254400.v4.1 transcript:Potri.006G254400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254400.v4.1 MDSDNHLLPDGGLEAAHQNGGHQQSPAAGEDGVVSNNLNGSVGNTFKLDDGTTDNLSTGEVEDELKAYVGSNGLPVFKEGEVKVKDADNSENAKSQKGPGKRGTAKPSHLKNASATQVKKGKDGRDAEVQLTVSNGSVAVNSQLKQHLKSKSFNERQGQASKQSGTSDAGPPEGIVEKTKLKPLKKGPVDKAEADTDSTSSPTVEDAKPRKVGALPNYGFSFKCDERAEKRKEFYSKLEEKIHAKEVEKTTLQAKSKETHEAEIKMLRKSLGFKATPMPSFYQEPAPPKVELKKIPTTRAKSPKLGRRKSSSPADTEGNNSQSYRPGRLSLDEKVSSNIPIKGLSPAHPKKPQRKSLPKLPSEKTKLSSDEKTKLPKASNEENPTLSNQSNEGSSPTQEQEAVSKNESEFLPGKDETAVKEEAQATLAKDPVALVV >Potri.006G254400.4.v4.1 pep chromosome:Pop_tri_v4:6:25214140:25219122:-1 gene:Potri.006G254400.v4.1 transcript:Potri.006G254400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G254400.v4.1 MDSDNHLLPDGGLEAAHQNGGHQQSPAAGEDGVVSNNLNGSVGNTFKLDDGTTDNLSTGEVEDELKAYVGSNGLPVFKEGEVKVKDADNSENAKSQKGPGKRGTAKPSHLKNASATQVKKGKDGRDAEVQLTVSNGSVAVNSQLKQHLKSKSFNERQGQASKQSGTSDAGPPEGIVEKTKLKPLKKGPVDKAEADTDSTSSPTVEDAKPRKVGALPNYGFSFKCDERAEKRKEFYSKLEEKIHAKEVEKTTLQAKSKETHEAEIKMLRKSLGFKATPMPSFYQEPAPPKVELKKIPTTRAKSPKLGRRKSSSPADTEGNNSQSYRPGRLSLDEKVSSNIPIKGLSPAHPKKPQRKSLPKLPSEKTKLSSDEKTKLPKASNEENPTLSNQSNEGSSPTQEQEAVSKNESEFLPGKDETAVKEEAQATLAKDPVALVV >Potri.011G040800.1.v4.1 pep chromosome:Pop_tri_v4:11:3161204:3162713:-1 gene:Potri.011G040800.v4.1 transcript:Potri.011G040800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040800.v4.1 MDDEVGSYLLNQFSGGLQSSKVSWKRDLQELEKLIRRNIENSPAKEKPSLREKLYRLNNILVECQAASFLSREGIISLWRIRNTLKEIKEELKSKDSITISSNGNFSPQRDDQGNSSNVRDTGRQSHQSVSPLVVHGFDDEITSLVKLLVYERSKEKFSAVGITGMAGAGKTTLCQEIIKREEVKKHFVPRILVSMSKKPDGNKDAKIALVERILLSLGVEENTIQSVSNSGLSALICALHVQLMGKKYMIVLDDAQEGDTWFENLYSPLPGKVKWEQSLAYGLPKGYGGTVIVTSRNKDLAKKMVGEENVHPVLPLADKEKCWLIFKDAVEQDGTLFNPPNVELEDLKKEIIRKCSGLPLAARVLGEIMKEKMEEAPVPNCHTVTVHPQLSTDNNSNV >Potri.002G213100.1.v4.1 pep chromosome:Pop_tri_v4:2:19583302:19585974:-1 gene:Potri.002G213100.v4.1 transcript:Potri.002G213100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G213100.v4.1 MAGSNEVNLNESKRVVPLNTWVLISNFKLAYNLLRRPDGTFNRELAEFLERKVQANTIPVDGVFSFDHVDRTTGLLNRVYQPAPESEAQWGIVELEKPLNTTEVVPVIIFFHGGSFTHSSANSAIYDTFCRRLVSACKAVVVSVNYRRSPEYRYPCAYDDGWTALKWVKSRTWLQSGKDSKVHVYLAGDSSGGNIAHHVAARAAEEEIDVLGNILLHPMFGGQQRTESEKILDGKYFVTIQDRDWYWRAYLPEGEDRDHPACNIFGPRGKKLEGLEFPKSLVVVAGFDLVQDWQLAYVEGLQRAGHEVKLLYLKQATIGFYFLPNNDHFYCLMEEIKKFVNSNC >Potri.012G036700.1.v4.1 pep chromosome:Pop_tri_v4:12:3299888:3303192:-1 gene:Potri.012G036700.v4.1 transcript:Potri.012G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036700.v4.1 MSSTGGVGVPQLYFCYQCDRTVTITPSPTSDLSCPNCQEGFLEECESPNPSSNPVDSGLGPAILLDEFASIFGGMAPTPRSSNNSTTTNSSSASPLFQDPDGFNPFAFLQNYLQTMRAGGANIQFVIENNSGMGGMDTTGFRLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAVENLPDIKVTKELLESDSSQCAVCKDSFELGEEAKQIPCKHIYHKDCITPWLELHNSCPVCRYELPTDDPDYEQRKGNGNDGGYQNAGGGNSGGGSFSDGDNSDGNAQTPRDRRFRIAFQWPFSSGSGSGRSVGSSAGASNSGSGNNNDEDSRGNTNFGSETREEDLD >Potri.012G036700.2.v4.1 pep chromosome:Pop_tri_v4:12:3301929:3303150:-1 gene:Potri.012G036700.v4.1 transcript:Potri.012G036700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036700.v4.1 MSSTGGVGVPQLYFCYQCDRTVTITPSPTSDLSCPNCQEGFLEECESPNPSSNPVDSGLGPAILLDEFASIFGGMAPTPRSSNNSTTTNSSSASPLFQDPDGFNPFAFLQNYLQTMRAGGANIQFVIENNSGMGGMDTTGFRLPANLGDYFIGPGLEQLIQQLAENDPNRYGTPPASKSAVENLPDIKVTKELLESDSSQCAVCKDSFELGEEAKQIPCKHIYHKDCITPWLELHNSCPVCRYELPTDDPDYEQRKGNGNDGGYQNAGGGNSGGGSFSDGDNSDGNAQTPRDRRFRIAFQWPFSSGSGSGRSVGSSAGASNSGSGNNNDEDSRGNTNFGSETREEDLD >Potri.018G007900.1.v4.1 pep chromosome:Pop_tri_v4:18:581535:582913:-1 gene:Potri.018G007900.v4.1 transcript:Potri.018G007900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G007900.v4.1 MAAGSCLPEDMIRETLLRLPVQSLLRFQVVCKRWLALITSSDFILTHCKHRPKHSIMLTNTWFGENYGISVLEADAKSKPEHRNLPSSLMNNVVKCRGIGSSNGLLCVYVKNTHNVDYFLWNLATRKHRLLLFPPTLGHYTPRTFGFGFVPETSDYKLLIIDDASFDGHLNLKALVYTLSTDSWKEVEGVTASRSYLSPKISVVVQGMWYDLIFREEENIVQGTLREPRKVPSILKFNMVNDVFSKIEDGLPYDNACGRNLNLMEYKELLAMGVYRDEETTFELEIWTLMKNEYCWTKLFVCRPLPKIMTMIPLGFRNDKEIILSDYSTELFYDILQLYDPSTQESSVVSTYEDFIYFDAHNYVESLVSVDAWSSSCMQMFS >Potri.017G077400.1.v4.1 pep chromosome:Pop_tri_v4:17:8605034:8607171:-1 gene:Potri.017G077400.v4.1 transcript:Potri.017G077400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G077400.v4.1 MASKSIEQIVMLPFMAHGHLIPFLALARQIHQATGFKISIASTPLNIQYLSSTFNSSPDEPENDHIHLLELPFCSTDYGLPPNTENSENLSLDSIGKLCSASLSLRTPFHSLVSDIAAKQGHPPLCIISDVFLGWATEVATSLGTVNVTFSTGGAYGTLAYSSLWLNLPHRGRSDSDEFHLPGFPDSCRFHINQLHHFLRNADGTDSRSQFFQSQISLSMQSFGWLCNTAEEFEPAGLEWLRNFVKLPVWAIGPLLPPIVLKNDYSSLSVAASGISTRRSGKRLEISIEKCMEWLESHSPASVLYISFGSQNSISPSQMMELAIGLEESAKPFIWVIRPPVGFERKSEFRAEYLPEGFEERMEKRKQGLLVRNWAPQLEILSHKSTGAFLSHCGWNSVLESLSQAVPIIGWPLAAEQAYNSKMLVEEMGVSVELTRGVQSSIDWKVVKNVIELVMDKKGKGGDMRSKAMVIKEQLRASVRDEGEDKGSSVKALDDLIKTLQSKWQMISSIS >Potri.010G217800.1.v4.1 pep chromosome:Pop_tri_v4:10:20441202:20444339:1 gene:Potri.010G217800.v4.1 transcript:Potri.010G217800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217800.v4.1 MSGPSKKVVDVAFKASKTVDWDGMAKLLVSDEARKEFATLRRAFNEVNAQLGTKFSQEPEPIDWESYRKGIGSRLVDMYKQAYESVEIPKFEDKTTPEYKPKFDQLLVDLKEAEQQSLKESERLDKEIADVQELKKKISTMTAEEYFEKHPELKKKFDDEIRNDYWGY >Potri.012G112400.1.v4.1 pep chromosome:Pop_tri_v4:12:13164107:13166402:-1 gene:Potri.012G112400.v4.1 transcript:Potri.012G112400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G112400.v4.1 MGTTATTTTRFPFSLPPPKSYPPHNHHHSKVSILTPTITPTLRLQAMGAGDKLGEFGARDPFPAEIESGFAEKVLGNGNTEHKILIPTVSALSLSQQECTPISPLQDPMSKDDAQKLLKKVLGWRLLDEEGGLKLQCLWKLRDFKCGVELVNRIYKATESCGHFPNVHLEQPNQVRAELWTASLGGLSLNDFIVAAKIDEIKTSDLVPKKRVWA >Potri.016G092400.1.v4.1 pep chromosome:Pop_tri_v4:16:7587423:7588358:-1 gene:Potri.016G092400.v4.1 transcript:Potri.016G092400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G092400.v4.1 MEESLTRAMMLKLFIRKLKRVLLLSASRGANTSEVRFDEVMEATKMVPGDVKKGHFAVTATKGEEPKRFIVELNYLTNPDFLSLLEQAKEEYGFQQEGVLAVPCRPEELQKILENRRKRRASTEW >Potri.014G156800.1.v4.1 pep chromosome:Pop_tri_v4:14:11064362:11073721:-1 gene:Potri.014G156800.v4.1 transcript:Potri.014G156800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156800.v4.1 MLMVQLGQCNGVLGQYPKLSPLVRRRKERIQRSMSLQAQALPSRTQRIMESIAVEGEVGGAGGAYSYNALKRLDHIWSSICSTVTVSQQPQQVVSSIPGVSSHSDLTGKVVDKFDVVVCGGTLGIFIATALSAKGLQVGVVERNILKGREQEWNISRKELLELVDVGILEENDIEQAIAMNFNPNRCGFEDKGEIWVKDILNLGVSPVKLIEIVKKRFISLGGVIFEGCSVSSISIYEDASVLQLAEGNILSSRLIIDAMGNFSPVVKQIRRGKKPDGVCLVVGSCARGFKDNSASDIIYSSSSVKKVGDSEAQYFWEAFPAGSGPLDRTTYMFTYVSPQPGSPKLEELLEDFWDLMPEYQGVSLDNLEILRVIYGIFPTYRDSPLPAAFDRILQFGDASGIQSPVSFGGFGSLTRHLGRLSAGVYEAINGDFLDASSLSLLNPYMPNLSASWLFQRAMSAKKNSNVPPEFINELLYVNFQSMQKLGDPVLRPFLQDVIQFWALSKTLGLVMLTKPQIIPSIFKQVGIPVLLDWSSHFFMLGYYTFLSTYADPVIRPFLTAFPSKMKYEWKRYLEAWKYGSGLDYKL >Potri.008G105600.1.v4.1 pep chromosome:Pop_tri_v4:8:6672561:6674339:-1 gene:Potri.008G105600.v4.1 transcript:Potri.008G105600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105600.v4.1 MSSCHICLLYLMMMMLIITGCSLGIKTGGSDKFLQSCDGSNNQSTTLTTIRQQSYSSHRKLSVHGTCTNRDISISQSRDTTSGIPQYIVQIVNTCVYGCAPSNIHLHCGWFASARMVNPRTFKRLSYDDCLVNGGKALKTSQTVRFTYSNSFMYALQFKSAKFC >Potri.018G003600.3.v4.1 pep chromosome:Pop_tri_v4:18:257822:266999:-1 gene:Potri.018G003600.v4.1 transcript:Potri.018G003600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003600.v4.1 MGWGYIYRRRAKVFTLAMIIYIDYKALQKREKFMKKPKSDALWKKAHERNAKRVFNLMVELEGLWVKLGQYMSSRADVLPSAFISNLKQLQDSLPPRPFEEVCHTIEKELGKSTKEIFLDFDENPLATASIAQVHRATLIDGQKVVVKVQHKDIKKIILEDLKDAKSIVDWIAWAEPQYNFSPMIDEWCKEAPQELDFNHEAENTRTLSKNLGCTSKYDSNKPINQVDVLIPEVIQSTEKVLILEYMDGIRLNDFESLEACGANNQKIVEEITRAFAHQIYVDRFFNGDPHPGNFLVSKEPPHRPILLDFGLTKRISSSMKQSLAKMFLATAEGDHVALLSSFSEMGLKLRLDFPEQAMDFISVFFRTSTSASEAAEYAKSLGERRARNMKVLQEKMNLSQKEVKRFNPIDAFPGDMVIFSRVIGLLRGLSTTLDARIVYHDIMRPFAESVLQEKIAKEPSENAEWINDTPVHYDVEAKLRQILIELGNDDKILGIQVCAYKDGEVIIDTAAGVLGRYDPRPVQPDRLFPVFSVTKGIAAGMLHWLVDNGKLNLNENIANIWPEFGTNGKNLIKVHHVLNHTSGLKNALANLREENPLLMADWDECLKRIAMSAPETEPGQEQLYHYLSFGWLCGGIIELTEKLMALLS >Potri.001G206400.1.v4.1 pep chromosome:Pop_tri_v4:1:21053994:21057570:1 gene:Potri.001G206400.v4.1 transcript:Potri.001G206400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G206400.v4.1 MVDDGFVNASSNCNGNQESPRKTKDINKKKKKKKRGGSKKKMTVEQTLASKSVSEWVYLDRKLVADDFDFGVHKTVMMRREDKVVFELHTHSKFSDGFLSPSKLVERAHGNGVKVLALTDHDTMSGIPEATEAARRFGIKIIPGVEISTMFSPRNPEAEEPVHILAYYSSGGPTRSDELEKFLANIRDGRYLRAKDMVLKLNKLKLPLKWEHVTRITGKGVAPGRLHVARAMVEAGYVENLKQAFARYLYDGGPAYSTGNEPLVEEAVQLICETGGVAVLAHPWALKNPVAIIQRLKDAGLHGMEVYRSDGKLAVYSDLADAYGLLKLGGSDYHGRGGNSESELGSVNLPAIALHDFLKVARPIWYHAIKDIFERYAEEPSDLNLARITKFGGTKILKGNSPMSCGKDLIDRCLSLWLTTEERQTAEFEAIKIKLSCVTINQGVGLAFL >Potri.008G168800.3.v4.1 pep chromosome:Pop_tri_v4:8:11662261:11665837:1 gene:Potri.008G168800.v4.1 transcript:Potri.008G168800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168800.v4.1 MGADGLGTVFTPSLEGMKHVKSDHGEMLTKPFLDVCKLILPVIDKFGAAMTLVKSDIGTRLENKYLSDPSKYNHLYTMIQEEVDAKTAKGSSSCTNCLLWLTRAMDFLVELFLNLLAHPDWTMSQACTDSYRKTLKKFHGWVASSYSTVVMKLVPDRKKFMEVISGPGNVSADMEQFCTTFPPFLEENHKFLASVGLDDMKTL >Potri.009G141251.1.v4.1 pep chromosome:Pop_tri_v4:9:11277827:11278240:-1 gene:Potri.009G141251.v4.1 transcript:Potri.009G141251.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G141251.v4.1 MDLKNGNPSFVAKKGHFVVYTADWKRFAIPLEYLSNEILRELFKMSEEEFGVSSDMPIRFPCDSAYMDYILALIRRGIAKDFEKVVINSITTGQYCSISASSDHGYACATCLSWKLCLNSELQRQKQTRKVKGKGQA >Potri.009G050900.2.v4.1 pep chromosome:Pop_tri_v4:9:5597212:5599001:-1 gene:Potri.009G050900.v4.1 transcript:Potri.009G050900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050900.v4.1 MVFSRMRLSETATFRGWLFILMGSVSFVGFLFAAVISKLLPLSDNPIISAIQNDRYYCFLVPLTLPILVSAVYFHWLSMKMFKHA >Potri.009G050900.3.v4.1 pep chromosome:Pop_tri_v4:9:5597049:5598965:-1 gene:Potri.009G050900.v4.1 transcript:Potri.009G050900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050900.v4.1 MVFSRMRLSETATFRGWLFILMGSVSFVGFLFAAVISKLLPLSDNPIISAIQNDRYYCFLVPLTLPILVSAVYFHWLSMKMFKHA >Potri.009G050900.4.v4.1 pep chromosome:Pop_tri_v4:9:5597212:5598965:-1 gene:Potri.009G050900.v4.1 transcript:Potri.009G050900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G050900.v4.1 MVFSRMRLSETATFRGWLFILMGSVSFVGFLFAAVISKLLPLSDNPIISAIQNDRYYCFLVPLTLPILVSAVYFHWLSMKMFKHA >Potri.005G026200.1.v4.1 pep chromosome:Pop_tri_v4:5:1649684:1655784:-1 gene:Potri.005G026200.v4.1 transcript:Potri.005G026200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026200.v4.1 MEIQENQQDSLSETESNTSSTRIGYSGPLSGPLVTTTKKNSSKKSARFKDEEYVEITLDVRDDSVSVQNIKGGDSETAYLASQLGRKHPSLGSQLSIKLRQVSHELKRMTSSKRFDKYDRSKSGAARALKGLKFMTKNVGSEGWSEIEARFHELAVNGSLPKSKFGQCIGMKESSEFASELFDALARRRGITTPSIIKAELYEFWQQITDQRFDARLQTFFDMVDKDADGRITEEEVKEIIALSASANKLSKIQERAEEYAALIMEELDPDNLGFIELYNLEMLLLQAPSQSTNLGTDSRVLSQLLSQKLVPTKDHNPIKRGYRGLSYFVEDNWKRIWVIGLWLAVCAALFTWKFVQYKHRAVFDVMGYCVTTAKGAAETLKFNMALILLPVCRNTITWLRSKTKLGMAVPFDDNINFHKVIALGIAIGVGLHAGAHLTCDFPRLLHATDDEYEPMEQFFGKDRPDDYWWFVKGTEGWTGVVMVVLMAVAYTLAQPWFRRNRLSLPKTLKKLTGFNAFWYSHHLFVIVYALFIIHGYYLYLSKKWYKKTTWMYLAVPILLYTCERLTRAFRSGYKTVRILKVAVYPGNVLALHMSKPQGFRYTSGQYVFVNCSAVSTFQWHPFSITSAPGDDYLSIHIRTLGDWTSQLKAVFSKVCQPASIHQSGLLRADMAQRDNQPRLPRLLIDGPYGAPAQDYKKYDVLLLVGLGIGATPLISIVKDVLNNIKQQKEMEEGLVESGIKGNYRKPFATKRAYFYWVTREQGSFEWFRGVMNEVADYDQDRVIELHNYCTSVYEEGDARSALITMLQSLQHAKSGVDIVSETRVKTHFARPNWRKVFKHVAVNYPDQRVGVFYCGAPGLTGELRRLAQDFSRKTTTKFDFHKENF >Potri.005G026200.2.v4.1 pep chromosome:Pop_tri_v4:5:1649819:1655750:-1 gene:Potri.005G026200.v4.1 transcript:Potri.005G026200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G026200.v4.1 MEIQENQQDSLSETESNTSSTRIGYSGPLSGPLVTTTKKNSSKKSARFKDEEYVEITLDVRDDSVSVQNIKGGDSETAYLASQLGRKHPSLGSQLSIKLRQVSHELKRMTSSKRFDKYDRSKSGAARALKGLKFMTKNVGSEGWSEIEARFHELAVNGSLPKSKFGQCIGMKESSEFASELFDALARRRGITTPSIIKAELYEFWQQITDQRFDARLQTFFDMVDKDADGRITEEEVKEIIALSASANKLSKIQERAEEYAALIMEELDPDNLGFIELYNLEMLLLQAPSQSTNLGTDSRVLSQLLSQKLVPTKDHNPIKRGYRGLSYFVEDNWKRIWVIGLWLAVCAALFTWKFVQYKHRAVFDVMGYCVTTAKGAAETLKFNMALILLPVCRNTITWLRSKTKLGMAVPFDDNINFHKVIALGIAIGVGLHAGAHLTCDFPRLLHATDDEYEPMEQFFGKDRPDDYWWFVKGTEGWTGVVMVVLMAVAYTLAQPWFRRNRLSLPKTLKKLTGFNAFWYSHHLFVIVYALFIIHGYYLYLSKKWYKKTTWMYLAVPILLYTCERLTRAFRSGYKTVRILKVAVYPGNVLALHMSKPQGFRYTSGQYVFVNCSAVSTFQWHPFSITSAPGDDYLSIHIRTLGDWTSQLKAVFSKVCQPASIHQSGLLRADMAQRDNQPSRLPRLLIDGPYGAPAQDYKKYDVLLLVGLGIGATPLISIVKDVLNNIKQQKEMEEGLVESGIKGNYRKPFATKRAYFYWVTREQGSFEWFRGVMNEVADYDQDRVIELHNYCTSVYEEGDARSALITMLQSLQHAKSGVDIVSETRVKTHFARPNWRKVFKHVAVNYPDQRVGVFYCGAPGLTGELRRLAQDFSRKTTTKFDFHKENF >Potri.007G106850.1.v4.1 pep chromosome:Pop_tri_v4:7:12976158:12976454:1 gene:Potri.007G106850.v4.1 transcript:Potri.007G106850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106850.v4.1 MAIRNFCRRILYIGLYLLIWGVGANVCLMPECLRYIFTMFLLVHSLIHRFSLSIACSVNLRVSFEYPHLVIYVRCPVNSMVCCQELPHCFCRKLETLR >Potri.008G153602.1.v4.1 pep chromosome:Pop_tri_v4:8:10538747:10541644:-1 gene:Potri.008G153602.v4.1 transcript:Potri.008G153602.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G153602.v4.1 MRNRYMIESGAEHYACTVDMLWRAGMVELAYEFVQKMPIQNSGNHVLLSNMLVVVERWEEATLVRKELKDVGIKKGAGLSRFRFHLIERSPDTQLPEGPYSAASPVLDPTHLPRGHHVCFSVSNFDSFVQSLKDKGIKTFQRSVPNRPIRQVFFFDPDGNGLEVASRDE >Potri.004G176200.1.v4.1 pep chromosome:Pop_tri_v4:4:19133444:19136292:1 gene:Potri.004G176200.v4.1 transcript:Potri.004G176200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G176200.v4.1 MADERRVHPDCINASNPYHECVEYCFIKIAEAKARAEIKETEVVQAKGGIGWSSSQAPEQGEELDEERPDHEHSEDDDDRAVLDNVEGDVTQLTGRKKKLFELRLKMNEARKANQTAMVSEKKKMEAPSESRGISKQKWLEDRKKKIGKLLDANGLDMTKAYMLDTQEAAEVKYKKWEKDPAPFGWDVFNQKTLYNAYKKRTKNVDVDLEEYNKMKEADPEFYREASSLQYGKAPKTSEEKIERMVKELKDRDENRKSFSRRRRFRDEKDIDSINDRNEHFNKKIERAFGKYTLEIKNNLERGTALPD >Potri.001G125800.2.v4.1 pep chromosome:Pop_tri_v4:1:10327448:10330216:-1 gene:Potri.001G125800.v4.1 transcript:Potri.001G125800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125800.v4.1 MSFFTEKTLKKKKRPPRWSHLWLKNTKPLKHALFAMQLQSVSSPPTPTPTPTLTPQQQQQADPNTKLRDKTGTLISNLPDIDRTLLLGDDLLLKILSKLPDSQRNPNSLVCKRWLNLQGRLVRSLKVLDWEFLESGRLLSRFPNLTHVDLLNGCVVRPHDCCVRLSHRVFQMDINSGVSGFLPDWRVCEENLSPVEVVDRGLRVLASGCPNLRKLVVVGASEIGLLSFAEDCLTLQELELHKCNDDALRGIAACMNLQILKLVGNVDGFYGSLVSDIGLTILAQGCKRLVKLELSGCEGSFDGIKAIGQCCQMLEELTICDHRMDCGWLAGLSYCENLKTLRFLSCKRIDPSPGPDEYLGCCPALERLHLRKCQLRDKKSLKALFKVCEAVREIVVQDCWGLDNDMFSMASICR >Potri.001G125800.1.v4.1 pep chromosome:Pop_tri_v4:1:10327443:10330428:-1 gene:Potri.001G125800.v4.1 transcript:Potri.001G125800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125800.v4.1 MSFFTEKTLKKKKRPPRWSHLWLKNTKPLKHALFAMQLQSVSSPPTPTPTPTLTPQQQQQADPNTKLRDKTGTLISNLPDIDRTLLLGDDLLLKILSKLPDSQRNPNSLVCKRWLNLQGRLVRSLKVLDWEFLESGRLLSRFPNLTHVDLLNGCVVRPHDCCVRLSHRVFQMDINSGVSGFLPDWRVCEENLSPVEVVDRGLRVLASGCPNLRKLVVVGASEIGLLSFAEDCLTLQELELHKCNDDALRGIAACMNLQILKLVGNVDGFYGSLVSDIGLTILAQGCKRLVKLELSGCEGSFDGIKAIGQCCQMLEELTICDHRMDCGWLAGLSYCENLKTLRFLSCKRIDPSPGPDEYLGCCPALERLHLRKCQLRDKKSLKALFKVCEAVREIVVQDCWGLDNDMFSMASICRKVKFLSLEGCSLLTTEGLESVLLTWNELQHFRIESCKNIKDGEVSPALSTFFSVLKELRWRPDTRSLLASSLMGTGMGKKGGKFFKKNPRLDHYTQMIHTATF >Potri.011G023800.1.v4.1 pep chromosome:Pop_tri_v4:11:1763098:1766459:1 gene:Potri.011G023800.v4.1 transcript:Potri.011G023800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G023800.v4.1 MEMEQLLSMGFPSELAAQALAATGGKSTVKATEWILSQTSHPHPNNSPNGSSFQQPKLDRFFHFPSSKPKQEPSSPSNSPTQTTTAPPPPPPHHQVLSAQTPPPSKRPKVFAPSPPSNPHNHTISNDEPLAERMRPRTIDDVIGQDLLLSQNSLLRSAIRCSRIPSIILWGPPGTGKTSIARAIVTSANSQGSSSYRFVSLSAVTCGVKDVRDAFEDARKFKLKNSKRTVLFLDEVHRFNKSQQDSFLPVIEDGTIVFIGATTENPSFHLVTPLLSRCRVLTLSPLKPHHVQTILKRAVNDPDKGLSKSLGSLRVDVSEDAVEFLSTNCDGDARVALNALEISAVAAAARVGSDGDLRLASVALDDAKEALQSKHLAYDRAGEEHYNLISALHKSMRGSDADASIYWLVRMLEGGEQPLYIARRLVRFASEDVGLADPLALNQAVACYQACHFLGMPECNVILAQCVAYLALAPKSISVYRAMGAAQKVVRESVGQNEGVPLHLRNAPTKLMKELGYGKDYIYTPDNPTANQSFLPPSLQGYKFLDWPASNANNG >Potri.011G072991.2.v4.1 pep chromosome:Pop_tri_v4:11:7118531:7136132:-1 gene:Potri.011G072991.v4.1 transcript:Potri.011G072991.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072991.v4.1 MNLSKQDSEANSTVMCNCTLNLNNDVVVWIAHFLIDWIHWIKYNLPGKLPPEIANLTYLEILDLSRNSISGNIPEEWASMKHLTNLSLTSNRLSGNIPGYLGSFRSLTYLSLEANQFSGTIPFQLGDLVNVTVLILSSNQLEGTFPETLAKLNLTNFRASDNNLSGRIPDFIGNWSNLVRLELCASGLEGPIPPAILSLEKLTDLVLRNINLTGVIPTDVWRSDFLKTLQVTLPLIVLSSSMNLSLSPHMWLLAQFSRYHQFSLPCILLLCIYTSIVFNANVTDTTLEIRLYWNGKGTTCIPKRGNYGPLISAITVCSGIRTQIVFLVMGVICWKFYFRDNGDGEAGRTVEDLKGLDLKTGSFTLRQLRAATNNFDSAGKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVFEYMENNSLAKALFGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFAHVVQKKGNLMELDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKLLKGHCQQVMDQSLNSTQDLFPPSDKSWIGNSSTSAHDLYPINPEPVYKAWGAERNTHKLCPAFNSNPFLAESVLPHKHSPLHRHRHLASSIHFLSPKPAVASH >Potri.011G072991.1.v4.1 pep chromosome:Pop_tri_v4:11:7118531:7136132:-1 gene:Potri.011G072991.v4.1 transcript:Potri.011G072991.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072991.v4.1 MNLSKQDSEANSTVMCNCTLNLNNDVVVWIAHFLIDWIHWIKYNLPGKLPPEIANLTYLEILDLSRNSISGNIPEEWASMKHLTNLSLTSNRLSGNIPGYLGSFRSLTYLSLEANQFSGTIPFQLGDLVNVTVLILSSNQLEGTFPETLAKLNLTNFRASDNNLSGRIPDFIGNWSNLVRLELCASGLEGPIPPAILSLEKLTDLVLRNINLTGVIPTDVWRSDFLKTLQVTLPLIVLSSSMNLSLSPHMWLLAQFSRYHQFSLPCILLLCIYTSIVFNANVTDTTLEIRLYWNGKGTTCIPKRGNYGPLISAITVCSGIRTQIVFLVMGVICWKFYFRDNGDGEAGRTVEDLKGLDLKTGSFTLRQLRAATNNFDSAGKIGEGGFGSVYKGKLSDGTLIAVKQLSPKSRQGNREFVNEIGMISGLQHPNLVKLYGCCIEGDQLLLVFEYMENNSLAKALFATGSETSFLMLDWPTRYKICVGIARGLAFLHEESAIRIVHRDIKDLSAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFAHVVQKKGNLMELDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKLLKGHCQQVMDQSLNSTQDLFPPSDKSWIGNSSTSAHDLYPINPEPVYKAWGAERNTHKLCPAFNSNPFLAESVLPHKHSPLHRHRHLASSIHFLSPKPAVASH >Potri.004G090800.2.v4.1 pep chromosome:Pop_tri_v4:4:7741931:7744556:-1 gene:Potri.004G090800.v4.1 transcript:Potri.004G090800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090800.v4.1 MALLRRTFPSLISTPLKYSIHPRVCSSWFEVARFLHDGTKTESDTVVSSICDSLRRGYNWDTLNRKFESLQLNNLLVKNVLLELKEPTDAKRALGFFHWSARRNFVHGVQSYCLMIHILIQARLIMDAQALLESLLKKSVGDPTKFLVVDSLLSSYKIIISSPLVFDLLVQAYAKQRMFEIGFDVCCRLEEHRFTLSLISFNTLIHVVQKSDKSPLAWKIYEHMLHRRTYPNEATIESMISALCKEGKLQTIVNMLDKIHGKRCSPVVIVNTCLVFRILEEGRVEPGLALLKMMLRKNMILDTVAYSLIVYAKVKLGNLNSAMQVYEEMLKRGFNANSFVYTSFIGAYCKEERIEEANQLLQEMENMGLKPYGDTFNFLLEGCAKAGRVEETLSYCKKMMEMGHVPSLSAFNEMVGKLCRIEDVTRANEMLTNLLDEGFLADEITYSNLISGYAKNNQIQEMLKLYYEMEYRSLSPGLMGFTSLIKGLCNCGKLEEAEKYLRIMIGRSLNPREDVYEALIKVYFEKGDKRRALNLYNEMVSKGLKLCCSHYLGAST >Potri.004G090800.1.v4.1 pep chromosome:Pop_tri_v4:4:7741976:7744487:-1 gene:Potri.004G090800.v4.1 transcript:Potri.004G090800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G090800.v4.1 MALLRRTFPSLISTPLKYSIHPRVCSSWFEVARFLHDGTKTESDTVVSSICDSLRRGYNWDTLNRKFESLQLNNLLVKNVLLELKEPTDAKRALGFFHWSARRNFVHGVQSYCLMIHILIQARLIMDAQALLESLLKKSVGDPTKFLVVDSLLSSYKIIISSPLVFDLLVQAYAKQRMFEIGFDVCCRLEEHRFTLSLISFNTLIHVVQKSDKSPLAWKIYEHMLHRRTYPNEATIESMISALCKEGKLQTIVNMLDKIHGKRCSPVVIVNTCLVFRILEEGRVEPGLALLKMMLRKNMILDTVAYSLIVYAKVKLGNLNSAMQVYEEMLKRGFNANSFVYTSFIGAYCKEERIEEANQLLQEMENMGLKPYGDTFNFLLEGCAKAGRVEETLSYCKKMMEMGHVPSLSAFNEMVGKLCRIEDVTRANEMLTNLLDEGFLADEITYSNLISGYAKNNQIQEMLKLYYEMEYRSLSPGLMGFTSLIKGLCNCGKLEEAEKYLRIMIGRSLNPREDVYEALIKVYFEKGDKRRALNLYNEMVSKGLKLCCSHYLGAST >Potri.006G131900.1.v4.1 pep chromosome:Pop_tri_v4:6:10754007:10762245:1 gene:Potri.006G131900.v4.1 transcript:Potri.006G131900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G131900.v4.1 MYGTHGESASRKKQHHHYASDDVVNILATYCPSNELAVDEEKLMLTCHLIHFFSNTPPGEDLASQVKDKNGAYYLSIDFQQFQNIRELEEFYATLEEKPKVALSCMGAAVHKVFLSKCEDNFLDDGMKINIRLHNYPESMIALKNLKAAYIDKLVSVRGSVVKVSNVRPLVVQMNFNCAKCKYSILRIFPDGKFSPPTVCSLNGCKSRTFNPIRSSARAIDFQKIRLQELLRSEDHEEGRVPRTVECELTEDLVDACIPGDVVTVTGIIKTFNSNLDTGGGKSKNKNQGFYYLYLEVVSIKNSKLQSTSDNLQDSKCNARATELSDLFSFSPRDLEFIVKFSEEHGSDIFRQILQSICPSIYGHELVKAGITLALFGGVRKHSMDPNKVPVRGDIHVIIVGDPGLGKSQLLQAAAAVCPRGIYVCGNATTNAGLTVAVVKDAKTSDYAFEAGAMVLADSGLCCIDEFDKMSAEHQSLLEAMEQQCVSVAKAGLLASLSARTSVLAAANPVGGHYNRAKTVNENLKMSAALLSRFDLVFILLDKPDEVLDKRVSDHIISLHAGYGEYSQAAKKLRTASLHGGIDMSVRNGSLVSRLRLDPKKDADFAPLPGPLLRKYIAYARTYVFPRMSKPAAEILQKFYLQLRDHNTSADCTPITARQLESLVRLAEARARLELREEVTAQDATDVVEIMKESLYDKYVDEHGVVDFGRSGGMSQQKEAKRFLSALNRQSELQRKDTFSISEIYSLADRIGLRVPDIDTFVDNLNSAGYLLKKGPKTFQVLTSSYSRSQSSRG >Potri.015G097300.2.v4.1 pep chromosome:Pop_tri_v4:15:11822975:11824324:-1 gene:Potri.015G097300.v4.1 transcript:Potri.015G097300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G097300.v4.1 MDAIDSVVDPLREFAKDSVRLVKRCHKPDQKEFTKVASRTAIGFVVMGFVGFFVKLIFIPINNIIVGAS >Potri.003G095900.1.v4.1 pep chromosome:Pop_tri_v4:3:12161350:12164849:-1 gene:Potri.003G095900.v4.1 transcript:Potri.003G095900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095900.v4.1 MALLYTSHSSLLFSPSSSKKPNNPLPLSKTPPLPSLSFFRKPPQTLRFLTQITKKPKFRTLLTHISSSSSDPTPDSDPEPNSPPVGITDEWGEKAEPELEPEYPKAADLDPPRNDDEWGGEFVAVENGNAAAPSSSSAVVVEKDERVEELKRGLVDTVYGTDFGFRASPEIRAEALELVNQLEVVNPTPAPVDATGVLDGKWVLVYTAFSELLPLLAAGATPFLKVKSISQTIDASSLSIVNSTTLSGPFATFSFSASATFEFRTPSRIQVEFKEGVLQPPQINSSVELPENVDLFGQKINLSPIQQSLGPLQEAAANIGRTISGQPPLKVPIPGNRASTWLLITYLDEDLQISRGDGGLFVLAKEGSPLLEL >Potri.003G095900.5.v4.1 pep chromosome:Pop_tri_v4:3:12161406:12164777:-1 gene:Potri.003G095900.v4.1 transcript:Potri.003G095900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G095900.v4.1 MALLYTSHSSLLFSPSSSKKPNNPLPLSKTPPLPSLSFFRKPPQTLRFLTQITKKPKFRTLLTHISSSSSDPTPDSDPEPNSPPVGITDEWGEKAEPELEPEYPKAADLDPPRNDDEWGGEFVAVENGNAAAPSSSSAVVVEKDERVEELKRGLVDTVYGTDFGFRASPEIRAEALELVNQLEVVNPTPAPVDATGVLDGKWVLVYTAFSELLPLLAAGATPFLKVKSISQTIDASSLSIVNSTTLSGPFATFSFSASATFEFRTPSRIQVEFKEGVLQPPQINSSVELPENVDLFGQKINLSPIQQSLGPLQEAAANIGRTISGQPPLKVPIPGNRASTWLLITYLDEDLQISRGDGGLFVLAKEGSPLLEL >Potri.004G081300.3.v4.1 pep chromosome:Pop_tri_v4:4:6708545:6712834:-1 gene:Potri.004G081300.v4.1 transcript:Potri.004G081300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081300.v4.1 MATLKRSDSIADNMPEALKQSRYHMKRCFAKYIEKGRRTMKLQQLLDEMENVIDDQVERTRVLQGLLGDIWFSIQEAVVNPPYVALSIRPSPGFWEFVKVNSADLSVEGITATDYLKFKEMIYDENWAKDANALEVDFGAFDFSVPHLTLSSSIGNGLGFVSKFATSKLSGRLESAQPLVDYLLSLNHEGEKLMINETLSSVRKLRMALIVAEAYLSGLPKDTQYQNFETSFKAWGFEKGWGNTAERVKETMRCLSEVLQAPDPLNMENFFSRLPTVFNVVIFSPHGYFGQADVLGLPDTGGQVVYILDQVKALEDELLLRIEQQGLNIKPQIVVVTRLIPEARGTKCNQELESINGTKHSNILRVPFSIENKVLRQWVSRFDVYPYLEKFTQDVITKLLDLMQRKPDLIIGNYTDGNLAATLMASKLGITQATIAHALEKTKYENSDVKWKELDPKYHFSCQFMADTIAMNATDFIIASTYQEIAGSKDRPGQYESHASFTLPGLCRVVSGIDVFDPKFNIAAPGADQSVYFPYTEKQSRFTKFHPAIEELLYSKVVNDEHIGYLEDKKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRGLVNLVIVGGFFDPNKSKDREEMAEITKMHGLIKKYRLNGQFRWIAAQTDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGISGFHIDPQNGDESSNIIADFFEKCKVDPGYWNKFAAEGLKRINECYTWKIYAKKLLNMGNMYSFWRQLNKEQKLAKQRYIQMLYNLQFRRLVRTLYFLLTALQSTITGLLKIFTCPSCNTSRQ >Potri.004G081300.2.v4.1 pep chromosome:Pop_tri_v4:4:6708557:6712842:-1 gene:Potri.004G081300.v4.1 transcript:Potri.004G081300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G081300.v4.1 MATLKRSDSIADNMPEALKQSRYHMKRCFAKYIEKGRRTMKLQQLLDEMENVIDDQVERTRVLQGLLGDIWFSIQEAVVNPPYVALSIRPSPGFWEFVKVNSADLSVEGITATDYLKFKEMIYDENWAKDANALEVDFGAFDFSVPHLTLSSSIGNGLGFVSKFATSKLSGRLESAQPLVDYLLSLNHEGEKLMINETLSSVRKLRMALIVAEAYLSGLPKDTQYQNFETSFKAWGFEKGWGNTAERVKETMRCLSEVLQAPDPLNMENFFSRLPTVFNVVIFSPHGYFGQADVLGLPDTGGQVVYILDQVKALEDELLLRIEQQGLNIKPQIVVVTRLIPEARGTKCNQELESINGTKHSNILRVPFSIENKVLRQWVSRFDVYPYLEKFTQDVITKLLDLMQRKPDLIIGNYTDGNLAATLMASKLGITQATIAHALEKTKYENSDVKWKELDPKYHFSCQFMADTIAMNATDFIIASTYQEIAGSKDRPGQYESHASFTLPGLCRVVSGIDVFDPKFNIAAPGADQSVYFPYTEKQSRFTKFHPAIEELLYSKVVNDEHIGYLEDKKKPIIFSMARLDTVKNLTGLTEWYGKNKRLRGLVNLVIVGGFFDPNKSKDREEMAEITKMHGLIKKYRLNGQFRWIAAQTDRNRNGELYRCIADTKGAFVQPALYEAFGLTVIEAMNCGLPTFATNQGGPAEIIVDGISGFHIDPQNGDESSNIIADFFEKCKVDPGYWNKFAAEGLKRINECYTWKIYAKKLLNMGNMYSFWRQLNKEQKLAKQRYIQMLYNLQFRRLAMNVPIPTEEAQKPAKQGS >Potri.002G057000.3.v4.1 pep chromosome:Pop_tri_v4:2:3880801:3885671:1 gene:Potri.002G057000.v4.1 transcript:Potri.002G057000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G057000.v4.1 MICASLKREIGLILGKVLRFLFRSFLRAMGCFFTCFRTKDDRSNRSRPHAISSDSLRSKPTLEAGVLKNRLSSLFLSEEKEESPRGDIENPCLGSPQINKGLRDEAKFLKACGTLPETPTEIRKACDKFKGSPGLDKYSESSKFHSWLPNTSMEKLQLDNQNEDSRTPVKLWEELGKGSFSSEQTPSSCMTNVQSREDSESGSHKRMIKVQPDEIDNVATGAPWLSATKVQSRNRSVRFECDFDTSSSKGSSENGCQVPRKYESPGNLSVSKPSPRPTPLKISDDMQTPGTVFPANLETLANGKTRIRSQYVYSVLNPVENASQWKLLREDDSNSHEQSGELFSLEQSESATPKPEWGVKETSSGKDLKVEASLSSWVKPPQSTTGEDNPNIGTAAGKNFSSGRTPGDRPIIGMVAAHWNENEASRISPKWWDGNGIPNSTNKYKEDQKVSWHATPFEERLEKALSEESFISQRKPISGRPIVFDECEESDTALSRLQASTQAKSVVSF >Potri.016G136500.1.v4.1 pep chromosome:Pop_tri_v4:16:14040737:14049365:-1 gene:Potri.016G136500.v4.1 transcript:Potri.016G136500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G136500.v4.1 MASSSIKSCMNVTCGVSTSSSGGWRKGWALRSGDFAILCDNCGSAYEQSVFCEVFHSKDSGWRECTSCGKRLHCGCIASKSLLELLDGGGVNCTSCSKSAGVSSVNGDEKTNGFGMSKVDDAGELQSASADNQLTTETKLMQLGNCIDRIATRNLLQLQSSETDGSYRKMKQEDIIPPVGEIASTSFLNFNHISNASSQTAKPEIHKTTAAKDLYESLAQTNLSISLGSSLGNPNPFPGGVVDERVLAKASSPLQQGPRSRHLLPKPPKPALVLDANAGMVSQIRVARPPAEGRGRNQLLPRYWPRITDQELQQISGDPNSTIVPLFEKVLSASDAGRIGRLVLPKACAEAYFPPISQPEGLPLRIQDVKGKEWVFQFRFWPNNNSRMYVLEGVTPCIQSMKLQAGDTVTFSRMDPEGKLVMGFRKASNSIAMQDTQPSAIPNGVPSSESYFAGVFENLPIISGYSGLLHSLKGSTDTHLSALSKHLHSASGDISWHKSEKQEARTRDGLLLPSLLAPERKRLRNIGSKSKRLLIDSLDALELKVTWEEAQDLLRPEPSIKPSIVTIEDHDFEEYEEPPVFGKTSIFVVRSIGGQEQWAQCDSCSKWRRLPIDVLLPPKWTCVDNAWDQSRCSCSAPDELAPRELENLLRLTKDFKKRRITSSHRPAQEHESSGLDALANAAILGDAGEQSTTAVAATTKHPRHRPGCSCIVCIQPPSGKGKHKPTCTCNVCMTVKRRFKTLMMRKKKRQSEREAEIAQRIQHMSGPKDEADVESSSKLASTPMDPSDNEARSGNELESKSQTNNLSNKLADSGKGHLDLNCHPGREEDSQAGLARMSMTSLLQVASLPLETYLKQNGLVSLSEQQASSASHVPPQAGENGGRIDGDCQPASVAQEQESGGEEDDEPGPDQSQTDLL >Potri.002G258700.1.v4.1 pep chromosome:Pop_tri_v4:2:24704546:24707325:1 gene:Potri.002G258700.v4.1 transcript:Potri.002G258700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G258700.v4.1 MICKVEEKGAADGSKDYTEDGTVDIKGNPALRSKSGRWKACSFILGYEVFERMAYYGIAANLVLYLTRKLHEGTVTSSNNVTNWAGTVWILPILGAYIADAHLGRFRTFVIASGIYLLGMSLLTLAVSVPALRPPSCGHGIKDEGCDKRASALQKGIFYGALYIIAVGTGGTKPNISTMGADQFDDFEPKEKQQKLSFFNWWMFSIFFGTLFSNTFLVYIQDNVNWALGYGIPTMGLALSIIMFLAGNSFYRHKLPAGSPFTKMAQVLVASVRKWKVSLPNDPKELHELGIEGSARSARNRIDHTYLLRLLDKAAVKSGPTSPWMLCPVTQVEETKQMIKMLPVLMVTFIPSAMLAQLSTFFVKQGTTLDRSMGPHFEIPPASLGAFVTIFMLASLVLYDRCFVPIARHYTKNPRGITMLQRMGIGIILHIIVMIVAFVVERKRLSVAREHNIVGKLEVVPLSIFVLLPQFALVGISDTFLEVAKLEFFYDQAPEGMKSLGTSYFCSSIGVGNYLSSFILSTVSGITKKHGHKGWILDNLNLSRIDYYYAFLAILGFLNFLLFLLVANYFVYNVDMDSKRDLQRAMETSSSKSPVQIL >Potri.007G111500.1.v4.1 pep chromosome:Pop_tri_v4:7:13311486:13312444:-1 gene:Potri.007G111500.v4.1 transcript:Potri.007G111500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G111500.v4.1 MLRLIGSLSFIWLLVATSTMAQTWPAVLDADGQPLRSGVEYYVLPAVTDVAGGLILVNLNNGSVCPLFVGQEPLAPVVSRGTSVIFTPRVADTVVRETRDFTVAFTGVTICAQSTAWMVGEQNPETRRRYILAETDPNPSSNAWHFNIVKNDQGLYNFQWCPNCLTEVCPRPLCGDAGIVVENERRLLVLDGPAFPFIFRRLE >Potri.005G235800.2.v4.1 pep chromosome:Pop_tri_v4:5:23385834:23387151:1 gene:Potri.005G235800.v4.1 transcript:Potri.005G235800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235800.v4.1 MDGTKRTGYVWAISAGLNAAFAAIAAKFFSYQVIKYGLVVLFNVTMWGCYVNSLKALSSLQATVTNFAANFLSSGLAGFFLFKETLSVQWFAGALLIVIGVVILSKSSIERKESIDQKLD >Potri.014G137850.1.v4.1 pep chromosome:Pop_tri_v4:14:9379706:9380845:-1 gene:Potri.014G137850.v4.1 transcript:Potri.014G137850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G137850.v4.1 MERNSNSNSCPKVVYEKLYKAVTVSPAFQAIRRISSRPRDPRPDSPAPNSSPPPPLSKKIIDNQPQTYSPKKHRKSAESARRHDTPRNREAAETVPVNFDFQSQSIPANGKSKSTTPHPPIPKTTQVASRVIEPEAKTSSMAIVQSPSQGNKASHPKLESPQHNKLEEGNDKRGMHIEDRFTDYIKRARIKIRTLSNAGHEKQHASPGQDKFTDYINRAKVKLRTTSSIGGEKS >Potri.002G262400.1.v4.1 pep chromosome:Pop_tri_v4:2:24986027:24990048:-1 gene:Potri.002G262400.v4.1 transcript:Potri.002G262400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262400.v4.1 MRKLCPNYDREHALDTVLEVPIPEEMFTKMGNSSASRWQNMRALMRAQAAADKSTHLQSKSDNEFIALLKLVGSPLIPFQVNPDQPLTRPLKDCNIEASTAKYIVQQYIAAIGGSLALNSVKSMYAVGQVKMTASEMHQGDGSVHPGGKYEVGGFVLWQKNPDLWYLELVVSGYKVSAGSDGKVAWNQSSSQASHANRGPPRPLRRFFQGLDPRCPANLFLEAVCVAEKAVNNEDCFVLKLETDSNTLKAQSSSNTEIVHHTIWGYFSQRTGLLVKFEDTKLVKMKPIKGNDNVFWETSIESVIGDYRYIEGINIAHSGKTIATLYRYGASHNHKRKIEETWMIEEVDFNICGLSMDCFLPPADLKREQEGGEL >Potri.002G262400.2.v4.1 pep chromosome:Pop_tri_v4:2:24985994:24988317:-1 gene:Potri.002G262400.v4.1 transcript:Potri.002G262400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G262400.v4.1 MRKLCPNYDREHALDTVLEVPIPEEMFTKMGNSSASRWQNMRALMRAQAAADKSTHLQSKSDNEFIALLKLVGSPLIPFQEASTAKYIVQQYIAAIGGSLALNSVKSMYAVGQVKMTASEMHQGDGSVHPGGKYEVGGFVLWQKNPDLWYLELVVSGYKVSAGSDGKVAWNQSSSQASHANRGPPRPLRRFFQGLDPRCPANLFLEAVCVAEKAVNNEDCFVLKLETDSNTLKAQSSSNTEIVHHTIWGYFSQRTGLLVKFEDTKLVKMKPIKGNDNVFWETSIESVIGDYRYIEGINIAHSGKTIATLYRYGASHNHKRKIEETWMIEEVDFNICGLSMDCFLPPADLKREQEGGEL >Potri.005G099600.1.v4.1 pep chromosome:Pop_tri_v4:5:7180263:7184955:-1 gene:Potri.005G099600.v4.1 transcript:Potri.005G099600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G099600.v4.1 MARRSLPLLKHLLSSPSPSPSTTPTLRRSVTYMPRPGDGEPRPVTLIPGDGIGPLVTNAVEQVMEAMHAPVYFEKYEVHGDMMRVPSEVMESIKKNKVCLKGGLTTPMGGGVSSLNLQLRKELDLYASLVNCFNLPGLPTRHQNVDIVVIRENTEGEYAGLEHEVVPGVVESLKVITKFCSERIAKYAFEYAYLNNRKKVTAVHKANIMKLADGLFLESCREVATKYPGIKYNEIIVDNCCMQLVSKPEQFDVMVTPNLYGNLVANTAAGIAGGSGVMPGGNVGADHAIFEQGASAGNVGNEKLLEQKTANPVAVLLSSAMMLRHLQFPSFADRLETAVKQVISEGRYRTKDLGGSSTTQEVVDAVIGALD >Potri.017G116900.7.v4.1 pep chromosome:Pop_tri_v4:17:12378338:12381649:-1 gene:Potri.017G116900.v4.1 transcript:Potri.017G116900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116900.v4.1 MHPHLLQTISLPLTVITIIIIAIPISLCEPDERYLSCSKSFECGNIQNITYPFWGVNRPQYCGYPGFHLDCSGDAPVIKISEVAYQVLEIKSMSRVHLISTEYTDNICSIPPVNSTFDSDRFSYASNTKNIMLYYGCPTIPSQFLPTLGLSYQFSCNISRTDMVGYYLTRNLSMSATGSFAANISSYLESCNHSVLIPAYESAVRSIESHPTAANLTNALHRGFWLQWTANDSLCNKCKFSGGQCGYNTDTSKFTCYCQDQPYATTCKKESYRWEYKLIKVVTLAMYTMIAVTIIVLCSRRGLSRGRMTSFWRQNAQHNLNIETIIMNSHSLTPKRYTYSEIKKMTNSFVDKLGQGGFGGVYKGELPDGRPVAVKVLKNSKGDGEEFVNEVVSISRTSHVNIVTLFGFCFERTKRALIYEYMPNGSLDKFIYRQTSLHGNHNLQWEKLYEIAVGIGRGLEYLHRGCNTRIVHFDIKPHNILLDTDFCPKISDFGLAKLCKREESMVSMTGARGTAGYIAPEVFCKNFGGVSYKSDVYSYGMMVLEMVGGKRNIDIGESQSSEIFLPNCMYESEEVSSLHGVITDETEETIKKMVTVGLWCVQTNPLDRPSMTKVVEMLEGSLQFLQTPPKPFLYSPTTSLETNLTASTSRACALSQNVSGMAAIS >Potri.017G116900.6.v4.1 pep chromosome:Pop_tri_v4:17:12377801:12381724:-1 gene:Potri.017G116900.v4.1 transcript:Potri.017G116900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G116900.v4.1 MHPHLLQTISLPLTVITIIIIAIPISLCEPDERYLSCSKSFECGNIQNITYPFWGVNRPQYCGYPGFHLDCSGDAPVIKISEVAYQVLEIKSMSRVHLISTEYTDNICSIPPVNSTFDSDRFSYASNTKNIMLYYGCPTIPSQFLPTLGLSYQFSCNISRTDMVGYYLTRNLSMSATGSFAANISSYLESCNHSVLIPAYESAVRSIESHPTAANLTNALHRGFWLQWTANDSLCNKCKFSGGQCGYNTDTSKFTCYCQDQPYATTCKKESYRWEYKLIKVVTLAMYTMIAVTIIVLCSRRGLSRGRMTSFWRQNAQHNLNIETIIMNSHSLTPKRYTYSEIKKMTNSFVDKLGQGGFGGVYKGELPDGRPVAVKVLKNSKGDGEEFVNEVVSISRTSHVNIVTLFGFCFERTKRALIYEYMPNGSLDKFIYRQTSLHGNHNLQWEKLYEIAVGIGRGLEYLHRGCNTRIVHFDIKPHNILLDTDFCPKISDFGLAKLCKREESMVSMTGARGTAGYIAPEVFCKNFGGVSYKSDVYSYGMMVLEMVGGKRNIDIGESQSSEIFLPNCMYESEEVSSLHGVITDETEETIKKMVTVGLWCVQTNPLDRPSMTKVVEMLEGSLQFLQTPPKPFLYSPTTSLETNLTASTSRACALSQNVSGMAAIS >Potri.006G280600.4.v4.1 pep chromosome:Pop_tri_v4:6:27008965:27015447:-1 gene:Potri.006G280600.v4.1 transcript:Potri.006G280600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280600.v4.1 MMEGSNSLDDSPSRQAQAQTRTHSGGGGGGDAPSNPSQPQPQLQSQSQREGEEVDETKKEEAELIEKAQKRIDKITSSPDNPNPTLLHALSSLLETQESLARQLPEATLENNIRAADERSLADLDESSLESVGEDNDDIDIDGGERRHGRDLRDVKIKFAELDESGRDDLLRHRPSRGWTRHRGRGRVNETALKNEQVSTSPDSGSRSGSGSGPGRSARDRNSKNLLDEKKGPDTRKFQGNILSDGLNVERDDNDDCFQGCRIGTKDISDLVKKAVQAAELEAIGANTPAGAIKAAGDAAAEYGSRLLIAPAPSPTAGDTAAGINGCLLLLRHPKSGNATNYLLSHDNEGAVLQELHWFKQSYTSWFLGDYVSQDGSLYTATPVDPVFILLPIFEEARMKKRDDLGKFRQLDEIIFINDYPGYHHLMSIAENCMGVVCEIKEIGSSKFFRLDDSKVLAWLYCKVFQLKKALCSLDKNYAAQDEKYTLAGAVSILGEYVKDEPWLMLLLDHLKLNLLEVTSKVPEAENFPTNAEHNPVSSSLLQEKDRSEDKTKRSGKQAKKAKVETESRNIREMFRRACRKS >Potri.006G280600.5.v4.1 pep chromosome:Pop_tri_v4:6:27014131:27015442:-1 gene:Potri.006G280600.v4.1 transcript:Potri.006G280600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280600.v4.1 MMEGSNSLDDSPSRQAQAQTRTHSGGGGGGDAPSNPSQPQPQLQSQSQREGEEVDETKKEEAELIEKAQKRIDKITSSPDNPNPTLLHALSSLLETQESLYAYPPFPYSFLYYIFLFLFSSLTNTYLFLCT >Potri.002G065100.1.v4.1 pep chromosome:Pop_tri_v4:2:4456417:4460445:1 gene:Potri.002G065100.v4.1 transcript:Potri.002G065100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G065100.v4.1 MLFITEPLVSLKKKRTGIRPRKAMGCVQGKYSVNSSPTPGGLEKLKMESGYVGKGDIAGHRRSTGQRYSGRLPKPEQPTRKYNGGRAGNGEERKLSDTGRVRFVEFGGEEVVDGWPKWLTDNVPGEVLGGLIPKSAENYDKLAKVGEGTYSNVYKARDKETGQIVALKKVRFDTSEPESVKFMAREIMILQKLDHPNVVKLEGLATSRMQYSLYLVFDFMKSDLSKIISRPEGRLTEPQVKCYMQQLLSGLQHCHDRGILHRDIKGSNLLIDKNGMLKIADFGLSNYYSPKQKQPLTTRVVTLWYRAPELLLGATDYGTGIDLWSAGCLLAEMFAGRPIMPGRTEVEQLHRIFKLCGTPPEDYCKKLKLSTSFRPPRTYKPGLFEAFSEFPESALGLLTTLLALDPASRGCASSALQNEFFHISPLACDLSGLPVIKKDEDELTQADEQRKRRNAKMKRRSQTYRERKKKDLAAEEPKEDPAQPKEEPKETRESINQSHEPGSSSTSSNSSGTKPTHLFEMPPNLLQSRIATSKKMSPKTQGHTNAPKNIKNLPPLPTSRTGSTMYNVNNDMYRLNRVHRSASTREFRNSIKGSS >Potri.011G057601.1.v4.1 pep chromosome:Pop_tri_v4:11:4721448:4723508:1 gene:Potri.011G057601.v4.1 transcript:Potri.011G057601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G057601.v4.1 MGVDYYNTLKVDKNAKDEDLKKAYRKLAMKWHPDKNPNNKKEAEAKFKQISEAYEVLSDPQKRAIYDQYGEEGLKGQVPPPEAGGPGGATFFSTGDGPTTFRFNPRNADDIFAEFFGFSSPFGGMGGGGGMRGGSRSFGGMFGDDIFSSFGEARPTNPAPRKAPPIENTLPCSLEELYKGTTKKMKISREIVDVSGKTLPVEEILTIDIKPGWKKGTKITFPEKGNEQPNVIPADLVFVIDEKPHSTFTREGNDLVVTKKIPLVEALTGCTVHLTTLDGRTLTIPVNNVIHPNYEEVVAKEGMPIPKDPSKRGNLRIKFDIKFPTRLSAEQKSGIKKLLNS >Potri.002G195400.1.v4.1 pep chromosome:Pop_tri_v4:2:15821422:15822757:1 gene:Potri.002G195400.v4.1 transcript:Potri.002G195400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G195400.v4.1 MGWQKKEKKLSGCAIFREPFHVVTITLLSLLLPLSFLLLARLSSYNYMLTITSDPTQQSPSSFILSLLLSINPSILCFLVSIVSIATLIHGLAGRTTLLSESPGVIYRPGLYTAWIVLCTLQICVGLGIEGSIAAGIFDGSGFDTRRGLLCRYIFFLGLHETMIHWSRTVVKPVVDDTIFGAAGDEKWAQRVTIALSYGTLWWLSIRDEVESLVFVAEAKIELSMDLGVADLVGWWLYYLTVTIGMVRVVKSLIWIGAILLCKRVRRNSTETCEDEFDKV >Potri.009G149200.1.v4.1 pep chromosome:Pop_tri_v4:9:11798318:11799727:1 gene:Potri.009G149200.v4.1 transcript:Potri.009G149200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G149200.v4.1 MPPTPTKGYRRGGGQELERNRKNRLNEKALSFHGKIPAEMPEARIRRPKTLPDLLAGKNLPATVPDVRPKLTKVLLNVTVQGSVGAVQVLMSLESTVGELIAAAIQQYMKEGRRSIIANDSSRFDLHYSQFSLESLDRDEKLMALGSRNFFLCPKKSGMDGASCSRGGGLTTTSSPSCSKEVKEEAAKSGFHPWLKFMDFLL >Potri.012G093400.2.v4.1 pep chromosome:Pop_tri_v4:12:11795941:11797173:-1 gene:Potri.012G093400.v4.1 transcript:Potri.012G093400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G093400.v4.1 MIWFNKFLESQGCKGAVSSRHECKAVRDDALIWVGEIGVNDYAYILDLPCQVTQLGSLQSIICITGFLQTLLKKGVKNIVVQGLPPTGCLPLAMTLAPVDDRDDLGRVKTLNNQSYTHTVVYQKTVQDLRKQFPDAVITYLDYWNAYSMVMKNPKKYGFQEPFMACCVSGGPPYNFEVFSTCGSSDASACPNPSQYINWEGVHLTEAMYKVLSRMFLSGTQSSSICFLEGQETARVNGS >Potri.006G015400.2.v4.1 pep chromosome:Pop_tri_v4:6:983412:986562:1 gene:Potri.006G015400.v4.1 transcript:Potri.006G015400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015400.v4.1 MAFYSEDDKADDYLFKIVLIGDSAVGKSNLLARFARNEFYPSSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGKWLNELHTHSDMNVVTILVGNKSDLKDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSQELNKPGAPELGNGKTVVLKGDGDQEGNAGTKKGWCCSS >Potri.006G015400.3.v4.1 pep chromosome:Pop_tri_v4:6:983416:986551:1 gene:Potri.006G015400.v4.1 transcript:Potri.006G015400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G015400.v4.1 MAFYSEDDKADDYLFKIVLIGDSAVGKSNLLARFARNEFYPSSKSTIGVEFQTQKMDINGKEIKAQIWDTAGQERFRAVTSAYYRGAVGALLVYDISRRQTFDSIGKWLNELHTHSDMNVVTILVGNKSDLKDAREVSTAEGKALAEAQGLFFMETSALDSSNVAAAFQTVVKEIYNILSRKVMMSQELNKPGAPELGNGKTVVLKGDGDQEGNAGTKKGWCCSS >Potri.010G160800.2.v4.1 pep chromosome:Pop_tri_v4:10:16659861:16663374:1 gene:Potri.010G160800.v4.1 transcript:Potri.010G160800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160800.v4.1 MAGLRLAVSWILASLCFSSLCAADDVKVFVQGVTSIAKTDDNFICATLDWWPSEKCDYNQCPWGKAGLLNLDLNNKILANAIKAFDPLRIRLGGSLQDQLVYQVGDFIKKFPHFKKQDDGLFGFSKGSLPMDRWDQLNDLFKQTNAKITFGLNALIGKRKSDNSTLWVGEWNSKNARDFMKYTASKGYKIDSYELGNELCASGVSARLEADQYAKDIIQLKEIVKELYPDRETQPRVLGPAGFYDTEWFKTFLEVSGPHAVDGVTHHIYNLGAGVDKTLINKIQDPYFLDEIAETFKDLENVVKEFGPWAGPWVGESGGAYNSGGKDVSHTFVNGFWYLDQLGMTATFNHKVYCRQTLIGGNYGLLNTTSFIPNPDYYGALLWHRLMGKTVLATNHFGSPYLRTYTHCSKQKPGITMLIINMSNSTSIDVSVTNDENKYPHNYRMTVNGSNQREEYHLTPKDGNIQSDVVLLNGTPLQLTSSQDIPSLSPMIVDSSSPIRVAPDSIVYVTIRDFKAPACA >Potri.006G212100.1.v4.1 pep chromosome:Pop_tri_v4:6:21881735:21892500:1 gene:Potri.006G212100.v4.1 transcript:Potri.006G212100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212100.v4.1 MDTSKPAIFVNGALLPMHVRKRVRTVIQVVGADRGAVVGKSPDDLQLVVKGSPPSAPLTNFVEVIGIADSEKSIQAEIWTNFGDAFDTYNYNQLCQLANGEYQHLFL >Potri.006G212100.5.v4.1 pep chromosome:Pop_tri_v4:6:21873511:21892500:1 gene:Potri.006G212100.v4.1 transcript:Potri.006G212100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212100.v4.1 MDTSKPAIFVNGALLPMHVRKRVRTVIQVVGADRGAVVGKSPDDLQLVVKGSPPSAPLTNFVEVIGIADSEKSIQAEIWTNFGDAFDTYNYNQLCQLANGEYQHLFL >Potri.006G212100.4.v4.1 pep chromosome:Pop_tri_v4:6:21882177:21892572:1 gene:Potri.006G212100.v4.1 transcript:Potri.006G212100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212100.v4.1 MDTSKPAIFVNGALLPMHVRKRVRTVIQVVGADRGAVVGKSPDDLQLVVKGSPPSAPLTNFVEVIGIADSEKSIQAEIWTNFGDAFDTYNYNQLCQLANGEYQHLFL >Potri.006G212100.3.v4.1 pep chromosome:Pop_tri_v4:6:21881568:21892500:1 gene:Potri.006G212100.v4.1 transcript:Potri.006G212100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212100.v4.1 MDTSKPAIFVNGALLPMHVRKRVRTVIQVVGADRGAVVGKSPDDLQLVVKGSPPSAPLTNFVEVIGIADSEKSIQAEIWTNFGDAFDTYNYNQLCQLANGEYQHLFL >Potri.001G028300.1.v4.1 pep chromosome:Pop_tri_v4:1:2148403:2149876:1 gene:Potri.001G028300.v4.1 transcript:Potri.001G028300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028300.v4.1 MEVKRRTARLLVTRLGSVSEQTRSEALAELRLMTKNDAEPRPIIAEAGAIPYLEETLYSSSHDSQDNAAAILLNISISSRTALMSTRGLLDAISHVLRHHATNSSPFAVQSSAATLHSLLVDDSYRPVIGAKRDIVYSLIEIIKRPNSPPRSVKDALKALFGIALFPLNRANLIGLGGVAALFSLVLKDGRVGIVEDATAVIAQIAGCAESEREFWKVSGVKVLVDLLDVGTGSSERVKENAVGALLNLVSCGGGGVVKQVKEMGPGAVEGIRDVVENGTAKGKSKGIALLKVVEGGAKEWDFAMNTRELPSVEF >Potri.001G028300.2.v4.1 pep chromosome:Pop_tri_v4:1:2148451:2149869:1 gene:Potri.001G028300.v4.1 transcript:Potri.001G028300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G028300.v4.1 MEVKRRTARLLVTRLGSVSEQTRSEALAELRLMTKNDAEPRPIIAEAGAIPYLEETLYSSSHDSQDNAAAILLNISISSRTALMSTRGLLDAISHVLRHHATNSSPFAVQSSAATLHSLLVDDSYRPVIGAKRDIVYSLIEIIKRPNSPPRSVKDALKALFGIALFPLNRANLIGLGGVAALFSLVLKDGRVGIVEDATAVIAQIAGCAESEREFWKVSGVKVLVDLLDVGTGSSERVKENAVGALLNLVSCGGGGVVKQVKEMGPGAVEGIRDVVENGTAKGKSKGIALLKVVEGGAKEWDFAV >Potri.001G368200.1.v4.1 pep chromosome:Pop_tri_v4:1:38477693:38487233:1 gene:Potri.001G368200.v4.1 transcript:Potri.001G368200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G368200.v4.1 MTTTIEKLFLQIFERKLSIFEQVKHQADLFDHRLASKCVLDGTTPPPWLLSPSLSSLPNENKEDLISGLLLPRPHPANPYSTSAYSVQPVITTNISDLPSGLHTELGLVNKRFDSGYRLSVLSQLPVTDGVQCNLNGVPELEFNDASPQDCRDARMPDIVPDHSQSLARIHRSKSRQKALELRNSVKTGKTCPRAENNVGSCTSRFRGSGVTSLQFDHVDQSESLKLVKASNKSCVGEEVKAGECMVKENSSDVYHGRSTRSRSSRLKASYVSSRLKASYVNESGNVCTSTCLAKEDEPRESISKRQPNQVDLTELVSVVGHASSEAQMENEDNHRGNEMASIMYSVGVPRYTSSSPQPNSTRKPLDMNNSSYIDNKDSRIRESIDDPSLQPNCINEISEMAKPSCVISNDSYGRVKAKVSDNWSKEKGSGLYFGRITRSRSSSQRADFVNESLKLDGSCGNAEEEPRQLSNPVDDFRELVKPFNITDESCGVNEKMLNYQNSEERTDSYYGKITRSRNSNQQLGVDGLPILDTSSNIAKDNDGSLSQSFGRSSQPHQPLNQVREEGVDLQEVLGTQANALPCIERKDMVDLGICDAVVAETDIDSDGIVEACSVSSRSNSDGPSHGMEVPVSRPATDCVMLVKPKQLDFDDVEDCGWNEIGDPASENKQQSLSSERRASTLLHSTVKLDKVSVNSQERPILSVEMPLLEDQAVFSKEDKPSNDSSEAFVNETALFVDENTNSVHKKINSAISENQNGDCYVMGSWPQHKRRKIAGQLTSSFYASSCLMRKPFQPIVTDHVNGNINTMEDSDTVQISKGFYMSHMGDDMQPNAIKSSVEDIHQNSGLHMAWPEFSSPKLQVEKVEPGLEGRSGSANKCGARSPSGLTKLSTGVSQASSLEKVPVENPTIVIIDETRQHTAEKNQVSLQLEDRFELGSSELLTCTETAMQENRFHVGRNGKSLSNSVSSPHSQSMDLIGTDQSMPVYEWFGMETEGIDFEKLDLSDNALESAIAVERLCKSVCLETPLSHFATAYNKHKTLNLYQSVPNGVLEAMELSTTVNTNSNTGKELEASLKCFNDKVNDTLHGRLHSDSPAFSNAPSTWEIRKPLMSPVGKLWEGITSRSGSSEKRVSSIPDLPCISEENENIIEVPETFKDVVGSEQMISSVKRGLLADITNNPDPPISVYDSEIVSDRYSLASENTECSYTGTCRRDKLNQGNQKGNRRKYNIKAKENQNLVGVNGVKRASESHHNRLSKPILSGKTSLRKGGLSLAETKSNLNNIVSNITSFIPLVQQKQAAAVVTGKRDVKVKALEAAEVAKRLAEKKENERKMRKEALKLERAKMEEQNLRQWELEKEKKDQEHKRKEADMAAKKRQREEEEKKERERKRKRVEEARRQQLVHEEKLRAEKEEREKKHRAADERAFENKKSKDKSGKHVKMEKEKGDNNLQKVPESKPVTSMVSTIDDGKSELGDCGDNSKEMTVFSKPAENGNLMSNISQEQAYEISPYKGSDDEDEDEEDDETENNKFIPSWASKNHLALIASSQQSIDPRTIFTLDSFPDKSEVLLPRKLQQKQRAHQ >Potri.015G137350.1.v4.1 pep chromosome:Pop_tri_v4:15:14582478:14582942:1 gene:Potri.015G137350.v4.1 transcript:Potri.015G137350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137350.v4.1 MHRGDVICQAKQQEEKKTKLLGFLESKPLKIQPYHLPPLGKNLLGQCHSFSENSSVSEKYDSQNGNHTHDFKIAVLKQSQLLLLRFFGDRKLQRQSYSFKAYDACLSECACVASVCGFMMRSFIAEYWGA >Potri.004G235300.5.v4.1 pep chromosome:Pop_tri_v4:4:23970008:23975114:1 gene:Potri.004G235300.v4.1 transcript:Potri.004G235300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G235300.v4.1 MEKATSSAASASAAAPAKIGGGGSRRQSDLNRSFKLAIRSLLTSCSKQELAKAFSKFSNAEQESLHRLFIQVITSLHKMVEDEFESLCLETQVGTALETVEQLVEEQNLDPLFSEKSNIMDAAKSLSMEKKNEIHYLMGMLEKAEEQNRRIRDRVELLKQKMPDDSGISVVMEKFKSGNLSYGTCSNGI >Potri.014G097800.4.v4.1 pep chromosome:Pop_tri_v4:14:6409564:6417132:1 gene:Potri.014G097800.v4.1 transcript:Potri.014G097800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097800.v4.1 MKTLQSAQEQSTQISQDSQSEQQNNHKTEAPVADSSSISASSNDSRKVSRQDIELVQNLIERCLQLYMNRDEVVKTLLTRARIDPGFTTLVWQKLEEENADFFRAYYIRLKLKKQILLFNHLLERQYHLMKYPVPSKVPLAPIQNGVHPMPVNNLPMGYPVLQQPQMPAPGQPHLDSMSCGISSCHVVNGIPAPGNFHPIRMNSGNDMVMGNSAADTAPVVPPSSAISSMSEMPLSPTSVTSNGHFPFNASDMSGMGVDTSALYTAFASDAASSVGLQLGPDGGAGNSRSLEQIQWTFSLSDLTADLSNLGDLGALGNYPGSPFLPSDSEILLDSPENEDIVEEFFVDSVPGPPYQSDEEKS >Potri.005G090300.3.v4.1 pep chromosome:Pop_tri_v4:5:6269486:6271551:-1 gene:Potri.005G090300.v4.1 transcript:Potri.005G090300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090300.v4.1 MSDNSGAVNNGALIDPQRNQPPGGGGGGGATNGALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRAGGNSNSISSLSSNNVHSAASLDHKPLLGPAPFILGKRMRPEEDGNGGKDDGGVPVGPTIGSLMGPTATAAAGSGGFWALPARADFWGFAAAPPEMVVQPTAVQQSSLFMHQQHAAAAAAMGEASAARLGNYLPGHLNLLASLSGGSGSSGRREEDQR >Potri.005G090300.4.v4.1 pep chromosome:Pop_tri_v4:5:6270024:6271428:-1 gene:Potri.005G090300.v4.1 transcript:Potri.005G090300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090300.v4.1 MPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRAGGNSNSISSLSSNNVHSAASLDHKPLLGPAPFILGKRMRPEEDGNGGKDDGGVPVGPTIGSLMGPTATAAAGSGGFWALPARADFWGFAAAPPEMVVQPTAVQQSSLFMHQQHAAAAAAMGEASAARLGNYLPGHLNLLASLSGGSGSSGRREEDQR >Potri.005G090300.1.v4.1 pep chromosome:Pop_tri_v4:5:6270196:6271462:-1 gene:Potri.005G090300.v4.1 transcript:Potri.005G090300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G090300.v4.1 MSDNSGAVNNGALIDPQRNQPPGGGGGGGATNGALAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTVSVSVRAGGNSNSISSLSSNNVHSAASLDHKPLLGPAPFILGKRMRPEEDGNGGKDDGGVPVGPTIGSLMGPTATAAAGSGGFWALPARADFWGFAAAPPEMVVQPTAVQQSSLFMHQQHAAAAAAMGEASAARLGNYLPGHLNLLASLSGGSGSSGRREEDQR >Potri.008G213300.1.v4.1 pep chromosome:Pop_tri_v4:8:17297206:17301705:-1 gene:Potri.008G213300.v4.1 transcript:Potri.008G213300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213300.v4.1 MSGSGGVSVARNRGENRFYVSPGIRKQQQLQQQKQQQQQQQLKPSISKDSTAEIEKRKGSDQCGSNCSVSGRVGSDTNSTNLDRFLEYTTPAVPAQFLPKTSVGGWRTREVQHHQNLYFVLGDLWESFKEWSAYGAGVPLLLNGSETVVQYYVPYLSGIQLYIDPLRPSHRLRRPGEESDTESSRETSSDGSCDYGAERVASNGVWEPWSQLNVTDANIQSLNRLSLRNKPFRGSSSDECEISNPPGQLIFEYMEYASPFTRQPLADQISVLASQFPELKTLRSCDLSPSSWISVAWYPIYRIPMGPTLQNLDACFLTYHSLSTPLQSQNTEGMQLHSSVRELHRADMSLKLPLPTFGLASYKFEVTFWNPNGVYECQKSGSLLKAADNWLRLLQVNHPDYRFFVSHNTSPR >Potri.018G129700.5.v4.1 pep chromosome:Pop_tri_v4:18:14013795:14018330:-1 gene:Potri.018G129700.v4.1 transcript:Potri.018G129700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129700.v4.1 MEKDKETVTVEEEGFSLELPAPSGWKKKFVPKKGGTPKKGEIIFTAPTGEEIASKRQLEQYLRAHAGGPAVSEFDWGTGETPRRSARISEKAKVTPVKESEPPKKRSRKSAASKESKETETTFEGTEEAKEEAEKTEMNDMEVEEGKDIMKENQDENKGPCAETQTEAAPVEEAGEEVKTSAKIDEEKVQEKDGQQQGEATTKQGSGEQEKTGAAIDDEKKDEAVDDKEKLNRTAPESEGEIKGKEAENCTSEKPDHTGVDGIKEKVDAKAIENGSNAGEVKP >Potri.018G129700.1.v4.1 pep chromosome:Pop_tri_v4:18:14013773:14018563:-1 gene:Potri.018G129700.v4.1 transcript:Potri.018G129700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129700.v4.1 MEKDKETVTVEEEGFSLELPAPSGWKKKFVPKKGGTPKKGEIIFTAPTGEEIASKRQLEQYLRAHAGGPAVSEFDWGTGETPRRSARISEKAKVTPVKESEPPKKRSRKSAASKESKETETTFEGTEEAKEEAEKTEMNDMEVEEGKDIMKENQDENKGPCAETQTEAAPVEEAGEEVKTSAKIDEEKVQEKDGQQQGEATTKQGSGEQEKTGAAIDDEKKDEAVDDKEKLNRTAPESEGEIKGKEAENCTSEKPDHTGVDGIKEKVDAKAIENGSNAGEVKP >Potri.013G012900.2.v4.1 pep chromosome:Pop_tri_v4:13:849482:850423:1 gene:Potri.013G012900.v4.1 transcript:Potri.013G012900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G012900.v4.1 MSFLSHHICLILVSNADLWMTGTLSILLREQTISSIFLITIHFFNSYGELCINNGQLKISSIQASCWFVGGKNMLPEVPLQTLNTLGAQI >Potri.012G101800.1.v4.1 pep chromosome:Pop_tri_v4:12:12459455:12460421:1 gene:Potri.012G101800.v4.1 transcript:Potri.012G101800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G101800.v4.1 MDLIKLREIRAVNKSKKHQLLDNFFLYSLIVLACTLFCSSPFWFPCLFDSVKLFLFGSPPKLGSVFLSPKFIFIVGNLIIFVLVGESKFFTTNSPPATDVYYDEYIDHKRSLQTASSVEQKKETNMGKSSKEKRSRTCENGKKNEGKGMAEANLKVHEERKDLQEDALSLPTEELNKRADDFIARVNRQRMLEARL >Potri.001G402400.1.v4.1 pep chromosome:Pop_tri_v4:1:42809257:42817903:-1 gene:Potri.001G402400.v4.1 transcript:Potri.001G402400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:MLO MEGDTKEMRSLALTPTWSVATVLTIFVVVSLIVERSIHKLSNWLRKTNRKPLLAAVEKMKEELMLLGFISLLLTATSSTIANICIPSKFYDGNFAPCTRSEIDEEVEDNSSQGRKLLMLPVLPHPLRRILNGLDRNTCKEGHEPFVSYQGLEQLHRFIFVMAITHVSYSCLTMLLAIVKIHSWRIWEDVAHMDRHDVLTEINREKTFRRQTTFVRHHTSGPLVKNSFLIWVTCFFRQFGCSVVRTDYLTLRKGFIMNHNLSLKYDFHSYMIRSMEEEFQRIVGVSGPLWGFVVAFMLFNVKGSNLYFWIAIIPITLVLLVGAKLQHVIATLALETAGLTGHSVGAKLKPRDDLFWFKKPELMLSLIHFVLFQNAFELASFFWFWWQFGYKSCFIRNHWLVYTRLVLGFAGQFLCSYSTLPLYALVTQMGTNYKAALIPQRIRETIHGWGKAARRKRRHGNFTDDSTIHTDTSTVMSLEEDDHQLLDIPEIGDGPVTQIELQSAFISVSPGPVANETSSRVGTPLLRPSASVSSSETPNLNVEGIPRSSSMPVRR >Potri.008G065300.2.v4.1 pep chromosome:Pop_tri_v4:8:3945749:3950410:-1 gene:Potri.008G065300.v4.1 transcript:Potri.008G065300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065300.v4.1 MDVIKTQQISARPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLKIHGLFNDYVPNPVLVIIDVQPEELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHDVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLKEIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLISNKMLNKEHEKAEDSKPIVVPSVAGS >Potri.008G065300.3.v4.1 pep chromosome:Pop_tri_v4:8:3945826:3950413:-1 gene:Potri.008G065300.v4.1 transcript:Potri.008G065300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G065300.v4.1 MDVIKTQQISARPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDKDPSIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLKIHGLFNDYVPNPVLVIIDVQPEELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHDVEEIGVEHLLRDVKDTTISTLATEVTGKLAALKGLDARLKEIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDMMLVIYLSSLIRSVIALHNLISNKMLNKEHEKAEDSKPIVVPSVAGS >Potri.001G075300.8.v4.1 pep chromosome:Pop_tri_v4:1:5676824:5684111:-1 gene:Potri.001G075300.v4.1 transcript:Potri.001G075300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075300.v4.1 MQGNRITTLGSETSGGSVYILNLVEPVDFDSSSAIGEMMHKTADFNCTIWTADCSYNSNRAVIGTNVGAALVNLETGMTSWVCRSKSDVLSQQLDPSGNVVLCGLRNGAILTVDVREKQERVSDRFIRHRIPYSSLGRQGPSSSKQWFEVKGNIYPSRTILMPSSVCSLVSLQSYDQYFLASSMDGLIKLYDQRMTKRGAVQSYEGHVNSHTRLQLGVDQSERFVMAGGEDCSLRLWSIKSGKLLFEEKISDSILSTVCWKRSERLVKTLNEGKSYEECLSRQNHSWGTWFGSQEGLFYISWS >Potri.001G075300.6.v4.1 pep chromosome:Pop_tri_v4:1:5679346:5686602:-1 gene:Potri.001G075300.v4.1 transcript:Potri.001G075300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075300.v4.1 MPQELPGFYYDKEKNRYFPLKGPIPGSSRSSSSSNKAKKPSTNNTQESNFCRRTGVRISQLLQGRELNGNVITSSKGKCDFVEEFLKIQASKPVVWKYRSTEKIADSAMDQIHIDIHTAEGQTEANVLITGGVNGSLSLFEVGKVGEHNHGVECIPDRMWPVIEEKRAECGKDPGCIWRPPGASLHMSSNISCIKMCGKHSPIQRALITTLGSETSGGSVYILNLVEPVDFDSSSAIGEMMHKTADFNCTIWTADCSYNSNRAVIGTNVGAALVNLETGMTSWVCRSKSDVLSQQLDPSGNVVLCGLRNGAILTVDVREKQERVSDRFIRHRIPYSSLGRQGPSSSKQWFEVKGNIYPSRTILMPSSVCSLVSLQSYDQYFLASSMDGLVD >Potri.001G075300.7.v4.1 pep chromosome:Pop_tri_v4:1:5679346:5684692:-1 gene:Potri.001G075300.v4.1 transcript:Potri.001G075300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075300.v4.1 MHCLTEVWKYRSTEKIADSAMDQIHIDIHTAEGQTEANVLITGGVNGSLSLFEVGKVGEHNHGVECIPDRMWPVIEEKRAECGKDPGCIWRPPGASLHMSSNISCIKMCGKHSPIQRALITTLGSETSGGSVYILNLVEPVDFDSSSAIGEMMHKTADFNCTIWTADCSYNSNRAVIGTNVGAALVNLETGMTSWVCRSKSDVLSQQLDPSGNVVLCGLRNGAILTVDVREKQERVSDRFIRHRIPYSSLGRQGPSSSKQWFEVKGNIYPSRTILMPSSVCSLVSLQSYDQYFLASSMDGLVD >Potri.001G075300.3.v4.1 pep chromosome:Pop_tri_v4:1:5676739:5686602:-1 gene:Potri.001G075300.v4.1 transcript:Potri.001G075300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075300.v4.1 MHCLTEVWKYRSTEKIADSAMDQIHIDIHTAEGQTEANVLITGGVNGSLSLFEVGKVGEHNHGVECIPDRMWPVIEEKRAECGKDPGCIWRPPGASLHMSSNISCIKMCGKHSPIQRALITTLGSETSGGSVYILNLVEPVDFDSSSAIGEMMHKTADFNCTIWTADCSYNSNRAVIGTNVGAALVNLETGMTSWVCRSKSDVLSQQLDPSGNVVLCGLRNGAILTVDVREKQERVSDRFIRHRIPYSSLGRQGPSSSKQWFEVKGNIYPSRTILMPSSVCSLVSLQSYDQYFLASSMDGLIKLYDQRMTKRGAVQSYEGHVNSHTRLQLGVDQSERFVMAGGEDCSLRLWSIKSGKLLFEEKISDSILSTVCWKRSERLVKTLNEGKSYEECLSRQNHSWGTWFGSQEGLFYISWS >Potri.001G075300.9.v4.1 pep chromosome:Pop_tri_v4:1:5679346:5684692:-1 gene:Potri.001G075300.v4.1 transcript:Potri.001G075300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075300.v4.1 MWPVIEEKRAECGKDPGCIWRPPGASLHMSSNISCIKMCGKHSPIQRALITTLGSETSGGSVYILNLVEPVDFDSSSAIGEMMHKTADFNCTIWTADCSYNSNRAVIGTNVGAALVNLETGMTSWVCRSKSDVLSQQLDPSGNVVLCGLRNGAILTVDVREKQERVSDRFIRHRIPYSSLGRQGPSSSKQWFEVKGNIYPSRTILMPSSVCSLVSLQSYDQYFLASSMDGLVD >Potri.001G075300.1.v4.1 pep chromosome:Pop_tri_v4:1:5676739:5686602:-1 gene:Potri.001G075300.v4.1 transcript:Potri.001G075300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075300.v4.1 MPQELPGFYYDKEKNRYFPLKGPIPGSSRSSSSSNKAKKPSTNNTQESNFCRRTGVRISQLLQGRELNGNVITSSKGKCDFVEEFLKIQASKPVVWKYRSTEKIADSAMDQIHIDIHTAEGQTEANVLITGGVNGSLSLFEVGKVGEHNHGVECIPDRMWPVIEEKRAECGKDPGCIWRPPGASLHMSSNISCIKMCGKHSPIQRALITTLGSETSGGSVYILNLVEPVDFDSSSAIGEMMHKTADFNCTIWTADCSYNSNRAVIGTNVGAALVNLETGMTSWVCRSKSDVLSQQLDPSGNVVLCGLRNGAILTVDVREKQERVSDRFIRHRIPYSSLGRQGPSSSKQWFEVKGNIYPSRTILMPSSVCSLVSLQSYDQYFLASSMDGLIKLYDQRMTKRGAVQSYEGHVNSHTRLQLGVDQSERFVMAGGEDCSLRLWSIKSGKLLFEEKISDSILSTVCWKRSERLVKTLNEGKSYEECLSRQNHSWGTWFGSQEGLFYISWS >Potri.001G075300.5.v4.1 pep chromosome:Pop_tri_v4:1:5676739:5686602:-1 gene:Potri.001G075300.v4.1 transcript:Potri.001G075300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075300.v4.1 MPQELPGFYYDKEKNRYFPLKGPIPGSSRSSSSSNKAKKPSTNNTQESNFCRRTGVRISQLLQGRELNGNVITSSKGKCDFVEEFLKIQASKPVVWKYRSTEKIADSAMDQIHIDIHTAEGQTEANVLITGGVNGSLSLFEVGKVGEHNHGVECIPDRMWPVIEEKRAECGKDPGCIWRPPGASLHMSSNISCIKMCGKHSPIQRALITTLGSETSGGSVYILNLVEPVDFDSSSAIGEMMHKTADFNCTIWTADCSYNSNRAVIGTNVGAALVNLETGMTSWVCRSKSDVLSQQLDPSGNVVLCGLRNGAILTVDVREKQERVSDRFIRHRIPYSSLGRQGPSSSKQWFEVKGNIYPSRTILMPSSVCSLVSLQSYDQYFLASSMDGLDM >Potri.001G075300.4.v4.1 pep chromosome:Pop_tri_v4:1:5677797:5686602:-1 gene:Potri.001G075300.v4.1 transcript:Potri.001G075300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G075300.v4.1 MPQELPGFYYDKEKNRYFPLKGPIPGSSRSSSSSNKAKKPSTNNTQESNFCRRTGVRISQLLQGRELNGNVITSSKGKCDFVEEFLKIQASKPVVWKYRSTEKIADSAMDQIHIDIHTAEGQTEANVLITGGVNGSLSLFEVGKVGEHNHGVECIPDRMWPVIEEKRAECGKDPGCIWRPPGASLHMSSNISCIKMCGKHSPIQRALITTLGSETSGGSVYILNLVEPVDFDSSSAIGEMMHKTADFNCTIWTADCSYNSNRAVIGTNVGAALVNLETGMTSWVCRSKSDVLSQQLDPSGNVVLCGLRNGAILTVDVREKQERVSDRFIRHRIPYSSLGRQGPSSSKQWFEVKGNIYPSRTILMPSSVCSLVSLQSYDQYFLASSMDGLVKRKCAVSRA >Potri.017G031000.1.v4.1 pep chromosome:Pop_tri_v4:17:2075835:2077393:1 gene:Potri.017G031000.v4.1 transcript:Potri.017G031000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G031000.v4.1 MVPHGFRFNPTDEELIQVLDRKASGQEMPLHFILERNVYEREPQDLEWNQTAPLSNGERYYYCTRETNYSREVLGRGWWKATSHVKKIHANNDDQLLVGNKRPLKFHRFKDNERNRNNAVKTNWIMYEYSLESRTTDWRLCKIKHKGKPSVQEEMESMREQYSSRNDFEAGSSTNFVGGQQQQEQTSLPTNYEGYDHHQSYYQWNNMQQSPPSPYDPSLPAPPSTSSGHYYVEQQEKLEPSDEHPFPSLWSWTN >Potri.003G083100.5.v4.1 pep chromosome:Pop_tri_v4:3:10976596:10980346:1 gene:Potri.003G083100.v4.1 transcript:Potri.003G083100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083100.v4.1 MESTRVVSSDKSGMCSMENGDVAPKFKRRRVSAVRDFPPGCGPLAVLIFKQNEKFVAASKEKSGDGCLEKINRVETKGKEPIDSSDQVNGHGLVKQEPAGMLLPEAVGALNDVSVVGSVGASVVGEAVKALEHETADASENLCKVDVVAPVENFVQHNYPPRRRISAVRDFPPFCGPNAPLLNKEEAAKVLVVVQKKSLDQEKSGTEENPTKEMVKNVVKEMGNDVKDGDLNESRLESASRMDDDKVRIEPDSSVNKVKVAEENRHERCIKSPRVIILNQHDLNSTAVSKSVKMEVGGLEENQGKDLTVYLEDKSSKRKLSDLSGGKNSMCKDKFEVLKLASGREVVQGLPAERNCPWRKGQMVHKPTMLAGDARESKGQKHNFILLERSKSALKTKINELGKHGGIMKKNSSPTIKVEGGVGQKTECNKEDYLENGEESDDFRVVARSHNFDVSLPPSCPTISHGKGNGNDAVVTRNKVRETLRLFQAICRKLLHEEEANFKERGNTRRRVDLQASKILKEKGKYVNIGERIIGSVPGVEVGDEFIYRVELNIVGLHRQIQGGIDYMKQDGKLLATSIVSSGAYDDDTDNSDVLIYTGSGGNMMSGDKEPEDQKLERGNLALKNSMDAKNPVRVIRGDSKGADSVDARGRTYIYDGLYLVEKCWQEIGSHGKLVFKFKLVRIQGQPELAWNVVKKSKKFKVREGVCVDDISQGKEKIPICAVNTINDEKPPPFKYTTHMIYPHWCRRLPPKGCDCINGCSESRKCPCLEKNGGGIPYNYNGAIVEAKPLVYECGPSCKCPPLCYNRVSQHGIKFQLEIFKTESRGWGVRSLNSIPSGSFICEYAGEVLEEKEAEQRTGNDEYLFDIGNQFNDNSLWDGLTTLMPEAQPDAVVEVQNSGFTIDAAQCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAVENIPPLQELTYHYNYMIDQVFDSNGNIKKKSCHCGSPECTGRMY >Potri.003G083100.4.v4.1 pep chromosome:Pop_tri_v4:3:10976575:10980347:1 gene:Potri.003G083100.v4.1 transcript:Potri.003G083100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083100.v4.1 MESTRVVSSDKSGMCSMENGDVAPKFKRRRVSAVRDFPPGCGPLAVLIFKQNEKFVAASKEKSGDGCLEKINRVETKGKEPIDSSDQVNGHGLVKQEPAGMLLPEAVGALNDVSVVGSVGASVVGEAVKALEHETADASENLCKVDVVAPVENFVQHNYPPRRRISAVRDFPPFCGPNAPLLNKEEAAKVLVVVQKKSLDQEKSGTEENPTKEMVKNVVKEMGNDVKDGDLNESRLESASRMDDDKVRIEPDSSVNKVKVAEENRHERCIKSPRVIILNQHDLNSTAVSKSVKMEVGGLEENQGKDLTVYLEDKSSKRKLSDLSGGKNSMCKDKFEVLKLASGREVVQGLPAERNCPWRKGQMVHKPTMLAGDARESKGQKHNFILLERSKSALKTKINELGKHGGIMKKNSSPTIKVEGGVGQKTECNKEDYLENGEESDDFRVVARSHNFDVSLPPSCPTISHGKGNGNDAVVTRNKVRETLRLFQAICRKLLHEEEANFKERGNTRRRVDLQASKILKEKGKYVNIGERIIGSVPGVEVGDEFIYRVELNIVGLHRQIQGGIDYMKQDGKLLATSIVSSGAYDDDTDNSDVLIYTGSGGNMMSGDKEPEDQKLERGNLALKNSMDAKNPVRVIRGDSKGADSVDARGRTYIYDGLYLVEKCWQEIGSHGKLVFKFKLVRIQGQPELAWNVVKKSKKFKVREGVCVDDISQGKEKIPICAVNTINDEKPPPFKYTTHMIYPHWCRRLPPKGCDCINGCSESRKCPCLEKNGGGIPYNYNGAIVEAKPLVYECGPSCKCPPLCYNRVSQHGIKFQLEIFKTESRGWGVRSLNSIPSGSFICEYAGEVLEEKEAEQRTGNDEYLFDIGNQFNDNSLWDGLTTLMPEAQPDAVVEVQNSGFTIDAAQCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAVENIPPLQELTYHYNYMIDQVFDSNGNIKKKSCHCGSPECTGRMY >Potri.003G083100.3.v4.1 pep chromosome:Pop_tri_v4:3:10976596:10980344:1 gene:Potri.003G083100.v4.1 transcript:Potri.003G083100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083100.v4.1 MESTRVVSSDKSGMCSMENGDVAPKFKRRRVSAVRDFPPGCGPLAVLIFKQNEKFVAASKEKSGDGCLEKINRVETKGKEPIDSSDQVNGHGLVKQEPAGMLLPEAVGALNDVSVVGSVGASVVGEAVKALEHETADASENLCKVDVVAPVENFVQHNYPPRRRISAVRDFPPFCGPNAPLLNKEEAAKVLVVVQKKSLDQEKSGTEENPTKEMVKNVVKEMGNDVKDGDLNESRLESASRMDDDKVRIEPDSSVNKVKVAEENRHERCIKSPRVIILNQHDLNSTAVSKSVKMEVGGLEENQGKDLTVYLEDKSSKRKLSDLSGGKNSMCKDKFEVLKLASGREVVQGLPAERNCPWRKGQMVHKPTMLAGDARESKGQKHNFILLERSKSALKTKINELGKHGGIMKKNSSPTIKVEGGVGQKTECNKEDYLENGEESDDFRVVARSHNFDVSLPPSCPTISHGKGNGNDAVVTRNKVRETLRLFQAICRKLLHEEEANFKERGNTRRRVDLQASKILKEKGKYVNIGERIIGSVPGVEVGDEFIYRVELNIVGLHRQIQGGIDYMKQDGKLLATSIVSSGAYDDDTDNSDVLIYTGSGGNMMSGDKEPEDQKLERGNLALKNSMDAKNPVRVIRGDSKGADSVDARGRTYIYDGLYLVEKCWQEIGSHGKLVFKFKLVRIQGQPELAWNVVKKSKKFKVREGVCVDDISQGKEKIPICAVNTINDEKPPPFKYTTHMIYPHWCRRLPPKGCDCINGCSESRKCPCLEKNGGGIPYNYNGAIVEAKPLVYECGPSCKCPPLCYNRVSQHGIKFQLEIFKTESRGWGVRSLNSIPSGSFICEYAGEVLEEKEAEQRTGNDEYLFDIGNQFNDNSLWDGLTTLMPEAQPDAVVEVQNSGFTIDAAQCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAVENIPPLQELTYHYNYMIDQVFDSNGNIKKKSCHCGSPECTGRMY >Potri.003G083100.2.v4.1 pep chromosome:Pop_tri_v4:3:10976596:10980703:1 gene:Potri.003G083100.v4.1 transcript:Potri.003G083100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083100.v4.1 MESTRVVSSDKSGMCSMENGDVAPKFKRRRVSAVRDFPPGCGPLAVLIFKQNEKFVAASKEKSGDGCLEKINRVETKGKEPIDSSDQVNGHGLVKQEPAGMLLPEAVGALNDVSVVGSVGASVVGEAVKALEHETADASENLCKVDVVAPVENFVQHNYPPRRRISAVRDFPPFCGPNAPLLNKEEAAKVLVVVQKKSLDQEKSGTEENPTKEMVKNVVKEMGNDVKDGDLNESRLESASRMDDDKVRIEPDSSVNKVKVAEENRHERCIKSPRVIILNQHDLNSTAVSKSVKMEVGGLEENQGKDLTVYLEDKSSKRKLSDLSGGKNSMCKDKFEVLKLASGREVVQGLPAERNCPWRKGQMVHKPTMLAGDARESKGQKHNFILLERSKSALKTKINELGKHGGIMKKNSSPTIKVEGGVGQKTECNKEDYLENGEESDDFRVVARSHNFDVSLPPSCPTISHGKGNGNDAVVTRNKVRETLRLFQAICRKLLHEEEANFKERGNTRRRVDLQASKILKEKGKYVNIGERIIGSVPGVEVGDEFIYRVELNIVGLHRQIQGGIDYMKQDGKLLATSIVSSGAYDDDTDNSDVLIYTGSGGNMMSGDKEPEDQKLERGNLALKNSMDAKNPVRVIRGDSKGADSVDARGRTYIYDGLYLVEKCWQEIGSHGKLVFKFKLVRIQGQPELAWNVVKKSKKFKVREGVCVDDISQGKEKIPICAVNTINDEKPPPFKYTTHMIYPHWCRRLPPKGCDCINGCSESRKCPCLEKNGGGIPYNYNGAIVEAKPLVYECGPSCKCPPLCYNRVSQHGIKFQLEIFKTESRGWGVRSLNSIPSGSFICEYAGEVLEEKEAEQRTGNDEYLFDIGNQFNDNSLWDGLTTLMPEAQPDAVVEVQNSGFTIDAAQCGNVGRFINHSCSPNLYAQNVLYDHDDKRIPHIMFFAVENIPPLQELTYHYNYMIDQVFDSNGNIKKKSCHCGSPECTGRMY >Potri.015G117401.1.v4.1 pep chromosome:Pop_tri_v4:15:13174656:13180999:-1 gene:Potri.015G117401.v4.1 transcript:Potri.015G117401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G117401.v4.1 MERAGYRGGGRGGHDGRYGGGRGGYGGGGRDGGRSGGYDGGRASGYGGGGRAGGYDGTGRAGGYDGAGRAGGPRQHWRPNNQGSGGGPPPGQSVGGPGGTRGGGSHSERHGGAPTSQSGEMGPRRGWEVRGGSEEGRSDQVSETGGGKGPSRPVNVSPSSNWASGSSSSGDLEPSPNVPKGLASVTANRISPVLRPDKGGKLAVRTPRLLVNHFLVKFNPKSIIRHYDVNIKQEVLPKHGRPGKISKSNLAMIRDKLFADDPSSFPRAMTAYDGEKNIFSAVSLPTGTFKVQVSEAEDAKPRSYLFTIKLVNELELHKLKDYLDGKLRSTPRDILQGMDVVVKEHPARTMISVSRSFHSVRDHQIHLGHGIIASRGCQHSLKPTSQGIALCLDYSVLSFHEPLSVIEFLTKHISGFNLNNFRSFRRAVEGVLKGLKVRVTHRVTKQKYVITGLTRDDAQYITFPQEDPDGKASQNVRLVEYFRQKYHRDIVHQDIPCLEMKSKMKNYVPMEFCVLVEGQVFPKEYLKETEAKMLKKFSLANPKDRQKTICRMVQDGDGPCSGEIIRNFGIEVSKNMTSLIGRVIGRAT >Potri.019G053600.1.v4.1 pep chromosome:Pop_tri_v4:19:9024479:9031068:-1 gene:Potri.019G053600.v4.1 transcript:Potri.019G053600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053600.v4.1 MSSKERPTLGGTRIKTRKRNIAAPLDPAAFADAVVQIYLDNAGDLELVAKSIESADLNFSRYGDTFFEVVFTGGRTQPGTTKPDEGERHPYSIIDCEPTREIILPSVIYTQKILRRKPFLIKNLENVMRRFLQSLELFEENERKKLAIFTALAFSQKLSGLPPETVFQPLLKDNLVAKGIVLSFITDFFKEYLVDNSLDDLISILKRGKMEENLMDFFPSAKRSAEGFSEHFSKEGLIPLVEYNEKKIFEVKLKEMKSALTTQIAEEADMSEVIDTVKQRVKDAKLPDIEIVRILWDVLMDAVQWSGKNQQQNANSALRQVKTWAQLLNTFCTNGKLELELLYKVQMQCYEDAKLMKLFPEIVRSLYDQDVLAEDTILHWFRKGTNPKGRQTFVKALEPFVNWLEEAEEEE >Potri.008G169900.5.v4.1 pep chromosome:Pop_tri_v4:8:11750515:11753560:-1 gene:Potri.008G169900.v4.1 transcript:Potri.008G169900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169900.v4.1 MTPTLARSLTTRHLNLCVLLPKRLLSTISITHLPSPPTLLCGQSLPSLSHNLQSINKTTNPAARFTSIRCRVNRAGNSGYSPLNSGSNFSDRPPNEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIETLAKVVGSEEEAKTKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGGKTLHFLIRAQLFFFSFGVG >Potri.008G169900.6.v4.1 pep chromosome:Pop_tri_v4:8:11750672:11750970:-1 gene:Potri.008G169900.v4.1 transcript:Potri.008G169900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169900.v4.1 MTDQDIMTEPDMCDAEKICGEPLHGEAYCIVSAIGSLSCMVTRVSA >Potri.008G169900.2.v4.1 pep chromosome:Pop_tri_v4:8:11750504:11753560:-1 gene:Potri.008G169900.v4.1 transcript:Potri.008G169900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G169900.v4.1 MTPTLARSLTTRHLNLCVLLPKRLLSTISITHLPSPPTLLCGQSLPSLSHNLQSINKTTNPAARFTSIRCRVNRAGNSGYSPLNSGSNFSDRPPNEMAPLFPGCDYEHWLIVMDKPGGEGATKQQMIDCYIETLAKVVGSEEEAKTKIYNVSCERYFGFGCEIDEETSNKLEGLPGVLFVLPDSYVDPEYKDYGAELFVNGEIVQRPPERQRRVEPQPQRANDRPRYNDRTRYVRRRENMR >Potri.002G196000.1.v4.1 pep chromosome:Pop_tri_v4:2:15881357:15887628:-1 gene:Potri.002G196000.v4.1 transcript:Potri.002G196000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196000.v4.1 MMRLPKVNHRLSFLSLPPFSLPSLTTSVRTHGHLRTHHSKRFKSISTMPCRLGNLVPLNSIAAENVGSRSNASVSSTSTTEEEEALACKYQLPPPEIKDIVDAPPLPALSLSPQKDKILFLKRRSLPPLAELARPEEKLAGLRIDGKCNTKSRMSFYTGIGIHQLMPDGTLGPEKEVHGYPDGAKINFVTWSLDGRHLAFSIRVFEEDNSSSKLRVWVANMETGQARPLFQSPDVYLNAVFDNFVWVDNSSLLVCTIPSSRGDPPKKPSVPSGPKIQSNEQKNVVQVRTFQDLLKDEYDEDLFDYYTTSQIVLASLDGTAKEVGPPAVYTSMDPSPDQKYLLISSIHRPYSFIVPRGRFPKKVEVWTTDGKFVRELCDLPLAEDIPIATSSVRKGKRAINWRADKPSTLYWAETQDGGDAKVEVSPRDIVYTQPAEPLEGEQPEILHKLDLRYGGIYWCDDSLALVYESWYKTRRTRTWVISPGSKDASPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKIKKENDEGTYVLLKGSGATPEGNIPFLDLFDINTGSKERIWESDKERYYETVVALMLDYEEGDLLLDRLQILTSKESKTENRQYFIQKWPEKKACQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDLSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNKFAGIGSTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASVEAAVEEVIQRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQHEDRTLWEASTTYVEMSPFMSANKIKKPILLIHGEEDNNSGTLNMQSDRFFNALKGHGALCRLVILPFESHGYAARESIMHVLWETDRWLQKHCVQNPTDASAELDACKDEVSNGVRDSDNQAVVASGGGGPELADFEHEGFYSLPR >Potri.002G196000.4.v4.1 pep chromosome:Pop_tri_v4:2:15881370:15887644:-1 gene:Potri.002G196000.v4.1 transcript:Potri.002G196000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G196000.v4.1 MMRLPKVNHRLSFLSLPPFSLPSLTTSVRTHGHLRTHHSKRFKSISTMPCRLGNLVPLNSIAAENVGSRSNASVSSTSTTEEEEALACKYQLPPPEIKDIVDAPPLPALSLSPQKDKILFLKRRSLPPLAELARPEEKLAGLRIDGKCNTKSRMSFYTGIGIHQLMPDGTLGPEKEVHGYPDGAKINFVTWSLDGRHLAFSIRVFEEDNSSSKLRVWVANMETGQARPLFQSPDVYLNAVFDNFVWVDNSSLLVCTIPSSRGDPPKKPSVPSGPKIQSNEQKNVVQVRTFQDLLKDEYDEDLFDYYTTSQIVLASLDGTAKEVGPPAVYTSMDPSPDQKYLLISSIHRPYSFIVPRGRFPKKVEVWTTDGKFVRELCDLPLAEDIPIATSSVRKGKRAINWRADKPSTLYWAETQDGGDAKVEVSPRDIVYTQPAEPLEGEQPEILHKLDLRYGGIYWCDDSLALVYESWYKTRRTRTWVISPGSKDASPRILFDRSSEDVYSDPGSPMLRRTPAGTYVIAKIKKENDEGTYVLLKGSGATPEGNIPFLDLFDINTGSKERIWESDKERYYETVVALMLDYEEGDLLLDRLQILTSKESKTENRQYFIQKWPEKKACQITNFPHPYPQLASLQKEMIRYQRKDGVQLTATLYLPPGYDLSKDGPLPCLVWSYPGEFKSKDAAGQVRGSPNKFAGIGSTSALLWLARRFAILSGPTIPIIGEGDEEANDRYVEQLVASVEAAVEEVIQRGVAHPNKIAVGGHSYGAFMTANLLAHAPHLFCCGIARSGAYNRTLTPFGFQHEDRTLWEASTTYVEMSPFMSANKIKKPILLIHGEEDNNSGTLNMQSDRFFNALKGHGALCRLVILPFESHGYAARESIMHVLWETDRWLQKHCVQNPTDASAELDACKDEVSNGVRDSDNQAVVASGGGGPELADFEHEGFYSLPR >Potri.007G070400.2.v4.1 pep chromosome:Pop_tri_v4:7:9159625:9165730:1 gene:Potri.007G070400.v4.1 transcript:Potri.007G070400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070400.v4.1 MSKISLIIFTLAIIITPSSPSSSTVDSESDGGIGQWRILTKQNFSSQIRLHPHILLVVSVPWSGVSRSLMKEITHLVIDKKEEFGSLKLMYMHKNNEKMLADAIGAVVTDEITLLYYHHSLYYKYKGKYRARNILSSIFPYFSLLPEEMPLKRLSGEGDLKMFIESADKAVLLLEFCGWTEKLIAREKNNGSKTGFGVQGFDGESNVISTPRAKENQKGTENGEMKCGMENGLRGIPWLGEFASVNDSAPLQETDSQDSVDLKPSAVSCSLEEFQKFDSFFSSFMTDVREFFLPPEKHRFGLVSEKSMLSPLGVGDSGSWSVMLYYNGCPSCSSILKEGDDMKRVLQMEKSIVTELEGDGQDLDSAIPSNKPSVLLFVDRSSDLSETRIKSKEGLDVFRELALHYQISNQMGQQSNDKSEASSVQASTEYQSVSGHPKLKLSPTAQNIKSKDKMSIMIVNDGKPVLLNSMASGLEGSSLHEILTYLLQKKEEAKLSSVAKEAGFQLLSDDFNIKVTDTLLSVAEVESEHIPSDESLVRTSTDLDKDSASNNREESQSTTSQDDEEKSTYSDASRRLPSIEPAQYMSDHKPPTSEDARAEKKGSFQSDKLGEEQRNFQNFKGSFFFCDGNYRLLTALTGETRIPSLVIIDPLSQQHYVFTKHTNLSYSSLEDFLHGFLNGNLVPYQRSESEPESPREETRPPFVNMDFHEADSISQVTAHTFSEQVLGFNQSDNDFAANAWNEDVLVLFSNSWCGFCQRMELIVREVHRAIKGYINMLKTGSRTGETVLTDDNLKKLPKIFLMDCTMNDCSLILKSMNQREVYPTLLLFPAESKNTVCYEGDMAVADVITFLADRGSNSRHLTSENGILWTVAEKKGANSLKDASTAAEDKSHEVLLKDLTPKRNVEYGQTKSHTSKGLHDTVSQVAVGSILVATEKLNTQPFDKSRILIVKSDQNTGFQGLIYNKHLRWDTLQELEEESKLLKEAPLSFGGPLVTRGMPLVALTRRAVGGQYPEVAPGTYFLGQSATLHEIEEISSGNQCVSDYWFFLGFSSWGWEQLFDEIAQGAWNLSEHKKEPLDWP >Potri.007G070400.6.v4.1 pep chromosome:Pop_tri_v4:7:9159642:9165727:1 gene:Potri.007G070400.v4.1 transcript:Potri.007G070400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070400.v4.1 MFWFQGTENGEMKCGMENGLRGIPWLGEFASVNDSAPLQETDSQDSVDLKPSAVSCSLEEFQKFDSFFSSFMTDVREFFLPPEKHRFGLVSEKSMLSPLGVGDSGSWSVMLYYNGCPSCSSILKEGDDMKRVLQMEKSIVTELEGDGQDLDSAIPSNKPSVLLFVDRSSDLSETRIKSKEGLDVFRELALHYQISNQMGQQSNDKSEASSVQASTEYQSVSGHPKLKLSPTAQNIKSKDKMSIMIVNDGKPVLLNSMASGLEGSSLHEILTYLLQKKEEAKLSSVAKEAGFQLLSDDFNIKVTDTLLSVAEVESEHIPSDESLVRTSTDLDKDSASNNREESQSTTSQDDEEKSTYSDASRRLPSIEPAQYMSDHKPPTSEDARAEKKGSFQSDKLGEEQRNFQNFKGSFFFCDGNYRLLTALTGETRIPSLVIIDPLSQQHYVFTKHTNLSYSSLEDFLHGFLNGNLVPYQRSESEPESPREETRPPFVNMDFHEADSISQVTAHTFSEQVLGFNQSDNDFAANAWNEDVLVLFSNSWCGFCQRMELIVREVHRAIKGYINMLKTGSRTGETVLTDDNLKKLPKIFLMDCTMNDCSLILKSMNQREVYPTLLLFPAESKNTVCYEGDMAVADVITFLADRGSNSRHLTSENGILWTVAEKKGANSLKDASTAAEDKSHEVLLKDLTPKRNVEYGQTKSHTSKGLHDTVSQVAVGSILVATEKLNTQPFDKSRILIVKSDQNTGFQGLIYNKHLRWDTLQELEEESKLLKEAPLSFGGPLVTRGMPLVALTRRAVGGQYPEVAPGTYFLGQSATLHEIEEISSGNQCVSDYWFFLGFSSWGWEQLFDEIAQGAWNLSEHKKEPLDWP >Potri.007G070400.7.v4.1 pep chromosome:Pop_tri_v4:7:9161316:9165784:1 gene:Potri.007G070400.v4.1 transcript:Potri.007G070400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070400.v4.1 MKCGMENGLRGIPWLGEFASVNDSAPLQETDSQDSVDLKPSAVSCSLEEFQKFDSFFSSFMTDVREFFLPPEKHRFGLVSEKSMLSPLGVGDSGSWSVMLYYNGCPSCSSILKEGDDMKRVLQMEKSIVTELEGDGQDLDSAIPSNKPSVLLFVDRSSDLSETRIKSKEGLDVFRELALHYQISNQMGQQSNDKSEASSVQASTEYQSVSGHPKLKLSPTAQNIKSKDKMSIMIVNDGKPVLLNSMASGLEGSSLHEILTYLLQKKEEAKLSSVAKEAGFQLLSDDFNIKVTDTLLSVAEVESEHIPSDESLVRTSTDLDKDSASNNREESQSTTSQDDEEKSTYSDASRRLPSIEPAQYMSDHKPPTSEDARAEKKGSFQSDKLGEEQRNFQNFKGSFFFCDGNYRLLTALTGETRIPSLVIIDPLSQQHYVFTKHTNLSYSSLEDFLHGFLNGNLVPYQRSESEPESPREETRPPFVNMDFHEADSISQVTAHTFSEQVLGFNQSDNDFAANAWNEDVLVLFSNSWCGFCQRMELIVREVHRAIKGYINMLKTGSRTGETVLTDDNLKKLPKIFLMDCTMNDCSLILKSMNQREVYPTLLLFPAESKNTVCYEGDMAVADVITFLADRGSNSRHLTSENGILWTVAEKKGANSLKDASTAAEDKSHEVLLKDLTPKRNVEYGQTKSHTSKGLHDTVSQVAVGSILVATEKLNTQPFDKSRILIVKSDQNTGFQGLIYNKHLRWDTLQELEEESKLLKEAPLSFGGPLVTRGMPLVALTRRAVGGQYPEVAPGTYFLGQSATLHEIEEISSGNQCVSDYWFFLGFSSWGWEQLFDEIAQGAWNLSEHKKEPLDWP >Potri.007G070400.3.v4.1 pep chromosome:Pop_tri_v4:7:9159648:9165726:1 gene:Potri.007G070400.v4.1 transcript:Potri.007G070400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070400.v4.1 MSKISLIIFTLAIIITPSSPSSSTVDSESDGGIGQWRILTKQNFSSQIRLHPHILLVVSVPWSGVSRSLMKEITHLVIDKKEEFGSLKLMYMHKNNEKMLADAIGAVVTDEITLLYYHHSLYYKYKGKYRARNILSSIFPYFSLLPEEMPLKRLSGEGDLKMFIESADKAVLLLEFCGWTEKLIAREKNNGSKTGFGVQGFDGESNVISTPRAKENQKFLMFWFQGTENGEMKCGMENGLRGIPWLGEFASVNDSAPLQETDSQDSVDLKPSAVSCSLEEFQKFDSFFSSFMTDVREFFLPPEKHRFGLVSEKSMLSPLGVGDSGSWSVMLYYNGCPSCSSILKEGDDMKRVLQMEKSIVTELEGDGQDLDSAIPSNKPSVLLFVDRSSDLSETRIKSKEGLDVFRELALHYQISNQMGQQSNDKSEASSVQASTEYQSVSGHPKLKLSPTAQNIKSKDKMSIMIVNDGKPVLLNSMASGLEGSSLHEILTYLLQKKEEAKLSSVAKEAGFQLLSDDFNIKVTDTLLSVAEVESEHIPSDESLVRTSTDLDKDSASNNREESQSTTSQDDEEKSTYSDASRRLPSIEPAQYMSDHKPPTSEDARAEKKGSFQSDKLGEEQRNFQNFKGSFFFCDGNYRLLTALTGETRIPSLVIIDPLSQQHYVFTKHTNLSYSSLEDFLHGFLNGNLVPYQRSESEPESPREETRPPFVNMDFHEADSISQVTAHTFSEQVLGFNQSDNDFAANAWNEDVLVLFSNSWCGFCQRMELIVREVHRAIKGYINMLKTGSRTGETVLTDDNLKKLPKIFLMDCTMNDCSLILKSMNQREVYPTLLLFPAESKNTVCYEGDMAVADVITFLADRGSNSRHLTSENGILWTVAEKKGANSLKDASTAAEDKSHEVLLKDLTPKRNVEYGQTKSHTSKGLHDTVSQVAVGSILVATEKLNTQPFDKSRILIVKSDQNTGFQGLIYNKHLRWDTLQELEEESKLLKEAPLSFGGPLVTRGMPLVALTRRAVGGQYPEVAPGTYFLGQSATLHEIEEISSGNQCVSDYWFFLGFSSWGWEQLFDEIAQGAWNLSEHKKEPLDWP >Potri.007G070400.5.v4.1 pep chromosome:Pop_tri_v4:7:9159648:9165726:1 gene:Potri.007G070400.v4.1 transcript:Potri.007G070400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070400.v4.1 MKEITHLVIDKKEEFGSLKLMYMHKNNEKMLADAIGAVVTDEITLLYYHHSLYYKYKGKYRARNILSSIFPYFSLLPEEMPLKRLSGEGDLKMFIESADKAVLLLEFCGWTEKLIAREKNNGSKTGFGVQGFDGESNVISTPRAKENQKGTENGEMKCGMENGLRGIPWLGEFASVNDSAPLQETDSQDSVDLKPSAVSCSLEEFQKFDSFFSSFMTDVREFFLPPEKHRFGLVSEKSMLSPLGVGDSGSWSVMLYYNGCPSCSSILKEGDDMKRVLQMEKSIVTELEGDGQDLDSAIPSNKPSVLLFVDRSSDLSETRIKSKEGLDVFRELALHYQISNQMGQQSNDKSEASSVQASTEYQSVSGHPKLKLSPTAQNIKSKDKMSIMIVNDGKPVLLNSMASGLEGSSLHEILTYLLQKKEEAKLSSVAKEAGFQLLSDDFNIKVTDTLLSVAEVESEHIPSDESLVRTSTDLDKDSASNNREESQSTTSQDDEEKSTYSDASRRLPSIEPAQYMSDHKPPTSEDARAEKKGSFQSDKLGEEQRNFQNFKGSFFFCDGNYRLLTALTGETRIPSLVIIDPLSQQHYVFTKHTNLSYSSLEDFLHGFLNGNLVPYQRSESEPESPREETRPPFVNMDFHEADSISQVTAHTFSEQVLGFNQSDNDFAANAWNEDVLVLFSNSWCGFCQRMELIVREVHRAIKGYINMLKTGSRTGETVLTDDNLKKLPKIFLMDCTMNDCSLILKSMNQREVYPTLLLFPAESKNTVCYEGDMAVADVITFLADRGSNSRHLTSENGILWTVAEKKGANSLKDASTAAEDKSHEVLLKDLTPKRNVEYGQTKSHTSKGLHDTVSQVAVGSILVATEKLNTQPFDKSRILIVKSDQNTGFQGLIYNKHLRWDTLQELEEESKLLKEAPLSFGGPLVTRGMPLVALTRRAVGGQYPEVAPGTYFLGQSATLHEIEEISSGNQCVSDYWFFLGFSSWGWEQLFDEIAQGAWNLSEHKKEPLDWP >Potri.007G070400.4.v4.1 pep chromosome:Pop_tri_v4:7:9159648:9165726:1 gene:Potri.007G070400.v4.1 transcript:Potri.007G070400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G070400.v4.1 MSKISLIIFTLAIIITPSSPSSSTVDSESDGGIGQWRILTKQNFSSQIRLHPHILLVVSVPWSGVSRSLMKEITHLVIDKKEEFGSLKLMYMHKNNEKMLADAIGAVVTDEITLLYYHHSLYYKYKGKYRARNILSSIFPYFSLLPEEMPLKRLSGEGDLKMFIESADKAVLLLEFCGWTEKLIAREKNNGSKTGFGVQGFDGESNVISTPRAKENQKVAENGEMKCGMENGLRGIPWLGEFASVNDSAPLQETDSQDSVDLKPSAVSCSLEEFQKFDSFFSSFMTDVREFFLPPEKHRFGLVSEKSMLSPLGVGDSGSWSVMLYYNGCPSCSSILKEGDDMKRVLQMEKSIVTELEGDGQDLDSAIPSNKPSVLLFVDRSSDLSETRIKSKEGLDVFRELALHYQISNQMGQQSNDKSEASSVQASTEYQSVSGHPKLKLSPTAQNIKSKDKMSIMIVNDGKPVLLNSMASGLEGSSLHEILTYLLQKKEEAKLSSVAKEAGFQLLSDDFNIKVTDTLLSVAEVESEHIPSDESLVRTSTDLDKDSASNNREESQSTTSQDDEEKSTYSDASRRLPSIEPAQYMSDHKPPTSEDARAEKKGSFQSDKLGEEQRNFQNFKGSFFFCDGNYRLLTALTGETRIPSLVIIDPLSQQHYVFTKHTNLSYSSLEDFLHGFLNGNLVPYQRSESEPESPREETRPPFVNMDFHEADSISQVTAHTFSEQVLGFNQSDNDFAANAWNEDVLVLFSNSWCGFCQRMELIVREVHRAIKGYINMLKTGSRTGETVLTDDNLKKLPKIFLMDCTMNDCSLILKSMNQREVYPTLLLFPAESKNTVCYEGDMAVADVITFLADRGSNSRHLTSENGILWTVAEKKGANSLKDASTAAEDKSHEVLLKDLTPKRNVEYGQTKSHTSKGLHDTVSQVAVGSILVATEKLNTQPFDKSRILIVKSDQNTGFQGLIYNKHLRWDTLQELEEESKLLKEAPLSFGGPLVTRGMPLVALTRRAVGGQYPEVAPGTYFLGQSATLHEIEEISSGNQCVSDYWFFLGFSSWGWEQLFDEIAQGAWNLSEHKKEPLDWP >Potri.001G374300.2.v4.1 pep chromosome:Pop_tri_v4:1:39244529:39249328:-1 gene:Potri.001G374300.v4.1 transcript:Potri.001G374300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G374300.v4.1 MATVHFELSLMAVVLLLTPSSGIAADRSSGTKGNQQHVNGVVGAIVDTSSRIGKEERVAMEIAREDLYRYSNQTLILHVKDTDQRKPIRAALAGMDLINTQVQAILGPQTWEEVSLIADICTKNQIPIFSFADTTPEWTTEKWPFLLGASHDNFAQMKAIAAVVQSWNWHQVTVIHEDVGSWTNGVMPYLHDSLREIGAEVSQFVGLSSFASSDSLSRELKNLKREQCRVFVVHLSLPLAVRLFEMAKKLKMMEKDYVWITTHHITSLVHSIDASIISSMQGIVGVKSYFSETGTRFQDFSSRFRKRFRRENPEEENNEPGIYAVQAYDAIWTIARALKGSNRRNQELLEKVLQTDFQGLSGKVQFNNHKMAPTQMFQIINVVGKSYRELGFWSSGLGFSETIGKHATYSPLMNDLEQVLWPGGPRYTPRGWTELTREKPLLVGVPAKSGYKEYVKVEYDRSGNASFDGLAIEIFNATVRRLPFYLPYEFVAFNDISYDNLVGQIGKKFDAVVGDVAIVASRYSHVEFSLPFSETGLMLVVPARSSNKAWSFIKPFTKSMWASITVITIYNGFVVWLIERHAHPELRGSMLHQIGIMLWLSFNTLFSLQGGKLHSNLSRMSVVVWLFVALVVIQTYTANLTSMLTVQRLEPTVTSVEELLKSNAAVGYCSGSYLENYLVEVLRFPRNNVKHYGSAEEYAQAFNKKEIAAAFIGTPLAKIFLAKFCKKFIAAGPTFNIGGFGFAFPRGSPLLASINEALLKVSENGTLVQLENNFIGALQKCQDKEEENPSLSPNGFRALFIITVGTSTIALVIYIFCIASSFSGLTTIRGLMFIIIKHWCYQSKRFSRRVSNVESLGNSSPQHAPNLQLSQV >Potri.017G044500.3.v4.1 pep chromosome:Pop_tri_v4:17:3125502:3135529:-1 gene:Potri.017G044500.v4.1 transcript:Potri.017G044500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044500.v4.1 MTRKKIPIKKIDNTTARQVSFSKRRRGLFKKACELSILCDAEIALMVFSATGKFFEYSNSSIGQVIERRNLHPKNLDKFSQPSVELQLDSAVHAMLNKEIAEKTRELRRTRGEDLQGLNMEELEKLEKLIEGSLCRVMETKGEKILKEVDALKSKEQQLIEENQRLTQRLMNLSKGQGHLLEQGQSSDSMVTNISSNSAYPRQDYDNSCSFLTLGLPFPD >Potri.017G044500.1.v4.1 pep chromosome:Pop_tri_v4:17:3125492:3136006:-1 gene:Potri.017G044500.v4.1 transcript:Potri.017G044500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044500.v4.1 MTRKKIPIKKIDNTTARQVSFSKRRRGLFKKACELSILCDAEIALMVFSATGKFFEYSNSSIGQVIERRNLHPKNLDKFSQPSVELQLDSAVHAMLNKEIAEKTRELRRTRGEDLQGLNMEELEKLEKLIEGSLCRVMETKGEKILKEVDALKSKEQQLIEENQRLTQRLMNLSKGQGHLLEQGQSSDSMVTNISSNSAYPRQDYDNSCSFLTLGLPFPD >Potri.017G044500.4.v4.1 pep chromosome:Pop_tri_v4:17:3134387:3134638:-1 gene:Potri.017G044500.v4.1 transcript:Potri.017G044500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G044500.v4.1 MTRKKIPIKKIDNTTARQVSFSKRRRGLFKKACELSILCDAEIALMVFSATGKFFEYSNSRFCSLSLSYYFLLYDIPYILCHL >Potri.001G047800.1.v4.1 pep chromosome:Pop_tri_v4:1:3511066:3513321:1 gene:Potri.001G047800.v4.1 transcript:Potri.001G047800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047800.v4.1 MWCRKNLSCTDTGSVPVYLNVYDLTPINGYAYWLGLGVYHSGVQVHGVEYAFGAHEFPTTGIFEGEPKQCDGFTYRKTILIGKTDLGPEQVRGVMEELAEVYRGNAYNLITKNCNHFCNDACVRLTGNPIPSWVNRLARIGFLCNCVLPANLNSTRVQNHKTEDKACEGEKKKLTSESNRFTSSNSSSSSSSPPVVRGRSRSRRALQPSSPLILRASSP >Potri.009G086100.4.v4.1 pep chromosome:Pop_tri_v4:9:8051944:8056304:1 gene:Potri.009G086100.v4.1 transcript:Potri.009G086100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086100.v4.1 MSISVCDTFSRFISGLDLADLVVNADLLQLSCDAIEDLHAETNPHQQLSSTLSVRHKLVQQSNCTIIAFATSPLCTKDHILQGGDLVSSSTLEEQKFPLFDFLRSKGNPSFSILRVAINLFEAYFQELSQLKDQIHDSTTEELLVNSRLIVTGHSLGGTIASLFTLWLLDNIKKTTRRNQLPFCITFGSPLIGDQGFQRAISEHSQRNSCFLHVAAFKDLSPGIFITSQPNPQYMPFGTYFFCSELGCNCVEDPEVVSWLLKSTITPVSAEEMGIDDYSGIVKHLKSRLIMKDNSQLGQPVTPSLRPEMILQLKAIGFEITAQQQQNNIINDLISEWERHENRKAQQMKAIDPNEKLNIVKIRMANLEWYKKDCKAKGVGYYDSYKNLYFTRDGEVTKHKKVLFDYWKKFVEDLERKPQKEGAFMRETWLFAGTNYRRMVEPLDIAQYYRQTGKRDYLTYGRSRHYILLEQWQKEQTEKLAGPPNDKKKQSVAGILTEDSCFWMNVEEALISCKLLKDETSSTSEKQSARESLNTFEQYVMDQINNYAVSPEIFLEKSSFTKWWKDFQEIIETSHNSPLTDFMKNRTYRQYERGQF >Potri.009G086100.5.v4.1 pep chromosome:Pop_tri_v4:9:8051944:8056304:1 gene:Potri.009G086100.v4.1 transcript:Potri.009G086100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086100.v4.1 MSISVCDTFSRFISGLDLADLVVNADLLQLSCDAIEDLHAETNPHQQLSSTLSVRHKLVQQSNCTIIAFATSPLCTKDHILQGGDLVSSSTLEEQKFPLFDFLRSKGNPSFSILRVAINLFEAYFQELSQLKDQIHDSTTEELLVNSRLIVTGHSLGGTIASLFTLWLLDNIKKTTRRNQLPFCITFGSPLIGDQGFQRAISEHSQRNSCFLHVAAFKDLSPGIFITSQPNPQYMPFGTYFFCSELGCNCVEDPEVVSWLLKSTITPVSAEEMGIDDYSGIVKHLKSRLIMKDNSQLGQPVTPSLRPEMILQLKAIGFEITAQQQQNNIINDLISEWERHENRKAQQMKAIDPNEKLNIVKIRMANLEWYKKDCKAKGVGYYDSYKNLYFTRDGEVTKHKKVLFDYWKKFVEDLERKPQKEGAFMRETWLFAGTNYRRMVEPLDIAQYYRQTGKRDYLTYGRSRHYILLEQWQKEQTEKLAGPPNDKKKQSVAGILTEDSCFWMNVEEALISCKLLKDETSSTSEKQSARESLNTFEQYVMDQINNYAVSPEIFLEKSSFTKWWKDFQEIIETSHNSPLTDFMKNRTYRQYERGQF >Potri.009G086100.7.v4.1 pep chromosome:Pop_tri_v4:9:8051944:8056304:1 gene:Potri.009G086100.v4.1 transcript:Potri.009G086100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086100.v4.1 MSISVCDTFSRFISGLDLADLVVNADLLQLSCDAIEDLHAETNPHQQLSSTLSVRHKLVQQSNCTIIAFATSPLCTKDHILQGGDLVSSSTLEEQKFPLFDFLRSKGNPSFSILRVAINLFEAYFQELSQLKDQIHDSTTEELLVNSRLIVTGHSLGGTIASLFTLWLLDNIKKTTRRNQLPFCITFGSPLIGDQGFQRAISEHSQRNSCFLHVAAFKDLSPGIFITSQPNPQYMPFGTYFFCSELGCNCVEDPEVVSWLLKSTITPVSAEEMGIDDYSGIVKHLKSRLIMKDNSQLGQPVTPSLRPEMILQLKAIGFEITAQQQNNIINDLISEWERHENRKAQQMKAIDPNEKLNIVKIRMANLEWYKKDCKAKGVGYYDSYKNLYFTRDGEVTKHKKVLFDYWKKFVEDLERKPQKEGAFMRETWLFAGTNYRRMVEPLDIAQYYRQTGKRDYLTYGRSRHYILLEQWQKEQTEKLAGPPNDKKKQSVAGILTEDSCFWMNVEEALISCKLLKDETSSTSEKQSARESLNTFEQYVMDQINNYAVSPEIFLEKSSFTKWWKDFQEIIETSHNSPLTDFMKNRTYRQYERGQF >Potri.009G086100.6.v4.1 pep chromosome:Pop_tri_v4:9:8051944:8056304:1 gene:Potri.009G086100.v4.1 transcript:Potri.009G086100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086100.v4.1 MSISVCDTFSRFISGLDLADLVVNADLLQLSCDAIEDLHAETNPHQQLSSTLSVRHKLVQQSNCTIIAFATSPLCTKDHILQGGDLVSSSTLEEQKFPLFDFLRSKGNPSFSILRVAINLFEAYFQELSQLKDQIHDSTTEELLVNSRLIVTGHSLGGTIASLFTLWLLDNIKKTTRRNQLPFCITFGSPLIGDQGFQRAISEHSQRNSCFLHVAAFKDLSPGIFITSQPNPQYMPFGTYFFCSELGCNCVEDPEVVSWLLKSTITPVSAEEMGIDDYSGIVKHLKSRLIMKDNSQLGQPVTPSLRPEMILQLKAIGFEITAQQQNNIINDLISEWERHENRKAQQMKAIDPNEKLNIVKIRMANLEWYKKDCKAKGVGYYDSYKNLYFTRDGEVTKHKKVLFDYWKKFVEDLERKPQKEGAFMRETWLFAGTNYRRMVEPLDIAQYYRQTGKRDYLTYGRSRHYILLEQWQKEQTEKLAGPPNDKKKQSVAGILTEDSCFWMNVEEALISCKLLKDETSSTSEKQSARESLNTFEQYVMDQINNYAVSPEIFLEKSSFTKWWKDFQEIIETSHNSPLTDFMKNRTYRQYERGQF >Potri.009G086100.9.v4.1 pep chromosome:Pop_tri_v4:9:8051944:8056304:1 gene:Potri.009G086100.v4.1 transcript:Potri.009G086100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086100.v4.1 MSQFPPFISGLDLADLVVNADLLQLSCDAIEDLHAETNPHQQLSSTLSVRHKLVQQSNCTIIAFATSPLCTKDHILQGGDLVSSSTLEEQKFPLFDFLRSKGNPSFSILRVAINLFEAYFQELSQLKDQIHDSTTEELLVNSRLIVTGHSLGGTIASLFTLWLLDNIKKTTRRNQLPFCITFGSPLIGDQGFQRAISEHSQRNSCFLHVAAFKDLSPGIFITSQPNPQYMPFGTYFFCSELGCNCVEDPEVVSWLLKSTITPVSAEEMGIDDYSGIVKHLKSRLIMKDNSQLGQPVTPSLRPEMILQLKAIGFEITAQQQNNIINDLISEWERHENRKAQQMKAIDPNEKLNIVKIRMANLEWYKKDCKAKGVGYYDSYKNLYFTRDGEVTKHKKVLFDYWKKFVEDLERKPQKEGAFMRETWLFAGTNYRRMVEPLDIAQYYRQTGKRDYLTYGRSRHYILLEQWQKEQTEKLAGPPNDKKKQSVAGILTEDSCFWMNVEEALISCKLLKDETSSTSEKQSARESLNTFEQYVMDQINNYAVSPEIFLEKSSFTKWWKDFQEIIETSHNSPLTDFMKNRTYRQYERGQF >Potri.009G086100.8.v4.1 pep chromosome:Pop_tri_v4:9:8051944:8056304:1 gene:Potri.009G086100.v4.1 transcript:Potri.009G086100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086100.v4.1 MSQFPPFISGLDLADLVVNADLLQLSCDAIEDLHAETNPHQQLSSTLSVRHKLVQQSNCTIIAFATSPLCTKDHILQGGDLVSSSTLEEQKFPLFDFLRSKGNPSFSILRVAINLFEAYFQELSQLKDQIHDSTTEELLVNSRLIVTGHSLGGTIASLFTLWLLDNIKKTTRRNQLPFCITFGSPLIGDQGFQRAISEHSQRNSCFLHVAAFKDLSPGIFITSQPNPQYMPFGTYFFCSELGCNCVEDPEVVSWLLKSTITPVSAEEMGIDDYSGIVKHLKSRLIMKDNSQLGQPVTPSLRPEMILQLKAIGFEITAQQQQNNIINDLISEWERHENRKAQQMKAIDPNEKLNIVKIRMANLEWYKKDCKAKGVGYYDSYKNLYFTRDGEVTKHKKVLFDYWKKFVEDLERKPQKEGAFMRETWLFAGTNYRRMVEPLDIAQYYRQTGKRDYLTYGRSRHYILLEQWQKEQTEKLAGPPNDKKKQSVAGILTEDSCFWMNVEEALISCKLLKDETSSTSEKQSARESLNTFEQYVMDQINNYAVSPEIFLEKSSFTKWWKDFQEIIETSHNSPLTDFMKNRTYRQYERGQF >Potri.018G145520.1.v4.1 pep chromosome:Pop_tri_v4:18:15211689:15212504:-1 gene:Potri.018G145520.v4.1 transcript:Potri.018G145520.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145520.v4.1 MGLFLQMLMVLVMMASLQGWLPLCCLGEERIALLQLKDALHYPNGTSLPSWIKGHAHCCDWESIICSSSTGRVTALVLDSTRNQELGDWYLNASLFLPFQELDALYLSDNLIAGWVKNKDSYELLRLSNLEHLDLRYNCFDNSCRTYAASR >Potri.013G056600.2.v4.1 pep chromosome:Pop_tri_v4:13:4144347:4155191:1 gene:Potri.013G056600.v4.1 transcript:Potri.013G056600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G056600.v4.1 MATDPTQLHQLSLLLGPDPTLFETLITHLMSSSNEQRSTAEFLFNLCKQTHPDSLLLRLTQLLSSSSLPEIRAMSAVLLRKHLTSATEDSFLYPQLTESTRSIIKNSLLSSLQHETTKSITRKINDTISELAASVLPDGGWQELLPFMFQCVTAQSNHNLQESALLIFARLAQYIGEALIPHLATLHGVFLNCLHNSTSGEVRIAALNATINFIQCLTNNSDRDMFQDLLPLMMRTLTEALNGNQEATAQEALELLIELAGGEPRFLRKQIVEVVGSMLQIAEAGSLEEGTRHLAIEFVITLAEARDRAPGMMRKLPQFVHRLFMVLMGMLLDIDDDPQWHGAETEDEDSGETSNYGFGQECLDRLAIALGGNTVVPVASEVFPAFFTAPEWQKPHAALIALAQIAEGCSKVMIKNLDHVVSMVLNSFQHPHPRVRWAAINAIGQLSTDLGPDLQMKYHQLVLPALAGAMDDVQNPRVQAHAASAVLNFSENCMPDILTPYLDGVVSKLLVLLQNGKQMVKEGALTALASVADSSQEHFQKYYDAVMPYLKAILINANDKSNRMLRAKSMECISLVGMAVGKDKFRDDAKQVMDVLMSLQGSQMEADDPTTSYMLQAWARLCKCLGQDFLPYMSVVMPPLLQSAQLKPDVTITSADSDADIDDVDDGSIETITLGDKRIGIRTSVLEEKATACNMLCCYADELKEGFFPWIDQVAATLVPLLKFYFHEEVRKAAVSAMPELLGSAKLAVEKDQSQGHNESYIKQLSDYIVPALVEALHKEPEVEICVSMLDSLTECIQVSGPLLDESQVRSIVEEIKQVITASSVRKQERVERIKAEDFDAEEGELLEEENELEEELFDRVGDCMGTLIKTFKASFLPFFDELSPYITPMSGKDKTAEERRIAICIFDDVVEHFKEAALKYYGTYVPFLLEACNDENPDVRQAAVYGIGICAELGGSVFKPLVGEALSQLNAVISDPNAHHSDNTMAYDNAVSALGKICEFHRDSIDAARIVPAWLSCLPIKNDLIEAKVVHDQLCSMVERSDRELFGSNNQYLPKIVRVFAEVICAGKELATEQTGSRMINLLRQLQQMLPPATLASTWSSLEPQQQLALQSILSS >Potri.006G263400.1.v4.1 pep chromosome:Pop_tri_v4:6:25934917:25942032:-1 gene:Potri.006G263400.v4.1 transcript:Potri.006G263400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G263400.v4.1 MDEEAVVKFVETYLKKKGFKQAELAFQEEIQQQQQKQNNSKNAINIHSDPDLSTLLHSLSQPEDSPARYQEEYSKLRTWAYSSLDLYKHELLRVLYPVFVHCYMDIVAKGHIQDARNFFNSFREDHEMMHSRDLQKLEGVLSPSHLEEMEFAHTLRQSKVNIKICQYSDELMMQYLRKSKSTIILGIVNEHINFQVSPGQPISISDDPDAVTLIGSSQDAANQINKKEIHWGLLEDSLEERLEKTGGFLSDSEKTEGETKEGDMDENKKRSMDGGKQGASIKKSKKDKAASATAKAVRLEANTVPAAPRVKPELPLPVMPAEVEQSILEDLRNRVQLSSTTLPSVSFYTFINTHNGLNCSSISHDGSLVAGGFSDSSLKVWDMAKLGQQAGNSILQGENDAAPSEHVLGPNSGKRSYTLFQGHSGPVHSATFSPLGDFILSSSADTTVRLWSTELNANLVCYKGHNYPVWDVQFSPVGHYFASASHDRTARIWSMDRIQPLRIMAGHLSDVDCVQWHANCNYIATGSSDKTVRLWDVQSGECVRIFIGHRSMILSLAMSPDGRYMASGDEDGSIMMWDLSSGRCISPMMGHHSCVWSLAFSCESSLLASGSADCTVKLWDVTTSTKPAKTEESKSGNTHRLRFLKTLPTKSTPVSTLRFSRRNLLFAAGALSRSQ >Potri.019G068802.1.v4.1 pep chromosome:Pop_tri_v4:19:10946483:10947660:1 gene:Potri.019G068802.v4.1 transcript:Potri.019G068802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G068802.v4.1 MENAILSLEGNNPEVEEKSSSANKSSESVDGGKTWKRTPFYCSEGLGLSAGVDSLCSEDEVLYQDEQELQDQTLLGYATFDDLKFDGLSPSIQNCQEEITKLGAIVQNGNQDSEHKKDKRSEELHLASLELLRRFGNGFRLLKSGRIVEPTYDAPPYTAVESRGFSTEEIMGIALVQSLSSLLPNQLMFPLCLTIPSIFLWLVFPTRMPKWWSSLNSFWLLLRK >Potri.019G104300.1.v4.1 pep chromosome:Pop_tri_v4:19:13976134:13977070:1 gene:Potri.019G104300.v4.1 transcript:Potri.019G104300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G104300.v4.1 MVCFCFLVDQTRKVRRSKPAAGICSRCGGGASVADMKTCTRFCYVPFYWKSWRAIMCTFCGAVLKSYH >Potri.014G194650.1.v4.1 pep chromosome:Pop_tri_v4:14:17320078:17324198:-1 gene:Potri.014G194650.v4.1 transcript:Potri.014G194650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194650.v4.1 MCKQKNREKRIRGESCRFWRKPIMLRWPYLWNPPLDHAYIWICCSHYTPLLTDQRDWKEHNMCDRNHHLECHGKQKGNALAGQFGRRPISLSSTVGSCSFLDMWCA >Potri.007G093300.1.v4.1 pep chromosome:Pop_tri_v4:7:11881945:11887362:1 gene:Potri.007G093300.v4.1 transcript:Potri.007G093300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G093300.v4.1 MYGQDHHFFASLFKDKESELPDQQPFILGGLFLDHKTICPPSFVSIVSSKKKGNNDSHSYLSKRTLLRFENMRRRGRKGGVFLSVSLSIKGGEEDGDEGNVGESIESLGQDGVEKNVELVEEKEVEVKRSGTSAALNTTKHLWAGVVAAMVSRTLVAPLERLKLEYIVRGEQKNLFEVIKAIAATQGLKGFWKGNFVNILRTAPFKSINFYAYDTYRNQLLKLSGNEETTNFERFLSGAAAGITATLLCLPMDTIRTKMVAPGGEVLGGVIGTFHHMIQTEGFFSLYKGLLPSLVAMAPSGAVYYGVYDMLKSTYLHSPEGMKRILNIKQEGQELNALEQLELGTMRTLLYGAIAGCCSEAATYPFEVVRRQLQMQVQATKMSALATCIKIVEQGGIPALYAGLIPSLLQVLPSASISYFVYEFMKIVLKVEST >Potri.012G139500.1.v4.1 pep chromosome:Pop_tri_v4:12:15217053:15219207:1 gene:Potri.012G139500.v4.1 transcript:Potri.012G139500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G139500.v4.1 MVLKTELCRFSGAKIYPGKGIRFIRSDSQVFLFANSKCKRYFHNRLKPSKLTWTAMYRKQHKKDIAAETIKKRRRATKKPYSRSIVGATLEVIQKKRTEKPEVRDAAREAALREIKERIKKSKDEKRAKKAEVTAKVQKSSKGSVPKGAAPKGPKLGGGGGKR >Potri.001G055000.1.v4.1 pep chromosome:Pop_tri_v4:1:4153009:4153621:1 gene:Potri.001G055000.v4.1 transcript:Potri.001G055000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G055000.v4.1 MVESEENKTETTTTRPEFPTGRIKRIMKLDKDTNKVNADALFLVSSSTELFLRFLAEKSAEVAIEKKRKIVKLDHIRAAVKRHHPTSDFLLDSLPLPAQSSEKPASDNTSARTVTDKPAPAGTRRIDQFFAKAASEEVPVLINES >Potri.011G153000.1.v4.1 pep chromosome:Pop_tri_v4:11:17995298:17996472:1 gene:Potri.011G153000.v4.1 transcript:Potri.011G153000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G153000.v4.1 MGNCLFGGLGVAEGVIKVMTSNGGILEFNTPITAGSITNEFPGHALFPSQDLFWGPLSLQEELQGGQSYYLLPLHNSKIGGQIVREGHVRSKSIPAVAATSNIVTPYRMSLDYQGALKRSYTEVFSRYNNSYDKSNNGFWKVKLVISPEQLVEILSQEARTEELIENVRAVAKCGNGFSSSASSVEFSDSWSLSSSRNASCKKDSLVDI >Potri.009G013300.1.v4.1 pep chromosome:Pop_tri_v4:9:2336573:2341560:1 gene:Potri.009G013300.v4.1 transcript:Potri.009G013300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013300.v4.1 MRTNQEKPVNEGEQGVGVCSSQEAVGKVKVEHSIEIVEETDTFQHWKRRNLFLEIPSRTLEDSSRDSVVIRMPPTPSPSPRKVNFLLTPSSVDARASGSPAPSSSKGKSSLKSLLPKLSFKSRNSTLDIEKAATLAPDASSIPRKKPSISRSLSLTRIFTPRMKQTSSLPVTPIANSKAESARGGSVGGMLNSSRKGTQRQIFRSLSVPVNNKERSIKRMDSFFRMIPSTPQVKEGDTITNASPSVDAESNDVDGEDIPEEEAVCRICLIELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKICDVCKQEVQNLPVTLLRMQGVCSRTIGASRANQEDVNGYRVWQEVPVLVIVSMLIYFCFLEQLLVEKMGMGAIAVSLPFSCVLALLSSMISSTMVRRRFVWVYASIQFALVVLFAHIFYTLVNVQAVLAILLATLSGFGVAMSGSSILVEFLRWRRRWHAQHGQLHSSQVITGPGPFQRAVNSSNSSTRGHHNFQPNEVENPETLRGS >Potri.009G013300.5.v4.1 pep chromosome:Pop_tri_v4:9:2336788:2341493:1 gene:Potri.009G013300.v4.1 transcript:Potri.009G013300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013300.v4.1 MRTNQEKPVNEGEQGVGVCSSQEAVGKVKVEHSIEIVEETDTFQHWKRRNLFLEIPSRTLEDSSRDSVVIRMPPTPSPSPRKVNFLLTPSSVDARASGSPAPSSSKGKSSLKSLLPKLSFKSRNSTLDIEKAATLAPDASSIPRKKPSISRSLSLTRIFTPRMKQTSSLPVTPIANSKAESARGGSVGGMLNSSRKGTQRQIFRSLSVPVNNKERSIKRMDSFFRMIPSTPQVKEGDTITNASPSVDAESNDVDGEDIPEEEAVCRICLIELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKICDVCKQEVQNLPVTLLRMQGVCSRTIGASRANQEDVNGYRQVNFWYIFIP >Potri.009G013300.6.v4.1 pep chromosome:Pop_tri_v4:9:2336767:2341557:1 gene:Potri.009G013300.v4.1 transcript:Potri.009G013300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013300.v4.1 MRTNQEKPVNEGEQGVGVCSSQEAVGKVEHSIEIVEETDTFQHWKRRNLFLEIPSRTLEDSSRDSVVIRMPPTPSPSPRKVNFLLTPSSVDARASGSPAPSSSKGKSSLKSLLPKLSFKSRNSTLDIEKAATLAPDASSIPRKKPSISRSLSLTRIFTPRMKQTSSLPVTPIANSKAESARGGSVGGMLNSSRKGTQRQIFRSLSVPVNNKERSIKRMDSFFRMIPSTPQVKEGDTITNASPSVDAESNDVDGEDIPEEEAVCRICLIELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKICDVCKQEVQNLPVTLLRMQGVCSRTIGASRANQEDVNGYRQVNFWYIFIP >Potri.009G013300.2.v4.1 pep chromosome:Pop_tri_v4:9:2336588:2341483:1 gene:Potri.009G013300.v4.1 transcript:Potri.009G013300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G013300.v4.1 MRTNQEKPVNEGEQGVGVCSSQEAVGKVEHSIEIVEETDTFQHWKRRNLFLEIPSRTLEDSSRDSVVIRMPPTPSPSPRKVNFLLTPSSVDARASGSPAPSSSKGKSSLKSLLPKLSFKSRNSTLDIEKAATLAPDASSIPRKKPSISRSLSLTRIFTPRMKQTSSLPVTPIANSKAESARGGSVGGMLNSSRKGTQRQIFRSLSVPVNNKERSIKRMDSFFRMIPSTPQVKEGDTITNASPSVDAESNDVDGEDIPEEEAVCRICLIELCEGGETLKMECSCKGELALAHQECAVKWFSIKGNKICDVCKQEVQNLPVTLLRMQGVCSRTIGASRANQEDVNGYRVWQEVPVLVIVSMLIYFCFLEQLLVEKMGMGAIAVSLPFSCVLALLSSMISSTMVRRRFVWVYASIQFALVVLFAHIFYTLVNVQAVLAILLATLSGFGVAMSGSSILVEFLRWRRRWHAQHGQLHSSQVITGPGPFQRAVNSSNSSTRGHHNFQPNEVENPETLRGS >Potri.015G024500.4.v4.1 pep chromosome:Pop_tri_v4:15:1831767:1835416:1 gene:Potri.015G024500.v4.1 transcript:Potri.015G024500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024500.v4.1 MNISGSNFERNSFPYFIGSLKKLRYLDLSSIGVDGTLSNQLWNLSRLQYLDLSNNYYVNFKSLDFLSNLFYLEYLHLSGINLSQAVDWIQSVNKLPLLKVLRLSSCDLSKSSPPSLSFTNSSKSLAVIDLSSNNLASSTFNWLSNFSNSLVDLDISSTGIISSKNLNWLSYFSSLEYLDLSGNNLSQIIDWPQVIYNLPRLHELGFSSCSLSIIGPPSPSLVNSSESLVVVDFSANQLTSSIFYWLANFSNNLVDLDLSYNQLQGSIPDAFTNLTSLRTLDLSYNQLQGSIRDAFTNRTSLRTLDLSSNQLQGDLSSFGQMCNLNELYASGNNLTGELSQLFQDFHGCVESSLEILQLGGNQLQGSLPDITRFTSMRVLDLSQNQLNGSLPKRFSQRSELVSLHLNDNQLTGSLTDVTMLSSLRELWIDNNRLDGNVSESIGSLSQLEELHVGGNSLQGVMSEAHFSNLSKLTVLDLTDNSLALKFESNWAPSFQLVHIFLSSCDLGPHFPQWLRNQNNFMELDISGSGISDTIPNWFWNLTNSKLEYLDLSHNKMSGVLPDFSSKYSNLQNIDLSFNLFEGPLSLFSSDTTSTLFLSNNKFSGPASFPCNIGSRILKVLDLSNNLLTGWIPDCLRNFTSLGILNLASNNFSGKIPSSMGSMLNLETLSLHNNSFVGELPLSLRNCSSLVFLDLSSNKLRGEIPGWIGESMPSLEVLSLQSNGFNGSIPPNLCHLSNILILDLSLNNISGIIPKCLDNFTSMVQKTESEHFLSNNAVLTRYYSGPGIYGTYQNKMRVGWKGREDDYGNTLGLLRIINFARNKLIGEIPEEITGLLLLVALNLSGNNLTGEIPQKIGQLKQLESLDLSGNQLSGVIPITMADLNFLAFLNLSNNHLSGRIPSSTQLQGFNASQFTGNLALCGQPLLQKCPGDETNQSPPANDDNQGKEVVADEFMKWFCTAMGIGFSVFFWGVSGALLLKRSWRHAYFLFLDESWDWLYVKVAVCKARLQREFQRLHEHVLA >Potri.015G024500.3.v4.1 pep chromosome:Pop_tri_v4:15:1831583:1835431:1 gene:Potri.015G024500.v4.1 transcript:Potri.015G024500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024500.v4.1 MLQIKHDKSFHLLYVLIVLLLLMKLAPGFISGVKGATFGCIERERQALLKFKEDLIDDFGLLSTWGSEEEKRDCCKWRGVGCNNRTGHVTHLDLHRENGGKYLTGKISNSLLELQHLSYMNISGSNFERNSFPYFIGSLKKLRYLDLSSIGVDGTLSNQLWNLSRLQYLDLSNNYYVNFKSLDFLSNLFYLEYLHLSGINLSQAVDWIQSVNKLPLLKVLRLSSCDLSKSSPPSLSFTNSSKSLAVIDLSSNNLASSTFNWLSNFSNSLVDLDISSTGIISSKNLNWLSYFSSLEYLDLSGNNLSQIIDWPQVIYNLPRLHELGFSSCSLSIIGPPSPSLVNSSESLVVVDFSANQLTSSIFYWLANFSNNLVDLDLSYNQLQGSIPDAFTNLTSLRTLDLSYNQLQGSIRDAFTNRTSLRTLDLSSNQLQGDLSSFGQMCNLNELYASGNNLTGELSQLFQDFHGCVESSLEILQLGGNQLQGSLPDITRFTSMRVLDLSQNQLNGSLPKRFSQRSELVSLHLNDNQLTGSLTDVTMLSSLRELWIDNNRLDGNVSESIGSLSQLEELHVGGNSLQGVMSEAHFSNLSKLTVLDLTDNSLALKFESNWAPSFQLVHIFLSSCDLGPHFPQWLRNQNNFMELDISGSGISDTIPNWFWNLTNSKLEYLDLSHNKMSGVLPDFSSKYSNLQNIDLSFNLFEGPLSLFSSDTTSTLFLSNNKFSGPASFPCNIGSRILKVLDLSNNLLTGWIPDCLRNFTSLGILNLASNNFSGKIPSSMGSMLNLETLSLHNNSFVGELPLSLRNCSSLVFLDLSSNKLRGEIPGWIGESMPSLEVLSLQSNGFNGSIPPNLCHLSNILILDLSLNNISGIIPKCLDNFTSMVQKTESEHFLSNNAVLTRYYSGPGIYGTYQNKMRVGWKGREDDYGNTLGLLRIINFARNKLIGEIPEEITGLLLLVALNLSGNNLTGEIPQKIGQLKQLESLDLSGNQLSGVIPITMADLNFLAFLNLSNNHLSGRIPSSTQLQGFNASQFTGNLALCGQPLLQKCPGDETNQSPPANDDNQGKEVVADEFMKWFCTAMGIGFSVFFWGVSGALLLKRSWRHAYFLFLDESWDWLYVKVAVCKARLQREFQRLHEHVLA >Potri.015G024500.2.v4.1 pep chromosome:Pop_tri_v4:15:1831583:1835608:1 gene:Potri.015G024500.v4.1 transcript:Potri.015G024500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G024500.v4.1 MLQIKHDKSFHLLYVLIVLLLLMKLAPGFISGVKGATFGCIERERQALLKFKEDLIDDFGLLSTWGSEEEKRDCCKWRGVGCNNRTGHVTHLDLHRENGGKYLTGKISNSLLELQHLSYMNISGSNFERNSFPYFIGSLKKLRYLDLSSIGVDGTLSNQLWNLSRLQYLDLSNNYYVNFKSLDFLSNLFYLEYLHLSGINLSQAVDWIQSVNKLPLLKVLRLSSCDLSKSSPPSLSFTNSSKSLAVIDLSSNNLASSTFNWLSNFSNSLVDLDISSTGIISSKNLNWLSYFSSLEYLDLSGNNLSQIIDWPQVIYNLPRLHELGFSSCSLSIIGPPSPSLVNSSESLVVVDFSANQLTSSIFYWLANFSNNLVDLDLSYNQLQGSIPDAFTNLTSLRTLDLSYNQLQGSIRDAFTNRTSLRTLDLSSNQLQGDLSSFGQMCNLNELYASGNNLTGELSQLFQDFHGCVESSLEILQLGGNQLQGSLPDITRFTSMRVLDLSQNQLNGSLPKRFSQRSELVSLHLNDNQLTGSLTDVTMLSSLRELWIDNNRLDGNVSESIGSLSQLEELHVGGNSLQGVMSEAHFSNLSKLTVLDLTDNSLALKFESNWAPSFQLVHIFLSSCDLGPHFPQWLRNQNNFMELDISGSGISDTIPNWFWNLTNSKLEYLDLSHNKMSGVLPDFSSKYSNLQNIDLSFNLFEGPLSLFSSDTTSTLFLSNNKFSGPASFPCNIGSRILKVLDLSNNLLTGWIPDCLRNFTSLGILNLASNNFSGKIPSSMGSMLNLETLSLHNNSFVGELPLSLRNCSSLVFLDLSSNKLRGEIPGWIGESMPSLEVLSLQSNGFNGSIPPNLCHLSNILILDLSLNNISGIIPKCLDNFTSMVQKTESEHFLSNNAVLTRYYSGPGIYGTYQNKMRVGWKGREDDYGNTLGLLRIINFARNKLIGEIPEEITGLLLLVALNLSGNNLTGEIPQKIGQLKQLESLDLSGNQLSGVIPITMADLNFLAFLNLSNNHLSGRIPSSTQLQGFNASQFTGNLALCGQPLLQKCPGDETNQSPPANDDNQGKEVVADEFMKWFCTAMGIGFSVFFWGVSGALLLKRSWRHAYFLFLDESWDWLYVKVAVCKARLQREFQRLHEHVLA >Potri.004G202832.1.v4.1 pep chromosome:Pop_tri_v4:4:21209501:21211575:-1 gene:Potri.004G202832.v4.1 transcript:Potri.004G202832.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202832.v4.1 MSKLAGDTIREAVTTIKNGVNEKPRKFTQTIELQIGLKNYDPQKDKRFSGSVKLPHIPRPKMKVCMLGDAQHVEEAVSIGLQWMDVEALKKLNKNKKLVKKLSKQYHAFLASESVIKQIPRLLGPGLNKAGKFPTLVTHQESLESKVNEIKATVKFQLKKVLCMGVAVGNCDMEDKQIFQNVQMSVNFLVSLLKKNWQNVKSLHLKSTMGTPVRLY >Potri.015G128400.1.v4.1 pep chromosome:Pop_tri_v4:15:13959892:13961067:1 gene:Potri.015G128400.v4.1 transcript:Potri.015G128400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G128400.v4.1 MASSAVSKQSYCHSLAILSTTLAFFCVAKTCLAENFKPQVESGDDFIKTSCGVTRYPDLCYEKLSAYADTIQDNPTQLANVSLSETLKNAESTLIMVQKLLKKRKLRPREADAIKECVETMKDSVDELQKSMLAMSDLEGPDFDMEMSNIQTWVSAALTDEDTCMDDSEENSIDGKVKDTIRSYIVTVAQLTSIALALINSIH >Potri.002G127800.2.v4.1 pep chromosome:Pop_tri_v4:2:9680636:9681529:1 gene:Potri.002G127800.v4.1 transcript:Potri.002G127800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127800.v4.1 MEKLRHKPFIFTDSFSWVYSPKTGELFVTPKAVQQKDDGNDDNDEYDDTREEFFSVGSCLSCCSSALSREAFVSAKPNFSRCSSFNEFCFPDFPKRSILQEFCHCQGWPFGLWRKAVLLPPLPKSPSESWSWRKGARIVKMA >Potri.002G127800.1.v4.1 pep chromosome:Pop_tri_v4:2:9680616:9681576:1 gene:Potri.002G127800.v4.1 transcript:Potri.002G127800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127800.v4.1 MGVFHHEEAPNPSKKCKFLAATLKDVFSNCSTCGGRISTSSPEEEYPTADVDDEQEVIVSEIRSRAMEKLRHKPFIFTDSFSWVYSPKTGELFVTPKAVQQKDDGNDDNDEYDDTREEFFSVGSCLSCCSSALSREAFVSAKPNFSRCSSFNEFCFPDFPKRSILQEFCHCQGWPFGLWRKAVLLPPLPKSPSESWSWRKGARIVKMA >Potri.013G134800.1.v4.1 pep chromosome:Pop_tri_v4:13:14027419:14029469:-1 gene:Potri.013G134800.v4.1 transcript:Potri.013G134800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134800.v4.1 MDLIKHTLVEVRGLKLHVAEIGTGPKVVLFLHGFPEIWYTWRYQMNAVAAAGYRAIAIDFRGYGLSEQPAEPEKGNFMDLVDDVVALLDTLGINKVFLIGKDFGSITAYLVAVVHPERVSGLVSLGIPFLLPGPNCIRNDLMPSGFYITRWQEPGRAEADFGRLDVKTVVRNIYILFSGTEPPTARDDQEIMDLVDPSTPLPPWFSEEDLAAYASLYEKSGFRFALQVPYRSLGIDCGITDPKVTAPTLLINGQKDYLLKFAGMEDYTKSEQLKHFVPDLDNVFLDEGNHFVHENLPKQVNELIINFLSKHCD >Potri.001G067100.1.v4.1 pep chromosome:Pop_tri_v4:1:5028245:5029648:1 gene:Potri.001G067100.v4.1 transcript:Potri.001G067100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067100.v4.1 MVRGKGHMGSAGEGIGLVFLTLALCIAIMFEQCQGRSAYAEPANCLRLECAPYQVIHSQKDYEIRSYRTATWISTSPVNSNSYKDAVGHGFNILATYIQGNNDQAANINMTAPVLVDMFSSTASSRNTTFTVHLYLPQKYQNNPPLSRQVHPVKLPKHRHAAVKRFGGFMNDTNIPGQVLALKKSLEGTPWESSIARTQSRGRVPCSVAGYNSPYEYENRANEVMFWFD >Potri.016G142800.1.v4.1 pep chromosome:Pop_tri_v4:16:14490736:14494304:-1 gene:Potri.016G142800.v4.1 transcript:Potri.016G142800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142800.v4.1 MEKYEKLEKVGEGTYGKVYKAKDKLTGQLVALKKTRLQMDEEGVPPTALREVSLLQMLSQSLYVVRLLSVEHLDANNSDDDSKSNLYLVFEFLDADLKKFIDSHRKGPNPRPLSPSLIQSFLFQLCKGVAHCHSHGVLHRDLKPQNLLLDQERGILKIADLGLGRAFTVPLKSYTHEIVTLWYRAPEVLLGSTHYSIAIDMWSVGCIFAEMSRRQALFPGDSELQQLLHIFRLLGTPTEEQWPGVTSLRDWHVYPKWEPQNLARAVPSLGPQGVDLLSKMLKYDPAERISAKAAMDHPYFDSLDKSQF >Potri.002G164601.1.v4.1 pep chromosome:Pop_tri_v4:2:12593958:12595531:-1 gene:Potri.002G164601.v4.1 transcript:Potri.002G164601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G164601.v4.1 MLKEGLLYFLWVAQKFCNQYARVGDVINKTLVEYKEEVTNGSFPGPLHSSYKISEIEIDGFISELQELGLDKAAASTAAAGEKNKTAGSSNGPANDCSQSSRRASYSLFPDQKEEYSDLHFAVEITVSAPRPPLSARKMNRN >Potri.002G008700.3.v4.1 pep chromosome:Pop_tri_v4:2:513427:521739:1 gene:Potri.002G008700.v4.1 transcript:Potri.002G008700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008700.v4.1 MVKPTPVKCTNPDPKKSYHIGGIQVEFPYKPYGTQLAFMGRVISTLDRAQRDGHCHALLESPTGTGKSLSLLCSTLAWQQNYKLKNQYANLTHSTPNPEAITDPLAHGGGFVPESTPSSTEPTAAVELAQKVASNKKKKAVPKIYYASRTHSQISQVVSEFRKTTYRVPMAVLASRKHYCTNVHVNRKENVDEECKLLLKDKEAGCLQFKNANKVRGHPSLQKGGCHEVHDIEDLVKVGQVVKGCSYYAARSMADDAQLVFCPYNYIINPVIRGAMEVDIIGAILVLDEAHNIEDIARDAGSVDVEEDVLQKLQTELQELCPVDPMIYQPLYEMAQDLLSWIERRKNKLEKREFQHYCSCWAGDKALREFQEANISQQCFPILLDCAKQAIKAATDTEAEGSHLSGMSVVLLEGLFSSLTYFFSRNGCQVSDFQLALRRYVKRDGKKAGDWTCTLSLWCLNPAVVFKDIADLSLSVILTSGTLSPMNSFSSELGVQFGTCLEAPHVVDVESQVCVSVISTSPDNYPLNASYKTADCYAFQDALGKSLEEICKIVPAGSLVFFPSYKLMEKLSNRWRETGQWSRLNARKPLFVEPRGGSQEDFDSILKGYYDCIRRDKRPALGRKRKVKKVDANHLDGTESTDNSEKGGAAFLAVCRGKVSEGIDFSDDYARVVIVVGIPFPNINDIQVGLKKKYNDAYKTSKNLLGGNEWYCQQAFRALNQAAGRCIRHKFDYGSIILLDERYKEERNRVYISKWLRKSIQQHNNFDMSLEVLRSFYRNAKEKVGKNMEEFLLNSDAHKEKNIPRMDQIVGHTRNKSQKLSNSDQYGEKIVSLTKCEGAVSKLKSQDDVEVQASFQIDDELESSQEIIDLECDSHIGSRCSEASFHEDPEITLVEETPGMGECGAATSPGFFSKDGNSSSTMMQAPNELADQGLVSLVSVTNQSAAPDKSQCSMLVTPEKELTITTCNLRPEVESSLNLSVNSHTQKRRKSMDLSLMNLQGEQSDTSYAETPGCVSFTRSSVTSGDTNRRIEFGLETSCRERQSTKHASPLLPNSCATSCASSDSLMDKRLQISCSLCKSPLGRPENNLYVECSLTSSSKVHLASLVKERMERCAKNNSTCVPVLVTDISSVDQRLCNIALQDAQQKGVWSEEDGCVFNSVFCPFCSMSNCLGVKIMATDASNVQLLNKILFYTDCLEFQNLEASKDLEPMDKERWQGNS >Potri.002G008700.1.v4.1 pep chromosome:Pop_tri_v4:2:513427:522671:1 gene:Potri.002G008700.v4.1 transcript:Potri.002G008700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008700.v4.1 MVKPTPVKCTNPDPKKSYHIGGIQVEFPYKPYGTQLAFMGRVISTLDRAQRDGHCHALLESPTGTGKSLSLLCSTLAWQQNYKLKNQYANLTHSTPNPEAITDPLAHGGGFVPESTPSSTEPTAAVELAQKVASNKKKKAVPKIYYASRTHSQISQVVSEFRKTTYRVPMAVLASRKHYCTNVHVNRKENVDEECKLLLKDKEAGCLQFKNANKVRGHPSLQKGGCHEVHDIEDLVKVGQVVKGCSYYAARSMADDAQLVFCPYNYIINPVIRGAMEVDIIGAILVLDEAHNIEDIARDAGSVDVEEDVLQKLQTELQELCPVDPMIYQPLYEMAQDLLSWIERRKNKLEKREFQHYCSCWAGDKALREFQEANISQQCFPILLDCAKQAIKAATDTEAEGSHLSGMSVVLLEGLFSSLTYFFSRNGCQVSDFQLALRRYVKRDGKKAGDWTCTLSLWCLNPAVVFKDIADLSLSVILTSGTLSPMNSFSSELGVQFGTCLEAPHVVDVESQVCVSVISTSPDNYPLNASYKTADCYAFQDALGKSLEEICKIVPAGSLVFFPSYKLMEKLSNRWRETGQWSRLNARKPLFVEPRGGSQEDFDSILKGYYDCIRRDKRPALGRKRKVKKVDANHLDGTESTDNSEKGGAAFLAVCRGKVSEGIDFSDDYARVVIVVGIPFPNINDIQVGLKKKYNDAYKTSKNLLGGNEWYCQQAFRALNQAAGRCIRHKFDYGSIILLDERYKEERNRVYISKWLRKSIQQHNNFDMSLEVLRSFYRNAKEKVGKNMEEFLLNSDAHKEKNIPRMDQIVGHTRNKSQKLSNSDQYGEKIVSLTKCEGAVSKLKSQDDVEVQASFQIDDELESSQEIIDLECDSHIGSRCSEASFHEDPEITLVEETPGMGECGAATSPGFFSKDGNSSSTMMQAPNELADQGLVSLVSVTNQSAAPDKSQCSMLVTPEKELTITTCNLRPEVESSLNLSVNSHTQKRRKSMDLSLMNLQGEQSDTSYAETPGCVSFTRSSVTSGDTNRRIEFGLETSCRERQSTKHASPLLPNSCATSCASSDSLMDKRLQISCSLCKSPLGRPENNLYVECSLTSSSKVHLASLVKERMERCAKNNSTCVPVLVTDISSVDQRLCNIALQDAQQKGVWSEEDGCVFNSVFCPFCSMSNCLGVKIMATDASNVQLLNKILFYTDCLEFQNLEASKDLEPMDKDLSPVTRTAMDKTALLNSLDRFSYSTQPISGGWRTTKSKLRLPKRDVLSNTQG >Potri.017G099900.4.v4.1 pep chromosome:Pop_tri_v4:17:11164903:11170787:-1 gene:Potri.017G099900.v4.1 transcript:Potri.017G099900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099900.v4.1 MKFQSVSFWVYLLVLLLGVFKRRDRIEMDINEIVGETKRSVNFPTKSAIYVWGYNQSGQTGRKGKDGQLRIPKQLPPELFGCPAGANARWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEDSRKYPKKVKQLETEFVKSVSCGAHCTAAIAEPRQNDGTILSRLWVWGQNQGSNYPRLFCGAFSPNTIICQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGFTCEGLQGARVINAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHTSLQSGDKELLPRRVVALDGIFIKDVACGGVHTCAATQKGALYAWGGGQAGQLGLGPQTGSFSFIPSESESFLRNIPALVVPTDVQHVACGHSHTLVSMRDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAENVTLSNASEIEDVASRTGADALARLCGRLREHLLGGDLDYEDISNRKDGTNN >Potri.017G099900.1.v4.1 pep chromosome:Pop_tri_v4:17:11164854:11170732:-1 gene:Potri.017G099900.v4.1 transcript:Potri.017G099900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G099900.v4.1 MDINEIVGETKRSVNFPTKSAIYVWGYNQSGQTGRKGKDGQLRIPKQLPPELFGCPAGANARWLDIACGREHTAAVASDGSLFTWGANEFGQLGDGTEDSRKYPKKVKQLETEFVKSVSCGAHCTAAIAEPRQNDGTILSRLWVWGQNQGSNYPRLFCGAFSPNTIICQVSCGAVHVVALSEDGLLQAWGYNEYGQLGRGFTCEGLQGARVINAYAKFLDEAPELVKITQVSCGEYHTAAISEKGEVYTWGLGNMGQLGHTSLQSGDKELLPRRVVALDGIFIKDVACGGVHTCAATQKGALYAWGGGQAGQLGLGPQTGSFSFIPSESESFLRNIPALVVPTDVQHVACGHSHTLVSMRDGRIHGWGYNSYGQAANEKSTYAWYPSPVDWCVGEVRKLAAGGGHSAVLTDACSLKELCEFRLAENVTLSNASEIEDVASRTGADALARLCGRLREHLLGGDLDYEDISNRKDGTNN >Potri.004G123400.4.v4.1 pep chromosome:Pop_tri_v4:4:11871505:11876874:1 gene:Potri.004G123400.v4.1 transcript:Potri.004G123400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123400.v4.1 MAGQRNNYRKRSHSQSDYDIGANKRRNSGDDRERYVIDSQDTVYRYLCPAMKIGSIIGRGGEIVKQLRIDTKSKIRVGETVPGCEERVVTMYSPSDETNEYEDSGNYVSPAQDALFRVHDKVITEDLQVDEDSEESQQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVVKKALYQIASRLHDNPSRSQHLLVSAVPNVYPAVGSLVGPSAAAPIVGIAPLMGPYGSFKGDTGDWSRSLYSAPRDELASKEFSLRMVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSASEGDDCLITISAKEICDDQYSPTIEAALRLQPRCSEKMERDSGLFSFTTRLLVPSSHIGCLLGKGGLIIDEMRKLTKAIIRIPRKDKLPKTALDDDEMVQISGDLDIAKDALIQISRRLRANAFDREGLMSAILPVFPYLPVSAEGLEGRHYDSRDDKRHGRGNTYAGGYGASDYAVGDSYGSYRSTQISASGGPFGAYGSYSSGRIGTSGLSGQAPVSRRKSYY >Potri.004G123400.7.v4.1 pep chromosome:Pop_tri_v4:4:11871505:11876868:1 gene:Potri.004G123400.v4.1 transcript:Potri.004G123400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123400.v4.1 MAGQRNNYRKRSHSQSDYDIGANKRRNSGDDRERYVIDSQDTVYRYLCPAMKIGSIIGRGGEIVKQLRIDTKSKIRVGETVPGCEERVVTMYSPSDETNEYEDSGNYVSPAQDALFRVHDKVITEDLQVDEDSEESQQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVVKKALYQIASRLHDNPSRSQHLLVSAVPNVYPAVGSLVGPSAAAPIVGIAPLMGPYGSFKGDTGDWSRSLYSAPRDELASKEFSLRMVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSASEGDDCLITISAKEICDDQYSPTIEAALRLQPRCSEKMERDSGLFSFTTRLLVPSSHIGCLLGKGGLIIDEMRKLTKAIIRIPRKDKLPKTALDDDEMVQISGDLDIAKDALIQISRRLRANAFDREGLMSAILPVFPYLPVSAEGLEGRHYDSRDDKRHGRGNTYAGGYGASDYAVGDSYGSYRSTQISASGGPFGAYGSYSSGRIGTSGDEFRERRQN >Potri.004G123400.5.v4.1 pep chromosome:Pop_tri_v4:4:11871536:11876866:1 gene:Potri.004G123400.v4.1 transcript:Potri.004G123400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123400.v4.1 MAGQRNNYRKRSHSQSDYDIGANKRRNSGDDRERYVIDSQDTVYRYLCPAMKIGSIIGRGGEIVKQLRIDTKSKIRVGETVPGCEERVVTMYSPSDETNEYEDSGNYVSPAQDALFRVHDKVITEDLQVDEDSEESQQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVVKKALYQIASRLHDNPSRSQHLLVSAVPNVYPAVGSLVGPSAAAPIVGIAPLMGPYGSFKGDTGDWSRSLYSAPRDELASKEFSLRMVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSASEGDDCLITISAKEICDDQYSPTIEAALRLQPRCSEKMERDSGLFSFTTRLLVPSSHIGCLLGKGGLIIDEMRKLTKAIIRIPRKDKLPKTALDDDEMVQISGDLDIAKDALIQISRRLRANAFDREGLMSAILPVFPYLPVSAEGLEGRHYDSRDDKRHGRGNTYAGGYGASDYAVGDSYGSYRSTQISASGGPFGAYGSYSSGRIGTSGLSGQAPVSRRKSYY >Potri.004G123400.8.v4.1 pep chromosome:Pop_tri_v4:4:11871538:11876879:1 gene:Potri.004G123400.v4.1 transcript:Potri.004G123400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G123400.v4.1 MAGQRNNYRKRSHSQSDYDIGANKRRNSGDDRERYVIDSQDTVYRYLCPAMKIGSIIGRGGEIVKQLRIDTKSKIRVGETVPGCEERVVTMYSPSDETNEYEDSGNYVSPAQDALFRVHDKVITEDLQVDEDSEESQQVTAKLLVPSDQIGCIIGKGGQIVQNIRSETGAVIRILKDEHLPPCALSSDELVQISGEAAVVKKALYQIASRLHDNPSRSQHLLVSAVPNVYPAVGSLVGPSAAAPIVGIAPLMGPYGSFKGDTGDWSRSLYSAPRDELASKEFSLRMVCPTANIGAVIGKGGTIINQIRQESGATIKVDSSASEGDDCLITISAKEICDDQYSPTIEAALRLQPRCSEKMERDSGLFSFTTRLLVPSSHIGCLLGKGGLIIDEMRKLTKAIIRIPRKDKLPKTALDDDEMVQISGDLDIAKDALIQISRRLRANAFDREGLMSAILPVFPYLPVSAEGLEGRHYDSRDDKRHGRGNTYAGGYGASDYAVGDSYGSYRSTQISASGGPFGAYGSYSSGRIGTSGDEFRERRQN >Potri.008G082000.1.v4.1 pep chromosome:Pop_tri_v4:8:5143106:5143282:1 gene:Potri.008G082000.v4.1 transcript:Potri.008G082000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G082000.v4.1 MQMIINSQPVHPFDLSIFRPREHNAINRPCIFLCLIMKVRGFHTEIFGIPFFVVSSSI >Potri.005G116600.1.v4.1 pep chromosome:Pop_tri_v4:5:8489961:8491046:1 gene:Potri.005G116600.v4.1 transcript:Potri.005G116600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116600.v4.1 MTTSKEAETEAPVVEQPPATEEPKVEEKPLKEKKPRTPREKKPRQPKPKAVAHPPYFQMIKEAILALNEKSGSSPYAIAKYMEEKHKAVLPANFKKILGLQLKNSAARGKLIKIRASYKLSEAGKKEKSTTGKVSKGSSAVKKTKEVKPSMRKTRSVNKADAGAKKVVGAKKAKKSAAAKPKQPKSIKSPAAKRAKKVTATA >Potri.014G025400.1.v4.1 pep chromosome:Pop_tri_v4:14:1566450:1573064:1 gene:Potri.014G025400.v4.1 transcript:Potri.014G025400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G025400.v4.1 MAQIQLHQSGASVPGPNGVAAGPGAIQFVPTSLYVGDLDFNVTDSQLYDVFNQVGQVVSVRVCRDLSTRRSLGYGYVNYSNPQDAARALDVLNFTPLNNKPIRIMYSHRDPSIRKSGMANIFIKNLDKGIDHKALHDTFSSFGNILSCKVATDASGQSKGYGFVQFDSEEAAQNAIDKLNGMLVNDKQVYVGHFLRKQDRDGALYSIKFNNVFVKNLAESTTDEELKNIFAEHGAITSAVVMRDADGKSKCFGFVNFESADDAAKAVEALNGKKIDGEEWYVGKAQKKSERELELKGRFEQSMKETVDKFQGLNLYIKNLDDSINDEKLKELFSDFGAITSCKVMRDPSGISRGSGFVAFSTPEEASRALAEMNGKMLISKPLYVALAQRKEERRARLQAQFSQMRPVTMAPSVASRMPMYPPGAPGMGQQFLYGQGPPAMMPPQAGFGYQQQLVPGMRPGGAPMPNFFVPLVQQGQQGQRPGGRRGGGPVQQTQQPVPLMQQQMLPRGRVYRYPPGRNMPDVPMPGVAGGMLSVPYDMGGMPIRDAAQPMPITALATALANATPEQQRTMLGESLYPIVDQLEHDSAAKVTGMLLEMDQTEVLHLLESPEALKAKVAEAMEVLRTVAAQQQINNQADQLGSLSLNDNLVS >Potri.001G354000.1.v4.1 pep chromosome:Pop_tri_v4:1:36787491:36789990:1 gene:Potri.001G354000.v4.1 transcript:Potri.001G354000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G354000.v4.1 MVLVLALGDLHIPHRAPDLPAKFKSMLVPGKIQHIICTGNLSIKEVHDYLKTLCPDLHITRGEYDEDTRYPETKTLTIGQFKLGLCHGHQVVPWGDLDSLAMLQRQLDVDILVTGHTHQFTAYKHEGGVVINPGSATGAYSNITYDVNPSFVLMDIDGLRVVVYVYELIDGEVKVDKIDFKKTTTATHSAH >Potri.008G077100.1.v4.1 pep chromosome:Pop_tri_v4:8:4763022:4771608:-1 gene:Potri.008G077100.v4.1 transcript:Potri.008G077100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G077100.v4.1 MVSEDELDMQDAAAESAEDDFYSGGEEDGFDSDDADVADYEFIDNDSDDSDDLISHRYQQNYTILSEGDIRQRQDDDIMRIATVLSISKVAATILLRYYNWSVSKVHDEWFADEEKVRRAVGLLEEPVVPFPDGREMTCGICFETYPSDRLRAVVCGHAFCNSCWAGYISTAINDGPGCLMLRCPDPSCNAAVGQDMINVLTSNEDSDKYSRYFIRSYIEDNRKTKWCPAPGCDYAVDFIVGSGSYDVICRCAYSFCWNCTEEAHRPVDCGTVAKWILKNSAESENMNWILANSKPCPKCKRPIEKNQGCMHITCTPPCKFEFCWLCLGAWSDHGERTGGFYACNRYETAKQEGVYDDAEKRREMAKNSLERYTHYYERWATNQTSRQKALADLQQMQNVHLEKLSDIQCQPESQLKFIIEAWLQIVECRRVLKWTYAYGYYLPEHEHAKRLFFEYLQGEAESGLERLHQCAEKELQIYLNAEGPSKDFNEFRTKLAGLTSVTRNYFENLVRALENGLSDVDSHGACSRMASSKSLGGGSSRARAGRGKGSTSRSSGPSRNIDEPGHWSCEYCTFANIKPATICAMCQQRR >Potri.010G031500.1.v4.1 pep chromosome:Pop_tri_v4:10:4710176:4714701:1 gene:Potri.010G031500.v4.1 transcript:Potri.010G031500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G031500.v4.1 MADILWKMGAMLSSDHSSVVPINLFVALLCACIVIGHLLEEQRWMNESITALAVGLCTGVVILLTTGGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFMTIILFGAVGTLISTAIISIGATHFFKKMNISSLRIGDYLAIGAIFSATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFHAIQSIDLSHINSSIAAEFLGNFLYLFISSTILGVLTGLLSAYIIKKLYFGRHSTDREVALMILMAYLSYMLAELFYLSAILTVFFCGIVMSHYTWHNVTESSRITTKHAFATWSFVAEIFIFLYVGMDALDIEKWKVVSDSPGTSVMVSSILLGLVLVGRAAFVFPLSFLSNLTKKFPYEKIDIKQQVTIWWAGLMRGAVSMALAYNQFTRSGHTHLHGNAIMITSTITVVLFSTVVFGLMTKPLVRILLPSQKHTASMLSSEPSSPKSFAVPLLNRQDSEDNQASHNVPRRTSLRMLLSTPSHTVHHYWRKFDNSFMRPVFGGRGFVPFVPCSPTDQSVHQWQ >Potri.001G266504.1.v4.1 pep chromosome:Pop_tri_v4:1:28148908:28149348:-1 gene:Potri.001G266504.v4.1 transcript:Potri.001G266504.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G266504.v4.1 MISRGCRWRVGLGNLIHVWNDAWIKDDSCRVVETPVIEGLENMRVSDLMIPKERRWDWNLLEALFLPRDVLAISSIPLSASVLKGKRIWHFSKNGMYTAKSGYRVALETEKPELRDRGGNYGMILSYLLKSSSFYGGHALRVFLRG >Potri.010G140100.5.v4.1 pep chromosome:Pop_tri_v4:10:15372517:15379555:-1 gene:Potri.010G140100.v4.1 transcript:Potri.010G140100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140100.v4.1 MAKTSKRSRSSSSSNTKSKKKKKNSRTAPNSVAMKASAASKDNKNSSNPFETIWSRRKFDILGKKRKGEELRIGLSRCRAIEKRKKTLLKEYEESGKSSVFLDKRIGEQNEQLGEFDKAIIRSQRERQLKNKKSKYNLSDGEEDDDFAIPNLGPLSGQDDFEDEILSDDDGDDADADRTSKKPAILRQLNAHGLPQDAVDGEENKPKTKKEVMQEVILKSKFFKAQKAKDKEENEQLMEELDKSFTSLVQSQALSSLTEPGKMNALKALVNKDIPNEHVKKDGLPVIQKPETFKQEQPDSYDKLVYEMAIDSRARPSDRTKTPEEIAQKERERLEQLEEDRKKRMLVADDSSDEENDDVEKLSAQRPRSISGDDLGDSFSLYEEPGTTKGWVDEILARKEADDSDNEDDDSSEESASANDDGDDEGSDEDDTDGDDDEHEKSTSLKDWEQSDDDNLGTDLEEDEEHGSHDGDDGEIEPISHKKSKKTEPVEPRKGDEKSLDGKKKKANREQHSTQPDIPHIIEAPKSFEEFCAILENCSNENVILVVDRIRKSNAIQLAAENRKKIQVFYGVLLQYFAVLANKKPLNIELLNFLVKPLMEMSVEIPYFSAICARQRILRTRAQFCEALKNTENSCWPSMKTLSLLRLWSMIFPCSDFRHVVMTPVILLMSEYLMRCPILSGRDIAIGSFLCTMVLSITKQSQKFCPEAIMFLRTLLMATTERKPSSYQESQFYHHMELKEIKPLLHIHDHVNEIRPLNFLMVMDMQEDTSFFSSDDFRVGVLVTMVETLQGFVDIYKELSSFPEIFLPISMLLLEVAQQENMPATLQDKFKDVAELINKKANKHHMMRKPLQMQKKKPVPIKLVAPKFEENFVKGRDYDPDRERAERRKLKKLVKREAKGAARELRKDNSFIFEVKEKDKALLEDERAENYGKARAFLQEQEHAFKSGQLGKGKGRKRRR >Potri.010G140100.1.v4.1 pep chromosome:Pop_tri_v4:10:15372497:15379590:-1 gene:Potri.010G140100.v4.1 transcript:Potri.010G140100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140100.v4.1 MAKTSKRSRSSSSSNTKSKKKKKNSRTAPNSVAMKASAASKDNKNSSNPFETIWSRRKFDILGKKRKGEELRIGLSRCRAIEKRKKTLLKEYEESGKSSVFLDKRIGEQNEQLGEFDKAIIRSQRERQLKNKKSKYNLSDGEEDDDFAIPNLGPLSGQDDFEDEILSDDDGDDADADRTSKKPAILRQLNAHGLPQDAVDGEENKPKTKKEVMQEVILKSKFFKAQKAKDKEENEQLMEELDKSFTSLVQSQALSSLTEPGKMNALKALVNKDIPNEHVKKDGLPVIQKPETFKQQEQPDSYDKLVYEMAIDSRARPSDRTKTPEEIAQKERERLEQLEEDRKKRMLVADDSSDEENDDVEKLSAQRPRSISGDDLGDSFSLYEEPGTTKGWVDEILARKEADDSDNEDDDSSEESASANDDGDDEGSDEDDTDGDDDEHEKSTSLKDWEQSDDDNLGTDLEEDEEHGSHDGDDGEIEPISHKKSKKTEPVEPRKGDEKSLDGKKKKANREQHSTQPDIPHIIEAPKSFEEFCAILENCSNENVILVVDRIRKSNAIQLAAENRKKIQVFYGVLLQYFAVLANKKPLNIELLNFLVKPLMEMSVEIPYFSAICARQRILRTRAQFCEALKNTENSCWPSMKTLSLLRLWSMIFPCSDFRHVVMTPVILLMSEYLMRCPILSGRDIAIGSFLCTMVLSITKQSQKFCPEAIMFLRTLLMATTERKPSSYQESQFYHHMELKEIKPLLHIHDHVNEIRPLNFLMVMDMQEDTSFFSSDDFRVGVLVTMVETLQGFVDIYKELSSFPEIFLPISMLLLEVAQQENMPATLQDKFKDVAELINKKANKHHMMRKPLQMQKKKPVPIKLVAPKFEENFVKGRDYDPDRERAERRKLKKLVKREAKGAARELRKDNSFIFEVKEKDKALLEDERAENYGKARAFLQEQEHAFKSGQLGKGKGRKRRR >Potri.010G140100.4.v4.1 pep chromosome:Pop_tri_v4:10:15372529:15379561:-1 gene:Potri.010G140100.v4.1 transcript:Potri.010G140100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140100.v4.1 MAKTSKRSRSSSSSNTKSKKKKKNSRTAPNSVAMKASAASKDNKNSSNPFETIWSRRKFDILGKKRKGEELRIGLSRCRAIEKRKKTLLKEYEESGKSSVFLDKRIGEQNEQLGEFDKAIIRSQRERQLKNKKSKYNLSDGEEDDDFAIPNLGPLSGQDDFEDEILSDDDGDDADADRTSKKPAILRQLNAHGLPQDAVDGEENKPKTKKEVMQEVILKSKFFKAQKAKDKEENEQLMEELDKSFTSLVQSQALSSLTEPGKMNALKALVNKDIPNEHVKKDGLPVIQKPETFKQEQPDSYDKLVYEMAIDSRARPSDRTKTPEEIAQKERERLEQLEEDRKKRMLVADDSSDEENDDVEKLSAQRPRSISGDDLGDSFSLYEEPGTTKGWVDEILARKEADDSDNEDDDSSEESASANDDGDDEGSDEDDTDGDDDEHEKSTSLKDWEQSDDDNLGTDLEEDEEHGSHDGDDGEIEPISHKKSKKTEPVEPRKGDEKSLDGKKKKANREQHSTQPDIPHIIEAPKSFEEFCAILENCSNENVILVVDRIRKSNAIQLAAENRKKIQVFYGVLLQYFAVLANKKPLNIELLNFLVKPLMEMSVEIPYFSAICARQRILRTRAQFCEALKNTAENSCWPSMKTLSLLRLWSMIFPCSDFRHVVMTPVILLMSEYLMRCPILSGRDIAIGSFLCTMVLSITKQSQKFCPEAIMFLRTLLMATTERKPSSYQESQFYHHMELKEIKPLLHIHDHVNEIRPLNFLMVMDMQEDTSFFSSDDFRVGVLVTMVETLQGFVDIYKELSSFPEIFLPISMLLLEVAQQENMPATLQDKFKDVAELINKKANKHHMMRKPLQMQKKKPVPIKLVAPKFEENFVKGRDYDPDRERAERRKLKKLVKREAKGAARELRKDNSFIFEVKEKDKALLEDERAENYGKARAFLQEQEHAFKSGQLGKGKGRKRRR >Potri.010G140100.3.v4.1 pep chromosome:Pop_tri_v4:10:15372497:15379590:-1 gene:Potri.010G140100.v4.1 transcript:Potri.010G140100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G140100.v4.1 MAKTSKRSRSSSSSNTKSKKKKKNSRTAPNSVAMKASAASKDNKNSSNPFETIWSRRKFDILGKKRKGEELRIGLSRCRAIEKRKKTLLKEYEESGKSSVFLDKRIGEQNEQLGEFDKAIIRSQRERQLKNKKSKYNLSDGEEDDDFAIPNLGPLSGQDDFEDEILSDDDGDDADADRTSKKPAILRQLNAHGLPQDAVDGEENKPKTKKEVMQEVILKSKFFKAQKAKDKEENEQLMEELDKSFTSLVQSQALSSLTEPGKMNALKALVNKDIPNEHVKKDGLPVIQKPETFKQQEQPDSYDKLVYEMAIDSRARPSDRTKTPEEIAQKERERLEQLEEDRKKRMLVADDSSDEENDDVEKLSAQRPRSISGDDLGDSFSLYEEPGTTKGWVDEILARKEADDSDNEDDDSSEESASANDDGDDEGSDEDDTDGDDDEHEKSTSLKDWEQSDDDNLGTDLEEDEEHGSHDGDDGEIEPISHKKSKKTEPVEPRKGDEKSLDGKKKKANREQHSTQPDIPHIIEAPKSFEEFCAILENCSNENVILVVDRIRKSNAIQLAAENRKKIQVFYGVLLQYFAVLANKKPLNIELLNFLVKPLMEMSVEIPYFSAICARQRILRTRAQFCEALKNTAENSCWPSMKTLSLLRLWSMIFPCSDFRHVVMTPVILLMSEYLMRCPILSGRDIAIGSFLCTMVLSITKQSQKFCPEAIMFLRTLLMATTERKPSSYQESQFYHHMELKEIKPLLHIHDHVNEIRPLNFLMVMDMQEDTSFFSSDDFRVGVLVTMVETLQGFVDIYKELSSFPEIFLPISMLLLEVAQQENMPATLQDKFKDVAELINKKANKHHMMRKPLQMQKKKPVPIKLVAPKFEENFVKGRDYDPDRERAERRKLKKLVKREAKGAARELRKDNSFIFEVKEKDKALLEDERAENYGKARAFLQEQEHAFKSGQLGKGKGRKRRR >Potri.016G039700.2.v4.1 pep chromosome:Pop_tri_v4:16:2439275:2441115:1 gene:Potri.016G039700.v4.1 transcript:Potri.016G039700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039700.v4.1 MSETVNNDARSASSEPHWLIEIMNAAEEDTSHDDNQRKGPDFPKVPPTLRDIQQNSDCYDPSVVSIGPYHHGKKKLEEMEKLKETYARQFVKDKDKPNEQIYGKVEAVLSIARNSYPEDVTYNLNDEKFNKMMFIDGCFILQFMHYLIGENEVLKMSDQQIFHVKRDLLLLENQLPFAVLHSLRRQRYGDNLSNSNEIINNFISLHNRSSSKPIPTWVRIALTSLELVMIPIIFPLSVIIACCCVCLFYKCLSYQWATHLLRGQSVSLDWSPPPPRGKSGTRDDEPQPAHPPPTRKEKSGTRDDERLPAHLLELLYYKSMYHYSKSNHKKAAKGSRGHCLYYSAKNLKKAGILFRARWTGAITDVKFKSSIFWGTLKVPPIIIEESTKSLLLNLVAYETSAALDQLWVSSYICFMDSLIDDAKDVEELRSNGIIINYFGADQKVANLFNDMGISMTHDTAAYNDIKMEINEQCESTVKRWVYEWKRTYFSNPWTIITVLAASFGLALTATQTYYTRYPPK >Potri.014G026000.1.v4.1 pep chromosome:Pop_tri_v4:14:1611322:1613205:-1 gene:Potri.014G026000.v4.1 transcript:Potri.014G026000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G026000.v4.1 MEGLESFDKAAWTKEMVHIFCDICIKAIDMGMRPNTHFDKTGWKFLITSFKEQTGHAFTKTQLKNKWDGCKKDWRIWNKLVSETGVGWNNELGTISASDEWWKQKIQEIRGAKKFRHVGIEQSLKNKFDRMYSNIVATRAYAWAPSSGVPADSDVDPGTSNADIAHDGLEEGSGDSEEDVIPDFQTDMARMVGGINMSSSSNTKSGDKRKERDHYDVRGRKKKTSGIQLLSRCNQLLESISTKSDSTSINLDREGCSIREVMAELHSIPGVSIEDEFHDFATEYLSLRRKREMWASMGDKEQKLRWLQRMYARTKRA >Potri.003G066101.1.v4.1 pep chromosome:Pop_tri_v4:3:9307088:9307549:1 gene:Potri.003G066101.v4.1 transcript:Potri.003G066101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G066101.v4.1 MKVEILHFSAQGIHVQITSLVHQYSFTATFIYGFNTITARRALWEDLRKWGTESPWLLLGDFNYILSQDDKHNGEPVSNYEISDFRECCSDLGIADLNSMGCHFTWTNGTVWTKIDRVIVNTHWSTLQQIDHVHFGTLGAFLDHSPTTIQLGF >Potri.014G184801.1.v4.1 pep chromosome:Pop_tri_v4:14:14982024:14983585:-1 gene:Potri.014G184801.v4.1 transcript:Potri.014G184801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184801.v4.1 MKTGAVDEFPLQGTFFPENPAITACQSLLDKNRDSWKMLNLSKNFYLPPLNDEVLRHAIFGGENGPVSAVKGTDYAFGFQFGVSDYDDSQNDTKLLEVLFPFPTVLPSFQDDKRMSELLPFQKNSTLISRVLSWFQSVEPRTTPLPVAIIQECLTFYIKKQVDYIGGLILSKLMNEWRLMDELAVLRAIYLLGSGDLLQHFLTVIFGKLDKGETWDDDFELNTILQESIRNSADGTLLSAPDSLVVSITKNHGFDSDELPNTPTLSSTPR >Potri.018G041600.1.v4.1 pep chromosome:Pop_tri_v4:18:3283928:3287111:-1 gene:Potri.018G041600.v4.1 transcript:Potri.018G041600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G041600.v4.1 MITEPLLSSPKGGIRALFFIIANEALERLASFGLSTNMILYLTREYGMDAASGAQILFLYSAAGNFMPIIGAFLADTYVGRYPMIGFGCIASLLGMVLLWLTTIIPGARVPSCAQFSSNCTNDATTPQLLFLYLCLGLMSIGAGGIRSCSLAFGADQLSKRDSLKHAGILESFFSWYYVTSSASVFISMTCIVYIQDTMGWKVGFGVPVVLMILSTLSFFLASPIYVKPKVKASWLIGFARVLVASYRKRRIELSWLDTDELYHHRKGSALVVPSERIRFLNKACVIKNPEEDLMPDGRASDPWTLCTVDQVEELKALIKVIPIWSTGVLVSVNVCQNSFLLLQASTMNRHITSKFEIPAGSFYAFLLLSATMWIALYDRVIIPLASKVRGKPTRLGLKQKIGIGILVSAASMAVLAIIERVRRETAIREGISDIPDAVTHMSAMWLLPFYFLLGFSEAMNGVGLNEFFYTELPKSMSSVASNLFSIGLSAASLVASFIVSNVRGFISEANQESWVSSNINKGHYDYYYWLLYSLGFANFIYYLACSKAYGPCKGGQRGITGDAREGLIDDDDDDDDDYDDDVV >Potri.014G085700.1.v4.1 pep chromosome:Pop_tri_v4:14:5519736:5526669:1 gene:Potri.014G085700.v4.1 transcript:Potri.014G085700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085700.v4.1 MAIGGFISNRNFGSFIGSGKVCPTGRAISRHRGERSGIASARYAQGSIFYESLHCQMANGFTSGSSCSSFLQVAGHLDEKILKPLATYPQQRRGRCKCCLSADPSLGSWLRPNKGKCQHFNHVKAIRTRTYYKSEEYDITEPAAVDSMKAAEGSSEVVLASSWWEQVPKRWVIVLLCFTAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLLTQIVGGIWADRIGGKVVLGFGVVWWSIATILTPIAARIGLPFLLITRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAVSPMLIHKFGWASVFYSFGSLGSIWFALWIKKAYSSPKEDPELSPQEKKLILGGNVAKEPVSVIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSRGLSITTVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVALYIIGTVVWNLFSTGEKILD >Potri.014G085700.3.v4.1 pep chromosome:Pop_tri_v4:14:5520934:5526568:1 gene:Potri.014G085700.v4.1 transcript:Potri.014G085700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085700.v4.1 MANGFTSGSSCSSFLQVAGHLDEKILKPLATYPQQRRGRCKCCLSADPSLGSWLRPNKGKCQHFNHVKAIRTRTYYKSEEYDITEPAAVDSMKAAEGSSEVVLASSWWEQVPKRWVIVLLCFTAFLLCNMDRVNMSIAILPMSQEFNWNSATVGLIQSSFFWGYLLTQIVGGIWADRIGGKVVLGFGVVWWSIATILTPIAARIGLPFLLITRAFMGIGEGVAMPAMNNILSKWIPVSERSRSLALVYSGMYLGSVTGLAVSPMLIHKFGWASVFYSFGSLGSIWFALWIKKAYSSPKEDPELSPQEKKLILGGNVAKEPVSVIPWKLILSKAPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSRGLSITTVRKIMQSIGFLGPAFFLTQLSHVRTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVALYIIGTVVWNLFSTGEKILD >Potri.002G148300.4.v4.1 pep chromosome:Pop_tri_v4:2:11158234:11161252:-1 gene:Potri.002G148300.v4.1 transcript:Potri.002G148300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G148300.v4.1 MTKQQARFEPYDFNGGTCVAIAGADYCVVAADTRMSTGYNILTRDYSKIYKLADKCLMASSGFQADVRALQKVLGAKHLIYQHQHNKQMSCPAMARLLSNTLYYKRFFPYYTFNVLVGFDEEGKGCVYTYDAVGSYEKVGYSAQGSGAKLIMPVLDNQLKSPSPLLLPAQDAVTPLSEAEAIDVVKDVFASATERDIYTGDKLEIVILNADGMRREYAELRKD >Potri.001G056400.2.v4.1 pep chromosome:Pop_tri_v4:1:4245160:4245693:-1 gene:Potri.001G056400.v4.1 transcript:Potri.001G056400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G056400.v4.1 MEKTQLILLIFASMRNRPEPHRTVSLVPQRVLALESVLHSLAAYLILTPDAMGMIGESYPFFRGNYYMTIIGEENDTIREFAICKESKVIPMPETWLNLRIKGSQHSQFLRRKCKHIPKGLFSYPAIVNETRYSMHWISEAHRNSWRALIDATGMVFGEDRLALAHHRPDFVLCTLA >Potri.012G070300.2.v4.1 pep chromosome:Pop_tri_v4:12:9254499:9258242:-1 gene:Potri.012G070300.v4.1 transcript:Potri.012G070300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G070300.v4.1 MGGCPGLYFDIGKKARDVLYKDYAQQPPTYFNYQCFKWNFDLSCETQEILPGLTTVFRFTIPDSSNVEVRFMQNYFGITSGVGVKAYQQGSFKGNGYNPIVNFSGVIGSTLFSLGTDISFDISSKTFDQFTAGLSFNSPFLITSLTLDDKLDTLKASCYRELNPLTRTAIAAELKHSSLLNGSTTLTIGAQHALFPFTLIKARANTEAKINTLIRLELWEKVLLSMNGEVDCRATNKISKIGLSVALRA >Potri.006G004400.1.v4.1 pep chromosome:Pop_tri_v4:6:334345:338698:-1 gene:Potri.006G004400.v4.1 transcript:Potri.006G004400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004400.v4.1 MASSDSIKPRDVCIVGVARTPMGGFLGSLSSFSATKLGSIAIQCALQRANIDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTINKVCASGMKATMLAAQTIQLGINDVVVAGGMESMSNAPKYLADARKGSRLGHDTIVDGMMKDGLWDIYNDFGMGVCAEICADQHSITRDDQDSYAIQSFERGIAAQNSGHLSWEVVPVEVSGGRGKPFTIVDKDDGLGKFDAAKLRKLRPSFKENGGSVTAGNASSISDGAAALVLMSGEKALKLGLQVIAKIRGYADAAQAPELFTTAPALAIPKAISNAGLEASQIDFYEINEAFSVVALANQKLLGLNPQKVNAHGGAVSLGHPLGCSGARILVTLLGVLKHKNGKYGVGGICNGGGGASALVLELMQVARVGPSSL >Potri.006G004400.2.v4.1 pep chromosome:Pop_tri_v4:6:334345:338712:-1 gene:Potri.006G004400.v4.1 transcript:Potri.006G004400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G004400.v4.1 MASSDSIKPRDVCIVGVARTPMGGFLGSLSSFSATKLGSIAIQCALQRANIDPSLVQEVFFGNVLSANLGQAPARQAALGAGIPNSVICTTINKVCASGMKATMLAAQTIQLGINDVVVAGGMESMSNAPKYLADARKGSRLGHDTIVDGMMKDGLWDIYNDFGMGVCAEICADQHSITRDDQDSYAIQSFERGIAAQNSGHLSWEVVPVEVSGGRGKPFTIVDKDDGLGKFDAAKLRKLRPSFKENGGSVTAGNASSISDGAAALVLMSGEKALKLGLQVIAKIRGYADAAQAPELFTTAPALAIPKAISNAGLEASQIDFYEINEAFSVVALANQKLLGLNPQKVNAHGGAVSLGHPLGCSGARILVTLLGVLKHKNGKYGVGGICNGGGGASALVLELM >Potri.004G140400.3.v4.1 pep chromosome:Pop_tri_v4:4:16287694:16289588:-1 gene:Potri.004G140400.v4.1 transcript:Potri.004G140400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G140400.v4.1 MANSNPSASTLPSDSSEQRGIHMADSNMQAIQPCVSHQIRPSLDGPVAILWDIENCRVPSDVRPEDVAGNIRMALRVHPVIKGAVMMFSAYGDFNSFSRRLREGCQRTGVKLVDVPNGRKDAADKAILVDMFLFALDNPPPSSIMLISGDVDFSPALHILGQRGYTVILVIPSGVGVSSALCNAGKFVWDWPSVARGEGFIPPSKTLLPSHAGPADIAGYFMGCRINDNHDGQNEEEAIVYWGRSQSYYNSRDLSIMSQSLSEYNSSSLMTVPCHPTSSRSQSLPSGLNEVSACPTSYDEYYSTMWVQPGDINGLKAQLVKLLELSGGCLPLTRVPPEYQKMYGRPLYVSEYGALKLVSLFKKMGDAMAIDGKGHKKFVYLKNWKAGPSAPPIILARRGKTGKGLQEESLDAATGGGSSDEVSDEERVMVEEHEVGRNQGKANLGAAARYEVDDPNLELFKFELQEILVSYSCQIFLDCFESIYQQRYKKPLDYQRFGVDELEQLFDKVRDVVVLHEEPVSKKKFLAAIGG >Potri.015G023700.1.v4.1 pep chromosome:Pop_tri_v4:15:1755870:1762112:-1 gene:Potri.015G023700.v4.1 transcript:Potri.015G023700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G023700.v4.1 MLGGSKGFNTNRASSSMVSGSRISTLLLSMFATFASIYVSGRLWQESQNRVYLIKELDRITGQGQSAISVDDTLKIIACREQQKKLSALETELAAAKQGGFTSKLLTENDGAHAKKRHLVVIGIMTRFGNKNNRDAVRKAWMGTGAMLKKMENEKGIVARFVIGKSANPGDNLDRGIDNENRQSNDFIILDDLVEGTEDLPKKARLFFAYAADKWDAEFYAKVNDNIYVTIDALGTALAAHFDKPRAYIGCMKSGQVFSEPSHKWYEPDWWKFGDKKSYFRHASGEMYVISRALAKFVSINRSILRTYAHDDVSAGSWFLGLNVLHVDEGKFCCSSWSSGAICSGV >Potri.005G125700.2.v4.1 pep chromosome:Pop_tri_v4:5:9375369:9378831:1 gene:Potri.005G125700.v4.1 transcript:Potri.005G125700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125700.v4.1 MPSGVLLKLNFGLRRAIVGRNSIPLVSKTSQFSSRRSLVRSSMAASSSKAVFGDVHIDDMIATCGNGLEFSKPSAVFFSDRSRSSCLKSSVKMRNRELPNSRLVCGNFMLDAMRGNGKANNLVVGTLLKNLYSSSSVCFSAGAAQDVSFDGNSRKEQVVDSTVVSGQNLKLLSGSCYLPHPDKEETGGEDAHFICEDEQAIGVADGVGGWADVGVNAGEFSRELMSHSVSAIQEEPNGSFDPARVLEKAHAKTKAQGSSTACIITLNSEGIRAINLGDSGFMVVRDGCTIFRSPVQQHGFNFTYQLESGNGGDLPSSGQVFTVPVAPGDVIIAGTDGLFDNLYNNEVAAVVVHAIRTGLGPEAAAQKIAALARQRAVDRNQQTPFSTAAQDAGYRYYGGKLDDITVVVSYITNSANM >Potri.005G125700.1.v4.1 pep chromosome:Pop_tri_v4:5:9375398:9378932:1 gene:Potri.005G125700.v4.1 transcript:Potri.005G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G125700.v4.1 MPSGVLLKLNFGLRRAIVGRNSIPLVSKTSQFSSRRSLVRSSMAASSSKAVFGDVHIDDMIATCGNGLEFSKPSAVFFSDRSRSSCLKSSVKMRNRELPNSRLVCGNFMLDAMRGNGKANNLVVGTLLKNLYSSSSVCFSAGAAQDVSFDGNSRKEQVVDSTVVSGQNTVDDRNLKLLSGSCYLPHPDKEETGGEDAHFICEDEQAIGVADGVGGWADVGVNAGEFSRELMSHSVSAIQEEPNGSFDPARVLEKAHAKTKAQGSSTACIITLNSEGIRAINLGDSGFMVVRDGCTIFRSPVQQHGFNFTYQLESGNGGDLPSSGQVFTVPVAPGDVIIAGTDGLFDNLYNNEVAAVVVHAIRTGLGPEAAAQKIAALARQRAVDRNQQTPFSTAAQDAGYRYYGGKLDDITVVVSYITNSANM >Potri.015G100300.1.v4.1 pep chromosome:Pop_tri_v4:15:12004594:12007308:1 gene:Potri.015G100300.v4.1 transcript:Potri.015G100300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G100300.v4.1 MDYHDDDAYAKLLRRVNSPRVVVDNDACEHATVIQVDTVKRQGALLEVVQVLTDLNLVMTKAYMSSDGVWFMNVFYVTDDHGNKIRDEDIVNCIEKALETDACMVKSTGKMLPSKEHTLIELTGTDRPGLLSEVCAVLTDLRCNVVNAEIWAHNGRAAAVIHIADQSTGTAIEDPRKLSLIKELLYNVLKGHGDFRTPIVSISSPGEIHIGRRLHQMMFAARDFERPGSENDNSVRPYVTVFDCPDRDYTVVTATSIDRPKLVFDTVCTLTDMQYLVFHGTVITDSKKAYQEYYIRHVDGFPTSSEAERQRVIECIQAAIERRASEGLPLELFTDDHFGLLSYITRILRENGLWPKSAEISTRNGKAKHSYIVTDVSGNPVDPKTILLIHQQMGQTVLQVKGNLSMPPKFRETPRSFLFGALFRCPSFQNFGLN >Potri.014G068900.1.v4.1 pep chromosome:Pop_tri_v4:14:4359401:4360136:1 gene:Potri.014G068900.v4.1 transcript:Potri.014G068900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G068900.v4.1 MKLVWTPDTALKAYVCTIKTCENFIESSVAELLSAMAAGWNAKLIVESWSKGGPIATSIGLAVAAHHTCGRHVCVVPDEGSRSEYVKTMHVAGMLETEVLVGEVEEVMAGLVGVDFLVVDCKRRDFLRFLRLAKLSPKGAVLACKNAFQKSAAGFRWHGALARGTRVVKTVFLPVGQGLDMAHIGSHSGSESSKRGPSRWIRHIDQKSGEEHVFRG >Potri.004G128840.1.v4.1 pep chromosome:Pop_tri_v4:4:14052680:14053246:1 gene:Potri.004G128840.v4.1 transcript:Potri.004G128840.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128840.v4.1 MSDHSPMVVKVIGNDQNIKKPFRFFDMWMDHDEFMPLVKKVWDQNSGGCPMYQLCCKLRKLKQELKLFNMAHFSNISDRVKDAKNEMDKAQQALHTAHENPILCMRERDAVHKYASTVRAEESFFKQKARIQWLSLGDQNTSYFHKSVNGRHNRNKLLSLTREDGEVVEGHEAVKIRSNCILPSCVRS >Potri.013G000600.1.v4.1 pep chromosome:Pop_tri_v4:13:45020:50780:1 gene:Potri.013G000600.v4.1 transcript:Potri.013G000600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G000600.v4.1 MAISSTMKKKKEKEEYWKRKELVFLVLYAIAFYAFIIHRSLQLSLDHESELYALRPGWLLPPRLNDVSDAQWRNFRANLPILSLVFALFALLANSLRALFSLKAKGMSFVWLLISLAYLSYLHGACVLFILSIASLNFLLVKMFAQTKYFSPVLWLFNVFFLLCNRVYEGYSFSIFGQQWAYLDNYRGTFRWHICFNFVILRMISFGYDYHWAHQDPLFDQQKHIQRCHTCKSGKTCYRLLQEGSVQKEKFSLSIYLAYLVYAPVYIAGPIISFNAFVSQLDTPQNNYTVRDMSWYGLRWLFSFSLMELVTHLFRYNAFAISRLWKLLSPMDIFIIGYGVLNFMWLKFSLIWRYFRFWSLICGIEAPENMPRCINNCCNLESFWKNWHASYNKWLVRYMYIPLGGSQRKLLNIWVIFTFVAIWHDLEWKLLSWAWLTCLFFIPEMVVKSAANTLQVEGAFGEFLFREISAAGGAITITCLMVANLVGYVIGPSGFNWLFAQFLSRQGLPVMGGMFITFYVGTKLMFHIDDAKQRKH >Potri.002G155402.1.v4.1 pep chromosome:Pop_tri_v4:2:11873969:11875098:-1 gene:Potri.002G155402.v4.1 transcript:Potri.002G155402.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G155402.v4.1 MENCETKPVNPISTPPFVEIISRLTLIHDPSTVHAGKLKMCKMLQELIHSLFKGKERGNVVSIQFHHVLTRSVSSPLLHAIEVACLIFFSSSSFFLFIT >Potri.014G132600.2.v4.1 pep chromosome:Pop_tri_v4:14:8867253:8868037:-1 gene:Potri.014G132600.v4.1 transcript:Potri.014G132600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132600.v4.1 MKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALASLGFDDYSEPLKRYLYKYREVEGERASHNKASNNEEKDDSSKYRGESILKATVYPHTPLKLPGNIDRSNSLSRRF >Potri.014G132600.1.v4.1 pep chromosome:Pop_tri_v4:14:8867499:8868113:-1 gene:Potri.014G132600.v4.1 transcript:Potri.014G132600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G132600.v4.1 MVDSIGNNNSDKEWLKYDFAGGSTSDDGIIKEQDRLLPIANVGRIMKQILPPNAKISKEAKETMQECVSEFISFVTGEASDKCHKEKRKTVNGDDICWALASLGFDDYSEPLKRYLYKYREVEGERASHNKASNNEEKDDSSKYRGESILKATVYPHTPLKLPGNIDRSNSLSRRF >Potri.004G107800.1.v4.1 pep chromosome:Pop_tri_v4:4:9523153:9524112:1 gene:Potri.004G107800.v4.1 transcript:Potri.004G107800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G107800.v4.1 MADNTQKMSYQAGETKGQAQEKASNLMDRADNAAQSAKESVQEAGQQVREKAQGAVEGVKNATGMNK >Potri.018G051500.6.v4.1 pep chromosome:Pop_tri_v4:18:5032789:5037171:-1 gene:Potri.018G051500.v4.1 transcript:Potri.018G051500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G051500.v4.1 MLMESPDKSSSSYQLQSPGWSSSTLPPSVTRLWRPATQRNLRNQWSKLASYRLQWVSSSSTGRSHATSLVNTYLSLRYIPSMELGVLSDMLDLRKKASSKLLKQQELYRRKLLSSYRDLVAVVTYMVNTSRSMRCYVKGTSSSPLVQFSCSSEDKNDAGDGGGIPVFAFWSISSFEQLADELVLMFISELSLKRALVVELFTISCEALEVNEFCWLNELYPGEFDDLRKCNLFSEDTCGPVPPRLMEGKSDMPPLKFNSQPNHENLQVYLTTWLEELNIDTHRVDEMFAILEEEMHVRLS >Potri.012G135200.2.v4.1 pep chromosome:Pop_tri_v4:12:14991519:14993803:1 gene:Potri.012G135200.v4.1 transcript:Potri.012G135200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G135200.v4.1 MDLWYSWEFIVIALAGFSMFRSFCHSEKAPYYTFVHEATSAPHVLYYDYIVIGGGTSGCPLAATLSQGASVLVLERGGSPYGNPKIMNIANFADSLSDTSPNSASQQFISDDGVYNARARVLGGESALNAGFYTHASVDYMRKAGWNPEFVDESYAWVENKVAFEPPMLQWQSAVRDGLIEVGVLPYNGFTYDHIHGTKVGGSIFDKYGHGHTAADLLEYAEPRNISVYLHATVHKILFTETGKPWPRPRAYGVVFEDSSGLKHWAYLGRSSKNEIIISAGALGSPQLLMLSGMADNPMNLLFIPSPLLVEVSLIQVVGITKFDSYIETASGLSFAYSWVHQFIRKYELHLNETGQPSAMTAEAMYRAIETLNSLVTETLQGGVILEKIIGPVSTGELKLRTTNPHDNPSVKFNYFKEPEDLNRCVQGMRTIIEVVNSRAFSKSDTKICRCKLSLT >Potri.001G116100.3.v4.1 pep chromosome:Pop_tri_v4:1:9434352:9436908:-1 gene:Potri.001G116100.v4.1 transcript:Potri.001G116100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G116100.v4.1 MGDLFVWLIAFFILIALLVIVIFQLMALADLEFDYINPYDSSSRINKVILPEYITEGVLCLFFLITGHWCMSLLCIPYLYYNVRLYTQRQHLVDVTEIFNMLNWEKKQRLFKLGYLVVLLFLSIFWMIFTALEDSDYD >Potri.011G062000.1.v4.1 pep chromosome:Pop_tri_v4:11:5122646:5126941:1 gene:Potri.011G062000.v4.1 transcript:Potri.011G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062000.v4.1 MEKACSKQYLLQHLLRLLFYLLIFIPNTSKALANPRASNNSAPAVIVFGDSTVDPGNNNYVKTVFKANFAPYGKDFANHVPTGRFSNGRLTPDFIASYIGIKESIPPYLDPTLSIKELMTGVSFASAGSGFDPLTPRVSNVIGIPKQLEYFKEYKRRLESAIGTKKTENHINKALFIVSAGTNDFVINYFTLPIRRKTYSVSGYQQFILQTATQFLQDLFEQGARRILFTALPPMGCLPVVITLFSNHAISERGCLDYFSSVGRQFNQLLQNELNRMQIRLANQGVRIYLTDAYSALTGMIQGQGRSAFDEVSRGCCGTGYLEASLLCNPKSFVCPDASKYVFWDSIHPTEQAYNNVFKSIRPIIDAIIRG >Potri.010G237900.2.v4.1 pep chromosome:Pop_tri_v4:10:21748500:21754786:-1 gene:Potri.010G237900.v4.1 transcript:Potri.010G237900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G237900.v4.1 MAGKPWVRMVTAQTPTNIAVIKYWGKRDETLILPVNDSISVTLDPAHLCTTTTVAVSPSFDQDRMWLNGKEISLSGGRYQNCLREIRAQACDAEDEEKGIKITKKDWEKLHVHVASYNNFPTAAGLASSAAGFACLVFALAKLMNAKEDNSELSAIARQGSGSACRSLFGGFVKWIMGKAEDGSDSLAVQLVDEKHWDELVIIIAVVSSRQKETSSTTGMRDSVETSLLLQHRAKEVVPKRIKQMEEAIKNRDFGSFAQLTCADSNQFHAVCLDTCPPIFYMNDTSHRIISCVEKWNRSEETPQVAYTFDAGPNAVLIAHNRKAATQLLQKLLFYFPPSSDADLNSYVIGDKSILKDAGIEDMKDVEALSPPPEIKNAQRSKGDVSYFICTKPGRGPVLLSDESQALLHPETGLPK >Potri.004G227000.3.v4.1 pep chromosome:Pop_tri_v4:4:23069737:23070257:1 gene:Potri.004G227000.v4.1 transcript:Potri.004G227000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227000.v4.1 MSPGRNSDASTKRGSISFRTYQRDGTSSGPFVELSLFSPSPDATPPESPPPLGWPMRPLVDPKTGQGTEFSQ >Potri.004G227000.2.v4.1 pep chromosome:Pop_tri_v4:4:23067250:23070167:1 gene:Potri.004G227000.v4.1 transcript:Potri.004G227000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227000.v4.1 MPLTSAAADALGAVTICLVAILILFGLVCIAYSFYFRSRVRSQGFSQLSYFSGPWIIRITIILFVIWWGVGEILRLSLLRHKGRVLDVLDYKWQETVCKCYIVSNLGFAEPCLLLTIIFLLRAPLQKMGSGALCRGWNGKTALCVLLYCLPMFVLQLAIILIGPQLRSRLKRLPHYFTITATHGMHNATSDIALCTYPLLNTILLGVFASALTVYLFWLGRRILKLVINKGLQKRVYTLIFSVSSFLPLRVLLLGLSVLSKPEHFLFEALAFSAFLALSCCAGVCICMLVYYPVADSLALGDLRDLEARRYAADETISLVANQSHLEESGMSPGRNSDASTKRGSISFRTYQRDGTSSGPFVELSLFSPSPDATPPESPPPLGWPMRPLVDPKTGQGTEFSQ >Potri.004G227000.1.v4.1 pep chromosome:Pop_tri_v4:4:23067374:23070255:1 gene:Potri.004G227000.v4.1 transcript:Potri.004G227000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G227000.v4.1 MPLTSAAADALGAVTICLVAILILFGLVCIAYSFYFRSRVRSQGFSQLSYFSGPWIIRITIILFVIWWGVGEILRLSLLRHKGRVLDVLDYKWQETVCKCYIVSNLGFAEPCLLLTIIFLLRAPLQKMGSGALCRGWNGKTALCVLLYCLPMFVLQLAIILIGPQLRSRLKRLPHYFTITATHGMHNATSDIALCTYPLLNTILLGVFASALTVYLFWLGRRILKLVINKGLQKRVYTLIFSVSSFLPLRVLLLGLSVLSKPEHFLFEALAFSAFLALSCCAGVCICMLVYYPVADSLALGDLRDLEARRYAADETISLVANQSHLEESGMSPGRNSDASTKRGSISFRTYQRDGTSSGPFVELSLFSPSPDATPPESPPPLGWPMRPLVDPKTGQGTEFSQ >Potri.001G235700.1.v4.1 pep chromosome:Pop_tri_v4:1:25414087:25417372:1 gene:Potri.001G235700.v4.1 transcript:Potri.001G235700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G235700.v4.1 MAAVSSRGGRNSEGVQIPRNRETSPERCRAAWSESNKLSRKVPVVYYLSRNGQLEHPHFMEVPLSSNDGGLYLRDVINRLDLLRGKGMAGLYSWSSKRSYKNGFVWHDLADNDFIHPAHGHEYVLKGSELLDHSNKQLLLETKPQETLQSSSNSQDSDFPVTSRRRNQSWGSIDLNEYKVYKAESPSESNRKLAADASTQTDDSRRRRRQAKPVVEGLQEEKKNSQELEVNGEEIIEISPPPSDSSPETLESLMKADGRVILGASGEGSGLNLSQTVGNCGRMKASTVLMQLISCGSISFRDCGATAVKEQGLSLITGHYKGRLPRGGNREGTPREISNRVKLEDKEYFSGSLIETKKVEVHALNLKRSNSYNADRSSQLHLAEKEIEGVRTKCIPRKSKAMATRKESNVNVVVDHNNNNNNNNNNGSQAGSRRLEVQQVEDVAR >Potri.005G023050.1.v4.1 pep chromosome:Pop_tri_v4:5:1468111:1469351:-1 gene:Potri.005G023050.v4.1 transcript:Potri.005G023050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023050.v4.1 MGSLHGLIPFCLVLVLVLSSNHLIAKAHKNSTNQIKVEADFKIIAELAGKNAIPNADFKGLAKLALTKAISNGNAIYHRVNSLLLKTSDMYTTRSLTGCSTNYKDAVGLINKSLAALDAKNYDDAKTCITDALANSTKCEDRFEELLQRNSPFTFMKAKFDLLCLSGLKHINLLVQKERLITEGCSQTLDKELCKSTVVFFLENKGLRLQGIAKLAVKKALQDGTRIHNHISVLLKTTSDQCVLKKLKSCSAFYLTAIEKIKESLPALDCNRYGDASTWVGAAIDSAETCEGVFAGKSNTISPLTPMKIEFSKQVSISLVVIKKLAGN >Potri.005G063900.1.v4.1 pep chromosome:Pop_tri_v4:5:4116766:4121292:1 gene:Potri.005G063900.v4.1 transcript:Potri.005G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G063900.v4.1 MSINFVSIIAKPKITITTNPFLVSSPLLFFSNKTKTKPSILTAFSSMSYDKELAAAKKAASLAARLCQKVQKAILQSDVQSKSDKSPVTVADYGSQALVSYVLQRELPSELFSLVAEEDSEDLRKDGAQETQERITKLVNDILASDGSYSDSILSTDDVVKAIDCGKSEGGSRGRHWVLDPIDGTKGFLRGDQYAIALALLDEGTVVLGVLACPNLPITSIAGGGSHHSLPGEVGCLFFSVAGGGTYMHSLDSSSAVKVQVSSIDNPEEASFFESYEAAHSMHDLSSSIAKKLGVKAPPVRIDSQAKYGALSRGDGVIYLRFPHKGYREKIWDHAAGYIVVAEAGGVVTDAAGNPLDFSKGRYLDLDTGIIVTNQKLMPSLLKAVRESIEEKISSL >Potri.018G125171.2.v4.1 pep chromosome:Pop_tri_v4:18:13686129:13694363:1 gene:Potri.018G125171.v4.1 transcript:Potri.018G125171.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G125171.v4.1 MDWKSTEQASMERHAPNHSSSTSSSIPATENTVWADASPLLETVCKELRDGELIHGENFNLYAAMSALEIMDPKMDSGIINKYASVDEAIEDGLAPVPISLDKTVDVQCIIDIIDQLLACEATWHKGHSLAQTVFSCAYLLRPERTLSHALLDSYCKVIRATCKAVTSIVSDARTHEEEDLFTMAYGLPLNVEGDEKCLSLLNAVEENISRQLRACKALPSKRKPLEDIEPLQNNFDLEEGYCKALLCRLRFRKHFCHVLTCMRRPQGRGLELARKHIASCISELGSILNSAEFLMSNAYGTCEDGTEDRTTASGRQAIGFDANLNSRISAPTPPRSIKILSWKKAIEYFEKLLHHLDIICSYLLDPSLDVLLRFVAQFQKAQPDLVARVHLQLLLVQDGKLYGRYPILAVIIRAARLPEVIMRHDIQKNEYVVQLGQIVINMLKVLCTNAAWQRRKLGKILQDWRAIYVQLELAFRKEFGEGSSISNGENASAGILKHILIWVEEQTYWIAHRFLVLGFELELYSPSEYCMVYWYLYVVLIRLAEKTHLKMTVSDGSAKQKGKKRKDSPKDLAREARIPPAISFLQCQICLAEGLALLLAALRNELMVLQSPSPFNSEHERFIQHFELLQKACIPDLISYPSFKESTSNARFSSLVMYNYFKDAQKIAKEVKSSFLNDPDRLAELCILEQVAEHNSVALNVISRVGALDPSLKVSFEFIHHPCFATVVVKRS >Potri.018G125171.1.v4.1 pep chromosome:Pop_tri_v4:18:13686067:13694797:1 gene:Potri.018G125171.v4.1 transcript:Potri.018G125171.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G125171.v4.1 MDWKSTEQASMERHAPNHSSSTSSSIPATENTVWADASPLLETVCKELRDGELIHGENFNLYAAMSALEIMDPKMDSGIINKYASVDEAIEDGLAPVPISLDKTVDVQCIIDIIDQLLACEATWHKGHSLAQTVFSCAYLLRPERTLSHALLDSYCKVIRATCKAVTSIVSDARTHEEEDLFTMAYGLPLNVEGDEKCLSLLNAVEENISRQLRACKALPSKRKPLEDIEPLQNNFDLEEGYCKALLCRLRFRKHFCHVLTCMRRPQGRGLELARKHIASCISELGSILNSAEFLMSNAYGTCEDGTEDRTTASGRQAIGFDANLNSRISAPTPPRSIKILSWKKAIEYFEKLLHHLDIICSYLLDPSLDVLLRFVAQFQKAQPDLVARVHLQLLLVQDGKLYGRYPILAVIIRAARLPEVIMRHDIQKNEYVVQLGQIVINMLKVLCTNAAWQRRKLGKILQDWRAIYVQLELAFRKEFGEGSSISNGENASAGILKHILIWVEEQTYWIAHRFLVLGFELELYSPSEYCMVYWYLYVVLIRLAEKTHLKMTVSDGSAKQKGKKRKDSPKDLAREARIPPAISFLQCQICLAEGLALLLAALRNELMVLQSPSPFNSEHERFIQHFELLQKACIPDLISYPSFKESTSNARFSSLVMYNYFKDAQKIAKEVKSSFLNDPDRLAELCILEQVAEHNSVALNVISRVGALDPSLKVSFEFIHHPCFATVVVKRS >Potri.008G012600.12.v4.1 pep chromosome:Pop_tri_v4:8:639422:651743:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MLTISRQERSHFMVQILVTADNSKLSEIHLVDLMEFYIYIYIIVDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.008G012600.2.v4.1 pep chromosome:Pop_tri_v4:8:639334:651600:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MLVPQTEFADGPQPMEVAQAETATAVDAQSVDDPPSARFTWTIDNFSRLNAKKLYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLTVINQLHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRKVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.008G012600.8.v4.1 pep chromosome:Pop_tri_v4:8:639334:651601:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MTLMTPPPLNQQEDDEMLVPQTEFADGPQPMEVAQAETATAVDAQSVDDPPSARFTWTIDNFSRLNAKKLYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLTVINQLHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRKVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.008G012600.13.v4.1 pep chromosome:Pop_tri_v4:8:642689:651606:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.008G012600.10.v4.1 pep chromosome:Pop_tri_v4:8:640306:651601:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MYLDVADSATLPYGWSRYAQFSLTVINQLHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRKVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.008G012600.7.v4.1 pep chromosome:Pop_tri_v4:8:639326:651833:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MTLMTPPPLNQQEDDEMLVPQTEFADGPQPMEVAQAETATAVDAQSVDDPPSARFTWTIDNFSRLNAKKLYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLTVINQLHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRKVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.008G012600.9.v4.1 pep chromosome:Pop_tri_v4:8:639326:651600:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MTLMTPPPLNQQEDDEMLVPQTEFADGPQPMEVAQAETATAVDAQSVDDPPSARFTWTIDNFSRLNAKKLYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLTVINQLHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRKVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.008G012600.11.v4.1 pep chromosome:Pop_tri_v4:8:641518:651600:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MPLGELYDPGRGYLVNDTCVVEADVAVRKVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.008G012600.4.v4.1 pep chromosome:Pop_tri_v4:8:639319:651600:1 gene:Potri.008G012600.v4.1 transcript:Potri.008G012600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G012600.v4.1 MTLMTPPPLNQQEDDEMLVPQTEFADGPQPMEAQAETATAVDAQSVDDPPSARFTWTIDNFSRLNAKKLYSDVFVVGGYKWRILIFPKGNNVDHLSMYLDVADSATLPYGWSRYAQFSLTVINQLHQKYSIRKDTQHQFNARESDWGFTSFMPLGELYDPGRGYLVNDTCVVEADVAVRKVIDYWSHDSKKETGYVGLKNQGATCYMNSLLQTLYHIPYFRKAVYHMPTTENDIPSGSIPLALQSLFYKLQYSDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVDYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEQHGLQDARKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPEADRSVRNLYTLHSVLVHSGGVHGGHYYAYIRPTLSDQWFKFDDERVTKEDIKRALEEQYGGEEELPQTNPGFNNSPFKFTKYSNAYMLVYIRESDKEKIICNVDEKDIAEHLRIRLKKEQEEKEQKRKEKAEAHLYTIIKVARSEDLLEQIGKDVYFDLVDHDKVRSFRIQKQITFNLFKEEVAKEFGIPVQLQRFWLWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKANNAELKLFLEAEIGQDLRPVPPPEKTKDDILLFFKLYDPSKEELRYVGRLFVKGSGKPLEILTKLNEIAGFAPDQEIELYEEIKFEPNVMCEHIDKRLTFRSSQLEDGDIVCYQKPPPMGSDEQCRYPDVPSFLEYMHNRQVVRFRSLEKSKEDEFCLELSKLHTYDDVAERVAHHLGLDDPSKIRLTSHNCYSQQPKPQPIKFRGVDHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKTLKVAFHHATKDEVVIHTIRLPKQSTVGDVINDLKAKVELSHPSAELRLLEVFYHKIYKIFPHNEKIENINDQYWTLRAEEVPEEEKNLAPHDRLIHVYHFMKDTTQNQVQVQNFGEPFFLVIHEGETLTEVKMRIQKKLQVPDEEFSKWKFAFLSLGRPEYLQDSDIVSSRFQRRDVYGAWEQYLGLEHSDNAPKRSYAANQNRHTFEKPVKIYN >Potri.010G233600.5.v4.1 pep chromosome:Pop_tri_v4:10:21471020:21482346:-1 gene:Potri.010G233600.v4.1 transcript:Potri.010G233600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233600.v4.1 MSASRRSTSLSDSSAEIERMESTGSWDDALDWFKLEHPASRSVSHHANYKCLLEAERVVVEGYGVVLINTDEAGTLIVTNFRLIFLSEGTENIIALGTIPLATIEKFSKMVVKSQSAPRQSEKTPSQRLLQVIGRDMRIIVFGFRPKTKQRRAIYDGLLRCTKPSRPWDLYAFNCGPSKFTNANPKVRLLNECFRLLGKGFCSASIDMIDKGSYTLSNELWRISNVNSDYIMSPSYPFALIVPKSISDEEVVHASSFRSKGRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRSNTDEKLVAALCSQLGGDKKGRRKLYIADARPRKNALANVAMGGGSESPSHYFQSEVVFFGIDNIHAMRESLSRLRDYLDTHGSTSSDGTLSLLRHGGWTWGGGNLSSMSASVATLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTSQLISLANLLLDPYYRTFTGFQALIEKDWLAFGHPFEERMGMPTVSGCSDKPLDLSRQSSVGSFPSSPMRQSSGSFAPQAPSSSHAQNQYSPIFLQWIDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFFCNSEKERQLVGVSESCGCLWAYLADLRSSEGRSHVHYNLFYSPLKHKGPLLPPAAALAPTLWPQFHLRWACPSEAQAGELEAQCRNMSLKFSELQKAKEGAEKKAKETTNAMESLSAELQNEKRLSSSAMALAKRASKESAAIKRAIQSLGCKVHFAGGGDTTVDIETNPMGITQESVFSHSKRESDGIVQHQYNSDLSISISAVADDVVSNNPLDRVCGAICPSRARDGGCRWPEAGCAQLCSQFIGVKANYDAIDSLSIYETYFDTVSTL >Potri.010G233600.1.v4.1 pep chromosome:Pop_tri_v4:10:21470507:21482374:-1 gene:Potri.010G233600.v4.1 transcript:Potri.010G233600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233600.v4.1 MSASRRSTSLSDSSAEIERMESTGSWDDALDWFKLEVQHPASRSVSHHANYKCLLEAERVVVEGYGVVLINTDEAGTLIVTNFRLIFLSEGTENIIALGTIPLATIEKFSKMVVKSQSAPRQSEKTPSQRLLQVIGRDMRIIVFGFRPKTKQRRAIYDGLLRCTKPSRPWDLYAFNCGPSKFTNANPKVRLLNECFRLLGKGFCSASIDMIDKGSYTLSNELWRISNVNSDYIMSPSYPFALIVPKSISDEEVVHASSFRSKGRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRSNTDEKLVAALCSQLGGDKKGRRKLYIADARPRKNALANVAMGGGSESPSHYFQSEVVFFGIDNIHAMRESLSRLRDYLDTHGSTSSDGTLSLLRHGGWTWGGGNLSSMSASVATLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTSQLISLANLLLDPYYRTFTGFQALIEKDWLAFGHPFEERMGMPTVSGCSDKPLDLSRQSSVGSFPSSPMRQSSGSFAPQAPSSSHAQNQYSPIFLQWIDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFFCNSEKERQLVGVSESCGCLWAYLADLRSSEGRSHVHYNLFYSPLKHKGPLLPPAAALAPTLWPQFHLRWACPSEAQAGELEAQCRNMSLKFSELQKAKEGAEKKAKETTNAMESLSAELQNEKRLSSSAMALAKRASKESAAIKRAIQSLGCKVHFAGGGDTTVDIETNPMGITQESVFSHSKRESDGIVQHQYNSDLSISISAVADDVVSNNPLDRVCGAICPSRARDGGCRWPEAGCAQLCSQFIGVKANYDAIDSLSIYETYFDTREEGK >Potri.010G233600.2.v4.1 pep chromosome:Pop_tri_v4:10:21470576:21482380:-1 gene:Potri.010G233600.v4.1 transcript:Potri.010G233600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G233600.v4.1 MSASRRSTSLSDSSAEIERMESTGSWDDALDWFKLEHPASRSVSHHANYKCLLEAERVVVEGYGVVLINTDEAGTLIVTNFRLIFLSEGTENIIALGTIPLATIEKFSKMVVKSQSAPRQSEKTPSQRLLQVIGRDMRIIVFGFRPKTKQRRAIYDGLLRCTKPSRPWDLYAFNCGPSKFTNANPKVRLLNECFRLLGKGFCSASIDMIDKGSYTLSNELWRISNVNSDYIMSPSYPFALIVPKSISDEEVVHASSFRSKGRLPVVSWCHPGTGAVLARSSQPLVGLMMNMRSNTDEKLVAALCSQLGGDKKGRRKLYIADARPRKNALANVAMGGGSESPSHYFQSEVVFFGIDNIHAMRESLSRLRDYLDTHGSTSSDGTLSLLRHGGWTWGGGNLSSMSASVATLGDSGWLIHVQSVLAGSAWIAARVALESASVLVHCSDGWDRTSQLISLANLLLDPYYRTFTGFQALIEKDWLAFGHPFEERMGMPTVSGCSDKPLDLSRQSSVGSFPSSPMRQSSGSFAPQAPSSSHAQNQYSPIFLQWIDCVSQLLRMYPFAFEFSSAFLVDLLDCVLSCRFGNFFCNSEKERQLVGVSESCGCLWAYLADLRSSEGRSHVHYNLFYSPLKHKGPLLPPAAALAPTLWPQFHLRWACPSEAQAGELEAQCRNMSLKFSELQKAKEGAEKKAKETTNAMESLSAELQNEKRLSSSAMALAKRASKESAAIKRAIQSLGCKVHFAGGGDTTVDIETNPMGITQESVFSHSKRESDGIVQHQYNSDLSISISAVADDVVSNNPLDRVCGAICPSRARDGGCRWPEAGCAQLCSQFIGVKANYDAIDSLSIYETYFDTREEGK >Potri.005G067600.1.v4.1 pep chromosome:Pop_tri_v4:5:4387855:4390142:1 gene:Potri.005G067600.v4.1 transcript:Potri.005G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G067600.v4.1 MKIKNPNAGALTNFEVLDFLRSRGASRDVSRVLAPVAASEYKVYDYLVETPACNLTREKINEFLERCKKYDLAKAELLNIINIRPSQTVEIYTIIEEMDSRFEMPIIEELVELVEEVLPPPPGQPKAEGGTDENEEETGEGEEDNDENEAADEEEPETS >Potri.018G087100.3.v4.1 pep chromosome:Pop_tri_v4:18:10505601:10511658:-1 gene:Potri.018G087100.v4.1 transcript:Potri.018G087100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087100.v4.1 MHASVFFSGLAFATYFSWRLSLVAFPTLLLLIIPGMIYGKYLLYLSKKARTEYGKANSIVERALSSIKTIYSFTAEKRIIDRYSAILDRTTKLGIKQGIAKGLAVGSTGLSFAIWAFLAWYGSHLVMYKGESGGRIYAAGISFILSGLSLGIALPDLKYFTEASVAATRIFKRIDRVPEIDSEDTKGRVLDKIQGQIVFQNVSFTYPCRPDAVVLKDFNLKVEAGKTVALVGASGSGKSTAIALLQRFYDVDSGIVKIDGVDLRTLNLKWIRGQMGLVSQDHALFGTSIKENIMFGKLDATMDEIMAAAMAANAHNFIRQLPEGYETKVGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTVRNADLIAVVDNGSIIEIGSHNDLINIQNGHYAKLAKLQRQFSCDEQEQNPEIRFSSVTSSAARQSTGKSSPTIFASPLPVDDSPKPVHIPAPSFSRLLSLNAPEWKQGLMGSISAITFGAVQPVYALTVGGMIAALFAPNHDEVRDRIRLYSLIFCSLSLFSIIINLVQHYNFAYMGERLTKRIRLRMLEKILGFETAWFDEEENSSGALCLRLSAEASMVKTLIADRVCLLVQTTSAVTIAMIMGLVVAWKLAIVMIAVQPLTILCFYTKKILLSSISTNFVKAQNRSTQIAVEAVYNHRIVTSFASVGKVLQLFDEAQEEPRKEGRKKSWLAGIGMGSAQCLTFMSWALDFWFGGTLVEKGEISAGDVFKTFFILVSTGKVIAEAGSMTSDLSKGSTAVASVFKILDRQSLIPGSYHAGDGSSGTKLEKLGGKIEMKKIDFAYPSRPETLILRQFCLEVKPGTSVGLVGKSGCGKSTVIGLIQRFYDVEKGSVRVDGVDIRELDIQWFRKRTALVSQEPVLYSGSIRENIMFGKLDASENEVVEAARAANAHEFISSLKEGYETECGERGVQLSGGQKQRIAIARAILRNPTILLLDEATSALDVQSEQVVQEALDRIMVRRTTIVVAHRLNTIKNLDSIAFVADGKVVERGTYAQLKNKRGAFFDLASLQT >Potri.018G087100.1.v4.1 pep chromosome:Pop_tri_v4:18:10505476:10512483:-1 gene:Potri.018G087100.v4.1 transcript:Potri.018G087100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G087100.v4.1 MNSPKKNERRGEERKSIANIFRYADWNDILLMLLGTVGAIGDGMSTNCLLVFASRIMNSLGYGQTRQDNYNFMVEVQKCSLDFVYLGLAVMVMAFMEGYCWSKTSERQVLKIRYKYLEAILRQEVGFYDSQEATTSEIINSISNDTSLVQEVLSEKVPIFLMHASVFFSGLAFATYFSWRLSLVAFPTLLLLIIPGMIYGKYLLYLSKKARTEYGKANSIVERALSSIKTIYSFTAEKRIIDRYSAILDRTTKLGIKQGIAKGLAVGSTGLSFAIWAFLAWYGSHLVMYKGESGGRIYAAGISFILSGLSLGIALPDLKYFTEASVAATRIFKRIDRVPEIDSEDTKGRVLDKIQGQIVFQNVSFTYPCRPDAVVLKDFNLKVEAGKTVALVGASGSGKSTAIALLQRFYDVDSGIVKIDGVDLRTLNLKWIRGQMGLVSQDHALFGTSIKENIMFGKLDATMDEIMAAAMAANAHNFIRQLPEGYETKVGERGALLSGGQKQRIAIARAIIKNPVILLLDEATSALDSESETLVQNALDQASMGRTTLVVAHKLSTVRNADLIAVVDNGSIIEIGSHNDLINIQNGHYAKLAKLQRQFSCDEQEQNPEIRFSSVTSSAARQSTGKSSPTIFASPLPVDDSPKPVHIPAPSFSRLLSLNAPEWKQGLMGSISAITFGAVQPVYALTVGGMIAALFAPNHDEVRDRIRLYSLIFCSLSLFSIIINLVQHYNFAYMGERLTKRIRLRMLEKILGFETAWFDEEENSSGALCLRLSAEASMVKTLIADRVCLLVQTTSAVTIAMIMGLVVAWKLAIVMIAVQPLTILCFYTKKILLSSISTNFVKAQNRSTQIAVEAVYNHRIVTSFASVGKVLQLFDEAQEEPRKEGRKKSWLAGIGMGSAQCLTFMSWALDFWFGGTLVEKGEISAGDVFKTFFILVSTGKVIAEAGSMTSDLSKGSTAVASVFKILDRQSLIPGSYHAGDGSSGTKLEKLGGKIEMKKIDFAYPSRPETLILRQFCLEVKPGTSVGLVGKSGCGKSTVIGLIQRFYDVEKGSVRVDGVDIRELDIQWFRKRTALVSQEPVLYSGSIRENIMFGKLDASENEVVEAARAANAHEFISSLKEGYETECGERGVQLSGGQKQRIAIARAILRNPTILLLDEATSALDVQSEQVVQEALDRIMVRRTTIVVAHRLNTIKNLDSIAFVADGKVVERGTYAQLKNKRGAFFDLASLQT >Potri.002G103400.1.v4.1 pep chromosome:Pop_tri_v4:2:7557012:7557388:-1 gene:Potri.002G103400.v4.1 transcript:Potri.002G103400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G103400.v4.1 MVCQAASQTNFRALKHENGIAGCATIIVRVIACFQPLQDCQAEYFRHLLKPVT >Potri.013G111200.1.v4.1 pep chromosome:Pop_tri_v4:13:11970964:11974901:1 gene:Potri.013G111200.v4.1 transcript:Potri.013G111200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111200.v4.1 MQLKPLSTYTITLMLFFTVIILFFSGFLEFPSITTSITSSIKDSNFPIKTAPDPFTDLFIAFKKWDSQVGCVQFREKYKNLDSFGSKGSNGSVSVQVVNGDVGCSELKMQHVSVLVKGWTWIPSNLDNMYSCRCGLSCLWTKSSVLADKPDALLFETAPPPLQRRNGDPLRVYMDLEAGRKRSGHEDLFISYHAEDDVQSTYAGALFHNGRNYHVSRRKDKDTLVYWSSSRCLADRNQLAKSLLSLLPHHSFGKCLNNVGGLDMALSFYPECANDASVKPKWWDHLHCAMSHYKFVLAIENTWTESYVTEKLFYALDSGAVPIYFGAPNVLDFIPPHSIIDGTKFSSKEELASYLKNLANDPVAYAEYHAWRRCGVLGNYGKTRAASLDTLPCRLCEAVSRKGGRDARA >Potri.001G310400.1.v4.1 pep chromosome:Pop_tri_v4:1:32083846:32084799:-1 gene:Potri.001G310400.v4.1 transcript:Potri.001G310400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G310400.v4.1 MAANKSMVFLMLITFLVASTKAQYSPSSSPASSPTKSPPVATPPPKASAPAPTTVKPPASAPSPLETPPPAANAPSPTTTTSPPSPLPVTPVPSTGDVPTSTIGSPAVAPAPANGAVLNRFALGGSVAVGVLAAVLVL >Potri.007G014300.1.v4.1 pep chromosome:Pop_tri_v4:7:1061117:1062794:1 gene:Potri.007G014300.v4.1 transcript:Potri.007G014300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014300.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.007G014300.4.v4.1 pep chromosome:Pop_tri_v4:7:1061117:1062565:1 gene:Potri.007G014300.v4.1 transcript:Potri.007G014300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G014300.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.010G088100.3.v4.1 pep chromosome:Pop_tri_v4:10:11350072:11354730:1 gene:Potri.010G088100.v4.1 transcript:Potri.010G088100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088100.v4.1 MEHQPTIMVTNDDGIDAPGLRALVQVLVSTRRFQVLVCAPDSEKSAMSHSIKWPDPIAARRVEIEGATAYAIAGTPADCTSLGVSKSLFPKIPDLVISGINMGSNCGYNIVYSGTVAGAREAFFNDIPAISVSYNWFRRQGKVENLTPSAEACIPIITAVLVEIKNKTYPLRCFLNIGLPTDVANNKGYKLTKQGKSIYEMAWSQVTSDMQGGKMLSTMTMDTDSTAPIETGALNLSQDHLLFKREVLGVKLNEGDIDDADFKFLRQGYITVTPLGALSHAEIGCHSYFKDWLPSVGEHPSESSL >Potri.010G088100.1.v4.1 pep chromosome:Pop_tri_v4:10:11350255:11353959:1 gene:Potri.010G088100.v4.1 transcript:Potri.010G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G088100.v4.1 MEHQPTIMVTNDDGIDAPGLRALVQVLVSTRRFQVLVCAPDSEKSAMSHSIKWPDPIAARRVEIEGATAYAIAGTPADCTSLGVSKSLFPKIPDLVISGINMGSNCGYNIVYSGTVAGAREAFFNDIPAISVSYNWFRRQGKVENLTPSAEACIPIITAVLVEIKNKTYPLRCFLNIGLPTDVANNKGYKLTKQGKSIYEMAWSQVTSDMQGGKMLSTMTMDTDSTAPIETGALNLSQDHLLFKREVLGVKLNEGDIDDADFKFLRQGYITVTPLGALSHAEIGCHSYFKDWLPSVGEHPSESSL >Potri.015G040700.1.v4.1 pep chromosome:Pop_tri_v4:15:3691706:3698165:1 gene:Potri.015G040700.v4.1 transcript:Potri.015G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040700.v4.1 MEGVRKHYGILLASLAIIAAALPCCSSQTTRGFQFNVEWKKVTRLLTPSNGDYAVFMPNHNCFMKLRTGWADGPAYVTQCPIRGGQSYTYKFTVTGQRGTLLWHAHYAWQRASVYGAFIIYPRIQYPFSHRIQAEIPIIFGEWWNGDPDEVEKTMLLTGGGPDSSNAYTINGLPGPLYPCSNQDTFIQTVEYGKTYLLRIINAALTNELFFAIAKHTLTVVEVDAVYTKPFATTSIMITPGQTTTVLMTANKVPDFTGMFVMAARPYLTSVFPSNNSTTIGFLRYKNARTWKGKSPVDPSSLKLHNLPAMEDTAFATKFSDKIRSLASSQYPCNVPKTIDKRVITTISLNLQDCPENKTCSGFKGKSFFASMNNQSFVRPSISILESYYKNLTKGSFSSGFPEKPPNNFDYTGGDSFTQNMNTKFGTKLLVLPYGTNIEIVLQDTSFLNSENHPIHVHGHNFFIVGSGLGNFNEARDRKRYNLVDPPERNTVAVPSGGWAAIRIKADNPGVWFIHCHLEQHTSWGLATGFIVHNGEGPSQCLLPPPQDLPSC >Potri.015G040700.2.v4.1 pep chromosome:Pop_tri_v4:15:3696361:3698281:1 gene:Potri.015G040700.v4.1 transcript:Potri.015G040700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G040700.v4.1 MPYTINGLPGPLYPCSNQDTFIQTVEYGKTYLLRIINAALTNELFFAIAKHTLTVVEVDAVYTKPFATTSIMITPGQTTTVLMTANKVPDFTGMFVMAARPYLTSVFPSNNSTTIGFLRYKNARTWKGKSPVDPSSLKLHNLPAMEDTAFATKFSDKIRSLASSQYPCNVPKTIDKRVITTISLNLQDCPENKTCSGFKGKSFFASMNNQSFVRPSISILESYYKNLTKGSFSSGFPEKPPNNFDYTGGDSFTQNMNTKFGTKLLVLPYGTNIEIVLQDTSFLNSENHPIHVHGHNFFIVGSGLGNFNEARDRKRYNLVDPPERNTVAVPSGGWAAIRIKADNPGVWFIHCHLEQHTSWGLATGFIVHNGEGPSQCLLPPPQDLPSC >Potri.009G094300.1.v4.1 pep chromosome:Pop_tri_v4:9:8541743:8543677:1 gene:Potri.009G094300.v4.1 transcript:Potri.009G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G094300.v4.1 MSGSESFLEGSHLVAEGNPPSLSELLFSNDDDELGAGVDMAHASRFSSTGKSPRMLCFGGYTHQNESETVMFSEATKTTPQISGVTCSDSSSASSGNNCNGTVNVISTVSKSNRKRNGSSQEVTAKSTNTIAKTNLPSQRTSKKTKTENPRSTGNAKVKREKVGDRITSLQQLVSPFGKTDTASVLHEAMGYIRFLQDQVKVLCSPYLQNLPEGGGIGVKEPIKNLSSRGLCLVPVDCTVHLASSNGADFWSPATTEKNHPSFSSSKQ >Potri.015G083400.1.v4.1 pep chromosome:Pop_tri_v4:15:10856662:10859244:1 gene:Potri.015G083400.v4.1 transcript:Potri.015G083400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G083400.v4.1 MVMIDNKAKAETLKFLCSYSGKLLPRSSDGVLRYVGGMTRVLAVDRSISYAELMVKLGEFCGFSVELRCPLPNGDLETLISVKSDEELTNLITEYDRSCPGSKIRAILFPPKSLKKISPPTSNASSIEFSPTKSVLNHDRSGSFSPPIGYKGRRCSPSRPQELLRHNHQCCGYWH >Potri.005G185400.1.v4.1 pep chromosome:Pop_tri_v4:5:19290487:19296374:1 gene:Potri.005G185400.v4.1 transcript:Potri.005G185400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G185400.v4.1 MPVWFAKSRAISHHLNRKVMGFMGILHQKFWTSSCSRHPSSLSSIFIPAGIHEDHSTPHVFRFNSSLITTRFSLQRFKSTKAASQLEQLYSTDDDDHDTSQALDFPGGKVTYTPEMRFLSESNGKRVPCYRVLDDNGEIIIGSDYEQLSEEVAVKIYSNMVSLQMMDTIFYEAQRQGRISFYLTSTGEEAINIASAAALSADDIILPQYREPGILLWRGFTIQEFANQCFGNKDDYGKGRQMPIHYGSKKHNFVTISSPIATQLPQAVGIAYSLKMDKKDSCVVTYTGDGGTSEGDFHAALNFAAVTEAPVVFICRNNGWAISTHISEQFRSDGIVVRGPAYGIRSIRVDGNDALAVYSAIHAAREMAISKQRPVLVEALSYRVGHHSTSDDSTKYRPVDEIDYWKKERNPVNRFRKWVERNVWWSEEKESELRSSIKKQLLQVIQVAEKTEKPPLKYLFSDVYDIPPPNLCEQEKQLRETIYAHPQDYPSDVPL >Potri.012G006000.1.v4.1 pep chromosome:Pop_tri_v4:12:182862:184268:1 gene:Potri.012G006000.v4.1 transcript:Potri.012G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G006000.v4.1 MSLVSIESPKHCAKQGLNVNKLSKKLFFASSAFFTTLLSLILIIWLILRPAKPEFSLKEADIYQLSLSAPNLLNSSIQLTLLSKNPNQKVGVYYDELQVYAAYRGQQITVDSPLPPFYQGHQDSNLLTASLVGTGLPVAPSFNYEVGRDQTAGKLVLNLRVNGRIRWKVGTWVSGRYRINVNCLAVMALGPTLPTGPLSSKQGTACSTTV >Potri.005G215900.1.v4.1 pep chromosome:Pop_tri_v4:5:21943593:21946982:-1 gene:Potri.005G215900.v4.1 transcript:Potri.005G215900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G215900.v4.1 MTSSVFNGAENLALIRGITPKGLGFLGSDLQGRHFSKVSLISSTRISKARTLTPKCSLSAPRPASQPRFIQHKKEAFWFYRFLSIVYDHVINPGHWTEDMRDEALEPADLSDRNTIVVDVGGGTGFTTLGIVKHVDAKNVTILDQSPHQLAKAKQKEPLKDCKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIKEAYRVLKLEGKACLIGPVHPTFWLSRFFADVWMLFPKEEEYIEWFQKAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPEAEDVSKPANPFVFFLRFILGVMAATYYVLVPIYMWLKDQIVPKGRPI >Potri.009G152101.2.v4.1 pep chromosome:Pop_tri_v4:9:11969521:11971777:1 gene:Potri.009G152101.v4.1 transcript:Potri.009G152101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152101.v4.1 MDVRGDIEEEKGVGMENQSNIVWGLSAGDFFMPFHLPSCKLMGHFVLAPVIWFGFGGFQLGMPLPSLGFDCSTSCCCAWVELLSNLGQKIEVAFSAFPLA >Potri.009G152101.1.v4.1 pep chromosome:Pop_tri_v4:9:11969521:11971777:1 gene:Potri.009G152101.v4.1 transcript:Potri.009G152101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G152101.v4.1 MDVRGDIEEEKGVGMENQSNIVWGLSAGDFFMPFHLPSCKLMGHFVLAPVIWFGFGGFQLGMPLPSLGFDCSTSCCCAWVELLSNLGQKIEVAFSAFPLA >Potri.002G080300.7.v4.1 pep chromosome:Pop_tri_v4:2:5660021:5663052:1 gene:Potri.002G080300.v4.1 transcript:Potri.002G080300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080300.v4.1 MNPSKPLTNRNQTCLVINQVLSIMIQNRPFDTKLASSTTNPNLLTIDSVSDILRSIPRFFFLSPRSIGRQNTARHRSPLKQRNLKEETHKSRHNVLILGPAAYRDPKRVALGVNKAVEFFYWLENHFSFKHTEITCREMAVVLARGSKLDELWHFLKEMAQREHGNCLGLVSVSTVTCLIKVLGEEGLVHQALALFYRLKQYHLKPDVYAYNTLIYALCRVGNFKRARFLLEQMELPGFRCPPDIYTYTILISSCCRYGLQTGCRKAIRRRIWEANRLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELFEDMNKRGCVPNRVTYNSFIRYFSMVNEIDKAVEMLRRMQKMNHGSATTSSYTPIIHALCEAGRVLEGRDFLVELVDGGLIPREYTYRLVCDALKSVREGSSLGGEFDKRIKDGIEDRYRKVKKVKPIMACKMML >Potri.002G080300.8.v4.1 pep chromosome:Pop_tri_v4:2:5660114:5663052:1 gene:Potri.002G080300.v4.1 transcript:Potri.002G080300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080300.v4.1 MNPSKPLTNRNQTCLVINQVLSIMIQNRPFDTKLASSTTNPNLLTIDSVSDILRSIPRFFFLSPRSIGRQNTARHRSPLKQRNLKEETHKSRHNVLILGPAAYRDPKRVALGVNKAVEFFYWLENHFSFKHTEITCREMAVVLARGSKLDELWHFLKEMAQREHGNCLGLVSVSTVTCLIKVLGEEGLVHQALALFYRLKQYHLKPDVYAYNTLIYALCRVGNFKRARFLLEQMELPGFRCPPDIYTYTILISSCCRYGLQTGCRKAIRRRIWEANRLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELFEDMNKRGCVPNRVTYNSFIRYFSMVNEIDKAVEMLRRMQKMNHGSATTSSYTPIIHALCEAGRVLEGRDFLVELVDGGLIPREYTYRLVCDALKSVREGSSLGGEFDKRIKDGIEDRYRKVKKVKPIMACKMML >Potri.002G080300.2.v4.1 pep chromosome:Pop_tri_v4:2:5659950:5663052:1 gene:Potri.002G080300.v4.1 transcript:Potri.002G080300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080300.v4.1 MNPSKPLTNRNQTCLVINQVLSIMIQNRPFDTKLASSTTNPNLLTIDSVSDILRSIPRFFFLSPRSIGRQNTARHRSPLKQRNLKEETHKSRHNVLILGPAAYRDPKRVALGVNKAVEFFYWLENHFSFKHTEITCREMAVVLARGSKLDELWHFLKEMAQREHGNCLGLVSVSTVTCLIKVLGEEGLVHQALALFYRLKQYHLKPDVYAYNTLIYALCRVGNFKRARFLLEQMELPGFRCPPDIYTYTILISSCCRYGLQTGCRKAIRRRIWEANRLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELFEDMNKRGCVPNRVTYNSFIRYFSMVNEIDKAVEMLRRMQKMNHGSATTSSYTPIIHALCEAGRVLEGRDFLVELVDGGLIPREYTYRLVCDALKSVREGSSLGGEFDKRIKDGIEDRYRKVKKVKPIMACKMML >Potri.002G080300.6.v4.1 pep chromosome:Pop_tri_v4:2:5660010:5663052:1 gene:Potri.002G080300.v4.1 transcript:Potri.002G080300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080300.v4.1 MNPSKPLTNRNQTCLVINQVLSIMIQNRPFDTKLASSTTNPNLLTIDSVSDILRSIPRFFFLSPRSIGRQNTARHRSPLKQRNLKEETHKSRHNVLILGPAAYRDPKRVALGVNKAVEFFYWLENHFSFKHTEITCREMAVVLARGSKLDELWHFLKEMAQREHGNCLGLVSVSTVTCLIKVLGEEGLVHQALALFYRLKQYHLKPDVYAYNTLIYALCRVGNFKRARFLLEQMELPGFRCPPDIYTYTILISSCCRYGLQTGCRKAIRRRIWEANRLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELFEDMNKRGCVPNRVTYNSFIRYFSMVNEIDKAVEMLRRMQKMNHGSATTSSYTPIIHALCEAGRVLEGRDFLVELVDGGLIPREYTYRLVCDALKSVREGSSLGGEFDKRIKDGIEDRYRKVKKVKPIMACKMML >Potri.002G080300.1.v4.1 pep chromosome:Pop_tri_v4:2:5659970:5663052:1 gene:Potri.002G080300.v4.1 transcript:Potri.002G080300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080300.v4.1 MNPSKPLTNRNQTCLVINQVLSIMIQNRPFDTKLASSTTNPNLLTIDSVSDILRSIPRFFFLSPRSIGRQNTARHRSPLKQRNLKEETHKSRHNVLILGPAAYRDPKRVALGVNKAVEFFYWLENHFSFKHTEITCREMAVVLARGSKLDELWHFLKEMAQREHGNCLGLVSVSTVTCLIKVLGEEGLVHQALALFYRLKQYHLKPDVYAYNTLIYALCRVGNFKRARFLLEQMELPGFRCPPDIYTYTILISSCCRYGLQTGCRKAIRRRIWEANRLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELFEDMNKRGCVPNRVTYNSFIRYFSMVNEIDKAVEMLRRMQKMNHGSATTSSYTPIIHALCEAGRVLEGRDFLVELVDGGLIPREYTYRLVCDALKSVREGSSLGGEFDKRIKDGIEDRYRKVKKVKPIMACKMML >Potri.002G080300.5.v4.1 pep chromosome:Pop_tri_v4:2:5659988:5663052:1 gene:Potri.002G080300.v4.1 transcript:Potri.002G080300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080300.v4.1 MNPSKPLTNRNQTCLVINQVLSIMIQNRPFDTKLASSTTNPNLLTIDSVSDILRSIPRFFFLSPRSIGRQNTARHRSPLKQRNLKEETHKSRHNVLILGPAAYRDPKRVALGVNKAVEFFYWLENHFSFKHTEITCREMAVVLARGSKLDELWHFLKEMAQREHGNCLGLVSVSTVTCLIKVLGEEGLVHQALALFYRLKQYHLKPDVYAYNTLIYALCRVGNFKRARFLLEQMELPGFRCPPDIYTYTILISSCCRYGLQTGCRKAIRRRIWEANRLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELFEDMNKRGCVPNRVTYNSFIRYFSMVNEIDKAVEMLRRMQKMNHGSATTSSYTPIIHALCEAGRVLEGRDFLVELVDGGLIPREYTYRLVCDALKSVREGSSLGGEFDKRIKDGIEDRYRKVKKVKPIMACKMML >Potri.002G080300.4.v4.1 pep chromosome:Pop_tri_v4:2:5659987:5663052:1 gene:Potri.002G080300.v4.1 transcript:Potri.002G080300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080300.v4.1 MNPSKPLTNRNQTCLVINQVLSIMIQNRPFDTKLASSTTNPNLLTIDSVSDILRSIPRFFFLSPRSIGRQNTARHRSPLKQRNLKEETHKSRHNVLILGPAAYRDPKRVALGVNKAVEFFYWLENHFSFKHTEITCREMAVVLARGSKLDELWHFLKEMAQREHGNCLGLVSVSTVTCLIKVLGEEGLVHQALALFYRLKQYHLKPDVYAYNTLIYALCRVGNFKRARFLLEQMELPGFRCPPDIYTYTILISSCCRYGLQTGCRKAIRRRIWEANRLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELFEDMNKRGCVPNRVTYNSFIRYFSMVNEIDKAVEMLRRMQKMNHGSATTSSYTPIIHALCEAGRVLEGRDFLVELVDGGLIPREYTYRLVCDALKSVREGSSLGGEFDKRIKDGIEDRYRKVKKVKPIMACKMML >Potri.002G080300.3.v4.1 pep chromosome:Pop_tri_v4:2:5659987:5663052:1 gene:Potri.002G080300.v4.1 transcript:Potri.002G080300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G080300.v4.1 MNPSKPLTNRNQTCLVINQVLSIMIQNRPFDTKLASSTTNPNLLTIDSVSDILRSIPRFFFLSPRSIGRQNTARHRSPLKQRNLKEETHKSRHNVLILGPAAYRDPKRVALGVNKAVEFFYWLENHFSFKHTEITCREMAVVLARGSKLDELWHFLKEMAQREHGNCLGLVSVSTVTCLIKVLGEEGLVHQALALFYRLKQYHLKPDVYAYNTLIYALCRVGNFKRARFLLEQMELPGFRCPPDIYTYTILISSCCRYGLQTGCRKAIRRRIWEANRLFRIMLFKGFVPDVVTYNCLINGCCKTNRIERALELFEDMNKRGCVPNRVTYNSFIRYFSMVNEIDKAVEMLRRMQKMNHGSATTSSYTPIIHALCEAGRVLEGRDFLVELVDGGLIPREYTYRLVCDALKSVREGSSLGGEFDKRIKDGIEDRYRKVKKVKPIMACKMML >Potri.T125308.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_820:89975:90469:-1 gene:Potri.T125308.v4.1 transcript:Potri.T125308.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125308.v4.1 MADFRQQQQQLRPGGQGTAGIFLPGKGASTSQVLAVVTLLPVGGTLLFLAGLTLVGTLIGLAVATPLFVIFSPALVPAALVIGLGVLGFLTSGAFGVTALSSLSWMASYIRSLIRGPLPQKLDQAKRRTRETAGQVGQKARETGQIVQSKAREVTKGGQEGGKT >Potri.005G118650.2.v4.1 pep chromosome:Pop_tri_v4:5:8729700:8729927:-1 gene:Potri.005G118650.v4.1 transcript:Potri.005G118650.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G118650.v4.1 MMDIIYIAIIIYKSVCVTILCTRLSQSIIISMSNITNHKSVSYTRIWPLQVSLKVNVMRFILPCFLAIIKVLLST >Potri.004G075700.7.v4.1 pep chromosome:Pop_tri_v4:4:6304825:6310188:-1 gene:Potri.004G075700.v4.1 transcript:Potri.004G075700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075700.v4.1 MEVQTNGKPIDSLFEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDNVEPWMTGNCRGPSTSFCLLYKFFTMKLTVKQMHGLLKHKDSPYIRAVGFLYLRYAGDPKTLWNWFEPYIKDDEEFSPGSSGRKTTIGIYVRDLLLGQYYFDTLFPRIPVPVLRQITANLEMMKLPTKISGSTGDGNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPSYDRTSDDPRSHRSQSREYSDKEYSDRDRDQDRGRERDRDRDRERDRVRDRDHDRERDRDRGRDSDRKQERERGRDRRSDYDRSSRYTDRESRRDYERSSRDGSRRHRESNYRTRSRSRSRSRSQSLQAGTSPFDQHPTPQRDGSKDRTSASSNLAKLKDLYGDLGDQKGDAGLERGPRRDNDGEEVFRLGGSTWR >Potri.004G075700.8.v4.1 pep chromosome:Pop_tri_v4:4:6304823:6310294:-1 gene:Potri.004G075700.v4.1 transcript:Potri.004G075700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075700.v4.1 MEVQTNGKPIDSLFEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDNVEPWMTGNCRGPSTSFCLLYKFFTMKLTVKQMHGLLKHKDSPYIRAVGFLYLRYAGDPKTLWNWFEPYIKDDEEFSPGSSGRKTTIGIYVRDLLLGQYYFDTLFPRIPVPVLRQITANLEMMKLPTKISGSTGDGNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPSYDRTSDDPRSHRSQSREYSDKEYSDRDRDQDRGRERDRDRDRERDRVRDRDHDRERDRDRGRDSDRKQERERGRDRRSDYDRSSRYTDRESRRDYERSSRDGSRRHRESNYRTRSRSRSRSRSQSLQAGTSPFDQHPTPQRDGSKDRTSASSNLAKLKDLYGDLGDQKGDAGLERGPRRDNDGEEVFRLGGSTWR >Potri.004G075700.9.v4.1 pep chromosome:Pop_tri_v4:4:6304824:6310294:-1 gene:Potri.004G075700.v4.1 transcript:Potri.004G075700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G075700.v4.1 MEVQTNGKPIDSLFEKVLCMNILSSDYFKELYRLKTYHEVIDEIYNQVDNVEPWMTGNCRGPSTSFCLLYKFFTMKLTVKQMHGLLKHKDSPYIRAVGFLYLRYAGDPKTLWNWFEPYIKDDEEFSPGSSGRKTTIGIYVRDLLLGQYYFDTLFPRIPVPVLRQITANLEMMKLPTKISGSTGDGNRHGSDDTARRPPSVKAALSVSFGQRAPHRASTRDSSPVRRTLPPPSYDRTSDDPRSHRSQSREYSDKEYSDRDRDQDRGRERDRDRDRERDRVRDRDHDRERDRDRGRDSDRKQERERGRDRRSDYDRSSRYTDRESRRDYERSSRDGSRRHRESNYRTRSRSRSRSRSQSLQAGTSPFDQHPTPQRDGSKDRTSASSNLAKLKDLYGDLGDQKGDAGLERGPRRDNDGEEVFRLGGSTWR >Potri.004G184500.1.v4.1 pep chromosome:Pop_tri_v4:4:19807188:19812626:1 gene:Potri.004G184500.v4.1 transcript:Potri.004G184500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G184500.v4.1 MYSNFKEQAIEYVKQAVQEDNAGNYSKAFPLYTNALEYFRTHLKYEKNPKIREAITQKFNEYLRRAEEIKTVLDEGGPGPNSNGDAAVATRPKTKPKDGEDGDDPEKDKLRAGLNSAIVREKPNVKWNDVAGLESAKQALQEAVILPVKFPQFFTGKRRPWRAFLLYGPPGTGKSYLAKAVATEADSTFFSISSSDLVSKWMGESEKLVSNLFQMARESAPSIIFVDEIDSLCGQRGEGNESEASRRIKTELLVQMQGVGNNDQKVLVLAATNTPYALDQAIRRRFDKRIYIPLPDMKARQHMFKVHLGDTPHNLNESDFESLGRRTEGFSGSDISVCVKDVLFEPVRKTQDAMFFINNPDDMWVPCGPKQPGAVQISMQELAAKGLAKKLLPPPIMKTDFDKVLARQRPTVSKADLGVHERFTKEFGEEG >Potri.006G111800.4.v4.1 pep chromosome:Pop_tri_v4:6:8679624:8687086:1 gene:Potri.006G111800.v4.1 transcript:Potri.006G111800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111800.v4.1 MVRARASSTADTIMEGSGNISDPYRGRGGGNSNSSNNSSPSSSRRYGMLSASSILQAPISLLLEYSGLLRTTRSSHQETESLIPGGGGLGSTRLDGSSAAVVSNNGEVAIRIIGSGEHEHDRDSSGGLVVGQVGGGQNEVLGQQQTMGADVLQGDLRSDHEGGGGDATGGAGSHQSSSVGGDGEAADGAGGNGRDSTYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDILRKQTALKGERKVSMLVGISLAFAIHVVGVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMVFKCILLISYKNSRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFCAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFEREGTCPLCRALVKPADLRSFSDGSTSLLFQIF >Potri.006G111800.1.v4.1 pep chromosome:Pop_tri_v4:6:8679635:8687159:1 gene:Potri.006G111800.v4.1 transcript:Potri.006G111800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111800.v4.1 MEGSGNISDPYRGRGGGNSNSSNNSSPSSSRRYGMLSASSILQAPISLLLEYSGLLRTTRSSHQETESLIPGGGGLGSTRLDGSSAAVVSNNGEVAIRIIGSGEHEHDRDSSGGLVVGQVGGGQNEVLGQQQTMGADVLQGDLRSDHEGGGGDATGGAGSHQSSSVGGDGEAADGAGGNGRDSTYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGFFVTIWIAVVMFKSNDILRKQTALKGERKVSMLVGISLAFAIHVVGVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMVFKCILLISYKNSRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFCAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFEREGTCPLCRALVKPADLRSFSDGSTSLLFQIF >Potri.006G111800.2.v4.1 pep chromosome:Pop_tri_v4:6:8679662:8687135:1 gene:Potri.006G111800.v4.1 transcript:Potri.006G111800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G111800.v4.1 MEGSGNISDPYRGRGGGNSNSSNNSSPSSSRRYGMLSASSILQAPISLLLEYSGLLRTTRSSHQETESLIPGGGGLGSTRLDGSSAAVVSNNGEVAIRIIGSGEHEHDRDSSGGLVVGQVGGGQNEVLGQQQTMGADVLQGDLRSDHEGGGGDATGGAGSHQSSSVGGDGEAADGAGGNGRDSTYQRYDIQQAARWIEQVLPFSLLLLVVFIRQHLQGLAGFFVTIWIAVVMFKSNDILRKQTALKGERKVSMLVGISLAFAIHVVGVYWWYQNDDLLYPLIMLPPKSIPPFWHAIFIIMVNDTLVRQAAMVFKCILLISYKNSRGRNYRKQGQMLTLVEYLMLLYRALLPTPVWYRFFLNKEYGSLFSSLMTGLYLTFKLTSVVEKVQSFCAALKALSRKEVHYGAYATSEQVNAAGDLCAICQEKMHAPILLRCKHIFCEDCVSEWFEREGTCPLCRALVKPADLRSFSDGSTSLLFQIF >Potri.002G091800.1.v4.1 pep chromosome:Pop_tri_v4:2:6648439:6650344:-1 gene:Potri.002G091800.v4.1 transcript:Potri.002G091800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G091800.v4.1 MATPASIELRFRLPDGNDIGPNNYTEAANVATLKEHVIEQWPKDKENGPKTIKDVKLIYAGHVLENHRTLAESRLPVGDRLAGVVTIHVVLRPPGTRINNGDQRIDVEWREGCSCSIL >Potri.004G088400.1.v4.1 pep chromosome:Pop_tri_v4:4:7440429:7441461:1 gene:Potri.004G088400.v4.1 transcript:Potri.004G088400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G088400.v4.1 MKMSSSMHQMISPHQLLLFSLLSFMGCTIIPVCSASVTKKLDQALVPRELDAGIKCGSCPCVNPCAQLAPPPPPPPPSAPQTPYCTPLAPPPPLAPPPPRFIYVTSVPGSLSETDPYDHWKFYSGARQNPVRWLLLLVGLGVIELLVIW >Potri.001G331600.7.v4.1 pep chromosome:Pop_tri_v4:1:34028807:34030764:1 gene:Potri.001G331600.v4.1 transcript:Potri.001G331600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G331600.v4.1 MATSLSLPNFLSFFSPSNPPPKTPVPPPQLSFSTPQAQKYKSLLFSQENDGGHCGSLSTELSSVVCPSLAYSNTLFFKSAYNVQVVVEDNEPEERLLNRFRREVMRAGVIQECKRRRFHENKQEEKKRKVREAHKRNRRRRPQMRGPFQNKPEASPSKKNDDDDDNWEMPEGDASF >Potri.006G273900.1.v4.1 pep chromosome:Pop_tri_v4:6:26598430:26605507:-1 gene:Potri.006G273900.v4.1 transcript:Potri.006G273900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273900.v4.1 MAELVLFNIAEEIVKKLGPLATQEIALWWGVKDQLSKLMSTVTRIKGVLHDAEEQVQKPPAQLEDWLGKLQEAVYDAEDLLDDFSTEVQRKRLMSRNKIPREVRTFFSGSNQLVYGWQMGHKVKELRQRLDEIVSESEKFHFEVRYEEKASLTMIREATTSSEPEIFFGREDEKKKVMSFLLNPNDKIESVSVISIVGMGGLGKTTFAQSIFNDEQVNLHFGLKLWVSVSGGFDVKKILKDVSEAAGISQVSDQLESLKNELKKKIENRKYLLVLDDVWDNKDGRDGEKWDSLKQSLPHETDRGNKMIITTRSDTIANLTSKIPLALKGLSEKDSWSLFSNKAFGPGQESNYIDEKIKKEIVVRCQGVPLVIKAIARLMYYKDRVQWLSFIKQELPNRVKDDNIIHTLKLSYDPLPSYMKHCFAYCSLFPKGHEIDVKSLIRLWVAQGFVSSSNLGECLEIVGLRCFENLLWRSFFHEVKKDRLGNIESCKMHDFMHDLATHVAGFQSIKVERLGNRISELTRHVSFDTELDLSLPSAQRLRTLVLLQGGKWDEGSWESICREFRCLRVLVLSDFVMKEVSPLIQKLKHLKYLDLSNNEMEALSNSVTSLVNLQVLKLSGCRKLKKLPRDIGKLINLRHLDVGCYLDRDLCEDLEYMPRGIGKITSLQTLSCFVVAKKKSAKSEMIGGLDELKMLNELRGSLEIRVKGYEGGSCVSEFEGAKLIDKDYLQSLTVWWDPELDSDSDIDLYDKMLQSRQPNSSLQELRVEGYGGMRFPSWVSNLSNLVRIFVDRCRRLKHIPSLDGIPSLEELRIEGLDDLEYIDSEGVGGKGASMFFPSLKRLDIFDCGRLKGWWKRWSRDEMNDDSDESTIEEGLRMLCFPRLSSLGISYCPNLTSMPLFPTLDEDLYLVNTSSMPLQQTMKMTSPVSSSSSSSSFTRPLSKLKSLYMHSIDDMESLPEVGLQNLSSLQQLWIWGCGRLKSLPLPDQGMHSLQKLEVILCRELKSLSESESQGMIPYLPSLQFLRIDGCSEELRGRTRGWGKESEEEWPIIKHIPDIVIDWNYIQKEGRYVKGEGLEYNPF >Potri.006G001701.7.v4.1 pep chromosome:Pop_tri_v4:6:169383:171179:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVESTYYYSKSCLV >Potri.006G001701.10.v4.1 pep chromosome:Pop_tri_v4:6:169383:171046:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVESTYYYSKSCLV >Potri.006G001701.8.v4.1 pep chromosome:Pop_tri_v4:6:169383:171046:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVESTYYYSKSCLV >Potri.006G001701.2.v4.1 pep chromosome:Pop_tri_v4:6:169383:171179:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVVRIVLSIICSPPSLSVIYIISSTVESTYYYSKSCLV >Potri.006G001701.6.v4.1 pep chromosome:Pop_tri_v4:6:169383:171179:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVESTYYYSKSCLV >Potri.006G001701.1.v4.1 pep chromosome:Pop_tri_v4:6:169383:171046:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVVRIVLSIICSPPSLSVIYIISSTVESTYYYSKSCLV >Potri.006G001701.5.v4.1 pep chromosome:Pop_tri_v4:6:169383:171046:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVVRIVLSIICSPPSLSVIYIISSTVESTYYYSKSCLV >Potri.006G001701.4.v4.1 pep chromosome:Pop_tri_v4:6:169383:171046:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVVRIVLSIICSPPSLSVIYIISSTVESTYYYSKSCLV >Potri.006G001701.3.v4.1 pep chromosome:Pop_tri_v4:6:169383:171179:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVVRIVLSIICSPPSLSVIYIISSTVESTYYYSKSCLV >Potri.006G001701.9.v4.1 pep chromosome:Pop_tri_v4:6:169383:171046:1 gene:Potri.006G001701.v4.1 transcript:Potri.006G001701.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G001701.v4.1 MKRKVVGDDHLFQGKKIQAKLPVKPRQLWLYLWSYLLPKIRCCRLLYHLYFPLFMDFLLSSVSSLLFFFSLLTNPFKSPTSTYYSLLAIKVRVIVLYKSREKDEVESTYYYSKSCLV >Potri.013G046500.1.v4.1 pep chromosome:Pop_tri_v4:13:3292901:3295853:1 gene:Potri.013G046500.v4.1 transcript:Potri.013G046500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G046500.v4.1 MDLASPSTNPTYSSPVITSPTTPTTTSTNTTTLATTTSPSSTPSRYENQKRRDWNTFCQYLRNHRPPLSLPMCSGAHVLEFLRYLDQFGKTKVHNQTCPFFGFPNPPAPCPCPLRQAWGSLDALIGRLRAAYEEHGGRPEGNPFGAKAVRIYLREVRDFQAKARGVSYEKKRKRPKPKVTATPQSAAAAEAAAAGSSAG >Potri.001G000400.5.v4.1 pep chromosome:Pop_tri_v4:1:13697:17702:-1 gene:Potri.001G000400.v4.1 transcript:Potri.001G000400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G000400.v4.1 MNWVQRKIYLYNVTFGLFMLDWWERCLFNILVIVLMWFIFYNGSRYVTDFCKRHLG >Potri.007G060900.1.v4.1 pep chromosome:Pop_tri_v4:7:6499465:6506741:-1 gene:Potri.007G060900.v4.1 transcript:Potri.007G060900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G060900.v4.1 MANENPFKSILKTLEKPGGEFGKYYSLPALNDPRIDRLPYSIKILLESAIRNCDEFQVKSKDVEKIIDWENTSPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMSNLGGDSNKINPLVPVDLVIDHSVQVDVSRSENAVQANMEFEFHRNKERFAFLKWGSNAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTNGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDGTVSMIESYLRANKMFVDYSEPQIDRVYSSYIALNLRDVEPCISGPKRPHDRVPLREMKADWHACLDNKVGFKGFAIPKESQSKVAEFNFHGTPAQLRHGDVVIAAITSCTNTSNPSVMLGSALVAKKACELGLEVKPWIKTSLAPGSGVVTKYMEKSGLQKYLNQLGFHIVGYGCTTCIGNSGDIDEAVASAITENDVVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVDIDFETEPIGVGKDGKKIFFRDIWPSNDEVAQVVHSSVLPDMFKATYQAITKGNPMWNQLSIPSGTLYDWDPKSTYIHEPPYFKSMTMSPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVDRRDFNSYGSRRGNDDVMARGTFANIRIVNKLLGGEVGPKTIHFPTREKLSVFDVAMRYKSEGHDTVILAGAEYGSGSSRDWAAKGPMLLGVKAVMAKSFERIHRSNLVGMGIIPLCFKSGEDAETLGLTGHERYSLDLPSNVSEIRPGQDVTVVTDNGKQFTCTLRYDTEVELAYFDHGGILQYAIRNLIHTKH >Potri.017G054600.1.v4.1 pep chromosome:Pop_tri_v4:17:4202297:4204433:1 gene:Potri.017G054600.v4.1 transcript:Potri.017G054600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G054600.v4.1 MVSGSGICVKRVVVDARHHMLGRLASIIAKELLNGQRVVVVRCEEICISGGLVRQKMKYLRFLRKRMNTKPSHGPIHFRAPSKILWRTIRGMIPHKTKRGEAALARLKVFEGVPPPYDKTKRMVIPDALKVLRLQPGHKYCLLGQLSSEVGWNHYDTIKELETKRKERAQLVYERKKQLAKLRLKAEKTAEEKLGPQQEIIAPLKY >Potri.003G128100.1.v4.1 pep chromosome:Pop_tri_v4:3:14705562:14707555:-1 gene:Potri.003G128100.v4.1 transcript:Potri.003G128100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G128100.v4.1 MAELHVSVELPVLDLSQPVNLSFLSSLSQACQEWGFFYVTNHGISRNLFSKVCTLSKHIFSLPADSKLKVGPSSCLKTYTPHFIASPYFESLIVSGPDFFASAKRSADELFSQQNSEFSEILQEYGNKMIDLSKRIIEVLVMTLGDGCDRKFCESEFSNCHGYFRVVNYSPPKDVEEREVEGLGMHTDMSCITIVYQDETGGLQMRSKEGEWLDIPPCEDLLVVNIGDLLQAWSNGRLRSSEHRVVLKRLVNRLSLAFFWCFEDEKVILAPDEVVEEGNQRIYKSFVCLDYLKFRESNEEGKFEKIGYTVKDFAGVTLRM >Potri.001G298200.1.v4.1 pep chromosome:Pop_tri_v4:1:30891575:30896260:-1 gene:Potri.001G298200.v4.1 transcript:Potri.001G298200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G298200.v4.1 MATFLSSSSLPLPLLLSSNHCLLQTPPSLSLSFPFSYPLRATITANSRQIKTLILASSNSDSSFDGFGFNREPCSTDKKSLLSELIQEIEPLDVSLIQKDVPPATLDAMKRTISGMLGLLPSDRFQVFIEAWWESLSKLLVSSMMTGYTLRNAEYRLCLERNLDIHEEDSEKQAQGNSKNNLQGLVLESEETNQSLGKDTEFEKIAEDLSDDINIQGLGEISLEAQQYILHLQSHLSSVKKELHEVRMKSAALQMHQFVGEEKNDLLDYLRSLQPEKVAELSEPTFPELKETIHSVVHGLLATLSPKMHSKTPPQSENTSPGSLNIGVDCAELVENTSLHFQPLISLTRDYLARLLFWCMLLGHYLRGLEYRMELMELLSLTSHEENDSCEDRQVA >Potri.001G130200.2.v4.1 pep chromosome:Pop_tri_v4:1:10647009:10648526:-1 gene:Potri.001G130200.v4.1 transcript:Potri.001G130200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G130200.v4.1 MGLQEEFQEHSEKAKTLPENTTNENKLILYGLYKQATVGPVNTSRPGIFNMRDRAKWDAWKAVEGNSKEEAMSDYITKVKQLLEEAAASA >Potri.007G109900.1.v4.1 pep chromosome:Pop_tri_v4:7:13186327:13192443:-1 gene:Potri.007G109900.v4.1 transcript:Potri.007G109900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G109900.v4.1 MHPASTTTAAARRQIGSRHRTSAPRRPSPPPYPSPKRMKLLTEVMEKAAYAVVEREDYGDISCEQCRSGERAEELLLCDKCDKGYHMKCLRPIVVRVPIGSWICNKCSGDGQGRVRRLSQKKIIDFFRIQRCEKDGIKEKCRSLQDSRKRRRRSLVYQKKRRRLLPFIPSEDPAQRLKQMGTLASALTALHMEFSDDLTYLPGMAPQLANQAKFEQGGMQVLSKEDIETLEQCRAMCKRGECPPLLVVFDSCEGYTVEADDQIKDLTILAEYSGDVDYIKNREHDDCDSMMTLLLARDPSKSLVICPDKRGNIARFINGINNHTPDGKKKQNCKCVRYDVNGECRVILVATRDVAKGERLYYDYNGHEHEYPTQHFV >Potri.002G097132.1.v4.1 pep chromosome:Pop_tri_v4:2:7090251:7090847:-1 gene:Potri.002G097132.v4.1 transcript:Potri.002G097132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G097132.v4.1 MWTELISEISETSVGWSSKLATISMSDEWWKTKIQEIREAKKSRHAGIEPSLWFKFSQTLLLLDSMRGHHLPKSCVMMMLVMMAITMPMLISLIWKKKAVILRRMAFQILRMIFVTWLVGLKCPAKVTHVSVARENKKEYFKVQDRKKAIENRITAAVMLGLTS >Potri.016G018700.1.v4.1 pep chromosome:Pop_tri_v4:16:996585:1004785:1 gene:Potri.016G018700.v4.1 transcript:Potri.016G018700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018700.v4.1 MEGETQVSSDVPVVKVDTDVADPIKVTNGDLPQVEKEGKKEEDETDGEFIKVEKESLDVKDGSHTAEAQSVVEADKPSVVERSLSGSARELLEAQEKMKELEIELERVAAALKHSESENAQMKDEVLLVNEKLDESGKKYEELEISHKKVKEQIIEAEEKYSAQLNSLQEALQAQETKHKELVEVKESFDGITLELENSRKKMKELEHELEVSSGETKKFEELHKESGSHAESETQRALEFERLLEAAKQSAKEMEDQMASLQEEVKGLYEKVSENQKVEEALKSTTAELSAANEELAASKSQLLEIEQRLSSKEALIIEITQELDLKKASESQVKEDVSALENLLTATKEDLQAKVSELEGIKLKLQEEINKRESVEAGLKTHEAQVSTVQEELAKVIKEKEALEAAMADLTGNAAQMKELCSELEEKLKTSDDNFCKADSLLSQALSNIAELEQKLKSLEDLHNESGAAAATASQKNLVLEDLIQASNEAAEEAKSQLRELEARFTASEQKNVELEQQLNLVELKSSDAEREVREFSEKISELSTALKEVEEEKKQLSSQMEEYQEKISHLESSLNHSSSRNSELEEELRIAEEKCAEHEDRANMHHQRSLELEDSFQTSHSKAEDAGKKANELELLLEAEKYRIKELEEQNSALEKKCMDAEADSNKYSGRISELASEIEAYQAKSSSLEVALQIAGEKEKELTELLNLFTNEKKTLEEASSSSNEKLTEAENLIGVLRNELVVMQERFESIENDLKAAGLKEGDIMVKLKSAEEQLEQQEKLLEEATTRRSELESLHETLTRDSEIKLQEALANFTNRDSEAKSLFEKLNTLEDQVKTYEELIAETTGRSALVKEELDLCVLKMATLETSNEELKSQIVEAETKVSNSFSENELLVETNNQLKSKIDELQDLLNSAISEKEATSQQLVSHVSTITEITDKHSRAIELHSATESRMVQAEAQLQEAIQSLALRDTETKDLNEKLNALEGHIKLNEELAHQGAAISESRKVELEESLLKIKHLETVVEELQTKAGHYEKESGGLAEANLKLTQELASYESKLGDLEAKLSAILSEKDETVEQLHISKKAVEDLRQQLSDERQKLQSQISSVMEENNLLNETYQNGKKELQSVIIQLEEELMGQKANEDALKSEIESLKAEVAEKLALQTSLEELKKQLAAAEAQLKEQKEADSHNQLEKDEAQKKSLEAKNKEVSHLENQVKELEQKLQVAGAKLLEKGDGSSPAEHKDGLEIKSRDIGAVISTPTKRKSKKKLEAASAQASSSSQTHTQTADVSPAMTFKIILGVALVSIIIGVYLGKRY >Potri.015G071800.3.v4.1 pep chromosome:Pop_tri_v4:15:9747117:9752784:1 gene:Potri.015G071800.v4.1 transcript:Potri.015G071800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071800.v4.1 MKRSIGKGIDSSESRSLKLQFANNLSLPVFTGARIEGEEGPAIKVVLVDTRTGKIVNSGPESSSRVEIVVLEGDFDGDEAENWTPEEFKNNIVREREGKKPLLTGDVLLNLKEGICLVGEISFTDNSSWTRSRKFRLGVRAVDNFDGTSIREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLILLFIDPTRLRHILGTGMSAKMWEVTVEHARTCVLDKIIYLYCPPVSQQKTGVVFNVVGQVMGLLSEGQYVPIDKLSETEKADGQNLVITAFEHWEQVISFDDEASLVGGSSQLSDVAYTSSSPQTENSSGSKFLASQKIGGFDYAQPSASSPDIMSSIYTVGGVNGLDDYALQGIENMGLRYDQTLNFNGQVSNSLICDTDSLAQAFCDEEHLRFFDTDLQSQNLSLETQADLQSAVDGFLLARSTAVAVYKAQRRWAKISCVLKWFSVRKLVATKKSLWSRNS >Potri.015G071800.1.v4.1 pep chromosome:Pop_tri_v4:15:9747112:9752776:1 gene:Potri.015G071800.v4.1 transcript:Potri.015G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G071800.v4.1 MAQKRQPEEGKPRSDGNNSPEEKRRKFNLKSVVQEVIKVQSVQHLLEPILEPLIRRVVKEEVELALRKHLANMKRSIGKGIDSSESRSLKLQFANNLSLPVFTGARIEGEEGPAIKVVLVDTRTGKIVNSGPESSSRVEIVVLEGDFDGDEAENWTPEEFKNNIVREREGKKPLLTGDVLLNLKEGICLVGEISFTDNSSWTRSRKFRLGVRAVDNFDGTSIREAKTESFIVRDHRGELYKKHHPPSLFDEVWRLEKIGKDGAFHKRLSRENINTVKDFLILLFIDPTRLRHILGTGMSAKMWEVTVEHARTCVLDKIIYLYCPPVSQQKTGVVFNVVGQVMGLLSEGQYVPIDKLSETEKADGQNLVITAFEHWEQVISFDDEASLVGGSSQLSDVAYTSSSPQTENSSGSKFLASQKIGGFDYAQPSASSPDIMSSIYTVGGVNGLDDYALQGIENMGLRYDQTLNFNGQVSNSLICDTDSLAQAFCDEEHLRFFDTDLQSQNLSLETQADLQSAVDGFLLARSTAVAVYKAQRRWAKISCVLKWFSVRKLVATKKSLWSRNS >Potri.005G204900.4.v4.1 pep chromosome:Pop_tri_v4:5:20998739:21005806:1 gene:Potri.005G204900.v4.1 transcript:Potri.005G204900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G204900.v4.1 MKKARDLHLLLKTIRRSSTTTTPKMDPSDRYCFSPILRWNPEVENYFIKAYGADHFSIISKALTRPSSYSCVRVNTLKSTSDAVIEKLLEIIKEKGFDGDCHGKEGSGTNENVGSPLEESLKNGPIVKCQIPGLEYVLFVKGTGPHMIDYGYVPGAPPKEVIVSRKCAEAVLRGAQVFVPGVMACSAHVEKGDTVAVSAAIEQRNPNGGWAIGITRGTVLQGLQTDPYYFERNGLYIGQGTATMSRAGLFRASKGIAVDMNNRVFRLPSFYDVLEGEIFLQNLPSIVTAHALDPQKGERILDMCAAPGGKTTAIAILMKDEGELVALDRSHNKVQDIQKLAAEMSLTCITTYKLDVLKAIRQRNEADDINTNQSSNSLWFHEEKASSSTAEGFNLDKTCEDNVSNAIENGGTYVSKADIRKAKRRMRNGPGRNQCVGGRVENSKGFYPDSFDRVLLDAPCSALGLRPRLFVGEVTIDSLKKHATYQRRMFDQAVKLVRPGGVIVYSTCTINPGENEALVRYALDTYKFLSLASQNPRIGGPGLVGSFESPNGRTEEWLRPGEEEFVQRFDPSSSLDTIGFFIAKFSVGSKDV >Potri.007G124150.2.v4.1 pep chromosome:Pop_tri_v4:7:14084630:14089631:1 gene:Potri.007G124150.v4.1 transcript:Potri.007G124150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124150.v4.1 MADDTSVGSKRRITEIEEESLLQQQQKDHSCSFFSTFSPQGDSILSPTKAVQEEANHQDNPSPTSFKKVKSSCLTESDTSFREKQGVGFEENGVKFDFLNEKNVAFCGLVPGSSESGELDEKIESSGAKVKETENSVDGGLKKGEVEWSVDDAKEVKEKGKDFVGSECFEAEMGLGTDDNKESVKVKESGGESLLEAKKQLLEELEVGSIFKNKTSVDNNVDDFDTNVGVSGSLKGFGESVRRSLKFELIDDTVLIEPVSETETANGGANVAERNGKKNGKQGTDGKKEKRPRRRGKVATRGLETSEGQKKVTQVGEAQNRTIHVGEIRDRCATDGDQMKRKYSRVEMEALRFANIVEQRKLWRDVYTGLGYDVVEGYKDLASSKHQKNVCLNFNPLEPFGRKEPDILGEESSENVDDGLENMEGDGVQNVDLLNPACSSSIEGEGAATLLVEEYDEEDDSDDDYASIQRPAFAVEGEPDFDSGPPEDGLEYLRRVRWEAAHIPKVKVAKLDRSRVNKEQTVYMPQIPDIAKCPEYLLPSRQWEDVFLADFSELRLLFSQNDGPSTKISHKMQPAAIVHGSCSPQLSESVIVEKFNNLRTDEVQSYKQPDTSSSESIIDQLCMENREDRRSLTPSQHQTPEASSSDALCNYPTLPVILAMDSVARVSMLRRCIKLAETTDALSKNDCVWLFALCAAVDAPLDAGTCAALRGLLRKCASLRAGKSELDDEVIMLNILATISGRYFGQSES >Potri.007G124150.3.v4.1 pep chromosome:Pop_tri_v4:7:14084636:14089637:1 gene:Potri.007G124150.v4.1 transcript:Potri.007G124150.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G124150.v4.1 MADDTSVGSKRRITEIEEESLLQQQQKDHSCSFFSTFSPQGDSILSPTKAVQEEANHQDNPSPTSFKKVKSSCLTESDTSFREKQGVGFEENGVKFDFLNEKNVAFCGLVPGSSESGELDEKIESSGAKVKETENSVDGGLKKGEVEWSVDDAKEVKEKGKDFVGSECFEAEMGLGTDDNKESVKVKESGGESLLEAKKQLLEELEVGSIFKNKTSVDNNVDDFDTNVGVSGSLKGFGESVRRSLKFELIDDTVLIEPVSETETANGGANVAERNGKKNGKQGTDGKKEKRPRRRGKVATRGLETSEGQKKVTQVGEAQNRTIHVGEIRDRCATDGDQMKRKYSRVEMEALRFANIVEQRKLWRDVYTGLGYDVVEGYKDLASSKHQKNVCLNFNPLEPFGRKEPDILGVVAARGRWNH >Potri.007G005300.3.v4.1 pep chromosome:Pop_tri_v4:7:370631:377652:-1 gene:Potri.007G005300.v4.1 transcript:Potri.007G005300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005300.v4.1 MFSPYSSHCISLQNQHLQLLSTKLLHGFDKNLQFLQPQKKNRQFSSFMNYNYNGGGRTNSTSRRKVFGEAESGSIEEGYNSVEDKQFVKWFREAWPYLWAHRGSTFVVIISGETVSSPFLDSILKDIAFLHHLGIKFVLVPGTHVQINNLLAERGHEPKHVGQYRITDSEALAASMEAAGKIRMMIEAKLSPGPSICNIRRHGDSSRWHDVGVSVASGNFLAAKRRGVVDGVDFGATGEVKKVDVTRMRERLDGGCIVVLSNLGYSSSGEVLNCNTYEVATACALAIGADKLICVIDGPILDESGHLIRFLTLEEADMLIRKRAKQSEIAAHYVKAVGDEDLTFLEHNDSIGILASSLNGKALSGRHSATFQNGVGFDNGNGQGFAIGGEELQSRLNGYLSELAAAAFVCKGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMARVTDLAGIRQIIQPLEESGTLVRRTDEELLKALYAYVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPECRGQGQGDKLLDFIERRASSLGLETLFLLTTRTADWFNRRGFSECSIQLIPEERRKKINLSRNSKYYTKKLLPDTSGISVNRAFS >Potri.007G005300.4.v4.1 pep chromosome:Pop_tri_v4:7:370627:379237:-1 gene:Potri.007G005300.v4.1 transcript:Potri.007G005300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G005300.v4.1 MAFCTKSWGTETSSSCHYASSLSSHYQGNMLSHGLKLKRNVFGVARGCNCKCISGTEALHYSITESEKKFVEILREAQPYIYLHRGSTFVVILSGEVIDGPFLDTILKDIAFLHHLGIKFVLVPGTHVQINNLLAERGHEPKHVGQYRITDSEALAASMEAAGKIRMMIEAKLSPGPSICNIRRHGDSSRWHDVGVSVASGNFLAAKRRGVVDGVDFGATGEVKKVDVTRMRERLDGGCIVVLSNLGYSSSGEVLNCNTYEVATACALAIGADKLICVIDGPILDESGHLIRFLTLEEADMLIRKRAKQSEIAAHYVKAVGDEDLTFLEHNDSIGILASSLNGKALSGRHSATFQNGVGFDNGNGQGFAIGGEELQSRLNGYLSELAAAAFVCKGGVQRVHLLDGTISGVLLLELFKRDGMGTMVASDLYEGTRMARVTDLAGIRQIIQPLEESGTLVRRTDEELLKALYAYVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPECRGQGQGDKLLDFIERRASSLGLETLFLLTTRTADWFNRRGFSECSIQLIPEERRKKINLSRNSKYYTKKLLPDTSGISVNRAFS >Potri.015G065001.1.v4.1 pep chromosome:Pop_tri_v4:15:9045986:9047807:-1 gene:Potri.015G065001.v4.1 transcript:Potri.015G065001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G065001.v4.1 MGNLFCCVQVDQSTFEEELDAGCHCLPWFLGSQLAGHLSTLRLQQMGVRCETKKDNVFVNIASIQYRALADKVSDAFYKLSNSNPGRCYVCYGYVIVQTLIVDIEPDEHVKRAMNEINAELGPGGEAEAKYLSGLGIARQRQAIVDGLRESVLGFSENMPGTTAKDVMDMVLVTQYFDTMKKIGAASKSSAIFFPHGPGDIRDVATQIQDGLLQASSHQ >Potri.016G098500.3.v4.1 pep chromosome:Pop_tri_v4:16:9925076:9927454:-1 gene:Potri.016G098500.v4.1 transcript:Potri.016G098500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098500.v4.1 MLLSNCTPPVPTMIRYTSPSKIKSMATDRTTATSPRRRPMSAFEARVSLVLALASQASYQSQRRKFLFDLANETTKYLFPKRSGSRDLEEALMAVPDLETLKYKVSSRKEGYEIRELEPYFVAETTMSGETGFNFYGASQSFNVLAEYLFGKNTMKEKMEMTTPVITRKTQPVMTKEGKWQMSFVIPSKYGANLPLPKDPTVRVEEVPGRVVAVVAFSGFVTDEEVKQRELKLRNALKKDPEFRVKDSASVEVAQYNPPFTLPFTRRNEIALEVERKEE >Potri.016G098500.2.v4.1 pep chromosome:Pop_tri_v4:16:9925278:9927216:-1 gene:Potri.016G098500.v4.1 transcript:Potri.016G098500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G098500.v4.1 MLLSNCTPPVPTMIRYTSPSKIKSMATDRTTATSPRRRPMSAFEARVSLVLALASQASYQSQRLLFDLANETTKYLFPKRSGSRDLEEALMAVPDLETLKYKVSSRKEGYEIRELEPYFVAETTMSGETGFNFYGASQSFNVLAEYLFGKNTMKEKMEMTTPVITRKTQPVMTKEGKWQMSFVIPSKYGANLPLPKDPTVRVEEVPGRVVAVVAFSGFVTDEEVKQRELKLRNALKKDPEFRVKDSASVEVAQYNPPFTLPFTRRNEIALEVERKEE >Potri.012G118500.1.v4.1 pep chromosome:Pop_tri_v4:12:13680738:13685228:-1 gene:Potri.012G118500.v4.1 transcript:Potri.012G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G118500.v4.1 MANFSELSSSLSFTSSSHMSNGSISHNISNSSVAEAGTSLEVISLNKLSSSLEQLLIESTCEYSDADIVVEGIAVGVHRCILASRSKFFHELFRREKGSLEKDGKPKYCMSELLPYGNVGYEAFLIFLSYLYTGKLKPSPMEVSTCVDNVCAHDSCRPAITFAVELMYASSIFQVPELVSLFQRRLLNFVRKALAEDVIPILVVAFHCQSSQLIAQCVDRIAVSNLDNISIEKELPHEVADKIKQLRRKPISDDENNTEAGDPLREKRIKRIHMALDSDDVELVKLLLTESDISLDDANALHYCASYCDLKVMSEVLSLGLANVNLRNSRGYTVLHIAAMRKEPSVIVSLLAKGASALDLTSDGQSAVSICRRLTRPKDYHAKTEQGQEANKDRLCIDILEREMRRNPLGGSASITSHTMVDDLHMKLLYLENRVAFARLFFPTEAKLAMDIAHAATTSEFAGLAASKGSSGNLREVDLNETPIMQNKRLRSRMEALMKTVEMGRRYFPNCSEVLDKFMEDDLPDLFFLEKGTPDEQRIKRTRFMELKEDVQKAFNKDKAVINRSVLSSSSSSSSQKDGVGNKLRKL >Potri.003G175100.1.v4.1 pep chromosome:Pop_tri_v4:3:18237849:18238253:-1 gene:Potri.003G175100.v4.1 transcript:Potri.003G175100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G175100.v4.1 MATDWIHFLLFFNFALCVLSARPIEDEAEQILPTVQIINSLPPNSPPLNVSCSSKNIKLGARSFSVGEAYEFKVKGKDIYSCAAQWQRYFESWHGFELPRDENHGAVYWLVKKDGFYRSWDKASWVLEDPWETE >Potri.013G073100.3.v4.1 pep chromosome:Pop_tri_v4:13:6019277:6023614:-1 gene:Potri.013G073100.v4.1 transcript:Potri.013G073100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073100.v4.1 MAYYYFDDKSRLNSFLTFFFLLVLLFINPSSVLSKSPRPITDVEVRQIKNECYADIESGLWGQQCKTSMTAKENCALKCLSPICYELIYESDPLEEGEKDFTRSQEYKYCMYKKSMGESLEGIKGAFDM >Potri.013G073100.1.v4.1 pep chromosome:Pop_tri_v4:13:6019028:6023646:-1 gene:Potri.013G073100.v4.1 transcript:Potri.013G073100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G073100.v4.1 MAYYYFDDKSRLNSFLTFFFLLVLLFINPSSVLSKSPRPITDVEVRQIKNECYADIESGLWGQQCKTSMTAKENCALKCLSPICYELIYESDPLEEGEKDFTRSQEYKYCMYKKSMGESLEGIKGAFDM >Potri.001G183300.2.v4.1 pep chromosome:Pop_tri_v4:1:16201381:16205097:1 gene:Potri.001G183300.v4.1 transcript:Potri.001G183300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G183300.v4.1 MMAGVGSKWWYGTSSSRLRGKILIGLIVAISTLTMAVAEPSLKLKDSFYNGTQKVEPHHGTTSQSGYKHVWPDMKFGWKTVVGTIITFLGAACGSVGGVGGGGIFVPMLTLIVGFDSKSSAAISKCMITGAAASTVLYNIRLRHPTLELPIIDYDLALLFQPMLILGISIGVTLNVLFSDWMITILLIIIFGATSAKAFFKGVETWKKETKSKQEAASVQLDNSDYEIEAAEENAPPGGTTSQYQIKSESKKEMVSITENVYWKELGILVAVWLIILALQIGKNYSTTCSVEYWLLNTMQIPVAVGVTSYVAVSLYKGRRKIASKGEARNNWPLHRLFFYCVTALMAGTVGGMLGLGGGFILGPLFLEMGIPPQVSSATAAFAMMFSASISVVEFYLLKRFPVPYALYFFVVATISAVVGQHVAGKLIRILGRASLIIFTLAFIIFASAILLGGVGIGRSIKQIERNEYMGFENICS >Potri.004G218001.1.v4.1 pep chromosome:Pop_tri_v4:4:22405543:22414966:-1 gene:Potri.004G218001.v4.1 transcript:Potri.004G218001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G218001.v4.1 MTLYAMLVGAYPFEDQEDPKNFRKTINRIMAVQYKIPDYVHISQDCRHLLSRIFVANPIRRITIKEIKSHPWFLKNLPRELTEVAQAMYYRKENPTFSLQSVEEIMKIVEEAKIPPPVSRSIGGFGWVGEEEDDVKEDDAEGVEEKGGEEEEEEEEEDEYEKRVKEAQASGEVHVS >Potri.006G086600.8.v4.1 pep chromosome:Pop_tri_v4:6:6497623:6500933:1 gene:Potri.006G086600.v4.1 transcript:Potri.006G086600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086600.v4.1 MDPRLRGYSISVNGTQLGNQPISVFSNQDPVSRPRFENTFVDHNCKEFHYIPPYPKPTDVTPYSNPTQKEDSPEDFDFSDVTLRYINQMLMEEDTEDKTCMLQDSLDLQVAEKSFYDVLGKKYPPSPEPNPTFISQNRGNLPDSLPCNYICSSRSDSGYVDDNAWIHNPSDYHSFQLQIPHVSSISQSSYSSSNSVITTVDGLVDSPSSNFKVPDWSGESRSILHFRKGVEEASRFLPSGNDLFLNIEANKFLSQEPKVRTGEVAIKVEKQDGGEHSPSGPRGKKNPHREDGDVEEGRSSKQLAVYTESTLRSDMFDKVLLCIPGEGQPDLTALREAFKSASIKNEQNGQAKGSSGGKGRGKKQSRKREVVDLRTLLINCAQAIAADDRRSANELLKQIRLHSSPFGDGNRRLAHCFADGLEARLAGTGSQIYKGLVSKRTSAADLLKAYRLYLAACPFRKVSNFVSNKTIKITAENSMRLHVIDFGILYGFQWPTFIHRLSCRPGGPPKLRMTGIEFPQPGFRPAERVEETGRRLAAYAKEFKVPFEYNAIAKKWETIQLEELKIDRDEVVVVNCLYRSKNLLDETVAVDSPRNIVLDLVRKINPEVFIHGITNGAYNAPFYVTRFREALFHFSAMFDMLETIVPREELERLVIERDIFGREALNVIACEGWERVERPETYKQWQVRCLRAGFVQLSFDREIVKQATVKVRQRYHKDFLIDEDSRWLLQGWKGRIIYTLSAWKPAKKV >Potri.006G086600.7.v4.1 pep chromosome:Pop_tri_v4:6:6497615:6500932:1 gene:Potri.006G086600.v4.1 transcript:Potri.006G086600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G086600.v4.1 MDPRLRGYSISVNGTQLGNQPISVFSNQDPVSRPRFENTFVDHNCKEFHYIPPYPKPTDVTPYSNPTQKEDSPEDFDFSDVTLRYINQMLMEEDTEDKTCMLQDSLDLQVAEKSFYDVLGKKYPPSPEPNPTFISQNRGNLPDSLPCNYICSSRSDSGYVDDNAWIHNPSDYHSFQLQIPHVSSISQSSYSSSNSVITTVDGLVDSPSSNFKVPDWSGESRSILHFRKGVEEASRFLPSGNDLFLNIEANKFLSQEPKVRTGEVAIKVEKQDGGEHSPSGPRGKKNPHREDGDVEEGRSSKQLAVYTESTLRSDMFDKVLLCIPGEGQPDLTALREAFKSASIKNEQNGQAKGSSGGKGRGKKQSRKREVVDLRTLLINCAQAIAADDRRSANELLKQIRLHSSPFGDGNRRLAHCFADGLEARLAGTGSQIYKGLVSKRTSAADLLKAYRLYLAACPFRKVSNFVSNKTIKITAENSMRLHVIDFGILYGFQWPTFIHRLSCRPGGPPKLRMTGIEFPQPGFRPAERVEETGRRLAAYAKEFKVPFEYNAIAKKWETIQLEELKIDRDEVVVVNCLYRSKNLLDETVAVDSPRNIVLDLVRKINPEVFIHGITNGAYNAPFYVTRFREALFHFSAMFDMLETIVPREELERLVIERDIFGREALNVIACEGWERVERPETYKQWQVRCLRAGFVQLSFDREIVKQATVKVRQRYHKDFLIDEDSRWLLQGWKGRIIYTLSAWKPAKKV >Potri.006G167000.6.v4.1 pep chromosome:Pop_tri_v4:6:16630352:16634239:1 gene:Potri.006G167000.v4.1 transcript:Potri.006G167000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167000.v4.1 MQMGYKGFLASVDSYMNLLALPFLFNFLGNTEEYIDGQFIGNLGEILIRICLQVICRRNNVLYLHGVPEDEEIEDAEQD >Potri.006G167000.12.v4.1 pep chromosome:Pop_tri_v4:6:16630352:16634239:1 gene:Potri.006G167000.v4.1 transcript:Potri.006G167000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167000.v4.1 MQMGYKGFLASVDSYMNLLALPFLFNFLGNTEEYIDGQFIGNLGEILIRFTGSVCKLSADATMFSTFMEFRRMKR >Potri.006G167000.15.v4.1 pep chromosome:Pop_tri_v4:6:16630352:16634239:1 gene:Potri.006G167000.v4.1 transcript:Potri.006G167000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167000.v4.1 MQMGYKGFLASVDSYMNLLALPFLFNFLGNTEEYIDGQFIGNLGEILIRRNNVLYLHGVPEDEEIEDAEQD >Potri.006G167000.13.v4.1 pep chromosome:Pop_tri_v4:6:16630352:16634239:1 gene:Potri.006G167000.v4.1 transcript:Potri.006G167000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167000.v4.1 MQMGYKGFLASVDSYMNLLALPFLFNFLGNTEEYIDGQFIGNLGEILIRFTGSVCKLSADATMFSTFMEFRRMKR >Potri.006G167000.14.v4.1 pep chromosome:Pop_tri_v4:6:16630352:16634239:1 gene:Potri.006G167000.v4.1 transcript:Potri.006G167000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167000.v4.1 MQMGYKGFLASVDSYMNLLALPFLFNFLGNTEEYIDGQFIGNLGEILIRRNNVLYLHGVPEDEEIEDAEQD >Potri.006G167000.7.v4.1 pep chromosome:Pop_tri_v4:6:16630474:16634239:1 gene:Potri.006G167000.v4.1 transcript:Potri.006G167000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G167000.v4.1 MQMGYKGFLASVDSYMNLLALPFLFNFLGNTEEYIDGQFIGNLGEILIRICLQVICRRNNVLYLHGVPEDEEIEDAEQD >Potri.019G020500.1.v4.1 pep chromosome:Pop_tri_v4:19:3254256:3259811:1 gene:Potri.019G020500.v4.1 transcript:Potri.019G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G020500.v4.1 MKMVRQNDPFWNHVEKLDAGSFKCTFCGCEFAAATSISRIKWHLSGVRGRGVKICEKVPEEVQDAARAAIDGPPEKRNKYEAGSSNNEVTNAISAPAKDQNNEVIHLEMAQQEEAFSPGALERWMDSITDKDIESMLGRSSPEELLHDAVETVPRTEKVQHLERGSSHERTSINQADEPQGDSSEPTDLLCLGLGRCYDQLCSTVNNDAMMNEVQNMVKVRTAPVLRLLEQSNAVHDCLAGDAGRIPVGVQGMEQGAAEDRICSHLEAENGMGNTGEGSIQHVDRSFSPQRHTVDAHENRGEETQRIDLVNQSAGFSMEEEEEDVEDNIGRLVPPGAGTSSSIGLKYNTSETRGDPIPPSSTKLVGRAFEENKNVIWSLLMDDKFSTIGIYGMGGVGKTTMLQHIHNELLERRDISHRVYWVTVSRDFSINRLQNLVAICLDLDLSREDDNLRRAVKLSKELVKKQKWILILDDLWNSFELHVVGIPVNLEGCKLIMTTRSENVCKQMDSQHKIKLKPLSESEAWTLFMEKLGDDKALSPEVEQIAVDVARECAGLPLGIITVARSLRGVDDLYEWRNTLNKLRESKFNDMEDEVFRLLRFSYDQLDDLTLQHCLLYCALFPEDHIIRRDDLINYLIDEGIMKGMRSSQAAFDEGHTMLNKLENVCLLERLGGGIFIKMHDLIRDMAIQIQQENSQIMVKAGVQLKELPDAEEWTENLVRVSLMCNQIEKIPWSHSPRCPNLSTLFLCYNTRLRFISDSFFMQLHGLKVLNLSSTSIKKLPDSISDLVTLTALLLNSCLNLRGVPSLRKLTALKRLDLFNTELGKMPQGMECLSNLWYLRLDSNGKKEFLSGILPELSHLQVFVSSASIKVKGKELGCLRKLETLECHFEGHSDFVEFLRSRDQTKSLSKYRIHVGLLDDEAYSVMWGTSSRRKIVVLSNLSINGDGDFQVMFPNDIQELDIINCNDATTLCDISSVIVYATKLEILDIRKCSNMESLVLSSRFCSAPLPLPSSNSTFSGLKEFYFCNCKSMKKLLPLLLLPNLKNLEKLAVEECEKMEEIIGTPDEEISSSSSNPITKFILPKLRILRLKYLPELKSICGAKVICDSLEYIEVDTCEKLERFPICLPLLENGQPSPLPSLRSIAIYPKEWWESLAEWEHPNAKDVLLPFVCFRAG >Potri.005G051200.2.v4.1 pep chromosome:Pop_tri_v4:5:3239284:3241583:-1 gene:Potri.005G051200.v4.1 transcript:Potri.005G051200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G051200.v4.1 MAVGKNKRISKGKKGGKKKAADPFSKKDWYVVKAPSGFTVKDIGRTLVTRTQGTKIASEGLKHRVFEVSLADLQNDEDHAFRKIRLRAEDVQGRNVLTNFWGMSFTTDKLRSLVRKWQTLIEAHVDVKTTDNYTLRMFCIAFTKRCPNQVKRTCYAQSSQIRQIRRKMREIMVNQASSCDLKDLVQKFIPEVIGKEIEKATTGIYPLQNVFIRKVKILKAPKFDLGKLMEVHGDYSQDIGVKIDRPADETVAEGETEVVGA >Potri.007G145700.1.v4.1 pep chromosome:Pop_tri_v4:7:15427609:15431617:-1 gene:Potri.007G145700.v4.1 transcript:Potri.007G145700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G145700.v4.1 MAVQLHHLLSPIRDNKFKDFPSFPFITAHSEYKPTTYTEVSSTCSCLSSKFLKYPSQLHGGGFQSSISRASRRDERVLSDDGKKKRREEFSESDDDDDDYSSIKGKVNDPYLMDAEERREWRMKIREVMKKHPDVDEDEELDSEEKRMRMEKLLADYPLIVDEDDPDWPEDADGRGFGLDQFFNKITIKNKKKDDDDENYDSDKEIVWQDDDYIRPIKDITTAGWEEAVFKDISPLIVLVHNRYKRPKENENIRDALEKAVHIIWNCRLPSPRCVAIDAVVETDLVSALKVSVFPEIIFTKAGKILYREKAIRTADEFSKIMAYFYYGAGKPPCLNDIGDSQELIPSVHVSSQSF >Potri.017G043700.1.v4.1 pep chromosome:Pop_tri_v4:17:3056256:3058768:-1 gene:Potri.017G043700.v4.1 transcript:Potri.017G043700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G043700.v4.1 MGDETVKNDALQVIGMFQVLPRLVVFDLDYTLWPFYCECRSKREMPSLFPQAKGILYALKEKGIDMAIASRSPTSDIAKTFIDKLSLKPMFVAQEIFSSRTHKIDHFQMIHTRTGIPFNSMLFFDDENRNIQSVSKMGVTSILVGDGVNLGALRQGLSEFSQNASKSEKNKQRWQKYSQNPNSSEKKDED >Potri.018G145576.1.v4.1 pep chromosome:Pop_tri_v4:18:15512511:15512887:-1 gene:Potri.018G145576.v4.1 transcript:Potri.018G145576.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G145576.v4.1 MTVTDEPGYYEDGNFGIRLENVLIVKEADTKFNFGDKGYLSFEHKTWAPYQTKMIDLTLLGPEEINWRNSYHGRCRDILAPYLDESEMAWLNKATEPIGV >Potri.011G156250.1.v4.1 pep chromosome:Pop_tri_v4:11:18276432:18277445:-1 gene:Potri.011G156250.v4.1 transcript:Potri.011G156250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G156250.v4.1 MTHHLVLSYELHKKMEVFRPHKAYPVELAQFHSEDYVEFLHRITPDTQHLFAGEMARCVDFSL >Potri.001G434200.1.v4.1 pep chromosome:Pop_tri_v4:1:45970555:45971397:-1 gene:Potri.001G434200.v4.1 transcript:Potri.001G434200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434200.v4.1 MTGRRPIEPKREIKERLTAKWAIKKFAEGNAIVILDPKLERTAANNLALEKILELALQCLAPGRQSRPSMRKCAEVLWSIRKDYKEQSTSDFCYLISSKSQGSFSVITEE >Potri.001G434200.2.v4.1 pep chromosome:Pop_tri_v4:1:45970677:45970952:-1 gene:Potri.001G434200.v4.1 transcript:Potri.001G434200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G434200.v4.1 MQAIKKFAEGNAIVILDPKLERTAANNLALEKILELALQCLAPGRQSRPSMRKCAEVLWSIRKDYKEQSTSDFCYLISSKSQGSFSVITEE >Potri.016G009000.1.v4.1 pep chromosome:Pop_tri_v4:16:421530:421715:1 gene:Potri.016G009000.v4.1 transcript:Potri.016G009000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G009000.v4.1 MPLSFSDLSHFPTGTLVPSGLGHQLLQIRNRGKADFSVNNVLVIKPNLCLNSTIKCHGIDF >Potri.009G027500.1.v4.1 pep chromosome:Pop_tri_v4:9:3893475:3898369:1 gene:Potri.009G027500.v4.1 transcript:Potri.009G027500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027500.v4.1 MAAAVSSRGGRNTVVVQIPRKWNEDREATPERARAFWSESRKLSRKAPVVYYLSRNGQLDHPHFMEVPLSSNDGLYLSDVINQLDLLRGKGMASLYSWSSKRSFKNGFVWHDLTENDFIHPAHGHEYILKGSEILDHSNQQLFLETKPQETSQSSASQASEFPVITRRRNQSLSSINLNEYKVYKAESFSESARKLAADASTQTDDSRRRRRHVKPEIKKMKEEKKISQEREANRDEIMEISPPPSDSSPETLESLMKADGRLILGGHNEGSGMDLNQTAKNCGKMKASTVLMQLISCSSSISFRDCGATPGKEPGLPLIAGHYKGRLPCGGGNREATSREIYNFSRVKLEEKEYFSGSLIETKKEEVPALNLKRSSSCSAARSSHLQLAEKEIEGVRTKCMPRKSRAMVTRRESSVNVVVDNDNKNNNVDSSQVG >Potri.009G027500.3.v4.1 pep chromosome:Pop_tri_v4:9:3893477:3895294:1 gene:Potri.009G027500.v4.1 transcript:Potri.009G027500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G027500.v4.1 MAAAVSSRGGRNTVVVQIPRKWNEDREATPERARAFWSESRKLSRKAPVVYYLSRNGQLDHPHFMEVPLSSNDGLYLSDVINQLDLLRGKGMASLYSWSSKRSFKNGFVWHDLTENDFIHPAHGHEYILKGSEILDHSNQQLFLETKPQETSQSSASQASEFPVITRRRNQSLSSINLNEYKVYKAESFSESARKLAADASTQTDDSRRRRRHVKPEIKKMKEEKKISQEREANRDEIMEISPPPSDSSPETLESLMKADGRLILGGHNEGSGMDLNQTAKNCGKMKASTVLMQLISCSSSISFRDCGATPGKEPGLPLIAGHYKGRLPCGGGNREATSREIYNFSRVKLEEKEYFSGSLIETKKEEVPALNLKRSSSCSAAR >Potri.013G114900.1.v4.1 pep chromosome:Pop_tri_v4:13:12312357:12313965:-1 gene:Potri.013G114900.v4.1 transcript:Potri.013G114900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G114900.v4.1 MEDIEEEELLNLSLATVTDSSGGDMKRKRKRSRADHVFNPLMNSYEGCSEAKIYRLLQMREQMIKLDHKKKAAVEETGKGLHLIHLLLITATAADENNVGSALENLTELYQSVSFTGDSVQRVVAYFADGLAARLLTKKSPFYDMIMKEPTSEEEFLAFTDLYRVSPYYQLAHFTANQAILEAYEKEEDNNNSALHVIDFDVSYGFQWPSLIQSLSEKASSGNRISLRITGFGKSAEELQETESRLVSFAKGFRNLVFEFQGLLRGSKLINLRKKKNETVAVNLVFHLNTLNDSLKISDTLKSIRSLNPSIVVLAEQEGSRSPRSFLSRFMESLHYFAAMFDSLDDFLPLESSERLSIEKNHLGKEIKSMLNYDKDDANCPRYDKMETWKGRMEGHGFAGMKLSSKSLIQAKLLLKIRTHYCPLQFDGESGGGFKVFERDDGKAISLGWQDRCLITASAWHCV >Potri.013G111566.1.v4.1 pep chromosome:Pop_tri_v4:13:12008865:12009224:-1 gene:Potri.013G111566.v4.1 transcript:Potri.013G111566.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G111566.v4.1 MASSKCFNVLTFFFALSFSSINGGLAARHLLQLPPLPAVTNLPKPTLPPLPTIPTLPQPNLPTLPTTQPSLPKPTLPPLPSLPTMPAVPKVALPPLPSIPSIPTTIPSIPFLSPPPAGN >Potri.011G074401.1.v4.1 pep chromosome:Pop_tri_v4:11:7853508:7861081:-1 gene:Potri.011G074401.v4.1 transcript:Potri.011G074401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G074401.v4.1 MDGIKYAVVTDKSIRLLLKNQYTSNVESGSTRTEIKHWVELFFGVKVIAMNSHRLPGKGRRMRPIIMRNSLSSYCSRDIGHIGGPIPSYMAIHLYKTSTLSTRNGAVDSQVKSNTRNNLIYGQHHCGKGRNSRGIITTRHRGGGHKRLYHKIEFRRNEKYIYGRIVTIEYDPNRNAYICLIHYGDGAIIGDTIISGTEVPIKMGNALPLSTAIHNIEITLGRGGQLARAAGVVAKLIAKEGKSATLKLPSGEVRLISKNCSATVGQVGNAGVNQKSLGRVGSKCWLGKRPTIPIGGGEGRAPIGRKKPATPWGYPALGRRSRKRNKYSDNLILRRRSK >Potri.001G408500.1.v4.1 pep chromosome:Pop_tri_v4:1:43575553:43582954:-1 gene:Potri.001G408500.v4.1 transcript:Potri.001G408500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408500.v4.1 METRFRRDKLSSGSGSSKELKNSFRTFVSRSKEYAMRDEKLAKVIKEFYIPDYIVAPEESNSSSCSGTSDDEDDAPSCPVIVFINSKSGGQLGRQLLITYRSLLNQNQVIDLGEKKPDKVLHEVYARLHKLKCNGDQFAAEIEKRLRIIVAGGDGTAGWLLGVVSDLKLPQPPPIATVPLGTGNNLPFAFGWGKKNPGTDRLSVEAFLEQVRTAKEMKIDSWHIIMRMRIPKEGSFDPIAPLELPHSLHAFHRVSHSDSLNMDGYHTFRGGFWNYFSMGMDAQISYAFHSERKLHPEKFKNQLVNQSTYLKLGCTQGWFLASVFHPSSRNIAQLARVKIMKVGQSQWVDLDIPRSVRSIVCLNLPSFSGGLNPWGKPSHKKLLDRDLTPPYVDDGFFEVVGFRNAWHGLVLYAPNGHGTRLAQAHRIRFEFRKGAAGHTFMRIDGEPWKQPLPVDDDKVVVEISHRGQVTMLATPSCRSRSVCDPSSPTCYQEDDSDEEDEDWEERRKFGAADTFKFPDGFDIAQLS >Potri.001G408500.2.v4.1 pep chromosome:Pop_tri_v4:1:43575547:43583168:-1 gene:Potri.001G408500.v4.1 transcript:Potri.001G408500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G408500.v4.1 MRDEKLAKVIKEFYIPDYIVAPEESNSSSCSGTSDDEDDAPSCPVIVFINSKSGGQLGRQLLITYRSLLNQNQVIDLGEKKPDKVLHEVYARLHKLKCNGDQFAAEIEKRLRIIVAGGDGTAGWLLGVVSDLKLPQPPPIATVPLGTGNNLPFAFGWGKKNPGTDRLSVEAFLEQVRTAKEMKIDSWHIIMRMRIPKEGSFDPIAPLELPHSLHAFHRVSHSDSLNMDGYHTFRGGFWNYFSMGMDAQISYAFHSERKLHPEKFKNQLVNQSTYLKLGCTQGWFLASVFHPSSRNIAQLARVKIMKVGQSQWVDLDIPRSVRSIVCLNLPSFSGGLNPWGKPSHKKLLDRDLTPPYVDDGFFEVVGFRNAWHGLVLYAPNGHGTRLAQAHRIRFEFRKGAAGHTFMRIDGEPWKQPLPVDDDKVVVEISHRGQVTMLATPSCRSRSVCDPSSPTCYQEDDSDEEDEDWEERRKFGAADTFKFPDGFDIAQLS >Potri.013G021600.1.v4.1 pep chromosome:Pop_tri_v4:13:1401797:1405193:1 gene:Potri.013G021600.v4.1 transcript:Potri.013G021600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021600.v4.1 MDGTDSLFYYYPLPKKKPPVSPSPCPTDHHIPTTITNANTDTTTTKTTTATTKNATINNNNNNKNSFPFYKPIEIKERDFLFNYNPFHKHKAAPTSSSLDSPPTNTKTTKKMDSHVLLEVFTCRDTLIMILRKLGARDLARASCVCKLWRDMASGDEIVRPAFMEPWKLKDIVGKPVSGSFWRDSGIWKFAISHKIVKGDSVASLAVKYSVQVMDIKRINNMTSDHGINSRERLLIPIINPNLLINETCYIELDIHAKREVAVLYPEGKPDEKLMSKGSSSDHGKRRVIDSLKRSMQVDDGTAQYYWSISNGDPRAALTEFSADLRWERDAGLG >Potri.013G021600.2.v4.1 pep chromosome:Pop_tri_v4:13:1401797:1405218:1 gene:Potri.013G021600.v4.1 transcript:Potri.013G021600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G021600.v4.1 MDGTDSLFYYYPLPKKKPPVSPSPCPTDHHIPTTITNANTDTTTTKTTTATTKNATINNNNNNKNSFPFYKPIEIKERDFLFNYNPFHKHKAAPTSSSLDSPPTNTKTTKKMDSHVLLEVFTCRDTLIMILRKLGARDLARASCVCKLWRDMASGDEIVRPAFMEPWKLKDIVGKPVSGSFWRDSGIWKFAISHKIVKGDSVASLAVKYSVQVMDIKRINNMTSDHGINSRERLLIPIINPNLLINETCYIELDIHAKREVAVLYPEGKPDEKLMSKGSSSDHGKRRVIDSLKRSMQVDDGTAQYYWSISNGDPRAALTEFSADLRWERDAGLG >Potri.019G002300.1.v4.1 pep chromosome:Pop_tri_v4:19:839971:841080:-1 gene:Potri.019G002300.v4.1 transcript:Potri.019G002300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G002300.v4.1 MGTQNLMINKSTAKILLHLLLLSLLHQITTATLTDQELDFALLSLRSYGYTLFPNAISTSDLRLQLLNQSSNATSTSTFTLFCPPDSLLFSVDLASTAPHYTKSLFLHMSPSRLSTSDLRNLTAASGGTYIDSLVPNHRLLITNSLAQLNGTVDGSILVNRVRVSVPDLFLGSDIAVHGLDGILVAGFDEKVEDTSFEAATWSPANAIGSAELNSPLAGRFPARRRKGRNHRHNGRNGGIRRNNHRGRRINGGHRRGVGRNVSGGTRGGGVTRGAFAMYNHRL >Potri.008G122200.1.v4.1 pep chromosome:Pop_tri_v4:8:7939430:7948875:-1 gene:Potri.008G122200.v4.1 transcript:Potri.008G122200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G122200.v4.1 MEVKEEESSGTPLELFLKIGLDERTARNTIANNKVTNNLTAVIHEAGVTEGCNRTIGNLLYMVATKHPANALIHRPTLLGYIVSAKIKTPAQLEAAFSFLSSTASESFELKEFEEACGVGVEVSEEDIEQTVNEVFKQNKGSILELRYRINVGDLFGHVRKRLPWADPKIVKKLIDAKLYELLGERTAADNEKPSKQKKEKPAKVEDKKVADENPVQPSEDLNPFLIFPNPEENFKVHTEVFFSDRPVLRCCNTKEVLDKHLKRTSGKVYTRFPPEPNGYLHIGHAKAMFVSFGLAKERGGCCYLRYDDTNPEAEKKEYIDHIEEIVEWMGWKPFKITYTSDYFQELYELAVELIRRGHAYVDHQTPEEIKEYREKKMDSPWRDRPIAESLKLFEEMRLGMIEEGKATLRMKQDMQSDNGNMYDLIAYRIKFTPHPHSGDKWCIYPSYDYAHCIVDSLEDITHSLCTLEFETRRASYYWLLHVLDLYQPYVWEYSRLNVTNTVMSKRKLNFLVTNKHVDGWDDPRLMTLAGLKRRGVTSTAINAFVRGIGITRSDCSTIRLERLEYHIREELNRTAPRTLVVLQPLKVVVTNLESGLVMDLDAKKWPDASTEDSSAFYKVPFSRVVYIEQSDFRMKDSKDYYGLAPGKSVLLRYAFPIKCTEVVLADDNKSIVEIRAEYDPSKKTKPKGVIHWVAEPSREVDPLSIEVRLFDKLFNSENPAEHEDWLSDVNLQSKVVISGAYAVPSLRDAAMGDRFQFERLGYFVVDKDSTPERLVFNRTVTLRDSYGKSGK >Potri.017G142500.1.v4.1 pep chromosome:Pop_tri_v4:17:14285306:14291564:1 gene:Potri.017G142500.v4.1 transcript:Potri.017G142500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142500.v4.1 MVSSLRLPSLTSLFSSPYLRRPPPLLRRPHHHHHKLSFPPPLTTAFSSQTTSASTTENPKKQTNQDQKVITPRSQDFNAWFLDIIANAELADYGPVRGTMVIRPYGYAIWEAIQDYLNVKFKETGHSNMYFPQFIPFSFIEKEASHVEGFSPELALVTIGGGKELEEKLVVRPTSETIVNHMFTQWIHSYRDLPLMVNQWANVTRWEMRTKPFVRTLEFLWQEGHTAHANPEEAENEALQMIDVYTKFAYEQAAIPVIAGRKSKAETFAGADRTYTIEAMMGDRKALQAGTSHNLGQNFSRAFGTQFMDENGERQHVWQTSWAVSTRFIGGIIMTHGDDSGLMLPPKIAPIQVVIVPIWKKEDEKTGVLNAASSVKKVLQSAGIKVKLDDTDQRTPGWKFNFWEMKGVPLRIEIGPRDVSSASVVISRRDVPGKQGKVFGISMEPSILEAYVKDKLDEIQSSLLGMATSFRDSNIVDVSSYDELKAAITLGKWARGPWSASDADEKRVKEETGATIRCFPFEQPQGTKTCLMTGSPAEEVAIFAKSY >Potri.016G064100.3.v4.1 pep chromosome:Pop_tri_v4:16:4482287:4493965:1 gene:Potri.016G064100.v4.1 transcript:Potri.016G064100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064100.v4.1 MTMMTPSPLDQEDEEMLVPHSDLVEGPQPMEVVAQVEQTSTVENQPVEDPPSMKFTWTIENFTRLNTKKHYSDIFIVGSYKWRVLIFPKGNNVDHLSMYLDVADSTALPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVVIEAEVAVCKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHISYFRKAVYHMPTTENDMPTGSIPLALQSLFFKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVEYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPESDRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGKDIYFDLVDHDKVRNFRIQKQTQFSLFKEEVAKELGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKTHNAELKLFLEVELGLDLRPIAPPEKTKEDILLFIKLYDPEKQELRYVGRLFVKNSSKPIEILAKLNQMAGFASEEEIELYEEIKFEPCVMCEHLDKRASFRTSQIEDGDIICFQKSPPENEGDCRNPDVPSYLEYVHNRQIVHFRSLEKAKEDDFCLELSKLHTYDDVVERVARQIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINELKTKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFTKESGQNQMQVQNFGEPFFLAIHEGETLAEVKMRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVFTRFQRRDVYGAWEQYLGLEHSDNTPKRSYAVNQNRHTFEKPVKIYN >Potri.016G064100.4.v4.1 pep chromosome:Pop_tri_v4:16:4485603:4493964:1 gene:Potri.016G064100.v4.1 transcript:Potri.016G064100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064100.v4.1 MRDTMVKINDRYEFPLQLDLDRENGKYLSPESDRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGKDIYFDLVDHDKVRNFRIQKQTQFSLFKEEVAKELGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKTHNAELKLFLEVELGLDLRPIAPPEKTKEDILLFIKLYDPEKQELRYVGRLFVKNSSKPIEILAKLNQMAGFASEEEIELYEEIKFEPCVMCEHLDKRASFRTSQIEDGDIICFQKSPPENEGDCRNPDVPSYLEYVHNRQIVHFRSLEKAKEDDFCLELSKLHTYDDVVERVARQIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINELKTKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFTKESGQNQMQVQNFGEPFFLAIHEGETLAEVKMRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVFTRFQRRDVYGAWEQYLGLEHSDNTPKRSYAVNQNRHTFEKPVKIYN >Potri.016G064100.1.v4.1 pep chromosome:Pop_tri_v4:16:4482278:4493979:1 gene:Potri.016G064100.v4.1 transcript:Potri.016G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G064100.v4.1 MTMMTPSPLDQEDEEMLVPHSDLVEGPQPMEVAQVEQTSTVENQPVEDPPSMKFTWTIENFTRLNTKKHYSDIFIVGSYKWRVLIFPKGNNVDHLSMYLDVADSTALPYGWSRYAQFSLAVVNQIHNKYSIRKDTQHQFNARESDWGFTSFMPLSELYDPSRGYLVNDTVVIEAEVAVCKVLDYWSYDSKKETGYVGLKNQGATCYMNSLLQTLYHISYFRKAVYHMPTTENDMPTGSIPLALQSLFFKLQYNDTSVATKELTKSFGWDTYDSFMQHDVQELNRVLCEKLEDKMKGTVVEGTIQQLFEGHHMNYIECINVEYKSTRKESFYDLQLDVKGCRDVYASFDKYVEVERLEGDNKYHAEEHGLQDAKKGVLFIDFPPVLQLQLKRFEYDFMRDTMVKINDRYEFPLQLDLDRENGKYLSPESDRSVRNLYTLHSVLVHSGGVHGGHYYAFIRPTLSDQWFKFDDERVTKEDVKRALEEQYGGEEELPQTNPGFNNTPFKFTKYSNAYMLVYIRESDKDKIICNVDEKDIAEHLRIRLKKEQEEKEDKRRYKAQAHLYTIIKVARDEDLKEQIGKDIYFDLVDHDKVRNFRIQKQTQFSLFKEEVAKELGIPVQFQRFWIWAKRQNHTYRPNRPLTPQEEAQSVGQLREVSNKTHNAELKLFLEVELGLDLRPIAPPEKTKEDILLFIKLYDPEKQELRYVGRLFVKNSSKPIEILAKLNQMAGFASEEEIELYEEIKFEPCVMCEHLDKRASFRTSQIEDGDIICFQKSPPENEGDCRNPDVPSYLEYVHNRQIVHFRSLEKAKEDDFCLELSKLHTYDDVVERVARQIGLDDPSKIRLTSHNCYSQQPKPQPIKYRGVEHLSDMLVHYNQTSDILYYEVLDIPLPELQGLKNLKVAFHHATKDEVVIHNIRLPKQSTVGDVINELKTKVELSHPNAELRLLEVFYHKIYKIFPPNEKIENINDQYWTLRAEEIPEEEKNLGPQDRLIHVYHFTKESGQNQMQVQNFGEPFFLAIHEGETLAEVKMRIQKKLQVPDEEFAKWKFAFLSLGRPEYLQDSDVVFTRFQRRDVYGAWEQYLGLEHSDNTPKRSYAVNQNRHTFEKPVKIYN >Potri.014G049100.5.v4.1 pep chromosome:Pop_tri_v4:14:3157428:3160857:1 gene:Potri.014G049100.v4.1 transcript:Potri.014G049100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049100.v4.1 MAAPSELVGGNQNDQAAQPSASNQNNQLVQLSADKNKKRKKREADKKFRDGKKQKTEDMLKQNEKLKADNAELEKKLAYTNGKLEQLKEDMEQTRETSRIQKQMVDTQYNIINMLRQHLQLPFEMNEFDTGATGPPIHHPPEGPAIEESSGLPQSSPLQGLAHESILGTQEAWLPVHHPPAFQPGTTMGSGRPGH >Potri.014G049100.4.v4.1 pep chromosome:Pop_tri_v4:14:3157475:3160863:1 gene:Potri.014G049100.v4.1 transcript:Potri.014G049100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G049100.v4.1 MAAPSELVGGNQNDQAAQPSASNQNNQLVQLSADKNKKRKKREADKKFRDGKKQKTEDMLKQNEKLKADNAELEKKLAYTNGKLEQLKEDMEQTRETSRIQKQMVDTQYNIINMLRQHLQLPFEMNEFDTGATGPPIHHPPEGPAIEESSGLPQSSPLQGLAHLPFGMTQESILGTQEAWLPVHHPPAFQPGTTMGSGRPGH >Potri.T126606.4.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:197863:204010:-1 gene:Potri.T126606.v4.1 transcript:Potri.T126606.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126606.v4.1 MYQQLQYQQISNPFVAPSAYGSTTNPYSMPGLSHIQSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTVSLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRSNPCLDASEDASNNGPADEGAREVKASQ >Potri.T126606.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:197863:204010:-1 gene:Potri.T126606.v4.1 transcript:Potri.T126606.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126606.v4.1 MYQQLQYQQISNPFVAPSAYGSTTNPYSMPGLSHIQSGDFKHQPLASGYEVSSVSGNANPINKLADCPVKPQRMTPQEKIEKLRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTVSLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRSNPCLDASEDASNNGPADEGAREVKASQ >Potri.T126606.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:197790:204010:-1 gene:Potri.T126606.v4.1 transcript:Potri.T126606.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126606.v4.1 MYQQLQYQQISNPFVAPSAYGSTTNPYSMPGLSHIQSGDFKHQPLASGYEVSSGNANPINKLADCPVKPQRMTPQEKIEKLRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTVSLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPNTPESPFSTKLPCEHKTMGLAKLSTGSLSETPKDNPDILSAGRQ >Potri.T126606.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:197877:204010:-1 gene:Potri.T126606.v4.1 transcript:Potri.T126606.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T126606.v4.1 MYQQLQYQQISNPFVAPSAYGSTTNPYSMPGLSHIQSGDFKHQPLASGYEVSSVSGNANPINKLADCPVKPQRMTPQEKIEKLRRQQIQAMLAIQKQQQQLVHQKCSQENQIQHVEGADLEVEDLSTLASFDPNSPIEQDDSNTVSLAVNDYSMEDTVLYRLQDIISKLDVRIRLCIRDSLFRLAQSAMQRHYASDTGSTNNSSRDEQVAAKEETSSQRRVVKMPEVETETNPVDRTVAHLLFHRPMDIPGKHPNTPESPFSTKLPCEHKTMGLAKLSTGSLSETPKDNPDILSAGRQ >Potri.002G141400.2.v4.1 pep chromosome:Pop_tri_v4:2:10621404:10623344:-1 gene:Potri.002G141400.v4.1 transcript:Potri.002G141400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G141400.v4.1 MLLIFHTNLSPRTSLSLVYNPPRCDISSIKISLYRTIFFLNLLKMSESDSNGASKHCTTPSRRAPTLGKATLLAIGKAFPSQLIPQECLVEGYIRDTKCDDASIKEKLERLCKTTTVKTRYTVMSREILDKYPELATEGTPTIRQRLEIANPAVVEMALKASMACINEWGGSVEDITHIVYVSSSEVRLPGGDLYLASQLGLRNDVGRVMLYFLGCYGGVTGLRVAKDIAENNPGSRVLLTTSETTILGFRPPSKARPYDLVGAALFGDGAAAVIIGANPVIGKESPFMELNYSVQQFLPGTQNVIDGRLSEEGIHFKLGRDLPQKIEDNIEEFCNKLMSKAGLTDFNELFWAVHPGGPAILNRLESKLKLNEEKLECSRRALMDYGNVSSNTIVYVLEYMRDELKRGGGEWGLALAFGPGITFEGILLRSL >Potri.007G027700.1.v4.1 pep chromosome:Pop_tri_v4:7:2116911:2120590:-1 gene:Potri.007G027700.v4.1 transcript:Potri.007G027700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G027700.v4.1 MKDDDSLPTTTAPSVKKETSDSVLFGKGRYKFWALAAILLLAFWSMLTGTVTLRWSAGNLNSLVDDIDTPIRDDLDVLEMEDREKVVKHMWDIYTNSRRIRLPKFWQEAFEAAYEELTSDASDVKEAAIAEIAKMSIRSIDLDPLPVQSTRARELSKSLKLAAVASKGSGH >Potri.012G052100.1.v4.1 pep chromosome:Pop_tri_v4:12:4782553:4790717:1 gene:Potri.012G052100.v4.1 transcript:Potri.012G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052100.v4.1 MSENDVEKKVADRYLKREILGEGTYGVVYKAIDTKTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDPNIFLSPGDIKSYFQMTLKGLLVCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPGRKFTHQVFARWYRAPELLFGAKQYGAGVDVWAAGCILAELLNRRPFLQGDSDIDQLGKIFQKLGTPTPSQWPDLEWLPDFVEYSSQTAQPWRKLCPTASDDALDLLSKLFTYDPKTRITVQQALEHRYFTSVPLPTDPAKLPRPAPKRESHNPRTSDLHEGPTVLSPKKKARRVMPDREVFDGNAYHVDKIDQHGGEIRWAAGDNTSRNEQVPMSVDFSIFGAKPMSRPTINSADRSHLKRKLDLEFQHPE >Potri.012G052100.4.v4.1 pep chromosome:Pop_tri_v4:12:4782552:4788447:1 gene:Potri.012G052100.v4.1 transcript:Potri.012G052100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G052100.v4.1 MSENDVEKKVADRYLKREILGEGTYGVVYKAIDTKTGQTVAIKKIRLGKQKEGVNFTALREIKLLKELKDPNIIELIDAFPHKGNLHLVFEFMETDLEAVIRDPNIFLSPGDIKSYFQMTLKGLLVCHKKWVLHRDMKPNNLLIGSNGQLKLADFGLARIFGSPGRKFTHQVFARWYRAPELLFGAKQYGAGVDVWAAGCILAELLNRRPFLQGDSDIDQLGKIFQKLGTPTPSQWPDLEWLPDFVEYSSQTAQPWRKLCPTASDDALDLLSKLFTYDPKTRITVQQALEHRYFTSVPLPTDPAKLPRPAPKRESHNPRTSDLHEGPTVLSPKKKARRVMPDREVFDGNAYHVDKIDQHGGEIRWAAGDNTSRNEQVPMSVDFSIFGAKPMSRPTINR >Potri.011G125501.2.v4.1 pep chromosome:Pop_tri_v4:11:15936993:15941110:1 gene:Potri.011G125501.v4.1 transcript:Potri.011G125501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125501.v4.1 MIVIPTTFLWQSFDHPGDTMILGIKLGSNFVTKIDKFLSSWKSAEDPARGEYSFVIDTHGYPQLLLKRGNITLFRAGPWNGIKFIANPSPIPISDEFVFNSKEVYFQFGNQTSVLSRLTLSPLGLPQSFTWNDRTNDWVITDVGQFDQCENYAFCGPNTRCEMSRSPICACLDGFIPKSLADWNFSDWSDGCIRRTPLECSDKVGFLKYTGMKFPDTSSSWYDKSISLKECQGLCLKNCSCTAYANLDIRQGGSGCLIWFGDLIDTRRSTGDGQDLFVRMNASELGVPTKKRTFSKKLAGIVSSAIVAGIGMLMLGIIISMRKWNLRKKNHCEEREEDMELPIFDMSTIAHATDAFSNSNKLGEGGFGPVYKGILIGGQQIAVKRLSKSSGQGLDEFKNEVMLIAKLQHRSLVKLLGCCIHEDERMLIYEYMPNKSLDSFIFGANPSELIRLKFYKFY >Potri.011G125501.1.v4.1 pep chromosome:Pop_tri_v4:11:15937037:15940609:1 gene:Potri.011G125501.v4.1 transcript:Potri.011G125501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125501.v4.1 MIVIPTTFLWQSFDHPGDTMILGIKLGSNFVTKIDKFLSSWKSAEDPARGEYSFVIDTHGYPQLLLKRGNITLFRAGPWNGIKFIANPSPIPISDEFVFNSKEVYFQFGNQTSVLSRLTLSPLGLPQSFTWNDRTNDWVITDVGQFDQCENYAFCGPNTRCEMSRSPICACLDGFIPKSLADWNFSDWSDGCIRRTPLECSDKVGFLKYTGMKFPDTSSSWYDKSISLKECQGLCLKNCSCTAYANLDIRQGGSGCLIWFGDLIDTRRSTGDGQDLFVRMNASELGVPTKKRTFSKKLAGIVSSAIVAGIGMLMLGIIISMRKWNLRKKNHCEEREEDMELPIFDMSTIAHATDAFSNSNKLGEGGFGPVYKGILIGGQQIAVKRLSKSSGQGLDEFKNEVMLIAKLQHRSLVKLLGCCIHEDERMLIYEYMPNKSLDSFIFDQTGRKLLDWSQRINIIGGIARGLLYLHQDSRLRIIHRDIKASNILLDDELNPKISDFGLARMFGGDQTEAKTSRIVGTYGYMSPEYASNGYFSVKSDAFSFGVLVLEIVSGKKNRGFRHLDPNLNLLGHAWMLWIKGTPFELIDEFLIESCNLSEVLRCIHVALLCVQQRPEDRPNMSAVVLILGSEIPLPQPKQPGFFMGENPHEHSTSSNRHVTYSGEEVSLISLDPR >Potri.010G134300.1.v4.1 pep chromosome:Pop_tri_v4:10:15005471:15008252:-1 gene:Potri.010G134300.v4.1 transcript:Potri.010G134300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G134300.v4.1 MASFTEINAITISLILILSFTLPTSTSAQQQCDSASTGGCHDKAKSLQLKLIAIFSILVASMIGVCLPLFSRAIPALMPDRDLFVVIKAFASGVILATGYMHVLPDSFNDLMSDCLPINPWKKFPFTTFVAMLSALLTLMIDSFAMSYYKKHGFDRKGGGVDGEKVNNGERGLGNVENGGAHVGHCHGFNGGANDKDSMLLRNRVVAQVLEIGIVVHSVVIGLSMGASNNPCTIRPLIAALCFHQLFEGMGLGGCILQAEYGMKIKAILVFFFSTTTPFGIVLGIGLSNVYSESSPTALIVVGLLNASSAGLLNYMALVDLLAADFMGPKLQDSMRLQAWSFVAVLLGAGGMSLMAKWA >Potri.007G108800.1.v4.1 pep chromosome:Pop_tri_v4:7:13113377:13116257:1 gene:Potri.007G108800.v4.1 transcript:Potri.007G108800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G108800.v4.1 MGKELEQSMLRETTHRAQVMTLKNTRTSTVLENNSPSVTTLSCGSSSSLISSSIEHLCGSSESFRTLNNGGTKGNSTERRLAWLRSQIVGDDVEFDSPFGRRRLTYADHTASGRSLRYIENFIIKNVLPFYGNTHTSDSHVGHRTTKMLHEAAEYIKKCLGGRQNDAIMFCGSGTTAAIKRLQEVIGIAVPSTLRERLIKCLSNEERWVVFVGPYEHHSNLLSWRQSLAEVIEIGLDDNGLIDIEDLRRRLETYRHANRPILGSFSASSNVTGISSDTRGISQLLHQYGGFACFDFAASGPYEKIDMRSGKFDGYDAIFLSPHKFLGGPGSPGILLMRKALYQLRSSAPSTCGGGTVNYVNGFSEKDTLYLNDIEERESGGTPQIIQTIRASLAFWVKEYISHQVIKEQEDIYIEKALNRLLPNKNIWVLGNTTARRQAILSFLIYSTSNSSSTGMIHERDGTDNKDVNDEVLYMWRETGKRRGKPLHGPFIAALLNDVFGIQARGGCACAGPYGHNLLHVNEPSTLAIRSAIEKGYAGVKPGWTRLSFPYYMFNEEFEFILTAIEFLAIYGHRFLPLYHFNWKTGSWTFKKREFKDLVVEENKDNINKFESYLIRAKQIVKLLPKFPSQRKIPQDINPNLLFFQV >Potri.011G007400.1.v4.1 pep chromosome:Pop_tri_v4:11:568973:570476:-1 gene:Potri.011G007400.v4.1 transcript:Potri.011G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G007400.v4.1 MCCVCVYIYIEYNYQCKQFSKHNTHSLNFVTPPTFLSKMDWTRGQTIGHGSSATVSMAKANRSGQVFAVKSAELLKSESLQREQSILSTLKCPQIVVYKGCDITNENGKLFYNLFLEYISGGTLIDAIREGGGCLDEAMIRLYARTILLGLEYLHCNGIVHCDIKGHNILVTGDGAKIADLGCAKRVDEVSGDTALKTTAPIAGTPLYMAPEVARGEHQGFPADIWSVGCTVVEMATGQAPWVNVSDPVSALYQIGFSGNVPEIPSFMSKQAKDFLSKCLKRDPGERWSASELLKHDFITEEPNSALKEIISSTNVDTPTCVLDQVLWDSIEELETTWDLSQESPSVSPVERIKQLTEGNGEVPNWSWDDTWITVRSNEQEMVPCSEDYNLAYASGHIGHSGAEITWASMEYDFVFFLNELTYISGNKTSSSGSCGDGSRHKILLMPCECREGALCGCSNFLKEINFGFLHGNACTWLFKNTYFLINHSC >Potri.006G210000.1.v4.1 pep chromosome:Pop_tri_v4:6:21749878:21751995:1 gene:Potri.006G210000.v4.1 transcript:Potri.006G210000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G210000.v4.1 MASAITPLILFSTCATSHLQLLSSPSPPPFLPLTSLASAACKTRFSRLPELHSHRNILPVPKSNPENDGIVAADNDGEDGVSLGTLKLPGNTDLQRFENLLFQWANSLCQGANLPLPMPLKVDKIPGGTRLGFITVGDGKTEVLVYIDCLVFPATSDSGPIFRAIRNGTLKDVSPPGEPRIMRSLLQALQKSVRIATV >Potri.002G038000.1.v4.1 pep chromosome:Pop_tri_v4:2:2506152:2509148:1 gene:Potri.002G038000.v4.1 transcript:Potri.002G038000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038000.v4.1 MDKLKLSEWGELLKTGGAQMSRLVSGKVKEMLQTPTPESKMVDEATLETMEEPNWGLNLRICAMINSQEFSGTEIVKAMKRKFSGKSVVSQRLSLDLLEACTSNCEKVFSEVASEKVLDEMARMIENPQTDQGNRDRALQLIRAWGESEDLEYLPVFHQTYMSLKERSLPPPPVEDGSSFPMQYSLESYVHQEPLSPPGNYPIPDMGLHGADHNTLPYNFGGLSIKEKNEMLVTTRNSLELLSSILKAETEPKPIKEDLTVSLLDKCKQSQPDIQRIIESTTDDEAMLFEALNLHDELQQVISQYEELEAGIKSREQLPESSDNTGASMLPAQLGHQNETKIADYPTGANMLAAQSGHQNETKMTDSPTGANMLPAEIEHHDKTKTADSHKGESTESSSAKKIDEGEFSG >Potri.002G038000.3.v4.1 pep chromosome:Pop_tri_v4:2:2505993:2509048:1 gene:Potri.002G038000.v4.1 transcript:Potri.002G038000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038000.v4.1 MDKLKLSEWGELLKTGGAQMSRLVSGKVKEMLQTPTPESKMVDEATLETMEEPNWGLNLRICAMINSQEFSGTEIVKAMKRKFSGKSVVSQRLSLDLLEACTSNCEKVFSEVASEKVLDEMARMIENPQTDQGNRDRALQLIRAWGESEDLEYLPVFHQTYMSLKERSLPPPPVEDGSSFPMQYSLESYVHQEPLSPPGNYPIPDMGLHGADHNTLPYNFGGLSIKEKNEMLVTTRNSLELLSSILKAETEPKPIKEDLTVSLLDKCKQSQPDIQRIIESTTDDEAMLFEALNLHDELQQVISQYEELEAGIKSREQLPESSDNTGASMLPAQLGHQNETKIADYPTGANMLAAQSGHQNETKMTDSPTGANMLPAEIEHHDKTKTADSHKGESTESSSAKKIDEGEFSG >Potri.002G038000.2.v4.1 pep chromosome:Pop_tri_v4:2:2506346:2509073:1 gene:Potri.002G038000.v4.1 transcript:Potri.002G038000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G038000.v4.1 MDKLKLSEWGELLKTGGAQMSRLVSGKVKEMLQTPTPESKMVDEATLETMEEPNWGLNLRICAMINSQEFSGTEIVKAMKRKFSGKSVVSQRLSLDLLEACTSNCEKVFSEVASEKVLDEMARMIENPQTDQGNRDRALQLIRAWGESEDLEYLPVFHQTYMSLKERSLPPPPVEDGSSFPMQYSLESYVHQEPLSPPGNYPIPDMGLHGADHNTLPYNFGGLSIKEKNEMLVTTRNSLELLSSILKAETEPKPIKEDLTVSLLDKCKQSQPDIQRIIESTTDDEAMLFEALNLHDELQQVISQYEELEAGIKSREQLPESSDNTGASMLPAQLGHQNETKIADYPTGANMLAAQSGHQNETKMTDSPTGANMLPAEIEHHDKTKTADSHKGESTESSSAKKIDEGEFSG >Potri.008G084901.1.v4.1 pep chromosome:Pop_tri_v4:8:5311551:5313829:-1 gene:Potri.008G084901.v4.1 transcript:Potri.008G084901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084901.v4.1 MLNLVGAQAAANMGWKECCFLVFTWHGPLLGAVSGGGRLPAMSRPVFFLFIAAPSRESLAWESINGAFDTASKMLFFLTLFLLTSLICRPNLFKRSMRRFSVVWWAYSFPLTVVASSRFKGLCRRSEREDRKCYNASPFRARHLGLA >Potri.017G067900.1.v4.1 pep chromosome:Pop_tri_v4:17:6247412:6252108:1 gene:Potri.017G067900.v4.1 transcript:Potri.017G067900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067900.v4.1 MGFCPCFGFSKSKKVKSDHIKKQPSYEQRLGTVSKKSVDSRFKSAVVKDGPSAHFSATFTYEELSFATNNFRSESLIGRGGFGAVFKGKLESTGQQVVAVKQLDPSGIQGDKEFLVEVLMLSLLHHPNLVNLIGFCAEGDHRLLVYEYMPLGSLEDHLFDVTPHMEPLDWNTRMKIAAGAARGLDSLHSANPPVIYRDLKASNILLEDGFNPKLSDFGLAKFGPVGDKSYVSTRVMGTYGYCAPEYASSGRLTIKTDIYSYGIVLLELITGHRALDEVNGHQEYLVNWALPLMKDHNFSRLADPMLKGKYSMSVLKKVIEVASMCLGENANSRPSSSELVQAMDYLFSRKNESKKVKNDCAKWPEIDLSPSHSKMILDKDLDRDRAVAEAKMWGVKWRERREQIQRSISDEDNR >Potri.017G067900.2.v4.1 pep chromosome:Pop_tri_v4:17:6247412:6252108:1 gene:Potri.017G067900.v4.1 transcript:Potri.017G067900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G067900.v4.1 MGFCPCFGFSKSKKVKSDHIKKQPSYEQRLGTVSKKSVDSRFKSAVVKDGPSAHFSATFTYEELSFATNNFRSESLIGRGGFGAVFKGKLESTGQVVAVKQLDPSGIQGDKEFLVEVLMLSLLHHPNLVNLIGFCAEGDHRLLVYEYMPLGSLEDHLFDVTPHMEPLDWNTRMKIAAGAARGLDSLHSANPPVIYRDLKASNILLEDGFNPKLSDFGLAKFGPVGDKSYVSTRVMGTYGYCAPEYASSGRLTIKTDIYSYGIVLLELITGHRALDEVNGHQEYLVNWALPLMKDHNFSRLADPMLKGKYSMSVLKKVIEVASMCLGENANSRPSSSELVQAMDYLFSRKNESKKVKNDCAKWPEIDLSPSHSKMILDKDLDRDRAVAEAKMWGVKWRERREQIQRSISDEDNR >Potri.002G237700.4.v4.1 pep chromosome:Pop_tri_v4:2:23011903:23020158:-1 gene:Potri.002G237700.v4.1 transcript:Potri.002G237700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237700.v4.1 MERKKKEMIRLERESVIPILKPKLIMTLANLIEHGSDRTEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVSGAKKLEQQNLSPEEIDVLEQNFLTYLFQVMDKSNFKITTDEEIDVALSGQYLLNLPIKVDESKLDKKLLKAYFNDHPRENLPDFADKYIIFRRGIGIDRTTDYFFMEKVDMLIGRFWGFLLRVTRLDIVFARKSSGQRKNDQKKDDDLNSEADQDDLFVERLRLEKMDLSVSNLLSKTTIQEPTFDRIIVVYRPAPTKSKTERGVYVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLVSAVVGLVAVIGSVEMPKADLWVIFAVLSTVVGYCAKTYFTFQQNLAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVSFKLHDFFSSYFTICSLFSSLQEFIFCSFQ >Potri.002G237700.1.v4.1 pep chromosome:Pop_tri_v4:2:23011900:23020150:-1 gene:Potri.002G237700.v4.1 transcript:Potri.002G237700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G237700.v4.1 MERKKKEMIRLERESVIPILKPKLIMTLANLIEHGSDRTEFLKLCKRVEYTIRAWYLLQFEDLMQLYSLFDPVSGAKKLEQQNLSPEEIDVLEQNFLTYLFQVMDKSNFKITTDEEIDVALSGQYLLNLPIKVDESKLDKKLLKAYFNDHPRENLPDFADKYIIFRRGIGIDRTTDYFFMEKVDMLIGRFWGFLLRVTRLDIVFARKSSGQRKNDQKKDDDLNSEADQDDLFVERLRLEKMDLSVSNLLSKTTIQEPTFDRIIVVYRPAPTKSKTERGVYVKHFKNIPMADMEIVLPEKKNPGLTPMDWVKFLVSAVVGLVAVIGSVEMPKADLWVIFAVLSTVVGYCAKTYFTFQQNLAAYQNLITQSMYDKQLDSGRGTLLHLCDDVIQQEVKEVIISFFILMEQGKATRQDLDLRCEELIKEEFGESCNFDVDDAVEKLEKLGIVARDSLGRYFCVGLKRANEIIGTTTEELVLKAKQGFANS >Potri.011G137200.9.v4.1 pep chromosome:Pop_tri_v4:11:16918842:16922337:1 gene:Potri.011G137200.v4.1 transcript:Potri.011G137200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G137200.v4.1 MRKLKKTASVGSKRRRTAKPPGSSSSSSTEQSKCLVAELPNALIIDILSRLPIRPLLNCKSVCKTWLHLMSDPSFVRLHLERSPTTLLIQKTPFERKESTEMLLVEIVEEDISKPFYIEIIRLFPTKNFPDTDVRILNSCNGLLCLYEDSGDKSDMMVHVCNPVLGEYIDIPVVNTDKKFEHHLAFGFSSVSNQYKVLQTFYPEKDLTAAPCLAEIYTVGTGQWRSIGNASFRLQSLDANAFLHDSIHWIEYRSNSIGFVSAFDFVSEQFKLVALPPASQIHDGMGRCYPSSVGVIKGCLFMTNGVCIENEKFEIWVMEEYGIKESWTKKFVLSNLEVQHYVSYQPLYFLSSGEILICEDDESIGVYVPKLERIHEAKFYKGKDCFLVTAHNPSFVSLQDIAKGEELTVLRKSLNSAAAAISDNQNVRVDSCP >Potri.001G099100.6.v4.1 pep chromosome:Pop_tri_v4:1:7889519:7891713:1 gene:Potri.001G099100.v4.1 transcript:Potri.001G099100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099100.v4.1 MGKINRKSSNRRVSQGLLTDDDTWIFLSRSFCKRTLMRANAAKAFIRVWKVMEMCFKILSQEKRVTQRELFYKLLCVSPDCFSSQLQVNRTIQDVVGLLRCSRYSLGIMASSRGIVAGRLLLQEPDKEVVDCSECGSSGYAISGDLDLLDRLVMKTDARYIIIVEKHAIFQRLAEDRLFNHIPSILITAKGYPDIATRFLLHRMSRTFPELPIMALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRGDDLQLLPEESLVSLKPRDLQIAKSLMSSETLQEKHREELALMVQSGKRAEIEALYFHGYDYLGKYIAKKIVQANYI >Potri.001G099100.8.v4.1 pep chromosome:Pop_tri_v4:1:7889394:7891593:1 gene:Potri.001G099100.v4.1 transcript:Potri.001G099100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099100.v4.1 MGKINRKSSNRRVSQGLLTDDDTWIFLSRSFCKRTLMRANAAKAFIRVWKVMEMCFKILSQEKRVTQRELFYKLLCVSPDCFSSQLQVNRTIQDVVGLLRCSRYSLGIMASSRGIVAGRLLLQEPDKEVVDCSECGSSGYAISGDLDLLDRLVMKTDARYIIIVEKHAIFQRLAEDRLFNHIPSILITAKGYPDIATRFLLHRMSRTFPELPIMALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRGDDLQLLPEESLVSLKPRDLQIAKSLMSSETLQEKHREELALMVQSGKRAEIEALYFHGYDYLGKYIAKKIVQANYI >Potri.001G099100.7.v4.1 pep chromosome:Pop_tri_v4:1:7889181:7891593:1 gene:Potri.001G099100.v4.1 transcript:Potri.001G099100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099100.v4.1 MGKINRKSSNRRVSQGLLTDDDTWIFLSRSFCKRTLMRANAAKAFIRVWKVMEMCFKILSQEKRVTQRELFYKLLCVSPDCFSSQLQVNRTIQDVVGLLRCSRYSLGIMASSRGIVAGRLLLQEPDKEVVDCSECGSSGYAISGDLDLLDRLVMKTDARYIIIVEKHAIFQRLAEDRLFNHIPSILITAKGYPDIATRFLLHRMSRTFPELPIMALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRGDDLQLLPEESLVSLKPRDLQIAKSLMSSETLQEKHREELALMVQSGKRAEIEALYFHGYDYLGKYIAKKIVQANYI >Potri.001G099100.3.v4.1 pep chromosome:Pop_tri_v4:1:7889150:7892373:1 gene:Potri.001G099100.v4.1 transcript:Potri.001G099100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099100.v4.1 MGKINRKSSNRRVSQGLLTDDDTWIFLSRSFCKRTLMRANAAKAFIRVWKVMEMCFKILSQEKRVTQRELFYKLLCVSPDCFSSQLQVNRTIQDVVGLLRCSRYSLGIMASSRGIVAGRLLLQEPDKEVVDCSECGSSGYAISGDLDLLDRLVMKTDARYIIIVEKHAIFQRLAEDRLFNHIPSILITAKGYPDIATRFLLHRMSRTFPELPIMALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRGDDLQLLPEESLVSLKPRDLQIAKSLMSSETLQEKHREELALMVQSGKRAEIEALYFHGYDYLGKYIAKKIVQANYI >Potri.001G099100.4.v4.1 pep chromosome:Pop_tri_v4:1:7889150:7892373:1 gene:Potri.001G099100.v4.1 transcript:Potri.001G099100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099100.v4.1 MRFFADREICYADILPPSEVRARIEVAVLNFLKILTCTDPAISDLLLINRKSSNRRVSQGLLTDDDTWIFLSRSFCKRTLMRANAAKAFIRVWKVMEMCFKILSQEKRVTQRELFYKLLCVSPDCFSSQLQVNRTIQGLLRCSRYSLGIMASSRGIVAGRLLLQEPDKEVVDCSECGSSGYAISGDLDLLDRLVMKTDARYIIIVEKHAIFQRLAEDRLFNHIPSILITAKGYPDIATRFLLHRMSRTFPELPIMALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRGDDLQLLPEESLVSLKPRDLQIAKSLMSSETLQEKHREELALMVQSGKRAEIEALYFHGYDYLGKYIAKKIVQANYI >Potri.001G099100.5.v4.1 pep chromosome:Pop_tri_v4:1:7889181:7891593:1 gene:Potri.001G099100.v4.1 transcript:Potri.001G099100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099100.v4.1 MLRRYPPSFRDLLLINRKSSNRRVSQGLLTDDDTWIFLSRSFCKRTLMRANAAKAFIRVWKVMEMCFKILSQEKRVTQRELFYKLLCVSPDCFSSQLQVNRTIQDVVGLLRCSRYSLGIMASSRGIVAGRLLLQEPDKEVVDCSECGSSGYAISGDLDLLDRLVMKTDARYIIIVEKHAIFQRLAEDRLFNHIPSILITAKGYPDIATRFLLHRMSRTFPELPIMALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRGDDLQLLPEESLVSLKPRDLQIAKSLMSSETLQEKHREELALMVQSGKRAEIEALYFHGYDYLGKYIAKKIVQANYI >Potri.001G099100.1.v4.1 pep chromosome:Pop_tri_v4:1:7889150:7892373:1 gene:Potri.001G099100.v4.1 transcript:Potri.001G099100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G099100.v4.1 MRFFADREICYADILPPSEVRARIEVAVLNFLKILTCTDPAISDLLLINRKSSNRRVSQGLLTDDDTWIFLSRSFCKRTLMRANAAKAFIRVWKVMEMCFKILSQEKRVTQRELFYKLLCVSPDCFSSQLQVNRTIQDVVGLLRCSRYSLGIMASSRGIVAGRLLLQEPDKEVVDCSECGSSGYAISGDLDLLDRLVMKTDARYIIIVEKHAIFQRLAEDRLFNHIPSILITAKGYPDIATRFLLHRMSRTFPELPIMALVDWNPAGLAILCTFKFGSIGMGLEAYRYACNVKWLGLRGDDLQLLPEESLVSLKPRDLQIAKSLMSSETLQEKHREELALMVQSGKRAEIEALYFHGYDYLGKYIAKKIVQANYI >Potri.012G087300.1.v4.1 pep chromosome:Pop_tri_v4:12:11266668:11267348:1 gene:Potri.012G087300.v4.1 transcript:Potri.012G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G087300.v4.1 MCLCLDWNPSATSISVGLSDSSVSIVSFSESQLDVIQEWEAHEFELWAASFDFHQPQLVYTGSDDCKFSCWDLRDDPSNLVFQNYKIHKMDICYIAKNPSDPNILLTRSYDEYLRLWDVRSISKPVNETLFCLGSGVWRVKHHPYVPGVVLAACMHNGFAVVKIDEEKGELMEIHAKNGSLAYGADWQGGELYQKVKQNSSVVATCSFYDLLLQIWIPESCIFKRL >Potri.017G001700.3.v4.1 pep chromosome:Pop_tri_v4:17:100268:103431:-1 gene:Potri.017G001700.v4.1 transcript:Potri.017G001700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001700.v4.1 MQGRFIFFHSRLEEMKIFSSISLYIPCKIIILIKGSSSFIDTSYSQLFSIDMVILQLSSSNPVNPQAKHSILELARSRRKHLSTFSSFSLPSLFISNSQQPQNPISSFPQVNQSVAAIVFGDGSESRLYPLTKRRSEGAIPIGANYRIVDAVISNCINSNINKIYALTQYNSTSLNSHLSRAYAGLGLGKDGFVEVIAAYQSLEDQGWFQGTADAMRRCLWVLEEYPVSEFLVLPGHHLYRMDYQKLVKAHRSSQADITIAALNSIRDQDPGFGILKVNSLNEVTEFDVKSERAVQSSQAFNDNGYRELSSMGIYLVNRDIMSKSLNEYFPEANEFGTEVIPGAISTGMKVQAYEFDGYWEDMSSIAAFYQANMECIKRLNMGYDFYDKDAPLYTMPRYLPPTTVTDAVITESVVGDGCILNRCKIKGTVVGMRTTIREKAIIEDSVIMGSDFYQKNYIQDGKDQKGMLIPIGIGDETRIKKAIVDKNARIGRNVMVCRIINIAKLIQSQNK >Potri.017G001700.2.v4.1 pep chromosome:Pop_tri_v4:17:100268:103431:-1 gene:Potri.017G001700.v4.1 transcript:Potri.017G001700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001700.v4.1 MQGRFIFFHSRLEEMKIFSSISLYIPCKIIILIKGSSSFIDTSYSQLFSIDMVILQLSSSNPVNPQAKHSILELARSRRKHLSTFSSFSLPSLFISNSQQPQNPISSFPQVNQSVAAIVFGDGSESRLYPLTKRRSEGAIPIGANYRIVDAVISNCINSNINKIYALTQYNSTSLNSHLSRAYAGLGLGKDGFVEVIAAYQSLEDQGWFQGTADAMRRCLWVLEEYPVSEFLVLPGHHLYRMDYQKLVKAHRSSQADITIAALNSIRDQDPGFGILKVNSLNEVTEFDVKSERAVQSSQAFNDNGYRELSSMGIYLVNRDIMSKSLNEYFPEANEFGTEVIPGAISTGMKVQAYEFDGYWEDMSSIAAFYQANMECIKRLNMGYDFYDKDAPLYTMPRYLPPTTVTDAVITESVVGDGCILNNRGARSKEQ >Potri.017G001700.1.v4.1 pep chromosome:Pop_tri_v4:17:100268:103431:-1 gene:Potri.017G001700.v4.1 transcript:Potri.017G001700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001700.v4.1 MQGRFIFFHSRLEEMKIFSSISLYIPCKIIILIKGSSSFIDTSYSQLFSIDMVILQLSSSNPVNPQAKHSILELARSRRKHLSTFSSFSLPSLFISNSQQPQNPISSFPQVNQSVAAIVFGDGSESRLYPLTKRRSEGAIPIGANYRIVDAVISNCINSNINKIYALTQYNSTSLNSHLSRAYAGLGLGKDGFVEVIAAYQSLEDQGWFQGTADAMRRCLWVLEEYPVSEFLVLPGHHLYRMDYQKLVKAHRSSQADITIAALNSIRDQDPGFGILKVNSLNEVTEFDVKSERAVQSSQAFNDNGYRELSSMGIYLVNRDIMSKSLNEYFPEANEFGTEVIPGAISTGMKVQAYEFDGYWEDMSSIAAFYQANMECIKRLNMGYDFYDKDAPLYTMPRYLPPTTVTDAVITESVVGDGCILNRCKIKGTVVGMRTTIREKAIIEDSVIMGSDFYQKNYIQDGKDQKGMLIPIGIGDETRIKKAIVDKNARIGRNVMIINKDNVQECNREADGYIISGGIVVVLESAVIPDGSIL >Potri.017G001700.4.v4.1 pep chromosome:Pop_tri_v4:17:100268:103431:-1 gene:Potri.017G001700.v4.1 transcript:Potri.017G001700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G001700.v4.1 MQGRFIFFHSRLEEMKIFSSISLYIPCKIIILIKGSSSFIDTSYSQLFSIDMVILQLSSSNPVNPQAKHSILELARSRRKHLSTFSSFSLPSLFISNSQQPQNPISSFPQVNQSVAAIVFGDGSESRLYPLTKRRSEGAIPIGANYRIVDAVISNCINSNINKIYALTQYNSTSLNSHLSRAYAGLGLGKDGFVEVIAAYQSLEDQGWFQGTADAMRRCLWVLEEYPVSEFLVLPGHHLYRMDYQKLVKAHRSSQADITIAALNSIRDQDPGFGILKVNSLNEVTEFDVKSERAVQSSQAFNDNGYRELSSMGIYLVNRDIMSKSLNEYFPEANEFGTEVIPGAISTGMKVQAYEFDGYWEDMSSIAAFYQANMECIKRLNMGYDFYDKDAPLYTMPRYLPPTTVTDAVITESVVGDGCILNNRGARSKEQ >Potri.005G201200.1.v4.1 pep chromosome:Pop_tri_v4:5:20692051:20693418:1 gene:Potri.005G201200.v4.1 transcript:Potri.005G201200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G201200.v4.1 MSFSCSSRLSSMPLFALLIACLVGASMGGNFFQDFDITWGDQRAKILNGGQLLTLSLDKDSGSGFRSKNEYLFGRIDMQIKLVSGNSAGTVTTYYLSSQGPTHDEIDFEFLGNLTGEPYTLHTNVFSQGKGNKEQQFYLWFDPTKAFHTYSIVWNQQQIIFLVDNIPIRVFHNSESIGVPFPTKQPMRIYSSLWNADDWATRGGLVKTDWTQAPFTASYRNFKASACVSSPVSSCTSTSPNSLQDSTWQVQALDASGRNRLRWVQQKYMIYNYCTDLKRFPQGIPAECKRSRFL >Potri.001G284600.3.v4.1 pep chromosome:Pop_tri_v4:1:29756529:29770157:1 gene:Potri.001G284600.v4.1 transcript:Potri.001G284600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284600.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAVLCDAEVGVIVFSSTGKLYDHANTSMKSIIERYSKQKEECQPLLNPASEVKLWKREAASLGKELQCLKEYHRQLMGEELSGLSIKDLENLENQLEKSMKGVRIKKEQILTDEIKEMSQKGNLIYQENLELHKKVDLIGQENAELRKVYGERNVDEANRASRPPYTVENGYDLHAPIRLQLSQPQPQPHNSEAPASSMKLGLQLQY >Potri.001G284600.2.v4.1 pep chromosome:Pop_tri_v4:1:29756115:29770157:1 gene:Potri.001G284600.v4.1 transcript:Potri.001G284600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284600.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAVLCDAEVGVIVFSSTGKLYDHANTSMKSIIERYSKQKEECQPLLNPASEVKLWKREAASLGKELQCLKEYHRQLMGEELSGLSIKDLENLENQLEKSMKGVRIKKEQILTDEIKEMSQKGNLIYQENLELHKKVDLIGQENAELRKVYGERNVDEANRASRPPYTVENGYDLHAPIRLQLSQPQPQPHNSEAPASSMKLGLQLQY >Potri.001G284600.5.v4.1 pep chromosome:Pop_tri_v4:1:29756529:29770157:1 gene:Potri.001G284600.v4.1 transcript:Potri.001G284600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284600.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAVLCDAEVGVIVFSSTGKLYDHANTRQLMGEELSGLSIKDLENLENQLEKSMKGVRIKKEQILTDEIKEMSQKGNLIYQENLELHKKVDLIGQENAELRKVYGERNVDEANRASRPPYTVENGYDLHAPIRLQLSQPQPQPHNSEAPASSMKLGLQLQY >Potri.001G284600.4.v4.1 pep chromosome:Pop_tri_v4:1:29756115:29770157:1 gene:Potri.001G284600.v4.1 transcript:Potri.001G284600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G284600.v4.1 MGRGKIVIRRIDNSTSRQVTFSKRRSGLLKKAKELAVLCDAEVGVIVFSSTGKLYDHANTRQLMGEELSGLSIKDLENLENQLEKSMKGVRIKKEQILTDEIKEMSQKGNLIYQENLELHKKVDLIGQENAELRKVYGERNVDEANRASRPPYTVENGYDLHAPIRLQLSQPQPQPHNSEAPASSMKLGLQLQY >Potri.006G102400.1.v4.1 pep chromosome:Pop_tri_v4:6:7895467:7898246:1 gene:Potri.006G102400.v4.1 transcript:Potri.006G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G102400.v4.1 MATTSISSVASEPEHNTKSRELEEENATKTGAADDEDTGAQVAPIVKLEEVPVTTGEEDEDAILDLKAKLYRFDKEGSQWKERGVGTVKLLKHKESAKVRLVFRQSKTLKICANHLVLPTINVQEHHGNDKSCLWHAADFADGELKDELFCIRFPSVENCKTFKETVEEVAESQGKKEESKDAADAAGLLEKLSVGDSKTEEKEKQAKELSQTVADKAKEDGEKEDEPASST >Potri.013G013850.6.v4.1 pep chromosome:Pop_tri_v4:13:901910:904455:-1 gene:Potri.013G013850.v4.1 transcript:Potri.013G013850.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013850.v4.1 MLRCSLDLFYFFGYREPPPFVCCLLRLEVQGFSFPLQQACGAATTCFLILFFRLQNFGSLGPQNCHPHTYFRGFHRFVVLLSLLNPLHLLLF >Potri.013G013850.3.v4.1 pep chromosome:Pop_tri_v4:13:901910:904455:-1 gene:Potri.013G013850.v4.1 transcript:Potri.013G013850.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013850.v4.1 MLRCSLDLFYFFGYREPPPFVCCLLRLEVQGFSFPLQQACGAATTCFLILFFRLQNFGSLGPQNCHPHTYFRGFHSCNRAKRNRFLLTETIQYAQRNRKLALSLLTSWILRYKHIINLFL >Potri.013G013850.1.v4.1 pep chromosome:Pop_tri_v4:13:901911:904455:-1 gene:Potri.013G013850.v4.1 transcript:Potri.013G013850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013850.v4.1 MLRCSLDLFYFFGYREPPPFVCCLLRLEVQGFSFPLQQACGAATTCFLILFFRLQNFGSLGPQNCHPHTYFRGFHSCNRAKRNRFLLTETIQYAQRNSKLNKGSDDERLCHSSMLCLTTHAHNTHLSHILSLFIIL >Potri.013G013850.5.v4.1 pep chromosome:Pop_tri_v4:13:901910:904455:-1 gene:Potri.013G013850.v4.1 transcript:Potri.013G013850.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013850.v4.1 MLRCSLDLFYFFGYREPPPFVCCLLRLEVQGFSFPLQQACGAATTCFLILFFRLQNFGSLGPQNCHPHTYFRGFHRFKKVKQVPCGKIEVYTHQAICFLVHITYFVNS >Potri.003G044200.5.v4.1 pep chromosome:Pop_tri_v4:3:5005170:5008817:-1 gene:Potri.003G044200.v4.1 transcript:Potri.003G044200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G044200.v4.1 MEEMSPALAMTLSLGNSMCDNSGIATHVEITRLKLVTGPASLLSDSGKVVSEESLSGGAESCSHAKNELNLTTMTTPDDGGDGETVLLNMLLENKNGSITSDAVIQETEEDEVLSVVEDSNGIIPKGILVLNAASEISLPKSVKMENTKIIAKAIIVESTNEVQVPTAKLLIGAVSPNAEISDGSDIKASAVLLKLPSEKNLIGGPTRSVFELDCIPLWGSVSICGRRSEMEDAVAAVPRFAKVPIKMLIGDRVVDGISESLTHLTSHFYGVYDGHGGAQVANYCRDRIHLALAEEFGNIKNNSNDGIIWGDQQLQWEKAFRSCFLKVDDEIGGKSIRGIIEGDGNASISSSEPIAPETVGSTAVVALVCSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFLPRVKDDECLILASDGLWDVITNEEACEVARRRILLWHKKNGVASLLERGKVIDPAAQAAADYLSMLALQKGSKDNISVIVVDLKGQRKFKSKS >Potri.003G044200.1.v4.1 pep chromosome:Pop_tri_v4:3:5005025:5008840:-1 gene:Potri.003G044200.v4.1 transcript:Potri.003G044200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G044200.v4.1 MEEMSPALAMTLSLGNSMCDNSGIATHVEITRLKLVTGPASLLSDSGKVVSEESLSGGAESCSHAKNELNLTTMTTPDDGGDGETVLLNMLLENKNGSITSDAVIQETEEDEVLSVVEDSNGIIPKGILVLNAASEISLPKSVKMENTKIIAKAIIVESTNEVQVPTAKLLIGAVSPNAEISDGSDIKASAVLLKLPSEKNLIGGPTRSVFELDCIPLWGSVSICGRRSEMEDAVAAVPRFAKVPIKMLIGDRVVDGISESLTHLTSHFYGVYDGHGGAQVANYCRDRIHLALAEEFGNIKNNSNDGIIWGDQQLQWEKAFRSCFLKVDDEIGGKSIRGIIEGDGNASISSSEPIAPETVGSTAVVALVCSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFLPRVKDDECLILASDGLWDVITNEEACEVARRRILLWHKKNGVASLLERGKVIDPAAQAAADYLSMLALQKGSKDNISVIVVDLKGQRKFKSKS >Potri.003G044200.4.v4.1 pep chromosome:Pop_tri_v4:3:5005064:5008859:-1 gene:Potri.003G044200.v4.1 transcript:Potri.003G044200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G044200.v4.1 MEEMSPALAMTLSLGNSMCDNSGIATHVEITRLKLVTGPASLLSDSGKVVSEESLSGGAESCSHAKNELNLTTMTTPDDGGDGETVLLNMLLENKNGSITSDAVIQETEEDEVLSVVEDSNGIIPKGILVLNAASEISLPKSVKMENTKIIAKAIIVESTNEVQVPTAKLLIGAVSPNAEISDGSDIKASAVLLKLPSEKNLIGGPTRSVFELDCIPLWGSVSICGRRSEMEDAVAAVPRFAKVPIKMLIGDRVVDGISESLTHLTSHFYGVYDGHGGAQVANYCRDRIHLALAEEFGNIKNNSNDGIIWGDQQLQWEKAFRSCFLKVDDEIGGKSIRGIIEGDGNASISSSEPIAPETVGSTAVVALVCSSHIIVANCGDSRAVLCRGKEPMALSVDHKPNREDEYARIEASGGKVIQWNGHRVFGVLAMSRSIGDRYLKPWIIPDPEVMFLPRVKDDECLILASDGLWDVITNEEACEVARRRILLWHKKNGVASLLERGKVIDPAAQAAADYLSMLALQKGSKDNISVIVVDLKGQRKFKSKS >Potri.006G032200.1.v4.1 pep chromosome:Pop_tri_v4:6:2009723:2015698:-1 gene:Potri.006G032200.v4.1 transcript:Potri.006G032200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G032200.v4.1 MGENTVPQASYHSSSSLYSNSTDIDTAAQDVLLREQEIETQRVIQGQRDAESEGGAPSKDNTDVFGERRDPNALKEHLLKMATEHRSEMALKRGKPAGAEEDHVEIGNGYGVPGGGAYYASSRPGLDSESEQKSATKDLPEFLKQKLRARGLLKDDTVKSHPLRTDNKSETGSTQAVEIRKLPPGWVEAKDPASGASYYYHGSTGKTQWERPTDMPSTTWTPSPLPHLEDWVEALDETTGHKYYYNTKTHVSQWQHPKSSQLASQHSHISYSGNASSGNQDNRSSESKKCIECGGWGLGVVQTWGYCNHCTRVLNLPQCQYMMPNLNYQQQNLANSKGDLEKNAPKQRPNWKPPVGKGNRRESKKRAYNDDDELDPMDPSSYSDAPRGGWVVGLKGVQPRAADTTATGPLFQQRPYPSPGAVLRKNAEVATQTKKSSSPYMPISKRGDGSDGLGDAD >Potri.017G141300.7.v4.1 pep chromosome:Pop_tri_v4:17:14176788:14184261:-1 gene:Potri.017G141300.v4.1 transcript:Potri.017G141300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141300.v4.1 MEARNCMFNAVVVITWCFMLKMGVSDPGINMLSQGCSNYNVSSVSNFKSNLNITFGLVRTDLMNSSKHFATEQSLSGSDPVYVMFQCRDYMSEAECIACFSAASTQIRNCSVANGARVVYDGCFLRYERSDFYGETTRDANREYCGNQTTSSPDTTFNTTVAGLLGDLQVATPRIDGFFAASKREVAGSNVSVYGIAQCVQTIDSAGCQACMEVAYKNIQRCPPNADGRALDSGCFMRYSDKPFFADNQTIDLLPFLKTKSSSSSKKGAIIGGAAGGGALVLLIVGLFVCFKLSKKRKAAPRGNILDATELRGAIIYSYKDLKSATKNFKEENKLGEGGFGDVYKGTLKNGKVVAVKKLALGQSSRVKADFASEVTLISNVHHRNLIRLLGRCTKGPELLLVYEYMANSSLDRFLFGEKRGSLRWKQRFDIILGTAQGLAYLHEQFHVCIIHRDIKSSNILLDDDFQPKIADFGLARLLPENQSHLSTKFAGTLGYTAPEYALHGQLSEKVDTYSFGIVVLEIVSGKKSSEMIADPGAEYLLKKAWKLYEDGKHIELVDESLDPSEYEAEHAKKIIEIALMCTQSSPTLRPTMSELVVLFKSRGSLKHTQPTRPPFVESVERVRGDSSTSTSSSSSNATASFSVVSAR >Potri.017G141300.3.v4.1 pep chromosome:Pop_tri_v4:17:14176777:14184289:-1 gene:Potri.017G141300.v4.1 transcript:Potri.017G141300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141300.v4.1 MAGACPHPIAIATSSIPTPFMRKVEDVPHVCLPHWVKIVSNSLLLGNLPFAQARYELFSPFFGGVQASKKDNQITNTKMEARNCMFNAVVVITWCFMLKMGVSDPGINMLSQGCSNYNVSSVSNFKSNLNITFGLVRTDLMNSSKHFATEQSLSGSDPVYVMFQCRDYMSEAECIACFSAASTQIRNCSVANGARVVYDGCFLRYERSDFYGETTRDANREYCGNQTTSSPDTTFNTTVAGLLGDLQVATPRIDGFFAASKREVAGSNVSVYGIAQCVQTIDSAGCQACMEVAYKNIQRCPPNADGRALDSGCFMRYSDKPFFADNQTIDLLPFLKTKSSSSSKKGAIIGGAAGGGALVLLIVGLFVCFKLSKKRKAAPRGNILDATELRGAIIYSYKDLKSATKNFKEENKLGEGGFGDVYKGTLKNGKVVAVKKLALGQSSRVKADFASEVTLISNVHHRNLIRLLGRCTKGPELLLVYEYMANSSLDRFLFGEKRGSLRWKQRFDIILGTAQGLAYLHEQFHVCIIHRDIKSSNILLDDDFQPKIADFGLARLLPENQSHLSTKFAGTLGYTAPEYALHGQLSEKVDTYSFGIVVLEIVSGKKSSEMIADPGAEYLLKKAWKLYEDGKHIELVDESLDPSEYEAEHAKKIIEIALMCTQSSPTLRPTMSELVVLFKSRGSLKHTQPTRPPFVESVERVRGDSSTSTSSSSSNATASFSVVSAR >Potri.017G141300.8.v4.1 pep chromosome:Pop_tri_v4:17:14176788:14180714:-1 gene:Potri.017G141300.v4.1 transcript:Potri.017G141300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141300.v4.1 MEARNCMFNAVVVITWCFMLKMGVSDPGINMLSQGCSNYNVSSVSNFKSNLNITFGLVRTDLMNSSKHFATEQSLSGSDPVYVMFQCRDYMSEAECIACFSAASTQIRNCSVANGARVVYDGCFLRYERSDFYGETTRDANREYCGNQTTSSPDTTFNTTVAGLLGDLQVATPRIDGFFAASKREVAGSNVSVYGIAQCVQTIDSAGCQACMEVAYKNIQRCPPNADGRALDSGCFMRYSDKPFFADNQTIDLLPFLKTKSSSSSKKGAIIGGAAGGGALVLLIVGLFVCFKLSKKRKAAPRGNILDATELRGAIIYSYKDLKSATKNFKEENKLGEGGFGDVYKGTLKNGKVVAVKKLALGQSSRVKADFASEVTLISNVHHRNLIRLLGRCTKGPELLLVYEYMANSSLDRFLFGEKRGSLRWKQRFDIILGTAQGLAYLHEQFHVCIIHRDIKSSNILLDDDFQPKIADFGLARLLPENQSHLSTKFAGTLGYTAPEYALHGQLSEKVDTYSFGIVVLEIVSGKKSSEMIADPGAEYLLKKAWKLYEDGKHIELVDESLDPSEYEAEHAKKIIEIALMCTQSSPTLRPTMSELVVLFKSRGSLKHTQPTRPPFVESVERVRGDSSTSTSSSSSNATASFSVVSAR >Potri.017G141300.9.v4.1 pep chromosome:Pop_tri_v4:17:14176777:14184289:-1 gene:Potri.017G141300.v4.1 transcript:Potri.017G141300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G141300.v4.1 MAGACPHPIAIATSSIPTPFMRKVEDVPHVCLPHWVKIVSNSLLLGNLPFAQARYELFSPFFGGVQASKKDNQITNTKMEARNCMFNAVVVITWCFMLKMGVSDPGINMLSQGCSNYNVSSVSNFKSNLNITFGLVRTDLMNSSKHFATEQSLSGSDPVYVMFQCRDYMSEAECIACFSAASTQIRNCSVANGARVVYDGCFLRYERSDFYGETTRDANREYCGNQTTSSPDTTFNTTVAGLLGDLQVATPRIDGFFAASKREVAGSNVSVYGIAQCVQTIDSAGCQACMEVAYKNIQRCPPNADGRALDSGCFMRYSDKPFFADNQTIDLLPFLKTKSSSSSKKGAIIGGAAGGGALVLLIVGLFVCFKLSKKRKAAPRGNILDATELRGAIIYSYKDLKSATKNFKEENKLGEGGFGDVYKGTLKNGKVVAVKKLALGQSSRVKADFASEVTLISNVHHRNLIRLLGRCTKGPELLLVYEYMANSSLDRFLFGNVKNEDPSDGSNDLI >Potri.009G006900.1.v4.1 pep chromosome:Pop_tri_v4:9:1416402:1419488:-1 gene:Potri.009G006900.v4.1 transcript:Potri.009G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G006900.v4.1 MNLILLCLSFSLIFPISHCALQKPPPLPILPIPTASQFQWQLSSMALFFHFGTNTFTDSEWGTGQVDPSVFNPTRLNTTQWVQVAKDSGFNRVILTAKHHDGFCLWPSEYTDYSVKSTSWRNGSGDVVKELSLAAKEAGVDLGLYLSPWDRHEGCYGKTLEYNEFYLGQMTELLTRYGEIKEVWLDGAKGEGEKDMEYFFDSWFSLIHQHQPGAIIFSDAGPDTRWIGDEAGVAGSTCWSLFNRSSAQIGNTDPIYSQGGDPSGHDWVPAECDVSIRPGWFWHASEVPKSAIKLLDIYYKSVGRNCLLLLNVPPNSSGLISDEDIQVLKEFSELRRSIFSDNLAGNAILNASSTRGSIGDSRFDPYNIFKEGIYTYWAPEENVSDWALHLNLQESVSFNVLQVQEPIHMGQRIMAFHLEVLNEDGDWRMVINGTTVGYQRLLHFPNVESQHLKFVIDKSRADPLISYLGIYMDQFSIVNSAFHRSSPTNNYSSQVLRQAIHNHSTTASI >Potri.015G122500.1.v4.1 pep chromosome:Pop_tri_v4:15:13580924:13583321:1 gene:Potri.015G122500.v4.1 transcript:Potri.015G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G122500.v4.1 MASRLLWASRAASYLRISVSHRGFASVIKDLKYAESHEWVKVDGNTATVGITDHAQDHLGDVVYVELPEVGAAVNQGSGFGAVESVKATSDVYSPVSGNVVEVNEELSSSPGLVNSSPYEKGWIMKVEIKDASELETLKNSDDYAKFCEEEDAKH >Potri.013G009300.1.v4.1 pep chromosome:Pop_tri_v4:13:611378:613576:1 gene:Potri.013G009300.v4.1 transcript:Potri.013G009300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G009300.v4.1 MPFYSPLNPTLFFPSKPFFKLTKTLTFSTLQSTNMSASQDSMNATSQNTPINLQNYPIPLSPPLNPLSKEMELARAMSASSRSSLFALSKSDVLYQDQWLIAVNKPQGVYCETVLDSVPQVLDCLVVSSGLDEGPVARPELHLANRLDRDTSGVMVITKLHKVASKLVKAFTDHKVRKTYIALCIGSAPKWEKITIKSGHGRSKFGAFRVYAASDVGRSLPGGSTVKDMETSFEVLSINGQGSFREPSEFNSDKNILVVEEKAVIDTDAKKDEILVRAFPRSGRTHQIRLHCQYLGIPIRGDVKYEGVCEWKGRTYDGHELHAESLSLEHPVTGQPLMFRAPLPVWATRWFSHCKIK >Potri.015G060600.1.v4.1 pep chromosome:Pop_tri_v4:15:8469674:8471559:1 gene:Potri.015G060600.v4.1 transcript:Potri.015G060600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G060600.v4.1 MASRHEKEERAEAAAWQAASDLRDVNRERECYEEREAKMATDQPQQERPGVIGSVLRSVADTLGHAKDAVVGMSFEAAEQTTETADAAEEKARENKDSVAEKAKGYKNCTTQKAKETTDSAACKIDETKESAKQKIGEYEDKAKEYKDYAAQKAKDTKDSVMGKVDEYKDYATEKAKETKNSALEKTGEYKDYAAEKSKETKDYTAEKAKEGKDVTVSKLGGLTESAKDAARKAVDFLSGKKEEVKEKAAETTEATKEKLSETEEAARRKTEGMKLRGEEHREEAAQKEAKDIEAERGTTTRETIFDSLGFGSIKDSIRGKLTTAEDIAEETRAARERGGTGRKCLNKDTGKEEMVIPIEENATGAVASILKASDQMSGQTFNDVGRMDDEGVIRVEMERTPKLSKDR >Potri.005G054900.2.v4.1 pep chromosome:Pop_tri_v4:5:3464553:3466054:1 gene:Potri.005G054900.v4.1 transcript:Potri.005G054900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G054900.v4.1 MKDSPCTVSDFTGLKQCFSRKISLDLVRGLSGCCCFFGHGCCCDFVWMQLGLYAQVLPNMLCNWLRLKENESRCHQNNLWTNHFVTLQVSPRLAKDRERFPPNNILFMLFGTGLLWMSWTCFNGGDPCVVSTVNGGDPCVVSTDASLAVLNTVDWECFHYGLKQCFSHSQSTEKYQNV >Potri.008G080100.2.v4.1 pep chromosome:Pop_tri_v4:8:4975797:4982532:-1 gene:Potri.008G080100.v4.1 transcript:Potri.008G080100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G080100.v4.1 MKYVLVTGGVVSGLGKGVTASSIGVLLKACGLRVTSIKIDPYLNTDAGTMSPFEHGEVFVLDDGGEVDLDLGNYERFLDVKLTRDNNITTGKIYQSVLEKERRGDYLGNTVQVVPHITDAIQEWIERVAMIPVDGKEGPADVCVIELGGTIGDIESMPFIEALGQFSYHVGPGNFCLIHVSLVPVLNVVGEQKTKPTQHSVRGLRGLGLTPNILACRSTKALNENVKAKLSQFCHVPAENVVTLYDVPNIWYIPLLLRDQKAHEAILKALKLLGVAREPDLQDWITRTRVYDVLLEPVKIAMVGKYTGLSDSYLSVLKALLHASVACRRKLVVEWVAAGDLEDVTAKEAPDVYKNAWNLLKGSDGVVVPGGFGDRGVQGKIIAAKYARENKVPFLGICLGMQIAVIEFAQSVLGLDDANSTEFDPQTSHPCVIFMPEGSKTHMGGTMRLGSRRTYFKVPNCKSAKLYGNASFVDERHRHRYEVNPDMVPQFENAGLSFVGRDETGQRMEVIELPSHPYFVGAQFHPEFKSRPGKPSALFLGLIAAASGQLDAVLQNYGHASKLLPNGMSNGKPTVKPYQNGNAIKSSNGSLNGVYSNGNGVHY >Potri.015G109600.2.v4.1 pep chromosome:Pop_tri_v4:15:12717027:12718055:1 gene:Potri.015G109600.v4.1 transcript:Potri.015G109600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G109600.v4.1 MNSALFFCIFLSTCINICLADTDNLQDTCPTATTGKQTVFINGFPCKNPNSIVASDFKSSKLSSPGDTDNFLHSSVTFNTAADFPGLNTLGLSIARTDLEVSGLMMPHSHPRASEMFFVSKGVVIAGFIDTQNKLFQKTLQPGEVFVFPQGLLHFCVNNGFNSAVVFSVLNSQNPGTVNIADAMLEFDDDTLNKLVRKIKSVAALEVNAHGIQNATLTRF >Potri.019G001500.7.v4.1 pep chromosome:Pop_tri_v4:19:772922:779348:1 gene:Potri.019G001500.v4.1 transcript:Potri.019G001500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001500.v4.1 MGGICSKKSNGNNKKANPYGKTNGNGVVSYENKQHISSTQQVKESKEKKELQAANLKQESFLNSKNDIGDEFYDGIPRYPSSSIKSRSIRRQAAVAKVSEVSSRLSRVGSVGLGKAVEVLDTLGSSMTNLNPQTFTSSVATKGNELGILAFEVANTVVKGSNLMQSLSVRSVRHLKEEVLPSEGVQNLISKDMDELLRIVAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKISRDRNPRRQLQEEAESIMELLMILVQFTAELYHELQILDRMEHECQRREGSAAANQRGESLAMLKAEIKSQKKRIRNVKKKSLWSRSLEEVMEKLVDIIHFLILEIGNAFGSGDDSVQDEESVSNNPRLGPAGLSLHYANVVMQIDNLVARSSSMPPNGKDTLYQSLPPGVKSALRSKLQSFNVKDEVLLFHLKEERVESLIFSFMRML >Potri.019G001500.2.v4.1 pep chromosome:Pop_tri_v4:19:772914:779374:1 gene:Potri.019G001500.v4.1 transcript:Potri.019G001500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001500.v4.1 MGGICSKKSNGNNKKANPYGKTNGNGVVSYENKQHISSTQQVKESKEKKELQAANLKQESFLNSKNDIGDEFYDGIPRYPSSSIKSRSIRRQAAVAKVSEVSSRLSRVGSVGLGKAVEVLDTLGSSMTNLNPQTFTSSVATKGNELGILAFEVANTVVKGSNLMQSLSVRSVRHLKEEVLPSEGVQNLISKDMDELLRIVAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKISRDRNPRRQLQEEAESIMELLMILVQFTAELYHELQILDRMEHECQRREGSAAANQRGESLAMLKAEIKSQKKRIRNVKKKSLWSRSLEEVMEKLVDIIHFLILEIGNAFGSGDDSVQDEESVSNNPRLGPAGLSLHYANVVMQIDNLVARSSSMPPNGKDTLYQSLPPGVKSALRSKLQSFNVKDELTITEIKDTMEKTLQWLVPLSTNTAKVHHGFGWVGEWASSGSEANRKPAAGAADIIQIETLHHADKEKTEAYILEQLLWLHHLVSKTKSVSSGVSIKSPAKSAIGTQGQKSNQKQEQESPNAADLPDAVTSNAPPPTTEDQKILQDASEENQIEENSKSQDINSVDTKLREDGGLSTTNNNSPRRKSEDSATVKNVPSALPIRDIGIDKEEELDKIDRVDVLRYKGKPGLVTAKT >Potri.019G001500.8.v4.1 pep chromosome:Pop_tri_v4:19:772921:779380:1 gene:Potri.019G001500.v4.1 transcript:Potri.019G001500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G001500.v4.1 MGGICSKKSNGNNKKANPYGKTNGNGVVSYENKQHISSTQQVKESKEKKELQAANLKQESFLNSKNDIGDEFYDGIPRYPSSSIKSRSIRRQAAVAKVSEVSSRLSRVGSVGLGKAVEVLDTLGSSMTNLNPQTFTSSVATKGNELGILAFEVANTVVKGSNLMQSLSVRSVRHLKEEVLPSEGVQNLISKDMDELLRIVAADKREELKIFSGEVVRFGNRCKDPQWHNLDRYFEKISRDRNPRRQLQEEAESIMELLMILVQFTAELYHELQILDRMEHECQRREGSAAANQRGESLAMLKAEIKSQKKRIRNVKKKSLWSRSLEEVMEKLVDIIHFLILEIGNAFGSGDDSVQDEESVSNNPRLGPAGLSLHYANVVMQIDNLVSAIYFHHVCLTIIFKVCNY >Potri.013G105900.1.v4.1 pep chromosome:Pop_tri_v4:13:11483110:11486017:1 gene:Potri.013G105900.v4.1 transcript:Potri.013G105900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G105900.v4.1 MAEESSPPPPVLEQAPPSPAIEKDKEDLPPPAPVEEAESQAVQEKEEVPPQPAAAEQKESRSSSLAAMIEKEESFSPPPQPLFSPEEKTEVTEKSVATKEEVAVVPDTGKEKKVPLTLVSFKEESNALADLSHIERRALEELKQLVQEALSSHQFSSAPPKKEEKQSVSVIQETSKTEAPVPDSDVGTDMKPSAENQESKVEKTPEKESQEVAKEEQKVASSSPEEVPIWGIPLLKDDRSDVVLLKFLRARDFKVRDAFVMIKNTIQWRRDFKIDELVDEDLGDDLEKVVFMHGYDREGHPVCYNVYGEFQNKELYQKTFSDEEKRLKFLRWRIQFLERSIRKLDFSPGGISTIFQVNDLKNSPGPGKRELRLATKQALLSLQDNYPEFVAKQVFINVPWWYLAFYTVMSPFMTQRTKSKFVFAGPSNSAETLFKYISPEQVPIQYGGLCVDFCDCNPEFTIADPATDITVKPATKQTVEIIIYEKCILVWELRVVGWEVSYSAEFMPEAKDAYTIIITKPTKMSPTDEPVVSNSFKVGELGKILLTVDNPTSKKKKLLYRFKINPFSD >Potri.003G003900.6.v4.1 pep chromosome:Pop_tri_v4:3:524553:528571:-1 gene:Potri.003G003900.v4.1 transcript:Potri.003G003900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003900.v4.1 MNPYFDSFVQWQMRKLKDMGKIIKDKRYTIYSPLDDQPCADHDRASGEGVLPQDYTLVKMEVLPPFPLKFKALEGRKVFLAAATLRPETMYGQTNAWVLPDGNYGAFEVNDTDVFILTERAALNLAYQGFSKTPKHPSCLVELTGYDLIGLPLKSPLSFNKVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALRVLKAKPAFREKYGVKDEWVVPFEIVPIINIPELGDKAAEKVCLDLKIMSQNEKEKLAEAKRLTYLKGFTDGTMLVGEYAGMKVQEAKSLLRTKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYLTYDDPQWKESAEECLSKMNLYSDETKHGFEHTLGWLNRWACSRSFGLGTRIPWDPEFLVESLSDSTIYMAYYAVAHLLHNEDMYGTNKAHPIKPEEMTDDVWNFIFCDGPYPTSSKIDSSVLDKMKKEFEYWYPFDLRVSGKDLIQNHLTFCVFNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFKTLRQAIDEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWIEEVLAAEASLRTGPPSTFADRVFENEINIAVETTRKNYEKYMFREALKTGFYDLQAARDEYRLSCGSGGMNHGLVWRFIDVQTRLITPICPHYAEHVWRELLLKDGLAVNAGWPIADSPDETLKAANKYLQDSIVLMRKLLQKQITGSKKSNKKAAPVATLTEEKITSLIYVNEEFDGWKAECLNILRSKFDRKTGTFAPDEEILEALQKSSVGQDANFKKVQKLCMPFLRLKKDEAIAIGAQALNLKLPFGEIEVLQENLDLIKRQIGLGSVEILSATDHDAKAKAGALSSVLDQNPPSPGNPTAVFLIHNR >Potri.003G003900.2.v4.1 pep chromosome:Pop_tri_v4:3:524554:532611:-1 gene:Potri.003G003900.v4.1 transcript:Potri.003G003900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003900.v4.1 MMATESGKAFTRRDRLLEIEKKVRGWWDEKDVFRAEPGAGPAKPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAFHRLDGANVLLPFGFHCTGMPIQASADKLAREIEKFGNPPLFSKEVEEPVESQPEPEDASACPPPDKFRGKKSKAVSKSGGQMFQWEIMRSFGLSDSEIAEFQKPGKWLTYFPPLAMQDLKDFGLGCDWRRSFITTEMNPYFDSFVQWQMRKLKDMGKIIKDKRYTIYSPLDDQPCADHDRASGEGVLPQDYTLVKMEVLPPFPLKFKALEGRKVFLAAATLRPETMYGQTNAWVLPDGNYGAFEVNDTDVFILTERAALNLAYQGFSKTPKHPSCLVELTGYDLIGLPLKSPLSFNKVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALRVLKAKPAFREKYGVKDEWVVPFEIVPIINIPELGDKAAEKVCLDLKIMSQNEKEKLAEAKRLTYLKGFTDGTMLVGEYAGMKVQEAKSLLRTKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYLTYDDPQWKESAEECLSKMNLYSDETKHGFEHTLGWLNRWACSRSFGLGTRIPWDPEFLVESLSDSTIYMAYYAVAHLLHNEDMYGTNKAHPIKPEEMTDDVWNFIFCDGPYPTSSKIDSSVLDKMKKEFEYWYPFDLRVSGKDLIQNHLTFCVFNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFKTLRQAIDEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWIEEVLAAEASLRTGPPSTFADRVFENEINIAVETTRKNYEKYMFREALKTGFYDLQAARDEYRLSCGSGGMNHGLVWRFIDVQTRLITPICPHYAEHVWRELLLKDGLAVNAGWPIADSPDETLKAANKYLQDSIVLMRKLLQKQITGSKKSNKKAAPVATLTEEKITSLIYVNEEFDGWKAECLNILRSKFDRKTGTFAPDEEILEALQKSSVGQDANFKKVQKLCMPFLRLKKDEAIAIGAQALNLKLPFGEIEVLQENLDLIKRQIGLGSVEILSATDHDAKAKAGALSSVLDQNPPSPGNPTAVFLIQ >Potri.003G003900.3.v4.1 pep chromosome:Pop_tri_v4:3:525639:532248:-1 gene:Potri.003G003900.v4.1 transcript:Potri.003G003900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003900.v4.1 MMATESGKAFTRRDRLLEIEKKVRGWWDEKDVFRAEPGAGPAKPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAFHRLDGANVLLPFGFHCTGMPIQASADKLAREIEKFGNPPLFSKEVEEPVESQPEPEDASACPPPDKFRGKKSKAVSKSGGQMFQWEIMRSFGLSDSEIAEFQKPGKWLTYFPPLAMQDLKDFGLGCDWRRSFITTEMNPYFDSFVQWQMRKLKDMGKIIKDKRYTIYSPLDDQPCADHDRASGEGVLPQDYTLVKMEVLPPFPLKFKALEGRKVFLAAATLRPETMYGQTNAWVLPDGNYGAFEVNDTDVFILTERAALNLAYQGFSKTPKHPSCLVELTGYDLIGLPLKSPLSFNKVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALRVLKAKPAFREKYGVKDEWVVPFEIVPIINIPELGDKAAEKVCLDLKIMSQNEKEKLAEAKRLTYLKGFTDGTMLVGEYAGMKVQEAKSLLRTKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYLTYDDPQWKESAEECLSKMNLYSDETKHGFEHTLGWLNRWACSRSFGLGTRIPWDPEFLVESLSDSTIYMAYYAVAHLLHNEDMYGTNKAHPIKPEEMTDDVWNFIFCDGPYPTSSKIDSSVLDKMKKEFEYWYPFDLRVSGKDLIQNHLTFCVFNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFKTLRQAIDEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWIEEVLAAEASLRTGPPSTFADRVFENEINIAVETTRKNYEKYMFREALKTGFYDLQAARDEYRLSCGSGGMNHGLVWRFIDVQTRLITPICPHYAEHVWRELLLKDGLAVNAGWPIADSPDETLKAANKYLQDSIVLMRKLLQKQITGSKKSNKKAAPVATLTEEKITSLIYVNEEFDGWKAECLNILRSKFDRKTGTFAPDEEILEALQKSSVGQDANFKKVQKLCMPFLRLKKDEAIAIGAQALNLKLPFGEIEVLQENLDLIKRQIGLGSVEILSATDHDAKAKAGALSSVLDQNPPSPGNPTAVFLIQ >Potri.003G003900.4.v4.1 pep chromosome:Pop_tri_v4:3:525292:532245:-1 gene:Potri.003G003900.v4.1 transcript:Potri.003G003900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003900.v4.1 MMATESGKAFTRRDRLLEIEKKVRGWWDEKDVFRAEPGAGPAKPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAFHRLDGANVLLPFGFHCTGMPIQASADKLAREIEKFGNPPLFSKEVEEPVESQPEPEDASACPPPDKFRGKKSKAVSKSGGQMFQWEIMRSFGLSDSEIAEFQKPGKWLTYFPPLAMQDLKDFGLGCDWRRSFITTEMNPYFDSFVQWQMRKLKDMGKIIKDKRYTIYSPLDDQPCADHDRASGEGVLPQDYTLVKMEVLPPFPLKFKALEGRKVFLAAATLRPETMYGQTNAWVLPDGNYGAFEVNDTDVFILTERAALNLAYQGFSKTPKHPSCLVELTGYDLIGLPLKSPLSFNKVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALRVLKAKPAFREKYGVKDEWVVPFEIVPIINIPELGDKAAEKVCLDLKIMSQNEKEKLAEAKRLTYLKGFTDGTMLVGEYAGMKVQEAKSLLRTKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYLTYDDPQWKESAEECLSKMNLYSDETKHGFEHTLGWLNRWACSRSFGLGTRIPWDPEFLVESLSDSTIYMAYYAVAHLLHNEDMYGTNKAHPIKPEEMTDDVWNFIFCDGPYPTSSKIDSSVLDKMKKEFEYWYPFDLRVSGKDLIQNHLTFCVFNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFKTLRQAIDEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWIEEVLAAEASLRTGPPSTFADRVFENEINIAVETTRKNYEKYMFREALKTGFYDLQAARDEYRLSCGSGGMNHGLVWRFIDVQTRLITPICPHYAEHVWRELLLKDGLAVNAGWPIADSPDETLKAANKYLQDSIVLMRKLLQKQITGSKKSNKKAAPVATLTEEKITSLIYVNEEFDGWKAECLNILRSKFDRKTGTFAPDEEILEALQKSSVGQDANFKKVQKLCMPFLRLKKDEAIAIGAQALNLKLPFGEIEVLQENLDLIKRQIGLGSVEILSATDHDAKAKAGALSSVLDQNPPSPGNPTAVFLIQ >Potri.003G003900.5.v4.1 pep chromosome:Pop_tri_v4:3:525625:532243:-1 gene:Potri.003G003900.v4.1 transcript:Potri.003G003900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003900.v4.1 MMATESGKAFTRRDRLLEIEKKVRGWWDEKDVFRAEPGAGPAKPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAFHRLDGANVLLPFGFHCTGMPIQASADKLAREIEKFGNPPLFSKEVEEPVESQPEPEDASACPPPDKFRGKKSKAVSKSGGQMFQWEIMRSFGLSDSEIAEFQKPGKWLTYFPPLAMQDLKDFGLGCDWRRSFITTEMNPYFDSFVQWQMRKLKDMGKIIKDKRYTIYSPLDDQPCADHDRASGEGVLPQDYTLVKMEVLPPFPLKFKALEGRKVFLAAATLRPETMYGQTNAWVLPDGNYGAFEVNDTDVFILTERAALNLAYQGFSKTPKHPSCLVELTGYDLIGLPLKSPLSFNKVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALRVLKAKPAFREKYGVKDEWVVPFEIVPIINIPELGDKAAEKVCLDLKIMSQNEKEKLAEAKRLTYLKGFTDGTMLVGEYAGMKVQEAKSLLRTKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYLTYDDPQWKESAEECLSKMNLYSDETKHGFEHTLGWLNRWACSRSFGLGTRIPWDPEFLVESLSDSTIYMAYYAVAHLLHNEDMYGTNKAHPIKPEEMTDDVWNFIFCDGPYPTSSKIDSSVLDKMKKEFEYWYPFDLRVSGKDLIQNHLTFCVFNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFKTLRQAIDEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWIEEVLAAEASLRTGPPSTFADRVFENEINIAVETTRKNYEKYMFREALKTGFYDLQAARDEYRLSCGSGGMNHGLVWRFIDVQTRLITPICPHYAEHVWRELLLKDGLAVNAGWPIADSPDETLKAANKYLQDSIVLMRKLLQKQITGSKKSNKKAAPVATLTEEKITSLIYVNEEFDGWKAECLNILRSKFDRKTGTFAPDEEILEALQKSSVGQDANFKKVQKLCMPFLRLKKDEAIAIGAQALNLKLPFGEIEVLQENLDLIKRQIGLGSVEILSATDHDAKAKAGALSSVLDQNPPSPGNPTAVFLIQ >Potri.003G003900.1.v4.1 pep chromosome:Pop_tri_v4:3:524608:529774:-1 gene:Potri.003G003900.v4.1 transcript:Potri.003G003900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G003900.v4.1 MMATESGKAFTRRDRLLEIEKKVRGWWDEKDVFRAEPGAGPAKPGEKFFGNFPFPYMNGFLHLGHAFSLSKLEFAAAFHRLDGANVLLPFGFHCTGMPIQASADKLAREIEKFGNPPLFSKEVEEPVESQPEPEDASACPPPDKFRGKKSKAVSKSGGQMFQWEIMRSFGLSDSEIAEFQKPGKWLTYFPPLAMQDLKDFGLGCDWRRSFITTEMNPYFDSFVQWQMRKLKDMGKIIKDKRYTIYSPLDDQPCADHDRASGEGVLPQDYTLVKMEVLPPFPLKFKALEGRKVFLAAATLRPETMYGQTNAWVLPDGNYGAFEVNDTDVFILTERAALNLAYQGFSKTPKHPSCLVELTGYDLIGLPLKSPLSFNKVIYALPMLTILTDKGTGIVTSVPSDAPDDYMALRVLKAKPAFREKYGVKDEWVVPFEIVPIINIPELGDKAAEKVCLDLKIMSQNEKEKLAEAKRLTYLKGFTDGTMLVGEYAGMKVQEAKSLLRTKLIETGEAVMYSEPEKRVMSRSGDECVVALTDQWYLTYDDPQWKESAEECLSKMNLYSDETKHGFEHTLGWLNRWACSRSFGLGTRIPWDPEFLVESLSDSTIYMAYYAVAHLLHNEDMYGTNKAHPIKPEEMTDDVWNFIFCDGPYPTSSKIDSSVLDKMKKEFEYWYPFDLRVSGKDLIQNHLTFCVFNHTAIMAKHHWPRGFRCNGHIMLNSEKMSKSTGNFKTLRQAIDEFSADATRFSLADAGDGVDDANFVFETANAAILRLTKEIAWIEEVLAAEASLRTGPPSTFADRVFENEINIAVETTRKNYEKYMFREALKTGFYDLQAARDEYRLSCGSGGMNHGLVWRFIDVQTRLITPICPHYAEHVWRELLLKDGLAVNAGWPIADSPDETLKAANKYLQDSIVLMRKLLQKQITGSKKSNKKAAPVATLTEEKITSLIYVNEEFDGWKAECLNILRSKFDRKTGTFAPDEEILEALQKSSVGQDANFKKVQKLCMPFLRLKKDEAIAIGAQALNLKLPFGEIEVLQENLDLIKRQIGLGSVEILSATDHDAKAKAGALSSVLDQNPPSPGNPTAVFLIHNR >Potri.005G107300.1.v4.1 pep chromosome:Pop_tri_v4:5:7724966:7726313:1 gene:Potri.005G107300.v4.1 transcript:Potri.005G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G107300.v4.1 MCTHISLSDQEQLVEKLEIFKFQGRDKNGHKVLRIIGKFLSARYLSVDALKNYLEENIFPRLKKKPFSVLYLHTQVQKSEDFPGISALRSIYDVIPINARDNLQAIYFVHPSLQAKLFLATFGRLHFGSRLYGKLRYINRIDYLWDPIRRNEVKIPEFVCDHDEDLEGHQMLDYGVESDHPRVCGAPFMDSPVTMYSTRCI >Potri.016G115250.1.v4.1 pep chromosome:Pop_tri_v4:16:11967008:11967809:1 gene:Potri.016G115250.v4.1 transcript:Potri.016G115250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G115250.v4.1 MFFRTYLLSSWPFLFIYARSHDKMLGLKRTIDSRRKLC >Potri.007G088358.1.v4.1 pep chromosome:Pop_tri_v4:7:11331411:11333779:-1 gene:Potri.007G088358.v4.1 transcript:Potri.007G088358.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G088358.v4.1 MMKFFALCFFVISLINIPIADARIRHYKWELKYEYKSPDCYKKLVITINGRTPGPTILAKQNDTVIVEVKNSLLTENTAIHWHGIRQIGTPWFDGTEGVTQCPILPGDTFVYKFVVDRPGTYLYHAHYGMQREAGIYGSIRVALPDGESEPFAYDYDRSIILTDWYHKSTYEQAAGLSSIPFQWVGEPQSLLIQGKGRFDCSAANPPLKADVCNNTNPECSLYSTTVVPGKTYRLRISSLSALSALSFQIEGHNMTVVEADGHYVEPFVVKNLFIYSGETYSVLVKTDQDPSRNYWATTNVVSRNATTPPGLAIFNYYPNHPRRSPPTIPPSGPMWNDIAPRFNQSVAIKARRGHIYPPPATSDRVIVLLNTQNTVNGNVRWSVNKVSFNIPHTPYLIALKENLLHTFSQTPPPEGYDFKNYDIFARQNNTNATTSDAIYRLQLNSTVDIILQNANTMNPNNSETHPWHLHGHDFWVLGYGKGKFDPINDPKNYNLVDPIMKNTVPVHPFGWTALRFKADNPGVWAFHCHIESHFFMGMGVVFEEGIERVGKLPSSIMGCGETKRLLKP >Potri.008G184000.1.v4.1 pep chromosome:Pop_tri_v4:8:12765144:12767682:-1 gene:Potri.008G184000.v4.1 transcript:Potri.008G184000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G184000.v4.1 MAPYVVPLWLPLILLLALLLLFMKKMEVKTQSEQLLPPSPPKLPILGNLHQLGSLPHQSLWQLSKKYGPVMLIRLGRIPTVVISSAEAAREVLKVHDVAFCSRPLLAGTGRLTYNYLDIAFSPYSDHWRNMRKILTLELFSLKRVQSFRFIREEEVSLLVNFISESSALAAPVDLTQKLYALVANITFRMAYGFNYRGTSFDRDKFHEVVHDTVAVVGSISADESIPYLGWIVDRLTGHRARTERVFHEVDTFFQHLIDNHLKPGRIKEHDDMVDVLLRIEKEQTELGASQFTKDNIKAILLNLFLGGVDTSSLTVNWAMAELVRNPRVMKKVQDEVRKCVGNKGRVTESDIDQLEYLRMVIKETLRLHPPAPLLIPRETMSHCKVSGHNIYPKMLVQINVWAIGRDPTYWKDPEEFFPERFLDSSIDYKGQSFEYLPFGSGRRICPGMHMGFITMEIILANLLYCFDWVYPDGMKKEDINMEEKAGVSLTTSKKTPLILVPVNYLQ >Potri.014G069800.2.v4.1 pep chromosome:Pop_tri_v4:14:4419037:4421842:-1 gene:Potri.014G069800.v4.1 transcript:Potri.014G069800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G069800.v4.1 MTKQQARFEPYDFNGGTCVAIAGADYCVVAADTRMSTGYNILTRDYSKICKLADKCLMASSGFQADVKALQKVLGAKHLIYQHQHNKQMSCPAMARLLSNTLYYKRFFPYYTFNVLVGFDEEGKGCVYTYDAVGSYEKVGYSAQGSGAKLIMPVLDNQLKSPSPLLLPALDAVTPLTEAEAIDVVKDVFASATERDIYTGDKLEIVIVNADGIRREYAELRKD >Potri.016G044800.6.v4.1 pep chromosome:Pop_tri_v4:16:2867248:2869827:1 gene:Potri.016G044800.v4.1 transcript:Potri.016G044800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044800.v4.1 MEIEHFSHPDHPLILINQVLEYSCELVICSGCEGPIWGPGYSCTSCYFFLHKKCAELPREIMRCIHPRHPLHLLPKSPYKGEYGCDRCQKTCKSFVYHCSVCEFDLDIKCAFQPGFFEVDSQAHQFAHKDHPLILNEEQEYHGEGVQCCVCKEPMSGPSYSCTSCNFFLHKKCAELPPEIKQHLHPEHPLRLLPNHDMICDFCNETCYESFLYFCIVCEYNLHIKCAFPPCIDAADQNQRHQFRRLMNPLSLKSISFTCNACGTDGDDSPFWCTMCQLVVHKKCISLPRTLKTELHQHPRIIHTYHPQKRIESINKYCGICCREVDTEYGVYYCPDCDFVAHVDCSGEYGDSATEIVVENEEEQSVTVDDQFNEPSFRVVREIKHGEERIIEEIEHFSHQHNLILTDKVDDDLKCDGCMLPISTPFYSCASCNFFLDKTCIELPRRKKWQYHENQLILSWSRGPHDLFYCNVCRQYFRGLRYKCDVCALWIDVRCFKSLEDSTKHGGHEHPLYLPADRKNILRCNIGGRGLRPWVAADGENIPHCSGCCVSEESKVFLKCVVCDFKLGMKCATLPYRARHEYDDHPLFLTYINANDYQPSCIICEEDRDPKLWFYRCEECDFDAHPECALGKYPYVKLGGVRTCPKHPHPLALVVKTEDYPACDTCGELCDDFALECTDPNCSFIVHRNRKQCFNSLW >Potri.007G061520.1.v4.1 pep chromosome:Pop_tri_v4:7:6766276:6767477:-1 gene:Potri.007G061520.v4.1 transcript:Potri.007G061520.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061520.v4.1 MSNAAWDNTGSVSLFVEILNKYLYFFEKGNPRITGVAIQSLIELITTEMQSDNSTPDPAADAFLSRTLGYIQFQKQKGGAIGEKYDPIKV >Potri.001G250400.2.v4.1 pep chromosome:Pop_tri_v4:1:26620572:26621295:1 gene:Potri.001G250400.v4.1 transcript:Potri.001G250400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G250400.v4.1 MESLLRSLTGQDPNPDDYRNIDFWSDPERSGWLTKQGDYIKTWRRRWFVLKQGKLVWFKDRNVTRGSIPRGAIPVGKCLTVKGAEDVLNKPYAFELSTSQETMFFIADSEKEKEEWINSIGRSIVQQSRSVTDSEVVDYDSTRC >Potri.014G100800.1.v4.1 pep chromosome:Pop_tri_v4:14:6657069:6659262:-1 gene:Potri.014G100800.v4.1 transcript:Potri.014G100800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G100800.v4.1 MGRAPCCSKVGLHRGPWTPREDTLLTKYIQAHGEGHWRSLPKKAGLLRCGKSCRLRWMNYLRPDIKRGNITPDEDDLIIRMHSLLGNRWSLIAGRLPGRTDNEIKNYWNTHLVKRLRSQGITDPGTHRKLAEPGEREVKRRRSNKNTSNKKQNKSKAKLILPAEKHKVHLPKPVRFTSLPLPRNDSFESNTITISPSQGRDQGSFGTGDSFLVGDNDRYGLVNGSDLECQSPVPTTNTLEKLYEEYLQLLEIDDRQDQVLLDSFADSLLV >Potri.006G213900.1.v4.1 pep chromosome:Pop_tri_v4:6:22022875:22025237:-1 gene:Potri.006G213900.v4.1 transcript:Potri.006G213900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G213900.v4.1 MKKIDVFCASQASTAICMSMDQPSSSSTNQLGGRTLDRYNPIIRDQKRIPRTLPLAPCTSQPPPINPVPYQLLHKSKKSTSKNKASDQSSNKKSNSTKPKPKPNDQKTKKISFKPTDIDDDKKRTTYLNAPKDIVRRGWAKPGDFITPPGSSRYLLGDTAFFDGLADYDPVLAQLAPVESNRNTQALSKDESTASKPSSSSSSNPNQVVVLRVSLHCRGCEGKVRKHLSRMEGVTSFSIDFAAKKVTIVGDVTPLGVLASVSKIKSAQFWTSTAPAAASNNTEVSKK >Potri.012G125500.7.v4.1 pep chromosome:Pop_tri_v4:12:14219708:14224181:-1 gene:Potri.012G125500.v4.1 transcript:Potri.012G125500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125500.v4.1 MSRIRIEGLLAAFPKLIGIGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILDDLETLRLLSKLVPEYAMSLDEEGICQTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLLLQNKIDETKRRMKEEASKIDQMKIEKNRGNKGGFMSSMGSGRIESSFSDMSISSGGGGGFGSGSGFGLTNDIDSFASKSKGRQPSSATAPPKGLGMKLGKNQRANQFLESLKAEGEMIVEDAQPSKLSSHIAAAHQPTDPVTLTAEEKLNVTLKRDGGMSNFDVQGQLSLQILNPEDGLIQVQIEAGGNPGVIFKTHPNMNKELFANENILGLRDPSRPFPTGQTGDAGVGLLKWRMQSADESMVPLTINCWPSVSGNETFVSIEYEASSMFDLRNVAISVPLPALREPPSVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSSFFPISVRFSATSTYSELKVVNILPLKGGAPPKFSQRTQLITENYQVA >Potri.012G125500.6.v4.1 pep chromosome:Pop_tri_v4:12:14219709:14225187:-1 gene:Potri.012G125500.v4.1 transcript:Potri.012G125500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G125500.v4.1 MVVLAASIVSKNGKVLVSRQFVDMSRIRIEGLLAAFPKLIGIGKQHTYVETENVRYVYQPIEALYLLLVTNKQSNILDDLETLRLLSKLVPEYAMSLDEEGICQTAFELIFAFDEVISLGHKENVTVAQVKQYCEMESHEEKLHKLLLQNKIDETKRRMKEEASKIDQMKIEKNRGNKGGFMSSMGSGRIESSFSDMSISSGGGGGFGSGSGFGLTNDIDSFASKSKGRQPSSATAPPKGLGMKLGKNQRANQFLESLKAEGEMIVEDAQPSKLSSHIAAAHQPTDPVTLTAEEKLNVTLKRDGGMSNFDVQGQLSLQILNPEDGLIQVQIEAGGNPGVIFKTHPNMNKELFANENILGLRDPSRPFPTGQTGDAGVGLLKWRMQSADESMVPLTINCWPSVSGNETFVSIEYEASSMFDLRNVAISVPLPALREPPSVRQIDGEWRYDSRNSILEWSILLIDNSNRSGSMEFVVPPADSSSFFPISVRFSATSTYSELKVVNILPLKGGAPPKFSQRTQLITENYQVA >Potri.010G197200.1.v4.1 pep chromosome:Pop_tri_v4:10:19058775:19060905:1 gene:Potri.010G197200.v4.1 transcript:Potri.010G197200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G197200.v4.1 MAYKVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLDSKSTIGVEFATRTLQVEGKTVKAQIWDTAGQERYRAITSAYYRGAVGALLVYDITKRQTFDNVRRWLRELRDHADSNIVIMLAGNKSDLNHLRSVSAEDAQTLAEKEGLSFLETSALEASNVEKAFQTILLDIYQIISKKALTAQEAANSTGLPQGTTITIANLSSNINKRACCSN >Potri.002G023500.2.v4.1 pep chromosome:Pop_tri_v4:2:1462395:1464968:-1 gene:Potri.002G023500.v4.1 transcript:Potri.002G023500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G023500.v4.1 MIGSFLTRGLVMVFGYAYPAYECYKTVELNKPEIEQLRFWCQYWILVAVLTVCERIGDTFISWVPMYSEAKLAFYIYLWYPKTKGTSYVYDSFFKPYVAKHENEIDRSLLELRTRAGDMVFVYWQRAASYGQTRVFEILQYIAAQSTTRPRPAQPQQQGARARQPSAPSRQPSSNRQPATTQAEPEVPLSPTPSTSSSQHKMEVEEEAGPSKVLEAAVPATASNAQTAPEVSSQPKPTEEEAMETEDVPSSSENKNEDPTPKETLMEQRTRDTSVRLRKTHSGTNR >Potri.013G058700.1.v4.1 pep chromosome:Pop_tri_v4:13:4282110:4285650:-1 gene:Potri.013G058700.v4.1 transcript:Potri.013G058700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G058700.v4.1 MGRNLSPILRQELANLDKDADSRKSAMKALKSYVKSLDSKAIPQFLAQVSETKETGSLSGEYTISLYEVLARVHGVNIVPQIDSIMTTIIKTLASSAGYFPLQQACSKVVPAIARYGIEPTTPEDKKRHIIHSLCKPLSEALLGSQECLTSGAALCLKALVDSDNWRFASDEMVNRVCQNVAVALEEKCTQTNSHMALVMALAKHNALIVEAYVRLLIQSGLRILKAGVLEGNSQKRLSAIQMINFLMKCLDPRSIFSELELIIEEMDKCQSDQMAFVSGAAFEALQTAKKIATEKGTKFEKSSGSVSGSNFGRRDHRGRRNLSSAYGDQSPASVSPESQTLDFFMEYESFTESPISTTNVSSNECDRGSVNRKLWSFENGGVDISLKDGLFSELSQGSPIHDPFSDQSGLYELTENGGSYMGEFAGFLPRSPRNKLSRSTTPSPQRSRSHINVDNISIFTTPRKLVRALQDPNDLDSDLSEKQNGRFESPCPSKFDYSPTPRLKRNGFQHDVGLEVDENGKSYTGVEEFQGASESVASTDDIPVKTDVQASPEVIRGNKPYANKFCTEKDARKTSSILAVGFWIALLAIFASLKCIYLQDDDHHMVPT >Potri.008G119400.4.v4.1 pep chromosome:Pop_tri_v4:8:7666261:7671086:-1 gene:Potri.008G119400.v4.1 transcript:Potri.008G119400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G119400.v4.1 MVERLVIWSPAEMALVGYVSWEEVNVSSDKGRREVKYYLKKNDGGIDLAIIGKEKSLRHMSYYFAISIRSNFYSMTPPVKLKSRREVIDWLNSIVSGSLPHEPSIQAGSLDNSDASRLDMETFKDNQSQKLGHYYSEFLWLGSPWTCRKRKKHYESFCRNGVKISVHDFVYVLAEESKRLVAYLEDLYEDSKGNKMVMVRWFHKIDEVGIVLPHNFNDREIFFSRCLQDLSIECIDGLAIVLSPQHFKKFLNEAVRTRFNPFVCYKQFDNEEVTPFDITQVEGYWSQEILRYLTIPTSNYLANSQHPFSGSRGEGNDNDASRMRPKKMLRRSKDNDGVCTGSKELLTARYINMQSFHTSRVDGKTGYAFLSTAEVMQNPPQSLNVSSEVEVLSQDSGIRGCWFRASIIKKHKDKVKVRYQDISDAANEAQKLEEWVLVSRVAAPDQLAIRISGRTVVRPTPQFNKGQMASVADVGTAVDAWWNDAWWEGIVVHKETEDRIHVFFPGVKKESVFCCSDLRLSLEWLGNAWKHIKERPDILSHLSSCLERKQVTFISDESKLAEVAIPGSRQSGKANPECGDYPFESRLDMRKEVKALPDLSKDKLLAQLRWSSIKKRRRGSGSSGHKMHHNNNGGLRLSEVVGSNAGERFLIPTSLKVDHENCKYMGDSLFTCAVVQPLTGLVMSR >Potri.006G217600.1.v4.1 pep chromosome:Pop_tri_v4:6:22293340:22295295:1 gene:Potri.006G217600.v4.1 transcript:Potri.006G217600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G217600.v4.1 MGSNEQLLKKELEELQKQLGKKQKFEDALSSLKSLLRLHYPSASPSLRKTFYSVVCRVATVLKTRYIAPGFWLAGLGLFQEALLLTSDSTEKEHLKNCIAQAKEHLDQIENPPDVSQNSGYLFEGHLTVDPEPPRPQWLVQSNILNSMATLQEFESSGRPVDNNTIETASNLLGELMSNFDDMIPEIMEMGSTAPRVPPASKEVVAKLPVITITEEILAELGKDAECAICKENLVVNDKMQELPCKHRFHPPCLKPWLDEHNSCPICRHELQTDDHAYESWKEREKEAAEERKGAENAVRGGEFMYI >Potri.016G078700.1.v4.1 pep chromosome:Pop_tri_v4:16:5971807:5972857:1 gene:Potri.016G078700.v4.1 transcript:Potri.016G078700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G078700.v4.1 METYGASTDSTFPEADVDKALNSALNKKFEVDPVSSDISTTKEIQQEQDEAAQKKQREKQDALQNLKTTIFVSAIIVAVAGAVFAITKKLREK >Potri.006G182301.1.v4.1 pep chromosome:Pop_tri_v4:6:18891666:18893550:1 gene:Potri.006G182301.v4.1 transcript:Potri.006G182301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G182301.v4.1 MELIEGIVSRLIVRLVKRMCSPSHGDESRQTDTDTQFYIQQLIRKLGCEPHIGQRAILSVSQRISMVAENLLFLDPFDEAFSNMHECLFIMIQLIEFLISDYLLTWSRDEGFDHVLFEEWVTSVLHARKALELLESRNGLYVLYMDRVTGELAKHVGQVSSFQKLSQDILDNLFC >Potri.004G004700.1.v4.1 pep chromosome:Pop_tri_v4:4:288710:294169:1 gene:Potri.004G004700.v4.1 transcript:Potri.004G004700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G004700.v4.1 MEGGGAGCSSTPSLMMAFGDSSNGLCPMMMMPLMSSSSSAHQHHHQQQHVNAGDSSISNTLFLPLPPTNYQSQNRINNSASGSSMILDDHNHNNNTVTATGCYFMENNDGGSNSTSVKAKIMAHPHYHRLLAAYANCQKVGAPPEVVARLEEACASAASMGPANTDGIGEDPALDQFMEAYCEMLTKYEQELSKPLKEAMVFLQRVECQFRALTLSSPNSAWGEGNDRNASSEEELDVNNKFIDPQAEDQELKGQLLRKYSGYLGSLKKEFMKKRKKGKLPKEARQQLLDWWSRHHKWPYPSESQKLALAESTGLDQKQINNWFINQRKRHWKPSEDMQFVVMDAGHPHYYMDNVLGNPFPMDISHTLL >Potri.006G140700.6.v4.1 pep chromosome:Pop_tri_v4:6:11808863:11809484:1 gene:Potri.006G140700.v4.1 transcript:Potri.006G140700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G140700.v4.1 MMHMTLYWGIKVTLLFDCWKTDSWFSYLLSLLACFLFSAFYQYLEDRRIRFKAIAVSNPSQQPPPVNAPLLTPKRRASSAKFATALLFGINSAIGYLLMLAIMSFNGGVFLAIVLGLTVGYVLFRSGDEEVVVVDNTCACA >Potri.001G393300.1.v4.1 pep chromosome:Pop_tri_v4:1:41693364:41695872:-1 gene:Potri.001G393300.v4.1 transcript:Potri.001G393300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G393300.v4.1 MELPVKAPVSGGQKTSYRLETKNLSYKLCSKLDELDFIYCGGTPRRAPKFILRDVNCAASPGEITAIAGPSGAGKTTLLEILAGKIPSCKVSGQVLVNSQPMNAKHFRRLSGFVTQDDSLFPLLTVKETLLYSALLRLPGGRKEAASRVRRLLKELGLEHVSDSRIGEGSDWGISGGERRRVSIGVDLVHDPAVVFIDEPTSGLDSASALHVATLLKSMVLNQGKTIVLTIHQPSFRILELFDRLVLLSNGYAVHDGSLHFLEERLRFSGHQIPLHVNVLEFSIDVIESLSKQNSVSLNNQCPHEIRHNEGHIARTLYFNNHQEKPLCYPNSILEEVSILGQRFCSNIFRTKQLFAARVIQALVAGLILGTIFLNVGKKTGQVALQTRIGFFAFSLTFLLSSSTEGLPIFLQERRILMRETSRGAYRVSSYVLSNTLIFLPFLLMVALLYSTPVYWLVGLRMSIYGFLYFSLVVWMVILMSNSFVACFSALVPNFIMGTSVISGLMGSFFLFSGYFITKSKIPSYWVFMHYLSLFKYPFECFLINEYGGEQGNLRCLVYDKGRCNLYGSGFLRQQGLKDSQKWSNLAVMLGFIIGYRVLCFVILWFRCYRRR >Potri.014G109200.1.v4.1 pep chromosome:Pop_tri_v4:14:7317804:7319671:-1 gene:Potri.014G109200.v4.1 transcript:Potri.014G109200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G109200.v4.1 MGTASNLKHAAVSFLVPLPSILFYLYFINHYKTSTVDGTALSPFWSWCVDHPLLLVNALFFFNVNVLFWIISQIQKSHWMIDLYWTVIPMLLVYYYATYPLAQYNLWRSRIVIALTWIWSLRLTHNYFRREKWQWGVREDWRFTDMRGQYGKHWWWISFFSVYASQQMFLVGICLPFYIVHSVDKPLDVWDFVAVAVCLCGIVIAYFADTQLHEFVTRNDKLKELGKPTVPNLDRGLWGYSRHPNYFGEQLWWWGLVIFAWILGHGWAFVGAFVNSMCLAYVTVLVEQRMLKQEYRAEAYRLYQKTTSVWIPWFRSPAFARKDKDT >Potri.014G060200.3.v4.1 pep chromosome:Pop_tri_v4:14:3859093:3862197:-1 gene:Potri.014G060200.v4.1 transcript:Potri.014G060200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060200.v4.1 MKAMPLPSEDFQGKGVLDFSSSTTSSPNSLYQYHHKWQNNNSKESCGFFVGGAEPTSVLDTISRRSPPTSSSTLSSSQGGGGGGGGASTDTTNDVAAAGGSIPCVDEECGQQLGMEDWESVLPGSPSQEQSILRLIMGDIEDPSVGLNKLLQGGSRSLDMEHASGFGVVDQVFGFDISNMSSASANFVANNNNIDPSSIHGINLLPGLFQQQQQQAAFDQDEKPQILNPGMISNQNQHQSVQNPAMFLPFSYSQLQEHHNNQHFSSPPPSKRLNSGLVGVNYVPKVFDSRPPELFLPRQHQQQQQNHQFQMLQQQQQQRQGVIMNQKIASDELANQQQLQQAIINPICQAAELIENRNPVLAQGILARLNHQLSLPIGKPYQRTAFYFKDALQLLLHSSSNNSIDTACNLIFKIGAYKSFSEISPILQFANFTCNQVLLEAFEGFERIHIVDFDIGYGGQWASLMQELALKTGGAPSLKITAFASPSSHDELELGFTQENLRIFASEMNMPFELEILSLESLGSGSWPMPPRTSEKEVTAVNLPIGSFSNDPSTLPLALRFVKQLSPKVVVSLDRGCDRSDLPFGHHINHAIQSYSGLLESLDAVNVNLDTLQKIERFLVQPGIEKIVLGRHRCPDRTPPWRNLFLQSGFTPLTFSNFTESQAEYLVQRTPVRGFHVETRQSSLVLCWQRKDLISASAWRC >Potri.014G060200.2.v4.1 pep chromosome:Pop_tri_v4:14:3858515:3862387:-1 gene:Potri.014G060200.v4.1 transcript:Potri.014G060200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060200.v4.1 MKAMPLPSEDFQGKGVLDFSSSTTSSPNSLYQYHHKWQNNNSKESCGFFVGGAEPTSVLDTISRRSPPTSSSTLSSSQGGGGGGGGASTDTTNDVAAAGGSIPCVDEECGQQLGMEDWESVLPGSPSQEQSILRLIMGDIEDPSVGLNKLLQGGSRSLDMEHASGFGVVDQVFGFDISNMSSASANFVANNNNIDPSSIHGLFQQQQQQAAFDQDEKPQILNPGMISNQNQHQSVQNPAMFLPFSYSQLQEHHNNQHFSSPPPSKRLNSGLVGVNYVPKVFDSRPPELFLPRQHQQQQQNHQFQMLQQQQQQRQGVIMNQKIASDELANQQQLQQAIINPICQAAELIENRNPVLAQGILARLNHQLSLPIGKPYQRTAFYFKDALQLLLHSSSNNSIDTACNLIFKIGAYKSFSEISPILQFANFTCNQVLLEAFEGFERIHIVDFDIGYGGQWASLMQELALKTGGAPSLKITAFASPSSHDELELGFTQENLRIFASEMNMPFELEILSLESLGSGSWPMPPRTSEKEVTAVNLPIGSFSNDPSTLPLALRFVKQLSPKVVVSLDRGCDRSDLPFGHHINHAIQSYSGLLESLDAVNVNLDTLQKIERFLVQPGIEKIVLGRHRCPDRTPPWRNLFLQSGFTPLTFSNFTESQAEYLVQRTPVRGFHVETRQSSLVLCWQRKDLISASAWRC >Potri.014G060200.5.v4.1 pep chromosome:Pop_tri_v4:14:3858532:3862387:-1 gene:Potri.014G060200.v4.1 transcript:Potri.014G060200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G060200.v4.1 MKAMPLPSEDFQGKGVLDFSSSTTSSPNSLYQYHHKWQNNNSKESCGFFVGGAEPTSVLDTISRRSPPTSSSTLSSSQGGGGGGGGASTDTTNDVAAAGGSIPCVDEECGQQLGMEDWESVLPGSPSQEQSILRLIMGDIEDPSVGLNKLLQGGSRSLDMEHASGFGVVDQVFGFDISNMSSASANFVANNNNIDPSSIHGINLLPGLFQQQQQQAAFDQDEKPQILNPGMISNQNQHQSVQNPAMFLPFSYSQLQEHHNNQHFSSPPPSKRLNSGLVGVNYVPKVFDSRPPELFLPRQHQQQQQNHQFQMLQQQQQQRQGVIMNQKIASDELANQQQLQQAIINPICQAAELIENRNPVLAQGILARLNHQLSLPIGKPYQRTAFYFKDALQLLLHSSSNNSIDTACNLIFKIGAYKSFSEISPILQFANFTCNQVLLEAFEGFERIHIVDFDIGYGGQWASLMQELALKTGGAPSLKITAFASPSSHDELELGFTQENLRIFASEMNMPFELEILSLESLGSGSWPMPPRTSEKEVTAVNLPIGSFSNDPSTLPLALRFVKQLSPKVVVSLDRGCDRSDLPFGHHINHAIQSYSGLLESLDAVNVNLDTLQKIERFLVQPGIEKIVLGRHRCPDRTPPWRNLFLQSGFTPLTFSNFTESQAEYLVQRTPVRGFHVETRQSSLVLCWQRKDLISASAWRC >Potri.015G011800.1.v4.1 pep chromosome:Pop_tri_v4:15:743143:744320:1 gene:Potri.015G011800.v4.1 transcript:Potri.015G011800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G011800.v4.1 MENPPDFDVWDLGVDDQDTFQKISELPPFESLFDPSILLPLVPRDENINQFKHLEDISSDNIFSEMLNPGIHASFGQNLDNNVIKGDHHLKGFSMLLVQSVCASSSSVKEEEEEEEESRKMSGRKRSVALGLEEIQKHFNMPITQAAREMKVGLTVLKKRCRELKIMRWPHRKIKSLNSLINNVKEMGLSEYDAIMLEEHKRLIEKLPDLELTERTKKLRQACFKANYKRRRLSAAYH >Potri.016G018650.1.v4.1 pep chromosome:Pop_tri_v4:16:986997:987662:-1 gene:Potri.016G018650.v4.1 transcript:Potri.016G018650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G018650.v4.1 MDARMQIALPILGTVAADAAAAAAAASAVSFSELREKSLRDSDEHEDGRFESSLSSRKSRARRKAEKEDKN >Potri.003G082900.2.v4.1 pep chromosome:Pop_tri_v4:3:10966495:10968472:-1 gene:Potri.003G082900.v4.1 transcript:Potri.003G082900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G082900.v4.1 MEENDPFAAITKLCQVSSSQEQHLNHCPFALEPQTSGELLSLDAEIPVASTAITMVSPPQTPQDNHKDGLQNDDVFTTPPEEPFLSSSKNQHEQQQQEEEEEVAFDHGKEDRRLERAVTDENIAVDDGDCEESSREKDLGFTVEGELTERIEIEGDSGGGEDNSQDKEVIHCNYMKGMVLKRVLASSNNVLGESSSKRSKLNDKNLGMVTPEPSLRAEIGNSLKRLVKSLDKFKWTPSASVGAEPVKYDERLVKTVDVTMTESKRSKPLDKNSVLGIPSSSLVSDMERIREDLGDYVEKLGSEGGNDSNHGLKSPQNEQVGEMVIEVSNSDRECSGESSAKRKLQFSTEVIESEFEETDAALRFVDGVEEREANTREEHSARKSIDDLDKFRYVGPANVYGKDDVTEKRVLPASVQGRKENAGAGKAEHVTVLSEMTCMDLEITLLDVLKTLAENEHCDPSLEKLSILDAATIGGMTFP >Potri.012G074400.2.v4.1 pep chromosome:Pop_tri_v4:12:9773117:9777332:-1 gene:Potri.012G074400.v4.1 transcript:Potri.012G074400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G074400.v4.1 MAQKLKLKVLTLITKLSDRDTYAIASREIEGIAESLDNTTLSTFLSCILSTDSTDKPLVRKHCLHLLSTLSHLYSNSLSSSLPKILSYITRRLRDPDSSITRSQCLTAMTSLASKITKVPFSTAFLKQLSESVFTEQELNAQIGSALCLAAAIDAAPDPEPGRLGKVLLPRLERLVKSEGYKAKFAGLVVVGSVIGVGGVRGTGGGTGGLVKCLVGFLSTEDWNSRKAAAEALRKLAVVERDGVAEFKSECLKVFESRRYDKVKAAREVMNETIEAWKQVPDVSEEESPPPRSLASSREDASDGRHGSGSKKLHLAGSEAPQMRKKSILDIKNTPPDSSLIVTTARKRGPLKSTDERTNPAMFGKVDQKKMVDWKVEISVPNSISSTAAGENDLKMKNAKVPEKRFAKPETKRSLFSKNSDDKKLKFGGFKSGSRVAPCHEESPHSTVVASSGTENHHSNHNECEDLSLIRYQLVQIERQQSSLLDLLQSFIGSSQNGMHSLETRVRGLELALDEISYDLAVSSGRMTNTDSNRTTCCLLPGADFLSSKFWKKTEGRYSNSRIYSSRGTPLSAVVRHRADRNGHSETINLGNQRLRLQGGGGLIVNPLAEIHGGSRLNSEVTQQ >Potri.006G123700.1.v4.1 pep chromosome:Pop_tri_v4:6:9861961:9867381:-1 gene:Potri.006G123700.v4.1 transcript:Potri.006G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G123700.v4.1 MDLMEIYEIDRTVDFIHSRNFTRVALQFPDELLKDCTKVVRALREKIAKLKEQIGVSLFVMADSTYGSCCVDEVGASRINADCVIHYGHTCLSPTSTLPAFFVFGKAMIDVSSCAASLSNYASNNSKPFLVLFGLEYAHAIPYIREELVAAASTLSGSKTKTVFHFAEVMCPLINPPDCHKDSGGLPRSVGDGEVSNELGVAACSRHTIGGLSWELPSGQKMEDYLLLWIGSDNSAFANVVLTFNGCEIVRYDANGNFLGTDLSQQRRILKRRYYLVEKAKDANIVGILVGTLGVAGYLNMIHQMKDLITGAGKKVYTLVMGRPNPAKLANFPECDVFIYVSCAQTALLDSKDFYAPVITPFEAMLAFNRGSEWTGAYVMEFRDLITSSPVEVKSYSEEARFSFVQGRYVEDFDLQEKKEEENEGVLALANATEKALKLQDRSSNSLTKGTVRSGADYFATRSYHGLDMHGDDSMLEPYLIGRTGKASGYQHEKGE >Potri.006G000300.1.v4.1 pep chromosome:Pop_tri_v4:6:32770:35171:1 gene:Potri.006G000300.v4.1 transcript:Potri.006G000300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G000300.v4.1 MAHRVDHEYDYLFKIVLIGDSGVGKSNILSRFTRNEFCLESKSTIGVEFATRTLQVDGKTVKAQIWDTAGQERYRAITSAYYRGAVGAFLVYDITKRQTFDNVQRWLRELRDHADSNIVIMMAGNKSDLNHLRAVQEEDGHALAEKEGLSFLETSALEATNIEKAFQTILTEIYHIISKKTLAAQEAAANSTVPGQGTTINVADASGNTKKGCCST >Potri.013G013100.4.v4.1 pep chromosome:Pop_tri_v4:13:862087:866077:1 gene:Potri.013G013100.v4.1 transcript:Potri.013G013100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013100.v4.1 MSTQSTPILSVLTEDLLIRVNEKLVQDSDRKTWRLICKEFHRVDSITRKTLRVLHVEFLPTLLKNYTNLLTLDLSVCPCIEDGTITLLLHRVDHSMWARNLKFLNLRRANGLKFAGLEMLVGACKGLESVDVSYCRGFGDREAAAISGCGGLKELSMDKCLGVSDVGLAKIVVGCGRLVRLSLKWCMEISDLGVELLCKKCLELKFLDVSYLKVTSDSLRSIAALPKLEDLAMVGCPLVNDVGLQFLENGCPLLQKIDVSRCDCVSSYGLSALIRGHNGLLQIDAGYTISEFSANFVECMQELKNLNAIIIDGARVSDTVFQTISNNCRSLIEIGLSKCTGVTNMRIMQLVSGCVNLKTINLTCCRSITDAAISAIADSCRNLLCLKLESCNMITEKSLEQLGSHCALLEELDLTDCFGINDRGLERLSRCSRLLCLKLGLCTNISDTGLFYIASNCSQLHELDLYRCMGIGDDGLAALSSGCKKLRKLNLSYCIEVTDKGMESLGYLEELSDLELRALDKITGVGLTALVTRCKRLTYLDLKHCKKVDDTGFWALAYYSRNLRQTWHYAW >Potri.013G013100.3.v4.1 pep chromosome:Pop_tri_v4:13:862129:866162:1 gene:Potri.013G013100.v4.1 transcript:Potri.013G013100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G013100.v4.1 MSTQSTPILSVLTEDLLIRVNEKLVQDSDRKTWRLICKEFHRVDSITRKTLRVLHVEFLPTLLKNYTNLLTLDLSVCPCIEDGTITLLLHRVDHSMWARNLKFLNLRRANGLKFAGLEMLVGACKGLESVDVSYCRGFGDREAAAISGCGGLKELSMDKCLGVSDVGLAKIVVGCGRLVRLSLKWCMEISDLGVELLCKKCLELKFLDVSYLKVTSDSLRSIAALPKLEDLAMVGCPLVNDVGLQFLENGCPLLQKIDVSRCDCVSSYGLSALIRGHNGLLQIDAGYTISEFSANFVECMQELKNLNAIIIDGARVSDTVFQTISNNCRSLIEIGLSKCTGVTNMRIMQLVSGCVNLKTINLTCCRSITDAAISAIADSCRNLLCLKLESCNMITEKSLEQLGSHCALLEELDLTDCFGINDRGLERLSRCSRLLCLKLGLCTNISDTGLFYIASNCSQLHELDLYRCMGIGDDGLAALSSGCKKLRKLNLSYCIEVTDKGMESLGYLEELSDLELRALDKITGVGLTALVTRCKRLTYLDLKHCKKVDDTGFWALAYYSRNLRQINLSYCSITDMALCMVMGNLTRLQDADLVHLRNVTVEGFDLALRACCVRIKKVKLVAALGFLLSSEVLGILHARGCRIRWD >Potri.003G115100.1.v4.1 pep chromosome:Pop_tri_v4:3:13692645:13696918:1 gene:Potri.003G115100.v4.1 transcript:Potri.003G115100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G115100.v4.1 MVSFCSLSSFILSLALLTLQQASGNNSELQTLMELKSALDPTNKYLKSWASDGDPCSGLFEGVACNEHGNVANISLQGKGLSGTLSPAVAELKSLSGLYLHYNSLSGEIPKEIADLTELSDLYLNVNNISGSIPPEMGNMASLQVLELCCNQLAGNIPPEMGSLKRLSVLALQYNRLTDQIPASLGTLGMLKMLYMSFNHLSGSIPQGIANIPQMEVLDVRNNSLSGIVPSALKRLNGGFQFENNPGLCGTGFPLLRACNAVFDINQVGPLGPIANNTAQKVIPQSEILQAHCNLTHCSNSSKLPQAAIVAGVITVTVTLIGAGFLIIFLYRRKKQKIGNTSAFSEGRLSTHQAKEFHRAGASPLVSLEYSNGWDPLGDSRNGIEISGEHLNNFRFNLEEIESATRCFSEVNVLGKSSFSTVYKGILRDGSLVAIRSINLTSCKPEEAEFVKGLDLLTSLRHNNLTRLRGFCCSRGRGECFLIYDFAPRGDLSRYLDLEDGSNQVLDWSTRVYIINGIAKGIRYLHSSEENKPVMIHRRISVEKVLLDQQFNPLIADSGLAKLLADDVVFSTIKISAAMGYLSPEYVTTGLFTEKSDIYAFGVIILQILSGKQMLYSKSMLLAAACCMYDDFVDTSLQGNFSESEAAKLAKIALTSSLTIGRP >Potri.004G144300.1.v4.1 pep chromosome:Pop_tri_v4:4:16696804:16700313:-1 gene:Potri.004G144300.v4.1 transcript:Potri.004G144300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G144300.v4.1 MGRPRCFLDISIGGELEGRIVVELYNDVVPKTAENFRALCTGEKGIGPNTGVPLHYKGCRFHRVIKGFMVQGGDISAGDGTGGESIYGLKFEDENFELKHERKGMLSMANAGPNTNGSQFFISTTRASHLDGKHVVFGKAVKGIGVVRSIEHVTTGDAECPTSDVMIVDCGEIPEGADDGTCNFFKDGDAYPDWPADLYESPSELSWWMNAVDSIKASGNEHFKKQDYKMALRKYRKALRYLDICWEKEGIDEEKSSALRKTKSQTFTNSAASRLKLGDLKGALVDTDLAIRDWENNAKALFRQGQAYKALNDVDAAAESFKKALQLEPNDAGIKRELNAARKKVNERRDQERRRYEKMFETSNSTAVEP >Potri.006G065900.1.v4.1 pep chromosome:Pop_tri_v4:6:4761838:4763064:1 gene:Potri.006G065900.v4.1 transcript:Potri.006G065900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G065900.v4.1 MMMIITILLLVVLFLAGLINIYFYLPSKKLYAWLQSFASKNPPTAITPQVSHKERSITTSSLSISSSSGSPAYDEAELKSVFATFDKNGDGFITKQELRESFKNIRIFMTEKEVEEMVVKVDTNGDGLIDFEEFCILCKAIGVRDQGGDDEKEGQQDGGEGDLKEAFDVFDKDKDGLISVEELGLMLCSLGLKEGGRVEDCKEMIRKVDMDGDGMVNFDEFKRMMMRGGSKLVSVF >Potri.004G201600.1.v4.1 pep chromosome:Pop_tri_v4:4:21138890:21144099:-1 gene:Potri.004G201600.v4.1 transcript:Potri.004G201600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G201600.v4.1 MTEVLHSPSHFPSSPPTTSSLSSSSSSVTCIPPQSPSTQDGIDDDEEELVKQKEKNQRDQLSLLALLVALLRKSLVACKSDRREFCSSMEIGCPTNVRHVAHVTFDRFNGFLGLPVEFEPEVPRRPPSASATVFGVSTESMQLSYDSRGNSVPTILLLMQRRLYAQGGLQAEGVFRIAAENSQEEYVREQLNGGVVPEGVDVHCLAGLIKAWFRELPTGVLDSLSPEQVIECRTEDDCAYLARNLPPTEAALLDWAINLMADVVQQEHLNKMNAHNVATVFAPNMTRMADPLTALMYAVQVMNFLKTLILRTLREREDSLVEPAPSRIEPFDKNGHESPSLSCAKDSEDENETTEQAFVAEEPVVESSYHSSQYNAIADEAGLSYATSVDKLIAKGDRSCETASEVNLVNDAYNHRVNAGNQAGIGKNSIGQSSNSSLRKSPGKFSRQSPVLHLTPPSDKTRGIGSCIDSRSERIEAWR >Potri.019G016100.2.v4.1 pep chromosome:Pop_tri_v4:19:2524722:2525391:-1 gene:Potri.019G016100.v4.1 transcript:Potri.019G016100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G016100.v4.1 MEARFYVLTIVLVLAVFAPAAMTCYGRDISIHNFYKIENSRMVLQRRIPDDDSPYYLRRGNTAATKVSPPVASTSRGQHLSPNSPPGVIH >Potri.017G096100.2.v4.1 pep chromosome:Pop_tri_v4:17:10923283:10925472:1 gene:Potri.017G096100.v4.1 transcript:Potri.017G096100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G096100.v4.1 MSSSSSSKYLSLTNSSLFTPLHLSFLFLHLTILVTGDLPPPYVPLDSIALDCGSSANSVGNVGQWTADINSRVALLDQNNLSTHPTENVASTSFVPYYTARVTLSQFTYTFRVNKTGPKFVRLHFNPASYTGFCKQL >Potri.019G083300.6.v4.1 pep chromosome:Pop_tri_v4:19:12326014:12327494:-1 gene:Potri.019G083300.v4.1 transcript:Potri.019G083300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083300.v4.1 MAESVPSTADSSSTASVQIVYTERPQDEEPEAYHIRTLASVLGSEDAAKEALLYSYKAAASGFSAKLTPQQVEQISKLPGVLQVVPSKKLQLHTGPGIGRLH >Potri.019G083300.1.v4.1 pep chromosome:Pop_tri_v4:19:12325883:12327518:-1 gene:Potri.019G083300.v4.1 transcript:Potri.019G083300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G083300.v4.1 MKQSSKSNMHRRTKFPFSFSSSSPLILLLALIFVIRMAESVPSTADSSSTASVQIVYTERPQDEEPEAYHIRTLASVLGSEDAAKEALLYSYKAAASGFSAKLTPQQVEQISKLPGVLQVVPSKKLQLHTGPGIGRLH >Potri.005G094400.1.v4.1 pep chromosome:Pop_tri_v4:5:6627778:6633022:1 gene:Potri.005G094400.v4.1 transcript:Potri.005G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G094400.v4.1 MLDINLFREEKGNNPEKIRESQRRRYANTEIVDEIIQFDNEWRQRQFELDSLRREFNKQNKKVAQLKIAKEDATEAIRETEETKKLIADKEKEVQEAKDALYSRLPTIGNLVHDSVPVNDNEDFNAVIRAWGEKRVEKKLRNHVDLVELLGIADLKKGADVAGGRGFYLKGDGVRLNQALINFGLEFLEKRGYTALQTPFFMRKDVMAKCAQLAQFDEELYKVTGEGDDKYLIATAEQPLCAYHLDDWIHPSQLPIRYAGYSSCFRKEAGAHGRDTLGIFRVHQFEKVEQFCITSPNGNDSWEMHEEMIKNSEEFYQELNIPYQVVAIVSGALNDAAAKKYDLEGWFPASNTYRELVSCSNCTDYQSRRLEIRYGQKKSNEQVKQYCHLLNSTLTATERTICCILENYQKEDGVEVPEPLRKYMSGKEFLPFQNKPSTEGKGKKPKA >Potri.003G169000.1.v4.1 pep chromosome:Pop_tri_v4:3:17762335:17770374:1 gene:Potri.003G169000.v4.1 transcript:Potri.003G169000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G169000.v4.1 MSREKFNKLRHDHCCFLSVSIFVVAFALSLSPAYCQDIGGYDDNPATQELFSELVYKSFSNFTSVFKQDIVKYFGFCITDVDEDWNMAFNFSKGTQFISNCAKKTKGDMLRRTCTAAEIKFYFNNLFEKGAKKSNYLKPNKNCNLSSWVSGCEPGWACGVGKGEKVDLRNSKDMPFRTTNCAACCEGFFCPHGITCMIPCPLGAHCPLAKLNKTTGICDPYHYQLPPGKPNHTCGGADIWADILSSSEIFCSAGSYCPSTIQEIPCSRGHYCRTGSTSQTGCFNLATCETQSANQNITAYGILFFAGLSFLLIIIYNCSDQVLATRERRQAKTREKAVQSVRETAQAREKWKSARDIAKKGAIGLQTQLSRTFSRTKSKRPVEQLKGFGQAKPGSDAALPPMPVSSSSQQSSGKGKKKGKSNLSQMLDDIENNPEGHEGFNLEIGDKNIRKNAPRGKQLHTQSQMFRYAYGQIEREKAMQEQNKNLTFSGVISMANDTEIRKRPSIEVAFKDLTLTLTTKHKHLLRCVTGKLSPGRVSAVMGPSGAGKTTFLSALTGKATGCTMSGMVLVNGKMEPIQAYRKIIGFVPQDDIVHGNLTVEENLWFSARCRLSADLPKPEKVLVVERVIESLGLQAVRDSLVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSSSSLLLLRALRREALEGVNICMVVHQPSYTLFRMFDDLILLAKGGLTAYHGSAKKVEEYFAGLGITVPERVNPPDYFIDILEGIAKPKSGVNYKQLPVRWMLHNGYPVPMDMLQNTDGLGASSSENSAHGASEVGSETGSLAGDFWHDLKSNVESEKDNLKPNVLKSGDLSERRSPGVYQQYRYFLGRVGKQRLREARAQAVDYLILLLAGICLGTLAKVSDETFGVVGYTYTVIAVSLLCKIAALRSFSLDKLHYWRERSSGMSSLAYFLAKDTIDHFSTIVKPLVYLSMFYFFNNPRSTVFDNYIVLICLVYCVTGIAYALAIFFEPGPAQLWSVLLPVVLTLIATRTENDGVVNYISNLCYTKWALEAFVISNAKRYYGVWLITRCGSLMESGYDLGHWYRSLILLVLTGIVSRVAAFFILITVNRK >Potri.004G229300.6.v4.1 pep chromosome:Pop_tri_v4:4:23268429:23279825:-1 gene:Potri.004G229300.v4.1 transcript:Potri.004G229300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229300.v4.1 MTGKDKDYRYMATSDLLNELNKEGFKADTDLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIASEVTAISLAQSILVTLSPQLIKGITSPGMSTEIKCECLDILCDVLHKFGNLMANDHELLLNALLSQLNSNQATVRKRTVSCIASLASSLSDDLLGKATVEVVRKLRTKGTKPEMIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYGLQALESFLLRCPRDIYSYCDEILHLALEYLSYDPNFTDNMEEDTDDESHEEEEDDESENEYTDDEDVSWKVRRAAAKCLAALIVSRPEVLAKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGKIDMNESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLRELVVVLPDCLSEQIGSLIPGIEKALNDKSSTSNLKIEALTFTRLVLASHSPPVFHPYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFKPYVRPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKTELPVCLPVLVDRMGNEITRLTAVKAFAVIATSPLRIDLSCVLENVIAELTAFLRKANRALRQATLGTLNYLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMTDRKSSPNVGLAVRNKVLPQALTLIKSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSSAKPAPQSGGVAKKALHSIAQCVAVLCLAAGDLKCSSTVDMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSLHANIETIIIESFQSPFEEIKSAASYALGNIAVSNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSIVEKILKLLFNHCESDEEGVRNVVAECLGKIALVEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAILALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQVAVKQEVDRNEDMIRSALRAIASLNRISGGDCSLKFKNLMSEISKSPTLWDKYYSIRNE >Potri.004G229300.3.v4.1 pep chromosome:Pop_tri_v4:4:23268458:23280633:-1 gene:Potri.004G229300.v4.1 transcript:Potri.004G229300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229300.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADTDLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIASEVTAISLAQSILVTLSPQLIKGITSPGMSTEIKCECLDILCDVLHKFGNLMANDHELLLNALLSQLNSNQATVRKRTVSCIASLASSLSDDLLGKATVEVVRKLRTKGTKPEMIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYGLQALESFLLRCPRDIYSYCDEILHLALEYLSYDPNFTDNMEEDTDDESHEEEEDDESENEYTDDEDVSWKVRRAAAKCLAALIVSRPEVLAKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGKIDMNESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLRELVVVLPDCLSEQIGSLIPGIEKALNDKSSTSNLKIEALTFTRLVLASHSPPVFHPYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFKPYVRPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKTELPVCLPVLVDRMGNEITRLTAVKAFAVIATSPLRIDLSCVLENVIAELTAFLRKANRALRQATLGTLNYLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMTDRKSSPNVGLAVRNKVLPQALTLIKSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSSAKPAPQSGGVAKKALHSIAQCVAVLCLAAGDLKCSSTVDMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSLHANIETIIIESFQSPFEEIKSAASYALGNIAVSNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSIVEKILKLLFNHCESDEEGVRNVVAECLGKIALVEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAILALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQVAVKQEVDRNEDMIRSALRAIASLNRISGGDCSLKFKNLMSEISKSPTLWDKYYSIRNE >Potri.004G229300.4.v4.1 pep chromosome:Pop_tri_v4:4:23268461:23280503:-1 gene:Potri.004G229300.v4.1 transcript:Potri.004G229300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229300.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADTDLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIASEVTAISLAQSILVTLSPQLIKGITSPGMSTEIKCECLDILCDVLHKFGNLMANDHELLLNALLSQLNSNQATVRKRTVSCIASLASSLSDDLLGKATVEVVRKLRTKGTKPEMIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYGLQALESFLLRCPRDIYSYCDEILHLALEYLSYDPNFTDNMEEDTDDESHEEEEDDESENEYTDDEDVSWKVRRAAAKCLAALIVSRPEVLAKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGKIDMNESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLRELVVVLPDCLSEQIGSLIPGIEKALNDKSSTSNLKIEALTFTRLVLASHSPPVFHPYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFKPYVRPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKTELPVCLPVLVDRMGNEITRLTAVKAFAVIATSPLRIDLSCVLENVIAELTAFLRKANRALRQATLGTLNYLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMTDRKSSPNVGLAVRNKVLPQALTLIKSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSSAKPAPQSGGVAKKALHSIAQCVAVLCLAAGDLKCSSTVDMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSLHANIETIIIESFQSPFEEIKSAASYALGNIAVSNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSIVEKILKLLFNHCESDEEGVRNVVAECLGKIALVEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAILALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQVAVKQEVDRNEDMIRSALRAIASLNRISGGDCSLKFKNLMSEISKSPTLWDKYYSIRNE >Potri.004G229300.5.v4.1 pep chromosome:Pop_tri_v4:4:23268462:23280870:-1 gene:Potri.004G229300.v4.1 transcript:Potri.004G229300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229300.v4.1 MANLQMTGILEKMTGKDKDYRYMATSDLLNELNKEGFKADTDLEIKLSNIVLQQLDDVAGDVSGLAVKCLAPLVKKVSEARVVEMTNKLCEKLLHGKDQHRDIASIALKTIASEVTAISLAQSILVTLSPQLIKGITSPGMSTEIKCECLDILCDVLHKFGNLMANDHELLLNALLSQLNSNQATVRKRTVSCIASLASSLSDDLLGKATVEVVRKLRTKGTKPEMIRTNIQMIGALSRAVGYRFGPHLGDTVPVLINYCTSASENDEELREYGLQALESFLLRCPRDIYSYCDEILHLALEYLSYDPNFTDNMEEDTDDESHEEEEDDESENEYTDDEDVSWKVRRAAAKCLAALIVSRPEVLAKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGKIDMNESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLRELVVVLPDCLSEQIGSLIPGIEKALNDKSSTSNLKIEALTFTRLVLASHSPPVFHPYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFKPYVRPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKTELPVCLPVLVDRMGNEITRLTAVKAFAVIATSPLRIDLSCVLENVIAELTAFLRKANRALRQATLGTLNYLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMTDRKSSPNVGLAVRNKVLPQALTLIKSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSSAKPAPQSGGVAKKALHSIAQCVAVLCLAAGDLKCSSTVDMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSLHANIETIIIESFQSPFEEIKSAASYALGNIAVSNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSIVEKILKLLFNHCESDEEGVRNVVAECLGKIALVEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAILALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQVAVKQEVDRNEDMIRSALRAIASLNRISGGDCSLKFKNLMSEISKSPTLWDKYYSIRNE >Potri.004G229300.7.v4.1 pep chromosome:Pop_tri_v4:4:23268328:23277388:-1 gene:Potri.004G229300.v4.1 transcript:Potri.004G229300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G229300.v4.1 MEEDTDDESHEEEEDDESENEYTDDEDVSWKVRRAAAKCLAALIVSRPEVLAKLYEEACPKLIDRFKEREENVKMDVFNTFIELLRQTGNVTKGKIDMNESSPRWLLKQEVPKIVKSINRQLREKSIKTKVGAFSVLRELVVVLPDCLSEQIGSLIPGIEKALNDKSSTSNLKIEALTFTRLVLASHSPPVFHPYIKALSSPVLSAVGERYYKVTAEALRVCGELVRVVRPNIQGFGFDFKPYVRPIYNAIMSRLTNQDQDQEVKECAISCMGLVISTFGDNLKTELPVCLPVLVDRMGNEITRLTAVKAFAVIATSPLRIDLSCVLENVIAELTAFLRKANRALRQATLGTLNYLIVAYGDQIGSSAYEVIIVELSTLISDSDLHMAALALELCCTLMTDRKSSPNVGLAVRNKVLPQALTLIKSPLLQGQALLALRNFFAALVYSANTSFDTLLDSLLSSAKPAPQSGGVAKKALHSIAQCVAVLCLAAGDLKCSSTVDMLTEILKDDSSTNSAKQHLALLCLGEIGRRKDLSLHANIETIIIESFQSPFEEIKSAASYALGNIAVSNLSKYLPFILDQIDNQQKKQYLLLHSLKEVIVRQSVDKAEFQDSIVEKILKLLFNHCESDEEGVRNVVAECLGKIALVEPAKLVPALKVRTTSPAAFTRATVVIAVKYSIVERPEKIDEIIYPEISSFLMLIKDHDRHVRRAAILALSTFAHNKPNLIKGLLPELLPLLYDQTIVKQELIRTVDLGPFKHIVDDGLELRKAAFECVDTLLDSCLDQVNPSSFIVPYLKSGLDDHYDVKMPCHLILSKLADKCPSAVLAVLDSLVDPLQKTINFKPKQVAVKQEVDRNEDMIRSALRAIASLNRISGGDCSLKFKNLMSEISKSPTLWDKYYSIRNE >Potri.005G198500.2.v4.1 pep chromosome:Pop_tri_v4:5:20471188:20477128:-1 gene:Potri.005G198500.v4.1 transcript:Potri.005G198500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198500.v4.1 MAAAVAAPAVTAQPAAVAATALGTAEAGQFPNSSLYVGDLEHNVNEGQLFDLFSQVAQVVSIRVCRDQARRASLGYAYVNFSNPQDAANAMELLNFTPLNGKAIRIMVSHRDPSMRKSGHANVFIKNLDTSIDNKALQETFASFGPVLSCKVAVDNNGQSKGYGFVQFENEEAAQSAINRLNGMLINDKEVFVGRFVRHQERIEATGSPKFTNVYVKNLSETTSDEDLKKFFSNYGSITSAIVMKDQSGKSKGFGFVNFQSPDSAAAAVEKLNGTTFNDKVWYVGRAQRKGEREAELKARFEQERNSRYEKLKAANLYLKNLDDKIDDEKLKELFSEFGSITSCKVMLDQQGLSKGSGFVAFSTPEEASRALNGMNGKMIGKKPLYVAVAQRREERKARLQAPGLSPMPSGLSGYHPGAPRLAPQQLFFGQGTAGMMPPQPAGYGFQQQLLPGMRAGVGPNFVMPYQMQRQGQQGQRMGIRRGGNHQQIQQQQQLLHRNTNQGLRYMGNARNGIDSSAAPQGFVGPVVPFPFEASGMPVTPSDAQRTAPVPISALTTALASATPEKRMVMLGEQLYPLVERLEPDHVAKVTGMLLEMDQTEVLHLIESPDALKKKVAEAMQVLQEVGASSVGDQLGSLALND >Potri.005G198500.1.v4.1 pep chromosome:Pop_tri_v4:5:20471188:20477128:-1 gene:Potri.005G198500.v4.1 transcript:Potri.005G198500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G198500.v4.1 MAAAVAAPAVTAQPAAVAATALGTAEAGQFPNSSLYVGDLEHNVNEGQLFDLFSQVAQVVSIRVCRDQARRASLGYAYVNFSNPQDAANAMELLNFTPLNGKAIRIMVSHRDPSMRKSGHANVFIKNLDTSIDNKALQETFASFGPVLSCKVAVDNNGQSKGYGFVQFENEEAAQSAINRLNGMLINDKEVFVGRFVRHQERIEATGSPKFTNVYVKNLSETTSDEDLKKFFSNYGSITSAIVMKDQSGKSKGFGFVNFQSPDSAAAAVEKLNGTTFNDKVWYVGRAQRKGEREAELKARFEQERNSRYEKLKAANLYLKNLDDKIDDEKLKELFSEFGSITSCKVMLDQQGLSKGSGFVAFSTPEEASRALNGMNGKMIGKKPLYVAVAQRREERKARLQAHFTQIQAPGLSPMPSGLSGYHPGAPRLAPQQLFFGQGTAGMMPPQPAGYGFQQQLLPGMRAGVGPNFVMPYQMQRQGQQGQRMGIRRGGNHQQIQQQQQLLHRNTNQGLRYMGNARNGIDSSAAPQGFVGPVVPFPFEASGMPVTPSDAQRTAPVPISALTTALASATPEKRMVMLGEQLYPLVERLEPDHVAKVTGMLLEMDQTEVLHLIESPDALKKKVAEAMQVLQEVGASSVGDQLGSLALND >Potri.005G153100.5.v4.1 pep chromosome:Pop_tri_v4:5:13090016:13103556:1 gene:Potri.005G153100.v4.1 transcript:Potri.005G153100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G153100.v4.1 MPHRTTYFFPRQFPERSGIHASSSKQALHHEKKKVSKDAFNIGNDRRKSSSKDQYSKSSFPVGKNISETTTITTVPTTITTPISDLFTSSDDEKYHPKNKQFGEDDKYKRKKKQLAAFFDWLSEKKVEKSTSHVKLQRLSTEEDRHLLVTPEPEPEPEPEPVLPVPQIIKERDVDRNFDRQVSLPRLSSGSSYAGSLFSGITTLYGNFTTDIKVDTSMTVHLPTIKQDVAEEKEDQEKKENLALKTKESYYLQLSLAKRLSAQAGIASELVLLQEGVPEASDAQTVSYRLWVSGCLSYSDKISDGFYNILGMNPYLWVMCNDDEEVSKLPPLKSLKEIEPSETSMEVVLVDRRGDSRLKELEDKAQELYCASENTLVLVEQLGKLVAIYMGGTFSGEQGDLHKRWKVVSRRLRDFHNCIVLPIGSLSMGLCRHRAILFKKLADYIGLPCRIARGCKYCVADHQSSCLVKIQDDRLFSREYVVDLVGQPGNVHGPDSTINGAFLSSMPSPFQIPHLNESQQPYMDDATYEILDSKHSCTFPENPPCSGNKEEEKLIEDDGWFQNLKVSTYVSVDQVYPGNESSLMPLDLKRNAEAPATAGRSIYECSTLKAEQIIMQQTYKKEMAVFGNSVINSVVKQTKVNLSSQSGMEEVESRVDNQGRPSSVTIPRYLNLEPSLAMDWLEISWEELHIKERVGAGSFGTVHRAEWHGSDVAVKVLTVQDFHDDQLREFLREVAIMKRVRHPNVVLYMGAVTKHPQLSIVTEYLPRGSLYRLIHRPAAGEVLDQRRRLRIALDVAKGINYLHCLNPPIVHWDLKSPNLLVDKNWTVKVCDFGLSRFKANTFISSKSVAGTPEWMAPEFLRGEPSNEKSDVYSFGVILWELVTMQQPWSGLGPAQVVGAVAFQNRRLSIPQEAPPVLASLMESCWADDPAQRPSFGKIVESLKKLLKSPLQAIKISVQKRSPITDT >Potri.005G228900.1.v4.1 pep chromosome:Pop_tri_v4:5:22861879:22865038:-1 gene:Potri.005G228900.v4.1 transcript:Potri.005G228900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G228900.v4.1 MEGNGGDAANVVAPLAKWRNDFSRAFQFYLDRSTPHPTERWLGTLAVAAVYVLRAYFVQGFYIISYGLGIYILNLLIGFLSPKVDPELEVSDDASLPTKGSDEFKPFIRRLPEFKFWYAITKAFCVAFLMTFFSVFDVPVFWPILLCYWIVLFVLTMKRQIMHMIKYKYVPFSRGKQRYGRKKSGASSSGLMRD >Potri.005G230500.8.v4.1 pep chromosome:Pop_tri_v4:5:22974165:22980446:-1 gene:Potri.005G230500.v4.1 transcript:Potri.005G230500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230500.v4.1 MSSPLDLLARPCFEGFSSNDEKRERKSDFDNSEEDRKTRIGSLKKKAIKASSKFRRSLKKSKKNNGGSGGVGGGVSAAIEDVRDVEELRLVDAFKQALISEDLLPPRHDDYHMLLRFLKARKFDVEKAKQMWANMLQWRKDFGTDTILEDFEFSELKEVRKYYPQGYHGVDKDGRPVYIERLGKVDSSKLMEVITLERYLRYHVQEFERTFAIKFPACTIAAKRHIDSSTTILDVQGIGLKNFTKSARELIIQLQKIDGDNYPETLCRMYVINAGPGFKLLWRTVRSFIDSHTASKIHVLGNKYQNKLLEIIDSSELPEFLGGSCTCADQGGCMRSDRGPWKDPNILKMVHSGEALYSRQIVTISNSGGRVIALDKPFYPVKVKTSDTSTAESGSEVEDVVSPKPTGSYLLPRLAPVSEEAMLGCFIQARMAGRMSTASVSEYDEYIPMIDKTVDAEFQDLCTSRGTPSPLSVEKTSEGIPARVWALLVAFFITFLAFFRSMAFWNTKKHPASDSASDITDLTFETAPKEEFRPPSPAPGFTEADLLSSVMKRLGELEEKVGTLQTKPFQMPCEKEELLNAAVYRVDALEAELIATKKALHEALIRLEELLAYVDGREQASFQKKKFCWSRGFCTGGIY >Potri.005G230500.2.v4.1 pep chromosome:Pop_tri_v4:5:22974054:22980468:-1 gene:Potri.005G230500.v4.1 transcript:Potri.005G230500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G230500.v4.1 MSSPLDLLARPCFEGFSSNDEKRERKSDFDNSEEDRKTRIGSLKKKAIKASSKFRRSLKKSKKNNGGSGGVGGGVSAAIEDVRDVEELRLVDAFKQALISEDLLPPRHDDYHMLLRFLKARKFDVEKAKQMWANMLQWRKDFGTDTILEDFEFSELKEVRKYYPQGYHGVDKDGRPVYIERLGKVDSSKLMEVITLERYLRYHVQEFERTFAIKFPACTIAAKRHIDSSTTILDVQGIGLKNFTKSARELIIQLQKIDGDNYPETLCRMYVINAGPGFKLLWRTVRSFIDSHTASKIHVLGNKYQNKLLEIIDSSELPEFLGGSCTCADQGGCMRSDRGPWKDPNILKMVHSGEALYSRQIVTISNSGGRVIALDKPFYPVKVKTSDTSTAESGSEVEDVVSPKPTGSYLLPRLAPVSEEARMAGRMSTASVSEYDEYIPMIDKTVDAEFQDLCTSRGTPSPLSVEKTSEGIPARVWALLVAFFITFLAFFRSMAFWNTKKHPASDSASDITDLTFETAPKEEFRPPSPAPGFTEADLLSSVMKRLGELEEKVGTLQTKPFQMPCEKEELLNAAVYRVDALEAELIATKKALHEALIRLEELLAYVDGREQASFQKKKFCWSRGFCTGGIY >Potri.006G245200.2.v4.1 pep chromosome:Pop_tri_v4:6:24598943:24601635:1 gene:Potri.006G245200.v4.1 transcript:Potri.006G245200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245200.v4.1 MLRKRTRSLQKDQQMGQLTMSDSGSESHFQSDNMGHNHKANSFFTVPGLFVGSSLKGLSDCDSVRSPTSPLDFRMFSNIGNPSKSPRSSHGGQRKSWDCNKVGLSIVDSLDDDGKGSGKVLRSSESKNILFGPRVRSKTPNFQSRTDSFQAPKSLPRNFAIFPRTLTKSPLLKGSSDVLFEIGEDPSDSEPFGKIRSCSLDSCRSFSSLSRLAGQNSKASSGNFCLDNVTTRGECPQLFGGSPNSNNFSNTNLTFTPMSVSSGNGFIGSLSASEIELSEDYTCVISHGPNPKTTHIYGDCILECQSNDLSNFGKNEAKEIGLPQAVTCSKIPGSFPSEVFLSFCYYCNKKLDEGKDIYIYRGEKAFCSLSCRSEEIMIDEELENTTHKSSECVPMSGEGEGLFETGIIDAP >Potri.006G245200.5.v4.1 pep chromosome:Pop_tri_v4:6:24599022:24601782:1 gene:Potri.006G245200.v4.1 transcript:Potri.006G245200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G245200.v4.1 MLRKRTRSLQKDQQMGQLTMSDSGSESHFQSDNMGHNHKANSFFTVPGLFVGSSLKGLSDCDSVRSPTSPLDFRMFSNIGNPSKSPRSSHGGQRKSWDCNKVGLSIVDSLDDDGKGSGKVLRSSESKNILFGPRVRSKTPNFQSRTDSFQAPKSLPRNFAIFPRTLTKSPLLKGSSDVLFEIGEDPSDSEPFGKIRSCSLDSCRSFSSLSRLAGQNSKASSGNFCLDNVTTRGECPQLFGGSPNSNNFSNTNLTFTPMSVSSGNGFIGSLSASEIELSEDYTCVISHGPNPKTTHIYGDCILECQSNDLSNFGKNEAKEIGLPQAVTCSKIPGSFPSEVFLSFCYYCNKKLDEGKDIYIYRGEKAFCSLSCRSEEIMIDEELENTTHKSSECVPMSGEGEGLFETGIIDAP >Potri.009G089900.2.v4.1 pep chromosome:Pop_tri_v4:9:8305214:8308984:-1 gene:Potri.009G089900.v4.1 transcript:Potri.009G089900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G089900.v4.1 MARMSVFGFWALILLAGTACLSSTYSSSHDLNFPNATTSFKEPKEGCYSQRISSEEIQKDNINMEGYSKIDPTPKSTATIVSRPIEHGTLLIPYIPGPTLLDHPKQGRFKSPPSPA >Potri.001G176100.1.v4.1 pep chromosome:Pop_tri_v4:1:15194198:15195716:1 gene:Potri.001G176100.v4.1 transcript:Potri.001G176100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G176100.v4.1 MGSETVSKIPVVHLSKENFEPGSSSWLAACNDIRRALEEYSFFEVVYSEPSMEFYREIISVLEELFNLPHETKNRNTHPKLGHGYMGKIPGFPEGLGIEYATKKDECQKFTSLMWPDGNERFCDVIHSFTKIASELNQMVVRMLFESYGIKKDEPLVNSTNYLLRLLKYGRSQGETNVGFKAHMDKTFFTLLYQNPVKGLEIRTKEGEWITYEPSSTTSFAVIAGDVCMAWSNDRIKSSYHRVVVSSEEDRYALGLFTFLDGVVQPPEELVDDEHPLQYKPFEHQKLLDFYQSYNDPNKRDCNIMKAYCGV >Potri.012G014625.1.v4.1 pep chromosome:Pop_tri_v4:12:655487:658759:1 gene:Potri.012G014625.v4.1 transcript:Potri.012G014625.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G014625.v4.1 MGFSPISSLSFFLFLFHFHSTISSSHFCAHDQSLSLLQFKESFSIRSSASDRCQHPKTESWKEGTDCCSWDGVTCDMKTGHVTGLDLACSMLYGTLHPNSTLFSLHHLQQLDLSDNDFNSSHISSRFGQFSNLTLLNLNYSIFAGQVPSEITHLSKLVSLDLSQNDDLSLEPISFDKLVRNLTNLRELDLSDVNMPLLVPSSLMNLSSSLSSLKLNYCGLQGKLLSSMGKFKHLQYLDLGGNNLTGSIPYDFEQLTELVSLDLSINFYLSLEPIFFDKIVQNLTRLRDLILGYVNMSLVAPSSLTNLSSSLSSLSLWGCGLQGKFPGYIFLLPNLESLDLSYNDGLTGLFPSTNLSNVLSRLDLSNTRISVYLENDLISNLKSLEYMSLRNCNIIMSDIALLSNLTQLINLDLSSNNFSGQIPSSFGNLTQLTYLDLASNNFSGQIPDSLGSLVNLSYLVLSNNQLVGRIHSQLNTLSNLQYLYLSNNLFNGTIPSFLFALPSLQYLDLHNNNLIGNISELQHDSLEYLDLSNNHLHGPIPSSIFKQENLRVLILASNSKLTGEISSSICKLRYLRVMDLSNSSFSGSMPLCLGNFSNMLSVLHLGMNNLQGTIPSTFSKDNSLEYLNLNGNELEGKISPSIINCTMLEVLDLGNNKIEDAFPYFLETLPKLQILVLKSNKLQGFVKGPTAHNSFSKLQILDISDNGFSGSLPIGYFNSLEAMMASDQNMIYMKATNYSSYVYSIEMTWKGVEIEFPKIQSTIRILDLSKNNFTGEIPKVIGKLKALQQLNLSHNSLTGHIQSSLGNLTNLESLDLSSNLLTGRIPTQLGGLTFLAILNLSHNQLEGRIPSGEQFNTFNPSSFEGNLGLCGFQVLKECYGDEAPSLLPSSFDEGDGSTLFEDGFRWKAVTMGYGCGFVFGVATGYIVFRTKKPSWFFRMVEDIWNLKIKKKKKKNVSRYGARRN >Potri.015G067000.7.v4.1 pep chromosome:Pop_tri_v4:15:9268391:9272600:1 gene:Potri.015G067000.v4.1 transcript:Potri.015G067000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067000.v4.1 MMKMEIVNTKWIATVASIWIQSSVGASYTFGIYSSILKSSQGYDQSTLDTVSVFKDIGANAGILSGLLYSAFTLQNNRRRLGVFAGPCVVLLAGAIQSFLGYFVMWASVVGLIRRLPVAVMCFFTWMAAHAQTFSNTTNVVSGVHNFGDYGGTIVGIMKGFLGLSGAILIQFYQTVCNGDPGTFLLLLALTPTLVSLLFMSLVRNYDTNTKDDKKYLNAFSAVSLIIAAYLTIIIILENISSLSSLARIITFTVLLLLVASPLGIAVRAHREDSDRYAQALLEQRGSKQNPVISSEICTAEDSIEYHELPSEEGQAKAASDNERLSDEGNMNLLQALCSVNFWLLFIAMFCGLGSGLAMINNISQIGESLGYTATERNSLVSLLSIWNFLGRFGAGFVSDIFLHRGGWARPLFVAVTLAIMTIGHIIVAAGFSKNLYLGSVLVGVAYGSQWSLMPTITSEIFGVGHMGTIFNTIAIASPVGSYTFSVRVIGFIYDKVGSGENNTCFGSRCFMLSFMIMASVAFFGVLVALLLFFRTRRFYKSVVFRRLQNL >Potri.015G067000.8.v4.1 pep chromosome:Pop_tri_v4:15:9268391:9272510:1 gene:Potri.015G067000.v4.1 transcript:Potri.015G067000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067000.v4.1 MMKMEIVNTKWIATVASIWIQSSVGASYTFGIYSSILKSSQGYDQSTLDTVSVFKDIGANAGILSGLLYSAFTLQNNRRRLGVFAGPCVVLLAGAIQSFLGYFVMWASVVGLIRRLPVAVMCFFTWMAAHAQTFSNTTNVVSGVHNFGDYGGTIVGIMKGFLGLSGAILIQFYQTVCNGDPGTFLLLLALTPTLVSLLFMSLVRNYDTNTKDDKKYLNAFSAVSLIIAAYLTIIIILENISSLSSLARIITFTVLLLLVASPLGIAVRAHREDSDRYAQALLEQRGSKQNPVISSEICTAEDSIEYHELPSEEGQAKAASDNERLSDEGNMNLLQALCSVNFWLLFIAMFCGLGSGLAMINNISQIGESLGYTATERNSLVSLLSIWNFLGRFGAGFVSDIFLHRGGWARPLFVAVTLAIMTIGHIIVAAGFSKNLYLGSVLVGVAYGSQWSLMPTITSEIFGVGHMGTIFNTIAIASPVGSYTFSVRVIGFIYDKVGSGENNTCFGSRCFMLSFMIMASVAFFGVLVALLLFFRTRRFYKSVVFRRLQNL >Potri.015G067000.6.v4.1 pep chromosome:Pop_tri_v4:15:9268391:9272576:1 gene:Potri.015G067000.v4.1 transcript:Potri.015G067000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G067000.v4.1 MMKMEIVNTKWIATVASIWIQSSVGASYTFGIYSSILKSSQGYDQSTLDTVSVFKDIGANAGILSGLLYSAFTLQNNRRRLGVFAGPCVVLLAGAIQSFLGYFVMWASVVGLIRRLPVAVMCFFTWMAAHAQTFSNTTNVVSGVHNFGDYGGTIVGIMKGFLGLSGAILIQFYQTVCNGDPGTFLLLLALTPTLVSLLFMSLVRNYDTNTKDDKKYLNAFSAVSLIIAAYLTIIIILENISSLSSLARIITFTVLLLLVASPLGIAVRAHREDSDRYAQALLEQRGSKQNPVISSEICTAEDSIEYHELPSEEGQAKAASDNERLSDEGNMNLLQALCSVNFWLLFIAMFCGLGSGLAMINNISQIGESLGYTATERNSLVSLLSIWNFLGRFGAGFVSDIFLHRGGWARPLFVAVTLAIMTIGHIIVAAGFSKNLYLGSVLVGVAYGSQWSLMPTITSEIFGVGHMGTIFNTIAIASPVGSYTFSVRVIGFIYDKVGSGENNTCFGSRCFMLSFMIMASVAFFGVLVALLLFFRTRRFYKSVVFRRLQNL >Potri.007G068200.2.v4.1 pep chromosome:Pop_tri_v4:7:8886851:8889254:-1 gene:Potri.007G068200.v4.1 transcript:Potri.007G068200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G068200.v4.1 MSVWHYGESKKQAFELENKVSLDSLLSLGIARVPGICLVCSHVTSGVPPMFAHFVTNFPAFHQILIFVTVQFLMIPKVPVIDRFHVSRIGPPDVPLFRCIVRYGYKDIRDSFEFETQLIEKITVFLKCELNCKEILILEQSVLGAKAQRRKELRLQYLQEASEDVNELMEAKEAGVTFMMGHTCVIAREASCILKKLVINYVYGFLRRNSRCPATSFGIPHSALIEVGMVYRV >Potri.014G193500.8.v4.1 pep chromosome:Pop_tri_v4:14:17152697:17157313:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.014G193500.12.v4.1 pep chromosome:Pop_tri_v4:14:17152697:17156816:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.014G193500.6.v4.1 pep chromosome:Pop_tri_v4:14:17152751:17157691:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.014G193500.7.v4.1 pep chromosome:Pop_tri_v4:14:17152697:17157451:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.014G193500.10.v4.1 pep chromosome:Pop_tri_v4:14:17152697:17157232:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.014G193500.3.v4.1 pep chromosome:Pop_tri_v4:14:17152697:17156816:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.014G193500.9.v4.1 pep chromosome:Pop_tri_v4:14:17152697:17157244:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.014G193500.1.v4.1 pep chromosome:Pop_tri_v4:14:17152697:17157201:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.014G193500.11.v4.1 pep chromosome:Pop_tri_v4:14:17152692:17157231:1 gene:Potri.014G193500.v4.1 transcript:Potri.014G193500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G193500.v4.1 MEEEEAEIYDGVRAQFPLTFGKQSKSQTPLEQIHNTTIRKAPPTSAANAETQSSSSKAWPDSLRPTPKSSSNPTPSLGPPPLRPTGSNSFVAHVSIGPPRPQARGVDDEDDGEVMVGPPRPPPGLAAAADDDDDEEEEEEEEDGVMIGPLRPPEPADSDEEDFLYRIPTSNEIVLGGHSKVVSALAVDHTGSRVLSGSYDYTVRMYDFQGMNSRLQSFRQLEPSEGHQVRNLSWSPSADRFLCVTGSAQAKIFDRDGLTLGEFVKGDMYIRDLKNTKGHISGLTCGEWHPQAKETILTSSEDGSLRIWDVNDFKSQKQVIKPKLARPGRVPVTTCAWDREGKRIAGGIGDGSIQIWSLKPGWGSRPDVFVEKGHSDDITCLKFSSDGQILLSRSSDCSLKVWDLRQMKEALKVFENLPNNYAQTNIAFSPDEQLFLTGTSFERESKTGGVLCFYNRAKLELVSRVGISLTSSVVQCAWHPKLNQIFATAGDKSRGGTHVLYDPTFSERGALVCVASAPRKKSLDDFEVQPVIHNPHALPLFRDQPSRKRQREKTLKDPLKSHKPELPMTGPGFGGRVGASKGSLLTQYLLKQGGMIKETWMEEDPREAILKYADAAEKDPKYIAPAYAQTQPDPVFAKSDSEDEEK >Potri.018G012000.1.v4.1 pep chromosome:Pop_tri_v4:18:761726:766446:-1 gene:Potri.018G012000.v4.1 transcript:Potri.018G012000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012000.v4.1 MKKNHSKAVLSFFFFSVKILFTGMGMAENTSIPVNVGVVLDLDSDLDGRIALSCIEMALSDFYATHGDYKTRLALTTRDSMKDVVGAAAAVQAFGWREAVPIYIDNEYGEGIIPYLTDALQAVDARVSYRSVISPSATDEQIVEELYKLMGMQTRVFIVHMYGSLGTRLFAKAKEIGMMSEGCVWIMTDGLTDDLLSSPNPSVTGTMQGVLGVKPYVPSTKEIQDFRVRWKRKFQQDNPYIIDAELNIYGLRGYDVATALALAVEKAGTKNFGFRKENVSSSSSTDLATLGVSLNGPKLLQALSNTSFKGLTGDYHFADGQLQPPAFQIVNVNGNGGREIGLWTPTKRLVKQLVPNNGTNSTSLSGISTVIFPGDTTVAPKGFRIPAKENKLRIGVPVKSSFRQFVDVRKYPGSNTTEITGFCIDVFDTVVKTLPNDFSYEYVPFANPDGEPAGTYNDLVYQVYLKNFDAVVGDITIVYSRSLYVEYTLPFMESGVSVFVPIEGHTTENAWFFLKPLTWDLWVSSLLFFVFIGFVVWVLEHRINGDFRGPASHQAGTIFWFSFSTMVFAQRERVVSNLSRVVVIIWCFVVLILTQSYTASLSSLLTVQRLKVTDVNELVNKGEYVGYQKGSFVLGILLGLGFDKSKILAYNSPEECHELFSKGSGNGGIAAAFDEIPYIRLLMPEYRSKYTVIDLSFKMGGFGFVFPKGSPLVPDISRAILNMVEGDKMKGIQDKWFGDQTSYPDSGTSVPSNTLSIKTFWGLFLIAGIAALAAIIIFIVMFVHQEGRVVLGPSDSTTSIWSKIRHLFSIFNQRDFTSHIEVNERNGIHFPSVGMASPSGFSAHTEFHGYPSSAGRDSSPNSQASHEVVIISADKLSNPNQERPVKGNQKSNVNHQTRTRTDQRSYAIIHQRSKSY >Potri.018G012000.2.v4.1 pep chromosome:Pop_tri_v4:18:761726:766467:-1 gene:Potri.018G012000.v4.1 transcript:Potri.018G012000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G012000.v4.1 MKKNHSKAVLSFFFFSVKILFTGMGMAENTSIPVNVGVVLDLDSDLDGRIALSCIEMALSDFYATHGDYKTRLALTTRDSMKDVVGAAAAALDLIKNVEVQAILGPTTSMQANFVIDLGEKAQVPIMSFSATSPSLTSIKSAYFFRATLNDSTQVNPISALVQAFGWREAVPIYIDNEYGEGIIPYLTDALQAVDARVSYRSVISPSATDEQIVEELYKLMGMQTRVFIVHMYGSLGTRLFAKAKEIGMMSEGCVWIMTDGLTDDLLSSPNPSVTGTMQGVLGVKPYVPSTKEIQDFRVRWKRKFQQDNPYIIDAELNIYGLRGYDVATALALAVEKAGTKNFGFRKENVSSSSSTDLATLGVSLNGPKLLQALSNTSFKGLTGDYHFADGQLQPPAFQIVNVNGNGGREIGLWTPTKRLVKQLVPNNGTNSTSLSGISTVIFPGDTTVAPKGFRIPAKENKLRIGVPVKSSFRQFVDVRKYPGSNTTEITGFCIDVFDTVVKTLPNDFSYEYVPFANPDGEPAGTYNDLVYQVYLKNFDAVVGDITIVYSRSLYVEYTLPFMESGVSVFVPIEGHTTENAWFFLKPLTWDLWVSSLLFFVFIGFVVWVLEHRINGDFRGPASHQAGTIFWFSFSTMVFAQRERVVSNLSRVVVIIWCFVVLILTQSYTASLSSLLTVQRLKVTDVNELVNKGEYVGYQKGSFVLGILLGLGFDKSKILAYNSPEECHELFSKGSGNGGIAAAFDEIPYIRLLMPEYRSKYTVIDLSFKMGGFGFVFPKGSPLVPDISRAILNMVEGDKMKGIQDKWFGDQTSYPDSGTSVPSNTLSIKTFWGLFLIAGIAALAAIIIFIVMFVHQEGRVVLGPSDSTTSIWSKIRHLFSIFNQRDFTSHIEVNERNGIHFPSVGMASPSGFSAHTEFHGYPSSAGRDSSPNSQASHEVVIISADKLSNPNQERPVKGNQKSNVNHQTRTRTDQRSYAIIHQRSKSY >Potri.008G166100.1.v4.1 pep chromosome:Pop_tri_v4:8:11450011:11451350:-1 gene:Potri.008G166100.v4.1 transcript:Potri.008G166100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G166100.v4.1 MEEPHKGKGNEEKGRGEVRYRGVRRRPWGKFAAEIRDPSRQGGRLWLGTFDTAEEAARAYDKAAFNLRRHLAILNFPSEYISQVTEFSPRPRSFSASSSNVGASESFERGSSSSTGQGKQVIEFEYLDDKILEELLETEEEKKKRRQD >Potri.003G025350.1.v4.1 pep chromosome:Pop_tri_v4:3:2697082:2698070:1 gene:Potri.003G025350.v4.1 transcript:Potri.003G025350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G025350.v4.1 MILAFLSVCGSTIHLIIRTRTLTLVSFSQFCRLRHYINPLARGKLPRKLLIYFFEISDGKKLHEETQQYCIRDFPRGQVWTFSCRVPPGGCSKPALSGDWFSFVRSIEIALDRERDQAGGSQFTIKVKKTTSTTRN >Potri.T045500.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:528147:530769:-1 gene:Potri.T045500.v4.1 transcript:Potri.T045500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T045500.v4.1 MAMANFLALFLLMELIAGGYRDGANGLSMNYYVFSCPFAEAIVRSTVTSALKSDPTLAAGLVRMHFHDCWIQGCDGSVLIDSTKDNTAEKESPGNQSVRGFELIDDVKEQLEEQCPGVVSCADIVAMAAREAVALSGGPVYDIPKGRKDGRRSKIEDTLNAPAPTFNASELVRVFGLRGFSAQDMVALSGGHTLGVARCLTFKNRLSDPVDPTMDSDFSKTLSKTCSGGDDAEQTFDMTRNNFDNFYFQALQRKSGVLFSDQTLYNNPITKSIVKGYAMNQAKFFLDFQQAMVKMSLLDVKEGSQGEVRADCRKIN >Potri.001G138900.3.v4.1 pep chromosome:Pop_tri_v4:1:11325398:11330593:-1 gene:Potri.001G138900.v4.1 transcript:Potri.001G138900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G138900.v4.1 MDGINTTASGGDASTSGGVAQAAPVPMASQSNSPPPFLSKTYDMVDDPETDAVVSWSSTNNSFVVWNPPEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVDPDRWEFANEGFLRGQKHLLRTISRRKPAHGHTNQQPQQARGQNSTVGACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDSQLQTMVQRLQGMEQRQQQMMSFLAKAMQSPGFLAQFVQQQNESSRRITEANKKRRLKPEDVSENEGSSAPDGQIVKYQPQMNEAAKAMLRQVMKMDAPSRLESYDTNLDGFLIGNGSPSSSAKDSGSSSSRMSGVTLQEVPAASGISGHGPMAAISEIQSSPHIASSEKATASQFPESILVGGQGAPSIPIPQADIIMPQVSQKPEMVPEIIADIPGEDYMEPETSSDVFLDPASLGINDTIPIDIDNISPDPDIDALLDNSSFWDDLLAQSPVPEDIESSSVEGKANGNDVHQIINGWDKAQHMDQLTEQMGLLSSDRKQL >Potri.003G084366.1.v4.1 pep chromosome:Pop_tri_v4:3:11094883:11097076:1 gene:Potri.003G084366.v4.1 transcript:Potri.003G084366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G084366.v4.1 MGLPCLERIILEGCTSLVEVHQSIGHLDSLTLLNLEGCKSLKNLPESICYLKCLESLNISRCINLEKLPDQLGDMEALTMLLADGTAIERLPSSIGHLKNLSNLSLGGFKYDLSSVSWFSHILPWLSPRISNPRALLPTFTGLNSLRRLDLSYCGLSDGTDLGGLSSLQELNFTRNKLNNLPNGIDRLPELQVLCLYHCADLLSISDLPSTLHSLMVYHCTSIERLSIHSKNVPDMYLVNCQQLSDIQGLGSVGNKPLIYVDNCSKLANNFKSLLQASFKGEHLDICLRDSEIPDWFSHRGDGSSISFYVPDSEIQGLIVWIVCGASERRLPLPYASATIRNKSKGVRLFHWSTFIPLYYSKPAYHSWVNYVTFSRLPCAMEGGEVVEHSVKITNGVVVDKCGVHLISKE >Potri.012G121775.1.v4.1 pep chromosome:Pop_tri_v4:12:13931575:13933006:1 gene:Potri.012G121775.v4.1 transcript:Potri.012G121775.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G121775.v4.1 MMILTRLQNLVLVNCRNLDVLPPLGRLPNLESLSLTRLKLRRLDGGFLGIENVGNTNINEGEIARVAAFPKLKKLSIWHLKELEEWDGIERRVVEEDSTTTSIFIMPQLVEFRILKCPLLRALPDYVLIAPLQKFSIEYCPNLRKRYDRDREEMGEDGHRISHIPNILFKE >Potri.001G290900.1.v4.1 pep chromosome:Pop_tri_v4:1:30307450:30312748:-1 gene:Potri.001G290900.v4.1 transcript:Potri.001G290900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G290900.v4.1 MSVIRLRNTRCHLINMMLFLAFTLLLLSDAAASKSIIKSLPGFDGNLPFVLETGYIGVGELEAVQLFYYFIESERSPKDDPLVLWLTGGPGCSALSGIIYEIGPLSFDYAKSSGGGKPVFALNPYSWTKIANIIFVDAPVGTGFSYSTTGEGYHVSDTISAAETYEFLRKWLVDHPKFLTNPLYVAGDSFSGIVAPIIVQEISDGNEVGRQPTINLKGYVLGNPLTDHEIDTNSIVPFALLKALISDKLYESFMKNCKGEYLNPDQSNASCMEDILAIKECIGKVYTGQILEPTCKDVSPKPVALKWDPRFLIADDADILLPSPRVPGPWCRNYNHVYIYGWANDETVRDALHIRKGTIKDWRRCNKTLAYSYNVESTVDYHRNLTKKPYRSLIYSGDHDMTIPYIGTHEWIESLNLTIKYDWEPWFVDGQVAGYTMLYADNVQDYITYDLTFATVKGGGHTASEYRPEQCFAMMDRWFDYYPL >Potri.001G120500.1.v4.1 pep chromosome:Pop_tri_v4:1:9826711:9829447:-1 gene:Potri.001G120500.v4.1 transcript:Potri.001G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G120500.v4.1 MDIESNHSSPVLTDPAPINKSRLGIHSNLLSYAPSGGSLSSSKYRNVNIPRKKPGKLDEVCSNACLDAMKSSSPPRKKLIKDGADTAYGTWMLKHPSALNSFEEIANFAKNKKIAMFLDYDGTLSPIVDDPDNALMSDDMRFAVRNFAKYFPTAIISGRSRDKVYQLVGLTELYYAGSHGMDILGPVRKAVSNDHPNCNESTTDQQGKEVNLFQPAREFIPLIDEVFRTLVEDTKGIKGAKVENHKFCVSVHFRNVDEKNWQSIAQCVQDILDKYPRLRKTHGRKVLEVRPMIDWNKGKAVEFLLESLGLSNRDDVLPIYIGDDLTDEDAFKVLREGNRGCGILVSSRPKETNAVYSLRDPSEVMKFLNSLVTWKKVDAS >Potri.008G072600.1.v4.1 pep chromosome:Pop_tri_v4:8:4473724:4479669:-1 gene:Potri.008G072600.v4.1 transcript:Potri.008G072600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072600.v4.1 MFAILIFVLLSITAQLKADHGFDVRHYLSTVTTYDVVKDIVKASANSTPDGCTPIHLNLVARHGTRSPTKKRMRELDKLASHLEELIKDAEEQNLSLEKVPSWLRGWKSPWRGKLKGGELIRKGEEELYNLGIRIRERFPELFEEEYHPDVYPIKASQVPRASASAVAFGMGLLSEKGSLGPARQRAFAVTSESRASDIILRFHDCCGNYKVFRKRQEPAVDKLKEPVLDEITSALVSRYGLNFTRQDVAMLWFLCKQEASVLDITDQACGLFSPYEVALLEWTDDLEMFILKGYGNSINYRMGVPLLEDVVQSMEEAIKAKEEKHAPGSYEKARLRFAHAETVVPFSCLLGLFLDGSEFQKIQREEPLELPSKPPQSRNWRGSTVAPFAGNNMLVLHSCPANSESKYFVQVLHNEHPILMAGCGSDFCPFEEFKEKIVAPHLKHDYNSMCTVHLEAPEQKPVASKLSQLFRWLFSMQNDDTPSTKDDL >Potri.008G072600.2.v4.1 pep chromosome:Pop_tri_v4:8:4473921:4479645:-1 gene:Potri.008G072600.v4.1 transcript:Potri.008G072600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G072600.v4.1 MFAILIFVLLSITAQLKADHGFDVRHYLSTVTTYDVVKDIVKASANSTPDGCTPIHLNLVARHGTRSPTKKRMRELDKLASHLEELIKDAEEQNLSLEKVPSWLRGWKSPWRGKLKGGELIRKGEEELYNLGIRIRERFPELFEEEYHPDVYPIKASQVPRASASAVAFGMGLLSEKGSLGPARQRAFAVTSESRASDIILRFHDCCGNYKVFRKRQEPAVDKLKEPVLDEITSALVSRYGLNFTRQDVAMLWFLCKQEASVLDITDQACGLFSPYEVALLEWTDDLEMFILKGYGNSINYRMGVPLLEDVVQSMEEAIKAKEEKHAPGSYEKARLRFAHAETVVPFSCLLGLFLDGSEFQKIQREEPLELPSKPPQSRNWRGSTVAPFAGNNMLVLHSCPANSESKYFVQVLHNEHPILMAGCGSDFCPFEEFKEKIVAPHLKHDYNSMCTVHLEAPEQKPVATKASSPF >Potri.010G212700.1.v4.1 pep chromosome:Pop_tri_v4:10:20099621:20104122:1 gene:Potri.010G212700.v4.1 transcript:Potri.010G212700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212700.v4.1 MASPLEEGRERDLEKGLVQPQLNQNALAEPSPTPSPSSTSTAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGNLDDVQRILNDISKMMGTSSGDDFDAEVAEIRASVVNEVNELGETALFTAADKGHLEVVKELLQYSNKEGLTRKNRSGYDSLHIAAVQGHHAIVQVLLDHDPSLSQTHGPSNATPLVSAATRGHTAVVIELLSKDGSLLEISRSNGKNALHLAARQGHVDIVKALLSKDPQLARRTDKKGQTALQMAVKGQSCEVVKLLLDADAAIVMLPDKFGNTALHVATRKKRVEIVNELLSLPDTNVNALTRDHKTALDLAEELTLSEESSDIKECLSRYGALRANELNQPRDELRKTVTQIKKDVHTQLEQTRRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDRDSGVAVVVTHASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFMASSYIVVGRKHEWAAMLITIVGGVIMAGVLGTMTYYVVKSKRIRSMRKKDKHARRSGSSSWHHNSEFSNSEVDRIFAL >Potri.010G212700.3.v4.1 pep chromosome:Pop_tri_v4:10:20099621:20104138:1 gene:Potri.010G212700.v4.1 transcript:Potri.010G212700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G212700.v4.1 MASPLEEGRERDLEKGLVQPQLNQNALAEPSPTPSPSSTSTAPALVLSNSGKRIDQAGKKKYVKQVTGRHNDTELHLAAQRGNLDDVQRILNDISKMMGTSSGDDFDAEVAEIRASVVNEVNELGETALFTAADKGHLEVVKELLQYSNKEGLTRKNRSGYDSLHIAAVQGHHAIVQVLLDHDPSLSQTHGPSNATPLVSAATRGHTAVVIELLSKDGSLLEISRSNGKNALHLAARQGHVDIVKALLSKDPQLARRTDKKGQTALQMAVKGQSCEVVKLLLDADAAIVMLPDKFGNTALHVATRKKRVEIVNELLSLPDTNVNALTRDHKTALDLAEELTLSEESSDIKECLSRYGALRANELNQPRDELRKTVTQIKKDVHTQLEQTRRTNKNVHNISKELRKLHREGINNATNSVTVVAVLFATVAFAAIFTVPGGDRDSGVAVVVTHASFKIFFIFNAIALFTSLAVVVVQITLVRGETKAERRVVEVINKLMWLASVCTSVAFMASSYIVVGRKHEWAAMLITIVGGVIMAGVLGTMTYYVVKSKRIRSMRKKDKHARRSGSSSWHHNSEFSNSEVDRIFAL >Potri.018G135700.2.v4.1 pep chromosome:Pop_tri_v4:18:15589465:15595851:1 gene:Potri.018G135700.v4.1 transcript:Potri.018G135700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G135700.v4.1 MAAELLLTFAVEESLRRMISIAAEGIGLAWGLNGQLQNLVDSLTMIRAVLRDAATRPAPEDGVKRWLDKLQDGAHDAEDVLDEFDYEQKGKVCDFVSLHNPIVFRWKMCHKVKRIHGALDEILKLGGDLGLANRPEVRRDPPRQTDSFLDSSADTSAVVVGREDDVSKVVEWLTESQHVLSVVPIVGMAGLGKTTIAKNVYKEVKERKLFDETIWVCVSNHFDEVKILREMLQTMDKTTGALENIDAILQNLKKQLENKTFLLVLDDVWNRNRNKWNGLMDGLLKIKSKNGNAVVVTTRIKEVASMMETSPGIQLEPEKLSDDECWSIIKQKVSGGGGAPLAADSESIGKEIAKNVGGLPLLANVLGGTLRQKETKEWESILSNRFWHSTDGNEALDILRFSFDHLSSPSLKKCFAYCSIFPKDFEIEREELIQLWMGEGFLGPSNQRMEDMGNKYFNDLLANSLFQDVERNEYGMVTSCKMHDLVHDLALQVSKAETLNPEPGSAVDGASHILHLNLISCGDVESTFQALDARKLRTVFSMVDVLNQSRKFKSLRTLKLQRSNITELPDSICKLGHLRYLDVSHTNIKALPESITNLYLFETLRLTDCFWLQKLPKKMRNLVSLRHLHFNDKNLVPADVSFLTRLQTLPIFVVGPDHKIEELRCLNELRGELEIWCLERVRDREDAEKAKLREKRMNKLVFKWSDEGNSSVNIEDVLDALQPHPDIRSLTIEGYWGEKFPSWMSMLQLNNLMVLRLKDCSNCRQLPILGCFSRLEILEMSGMPNVKCIGNEFYSSSGSTEVLFPALKELSLLGMDGLEEWMVPCGEGDQVFPCLEKLSIEWCGKLRSIPSVQHCTTLVKLDIDGCLELISIPGDFQELKYSLKILSMYNLKLEALPSGLQCCASLEELYIWDCRELIHISDLQELSSLRRLEIRGCDKISSIEWHGLRQLPSLVYLEISGCRSLSHFPDDDCLGGLTQLKELAIGGFSEELEAFPAGVLNSFQHLNLSGSLERLEICGWDKLKSIPHQLQHLTALERLEICDFRGEGFEEALPDWLANLSSLRYLGIDNCKNLKYLPTSTAIQRLSKLKGLRILGGCPHLSENCRKENGSEWPKISHIPTIDIV >Potri.010G206200.4.v4.1 pep chromosome:Pop_tri_v4:10:19681339:19683706:-1 gene:Potri.010G206200.v4.1 transcript:Potri.010G206200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206200.v4.1 MSKQGDDINSKIAVLLIGVGSAALVIALYHCIAMRRFRATTTQQRPRRYGIETMATQSSIENSTAQLIPAYKFQKGMGLVGDDGTCAICLSEFEEGEELRTLPECLHSYHVECIDMWLHSHTNCPMCRTDTTPSPGVYLSARDLDSERPSVVYQNIDRLQDIIVHSRAL >Potri.010G206200.5.v4.1 pep chromosome:Pop_tri_v4:10:19681339:19683706:-1 gene:Potri.010G206200.v4.1 transcript:Potri.010G206200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206200.v4.1 MSKQGDDINSKIAVLLIGVGSAALVIALYHCIAMRRFRATTTQQRPRRYGIETMATQSSIENSTAQLIPAYKFQKGMGLVGDDGTCAICLSEFEEGEELRTLPECLHSYHVECIDMWLHSHTNCPMCRTDTTPSPGVYLSARDLDSERPSVVYQNIDRLQDIIVHSRAL >Potri.010G206200.3.v4.1 pep chromosome:Pop_tri_v4:10:19681338:19683707:-1 gene:Potri.010G206200.v4.1 transcript:Potri.010G206200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206200.v4.1 MSKQGDDINSKIAVLLIGVGSAALVIALYHCIAMRRFRATTTQQRPRRYGIETMATQSSIENSTAQLIPAYKFQKGMGLVGDDGTCAICLSEFEEGEELRTLPECLHSYHVECIDMWLHSHTNCPMCRTDTTPSPGVYLSARDLDSERPSVVYQNIDRLQDIIVHSRAL >Potri.010G206200.2.v4.1 pep chromosome:Pop_tri_v4:10:19681325:19683707:-1 gene:Potri.010G206200.v4.1 transcript:Potri.010G206200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G206200.v4.1 MSKQGDDINSKIAVLLIGVGSAALVIALYHCIAMRRFRATTTQQRPRRYGIETMATQSSIENSTAQLIPAYKFQKGMGLVGDDGTCAICLSEFEEGEELRTLPECLHSYHVECIDMWLHSHTNCPMCRTDTTPSPGVYLSARDLDSERPSVVYQNIDRLQDIIVHSRAL >Potri.008G033700.2.v4.1 pep chromosome:Pop_tri_v4:8:1825600:1829376:1 gene:Potri.008G033700.v4.1 transcript:Potri.008G033700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033700.v4.1 MEMPSMGSCLLWPLIFQVIHLSLSSSLPIGNETDRLSLLAFKDQIEADPLGTLSSWNDSSHFCEWSGVTCGRRHQRVVELDLNSCKLVGSLSPHIGNLSFLRILNLNNNSFSHTIPQEIGRLFRLQKLLLRNNTFTGEIPVNISRCSNLLHLYLGGNELTGGLPGELGSLSKMQWFVFEINNLVGEIPISFGNLSSVEAIFGGANNLRGGIPKNFGQLKRLKNLVFNINNLSGTIPPSIYNLSSLTTLSLSSNQLHGSLPSDLGLTLPNLETLGLHTNHFSGLIPASLFNASNITVIDLSSNKFTGKVPDLGHMPKLRRLVIQTNDLGNNEDDDLGFLYPLANNTNLQVLGINDNNLGGALPEKISNFSIKLIHMTFGRNQIRGIIPTDIGNLVNLQTLGLEMNQLTGTIPSSIGKLRNLRVLSLRSNKISGSIPSSLGNCTSLINLELHANNLNGSIPSSLENCQNLLSLLLSRNNLSGPIPKELMRISSLSRYLDLSENQLTGSLPMEVDKLVNLGYLTVSYNRLSGEIPRTLGSCVSLEYLYLADNSFHGSIPESLSSLRALQVLYLSRNNLTGKIPKSLGEFKLLIILDLSFNDLEGEVPVQGVFANASGFSVLGNEELCGGIPQLNLSRCTSKKSKQLTSSTRLKFIIAIPCGFVGIILLLLLFFFLREKKSRPASGSPWESTFQRVAYEDLLQATNGFSAANLIGSGSFGSVYKGILKTDGAAVATVAVKVFNLLREGASKSFMAECAALVNIRHRNLVKVLTACSGFDFQGNDFKALVYEFMVNGSLEEWLHPVRISDEAHRRRDLSLLQRLNIAIDVASALDYLHNHCQIAVVHCDLKPSNVLLDGDLTAHVGDFGLARLLTQASHQPGLDQTSSIGLKGTIGYAAPEYGMGSEVSTFGDVYSYGILLLEMFTGKRPTDTMFKDEMNLHNFAKMASPNRVTEILDPALVREAEETSADHASTSSARNHNGTEKIMECLVLIIKVGVACAVESPRERIDISNVATELYRIRKILIGTGRHGRLTS >Potri.008G033700.1.v4.1 pep chromosome:Pop_tri_v4:8:1825600:1829441:1 gene:Potri.008G033700.v4.1 transcript:Potri.008G033700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G033700.v4.1 MEMPSMGSCLLWPLIFQVIHLSLSSSLPIGNETDRLSLLAFKDQIEADPLGTLSSWNDSSHFCEWSGVTCGRRHQRVVELDLNSCKLVGSLSPHIGNLSFLRILNLNNNSFSHTIPQEIGRLFRLQKLLLRNNTFTGEIPVNISRCSNLLHLYLGGNELTGGLPGELGSLSKMQWFVFEINNLVGEIPISFGNLSSVEAIFGGANNLRGGIPKNFGQLKRLKNLVFNINNLSGTIPPSIYNLSSLTTLSLSSNQLHGSLPSDLGLTLPNLETLGLHTNHFSGLIPASLFNASNITVIDLSSNKFTGKVPDLGHMPKLRRLVIQTNDLGNNEDDDLGFLYPLANNTNLQVLGINDNNLGGALPEKISNFSIKLIHMTFGRNQIRGIIPTDIGNLVNLQTLGLEMNQLTGTIPSSIGKLRNLRVLSLRSNKISGSIPSSLGNCTSLINLELHANNLNGSIPSSLENCQNLLSLLLSRNNLSGPIPKELMRISSLSRLSGEIPRTLGSCVSLEYLYLADNSFHGSIPESLSSLRALQVLYLSRNNLTGKIPKSLGEFKLLIILDLSFNDLEGEVPVQGVFANASGFSVLGNEELCGGIPQLNLSRCTSKKSKQLTSSTRLKFIIAIPCGFVGIILLLLLFFFLREKKSRPASGSPWESTFQRVAYEDLLQATNGFSAANLIGSGSFGSVYKGILKTDGAAVATVAVKVFNLLREGASKSFMAECAALVNIRHRNLVKVLTACSGFDFQGNDFKALVYEFMVNGSLEEWLHPVRISDEAHRRRDLSLLQRLNIAIDVASALDYLHNHCQIAVVHCDLKPSNVLLDGDLTAHVGDFGLARLLTQASHQPGLDQTSSIGLKGTIGYAAPEYGMGSEVSTFGDVYSYGILLLEMFTGKRPTDTMFKDEMNLHNFAKMASPNRVTEILDPALVREAEETSADHASTSSARNHNGTEKIMECLVLIIKVGVACAVESPRERIDISNVATELYRIRKILIGTGRHGRLTS >Potri.017G115002.1.v4.1 pep chromosome:Pop_tri_v4:17:12249908:12250177:-1 gene:Potri.017G115002.v4.1 transcript:Potri.017G115002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G115002.v4.1 MKDYAPIAAVTFQLQGSRTARIRQQEDSDRRRTQPLSECSAGSVFTNASEFGVAAAELIEKKVSE >Potri.005G118700.1.v4.1 pep chromosome:Pop_tri_v4:5:8733836:8735773:-1 gene:Potri.005G118700.v4.1 transcript:Potri.005G118700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX34 MPQLISVVLVLGLAFLAFSPICFCGKTAGGYLYPQFYDRSCPKAREIVNSIVAKAVAKEARMAASLLRLHFHDCFVKGCDASILLDSTGSIISEKGSNPNRNSARGFEVIDEIKSALEKECPKTVSCADIMALSARDSTVLTGGPSWEVPLGRRDSRSASLSGSNNNIPAPNNTFQTILTKFKLQGLNVVDLVALSGSHTIGNARCTSFRQRLYNQSGNGKPDYSLQQSLAAQLRNRCPRSGGDQNLFFLDFASPKKFDNSYFKNILASKGLLNSDQVLLTKNEASMELVKKYAESNELFFEQFSKSMVKMGNISPLTGSRGEIRKSCRKINS >Potri.010G084201.2.v4.1 pep chromosome:Pop_tri_v4:10:11146890:11147909:-1 gene:Potri.010G084201.v4.1 transcript:Potri.010G084201.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084201.v4.1 MDKRRKKEEAVNDPCQLPPFHRSWKENIKFVLYIPHFASVAKQTWHQELLKEGKSSSHLVAPLTSSP >Potri.010G084201.1.v4.1 pep chromosome:Pop_tri_v4:10:11146890:11147906:-1 gene:Potri.010G084201.v4.1 transcript:Potri.010G084201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G084201.v4.1 MDKRRKKEEAVNDPCQLPPFHRSWKENIKFVLYIPHFASVAKQTWHQELLKEDCFGDLYQKATDSVQTVTHTFTFRYFDQKVFASC >Potri.007G074300.1.v4.1 pep chromosome:Pop_tri_v4:7:9731238:9733554:-1 gene:Potri.007G074300.v4.1 transcript:Potri.007G074300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G074300.v4.1 MEFPILLASLLFIFAVLRLWKKSKGNGSTLALPPGPWKLPLIGNIHQLAGSLPHHCLTDLAKKYGPVMQLQIGEVSTVVVSSGEAAKEVMKTHEINFVERPCLLVANIMFYNRKNIGFAPYGDYWRQMRKVCTLELFSAKRVRSFRSVREEEVSNFIRNIYAKAGSPINLSKMMLDLSNGVIARTSIGKKSKNQEAFLPIIEDVAEALAGLNIVDVFPSAKFLYMISKLRSRLERSHIEADEILENIINERRASKEERKTDQDNEVEVLLDVLLNLQNQGNLEFPLTTDSIKAIIVEMFGAGSETTSTLLEWSMSEMLKNPRVMKKAQEEVRQVFSDSENVDETGLQNLKFLKLIIKETLRLHPPISLIPRECSKTCEINGYVIQAKSKVIINAWAIGRDSNDWTEAEKFYPERFQDSSIDYKGTNFEFIPFGAGKRMCPGMLFGIGNAELLLARLLYHFDWKLSSGAALEDLDMNEAFGGTVKKKHYLNLIPIPYGPCPLPVE >Potri.019G030200.1.v4.1 pep chromosome:Pop_tri_v4:19:4249467:4253117:1 gene:Potri.019G030200.v4.1 transcript:Potri.019G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G030200.v4.1 MCSFWAARLHTFPVNLMAYIPPHKRQSKDVRRASPIPETPHPQFQRNMNLRASTSRKDKSGKIVYADHAISKWFAVGLDDDGQFPPYIHLEPISLEYVERKIGEKPLVLVNSIVTEEDSKLVRNCSRSPWEIIAENVQQELLSSFEILRNEMDDQGSEKVKPTLVARLGKIIFHGSHSMGLESVNKIQVEEAILRQLRRSLHTDIPPSYMENIIDGVVSEIGVDFLVEKDSYTVKLSDNTRPDATVLCKCIVLENKKLHLHKVKLNHVRQMVIDVSCLDKNLDLRLMLCTSRIVTALTDEEMNSLRDLINSAVLDSDMKGGLRWPLGEEASSCGRYSVIGVWHRVTKAYKSSSFRLKARDADGYDFRTGTGETLREIYLKLKRIVSEIQEPGAEGDSISKMLEDSLRLIWDKFLCC >Potri.002G006600.1.v4.1 pep chromosome:Pop_tri_v4:2:366200:370493:1 gene:Potri.002G006600.v4.1 transcript:Potri.002G006600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G006600.v4.1 MGLRFDLLSLFTTLALLSTTTTTVLSDVILSKVDRRIDLTSQIVRVTSTLKVENAGPGPVSEILLTFPEVQAKDLAYLTAALNEGKGKGRNSGSSLPINVVNPEGMPPSLTCHSISLPKALAEGDSLTIVVLAVFTHALRPFPEEITQADIQLVLFQDTAHYLSPYAVNVQSLTVKLPKARIETYTKLENTNVHGSDIKYGPYQNLPPFSYAPVAVHFETNQPFAVAQELVREIEISHWGNVQVTEHYNIVHGGAKSKGEFSRLDFQARPHLRGASAFRNLVAKLPPRAHSIYYRDEIGNISTSNVWGDPKKTELLIEPRYPLFGGWRTTFTIGYGLPLQDFLFESEGKRFINFSFGSPINDLVIDNIIVKVVLPEGSNDIFTSTPFPAKQGQETKISHLDVVGRPVVVLEKTNVVPEHNQYFQVYYRFNSLSMLREPFMLISGFFFIFVACIVYMHVDMSISKSSASYLAKMQWDEVRATIQQVQSVINQCLYTHEKLEASLRDLSRTGDVQACKTARKTADSLLKEYSKELKPLLSFLQSSPQATQILPKVEELVAKERELQERLIAKHSTIVDCYEKKIGGRELENRVASQQQKVVVLKQEVEDLLDYIEEI >Potri.014G113700.1.v4.1 pep chromosome:Pop_tri_v4:14:7653064:7656447:1 gene:Potri.014G113700.v4.1 transcript:Potri.014G113700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113700.v4.1 MENEPFLGNRKSYKPQPVITLTQSLSTPILFPEIQDAPQTPSTSPSPPLGPPNTRFKKPGTLHRCKTAPAMVVMREFQPTKPQIPKPQPDSTSIIRQATFLLSMYLLLGVIIYSFNTDHFSGIETHPVVDALYFCIVTMCTIGYGDITPLTPVTKVFACVFVLVGFGFIDILLSGLVNYVLDLQESMILTGIEMGKNRNHEGFSATDYIFDVKKGRMRIRLKVGLALGVVVLCIGIGTLVLYFLEDLDWIDSVYLAVMSVTTVGYGDRAFKTLPGRLFAAIWLLVSTLAVARAFLYLAEARIDKRHRRITNWVLHRDITVEDLLAADMNNNGFISKSEYVIYKLKEMGKIGEKDILQICNQFSKLDPNNLGKITLPDLLENRL >Potri.014G113700.2.v4.1 pep chromosome:Pop_tri_v4:14:7653473:7656449:1 gene:Potri.014G113700.v4.1 transcript:Potri.014G113700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G113700.v4.1 MENEPFLGNRKSYKPQPVITLTQSLSTPILFPEIQDAPQTPSTSPSPPLGPPNTRFKKPGTLHRCKTAPAMVVMREFQPTKPQIPKPQPDSTSIIRQATFLLSMYLLLGVIIYSFNTDHFSGIETHPVVDALYFCIVTMCTIGYGDITPLTPVTKVFACVFVLVGFGFIDILLSGLVNYVLDLQESMILTGIEMGKNRNHEGFSATDYIFDVKKGRMRIRLKVGLALGVVVLCIGIGTLVLYFLEDLDWIDSVYLAVMSVTTVGYGDRAFKTLPGRLFAAIWLLVSTLAVARAFLYLAEARIDKRHRRITNWVLHRDITVEDLLAADMNNNGFIRCGSILISRLFLSV >Potri.004G015700.1.v4.1 pep chromosome:Pop_tri_v4:4:1126847:1128132:-1 gene:Potri.004G015700.v4.1 transcript:Potri.004G015700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G015700.v4.1 MAKGRRLTASRSERLLGSSYSYGSGHGPMVNDVSELGEEDVWSMVDDTADRNDRGVHNSNWSPRTDLENSFDNMSISSGRRRIPRDDRHMGGLSLAFEDSSSGKNKIASSRIVHQFRGNDLVASQSPRNMATSAPVNVPDWSKIYRVNSVESCNDSDDGLDDQESEMVPPHEYLAREYAQSQKMGGASVVEGVGRTLKGRDMSRVRDAVWSQTGFYG >Potri.003G151800.2.v4.1 pep chromosome:Pop_tri_v4:3:16465028:16467727:-1 gene:Potri.003G151800.v4.1 transcript:Potri.003G151800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G151800.v4.1 MAETAVESRKDSGKLEHNVREDSEYVRLVISDEPRVGDFDISQVQSRARIKAFIRWIKALIWCLVIATLVLVFLKWGVSFLFDKVLLPMMEWEATAFGRPVLSLVLTASLALFPVFLIPSGPSMWLAGMIFGYGIGFVIIMVGTTIGMVLPYLIGLVFRDRIHQWLKRWPQKASMIRLAGEGSWFHQFKVVAIFRVSPFPYTIFNYAIVVTSMRFWPYLWGSVSGMVPEAFIYIYSGRLIRTFADVKYGNYHLTTVEIIYNIISFIIAIVTTVAFTIYAKRALKELERAEATEEVPPSHQESHEMGKLPLERPKRAGLSSFSL >Potri.009G062901.1.v4.1 pep chromosome:Pop_tri_v4:9:6437699:6447917:-1 gene:Potri.009G062901.v4.1 transcript:Potri.009G062901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062901.v4.1 MGVKVTVISTSPNKKQEALEHLGADSFLVSRDQDQMQAAMGTMDGVIDTVSAMHPILPLISLLKTQGKLVLVGAPAKPLELPVFPLIVGRKIVGGSAGGGMQETQEMIDFAAKNNITADIELISMDYVNTAIEQLLKTDVRYRFVIDIGNTMKN >Potri.006G181501.2.v4.1 pep chromosome:Pop_tri_v4:6:18813906:18815407:1 gene:Potri.006G181501.v4.1 transcript:Potri.006G181501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181501.v4.1 MQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIHQRVTKDDAMKWFQVKYEGVILNKSQNI >Potri.006G181501.1.v4.1 pep chromosome:Pop_tri_v4:6:18813384:18815390:1 gene:Potri.006G181501.v4.1 transcript:Potri.006G181501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181501.v4.1 MASEKKLSNPMREIKVQKLVLNISVGESGDRLTRAAKVLEQLSGQTPVFSKARYTVRSFGIRRNEKIACYVTVRGDKAMQLLESGLKVKEYELLRRNFSDTGCFGFGIQEHIDLGIKYDPSTGIYGMDFYVVLERPGYRVGRRRRCKSRVGIHQRVTKDDAMKWFQVKYEGVILNKSQNI >Potri.001G143500.1.v4.1 pep chromosome:Pop_tri_v4:1:11808539:11813719:-1 gene:Potri.001G143500.v4.1 transcript:Potri.001G143500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G143500.v4.1 MDSREPPPPQPPPQQLQPPHAPPPPQSQSNMIPGPISYPATASPHLINNRSISPRNAAIGGGFPFNQMSAQRLQSKPEGAFDGSSPTSSSGMRFSIEPAKKKRGRPRKYTPDGNIALGLSPTPIHSGMSAGQADSSGGAGSGVMPDVASEHPSKKHRGRPPGSGKKQLDALGGTGGVGFTPHVITVKAGEDIASKIMAFSQQGPRTVCILSANGAICNVTLRQPAMSGGSVTYEGRFEIISLSGSFLLSESNGSRSRTGGLSVSLAGSDGRVLGGGVAGMLTAASAVQVILGSFIADGKKSNSKSLKSGPSSTPPPQMLNFGAPLTTASPPSRGGSSESSDENGGSPVNRTPGIYGNPSQPIHNMQMYQLWGGQNPE >Potri.011G045500.1.v4.1 pep chromosome:Pop_tri_v4:11:3553938:3564323:-1 gene:Potri.011G045500.v4.1 transcript:Potri.011G045500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G045500.v4.1 MAKRGYKLQEFVAHSTNVNCLSIGKKACRMFITGGDDHKVNLWAIGKPTSLMSLCGHTSPVESVAFDTSEVLVLAGASMGAIKLWDLEEAKMVRTLTGHRSNCTAVEFHPFGEFFASGSTDMNMRIWDIRKKGCIHTYKGHTQGISTIRFSPDGRWVVSGGCDNVVKVWDLTAGKLLHDFKFHEGHIRSIDFHPLEFLLATGSADRTVKFWDLETFELIGSARPEATGVRSITFHPDGRTLFCGLDDSLKVYSWEPVICHDAVDMGWSTLGDLCIHDGKLLGCSFYRNSVGVWVGDISCIEPYGDGFVPKESECTDQKFNIPGCNSSDKVGSDVRSTLGFRSPSPDYETKEIKNIYVDSTGGNPVTLQRAGSLNSSKEVLSLDSKEMSAPLTEKQSPLMGMNANLSEQTFNKSFIVPTIVPRDIPVEKDSSNSGKETIKFTKTKPGMLLRPAHVRRPSNSKNDVDKMSVALESGNFSSVTSEKGSARDPSLQSQIVTEDGAQKSHEKKSPSIKGVTEKFEKVLSPETPNQGNCDESVKSSKEIAPVKIVNGVAVVSGRTRSLVERFERREKLSSEDQELNTSHQAVPESNRTSTTETNPTPPVISGTDRTPVTSNMTPLIVPETDRTATIAPKMNPCFVPETDNTASAASKMISIAIPEKDRTATIATKSSPRVIPETDRKPSISTNMMSRVISQTDRTPPVAARMTSRVMPATDRTPPVATIFTPRVVHERDRTPPIPTNTAMRTPPVAAVITPRVIPERNRTPPVPTNTTTPVIPAVDRIPSITTNFTPRIECEMDRAPLNLNEEPQISGRDSTSANYKDVIEDLMQSHDVFLNTLKSRLTKLQVIRHFWERSDIKGAINALRKLPDYSVQADVISVLMDKMEILNLDLFSCLLPVLVGLLDSKMERHANISLEMLLKLVAVFGPVIQSAVSAPPVVGVDLHAEQRRECCNKCFAQLQKIQQILPALARRGGLLTKSALELNLVLQQS >Potri.008G157400.2.v4.1 pep chromosome:Pop_tri_v4:8:10794370:10797482:1 gene:Potri.008G157400.v4.1 transcript:Potri.008G157400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G157400.v4.1 MAIEAWFMDETSGEDQRLPRHCNPKEFVSLDYLAELGVLYWRLNPANYENDEELKKIREDRGYNYMDLLDICPEKVADFKEKLKNFYTEHIHADEEIRYCLEGSAYFDVRDKDDRWIRIWIKAGDLIILPAGIYHRFTLDTGDYVKLMRLFVGEPVWTAYNRPQEDHPARKEYIKSMVTEKVGVALEAF >Potri.006G259600.3.v4.1 pep chromosome:Pop_tri_v4:6:25592112:25597308:1 gene:Potri.006G259600.v4.1 transcript:Potri.006G259600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G259600.v4.1 MATETSEHHEPSSSSSSTTTKNPLVLHLHEKLSSCSTLIESGDEKSVAELVDFIDSVSDSAVSNHEDSDEQGNAVEVLSETHKFLLSPSLDQAVIDALSFELPKAVSKFAGLSNECLRIADSIIDFFIENCSPRDMLPILCEALDSWNGMVHAYDFVAPLLSGISKVLLAIQRRHFEQVKVAVPVILNVLKAVCSEFSARDTECMNLFIRALGIADSIRAICAKLEGRVLEKLRDVLSSYILQIMALLSLVLGCEIPRCLPLVSRLSEFFPFCGLSYLGLITGSDVDEMTRTFVAEEEDDYMRCLSYIKHGAAISVIWGHISVNVARAAGGDVSTVKDEILSNQTERWQAVGMLKYIFSFVDFPWELKKHAIDFLLCITDGNIARNCNDEDTDCSIYMPNLYAALQAITMVIMYTPDTVLRKNAFEALKRVLADIPTSQRFEIFQALITNSMSSPMTALLLDLVRSDLYKEGFQRTATGKDEEKQANKAAPLWVARALELVELVFRPPKGGPPSFPEHGDAVLAALNLYRFILMTESAGKTNYTGVLSKKNLEKAFNEWLLPLRALVAGIMAENKDDHDPLVMDTVCSLNPIELVLYRCIELVEDKLKHPA >Potri.002G166300.2.v4.1 pep chromosome:Pop_tri_v4:2:12713921:12719085:1 gene:Potri.002G166300.v4.1 transcript:Potri.002G166300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G166300.v4.1 MMPVYRYMDSHPMRGDHVPPMQHYHPSIGAVPPHMHVDPSKSAALYGFCPYGNNFGYSVPCHACCGHGNFTGYYGPRPSCSHFPPPQYQCYGYPPYHETMPVQYVPSPHYSMEQPRYEYDKVVSSNNHCCGCRSHTHDQKSDESVKVEELDPDSQKKEGDSLVPFQVKNYPYPVVWIPPDKIKNEEDRKPVDSEMASGEKASRVMKPPESVKPPEEKTRVWNGWVPLDLKSFGPFMQAEDQKRTQNHQNEDELQQFPFPIFWLPPYNKQNDTSNKDGAQTIASSKPVDEPPSAVKFFPVKLPGSSDGSNKLLEGQYNSRDQGSSGTESTPVKQMELHGEKEGVNRKSIPVQQMEAFREKEDSEGIGKRGRTASLKNAEGNPTGNSSETCAKRQSLAPPKASKLPPVCLRVDPLPKKKNGSSGSRSPSPPGSKGQLQEASKDTYKPSASSDLKANIHHDAQVQNVALSSGKEVEANKNEGKIIEVVQRRRIENKDGEARNESQTQTPIALTDLQKEVFRNPKAEEAETYDDKYVKKEDQGARDAKDLAAGEATKSKEVTDATRSAIDENKEQRKNLSDEAAALLIQSAYRGFEVRRWEPLKKLKQIAKVQEQLVVVKDKIYALESSSDLQKDDQQRLVIGEMIMSLLLKLDAIQGLHPTIRDIRKSLARELVALQEKLDSLIMKKCEETSGSKNSEDHLVTSSVITADQDAQKMEVGEQPGYCLSQMVDSVGDSEDKETSKSPIIIKDEHRESENEGREVEIDGGSYVAEQENKVGSGEFQSSEVVMTENGQGMSAIEQSVLSQSQERDKGEIRGILPENMCCSPHNKQQAGLMKLTSVENSPEVKGTEAPAHEISGKVAAISDKEEECGTEMVAVIDGEEMESNAPWSSSTADSPDSTTAAKTIDVNLLQEFPLGLIDDEAPEKLDNSNIQENEVRCGGDNKEDTEPSSLNEVIIPIELEHQCMEVLNKGAFLAGSEDSVKVGPEMDDSHEDAMVGMCAQQPQALDVKNDEEQVEVLGQEKVLDFSREQEGSNEEKQKDGHSCSSELANKIFSQEEEVQAEEEKDNDCQPITDCGNEEMKLEVEQCHDLGVLSDNDTMEDRLDGSETTKSLSVIGPKLSPMGAEYDEEKGEELPASSTAISSQVSADEQGMGMESQRKLVDENEKLREMMERLIETGKDQLTVISNLTERVKDLEKKLSKKKKVWAKRTPPSSARPLVKSARRKAGVST >Potri.004G051600.3.v4.1 pep chromosome:Pop_tri_v4:4:4170597:4173967:-1 gene:Potri.004G051600.v4.1 transcript:Potri.004G051600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051600.v4.1 MASSNKHWPSMFKSKPCNPHDHQWQHDINPSSIISTGCHRTPYTSVPGCDERSPEPKPRWNPKPDQIRILEAIFNSGMVNPPRDEIRKIRVQLQEYGQVGDANVFYWFQNRKSRSKHRLRNLQNSKQHSSQQQKITSPTTKPVTANLAAPSSSSSSSEKSSPKGSKRTLSLSSPTFIDASNSPTSSVNKTYFQAHNEFVPEPFFFHSQQTGGGGTGAFAQGFCFSELSNMVHVQDHTVGPCSRLLLSEIMNSSASKKVNHEERNLKMQPQLCYTPVSPVTGSIGLAPPLTPSTDTSTFAFQTTINQIQGLGQSSGTTMLTVFINDVAFEVTMGPFNVREAFGDDVLLIQSSGQPVLTNECGVTLQSLQHGAFYYLVPFSMSEHI >Potri.004G051600.4.v4.1 pep chromosome:Pop_tri_v4:4:4170743:4173899:-1 gene:Potri.004G051600.v4.1 transcript:Potri.004G051600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051600.v4.1 MASSNKHWPSMFKSKPCNPHDHQWQHDINPSSIISTGCHRTPYTSVPGCDERSPEPKPRWNPKPDQIRILEAIFNSGMVNPPRDEIRKIRVQLQEYGQVGDANVFYWFQNRKSRSKHRLRNLQNSKQHSSQQQKITSPTTKPVTANLAAPSSSSSSSEKSSPKGSKRTLSLSSPTFIDASNSPTSSVNKTYFQAHNEFVPEPFFFHSQQTGGGGTGAFAQGFCFSELSNMVHVQDHTVGPCSRLLLSEIMNSSASKKVNHEERNLKMQPQLCYTPVSPVTGSIGLAPPLTPSTDTSTFAFQTTINQIQGLGQSSGTTMLTVFINDVAFEVTMGPFNVREAFGDDVLLIQSSGQPVLTNECGVTLQSLQHGAFYYLVPFSMSEHI >Potri.004G051600.5.v4.1 pep chromosome:Pop_tri_v4:4:4170597:4173967:-1 gene:Potri.004G051600.v4.1 transcript:Potri.004G051600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G051600.v4.1 MASSNKHWPSMFKSKPCNPHDHQWQHDINPSSIISTGCHRTPYTSVPGCDERSPEPKPRWNPKPDQIRILEAIFNSGMVNPPRDEIRKIRVQLQEYGQVGDANVFYWFQNRKSRSKHRLRNLQNSKQHSSQQQKITSPTTKPVTANLAAPSSSSSSSEKSSPKGSKRTLSLSSPTFIDASNSPTSSVNKTYFQAHNEFVPEPFFFHSQQTGGGGTGAFAQGFCFSELSNMVHVQDHTVGPCSRLLLSEIMNSSASKKVNHEERNLKMQPQLCYTPVSPVTGSIGLGQSSGTTMLTVFINDVAFEVTMGPFNVREAFGDDVLLIQSSGQPVLTNECGVTLQSLQHGAFYYLVPFSMSEHI >Potri.008G132500.3.v4.1 pep chromosome:Pop_tri_v4:8:8753364:8763238:1 gene:Potri.008G132500.v4.1 transcript:Potri.008G132500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G132500.v4.1 MVLFNVSRVETTPFGDQKPGTSGLRKKVKVFKQPNYLQNFVQSTFNALTPQNVRGATLVVSGDGRYFSKDAIQIITKMAAGNGLRRVWVGQNGLLSTPAVSAVIRERVGVDGSKATGAFILTASHNPGGPNEDFGIKYNMENGGPAPEGITDKIYENTKTIKEYLTADLPDVDITAIGVTSYCGSEGQFDVEVFDSASDYVKLMKSIFDFESIRKLLSSPKFTFCYDALHGVGGAYAKRIFVEELGAQESSLLNCVPKEDFGGGHPDPNLTYAKELVARMGLGKSNSEVEPPEFGAASDGDADRNMILGKRFFVTPSDSVAIIAANAVEAIPYFSAGLKGVARSMPTSAALDVVAKNLNLKFFEVPTGWKFFGNLMDAGLCSVCGEESFGTGSDHIREKDGIWAVLAWLSILACKNRENLGGGKLVTVEDIVHNHWATYGRHYYTRYDYENVDAGAAKELMACLVKLQSSLTEVNEIVSGIQSDVSKVVHADEFEYKDPVDGSISKHQGIRYLFEDGSRLVFRLSGTGSEGATIRLYIEQYEKDPSKTGRDSQDALAPLVAVALGLSKMQEFTGRSAPTVIT >Potri.005G043950.1.v4.1 pep chromosome:Pop_tri_v4:5:2776545:2776963:1 gene:Potri.005G043950.v4.1 transcript:Potri.005G043950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G043950.v4.1 MMVYWTMLSLFLFSFVFPLVVLFFGSVFSVRVLWSLSLFVSVPVSVCLLLSLLVFFGLPLSLYLLLLGFLLFCDSVFLLRVSVPRFPLFFPVLSFTPSVPVLSFFSVSPLLPSVFFVFFLSPPFSSFFLL >Potri.018G150400.1.v4.1 pep chromosome:Pop_tri_v4:18:16119135:16123913:-1 gene:Potri.018G150400.v4.1 transcript:Potri.018G150400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G150400.v4.1 MGLYHLAIGLVSLLSMVSGAMTIGANWGTQASHPLPPETVVRLLRENGIQKVKLFDADYDTLKVLGKTGIEVMVGIPNDLLASLAGSMKAAEKWVSRNVSAHVTNNNVNIRYVAVGNEPFLQTYNGSFLRTTFPALQNVQSALIKAGLGNLIKVTVPLNADVYESSSGLPSGGDFRADIHDLMLTIVKFLNDAGAPFTVNIYPFISLYIDSNFPVEYAFFDGNANPVNDGGTSYYNMFDANYDTLVNALQKNGFGNLPIIVGEIGWPTDGDRNANVEYARRFNQGFMSHIASGKGTPMRPNAGINAYLFSLIDEDAKSIDPGNFERHWGIFTFDGIPKYSLNLGTTNTGALIPARSVHYLERKWCVMKPSAKLDDPQVAPSVSYACGLADCTSLGYGTSCGNLDPRENISYAFNSYFQIQNQLGDACKFPNLSTITRTDPSTSTCRFAIMIEPYYGGVGQTFRYGKKVALGGLIALFFLTIV >Potri.006G250300.2.v4.1 pep chromosome:Pop_tri_v4:6:24961238:24964137:-1 gene:Potri.006G250300.v4.1 transcript:Potri.006G250300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G250300.v4.1 MALPNQQTVDYPSFKLVIVGDGGTGKTTFVKRHLTGEFEKKYEPTIGVEVHPLDFFTNCGKIRFYCWDTAGQEKFGGLRDGYYIHGQCAIIMFDVTARLTYKNVPTWHRDLCRVCENIPIVLCGNKVDVKNRQVKAKQVTFHRKKNLQYYEISAKSNYNFEKPFLYLARKLAGDPNLHFVETPALAPPEVPIDLAAQAQHEAELAAAASQPLPDDDDDAFE >Potri.013G149650.1.v4.1 pep chromosome:Pop_tri_v4:13:14641621:14643623:-1 gene:Potri.013G149650.v4.1 transcript:Potri.013G149650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G149650.v4.1 MEKGLISVDRFTESSQTYFLTHLHTDHTQGLTSKWGKGPFFCSKLTAKLLPFKFPDFNLSLLCVVDLHIWHSFSSFLRPPDLKSPLIGVMFLFRGEFGCLMYTGDFRWEVDSKRAKDARSRLLNVLKNETVDSLYLDNKYCNPSYDFPTQEVTAQQVVDIIASHLEHDIVIGIDTLGKEELLIHISRVLNVKIWVWPEHLQTMYLLGFHDTFTTKTSLTRVQAVPRYSFSVETLEGLNTMRPTIGIMPSGLLWVLKLVKGDNNLFVPYSNHSCFAEIQEFIELVQPTNMKGIVSSSSCYVGPLYYFGRLCGVNQPLKRFVYKNERKKGGKKNASRRVRRGAKIVESDCSD >Potri.017G119100.2.v4.1 pep chromosome:Pop_tri_v4:17:12553141:12555536:-1 gene:Potri.017G119100.v4.1 transcript:Potri.017G119100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G119100.v4.1 MDPQPPQQPQPPATTPKLRLMCSYNGHIIPNPHTKSLSYSGGDTRLITIPTGTSSATATNTTSNGLTLSSLISHLSTTLKITVPITLKYQLPHHNLDSLISLASDEDVLIMLDEHQNNRTPSRLRLFVFPTKSILSQPELKAKTQLNHPKTETWFVDALKNTKIVNLEGNGNNGGGFCGAESMVLETSSSFGSTSSSVSLSNLGVKGGFVEDNGTGLLDNKVQLSTPEGISSDHGVGTAVCQDPQFVTYQDPVGAVTSVENKVSLINPFDSERKISNPPPLIGVEMHNTVPVSGYPLSLQYDQLQQLQFVQTAAPQYVPQNTTGVVPLSSYYVMSSPVPQQQVYYQSNQPQPIYLVPVAQPYNLPMQNSLMNTATVASSRPPIHPDSSMYPAQMVYNAAASLPVAELTSQVYRTSSPVTVPHVKNQKQGGGPPQMNHQGQPICAASMETGKQIDDDPVHAQIYKSQPPPPTLPSQYQTMTPATTILLSEAMAQLNTDNIKQKQPRTSQPQ >Potri.001G424500.1.v4.1 pep chromosome:Pop_tri_v4:1:45067926:45073488:1 gene:Potri.001G424500.v4.1 transcript:Potri.001G424500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G424500.v4.1 MEPSISLKTLLTISLFLFFVTPQSSLAAKLPFHPQDLLPLLPRQVSWPILNRLHGAVDLLPTFVGAASALNETGDWKGACFYENRAWMEFHNKTGSEFGGGTLHLKVSNAHSWTCMDIYVFATPYRVTWDYYLLSREHTLEFKEWESKAEYEYVKNRGVSIFLMHAGMLGTLQALWDVFPLFTNTGWGENSNIGFLEKHMGATFEQRPQPWATNISVDDIHSGDFLVISKIRGRWGGFETLEKWVSGAYAGHSAVFLRDSEGKLWVGESGNENEQGEDVIAVLPWDEWWEFELNKDNSNPHIALLPLHPDVRAKFNETAAWEYALSMKGNPYGYHNLIFSWIDTLDGNYPPPLDAHLVASFMTVWNHIQPEYAANMWNEALNKRLGTQGLDLPDILVEVEKRGSSFGKLLTIPEQDDWLYTDGKSTSCIAFVLEMYKEAGLFDPITSSVQVTEFTIKDAYTLRFFENNSSRLPKWCNDGDDVKLPFCQIKGQYRMELPEYNTMDPYPHMNERCPSLPPKYFRTQNC >Potri.001G424500.3.v4.1 pep chromosome:Pop_tri_v4:1:45069570:45074355:1 gene:Potri.001G424500.v4.1 transcript:Potri.001G424500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G424500.v4.1 MHAGMLGTLQALWDVFPLFTNTGWGENSNIGFLEKHMGATFEQRPQPWATNISVDDIHSGDFLVISKIRGRWGGFETLEKWVSGAYAGHSAVFLRDSEGKLWVGESGNENEQGEDVIAVLPWDEWWEFELNKDNSNPHIALLPLHPDVRAKFNETAAWEYALSMKGNPYGYHNLIFSWIDTLDGNYPPPLDAHLVASFMTVWNHIQPEYAANMWNEALNKRLGTQGLDLPDILVEVEKRGSSFGKLLTIPEQDDWLYTDGKSTSCIAFVLEMYKEAGLFDPITSSVQVTEFTIKDAYTLRFFENNSSRLPKWCNDGDDVKLPFCQIKGQYRMELPEYNTMDPYPHMNERCPSLPPKYFRTQNC >Potri.014G012500.1.v4.1 pep chromosome:Pop_tri_v4:14:687646:690657:-1 gene:Potri.014G012500.v4.1 transcript:Potri.014G012500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G012500.v4.1 MTQFSWTLQSFRQILKSCIANKDLLTGKSLHTIYLKSLIPSSTYLSNHFILLYSKCNLLTTAHHAFNQTHEPNVFSFNALIAAYAKESLIHVAHHLFDQIPQPDLVSFNTLINAYADRGDTLSALSLFGEMREMGLVMDGFTFSGVITACCNHVGLIRQLHSLAFSSGFDSYVSVKNSLLTYYSKNGILEEAEMVFNGMGEEVRDEVSWNSMIVAYGQHKRGLKALALYRDMVHRGFEIDMFTLASVLTTFSCVEDLSGGLQFHAKAIKTGFNKNRHVGSGLIDMYAKCGAGMSESRKVFEEICGSDLVVWNTMISGYSQNKELSVEALECFRQMQRAGYWPDDCSFVCAISACSNLSSPSQGKQFHALAMKSEIPSNQISVNNALVTMYSKCGNLQDARKLFQRMPQHNTVTLNSIIAGYAQHGIGTESLNLFEQMLAASIAPTSITLVSILSACAHTGRVEEGKKYFNMMKDIFGIEPEAEHYSCMIDLLGRAGKLSEAERLIDTMPFSPGSAAWAALLGACRKYGNMELAEKAANQFLQLEPTNAVPYIMLASMYSAARKWEEAARIRKLMRDRGIRKKPGCSWIELNKRVHVFVAEDNSHPRIKEIHMYLDEMFVKMKRAGYVPDVRWAFVKDDETGEQEKEIMLAHHSEKLAVAFGLLFTKHGEPLLVVKNLRICGDCHNAIKFMSAIARRKITVRDAYRFHCFEDGRCSCGDYW >Potri.008G095000.2.v4.1 pep chromosome:Pop_tri_v4:8:5926842:5929403:1 gene:Potri.008G095000.v4.1 transcript:Potri.008G095000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G095000.v4.1 MSTEKERETHVYMAKLAEQAERYDEMVESMKNVAKLNCDLTVEERNLLSVGYKNVIGARRASWRIMSSIEQKEESKGNDSNVKLIKGYRQKVEEELSKICNDILSIIDDHLIPSSASGEATVFYYKMKGDYYRYLAEFKADQERKDAADQSLKGYEAASATASTDLPSTHPIRLGLALNFSVFYYEIMNSPERACHLAKQAFDEAIAELDTLSEESYKDSTLIMQLLRDNLTLWTSDLPEDGGDDDFKGEESKEKPAEGEH >Potri.012G089000.2.v4.1 pep chromosome:Pop_tri_v4:12:11431753:11442994:-1 gene:Potri.012G089000.v4.1 transcript:Potri.012G089000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089000.v4.1 MVGLEKVDLKAIKLEKGYVGEGVQEENRRKAQQAEVRKSGTQSKRQESEETRNLNALSTGQSNSIVLEHGQPPVDDSGISFASTICPAPLCRQFWKAGNYDDGLNSETTLQNGKSYLHVHPMFLHSNATSHKWAFGAIAELIDNAVDEIQNGATFVIVDKTLNPRDQSPALLIQDNGGGMDPEAIRRCMSFGFSDKKSKAAIGQYGNGFKTSTMRLGADVIVFSCHLGDRVMTQSIGLLSYTFLTQTGHDRIVVPMVDYELNTITGNMEIAHRYDKEYFMSNLSMLLQWSPYSTEAELLKQFDDIGSHGTKVIIYNLWFSDDGNVELDFDTDPEDIRIGGDVKKVQANPAWRTVNEQHIANRLHYSLRAYLSILYLKIPETFTIVLRGQFVEHRNLVLDLKFQEFIVYRPQTGGCKEAEVLTTIGFLKEAPHVTAHGFNIYHKNRLILPFWPVVSYADSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQVKKKLPPIEPPQDSSPDMPNSGIMKPVTLNHGMPNGGKTKPVTLNHGMPNGGKTKAVTLNQNHHSVSVKAASAAGLSSKRKEHGDLNKFERMKRQAGARADAHNLEIQSLSTANQLMDKETMNLIQENKKLHAKCLEHEKRREDLDLKVRQLRRELGDVQQEYDRLMVELTSLDTVKEEEHVRT >Potri.012G089000.9.v4.1 pep chromosome:Pop_tri_v4:12:11431752:11442982:-1 gene:Potri.012G089000.v4.1 transcript:Potri.012G089000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089000.v4.1 MVGLEKVDLKAIKLEKGYVGEGVQEENRRKAQQAEVRKSGTQSKRQESEETRNLNALSTGQSNSIVLEHGQPPVDDSGISFASTICPAPLCRQFWKAGNYDDGLNSETTLQNGKSYLHVHPMFLHSNATSHKWAFGAIAELIDNAVDEIQNGATFVIVDKTLNPRDQSPALLIQDNGGGMDPEAIRRCMSFGFSDKKSKAAIGQYGNGFKTSTMRLGADVIVFSCHLGDRVMTQSIGLLSYTFLTQTGHDRIVVPMVDYELNTITGNMEIAHRYDKEYFMSNLSMLLQWSPYSTEAELLKQFDDIGSHGTKVIIYNLWFSDDGNVELDFDTDPEDIRIGGDVKKVQANPAWRTVNEQHIANRLHYSLRAYLSILYLKIPETFTIVLRGQFVEHRNLVLDLKFQEFIVYRPQTGGCKEAEVLTTIGFLKEAPHVTAHGFNIYHKNRLILPFWPVVSYADSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQVKKKLPPIEPPQDSSPDMPNSGIMKPVTLNHGMPNGGKTKPVTLNHGMPNGGKTKAVTLNQNHHSVSVKAASAAAFFGKIPIVGTGLSSKRKEHGDLNKFERMKRQAGARADAHNLEIQSLSTANQLMDKETMNLIQENKKLHAKCLEHEKRREDLDLKVRQLRRELGDVQQEYDRLMVELTSLDTVKEEEHVRT >Potri.012G089000.3.v4.1 pep chromosome:Pop_tri_v4:12:11431748:11442982:-1 gene:Potri.012G089000.v4.1 transcript:Potri.012G089000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089000.v4.1 MVGLEKVDLKAIKLEKGYVGEGVQEENRRKAQQAEVRKSGTQSKRQESEETRNLNALSTGQSNSIVLEHGQPPVDDSGISFASTICPAPLCRQFWKAGNYDDGLNSETTLQNGKSYLHVHPMFLHSNATSHKWAFGAIAELIDNAVDEIQNGATFVIVDKTLNPRDQSPALLIQDNGGGMDPEAIRRCMSFGFSDKKSKAAIGQYGNGFKTSTMRLGADVIVFSCHLGDRVMTQSIGLLSYTFLTQTGHDRIVVPMVDYELNTITGNMEIAHRYDKEYFMSNLSMLLQWSPYSTEAELLKQFDDIGSHGTKVIIYNLWFSDDGNVELDFDTDPEDIRIGGDVKKVQANPAWRTVNEQHIANRLHYSLRAYLSILYLKIPETFTIVLRGQFVEHRNLVLDLKFQEFIVYRPQTGGCKEAEVLTTIGFLKEAPHVTAHGFNIYHKNRLILPFWPVVSYADSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQVKKKLPPIEPPQDSSPDMPNSGIMKPVTLNHGMPNGGKTKPVTLNHGMPNGGKTKAVTLNQNHHSVSVKAASAAAFFGKIPIVGTGLSSKRKEHGDLNKFERMKRQAGARADAHNLEIQSLSTANQLMDKETMNLIQENKKLHAKCLEHEKRREDLDLKVRQLRRELGDVQQEYDRLMVELTSLDTVKEEEHVRT >Potri.012G089000.10.v4.1 pep chromosome:Pop_tri_v4:12:11431848:11442976:-1 gene:Potri.012G089000.v4.1 transcript:Potri.012G089000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G089000.v4.1 MVGLEKVDLKAIKLEKGYVGEGVQEENRRKAQQAEVRKSGTQSKRQESEETRNLNALSTGQSNSIVLEHGQPPVDDSGISFASTICPAPLCRQFWKAGNYDDGLNSETTLQNGKSYLHVHPMFLHSNATSHKWAFGAIAELIDNAVDEIQNGATFVIVDKTLNPRDQSPALLIQDNGGGMDPEAIRRCMSFGFSDKKSKAAIGQYGNGFKTSTMRLGADVIVFSCHLGDRVMTQSIGLLSYTFLTQTGHDRIVVPMVDYELNTITGNMEIAHRYDKEYFMSNLSMLLQWSPYSTEAELLKQFDDIGSHGTKVIIYNLWFSDDGNVELDFDTDPEDIRIGGDVKKVQANPAWRTVNEQHIANRLHYSLRAYLSILYLKIPETFTIVLRGQFVEHRNLVLDLKFQEFIVYRPQTGGCKEAEVLTTIGFLKEAPHVTAHGFNIYHKNRLILPFWPVVSYADSRGRGVVGVLEANFVEPTHNKQDFERTSLFQKLEGRLKEMTWEYWDYHCGLIGYQVKKKLPPIEPPQDSSPDMPNSGIMKPVTLNHGMPNGGKTKPVTLNHGMPNGGKTKAVTLNQNHHSVSVKAASAAGLSSKRKEHGDLNKFERMKRQAGARADAHNLEIQSLSTANQLMDKETMNLIQENKKLHAKCLEHEKRREDLDLKVRQLRRELGDVQQEYDRLMVELTSLDTVKEEEHVRT >Potri.001G042700.1.v4.1 pep chromosome:Pop_tri_v4:1:3099441:3101896:1 gene:Potri.001G042700.v4.1 transcript:Potri.001G042700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G042700.v4.1 MASKTEGKAIGIDLGTTYSCVGVWQNDRVEIIANDQGNRTTPSYVAFTDTERLIGDAAKNQVAMNPQNTVFDAKRLIGRRFSDPSVQSDMKHWPFKVVPDPADKPLIVVQYKGEEKRFSAEEISSMVLTKMKEIAEAYLGHAVNNAVVTVPAYFNDSQRQATKDAGAIAGLNVLRIINEPTAAAIAYGLDKKASKSGEQNVLIFDLGGGTFDVSLLTIEEGIFEVKATAGDTHLGGEDFDNRLVNHFVAEFRRKHKKDISANAKALRRLRTACERAKRTLSSTTQTTIEIDSLYEGIDFYSTITRARFEEMNMDLFRRCMEPVEKCLRDAKIDKSHVHEIVLVGGSTRIPKVQQLLQDFFNGKELCKSINPDEAVAYGAAVQAAILSGEGNEKVQDLLLLDVTPLSVGIETAGGVMTVLIPRNTTIPTKKEQVFSTYSDNQTSVLIQVYEGERAQTKDNNLLGTFELKGIPPAPRGVPQINVCFDIDANGILNVSAEDKASGVKNKITITNDKGRLGKDDIERMVQEAERYKAEDEKVKKKVEAKNALENYAYNMRNTVRDDKVGGKLDPADKQKIEKEIEETIDWLDRNQLAEVDEFEDKLKELEGLCNPIISKMYQGAGGDVPMGGGAQMPGGAYSKASSGGSGAGPKIEEVD >Potri.001G139800.2.v4.1 pep chromosome:Pop_tri_v4:1:11422214:11424929:1 gene:Potri.001G139800.v4.1 transcript:Potri.001G139800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G139800.v4.1 MATSSSSTTTISQLSCFSSLNSRLHHLHRRSILSLPQSPRYKSWVVMSIEGHNNEAQTSTSNIKTSSTNHNYTAPEEYKGISDPVKGVYGSAKIHDFCFGIPFGGVVLSGGLLGFVFSRNAVSLGTGVLFGGALLALSTFSLKIWRQGKSSLPFVLGQAVLAAALCWNNFRAYSLTKKVIPTGFFAVISAAMLCFYSYVMISGGNPPPKKLQESAGVNS >Potri.008G096400.1.v4.1 pep chromosome:Pop_tri_v4:8:6001824:6005853:1 gene:Potri.008G096400.v4.1 transcript:Potri.008G096400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G096400.v4.1 MKCNLLSKTLLLFFFFFSLSCFLSLVSGDEAFPDKSVLLEFKSAVSDPYGILSSWNPNSSNKTKTSHCSWFGVTCNSKSRVISLNITGGDGYGGNSKVPPCSRSLKFPFFALGTKRTCYNHDGKLKGKLSPSIGKLSELTVLSLPYNEFSGEIPMEIWGLDKLQVLDLEGNLFAGKLPDEFAGLKKLRVLNLGFNRLDGEIPISLSNSVSMEVLNLAGNMLTGSIPGFFVSFLKLRELNLANNELNGTVPGGFGSNCRYLEHLDLSGNFLAGRIPLTLGNCQQLRILLLFSNMLTGVIPRKFGQLRRLEVLDVSRNFINGAVPAELGNCVELSVLILSNLFETQPGERNKSGKVLVGLSRVAGVEYNHFVGSLPAEVTALPKLRILWAPRATLKGKLPTSWGDCESLEMVNLAQNGFYGQIKGAFERCKKLYHLDLSSNRLRGELDRNLPVPCMTVFDVSHNLLSGPIPRFDYNVCSPSLNSDLVQVDDPLSGYVPFFTHETRVASHLPFAPASLAVIHNFGRNNFTGQIRWLPVIPERYGKQIDYAFLAAGNTLTGSFPGSLFRKCGELNGMIADVSKNKLLGPIPLNIGAMCRSLRFLDASDNEISGYIPPSLGNLRSLITLDFSGNRLWGQIPARLYRLKYLKHISLSGNNLTGAIPSGLGRLRSLEVLNLSSNSLSGEIPLDIVLLKNLTVLLLDNNSFSGQIPSGLSKAASLSTVNVNNLSGPFPLIRKVANCGNAPGNPYPNPCHRFLQSAPSDSTDSNATSSPGSKAGFNSIEIASIASASAIVSVLLALVVLFFYTRKRIPMARVQVSEPKEITTFVDIGVPLLYENIVQATGNFNSINCIGNGGFGATYKAEISPGSLVAIKKLAVGRFQGVQQFDAEIKALGRVRHPNLVTLIGYHASETEMFLIYNYLPGGNLEDFIKERSKREVSWKILHKIALDVARALSYLHDQCAPRVLHRDVKPNNILLDNDFNAYLSDFGLSRLLGTSETHATTGVAGTFGYVAPEYAMTCRVSEKADVYSYGVVLLELISDKKPLDPSFSSHENGFNIVSWACMLLRHGQAKEVFTTGLWDSGPHDDLVDMLHLAVTCTVDSLSNRPTMKQVVQRLKRIQPS >Potri.001G429890.2.v4.1 pep chromosome:Pop_tri_v4:1:45390466:45399969:-1 gene:Potri.001G429890.v4.1 transcript:Potri.001G429890.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429890.v4.1 MALESVGGSIISKIAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLEKEIGKNGKCFTWCPNCIRQFKLSKALAKESETFRKLLETKFTKVAHKFPPQPIEFLPSKEFTPSESSKEALEQIMKALKDDNANMIGLYGMGGVGKTTLVKRVGTIARESQLFDEVLMATVSQNPNVIDIQNRMADMLGLKIEENSKEGRADRLRQRLKKVEKMLITLDDVWKHIDLKEIGIPFGDDHRGCKILLTTRVQGICFSMECQQKVHLRVLPEDEAWDLFSINAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSRVQWEVASKQLKESQFVRMEQIDEQNNAYTCLKLSYDYLKYEETKSCFVLCCLFPEDYDIPIEDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIQIASKEYGFMVKAGLGLEKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPQLKVLLLEVDSGLNVPQRFFEGMTEIEVLSLKGGCLSLLSLELSTKLQSLVLIRCGCKDLIGLRKLQRLKILGLRRCLSIEELPDEIGELKELRLLDVTGCERLRRIPVNLIGRLKKLEELLIGDRSFQGWDAVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRINECGELKHIIREEDGEREIIPESPRFPKLKKINISFCFSLEYVFPVSMSPSLTNLEQMRIARADNLKQIFYGGEGDALTREGIIKFPRLREFSLWLQSNYSFLGPRNFDAQLPLQRLTIEGHEEVGNWLAQLQQNGFLQGLKFVRVDDCGDVRTPFPAKLLRALNNLKEVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLQRLYIIKCGQLKHIIREEDGEREIIPESPEQDGQASPINVEKEIVLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWNRNMGWKEDGDSCL >Potri.001G429890.1.v4.1 pep chromosome:Pop_tri_v4:1:45390466:45399969:-1 gene:Potri.001G429890.v4.1 transcript:Potri.001G429890.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G429890.v4.1 MALESVGGSIISKIAELMVEPVGRQFRYMFCFNNFVEEFKEQKENLALALDGLQKEVEAAERNAEEIKKGVKKWMEDANSKIEGAKPLEKEIGKNGKCFTWCPNCIRQFKLSKALAKESETFRKLLETKFTKVAHKFPPQPIEFLPSKEFTPSESSKEALEQIMKALKDDNANMIGLYGMGGVGKTTLVKRVGTIARESQLFDEVLMATVSQNPNVIDIQNRMADMLGLKIEENSKEGRADRLRQRLKKVEKMLITLDDVWKHIDLKEIGIPFGDDHRGCKILLTTRVQGICFSMECQQKVHLRVLPEDEAWDLFSINAGLRDGDSTLNTVAREVARECQGLPIALVTVGRALRGKSRVQWEVASKQLKESQFVRMEQIDEQNNAYTCLKLSYDYLKYEETKSCFVLCCLFPEDYDIPIEDLTRYAVGYGLHQDAEPIEDARKRVSVAIENLKDCCMLLGSETEEHVKMHDLVRDVAIQIASKEYGFMVKAGLGLEKWQWTGKSFEGCTTISLMGNKLAELPEGLVCPQLKVLLLEVDSGLNVPQRFFEGMTEIEVLSLKGGCLSLLSLELSTKLQSLVLIRCGCKDLIGLRKLQRLKILGLRRCLSIEELPDEIGELKELRLLDVTGCERLRRIPVNLIGRLKKLEELLIGDRSFQGWDAVGCDSTGGMNASLTELNSLSQLAVLSLWIPKVECIPRDFVFPVSLRKYDIIFGNRFDAGRYPTSTRLILAGTSFNAKTFEQLFLHKLEFVKVRDCEDVFTLFPAKLRQGLKNLKEVIVHSCKSLEEVFELGEADEGSSEEKELLSSLTLLKLQELPELKCIWKGPTRHVSLQNLVHLKVSDLKKLTFIFTPSLARNLPKLESLRINECGELKHIIREEDGEREIIPESPRFPKLKKINISFCFSLEYVFPVSMSPSLTNLEQMRIARADNLKQIFYGGEGDALTREGIIKFPRLREFSLWLQSNYSFLGPRNFDAQLPLQRLTIEGHEEVGNWLAQLQMAVHGQQNGFLQGLKFVRVDDCGDVRTPFPAKLLRALNNLKEVIVDSCKSLEEVFELGEPDEGSSEEKELPLLSSLTELRLSCLPELKCIWKGPSRHVSLQSLNRLNLESLNKLTFIFTPSLARSLPKLQRLYIIKCGQLKHIIREEDGEREIIPESPEQDGQASPINVEKEIVLPNLKELSLEQLSSIVCFSFGWCDYFLFPRLEKLKVHQCPKLTTKFATTPDGSMSAQSEVPEVAEDSSINREWNRNMGWKEDGDSCL >Potri.005G060000.1.v4.1 pep chromosome:Pop_tri_v4:5:3797518:3800676:1 gene:Potri.005G060000.v4.1 transcript:Potri.005G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G060000.v4.1 MASTVGQVMRRMASTAGQVIRCKAAVAWEAGKPLVIEEVEVAPPQAMEVRLRILFTSLCHTDVYFWEAKGQTPLFPRIFGHEAGAIVESVGEGVTDLKPGDHVLPVFTGECKECRQCKSEESNMCDLLRINTDRGVMLNDGKSRFSIRGQPIYHFVGTSTFSEYTVVHVGCAAKINPAAPLDKVCVLSCGISTGLGATLNVAKPKKGSSVAIFGLGAVGLAAAEGARISGASRIIGVDLNSNRFEEAKKFGVTEFVNPKDHDKPVHEVIAEMTNGGVDRSVECTGSISAMVSAFECVHDGWGVAVLVGVPNKDDAFKTHPMNILNERTLKGTFFGNYKPRSDLPSVVEKYMNKELELEKFITHEVPFSEINKAFEYMLSGASLRCIIRMDA >Potri.005G109000.1.v4.1 pep chromosome:Pop_tri_v4:5:7900242:7902358:-1 gene:Potri.005G109000.v4.1 transcript:Potri.005G109000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G109000.v4.1 MDTEDGADSGSSSGGNMTTVSQHTFGDKFDKPAFTISIIENMKEEYGLFVWPCSVVLAEYVWQQRLQFSGVSVLELGAGTCLPGLVAAKLGLDVTLTDDSNKLEVLDNMRRVCDLNQLNCKVLGLTWGVWDESIFTLKPKLILGADVLYDASAFDDLFATVTFLLQNSPGSVFITTYHNRSGHHLIEFLMLKWGLKCVKLIDVFSFMPPNKASGLSGNIQLAEIVLISE >Potri.005G229800.1.v4.1 pep chromosome:Pop_tri_v4:5:22933675:22934958:1 gene:Potri.005G229800.v4.1 transcript:Potri.005G229800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G229800.v4.1 MSSSFEIFDKVKAGKADAMRRYNRKRNLYCFLETVGALVLLWCSFSCFPVITHAASRYLSFFNQKIYAFVLANVFILIVVYLSSTTPSNNDQSDTPIQTDIYDEYVSFSTSSPRWKTTTGEDKQFVVSLLQEEPVQAEEKNPVREKDTLDDEKQIVCCEKTNDAANVDEMGKNPVRDLTVVKEEKFFRRTLSEKHGKEKREYPREFRRSETEIGRETLTVFRTGGLNYDTPGAARKSMLEMNSDEFRLTIERFIATKKKILREESIALSSEEKEEYLAINYR >Potri.005G164100.7.v4.1 pep chromosome:Pop_tri_v4:5:16287459:16293997:1 gene:Potri.005G164100.v4.1 transcript:Potri.005G164100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G164100.v4.1 MATATVDSSVCNYQNQVHTESLLLIDLRHLSQPELLSLSFCSSSSSLHRLQTDTADVSTPKIDRSVFNESAGSRKQTFSRLRLAPRNNNASSSSNSTPVVPYQITERHPLDEENSQIIYLLKSLFGSDSHFIENNNENNHNLVSVPVIYNEYMRLPCTNNAELQNVGFSQGGVKSLEVNHLISTRIAESSSKKRKRGRPRKNENVDFGYNELEERKKIENKTIAVVCDDVEVQNKKKEEMEMVGKNGVAVDFVALGNMEDPYGEELRRRTEGMLGFSQGGVKSLEVNHLISTRIAESSSTKRKRGRPRKNENIDFGNNELVERKKMENKTIAVVCDDVEVQNKKKEEMEMEMVSKNGVVVDFVALGNMEDPYGEELRRRTEGMLGFSQGGVKSLEVNHLISTRIAESSSKKRKRGRPRKNENVDFGNNELVEREKIENKTIAVVCDDVEVQNKKKEEMEMEMVSKNGVVVDFVALGSMEDPYGEELRRRTEGMPGFSQGGVKSLEVNHLISTRIAESSSKKRKRGRPRKNENVDFGNNELVERGKIENKTIVVVCDDVEVQNKKKEEMEMVSKNGVVVDFVALGNMEDPYGEELRRRTEGMQLKAEFLGFLEGFEGEWGSTRKKRRIVDASLFGDALPIGWKLSICVKKQAGRVWLACTRYISPNGLQFVSCKEVSSYLLSFSGLHDVRRSNFDHMDGRIKLTDKIATSISADQTCKDGKNENDFISYKALPVTSTSTETGGCPREVQTGMNYECHKCTLTFDGQDDLLQHLLSSHQRSPKQLKCGTSTNEEVIIKNGKYECQFCLKLFEERHHFNGHLGNHIKDYLKKLDASSDITTQKNDEPASVEIPFGSVKIQTSIDIDRDSDEITSNTKTNGEINSIIPYCEMKANTSVEAYCGKQDRASNISNDEVGEMNEVTDIVAAEISVCSEPAFLSNENNAIHRSSDETNVPKYCTNIIDDLDCSVAGDVRNLACINLNRVPPRLIEELNQGRGSDSGLLAPNAKENMFNDDIIEDRNCSSTIDNMVIDDWDADGKGEPITGSCAAIAENVAANLKEQRSSEGCSVGLLNSIAVETMQEKSSKGDLTGIENNMNSVCTGMLNESKFDDVGKPGTNELTSVCRDNNTALVDDNVVINEQGKNHGGCPVIPFLNEQMHLAENNITGTPKCTIREPCQEEESEGGLLTISGNEQSFDLKGNVIKVSKGTINVVNHNEVLCLKNNEFGSEIDQSVQTLTNIQERSSNIFSFFPSTNGLTFASKDEQSHDDENSMNQLSCTTSAEDKLQEAKTSCNGELCIAFGDNCTEQDADIVTGTVQEICFLFAGNQHTLTAKDNATGPFNGTMDELNQKMDSVESVLCLSGDAPMRSVEKNLHTAFTGSIQEEPRVKNTENSKKDDLGHDFSGHPGPNESVESEFVWRNEENGGLRCDFADTCQPVQASGFFPLYDAVSDKGESEHFGEAYGVISGFEGLKSGGMENMEYNLLTSQVSSHSDESKVVSCDAVIPQGFDSSVCLEKGDLPFLPKNASRHHVPAVCVWCGREICQEAFESEAQTSTMGFMCAECAARFSGQ >Potri.012G062001.1.v4.1 pep chromosome:Pop_tri_v4:12:6433536:6433967:1 gene:Potri.012G062001.v4.1 transcript:Potri.012G062001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G062001.v4.1 MRDTNTMHKILPTMFRGLARTWYHNFDLGSILKYEDLYMKLTTRFSKRILVKKISAKPFAISRREHESTEAYLIRFNEKNIKGRWLTGVFVATKALINRVDDSVLWRALCLHESNILKIKQVMKNYIQGKENECGETLASIFS >Potri.013G007000.1.v4.1 pep chromosome:Pop_tri_v4:13:457441:457929:-1 gene:Potri.013G007000.v4.1 transcript:Potri.013G007000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G007000.v4.1 MSLVTEEIKSKAEVYYGDEMGKEKSQELLKEVGLPNGLLPLHDILECGIVRETGFVWLKQKKSINHKFEKIGKLVSYATEVTAYVEPKKIKKLTGVKTKELLVWITLCDISLDDPPTGKMTFKTPTGLFRTFPVSAFEVEEKGKGAAKGAMEVNGAVEVKEA >Potri.001G102300.1.v4.1 pep chromosome:Pop_tri_v4:1:8264037:8265817:1 gene:Potri.001G102300.v4.1 transcript:Potri.001G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G102300.v4.1 MATFTTTTVMSSQSNNLSLHKLKINPKTQSNIVTINVGGRIFQTTDQTLAQAGPKSLLSQLSELNQLVPHFIDRDPDLFSILLSLLRTGNLPSKAKSYDLKDLIEESKFYKIESLLVNSLSNPSQFDPFNLQKTVILPLNSRDTASAITATPHGSLHVSHGSKITSFDWSLQNKSTALTQFTAIDSMLAISPNLVAVGATDFSGLQILDLEKKGLVLETLNWENVTKSGSTVQAIGSSNDLLFTSFESSRRNSNSILVYDLKTLSPVTEIGHYEIFGADLDSAIPATKLKWVESYNVVMASGSHSGPSGVLGNVKFWDIRSGCVVWEFKEKVDCFSDITVSDNLSSIFKVGVNSGEVFYADLRKLGSEGIDSWVCLGDERKVGNVRKEGVGCKIEAHGNQVFCSRGGDIELWSEVVMDSSKKREEGLPERVFRKNLMGRVKDMAGPRVTNLAFGGDRMFVTWKDQQSVEVWQSSVMGS >Potri.010G181000.1.v4.1 pep chromosome:Pop_tri_v4:10:17979043:17984255:-1 gene:Potri.010G181000.v4.1 transcript:Potri.010G181000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G181000.v4.1 MASMNNWLGFSLSHQELPSSQSDHHQDHSQNTDSRLGFHSDEISGTNVSGECFDLTSDSTAPSLNLPATFGILEAFRNNQPQDWNMKSLGMNPDTNYKTASGLPIFMGTSCNSQTIDQNQEPKLENFLGGHSFGNHEHKLNGCNTMYDTTGDYVFQNCSLQLPSEATSNERTSNNGGGDNKNSSIGLSMIKTWLRNQPAPTQQDTNNKNNGGAQSLSLSMSTGSQSAASALPLLAVNGGVNNTGGDQSSSDNNKQQKSTTPSLDSQTGAVESVPRKSIDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPITNYEKEIEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDMSRYDVNSILESSTLPIGGAAKRLKEAEHAEIAMDIAQRTDDHDNMGSQLTDGISSYGAVQHGWPTVAFQQAQPFSMHYPYGQRLWCKQEQDSDNRSFQELHQLQLGNTHNFFQPSVLHNLVSMDSSSMEHSSGSNSVVYSSGVNDGTSTGTNGGYQGIGYGSSAGYAVPMATVISNNDNNHNQGNGYGDGDQVKALGYENMFSPSDPYHARNLHYLSQQPSAGGIKASAYDQGSACYNWVPTAVPTIAAARSNNMAVCHGAQPFTVWNDGT >Potri.014G033550.1.v4.1 pep chromosome:Pop_tri_v4:14:2080388:2080861:1 gene:Potri.014G033550.v4.1 transcript:Potri.014G033550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G033550.v4.1 MTNEEALIKMAKLLSREKHLRDSRSPVGKVATSK >Potri.010G223733.1.v4.1 pep chromosome:Pop_tri_v4:10:20801825:20802102:-1 gene:Potri.010G223733.v4.1 transcript:Potri.010G223733.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G223733.v4.1 MDPPPPREAPPPAAGMPPGPSRRLLSWIWFLCCCGLFTSCCPGLFPPEPPPAV >Potri.015G072666.1.v4.1 pep chromosome:Pop_tri_v4:15:9814322:9814602:1 gene:Potri.015G072666.v4.1 transcript:Potri.015G072666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G072666.v4.1 MRRKTTVTPDETKDLVLELVLNCVLIYKTLLTLSLVSGTPGGNEFRFGLLFKRRGSRTSRPPSL >Potri.010G165500.2.v4.1 pep chromosome:Pop_tri_v4:10:16923597:16925302:1 gene:Potri.010G165500.v4.1 transcript:Potri.010G165500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G165500.v4.1 MSFMKGDLLTKTRKLVKGLAKSEPAWLKAMEHAPPAAFPKSSGKVEKISLPEDPYIKKFFSKHPDSKHEDAILISSFDPPPARIFGWRVLELKEQGVGEEEAMAVADMEYRTETKAKKKAYARLKQIARLQGTTPPPNPYPSVIKEIQAEEDVYLRERYTNPKIIAIAEKMKADEAAKERERDRFRIDW >Potri.006G160600.2.v4.1 pep chromosome:Pop_tri_v4:6:14522050:14526258:1 gene:Potri.006G160600.v4.1 transcript:Potri.006G160600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G160600.v4.1 MVRIIPMVSSSIRPSSYLTCSSFMFCNACAPSRRFAYLATAVPQSHFFGLKASSKIWRGESRTIATGNMAQASTAATQESLLEWVKKDKRRMLHVVYRVGDLDRTIKFYTECLGMKLLRKRDIPEERYTNAFLGYGPEDSHFVIELTYNYGVDSYDIGAGFGHFGIAVEDVAKTVELIKAKGGKVNREPGPVKGGSTVIAFIEDPDGYKFELLERGPTPEPLCQVMLRVGDLDRSINFYEKAFGMKLLRKRDNPEYKYTIAMMGYGSEDKNCVLELTYNYGVTEYDKGNAYAQIAIGTDDVYRTAEAVEIFGGKVTREPGPLPGINTKITACLDPDGWKTVFVDNIDFLKELEGV >Potri.006G009600.1.v4.1 pep chromosome:Pop_tri_v4:6:635066:636136:1 gene:Potri.006G009600.v4.1 transcript:Potri.006G009600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G009600.v4.1 MEDETKNLSTPIALVLSSLGYSYVLATYMTKGLTRVLFLLPTFYLLTIFPWYFTSSLLRGVLSFFITWITSFKLLPFCFNKGPLTACKNYLDFVAIAIFPLKIQENTHPHLTLSLSFKTLSSIMARYELVTLFNKPYLATSLQDFWGRRWNRLSSNILRQTIYEPTQNVLVGNFVGVGKARILAMITTLVISGIMHELMFYYISCGTRPTWEVTWFFVLQGISMVFEGALKYLARVKGWTPVHPTVFNVLTLAFVSFTFSWFLVLPVWRSGRNECGFRPKILPFRE >Potri.001G101500.2.v4.1 pep chromosome:Pop_tri_v4:1:8185860:8187480:1 gene:Potri.001G101500.v4.1 transcript:Potri.001G101500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G101500.v4.1 MTILRPDSPRNSGSPGRGRRSRSLSRPRRSRSRSINGSGITGLSTRITSSDLEKYFNSEGKVLECHLVTDPDTREFRGFAFVTVETTEDADRCIKYLNCSVLEGRLITMEKMADPNKCLSRCINWRNFGGGMNDPPWKKHSFMKMNLVSILAHIQLNAAWL >Potri.005G134725.1.v4.1 pep chromosome:Pop_tri_v4:5:10367281:10369896:1 gene:Potri.005G134725.v4.1 transcript:Potri.005G134725.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G134725.v4.1 MNEGGKTSATKAFQVDQLDVIEDSTIRAARNIHAFNKKVRPCSFQEVDLILAIRRSIIMSKRMGNKFLSKWNDPFMVQEVYTNEAYKIIDENGLRIGPVNDKFLKRYYA >Potri.002G212900.2.v4.1 pep chromosome:Pop_tri_v4:2:19526142:19530849:-1 gene:Potri.002G212900.v4.1 transcript:Potri.002G212900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G212900.v4.1 MGIQEDLEQCKNRAEHEEVREPLMDKKNQSGEQDGSFAQSSSKESAWMVYLSTFVAVCGSFAFGSCAGYSSPTENAVREDLSLSLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGALRVATSFCIAGWLAIYFAQGVLALDLGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTATNQLMICGGVSVAFIIGTVLTWRALALTGLVPCAILVFGLFLIPESPRWLAKRGREEEFQTALQKLRGKEADIYQEATEIKEYIETLERLPKARFLDLFQRRYLRSVIIGVGLMVFQQFGGINGVCFYVSNIFESAGFSPSLGTIIYAILQVVVTALNTIVIDKAGRKPLLLVSASGLILGCLITAISFYLKVNELAVKSVPALTLTGILLYIGSFSAGMGAVPWVIMSEIFPINIKGVAGSLATLVNWFGAWAISYTYNYLMSWSSYGTFILYAAINALAIVFVVMVVPETKGRTLEQIQAAINT >Potri.002G212900.1.v4.1 pep chromosome:Pop_tri_v4:2:19526203:19530849:-1 gene:Potri.002G212900.v4.1 transcript:Potri.002G212900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G212900.v4.1 MGIQEDLEQCKNRAEHEEVREPLMDKKNQSGEQDGSFAQSSSKESAWMVYLSTFVAVCGSFAFGSCAGYSSPTENAVREDLSLSLAEYSVFGSILTFGAMIGAITSGPIADFIGRKGALRVATSFCIAGWLAIYFAQGVLALDLGRLATGYGMGVFSYVVPVFIAEIAPKNLRGALTATNQLMICGGVSVAFIIGTVLTWRALALTGLVPCAILVFGLFLIPESPRWLAKRGREEEFQTALQKLRGKEADIYQEATEIKEYIETLERLPKARFLDLFQRRYLRSVIIGVGLMVFQQFGGINGVCFYVSNIFESAGFSPSLGTIIYAILQVVVTALNTIVIDKAGRKPLLLVSASGLILGCLITAISFYLKVNELAVKSVPALTLTGILLYIGSFSAGMGAVPWVIMSEIFPINIKGVAGSLATLVNWFGAWAISYTYNYLMSWSSYGTFILYAAINALAIVFVVMVVPETKGRTLEQIQAAINT >Potri.006G022500.1.v4.1 pep chromosome:Pop_tri_v4:6:1522598:1524623:-1 gene:Potri.006G022500.v4.1 transcript:Potri.006G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G022500.v4.1 MFRKILADKPHVICIPCPAQSHVKAMLKLAKLLHYRGFRITFVNTEFNHRRMLKSRGPYSLNGLPDFRFESIPDGLPPSDENATQDTQAILEACKKNLLAPFNELLAKLNDTASAAVPQVTCIVSDGFVPAAITAAERHGIPVALFFSISACTFMGLKQYKELKERGLFPLKDESFLTNGYLDQVLDWIPGMKDIRLRDLPSFLRTTDPDDYHFNFFMECAERASEGSAVIFHTFDALEKEVLSALYSMFPRVYTIGPLQLLLNQMKEDDLDSIGYNLWKEEVECLQWLDSKKPNSVIYVNFGSVAVATKQQLIELGMGLAKSGHPFLWIIRPDMVTGDSAILPPEFTDETKDRGFISNWCPQEEVLNHPSIGGFLTHSGWNSTAESISSGVPMLCLPFFGDQQTNCRYTCNEWGVGMEIDSSAERDKVEKLVRELMEGEKGREVKKKVMQWKILAEEAAGPSGSSSMNLDELVKGVLLKLE >Potri.002G182400.3.v4.1 pep chromosome:Pop_tri_v4:2:14481320:14485099:-1 gene:Potri.002G182400.v4.1 transcript:Potri.002G182400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182400.v4.1 MAFFPVLGRGMNSKIQSVMENPTDGMPSAPTVGYRFRPTDEELISNYLKPKLLGDDLEDLLIIAEVRVCKHEPWDLPVKSEIKSDDAVWYFFCPRDLKYSNSRRSNRRTKAGFWKPTGKTIKVKAKRNKEVIGTKRTLVFYRSASPKAERTAWIIHEYEVFVSDSKLSNLGEYVLCKLKKKLDEKTSKGVPKNHTASTSGFEAEPSCSMASDFENPNRSELTTNSACVESKSSHRLASDFEKPNSNELPTNLAQEASESSHFSTTNNQNPNELMSNSAYYGNGLHHSMATNFGNPNSNELISSSFYDGRGVLHSMTSNYEIQYPNELISNSAYDGSGGLLHSMTSNYEIQYPNELIVNSAYNVSEQSHYMASDSENQNPIETTYVSPYEYWLTASDFEDPLSPLQPEGETGPSMEMPFQFTNCLLASDFENQNIDKETDISAPVEGERSSPTVMPLDLENQNPWGKIDMSTLEEGGLCCLMASPESSPADDSFLQFPQLSPELALAELEAFLELEDSLNPPPQPPASTSTEEVPNYKGIGSVSY >Potri.002G182400.1.v4.1 pep chromosome:Pop_tri_v4:2:14481558:14484655:-1 gene:Potri.002G182400.v4.1 transcript:Potri.002G182400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G182400.v4.1 MAFFPVLGRGMNSKIQSVMENPTDGMPSAPTVGYRFRPTDEELISNYLKPKLLGDDLEDLLIIAEVRVCKHEPWDLPVKSEIKSDDAVWYFFCPRDLKYSNSRRSNRRTKAGFWKPTGKTIKVKAKRNKEVIGTKRTLVFYRSASPKAERTAWIIHEYEVFVSDSKLSNLGEYVLCKLKKKLDEKTSKGVPKNHTASTSGFEAEPSCSMASDFENPNRSELTTNSACVESKSSHRLASDFEKPNSNELPTNLAQEASESSHFSTTNNQNPNELMSNSAYYGNGLHHSMATNFGNPNSNELISSSFYDGRGVLHSMTSNYEIQYPNELISNSAYDGSGGLLHSMTSNYEIQYPNELIVNSAYNVSEQSHYMASDSENQNPIETTYVSPYEYWLTASDFEDPLSPLQPEGETGPSMEMPFQFTNCLLASDFENQNIDKETDISAPVEGERSSPTVMPLDLENQNPWGKIDMSTLEEGGLCCLMASPESSPADDSFLQFPQVRT >Potri.011G106900.1.v4.1 pep chromosome:Pop_tri_v4:11:13545409:13546319:-1 gene:Potri.011G106900.v4.1 transcript:Potri.011G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106900.v4.1 MALVLGSGFMRKTMQLFPYFNYYYQKAAVPIQAAENARQISEGSGPKIHGSHGHAKRTEVGVGEEATAPVGFNAAEEN >Potri.011G106900.2.v4.1 pep chromosome:Pop_tri_v4:11:13545409:13546318:-1 gene:Potri.011G106900.v4.1 transcript:Potri.011G106900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G106900.v4.1 MIRAQLKHKKEPLNPEFPGENQQETLHLTLIMALVLGSGFMRKTMQLFPYFNYYYQKAAVPIQAAENARQISEGSGPKIHGSHGHAKRTEVGVGEEATAPVGFNAAEEN >Potri.005G144800.10.v4.1 pep chromosome:Pop_tri_v4:5:11726179:11731410:1 gene:Potri.005G144800.v4.1 transcript:Potri.005G144800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144800.v4.1 MEVLANKENKSMPRDPQDNKFIQCMSNFQDKSYHAEEALHGDGAKAAAARCEDVEVNIIDCTTVSDNEQNEARCDDAVTESISSFGDTESKTKNGSSGLSDTEVESQLFVGGGQMSIFDGYGGSFKMRRKKLTDHWRRFIHPLMWRFKWAELKIKELQSQALKYDREIAEDEQRKLFDLETFMEGGFPVKSLPFSTCMTRKKAMKRKKRKRFEETEDVASYMLQHNLFSYYENKKSATDGASIDDGCSNPAKTINDNDEFGTQDGLTCIQSRDCISEHILRQIEVLKSQVHKLKSRADKVASENPVKFSSVNNLSLLAPSNALTSSEQNPASVPKIGDRLLHTQTQHMSGCNMGDLMPETAISSHGEATSRSDMIENTGQPQGGVSCGNAEKEILIRNAAVKELKDFDIGLAEKLLGVMEKQTVQASDPDLPEEMLVTRVLFGGKSLPKSRSNVSNYKKKRARKK >Potri.005G144800.6.v4.1 pep chromosome:Pop_tri_v4:5:11726142:11731574:1 gene:Potri.005G144800.v4.1 transcript:Potri.005G144800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144800.v4.1 MEVLANKENKSMPRDPQDNKFIQCMSNFQDKSYHAEEALHGDGAKAAAARCEDVEVNIIDCTTVSDNEQNEARCDDAVTESISSFGDTESKTKNGSSGLSDTEVESQLFVGGGQMSIFDGYGGSFKMRRKKLTDHWRRFIHPLMWRFKWAELKIKELQSQALKYDREIAEDEQRKLFDLETFMEGGFPVKSLPFSTCMTRKKAMKRKKRKRFEETEDVASYMLQHNLFSYYENKKSATDGASIDDGCSNPAKTINDNDEFGTQDGLTCIQSRDCISEHILRQIEVLKSQVHKLKSRADKVASENPVKFSSVNNLSLLAPSNALTSSEQNPASVPKIGDRLLHTQTQHMSGCNMGDLMPETAISSHGEATSRSDMIENTGQPQGGVSCGNAEKEILIRNAAVKELKDFDIGLAEKLLGVMEKQTVQASDPDLPEEMLVTRVLFGGKSLPKSRSNVSNYKKKRARKK >Potri.005G144800.9.v4.1 pep chromosome:Pop_tri_v4:5:11726149:11731479:1 gene:Potri.005G144800.v4.1 transcript:Potri.005G144800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144800.v4.1 MEVLANKENKSMPRDPQDNKFIQCMSNFQDKSYHAEEALHGDGAKAAAARCEDVEVNIIDCTTVSDNEQNEARCDDAVTESISSFGDTESKTKNGSSGLSDTEVESQLFVGGGQMSIFDGYGGSFKMRRKKLTDHWRRFIHPLMWRFKWAELKIKELQSQALKYDREIAEDEQRKLFDLETFMEGGFPVKSLPFSTCMTRKKAMKRKKRKRFEETEDVASYMLQHNLFSYYENKKSATDGASIDDGCSNPAKTINDNDEFGTQDGLTCIQSRDCISEHILRQIEVLKSQVHKLKSRADKVASENPVKFSSVNNLSLLAPSNALTSSEQNPASVPKIGDRLLHTQTQHMSGCNMGDLMPETAISSHGEATSRSDMIENTGQPQGGVSCGNAEKEILIRNAAVKELKDFDIGLAEKLLGVMEKQTVQASDPDLPEEMLVTRVLFGGKSLPKSRSNVSNYKKKRARKK >Potri.005G144800.8.v4.1 pep chromosome:Pop_tri_v4:5:11726173:11731573:1 gene:Potri.005G144800.v4.1 transcript:Potri.005G144800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G144800.v4.1 MEVLANKENKSMPRDPQDNKFIQCMSNFQDKSYHAEEALHGDGAKAAAARCEDVEVNIIDCTTVSDNEQNEARCDDAVTESISSFGDTESKTKNGSSGLSDTEVESQLFVGGGQMSIFDGYGGSFKMRRKKLTDHWRRFIHPLMWRFKWAELKIKELQSQALKYDREIAEDEQRKLFDLETFMEGGFPVKSLPFSTCMTRKKAMKRKKRKRFEETEDVASYMLQHNLFSYYENKKSATDGASIDDGCSNPAKTINDNDEFGTQDGLTCIQSRDCISEHILRQIEVLKSQVHKLKSRADKVASENPVKFSSVNNLSLLAPSNALTSSEQNPASVPKIGDRLLHTQTQHMSGCNMGDLMPETAISSHGEATSRSDMIENTGQPQGGVSCGNAEKEILIRNAAVKELKDFDIGLAEKLLGVMEKQTVQASDPDLPEEMLVTRVLFGGKSLPKSRSNVSNYKKKRARKK >Potri.003G021000.13.v4.1 pep chromosome:Pop_tri_v4:3:2190914:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.7.v4.1 pep chromosome:Pop_tri_v4:3:2191175:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKQWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.8.v4.1 pep chromosome:Pop_tri_v4:3:2190899:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.2.v4.1 pep chromosome:Pop_tri_v4:3:2190908:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKQWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.15.v4.1 pep chromosome:Pop_tri_v4:3:2191475:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.4.v4.1 pep chromosome:Pop_tri_v4:3:2190899:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKQWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.11.v4.1 pep chromosome:Pop_tri_v4:3:2190908:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.16.v4.1 pep chromosome:Pop_tri_v4:3:2191175:2195494:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDR >Potri.003G021000.3.v4.1 pep chromosome:Pop_tri_v4:3:2190899:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKQWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.9.v4.1 pep chromosome:Pop_tri_v4:3:2190899:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.10.v4.1 pep chromosome:Pop_tri_v4:3:2190899:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.14.v4.1 pep chromosome:Pop_tri_v4:3:2191175:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.12.v4.1 pep chromosome:Pop_tri_v4:3:2190908:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.17.v4.1 pep chromosome:Pop_tri_v4:3:2191182:2195515:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEVTSLNCKLLLLFLFLIVHLSLSRMCSHRCFNLLPIKHFNFFWCLSLL >Potri.003G021000.6.v4.1 pep chromosome:Pop_tri_v4:3:2190914:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKQWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.003G021000.5.v4.1 pep chromosome:Pop_tri_v4:3:2190908:2195534:1 gene:Potri.003G021000.v4.1 transcript:Potri.003G021000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G021000.v4.1 MQGGFLEKLDPVMDDEGDPFPQLGSVYKKGSGFYVNQLSKNVASMNPNSAQDGKVAAKAWPAQNSASSAWGCSKLVQKLGVQSNALTTQNTTFKKSLGSANELPLQQNNYPKHRQNLSQVGSACSSGREESRAKDESVSNHQVSGTDNDDVDGYTVFSGDDDDDDDEDFLFDSDFDLDASENSYDVLKKSKSFNAFFDDFDKLTVEEINSRARKWHCPACKGGPGAIDWYRGIDPLAYHAKTKKTRRVKLHRMFSEILDEEMHKKGGSVTPVGEAFGRWQGLDARVKDYEITWPPMVLIMNTRYEQEENGKQWIGMGNQELLDHFNSYAALKARHSYGPQGHRGMSVLIFESSAAGYLEASRLHKHFIDQGRGRDAWDGNRVSFCTGGKRQLYGYMALKEDLDIFNQHCQGKNKLKFETVSYQEMVGSRIKQINEDSQMLVTYKNRFAAEHMQSQALAESLCRLSEKLKKTVQENRIVRERTKLLHEQTKEEMDSQEKFFKDQIKVIHQAIDAKEDNFEKLQQAKLEKVKELNAYPSTEENVDRVKDINRFIKIQDKEMEEFEAERKKLIRRHGDEKAAFMKIYWEELLVLEKKFEDELTLLIDKYTPNQTVKF >Potri.002G256600.8.v4.1 pep chromosome:Pop_tri_v4:2:24535211:24540270:-1 gene:Potri.002G256600.v4.1 transcript:Potri.002G256600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256600.v4.1 MQGGFLGGGSGGSVCMSTVSMEDNVLMSSEDSSSPDEGELELGLGLSLGGASGFKDFGQRSSQQYARILTAKDLPSKVSSSSCCSSTTSSSSSTLSRANATAGTKRAADSVSASNGAASSQVVGWPPIRSHRMHIMVNQAKSQATEEFNSMNKRKNAVEEKVGNKNINIGNTKTRTSLFVKVNMDGTLIGRKVDLNAHGCYETLAQALENMFLRTTTTLNMARSSAISSFLGLSTPEHKIMIDAKRHSQLLGGSSEFVLTYEDKDGDWMLVGDVPWGMFISSVKRLRIMRMSEATGLGK >Potri.002G256600.9.v4.1 pep chromosome:Pop_tri_v4:2:24535214:24540326:-1 gene:Potri.002G256600.v4.1 transcript:Potri.002G256600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256600.v4.1 MQGGFLGGGSGGSVCMSTVSMEDNVLMSSEDSSSPDEGELELGLGLSLGGASGFKDFGQRSSQQYARILTAKDLPSKVSSSSCCSSTTSSSSSTLSRANATAGTKRAADSVSASNGAASQVVGWPPIRSHRMHIMVNQAKSQATEEFNSMNKRKNAVEEKVGNKNINIGNTKTRTSLFVKVNMDGTLIGRKVDLNAHGCYETLAQALENMFLRTTTTLNMARLSTPEHKIMIDAKRHSQLLGGSSEFVLTYEDKDGDWMLVGDVPWGMFISSVKRLRIMRMSEATGLGK >Potri.002G256600.1.v4.1 pep chromosome:Pop_tri_v4:2:24535230:24540326:-1 gene:Potri.002G256600.v4.1 transcript:Potri.002G256600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G256600.v4.1 MQGGFLGGGSGGSVCMSTVSMEDNVLMSSEDSSSPDEGELELGLGLSLGGASGFKDFGQRSSQQYARILTAKDLPSKVSSSSCCSSTTSSSSSTLSRANATAGTKRAADSVSASNGAASSQVVGWPPIRSHRMHIMVNQAKSQATEEFNSMNKRKNAVEEKVGNKNINIGNTKTRTSLFVKVNMDGTLIGRKVDLNAHGCYETLAQALENMFLRTTTTLNMARLSTPEHKIMIDAKRHSQLLGGSSEFVLTYEDKDGDWMLVGDVPWGMFISSVKRLRIMRMSEATGLGK >Potri.007G040950.1.v4.1 pep chromosome:Pop_tri_v4:7:3390398:3391895:1 gene:Potri.007G040950.v4.1 transcript:Potri.007G040950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040950.v4.1 MWASLIFKEFTTSALFHKTVIKAFLYKQKIVIEREGRQQQEAKDTPYLQNILDQLSKLREPLIKSKHVEPHIFPWKRGERTYRDKQFCQLRCYGI >Potri.012G047500.1.v4.1 pep chromosome:Pop_tri_v4:12:4401527:4401814:1 gene:Potri.012G047500.v4.1 transcript:Potri.012G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G047500.v4.1 MGQKINPLGFRLGTTQDHYSLWFAQPNFFFEGLQEDQKIRNCIKNYVQKNMKISSGVEGIGHIEIQKRIDVIQVIIYLGFPKFLTEGKPKRIKEL >Potri.016G007300.2.v4.1 pep chromosome:Pop_tri_v4:16:353551:358718:1 gene:Potri.016G007300.v4.1 transcript:Potri.016G007300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G007300.v4.1 MTSVPSKNIWIKRQQCPCGDWKCYVTCEGDVEETSVASQLEKNENFQSDSMVSPYVGMVFKSDDDAFEYYGNFARKNGFSIRKERSRLSPQLGIYKRDFVCYRSGFAPARKKSTAEHHRDRKSVRCGCDAKMYLSKEVVEGVSQWFVVQFSNVHNHELLEDDQVRLLPAYRKIQEADQERILLLSKAGFPIHRIVKVLELEKGIQGGQLPFLERDVRNFVQNRKKIVQENDALLTEKRENDSMELLEACKVTKEMDGDFVYDFTTDENDKVENIVWSYGDSVRAYTLFGDVVYFDTSYRSITYGMLLGVWLGIDNNGKTIFFGCVLLQDETARSFAWALQAFVHLMKGKCPQTILTDLELGLKDAVRSELPSTRHVISIWNIQPKISSWFFLSLGARYPEFKSMFDDLYHAENADDFEHRWSQMVSMFGFGSDKHIALLYSLRASWASSYMRGYFLARMATSAYLKSVESFLKAICCAQTCLRSFFEQVGSSSNFQNQLRQEMQYMLTKTCMPIEEHARNFLTPFAFNDFQHELVLSMQCALSEMPDGSYLVHHYKKMDVERLVIWIPEDEQIHCTCKEFESSGMLCRHTLRVFILKNYFQLPEKYYLSRWRRESSLVFYDNQDTQTNDDGWFQEFQSLTETLLTESSITKERSDYVHRELPKELKRLISEVRKMPESDGAAMDLTLSPSS >Potri.008G225501.1.v4.1 pep chromosome:Pop_tri_v4:8:19189321:19191239:-1 gene:Potri.008G225501.v4.1 transcript:Potri.008G225501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G225501.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQGGREGSRRDKQQGGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELSGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSPSSAVRTSHVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVIDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQRAPGPECGNPGSSPAAASDVGLAPAAPSLSHAGRRPERGGPGPEGSPPAGERASQQGLSPAAASDVGLAPAAPSLSHAGGQGPKAAPPRAREQASRGCRLPRPATSGWPLQRLASPTQGARARRQPPRGRESKPAGAVACRGQRRRAGPCSA >Potri.013G066550.1.v4.1 pep chromosome:Pop_tri_v4:13:4950162:4950398:1 gene:Potri.013G066550.v4.1 transcript:Potri.013G066550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G066550.v4.1 MLALGIFRNSYAIFFMPAKLISSAFCYHKYYFKQDLCCSGVALCTLVSVCTCSLCDAIFHRSTTYHECHPCFTQFHPG >Potri.010G056200.1.v4.1 pep chromosome:Pop_tri_v4:10:8684162:8691438:1 gene:Potri.010G056200.v4.1 transcript:Potri.010G056200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056200.v4.1 MPPSPALRYSPGREPRAENHKRGRSLEGGLLFKEKDEDLALFNEMQSREGENFLLQSADDFEDSFSTKLRYFSDLKLGVSIPVRGESSELLNVDGEKNDYDWLLTPPETPLFPSLDDEPPPVNVASRGRPRSQPISISRSSTMEKSHRSSRGSASPNRLSPSPRSGNSTFQSRGRPSSASYSSPTPSQRASTPSRRPSPPPSKASTPAPRSSTPTPRRMSTGSGARGTSPIRTSRGNSASPKIRAWQSNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNSRDSGSKFGRQSMSPASRSVSSSHSHDRDRISSHSKGSVASSGDDDVDSLQSTYVGSLDHLASKRTGGFPNNRAPAFSKNSTRVFSPSSAPKKSFDSALRQMDHRKSPQNMFRPLLSSVPSTTFYGGKASSAHRSLMSRNSSVTTSSNASSDQGTSIAPDTEGGDHHQEDMATESGKVLHPDAQEGVFAFDKVDALNKDAGHDTDDGLHFQLHDLERDPSIEYEPGGYEEGRHHHVEISSASDTLCFKADLSEVDSLEKTSVCSKCGCRYSVIETLEKDVNLCPDCDNLVGTATPDTEIVAIDSIPVLSINISEEHQPSDEPNIRMAVPELQPQVNDMESQFVEMVDARVSLPEDRVKQDEASYHEQNRIYSRESSLTRSLMEGSEHSAIKQGETSYHEQNHIYSRESSLTRSLMEGSEHSTAGHHETGQPLPGYSLPSGDGGDQLLPRSNNYPSLKAGVSEGAGISVLLKRSSSSKGPVVQGRTLIASTITYDDLSYARDSANSFRSSIGHGSTSASSSIDFSTSRQVETRVQRQLSGRKSDMENYRYDLSSRPQSTASSFSGTLNDGHQTLGLATNTHEENVEVSVGNIKYDGLEETPVAFQRILLASENKEMDVSCMFFTDAAVPEEDLFEQKDSNRKTDVSSSDLPSHTVGIRLEENSALSNHGNFSLYENGEDLPNNVGDVSDVEASALPPDSSVVTEQNMLNTSLDRLNVAEIPAHSRLASISEIEVENNCHGTGSENDDILTNSRSTISEVQDHPVPTPSDNETPASVLEHNRPDHADGIIEESTVMVDCQVGSKARSLTLEEATDTILFCSSIVHDLAYQAATTAIEKESSVPLEGSWPTVTILGKSTADRKDPRGRPAAKRTSKSLKVRQKRAGVDAKHSANKTENDENANESMVRNVGLPNEMDIMKPPKLESKCNCTIM >Potri.010G056200.3.v4.1 pep chromosome:Pop_tri_v4:10:8684200:8691350:1 gene:Potri.010G056200.v4.1 transcript:Potri.010G056200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G056200.v4.1 MPPSPALRYSPGREPRAENHKRGRSLEGGLLFKEKDEDLALFNEMQSREGENFLLQSADDFEDSFSTKLRYFSDLKLGVSIPVRGESSELLNVDGEKNDYDWLLTPPETPLFPSLDDEPPPVNVASRGRPRSQPISISRSSTMEKSHRSSRGSASPNRLSPSPRSGNSTFQSRGRPSSASYSSPTPSQRASTPSRRPSPPPSKASTPAPRSSTPTPRRMSTGSGARGTSPIRTSRGNSASPKIRAWQSNIPGFSSEAPPNLRTSLADRPASYVRGSSPASRNSRDSGSKFGRQSMSPASRSVSSSHSHDRDRISSHSKGSVASSGDDDVDSLQSTYVGSLDHLASKRTGGFPNNRAPAFSKNSTRVFSPSSAPKKSFDSALRQMDHRKSPQNMFRPLLSSVPSTTFYGGKASSAHRSLMSRNSSVTTSSNASSDQGTSIAPDTEGGDHHQEDMATESGKVLHPDAQEGVFAFDKVDALNKDAGHDTDDGLHFQLHDLERDPSIEYEPGGYEEGRHHHVEISSASDTLCFKADLSEVDSLEKTSVCSKCGCRYSVIETLEKDVNLCPDCDNLVGTATPDTEIVAIDSIPVLSINISEEHQPSDEPNIRMAVPELQPQVNDMESQFVEMVDARVSLPEDRVKQDEASYHEQNRIYSRESSLTRSLMEGSEHSAIKQGETSYHEQNHIYSRESSLTRSLMEGSEHSTAGHHETGQPLPGYSLPSGDGGDQLLPRSNNYPSLKAGVSEGAGISVLLKRSSSSKGPVVQGRTLIASTITYDDLSYARDSANSFRSSIGHGSTSASSSIDFSTSRQVETRVQRQLSGRKSDMENYRYDLSSRPQSTASSFSGTLNDGHQTLGLATNTHEENVEVSVGNIKYDGLEETPVAFQRILLASENKEMDVSCMFFTDAAVPEEDLFEQKDSNRKTDVSSSDLPSHTVGIRLEENSALSNHGNFSLYENGEDLPNNVGDVSDVEASALPPDSSVVTEQNMLNTSLDRLNVAEIPAHSRLASISEIEVENNCHGTGSENDDILTNSRSTISEVQDHPVPTPSDNETPASVLEHNRPDHADGIIEESTVMVDCQVGSKARSLTLEEATDTILFCSSIVHDLAYQAATTAIEKESSVPLEGSWPTVTILGKSTADRKDPRGRPAAKRTSKSLKVRQKRAGVDAKHSANKTENDENANESMVRNVGLPNEMDIMKPPKLESKCNCTIM >Potri.004G067200.1.v4.1 pep chromosome:Pop_tri_v4:4:5738390:5741251:-1 gene:Potri.004G067200.v4.1 transcript:Potri.004G067200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G067200.v4.1 MMEQVEAARKRCSRVIDTIHKLPSQTNITESCKRTLLKLAQSELHFLSSRSTSTPHHTPLSVNIGHLEAVIHILQQPCITGVSRVCKPIPSSLPNRKKIESPTKNAVHVDIVCTLNKNPVWIIVSDRNPRYVSWFRDGKSSKGLKFRLEQVLGAAQSTQIMKPCSIVLFFSHGISDFVNEKLREEFGAWQLGLEFALFDFDLCEELEGGEWINVVANARSFQEACVFEIKVGGTKENTVLGSKYGVERSLSLNPTGLEMMEEVTKENLDDGFDSLISEMKLSLMKVKSVDVVGPGDFIGDDDGDDFINLDTTALIAIVSGNSNGCTEKLLATPEDELRKRFKGNYEFVIVQVKSEIQNPILAEMAGVIQGKRGIICESVLSEFKQLVSMCGGPNEKLRADKILKCLMVVPDSPSERMMGVPTTRKLALKNKVVFGTGDHWRAPTLTANMAFVRAVSQTGMPLFTIEHRPRALTGD >Potri.002G122700.1.v4.1 pep chromosome:Pop_tri_v4:2:9290359:9293347:1 gene:Potri.002G122700.v4.1 transcript:Potri.002G122700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G122700.v4.1 MVTTSSLNLVFTPTKPTSLSWKHSFPSPFTTANCTRRQDRKAYRFVVFATVLADPKPTVLVAEKLGKAGLELLKTFANVDCSYNLSPEELCTKISLCDALIVRSGTKVTREVFERSGGRLKVVGRAGVGIDNVDLSAATEHGCLVVNAPTANTIAAAEHGIALLTAMARNIAQADASLKAGKWQRSKYVGVSLVGKTLAVIGFGKVGSEVARRAKGLGMHVIAHDPYAPADRARAIGVDLLTFEEAISIADFISLHMPLTPATSKIFNDQAFSRMKKGVRIVNVARGGVIDEEALVRALDSGIVAQAALDVFTEEPPPKDSRLVLHENVTVTPHLGASTTEAQEGVAIEVAEAVIGALKGELAATAVNAPMVTAEVLTELAPFVTLSEKLGRLAAQLVAGGSGVQSVKVTYASARGPDDLDTRLLRAMITKGLIEPIASVYINLVNADFTAKQRGLRIIEERFLLDGSPENPLEFIQVQIANVESKFATAISDSGEIKVEGRVKDGKPHLTKVGSFGVDVSMEGSLVLCRQVDQPGMIGSVGNILGEENVNVNFMSVGRIAPRKQAVMIIGVDEEPSKEALKRIGEIPAVEEFVFLKL >Potri.011G073191.1.v4.1 pep chromosome:Pop_tri_v4:11:7304767:7306317:1 gene:Potri.011G073191.v4.1 transcript:Potri.011G073191.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G073191.v4.1 MISGLQHPNLVKLYGCCIEGDQLLLVYEYMENNSLAKALFGSETSFLMLDWPTRDKICVGIARGLAFLHEESAIRIVHRDIKGTNVLLDKDLSAKISDIGLAKLKEEENTHFSTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGRSNSSYRTTNEFVCLLDWAHVVQKKGNLMEMVDPKLQSEFNKEEAERMIKLALLCTNASPSLRPAMSEVVSMLEGQTSIQEMISDPSIYGDDLHSKLLKGHYQQVMDQSLNRKQDLFPPSDKSWIGNSSTSAHDLYPINPESINLNISETSSLIE >Potri.007G063000.1.v4.1 pep chromosome:Pop_tri_v4:7:7682792:7685196:1 gene:Potri.007G063000.v4.1 transcript:Potri.007G063000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G063000.v4.1 MEANICDINHLDADVLLPPRKRLLAGFKKQSSDGDGASLLPVVGSSSSSASPASPSPQSPPSPTPCSPSSSEFQARLNKLLSSHFNNSHNLSPEQIVEASKSAAEAAVKAAEAARAAAQEKEISAAKAVTAAKSALALVASFPEEAASKDKYLRKNKLKKHVQVQLLYKKHQPVENYRDDEELARKLHRVINSSPRISKNSSSSDLKGGHRNKKPKSSTSSERTKVSNGSIIFGENPPSFCNGHAIAGELDSDDSIQEAPIRIPDEKALKYEKAGQLDMDNGEAESSHSKEKNWGDSGSPSKKKGRLKLKKLPLSVCNSKDQSNPKEDSLPRSLPLTDKNTGNPATTRVKPLFPMEPSTGTLMQIEPTPLWKCQEFKAPACVKQNKVVQS >Potri.019G121400.1.v4.1 pep chromosome:Pop_tri_v4:19:14778050:14781443:1 gene:Potri.019G121400.v4.1 transcript:Potri.019G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G121400.v4.1 MLTGDIPPNQTIYIKNLNEKVKKEELKRSLYCLFSQYGRILDVVALKTARLRGQAWVVFSEVTAASNAVRQMQGFPFYDKPMRIQYAKTKSDCIAEAEGVYDPNAKKKKKQEEKAERKKRAEEAQQSAPANGKPAESNGGPTSFRQGNQSAQETVPPNNILFIQNLPHETTSMMLQVLFQQYPGFREVRMIEAKPGIAFVEFEDDVQSSMAMQALQGFKITPQNPMAITYAKK >Potri.015G132200.1.v4.1 pep chromosome:Pop_tri_v4:15:14186751:14193689:-1 gene:Potri.015G132200.v4.1 transcript:Potri.015G132200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G132200.v4.1 MKLLGGFEQCLAMAVVLLLFLFPLVSPLNDEGKALMSIKESFSNVVNVLVDWDDVHNEDFCSWRGVFCDNDSFSVVSLNLSNLNLGGEISPGIGDLRNLQSIDFQGNMLTGQIPEEIGNCASLYHLDLSGNLLYGDIPFSLSKLKQLDTLNLKNNQLTGPIPSTLTQIPNLKTLDLAKNQLTGEIPRLIYWNEVLQYLGLRGNLLTGTLSEDICQLTGLWYFDVRDNKLTGTIPSSIGNCTSFEILDISYNQFTGEIPYNIGFLQVATLSLQGNNLTGRIPEVIGLMQALAVLDLSDNELVGPIPAILGNLSYTGKLYLHGNKLTGPIPPELGNMSKLSYLQLNDNQLVGSIPPELGKLGQLFELNLANNHLEGPIPHNISFCRALNQFNVHGNHLSGIIPSGFKDLESLTYLNLSSNDFKGSVPVELGRIINLDTLDLSSNNFSGPIPAMIGDLEHLLTLNLSRNHLHGRLPAEFGNLRSIQIIDLSFNNVTGSIPVELGQLQNIVSLILNNNDLQGEIPELTNCFSLANLNFSYNNLSGIVPPIRNLTRFPPDSFIGNPLLCGNRLGSICGPYVPKSKVIFSRAAVVCITLGFITLLSMIIVAIYKSNQQKQLTKRSNKTVQGPPKLVVLHMDMAIHTFDDIMRNTENLSEKYIIGYGASSTVYKCVLKNSRPLAIKRLYNQYTCNLHEFETELETIGSIRHRNIVSLHGYALSPRGNLLFYDYMENGSLWDLLHGPSKKVKLDWETRLKVAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDENFEAHLCDFGIAKCIPTTKTHASTFVMGTIGYIDPEYARTSRLTEKSDVYSFGIVLLELLTGKKAVDNESNLQQLILSRADDNTVMEAVDPEVSVTCMDLTHVKKSFQLALLCTKRHPSERPTMQDVSRVLVSFVPAPTKASLLPKPFDYAKFVMDKGQQQPTLHQPQLLQENNSSDAHWFMRFKEVVSKNTL >Potri.001G346200.1.v4.1 pep chromosome:Pop_tri_v4:1:35782963:35788050:-1 gene:Potri.001G346200.v4.1 transcript:Potri.001G346200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G346200.v4.1 MGRAFVYVILGGGVAAGYAALEFTKKGVSPGELCIISEETVPPYERPALSKGYLLPEAPARLPSFHTCVGANEERLTPKWYKEHGIELILGTRVKSVDVRRKTLLTAVGETISYKILIIATGARALKLEEFGVSGSDAENVCYLRDLADANRVVDVMQSCASGNAVVIGGGYIGMECAASLVTNRINVTMVFPEVHCMARLFTPKIASYYEGYYNSKGVRFVKGTVLSSFEIDPIGKVTAVNLRDGSQLPADMVVVGIGIRPNTSLFEGQLTLEKGGIKVNGRMQTSNTSVYAVGDVAAFPVKLFGETRRLEHVDSARKTAKHAVAAIMEPEKTDEFDYLPFFYSRFFTFSWQFYGDNAGEVVHFGDYSGNTIGAYWISKGHLVGSFLEGGTKEEYEALAKTTRLKPAIDDITELERQGLGFAVTVSKKPLTSPPADVSDSTLVLERPLFAWHATAGVITAASIAAFAYWYGKRRRRW >Potri.008G131300.1.v4.1 pep chromosome:Pop_tri_v4:8:8642581:8643680:-1 gene:Potri.008G131300.v4.1 transcript:Potri.008G131300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G131300.v4.1 MALAGKLEINFELKCSADKFFEILSCQAHQIPNASSGEIHAIEVHEGDWVATGSVKLWTYTIDGKPEVFKEKVEVDEVNKSVSLIAVGGHVLEQYKSYKITLKTVSMAEGGVVKILLDYEKLKPDDPPPNKYLDFVINVVKDIDEHLVKA >Potri.012G038600.1.v4.1 pep chromosome:Pop_tri_v4:12:3444330:3448339:1 gene:Potri.012G038600.v4.1 transcript:Potri.012G038600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G038600.v4.1 MKKLLDFGRRALFYIRVLSGYEERKIRNHRLQLEQRLRQAQERKEALRKIPEQFILSEVRRMVEEMQNLNKKIDEIEATFDDYFKPINKQAEIIMKTQLEGEENSMKDMMKAMQTRALLEKYEAERKANVNDADAERKANVNDADASQSNQETEPTTKQHAQIR >Potri.006G270000.1.v4.1 pep chromosome:Pop_tri_v4:6:27457381:27462180:-1 gene:Potri.006G270000.v4.1 transcript:Potri.006G270000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270000.v4.1 MVAGKYQESYSSRFSYCKYQVFLSFRGEDTRKNFTDHLYKALVHAGFHTFRDDDEIRRGKNIQLELQKAIQQSKIATIVFSKNYAWSRWCLDELVKIMERKRNGDCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEAQFVQSIVENVSKNLDPKIFYVPFHFIGRDPLVKYINSWLQDGSHGAAISLLYGIGGVGKTAIAKSVFNQNFYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDEDEGILKIKDALCCRRTLIVLDDVDKRDQFNNIIGMQNWLCRGSKIIVTTRNKSLFSANDIEWVRCKIEPLDDEKSIELFSWNAFGQANPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALKQMEMIPNFDVQKVLQISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPNCQRIWHHGDAFTVLKGTTDAEKLRGLTIDMHTLMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDGEKLQTGQTSLFPILSTDAFKKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHRLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPFLPKLKILDLRHSCNLIRTPDFSGLPALEKLILEDCISLVQIHESIGDFKRLLILNLRNCTSLMELPEEMSRLNSLQELVLDGCSNLNSLNMELEHHQGRKLLQSDGIVASTSFISSLPLKLFFPSRFSTRKMLRTTSFSLPRFLKSLDLSGTPICFLPESIKDLGLLRHLYLKNCKMLQALPELPSHLDSLDVSFCYSLQRLANPNSWTEGDGCDHLVEFQDRMKQELIQKFDSHVFRIMETVSAQIQPSRFQVLFMDGMFKVVVFVFDEDEMVRGFYEEEEEDKWLIKNEFVDNFSFKISSPGTHQICGFNLFVSCVTSASSAYRGFINVYIEIRNNTSGRSLLCPVFVFHMRYACGVREMQSLMHRKLGGNDPTFDNGDDVSISVRPHGPPIQVRTIGIQWLHDPAIQTRTIGVQWLHEEEGKDDDDIQSKDEVINARNSSDDDDDDDAAHVAKVEIASHLLRNYYCAFHGKLNDGNIDWWYFAKKGLETVSLSRNSF >Potri.006G270000.2.v4.1 pep chromosome:Pop_tri_v4:6:27457393:27462196:-1 gene:Potri.006G270000.v4.1 transcript:Potri.006G270000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G270000.v4.1 MVAGKYQESYSSRFSYCKYQVFLSFRGEDTRKNFTDHLYKALVHAGFHTFRDDDEIRRGKNIQLELQKAIQQSKIATIVFSKNYAWSRWCLDELVKIMERKRNGDCIVFPVFYHVDPSEVRNQTGSFAAAFVEHEKHYKEEMERVNGWRIALKEVANLAGMDLGDGYEAQFVQSIVENVSKNLDPKIFYVPFHFIGRDPLVKYINSWLQDGSHGAAISLLYGIGGVGKTAIAKSVFNQNFYKFEGKSFLSNFRSKDIVCLQRQLLFDILNKTVEINDEDEGILKIKDALCCRRTLIVLDDVDKRDQFNNIIGMQNWLCRGSKIIVTTRNKSLFSANDIEWVRCKIEPLDDEKSIELFSWNAFGQANPVDGFVEDSWRIVHHCNGLPLALGVIGSSLSGKGREIWESALKQMEMIPNFDVQKVLQISYDFLDGDYPKNLFLDIACFFNGMDVDDAVRILDGLDKGARFGIDNLIDRCLVEINSDQRLWMHQLVRDMGREIARQESPNCQRIWHHGDAFTVLKGTTDAEKLRGLTIDMHTLMEDHYAEVVCTDSMVCRKRRRLNFFQQWLSDFSDGEKLQTGQTSLFPILSTDAFKKMPDVKFLQLNYTNFHGSFEHFPKNLIWLCWHRLSWSSIPNHICLEKLVVLDLSRSCLVDAWKGKPNPRLLGSPSP >Potri.015G002400.1.v4.1 pep chromosome:Pop_tri_v4:15:120411:121910:1 gene:Potri.015G002400.v4.1 transcript:Potri.015G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G002400.v4.1 MSQVSIKSPKHCAKQGLNFDKLYKKLFFAFSGIFTTLLLLILMIWLILRPAKPEFTLKEADIYQLSLSGPNLLNSSIQLTLLSKNPNQKVSIYYDELQVYAAYKGQQITVDTFVPPFYQGHQDSNLLTASLVGTGLPVAPSFNYEVGRDRTAGKLVLNLKVNGRIRWKVGTWVSGPYRINVNCLAVMALGPTLPTGPLSSRQGTICSTTV >Potri.008G105850.1.v4.1 pep chromosome:Pop_tri_v4:8:6692692:6693134:1 gene:Potri.008G105850.v4.1 transcript:Potri.008G105850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105850.v4.1 MDIDNQEDRFLAFIDHARRGSEQRRSGLSKNRQEIMNRLKRKHRRNKLAKTATLDSVYEKNFLSLNSVLEAVIVDAFVIPDSDIKLKRCVFGY >Potri.018G144960.1.v4.1 pep chromosome:Pop_tri_v4:18:15017491:15017802:1 gene:Potri.018G144960.v4.1 transcript:Potri.018G144960.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G144960.v4.1 MSIKADPTYEFTYIFFSKTSPSTHLPKPKSPHPPRTFTSVISSGSNPSSLISINNQIASLAKPILEYPEIIEFHDTKSLSPISSNTFRANPISPHLASFVVKE >Potri.015G045500.2.v4.1 pep chromosome:Pop_tri_v4:15:4406040:4408715:-1 gene:Potri.015G045500.v4.1 transcript:Potri.015G045500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G045500.v4.1 MGNEKQQSSSIAKVINSHLQLSHVFHFLFFVFGLSFGITISSYLKCFFDSHATMFSLTLPPPPQVPPPPQVLLLQPPPPPPPPPPLIKPFPPPASLPTLLPDVRPPLVHKMDDDELFSRASMIRGSQNFGRDQHVRKVAFMFLTKGPIPLAPLWEKFFRGHEGLYTIYVHHHPSYNESVPEGSVFHGRRIPSKPVEWGRPSMIDAERRLLANALLDVSNERFVLLSETCIPIFNFTTVYNYLVNAKESFIGSYDDPRKVGRGRYNPKMLPAITISDWRKGSQWFEVHRKLAVEIISDTKYYRIFSEYCSPPCYMDEHYIPTLVNIRCPEQNSNRSITWVDWSKAGPHPGRFVKQDISDEFLDRIRFGENCTYNGNASSLCFLFARKFLPGTLQPFLQLAPTLLH >Potri.001G460100.2.v4.1 pep chromosome:Pop_tri_v4:1:48565977:48569199:1 gene:Potri.001G460100.v4.1 transcript:Potri.001G460100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G460100.v4.1 MPKSKRNRPVTLSKTKKKGREHKESIVNSIRDAVEKYNSIYVFSFENMRNLKFKEFREQHKLTSRFFLGSNKVMQVSLGRSAADEIRPGLHKVSKLLCGDAGLFLTNLPREEVERLFNEYEEYDFARTGTTATETVELKEGPLEQFTHEMEPFLRKQGMPVRLNKGVIELVSDFVVSEEGKPLSPECARILRLLGTKTATFKLHLICRWSPEDFELYREGLDDSDVESA >Potri.010G213950.1.v4.1 pep chromosome:Pop_tri_v4:10:20194494:20194860:-1 gene:Potri.010G213950.v4.1 transcript:Potri.010G213950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213950.v4.1 MKMIAPRKIHCHRRASGSWQFRCLKAAPSKIVCYVAKELMDTENPPLVLPNGYVYSTKALEEMAKRNDGKVTCPRTSLVCNYSELVKAYIS >Potri.008G200300.1.v4.1 pep chromosome:Pop_tri_v4:8:14217743:14219333:1 gene:Potri.008G200300.v4.1 transcript:Potri.008G200300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G200300.v4.1 MASGASKPTAFMLLILNMGLYFFMIIISSWIINHGIVRSRESAAILTIPARIFPIYFPMGNLATGFFIILSLLAGVVGFTSSITGLYNLFLWNAPSIHATYASSLASLSLTLLAMGFACKEINIGWTDSVLRTLEVVTIIVSGTQLLCTVATHV >Potri.016G100400.2.v4.1 pep chromosome:Pop_tri_v4:16:10136729:10139247:1 gene:Potri.016G100400.v4.1 transcript:Potri.016G100400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100400.v4.1 MFTTKKKIQKDKDAEPTEFEETVAQALFDLENTSSELKTDLKDLFINSAVQIDVAGNRKAIVIYVPYRLRKAYRKIHLRLVRELEKKFSGKDVVLLATRRIVRPPKKGSAVQRPRSRTLTAVHEAMLEDLVYPAEIVGKRTRYRIDGSKISKIFLDPKERNNTEYKLESFAGVYRKLTGKDVVFDFPITEA >Potri.016G100400.1.v4.1 pep chromosome:Pop_tri_v4:16:10136732:10139243:1 gene:Potri.016G100400.v4.1 transcript:Potri.016G100400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G100400.v4.1 MFTTKKKIQKDKDAEPTEFEETVAQALFDLENTSSELKTDLKDLFINSAVQIDVAGNRKAIVIYVPYRLRKAYRKIHLRLVRELEKKFSGKDVVLLATRRIVRPPKKGSAVQRPRSRTLTAVHEAMLEDLVYPAEIVGKRTRYRIDGSKISKIFLDPKERNNTEYKLESFAGVYRKLTGKDVVFDFPITEA >Potri.014G148500.1.v4.1 pep chromosome:Pop_tri_v4:14:10183753:10189101:-1 gene:Potri.014G148500.v4.1 transcript:Potri.014G148500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G148500.v4.1 MPIFSVTQGSLTLAGLSAFLSQHHHHHHQQQQQQIFATTDHASPFFTITSPLFIPPSRTRRSTNNITAKFSDSANAKAENSSETATTTATDEVLEPSPDALRQARRSADWKAVKAYYDGGHILQGRVEGFNGGGLIVRFYSLVGFLPFPLLSPSHSCKDPQKTIHEIAKDLTGLLISVKVIHAEEENRKLIFSEKEAVWSKFSKGINVGEIFAGRVGSVEDYGAFIHMRFPDGLYHLTGLVHVSEVSWDLVQDVRDILNVGDEVTVKIIDIDQGKSRITLSIKQLEEDPLLETLDKVIPQDGSIAPDPLSMHNSITIEPLPGLESIFQELTREDGIDDIRISRQGFEKRVVSQDLQLWLSNAPPINRKFTLLARAGRQVQEIQLTTTLDQGGIKKALQRVLERVP >Potri.007G106600.1.v4.1 pep chromosome:Pop_tri_v4:7:12942487:12943705:-1 gene:Potri.007G106600.v4.1 transcript:Potri.007G106600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G106600.v4.1 MSGPREHYEFHVELKPFQQELTKFPLLFLLGELQYFTHITEGQRVFRRAREPIIIRKLARIPVSDSLFDHIQDFKDILTEMDIPEIEQSKILDKIASKANGMDTYCGAFMSVRIVKVIFRPDQSAVNNEEKDVAKAEGKSMDN >Potri.001G275900.10.v4.1 pep chromosome:Pop_tri_v4:1:28963715:28968235:-1 gene:Potri.001G275900.v4.1 transcript:Potri.001G275900.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275900.v4.1 MTSVINIDGHQLLHSLQDQGEMESKVESSERACGNHGGICAICLDKIVLQETALVKGCEHAYCVTCILRWSTYTKNPTCPQCKHPFEFLNIHRSLDGSIQDYMFEESVCLLLRASWFMTLTVEDHEDVYEDPEDYYPYEFEDEDDDDDLDEVYLSSSSNLRIGNRRWGDNGYVRAGHQEARPVYQADFKDSGACTSREPKKKEAAKDRTGRRAKRTLKREAADKAAASKHQQHLARLGRK >Potri.001G275900.11.v4.1 pep chromosome:Pop_tri_v4:1:28964300:28964716:-1 gene:Potri.001G275900.v4.1 transcript:Potri.001G275900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G275900.v4.1 MFEESVCLLLRASWFMTLTVEDHEDVYEDPEDYYPYEFEDEDDDDDLDEVYLSSSSNLRIGNRRWGDNGYVRAGHQEARPVYQADFKDSGACTSREPKKKEAAKDRTGRRAKRTLKREAADKAAASKHQQHLARLGRK >Potri.001G471700.2.v4.1 pep chromosome:Pop_tri_v4:1:49464975:49469835:-1 gene:Potri.001G471700.v4.1 transcript:Potri.001G471700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471700.v4.1 MAMLLKKVWESVSNRATSFSSSSIDSVTTPLSYTESSSSLGAFDRLPIDVVLQIVRLVGPKDAARLSVVCKSWRPLVSDNRLWIYFLQNYHDTWDSVFFVETHLRSGYPIQTFSSPITELSFMRIYGQRVQVPGAVIVDGGSGHCKYGWSKNACPSGRSATFLEFGNIESPMYSRLQHFFATIYSSLYRMQVKASAHPIVVSLPLCHYDDTESARASRRQLKDAIYTALFDMNVPAVCAINQATLALYAAQRTSGIVVNIGFQVTSVVPILHGKVMRTVGVEVMGVGALKVTGFLREQMQQNNLNFESLHTVHTLKENLCYVAADYEAELYKDTQASFEVPGEGWFTLSKERFKTGEVLFQPCIAGVCAMGLQQAVALCMDHCHAAELTEDDAWFKTIVLSGGTACLPGLAERLEKELHGLLPPSISNGIRVISPPYGADSAWIGAKLIGNLSTFPGSWCVRKKQFRRKSRFTLAW >Potri.001G471700.3.v4.1 pep chromosome:Pop_tri_v4:1:49464973:49469825:-1 gene:Potri.001G471700.v4.1 transcript:Potri.001G471700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G471700.v4.1 MAMLLKKVWESVSNRATSFSSSSIDSVTTPLSYTESSSSLGAFDRLPIDVVLQIVRLVGPKDAARLSVVCKSWRPLVSDNRLWIYFLQNYHDTWDSVFFVETHLRSGYPIQTFSSPITELSFMRIYGQRVQVPGAVIVDGGSGHCKYGWSKNACPSGRSATFLEFGNIESPMYSRLQHFFATIYSRMQVKASAHPIVVSLPLCHYDDTESARASRRQLKDAIYTALFDMNVPAVCAINQATLALYAAQRTSGIVVNIGFQVTSVVPILHGKVMRTVGVEVMGVGALKVTGFLREQMQQNNLNFESLHTVHTLKENLCYVAADYEAELYKDTQASFEVPGEGWFTLSKERFKTGEVLFQPCIAGVCAMGLQQAVALCMDHCHAAELTEDDAWFKTIVLSGGTACLPGLAERLEKELHGLLPPSISNGIRVISPPYGADSAWIGAKLIGNLSTFPGSWCVRKKQFRRKSRFTLAW >Potri.015G087301.1.v4.1 pep chromosome:Pop_tri_v4:15:11110300:11112751:1 gene:Potri.015G087301.v4.1 transcript:Potri.015G087301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G087301.v4.1 MVKVAGFFAMSVGAFIFWQTMDKIHVWNALRQDEKQERFEKEMEIKRVREELLRQAKDKDALA >Potri.016G069400.1.v4.1 pep chromosome:Pop_tri_v4:16:4985724:4987865:-1 gene:Potri.016G069400.v4.1 transcript:Potri.016G069400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G069400.v4.1 MEDIEVPSFFLCPISLQIMKDPVIVPTGITYDRESIEKWLFSSKNDTCPVTKQVISGCEVTPNHTLRRLIQSWCTLNASYGVERIPTPKPPISKAQIAKLLNDAKSPEQQVTCLRKLRSFANENETNKRCMEAAGAVEFLVSMLNNFHSLSFEVTSDDGFEISRPSDEALSILYGLQISESGLKNLVMGRNGEFIETLTKVMQGGNYESRAYAVFLLKSMLEVADTLKLISLKHELFDEIVQVLRDQISHQASKATLQLLISLCPWGRNRIKAIEAKAVPVLIDLLLDSPEKRTCEMVLMVLDLLCQCAEGRAELLGHGAGLAIVSKKILRVSQVASERAVRIILSISKYSITTSVLQEMLQIGIVAKLCLVLQVDCGSKIKDKAREVLKMQARVWKSSPCIPANLLSSYPE >Potri.003G085901.1.v4.1 pep chromosome:Pop_tri_v4:3:11247735:11253713:-1 gene:Potri.003G085901.v4.1 transcript:Potri.003G085901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085901.v4.1 MAASSPVMSNNSSDTGQTSISTAAGGTVSFSAPPKTLRGLNKPKCIQCGNVARSRCPYQSCKSCCSRAQNPCHIHVLKANATFPDKSPASSAPLFEQQVNEAPPAVSSHRAASLRQLSSNFSQFNNLHSPLRSRKPLTRKEAAAINEWRFSKLKEFRDRNIEVENEAFDRYMHNISLLEEVFSLKSFLEGSTEDESLSSNHDHASAKEDTEEKMVSEQKLKLRSNLSRSENDRKRLQQIVDVGLKKLQKLELNNGSVNNQKEVDKRPEKAKSLRAEKASALSDLMDKLNKARNEEDLKSCSETKAQLYSQHARSRTEIKDFEVLREQTAKKDVAPQKEMDFFSQKLFRAVEIDHEALTSIDAHFSSLEKIEDL >Potri.004G070500.7.v4.1 pep chromosome:Pop_tri_v4:4:6017149:6021117:-1 gene:Potri.004G070500.v4.1 transcript:Potri.004G070500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G070500.v4.1 MGSPLVIKLMDCAKAIADGDLKFADQLFSDMEALSAAETNRVTKKVVDYFAEALARRVHGVHPRNPFPLLPSSNLKKISYEPSPLEWFACMSTDYAIRDVLNVKKNKLHLIEISSLVDCWQRHSLEEYLLHGHHGMPLSFQYTSIRPKLSKNDDYLQENRRMITEVAQRSPVDFKYRALFADSVPDIVESVLRLERTSEDEIIIVRWVFQLHKLLALEGAVDKVLSKLKDLKPDIMVIVEQEADNNTDDFFYRFASSFKYYLNLFESLELYATNLSSLIWERHLRWQICNVVASEGIDRIERHETLARWQQRLYGARFCSVSLCSDHFADFLYDFSAYKIEENSGFPVLLAAGHPLIFASVWKPANATHSSGGE >Potri.004G070500.1.v4.1 pep chromosome:Pop_tri_v4:4:6017151:6021122:-1 gene:Potri.004G070500.v4.1 transcript:Potri.004G070500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G070500.v4.1 MGSPLVIKLMDCAKAIADGDLKFADQLFSDMEALSAAETNRVTKKVVDYFAEALARRVHGVHPRNPFPLLPSSNLKKISYEPSPLEWFACMSTDYAIRDVLNVKKNKLHLIEISSLVDCWQRHSLEEYLLHGHHGMPLSFQYTSIRPKLSKNDDYLQENRRMITEVAQRSPVDFKYRALFADSVPDIVESVLRLERTSEDEIIIVRWVFQLHKLLALEGAVDKVLSKLKDLKPDIMVIVEQEADNNTDDFFYRFASSFKYYLNLFESLELYATNLSSLIWERHLRWQICNVVASEGIDRIERHETLARWQQRLYGARFCSVSLCSDHFADFLYDFSAYKIEENSGFPVLLAAGHPLIFASVWKPANATHSSGENIGNSDDTMRGTDLNHPITILEESTSPVVEPEAVSSSEFTEPEVVSSSESDDDNEYVYNRIRRSMHGKIWSVQEVREYLVSPFTEKESKRLSRWKSRKLTRNVAGRDKQLNVPDTSLASLLRIPPSSTPICEEKQYYVDDSVINAFFDLLKKRQEKFPDWYKRNSSLPTWTMTFLLSGKWTMTKLLSCINIEEIAGTAKLFIPLCLENHWILICVDMEKREFLWLDSLNSPPDAHHTEKTTISEWLEKHLLPVLGYRNSQQLKLKQLNIPYQTNRIDCGIFVMKYADCLAHCDHFPFTQEDMPHFRLRVFLDIYRGRLPVPPSHVRFLRALYNI >Potri.001G118700.2.v4.1 pep chromosome:Pop_tri_v4:1:9648580:9650377:-1 gene:Potri.001G118700.v4.1 transcript:Potri.001G118700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G118700.v4.1 MGDFSIQITPELVNRFANDGEKLKKKTKKTKPKTQREPPLPKPKVNEKQLHDDSETRKRIASPGWPVQTPMYLPITQPVQPANAELDEIRSVIRESERVLEKLLKQEDNMVQKVTERAKDLRDKEFKLPYQKTMPCLADYDDCKACYKEHANDILKCAPFTKSYYECVRRAKQQQNSADK >Potri.016G030200.1.v4.1 pep chromosome:Pop_tri_v4:16:1690072:1692515:1 gene:Potri.016G030200.v4.1 transcript:Potri.016G030200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G030200.v4.1 MASPSELWMQEFNEASKLGDEISNMISGKNSLPQSGPETQRHLSAIRRKATILTTKLDSLQSLLSALPGKQPISGKEINRRQEMLKNLSTKVNQMSTALNMASAANRENLLGSDSKTDDIIYRASGLDNQGLVGFQRQTMREQDEGLEKLDETVTSTKHIALAVNEELTLHTRLLDDLDEHVDVTNSRMQRVQRNLAFLNKRTKSGCACWIFLVIAIVILIVVVLVLIKYL >Potri.003G112101.1.v4.1 pep chromosome:Pop_tri_v4:3:13448509:13454179:1 gene:Potri.003G112101.v4.1 transcript:Potri.003G112101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G112101.v4.1 MLRPSILHLKKWQVHPGPVVLQLQASEGRLCLQQGLLDLGFGPSKESQGEWCVPAPLKVQRRFPLLNLDCPAKCMSTITKLQQHLGWREKTLYPAQRWRRSKANQRIIDAVATCLEVPPERIISNVANYGNTSAASIPLALDEAVRSEKVKPGHTIATAGFGAGLTWGSAIIRWG >Potri.002G174300.4.v4.1 pep chromosome:Pop_tri_v4:2:13426641:13428614:1 gene:Potri.002G174300.v4.1 transcript:Potri.002G174300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174300.v4.1 MEEMTSNTCGRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSVAASAAPSKLPDLNPPNLSHFSSQNPKSAHEGQDLNLAFPAMQDSQALELLRSGIASRGLNSFIPTPMPDSNTLYSSGGFPLQELKPTLSFSLDGLGSRYGVQEHNGRLLFPFGELKQLSSTNEVDQNKGQGTSSTGYWNGMFGGGSW >Potri.002G174300.5.v4.1 pep chromosome:Pop_tri_v4:2:13426625:13428618:1 gene:Potri.002G174300.v4.1 transcript:Potri.002G174300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174300.v4.1 MDAASWPRDIKLARPMEEMTSNTCGRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSVAASAAPSKLPDLNPPNLSHFSSQNPKSAHEGQDLNLAFPAMQDSQGISHYTEVAKTENNNYNQHNSYSSPYTSSPISALELLRSGIASRGLNSFIPTPMPDSNTLYSSGGFPLQELKPTLSFSLDGLGSRYGVQEHNGRLLFPFGELKQLSSTNEVDQNKGQGTSSTGYWNGMFGGGSW >Potri.002G174300.2.v4.1 pep chromosome:Pop_tri_v4:2:13426623:13428605:1 gene:Potri.002G174300.v4.1 transcript:Potri.002G174300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174300.v4.1 MDAASWPRGLQDIKLARPMEEMTSNTCGRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSVAASAAPSKLPDLNPPNLSHFSSQNPKSAHEGQDLNLAFPAMQDSQALELLRSGIASRGLNSFIPTPMPDSNTLYSSGGFPLQELKPTLSFSLDGLGSRYGVQEHNGRLLFPFGELKQLSSTNEVDQNKGQGTSSTGYWNGMFGGGSW >Potri.002G174300.3.v4.1 pep chromosome:Pop_tri_v4:2:13426279:13428646:1 gene:Potri.002G174300.v4.1 transcript:Potri.002G174300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G174300.v4.1 MDAASWPRDIKLARPMEEMTSNTCGRPVLERKARPQEQLNCPRCNSTNTKFCYYNNYSLTQPRYFCKTCRRYWTEGGSLRNVPVGGGSRKNKRSTSSSVAASAAPSKLPDLNPPNLSHFSSQNPKSAHEGQDLNLAFPAMQDSQALELLRSGIASRGLNSFIPTPMPDSNTLYSSGGFPLQELKPTLSFSLDGLGSRYGVQEHNGRLLFPFGELKQLSSTNEVDQNKGQGTSSTGYWNGMFGGGSW >Potri.004G026100.1.v4.1 pep chromosome:Pop_tri_v4:4:1986439:1993980:1 gene:Potri.004G026100.v4.1 transcript:Potri.004G026100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G026100.v4.1 MAHSIWLSVFYTVILFIPLIAAQQFEYRYHMCTNDSTYTTNSSFPLNLNASLSSLYENASRSNDFSSISVGQNSDRVYALFLCRGDNSPELCQGCIKTTREDIMIRCPNYKEAIIWYDRCMLRYSNRSIFSVKEEWPKAWMWNVNDIGDVTGQNQFNANLGGLMDQLITRAASSSNLFAMGDTNGTAFNRIYGMVQCTPDISPSQCRICLSGCVSDIPRCCNGKQGGNVLTPSCSMRFETYPFYTAPPAPPPPASSPSPPTPPATSLNPSGERKASSRTIVYISVPAGAFVVLLFSLCYCYAHQKARKEYNAIQEGNVGDEITSVQSLQFQLGTIEAATNNFAEENKIGKGGFGDVYRGTLPNGQHIAVKRLSKNSGQGAAEFKNEVVLVARLQHRNLVRLLGYCLEGEEKILIYEFVPNKSLDYFLFDPAKQGLLNWSSRYKIIGGIARGLIYLHEDSRLRIIHRDLKASNVLLDGEMNPKIADFGMAKIFGGDQSQGNTNKIAGTFGYMPPEYAMHGQFSVKSDVYSFGVLILEIISGKKNSSFYQSDNGLDLVSYAWKQWKNGAVLELMDSSFGDSYSRNEITRCVHIGLLCVQEDPNDRPTLSTIVLMLTSFSVTLPLPREPAYFGQSRTVPTFPTTELESDRSTSKSKPLSVNDMSITELLGIPSPPCADRGCTDICS >Potri.005G138900.1.v4.1 pep chromosome:Pop_tri_v4:5:10925342:10926097:-1 gene:Potri.005G138900.v4.1 transcript:Potri.005G138900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G138900.v4.1 MDVDMMTMMMHMEKLPDFCSEPFYNTTNTSTLLQEIQFSNGNPTANTVASPPIWHNPHASSPPLINPPCSMPFMGTPIQEPVTPSLQHDMMAKKFEYGTPFSNANSFLSSIEKKNSTTTIREMIFRIAAMQPAHIDPESVKPPKRRNVKISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKMQVQSLEQTGANRPMGGFGITGVTMPSVGYSSLVKNFDPAANTEGTMQMLR >Potri.005G120500.1.v4.1 pep chromosome:Pop_tri_v4:5:8926602:8929498:1 gene:Potri.005G120500.v4.1 transcript:Potri.005G120500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120500.v4.1 MTYLALALLLVVLIHQGCFHFHVEAGDGFIRTRGVHFLLNGSPYYANGFNAYWLMYTASDPSQRPKVSAAFREAASHGLTVARTWAFSDGGYRPLQYSPGSYNEQMFKGLDFVVAEARSYGIKLILSFANNYDSFGGKKQYVNWARSRGQYLSSDDDFFRHPVVKGYYKNHIKTVLYRYNSFTGIRFKDDPTIMAWELMNEPRCTSDPSGRTIQAWIAEMASFVKSIDRNHLLEAGLEGFYGPSTPQRNSLNLGLKIGTDFIANNRIPEIDFATVHAYPDQWLSSSNDQNQLSFLNNWLDTHIQDAQNSLRKPILIAEFGKSWKYPGFSTYQRDLLFNTVYYKIYSSAKRGGAAAGGLFWQLLTEGMDNFHDGYEIMLGQPSSTANVIAQQAHKLYQIRKIFLRMRNVERWKRARAARARRVHWRGGNGGKRIGN >Potri.005G031466.1.v4.1 pep chromosome:Pop_tri_v4:5:2053971:2054757:-1 gene:Potri.005G031466.v4.1 transcript:Potri.005G031466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031466.v4.1 MLLRLELYRRRLKCLQPRQTCCIHLSLKLGSRTIDSRSQGSNQRLQANMLIGFDIETPSTFVLTFSLSDFVFCSGLKTQH >Potri.002G168200.1.v4.1 pep chromosome:Pop_tri_v4:2:12899603:12904484:1 gene:Potri.002G168200.v4.1 transcript:Potri.002G168200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G168200.v4.1 MLEKKMEGINTNKVIEEFEALTKDAGGVQRETLKKILEENGSAEYLQNLGLNGKSDPESFKSCVPLVTHEDLEAYIHRIAEGDSSSVLTGKPISDMSLSSGTTQGKRKFVPFNDELMENTLQIYRTSFAFRNREFPLEKGKSLQFVYSSKPGKTKGGLGAGTATTNLFRNSKYKSGMKAIQFQCCSPDEVIFGPDFHQSLYCHLLCGLLFREEIQFVFSTFAHSIVLAFRTFEQVWEELCNDIRDGELSSRVTALSVRMAMRKLLRPNPELADLIYKKCSGLSNWYGLIPELFPNAKYIYGIMTGSMEPYLKKLRHYAGELPLMSADYGSSEGWIAANVNPKLPPELATFAVLPNIGYFEFIPLQDNAECMYKESKPVGLTEVKIGEDYEIVVTNFAGLYRYRLGDVVRVMGFHNSTPELKFVCRRNLILSINIDKNTEKDLQLSVEEAGKLLAEEKLEVVDFSSLVEVSTDPGRYVIFLEISGEASEEVLQECCNCLDRSFVDAGYVSSRKVKTIGPLELRVVWRGTFLKILEHYLGLGTVVSQFKTPRCVGPMNNKVQQILCNNVAKTYFSTAF >Potri.010G169350.1.v4.1 pep chromosome:Pop_tri_v4:10:17147486:17148593:1 gene:Potri.010G169350.v4.1 transcript:Potri.010G169350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G169350.v4.1 MANGQWSSSCSCAVSSTLVEDDTVTDVMIIILVTMHSGDRCCSLCSRNYLSRKEYWMEDWPKRSG >Potri.011G067000.4.v4.1 pep chromosome:Pop_tri_v4:11:5845525:5849542:1 gene:Potri.011G067000.v4.1 transcript:Potri.011G067000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G067000.v4.1 MEQRKLNLNAPLLSVRRFSNIATTSDGAKTKKLENSRFNKRHTLPLYKPDASLDQVTEPVAVPFHWEQIPGKAKDNSLETPAVPEEASITPNGPPRRSMDILRHHKGKRESKAPNKEASVTPRISSRKVMDVVKHHKEKPEPKVPKDVSVTQRNPPRRVLDLVKHHNESKSKDQSVSMPKIKACSSNDKVNKLNCSGEGANEKAGLDSDNDDDVYSDALQTLSPTDSISMNCSATGLSGFDVPLVKPSGTFTTDQQTRDFMMSRFLPAAKAMALEPAHYSSRKQPIVIEQSRQFTKVVHENRTPPPIKSQSFIIPRYGQDIEEKESEDECDGYENSGDISTKACGWFPRLCIKNSLGLLNPIPGLKLGTQASMSSTNDVEKLSRAAHNRSYSQTVKKHFKDAANKLKQDSGGQSPKLLEVENKLSCSSNRFIYGSDRQTMSRTSPFRRSAGTSPFRRAGCVSPHRNEAPQSAFRGRGFLGIPKEAEDLRASRLNLYKGISKSQELSSYYGSKRWSRPASPIVEKTLYVDTVHKAGILFPDSRSSNINEYVDSAKRDFKAPLKNREIKEAAAEESYFQDAECLNFLEGESELENKVFGSADADSASLSDKTNMMDEQSKALVCIGATTDGNVNSDGEQISIEDDQGHVKNSIVQTPLPPILPKTPSESWLWRTLPSISSQKPPSHLYQGTSFQRKWQDPKMSSTNTKWETIVKSSHLHNDHVRFSEELIPHASEHSKS >Potri.008G013900.1.v4.1 pep chromosome:Pop_tri_v4:8:693029:694414:1 gene:Potri.008G013900.v4.1 transcript:Potri.008G013900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G013900.v4.1 MDQGKPTQSRSLFLPKTERIEEDEALTLHVHLPGFAEKHIDCRIVAPSHYIRVCSNPALKNWHFDTLFEDIPEKFNLYEAKTKFDDETLTIRVPKVIPAQASTGALEAPTRQEAPSLQESNTSRLGPEMSGDGTARQQTVDKEIIETKGVEGGKSMSEWMSQKGQDQIPSKANFPLAFTRKLQVDDQSTIGQVGDQKEEEKSGESVNQEKEGEVKDMENASSSSGTSVNHNVTRGVTARLYIVDKFTILSLILAIGAYVFYTIYGQSRKKAE >Potri.003G162300.1.v4.1 pep chromosome:Pop_tri_v4:3:17169772:17174332:-1 gene:Potri.003G162300.v4.1 transcript:Potri.003G162300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G162300.v4.1 MRILISLVSSSTLIDLLSFSRRLHTKISPFSTLIHHKPSPAMAASEDSLRRALAEKQSSIESQGNTVRALKASNAEKATVDEAIEKLNALKLDKSVMEKQLQAAVNGNGPDGSVNKEAFRQAVVNTLERRLFYIPSFKIYRGVAGLYDYGPPGCAVKSNVLSFWRQHFVLEENMLEVDCPCVTPEVVLKASGHVDKFTDLMVKDEKTGTCCRADHLLKDFCNEKIQKDLSISAEKAAELKHVLAILDDLSAEELGAKIKEYGITAPDTKNPLSDPYPFNLMFQTSIGPSGLSPGYMRPETAQGIFVNFKDLYYYNGNKLPFAAAQIGQAFRNEISPRQGLLRVREFTLAEIEHFVDPEYKSHPKYSEVADLEFLMFPRELQISAQSAKKIQLGEAVSKGIVNNETLGYFIGRVYLFLTRLGIDKDRLRFRQHLANEMAHYAADCWDAEIESSYGWIECVGIADRSAYDLHAHSEKSGVPLVAHEKFSEPKEVEKLVIAPVKKELGLAFKGNQKKVVEALEAMNEKEALDMKASLETKGEVEFYVCTLGEKVTIKKNMVSISKEKKKEHQRTFTPSVIEPSFGIGRIIYCLYEHSFYMRPSKAGDEQLNVFRFPPLVAPIKCTVFPLVQNQQYEDVAKIISKTLTAAGISHKIDITGTSIGKRYARTDELGVPFAITVDSISSVTIRERDSKDQIRVDVEEAAPVVKSVTDGHKTWADVWANFPHHSSGSVED >Potri.004G222000.1.v4.1 pep chromosome:Pop_tri_v4:4:22679020:22680519:-1 gene:Potri.004G222000.v4.1 transcript:Potri.004G222000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G222000.v4.1 MRRNLLLSLIQKRFLQTSSSSFTVQFLVNSCGLPLQSALSVSKKFQIDENNLHKPQSVIQFLKSNDFKDTHIAKTIEKWPAVLHSRTEDTLKPKFDFFIKNGFAGQLLPQLIVSNPDVLRRHLGSHIKPFFEFLKPFYASNEEVVEAIMRAPWLLSIPLNGDMQLNTDFLIKEGVSIDRIAKLMQWQPRVMGQKHDKMVYAVAATKKLGVQPGDSMFVRVLAVLVIVSESTWRKRIEVMKSMGWSEGEVLCAFKRFPPLLTCSEEKIRGAMDFFFNTMELGRQSLITYPYFIGFSIDKRVRPRYNVMKVLESRKLIEGDWNIATPLTISEKKFLLNYVTKYADKAPDLLQIYGGTDKSKRTHSL >Potri.019G014354.1.v4.1 pep chromosome:Pop_tri_v4:19:2217232:2223864:1 gene:Potri.019G014354.v4.1 transcript:Potri.019G014354.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014354.v4.1 MEGFQPSFMEEVDNMTWDQLGFQSEEDTFNMSELLSLPPEVPVNQSSISCNDQGGQNNVINDSIQQTTPFPTSFPETVMEGFPPSSMDDLESLFLSPAPEVPVNQGSISCNDQGGHKNVINDSIQQTTQFPTSFPETMTGHGYMTPNATTSQHGEFNQPGPSSLAPWYRPNPNLFDPQCANPLRDQQLTNYQLPMSNQVPAMLPGPQPPRNQWHQYSNIHQPHTRTSRRPIKNYLAWKERISGILFFDGEWSLFKESPPSITVTRNPNWSTEILWSETGYVKGKILSPLKRPA >Potri.005G176100.3.v4.1 pep chromosome:Pop_tri_v4:5:18216999:18220201:1 gene:Potri.005G176100.v4.1 transcript:Potri.005G176100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G176100.v4.1 MGDQTSAGKLTVVFNKMTPYILMVCVQFGSAGNYILSMISLNRGMNRYVLIVYRNGVAALVLAPFALLLERKTRPKITWPIFLRIMALGFLEPILDQGFSYLGMQYTSATFTSAIMNAVPSVTFVIALIFRLERVRIKEIRSQAKVVGTLVTLAGALLMTVYKGPVIGLPWSQKTSQHGSTAASSDKHWVTGTLLLLVGCVSWSAFYVLQMETLKKYPAELSLASLICLAGSMQSLAIALVVAHHPSSWAVGWDARLFTPLYTGIVASGITYYVQGLVMKTRGPVFVTAFNPLCMIIVAALGSLILAEKLHLGSVLGGIIIAIGLYSVVWGKRKDYTSPEELSTTAAKGNQELPIATTNIATT >Potri.001G395400.1.v4.1 pep chromosome:Pop_tri_v4:1:41955906:41957832:1 gene:Potri.001G395400.v4.1 transcript:Potri.001G395400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G395400.v4.1 MAHFDQSENYWLPSSIKGARAETKKTYPTLNLSLFFTLAISMASPNSVKILDICEVAAAYDSTKSATETILSPTFFELSGLRFPPTECLFFFKLTDSNPTFFHSVIFPSLKKSLSNALLHFLPIVGSLTWPPESSRPIFVYHPNNDSVKVTLAECNGDFDRLIGNGIHEAVESHPYAPQFVATETRSPLVVLQVTLFPNKGFCIGMATHHAIFDGKSVSMFLRAWAYTCKYIVEKGEAPRILPAEITPSFEWKSIQDSKGLEEAYINLWATMGKRLESGSDSNPKSVKPLTKLEVQPNLLRATFHLSSEAIKKLRESVLRYHPEATDPTKRLHLSTYLLACSYVLICLVKARGGDADREVYFAWSVDCRSRLDPPLPPNHFGDTVVARHIVSKAGDFLQENGLAIIAEKLSASINGLDKGLLEGSSERFEMLLGLGAEVRLISAAGATGLKFYNTDFGWGNVEKVELTSIDRTGAFSVLDIGNGSDRRIEIGVALKRPEMESFASFFSNGVEVMSRL >Potri.008G017600.3.v4.1 pep chromosome:Pop_tri_v4:8:882304:885692:1 gene:Potri.008G017600.v4.1 transcript:Potri.008G017600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017600.v4.1 MRAGICSVQQTLTPEAVSLVKQAVSLARRRGHAQVTPLHVASTMLASSTGLLRRACLQAHSHPLQCKALELCFNVALNRLPASTSSALLGPHSSYPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKIEIEQLIISILDDPSVSRVMREAGFSSTQVKNKVEQAVSLEICPQSSVTVSSQSKEITKPQVLSASVPQPLPLSQFGIIHGKPLDQVRNDDVMSVLNALVRKKRNTVITGECLATAESVVRGVMDKVERGEASGDLRSVRFVSLPLFSLKSLSKEKIEQKLVELRCIVKSYMSNGVVLYLGDLKWISDFWSSYGEQRRSYYCTVDHIIIEIRRLVHGFSETGRLWLMGIATFQTYMKCKAGHPSLETMWELYPVTIPIGSLSLSLKLDSDSQSHQSRSKVSMNGSSWPLLECGVDNHSTCWTDNSVKFNRESQSLAGRTQNKESTTGITISTGSSLPLWLQQCKEETERNTTNDKEYLSNKGSLLFGSVHKQSYYPEKTIKFASSPPSPNSVSSHERNTDSQQTHLSWPVIFEHKQLEKQNQIWISECSNEGYENSLRNGPKPDLLSNPNSSPNSASSSEAMDDMEGVQSFKEFNDYNLKNLRSGLEKKVPWQKDIIPEIATTILECRSGMRKRKGKLNHIENKAETWLFFLGVDFEGKEKIARELARLVFGSQSNFVSIGLSNFSSSRADSTEESKNKRARDELGCSYLERLGLALNENSHRVFFMEDVDGVDNCSQKGIKQAIENGSVTLPDGENVPLKDAIIVFSCESFSSVSRACSPPRRQKTSDHGDKEDEGGMEDKSPVLSLDLNISFEGDNGDEYSLAENGILESVDRQVIFKIQELMR >Potri.008G017600.1.v4.1 pep chromosome:Pop_tri_v4:8:882201:885790:1 gene:Potri.008G017600.v4.1 transcript:Potri.008G017600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G017600.v4.1 MRAGICSVQQTLTPEAVSLVKQAVSLARRRGHAQVTPLHVASTMLASSTGLLRRACLQAHSHPLQCKALELCFNVALNRLPASTSSALLGPHSSYPSLSNALVAAFKRAQAHQRRGSIENQQQPILALKIEIEQLIISILDDPSVSRVMREAGFSSTQVKNKVEQAVSLEICPQSSVTVSSQSKEITKPQVLSASVPQPLPLSQFGIIHGKPLDQVRNDDVMSVLNALVRKKRNTVITGECLATAESVVRGVMDKVERGEASGDLRSVRFVSLPLFSLKSLSKEKIEQKLVELRCIVKSYMSNGVVLYLGDLKWISDFWSSYGEQRRSYYCTVDHIIIEIRRLVHGFSETGRLWLMGIATFQTYMKCKAGHPSLETMWELYPVTIPIGSLSLSLKLDSDSQSHQSRSKVSMNGSSWPLLECGVDNHSTCWTDNSVKFNRESQSLAGRTQNKESTTGITISTGSSLPLWLQQCKEETERNTTNDKQEYLSNKGSLLFGSVHKQSYYPEKTIKFASSPPSPNSVSSHERNTDSQQTHLSWPVIFEHKQLEKQNQIWISECSNEGYENSLRNGPKPDLLSNPNSSPNSASSSEAMDDMEGVQSFKEFNDYNLKNLRSGLEKKVPWQKDIIPEIATTILECRSGMRKRKGKLNHIENKAETWLFFLGVDFEGKEKIARELARLVFGSQSNFVSIGLSNFSSSRADSTEESKNKRARDELGCSYLERLGLALNENSHRVFFMEDVDGVDNCSQKGIKQAIENGSVTLPDGENVPLKDAIIVFSCESFSSVSRACSPPRRQKTSDHGDKEDEGGMEDKSPVLSLDLNISFEGDNGDEYSLAENGILESVDRQVIFKIQELMR >Potri.010G086700.2.v4.1 pep chromosome:Pop_tri_v4:10:11261969:11265143:-1 gene:Potri.010G086700.v4.1 transcript:Potri.010G086700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G086700.v4.1 MSDPLYSPSNKPHDHGPRTAFGLIFGPDYGSTSCQQNEQQFMENDIPHESNGHVHLLDEKNNFDHQKEEFVDDDVHHERNRGGHLIDEHNLSGQQNEQFMDSDTPNKSDGSRTPIDVNNSLRLVMPGETGEGLPYAPIDWPNPGDNWEWRVGRRVNSSGYFQDRFIYPPKSIHGKRKKMFASKPALESFIRTKFPSADVDAFFASFTWKIPAKVQSPKEVKPAPLPLENPPEDGTLKVQEGNVENPRYGRRQRKQILPELTEEAEEKKKKTPRSSQRKRKQDAKHDTPTSASASKRKATRSSKRSVFHAAGGEIGITETEPAVNVIPEGFDNYLSSLEDILTQPHPETQVSYSAGSDTPLTESDMAKDRSKLCSLLVMDFPTLVSSRNISKLTSLASKLLKDPTLSAEQLVKLKLIEEIPSFSEVFVESRQTIERVNNLFGILEANKAKVNSLRNEYNELKERADQLQSQVDSNLLTVQEIDNQIFQLQTWRGELVSTIENNKAAKVEVASAQGMVANSIPTVVRDIQVANSKISEWELKRTNAEKREAEILEKFAPLKGFSL >Potri.013G065401.1.v4.1 pep chromosome:Pop_tri_v4:13:4842123:4843045:-1 gene:Potri.013G065401.v4.1 transcript:Potri.013G065401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G065401.v4.1 MRSTSKRRKGDSRALDLGFDFSDEIKALIGEDGDKDFKLRIRKKLYQTDTSAHHDRFTMPCRQINECESLLIGDEKTTICQGDGIGVTLVELGLGDEKDSTFTSQLRLKQWNMKNSSSYALRSSWNDVMVRNVGALHQDDYVQIYSFRRNEELWFVLIKESDHEEGRTDGVFGRTSTQGE >Potri.018G082100.1.v4.1 pep chromosome:Pop_tri_v4:18:9985299:9990518:-1 gene:Potri.018G082100.v4.1 transcript:Potri.018G082100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G082100.v4.1 MGDEKSAIVMTSRDRDRDRELLIPVADTPDLDIASKPSSSSSSSSSSSHHSGRETFCKFVRSWASKKFMTGCVILFPIAITFYITWWFIHFVDGFFSPIYAQLGIDIFGLGFITSLTFIFLVGVFMSSWLGASVLSLGEWFIKRMPFVRHIYNASKQISAAISPDQNTQAFKEVAIIRHPRIGEYAFGFITSTVTLQNYSGEEELCCVYVPTNHLYIGDIFLVTTKDVIRPNLSVREGIEIVVSGGMSMPQVLSTLDSSISVDRS >Potri.001G153100.1.v4.1 pep chromosome:Pop_tri_v4:1:12821035:12822780:-1 gene:Potri.001G153100.v4.1 transcript:Potri.001G153100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G153100.v4.1 MAMQISSTVPAQNLDLFQARRASFKCQQSTLKSASTVTHSLKSVGTELTKKHLANLEKLLQKQVPEPTNQTVSQPTHGVSNKGSLANNRRKNLLEDLNLARIWSETRAAEEMSPRHLNRLQRLLSKTEEYSPRNHLGSRWREYHGSNDWDGLLDPLDENLRREVVRYGEFVQAAYHAFHSNPAMSAGKPPSPQQVSLPDRSYRVTKSLYGTSSVGLPKWVDDVAPDLGWMTQQSSWIGYVAVCEDRREIQRMGRRDIVIALRGTSTCLEWAENMRAQLVEMPGDHDPTEIQPKVECGFLSLYKTCGANVPSLAESVVEEVKRLIELYKGEDLSITVTGHSLGAALALLVGDELSTCAPQVPPIAVFSFGGPRVGNKGFANQINAKKVKVLRIVNNQDLITRVPGIPMVEELNDNMPLAYAHVGTELRVDTKMSPYLKPNADVACCHDLEAYLHLVDGFMASNCPFRANAKRSLVKLLNEQGSNVKRLYTSKAQALSLNFERQGLAASGCLPSPS >Potri.011G055100.2.v4.1 pep chromosome:Pop_tri_v4:11:2342666:2343791:1 gene:Potri.011G055100.v4.1 transcript:Potri.011G055100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G055100.v4.1 MSWDVPPPSVKLIINYFIEHVGYKVRQAIQKRCHLVRAVDVRLCFRGGEMGKGPRIRKLRKIKDKESDHGRHMKGFNKLKVREPMSQVVENDADTVSQRKDDDNLDEAVHTKYFDMPPLTVDEAIVRLVNVDHAFYGFRHAERAR >Potri.001G230500.10.v4.1 pep chromosome:Pop_tri_v4:1:24965611:24971524:-1 gene:Potri.001G230500.v4.1 transcript:Potri.001G230500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230500.v4.1 MVFFRSVPLLSRLRSHAQHQVQKQSNLSNSFRWIQTQTISSDLDLHSQLKELIPEQQERLKKLKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGMSIPECQKLLPAAKPGGEPLPEGLLWLLLTGKVPTKEQVGALSKELRDRASVPDYVFKAIDALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKYWEPTYEDSLSLIARVPIVASYIYRRIYKDGKVIPMNDSLDYGGNFSHMLGFDSPEMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPVLTQLGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMELLENHCKKAPAN >Potri.001G230500.4.v4.1 pep chromosome:Pop_tri_v4:1:24965625:24971524:-1 gene:Potri.001G230500.v4.1 transcript:Potri.001G230500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230500.v4.1 MVFFRSVPLLSRLRSHAHQVQKQSNLSNSFRWIQTQTISSDLDLHSQLKELIPEQQERLKKLKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGMSIPECQKLLPAAKPGGEPLPEGLLWLLLTGKVPTKEQVGALSKELRDRASVPDYVFKAIDALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKYWEPTYEDSLSLIARVPIVASYIYRRIYKDGKVIPMNDSLDYGGNFSHMLGFDSPEMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPVLTQLGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMELLENHCKKAPAN >Potri.001G230500.11.v4.1 pep chromosome:Pop_tri_v4:1:24965625:24971373:-1 gene:Potri.001G230500.v4.1 transcript:Potri.001G230500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230500.v4.1 MVFFRSVPLLSRLRSHAQHQVQKQSNLSNSFRWIQTQTISSDLDLHSQLKELIPEQQERLKKLKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGMSIPECQKLLPAAKPGGEPLPEGLLWLLLTGKVPTKEQVGALSKELRDRASVPDYVFKAIDALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKYWEPTYEDSLSLIARVPIVASYIYRRIYKDGKVIPMNDSLDYGGNFSHMLGFDSPEMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPVLTQLGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMELLENHCKKAPAN >Potri.001G230500.8.v4.1 pep chromosome:Pop_tri_v4:1:24965611:24972002:-1 gene:Potri.001G230500.v4.1 transcript:Potri.001G230500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230500.v4.1 MVFFRSVPLLSRLRSHAHQVQKQSNLSNSFRWIQTQTISSDLDLHSQLKELIPEQQERLKKLKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGMSIPECQKLLPAAKPGGEPLPEGLLWLLLTGKVPTKEQVGALSKELRDRASVPDYVFKAIDALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKYWEPTYEDSLSLIARVPIVASYIYRRIYKDGKVIPMNDSLDYGGNFSHMLGFDSPEMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPVLTQLGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMELLENHCKKAPAN >Potri.001G230500.2.v4.1 pep chromosome:Pop_tri_v4:1:24965591:24972011:-1 gene:Potri.001G230500.v4.1 transcript:Potri.001G230500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230500.v4.1 MVFFRSVPLLSRLRSHAQHQVQKQSNLSNSFRWIQTQTISSDLDLHSQLKELIPEQQERLKKLKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGMSIPECQKLLPAAKPGGEPLPEGLLWLLLTGKVPTKEQVGALSKELRDRASVPDYVFKAIDALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKYWEPTYEDSLSLIARVPIVASYIYRRIYKDGKVIPMNDSLDYGGNFSHMLGFDSPEMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPVLTQLGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMELLENHCKKAPAN >Potri.001G230500.9.v4.1 pep chromosome:Pop_tri_v4:1:24965593:24971548:-1 gene:Potri.001G230500.v4.1 transcript:Potri.001G230500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G230500.v4.1 MVFFRSVPLLSRLRSHAQHQVQKQSNLSNSFRWIQTQTISSDLDLHSQLKELIPEQQERLKKLKSEYGKVQLGNITVDMVLGGMRGMTGLLWETSLLDPDEGIRFRGMSIPECQKLLPAAKPGGEPLPEGLLWLLLTGKVPTKEQVGALSKELRDRASVPDYVFKAIDALPVTAHPMTQFATGVMALQVQSEFQKAYEKGIHKSKYWEPTYEDSLSLIARVPIVASYIYRRIYKDGKVIPMNDSLDYGGNFSHMLGFDSPEMQELMRLYVTIHSDHEGGNVSAHTGHLVASALSDPYLSFAAALNGLAGPLHGLANQEVLLWIKSVVEECGENITTEQLKDYVWKTLNSGKVVPGFGHGVLRKTDPRYTCQREFALKHLPDDPLFQLVSKLYEVVPPVLTQLGKVKNPWPNVDAHSGVLLNYYGLTEARYYTVLFGVSRSIGICSQLIWDRALGLPLERPKSVTMELLENHCKKAPAN >Potri.010G025000.1.v4.1 pep chromosome:Pop_tri_v4:10:3655912:3657784:-1 gene:Potri.010G025000.v4.1 transcript:Potri.010G025000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G025000.v4.1 MDGHDADDAKKSTADMTAFVQNLLQQMQSRFQTMSDSIVTKIDEMGNRIDELEQSINDLRTEMGVEGSPSPSVPPKVKEEPKPGNDSA >Potri.001G094400.1.v4.1 pep chromosome:Pop_tri_v4:1:7471730:7476852:-1 gene:Potri.001G094400.v4.1 transcript:Potri.001G094400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G094400.v4.1 MASNRDGDPSLGYLTRKDTEVKLPRPTRVKNKTPAPIQITAEQILREARERQEAEIRPPKQKITDSTELADYRLRKRKEFEDLIRRVRWNISVWIKYAQWEESQKDFNRARSVWERALEVDYRNHTLWLKYAEVEMKNKFINHARNVWDRAVTLLPRIDQLWYKYIHMEEMLGNVAGARQIFERWMGWMPDQQGWLSYIKFELRYNEVERARGIFERFVQCHPKVSAWIRYAKFEMKNGEVARARNVYERAVEKLADDEEAEMLFVAFAEFEERCKETERARCIYKFALDHIPKGRAEDLYRKFVAFEKQYGDKEGIEDAIVGKRRFQYEDEVRKNPLNYDAWFDYIRLEESVGNKERIREVYERAIANVPPAQEKRYWQRYIYLWINYALYEELDAEDIEQTREVYRECLNLIPHEIFSFAKIWLLAAQFEIRQLNLKGARQVLGNAIGKAPKDKIFKKYIEIELQLGNIDRCRKLYEKYLEWSPENCYAWSKYAELERSLSETERARSIFELAIAQPALDMPELLWKAYIDFEISEGEYDRTRELYKRLLDRTKHLKVWISCAKFEASAMEEQKLCVQNARRVFEKALNYFRMSAPELKEERAMLLDEWLDMEKSFGQLGDVSLVEPKLPKKLKKRKQIASEDGLAGYEEYIDYVFPEEAHAHNLKILEKAREWKRQKLASGAED >Potri.014G185516.1.v4.1 pep chromosome:Pop_tri_v4:14:15366085:15367205:1 gene:Potri.014G185516.v4.1 transcript:Potri.014G185516.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185516.v4.1 MGAAGRQFGAPARSLPTRTARLPLMRLCVHATTIPTSEPRPREQVETPERDRARTAGREGSRRDKQQAGREGSRRDKRQAAGGNDGDNHAGGCLPRLGRRRPGLGSRGVTPRGRGLRGEPTHGRAHGNLMPRPRQRRALLAIPELGGPPQPRRPGLQLASTGSGHRSRTRRISKGQGTGRGGKRRGQSCGGLSAPARKTEARPRQRAHHATSVELSSQSPSSAVRTSRVSQASDLRAGAAATAAVTSRQADGRAAAASTLARARQMNATPTPRISSPNAPDGLERVPKTVAGIGSSPAGKRVVDAGNTSGHEAPHFSPSVAAALISPAAGLWAPGQGAPGPVRGHPPKEQ >Potri.014G133900.1.v4.1 pep chromosome:Pop_tri_v4:14:8980022:8981149:-1 gene:Potri.014G133900.v4.1 transcript:Potri.014G133900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GRX16 MDVVNVMIQEKPVVIFSKSSCCMSHSIESLMRGFGANPTIYQLDQIPNGQQIERALMQLGFRQSVPAVFIGQQLIGNERQVMSLHIQNQLVPLLIQAGAIWI >Potri.004G035900.2.v4.1 pep chromosome:Pop_tri_v4:4:2819780:2823934:-1 gene:Potri.004G035900.v4.1 transcript:Potri.004G035900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G035900.v4.1 MMDFSSMDRGQITLLGCGFCVLLSLHFTVQLLSQHIFYWKNPKEQKAIIIIILMAPIYAADSYVGLLDIQGSKAFFTFLDSVKECYEALVIAKFLALMYSYLKISISKNIVPDEVKGREIHHSFPMTLFVPRTARLDHRNLVLLKHWTWQFVIIRPICSILMITLQMLGIYPSWLSWTFTIILNISVSVALYSLVLFYHVFAKELAPHKPLAKFLCIKGVVFFCFWQGIVLDMLVSAGIIRSHHFWLDVEHIEEAFQNVLVILEMVVFSVLQQYAYHVAPYSGEVETKMLKKRE >Potri.004G102800.3.v4.1 pep chromosome:Pop_tri_v4:4:9017888:9018971:-1 gene:Potri.004G102800.v4.1 transcript:Potri.004G102800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G102800.v4.1 MEKAAKIIRRSIHTLLKDFHYFTSIPAILLLPFSSSILLSLSFYQPCSLTRSIFQGRRVSLLVLDLPQMIFVLVFSLPLALSSLVLAKASIIQSLNHHKPVFSLSCSSPVSLCYKPLVLTCICNIILTVTITTTTLSFLFEASSFFKGLLILSSIRPFFDLAAGIVFYMVLTNTMATCNLALVVAGIDNCTIYKSLRKACLLRKGTDSMALLLALPINLGLVATEALFRYRVVRAYDPVFGRFSMPMLLEGLLISYLFSLLVVLDTISSYLFIRNCDPKIGREGAEICVQIEPVKDDSGISDGSQGLEMVFVD >Potri.006G185300.1.v4.1 pep chromosome:Pop_tri_v4:6:19156098:19158537:1 gene:Potri.006G185300.v4.1 transcript:Potri.006G185300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G185300.v4.1 MKIPKDRVRFNVGGRVFETTSTTLANAGRNSFFGALFNENWTLKQPNNDSFSHCEFFIDRNPDCFSVLLDLLRTGDLNIPPNIPERQLYREASFYGLLDHVRSAKWGQFDGNRLRHSRSVTGQAPGDGTAIRAGPDGGCCVAHGSVVHVYDWIMEEYPPLNLDYQRVNDVGWVDGESIIISACEKLGRGDGGMGLFSKSTGELRYKFQVCHENQVKSFTAGALSTSSDYKIFSSCKGRSNEYGIGVWDQVTGKQIDFFYESPGWSLGDADKLQWLNGSNCLLVATLFPRKDNCYISMLDFRDKRMVWSWSDFGAPITVDEKRVRDAIAMEDNNAICVVNEYEDLGFMDLRMNGGSVRWSSRSRMMKGKLSDEPCYPKLALHEGQLFSSMDDCISVFCGPDWVLTSRLRQSYGGSICDFSIGGDRLFALHSEENVFDVWETPPPPIS >Potri.003G030800.3.v4.1 pep chromosome:Pop_tri_v4:3:3445770:3454885:1 gene:Potri.003G030800.v4.1 transcript:Potri.003G030800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G030800.v4.1 MSCLYEINRWWRSHVNLWTLYLLFLGQMVSFVLAMGSITSSLVASLGVDAPLTQSSFNYLALALIYGSILLYRRQKLQVSWYWYLLLGFVDVQGNYLVNKAYQFSSITSVTLLDCWTVAWVIALTWFFLGTRYTLWQLLGAAVCVLGLGLVLLSDAGVGGGGGSKPVLGDFLVIAGTIFFALSNVGEEFCVKKKGRVEVVAMIGVYGFLVSAVELSIVELKSLEAVAWSKDIVFAIAGYTLSMFLFYSLAPFVLKLSGATMFNLSILTADMWAVVFRVFFYHQQVDWLYFLSFAIVAIGLIIYSLTEEGERGSSPAPPDGDSNAEYQVLHENAEVGL >Potri.003G126700.4.v4.1 pep chromosome:Pop_tri_v4:3:14621849:14627322:1 gene:Potri.003G126700.v4.1 transcript:Potri.003G126700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126700.v4.1 MGCECSKLSKCCWSSDYNGSVPEDRNEVNEEKSELDDLPAFREYNIETLRMATSGFSVENIVSEHGEKAPNVVYKGKLENQRRVAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCGEGDERLLVAEFMPNDTLAKHLFHWETKPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNRRDGKSYSTNLAFTPPEYLRTGRVIPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLASRCLQYEPRERPNSKSLVAALIPLQKDTEVPSHELMGIQDGAAALPLSPLGEACLRMDLTAIHEILEKLGYKDDEGTATELSFQMWTNQKQETLNTKKGDVAFQHKDFIAATELYSQFIDVGNMVSPTVHARRSLSYLMTNLPQEALNDALQAQAISPIWHIASYLQAVALFVLGRENEAQAALKEGSTLENKRSTNA >Potri.003G126700.1.v4.1 pep chromosome:Pop_tri_v4:3:14621737:14627643:1 gene:Potri.003G126700.v4.1 transcript:Potri.003G126700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126700.v4.1 MGCECSKLSKCCWSSDYNGSVPEDRNEVNEEKSELDDLPAFREYNIETLRMATSGFSVENIVSEHGEKAPNVVYKGKLENQRRVAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCGEGDERLLVAEFMPNDTLAKHLFHWETKPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNRRDGKSYSTNLAFTPPEYLRTGRVIPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLASRCLQYEPRERPNSKSLVAALIPLQKDTEVPSHELMGIQDGAAALPLSPLGEACLRMDLTAIHEILEKLGYKDDEGTATELSFQMWTNQKQETLNTKKGDVAFQHKDFIAATELYSQFIDVGNMVSPTVHARRSLSYLMTNLPQEALNDALQAQAISPIWHIASYLQAVALFVLGRENEAQAALKEGSTLENKRSTNA >Potri.003G126700.3.v4.1 pep chromosome:Pop_tri_v4:3:14621828:14627427:1 gene:Potri.003G126700.v4.1 transcript:Potri.003G126700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G126700.v4.1 MGCECSKLSKCCWSSDYNGSVPEDRNEVNEEKSELDDLPAFREYNIETLRMATSGFSVENIVSEHGEKAPNVVYKGKLENQRRVAVKRFNRSAWPDARQFLEEARAVGQLRNHRLANLLGCCGEGDERLLVAEFMPNDTLAKHLFHWETKPMKWAMRLRVALHLAQALEYCTSKGRALYHDLNAYRIVFDDEGNPRLSCFGLMKNRRDGKSYSTNLAFTPPEYLRTGRVIPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNIQMLTDSCLEGQFTNDDGTELVRLASRCLQYEPRERPNSKSLVAALIPLQKDTEVPSHELMGIQDGAAALPLSPLGEACLRMDLTAIHEILEKLGYKDDEGTATELSFQMWTNQKQETLNTKKGDVAFQHKDFIAATELYSQFIDVGNMVSPTVHARRSLSYLMTNLPQEALNDALQAQAISPIWHIASYLQAVALFVLGRENEAQAALKEGSTLENKRSTNA >Potri.009G065900.1.v4.1 pep chromosome:Pop_tri_v4:9:6638902:6642144:1 gene:Potri.009G065900.v4.1 transcript:Potri.009G065900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G065900.v4.1 MATISSLTTPLPTSTKLALSRNQSKPSFQILKPANPFNHFPKFTHLHKILNTKAPQRLFAVAEETAPAAAVDPSSEAARRLYVGNIPRTLTNEELTKIVEEHGAVEKAEVMYDKYSGRSRRFAFVTMKTAEDANAAIEKLNGTEIGGREIKVNITEKPLQSLDLPSLQSDESQFVDSPYKVYVGNLAKTVATDTLKNFFSKKGNVLSAKVSRVPGTSKSSGFGFVTFSSGEDVEVAISSFNNSLLEGQPIRVNKA >Potri.006G233700.2.v4.1 pep chromosome:Pop_tri_v4:6:23626287:23628782:1 gene:Potri.006G233700.v4.1 transcript:Potri.006G233700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233700.v4.1 MYCHLVLFLQSEDGDIIACVDIYKQPAFDHPALKNHTIQMQPSFIPSTETPNGERENSRPVVSQLWKKRGSCPKGTIPIRRIRRRELLRTNGRKSPEHLKGTKKIATQDRFMHLNNTKGSILYPTPENRSTAILLTYGYNYVGASGEINVWNPHVERLPEFTTAQIWLKSGAVNNFESVEAGWTVHPAEYSDARTRFFVYWTVDGYKKTGCFDLTCYGFVQTSTEIALGGAVEPGSSSFQQQYVLPINIFMDPTTTNWWLVFHNIAVGYWPGSLFSLLKHSATSVEWGGQVYSVNVRKTPHTKTAMGSGYDAEELYGFACFIGQPRILDYSKSYKYPTFVAVWKDEYNCYSAVNYKAGNANDEATFFFGGPGQSYRCP >Potri.006G233700.5.v4.1 pep chromosome:Pop_tri_v4:6:23626733:23628782:1 gene:Potri.006G233700.v4.1 transcript:Potri.006G233700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G233700.v4.1 MQMQPSFIPSTETPNGERENSRPVVSQLWKKRGSCPKGTIPIRRIRRRELLRTNGRKSPEHLKGTKKIATQDRFMHLNNTKGSILYPTPENRSTAILLTYGYNYVGASGEINVWNPHVERLPEFTTAQIWLKSGAVNNFESVEAGWTVHPAEYSDARTRFFVYWTVDGYKKTGCFDLTCYGFVQTSTEIALGGAVEPGSSSFQQQYVLPINIFMDPTTTNWWLVFHNIAVGYWPGSLFSLLKHSATSVEWGGQVYSVNVRKTPHTKTAMGSGYDAEELYGFACFIGQPRILDYSKSYKYPTFVAVWKDEYNCYSAVNYKAGNANDEATFFFGGPGQSYRCP >Potri.016G016100.1.v4.1 pep chromosome:Pop_tri_v4:16:839474:842322:1 gene:Potri.016G016100.v4.1 transcript:Potri.016G016100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G016100.v4.1 MKKAEVVLIPLPAMGHIVALVEVAKLLVQRDDRLSTTVFVMHPTLDPSTTKYTETLAVSTLPDRMRVINLPNLESITSATKGRHSWLTCLIEGQKSHVKEYVSKIRTQYELNPDSPRLAGFIFDTFATGMKDVPNEFGVPWYVFSASGAAFIGSMMHLTALHDEQGVDLTELKNSEDELEIPCLANPIPAKLVPSSVFEKDSLTIFLEHARILTEARGILINTFLEFESYAINSLSDGKTPPVYPVGPIVKHVGGGGDLRSDESNNYRDIMEWLDDQPPSSVMFLCFGSWGSFKEKQVKEIAIALEHSGHRFLWSLRKPSQNGKKQSPSDYEDFQGILPEGFLDRTAMIGKVIGWAPQVEILSHSAVGGFASHCGWNSTLESVRFGVPVATWPLYAEQQFNAFQMVIELGLAVEIKMEYWKDFYGDTEIIVSSDDILKAIKSVMEEDSEVRKKVKEMSRISEKTLVDGGSSFSSLGRLIEDMTENMS >Potri.001G423800.1.v4.1 pep chromosome:Pop_tri_v4:1:45106496:45108634:1 gene:Potri.001G423800.v4.1 transcript:Potri.001G423800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G423800.v4.1 MAVKFLSFLHKTLTQNPKSKSLYVSYFLNHTLSQDQYDPPFSLTKKPKPKNTTEPKETPDPNNDPKLPVKSDLAFDFRYSYSESNPAIEPIGYREPKRFSPFGPGRLDRKWTGTAAPTQLETDMDKLMEERNRVLGDPLTEEEVAELVERYRHSDCSRQINLGKGGVTHNMLDDIHNHWKRAEAVRIKCLGVPTLDMDNVCFHLEDKSGGKVVYRNINILLLYRGRNYDPENRPVIPLMLWKPYAPIYPKLVKNVADGLTFEETKEMRNRGLNSLPLMKLTRNGVYVNVVDRVRDAFETEEVVRLDCKHVGMSDCKKIGVKLKDLVPCVPILFKDEQIILWRGKRNQELESSTA >Potri.002G221100.4.v4.1 pep chromosome:Pop_tri_v4:2:20526407:20536725:-1 gene:Potri.002G221100.v4.1 transcript:Potri.002G221100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221100.v4.1 MDFSESTKVVYNRIQAIEPEFVGKIIGYILIQNHGEREMIRLAFSPDNLIYAMICKAKSDLGLNKIPVLNLISPSQVNPSPVSDVPQQFIPNSTVSSHPIFSPVKVRTAGSFRDAQLTGDLQQTHNLDFAPPGYSEMVPEDYRIQNQMQFLALDDPIEFVNSDFSSSYIYPEPALSPRTSRRSPSLPEFPVKICHYFIKGFCKHGNNCRYFHGHPMPESFSQIFSSNLNEIANEEFVVSPGSFEKLELELTELLKSRRGVPVSIASLPMMYYEMYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDFPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSNFGPVQDVRIPCQQKRMFGFVTFVFAETVKKILAKGNPHHICGARVLVKPYREKSRLIDR >Potri.002G221100.1.v4.1 pep chromosome:Pop_tri_v4:2:20526426:20536725:-1 gene:Potri.002G221100.v4.1 transcript:Potri.002G221100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221100.v4.1 MDFSESTKVVYNRIQAIEPEFVGKIIGYILIQNHGEREMIRLAFSPDNLIYAMICKAKSDLGLNKIPVLNLISPSQVNPSPVSDVPQQFIPNSTVSSHPIFSPVKVRTAGSFRDAQLTGDLQQTHNLDFAPPGYSEMVPEDYRIQNQMQFLALDDPIEFVNSDFSSSYIYPEPALSPRTSRRSPSLPEFPVKICHYFIKGFCKHGNNCRYFHGHPMPESFSQIFSSNLNEIANEEFVVSPGSFEKLELELTELLKSRRGVPVSIASLPMMYYEMYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDFPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSNFGPVQDVRIPCQQKRMFGFVTFVFAETVKKILAKGNPHHICGARVLVKPYREKSRLIDRKYSEKMQHPIYHSHHFMDGDSELHSALRVCDNSKSLRKQFMEEHEQEIELERRRLSEFHFSPKPLNRHSFLGHSMDELKLSGEQAEFSSAEHFNYWFDVLNNGSTSEEKHRHTRTSCSDQDSNQGVNLPESPFASAIGSGISTVI >Potri.002G221100.2.v4.1 pep chromosome:Pop_tri_v4:2:20526401:20536717:-1 gene:Potri.002G221100.v4.1 transcript:Potri.002G221100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221100.v4.1 MDFSESTKVVYNRIQAIEPEFVGKIIGYILIQNHGEREMIRLAFSPDNLIYAMICKAKSDLGLNKIPVLNLISPSQVNPSPVSDVPQQFIPNSTVSSHPIFSPVKVRTAGSFRDAQLTGDLQQTHNLDFAPPGYSEMVPEDYRIQNQMQFLALDDPIEFVNSDFSSSYIYPEPALSPRTSRRSPSLPEFPVKICHYFIKGFCKHGNNCRYFHGHPMPESFSQIFSSNLNEIANEEFVVSPGSFEKLELELTELLKSRRGVPVSIASLPMMYYEMYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDFPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSNFGPVQDVRIPCQQKRMFGFVTFVFAETVKKILAKGNPHHICGARVLVKPYREKSRLIDSRKYSEKMQHPIYHSHHFMDGDSELHSALRVCDNSKSLRKQFMEEHEQEIELERRRLSEFHFSPKPLNRHSFLGHSMDELKLSGEQAEFSSAEHFNYWFDVLNNGSTSEEKHRHTRTSCSDQDSNQGVNLPESPFASAIGSGISTVI >Potri.002G221100.3.v4.1 pep chromosome:Pop_tri_v4:2:20526379:20536700:-1 gene:Potri.002G221100.v4.1 transcript:Potri.002G221100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G221100.v4.1 MDFSESTKVVYNRIQAIEPEFVGKIIGYILIQNHGEREMIRLAFSPDNLIYAMICKAKSDLGLNKIPVLNLISPSQVNPSPVSDVPQQFIPNSTVSSHPIFSPVKVRTAGSFRDAQLTGDLQQTHNLDFAPPGYSEMVPEDYRIQNQMQFLALDDPIEFVNSDFSSSYIYPEPALSPRTSRRSPSLPEFPVKICHYFIKGFCKHGNNCRYFHGHPMPESFSQIFSSNLNEIANEEFVVSPGSFEKLELELTELLKSRRGVPVSIASLPMMYYEMYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNSIRLIDRPHGQHSVILAEDFPKYLEYAGERNDPGGIVAGSRQIYLTFPAESTFTEQDVSNYFSNFGPVQDVRIPCQQKRMFGFVTFVFAETVKKILAKGNPHHICGARVLVKPYREKSRLIDRQVIIAGWFADPKWLKRF >Potri.018G120200.1.v4.1 pep chromosome:Pop_tri_v4:18:13217100:13222250:1 gene:Potri.018G120200.v4.1 transcript:Potri.018G120200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G120200.v4.1 MSCNNRSNPPLFFLLSFLFFFFIFTSSTATPSSLTSQFESFSVPDVVVGGRENDGVVVSWEIRRHLAEEENTASSLILAAKKTRRRDPLENFKFYTSGYNISNKHYWASVGFTAVPFFILALVWFVIFGLCLSFICLCYCCCPTEPYGYSRACYALSLIYLILFTIAAIAGCVVLYTGQGKFHSITTHTLEYVVNQANVTAKNLRHVSDYLAAAKNTGVESVFLPPSVQNDIDSIQKKINSSGTTLSSTTQDNSEGIQNVLDSMRLALIILAAVMLAVAFLGFLFSIFGMQCLVYFLVILGWVLVTGTFILCGVFLLLHNVVADTCISMDEWVQNPTAKTALDDIIPCVDNATAQETLRQTKETTYQLVNVVDYVVSNVSNRNFPPQAGDLYYNQSGPLMPVLCNPFNSDFTDRKCAAGEVDLSNATQVWKNYICQVSSSEICITPGRLTPSLYNQMESAVNLSYGLNRYGPFLVNLEDCTFVRETFTKISHSYCPDLRRYSQWIYIGLVIVSAAVMLSLIFWVIYARERRHRVYTKQFMSSLEGPGKAS >Potri.009G169300.1.v4.1 pep chromosome:Pop_tri_v4:9:12872916:12877271:-1 gene:Potri.009G169300.v4.1 transcript:Potri.009G169300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G169300.v4.1 METPFKNHHLLLLSVLLLILINHPSEGEAEDAAIISRFQQYLQINTAQPTPQYQQSADFLISQAKFIGLESQSIEFVQNKPLILLKWPGSDPTLPSILLNSHTDVVPVEHHKWTHHPFGAHVDSHGNIFARGSQDMKCVGMQYLEAIRRLKSSGFVPLRSVYLSFVPDEEIGGHDGAAKFADSDIFNSMNVGIVLDEGLASPDENYRTFYAERCPWWMVIKATGAPGHGAKLYDNSAMENLLKSIESVRRFRASQFDLVKAGLKAEGEVISVNMVFLKAGTPSPTGFVMNLQPSEAEAGFDIRVPPTADPESLERRIAEEWAPVSRNMTFQFKQQVSIHDKSVRPVVTKTDSSNPWWSLLEEAVRKANGKLGKPEIFPASTDARYFRQLGLPAIGFSPMANTPILLHDHNEFLNQDEYLKGIHIYEAIIKAYASYIEHTSDGSTRDEL >Potri.009G123700.1.v4.1 pep chromosome:Pop_tri_v4:9:10306468:10309806:-1 gene:Potri.009G123700.v4.1 transcript:Potri.009G123700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G123700.v4.1 MALCSRVVRSGVNSAIFFAKSRAINPSSSSSFGNRFDYRHISQLVRPNNNKRAFLVDTLALVRGLESQGVPSKQAEAITAAITEVLNDSLENVAYSFVSKAEMQKSEMIQDSNLSKFKSEVQSSQEHHFSLLQRETEKLRGDIDKMRSELRYEIDKVTAGQRLDLNLERGRIRDELANQNAETTNLTNKLDREIHALRAHLEAAKYDVIKYCIGTLVSICAVGIATVRILL >Potri.019G025700.4.v4.1 pep chromosome:Pop_tri_v4:19:3722752:3727466:1 gene:Potri.019G025700.v4.1 transcript:Potri.019G025700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025700.v4.1 MLLRSTKPLHHHLSATKLLLLRHLKTLPSTTTNNRDAYFSLIHNITNIIRKEVYPERTLNKLNLPITSELVFRVLRACSNSPSESLRFFNWARSYYSPTTIEYEELIITLVRNKKYASMWKLIAQVKDNLGDKFSVSSDTVCSIIEEYGKHGLIDQAVEVFNKCSRSLNCQHNVCVYNSLLFALCEVKMFHGAYALVRRMIRKGIVPDKRTYGVLVNGWCSSGKLREAKGFLEEMSKKGFNPPVRGRDLLIEGLLNAGYLESAKDMVRRMMKEGLVPDVNTFNSMVEAICNAGEVDFCVDMYHSVCKLGFCPDINSYKILIPAVSKVGRIDEAFRLLHNLIEDGHKPFPSLYAPIIKGMFRRGQFDDAFCFFSEMKVKGHPPNRPVYTMMITMCGRGGKHVEAANYLVEMTEIGLVPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRGS >Potri.019G025700.5.v4.1 pep chromosome:Pop_tri_v4:19:3722751:3726979:1 gene:Potri.019G025700.v4.1 transcript:Potri.019G025700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025700.v4.1 MLLRSTKPLHHHLSATKLLLLRHLKTLPSTTTNNRDAYFSLIHNITNIIRKEVYPERTLNKLNLPITSELVFRVLRACSNSPSESLRFFNWARSYYSPTTIEYEELIITLVRNKKYASMWKLIAQVKDNLGDKFSVSSDTVCSIIEEYGKHGLIDQAVEVFNKCSRSLNCQHNVCVYNSLLFALCEVKMFHGAYALVRRMIRKGIVPDKRTYGVLVNGWCSSGKLREAKGFLEEMSKKGFNPPVRGRDLLIEGLLNAGYLESAKDMVRRMMKEGLVPDVNTFNSMVEAICNAGEVDFCVDMYHSVCKLGFCPDINSYKILIPAVSKVGRIDEAFRLLHNLIEDGHKPFPSLYAPIIKGMFRRGQFDDAFCFFSEMKVKGHPPNRPVYTMMITMCGRGGKHVEAANYLVEMTEIGLVPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRGS >Potri.019G025700.6.v4.1 pep chromosome:Pop_tri_v4:19:3722751:3725390:1 gene:Potri.019G025700.v4.1 transcript:Potri.019G025700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025700.v4.1 MLLRSTKPLHHHLSATKLLLLRHLKTLPSTTTNNRDAYFSLIHNITNIIRKEVYPERTLNKLNLPITSELVFRVLRACSNSPSESLRFFNWARSYYSPTTIEYEELIITLVRNKKYASMWKLIAQVKDNLGDKFSVSSDTVCSIIEEYGKHGLIDQAVEVFNKCSRSLNCQHNVCVYNSLLFALCEVKMFHGAYALVRRMIRKGIVPDKRTYGVLVNGWCSSGKLREAKGFLEEMSKKGFNPPVRGRDLLIEGLLNAGYLESAKDMVRRMMKEGLVPDVNTFNSMVEAICNAGEVDFCVDMYHSVCKLGFCPDINSYKILIPAVSKVGRIDEAFRLLHNLIEDGHKPFPSLYAPIIKGMFRRGQFDDAFCFFSEMKVKGHPPNRPVYTMMITMCGRGGKHVEAANYLVEMTEIGLVPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRGS >Potri.019G025700.2.v4.1 pep chromosome:Pop_tri_v4:19:3722726:3727397:1 gene:Potri.019G025700.v4.1 transcript:Potri.019G025700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025700.v4.1 MLLRSTKPLHHHLSATKLLLLRHLKTLPSTTTNNRDAYFSLIHNITNIIRKEVYPERTLNKLNLPITSELVFRVLRACSNSPSESLRFFNWARSYYSPTTIEYEELIITLVRNKKYASMWKLIAQVKDNLGDKFSVSSDTVCSIIEEYGKHGLIDQAVEVFNKCSRSLNCQHNVCVYNSLLFALCEVKMFHGAYALVRRMIRKGIVPDKRTYGVLVNGWCSSGKLREAKGFLEEMSKKGFNPPVRGRDLLIEGLLNAGYLESAKDMVRRMMKEGLVPDVNTFNSMVEAICNAGEVDFCVDMYHSVCKLGFCPDINSYKILIPAVSKVGRIDEAFRLLHNLIEDGHKPFPSLYAPIIKGMFRRGQFDDAFCFFSEMKVKGHPPNRPVYTMMITMCGRGGKHVEAANYLVEMTEIGLVPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRGS >Potri.019G025700.3.v4.1 pep chromosome:Pop_tri_v4:19:3722752:3727609:1 gene:Potri.019G025700.v4.1 transcript:Potri.019G025700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G025700.v4.1 MLLRSTKPLHHHLSATKLLLLRHLKTLPSTTTNNRDAYFSLIHNITNIIRKEVYPERTLNKLNLPITSELVFRVLRACSNSPSESLRFFNWARSYYSPTTIEYEELIITLVRNKKYASMWKLIAQVKDNLGDKFSVSSDTVCSIIEEYGKHGLIDQAVEVFNKCSRSLNCQHNVCVYNSLLFALCEVKMFHGAYALVRRMIRKGIVPDKRTYGVLVNGWCSSGKLREAKGFLEEMSKKGFNPPVRGRDLLIEGLLNAGYLESAKDMVRRMMKEGLVPDVNTFNSMVEAICNAGEVDFCVDMYHSVCKLGFCPDINSYKILIPAVSKVGRIDEAFRLLHNLIEDGHKPFPSLYAPIIKGMFRRGQFDDAFCFFSEMKVKGHPPNRPVYTMMITMCGRGGKHVEAANYLVEMTEIGLVPISRCFDMVTDGLKNCGKHDLAKRIEQLEVSLRGS >Potri.008G059600.1.v4.1 pep chromosome:Pop_tri_v4:8:3571442:3576094:1 gene:Potri.008G059600.v4.1 transcript:Potri.008G059600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059600.v4.1 MDDFKGDVDKESCSKSINETVNGSHQFTIKGYSLAKGMGAGRCIPSDVFNVGGYDWAIYFYPDGKNPEDSSMYVSVFIALASEGTDVRALFELTLVDQSGKGKHKVHSHFDRALESGPYSLKYRGSMWGYKRFFRRTTLETSDYLKDDCLIMNCTVGVVRTRLEGPKQYSISVPPSDMGWGFKELLESESGCDIDFQVGDETFRAHKLILAARSPVFRAQFFGLVGDPNMDKVVVKDVDPLIFKAMLLFIYTDKLPDAHEITGSTSMCTSTNMVQHLLAVSDLYNLDRLKLLCEAKLCEELSAENVATTLALAEQHQCMQLKAICLKFAANPANLGAVMQSEGFRHLEESCPSMLCELLKTLASGDENSSLLSGRKRSGSSLLGVDLADGAPAESANPNGRRLRRRF >Potri.008G059600.3.v4.1 pep chromosome:Pop_tri_v4:8:3571442:3575926:1 gene:Potri.008G059600.v4.1 transcript:Potri.008G059600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G059600.v4.1 MTLCLHFRGYKRFFRRTTLETSDYLKDDCLIMNCTVGVVRTRLEGPKQYSISVPPSDMGWGFKELLESESGCDIDFQVGDETFRAHKLILAARSPVFRAQFFGLVGDPNMDKVVVKDVDPLIFKAMLLFIYTDKLPDAHEITGSTSMCTSTNMVQHLLAVSDLYNLDRLKLLCEAKLCEELSAENVATTLALAEQHQCMQLKAICLKFAANPANLGAVMQSEGFRHLEESCPSMLCELLKTLASGDENSSLLSGRKRSGSSLLGVDLADGAPAESANPNGRRLRRRF >Potri.017G078500.1.v4.1 pep chromosome:Pop_tri_v4:17:8687302:8692717:-1 gene:Potri.017G078500.v4.1 transcript:Potri.017G078500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G078500.v4.1 MADAHLFNNISLGGRGGTNPGQLKISSGGIQWKKQGGGKAVEVDRTDIVGVTWMKVPRTNQLSVLIKGGPWYKFTGFRDQDLSTLTNFFQSHGITPEEKQLSVSGRNWGEVDLNGNMLTFLVGSKQAFEVSLADVSQTQMQGKNDVILEFHVDDTTGANEKDSLMELSFHIPNNNTQYIGDENHPPAQVFRDLIVQRADVGAGGEEAVVTFEGIAILTPRGRYSVELHLSFLRLQGQANDFKIQYSSVVRLFLLPKFNQPHTFVVVTLDPPIRKGQTLYPHIVLQFDTDFVVQSNLSMSEDLLYTKYKDKLEPSYKGLIHEVFTTVMRGLSAAKVTRPGKFRSCQDGYAVKSSLKAEDGVLYPLEKSFFFLPKPPTLILHEEIDYVEFERHAAGGSNMHYFDLLIRLKTEQEHLFRNIQRNEYHNLFDFISGKGLKIMNLGDMQTTKGVAAVLQNDDDDAVDPHLARIRNEAGDDESDDEDEDFVAGKDDGGSPTDDSGDGESDASESGDEKENPTKKDFKREASSSKATTKRKSRDGEESQKKRKPKKKKDPNAPKRSKSAYMFFSQMERENVRKSNPGIVFGEIAKALADKWNAMSAEEKEPYEEMARNDKKRYKLQVNDYKNPQPMMVDSENESDS >Potri.001G348300.1.v4.1 pep chromosome:Pop_tri_v4:1:35989154:35993840:1 gene:Potri.001G348300.v4.1 transcript:Potri.001G348300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G348300.v4.1 MEPQSLKLQSPFSLPVDSDNKATEFRPLQISSPHMRAFHLAWLSLFSCFFSTFSIPPLLPIIRDDLKLTETDIGNAGIASFVGSIFSRLAMGPACDLMGPRLASATLSLATAPIIMSASLVTSPTCFILIRFGVGFSLANFVASQFWMTSMFSPSVVGLANGVSAGWANMGAGVAQLVMPQIYYLLISLLDLPLSTAWRVSFLIPGLFQAVTAIMVLAYGQDLPSGSYKDFRKAIKTPKDNFSEMLYNGLTNYRGWILALTYGYCFGSELTTDNIIAHYFYDRFGVNLQVAGMIAASVGLANLFSRPMGGALSDKMGRSFGMRGRLWGLWLVQTVAGLLCVLLGRVTSLWGSIAVLCIFSVFVQAASGLTFGVVPFVSKRSLGVIAGMTGSGGTVGAVVTQMLLFSGSRFSMQTSISLMGIMMIICTLPVTLIYFPRWGGMFFGPSCNTSSSSPEEDYHLLHSGSDKKESL >Potri.013G083000.1.v4.1 pep chromosome:Pop_tri_v4:13:7366086:7370614:-1 gene:Potri.013G083000.v4.1 transcript:Potri.013G083000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G083000.v4.1 MIIIIMLGIAASIRGGVGIGRRLSFAIRKESLTWYRNLLIQNQSDSFNHTPSVVCCFLWAQRPGVVLENFARHMSTASLELRTDNDFVRFSITKDGYSAKEKKSMKKVPRYVKMSKKAKLNELRFYRLKAKKKMNSPNPEVRIRYKLEKAKRKEAWLIEKLRKLEVPKTPGEAYDPEILTEEEKHYLKRTGEKKKNYVPVGRRGVFGGVVLNMHLHWKKHETVKVTCKPCKPGQIDEYAEELARLSKGIAIDIRPDNTIIFYRGKNYVQPKIMSPPDTLSKDKALEKYRYEQSLEHTSQFIEKLEKELENYQEHVVRYKNRKEAAVDSTIADDGLSQITNQS >Potri.007G031100.1.v4.1 pep chromosome:Pop_tri_v4:7:2376066:2377754:1 gene:Potri.007G031100.v4.1 transcript:Potri.007G031100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G031100.v4.1 MTSVNLGSWFQTSIINKDTGPRSIPISNFLSPGSKSLQIPSVHQKQRKPMSSICAVLAKEETLQEDQRKPTFDFKSYMLQKANSVNKALDAAVSLKEPAKIHESMRYSLLAGGKRVRPVLCLAACDLVGGSESMALPAACAVEMIHTMSLMHDDLPCMDNDDLRRGKPTNHIVFGEDVAVLAGDALLSFAFEHIAVSTIHVSPLRIVRAIGELAKAIGAEGLVAGQVVDICSEGLSEVGLEQLEFIHVHKTAKLLEGAVVLGAILGGGTDEEVEKLRTYARSIGLLFQVVDDILDVTKSSQELGKTAGKDLVADKVTYPRLMGIEKSREFAERLLNEAKDMLAGFNQEKAAPLIALANYIAYRQN >Potri.018G104900.1.v4.1 pep chromosome:Pop_tri_v4:18:12436203:12437977:-1 gene:Potri.018G104900.v4.1 transcript:Potri.018G104900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104900.v4.1 MRRNEDTDVVETDAESGLIADWAELTYECLIIILSRLTFEHRWRGPMLVCKGWLIACKDPSLNTLFDLDTQFDSVIESPRWWTPDFERKIDSMLVSVITFSDGSLTEIRTQHCSDLSVTFAAERCSNLQVLSIKSCRNVTDASMAQIAYKCAKLKELDISYCFEISHESLVMMGRNCPNLRVLKRNQMNWLDASEHVGIVPDSYLNTCPQDGDCEAGAIGKYMPNLVHLELRFSKMSAKGLVSICEGCLNLEYLDLSGCANLTSRDIVKATLGLKNLKEIKKPNFYIPRSVFHTERYGHWRLYDERFQTDIFRI >Potri.007G140500.1.v4.1 pep chromosome:Pop_tri_v4:7:15082492:15084811:-1 gene:Potri.007G140500.v4.1 transcript:Potri.007G140500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G140500.v4.1 MEESWKGHVLLVPYPGQGHINPMMQFSRRLISKGLKATLVTSIFIAKSMKLGSSIGPVHLDVISDGFDEEGFPTGGSSELYLQKLEAAGSKTLAELIVKYRGTPYPIVCVIYEPFLHWALDVAKDFGVMGAAFFTQPCVVDYIYYNIQHGLLSLPITSAPVSIPGLPLLESRDMPSFINVPGSYPAYFKMLLDQFSNTDKVDYILINTFYKLEAEAVDTISKVCPTLTIGPTVPSRYLDKRIEDDDYYNLDLFTLHASISTNWISNKPPRSVVYVAFGSISNLCEKQIEELSWGLKNSNYYFLWVIRESGQINLPKTFLEDLGEKGCVVGWSPQVRMLANEAVGCFLTHCGWNSTIEALSLGMPMVAMPQWTDQPPNAKLVEDVWKVGIRVKVNEEGIVPRDEIECCIKEVMEGEKGEEMKKNAKKWRELAIEAVSEGGSSDKNIDELVSKILKFKN >Potri.017G142923.1.v4.1 pep chromosome:Pop_tri_v4:17:14329159:14329752:-1 gene:Potri.017G142923.v4.1 transcript:Potri.017G142923.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G142923.v4.1 MECKKVGLLLTVVMLVVATVPYSTVAMRQIPLSNIMFAGEMNDTCVGDGRRCHGDGLCCANFVCKDNVCRKKKGEEDGW >Potri.001G440800.1.v4.1 pep chromosome:Pop_tri_v4:1:46631158:46632961:1 gene:Potri.001G440800.v4.1 transcript:Potri.001G440800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G440800.v4.1 MAKYLFSFLLLLLLLLTTTTATSTFNPICTFSPTPSPTSATTPAPITNSNTNIALSPTFAPSPIITIPTPAPSSAPTPINNTTTTTTTTSTTLTPTTTPSTARTPIPTNKITLTPTFAPSPAPTPTIITPILGPSPAPTPISSSAFTTTPTFGPSPAPTPTNSSIFTATFSLPPTPPSTFTRQQDDLKFVFQEQIYNIIDAILGTGDFKNWANALGMADSTTFPISATFFIPSDNSLSPTTTSADPDIFPYHIVPQRLSFADLQQFKTFSRLPTLLFDKSILITNNSASNFTLDGSRLTHPDIYTNAAITVHCIDNLLDHSVYGTESGKNSSKPDAVGPPPTPASPPRPTPRTFVPSTADDEEFTVHQHGESDAACLCTEVWTVFLVLCVALASKFQRMILVH >Potri.001G327800.2.v4.1 pep chromosome:Pop_tri_v4:1:33697746:33703765:1 gene:Potri.001G327800.v4.1 transcript:Potri.001G327800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G327800.v4.1 MGSGVKKVLLTSNGDEISQNIAFHLVKQGCRLVLMGNEKGLRSIGEKITGAIKGALPVEVVEMDMEEEREASFEEAVDKACGILGNLDAFVHCYTYEGKIQEPLQVTEHEFKKLVKINFMAPWFLLKAVGKKMRDYNSGGSIIFLTSIIGAERGLYPGAAAYGSCSAGIQQLVRHSAMEIGTYKIRVNGIARGLHLEDAYPVSEGKERAEKLVKEVVPMQRWLDAKKDVASTVIYLISDGSRYMTGTTIFLDGGQSLTRPRMRSYM >Potri.001G327800.3.v4.1 pep chromosome:Pop_tri_v4:1:33697825:33703298:1 gene:Potri.001G327800.v4.1 transcript:Potri.001G327800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G327800.v4.1 MGSGVKKVLLTSNGDEISQNIAFHLVKQGCRLVLMGNEKGLRSIGEKITGAIKGALPVEVVEMDMEEEREASFEEAVDKACGILGNLDAFVHCYTYEGKIQEPLQVTEHEFKKLVKINFMAPWFLLKAVGKKMRDYNSGGSIIFLTSIIGAERGLYPGAAAYGSCSAGIQQLVRHSAMEIGTYKIRVNGIARGLHLEDAYPVSEGKERAEKLVKEVVPMQRWLDAKKDVASTVIYLISDGSRYMTGTTIFLDGGQSLTRPRMRSYM >Potri.003G216900.1.v4.1 pep chromosome:Pop_tri_v4:3:21245880:21249836:-1 gene:Potri.003G216900.v4.1 transcript:Potri.003G216900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G216900.v4.1 MSKTLESPRNHQTLKIIKSAITSRLLLLSLILLWRTLIDPYDTSSPLDPNCLSTDHQQQERHVIQFPRIGSAIEDSIVWDSVYFVRIAQCGYEYEQTYAFLPLLPACVVLLSRTVLVPLVSVIGHRAVLALAGYLVNNVAFVLAAVYFYRVSVIILKDPEAAFQASILFCFNPASIFYSSIYTESLYALLSLGGLYYLISGASNVAVLWFALSGCARSNGVLNAGYLCFQTMHQAYDAVFLQKRAHLAVKVLIVGALRCICIFIPFIAYQAYGYYNICHGHSLDEMRPWCKAKIPLLYSYIQSHYWGVGFLRYFQLKQLPNFLLASPILSLAVCSVLHYVRSQPEIFFSLGFRASNGEKRPTASPLSLDRVPESNSSHLKEKSSAKMQDKYTVRQRKQRIKGDNYVLLDEYDSLEKPRYLSTFIVPCTLHLLFMAATAFFVMHVQVSTRFLSASPPLYWFASHLLISPGTGKRWGYMIWTYSTVYILLGSLLFSNFYPFT >Potri.003G186400.1.v4.1 pep chromosome:Pop_tri_v4:3:19158971:19161447:-1 gene:Potri.003G186400.v4.1 transcript:Potri.003G186400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G186400.v4.1 MVLDSMITSPHLRSPSFRKQFPRDDLGSWSTLLQRHRFLLTALVLLGFLCTIYLYFAVTLGATESCSGFTGTKKALCHVELAKDSVGHGKLKFF >Potri.T023966.3.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:325190:332216:-1 gene:Potri.T023966.v4.1 transcript:Potri.T023966.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T023966.v4.1 MVQALGRFLEEINEMVMEDDIENGTGGVVQPGVGASSSGGLTGNTNETPGDPLPTSSTKLVGRAFEQNTNLIWSWLMDDEVSTIGIYGMGGVGKTTMMKHIHNKLLERLGISHCVYWVTVSRDFSIERLQNLIAKCLRFDLSSEDDDLRRAVKLSKELRKKQKWILILDDLWNTFELHEVGIPDPVKGCKLIMTTRSERVCQRMDSQKKIKVKPLSESEAWDLFKEKLGHGITFCQEVKRIAVDIARECAGLPLGIITIAGSLRRVDDLHEWRNTLKKLKESKCRDMEDKVFRLLRFSYDQLHDLALQQCLLNCALFPEDHEIVRKELIDYLIDEGVIERVESRQEAVDEGHTMLNRLESVCLLEGAKNMYGDRCVKMHDLIRDMAIQILQENSQGMVKAGARLREVPGAEEWTENLTRVSLMHNQIEEIPSTHSPRCPSLSTLLLCDNSQLQFIADSFFEQLHGLKVLDLSRTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPPATKDNRRGQPAPVTVKGKEVGCLRKLESLVCHFEGYSDYVEYLKSRDETKSLTTYQILVGPLDKYHYGYDYDYDGCRRKTIVWVNLSIDRDGGFQVMFPKDIQQLTIDNNDDATSLCDVSSQIKYATDLEVIKIFSCYSMESLVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLENIRVSDCEKMEEIIGGTRPDEEGVMGEETSSSNIEFKLPKLTMLALEGLPELKRICSAKLICDSIGAIDVRNCEKMEEIIGGTRSDEEGVMGEESSTDLKLPKLIFLQLIRLPELKSICSAKLICDSLQLIQVRNCEKLKRMGICLSLLENGEHPNAKDVLRPFLKLVKG >Potri.T023966.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:325194:333896:-1 gene:Potri.T023966.v4.1 transcript:Potri.T023966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T023966.v4.1 MARQKDRFWDHVEKLDDGRFNCTFCGFKFAVATSISRIKWHLSGEEGHGVAVCGQVPQQVQEAAFLDMLHCSKRHKGIASSSNFNDNPISTTPQEQNNEVDKLAGDAGTIQAPDTMVQALGRFLEEINEMVMEDDIENGTGGVVQPGVGASSSGGLTGNTNETPGDPLPTSSTKLVGRAFEQNTNLIWSWLMDDEVSTIGIYGMGGVGKTTMMKHIHNKLLERLGISHCVYWVTVSRDFSIERLQNLIAKCLRFDLSSEDDDLRRAVKLSKELRKKQKWILILDDLWNTFELHEVGIPDPVKGCKLIMTTRSERVCQRMDSQKKIKVKPLSESEAWDLFKEKLGHGITFCQEVKRIAVDIARECAGLPLGIITIAGSLRRVDDLHEWRNTLKKLKESKCRDMEDKVFRLLRFSYDQLHDLALQQCLLNCALFPEDHEIVRKELIDYLIDEGVIERVESRQEAVDEGHTMLNRLESVCLLEGAKNMYGDRCVKMHDLIRDMAIQILQENSQGMVKAGARLREVPGAEEWTENLTRVSLMHNQIEEIPSTHSPRCPSLSTLLLCDNSQLQFIADSFFEQLHGLKVLDLSRTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPPATKDNRRGQPAPVTVKGKEVGCLRKLESLVCHFEGYSDYVEYLKSRDETKSLTTYQILVGPLDKYHYGYDYDYDGCRRKTIVWVNLSIDRDGGFQVMFPKDIQQLTIDNNDDATSLCDVSSQIKYATDLEVIKIFSCYSMESLVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLENIRVSDCEKMEEIIGGTRPDEEGVMGEETSSSNIEFKLPKLTMLALEGLPELKRICSAKLICDSIGAIDVRNCEKMEEIIGGTRSDEEGVMGEESSTDLKLPKLIFLQLIRLPELKSICSAKLICDSLQLIQVRNCEKLKRMGICLSLLENGEHPNAKDVLRPFLKLVKG >Potri.T023966.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:325191:332417:-1 gene:Potri.T023966.v4.1 transcript:Potri.T023966.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T023966.v4.1 MLHCSKRHKGIASSSNFNDNPISTTPQEQNNEVDKLAGDAGTIQAPDTMVQALGRFLEEINEMVMEDDIENGTGGVVQPGVGASSSGGLTGNTNETPGDPLPTSSTKLVGRAFEQNTNLIWSWLMDDEVSTIGIYGMGGVGKTTMMKHIHNKLLERLGISHCVYWVTVSRDFSIERLQNLIAKCLRFDLSSEDDDLRRAVKLSKELRKKQKWILILDDLWNTFELHEVGIPDPVKGCKLIMTTRSERVCQRMDSQKKIKVKPLSESEAWDLFKEKLGHGITFCQEVKRIAVDIARECAGLPLGIITIAGSLRRVDDLHEWRNTLKKLKESKCRDMEDKVFRLLRFSYDQLHDLALQQCLLNCALFPEDHEIVRKELIDYLIDEGVIERVESRQEAVDEGHTMLNRLESVCLLEGAKNMYGDRCVKMHDLIRDMAIQILQENSQGMVKAGARLREVPGAEEWTENLTRVSLMHNQIEEIPSTHSPRCPSLSTLLLCDNSQLQFIADSFFEQLHGLKVLDLSRTGITKLPDSVSELVSLTALLLIDCKMLRHVPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRYLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPPATKDNRRGQPAPVTVKGKEVGCLRKLESLVCHFEGYSDYVEYLKSRDETKSLTTYQILVGPLDKYHYGYDYDYDGCRRKTIVWVNLSIDRDGGFQVMFPKDIQQLTIDNNDDATSLCDVSSQIKYATDLEVIKIFSCYSMESLVSSSWFRSAPLPSPSYNGIFSGLKRFNCSGCKSMKKLFPLVLLPSLVNLENIRVSDCEKMEEIIGGTRPDEEGVMGEETSSSNIEFKLPKLTMLALEGLPELKRICSAKLICDSIGAIDVRNCEKMEEIIGGTRSDEEGVMGEESSTDLKLPKLIFLQLIRLPELKSICSAKLICDSLQLIQVRNCEKLKRMGICLSLLENGEHPNAKDVLRPFLKLVKG >Potri.002G050100.1.v4.1 pep chromosome:Pop_tri_v4:2:3378258:3384404:-1 gene:Potri.002G050100.v4.1 transcript:Potri.002G050100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G050100.v4.1 MPGKRSRLTHTQSFSDIGFSNHRLPPWDAGFVADDTDDQSLQRIITVSPPQPLLPEKEKDIGGGLVKTEHFLDRCGYCKKRLNKKQDVYMYGYLGAFCSPECRDAQIAIDKAGQEVRGQSIGTKT >Potri.002G154000.1.v4.1 pep chromosome:Pop_tri_v4:2:11766592:11769772:1 gene:Potri.002G154000.v4.1 transcript:Potri.002G154000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G154000.v4.1 MANPRSSVQLQLMNPVGFRFHPTHEELINHYLVPKTRGDNVEDLLLMAEVNLCKHEPWDLPDKSIMKSDDQAWYFFCRRELKNSNRKCSNRRTKAGFWKSTCQEKPIKTKHTKKVIGIRKTLVFHEKAGPKAKRTGWIIDEYDNITDSSLSKEGQYVLCRLKKKPDEKTKKGEQNHHMTAVSDSDAEPSQSMASNSESQNGSAMTVNSAFDASEIGYHVATYNFETPNSNELMNNSDPQVSELNHYMASDSRNQNSNKVKSNSAYAVSGSLHSMSSNSKTLYWNQPTVGYCEGGKSHYKAFDPETQISNNMIMPINEKSLMAYEKWLMAPDLKNQESPSQPEGECGPSVVIPSLFINQSTNDSEPRSQMASEFINRNADKEIDISDFDERSSLTETPPDFLNQNPCKKTDMSTLEEGYSSNSTTSISDNNLADVALPEVIRKKLEKLEKFLEL >Potri.008G045200.1.v4.1 pep chromosome:Pop_tri_v4:8:2569854:2573784:-1 gene:Potri.008G045200.v4.1 transcript:Potri.008G045200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G045200.v4.1 MWRTIDAHLRTVRLPVRTVLLSHHQYPPSLPPLKPPKSLHFFSLSETLTISSSTPYPPSPILAFTTRCINSVAFGRGRNLVPVRCISSISSSAAPNQQHTAVGWNEPVTCSELGDGGKGTNIEEDARQSIPVRAFLFSTSVDLKSLVEQNKKNFIPPTSRMTNYVVLKFGNLSQAPGLGSCISGSNCCYMVVFQYGSIVLFNVREHEVDEYLNLVRKHASGLLPETRKDEYEVREKPTLNTWMQGGLDYIMLQFLNTDGIRMIGSVLGQSIALDYYGRQVDGMVAEFTDINRGMEKTGTFSMDSKKLFQIVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIVLISVEIIISVFDLVQRSGFKFL >Potri.019G078700.2.v4.1 pep chromosome:Pop_tri_v4:19:11942907:11955341:-1 gene:Potri.019G078700.v4.1 transcript:Potri.019G078700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G078700.v4.1 MEAGEHSPPTSPETDLMEIKTEKTPYSPSEPPKIHRLDESVVNRIAAGEVIQRPVSAIKELVENSLDAHSTSINVVVKDGGLKLIQVSDDGHGIRREDLPILCERHTTSKLTNYEDLQSIKSMGFRGEALASMTYVGHVTVTTITPGKLHGYRVSYRDGVMEDEPKPCAAVKGTQIMVENLFYNMIARRKTFQNSSDDYSKIVDLLSRFAIHHINVSFSCRKHGASRADVHSVTTSSRLDSIRSVYGVSVALNLMKIEVPDSDPSSSVFNMDGLISNSNYVAKKTTMVLFINDRLVECTALKRAIEIVYAATLPKASKPFIYMSIVLPPEHVDVNVHPTKREVSLLNQEFIINTIQSAVESKLRNSNEARTFQEQTLDSSPSVTLSAKKDSNVNPSPSPYGSKSQKVPVNKMVRTDASDPAGRLHAYLQARPVDNLEGNSSLAAVRSSVRQRRNPKESADISSVQELVNDIDGNCHSGLLDIVRNCTYIGMADDVFALLQYKTQLYLANVVNLSKELMYQQVLRRFAHFNVIQLSDPAPLRLLIMLALKEEDLDLESNENEDLREKIAEMNTELLKDKAELLEEYFCIYIDSHGNLSRLPVILDQYTPDMDRIPEFVLSLGNDVDWEDEKNCFQTIAAAVGNFYAIHPPLLPSPSGDGLQFYRRRKPEKNPDDKEKATDIDVEMEDELEHELLSEAETAWAQREWSIQHVLFPSMRLFLKPPTSMATNGTFVQVASLEKLYKIFERC >Potri.006G272600.1.v4.1 pep chromosome:Pop_tri_v4:6:26520102:26522147:-1 gene:Potri.006G272600.v4.1 transcript:Potri.006G272600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G272600.v4.1 MPSPSASHVVIFPFMSQGHTLPLLDLSKALSLQQIKVTIITTPSNAKSIAKCVPNHPDIHLNEIPFPTIEGLPEGCENTSQLPSMEFLLPFLHATKQLQKPFEEVLETMIKSNTPPLCVISDFFLGFTLASCQALGVPRLVFHGMSALSMAIIKSSWVNASQINSLSMLDPVDLPGMKLPFTLTKADLPEETLKSSNHDDPMSQFIGEVGWAEVNSWGIIINSFEELEKDHIPFFESFYMNGAKAWCLGPLFLYDKIEGLEKSINQNQNPSMSTQWLDEQSTPDSVIYVSFGTQADVSDSQLDEVAFGLEESGFPFVWVVRSKAWSLPSGMEEKIKDRGLIVSEWVDQRQILSHRAIGGFLSHCGWNSVLESVVAGVPILAWPMIAEQSLNAKLIVDGLGAGLSVKRVQNQGSEILVSRQAISEGVKELMGGQKGRSARERAEALGRVARRAMQKDGSSHDTLSKLIDHLRAY >Potri.010G058300.6.v4.1 pep chromosome:Pop_tri_v4:10:8899139:8904549:1 gene:Potri.010G058300.v4.1 transcript:Potri.010G058300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G058300.v4.1 MSALFNFHSFLTVVLLGICTCTFVKMHFPAILEQRNGFRGFFWKAARIGERLSPWVAVGCFTMGVSIIFF >Potri.007G123500.1.v4.1 pep chromosome:Pop_tri_v4:7:14041231:14045504:1 gene:Potri.007G123500.v4.1 transcript:Potri.007G123500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G123500.v4.1 MAKPNPLKHPLLKYFISILLVSLSYILGIYTSSNNSTSPPLQEQKQPLNCHQLNFSLSVLDFESHHTLSLPQEPLRNLQFFNFCPPNFTNYCPCHDPSRETDFTAERFFSRERHCPEPYEKPMCLVPRPAGYKRPFSWPKSRDYAWFKNLPFKELSEVKKTQNWVRLEGDLLVFPGGGTSFRKGVKGYVDEIKRFVPLKSGSIRTVLDVGCGVASFGAHLMDYNILTMSIAPSDKHEAQLQFALERGVPAMLGILSIHRLPFPSRSFDMAHCARCLVPWTKYDGLYLMEIDRVLRPGGYWIFSGPPINWKANYKGSEVGAQELEQEQARLEDLAVRLCWKKVAEKGAIAVWRKPNNHIHCIIKSRIWKSSRFCINSDPDAGWYKKMKPCITPLLNVTDIHDISGGSLEKWSKRLNIAPPRTKSEGISGAAFEGDNQLWKRRVRHYGIILKSLSRGRYRNIMDMNAGIGGFAAALTQYPVWVMNVVPYDAKQNNLSIVYDRGLIGTYMNWCEAFSTYPRTYDLIHAHGVFSMYMDKCSILDILLEMHRILRPEGAVIIRDHVDIIVEVKGIAEKMKWNGRILHSENGAFHPEKILLIDTCC >Potri.019G085400.1.v4.1 pep chromosome:Pop_tri_v4:19:12464404:12466519:1 gene:Potri.019G085400.v4.1 transcript:Potri.019G085400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085400.v4.1 MDLTDRLSVDVWADTSSSGNELPRRMSSENPSFTDFNLQQQQKQQQKWEDNIISPPFGGFNQASESGYLLLSNRNNQTKILDHQEDGKSIETLETNKVQDWDPRAMLSNLSFLEQKIHHLQDLVHLFVGRKGQGLGGQDQLVTQQQQFLITADLTSIIVQLISTAGSLLPSVKHTLSTDTPNGHLGQLGGLLFPPVAGMNCSPKPQHGSGRRVSDQSDKMDGTGNCGTDQNHFIEEHETKEEEDADEGDYLPPGSYDILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKESSSDPVVIKRYSCPFSGCKRNKDHKKFQPLKSILCVKNHYKRTHCDKSYTCSRCNTKKFSVTADLKTHEKHCGKDRWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEETKGPAVSSDKVDGNEASNKVGNINFSFGSHAATGSGAKNVMEVNDDADDPSSCFSPLNFDTCNFGGFHEFPRPPFDDSESSFSFLLS >Potri.019G085400.2.v4.1 pep chromosome:Pop_tri_v4:19:12465116:12466520:1 gene:Potri.019G085400.v4.1 transcript:Potri.019G085400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G085400.v4.1 MLSNLSFLEQKIHHLQDLVHLFVGRKGQGLGGQDQLVTQQQQFLITADLTSIIVQLISTAGSLLPSVKHTLSTDTPNGHLGQLGGLLFPPVAGMNCSPKPQHGSGRRVSDQSDKMDGTGNCGTDQNHFIEEHETKEEEDADEGDYLPPGSYDILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTPAALAKPNKESSSDPVVIKRYSCPFSGCKRNKDHKKFQPLKSILCVKNHYKRTHCDKSYTCSRCNTKKFSVTADLKTHEKHCGKDRWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEETKGPAVSSDKVDGNEASNKVGNINFSFGSHAATGSGAKNVMEVNDDADDPSSCFSPLNFDTCNFGGFHEFPRPPFDDSESSFSFLLS >Potri.002G086900.1.v4.1 pep chromosome:Pop_tri_v4:2:6224300:6225169:1 gene:Potri.002G086900.v4.1 transcript:Potri.002G086900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G086900.v4.1 MAETKRSTGTVKWFSAQKGFGFIAPDDGGEDLFVHQTSIQSDGFRTLSDGQPVEFSVGSGEDGRAKAADVVGASRSRRPPRGGRGGGGGRGYYSGRGRGGGYERGGHSRGRGYGGGGSSGGACFNCGRYGHLARDCYEGGDDGGRYGGGGGRRYSGGGGGGGGGCYNCGEEGHFARDCPNAGNK >Potri.017G138600.1.v4.1 pep chromosome:Pop_tri_v4:17:13997576:14000067:1 gene:Potri.017G138600.v4.1 transcript:Potri.017G138600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G138600.v4.1 MKKNTLISLSLLLSLFALSFSIEDDITCLEGVKKSFTDPLGRLTSWTFNNNSVAFVCKLNGVSCWNEKENRIISLQLSSFQLSGKLPESLKYCHSLTTLDLSSNDLSGPIPPEICNWLPYIVSLDLSGNKFSGPIPPEIVNCKFLNNLILSGNQLTGSIPFGLGRLDRLKTFSVASNELSGSIPDELWAFSKDSFDGNDGLCGKPLGKCGGLSSKSLGIIIVAGVVGAGGSLILGFVIWWWLFVRGGKKKRGSGGGGGKGDDPSWIELLRSHKLVQVTLFQKPIVKIKLADILAATNSFDFENIVISTRTGDSYKADLPDGSSLAIKRLNACKLGEKQFRGEMNRLGELRHPNLVPLLGYCAVEVEKLLVYKHMPNGTLYSQLHGSGFGISQSSVLDWPTRVRVGVGATRGLAWLHHGCDPPYIHQYISSNVILLDDDFDARITDFGLARLISSPDSNDSSYVNGDLGEFGYIAPEYSSTMVASLKGDVYGFGVVLLELVTGQKALDVNNEEEGFKGNLVDWVNQLVSTGRSKDAIDKALTGKGHDDEIMQFLRVAWSCVVSRPKDRPSMYQVYESLKGLAEKHGFSDQYDEFPLIFGKPDPDYKE >Potri.011G002400.1.v4.1 pep chromosome:Pop_tri_v4:11:139664:143423:-1 gene:Potri.011G002400.v4.1 transcript:Potri.011G002400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G002400.v4.1 MATSSDDNRIEYAEDESYQSIMHDKRSFSREAVSSELEDILSNMELSRSKRILRATWVELKILFPLAAPAIVVYMLNYLVSISTQMFCGHLGNLELAAASLGNMGVQGFVFGIMLGMGSAVETLCGQAYGANKYEMLGVYMQRSTILLTLTGLILMFIYIFCKPILLGLHESPTIASAAALFVYGLIPQIFAYSCNFPIQKFLQAQSVIFPSTCISAAALVLHLILCWVVIFKLGGGLLGASLVTSFSWWVIVVAQFVYILVSTKFKHTWRGFSIQAFTGLWDFFKLSLASGVMLCLELWYYQILTLIAGLLKNAEISLDALSICTTINGWCIMISVGFQAAASVRVSNELGAGHPKATSFSVVIVNLCSLLISVILAVAVLLLRHVISYAFTSGTVVADAVAELSPFLAASIVLNGVQPVLSGVAVGCGWQAFVAYVNVACYYIIGIPLGCVLGFVCDMGTKGIWTGMLGGTIVQTIVLLWATIRTNWGKEVGKAQSRLDKWDDNKEPLLRE >Potri.012G081500.1.v4.1 pep chromosome:Pop_tri_v4:12:10641152:10646005:-1 gene:Potri.012G081500.v4.1 transcript:Potri.012G081500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G081500.v4.1 MENPKTPEAQKPDEKNLGGPSWPSGLFDVVEGKISGGDEYATLDVAASGINVVGNEEGYLVNDGIVKAVEEGKGHVDTALGEALVDLGVAVREEVAVEDTVRDKELKEDGVVVEDTLRDEELKEDGVVVEDTVRDEELKKDGVVDKNIVRDEELKEDELLGFNAVDSARKIDVSGDNLSIYVDLSGSLTGVDFDVVNCPGVVVSEESKEADDEKEELNGKFHVGDIVWVKTKNQSWWPGKIFDPLGVTKYAVQSDQRNGLLVGYLGSCHIAWCLPSQLKPFHKDFEQMVVKNKARSFLGAVEKAVDEFGRCLKSEMTCSCILKEGWQSAGNDGFQDGFSILECRFGDYSITQFEPEKLLAQIKDLALAVSKLSVLELTVAKNRLSAFYQSIGHQQLPTNQLWDTTPDEDGSDRCVAKGNSKVYGAHANSTPSGGKLQSTEDEGLRQMKNEDLAVIFGRDLDMSENCRSKAPQENFVSNDMASNSRKRKRKYSELKVEGPDVDPFSSPIMEEKHHSGSSLTVEKSSELRERKKSKYLSYPYVNWEAKGLPGPLEDQGPQEVSQEDEDVNPVAGQFIGSHSVSKSSGKRFQKKWIRKFISGNDTSKNPELINASAADLLSELCFTAVDCLYPNESKNFDLAEWFFSRFRISVYHDESIYEKYCKDVIGRNNDASLGKDAQETNQTQTLPNAKAQSEKNSRNSARSKVKSLSGLSDVNINITAGAVFLNSPHEMAHPTPNGKPKPKKAQTKQGAIPAGQQINHPTSIPDLNSNGTMPNFLVENLQIVGHVASEDKPKPNKRKKKVGLVEDNGSTTEPGTMLVDLQVTGPFSINAITDESNREGVTASVKDLYGNSTIPVILPHSAEGKPVPKKRKRKEESTSEQSILAAGIPDLNGTTAESGALAKSEKKRRRKGEGIVGRPRKKTTAGNMEYYKAETNGEAPATALLLTFAPGYSMPSKEILVATFCRFGPLKKSQTQVMKDSSTAQVVFMKSTDAVEAARSLEKANPFGATLVNYDLHLIPAASSSQCTKGFGTPVKTSGSMPKLAEAPPIDFIRQNLEMMTSMLEKSGDNLSPEMRAKLEIEIKGLLKKVSSLPSSSS >Potri.002G232700.2.v4.1 pep chromosome:Pop_tri_v4:2:22532271:22537465:-1 gene:Potri.002G232700.v4.1 transcript:Potri.002G232700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G232700.v4.1 MLFADSQDPYDDLDLIEEEEEEIQSAAPTPHHLTQQRLCCSQGHRSTFTLQTEQGGGGGGGGGGGSICLHCFSNLITNPNAPTFHVSYALSQLSLAFSHSHFLPSLLSLHPHFLISPLLRSLSLFNDDSIARSLISIITTLSSSSSSSICSEFVTRLAHLISSSSSSSTALAWSTPSQLYLLHCFGILLLNCESSSSNPCHVHIKDEDALLSNLVAALQLPSSEEMRGEILFVLYKLCAIIRKDDKGGAPSLLAYCPKLLHLLLEALLKTHNDTVRFNCLALLTLLARQGCFESAYTNGISSSKSWDEGADHLINVLFAESVKGPLLSSDTQIQISTLHLIFHYLCCVSPEQIQLLVDENIADYVFEIIRLSECKEPVVNSCLMVLNLLSTAEKGFTERLVVGFSTLVPVLRYVAEVPFHPVQHQTLTLVWKGISDSPGIVSVSQIEELVIVLARMFRCHNDGEMGMPAETFITACSIFVALLNSPSFIGTSNLVTSLQETITHAIVACLNISEKDPNQFLHALYLLKEAYAYSPESMNDSSIIELRSCVVDICKSHLLPWILMAINEVDEDIALGILETFHFILLQNSDVQAPQFAEILVSSSWFSFSFGCLGLFPTEKMKWRVYLMLSSLVEILLENDAGQPIREVASNLPTDPIDLLLLLGQKSSKNTFLDSCQCAVLLILHTSSLYDDRLADEKSMLASLEQYILVNSSDLLCGVVDPLKMTQLINLYGLSRAAAKMNHQIPYSPEAERILFHLLNETEWDLPSSRIHLESLKWLFQQEKISKPLSNQILKFCRSNSSNRTQIIIHGENNQIMNLQVISEMASSADNHVARLSVCLLIQLAEEESQEHDIISLVKFLAMITNIFPSASDQLCLHGIGHAIRTLYHNSSCGSSPEASMAMTYLMFNILQSVHPEALCNDEAWLAVTVKLMGSLIPAQSVKWWPDEGLRVVAMFCLILHQSTNKVLVEASKTIFFSTSLASTINSMIHAACSKGPSLLDCDEETSTGENLMFALLLFYFSLRSIHTVVPGTVDWQNFLNPSNRMQPISMVSIHCHDLCRLLHFGSPPVKLVASYCLLELITRLSEQRNTSHEELKSSVAYLTSIMVILKGLVFYSDIRVSTNCSLCLSMILGWEKLDMTGARVIAKNTWWRLIVEEMAISLAAPSLASKSFSNHHKPAVHVAVALLKLQKSPEWMRTVFDDPCISGIIKNLEASNISSEMVLLFRELLNSMFLKDEQIACLNRILQECRKRLYTEDCQNDCTDEKIEKRTITTDDLGEVCEYLIHVMSSAKSLDVDCGDLQTSKRLLEEIELFFKTSSKEGDR >Potri.002G163000.4.v4.1 pep chromosome:Pop_tri_v4:2:12475136:12484317:1 gene:Potri.002G163000.v4.1 transcript:Potri.002G163000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163000.v4.1 MYLSFSRMSLPSEDQLGSNQYLETSNEPQKKLKISYTRKFLLSLSELDVCKKLPSGFDEPSLSEFEDTSQDRYRIPVSSSSQSSRCNDNSSSPPTRGDSSNFFRGIHGRWDSRSSGRSDRDSDSQSDWDSDSGRRYINQSRRPWQVPEHDGLLGSGSFPRPSAYAAGPSAPKSRSNDQFQINRNNEPYQPPRPYKAGPHLRRETNDSLNDETFGSSESTSEDRAEEERKRRASFESMRKEQHKAFQENQKPEKSKDKFDFTELLEDSKDDKRLLNRTNELDKTVIQPMPTNELDKPLHPSQAPVPRPLVPPGFSSMIAEKSTGTKSLTNPLPSEAGNELELSLLQAKGTCVLDWTSDNQDGKQSSEGMHLNLQQPRNPIAHVSINNKSEKILNIASVLDVSSKKIGSKTSNLSEVFIASENCEVIDLDAGDVTGDKNVGDSGSSHSTSILDKLFGSALTLNGTASTGPSSFIEHHDVKVDDTWSPKTGQSSKFAQWFSEEEKKPVDNLPSGRPNDLLSLIVGGEKGGSQVKATDHMLPTFPFQSSELEDRHLSSNLKPVSVENNAKRSNTDKPDVVPAVLTCEDLEQSILSEITENGSTLLPPVHGWSGGHVKIEQQKAEYHASQHLLSLLQKGTGLDNAAPSANLGISQISVADRLQNTEVANPSNAPRKPRDADAENIPNPGKALTLETLFGTAFMKELQSVGAPVSSQRDSVGHANDNASEFHGLPVPVIDDGFLPPAEIVLSMSSHRSGVLASKQRQQIVSDRTGEHLLGFDPQNEVDSSHLRTELGSKIGGFDGSVEIRLPEEDNLIAVSGPLNLQNFLLARNSAKSELLQTPGTSVDIAEKLAALNSGFRDERPVAGQEGQPFLRGPYDMREPDAQFHNLHVQSSSSQLHPPQLNHPGPMFHPLGSHPANMNAQMKLVAPENIHHDAPNNQFPANMLRPPFNHPSRTLTGFDPSTHKSVLPQMHMHGNFPPAHLQREFPRGAPLPPHPSNQVTGFMQEPGPMQGFPFGQRQPNFGALGTPPQAIDVGGESHHPEALQRLIEMELRSKSKQAHPFAASGNGPGIYGHELDMGFGYR >Potri.002G163000.7.v4.1 pep chromosome:Pop_tri_v4:2:12475136:12484045:1 gene:Potri.002G163000.v4.1 transcript:Potri.002G163000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163000.v4.1 MSLPSEDQLGSNQYLETSNEPQKKLKISYTRKFLLSLSELDVCKKLPSGFDEPSLSEFEDTSQDRYRIPVSSSSQSSRCNDNSSSPPTRGDSSNFFRGIHGRWDSRSSGRSDRDSDSQSDWDSDSGRRYINQSRRPWQVPEHDGLLGSGSFPRPSAYAAGPSAPKSRSNDQFQINRNNEPYQPPRPYKAGPHLRRETNDSLNDETFGSSESTSEDRAEEERKRRASFESMRKEQHKAFQENQKPEKSKDKFDFTELLEDSKDDKRLLNRTNELDKTVIQPMPTNELDKPLHPSQAPVPRPLVPPGFSSMIAEKSTGTKSLTNPLPSEAGNELELSLLQAKGTCVLDWTSDNQDGKQSSEGMHLNLQQPRNPIAHVSINNKSEKILNIASVLDVSSKKIGSKTSNLSEVFIASENCEVIDLDAGDVTGDKNVGDSGSSHSTSILDKLFGSALTLNGTASTGPSSFIEHHDVKVDDTWSPKTGQSSKFAQWFSEEEKKPVDNLPSGRPNDLLSLIVGGEKGGSQVKATDHMLPTFPFQSSELEDRHLSSNLKPVSVENNAKRSNTDKPDVVPAVLTCEDLEQSILSEITENGSTLLPPVHGWSGGHVKIEQQKAEYHASQHLLSLLQKGTGLDNAAPSANLGISQISVADRLQNTEVANPSNAPRKPRDADAENIPNPGKALTLETLFGTAFMKELQSVGAPVSSQRDSVGHANDNASEFHGLPVPVIDDGFLPPAEIVLSMSSHRSGVLASKQRQQIVSDRTGEHLLGFDPQNEVDSSHLRTELGSKIGGFDGSVEIRLPEEDNLIAVSGPLNLQNFLLARNSAKSELLQTPGTSVDIAEKLAALNSGFRDERPVAGQEGQPFLRGPYDMREPDAQFHNLHVQSSSSQLHPPQLNHPGPMFHPLGSHPANMNAQMKLVAPENIHHDAPNNQFPANMLRPPFNHPSRTLTGFDPSTHKSVLPQMHMHGNFPPAHLQREFPRGAPLPPHPSNQVTGFMQEPGPMQGFPFGQRQPNFGALGTPPQAIDVGGESHHPEALQRLIEMELRSKSKQAHPFAASGNGPGIYGHELDMGFGYR >Potri.002G163000.5.v4.1 pep chromosome:Pop_tri_v4:2:12475104:12484045:1 gene:Potri.002G163000.v4.1 transcript:Potri.002G163000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163000.v4.1 MYLSFSRMSLPSEDQLGSNQYLETSNEPQKKLKISYTRKFLLSLSELDVCKKLPSGFDEPSLSEFEDTSQDRYRIPVSSSSQSSRCNDNSSSPPTRGDSSNFFRGIHGRWDSRSSGRSDRDSDSQSDWDSDSGRRYINQSRRPWQVPEHDGLLGSGSFPRPSAYAAGPSAPKSRSNDQFQINRNNEPYQPPRPYKAGPHLRRETNDSLNDETFGSSESTSEDRAEEERKRRASFESMRKEQHKAFQENQKPEKSKDKFDFTELLEDSKDDKRLLNRTNELDKTVIQPMPTNELDKPLHPSQAPVPRPLVPPGFSSMIAEKSTGTKSLTNPLPSEAGNELELSLLQAKGTCVLDWTSDNQDGKQSSEGMHLNLQQPRNPIAHVSINNKSEKILNIASVLDVSSKKIGSKTSNLSEVFIASENCEVIDLDAGDVTGDKNVGDSGSSHSTSILDKLFGSALTLNGTASTGPSSFIEHHDVKVDDTWSPKTGQSSKFAQWFSEEEKKPVDNLPSGRPNDLLSLIVGGEKGGSQVKATDHMLPTFPFQSSELEDRHLSSNLKPVSVENNAKRSNTDKPDVVPAVLTCEDLEQSILSEITENGSTLLPPVHGWSGGHVKIEQQKAEYHASQHLLSLLQKGTGLDNAAPSANLGISQISVADRLQNTEVANPSNAPRKPRDADAENIPNPGKALTLETLFGTAFMKELQSVGAPVSSQRDSVGHANDNASEFHGLPVPVIDDGFLPPAEIVLSMSSHRSGVLASKQRQQIVSDRTGEHLLGFDPQNEVDSSHLRTELGSKIGGFDGSVEIRLPEEDNLIAVSGPLNLQNFLLARNSAKSELLQTPGTSVDIAEKLAALNSGFRDERPVAGQEGQPFLRGPYDMREPDAQFHNLHVQSSSSQLHPPQLNHPGPMFHPLGSHPANMNAQMKLVAPENIHHDAPNNQFPANMLRPPFNHPSRTLTGFDPSTHKSVLPQMHMHGNFPPAHLQREFPRGAPLPPHPSNQVTGFMQEPGPMQGFPFGQRQPNFGALGTPPQAIDVGGESHHPEALQRLIEMELRSKSKQAHPFAASGNGPGIYGHELDMGFGYR >Potri.002G163000.6.v4.1 pep chromosome:Pop_tri_v4:2:12475136:12484047:1 gene:Potri.002G163000.v4.1 transcript:Potri.002G163000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G163000.v4.1 MSLPSEDQLGSNQYLETSNEPQKKLKISYTRKFLLSLSELDVCKKLPSGFDEPSLSEFEDTSQDRYRIPVSSSSQSSRCNDNSSSPPTRGDSSNFFRGIHGRWDSRSSGRSDRDSDSQSDWDSDSGRRYINQSRRPWQVPEHDGLLGSGSFPRPSAYAAGPSAPKSRSNDQFQINRNNEPYQPPRPYKAGPHLRRETNDSLNDETFGSSESTSEDRAEEERKRRASFESMRKEQHKAFQENQKPEKSKDKFDFTELLEDSKDDKRLLNRTNELDKTVIQPMPTNELDKPLHPSQAPVPRPLVPPGFSSMIAEKSTGTKSLTNPLPSEAGNELELSLLQAKGTCVLDWTSDNQDGKQSSEGMHLNLQQPRNPIAHVSINNKSEKILNIASVLDVSSKKIGSKTSNLSEVFIASENCEVIDLDAGDVTGDKNVGDSGSSHSTSILDKLFGSALTLNGTASTGPSSFIEHHDVKVDDTWSPKTGQSSKFAQWFSEEEKKPVDNLPSGRPNDLLSLIVGGEKGGSQVKATDHMLPTFPFQSSELEDRHLSSNLKPVSVENNAKRSNTDKPDVVPAVLTCEDLEQSILSEITENGSTLLPPVHGWSGGHVKIEQQKAEYHASQHLLSLLQKGTGLDNAAPSANLGISQISVADRLQNTEVANPSNAPRKPRDADAENIPNPGKALTLETLFGTAFMKELQSVGAPVSSQRDSVGHANDNASEFHGLPVPVIDDGFLPPAEIVLSMSSHRSGVLASKQRQQIVSDRTGEHLLGFDPQNEVDSSHLRTELGSKIGGFDGSVEIRLPEEDNLIAVSGPLNLQNFLLARNSAKSELLQTPGTSVDIAEKLAALNSGFRDERPVAGQEGQPFLRGPYDMREPDAQFHNLHVQSSSSQLHPPQLNHPGPMFHPLGSHPANMNAQMKLVAPENIHHDAPNNQFPANMLRPPFNHPSRTLTGFDPSTHKSVLPQMHMHGNFPPAHLQREFPRGAPLPPHPSNQVTGFMQEPGPMQGFPFGQRQPNFGALGTPPQAIDVGGESHHPEALQRLIEMELRSKSKQAHPFAASGNGPGIYGHELDMGFGYR >Potri.012G065000.4.v4.1 pep chromosome:Pop_tri_v4:12:8204612:8211610:1 gene:Potri.012G065000.v4.1 transcript:Potri.012G065000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065000.v4.1 MELPQQRPFGTEGSKPTHDLLSLYSHSSTVQQDPRPPSQGGYLKTHDFLQPLERGGKTTAKDITNVEVLTVEKPPPPAPPPSVEHILPGGIGTYSISQISYFNERVPKPENTIFSVAQDSSTDKNDDNSNCSSYSGSGFTLWEESALKKGKTGKENVGERSNIIREAALKTGQWTTSERPSQSSSNNHRSSFNSLSSSQPAGRSSTQSFIEMIKSARGCALDDDVDDEEEFLLKKETPSPIRKGELRVKVDGKSNDQKPDTPRSKHSATEQRRRSKINDRFQMLRELIPRGDQKKDKASFLLEVIEYIQFLQEKVQKYDGSYQGWNHETAKLVPWKNNSRPVESSVDQSRGLNSDAGPALLFAAKLDERNITVSPSINPGGARNPVESDMTSANAMDRHPGCTNKSIPFPISLQPNFNPGRTAGAAAQFPPRLASDAENMASQTQPQSCHARSWSTVEAVASDKLKEKDLTVEGGTISISNAYSQGLVNTLTQALQSSGVDLSRASISVQIELGKTGNSRQTASTSITKDNNVLPSNKGTTRSRVSSGEESGQALKKLKTSKASARVA >Potri.012G065000.5.v4.1 pep chromosome:Pop_tri_v4:12:8204614:8211610:1 gene:Potri.012G065000.v4.1 transcript:Potri.012G065000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065000.v4.1 MELPQQRPFGTEGSKPTHDLLSLYSHSSTVQQDPRPPSQGGYLKTHDFLQPLERGGKTTAKDITNVEVLTVEKPPPPAPPPSVEHILPGGIGTYSISQISYFNERVPKPENTIFSVAQDSSTDKNDDNSNCSSYSGSGFTLWEESALKKGKTGKENVGERSNIIREAALKTGQWTTSERPSQSSSNNHRSSFNSLSSSQPAGRSSTQSFIEMIKSARGCALDDDVDDEEEFLLKKETPSPIRKGELRVKVDGKSNDQKPDTPRSKHSATEQRRRSKINDRFQMLRELIPRGDQKKDKASFLLEVIEYIQFLQEKVQKYDGSYQGWNHETAKLVPWKNNSRPVESSVDQSRGLNSDAGPALLFAAKLDERNITVSPSINPGGARNPVESDMTSANAMDRHPGCTNKSIPFPISLQPNFNPGRTAGAAAQFPPRLASDAENMASQTQPQSCHARSWSTVEAVASDKLKEKDLTVEGGTISISNAYSQGLVNTLTQALQSSGVDLSRASISVQIELGKTGNSRQTASTSITKDNNVLPSNKGTTRSRVSSGEESGQALKKLKTSKASARVA >Potri.012G065000.1.v4.1 pep chromosome:Pop_tri_v4:12:8204614:8211656:1 gene:Potri.012G065000.v4.1 transcript:Potri.012G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065000.v4.1 MELPQQRPFGTEGSKPTHDLLSLYSHSSTVQQDPRPPSQGGYLKTHDFLQPLERGGKTTAKDITNVEVLTVEKPPPPAPPPSVEHILPGGIGTYSISQISYFNERVPKPENTIFSVAQDSSTDKNDDNSNCSSYSGSGFTLWEESALKKGKTGKENVGERSNIIREAALKTGQWTTSERPSQSSSNNHRSSFNSLSSSQPAGRSSTQSFIEMIKSARGCALDDDVDDEEEFLLKKETPSPIRKGELRVKVDGKSNDQKPDTPRSKHSATEQRRRSKINDRFQMLRELIPRGDQKKDKASFLLEVIEYIQFLQEKVQKYDGSYQGWNHETAKLVPWKNNSRPVESSVDQSRGLNSDAGPALLFAAKLDERNITVSPSINPGGARNPVESDMTSANAMDRHPGCTNKSIPFPISLQPNFNPGRTAGAAAQFPPRLASDAENMASQTQPQSCHARSWSTVEAVASDKLKEKDLTVEGGTISISNAYSQGLVNTLTQALQSSGVDLSRASISVQIELGKTGNSRQTASTSITKDNNVLPSNKGTTRSRVSSGEESGQALKKLKTSKASARVA >Potri.012G065000.2.v4.1 pep chromosome:Pop_tri_v4:12:8204580:8211619:1 gene:Potri.012G065000.v4.1 transcript:Potri.012G065000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065000.v4.1 MFSTGSKPTHDLLSLYSHSSTVQQDPRPPSQGGYLKTHDFLQPLERGGKTTAKDITNVEVLTVEKPPPPAPPPSVEHILPGGIGTYSISQISYFNERVPKPENTIFSVAQDSSTDKNDDNSNCSSYSGSGFTLWEESALKKGKTGKENVGERSNIIREAALKTGQWTTSERPSQSSSNNHRSSFNSLSSSQPAGRSSTQSFIEMIKSARGCALDDDVDDEEEFLLKKETPSPIRKGELRVKVDGKSNDQKPDTPRSKHSATEQRRRSKINDRFQMLRELIPRGDQKKDKASFLLEVIEYIQFLQEKVQKYDGSYQGWNHETAKLVPWKNNSRPVESSVDQSRGLNSDAGPALLFAAKLDERNITVSPSINPGGARNPVESDMTSANAMDRHPGCTNKSIPFPISLQPNFNPGRTAGAAAQFPPRLASDAENMASQTQPQSCHARSWSTVEAVASDKLKEKDLTVEGGTISISNAYSQGLVNTLTQALQSSGVDLSRASISVQIELGKTGNSRQTASTSITKDNNVLPSNKGTTRSRVSSGEESGQALKKLKTSKASARVA >Potri.012G065000.3.v4.1 pep chromosome:Pop_tri_v4:12:8204612:8211645:1 gene:Potri.012G065000.v4.1 transcript:Potri.012G065000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G065000.v4.1 MELPQQRPFGTEGSKPTHDLLSLYSHSSTVQQDPRPPSQGGYLKTHDFLQPLERGGKTTAKDITNVEVLTVEKPPPPAPPPSVEHILPGGIGTYSISQISYFNERVPKPENTIFSVAQDSSTDKNDDNSNCSSYSGSGFTLWEESALKKGKTGKENVGERSNIIREAALKTGQWTTSERPSQSSSNNHRSSFNSLSSSQPAGRSSTQSFIEMIKSARGCALDDDVDDEEEFLLKKETPSPIRKGELRVKVDGKSNDQKPDTPRSKHSATEQRRRSKINDRFQMLRELIPRGDQKKDKASFLLEVIEYIQFLQEKVQKYDGSYQGWNHETAKLVPWKNNSRPVESSVDQSRGLNSDAGPALLFAAKLDERNITVSPSINPGGARNPVESDMTSANAMDRHPGCTNKSIPFPISLQPNFNPGRTAGAAAQFPPRLASDAENMASQTQPQSCHARSWSTVEAVASDKLKEKDLTVEGGTISISNAYSQGLVNTLTQALQSSGVDLSRASISVQIELGKTGNSRQTASTSITKDNNVLPSNKGTTRSRVSSGEESGQALKKLKTSKASARVA >Potri.016G121400.1.v4.1 pep chromosome:Pop_tri_v4:16:12602297:12605186:-1 gene:Potri.016G121400.v4.1 transcript:Potri.016G121400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G121400.v4.1 MDAPAYEQVSPAVPSWLNKGDNAWQMIASILVATQSMPGLVILYASIVKKKWAVNSAFMALYAFAAVLICWVLLCYRMAFGDELLPFWGKGAPALGQKYLIAQARIPESTHTHEDGTRETVAPLYPMATLVYFQFTFAAITLILLAGSVLGRMNIKAWMAFVPLWLIFSYTVGAFSLWGGGFLYHWGVIDYSGGYVIHLSSGIAGLTAAYWVGPRLKSDRERFPPNNVLLMLAGAGLLWMGWSGFNGGAPYAANIDASMAMLNTNVCAATSLLVWTSLDVVYFGKPSVIGAVQGMMTGLVCITPGAGLVQSWAAIVMGILSGSIPWVSMMILHKKFALLQKVDDTLGVFHTHAVAGLLGGLLTGLLAEPELCDLILQVNTRGAFYGGNGGVQFLKQMVAALFVIGWNIVSTTLILLFIRLFIPLRMPEEQLAIGDDAVHGEEAYALWGDGEKYDPSKHGRITTLFGEETTQSPDVNGARGVTINL >Potri.014G194800.4.v4.1 pep chromosome:Pop_tri_v4:14:17393308:17399244:-1 gene:Potri.014G194800.v4.1 transcript:Potri.014G194800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194800.v4.1 MARKVPSLISLVIEAIKREVVHAAGDDDVRPDIYDLPSHLFNRLLTKLPPLALHKLETDMPFKDCNDYEAPLNDGGRKRGRNGNFDSAWKALFKLRWPDPFHPSETQLDWRRIYWQTHLQNCLDEAAELALLPSFDGCIGEMNVSDGMLKCIGCEGHVNHPNYSKLSYHCQQFGHYASCLRLQNVFCVAETCVLLRKSNLQSLIVRWIRSEKHVDGLCKLLIQNSETLTSLEFIYCKLSPTFVSAICGSLNVVNTHTHGIQHFSINTSSFLEINPVSLPPGFVSFLSSGRSLCSLKLHDNHLDGNFAWMLLSVLLDASSSLSILDLSDNNIAGWLGNLNGKSKCKVPLSLGIGKSLQSLRVLNIRGNDLRKDDVESLRCALVSMPNLEILDISDNPIEDDGIRCLIPYIIEATDRCSPLAELYLENCELSCSGATELLDSLSNLKRPLNSLSLADNGLGSDVAGPLQKILGTSIKTLNVGGVGLGSLGFQELEKGLMAELKLVDINISKNRGGFETARFLAKLMSLAPNIIAINTAYNLMPLESLTVICSALKLSKGSLERLDLTGNNWDYQPNHASMVAEFHRNGRPIFILPSYLAVDVPYDDDP >Potri.014G194800.3.v4.1 pep chromosome:Pop_tri_v4:14:17393279:17399225:-1 gene:Potri.014G194800.v4.1 transcript:Potri.014G194800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G194800.v4.1 MARKVPSLISLVIEAIKREVVHAAAGDDDVRPDIYDLPSHLFNRLLTKLPPLALHKLETDMPFKDCNDYEAPLNDGGRKRGRNGNFDSAWKALFKLRWPDPFHPSETQLDWRRIYWQTHLQNCLDEAAELALLPSFDGCIGEMNVSDGMLKCIGCEGHVNHPNYSKLSYHCQQFGHYASCLRLQNVFCVAETCVLLRKSNLQSLIVRWIRSEKHVDGLCKLLIQNSETLTSLEFIYCKLSPTFVSAICGSLNVVNTHTHGIQHFSINTSSFLEINPVSLPPGFVSFLSSGRSLCSLKLHDNHLDGNFAWMLLSVLLDASSSLSILDLSDNNIAGWLGNLNGKSKCKVPLSLGIGKSLQSLRVLNIRGNDLRKDDVESLRCALVSMPNLEILDISDNPIEDDGIRCLIPYIIEATDRCSPLAELYLENCELSCSGATELLDSLSNLKRPLNSLSLADNGLGSDVAGPLQKILGTSIKTLNVGGVGLGSLGFQELEKGLMAELKLVDINISKNRGGFETARFLAKLMSLAPNIIAINTAYNLMPLESLTVICSALKLSKGSLERLDLTGNNWDYQPNHASMVAEFHRNGRPIFILPSYLAVDVPYDDDP >Potri.017G062900.1.v4.1 pep chromosome:Pop_tri_v4:17:5261298:5262908:1 gene:Potri.017G062900.v4.1 transcript:Potri.017G062900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G062900.v4.1 MTGSSSSKFDEFQWVNTIRRTLERELEDDTDQIPVCIYTVPKTLMSSHPDFYTPHQLPLGPYHYWRPDLSEMESYKLSAVKKLQNQFQSDNFQNLVEKLVKLEPKIRACYHNYLNLNAETLAWMMALDASFLLEFLQIYSVKENEVLWTISSRMTHLLDYSKRKSAHYVILRDIVMMENQIPLFVLRKVLKFLLMSAESADDMLISMLLGLCKELSPFKMVELEKTKVLEHSHLLDSLYDIMVPREEELPIEITIEDVEENRSETEQENEGSGIDLNLVKSFLSELWNTASELNIGPMRFLKNASASMTSKLGFSWTILSKLLNLSASNYGIEPENESARNNQQLPPLVEEIAIPSVTQLSNTGVRFLPTKGNISTINFDTEKAAFYIPMISLDANSEFIFRNLVAYEISHASGPMIFARYIELMNGIIDTEEDVRLLRERGIIFNHLKSDQEVANLWNGMSKCKSMRLTKAPFLDKVIEDVNKYYNSRWKVKIRKFMKHTVFCSWQFLTVLASILLLLLMAVESFCSVYKCNRII >Potri.010G155800.3.v4.1 pep chromosome:Pop_tri_v4:10:22753439:22762052:-1 gene:Potri.010G155800.v4.1 transcript:Potri.010G155800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155800.v4.1 MFVLKISFLAGNSLFINSGGEEVDDGKNHYHNDTSLSSFNLSPSEDWAYSYAGDYLWAKVNASTLVRNLTCEITNSKANIDNNFRLAPVSLTYYGLCLRKGKYIVTLHFAKALYSKSEDYSTSGKRVFDIYIQGMIVKKDVNIKEIPGKEHEERRLQFKVKINDGSLEIKFFWAGKGSLYNPPGLNGPLISAVSITRVPRKLHDWEIALIAVGCILFLLLLLAFMWRMGWIGDRELRETTVKIGERTFTLKQIIDATKKFSPKMELGSGRSGIVYRAQILQDLTVAVKKLFAQSNAVDEIATEVYVKKAKDLKHDNIVKLLYIYSRRHLHLLIYEFMEVGSL >Potri.008G221300.1.v4.1 pep chromosome:Pop_tri_v4:8:18470817:18472723:-1 gene:Potri.008G221300.v4.1 transcript:Potri.008G221300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G221300.v4.1 MAVTANLLIFFILLLTYCNIVISTCLKLEIFKSRAGFCFCWRREGELVGAKAKKTAKMAGTGRKVMALGMDNNEPSFYALQWTLDHFFVPFGQDPPFKLLIIHAQPRLASVVGFTGPGLVDVIPIMEADSKKRAQNVVDKAREVCNNKGVSDVVVEVIEGDARNVMCDAVDRHHASMLVVGSHNYGAVKRALLGSVSDHCAHNAPCSVLIVKQPKH >Potri.007G022700.8.v4.1 pep chromosome:Pop_tri_v4:7:1739725:1743524:-1 gene:Potri.007G022700.v4.1 transcript:Potri.007G022700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G022700.v4.1 MSLNTENMANNDGEVTGKRAGWPPVLNERILSSMSRRSIAAHPWHDLEIGPGAPSVFNCVIEISKGSKVKYELDKASGLIKVDRVLYSSVVYPHNYGFIPRTLCEDSDPMDVLVLMQEPVLPGSFLRARAIGLMPMIDQGEKDDKIIAVCADDPEFVHYKDIKELPPHRLAEIRRFFEDYKKNENKKVDVEDFLPAASAIDAIKYSMDLYASYIVEGLRQ >Potri.012G057100.1.v4.1 pep chromosome:Pop_tri_v4:12:5624883:5635154:-1 gene:Potri.012G057100.v4.1 transcript:Potri.012G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G057100.v4.1 MRSLNHRAPATELTSATSKYKRKHPFHKKRQYHHRKKQKSVSKIEKTLTLKPHNSNQNSVSLSNRFQSIQRKKSHNYDSNFEYNRKWTFSCHDSPAYEDRVVFVSYNILGVENASKHPDLYFKIPLEFMEWERRKELICKEMHHYNAGILCFQEVDRFDDLDDLLQKDGFRGVYKARTGEACDGCAVFWKDKLFTLLHEEHIEFQSFGLRNNVAQFCVLKMNENQSGTGLCTEASKTISPKRRSLVVGNIHVLFNPNRGDIKLGQVRIFLEKAYKLSQEWGNIPIIIGGDLNSLPQSAIYQFLTASELEILLHDRRNISGQLECPPQQKDLRSQDENVARSLIYRWSDEELRLATGSEELTRLQHELKLYSAYLGVPGSHGLRDNRGEPLATSYHSKFMGTVDYIWHTKGLIPVRVLETLPINILRRSAGLPNEKWGSDHLALVCELAFANDGTIV >Potri.008G127200.1.v4.1 pep chromosome:Pop_tri_v4:8:8276286:8277337:1 gene:Potri.008G127200.v4.1 transcript:Potri.008G127200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G127200.v4.1 MGNYISCTLATPLIKSSKAARVVFPAGEVRQFREPVKAAELMLECPNFFLANSQSLHIGRRFSALSADEELEFGNVYLMFPMKRVFSTVTAADMAVFFMTANSAARRISGGNNITRVLPESGGDQNARESPKGSENGAARLSLEEVEGFPLPEYKYRLSSCRSRKPMLETINEEPVRSR >Potri.019G007300.1.v4.1 pep chromosome:Pop_tri_v4:19:1579297:1581919:1 gene:Potri.019G007300.v4.1 transcript:Potri.019G007300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G007300.v4.1 MAFAYAAFFLLVICIYKPVSSQQNHSNLISLGSSISTNVQPTSWRSPSGTFAFGFYPQGSGFIVGIWLVCKPADIITWTAYRDDPPVPSNATLELTVNGKLLLRTYYANNEAGEEKLIARIEKSASNARMLDSGNLVLYNEHSDVIWESFNFPTDTILGGQNLYAGGELLSSASTTNLSTGRFHLKIQYDGNLVLYPIDTIDTSVDAYWNTATFGSGTHLYLNYTGQLLILNNTLASGIPVFSSDSESENSSIIYRATLEYDGIFRLYSHNFDSNGAYTTSLMHYAPKSQCEVKSFCGLNSYCTMNDNQPYCSCLPGTVFVNPIQRYNGCKRNYTEELCKVAEETSSYTITDMEKMTWDDFPYFRNSMSEEDCRKSCLQDCNCAGALYESGDCKKVKFPVKYAKRLEGDSSKVFFKVGLKSVGSRNRSIDTATKPPVVHKTSKKTVMLICVMSVAFITCSSIAIAVSVFFISKSRVVKARMRLGSGNLGLAHELTLRAFSYRELKNATKGFREELGKGSFGAVYKGTLYKGKKVIAVKRLEKLVSEGEREFLTEMRSIGKTHHKNLVRLLGYCTEDSQRLLVYEYMSNGSLADLLFRNERIPNWSHRVKIALDVARGILYLHEECEAPIIHCDIKPQNILMDDFWNAKISDFGLAKLLVPDQTRTFTIVRGTRGYLAPEWHKNTPISVKADVYSYGVMLLEIVFCRRNVETNVSRPEEVQLSNWAYELLVERELDKLDLGEDVDLQNLEKMVMVGMWCIQDEPGIRPSMKSVVLMLEGITDVSVPPHPTSA >Potri.010G043601.1.v4.1 pep chromosome:Pop_tri_v4:10:7542049:7542307:1 gene:Potri.010G043601.v4.1 transcript:Potri.010G043601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G043601.v4.1 MASSRQHLLAKAYCKSIEWVEGEATDLPFQDCYFDAIPIGYGFRKCGGLAEGLAGDV >Potri.010G179801.1.v4.1 pep chromosome:Pop_tri_v4:10:17880698:17881087:-1 gene:Potri.010G179801.v4.1 transcript:Potri.010G179801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G179801.v4.1 MPRDREPLSVGRVIGDVLDPFTRSISLRVNYNSREVNNGCELKPSHVVNQPRVDIGGEDLRTFYTLVMVDPDAPSPSNPNLREYLHW >Potri.010G189500.4.v4.1 pep chromosome:Pop_tri_v4:10:18548848:18553382:-1 gene:Potri.010G189500.v4.1 transcript:Potri.010G189500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G189500.v4.1 MAATKTRISSFSRNISAVSAPQLPGIKCGPNGTFFVSSGIPDLDKILGGGFPLGSLVMIMEDAEAPHHMLLLRNFMSQGLVQNQPLLYASPAKDPRGFLGTLPCPSSSKDDKSRNHDTEQEKGLRIAWQYKKYFSENQKNIDDHKDSNQEFCNDFDLRKPLEKHFYSGQRVDCVSIKDSPNLATLHDRCATFLAQFPRNDGSFSCMGRIAIQSLCAPQCELSKMDWDMLSFIRSLKSMLRSANAVAIITFPSSLLSPSFCKRWQHMADVLLSVKAIPDEDKELGKLLTGYQDMVGFLNVHKIARINTQVPMILEATTFSIKLHKRRFLVLECLNQAPIDGSSGTSYGTSGGCSGSSRSGALDF >Potri.001G144800.1.v4.1 pep chromosome:Pop_tri_v4:1:11938817:11940570:1 gene:Potri.001G144800.v4.1 transcript:Potri.001G144800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G144800.v4.1 MALRLWASSTANALRISVASTAHVSPSYSLSRCFSTVLDGLKYATSHEWVKHEGSLATIGITDHAQDHLGEVVFVDLPEPDGSVSQGKSFGAVESVKATSDINSPISGEIVEVNTQLSETPGLINKSPYEEGWMIKVKPSNPSELESLLGAKEYTKLCEEEDH >Potri.017G147500.1.v4.1 pep chromosome:Pop_tri_v4:17:14701586:14703363:1 gene:Potri.017G147500.v4.1 transcript:Potri.017G147500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147500.v4.1 MKKAVLKLELHDEKAKKKAMKTVSGLSGVDSVSIDMKDKKMTVIGDIDPVCIVAKLRKLCHTEIVTVGPAKEPEKKKDEPKKEEPKKQGDQKKKDQDQVACQAYNPHMPPYYYVRCVDQDTPNACVIS >Potri.017G147500.3.v4.1 pep chromosome:Pop_tri_v4:17:14702420:14703363:1 gene:Potri.017G147500.v4.1 transcript:Potri.017G147500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G147500.v4.1 MKTVSGLSGVDSVSIDMKDKKMTVIGDIDPVCIVAKLRKLCHTEIVTVGPAKEPEKKKDEPKKEEPKKQGDQKKKDQDQVACQAYNPHMPPYYYVRCVDQDTPNACVIS >Potri.009G022500.1.v4.1 pep chromosome:Pop_tri_v4:9:3469746:3473774:-1 gene:Potri.009G022500.v4.1 transcript:Potri.009G022500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G022500.v4.1 MAKSKNNAKKVSYISVPSQIINSLSSSSLQSLLIPPKKPSANRFFGLKWFRSPRFWFLALFLCGFLGTLKLWYTLDPLIPFSPYPCVTRQSQLQESFSNGHTRQQLGFVSNDERNDKKDQEVGSVNARELKFEAGIKSNEVKHESLKGVVDFQGGISGGVEEESEFWKQPDGLGYKPCLKFSSDYRRGSELIFEDRRKYLLVVVSGGMNQQRNQIVDAVVIARILGAALVVPILQVNVIWGDESEFSDIFDLANFKRVLANDVRIVSSLPSYHLTRRPVVESRTPLHVSPQWIRARYLKRLNREGVLLLRGLDSRLSKDLPSDLQKLRCKVAFHALRFAPPVLELGNKLAERMQSKGPYLALHLRMELDVWVRTGCQPGLSHDYDEIINKERKQRPELLTAKSNMTCHERKLAGLCPLNALEVARLLKALGAPKSARIYWAGGQPLGGKEALLPLTREFPNFYNKEDLALPGELKPFANKASLMAAIDYLVSENSDVFMPSHGGNMGHAIQGHRAYAGHKKYITPNKRHMLPYFLNSSLPEAEFNRVIKELHRDSLGQPELRTSKAGRDVTKYPVPECMCRDSHTHVL >Potri.016G046802.1.v4.1 pep chromosome:Pop_tri_v4:16:2994324:2997469:-1 gene:Potri.016G046802.v4.1 transcript:Potri.016G046802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046802.v4.1 MTRPVKREPHITPHPAAAGSTSSSSRLNNGGAETSDMDSQEATERRHLRSRYRDVKRIIIDGREDVERVDSDKFNSIINQVEDLHKLVQKPREQVADAEALLDITNSLVASVKAHGHDGITPSDFVNGLLRDFGRQDGPSTSADGSRNLIAWKDIGVAVSHIFSSCPGCCTMVGPMDTELKQRKAVVGRRRTRPTGSVQPEEVNDSGAKERTDTDKNMATMFSILKNKRSVKLENLVLNRNSFAQTVENLFALSFLVKDGRAEIKVNENGWHLVSPRNAPDAGKVVSGEVAYRHFVFRFDFKDWKLMISAVEVGEELMPNRNQINMPSDSLADPIPVETQAGGPTTPIRKFSRNRGLVLQEKTVVEDSTPENDNIQVRIPAFRKGKRKMR >Potri.016G046802.2.v4.1 pep chromosome:Pop_tri_v4:16:2994324:2997483:-1 gene:Potri.016G046802.v4.1 transcript:Potri.016G046802.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G046802.v4.1 MEERTLKGLILISLIPLLIKWRTCTNWKPREQVADAEALLDITNSLVASVKAHGHDGITPSDFVNGLLRDFGRQDGPSTSADGSRNLIAWKDIGVAVSHIFSSCPGCCTMVGPMDTELKQRKAVVGRRRTRPTGSVQPEEVNDSGAKERTDTDKNMATMFSILKNKRSVKLENLVLNRNSFAQTVENLFALSFLVKDGRAEIKVNENGWHLVSPRNAPDAGKVVSGEVAYRHFVFRFDFKDWKLMISAVEVGEELMPNRNQINMPSDSLADPIPVETQAGGPTTPIRKFSRNRGLVLQEKTVVEDSTPENDNIQVRIPAFRKGKRKMR >Potri.015G106900.1.v4.1 pep chromosome:Pop_tri_v4:15:12529756:12532379:-1 gene:Potri.015G106900.v4.1 transcript:Potri.015G106900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G106900.v4.1 MDPEAFTASLFKWDTRAMVPHPNRLLEMVPPPQQPPAAAFAVRPRELCGLEELFQAYGIRYYTAAKIAELGFTVNTLLDMKDEELDEMMNSLSQIFRWDLLVGERYGIKAAVRAERRRLDEEDPRRRQLLSGDNNTNTLDALSQEGFSEEPVQQDKEAAGSGGRGTWEAVAAGERKKQSGRKKGQRKVVDLDGDDEHGGAICERQREHPFIVTEPGEVARGKKNGLDYLFHLYEQCRDFLIQVQSIAKERGEKCPTKVTNQVFRYAKKAGASYINKPKMRHYVHCYALHCLDEDASNALRRAFKERGENVGAWRQACYKPLVAIASRQGWDIDSIFNAHPRLAIWYVPTKLRQLCYAERNSATSSSSVSGTGGHLPF >Potri.007G082400.1.v4.1 pep chromosome:Pop_tri_v4:7:10705430:10713415:1 gene:Potri.007G082400.v4.1 transcript:Potri.007G082400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G082400.v4.1 MERETGVSVVTLWMILVLFVLPLSRISANMEGDALHNLRINLQDPNNVLQSWDPTLVNPCTWFHVTCNNDNSVIRVDLGNAALSGQLVPQVGQLKNLQYLELYGNNISGPIPSDLGNLTNLVSLDLYLNSFSGPIPNTLGKLTKLRFLRLNNNSLSGSIPLSLINITALQVLDLSNNRLSGPVPDNGSFSLFTPISFANNLDLCGPVTGKPCPGSPPFAPPPPFVPPSTDSYPGEGNPTGAIAGGVAAGAALLFAAPAIWFAYWRRRRPPELFFDVPAEEDPEVHLGQLKRFSLRELLVATDSFSNKNILGRGGFGKVYKGRLADGTLVAVKRLKEERTPGGELQFQTEVEMISMAVHRNLLRLRGFCMTPTERLLVYPYMANGSVASCLRERPTSEAPLDWLSRKRIALGSARGLSYLHDHCDPKIIHRDVKAANILLDEEFEAVVGDFGLAKLMDYKDTHVTTAVRGTIGHIAPEYLSTGKSSEKTDVFGYGIMLLELITGQRAFDLARLANDDDVMLLDWVKALLKEKKLEMLVDPDLQNNYVDSEVEQLIQVALLCTQSSPMERPKMSEVVRMLEGDGLAERWEEWQKVEVVHQDIDLAPSRNSEWLLDSTDNLHAVELSGPR >Potri.008G050300.2.v4.1 pep chromosome:Pop_tri_v4:8:2952230:2954268:-1 gene:Potri.008G050300.v4.1 transcript:Potri.008G050300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G050300.v4.1 MECSFCRRGLSFLVRAAQSSASSASHSQVRSGRRELIAASVIAPWVSMVNQTSPSSNAGISSSHGQEDGYSFLYPFGWLEVVIEGQDKVFKDVIEPLESVNLRDIGPPQQVAETLIKKVLAPPSQKTKLIEAKEHDADEKVYYTNESVAQAPNFTCHAPSAITIGSGIIDLATITFSFILFNFSHFYSVLSHLPYKLQTVVDSFEIFNV >Potri.002G113132.3.v4.1 pep chromosome:Pop_tri_v4:2:8537613:8542687:1 gene:Potri.002G113132.v4.1 transcript:Potri.002G113132.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113132.v4.1 METQQNNNGNDHSETVSQNMIDSSNSNAPNIILNHDFSRGLYSWHPNCCDGFVLSADSGHSGFSTKPGGNYAVVSNRKECWQGLEQDITSRISPCSTYSISARVGVSGLVQYPTDVLATLKLEYQNSATSYLPVGKTSVSKEGWEKLEGTFSLATMPDRVVFYLEGPAPGVDLLIESVIITCSCPSECNNARPCSGDGDGNIILNPQFDDGLNNWSGRGCKIVIHDSMADGKIVPLSGKLFASATERTQSWNGIQQEITERVQRKLAYEVTAVVRIFGNNVTSADIRATLWVQTPNLREQYIGIANLQATDKDWVQLQGKFLLNGSPKRVVIYIEGPPAGTDILVNSFVVKHAEKIAPSPPPVIENPAFGVNIIQNSNLSDGTNSWFPLGNCTLTVATGSPHILPPMARDSLGPHEPLSGRCILVTKRTQTWMGPAQMITDKLKLLLTYQVSAWVKIGSGATGPQNVNVALGVDSQWVNGGQVEINDDRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMLAGLQIFPVDRESRFKHLRRQTDKIRKRDVTLKFSGGGSSSVLGTFIKVRQMQNSFPFGSCMSRTNLDNEDFVNFFVKNFNWAVFGNELKWYWTEPQQGNFNYSDADEMLDLCKKNNIEARGHCIFWEVDGTVQQWIKALNKNDMMTAVQNRLTGLLTRYTGKFRHYDVNNEMLHGSFYQDHLGKDIRANMFKTANQLDPSAMLFVNDYHVEDGCDTRSSPEKYIEQILDLQEQGAPVGGIGIQGHIDSPVGPVVCSALDKLGILGLPIWFTELDVSSVNEHVRGDDLEVMLREAYAHPAVDGIMLWGFWELFMSRDNAHLVNAEGELNEAGKRYLALKKEWLSRTHGCIDEQGQFAFRGFHGTYVLEIETVSKKIMKTFVVDKGDSPLVVSIDL >Potri.002G113132.2.v4.1 pep chromosome:Pop_tri_v4:2:8536741:8542794:1 gene:Potri.002G113132.v4.1 transcript:Potri.002G113132.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113132.v4.1 METQQNNNGNDHSETVSQNMIDSSNSNAPNIILNHDFSRGLYSWHPNCCDGFVLSADSGHSGFSTKPGGNYAVVSNRKECWQGLEQDITSRISPCSTYSISARVGVSGLVQYPTDVLATLKLEYQNSATSYLPVGKTSVSKEGWEKLEGTFSLATMPDRVVFYLEGPAPGVDLLIESVIITCSCPSECNNARPCSGDGDGNIILNPQFDDGLNNWSGRGCKIVIHDSMADGKIVPLSGKLFASATERTQSWNGIQQEITERVQRKLAYEVTAVVRIFGNNVTSADIRATLWVQTPNLREQYIGIANLQATDKDWVQLQGKFLLNGSPKRVVIYIEGPPAGTDILVNSFVVKHAEKIAPSPPPVIENPAFGVNIIQNSNLSDGTNSWFPLGNCTLTVATGSPHILPPMARDSLGPHEPLSGRCILVTKRTQTWMGPAQMITDKLKLLLTYQVSAWVKIGSGATGPQNVNVALGVDSQWVNGGQVEINDDRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMLAGLQIFPVDRESRFKHLRRQTDKIRKRDVTLKFSGGGSSSVLGTFIKVRQMQNSFPFGSCMSRTNLDNEDFVNFFVKNFNWAVFGNELKWYWTEPQQGNFNYSDADEMLDLCKKNNIEARGHCIFWEVDGTVQQWIKALNKNDMMTAVQNRLTGLLTRYTGKFRHYDVNNEMLHGSFYQDHLGKDIRANMFKTANQLDPSAMLFVNDYHVEDGCDTRSSPEKYIEQILDLQEQGAPVGGIGIQGHIDSPVGPVVCSALDKLGILGLPIWFTELDVSSVNEHVRGDDLEVMLREAYAHPAVDGIMLWGFWELFMSRDNAHLVNAEGELNEAGKRYLALKKEWLSRTHGCIDEQGQFAFRGFHGTYVLEIETVSKKIMKTFVVDKGDSPLVVSIDL >Potri.002G113132.1.v4.1 pep chromosome:Pop_tri_v4:2:8537192:8542853:1 gene:Potri.002G113132.v4.1 transcript:Potri.002G113132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113132.v4.1 MRRFLTCCFNSQATKTNLKQEYQQESRANMETQQNNNGNDHSETVSQNMIDSSNSNAPNIILNHDFSRGLYSWHPNCCDGFVLSADSGHSGFSTKPGGNYAVVSNRKECWQGLEQDITSRISPCSTYSISARVGVSGLVQYPTDVLATLKLEYQNSATSYLPVGKTSVSKEGWEKLEGTFSLATMPDRVVFYLEGPAPGVDLLIESVIITCSCPSECNNARPCSGDGDGNIILNPQFDDGLNNWSGRGCKIVIHDSMADGKIVPLSGKLFASATERTQSWNGIQQEITERVQRKLAYEVTAVVRIFGNNVTSADIRATLWVQTPNLREQYIGIANLQATDKDWVQLQGKFLLNGSPKRVVIYIEGPPAGTDILVNSFVVKHAEKIAPSPPPVIENPAFGVNIIQNSNLSDGTNSWFPLGNCTLTVATGSPHILPPMARDSLGPHEPLSGRCILVTKRTQTWMGPAQMITDKLKLLLTYQVSAWVKIGSGATGPQNVNVALGVDSQWVNGGQVEINDDRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMLAGLQIFPVDRESRFKHLRRQTDKIRKRDVTLKFSGGGSSSVLGTFIKVRQMQNSFPFGSCMSRTNLDNEDFVNFFVKNFNWAVFGNELKWYWTEPQQGNFNYSDADEMLDLCKKNNIEARGHCIFWEVDGTVQQWIKALNKNDMMTAVQNRLTGLLTRYTGKFRHYDVNNEMLHGSFYQDHLGKDIRANMFKTANQLDPSAMLFVNDYHVEDGCDTRSSPEKYIEQILDLQEQGAPVGGIGIQGHIDSPVGPVVCSALDKLGILGLPIWFTELDVSSVNEHVRGDDLEVMLREAYAHPAVDGIMLWGFWELFMSRDNAHLVNAEGELNEAGKRYLALKKEWLSRTHGCIDEQGQFAFRGFHGTYVLEIETVSKKIMKTFVVDKGDSPLVVSIDL >Potri.002G113132.4.v4.1 pep chromosome:Pop_tri_v4:2:8537127:8542703:1 gene:Potri.002G113132.v4.1 transcript:Potri.002G113132.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G113132.v4.1 MTIPRGLYSWHPNCCDGFVLSADSGHSGFSTKPGGNYAVVSNRKECWQGLEQDITSRISPCSTYSISARVGVSGLVQYPTDVLATLKLEYQNSATSYLPVGKTSVSKEGWEKLEGTFSLATMPDRVVFYLEGPAPGVDLLIESVIITCSCPSECNNARPCSGDGDGNIILNPQFDDGLNNWSGRGCKIVIHDSMADGKIVPLSGKLFASATERTQSWNGIQQEITERVQRKLAYEVTAVVRIFGNNVTSADIRATLWVQTPNLREQYIGIANLQATDKDWVQLQGKFLLNGSPKRVVIYIEGPPAGTDILVNSFVVKHAEKIAPSPPPVIENPAFGVNIIQNSNLSDGTNSWFPLGNCTLTVATGSPHILPPMARDSLGPHEPLSGRCILVTKRTQTWMGPAQMITDKLKLLLTYQVSAWVKIGSGATGPQNVNVALGVDSQWVNGGQVEINDDRWHEIGGSFRIEKQPSKVMVYVQGPAAGVDLMLAGLQIFPVDRESRFKHLRRQTDKIRKRDVTLKFSGGGSSSVLGTFIKVRQMQNSFPFGSCMSRTNLDNEDFVNFFVKNFNWAVFGNELKWYWTEPQQGNFNYSDADEMLDLCKKNNIEARGHCIFWEVDGTVQQWIKALNKNDMMTAVQNRLTGLLTRYTGKFRHYDVNNEMLHGSFYQDHLGKDIRANMFKTANQLDPSAMLFVNDYHVEDGCDTRSSPEKYIEQILDLQEQGAPVGGIGIQGHIDSPVGPVVCSALDKLGILGLPIWFTELDVSSVNEHVRGDDLEVMLREAYAHPAVDGIMLWGFWELFMSRDNAHLVNAEGELNEAGKRYLALKKEWLSRTHGCIDEQGQFAFRGFHGTYVLEIETVSKKIMKTFVVDKGDSPLVVSIDL >Potri.009G009600.1.v4.1 pep chromosome:Pop_tri_v4:9:1886344:1887978:-1 gene:Potri.009G009600.v4.1 transcript:Potri.009G009600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G009600.v4.1 MCKTKMAVSASEPTPVSTRTRPTQSPRTRPTQSPRPTTITTASTSSDPSTSNTINYTTTTSSTLSNRTSLSNLRDSLSQNPNVYDISEIRTATNNFLSKRHSSSSSSSTACWRCDLRNNNTIIFQRKFRRKIEMSQLKEQLSVICRSNHSSVVKLLGASISGDYIYLVYEFIPGANLSNCLRNSKNPNFTVLSTWVSRMQVAADLAYGLDYIHNKTGLNISLVHNHIKSSSIIITEPSFNAKICHFGCAQLCGEADENEMMMKKKSKIGEITELDDDRSLKGSKELARSNSGIMQFEGVRGYMSPEYQATGIATQKSDVYAFGVVLLELLSGKEPYKYKYDKSRGDYMRESVIETARAAIGDRGGLRRWIDGRLKDSFPVEVAEKMTRVGLDCVEVDPDKRPDMGRVAGKISRWYLESRKWAEDLRFSDQITVSLAPR >Potri.009G160600.4.v4.1 pep chromosome:Pop_tri_v4:9:12423598:12429104:1 gene:Potri.009G160600.v4.1 transcript:Potri.009G160600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G160600.v4.1 MNIEEVQSTTKKQRIATHTHIKGLGLEPSGRAIDMAAGFVGQKEAREAAGLVVDMIKQKKMAGRALLLAGPPGTGKTALALGISQELGTKVPFCPMVGSEVYSSEVKKTEVLMENFRRAIGLRVKENKEVYEGEVTELTPEETESITGGYGKSIGGVIIGLKTVKGTKQLRLEASIYDALIKEKVAVGDVIYIEANSGAVKRVGRSDAFATEFDLEAEEYVPLPKGEVSKKKEIVQDVTLHDLDAANARPQGGQDILSLMGQMMKPKKTEITDKLRQEINKVVNGFINKGTAELVPGVLFIDEVHMLDMECFSYLNRALESSLSPIVIFATNRGICNVRGTDMNSPHGIPVDLLDRLVIIRTENYGPAEVIQILALRAQVEELHLDEESLAYLGEIGQRSSLRHAVQLLSPASIVAKMNGREEIRKADLEEVCALYLDAKSSAKLLQDQQEKYIS >Potri.018G031400.1.v4.1 pep chromosome:Pop_tri_v4:18:2301969:2305613:-1 gene:Potri.018G031400.v4.1 transcript:Potri.018G031400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G031400.v4.1 MASFLQSLVDPRKNWLAKQHMKTLSSRLRRYGLRYDDLYDPYYELDIKEALNRLPREIVDARNQRLKRAMDLSMKHEYLPEDLQAMQTPFRSYLQEMLTLVKKERAEREALGALPLYQRTIP >Potri.011G072666.2.v4.1 pep chromosome:Pop_tri_v4:11:6697725:6725418:-1 gene:Potri.011G072666.v4.1 transcript:Potri.011G072666.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072666.v4.1 MTSAGNSTIECNCTITDDNYCHITSFLLKDYGLPGGLPPGLANLTYVQKIDFTRNNLYGTIPVEWASMKNLSSMSLESNQFSGVVPPELGKLVNLETLILSGNKLVGTLPEALAQIKDLKDFRVNDNNLNGTVPEFIGNWTQLRKLELYATGLQGPIPPAIFQLEKLSDLRITDMPGPEFQLPKKLIEIERKNLVLRNINLTGTIPEGAWTVEKTLDLTFNKLVGEIPPNTIRRQFTFLSGNKLTGTVQDSFLQNSTNLDVSYNNFSQPPRCSSSNETSINWFRSSSSNNKLSDLLPCSKISRCPKYYRSFHINCGGQDVKNGKILYEGDQAGGSNAAARSYNRPGSNWGFSSTGDFMDDGDFYDNKYTLQSKYSNIFVDDFGLYATARRAAISLTYYGYCLENGDYTVRLHFAEIQFTDEGLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGPNRNFTIAFNTTVTDRTLEIRLYWAGKGSTSIPIRGNYGLIISAISVCSGYRTYCEEPEEASKKPIVIGVVTSASFLILLVMGVIYWKLCYGDKNTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGFCIEGDQLLLVYEYMENNSLSRALFGSETSALMLDWPTRYKICAGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKENLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIPEVTSDPSIYGDDLHSKRVKGHYQQVTDQSLNSTQDLFPPSDKSWIGNSSTSAPDLYLINPKSISLNLSETSSLI >Potri.011G072666.1.v4.1 pep chromosome:Pop_tri_v4:11:6697725:6725418:-1 gene:Potri.011G072666.v4.1 transcript:Potri.011G072666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G072666.v4.1 MTSAGNSTIECNCTITDDNYCHITSFLLKDYGLPGGLPPGLANLTYVQKIDFTRNNLYGTIPVEWASMKNLSSMSLESNQFSGVVPPELGKLVNLETLILSGNKLVGTLPEALAQIKDLKDFRVNDNNLNGTVPEFIGNWTQLRKLELYATGLQGPIPPAIFQLEKLSDLRITDMPGPEFQLPKKLIEIERKNLVLRNINLTGTIPEGAWTVEKTLDLTFNKLVGEIPPNTIRRQFTFLSGNKLTGTVQDSFLQNSTNLDVSYNNFSQPPRCSSSNETSINWFRSSSSNNKLSDLLPCSKISRCPKYYRSFHINCGGQDVKNGKILYEGDQAGGSNAAARSYNRPGSNWGFSSTGDFMDDGDFYDNKYTLQSKYSNIFVDDFGLYATARRAAISLTYYGYCLENGDYTVRLHFAEIQFTDEGLYNKVARRVFDIYIQGIQVQKDFNFTEEAKGPNRNFTIAFNTTVTDRTLEIRLYWAGKGSTSIPIRGNYGLIISAISVCSGYRTYCEEPEEASKKPIVIGVVTSASFLILLVMGVIYWKLCYGDKNTRERELKGLDLKTGSFTLRQLKAATDNFNSENKIGEGGFGSVYKGELADGTIIAVKQLSPKSRQGNREFVNEIGMISCLQHPNLVRLYGFCIEGDQLLLVYEYMENNSLSRALFGAGSETSALMLDWPTRYKICAGIARGLAFLHEGSAIRIVHRDIKGTNVLLDKDLNAKISDFGLAKLNEEENTHISTRVAGTIGYMAPEYALWGYLTDKADVYSFGVVALEIVSGKSNSSYRPENENVCLLDWAHVLQKKENLMEIVDPKLQSEFNKEEAERMIKAALLCTNASPSLRPAMSEVVSMLEGQTSIPEVTSDPSIYGDDLHSKRVKGHYQQVTDQSLNSTQDLFPPSDKSWIGNSSTSAPDLYLINPKSISLNLSETSSLI >Potri.010G208300.1.v4.1 pep chromosome:Pop_tri_v4:10:19817401:19818647:1 gene:Potri.010G208300.v4.1 transcript:Potri.010G208300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G208300.v4.1 MLKLLDFSLRLSVIPLSVATIWLTVTNKQDNSIYGYLKYSDLTGLKYMVFISGICASYAFIAAVSTWIRCIVTKTWLFFVSDQIVAYLMVTSGTAVLEILYLAYNGDREVSWSEACTSYGKFCYRMKLAVILHALALSCSIILAVISAYRAFSIFEPPLVPSKVVEEDRA >Potri.008G174100.1.v4.1 pep chromosome:Pop_tri_v4:8:12024621:12027807:1 gene:Potri.008G174100.v4.1 transcript:Potri.008G174100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G174100.v4.1 MNITHQIGALAGTPIQAESITNTETTATASAAAVWKTPIPNIRCKITKPDTAEQKSQPTSPCRSPILSAGNGIRPDLSVACRAFATETMDLVSFDETTEQEKTYKEVNTVKEKGVPVYVMMPLDSVTMSNTLNRRKAMNASLQALKSAGVEGVMMDVWWGLVERDTPGVYNWGGYTELLEMAKRHGLKVQAVMSFHQCGGNVGDSCTVPLPKWVVEEVHKDQDLAYTDQWGRRNYEYVSLGCDSIPVLKGRTPVQCYSDFMRAFRDNFKHLLGDTIVEIQVGMGPAGELRYPSYPEQNGTWRFPGIGAFQCYDKYMLSSLKAAAEAAGKPEWGSTGPTDAGEYNNWPEDTRFFRKEGGGWTCPYGEFFLSWYSQMLLDHAERILSSAKAIYENTGVKISVKIAGIHWHYGTRSHAPELTAGYYNTRNRDGYLPIAQMLARYGAIFNFTCIEMRDHEQPQDALCAPEKLVRQVALATREAEVPLAGENALPRYDENAHEQILQASSLNIDGNSKDSEMCAFTYLRMNPHLFQPDNWRRFVGFVKKMNEVKSPDRCLEQVEREAEHFVHVSRPLVKEAAVAHMH >Potri.002G063901.1.v4.1 pep chromosome:Pop_tri_v4:2:4377863:4379526:1 gene:Potri.002G063901.v4.1 transcript:Potri.002G063901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063901.v4.1 MERIFTLNLLYRASLCYFNRLLDFIQTTGALGGAASSLIHVPAEVVKKRMQTGQFASAPDAVHLIVTKEGFKGLYAGATLQILRF >Potri.002G063901.2.v4.1 pep chromosome:Pop_tri_v4:2:4378737:4379069:1 gene:Potri.002G063901.v4.1 transcript:Potri.002G063901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063901.v4.1 MERIFTLNLLYRASLCYFNRLLDFIQTTGALGGAASSLIHVPAEVVKKRMQTGQFASAPDAVHLIVTKEGFKGLYAGATLQILRF >Potri.005G102300.1.v4.1 pep chromosome:Pop_tri_v4:5:7422287:7423567:-1 gene:Potri.005G102300.v4.1 transcript:Potri.005G102300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102300.v4.1 MGFDKEASSSSHVLKIPRFPREDTPLLSKRPPVSSQGKTFANVFIAIVGAGVLGLPYTFKKTGWVMGSIMVFSVAFLTYYCMMLLVHTRRKLESLEGFSKIASFGDLGFTVCGPIGRFAVDIMIVLAQAGFCVSYLIFIANTLAYVVNHQSGDRILGFLSPKALYIWGCFPFQLGLNSIPTLTHLAPLSIFADVVDLGAMGVVMVEDVMVFLKNRPALEAFGGFSVFFYGLGVAVYAFEGIGMVLPLETEAKHKDNFGRVLGLCMAFISLLYGGFGVLGYFAFGEDTKDIITTNLGPGLLSNLVQIGLCVNLFFTFPLMMNPVYEVVERRFCDSRYSIWLRWVVVLGVSLVALLVPNFADFLSLVGSSVCCILGFVLPALFHLLVFKEELGWNGLLLDGAFVVFGVIIAVTGTWSSLMEIFASKTS >Potri.005G102300.2.v4.1 pep chromosome:Pop_tri_v4:5:7421894:7423726:-1 gene:Potri.005G102300.v4.1 transcript:Potri.005G102300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102300.v4.1 MGFDKEASSSSHVLKIPRFPREDTPLLSKRPPVSSQGKTFANVFIAIVGAGVLGLPYTFKKTGWVMGSIMVFSVAFLTYYCMMLLVHTRRKLESLEGFSKIASFGDLGFTVCGPIGRFAVDIMIVLAQAGFCVSYLIFIANTLAYVVNHQSGDRILGFLSPKALYIWGCFPFQLGLNSIPTLTHLAPLSIFADVVDLGAMGVVMVEDVMVFLKNRPALEAFGGFSVFFYGLGVAVYAFEGIGMVLPLETEAKHKDNFGRVLGLCMAFISLLYGGFGVLGYFAFGEDTKDIITTNLGPGLLSNLVQIGLCVNLFFTFPLMMNPVYEVVERRFCDSRYSIWLRWVVVLGVSLVALLVPNFADFLSLVGSSVCCILGFVLPALFHLLVFKEELGWNGLLLDGAFVVFGVIIAVTGTWSSLMEIFASKTS >Potri.005G102300.3.v4.1 pep chromosome:Pop_tri_v4:5:7422186:7423397:-1 gene:Potri.005G102300.v4.1 transcript:Potri.005G102300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G102300.v4.1 MGSIMVFSVAFLTYYCMMLLVHTRRKLESLEGFSKIASFGDLGFTVCGPIGRFAVDIMIVLAQAGFCVSYLIFIANTLAYVVNHQSGDRILGFLSPKALYIWGCFPFQLGLNSIPTLTHLAPLSIFADVVDLGAMGVVMVEDVMVFLKNRPALEAFGGFSVFFYGLGVAVYAFEGIGMVLPLETEAKHKDNFGRVLGLCMAFISLLYGGFGVLGYFAFGEDTKDIITTNLGPGLLSNLVQIGLCVNLFFTFPLMMNPVYEVVERRFCDSRYSIWLRWVVVLGVSLVALLVPNFADFLSLVGSSVCCILGFVLPALFHLLVFKEELGWNGLLLDGAFVVFGVIIAVTGTWSSLMEIFASKTS >Potri.003G029500.6.v4.1 pep chromosome:Pop_tri_v4:3:3209778:3212385:1 gene:Potri.003G029500.v4.1 transcript:Potri.003G029500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G029500.v4.1 MLLTNTSSFCNVICSINGTTTSPGLDVSLMVQNHALLQSPPETASHGYHFITAAQATQQMNYRVPEEGENGGNDTSNMEGERKADMVGGQTPLTGGQSIVFSRLDLTDSSVSTMPVNNVVDSSARTLNLGSTLARTSSPVMSATIGSVNAPSIQQQMQWNQQQQQRSQQILQLQKRQQFAAAASTRSETPTTSNGSVYSDHISSSSSMGTKLPNALLHFLKILFKAAVAAAVNKVCTATGSTNLR >Potri.001G164100.1.v4.1 pep chromosome:Pop_tri_v4:1:13935561:13942550:1 gene:Potri.001G164100.v4.1 transcript:Potri.001G164100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G164100.v4.1 MVTEKSTKPSKDNIEALKSEVASFASSLGLASSTSSYTGFNDTDFRNPKPKPKPKPKPKQNQNEDKPPPPSQKPHLDKKTSNKPPTFRNKNDKSQKPISKPTPKPPILSLDAGDDDKNSNITRKFDKYKNLPKLPLVKAGAVGVWHVDLMELENKVLGEESKGKLEVKMGVGEWKSFVEKKRELGERLMWQYGKDYEQGRGQKGDIKMLLATQRSGTNADKVSAFSVLIGDNPVGNLRSLDALLGMVTSKVGKRHALTGFEALKELFISTLLPDRKLKTLLQRPLNNVPETKDGYSLLLLWYWEDCLKQRYERFVFALEEASRDMLPALKDKALKIMYALLKSKSEQERRLLSALVNKLGDPQNKSASNADFHLSNLLSDHPNMKAVVIDEVDSFLFRPHLGLRSKYHAVNFLSQIRLGHRGDGPKVAKHLIDVYFALFKVLITEAGSSKKMDKSSKAERNTSGSSKENEIKSSPESHIELDSRLLSALLTGVNRAFPYVSSAEADDIIEVQTPTLFQLVHSKNFNVGIQALMLLDKISLKNQIVSDRFYRSLYSKLLLPAVMNSSKAEMFIGLLLRAMKSDINLKRVAAFSKRLLQVALQQPPQYSCGCLFLLSEVLKARPPLWNMVLQSESVDEDLEHFEDIMEETDNEPSTTPKKEEIEVDLVENGDKIDSESDSAEDEDDSPATSSEDDVSDEEELLMEDSSKELQESQPQSDHNGNQPQINSSGSSLPAGYDPRHREPCYCNADRASWWELMVLASHAHPSVATMAGTLLSGANIVYNGNPLNDLSLTAFLDKFMEKKPKQTAWHGGSQIEPAKKLDMNMHLIGPEILSLAEVDVPPEDLVFHKFYVNKMNTSKPKKKKKKKAAEEEAAEDLFDVGDGDDDDGDDDVVGDDESDNEEIDDLLDSTNLSHGAENEYDYDDLDQVVNEDDDDLVDDAEVDALTDTEGEDFDTIVDSDNDAVDVGDADDGTDEDGLDQRKRKRKSRGKAGASPFASLEEYEHVLNEDNATKKKSERKKKSKSLKKRKSSK >Potri.010G111100.1.v4.1 pep chromosome:Pop_tri_v4:10:13113667:13118029:-1 gene:Potri.010G111100.v4.1 transcript:Potri.010G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G111100.v4.1 MACKCPLRAPAYSASITTKLSPSILFTFHLSPSKRHRLTTFSLHNSNSLSSPISSRRRPISGVKIQKSKNAIVCSASNQKKRQSLNFKTLFGKKYLWRRILFASRKVRSIILLNVITVVYASNIPVVKEIEAVMDPATFTAVRFVVSAIPFLPFALQSWDDARIRNAGIELGFWVSLGYLTQALGLLTSDAGRASFISMFTVIVVPLLDGMLGAIVPAHTWFGALMSIVGVAMLESSGSPPSIGDLLNFLSAVFFGVHMLRTEHISRSTDKKNFLPILGFEVCVIAISSTIWYFLGSWFGDVQTCDPSSWTWEMVWHWLAVFPWIPALYTGIFSTGLCLWIEMAAMRDVSATETAIIYGLEPVWGAGFAWFLLGERWGATGWIGAALVLGGSLMVQICGLSSSSSVSGKDEVRSEKVDHLLVSDKQNDFSTSPVRVISKKDVPKILKK >Potri.018G101200.4.v4.1 pep chromosome:Pop_tri_v4:18:12111645:12116916:-1 gene:Potri.018G101200.v4.1 transcript:Potri.018G101200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G101200.v4.1 MSSGSQSSSSSSSSQILCASFNQDNSGFAISTRDGFKIFDSNTGRLCYERASGAFVVVEMLYSSSLLAIVGGGEQPSLSPRRLCLFNTTTGTALRELNFLTSVLAVRLNKKRLVVVLQEKTYIYDLNTLAILDAIDTVPNVKGLCAFSPSLDGCFLALPSSTTKGSVLVYNVMELHSHCEIDAHRSPLAAIVMSFNGMYIATASEQGTIIRVHLVSEATKSYSFRRGTYPSTIFSLSFGPSTQLPEILVALSSSGSIHVFSLGLAINQRGRRSSSFLGSLLPDSVNDAFDPAHHRVLQKAVPAGVKSNVVVRKVDKIADTSLSESVASRATLSVITFNGHFLEYTVDVNTQNESKWRLESEFNLLAVISGEEQHFQLV >Potri.013G037800.1.v4.1 pep chromosome:Pop_tri_v4:13:2543226:2544733:-1 gene:Potri.013G037800.v4.1 transcript:Potri.013G037800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G037800.v4.1 MGWLQSLFSPLKKLWFRKHSTTHKKTEDINILHEDVKSCQYEDVHVLWSILVDSQTPPLTSKR >Potri.001G229800.1.v4.1 pep chromosome:Pop_tri_v4:1:24916309:24921999:-1 gene:Potri.001G229800.v4.1 transcript:Potri.001G229800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G229800.v4.1 MTTTKNTEKPSNFPATMATNTPNSTAAAATTRPFNPTTTTPPRPQSPFQIHHQHIYPVIRPQTQTPNPIIPPSHQGVLYPVASSGRGFIPRPVRPHQDQTPANQGAYHPRGAGVAYRPHTPTTVVGSPSSRSHPNPQQLGDLHHLHNVQQQHLMMSRQHPTHLQHHNYVGFGLGVGSVAAPIKGIPVTGQLKVAPSPVSDSNGYKNLRDRSRDDNLMVVRDRKVRISDGAPLYALCRSWLRNGFPEESEVHYGDSVKPLPRPLLPKEESEEEVEKEKKDEEPVDNLSAAELLKRHIKHAKKVRARLREERLKRIARYKSRLALLLPPQVEQFRNDTPAEN >Potri.004G130600.3.v4.1 pep chromosome:Pop_tri_v4:4:14772066:14775384:-1 gene:Potri.004G130600.v4.1 transcript:Potri.004G130600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130600.v4.1 MEAKTLAADKTTDPGAKTIKENGKEGFVDDLAPAFDVNNLEDSEINGVSSLLKMQESGCLKGLESVLDYLNKNEQRGFGDHGLNSDLLVANERSIDDADADDGREGEVDIADDQFRVGDFVWGKIKSHPWWPGRVYDPSNASDYAKKVKQRDKILVAYFGDSTFAWCNPSQLSPFEENFVEMFKQSNSKSFVNAVKEAVDEVGRLVDLKMTCACVPQENLIGFGRSLAVNTGIKEGLLVPEGGIEKFSTALFEPAAFLPVLKDVAQFVSTVNMLEVTVLKNWLSAFYRAKGGYQLPTYHEPLPISGLDDDTRNWMMDLTDHSGGVEARIQGPVEEDWLSSPTSCKFGQTTQGPLQKCQDMSEDRWNRRRKQKSIAEILRGDIDAEAENKEDDVTKEETESRKQTSSADRETGKGGGKIMGQVMDAKIQNVVGDVPIDKASSGKPASSSGREKRKASDKADAEDKSQVGDVGEAGTNSGKHESTSGRKKRKVSDKAAADCKNEVGNAAELRSNSEKSASSSGRKKRKVSDDVNADGGSDSVSRLRKETTLSESFVASDIEVGGRDVKKVSSAFENDDAEGNIDETRDKTVSGKKKIDGGLSDLRDGDEAKARIEKGSFSRERRQSKYLSPPYTNINRGQYTNINRGQRKKGLEAESKKISDDPQLRERMTMAAGHLICEKFQMKAYEETGGDQISDSSGPQTPKQDQNNIIDLVKIKAPVNQMLSHVQSLALNPTYLKEGNALGFVEEFVSAFRSSIYRNGSNYKMYNKHQPGRTKRKSQESEPGTSGVEQNLADQSSADYKSRSKRPKKSEEAKLDKLRVRQAATATDVKTSDKESDGKSQAAAALYATFSPGSSLPSKNDLIMIYEKFGPLNQEETEVFYNNGCARIVFLRSPEAEKAFNDSQIASPFGAASVTFQLQYLSSAETKTPELRGIPSLKSSPLAKDKTNLDKELASQSSANDVSQLNYIKQKLEMMSSILKMSDGTDMKSKLEGEIKGLLEKVSTMARSSL >Potri.004G130600.2.v4.1 pep chromosome:Pop_tri_v4:4:14771663:14775647:-1 gene:Potri.004G130600.v4.1 transcript:Potri.004G130600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130600.v4.1 MEAKTLAADKTTDPGAKTIKENGKEGFVDDLAPAFDVNNLEDSEINGVSSLLKMQESGCLKGLESVLDYLNKNEQRGFGDHGLNSDLLVANERSIDDADADDGREGEVDIADDQFRVGDFVWGKIKSHPWWPGRVYDPSNASDYAKKVKQRDKILVAYFGDSTFAWCNPSQLSPFEENFVEMFKQSNSKSFVNAVKEAVDEVGRLVDLKMTCACVPQENLIGFGRSLAVNTGIKEGLLVPEGGIEKFSTALFEPAAFLPVLKDVAQFVSTVNMLEVTVLKNWLSAFYRAKGGYQLPTYHEPLPISGLDDDTRNWMMDLTDHSGGVEARIQGPVEEDWLSSPTSCKFGQTTQGPLQKCQDMSEDRWNRRRKQKSIAEILRGDIDAEAENKEDDVTKEETESRKQTSSADRETGKGGGKIMGQVMDAKIQNVVGDVPIDKASSGKPASSSGREKRKASDKADAEDKSQVGDVGEAGTNSGKHESTSGRKKRKVSDKAAADCKNEVGNAAELRSNSEKSASSSGRKKRKVSDDVNADGGSDSVSRLRKETTLSESFVASDIEVGGRDVKKVSSAFENDDAEGNIDETRDKTVSGKKKIDGGLSDLRDGDEAKARIEKGSFSRERRQSKYLSPPYTNINRGQYTNINRGQRKKGLEAESKKISDDPQLRERMTMAAGHLICEKFQMKAYEETGGDQISDSSGPQTPKQDQNNIIDLVKIKAPVNQMLSHVQSLALNPTYLKEGNALGFVEEFVSAFRSSIYRNGSNYKMYNKHQPGRTKRKSQESEPGTSGVEQNLADQSSADYKSRSKRPKKSEEAKLDKLRVRQAATATDVKTSDKESDGKSQAAAALYATFSPGSSLPSKNDLIMIYEKFGPLNQEETEVFYNNGCARIVFLRSPEAEKAFNDSQIASPFGAASVTFQLQYLSSAETKTPELRGIPSLKSSPLAKDKTNLDKELASQSSANDVSQLNYIKQKLEMMSSILKMSDGTDMKSKLEGEIKGLLEKQAVKDSCILFTLALHDYSLSGDGREKYY >Potri.004G130600.1.v4.1 pep chromosome:Pop_tri_v4:4:14771889:14775693:-1 gene:Potri.004G130600.v4.1 transcript:Potri.004G130600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G130600.v4.1 MEAKTLAADKTTDPGAKTIKENGKEGFVDDLAPAFDVNNLEDSEINGVSSLLKMQESGCLKGLESVLDYLNKNEQRGFGDHGLNSDLLVANERSIDDADADDGREGEVDIADDQFRVGDFVWGKIKSHPWWPGRVYDPSNASDYAKKVKQRDKILVAYFGDSTFAWCNPSQLSPFEENFVEMFKQSNSKSFVNAVKEAVDEVGRLVDLKMTCACVPQENLIGFGRSLAVNTGIKEGLLVPEGGIEKFSTALFEPAAFLPVLKDVAQFVSTVNMLEVTVLKNWLSAFYRAKGGYQLPTYHEPLPISGLDDDTRNWMMDLTDHSGGVEARIQGPVEEDWLSSPTSCKFGQTTQGPLQKCQDMSEDRWNRRRKQKSIAEILRGDIDAEAENKEDDVTKEETESRKQTSSADRETGKGGGKIMGQVMDAKIQNVVGDVPIDKASSGKPASSSGREKRKASDKADAEDKSQVGDVGEAGTNSGKHESTSGRKKRKVSDKAAADCKNEVGNAAELRSNSEKSASSSGRKKRKVSDDVNADGGSDSVSRLRKETTLSESFVASDIEVGGRDVKKVSSAFENDDAEGNIDETRDKTVSGKKKIDGGLSDLRDGDEAKARIEKGSFSRERRQSKYLSPPYTNINRGQYTNINRGQRKKGLEAESKKISDDPQLRERMTMAAGHLICEKFQMKAYEETGGDQISDSSGPQTPKQDQNNIIDLVKIKAPVNQMLSHVQSLALNPTYLKEGNALGFVEEFVSAFRSSIYRNGSNYKMYNKHQPGRTKRKSQESEPGTSGVEQNLADQSSADYKSRSKRPKKSEEAKLDKLRVRQAATATDVKTSDKESDGKSQAAAALYATFSPGSSLPSKNDLIMIYEKFGPLNQEETEVFYNNGCARIVFLRSPEAEKAFNDSQIASPFGAASVTFQLQYLSSAETKTPELRGIPSLKSSPLAKDKTNLDKELASQSSANDVSQLNYIKQKLEMMSSILKMSDGTDMKSKLEGEIKGLLEKVSTMARSSL >Potri.009G021300.1.v4.1 pep chromosome:Pop_tri_v4:9:3365037:3366378:1 gene:Potri.009G021300.v4.1 transcript:Potri.009G021300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G021300.v4.1 MTLCSDLSLETKGQRRVASVFGKLNFCIPKKSFHQSKQTIGMADGVSGESEESIAVYPGIYPRKLMPYCIGMADGVSGESEESSAIDSGIYAIKLMPCCAANRMIDVKYEAARDVNEEATSEFDNLKLVSGCFYLPKKSESRPLGQDAHFHFQTKRTIGVADGVTGRSERSVAIDSGIYARELMSNCVAKLGRKPNGAAVNPKRVLKTAHYKTVSKGSSTACVVSLNGTRLCYANVGDSGFLVFRSNRCVYTSTIKQRRFNHPYQLNNSGRRIIEFDDIADEGEFEVEAGDVVVLGTDGLLDNLFAHEIEDILEKQISCETPHMHPQQIAVAIGVAAEANSRNDLYKSPFSMAAGLAGFECVGGKYDDITVIVARIESSH >Potri.001G134450.1.v4.1 pep chromosome:Pop_tri_v4:1:10947630:10951099:-1 gene:Potri.001G134450.v4.1 transcript:Potri.001G134450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G134450.v4.1 MSEGVVTFLLTKLGDFLAERGKQLAGVQGEAEYISDELEFMTAFLRLADAMEDGDPVLKCLIKKVRDAAYDTEDALDNFSLSLASDTGHGFFSCFRKISRSIKDARARRRIASKIQIIKSRVISISESHRRYCNKNNIMIQGSSSISIPRLECQKDALLLEEADLVGIEKPKKQLIEWLLGSKSGREVISVVGMGGLGKSTLVKKVYDDSDVKKHFKFRAWITVSQSFKREDLLKDMIQQLFRVHRKPDPKGVDSMNYNKLRSVIHEFLRQKKYLIVLDDVWHTSAWRAFQHALPNNICGSRILVTTRNTEVASTSCMDSPDKVYPLNPLSQEESWTLFCKKIFQDNLCPPHLKNVSETILGRCEGLPLAIVAISGVLATKDKSKTDEWEMVHLSLGAGLEENDMLMSARKILSLSYNDLPYYLKSCLLYFSIFPVGNRIKRMRLIRLWIAEGFVKGKEGMTVEEVAQDYLNELMKRSLVQVVKATSDGRVKTCRVHDLLREIMITKAKDQDFVAIAKEEGTIWPEKVRRVSMHNVMPSKQQRHVASRFRSLLTFWVADCSYESPVHNLFSGRLRLLHVLDLEGAPLKEFPNEVVSLFLLKYLSLRNTRVSFIPSSISKLKNLETLDLKHAQVSVLPAEIRKLRKLCYLLVYRYEIDSDDRIPAKYGFKAPAHIGGLQSIQKLCFVEAHQGRNLMLELGRLKQLRRLGIVKLKKKHGKALCSSIERLTNLRALSLTSITESEIIDLDYLASPPQFLQRLYLAGRMEKFPDWISSLDSLVKLVLKWSKLSEDPLLSLQYLPNLVHLEFVQVYNGEILCFQAKGFQRLKFLGLNKLDRLRIIIVERGAMPSLEKMIVQSCKSLRRVPSGIEHLSTLKVLEFFNMPKELVMTLHPNGEDGDYLKVAHVPDVYSTYWNNGNWDIFSLLSAKLEDKHSAQLSPTLYKRNYTWK >Potri.001G109000.10.v4.1 pep chromosome:Pop_tri_v4:1:8787005:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MRRHYEVIFLIFCIFLSYFTVQSIEILSKSKLERCEKASDSDNDLNCTRKIVLNMAVPSGSSGGEASIVAEIAEVEENATDLMETVRVPPVITINKTAAYALYELTYIRDVAYKPEEYYVKTRKCDRDAGANVVKICERLQDENGHIIEHSQPLCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGVECNKIGVSYEAFSGQPNFCASPFWSCLHNQLWNFHDADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTFDCSRGVSLMEEQFFILKPNEITIRSFKIYPTTDKAARYVCADCSLSTTKDQCKWFL >Potri.001G109000.14.v4.1 pep chromosome:Pop_tri_v4:1:8787005:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MRRHYEVIFLIFCIFLSYFTVQSIEILSKSKLERCEKASDSDNDLNCTRKIVLNMAVPSGSSGGEASIVAEIAEVEENATDLMETVRVPPVITINKTAAYALYELTYIRDVAYKPEEYYVKTRKCDRDAGANVVKICERLQDENGHIIEHSQPLCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGVECNKIGVSYEAFSGQPNFCASPFWSCLHNQLWNFHDADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTFDCSRGVSLMEEQFFILKPNEITIRSFKIYPTTDKAARYVCAAILKDSGFNEIDRAECQFFTTATILDNGSQIAPFLPPKTSVNGFFESIENIWNRIWEGLVDFITGKTCR >Potri.001G109000.13.v4.1 pep chromosome:Pop_tri_v4:1:8787005:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MLCMNLLIYGMLLINLKSIMLRRANVTGMPVQMLSRYVRVDKLMKGKANTAHCVRFPGDWFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGVECNKIGVSYEAFSGQPNFCASPFWSCLHNQLWNFHDADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTFDCSRGVSLMEEQFFILKPNEITIRSFKIYPTTDKAARYVCAAILKDSGFNEIDRAECQFFTTATILDNGSQIAPFLPPKTSVNGFFESIENIWNRIWEGLVDFITGKTCRQKCSSFFDFSCHIQYVCMSWMVMFGLLLSIFPTVLVLLWLLHQKGLFDPLYDWWEDHLWTDEQRIRDTRRHNKDIHVNRHHELGARQHKHNAHKKRTIHQEHRHRHSGRDTEYYHYLHHVHKDKSKHRGSKKTSVMQQVYLDGVGNTKVGHHGHRKERDHGRTVKITSQK >Potri.001G109000.8.v4.1 pep chromosome:Pop_tri_v4:1:8787005:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MRRHYEVIFLIFCIFLSYFTVQSIEILSKSKLERCEKASDSDNDLNCTRKIVLNMAVPSGSSGGEASIVAEIAEVEENATDLMETVRVPPVITINKTAAYALYELTYIRDVAYKPEEYYVKTRKCDRDAGANVVKICERLQDENGHIIEHSQPLCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGVECNKIGVSYEAFSGQPNFCASPFWSCLHNQLWNFHDADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTFDCSRGVSLMEEQFFILKPNEITIRSFKIYPTTDKAARYVCAAILKDSGFNEIDRAECQFFTTATILDNGSQIAPFLPPKTSVNGFFESIENIWNRIWEGLVDFITGKTCSACAAMAFASERTF >Potri.001G109000.15.v4.1 pep chromosome:Pop_tri_v4:1:8787885:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MKGKANTAHCVRFPGDWFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGVECNKIGVSYEAFSGQPNFCASPFWSCLHNQLWNFHDADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTFDCSRGVSLMEEQFFILKPNEITIRSFKIYPTTDKAARYVCAAILKDSGFNEIDRAECQFFTTATILDNGSQIAPFLPPKTSVNGFFESIENIWNRIWEGLVDFITGKTCRQKCSSFFDFSCHIQYVCMSWMVMFGLLLSIFPTVLVLLWLLHQKGLFDPLYDWWEDHLWTDEQRIRDTRRHNKDIHVNRHHELGARQHKHNAHKKRTIHQEHRHRHSGRDTEYYHYLHHVHKDKSKHRGSKKTSVMQQVYLDGVGNTKVGHHGHRKERDHGRTVKITSQK >Potri.001G109000.2.v4.1 pep chromosome:Pop_tri_v4:1:8787005:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MRRHYEVIFLIFCIFLSYFTVQSIEILSKSKLERCEKASDSDNDLNCTRKIVLNMAVPSGSSGGEASIVAEIAEVEENATDLMETVRVPPVITINKTAAYALYELTYIRDVAYKPEEYYVKTRKCDRDAGANVVKICERLQDENGHIIEHSQPLCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGVECNKIGVSYEAFSGQPNFCASPFWSCLHNQLWNFHDADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTFDCSRGVSLMEEQFFILKPNEITIRSFKIYPTTDKAARYVCAAILKDSGFNEIDRAECQFFTTATILDNGSQIAPFLPPKTSVNGFFESIENIWNRIWEGLVDFITGKTCRQKCSSFFDFSCHIQYVCMSWMVMFGLLLSIFPTVLVLLWLLHQKGLFDPLYDWWEDHLWTDEQRIRDTRRHNKDIHVNRHHELGARQHKHNAHKKRTIHQEHRHRHSGRDTEYYHYLHHVHKDKSKHRGSKKTSVMQQVYLDGVGNTKVGHHGHRKERDHGRTVKITSQK >Potri.001G109000.12.v4.1 pep chromosome:Pop_tri_v4:1:8787885:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MQRFTFCVGDNTIFSFSSHVLLLPSSFLFSLLCLATLLSLWAPTAGTIIMRKLFRQTDEREGQHCTLRQISRFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGVECNKIGVSYEAFSGQPNFCASPFWSCLHNQLWNFHDADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTFDCSRGVSLMEEQFFILKPNEITIRSFKIYPTTDKAARYVCAAILKDSGFNEIDRAECQFFTTATILDNGSQIAPFLPPKTSVNGFFESIENIWNRIWEGLVDFITGKTCRQKCSSFFDFSCHIQYVCMSWMVMFGLLLSIFPTVLVLLWLLHQKGLFDPLYDWWEDHLWTDEQRIRDTRRHNKDIHVNRHHELGARQHKHNAHKKRTIHQEHRHRHSGRDTEYYHYLHHVHKDKSKHRGSKKTSVMQQVYLDGVGNTKVGHHGHRKERDHGRTVKITSQK >Potri.001G109000.6.v4.1 pep chromosome:Pop_tri_v4:1:8787005:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MRRHYEVIFLIFCIFLSYFTVQSIEILSKSKLERCEKASDSDNDLNCTRKIVLNMAVPSGSSGGEASIVAEIAEVEENATDLMETVRVPPVITINKTAAYALYELTYIRDVAYKPEEYYVKTRKCDRDAGANVVKICERLQDENGHIIEHSQPLCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQGEPGQPQDLGRNFSMWMLLERVRFTLDGVECNKIGVSYEAFSGQPNFCASPFWSCLHNQLWNFHDADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTIAPFLPPKTSVNGFFESIENIWNRIWEGLVDFITGKTCRQKCSSFFDFSCHIQYVCMSWMVMFGLLLSIFPTVLVLLWLLHQKGLFDPLYDWWEDHLWTDEQRIRDTRRHNKDIHVNRHHELGARQHKHNAHKKRTIHQEHRHRHSGRDTEYYHYLHHVHKDKSKHRGSKKTSVMQQVYLDGVGNTKVGHHGHRKERDHGRTVKITSQK >Potri.001G109000.4.v4.1 pep chromosome:Pop_tri_v4:1:8787005:8794921:1 gene:Potri.001G109000.v4.1 transcript:Potri.001G109000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G109000.v4.1 MRRHYEVIFLIFCIFLSYFTVQSIEILSKSKLERCEKASDSDNDLNCTRKIVLNMAVPSGSSGGEASIVAEIAEVEENATDLMETVRVPPVITINKTAAYALYELTYIRDVAYKPEEYYVKTRKCDRDAGANVVKICERLQDENGHIIEHSQPLCCPCGPQRRVPSSCGNFFDKLMKGKANTAHCVRFPGDWFHVFGIGQRSMGFSVRIEVKTGSKVSEVTVGPENRTVTSKDNFLRVNLIGDFVGYSNIPSFEDFYLVIPRQADQNRIRRKQLPLYGVEGRFERINQHPNAGTHSFSIGITEVLNTNLLIELTADDIEYVYQRSPGKLLSFTIPTFEALTQFGVATVSAENIGEVEASYSLTFDCSRGVSLMEEQFFILKPNEITIRSFKIYPTTDKAARYVCAAILKDSGFNEIDRAECQFFTTATILDNGSQIAPFLPPKTSVNGFFESIENIWNRIWEGLVDFITGKTCRQKCSSFFDFSCHIQYVCMSWMVMFGLLLSIFPTVLVLLWLLHQKGLFDPLYDWWEDHLWTDEQRIRDTRRHNKDIHVNRHHELGARQHKHNAHKKRTIHQEHRHRHSGRDTEYYHYLHHVHKDKSKHRGSKKTSVMQQVYLDGVGNTKVGHHGHRKERDHGRTVKITSQK >Potri.004G111400.1.v4.1 pep chromosome:Pop_tri_v4:4:10207846:10213695:1 gene:Potri.004G111400.v4.1 transcript:Potri.004G111400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111400.v4.1 MTTGNIASKKERKSRRNKPVDENAPLLPKRQEDVGFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLVLGVSLIIFMAFLAEASIEMLLRFSRAGKCASYGGLMGDAFGKTGRIMLQVAVLINNVGVLIVYMIIIGDVLSGTSSSGSHHAGVLEGWFGEHWWNARTFVLLVTTLFVFSPLACFKRIDSLSYTSALSVALAVVFLIITVGITIVKLINGSIAMPRLMPDVTDMTSFWKLFTTVPVLVTAYICHYNVHSIDNELEDSTQIKPVVRTALALCSSVYMMTSIFGFLLFGDATLDDVLANFDTNLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNLDGLLFPSAQPFHQANTRFALVTIGLIALIFLGANCIPSIWDAFQFTGATAAVCLGFIFPASITLRDRHNIASKRDKILCIFMIALAVFSNGVAIYSDAYALIKKNPSPRE >Potri.004G111400.3.v4.1 pep chromosome:Pop_tri_v4:4:10208406:10213642:1 gene:Potri.004G111400.v4.1 transcript:Potri.004G111400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G111400.v4.1 MTTGNIASKKERKSRRNKPVDENAPLLPKRQEDVGFDEFNGASFTGAVFNLSTTIVGAGIMALPATMKVLGLVLGVSLIIFMAFLAEASIEMLLRFSRAGKCASYGGLMGDAFGKTGRIMLQVAVLINNVGVLIVYMIIIGDVLSGTSSSGSHHAGVLEGWFGEHWWNARTFVLLVTTLFVFSPLACFKRIDSLSYTSALSVALAVVFLIITVGITIVKLINGSIAMPRLMPDVTDMTSFWKLFTTVPVLVTAYICHYNVHSIDNELEDSTQIKPVVRTALALCSSVYMMTSIFGFLLFGDATLDDVLANFDTNLGIPYSSLLNDAVRVSYAAHLMLVFPIVFFPLRLNLDGLLFPSAQPFHQANTRFALVTIGLIALIFLGANCIPSIWDAFQFTGATAAVCLGFIFPASITLRDRHNIASKRDKILCIFMIALAVFSNGVAIYSDAYALIKKNPSPRE >Potri.015G127500.1.v4.1 pep chromosome:Pop_tri_v4:15:13910742:13912400:-1 gene:Potri.015G127500.v4.1 transcript:Potri.015G127500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G127500.v4.1 MATKFGLSVLFISFCSLFSPTLSSITSNEIDSWCNKIPHPEPCKYSMKQNPNPFIPNQEFESRKVAIELALKSALTAQNHHQRLWPTLRNEKEKNAWKHCLNFYNKTIDELILALDSNIKSTNFDTQTWLSAASTYLESCKDTINDLGVSDSMLPLMMSNNVSKLITNSLALHNKASSVFPQTYQDDLPTWVKASDRKLLQEPSPSPDLVVAQDGSGDYSNIKAALEAAEKSSGNGRFVIYIKSGVYKEYLEIGKKLENIMLVGDGMTKTIITGNKRSGGGVDTFHTATVGVDGHGFIARDITFQNTAGPQNHQAVALRSSSDYSVFYRCGFEGYQDTLYVHSKRQFYRECSIYGTIDFIFGDAAVVLQNCMIYVRRPIGSQNNVITAQGRSCPYTNTGIVIHNSQVFAAEDLGSSKTYLGRPWRKYSRTVFLSTYLDSSVDPAGWLEWNGSFALNTLYYGEYKNTGPGASTSGRVKWPGYKVITSAEEASEFTVANFIGGRSWLPATGVQFAAGL >Potri.002G200100.2.v4.1 pep chromosome:Pop_tri_v4:2:16289247:16290030:1 gene:Potri.002G200100.v4.1 transcript:Potri.002G200100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G200100.v4.1 MSSSDFRGKPEGPEHQPPPSTLLLSKDTCPRDTLKLQACANVLTLAKIYVGEKEKATCCSLIDGLVDLEATVCLCIRVGVDLLGIIKLDIPVSVEVRVAQ >Potri.002G024800.5.v4.1 pep chromosome:Pop_tri_v4:2:1614718:1615968:-1 gene:Potri.002G024800.v4.1 transcript:Potri.002G024800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024800.v4.1 MVASVGHSCEKSADLLSQYMAYKVSGPCPGDWSLGQKLILRGCEPLPRRRCFAKSVQKVGLYRFPVSLWKPVSEKILTWSGLGCKNLECLNRKKLSRDCVGCFNITSDYETQRFVKARGKNDFIIDDVLALASGGIRIGFDIGGGSGTFAARMAERNVTVITNTLNVDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLIHASSGLDGGDKPEKLEFLMFDIDRILRAGGLFWLDNFYCADDEKKTALTRLIERFQYKKLKWVVGEKIDTAGSGKSEVYLSAVLQKPARV >Potri.002G024800.4.v4.1 pep chromosome:Pop_tri_v4:2:1614743:1616217:-1 gene:Potri.002G024800.v4.1 transcript:Potri.002G024800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024800.v4.1 MLFSVLTTNLFALYAFTSSPKDHQTHLFHNPHKNISLISEHVSLILREIAASQKKLAGMEKELLGYETMDISRPNIASELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMAYKVSGPCPGDWSLGQKLILRGCEPLPRRRCFAKSVQKVGLYRFPVSLWKPVSEKILTWSGLGCKNLECLNRKKLSRDCVGCFNITSDYETQRFVKARGKNDFIIDDVLALASGGIRIGFDIGGGSGTFAARMAERNVTVITNTLNVDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLIHASSGLDGGDKPEKLEFLMFDIDRILRAGGLFWLDNFYCADDEKKTALTRLIERFQYKKLKWVVGEKIDTAGSGKSEVYLSAVLQKPARV >Potri.002G024800.2.v4.1 pep chromosome:Pop_tri_v4:2:1614762:1616372:-1 gene:Potri.002G024800.v4.1 transcript:Potri.002G024800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G024800.v4.1 MGSVSLKIGDGTARFKRASFCSSAVNILMLFSVLTTNLFALYAFTSSPKDHQTHLFHNPHKNISLISEHVSLILREIAASQKKLAGMEKELLGYETMDISRPNIASELKLFLQHHQLPLGKDSRTGITEMVASVGHSCEKSADLLSQYMAYKVSGPCPGDWSLGQKLILRGCEPLPRRRCFAKSVQKVGLYRFPVSLWKPVSEKILTWSGLGCKNLECLNRKKLSRDCVGCFNITSDYETQRFVKARGKNDFIIDDVLALASGGIRIGFDIGGGSGTFAARMAERNVTVITNTLNVDAPFSEFIAARGLFPLYLSLDHRFPFYDNVFDLIHASSGLDGGDKPEKLEFLMFDIDRILRAGGLFWLDNFYCADDEKKTALTRLIERFQYKKLKWVVGEKIDTAGSGKSEVYLSAVLQKPARV >Potri.001G060000.1.v4.1 pep chromosome:Pop_tri_v4:1:4579779:4580991:1 gene:Potri.001G060000.v4.1 transcript:Potri.001G060000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060000.v4.1 MEPKGPNHHQLQVPSFLNPPQKASMPENNINNHNKQPAEIKDLQIMIENRDHNKKQLAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGNKSDGETIQWLLQQAEPSIIAATGTGTFPASALAVAGASVSEQGNSVSTGLHTKMEGLGPAVVGSRDRTNWTMMNTNLGRSNVASGVWPSVGGIGSGFVPNSGQSTSNFGNENSTTLPKYGFHGVEFPNINMGLMSFYSMFSGTNQQFPGLELGLSQDGHGGMFNPQALNPFCQQMVQGRGVLNSLNQEQQQEQPHDKDDSQGSRQ >Potri.001G060000.3.v4.1 pep chromosome:Pop_tri_v4:1:4579838:4581148:1 gene:Potri.001G060000.v4.1 transcript:Potri.001G060000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G060000.v4.1 MPENNINNHNKQPAEIKDLQIMIENRDHNKKQLAPKRSSNKDRHKKVDGRGRRIRMPALCAARIFQLTRELGNKSDGETIQWLLQQAEPSIIAATGTGTFPASALAVAGASVSEQGNSVSTGLHTKMEGLGPAVVGSRDRTNWTMMNTNLGRSNVASGVWPSVGGIGSGFVPNSGQSTSNFGNENSTTLPKYGFHGVEFPNINMGLMSFYSMFSGTNQQFPGLELGLSQDGHGGMFNPQALNPFCQQMVQGRGVLNSLNQEQQQEQPHDKDDSQGSRQ >Potri.008G070000.1.v4.1 pep chromosome:Pop_tri_v4:8:4281378:4283748:1 gene:Potri.008G070000.v4.1 transcript:Potri.008G070000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070000.v4.1 MKDGGGLRSQSCNYPSILVFFTFSIVACVLFNENFVALPYPRFKGILEEYPAVQENSNIDDVRPSAKGTKENVNMELVSVEGDHEDEEVVLPRKECDIFLGEWVLDKLTHPLYKEEDCEFLTDSVTCIKNGRKDSMYQNWRWQPRDCSLPKFKATLLLEKLRGKRLMFVGDSLNRQQWESMICLVQSVIPLDKKSLSSSSSFLSVFKIEDYNATIEFYWAPFLVESNSDAVSNRNGQSDRVIMPESISKHGDDWKNVDYLIFNTYIWWMTSIYSKVLRGGSFVEGPIEYDEVELPIAYERVLRTWAKWVEENVDPKHSSVFFSSMSPTHARNLDWDNPDGIKCSNETKPILNKSKPFDVGTNRQLFAIAVNVTRSMKVPVNFLNVTTLSEYRKDAHTSIYTAIEGKLLSPEEKSDPLKYADCLHWCLPGLPDTWNELLYTYIISRT >Potri.018G148000.1.v4.1 pep chromosome:Pop_tri_v4:18:15914086:15918608:-1 gene:Potri.018G148000.v4.1 transcript:Potri.018G148000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G148000.v4.1 MGLFLQVLTVLVITVSLQGWLPLGCLEEERIALLHLKDSLNYPNGTSLPSWRIAHANCCDWERIVCNSSTGRVTLLDLLGVRNEELGDWYLNASLFLPFQQLNALSLYGNRIAGWVENKGGYELQKLSNLEILDLEYNSFNNSILSFVERLPSLKSLYLDYNRLEGLIDLKESLSSLETLSLDGNNISKLVASRGPSNLRTLSLYNITTYGSSFQLLQLLGAFQNLTTLYLGSNDFRGRILGDALQNLSSLKELYLDGCSLDEHSLQSLGALPSLKNLSLQELNGTVPYGGFLYLKNLKYLDLSYNTLNNSIFQAIETMTSLKTLKLKGCGLNGQISSTQGFLNLKNLEYLDLSDNTLDNNILQSIRAMTSLKTLGLQSCRLNGRIPTTQGLCDLNHLQELYMSDNDLSGFLPLCLANLTSLQRLSLSSNHLKIPMSLSPFHNLSKLKYFDGSGNEIFAEEDDRNMSSKFQLEYLYLSSRGQGAGAFPRFLYHQFSLRYLDLTNIQIKGEFPSWLIENNTYLQELHLENCSLSGPFLLPKNSHVNLSFLSISMNHFRGQIRSEIGAHLPGLEVLFMSDNGFNGSIPFSLGNISSLQWLDLSNNILQGQIPGWIGNMSSLEFLDLSGNNFSGRFPPRFSTSSNLRYVYLSRNKLQGPITMTFYDLAEIFALDLSHNNLTGTIPEWIDRLSNLRFLLLSYNNLEGEIPIQLSRLDRLTLIDLSHNHLSGNILYWMISTHSFPQLYNSRDSLSSSQQSFEFTTKNVSLSYRGSIIWYFTGIDFSCNNFTGEIPPEIGNLSMIKVLNLSHNNLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFIVAHNNLSGKTPARVAQFATFDESCYKDNPFLCGEPLSKICGVAMPPSPTSTNNEDNGGFMDMKVFYVTFWVAYIMVLLVIGAVLYINPYWRRGWFYFIEVSINNCYYFLVDNFPILSKFGFS >Potri.018G117954.1.v4.1 pep chromosome:Pop_tri_v4:18:13328111:13329691:1 gene:Potri.018G117954.v4.1 transcript:Potri.018G117954.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G117954.v4.1 MGFNRFSLPAVAVIMMINAMLLSQGCFEEERIALLQIKTSFRDHPNDFPSPVLSWGKDALCCSWEGVTCSNSTTRRVIEIDLSFARYEWYSSMGDWYLNASIFLPFQELNVLDLSENGIAGCVANEGFERLSRLAKLEVLYLGDNNLNDSILSSLKELSSLKYLNLGGNLLQGSINMKDT >Potri.005G139800.1.v4.1 pep chromosome:Pop_tri_v4:5:11045637:11056267:1 gene:Potri.005G139800.v4.1 transcript:Potri.005G139800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G139800.v4.1 MDQQVKANGNCSGRVVEGPTNPMVTPLLTDLYQFTMAYAYWKANKHQERAVFDLYFRKNPFGGEYTVFAGLEECIRLIANFKFTEDEISFIRESLPGSCEDGFFDYLRGLDCSEVEVYSIAEGSVVFPKVPLLRIEGPIAVAQLLETPLVNLVNYASLVATNAARHRFVAGKSKMLLEFGLRRAQGPDGGISASKYCYLGGFDATSNVAAGRLFGIPLRGTHSHAFVSSYMSPDEIIDKSLRSADGSSSCEDLVSLAQTWLSKIQWSSSLRGIFGETNQSELAAFTSYALAFPISFLALVDTYDVMRSGIPNFCAVALALNDLGYKAVGIRLDSGDLAYLSCEARKFFRAIEKEFGVPGFGKMSITASNDLNEETLDALNKQGHEVDAYGIGTYLVTCYAQAALGCVFKLVEINNQPRIKLSEDVSKVSIPCKKRSYRLYGREGYPLVDIMTGENEPSPKVGERILCRHPFNESKRAYVVPQQVEELLKCYWPGSSDKPREDLPPLKDIRDRCIKQLEIMRPDHMRRLNPTPYKVSVSAKLYDFIHFLWLNEAPVGELQ >Potri.008G209600.2.v4.1 pep chromosome:Pop_tri_v4:8:16068737:16070513:-1 gene:Potri.008G209600.v4.1 transcript:Potri.008G209600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G209600.v4.1 MRPLDEKEMGEVFKKHMLFTGNNLKNIIENPSHEGPDPNPGRYRFRLHKNKVFYISESLVKRATNIGRKNLVSLGTCIGKFTHGGGFRLTVQPLNLLAANAKHKVWLKPTSEMSFLYGNHVLKGGLGKITDSINRNDGVVVFSMSDVPLGFGPAARSTQECRKCDPNGIVVHHYADIGEYLRDEDDL >Potri.008G209600.3.v4.1 pep chromosome:Pop_tri_v4:8:16068714:16070513:-1 gene:Potri.008G209600.v4.1 transcript:Potri.008G209600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G209600.v4.1 MRPLDEKEMGEVFKKHMLFTGNNLKNIIENPSHEGPDPNPGRYRFRLHKNKVFYISESLVKRATNIGRKNLVSLGTCIGKFTHGGGFRLTVQPLNLLAANAKHKVWLKPTSEMSFLYGNHVLKGGLGKITDSINRNDGVVVFSMSDVPLGFGPAARSTQECRKCDPNGIVVHHYADIGEYLRDEDDL >Potri.005G042700.3.v4.1 pep chromosome:Pop_tri_v4:5:2692949:2695263:-1 gene:Potri.005G042700.v4.1 transcript:Potri.005G042700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G042700.v4.1 METENPIEASTKLQSEINNNGDGNLVDALTKGQSSRKRTPKSLRAKSKIIEKPSANNSLKSKKAKSSPKSQGRNRKKNKDIIQGKGERNRNAHGDADNLNSSEKNVSKKERIENGQEIRKNQERFVGSNKGQRNQKSGEKHGVLVDRSSRNQKNKGKHDEREKNGWDEKKKEKLGGMIFMCSAKTKPDCFLYRVMGVTMNKKELILGVKPGLKLFLYDFDLKLMYGIYEASSAGGVKLEPKAFGGSFPFQVRFVVHKDCFPITESVFKKAIKDNYNEKNKFKTELTVRQVLKLSALFRPVIGPVRSPPMVTVQDREVYAGARDLQVHLEREAFARGNHDARRYSMLSDERDGHVEYQQAGSMHRDEFPCDLFMSEKEYRTYGLSGERRKLTPSHHIPSTLDPYQRDQEREHLLRLPDPIYRDTVPLQREAVLAVPLYLNQPYNSSGRRELPPAVTSIPPTSSGSALAALDPYTRDPYYTYHHGASSADAYVPPSRRDELSSGSYYVDGRRETSLFEADPLRRREADQEGRLYSTHASDALSNYNKLLQYHGAKPETAPPSVSSRYSFAGSSVYYR >Potri.014G077500.1.v4.1 pep chromosome:Pop_tri_v4:14:5008624:5011251:1 gene:Potri.014G077500.v4.1 transcript:Potri.014G077500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G077500.v4.1 MKNEEQERSLFGISLTDRPRWKQFLICSSGFFFGYLVNGICEEYVYNRLQFSYGWYFTFVQSFVYVLLIYLQGFTPKQMVNPWKTYVKLSAVLMGSHGLTKGSLAFLNYPAQIMFKSTKVLPVMVMGAFIPGLRRKYPAHEYASALLLVAGLIIFTLADAKTSPNFSIIGVLMISGALIMDAFLGNLQEAIFTLSPETTQMEMLFCSSVVGLPFLIPPMVLTGELFKAWNSCSQHPYVYVVLVFEAMATFIGQVSVLSLIALFGAATTAMVTTARKAVTLLLSYLIFTKPLTEQHGTGLLLIAMGITLKLLPDKNPYKRSSSSITKKAKIEKPSTNDEEKRVFQLEIEAAEDEEKRPLV >Potri.012G019100.4.v4.1 pep chromosome:Pop_tri_v4:12:2044734:2045560:-1 gene:Potri.012G019100.v4.1 transcript:Potri.012G019100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019100.v4.1 MAELVGPRLYSCCNCRNHVSLHDDVISKAFQGRHGRAFLFSHAMNIVVGPKEDRNLTTGLHTVADISCADCQEVLGWKYERAYEASQKYKEGKFIFEKLKIVKENW >Potri.008G192900.1.v4.1 pep chromosome:Pop_tri_v4:8:13574144:13576970:-1 gene:Potri.008G192900.v4.1 transcript:Potri.008G192900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G192900.v4.1 MAVIKQPWHVLQLMFFLSVIPFSSSQSNSPQNIETFYPFPGPKPTASPNSTSLGSSVPARLPPSSSNRNVIKAVAATAVSTFVVAILLFFLIQRFVIAPRRRKEGDDADSGGGQTVAPLPSHGQFSRVEGNVKGVVVDENGLDVLSWRKHQVEDKKNSSHKQELRRKSEPTQEIPLIRGKLSTSEKKVVPEATVPTVSYQSIDAGTAINAFEKPKTSQPSNPPLTRSPTPPPQSSMAIPNKQVPAPPPPPTNPAKQKHQPPKAAGLAASSNLPPFNKGESGGSSTGQGSTSAGTRNGQVKVKPLPRDKVNKNTGHSMAWDKIDGGSFRVDDDLMEALFGFVATNRKSPKRDNSSNSKNLSFIPPAQISLLDARKSQNMAIVLKSLSISRNELLGALTNGHGLNADTLEKLMRIDPTKEEESQILEFSGNPTRLADAESFLFYLLKAVPSAFGRLSAMLFRSNYDAEILHFKESLQIVDSGCTELRNRGLFIKLLEAILKAGNRMNSGISRGNAQAFKPTSLRELSDVKSIDGKTTLLHFVVEEVVRSEGKRYVLNRNRSLSRNSSLRSNSSSVISENSTSNEKREKEYMMLGLPAVGGLSAEFSNVKKAALIDYDAFASTCSVLAARAREVRAFVSQCAAANGEGGFVKEMKGFLEAAEEELKSLTKEQTRVTELVKKTTEYYHAGASKDQEAHALQLFAIIKDFLYMVDQACVVIARNLQRKTPSSSIEHSPKSPASRVPVRFPNLPERFMLEKSMSSSSESDSDFLIDIYQLSWKGVDQCI >Potri.006G037200.1.v4.1 pep chromosome:Pop_tri_v4:6:2413630:2414914:-1 gene:Potri.006G037200.v4.1 transcript:Potri.006G037200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G037200.v4.1 MLELSPATLFSTFGWPVEEPTSHERNYLSFRDSETQESLITQFPPSQPQIVKLDRSPSFTAYSGSVDPIMVKKLSHNANERDRRKKIKSLYSSLRSLLPAADQMKLSVPATVSRALKYLPELQQQVERLVQRKEELLSKLSKQGGINIHQENQRNDTVYSSLSSVSASQLSDKEVVVHISTYKNHKSPLSEILLTLEEDGLVLKNSSSFESFGDRVFYNLHLQVMEGTYTLDSEAMRAKLVSLSVKRESSSL >Potri.014G087801.1.v4.1 pep chromosome:Pop_tri_v4:14:5691928:5692230:-1 gene:Potri.014G087801.v4.1 transcript:Potri.014G087801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G087801.v4.1 MLITVLSVNRHKSPQDNQKEQNYKRDRAAETDDYHASSIPSSLFPQLQHVALVQNVLLVYNKTGGYFPTTYGFTRLPQRETTELPLITTVTDQSNRIQLR >Potri.012G082300.2.v4.1 pep chromosome:Pop_tri_v4:12:10757306:10761894:1 gene:Potri.012G082300.v4.1 transcript:Potri.012G082300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G082300.v4.1 MQSLNLRLSTDFSTGTRRFVPGRSFGEERRQCLSFRVSYRNCRIVACSVERDGNGEGTSSSSGSDHSPSSFLSRSQTYAMLKQQMEVAAQSEDYEEAARIRDSLKSFEEEEPVLRLHRLLKEAVADEQFEDAARYRDELKEIVPHSLLKCSSDATTLGIRNQVRSLYIEGRSQPSKGQYFFAYRIRITNNSDRPVQLLRRHWIITDAKGKTENVWGVGVIGEQPVILPRTAFEYSSACPLCTPNGRMEGDFEMKHIDKAGSPAFNVAIAPFSLSILGDGSDAF >Potri.007G066300.1.v4.1 pep chromosome:Pop_tri_v4:7:8414207:8417009:-1 gene:Potri.007G066300.v4.1 transcript:Potri.007G066300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G066300.v4.1 MNNCQGHISSTNYKDDGVEEAQLPGFRFHPTDEELVGFYLRRKVDKKPLNIELIKQVDIYKYDPWDLPKPSSVGDNEGYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPVYSLGGEGRDCIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPTSDNNTSSTAIAKAEISPQEAEVWTLCRIFKRNVSYRKYTPDWRQLSTKCQQPPIDTSSKICSPAESNYKQESYVSFGAPLIQHYDNMPPGSNAIERKPLHLDQLSHIAQPPSMASSSNMSSPYIHEMFTHGDWDELRSVVECAFDPFLM >Potri.019G047360.1.v4.1 pep chromosome:Pop_tri_v4:19:7113885:7114328:1 gene:Potri.019G047360.v4.1 transcript:Potri.019G047360.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G047360.v4.1 MIIGCWNIRGLNDPIKHSELRRLIHQERIALFGLVETRVKDKNKDNVTQLLLRSWSFLYNYDFSCRGRIWVCWNADTVKVDVFGMSDQVIHVSVTILATNISFNTSIIYGDNNASLREALWSDIVSRSDGWESTPWILMGDFNAIRN >Potri.016G123501.1.v4.1 pep chromosome:Pop_tri_v4:16:12790876:12792241:1 gene:Potri.016G123501.v4.1 transcript:Potri.016G123501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123501.v4.1 MNGRLGDFGLARLYDHGTMSHTTNIVGTIGYIAPELARTGQASTSSDVYAYGILLLEVACGRKPVETSNFILTDFVIECHQKGRVLDAADPELNSAFVVKEMDVVLGLGLLCSHHKPKARPTMREVIRYLNWEDKLPVIDDLGSSDSPCRSSRYMGEVSIEMITSSIDCGR >Potri.001G051200.1.v4.1 pep chromosome:Pop_tri_v4:1:3858091:3863400:-1 gene:Potri.001G051200.v4.1 transcript:Potri.001G051200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:COQ6 MNRVIARKVVPANNQIFKLSRKKFFSDEAAKASTSAASSQSNQESDGNLSFTGNVQPYDIAIVGGGMVGMALACSLATTPLTKHLSVAIIDSSPALANKPCIKREDPPDPRVSTVTPATISFFKDTGAWQYVQQHRHAYFDKMQVWDYTGLGYTKYDARDVDKEVLGCVVENKVLHSSLLSRIEDTDFQKKIYHSRLTSMSLNPSSSSIVVDSTASTEASYARGRLAKLELSDGNSLYAKLVVGSDGGKSQVRELAGFKTTGWKYSQNAVICTVEHSVENYSAWQRFLPAGPIALLPIGDKFSNIVWTMNPEESSDFKSMKEDDFVKAVNHALDYGYGPHHKSSLPGSAGMFSWLGGNVTIFANESFEIPPKVVKLASERMAFPLSLMHANEYASKRVVLIGDAAHTVHPLAGQGVNLGFGDAFALSRIIAEGIAVGMDIGEVSLLKKYEAERKLANMTMMAILDGFQKAYSVDFGPLNILRAAAFHGAHFISPLKRSIISYASGEQRLPLFS >Potri.016G076100.1.v4.1 pep chromosome:Pop_tri_v4:16:5721356:5724110:-1 gene:Potri.016G076100.v4.1 transcript:Potri.016G076100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G076100.v4.1 MVFPPGYRFFPTEEELISFYLHHKLDGGSEDLNQVINQIIPVRDIYEHDPWDLPQFSGGLHHIKDPEQWFFFIPRQESEARGGRPKRLTNTGYWKATGSPGSVFSNNRSIGLKRTMVFYSGRAPNGRKTEWKMNEYKAIDQGKASSSTSANPKLRHEYSLCRVYKNSKSMRAFDRRPVGLEVIEPRTQPASGGNELVASDQNHPTVENTSSPDSSWSADQGNPSGTGESSSMPMPIDNAMWDVDLDWYYGAGQD >Potri.002G045700.1.v4.1 pep chromosome:Pop_tri_v4:2:2962262:2965368:1 gene:Potri.002G045700.v4.1 transcript:Potri.002G045700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G045700.v4.1 MAAALKSTPSFLQLKKPETHFLVRHKPTIVSTRRFAPMASLTAIRSLGIGETFSNLKKQGKVALIPYITAGDPDLKTTAEALKVLDACGCDIIELGVPYSDPLADGPVIQAAATRSLARGTNFEAITSMLREVVPQVSCPIALFTYYNPILKRGIEKFMSTVKDIGVHGLVVPDVPLEETGVLRKEAVKNKLELVLLTTPTTPTERMKAIVEAADGFVYLVSSVGVTGARASVSDRVQTLLRDIKESTTKPVAVGFGISKPEHVKQVAAWGADGVIVGSAMVKLLGEAKSPEEGLKELESFTKSLKAALP >Potri.016G038900.1.v4.1 pep chromosome:Pop_tri_v4:16:2388207:2391478:-1 gene:Potri.016G038900.v4.1 transcript:Potri.016G038900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G038900.v4.1 MDVKIREVFESVGSFFTGDDHIPWCDRDIINGCEREIAEAAEGDSEELKRDSIMRLSWALVHSKQPEDVQRGIAMLEASLANSSSPLQQREKIYLLAVGYYRSGEYSRSRQLVDQCLEIAPDWRQALVLKKTLEDRIAKDGVIGIGITATAVGLIAGGIAAAFARKK >Potri.016G020500.1.v4.1 pep chromosome:Pop_tri_v4:16:1095811:1098192:-1 gene:Potri.016G020500.v4.1 transcript:Potri.016G020500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G020500.v4.1 MTCIILADHKPHAVCLPAPAQSHIKSMLKLAKLLHYKGFHITFVNTEFNHKRLLKSRGSDSLKGLPDFQFESIPDGLPPSDENATQDLPGLCEAARKNLLAPFNDLLDKLNDTASPDVPPVTCIVSDGFMPVAIDAAANREIPIALFFTISACSFMGFKQFQALKEKGLAPLKDASFLTDGYLDRVVDWIPGMKDIRLRDLPSFMRTTDPNDCLFNFSMESVGRSPSGSAVIFHTFDALEQEVLTSLFSMFPRVYTIGPLQLLLNQIQEDDLDSIDCNLWKEEVECLQWLDSKKPNSVIYVNFGSVAVATKEQLVEFGMGLSKSGHPFLWIIRPDMITGDSAIFPPEFTEETKERGFICSWCPQEEVLNHPSIGGFLTHCGWGSTIESISSGVTMLCWPSFGDQQTNCRYTCNEWAIGMEIDSNVTRENVEKQVRELMEGELLIIGDKQAPPCASGIT >Potri.009G086600.2.v4.1 pep chromosome:Pop_tri_v4:9:8069114:8072176:-1 gene:Potri.009G086600.v4.1 transcript:Potri.009G086600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086600.v4.1 MSNCLASECSDDCESGWTLYLEQSFLPHPNPKHRGNSTDFVDAKSTGFCRKGKSTKEDCEEEDEGEGEGEEEEDLSMVSDASSGPPHFHEDESHFNHDNGFFYPSHKDTTLLNNGANYSQKKKEHRRHKQDQQMPSFLDDTASSPAFNFSMNNSALSNNQASMESVLDYSQGFSATHFQGRSAKSRPLWLHTPFSIWKPTSK >Potri.009G086600.1.v4.1 pep chromosome:Pop_tri_v4:9:8069114:8072176:-1 gene:Potri.009G086600.v4.1 transcript:Potri.009G086600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G086600.v4.1 MSNCLASECSDDCESGWTLYLEQSFLPHPNPKHRGNSTDFVDAKSTGFCRKGKSTKEDCEEEDEGEGEGEEEEDLSMVSDASSGPPHFHEDESHFNHDNGFFYPSHKDTTLLNNGANYSQKKKEHRRHKQDQQMPSFLDDTASSPAFNFSMQNNSALSNNQASMESVLDYSQGFSATHFQGRSAKSRPLWLHTPFSIWKPTSK >Potri.009G167000.3.v4.1 pep chromosome:Pop_tri_v4:9:12753112:12767561:-1 gene:Potri.009G167000.v4.1 transcript:Potri.009G167000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G167000.v4.1 MAMLFLLLLFSSSLISGFTYGAKHEKEYCAMYDICGARSDGKVLNCPFPTSSVKPDDYFSAKIQSLCPAISGNVCCTETQFDTLRAQVQQAIPLLVGCPACLRNFLNLFCELSCSPNQSLFINVTSISEVNGNLTVDGIAYYVTDDFGERLYDSCKDVKFGTMNTRAIDFVGGGANNFKEWFAFIGQKAPPGFPGSPYEIDFKSTIPDSSKMVPMNVSAYSCGDTSLGCSCGDCPLAPACSSSEPPSPPKKESCLIRIGPLKVKCLDFSVAILYIILVFAFLGWASLNRTRERRAAASKEPLLSSMDEVEADSTEIQKDGKVPRLINRFQLDGVQGHMSSFYRNYGKWVARNPTLILCSSVAVVLVLCIGLICFKVETRPEKLWVGPGSKAAEEKHFFDSHLAPFYRIEQLILATLPDSKNDKRNSIVTDENIQLLFEIQKKVDGIRANYSGSVVSLTDICLKPLGDDCATQSLLQYFKMDPENYDDYGGVEHAEYCFQHYTTADTCMSAFKAPLDPSTALGGFSGNNYSEASAFVVTYPVNNAIDEAGNGKAVAWEKAFIRLVKEELLPMVQSSNLTLSYSSESSIEEELKRESTADIITIAVSYVVMFAYVSVTLGDASRLSTFFLSSKVLLGLSGVVLVMLSVLGSVGFFSAVGVKSTLIIMEVIPFLVLAVGVDNMCILVHAVKRQSIELAIEERISNALHEVGPSITLASLSEILAFAVGSFIPMPACRVFSMFAALAVLLDFLLQVTAFVALIAFDCRRAEDNRIDCFPCIKVPSSPGGSNEGINQRRPGLLARYMKEVHAPILGLWAVKIVVIAIFVAFALASVALCPRIESGLEQQVVLPRDSYLQGYFNNISEYLRIGPPLYFVVKDYNYSLESRHTNQLCSISQCDSNSLLNEVSRASLVPESSYIAKPAASWLDDFLVWLSPEAFGCCRKFMNGTYCPPDDQPPCCSPDEFSCGFGGVCKDCTTCFRHSDLVNDRPSTVQFREKLPWFLDALPSSDCAKGGHGAYTSSVDLNGYENGVIRASEFRTYHTPVNKQGDYVNALRAAREFSSRISDSLKIEIFPYSVFYIFFEQYLDIWRIALINIAIALGAIFIVCLVITSSFWCSAIILLVLVMIVVDLMGVMAILDIQLNAVSVVNLIMSIGIAVEFCVHIAHAFLVSHGDRGQRAKEALSTMGASVFSGITLTKLVGVIVLFFARSEVFVVYYFQMYLALVIIGFLHGLVFLPVVLSVFGPPPRHVIMETR >Potri.003G087900.1.v4.1 pep chromosome:Pop_tri_v4:3:11468389:11469711:1 gene:Potri.003G087900.v4.1 transcript:Potri.003G087900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G087900.v4.1 MATFQSVLSFASAIALCVASFGCIYAHNAGFTTELVHRDSPKSPLYNSQQTHLQRWNKAMRRSVSRVHHFQRTAATVSPKEVESEIIANGGEYLMSLSLGTPPFEILAIADTGSDLIWTQCTPCDKCYKQIAPLFDPKSSKTYRDLSCDTRQCQNLGESSSCSSEQLCQYSYYYGDRSFTNGNLAVDTVTLPSTNGGPVYFPKTVIGCGRRNNGTFDKKDSGIIGLGGGPMSLISQMGSSVGGKFSYCLVPFSSESAGNSSKLHFGRNAVVSGSGVQSTPLISKNPDTFYYLTLEAMSVGDKKIEFGGSSFGGSEGNIIIDSGTSLTLFPVNFFTEFATAVENAVINGERTQDASGLLSHCYRPTPDLKVPVITAHFNGADVVLQTLNTFILISDDVLCLAFNSTQSGAIFGNVAQMNFLIGYDIQGKSVSFKPTDCTQL >Potri.012G019850.1.v4.1 pep chromosome:Pop_tri_v4:12:688522:690984:1 gene:Potri.012G019850.v4.1 transcript:Potri.012G019850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G019850.v4.1 LFLLYFLTTISSSFSSNFSSSTQLCSHHQALSLLQFKQSFSIQRSPFWFARNYQYDQYPKTESWKEGTDCCLWDGVTCDLKTGQVTKLDLSFSMFGQFSNLMHLNLTHSNFAGQVPSEISHLSKLVSLDISNKHLSLETVSFAKIVQNLTKLRVLYLDYIDMSLVAPNSLTNLSSSLTLLSLVGCGLQANVSNVLWQLELSDTRISVYLENDFISKLKSLEYIWLRNCDIRRTNVALLGNLTQLRMLDLSHNNLSGEIPSSFENLSNLESLYLFSNLFNGTIPSFLFALPSLGYLDLHNNHFIGHISEFQHNSLEYLDLSNNHFHGPVPSSIFKQEYLEVLILASNNKLTGEISYSICKLKYLEILDLSNNSLSGSIPQCLSNFSNTLSILHLGMNNLQGTISLAFSEGNSLGYLSLNDNELEGEIPSSIINCTMLEVLDLGNNKIKDTFPHFLERLPKLQVLVLKSNKLQGSVKDPTTYNSFSKLQIFDISSNNLSGPLPTGFFNSLEAMMTSNQNMIYMTSNNYYGFADIYAYSVEMKWKGLEFEFVKIQSILRVLDLSSNSFTGEIPKLIGKLKGLQQLNLSHNYFTGHIQSSLGILTNLESLDLSSNLLTGRIPIQLVDLTFLQVLDLSHNRLEGPIPKGKQFNTFDHRSFEGNSGLCGFPMPEECSNGEAPPLPSSNFIVGDDSTLLEDGFGWKAVAIGYGFGFMFGVIMGYVVFKTRRPAWFLKMVEDQWSLNASRTKKNASRNGARRK >Potri.005G183300.1.v4.1 pep chromosome:Pop_tri_v4:5:18983665:18984647:1 gene:Potri.005G183300.v4.1 transcript:Potri.005G183300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G183300.v4.1 MCLLKTNDLHRIFQELDRNGDGLLSAVELNWLLESIGGVHFSLEELESSVGKSCLNFDEFLLFYDSITKQSGGGNSEAVVADDQEGCNREDCDLVKAFQVFDSNGDGFISIEELQSMLSRLGLWDETTGKDCRSMICRYDTNLDGVLDFEEFKKMMLHTSS >Potri.015G085300.1.v4.1 pep chromosome:Pop_tri_v4:15:10981574:10987328:-1 gene:Potri.015G085300.v4.1 transcript:Potri.015G085300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G085300.v4.1 MDSSTTATISSLKTTISPNPSPNRTKKTPTFHYKKTKPPSFSTFKSVKCIHSPPPNPKPSNSSPFICTAVTFSPSQITELVPSKLHHLITEFQSLSQPVDRVKRLLHYATFLSPLPDSYRVDSNRVMGCTAQVWLEAQLDQYGKMRFWADSDSEITRGFCACLIWVLDGAVPEEVLKVTTEDLTALNVGLPVGARSRVNTWHNVLVSMQKRARMLVAERDGKKDFDPFPSLVVSSDGIQAKGSYAEAQARYLFPDESKVQELVKELKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHIHISDSLVMADSAVKMAEAGCKFITVLGVDFMSENVRAILDQAGFGEVGVYRMSNERIGCSLADAASTPAYMSYLGAASGSPPSLHVIYINTSLETKAYAHELVPTITCTSSNVVQTILQASAQIPDLNIWYGPDSYMGANIAKLFQQMTMMSDEEIAEIHPAHNGDSIRSLLPRLHYYQDGTCIVHHLFGHEVVEKINDMYCDAFLTAHLEVPGEMFSLAMEAKRRGMGVVGSTQNILDFIKQRVQEALDRDVNDHLRFVLGTESGMVTSIVAAVRHLLGSTKSSEKAKVNVEIVFPVSSDAITRTSTNSTSGLNSVKVGDIILPVIPGAASGEGCSIHGGCASCPYMKMNSLNSLLKVCHHLPGEKNKVAAYEAARFKLRTPNGKSIADVGCEPILHMRHFQATKELPDKLVYQALYPDSNGRSIS >Potri.017G114400.1.v4.1 pep chromosome:Pop_tri_v4:17:12185217:12194997:-1 gene:Potri.017G114400.v4.1 transcript:Potri.017G114400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G114400.v4.1 MDGNRRKYFKKPGNNLFKRKGVSSSRKGKWSDSHGEECSGDGDTVYRILCPSRKIGGVIGKGGNIVKALREETQSKITVADSVQGSDERVIIIYSSSDKPPRKMDGDEGLPAGNGQQEAFEPHCAAQDALLKVHDRIVEEDLFGGMASDDDNDNNVVTARLLVPNNMVGCVLGKRGDVIQRLRSETGANIRVLPADHLPSCAMDTDELVQISGKPAVAKRALYEISILLHQNPRKDKLPSVPMPYGGRTFHPPSDSMANMLPPGNPMWPHRNSTPHSMPWMGEYGNHPSEFGPGGFNGVPPGHGREPSAEFSMKILCSTGKIGGVIGKGGSNVKIVQQETGASIHVEDASAESEERAIRVSAFEGLWNPRSQTIDAILQLQDKTSDFSEKGMIITRLLVPSSKVGCILGQGGQVINEMRRRLQADIRVYPKNDKPKCASDDEELVQISGNYGVAKDALAEIASRLRARTLRDANAGTEPGPAGPVPGFGPARNLPGRGPQPSGMMAASSSGGYEPLRGGRRDHEPQSYSVPPAAMGYPSMNRVLEADNSRSMNRVLEANNSLNGMNSVMRSGGRDVSITDEFAGRRAKLQDPHYGSSEVENHGSSEHLIAGQGFYQGFMASGGQNMSAHQGSYQNNVTAQQSMNPQRDTYQAMSAQQDPYQSISAQQSTYQNMNAQQNTYQNMNAQPSPHQNMRAHQSPYSMTAQQGVYTNINAPPSSYNNSAQQGTYQY >Potri.019G098500.1.v4.1 pep chromosome:Pop_tri_v4:19:13531665:13541327:1 gene:Potri.019G098500.v4.1 transcript:Potri.019G098500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G098500.v4.1 MAMFFLFLSIFLFVYIWRFIIRSRHISPSPSTPSTLTTAQPQVIKYDVFLSFRGEDTCSDFTSHLYAALNRKQILTFIDYQLVRGDEISASLLRTIEEAKLSVIVFSENYASSKWCLEELAKIFERRKNNGQIVIPVFYQVDPSHVRNQTGRFGDAFARLIKKKALTMDKEQSFRDALTDAANLSGWSLGKSELESEFIEKIVGDVLNKLHAMSSSHTTGLFGIDVRIHKVESLLNMESQDVLIVGIWGMSGIGKTTISEAVCNKVCSRFEGIFVANFRQELKTRPMADLQRSFLSQLLGQEILKMGSLSFRDSFVRDRLRRKMVFIVLDDVDDLMPLEEWKELLHGRHSSFGPGSKVLITSRDKQVLNNIVDETYEVERLNYEEALQLFSSKALKNCIPTIDHRDLIKRIASHVQGNPLALIVLSSSLYGKSPEEWYSALNKLAQNPRIENALRISYNGLYQEQQSIFLDIAHFFRKFEQNQATRILDGFYGRPVIFDISMLIDKCLITTSRNMLEIHDLLQEMAFSIVRAESKFPGKRSRLCHLTDIVHVLEENKGTEEIEGISLDMSRLSRQIHLKSDAFAMMDGLRFIKFFFGHLSQDNKDKMHLPPTGLEYLSNKLRYLHWDGFPSKSLPHVFCAEYLVELNLSRSKVEKLWTRVQDVGNVQKFVLSYSPYLTELPDLSKARNLVSLRLVDCPSLTEVPFSLQYLDKLEELDLNFCYNLRSFPMLDSKVLKVLSISRCLDMTKCPTISQNMKSLYLEETSIKEVPQSITSKLENLGLHGCSKITKFPEISGDVKTLYLSGTAIKEVPSSIQFLTRLCVLDMSGCSKLESFPEIAVPMKSLVDLNLSKTGIKEIPSSFKQMISLRSLGLDGTPIEELPLSIKDMVCLRYLALHGTHIKALPELPPSLRSLTTHDCASLETMISTINIGRLWD >Potri.019G053100.2.v4.1 pep chromosome:Pop_tri_v4:19:8899730:8900193:-1 gene:Potri.019G053100.v4.1 transcript:Potri.019G053100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G053100.v4.1 MSAYGVSLPLMLLLLLLASVIDYNEASTSTGQVNGSATTSNEMVPLMEPLKVTDIRMMMNETRRRLNGFQICAMCTCCGGAKGVCLPSPCCYAINCNIPNRPFGFCSFTPKTCNCLRCHL >Potri.003G083600.1.v4.1 pep chromosome:Pop_tri_v4:3:11013867:11020429:1 gene:Potri.003G083600.v4.1 transcript:Potri.003G083600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083600.v4.1 MLTSSLPSLLLLLSLSSNFLNVALSDDGGGLFCSAPSVFETETAASSSSKPLYWKVTNPTLSPSHLQDLPGFTRSVYKGDHALMTPESHVFSPLPGWTNTLGAYLITPAMGSHFVMYLAKMQENSKSGLPPNDVERFLFVVQGSATLTNASGAHHQLMVDSYAYLAPNSKHSLECDASATLVVFERRYSPLENHFTKQIVGSTDQQPLLETPGEVFELRKLLPPSLPYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDAIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >Potri.003G083600.3.v4.1 pep chromosome:Pop_tri_v4:3:11013867:11020429:1 gene:Potri.003G083600.v4.1 transcript:Potri.003G083600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G083600.v4.1 MLTSSLPSLLLLLSLSSTLSDDGGGLFCSAPSVFETETAASSSSKPLYWKVTNPTLSPSHLQDLPGFTRSVYKGDHALMTPESHVFSPLPGWTNTLGAYLITPAMGSHFVMYLAKMQENSKSGLPPNDVERFLFVVQGSATLTNASGAHHQLMVDSYAYLAPNSKHSLECDASATLVVFERRYSPLENHFTKQIVGSTDQQPLLETPGEVFELRKLLPPSLPYDFNIHIMDFQPGEFLNVKEVHYNQHGLLLLEGQGIYRLGDSWYPVQSGDAIWMAPFVPQWYAALGKTRSRYLLYKDVNRNPL >Potri.017G018500.3.v4.1 pep chromosome:Pop_tri_v4:17:1355981:1362593:-1 gene:Potri.017G018500.v4.1 transcript:Potri.017G018500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018500.v4.1 MENPFSSKEKGTGYWASPRAQMDVVTPLDGSPRNLLLEDPFNNFSELMNFDIYAELCNNPSAMDQMLDPFGMPSFPSTSSPSFDPGSFAALNSAPVQNTTNAAGTSYNDGDKVVLQQINSHFCYPSDSIDTDDLGAKHSNDAGQQNRFSNLTDHIIARPLAPSLDERMLRALSLLKVSSGGGFLAQVWVPRRIGNQYMLSTTDQPYLLDEMLAGFREVSRTFTFPAEVKPGLPLGLPGRVFISKVPEWTSNVIYYSKGEYLRAKQAADHEVRGSFALPIFDPDEMSCCAVLELVTMKEKPDFDSEMENVCHALEAVNLRSTAPPRLLPQCLSSNKRAALSEIADVLRAVCHAHRLPLALTWIPCNYTEEALDEIVKVRVREANSRSSGKCVLCIEDTACYVNDRKMQGFVHACAEHYIEEGQGLAGKALQSNHPFFFSDVKAYDITEYPLVHHARKYGLNAAVAIRLRSTYTGDEDYILEFFLPVNIEGSSDQQLLLNNLSGTMQRICKSLRTVSETEFVRQECSEDGLPKEAVPSVRPMSISKGSSQTAISEGNLNSAAKMLFNMSGSKNDQTESNSSNEQKMSGSRRQVEKKRSTAEKTVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDTVQGVEGGLKFDPTAGGFIAGGAMMQEFDLRNGFVFQEKNLSNRNSDPANHDVVSVRPAPCTDGNNSTVKVENDECHIGSRGVLKESCVHVIDCSEDAKSAAVDAGLCEQANFGSGPWACLENDITVSLAKAGNKWGMKNGGIILENLDSHFVSQSSSSFAKEMDTKMEGDDGNVEHNQPTSSSMTDSSNGTGSMMHGSISSSSSFEERKHSKVQTSFCDGDLKITVKASYKEDIIRFKFDPSAGCLQLYKEVSNRFKLQTGTFQLKYLDDEEEWVLLVSDSDLQECLEIMEYVGTRNVKFLVRDAVAPFVMGSSGSSNSFLVGSS >Potri.017G018500.2.v4.1 pep chromosome:Pop_tri_v4:17:1356149:1362482:-1 gene:Potri.017G018500.v4.1 transcript:Potri.017G018500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018500.v4.1 MENPFSSKEKGTGYWASPRAQMDVVTPLDGSPRNLLLEDPFNNFSELMNFDIYAELCNNPSAMDQMLDPFGMPSFPSTSSPSFDPGSFAALNSAPVQNTTNAAGTSYNDGDKVVLQQINSHFCYPSDSIDTDDLGAKHSNDAGQQNRFSNLTDHIIARPLAPSLDERMLRALSLLKVSSGGGFLAQVWVPRRIGNQYMLSTTDQPYLLDEMLAGFREVSRTFTFPAEVKPGLPLGLPGRVFISKVPEWTSNVIYYSKGEYLRAKQAADHEVRGSFALPIFDPDEMSCCAVLELVTMKEKPDFDSEMENVCHALEAVNLRSTAPPRLLPQCLSSNKRAALSEIADVLRAVCHAHRLPLALTWIPCNYTEEALDEIVKVRVREANSRSSGKCVLCIEDTACYVNDRKMQGFVHACAEHYIEEGQGLAGKALQSNHPFFFSDVKAYDITEYPLVHHARKYGLNAAVAIRLRSTYTGDEDYILEFFLPVNIEGSSDQQLLLNNLSGTMQRICKSLRTVSETEFVRQECSEDGLPKEAVPSVRPMSISKGSSQTAISEGNLNSAAKMLFNMSGSKNDQTESNSSNEQKMSGSRRQVEKKRSTAEKTVSLSVLQQYFSGSLKDAAKSIGVCPTTLKRICRQHGISRWPSRKINKVNRSLKKIQTVLDTVQGVEGGLKFDPTAGGFIAGGAMMQEFDLRNGFVFQEKNLSNRNSDPANHDVVSVRPAPCTDGNNSTVKVENDECHIGSRGVLKESCVHVIDCSEDAKSAAVDAGLCEQANFGSGPWACLENDITVSLAKAGNKWGMKNGGIILENLDSHFVSQSSSSFAKEMDTKMEGDDGNVEHNQPTSSSMTDSSNGTGSMMHGSISSSSSFEERKHSKVQTSFCDGDLKITVKASYKEDIIRFKFDPSAGCLQLYKEVSNRFKLQTGTFQLKYLDDEEEWVLLVSDSDLQECLEIMEYVGTRNVKFLVRDAVAPFVMGSSGSSNSFLVGSS >Potri.002G105300.1.v4.1 pep chromosome:Pop_tri_v4:2:7754694:7756086:-1 gene:Potri.002G105300.v4.1 transcript:Potri.002G105300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G105300.v4.1 MHPFQSFYYLNQDGHIPEPSLVNMMDGGESILSSASKTEAKISTESSCKSHKETERRRRQRINAHLSTLRTLLPNPTKTDKASLLAQVVHHVRDLKMKAAGSARQYSNNCSSGLEPEENWPYPGEVDEATLSCCGHEEKMIKVSVCCEDRPGLHMDLTRAIKSVRARAVRAEMMTVAGRTKSVVVMRWDNGSGGEEDVGILKRALNAVVENRASGSGFGQVVQGNKRARVFGLVSDVDRDRN >Potri.018G061800.1.v4.1 pep chromosome:Pop_tri_v4:18:7143469:7145488:1 gene:Potri.018G061800.v4.1 transcript:Potri.018G061800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G061800.v4.1 MEMVEADSSTAKLYSLNPNRISNEDILFCIDIGPESLVEMKSTGPGGKPLTRLDSIKQAILVFINAKLSINPDHRFAFATLAKTASWLRKEFSSDVESAAAALRGLSAASASSSGPPDLTQLFRVAAHEAKKSYAQNRILRVILIYCRSCVQPQHQWPVSQKLFTLDVMYLHDKPGPDNCPQEVYDALVDTLEHVSQYEGYIHETGQGVRILLRYMSVLLSHPQQRCTQDMMDLPKSLTKRSPTCDPANGEEGAPISSQ >Potri.018G061800.2.v4.1 pep chromosome:Pop_tri_v4:18:7143484:7145390:1 gene:Potri.018G061800.v4.1 transcript:Potri.018G061800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G061800.v4.1 MEMVEADSSTAKLYSLNPNRISNEDILFCIDIGPESLVEMKSTGPGGKPLTRLDSIKQAILVFINAKLSINPDHRFAFATLAKTASWLRKEFSSDVESAAAALRGLSAASASSSGPPDLTQLFRVAAHEAKKSYAQNRILRVVSTTLSLIFCVREQLLLNMDVS >Potri.006G256900.1.v4.1 pep chromosome:Pop_tri_v4:6:25403301:25404779:1 gene:Potri.006G256900.v4.1 transcript:Potri.006G256900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G256900.v4.1 MEQSKSDQDKHSLLDFLEALKKASKDLQINPVFITNDPQPSMETILNLESEVDTILSTDPDFFKLSQLLCNLKTLFGKLERYREYSLKSFFFRQITRCEIYQVAFTMEIEIQACIDKEYVQNLVKTLQEVVGIEEEKVRALKRFERRLSQGFDRDYQELVLRGKVFPILELVLCDSTCSATVREHVALAIVALVRFNRDVFVGMVLMSGIVQALLPLASCCSMQVLCSLVTLIRTPLIDEMELHGEILRILSLFSSSEDLSIEVGTMDCICQIAYFGRIEVIESMLEKGLIERLVELQRSKHGEYLTETHQIKETEEGVRLGLEIDKIEGDWPFASCVARFSVQVEVGEGLSPVEKKEIKGEILRRIREASASEAEAATIVAEVLWGSSP >Potri.013G067400.1.v4.1 pep chromosome:Pop_tri_v4:13:5255647:5258820:1 gene:Potri.013G067400.v4.1 transcript:Potri.013G067400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G067400.v4.1 MAEKHSSSSLGVVSRKSMFCLFSFVSALFILSWFFMLRSTVSAGFIDLSLLPHPKLNSVIDNGNSASWNRNDVEPSIGNRARAIPVDIEGDGGEEKPQEKDDLGDVKCNSFDNNCNQVLKVFMYDLPSEFHFGLLDWKPQGGSVWPDLRAKVPAYPGGLNLQHSIEYWLTMDLLASEIPGIPRAGSAVRVQNSSEADVIFVPFFSSISYNRYSKVNPHQKKSKNKSLEEKLVKFVTSQKEWKRSGGRDHIILAHHPNSMLYARMKLWTAMFILADFGRYSPNIANVGKDVIAPYKHVIKSYANDSSNFDSRPTLLYFQGAIYRKDGGFARQELFYALKDEKDVHFQFGSVQKDGVSKASQGMHSSKFCLNIAGDTPSSNRLFDAIASHCVPVIISDDIELPYEDVLDYSQFCIFVRTSDAVREKFLINLVRSIKKDEWTRMWQRLKEVENFFEFQYPSKEGDAVQMIWQAVARKVPAIRLKVNKFRRFSRFGTHEDGVLRKIPSPSNFW >Potri.016G043500.2.v4.1 pep chromosome:Pop_tri_v4:16:2743447:2753442:-1 gene:Potri.016G043500.v4.1 transcript:Potri.016G043500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043500.v4.1 MSKFVNGNLSERELSSGKRKRRYNNSEGEEEDGYSGARITEEQYRSMLGEHIQKYKRRYKDSLSSPAPPPRMGIPVPKSSLGGSKTRKLGSEQRGGLYDMETTSEWVNDIVPSKRGDYHEPEFTPKIYYEPPYLDIGDGVTYRIPPSYDKLAASLNLPSFSDMRVEEFYLKGTLDLGSLAAMTANDKRFGLRSRAGMGEPQLQYESLQGRLKALAASNSAEKFSLKISEEALNSSIPEGAAGNIKRSILSEGGVMQVYYVKVLEKGDTYEIIERSLPKKPKIIKDPSVIEREEMERIGKVWVNIVRRDIPKHHRIFTTFHRKQLIDAKRFSENCQREVKLKVSRSLKIMKGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELFSHFMSNKPNSQPSEALPIADEKTDDQVMDCSTAEAGPDPEEDPEDAELRKEALKAAQDAVSKQKLLTSAFDSECSKLREVADIEGPITDASVAGSSNIDLQTPSTMPVTSTVKTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLIVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMVLRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVVSELTRKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKIMNLMNIVIQLRKVCNHPELFERNEGITYFYFGEIPNSFLPSPFGELEDIHYSGGRNPITYKIPKVVHNEIVQSSEVLCSAIGRGFGRESFQKHFNIFSSENVYRSVFALDNSSDSLLIKSGTFGFSHLMDLSPAEVAFLAISSFMERLLFFIMRWGRRFLDGILDLLMKDIENDHSNYLEKHKVRAVTRMLLMPSRSETDILRRKMATGPADTPFEALVNSHQDRLLSNIKLLHSTYTFIPRTRAPPIGGQCSDRNFAYQMMEELHQPMVKRLLTGFARTSTFNGPRKPEPLHPLIQEIDSELPVSQPALQLTYKIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKNTVQQLVMTGGHVQDDLLAPEDVVSLLLDDAQLEQKLREIPLQARDRQKKKPTKAIRVDAEGDATFEDLTETVAQGTGNEQSEDAEKLKSPNSNKRKAASDKQITSKPRNSQKNEPNSSPMDYELDDPFPNSEPQSQRPKRLKRPKKSVNEKLEPAFTATPSIDSSQIQYPPTNNLASTYSNT >Potri.016G043500.1.v4.1 pep chromosome:Pop_tri_v4:16:2743414:2754356:-1 gene:Potri.016G043500.v4.1 transcript:Potri.016G043500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G043500.v4.1 MDNRRQAKDSLSYSNLFNLESLVNFRVPQPDDEFDYYGNSSQDESRGSQGGAMSKFVNGNLSERELSSGKRKRRYNNSEGEEEDGYSGARITEEQYRSMLGEHIQKYKRRYKDSLSSPAPPPRMGIPVPKSSLGGSKTRKLGSEQRGGLYDMETTSEWVNDIVPSKRGDYHEPEFTPKIYYEPPYLDIGDGVTYRIPPSYDKLAASLNLPSFSDMRVEEFYLKGTLDLGSLAAMTANDKRFGLRSRAGMGEPQLQYESLQGRLKALAASNSAEKFSLKISEEALNSSIPEGAAGNIKRSILSEGGVMQVYYVKVLEKGDTYEIIERSLPKKPKIIKDPSVIEREEMERIGKVWVNIVRRDIPKHHRIFTTFHRKQLIDAKRFSENCQREVKLKVSRSLKIMKGAAIRTRKLARDMLLFWKRVDKEMAEVRKKEEREAAEALKREQELREAKRQQQRLNFLIQQTELFSHFMSNKPNSQPSEALPIADEKTDDQVMDCSTAEAGPDPEEDPEDAELRKEALKAAQDAVSKQKLLTSAFDSECSKLREVADIEGPITDASVAGSSNIDLQTPSTMPVTSTVKTPELFKGSLKEYQLKGLQWLVNCYEQGLNGILADEMGLGKTIQAMAFLAHLAEEKNIWGPFLIVAPASVLNNWADEISRFCPDLKTLPYWGGLQERMVLRKNINPKRLYRREAGFHILITSYQLLVSDEKYFRRVKWQYMVLDEAQAIKSANSIRWKTLLSFNCRNRLLLTGTPIQNNMAELWALLHFIMPTLFDSHEQFNEWFSKGIENHAEHGGTLNEHQLNRLHAILKPFMLRRVKKDVVSELTRKTEVTVHCKLSSRQQAFYQAIKNKISLAELFDSNRGHLNEKKIMNLMNIVIQLRKVCNHPELFERNEGITYFYFGEIPNSFLPSPFGELEDIHYSGGRNPITYKIPKVVHNEIVQSSEVLCSAIGRGFGRESFQKHFNIFSSENVYRSVFALDNSSDSLLIKSGTFGFSHLMDLSPAEVAFLAISSFMERLLFFIMRWGRRFLDGILDLLMKDIENDHSNYLEKHKVRAVTRMLLMPSRSETDILRRKMATGPADTPFEALVNSHQDRLLSNIKLLHSTYTFIPRTRAPPIGGQCSDRNFAYQMMEELHQPMVKRLLTGFARTSTFNGPRKPEPLHPLIQEIDSELPVSQPALQLTYKIFGSCPPMQSFDPAKLLTDSGKLQTLDILLKRLRAENHRVLLFAQMTKMLNILEDYMNYRKYRYLRLDGSSTIMDRRDMVRDFQLRNDIFVFLLSTRAGGLGINLTAADTVIFYESDWNPTLDLQAMDRAHRLGQTKDVTVYRLICKETVEEKILQRASQKNTVQQLVMTGGHVQDDLLAPEDVVSLLLDDAQLEQKLREIPLQARDRQKKKPTKAIRVDAEGDATFEDLTETVAQGTGNEQSEDAEKLKSPNSNKRKAASDKQITSKPRNSQKNEPNSSPMDYELDDPFPNSEPQSQRPKRLKRPKKSVNEKLEPAFTATPSIDSSQIQYPPTNNLASTYSNT >Potri.011G071800.1.v4.1 pep chromosome:Pop_tri_v4:11:6430015:6433764:1 gene:Potri.011G071800.v4.1 transcript:Potri.011G071800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071800.v4.1 MTSGTRMPTWKERENNKRRERRRRAIAAKIYAGLRMYGSYKLPKHCDNNEVLKALCNEAGWTVEEDGTTYRKGCKPVERMDIIGGSASASPCSSYHQSPCASYNPSPASSSFPSPVSSRYAANGNGNVDADANSLIPWLRNLSSGSSSASPKHPNHLFIHTGSISAPVTPPLSSPTARTPRTRNDWDDPAAGQSWMGQNYSFLPSSMPSSTPPSPGRQVLPDSGWLAGIQIPQSGPSSPTFSLVSRNPFGFKEEALSGAGSRMWTPGQSGTCSPAVPAGIDQTADVPMADSMAAEFAFGSNTAGLVKPWEGERIHEECVSDDLELTLGNSSTR >Potri.011G071800.4.v4.1 pep chromosome:Pop_tri_v4:11:6430398:6433764:1 gene:Potri.011G071800.v4.1 transcript:Potri.011G071800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G071800.v4.1 MDIIGGSASASPCSSYHQSPCASYNPSPASSSFPSPVSSRYAANGNGNVDADANSLIPWLRNLSSGSSSASPKHPNHLFIHTGSISAPVTPPLSSPTARTPRTRNDWDDPAAGQSWMGQNYSFLPSSMPSSTPPSPGRQVLPDSGWLAGIQIPQSGPSSPTFSLVSRNPFGFKEEALSGAGSRMWTPGQSGTCSPAVPAGIDQTADVPMADSMAAEFAFGSNTAGLVKPWEGERIHEECVSDDLELTLGNSSTR >Potri.006G145500.1.v4.1 pep chromosome:Pop_tri_v4:6:12329145:12333704:-1 gene:Potri.006G145500.v4.1 transcript:Potri.006G145500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145500.v4.1 MMLSKIEGGKKIREDSGNGKLLSEIETISKALYLDKNLSRTASVSTSSNRPRSTGKTQLVDPKSKLDNKHGSEDPSRKDKKSIWNWKPLKAFSNARNREFNCCFSLQVHSIEGFPSTFDNLSVCVHWKRRDGELVTSPVKVFEGIAEFEEKLTHTCVVYGSRSGPHHSAKYEAKHFLLYAALFGAMDLDLGKHRVDLTRLLPLTLEELEEDKSSGKWTTSYKLSGEAKGAKMNVSFGYTVVSDTPIFPRNNQNVNELLRVKLNNARTVKPAPKLCQGDAKSMVYRTGSLPGNYNQQRRAASRSVEDVKDLHEVLPVSSSELDIPVNILHQKLEDKLDASGYNPEFDVFTENLEPIKQPSICDSDLIKKGTENESENSEFAVIDQGIELSSEEVNIMSADVSTVDVKMDTGCHVASEEVTKLHLHDVENSNHEDELGSHDCNFKDEICSKESVMEELESALKSISILESDALDSPEEKEDYTEVKTGTSLSLDDLTESVANEFLDMLGMEQSPFGSSSESEPESPRERLLRQFEKDALAGGGSLFDFDVDYGDQRECDYYASTASGLGNFSEDFELLSVIQTAEEELMGTQSVSGKARVRMLEDLETESLMREWGLNDKAFDCSPPKSSGGFGSPIDLPPEEPFELPALGEGLGSFLQTKNGGFLRSMNPSIFQKAKNSGHLIMQVSSPVVVPAEMGSGIVDIQQRLASIGIEKLSMQANKLMPLEDITGKTMQQVAWEAGATLEGPERQSLLQQEYTMDDASLGQTSVNDRSSAPRSNKLSSGSLGSETGSEYVSLEDLAPLAMDKIEALSIEGLRIQSGMSDEEAPSNIRAQSIGEISSLQGKGVDISGSLGLEGTAGLQLLDIKDSADDIDGLMGLSLTLDEWMRLDSGDIGDEDQISERTSKILAAHHASSLDSIRGGSKGGRGRGKGSGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYCKVSELRNNDEEDDESESVVKQEVEKQTSEKALEEEGIPQYQITEVHVAGMKSEPGKKKLWGTTSQQQSGSRWLLANGMGKGNKHSTTKSKGVSTKSAPPLTTKVQRGDSLWSVSSRFHGTGAKWKEPHKRNPNVIFPN >Potri.006G145500.2.v4.1 pep chromosome:Pop_tri_v4:6:12329276:12333682:-1 gene:Potri.006G145500.v4.1 transcript:Potri.006G145500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G145500.v4.1 MMLSKIEGGKKIREDSGNGKLLSEIETISKALYLDKNLSRTASVSTSSNRPRSTGKTQLVDPKSKLDNKHGSEDPSRKDKKSIWNWKPLKAFSNARNREFNCCFSLQVHSIEGFPSTFDNLSVCVHWKRRDGELVTSPVKVFEGIAEFEEKLTHTCVVYGSRSGPHHSAKYEAKHFLLYAALFGAMDLDLGKHRVDLTRLLPLTLEELEEDKSSGKWTTSYKLSGEAKGAKMNVSFGYTVVSDTPIFPRNNQNVNELLRVKLNNARTVKPAPKLCQGDAKSMVYRTGSLPGNYNQQRRAASRSVEDVKDLHEVLPVSSSELDIPVNILHQKLEDKLDASGYNPEFDVFTENLEPIKQPSICDSDLIKKGTENESENSEFAVIDQGIELSSEEVNIMSADVSTVDVKMDTGCHVASEEVTKLHLHDVENSNHEDELGSHDCNFKDEICSKESVMEELESALKSISILESDALDSPEEKEDYTEVKTGTSLSLDDLTESVANEFLDMLGMEQSPFGSSSESEPESPRERLLRQFEKDALAGGGSLFDFDVDYGDQRECDYYASTASGLGNFSEDFELLSVIQTAEEELMGTQSVSGKARVRMLEDLETESLMREWGLNDKAFDCSPPKSSGGFGSPIDLPPEEPFELPALGEGLGSFLQTKNGGFLRSMNPSIFQKAKNSGHLIMQVSSPVVVPAEMGSGIVDIQQRLASIGIEKLSMQANKLMPLEDITGKTMQQVAWEAGATLEGPERQSLLQQEYTMDDASLGQTSVNDRSSAPRSNKLSSGSLGSETGSEYVSLEDLAPLAMDKIEALSIEGLRIQSGMSDEEAPSNIRAQSIGEISSLQGKGVDISGSLGLEGTAGLQLLDIKDSADDIDGLMGLSLTLDEWMRLDSGDIGDEDQISERTSKILAAHHASSLDSIRGGSKGGRGRGKGSGRKCGLLGNNFTVALMVQLRDPLRNYEPVGTPMLALIQVERVFVPPKPKIYCKVSELRNNDEEDDESESVVKQEVEKQTSEKALEEEGIPQYQITEVHVAGMKSEPGKKKLWGTTSQQQSGSRWLLANGMGKGNKHSTTKSKGVSTKSAPPLTTKVQRGDSLWSVSSRFHGTGAKWKEPHKRNPNVIFPN >Potri.001G204900.16.v4.1 pep chromosome:Pop_tri_v4:1:20773463:20787241:-1 gene:Potri.001G204900.v4.1 transcript:Potri.001G204900.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204900.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDARQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLAMENKSQSTIPNSLPPNSTGSGNKPLDPGGSHSMQSQVHNQGPSLTIPLPANQSQERQQLLSQNMQTGMASSGVQSSSGLTSALPPISSLTQTVPNSVGQNPNMQSISGVSQNPVGNPMGQGVPSNMLANSQRQGRQLVVPQQQQQPQNPQQYFYQQQLQQQLLKQKLQQGNPQHSLVQSHVQQQQQQQQNLLQSNQLQSSQQSGVQTPSVMQPSIMQTAPLSCLQQNQPSSVQQSTQPMLQQHPQPVLRQQQQPQQTAGIHQQQRLLGQQNNLPNLQQQQLMVQQNNLSSMHQQQLGSQSNVSSLQQQQLLGAQSGNSSMQTNQHPVHMLQQSKVTLQQQAQQSAGTLLPNQGQQSQPQLPQQQLMSQIQSQPVQLQQQSNPLQHDLQQRFQASGSLLQQQNVTDQQKQLYQSQRALPETSPTSLDSTTQTGHVNVNDWQEEIYQKIKVMKEMYFPEINEIYQRIAAKLPQHDSHPQQPKSEQLDKLKALKTMLERLIMFLQVPKNNIKLNFKEKLGYYENQILNFLNTSRPRKPVPNLQQGQLPQLHMQPMQRPQSQVPQLQSHENQLNPQLQSMNLQGSVPTMQQNNVPSLPHNSLSSLSGVSTSQPNKMNPMQSASNLDSGQGNSLSSLQQAPVGSVQQNPVSSSQPTNFNTLSTQSGVSMLQSNIPLQLNSNMIQQQHLKQQMLQTQQLKQQFQQRQPQQQQRQTQQQQHLMQKQQMLLQQQQQQLHQQAKQQLPAQMQTQQIPQPHQMNDVNEMKLRQGIGIKPAVFQQHLSTGQRTAFPHQQMKPGSSFPISSPQMLQHASPQLQQHSSPQIDQQNLLPSLTKTGTPLQSANSPFVVPSPSTPLAPSPVPGDSEKPISGISSNIVHQPTVAQATAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVMEQPLERMIKAVKSLSPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGMTGSKKMRRHTSAMPLNVASSAGSVSDSFKQFTGPETSDLESTVTSSVKRPKIEANHALLEEIREINQRLLDTVVDISDEDVDSTAAAAAAEGGGGTFVKCSFIAVALSPNLKAQYTSAQMSTIQPLRLLVPTNYPNCSPILLDKFPFEVR >Potri.001G204900.17.v4.1 pep chromosome:Pop_tri_v4:1:20774012:20782746:-1 gene:Potri.001G204900.v4.1 transcript:Potri.001G204900.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204900.v4.1 MQSQVHNQGPSLTIPLPANQSQERQQLLSQNMQTGMASSGVQSSSGLTSALPPISSLTQTVPNSVGQNPNMQSISGVSQNPVGNPMGQGVPSNMLANSQRQGRQLVVPQQQQQPQNPQQYFYQQQLQQQLLKQKLQQGNPQHSLVQSHVQQQQQQQQNLLQSNQLQSSQQSGVQTPSVMQPSIMQTAPLSCLQQNQPSSVQQSTQPMLQQHPQPVLRQQQQPQQTAGIHQQQRLLGQQNNLPNLQQQQLMVQQNNLSSMHQQQLGSQSNVSSLQQQQLLGAQSGNSSMQTNQHPVHMLQQSKVTLQQQAQQSAGTLLPNQGQQSQPQLPQQQLMSQIQSQPVQLQQQSNPLQHDLQQRFQASGSLLQQQNVTDQQKQLYQSQRALPETSPTSLDSTTQTGHVNVNDWQEEIYQKHDSHPQQPKSEQLDKLKALKTMLERLIMFLQVPKNNIKLNFKEKLGYYENQILNFLNTSRPRKPVPNLQQGQLPQLHMQPMQRPQSQVPQLQSHENQLNPQLQSMNLQGSVPTMQQNNVPSLPHNSLSSLSGVSTSQPNKMNPMQSASNLDSGQGNSLSSLQQAPVGSVQQNPVSSSQPTNFNTLSTQSGVSMLQSNIPLQLNSNMIQQQHLKQQMLQTQQLKQQFQQRQPQQQQRQTQQQQHLMQKQQMLLQQQQQQLHQQAKQQLPAQMQTQQIPQPHQMNDVNEMKLRQGIGIKPAVFQQHLSTGQRTAFPHQQMKPGSSFPISSPQMLQHASPQLQQHSSPQIDQQNLLPSLTKTGTPLQSANSPFVVPSPSTPLAPSPVPGDSEKPISGISSNIVHQPTVAQATAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVMEQPLERMIKAVKSLSPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGMTGSKKMRRHTSAMPLNVASSAGSVSDSFKQFTGPETSDLESTVTSSVKRPKIEANHALLEEIREINQRLLDTVVDISDEDVDSTAAAAAAEGGGGTFVKCSFIAVALSPNLKAQYTSAQMSTIQPLRLLVPTNYPNCSPILLDKFPFEVSKEYEDLSIKAKFRFSISLRSLSQPMSLGDIARTWDVCAHAVISEHAQQSGGGTFSSKYGSWENCVSAA >Potri.001G204900.12.v4.1 pep chromosome:Pop_tri_v4:1:20774013:20787248:-1 gene:Potri.001G204900.v4.1 transcript:Potri.001G204900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204900.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDARQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLAMENKSQSTIPNSLPPNSTGSGNKPLDPGGSHSMQSQVHNQGPSLTIPLPANQSQERQQLLSQNMQTGMASSGVQSSSGLTSALPPISSLTQTVPNSVGQNPNMQSISGVSQNPVGNPMGQGVPSNMLANSQRQGRQLVVPQQQQQPQNPQQYFYQQQLQQQLLKQKLQQGNPQHSLVQSHVQQQQQQQQNLLQSNQLQSSQQSGVQTPSVMQPSIMQTAPLSCLQQNQPSSVQQSTQPMLQQHPQPVLRQQQQPQQTAGIHQQQRLLGQQNNLPNLQQQQLMVQQNNLSSMHQQQLGSQSNVSSLQQQQLLGAQSGNSSMQTNQHPVHMLQQSKVTLQQQAQQSAGTLLPNQGQQSQPQLPQQQLMSQIQSQPVQLQQQSNPLQHDLQQRFQASGSLLQQQNVTDQQKQLYQSQRALPETSPTSLDSTTQTGHVNVNDWQEEIYQKIKVMKEMYFPEINEIYQRIAAKLPQHDSHPQQPKSEQLDKLKALKTMLERLIMFLQVPKNNIKLNFKEKLGYYENQILNFLNTSRPRKPVPNLQQGQLPQLHMQPMQRPQSQVPQLQSHENQLNPQLQSMNLQGSVPTMQQNNVPSLPHNSLSSLSGVSTSQPNKMNPMQSASNLDSGQGNSLSSLQQAPVGSVQQNPVSSSQPTNFNTLSTQSGVSMLQSNIPLQLNSNMIQQQHLKQQMLQTQQLKQQFQQRQPQQQQRQTQQQQHLMQKQQMLLQQQQQQLHQQAKQQLPAQMQTQQIPQPHQMNDVNEMKLRQGIGIKPAVFQQHLSTGQRTAFPHQQMKPGSSFPISSPQMLQHASPQLQQHSSPQIDQQNLLPSLTKTGTPLQSANSPFVVPSPSTPLAPSPVPGDSEKPISGISSNIVHQPTVAQATAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVMEQPLERMIKAVKSLSPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGMTGSKKMRRHTSAMPLNVASSAGSVSDSFKQFTGPETSDLESTVTSSVKRPKIELFLQANHALLEEIREINQRLLDTVVDISDEDVDSTAAAAAAEGGGGTFVKCSFIAVALSPNLKAQYTSAQMSTIQPLRLLVPTNYPNCSPILLDKFPFEVSKEYEDLSIKAKFRFSISLRSLSQPMSLGDIARTWDVCAHAVISEHAQQSGGGTFSSKYGSWENCVSAA >Potri.001G204900.15.v4.1 pep chromosome:Pop_tri_v4:1:20772991:20787241:-1 gene:Potri.001G204900.v4.1 transcript:Potri.001G204900.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204900.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDARQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLAMENKSQSTIPNSLPPNSTGSGNKPLDPGGSHSMQSQVHNQGPSLTIPLPANQSQERQQLLSQNMQTGMASSGVQSSSGLTSALPPISSLTQTVPNSVGQNPNMQSISGVSQNPVGNPMGQGVPSNMLANSQRQGRQLVVPQQQQQPQNPQQYFYQQQLQQQLLKQKLQQGNPQHSLVQSHVQQQQQQQQNLLQSNQLQSSQQSGVQTPSVMQPSIMQTAPLSCLQQNQPSSVQQSTQPMLQQHPQPVLRQQQQPQQTAGIHQQQRLLGQQNNLPNLQQQQLMVQQNNLSSMHQQQLGSQSNVSSLQQQQLLGAQSGNSSMQTNQHPVHMLQQSKVTLQQQAQQSAGTLLPNQGQQSQPQLPQQQLMSQIQSQPVQLQQQSNPLQHDLQQRFQASGSLLQQQNVTDQQKQLYQSQRALPETSPTSLDSTTQTGHVNVNDWQEEIYQKHDSHPQQPKSEQLDKLKALKTMLERLIMFLQVPKNNIKLNFKEKLGYYENQILNFLNTSRPRKPVPNLQQGQLPQLHMQPMQRPQSQVPQLQSHENQLNPQLQSMNLQGSVPTMQQNNVPSLPHNSLSSLSGVSTSQPNKMNPMQSASNLDSGQGNSLSSLQQAPVGSVQQNPVSSSQPTNFNTLSTQSGVSMLQSNIPLQLNSNMIQQQHLKQQMLQTQQLKQQFQQRQPQQQQRQTQQQQHLMQKQQMLLQQQQQQLHQQAKQQLPAQMQTQQIPQPHQMNDVNEMKLRQGIGIKPAVFQQHLSTGQRTAFPHQQMKPGSSFPISSPQMLQHASPQLQQHSSPQIDQQNLLPSLTKTGTPLQSANSPFVVPSPSTPLAPSPVPGDSEKPISGISSNIVHQPTVAQATAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVMEQPLERMIKAVKSLSPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGMTGSKKMRRHTSAMPLNVASSAGSVSDSFKQFTGPETSDLESTVTSSVKRPKIEANHALLEEIREINQRLLDTVVDISDEDVDSTAAAAAAEGGGGTFVKCSFIAVALSPNLKAQYTSAQMSTIQPLRLLVPTNYPNCSPILLDKFPFEVSKEYEDLSIKAKFRFSISLRSLSQPMSLGDIARTWDVCAHAVISEHAQQSGGGTFSSKYGSWENCVSAA >Potri.001G204900.8.v4.1 pep chromosome:Pop_tri_v4:1:20774008:20785250:-1 gene:Potri.001G204900.v4.1 transcript:Potri.001G204900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204900.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDARQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLAMENKSQSTIPNSLPPNSTGSGNKPLDPGGSHSMQSQVHNQGPSLTIPLPANQSQERQQLLSQNMQTGMASSGVQSSSGLTSALPPISSLTQTVPNSVGQNPNMQSISGVSQNPVGNPMGQGVPSNMLANSQRQGRQLVVPQQQQQPQNPQQYFYQQQLQQQLLKQKLQQGNPQHSLVQSHVQQQQQQQQNLLQSNQLQSSQQSGVQTPSVMQPSIMQTAPLSCLQQNQPSSVQQSTQPMLQQHPQPVLRQQQQPQQTAGIHQQQRLLGQQNNLPNLQQQQLMVQQNNLSSMHQQQLGSQSNVSSLQQQQLLGAQSGNSSMQTNQHPVHMLQQSKVTLQQQAQQSAGTLLPNQGQQSQPQLPQQQLMSQIQSQPVQLQQQSNPLQHDLQQRFQASGSLLQQQNVTDQQKQLYQSQRALPETSPTSLDSTTQTGHVNVNDWQEEIYQKIKVMKEMYFPEINEIYQRIAAKLPQHDSHPQQPKSEQLDKLKALKTMLERLIMFLQVPKNNIKLNFKEKLGYYENQILNFLNTSRPRKPVPNLQQGQLPQLHMQPMQRPQSQVPQLQSHENQLNPQLQSMNLQGSVPTMQQNNVPSLPHNSLSSLSGVSTSQPNKMNPMQSASNLDSGQGNSLSSLQQAPVGSVQQNPVSSSQPTNFNTLSTQSGVSMLQSNIPLQLNSNMIQQQHLKQQMLQTQQLKQQFQQRQPQQQQRQTQQQQHLMQKQQMLLQQQQQQLHQQAKQQLPAQMQTQQIPQPHQMNDVNEMKLRQGIGIKPAVFQQHLSTGQRTAFPHQQMKPGSSFPISSPQMLQHASPQLQQHSSPQIDQQNLLPSLTKTGTPLQSANSPFVVPSPSTPLAPSPVPGDSEKPISGISSNIVHQPTVAQATAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVMEQPLERMIKAVKSLSPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGMTGSKKMRRHTSAMPLNVASSAGSVSDSFKQFTGPETSDLESTVTSSVKRPKIEANHALLEEIREINQRLLDTVVDISDEDVDSTAAAAAAEGGGGTFVKCSFIAVALSPNLKAQYTSAQMSTIQPLRLLVPTNYPNCSPILLDKFPFEVSKEYEDLSIKAKFRFSISLRSLSQPMSLGDIARTWDVCAHAVISEHAQQSGGGTFSSKYGSWENCVSAA >Potri.001G204900.13.v4.1 pep chromosome:Pop_tri_v4:1:20773949:20787265:-1 gene:Potri.001G204900.v4.1 transcript:Potri.001G204900.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204900.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDARQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQSDYLRKISLKMLAMENKSQSTIPNSLPPNSTGSGNKPLDPGGSHSMQSQVHNQGPSLTIPLPANQSQERQQLLSQNMQTGMASSGVQSSSGLTSALPPISSLTQTVPNSVGQNPNMQSISGVSQNPVGNPMGQGVPSNMLANSQRQGRQLVVPQQQQQPQNPQQYFYQQQLQQQLLKQKLQQGNPQHSLVQSHVQQQQQQQQNLLQSNQLQSSQQSGVQTPSVMQPSIMQTAPLSCLQQNQPSSVQQSTQPMLQQHPQPVLRQQQQPQQTAGIHQQQRLLGQQNNLPNLQQQQLMVQQNNLSSMHQQQLGSQSNVSSLQQQQLLGAQSGNSSMQTNQHPVHMLQQSKVTLQQQAQQSAGTLLPNQGQQSQPQLPQQQLMSQIQSQPVQLQQQSNPLQHDLQQRFQASGSLLQQQNVTDQQKQLYQSQRALPETSPTSLDSTTQTGHVNVNDWQEEIYQKIKVMKEMYFPEINEIYQRIAAKLPQHDSHPQQPKSEQLDKLKALKTMLERLIMFLQVPKNNIKLNFKEKLGYYENQILNFLNTSRPRKPVPNLQQGQLPQLHMQPMQRPQSQVPQLQSHENQLNPQLQSMNLQGSVPTMQQNNVPSLPHNSLSSLSGVSTSQPNKMNPMQSASNLDSGQGNSLSSLQQAPVGSVQQNPVSSSQPTNFNTLSTQSGVSMLQSNIPLQLNSNMIQQQHLKQQMLQTQQLKQQFQQRQPQQQQRQTQQQQHLMQKQQMLLQQQQQQLHQQAKQQLPAQMQTQQIPQPHQMNDVNEMKLRQGIGIKPAVFQQHLSTGQRTAFPHQQMKPGSSFPISSPQMLQHASPQLQQHSSPQIDQQNLLPSLTKTGTPLQSANSPFVVPSPSTPLAPSPVPGDSEKPISGISSNIVHQPTVAQATAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVMEQPLERMIKAVKSLSPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGMTGSKKMRRHTSAMPLNVASSAGSVSDSFKQFTGPETSDLESTVTSSVKRPKIEANHALLEEIREINQRLLDTVVDISDEDVDSTAAAAAAEGGGGTFVKCSFIAVALSPNLKAQYTSAQMSTIQPLRLLVPTNYPNCSPILLDKFPFEVSKEYEDLSIKAKFRFSISLRSLSQPMSLGDIARTWDVCAHAVISEHAQQSGGGTFSSKYGSWENCVSAA >Potri.001G204900.14.v4.1 pep chromosome:Pop_tri_v4:1:20774013:20787241:-1 gene:Potri.001G204900.v4.1 transcript:Potri.001G204900.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G204900.v4.1 MDANNWRPTAPGGEPVMDTGDWRTQLQPDARQRIVNKIMETLKRHLPFSGQEGLQELKKIAVRFEEKIYTAATSQMLAMENKSQSTIPNSLPPNSTGSGNKPLDPGGSHSMQSQVHNQGPSLTIPLPANQSQERQQLLSQNMQTGMASSGVQSSSGLTSALPPISSLTQTVPNSVGQNPNMQSISGVSQNPVGNPMGQGVPSNMLANSQRQGRQLVVPQQQQQPQNPQQYFYQQQLQQQLLKQKLQQGNPQHSLVQSHVQQQQQQQQNLLQSNQLQSSQQSGVQTPSVMQPSIMQTAPLSCLQQNQPSSVQQSTQPMLQQHPQPVLRQQQQPQQTAGIHQQQRLLGQQNNLPNLQQQQLMVQQNNLSSMHQQQLGSQSNVSSLQQQQLLGAQSGNSSMQTNQHPVHMLQQSKVTLQQQAQQSAGTLLPNQGQQSQPQLPQQQLMSQIQSQPVQLQQQSNPLQHDLQQRFQASGSLLQQQNVTDQQKQLYQSQRALPETSPTSLDSTTQTGHVNVNDWQEEIYQKIKVMKEMYFPEINEIYQRIAAKLPQHDSHPQQPKSEQLDKLKALKTMLERLIMFLQVPKNNIKLNFKEKLGYYENQILNFLNTSRPRKPVPNLQQGQLPQLHMQPMQRPQSQVPQLQSHENQLNPQLQSMNLQGSVPTMQQNNVPSLPHNSLSSLSGVSTSQPNKMNPMQSASNLDSGQGNSLSSLQQAPVGSVQQNPVSSSQPTNFNTLSTQSGVSMLQSNIPLQLNSNMIQQQHLKQQMLQTQQLKQQFQQRQPQQQQRQTQQQQHLMQKQQMLLQQQQQQLHQQAKQQLPAQMQTQQIPQPHQMNDVNEMKLRQGIGIKPAVFQQHLSTGQRTAFPHQQMKPGSSFPISSPQMLQHASPQLQQHSSPQIDQQNLLPSLTKTGTPLQSANSPFVVPSPSTPLAPSPVPGDSEKPISGISSNIVHQPTVAQATAPSLAIGTPGISASPLLAEFTSPDGAHGGALTTVSGKSNVMEQPLERMIKAVKSLSPKALSASVSDIGSVVSMIDRIAGSAPGNGSRAAVGEDLVAMTKCRLQARNFFTQDGMTGSKKMRRHTSAMPLNVASSAGSVSDSFKQFTGPETSDLESTVTSSVKRPKIEANHALLEEIREINQRLLDTVVDISDEDVDSTAAAAAAEGGGGTFVKCSFIAVALSPNLKAQYTSAQMSTIQPLRLLVPTNYPNCSPILLDKFPFEVSKEYEDLSIKAKFRFSISLRSLSQPMSLGDIARTWDVCAHAVISEHAQQSGGGTFSSKYGSWENCVSAA >Potri.005G130200.1.v4.1 pep chromosome:Pop_tri_v4:5:9999799:10000528:-1 gene:Potri.005G130200.v4.1 transcript:Potri.005G130200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G130200.v4.1 MGICSSCESAHVATAKLILQDGRLQEFSYPVKVSYVLAKNPTCFICNADEMEFDDVVSAINDDEELQPGQLYFALPLGWLKHPLQPEQMAALAVKASSALMKTGGAEKCRCRKKLVFSADNDGRGGGPSRNGGRGKLTAMLSAIPE >Potri.019G018700.4.v4.1 pep chromosome:Pop_tri_v4:19:3071075:3082674:-1 gene:Potri.019G018700.v4.1 transcript:Potri.019G018700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018700.v4.1 MMGTELIRVRVKEENDDIPSVPPGFESFAAFNLNRVQDGEKQESNIISCSATASASESLPVKMETGFEDEAKVTRSLRRRPWIKYGHLDGCSEDESDSAKPNQNLSSRSQLPKGVIRGCPQCSNCQKVSARWQPEYARKPDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEETVWEGSTFATRVQRVDKLQNRDSMRKMSTMSNHTRKKRRRCMRMAIDCGADIGSISRSNDTGVCEAESFGFEPGPLFTLDKFQKYADDFMAQYFKKDENTINKGGSMTMLQENCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSSEVGSATNDRYTKSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKIWYGVPGKDAVKLEEAMRKYLPDLFEEQPDLLHKLVTQLSPNILKSIGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYRKQGRRTSISHDKLLLGAAREAVRAHWELNLLKRNELNNLRWKDMCGKDGILAKAFKERVETEHVRRQFLCNSSPALKMESDFDATSERECSVCLFDLHLSAVGCHCSPDKYACLNHAKQLCSCVSGAKFFLFRYDISELNILVEALEGKLSAVYRWARLDLGLALTSFVSKDNAEEGKLSCSPKRTATEQVRSHASADLHKVSPGRIISGDFRMNSAGICWQIAAEEKKPPEDIPPKDARASSVSHSSFQVIEKENDNFKLNQKGSSLLSTNLRTLACQLSQEDPSYTAGLASEKCERKKPSTLCNDNIILLSDDEGDELKPISERAKENVSVNHSSLSEKLSISHDRSCNDNKDSILTFAVINGAVKSEKNVSLFPDENNSPSGPLQVKDGYNQDGGKVLGFNQPNGFCHAGPSTAGFGRNIQNFSSNRDAGKDNRMANAGSQQPQPCGSGKPNIEDEMGANATSTSVDNSRTMAGSPSSSQNNLDRYYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYLSVLDPTNMCYYVSEILDAGRNSPLFMVSLEHYPNEVFIHVSAARCWEMVRERVNQEITKQHKTGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSKANARHSQGTSEDQNNRKVPGSQFLPVEVDTTLGGLFKKASPEELILLSRVLSDNKPTADPGLITQLLNEEIHNRPR >Potri.019G018700.16.v4.1 pep chromosome:Pop_tri_v4:19:3071102:3081723:-1 gene:Potri.019G018700.v4.1 transcript:Potri.019G018700.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018700.v4.1 MMGTELIRVRVKEENDDIPSVPPGFESFAAFNLNRVQDGEKQESNIISCSATASASESLPVKMETGFEDEAKVTRSLRRRPWIKYGHLDGCSEDESDSAKPNQNLSSRSQLPKGVIRGCPQCSNCQKVSARWQPEYARKPDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEETVWEGSTFATRVQRVDKLQNRDSMRKMSTMSNHTRKKRRRCMRMAIDCGADIGSISRSNDTGVCEAESFGFEPGPLFTLDKFQKYADDFMAQYFKKDENTINKGGSMTMLQENCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSSEVGSATNDRYTKSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKIWYGVPGKDAVKLEEAMRKYLPDLFEEQPDLLHKLVTQLSPNILKSIGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYRKQGRRTSISHDKLLLGAAREAVRAHWELNLLKRNELNNLRWKDMCGKDGILAKAFKERVETEHVRRQFLCNSSPALKMESDFDATSERECSVCLFDLHLSAVGCHCSPDKYACLNHAKQLCSCVSGAKFFLFRYDISELNILVEALEGKLSAVYRWARLDLGLALTSFVSKDNAEEGKLSCSPKRTATEQVRSHASADLHKVSPGRIISGDFRMNSAGICWQIAAEEKKPPEDIPPKDARASSVSHSSFQVIEKENDNFKLNQKGSSLLSTNLRTLACQLSQEDPSYTAGLASEKCERKKPSTLCNDNIILLSDDEGDELKPISERAKENVSVNHSSLSEKLSISHDRSCNDNKDSILTFAVINGAVKSEKNVSLFPDENNSPSGPLQVKDGYNQDGGKVLGFNQPNGFCHAGPSTAGFGRNIQNFSSNRDAGKDNRMANAGSQQPQPCGSGKPNIEDEMGANATSTSVDNSRTMAGSPSSSQNNLDRYYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYLSVLDPTNMCYYVSEILDAGRNSPLFMVSLEHYPNEVFIHVSAARCWEMVRERVNQEITKQHKTGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSKANARHSQGTSEDQNNRKVPGSQFLPVEVDTTLGGLFKKASPEELILLSRVLSDNKPTADPGLITQLLNEEIHNRPR >Potri.019G018700.3.v4.1 pep chromosome:Pop_tri_v4:19:3071062:3082661:-1 gene:Potri.019G018700.v4.1 transcript:Potri.019G018700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018700.v4.1 MMGTELIRVRVKEENDDIPSVPPGFESFAAFNLNRVQDGEKQESNIISCSATASASESLPVKMETGFEDEAKVTRSLRRRPWIKYGHLDGCSEDESDSAKPNQNLSSRSQLPKGVIRGCPQCSNCQKVSARWQPEYARKPDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEETVWEGSTFATRVQRVDKLQNRDSMRKMSTMSNHTRKKRRRCMRMAIDCGADIGSISRSNDTGVCEAESFGFEPGPLFTLDKFQKYADDFMAQYFKKDENTINKGGSMTMLQENCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSSEVGSATNDRYTKSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKIWYGVPGKDAVKLEEAMRKYLPDLFEEQPDLLHKLVTQLSPNILKSIGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYRKQGRRTSISHDKLLLGAAREAVRAHWELNLLKRNELNNLRWKDMCGKDGILAKAFKERVETEHVRRQFLCNSSPALKMESDFDATSERECSVCLFDLHLSAVGCHCSPDKYACLNHAKQLCSCVSGAKFFLFRYDISELNILVEALEGKLSAVYRWARLDLGLALTSFVSKDNAEEGKLSCSPKRTATEQVRSHASADLHKVSPGRIISGDFRMNSAGICWQIAAEEKKPPEDIPPKDARASSVSHSSFQVIEKENDNFKLNQKGSSLLSTNLRTLACQLSQEDPSYTAGLASEKCERKKPSTLCNDNIILLSDDEGDELKPISERAKENVSVNHSSLSEKLSISHDRSCNDNKDSILTFAVINGAVKSEKNVSLFPDENNSPSGPLQVKDGYNQDGGKVLGFNQPNGFCHAGPSTAGFGRNIQNFSSNRDAGKDNRMANAGSQQPQPCGSGKPNIEDEMGANATSTSVDNSRTMAGSPSSSQNNLDRYYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYLSVLDPTNMCYYVSEILDAGRNSPLFMVSLEHYPNEVFIHVSAARCWEMVRERVNQEITKQHKTGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSKANARHSQGTSEDQNNRKVPGSQFLPVEVDTTLGGLFKKASPEELILLSRVLSDNKPTADPGLITQLLNEEIHNRPR >Potri.019G018700.13.v4.1 pep chromosome:Pop_tri_v4:19:3071139:3082491:-1 gene:Potri.019G018700.v4.1 transcript:Potri.019G018700.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018700.v4.1 MMGTELIRVRVKEENDDIPSVPPGFESFAAFNLNRVQDGEKQESNIISCSATASASESLPVKMETGFEDEAKVTRSLRRRPWIKYGHLDGCSEDESDSAKPNQNLSSRSQLPKGVIRGCPQCSNCQKVSARWQPEYARKPDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEETVWEGSTFATRVQRVDKLQNRDSMRKMSTMSNHTRKKRRRCMRMAIDCGADIGSISRSNDTGVCEAESFGFEPGPLFTLDKFQKYADDFMAQYFKKDENTINKGGSMTMLQENCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSSEVGSATNDRYTKSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKIWYGVPGKDAVKLEEAMRKYLPDLFEEQPDLLHKLVTQLSPNILKSIGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYRKQGRRTSISHDKLLLGAAREAVRAHWELNLLKRNELNNLRWKDMCGKDGILAKAFKERVETEHVRRQFLCNSSPALKMESDFDATSERECSVCLFDLHLSAVGCHCSPDKYACLNHAKQLCSCVSGAKFFLFRYDISELNILVEALEGKLSAVYRWARLDLGLALTSFVSKDNAEEGKLSCSPKRTATEQVRSHASADLHKVSPGRIISGDFRMNSAGICWQIAAEEKKPPEDIPPKDARASSVSHSSFQVIEKENDNFKLNQKGSSLLSTNLRTLACQLSQEDPSYTAGLASEKCERKKPSTLCNDNIILLSDDEGDELKPISERAKENVSVNHSSLSEKLSISHDRSCNDNKDSILTFAVINGAVKSEKNVSLFPDENNSPSGPLQVKDGYNQDGGKVLGFNQPNGFCHAGPSTAGFGRNIQNFSSNRDAGKDNRMANAGSQQPQPCGSGKPNIEDEMGANATSTSVDNSRTMAGSPSSSQNNLDRYYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYLSVLDPTNMCYYVSEILDAGRNSPLFMVSLEHYPNEVFIHVSAARCWEMVRERVNQEITKQHKTGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSKANARHSQGTSEDQNNRKVPGSQFLPVEVDTTLGGLFKKASPEELILLSRVLSDNKPTADPGLITQLLNEEIHNRPR >Potri.019G018700.14.v4.1 pep chromosome:Pop_tri_v4:19:3071103:3082454:-1 gene:Potri.019G018700.v4.1 transcript:Potri.019G018700.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018700.v4.1 MMGTELIRVRVKEENDDIPSVPPGFESFAAFNLNRVQDGEKQESNIISCSATASASESLPVKMETGFEDEAKVTRSLRRRPWIKYGHLDGCSEDESDSAKPNQNLSSRSQLPKGVIRGCPQCSNCQKVSARWQPEYARKPDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEETVWEGSTFATRVQRVDKLQNRDSMRKMSTMSNHTRKKRRRCMRMAIDCGADIGSISRSNDTGVCEAESFGFEPGPLFTLDKFQKYADDFMAQYFKKDENTINKGGSMTMLQENCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSSEVGSATNDRYTKSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKIWYGVPGKDAVKLEEAMRKYLPDLFEEQPDLLHKLVTQLSPNILKSIGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYRKQGRRTSISHDKLLLGAAREAVRAHWELNLLKRNELNNLRWKDMCGKDGILAKAFKERVETEHVRRQFLCNSSPALKMESDFDATSERECSVCLFDLHLSAVGCHCSPDKYACLNHAKQLCSCVSGAKFFLFRYDISELNILVEALEGKLSAVYRWARLDLGLALTSFVSKDNAEEGKLSCSPKRTATEQVRSHASADLHKVSPGRIISGDFRMNSAGICWQIAAEEKKPPEDIPPKDARASSVSHSSFQVIEKENDNFKLNQKGSSLLSTNLRTLACQLSQEDPSYTAGLASEKCERKKPSTLCNDNIILLSDDEGDELKPISERAKENVSVNHSSLSEKLSISHDRSCNDNKDSILTFAVINGAVKSEKNVSLFPDENNSPSGPLQVKDGYNQDGGKVLGFNQPNGFCHAGPSTAGFGRNIQNFSSNRDAGKDNRMANAGSQQPQPCGSGKPNIEDEMGANATSTSVDNSRTMAGSPSSSQNNLDRYYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYLSVLDPTNMCYYVSEILDAGRNSPLFMVSLEHYPNEVFIHVSAARCWEMVRERVNQEITKQHKTGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSKANARHSQGTSEDQNNRKVPGSQFLPVEVDTTLGGLFKKASPEELILLSRVLSDNKPTADPGLITQLLNEEIHNRPR >Potri.019G018700.12.v4.1 pep chromosome:Pop_tri_v4:19:3070963:3082692:-1 gene:Potri.019G018700.v4.1 transcript:Potri.019G018700.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018700.v4.1 MMGTELIRVRVKEENDDIPSVPPGFESFAAFNLNRVQDGEKQESNIISCSATASASESLPVKMETGFEDEAKVTRSLRRRPWIKYGHLDGCSEDESDSAKPNQNLSSRSQLPKGVIRGCPQCSNCQKVSARWQPEYARKPDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEETVWEGSTFATRVQRVDKLQNRDSMRKMSTMSNHTRKKRRRCMRMAIDCGADIGSISRSNDTGVCEAESFGFEPGPLFTLDKFQKYADDFMAQYFKKDENTINKGGSMTMLQENCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSSEVGSATNDRYTKSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKIWYGVPGKDAVKLEEAMRKYLPDLFEEQPDLLHKLVTQLSPNILKSIGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYRKQGRRTSISHDKLLLGAAREAVRAHWELNLLKRNELNNLRWKDMCGKDGILAKAFKERVETEHVRRQFLCNSSPALKMESDFDATSERECSVCLFDLHLSAVGCHCSPDKYACLNHAKQLCSCVSGAKFFLFRYDISELNILVEALEGKLSAVYRWARLDLGLALTSFVSKDNAEEGKLSCSPKRTATEQVRSHASADLHKVSPGRIISGDFRMNSAGICWQIAAEEKKPPEDIPPKDARASSVSHSSFQVIEKENDNFKLNQKGSSLLSTNLRTLACQLSQEDPSYTAGLASEKCERKKPSTLCNDNIILLSDDEGDELKPISERAKENVSVNHSSLSEKLSISHDRSCNDNKDSILTFAVINGAVKSEKNVSLFPDENNSPSGPLQVKDGYNQDGGKVLGFNQPNGFCHAGPSTAGFGRNIQNFSSNRDAGKDNRMANAGSQQPQPCGSGKPNIEDEMGANATSTSVDNSRTMAGSPSSSQNNLDRYYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYLSVLDPTNMCYYVSEILDAGRNSPLFMVSLEHYPNEVFIHVSAARCWEMVRERVNQEITKQHKTGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSKANARHSQGTSEDQNNRKVPGSQFLPVEVDTTLGGLFKKASPEELILLSRVLSDNKPTADPGLITQLLNEEIHNRPR >Potri.019G018700.15.v4.1 pep chromosome:Pop_tri_v4:19:3071079:3082287:-1 gene:Potri.019G018700.v4.1 transcript:Potri.019G018700.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G018700.v4.1 MMGTELIRVRVKEENDDIPSVPPGFESFAAFNLNRVQDGEKQESNIISCSATASASESLPVKMETGFEDEAKVTRSLRRRPWIKYGHLDGCSEDESDSAKPNQNLSSRSQLPKGVIRGCPQCSNCQKVSARWQPEYARKPDIEDAPVFYPTEEEFEDTLKYIASIRPKAEQYGICRIVPPPSWKPPCPLKEETVWEGSTFATRVQRVDKLQNRDSMRKMSTMSNHTRKKRRRCMRMAIDCGADIGSISRSNDTGVCEAESFGFEPGPLFTLDKFQKYADDFMAQYFKKDENTINKGGSMTMLQENCEPTLDNIEGEYWRIVEKATEEIEVLYGADLETGVFGSGFPKTSSEVGSATNDRYTKSGWNLNNFPRLPGSVLSFESGDISGVLVPWLYIGMCFSSFCWHVEDHHLYSLNYMHWGAQKIWYGVPGKDAVKLEEAMRKYLPDLFEEQPDLLHKLVTQLSPNILKSIGVPVYRCVQNSGEFVLTFPRAYHSGFNCGFNCAEAVNVAPVDWLPHGQTAIELYRKQGRRTSISHDKLLLGAAREAVRAHWELNLLKRNELNNLRWKDMCGKDGILAKAFKERVETEHVRRQFLCNSSPALKMESDFDATSERECSVCLFDLHLSAVGCHCSPDKYACLNHAKQLCSCVSGAKFFLFRYDISELNILVEALEGKLSAVYRWARLDLGLALTSFVSKDNAEEGKLSCSPKRTATEQVRSHASADLHKVSPGRIISGDFRMNSAGICWQIAAEEKKPPEDIPPKDARASSVSHSSFQVIEKENDNFKLNQKGSSLLSTNLRTLACQLSQEDPSYTAGLASEKCERKKPSTLCNDNIILLSDDEGDELKPISERAKENVSVNHSSLSEKLSISHDRSCNDNKDSILTFAVINGAVKSEKNVSLFPDENNSPSGPLQVKDGYNQDGGKVLGFNQPNGFCHAGPSTAGFGRNIQNFSSNRDAGKDNRMANAGSQQPQPCGSGKPNIEDEMGANATSTSVDNSRTMAGSPSSSQNNLDRYYRQKGPRIAKVVRRINCNVEPLEFGVVLSGKSWCNSQAIFPKGFRSRVRYLSVLDPTNMCYYVSEILDAGRNSPLFMVSLEHYPNEVFIHVSAARCWEMVRERVNQEITKQHKTGRTNLPPLQPPGSLDGFEMFGFSSPAIVQAVEALDRNRVCTDYWDSRPYSRPQGQIPQHSQSKANARHSQGTSEDQNNRKVPGSQFLPVEVDTTLGGLFKKASPEELILLSRVLSDNKPTADPGLITQLLNEEIHNRPR >Potri.002G160900.3.v4.1 pep chromosome:Pop_tri_v4:2:12300824:12313345:1 gene:Potri.002G160900.v4.1 transcript:Potri.002G160900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160900.v4.1 MQSGGGGGGGPGRVGPAGRAGSTSSAAASPSSSSSSAAVWNLQLGFDSVQQQQQQPRQALQQQLLRKPEGNEALLAYQAGALQGVTVGNNFASSPGSMQTPQQSRQFFDLARQHGSSQDGQNRNQGVEQQALNPMQQAYLQYAFQAAQQKSALAMQSQQQAKIGMLGPTAGKDQDIRMGNLKMQELMSMQAANQAQASSSKNSSDHFSRSEKQVEQGQHLASDQRNEQKSPLQPTATGQLMPANVTRPMQAPQTIQNMANNHLAMTAQLQAIQAWALERNIDLSQPANVNLMAQLIPFMQARMAAQLKANESNPGAQSSHLLVSKPQVASPSIASESSPRANSSSDVSGQSGTAKARQTVPSGPFGSTSSGGMVNNPSNLAMQQQAFHSRENQAPPRQTAVLGNGMPANTGQGVDQILPSKNALNSSETSQARQFRQLNRSSPQSAGPSTEGGSGNRFSSQGGPAVQMAQQRTGFTKQQSHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNQDRPGGKIPEEQASHPESNDKDLQAMPSMNGQNVSKEEVFTGDEKAAVSTINMQKAPAVMKEPMPLVASGKEEQQTATFSVKSDQESEHGLQKAPVISDLASDRGKGVAPQFPASDAAQAKKPAQVSTVPQTKDSGSTRKYHGPLFDFPFFTRKHDSVGSTGIVNTNNNLTLAYDVKDLLFEEGVEMLTRKRLENLKKINGLLAVNLERKRIRPDLVLRLQIEEKKLKLLDLQARLRDEVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLESHWAIRDSRTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITATKNQQEVEEAANAAAAAARLQGLSEEEVRAAAACTSEEVMIRNRFMEMNAPRDSSSVNKYYNLAHAVNERVIRQPSMLRTGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHSWLPSVSCIYYVGGKDQRAKLFSQEVSAMKFNVLVTTYEFIMYDRTKLSKLDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQREAPVHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSTIYDWIKSTGTIRVDPEDEKRRVQKNPAYQAKVYRTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVKSCGKLWVLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVNKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEDEVELFDQMDEEFDWIEEMTRYDQVPKWLRASTKEVDATIAVLSKKPSKAILFADGMGMASGEMETERKRGRPKGKKSPNYKEIDEETGDYSEASSDERNGYSAHEEEGEIREFEDDESSDAVGAPPVNKDQSEDDGPACDGGYEYHQAVESTRNDHALDEAGSSGSSSDSQRMTRMISPVSPQKFGSLSALEARPGSLSKKLPDELEEGEIAVSGDSHMDHQQSGSWIHDRDEGEDEQVLQPKIKRKRSIRLRPRLTVEKPEEKSSNDVQRGDSFLLPFQVDNKYQAQLKSDTEMKALVEPSGFKHDQSDSSRSRRNLPSRRIAKTSKLRASPKSSRLNLQSAPAEDAAEHSRESWDGKVPSTSGASTLGKMSDVIQRRCKNVISKFQRRIDKEGQQIVPLLADLWKRIENPGYISGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARDTFSFSGPSSTSISAPSPKQAALGLIKRHKSINDVEPDNSTTHKPMQRGSIPTGDDTRRVHVPQKETRLGSGSGSSREQYPQDDSPLHPGELVICKKKRKDRDKSVVRSRTGSSGPVSPPSMGRNITSPILSSIPKDARPNQQNTHQQGWVSQPQPTNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.002G160900.8.v4.1 pep chromosome:Pop_tri_v4:2:12302395:12313332:1 gene:Potri.002G160900.v4.1 transcript:Potri.002G160900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160900.v4.1 MQTPQQSRQFFDLARQHGSSQDGQNRNQGVEQQALNPMQQAYLQYAFQAAQQKSALAMQSQQQAKIGMLGPTAGKDQDIRMGNLKMQELMSMQAANQAQASSSKNSSDHFSRSEKQVEQGQHLASDQRNEQKSPLQPTATGQLMPANVTRPMQAPQTIQNMANNHLAMTAQLQAIQAWALERNIDLSQPANVNLMAQLIPFMQARMAAQLKANESNPGAQSSHLLVSKPQVASPSIASESSPRANSSSDVSGQSGTAKARQTVPSGPFGSTSSGGMVNNPSNLAMQQQAFHSRENQAPPRQTAVLGNGMPANTGQGVDQILPSKNALNSSETSQARQFRQLNRSSPQSAGPSTEGGSGNRFSSQGGPAVQMAQQRTGFTKQQSHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNQDRPGGKIPEEQASHPESNDKDLQAMPSMNGQNVSKEEVFTGDEKAAVSTINMQKAPAVMKEPMPLVASGKEEQQTATFSVKSDQESEHGLQKAPVISDLASDRGKGVAPQFPASDAAQAKKPAQVSTVPQTKDSGSTRKYHGPLFDFPFFTRKHDSVGSTGIVNTNNNLTLAYDVKDLLFEEGVEMLTRKRLENLKKINGLLAVNLERKRIRPDLVLRLQIEEKKLKLLDLQARLRDEVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLESHWAIRDSRTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITATKNQQEVEEAANAAAAAARLQGLSEEEVRAAAACTSEEVMIRNRFMEMNAPRDSSSVNKYYNLAHAVNERVIRQPSMLRTGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHSWLPSVSCIYYVGGKDQRAKLFSQEVSAMKFNVLVTTYEFIMYDRTKLSKLDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQREAPVHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSTIYDWIKSTGTIRVDPEDEKRRVQKNPAYQAKVYRTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVKSCGKLWVLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVNKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEDEVELFDQMDEEFDWIEEMTRYDQVPKWLRASTKEVDATIAVLSKKPSKAILFADGMGMASGEMETERKRGRPKGKKSPNYKEIDEETGDYSEASSDERNGYSAHEEEGEIREFEDDESSDAVGAPPVNKDQSEDDGPACDGGYEYHQAVESTRNDHALDEAGSSGSSSDSQRMTRMISPVSPQKFGSLSALEARPGSLSKKLPDELEEGEIAVSGDSHMDHQQSGSWIHDRDEGEDEQVLQPKIKRKRSIRLRPRLTVEKPEEKSSNDVQRGDSFLLPFQVDNKYQAQLKSDTEMKALVEPSGFKHDQSDSSRSRRNLPSRRIAKTSKLRASPKSSRLNLQSAPAEDAAEHSRESWDGKVPSTSGASTLGKMSDVIQRRCKNVISKFQRRIDKEGQQIVPLLADLWKRIENPGYISGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARDTFSFSGPSSTSISAPSPKQAALGLIKRHKSINDVEPDNSTTHKPMQRGSIPTGDDTRRVHVPQKETRLGSGSGSSREQYPQDDSPLHPGELVICKKKRKDRDKSVVRSRTGSSGPVSPPSMGRNITSPILSSIPKDARPNQQNTHQQGWVSQPQPTNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.002G160900.6.v4.1 pep chromosome:Pop_tri_v4:2:12300913:12313336:1 gene:Potri.002G160900.v4.1 transcript:Potri.002G160900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160900.v4.1 MQSGGGGGGGPGRVGPAGRAGSTSSAAASPSSSSSSAAVWNLQLGFDSVQQQQQQPRQALQQQLLRKPEGNEALLAYQAGALQGVTVGNNFASSPGSMQTPQQSRQFFDLARQHGSSQDGQNRNQGVEQQALNPMQQAYLQYAFQAAQQKSALAMQSQQQAKIGMLGPTAGKDQDIRMGNLKMQELMSMQAANQAQASSSKNSSDHFSRSEKQVEQGQHLASDQRNEQKSPLQPTATGQLMPANVTRPMQAPQTIQNMANNHLAMTAQLQAIQAWALERNIDLSQPANVNLMAQLIPFMQARMAAQLKANESNPGAQSSHLLVSKPQVASPSIASESSPRANSSSDVSGQSGTAKARQTVPSGPFGSTSSGGMVNNPSNLAMQQQAFHSRENQAPPRQTAVLGNGMPANTGQGVDQILPSKNALNSSETSQARQFRQLNRSSPQSAGPSTEGGSGNRFSSQGGPAVQMAQQRTGFTKQQSHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNQDRPGGKIPEEQASHPESNDKDLQAMPSMNGQNVSKEEVFTGDEKAAVSTINMQKAPAVMKEPMPLVASGKEEQQTATFSVKSDQESEHGLQKAPVISDLASDRGKGVAPQFPASDAAQAKKPAQVSTVPQTKDSGSTRKYHGPLFDFPFFTRKHDSVGSTGIVNTNNNLTLAYDVKDLLFEEGVEMLTRKRLENLKKINGLLAVNLERKRIRPDLVLRLQIEEKKLKLLDLQARLRDEVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLESHWAIRDSRTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITATKNQQEVEEAANAAAAAARLQGLSEEEVRAAAACTSEEVMIRNRFMEMNAPRDSSSVNNRYYNLAHAVNERVIRQPSMLRTGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHSWLPSVSCIYYVGGKDQRAKLFSQEVSAMKFNVLVTTYEFIMYDRTKLSKLDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQREAPVHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSTIYDWIKSTGTIRVDPEDEKRRVQKNPAYQAKVYRTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVKSCGKLWVLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVNKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEDEVELFDQMDEEFDWIEEMTRYDQVPKWLRASTKEVDATIAVLSKKPSKAILFADGMGMASGEMETERKRGRPKGKKSPNYKEIDEETGDYSEASSDERNGYSAHEEEGEIREFEDDESSDAVGAPPVNKDQSEDDGPACDGGYEYHQAVESTRNDHALDEAGSSGSSSDSQRMTRMISPVSPQKFGSLSALEARPGSLSKKLPDELEEGEIAVSGDSHMDHQQSGSWIHDRDEGEDEQVLQPKIKRKRSIRLRPRLTVEKPEEKSSNDVQRGDSFLLPFQVDNKYQAQLKSDTEMKALVEPSGFKHDQSDSSRSRRNLPSRRIAKTSKLRASPKSSRLNLQSAPAEDAAEHSRESWDGKVPSTSGASTLGKMSDVIQRRCKNVISKFQRRIDKEGQQIVPLLADLWKRIENPGYISGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARDTFSFSGPSSTSISAPSPKQAALGLIKRHKSINDVEPDNSTTHKPMQRGSIPTGDDTRRVHVPQKETRLGSGSGSSREQYPQDDSPLHPGELVICKKKRKDRDKSVVRSRTGSSGPVSPPSMGRNITSPILSSIPKDARPNQQNTHQQGWVSQPQPTNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.002G160900.5.v4.1 pep chromosome:Pop_tri_v4:2:12300926:12313298:1 gene:Potri.002G160900.v4.1 transcript:Potri.002G160900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160900.v4.1 MQSGGGGGGGPGRVGPAGRAGSTSSAAASPSSSSSSAAVWNLQLGFDSVQQQQQQPRQALQQQLLRKPEGNEALLAYQAGALQGVTVGNNFASSPGSMQTPQQSRQFFDLARQHGSSQDGQNRNQGVEQQALNPMQQAYLQYAFQAAQQKSALAMQSQQQAKIGMLGPTAGKDQDIRMGNLKMQELMSMQAANQAQASSSKNSSDHFSRSEKQVEQGQHLASDQRNEQKSPLQPTATGQLMPANVTRPMQAPQTIQNMANNHLAMTAQLQAIQAWALERNIDLSQPANVNLMAQLIPFMQARMAAQLKANESNPGAQSSHLLVSKPQVASPSIASESSPRANSSSDVSGQSGTAKARQTVPSGPFGSTSSGGMVNNPSNLAMQQQAFHSRENQAPPRQTAVLGNGMPANTGQGVDQILPSKNALNSSETSQARQFRQLNRSSPQSAGPSTEGGSGNRFSSQGGPAVQMAQQRTGFTKQQSHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNQDRPGGKIPEEQASHPESNDKDLQAMPSMNGQNVSKEEVFTGDEKAAVSTINMQKAPAVMKEPMPLVASGKEEQQTATFSVKSDQESEHGLQKAPVISDLASDRGKGVAPQFPASDAAQAKKPAQVSTVPQTKDSGSTRKYHGPLFDFPFFTRKHDSVGSTGIVNTNNNLTLAYDVKDLLFEEGVEMLTRKRLENLKKINGLLAVNLERKRIRPDLVLRLQIEEKKLKLLDLQARLRDEVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLESHWAIRDSRTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITATKNQQEVEEAANAAAAAARLQGLSEEEVRAAAACTSEEVMIRNRFMEMNAPRDSSSVNNRYYNLAHAVNERVIRQPSMLRTGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHSWLPSVSCIYYVGGKDQRAKLFSQEVSAMKFNVLVTTYEFIMYDRTKLSKLDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQREAPVHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSTIYDWIKSTGTIRVDPEDEKRRVQKNPAYQAKVYRTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVKSCGKLWVLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVNKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEDEVELFDQMDEEFDWIEEMTRYDQVPKWLRASTKEVDATIAVLSKKPSKAILFADGMGMASGEMETERKRGRPKGKKSPNYKEIDEETGDYSEASSDERNGYSAHEEEGEIREFEDDESSDAVGAPPVNKDQSEDDGPACDGGYEYHQAVESTRNDHALDEAGSSGSSSDSQRMTRMISPVSPQKFGSLSALEARPGSLSKKLPDELEEGEIAVSGDSHMDHQQSGSWIHDRDEGEDEQVLQPKIKRKRSIRLRPRLTVEKPEEKSSNDVQRGDSFLLPFQVDNKYQAQLKSDTEMKALVEPSGFKHDQSDSSRSRRNLPSRRIAKTSKLRASPKSSRLNLQSAPAEDAAEHSRESWDGKVPSTSGASTLGKMSDVIQRRCKNVISKFQRRIDKEGQQIVPLLADLWKRIENPGYISGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARDTFSFSGPSSTSISAPSPKQAALGLIKRHKSINDVEPDNSTTHKPMQRGSIPTGDDTRRVHVPQKETRLGSGSGSSREQYPQDDSPLHPGELVICKKKRKDRDKSVVRSRTGSSGPVSPPSMGRNITSPILSSIPKDARPNQQNTHQQGWVSQPQPTNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.002G160900.7.v4.1 pep chromosome:Pop_tri_v4:2:12300811:12313335:1 gene:Potri.002G160900.v4.1 transcript:Potri.002G160900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G160900.v4.1 MQSGGGGGGGPGRVGPAGRAGSTSSAAASPSSSSSSAAVWNLQLGFDSVQQQQQQPRQALQQQLLRKPEGNEALLAYQAGALQGVTVGNNFASSPGSMQTPQQSRQFFDLARQHGSSQDGQNRNQGVEQQALNPMQQAYLQYAFQAAQQKSALAMQSQQQAKIGMLGPTAGKDQDIRMGNLKMQELMSMQAANQAQASSSKNSSDHFSRSEKQVEQGQHLASDQRNEQKSPLQPTATGQLMPANVTRPMQAPQTIQNMANNHLAMTAQLQAIQAWALERNIDLSQPANVNLMAQLIPFMQARMAAQLKANESNPGAQSSHLLVSKPQVASPSIASESSPRANSSSDVSGQSGTAKARQTVPSGPFGSTSSGGMVNNPSNLAMQQQAFHSRENQAPPRQTAVLGNGMPANTGQGVDQILPSKNALNSSETSQARQFRQLNRSSPQSAGPSTEGGSGNRFSSQGGPAVQMAQQRTGFTKQQSHVLKAQILAFRRLKKGEGTLPQELLRAIAPPPLELQLQQQLLPAGGSNQDRPGGKIPEEQASHPESNDKDLQAMPSMNGQNVSKEEVFTGDEKAAVSTINMQKAPAVMKEPMPLVASGKEEQQTATFSVKSDQESEHGLQKAPVISDLASDRGKGVAPQFPASDAAQAKKPAQVSTVPQTKDSGSTRKYHGPLFDFPFFTRKHDSVGSTGIVNTNNNLTLAYDVKDLLFEEGVEMLTRKRLENLKKINGLLAVNLERKRIRPDLVLRLQIEEKKLKLLDLQARLRDEVDQQQQEIMAMPDRLYRKFVRLCERQRMELTRQVQASQKAIREKQLKSIMQWRKKLLESHWAIRDSRTARNRGVAKYHERMLREFSKRKDDDRNKRMEALKNNDVERYREMLLEQQTSISGDASERYAVLSSFLTQTEEYLHKLGGKITATKNQQEVEEAANAAAAAARLQGLSEEEVRAAAACTSEEVMIRNRFMEMNAPRDSSSVNKYYNLAHAVNERVIRQPSMLRTGTLRDYQLVGLQWMLSLYNNKLNGILADEMGLGKTVQVMALIAYLMEFKGNYGPHLIIVPNAVLVNWKSELHSWLPSVSCIYYVGGKDQRAKLFSQEVSAMKFNVLVTTYEFIMYDRTKLSKLDWKYIIIDEAQRMKDRESVLARDLDRYRCQRRLLLTGTPLQNDLKELWSLLNLLLPEVFDNRKAFHDWFSKPFQREAPVHDGEDDWLETEKKVIIIHRLHQILEPFMLRRRVEDVEGSLPPKVSIVLRCRMSAIQSTIYDWIKSTGTIRVDPEDEKRRVQKNPAYQAKVYRTLNNRCMELRKTCNHPLLNYPYFNDLSKDFLVKSCGKLWVLDRILIKLQRTGHRVLLFSTMTKLLDILEEYLQWRRLVYRRIDGTTSLEDRESAIVDFNSPGSDCFIFLLSIRAAGRGLNLQSADTVVIYDPDPNPKNEEQAVARAHRIGQTREVKVIYMEAVVNKISSCQKEDELRSGGTVDLEDDLVGKDRYMGSIESLIRNNIQQYKIDMADEVINAGRFDQRTTHEERRMTLETLLHDEERYQETLHDVPSLQEVNRMIARSEDEVELFDQMDEEFDWIEEMTRYDQVPKWLRASTKEVDATIAVLSKKPSKAILFADGMGMASGEMETERKRGRPKGKKSPNYKEIDEETGDYSEASSDERNGYSAHEEEGEIREFEDDESSDAVGAPPVNKDQSEDDGPACDGGYEYHQAVESTRNDHALDEAGSSGSSSDSQRMTRMISPVSPQKFGSLSALEARPGSLSKKLPDELEEGEIAVSGDSHMDHQQSGSWIHDRDEGEDEQVLQPKIKRKRSIRLRPRLTVEKPEEKSSNDVQRGDSFLLPFQVDNKYQAQLKSDTEMKALVEPSGFKHDQSDSSRSRRNLPSRRIAKTSKLRASPKSSRLNLQSAPAEDAAEHSRESWDGKVPSTSGASTLGKMSDVIQRRCKNVISKFQRRIDKEGQQIVPLLADLWKRIENPGYISGAGTNLLDLRKIEQRVDRLEYSGVMELVFDVQFMLKGAMQFYGFSHEVRTEARKVHDLFFDILKIAFPDTDFREARDTFSFSGPSSTSISAPSPKQAALGLIKRHKSINDVEPDNSTTHKPMQRGSIPTGDDTRRVHVPQKETRLGSGSGSSREQYPQDDSPLHPGELVICKKKRKDRDKSVVRSRTGSSGPVSPPSMGRNITSPILSSIPKDARPNQQNTHQQGWVSQPQPTNGGAGSVGWANPVKRLRTDAGKRRPSHL >Potri.010G192200.1.v4.1 pep chromosome:Pop_tri_v4:10:18737853:18742356:1 gene:Potri.010G192200.v4.1 transcript:Potri.010G192200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G192200.v4.1 MDVIKTQQISARPIEKVIVHPLVLLSIVDNYNRVAKDTRKRVVGVLLGSSFKGTVDVTNSYAVPFEEDDKDPGIWFLDHNYHESMFSMFKRINAKEHVVGWYSTGPKLRENDLEIHGLFNDYAPNPVLVIIDVQLVELGIPTKAYYAVEEVKENATQKSQKVFVHVPSEIAAHEVEEIGVEHLLRDVKDTTISTLATEVTGKLTALKGLDARLKEIRGYLDLVIEGKLPLNHEILYHLQDVFNLLPNLNVADLIKAFAVKTNDMMLVIYLSSLVRSVVALHNLINNKMLNKEHEKAEDSKLVSVPPAVAS >Potri.017G098700.1.v4.1 pep chromosome:Pop_tri_v4:17:11045799:11049523:1 gene:Potri.017G098700.v4.1 transcript:Potri.017G098700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G098700.v4.1 MSFLSKSTLLLLIVLCCTSFTSTEAYDALDPNGNITIKWDVMAWTPDGYVAVVTIYNYQQYRHIEAPGWSLGWTWAEHEVIWNMVGGLTTEQGDCSRFQGEIPYSCQKSPTVVDLLPGTPYNQQIANCCKGGVISSRVQDPSNAASSFQLAVGAAGTTNKTVRIPKNITLNTPGPGYTCGPAKIVRPTRFLSADKRRVTQALMTWNITCTYSQFLAHKAPTCCVSLSSFHNKTIAPCPTCSCGCRKNNPVSRGCVDPKSPHVPGPGQKNIITPLVQCTSHMCPIKIQWHLKLNYKHYWRVTITITNLNYHMNYTHWNLVVQHPNFDNLTQVVGSKYKALTSLSTTNDTAMLWGIKHYNDVLMQAGRYGKVHLELLFRKDKATFTSKKGWAFPQRIYFNGDSCVLPPPDAYPLVA >Potri.002G009900.1.v4.1 pep chromosome:Pop_tri_v4:2:618589:621768:-1 gene:Potri.002G009900.v4.1 transcript:Potri.002G009900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G009900.v4.1 MSKTQLESTSFPGEDCKQQMVMDGERDQESTESNESSPREEIDIAQEILSVIESVARLGDYRRSHKKECYGLVRRMKLLLPFLEEIKDFDGPISDVGIASLSSLKKALVLAKKLLTTCNEGSKIYLVVESEAVMMRFHNVLEKLWKALEAVPFDEFEISDEVKEQVELMKVQLRRAKRRTDTQDIELAMDMMVVLTKKNDRNADRAIIERLAKKLELLSVEDLEIETVATRSLVKERGNQVTESTQQMIDLLNKFKQIVGMEVTDVLDDPVVPKMLKKSPSLIIPHEFLCPITLEIMTDPVIVASGQTYERESIQKWIDSNHRTCPKTRETLAHLSLAPNYALKNLILQWCENNNFELPKKHVPASSDPETSSEHQEKVSSLVKDLSSSQLEVQRRAVKKIRMLSKENPENRILIANNGGIPPIVQLLSYPDSKILEHAVTALLNLSIDENNKSLITKGGAVPAIIGVLNSGTTEARENSAAALFSLSMLDENKVTIGLSDGIPPLVDLLQNGTVRGKKDAATALFNLSLNHSNKGRAIDAGIVTPLLHLVKDRNLGMVDEALSIFLLLASHPEGRNEIGQLSFIETLVELMKDGTPKNKECATSVLLELGSTNSSFMLAALQFGVYENLVEISKSGTNRAQRKANSLLQLMSKAEHI >Potri.003G094000.1.v4.1 pep chromosome:Pop_tri_v4:3:12009194:12011968:-1 gene:Potri.003G094000.v4.1 transcript:Potri.003G094000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G094000.v4.1 MKGKGGNVGSRFPLSFWEVGAASSVVLGFVLCLLGVYLTMPASDYSFLKLPRTLEDLQILRDHLETYTIDYTAQVLVGYCVVYIFMQTFMIPGTVFMSLLAGALFGVLKGVALVVFTATAGASSCYFLSKLIGRPLVFSLWPDKLSFFQEQVARRRGGLLNYMLFLRLTPTLPNTFINVASPIVDVPYHIFFLATLIGLIPAAYVTVKAGIALGELQSIGDLYDFNSIATLFLIGIISITPTLMSKSKS >Potri.006G212600.3.v4.1 pep chromosome:Pop_tri_v4:6:21913941:21918644:-1 gene:Potri.006G212600.v4.1 transcript:Potri.006G212600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212600.v4.1 MVCRKRRKVKLLSARVVDDKKTTTKNKKVKLEAQTWSDLPIELLELILCRLSLEDNIRSSVACKRWNTAAISVRVVNQSPWLMYFPKFGNMYEFYDPAQRKTYSLELPELYGSRVCYTRDGWLLLYRPRTNRVFFFDPFSQEVVKLPRFELTYQIVAFSCAPTSNTCVVFTVRHVSPTIVAISTCHPGATEWVTVNYQNRLPFVSSIWNKIVFCNGFFYCLSLTGWLGVFDPLERTWSVLAVPPPKCPENFFAKNWWKGKFMSEHNGDILVIYTCCSENPIIFKLDQPKMVWREMKTLDGMTLFASFLSSHSRTDLPGMMRNSVYFSKVRFFGKRCISYSLNDCRYYPCKQCYDWGEQDPFENIWIEPPEDLSAFI >Potri.006G212600.4.v4.1 pep chromosome:Pop_tri_v4:6:21913942:21918674:-1 gene:Potri.006G212600.v4.1 transcript:Potri.006G212600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G212600.v4.1 MVCRKRRKVKLLSARVVDDKKTTTKNKKVKLEAQTWSDLPIELLELILCRLSLEDNIRSSVACKRWNTAAISVRVVNQSPWLMYFPKFGNMYEFYDPAQRKTYSLELPELYGSRVCYTRDGWLLLYRPRTNRVFFFDPFSQEVVKLPRFELTYQIVAFSCAPTSNTCVVFTVRHVSPTIVAISTCHPGATEWVTVNYQNRLPFVSSIWNKIVFCNGFFYCLSLTGWLGVFDPLERTWSVLAVPPPKCPENFFAKNWWKGKFMSEHNGDILVIYTCCSENPIIFKLDQPKMVWREMKTLDGMTLFASFLSSHSRTDLPGMMRNSVYFSKVRFFGKRCISYSLNDCRYYPCKQCYDWGEQDPFENIWIEPPEDLSAFI >Potri.019G014314.1.v4.1 pep chromosome:Pop_tri_v4:19:1929686:1931577:1 gene:Potri.019G014314.v4.1 transcript:Potri.019G014314.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G014314.v4.1 MEGFQPSFMEEVDNMTWDQLGFQSEEDTFNMSELLSPPPEVPVNQSSISCNDQGGQNNVINDSIQQTTPFPTSFPETMASTPICYNVH >Potri.018G130500.8.v4.1 pep chromosome:Pop_tri_v4:18:14089665:14095706:1 gene:Potri.018G130500.v4.1 transcript:Potri.018G130500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK26 MMKKVTRKVGKYEVGRTIGEGTFAKVKFAQNRETGESVAMKILSKSAILKHRMVDQIKREISIMKLVRHPYIVMLHEVLASRTKIYIILEFVTGGELFDKIVHQRRLEENESRRYFQQLIDAVAHCHCKGVYHRDLKPENLLLDAFGNLKVSDFGLSALPQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEETDLPTLYRKINAAEYSCPFWFSPGAKALIDKILNPNPKTRIGIEGIKKHPWFQKNYEPVGHREDEEVNLDDVHAVFDDIEDQYVAEQLENSEDGPLVMNAFEMITLSQGLNLSALFDRRQDYIKRQTRFVSRKPAKDIISAIEAVAESMNLKVHTRNYKTRLEGVSENKAGQFAVVLEVYEVAPSLFMVDARKASGETLEYHKFYKNFCTKLENIIWKPPEGAKLPACFEQ >Potri.018G130500.9.v4.1 pep chromosome:Pop_tri_v4:18:14089633:14095704:1 gene:Potri.018G130500.v4.1 transcript:Potri.018G130500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:CIPK26 MMKKVTRKVGKYEVGRTIGEGTFAKVKFAQNRETGESVAMKILSKSAILKHRMVDQIKREISIMKLVRHPYIVMLHEVLASRTKIYIILEFVTGGELFDKIVHQRRLEENESRRYFQQLIDAVAHCHCKGVYHRDLKPENLLLDAFGNLKVSDFGLSALPQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEETDLPTLYRKINAAEYSCPFWFSPGAKALIDKILNPNPKTRIGIEGIKKHPWFQKNYEPVGHREDEEVNLDDVHAVFDDIEDQYVAEQLENSEDGPLVMNAFEMITLSQGLNLSALFDRRQDYIKRQTRFVSRKPAKDIISAIEAVAESMNLKVHTRNYKTRLEGVSENKAGQFAVVLEVYEVAPSLFMVDARKASGETLEYHKFYKNFCTKLENIIWKPPEGAKLPACFEQ >Potri.018G128900.1.v4.1 pep chromosome:Pop_tri_v4:18:13966863:13968559:-1 gene:Potri.018G128900.v4.1 transcript:Potri.018G128900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G128900.v4.1 MASRLCFNIGFLIVASAGLLHGAYAANTYTVGGDLGWIVPPNSSYYEEWTSQSTFQIGDSFVFNWTTGTHTATEVSTKEEYDNCTKMGIILKDAGVKVTFNANGTHYFLCSEGTHCEQGQKMIIKIGDGIPPSFAAPSLTAAAALSALFFSTLAIFFLN >Potri.009G067901.1.v4.1 pep chromosome:Pop_tri_v4:9:6776183:6776673:1 gene:Potri.009G067901.v4.1 transcript:Potri.009G067901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067901.v4.1 MACPLQILNILKRERGHKWDRILFIIEFQKLEPWSSKEPPLPLRGFLSGKPTNHFFTSMQEGLQLACFVYQNGSTMAFIFPGMSNPWKWSYQEKQHVKRKEKQKSKEPFPFF >Potri.008G152400.3.v4.1 pep chromosome:Pop_tri_v4:8:10469298:10472234:-1 gene:Potri.008G152400.v4.1 transcript:Potri.008G152400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152400.v4.1 MASTIKFSRPPILAFLLLLLMSFLYISLAAYCGSMGGSSGESSPVSSGGGSSFSPVGRGSSSWDYIDSGGSRGQGGGRRGSKVDVIIFVTGVVILVLFCAGFMIAFCCSEYQKDRKRKKSEQYRREPIKSEQYTLIMVQVGLMGKALSLQNELNEISSTANTSTARGWHRILTKTVSAFLRYPEYMISGFSSVEKYSTTGVVLMRFKQLSSEENEKCHAGSLVNFNNVNIQREGVPGKNYSKFICFKYFL >Potri.008G152400.2.v4.1 pep chromosome:Pop_tri_v4:8:10469376:10472215:-1 gene:Potri.008G152400.v4.1 transcript:Potri.008G152400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152400.v4.1 MASTIKFSRPPILAFLLLLLMSFLYISLAAYCGSMGGSSGESSPVSSGGGSSFSPVGRGSSSWDYIDSGGSRGQGGGRRGSKVDVIIFVTGVVILVLFCAGFMIAFCCSEYQKDRKRKKSEQYRREPIKSEQYTLIMVQVGLMGKALSLQNELNEISSTANTSTARGWHRILTKTVSAFLRYPEYMISGFSSII >Potri.008G152400.4.v4.1 pep chromosome:Pop_tri_v4:8:10469511:10472215:-1 gene:Potri.008G152400.v4.1 transcript:Potri.008G152400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G152400.v4.1 MASTIKFSRPPILAFLLLLLMSFLYISLAAYCGSMGGSSGESSPVSSGGGSSFSPVGRGSSSWDYIDSGGSRGQGGGRRGSKVDVIIFVTGVVILVLFCAGFMIAFCCSEYQKDRKRKKSEQYRREPIKSEQYTLIMVQVGLMGKALSLQNELNEISSTANTSTARGWHRILTKTVSAFLRYPEYMISGFSSVEKYSTTGVVLMRFKQLSSEENEKCHAGSLVNFNNVNIQREGVPGKNYSDNPGGC >Potri.019G108801.2.v4.1 pep chromosome:Pop_tri_v4:19:14157806:14160760:1 gene:Potri.019G108801.v4.1 transcript:Potri.019G108801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108801.v4.1 MGSSEKMKRISYMDPVLFKAAAEGDIDPFEKYQTCLDQLLTPDENTILHVYLGNQSREPELTDFVVIILEMCPPLLFQANKKGEIPLHLAAAYGHSNVVKVLIDRAKALPTDSESGVTEAKKMLRMTNEEQDTALHEAARHRRSHVVEILTKEDPEFPYSANVHGETPLYIAASIITRWREEGGKVVDGILGNCISVDYGGPNGRTALNAAIWVRDDGRILCSSLEN >Potri.019G108801.1.v4.1 pep chromosome:Pop_tri_v4:19:14157604:14160773:1 gene:Potri.019G108801.v4.1 transcript:Potri.019G108801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G108801.v4.1 MGSSEKMKRISYMDPVLFKAAAEGDIDPFEKYQTCLDQLLTPDENTILHVYLGNQSREPELTDFVVIILEMCPPLLFQANKKGEIPLHLAAAYGHSNVVKVLIDRAKALPTDSESGVTEAKKMLRMTNEEQDTALHEAARHRRSHVVEILTKEDPEFPYSANVHGETPLYIAASIITRWREEGGKVVDGILGNCISVDYGGPNGRTALNAAIWVRDDETARKLLEKEKKLTQTTDENGWSPLHYAACYDWSPRIVQVLLEYDASAAYIAETEKRRTALHIAAIQGHVNAMKEIVSRCPACCELVDNRGWNALHYAVASKDRVAFVHCLKIPELARLGTKKDDKGNTPFHLIAALAHQQKQWQRVLFNDSYGYSGREIRCGLNKRQLSVDDIYDGNFAEIQVINPL >Potri.014G156700.1.v4.1 pep chromosome:Pop_tri_v4:14:11062225:11064190:1 gene:Potri.014G156700.v4.1 transcript:Potri.014G156700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G156700.v4.1 MSFLAGRLAGKEGAFFFQESKHAVNRLAEKSATTVKNLPPPSSSSTIEHESQADVLPEVLRHSLPSKIFGKPYEPSSLSTSSKWALRSDHPNSSSTVSPDVLNPLRAYVSLPQVTFGPKRWELPSEEHSVLASTANEMRKDRYTTINPDKLKAAAEGLTYIGKAFAVATAIVFGGATLVFSLAASKLDLRNGGDIRTKGKDLVQPKLDVIREHFVPLKTWAEDTSKKWHLDRQEDVKEKPMVKELAKILGAKTSN >Potri.001G411700.4.v4.1 pep chromosome:Pop_tri_v4:1:44017161:44020723:-1 gene:Potri.001G411700.v4.1 transcript:Potri.001G411700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G411700.v4.1 MGVFFVRSFFISILTTSTALEIINPGQSLRDGETLVSSSGSFELGFFSPQGSTSKYLGLWLDKSPQTVLWVANRENSLSDNMGVLNITTQGILILLNSTNHIVWSSNSSASRNTQNPVAQLLDSGNFVVREGNDYNPAKFLWQSFDHPCDTLLPGMRIGVNFVTRIDRFLSSWKSPEDPARGEFTFGIDPQGYPQVLLKKGNRTVFRGGPWTGIKFTSNPRPIPNQISTNEFVLNNQEVYFEYRIQSSVSSKLTLSPLGLSQSLTWNDRAQDWVIVGNGQYDQCEEYKFCGPNTRCEITRTPICVCLDGFTPMSPVDWNFSDWSGGCHRRTPLNCSDKDGFLKYTANKLPDTSSSWFDKSIDLKECERLCLKNCSCTSYTNLDFRAGGSGCLIWFGDLIDMRRSTGDGQDVYVRVADSELGANAKKRNLSTKLKAGIIASAAALGMGMLLAGMMFCRRRRNLGKNDRLEEVRKEDIELPIVDLSTIAHATDNFSSSNKLGEGGFGPVYKGILIEGQEIAVKRLSKSSVQGMDEFKNEVKFIAKLQHRNLVKLLGYCIQEDENMLIYEYMPNKSLDFFIFDQARRKLLDWTKRMNIIGGIARGLLYLHQDSRLRVIHRDIKASNILLDNELNPKISDFGLARMFRGDETEANTHRVIGTYGYMSPEYASNGHFSVKTDVFSFGVLILEIVSGKKNRGFRHPDRNLNLLGHVRICTKMLMQSLDELRGDVKLCSFLSGN >Potri.001G411700.1.v4.1 pep chromosome:Pop_tri_v4:1:44016792:44020829:-1 gene:Potri.001G411700.v4.1 transcript:Potri.001G411700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G411700.v4.1 MGVFFVRSFFISILTTSTALEIINPGQSLRDGETLVSSSGSFELGFFSPQGSTSKYLGLWLDKSPQTVLWVANRENSLSDNMGVLNITTQGILILLNSTNHIVWSSNSSASRNTQNPVAQLLDSGNFVVREGNDYNPAKFLWQSFDHPCDTLLPGMRIGVNFVTRIDRFLSSWKSPEDPARGEFTFGIDPQGYPQVLLKKGNRTVFRGGPWTGIKFTSNPRPIPNQISTNEFVLNNQEVYFEYRIQSSVSSKLTLSPLGLSQSLTWNDRAQDWVIVGNGQYDQCEEYKFCGPNTRCEITRTPICVCLDGFTPMSPVDWNFSDWSGGCHRRTPLNCSDKDGFLKYTANKLPDTSSSWFDKSIDLKECERLCLKNCSCTSYTNLDFRAGGSGCLIWFGDLIDMRRSTGDGQDVYVRVADSELGANAKKRNLSTKLKAGIIASAAALGMGMLLAGMMFCRRRRNLGKNDRLEEVRKEDIELPIVDLSTIAHATDNFSSSNKLGEGGFGPVYKGILIEGQEIAVKRLSKSSVQGMDEFKNEVKFIAKLQHRNLVKLLGYCIQEDENMLIYEYMPNKSLDFFIFDQARRKLLDWTKRMNIIGGIARGLLYLHQDSRLRVIHRDIKASNILLDNELNPKISDFGLARMFRGDETEANTHRVIGTYGYMSPEYASNGHFSVKTDVFSFGVLILEIVSGKKNRGFRHPDRNLNLLGHAWILWIKGTPSELIDECLGYLSNTSEVLRCIHVALLCVQQRPEDRPNMPTVVQILCNENPLPQPKQPGFFMGKNPLEQEGSSNQMEACSSNEMSLTLLEAR >Potri.001G411700.3.v4.1 pep chromosome:Pop_tri_v4:1:44017195:44020708:-1 gene:Potri.001G411700.v4.1 transcript:Potri.001G411700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G411700.v4.1 MGVFFVRSFFISILTTSTALEIINPGQSLRDGETLVSSSGSFELGFFSPQGSTSKYLGLWLDKSPQTVLWVANRENSLSDNMGVLNITTQGILILLNSTNHIVWSSNSSASRNTQNPVAQLLDSGNFVVREGNDYNPAKFLWQSFDHPCDTLLPGMRIGVNFVTRIDRFLSSWKSPEDPARGEFTFGIDPQGYPQVLLKKGNRTVFRGGPWTGIKFTSNPRPIPNQISTNEFVLNNQEVYFEYRIQSSVSSKLTLSPLGLSQSLTWNDRAQDWVIVGNGQYDQCEEYKFCGPNTRCEITRTPICVCLDGFTPMSPVDWNFSDWSGGCHRRTPLNCSDKDGFLKYTANKLPDTSSSWFDKSIDLKECERLCLKNCSCTSYTNLDFRAGGSGCLIWFGDLIDMRRSTGDGQDVYVRVADSELGANAKKRNLSTKLKAGIIASAAALGMGMLLAGMMFCRRRRNLGKNDRLEEVRKEDIELPIVDLSTIAHATDNFSSSNKLGEGGFGPVYKGILIEGQEIAVKRLSKSSVQGMDEFKNEVKFIAKLQHRNLVKLLGYCIQEDENMLIYEYMPNKSLDFFIFDQARRKLLDWTKRMNIIGGIARGLLYLHQDSRLRVIHRDIKASNILLDNELNPKISDFGLARMFRGDETEANTHRVIGT >Potri.008G168700.2.v4.1 pep chromosome:Pop_tri_v4:8:11658458:11660090:1 gene:Potri.008G168700.v4.1 transcript:Potri.008G168700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G168700.v4.1 MEEGEEVTIAEDQRVVDISLKDLAKKLEEFAKARDWEKYHSPRNLLLAMVGEVGELSEIFQWKGEVDKGLPNWEESDKEHLAEELSDVLLYLIRLADICGVDLGDAATRKIVKNAIKYPPKAC >Potri.004G010500.1.v4.1 pep chromosome:Pop_tri_v4:4:602535:605532:-1 gene:Potri.004G010500.v4.1 transcript:Potri.004G010500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010500.v4.1 MALSKLPLCSVFFFLYISLFISSQALSSKIAVAQIKDQQDNEPFVGINIGEDVSNLMSATELVSFLQFQKVTHIKLYDVDPDILKALANTKIRVIISVPNNQLLAIGSSNTTAASWIGKNVVAYYPQTVITAIAVGDEVLTTVPSSAPLLMPAIESLYSALVAENLHNQIKISTPHSASIILDSFPPSQSFFNQSWISVIQPLLHFLSRTGSPLMMNFYPYYVFMQNKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMVDAAYFSMKNMNFTDVVVLVTESGWPSKGDSKEPYATIDNADTYNSNLIKHVLDRSGTPLHPEITSSVYLYELFNEDLRSPPVSEANWGLFYANSTPVYLLHVSGSGTFLANDTTNQTYCIVMDGVDSKTLQAALDWVCGPGRANCSEIQPGENCYQPNNVKNHASYAFDSYYQKEGRASGSCDFKGIAMTTTTDPSHGSCIFPGSKKITNKARTVVNTTNPSNAAGGSRLISFKSSRMSAVDKALQTLLTVIFSILLYIPFMMS >Potri.004G010500.3.v4.1 pep chromosome:Pop_tri_v4:4:602535:605530:-1 gene:Potri.004G010500.v4.1 transcript:Potri.004G010500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G010500.v4.1 MALSKLPLCSVFFFLYISLFISSQALSSKIAVAQIKDQQDNEPFVGINIGEDVSNLMSATELVSFLQFQKVTHIKLYDVDPDILKALANTKIRVIISVPNNQLLAIGSSNTTAASWIGKNVVAYYPQTVITAIAVGDEVLTTVPSSAPLLMPAIESLYSALVAENLHNQIKISTPHSASIILDSFPPSQSFFNQSWISVIQPLLHFLSRTGSPLMMNFYPYYVFMQNKGVVPLDNSLFKPLTPSKEMVDPNTLLHYTNVLDAMVDAAYFSMKNMNFTDVVVLVTESGWPSKGDSKEPYATIDNADTYNSNLIKHVLDRSGTPLHPEITSSVYLYELFNEDLRSPPVSEANWGLFYANSTPVYLLHVSGSGTFLANDTTNQTYCIVMDGVDSKTLQAALDWVCGPGRANCSEIQPGENCYQPNNVKNHASYAFDSYYQKEGRASGSCDFKGIAMTTTTDPSKHFSLLMP >Potri.010G217700.1.v4.1 pep chromosome:Pop_tri_v4:10:20427642:20429485:1 gene:Potri.010G217700.v4.1 transcript:Potri.010G217700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G217700.v4.1 MDMIEQSAAISPINVPSQFSYSPSSSSPPSSHHSSPSKSSPNLTPPSAATPPPPPPVVSPCAACKILRRRCVDKCVLAPYFPPSEPYKFTIAHRVFGASNIIKFLQELPESQRADAVSSMVYEANARIRDPVYGCAGAISQLQKQVSDLQAQLAKAQAEVVNMQCQQANLVALLCMEMTQSQQEPILQQHQYVDTSCFLDENNLGTSWEPLWT >Potri.001G291450.1.v4.1 pep chromosome:Pop_tri_v4:1:30346226:30347782:-1 gene:Potri.001G291450.v4.1 transcript:Potri.001G291450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291450.v4.1 MMLFLAFTLLLLSDAAASKSIIKSLPGFDGNLPFVLETGYIGVGELEAVQLFYYFIESERSPKDDPLVLWLTGGPGCSALSGIIYEIDALHIRKGTIKDWRRCNKTLAYSYNVESTVDYHRNLTKKPYRSLIYSGDHDMTIPYIGTHEWIESLNLTIKYDWEPWFVDGQVAGYAMLYADNVQDYITYDLTFATVKGGGHTAPEYRPEQCFAMMDRWFDYYPL >Potri.002G114300.1.v4.1 pep chromosome:Pop_tri_v4:2:8678125:8681952:-1 gene:Potri.002G114300.v4.1 transcript:Potri.002G114300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G114300.v4.1 MSSNDIKYRRSPRISHLPQKHDDYEPLEVGFNGASFSGAVFNLSTTIVGAGIMALPSTVKQLGLIPGIIMILMGAALTETSIDMILRFGRASKTATYSGVVADSFGGFWRTLLQICIVINNLGMLIVYMIIIGDVLSGTWSDGVRHSGVMEEWFDEHWWTTRCSLLLFTTVFVFAPLISFKRVDSLRYTSALSVGLAVVFVAITAGVAVVKLIEGTIGMPRLMPEVVDQTSFWKLFTTVPIIVTAYICHHNVHPIENELKDHTHMKSIVRTSLTLCSSVYIATSFFGVLLFGDKTLDDVLANFDGDLGVPYSSLLDDVVRVSYGVHLMLVFPIVFFSLRLNLDELLFPFATPIAYDNRRFFLITLALMGFIFLGANFVPNIWDAFQFTGATAAIAVGFIFPAAIALRDMHGIATKNDRRASWVLILLAVSSSTAAICSDIYSIFTSDSGIKS >Potri.011G040700.2.v4.1 pep chromosome:Pop_tri_v4:11:3156556:3160177:1 gene:Potri.011G040700.v4.1 transcript:Potri.011G040700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040700.v4.1 MSSVDSSRDALTIQQIIASIPALIDLVSVAKSSIPLPLKLNTDNTITTTTLEAAAVTTTTSNVNDNNISSITTTSISTPGNSNNTAAATETATSPSTSTSTSGPAPSLASILDSGSGSTITTTTTEGGSNSDGNTTTSNYANIEDVISDGSCCMLGFSSNNPSDENKKKDKNITADVGNDGSVNPEGESNQKLHNQLEKLTRDLYYVQSACDKLKHLELHVGNQFKSLQRQGVFLQSVLRNLERVRNGTTSNHAILTKQMQLNIKLIAGMVMELKYHIPSPYKLNLANEVLKSQNVSGGDSLNLIDEILKIRGNKAFEGCSSFVDFKERYSSLDLRDKLCLLCFSVFPENSVVKKRLLMYWWVGEGFIDPKVDADKPEEVADGILKKFLEKGFVEPEIKKRRLVGFRMHSLIRYAVIFVAEKVGFFHFDSMGNPTGNFSTSQRACLIKTGEKYSRQALLDLESKPETLHALFNVNDPYPDLNTEWFSRMRNINVLCLGRWENSSKKNNEAENNEAEETRHDVEVESTEFLKGLRQQHHVEVESTEFLKGLRNMKHLKFLSLQGISRINELPETIQKLVNLKILDLNSCHNLEAIPENIVSLQKLTHLDISECYMLDYMPKGLGSLTELQVLKGFVISNLKIKNAGTLDDLRGLPKLRKLSIYTTKKDFPRVKDLKALRHITALQKLTIEWGGKSGVKKEEIRNDSRGLTRSNAFRRENIPAAIPDLPEHLVKLDLQCYPETKAPEWLLPLRLKNLQKLYIRGGHLSALGQAQGGNHKWNVKILRLKFLKELKMDWRVLHDAFPHLIYLEKFKCPKLTFFPCDGTGVWLDREKLANQTLEELELTWSFLSKSST >Potri.011G040700.1.v4.1 pep chromosome:Pop_tri_v4:11:3156524:3160110:1 gene:Potri.011G040700.v4.1 transcript:Potri.011G040700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G040700.v4.1 MSSVDSSRDALTIQQIIASIPALIDLVSVAKSSIPLPLKLNTDNTITTTTLEAAAVTTTTSNVNDNNISSITTTSISTPGNSNNTAAATETATSPSTSTSTSGPAPSLASILDSGSGSTITTTTTEGGSNSDGNTTTSNYANIEDVISDGSCCMLGFSSNNPSDENKKKDKNITADVGNDGSVNPEGESNQKLHNQLEKLTRDLYYVQSACDKLKHLELHVGNQFKSLQRQGVFLQSVLRNLERVRNGTTSNHAILTKQMQLNIKLIAGMVMELKYHIPSPYKLNLANEVLKSQNVSGGDSLNLIDEILKIRGNKAFEGCSSFVDFKERYSSLDLRDKLCLLCFSVFPENSVVKKRLLMYWWVGEGFIDPKVDADKPEEVADGILKKFLEKGFVEPEIKKRRLVGFRMHSLIRYAVIFVAEKVGFFHFDSMGNPTGNFSTSQRACLIKTGEKYSRQALLDLESKPETLHALFNVNDPYPDLNTEWFSRMRNINVLCLGRWENSSKKNNEAENNEAEETRHDVEVESTEFLKGLRQQHHVEVESTEFLKGLRNMKHLKFLSLQGISRINELPETIQKLVNLKILDLNSCHNLEAIPENIVSLQKLTHLDISECYMLDYMPKGLGSLTELQVLKGFVISNLKIKNAGTLDDLRGLPKLRKLSIYTTKKDFPRVKDLKALRHITALQKLTIEWGGKSGVKKEEIRNDSRGLTRSNAFRRENIPAAIPDLPEHLVKLDLQCYPETKAPEWLLPLRLKNLQKLYIRGGHLSALGQAQGGNHKWNVKILRLKFLKELKMDWRVLHDAFPHLIYLEKFKCPKLTFFPCDGTGVWLDREKLANQTLEELELTWSFLSKSST >Potri.006G094300.1.v4.1 pep chromosome:Pop_tri_v4:6:7165432:7167202:-1 gene:Potri.006G094300.v4.1 transcript:Potri.006G094300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G094300.v4.1 MASFKLPLVLFLSSLFLQAALAEIVCEELPNDICAFTVSSSGKRCLLETYAKQNGAVEYQCRTSEVVVEKMADYIETDACVKACGVDRNSVGISSDALLEPQFTGKLCSPACYQNCPNIVDLYFNLAAGEGAFLPDLCDAARYNPHRSMIQLMSSGAAPGPVASETASLIAAPAPAPM >Potri.012G036800.1.v4.1 pep chromosome:Pop_tri_v4:12:3306462:3307101:1 gene:Potri.012G036800.v4.1 transcript:Potri.012G036800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G036800.v4.1 MGSRGVANMILSRASRMKAKLQSSLEASLLEIEDVSHQHAGHAAMKGNTAGETHFNVKIVSPKFDGLNLVKRHRLVYDALSEELQSGLHALSIVAKTPQEEGAAAKK >Potri.007G003400.2.v4.1 pep chromosome:Pop_tri_v4:7:232716:243060:1 gene:Potri.007G003400.v4.1 transcript:Potri.007G003400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003400.v4.1 MGMMGNNIPLANEPGTSEGYMTSTHYVNSPKPLPQQFDQHQRQLMQGDGYGMSNADSLGSGNIYGAVTSVGSMMNAQSMSKTNSSLVNNQSNLHALHQAGHIKLQSLDQSEKMNFQSSLQQQQLQQHPHQQQQLQQHPHQFQQQQLVQQQRLQKQQSQQHQHLLNNDAFGQSLLISDPSSQVKREPGMEHHNDVLHSQTSDHFQISELQNQFQQNVLGDHSRNAQNPPHPDRQHDMSSSLTQNSQQMQQMLHPHQLVSESQNNFNGLSVGTQSDSALPGQWYPQSQDRTRMPGSNSHEQHVQEDFLQRISGQGEAQCNNLASEGSIVSQTVPPRSTSEPQNSNGVTYRSGNANRDRQFRNQQKWLLFLRHARRCPAPEGQCPDPNCTTVQKLLRHMDRCNSTPCSYPRCQHTRILIHHFKHCRDSGCPVCIPVRNYLEAQIKIQMKARTLPALDSGLPSKGSDTGDNAARLISRTPSIVESSENLQPSLKRMKIEQSSQTLKPEIEVSVISASAVSDAHITLDVQHQDHKHGDNCPLVKSEYMEVKLEVPAISRQGSPSNSEMKKDNVDDVSSQMPADESMVHDEPASLAKQDNVKVEKEAHLLKQENATHPAENAAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMFYTMGAGDTRHYFCIPCYNEARGDTIVADGNAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYITEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRTLKQERQDRARAQGKSFDDVPGAESLVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAHFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLVMLRKAAKENVVVDLTNLYDHFFISTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQDEDGRKQNKKGSTKKTITKRALKASGQADLSGNASKDLLLMHKLGETICPMKEDFIMVHLQPCCSHCCILMVLGTHWVCNQCKNFQICDKCYEVEQKREERERHPINQREKHAFYHVEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNSCYQKDGGMDHPHKLTNHPSLAERDAQNKEARQQRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNTG >Potri.007G003400.3.v4.1 pep chromosome:Pop_tri_v4:7:234961:243073:1 gene:Potri.007G003400.v4.1 transcript:Potri.007G003400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003400.v4.1 MGMMGNNIPLANEPGTSEGYMTSTHYVNSPKPLPQQFDQHQRQLMQGDGYGMSNADSLGSGNIYGAVTSVGSMMNAQSMSKTNSSLVNNQSNLHALHQAGHIKLQSLDQSEKMNFQSSLQQQQLQQHPHQQQQLQQHPHQFQQQQLVQQQRLQKQQSQQHQHLLNNDAFGQSLLISDPSSQVKREPGMEHHNDVLHSQTSDHFQISELQNQFQQNVLGDHSRNAQNPPHPDRQHDMSSSLTQNSQQMQQMLHPHQLVSESQNNFNGLSVGTQSDSALPGQWYPQSQDRTRMPGSNSHEQHVQEDFLQRISGQGEAQCNNLASEGSIVSQTVPPRSTSEPQNSNGVTYRSGNANRDRQFRNQQKWLLFLRHARRCPAPEGQCPDPNCTTVQKLLRHMDRCNSTPCSYPRCQHTRILIHHFKHCRDSGCPVCIPVRNYLEAQIKIQMKARTLPALDSGLPSKGSDTGDNAARLISRTPSIVESSENLQPSLKRMKIEQSSQTLKPEIEVSVISASAVSDAHITLDVQHQDHKHGDNCPLVKSEYMEVKLEVPAISRQGSPSNSEMKKDNVDDVSSQMPADESMVHDEPASLAKQDNVKVEKEAHLLKQENATHPAENAAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMFYTMGAGDTRHYFCIPCYNEARGDTIVADGNAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYITEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRTLKQERQDRARAQGKSFDDVPGAESLVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAHFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLVMLRKAAKENVVVDLTNLYDHFFISTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQDEDGRKQNKKGSTKKTITKRALKASGQADLSGNASKDLLLMHKLGETICPMKEDFIMVHLQPCCSHCCILMVLGTHWVCNQCKNFQICDKCYEVEQKREERERHPINQREKHAFYHVEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNSCYQKDGGMDHPHKLTNHPSLAERDAQNKEARQQRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNTG >Potri.007G003400.4.v4.1 pep chromosome:Pop_tri_v4:7:235601:243079:1 gene:Potri.007G003400.v4.1 transcript:Potri.007G003400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G003400.v4.1 MSNADSLGSGNIYGAVTSVGSMMNAQSMSKTNSSLVNNQSNLHALHQAGHIKLQSLDQSEKMNFQSSLQQQQLQQHPHQQQQLQQHPHQFQQQQLVQQQRLQKQQSQQHQHLLNNDAFGQSLLISDPSSQVKREPGMEHHNDVLHSQTSDHFQISELQNQFQQNVLGDHSRNAQNPPHPDRQHDMSSSLTQNSQQMQQMLHPHQLVSESQNNFNGLSVGTQSDSALPGQWYPQSQDRTRMPGSNSHEQHVQEDFLQRISGQGEAQCNNLASEGSIVSQTVPPRSTSEPQNSNGVTYRSGNANRDRQFRNQQKWLLFLRHARRCPAPEGQCPDPNCTTVQKLLRHMDRCNSTPCSYPRCQHTRILIHHFKHCRDSGCPVCIPVRNYLEAQIKIQMKARTLPALDSGLPSKGSDTGDNAARLISRTPSIVESSENLQPSLKRMKIEQSSQTLKPEIEVSVISASAVSDAHITLDVQHQDHKHGDNCPLVKSEYMEVKLEVPAISRQGSPSNSEMKKDNVDDVSSQMPADESMVHDEPASLAKQDNVKVEKEAHLLKQENATHPAENAAGTKSGKPKIKGVSLTELFTPEQVREHIIGLRQWVGQSKSKAEKNQAMEHSMSENSCQLCAVEKLTFEPPPIYCTPCGARIKRNAMFYTMGAGDTRHYFCIPCYNEARGDTIVADGNAIPKARLEKKKNDEETEEWWVQCDKCEAWQHQICALFNGRRNDGGQAEYTCPNCYITEVERGERKPLPQSAVLGAKDLPRTILSDHIEQRLFRTLKQERQDRARAQGKSFDDVPGAESLVVRVVSSVDKKLEVKQRFLEIFREENYPTEFPYKSKVVLLFQKIEGVEVCLFGMYVQEFGSEAHFPNQRRVYLSYLDSVKYFRPEIKAVTGEALRTFVYHEILIGYLEYCKKRGFTSCYIWACPPLKGEDYILYCHPEIQKTPKSDKLREWYLVMLRKAAKENVVVDLTNLYDHFFISTGECKAKVTAARLPYFDGDYWPGAAEDLIYQLNQDEDGRKQNKKGSTKKTITKRALKASGQADLSGNASKDLLLMHKLGETICPMKEDFIMVHLQPCCSHCCILMVLGTHWVCNQCKNFQICDKCYEVEQKREERERHPINQREKHAFYHVEITDVPADTKDKDEILESEFFDTRQAFLSLCQGNHYQYDTLRRAKHSSMMVLYHLHNPTAPAFVTTCNICHLDIETGQGWRCEVCPDYDVCNSCYQKDGGMDHPHKLTNHPSLAERDAQNKEARQQRVLQLRKMLDLLVHASQCRSPHCQYPNCRKVKGLFRHGIQCKTRASGGCVLCKKMWYLLQLHARACKESECHVPRCRDLKEHLRRLQQQSDSRRRAAVMEMMRQRAAEVAGNTG >Potri.004G147966.1.v4.1 pep chromosome:Pop_tri_v4:4:17012641:17012886:1 gene:Potri.004G147966.v4.1 transcript:Potri.004G147966.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G147966.v4.1 MSGLVDIWTGELAKLREKGQAVWSSGSSPTNVESSKVVPGEEGSLRLVKPLPASIRGMRVKSPALTYSEASLSMLVDCLNQ >Potri.001G186000.1.v4.1 pep chromosome:Pop_tri_v4:1:16552896:16558534:-1 gene:Potri.001G186000.v4.1 transcript:Potri.001G186000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G186000.v4.1 MSSSNLSQQPPPEDEWIRTYLKLLPEWQPPALSHQSMIPISISRVNQFDAARLDIEMSAMLKEQLVKVFSLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERAMDSIGKVRTGLEGPGLTIAQKIWYCIATVGGQYTWARLQSFSAFRRWGDSEQRPLARRAWILIQRIEGLYKAASFGNLLIFLYTGRFRNLIERVLQARLVYGSPNMNRAVSFEYMNRQLVWNEFSEMLLLLLPLLNSSSIKKFLSPFSKDKSSSSKVDDDTCPICQAIPTIPFLALPCQHRYCYYCLSTRCAAATSFRCPRCGEAVVAMQRHSSLASNTNPNQ >Potri.001G067600.1.v4.1 pep chromosome:Pop_tri_v4:1:5078625:5081792:1 gene:Potri.001G067600.v4.1 transcript:Potri.001G067600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G067600.v4.1 MSSMVSALTQAMGTTDNNVPTVQSTPFALHQSVVKGEPDQSQPVQDQENTRRRHYRGVRQRPWGKWAAEIRDPKKAARVWLGTFDTAEDAAVAYDKAALKFKGSKAKLNFPERVHEFGYFMSSGASSNVMTEQNPRPVAPPPPPPSSFAPDTYPDLLQYAQILSSNDANFPYYTSNLFNQQPFPGHFSQSFLSQQQLNQQQEDLMRFSSRFDGSSSSDQQEHGKGSSNPSE >Potri.019G101950.1.v4.1 pep chromosome:Pop_tri_v4:19:13786539:13787538:-1 gene:Potri.019G101950.v4.1 transcript:Potri.019G101950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G101950.v4.1 MKHYQITIRVNLERQIKLSRDHPGEIKIWSRRSTLLPEFVNSSVRIYNGKTFVRTKISEGKVGLKFGECLACLQSNLQGRSVGVRKTWCINSFDIELF >Potri.010G155150.1.v4.1 pep chromosome:Pop_tri_v4:10:22700155:22711486:1 gene:Potri.010G155150.v4.1 transcript:Potri.010G155150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G155150.v4.1 MAKLYLNKLFPKLASSLAVLLLLCQFGYVACQSTDSSDSPLLPEDEAKALDELMTTLRWDTFQQISRSSCDNNYRGIKCNCTYENRTVCHVTGLDLSNRELDGRIQAEALTSLVFLEEIDLSNNQLYGSIPVTVGNLPSLTSLDLSTNFLNGSIPSSLGNLSSLQYLLLSRNLLSGSIPSSLRKLSSLYYLSLSYNMLSGQIPKELGNLSNLQSMYLDFNELSGQLPPELGRLRSLYFLDLSSNNLSGELPGNYANFTSDQLFLFSVAGNRLTGQIPRFIAKWTALYMLSLSGNDFEGELPLELLLNMPYLGYLAVSDVNSSAGFPFPKYANMTRIRYLVIRNCSISGEIPPYIGDWSSLKYLDLSFNNLSGGIPDSMENQTLSKMFLTGNMLSGTVPPWLPHKIEDKADLSHNDFDDGTKKGEGKLNIQPNRNSISDLKNKCRGKPKYDSLYINCGGANTVFDGKEFEGDSATLNYYNTTKGNWAYSCSGDFGSETYDSTNYIKNVECGDCDPSDSAATQLYNSSRLCPLSLTYYGFCLFEGNYTVKLYFAETVYQNDEDYSILGKRVFDVYIQGKRELTDFNIKENATGTNRTQNFTAHVVDDHLLTIHFFWAGKGSFQVPGYSYSSTAALSLNGPLVAGISVTANFKVGTGLSPSQIAGITAGSVFAPLLLLAFMWKMGWLRKSELDEIIIEVKRDGTYFTLKQIIDATRKFSPKMEIGRGRFGIVYKAELPNETKLAVKKISPQSKQHGKDELQREIFNLKSLHHENLVQLFDGYSIKDLHLLVYDYMHKGSLHHALFEPNSTTKLDWKARFDISLGIARGLKYLHEEKRFKIVHGNIKPSNILLDNSLTAKLADFGLATLCDEEDPFMTIKAKGSRVYMAPEYSMGKAITVKADVYSFGIVLLETVSGKVSADYTPNQEAEFLLDKAGVLHDKGRILDLVDKKLASSYDRKQALTVLLLAMKCVNLSPTLRPKMSEVVNVLEGKKRIDEIFEGDIPSANIGGLRGVCSNVLEIEPIS >Potri.017G035200.1.v4.1 pep chromosome:Pop_tri_v4:17:2328025:2330989:-1 gene:Potri.017G035200.v4.1 transcript:Potri.017G035200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G035200.v4.1 MTAATKKHLPSSSKPPKNHHSNPNTTTTTTPQPNITQNPNPTTNPSPLSPTLKDQVLSRATHITRQELLKRRSYKLKQLSKCFKDHYWALMEELKIQYREYYWEYGVSPFKEDHQNTLQKQEQQKQGGGIGVLERENEESGANIEVIGENNTNVSDLKSNHRCLFVGCKLKAMALTSFCHLHILSDAKQKLYKPCGYVIKSAQAGPITCGKPILRSTAPSLCTIHVQKAQKHVTQALRKAGLNVSSSSKLAPKFHVIVTEYVRQIQFKRKAAERGNRSKVMDKEVTAS >Potri.007G133200.1.v4.1 pep chromosome:Pop_tri_v4:7:14605663:14607098:-1 gene:Potri.007G133200.v4.1 transcript:Potri.007G133200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G133200.v4.1 MKTMEFLLQLWHLITSLLSSILPASPPNIDDLESQSSQPLPSSSNTTSESQSPPGGRLPLTINESQRNNVLDAKPDLETELRKTLLNLCFTAAIQITIQYEQVAESEAHYPIILISLAVAAIFASLFVSPFIGKKIPTASKVLEKVAFFLAATTFFFAIATPFPLGIRCAIWAVYIMSLITIAICTCL >Potri.012G060751.1.v4.1 pep chromosome:Pop_tri_v4:12:6197251:6199923:-1 gene:Potri.012G060751.v4.1 transcript:Potri.012G060751.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G060751.v4.1 MKKLGRRAVKTWLLIIVENGGQTSLPREHGNTAIHLSREFFLLHCLLQSCISHHSPCRSTNPSIFGSKRLENLVEQSPFQTHPADLFSSYFFDSLFMEGFSNRTSPFFDNLKGSLLRSHSIEMASAITEKGFLMFIAVKLSLVRNISVVSSLSNSIDRELFVSFNPYANMYEYSIVPNLFKFDR >Potri.015G062000.1.v4.1 pep chromosome:Pop_tri_v4:15:8652489:8655778:-1 gene:Potri.015G062000.v4.1 transcript:Potri.015G062000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062000.v4.1 MENKTEIAFFDVETTVPTRPGQGYAILEFGAILVCPQKLEEIRSYSTLVRPSNPKLISSLSVRCNGIIPEAVVSAPSFADIADTVYDILHGRIWAGHNIVRFDCVRIREAFAEIGRAAPEPKGTIDSLALLTQKFGRRAGNMKMASLATYFGLGNQTHRSLDDVRMNVEVLKHCATVLFLESSLPDAFPENHWVSPNAITRSRRNGKSPVLNKNSPSSSSHVKSTPALSPENDRTEEKRPVFSLLTSNTAEAQYSVASNTAHPDTFDMVALGNEMNAASFQPDVAMEENPILQPPEMPSTVTVPESCSDVLGFLELDEVSLSSIRAFHVPFFRGSHRIKLFYEGAILQLRCPRLRIRFGLSTKFSDHAGRPRLSFVVDASPSLCDVLDTCESIVRKVCADSDCSSDWRSVVTRKQGFVNNPTVRLNIPTAVNGDVAQYATDMYLKEPSGTTQKLIFSKFDAAELDTWFRPGTFVDAYLSLDPYDYQQTSGIRLVANKLIIHNE >Potri.015G062000.5.v4.1 pep chromosome:Pop_tri_v4:15:8651618:8655649:-1 gene:Potri.015G062000.v4.1 transcript:Potri.015G062000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062000.v4.1 MASLATYFGLGNQTHRSLDDVRMNVEVLKHCATVLFLESSLPDAFPENHWVSPNAITRSRRNGKSPVLNKNSPSSSSHVKSTPALSPENDRTEEKRPVFSLLTSNTAEAQYSVASNTAHPDTFDMVALGNEMNAASFQPDVAMEENPILQPPEMPSTVTVPESCSDVLGFLELDEVSLSSIRAFHVPFFRGSHRIKLFYEGAILQLRCPRLRIRFGLSTKFSDHAGRPRLSFVVDASPSLCDVLDTCESIVRKVCADSDCSSDWRSVVTRKQGFVNNPTVRLNIPTAVNGDVAQYATDMYLKEPSGTTQKLIFSKFDAAELDTWFRPGTFVDAYLSLDPYDYQQTSGIRLVANKLIIHNE >Potri.015G062000.6.v4.1 pep chromosome:Pop_tri_v4:15:8652469:8655651:-1 gene:Potri.015G062000.v4.1 transcript:Potri.015G062000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G062000.v4.1 MVALGNEMNAASFQPDVAMEENPILQPPEMPSTVTVPESCSDVLGFLELDEVSLSSIRAFHVPFFRGSHRIKLFYEGAILQLRCPRLRIRFGLSTKFSDHAGRPRLSFVVDASPSLCDVLDTCESIVRKVCADSDCSSDWRSVVTRKQGFVNNPTVRLNIPTAVNGDVAQYATDMYLKEPSGTTQKLIFSKFDAAELDTWFRPGTFVDAYLSLDPYDYQQTSGIRLVANKLIIHNE >Potri.005G116100.1.v4.1 pep chromosome:Pop_tri_v4:5:8466047:8467595:-1 gene:Potri.005G116100.v4.1 transcript:Potri.005G116100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G116100.v4.1 MIKTSEMESNSVEDEEGNDHVIRIWEVNEDRLNLMHQKISDPPKLLTKAAANSSCCIFKVPQRFIDINGKSYQPHVVSIGPYHHGEEHLKMIEEHKWRYLGSILSRTQNKGLDLEVLLKAIQPLEKKARECYSQIIHFDTDEFIEMMVVDGCFIIELFRKVGNVVEFEVDDPIVTMAWIIPFFYRDLLRLENQIPFFVLECLFDITRMPGEESGPSLCKLSLDFFNYALQRPDNIIARHNDLNAKHLLDLVRSSFIDFEQGQSLHVDTSTPMIHSVSKLRRAGIELSQGNPEDSFLVVKFKNGVIEMPTITIDETVTSFLLNCVAFEQCHNGSSKHFTTYATLLDCLVNTFKDVEHLSDCNIIENYFGTDSEVASFINDLGKEVAFDIERCYLSSLFHDVDQYYKNSRHVQWASFKYTYFSTPWSFVSALAALIILLLTVSQTVYTIYGTYKK >Potri.011G125050.1.v4.1 pep chromosome:Pop_tri_v4:11:15838101:15842015:-1 gene:Potri.011G125050.v4.1 transcript:Potri.011G125050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125050.v4.1 MKGTTKKTLERLLFLCSFVLSSIRTSTTLDTVTPSQPISDGQTIVSPGESFELGFFSPGSSRNRYLGIWYKKISMGTVVWVANREAPLFDHLGVLKVTPQGNLVLLNSTKGIVWSSNTSRAAENIPDARLLESGNLVVEDGNDDGPDKYLWQSFDYPCDTLLPGMKLGRNLASGFDWFLSSWKSTDDPAHGDFTFQIDLHGVPQLVLKKGSVIQFRAGSWNGIRWSGAQAMVRNPVYTYEFVSNETYVYYKYELLNSSVFSRMVLNASGVSQRFTWIDRSHSWVLYYVVIVDQCDNYAFCGAYASCNINKSPVCSCLQGFEPKSPRDWSFLDWSDGCARRTLLDCDKGDGFLKHAGVKLPDTTYASVNKSIGLEKCGELCSNKCSCTAYANSDVRGGGSGCILWFRDLIDIREFSDGGQDLYIRVAASELGNIGAKRSSNDKKLLGIIFGSVIFIAMLAIGLILYIRKKKAKTKNSLEKDCDDEDENEVMELPIFDMKTIIKATENFSIDKKLGEGGFGTVYKGNLNEGQEIAVKRLSQDSGQGLKEFKNEVILIAKLQHRNLVKLLGCCVERDERMLIYEYMPNKSLDYFIFDESRRKELDWHNRINIINGIARGLLYLHQDSRLRIIHRDLKASNVLLDSKMDPKISDFGLARMFGGDETEANTKKVVGTYGYMSPEYAIDGLFSVKSDVFSFGVLVLEIVSGRKNRGFNHPDHQHNLLGHAWRLWMEERPLELIDDILGESCALSEVLRCIHVALLCVQQRPDDRPSMSTVVLMFGSDTMLPQPKQPGFFTERNVVEAESSASKNDSSTKNEITISLLEPR >Potri.018G017000.1.v4.1 pep chromosome:Pop_tri_v4:18:1161737:1165387:1 gene:Potri.018G017000.v4.1 transcript:Potri.018G017000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G017000.v4.1 MATRNSVFANPKPCEHLADYKLRHGLSGYKSIQKWLKASPYGKTSIKMCETKMPTCRFCSGFHQGRLFLCLICSSMSCSDHTLLHAQSENGHDIAVDIERSELYCCLCSDQVYDPDFDKVVVSKNIMDMPSKTHDSVVDDLMRRSSKRRRLNPVVDLDLKRSKLLVSMMDRRAKSCYPLGLRGLNNLGSTCFMNSVLQALLHAPPFRNYFLSERHDPETCKKRSSDQLCLACDFGVIFSAVYSGDRTPYSPAQFLYSWWQHSANLASYEQQDAHEFFISVLDGIHEREGKERSSNKDNGGCQCIAHRVFSGMLRSDVTCTTCGFTSTTYDPCVDISLNMDTTNFSTVNVANKSVREDTGRSTLLACLDLFTRPERLGSDQKLYCQNCQEKRDSLKQMSIKRLPLVLSLHIKRFEHSSLRRSSRKIDWYLQFPFSLDMTPYLSPSIVRNRFGNRVFGFESDKPDISSEFEIFAVVTHSGMLDSGHYVTYLRLQNQWYKCDDAWITEVDERIVRAAQCYMIFYVQKMLYCKANEDWSCMPISTRRDPFVPIAGCC >Potri.011G165900.2.v4.1 pep chromosome:Pop_tri_v4:11:19021375:19026246:1 gene:Potri.011G165900.v4.1 transcript:Potri.011G165900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165900.v4.1 MSYVVYLGSHSHGLEPTQADIDRVTDSHYELLGLFTESKEKAKEKIFYSYTNSINGFAAVLEEEEASALAKHPDVVSVFLNKARKLHTTHSWSFLGLEKDGVVPPSSLWKKARYGEDVIIGNLDTGVWPESKSFSDEGLGPVPSKWRGICQNATKEGVPCNRKLIGARYFNKGYGSIGGHLNSSFQTARDIEGHGTHTLSTAAGNFVPGANVFGNGKGTAKGGSPRARVAAYKVCWPAVGVNEGGCYEADILAGFDVAISDGVDVLSVSLGGAIDEYSDDAIAIGSFHAFKKGITVVASAGNSGPGPGSVSNVAPWLITVGASTLDRAFTIYVALGNRKHLKGVSLSQKSLPARKFYPLISGARAKASNQSEEDANLCKPGTLDSKKVKGKILVCLRGVNPRVEKGHVALLAGAVGMILANDEESGNGILADAHVLPAAHIISTDGQAVFSYLNSTKDPWAYITNVRTELGTKPAPFIASFSSRGPNILEESILKPDITAPGVSVIAAFTLATGPTDTAYDKRRIPFNTESGTSMSCPHVSGIVGLLKSLHPDWSPAAIRSAIMTTATTRDNNGDPILDSSNTRATPFAYGAGHVQPNRAADPGLVYDLTVNDFLNYLCSRGYTAKDLKLFTDKPYTCPKSFSLTDFNYPSISAINLNDTITVTRRVKNVGSPGKYYIHVREPTGVLVSVAPTTLEFKKLGEEKTFKVTFKLAPKWKLKDYTFGILTWSDGKHFVRSPLVVRPYRSY >Potri.011G165900.1.v4.1 pep chromosome:Pop_tri_v4:11:19021161:19026246:1 gene:Potri.011G165900.v4.1 transcript:Potri.011G165900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G165900.v4.1 MRLSIISSPLLLSFFIFSLLQPPTFAIKKSYVVYLGSHSHGLEPTQADIDRVTDSHYELLGLFTESKEKAKEKIFYSYTNSINGFAAVLEEEEASALAKHPDVVSVFLNKARKLHTTHSWSFLGLEKDGVVPPSSLWKKARYGEDVIIGNLDTGVWPESKSFSDEGLGPVPSKWRGICQNATKEGVPCNRKLIGARYFNKGYGSIGGHLNSSFQTARDIEGHGTHTLSTAAGNFVPGANVFGNGKGTAKGGSPRARVAAYKVCWPAVGVNEGGCYEADILAGFDVAISDGVDVLSVSLGGAIDEYSDDAIAIGSFHAFKKGITVVASAGNSGPGPGSVSNVAPWLITVGASTLDRAFTIYVALGNRKHLKGVSLSQKSLPARKFYPLISGARAKASNQSEEDANLCKPGTLDSKKVKGKILVCLRGVNPRVEKGHVALLAGAVGMILANDEESGNGILADAHVLPAAHIISTDGQAVFSYLNSTKDPWAYITNVRTELGTKPAPFIASFSSRGPNILEESILKPDITAPGVSVIAAFTLATGPTDTAYDKRRIPFNTESGTSMSCPHVSGIVGLLKSLHPDWSPAAIRSAIMTTATTRDNNGDPILDSSNTRATPFAYGAGHVQPNRAADPGLVYDLTVNDFLNYLCSRGYTAKDLKLFTDKPYTCPKSFSLTDFNYPSISAINLNDTITVTRRVKNVGSPGKYYIHVREPTGVLVSVAPTTLEFKKLGEEKTFKVTFKLAPKWKLKDYTFGILTWSDGKHFVRSPLVVRPYRSY >Potri.005G235700.1.v4.1 pep chromosome:Pop_tri_v4:5:23384446:23385449:-1 gene:Potri.005G235700.v4.1 transcript:Potri.005G235700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G235700.v4.1 MARTKQTARKSTGGKAPRKQLATKAARKSAPTTGGVKKPHRYRPGTVALREIRKYQKSTELLIRKLPFQRLVREIAQDFKTDLRFQSHAVLALQEAAEAYLVGLFEDTNLCAIHAKRVTIMPKDIQLARRIRGERA >Potri.001G063900.1.v4.1 pep chromosome:Pop_tri_v4:1:5997684:6001939:-1 gene:Potri.001G063900.v4.1 transcript:Potri.001G063900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063900.v4.1 MFLPFQQLNALHLWGNRIAGWVEKKGPSNLRSLWLENITTYGSSFQLLQSLRAFPNLTKLSMGYNDFIGRILSDELQNLSSLQSLYLDGCSLDEYSLQSLGALSSLKNMSLQALNGIVLSRGFLDLKNLEYLDLSYNTLNNSIFQAIGTMTSLRTLILHSCRLDGRIPTTQGFFNLKNLEFLDLSSNTLSNNILQTIRTMPSLKTLWLQNCSLNGQLPTTQGLCDLNHLQELYMNDNDLSGFLPPCLANMTSLQRLYLSSNHLKIPMSLSPLYNLSKLKSFYGSGNEIYAEEDDHNLTPKFQLESLSLSNGGQNTRAFPKFLYHQFSLQSLDLTNIQIKGEFPNWLIENNTYLKLLSLENCSLSGPFLLPKSSHVNLSFLSISMNHFQGQIPSEIGAHFSGLEVLLMSDNGFNGSIPSSLGNMSLMYELDLSNNSLQGQIPGWIGNMSSLEFLDLSRNNLSGPLPPRFGTSSKLRDVFLSRNRLQGPIAMAFSDSSEIFALDLSHNDLTGRIPEWIDRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTVIDLSHNYLSGNILSWMISTHPFPIQYNSHYSMFSSQQSFEFTIKNVSFPYKGSIIQYLTGIDFSCNNFTGEIPPEIGNLNKIKALNLSHNSLTGPIQSTFSNLKEIESLDLSYNKLDGEIPPRLIELFSLEFFSVTHNNLSGKTPARVAQFATFEESCYKDNLFLCGEPLTKICGAAMPSSSTPTSRNNEDDGGFMDIEIFYVSFGVAYIMVLLVIGAVLHINPYWR >Potri.018G079300.6.v4.1 pep chromosome:Pop_tri_v4:18:9702673:9711063:1 gene:Potri.018G079300.v4.1 transcript:Potri.018G079300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079300.v4.1 MARTGSNNIQAKLVLLGDMGTGKTSLVLRFVKGQFLEFQESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDITSMDSFERAKKWVTELQRQGNPNLIMFLVGNKVDLQQKRKVGIEEGEQYAKENGMVFLETSAKTAQNVNELFYEIAKRLAKKAPSRPIGMKLHRRPQETRRRMFCCS >Potri.018G079300.5.v4.1 pep chromosome:Pop_tri_v4:18:9702594:9711061:1 gene:Potri.018G079300.v4.1 transcript:Potri.018G079300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G079300.v4.1 MARTGSNNIQAKLVLLGDMGTGKTSLVLRFVKGQFLEFQESTIGAAFFTQVLSLNEATIKFDIWDTAGQERYHSLAPMYYRGAAAAVVVYDITSMDSFERAKKWVTELQRQGNPNLIMFLVGNKVDLQQKRKVGIEEGEQYAKENGMVFLETSAKTAQNVNELFYEIAKRLAKKAPSRPIGMKLHRRPQETRRRMFCCS >Potri.010G123600.1.v4.1 pep chromosome:Pop_tri_v4:10:14127582:14128831:1 gene:Potri.010G123600.v4.1 transcript:Potri.010G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G123600.v4.1 MEIPVINRISDFETGISSLQNPSFLSQILALSGAEKIHQAYSFWKWGALLLALVASFTTIINRIKILVIRFKNHPFISSPSLITNQEDGDYESETDLSCSSSISLSDEEQEEEPPSTSRSWWSINDHDEDFCVRGSGNRYIDDQWQNGNFRLRRRRNSSIGDFFSLSDFTNERNVVKLWDNLGLGLGFNLNNGGDSRSAVVSFYDINNERNICSIFGSKCDSFTAVSPSPSVVVSAETNLSGHSSLNLWDSRVGFRMPEVFAELRPMLGKIVGVSGGGGGGAKKVYVRDDVTGELTVGDIRKVSSPLVNVTESDVDTWWDADAVIVEDECEKSV >Potri.011G069300.2.v4.1 pep chromosome:Pop_tri_v4:11:6064881:6067252:-1 gene:Potri.011G069300.v4.1 transcript:Potri.011G069300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069300.v4.1 MASALVFYPQNTFFNKPSCISFSKQLKLWCPVYSLIDGSLAFASHNGVLQFSVSSIKSDGSSKGRPPRKSSAPGRTEKEDEDSKSQSSDRKQPMSSNQAEIMALFRRIQSSISKGESTATKKKKASRSNENSPTNSILEVLRHSTKHAKGPSTVREGNKVLTQKRSVSKDQKTQAEHALEDVKLTRPPSNFTKKSPIPSPSTSRENTTELNSEASEGKASNHKLELPRVEKMKLTELKELAKSRGIKGYSKLKKGELLELLRS >Potri.011G069300.3.v4.1 pep chromosome:Pop_tri_v4:11:6064881:6067544:-1 gene:Potri.011G069300.v4.1 transcript:Potri.011G069300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G069300.v4.1 MSSNQAEIMALFRRIQSSISKGESTATKKKKASRSNENSPTNSILEVLRHSTKHAKGPSTVREGNKVLTQKRSVSKDQKTQAEHALEDVKLTRPPSNFTKKSPIPSPSTSRENTTELNSEASEGKASNHKLELPRVEKMKLTELKELAKSRGIKGYSKLKKGELLELLRS >Potri.003G103550.1.v4.1 pep chromosome:Pop_tri_v4:3:12751360:12751677:-1 gene:Potri.003G103550.v4.1 transcript:Potri.003G103550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G103550.v4.1 MEFLKDSTPNIKVPFTSPLVPNKPSSKPFSPPQIPILHTPPTPPPPLATITSPPLPPPTKTDFIDDYFKKLPPGYRFCPFDNEVVVHYLAKAMFAKEQDGRCYTL >Potri.004G137000.4.v4.1 pep chromosome:Pop_tri_v4:4:15801239:15805555:-1 gene:Potri.004G137000.v4.1 transcript:Potri.004G137000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137000.v4.1 MCFLMSQSDKGSSELHESCGRGDESTKKGACLLEIKRTGMIQWGVSRHIEFIDSPGENNPQFPPAIVEHGQKNPQPPSASVKHGKKNTQLPSAVVKHGKNNPQFPSAIVKEDLKVGEDGIESFVLPEAKKRKCHALSERREAQAVRRSKAKKTSLVYKSKQIKRENSISIKKENVKDRWSVDRYNLAEKSMLDVMKAEGAVFENPISRSALRTVARKHIGDTGLLDHLLKHIDGKVAPGGTERFRRCYNTQGIMEYWLESADLVKIKQEAGVPDPNYIPPSWYRPGSVSQDSVSAKELTLLRDEVAKMRRDMEELVSKNQEQHQANQIGDIYKEFVEWRGKIDQRLMGISSSLGGLQSMYKDVMTWKSKTEQQLKEISNSLSSMQASKQCTALNPVSERWEDWLESTNLDNIQGEDFAPWLENTDLVNFKQNASPQEQEPYNAIQPWLKHSDNPSQEPVCAGELELLKEEMAKMKRDVQELVPKRMEEDQANMTPDSSATANSKSELDNSFSFFQEMYKDLGNWRVKMEQQMLEISNAVNTLQASKQCIT >Potri.004G137000.2.v4.1 pep chromosome:Pop_tri_v4:4:15801292:15805555:-1 gene:Potri.004G137000.v4.1 transcript:Potri.004G137000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G137000.v4.1 MCFLMSQSDKGSSELHESCGRGDESTKKGACLLEIKRTGMIQWGVSRHIEFIDSPGENNPQFPPAIVEHGQKNPQPPSASVKHGKKNTQLPSAVVKHGKNNPQFPSAIVKEDLKVGEDGIESFVLPEAKKRKCHALSERREAQAVRRSKAKKTSLVYKSKQIKRENSISIKKENVKDRWSVDRYNLAEKSMLDVMKAEGAVFENPISRSALRTVARKHIGDTGLLDHLLKHIDGKVAPGGTERFRRCYNTQGIMEYWLESADLVKIKQEAGVPDPNYIPPSWYRPGSVSQDSVSAKELTLLRDEVAKMRRDMEELVSKNQEQHQANQIGDIYKEFVEWRGKIDQRLMGISSSLGGLQSMYKDVMTWKSKTEQQLKEISNSLSSMQASKQCTALNPVSERWEDWLESTNLDNIQGEDFAPWLENTDLVNFKQNASPQEQEPYNAIQPWLKHSDNPSQEPVCAGELELLKEEMAKMKRDVQELVPKRMEEDQANMTPDSSATANSKSELDNSFSFFQEMYKDLGNWRVKMEQQMLEISNAVNTLQASKQCIT >Potri.001G125700.1.v4.1 pep chromosome:Pop_tri_v4:1:10317157:10324622:-1 gene:Potri.001G125700.v4.1 transcript:Potri.001G125700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G125700.v4.1 MSKGKEVVISTSPHYSNHNSGVEDDEEEDGFDHSGNNKRFSSFPSFSSRNASSKYDFVKVRVWLGDNADHYYVLSRFLLSRMLTVTKIPNHVAIKIALELKKLLIDNSLLDVSQSDLEANLFKLMERRGYGEEYINRYKMMTRFHHQRVPLVILVCGTACVGKSTIATQLAQRLNLPNVLQTDMVYELLRTSTDAPLASTPVWAREFTSSEELITEFCRECRIVRKGLAGDLKKAMKDGKPIIIEGIHLDPSIYLMDEENKLPAKMPEKSEMNPVSVTPDDDPARQMENSSTSVSRNHPENINCTPGDLASEEGKSVNQVNKVVDSQGSRDKAGSIVDNKGETVKGLEGDRKTSVSLKSGPEPIIIPIVLKMAEFDHKALLEEWISTRSFSDKCPVEEKDRLITNLKIIQDYLCSFKSQGLTVANISATTFPQTLDWLHGYLLQSIEHGISSVSSENDRHQAQN >Potri.010G127900.3.v4.1 pep chromosome:Pop_tri_v4:10:14466893:14468361:1 gene:Potri.010G127900.v4.1 transcript:Potri.010G127900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127900.v4.1 MEFHCSSHTRSERQMGTDPNLEFEGVEYSDVYYAELKKQILLLTADDDEEVDVTNHSRLVDIQRKQDSNSLTNSFPTTLQPGSYFNWWERQKTDLVPTWPENLWRSNGNGTGVFIPQIVKSRRYRRGGVNNVRRRYTSQWSIADS >Potri.010G127900.2.v4.1 pep chromosome:Pop_tri_v4:10:14466875:14468361:1 gene:Potri.010G127900.v4.1 transcript:Potri.010G127900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G127900.v4.1 MEFHCSSHTRSERQMGTDPNLEFEGVEYSDVYYAELKKQILLLTADDDEEVDVTNHSRLVDIQRKQDSNSLTNSFPTTLQPGSYFNWWERQKTDLVPTWPENLWRSNGNGTGVFIPQIVKSRRYRRGGVNNVRRRYTSQWSIADS >Potri.005G081700.1.v4.1 pep chromosome:Pop_tri_v4:5:5563322:5566992:-1 gene:Potri.005G081700.v4.1 transcript:Potri.005G081700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081700.v4.1 MEARYGSVTVTLTNGLLMCACEVLTTLLVSAFFKAQLLAQDPCGLLLTAKPRMKGDEAQGHDINPVDAEGDDDNDEDDGDDDEGDGGFGEGNEDLSSEDGEDLASNPNNDKSSSKKGPGGGAGGADENGEEEQEDDEDDGEGQDDDEEDDDDDDDDDDDDDGGEEAEEEVEEVENEEEEEDEDEEALQPPKKRKK >Potri.005G081700.2.v4.1 pep chromosome:Pop_tri_v4:5:5563390:5567004:-1 gene:Potri.005G081700.v4.1 transcript:Potri.005G081700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G081700.v4.1 MEARYGSVTVTLTNGLLMCACEVLTTLLVSAFFKAQLLAQDPCGLLLTAKPRMKGDEAQGHDINPVDAEGDDDNDEDDGDDDEGDGGFGEGNEDLSSEDGEDLASNPNNDKSSSKKGPGGGAGGADENGEEEQEDDEDDGEGQDDDEEDDDDDDDDDDDDDGGEEAEEEVEEVENEEEEEDEDEEALQPPKKRKK >Potri.001G355900.1.v4.1 pep chromosome:Pop_tri_v4:1:36998198:36998413:1 gene:Potri.001G355900.v4.1 transcript:Potri.001G355900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G355900.v4.1 MQITASNGMASVNNSLTTTSTTMSASTIVGLLYQNSMNSRHQNSMNNASSPYGGNSVQIQSPGYSTLTTIS >Potri.014G097700.1.v4.1 pep chromosome:Pop_tri_v4:14:6394916:6399603:-1 gene:Potri.014G097700.v4.1 transcript:Potri.014G097700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G097700.v4.1 MSIKFLPPLRLLNPSPPVTRFPLMNVGLSINTRRSIPIFSRVFPFKLKYPGFSSRFSTRSFRPGTTGSRPEFTRRTGAERERGEARTSKSLVEDEAELSDWVSDLRTNSFRGRVTSEDESDSDMGRHNRSKRGPGRDRDSGSNRGGGREGFSMKRRRESDSDEFGEPTRRRTESTFGPPRKNRGTLGLQNEKRGQGERDLWLKRDDKGVKGERGFIDDDDDEVDDGEDERKGLMRNLGGLVTEEESDDDDDGDNGNGIFEKNALSSTGLKKEFDMKDRPSLSSSSDSFMSETRFDQCSISPLSLKGIKDAGYENMTVVQAATLPVIVKGKDVLAKAKTGTGKTVAFLLPSIEVVVKSPPLDRDQKRPPIIVLVICPTRELATQAATEANTLLKYHPSIGVQVVIGGVRIASEQRNLQANPCQILVATPGRLKDHIENTAGFATRLMGVKVLVLDEADRLLDMGFRKDIEKIIAAIPKQRQTLMFSATVPEEVRQICHAALKRDHEFINTVEEGTEDTHSKVRQMHVIAPLDKQFPLLYVILKDHIADDPDYKVIVFCTTARVTGLVAGLLGELNLNIREIHSRKAQTYRTRVSNEFRKSRGLILVTSDVSARGVDYPDVTLVLQVGLPASREQYIHRLGRTGRKGKEGEGILLLAPWEEFFLSTVKDLPLTKAPMPSIDPDTKKKVERALSQLDMNSKQAAYQAWLGYYNSQKKVGSDKYRLVELANEFSRSMGLDTPPAIPKLVLGKMGLRNIPGLRTK >Potri.010G171100.6.v4.1 pep chromosome:Pop_tri_v4:10:17259529:17266618:-1 gene:Potri.010G171100.v4.1 transcript:Potri.010G171100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171100.v4.1 MVLVMIQHQHQQTSTTVAPHPIPLSTSTHRSPATPSHTQSQSPLSLVPLSSSSHRFPPPPPPPPPPPPPSLDHVISPIASAAHHSAEPALMLATVRLSDISPYDGAPGGPYVRAVEALSGSLMKHNAAVIELGSAEASLMRCGLEAARLYFRSRSQNGVVKGCSSRGVYMYRAGRPVEDCDSSPPCMAEIFRCMGKAARSALCAIARHLRLRSDVFNQLLDDTPLPAGEVSSSVLVATYSHTSLQNGKGAIGGGKMAVNGEVEKGLLTLISSDSPGLQVCDPNGHWYLADCSSAPGNLLLITGKTLSHTTAGLRPAASYRAAPDYSSGANSGGRTSLAFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFLDDLSAEEDVNCNRPDNTYVAQNDVNKELSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLRRVLDMSRCTLCNAEIEAGSLVPNYALRAAAAAVKNEDDRRLFYNATLRKRRKEMGDHTDSMKRQHRENVDIAAADGLHRGVQYPFSVNEKVMIKGNRRTPEKFVGKEAIITSQCLNGWYLLKIIGSGENVRLQYRSLRKILSSEAIEDRCASQPIQNSS >Potri.010G171100.7.v4.1 pep chromosome:Pop_tri_v4:10:17259475:17266618:-1 gene:Potri.010G171100.v4.1 transcript:Potri.010G171100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G171100.v4.1 MVLVMIQHQHQQTSTTVAPHPIPLSTSTHRSPATPSHTQSQSPLSLVPLSSSSHRFPPPPPPPPPPPPPSLDHVISPIASAAHHSAEPALMLATVRLSDISPYDGAPGGPYVRAVEALSGSLMKHNAAVIELGSAEASLMRCGLEAARLYFRSRSQNGVVKGCSSRGVYMYRAGRPVEDCDSSPPCMAEIFRCMGKAARSALCAIARHLRLRSDVFNQLLDDTPLPAGEVSSSVLVATYSHTSLQNGKGAIGGGKMAVNGEVEKGLLTLISSDSPGLQVCDPNGHWYLADCSSAPGNLLLITGKTLSHTTAGLRPAASYRAAPDYSSGANSGGRTSLAFRLMPQGNAILDCSPIAAAGHVIPQSYVPISVSQFLDDLSAEEDVNCNRPDNTYVAQNDVNKELSLRSVLSDPLSGAFLEDAVVVSCGHSFGGLMLRRVLDMSRCTLCNAEIEAGSLVPNYALRAAAAAVKNEDDRRLFYNATLRKRRKEMGDHTDSMKRQHRILLLLMACIEECSILFL >Potri.002G259900.5.v4.1 pep chromosome:Pop_tri_v4:2:24778453:24782903:1 gene:Potri.002G259900.v4.1 transcript:Potri.002G259900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G259900.v4.1 MSFRDDSEDGRGDIRKPFLHTGSWYRMSSRQSSMMGSSQAIRDNSISVVACVLIVALGPIQFGFTSGYSSPTQASIMADLGLTVSEFSLFGSLSNVGAMVGAIASGQIAEYIGRKGSLMIAAIPNIIGWLAISFAKDSSFLYMGRLLEGFGVGIISYTVPVYIAEIAPQNLRGALGSVNQLSVTIGIMLAYLLGLFVEWRILAVLGILPCTILIPGLFFIPESPRWLAKMGMTEDFESSLQVLRGFDTDISVEVHEIKRAIASTSRRTTIRFAELKRKRYWFPLTVGIGLLVLQQLSGINGVLFYSSNIFATAGIKSSNVATVGVGAIQVIATGVTTWLVDRTGRRLLLIVSTSGMTISLLIVAVSFFVKGFVPEDSSLYSILGILSVVGVVAMVVTFSLGMGAIPWVIMSEILPVNIKSLAGSVATLANWLISFLVTMTANLLLDWSTGGTFIIYSVVSAFAVVFVSMWVPETKGRTLEEIQSSFR >Potri.013G002000.1.v4.1 pep chromosome:Pop_tri_v4:13:171330:172091:-1 gene:Potri.013G002000.v4.1 transcript:Potri.013G002000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G002000.v4.1 MVGNKQKKSSFFSFLKGRRARRTGDDASYAYDDEMSARKILPFDDDKGPLVSVKPDPRIDSKASVFIANFHAARISESERQIFQQAAGNAA >Potri.001G107300.1.v4.1 pep chromosome:Pop_tri_v4:1:8615110:8620537:1 gene:Potri.001G107300.v4.1 transcript:Potri.001G107300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G107300.v4.1 MYPSLIHRSKSLSEQLRTRIFIRLMGGGPRTFPGGLNKWQWKRLHEKKAKEKEKRLLDQEKQLYQARMRSNIRSKLAGQPDPNLNPDPSKFNPMSPKEHIKALADRFMKEGAEDLWNEMDGPLKAPSDERPGFVGTNQRPGSINSPLDLRKLMSEGRNVSRHREENGFNYVKNRDYSTRRDLDFGSSGVSVKPLVRKQRKFRINESSSSDDDEDYGFVNDKVMNFGRDSGNERGAVSNSRNVSEFMKNKGFETQKQRRFGRNESVDLEGGGERRGRSAKEIGSRDALGKYDVKKTRRVPSKELEKNDFANEVELIRYELGRKKKLAGNDGDNEDEDSILSDKRFDECGLSPLTVKALTAAGYVQMTRVQEATLSVCLEGKDAMVKAKTGKGKSAAFLLPAIEAVLKARSSNAKLRVSPIYVLILCPTRELASQIAAEANAILKYHDGIVMQTLVGGTRFKDDQRCLESDPCQILVATPGRLLDHIENKSGLSMHLKGLKMLILDEADHLLDLGFRKDVEKIVDCLPRQRQSLLFSATIPKEVHRISQLVLKREHDFVNTVGVSCMETPAKIKQSFLVSPHELHFQVVHYLLKEHIQKAPDYKVIVFCTTGMVTSLMYLLLREMKMNVREMHSRKPQLYRTRVSDEFQESNRLVLVTSDVSACGMNYPDVTLVIQVGIPCDREQYIDRLGRIGHEGKDGGGILLLAPWEEYFLDELKDLPLDKFPLPKMDSETKLKMEESMSKIDSSVKEGAYHAWLDYYNSIREIGRDKTSLVDLANRFSESIGLQKPPSLCRKTALKMGLKDIPGIRIRR >Potri.014G130000.1.v4.1 pep chromosome:Pop_tri_v4:14:8704599:8708153:1 gene:Potri.014G130000.v4.1 transcript:Potri.014G130000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G130000.v4.1 MTVTTDFSRLSEDVVLNILSKLEDDPRNWARLACVCSRFSSLVRDICWRSKCNKTIPSVVADLLPSPDSSFPGGWSALYKLAVCCPGLLHAGVLLELSDFGLERELGPDDYFRKSKTTPPIPHQPQQASDSCSNLENCDSSWSLFDDLYYDTVYNVSESQDGPAASCEGNEDVIGDNDDKSVDVVDKAGVDFSVSKRRKVCCRSSRSHLASGVWNLCREQGNKLLASRFRGDCLYICDWPGCVHTEEKRSYMLFRGIFKNFKKSRVWRTVHDGNRSKTDLNCAFCECKETWDLNSAFCLKRGCGYHDDGEPVVRAYVCENGHVSGAWTDWPLYT >Potri.014G034100.3.v4.1 pep chromosome:Pop_tri_v4:14:2122769:2128187:1 gene:Potri.014G034100.v4.1 transcript:Potri.014G034100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034100.v4.1 MLVAAIMDIVTSNCESLEKVSFKPSLPGNAEMRDIAAAIEVVEEGGLQMDNGGENEDDDDGGRGMKGIGIKILEGTTVLGLSRTSGLVDLENSDAGHVESFSHTPKTVALLHKHDRLLAKENLSSAVVPGLWDDLQCQHVAVPFAAWALANWAMASEINRYHIQELDRDGQAVMTALMAPERSVKWHGSLVAQLLLKDRNLPLNDSVSDWSSSLLATISQASKNDDIPLVQMALSAFLLSVERSPDARKIVMEKGLQLMRDTAKKTTKHKQVQEALAKALELLSTGDVHLSLEDSQKWSGILLLWVFAKVSSSATRSSAIKILSCIFEEHGPSTLPISQGWLAILLNEVLVSSKASFEGGTQPKGDKVKTQIDQSNILFATQTANQLAGAVVNLARNQLGTDIDSFDTLPLADLLSMEPFIGPLKNIKKDAPKSKAADSALATLKGIKALTELCAKDSLCQEKISEFGVLCLVRRFLLSDDYEKLAAMEAYDASRAPESQERGANTAGESSNANGNDPSSVRVPPTAHIRKHAARLLNIISLLPKVQKVILADKAWYEWLEDCANGRIAGCSNLKIRSYARATLLNVLCNQYTGSESTNSNASETEAGNGRGDCARYGDMIFLINPDLPHWKYCEKIDSMTIQKNKSSSIEDSIASDGSTGTSASDAHNRSYDCNDSPKDSDSNVPEIDVVFVHGLRGGPYKTWRISEDKLSSKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFTLKYKTNLTQWSGASLPLQEVSSKLLEQLLDAGIGNRPVVFVTHSMGGLLVKQMLHRAKSENIHNLVNNTAGLVFYSCPHFGSKLADMPWRMGLVLRPAPTIGELRSGSPRLVELNDFIRQLHKKGLVEVVSFCETKVTPIVEGYGGWAWRMEIVPIESAYPGFGELVVLDSTDHINSCKPVCRTDPSYIETLNFLQKMKAHYSGRDVSP >Potri.014G034100.2.v4.1 pep chromosome:Pop_tri_v4:14:2121434:2128176:1 gene:Potri.014G034100.v4.1 transcript:Potri.014G034100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G034100.v4.1 MLRHCCRNRSYLRLPLPRSFSSCKNPIEPPNNLKSSHYILHKPPILHQNHLINSTATSTASSLSRNSVLALSATLVSAVLASLAYNNLKSTNPDPNGSRDYNPLYAAIEQKISKSNESLRRIFYHARKTGVAASVLWQSLSSVLSSANHEVRVGFELRVAALLADIAAANAARRAALVEAGGGAVVDWLLETVAVGGDGSGSQAEAARALAYLIADPNVSADVLGRPHAVPYLLRFIFSCQPKKSQSRRSSFDISDSLKGRSMLVAAIMDIVTSNCESLEKVSFKPSLPGNAEMRDIAAAIEVVEEGGLQMDNGGENEDDDDGGRGMKGIGIKILEGTTVLGLSRTSGLVDLENSDAGHVESFSHTPKTVALLHKHDRLLAKENLSSAVVPGLWDDLQCQHVAVPFAAWALANWAMASEINRYHIQELDRDGQAVMTALMAPERSVKWHGSLVAQLLLKDRNLPLNDSVSDWSSSLLATISQASKNDDIPLVQMALSAFLLSVERSPDARKIVMEKGLQLMRDTAKKTTKHKQVQEALAKALELLSTGDVHLSLEDSQKWSGILLLWVFAKVSSSATRSSAIKILSCIFEEHGPSTLPISQGWLAILLNEVLVSSKASFEGGTQPKGDKVKTQIDQSNILFATQTANQLAGAVVNLARNQLGTDIDSFDTLPLADLLSMEPFIGPLKNIKKDAPKSKAADSALATLKGIKALTELCAKDSLCQEKISEFGVLCLVRRFLLSDDYEKLAAMEAYDASRAPESQERGANTAGESSNANGNDPSSVRVPPTAHIRKHAARLLNIISLLPKVQKVILADKAWYEWLEDCANGRIAGCSNLKIRSYARATLLNVLCNQYTGSESTNSNASETEAGNGRGDCARYGDMIFLINPDLPHWKYCEKIDSMTIQKNKSSSIEDSIASDGSTGTSASDAHNRSYDCNDSPKDSDSNVPEIDVVFVHGLRGGPYKTWRISEDKLSSKSGLVEKIDEEAGKLGTFWPGEWLSADFPQARLFTLKYKTNLTQWSGASLPLQEVSSKLLEQLLDAGIGNRPVVFVTHSMGGLLVKQMLHRAKSENIHNLVNNTAGLVFYSCPHFGSKLADMPWRMGLVLRPAPTIGELRSGSPRLVELNDFIRQLHKKGLVEVVSFCETKVTPIVEGYGGWAWRMEIVPIESAYPGFGELVVLDSTDHINSCKPVCRTDPSYIETLNFLQKMKAHYSGRDVSP >Potri.011G109600.5.v4.1 pep chromosome:Pop_tri_v4:11:13908931:13913923:-1 gene:Potri.011G109600.v4.1 transcript:Potri.011G109600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109600.v4.1 MNPYERPLTPHEQTLRDEVIYLHSLWHQGPPALNPNPYVYPPNHVNYSSRNLHVSNPTSFKKTNRHKTTYQKAKDSNVPPPGPVPDPQPDPGPEWPVNPPQPSSPQSGSGWPEFKSNLSTSARPVSEVDLGKVAAMHMQQKVVKCCHQFFVKRFDLDGNEDNGLDEFDGDEHCYYHDNDVEESEEFKFLLSLFVENQEIRDFYEKNNENGDFYCLICGGIGEKVGKVYRGCTGLVQHARTISKTKRKGAHRAFSHLICKVLGWDISRLPMIVLKGEPLSRTFANSGRTENFSDEGDGKKVHEDLSNDVPNIEAYKNGATECLGCEPPLVSDVQWLSQKYVDESPSTTVGWPTLKTCRSSEASAEELERFAMVQLQQKVLDECQNFLANPSGSICDEGEEDGDPDDWMDEDGSDECEEFKFFLRLFTDSNELRNYYENHYEGGEFCCLVCCALKKKGWKKFKGCLGLLQHTTAISRTKKKKAHRAYAQVICKVLGWDVDQLPRIVLKGEPLGHSMAKSGILQGEPEINAGCGDEDSSFLQTETVHGNVSVSASREYSGICQKNCVQVSCKVRKL >Potri.011G109600.7.v4.1 pep chromosome:Pop_tri_v4:11:13908720:13913923:-1 gene:Potri.011G109600.v4.1 transcript:Potri.011G109600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109600.v4.1 MNPYERPLTPHEQTLRDEVIYLHSLWHQGPPALNPNPYVYPPNHVNYSSRNLHVSNPTSFKKTNRHKTTYQKAKDSNVPPPGPVPDPQPDPGPEWPVNPPQPSSPQSGSGWPEFKSNLSTSARPVSEVDLGKVAAMHMQQKVVKCCHQFFVKRFDLDGNEDNGLDEFDGDEHCYYHDNDVEESEEFKFLLSLFVENQEIRDFYEKNNENGDFYCLICGGIGEKVGKVYRGCTGLVQHARTISKTKRKGAHRAFSHLICKVLGWDISRLPMIVLKGEPLSRTFANSGRTENFSDEGDGKKVHEDLSNDVPNIEAYKNGATECLGCEPPLVSDVQWLSQKYVDESPSTTVGWPTLKTCRSSEASAEELERFAMVQLQQKVLDECQNFLANPSGSICDEGEEDGDPDDWMDEDGSDECEEFKFFLRLFTDSNELRNYYENHYEGGEFCCLVCCALKKKGWKKFKGCLGLLQHTTAISRTKKKKAHRAYAQVICKVLGWDVDQLPRIVLKGEPLGHSMAKSGILQVSFVCDDEGSFLLLAVV >Potri.011G109600.6.v4.1 pep chromosome:Pop_tri_v4:11:13908897:13914181:-1 gene:Potri.011G109600.v4.1 transcript:Potri.011G109600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109600.v4.1 MNPYERPLTPHEQTLRDEVIYLHSLWHQGPPALNPNPYVYPPNHVNYSSRNLHVSNPTSFKKTNRHKTTYQKAKDSNVPPPGPVPDPQPDPGPEWPVNPPQPSSPQSGSGWPEFKSNLSTSARPVSEVDLGKVAAMHMQQKVVKCCHQFFVKRFDLDGNEDNGLDEFDGDEHCYYHDNDVEESEEFKFLLSLFVENQEIRDFYEKNNENGDFYCLICGGIGEKVGKVYRGCTGLVQHARTISKTKRKGAHRAFSHLICKVLGWDISRLPMIVLKGEPLSRTFANSGRTENFSDEGDGKKVHEDLSNDVPNIEAYKNGATECLGCEPPLVSDVQWLSQKYVDESPSTTVGWPTLKTCRSSEASAEELERFAMVQLQQKVLDECQNFLANPSGSICDEGEEDGDPDDWMDEDGSDECEEFKFFLRLFTDSNELRNYYENHYEGGEFCCLVCCALKKKGWKKFKGCLGLLQHTTAISRTKKKKAHRAYAQVICKVLGWDVDQLPRIVLKGEPLGHSMAKSGILQVSFVCDDEGSFLLLAVV >Potri.011G109600.8.v4.1 pep chromosome:Pop_tri_v4:11:13908858:13913923:-1 gene:Potri.011G109600.v4.1 transcript:Potri.011G109600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109600.v4.1 MNPYERPLTPHEQTLRDEVIYLHSLWHQGPPALNPNPYVYPPNHVNYSSRNLHVSNPTSFKKTNRHKTTYQKAKDSNVPPPGPVPDPQPDPGPEWPVNPPQPSSPQSGSGWPEFKSNLSTSARPVSEVDLGKVAAMHMQQKVVKCCHQFFVKRFDLDGNEDNGLDEFDGDEHCYYHDNDVEESEEFKFLLSLFVENQEIRDFYEKNNENGDFYCLICGGIGEKVGKVYRGCTGLVQHARTISKTKRKGAHRAFSHLICKVLGWDISRLPMIVLKGEPLSRTFANSGRTENFSDEGDGKKVHEDLSNDVPNIEAYKNGATECLGCEPPLVSDVQWLSQKYVDESPSTTVGWPTLKTCRSSEASAEELERFAMVQLQQKVLDECQNFLANPSGSICDEGEEDGDPDDWMDEDGSDECEEFKFFLRLFTDSNELRNYYENHYEGGEFCCLVCCALKKKGWKKFKGCLGLLQHTTAISRTKKKKAHRAYAQVICKVLGWDVDQLPRIVLKGEPLGHSMAKSGILQVSFVCDDEGSFLLLAVV >Potri.011G109600.2.v4.1 pep chromosome:Pop_tri_v4:11:13908932:13914578:-1 gene:Potri.011G109600.v4.1 transcript:Potri.011G109600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G109600.v4.1 MNPYERPLTPHEQTLRDEVIYLHSLWHQGPPALNPNPYVYPPNHVNYSSRNLHVSNPTSFKKTNRHKTTYQKAKDSNVPPPGPVPDPQPDPGPEWPVNPPQPSSPQSGSGWPEFKSNLSTSARPVSEVDLGKVAAMHMQQKVVKCCHQFFVKRFDLDGNEDNGLDEFDGDEHCYYHDNDVEESEEFKFLLSLFVENQEIRDFYEKNNENGDFYCLICGGIGEKVGKVYRGCTGLVQHARTISKTKRKGAHRAFSHLICKVLGWDISRLPMIVLKGEPLSRTFANSGRTENFSDEGDGKKVHEDLSNDVPNIEAYKNGATECLGCEPPLVSDVQWLSQKYVDESPSTTVGWPTLKTCRSSEASAEELERFAMVQLQQKVLDECQNFLANPSGSICDEGEEDGDPDDWMDEDGSDECEEFKFFLRLFTDSNELRNYYENHYEGGEFCCLVCCALKKKGWKKFKGCLGLLQHTTAISRTKKKKAHRAYAQVICKVLGWDVDQLPRIVLKGEPLGHSMAKSGILQGEPEINAGCGDEDSSFLQTETVHGNVSVSASREYSGICQKNCVQNTSNEGIVNEHGNDLEKESIKADKLSLVGSKASLKERGGNYKKQNIAFWVNNRCWPETKQSQSMRKANPLEEGCEGEDR >Potri.007G062342.1.v4.1 pep chromosome:Pop_tri_v4:7:7094124:7095108:-1 gene:Potri.007G062342.v4.1 transcript:Potri.007G062342.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062342.v4.1 MGTVEDMTKGVNYASAGAGVIFSSGSELGQRISFTQQIQQFTDTLQSFILNMGEAAANELISNSVFYVSIGVNDYIHYYLRNVSNIQNLYLPWSFNQFVAAAGNKEQNLYNMNVRKVILMGLPPIGCAPYYLWRYNSKNGECIEEINDMILEYNFVMRYMIEELGLELPDAKITFCDMYEGSMDIIKNHELYGFNVTTDACCGLGKYKGWIMCFASEIACSNATNPVGSIPSN >Potri.001G054900.1.v4.1 pep chromosome:Pop_tri_v4:1:4146456:4150418:-1 gene:Potri.001G054900.v4.1 transcript:Potri.001G054900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G054900.v4.1 MEFSQDDFDRLLMFEHARKTAEATYARDPLDATNLTKWGEALLELSQFQTVAEAKKMINDAISKLEEALMLNPTSNAMWSIGNANTSYAFLTPDLDEAKSYFDKAANYFQQAVDEDPNNELYRKSLEVCAKAPELHTEIHKHSSSQQIMGGGGSTASSNAKGSKKKTNSDLKYDIFGWIILAVGIVAWVGMAKSNVPPPPR >Potri.006G006900.1.v4.1 pep chromosome:Pop_tri_v4:6:483477:484416:1 gene:Potri.006G006900.v4.1 transcript:Potri.006G006900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G006900.v4.1 MVSEIGTLMVDCLATSYLSRLHPNKAHLEESGDEERAEVEAHEGHIHVHAHATHGYSHGSGDSSGSRISLGASASPQTIRPLVAALSFHQLFEGMGIGGCITQAKFKTKTIVIMALFFSLTTPVGIAIDIGISNVYNESSPNALIVEGIFNAASAGILIYMALVDLLAADFMHPKVQSNGALQFGVNVSLLLGVCCMSLLAKWA >Potri.011G122500.1.v4.1 pep chromosome:Pop_tri_v4:11:15280524:15289727:-1 gene:Potri.011G122500.v4.1 transcript:Potri.011G122500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G122500.v4.1 MSRNDTTTDKNDGEEEQVVVVEEEEEEHFYESLDRIASSSCSTSNSDSDPDQTRSNSPRLNSKLHVSNYDVWISQPESISERRQRLLHNMGLSSDPSLSRSKPETTHGDFYFKRSVSSDRLIAEKLSGSVSSCSSAIHRSKSDGGGGRSVDHDNDFNSCCSSSSVYCSPSSILLQDSINVNSDDSNYNSNTNNNINNSKLSNNGFGVVGCGKKSKSKNGASPKDGSSSGSVNVVVSPNKPPSGKQHCSNSANSNENFNGSLSVGSSVEFAEELECSGSADADGAVAAEGEVEVCTIKNLDNGKEFVVNEIREDGMWNKLKEVGTGRQLTMEEFEMSVGHSPIVQELMRRQNVEDGTRGNLDSNANGGIGGGVTKFKKKGSWFGSIRSVANSVTRHKERRSSDERDTGSERGGRRSSSATDDSQDVSFHGPERVRVRQYGRSSKELSALYKSQEIQAHNGSIWSIKFSLDGRYLASAGEDCVIHIWQVKQSERKGELLMEKPDDGGLNLLLIANGSPEPNLLSPLVDSHLEKKRRGRSSISRKSLSLDHIFVPETVFSLTDKPICSFQGHLDDVLDLSWSKSQHLLSSSMDKTVRLWHMSSKTCLKVFSHSDYVTCIQFNPVDDRYFISGSLDAKVRIWSIPDRQVVDWNDLHEMVTAACYTPDGQGALVGSHKGSCRLYNTSENKLQQKCQINLQNKKKAHLKKITGFQFAPGSSSEVLITSADSRIRVIDGFDLVHKFKGFRNTNSQISASLTTNGKYVVSASEDSYVYVWKHEADSRLSRSKGVTITRSYEHFLCQDVSVAIPWPGMADTWGLQDTLSGEQNGLDNHLDEVSIVNHPPTPVEEASNEGSQSLTGCTNSPMNGIISSATNGYFFDRISATWPEEKLDLATRTRSHHASVDISNGLSQNVSAYGMVIVTAGLRGEIRTFQNFGLPVRI >Potri.018G070600.1.v4.1 pep chromosome:Pop_tri_v4:18:8512853:8515238:1 gene:Potri.018G070600.v4.1 transcript:Potri.018G070600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G070600.v4.1 MGAAEDMPSSSSDNDNSNNSETQVVLNVYDLTPLNQYTYWFGFGIFHSGIEVHGKEYGFGAHDFPVSGVFEVEPRNCPGFIYRCSILLGRITMPPSEFRTFIESAASEYHGDTYHLISKNCNHFTEDISCRLIGKRIPGWVNRLARLGVLCSCLLPESLQVTTVKQLPEYHECLEEDGSDSLATTTPYESTEIDDTDQEKHLLSPSTVSGDVAFVKEAHK >Potri.008G010000.1.v4.1 pep chromosome:Pop_tri_v4:8:487365:489735:1 gene:Potri.008G010000.v4.1 transcript:Potri.008G010000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010000.v4.1 MARSRRRVALSFLFSFKGLASTIAVMGCFFFTCSFLLNVHVGSSTPTDHDVLGLKQYERNDGRHPLSLTSNALKAQLKETVRSVVDYQGLVLMHGSIARKLKLENSKLVRNFAEISINFTDLIKKASHCDVSDSNTALVHRFLKQAKEKVKFAKQLISNSKGSFDSQLKIQKLKDTIFGLEGQMTKMKTKGELAKSIAAKAIPRNLHCLALRLMQERIENPIRYINKQTKSRQPRQEFEDPNLYHYAIFSDNVLAASVVVNSVVQNAKEPWKHVLHIVTERTTLAAMKVMFKLKDHNGTHIEVKAVEDYKFLNSSYVPVLRQQESAELLGYYYGNGLENSTTGSSNLKFRNPKYLSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWEIDMDGKVNGAVETCFGSFHRYDKYLKFDHPLIKETFDPKACAWAYGMNIFDLDSWRRDNCTEKYHYWQELNGNRTLWRLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPGLSEEKIQNAAVIHYNGDSKPWLATAIPRYQPLWTKYVDYDLEFFRACNFAP >Potri.008G010000.2.v4.1 pep chromosome:Pop_tri_v4:8:486023:489735:1 gene:Potri.008G010000.v4.1 transcript:Potri.008G010000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010000.v4.1 MLAMLDFTIIVLATNQTQFMVFIFAEEMSPLVYVASASRKQVATSYSCVLTAPRPLYGMINACYASQLPRLLETQPYGYVYNNSRLETADVLANDFLPRFIESVGQSKSMFVRDCYNGTGNEMRSRRRVALSFLFSFKGLASTIAVMGCFFFTCSFLLNVHVGSSTPTDHDVLGLKQYERNDGRHPLSLTSNALKAQLKETVRSVVDYQGLVLMHGSIARKLKLENSKLVRNFAEISINFTDLIKKASHCDVSDSNTALVHRFLKQAKEKVKFAKQLISNSKGSFDSQLKIQKLKDTIFGLEGQMTKMKTKGELAKSIAAKAIPRNLHCLALRLMQERIENPIRYINKQTKSRQPRQEFEDPNLYHYAIFSDNVLAASVVVNSVVQNAKEPWKHVLHIVTERTTLAAMKVMFKLKDHNGTHIEVKAVEDYKFLNSSYVPVLRQQESAELLGYYYGNGLENSTTGSSNLKFRNPKYLSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWEIDMDGKVNGAVETCFGSFHRYDKYLKFDHPLIKETFDPKACAWAYGMNIFDLDSWRRDNCTEKYHYWQELNGNRTLWRLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPGLSEEKIQNAAVIHYNGDSKPWLATAIPRYQPLWTKYVDYDLEFFRACNFAP >Potri.008G010000.3.v4.1 pep chromosome:Pop_tri_v4:8:486023:489735:1 gene:Potri.008G010000.v4.1 transcript:Potri.008G010000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G010000.v4.1 MGCFFFTCSFLLNVHVGSSTPTDHDVLGLKQYERNDGRHPLSLTSNALKAQLKETVRSVVDYQGLVLMHGSIARKLKLENSKLVRNFAEISINFTDLIKKASHCDVSDSNTALVHRFLKQAKEKVKFAKQLISNSKGSFDSQLKIQKLKDTIFGLEGQMTKMKTKGELAKSIAAKAIPRNLHCLALRLMQERIENPIRYINKQTKSRQPRQEFEDPNLYHYAIFSDNVLAASVVVNSVVQNAKEPWKHVLHIVTERTTLAAMKVMFKLKDHNGTHIEVKAVEDYKFLNSSYVPVLRQQESAELLGYYYGNGLENSTTGSSNLKFRNPKYLSMLNHLRFYLPEMYPKLHKILFLDDDVVVQKDLTGLWEIDMDGKVNGAVETCFGSFHRYDKYLKFDHPLIKETFDPKACAWAYGMNIFDLDSWRRDNCTEKYHYWQELNGNRTLWRLGTLPPGLITFYSTTKPLDKSWHVLGLGYNPGLSEEKIQNAAVIHYNGDSKPWLATAIPRYQPLWTKYVDYDLEFFRACNFAP >Potri.012G041300.1.v4.1 pep chromosome:Pop_tri_v4:12:3688211:3692287:-1 gene:Potri.012G041300.v4.1 transcript:Potri.012G041300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G041300.v4.1 MAMIEFSQEWKSGFPIDTVSKAPLLLSKQTSESLIGPLVFNPIPESLAHLFTSPALSPPLLNPPPHLSLTRFISTSTLADSPLPLSTASSIAFSFGPQDLHFSSPLLAYNRLQFLKCPHDDTVVVFFSTGTNLDRVGFLLLSVKDKSLVATGDQKGGIFTASKSLGSKIVRVLVNPIEDDSFLNGNYSFSGSFGYLLVYTMYSVNWFCVKYSESMKRPVLSYLGCKNFKSCGIASACWSPYIKVQSVVLLENGTLFLFDLEADCSDMYFRGTKLKVSWGDEGKLGDGKWLGCEFSWHCRVLIVARSDAVFMIDWKCGGFDVTCLARIDMFSAYALSEKERFLAMSRAVSDSLHFVLVSETMLVICDVRKPMIPLLQWAHGLDKPCFIDVFRLSDLRSNSRDDTHDWANSSGFGIILGSFWNCEFSLFCYGPSFPPRKGSFALEISKFSSCLYAWDHPSGLMLSGDDCQRGDCLVREQFWKEALPEWTDWQQKKDIVLGFGVLSNDLSSLLFEPDEFGGFVLIRLMSSGKLESQRYCASWELVKNIEVAQRDPMLHSEDNLLYFMGDEEYKVPRKFKYFELNYLHAHLNGNLSQVLDSNMAKPCECPHEKELFSLEFHEVLCKKLKICGFGQFRTSPAITVTFNDINLPTSIHEVALRRMWAELPMEFLQLAFSSYSELHEVLLDQKRVALEFSVVPELPQLPPFFLRKPSNHSNRCLRKVQSSDALVGPALPLPILSTLHELRNGCPNSQEETGGFSSESELSVRCNEVMQVAKEVAVSDSTTKLQDDNAISLDDDRDDFLDHSEKPKSFLLYHPTACQLSFQVHKEDNCVYQNDKFASMITKVHEKQSPHPEKVETFKLEFFDDLCPIDLKFDAREVKFSSQESKISNLLKKNFSKWQEEFTPYREFCSRFTSPRDKMA >Potri.010G160700.3.v4.1 pep chromosome:Pop_tri_v4:10:16654702:16657772:1 gene:Potri.010G160700.v4.1 transcript:Potri.010G160700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G160700.v4.1 MARPSLSLPLILIFPLLFHLALAKTLKRDVKALNEIKASLGWRVVYAWVGDDPCGDGDHPPWSGVTCSTVGDYRVVTELEVYAVSIVGPFPTAVTNLLDLTRLDLHNNKLTGPIPPQIGRLKRLKILNLRWNKLQDVIPPEIGELKSLTHLYLSFNAFKGEIPKELAILPELRYLYLHENRFSGRIPAELGTLKNLRHLDVGNNHLVGTIRELIRLDGCFPALRNLYLNDNYLTGGVPAQLSNLTSLEILHLSHNRMTGIIPVGLAHMPRLTYLYLDHNNFNGRIPDAFYKHPYLKELYIEGNAFKPGVNPIGVHKVLEVSDTEFVV >Potri.001G455600.5.v4.1 pep chromosome:Pop_tri_v4:1:48189863:48219027:-1 gene:Potri.001G455600.v4.1 transcript:Potri.001G455600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455600.v4.1 MFEGLVHRVLVGYLGRYFKNIQKEQLKLSLWNEEVLLENVDLIPEAFDYLRLPFSIKQGRVGRLSIKLSWKKIGWDHPIIIAVEDVFICLSQREDQEWNLDAVERREFAAKKAQLAAAELSKLSKRICDNQAGKSFISYITAKVLDSIQLSIRNFHVQYSERQFDSAQVLFGLQFSNLTVKQNLVGSFGAKMVGGQVNKTASIEGLEIYCTTSKGDIDSMGLDDAVDSKSWCSARNGGNEFDYLLQPLNVSVSLGVNRAGKLDSDLPQYSIRADLNELAVSLNEIQLQRILILSDYLSTSSLREKYGRYRPWGCPLSRKQDGWQRLWWHYAQESILADVRLKLKKTSWRYLGQRLSFRRKYINLYQTKLEFLHREQAIDEYIIQDLEQMEKESDIDDILSYRSVAELKLQEVLSNSLSSNMEVNGAHSFIEKSQNDERSSSGSRGWLNWISRGMLGAGGTDDSTQFSGVVSDEVVKDIYEATEFQPSVLSSGDVDANYKMFTCAMKFTVGCITATLQSKSYSKKIADLIFNELVIECKLWEELATVVCHFRSGEMFDPCNKRVILQIGRSLTDGNVREDEPPSCRFQVDISPKRDIELSVKVMLQLLEVSCDLELFLSLWELFTVFKSFEFQLERVLLSLNGIEDVRTRLLSKVEYILSSHKKLSWDVNVINIIINVPWRKATQEEHKLVLKLKSFSYTSKFDADSVASIIEEQSSIPKRFSSSISASNIFTGFQIQDLYNYFEVKLNDIELILITPQHAQAISILEKFCASVALASCIISDQSVLKQLEVYVNLSALNASFSPPIYESVVAFIAHMENLCSRSEPLMLKNPNSPVVITNRPGASDFGFGFSVSARLDLVSFCFDLANDGENSSELVFSLQGLDIWLSHTQFDEFWVCTKALKITTSPLRGENDGHILCLSGKQLSSNSANHEDLGIRHGNQDGNLERSLTEVCFLLHYEAYRRADTVVNKCTVGLNDIDFHCYPYIVGLLVGFYNKLSAYGSPFTSDDSFSLVTEAKSPRRRAGLEFERFGYSNFIETGSSDHASISLDNYPFVTLCNSASLGSIESSLHYPIADWRRLFNLRERKIKSTKFCLKNGLKTFDASPLTFTSVVDTSAAPGIISDANLLNIDINLCGVRVHFHDSSCIVGTVALPTLKSSLSIYEDSMDLLCSAEGLVLTSSWWTKNFQEFLWGPSLPNLSPILNLRVRKGKFGSLPSELEVSIGIQHVYCMLPPEFLAIIIGYFSLPDWSLNLSEQPMKMENKSHVVYKFEILDSTLILPVEHDDHQFLKIEIQQLFCSFIDKCAPNDAMMNIPPDYMVPAHKVAKANHCLNMFGRDLSLSFLLSREDEHGCLELDQDTGCGNITLIAALSLDLWVWLPCDDESCFESSSVSTCIMSRITDCQLIADDCYSLDGFEALLDVIDQFSSVDDQSKNFGSDVLHFLQWKRSQKENCEVSPAASGTVSLEVQCSVDSLLIKLYHSREGSTLLEPIAKIDVKFKCSASLVNETLMVLDFGFSSLALYSLPSSVLLAQCTGSSSASSALHLCFLKSVEGENELNISLPSVSIWLHLFDWTGIIDLCNSYAKRIAENEAVRASSMSSSKDLVDPTETVICAVSQNSPQNISVPSSYVHNYVRQDSVSLIVRSENIGLTVHFPVCATETLPGEIQAAIVQERRPQDVASNTTERKNNKFIAITTHSRRTELSMVGKIVTLKCSLQKAMGTVGICEDESITTWPLFETSQVVVSTEIFNSQLESVNINLGVQCDRLDVQLSHQVLCFWHGVQLDIAEAGTSRSLFGHMDFKIQLRKISFLVSDERWSFGGPLLEIAMRNFLLHAIVTENSMESSVASDLEVNYNNIHKVLWEPFVEPWKFQINMIRKQEMTAHLNSSILTDIDVTSTMQLNLNCTESLIECFFRTLEMVNDAWHLGPNDPFENQRSSSSQLSENVHEGSYAPYVLQNLTSLPLGYHVFKGLVNADEFDFSEMKDAKSIQPGSSVPIYLNETLEEQLFRCGPAQSSDRLSEKQSNGAVHHFMSIQLDGMFLPSPPISMDLVGLTYFEVDFTKVLKRTEMEKTRNVSKYDMDLEENARFNTDGGFVVPVVFDVSVQRYTKLIRLYSTVILANATSVPLELRFDIPFGLSPKVLDPIYPDQEFPLPLHLAEAGRMRWRPLGNSYLWSEVHDISNILSHESKIGFLRSFVCYPSHPSSDPFRCCISVHSFSLPSSKKLKKGSYNTLRQSFESFDGDQKKSSNRFIHQVTLSAPLVVINYLPDEVSLAIESGGVTRTVLLSELETSFHHIDPSYDLGMEFCIHGFRPSTLKFPRAETFCTMAKFSGTKFSLTDTVSFDSDSSDGLLCVTVEKMMDAFSGARELFIYVPFLLYNCTGFPLNISECNSEMKGSHCTIPSCYVLVEDECLQGRKDGLSHLSFDQDSHLRAPHIISSGSSSSKNNVLLSRRDATSHLGRVISKPLILSSSSGPLQEQSDKHDLVCQKASFDKCSSTDSIDTGRGEVKACMYSPHGVSSANEIMVRVSRHEFVMENASHSTWSRPFLLIPPSGSSTVFVPQSSSNSALIISVTSSDVAGSFAGRTQAIAFQPRYIISNVCSKKICYKQKGTDYSVRLGIGQHHHLHWKDTTRELLVSICFDEPGWEWSGSFLPDHLGDTQVKMRNNAGVLRMIRVEVQNANVSVKDEKIIGSLHGNSGTNLILLSDDDTGFMPYRIDNFSKERLRVYQQKCENFDTVIHPYTSCPYAWDEPCFPHRLTVEVPGQRVIGSYALDDLKEYIPVQLKATAEKPERTLLLSVHAEGAIKVLGIVDSSFHVLKDVKDPSPPWFREKTKHEQKQKDVFYYKEKFSVTIPYIGICLINSFPQELLFACAQNISLNLLQSLDQQKISFQISSLQIDNQLQTTPYPVILSFNQEYRGSTEGQRVKDDIAKSKSDRVLQRSREPILSLAVATWRKKDISLVSFEYISLRVANFRLELDQEVILRLLDFYKAVSSRFQSNVLPFSDPKHPPLLCDGGFIHAQTREYFKTIDSQLLGINLSSLSKSQINSAALPPVVPIGAPWQHISFLDGRQKKIYVELFDLAPVKFTLSFSSSPWMLRNGILTSGESLIHRGLMALADVEGARIHLKQFRIEHQMASWESMQDILIRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSFLQSPTGLITGMAQGTTSLVSNTVYALSDAATQFSKAAQKGIVAFTFDDQSVARMEKQQKGAASHSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIAFGVAGLVARPAASILEVTGKTAQSIRNRSRLYQMGPQCYRVRLPRPLSRELPLRPYSLEEAVGTSVLMEADDGLYLKNEVLVICKSLKQAGKFVVVTERLVLTVSSPGLVDLGKPEFRGVPIDPEWLVESEISLDSVIHVDAVEEVVHIVGTRSDALLKQNQHQSKKGVLTRTKSWNNRTSLPLSLTNLELASMNDAKELLQILLSTIAQGKERRLGSGYVLHRSNIM >Potri.001G455600.1.v4.1 pep chromosome:Pop_tri_v4:1:48189863:48210182:-1 gene:Potri.001G455600.v4.1 transcript:Potri.001G455600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455600.v4.1 MLQLLEVSCDLELFLSLWELFTVFKSFEFQLERVLLSLNGIEDVRTRLLSKVEYILSSHKKLSWDVNVINIIINVPWRKATQEEHKLVLKLKSFSYTSKFDADSVASIIEEQSSIPKRFSSSISASNIFTGFQIQDLYNYFEVKLNDIELILITPQHAQAISILEKFCASVALASCIISDQSVLKQLEVYVNLSALNASFSPPIYESVVAFIAHMENLCSRSEPLMLKNPNSPVVITNRPGASDFGFGFSVSARLDLVSFCFDLANDGENSSELVFSLQGLDIWLSHTQFDEFWVCTKALKITTSPLRGENDGHILCLSGKQLSSNSANHEDLGIRHGNQDGNLERSLTEVCFLLHYEAYRRADTVVNKCTVGLNDIDFHCYPYIVGLLVGFYNKLSAYGSPFTSDDSFSLVTEAKSPRRRAGLEFERFGYSNFIETGSSDHASISLDNYPFVTLCNSASLGSIESSLHYPIADWRRLFNLRERKIKSTKFCLKNGLKTFDASPLTFTSVVDTSAAPGIISDANLLNIDINLCGVRVHFHDSSCIVGTVALPTLKSSLSIYEDSMDLLCSAEGLVLTSSWWTKNFQEFLWGPSLPNLSPILNLRVRKGKFGSLPSELEVSIGIQHVYCMLPPEFLAIIIGYFSLPDWSLNLSEQPMKMENKSHVVYKFEILDSTLILPVEHDDHQFLKIEIQQLFCSFIDKCAPNDAMMNIPPDYMVPAHKVAKANHCLNMFGRDLSLSFLLSREDEHGCLELDQDTGCGNITLIAALSLDLWVWLPCDDESCFESSSVSTCIMSRITDCQLIADDCYSLDGFEALLDVIDQFSSVDDQSKNFGSDVLHFLQWKRSQKENCEVSPAASGTVSLEVQCSVDSLLIKLYHSREGSTLLEPIAKIDVKFKCSASLVNETLMVLDFGFSSLALYSLPSSVLLAQCTGSSSASSALHLCFLKSVEGENELNISLPSVSIWLHLFDWTGIIDLCNSYAKRIAENEAVRASSMSSSKDLVDPTETVICAVSQNSPQNISVPSSYVHNYVRQDSVSLIVRSENIGLTVHFPVCATETLPGEIQAAIVQERRPQDVASNTTERKNNKFIAITTHSRRTELSMVGKIVTLKCSLQKAMGTVGICEDESITTWPLFETSQVVVSTEIFNSQLESVNINLGVQCDRLDVQLSHQVLCFWHGVQLDIAEAGTSRSLFGHMDFKIQLRKISFLVSDERWSFGGPLLEIAMRNFLLHAIVTENSMESSVASDLEVNYNNIHKVLWEPFVEPWKFQINMIRKQEMTAHLNSSILTDIDVTSTMQLNLNCTESLIECFFRTLEMVNDAWHLGPNDPFENQRSSSSQLSENVHEGSYAPYVLQNLTSLPLGYHVFKGLVNADEFDFSEMKDAKSIQPGSSVPIYLNETLEEQLFRCGPAQSSDRLSEKQSNGAVHHFMSIQLDGMFLPSPPISMDLVGLTYFEVDFTKVLKRTEMEKTRNVSKYDMDLEENARFNTDGGFVVPVVFDVSVQRYTKLIRLYSTVILANATSVPLELRFDIPFGLSPKVLDPIYPDQEFPLPLHLAEAGRMRWRPLGNSYLWSEVHDISNILSHESKIGFLRSFVCYPSHPSSDPFRCCISVHSFSLPSSKKLKKGSYNTLRQSFESFDGDQKKSSNRFIHQVTLSAPLVVINYLPDEVSLAIESGGVTRTVLLSELETSFHHIDPSYDLGMEFCIHGFRPSTLKFPRAETFCTMAKFSGTKFSLTDTVSFDSDSSDGLLCVTVEKMMDAFSGARELFIYVPFLLYNCTGFPLNISECNSEMKGSHCTIPSCYVLVEDECLQGRKDGLSHLSFDQDSHLRAPHIISSGSSSSKNNVLLSRRDATSHLGRVISKPLILSSSSGPLQEQSDKHDLVCQKASFDKCSSTDSIDTGRGEVKACMYSPHGVSSANEIMVRVSRHEFVMENASHSTWSRPFLLIPPSGSSTVFVPQSSSNSALIISVTSSDVAGSFAGRTQAIAFQPRYIISNVCSKKICYKQKGTDYSVRLGIGQHHHLHWKDTTRELLVSICFDEPGWEWSGSFLPDHLGDTQVKMRNNAGVLRMIRVEVQNANVSVKDEKIIGSLHGNSGTNLILLSDDDTGFMPYRIDNFSKERLRVYQQKCENFDTVIHPYTSCPYAWDEPCFPHRLTVEVPGQRVIGSYALDDLKEYIPVQLKATAEKPERTLLLSVHAEGAIKVLGIVDSSFHVLKDVKDPSPPWFREKTKHEQKQKDVFYYKEKFSVTIPYIGICLINSFPQELLFACAQNISLNLLQSLDQQKISFQISSLQIDNQLQTTPYPVILSFNQEYRGSTEGQRVKDDIAKSKSDRVLQRSREPILSLAVATWRKKDISLVSFEYISLRVANFRLELDQEVILRLLDFYKAVSSRFQSNVLPFSDPKHPPLLCDGGFIHAQTREYFKTIDSQLLGINLSSLSKSQINSAALPPVVPIGAPWQHISFLDGRQKKIYVELFDLAPVKFTLSFSSSPWMLRNGILTSGESLIHRGLMALADVEGARIHLKQFRIEHQMASWESMQDILIRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSFLQSPTGLITGMAQGTTSLVSNTVYALSDAATQFSKAAQKGIVAFTFDDQSVARMEKQQKGAASHSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIAFGVAGLVARPAASILEVTGKTAQSIRNRSRLYQMGPQCYRVRLPRPLSRELPLRPYSLEEAVGTSVLMEADDGLYLKNEVLVICKSLKQAGKFVVVTERLVLTVSSPGLVDLGKPEFRGVPIDPEWLVESEISLDSVIHVDAVEEVVHIVGTRSDALLKQNQHQSKKGVLTRTKSWNNRTSLPLSLTNLELASMNDAKELLQILLSTIAQGKERRLGSGYVLHRSNIM >Potri.001G455600.4.v4.1 pep chromosome:Pop_tri_v4:1:48189863:48219027:-1 gene:Potri.001G455600.v4.1 transcript:Potri.001G455600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455600.v4.1 MFEGLVHRVLVGYLGRYFKNIQKEQLKLSLWNEEVLLENVDLIPEAFDYLRLPFSIKQGRVGRLSIKLSWKKIGWDHPIIIAVEDVFICLSQREDQEWNLDAVERREFAAKKAQLAAAELSKLSKRICDNQAGKSFISYITAKVLDSIQLSIRNFHVQYSERQFDSAQVLFGLQFSNLTVKQNLVGSFGAKMVGGQVNKTASIEGLEIYCTTSKGDIDSMGLDDAVDSKSWCSARNGGNEFDYLLQPLNVSVSLGVNRAGKLDSDLPQYSIRADLNELAVSLNEIQLQRILILSDYLSTSSLREKYGRYRPWGCPLSRKQDGWQRLWWHYAQESILADVRLKLKKTSWRYLGQRLSFRRKYINLYQTKLEFLHREQAIDEYIIQDLEQMEKESDIDDILSYRSVAELKLQEVLSNSLSSNMEVNGAHSFIEKSQNDERSSSGSRGWLNWISRGMLGAGGTDDSTQFSGVVSDEVVKDIYEATEFQPSVLSSGDVDANYKMFTCAMKFTVGCITATLQSKSYSKKIADLIFNELVIECKLWEELATVVCHFRSGEMFDPCNKRVILQIGRSLTDGNVREDEPPSCRFQVDISPKRDIELSVKVMLQLLEVSCDLELFLSLWELFTVFKSFEFQLERVLLSLNGIEDVRTRLLSKVEYILSSHKKLSWDVNVINIIINVPWRKATQEEHKLVLKLKSFSYTSKFDADSVASIIEEQSSIPKRFSSSISASNIFTGFQIQDLYNYFEVKLNDIELILITPQHAQAISILEKFCASVALASCIISDQSVLKQLEVYVNLSALNASFSPPIYESVVAFIAHMENLCSRSEPLMLKNPNSPVVITNRPGASDFGFGFSVSARLDLVSFCFDLANDGENSSELVFSLQGLDIWLSHTQFDEFWVCTKALKITTSPLRGENDGHILCLSGKQLSSNSANHEDLGIRHGNQDGNLERSLTEVCFLLHYEAYRRADTVVNKCTVGLNDIDFHCYPYIVGLLVGFYNKLSAYGSPFTSDDSFSLVTEAKSPRRRAGLEFERFGYSNFIETGSSDHASISLDNYPFVTLCNSASLGSIESSLHYPIADWRRLFNLRERKIKSTKFCLKNGLKTFDASPLTFTSVVDTSAAPGIISDANLLNIDINLCGVRVHFHDSSCIVGTVALPTLKSSLSIYEDSMDLLCSAEGLVLTSSWWTKNFQEFLWGPSLPNLSPILNLRVRKGKFGSLPSELEVSIGIQHVYCMLPPEFLAIIIGYFSLPDWSLNLSEQPMKMENKSHVVYKFEILDSTLILPVEHDDHQFLKIEIQQLFCSFIDKCAPNDAMMNIPPDYMVPAHKVAKANHCLNMFGRDLSLSFLLSREDEHGCLELDQDTGCGNITLIAALSLDLWVWLPCDDESCFESSSVSTCIMSRITDCQLIADDCYSLDGFEALLDVIDQFSSVDDQSKNFGSDVLHFLQWKRSQKENCEVSPAASGTVSLEVQCSVDSLLIKLYHSREGSTLLEPIAKIDVKFKCSASLVNETLMVLDFGFSSLALYSLPSSVLLAQCTGSSSASSALHLCFLKSVEGENELNISLPSVSIWLHLFDWTGIIDLCNSYAKRIAENEAVRASSMSSSKDLVDPTETVICAVSQNSPQNISVPSSYVHNYVRQDSVSLIVRSENIGLTVHFPVCATETLPGEIQAAIVQERRPQDVASNTTERKNNKFIAITTHSRRTELSMVGKIVTLKCSLQKAMGTVGICEDESITTWPLFETSQVVVSTEIFNSQLESVNINLGVQCDRLDVQLSHQVLCFWHGVQLDIAEAGTSRSLFGHMDFKIQLRKISFLVSDERWSFGGPLLEIAMRNFLLHAIVTENSMESSVASDLEVNYNNIHKVLWEPFVEPWKFQINMIRKQEMTAHLNSSILTDIDVTSTMQLNLNCTESLIECFFRTLEMVNDAWHLGPNDPFENQRSSSSQLSENVHEGSYAPYVLQNLTSLPLGYHVFKGLVNADEFDFSEMKDAKSIQPGSSVPIYLNETLEEQLFRCGPAQSSDRLSEKQSNGAVHHFMSIQLDGMFLPSPPISMDLVGLTYFEVDFTKVLKRTEMEKTRNVSKYDMDLEENARFNTDGGFVVPVVFDVSVQRYTKLIRLYSTVILANATSVPLELRFDIPFGLSPKLLLFLQVLDPIYPDQEFPLPLHLAEAGRMRWRPLGNSYLWSEVHDISNILSHESKIGFLRSFVCYPSHPSSDPFRCCISVHSFSLPSSKKLKKGSYNTLRQSFESFDGDQKKSSNRFIHQVTLSAPLVVINYLPDEVSLAIESGGVTRTVLLSELETSFHHIDPSYDLGMEFCIHGFRPSTLKFPRAETFCTMAKFSGTKFSLTDTVSFDSDSSDGLLCVTVEKMMDAFSGARELFIYVPFLLYNCTGFPLNISECNSEMKGSHCTIPSCYVLVEDECLQGRKDGLSHLSFDQDSHLRAPHIISSGSSSSKNNVLLSRRDATSHLGRVISKPLILSSSSGPLQEQSDKHDLVCQKASFDKCSSTDSIDTGRGEVKACMYSPHGVSSANEIMVRVSRHEFVMENASHSTWSRPFLLIPPSGSSTVFVPQSSSNSALIISVTSSDVAGSFAGRTQAIAFQPRYIISNVCSKKICYKQKGTDYSVRLGIGQHHHLHWKDTTRELLVSICFDEPGWEWSGSFLPDHLGDTQVKMRNNAGVLRMIRVEVQNANVSVKDEKIIGSLHGNSGTNLILLSDDDTGFMPYRIDNFSKERLRVYQQKCENFDTVIHPYTSCPYAWDEPCFPHRLTVEVPGQRVIGSYALDDLKEYIPVQLKATAEKPERTLLLSVHAEGAIKVLGIVDSSFHVLKDVKDPSPPWFREKTKHEQKQKDVFYYKEKFSVTIPYIGICLINSFPQELLFACAQNISLNLLQSLDQQKISFQISSLQIDNQLQTTPYPVILSFNQEYRGSTEGQRVKDDIAKSKSDRVLQRSREPILSLAVATWRKKDISLVSFEYISLRVANFRLELDQEVILRLLDFYKAVSSRFQSNVLPFSDPKHPPLLCDGGFIHAQTREYFKTIDSQLLGINLSSLSKSQINSAALPPVVPIGAPWQHISFLDGRQKKIYVELFDLAPVKFTLSFSSSPWMLRNGILTSGESLIHRGLMALADVEGARIHLKQFRIEHQMASWESMQDILIRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSFLQSPTGLITGMAQGTTSLVSNTVYALSDAATQFSKAAQKGIVAFTFDDQSVARMEKQQKGAASHSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIAFGVAGLVARPAASILEVTGKTAQSIRNRSRLYQMGPQCYRVRLPRPLSRELPLRPYSLEEAVGTSVLMEADDGLYLKNEVLVICKSLKQAGKFVVVTERLVLTVSSPGLVDLGKPEFRGVPIDPEWLVESEISLDSVIHVDAVEEVVHIVGTRSDALLKQNQHQSKKGVLTRTKSWNNRTSLPLSLTNLELASMNDAKELLQILLSTIAQGKERRLGSGYVLHRSNIM >Potri.001G455600.3.v4.1 pep chromosome:Pop_tri_v4:1:48189863:48218866:-1 gene:Potri.001G455600.v4.1 transcript:Potri.001G455600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455600.v4.1 MFEGLVHRVLVGYLGRYFKNIQKEQLKLSLWNEEVLLENVDLIPEAFDYLRLPFSIKQGRVGRLSIKLSWKKIGWDHPIIIAVEDVFICLSQREDQEWNLDAVERREFAAKKAQLAAAELSKLSKRICDNQAGKSFISYITAKVLDSIQLSIRNFHVQYSERQFDSAQVLFGLQFSNLTVKQNLVGSFGAKMVGGQVNKTASIEGLEIYCTTSKGDIDSMGLDDAVDSKSWCSARNGGNEFDYLLQPLNVSVSLGVNRAGKLDSDLPQYSIRADLNELAVSLNEIQLQRILILSDYLSTSSLREKYGRYRPWGCPLSRKQDGWQRLWWHYAQESILADVRLKLKKTSWRYLGQRLSFRRKYINLYQTKLEFLHREQAIDEYIIQDLEQMEKESDIDDILSYRSVAELKLQEVLSNSLSSNMEVNGAHSFIEKSQNDERSSSGSRGWLNWISRGMLGAGGTDDSTQFSGVVSDEVVKDIYEATEFQPSVLSSGDVDANYKMFTCAMKFTVGCITATLQSKSYSKKIADLIFNELVIECKLWEELATVVCHFRSGEMFDPCNKRVILQIGRSLTDGNVREDEPPSCRFQVDISPKRDIELSVKVMLQLLEVSCDLELFLSLWELFTVFKSFEFQLERVLLSLNGIEDVRTRLLSKVEYILSSHKKLSWDVNVINIIINVPWRKATQEEHKLVLKLKSFSYTSKFDADSVASIIEEQSSIPKRFSSSISASNIFTGFQIQDLYNYFEVKLNDIELILITPQHAQAISILEKFCASVALASCIISDQSVLKQLEVYVNLSALNASFSPPIYESVVAFIAHMENLCSRSEPLMLKNPNSPVVITNRPGASDFGFGFSVSARLDLVSFCFDLANDGENSSELVFSLQGLDIWLSHTQFDEFWVCTKALKITTSPLRGENDGHILCLSGKQLSSNSANHEDLGIRHGNQDGNLERSLTEVCFLLHYEAYRRADTVVNKCTVGLNDIDFHCYPYIVGLLVGFYNKLSAYGSPFTSDDSFSLVTEAKSPRRRAGLEFERFGYSNFIETGSSDHASISLDNYPFVTLCNSASLGSIESSLHYPIADWRRLFNLRERKIKSTKFCLKNGLKTFDASPLTFTSVVDTSAAPGIISDANLLNIDINLCGVRVHFHDSSCIVGTVALPTLKSSLSIYEDSMDLLCSAEGLVLTSSWWTKNFQEFLWGPSLPNLSPILNLRVRKGKFGSLPSELEVSIGIQHVYCMLPPEFLAIIIGYFSLPDWSLNLSEQPMKMENKSHVVYKFEILDSTLILPVEHDDHQFLKIEIQQLFCSFIDKCAPNDAMMNIPPDYMVPAHKVAKANHCLNMFGRDLSLSFLLSREDEHGCLELDQDTGCGNITLIAALSLDLWVWLPCDDESCFESSSVSTCIMSRITDCQLIADDCYSLDGFEALLDVIDQFSSVDDQSKNFGSDVLHFLQWKRSQKENCEVSPAASGTVSLEVQCSVDSLLIKLYHSREGSTLLEPIAKIDVKFKCSASLVNETLMVLDFGFSSLALYSLPSSVLLAQCTGSSSASSALHLCFLKSVEGENELNISLPSVSIWLHLFDWTGIIDLCNSYAKRIAENEAVRASSMSSSKDLVDPTETVICAVSQNSPQNISVPSSYVHNYVRQDSVSLIVRSENIGLTVHFPVCATETLPGEIQAAIVQERRPQDVASNTTERKNNKFIAITTHSRRTELSMVGKIVTLKCSLQKAMGTVGICEDESITTWPLFETSQVVVSTEIFNSQLESVNINLGVQCDRLDVQLSHQVLCFWHGVQLDIAEAGTSRSLFGHMDFKIQLRKISFLVSDERWSFGGPLLEIAMRNFLLHAIVTENSMESSVASDLEVNYNNIHKVLWEPFVEPWKFQINMIRKQEMTAHLNSSILTDIDVTSTMQLNLNCTESLIECFFRTLEMVNDAWHLGPNDPFENQRSSSSQLSENVHEGSYAPYVLQNLTSLPLGYHVFKGLVNADEFDFSEMKDAKSIQPGSSVPIYLNETLEEQLFRCGPAQSSDRLSEKQSNGAVHHFMSIQLDGMFLPSPPISMDLVGLTYFEVDFTKVLKRTEMEKTRNVSKYDMDLEENARFNTDGGFVVPVVFDVSVQRYTKLIRLYSTVILANATSVPLELRFDIPFGLSPKVLDPIYPDQEFPLPLHLAEAGRMRWRPLGNSYLWSEVHDISNILSHESKIGFLRSFVCYPSHPSSDPFRCCISVHSFSLPSSKKLKKGSYNTLRQSFESFDGDQKKSSNRFIHQVTLSAPLVVINYLPDEVSLAIESGGVTRTVLLSELETSFHHIDPSYDLGMEFCIHGFRPSTLKFPRAETFCTMAKFSGTKFSLTDTVSFDSDSSDGLLCVTVEKMMDAFSGARELFIYVPFLLYNCTGFPLNISECNSEMKGSHCTIPSCYVLVEDECLQGRKDGLSHLSFDQDSHLRAPHIISSGSSSSKNNVLLSRRDATSHLGRVISKPLILSSSSGPLQEQSDKHDLVCQKASFDKCSSTDSIDTGRGEVKACMYSPHGVSSANEIMVRVSRHEFVMENASHSTWSRPFLLIPPSGSSTVFVPQSSSNSALIISVTSSDVAGSFAGRTQAIAFQPRYIISNVCSKKICYKQKGTDYSVRLGIGQHHHLHWKDTTRELLVSICFDEPGWEWSGSFLPDHLGDTQVKMRNNAGVLRMIRVEVQNANVSVKDEKIIGSLHGNSGTNLILLSDDDTGFMPYRIDNFSKERLRVYQQKCENFDTVIHPYTSCPYAWDEPCFPHRLTVEVPGQRVIGSYALDDLKEYIPVQLKATAEKPERTLLLSVHAEGAIKVLGIVDSSFHVLKDVKDPSPPWFREKTKHEQKQKDVFYYKEKFSVTIPYIGICLINSFPQELLFACAQNISLNLLQSLDQQKISFQISSLQIDNQLQTTPYPVILSFNQEYRGSTEGQRVKDDIAKSKSDRVLQRSREPILSLAVATWRKKDISLVSFEYISLRVANFRLELDQEVILRLLDFYKAVSSRFQSNVLPFSDPKHPPLLCDGGFIHAQTREYFKTIDSQLLGINLSSLSKSQINSAALPPVVPIGAPWQHISFLDGRQKKIYVELFDLAPVKFTLSFSSSPWMLRNGILTSGESLIHRGLMALADVEGARIHLKQFRIEHQMASWESMQDILIRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSFLQSPTGLITGMAQGTTSLVSNTVYALSDAATQFSKAAQKGIVAFTFDDQSVARMEKQQKGAASHSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIAFGVAGLVARPAASILEVTGKTAQSIRNRSRLYQMGPQCYRVRLPRPLSRELPLRPYSLEEAVGTSVLMEADDGLYLKNEVLVICKSLKQAGKFVVVTERLVLTVSSPGLVDLGKPEFRGVPIDPEWLVESEISLDSVIHVDAVEEVVHIVGTRSDALLKQNQHQSKKGVLTRTKSWNNRTSLPLSLTNLELASMNDAKELLQILLSTIAQGKERRLGSGYVLHRSNIM >Potri.001G455600.7.v4.1 pep chromosome:Pop_tri_v4:1:48189863:48206980:-1 gene:Potri.001G455600.v4.1 transcript:Potri.001G455600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455600.v4.1 MENLCSRSEPLMLKNPNSPVVITNRPGASDFGFGFSVSARLDLVSFCFDLANDGENSSELVFSLQGLDIWLSHTQFDEFWVCTKALKITTSPLRGENDGHILCLSGKQLSSNSANHEDLGIRHGNQDGNLERSLTEVCFLLHYEAYRRADTVVNKCTVGLNDIDFHCYPYIVGLLVGFYNKLSAYGSPFTSDDSFSLVTEAKSPRRRAGLEFERFGYSNFIETGSSDHASISLDNYPFVTLCNSASLGSIESSLHYPIADWRRLFNLRERKIKSTKFCLKNGLKTFDASPLTFTSVVDTSAAPGIISDANLLNIDINLCGVRVHFHDSSCIVGTVALPTLKSSLSIYEDSMDLLCSAEGLVLTSSWWTKNFQEFLWGPSLPNLSPILNLRVRKGKFGSLPSELEVSIGIQHVYCMLPPEFLAIIIGYFSLPDWSLNLSEQPMKMENKSHVVYKFEILDSTLILPVEHDDHQFLKIEIQQLFCSFIDKCAPNDAMMNIPPDYMVPAHKVAKANHCLNMFGRDLSLSFLLSREDEHGCLELDQDTGCGNITLIAALSLDLWVWLPCDDESCFESSSVSTCIMSRITDCQLIADDCYSLDGFEALLDVIDQFSSVDDQSKNFGSDVLHFLQWKRSQKENCEVSPAASGTVSLEVQCSVDSLLIKLYHSREGSTLLEPIAKIDVKFKCSASLVNETLMVLDFGFSSLALYSLPSSVLLAQCTGSSSASSALHLCFLKSVEGENELNISLPSVSIWLHLFDWTGIIDLCNSYAKRIAENEAVRASSMSSSKDLVDPTETVICAVSQNSPQNISVPSSYVHNYVRQDSVSLIVRSENIGLTVHFPVCATETLPGEIQAAIVQERRPQDVASNTTERKNNKFIAITTHSRRTELSMVGKIVTLKCSLQKAMGTVGICEDESITTWPLFETSQVVVSTEIFNSQLESVNINLGVQCDRLDVQLSHQVLCFWHGVQLDIAEAGTSRSLFGHMDFKIQLRKISFLVSDERWSFGGPLLEIAMRNFLLHAIVTENSMESSVASDLEVNYNNIHKVLWEPFVEPWKFQINMIRKQEMTAHLNSSILTDIDVTSTMQLNLNCTESLIECFFRTLEMVNDAWHLGPNDPFENQRSSSSQLSENVHEGSYAPYVLQNLTSLPLGYHVFKGLVNADEFDFSEMKDAKSIQPGSSVPIYLNETLEEQLFRCGPAQSSDRLSEKQSNGAVHHFMSIQLDGMFLPSPPISMDLVGLTYFEVDFTKVLKRTEMEKTRNVSKYDMDLEENARFNTDGGFVVPVVFDVSVQRYTKLIRLYSTVILANATSVPLELRFDIPFGLSPKVLDPIYPDQEFPLPLHLAEAGRMRWRPLGNSYLWSEVHDISNILSHESKIGFLRSFVCYPSHPSSDPFRCCISVHSFSLPSSKKLKKGSYNTLRQSFESFDGDQKKSSNRFIHQVTLSAPLVVINYLPDEVSLAIESGGVTRTVLLSELETSFHHIDPSYDLGMEFCIHGFRPSTLKFPRAETFCTMAKFSGTKFSLTDTVSFDSDSSDGLLCVTVEKMMDAFSGARELFIYVPFLLYNCTGFPLNISECNSEMKGSHCTIPSCYVLVEDECLQGRKDGLSHLSFDQDSHLRAPHIISSGSSSSKNNVLLSRRDATSHLGRVISKPLILSSSSGPLQEQSDKHDLVCQKASFDKCSSTDSIDTGRGEVKACMYSPHGVSSANEIMVRVSRHEFVMENASHSTWSRPFLLIPPSGSSTVFVPQSSSNSALIISVTSSDVAGSFAGRTQAIAFQPRYIISNVCSKKICYKQKGTDYSVRLGIGQHHHLHWKDTTRELLVSICFDEPGWEWSGSFLPDHLGDTQVKMRNNAGVLRMIRVEVQNANVSVKDEKIIGSLHGNSGTNLILLSDDDTGFMPYRIDNFSKERLRVYQQKCENFDTVIHPYTSCPYAWDEPCFPHRLTVEVPGQRVIGSYALDDLKEYIPVQLKATAEKPERTLLLSVHAEGAIKVLGIVDSSFHVLKDVKDPSPPWFREKTKHEQKQKDVFYYKEKFSVTIPYIGICLINSFPQELLFACAQNISLNLLQSLDQQKISFQISSLQIDNQLQTTPYPVILSFNQEYRGSTEGQRVKDDIAKSKSDRVLQRSREPILSLAVATWRKKDISLVSFEYISLRVANFRLELDQEVILRLLDFYKAVSSRFQSNVLPFSDPKHPPLLCDGGFIHAQTREYFKTIDSQLLGINLSSLSKSQINSAALPPVVPIGAPWQHISFLDGRQKKIYVELFDLAPVKFTLSFSSSPWMLRNGILTSGESLIHRGLMALADVEGARIHLKQFRIEHQMASWESMQDILIRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSFLQSPTGLITGMAQGTTSLVSNTVYALSDAATQFSKAAQKGIVAFTFDDQSVARMEKQQKGAASHSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIAFGVAGLVARPAASILEVTGKTAQSIRNRSRLYQMGPQCYRVRLPRPLSRELPLRPYSLEEAVGTSVLMEADDGLYLKNEVLVICKSLKQAGKFVVVTERLVLTVSSPGLVDLGKPEFRGVPIDPEWLVESEISLDSVIHVDAVEEVVHIVGTRSDALLKQNQHQSKKGVLTRTKSWNNRTSLPLSLTNLELASMNDAKELLQILLSTIAQGKERRLGSGYVLHRSNIM >Potri.001G455600.6.v4.1 pep chromosome:Pop_tri_v4:1:48189863:48218842:-1 gene:Potri.001G455600.v4.1 transcript:Potri.001G455600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G455600.v4.1 MFEGLVHRVLVGYLGRYFKNIQKEQLKLSLWNEEVLLENVDLIPEAFDYLRLPFSIKQGRVGRLSIKLSWKKIGWDHPIIIAVEDVFICLSQREDQEWNLDAVERREFAAKKAQLAAAELSKLSKRICDNQAGKSFISYITAKVLDSIQLSIRNFHVQYSERQFDSAQVLFGLQFSNLTVKQNLVGSFGAKMVGGQVNKTASIEGLEIYCTTSKGDIDSMGLDDAVDSKSWCSARNGGNEFDYLLQPLNVSVSLGVNRAGKLDSDLPQYSIRADLNELAVSLNEIQLQRILILSDYLSTSSLREKYGRYRPWGCPLSRKQDGWQRLWWHYAQESILADVRLKLKKTSWRYLGQRLSFRRKYINLYQTKLEFLHREQAIDEYIIQDLEQMEKESDIDDILSYRSVAELKLQEVLSNSLSSNMEVNGAHSFIEKSQNDERSSSGSRGWLNWISRGMLGAGGTDDSTQFSGVVSDEVVKDIYEATEFQPSVLSSGDVDANYKMFTCAMKFTVGCITATLQSKSYSKKIADLIFNELVIECKLWEELATVVCHFRSGEMFDPCNKRVILQIGRSLTDGNVREDEPPSCRFQVDISPKRDIELSVKVMLQLLEVSCDLELFLSLWELFTVFKSFEFQLERVLLSLNGIEDVRTRLLSKVEYILSSHKKLSWDVNVINIIINVPWRKATQEEHKLVLKLKSFSYTSKFDADSVASIIEEQSSIPKRFSSSISASNIFTGFQIQDLYNYFEVKLNDIELILITPQHAQAISILEKFCASVALASCIISDQSVLKQLEVYVNLSALNASFSPPIYESVVAFIAHMENLCSRLDLVSFCFDLANDGENSSELVFSLQGLDIWLSHTQFDEFWVCTKALKITTSPLRGENDGHILCLSGKQLSSNSANHEDLGIRHGNQDGNLERSLTEVCFLLHYEAYRRADTVVNKCTVGLNDIDFHCYPYIVGLLVGFYNKLSAYGSPFTSDDSFSLVTEAKSPRRRAGLEFERFGYSNFIETGSSDHASISLDNYPFVTLCNSASLGSIESSLHYPIADWRRLFNLRERKIKSTKFCLKNGLKTFDASPLTFTSVVDTSAAPGIISDANLLNIDINLCGVRVHFHDSSCIVGTVALPTLKSSLSIYEDSMDLLCSAEGLVLTSSWWTKNFQEFLWGPSLPNLSPILNLRVRKGKFGSLPSELEVSIGIQHVYCMLPPEFLAIIIGYFSLPDWSLNLSEQPMKMENKSHVVYKFEILDSTLILPVEHDDHQFLKIEIQQLFCSFIDKCAPNDAMMNIPPDYMVPAHKVAKANHCLNMFGRDLSLSFLLSREDEHGCLELDQDTGCGNITLIAALSLDLWVWLPCDDESCFESSSVSTCIMSRITDCQLIADDCYSLDGFEALLDVIDQFSSVDDQSKNFGSDVLHFLQWKRSQKENCEVSPAASGTVSLEVQCSVDSLLIKLYHSREGSTLLEPIAKIDVKFKCSASLVNETLMVLDFGFSSLALYSLPSSVLLAQCTGSSSASSALHLCFLKSVEGENELNISLPSVSIWLHLFDWTGIIDLCNSYAKRIAENEAVRASSMSSSKDLVDPTETVICAVSQNSPQNISVPSSYVHNYVRQDSVSLIVRSENIGLTVHFPVCATETLPGEIQAAIVQERRPQDVASNTTERKNNKFIAITTHSRRTELSMVGKIVTLKCSLQKAMGTVGICEDESITTWPLFETSQVVVSTEIFNSQLESVNINLGVQCDRLDVQLSHQVLCFWHGVQLDIAEAGTSRSLFGHMDFKIQLRKISFLVSDERWSFGGPLLEIAMRNFLLHAIVTENSMESSVASDLEVNYNNIHKVLWEPFVEPWKFQINMIRKQEMTAHLNSSILTDIDVTSTMQLNLNCTESLIECFFRTLEMVNDAWHLGPNDPFENQRSSSSQLSENVHEGSYAPYVLQNLTSLPLGYHVFKGLVNADEFDFSEMKDAKSIQPGSSVPIYLNETLEEQLFRCGPAQSSDRLSEKQSNGAVHHFMSIQLDGMFLPSPPISMDLVGLTYFEVDFTKVLKRTEMEKTRNVSKYDMDLEENARFNTDGGFVVPVVFDVSVQRYTKLIRLYSTVILANATSVPLELRFDIPFGLSPKVLDPIYPDQEFPLPLHLAEAGRMRWRPLGNSYLWSEVHDISNILSHESKIGFLRSFVCYPSHPSSDPFRCCISVHSFSLPSSKKLKKGSYNTLRQSFESFDGDQKKSSNRFIHQVTLSAPLVVINYLPDEVSLAIESGGVTRTVLLSELETSFHHIDPSYDLGMEFCIHGFRPSTLKFPRAETFCTMAKFSGTKFSLTDTVSFDSDSSDGLLCVTVEKMMDAFSGARELFIYVPFLLYNCTGFPLNISECNSEMKGSHCTIPSCYVLVEDECLQGRKDGLSHLSFDQDSHLRAPHIISSGSSSSKNNVLLSRRDATSHLGRVISKPLILSSSSGPLQEQSDKHDLVCQKASFDKCSSTDSIDTGRGEVKACMYSPHGVSSANEIMVRVSRHEFVMENASHSTWSRPFLLIPPSGSSTVFVPQSSSNSALIISVTSSDVAGSFAGRTQAIAFQPRYIISNVCSKKICYKQKGTDYSVRLGIGQHHHLHWKDTTRELLVSICFDEPGWEWSGSFLPDHLGDTQVKMRNNAGVLRMIRVEVQNANVSVKDEKIIGSLHGNSGTNLILLSDDDTGFMPYRIDNFSKERLRVYQQKCENFDTVIHPYTSCPYAWDEPCFPHRLTVEVPGQRVIGSYALDDLKEYIPVQLKATAEKPERTLLLSVHAEGAIKVLGIVDSSFHVLKDVKDPSPPWFREKTKHEQKQKDVFYYKEKFSVTIPYIGICLINSFPQELLFACAQNISLNLLQSLDQQKISFQISSLQIDNQLQTTPYPVILSFNQEYRGSTEGQRVKDDIAKSKSDRVLQRSREPILSLAVATWRKKDISLVSFEYISLRVANFRLELDQEVILRLLDFYKAVSSRFQSNVLPFSDPKHPPLLCDGGFIHAQTREYFKTIDSQLLGINLSSLSKSQINSAALPPVVPIGAPWQHISFLDGRQKKIYVELFDLAPVKFTLSFSSSPWMLRNGILTSGESLIHRGLMALADVEGARIHLKQFRIEHQMASWESMQDILIRHYTRQLLHEMYKVFGSAGVIGNPMGFARSLGLGIRDFLSVPARSFLQSPTGLITGMAQGTTSLVSNTVYALSDAATQFSKAAQKGIVAFTFDDQSVARMEKQQKGAASHSKGVINEVLEGLTGLLQSPIKEAEKHGLPGVLSGIAFGVAGLVARPAASILEVTGKTAQSIRNRSRLYQMGPQCYRVRLPRPLSRELPLRPYSLEEAVGTSVLMEADDGLYLKNEVLVICKSLKQAGKFVVVTERLVLTVSSPGLVDLGKPEFRGVPIDPEWLVESEISLDSVIHVDAVEEVVHIVGTRSDALLKQNQHQSKKGVLTRTKSWNNRTSLPLSLTNLELASMNDAKELLQILLSTIAQGKERRLGSGYVLHRSNIM >Potri.005G021400.2.v4.1 pep chromosome:Pop_tri_v4:5:1371766:1378315:-1 gene:Potri.005G021400.v4.1 transcript:Potri.005G021400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021400.v4.1 MSTFAKPENALKRAEELINVGQKQDALQALHDLITSKRYRAWQKPLERIMFKYVELCVDLRRGRFAKDGLIQYRIVCQQVNVTSLEEVIKHFMHLSTEKAEQARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKERSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRFEQLKVATELELWQEAFRSIEDIHGLMCMVKKTPKASLMVVYYAKLTEIFWISSSHLYHAYAWLKLFTLQKSFNKNLSQKDLQIIASSVVLAALAVAPYDHTQGASHMELENEKERNMRMANLIGFNLDLKPESREVLSRSSLLSELVSKGVMSCATQEVKDLYHLLEHEFLPLDLTAKVQPLLSKISKLGGKLTSASSVPEVHLSQYIPALEKLATLRLLQQVSQVYQTMKIESLSQMIPFFDFSAVEKISVDAVKHNFIAMKLDHMKHVVLFDTQDLESDGLRDHLTVFAESLNKARAMIYPPTKKSSKLGEILPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKQQKITEEAEQKRLAAEYEQRNKQRILREIEERELEEAQALLEEHEKRSKRKGGKKPILEGEKVTKQILMERALSEQLRERQEMEKKLQKLVKTMDYLERAKREEAAPLIEAAFQQRLVEEKALHEHEQQQEIELSRQRHDGDLREKNRLSRMLENKIIFEERVKSRRESEFNQRRAEREERINQIVQARKQEREALRKKIFFVRSEEERLKRLREEEEARKHEEDERRRKEEAEHKAKLDKIAEKQRQRERELEEKERIRREALLVDGPSRSSELPAGPEPGAAAAPAAGKYVPRFRRGGTEGSAQAPPETDRWGGGSGRPAPPDSDKWSSGSARQPPSDTDRWGSGGSRPDDRNPPSDRWGGGSKSTWSSSRPRGR >Potri.005G021400.6.v4.1 pep chromosome:Pop_tri_v4:5:1371999:1378186:-1 gene:Potri.005G021400.v4.1 transcript:Potri.005G021400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G021400.v4.1 MSTFAKPENALKRAEELINVGQKQDALQALHDLITSKRYRAWQKPLERIMFKYVELCVDLRRGRFAKDGLIQYRIVCQQVNVTSLEEVIKHFMHLSTEKAEQARSQAQALEEALDVDDLEADKRPEDLMLSYVSGEKGKERSDRELVTPWFKFLWETYRTVLEILRNNSKLEALYAMTAHRAFQFCKQYKRTTEFRRLCEIIRNHLANLNKYRDQRDRPDLTAPESLQLYLDTRFEQLKVATELELWQEAFRSIEDIHGLMCMVKKTPKASLMVVYYAKLTEIFWISSSHLYHAYAWLKLFTLQKSFNKNLSQKDLQIIASSVVLAALAVAPYDHTQGASHMELENEKERNMRMANLIGFNLDLKPESREVLSRSSLLSELVSKGVMSCATQEVKDLYHLLEHEFLPLDLTAKVQPLLSKISKLGGKLTSASSVPEVHLSQYIPALEKLATLRLLQQVSQVYQTMKIESLSQMIPFFDFSAVEKISVDAVKHNFIAMKLDHMKHVVLFDTQDLESDGLRDHLTVFAESLNKARAMIYPPTKKSSKLGEILPGLGEIVDKEHKRLLARKSIIEKRKEEQERQLLEMEREEESRRLKQQKITEEAEQKRLAAEYEQRNKQRILREIEERELEEAQALLEEHEKRSKRKGGKKPILEGEKVTKQILMERALSEQLRERQEMEKKLQKLVKTMDYLERAKREEAAPLIEAAFQQRLVEEKALHEHEQQQEIELSRQRHDGDLREKNRLSRMLENKIIFEERVKSRRESEFNQRRAEREERINQIVQARKQEREALRKKIFFVRSEEERLKRLREEEEARKHEEDERRRKEEAEHKAKLDKIAEKQRQRERELEEKERIRREALLVDGPSRSSELPAGPEPGAAAAPAAGKYVPRFRRGGTEGSAQAPPETDRWGGGSGRPAPPDSDKWSSGSARQPPSDTDRWGSGGSRPDDRNPPSDRWGGGSKSTWSSSRPRGR >Potri.014G017500.1.v4.1 pep chromosome:Pop_tri_v4:14:1086233:1092331:-1 gene:Potri.014G017500.v4.1 transcript:Potri.014G017500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017500.v4.1 MVTAVSTPINPKTRSTARTTQNLNPARPPLLPSDPDNALAPRRPKSREVSSRYLSSASASSTSTFNRCASPSISRRTAMMTPTPSAASAIKRSQSLERRRPATPRTNSLDSRIGNANCGGGGGGEITNAQRMLITSTRRLSVSFQGESFSFQVSKAKPTSSPSAVRKGTPERRKATTPIPTRGADQAENSRTMEQRRWPGRLRQQNSMTRSMDCSDDGKKLAGSGVNLNVVRALQNSMVGSSNNNNNNIIRSSIESRLSSDSSAIESAKPLDVNGSAVHSEHRLASDTESASSGTTSESSSGNAVGVGGQGERGARGLIVPARFWKETNSRIRRQPEPGSPGSRNAGLKGPTPAKLIAPKKFGGDSPVSSPKGVVNSRGQLSPIRGGALRPASPSKFGTLSGASLSPMRGMSPSRVRNAVGGVVSSNLSNVSSTFSILSFAADIRRGRIGENRIVEVHLLRILHNRMLQWRFVNARADYVLSAQRLNVEKSLYNARVTTSKLYESVRAKRTELQWLRHNLKLISILKGQMLYLEELALIDQDYSHSLSGAIEALQASTLRLPVVGGARADVQNLKDAICSAVDVMQAMASSICILLSKVEEVNSLVVELEKASRKECNRLYQCKDLLSTIAALQVKECSLKSHILQLK >Potri.014G017500.5.v4.1 pep chromosome:Pop_tri_v4:14:1086305:1092326:-1 gene:Potri.014G017500.v4.1 transcript:Potri.014G017500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G017500.v4.1 MVTAVSTPINPKTRSTARTTQNLNPARPPLLPSDPDNALAPRRPKSREVSSRYLSSASASSTSTFNRCASPSISRRTAMMTPTPSAASAIKRSQSLERRRPATPRTNSLDSRIGNANCGGGGGGEITNAQRMLITSTRRLSVSFQGESFSFQVSKAKPTSSPSAVRKGTPERRKATTPIPTRGADQAENSRTMEQRRWPGRLRQQNSMTRSMDCSDDGKKLAGSGVNLNVVRALQNSMVGSSNNNNNNIIRSSIESRLSSDSSAIESAKPLDVNGSAVHSEHRLASDTESASSGTTSESSSGNAVGVGGQGERGARGLIVPARFWKETNSRIRRQPEPGSPGSRNAGLKGPTPAKLIAPKKFGGDSPVSSPKGVVNSRGQLSPIRGGALRPASPSKFGTLSGASLSPMRGMSPSRVRNAVGGVVSSNLSNVSSTFSILSFAADIRRGRIGENRIVEVHLLRILHNRMLQWRFVNARADYVLSAQRLNVEMLYLEELALIDQDYSHSLSGAIEALQASTLRLPVVGGARADVQNLKDAICSAVDVMQAMASSICILLSKVEEVNSLVVELEKASRKECNRLYQCKDLLSTIAALQVKECSLKSHILQLK >Potri.010G045900.2.v4.1 pep chromosome:Pop_tri_v4:10:7768282:7776562:1 gene:Potri.010G045900.v4.1 transcript:Potri.010G045900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G045900.v4.1 MAVLICSSNVNLIKPRLLSSPHHHKYKQRRLIRTCRNLVRLDTGTGFQFSLHNNNNNRRRPLPPKSSSSSSSSSVNGYGSNIEQYYGSEQRVEREEGVELNKRIRRFIEFLPSILPGGNWWSFSDEADIKIMAKPVTVWRALTRMWHLVAQDRWVIFAAFTALIVAALSEISIPHFLTASIFSAQTATVAVFHRNVRLLVALCVVAGICSGIRGCCFGIANMILVKRMRETLYSALLLQDISFFDNETVGDLTSRLGSDCQQVSRVIGNDLNLILRNVLQATGALIYLIILSWKLGSFTLLICTTLAAVMLIYGQYQKKAAKLTQEFTASANEVAQETFSLMRTVRIYGTEKVELGRYKLWLEKLANISLRQSAAYGFWNLSFNTLYHSTQVIAVLVGGTSILAGHITAEQLTKFILYSEWLIYSTWWVGDNLSSLMQSIGASEKVFGLMDLLPSDQFLSKGLKLPRLMGHIEFVNVAFYYPSREMVPVLRHVNISVHPGEVLAIVGLSGSGKSTIVNLLLRLYEPTNGQILIDGFSLGELDIKWLRERIGYVGQEPKLFHMDISSNIRYGCTRDITQEDVEWAAKQAYAHEFISSLPNGYKTLVDDDLLSGGQKQRIAIARAILRDPAILILDEATSALDAESEHNVKGVLRAVRSESMAKRTVIVIAHRLSTIQAADRIVVMDGGQVVEMGSHRELLHQDGLYARLNRRQADAVA >Potri.009G102400.1.v4.1 pep chromosome:Pop_tri_v4:9:9031727:9034701:1 gene:Potri.009G102400.v4.1 transcript:Potri.009G102400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G102400.v4.1 MLSISPTSLFLPSKPTFNRTRSFRCSAAGDLSFPRWLQFTSAADASIGGGSIRIGQELDGAASADGERKGFGGNSGGSSVRVNAREKKWSRNRESYLADNEDALPLPMTYPDSKPVPPEEIDKRLSCDPEVEDCREVVYEWTGKCRSCQGSGFVSYYNKRGKEVTCKCVPCLGIGYVQKITARKDIAVMEELDNGKPS >Potri.001G047700.1.v4.1 pep chromosome:Pop_tri_v4:1:3502585:3505497:-1 gene:Potri.001G047700.v4.1 transcript:Potri.001G047700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047700.v4.1 MFRARQTLARIKLTPQSKQFCNRSTKPNNNNKSISEKTESNVSKSDDVYRQLDNLDFMKAAKILFTDPPKKKKFGLDFHLVQLFFICLPSLAVYLVAQYARHEMKKMDAELEKKKKEEEEKAKEEELKAIEEKAQSESELLEVKERLSKLEEVVKEIAVESRKQSGGSVKKTQVQEDSSETLKPASTEASNRISESSKSVGKDHLSIQKCLEPAPVPDSSQKGKIQNEGTSPDAKR >Potri.001G047700.4.v4.1 pep chromosome:Pop_tri_v4:1:3502751:3505457:-1 gene:Potri.001G047700.v4.1 transcript:Potri.001G047700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047700.v4.1 MKKMDAELEKKKKEEEEKAKEEELKAIEEKAQSESELLEVKERLSKLEEVVKEIAVESRKQSGGSVKKTQVQEDSSETLKPASTEASNRISESSKSVGKDHLSIQKCLEPAPVPDSSQKGKIQNEGTSPDAKR >Potri.001G047700.3.v4.1 pep chromosome:Pop_tri_v4:1:3502901:3504808:-1 gene:Potri.001G047700.v4.1 transcript:Potri.001G047700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G047700.v4.1 MFFILPYTYLNVSYSLSCCCYLKECIFVSVLFFLATAVYLVAQYARHEMKKMDAELEKKKKEEEEKAKEEELKAIEEKAQSESELLEVKERLSKLEEVVKEIAVESRKQSGGSVKKTQVQEDSSETLKPASTEASNRISESSKSVGKDHLSIQKCLEPAPVPDSSQKGKIQNEGTSPDAKR >Potri.012G079100.3.v4.1 pep chromosome:Pop_tri_v4:12:10331292:10331693:-1 gene:Potri.012G079100.v4.1 transcript:Potri.012G079100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079100.v4.1 MLSQQDQLELAACYIKQLRERVEGLKRVKEEQAITTTRTSSSAKTSMMIGLRLPVVELRDFGSTIEVVLISGLNKNFMFYEVINVLSDEGAEVISASYSTVGDKVFHTIHAQVIIDRCNLSRSPYLILTQIYC >Potri.012G079100.2.v4.1 pep chromosome:Pop_tri_v4:12:10331162:10331693:-1 gene:Potri.012G079100.v4.1 transcript:Potri.012G079100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079100.v4.1 MLSQQDQLELAACYIKQLRERVEGLKRVKEEQAITTTRTSSSAKTSMMIGLRLPVVELRDFGSTIEVVLISGLNKNFMFYEVINVLSDEGAEVISASYSTVGDKVFHTIHAQVRISRVGVETSRVCERLQELIC >Potri.012G079100.1.v4.1 pep chromosome:Pop_tri_v4:12:10330917:10333469:-1 gene:Potri.012G079100.v4.1 transcript:Potri.012G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G079100.v4.1 MKKRTSESSRLDRKTVERNRRIHMKDLCLKLASLLPPHLFKPSKDMLSQQDQLELAACYIKQLRERVEGLKRVKEEQAITTTRTSSSAKTSMMIGLRLPVVELRDFGSTIEVVLISGLNKNFMFYEVINVLSDEGAEVISASYSTVGDKVFHTIHAQVRISRVGVETSRVCERLQELIC >Potri.018G129800.8.v4.1 pep chromosome:Pop_tri_v4:18:14041086:14045291:1 gene:Potri.018G129800.v4.1 transcript:Potri.018G129800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G129800.v4.1 MSSCVDVVPHEQLCYIPCNFCNIVLAVSVPCSNLFDIVTVRCGHCTNLWSVNMAAAFQSLSWQDHVQASNHISHDYRIDMGSSSKFNNKISTRTPATNIVTQERVVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPEISHREAFSTAAKNWAHFPHIQFGLMLETNNQAKLDDGSDKHLMSRSALLNN >Potri.015G137200.1.v4.1 pep chromosome:Pop_tri_v4:15:14575173:14580720:1 gene:Potri.015G137200.v4.1 transcript:Potri.015G137200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G137200.v4.1 MDFDYNGGNTTNTNKRVFNRLGGGQSANDTSNHNHLQQNQKVCYHWRAGRCNRFPCPFLHRELSAPPTHAAVNGGVGAKRGFVGNDSSSSSFSGRRGNNGTNGNNSNYSNSWGRFGNKGDGRGVRGGSVEKVCTYWVQGHCNRGDTCRFLHSWSLGDGFSLLTQLEGHQKVISGIALPSGSDKLYTGSKDETVRVWDCQSGQCMGVINLGGEVGCMISEGPWIFVGTPNVVKAWNTQTNTDLSLTGPVGQVYTLVVGNDLLFAGTQDGSILVWKFNAATYNFEPAASLNDHKMAVVSLVVGANRLYSGSMDHSIKVWSLETLQCVQTLKDHTSVVMSLLCWEQFLLSCSLDQTIKVWAATESGNLEVTYTHKEEHGLLTLCGMHDLEGKPILLCSSNDSSVHLFDLPSFSEKGKIFAKQEIRAIQTGPGGLFFTGDGTGQVRVWNSVAVPTATT >Potri.002G008800.1.v4.1 pep chromosome:Pop_tri_v4:2:522689:524530:-1 gene:Potri.002G008800.v4.1 transcript:Potri.002G008800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008800.v4.1 MEEGRFQNGGGLGVKVMTDEQMEMLRKQISVYATICEQLVEMHKALSAQQDFAGMGLGNPYCDPLLSSAVHKIGSRQRWTPKPAQLQILEQIFEQCNATPGRQKIKDITRELAQHGQISETNVYNWFQNRRARSKRKQSAVVPNNGESEMETDIESLKEKKTRAEDSQPDENTTPMADHMYFNSPDIGFDQLMGKIESPGSCIPYWQMEQYDLFG >Potri.002G008800.4.v4.1 pep chromosome:Pop_tri_v4:2:522680:524109:-1 gene:Potri.002G008800.v4.1 transcript:Potri.002G008800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G008800.v4.1 MGLGNPYCDPLLSSAVHKIGSRQRWTPKPAQLQILEQIFEQCNATPGRQKIKDITRELAQHGQISETNVYNWFQNRRARSKRKQSAVVPNNGESEMETDIESLKEKKTRAEDSQPDENTTPMADHMYFNSPDIGFDQLMGKIESPGSCIPYWQMEQYDLFG >Potri.016G142700.2.v4.1 pep chromosome:Pop_tri_v4:16:14487781:14490656:1 gene:Potri.016G142700.v4.1 transcript:Potri.016G142700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142700.v4.1 MLVDKRRKKGRVGMEGLEGLIQRLLEGRNNRGKRIQLTEPEIHQLCVTAKQVFLAQPVLLALEAPINICGDIHGQYPDLLRLFEYGGFPPDSNYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKTFTDCFNCLPVAAVVDDKILCMHGGLSPEMDSLDQIRAIERPADVPDQGLLCDLLWSDPDRDTKGWGDNDRGVSYTFGADRVTEFLKKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMCVDASLLCSFQILKPWRGREGHPE >Potri.016G142700.1.v4.1 pep chromosome:Pop_tri_v4:16:14488055:14490277:1 gene:Potri.016G142700.v4.1 transcript:Potri.016G142700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G142700.v4.1 MLVDKRRKKGRVGMEGLEGLIQRLLEGRNNRGKRIQLTEPEIHQLCVTAKQVFLAQPVLLALEAPINICGDIHGQYPDLLRLFEYGGFPPDSNYLFLGDYVDRGKQSIETICLLLAYKIKFPDNFFLLRGNHECASINRIYGFYDECKRRFSVRLWKTFTDCFNCLPVAAVVDDKILCMHGGLSPEMDSLDQIRAIERPADVPDQGLLCDLLWSDPDRDTKGWGDNDRGVSYTFGADRVTEFLKKHDLDLVCRAHQVVEDGYEFFADRQLVTIFSAPNYCGEFNNAGALMCVDASLLCSFQILKPWRGREGHPE >Potri.015G007400.1.v4.1 pep chromosome:Pop_tri_v4:15:445948:450574:1 gene:Potri.015G007400.v4.1 transcript:Potri.015G007400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007400.v4.1 MLISSSNSPNGGIQLSGGGGGGRFWWEVVPVAPSSRTTLAFTAVAGIAVFAALLYTTSRGRLKSPWSRRKRKHALTPRQWKSLFTPDGKLRDGGVTFLKKVRSGGIDPSIRTEVWPFLLGVYDLNSTSEEREIIRIQKRKEYENFRRQCRRLRKHSNDCSKGTSERSCIEDSGSLAEDSDYSSYEDVVSARESLSSEERSPDVEDSDDPSSALLEGYDASGQTTNTDASALNTESSDSESSEDPEVIQASSSSEGREENDLDVPLKENTSPSRTELHPNQCAGENFATWQRIIRVDAVRANAEWIPYSPSQATVSELRARHAADAVGLKDYDSLEPCRIFHAARLVAILEAYAVYDPEIGYCQGMSDLLSPIIAVVTEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLSIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTEDLLLYAIAASVLQKRKLIIEKYSSMDEILRECNSMSGHLDVWKLLDDAHNLVVNLHDKIEAPFR >Potri.015G007400.2.v4.1 pep chromosome:Pop_tri_v4:15:445948:450451:1 gene:Potri.015G007400.v4.1 transcript:Potri.015G007400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G007400.v4.1 MKALKRSQTSSSSSNSSSPPSSSSSSWIHLRSVLFVVTSSSPAYCSSSSSSDRGRLKSPWSRRKRKHALTPRQWKSLFTPDGKLRDGGVTFLKKVRSGGIDPSIRTEVWPFLLGVYDLNSTSEEREIIRIQKRKEYENFRRQCRRLRKHSNDCSKGTSERSCIEDSGSLAEDSDYSSYEDVVSARESLSSEERSPDVEDSDDPSSALLEGYDASGQTTNTDASALNTESSDSESSEDPEVIQASSSSEGREENDLDVPLKENTSPSRTELHPNQCAGENFATWQRIIRVDAVRANAEWIPYSPSQATVSELRARHAADAVGLKDYDSLEPCRIFHAARLVAILEAYAVYDPEIGYCQGMSDLLSPIIAVVTEDHEAFWCFVGFMRKARHNFRLDEVGIRRQLSIVSKIIKCKDSHLYRHLEKLQAEDCFFVYRMVVVLFRRELTFEQTICLWEVMWADQAAIRAGIGKSAWSRIRQRAPPTEDLLLYAIAASVLQKRKLIIEKYSSMDEILRECNSMSGHLDVWKLLDDAHNLVVNLHDKIEAPFR >Potri.002G065600.1.v4.1 pep chromosome:Pop_tri_v4:2:4516885:4520059:-1 gene:Potri.002G065600.v4.1 transcript:Potri.002G065600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G065600.v4.1 MYILKVANQRGDPGEYTRYPATDNYDNQEERSYTAPQILSQLNQPVEHHVEVTQSPHMFMGYSSSTEMSMVSELTHVVSGQRGSTSDWGSYGAVGLGGATITSNFGQAAPGSNTSTPASPPLSAYSSTSGSGLWIGQKRGREEEAGAAAQLMESLPRVYRGFNDFRSSQGDSSSSGATATEEVSASTIVIPTTTTPSTTATPSSEIASLEETGEQRRRYRGVRQRPWGKWAAEIRDPHKAARVWLGTFDTAEAAARAYDDAALRFRGNRAKLNFPENVRLLPAQTQNVTASQVPISHSQLSSHLQLQPISSPRQQAQRPQAPAPALFQSQADIIRDYWEYSQLLQSSGEFHHHQQQQQQQQQPSSLLQPMFYNPQVASLQSSALTSLSSSTSVSSLAAISSGSSPSTFSPSASSFPLLFAGQQLGYFRPPQNQNPASGSDFPVPPWTDSSHNPSSSG >Potri.006G280100.1.v4.1 pep chromosome:Pop_tri_v4:6:26975928:26977239:-1 gene:Potri.006G280100.v4.1 transcript:Potri.006G280100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G280100.v4.1 MKMAKALNLSPSPSTSTLSLSSSSKYSNLLKTPTTLSFPFSHHSHSLTVKSSAAATIPTPTTSANQFRVDILSESLPYIQKFRGKTIVVKYGGAAMKQPELKASVVSDLVLLSCVGLRPVLVHGGGPEINHWLKLLNIEPLFHEGLRVTDAKTMEIVSMVLVGKVNKDLVSLINKAGATAVGLSGMDGRLLMAKPTSKSAQLGFVGEVARVDPTILQPLVNNGHIPVIASVAADELGQSYNINADTVAGEVAAALGAEKLILLTDVAGILENKDDPGSLLKEIDIKGVKKLIDEKKVAGGMIPKVNCCVASLSQGVRTASIIDGRVQHSLLHEIMSEEGIGTMITG >Potri.013G134700.5.v4.1 pep chromosome:Pop_tri_v4:13:14021484:14024860:1 gene:Potri.013G134700.v4.1 transcript:Potri.013G134700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134700.v4.1 MADRFFPNVMPRFVTEDIQEEDKVTDEDSLMKLLSMPYTSLSKQVQRSGLDLKETIVMETWGLGGQVAHDFTLYSGNLGTALLLYKSYQVSSNENDLFLCLEIVKACDSASRASRDVTFICGRAGVCALGAVAAKHVNDEALQSYYLSQLGEIKLLRNHPDELLYGRSGFLWACLFLNKHLGQGTVPDTTIRAVANEIIKNGRALAKKGGSPLMYEWYGERYWGAAHGLAGIMNVLLDVELKPDEFEDVKGTLKYMINNCFPSGNYSTSEEDRKRDVLVHWCHGAPGIALTLVKAVKVFGDKEFLEAAINAAEVVWNRGLLKRVGICHGISGNAYVFLSLYQLTGNIEFLYKAKAFTCFLLDRAHKLISAGEMHGGDSPYSMFEGMGGMAYLFLDMIDPSKARFPAYEL >Potri.001G003632.1.v4.1 pep chromosome:Pop_tri_v4:1:214226:217233:1 gene:Potri.001G003632.v4.1 transcript:Potri.001G003632.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G003632.v4.1 MLRISLDDLYQLWEQTIEERRPYQYIVGCEHWRDLVLSVQEGVLIPRPNTELIVDLVSDVVSKNEELGQGLWADVVTGSGAIAIGIRRIWGSYDTDLSPIAVLVATLMCLYGLQVVIEVRQGSWFEPLKDVEGQLVGIVSNQPYIPSDKLKLVDMNQDSH >Potri.002G127700.2.v4.1 pep chromosome:Pop_tri_v4:2:9668588:9672989:1 gene:Potri.002G127700.v4.1 transcript:Potri.002G127700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127700.v4.1 MFFALYMLPFISTPIMILTEVTNSPSFLGPFFFYCSGLFDFRAFLFLYYYYYYYYYYVVVVKYTPDQIRKMEESIRIRRAKEPLELVKIVKELKEEFNREETVIELPKEVKNKITDEILERLRSLNANANISEQRNAVEKWRKEKLQEVKLLARETEGLTSSILKEEAGILVRALESYWAVLSENIGLWVPAEVIHQEHDDKPEGEEEPEEEVLPGRPLPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKYAKPGEKKCNTWVYCPSETGCFSPDIYQHKNQECWLKYAEKPKLNFKDRYSDSYRDSHPNAPLIVPWVSGVVSA >Potri.002G127700.1.v4.1 pep chromosome:Pop_tri_v4:2:9668588:9672989:1 gene:Potri.002G127700.v4.1 transcript:Potri.002G127700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G127700.v4.1 MARGVKWGCSYKRTTLFVCSINIFIALYVLRSLYASLYLYSNNDFNRVVKYTPDQIRKMEESIRIRRAKEPLELVKIVKELKEEFNREETVIELPKEVKNKITDEILERLRSLNANANISEQRNAVEKWRKEKLQEVKLLARETEGLTSSILKEEAGILVRALESYWAVLSENIGLWVPAEVIHQEHDDKPEGEEEPEEEVLPGRPLPPECHAELHTDYDGAAVRWGLTHHKESAADCCQACLDQAKYAKPGEKKCNTWVYCPSETGCFSPDIYQHKNQECWLKYAEKPKLNFKDRYSDSYRDSHPNAPLIVPWVSGVVSA >Potri.015G125501.1.v4.1 pep chromosome:Pop_tri_v4:15:13806829:13809509:1 gene:Potri.015G125501.v4.1 transcript:Potri.015G125501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125501.v4.1 MARGKIAITRIENRTARQVTFSKRRVGLFKKTHELSVLCDAEIGLIVFSSNGNLSEFCSESSSIPHIIKRYEISKGMRVSESNDSEQILKELKRIRKETDDLQLSMRCYKGESLSSLHYEDLVELEKQLECSVNKVRARKFELLQQQVDNLRRKEKMLDVENQQIQYHLHQVATLEQQHAAVATVKPEEQQRMLEEFQFFGDEQPISNLLQLAPLPPQFQPCRVQPTQPNLQDSSLSIPDPSNYMWQHNACTSLFH >Potri.015G125501.2.v4.1 pep chromosome:Pop_tri_v4:15:13806828:13809509:1 gene:Potri.015G125501.v4.1 transcript:Potri.015G125501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G125501.v4.1 MARGKIAITRIENRTARQVTFSKRRVGLFKKTHELSVLCDAEIGLIVFSSNGNLSEFCSESSSIPHIIKRYEISKGMRVSESNDSEQILKELKRIRKETDDLQLSMRCYKGESLSSLHYEDLVELEKQLECSVNKVRARKFELLQQQVDNLRRKEKMLDVENQQIQYHLHQVATLEQQHAAVATVKPEEQQRMLEEFQFFGDEQPISNLLQLAPLPPQFQPCRVQPTQPNLQDSSLSIPDPSNYMWQHNACTSLFH >Potri.003G109400.6.v4.1 pep chromosome:Pop_tri_v4:3:13179514:13187515:1 gene:Potri.003G109400.v4.1 transcript:Potri.003G109400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109400.v4.1 MGASYLAISAVCTVLSFSVLTEVSLHILRSDGLIVENLTNFENANHALELLFGSYATIALVVNFVFNVFILLNLCLKTIFFGELYPSETQKLVERLINYAIYKGTLLPLVIPPTISQIGLWSIWLTGLCSLKMFQALARDRLERLNASPSATPWTYFRAYSMLLLVLSVDLFWIHMCYVIYRSTGSSMFLLLFFEPFSIAFETMQAMLVHGFQLLEIWLHHSTGNSANCQRFKFFDAIRAGSLLEWKGILIRDLGFSLDMAALLMALGHYVHIWWLHGVALHLVDVVLFFNIRALLSAIIKRIKGFIKLRMALDALHAALSDATSEELRAYDDECAICREPMAKAKRLLCSHLFHLACLRSWLDQGLNEIYSCPTCRKPLFLGRPENEANTHTGEVLADEQLAHQINEGFDRQNTPGRALLDGAFPTQIQNSTESSPWRSAGLDSSWLPNWSGQGADGAGPSTAMRSVGLGGVQMMMRHLASVGETYAQTALEDAAWSLWPMSPSQATPSGSSTPPAAGGRHPGGLHMRTASHSTNDFIANILAMAETVREVLPHVPDELILQDLQRTNSATVTVNNLLHIQL >Potri.003G109400.2.v4.1 pep chromosome:Pop_tri_v4:3:13179480:13186973:1 gene:Potri.003G109400.v4.1 transcript:Potri.003G109400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109400.v4.1 MGASYLAISAVCTVLSFSVLTEVSLHILRSDGLIVENLTNFENANHALELLFGSYATIALVVNFVFNVFILLNLCLKTIFFGELYPSETQKLVERLINYAIYKGTLLPLVIPPTISQIGLWSIWLTGLCSLKMFQALARDRLERLNASPSATPWTYFRAYSMLLLVLSVDLFWIHMCYVIYRSTGSSMFLLLFFEPFSIAFETMQAMLVHGFQLLEIWLHHSTGNSANCQRFKFFDAIRAGSLLEWKGILIRDLGFSLDMAALLMALGHYVHIWWLHGVALHLVDVVLFFNIRALLSAIIKRIKGFIKLRMALDALHAALSDATSEELRAYDDECAICREPMAKAKRLLCSHLFHLACLRSWLDQGLNEIYSCPTCRKPLFLGRPENEANTHTGEVLADEQLAHQINEGFDRQNTPGRALLDGAFPTQIQNSTESSPWRSAGLDSSWLPNWSGQGADGAGPSTAMRSVGLGGVQMMMRHLASVGETYAQTALEDAAWSLWPMSPSQATPSGSSTPPAAGGRHPGGLHMRTASHSTNDFIANILAMAETVREVLPHVPDELILQDLQRTNSATVTVNNLLHM >Potri.003G109400.7.v4.1 pep chromosome:Pop_tri_v4:3:13179484:13186967:1 gene:Potri.003G109400.v4.1 transcript:Potri.003G109400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G109400.v4.1 MGASYLAISAVCTVLSFSVLTEVSLHILRSDGLIVENLTNFENANHALELLFGSYATIALVVNFVFNVFILLNLCLKTIFFGELYPSETQKLVERLINYAIYKGTLLPLVIPPTISQIGLWSIWLTGLCSLKMFQALARDRLERLNASPSATPWTYFRAYSMLLLVLSVDLFWIHMCYVIYRSTGSSMFLLLFFEPFSIAFETMQAMLVHGFQLLEIWLHHSTGNSANCQRFKFFDAIRAGSLLEWKGILIRDLGFSLDMAALLMALGHYVHIWWLHGVALHLVDVVLFFNIRALLSAIIKRIKGFIKLRMALDALHAALSDATSEELRAYDDECAICREPMAKAKRLLCSHLFHLACLRSWLDQGLNEIYSCPTCRKPLFLGRPENEANTHTGEVLADEQLAHQINEGFDRQNTPGRALLDGAFPTQIQNSTESSPWRSAGLDSSWLPNWSGQGADGAGPSTAMRSVGLGGVQMMMRHLASVGETYAQTALEDAAWSLWPMSPSQATPSGSSTPPAAGGRHPGGLHMRTASHSTNDFIANILAMAETVREVLPHVPDELILQVLFELTFIYMSPGSSFVP >Potri.010G224300.2.v4.1 pep chromosome:Pop_tri_v4:10:20848444:20852921:1 gene:Potri.010G224300.v4.1 transcript:Potri.010G224300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G224300.v4.1 MACEGILLGMGNPLLDISAVVDDDFLQKYDIKLNNAILAEDKHLPMYDEMASKDNVEYIAGGATQNSIRVAQWMLQIPGVTSYMGSIGKDKFGEEMKKNSTEAGVNVHYYEDEAAPTGTCAVCVVGGERSLIANLSAANCYKSEHLKRPENWKLVEKAKYFYIAGFFLTVSPESIMLVAEHAAANNKVFMMNLSAPFICEFFKDVQEKALPYMDYVFGNETEARTFAKVHGWETENVEEIALKISQWPKASGAHKRIAVITQGADPVVVAEDGKVKLFPVILLPKEKLVDTNGAGDAFVGGFLSQLVQEKPIEDCVRAGCYAANVIIQRSGCTYPEKPDFK >Potri.007G041400.1.v4.1 pep chromosome:Pop_tri_v4:7:3474640:3475248:-1 gene:Potri.007G041400.v4.1 transcript:Potri.007G041400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G041400.v4.1 MATTRVITGACIVLFFLILCHEVVHVEGRPLKSVELCKKCSTNNDNSQNVPENGNHGLAAGQEQTSKVKYVDDFRPTEPGHSPGVGHSVNN >Potri.012G042500.1.v4.1 pep chromosome:Pop_tri_v4:12:3796280:3804748:1 gene:Potri.012G042500.v4.1 transcript:Potri.012G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G042500.v4.1 MSTTTEQGSEWEEVIRKMLPAGAPLPDEDHLDYSIAVEYEGPPIPYEVPRIDPLNLNLLPTRASSLVSTEESKSKSSTPVSKFTRFSNQNGTARRFYPARVEVDEGNLEKEEEIDRRDEGRRGNAVTFNTPKDSETEEGDEEDDGYSSTATDADLSSTRKGVRRRKGGVCSRCGKKNRWKERESCLACEKRYCSNCLLKAMGSMPEGRKCVSCIGKPIDESKRSILGKCSRILARVCSPLEVRQIMKAEKECAANQLRPEQLIVNGRQLRQEELAEVLGCAFPPQKLKPGKYWYDKDSGLWGKEGEKPDRIISSKLNVGGKLRLDASNGNTKVYINGREITKTELRVLKLANVQCPKDTHFWLYDDGSYEEEGQNNIKGNIWGKASTRFICSLFSLPVPPGNPNGPKEDPTTFSGRSVPEYLEQGRIHKLLLFGLEGSGTSTIFKQGKFLYGNRFTPEELQDIKLMIQSNMYRYLSILLEGRERFEEEDLTEKSTATVNSEECASGEGGETSEKCIYSINQRFKHFSDWLLDIMATGDLDAFFPAATREYAPIVDEVWKDPAIQETYKRREELHHLPVVAKYFLDRTIEISSNEYEPSEKDILYAEGVTQNNSLAFMEFTFDDRSPMSEIYNENFDCTPPLTKYQLIRINSKGLRDGCKWLEMFEDVRAIIFCVALNDYDQIWAHGTGPPCNKMIASRDLFESLVRHPCFMDTPFVLLLNKYDALEEKINQVPLSACEWFEDFQPLKPHNNSQTLAQQAYFYVAVKFKELYFSLTGQKLFVCQTRARERTSVDEAFKYIREVLKWDEEKHDNVYGIPMDDSFYSTEMSSSPFIRQE >Potri.019G064100.2.v4.1 pep chromosome:Pop_tri_v4:19:10435075:10441457:-1 gene:Potri.019G064100.v4.1 transcript:Potri.019G064100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064100.v4.1 MDGGGGRRITVSPRPCNGKRVVAKKRGRSFGGGDGFVNSVKKLQRREICSKRDRSFTMTDAQERFRNIRLQEEYDTYDPKGHCTMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVTPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSIADKNVQEIKISPGIMLLILTKSSGCVPLEILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNFDLTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNGSINISNILTGKCLAKIKASNSFPNENECGQNSKKHRHASRIRSTAAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Potri.019G064100.1.v4.1 pep chromosome:Pop_tri_v4:19:10435074:10441491:-1 gene:Potri.019G064100.v4.1 transcript:Potri.019G064100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G064100.v4.1 MDGGGGRRITVSPRPCNGKRVVAKKRGRSFGGGDGFVNSVKKLQRREICSKRDRSFTMTDAQERFRNIRLQEEYDTYDPKGHCTMVLPFLRKRSKIIEIVAARDIVFALAQSGVCAAFSRETNQRICFLNVTPDEVIRSLFYNKNNDSLITVSVYASDNFSSLKCRSTRIEYIRRGQPDAGFALFESESLKWPGFVEFDDVNGKVLTYSAQDSIYKVFDLKNYTMLYSIADKNVQEIKISPGIMLLILTKSSGCVPLEILSIEDGTVLKSFNHLLHRNKKVDFIEQFNEKLLVKQENENLQILDVRNFDLTEVSRTEFMTPSAFIFLYENQLFLTFRNRTVAVWNFRGELVTSFEDHLLWHPDCNTNNIYITSDQDLIISYCKADSDDPLSEGNAGSINISNILTGKCLAKIKASNSFPNENECGQNSKKHRHASRIRSTAAEALEDITALFYDEERNEIYTGNRHGLVHVWSN >Potri.014G085800.1.v4.1 pep chromosome:Pop_tri_v4:14:5527817:5530565:-1 gene:Potri.014G085800.v4.1 transcript:Potri.014G085800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085800.v4.1 MDMSTALLLFAAVTTYLLWLTFISRSLKGPRVYPLLGSLPGLIENCDRLHDWIYDNLRACGGTYQTCICAIPFLAKKQGLVTVTCDPRNIEHLLKTRFDNYPKGPTWQAVFHDLLGEGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIKLRFCPVLETAQLKGEQVDLQDLLLRLTFDNICGLAFGKDPQTCAPGLPENSFASAFDRATEASLQRFILPEVLWKLKKWLRLGLEVSLNRSLTQLDDYLTNVIDARKKELLNQQKDRNIPHDDLLSRFMKKKESYSDTFLQHVALNFILAGRDTSSVALSWFFWLLTQNPSVEEKILHEICTVLIKTRGDDVTKWVDEPLGFEEVDSLIYLKAALSETLRLYPSVPQDSKHVVADDVLPDGTFVPAGSSVTYSIYASGRMKTTWGEDCLEFKPERWLSSDGEKFIMHDSYKFVAFNAGPRICLGKDLAYLQMKSVAAAVLLRHRLSVVQGHKVEQKMSLTLFMKHGLKVNVHKRDLEGTAARIIKKGKEGESRQLLHGNNEAIAVRYNGGGCNGASESAAGKGAVVGVV >Potri.014G085800.3.v4.1 pep chromosome:Pop_tri_v4:14:5527782:5530761:-1 gene:Potri.014G085800.v4.1 transcript:Potri.014G085800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G085800.v4.1 MDMSTALLLFAAVTTYLLWLTFISRSLKGPRVYPLLGSLPGLIENCDRLHDWIYDNLRACGGTYQTCICAIPFLAKKQGLVTVTCDPRNIEHLLKTRFDNYPKGPTWQAVFHDLLGEGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVSRAIKLRFCPVLETAQLKGEQVDLQDLLLRLTFDNICGLAFGKDPQTCAPGLPENSFASAFDRATEASLQRFILPEVLWKLKKWLRLGLEVSLNRSLTQLDDYLTNVIDARKKELLNQQKDRNIPHDDLLSRFMKKKESYSDTFLQHVALNFILAGRDTSSVALSWFFWLLTQNPSVEEKILHEICTVLIKTRGDDVTKWVDEPLGFEEVDSLIYLKAALSETLRLYPSVPQDSKHVVADDVLPDGTFVPAGSSVTYSIYASGRMKTTWGEDCLEFKPERWLSSDGEKFIMHDSYKFVAFNAGPRICLGKDLAYLQMKSVAAAVLLRHRLSVVQGHKVEQKMSLTLFMKHGLKVNVHKRDLEGTAARIIKKGKEGESRQLLHGNNEAIAVRYNGGGCNGASESAAGKGAVVGVV >Potri.004G183800.2.v4.1 pep chromosome:Pop_tri_v4:4:19746590:19749303:-1 gene:Potri.004G183800.v4.1 transcript:Potri.004G183800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G183800.v4.1 MWTIVLCVVAVLVVYYTHWINKWRNPTCNGVLPPGSMGLPIIGETLELIIPSYSLDLHPFIKKRIQRYGPIFRTNILGRPAVVSADPEINSHIFQNEGKLVEMWYMDTFSKLFAQSGESRTNAFGIIHKYARSLTLTHFGSESLKERLLPQVENIVSKSLQMWSSDASVDVKPAVSIMVCDFTAKQLFGYDAENSSDKISEKFTKVIDAFMSLPLNIPGTTYHKCLKDKDSTLSILRNTLKERMNSPAESRRGDFLDQIIADMDKEKFLTEDFTVNLIFGILFASFESISAALTLSLKLIGDHPSVLEELTVEHEAILKNRENPDSPLTWAEYNSMTFTLQVINETLRLGNVAPGLLRRALQDMQVKGYTIPAGWVIMVVNSALHLNPATFKDPLEFNPWRWKDFDSYAVSKNLMPFGGGRRQCAGSEFTKLFMAIFLHKLVTKYRWNIIKQGNIGRNPILGFGDGIHISFSPKGN >Potri.016G002300.1.v4.1 pep chromosome:Pop_tri_v4:16:114948:119981:-1 gene:Potri.016G002300.v4.1 transcript:Potri.016G002300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G002300.v4.1 MSHTFSTNPLNVNMNMVSMTTLTRPRKPNSCFSSSSTFLPHCNIQTLRFLPHRSLLRLNKNNCYKWKHSMFISTKPLLKDGTLSLNGQEAITGVPDNVFLTPLSDSSAFLGATSSQSSSRHVFKLGVIQDVRLLSLFRFKVWWMIPRVGNSGSDIPIETQMLLLEARKGPDLDKSNDSPSYIIFLPLLDGEFRSSLQGNSSNELEFCLESGDPAIVTSESIRAVFVNYGNHPFDLMKESMKILEEQTGTFSVRETKQMPGILDVFGWCTWDAFYQEVNPQGIKDGLKSLSEGGTPAKFLIIDDGWQDTTNEFQKEVEPFIDGSQFGGRLVSVEENNKFRRRSKESQADAPNDLKHFVADIKRNFGLKYVYVWHALMGYWGGLVPNARDTKKYNPKLTYPLQSPGNLANMRDLAMDCMEKYGVGAIDPDRISQFYDDLHSYLVSQDVDGVKVDVQNILETIATDLGGRVSLTRHFQEALEKSIASNFQDNSIICCMGLSTDSIYHSKRSAITRASDDYYPKNPATQTLHIAAVAFNSIFLGEVVVPDWDMFYSLHDAAEFHAIARAVGGCPVYVSDKPGEHDHKILKRLVLPDGSVLRAKYPGRPSRDCLFIDPVMDGKSLLKIWNLNKCTGVIGVFNCQGAGSWPCLDNTNQNHVSNSAEVSGQVSPADVEYFEEVSGKLWTGDCAIYSFNKGSVSRLPKEEKFGVGLQTLECDVFTVSPIKVYYQRIEFAPIGLMNMYNSGGAIESVEQCGDPSSYNGRIHIKGRGAGSFGGYSSVKPKGCSINGEEEEMKYGEEDKLVTVTIDASNNSGWDMDIWY >Potri.014G184701.1.v4.1 pep chromosome:Pop_tri_v4:14:14978875:14982023:-1 gene:Potri.014G184701.v4.1 transcript:Potri.014G184701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G184701.v4.1 MGFLLKVKRAKFALDKARRWMWKGRGNATNSRKHHWLVEQKLLHFVDAFHQYVMDRVYHSAWRELCEGMAVAGSLDEVIEVHEAYLLSIQRQCFVVPDKLWALIASRINSILGLALDFYSIQQTLSSSGAASAMKARCEMEVERIEKQFDDCIAFLLRVLSLKLNVGNFPHLADLVTRINYNHFYMSDNGNLMTATGSEIVTSRLGKTFG >Potri.001G296300.2.v4.1 pep chromosome:Pop_tri_v4:1:30726950:30728935:1 gene:Potri.001G296300.v4.1 transcript:Potri.001G296300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G296300.v4.1 MGSNEMNSRIDTLELKSLILKKFGHQRADKYFDQLTRLFSLKITKCEFDKFCLRIIGRENISLHNHFIRSILKNTCLGKVPPHKGVERAGSNLTVKTANGYKRNCLQSLYREAFPSSPRKGRSPVSRDRKCRDRPSPLGPLGKPQSMACEELNSRAQEQQSATELLSTGSRPPIEFASVEDGEEVEQMAVSPGVQSRSPVTAPYGILMNLGGSRKALSNISRGSNYIPETCLNSGELPDTRSLRSHLEQKLEMEGIGVSLDCVNLLNNGLDVYLKRLIEPCMALAGSRCDNEQLKSASGEFIPGLNGTLPGRYAQRQRKSVNASMLDFRFAMESNPQILGEDWPVQLEKISLRGFEER >Potri.002G213600.1.v4.1 pep chromosome:Pop_tri_v4:2:19739946:19743676:-1 gene:Potri.002G213600.v4.1 transcript:Potri.002G213600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G213600.v4.1 MVMVRIRSRDGLERVSIDNTNITVSQLKTLIQNQLQIPIRSQTLSTNQSLLLAKSPSDLLKFTDMSNPDTPLSSLSISHGSLIFLAYDGERTIAGPAVRPAGSFGRKMTIDDLIAKQMRVTRQENPHCDSVSFDRDCANAFQHYVNETLAFAVKRGGFMYGTVSEEGKVEVDFIYEPPQQGTEEVLMLLRDSDEEKLVEAITACLGMRRVGFIFTQTIMQDKKDYTLSHREVLQAAELHAESELKEWVTAVVKLEVSEDGGADVHFEAFQMSDMCIRLFKEGWFETEIGEDADPKLSKMKKDVVVGTKDVKEVDNDFFLVVVKILDHLGPLSSTFPIENRITQVTMRALRSHLDRAKNLPFVKRISDFHLLLFLAKYLDLNSDVPALAECVLAQTAVPEGYQILIESMATT >Potri.016G039800.1.v4.1 pep chromosome:Pop_tri_v4:16:2444606:2446165:1 gene:Potri.016G039800.v4.1 transcript:Potri.016G039800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G039800.v4.1 MMGSKRWRRCYVEDESFKLVGMTEFTMMMFLDGCFVIQFMYCLLCDHENLKMSSHVSAMVTRDMLLLENQLPFLVLRSLMKLRFEDEGGMKLIKGFIKHIRAMPRQRDSCRKRISKFFRKIIMRGALNLTTPKGLAMEEYYVASHLLELFHMHFVDKDAPVDSSKTTLYRYHPATELRRVGIHFNPSKTSQLTDVQFKPTWLAGRLQIPPLTIDDSTKSILLNLVAYEACLGDNKKLWVTSYICFMDSLIDQPEDVRVLRSQGVLIVTLGSEEEVAKLFNEVANYLVPNPRVFNKVKKDIESHCRDTFKRWILHYKGPIYTVIFKYSFIFGLIVSALKYVKVFPAEPLYGVCRQGRS >Potri.010G013200.1.v4.1 pep chromosome:Pop_tri_v4:10:2287825:2289822:-1 gene:Potri.010G013200.v4.1 transcript:Potri.010G013200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013200.v4.1 MDGNKDEAIRCVRIAEEAIASGNKQRAFKFIKMAQRLNHNLSVNHLLSACEKLDSSENSASVDQSDPKDRNTNGFVKSDDGDEGMSAERSFTEEHVHLIRHINRNKDYYGILGVDKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKLCKAFKCLSDGDSRKQYDQTGLVDEFEHNQQYNNNNVRRRRTSARGSYYDDEFDPDEIFRAFFGQADVFRARHVYRNRETDGQQRGEQGGGPNLIVLLQILPFLLIILLAYLPFSEPEYSLLKNVAYQIPMSTEKYGVEYFVKSSAFDKNFPPGSPARDSIEDSVIKDYRNMLWRYCNIEIRRRQWSRNMPTPNCDKLRDLGLA >Potri.010G013200.5.v4.1 pep chromosome:Pop_tri_v4:10:2287865:2289822:-1 gene:Potri.010G013200.v4.1 transcript:Potri.010G013200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013200.v4.1 MDGNKDEAIRCVRIAEEAIASGNKQRAFKFIKMAQRLNHNLSVNHLLSACEKLDSSENSASVDQSDPKDRNTNGFVKSDDGDEGMSAERSFTEEHVHLIRHINRNKDYYGILGVDKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKLCKAFKCLSDGDSRKQYDQTGLVDEFEHNQQYNNNNVRRRRTSARGSYYDDEFDPDEIFRAFFGQADVFRARHVYRNRETDGQQRGEQGGGPNLIVLLQILPFLLIILLAYLPFSEPEYSLLKNVAYQIPMSTEKYGVEYFVKSSAFDKNFPPGSPARDSIEDSVIKDYRNMLWRYCNIEIRRRQWSRNMPTPNCDKLRDLGLA >Potri.010G013200.4.v4.1 pep chromosome:Pop_tri_v4:10:2288115:2289820:-1 gene:Potri.010G013200.v4.1 transcript:Potri.010G013200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G013200.v4.1 MLNCLRYLYTDFLKLFVLRLWELIIIMDGNKDEAIRCVRIAEEAIASGNKQRAFKFIKMAQRLNHNLSVNHLLSACEKLDSSENSASVDQSDPKDRNTNGFVKSDDGDEGMSAERSFTEEHVHLIRHINRNKDYYGILGVDKSCSVEEIRKAYRKLSLKVHPDKNKAPGSEEAFKKLCKAFKCLSDGDSRKQYDQTGLVDEFEHNQQYNNNNVRRRRTSARGSYYDDEFDPDEIFRAFFGQADVFRARHVYRNRETDGQQRGEQGGGPNLIVLLQILPFLLIILLAYLPFSEPEYSLLKNVAYQIPMSTEKYGVEYFVKSSAFDKNFPPGSPARDSIEDSVIKDYRNMLWRYCNIEIRRRQWSRNMPTPNCDKLRDLGLA >Potri.006G027500.4.v4.1 pep chromosome:Pop_tri_v4:6:1782530:1785408:-1 gene:Potri.006G027500.v4.1 transcript:Potri.006G027500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027500.v4.1 MTGLVQKIISEFNKNVMQDFVFLFMTSGRDPGIIPRNCQPPESDESVGIPSQSMEWVNNKITDVKLPRTKDLIVNGHSIKVKFCDTCLLYRPPRASHCSICNNCIQKFDHHCPWVGQCIGRRNYPYFIGFITSSTTLCIYVFAFSWFNVLRQHGTLWSAMSNDVLSVVLIAYCFIAFWFVGGLTLFHVYLISTNQTTYENFRYRYDKKENPFNRGIIKNFKQVFFSKIPVSAINFREWVTEDDDSIKGGSDINGNFVGKGKFDIEMGGKFGKDGAMHLPSILQNLDYGSLDDNLKKKGEEKPAFDPFLFPADQEQPNSPQISIDKSNPVGDNRKQQLS >Potri.006G027500.3.v4.1 pep chromosome:Pop_tri_v4:6:1782530:1785625:-1 gene:Potri.006G027500.v4.1 transcript:Potri.006G027500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027500.v4.1 MDPSPTTKSKRLYQLWKGNNKFLCGGRAVFGPDAGSLFLTTFLIGGPATAFCIKMLLLLVRKDDPCYDIPVLVGGLVLAIMDFVFLFMTSGRDPGIIPRNCQPPESDESVGIPSQSMEWVNNKITDVKLPRTKDLIVNGHSIKVKFCDTCLLYRPPRASHCSICNNCIQKFDHHCPWVGQCIGRRNYPYFIGFITSSTTLCIYVFAFSWFNVLRQHGTLWSAMSNDVLSVVLIAYCFIAFWFVGGLTLFHVYLISTNQTTYENFRYRYDKKENPFNRGIIKNFKQVFFSKIPVSAINFREWVTEDDDSIKGGSDINGNFVGKGKFDIEMGGKFGKDGAMHLPSILQNLDYGSLDDNLKKKGEEKPAFDPFLFPADQEQPNSPQISIDKSNPVGDNRKQQLS >Potri.006G027500.2.v4.1 pep chromosome:Pop_tri_v4:6:1782530:1785625:-1 gene:Potri.006G027500.v4.1 transcript:Potri.006G027500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G027500.v4.1 MDPSPTTKSKRLYQLWKGNNKFLCGGRAVFGPDAGSLFLTTFLIGGPATAFCIKMLLLLVRKDDPCYDIPVLVGGLVLAIMDFVFLFMTSGRDPGIIPRNCQPPESDESVGIPSQSMEWVNNKITDVKLPRTKDLIVNGHSIKVKFCDTCLLYRPPRASHCSICNNCIQKFDHHCPWVGQCIGRRNYPYFIGFITSSTTLCIYVFAFSWFNVLRQHGTLWSAMSNDVLSVVLIAYCFIAFWFVGGLTLFHVYLISTNQTTYENFRYRYDKKENPFNRGIIKNFKQVFFSKIPVSAINFREWVTEDDDSIKGGSDINGNFVGKGKFDIEMGGKFGKDGAMHLPSILQNLDYGSLDDNLKKKGEEKPAFDPFLFPADQEQPNSPQISIDKSNPVGDNRKQQLS >Potri.018G003100.1.v4.1 pep chromosome:Pop_tri_v4:18:208725:220232:-1 gene:Potri.018G003100.v4.1 transcript:Potri.018G003100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G003100.v4.1 MRIEESDGVSASRKHLVFAYYVTGHGFGHATRVVEVVRNLILAGHDVHVVTGAPDFVFTSEIQSPRLFIRKVLLDCGAVQADALTVDRLASLEKYSETAVKPRESILATEIEWLNSIKADLVVSDVVPVACRAAADAGIRSVCVTNFSWDFIYAEYVMAAGNHHRSIVWQIAEDYSHCEFLIRLPGYCPMPAFRDVIDVPLVVRRLHKTRKEARKELGISDDVKLVILNFGGQPSGWKLKEEYLPSGWLCLVCGASDSQELPRNFIKLAKDAYTPDLIAASDCMLGKIGYGTVSEALAFKLPFVFVRRDYFNEEPFLRNMLEYYQCGVEMIRRDLLTGHWKPYLERAISLKPCYEGGINGGEVAAHILQETAIGKNYASDKFSGARRLRDAIVLGYQLQRVPGRDISIPEWYSSAENELNKSTGSPTTQIIENGSLTSICTDDFEILHGDLQGLPDTKSFLKSLAELDTVYDSEKNSEKRQMREHKAAAGLFNWEEDIYVARAPGRLDVMGGIADYSGSLVLQMPIKEACHVAVQRNHASKHRLWKHAQARQNAKGQGPTPVLQIVSYGSELSNRGPTFDMDLSDFMDGEMPISYDKAKTYFAQDPSQKWAAYVAGTILVLMTELGVRFEDSISMLVSSAVPEGKGVSSSASVEVASMSAIAAAHGLSISPRDIALLCQKVENHIVGAPCGVMDQMTSACGEANKLLAMVCQPAEVIGLVEIPSHIRFWGIDSGIRHSVGGADYGSVRIGAFMGQKMIKSIASSTLSRSLPSANGLIHDELEDHSVDLIKAEASLDYLCNLSPHRYEALYAKMLPESILGETFLEKYIDHNDAVTIIDEKRTYVVRAPANHPIYENFRVKAFKALLTSTSSDEQLTALGELLYQCHYSYSACGLGSDGTDRLVRLVQEMQHGKPSKSEDGTLYGAKITGGGSGGTVCVIGRNCLRSSQQILEIQHRYKGGTGYLPFIFEGSSPGSGKFGYLRIRRPVSIT >Potri.002G187400.2.v4.1 pep chromosome:Pop_tri_v4:2:14949170:14956338:-1 gene:Potri.002G187400.v4.1 transcript:Potri.002G187400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G187400.v4.1 MMAEENGLGGDARIHEATSSSPVNDDGRHLGANENQEKHEKSKEHENTKSVPFFKLFSFADSTDYLLMFLGAIGAIANGMSMPLMTLLLGDVINAFGSNQFGNDMTSLVSKVSLKFVYLAMGSGVAACFQVTCWIVTGERQASRIRSTYLKTILRQDIAFFDKDTNTGEVVGRMSGDTVLIQDAMGEKVGKFLQLMATFIGGFAVAFIKGWLLALVMLSAIPLLVLAGASMALFISKMAARGQNAYAEAANVVEQTIGGIRTVASFTGEKRAISIYNDLLLTAYGSGVKEGIFSGFGVGMVMFIVFCSYSMAVWFGAKMVLEKGYSGGAVINVIVAILTGSMSLGQASPCLSAFAAGRAAAHKMFETIERKPEIDAYDIKGKVLDDIQGDIELRNVYFSYPARPDEPIFSGFSLSIPSGTTAALVGHSGSGKSTVISLVERFYDPLAGEVLIDGINIKEFQLKWIREKTGLVSQEPVLFASSIKENIAYGKDGATNEEIRAAAELANAAKFIDKLPQGLDTMVGEHGTQLSGGQKQRIAIARAILKNPRILLLDEATSALDAESERVVQDALDKIMVDRTTVIVAHRLTTVRNADMIAVIHRGKMVEKGTHSQLLGDPDGAYSQLVRLQEINRESGRETEISLESFRQSSQRRSVRRSISRSISRGSSIGSSRHSFSLPFGLPTGFSVRENAYEDPEDILPPEDAPEVPLSRLASLNKPEIPVLIIGTIAACIHGTILPIYGTLMSKAIKTFFEPPHVLRKDSKFWALMFMTLGVAAFVVIPVRSYFFSVAGCKLIQRIRSMCFERVINMEVSWFDEPEHSSGAIGSRLAADAAIVRSLVGDQLAAIVQNIATVTSAMIIAFTASWQLALVILGLIPLIGINGVIQVKFMKGFSADAKMMYEEASQVANDAVCSIRTVASFCAEEKVMQLYEGKCRGPMKSGVRLGWVSGVGFGVSSFLLYCFYATSFYVGARLVDAGHITFQDVFQVFFALTLASVGISHSSTFTTDTTKAKNAAASVFSIIDRKSKIDPSDESGIILENVKGEIELRHVSFKYPTRPDIQIFRDINLLMRAGKTVALVGESGSGKSTVVALLQRFYDPDSGRITLDGTEIQKLQLKWFRQQMGLVGQEPVLFNDTIRANIAYGKGGDATEAEIISAAELANAHKFISSLHQGYDTGAGDRGIQLSGGQKQRVAIARAIVKNPKILLLDEATSALDAESERVVQDALDRVMVNRTTVVVAHRLSTVRNADLIAVVKNGVIVERGRHESLIKIKDGFYASLVALHTSAKTE >Potri.008G105100.1.v4.1 pep chromosome:Pop_tri_v4:8:6630700:6637302:-1 gene:Potri.008G105100.v4.1 transcript:Potri.008G105100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G105100.v4.1 MESTAPAEELLKKIEELEAGHHHLQQEVSKLKLSSTTTDPKSTQQRSHSISPQRSGPRRRVSGGPTGSSFEAAWKKGSASSRHSSPLQKESRSLNSDNVRDGNSNGGREGGDGNSGPSAVKFTDKQYLNILQSMGQSVHIFDVSGRIIYWNRTAENLYGYSAAEALGQDAIGLLIDPRDYALANGIIQRVSMGEKWTGKFPVKNKMAERFTAVATNTPLYDDDGALVGIICVSSDSRPFQEMEVALSDSRNLETESSHRRPKNTVMTKLGLDSQQPLQAAVASKISNLASKVSNKVKSKIHMGESNTDREVGSGDSHYSDHGYSDAALSDHREDANSSGASTPRGDLHPSPFGVFSNLDDKSPVKPSRDSGDESEGKPAIHKIITSKAEAWIGKKGLSWPWKGNEKEGSEARTTRFGWPWLQNDQESETYHQTSPSSGAKSESQVSESIRPATNEASGSWSSINVNSTSSASSCGSTSSTVNKVDMDTDCLDYEILWEDLTIGEQIGQGSCGTVYHALWYGSDVAVKVFSKQEYSDDIILAFRQEVSLMKRLRHPNVLLFMGAVTSPQRLCIVTEFLPRGSLFRLLQRNTTKLDWRRRVHMALDIARGMNYLHHCNPPIIHRDLKSSNLLVDKNWTVKVGDFGLSRLKHETYLTTKTGKGTPQWMAPEVLRNEPSDEKSDIYSYGVILWELSTEKIPWDNLNSMQVIGAVGFMNQRLEIPKDVDPQWASIIESCWHSDPRCRPTFQELLEKLRDLQRQCAIQVQAARSATGDNTQKEP >Potri.010G239200.5.v4.1 pep chromosome:Pop_tri_v4:10:21822044:21823052:-1 gene:Potri.010G239200.v4.1 transcript:Potri.010G239200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G239200.v4.1 MDTSSPSIFVNGESLPLYIGKRVRAVVQVIQSDGADTTAKSTDEHQLIIKGLPVIPPLKFVEVIGIADGNQSVHAQTWTNFGDAFDAASYNQLCKLANGEFKALFL >Potri.001G399800.3.v4.1 pep chromosome:Pop_tri_v4:1:42628975:42635620:1 gene:Potri.001G399800.v4.1 transcript:Potri.001G399800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399800.v4.1 MNGGSFGQRGSYGSLPCYGKLSNIARKQSSKMPPVSSRDKERVLLVVCRCLGRRRVAMLLLVALALLVFIWGSLTVSKETTSPTVRPMDSIHFDNSDIISHPSVACRSRANSILDHPCHKFALPPPPPSGGRRFGPRPCPVCYISAEQARASIPCSSSASPVLRNLTYVVDENPIKIESHGGSEFGGYPSLKQRNESFDIQESMTVHCGFVKGNRPGRQTGFDIDEADLKKLEEFHEVIVASAIFGNYDIIQQPKNVSEAARKNVPFYMFIDEETETYLKNSSALDSNMRIGLWRIIVVHNIPYTDARRNGKVPKLLLHRLLPNVRYSIWIDGKLQLVVDPYQVLERFLWQQNASFAISRHYHRFDVFEEAEANKAAGKCDNSSIDYQIEFYKKEGLSPYSKAKLPITSDVPEGCVIIREHIPITNLFTCLWFNEVDRFTARDQLSFSTVRDKIMAKVDWSINMFLDCERRNFVIQAYHKDLLDHMPPPVAHVIRHPPPLHRDSSAGRNPSKNSRRGRDRRSGSRQHRKAAAGNREKQTFL >Potri.002G039600.2.v4.1 pep chromosome:Pop_tri_v4:2:2606914:2608898:-1 gene:Potri.002G039600.v4.1 transcript:Potri.002G039600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039600.v4.1 MEILVSSRCNLQSLSEKYIFPEEIRPGKVAIALCESIPVIDLGDIAGQNRANIAQEILKASQEFGFFQVINHGVSKELMNDTMSVFNEVFEMPAEDLAGIYSEDPDRSCRLFTSSNSYASEDVHNWRDFLRHPCHPDLDACIQQWPEKPTRYRQVVGNYSTEVMKLASGILELITEGLGLESRYFGGDVCGLQVFKDGEWIGVGPVPNAFVINIGYQLQIISNNKLKGAEHRAVTNSKDARTSAAFFVSPSRDSIVEPARELIKEDNRPLYRAFEFTEFFSNYMNEKGNVEVVLEPFKLQA >Potri.015G016500.4.v4.1 pep chromosome:Pop_tri_v4:15:1128019:1129093:-1 gene:Potri.015G016500.v4.1 transcript:Potri.015G016500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016500.v4.1 MEMLNAHSRPKNWLELLPGKLRLLYCTGRQIGLTLRQPSALVLIGDVYLAINRASKLNTSISFTSDIGFMVMNGRDWPHDKSGITGKLEVNSLFRLTSGRRLYLKEEKTSEKLSFGQPNNQDSLAQKLSAKKWRKLVPYKEFPSSLPVAKLVSADIEVTMSLGDNLNQNDVTPSSIVQELCVQVPPEMFDLSRLACGTYVDSRLLVLRGVSESALLFTRSMC >Potri.015G016500.3.v4.1 pep chromosome:Pop_tri_v4:15:1127888:1131998:-1 gene:Potri.015G016500.v4.1 transcript:Potri.015G016500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G016500.v4.1 MCRIYDGKNGAAGTHGSINFHEVQIIPHEDPIYKVVPSCLREFLLQILSRNSPSGNVGLQRNRLSCFIELMEMLNAHSRPKNWLELLPGKLRLLYCTGRQIGLTLRQPSALVLIGDVYLAINRASKLNTSISFTSDIGFMVMNGRDWPHDKSGITGKLEVNSLFRLTSGRRLYLKEEKTSEKLSFGQPNNQDSLAQKLSAKKWRKLVPYKEFPSSLPVAKLVSADIEVTMSLGDNLNQNDVTPSSIVQELCVQVPPEMFDLSRLACGTYVDSRLLVLRGVSESALLFTRSMC >Potri.001G234600.1.v4.1 pep chromosome:Pop_tri_v4:1:25333803:25336336:-1 gene:Potri.001G234600.v4.1 transcript:Potri.001G234600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234600.v4.1 MRTMLHKQSPSASPNPSPHHRHTFSDTLMDEKIEIAQALISKWDVSPNSSSLYCNITNLFAADNRYEAKQYLNSIKDLQAAMQYYISHEPTSENLVLAQGLMQIAIKRLEREFYIILKSNRQHLDPESVSRASRSSVSEFEDESEDEEESRAGEDSISEVERVSMSVMEDLKAISECMISAGYGKECIKIYKNIRKSIVDEALYHLNVDSRLSFAQIQKMDWEVLEVKIKTWLNAVKVAVKTLFYGERILCDQVFSSSPAMRESCFADITREGALSLFVFPENVAKCKKAPERMFRTLDLYEAIADLWPEIESIFDLESTSTVKQQAINSLIKLGEAVRAILIEFESAISKDHSKAAVPGGGIHPLTRYVMNYVTFLADYSGILADILADWPLPVLSSLPEAYFGSPFSDDGTINSAISTRLAWLILVMLCKLDGGAAIYKDAALSYLFLANNLQYVVNKVQKSNLKFLHGDEWIEKHEARVRQYVSNYERMGWSKVFATLPDINDNQMTTQQVTECFNSFNSSFEEAYNKQASWVVSDSKLRDQIKLSVARKLVPAYREFYEKYRQVVVRKEGIVRFAPDDLENYLSDLLFGTGGSSSHLSSSSSLSSISSSPSHSRGGRSH >Potri.013G163200.1.v4.1 pep chromosome:Pop_tri_v4:13:15583071:15583550:1 gene:Potri.013G163200.v4.1 transcript:Potri.013G163200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:ndhJ MRGPLSAWLVKHGLVHRSLGFDYQGIETLQIKPEDWHSIAVILYVYGYNYLRSQCAYDVAPGGLLASIYHLTRIEYGILDQPEEVCIKVFAPRKNPRIPSVFWVWKSADFQERESYDMLGISYDNHPRLKRILMPESWIGWPLRKDYIAPNFYEIQDAH >Potri.012G037300.1.v4.1 pep chromosome:Pop_tri_v4:12:3350520:3355170:1 gene:Potri.012G037300.v4.1 transcript:Potri.012G037300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G037300.v4.1 MTGTGGDGMVNGTLHSSSAVIHKPIVRITVLFLGVLAVSCIVLYKSAYHFEFLPGSSSDSDSLSMLDNRTSKGKFDLELEGILKNASTKHKTVILTTLNQAWAEPGSIFDLFLESFQVGDNTQKLVNNLIIISMDQKAHARCLAIHPHCYALRTEGLNFSSEAYFMSEEYLKMMWRRIEFLGTVLEMGYSFVFTDADIVWLRNPFPRFYPKVDFQIACDNYYGNPEDKNNRPNGGFTYVRSNLRTIQFYRFWFQSRETYPGNHDQDVLNMIKNDPFLEKIRLEMRFLDTAYFGGFCERSKDFHEVCTMHANCCYGLGTKVHDLKIVLEDWKNFMSLPLKEKASASPAWRAPQNCRT >Potri.001G031700.5.v4.1 pep chromosome:Pop_tri_v4:1:2362035:2372766:1 gene:Potri.001G031700.v4.1 transcript:Potri.001G031700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031700.v4.1 MEEFRRAILQPGPVETFALKTVQEFIKPQKQTKLVQDENQLLENMLRTLLQELVSSAAQSGEEIMLSGKSIDDEENSQGQIPRLLDAVLYLCEREHIEGGMIFQLLEDLTEMSTMRNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKEPPAAISLDFNFYKTLWSLQEYFCDPSLTLSPIKWQKFSSSLMVVLNTFEAQPLSEEEGDANNLEEEAAAFNIKYLTSSKLMGLELKDPSFRRHVLVQCLILFDYLKAPGKNDKDLTSESMKEEIRSREEHVKKLLEMTPPKGKDFLHMVEHILEREKNWLWWKRDGCPPFEKQPIENKTVQDGGKKRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPIITDYWKPLAEDMDPSAGIDAEYHHKNNRVYCWKGLRFSARQDLDGFSRFTDHGIEGVVPLELLPPDVRSKYQAKPNDRSKRAKKDEPKGALHQVEDNQISTPASEIDGEGIRIDLEASAAPMDTDVTATTGSISQSGTPTPDEHQKQGSDTDGGQEAGQLEADAEAEAGMIDGETDAEVDLEAVG >Potri.001G031700.1.v4.1 pep chromosome:Pop_tri_v4:1:2362010:2372538:1 gene:Potri.001G031700.v4.1 transcript:Potri.001G031700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031700.v4.1 MEEFRRAILQPGPVETFALKTVQEFIKPQKQTKLVQDENQLLENMLRTLLQELVSSAAQSGEEIMLSGKSIDDEENSQGQIPRLLDAVLYLCEREHIEGGMIFQLLEDLTEMSTMRNCKDIFGYIESKQDILGKQELFARGKLVMLRTCNQLLRRLSKANDVVFCGRILMFLAHFFPLSERSAVNIKGVFNTSNETKYEKEPPAAISLDFNFYKTLWSLQEYFCDPSLTLSPIKWQKFSSSLMVVLNTFEAQPLSEEEGDANNLEEEAAAFNIKYLTSSKLMGLELKDPSFRRHVLVQCLILFDYLKAPGKNDKDLTSESMKEEIRSREEHVKKLLEMTPPKGKDFLHMVEHILEREKNWLWWKRDGCPPFEKQPIENKTVQDGGKKRRPRWRLGNKELSQLWKWADQNPNALTDPQRVRTPIITDYWKPLAEDMDPSAGIDAEYHHKNNRVYCWKGLRFSARQDLDGFSRFTDHGIEGVVPLELLPPDVRSKYQAKPNDRSKRAKKDEPKGALHQVEDNQISTPASEIDGEGIRIDLEASAAPMDTDVTATTGSISQSGTPTPDEHQKQGSDTDGGQEAGQLEADAEAEAGMIDGETDAEVDLEAVG >Potri.008G134000.1.v4.1 pep chromosome:Pop_tri_v4:8:8906231:8907887:-1 gene:Potri.008G134000.v4.1 transcript:Potri.008G134000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G134000.v4.1 MACMVARSGRELQRYDDMGRRQVVGCIPYRFKNCSDGFNGDELEVLVITSQKGQTQGMMFPKGGWELDESVEEAASRESLEEAGVLGNVEDELGKWNFLSKRHGTFYEGFMFPLFVTKQLDLWPEKSVRQRIWMTVNEAREVCRHWWMKEALDILVERHTSLQLQKEELALSCSLS >Potri.013G082800.1.v4.1 pep chromosome:Pop_tri_v4:13:7066171:7067713:-1 gene:Potri.013G082800.v4.1 transcript:Potri.013G082800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082800.v4.1 MSSVNVVVMVVGLLVLAQQSFQMSLRNPVAETNNCKIDFTRLGLVLTSDTNEKALQDSGLFTPDAETPYVDIAGRRFHIGTLNARFIVYVKIGGNSVNAAIAVQILLNRFRIHGIIHFGSAGSLDKESIVPGDVSVPLAVAFTGAWNWKKFGSDEGTLNFGEFNYPVNGENLLASVDYDTIKLFSKGQSPQDVFWFPSTTSWYSAATQVLQDLELRQCYDGVCLPSKPKIVFGTNGSSSDSYIKNKAYGDFLHKVFNVSTADQESAAVAWTSLSNEKPFIVIRGASNVAGEANPGFSPAGYLASYNAFLAAAKFIESIPTPRLACE >Potri.003G213200.2.v4.1 pep chromosome:Pop_tri_v4:3:21005666:21010481:1 gene:Potri.003G213200.v4.1 transcript:Potri.003G213200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G213200.v4.1 MLPALPPASRNQGAPPPSFPDRSSPPSVKSRLCNKYNTVEGCKFGDKCHFAHGEWELGKASAASYDDPRAMGPMQGRAAAPYDDPRAMGPMQGRMSRHMEHPHQGHGAAASFGSSATTKISIDASLAGAIIGKNGVNSKHICRATGAKLSIREHETDPKKRNIELEGSFDQISQASDMVRQLISNVGQASGPPMKNSSMHSSGGSNNFKTKICENFNKGSCTFGDRCHFAHGAEELRKSGM >Potri.003G213200.1.v4.1 pep chromosome:Pop_tri_v4:3:21005667:21010503:1 gene:Potri.003G213200.v4.1 transcript:Potri.003G213200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G213200.v4.1 MEFGGGHRKRGRLDAAFNGNGGHKKTRQEMESFSTGIGSKSKPCTKFFSTSGCPFGEGCHFLHYVPGGYKAVSQMLPALPPASRNQGAPPPSFPDRSSPPSVKSRLCNKYNTVEGCKFGDKCHFAHGEWELGKASAASYDDPRAMGPMQGRAAAPYDDPRAMGPMQGRMSRHMEHPHQGHGAAASFGSSATTKISIDASLAGAIIGKNGVNSKHICRATGAKLSIREHETDPKKRNIELEGSFDQISQASDMVRQLISNVGQASGPPMKNSSMHSSGGSNNFKTKICENFNKGSCTFGDRCHFAHGAEELRKSGM >Potri.018G146900.1.v4.1 pep chromosome:Pop_tri_v4:18:15877890:15879622:1 gene:Potri.018G146900.v4.1 transcript:Potri.018G146900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G146900.v4.1 METKTVIAATTCYANVIGSYKPKRFAIFSIKRDPKKRALAQKMIRQWKRDQGVFGKETCADCASLIQTLCKHRRPHLAEELLLELKCEGFLPDNRTLSAMMLCYADSGLLPQAQAIWEEMLYSSFVPSVQVISDLIDIYAKSGLFDEVIKILDQLSSLRTFDFLPQVYSLAISCFGKGGQLELMEDTLKKMVSKGFWVDSATGNAFVVYYSLHGSLAEMEAAYDRLKRSRLLIEREGIRAMSFAYIKERKFYGLSEFLRDVGLGRKNLGNLIWNLLLLSYSANFKMKTLQREFLNMLEAGFHPDLTTFNIRALAFSRMSLLWDLHLGLEHMKHDKVAPDLVTYGCIVDAYLDRRLVRNLEFALSKMHVDNSPVLSTDPFVFEVFGKGDFHSSSEAFMEFKRQRKWTYRELIKIYLRKQHRSKHIFWNY >Potri.014G014000.1.v4.1 pep chromosome:Pop_tri_v4:14:809519:810459:1 gene:Potri.014G014000.v4.1 transcript:Potri.014G014000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G014000.v4.1 MSKLTALFTVALLLSFTLTYAARPRPVPVLSDEPLDVKADEAAVVESCEGLGVEACLARRTLAAQVDYIYTQKQNP >Potri.016G008600.2.v4.1 pep chromosome:Pop_tri_v4:16:400938:401361:-1 gene:Potri.016G008600.v4.1 transcript:Potri.016G008600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G008600.v4.1 MTTSKRLSERKVAKFQKNITRRGSVHETSTKKGYDYPVGPVLLGFLVFVVIGSCMFFLAC >Potri.016G008600.1.v4.1 pep chromosome:Pop_tri_v4:16:400221:401514:-1 gene:Potri.016G008600.v4.1 transcript:Potri.016G008600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G008600.v4.1 MTTSKRLSERKVAKFQKNITRRGSVHETSTKKGYDYPVGPVLLGFLVFVVIGSFPFQIIRAATSGGKA >Potri.017G121200.1.v4.1 pep chromosome:Pop_tri_v4:17:12686530:12687777:-1 gene:Potri.017G121200.v4.1 transcript:Potri.017G121200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G121200.v4.1 MGNYVSCTLSNPQGLRNSSKSTKVILPTGEIKKIQQPTKAAELMLEAPNFFIVNSKSLKIGSRFCPLGADYELGKADVYVMFPMRKKNSVVTAGDMGALFVTANSVMKRASKGNMRVLPKSKVEIPQDMERDDIDEAPRLSLEGIEDVSAHELIHRMSMSRSKKPLLETIEEEPIRSR >Potri.016G065800.2.v4.1 pep chromosome:Pop_tri_v4:16:4601095:4602022:-1 gene:Potri.016G065800.v4.1 transcript:Potri.016G065800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065800.v4.1 MPCTVKQVERDPSATHGNKLNEIAVHLAFLTWTQHKKTSGFTPIRQYTASVCSSQRTDIYYGDTVLFLVDRQGTLKVLDKVRCTSR >Potri.001G422000.2.v4.1 pep chromosome:Pop_tri_v4:1:45000747:45002301:1 gene:Potri.001G422000.v4.1 transcript:Potri.001G422000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G422000.v4.1 MDSIKPLAPSRRVSKSKHRKQYWKNRERRETMERLKTDMIEIGEGQKRIREGQREIRQKFEEIGSECRRLKEETINIAKQSDYNQVRINLMFSILKAREDNNIAHADHLTGLLRKEMEKQEQGKPGLVG >Potri.015G096700.7.v4.1 pep chromosome:Pop_tri_v4:15:11798781:11802313:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MKGQRWQRWIKRDASWTYKRIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQVTPISSRSVLVANKSGKAHKLNQKRGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQR >Potri.015G096700.10.v4.1 pep chromosome:Pop_tri_v4:15:11798118:11801844:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MKGQRWQRWIKRDASWTYKRIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQLCQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQR >Potri.015G096700.8.v4.1 pep chromosome:Pop_tri_v4:15:11798781:11802313:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MQVGPTKDILNHEHINNFENNSLGNVNLNISNGVMPLHNTIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQLCQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQR >Potri.015G096700.2.v4.1 pep chromosome:Pop_tri_v4:15:11798781:11802313:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MKGQRWQRWIKRDASWTYKRIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQLCQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQVTPISSRSVLVANKSGKAHKLNQKRGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQR >Potri.015G096700.6.v4.1 pep chromosome:Pop_tri_v4:15:11798781:11802313:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MKGQRWQRWIKRDASWTYKRIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQLCQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQRNSCGTMGHEQTTNFLQRWFHLAKTVAVFDSWGSYLELESTLK >Potri.015G096700.5.v4.1 pep chromosome:Pop_tri_v4:15:11798781:11802313:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MQVGPTKDILNHEHINNFENNSLGNVNLNISNGVMPLHNTIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQLCQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQVTPISSRSVLVANKSGKAHKLNQKRGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQR >Potri.015G096700.4.v4.1 pep chromosome:Pop_tri_v4:15:11798781:11802313:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MKGQRWQRWIKRDASWTYKRIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQVTPISSRSVLVANKSGKAHKLNQKRGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQRNSCGTMGHEQTTNFLQRWFHLAKTVAVFDSWGSYLELESTLK >Potri.015G096700.3.v4.1 pep chromosome:Pop_tri_v4:15:11798781:11802313:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MKGQRWQRWIKRDASWTYKRIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQLCQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQVTPISSRSVLVANKSGKAHKLNQKRGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQRNSCGTMGHEQTTNFLQRWFHLAKTVAVFDSWGSYLELESTLK >Potri.015G096700.9.v4.1 pep chromosome:Pop_tri_v4:15:11798781:11802313:1 gene:Potri.015G096700.v4.1 transcript:Potri.015G096700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G096700.v4.1 MQVGPTKDILNHEHINNFENNSLGNVNLNISNGVMPLHNTIEYQISNSGFSAVANVGPSMYCVVSTLPLNAHSGTCLCNPNDLTANWNSQHSFPYNSINCAPNLGNGQVYQGERSVHGVSSLWGSHNIPQVPAMALQLAGVTLVPALTPQMAGGNVHTGAPPWFEATQENQLNIQGLLGQKGERWRWQVVKSDCDANWPPNFGRVWQHGSQKESRKEYESEKQKLPNVESHTEMPVRIQPYISKHMAISKLQVLVYSAVLIMSHLFHFQAWLILQR >Potri.T011101.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1865:26074:29296:1 gene:Potri.T011101.v4.1 transcript:Potri.T011101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T011101.v4.1 MAEVAQNVSQEQVVVVTDVPQAEKTTPVPPTMPVVGKELPLPVPETEEVPMKPKQVEEAVVETEDLKASGGDDEKMPQLVSFKEESTKVADLLESEKKALQEFKKLVQEALNKHEFSALTTTPAPAKEEKKKDVMVASEEEKKPAQEEETPAVIEEKENVEPQVVAEKEEKKEVVESEVLDDQEKVAPVPASDTTAVSTVDDDGAKTVEAIEETIVAVSSSVASQEETSAQATKEPEGETKAASALDEGAKEVKSETVVEVTPEEVSIWGITLLADDRSDVILLKFLRARDFKVKDAFTMLKNTIRWRKELGIDELLEQDLGCDDLGKVVFMHGLDKEGHPVCYNVYGEFQNKELYKNSFSDEEKRQRFLRWRIQFLERSIRKLDFSPGGVSTIVQVNDLKNSPGPAKRELRQATRQALQLLQDNYPEFVAKQIFINVPWWYLTVNRMISPFLTQRTRSKFVFAGPSKSAETLTRYITAEQIPVKYGGLSKDGEFCTADAVTEITVKASAKHTVEFPVTETCLLTWEMRVVGWDVSYGAEFVPNAEDSYTVIIQKARKVAITEEPVVSNSFKVGEPGKVVLTIDNTTSKKKKKLLYRLKTKPCSD >Potri.008G030100.4.v4.1 pep chromosome:Pop_tri_v4:8:1623116:1629066:-1 gene:Potri.008G030100.v4.1 transcript:Potri.008G030100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030100.v4.1 MTDFQPLQQKPESTDDARMEFERGLEELMRGHLDDCMPFASCSSNRNVDEEDDEGDQLVRRRRRSELEGDDLAESSAVRRRHSRILSRWAARQAQEMMTPMERRSRESELMALAGLHTVSMLDSSFLRESQSPTARRQGAVERPSTQASAILQMWRELEDEHLLNRRERLRQRRNAESNTNMSVSNASESRGSENQGSLEDASESENDFGPWSHDHMVSQNERGDNESSREQSPDIGEVERSVRQIARGWMESGISDRASNVSQRNGSPRAEWLGETERERVRIVREWVQMASQQRGARASRREDQAAGHNAQVDQARDGSVADHDEGQPEHIHRDMLRLRGRQAILDLLVRIERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELVQLRQRHTVSGLREGFRSRLENIVRGQVSSHSDTTPNTNINDSGNDRTQTNTHQDIQHEENDQPQPRSQESDVRRLPDQTNSSGGNNATDNMNRQETANQGEGWQEQVTNDERGNWQQSGYSQLDEWRGSNAEPMDGNWQENSVNEWSRETPGNVPGEQGRPQGAQELWREDGSSETVENWTVGSSDPPRTRRAVPMRRFNRFHPPDDENVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRSPIDWDLHRNLPTPTPTSPERDEEQQRDEQNEGQRDAVNRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSELEWETINDLRADMGRLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLGAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >Potri.008G030100.5.v4.1 pep chromosome:Pop_tri_v4:8:1623123:1629052:-1 gene:Potri.008G030100.v4.1 transcript:Potri.008G030100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030100.v4.1 MTDFQPLQQKPESTDDARMEFERGLEELMRGHLDDCMPFASCSSNRNVDEEDDEGDQLVRRRRRSELEGDDLAESSAVRRRHSRILSRWAARQAQEMMTPMERRSRESELMALAGLHTVSMLDSSFLRESQSPTARRQGAVERPSTQASAILQMWRELEDEHLLNRRERLRQRRNAESNTNMSVSNASESRGSENQGSLEDASESENDFGPWSHDHMVSQNERGDNESSREQSPDIGEVERSVRQIARGWMESGISDRASNVSQRNGSPRAEWLGETERERVRIVREWVQMASQQRGARASRREDQAAGHNAQVDQARDGSVADHDEGQPEHIHRDMLRLRGRQAILDLLVRIERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELVQLRQRHTVSGLREGFRSRLENIVRGQVSSHSDTTPNTNINDSGNDRTQTNTHQDIQHEENDQPQPRSQESDVRRLPDQTNSSGGNNATDNMNRQETANQGEGWQEQVTNDERGNWQQSGYSQLDEWRGSNAEPMDGNWQENSVNEWSRETPGNVPGEQGRPQGAQELWREDGSSETVENWTVGSSDPPRTRRAVPMRRFNRFHPPDDENVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRSPIDWDLHRNLPTPTPTSPERDEEQQRDEQNEGQRDAVNRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSELEWETINDLRADMGRLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLGAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >Potri.008G030100.3.v4.1 pep chromosome:Pop_tri_v4:8:1622633:1629056:-1 gene:Potri.008G030100.v4.1 transcript:Potri.008G030100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030100.v4.1 MTDFQPLQQKPESTDDARMEFERGLEELMRGHLDDCMPFASCSSNRNVDEEDDEGDQLVRRRRRSELEGDDLAESSAVRRRHSRILSRWAARQAQEMMTPMERRSRESELMALAGLHTVSMLDSSFLRESQSPTARRQGAVERPSTQASAILQMWRELEDEHLLNRRERLRQRRNAESNTNMSVSNASESRGSENQGSLEDASESENDFGPWSHDHMVSQNERGDNESSREQSPDIGEVERSVRQIARGWMESGISDRASNVSQRNGSPRAEWLGETERERVRIVREWVQMASQQRGARASRREDQAAGHNAQVDQARDGSVADHDEGQPEHIHRDMLRLRGRQAILDLLVRIERERQRELEGLLEHRAVSDFAHRNRIQSLLRGRFLRNERPVEEERPPSMAASELVQLRQRHTVSGLREGFRSRLENIVRGQVSSHSDTTPNTNINDSGNDRTQTNTHQDIQHEENDQPQPRSQESDVRRLPDQTNSSGGNNATDNMNRQETANQGEGWQEQVTNDERGNWQQSGYSQLDEWRGSNAEPMDGNWQENSVNEWSRETPGNVPGEQGRPQGAQELWREDGSSETVENWTVGSSDPPRTRRAVPMRRFNRFHPPDDENVYSMELRELLSRRSVSNLLRSGFRESLDQLIQSYVERQGRSPIDWDLHRNLPTPTPTSPERDEEQQRDEQNEGQRDAVNRPSLVLPSPPVPPPQPLWHQDLHHTSWSRHSMHRSELEWETINDLRADMGRLQQGMSHMQRMLEACMDMQLELQRSVRQEVSAALNRSAGEKGLGAETSEDGSKWGHVRKGTCCVCCDSHIDSLLYRCGHMCTCSKCANELVRGGGKCPLCRAPIVEVIRAYSIL >Potri.017G144421.1.v4.1 pep chromosome:Pop_tri_v4:17:14475256:14480110:-1 gene:Potri.017G144421.v4.1 transcript:Potri.017G144421.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G144421.v4.1 MVLSKTSSESDDSVHSTFASRYVRASLPRFKMPENSIPKEAAFQIINDELMLDGNPRLNLASFVTTWMEPECDKLIMASINKNYVDMDEYPVTTELQNRCVNIIAHLFNAPLGDSETAIGVGTVGSSEAIMLAGLAFKRRWQNKMKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLRDGYYVMDPEKAVKMVDENTICVAAILGSTLNGEFEDVKLLNDLLVEKNKETGWDTPIHVDAASGGFIAPFIYPELEWDFRLPLVKSINVSGHKYGLVYAGIGWVVWRNKEDLPEELIFHINYLGADQPTFTLNFSKGSSQVIAQYYQLIRLGYEGYKNVMENCRDNMMVLKQGLENTGKFNIVSKDNGVPLVAFSLKDNSSHKEFEVSEMLRRFGWIVPAYTMPPDAQHVTVLRVVIREDFSRTLAERLVLDIEKVLHELETLPCRISTKIALANEEKEAAANKEKRDLEKTREITTVWRKFVMQRKMNGVC >Potri.017G055700.1.v4.1 pep chromosome:Pop_tri_v4:17:4333672:4337522:1 gene:Potri.017G055700.v4.1 transcript:Potri.017G055700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055700.v4.1 MENLLPNKLFFIFFAIHVLGGAMFLAVVVEGNWCVARSDASNQALQTALDYACGSGADCTPLQSNGLCFLPNSIQAHASYAFNSYFQRKGMAPGSCDFSGTATVAKTDPSYGSCVYPSSLSTAGGAGTTSSTTPNTNPNIQSPTFGDGSTGLNPGLNPGTPPLLDNSESSLGFVATRTLLPLCLLLVLSFTFRPM >Potri.015G141200.1.v4.1 pep chromosome:Pop_tri_v4:15:14762781:14767310:-1 gene:Potri.015G141200.v4.1 transcript:Potri.015G141200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G141200.v4.1 MAMSFKLVFFCFLVLTKPLILVSKYTEDQNTDRESLISFKNALRNPKILSSWNITSRHCSWVGVSCHLGRVVSLILSTQSLRGRLHPSLFSLSSLTILDLSYNLFVGEIPHQVSNLKRLKHLSLGGNLLSGELPRELGVLTRLQTLQLGPNSFTGKIPPEVGKLSQLNTLDLSSNGLTGSVPSQLSELTGLQFLDLGNNLLSGSPVNLFKLESLKSLDISNNSFSGPIPPEIGNLKNLSDLYIGINLFSGPFPPEIGDLSRLENFFAPSCSITGPFPEEISNLKSLNKLDLSYNPLRCSIPKSVGAMESLSILNLVYSELNGSIPAELGNCKNLKTVMLSFNSLSGVLPEELSMLPMLTFSADKNQLSGPLPHWLGKWNQVESLLLSNNRFSGKIPPEIGNCSALRVISLSSNLLSGEIPRELCKAVDLMEIDLDVNFLTGGIEDVFLKCTNLSQLVLMDNQIDGSIPEYLAGLPLTVLDLDSNNFTGTIPVSLWNSMTLMEFSAANNLLEGSLPVEIGNAVQLERLVLSNNQLGGTIPKEIGNLTALSVLNLNSNLLEGTIPVELGHSAALTTLDLGNNQLSGSIPEKLADLVQLHCLVLSHNKLSGPIPSEPSLYFREASIPDSSFFQHLGVFDLSHNMLSGSIPEEMGNLMVVVDLLLNNNKLSGEIPGSLSRLTNLTTLDLSGNMLTGSIPPELGDSSKLQGLYLGNNQLSGTIPGRLGVLGSLVKLNLTGNQLYGPVPRSFGDLKELTHLDLSYNELDGELPSSLSGMLNLVGLYVQKNRLSGSVDELSSMSIAWKIETMNLSNNFFDGDLPRSFGNLSYLTYLDLHGNKFTGGIPLELGNLVQLAYFDVSGNRISGQIPEKLCALVNLFYLNLAENSLEGPVPGSGICLNLSKISLAGNKDLCGKIMGLDCRIKSFDKSYYLNAWGLAGIAVGCMIVTLSIAFALRKWILKDSGQGDLDERKLNSFLDQNLYFLSSSSSRSKEPLSINIAMFEQPLLKITLVDILEATNNFCKTNIIGDGGFGTVYKATLPDVKTVAVKKLSQAKTQGNREFIAEMETLGKVKHQNLVPLLGYCSFGEEKLLVYEYMVNGSLDLWLRNQSRALDVLDWPKRVKIATGAARGLAFLHHGFTPHIIHRDIKASNILLNEDFEPKVADFGLARLISACETHVSTDIAGTFGYIPPEYGQSGRSTTRGDVYSFGVILLELVTGKEPTGPDFKEVEGGNLVGWVFQKIKKGQAADVLDPTVLSADSKQMMLQVLQIAAICLSDNPANRPTMLKVLKFLKGIKDE >Potri.014G005000.1.v4.1 pep chromosome:Pop_tri_v4:14:386755:394531:-1 gene:Potri.014G005000.v4.1 transcript:Potri.014G005000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G005000.v4.1 MVTPYSSHCLSLQNHHLLPFLSAKPLFFFSKNLHVKNPPRRFSPFLSSSSNEGGWSSKLASRRKVFGETGSGSIEEGYNSVEDKQFVKWFREAWPYLWAHRGSTFVVIISGETVSSPFLDSILKDIAFLHHLGIKFVLVPGTHVQIDSLLSERGHEPKYVGQYRITDSEALAASMEAAGKIRIMIETKLSPGPSICNIRRHGDSSRWHDVGVSVASGNFLAAKRRGVVEGVDFGATGEVKKVDVTRMRERLDGGCIVVLSNLGYSSSGEVLNCNTYEVATACALAIGADKLICIIDGPILDESGHLIRFLTLEEADMLIRKRAKQSEIAAHYVKAVGEEDRTFLVHNDSVGIDASLQNGKSLSGRHNATFHNGVGFDNGNGLWSGEQGFAIGGEERQSRLNGYLSELAAAAFVCKGGVQRVHLLDGTIGGVLLLELFKRDGMGTMVASDLYEGTRMARATDLAGIRQIIQPLEESGTLVRRTDEELLKALDFFVVVEREGQIIACAALFPFFEEKCGEVAAIAVSPECRGQGQGDKLLDFIERRASSLRLETLFLLTTRTADWFTRRGFSECSIQLIPEERRKKINLSRNSKYYTKKLLPDTSGISVDRAFS >Potri.005G255200.1.v4.1 pep chromosome:Pop_tri_v4:5:24656587:24657438:1 gene:Potri.005G255200.v4.1 transcript:Potri.005G255200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G255200.v4.1 MTRPFRLLNADMNSSATTTGSPPQPPATVDPDFMVILAALLCALICVLGLIAVARCAWLRRLSSHTPAPPVPLPPPSVANKGLKKKTLRSLPKQTFSEDSAGKFSDCAICLTEFSVGDEIRVLPQCGHGFHVACIDTWLGSHSSCPSCRQILMVDRCQKCGGLPSGSSSISGGGGAETEAGLKECEDDANRFLP >Potri.002G076700.2.v4.1 pep chromosome:Pop_tri_v4:2:5366948:5369904:1 gene:Potri.002G076700.v4.1 transcript:Potri.002G076700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G076700.v4.1 MKVTWKKESKKRPLAAISKYPNLPFDQQDMIHNDDEDNNSHTKQLGASHKSLDSEASNRQLADSFQDLGNKLAEDGKYREALGKWEAALNLMPGNAVLHEQKAQVLLEIGDPWSALKAATRATELESSWAEAWITLGRAQLNFGEPDSAIESFDKALAIKPDSKEAQGDRHGALQLVKKRKQLHSSGLSSKENRYVVSDKTESS >Potri.004G223800.1.v4.1 pep chromosome:Pop_tri_v4:4:22842521:22849131:-1 gene:Potri.004G223800.v4.1 transcript:Potri.004G223800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223800.v4.1 MATETKLEKRLEPNPVVAKPVDNNVVSGKDGIPSDSTPTILSSGSGASDTKVNGSAASTTKKEGDQEPHAAFVPPTSSYNYQYPGYSGSFTPLDDHGYYQADGSHMGMQSDNGSMVYYWPSYPYASGTVVGVEGQSVAQQPYFSSSGYLQHPVSYGLETMPCYSWDSTYVGDVSNGNAGFENGKSGSGSTAFAKSSGFNSVKSNSNVGSKFSKPMYTQPARPMTKVSPLGSDFSAGLYKGYQPMGKFPPFTGQKQGPFPHSGPLNYRQNVRMWNGNYRNKPRDRFNRNGDFENQTELTRGPRASIKNAPLDDSVKNNAPLDSSVKDMLGFAMHKEQYNLPDFEIEYSNAKFFVIKSYNEDDIHKSIKYDVWASTPNGNKKLDAAFHNAEEVSSETGTKCPIFLFFSVNGSGQFVGLAEMVGQVDFNKDMDFWQIDKWNGFFPVKWHVIKDIPNGQLRHIVLENNDGHSVTFSRDTQEIGLEKGLEMLNIFKSYSAKTSMLDDFNFYENREKSLNTKKSNKPATLRMEIFENSDFPKHTAAEEKISEDDSRAKKTTNPSTLINLTKNLSLNGHNQKSNSVKKPIGNSASPVPAP >Potri.013G090500.2.v4.1 pep chromosome:Pop_tri_v4:13:9167228:9177162:-1 gene:Potri.013G090500.v4.1 transcript:Potri.013G090500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090500.v4.1 MLRFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWTHHRGTSKDDDGGSAVSIFSLSGSNALDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESVEGSSSRVTIYIVTEPVMPLSEKIKELGLEGAQRDEYYAWGLNQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNGNATGPMLQYEWLIGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYELFSGMKLGKTEELRNTSSIPKSLLQDYQRLLSSMPSRRMNTAKLLENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSSEEFSVKVLPTIVKLFSSNDRAVRVSLLQHIDQYGESLSAQVVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDINEIATRILPNVVVLTIDPDSDVRSKSFQAAEQFLQIVKQYHETNVGDAAGAASTGISSIPGNASLLGWAMSSLTSKGKPSEQAPLAPANSGVPLSSTTSNASSVMDSPSIAPARVNSSGDLADQPVPESPTSTDGWGEIENGIHEEQGSVKDGWDDIEPLEEPKPSPALASIQAAQKRPVSQPVSQQKAQAASVRPKSTGRATKDEDDDLWGSIAAPAPKTNKKPLNVKSATALDDDDPWAAIAAPPPTTRAKPLVAGRGRGKPAAPKLGAQRINRTSSSGM >Potri.013G090500.6.v4.1 pep chromosome:Pop_tri_v4:13:9167230:9177188:-1 gene:Potri.013G090500.v4.1 transcript:Potri.013G090500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090500.v4.1 MLRFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWTHHRGTSKDDDGGSAVSIFSLSGSNALDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESVEGSSSRVTIYIVTEPVMPLSEKIKELGLEGAQRDEYYAWGLNQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNGNATGPMLQYEWLIGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYELFSGMKLGKTEELRNTSSIPKSLLQDYQRLLSSMPSRRMNTAKLLENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSSEEFSVKVLPTIVKLFSSNDRAVRVSLLQHIDQYGESLSAQVVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDINEIATRILPNVVVLTIDPDSDVRSKSFQAAEQFLQIVKQYHETNVGDAAGAASTGISSIPGNASLLGWAMSSLTSKGKPSEQAPLAPANSGVPLSSTTSNASSVMDSPSIAPARVNSSGDLADQPVPESPTSTDGWGEIENGIHEEQGSVKDGWDDIEPLEEPKPSPALASIQAAQKRPVSQPVSQQKAQAASVRPKSTGRATKDEDDDLWGSIAAPAPKTNKKPLNVKSATALDDDDPWAAIAAPPPTTRAKPLVAGRGRGKPAAPKLGAQRINRTSSSGM >Potri.013G090500.7.v4.1 pep chromosome:Pop_tri_v4:13:9167209:9177162:-1 gene:Potri.013G090500.v4.1 transcript:Potri.013G090500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090500.v4.1 MLRFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWTHHRGTSKDDDGGSAVSIFSLSGSNALDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESVEGSSSRVTIYIVTEPVMPLSEKIKELGLEGAQRDEYYAWGLNQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNGNATGPMLQYEWLIGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYELFSGMKLGKTEELRNTSSIPKSLLQDYQRLLSSMPSRRMNTAKLLENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSSEEFSVKVLPTIVKLFSSNDRAVRVSLLQHIDQYGESLSAQVVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDINEIATRILPNVVVLTIDPDSDVRSKSFQAAEQFLQIVKQYHETNVGDAAGAASTGISSIPGNASLLGWAMSSLTSKGKPSEQAPLAPANSGVPLSSTTSNASSVMDSPSIAPARVNSSGDLADQPVPESPTSTDGWGEIENGIHEEQGSVKDGWDDIEPLEEPKPSPALASIQAAQKRPVSQPVSQQKAQAASVRPKSTGRATKDEDDDLWGSIAAPAPKTNKKPLNVKSATALDDDDPWAAIAAPPPTTRAKPLVAGRGRGKPAAPKLGAQRINRTSSSGM >Potri.013G090500.5.v4.1 pep chromosome:Pop_tri_v4:13:9167205:9177204:-1 gene:Potri.013G090500.v4.1 transcript:Potri.013G090500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090500.v4.1 MLRFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWTHHRGTSKDDDGGSAVSIFSLSGSNALDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESVEGSSSRVTIYIVTEPVMPLSEKIKELGLEGAQRDEYYAWGLNQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNGNATGPMLQYEWLIGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYELFSGMKLGKTEELRNTSSIPKSLLQDYQRLLSSMPSRRMNTAKLLENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSSEEFSVKVLPTIVKLFSSNDRAVRVSLLQHIDQYGESLSAQVVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDINEIATRILPNVVVLTIDPDSDVRSKSFQAAEQFLQIVKQYHETNVGDAAGAASTGISSIPGNASLLGWAMSSLTSKGKPSEQAPLAPANSGVPLSSTTSNASSVMDSPSIAPARVNSSGDLADQPVPESPTSTDGWGEIENGIHEEQGSVKDGWDDIEPLEEPKPSPALASIQAAQKRPVSQPVSQQKAQAASVRPKSTGRATKDEDDDLWGSIAAPAPKTNKKPLNVKSATALDDDDPWAAIAAPPPTTRAKPLVAGRGRGKPAAPKLGAQRINRTSSSGM >Potri.013G090500.1.v4.1 pep chromosome:Pop_tri_v4:13:9167206:9177168:-1 gene:Potri.013G090500.v4.1 transcript:Potri.013G090500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090500.v4.1 MLRFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWTHHRGTSKDDDGGSAVSIFSLSGSNALDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESVEGSSSRVTIYIVTEPVMPLSEKIKELGLEGAQRDEYYAWGLNQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNGNATGPMLQYEWLIGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYELFSGMKLGKTEELRNTSSIPKSLLQDYQRLLSSMPSRRMNTAKLLENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSSEEFSVKVLPTIVKLFSSNDRAVRVSLLQHIDQYGESLSAQVVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDINEIATRILPNVVVLTIDPDSDVRSKSFQAAEQFLQIVKQYHETNVGDAAGAASTGISSIPGNASLLGWAMSSLTSKGKPSEQAPLAPANSGVPLSSTTSNASSVMDSPSIAPARVNSSGDLADQPVPESPTSTDGWGEIENGIHEEQGSVKDGWDDIEPLEEPKPSPALASIQAAQKRPVSQPVSQQKAQAASVRPKSTGRATKDEDDDLWGSIAAPAPKTNKKPLNVKSATALDDDDPWAAIAAPPPTTRAKPLVAGRGRGKPAAPKLGAQRINRTSSSGM >Potri.013G090500.4.v4.1 pep chromosome:Pop_tri_v4:13:9166979:9177209:-1 gene:Potri.013G090500.v4.1 transcript:Potri.013G090500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G090500.v4.1 MLRFLKGVVGGSGTGLKDLPYNIGDPYPSAWGSWTHHRGTSKDDDGGSAVSIFSLSGSNALDGHLAAGRNGVKRLRTVRHPNILSFLHSTEVESVEGSSSRVTIYIVTEPVMPLSEKIKELGLEGAQRDEYYAWGLNQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNGNATGPMLQYEWLIGSQYKPMELAKSDWVAIRKSPPWAIDSWGLGCLIYELFSGMKLGKTEELRNTSSIPKSLLQDYQRLLSSMPSRRMNTAKLLENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRPIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSSEEFSVKVLPTIVKLFSSNDRAVRVSLLQHIDQYGESLSAQVVDEQVFPHVATGFSDTSAFLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEPAIRTNTTILLGNIASYLNEGTRKRVLINAFTVRALRDTFSPARGAGVMALCATSSYYDINEIATRILPNVVVLTIDPDSDVRSKSFQAAEQFLQIVKQYHETNVGDAAGAASTGISSIPGNASLLGWAMSSLTSKGKPSEQAPLAPANSGVPLSSTTSNASSVMDSPSIAPARVNSSGDLADQPVPESPTSTDGWGEIENGIHEEQGSVKDGWDDIEPLEEPKPSPALASIQAAQKRPVSQPVSQQKAQAASVRPKSTGRATKDEDDDLWGSIAAPAPKTNKKPLNVKSATALDDDDPWAAIAAPPPTTRAKPLVAGRGRGKPAAPKLGAQRINRTSSSGM >Potri.019G089800.1.v4.1 pep chromosome:Pop_tri_v4:19:12827192:12831687:1 gene:Potri.019G089800.v4.1 transcript:Potri.019G089800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089800.v4.1 MEKVEKAPNDPESEETEAIELILYQVSECYVYVIPPRKSAASYRADEWDVNKWAWEGTLKVISKGEECIIRLEDKTTGELYARAFLRKGELHPVEPVIDSSRYFVLRIEENIGGRLRHAFIGIGFRERTEAYDFQAALHDHMKYLDKKKTAEEMEQHFQETSSVDYSLKEGETLVLQMKNKPRGSVKSKFFEQGLNNLSLEGKSDGKEPLLSIRPPPPPAPPSPATSVQISPSNLPPKITLDGNSTEKSPNLAKDEAEQQHFPDNESSQDIQDDDFGDFQAAG >Potri.007G107200.1.v4.1 pep chromosome:Pop_tri_v4:7:12988117:12992345:1 gene:Potri.007G107200.v4.1 transcript:Potri.007G107200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G107200.v4.1 MGGSPGSVEARHRLSASFEDLYKRRLTRSKVKGVEKPFNIPIQDRSSCCKFPLIKFILVVIIGGTIVSLLYSPDVDQLSHSGSRQNFVNRWIWGGSDPRYVSDLDVNWDDVMKVIEKLGEQNDYQGIGLLNFNDSEVYHWNQLTPDATLVNIQLDYADKNMTWDSLYPEWIDEEQEKEVPVCPSLPKPDTPRKRLDLIAVKLPCRNEWNWSRDVARLHLQLAAASLAASAKGFYPVHMLFITRRFPIPNFFTCKELVVREGNVWLYKPDVNVLRQKLHLPVGSCELALPLRDKARAYSGNPQREAYATILHSAHVYVCGAIAAAQSIRLSGSNRDLVILVDETISVYHRSGLEAAGWKIRTIQRIRNPKAEKDAYNEWNYSKFRLWQLTDYDKIIFIDADLLILRNIDFLFGMPEISATGNNASLFNSGVMVIEPSNCTFNLLMEHINEIESYNGGDQGYLNEVFTWWHRIPKHMNFLKHFWIGDEEEVKQKKTRLFGAEPPILYVLHYLGVKPWLCFRDYDCNWNVDIFQEFASDIAHEKWWRVHDAMPEQLHQFCSLKSKQKAQLEFDRREAEKANYTDGHWKIKVQDRRLKKCVDNVCNWKSMLKHWGESNWTNDEFFNPSPPATSTASLPGL >Potri.015G108000.4.v4.1 pep chromosome:Pop_tri_v4:15:12611632:12618098:1 gene:Potri.015G108000.v4.1 transcript:Potri.015G108000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G108000.v4.1 MFIMQDLVPGIPALIKSEVLISKNSAEGAFRVVEIELGLIYDMLYTKAPLIYSRTGIILRSISFLLTFTAFITFQVLIDKHAYSTIDITITYLLFAAAVFLEFYAFLCLVFSDWTMIWLEDEGWNAQNSAFYSLIRKLTRSERWSRSIAQHDLISCCIENRPLGCLKSLGINEKMRQMFVHRVDMNGDLRSFIFEHLRKKAKEMKEDFNVIDKKFRSKIIGQRGDGVLEKKGLLQKYKWCTTEVEFSRSILVWHLATEICYCDDKDRSNVSIDYKTSRCLSEYMMYLLVMRPNMLSKGIGDEGYLDTLQDLQRLNPRNTRGDEATRKKGVVDGILRSELSLDEITFQSLWKIAKSVVIGGEKLAKQLQPLESKERWEMIKEVWIEMLAYAAAHCPWKEHTHQLRRGGELLTHVSLLMLHLGLSEQYEFTRFDGDEVSVLEFFPSKDES >Potri.005G249600.1.v4.1 pep chromosome:Pop_tri_v4:5:24340579:24343639:-1 gene:Potri.005G249600.v4.1 transcript:Potri.005G249600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249600.v4.1 MLNQPPKKMTLPPIISRWFSDLDWKPLLLIIPPLSLLLFFSISLTPINPFSTLSPLASRFFNKTTLITLPSTSPTTNLSSTAHPSSPPGNPSDSTQWKDELDRSRMAVCLVGGARRFELTGPSIVKNILQVYPNSDLFLHSNFDNNAFKFTILKTVPRLASVRIIIPQPLPETLPQLRVLTAANSPNGIQGLLQYFNLVEGCLTMIQEYQNLKGFKYDWIVRTRVDGYWNAPLGPENFIPGHYLVPPGSSYGGLNDRLGIGDLNTSAVALSRLSLVPQLDAAGFHQLNSETAFKAQLTTQGVPFDTRRLPFCIVSDRKYDFPPSRFGVPVAALSSPGPLSGAKCRPCKPVCEGTCVGDIMTWLYKDWSWTNWENGTLKLCDAHGDLEAGWEKIFDRDAGKEFASERKRIRGLNTKQCAVDFNEMKKRASMWDTPPVEEICRLGLGEN >Potri.008G016200.1.v4.1 pep chromosome:Pop_tri_v4:8:820021:821396:1 gene:Potri.008G016200.v4.1 transcript:Potri.008G016200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G016200.v4.1 MIQSAKDLTNEKRVWIGERDLTITNRLPHRGVRRERGRMMRERREKGVERENARRERETVDEDCLERKKRKERGGSEDRAVVEKEKRSRRRFGEKVKEEDNRTDNNDNGNSFENVKRVDSSEAGVKEEVNDEPLGGGRGSTTENGGV >Potri.008G002700.1.v4.1 pep chromosome:Pop_tri_v4:8:75234:79408:-1 gene:Potri.008G002700.v4.1 transcript:Potri.008G002700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G002700.v4.1 MAQSLTSQRDVETVGSKGVIKRNEYVRIITRALYSLNYNMTADLLQEESRIPLDSSEVKLLQKQVLDGNWEESVKTLCTISLLDKATRSLASFLILEQKFLKFLRMDNNVLDALNVLRKEIVPLGINLNRVHELASWIISPSGYRNTDQDTESAKSEILKKLLNLLPPSLVIPPTRLESIVEEALDLQRGACLFHNVFDSDLSLYPDHQCGRGRLPSSIKQILQVHTDEVWFLQFSHDGKYLASSSKDQSAIIWQVMDGGKASWKHTLIGHQKPVLTVSWSPDDNQLLTCGEDEVIRRWDANLGQCLHVYEKIGVGFISCAWSPDGRVILAGMTNKSFSLWDVNGAELDCWEENTLRISEMAITDARDMIVSICRGDTIVFLDWEEKRCRKLIQEEEVITSFSLSKDNKFLLVNLTNQEIHLWSLEGYPQVVARYKGHTRSRFIIRSCFGGCEEAFIASGSEDAQVYVWHRGSGKLIQALDGHSGAVNCVSWNPVNIYMLASASDDGAIRIWGPDPRMDQYGLGFKSCT >Potri.019G055500.1.v4.1 pep chromosome:Pop_tri_v4:19:9355189:9356100:-1 gene:Potri.019G055500.v4.1 transcript:Potri.019G055500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G055500.v4.1 MTSQDFSPPYVDASRPSLGFPLGAALLLISILSLSGVFSCCYHWDKLRAFCRRRSSSEHPDPGAYIETSPSKSKLFQMDLKQYHNQGMPVVMPGDDVPKFIALPCPCEPPRGSERVTIEVQRPPKAPPRLPLLVY >Potri.016G026066.1.v4.1 pep chromosome:Pop_tri_v4:16:1494753:1497548:1 gene:Potri.016G026066.v4.1 transcript:Potri.016G026066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G026066.v4.1 MEETSELELLINKVLRTLQRKCLKMWEVSVREVNEASGSEVRLDDERMPNIPHEETREPLEQDVQEQSAIPAPALNGENSLPSVDGGLQSTNKRRKISTVWDRFVKHRGENGELWATCKYCKKKYRAERKRGTSNLHKHLKNCSPSRQDEAEQQILVATGDLSTSVIQGNFVIDQERSRVDIATMMIKHGYPLTMVQHEFFVKNFQPVFQLYSKDVVEADVLAICRQEKEKLINFFDKLSCLLSLTLELWSSTDKMMTYCCFTVHFIDDGWQLKKKILAFRNLRYNYDVGTVHEVFKSVLTEWSINKNVRFIFLDITPPKDHTIEELRSKVSDQAPRIHGHLFCVPSYAQILTLLAQDGFSEIRSVLYKIRESIEYVNGSSLRRQRFQEAINNGSLQDRETPTLDVPARWDTTFLMLEISLEFITAFNHLEQLDDDFKVNPSAEEWNKATAVFECLKEFYKSTCNFPTSRDDYFLSVRDVYKNLHGWKQSDYVYVRAMANRMKGKFDSIGEKLAWLWESQQFLTRAINWTLLSMATGRYTAVMLTCTYPDSVTILHVHTINMQWISAIRDHLLLPWLT >Potri.010G184300.2.v4.1 pep chromosome:Pop_tri_v4:10:18179326:18187281:1 gene:Potri.010G184300.v4.1 transcript:Potri.010G184300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184300.v4.1 MLISLLRAYINRQTLVTLYNFLSRILNKMNSNAPPQDQESPTIDHPPQFDPSQPSIPISYPIKTLEELETRSYFESFHCPFNKSSVPLKPKSLPNRPRLLVCHDMQGGYVDDKWVQGGTNPDAYAIRHWYLIDVFVYFSHSLVTLPPPCWINTAHRHGVKVLGTFITEWDEGRLICNKLLATKESAQMYAERLAELAIDLGFDGWLINIEVNLLEEQIPNLEEFVSHLTHTMHSSMPESLVIWYDSVITTGHLRWQDQLNEKNKPFFDICDGIFVNYTWKSNYPDLSAAVAGDRKFDVYMGIDVFGRKTFGGGQWNTNVALDVLKKANVSAAIFAPGWLYETKQPPDFQTAQNRWWELVAKSWGRVNNYPKLLPFYSNFDQGQGYHISVEGNQLTDAPWNNMSCQGFQPRLEFIDGPTPDAIQFIVDFKEESYNGGGNITFKGTLEENVPFTIRLFQGELLLDNLHLVLTYSVKSKGDSLLGLSLQFSSVLSEKIMVLVAPSEMNGLSHKFSKMIMPSQVSKMASKWIINEGTVAMDGFMLTEIHAVCYRTKSTPGELRSEFRADNQDSAPAPSLAEYFAVLGHITIKNFSGNLFFPPSTSWLTESQYIKYSSISQGFKTVSLKIIWKLKDGNDFLFPKYNIYVEKLTKQVDGNATGTLVDARVYLGAAHVQAFYMSDLSIPSHIGSLRFIIQACAADGTCQELDESPYMLLDVEGQ >Potri.010G184300.3.v4.1 pep chromosome:Pop_tri_v4:10:18179326:18187273:1 gene:Potri.010G184300.v4.1 transcript:Potri.010G184300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G184300.v4.1 MHSSMPESLVIWYDSVITTGHLRWQDQLNEKNKPFFDICDGIFVNYTWKSNYPDLSAAVAGDRKFDVYMGIDVFGRKTFGGGQWNTNVALDVLKKANVSAAIFAPGWLYETKQPPDFQTAQNRWWELVAKSWGRVNNYPKLLPFYSNFDQGQGYHISVEGNQLTDAPWNNMSCQGFQPRLEFIDGPTPDAIQFIVDFKEESYNGGGNITFKGTLEENVPFTIRLFQGELLLDNLHLVLTYSVKSKGDSLLGLSLQFSSVLSEKIMVLVAPSEMNGLSHKFSKMIMPSQVSKMASKWIINEGTVAMDGFMLTEIHAVCYRTKSTPGELRSEFRADNQDSAPAPSLAEYFAVLGHITIKNFSGNLFFPPSTSWLTESQYIKYSSISQGFKTVSLKIIWKLKDGNDFLFPKYNIYVEKLTKQVDGNATGTLVDARVYLGAAHVQAFYMSDLSIPSHIGSLRFIIQACAADGTCQELDESPYMLLDVEGQ >Potri.009G153500.1.v4.1 pep chromosome:Pop_tri_v4:9:12036948:12037376:-1 gene:Potri.009G153500.v4.1 transcript:Potri.009G153500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G153500.v4.1 MASSTLPSHLAGPRLSSPKMVQATMTCSIVFTLAFGFMVVASGVAAAPATPFFGRNPVEIQECLATIKAHADICLHEVASLVLTFQKNLVGPKCCSALAVIDDKCKPKGFSFDPFLPPLWIRKRCASLLSAPSPEPVVPSST >Potri.006G024000.2.v4.1 pep chromosome:Pop_tri_v4:6:1617463:1624226:-1 gene:Potri.006G024000.v4.1 transcript:Potri.006G024000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024000.v4.1 MEENSAAKHQDSTSDSPDHSQVGSRKPNLSSLQIPARSSSLEAEFTRIEITQCPSPAKPGLPPRPNSAKFKSTVKNLPPQRSLKAKALSEDGEKTVLIVPDTPPSDSPAAKPSTSRSFSLNKVLFPLKPANSLPVTPCGNSDPEAVLERNINSYSDDKVEVRHHIKRSLSVPVNIKTRSLRRTESGGGLFRVVLATPRPVAADSTSTNDASAIETASEDDGEDIPEDEAVCRICLVELSEGGDTLKMECSCKGELALGHQQCAVKWFSIKGNKTCDVCRQDVRNLPVTLLKIHNPQAAGRRPLTVSQQREVTRYRVWQDVPVLVMVSVLAYFCFLEQLLVSDLGPRALAISLPFSCVLGLLSSMIASTMVSRSYIWAYASFQFAVVILFAHIFYTVLNVNPILSVLLSSFTGFGIAISTNSLLVECLRWRASRQLQSSHQQNDRAMQQAQLLQQQQSMQTWQQENQQPRQQSIEDSNVGPIDSSRQHEAIIQNT >Potri.006G024000.5.v4.1 pep chromosome:Pop_tri_v4:6:1617463:1624226:-1 gene:Potri.006G024000.v4.1 transcript:Potri.006G024000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G024000.v4.1 MEENSAAKHQDSTSDSPDHSQVGSRKPNLSSLQIPARSSSLEAEFTRIEITQCPSPAKPGLPPRPNSAKFKSTVKNLPPQRSLKAKALSEDGEKTVLIVPDTPPSDSPAAKPSTSRSFSLNKVLFPLKPANSLPVTPCGNSDPEAVLERNINSYSDDVEVRHHIKRSLSVPVNIKTRSLRRTESGGGLFRVVLATPRPVAADSTSTNDASAIETASEDDGEDIPEDEAVCRICLVELSEGGDTLKMECSCKGELALGHQQCAVKWFSIKGNKTCDVCRQDVRNLPVTLLKIHNPQAAGRRPLTVSQQREVTRYRVWQDVPVLVMVSVLAYFCFLEQLLVSDLGPRALAISLPFSCVLGLLSSMIASTMVSRSYIWAYASFQFAVVILFAHIFYTVLNVNPILSVLLSSFTGFGIAISTNSLLVECLRWRASRQLQSSHQQNDRAMQQAQLLQQQQSMQTWQQENQQPRQQSIEDSNVGPIDSSRQHEAIIQNT >Potri.002G088000.1.v4.1 pep chromosome:Pop_tri_v4:2:6324529:6333979:1 gene:Potri.002G088000.v4.1 transcript:Potri.002G088000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G088000.v4.1 MHPLCCISTVSDHSPANLSMAVTARSDPGSTTVHPQIQIQIQNHNQHNTNHFSNHHHHNHSTASNCSSSNGTDGNKSNRTSHQREQPLVQVDVKINDIVGNGISGVLYKWVNYGKGWRPRWFVLQDGVLSYYKIHGPDKIFVNQETEKGSKVIGEESMRRISRPKNGNSQNRRKPVGEIHLKVSSIRESRSDDKRFSIFTGTKRLHLRAETREDRLAWMEALQAVKDMFPRMSNSELMAPLDNVAVSTEKLRQRLQEEGVREEVIQDGEQIMRTEFAALQNQIVLLKQKQWLLIDTLRQLETEKVDLENTVVDESQRQLNDQRTSSSTLPQDKFSASVSESDDDNERVDAAEEETDDEENTFFDTRDFLSSGSFKSNESGFRTSSFSSDDEGFFSLESEDCIDPSIRSVGSNYPYIKRRKKLPDPIEKEKGVSLWSMIKDNIGKDLTKVCLPVYFNEPLSSLQKCFEDLEYSYLLDRAYEWGKQGNSLMRILNVAAFAVSGYASTEGRVCKPFNPLLGETYEADYPDKGLRFFSEKVSHHPMIVACHCVGTGWKFWGDSNLKSKFWGRSIQLDPVGVLTLEFDDGEVFQWSKVTTSIYNLILGKLYCDHYGTMRLEGNCGYSCKLKFKEQSIIDRNPHQVQGVVQDRHGKTVATLFGKWDESVYYMNGDFSGKGKGFESTKEAHMLWKRSKPPRFPTRYNLTRFAITLNELTPGLEEKLPPTDSRLRPDQRYLENGEFDMANSEKLRLEQRQRQARKMQERGWQPRWFAKDKGSDAYHYVGGYWEARDKGDWDSCPDIFGQIPIDQLFD >Potri.003G156500.1.v4.1 pep chromosome:Pop_tri_v4:3:16748913:16751735:1 gene:Potri.003G156500.v4.1 transcript:Potri.003G156500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G156500.v4.1 MCSSKSRLNQSTSNIATTIAKINGRPVLQPKSNQVPSLERHNSLKKNSPPKSPTREPAGPPVPLMQPACNAAGTKTRLPSALSPPISPKLKSPRPPAVKRGNEPGGLNTSAEKVLTPRSTTKVTTSTVKKSKKSSTAGVPHSVDTFAMKYSSSLLVEAPGSIAAARREQVAVMQEQRKMRIAHYGRTKSAKYQGKIVPANSPATSTITREEKRCSFITPNSDPVYVAYHDEEWGVPVHDDKLLFELLALTGAQVGSEWTSVLKKREAFREAFSGFDAEIVAKFTEKKIASISAEYGLDISQVRGVVDNSNRILEVKREFGSFDEYLWGYVNHKPISTQYKSCQKIPVKTSKSETISKDMVKRGFRFVGPTVIHSFMQAGGLSNDHLITCPRHLQCIALASQLPRTVAPPSQKKLICK >Potri.013G010700.2.v4.1 pep chromosome:Pop_tri_v4:13:680528:684295:1 gene:Potri.013G010700.v4.1 transcript:Potri.013G010700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010700.v4.1 MVLKRPFQFDGGEDGFGMGGPGAQESKRFRNAVRDVMGRLSVNDFVSKMEPLLRTVVRDEVERTVLRVLQSSSRPSFNQNKTSGGLMLHFVNKLPSTIFTGGRLEAEDGYPIRVVLMDANTRTVVSSGPLASLKIEIVPLDADFGFDDQEDWSRGEFAANVIREREGRRPLVTGELTVTLRDGVGQLGDIVFTDNSSWQRSRKFRLGARPVQKVSDEMRIREGRSQAFVVKDHRGELYKKHHPPHLHDEIWRLERIAKDGAFHKRLAFHGVVSVQEFLQLYMTDPTKLRTVLGCGISNRIWDTIIEHATTCVLDNSRFYSYFDAGQSIGLLFDSIYKVVGVMFDCQSYESLHNLSPPQKALVENIKRQAYKNVHNFIQVDASAIFGPSRSLTPLQAEPFNGPNLALQQLEFPVTRQEMQMDFNNSSSSTSYGYDTESSSPLEVSGAQTSHQEAFPQMFRNSFKFTDFFPLPYTEENSWSPKGWPVVTTEELSPEDISGVQTSTWSPGNSTWGTGSAFIFTAGDEGDAGFFSSHPSFGIHVSRIRPPKARWCKLRAALKMGSFMRDLAAKRMLQGLCM >Potri.014G136200.1.v4.1 pep chromosome:Pop_tri_v4:14:9245374:9247601:-1 gene:Potri.014G136200.v4.1 transcript:Potri.014G136200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136200.v4.1 MDLLSQLRGQIADFSAFLYREKLQDESSPGFVVEVVSLFFEDCEKLVNNMAKALEQQIVDFKQVDSHVHQLKGSSSSIGAARIQNVCIAFKTYCEGQNRDGCVRCLQQVNHECIQLKNNLQALFKLEQQIVAAGGSIPVMQ >Potri.014G136200.3.v4.1 pep chromosome:Pop_tri_v4:14:9245374:9247888:-1 gene:Potri.014G136200.v4.1 transcript:Potri.014G136200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136200.v4.1 MAKALEQQIVDFKQVDSHVHQLKGSSSSIGAARIQNVCIAFKTYCEGQNRDGCVRCLQQVNHECIQLKNNLQALFKLEQQIVAAGGSIPVMQ >Potri.006G120100.1.v4.1 pep chromosome:Pop_tri_v4:6:9464851:9467151:-1 gene:Potri.006G120100.v4.1 transcript:Potri.006G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120100.v4.1 MRIKAISFWLLAFAIALVSIVIALYEISPSPSTGTPISNLHSSSLTNLKRVIKKSGLEVFHSLVASSFSRHHHRRKHKIKCDMNKWISSRLIYRYKVSLVLTVDLKGCGNFSSIQEAVDVVPELSSSTTLIIMDSGTYREKVTVHAKKTNLILLGQGYLNTAIAWNDTANSTGGTVYSASVAIFASNFIAYNISFKNTAPWPSPGEVGGQAVALRIAGDKAAFYGCGFYGAQDTLHDDSGRHYFRGCFIQGSIDFIFGNARSLYQSCTISSIAEQPKAGVSGSITAQARQSVSEQTGFSFVNCTVIGSGKVWLGRAWGAYATVVFSKTYMSHAVSSDGWNDWRDPSRDQTAFFGEYECFGPGANFTFRASYGKQLTQYEAAPYMDISYIDGNQWLYQQNILPSISNNDRERRKHFIQLF >Potri.006G120100.2.v4.1 pep chromosome:Pop_tri_v4:6:9464851:9467151:-1 gene:Potri.006G120100.v4.1 transcript:Potri.006G120100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120100.v4.1 MRIKAISFWLLAFAIALVSIVIALYEISPSPSTGTPISNLHSSSLTNLKRVIKKSGLEVFHSLVASSFSRHHHRRKHKIKCDMNKWISSRLIYRYKVSLVLTVDLKGCGNFSSIQEAVDVVPELSSSTTLIIMDSGTYREKVTVHAKKTNLILLGQGYLNTAIAWNDTANSTGGTVYSASVAIFASNFIAYNISFKNTAPWPSPGEVGGQAVALRIAGDKAAFYGCGFYGAQDTLHDDSGRHYFRGCFIQGSIDFIFGNARSLYQSCTISSIAEQPKAGVSGSITAQARQSVSEQTGFSFVNCTVIGSGKVWLGRAWGAYATVVFSKTYMSHAVSSDGWNDWRDPSRDQSVVDPNY >Potri.005G212333.1.v4.1 pep chromosome:Pop_tri_v4:5:21608110:21612656:1 gene:Potri.005G212333.v4.1 transcript:Potri.005G212333.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212333.v4.1 MALGSYGSVLKLAITRRSYGRLERIFKQQQGGIVKASKEQIQALGHGEEGGHGGGGLWPFPTGGSSGPFNIFDKDPVKRNNYGQLFEAKPKDSEQLRDLDLIVSLANITRGSMAGPYYNSKATMISIVLEVATVASENSNLEVLCFEVYAKGNVRYPLAGKWNVIGEMDREAKELAYGVPAKEVDQIFGKQQEEFFFPGPRRQRREARSQQSQTNNERLIFTLSETFCSPFANHQGQQDDNFRDCYQTRSMLNSSLLGMVLLVTYMNG >Potri.014G006400.2.v4.1 pep chromosome:Pop_tri_v4:14:540650:541832:1 gene:Potri.014G006400.v4.1 transcript:Potri.014G006400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G006400.v4.1 METYNSSSSSSLTSSSMYSKQFSEETKGLKMPQSYHSSLHSIRRTQMKPWKKPIAPLPPTPPRVYKVDPINFRDLVQKLTGAPKKEPEPEPQSRLQSVAPPPLDLFTPTLFGREREIAAVPLQLLPSPAQTPLSASLYQELMYESLDAKPERMPESIMSVSSSLELNLSPSYHAWCSYPLLSPGTLSSLEQGTVL >Potri.006G176500.1.v4.1 pep chromosome:Pop_tri_v4:6:18126565:18129692:-1 gene:Potri.006G176500.v4.1 transcript:Potri.006G176500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G176500.v4.1 MALLSSSPSLSITSPNYRYYPSRRLCLYPISATRVVTPHHIDKSSLTISETSSEDQLWAAACLRVRSFHEFKPSTFGIQDHKRYLAEREFEALKERIAGKRTGFNRVSCLNASLPLSQLLSLPDDDLCAQCKFSENGEDRVVVGTLDVNQSMSLPDEITGMKPEGIEGQFARGYLSNVCVANELHRNGLGYDLVAKSKAVAQKWGITDLYVHVAVNNEPAKQLYMKSGFVYENDEPAWQARFLDRPRRLLLWLGLPGNQNW >Potri.007G144600.2.v4.1 pep chromosome:Pop_tri_v4:7:15364185:15367585:1 gene:Potri.007G144600.v4.1 transcript:Potri.007G144600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144600.v4.1 MAAEPKKIIIDTDPGIDDAMAIFLALRSPEVEVIGLTTIYGNVYTTLATRNALHLLEVAGRTDIPVAEGSHVTITKGTKLRIADFVHGADGLGNQNFDPPKGKPVEQSAAAFLVEQAKLHPGKVTVVALGPLTNIALAIELDPEFCKNIGQIVLLGGAFSVNGNVNPAAEANIFGDPDAADIVFTCGADILAVGINVTHQVVLTDAERDKLIQSNGKFAQYLCKILEVYFSYHQEAYSMRGVYLHDPTALLAAVNPSLLTYTEGAVRVQTTGITRGLTLLYDKQKRFGEVTEWTDKPTVKVAVTVDAPTVVKLLMERLMES >Potri.009G052700.3.v4.1 pep chromosome:Pop_tri_v4:9:5724252:5729146:-1 gene:Potri.009G052700.v4.1 transcript:Potri.009G052700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052700.v4.1 MGNCCFTPSGASASEKKVKGKKKKQNPFFGDSYAVTNGSGDVDKLWVLKEPTGRDILAHYDLGRELGRGEFGITYLCTDVNSGDKFACKSISKKKLRTAVDIDDVRREVEIMKHLPAHPNIVTLKASYEDDTAVHIVMELCEGGELFDRIVARGHYTERAAAAVMRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAVLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEILKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSFIDFKRDPWPRVSDNAKDLVKKMLNPDPKLRLTAQQVLEHPWIQNAKKAPNVPLGETVRARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFDMMDTGKRGSINLEELRVGLQKLGQNIADADLRILMEAADVDGDGALNYGEFVAISVHIKKMGNDEHLHKAFAFFDRNQSGYIEIEELRESLNDDVDTNNEDVINAIMHDVDTDKDGRISYEEFATMMKAGTDWRKASRQYSRERFNNLSITLRRDGSLQAAT >Potri.009G052700.2.v4.1 pep chromosome:Pop_tri_v4:9:5724223:5729234:-1 gene:Potri.009G052700.v4.1 transcript:Potri.009G052700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052700.v4.1 MGNCCFTPSGASASEKKVKGKKKKQNPFFGDSYAVTNGSGDVDKLWVLKEPTGRDILAHYDLGRELGRGEFGITYLCTDVNSGDKFACKSISKKKLRTAVDIDDVRREVEIMKHLPAHPNIVTLKASYEDDTAVHIVMELCEGGELFDRIVARGHYTERAAAAVMRTIVEVVQMCHKHGVMHRDLKPENFLFANKKETAVLKAIDFGLSVFFKPGERFNEIVGSPYYMAPEILKRNYGPEVDVWSAGVILYILLCGVPPFWAETEQGVAQAIIRSFIDFKRDPWPRVSDNAKDLVKKMLNPDPKLRLTAQQVLEHPWIQNAKKAPNVPLGETVRARLKQFSVMNKLKKRALRVIAEHLSVEEVAGIKEAFDMMDTGKRGSINLEELRVGLQKLGQNIADADLRILMEAADVDGDGALNYGEFVAISVHIKKMGNDEHLHKAFAFFDRNQSGYIEIEELRESLNDDVDTNNEDVINAIMHDVDTDKDGRISYEEFATMMKAGTDWRKASRQYSRERFNNLSITLRRDGSLQAAT >Potri.003G081066.1.v4.1 pep chromosome:Pop_tri_v4:3:10822202:10825803:1 gene:Potri.003G081066.v4.1 transcript:Potri.003G081066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G081066.v4.1 MEIEEGQAQVIQKFVNKASTLETTSSLANLIVEATSHPSLFAFSEILSLPNILQLQGTEDSAYILLRLFGYGTWRDYKGNSAMLPKLSQDQILKLKQLTVVTLSGTNKVLSYNKLQEELEVSNVRELEDFLKNDCMIRCNLQQRGISCMGSLGV >Potri.003G218000.1.v4.1 pep chromosome:Pop_tri_v4:3:21305578:21308001:-1 gene:Potri.003G218000.v4.1 transcript:Potri.003G218000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G218000.v4.1 MCSTFSVSTNPCSYGANNARFLHSKSFVTRTRAQGIRAHKCSSSGAIPRPLIKEFIPRTGLRVHEIVERQSQANNLTKQDACRRVEMNLTLLEEAYKRSRNICAEYARTYYLASLLMTEERQKAIWAIYAWGTRIDEMVDGPNAVYMGTSLLDRWEERLQDIFDGRPYDILDAALTDTVSNFCLDIKPFKEMIEGMRMDTWKSRYKNFQELYLYCYYVAGTAGIMTVPVMGIAPESSVSTKSIYNAAIHFGIANQLTNILRDVGEDAWIGRIYLPQDELEQFGLSDQDVFARKVTDNWREFMKEQIARARFYYNLVEQGISRLDKASHWPLWSSLLLYQNILDAIEENDYDNLTKRAYVGKTKKLIILSHAYKKAQSVPSSIFH >Potri.018G005500.1.v4.1 pep chromosome:Pop_tri_v4:18:444175:446969:-1 gene:Potri.018G005500.v4.1 transcript:Potri.018G005500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G005500.v4.1 MATSATNSTLKKEKKATPSSHPHTSTRKQGVSRPASPSSGSTNKDNSSTPSGKPIPNYLKPTFSSRPEPLKQVKKTGHEDTTQKPALLRRRSFDRPPSLHHHVQKLDPSSDPKERLGRDRIIGTARSSSFSSKNVTSPKTVVDRNAPTHKPGKSHQPLTTRTIGRSISLSNKKVTNAPVLPKEPVSHDGTLNLDLETMLESNEESFLAHETEEILNDVSEEQVPSDSPKAENEEVHIDAEETEVNNGEDEKLIKGSNIPTVAGGEINVSALAKPVEETETELHQENEDQLEGEDNNGKLEESINANANPEEGIADEAKVEAVDKERVEENIVNDNAVSDEELVGEEKKHEDMNQGNEGSEELKPHEGQDQVVKNVHEEEKAPDAADSQKKQVVQGSKKESHAAYNDVIEETKNKLLEERKNKVKALVGAFETVIDYESGSK >Potri.008G103500.6.v4.1 pep chromosome:Pop_tri_v4:8:6545326:6546687:-1 gene:Potri.008G103500.v4.1 transcript:Potri.008G103500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103500.v4.1 MMMASSTTTTSVAAVNTNANINTDRTRRKKKKKSLLQQHQSKQNQNSQSHAKWKTEAQQQIYSSKLIQALSQVNLNPSSSSAPRQGRAVREVADRALAFAAKGKTRWSRAILTNRIKLKFRKQQHKRQRLASSSSSGSTVVTTASNSRSSRKHKVSVLRLKGKGLPAVQRKVRVLGRLVPGCRKQPLPIILEEATDYIAALEMQVKAMSAIAELLSRSSSGAGSSLEPMSS >Potri.008G103500.5.v4.1 pep chromosome:Pop_tri_v4:8:6545189:6546687:-1 gene:Potri.008G103500.v4.1 transcript:Potri.008G103500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G103500.v4.1 MMMASSTTTTSVAAVNTNANINTDRTRRKKKKKSLLQQHQSKQNQNSQSHAKWKTEAQQQIYSSKLIQALSQVNLNPSSSSAPRQGRAVREVADRALAFAAKGKTRWSRAILTNRIKLKFRKQQHKRQRLASSSSSGSTVVTTASNSRSSRKHKVSVLRLKGKGLPAVQRKVRVLGRLVPGCRKQPLPIILEEATDYIAALEMQVKAMSAIAELLSRSSSGAGSSLEPMSS >Potri.005G240300.1.v4.1 pep chromosome:Pop_tri_v4:5:23704326:23709750:1 gene:Potri.005G240300.v4.1 transcript:Potri.005G240300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G240300.v4.1 MGGVCSGGAKRKSVKVGGEENNNGGINTSGKLRSLHSTCKKRENSYRNNNGDDFGRTTPQRSNSGEFLSSFSRELKPSTPVRTEADKINQKKSFLGKAGTVGLEKAVEVLDTLGSSMSNLNPKGGFATGIGSRGNRISILAFEVANTIAKGANLFHSLSEENVESLKKEVLHSEGVHKLVSTDMEELLIIAAADKREEFDVFSREVIRFGDLCKDPQWHNLGRYFSKLDSEYSIERQHRTEAEVTMQELITLVQNTSELYHELNALDRFEQDYRQKVEEVQSLNLSVKGECLTILHSELKQQRKLVRSLKKKSLWSKNVEEIMEKLVDIVTYLQQAILEAFGNNGVILVDKEPGNSRQRLGTSGLALHYANLINQIDNITSRPASLPPNTRDSLYRGIPNSVKAALRSRLQMVDTKEELTIALVKAEMEKTLHWLAPIATNTTKAHQGFGWVGEWANTGIEFGKNTAGNSNLIRLQTLHHADKQKTDLYILELVTWLHRLINLVRQRDHGFKSMHVRSPSRKGPVFHATTPRLQSLNHGAQLSQEDRDLLANVCQRRSVLGRSKSQEFLVDKKRGQVWTLSRSTGNSPVAARQKLEHKKTNILDVMDGLDDTI >Potri.005G014200.3.v4.1 pep chromosome:Pop_tri_v4:5:1124786:1130043:1 gene:Potri.005G014200.v4.1 transcript:Potri.005G014200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014200.v4.1 MEEFYRFNPTFFSSPDDTVRLENLPVANFTDATASTATEFHSHASSFLQAGHGHREVTGSDMYDAIKTQIANHPRYPDLVSAHLECQKVGAPPEMVSLLEAIGRGNYKINSFYEIGADPELDEFMESYCEVLRRYKEELSKPFDEAATFLSSIESQLSSLCKGTLTKMFDYGSADEPAGTSEEELSCAEVEASESQETTGVSSQEQNLKGMLMRKYSAHLSNLRKEFLKNRKKGKLPKDARTTLLDWWNHHYRWPYPTEEEKAKLSEITGLDQKQINNWFINQRKRHWKPSEDMRFPRMDGVSGDPGTPPNMLWYCW >Potri.005G014200.2.v4.1 pep chromosome:Pop_tri_v4:5:1124680:1129976:1 gene:Potri.005G014200.v4.1 transcript:Potri.005G014200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G014200.v4.1 MEEFYRFNPTFFSSPDDTVRLENLPVANFTDATASTATEFHSHASSFLQAGHGHREVTGSDMYDAIKTQIANHPRYPDLVSAHLECQKVGAPPEMVSLLEAIGRGNYKINSFYEIGADPELDEFMESYCEVLRRYKEELSKPFDEAATFLSSIESQLSSLCKGTLTKMFDYGSDEPAGTSEEELSCAEVEASESQETTGVSSQEQNLKGMLMRKYSAHLSNLRKEFLKNRKKGKLPKDARTTLLDWWNHHYRWPYPTEEEKAKLSEITGLDQKQINNWFINQRKRHWKPSEDMRFPRMDGVSGDPGTPPNMLWYCW >Potri.012G051400.1.v4.1 pep chromosome:Pop_tri_v4:12:4872004:4874045:1 gene:Potri.012G051400.v4.1 transcript:Potri.012G051400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G051400.v4.1 MNALYNLALCPTSCSHSTPKHNTKWKTEVNGTATVALKGKALSRASSVTGKQRRIVSAASVTELTRVSETKGRQNIPTEKQLVDPFRQGIITEGGVRYRQTVVIRSYEVGADKTATLESILNLLQETALNHVWVSGLLGDGFGATHGMVRNNLIWVVTRMQVQVDEYPIWGEVMEVDTWVGASGKNGMRRDWLIRSDVTGEVLVRATSTWVMMNQQTRRLSKMPEVVRAEISPWFIENKAFEEEIPEKIYKLDTNAKFTNSNLKPKRSDLDMNHHVNNVKYVNWMLETIPHKFLENYQLTRMTLEYRRECSSSDVVESLCKPEEERFEERHQDRNVDNGDSLASALFQDGNHSKSFKAYTHLLQITGDKESEEIVRGRTIWRRKL >Potri.001G180200.1.v4.1 pep chromosome:Pop_tri_v4:1:15813754:15832072:1 gene:Potri.001G180200.v4.1 transcript:Potri.001G180200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180200.v4.1 MPTYKIRGIDVDFPFEAYDCQLVYMEKVIQSLQNKCNALLESPTGTGKTLCLLCATLAWRKSLGGFSTGKIERNGRIAGGKLDIVPSSQSEDRNLPTIVYASRTHSQLRQVIQELKRSSYRPKMVILGSREQLCIHEEVSLLRGKVQNNACHLICKTRGKRQCTHYSRVADYVKCNPHLGDEPVDIEDLVNIGRTFGPCPYYISRELHKVVDILFAPYNYLIDRGNRKSLAIDWDNSILIFDEAHNLESLCADAASFDLPSWLLTACISEAKSCIDLSVTRREESNDKSWNPDNFAILRALLLKLEKRIAEVPIESKELGFTKPGPYIYELLADLNVTHDTATKIIDIIKDAAVLLEEDKQDKSKGTGCRLESISDFLQIIFREKNNSHANFYRVHVQEGEANAADVLKGKPSRTLSWWCFNPGIAMQEFSRMGVRSIILTSGTLSPLDSFAQELKLDFPIRLENPHVISSNQIWAGVVPAGPSGRSLNSSYRTRDSLEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISCWKNMSQANSTTIWERICKHKKPVVEPRQSSLFPLAIEDYLAKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGMPFAMRTDPKVRLKREYLDGQTHSQRDGCKVLSGEEWYGQQASRAVNQAVGRVIRHRYDYGAIIFCDERFEHRNRQTQISLWIQPHIKCHSKFGDVVFTLSRFFRDGGSRDAAKLRSIPREDTENIKEEKNTQPLDKFYLDSFLSMRTPDQGCSSKSLSSLLEAKRGKDSSLSENIFPANRSSLSPFKESQDFKLNHSRSEIYNEKKLLIPGRINIQHQNAEMIDLTSKSLMDESQSRKELVPCSAKKRKVFHAEYSTGQIGNTHEHASSAERSQSIAPLSLSSLVKLENPLISANKGRQNAQVSSTLPIKDHAITHKDAEILTQKNKDVQSTSVPRDDEQTRGSAFLIQVKEKLNAAEYKEFVEFMKALKSKAMKIGHVLQSIVRLFSGPERFPLLKRFKDFVPAKYHSLYEHYLEGNDDTLGNQT >Potri.001G180200.4.v4.1 pep chromosome:Pop_tri_v4:1:15813709:15832131:1 gene:Potri.001G180200.v4.1 transcript:Potri.001G180200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G180200.v4.1 MPTYKIRGIDVDFPFEAYDCQLVYMEKVIQSLQNKCNALLESPTGTGKTLCLLCATLAWRKSLGGFSTGKIERNGRIAGGKLDIVPSSQSEDRNLPTIVYASRTHSQLRQVIQELKRSSYRPKMVILGSREQLCIHEEVSLLRGKVQNNACHLICKTRGKRQCTHYSRVADYVKCNPHLGDEPVDIEDLVNIGRTFGPCPYYISRELHKVVDILFAPYNYLIDRGNRKSLAIDWDNSILIFDEAHNLESLCADAASFDLPSWLLTACISEAKSCIDLSVTRREESNDKSWNPDNFAILRALLLKLEKRIAEVPIESKELGFTKPGPYIYELLADLNVTHDTATKIIDIIKDAAVLLEEDKQDKSKGTGCRLESISDFLQIIFREKNNSHANFYRVHVQEGEANAADVLKGKPSRTLSWWCFNPGIAMQEFSRMGVRSIILTSGTLSPLDSFAQELKLDFPIRLENPHVISSNQIWAGVVPAGPSGRSLNSSYRTRDSLEYKQELGNAIVNFARIVPDGLLVFFPSYYLLDQCISCWKNMSQANSTTIWERICKHKKPVVEPRQSSLFPLAIEDYLAKLKDTSTSGAVFFAVCRGKVSEGLDFADHAGRAVVITGMPFAMRTDPKVRLKREYLDGQTHSQRDGCKLQVLSGEEWYGQQASRAVNQAVGRVIRHRYDYGAIIFCDERFEHRNRQTQISLWIQPHIKCHSKFGDVVFTLSRFFRDGGSRDAAKLRSIPREDTENIKEEKNTQPLDKFYLDSFLSMRTPDQGCSSKSLSSLLEAKRGKDSSLSENIFPANRSSLSPFKESQDFKLNHSRSEIYNEKKLLIPGRINIQHQNAEMIDLTSKSLMDESQSRKELVPCSAKKRKVFHAEYSTGQIGNTHEHASSAERSQSIAPLSLSSLVKLENPLISANKGRQNAQVSSTLPIKDHAITHKDAEILTQKNKDVQSTSVPRDDEQTRGSAFLIQVKEKLNAAEYKEFVEFMKALKSKAMKIGHVLQSIVRLFSGPERFPLLKRFKDFVPAKYHSLYEHYLEGNDDTLGNQT >Potri.009G068400.4.v4.1 pep chromosome:Pop_tri_v4:9:6802270:6806577:-1 gene:Potri.009G068400.v4.1 transcript:Potri.009G068400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G068400.v4.1 MNREEKEEEEEIVCLDASFFINDNYRLTTFTFGSQVIELLCLHSASTDFDLTGQLVWPGALLLNDYLAKNAEMLQGCSIIELGSGVGVTGILCSRFCRQLLLTDHNDEVLKILKKNIELCASSENPNCCAELAAEKLEWGNSDHIDQILQRYSRGFDLILGADICFQQSSVPLLFDTVERLLHVRGGQCKFILAYVSRTKTMDSLIMKQAAEHGMRMVEVTGTRSVVGNLEGVIFEVALQ >Potri.009G164500.4.v4.1 pep chromosome:Pop_tri_v4:9:12628674:12632116:-1 gene:Potri.009G164500.v4.1 transcript:Potri.009G164500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G164500.v4.1 MQGMVSENIAYGNAIQESPVLQPQDHQDQTLKDTPFSPLDGQSSLLSLTLNEIQLKRGKSFGSMNMDEFFVNLWNSDDNQVPSQPNQNVRPDNDHGGVTKQCPNLARQGSFSLPAPLCKKTVDEVLFEIQNEEPQQHNPNSIGADHEPPQRQQTLGEITLEDFLIKAGVVQEAPAGSSQHKKATPIQDRNNACLDMNFGMGHMMGLGYQNLSAGNGFAAYQMFPQGKLGYNVGEVVPNNAKNEKCQSIMELGAQSSKKRMNDGPPEVVVERRQRRMIKNRESAARSRARKQAYTVELELELNQLKEENAKLKQIVEEIEEKRKEEVMRRKSSKMTQEKDDKLRGIRRTVSLAW >Potri.002G142100.1.v4.1 pep chromosome:Pop_tri_v4:2:10647886:10650553:1 gene:Potri.002G142100.v4.1 transcript:Potri.002G142100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142100.v4.1 MDEGMQQFQQSIIELENEAEHLLLARNQVIENDKERNGNREALTALRKRAKTTKTSIKFPFESIMKDIGKPGSRTAPLVKEICGTCGNHDEKEKTWMMFPGSDVFACIPFHAAHTILDKDQERLEYEAKKLQSYVKEKTLLISEKGVLADMISPGVLRSLVTLTDKPK >Potri.004G056308.1.v4.1 pep chromosome:Pop_tri_v4:4:4652485:4653078:-1 gene:Potri.004G056308.v4.1 transcript:Potri.004G056308.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056308.v4.1 MVGCCWAFSAVAAIEGIIKLKTGNLISLSKQQLVNRDVGNKGCHGGLMDTAFQYIIRNEGLTSEDNYPYQGVDGTCSSEKAASIAAEITGDENAPKNNENALLQAVAKQPVSVGVDGGGNDFQFYKSGVFNGDCGTQQNHAVTAIGYGTDSDGTDYWLVKNSWGTSWGESGYTRMQRGIGASEGLCGVAMDASYPTA >Potri.005G222401.1.v4.1 pep chromosome:Pop_tri_v4:5:22397429:22399231:1 gene:Potri.005G222401.v4.1 transcript:Potri.005G222401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G222401.v4.1 MELYTHDRQCPVRLYSNGDLLVNRGPACWRDTVAFNCEECNLNHLVFPEIFRKEVSDYIRQTIKMRKPLSELISEAIQHRIHGNRDSVVPLLPNLSLARLDVGHTHAFRPMFSDYRPARLQGWPPISRSKSMGRYPPQQGGALEYCGTITTLMLFPAFCSLSAMTGSKVWLLSDKLDPSHQLHVFSIQALQIIIPNHKGQYIGKLM >Potri.019G088900.1.v4.1 pep chromosome:Pop_tri_v4:19:12766509:12769461:1 gene:Potri.019G088900.v4.1 transcript:Potri.019G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G088900.v4.1 MGISRLGFIAGLIWFMAMDWQGLCMAQSNVHRYNFVLQNAQFTRLCETKTMLTVNGSFPGPTIHARRGDTIYVNVHNEGDYGVTIHWHGVKQPRNPWSDGPENITQCPIQPGKNFTYEIILSDEEGTLWWHAHSDWTRATVHGAIVISPARGTTYPFPAPYAEQTIIIGSWFKGDVKAVIDEALATGAGPAISNSLTINGQPGDLYPCSEENTYRLKVNSGRTYLLRVINAVMNEEQFFGIAGHSLTVVGQDAAYIKPITTNYIMITPGQTMDILVTANQPRSYYYIASYSFSDGAMVAFDETTTTAIFQYNGNYSRPSAIPLPVLPVFNDSAAAENYTSRVRGLASRDHPVNVPQTINRRLYITIALNYLPCTEATCINSTRLAASMNNVSFAAKPIDILQAYYRSINGVFDADFPREPQKYFNFTGNMTSINVATARGTKVTMLNYGEAVEIVFQGTNLLAEMNHPIHLHGFSFYLVGHGKGNFNNETDPKSYNLIDPPEINTVALPRSGWAAIRFVANNPGVWFIHCHLEKHSSWGMDTVLIVRNGRTRAQSMRPPPATLPSCS >Potri.017G030400.2.v4.1 pep chromosome:Pop_tri_v4:17:2047362:2048490:-1 gene:Potri.017G030400.v4.1 transcript:Potri.017G030400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G030400.v4.1 MERENSSDASVALIVGITGLAGFSLAEDLQKPTTPGRPWKVYGIARRPLPRWFPAFLITHVFWVSMQHHGNEEVNISMNSTMLANVLNALKPAAPSRLRHVTLLTGTKHYMGPIYDPSLRGELVHQDQPFKEDLGRLPYPNFYYALEDLVASYLPSITHSVHRSSIIIGASSRSLNNTLLTLSVYAIICRYQGLPFRYPGNKYTWEHFCDMSDARVLAEQQIWAAVTEGAKNQAFNCTNGDVFTWKSLWGVLCEVFDVEFVAFEENDEKFDWLGMMKGKGKVWDEIVEKYGLFETKMEDITCFEALNLVLHFGFQHVCSMNKSRESGFLGFADTLKSIPIWVGRLRDMKIIPCMKMMSTPINA >Potri.005G223300.1.v4.1 pep chromosome:Pop_tri_v4:5:22460722:22462118:1 gene:Potri.005G223300.v4.1 transcript:Potri.005G223300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G223300.v4.1 MDHSFFNHPNLEFSPSSPSSSLDLLQDDLLFNPHDNFYLFNSNDSEETLPSDVPFTEAKESATSVTSDVPPNNKAKDLSELISSNEIKEKEAAPNATEENPGREKTYRGVRKRPWGKYAAEIRDSTRNGVRVWLGTFDTGETAALAYDQAALSLHGSKAVLNFPIEKVRKSLREMKSGLEDQYWCSPAEALKKTHSKRRAVSREGKGKGNGLATKEVVVFEDLGAEYLEELLSSCERGTPQ >Potri.017G069200.1.v4.1 pep chromosome:Pop_tri_v4:17:5828741:5831329:1 gene:Potri.017G069200.v4.1 transcript:Potri.017G069200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069200.v4.1 MRKSVNRPPTPEDTVEEQEDQEKQPTLQEIIKIKLIESGEKERLMELLRERLIECGWKDEMKALCRAFIKKKGKNNVTVDDLIHVITPKGRASIPDSVKAELLQRIRSFLVQAAV >Potri.004G159100.2.v4.1 pep chromosome:Pop_tri_v4:4:17960836:17962599:1 gene:Potri.004G159100.v4.1 transcript:Potri.004G159100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G159100.v4.1 MVMFVAFVELFIGAFVELCLSHLKGSYAREPKNIQDREPADLTPFGAKLPGNKLMETEISAHPKHRKKMKAHQVEVFKFLCSNLLADDPGGCILAHAPGSGKTFIVISFIKSFLAKNPDGRPLVVMPKGILDTWKKEFATWQVEDIPLIDFYSSKADKRSRQLDVLEQWVKQRSILFLGYKQFSVIVSEV >Potri.017G100200.2.v4.1 pep chromosome:Pop_tri_v4:17:11189909:11192232:-1 gene:Potri.017G100200.v4.1 transcript:Potri.017G100200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G100200.v4.1 MTVIVENPVEDIELFEQKKEIHVDPDELVSDGGFTMPDSNAFGQSFRDYENAETERHQIVEQTYRLQHINQTYDYVKKMREEYSRLDKAEMSIWECCELLNDVVDESDPDLDEPQIMHLLQSAEAIRKDYPNEDWLHLTALIHDLGKILLLPQFGGLPQWSAVGDIFPVGCAFDESNVHYQFFKENPDFNNPKYNTKNGVYSEGCGLSNVLMSFGHDDYMYLVAKENGTTLPSAALFVIRYHSFYPLHSCGAYKHLMNEEDVENLKWLQIFNKYDLYSKSKVPIDVEKVKPYYLSLIDKYFPAKVKW >Potri.011G139900.1.v4.1 pep chromosome:Pop_tri_v4:11:17082261:17086652:1 gene:Potri.011G139900.v4.1 transcript:Potri.011G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139900.v4.1 MVKKNESFKPKQESKKERKRWSSWGLIGALVAVALAVVVAVTVSLMTASKIGSLINSNNKSCQCPSSQDSGKYKGVIEDCCCDYESVDSVNGEVLHPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPLKKPFLYGLPADDVACQEGNPQAAVDRTLDSRAFKGWIETDNPWTNDDETDNDEMTYVNLLLNPERYTGYVGPSARRIWDAVYSENCPKYPSGEMCQEKKVLYKLISGLHSSISIHIAVDYLLDESTNKWGQNPELMYDRVLRYPDRVRNLYFTFLFVLRAVAKAADYLEQAEYDTGNHTEDLETQSLVRQLLHNPKLQAACPLPFDEAKLWQGQSGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQNQPSESLQLQRNEVIALVNLLNRLSESIKYVCEQGPSIEKTMERQISDPSETKYAST >Potri.011G139900.3.v4.1 pep chromosome:Pop_tri_v4:11:17082411:17086653:1 gene:Potri.011G139900.v4.1 transcript:Potri.011G139900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G139900.v4.1 MVKKNESFKPKQESKKERKRWSSWGLIGALVAVALAVVVAVTVSLMTASKIGSLINSNNKSCQCPSSQDSGKYKGVIEDCCCDYESVDSVNGEVLHPLLQELVTTPFFRYFKVKLWCDCPFWPDDGMCRLRDCSVCECPENEFPEPLKKPFLYGLPADDVACQEGNPQAAVDRTLDSRAFKGWIETDNPWTNDDETDNDEMTYVNLLLNPERYTGYVGPSARRIWDAVYSENCPKYPSGEMCQEKKVLYKLISGLHSSISIHIAVDYLLDESTNKWGQNPELMYDRVLRYPDRVRNLYFTFLFVLRAVAKAADYLEQAEYDTGNHTEDLETQSLVRQLLHNPKLQAACPLPFDEAKLWQGQSGPELKQQIQKQFRNISALMDCVGCEKCRLWGKLQVLGLGTALKILFSVDGQNQPSESLQLQRNEVIALVNLLNRLSESIKYVCEQGPSIEKTMERQISDPSETKYAST >Potri.007G052500.1.v4.1 pep chromosome:Pop_tri_v4:7:5211955:5216099:-1 gene:Potri.007G052500.v4.1 transcript:Potri.007G052500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G052500.v4.1 MLKLNVCCSLIPSPRQATLGASHRSWIIRYHRAQKLLPVVSVKALKDDTNEGTSSFRGRSWEPGLEIEVPFEQRPVNEYSSLKEGPLYSWGELGPGPFLLRLGGLWLVTFTVLGVPIAAATFNPSREPLRFVLAAGTGTLFLVSLIILRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPTEVLARDRLLGSYKVKPVIKMLKQTLVGTGALLVTAVMLFIFATPVEDFFQTTFATKENPSIDPASGKNTKYNVRKEELLRLPVEVIADDDLAAAAAEAAGGRPVYCRDRYYRALAGGQYCKWEDLLNR >Potri.010G159400.3.v4.1 pep chromosome:Pop_tri_v4:10:16550906:16557562:-1 gene:Potri.010G159400.v4.1 transcript:Potri.010G159400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G159400.v4.1 MRSPWFNKPFAILGPRPPISCLLLCFVCVLGLIAILGSTSSSVFDSVTPIPLPDVYSSYRRLKEQAAVDYLELRTISLGAGRQTELELCGREKENYVPCYNVSANLFAGFKDGEEFDRHCEISRQRERCLVRPPKDYKIPLRWPAGRDAIWSANVKITKDQFLSSGSLTKRLMLVEENQFAFHSEDGLVFDGLKDYSRQVAEMIGLGSDSEFLQAGVQSVLDIGCGFGIFGAHLVSLKLMPICIAAYEATGSQVQLALERGLPAMIGNFISRQLPYPPLSFDMVHCAQCGIVWDEKDGMLLIEVDRVLKPGGYFVLTSPASNPHGSSSNTKKRSTLTPTEEFSENICWNLIAQQDETFIWQKTVDVHCYKSRKHGALPLCNDVHNTPYYQPLMSCISGTTSNRWIPIQNRSSGPHLSSAELVGHGVQPEDFFEDSQVWRSALRNYWSLLSPIIFSDHPKRPGDEDPTPPYNMVRNVMDMNAQYGGLNAAMLEEKKLVWVMNVVPVRAPNTLPLILDRGFAGVMHDWCEPFPTYPRTYDMLHANGLLSHLSSERCAMMDLFLEMDRILRPEGWVIFSDKLGAIEMARALAMQIHWEARVIDLDNGSDQRLLVCQKPFMKK >Potri.013G041150.1.v4.1 pep chromosome:Pop_tri_v4:13:2818224:2818658:1 gene:Potri.013G041150.v4.1 transcript:Potri.013G041150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041150.v4.1 MITGFAFHGYGSKVLQLWKMQEDASPDNVTFVSVLSACSHSGLADQGIKTFSSVKDHGIEPVVEHYGCLVDLLARPGRLSEEKDIINQMLMKPSRSIWGGILNACQVQEDVETAEIASRESLNLDPEEEGGYTLLSNINAASGR >Potri.001G133300.1.v4.1 pep chromosome:Pop_tri_v4:1:10844920:10847130:1 gene:Potri.001G133300.v4.1 transcript:Potri.001G133300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G133300.v4.1 MAKSKVLVVGATGYIGKRIVKASIDQGHITYVLQRPETGLDIDKLQLLLSFKKQGARLVEGSFSDQQSLVEAVKKVDVVICTMSGVHFKSHNILMQLKLVDAIKEAGNVKRFLPSEFGMDPARMEHALAPGRETFDQKMIVRKAIEDAKIPFTYVSASCFAGYFVGNLSQLETLTPPKDKVCLYGDGNVKAVYMDEDDIATYTIKAIDDPRALNKTLYLRPPENILSQRQLVEIWEKLSGKKLEKIIISGEDFLASMKDKDYAAKAGMGHFYHICYEGSLTNFEIGEDGEEASNLYPEVKYTRMDEYLNIFV >Potri.019G091600.1.v4.1 pep chromosome:Pop_tri_v4:19:12948852:12950804:-1 gene:Potri.019G091600.v4.1 transcript:Potri.019G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091600.v4.1 MELFLAFCLLLLFYAISKLCKLAYQKRDQRCYMLSYQCQKDAEDRKLDTGSVSSGIGEETYCPRNVIEGREESATLMDALSEMDDIIFDTLDKLFAKTGVSPSEIDIIVASVSLFSPAPSLSARVIKRYKMRKDIKAFNLSGMGCSASVVAVDLVKQLFKTYRNSFAIVVSTESIGPNWYSGKEKSMMLSNILFRTGGCSMLLTNNRALKENALLELTCAVRTHIGSDHEAYSSCIQIYQERAGAKALTMILRVLLPKVLPLSEMLRYKISYYRNKITKRSTPKGIAGPGLNLKSGIDHFCVHPGGRAIIDEVGKSLALNDHDLEPARMALYRFGNTSSGGLWYVLGYMEAKKMLKKGETILMISLGAGFKCYNCVWKVMKDMEDTNVWKDCIDHYPPNTLANPFSEKFDWINDESMRFARHFFVLTS >Potri.007G071000.11.v4.1 pep chromosome:Pop_tri_v4:7:9257648:9267150:1 gene:Potri.007G071000.v4.1 transcript:Potri.007G071000.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071000.v4.1 MLAFFGSGGSRKVDNSSDGRKDELVPTLKVQTDKDVYRPGDSILVTIEISNPKDGASEDVMSSLLIEKLGFAIRGIQKLDNQWFATQKPLPGSKQRRGEHVFMDCSTSSIVSNQIVSSGATKTYVVRTLLPSIIPPSYRGATIRYIYYVESTLSGQQLMLDNTNSHRESSKDLLQLEDRIPLQIWVAQKGNGLIVEEGQSDGIVPPTTIQLDIYWKEMDGDNEWARANDLDDGVEEGHESLRDEISSVSSYNPNRESIHKTFGSSLSLQSVARSSNKDASFTEGGRRSLSTLALPRLSAAEVLYDSGADMAEPDKSSAMVFPSQQLRDDMAGVSYTPGTVSVNNEPAASEGFIRGRSYNIRMDDHVLLRFSPRNSDSTYYFSDMIGGTLTFFHEEGAKKCLEVSITLETSETVSRRYIHPSRKNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTSHVSVQWVLRFEFFTTPKNVDWTRYEHPLLIEGRDKSEWVLPITVHAPPPRASAAHSRNEKGFSLEPLWVRT >Potri.007G071000.10.v4.1 pep chromosome:Pop_tri_v4:7:9257647:9267107:1 gene:Potri.007G071000.v4.1 transcript:Potri.007G071000.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071000.v4.1 MLAFFGSGGSRKVDNSSDGRKDELVPTLKVQTDKDVYRPGDSILVTIEISNPKDGASEDVMSSLLIEKLGFAIRGIQKLDNQWFATQKPLPGSKQRRGEHVFMDCSTSSIVSNQIVSSGATKTYVVRTLLPSIIPPSYRGATIRYIYYVESTLSGQQLMLDNTNSHRESSKDLLQLEDRIPLQIWVAQKGNGLIVEEGQSDGIVPPTTIQLDIYWKEMDGDNEWVARANDLDDGVEEGHESLRDEISSVSSYNPNRESIHKTFGSSLSLQSVARSSNKDASFTEGGRRSLSTLALPRLSAAEVLYDSGADMAEPDKSSAMVFPSQQLRDDMAGVSYTPGTVSVNNEPAASEGFIRGRSYNIRMDDHVLLRFSPRNSDSTYYFSDMIGGTLTFFHEEGAKKCLEVSITLETSETVSRRYIHPSRKNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTSHVSVQWVLRFEFFTTPKNVDWTRYEHPLLIEGRDKSEWVLPITVHAPPPRASAAHSRNEKGFSLEPLWVRT >Potri.007G071000.5.v4.1 pep chromosome:Pop_tri_v4:7:9257643:9267000:1 gene:Potri.007G071000.v4.1 transcript:Potri.007G071000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071000.v4.1 MLAFFGSGGSRKVDNSSDGRKDELVPTLKVQTDKDVYRPGDSILVTIEISNPKDGASEDVMSSLLIEKLGFAIRGIQKLDNQWFATQKPLPGSKQRRGEHVFMDCSTSSIVSNQIVSSGATKTYVVRTLLPSIIPPSYRGATIRYIYYVESTLSGQQLMLDNTNSHRESSKDLLQLEDRIPLQIWVAQKGNGLIVEEGQSDGIVPPTTIQLDIYWKEMDGDNEWARANDLDDGVEEGHESLRDEISSVSSYNPNRESIHKTFGSSLSLQSVARSSNKDASFTEGGRRSLSTLALPRLSAAEVLYDSGADMAEPDKSSAMVFPSQQLRDDMAGVSYTPGTVSVNNEPAASEGFIRGRSYNIRMDDHVLLRFSPRNSDSTYYFSDMIGGTLTFFHEEGAKKCLEVSITLETSETVSRRYIHPSRKNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTSHVSVQWVLRFEFFTTPKNVDWTRYEHPLLIEGRDKSEWVLPITVHAPPPRASAAHSRNEKGFSLEPLWVRT >Potri.007G071000.1.v4.1 pep chromosome:Pop_tri_v4:7:9257596:9267147:1 gene:Potri.007G071000.v4.1 transcript:Potri.007G071000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G071000.v4.1 MLAFFGSGGSRKVDNSSDGRKDELVPTLKVQTDKDVYRPGDSILVTIEISNPKDGASEDVMSSLLIEKLGFAIRGIQKLDNQWFATQKPLPGSKQRRGEHVFMDCSTSSIVSNQIVSSGATKTYVVRTLLPSIIPPSYRGATIRYIYYVESTLSGQQLMLDNTNSHRESSKDLLQLEDRIPLQIWVAQKGNGLIVEEGQSDGIVPPTTIQLDIYWKEMDGDNEWARANDLDDGVEEGHESLRDEISSVSSYNPNRESIHKTFGSSLSLQSVARSSNKDASFTEGGRRSLSTLALPRLSAAEVLYDSGADMAEPDKSSAMVFPSQQLRDDMAGVSYTPGTVSVNNEPAASEGFIRGRSYNIRMDDHVLLRFSPRNSDSTYYFSDMIGGTLTFFHEEGAKKCLEVSITLETSETVSRRYIHPSRKNSPTITKVQSDHHEVVADLVQTSFLFSIPMDGPMSFSTSHVSVQWVLRFEFFTTPKNVDWTRYEHPLLIEGRDKSEWVLPITVHAPPPRASAAHSRNEKGFSLEPLWVRT >Potri.001G106800.1.v4.1 pep chromosome:Pop_tri_v4:1:8581366:8582690:-1 gene:Potri.001G106800.v4.1 transcript:Potri.001G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106800.v4.1 MEGEIKNFIMVWASALLLLWYCHMVGKIISKGVIRFFAILPVILFLFYLPLNLFTIWLGGPTSFFLAWLANFKLLLFAFGQGPLSTSPVPLSLPYFISLACLPIKFQQVPQKSVDKEVSKGSGHKSPLNHGLKIVVLAISAPIYLQKDHIHPKMVLLLYCVYLYIGLELVLAVVAAFARVYLRLELEPQFHEPYLATSLQDFWGRRWNLMVTSILHPTVYNPVMSISSRLIGRKWAALPGVLASFLVSGIMHEIIFYYIGRKKPTWELTCFFFLHGISLAIEIVIKKAFNGKWQLATVVSRLLTLAFVVATAMWLFMPQVLYADFDVKARRECIAFVNFVKDIITIDSRFKSFIAVTEG >Potri.003G174800.2.v4.1 pep chromosome:Pop_tri_v4:3:18211795:18213943:1 gene:Potri.003G174800.v4.1 transcript:Potri.003G174800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G174800.v4.1 MESLDTAAGFMVDDLLDFCSDIGEGDDDEEHQNNNKKPRKGLPSLNPNALASASFNVLEHTLLPEFAEEELEWLSNKDAFPAVETCFGILSEEPGSIPKHHSPVSVLENSTTSSTSISGNSSNSSIIMSYCSLRVPVKARSKRRHRRPREIREQERWWSRENSTRRKPAVSVAKMGRKCQHCGVEKTPQWRAGPDGPKTLCNACGVRYKSGRLVPEYRPANSPTFSSKLHSNSHRKVVEMRKQKQMMGSLVVKPMDKG >Potri.002G083200.1.v4.1 pep chromosome:Pop_tri_v4:2:5913247:5918482:-1 gene:Potri.002G083200.v4.1 transcript:Potri.002G083200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083200.v4.1 MTAFATAAALSLPNSLFRSSKLNCKKGVRGGFGVFAVLGEEGGLLDKKSTWGPLFDVEDPRSKMPQFKGKFLDFYQALEVARYDIQYCDWRARQDLLTIMILHEKVVEVLNPLARDYKCIGTMKKELAELQDELAQAHRQVHISEARVATALDKLAYMEELVNDRLLQDRNQAEPDQESPSPSTSTQSLDTVKRKSPRKNLNVSGPVQPYHPRLKNFWYPVAFSTDLKDDTMIPIDCFEEPWVLFRGKDGKPGCVRNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTRLLDVKVKSLPCFEQEGMIWIWPGSDPPAASLPSLQPPPGFQVHAEIVMELPVEHGLLLDNLLDLAHAPFTHTSTFAKGWTVPSLVKFLTPASGLQGYWDPYPIDMEFRPPCMVLSTIGISKPGKLEGQSTRECATHLHQLHVCLPSSRQKTRLLYRMSLDFAGVLKHFPFMHYLWKHFAEQVLNEDLRLVLGQQERMINGANVWNWPVSYDKLGVRYRLWRDAVERGAKQLPFRKST >Potri.002G083200.2.v4.1 pep chromosome:Pop_tri_v4:2:5913999:5918126:-1 gene:Potri.002G083200.v4.1 transcript:Potri.002G083200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G083200.v4.1 MTAFATAAALSLPNSLFRSSKLNCKKGVRGGFGVFAVLGEEGGLLDKKSTWGPLFDVEDPRSKMPQFKGKFLDFYQALEVARYDIQYCDWRARQDLLTIMILHEKVVEVLNPLARDYKCIGTMKKELAELQDELAQAHRQVHISEARVATALDKLAYMEELVNDRLLQDRNQAEPDQESPSPSTSTQSLDTVKRKSPRKNLNVSGPVQPYHPRLKNFWYPVAFSTDLKDDTMIPIDCFEEPWVLFRGKDGKPGCVRNTCAHRACPLHLGSVNEGRIQCPYHGWEYSTDGKCEKMPSTRLLDVKVKSLPCFEQEGMIWIWPGSDPPAASLPSLQPPPGFQVHAEIDCDGTSSGTRPTSGQPFRSRTRPFYSHIHLCQGVDRSKLGEIFDACIWPPRVLGSLSHRYGISTTLHGVINHWDLKAWKTRGSKHQGVCNSPSPTSCLLAFLKTKD >Potri.010G117400.1.v4.1 pep chromosome:Pop_tri_v4:10:13630445:13633211:1 gene:Potri.010G117400.v4.1 transcript:Potri.010G117400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G117400.v4.1 MATFSYTIPLPIRCSTTGNKQDPKKQNLNKIKITGTPTRSVKVDTLSQTAGVAKTSVASVENGHISKEQIRQNIPTKKQFVDYHRQGLIVEGGVGYRQTVVIRSYEVGADKTATLESILNLLQETALNHVWMSGLLSNGFGATHGMMKNNLIWVVSRMQVLVDQYPIWGEIVEIDTWVGASGKNGMRRDWLIRSQATGHVFARATSTWVMMNEKTRRLSKMPEEVRTEISPWFIEKQAIHEDVPEKISKLDSNAKYVNSNLKPKRSDLDMNQHVNNVKYVRWMLETIPDQFLESHQLSGIILEYRRECGSSNIVQSLCEPDEDGILNSGLKQNNDMSPLNRFSLASEIMEGNGLLGSLDKVPLRYTHLLQTQGDTQNEEIVRGKTTWKKKQSNIELSNMPFSI >Potri.001G285700.6.v4.1 pep chromosome:Pop_tri_v4:1:29836733:29840243:-1 gene:Potri.001G285700.v4.1 transcript:Potri.001G285700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285700.v4.1 MASAVLANRNEPSWTQPQPQQRGGGAKFMGKIPFSNPNPKFSKKRQFQPPQQPQILDVDESPSAASDDASSINRRPQNNHQDFNTGGFVTFNVGSYSKKELIELKNRLVHELEKIRDLKNRIESSESQIRQSSNFSYKKQTSTNKKVSGNKRPFPAPSNFNNLKRSNPENAQLMKNCSQILSKLMKHKLGYIFNSPVDVVGMQLHDYHDIIKSPMDLGTVKSKLTKNLYQSPRDFAADVRLTFNNAMKYNPKGHEVYMLAEQFLTRFEDFYRPIKEKVGDDFDEEENDQVQEVQASSWDHIRREPERVNQIDDDFMQVTAKSDPIGHQMHQQPLQQPTGLNQNPNLVRTPSPMRMPQVKPVKQPKPKAKDPNKREMSLEEKHKLGVGLQSLPQEKMEQVVQIIRKRNGHLRQEGDEIELDIEAVDTETLWELDRFVTNYKKMVSKIKRQALMGINNNVGAISTSEGNNKDVPGNDRMEVVNEAKKPKKGDVGDEDVDIGDEMPMSSFPPVEIEKDNGHASSSSSSSSSSSDDSSSSSDSDSGSSSGSDSEDAHS >Potri.001G285700.4.v4.1 pep chromosome:Pop_tri_v4:1:29837248:29840241:-1 gene:Potri.001G285700.v4.1 transcript:Potri.001G285700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285700.v4.1 MASAVLANRNEPSWTQPQPQQRGGGAKFMGKIPFSNPNPKFSKKRQFQPPQQPQILDVDESPSAASDDASSINRRPQNNHQDFNTGGFVTFNVGSYSKKELIELKNRLVHELEKIRDLKNRIESSESQIRQSSNFSYKKQTSTNKKVSGNKRPFPAPSNFNNLKRSNPENAQLMKNCSQILSKLMKHKLGYIFNSPVDVVGMQLHDYHDIIKSPMDLGTVKSKLTKNLYQSPRDFAADVRLTFNNAMKYNPKGHEVYMLAEQFLTRFEDFYRPIKEKVGDDFDEEENDQVQEVQASSWDHIRREPERVNQIDDDFMQVTAKSDPIGHQMHQQPLQQPTGLNQNPNLVRTPSPMRMPQVKPVKQPKPKAKDPNKREMSLEEKHKLGVGLQSLPQEKMEQVVQIIRKRNGHLRQEGDEIELDIEAVDTETLWELDRFVTNYKKMVSKIKRQALMGINNNVGAISTSEGNNKDVPGNDRMEVVNEAKKPKKGDVGDEDVDIGDEMPMSSFPPVEIEKDNGHASSSSSSSSSSSDDSSSSSDSDSGSSSGSDSEDAHS >Potri.006G055701.1.v4.1 pep chromosome:Pop_tri_v4:6:3949897:3950375:-1 gene:Potri.006G055701.v4.1 transcript:Potri.006G055701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G055701.v4.1 MAKVSVSKAVLFTFIVAMLSVAASVSAQLAPAPAPSMNTGTGFSLPAPGVIVGFSLMVSLLAFLKL >Potri.003G184501.1.v4.1 pep chromosome:Pop_tri_v4:3:18910649:18911750:1 gene:Potri.003G184501.v4.1 transcript:Potri.003G184501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G184501.v4.1 MPKNMKSPMTSAVFLATALAFVGLIAINGAQARILPDRIGLKVATLANYGGTYSPSSPSPIPSQRSKELTSNYEKYSSPPPQSPKADPSSGQVTPSYGRTMASPPPPPKPASPKAQLEIGSPCTDGCISMITNLERPVPRSPPSPKPASPTRQITFDLEPKVHAGSPPGQNVFST >Potri.006G154800.2.v4.1 pep chromosome:Pop_tri_v4:6:13903889:13908115:-1 gene:Potri.006G154800.v4.1 transcript:Potri.006G154800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154800.v4.1 MLGFESMEGPEVQQIELRRSPESHSDSDPLLPNQADSEPSSIQEISILNDDDIENGSVPCCRICLETDCEEGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVALFEDNSWRKMKFRLFVTRDVVIVFLAVQTVIAAMGGFAYLMDKDGTFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSFNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVIIFAILGIAYGFLAATMAVQKIWQRHYHILTKRELTKEYIVEDLHGSYTPAKLDSEHEECLKILKLL >Potri.006G154800.1.v4.1 pep chromosome:Pop_tri_v4:6:13903846:13908240:-1 gene:Potri.006G154800.v4.1 transcript:Potri.006G154800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154800.v4.1 MLGFESMEGPEVQQIELRRSPESHSDSDPLLPNQADSEPSSIQEISILNDDDIENGSVPCCRICLETDCEEGDELISPCMCKGTQQFVHRSCLDHWRSVKEGFAFSHCTTCKAQFHLRVALFEDNSWRKMKFRLFVTRDVVIVFLAVQTVIAAMGGFAYLMDKDGTFRNSFSDGWDRILSKHPIPFYYCIGVLAFFVLLGFFGLILHCSSFNSNDPRMAGCQNCCYGWGILDCFPASMEACFALVVVFVIIFAILGIAYGFLAATMAVQKIWQRHYHILTKRELTKEYIVEDLHGSYTPAKLDSEHEECLKILKLL >Potri.005G136000.1.v4.1 pep chromosome:Pop_tri_v4:5:10517670:10520623:1 gene:Potri.005G136000.v4.1 transcript:Potri.005G136000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G136000.v4.1 MAPDSDRKRKRRFVCLSSSSSSEESTDDDEVEEISGDESNYSDEEEEEEDGGEEEEEESVCERVISLLREGNELEGLYLKHCKAYLRHYGLRLAGTKAVCIQRIKEHWRLKDENGEELYPRSSFLINCRGDVCKGDVVMFVQKVYSKFNKVTRHGKILGRRTVAGRVVKESYGSAKQQHTFTVEVLWSKGVKKLPPLSPLLVKGRNLYKLRTFRQCWINEAERQKVLAEKHKRGTAARLMRTMKKSAMAWSENGDAKCHKRAHHRRPSQMRKMTELEKRKRIDGCRRDTLQLPETFKNHHQQALASLDVNRNMNLHGSKTFWKHRKRGNINVDMGPTLQAYACTTQCISQIEPHQRSVLCHSSRYDTDSSSTVMALPTWRPYADTIGMHAQYQGFSQKQ >Potri.001G278400.4.v4.1 pep chromosome:Pop_tri_v4:1:29159469:29163393:-1 gene:Potri.001G278400.v4.1 transcript:Potri.001G278400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278400.v4.1 MLYNFVIDCNRLKHRGPDWSGLFQHGDFYLAHQRLAIIDPASGDQPLFNEDQAIVVTVNGEIYNHEELRKRLPNHKFRTGSDCDVISHLYEEYGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGITSLYIGWGLDGSVWISSELKGLNDDCEHFKCFPPGHIYSSKSGGLRRWYNPLWFSEAIPSTPYDPLALRRAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTARHLAGTQAARQWGAHLHSFCVGLENSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEDVIYHVETYDVTTIRASTPMFLLARKIKALGVKMVISGEGSDEIFGGYLYFHKAPNKEELHGETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKDFINVAMAIDPEWKMIKPGRIEKWVLRKAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAELHVHDKMMQNAEHIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDASWSNNLDPSGRAALGVHLSAYEQQAALASAGVVPPEIIDNLPRMMKVGAPGVAIQS >Potri.001G278400.3.v4.1 pep chromosome:Pop_tri_v4:1:29159449:29163397:-1 gene:Potri.001G278400.v4.1 transcript:Potri.001G278400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G278400.v4.1 MCGILAVLGCSDDSQAKRFRVLELSRRLKHRGPDWSGLFQHGDFYLAHQRLAIIDPASGDQPLFNEDQAIVVTVNGEIYNHEELRKRLPNHKFRTGSDCDVISHLYEEYGENFVDMLDGMFSFVLLDTRDNSFIVARDAIGITSLYIGWGLDGSVWISSELKGLNDDCEHFKCFPPGHIYSSKSGGLRRWYNPLWFSEAIPSTPYDPLALRRAFEKAVIKRLMTDVPFGVLLSGGLDSSLVAAVTARHLAGTQAARQWGAHLHSFCVGLENSPDLKAAREVADYLGTIHHEFHFTVQDGIDAIEDVIYHVETYDVTTIRASTPMFLLARKIKALGVKMVISGEGSDEIFGGYLYFHKAPNKEELHGETCRKIKALHQYDCLRANKATSAWGLEARVPFLDKDFINVAMAIDPEWKMIKPGRIEKWVLRKAFDDEEHPYLPKHILYRQKEQFSDGVGYSWIDGLKAHAELHVHDKMMQNAEHIFPHNTPTTKEAYYYRMIFERFFPQNSARLTVPGGASVACSTAKAVEWDASWSNNLDPSGRAALGVHLSAYEQQAALASAGVVPPEIIDNLPRMMKVGAPGVAIQS >Potri.018G037100.2.v4.1 pep chromosome:Pop_tri_v4:18:2939679:2943403:-1 gene:Potri.018G037100.v4.1 transcript:Potri.018G037100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G037100.v4.1 MLKLWKWYQNCLAVHPVKTQMISSGVIWGFGDIAAQSITHYTAKKYRQIKVEEKELKINWKRVTTTSLFGFAFVGPVGHFWYESLDRFIRSRLLLRPNSLRFVGAKVALDGIIFGPLDLLVFFSYMGFASGKSVPQIKEDLKRDFVPALILEGGIWPIVQVGNFRFVPVRYQLLYVNFFCLLDSCFLSWLEQQEDAPWKQRLISLLSGKEKKDKGG >Potri.008G199200.1.v4.1 pep chromosome:Pop_tri_v4:8:14127099:14129388:-1 gene:Potri.008G199200.v4.1 transcript:Potri.008G199200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G199200.v4.1 MSAEMFGYSSVSNKDAGKEVDLEAGNGETLYPGLSLGENQLRWGLIRKVYGILAAQLILTTIVAAATVLYTPITDLLRGSFGFVMLLSIVPFILLWPLHVYHQKHPVNLIILGLFTVSLSLLVGASCANIEGKIVLEALILTSAVVCSLTGYTFWASKKGKDFSFLGPILFTALIILILTSFIQVFFPLGSTSTAVYGGISALIFCGYIVYDTDHLIKRFSYDQYILASAALYLDILNLFLSILRVLSQRNN >Potri.002G179500.1.v4.1 pep chromosome:Pop_tri_v4:2:14099953:14103165:1 gene:Potri.002G179500.v4.1 transcript:Potri.002G179500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179500.v4.1 MASASAFSATKFTQPFSLNGTTSRSHEKHQSFFDPLRTAPSSSSFLGSTRKLRLSSASKSKLVANPNRRSAVVAVSDVVKEKKVKSTTNLLITKEEGLEVYEDMILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKREDSVVSTYRDHVHALSKGVPARAVMSELFGKTTGCCRGQGGSMHMFSKEHNLIGGFAFIGEGIPVATGAAFSSKYRREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHVRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKARYAARDPIAALKKYMIENSLASEAELKAIEKKIDEVVEEAVEFADESPHPSRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTARV >Potri.002G179500.3.v4.1 pep chromosome:Pop_tri_v4:2:14099981:14103136:1 gene:Potri.002G179500.v4.1 transcript:Potri.002G179500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G179500.v4.1 MILGRAFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLKREDSVVSTYRDHVHALSKGVPARAVMSELFGKTTGCCRGQGGSMHMFSKEHNLIGGFAFIGEGIPVATGAAFSSKYRREVLKEADCDHVTLAFFGDGTCNNGQFFECLNMAALWKLPIVFVVENNLWAIGMSHVRATSDPEIWKKGPAFGMPGVHVDGMDVLKVREVAKEAIGRARRGEGPTLVECETYRFRGHSLADPDELRDPAEKARYAARDPIAALKKYMIENSLASEAELKAIEKKIDEVVEEAVEFADESPHPSRSQLLENVFADPKGFGIGPDGRYRCEDPKFTEGTARV >Potri.007G051300.1.v4.1 pep chromosome:Pop_tri_v4:7:4980308:4981350:-1 gene:Potri.007G051300.v4.1 transcript:Potri.007G051300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G051300.v4.1 MKLSFAALLLLSVVLLSSFLRFTMAVPNHVASPPPPSPAIPSFCDPKCKARCAKAGYYQRCYDYCIICCKDCKCVPSGTYGNKSECPCYRDKLNSKGTSKCP >Potri.004G117100.2.v4.1 pep chromosome:Pop_tri_v4:4:11004425:11006405:1 gene:Potri.004G117100.v4.1 transcript:Potri.004G117100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117100.v4.1 MLGGQATDQGDCSKFKGNVPHCCKKSPTVVDLLPGTPYNQQTANCCKGGVISSWAQDPANAASSFQLSVGSAGTSNKTVRLPKNFTLKAPGPGYTCARAKIVKPTRFMSTDKRRITQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVPCPKCTCGCQRNNSDSGGCVDPNAPHLASVVSSLGNNNPMPLVQCTSHMCPIRVHWHVKLNYKQYWRVKVTITNFNYNMNYTQWNLVVQHPNFDNLTQSFSFNYQSLSPYSAINDTAMLWGVKFYNDLLMQAGRSGNVQSELLFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRQLTSPLMLMIAFFSVLAFLYGYA >Potri.004G117100.1.v4.1 pep chromosome:Pop_tri_v4:4:11002598:11006405:1 gene:Potri.004G117100.v4.1 transcript:Potri.004G117100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117100.v4.1 MGFLVSPMMSLFSKFTVLLLFVLWCASFTSTEAYDPLDPTGNITIKWDIISWTADGYVAVVTIYNFQQYRHIQAPGWSLGWTWAKKEVIWSMLGGQATDQGDCSKFKGNVPHCCKKSPTVVDLLPGTPYNQQTANCCKGGVISSWAQDPANAASSFQLSVGSAGTSNKTVRLPKNFTLKAPGPGYTCARAKIVKPTRFMSTDKRRITQALMTWNVTCTYSQFLAQKTPSCCVSLSSFYNDTIVPCPKCTCGCQRNNSDSGGCVDPNAPHLASVVSSLGNNNPMPLVQCTSHMCPIRVHWHVKLNYKQYWRVKVTITNFNYNMNYTQWNLVVQHPNFDNLTQSFSFNYQSLSPYSAINDTAMLWGVKFYNDLLMQAGRSGNVQSELLFRKDKSTFTFDKGWAFPRRIYFNGDNCVMPPPDAYPWLPNASSRQLTSPLMLMIAFFSVLAFLYGYA >Potri.015G144900.7.v4.1 pep chromosome:Pop_tri_v4:15:14976259:14982232:1 gene:Potri.015G144900.v4.1 transcript:Potri.015G144900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G144900.v4.1 MVSQYGIKYAVHIITNHFGPLVAKVCDCLLKKGPLPLREIVRYTELSETLVRNCLLVLIQHNCLQPFLLEEEGGFGEVNKVSSLYMVLFDNVLHRVRFSKFMAIVSQEFDKLCVDLIETLLQHGRLSSEQIFDGFRDSTEGSSSGGMEALQENMHKLVMARYVERCPISEPFLALPSEEEAAPVRRRGAKSAKAFLEPETLEQRVLVAAVPPEGMRFSFELNPEIGADRDKVENNSSSNIGDKRKLDALESDIDGGVADKQVALWRVNFEEFIRRLRHKICIETVRTRLDDEAATVFTAMLDASRSEEKKIKTASSVPLSENSIYAEVIKSEKGRNMTLDYVISVLVGLSSSPPFVRVVDNSYSIDFKHTIEVAQTDEVESIVYKKYGKDAYRMFRLLSKAGCLLETDKISDSIFLEKKETANILSKMWQGDYLQMEKLIAGPTQFLLWKVNMINLRERVLDDMFHAALNLSLRVAYELEQQKEILHLGVDGPMKEKYEKLKKVRFLLESSRMKLDDAIMIFHDF >Potri.004G108200.2.v4.1 pep chromosome:Pop_tri_v4:4:9546239:9550700:1 gene:Potri.004G108200.v4.1 transcript:Potri.004G108200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108200.v4.1 MTSMAMRIREVGLHFVVFLWFSTTANGLLSPKGVNYEVQALIGIKASLHDPHGVLDNWDGDAVDPCSWTMVTCSPESLVIGLGTPSQNLSGTLSPTIGNLTNLQTVLLQSNNITGTIPAEIARLSKLHTLDLSDNFFTGKIPSSLGHLRSLEYMRLNNNSLSGEFPLSLANMTQLVLLDLSFNNLSGPVPRFPTKTFSIAGNPLICPTGSEPECFGTTLMPMSMNLNSTQTLPSNKPKSHKIAVAFGSSVGSASLIILVFGLFLWWRRRHNQPTFFDVKDRQHEEVSLGNLRRFQFRELQISTNNFSNKNILGKGGFGIVYKGILHDGTVVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVALRLKGKPVLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRAIEFGKAANQKGAMLDWVKKIHQEKKLEMLVDKDIKGNYDRIELEEMVQVALLSTQYLPSHRPKMSEVVRMLEGDGLAERWEASQRAEATKSKPHEFSSSDRYSDLTDDSSLLVQAMELSGPR >Potri.004G108200.1.v4.1 pep chromosome:Pop_tri_v4:4:9546729:9550682:1 gene:Potri.004G108200.v4.1 transcript:Potri.004G108200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G108200.v4.1 MTSMAMRIREVGLHFVVFLWFSTTANGLLSPKGVNYEVQALIGIKASLHDPHGVLDNWDGDAVDPCSWTMVTCSPESLVIGLGTPSQNLSGTLSPTIGNLTNLQTVLLQSNNITGTIPAEIARLSKLHTLDLSDNFFTGKIPSSLGHLRSLEYMRLNNNSLSGEFPLSLANMTQLVLLDLSFNNLSGPVPRFPTKTFSIAGNPLICPTGSEPECFGTTLMPMSMNLNSTQTALPSNKPKSHKIAVAFGSSVGSASLIILVFGLFLWWRRRHNQPTFFDVKDRQHEEVSLGNLRRFQFRELQISTNNFSNKNILGKGGFGIVYKGILHDGTVVAVKRLKDGNAIGGEIQFQTEVEMISLAVHRNLLRLYGFCMTPTERLLVYPYMSNGSVALRLKGKPVLDWGTRKRIALGAARGLLYLHEQCDPKIIHRDVKAANILLDDYCEAVVGDFGLAKLLDHQDSHVTTAVRGTVGHIAPEYLSTGQSSEKTDVFGFGILLLELITGQRAIEFGKAANQKGAMLDWVKKIHQEKKLEMLVDKDIKGNYDRIELEEMVQVALLSTQYLPSHRPKMSEVVRMLEGDGLAERWEASQRAEATKSKPHEFSSSDRYSDLTDDSSLLVQAMELSGPR >Potri.001G022100.1.v4.1 pep chromosome:Pop_tri_v4:1:1720795:1725466:1 gene:Potri.001G022100.v4.1 transcript:Potri.001G022100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G022100.v4.1 MKRSKSTQQSQNQLFPLRRSQRLADKFQKLPDELTIKIFSKMEDDPKTLIRCSAVSKTWSSFVSKTVNLTLRFSSTGEKGHSLPCSKRHHHIPLPAIPAIMKVFANLESLKIKLCRFPSPTAQPCCQNFTKMKVDWEGDDYHTYTCTAYEVGLLSTIKGAILFHDFSKSTFAAIQGFPVTYFYWRMLDHRPKTLRRMVIMSSKMEGFRSGGKVFMRYEQLPNLRDSVSNLRVNESWLEDPQNVVHWHKNHSDKEHFLHEQVWLLYEWQYFVTNRELDMKEMIVKETDYTELLDGLDYDGGGDQIRKHRSDEYRP >Potri.006G218300.1.v4.1 pep chromosome:Pop_tri_v4:6:22346953:22352989:-1 gene:Potri.006G218300.v4.1 transcript:Potri.006G218300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G218300.v4.1 MSSKFNSSQFSSLDDDDDDFQIPLSQTPKQTLSIRNKPADNPRRPSKKPKKPPNPGKENIDPNSLLLYQKTESGANDFNLDENCSLDFIESSIDCTVSSKVGNEKFDSGSGKKEKLEVSGGYLCNSIEARLMKSRVDYSGVNVGNEEDFEENSELDALIKLCTEEEESEAREKIKVNCNGDECCFVLCPLCGTDISDLSEEFRLVHTNECLDKEENSVTYVVLGGDDGRPEVVPRGVEGPVCGPKKVVVSPVVKWLRNLGLERYEEDFVREEIDWETLQWLTEEDLFGIGVTALGPRKKIVHALSELRKGSNHAIEAHGDAHAFGEVGSRRSHGAEMQVEASKIIGDDTSKPTANKLITDYFPGSVPIKKKTSVISKEQRGAEKSQPGYVRKQGVKNYTKKGKFKDIPLWCSIPGTPFRVDAFKYLRGDCSHWFLTHFHMDHYQGLTRSFCHGKIYCSLITAKLVNLKIGIPWDSLHVLPLNQKICIAGVDVTCLDANHCPGSIIILFEPPNGKAVLHTGDFRFSEKMVTMPVLQMSSIHTLILDTTYCNAQYDFPKQEAVIQFVIEAIQAEAFNPKTLFLIGSYTIGKERLFLEVARVLHKKVYVNMAKFRLLECLGFPEEDMRWITLNEQESHIHVVPMWTLASFKRLKHLSSQYAGRFTLIVAFSPTGWTFGKGKKKSPGRRCQQGTIIRYEVPYSEHCSFTELREFVKFVSPENIIPSVNNDGPDSANDMVSLLLS >Potri.016G079050.1.v4.1 pep chromosome:Pop_tri_v4:16:6011833:6012512:-1 gene:Potri.016G079050.v4.1 transcript:Potri.016G079050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079050.v4.1 MASDCQGKSSWPELLGAQARVAVVTIETQNPYVDTQVVLEGTPVTKDFSCSRVRVWIDQNRIVTRVPVIG >Potri.016G079050.2.v4.1 pep chromosome:Pop_tri_v4:16:6004358:6012374:-1 gene:Potri.016G079050.v4.1 transcript:Potri.016G079050.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G079050.v4.1 MASDCQAKSSWPELLGAQARVAVVTIETQNPYVDTQVVLEGTPVTKDFSCSRVRVWIDQNRIVTRVPVIG >Potri.004G038400.3.v4.1 pep chromosome:Pop_tri_v4:4:3072975:3077714:1 gene:Potri.004G038400.v4.1 transcript:Potri.004G038400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038400.v4.1 MERSRSRGSSGNFILMMELRKKIITFRDIIDLPPFDASLSINELVMGTMRDLHKFYPEIIPSSQLYEIKGSSIDKVLKYFCEALRSIGDSWMMNQEWMDKATYNMYNNSDQRISSEQAVEIASATLTCMIKIAREMFDVMDEYEPNKDIQRSNAFSKILMESSSDNNSYSPCCASPETPTSVLPEFSGSPASGEFSNFYSSSPLLRSLRVQALEKLNPMDIKRLSFHMPSNLEILDGSSLKRKDRVDDETMTEVEAKRKPLFERSNSEESSNCEELMFEIEASSNCDVKKAADLEDHSDYSLKRGMPQIAAAETTPVIAEAITPPAALSVNASPVPPAQPHISSPMMVDVVATPSLPSTPPPMKLQPDVATTQPPPPTPPPPPPFVLQPATFTVASVPRPPPTMSRTVTAPPLPPPPPPMASGTAAAAPPLPPPPPPPRMLSGTGTAAPMLPPPPPPPPQTSTARNAPPPPPPLMMSSKGTMPLPPPPPMPLGNGAAPPPPPPGAARSLRPKKAQTKLKRSSQMGNLYRVLKGKVEGGNQLTKSPTGRKGPASSSAGGKQGMADALAEITKRSAYFQQIEEDVQKYSKEITELKAAISTFKTKDMTELIKFHKHVESILEKLTDETQVLARFEGFPQKKLEALRTAAALGSKLNGVVAELKNWKVEPPLGQLLDKTERYFNKIKGDLDALERTKDEESKKFQSHNIDFDFHILVQIKESMVDVSSSCMELALKERRQTKAAETAVSGTKTEPRSAGAKMLWRAFQFAFRVYSFAGGHDDRADMLTRELAHEIETDPHHQ >Potri.004G038400.1.v4.1 pep chromosome:Pop_tri_v4:4:3072975:3077714:1 gene:Potri.004G038400.v4.1 transcript:Potri.004G038400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G038400.v4.1 MSTGIFCRVKPLLFGGRTSRFEVVKRAHLVKDKKKESEEPKMERSRSRGSSGNFILMMELRKKIITFRDIIDLPPFDASLSINELVMGTMRDLHKFYPEIIPSSQLYEIKGSSIDKVLKYFCEALRSIGDSWMMNQEWMDKATYNMYNNSDQRISSEQAVEIASATLTCMIKIAREMFDVMDEYEPNKDIQRSNAFSKILMESSSDNNSYSPCCASPETPTSVLPEFSGSPASGEFSNFYSSSPLLRSLRVQALEKLNPMDIKRLSFHMPSNLEILDGSSLKRKDRVDDETMTEVEAKRKPLFERSNSEESSNCEELMFEIEASSNCDVKKAADLEDHSDYSLKRGMPQIAAAETTPVIAEAITPPAALSVNASPVPPAQPHISSPMMVDVVATPSLPSTPPPMKLQPDVATTQPPPPTPPPPPPFVLQPATFTVASVPRPPPTMSRTVTAPPLPPPPPPMASGTAAAAPPLPPPPPPPRMLSGTGTAAPMLPPPPPPPPQTSTARNAPPPPPPLMMSSKGTMPLPPPPPMPLGNGAAPPPPPPGAARSLRPKKAQTKLKRSSQMGNLYRVLKGKVEGGNQLTKSPTGRKGPASSSAGGKQGMADALAEITKRSAYFQQIEEDVQKYSKEITELKAAISTFKTKDMTELIKFHKHVESILEKLTDETQVLARFEGFPQKKLEALRTAAALGSKLNGVVAELKNWKVEPPLGQLLDKTERYFNKIKGDLDALERTKDEESKKFQSHNIDFDFHILVQIKESMVDVSSSCMELALKERRQTKAAETAVSGTKTEPRSAGAKMLWRAFQFAFRVYSFAGGHDDRADMLTRELAHEIETDPHHQ >Potri.001G381600.1.v4.1 pep chromosome:Pop_tri_v4:1:40164840:40167670:-1 gene:Potri.001G381600.v4.1 transcript:Potri.001G381600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G381600.v4.1 MTMDTWRFFQLLFLACFTSVVMASNDDFISAVGDPGMRRDGLRVAIEAWNQCNEVGEEAVNMGSPRMADCFDVDHSTSSVNLIHKVSEDENRLGLLNDTYGRIKARSYDRYAPKKQLYLGNKCQVKDYPKPWQFWMIMLKSGNMDTLAARCPENGKKSEPFAPESRFPCFGEGCMNMPKIYHNYTGVHGNNTLRGSFYGTWDLDANIKSGVVDNSTSYFNVTWKKELGKGSWVFHFYLKTSSKYPWLMLYLRSDATKGFSGGYHYQTRGMSKIVPKSPNFKVKFKLNITQGGGSGSQFYLMDIGSCWKNDGSPCNGDVRSDVTRYSEMIINPGTESWCSPEKVAGCPPYHTFPNGTRVHRTNNASFPFDAYHMYCAPGNALHLEEPYNLCDAYSNPQPQEILQIIPHPVWGDYGYPAKKGEGWIGDPRSWELDVGRLSQSLYFYQDPGTKPVERHWPSIDLGTEIYISNDQVAEWTVSDFDILVPKDQIH >Potri.006G101100.1.v4.1 pep chromosome:Pop_tri_v4:6:7715614:7718394:-1 gene:Potri.006G101100.v4.1 transcript:Potri.006G101100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101100.v4.1 MMNCLQSWPEPVVRVQSLAASGIRAIPERYIKPPSHRPLSKNDFSPPQEVNIPVIDFQNVFSDDQRLRDEALRDIYSACHEWGFFQVVNHGVSHELMKRTSEVWREFFNLPVEVKQEYANTPATYEGYGSRLGVEKGAILDWSDYFFLNYMPVSLRNQNKWPATPASCRELVAEYGSEVVKLCGKLMKVFSMNLGLEEDSLLNAFGGEENIGAGLRVNYYPKCPQPDLTLGLSPHSDPGGMTLLLPDENVAGLQVCRKGSWLTVKPIPNAFIINIGDQIQVLSNAIYQSVEHRVIVNSNSDRVSLALFYNPKSDSLIEPSKELVSDDRPALYPPMRFDEYRLYVRTKGLCGKEQVESLIIS >Potri.004G134500.1.v4.1 pep chromosome:Pop_tri_v4:4:15600386:15608019:1 gene:Potri.004G134500.v4.1 transcript:Potri.004G134500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G134500.v4.1 MAPPAVPGGGAVAAGGGQQGQGQQRQQQGGMGQTITGIIRMAVFWYFISKFISPKKAPTDPSILISNLFQKGDSLDMWFYLSEQERFNDFNNEGALIWHETNIPYAIWGPESTRSLSLKYYPSEALKHNGTLYAHAFFARSGYPPDPSDPEYQPLAAFGRTHPVVAYLPKSKTDKRKSLLGDSKDSKEGEVVSQVVDDSQADSKDDGPVEWISYWKPNVTINLVDDFTKYPHNAVPPNIASYLNVEPTSGNYFPTIFFNEFWLLRDKLIALNETVTELTLNLEVGPISMTKWQLFMQIDQSFQVHRSYGSMLEGEADELKRVFLEGNPYLLVITMVVSMLHSVFDFLAFKNDIQFWNKNKSMEGLSAKSVVVSFISQLIVFLYLLDNDTSWMILASSGVGCCIEFWKIGKAMHIEIDRSGRIPMLRFRDRESYAGNKTKEYDDLAMKYLSYVLFLLVACSSVYSLKYERHKSWYSWILSSLTSCVYMFGFIMMCPQLFINYKLQSVAHLPWRQMTYKFLNTIIDDLFAFVIKMPTLHRLSVFRDDLIFLIYIYQRWVYPVDKSRVNEFGFGGEDDQASAGEVTAAKEEEKKTN >Potri.008G126600.1.v4.1 pep chromosome:Pop_tri_v4:8:8250303:8254442:1 gene:Potri.008G126600.v4.1 transcript:Potri.008G126600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126600.v4.1 MASRRLLSSLLRSTSRRSLSKSTLSNSHPKLSTSSTRRASPYGYLLNRAAEYATSAAAASPSSQPPPAKSEGTKGKIIDEFTGKGSIGHVCQVIGAVVDVKFDEGLPPILTALEVQGHSIRLVLEVAQHLGESVVRTIAMDGTEGLIRGQPVLNTGSPITVPVGRATLGRIINVIGEAIDEKGDLKTEHYLPIHREAPAFVEQATEQQILVTGIKVVDLLAPYQRGGKIGLFGGAGVGKTVLIMELINNVAKAHGGFSVFAGVGERTREGNDLYREMIESGVIKLGDQQSESKCALVYGQMNEPPGARARVGLTGLTVAEHFRDAEGQDVLLFIDNIFRFTQANSEVSALLGRIPSAVGYQPTLATDLGGLQERITTTKKGSITSVQAIYVPADDLTDPAPATTFAHLDATTVLSRQISELGIYPAVDPLDSTSRMLSPHILGEDHYNTARGVQKVLQNYKNLQDIIAILGMDELSEDDKLTVARARKIQRFLSQPFHVAEVFTGAPGKYVELKEGVESFQGVLDGKYDDLPEQSFYMVGGIEEVIAKAEKISKESATA >Potri.013G154200.1.v4.1 pep chromosome:Pop_tri_v4:13:14963481:14968245:-1 gene:Potri.013G154200.v4.1 transcript:Potri.013G154200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154200.v4.1 MAISSLRFPLSPWLLLKTPKLKPSFRFSFPILPSSRHTQLLLLCSYSQSPPSISPPLTDSNSNQSLHSKWESIRKKKVALRVGYVGTDYRGLQKQHDQHSLLTIEGELERAIYEAGGIRESNYGNLNKISWARSSRTDKGVHSLATTITMKMEIPENAWKDDLYGMVLAKHVNSYLPDSIRVLSILPAQKSFDPRRECDIRKYSYLLPAEVIGIKSHFSMAEIDDHISDFNNILNAFEGDHPFHNYTVRSKYRRKYPTKNGHVSKRARSSWGTSASGSEESDDEENLEIDGTSSSDHVELSQNSLESSICDLNGDILKDQSSNLPLRARWLHEPDETDRIGAAHFRKIFRCSCGKLEKSLGFDFVEISIWGESFMLHQIRKMVGTAVAVKRKVLPRDLIMLSLTKFSRIVLPLAPSEVLILRGNDFSLRKKSGEGKRPEMETMVESEEILRAVNEFYSTMMLPQVSKFLDPCMPLWKEWVENLDKYTSIPDAQLDEVRIARKVWMENFKIRKSIESVVNQ >Potri.013G154200.4.v4.1 pep chromosome:Pop_tri_v4:13:14963470:14968232:-1 gene:Potri.013G154200.v4.1 transcript:Potri.013G154200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G154200.v4.1 MAEIDDHISDFNNILNAFEGDHPFHNYTVRSKYRRKYPTKNGHVSKRARSSWGTSASGSEESDDEENLEIDGTSSSDHVELSQNSLESSICDLNGDILKDQSSNLPLRARWLHEPDETDRIGAAHFRKIFRCSCGKLEKSLGFDFVEISIWGESFMLHQIRKMVGTAVAVKRKVLPRDLIMLSLTKFSRIVLPLAPSEVLILRGNDFSLRKKSGEGKRPEMETMVESEEILRAVNEFYSTMMLPQVSKFLDPCMPLWKEWVENLDKYTSIPDAQLDEVRIARKVWMENFKIRKSIESVVNQ >Potri.001G225400.1.v4.1 pep chromosome:Pop_tri_v4:1:24317719:24324099:1 gene:Potri.001G225400.v4.1 transcript:Potri.001G225400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225400.v4.1 MKKKLDTRFPAVRIKKIMQTDEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLKRGAKTLNSLHLKQCVQTFNVFDFLREIVSKVPDLGGPDVTGDERAVAKRRKVADDEDNDSDEECSRNRTNETIQTSSSGRGRGRGRGRGRGRGRGRGTRSMERETAAQHGKFEDDPDIDHHNDKHCPKLDKDYEPKDSKDNIPAGRNLQAPVRDFDLNAGLDENGETQPVLASVPSSFSTKSDTVVPASSLTKHDTVVPTSSLTKPDTVVPASSSTKPTPELKHEEVPGWSLEDIEKMDINPVQLANLNTRIDEEEEDYDEEDCSY >Potri.001G225400.2.v4.1 pep chromosome:Pop_tri_v4:1:24318874:24324099:1 gene:Potri.001G225400.v4.1 transcript:Potri.001G225400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225400.v4.1 MQTDEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLKRGAKTLNSLHLKQCVQTFNVFDFLREIVSKVPDLGGPDVTGDERAVAKRRKVADDEDNDSDEECSRNRTNETIQTSSSGRGRGRGRGRGRGRGRGRGTRSMERETAAQHGKFEDDPDIDHHNDKHCPKLDKDYEPKDSKDNIPAGRNLQAPVRDFDLNAGLDENGETQPVLASVPSSFSTKSDTVVPASSLTKHDTVVPTSSLTKPDTVVPASSSTKPTPELKHEEVPGWSLEDIEKMDINPVQLANLNTRIDEEEEDYDEEDCSY >Potri.001G225400.3.v4.1 pep chromosome:Pop_tri_v4:1:24318874:24324099:1 gene:Potri.001G225400.v4.1 transcript:Potri.001G225400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G225400.v4.1 MQTDEDVGKIAMAVPLLVSKALELFLQDLCDRTYEITLKRGAKTLNSLHLKQCVQTFNVFDFLREIVSKVPDLGGPDVTGDERAVAKRRKVADDEDNDSDEECSRNRTNETIQTSSSGRGRGRGRGRGRGRGRGRGTRSMERETAAQHGKFEDDPDIDHHNDKHCPKLDKDYEPKDSKDNIPAGRNLQAPVRDFDLNAGLDENGETQPVLASVPSSFSTKSDTVVPASSLTKHDTVVPTSSLTKPDTVVPASSSTKPTPELKHEEVPGWSLEDIEKMDINPVQLANLNTRIDEEEEDYDEEDCSY >Potri.006G019650.1.v4.1 pep chromosome:Pop_tri_v4:6:1290059:1290517:1 gene:Potri.006G019650.v4.1 transcript:Potri.006G019650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019650.v4.1 MHAELNNRPGEKRRSCSDVEGVLAGLSVFVCSSSFPFVICLRRSPAFSSVFVPFSLLVLAFLSSLLFCRSGAETGKGDGTAAFLFPCFFLFSSLLFSFPPSLFCFPFSFSLFTVTNRNSFCSLRFISTPSVLFSFFLLLPLFLLLLPASPRR >Potri.010G038100.3.v4.1 pep chromosome:Pop_tri_v4:10:6754352:6760205:-1 gene:Potri.010G038100.v4.1 transcript:Potri.010G038100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038100.v4.1 MGESLVAASSYEDKIGGTVASDPALQASVSFGRFENDSLSWDKWSSFSQNKYLEEVEKCATPGSVAEKRAYFEAHYKKIAARKAELLDQEKQIEHDLSRANNQNSGDLIVKTSQMDSDFDASNGQTSSEGIRPESKFDNEWDGGHIDKPTEDAAIDAHGQASTNKPYEDTAVDAHGQASSNDPYEDAAFSVHGQASLNEPYEDAAIDVQGQVPLNGRVKEEQDSELDTPVSAKLEEVALMKKEETGSQDMRELPKNLEKEMESILMIKEEKVKLDHRKESPKISPMSKVRDLAMAKKKPEPPITKRPQISSLKFSKPASTSSSLSASQSSIKKVNGSSLPRSKNTPVGGNKKVNPKSLHMSLSMDSPNSETVPLTTTRKSFIMEKMGDKDIVKRAFKTFQNNFSQLKSSAEERSIGAKQMPAKEIGVKVSTSMTPRKENIGSFKSGGVDRRTAKLAPSSSVLKSDERAERRKEFSKKLEEKSKTEAESRRLGTKSKEEREAEIKKPRRSLNFKATPMPGFYRGQKASKSPLDKEGSKTLRI >Potri.010G038100.2.v4.1 pep chromosome:Pop_tri_v4:10:6754371:6760149:-1 gene:Potri.010G038100.v4.1 transcript:Potri.010G038100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038100.v4.1 MGESLVAASSYEDKIGGTVASDPALQASVSFGRFENDSLSWDKWSSFSQNKYLEEVEKCATPGSVAEKRAYFEAHYKKIAARKAELLDQEKQIEHDLSRANNQNSGDLIVKTSQMDSDFDASNGQTSSEGIRPESKFDNEWDGGHIDKPTEDAAIDAHGQASTNKPYEDTAVDAHGQASSNDPYEDAAFSVHGQASLNEPYEDAAIDVQGQVPLNGRVKEEQDSELDTPVSAKLEEVALMKKEETGSQDMRELPKNLEKEMESILMIKEEKVKLDHRKESPKISPMSKVRDLAMAKKKPEPPITKRPQISSLKFSKPASTSSSLSASQSSIKKVNGSSLPRSKNTPVGGNKKVNPKSLHMSLSMDSPNSETVPLTTTRKSFIMEKMGDKDIVKRAFKTFQNNFSQLKSSAEERSIGAKQMPAKEIGVKVSTSMTPRKENIGSFKSGGVDRRTAKLAPSSSVLKSDERAERRKEFSKKLEEKSKTEAESRRLGTKSKEEREAEIKKPRRSLNFKATPMPGFYRGQKASKSPLDKEGSKTLRI >Potri.004G068150.2.v4.1 pep chromosome:Pop_tri_v4:4:5797775:5799753:-1 gene:Potri.004G068150.v4.1 transcript:Potri.004G068150.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068150.v4.1 MHLSKFISRPCFEPSGTTSSTDHHGDEVDTTGGSRTLANIIRDRVRSVGLSDSIKFEAKKIKEGGKKSIFKQKFEVRDGERLLKASHCCLSTEAGPVAGLLFISTERVAFCSQKSVTFNSPDGLFEETDRKDHNYSKYWLQS >Potri.004G068150.1.v4.1 pep chromosome:Pop_tri_v4:4:5797775:5799753:-1 gene:Potri.004G068150.v4.1 transcript:Potri.004G068150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068150.v4.1 MHLSKFISRPCFEPSGTTSSTDHHGDEVDTTGGSRTLANIIRDRVRSVGLSDSIKFEAKKIKEGGKKSIFKQKFEVRDGERLLKASHCCLSTEAGPVAGLLFISTERVAFCSQKSVTFNSPDGLFEETDRKIEITIRNMRWVDRNESQQKKMTIIREDSSEFLFMDFLRYDKARQNLEEAILWQSCQAEGS >Potri.003G059700.7.v4.1 pep chromosome:Pop_tri_v4:3:8647399:8652030:1 gene:Potri.003G059700.v4.1 transcript:Potri.003G059700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059700.v4.1 MEHIKTPFKGILNDVRGRAACYKQDWVAGILSGFGILAPTTYIFFASALPVIAFGEQLRRDTDGSLSTVETLASTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKEREELGKKLFLAWAGWVCVWTALLLFLLAIFNACAIINRFTRVAGELFGMLVAVLFMQEAIKGMVSEFEIPKAEDPKLDKYQFQWLYTNGLLGIIFTFGLLYTALKSRRARAWWYGTGWFRSFIADYGVPLMVVVWTALSFSIPSKVPSGVPRKLFSPLPWESASLHHWTVIKDMGNVPPAYIFAAFVPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLSFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVESAKESIKQKASNSEIYGKMQDVFIEMDSSPITTVVRELEDLKEAVMKGENPKDTFDPEKHIDAYLPVRVNEQRVSNFLQSLLVAASVSAVPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFVTPGRRYKVLEGVHASFVESVPFKYIAIFTIFQFVYFLFCYGVTWIPIAGILFPLLFFVLISIRQHILPKLFCPNHLRELDAAEYEEITGTPRLSLNLSFKAYYSPDLSRYLLILCSFRRVDNKFMWHSIRKEKHMFLETRKGKWRCVMLRYLTS >Potri.003G059700.5.v4.1 pep chromosome:Pop_tri_v4:3:8647322:8652524:1 gene:Potri.003G059700.v4.1 transcript:Potri.003G059700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059700.v4.1 MEHIKTPFKGILNDVRGRAACYKQDWVAGILSGFGILAPTTYIFFASALPVIAFGEQLRRDTDGSLSTVETLASTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKEREELGKKLFLAWAGWVCVWTALLLFLLAIFNACAIINRFTRVAGELFGMLVAVLFMQEAIKGMVSEFEIPKAEDPKLDKYQFQWLYTNGLLGIIFTFGLLYTALKSRRARAWWYGTGWFRSFIADYGVPLMVVVWTALSFSIPSKVPSGVPRKLFSPLPWESASLHHWTVIKDMGNVPPAYIFAAFVPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLSFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVESAKESIKQKASNSEIYGKMQDVFIEMDSSPITTVVRELEDLKEAVMKGENPKDTFDPEKHIDAYLPVRVNEQRVSNFLQSLLVAASVSAVPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFVTPGRRYKVLEGVHASFVESVPFKYIAIFTIFQFVYFLFCYGVTWIPIAGILFPLLFFVLISIRQHILPKLFCPNHLRELDAAEYEEITGTPRLSLNLSFKEREAHVLGNEEGEVEMCDAEIFDELTTSRGELKVRTVSFSEEKNTLRFIPRVLSNQNETINHLGQD >Potri.003G059700.6.v4.1 pep chromosome:Pop_tri_v4:3:8647399:8652524:1 gene:Potri.003G059700.v4.1 transcript:Potri.003G059700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059700.v4.1 MEHIKTPFKGILNDVRGRAACYKQDWVAGILSGFGILAPTTYIFFASALPVIAFGEQLRRDTDGSLSTVETLASTALCGIIHSILGGQPLLILGVAEPTVIMYTYLYNFAKEREELGKKLFLAWAGWVCVWTALLLFLLAIFNACAIINRFTRVAGELFGMLVAVLFMQEAIKGMVSEFEIPKAEDPKLDKYQFQWLYTNGLLGIIFTFGLLYTALKSRRARAWWYGTGWFRSFIADYGVPLMVVVWTALSFSIPSKVPSGVPRKLFSPLPWESASLHHWTVIKDMGNVPPAYIFAAFVPAVMIAGLYFFDHSVASQMAQQKEFNLKNPSAYHYDILLLSFMTLLCGLIGLPPSNGVLPQSPMHTKSLAVLKRQLIRRKMVESAKESIKQKASNSEIYGKMQDVFIEMDSSPITTVVRELEDLKEAVMKGENPKDTFDPEKHIDAYLPVRVNEQRVSNFLQSLLVAASVSAVPAIKLIPTSVLWGYFAYMAIDSLPGNQFWERMLLLFVTPGRRYKVLEGVHASFVESVPFKYIAIFTIFQFVYFLFCYGVTWIPIAGILFPLLFFVLISIRQHILPKLFCPNHLRELDAAEYEEITGTPRLSLNLSFKEREAHVLGNEEGEVEMCDAEIFDELTTSRGELKVRTVSFSEEKNTLRFIPRVLSNQNETINHLGQD >Potri.001G398900.1.v4.1 pep chromosome:Pop_tri_v4:1:42423074:42433695:-1 gene:Potri.001G398900.v4.1 transcript:Potri.001G398900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G398900.v4.1 MSRGTDRLIKSAKQFADLQYKLFTARYGQQLIDIFEFPIKLVLSPFTLAFDIAGSAPRGFGVPELISKLSYASIFAIATLGTYDIALEMGKKVICQRNCQTCNGWQALQCNMCKGVGKVHYQVKNYTLRSGEKATAECIADAIADNRAELVHLPSSMDLNMPLPSKDCPTCDGTGVMSCPECKNKLQVRISADDIMEPPWQAYNVLRKMEYPYEHIVDSMRDPSIAAFWLFSFPEIMGGFNYDGDVKQKIWWQYKESMRYDQLRDMVAKRNPGWEHLQEALISIDPVRARDDPVVVKNVPYYKAKKTLEAEVMKLDPPPRPQNWGELDLPLNASSWNEEDLKKPEKFYEMTVLLNAQREIADKILDSQWETKWRQEKLNEMLEEKVRPYIQNISNGALPRPILIQSQKQDKKRRQRRWWFF >Potri.004G141000.6.v4.1 pep chromosome:Pop_tri_v4:4:16366452:16371638:1 gene:Potri.004G141000.v4.1 transcript:Potri.004G141000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141000.v4.1 MDPILTFNGSANPSHFLLPIYFAFGFFLARFILDRFIFRKLAIWLLYSKAKAISSRIDEATIVKCSESMWKLTYYATVEICVLKITCNEPWFRDTKEYFRGWPHQELGFPIMLFYMCQCGFYIYSIAALLIWETRRKDFSVMMSHHVITVILIGYSYSTSFFRIGTIICAVHDASDVFLEAAKVFKYSGKELSASILFGLFAISWVILRLVFFPFWIIKATSYELVEFLDLSLAYDKLLYYVFNTMLLMLLVFHIYWWILIYSMIMRQLRNRGRVGEDIRSDSEDDE >Potri.004G141000.1.v4.1 pep chromosome:Pop_tri_v4:4:16366443:16371638:1 gene:Potri.004G141000.v4.1 transcript:Potri.004G141000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141000.v4.1 MDPILTFNGSANPSHFLLPIYFAFGFFLARFILDRFIFRKLAIWLLYSKAKAISSRIDEATIVKCSESMWKLTYYATVEICVLKITCNEPWFRDTKEYFRGWPHQELGFPIMLFYMCQCGFYIYSIAALLIWETRRKDFSVMMSHHVITVILIGYSYSTSFFRIGTIICAVHDASDVFLEAAKVFKYSGKELSASILFGLFAISWVILRLVFFPFWIIKATSYELVEFLDLSLAYDKLLYYVFNTMLLMLLVFHIYWWILIYSMIMRQLRNRGRVGEDIRSDSEDDE >Potri.004G141000.5.v4.1 pep chromosome:Pop_tri_v4:4:16366536:16371638:1 gene:Potri.004G141000.v4.1 transcript:Potri.004G141000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G141000.v4.1 MDPILTFNGSANPSHFLLPIYFAFGFFLARFILDRFIFRKLAIWLLYSKAKAISSRIDEATIVKCSESMWKLTYYATVEICVLKITCNEPWFRDTKEYFRGWPHQELGFPIMLFYMCQCGFYIYSIAALLIWETRRKDFSVMMSHHVITVILIGYSYSTSFFRIGTIICAVHDASDVFLEAAKVFKYSGKELSASILFGLFAISWVILRLVFFPFWIIKATSYELVEFLDLSLAYDKLLYYVFNTMLLMLLVFHIYWWILIYSMIMRQLRNRGRVGEDIRSDSEDDE >Potri.010G076900.1.v4.1 pep chromosome:Pop_tri_v4:10:10416402:10417534:1 gene:Potri.010G076900.v4.1 transcript:Potri.010G076900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G076900.v4.1 MADVDTEVAAAGQPKKRTFKKFSFRGVDLDALLDMSTDELVKLFNARARRRFQRGLKRKPMALIKKLRTAKREAPAGEKPEPVRTHLRNMIIVPEMIGSIIGVYNGKTFNQVEIKPEMIGHYLAEFSISYKPVKHGRPGIGATHSSRFIPLK >Potri.002G162800.2.v4.1 pep chromosome:Pop_tri_v4:2:12458060:12460176:-1 gene:Potri.002G162800.v4.1 transcript:Potri.002G162800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162800.v4.1 MTTSLSFASITPRLKSHHHHSIIFSPNYNGKLNRIRCNGKRPSSNSSSSKQESEPENALLKVAWYSSELLGIAASFFRSPSNSSTEASEKDIKLGKDVSGVIDRAVVMETIKEDFQKSYFVTGSLTLEAYEENCEFADPAGSFKGLQRFKRNCTNFGLLIEKSNMKLTKWEDFEDKGIGHWRFSCVMSFPWKPILSATGYTEYYFDEQSGRVCRHVEHWNVPKMALLKQLLKPSQGFWGGRKTSA >Potri.006G079700.1.v4.1 pep chromosome:Pop_tri_v4:6:5933775:5936251:1 gene:Potri.006G079700.v4.1 transcript:Potri.006G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079700.v4.1 MADEANRTAFLELQGRMIETTSKFKQVQNQIRTKEGEKKRAFLTLEELRQVPDDTNTYKSIGRTFVLEPKSVLMSEQEQKLKDSETAISSLQTSKEYLEKHMSEVENNLRELLQQDPALARQIMSMSVM >Potri.015G033400.5.v4.1 pep chromosome:Pop_tri_v4:15:2632521:2645247:-1 gene:Potri.015G033400.v4.1 transcript:Potri.015G033400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033400.v4.1 MEETSSVDVILDFLKKNRFTRAEAALRSELGNLPDLNGFLQKLALEDKNSGKVVEEENGGKLTCHPQGSGPQHSGEISKELIVKEIECGVDRNGTESKWKNSASAGERGGKNNEAIDSEDTVLGLYSWNFNPSNGPSNPYKNDVGTSTSNFSARAKAKSGEEFILTGEKKSSWLGSKSTSDANAESKYNKIETNELKELDWQLKTTVAFSAGNPWSQNEEPANSSSDLWKDCSVKTVFPFPKGEALTSYDDTITNSDKRDGKKKAGTSDLRAAIKEQVDEVGRTLFFGKSQESTEQKNLSGLGFSLVSDIPKEEFPRLPPVKLKSEDKPSINWQETFERDGPSSKVISADNSYLIGSYLDVPVGQEINSSGGKRIAGGSWLSVSQGIAEDASDLVSGFATVGDGLSESIDYQNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGAGHGSVPDPQDRVPTKDEDDDQSFAEEDSYFSGEQIFQAKTVEPVTASDDPIGLSVTEMYGTNNGSDLISQYDGQLMDEEELSLMRAEPVWQGFVTQTNELIMIGDGKVLNECGRPQLDDICMDDDQHGSVRSIGVGINSDAADIGSEIRESLVVGSSEGDVEYFRDHDTGVGGSRSSHHVSDKKYVDKQNRDKKKLNKYVVGSDQDMHAQGRSHADGGFSFPPPLRNEQLLQAGSSKSLWSDNCNAVVSEETNDHLNALTGPDDTWQRKSCDSSTVKSSRDENNTNAVRSANSSPSSLSNYGYTEPEHAIKERDEKIGGVREEDPVASLEDEEAAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDHADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITTQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGHPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQSMLAKGRDTYKYFSKNHMLYERNQDTSRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEVNPKKRPSASEALKHPWLSYPYEPISA >Potri.015G033400.1.v4.1 pep chromosome:Pop_tri_v4:15:2632325:2645270:-1 gene:Potri.015G033400.v4.1 transcript:Potri.015G033400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033400.v4.1 MEETSSVDVILDFLKKNRFTRAEAALRSELGNLPDLNGFLQKLALEDKNSGKVVEEENGGKLTCHPQGSGPQHSGEISKELIVKEIECGVDRNGTESKWKNSASAGERGGKNNEAIDSEDTVLGLYSWNFNPSNGPSNPYKNDVGTSTSNFSARAKAKSGEEFILTGEKKSSWLGSKSTSDANAESKYNKIETNELKELDWQLKTTVAFSAGNPWSQNEEPANSSSDLWKDCSVKTVFPFPKGEALTSYDDTITNSDKRDGKKKAGTSDLRAAIKEQVDEVGRTLFFGKSQESTEQKNLSGLGFSLVSDIPKEEFPRLPPVKLKSEDKPSINWQETFERDGPSSKVISADNSYLIGSYLDVPVGQEINSSGGKRIAGGSWLSVSQGIAEDASDLVSGFATVGDGLSESIDYQNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGAGHGSVPDPQDRVPTKDEDDDQSFAEEDSYFSGEQIFQAKTVEPVTASDDPIGLSVTEMYGTNNGSDLISQYDGQLMDEEELSLMRAEPVWQGFVTQTNELIMIGDGKVLNECGRPQLDDICMDDDQHGSVRSIGVGINSDAADIGSEIRESLVVGSSEGDVEYFRDHDTGVGGSRSSHHVSDKKYVDKQNRDKKKLNKYVVGSDQDMHAQGRSHADGGFSFPPPLRNEQLLQAGSSKSLWSDNCNAVVSEETNDHLNALTGPDDTWQRKSCDSSTVKSSRDENNTNAVRSANSSPSSLSNYGYTEPEHAIKERDEKIGGVREEDPVASLEDEEAAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDHADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITTQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGHPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQSMLAKGRDTYKYFSKNHMLYERNQDTSRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEVNPKKRPSASEALKHPWLSYPYEPISA >Potri.015G033400.6.v4.1 pep chromosome:Pop_tri_v4:15:2632307:2645205:-1 gene:Potri.015G033400.v4.1 transcript:Potri.015G033400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G033400.v4.1 MEETSSVDVILDFLKKNRFTRAEAALRSELGNLPDLNGFLQKLALEDKNSGKVVEEENGGKLTCHPQGSGPQHSGEISKELIVKEIECGVDRNGTESKWKNSASAGERGGKNNEAIDSEDTVLGLYSWNFNPSNGPSNPYKNDVGTSTSNFSARAKAKSGEEFILTGEKKSSWLGSKSTSDANAESKYNKIETNELKELDWQLKTTVAFSAGNPWSQNEEPANSSSDLWKDCSVKTVFPFPKGEALTSYDDTITNSDKRDGKKKAGTSDLRAAIKEQVDEVGRTLFFGKSQESTEQKNLSGLGFSLVSDIPKEEFPRLPPVKLKSEDKPSINWQETFERDGPSSKVISADNSYLIGSYLDVPVGQEINSSGGKRIAGGSWLSVSQGIAEDASDLVSGFATVGDGLSESIDYQNEYWDSDEYDDDDDVGYMRQPIEDEAWFLAHEIDYPSDNEKGAGHGSVPDPQDRVPTKDEDDDQSFAEEDSYFSGEQIFQAKTVEPVTASDDPIGLSVTEMYGTNNGSDLISQYDGQLMDEEELSLMRAEPVWQGFVTQTNELIMIGDGKVLNECGRPQLDDICMDDDQHGSVRSIGVGINSDAADIGSEIRESLVVGSSEGDVEYFRDHDTGVGGSRSSHHVSDKKYVDKQNRDKKKLNKYVVGSDQDMHAQGRSHADGGFSFPPPLRNEQLLQAGSSKSLWSDNCNAVVSEETNDHLNALTGPDDTWQRKSCDSSTVKSSRDENNTNAVRSANSSPSSLSNYGYTEPEHAIKERDEKIGGVREEDPVASLEDEEAAAVQEQVRQIKVQEEEFETFNLKIVHRKNRTGFEEDKNFHVVLNSVIAGRYHVTEYLGSAAFSKAIQAHDLHTGMDVCVKIIKNNKDFFDQSLDEIKLLKYVNKHDHADKYHILRLYDYFYYREHLLIVCELLKANLYEFHKFNRESGGEVYFTMPRLQSITTQCLEALQFLHGLGLIHCDLKPENILVKSYSRCEVKVIDLGSSCFETDHLCSYVQSRSYRAPEVILGHPYDKKIDVWSLGCILAELCTGNVLFQNDSPATLLARVIGIIGPIDQSMLAKGRDTYKYFSKNHMLYERNQDTSRLEYLIPKKTSLRHRLPMGDQGFIDFVSHLLEVNPKKRPSASEALKHPWLSYPYEPISA >Potri.018G014500.1.v4.1 pep chromosome:Pop_tri_v4:18:976819:979551:-1 gene:Potri.018G014500.v4.1 transcript:Potri.018G014500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G014500.v4.1 MASTPLSPISLTFILYVFLVLLCPLCSLKKGLTVEGKETTKNYIRTVRVNSLLPSNVCSQSTRVLNRASSLKVVNKYGPCIPVTGAPKTINVPSTAEFLLQDQLRVKSFQVRLSMNPSSGVFKEMQTTIPASIVPTGGAYVVTVGLGTPKKDFTLSFDTGSDLTWTQCEPCLGGCFPQNQPKFDPTTSTSYKNVSCSSEFCKLIAEEAAQDCISNTCLYGIQYGSGYTIGFLATETLAIASSDVFKNFLFGCSEESRGTFNGTTGLLGLGRSPIALPSQTTNKYKNLFSYCLPASPSSTGHLSFGVEVSQAAKSTPISPKLKQLYGLNTVGISVRGRELPINGSISRTIIDSGTTFTFLPSPTYSALGSAFREMMANYTLTNGTSSFQPCYDFSNIGNGTLTIPGISIFFEGGVEVEIDVSGIMIPVNGLKEVCLAFADTGSDSDFAIFGNYQQKTYEVIYDVAKGMVGFAPKGC >Potri.017G023850.1.v4.1 pep chromosome:Pop_tri_v4:17:1540013:1541958:1 gene:Potri.017G023850.v4.1 transcript:Potri.017G023850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G023850.v4.1 MLTNNLHFTMDYMYYCLAFFLSSFLVFKLVFQRSRNLPPSPFRFPIIGHLHLVTKPPMHKVLAILSNKCGPIFTLKLGSKNIVAVCSLSAAEECFLKNDIVFANRPQSIFFHYWSYNYAAFLFAPYGHLWRTLRRFSVTELFSRSCLDRSTAITEEVRTLLRLILSKVSDDGAKNVDLNYFFTITSLNVIMKMIAGKKWVEEEKAACIDSGKQCLEDVQKIFPSNTGTILKWVGYKVKEESVIKVFKERDEFLQGLIEEVKRKETSSVTSNPAAEGVKDQKTVIGSLLALQKSDPELYTDEVVKGTMATFYLAGVDTVDFTTEWAMTFLLNHPERLERVKAEIDREVGHERLVQESDLPKLRYLRCVVNETLRLYPPAPLLLPHAPSEDCTIGGYEIPRGTIVMVNVWAIHRDPKLWEDPESFKPERFEGLNNEGEKQGFIPFGIGRRACPGNHMAMRRVMLALAALIQCFEWERVGQELIDMSIVKALISVQKAKPLEATCTPRPFTTSLISPP >Potri.006G061250.1.v4.1 pep chromosome:Pop_tri_v4:6:4360783:4361804:-1 gene:Potri.006G061250.v4.1 transcript:Potri.006G061250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061250.v4.1 MRLTNLCYPKLSPADTRGFGNVSLISLSNSTSNGRALPFTLLQSLTKFPNLRTLNLDENNLEGSFGTTLDKDLASLKNLEKLDLSFSTVDNSFLQTVGKITTLKSLRLRGCRLNGSIPKAQGLCQLKHLQNLDISGNDLSGALPRCLANLTSLQGLDLSYNNFIGDISFSLLQVSHPSEGYHFQTTTFRSPSH >Potri.009G144550.2.v4.1 pep chromosome:Pop_tri_v4:9:11482150:11483612:-1 gene:Potri.009G144550.v4.1 transcript:Potri.009G144550.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G144550.v4.1 MPPKYSDQVNSMFKTIAVGAILAIGWINQIPCRQLHLAVLIWPFVFENQLERPLSCVHTTTLPLIFARSRVLSMQKLCVGGGWSSYSIH >Potri.014G107900.2.v4.1 pep chromosome:Pop_tri_v4:14:7252438:7254206:-1 gene:Potri.014G107900.v4.1 transcript:Potri.014G107900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G107900.v4.1 MNSRPPPVGYGFHPTDEELVTYYLRFKMHGGYEQEVSIIAEANVCDYEPWVLPELSAIKEPNDPEYYFFCPRSYKYANSDRANRTTQAGYWKVTGKDRIVKTKTTKEHIATKKTLVFYEGRVPNGIKTNWIMHEYHPTFSFPNQREFVLCKLKKDPDAIMPTYEEGEASFNVTSDHSENQNPTEYNHPQTFEEGEYGARTASNFIDNEPEEDTYQLQAQLDSFRGYDEGCYSLNALFPYGNMY >Potri.007G125100.1.v4.1 pep chromosome:Pop_tri_v4:7:14123107:14125614:1 gene:Potri.007G125100.v4.1 transcript:Potri.007G125100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125100.v4.1 MSKVNFTIFNCSLNNARPLNWMVPCLSTLHYDVLAVKSELSIHGNELLLSCTKMYDLPVPHDIRLSWSNPNCGSCEARGKLCGLRENSSTELETECYGLPRPEKGARKKRLTLGVTTGSILFGVLAIAVYQIYSFRKSEEEYQAKVERFLDDYRAMNPTRYSHADLKKMTNQFRDELGQGAYGTVFKGMLTSEIPVAVKVLSNSSEKGEEFVNEMGTMARIHHVNVVRLIGFCADGFRRALVYEYLPNDSLQRFISSANAKNVFLGWERLHHIALGVAKGIEYLHQGCDQTILHFDIKPHNILLDNDFNPKIADFGLAKLCSKYKSAISMTRVRGTVGYIAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKNVDDTAENGEQVYFPEWIYNLLEEGEDLRLHIEEEGDAEIAKKLAIVGLWCIQWNPVDRPSMKTVVQMLEGRGDRLSTPPNPLSSTAPKRPNTRTLRL >Potri.007G125100.3.v4.1 pep chromosome:Pop_tri_v4:7:14123107:14125614:1 gene:Potri.007G125100.v4.1 transcript:Potri.007G125100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125100.v4.1 MSKVNFTIFNCSLNNARPLNWMVPCLSTLHYDVLAVKSELSIHGNELLLSCTKMYDLPVPHDIRLSWSNPNCGSCEARGKLCGLRENSSTELETECYGLPRPEKGARKKRLTLGVTTGSILFGVLAIAVYQIYSFRKSEEEYQAKVERFLDDYRAMNPTRYSHADLKKMTNQFRDELGQGAYGTVFKGMLTSEIPVAVKVLSNSSEKGEEFVNEMGTMARIHHVNVVRLIGFCADGFRRALVYEYLPNDSLQRFISSANAKNVFLGWERLHHIALGVAKGIEYLHQGCDQTILHFDIKPHNILLDNDFNPKIADFGLAKLCSKYKSAISMTRVRGTVGYIAPEVFSRNFGNVSYKSDVYSFGMLVLEMVGGRKNVDDTAENGEQVYFPEWIYNLLEEGEDLRLHIEEEGDAEIAKKLAIVGLWCIQWNPVDRPSMKTVVQMLEGRGDRLSTPPNPLSSTAPKRPNTRTLRL >Potri.006G261900.1.v4.1 pep chromosome:Pop_tri_v4:6:25799424:25803332:1 gene:Potri.006G261900.v4.1 transcript:Potri.006G261900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261900.v4.1 MASQILLLSIPLLFISLLAYASFFTSFAYSGTGAEVANGRKQAEALLKWKASLYNQSQSLLSSWDGDRPCNWVGIRCDTSGIVTNISLSHYRLRGTLNSLRFSSFPNLIKLILRNNSLYGSVPSHIGNLSNLIILDLSLNSISGNIPPEVGKLVSLYLLDFSKNNLSGVLPTSIGNLSNLSFLYLYENKLSGFIPREVGMLEHLSTLHLADNNFEGPIPASIGNMKSLTSLDLASNYLTGAIPASLGNLRNLSALSLGKNNLSGPVPPEMNNLTHLSFLQIGSNRLSGNLPQDVCLGGLLSYFGAMDNYFTGPIPKSLKNCSRLVRLRLERNQLNGNISEAFGTHPHLYYMDLSDNELHGELSWKWEQFNNLTTFRISGNKISGEIPAALGKATRLQALDLSSNQLVGRIPKELGNLKLIKLELNDNKLSGDIPFDVASLSDLERLGLAANNFSATILKQLSKCSKLIFLNMSKNRFTGIIPAETGSLQYSLQSLDLSWNSLMGDIAPELGQLQRLEVLNLSHNMLSGLIPTSFSKLQSLTKVDVSYNKLEGPIPDTKAFREAPFEAIRNNTNLCGNATGLEACAALKKNKTVHKKGPKVVFFTVFSLLGGLLGLMVGFLIFFQRRRKKRLMETPQRDVPARWCLGGELRYEDIIEATEEFNSKYCIGTGGYGVVYKAVLPSEQVLAVKKFHQTAEVEMTTLKAFRSEIDVLMCIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKVLNDEDQAANMDWDKRINLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEKCDVYSFGVVTLEVMMGKHPGDFISSLMLSASTSSSSSPFGHNTLLKDVLDQRLPPPEIKPGKGVAHVAKLAFACLQTDPHHRPTMRQVSTELTTRWPPLPKLFSTMELEDILVHRNDIG >Potri.006G261900.2.v4.1 pep chromosome:Pop_tri_v4:6:25799432:25803273:1 gene:Potri.006G261900.v4.1 transcript:Potri.006G261900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G261900.v4.1 MASQILLLSIPLLFISLLAYASFFTSFAYSGTGAEVANGRKQAEALLKWKASLYNQSQSLLSSWDGDRPCNWVGIRCDTSGIVTNISLSHYRLRGTLNSLRFSSFPNLIKLILRNNSLYGSVPSHIGSNRLSGNLPQDVCLGGLLSYFGAMDNYFTGPIPKSLKNCSRLVRLRLERNQLNGNISEAFGTHPHLYYMDLSDNELHGELSWKWEQFNNLTTFRISGNKISGEIPAALGKATRLQALDLSSNQLVGRIPKELGNLKLIKLELNDNKLSGDIPFDVASLSDLERLGLAANNFSATILKQLSKCSKLIFLNMSKNRFTGIIPAETGSLQYSLQSLDLSWNSLMGDIAPELGQLQRLEVLNLSHNMLSGLIPTSFSKLQSLTKVDVSYNKLEGPIPDTKAFREAPFEAIRNNTNLCGNATGLEACAALKKNKTVHKKGPKVVFFTVFSLLGGLLGLMVGFLIFFQRRRKKRLMETPQRDVPARWCLGGELRYEDIIEATEEFNSKYCIGTGGYGVVYKAVLPSEQVLAVKKFHQTAEVEMTTLKAFRSEIDVLMCIRHRNIVKLYGFCSHAKHSFLVYEFVERGSLRKVLNDEDQAANMDWDKRINLIKGVANALSYMHHDCSPPIIHRDISSNNVLLDSEYEAHVSDFGTARLLMPDSSNWTSFAGTFGYTAPELAYTMKVDEKCDVYSFGVVTLEVMMGKHPGDFISSLMLSASTSSSSSPFGHNTLLKDVLDQRLPPPEIKPGKGVAHVAKLAFACLQTDPHHRPTMRQVSTELTTRWPPLPKLFSTMELEDILVHRNDIG >Potri.014G103600.4.v4.1 pep chromosome:Pop_tri_v4:14:6938810:6943200:1 gene:Potri.014G103600.v4.1 transcript:Potri.014G103600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103600.v4.1 MTRLFRSKSCGLVGLTESNSAPPPSPFFHRNGTEDGEEDEDEDDEEELYSDASGNPISTPFIGSREGTGGSERGRNGNSNKEFAILDVLVTALRKSLVTCSVEREDVSSMDISWPTEVRHVSHVTFDRFNGFLGLPTEFEPEVPCKVPSASANVFGVSAKSMQCSHDDKGNSVPTILLMMQERLYIEGGLKAEGIFRINAENGREEYVRNQLNKGVVPRGIEVHCLAGLIKAWFRELPSGVLDSITPEQVMHCNTEDDCTQLVKQLPLTEAALFDWAINLMADVVEHEQYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNLLKTLILKTLREREESSAKLRLLSTCSDSPGDKSESACHSNLNSKELCKISLNAGAPEIPSTGKFLRPATMNRLESNTEEKYWRFQKKGDGEEEFKPVSSSSPPFREMGTLDSGCKGEYDSGDWLSFRKGVRRLCIHPVFQLSKPVKKTRGIGIVNTRGRGGEAWA >Potri.014G103600.3.v4.1 pep chromosome:Pop_tri_v4:14:6938816:6943199:1 gene:Potri.014G103600.v4.1 transcript:Potri.014G103600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103600.v4.1 MTRLFRSKSCGLVGLTESNSAPPPSPFFHRNGTEDGEEDEDEDDEEELYSDASGNPISTPFIGSREGTGGSERGRNGNSNKEFAILDVLVTALRKSLVTCSVEREDVSSMDISWPTEVRHVSHVTFDRFNGFLGLPTEFEPEVPCKVPSASANVFGVSAKSMQCSHDDKGNSVPTILLMMQERLYIEGGLKAEGIFRINAENGREEYVRNQLNKGVVPRGIEVHCLAGLIKAWFRELPSGVLDSITPEQVMHCNTEDDCTQLVKQLPLTEAALFDWAINLMADVVEHEQYNKMNARNIAMVFAPNMTQMADPLTALIHAVQVMNLLKTLILKTLREREESSAKLRLLSTCSDSPGDKSESACHSNLNSKELCKISLNAGAPEIPSTGLTSTAV >Potri.019G131700.2.v4.1 pep chromosome:Pop_tri_v4:19:15399396:15400269:-1 gene:Potri.019G131700.v4.1 transcript:Potri.019G131700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131700.v4.1 LSNGNQVHSKILQTFQKSFVQVEDILDQNRLLINEINQNQESKIPDKLTRDVGLIKELNINIRRAVGLYADLSCNFNIRSMEPSSEGESSGISKSNSMRTKSGRVGAQECLLLKIKAANSHAVIMR >Potri.002G002200.1.v4.1 pep chromosome:Pop_tri_v4:2:153296:155236:-1 gene:Potri.002G002200.v4.1 transcript:Potri.002G002200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G002200.v4.1 MVSPFGQMRNHDTGPPWLIPMLRASYFIPCAVHGESNKSECNMFCLDCMGNAFCSYCLIYHRDHRVVQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSAKIVFLNERPQPRPGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRGDPDLTFALKLKQNRDPFFGGSESDESSTPKKIRRTHAFNRLMDGLSIYSSNNDGAESSGDDAATNISPATPPLFNHRNARRRKGIPHRAPF >Potri.002G016700.2.v4.1 pep chromosome:Pop_tri_v4:2:1013234:1016413:1 gene:Potri.002G016700.v4.1 transcript:Potri.002G016700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016700.v4.1 MVKVRHSRLQAIKLSTFTLVSSMLFMLTFVLLVLLGLGIFSLRSSSEGFPPNDLSSYRRMTSEREGDRMEKKEEKWTEILSWEPRAFLYHNFLSKEECEYLINLATVVDAETGRSIDSRVRTSTGMFLDRGQDRVIRDIEKRIADFFFIPVEHGEELQVLHYEVGQKHETHTDYFVDEFNTKNSGQRTATLLLSS >Potri.010G229500.2.v4.1 pep chromosome:Pop_tri_v4:10:21229868:21234178:-1 gene:Potri.010G229500.v4.1 transcript:Potri.010G229500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G229500.v4.1 MQWTAQTLQLCLVQVELMCFKSGTKLKLFLTFLSINYQKAGGGFSPIRVNNRKVLSVPLPKPEDEFDLLIGDWNSQSHAQGNLPHHIN >Potri.010G199900.1.v4.1 pep chromosome:Pop_tri_v4:10:19254375:19259231:1 gene:Potri.010G199900.v4.1 transcript:Potri.010G199900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199900.v4.1 MSQTHLRPRHDPVRKFRLVSLPDKTCKIFSYLTRICLLLCLIFSISLVLYTTFSPNQNQFWNRLPHPTRTGTKLAPGPPTNISHVLFCIGGSTATWRDRSLYSSIWWVPNVTRGFVWLEKKIISHQTNKNVPAVKVSSPEWTRFKYSSSRSAVRIARIISDSVKLRLPDVRWFVMGDDDTVYYTDNLVSVLSRYDHNQMWYIGGNSESVEQDVIHSYDMAFGGGGFALSYPLAERLVSILDGCLDRYYYFYGSDQRIWACISEIGVPLSRERGFHQFDIRGSAYGLLAAHPLAPLVSLHHLDNLEPLFPNHNRIDSLKSINQAYQVDPPRIFQQTFCHDSKRKWSISIAWGYTVQLYPLLLPANGLQTPEQTFKTWRSWSDGPFTFNTRPTEPDPCKQPVVFMLEQAKEGGVNGSLSSYKRIVHEPGKTCKTTQYAQAMSVQRILVSSLKMEPDYWKKSREQAPRRYCCELMNKGSIKNSSMQLRIRRCRNWESITSRLD >Potri.010G199900.4.v4.1 pep chromosome:Pop_tri_v4:10:19254649:19260355:1 gene:Potri.010G199900.v4.1 transcript:Potri.010G199900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G199900.v4.1 MSQTHLRPRHDPVRKFRLVSLPDKTCKIFSYLTRICLLLCLIFSISLVLYTTFSPNQNQFWNRLPHPTRTGTKLAPGPPTNISHVLFCIGGSTATWRDRSLYSSIWWVPNVTRGFVWLEKKIISHQTNKNVPAVKVSSPEWTRFKYSSSRSAVRIARIISDSVKLRLPDVRWFVMGDDDTVYYTDNLVSVLSRYDHNQMWYIGGNSESVEQDVIHSYDMAFGGGGFALSYPLAERLVSILDGCLDRYYYFYGSDQRIWACISEIGVPLSRERGFHQFDIRGSAYGLLAAHPLAPLVSLHHLDNLEPLFPNHNRIDSLKSINQAYQVDPPRIFQQTFCHDSKRKWSISIAWGYTVQLYPLLLPANGLQTPEQTFKTWRSWSDGPFTFNTRPTEPDPCKQPVVFMLEQAKEGGVNGSLSSYKRIVHEPGKTCKTTQYAQAMSVQRILVSSLKMEPDYWKKVGLYMPNHPHIHVDGVYIY >Potri.004G056366.1.v4.1 pep chromosome:Pop_tri_v4:4:4653824:4655737:-1 gene:Potri.004G056366.v4.1 transcript:Potri.004G056366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056366.v4.1 MAAKKCNTRIFVPFLLILAAWATKIACRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMYHGYKRQSSKLMSSSFRYENLSDIPTSMDWRNDGAVTPVKDQGTCGCCWAFSTVAAIEGIIKLQTGNLISLSEQQLVDCTAGNKGCQGGLMDTAFQYIIRNGGLTSEDNYPYQGVDGTCSSDKAASTEAQITGYEDVPQNNENALLQAVAKQPVSVAVDGGGNDFRFYKSGVFEGDCGTNLNHAVTAIGYGTDSDGTDYWLVKNSWGTSWGENGYMRMRRGIGSSEGLCGVAKDASYPTA >Potri.003G008300.5.v4.1 pep chromosome:Pop_tri_v4:3:787215:791027:-1 gene:Potri.003G008300.v4.1 transcript:Potri.003G008300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008300.v4.1 MSHRKFEHPRHGSLGFLPRKRASRHRGKAKSFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVNTPGGLRSLSTVWAQHLSEEVRRRFYKNWCKSKKKAFTKYCKKYESEDGKKDIQAQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAIFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKCGQESHCAVTEYDRTEKDITPIGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLKQTSRVAMEEIKLKFIDTSSKFGHGRFQTTEEKAKFYGRLKT >Potri.003G008300.1.v4.1 pep chromosome:Pop_tri_v4:3:787240:791015:-1 gene:Potri.003G008300.v4.1 transcript:Potri.003G008300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008300.v4.1 MSHRKFEHPRHGSLGFLPRKRASRHRGKAKSFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVNTPGGLRSLSTVWAQHLSEEVRRRFYKNWCKSKKKAFTKYCKKYESEDGKKDIQAQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAIFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKCGQESHCAVTEYDRTEKDITPIGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLKQTSRVAMEEIKLKFIDTSSKFGHGRFQTTEEKAKFYGRLKT >Potri.003G008300.4.v4.1 pep chromosome:Pop_tri_v4:3:787240:791028:-1 gene:Potri.003G008300.v4.1 transcript:Potri.003G008300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G008300.v4.1 MISNLLLLTEWYLHLSRLANLFVSTCILCILNFVVFDDVTAKSFPKDDPTKPCRLTAFLGYKAGMTHIVREVEKPGSKLHKKETCEAVTIIETPPMVVVGVVGYVNTPGGLRSLSTVWAQHLSEEVRRRFYKNWCKSKKKAFTKYCKKYESEDGKKDIQAQLEKLKKYCTVIRVLAHTQIRKMKGLKQKKAHLMEIQVNGGDVAKKVDFAYSFFEKQIPIDAIFQKDEMIDIIGVTKGKGYEGVVTRWGVTRLPRKTHRGLRKVACIGAWHPARVSFTVARAGQNGYHHRTELNKKIYKLGKCGQESHCAVTEYDRTEKDITPIGGFPHYGVVKEDYLMIKGCCVGPKKRVVTLRQSLLKQTSRVAMEEIKLKFIDTSSKFGHGRFQTTEEKAKFYGRLKT >Potri.014G054300.1.v4.1 pep chromosome:Pop_tri_v4:14:3475414:3476073:1 gene:Potri.014G054300.v4.1 transcript:Potri.014G054300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G054300.v4.1 MENGCGGGIETQWWWWWAMASMAKFGWGISAYKRGFAGDSRLMPLKAFAVASLFVGSAASASIASLQASGIHKVQDLIELGANIRTGLGVPPRVAKEKADDL >Potri.002G132400.2.v4.1 pep chromosome:Pop_tri_v4:2:9981788:9984650:-1 gene:Potri.002G132400.v4.1 transcript:Potri.002G132400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G132400.v4.1 MSNVTQREPPKFPCEIDTELDRISSLPGHVLGQILSLLPIRDAVRTSALKRKWRYKWSQIPHIVFDSQGISISSQDQTTIKNKLVNIIDHILLLHNGPIYKFKLSHCDLLAVSDIDRWILHLSRGSAKEFVLEIWKGQQYKLPSCLFSFKNLVYLELFNCLLKPPLAFKGFRNLKNLDLQHVTLAQEVFEKLISSCAMLERLTLINFDGFTHLNINAPNLQFFDVGGVFDDVSFENTFVLALVSIGLYVNVKNDQNVSYGSSSKLLRFFVNLPHVRRLEIQSYFLKYLAIGKVPSKLPKPCIDLNYLSIRLNFNDYEENSAAFCLLRSCPNLQEIEILARPEEQAVVGPVTDFWDDDHWKCLFGQLRLVKIVGISGIRSELDCIKFLLSNSPVLEKMTIKPVSNEGGWELVKQLLHFRRASIQAEVFHLEL >Potri.005G108600.1.v4.1 pep chromosome:Pop_tri_v4:5:7858426:7861163:1 gene:Potri.005G108600.v4.1 transcript:Potri.005G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108600.v4.1 MNYPLSPPPSGGDTVIPDPWYGNIQYLLNISTIGLFFCIFIFLFAKLRSDHRRMPGFSALATKLLAVWHATGREIALHCGADAAQFLIIEGGSFVVVLSIGVLSICVLLPLNMYGGSQVINDEFSKTTINHIEKGSSFLWIHFVFVVIVVLLAHFGMSLIEKRLKVTRFRDGNGNLSDPNANSIAIFTIMVQGLPKSIGDDRRVLQEYFQHWYPGKIYKVIMPMDLCALDVLATELVRVRDEITWLVAKIDSRRLPEDNEGVGGGEGFCEQLQGGVVWLWRNVKNWWGKMMDKLGYTDEEELRRLQELRVELETELAEYKEGRAPSAGVAFVIFKDVYTANKAVQDFRNEKKRRVGKFSSVMELRLQRNQWRVERAPLAADIYWNHLGSSKLSLRLRRLFVNTCLLLMLLFFSSPLAVISALNSAGRIIDAEAMDNAQSWLDWVQSSSWFASLIFQFLPNLIIFVSMYIIVPLVLSYMSKFERHLTVSGEQRAALLKMVCFFLVNLILLRALVESSLEGTILKMGRCYLDGEDCKRIEQYMSASFLSRSCLSSLAFLITSTFLGISYDLLAPIPWIKKKIQKYRKNDMLQLVPEQSEEYPLVDQAIDALQRPLMPDNMFDSPRSNVIDEEGQDLSVYPVSRTSPIPKQTFDFAQYYAFNLTIFTLTLIYSSFAPLVVPVGAVYFGYRYVVDKYNFLFVYRVRGFPAGNDGRLMDTVLCIMRFSVDLFLLSMLLFFSVHGDSTKLQAIFTLGILIVYKLLPSDNDSFQPALLEGIQAVDSIVDGPIDYEVFSQPRFDWD >Potri.008G190800.1.v4.1 pep chromosome:Pop_tri_v4:8:13410447:13413372:1 gene:Potri.008G190800.v4.1 transcript:Potri.008G190800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G190800.v4.1 MIRMDPPAMMNEGGPYTLEEIWQFPINGSGRGQFELLNLERRAAASARKRREVDLDVDDSSSSKPTTLSPTNANTNTNGLLNDCGGKRLKAWGSRDDNHHHHHQQQQQQQHDSRSEAEPSSGKLVEHKPQPPEPPKQDYIHVRARRGQATDSHSLAERARREKISERMKILQDIVPGCNKVTGKALVLDEIINYIQSLQRQVEFLSMKLEAVNLNMNPGTEVFPSKDFGQHTFDTAGMAFGSQATREYNHCTSPEWLHMQVGGGFQRTS >Potri.013G061100.3.v4.1 pep chromosome:Pop_tri_v4:13:4503477:4512861:1 gene:Potri.013G061100.v4.1 transcript:Potri.013G061100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061100.v4.1 MEMEKNSLDTDALLVRKKIEIEHSSFCFDLKENSERQYLQISQMDGFSILLLSSGISCFLKAFDCFSSTSFEHQEEGSNRDKELKINGKVFCFSAGQDHRGRFLKVSEALTSTDCKNIVIPCRKNKNNGLQLFMRTLQEIDTTARVLFPPYQQQIYILSEQSVELADAKTEFIMSHNAQNSSTSQSNKVFARYESSSCCDSKIMRIGQKQFCFDLGNNEKGHFLKISEGRGTCRSFVIIPLSQLKQFNEMTGHFLDITNDLYSREGHLIDRNFKKYSPLQVSKNQVSNAEDESCTVVSHES >Potri.013G061100.2.v4.1 pep chromosome:Pop_tri_v4:13:4503477:4512861:1 gene:Potri.013G061100.v4.1 transcript:Potri.013G061100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G061100.v4.1 MEMEKNSLDTDALLVRKKIEIEHSSFCFDLKENSERQYLQISQMDGFSILLLSSGISCFLKAFDCFSSTSFEHQEEGSNRDKELKINGKVFCFSAGQDHRGRFLKVSEALTSTDCKNIVIPCRKNKNNGLQLFMRTLQEIDTTARVLFPPYQQQQIYILSEQSVELADAKTEFIMSHNAQNSSTSQSNKVFARYESSSCCDSKIMRIGQKQFCFDLGNNEKGHFLKISEGRGTCRSFVIIPLSQLKQFNEMTGHFLDITNDLYSREGHLIDRNFKKYSPLQVSKNQVSNAEDESCTVVSHES >Potri.001G066200.19.v4.1 pep chromosome:Pop_tri_v4:1:4963123:4968973:-1 gene:Potri.001G066200.v4.1 transcript:Potri.001G066200.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066200.v4.1 MINGSYSVDNKRNGIARGSSSFPVAGEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLPPKILCRVVNVQLKAELDTDEVFAQVILLPVAEQDVDLVEKEDLPPPPARPRVHSFCKMLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALSQPSNVPSSVMSSHSMHIGILATVWHAVSTGSMFTVYYKPRTSPAEFIIPIDKYRESVKINYAIGMRFKMKFEAEEAPEQRFSGTVIGVEEADPKKWPRSKWRCLKVRWDETSPVHRPDRVSPWKIERALAPSLDPVPGCQSKRHRSNMATSSADSSAPTKKDNEPSRHLQHKEILTLRNTHAGKNYSDSKHNPAQALFQGKDQTAFDNRKLGPDDKIPQVMHGAKLMNLTTGPGTLHESYESTRPFFELNSDDVDRPSKLNETGMFNCHSAPLMYLGHPFNMMASRMEVHVAKDKDIQQQRGSWFSPLPYADNSSHPSGSKPQHLPFQQRNKETSKDGNCKLFGFSLFGNPMAAEPAIIHRHSTEKQQQQINVASDHLKLLGSEGFLEQAKHSKHVRPEEQGNIFQASALHSKDVQGMPEGDSTRRCVKVVTYLNAQLRFLLLRFYFGSFLFWI >Potri.001G066200.16.v4.1 pep chromosome:Pop_tri_v4:1:4963340:4968720:-1 gene:Potri.001G066200.v4.1 transcript:Potri.001G066200.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066200.v4.1 MINGSYSVDNKRNGIARGSSSFPVAGEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLPPKILCRVVNVQLKAELDTDEVFAQVILLPVAEQDVDLVEKEDLPPPPARPRVHSFCKMLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALSQPSNVPSSVMSSHSMHIGILATVWHAVSTGSMFTVYYKPRTSPAEFIIPIDKYRESVKINYAIGMRFKMKFEAEEAPEQRFSGTVIGVEEADPKKWPRSKWRCLKVRWDETSPVHRPDRVSPWKIERALAPSLDPVPGCQSKRHRSNMATSSADSSAPTKKDNEPSRHLQHKEILTLRNTHAGKNYSDSKHNPAQALFQGKDQTAFDNRKLGPDDKIPQVMHGAKLMNLTTGPGTLHESYESTRPFFELNSDDVDRPSKLNETGMFNCHSAPLMYLGHPFNMMASRMEVHVAKDKDIQQQRGSWFSPLPYADNSSHPSGSKPQHLPFQQRNKETSKDGNCKLFGFSLFGNPMAAEPAIIHRHSTEKQQQQINVASDHLKLLGSEGFLEQAKHSKHVRPEEQGNIFQASALHSKDVQGMPEGDSTRRCVKVYKQGTAVGRSLDLAKFNGYNELTAELDQIFEFHGELVAPNKDWLIVFTDDEGDMMLVGDDPWQEFCSMVRRIFVFTREEINRMEPRSLNLEAEGNSQSADQMVDLMGDGTSKHLPAVSP >Potri.001G066200.15.v4.1 pep chromosome:Pop_tri_v4:1:4963497:4968830:-1 gene:Potri.001G066200.v4.1 transcript:Potri.001G066200.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066200.v4.1 MINGSYSVDNKRNGIARGSSSFPVAGEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLPPKILCRVVNVQLKAELDTDEVFAQVILLPVAEQDVDLVEKEDLPPPPARPRVHSFCKMLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALSQPSNVPSSVMSSHSMHIGILATVWHAVSTGSMFTVYYKPRTSPAEFIIPIDKYRESVKINYAIGMRFKMKFEAEEAPEQRFSGTVIGVEEADPKKWPRSKWRCLKVRWDETSPVHRPDRVSPWKIERALAPSLDPVPGCQSKRHRSNMATSSADSSAPTKKDNEPSRHLQHKEILTLRNTHAGKNYSDSKHNPAQALFQGKDQTAFDNRKLGPDDKIPQVMHGAKLMNLTTGPGTLHESYESTRPFFELNSDDVDRPSKLNETGMFNCHSAPLMYLGHPFNMMASRMEVHVAKDKDIQQQRGSWFSPLPYADNSSHPSGSKPQHLPFQQRNKETSKDGNCKLFGFSLFGNPMAAEPAIIHRHSTEKQQQQINVASDHLKLLGSEGFLEQAKHSKHVRPEEQGNIFQASALHSKDVQGMPEGDSTRRCVKVYKQGTAVGRSLDLAKFNGYNELTAELDQIFEFHGELVAPNKDWLIVFTDDEGDMMLVGDDPWQEFCSMVRRIFVFTREEINRMEPRSLNLEAEGNSQSADQMVDLMGDGTSKHLPAVSP >Potri.001G066200.17.v4.1 pep chromosome:Pop_tri_v4:1:4963378:4968729:-1 gene:Potri.001G066200.v4.1 transcript:Potri.001G066200.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066200.v4.1 MINGSYSVDNKRNGIARGSSSFPVAGEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLPPKILCRVVNVQLKAELDTDEVFAQVILLPVAEQDVDLVEKEDLPPPPARPRVHSFCKMLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALSQPSNVPSSVMSSHSMHIGILATVWHAVSTGSMFTVYYKPRTSPAEFIIPIDKYRESVKINYAIGMRFKMKFEAEEAPEQRFSGTVIGVEEADPKKWPRSKWRCLKVRWDETSPVHRPDRVSPWKIERALAPSLDPVPGCQSKRHRSNMATSSADSSAPTKKDNEPSRHLQHKEILTLRNTHAGKNYSDSKHNPAQALFQGPGTLHESYESTRPFFELNSDDVDRPSKLNETGMFNCHSAPLMYLGHPFNMMASRMEVHVAKDKDIQQQRGSWFSPLPYADNSSHPSGSKPQHLPFQQRNKETSKDGNCKLFGFSLFGNPMAAEPAIIHRHSTEKQQQQINVASDHLKLLGSEGFLEQAKHSKHVRPEEQGNIFQASALHSKDVQGMPEGDSTRRCVKVYKQGTAVGRSLDLAKFNGYNELTAELDQIFEFHGELVAPNKDWLIVFTDDEGDMMLVGDDPWQEFCSMVRRIFVFTREEINRMEPRSLNLEAEGNSQSADQMVDLMGDGTSKHLPAVSP >Potri.001G066200.12.v4.1 pep chromosome:Pop_tri_v4:1:4963208:4968954:-1 gene:Potri.001G066200.v4.1 transcript:Potri.001G066200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066200.v4.1 MINGSYSVDNKRNGIARGSSSFPVAGEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLPPKILCRVVNVQLKAELDTDEVFAQVILLPVAEQDVDLVEKEDLPPPPARPRVHSFCKMLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALSQPSNVPSSVMSSHSMHIGILATVWHAVSTGSMFTVYYKPRTSPAEFIIPIDKYRESVKINYAIGMRFKMKFEAEEAPEQRFSGTVIGVEEADPKKWPRSKWRCLKVRWDETSPVHRPDRVSPWKIERALAPSLDPVPGCQSKRHRSNMATSSADSSAPTKKDNEPSRHLQHKEILTLRNTHAGKNYSDSKHNPAQALFQGKDQTAFDNRKLGPDDKIPQVMHGAKLMNLTTGPGTLHESYESTRPFFELNSDDVDRPSKLNETGMFNCHSAPLMYLGHPFNMMASRMEVHVAKDKDIQQQRGSWFSPLPYADNSSHPSGSKPQHLPFQQRNKETSKDGNCKLFGFSLFGNPMAAEPAIIHRHSTEKQQQQINVASDHLKLLGSEGFLEQAKHSKHVRPEEQGNIFQASALHSKDVQGMPEGDSTRRCVKVYKQGTAVGRSLDLAKFNGYNELTAELDQIFEFHGELVAPNKDWLIVFTDDEGDMMLVGDDPWQEFCSMVRRIFVFTREEINRMEPRSLNLEAEGNSQSADQMVDLMGDGTSKHLPAVSP >Potri.001G066200.14.v4.1 pep chromosome:Pop_tri_v4:1:4963206:4968830:-1 gene:Potri.001G066200.v4.1 transcript:Potri.001G066200.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066200.v4.1 MINGSYSVDNKRNGIARGSSSFPVAGEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLPPKILCRVVNVQLKAELDTDEVFAQVILLPVAEQDVDLVEKEDLPPPPARPRVHSFCKMLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALSQPSNVPSSVMSSHSMHIGILATVWHAVSTGSMFTVYYKPRTSPAEFIIPIDKYRESVKINYAIGMRFKMKFEAEEAPEQRFSGTVIGVEEADPKKWPRSKWRCLKVRWDETSPVHRPDRVSPWKIERALAPSLDPVPGCQSKRHRSNMATSSADSSAPTKKDNEPSRHLQHKEILTLRNTHAGKNYSDSKHNPAQALFQGKDQTAFDNRKLGPDDKIPQVMHGAKLMNLTTGPGTLHESYESTRPFFELNSDDVDRPSKLNETGMFNCHSAPLMYLGHPFNMMASRMEVHVAKDKDIQQQRGSWFSPLPYADNSSHPSGSKPQHLPFQQRNKETSKDGNCKLFGFSLFGNPMAAEPAIIHRHSTEKQQQQINVASDHLKLLGSEGFLEQAKHSKHVRPEEQGNIFQASALHSKDVQGMPEGDSTRRCVKVYKQGTAVGRSLDLAKFNGYNELTAELDQIFEFHGELVAPNKDWLIVFTDDEGDMMLVGDDPWQEFCSMVRRIFVFTREEINRMEPRSLNLEAEGNSQSADQMVDLMGDGTSKHLPAVSP >Potri.001G066200.13.v4.1 pep chromosome:Pop_tri_v4:1:4963462:4968865:-1 gene:Potri.001G066200.v4.1 transcript:Potri.001G066200.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066200.v4.1 MINGSYSVDNKRNGIARGSSSFPVAGEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLPPKILCRVVNVQLKAELDTDEVFAQVILLPVAEQDVDLVEKEDLPPPPARPRVHSFCKMLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALSQPSNVPSSVMSSHSMHIGILATVWHAVSTGSMFTVYYKPRTSPAEFIIPIDKYRESVKINYAIGMRFKMKFEAEEAPEQRFSGTVIGVEEADPKKWPRSKWRCLKVRWDETSPVHRPDRVSPWKIERALAPSLDPVPGCQSKRHRSNMATSSADSSAPTKKDNEPSRHLQHKEILTLRNTHAGKNYSDSKHNPAQALFQGKDQTAFDNRKLGPDDKIPQVMHGAKLMNLTTGPGTLHESYESTRPFFELNSDDVDRPSKLNETGMFNCHSAPLMYLGHPFNMMASRMEVHVAKDKDIQQQRGSWFSPLPYADNSSHPSGSKPQHLPFQQRNKETSKDGNCKLFGFSLFGNPMAAEPAIIHRHSTEKQQQQINVASDHLKLLGSEGFLEQAKHSKHVRPEEQGNIFQASALHSKDVQGMPEGDSTRRCVKVYKQGTAVGRSLDLAKFNGYNELTAELDQIFEFHGELVAPNKDWLIVFTDDEGDMMLVGDDPWQEFCSMVRRIFVFTREEINRMEPRSLNLEAEGNSQSADQMVDLMGDGTSKHLPAVSP >Potri.001G066200.18.v4.1 pep chromosome:Pop_tri_v4:1:4963446:4968722:-1 gene:Potri.001G066200.v4.1 transcript:Potri.001G066200.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G066200.v4.1 MINGSYSVDNKRNGIARGSSSFPVAGEGSEDALYKELWHACAGPLVTVPRQGELVYYFPQGHIEQVEASTNQVADDQQMPAYNLPPKILCRVVNVQLKAELDTDEVFAQVILLPVAEQDVDLVEKEDLPPPPARPRVHSFCKMLTASDTSTHGGFSVLRRHADECLPPLDMSLQPPAQELVAKDLHGNEWRFRHIFRGQPRRHLLQSGWSLFVSAKKLVAGDAFIFLRGETEELRVGVRRALSQPSNVPSSVMSSHSMHIGILATVWHAVSTGSMFTVYYKPRTSPAEFIIPIDKYRESVKINYAIGMRFKMKFEAEEAPEQRFSGTVIGVEEADPKKWPRSKWRCLKVRWDETSPVHRPDRVSPWKIERALAPSLDPVPGCQSKRHRSNMATSSADSSAPTKKDNEPSRHLQHKEILTLRNTHAGKNYSDSKHNPAQALFQGPGTLHESYESTRPFFELNSDDVDRPSKLNETGMFNCHSAPLMYLGHPFNMMASRMEVHVAKDKDIQQQRGSWFSPLPYADNSSHPSGSKPQHLPFQQRNKETSKDGNCKLFGFSLFGNPMAAEPAIIHRHSTEKQQQQINVASDHLKLLGSEGFLEQAKHSKHVRPEEQGNIFQASALHSKDVQGMPEGDSTRRCVKVYKQGTAVGRSLDLAKFNGYNELTAELDQIFEFHGELVAPNKDWLIVFTDDEGDMMLVGDDPWQEFCSMVRRIFVFTREEINRMEPRSLNLEAEGNSQSADQMVDLMGDGTSKHLPAVSP >Potri.016G024600.2.v4.1 pep chromosome:Pop_tri_v4:16:1377947:1381430:1 gene:Potri.016G024600.v4.1 transcript:Potri.016G024600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024600.v4.1 MKGQDPSLSSSSRRESSPAPEKIRSKSIGCMSGIFQVVYKYHNRRRFLTFGKKHERNGVSSPTTKTKQKPRPTPSQPSSSPSSSSTSLQQENKDSPRFSCDIVPRSPTLPAEIRRSKSLNSPERFRAPPALVARLMGLSDIPPLTTSELAVAEKRRRLLGALEKCDEDLKALKKIIDVVKSSVAGTGNDADDEGRPEKGQDHPLLQQPSPVSVLDEFTPSAFSGFSKRYTINGKILFFSSLITILLLAIFAFSFF >Potri.016G024600.1.v4.1 pep chromosome:Pop_tri_v4:16:1377888:1383183:1 gene:Potri.016G024600.v4.1 transcript:Potri.016G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G024600.v4.1 MKGQDPSLSSSSRRESSPAPEKIRSKSIGCMSGIFQVVYKYHNRRRFLTFGKKHERNGVSSPTTKTKQKPRPTPSQPSSSPSSSSTSLQQENKDSPRFSCDIVPRSPTLPAEIRRSKSLNSPERFRAPPALVARLMGLSDIPPLTTSELAVAEKRRRLLGALEKCDEDLKALKKIIDVVKSSVAGTGNDADDEGRPEKGQDHPLLQQPSPVSVLDEFTPSAFSGFSKRYTINGRVPQQQKKKPGGEEGITNISFFDRIMSYENMVHGKGHERVVSSPLWTSKAMIESVNEVCKDIAWGERREIGRIGMALQDYICRDLIEEIVKEMGFDCIYQLGPLPFESCKKRLRF >Potri.001G326300.2.v4.1 pep chromosome:Pop_tri_v4:1:33519207:33521041:-1 gene:Potri.001G326300.v4.1 transcript:Potri.001G326300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326300.v4.1 MEYSNGNVFQLVVKQGEPTLVPPAEETEKGLYFLSNLDQNIAVIVRTIYCFKSEEKGNENAGEVIKNALKKVLVHYYPLAGRLTISSEAKLIINCTGEGAVFVEAEANCALEEIGDITKPDPDTLGKLVYDIPGAKNILEMPPLVAQVTKFTCGGFALGLCMNHCMFDGIGAMEFVNSWGETARGLPLCVPPFIDRSILKARNPPKIEYPHQEFAEIKDKSSTNDLYKDEMLYSSFCFDSEMLEKIKMKAMEDGVLGKCTTFEGLSAFVWRARTKALKMLPDQQTKLLFAVDGRPKFKPPLPKGYFGNGIVLTNSMCQAGELLDRPLSHAVGLVQDAIKMVTDSYMRSAMDYFEATRVRPSLASTLLITTWSRLSFYTTDFGWGEPVLSGPVALPEKEVILFLSHGKERKSINVLLGLPALAMKTFQEMIQI >Potri.001G326300.1.v4.1 pep chromosome:Pop_tri_v4:1:33519048:33521131:-1 gene:Potri.001G326300.v4.1 transcript:Potri.001G326300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G326300.v4.1 MGIEAEKFSAMEYSNGNVFQLVVKQGEPTLVPPAEETEKGLYFLSNLDQNIAVIVRTIYCFKSEEKGNENAGEVIKNALKKVLVHYYPLAGRLTISSEAKLIINCTGEGAVFVEAEANCALEEIGDITKPDPDTLGKLVYDIPGAKNILEMPPLVAQVTKFTCGGFALGLCMNHCMFDGIGAMEFVNSWGETARGLPLCVPPFIDRSILKARNPPKIEYPHQEFAEIKDKSSTNDLYKDEMLYSSFCFDSEMLEKIKMKAMEDGVLGKCTTFEGLSAFVWRARTKALKMLPDQQTKLLFAVDGRPKFKPPLPKGYFGNGIVLTNSMCQAGELLDRPLSHAVGLVQDAIKMVTDSYMRSAMDYFEATRVRPSLASTLLITTWSRLSFYTTDFGWGEPVLSGPVALPEKEVILFLSHGKERKSINVLLGLPALAMKTFQEMIQI >Potri.008G181300.1.v4.1 pep chromosome:Pop_tri_v4:8:12504912:12507282:1 gene:Potri.008G181300.v4.1 transcript:Potri.008G181300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G181300.v4.1 MEMMHYQLSNSSYQDSLKVLEADIQHANALAAAIPRGKDGARLQMKLVYNRWAPLLFFLLQRIDCSCICLLPRYLNFFHVLLYKVYSDGRPSLSKHGRKATIREFYGVISPSLQRLHSNLEELEDVKGDNSGMESLCKNKVEGDNKLANIDLEREDECGICLEPCTKMVLPNCCHAMCIKCYRNWNTRSESCPFCRGSLKRVNSEDLWVLTCNNEVVDTKAVSKEDLSRFYLYVNSLPKDYHDSLFLMYYEYLI >Potri.012G088600.3.v4.1 pep chromosome:Pop_tri_v4:12:11405748:11408705:1 gene:Potri.012G088600.v4.1 transcript:Potri.012G088600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088600.v4.1 MNSSRQGSYATFPSRPLSWSKGVKLKPHVMTLQMFGRTNMCFSVKRSLLLSAGAFALGPKVKLLRISAFKGSAQNDESGGRKNGSKVSKNYVKLSYVPKESGETIINSSKVHTIPVSYTSEANNRIAGSPAINKLFKKWLSMLRTQSPSQVADEILEGPPPSEELQQAQNSTQNKERVEIVKLVWCRFLILDATIKIPILTFIPLVLAINVIYGAGVSKELTPLWILGPLIVAIYIKLFQGLWALYVFSFRQTVKLIKNVPAYYLVASGYIRQGKLKDDMQVHVLQPVLHIKNLDRKEFSRKKTKELQEWLTEKYLDYVESIWPYYCRAIRFLKKANLI >Potri.012G088600.2.v4.1 pep chromosome:Pop_tri_v4:12:11405779:11408704:1 gene:Potri.012G088600.v4.1 transcript:Potri.012G088600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088600.v4.1 MALVTHQMQGSYATFPSRPLSWSKGVKLKPHVMTLQMFGRTNMCFSVKRSLLLSAGAFALGPKVKLLRISAFKGSAQNDESGGRKNGSKVSKNYVKLSYVPKESGETIINSSKSPSQVADEILEGPPPSEELQQAQNSTQNKERVEIVKLVWCRFLILDATIKIPILTFIPLVLAINVIYGAGVSKELTPLWILGPLIVAIYIKLFQGLWALYVFSFRQTVKLIKNVPAYYLVASGYIRQGKLKDDMQVHVLQPVLHIKNLDRKEFSRKKTKELQEWLTEKYLDYVESIWPYYCRAIRFLKKANLI >Potri.012G088600.1.v4.1 pep chromosome:Pop_tri_v4:12:11405687:11408705:1 gene:Potri.012G088600.v4.1 transcript:Potri.012G088600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088600.v4.1 MALVTHQMQGSYATFPSRPLSWSKGVKLKPHVMTLQMFGRTNMCFSVKRSLLLSAGAFALGPKVKLLRISAFKGSAQNDESGGRKNGSKVSKNYVKLSYVPKESGETIINSSKVHTIPVSYTSEANNRIAGSPAINKLFKKWLSMLRTQSPSQVADEILEGPPPSEELQQAQNSTQNKERVEIVKLVWCRFLILDATIKIPILTFIPLVLAINVIYGAGVSKELTPLWILGPLIVAIYIKLFQGLWALYVFSFRQTVKLIKNVPAYYLVASGYIRQGKLKDDMQVHVLQPVLHIKNLDRKEFSRKKTKELQEWLTEKYLDYVESIWPYYCRAIRFLKKANLI >Potri.012G091900.4.v4.1 pep chromosome:Pop_tri_v4:12:11679129:11684194:1 gene:Potri.012G091900.v4.1 transcript:Potri.012G091900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091900.v4.1 MATGEGFLTGEQRKMLKIASQNAENLSSSPKGLSSSPKSPSQLFSEHHLKVPAAGKATNAGIAVRHVRRSHSGKLVRVKKDGAGGKGTWGKLLDTGGESHIDRSDPNYDSGEEPYQLVGATISDPLDDYKKAVVSIIEEYFSTGDVEVAASDLRELGSSEYHLYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPSQIRDGFVILLESADDLAVDILDAVDILALFIARAVVDDILPPAFLTRAKKTLPESSKGFQVLQTAEKSYLSAPHHAELVERKWGGSTHITVEEVKKKIADLLREYVESGDAVEACRCIRELGVSFFHHEVVKRALVLAMEIRTAEPLILKLLKEASEEGLISSSQMAKGFARLTESLDDLALDIPSAKSLFQSLIPKAIAEGWLDASFMKSSGEDGQVQAEYEKVKRFKEEVVTIIHEYFLSDDIPELIRSLEDLGMPECNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTDDIVNGFVMLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLEEIGSKLPPNCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKILKLLEEYESGGVVGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQVCFNEGLITINQMTKGFNRIKDGMDDLALDIPNAEEKFSFYVEYAQKKGWLLAPLGSSVAVGSSNAMAGT >Potri.012G091900.3.v4.1 pep chromosome:Pop_tri_v4:12:11679126:11684867:1 gene:Potri.012G091900.v4.1 transcript:Potri.012G091900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091900.v4.1 MATGEGFLTGEQRKMLKIASQNAENLSSSPKGLSSSPKSPSQLFSEHHLKVPAAGKATNAGIAVRHVRRSHSGKLVRVKKDGAGGKGTWGKLLDTGGESHIDRSDPNYDSGEEPYQLVGATISDPLDDYKKAVVSIIEEYFSTGDVEVAASDLRELGSSEYHLYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPSQIRDGFVILLESADDLAVDILDAVDILALFIARAVVDDILPPAFLTRAKKTLPESSKGFQVLQTAEKSYLSAPHHAELVERKWGGSTHITVEEVKKKIADLLREYVESGDAVEACRCIRELGVSFFHHEVVKRALVLAMEIRTAEPLILKLLKEASEEGLISSSQMAKGFARLTESLDDLALDIPSAKSLFQSLIPKAIAEGWLDASFMKSSGEDGQVQAEYEKVKRFKEEVVTIIHEYFLSDDIPELIRSLEDLGMPECNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTDDIVNGFVMLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLEEIGSKLPPNCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKILKLLEEYESGGVVGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQVCFNEGLITINQMTKGFNRIKDGMDDLALDIPNAEEKFSFYVEYAQKKGWLLAPLGSSVAVGSSNAMAGT >Potri.012G091900.2.v4.1 pep chromosome:Pop_tri_v4:12:11679129:11684870:1 gene:Potri.012G091900.v4.1 transcript:Potri.012G091900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G091900.v4.1 MATGEGFLTGEQRKMLKIASQNAENLSSSPKGLSSSPKSPSQLFSEHHLKVPAAGKATNAGIAVRHVRRSHSGKLVRVKKDGAGGKGTWGKLLDTGGESHIDRSDPNYDSGEEPYQLVGATISDPLDDYKKAVVSIIEEYFSTGDVEVAASDLRELGSSEYHLYFIKRLVSMAMDRHDKEKEMASVLLSALYADVISPSQIRDGFVILLESADDLAVDILDAVDILALFIARAVVDDILPPAFLTRAKKTLPESSKGFQVLQTAEKSYLSAPHHAELVERKWGGSTHITVEEVKKKIADLLREYVESGDAVEACRCIRELGVSFFHHEVVKRALVLAMEIRTAEPLILKLLKEASEEGLISSSQMAKGFARLTESLDDLALDIPSAKSLFQSLIPKAIAEGWLDASFMKSSGEDGQVQAEYEKVKRFKEEVVTIIHEYFLSDDIPELIRSLEDLGMPECNPIFLKKLITLAMDRKNREKEMASVLLSALHIEIFSTDDIVNGFVMLLESAEDTALDILDASNELALFLARAVIDDVLAPLNLEEIGSKLPPNCSGSETVRMARSLIAARHAGERLLRCWGGGTGWAVEDAKDKILKLLEEYESGGVVGEACQCIRDLGMPFFNHEVVKKALVMAMEKKNDRMLDLLQVCFNEGLITINQMTKGFNRIKDGMDDLALDIPNAEEKFSFYVEYAQKKGWLLAPLGSSVAVGSSNAMAGT >Potri.016G111900.1.v4.1 pep chromosome:Pop_tri_v4:16:11466280:11468838:-1 gene:Potri.016G111900.v4.1 transcript:Potri.016G111900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G111900.v4.1 MAEDGFTKDGAADFRGNPAIKKETGTWKACPYILGNECCERLAYYGINTNLVNYLKFQLNQSNVVAVNNVTNWSGTCYVMPLLGAFLADAYLGRYWTIASFSIIYVFGMTLLTLSSSIHGLKPSCDRTNVCHTTGLQTSVFFLGLYLIALGTGGIKPCVSSFGADQFDDSDEDEKKKKSSFFNWFYFSINIGALIAASVLVWIQTNVGWGWGFGIPAVAMAIAVVSFFSGTRLYRNQRLGGSPLTRMCQVLVASFRKFRVEVPNDKSLLFETADHESAVMGSRKLDHTDQLSFFDKAAVETPSDRLKGSANQWKLCTVTQVEELKSIIRLLPVWATGIIFSAVYSQMGTLFVLQGNTMDLRMGKSFEIPSASLSLFDTISVIFWVPIYDRAIVPFARRFTGHKNGFTQLQRIAIGLVISIFAMLVAGTLELVRLREVRKHNYYELKHIPMSIFWQVPQYFIIGCAEVFTFIGQLEFFYEQAPDAMRSLCSALSLTTAALGNYLSTFLVNIVTDMSTRHGKPGWIPDNLNHGHLHYFFWLLAVLSVLNLGVYLLVARWYTYKKAVVSSD >Potri.003G148700.1.v4.1 pep chromosome:Pop_tri_v4:3:16246212:16254272:1 gene:Potri.003G148700.v4.1 transcript:Potri.003G148700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148700.v4.1 MATTNTDPTTTRTVLEPLLTFDPTLHSHTSIKSIATNSQSFIYLGTSSGSLLLLSINPDTPNDKTPSTKDPNSTLDFDVPSRNVSFIKSVSVGDSAVETVLLLDETGKVIVLSDGFLFLTDSGLVQPVRKLGFLKGVSFITKRVKSSESECSDLLGLSSLEGASTSSRILSRLGGGVRANGVKDFVQKIEGDYVFAAVVGKKLMLIELRVGKNDKEVDLMVLKEMQCIDGVKTLVWINDSIIVGTVIGYSLFSCITGQSGVIFTLPDVSCLPLLKLLWKEKKVLLLVDNVGIVVDAHGQPVGGSLVFRKGPDSVGELASYVMVVRDGKMELYHKKLGGCVQTVSFGSEGFGPCIVADEESGNGKLVAVATPTKVIFYRRVPTEEQIKDLLRKKNFKEAVSLVEELKSDGEISNEMLSFVHAQIGFLLLFDLHFEEAVNHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLMAIQRAIFLKKAGVDTTVDEDFLLNPPTRADLLELAIKNMSRYLEVSREKELTLSVKEGVDTLLMYLYRALNRIDDMEKLASSGNSCIVEELETLLDESGHLRTLAFLYASKGMSSKALTIWRILAKNYSSGLWKDPAREHEFLDGNTNVISGREVAATEASKILEELSDQDLVLQHLGWIADVNPLLTVQVLTSEKRVDQLSPDEIIAAIDPKKVEILQRYLQWLIEDQDSGDTQFHTLYALSLAKSAIETFEVQSTSQEPDDGRLEETKISDPGGNSIFQSPVRERLQIFLQSSDLYDPEDVLDLIEGSELWLEKAILYRKLGQETLVLQILALKLEDSEAAEQYCAEIGRPDAYMQLLDMYLDPQNGKEPMFNAAVRLLHNHGELLDPLQVLETLSPDMPLQLASDTILRMLRARLHHHRQGQIVHNLSRALNVDAKLARLEERSRHVQINDESLCDSCHARLGTKLFAMYPDDTVVCYKCFRRLGESTSVTGHDFKRDPLIKPGWLVTR >Potri.003G148700.3.v4.1 pep chromosome:Pop_tri_v4:3:16246237:16254149:1 gene:Potri.003G148700.v4.1 transcript:Potri.003G148700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148700.v4.1 MATTNTDPTTTRTVLEPLLTFDPTLHSHTSIKSIATNSQSFIYLGTSSGSLLLLSINPDTPNDKTPSTKDPNSTLDFDVPSRNVSFIKSVSVGDSAVETVLLLDETGKVIVLSDGFLFLTDSGLVQPVRKLGFLKGVSFITKRVKSSESECSDLLGLSSLEGASTSSRILSRLGGGVRANGVKDFVQKIEGDYVFAAVVGKKLMLIELRVGKNDKEVDLMVLKEMQCIDGVKTLVWINDSIIVGTVIGYSLFSCITGQSGVIFTLPDVSCLPLLKLLWKEKKVLLLVDNVGIVVDAHGQPVGGSLVFRKGPDSVGELASYVMVVRDGKMELYHKKLGGCVQTVSFGSEGFGPCIVADEESGNGKLVAVATPTKVIFYRRVPTEEQIKDLLRKKNFKEAVSLVEELKSDGEISNEMLSFVHAQIGFLLLFDLHFEEAVNHFLQSETMQPSEVFPFIMRDPNRWSLLVPRNRYWGLHPPPAPLEDVVDDGLMAIQRAIFLKKAGVDTTVDEDFLLNPPTRADLLELAIKNMSRYLEVSREKELTLSVKEGVDTLLMYLYRALNRIDDMEKLASSGNSCIVRGCCQELA >Potri.011G041124.1.v4.1 pep chromosome:Pop_tri_v4:11:3205748:3205924:1 gene:Potri.011G041124.v4.1 transcript:Potri.011G041124.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G041124.v4.1 MCRSIAFESELASGGFLDWRTIIECSVDEILHFVLLNCYQPFMGHGIISPALHSIMFF >Potri.013G070700.5.v4.1 pep chromosome:Pop_tri_v4:13:5771071:5775522:-1 gene:Potri.013G070700.v4.1 transcript:Potri.013G070700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070700.v4.1 MVMALALSPSFFKPHCSPSPTNPISTVTAIRPAVILPGLGNNTGDYQKLEVTLQEYGVPTVVAKVSRFDWLRNAAGLVDPNYWSGTLRPRPVLDWYLKRIDEAVQEAKELAQGQTLSLIGHSAGGWLARVYMEEFGQLDVSLLLTLGTPHLPPPKGVPGVIDQTRGLLDYVEKHCMKAVYTPELRYVCIAGRYIQGARFLGDSNVEAKTMVPVENDQPTAEAVLVNDMGNSTSTAPRFRARFVGQGYKQVCGQADVWGDGVVPEVSAHLDGALNISLDGVYHSPVGSDDDLRPWYGSPAVVEQWIHHLLN >Potri.006G108901.1.v4.1 pep chromosome:Pop_tri_v4:6:8464657:8465365:-1 gene:Potri.006G108901.v4.1 transcript:Potri.006G108901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G108901.v4.1 MGHHHHHHHHHHEDSPYDDPLLACCYCPCLLVSSMLRGFGRCIFAACYPLLRCFGLDDHHHHHHHHHHHSF >Potri.002G096500.1.v4.1 pep chromosome:Pop_tri_v4:2:7047919:7052801:1 gene:Potri.002G096500.v4.1 transcript:Potri.002G096500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G096500.v4.1 MSPSGDGATEVKICSDENGGFHGGYIKTHCLKSEPPFCSSSYLPGIALNPFQDSEKLARVVTASAKGFSIGAGIKGGLALFSILARLRRTRLSSTRKVEVFSNRKAIDLAIKETLRYGLFLGTFAGTFVSVDEIIAYLGGHRRTAKWRSLLAGLIAGPSMLLTGPNTQHTSLAIYILMRAAVLASRCGIKSKRFGKICKPLTWKHGDVFLMCLSSSQVLSAYILKQDSLPPSYKSFLNKHGGKDLSILQGVKDIASGLPFTNLEAIEKYYNSTGVDIKLDPEMKIPCTIIHGNQSCSAHVVSFFLQAYKRALPVYLPVYLIPALIVHRQGLLKRPCNIFGKGLLGTARSSLFLSVYCSSAWMWTCLLFRIFRWCNIPMVAIGTLPTGLALAIEKKSRRIEISLYCFARAVESFFICTRDAGYLPESLNLKRADVVIFSISTAIIMHCYEQEREVFRSKYLNVLDWVFGVPRPPGDTSPRKDGLKAS >Potri.008G029550.1.v4.1 pep chromosome:Pop_tri_v4:8:1578047:1578593:-1 gene:Potri.008G029550.v4.1 transcript:Potri.008G029550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G029550.v4.1 MCYYQLFYHCHHMTPFFSRSFSYHHLLFYYHLYHFITFTIENYLHIKIFFKSNIEKYLTCKVFYNNNIYLLLLFYAYCFHVKIRDNNRFVRYDLMGGPWRFMHRPRPSKQRCEGPLKLQRQLFYQGFKHNL >Potri.006G116500.1.v4.1 pep chromosome:Pop_tri_v4:6:9098415:9101608:1 gene:Potri.006G116500.v4.1 transcript:Potri.006G116500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G116500.v4.1 MEFWGVEVKGGEPLRVESGDGFILHLSQACLGEAKKDKGNESVCLFVNFDDQKLVLGTLSHEKIPQIPFDLVFEKDFELSHNLKNGSVFFSGYKVAQPESDSDEFISDGEEDLPVPVDNGKEEAKQPKPENENAAKPDSKQKVKIVEPNKDGKPKPENDDSSDEEDDSSDDGESSDDQAMMMANDEDESSEDEDDESDEDDDDSDDGDVKPPKKAEVGKKRSAESASKNPVPDKKAKFVTPQKTDLKKAGVHIATPHPSKQAAKTSANSQRKEQAQKSFSCNSCNRSFGSENALQSHSKAKHSAA >Potri.019G099701.1.v4.1 pep chromosome:Pop_tri_v4:19:13647188:13647454:1 gene:Potri.019G099701.v4.1 transcript:Potri.019G099701.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G099701.v4.1 MEEAGCQPNVVIYSILVDSLCKDRLVNEAFDIFSKMKAKGIPPTVVSYTSLIQGLCNFSRWKEASAMLNETRSLNIIPNIVIFCLLID >Potri.005G219201.1.v4.1 pep chromosome:Pop_tri_v4:5:22181175:22183641:-1 gene:Potri.005G219201.v4.1 transcript:Potri.005G219201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G219201.v4.1 MGDGLEETVANIKDLNGRTALHFAAVGGRAHVCRYLTAEAKLDVNARDGKGETPLHNSITADRYPTAVHLLENGANPNAATDSGLTPLHYAAKSGLKKFLLLLILKGAEVDAKADSGTPLKWAAIHGQKKAVKILLDNHADPNMIFDFIHSPLVASILASSFDSVKLLLQAGADPNTCSLGMTPLEVAASNGETQLGLTPVEVAALGGHIKDVRILLPVTFPIPKVTDWSFDGIMKYVNSEKFERKRKLRKLEHFFLSTSKGEDAFMRKDYVNAIRWYTEAVDTEAALLSTHLNEGDLALRDAIGSRMVLPSLPKAHYAEGVAWNLSKDFKRAAESFLTGMRLDRKNRQLNDLFSLVLLDSAISHQFSLSHKPKRFPTTLSIL >Potri.012G134250.1.v4.1 pep chromosome:Pop_tri_v4:12:14939396:14940110:1 gene:Potri.012G134250.v4.1 transcript:Potri.012G134250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134250.v4.1 MTSPFLSLKKACKAFAFAQDLWLQQLNSSAVPSVSSFLNRSPFHPSHRSFTNIKPVIFSSDSAAPGPSYWISMNAIFVDEAASSSNKVSLASIFSSFKNFIIKSYGIKGCVSFYRI >Potri.013G041800.3.v4.1 pep chromosome:Pop_tri_v4:13:2877273:2880784:1 gene:Potri.013G041800.v4.1 transcript:Potri.013G041800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041800.v4.1 MAAELLLTFSMEETLKRLSYIAAEGIRLAWGLEGQLRKLNQSSTMIQAVLHDAARRPVTDESVKRWLQNLQDVAYDAEDVLDEFAYEIIRKNQKKGKVSDCFSLHNPAAFRLNMGQKVKKINEALDEIQKDAARFGLGLTSLSIDRAQEVSWDPDRETDSFLDSSEVVGREDDVSNVVELLTSLTKHQHVLSVVPIVGMAGLGKTTVAKKFVKCKVKILGAMLQIIDKTTGGLNSLDAILRNLKKELENKSFFLVLDNVWNEDHDKWDALKELLLKINRKNGNAVVVTTRSKKVAGMMETTLGSQHEPRRLSDDQSWFIIKQKVSRGGGTTIASDFESIGKEIAKKCGGIPLLAKILGGTLHGKQAQEWQSILNSRIWDSQDANKALRILRLSFDHLSSPALRKCFAYCSIFPKDFAIEREELIQLWMAEGFLGPSNGRMEDIGNKYFNDLLANSFFQDVERNEYEIVTRCKMHDLVHDLALQVSKSETLTPEAEEAVDSAFRIRHLNLISCGDVESTFSEVVVGKLHTIFSMVNVLNGFWKFKSLRTLKLKLSDTTKLPDSICKLRHLRYLDVSCTNIRAFPESITKLYHLETLRFIDCKSLEKLPKKIRNLISLRHLHFDDSNLVPAEVRLLTRLQTLPFFVVVPNHIVEELGCLNELRGVLKICKVEQVRDKKEAEKAKLREKTMKNDQIGLQPHPNIRSLTIKGYGGENFPSWMSILLLNNLMVLRLKDCNECRELPTLGCLPRLKILEITRMPSVKCMGNEFYNSSGSATVLFPALKEFSLLGLDGLEEWIVPGVQVFPCLEKLWIKHCGKYLSGEFEGFMSLQLLRIDNCSKLASIPSVQHCTALVELSIWNCPELISIPGDFQELRYSLKKLRVWVFKLRSLPRGLQCCASLEELEIYDCGELIHINDLQEFLSYFPEDCLGGLAQLKGLKIGGFSEELEGFPTGVVNSIKHLSGSLERLEINGWDKLKSVPHQLQHLTSLQRLQIGNFKGEEFEEALPDWLANLSSLEFLGIEDCKKVKYLPSSTAMQRLSKLKQLWIYGSPLLSENCRRENGSEWPKISHIPIINII >Potri.013G041800.2.v4.1 pep chromosome:Pop_tri_v4:13:2878527:2880867:1 gene:Potri.013G041800.v4.1 transcript:Potri.013G041800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041800.v4.1 MSLQLLRIDNCSKLASIPSVQHCTALVELSIWNCPELISIPGDFQELRYSLKKLRVWVFKLRSLPRGLQCCASLEELEIYDCGELIHINDLQELSSLQRFSIKDCDKLTSFDWHGLLQLCSLVYFGIIGCRSLSYFPEDCLGGLAQLKGLKIGGFSEELEGFPTGVVNSIKHLSGSLERLEINGWDKLKSVPHQLQHLTSLQRLQIGNFKGEEFEEALPDWLANLSSLEFLGIEDCKKVKYLPSSTAMQRLSKLKQLWIYGSPLLSENCRRENGSEWPKISHIPIINII >Potri.009G087200.1.v4.1 pep chromosome:Pop_tri_v4:9:8127752:8129555:-1 gene:Potri.009G087200.v4.1 transcript:Potri.009G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G087200.v4.1 MAAFAGTQQKCMACDKTVYLVDKLTADNRVFHKACFRCHHCRGTLKLSNYCSFEGVLYCRPHYDQLFKRTGSLDKSFEGTPKIVKPEKPVDNENASKVSNLFAGTREKCVGCDKTVYPIEKVTVNGTPYHRSCFKCTHGGCTISPSNYIAHEGKLYCKHHHIQLFKEKGNYSQLENEREKNPVVSITAVEIAAES >Potri.004G211700.3.v4.1 pep chromosome:Pop_tri_v4:4:21806034:21810550:-1 gene:Potri.004G211700.v4.1 transcript:Potri.004G211700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211700.v4.1 MKKTDKKSLDPQLWQACAGSMVQIPPLNTKVFYFPQGHAEHSQSPVDFPQRIPSLVLCRVASVKFLADPGTDEVFAKISLVPLPDADLDISQDVDICGDGNDSNNAEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTLIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGVGIGSGPESSPSHIGWNSNNATSANPYGGFSLSVKEDEMRNGGVKGRGRVKSEEVLEAAGLAANGNPFQVVYYPRASTPEFCVKASSVRAAMRTCWCSGMRFKMAFETEDSSRISWFMGTVASVQVADPDRWPNSPWRLLQVTWDEPDLLQTVKCVSPWLVELVSNMPVIHLSPFSPPRKKLRFPQQLDFPLDGQFQLPSFSGNPLGPSSPLCCLSDNTPAGIQGARHAQFGISLSDFQFKKKLQSGLFLSSLQRFNPRTKNSENYPTGHPDSNKNLSCLLTKGSSNPKLEKSDNAKKHQFLLFGQPILVEQQISHSCSADAFPQVVNERNSSDSNREKNSDVLRSAPGKQISQEKSCTTGFSWHQSLQNTSENGMDTGHCKAFLESEDLGRTLDLSALHSYEELRRKLAIMFGIERSDMLSHVLYRDVTGAVKQIGDEPFSVFMKTAKRLTILMNRASGNSVGRRTWITGMRNAENGLEAPNKTGPLSIFA >Potri.004G211700.2.v4.1 pep chromosome:Pop_tri_v4:4:21805436:21810557:-1 gene:Potri.004G211700.v4.1 transcript:Potri.004G211700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211700.v4.1 MKKTDKKSLDPQLWQACAGSMVQIPPLNTKVFYFPQGHAEHSQSPVDFPQRIPSLVLCRVASVKFLADPGTDEVFAKISLVPLPDADLDISQDVDICGDGNDSNNAEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTLIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGVGIGSGPESSPSHIGWNSNNATSANPYGGFSLSVKEDEMRNGGVKGRGRVKSEEVLEAAGLAANGNPFQVVYYPRASTPEFCVKASSVRAAMRTCWCSGMRFKMAFETEDSSRISWFMGTVASVQVADPDRWPNSPWRLLQVTWDEPDLLQTVKCVSPWLVELVSNMPVIHLSPFSPPRKKLRFPQQLDFPLDGQFQLPSFSGNPLGPSSPLCCLSDNTPAGIQGARHAQFGISLSDFQFKKKLQSGLFLSSLQRFNPRTKNSENYPTGHPDSNKNLSCLLTKGSSNPKLEKSDNAKKHQFLLFGQPILVEQQISHSCSADAFPQVVNERNSSDSNREKNSDVLRSAPGKQISQEKSCTTGFSWHQSLQNTSENGMDTGHCKAFLESEDLGRTLDLSALHSYEELRRKLAIMFGIERSDMLSHVLYRDVTGAVKQIGDEPFSVFMKTAKRLTILMNRASGNSVGRRTWITGMRNAENGLEAPNKTGPLSIFA >Potri.004G211700.1.v4.1 pep chromosome:Pop_tri_v4:4:21806032:21810518:-1 gene:Potri.004G211700.v4.1 transcript:Potri.004G211700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211700.v4.1 MKKTDKKSLDPQLWQACAGSMVQIPPLNTKVFYFPQGHAEHSQSPVDFPQRIPSLVLCRVASVKFLADPGTDEVFAKISLVPLPDADLDISQDVDICGDGNDSNNAEKPASFAKTLTQSDANNGGGFSVPRYCAETIFPRLDYSADPPVQTLIAKDVHGEVWKFRHIYRGTPRRHLLTTGWSTFVNQKKLVAGDSIVFLRAENGDLCVGIRRAKRGVGIGSGPESSPSHIGWNSNNATSANPYGGFSLSVKEDEMRNGGVKGRGRVKSEEVLEAAGLAANGNPFQVVYYPRASTPEFCVKASSVRAAMRTCWCSGMRFKMAFETEDSSRISWFMGTVASVQVADPDRWPNSPWRLLQVTWDEPDLLQTVKCVSPWLVELVSNMPVIHLSPFSPPRKKLRFPQQLDFPLDGQFQLPSFSGNPLGPSSPLCCLSDNTPAGIQGARHAQFGISLSDFQFKKKLQSGLFLSSLQRFNPRTKNSENYPTGHPDSNKNLSCLLTKGSSNPKLEKSDNAKKHQFLLFGQPILVEQQISHSCSADAFPQVVNERNSSDSNREKNSDVLRSAPGKQISQEKSCTTGFSWHQSLQNTSENGMDTGHCKAFLESEDLGRTLDLSALHSYEELRRKLAIMFGIERSDMLSHVLYRDVTGAVKQIGDEPFSVFMKTAKRLTILMNRASGNSVGRRTWITGMRNAENGLEAPNKTGPLSIFA >Potri.017G109800.3.v4.1 pep chromosome:Pop_tri_v4:17:11770775:11770972:-1 gene:Potri.017G109800.v4.1 transcript:Potri.017G109800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109800.v4.1 MVMMGLERLMVFLKSRMRFLKMKKPYDKIEKSESMRVEIRSRKARKLVEETLKIADSPKTKTYAF >Potri.001G246900.1.v4.1 pep chromosome:Pop_tri_v4:1:26362360:26364447:-1 gene:Potri.001G246900.v4.1 transcript:Potri.001G246900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G246900.v4.1 MREILHIQGGQCGNQIGAKFWEVVCAEHGIDSTGSYHGDSPLQLERINVYYNEASCGRFVPRAVLMDLEPGTMDSLRSGPYGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLTTPSFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDSKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMLNVQNKNSSYFVEWIPNNVKSTVCDIPPTGLAMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVSEYQQYQDATADEEGEYEDEEEGEYQGDYQ >Potri.017G060800.1.v4.1 pep chromosome:Pop_tri_v4:17:4960631:4964424:1 gene:Potri.017G060800.v4.1 transcript:Potri.017G060800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G060800.v4.1 MDSLRQQSLIPRFLYSSSSSAKTFSLSSLHSEQPSLSPPPSSTVTMGSKSSGGFVIPTPSEPGKKIEMYSPAFYAACTAGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSTSSGFGVLLREQGVRGFFRGWVPTLLGYSAQGACKFGFYEFFKKYYSDLAGPEFAAKYKTLIYLAGSASAEVIADIALCPFEAVKVRVQTQPGFARGLSDGLPKFVKAEGALGLYKGLVPLWGRQIPYTMMKFASFETIVEMIYKYSIPKPKDQCSKSLQLGVSFAGGYVAGVLCAIVSHPADNLVSFLNNSKGATVGDAVKKLGLWGLFTRGLPLRIVMIGTLTGAQWGIYDAFKVFVGLPTTGGPAPAAAPAVAAAELTKV >Potri.012G039000.2.v4.1 pep chromosome:Pop_tri_v4:12:3479369:3483881:1 gene:Potri.012G039000.v4.1 transcript:Potri.012G039000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G039000.v4.1 MVISTAATDSDENLYSTFASRYVRTALPRFKMPENSMPKDAAYQVINDELMLDGNPRLNLASFVTTWMEPECNDLIMASINKNYVDMDEYPVTTELQNRCVNIIAHLFNAPVGEKETAVGVGTVGSSEAIMLAGLAFKRKWQNKRKAEGKPYDKPNIVTGANVQVCWEKFARYFEVELKEVKLTEGYYVMDPVKAVEMVDENTICVAAILGSTLTGEFEDVKLLNDLLSKKNKETGWNTPIHVDAASGGFIAPFIWPDLEWDFRLPLVKSINVSGHKYGLVYAGVGWVVWRTKEDLPDELIFHINYLGSDQPTFTLNFSKGSSQIIAQYYQFIRLGFEGYKRIMENCLENARVLREGLEKTGRFDIVSKDKGVPLVAFSLKDSSKHTVFEIAESLRRFGWIIPAYTMPANAQHIAVLRVVVREDFNRSLAERLVSHIDQVMKETDSLPSRVAVQASRIVTVDETQDNMDGKKTVKKSSREIQEEVTLYWRRLASEKRTGAC >Potri.018G047400.4.v4.1 pep chromosome:Pop_tri_v4:18:4171074:4176109:-1 gene:Potri.018G047400.v4.1 transcript:Potri.018G047400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047400.v4.1 MALQNSSSSSSSDKYSEQRSRLYDKMERDLDERGAAFLKHGETSQSLLLSDIFTLKDGSVTPVLKAANPPVRANVLYLSPEYSVPISDNVKNTFSSYFDKVWFQNSSVYHSSMFHASHHIEPVPVTEDEIEAEVNAVKAVADFLCPLKIVLDRVVLTSTGVLLGCWQVISGTDPLTIRAKLKTALPHAPKKQLYDDAILHTSFARLLGHPKSPPMEPLDELRFFHELVARLNDKIRGFEAVVSELWYVEEYDVLALALDGRMKVSRFKLGCSRT >Potri.018G047400.5.v4.1 pep chromosome:Pop_tri_v4:18:4171105:4175858:-1 gene:Potri.018G047400.v4.1 transcript:Potri.018G047400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G047400.v4.1 MARAFAVGVGNWWSHSKTVILIWCICIFFYILFFHMALQNSSSSSSSDKYSEQRSRLYDKMERDLDERGAAFLKHGETSQSLLLSDIFTLKDGSVTPVLKAANPPVRANVLYLSPEYSVPISDNVKNTFSSYFDKVWFQNSSVYHSSMFHASHHIEPVPVTEDEIEAEVNAVKAVADFLCPLKIVLDRVVLTSTGVLLGCWQVISGTDPLTIRAKLKTALPHAPKKQLYDDAILHTSFARLLGHPKSPPMEPLDELRFFHELVARLNDKIRGFEAVVSELWYVEEYDVLALALDGRMKVSRFKLGCSRT >Potri.001G155500.1.v4.1 pep chromosome:Pop_tri_v4:1:13057661:13065500:1 gene:Potri.001G155500.v4.1 transcript:Potri.001G155500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155500.v4.1 MKGVDHLAHERNKTEFDVDAMKIVWAGSRHAFELSDRMARLVASDPAFQKDGRTRLGRKELFKNTLRKAAHAWKRILELRLTEEEAGWLRSFVDEPSFTDLHWGMFIPAIKGQGTDEQQQKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPETDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITNGQEHGVHGFIVQLRSLDDHMPLPGLTIGDIGMKFGNGAYNTMDNGVLKFDHIRIPRNQMLMRVLQVTREGKCVQSNVPRQLIYGTMVFVRQTIVADASTALSRAVCIATRYSAVRRQFGSQDGGMETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQANDFSTLPEAHACTAGLKSLTTTATADAIEECRKLCGGHGYLCASGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYLGRVQDLLQCCCDVQTAEDWLKPSVVLEAFEARSARMCVARAQNLSKFENPEDGFAELSADLVEAAVAHCQLIVVSKFIDKLQQDIPGKGVNQQLQNLCYIYALNLLHKYLGDFLSTGCITPKQASLANDQLRSLYSKIRPNAIALADAFNYTDHYLGSVLGRYDGNVYPKLYEEAWKDPLNDSVVPDGYYEYVHPMLKQQLRNARL >Potri.001G155500.8.v4.1 pep chromosome:Pop_tri_v4:1:13057570:13065464:1 gene:Potri.001G155500.v4.1 transcript:Potri.001G155500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G155500.v4.1 MKGVDHLAHERNKTEFDVDAMKIVWAGSRHAFELSDRMARLVASDPAFQKDGRTRLGRKELFKNTLRKAAHAWKRILELRLTEEEAGWLRSFVDEPSFTDLHWGMFIPAIKGQGTDEQQQKWLPLAYKMQIIGCYAQTELGHGSNVQGLETTATFDPETDEFVIHSPTLTSSKWWPGGLGKVSTHAIVYARLITNGQEHGVHGFIVQLRSLDDHMPLPGLTIGDIGMKFGNGAYNTMDNGVLKFDHIRIPRNQMLMRVLQVTREGKCVQSNVPRQLIYGTMVFVRQTIVADASTALSRAVCIATRYSAVRRQFGSQDGGMETQVIDYKTQQSRLFPLLASAYAFRFVGEWLKWLYTDVTQRLQANDFSTLPEAHACTAGLKSLTTTATADAIEECRKLCGGHGYLCASGLPELFAVYVPACTYEGDNVVLLLQVARFLMKTVSQLGSGKKPVGTTAYLGRVQDLLQCCCDVQTAEDWLKPSVVLEAFEARSARMCVARAQNLSKFENPEDGFAELSADLVEAAVAHCQLIVVSKFIDKLQQDIPGKGVNQQLQNLCYIYALNLLHKYLGDFLSTGCITPKQASLANDQLRSLYSKIRPNAIALADAFNYTDHYLGSVLGRYDGNVYPKLYEEAWKDPLNDSVVPDGYYEYVHPMLKQQLRNARL >Potri.011G053500.1.v4.1 pep chromosome:Pop_tri_v4:11:4323008:4325416:1 gene:Potri.011G053500.v4.1 transcript:Potri.011G053500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G053500.v4.1 MVDGFEVGAVHFNSEGWGPPDATVTPTTTSTLPLNVPYAPFSRSEKLGRIADFTRILNPGSGEGGGGGRKSAASDSVFDFTADDSFPSGADNDSTFRLVDGKPPPRPKFGPKWRFNQHHRPQLPQRRDEEVEAKKREAEKERARRDRLYYLNRSNQNQQRREAAVFKSSVEIQTEWNMLDKIPFSIFTKLSFSVSEPEDMILCGGLEFYDKSFDRITPKAERRLERFKNRNFFKVTTTDDPVIRRLANEDKATVFATDNILATLMCATRSVYSWDIVVQRVGNKLFFDKRDGSQLDLLSVHETSQEPLPEAEDDINSAYSLSVEAAYINQNFSQQVLFRDGNKVSFDEPNPFANEGEEVASVAYRYRRWKLDDDMYLVARCEVQSVVEVNKQRSFLTLNALNEFDPKYSGVDWRQKLETQRGAVLATELKNNANKLTKWTAQALLANADMMKLGYVSRVHPRDHFNHVILAVVGYKPRDFASQINLNTSNMWGIVKSIVDLCMKLNEGKYVLVKDPSKPQVRIYEVPADAFENDYVEEPLPEEEQAQPPEENAENTEANGVTNDVEDKEIGAQV >Potri.011G098100.2.v4.1 pep chromosome:Pop_tri_v4:11:12649167:12652878:1 gene:Potri.011G098100.v4.1 transcript:Potri.011G098100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098100.v4.1 MLGGGLYGDLPPPSAAAEEDKPTTTTSTVWSTSTLMAPPTLRKPMPPPPPQTILKSQNKPKPSKTLLSPAPPVTVLPDEVAAQPALVGVNSVVIEEYDPARPNDYDDYRREKKRKAMEAERLREIERRRQEEEERESREREDRERDTNISGEEAWKRRAAMSGGGVPRSPSPPSNGDGFRIGKSETVGLGVGAGGQMTAAQRMMAKMGWKEGQGLGKQEQGITTPLMAKKTDRRAGVIVNASESKPEKKVKSVNLNGTPTRVLLLRNMVGPGEVDDELEDEVASECAKYGTVTRVLIFEITELNFPREEAVRIFIQFERSEETTKALIDLDGRFFGGNVVRATFYDEERFSKNELAPIPGEIPGF >Potri.008G182500.5.v4.1 pep chromosome:Pop_tri_v4:8:12571580:12574521:-1 gene:Potri.008G182500.v4.1 transcript:Potri.008G182500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182500.v4.1 MDYEEEEKMQYQGSGAIPALAEEEMGEDDEYDDLYNDVNVGENFLQMHGSEAPAPPATAGNGGFQTRNAHESRVETGGSQALAITGGGPAVEGIYSNAKAHFPEQKQVAVAVEAQDVGPVDGSSVAQKGRVIEMSHDVQVRNMGFQKSTPVPPGIGVDPSDMSRKNANEPEPLPITGSAGPRGAPQMQVNQMHMSADVNRPVVNENQVRPPVENGSTTLYVGELHWWTTDAELESFASQFGRVKEIKFFDERASGKSKGYCQVDFYEAAAAAACKEGMNGHVFNGRPCVVAFASPQTLKQMGASYMNKTQGQPQTQSQGRGSMNDGAGRGGNANFQSGDGGRNYGRGAWGRGGQGILNRGPGGGPMRGRGAMGPKNMAGNVAGVGSGANGGGYGQGLAGPAFGGPAGGMMPPQGMMGAGFDPLYMGRGGGYGGFAGPGFPGMLPSFPAVNSMGLAGVAPHVNPAFFARGMAPNGMGMMVSSGMDGPNPGMWSDTSMGGWGEEHGRRTRESSYDGDEGASEYGYGEGNHEKGARSSGASREKERGSERDWSGNSDRRHRDEREQDWDRPEREHRYKEEKDSYRGHRQRERDSGYEDDRDRGHSSSRARSRSRAAPEEDYRSRTRDVDYGKRRRLPSE >Potri.008G182500.2.v4.1 pep chromosome:Pop_tri_v4:8:12569285:12574465:-1 gene:Potri.008G182500.v4.1 transcript:Potri.008G182500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G182500.v4.1 MDYEEEEKMQYQGSGAIPALAEEEMGEDDEYDDLYNDVNVGENFLQMHGSEAPAPPATAGNGGFQTRNAHESRVETGGSQALAITGGGPAVEGIYSNAKAHFPEQKQVAVAVEAQDVGPVDGSSVAQKGRVIEMSHDVQVRNMGFQKSTPVPPGIGVDPSDMSRKNANEPEPLPITGSAGPRGAPQMQVNQMHMSADVNRPVVNENQVRPPVENGSTTLYVGELHWWTTDAELESFASQFGRVKEIKFFDERASGKSKGYCQVDFYEAAAAAACKEGMNGHVFNGRPCVVAFASPQTLKQMGASYMNKTQGQPQTQSQGRGSMNDGAGRGGNANFQSGDGGRNYGRGAWGRGGQGILNRGPGGGPMRGRGAMGPKNMAGNVAGVGSGANGGGYGQGLAGPAFGGPAGGMMPPQGMMGAGFDPLYMGRGGGYGGFAGPGFPGMLPSFPAVNSMGLAGVAPHVNPAFFARGMAPNGMGMMVSSGMDGPNPGMWSDTSMGGWGEEHGRRTRESSYDGDEGASEYGYGEGNHEKGARSSGASREKERGSERDWSGNSDRRHRDEREQDWDRPEREHRYKEEKDSYRGHRQRERDSGYEDDRDRGHSSSRARSRSRAAPEEDYRSRTRDVDYGKRRRLPSE >Potri.015G076500.3.v4.1 pep chromosome:Pop_tri_v4:15:10260384:10263643:-1 gene:Potri.015G076500.v4.1 transcript:Potri.015G076500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076500.v4.1 MEYSPIQVRNTNEITHGFNDFTSQNTPEHSLHNPSNYQSTHSRHSQDQESAVSDSESFSSGVSYNQSPSFNDGLIRLFEGDRVHDLIKQRFVSGLGLLGKKATVVAIHRNTYSGVLEQARMQSFQIIAKAMEKKCGGDANVKFGWYGGTRDEICEIMKHGFSARMIDNSNGLYGSGIYLSPDDSPVECVKKLSVGKDGLRHMLLCRVILGKAEVVHPGSDQYHPSSDEFDSGMDNLSSPKKYIVWSARMNTHILPEYVISFSAPSSLKGYFIIPESLRKPTSPWMPFPSLISALSKFLPPTTTKLIIKYYRAHRAKKISRQELIQQVRKIVGDKLLISVIKSFRTKVWHFL >Potri.015G076500.1.v4.1 pep chromosome:Pop_tri_v4:15:10259894:10263771:-1 gene:Potri.015G076500.v4.1 transcript:Potri.015G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G076500.v4.1 MEYSPIQVRNTNEITHGFNDFTSQNTPEHSLHNPSNYQSTHSRHSQDQESAVSDSESFSSGVSYNQSPSFNDGLIRLFEGDRVHDLIKQRFVSGLGLLGKKATVVAIHRNTYSGVLEQARMQSFQIIAKAMEKKCGGDANVKFGWYGGTRDEICEIMKHGFSARMIDNSNGLYGSGIYLSPDDSPVECVKKLSVGKDGLRHMLLCRVILGKAEVVHPGSDQYHPSSDEFDSGMDNLSSPKKYIVWSARMNTHILPEYVISFSAPSSLKGYFIIPESLRKPTSPWMPFPSLISALSKFLPPTTTKLIIKYYRAHRAKKISRQELIQQVRKIVGDKLLISVIKSFRTKMLVTSSNLEQTMVQKGVNIGMNHDVRRDGMEELIMQV >Potri.001G358400.4.v4.1 pep chromosome:Pop_tri_v4:1:37395210:37406619:1 gene:Potri.001G358400.v4.1 transcript:Potri.001G358400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358400.v4.1 MASLSAIWLYHADRGLRGSISADSVVNGKPRFNHFFQTPFIGSFPAGTLEVRKAPSLPCIKCEKKDENVEHVYVELPPYHSYMDSTSGQLEPASGARASIPDQEYWPEGTADRVRAARAPEPTGLSAGSPSYGKKPGSRRKKYKASVAAPESSEASIEFIDSEALESYEEMKEEPKDDSSDYVIYDTEPEEEDTGYELDKKLGRPHPFIDPKVKKPIEGILPQEELWWNWRNPENEQWSRWQRRKPDVETVFLKAMAETGQVKLYGKEPTLTEASLYRARKHLYKEERLEAEQKRLERIGPMGYYSEWVKAWKRDTSREAIQKHFEETGEDENAQLIAMFCHQTDREFRIMMGTDVRIRRDPLAMRMREDLIKQIWGGDPVYPTVNYIQDPNEIIDYRGPDFHEPTPNMLDYLKEHGKIISRKELEKILAKEKTEQLEMTDIDEAMARAVDIGENDGEGEDSEVDGEEEEEEEEDEKITRNWSVYKTTPQARKSKDKPKKEGPLSLEEAIDDSENLTDFLMDFEQDE >Potri.001G358400.2.v4.1 pep chromosome:Pop_tri_v4:1:37395210:37407231:1 gene:Potri.001G358400.v4.1 transcript:Potri.001G358400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358400.v4.1 MASLSAIWLYHDRGLRGSISADSVVNGKPRFNHFFQTPFIGSFPAGTLEVRKAPSLPCIKCEKKDENVEHVYVELPPYHSYMDSTSGQLEPASGARASIPDQEYWPEGTADRVRAARAPEPTGLSAGSPSYGKKPGSRRKKYKASVAAPESSEASIEFIDSEALESYEEMKEEPKDDSSDYVIYDTEPEEEDTGYELDKKLGRPHPFIDPKVKKPIEGILPQEELWWNWRNPENEQWSRWQRRKPDVETVFLKAMAETGQVKLYGKEPTLTEASLYRARKHLYKEERLEAEQKRLERIGPMGYYSEWVKAWKRDTSREAIQKHFEETGEDENAQLIAMFCHQTDREFRIMMGTDVRIRRDPLAMRMREDLIKQIWGGDPVYPTVNYIQDPNEIIDYRGPDFHEPTPNMLDYLKEHGKIISRKELEKILAKEKTEQLEMTDIDEAMARAVDIGENDGEGEDSEVDGEEEEEEEEDEKITRNWSVYKTTPQARKSKDKPKKEGPLSLEEAIDDSENLTDFLMDFEQDE >Potri.001G358400.8.v4.1 pep chromosome:Pop_tri_v4:1:37395210:37406376:1 gene:Potri.001G358400.v4.1 transcript:Potri.001G358400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G358400.v4.1 MASLSAIWLYHDRGLRGSISADSVVNGKPRFNHFFQTPFIGSFPAGTLEVRKAPSLPCIKCEKKDENVEHVYVELPPYHSYMDSTSGQLEPASGARASIPDQEYWPEGTADRVRAARAPEPTGLSAGSPSYGKKPGSRRKKYKASVAAPESSEASIEFIDSEALESYEEMKEEPKDDSSDYVIYDTEPEEEDTGYELDKKLGRPHPFIDPKVKKPIEGILPQEELWWNWRNPENEQWSRWQRRKPDVETVFLKAMAETGQVKLYGKEPTLTEASLYRARKHLYKEERLEAEQKRLERIGPMGYYSEWVKAWKRDTSREAIQKHFEETGEDENAQLIAMFCHQTDREFRIMMGTDVRIRRDPLAMRMREDLIKQIWGGDPVYPTVNYIQDPNEIIDYRGPDFHEPTPNMLDYLKEHGKIISRKELEKILAKEKTEQLEQMTDIDEAMARAVDIGENDGEGEDSEVDGEEEEEEEEDEKITRNWSVYKTTPQARKSKDKPKKEGPLSLEEAIDDSENLTDFLMDFEQDE >Potri.005G071900.2.v4.1 pep chromosome:Pop_tri_v4:5:4720342:4723186:1 gene:Potri.005G071900.v4.1 transcript:Potri.005G071900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071900.v4.1 MKRSLGSSDSLGALMSICPTTEEHSPRNSTHVYSREFQSMLNGLDEEGCVEESGGHVTEKKRRLSGDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKHNFDAIQQDNEALLKEIRELKAKLNEENTESNVSVKEEIILAESEDKVTEEDTPPLLDSLTASAEAKELNYENFNSSSSINNGLGASLFPDFKDGLSDSDSSAILNEDNSPNPAISSSGILQSQLMMSPPPSSSLKFNCSTSSSSPSTMNSFQFSKTYQTQFVKLEEHNFLSSEEACNFFSDEQPPTLHWYCSDQWN >Potri.005G071900.1.v4.1 pep chromosome:Pop_tri_v4:5:4720342:4723110:1 gene:Potri.005G071900.v4.1 transcript:Potri.005G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071900.v4.1 MKRSLGSSDSLGALMSICPTTEHSPRNSTHVYSREFQSMLNGLDEEGCVEESGGHVTEKKRRLSGDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKHNFDAIQQDNEALLKEIRELKAKLNEENTESNVSVKEEIILAESEDKVTEEDTPPLLDSLTASAEAKELNYENFNSSSSINNGLGASLFPDFKDGLSDSDSSAILNEDNSPNPAISSSGILQSQLMMSPPPSSSLKFNCSTSSSSPSTMNSFQFSKTYQTQFVKLEEHNFLSSEEACNFFSDEQPPTLHWYCSDQWN >Potri.005G071900.4.v4.1 pep chromosome:Pop_tri_v4:5:4720350:4723144:1 gene:Potri.005G071900.v4.1 transcript:Potri.005G071900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G071900.v4.1 MLNGLDEEGCVEESGGHVTEKKRRLSGDQVKALEKNFEVENKLEPERKVKLAQELGLQPRQVAVWFQNRRARWKTKQLERDYGVLKANYDSLKHNFDAIQQDNEALLKEIRELKAKLNEENTESNVSVKEEIILAESEDKVTEEDTPPLLDSLTASAEAKELNYENFNSSSSINNGLGASLFPDFKDGLSDSDSSAILNEDNSPNPAISSSGILQSQLMMSPPPSSSLKFNCSTSSSSPSTMNSFQFSKTYQTQFVKLEEHNFLSSEEACNFFSDEQPPTLHWYCSDQWN >Potri.015G020100.1.v4.1 pep chromosome:Pop_tri_v4:15:1406979:1407089:1 gene:Potri.015G020100.v4.1 transcript:Potri.015G020100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020100.v4.1 MLTLKLFVYTVVILFASIFIFGFLSNDPGCNPRREE >Potri.006G041200.1.v4.1 pep chromosome:Pop_tri_v4:6:2778108:2784631:-1 gene:Potri.006G041200.v4.1 transcript:Potri.006G041200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G041200.v4.1 MSSGPVRRVSPKDIQVVQNLIERCLQLYMNQNEVVETLLAQAKIEPGFTELVWQKLEEENREFFRAYYLRLKVKQQIEEFNKLLVQQAHLMRDLNSTGVVSMPTSNGSHIPSMHQNTACYGPDHTGPALKPESMHHPIGSSLTNAYTNGGSSLHSSMHAAVEIAARSSRIDAPPNMLSMQSSNIGLLQGMNGGMIKSEAGYSGTSPYMFGADGNVLEARPSIADASVASFSSVESSSQALNESILDADTSSFGFLSQIPRNFSLSDLTADFTQSSEILENYPRSPYLAADNDNFPDSQEREHPGDNRRLDTISEGMSYDDFGSE >Potri.014G126500.3.v4.1 pep chromosome:Pop_tri_v4:14:8492977:8494484:1 gene:Potri.014G126500.v4.1 transcript:Potri.014G126500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G126500.v4.1 MALTHSSSATFFLLLSLSVIASAGGYGYDQKPDTTKPNYTYNPKPQPDTSKPKYSYDPKPQPDVVKPDLHKPYYDSNPKPTLPKPKFTEPKPDNGYDSKPYLGQPTIPKPDTAKPNYGYNPKPDVPKPKLTMPKPNYGNDPKPKLIVPKPDTAKPNYGYNPKPDVPKPNYEHVPKPKMTVPKPDHGYVDPKEKVYDQPKSTTPKPEIITPHDGYAQKPNLPEPKLYIPKPSNDKLDYEYSPLGIEGFVLCKQGSNYTPIEGAVIRIACTAVDQYGYKKVPFSCLTEATNAKGYYFKTLPALKLTECKAYLESSPLKTCNVPTDMNYGITGAPLSAYHILHDKKIKLYSMRTFFYTSTTPTSTPAGY >Potri.004G109765.1.v4.1 pep chromosome:Pop_tri_v4:4:9787106:9789690:-1 gene:Potri.004G109765.v4.1 transcript:Potri.004G109765.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G109765.v4.1 MGAGGFVAGDVKNYPGKVTRHVVNACVLGAMGGLIFGYDLGISGGVTSMAPFLNKFFPDVYRKEALDTSTNQYCKFNDMGLTLFTSSLYLAALIASFGASYITRTWGRKRTMLLGGIIFFIGAALNAGAVDLSMLIAGRILLGVGVGFSTQSVPLYVSEMAPQKHRGAFNIVFQLAITIGIFIANLVNYLTPKIAGNQAWRYSLGGATIPAALICLSALKLDDTPNTLLEQGKAEKAREILRKIRGLNDKEIEAEFQDLVTASEAAKQVEHPWTRILKRQYRPQLTMAVAIPFFQQLTGMNVVMFYAPVLLQSIGFENNASLLSTVITGAVNILATGVSIYGSDKSGRRSLFLSGGAVMFVFQVALAVLIGSKFGTSGDVIELPKWYAGIVVACICLFVSAFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAVNMLFTFFIAQLFLAMLCHFKFGLFIFFAIFVAIMSTFIFFFLPETTNIPIEEMSRVWKQHWYWRRFMPDEDDDRRVLVLMV >Potri.004G074750.1.v4.1 pep chromosome:Pop_tri_v4:4:6223343:6226001:1 gene:Potri.004G074750.v4.1 transcript:Potri.004G074750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074750.v4.1 MTLKLIYAVVFHRSGIEVRNGLTFLDLIVIQIENLNNKYGCSVPLFLMNSFNTHDDTQKIIEKHSNSNIEIHTFNQSQYPRLVADDFVPMPSKGHTDKDGWYPPGHGDLFPSLKNSGKLDALLSRGKEYLFVANQGTSVLLLI >Potri.010G131000.1.v4.1 pep chromosome:Pop_tri_v4:10:14726640:14730989:-1 gene:Potri.010G131000.v4.1 transcript:Potri.010G131000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G131000.v4.1 MFYSTATATATGAPSSLFLRTAVTPSLLLLRRRNLSVLRSENPNKHLRLSASLTDTHPHFSWSSPPPPTDDDHFRGWAFPESPPQSNNNKKGLPKIVIIAGIGTCVTALLAAIAYFLLSRKGFEFRFHSPSSTETTVYDKIETNMSDENAAAASDAAMEGVSDATGITLHKEPAEKLERVKVSVYVDSNQLETLLALKKLKIIEDDVAADELCTRREYARWLLRLNSMLERNQKHRIVPSISLSGSVIAAFDDLGVEDPDFESIQALAESGIIPSKLSGTNSCADSSDGRSFCFYPERFISRQDLINWKAQLEYGFLPGITEQMSKTKVYYMDVKEISSDATPELLTDMLAGDKSIIRKVFGQSRRFQPNKPLTKAQAAVALISGRMSEAVYNEILRLEAEKSLRQATVKEIRNEFLERGDIKRFWDEKMNEEKIRGFEVEKLYIAALHDLEEEKIVQVKTYEEYLKEKAAMDCQRQLLLHLKEEVDEMSERLASERSVYAAEQCNLQELLSKLQFKQEVMLDTKSILEAEIEALRILRSWVEDEARKSQARARVLEEVGRRWKWDNQA >Potri.004G028466.1.v4.1 pep chromosome:Pop_tri_v4:4:2173672:2181600:1 gene:Potri.004G028466.v4.1 transcript:Potri.004G028466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G028466.v4.1 MNPIETFLSALFLFLVFPSCLSIDIIAPNQSIKDGDVLVSSGQSYELGFFSTGIDSTRRYVGIWCRKVSERTVVWVANRDNPINGTSGVLAINKQGNLVIYENNRSSVPVWSTNVAASSMTNCTAQLQDSGNLVLVQQDSKRVLWQSFDHATDTLLPGMKLGLDLKIGFNRSLSSWKSKDDPGTGTIVLGIDPSGFPQLFLYKGQTRSWRVGPWTGLRWSGVPQMATSYIYGNTFVSSVDEVSYSYSINNPSLISRMVVNESGVVQRLTWNDPDKQWFGIWYAPKEPCDTYGQCGPNTNCDPYQTNTFMCKCLPGFEPKSPQEWYLREGSRGCVRKPNVSTCHGGEGFVKLARVKVPDTSMASANMSLRLKECARECLRNCSCTAYASADERGLGCLRWYGDLVDTRTFSDVGQEIYIRVDRAELAKYEKSGPLANKGIQAILIVSVDVPLFLIILLVCWFVKKRRKARDRKRRNEFPLSLTSRSNSWRDLPIKEFEEGTTSSDLPLFDLSVVAAATNNFSGANKLGEGGFGSVYKGLLHDGKEIAVKRLAKYSGQGINEFRNEVELIAKLQHRNLVRILGCCIQGREKMLIYEYLPNKSLDSFIFNEPRRSQLDWSTRHNIICGIARGILYLHEDSRLRIIHRDLKASNVLLDASMNPKISDFGMARIFGVDQIEANTNRVVGTYGYMSPEYAMQGLFSVKSDVYSFGVLLLEVITGRKNSHFYDKSNSSNLVGYVWDLWTEGRALELVDTLMGNSYPEDQVLRCIQIGLLCVQESAMDRPSMSSVVFMLSNDTTLPSPKQPAIILKKSYNSGDPSTSEGSHSINEVTITMLGPR >Potri.004G121900.1.v4.1 pep chromosome:Pop_tri_v4:4:11638990:11640444:-1 gene:Potri.004G121900.v4.1 transcript:Potri.004G121900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G121900.v4.1 MVYFHSSISVCNSFDQSSTASMANSVNSSEFNPKSRHNNNHVHKNRKTVNGSNCKTIPVCDRSQSAVIDVVILIAVIGACGFLLFPYIRIVTLGFIEFVAAIHYVVKEEVMRNPVIYGSIGISSLCAAIVAWIVILCAARKCGNPNCKGLRKAAEFDIQLETEECVKNSNGTLVKDGLKRGLFELPHDHHRELEAELKKMAPTNGRAVLVFRARCGCSVGRLEVPGPKKTKKIKK >Potri.014G170100.1.v4.1 pep chromosome:Pop_tri_v4:14:12429373:12432176:1 gene:Potri.014G170100.v4.1 transcript:Potri.014G170100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170100.v4.1 MGEEEEEEETTVKVSSNQEEEAWNLRKQTQIVELSERLMSGDLKTQIEAARDIRKLVRKSSAKTRTKLAAAGVIQPLIFMLLSPNFDARHASLLALLNLAVRNERNKVKIVTAGAVPPLVELLKLQNGSLRELAAASILTLSAAEPNKPIIAASGAAPLLVQILSSGSVQGKVDAVTVLHNLSSCAENIHPIVDGKAVSPLINLLKECKKYSKFAEKATALLEILSNSEEGRIAITDSDGGILTLVETVEDGSLVSTEHAVGALLSLCQSCREKYRELILKEGAIPGLLRLTVEGTSKAQDRARTLLDLLRDTPQEKRLSSSVLERIVYNIATRVDGSDKAAETAKRLLQDMVQRSMELSMNSIQHRAASCTPSKIPSK >Potri.014G170100.2.v4.1 pep chromosome:Pop_tri_v4:14:12429310:12432264:1 gene:Potri.014G170100.v4.1 transcript:Potri.014G170100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170100.v4.1 MGEEEEEEETTVKVSSNQEEEAWNLRKQTQIVELSERLMSGDLKTQIEAARDIRKLVRKSSAKTRTKLAAAGVIQPLIFMLLSPNFDARHASLLALLNLAVRNERNKVKIVTAGAVPPLVELLKLQNGSLRELAAASILTLSAAEPNKPIIAASGAAPLLVQILSSGSVQGKVDAVTVLHNLSSCAENIHPIVDGKAVSPLINLLKECKKYSKFAEKATALLEILSNSEEGRIAITDSDGGILTLVETVEDGSLVSTEHAVGALLSLCQSCREKYRELILKEGAIPGLLRLTVEGTSKAQDRARTLLDLLRDTPQEKRLSSSVLERIVYNIATRVDGSDKAAETAKRLLQDMVQRSMELSMNSIQHRAASCTPSKIPSK >Potri.014G170100.3.v4.1 pep chromosome:Pop_tri_v4:14:12429373:12432264:1 gene:Potri.014G170100.v4.1 transcript:Potri.014G170100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170100.v4.1 MGEEEEEEETTVKVSSNQEEEAWNLRKQTQIVELSERLMSGDLKTQIEAARDIRKLVRKSSAKTRTKLAAAGVIQPLIFMLLSPNFDARHASLLALLNLAVRNERNKVKIVTAGAVPPLVELLKLQNASGAAPLLVQILSSGSVQGKVDAVTVLHNLSSCAENIHPIVDGKAVSPLINLLKECKKYSKFAEKATALLEILSNSEEGRIAITDSDGGILTLVETVEDGSLVSTEHAVGALLSLCQSCREKYRELILKEGAIPGLLRLTVEGTSKAQDRARTLLDLLRDTPQEKRLSSSVLERIVYNIATRVDGSDKAAETAKRLLQDMVQRSMELSMNSIQHRAASCTPSKIPSK >Potri.004G048100.2.v4.1 pep chromosome:Pop_tri_v4:4:3876958:3877829:-1 gene:Potri.004G048100.v4.1 transcript:Potri.004G048100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048100.v4.1 MSATAASRSFLRSTSAAARMASAQKAGSKPAFSPFRISKQSPFSPRIFRSPVEMSCCVETMLPYHTATSSALLNSMLSVSRRSYGWTPEGQCKPR >Potri.006G207700.1.v4.1 pep chromosome:Pop_tri_v4:6:21571456:21574209:1 gene:Potri.006G207700.v4.1 transcript:Potri.006G207700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G207700.v4.1 MEESTKNAEAKKEKKRGHRPLIVAQSPAEKAHIMVPAMCSTAIYRLRGELGLGSCGAAIHWLVHHARPDLIPAPEPPTKTKSAKTCPSRKTDSVDHDPIPKPACMARADGDTPVSDFPATARAARSPVRATVVQASTVVFDTPATLDKAERLIAGAAAYGSQLVVFPEAFVGGYPRSVRFDATNPTEGDDGLQRYYASAIDVPGPEVERLAKIAGKYKVHLVMGVVERAGFYLYSTMLFFDSQGQHLGQHRKITLVASESAVWNSGGKSTLPIYETSIGKIGGLTCWDNKWPLLRTELYDKGVEIYCAPTADAGEIWKASMIHIALEGGCFVLSANQFCRRRDYPFPPGDSNGDASLDAITCAGGSVIISPSGTILAGPSYHGECLISADLDLGDIILAKTKYGGIRTMSG >Potri.010G144500.7.v4.1 pep chromosome:Pop_tri_v4:10:15655167:15658905:-1 gene:Potri.010G144500.v4.1 transcript:Potri.010G144500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144500.v4.1 MARIKPQALLNQSKRKKGPARISATTIFLCNLVVVVIVLSVVATYKHWSQRSRNQPISGLSTFEDTANSFADSKKYDLPGYAILNTSKGYITVELYKDGSPMIVDKFLDLCQKEYFKGMPFHHVIKHYVIQAGHGQGLGAAEDWTTKGKLHSRLATSPKHEAFMIGTSKTRDNTEFELFITTAPIPDLNDKLLVFGRAIKGEDVVQEIEEVDTDEHYRPKSPVGIIGVTLKREI >Potri.010G144500.4.v4.1 pep chromosome:Pop_tri_v4:10:15655167:15658905:-1 gene:Potri.010G144500.v4.1 transcript:Potri.010G144500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G144500.v4.1 MARIKPQALLNQSKRKKGPARISATTIFLCNLVVVVIVLSVVATYKHWSQRSRNQPISGLSTFEDTANSFADSKKYDLPGYAILNTSKGYITVELYKDGSPMIVDKFLDLCQKEYFKGMPFHHVIKHYVIQAGHGQGLGAAEDWTTKGKLHSRLATSPKHEAFMIGTSKTRDNTEFELFITTAPIPDLNDKLLVFGRAIKGEDVVQEIEEVDTDEHYRPKSPVGIIGVTLKREI >Potri.006G008000.1.v4.1 pep chromosome:Pop_tri_v4:6:554477:555568:-1 gene:Potri.006G008000.v4.1 transcript:Potri.006G008000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G008000.v4.1 MKRKQVEEEEQEEEEETARLISCKVVEYLEPVMSKELLFKFPDNSAFDFDYAQSSIWSPLVPRNYSPMDLDLVTPTKIAFGFGSELDNKNSSRSGSKKLSSSIKKKKKMMMKKMAISTTAFNVSLSKLKIRKHKVKVSEFSPTSIKTSCVPFPTKGWNKVLKAATKHFKKRKKKDPTAHVKLSNYLRDV >Potri.014G074000.2.v4.1 pep chromosome:Pop_tri_v4:14:4716445:4718954:-1 gene:Potri.014G074000.v4.1 transcript:Potri.014G074000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G074000.v4.1 SRPLAPPARGFPPPPIDREKTCTLLLRFFYQVGEHHSKEDFAVRGKEPKDEVQIYTWKDATLHELTDLVRVVTPAAKRRDARLSFAFIFPDTNDRFVVREVGKTDSHRNGKLDDNKALAQLGFQIGDYLDVAIL >Potri.010G048400.10.v4.1 pep chromosome:Pop_tri_v4:10:8049314:8055240:-1 gene:Potri.010G048400.v4.1 transcript:Potri.010G048400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048400.v4.1 MRIQLLSSLFLFSFGSYLYRVSSQPEHASFPPRGWNSYDSFCWILSEEDFLQSAGIISQRLKPYGYEYAVVDYLWYRKNVPGAYPDSLGFDVIDEWGRMIPDPDRWTSSKDGKGFTEVAKKVHSMGLKFGIHVMRGLSRQAYDANTLILDTTTGGAYEESGRQWRAKDIGIKERACAWMSHGFMSVNTKLGAGRAFLRSLYEQYAEWGVDFVKHDCVFGDDLDVDEITFVSEVLQKLNRPILYSLSPGTSATPTMAKDISGLVNMYRVTGDDWDTWGDVAAHFDVSRDFAAANKIGAKGLLGRSWPDLDMLPLGWLTDPGSNRGPYRMSNLNLDEQKTQMTLWAMARSPLMFGGDVRKLDEITYSLITNPFILEINSYSTNNMEFPYVTGTKGSAHKTTAHSQRSRRCLKEVGKSHAQFLGFTSCNHPKVNGWSIEALDQDLDQICWKEHMGSHEPLCLYKQKPLLSSDERLIYNQGELHLLASDGMEFCLDASPRKKRTSKEFKSGSFSPCRSDANQMWELNNNGSLISSYSGLCATVKSIDANVGNRGGVRSWIATGRKGLLPP >Potri.010G048400.11.v4.1 pep chromosome:Pop_tri_v4:10:8049327:8055493:-1 gene:Potri.010G048400.v4.1 transcript:Potri.010G048400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048400.v4.1 MRIQLLSSLFLFSFGSYLYRVSSQPEHASFPPRGWNSYDSFCWILSEEDFLQSAGIISQRLKPYGYEYAVVDYLWYRKNVPGAYPDSLGFDVIDEWGRMIPDPDRWTSSKDGKGFTEVAKKVHSMGLKFGIHVMRGLSRQAYDANTLILDTTTGGAYEESGRQWRAKDIGIKERACAWMSHGFMSVNTKLGAGRAFLRSLYEQYAEWGVDFVKHDCVFGDDLDVDEITFVSEVLQKLNRPILYSLSPGTSATPTMAKDISGLVNMYRVTGDDWDTWGDVAAHFDVSRDFAAANKIGAKGLLGRSWPDLDMLPLGWLTDPGSNRGPYRMSNLNLDEQKTQMTLWAMARSPLMFGGDVRKLDEITYSLITNPFILEINSYSTNNMEFPYVTGTKGSAHKTTAHSQRSRRCLKEVGKSHAQFLGFTSCNHPKVNGWSIEALDQDLDQICWKEHMGSHEPLCLYKQKPLLSSDERLIYNQGELHLLASDGMEFCLDASPRKKRTSKEFKSGSFSPCRSDANQMWELNNNGSLISSYSGLCATVKSIDGIMLEVQADFLYVR >Potri.010G048400.12.v4.1 pep chromosome:Pop_tri_v4:10:8049148:8055192:-1 gene:Potri.010G048400.v4.1 transcript:Potri.010G048400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G048400.v4.1 MRIQLLSSLFLFSFGSYLYRVSSQPEHASFPPRGWNSYDSFCWILSEEDFLQSAGIISQRLKPYGYEYAVVDYLWYRKNVPGAYPDSLGFDVIDEWGRMIPDPDRWTSSKDGKGFTEVAKKVHSMGLKFGIHVMRGLSRQAYDANTLILDTTTGGAYEESGRQWRAKDIGIKERACAWMSHGFMSVNTKLGAGRAFLRSLYEQYAEWGVDFVKHDCVFGDDLDVDEITFVSEVLQKLNRPILYSLSPGTSATPTMAKDISGLVNMYRVTGDDWDTWGDVAAHFDVSRDFAAANKIGAKGLLGRSWPDLDMLPLGWLTDPGSNRGPYRMSNLNLDEQKTQMTLWAMARSPLMFGGDVRKLDEITYSLITNPFILEINSYSTNNMEFPYVTGTKGSAHKTTAHSQRSRRCLKEVGKSHAQFLGFTSCNHPKVNGWSIEALDQDLDQICWKEHMGSHEPLCLYKQKPLLSSYALSPFLFKLFQTTKYYYFHYVIL >Potri.001G189800.2.v4.1 pep chromosome:Pop_tri_v4:1:17171983:17176715:1 gene:Potri.001G189800.v4.1 transcript:Potri.001G189800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189800.v4.1 MTRRCSHCSNNGHNSRTCPTRSSLASSSSSPLSGVKLFGVRLTDGSIIKKSASMGNLSAHYHSSSSAAASPNPDSPVSDRVHDDGYLSDDPAAHASCSTSRRGDRKKGVPWTEEEHRLFLIGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKFFIRQSNATRRKRRSSLFDMVPEMATDPQPVPEEQELPSSQAGDDDNVDALPSLNLSLKPEFEPMDTESQELVKERDKTVMGFSEFKPSVPSSSEFVPIVSGSNEFTAVPGFFPAYMPVPYPYWAPNTTPFEEGKGAATSHHEVLKPVPSILKEPFNVDELVGMSHLSLGEIERRHREPSPLSLKLIGEAPRQSAFHASAPASGSDLSNGKVAPKG >Potri.001G189800.1.v4.1 pep chromosome:Pop_tri_v4:1:17171989:17176743:1 gene:Potri.001G189800.v4.1 transcript:Potri.001G189800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189800.v4.1 MTRRCSHCSNNGHNSRTCPTRSSLASSSSSPLSGVKLFGVRLTDGSIIKKSASMGNLSAHYHSSSSAAASPNPDSPVSDRVHDDGYLSDDPAAHASCSTSRRGDRKKGVPWTEEEHRLFLIGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKFFIRQSNATRRKRRSSLFDMVPEMATDPQPVPEEQELPSSQAGDDDNVDALPSLNLSLKPEFEPMDTESQELVKERDKTVMGFSEFKPSVPSSSEFVPIVSGSNEFTAVPGFFPAYMPVPYPYWAPNTTPFEEGKGAATSHHEVLKPVPSILKEPFNVDELVGMSHLSLGEIERRHREPSPLSLKLIGEAPRQSAFHASAPASGSDLSNGKASPIQAV >Potri.001G189800.4.v4.1 pep chromosome:Pop_tri_v4:1:17171975:17176743:1 gene:Potri.001G189800.v4.1 transcript:Potri.001G189800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189800.v4.1 MTRRCSHCSNNGHNSRTCPTRSSLASSSSSPLSGVKLFGVRLTDGSIIKKSASMGNLSAHYHSSSSAAASPNPDSPVSDRVHDDGYLSDDPAAHASCSTSRRGDRKKGVPWTEEEHRLFLIGLQKLGKGDWRGIARNFVVSRTPTQVASHAQKFFIRQSNATRRKRRSSLFDMVPEMATDPQPVPEEQELPSSQAGDDDNVDALPSLNLSLKPEFEPMDTESQELVKERDKTVMGFSEFKPSVPSSSEFVPIVSGSNEFTAVPGFFPAYMPVPYPYWAPNTTPFEEGKGAATSHHEVLKPVPSILKEPFNVDELVGMSHLSLGEIERRHREPSPLSLKLIGEAPRQSAFHASAPASGSDLSGTKRLNS >Potri.005G145100.1.v4.1 pep chromosome:Pop_tri_v4:5:11839740:11841564:-1 gene:Potri.005G145100.v4.1 transcript:Potri.005G145100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145100.v4.1 MATSSAEEKREHSDFSTQEEEDQEEEALSLCDLPVNRMKEENNQSSRNQEAHQGTETNQEDFDFGPWGGDGYLSKKSDMCAADDIFFQGQILPLRLSFSSESGVNKFESDSSLNPSHCLSRSASTDHNSIGVFTTFSGMSSSSRSHYSSSSNNTSSAIASTRMIKPKLQNQFLTHRSPKPQIRLSSTSLGNAACNRPRNSSFWDLFRLGLIRTPEIELQDLKVRNSVSRNSSSSSSKSNSSIKKCGKINVSSDSSKSGCKIKNMSRHNSNDCGKNMEKRRKQSLLQKREGLLSGCSCSVSAVKPFPLKSSNHRSRSAGNGNNDKSERGSTEEKLQELKMKRRIVKKQLMQQQGKQAMSRHRTFEWIKELPHATYLDHQKEAI >Potri.014G161700.1.v4.1 pep chromosome:Pop_tri_v4:14:11612285:11613836:-1 gene:Potri.014G161700.v4.1 transcript:Potri.014G161700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161700.v4.1 MPLLFALVLFLFSFHVRTAFSAHCSITTPTKTFEKCMTLPTQQASIAWTFHAHNATLDLVFSGTFISPSGWVGWGINPSSAEMTGTRALIAFPDPNSGQLVLLPFILDPTVKLQKSPPLSRPLDIHLLSSSATLYGGKMATIHNGAAIQVYATLKLVPNKTKIHFVWNRGLYVQGYSPAIHPTTSNDLSSIATIDVLSGFSAAHRDDTRTLKIAHGILNAISWGVLLPIGAATARYLRHIQALGPTWFYVHAGIQLCAFIIGTVGFAIGIRLGELSPGVVYGLHRKLGFAAFSFGALQTLALLFRPKTTNKFRKYWKSYHHFVGYACVVLGVVNVFQGFEVMGESRSYAKLGYCLCLSTLIGVCIALEVNSWVVFCRKSKEEKLRREGLISCGSGKGSGIHG >Potri.005G184450.1.v4.1 pep chromosome:Pop_tri_v4:5:19145577:19146682:-1 gene:Potri.005G184450.v4.1 transcript:Potri.005G184450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G184450.v4.1 MLVSWRQKCLLFTLLAFVVLSSDASRLPKAYWEQMLPKKLPAPSSSPSKGTNSVSRSYSTTVKSDNNLSSSDGKV >Potri.015G043100.3.v4.1 pep chromosome:Pop_tri_v4:15:4024592:4031324:1 gene:Potri.015G043100.v4.1 transcript:Potri.015G043100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043100.v4.1 MSGDRRESPPVIGGGNGVVEPEKKPAPVIVPSQRLRLHANKDHMPESYEDLQLDFCPSIFSSLEKYLPPNMLHDSREEKVKFMRDILLKYLPHGERTRAQKHREYRQKIISHYKPLKRELYTMHPVTFFVPSFMKAINDNTEDSLRSIISEPSPGVLTFEMLQPRFCELLVAEVENFEAWVNDTKFRIMRPNTMNKYGAVLDDFGLETMLDKLMDGFIRPISKVFFPDVGGATLDSHHGFVVEYGKDWDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQPEEIFDYSHIPGRAVLHLGRHRHGARATTSGHRINLLLWCRRYFLSLPVNLTWPDFRKRIIWSFIKHVLHSELSLVFIKPFFL >Potri.015G043100.2.v4.1 pep chromosome:Pop_tri_v4:15:4024592:4031326:1 gene:Potri.015G043100.v4.1 transcript:Potri.015G043100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043100.v4.1 MSGDRRESPPVIGGGNGVVEPEKKPAPVIVPSQRLRLHANKDHMPESYEDLQLDFCPSIFSSLEKYLPPNMLHDSREEKVKFMRDILLKYLPHGERTRAQKHREYRQKIISHYKPLKRELYTMHPVTFFVPSFMKAINDNTEDSLRSIISEPSPGVLTFEMLQPRFCELLVAEVENFEAWVNDTKFRIMRPNTMNKYGAVLDDFGLETMLDKLMDGFIRPISKVFFPDVGGATLDSHHGFVVEYGKDWDVDLGFHVDDSEVTLNVCLGKQFSGGELFFRGTRCDKHVNTGSQPEEIFDYSHIPGRAVLHLGRHRHGARATTSGHRINLLLWCRSSVFREMKKYQKDFSNWCGECSREKKERQRASIAATKSELLRKDGESVV >Potri.009G038800.1.v4.1 pep chromosome:Pop_tri_v4:9:4725937:4726425:-1 gene:Potri.009G038800.v4.1 transcript:Potri.009G038800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038800.v4.1 MEHGHDMPGMGGMGGMAPPPPMNMAGTMQHHEMMMMHMTFFWGKSTEILFSGWPGSSDKRPHMYFVALLFVFVLSILVEWLSHCQLMKPGSNHVAAGLVQTLLHALRVGLAYMVMLAIMSFNGGVFLAAVAGHTLGFLFFGSRVFKRTQNPAKTSDLPPSSC >Potri.001G342600.1.v4.1 pep chromosome:Pop_tri_v4:1:35343228:35345429:1 gene:Potri.001G342600.v4.1 transcript:Potri.001G342600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342600.v4.1 MGFWRSVVATWFLLVVLWFLCSVVVADPVPRCEFPAIYNFGDSNSDTGGISAAFVPISAPYGEAFFHKPAGRDSDGRLIIDFIAERLKLPYLSAYLNSIGTNYRHGANFATGGSTIRRQNETIFEYGISPFALDMQIVQFDQFKARTTDLYNQVKSTPDAEKLPRAEEFSKALYTFDIGQNDLSVGFRKMSFDQLRAAMPDIVNQLASAVQHLYEQGGRAFWIHNTGPIGCLPVNLFYVSNPAPGYLDEHGCVKAQNEMAIEFNSKLKERIVRLKAELPEAAITYVDVYSAKYGLISNAKNLGFADPLKVCCGYHVNFDHIWCGNKGKVNDSVVYGASCKDPSVFISWDGVHYSQAANQWVADHTQNGSLTDPPIPVTQACRRM >Potri.006G023101.1.v4.1 pep chromosome:Pop_tri_v4:6:1562689:1565253:-1 gene:Potri.006G023101.v4.1 transcript:Potri.006G023101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G023101.v4.1 MFRKILADKPHVICIPCPAQSHVKAMLKLAKLLHYRGFRITFVNTEFNHRRLLKSRGPDSLNGLPDFRFESIPDGLPPSDEKATQDVQAIFEACKKNLLAPFNELLAKLNDTASSDVPQVTCIVSDGFVPAAITAAQRHGIPVALFVSISACTFMGLKQYKELKERGLFPLKDESFLTNGYLDQVLDWIPGMKDIRLRDLPSFLRTTDPDDYGFNFCMECAERASEGSAVIFHTFDALEKEVLSALYSMFPRVYTIGPLQLLLNQMKEDDLDSIGYNLWKEEVECLQWLDSKKPNSVIYVNFGSIAVATKQQLIELGMGLAKSGHPFLWILRPDMVIGDSAILPPEFTDETKDRGFISSWCPQEEVLNHPSIGGFLTHSGWNSTAESISSGVPMLCLPFFGDQQTNCRYTCNEWGIGMEIDSNAERDKVEKLVRELMEGEKGREVKKKVMEWRKLAEEAAGPSGSSSMNLDELVKAVLLP >Potri.010G177400.3.v4.1 pep chromosome:Pop_tri_v4:10:17716485:17724368:1 gene:Potri.010G177400.v4.1 transcript:Potri.010G177400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177400.v4.1 MDARTRELLKMFNIDGQIERLDMLSGQDLVIEDEIKMKIVSGSKKEKMQPSFGFFQRSRNAIMGAADAVRRVASKGGFGDDNRRTEALIITRDGMIWTGCANGSLVQWDGNGNRLQDFHYHSVAVQCLCTFGLRIWVGYASGTVQVLDLEGNLLGGWVAHSSPVIKLAVGAGYVFTLANHGGIRGWNVMSPGPLDNILRSELAGKEFLYTRIENLKILTGTWNVAQGKASQDSLVSWLGSAAGDAGIVVVGLQEVEMGAGVLAMSAAKETVGLEGSSVGQWWLDMVGKTLDEGSTFERVGSRQLAGLLIAMWVRNSLKAHVGDVDAAAVPCGFGRAIGNKGAVGLRIRVYDRVMCFVNCHFAAHLEAVNRRNADFDHVYRTMTFGRPSNFFGAAAAGTSSAAQMLRGANVMGANYSPEGIPELSEADMVIFLGDFNYRLDGISYDEARDFVSQRCFDWLREKDQLRTEMGAGNVFQGMREAVIRFPPTYKFEKHQPGLAGYDSGEKKRVPAWCDRVLYRDSRSAHVSECSLDCPVVSSISQYDACMDVTDSDHKPVRCIFSIDIAKVDESVRRQEFGDIMKSNEEIRYIIDELCKIPETIVSTNNIILQNQDTTILRITNKCGENYALFEIICEGQSIIDEDGQASDHHPRGSYGFPQWLEVTPAAGIIKPDHIAEVSIHLEDFPTVEVFVDGAPQNSWCEDTRDKEAMLVVKVQASYNTNETKNHRIRVRHCCSSQTAQLGTRPNGSGQIQGNLLRRADYQHLSSSYDMVNHLRNLHSP >Potri.010G177400.1.v4.1 pep chromosome:Pop_tri_v4:10:17716520:17724652:1 gene:Potri.010G177400.v4.1 transcript:Potri.010G177400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177400.v4.1 MDPNDDVFFSVHSPSSQINNNDDSSSSSPPVRTPKIFDRYISSSISSPSSSDYEDDLQPSNSISSLEASTKRLDYMIQFLDRKLSNNTTTNTSNNDSVSHTHKTLGLPEFIGKGGGAGIFRVPVRAAVHPDRPPSLEIRPHPLRESQIGRVLRTIVTTENQLWGGRENGAVQVWELKEMYGGSDETAPCEESVALTSGSGVTCLIGDEGSRVVWSGHIDGRIRCWKMDPGPDSDSSRVREVLSWAAHRGPVMSMIMTCYGDLWSGSEGGVIKIWPWEALEKAFSFTAEERHMAALLVERSFIDPRNQVTANGLSNVLNSDVKHLLSDNSTAKVWSAGFLSFALWDARTRELLKMFNIDGQIERLDMLSGQDLVIEDEIKMKIVSGSKKEKMQPSFGFFQRSRNAIMGAADAVRRVASKGGFGDDNRRTEALIITRDGMIWTGCANGSLVQWDGNGNRLQDFHYHSVAVQCLCTFGLRIWVGYASGTVQVLDLEGNLLGGWVAHSSPVIKLAVGAGYVFTLANHGGIRGWNVMSPGPLDNILRSELAGKEFLYTRIENLKILTGTWNVAQGKASQDSLVSWLGSAAGDAGIVVVGLQEVEMGAGVLAMSAAKETVGLEGSSVGQWWLDMVGKTLDEGSTFERVGSRQLAGLLIAMWVRNSLKAHVGDVDAAAVPCGFGRAIGNKGAVGLRIRVYDRVMCFVNCHFAAHLEAVNRRNADFDHVYRTMTFGRPSNFFGAAAAGTSSAAQMLRGANVMGANYSPEGIPELSEADMVIFLGDFNYRLDGISYDEARDFVSQRCFDWLREKDQLRTEMGAGNVFQGMREAVIRFPPTYKFEKHQPGLAGYDSGEKKRVPAWCDRVLYRDSRSAHVSECSLDCPVVSSISQYDACMDVTDSDHKPVRCIFSIDIAKVDESVRRQEFGDIMKSNEEIRYIIDELCKIPETIVSTNNIILQNQDTTILRITNKCGENYALFEIICEGQSIIDEDGQASDHHPRGSYGFPQWLEVTPAAGIIKPDHIAEVSIHLEDFPTVEVFVDGAPQNSWCEDTRDKEAMLVVKVQASYNTNETKNHRIRVRHCCSSQTAQLGTRPNGSGQIQGNLLRRADYQHLSSSYDMVNHLRNLHSP >Potri.010G177400.5.v4.1 pep chromosome:Pop_tri_v4:10:17716816:17724364:1 gene:Potri.010G177400.v4.1 transcript:Potri.010G177400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177400.v4.1 MIQFLDRKLSNNTTTNTSNNDSVSHTHKTLGLPEFIGKGGGAGIFRVPVRAAVHPDRPPSLEIRPHPLRESQIGRVLRTIVTTENQLWGGRENGAVQVWELKEMYGGSDETAPCEESVALTSGSGVTCLIGDEGSRVVWSGHIDGRIRCWKMDPGPDSDSSRVREVLSWAAHRGPVMSMIMTCYGDLWSGSEGGVIKIWPWEALEKAFSFTAEERHMAALLVERSFIDPRNQVTANGLSNVLNSDVKHLLSDNSTAKVWSAGFLSFALWDARTRELLKMFNIDGQIERLDMLSGQDLVIEDEIKMKIVSGSKKEKMQPSFGFFQRSRNAIMGAADAVRRVASKGGFGDDNRRTEALIITRDGMIWTGCANGSLVQWDGNGNRLQDFHYHSVAVQCLCTFGLRIWVGYASGTVQVLDLEGNLLGGWVAHSSPVIKLAVGAGYVFTLANHGGIRGWNVMSPGPLDNILRSELAGKEFLYTRIENLKILTGTWNVAQGKASQDSLVSWLGSAAGDAGIVVVGLQEVEMGAGVLAMSAAKETVGLEGSSVGQWWLDMVGKTLDEGSTFERVGSRQLAGLLIAMWVRNSLKAHVGDVDAAAVPCGFGRAIGNKGAVGLRIRVYDRVMCFVNCHFAAHLEAVNRRNADFDHVYRTMTFGRPSNFFGAAAAGTSSAAQMLRGANVMGANYSPEGIPELSEADMRCFDWLREKDQLRTEMGAGNVFQGMREAVIRFPPTYKFEKHQPGLAGYDSGEKKRVPAWCDRVLYRDSRSAHVSECSLDCPVVSSISQYDACMDVTDSDHKPVRCIFSIDIAKVDESVRRQEFGDIMKSNEEIRYIIDELCKIPETIVSTNNIILQNQDTTILRITNKCGENYALFEIICEGQSIIDEDGQASDHHPRGSYGFPQWLEVTPAAGIIKPDHIAEVSIHLEDFPTVEVFVDGAPQNSWCEDTRDKEAMLVVKVQASYNTNETKNHRIRVRHCCSSQTAQLGTRPNGSGQIQGNLLRRADYQHLSSSYDMVNHLRNLHSP >Potri.010G177400.4.v4.1 pep chromosome:Pop_tri_v4:10:17716542:17724383:1 gene:Potri.010G177400.v4.1 transcript:Potri.010G177400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177400.v4.1 MDPNDDVFFSVHSPSSQINNNDDSSSSSPPVRTPKIFDRYISSSISSPSSSDYEDDLQPSNSISSLEASTKRLDYMIQFLDRKLSNNTTTNTSNNDSVSHTHKTLGLPEFIGKGGGAGIFRVPVRAAVHPDRPPSLEIRPHPLRESQIGRVLRTIVTTENQLWGGRENGAVQVWELKEMYGGSDETAPCEESVALTSGSGVTCLIGDEGSRVVWSGHIDGRIRCWKMDPGPDSDSSRVREVLSWAAHRGPVMSMIMTCYGDLWSGSEGGVIKIWPWEALEKAFSFTAEERHMAALLVERSFIDPRNQVTANGLSNVLNSDVKHLLSDNSTAKVWSAGFLSFALWDARTRELLKMFNIDGQIERLDMLSGQDLVIEDEIKMKIVSGSKKEKMQPSFGFFQRSRNAIMGAADAVRRVASKGGFGDDNRRTEALIITRDGMIWTGCANGSLVQWDGNGNRLQDFHYHSVAVQCLCTFGLRIWVGYASGTVQVLDLEGNLLGGWVAHSSPVIKLAVGAGYVFTLANHGGIRGWNVMSPGPLDNILRSELAGKEFLYTRIENLKILTGTWNVAQGKASQDSLVSWLGSAAGDAGIVVVGLQEVEMGAGVLAMSAAKETVGLEGSSVGQWWLDMVGKTLDEGSTFERVGSRQLAGLLIAMWVRNSLKAHVGDVDAAAVPCGFGRAIGNKGAVGLRIRVYDRVMCFVNCHFAAHLEAVNRRNADFDHVYRTMTFGRPSNFFGAAAAGTSSAAQMLRGANVMGANYSPEGIPELSEADMVIFLGDFNYRLDGISYDEARDFVSQRCFDWLREKDQLRTEMGAGNVFQGMREAVIRFPPTYKFEKHQPGLAGEKKRVPAWCDRVLYRDSRSAHVSECSLDCPVVSSISQYDACMDVTDSDHKPVRCIFSIDIAKVDESVRRQEFGDIMKSNEEIRYIIDELCKIPETIVSTNNIILQNQDTTILRITNKCGENYALFEIICEGQSIIDEDGQASDHHPRGSYGFPQWLEVTPAAGIIKPDHIAEVSIHLEDFPTVEVFVDGAPQNSWCEDTRDKEAMLVVKVQASYNTNETKNHRIRVRHCCSSQTAQLGTRPNGSGQIQGNLLRRADYQHLSSSYDMVNHLRNLHSP >Potri.010G177400.8.v4.1 pep chromosome:Pop_tri_v4:10:17716506:17724384:1 gene:Potri.010G177400.v4.1 transcript:Potri.010G177400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177400.v4.1 MDPNDDVFFSVHSPSSQINNNDDSSSSSPPVRTPKIFDRYISSSISSPSSSDYEDDLQPSNSISSLEASTKRLDYMIQFLDRKLSNNTTTNTSNNDSVSHTHKTLGLPEFIGKGGGAGIFRVPVRAAVHPDRPPSLEIRPHPLRESQIGRVLRTIVTTENQLWGGRENGAVQVWELKEMYGGSDETAPCEESVALTSGSGVTCLIGDEGSRVVWSGHIDGRIRCWKMDPGPDSDSSRVREVLSWAAHRGPVMSMIMTCYGDLWSGSEGGVIKIWPWEALEKAFSFTAEERHMAALLVERSFIDPRNQVTANGLSNVLNSDVKHLLSDNSTAKVWSAGFLSFALWDARTRELLKMFNIDGQIERLDMLSGQDLVIEDEIKMKIVSGSKKEKMQPSFGFFQRSRNAIMGAADAVRRVASKGGFGDDNRRTEALIITRDGMIWTGCANGSLVQWDGNGNRLQDFHYHSVAVQCLCTFGLRIWVGYASGTVQVLDLEGNLLGGWVAHSSPVIKLAVGAGYVFTLANHGGIRGWNVMSPGPLDNILRSELAGKEFLYTRIENLKILTGTWNVAQGKASQDSLVSWLGSAAGDAGIVVVGLQEVEMGAGVLAMSAAKETVGLEGSSVGQWWLDMVGKTLDEGSTFERVGSRQLAGLLIAMWVRNSLKAHVGDVDAAAVPCGFGRAIGNKGAVGLRIRVYDRVMCFVNCHFAAHLEAVNRRNADFDHVYRTMTFGRPSNFFGAAAGMVSYLFLSCSIACYLIWLVYRTGLPLLLSIAAGTSSAAQMLRGANVMGANYSPEGIPELSEADMVIFLGDFNYRLDGISYDEARDFVSQRCFDWLREKDQLRTEMGAGNVFQGMREAVIRFPPTYKFEKHQPGLAGYDSGEKKRVPAWCDRVLYRDSRSAHVSECSLDCPVVSSISQYDACMDVTDSDHKPVRCIFSIDIAKVDESVRRQEFGDIMKSNEEIRYIIDELCKIPETIVSTNNIILQNQDTTILRITNKCGENYALFEIICEGQSIIDEDGQASDHHPRGSYGFPQWLEVTPAAGIIKPDHIAEVSIHLEDFPTVEVFVDGAPQNSWCEDTRDKEAMLVVKVQASYNTNETKNHRIRVRHCCSSQTAQLGTRPNGSGQIQGNLLRRADYQHLSSSYDMVNHLRNLHSP >Potri.010G177400.7.v4.1 pep chromosome:Pop_tri_v4:10:17717466:17724372:1 gene:Potri.010G177400.v4.1 transcript:Potri.010G177400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G177400.v4.1 MAALLVERSFIDPRNQVTANGLSNVLNSDVKHLLSDNSTAKVWSAGFLSFALWDARTRELLKMFNIDGQIERLDMLSGQDLVIEDEIKMKIVSGSKKEKMQPSFGFFQRSRNAIMGAADAVRRVASKGGFGDDNRRTEALIITRDGMIWTGCANGSLVQWDGNGNRLQDFHYHSVAVQCLCTFGLRIWVGYASGTVQVLDLEGNLLGGWVAHSSPVIKLAVGAGYVFTLANHGGIRGWNVMSPGPLDNILRSELAGKEFLYTRIENLKILTGTWNVAQGKASQDSLVSWLGSAAGDAGIVVVGLQEVEMGAGVLAMSAAKETVGLEGSSVGQWWLDMVGKTLDEGSTFERVGSRQLAGLLIAMWVRNSLKAHVGDVDAAAVPCGFGRAIGNKGAVGLRIRVYDRVMCFVNCHFAAHLEAVNRRNADFDHVYRTMTFGRPSNFFGAAAAGTSSAAQMLRGANVMGANYSPEGIPELSEADMVIFLGDFNYRLDGISYDEARDFVSQRCFDWLREKDQLRTEMGAGNVFQGMREAVIRFPPTYKFEKHQPGLAGYDSGEKKRVPAWCDRVLYRDSRSAHVSECSLDCPVVSSISQYDACMDVTDSDHKPVRCIFSIDIAKVDESVRRQEFGDIMKSNEEIRYIIDELCKIPETIVSTNNIILQNQDTTILRITNKCGENYALFEIICEGQSIIDEDGQASDHHPRGSYGFPQWLEVTPAAGIIKPDHIAEVSIHLEDFPTVEVFVDGAPQNSWCEDTRDKEAMLVVKVQASYNTNETKNHRIRVRHCCSSQTAQLGTRPNGSGQIQGNLLRRADYQHLSSSYDMVNHLRNLHSP >Potri.006G225300.4.v4.1 pep chromosome:Pop_tri_v4:6:22987512:22991787:1 gene:Potri.006G225300.v4.1 transcript:Potri.006G225300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225300.v4.1 MPSNATADDNGDSLFNATAVAIDKDKNSQHAFRWAVDNFARNNPVIVLIHVKHKNHQYKVADGQADEAEEVQQLFTSSRGYCARKGVRLKQVALDHIDVAQAVADYINSSLIGNIVLGASGRNVLTRKFKNQDVPTSLMKIAPDFCSVYVITKGKILSSKKAQRMPPSSAPQKTPSMPAVSSRSQQDNAELDSDGVRAQTRKRECRSTEPGGFPSDKVHDLIREPQHEGGRKPISNFSMESTDPPFSAGRLSTFRYSTSDASQNTGTFALAIMDSSAQGLDKSIASASSLNESNADFLEAEMRRLRLELKQTMAMYSTACKEALSAKKKATELNQWKVDEVRKFEETKLAGEAALAMAELEKAKCRVAIEAAEKSQKLAEMEARKRKQAEMKAERETGEKNRALNALAHNDVRYRRYTIEEIEEATDKFSPSNKIGEGGYGPVYKGKLDHTPVAIKALRPGAAQGKKQFQQEVEVLSTIRHPHMVLLLGACPEYGVLIYECMDNGSLEDRLLQKDNTPPIPWSTRFKIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSIADSVTQYHMTSAAGTFCYIDPEYQQTGMLTTRSDIYSMGIMLLQIITAKPPMGLAHQVGRAIERGGFSDMLDHTVSDWPVEEALRFAILALKCAELRKRDRPSLATVIVPELNRLRDFGMNSEQQQSRGVSSRGRSCSPLPQPTSPLQARMSNATQVGQY >Potri.006G225300.3.v4.1 pep chromosome:Pop_tri_v4:6:22987512:22991787:1 gene:Potri.006G225300.v4.1 transcript:Potri.006G225300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G225300.v4.1 MPSNATADDNGDSLFNATAVAIDKDKNSQHAFRWAVDNFARNNPVIVLIHVKHKNHQYKVADGQADEAEEVQQLFTSSRGYCARKGVRLKQVALDHIDVAQAVADYINSSLIGNIVLGASGRNVLTRKFKNQDVPTSLMKIAPDFCSVYVITKGKILSSKKAQRMPPSSAPQKTPSMPAVSSRSQQDNAELDSDGVRAQTRKRECRSTEPGGFPSDKVHDLIREPQHEGGRKPISNFSMESTDPPFSAGRLSTFRYSTSDASQNTGTFALAIMDSSAQGLDKSIASASSLNESNADFLEAEMRRLRLELKQTMAMYSTACKEALSAKKKATELNQWKVDEVRKFEETKLAGEAALAMAELEKAKCRVAIEAAEKSQKLAEMEARKRKQAEMKAERETGEKNRALNALAHNDVRYRRYTIEEIEEATDKFSPSNKIGEGGYGPVYKGKLDHTPVAIKALRPGAAQGKKQFQQEVEVLSTIRHPHMVLLLGACPEYGVLIYECMDNGSLEDRLLQKDNTPPIPWSTRFKIAAEIATALLFLHQTKPEPLVHRDLKPANILLDRNYVSKISDVGLARLVPPSIADSVTQYHMTSAAGTFCYIDPEYQQTGMLTTRSDIYSMGIMLLQIITAKPPMGLAHQVGRAIERGGFSDMLDHTVSDWPVEEALRFAILALKCAELRKRDRPSLATVIVPELNRLRDFGMNSEQQQSRGVSSRGRSCSPLPQPTSPLQARMSNATQEKARTSCRGRTEQGSNQGEADGQES >Potri.013G036300.1.v4.1 pep chromosome:Pop_tri_v4:13:2374227:2377925:-1 gene:Potri.013G036300.v4.1 transcript:Potri.013G036300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G036300.v4.1 MNGLSHLEPPDYSEFVEVDPTGRYGRYNEILGRGASKIVYRAFDEYEGIEVAWNQVKLYDFLQSPEDLERLYCEIHLLKTLKHKNIMKFYTSWVDTANRNINFVTEMFTSGTLRQYRLKHKRVNIRAVKHWCRQILRGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILRKSHAAHCVGTPEFMAPEVYEEAYNELVDIYSFGMCILEMVTFEYPYSECTHPVQIYKKVISGKKPDALYKVKDPEVRHFVEKCLATVSLRLSARELLNDPFLQIDGCESDLRLLDHRIEVDGLGPLIRPPYLEHHDNNNSYSSGYLNGYDYEAQNEWEYHQVEVELSGIELFEYHDEHPANVDISIKGKRGDDGGIFLRLRIADKEGRIRNIYFPFDIENDTALSVATEMVAELDITDQDVTKIADMIDGEIASLVPEWRPGPGIEETPCFANQTLCHNCASTCTSNGSFMDFLSNNPCCSHGCASMHGRFGEIIFEVDESEHHLTEGAPNILNQPDYLHYKEIWGQQESRQLTPIGSGKSQSDEEYANFDQSIPEKDTKDTKMENGIPGGKSFQHFTGSGSLSRLTSLYNDLADSNENEIQQDLRWLKAKHQMELRKLRDERLGLAVKPSTSRNGEEKTSNVVSSTSMLNSFQEGSNGDLLKSLAKQISHSLHTHAGALSDTQRPWNHKVMNQPPRAKDMVNAKNLCTGPMLPHSLHRTTSLPVDAVDV >Potri.009G039900.1.v4.1 pep chromosome:Pop_tri_v4:9:4787106:4787878:-1 gene:Potri.009G039900.v4.1 transcript:Potri.009G039900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G039900.v4.1 MAFIAFLALSLLLNGALGELVCEQLPVDLCSYSIATSGKRCLLENYATKDGGVKYQCKTSEVVVDKLNEWIEIDECITACGLSRNTVVFTKLCSKSCSQACPNIVDLYSNLALAEGVYLPNLCASPRRAMYQTRSNGDAAPAPASVGALSPESAISGAADVACAPTSI >Potri.003G114800.1.v4.1 pep chromosome:Pop_tri_v4:3:13676041:13677930:-1 gene:Potri.003G114800.v4.1 transcript:Potri.003G114800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114800.v4.1 MVRVSVLNDALKSMYNAEKRGKRQVMIRPSSKVIIKFLLVMQKHGYIGEFEFVDDHRAGKIVVELNGRLNKCGVISPRFDVGVKEIETWTARLLPSRQFGYIVLTTSAGIMDHEEARRKNVGGKVLGFFY >Potri.001G188900.1.v4.1 pep chromosome:Pop_tri_v4:1:16990111:16991158:1 gene:Potri.001G188900.v4.1 transcript:Potri.001G188900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G188900.v4.1 MDQNLPAIAKRAWSIVRVIFFMLRKGLSKRKLLVDLNMMLKRGNKIASKAIGNLMFHHHHHNDHRNVSFKSPPCEYEFSCSNTPTYSLPFHINNKRRHHHHHHHNNFFACAFNAPPTHDDHDMVTMNAVKLALELLNNNELPVPVEASPMLPGFGRSPMVRQLRITDSPFPLRDVDDDNGLVNKKADEFIEKFYKELRKQKRMSG >Potri.001G218400.1.v4.1 pep chromosome:Pop_tri_v4:1:22819068:22819940:-1 gene:Potri.001G218400.v4.1 transcript:Potri.001G218400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G218400.v4.1 MGKKVKWSWTAALVGAASATAAVALISAKPKDPTFHLIKINFTSFKLRFPVIDTDVILTVHVTNPNIIPIHYSSTIMSIFYDGSLIGSAQVEAGSQRSRSCQILELTARLDGVELMANHSVKFFSDVAKREMVLDAKVDIGGTARLLWWGHRFNVHVDSHITVDPLFLDIIDQENKSRLDVFLA >Potri.018G138802.1.v4.1 pep chromosome:Pop_tri_v4:18:14410696:14412995:-1 gene:Potri.018G138802.v4.1 transcript:Potri.018G138802.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G138802.v4.1 MPLRKPSAQMQTTVAGKEAEVNILGKLSHPNLVKLLGYCREKEVPLVYEFMQNGSLNYHLFGKRSIRPLPWDIRLKVAVGMAAGLLALFRGVGNSQRSNPKKFLNADTFCLWSPMWSSFDCGFNWINPKSSTLISSIMQKYQILAWCQFFHLMIHVSKLVMGTNGYAAPEIMSAGRFYAKSDVYSFGVVLVEMLTGSRAIDTKRPNGQDIVVNWVIPFLSNKRKLKKKTMDTRLEGKYPIKEASLIAQLAIRCLQLEPQFRPSMKEIAETSEQIVAHQLKATLA >Potri.015G136600.1.v4.1 pep chromosome:Pop_tri_v4:15:14547151:14550811:-1 gene:Potri.015G136600.v4.1 transcript:Potri.015G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G136600.v4.1 MARSPFHRILLFAWIVVLLMDGVHASDGDADPIYKACVEQCEKTGCVGEKCFQHCKFSSDGKPEGGPWYLQEPLYLQWKQWDCRSDCRYHCMLTREEEREKLGGKPVKYHGKWLFRRAYGFQEPVSVALSALNLAIQFHGWVSFFILIYYKLPLTPSKKNYYEYTGLWNIYGILSMNSWFWSAVFHSRDVELTEKLHFSSAVALLGFSLILAILRAFSVRNEASRVMVSTPVIAFVTTHILYLNCYNLDYGLNIKVCVTMGVAQLLIWAVWAGVTHHPSWSKLWVAVVGGGLAMLLEIYDFPPYHRFVDAHALWHATTIPLTYLWWSFAKDDAEFRTSSLHKKAK >Potri.019G033300.1.v4.1 pep chromosome:Pop_tri_v4:19:4621376:4625590:-1 gene:Potri.019G033300.v4.1 transcript:Potri.019G033300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G033300.v4.1 MAEQGGLEGSQPVDLSKHPSGIVPILQNIVSTVNLDCRLDLKQIALQARNAEYNPKRFAAVIMRIREPKTTALIFASGKMVCTGAKSEQQSKLAARKYARIIQKLGFAAKFKDFKIQNIVGSCDVKFPIRLEGLAYSHGAFSSYEPEIFPGLIYRMKQPKIVLLIFVSGKIVITGAKVRDETYTAFENIYPVLTEFRKVQQ >Potri.009G135300.1.v4.1 pep chromosome:Pop_tri_v4:9:10938825:10940619:-1 gene:Potri.009G135300.v4.1 transcript:Potri.009G135300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G135300.v4.1 MGVLDHLPDFFDCSGGGSKHKKRKSLQTVEVKVRIDCEGCERKVKRALEGMKGVKQVVVERKANKVTVVGYVEPSKVVARVAHRTGKKAELWPYVPYDMVAHPYAPGVYDKKAPAGYVRNAEDPQVSQLARASSFEVRYTTAFSDENPAACVIM >Potri.006G099500.1.v4.1 pep chromosome:Pop_tri_v4:6:7614060:7615351:-1 gene:Potri.006G099500.v4.1 transcript:Potri.006G099500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G099500.v4.1 MAATTAAAATSSFMGTRLPDIYSNSGRIQARFGFGGKKAPKKSIKPSTPDRPLWYPGAKAPEYLDGSLVGDYGFDPFGLGKPAEYLQFELDSLDQNLAKNLAGDIIGTRTEFADVKSTPFQPYSEVFGLQRFRECELIHGRWAMLATLGALSVEWLTGVTWQDAGKVELVEGSSYLGQPLPFSITALIWIEAVIIGYIEFQRNAELDPEKRLYPGGQFFDPLGLASDPEKKATLQLAEIKHARLAMVAFLGFAVQAWVTGKGPLNNWATHLSDPLHTTIIDNLSS >Potri.002G119500.2.v4.1 pep chromosome:Pop_tri_v4:2:9079401:9084766:-1 gene:Potri.002G119500.v4.1 transcript:Potri.002G119500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G119500.v4.1 MTMVAAVSTPINPKTTSTTRTTQSQNPTRPPLLPSDPDNALAPRRPKSREVSSRYMSSASASTTSTSRRCASPSISRRTATSTTSAASTIKRSQSVERRRPATPRTNSLDLRIGNTNCGVVDSGEMTNAQRMLITSTRRLSVSFQGESFSFQLNKAKPAPSPISVRKGTPERRKATTTIQTRRADQVENSGPIEQHRWPGRFRQPNPMIRRSMDCTDDRKKFAGSGVNLNVVRALQNSMADNNNNTSSSIESRLSSDSSTIDSTKPIDVNGSDVHSEHPLASDTESVSSGTTSESSGNAVGVAGQGGRGFIEPARFWQETNSRIRRQPEPGSPVSRNNGLKGPTPAKLIAPKKFGSDSPVSSPKGVVNSRGQMSPIRGGALRPGSPSKFGISSAAARSPMRGMSPSRVRNAVGGVVSSNLSNVNSTPSILSFAADIRRGKIGENRIVEVHLLRIFHNRLLQLRFINARADSSLSAQRLNAKKSLYNAHVTTSKLCESVRAKRTELQWLRQNLKLISILKEQMLCLEELALIDQDYSRSLSGAIEALQASTLRLPIVDGARADVQNLKDAICSAVDVMQAMASSICLLISKVGEVNSLVAELEKLTQKERNRLYQCKDLLSTIAALQVKECSLRTHILQLNRVPSSLTTQV >Potri.001G191600.2.v4.1 pep chromosome:Pop_tri_v4:1:17563878:17566150:-1 gene:Potri.001G191600.v4.1 transcript:Potri.001G191600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191600.v4.1 MAVNPVTHPQSKHIAIDYHFVRELIANGSLKIDFVPSHLQLADSLTKGVTKPQFFLFRSKLSVLPSPTLTLKGALALALVSGGYAQDTLFPAIISFGDSSVDVGNNNHLSTIFKANYPPYGRDFANHKPTGRFCNGKLTIDITAEALGFKTYAPAYLSPEASGKNLLIGVNFASAASGYDDKTAFLNNAIPLSLQLKHFKEYQTKLVKVAGGRKAASIIKDALYILSAGTADFFQNYYATLLFVKNLYSSGARKLGVTSLPPLGCVPEARNFFGYRGNDCVSWVNTVARQFNKNLNLAADNLRKQLPGLKKVVFDIYKPLEDLVKSPLTYGFVGARRGCCQTRTAGKISVFCNPRLPGTCPNATQFVFWDSVHPSQAANQVLADAILFQGVSLFG >Potri.019G032401.1.v4.1 pep chromosome:Pop_tri_v4:19:4504406:4504708:-1 gene:Potri.019G032401.v4.1 transcript:Potri.019G032401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G032401.v4.1 MDNHYLYKWNCPKWKYQFFICSFYLIQALYLIHVPTFLLIHSLIDMQENCMLWLKDRLMVFFFIIMFREMGVRIELWMMNFEYSFLVISFKTRLILHAIL >Potri.004G177100.4.v4.1 pep chromosome:Pop_tri_v4:4:19208374:19213033:1 gene:Potri.004G177100.v4.1 transcript:Potri.004G177100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177100.v4.1 MLSGLMNLLRACFRPRSDQYVHTNSDTGGRQDGLLWYKDHGQHVNGEFSMAVVQANNLLEDQSQLESGSLSLHESGPHGTFVGVYDGHGGPETSRYINDHLFQHLKRFTSEQQSMSVEVIRKAFQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICNGTLYIANLGDSRAVLGRAVKATGEVLSIQLSAEHNACIESVRHELHALHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKNPILSSEPSISAHQLQAHDQFIIFASDGLWEHLSNQEAVDIVQNHPHNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKCSNISVRGGGISLPPNTLAPCTTPTEAGRL >Potri.004G177100.1.v4.1 pep chromosome:Pop_tri_v4:4:19208214:19213202:1 gene:Potri.004G177100.v4.1 transcript:Potri.004G177100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177100.v4.1 MLSGLMNLLRACFRPRSDQYVHTNSDTGGRQDGLLWYKDHGQHVNGEFSMAVVQANNLLEDQSQLESGSLSLHESGPHGTFVGVYDGHGGPETSRYINDHLFQHLKRFTSEQQSMSVEVIRKAFQATEEGFLSLVTKQWPMKPQIAAVGSCCLVGVICNGTLYIANLGDSRAVLGRAVKATGEVLSIQLSAEHNACIESVRHELHALHPDDSQIVVLKHNVWRVKGLIQVSRSIGDVYLKKAEFNREPLYAKFRLREPFKNPILSSEPSISAHQLQAHDQFIIFASDGLWEHLSNQEAVDIVQNHPHNGSARRLVKAALQEAAKKREMRYSDLKKIDRGVRRHFHDDITVIVVFLDSNLVSRASSVKCSNISVRGGGISLPPNTLAPCTTPTEAGRL >Potri.018G125400.1.v4.1 pep chromosome:Pop_tri_v4:18:13705109:13708368:1 gene:Potri.018G125400.v4.1 transcript:Potri.018G125400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G125400.v4.1 MSWLFNSLQSNDPDSPPPHPPSPSVQDDLLVIGDSIGRQLRGVANFLAPPPPPPPSNTQAAKPQPFDSSSQSSQALLGIRNDLAEIGDSLKSGLSKFTSNFLQFKDINSKNSDGDDVAGINEEVIGFVKEISLRPECWIDFPLPLQNDFRMTDAQREHVLNVEHFVPSLAQLRNNLRSEMGDGRFWMVYFILLIPRLNEHDFEVLSTPQIVETRNLLLQKLQNKRNVKVESSKNSKSGTQGEITTSQEEITEIADATEGLKINEENSRQFSKEKIDNSTSMDNHKKLEDEQDVSFSDLEDDDSDFSIRLSASRKARSIRAPSPSGSSDWIQLNEGSDTQGGPPKARQSFSRDKDSDAESSDWHKVDEYD >Potri.006G264200.2.v4.1 pep chromosome:Pop_tri_v4:6:26032414:26036750:-1 gene:Potri.006G264200.v4.1 transcript:Potri.006G264200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G264200.v4.1 MIVFFVSGEYLALTGDKLNGVEMIACGLASHYALHERLDLVEERLGKLITDEATVIETSLAQYGDLDLVEERLGKLITDEATVIETSLAQYGDLVYPDKTSKLYKFETFDKCFSHDTVEEIVDALENEKASTYDEWCERAISKIKEAAPLSLKVTLQSIREGRFQSLDQCLAREYCIFLTGISKRVSNDFCEWDPSRLGEVSKDMVDSYFSRLGELEDLELPTTLQGMLDANDWGHLQTGMMRVLEDTGDLLFSALHYLPALLLQQELEFSLFHVFLRIGSYIICFASHANSIFHGHYLRPFFWLTQITFCRGDGTFALFFQG >Potri.008G023400.6.v4.1 pep chromosome:Pop_tri_v4:8:1169201:1173722:1 gene:Potri.008G023400.v4.1 transcript:Potri.008G023400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023400.v4.1 MLQASSRPRKEVIKLLKFLGIKQIYDVKLNHIYSDELLRRMVKHISTLDFEKYDECGLFRAFNNAVKNGIVEMIVEMVKVCPNLMHTFDKNGRVFLMSSVAHRQEKIFSLFYGLEGRNGNFLSVTDVFDNTMLHCAGELSPSTQLARISGAALQMQRELQWYREVESIVNPRAKTYCNQNGETPGQLFTKSHEKLMAAGEKWMKQVATSSTVVGALIITVMFTAAFTVPGGNKDTGFPVFLHEKSFLIFIISDAISLFASSTSVLMFLGILTSRYSENDFLISFPRKLVIGLSTLFISVAAMMVAFCAALRIVMDGRLEVVIPVSLLAGIPVTLFILLQFPLLVEIFMSTYGPGIFNRKMKRWY >Potri.008G023400.4.v4.1 pep chromosome:Pop_tri_v4:8:1169094:1173535:1 gene:Potri.008G023400.v4.1 transcript:Potri.008G023400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023400.v4.1 MTHYLYSVTPAELLYEDNDIALDLLQLFPSLATALDKIWKLNAVTQLSLLPDRFHSGSRLAFWQRWIYSCINIGPPIPFSDQMLQASSRPRKEVIKLLKFLGIKQIYDVKLNHIYSDELLRRMVKHISTLDFEKYDECGLFRAFNNAVKNGIVEMIVEMVKVCPNLMHTFDKNGRVFLMSSVAHRQEKIFSLFYGLEGRNGNFLSVTDVFDNTMLHCAGELSPSTQLARISGAALQMQRELQWYREVESIVNPRAKTYCNQNGETPGQLFTKSHEKLMAAGEKWMKQVATSSTVVGALIITVMFTAAFTVPGGNKDTGFPVFLHEKSFLIFIISDAISLFASSTSVLMFLGILTSRYSENDFLISFPRKLVIGLSTLFISVAAMMVAFCAALRIVMDGRLEVVIPVSLLAGIPVTLFILLQFPLLVEIFMSTYGPGIFNRKMKRWY >Potri.008G023400.1.v4.1 pep chromosome:Pop_tri_v4:8:1171410:1173653:1 gene:Potri.008G023400.v4.1 transcript:Potri.008G023400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023400.v4.1 MTHYLYSVTPAELLYEDNGNHGSLLLQLSIASEMFDIALDLLQLFPSLATALDKIWKLNAVTQLSLLPDRFHSGSRLAFWQRWIYSCINIGPPIPFSDQMLQASSRPRKEVIKLLKFLGIKQIYDVKLNHIYSDELLRRMVKHISTLDFEKYDECGLFRAFNNAVKNGIVEMIVEMVKVCPNLMHTFDKNGRVFLMSSVAHRQEKIFSLFYGLEGRNGNFLSVTDVFDNTMLHCAGELSPSTQLARISGAALQMQRELQWYREVESIVNPRAKTYCNQNGETPGQLFTKSHEKLMAAGEKWMKQVATSSTVVGALIITVMFTAAFTVPGGNKDTGFPVFLHEKSFLIFIISDAISLFASSTSVLMFLGILTSRYSENDFLISFPRKLVIGLSTLFISVAAMMVAFCAALRIVMDGRLEVVIPVSLLAGIPVTLFILLQFPLLVEIFMSTYGPGIFNRKMKRWY >Potri.008G023400.5.v4.1 pep chromosome:Pop_tri_v4:8:1169087:1173576:1 gene:Potri.008G023400.v4.1 transcript:Potri.008G023400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G023400.v4.1 MEMEFASFLDNYIISYIYKISSQLMKAFSLKGINIGPPIPFSDQMLQASSRPRKEVIKLLKFLGIKQIYDVKLNHIYSDELLRRMVKHISTLDFEKYDECGLFRAFNNAVKNGIVEMIVEMVKVCPNLMHTFDKNGRVFLMSSVAHRQEKIFSLFYGLEGRNGNFLSVTDVFDNTMLHCAGELSPSTQLARISGAALQMQRELQWYREVESIVNPRAKTYCNQNGETPGQLFTKSHEKLMAAGEKWMKQVATSSTVVGALIITVMFTAAFTVPGGNKDTGFPVFLHEKSFLIFIISDAISLFASSTSVLMFLGILTSRYSENDFLISFPRKLVIGLSTLFISVAAMMVAFCAALRIVMDGRLEVVIPVSLLAGIPVTLFILLQFPLLVEIFMSTYGPGIFNRKMKRWY >Potri.004G182000.1.v4.1 pep chromosome:Pop_tri_v4:4:19611087:19612717:-1 gene:Potri.004G182000.v4.1 transcript:Potri.004G182000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G182000.v4.1 MKIWVFSVFSLLLSLFLGVSAEQCGRQAGGALCPGGQCCSQFGWCGNTDAYCSKGCQSQCGGGGGGGGGDLTSIISREKFNEMLKHRNDGGCPAKGFYTYDAFISAAKAFPGFGTTGDVATRKREIAAFFGQTSHETTGGWQTAPDGPYAWGYCYVREQNPGSYCAPSSTYPCAGGKQYYGRGPMQLSWNYNYGQCGKAIGVDLLNNPDLVATDPVISFKTAIWFWMTPQSPKPSCHNVITGRWSPSGADSAAGRVPGYGVLTNIINGGLECGMGWKSQVEDRIGFYKRYCDILGVGYGSNLDCYNQRPFGNGLLNLVDTM >Potri.004G104101.1.v4.1 pep chromosome:Pop_tri_v4:4:9139476:9139998:1 gene:Potri.004G104101.v4.1 transcript:Potri.004G104101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G104101.v4.1 MYRHTVRDFDLSSFLSKRWNRITWLKRNDHTSIMHYCIYTKLIPIDLLEGPIGMHLVRIEPTNSPVMSWAF >Potri.010G052600.2.v4.1 pep chromosome:Pop_tri_v4:10:8419612:8427402:-1 gene:Potri.010G052600.v4.1 transcript:Potri.010G052600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052600.v4.1 MSESKEQNGVTAAEDEESNGVDAAHTNQEGGEMRHVESKEDMFEDATDDIEENQFQEIVDDATLLQEHATSSPSIDELKAILDKTLQEKQTLSTELKEERESIAREVSILCHELKGLADKQSLSADYGNQEEMVAGNDTSLLREMLSECSQFVKVALDERLRTEGVIRELNQQIEDLTVKAQAEEGVEVVADRLLASLGVVVNPGELLDYSVMGKLAHVERSGSLLVEHYSWMLYEIDQLRACLTEGGFNFEGQELFGPALVFAAARGELVELKRKEVEMVEKLGHLEDESRKLVEQVEKEKMMAEAANVELGRMKVELEQEKNRFANTKDKLSMAVTKGKALVQQRDSLKHALAEKTSELDKCLAELQEKSSAIETAELFKGELVKCENLVASLQETLAQRNAVSESLEVVFSQIDVHEGLQTMDVVEKLKWLVNEVTSLQGMLSEKNAIFENFEEILSHNNVPKEETDLIEKLRWHVNLTSSLEETLSQRNKIIDYLEESFSQISVPVELQSVDTVEKLKWLVEERNALKDNLLEFHKLKDALSLIDLPETASSSDLKTRIGWLKESVNQSKGEINELREELARTKTSAQNEIDQLSALLSAELQEKEYIKMELDVLERNFEEVHQASSEKHQMVQMLLERSGITTDSLEPNQTYSDLPMLVDRCFGKIKEESNSSSDTSAVAEVFESMQSLLYVRDQELMLCEKLLEEDMLVRSEVINLSGELKVASLGLSALKEEKDTLQKDLERTEEKSTLLREKLSLAVKKGKGLVQDRENLKLLVEEKKSEVENFKLELQKQESMVTDCRDEINRLSADLEQIPKLEADLVAAKDQRNQLEQFLLESNNMLQRVIESIDGIVLPVASDFEEPVQKVNWLAGYLNECQQAKIHMEQDLEKVKEETNILASELADAQRAMKSLEDALSAAENQISQLSEEKGEMEVAKRTVELDLQKAIDETTSQTSKFTEACATIKSLEDSLSLAENNISMITKEREEVQLSRASTEAELEKLREDITIQTSKLTETFRTVKALEDALSQAETNVSLLTEQNNRFHDDRSNLESELKKLTEEADSQTGKLTSALSTIKSLEDALSKASNDIAVLEDEKKISQQKISMLNSRLNTCMDELAGTSGSLESRSVELMHHLGDLQIIMKNESLWSMVRQHFEKQFESLKNIDLILNDITVHFVDTDLEALKSYYVMEEDSCVTKPFPYDLGNRVNSGIVNGQVNAVDVDNIPLYFKETVEEFQLRNKNLAENFEGFSIFTNEFIEALLRKLRISRDAVSSVFENMGSLKEKMKNLELLKEEHEKTIAKLEQDQKLLLSACTNATRELQFEVTNKLLELSSIPELEKLNCNPIQEASEAGAEDTEHQQRLDEREYAMIAEKLSLAATRVQNLAKLFESSSNVAAATIEDLQNKLVESTATSEKATEKCVILKNRVLEFETDVEALQNSCKELRLKIKDYQAMEEKLMEQEAELSALQEAEEPLMSASQLKTLFEKISIIEIPFEDSEVGGLEPHSSVDVKKLFYIVDSISDLHNQLNTLSHDKEELQSTLSTRILEIENLKEETETQFRNRQDYEKMKNEMSELFFGLEKLIDIFGDHGFVGEQKSSGEQGLLPALEKQIMALLLEVDNSNSHAEELDIKLLGSQKIIDELSSKIKVLEDSLQSRAAKPEIVQERSIFEAPPPAVSEISEIEDAGPVGKNGISPVASSTASAAHVRTMRKGSTDHLALNVDLESGSLINHEETDEDKGHVFKSLNTSGLIPKQGKSAADRIDSIWVSGGRVLMSRPRARLGLIAYWLFLHIWLLGTIL >Potri.010G052600.6.v4.1 pep chromosome:Pop_tri_v4:10:8419625:8426661:-1 gene:Potri.010G052600.v4.1 transcript:Potri.010G052600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052600.v4.1 MVAGNDTSLLREMLSECSQFVKVALDERLRTEGVIRELNQQIEDLTVKAQAEEGVEVVADRLLASLGVVVNPGELLDYSVMGKLAHVERSGSLLVEHYSWMLYEIDQLRACLTEGGFNFEGQELFGPALVFAAARGELVELKRKEVEMVEKLGHLEDESRKLVEQVEKEKMMAEAANVELGRMKVELEQEKNRFANTKDKLSMAVTKGKALVQQRDSLKHALAEKTSELDKCLAELQEKSSAIETAELFKGELVKCENLVASLQETLAQRNAVSESLEVVFSQIDVHEGLQTMDVVEKLKWLVNEVTSLQGMLSEKNAIFENFEEILSHNNVPKEETDLIEKLRWHVNLTSSLEETLSQRNKIIDYLEESFSQISVPVELQSVDTVEKLKWLVEERNALKDNLLEFHKLKDALSLIDLPETASSSDLKTRIGWLKESVNQSKGEINELREELARTKTSAQNEIDQLSALLSAELQEKEYIKMELDVLERNFEEVHQASSEKHQMVQMLLERSGITTDSLEPNQTYSDLPMLVDRCFGKIKEESNSSSDTSAVAEVFESMQSLLYVRDQELMLCEKLLEEDMLVRSEVINLSGELKVASLGLSALKEEKDTLQKDLERTEEKSTLLREKLSLAVKKGKGLVQDRENLKLLVEEKKSEVENFKLELQKQESMVTDCRDEINRLSADLEQIPKLEADLVAAKDQRNQLEQFLLESNNMLQRVIESIDGIVLPVASDFEEPVQKVNWLAGYLNECQQAKIHMEQDLEKVKEETNILASELADAQRAMKSLEDALSAAENQISQLSEEKGEMEVAKRTVELDLQKAIDETTSQTSKFTEACATIKSLEDSLSLAENNISMITKEREEVQLSRASTEAELEKLREDITIQTSKLTETFRTVKALEDALSQAETNVSLLTEQNNRFHDDRSNLESELKKLTEEADSQTGKLTSALSTIKSLEDALSKASNDIAVLEDEKKISQQKISMLNSRLNTCMDELAGTSGSLESRSVELMHHLGDLQIIMKNESLWSMVRQHFEKQFESLKNIDLILNDITVHFVDTDLEALKSYYVMEEDSCVTKPFPYDLGNRVNSGIVNGQVNAVDVDNIPLYFKETVEEFQLRNKNLAENFEGFSIFTNEFIEALLRKLRISRDAVSSVFENMGSLKEKMKNLELLKEEHEKTIAKLEQDQKLLLSACTNATRELQFEVTNKLLELSSIPELEKLNCNPIQEASEAGAEDTEHQQRLDEREYAMIAEKLSLAATRVQNLAKLFESSSNVAAATIEDLQNKLVESTATSEKATEKCVILKNRVLEFETDVEALQNSCKELRLKIKDYQAMEEKLMEQEAELSALQEAEEPLMSASQLKTLFEKISIIEIPFEDSEVGGLEPHSSVDVKKLFYIVDSISDLHNQLNTLSHDKEELQSTLSTRILEIENLKEETETQFRNRQDYEKMKNEMSELFFGLEKLIDIFGDHGFVGEQKSSGEQGLLPALEKQIMALLLEVDNSNSHAEELDIKLLGSQKIIDELSSKIKVLEDSLQSRAAKPEIVQERSIFEAPPPAVSEISEIEDAGPVGKNGISPVASSTASAAHVRTMRKGSTDHLALNVDLESGSLINHEETDEDKGHVFKSLNTSGLIPKQGKSAADRIDSIWVSGGRVLMSRPRARLGLIAYWLFLHIWLLGTIL >Potri.010G052600.5.v4.1 pep chromosome:Pop_tri_v4:10:8419676:8426750:-1 gene:Potri.010G052600.v4.1 transcript:Potri.010G052600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052600.v4.1 MVAGNDTSLLREMLSECSQFVKVALDERLRTEGVIRELNQQIEDLTVKAQAEEGVEVVADRLLASLGVVVNPGELLDYSVMGKLAHVERSGSLLVEHYSWMLYEIDQLRACLTEGGFNFEGQELFGPALVFAAARGELVELKRKEVEMVEKLGHLEDESRKLVEQVEKEKMMAEAANVELGRMKVELEQEKNRFANTKDKLSMAVTKGKALVQQRDSLKHALAEKTSELDKCLAELQEKSSAIETAELFKGELVKCENLVASLQETLAQRNAVSESLEVVFSQIDVHEGLQTMDVVEKLKWLVNEVTSLQGMLSEKNAIFENFEEILSHNNVPKEETDLIEKLRWHVNLTSSLEETLSQRNKIIDYLEESFSQISVPVELQSVDTVEKLKWLVEERNALKDNLLEFHKLKDALSLIDLPETASSSDLKTRIGWLKESVNQSKGEINELREELARTKTSAQNEIDQLSALLSAELQEKEYIKMELDVLERNFEEVHQASSEKHQMVQMLLERSGITTDSLEPNQTYSDLPMLVDRCFGKIKEESNSSSDTSAVAEVFESMQSLLYVRDQELMLCEKLLEEDMLVRSEVINLSGELKVASLGLSALKEEKDTLQKDLERTEEKSTLLREKLSLAVKKGKGLVQDRENLKLLVEEKKSEVENFKLELQKQESMVTDCRDEINRLSADLEQIPKLEADLVAAKDQRNQLEQFLLESNNMLQRVIESIDGIVLPVASDFEEPVQKVNWLAGYLNECQQAKIHMEQDLEKVKEETNILASELADAQRAMKSLEDALSAAENQISQLSEEKGEMEVAKRTVELDLQKAIDETTSQTSKFTEACATIKSLEDSLSLAENNISMITKEREEVQLSRASTEAELEKLREDITIQTSKLTETFRTVKALEDALSQAETNVSLLTEQNNRFHDDRSNLESELKKLTEEADSQTGKLTSALSTIKSLEDALSKASNDIAVLEDEKKISQQKISMLNSRLNTCMDELAGTSGSLESRSVELMHHLGDLQIIMKNESLWSMVRQHFEKQFESLKNIDLILNDITVHFVDTDLEALKSYYVMEEDSCVTKPFPYDLGNRVNSGIVNGQVNAVDVDNIPLYFKETVEEFQLRNKNLAENFEGFSIFTNEFIEALLRKLRISRDAVSSVFENMGSLKEKMKNLELLKEEHEKTIAKLEQDQKLLLSACTNATRELQFEVTNKLLELSSIPELEKLNCNPIQEASEAGAEDTEHQQRLDEREYAMIAEKLSLAATRVQNLAKLFESSSNVAAATIEDLQNKLVESTATSEKATEKCVILKNRVLEFETDVEALQNSCKELRLKIKDYQAMEEKLMEQEAELSALQGNLLVKDQEAEEPLMSASQLKTLFEKISIIEIPFEDSEVGGLEPHSSVDVKKLFYIVDSISDLHNQLNTLSHDKEELQSTLSTRILEIENLKEETETQFRNRQDYEKMKNEMSELFFGLEKLIDIFGDHGFVGEQKSSGEQGLLPALEKQIMALLLEVDNSNSHAEELDIKLLGSQKIIDELSSKIKVLEDSLQSRAAKPEIVQERSIFEAPPPAVSEISEIEDAGPVGKNGISPVASSTASAAHVRTMRKGSTDHLALNVDLESGSLINHEETDEDKGHVFKSLNTSGLIPKQGKSAADRIDSIWVSGGRVLMSRPRARLGLIAYWLFLHIWLLGTIL >Potri.010G052600.1.v4.1 pep chromosome:Pop_tri_v4:10:8419624:8427402:-1 gene:Potri.010G052600.v4.1 transcript:Potri.010G052600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052600.v4.1 MSESKEQNGVTAAEDEESNGVDAAHTNQEGGEMRHVESKEDMFEDATDDIEENQFQEIVDDATLLQEHATSSPSIDELKAILDKTLQEKQTLSTELKEERESIAREVSILCHELKGLADKQSLSADYGNQEEMVAGNDTSLLREMLSECSQFVKVALDERLRTEGVIRELNQQIEDLTVKAQAEEGVEVVADRLLASLGVVVNPGELLDYSVMGKLAHVERSGSLLVEHYSWMLYEIDQLRACLTEGGFNFEGQELFGPALVFAAARGELVELKRKEVEMVEKLGHLEDESRKLVEQVEKEKMMAEAANVELGRMKVELEQEKNRFANTKDKLSMAVTKGKALVQQRDSLKHALAEKTSELDKCLAELQEKSSAIETAELFKGELVKCENLVASLQETLAQRNAVSESLEVVFSQIDVHEGLQTMDVVEKLKWLVNEVTSLQGMLSEKNAIFENFEEILSHNNVPKEETDLIEKLRWHVNLTSSLEETLSQRNKIIDYLEESFSQISVPVELQSVDTVEKLKWLVEERNALKDNLLEFHKLKDALSLIDLPETASSSDLKTRIGWLKESVNQSKGEINELREELARTKTSAQNEIDQLSALLSAELQEKEYIKMELDVLERNFEEVHQASSEKHQMVQMLLERSGITTDSLEPNQTYSDLPMLVDRCFGKIKEESNSSSDTSAVAEVFESMQSLLYVRDQELMLCEKLLEEDMLVRSEVINLSGELKVASLGLSALKEEKDTLQKDLERTEEKSTLLREKLSLAVKKGKGLVQDRENLKLLVEEKKSEVENFKLELQKQESMVTDCRDEINRLSADLEQIPKLEADLVAAKDQRNQLEQFLLESNNMLQRVIESIDGIVLPVASDFEEPVQKVNWLAGYLNECQQAKIHMEQDLEKVKEETNILASELADAQRAMKSLEDALSAAENQISQLSEEKGEMEVAKRTVELDLQKAIDETTSQTSKFTEACATIKSLEDSLSLAENNISMITKEREEVQLSRASTEAELEKLREDITIQTSKLTETFRTVKALEDALSQAETNVSLLTEQNNRFHDDRSNLESELKKLTEEADSQTGKLTSALSTIKSLEDALSKASNDIAVLEDEKKISQQKISMLNSRLNTCMDELAGTSGSLESRSVELMHHLGDLQIIMKNESLWSMVRQHFEKQFESLKNIDLILNDITVHFVDTDLEALKSYYVMEEDSCVTKPFPYDLGNRVNSGIVNGQVNAVDVDNIPLYFKETVEEFQLRNKNLAENFEGFSIFTNEFIEALLRKLRISRDAVSSVFENMGSLKEKMKNLELLKEEHEKTIAKLEQDQKLLLSACTNATRELQFEVTNKLLELSSIPELEKLNCNPIQEASEAGAEDTEHQQRLDEREYAMIAEKLSLAATRVQNLAKLFESSSNVAAATIEDLQNKLVESTATSEKATEKCVILKNRVLEFETDVEALQNSCKELRLKIKDYQAMEEKLMEQEAELSALQGNLLVKDQEAEEPLMSASQLKTLFEKISIIEIPFEDSEVGGLEPHSSVDVKKLFYIVDSISDLHNQLNTLSHDKEELQSTLSTRILEIENLKEETETQFRNRQDYEKMKNEMSELFFGLEKLIDIFGDHGFVGEQKSSGEQGLLPALEKQIMALLLEVDNSNSHAEELDIKLLGSQKIIDELSSKIKVLEDSLQSRAAKPEIVQERSIFEAPPPAVSEISEIEDAGPVGKNGISPVASSTASAAHVRTMRKGSTDHLALNVDLESGSLINHEETDEDKGHVFKSLNTSGLIPKQGKSAADRIDSIWVSGGRVLMSRPRARLGLIAYWLFLHIWLLGTIL >Potri.012G094200.1.v4.1 pep chromosome:Pop_tri_v4:12:11857101:11861105:1 gene:Potri.012G094200.v4.1 transcript:Potri.012G094200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094200.v4.1 MATSAFKSTTKRAPIGNDKNDGPSASAHRRSRSLSRFSRPIPSNFSDDAPVPSRGRFVNTERGSGVPDMSLDDLAIQLFSSGDRGRSSGFRSGDVSDGERVVSGSQRRGRSVSRQDSESNSNSKSYSGGAKGNSDGNNSRRRRSVSVVRYQISDSESDLDHSQNSRNHANPRRHSNGNNQVPLSNKTLASNHRPGLRRSLSQKDLKYHDGYSSHSSSLTDDEGKDASSNKHGFERTIRTVYAQKKAEHPTGEDMNSGLYEAMRKELRHAVEEIKMELEHSRGKTNADCLQSGKSNVFQAGSTIRRNHAAKSEQSEKRKQDLLAKLLLEEQHGRDISKIVKELLSDPKNTVVEKPSRARKRSNDRSRMSKRLTEEAEKYFEDFITNVEDTDISSLDGERSDTSSTLGGITKTETFRSPVISKSRPVEMDGVALPWLQWETSNDASPLSIKNKELPSTPKSNLWDAVQEATPVQDLSMHSISSHGSWSPGLADGHSTNINELEGTKFGELESYKSQILSGRTRSQFDVDEYLKRPSDEDFLFERWKQQQRIHSGGLLLCNQMFF >Potri.016G114200.1.v4.1 pep chromosome:Pop_tri_v4:16:11803273:11805884:-1 gene:Potri.016G114200.v4.1 transcript:Potri.016G114200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114200.v4.1 MMRKVSHKMFDHQQLCRARTPILSAIAFTATIFFVYANGIISTIALQSSSASTKEISGELHIRITERQIMSTVIKQPLRSTQEEIKEVDRSENQSSVIPPFSLTVEERIEWFRKKVPEFEILKSDNLTKEFLGRVLEFFNNECDVRFFMTWISPVESFGRREFLALESLFKVHPHGCLLILSRDLDSIQGYRILKPLLDRKFKVAAITPDLSFLFKNTPAETWFEEIKSGNKDPGEIPLAQNLSNLIRLAVLYKFGGIYLDTDFIVLKSFADLRNAIGAQSIDVSKSWTRLNNAVLVFDMNHPLLLKFIEEFASTFDGNKWGHNGPYLVSRVVQKVAGRPGYNFTVLPPMAFYPVGWNRIGGFFKKPVNKVESRWVNAKLLQLSGETYGLHLWNRQSSKFSIEEGSIMGRLISDHCVICEYKYSS >Potri.011G152700.4.v4.1 pep chromosome:Pop_tri_v4:11:17971947:17977603:1 gene:Potri.011G152700.v4.1 transcript:Potri.011G152700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152700.v4.1 MVVGDNASVDLGNISVDSNVSSSSNDQDHHNHNNNAPIQPLYMKVAQVGHHQAHHHDHHHQQRSDGGESYKREIRELQELFSKLNPMAAEFVPPSHSNNNNFGRVNGLSGFNGVNGGFYGNNHISSSSSTTTTNNNNLNLVVNGNGFDRSGQVNGNAARRKKNYGQVKRRISSRTSMAQQEEIVRRTVYVSDIDQQVTEEQLAALFINCGQVVDCRICGDPKSVLRFAFIEFTDEEGAQAALSLSGTMLGYYPVKVLPSKTAIAPVNPTFLPRNDDEREMCARTIYCTNIDRNLTQANIKLFFESLCGEVYHLRLLGDHHHPTRIAFVEFVMAESAIAALNCSGVVLGSLPIRVSPSKTPVRPRGPRITVL >Potri.001G442900.11.v4.1 pep chromosome:Pop_tri_v4:1:46762684:46772676:-1 gene:Potri.001G442900.v4.1 transcript:Potri.001G442900.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442900.v4.1 MYSLPSSLSGRQPNNSNTIQNLSSVLNNPHSFKSSSDPSAWIGWWSVAPPDFTPVITKHPQPDISRSDFLPYLSSISDTHSRFEDIKNHTKNNEQESNLDLDLDAVGQGEALVACLREVPSLYFKEDFALEDGPTFHAACPFTNGVANLSLQEKLTQYLDVVEVHLVKEISLRSNSFFEAQGQLEDLNGKIVEGCERIRELKETIRVLDKNLVESAMEIHELNVSRGNLVALQNKLSLILYVNQALSTIKLLVASADCAGALDVTDDLQQLLNGDELTGLHCFRHLRDNVAAAIDSINSILSAEFMQASIHVARDKDLLLLSKAKTRDSMYMNGKDEEQVKLDDEETSNFRDRLLPLIVGLLRTAKLPSVLRIYRDTLTASMKTTIKNAVAELLPAFSAQSLESERTSAERTADTDGGGLSLASKLRSLSSENFVLLLSAIFNIVQAHLVQAAEVKKAIEWIMCNVDGHYAADSVAAAISVGAATAETAYESGSQGSSLLPFSPQRSTSKLTSSQLKSNDAASPSNISRNFRADVLRENTEAVFAACDAAHGRWAKLLGVRAVLHPKLRLVEFLSIYNITQEFITATEKIGGRLGYSIRGTMQSQAKGFVDFQHEMRMTKIKAVLDQETWVEVDVPDEFQAIVASLFYSEPLISEALNDTQVEMTTSYGEVGTSNDGSFVIDNEAQNAAQKLVRMNSTEVSLQNSVQKKSTPSTEPTESNKVMTATSSAQHNNHKVKERGKSTSQTLSCGGVGYHMVNCGLILLKMLSEYMDMNNYLPTLSSEVVHRVVEILKFFNTRTCQLILGAGAMQVSGLKSITSKHLALASQVISFVYAIIPEIRRVLFLKVPEARKALLLSEIDRVAQDYKVHQEEILTKLVQIMRERVLYHLRKLPPVVESWNRPVDTDSQPSLIAKEIVKEVNYLQRILSRTLHEADIQAIFRQVVIDLHKETSEAFSRFEISSPQAKGRLHRDITLILGCIRSLPSGSSSESGTLNWGQLDEFFLQRFGSEAG >Potri.001G442900.12.v4.1 pep chromosome:Pop_tri_v4:1:46762689:46772674:-1 gene:Potri.001G442900.v4.1 transcript:Potri.001G442900.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G442900.v4.1 MYSLPSSLSGRQPNNSNTIQNLSSVLNNPHSFKSSSDPSAWIGWWSVAPPDFTPVITKHPQPDISRSDFLPYLSSISDTHSRFEDIKNHTKNNEQESNLDLDLDAVGQGEALVACLREVPSLYFKEDFALEDGPTFHAACPFTNGVANLSLQEKLTQYLDVVEVHLVKEISLRSNSFFEAQGQLEDLNGKIVEGCERIRELKETIRVLDKNLVESAMEIHELNVSRGNLVALQNKLSLILYVNQALSTIKLLVASADCAGALDVTDDLQQLLNGDELTGLHCFRHLRDNVAAAIDSINSILSAEFMQASIHVARDKDLLLLSKAKTRDSMYMNGKDEEVKLDDEETSNFRDRLLPLIVGLLRTAKLPSVLRIYRDTLTASMKTTIKNAVAELLPAFSAQSLESERTSAERTADTDGGGLSLASKLRSLSSENFVLLLSAIFNIVQAHLVQAAEVKKAIEWIMCNVDGHYAADSVAAAISVGAATAETAYESGSQGSSLLPFSPQRSTSKLTSSQLKSNDAASPSNISRNFRADVLRENTEAVFAACDAAHGRWAKLLGVRAVLHPKLRLVEFLSIYNITQEFITATEKIGGRLGYSIRGTMQSQAKGFVDFQHEMRMTKIKAVLDQETWVEVDVPDEFQAIVASLFYSEPLISEALNDTQVEMTTSYGEVGTSNDGSFVIDNEAQNAAQKLVRMNSTEVSLQNSVQKKSTPSTEPTESNKVMTATSSAQHNNHKVKERGKSTSQTLSCGGVGYHMVNCGLILLKMLSEYMDMNNYLPTLSSEVVHRVVEILKFFNTRTCQLILGAGAMQVSGLKSITSKHLALASQVISFVYAIIPEIRRVLFLKVPEARKALLLSEIDRVAQDYKVHQEEILTKLVQIMRERVLYHLRKLPPVVESWNRPVDTDSQPSLIAKEIVKEVNYLQRILSRTLHEADIQAIFRQVVIDLHKETSEAFSRFEISSPQAKGRLHRDITLILGCIRSLPSGSSSESGTLNWGQLDEFFLQRFGSEAG >Potri.002G252200.2.v4.1 pep chromosome:Pop_tri_v4:2:24137814:24138481:1 gene:Potri.002G252200.v4.1 transcript:Potri.002G252200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G252200.v4.1 MARCYCILVLIALVIAQASARDVPIDAGLDDQKNFIAYGGVGGFAGVGGLPNLGGVAGGLGGLGGVGGLGGDSGSGGALGGGVGGGVGVGGGLGGGSGDCADGDAGSLFHP >Potri.018G126000.3.v4.1 pep chromosome:Pop_tri_v4:18:13728204:13730279:1 gene:Potri.018G126000.v4.1 transcript:Potri.018G126000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126000.v4.1 MDSTKISALLFICMIFISSATSILGCHSCGNPKNKHPKTPKGSITLPPIVKPPVTLPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTVPPVITNPPKGKPCPPPPSSKDTCPIDTLKLGNCVDLLGGLVHVGLGDPVVNQCCPVLKGLVELEAAVCLCTTLKIKALNLNIYVPLALQLLVTCGKTPPPGYTCSL >Potri.018G126000.2.v4.1 pep chromosome:Pop_tri_v4:18:13728068:13730352:1 gene:Potri.018G126000.v4.1 transcript:Potri.018G126000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126000.v4.1 MDSTKISALLFICMIFISSATSILGCHSCGNPKNKHPKTPKGSITLPPIVKPPVTLPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTVPPVITNPPKGKPCPPPPSSKDTCPIDTLKLGNCVDLLGGLVHVGLGDPVVNQCCPVLKGLVELEAAVCLCTTLKIKALNLNIYVPLALQLLVTCGKTPPPGYTCSL >Potri.018G126000.4.v4.1 pep chromosome:Pop_tri_v4:18:13728216:13729395:1 gene:Potri.018G126000.v4.1 transcript:Potri.018G126000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G126000.v4.1 MDSTKISALLFICMIFISSATSILGCHSCGNPKNKHPKTPKGSITLPPIVKPPVTLPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPVPPIVKPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTLPPLPIPPVLPVPPVTVPPVITNPPKGKPCPPPPSSKDTCPIDTLKLGNCVDLLGGLVHVGLGDPVVNQCCPVLKGLVELEAAVCLCTTLKIKALNLNIYVPLALQLLVTCGKTPPPGYTCSL >Potri.005G027100.2.v4.1 pep chromosome:Pop_tri_v4:5:1715704:1717004:-1 gene:Potri.005G027100.v4.1 transcript:Potri.005G027100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027100.v4.1 MALQHHFLACKNASIQKIYMTQSSGDRKESFPEVPRPPAEQFAPLASTFQRRLLVGVGSASLVAVGANFGGITSFLLGLSPESGRNVKLDVLYPIGGYSRCIGTNEGFEFIYPATWVGDQRLLYRAAEKTEYERSLDPPPLNNGKSSDGRRKNVNEPVVAFGPPGSSGELNVSVIVSQVPPDFSIEAFGGPKEVGEAVVRTITGSRLDVKGTLTESSLREDSEES >Potri.011G168400.2.v4.1 pep chromosome:Pop_tri_v4:11:19159297:19161329:1 gene:Potri.011G168400.v4.1 transcript:Potri.011G168400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G168400.v4.1 MAEIRSRILFIGGTGYIGKFIVEASVKAGHPTFVLVRESTLSSPAKSTVINNFKNLGVNFLLGDLSDHESLVKAIKQVDVVISTIAHDQLYNQDKIIAAIKEAGNIKRFFPSEFGNDVDIAHAVEPAKTGFATKAKIRRAIEAEGIPYTYVASNSFSGFFLPALNHSRSGGLWLYLHAHVQASTSSIGSNAETTTLKQPGAPASPRDKVVILGDGDTKVVFNKEDDIATYTIKAVDDPRAVNKTLFIKPPSNIISSNDLVSLWEKKIGKKIERIYVHEEQLLKNIQEASGPRKVILSICHSLFVKGDQTNFEIEPSFGVEASELYPDVKYTTVAEYLNQLF >Potri.007G067800.1.v4.1 pep chromosome:Pop_tri_v4:7:8746440:8747754:-1 gene:Potri.007G067800.v4.1 transcript:Potri.007G067800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067800.v4.1 MKQLIRRLSRVADSSQYSLLRPNSQSTPSTTNARRRSGGSRSAHRRGADKPVPEGHVPVYVGDEMERFTVSAELLNRPVFIWLLNKSAQEYGYEQRGVLRIPCHVLVFERVIESLRLGLESSDLEDLLGSLFTSEDYL >Potri.011G064500.1.v4.1 pep chromosome:Pop_tri_v4:11:5506360:5510273:1 gene:Potri.011G064500.v4.1 transcript:Potri.011G064500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G064500.v4.1 MVAGKVRLAMGLQKSPANKTENRNNSPPPKPPLPSPSSGKASSQKGGFSRSFGVYFPRSSAQVQPRPPDVTEVLKLVEELRERESLLKTELLEYKLLKESVAIIPVLETEITNKILEIEKAVKKIESLELENECLKADLSEVRGRFEEERKEGERKVKELEAEIQELKKAMSDRENEIEFSSSQRFQGLMEVTTKSNLIRSLKKGVKFTDIVSSSSQIQNVDHHSKRMEENVEIEKPRHSRCNSEELTESTLANLRSRVPRVPKPPPKRSLSSPATSSPSVSPTGSDQSVSGPPVPPPPPPPNPPPVAKKVAPPPPPPPPKGRRVGAEKVRRVPEVVEFYHSLMRKNSRRECGGGMAETLPASANARDMIGEIENRSTHLLAIKTDVEIQGDFIRFLIKEVENAAFTGIEDVVPFVKWLDDELSYLVDERAVLKHFDWPEQKADALREAAFGYCDLKKVESEALLFRDDPRQPCGPALKKMQALLEKLERGVYNLSKMRESATMRYKGFQIPTDWMLETGIVSQMKLASVKLAMKYMKRVSAELETGGGGGPEEEELIVQGVRYAFRVHQFAGGFDVETMRAFQELREKAGSCHVQCQNQQQQKLVCRSSTPC >Potri.014G141600.1.v4.1 pep chromosome:Pop_tri_v4:14:9616103:9617123:1 gene:Potri.014G141600.v4.1 transcript:Potri.014G141600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141600.v4.1 MISQSKPIEIMRKRPTIQSSPSKEEKQRFSNSIRALRPRVYITDTSKFKTLVQELTGNGKGSSSCISSPPEGSSPQAIQKAPFIGIEDQEYDHRESSLETISVEGYVHNSFDLCKVFQTDHHQVHGYNQQAYMADVSAFGDHMSLPMDQQEDSLAYHDLESWLLSSEEPCSSSYNGYYAQTQQQVNIYDYTSYLG >Potri.008G040400.2.v4.1 pep chromosome:Pop_tri_v4:8:2253403:2254872:-1 gene:Potri.008G040400.v4.1 transcript:Potri.008G040400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040400.v4.1 MAAAFGGWSGLEGSSDWEKVPATIPVMIFALVNHDPAPVLCAYLGGDLKRLRASVLLGSIVPLLALLVWGAIALGLSDQAGHVIDPV >Potri.008G040400.3.v4.1 pep chromosome:Pop_tri_v4:8:2253403:2254741:-1 gene:Potri.008G040400.v4.1 transcript:Potri.008G040400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040400.v4.1 MAAAFGGWSGLEGSSDWEKVPATIPVMIFALVNHDPAPVLCAYLGGDLKRLRASVLLGSIVPLLALLVWGAIALGLSDQAGHVIDPV >Potri.008G040400.4.v4.1 pep chromosome:Pop_tri_v4:8:2253583:2253929:-1 gene:Potri.008G040400.v4.1 transcript:Potri.008G040400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G040400.v4.1 MAAAFGGWSGLEGSSDWEKVPATIPVMIFALVNHDPAPVLCAYLGGDLKRLRASVLLGSIVPLLALLVWGAIALGLSDQAGHVIDPV >Potri.013G129100.3.v4.1 pep chromosome:Pop_tri_v4:13:13603639:13609741:-1 gene:Potri.013G129100.v4.1 transcript:Potri.013G129100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G129100.v4.1 MGPILNSIRCMPSTNLFIRGFASGVPFIDACLFRLKQKKESLSNKKIWTRSSTILPLKQSPSLIPLNDLVNHYKRSSHSHLKTVPIFLKVSNLGSFDDLIEHLVSSYKHSCCPKDANLFHLNVLKHGFDSDLFLCNTLINVYVRIGDCVSARKLFDEMPDRNGVTWACLISGYTQNGMPEDACGVLKEMIFEGFLPNRFAFGSAIRACQESMLCGLQLGMQIHGLILKSPYANDASLCNVLITMYGKYLGYIDYARSVFDEIEIRNSISWNSIVSVYSQRGDAASCFELFSSMQMADSGLSLKPNEYTFGSLITAACSSIDSGLSLLGQILARIKKSGLLANLYVGSALVGGFSRLGSFDYARKIFEQMTARNAVSMNGLMVGLVRQKCGEEAVEVFKETRHLVDINLDSYVILLSACAEFALLDEGRRKGREVHGYAIRTGLNDAKVAVGNGLINMYAKCGDIDHARSVFGLMVDKDSVSWNSMITGLDQNKCFEDAVKSYNSMRKTGLMPSNFALISALSSCASLGCILLGQQTHGEGIKLGLDMDVSVSNTLLALYAETSRLAECQKVFSWMLDRDQVSWNIVIGALADSGASVSEAIEVFLEMMRAGWSPNRVTFINLLATVSSLSTSKLSHQIHALILKYNVKDDNAIENALLACYGKSGEMENCEEIFSRMSERRDEVSWNSMISGYIHNELLCKAMDLVWLMMQRGQRLDCFTFATVLSACATVATLECGMEVHACAIRACLESDVVIGSALVDMYSKCGRIDYASRFFNLMPVRNLYSWNSMISGYARHGHGDNALRLFTRMKLSGQLPDHITFVGVLSACSHIGLVDEGFEYFKSMTEVYGLVPRVEHYSCMVDLLGRAGELDKIENFINKMPIKPNILIWRTVLGACCRGNGRKTELGRRAAEMLFNMDPQNAVNYVLLSNMYASGGKWEDMARTRRAMREAAVKKEAGCSWVTMKDGVHVFVAGDNSHPEKGLIYAKLKELDKKIRDAGYVPQIKFALYDLEPENKEELLSYHSEKLAVAFVLTRNSGLPIRIMKNLRVCGDCHSAFKYISKVVDRSIVLRDSNRFHHFEDGKCSCLDYW >Potri.013G129100.2.v4.1 pep chromosome:Pop_tri_v4:13:13605478:13608646:-1 gene:Potri.013G129100.v4.1 transcript:Potri.013G129100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G129100.v4.1 MQLLVLNYSQACKWQIQILARIKKSGLLANLYVGSALVGGFSRLGSFDYARKIFEQMTARNAVSMNGLMVGLVRQKCGEEAVEVFKETRHLVDINLDSYVILLSACAEFALLDEGRRKGREVHGYAIRTGLNDAKVAVGNGLINMYAKCGDIDHARSVFGLMVDKDSVSWNSMITGLDQNKCFEDAVKSYNSMRKTGLMPSNFALISALSSCASLGCILLGQQTHGEGIKLGLDMDVSVSNTLLALYAETSRLAECQKVFSWMLDRDQVSWNIVIGALADSGASVSEAIEVFLEMMRAGWSPNRVTFINLLATVSSLSTSKLSHQIHALILKYNVKDDNAIENALLACYGKSGEMENCEEIFSRMSERRDEVSWNSMISGYIHNELLCKAMDLVWLMMQRGQRLDCFTFATVLSACATVATLECGMEVHACAIRACLESDVVIGSALVDMYSKCGRIDYASRFFNLMPVRNLYSWNSMISGYARHGHGDNALRLFTRMKLSGQLPDHITFVGVLSACSHIGLVDEGFEYFKSMTEVYGLVPRVEHYSCMVDLLGRAGELDKIENFINKMPIKPNILIWRTVLGACCRGNGRKTELGRRAAEMLFNMDPQNAVNYVLLSNMYASGGKWEDMARTRRAMREAAVKKEAGCSWVTMKDGVHVFVAGDNSHPEKGLIYAKLKELDKKIRDAGYVPQIKFALYDLEPENKEELLSYHSEKLAVAFVLTRNSGLPIRIMKNLRVCGDCHSAFKYISKVVDRSIVLRDSNRFHHFEDGKCSCLDYW >Potri.013G129100.4.v4.1 pep chromosome:Pop_tri_v4:13:13605471:13609769:-1 gene:Potri.013G129100.v4.1 transcript:Potri.013G129100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G129100.v4.1 MGPILNSIRCMPSTNLFIRGFASGVPFIDACLFRLKQKKESLSNKKIWTRSSTILPLKQSPSLIPLNDLVNHYKRSSHSHLKTVPIFLKVSNLGSFDDLIEHLVSSYKHSCCPKDANLFHLNVLKHGFDSDLFLCNTLINVYVRIGDCVSARKLFDEMPDRNGVTWACLISGYTQNGMPEDACGVLKEMIFEGFLPNRFAFGSAIRACQESMLCGLQLGMQIHGLILKSPYANDASLCNVLITMYGKYLGYIDYARSVFDEIEIRNSISWNSIVSVYSQRGDAASCFELFSSMQMADSGLSLKPNEYTFGSLITAACSSIDSGLSLLGQILARIKKSGLLANLYVGSALVGGFSRLGSFDYARKIFEQMTARNAVSMNGLMVGLVRQKCGEEAVEVFKETRHLVDINLDSYVILLSACAEFALLDEGRRKGREVHGYAIRTGLNDAKVAVGNGLINMYAKCGDIDHARSVFGLMVDKDSVSWNSMITGLDQNKCFEDAVKSYNSMRKTGLMPSNFALISALSSCASLGCILLGQQTHGEGIKLGLDMDVSVSNTLLALYAETSRLAECQKVFSWMLDRDQVSWNIVIGALADSGASVSEAIEVFLEMMRAGWSPNRVTFINLLATVSSLSTSKLSHQIHALILKYNVKDDNAIENALLACYGKSGEMENCEEIFSRMSERRDEVSWNSMISGYIHNELLCKAMDLVWLMMQRGQRLDCFTFATVLSACATVATLECGMEVHACAIRACLESDVVIGSALVDMYSKCGRIDYASRFFNLMPVRNLYSWNSMISGYARHGHGDNALRLFTRMKLSGQLPDHITFVGVLSACSHIGLVDEGFEYFKSMTEVYGLVPRVEHYSCMVDLLGRAGELDKIENFINKMPIKPNILIWRTVLGACCRGNGRKTELGRRAAEMLFNMDPQNAVNYVLLSNMYASGGKWEDMARTRRAMREAAVKKEAGCSWVTMKDGVHVFVAGDNSHPEKGLIYAKLKELDKKIRDAGYVPQIKFALYDLEPENKEELLSYHSEKLAVAFVLTRNSGLPIRIMKNLRVCGDCHSAFKYISKVVDRSIVLRDSNRFHHFEDGKCSCLDYW >Potri.018G074500.4.v4.1 pep chromosome:Pop_tri_v4:18:8959213:8970967:-1 gene:Potri.018G074500.v4.1 transcript:Potri.018G074500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074500.v4.1 MWNSAENAFTRTASFREGGEDEEALRWAALERLPTYARVRRGIFKNVVGDHKEMDLSELGAQEQKLVLERLVSSVDEDPERFFDRMRKRLDAVRLEFPKIEVRVQNVTVESFVHVGSRALPTIPNFVFNMTEALLRQLRIYRGNRSKLTILDDVSGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGNDLQMSGKITYNGHSLNEFVAPRTSAYVSQHDWHVAEMTVKETLEFAGCCQGVGSKYDMLLELARREKFAGIKPDEDLDIFMKSLALGGQETNLVVEYIMKILGLDICADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTRALDGTTVISLLQPAPETYELFDDVMLLCEGQIVYQGPRDAALDFFSSMGFSCPERKNVADFLQEVISKKDQEQYWSVPNRPYRYIPPRKFVEAFHSFLVGRSLSEELAVPFDKRYNHPAALSTSKFGVKQSELFRICFNWQKLLMKRNSFIYVFKFIQLLLVALITMSVFFRSTMHRDTIYDGGLFVGSIYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLRFYPSWAYTLPSWVLSIPISLMESGLWVAVTYYVIGYDPNITRFFRQFLLYFFLHQMSIALFRVIGSLGRHMIVANTFGSFAMLVVMALGGYIISRDYIPSWWIWGFWVSPLMYAQNAASVNEFLGHSWDKRAGNNTDFSLGEALLRARSLFPESYWYWIGIAALLGYTVLFNLLFTFFLAYLNPLGKHQAVVSKEELQERDKRRKGENVVIELREYLQHSGSLNGKYFKPRGMVLPFQPLSMSFSNINYFVDVPVELKQQGIVEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGNIHISGYPKKQETFARVSGYCEQNDIHSPCLTVLESLLFSAWLRLPTVVNMDTQQAFVEEVMELVELTPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPRSCELIKYFEAVEGVPKIRHGYNPAAWMLEVTSSAEETRLGVDFAEIYRRSNLHQRNRELVENLSKPNSSAKDLNFPTKYCQSFFDQLLACLWKQNLSYWRNPQYTAVRFFYTVIISLMLGTICWRFGSKRENVQELFNAMGSMYAAVLFIGITNASAVQPVVSVERFVSYRERAAGMYSALPFAFAQVVIEFPYVFGQTIIYCTIFYSMASFDWTALKFIWYSFFMYFTMLYFTFYGMMTTALTPNHNVASIIAAPFYMLWNLFSGFMIPHKRIPIWWSWYYWANPIAWTLYGLLISQYGNDNKLMKLSEGDRLLPVKQVLQEVFGYRHDFLGVAGLMVVGFCVLFGVIFAFAIKAFNFQRR >Potri.018G074500.1.v4.1 pep chromosome:Pop_tri_v4:18:8958901:8970805:-1 gene:Potri.018G074500.v4.1 transcript:Potri.018G074500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074500.v4.1 MWNSAENAFTRTASFREGGEDEEALRWAALERLPTYARVRRGIFKNVVGDHKEMDLSELGAQEQKLVLERLVSSVDEDPERFFDRMRKRLDAVRLEFPKIEVRVQNVTVESFVHVGSRALPTIPNFVFNMTEALLRQLRIYRGNRSKLTILDDVSGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGNDLQMSGKITYNGHSLNEFVAPRTSAYVSQHDWHVAEMTVKETLEFAGCCQGVGSKYDMLLELARREKFAGIKPDEDLDIFMKSLALGGQETNLVVEYIMKILGLDICADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTRALDGTTVISLLQPAPETYELFDDVMLLCEGQIVYQGPRDAALDFFSSMGFSCPERKNVADFLQEVISKKDQEQYWSVPNRPYRYIPPRKFVEAFHSFLVGRSLSEELAVPFDKRYNHPAALSTSKFGVKQSELFRICFNWQKLLMKRNSFIYVFKFIQLLLVALITMSVFFRSTMHRDTIYDGGLFVGSIYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLRFYPSWAYTLPSWVLSIPISLMESGLWVAVTYYVIGYDPNITRFFRQFLLYFFLHQMSIALFRVIGSLGRHMIVANTFGSFAMLVVMALGGYIISRDYIPSWWIWGFWVSPLMYAQNAASVNEFLGHSWDKRAGNNTDFSLGEALLRARSLFPESYWYWIGIAALLGYTVLFNLLFTFFLAYLNPLGKHQAVVSKEELQERDKRRKGENVVIELREYLQHSGSLNGKYFKPRGMVLPFQPLSMSFSNINYFVDVPVELKQQGIVEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGNIHISGYPKKQETFARVSGYCEQNDIHSPCLTVLESLLFSAWLRLPTVVNMDTQQAFVEEVMELVELTPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPRSCELIKYFEAVEGVPKIRHGYNPAAWMLEVTSSAEETRLGVDFAEIYRRSNLHQRNRELVENLSKPNSSAKDLNFPTKYCQSFFDQLLACLWKQNLSYWRNPQYTAVRFFYTVIISLMLGTICWRFGSKRENVQELFNAMGSMYAAVLFIGITNASAVQPVVSVERFVSYRERAAGMYSALPFAFAQVVIEFPYVFGQTIIYCTIFYSMASFDWTALKFIWYSFFMYFTMLYFTFYGMMTTALTPNHNVASIIAAPFYMLWNLFSGFMIPHKRIPIWWSWYYWANPIAWTLYGLLISQYGNDNKLMKLSEGDRLLPVKQVLQEVFGYRHDFLGVAGLMVVGFCVLFGVIFAFAIKAFNFQRR >Potri.018G074500.5.v4.1 pep chromosome:Pop_tri_v4:18:8958893:8970923:-1 gene:Potri.018G074500.v4.1 transcript:Potri.018G074500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G074500.v4.1 MWNSAENAFTRTASFREGGEDEEALRWAALERLPTYARVRRGIFKNVVGDHKEMDLSELGAQEQKLVLERLVSSVDEDPERFFDRMRKRLDAVRLEFPKIEVRVQNVTVESFVHVGSRALPTIPNFVFNMTEALLRQLRIYRGNRSKLTILDDVSGIIRPSRLTLLLGPPSSGKTTLLLALAGRLGNDLQMSGKITYNGHSLNEFVAPRTSAYVSQHDWHVAEMTVKETLEFAGCCQGVGSKYDMLLELARREKFAGIKPDEDLDIFMKSLALGGQETNLVVEYIMKILGLDICADTLVGDEMLKGISGGQKKRLTTGELLVGPARVLFMDEISNGLDSSTTYQIIKYLRHSTRALDGTTVISLLQPAPETYELFDDVMLLCEGQIVYQGPRDAALDFFSSMGFSCPERKNVADFLQEVISKKDQEQYWSVPNRPYRYIPPRKFVEAFHSFLVGRSLSEELAVPFDKRYNHPAALSTSKFGVKQSELFRICFNWQKLLMKRNSFIYVFKFIQLLLVALITMSVFFRSTMHRDTIYDGGLFVGSIYFSMVIILFNGFTEVSMLVAKLPVLYKHRDLRFYPSWAYTLPSWVLSIPISLMESGLWVAVTYYVIGYDPNITRFFRQFLLYFFLHQMSIALFRVIGSLGRHMIVANTFGSFAMLVVMALGGYIISRDYIPSWWIWGFWVSPLMYAQNAASVNEFLGHSWDKRAGNNTDFSLGEALLRARSLFPESYWYWIGIAALLGYTVLFNLLFTFFLAYLNPLGKHQAVVSKEELQERDKRRKGENVVIELREYLQHSGSLNGKYFKPRGMVLPFQPLSMSFSNINYFVDVPVELKQQGIVEDRLQLLVNVTGAFRPGVLTALVGVSGAGKTTLMDVLAGRKTGGIIEGNIHISGYPKKQETFARVSGYCEQNDIHSPCLTVLESLLFSAWLRLPTVVNMDTQQAFVEEVMELVELTPLSGALVGLPGVNGLSTEQRKRLTIAVELVANPSIVFMDEPTSGLDARAAAIVMRTVRNIVNTGRTIVCTIHQPSIDIFESFDELLFMKRGGELIYAGPLGPRSCELIKYFEAVEGVPKIRHGYNPAAWMLEVTSSAEETRLGVDFAEIYRRSNLHQRNRELVENLSKPNSSAKDLNFPTKYCQSFFDQLLACLWKQNLSYWRNPQYTAVRFFYTVIISLMLGTICWRFGSKRENVQELFNAMGSMYAAVLFIGITNASAVQPVVSVERFVSYRERAAGMYSALPFAFAQVVIEFPYVFGQTIIYCTIFYSMASFDWTALKFIWYSFFMYFTMLYFTFYGMMTTALTPNHNVASIIAAPFYMLWNLFSGFMIPHKRIPIWWSWYYWANPIAWTLYGLLISQYGNDNKLMKLSEGDRLLPVKQVLQEVFGYRHDFLGVAGLMVVGFCVLFGVIFAFAIKAFNFQRR >Potri.006G019700.1.v4.1 pep chromosome:Pop_tri_v4:6:1293812:1295386:-1 gene:Potri.006G019700.v4.1 transcript:Potri.006G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019700.v4.1 MVETKVTTMVIKVVDLGCEKCHKKIKKVLCGIPQIQNQTYDKKENTVTITVVGCCPEKIKKKIYSKGGRTVKCVEIKPPPKEKPKPEKKPEPKPEKEPEPKPCTCCEKCRRGPCCHHFCMPTVPPYCHVPSECDKWGDGCCSCRSRGYYVCRSAYVCEEYYPPTCTIM >Potri.002G199700.1.v4.1 pep chromosome:Pop_tri_v4:2:16234443:16237217:1 gene:Potri.002G199700.v4.1 transcript:Potri.002G199700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G199700.v4.1 MKSQHSSNDEDEHQLSSLGYCWWRSAAKFDECVRLKSDIPNIASLTPRVRVLRELERLALISHEGLNEVRYKLQMYRSGDFWVPTGGIKKEEMDIPPVITILLVGFSGSGKSSLVNLMYSVLGRSGLIPFAQTSSGCASKYTTMYMEEHNVMRSLQGGFCVYDSRGFSYGKIGDALEELSSWMSDGVHHNQLCLRSGDDVLLEDDAETAGLRPSSKFVQRTVNFSMVVVNIAEVYKALKASDSKPLEATRELFHSPGLRNCNENPMLILTHGDLLTTEQRIDIRIKLCERLGISETNGVYDIVCLTEYGFLAEESDPVTAYAVTEAVYRALLIADRGHFPKKNLQDWAVFLFSWLMCLTGALFAFLADLCSKLGRRDKLKH >Potri.004G110630.1.v4.1 pep chromosome:Pop_tri_v4:4:9959544:9961789:-1 gene:Potri.004G110630.v4.1 transcript:Potri.004G110630.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110630.v4.1 MGAGGFVAGDVKNYPGKVTRHVVNACVLGAMGGLIFGYDLGISGGVTSMAPFLNKFFPDVYRKEALDTSTNQYCKFNDMGLTLFTSSLYLAALIASFGASYITRTWGRKRTMLLGGIIFFIGAALNAGAVDLSMLIAGRILLGVGVGFSTQSVPLYVSEMAPQKHRGAFNIVFQLAITIGIFIANLVNYLTPKIAGNQAWRYSLGGATIPAALICLSALKLDDTPNSLLEQGKAEKAREIHRKIRGLNDKEIEAEFQDLVTASEAAKQVEHPWTRILKRQYRPQLTMAVAIPFFQQLTGMNVVMFYAPVLLQSIGFENNASLLSTVITGAVNILATGVSIYGSDKSGRRSLFLSGGAVMFVFQVALAVLIGSKFGTSGDVIELPKWYAGIVVACICIFVSAFAWSWGPLGWLVPSEIFPLEIRSAGQSITVAVNMLFTFFIAQLFLAMLCHFKFGLFIFFAIFVAIMSTFIFFFLPETMNIPIEEMSRVWKQHWYWRRFMLDEDDDRRASDLMV >Potri.003G077700.2.v4.1 pep chromosome:Pop_tri_v4:3:10527222:10528686:-1 gene:Potri.003G077700.v4.1 transcript:Potri.003G077700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077700.v4.1 MNPSSSKSKRKQPQQVQQEPGTGLRFLGVRRRPWGRYAAEIRDPSTKERHWLGTFDTAEEAALAYDRAARSMRGPRARTNFVYSDMPAGSSVTSIISPDDQQSLQQQQLQQNSDHNSNLSSLFFNARPPSHDLQPDSTPIFNQDFTSQCHLSDGFSSMTSGGDSWCCSSTRTDNQQLQHVNDYNVLPHEFPSDISHDSGYSMGQCGLTDSSSSRLMGFEDQTAMTTGFDSVGGGSGSYFGFDSGEYVHSPLFSRMPPVSDMAPDGFDLSSSAYFF >Potri.006G057700.1.v4.1 pep chromosome:Pop_tri_v4:6:4087449:4090119:1 gene:Potri.006G057700.v4.1 transcript:Potri.006G057700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057700.v4.1 MSNYVDYNQKIDYVFKIVLIGDSAVGKSQFLARFARNEFNADSKATIGVEFQTKTLAMDNKTVKAQIWDTAGQERYRAVTSAYYRGAVGAMLVYDMTKRQSFDHLARWLEELRGHADKSIIIMLIGNKCDLGSLRAVPTEDAEEFAQRENLFFMETSALEATNVETAFWTVLTEIYRIISKKTLAGNDKSDGNPGVFKGTRILVPSQAQNSEKKGCCM >Potri.005G074200.2.v4.1 pep chromosome:Pop_tri_v4:5:4955560:4963871:1 gene:Potri.005G074200.v4.1 transcript:Potri.005G074200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074200.v4.1 MDRAPGLMSLKMEMIFAALILAFLQSFVLSDPQGDALYALKLSMNIPNNQLTDWNQNQVNPCTWTNVICDKSNNVVSVTLSDINCSGILSPMIGALRTLTTLTLKGNGITGGIPKEFGNLSSLTSLDLENNRLSGEIPSSLGDLKKLQFLTLSQNNLSGAIPESLASLESLINILLDSNNLSGQVPNHLFQIPKYNFTGNHLNCGGLNLHLCESYSGDSGGSHKSKIGIIVGVVGGFVILFLLGGLLFFVCKGRRKGYRREIFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEENILGQGGFGKVYKGVLADNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTTTERLLVYPFMQNLSVAYRLRERKPEEPVLDWTTRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLMDVRKTNVTTQVRGTMGHIAPEYLSTGKSSGRTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKNYNIQEVEMMIKVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRREEYSRLQRRFDFGEDSLYNQDAIELSGGR >Potri.005G074200.6.v4.1 pep chromosome:Pop_tri_v4:5:4955269:4964191:1 gene:Potri.005G074200.v4.1 transcript:Potri.005G074200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074200.v4.1 MDRAPGLMSLKMEMIFAALILAFLQSFVLSDPQGDALYALKLSMNIPNNQLTDWNQNQVNPCTWTNVICDKSNNVVSVTLSDINCSGILSPMIGALRTLTTLTLKGNGITGGIPKEFGNLSSLTSLDLENNRLSGEIPSSLGDLKKLQFFRTLSQNNLSGAIPESLASLESLINILLDSNNLSGQVPNHLFQIPKYNFTGNHLNCGGLNLHLCESYSGDSGGSHKSKIGIIVGVVGGFVILFLLGGLLFFVCKGRRKGYRREIFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEENILGQGGFGKVYKGVLADNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTTTERLLVYPFMQNLSVAYRLRERKPEEPVLDWTTRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLMDVRKTNVTTQVRGTMGHIAPEYLSTGKSSGRTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKNYNIQEVEMMIKVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRREEYSRLQRRFDFGEDSLYNQDAIELSGGR >Potri.005G074200.4.v4.1 pep chromosome:Pop_tri_v4:5:4955269:4964040:1 gene:Potri.005G074200.v4.1 transcript:Potri.005G074200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074200.v4.1 MDRAPGLMSLKMEMIFAALILAFLQSFVLSDPQGDALYALKLSMNIPNNQLTDWNQNQVNPCTWTNVICDKSNNVVSVTLSDINCSGILSPMIGALRTLTTLTLKGNGITGGIPKEFGNLSSLTSLDLENNRLSGEIPSSLGDLKKLQFLTLSQNNLSGAIPESLASLESLINILLDSNNLSGQVPNHLFQIPKYNFTGNHLNCGGLNLHLCESYSGDSGGSHKSKIGIIVGVVGGFVILFLLGGLLFFVCKGRRKGYRREIFVDVAGEVDRRIAFGQLKRFAWRELQLATDNFSEENILGQGGFGKVYKGVLADNTKVAVKRLTDFESPGGDAAFQREVEMISVAVHRNLLRLIGFCTTTTERLLVYPFMQNLSVAYRLRERKPEEPVLDWTTRKRVALGAARGLEYLHEHCNPKIIHRDVKAANVLLDEDFEAVVGDFGLAKLMDVRKTNVTTQVRGTMGHIAPEYLSTGKSSGRTDVFGYGIMLLELVTGQRAIDFSRLEEEDDVLLLDHVKKLEREKRLDAIVDRNLNKNYNIQEVEMMIKVALLCTQASPEDRPAMSEVVRMLEGEGLAERWEEWQHVEVTRREEYSRLQRRFDFGEDSLYNQDAIELSGGR >Potri.009G016950.1.v4.1 pep chromosome:Pop_tri_v4:9:2890116:2891275:1 gene:Potri.009G016950.v4.1 transcript:Potri.009G016950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G016950.v4.1 MFGWACVSLLLATFGSLCGAPFQSLLLAVAAVWVASSQELFALLSLSFLLWSRRVLVLDIIGRAADY >Potri.019G122100.1.v4.1 pep chromosome:Pop_tri_v4:19:14888332:14889272:1 gene:Potri.019G122100.v4.1 transcript:Potri.019G122100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G122100.v4.1 MKITKFVVLSFLLFAFTATSIFPHAVHAEDPEAVIDVNGNEVTPDARYFIGAASDDNTTTLVVSATSQIICNSDVTLSSMSNGLPVTFSSPVGESNDGVIREDSYLNVNFDAATCRMAGVSTMWKMELRPTMRGFVVTTGGVNGLNRFKITKYEGGKNSYQLSYCPISDPMCECSCACVPLGNVVDRLAPSTIPFPVVFEPVADKSS >Potri.014G089400.1.v4.1 pep chromosome:Pop_tri_v4:14:5809958:5815122:-1 gene:Potri.014G089400.v4.1 transcript:Potri.014G089400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G089400.v4.1 MSATTTRSLATMSHRRNTNTPPPPQQQQQQQQQQQRLPLVVTLNCIEDFAIEQDSLSGVALIEHVPLGRLSDGKIESAAAVLLHSLAYLPRAAQRRLRPYQLILCLGSADRAVDSALAADLGLRLVHVDTSRAEEIADTVMALFLGLLRRTHLLSRHALSASGWLGSLQPLCRGMRRCRGLVLGIVGRSASARSLATRSLAFKMSVLYFDVHEGPGKLTRSSITFPLAARRMDTLNDLLAASDLISLHCALTNETVQIINEECLQHIKPGAFLVNTGSSQLLDDCALKQLLIDGTLAGCALDGAEGPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILQSFFFDGIVPKNAVSDEEGEESEIGDESEQFHRQDKESTLQDSVGEQLTDDIQLTPETSRKKVSGQSIESTSQAQGSGMSQNTTTRSDERRSRSGKKAKKRHGRQKPRQKSDNPSQLEKESTSHQEDDTAMSGSDQVSSSRFASPEDSRSRKTPIELMQESSSGQLSRSGKRLSGKSDELLKDGHIIALYARDRPALHVSRQRAKGGGWFLDALSNVTKRDPAAQFLVVFRNKDTIGLRSFAAGGKLLQINRRMEFVFTSHSFDVWESWMLEGSLDECRLVNCRNPLAILDARVEILAAIAEDDGVTRWLD >Potri.007G047100.6.v4.1 pep chromosome:Pop_tri_v4:7:4315117:4319639:1 gene:Potri.007G047100.v4.1 transcript:Potri.007G047100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047100.v4.1 MATDFKSIPIIDISPLVAKCDDPKRVQDPDVLEVVGQLDQACREAGFFYVKGHGIPDSLIKDVKNVTRKFFDLPYEEKLKIKLSAAAGYRGYQRIGENITKGIPDMHEAIDCYREVTPGMYGALGKIIEGVNQWPHDPPYFKVLMEEYISFCTDLSRKIMRGIALALGGSADEFEGERAGDAFWVLRVIGYPGVSNTNGQNAPENDIGCGAHTDYGLMTLVNQDDGITALQVRNLSGEWISAPPIPGTFVCNIGDMLKIWSNGMYDSTLHRVINTSPKYRACVAYFYEPNFDAAVEPLDICKEKTGGIKKFGKAVYGEHLASKLETNFV >Potri.006G133400.4.v4.1 pep chromosome:Pop_tri_v4:6:10939031:10948048:-1 gene:Potri.006G133400.v4.1 transcript:Potri.006G133400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133400.v4.1 MMEKSFNLLHIIQHRRDGYGFALRPQHIQRYREYANIYKEEEEERSYKWNNFIEQQAKSNHSSSSEEECREKLQAEADELREETVFERGREEEDDSSDKNSDSDGSTKSYPGKEVKLSEEPEKEVQLSEEPEKEVQRSEQPEKEVQLSEEPEKEVKHSEQPEKEDHLSEEPKKEVQLSEEPQKEVQASQIQERETLLSKESDKEGQLLKETKANKVQSWSWTRPSLHVIENMMSSRVKNIKDMKYRHNTINGDHLPSIKKTGSSGGSSVDEIDKELCIKETSDDNVDKSTEETNVDSKESPESFFPWKELEFLVRGGVPKDLRGEVWQAFVGVKTRRVERYYEGLLAEETNTDESKEHNNSNAAPRKWKKQIEKDIPRTFPGHPALDERGRDSLRRVLVAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGILDDYFDGYYTEEMIESQVDQLVFEELIREKFPKLVNHLDYLGVQVAWISGPWFLSIFINMLPWESVLRVWDVLLFEGNRVMLFQTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACIGYLAVTEARLLQLREKHRPAVLVVVEERSKGGRVWKGSKGLASKLYSFKHDPGSLVEEKNVSKGNKSLLESHSSNLDDLLSGLSVDPEVDSLPDLQEQVVWLKVELCRLMEEKRSAILRAEELETALMEMVQQDNRRQLSAKVEQLEQEVADLRQALANKKEQEAAMLKVLMRVEQEQKITEEARIGAEQDAAAQRYAVNVFQEKYEKAMASLAQMEQRVVMAESVLEATIQYQSGKAKAQSSPRSVSSSVESPRRRIGLFGLGWRDRNKDKDKPTNDDSGTS >Potri.006G133400.1.v4.1 pep chromosome:Pop_tri_v4:6:10938951:10947457:-1 gene:Potri.006G133400.v4.1 transcript:Potri.006G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133400.v4.1 MLLSLLSRRALEVESRDGYGFALRPQHIQRYREYANIYKEEEEERSYKWNNFIEQQAKSNHSSSSEEECREKLQAEADELREETVFERGREEEDDSSDKNSDSDGSTKSYPGKEVKLSEEPEKEVQLSEEPEKEVQRSEQPEKEVQLSEEPEKEVKHSEQPEKEDHLSEEPKKEVQLSEEPQKEVQASQIQERETLLSKESDKEGQLLKETKANKVQSWSWTRPSLHVIENMMSSRVKNIKDMKYRHNTINGDHLPSIKKTGSSGGSSVDEIDKELCIKETSDDNVDKSTEETNVDSKESPESFFPWKELEFLVRGGVPKDLRGEVWQAFVGVKTRRVERYYEGLLAEETNTDESKEHNNSNAAPRKWKKQIEKDIPRTFPGHPALDERGRDSLRRVLVAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGILDDYFDGYYTEEMIESQVDQLVFEELIREKFPKLVNHLDYLGVQVAWISGPWFLSIFINMLPWESVLRVWDVLLFEGNRVMLFQTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACIGYLAVTEARLLQLREKHRPAVLVVVEERSKGGRVWKGSKGLASKLYSFKHDPGSLVEEKNVSKGNKSLLESHSSNLDDLLSGLSVDPEVDSLPDLQEQVVWLKVELCRLMEEKRSAILRAEELETALMEMVQQDNRRQLSAKVEQLEQEVADLRQALANKKEQEAAMLKVLMRVEQEQKITEEARIGAEQDAAAQRYAVNVFQEKYEKAMASLAQMEQRVVMAESVLEATIQYQSGKAKAQSSPRSVSSSVESPRRRIGLFGLGWRDRNKDKDKPTNDDSGTS >Potri.006G133400.3.v4.1 pep chromosome:Pop_tri_v4:6:10939032:10947790:-1 gene:Potri.006G133400.v4.1 transcript:Potri.006G133400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G133400.v4.1 MMEKSFNLLHIIQHRRDGYGFALRPQHIQRYREYANIYKEEEEERSYKWNNFIEQQAKSNHSSSSEEECREKLQAEADELREETVFERGREEEDDSSDKNSDSDGSTKSYPGKEVKLSEEPEKEVQLSEEPEKEVKHSEQPEKEDHLSEEPKKEVQLSEEPQKEVQASQIQERETLLSKESDKEGQLLKETKANKVQSWSWTRPSLHVIENMMSSRVKNIKDMKYRHNTINGDHLPSIKKTGSSGGSSVDEIDKELCIKETSDDNVDKSTEETNVDSKESPESFFPWKELEFLVRGGVPKDLRGEVWQAFVGVKTRRVERYYEGLLAEETNTDESKEHNNSNAAPRKWKKQIEKDIPRTFPGHPALDERGRDSLRRVLVAYARHNPSVGYCQAMNFFAGLLLLLMPEENAFWTLVGILDDYFDGYYTEEMIESQVDQLVFEELIREKFPKLVNHLDYLGVQVAWISGPWFLSIFINMLPWESVLRVWDVLLFEGNRVMLFQTALALMELYGPALVTTKDAGDAITLLQSLAGSTFDSSQLVLTACIGYLAVTEARLLQLREKHRPAVLVVVEERSKGGRVWKGSKGLASKLYSFKHDPGSLVEEKNVSKGNKSLLESHSSNLDDLLSGLSVDPEVDSLPDLQEQVVWLKVELCRLMEEKRSAILRAEELETALMEMVQQDNRRQLSAKVEQLEQEVADLRQALANKKEQEAAMLKVLMRVEQEQKITEEARIGAEQDAAAQRYAVNVFQEKYEKAMASLAQMEQRVVMAESVLEATIQYQSGKAKAQSSPRSVSSSVESPRRRIGLFGLGWRDRNKDKDKPTNDDSGTS >Potri.016G035400.1.v4.1 pep chromosome:Pop_tri_v4:16:2067280:2069618:1 gene:Potri.016G035400.v4.1 transcript:Potri.016G035400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G035400.v4.1 MQPENCSENSQLYRFLAENGMINVGAYGFPGAAMQTLCTSSSTSYHNNNYQFESSVITDMTPQDRALAALKNHKEAEKRRRERINSHLDKLRGLLLCNSKTDKASLLAKVVQRVRELKQQTSELSGLETFPSETDEVTVLSGEYSSDGQLIFKASLCCEDRLDLMPELNEILKSLHLKTLKAEMVTLGGRIRNVLIIAADKDHSVESVHFLQNALKSLLERSNSSEKSKRRRILDRKLVIQ >Potri.014G110850.1.v4.1 pep chromosome:Pop_tri_v4:14:7419797:7420878:1 gene:Potri.014G110850.v4.1 transcript:Potri.014G110850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110850.v4.1 MSITTKRVRVRQNLMLQGSQIIGPSVNFSTFFIIKHRLITCLCFPNNLSISNSFRFRSKISFPVFFTVSSRISINFSNSISCFFSSSYNLAMGFIYVNTYICRFRIGEEVTDSRTSISNRQGMKGGR >Potri.006G229001.1.v4.1 pep chromosome:Pop_tri_v4:6:23274786:23275680:-1 gene:Potri.006G229001.v4.1 transcript:Potri.006G229001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G229001.v4.1 MLNWPGQSLLPINLGSIGSRRIIFSVHFEDILMSLLQMITICLVSKLLLAGAMQHRLSPKLMKRYSCSLNFHMAKMNSSLNILQSCVLVEFCGHDCLLSSLERVFSWLCLV >Potri.006G003200.1.v4.1 pep chromosome:Pop_tri_v4:6:259898:265625:-1 gene:Potri.006G003200.v4.1 transcript:Potri.006G003200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003200.v4.1 MGGMKLVVRLIEARNLPPTDPNGLRDPYAKLQLGKQKFKTKVVKKNLNPSWGEEFSFKVEDLNEELVVGVLDEDKYFNDDIVGQIKVPVSHVFDADNQSLGTVWYSLQPKNKKSRFKECGEILLSISFSQSFPDSNCNASQSKKNMDVTRSPSRSFNGTNNSSPARLEESASSKEEKFFAQKKLAGRIVQIFNKNSDVISVTTSRSTEISEQSETDGSEVCDDKAEDQSSSGNFEELMKEMESRDVGSEVPNNLPGGILVDQSYVISPPDLNSFFFSPDSSLARLLSDFVGNSEQQFGPWRFENSSENLKRVITYVKAPTKLVGALKASEEQTYLKADGKIFAVLISVSTPDVMYGSTFKVELLYCITSGPELPSGEKTSHLVISWRMNFLQSSMFKSMIENGARSGVKDSFEQVSTFLSQNVKPVDLKDLGSSKEQVLASLKVEPQSDGKLAIQYFANFTVVSAVFMALYVFVHVWLAAPSAIQGLEFVGLDLPDSIGEVIVCGVLTLQCERVLGLLSRFMQARAQKGTDHGVKAQGDGWVLTVALIEGSHLPAVDSSGFCDPYVVFTCNGKTRTSSIKFQKSDPLWNEIFEFDAMDDPPSVLDVEVYDFDGPFNESMSLGHTEINFVKSNLSDLADVWVPLQGKLAQACQSRLHLRIFLNNTRGSNVVKEYLSKMEKEVGKKINLRSPQTNSAFQKVFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFYANLFRQKTKFFFLWEDIVDIQVDTPTLSSMGSPVIVITLRQGRGMDARHGAKTIDDEGRLKFHFQSFVSFNVANRTIMALWKARSLSPEQKVQIVEEESETKFLQTEESGSFLGLEDVSMSEVYACSLSVPINFLSELFGGGELDRKVMEKAGCLSYSYTPWESVKTEVYERQLYYRFDKHVSRFGGEVTSTQQKYPLSDRKGWIVEEVMTLHGVPLGDFFNLHLRYQIEDFPSRLKGCHVRVSMGIAWLKSSWHQKRISKNIISSLQDRLKLIFNAVEKEFANR >Potri.006G003200.3.v4.1 pep chromosome:Pop_tri_v4:6:259893:264150:-1 gene:Potri.006G003200.v4.1 transcript:Potri.006G003200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G003200.v4.1 MDVTRSPSRSFNGTNNSSPARLEESASSKEEKFFAQKKLAGRIVQIFNKNSDVISVTTSRSTEISEQSETDGSEVCDDKAEDQSSSGNFEELMKEMESRDVGSEVPNNLPGGILVDQSYVISPPDLNSFFFSPDSSLARLLSDFVGNSEQQFGPWRFENSSENLKRVITYVKAPTKLVGALKASEEQTYLKADGKIFAVLISVSTPDVMYGSTFKVELLYCITSGPELPSGEKTSHLVISWRMNFLQSSMFKSMIENGARSGVKDSFEQVSTFLSQNVKPVDLKDLGSSKEQVLASLKVEPQSDGKLAIQYFANFTVVSAVFMALYVFVHVWLAAPSAIQGLEFVGLDLPDSIGEVIVCGVLTLQCERVLGLLSRFMQARAQKGTDHGVKAQGDGWVLTVALIEGSHLPAVDSSGFCDPYVVFTCNGKTRTSSIKFQKSDPLWNEIFEFDAMDDPPSVLDVEVYDFDGPFNESMSLGHTEINFVKSNLSDLADVWVPLQGKLAQACQSRLHLRIFLNNTRGSNVVKEYLSKMEKEVGKKINLRSPQTNSAFQKVFGLPPEEFLINDFTCHLKRKMPLQGRLFLSARIIGFYANLFRQKTKFFFLWEDIVDIQVDTPTLSSMGSPVIVITLRQGRGMDARHGAKTIDDEGRLKFHFQSFVSFNVANRTIMALWKARSLSPEQKVQIVEEESETKFLQTEESGSFLGLEDVSMSEVYACSLSVPINFLSELFGGGELDRKVMEKAGCLSYSYTPWESVKTEVYERQLYYRFDKHVSRFGGEVTSTQQKYPLSDRKGWIVEEVMTLHGVPLGDFFNLHLRYQIEDFPSRLKGCHVRVSMGIAWLKSSWHQKRISKNIISSLQDRLKLIFNAVEKEFANR >Potri.002G157500.6.v4.1 pep chromosome:Pop_tri_v4:2:12007441:12014545:1 gene:Potri.002G157500.v4.1 transcript:Potri.002G157500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157500.v4.1 MNNNNRGRYPPGIGAGRGGGMNANPNFQSRVPQQQYVQRNFGQNHHQQQYYQHQQHHNQQQQQQQQQQWLRRNQLTAADSSIDEVEKTVQSEAVDSSSQDWKAKLKIPPADTRYRTEDVTATKGNDFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCVPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMATTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKNCSMLVLDEADKLLSPEFQPSIEQLIRFLPSNRQILMFSATFPVTVKDFKDRYLEKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQTIYCQ >Potri.002G157500.4.v4.1 pep chromosome:Pop_tri_v4:2:12007469:12014425:1 gene:Potri.002G157500.v4.1 transcript:Potri.002G157500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157500.v4.1 MNNNNRGRYPPGIGAGRGGGMNANPNFQSRVPQQQYVQRNFGQNHHQQQYYQHQQHHNQQQQQQQQQQWLRRNQLTAADSSIDEVEKTVQSEAVDSSSQDWKAKLKIPPADTRYRTEDVTATKGNDFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCVPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMATTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKNCSMLVLDEADKLLSPEFQPSIEQLIRFLPSNRQILMFSATFPVTVKDFKDRYLEKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQTIYCQ >Potri.002G157500.5.v4.1 pep chromosome:Pop_tri_v4:2:12007164:12014553:1 gene:Potri.002G157500.v4.1 transcript:Potri.002G157500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157500.v4.1 MNNNNRGRYPPGIGAGRGGGMNANPNFQSRVPQQQYVQRNFGQNHHQQQYYQHQQHHNQQQQQQQQQQWLRRNQLTAADSSIDEVEKTVQSEAVDSSSQDWKAKLKIPPADTRYRTEDVTATKGNDFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCVPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMATTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKNCSMLVLDEADKLLSPEFQPSIEQLIRFLPSNRQILMFSATFPVTVKDFKDRYLEKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQTIYCQ >Potri.002G157500.7.v4.1 pep chromosome:Pop_tri_v4:2:12007294:12014400:1 gene:Potri.002G157500.v4.1 transcript:Potri.002G157500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G157500.v4.1 MNNNNRGRYPPGIGAGRGGGMNANPNFQSRVPQQQYVQRNFGQNHHQQQYYQHQQHHNQQQQQQQQQQWLRRNQLTAADSSIDEVEKTVQSEAVDSSSQDWKAKLKIPPADTRYRTEDVTATKGNDFEDYFLKRELLMGIYEKGFERPSPIQEESIPIALTGSDILARAKNGTGKTAAFCVPALEKIDQDNNFIQVVILVPTRELALQTSQVCKELGKHLKIQVMATTGGTSLKDDIMRLYQPVHLLVGTPGRILDLAKKGVCILKNCSMLVLDEADKLLSPEFQPSIEQLIRFLPSNRQILMFSATFPVTVKDFKDRYLEKPYVINLMDELTLKGITQYYAFVEERQKVHCLNTLFSKLQINQSIIFCNSVNRVELLAKKITELGYSCFYIHAKMLQDHRNRVFHDFRNGACRNLVCTDLFTRGIDIQAVNVVINFDFPKNAETYLHRVGRSGRFGHLGLAVNLITYEDRFNLYRIEQELGTEIKQIPPHIDQTIYCQ >Potri.004G165300.1.v4.1 pep chromosome:Pop_tri_v4:4:18448123:18448622:-1 gene:Potri.004G165300.v4.1 transcript:Potri.004G165300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G165300.v4.1 MMAIRLPRILQAKQHLLRGSSPARDVRKGYIAVYVGEEEKKRFVIPVSHLNQPSFQELLSKAEEEYGFDHQMGGLTIPCREDIFIDLTSRLNAS >Potri.013G109201.1.v4.1 pep chromosome:Pop_tri_v4:13:11762451:11763025:-1 gene:Potri.013G109201.v4.1 transcript:Potri.013G109201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G109201.v4.1 MLKAHLLSEVQALLCLERRKHPLRRRRFTTCASQVTSIKAIRVLKSSNMLLCLQFLLRLLLLLFPARLAMAKSLSSNLFASSLLDCGSDSIPPDPMCCPLLFLSMKKD >Potri.014G029700.9.v4.1 pep chromosome:Pop_tri_v4:14:1871795:1874090:1 gene:Potri.014G029700.v4.1 transcript:Potri.014G029700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029700.v4.1 MAVAMGRPFVIQPCLSSSLCSLSKNINKSSFGAAETFLPAKPSSTRCTPVVVHAQQRPTWLPGLDPPPYLDGTLAGDFGFDPLGLGEDPQSLKWYVQAELVHARFAMAGVAGILFTDLLRVTGIRKLPVWYEAGAVKFEFASTRTLIVVQLLLMGFAETKRYMDFVSPGSQAKEGSFFGLESALEGLEPGYPGGPLLNPLGLARDIENAHVWKLKEIKNGRLAMVAMVGIFVQAAVTHAGPIDNLIEHLSNPWHRTIIQTLANSGS >Potri.016G036000.6.v4.1 pep chromosome:Pop_tri_v4:16:2125756:2130188:-1 gene:Potri.016G036000.v4.1 transcript:Potri.016G036000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G036000.v4.1 MDTGGIQSENAETRVTFRKLFNDVANRNYRRRHSPVNGSPSLDGSPKHDRSSSPVVPREDVPKASQRRKDEEKELNRDSGRSRYEKNRDSCRHSDGYSSRSSHGYSRNDDYSRRDRRVDDGERHYQVSSLSDRELKDGERGRSRDYARNVEKYSCDRYDNSGHRRRDKERESSEHQKLKDKDFSPDRVGSGRKYTSSASEEKDRYRNRRDRDVCDERRDHHSSGDHKSDRSSYYEETRWHQNDSSGRNGGHRLREHYKNDPEELNSQKEKKKHDNWENSRGKNRYSKAPGQTSDDKSISGSENQESPAKKPKLSSSNKDPDYNGDVNEKQPSSSLLAQEVDNKINEGQTHANSSEAAKDFDAAKVAAVKAAELVNRNLVGGGFMSTEQKKKLLWGNKKCAAPEEPGCQWDTAMFGDRDRQEKFNKLMGLKGDVKVEHKPDSQDAEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >Potri.001G390200.1.v4.1 pep chromosome:Pop_tri_v4:1:41276218:41280794:1 gene:Potri.001G390200.v4.1 transcript:Potri.001G390200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G390200.v4.1 MSLRRSFLSRRFSRSFNNQNRNERNGVESGRLVGESGGESDGWGSMLPELLGEIIKRVEESEDRWPQRQNVVACACVCKKWREVTKDIVKSLPNNNNNNGSSGKITFPSCLKQPGPRDLPHQCLIKRNKKTSTFYLYLALTPSFTDKGKFLLAARRYRQGVHTEYIISLDADDLSQGSNAYVGKLSSDFLGTNFTIYDSQPPHSGAKPSSSRASRRFASKQISPQVPAGNFEVGQVSYKFNLLKSRGPRRMVCSLKCPVSQETTNVKNVDNSKMTQLESASSGFTVLRNKAPRWHEHLQCWCLNFHGRVTVASVKNFQLVAIMDQSQPGGKGDEETVLLQFGKVGDDTFTMDYRQPLSAFQAFALCLTSFGTKLACE >Potri.006G034066.1.v4.1 pep chromosome:Pop_tri_v4:6:2148756:2150527:1 gene:Potri.006G034066.v4.1 transcript:Potri.006G034066.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G034066.v4.1 MEVQILSRKLIAPSSPTPPHLQNLKVSCFDQLAPSIYLPCIFYYPADGENNEKRSKEMEKSLAETLSLFYPLGGRYIKDEFSVECNDMGAEFLEAKVGGFLSQLLEREERESEMASHLVAPLFQAENSPLVIVQFNMFACGGLAIGISIAHRIADAFTIGTFINAWATACRIGSEKVHCRPSFQLGSLFPPKEMPSSSATAPGTDIKIIRRRFVFDGHTLSKLKAIARGGDSEQLVKHHPSRVEVVTALIWMALS >Potri.010G198800.11.v4.1 pep chromosome:Pop_tri_v4:10:19163059:19166962:-1 gene:Potri.010G198800.v4.1 transcript:Potri.010G198800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198800.v4.1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLEIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKQMIEFHKVHGGEASIMVTKVDEPSKYGVVVTEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEDKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKNSSSKLANGPHIVGNVLVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCSVMRGVRIKKHACISSSIIGWHSTVGQWARVENLTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Potri.010G198800.10.v4.1 pep chromosome:Pop_tri_v4:10:19162229:19166962:-1 gene:Potri.010G198800.v4.1 transcript:Potri.010G198800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198800.v4.1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLEIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKQMIEFHKVHGGEASIMVTKVDEPSKYGVVVTEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEDKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKNSSSKLANGPHIVGNVLVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCSVMRGVRIKKHACISSSIIGWHSTVGQWARVENLTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Potri.010G198800.9.v4.1 pep chromosome:Pop_tri_v4:10:19163028:19166996:-1 gene:Potri.010G198800.v4.1 transcript:Potri.010G198800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198800.v4.1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLEIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKQMIEFHKVHGGEASIMVTKVDEPSKYGVVVTEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEDKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKNSSSKLANGPHIVGNVLVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCSVMRGVRIKKHACISSSIIGWHSTVGQWARVENLTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Potri.010G198800.3.v4.1 pep chromosome:Pop_tri_v4:10:19162065:19166998:-1 gene:Potri.010G198800.v4.1 transcript:Potri.010G198800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198800.v4.1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLEIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKQMIEFHKVHGGEASIMVTKVDEPSKYGVVVTEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEDKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKNSSSKLANGPHIVGNVLVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCSVMRGVRIKKHACISSSIIGWHSTVGQWARVENLTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Potri.010G198800.12.v4.1 pep chromosome:Pop_tri_v4:10:19163101:19166962:-1 gene:Potri.010G198800.v4.1 transcript:Potri.010G198800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198800.v4.1 MKALILVGGFGTRLRPLTLSVPKPLVEFANKPMILHQIEALKAIGVTEVVLAINYQPEVMLNFLKDFETKLEIKITCSQETEPLGTAGPLALARDKLIDGSGEPFFVLNSDVISEYPLKQMIEFHKVHGGEASIMVTKVDEPSKYGVVVTEESTGKVERFVEKPKIFVGNKINAGIYLLNPSVLDRIELRPTSIEKEVFPKIAAEDKLYAMVLPGFWMDIGQPRDYITGLRLYLDSLRKNSSSKLANGPHIVGNVLVDETAKIGEGCLIGPDVAIGPGCIVESGVRLSRCSVMRGVRIKKHACISSSIIGWHSTVGQWARVENLTILGEDVHVCDEIYSNGGVVLPHKEIKSSILKPEIVM >Potri.004G100900.2.v4.1 pep chromosome:Pop_tri_v4:4:8760768:8763514:1 gene:Potri.004G100900.v4.1 transcript:Potri.004G100900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100900.v4.1 MGKKLDALFGRKLRTSKFSSLAKLAVSRIAILKNKAQVRFSHARSDVIQLLNLGHQERALLMVEHVIKDQNMVDAFVMMEDYLHFLNDRVVLLETSRECPDELKEAVSSLIFASSRCGEFPELQEIRGVFVSRFGKEIAACAVELRSNCGVNPKIILKFSARQASLESRKKLLKDIASDNGIVLHLEEDAPVVAQEKMDVSQPKQQEQHVEDFKSAKLDVTESQARTHVLPEEELSESLKGRKKYKDVAAAALEAFESAAYAAQAARAAVELSRYDSQDIEWDDHGDSSHGQGTLYDSDGSLTPELQGRYEASEENKLSNDSLVFNEIYTIDNISSESEDENMKGNGRVHLEFEESKTKPGFDRTPSNSSSDSDGNMWNERYQLSDSLSHNKPVGNEVVSYLVDKKAEREQVSIPSPKHHDLDLYRNTNLLADENQHIREYNAVHDEDVSYEDENKLPYQSPKWIPLKSHADAMINPKKGNYEYKTAHSTTSAENLSTSSNIDRKRLSVRTRRARGA >Potri.004G100900.1.v4.1 pep chromosome:Pop_tri_v4:4:8760985:8763503:1 gene:Potri.004G100900.v4.1 transcript:Potri.004G100900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G100900.v4.1 MGKKLDALFGRKLRTSKFSSLAKLAVSRIAILKNKAQVRFSHARSDVIQLLNLGHQERALLMVEHVIKDQNMVDAFVMMEDYLHFLNDRVVLLETSRECPDELKEAVSSLIFASSRCGEFPELQEIRGVFVSRFGKEIAACAVELRSNCGVNPKIILKFSARQASLESRKKLLKDIASDNGIVLHLEEDAPVVAQEKMDVSQPKQQEQHVEDFKSAKLDVTESQARTHVLPEEELSESLKGRKKYKDVAAAALEAFESAAYAAQAARAAVELSRYDSQDIERDDPGDSSHGKGTLYDSDGSLTPELQGRYEASEENKQAARAAVELSRYDSQDIERDDHGDSSHGQGTLYDSDGSLTPELQGRYEASDENKQAARAAVKLSRYDSQDIEWDDHGDSSHGQGTLYDSDGSLTPELQGRYEASEENKLSNDSLVFNEIYTIDNISSESEDENMKGNGRVHLEFEESKTKPGFDRTPSNSSSDSDGNMWNERYQLSDSLSHNKPVGNEVVSYLVDKKAEREQVSIPSPKHHDLDLYRNTNLLADENQHIREYNAVHDEDVSYEDENKLPYQSPKWIPLKSHADAMINPKKGNYEYKTAHSTTSAENLSTSSNIDRKRLSVRTRRARGA >Potri.005G068300.4.v4.1 pep chromosome:Pop_tri_v4:5:4425189:4425608:-1 gene:Potri.005G068300.v4.1 transcript:Potri.005G068300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G068300.v4.1 MILFLITQTQMVIPPPVRLPRVTQFLKPYVLKMHFANNYVSAQVFHSPSATVASSASSQEKGLRSTMENA >Potri.002G181100.1.v4.1 pep chromosome:Pop_tri_v4:2:14349170:14352343:1 gene:Potri.002G181100.v4.1 transcript:Potri.002G181100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181100.v4.1 MLALLLAPLFLFTLLHQSPISHCLQETQQHPQKLISQCDEKCGKLRIPFPFHLNTSCASVSNAFHLSCSNSTTLYLNIDSLSYKVLEFFSDGILVDFSGSSTCRQYNDLNSFGLAGNDCFGLSVDNVIGLYDCEDSSLCKADCETIDLPGCDGSGSGPPACCYPLSDHSSWDFGDGFSVFSKFGCRGFSSWVVSRGTNTGKRGVKLEWAVPKNSSKGVCADKADIVNATAVDGGIRCKCQDGFVGDGYASGEGCMKSSIKDGEEARGSDRDTKKHRGKMVTILAGVVGPIFIIASLIALFCLLKRPVKADMYDPDHAHLHSTISFRKACRTRLFNYHELENATRGFDGDQKLASSNNSTIYAGVLGDDTHIAVHKVECRDERELTQVLSRVEVLSAVLHRNMARVLGCCINSVYSPLVVYEYPANGTLEEHLHQSGEQKVGLDWYKRLRISAETASVLAFLQYEIIPPIFHHDLKSGNIFLDEDLSVKVAGFKLFTASLGNDTHSYSNHEGSRIHQSDVYNFGVLLLELITGSKNKELPAVALKKIRSGKLEEIVDPGLHYHEQPPFRRDQIEVIADLATRCLLFGGDGKIGMVEVARELIHIAKESIDGCSKRGRGLEETFSNSSLLQMISMSPDSIYVP >Potri.006G044900.2.v4.1 pep chromosome:Pop_tri_v4:6:3040927:3042201:-1 gene:Potri.006G044900.v4.1 transcript:Potri.006G044900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G044900.v4.1 MADPRNTSMQEEGKTQELRCSMPNSSSNLCNPNSVCVSYLPNYHRKLSSNYLSDQSPHHDLAGSKYTDSDLYKLVTEKIENDRLYVQSQITYLETLLSNENKSKNLDGMEILMERIDAVENDMGCLEAEYRMAHKEFKPDLKRLKLLKNVKDFIEYLKESKMVFHSKKKVLKSQLQSPHRDLAGSKYTDSDLYKLVTEKIENDRLYVQSQIKYLETLLSNENKIKNLDGMENLMERIDAVENDMGCLEAEYRMAHKEFKPDLKRLKLLKNVKDFIEYLKDSKMVFHSKKKVFKSQIQPPHHDLADSKYTDSDLYKLVTEKIENDRLYVQSQITYLETLLSNEYKSKNLDGMENLMERIYAFENDMGCLEAEYRMAHKEFKPDLKRLKLLKNVKDFIEYLKESKRVFHSKKKSSNLSFC >Potri.007G011000.2.v4.1 pep chromosome:Pop_tri_v4:7:855908:861192:1 gene:Potri.007G011000.v4.1 transcript:Potri.007G011000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G011000.v4.1 MKLLLQPKGVLAALLLLIFPAFYSPFAHASPSVLSEWNAPKPRHLPLLKSALQLPTSNEKQSDLWTPLDDQGWRPCAESTNSPPSLPEKSEGYLQVFLDGGLNQQRMGICDAVAVAKILNATLVIPHFEVNPVWQDSSSFMDIFDVDHFINVLKDDISIVKELPDEFSWSTREYYAIAIRATRIKMAPVHASANWYLENVSPVLQSYGIAAVSPFSHRLSFDNLPMDIQRLRCKVNFQALVFVPHIRALGDALVSRLRHPYKNGAPGASYLQESTDVIDKDSAGKFVVLHLRFDKDMAAHSACDFGGGKAEKLALAKYRQVIWQGRVLNSQFTDEELRSQGRCPLTPEEIGLLLAALGFDNSTRLYLASHKVYGGEARISTLRKLFPLMEDKKSLASSEERSQIKGKASLLAAVDYYVGLHSDIFVSASPGNMHNALVGHRTYKNLKTIRPNMALLGQLFLNKTISWTDFQQAVVEGHENRQGQIRLRKPKQSIYTYPAPDCMCYA >Potri.009G091000.1.v4.1 pep chromosome:Pop_tri_v4:9:8355256:8359359:-1 gene:Potri.009G091000.v4.1 transcript:Potri.009G091000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G091000.v4.1 MKIKTKRSKRKLGLPAAIILCSLFFLAGFYSSIFFPHDVPVTRPRLRMLEVAEGDHDTMPHGVTGEASVESIPFQVLSWKPRALYFPKFATPEQCESIIKMVESKLKPSTLALRKGETAESTKDTRTSSGSFVSGSEDETGTLDFIEKKIAKATMIPQSHGEAFNILRYEIGQKYDSHYDAFNPDEYGQQSSQRTASFLLYLSNVEEGGETMFPFENGSAVIPGFDYKQCVGLKVKPRQGDGLLFYSLFPNGTIDPTSLHGSCPVIKGVKWVATKWIRDQIWTRDE >Potri.017G071600.5.v4.1 pep chromosome:Pop_tri_v4:17:7807536:7810464:-1 gene:Potri.017G071600.v4.1 transcript:Potri.017G071600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071600.v4.1 MNRVSIRILKISKTFASNAQNHTLTKNLVPSSSSSLQTIQNSNSISLSNPLYSFLPENQNPNNFVNLIYSSLKRDNTQLTLLQNDDIKGLIHHLGANEISRVLLRCQSDSVSALTFFNWVKNDLGLKPSTLNYCLILHVLAWSKEFEQAMKFLTELILLVKDTDEDVFQCLFLCCQDCNWDPIIFDLLLKAYVKAGMIKEGFRTFMQILEVGYVPSVIACNYLLNGLLKSNHIHLCWHVYGEMGRVGVIPNSYTFNILTHLFCKDGDIDKMNDFLEKMEEEGFEPDIVTYNTLINGYCGRGRLSDAFYLYRIMYRRCVLPDLVSYTALMNGLCKEGRVREAHQLLHRMVHRGLNPDVVSYNTLICGYCKEGKMLESKSLLYEMIGNGIFPDSFTCRVLIQGYRKEGWLISALNLVVELEKFGVSISPDIYDYLVASLCEEDRPFAAKTLLERMSQRGYIPHVEIYNKLIESLCKGDCVADALSLKAEIVVGNINPNLLTYKALIRCLCRMGRSIEAEKLMEEMLHFGLQPDPEICRAMIHGYCREKDAGKAESLLVLFAKEFQIFDSESYSTLVRIVCEDGDVAKFMELQERMLKVGFAPNSLTCKYMIDGLRKNVGLVKEKLILE >Potri.004G124200.1.v4.1 pep chromosome:Pop_tri_v4:4:12016851:12019051:1 gene:Potri.004G124200.v4.1 transcript:Potri.004G124200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G124200.v4.1 MISAADVYHVVTATVPLYFAMILAYISVKWWKLFTPDQCAGINKFVAKFSIPLLSFQVISGINPYKMNLKLIFADFLQKLLALLVLTALAKISSRGRLNWIITGLSLSTLPNTLILGIPLLRAMHGAEAEPLLSQIVGLQSLIWYNLLLFLFELNATKEATVAPSSESTGDLEALQEAQHKDDEGVQRRTRKVKAMVILLTVGRKLMSNPNFYATLVALIWASIHSRWGVNLPDIVDKSVRILSTGGLGMAMFSLGLFMASRPSIIACGIPMAMVAMAMKFIVGPALIAVASIAVGLKGTVLKVAIVQAALPQGIVPFVFAKEYNVHPDTLSTGVIFGMLISMPIALAYYSLLAL >Potri.006G276200.2.v4.1 pep chromosome:Pop_tri_v4:6:26760898:26761806:1 gene:Potri.006G276200.v4.1 transcript:Potri.006G276200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G276200.v4.1 MDSKASSLLFIAFLCLISTSTAFNSTKILAQYPEFANFNDLLSQSGLAQEMNSRQTITVLVLDNGSIDGLSGRPLDIAKRILSAHVILDYYDQIKLSKLQKASTIVTTLYQASGVADNRQGFLNISRTAEGIKFGSAMKGAPLVASLVKSIYSQPYNISVLQVSEPIETPGIENMAPPPPPGTAAVPKKAPAPAPSTKTPPAAPPTAKTPAKSPAKSPSKAPAPSKEGPSTPTKAPAEGPVAADGPVAAGGPVADVPAESPEADTEVAEEAPAVAPAKAASSRMHVAGATVVIGLFACIMGF >Potri.001G184450.1.v4.1 pep chromosome:Pop_tri_v4:1:16358352:16359229:1 gene:Potri.001G184450.v4.1 transcript:Potri.001G184450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G184450.v4.1 MIVRRGGVDFLRFFPLSCFSAVYSSHILSDSIAMIVRRGGVDFVRFFLLSCFSAVYSSHILSDSIHNMVLLGENSIVDMDMGMSACLLLSCLKRVLICKDIYRLCGAALLHGISRLGSSCPFLLITFGVLPL >Potri.011G043100.2.v4.1 pep chromosome:Pop_tri_v4:11:3350282:3367769:-1 gene:Potri.011G043100.v4.1 transcript:Potri.011G043100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043100.v4.1 MGLEALVWYCRPVPNGVWATKVDNAFGAYTPCVVDSLVICISHLVLLGLCLYRIWLITDKNSKAQHYCLRTNYYNYSLGLLAAYCTVQPLFRLFMNVSIFNLDGQTALAPFEMVSLIVEALSWCSTLIMIGLETRIYIQQFRWYVRFGVIYVLVGEAAMLNLILSVSDNYDSRFIFYMYLSTVFCQVLFGIHLLVYIPNLDPCSDYVMMEPESPDNSAYEALPGREQICPERNATLFSRIFYWWLTPLMKQAHKRPISEKDVWKLDTWDQTETSMNKFQTCWVEESQRPKPCLLRALNNSLGGRFWLGGFFKIGYDLSEFVGPVVLSHLLQSMQRGDPAWIGYVYAFVIFLGMLFSALCESRYYQNVLRVGFRLRSTLVAGIFRKSLKLTHEGQKNFPSGKITNMITTDADVLQQICLLLHGLWSAPFCITMSMVLLYQQLGVASLFGSLVLVIMVPTQAILLNRMTRLTKEGLHRTDKRVSLMNEILAAMDTVKCYAWEKSFQFRVQSVRNDELSLFRSAQLLFAFNSFMVNSIPVVVTLVSFGTFTLLGGDLTPAKAFTSLSLFQVLRYPLNMLPNLLSQVVNANISLQRLEELFLAEERILAPNPPLEPGIPAISIENGNFSWDLKLENPTLTNIKLNIQVGSLVAIVGGTGEGKTSLISAMLGELPPMEDACVVIRGTVAYAPQVPWIFNATVRDNILFGSKYEPSRYGKAIDVTALQHDLDLFAGHDLTEIGERGVNISGGQKQRISMARAFYSNSDIYIFDDPLSALDAHVARQVFNSCIKEGLQGKTRVLVTNQLHFLPQVEKIILLSEGMIKEEGTFEELFKNSELFQKLMENAGKMEEQVKEKEKSDNLDHKSSKAEANWENELPQKAASTMKGKEGKSILIKQEERERGVVSWNVLIRYNNALGGVWVVSILFLCYLLTEVFRVSRSTWLSFWTNQSTLESYRPGYFIFVYGLLSFGQVTVTLANSYWLISSSLHASKRLHDAMLDSILRTPMLFFHTNPTGRIINRFAKDVGEIDRNVANSANNFLNLAWQLLSTFVLIGTVSTISLWAIMPLLILFYSAYLYYQNTSREVKRLDSITRSPVYAQFGEALNGLSSIRAYKAYDWMSIINGKYMDNNIRFSLVTISSDGWLAIRLVTLGGMMIWLIASFSVLGNGRTENHVGFASIMGLLLSYTSNITDLLSNVLRQASKAENSLNSVERVSTYIDLPSEAPAIDKNNRPPSSWPLSGLIKFTDVVLRYRPELPPVLHGLSFAVSPSEKLGIVGRTGAGKSSMLNALFRIVELERGEITIDGCDITKFGLTDLRRALSIIPQSPVLFSGTVRFNLDPFSEHNDADLWKALERAHLKDAVRNSSFGLDAQVFGGESFSVGQRQLLSLARALLRRSKILVLDEATSSVDVRIDALIQKTIREEFRSCTMLIIAHRLNTIIDCDRILVLEAGQVLEHSTPEELLSNEGSAFSRMVQSTGPANAQYLHSLVFESKENKLMFIHS >Potri.001G340000.1.v4.1 pep chromosome:Pop_tri_v4:1:34879359:34884153:-1 gene:Potri.001G340000.v4.1 transcript:Potri.001G340000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G340000.v4.1 MGGVTSSMAAKFAFFPPNPPSYKLVTDELTGLLLLSPFPHRENVEILKLPTRKGTDIVAMYIRHPLATSTLLYSHGNAADLGQMYELFIELSIHLRVNLMGYDYSGYGQSSGKPSEQNTYADIEAAYKCLEESYGTKQEDIILYGQSVGSGPTLDLAARLPQLRAVVLHSPILSGLRVMYPVKRTYWFDIYKNIDKIPLVNCPVLIMHGTSDEVVDCSHGKQLWELCKEKYEPLWLKGGNHCDLEHYPEYIRHLKKFISTVEKSPSQRYSSRRSTDQFEQSRKSTDVFEVSRKSTDRREKPRQSTDRLEKPKIQSNHVDKLEKLKNLSNNADKLEKLRMSFDQMERSRRSVDCHEKSRKSIDHQLERARKSVDRLERIRTG >Potri.010G221000.1.v4.1 pep chromosome:Pop_tri_v4:10:20613377:20622144:-1 gene:Potri.010G221000.v4.1 transcript:Potri.010G221000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G221000.v4.1 MAIVTVAASSPMPTRALFRESKTATTKKILKRQGVRVVGNFSHFGDTVGKDFEFIKKGINKGMDWANEAFRIPQVSKTLDDILWLRNLEDHNSPPIEPQSWPQPSYPGLTGVDLLLADLKALESYASYFYCLSKIWSKPLPEAYDPQEVADYFNCRPHLVAFRLLEVFTAFATATIRIRASGMRKFLRSGSDEDVNGNISQYDLGMVLKETMLNLGPTFIKVGQSLSTRPDIIGTEITKALSGLHDQIPPFPRTLAMKIFEEELGSPVESFFSYVSEEPVAAASFGQVYRGSTLDGRTVALKVQRPNLHHVVVRDIYIIRLGLGLLQKIAKRKSDLRLYADELGKGLVGELDYSIEAANASKFLDAHSSFSFIYAPKIFPDLSRKRVLTMEWVVGERPTDLLSLSTSSAYSERQKLEAKRRLLDLVSKGVEASLVQLLETGLLHGDPHPGNLRYISSGQIGFLDFGLLCQMEKKHRFAMLAAIVHIVNGDWASLVHALIDMDVVRPGTSIRRITMELENSLGEVEFKDGIPDVKFSRVLGKILSVAIKNHFRMPPYFTLVLRSLASLEGLAVAADPNFKTFEAAYPYVVRKLLTENSAETRKILHLVVLNKQKEFRWERLALFLRVGSTRKAFNRVIASKNESSLDYLPKRASGVFDTAHLVLRLLPSRDGIVLRKLLMTANGASLIRAMVSKEAIFVRQQLCRVIADALYHWMTQTFGRGIMATRYGSQVRLTSEADNRELSTSSRLTVPVYDYQSIIRDRRLKVIFSRILDSARKDPVLMLKFYWTTFVMVVTASVRACHRVLVSLSEATLAPSRFLPRVAISA >Potri.005G189800.1.v4.1 pep chromosome:Pop_tri_v4:5:19740160:19741682:-1 gene:Potri.005G189800.v4.1 transcript:Potri.005G189800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G189800.v4.1 MGRDELCITVPSLFHCPISLDVMNSPVSLCTGVSYDRSSIQHWLDSGHDTCPATMQVLSSKDFVPNLTLRRLINLWTSSNSSNSSPSTADLEEKVMAWIEEINNAKPERCLGSIVEFASRGEVNRSLLASFDGFLETIVGVLSINGMQIRVLESVVRILSLILLENGVNEKLHKLILKSSSNSSCLPSFISILRNGSLESKMACFTVLESVTTNNESKRFIAGVENNFLPVIIHLIKIDNDNQELHDVVLSFLIAVSVARSIKSQLVQLGIVEVLSNMMSSKNAAISVVEKSLKILSMVCTCADGQSALSGDQKCAGAIVERLMKVSKTATEDAVVVLWSMCCLFRDEKVVEKVARSNGVTKVLLVMQSEVGEGNYVRRMCGDLIKVLRFGCKNVGGFSGAVSYETKTTHIMPC >Potri.018G081400.1.v4.1 pep chromosome:Pop_tri_v4:18:9929651:9936494:-1 gene:Potri.018G081400.v4.1 transcript:Potri.018G081400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081400.v4.1 MKIKIMISHHNLSTFPFKSSFFLALLSLSLFHLFSSPSPISQTLAPQNYIVRFKDYEKSDHHRRYLESRVKSDGWKWIERRNPAMDYATDFGVLAIQKERVIGEIERLEMVKDVNLDISYTKRDLLGFVDGEKRPGKMFTSMSFDAEESYAVAQTSNSSIHWGRQLLGQKSQVTSLFGADVLWSKGFTGHKVKMAIFDTGIRADHPHFRKIKERTNWTNEDTLNDNLGHGTFVAGVIAGQDAECLGFAPDAEIYAFRVFTDAQVSYTSWFLDAFNYAIAINMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQCDVIGVGGIDYNDHIAPFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPESARKDILNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEILKGYQPRASIFPSVLDFTDCPYSWPFCRQPLYAGAMPVMFNATILNGMGVIGYIESAPTWHPAEEEGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAQFSGEIEGNVTLRVFSPPSPGEKGPRSSTCVLQLKLKVVPTPPRQKRVLWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDARQYGTLLLVDLEDEYFQEEIEKLRDDVISTGLGLAVFAEWYNMDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDGEQSRYASGTDIVRFPRGGYTHGFPFLDSSESGATQNVLTSGTTKADSSILGLVEVGQGRIAVYGDSNCLDSSHMVTNCYWLLKKILDFTSRNIRDPLLFPDSAKKDAALFVDDNQLPTRRTDVNFSSYSAVVGKDLICKSDSRFEVWGTKGYNLHVRGRNRRLPGYPLIDLGRGLNSTIDTSNLRRPKDTQKNKVVSLGNRTWGMLSRDEADVPVLVASHWLLPAAIAITGLLLLSIWHIRQRRRRRRRGSGSGRLGNL >Potri.018G081400.3.v4.1 pep chromosome:Pop_tri_v4:18:9929740:9936492:-1 gene:Potri.018G081400.v4.1 transcript:Potri.018G081400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G081400.v4.1 MTILDMEHLLLVLLLVKMQSVLVLHLMQRFMPFACLQMHSLLQVSYTSWFLDAFNYAIAINMDVLNLSIGGPDYLDLPFVEKVWEITANNIIMVSAIGNDGPLYGTLNNPADQCDVIGVGGIDYNDHIAPFSSRGMSTWEIPHGYGRVKPDVVAYGREIMGSKISTGCKSLSGTSVASPVVAGVVCLLVSVIPESARKDILNPASMKQALVEGAAKLAGPNMYEQGAGRVDLLESYEILKGYQPRASIFPSVLDFTDCPYSWPFCRQPLYAGAMPVMFNATILNGMGVIGYIESAPTWHPAEEEGNLLSIHFTYSEVIWPWTGYLALHMQIKEEGAQFSGEIEGNVTLRVFSPPSPGEKGPRSSTCVLQLKLKVVPTPPRQKRVLWDQFHNIKYPPGYIPRDSLDVRNDILDWHGDHLHTNFHIMFNMLRDAGYYVETLGSPFTCFDARQYGTLLLVDLEDEYFQEEIEKLRDDVISTGLGLAVFAEWYNMDTMVKMRFFDDNTRSWWTPVTGGANIPALNDLLAPFGIAFGDKILNGDFSIDGEQSRYASGTDIVRFPRGGYTHGFPFLDSSESGATQNVLTSGTTKADSSILGLVEVGQGRIAVYGDSNCLDSSHMVTNCYWLLKKILDFTSRNIRDPLLFPDSAKKDAALFVDDNQLPTRRTDVNFSSYSAVVGKDLICKSDSRFEVWGTKGYNLHVRGRNRRLPGYPLIDLGRGLNSTIDTSNLRRPKDTQKNKVVSLGNRTWGMLSRDEADVPVLVASHWLLPAAIAITGLLLLSIWHIRQRRRRRRRGSGSGRLGNL >Potri.004G180600.2.v4.1 pep chromosome:Pop_tri_v4:4:19521718:19524098:-1 gene:Potri.004G180600.v4.1 transcript:Potri.004G180600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180600.v4.1 MISQGASKVNISLIVNDDEAEQCVRSLHSAFFESDVSELDGKYVSDNGSVQLRSEE >Potri.010G101800.2.v4.1 pep chromosome:Pop_tri_v4:10:12440954:12443635:1 gene:Potri.010G101800.v4.1 transcript:Potri.010G101800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101800.v4.1 MSWLRFFGMRKSLICFLLCDLTPISSSKSIWHIRYHSSSGPWSKNTIVYSIISIFKRQALEKREMSTLPKPSMQTSSRDDAVQLNRAFKGLGCDTAVVVNVLGNRNASQRDSIQQEYETLFSDDLKKQLALELHGHLKKAVLLWMKSPVERDVTTLRQALTGPIIDIKTATEIICTRISSQIRQIKQVYTPTFGTLLEYDIGYHTSGDHRKFLLAYIDTTRYDGPEIERVLVEEDAIAISKIEVKKSGMDESTFIQIFTERSSAHLAALASAYHKMFRKELRKTIKRETSGNFKYALLTILEYAVDPTKHYATMLRKAMKGLGTDDSTLIRILATRAEIDLQKIKEDYLKSYKRPLVEVVHSDTSGYYRAFLLSLLGSKF >Potri.009G041200.5.v4.1 pep chromosome:Pop_tri_v4:9:4862326:4877432:-1 gene:Potri.009G041200.v4.1 transcript:Potri.009G041200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G041200.v4.1 MDRIQATNELAFRVAFSGHSGHLRVEPLSTVERTNPVKSLPDFILPPAFPRETQESIKEHIEEKYLLPRLDPDEFSAEKAGRQWHFDWFEMAKLPLEPSLPRSVVVPTWEVPFRRKKKGSVEGMWEPNSVQVDVSELSPGAQDSASLPRVAGPAKDFVRGSINNRPFRPGGLEESQNVDRLLPDGATNGEWVREVLNGGPAQAVAPSLKQGLDLGDLKAFPCTWNVYKDEGSLNNTSDEKLSELSVQFDDLFKKAWEEDDVAEYEGDAHLSEEDSTNPDAEVSQVDLSSSAAKSQLHALDEILFVESGALMPTSGGTSDNGGHQQKEASAFTGSSEGIAEHFYQLVPDMALSFPFELDAFQKEAIYYLEKGDSVFVAAHTSAGKTVVAEYAFALASKHCTRAVYTAPIKTISNQKYRDFCGKFDVGLLTGDVSVRPEASCLIMTTEILRSMLYRGADIIRDIEWVIFDEVHYVNDVERGVVWEEVIIMLPRHVNIVLLSATVPNTVEFADWISRTKQKTIRVTGTTKRPVPLEHCLFYSGELHRICEGEIFMPQGLKTAKYAFKKNNSTTVGGGPGAYTGPSVTRDGVRGQKRDNQSHSKQNKHGSQNLGAFSGTSWGNQNNGGGQNNWRSWRLEASLWLQLVSKLLKNSLLPVVIFCFSKNRCDKSADSLSGTDLTSSSEKSEIRVFCDKAFSRLKGSDRNLPQIVRVRSLLSRGIAVHHAGLLPIVKEVVEMLFCRGVIKVLFSTETFAMGVNAPARTVVFDTLRKFDGKEFRQLLPGEYTQMAGRAGRRGIDKIGTVVVLCRDEIPEESDLKRVIVGSATRLESQFRLTYIMILHLLRVEELKVEDMLKRSFAEFRSQKQLPEQQKVLMRKLAQPAKTVECIKGEPTIEEYYDLYLEAEKYGNQVSEAVMQSPHAQTFLTPGRVVVVKSLSAQDHLLGVVVKVTSASMKQYIVLVLKPDAPSVSSNLQDKKSADFQQGYVLMPKSKRSCDEEYFSSLTNRKGSGTIKIELPYQGVAAGINYEVRGIESKEFLCICNRKITIDQVRLLEDGSNAAFSKTVQQLLETKSDGNKYPPALDPLKELKLKDVNLVEAYHKWTSLLQKMASNKCHGCIKLEEHISLAKEIKRHKEEVSNLQFQMSDEALQQMPDFQGRIYVLKEIGCIDGDLVVQIKGRVACEMNSGEELICTECLFENQLDDLEPEEAVAIMSAFVFQQRKTSEPSLTPRLSQAKKRLYSTAIRLGELQSNYNIQVNPEEYANENLKFGLVEVVYEWAKGTPFADICELTDVPEGLIVRTIVRLDETCREFKNAAAIMGNSAVYKKMESASNAIKRDIVFAASLYVTGV >Potri.001G071900.1.v4.1 pep chromosome:Pop_tri_v4:1:5410945:5414048:-1 gene:Potri.001G071900.v4.1 transcript:Potri.001G071900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G071900.v4.1 MAWRGQLSKNLKELRILLCQSSPSSSSTRTFIEKNYKDLKTLNPKLPILIRECNGIEPQLWARYDFGVERGVRLEGLSEAQISKALEELGKVGASLKG >Potri.012G032300.1.v4.1 pep chromosome:Pop_tri_v4:12:854200:855590:-1 gene:Potri.012G032300.v4.1 transcript:Potri.012G032300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G032300.v4.1 MKAGPIELGEGKSSAPKAAVNRGVAILDFILRILAFIGTLGSAISMATTNETLPFFTQFIRFRAEYDDLPTFTFFVVANGVVSAYLLFSLPFSIFNIVRSKAQNSRILLIILDTAMLGLLSAGASAAAAIVYLAHQGNVRTNWSAICQQFNSFCERISGSLIGSFIGVVVFILLISLSAVALSRHK >Potri.001G323000.2.v4.1 pep chromosome:Pop_tri_v4:1:33210847:33214483:1 gene:Potri.001G323000.v4.1 transcript:Potri.001G323000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323000.v4.1 MAETETQNEPTLPTKRKLDDDPIPENDQEQDNHYNKSQKIDSLSNNSPIIQEKLTENSRTLEPSIDSQNDNVQEGEDEDGNHSNKSQKIDSLANNSPVIREKLTENSQTLEPSIDNQNDTVQEGEDEDEDEDEDEDGEEEDGDYEDEEENREEAVVDRKGKGILIEEGDEDDDDDGSELEGGDDSEEAEEDDPLAEVDLDNILPSRTRRKVVHPGVYIAASADDDDDSDA >Potri.001G323000.3.v4.1 pep chromosome:Pop_tri_v4:1:33210872:33212280:1 gene:Potri.001G323000.v4.1 transcript:Potri.001G323000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G323000.v4.1 MAETETQNEPTLPTKRKLDDDPIPENDQEQDNHYNKSQKIDSLSNNSPIIQEKLTENSRTLEPSIDSQNDNVQEGEDEDGNHSNKSQKIDSLANNSPVIREKLTENSQTLEPSIDNQNDTVQEGEDEDEDEDEDEDGEEEDGDYEDEEENREEAVVDRKGKGILIEEGDEDDDDDGSELEGGDDSEEAEEDDPLAEVDLDNILPSRTRRKVVHPGVYIAASADDDDDSDA >Potri.002G005201.1.v4.1 pep chromosome:Pop_tri_v4:2:295850:298943:-1 gene:Potri.002G005201.v4.1 transcript:Potri.002G005201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G005201.v4.1 MLVLSRSPISTFEFLQPLLRSLDYDQPKASLSVPRALMLGRIFWAIYTVLYPWLNRWWLPQPLILPAEVYKVGVTHYFSFLKARQELGYVPMVSPREGMAATISYWQERKTKTLDGPTIYARLFVVIGITSVFSAAYLPDIVPPVSLLKATSLVLFRSMWVVRTIFHLAMAAHIGEAVYAWNLARRVDPANARAWFWQTLVFGIRSLRFLLKRAKSEATL >Potri.006G026002.1.v4.1 pep chromosome:Pop_tri_v4:6:1712757:1714255:1 gene:Potri.006G026002.v4.1 transcript:Potri.006G026002.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G026002.v4.1 MGHSLSLKLTAEGSQGESTTANEEKIGLLEKHKDYVDRAKAFHKKKEALRRLKEKAASRNPDEFYFGMIKSRTVGGVHRPQTEANKYSQEELMLMKTQDTGYVLQKEKGRRLKR >Potri.014G195100.7.v4.1 pep chromosome:Pop_tri_v4:14:17449786:17454369:-1 gene:Potri.014G195100.v4.1 transcript:Potri.014G195100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195100.v4.1 MAASQKLYAALLFHSLFLSMLPLKATSSARTQAEALIQWKNTLTSPPPSLRSWSPSNLNNLCNWTAISCNSTSRTVSQINLPSLEINGTLAHFNFTPFTDLTRFDIQNNTVSGAIPSAIGGLSKLIYLDLSVNFFEGSIPVEISELTELQYLSLFNNNLNGTIPSQLSNLLKVRHLDLGANYLETPDWSKFSMPSLEYLSLFFNELTSEFPDFITSCRNLTFLDLSLNNFTGQIPELAYTNLGKLETLNLYNNLFQGPLSPKISMLSNLKSLSLQTNLLGGQIPESIGSISGLRTAELFSNSFQGTIPSSLGKLKHLEKLDLRMNALNSTIPPELGLCTNLTYLALADNQLSGELPLSLSNLSKIADLGLSENFFSGEISPALISNWTELTSFQVQNNNFSGNIPPEIGQLTMLQFLFLYNNSFSGSIPHEIGNLEELTSLDLSGNQLSGPIPPTLWNLTNLETLNLFFNNINGTIPPEVGNMTALQILDLNTNQLHGELPETISNLTFLTSINLFGNNFSGSIPSNFGKNIPSLVYASFSNNSFSGELPPELCSGLSLQQLTVNSNNFTGALPTCLRNCLGLTRVRLEGNQFTGNITHAFGVLPNLVFVALNDNQFIGEISPDWGACENLTNLQMGRNRISGEIPAELGKLPRLGLLSLDSNDLTGRIPGELGNLSMLFMLNLSNNHLKGEIPQGLGSLTRLESLDLSDNKLTGNISKELGGYEKLSSLDLSHNNLSGEIPFELGNLNLRYLLDLSSNSLSGTIPSNLGKLSMLENLNVSHNHLSGRIPDSLSTMISLHSFDFSYNDLTGPIPTGSVFQNASARSFIGNSGLCGNVEGLSQCPTTDNRKSSKHNKKVLIGVIVPVCCLLVVATIFAVLLCCRKTKLLDEEIKRINNGESSESMVWERDSKLTFGDIVNATDDFNEKYCIGRGGFGSVYKAVLSTGQVIAVKKLNMSDSSDIPALNRQSFENEIKLLTEVRHRNIIKLFGFCSRRGCLYLVYEYVERGSLGKVLYGIEGEVELGWGRRVNIVRGVAHAVAYLHHDCSPPIVHRDISLNNILLETDFEPRLSDFGTARLLNTDTSNWTAVAGSYGYMAPELAQTMRLTDKCDVYSFGVVALEVMMGKHPGELLSSIKPSLSNDPELFLKDVLDPRLEAPTGQAAEEVVFVVTVALACTRNNPEARPTMRFVAQELSARTQAYLAEPLDSITISKLTRLQK >Potri.004G093100.1.v4.1 pep chromosome:Pop_tri_v4:4:7905429:7906217:1 gene:Potri.004G093100.v4.1 transcript:Potri.004G093100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093100.v4.1 MEATKFSSSRLVTRPVSLVKPCPRRNTIVALHRGTNGRDRGGRLVDESMIVLRLRIKEMKMLEACNNPPSHWMEWEKQYCLHCNYNNDVCEAVGMLQNYLMNVRPSLALGMVALVSLSVTISTGVVLLQAIEIAMGVLSALH >Potri.004G058500.1.v4.1 pep chromosome:Pop_tri_v4:4:4889915:4893696:1 gene:Potri.004G058500.v4.1 transcript:Potri.004G058500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G058500.v4.1 MDVFCRFLFVFSLFVFVESTCNSTDQELVSKAFSSVSGFNLSWFQHSGPASNCSHPSITEIRLPSRNLSGSISWKYLKNMSQLHIIDLSSNSLQGRVPAWFWSIKSLREVNLSKNGLGGSVGSGINGSSISMLKVLNLSTNRFTNLDKLSGFGNLEVLDISHNDLGSLPSGFANLTKLESLNISSCNISGNITVTSGLQSLKYLDVSNNTMNGKFPSDFPPLDGLEFLNVSLNNFSGLVGYDKYNKFGKSAFSHGGSLIFNTSKTPTNRTMKPQSQPHEGTIKKYPPVYLHAKKARPKSKAKTLIISVSSTSAFLLVSIAVCVFCMHRRRKIAKRNKWAISKPVQFTFKMDKSGPFSFETESGSSWVADIKEPTSAPVIMSSKPLMNFTFKDLIAATSQFGKDSLLAEGRCGPLYRAVLPGDLHVAIKVLENARDLDHGDAVAIFEDFSKLKHPNLLPLCGYCIAGKEKLVLHEFMFNGDLHRWLHELPTLKTNLEDWSADTWENQNIHGSHVASPEEKTNWLTRHRIAVGVARGVAYLHHAGSIHGHLVASNILLSDSIEPRVADFGLRDVGQKNRSVGLDNKDCGFEYDVYCFGVVLIELMTGEQGSGENVGWVRRLVREGRGGDAIDSRLRLGGDSTSEMVECLRVGYLCTAELPEKRPTMQQVLGLLKDIHPC >Potri.018G066500.1.v4.1 pep chromosome:Pop_tri_v4:18:7995087:7998002:-1 gene:Potri.018G066500.v4.1 transcript:Potri.018G066500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G066500.v4.1 MAVVVGLLPSFRSLGFPSSLLTSFASSNSIALQPLLSHKHISFSDIRIYPLLYSSAATASRFSFSRRKQLLSFKVHATVAETDQPKWWEKNAGPNMIDIHSTEEFLRALSEAGDRLVIVEFYGTWCASCRALFPKLCRTAEEHPEILFLKVNFNENKPMCRSLNVKVLPYFHFYRGAHGQLESFSCSLVKFQKIKDAIEMHNTARCSIGPPKAVGELTLESISAPQDKTAGST >Potri.011G076500.1.v4.1 pep chromosome:Pop_tri_v4:11:8073407:8075401:-1 gene:Potri.011G076500.v4.1 transcript:Potri.011G076500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G076500.v4.1 MEAVQKKWWVVCVVFLVLLSVKYGARAQQVPCYFIFGDSLVDNGNNNQLSSLAKANYMPYGIDFPRGPTGRFSNGRTTVDVIAEQLGFRNYIPPYATARGRDILGGVNYASAAAGIREETGRQLGDRISFSGQVRNYQNTVSQIVNILGDKNTTANYLSKCILSIALGSNDYLNNYFMPQLYSSSQQYTPEQYANVLIQQYTQQLRILYNNGARKFALIGLGQIGCSPSELAQNSPDGRTCVQRINSANQIFNDKLRSLVAQFNGNTPDARFIYINAYGIFQDLITRPAAFGFTNTNTGCCGVGRNNGQITCLPLQAPCRNRNQYVFWDAFHPTEAVNLIIGRRSYSAQSASDAYPYDIRQLAQQ >Potri.013G004500.1.v4.1 pep chromosome:Pop_tri_v4:13:309762:314708:1 gene:Potri.013G004500.v4.1 transcript:Potri.013G004500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004500.v4.1 MADQRNGTGQPSNAARNAYAIDVEKFKTRLKALYSNWNENKADLWGSSDVVAIATPPPSEDLRYLKSSALNIWLLGYEFPETVMVFMKKQIHFLCSQKKASLLEVVKKPAREVVGVDVVMHVKAKTDNGTGLMDAIFHAIYAQSSADGKDTPVVGHIAREAPEGIILETWAEKLKGEGFELADVTSGLSDLIAVKDADELINVKKAAFLTFSVMNNVVVPKLENVIDEEKNITHSALMDEAEKAILDPTRAKAKLKADNVDICYPPIFQSGGEFDLRPSAASNDEPLYYDSASVIIIAVGSRYNSYCSNVARTLMIDATPLQSKAYAVLLKAHEAAIGALKPGNKVSAAYQAALSVVEEEAPELVPNLSKSAGTGIGLEFRESGLNLNAKNDRVVKAKMVFNVSLGFQNLQNQIDNPKIRNFSLLLADTVIVGDQNPDVVTSKSSKAVKDVAYSFNEGEEEEQKPKARAEVNGGENLMSKTTLRSDNGEISKEELRRQHQAELARQKNEETARRLAGGGSAKGDNRAASKTSTDLVAYKNVNDIPPARDLMIQIDQKNEAVLLPIYGNMVPFHVSTIRTVSSQQDTNRTCYIRIIFNVPGAAFNPHDSNSLKHQGAIYLKEVSFRSKDPRHISEVVQLIKTLRRHVVARESERAERATLVTQEKLQLAGNRFKPIRLTDLWIRPVFTGRGRKLPGALEAHVNGFRFSTSRSEERVDIMFSNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWSQPQFSGLDLEFDQPLRELGFHGVPHKVTSFIVPTSSCLVELVETPFLVVTLGEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIDDGGWEFLNLEASDSDSDNSEDSDQGYIPSDAEPESESEDDVSDSESLVESEDDEEEDDEEDSEEEKGKTWEELEREASNADREKGDDSDSEEERNRRKVKTFGKSRPAPRPAPRPAPRPAPRPPPRTVPGSMPKRPKFR >Potri.013G004500.3.v4.1 pep chromosome:Pop_tri_v4:13:310984:314747:1 gene:Potri.013G004500.v4.1 transcript:Potri.013G004500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004500.v4.1 MADQRNGTGQPSNAARNAYAIDVEKFKTRLKALYSNWNENKADLWGSSDVVAIATPPPSEDLRYLKSSALNIWLLGYEFPETVMVFMKKQIHFLCSQKKASLLEVVKKPAREVVGVDVVMHVKAKTDNGTGLMDAIFHAIYAQSSADGKDTPVVGHIAREAPEGIILETWAEKLKGEGFELADVTSGLSDLIAVKDADELINVKKAAFLTFSVMNNVVVPKLENVIDEEKNITHSALMDEAEKAILDPTRAKAKLKADNVDICYPPIFQSGGEFDLRPSAASNDEPLYYDSASVIIIAVGSRYNSYCSNVARTLMIDATPLQSKAYAVLLKAHEAAIGALKPGNKVSAAYQAALSVVEEEAPELVPNLSKSAGTGIGLEFRESGLNLNAKNDRVVKAKMVFNVSLGFQNLQNQIDNPKIRNFSLLLADTVIVGDQNPDVVTSKSSKAVKDVAYSFNEGEEEEQKPKARAEVNGGENLMSKTTLRSDNGEISKEELRRQHQAELARQKNEETARRLAGGGSAKGDNRAASKTSTDLVAYKNVNDIPPARDLMIQIDQKNEAVLLPIYGNMVPFHVSTIRTVSSQQDTNRTCYIRIIFNVPGAAFNPHDSNSLKHQGAIYLKEVSFRSKDPRHISEVVQLIKTLRRHVVARESERAERATLVTQEKLQLAGNRFKPIRLTDLWIRPVFTGRGRKLPGALEAHVNGFRFSTSRSEERVDIMFSNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWSQPQFSGLDLEFDQPLRELGFHGVPHKVTSFIVPTSSCLVELVETPFLVVTLGEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIDDGGWEFLNLEASDSDSDNSEDSDQGYIPSDAEPESESEDDVSDSESLVESEDDEEEDDEEDSEEEKGKTWEELEREASNADREKGDDSDSEEERNRRKVKTFGKSRPAPRPAPRPAPRPAPRPPPRTVPGSMPKRPKFR >Potri.013G004500.2.v4.1 pep chromosome:Pop_tri_v4:13:309717:314742:1 gene:Potri.013G004500.v4.1 transcript:Potri.013G004500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G004500.v4.1 MADQRNGTGQPSNAARNAYAIDVEKFKTRLKALYSNWNENKADLWGSSDVVAIATPPPSEDLRYLKSSALNIWLLGYEFPETVMVFMKKQIHFLCSQKKASLLEVVKKPAREVVGVDVVMHVKAKTDNGTGLMDAIFHAIYAQSSADGKDTPVVGHIAREAPEGIILETWAEKLKGEGFELADVTSGLSDLIAVKDADELINVKKAAFLTFSVMNNVVVPKLENVIDEEKNITHSALMDEAEKAILDPTRAKAKLKADNVDICYPPIFQSGGEFDLRPSAASNDEPLYYDSASVIIIAVGSRYNSYCSNVARTLMIDATPLQSKAYAVLLKAHEAAIGALKPGNKVSAAYQAALSVVEEEAPELVPNLSKSAGTGIGLEFRESGLNLNAKNDRVVKAKMVFNVSLGFQNLQNQIDNPKIRNFSLLLADTVIVGDQNPDVVTSKSSKAVKDVAYSFNEGEEEEQKPKARAEVNGGENLMSKTTLRSDNGEISKEELRRQHQAELARQKNEETARRLAGGGSAKGDNRAASKTSTDLVAYKNVNDIPPARDLMIQIDQKNEAVLLPIYGNMVPFHVSTIRTVSSQQDTNRTCYIRIIFNVPGAAFNPHDSNSLKHQGAIYLKEVSFRSKDPRHISEVVQLIKTLRRHVVARESERAERATLVTQEKLQLAGNRFKPIRLTDLWIRPVFTGRGRKLPGALEAHVNGFRFSTSRSEERVDIMFSNIKHAFFQPAEKEMITLLHFHLHNHIMVGNKKTKDVQFYVEVMDVVQTLGGGKRSAYDPDEIEEEQRERDRKNKINMDFQSFVNRVNDLWSQPQFSGLDLEFDQPLRELGFHGVPHKVTSFIVPTSSCLVELVETPFLVVTLGEIEIVNLERVGLGQKNFDMTIVFKDFKRDVLRIDSIPSTSLDGIKEWLDTTDIKYYESRLNLNWRQILKTITDDPQSFIDDGGWEFLNLEASDSDSDNSEDSDQGYIPSDAEPESESEDDVSDSESLVESEDDEEEDDEEDSEEEKGKTWEELEREASNADREKGDDSDSEEERNRRKVKTFGKSRPAPRPAPRPAPRPAPRPPPRTVPGSMPKRPKFR >Potri.013G108400.2.v4.1 pep chromosome:Pop_tri_v4:13:11696673:11704183:-1 gene:Potri.013G108400.v4.1 transcript:Potri.013G108400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G108400.v4.1 MTTTSTTAATTNNTAINSSSKNVNQDPGSYFIDVARQYSSPVGGETELEPTELNTVKGSGGFLVVSIDKLSVKYTSVNLHGHDVGVIQADRPAPEKRLVYYFEIYVKNAGDKGQIAIGFSNHTFKMRRQPGWEANSYGYHGDDGNLYRGPGTGEAFGPTFTTNDTVGAGINYTSQEFFFTKNGALVRAVYKDMKGLLFPTVAVHSQNEEIEVNFGKKPFAFDLKEYERQETMKQQMKVDKISLPPIVSYGLVRSYLLHNGYEETLNAFDVASRSTIPPIYIAQENGSGEQDIAYALAQRKALRQLIRNGEIDSALSKLREWYPQIVQDEKSATCFLLHSQKFIELVRAGALEEAVHYGRIELAKFFKLPGFDDLVQDCVALLAYEKPHQSSAGYLLEESQREIVADAVNAMILLTGPNVKDAQSCLRPHLERLLRQLTVCCLERRSLNGGQGEAFHLHGALKLNSGKRAKCSHL >Potri.017G139000.3.v4.1 pep chromosome:Pop_tri_v4:17:14018971:14023103:1 gene:Potri.017G139000.v4.1 transcript:Potri.017G139000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G139000.v4.1 MMGFVFLLRFAVKCLGVLAWPVFGLGYPLCASIQAIETNSNSDTQKLISYWVSISVVLLLEHSFQLEWLAFWLYIKLMIVGYLVLPYFDGSLYVYKHLINPCLSMSPAIITCQFNKQEELFFKKDDFLVEMRRYMKEKGSDALEDLIASTKKSAKPDVDVKEIRAVEAEDLLKFEQLPVRFEDSNDVETTEKIEVASTKQARQAESNVNQTENRTFPPLECINTATTTVGGGDLCGILPPEKVQKVWTCVICQVTAQSETALISHLHGKRHKATCEQLNVKNQKACEPLNFKNQKACEPLNFKNQASNSNVSPASVGRNLMKSRCIEMIGSHWFCTICNVSSVHGMQSHLKGKRHRASLQALDGLGGNRHA >Potri.015G098100.1.v4.1 pep chromosome:Pop_tri_v4:15:11852619:11859981:-1 gene:Potri.015G098100.v4.1 transcript:Potri.015G098100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098100.v4.1 MASQGSSSRKSMSYSSFQGRKKANENGGGGLDGSRRSLTSSRSMGLTGERTVKRLRLSKALTVPESTSIYQACCRMAAHRVDALLLTDSNSLLCGILTDKDLVSRVIACELNLEETPVSKVMTRNPVFVLSETLAVEALQKMVQGKFRHLPVVENGEVIALLDIAKCLYDAIARMERAAEKGKAIAAAVEGVEKNWGTSISGTNTFIETLRERIFRPAISTIIPENSKIVTVSPTETVLEVTKTMLESSSSCAVVTVDGKPRGIFTSKDILMRVITQNLSPNSTLVEKVMTPNPECATIDTPIVDALHTMHDGKFLHLPVLDRDGNIVAVIDVIHITHAAVATVSQVGSAAAANNETANTMMQKFWDSAMALSPNGDDEEARSEGSLKLASEGTEAGRSLLYPSSVYPNVFSFKIEDKRGRMHRFTCETRNLTDIITSILQRLGDDINCNNLPQILYEDEDHDKVVLASDSDLITAVEHARSAGLKSLRLHLDCSGKGGRKGSRSEGFDYGEADARASAYSTLAAGAALVAGVGVLAYLKRSGY >Potri.012G009800.4.v4.1 pep chromosome:Pop_tri_v4:12:144418:148000:-1 gene:Potri.012G009800.v4.1 transcript:Potri.012G009800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G009800.v4.1 MWRRRSHLLLSNAVRTSHHLSSLSASTASRGRTLLTPSSNSPLFKPPSLHLAPNNRLSSPLSSTISVRLLTGRDPFTSYEITPPVNWGIRIVLEKKAFVVERFGKYLKTLPSGIHFLIPLVDRIAYVHSLKEEAIQIPDQSAITKDNVSILIGGVLYVKIVDPKLASYGVENPIYAVVQLAQTTMRSELGKITLDKTFEERDTLNEKIVEAINVAATDWGLRCLRYEIRDISPPRGVKQAMEMQAEAERRKRAQILESEGERQANINIADGHKSAQILASQGEKQALINKAQGEAEAIIAKAQATAKGIAIVSENIKKSGGIEAVHLHPGPQKENSLSIPWLHSAVSFVSSILAPSILASIGSSEQNCSAAAYDDLRESCWEDSKVSKLEGFNFPAQFSTTFFLSTLLELTSKHGFSVTLMAKTEPLIST >Potri.010G154100.5.v4.1 pep chromosome:Pop_tri_v4:10:16340507:16346585:-1 gene:Potri.010G154100.v4.1 transcript:Potri.010G154100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154100.v4.1 MGRGRVQLKRIENKINRQVTFSKRRTGLLKKANEISVLCDAEVALIVFSHKGKLFEYSTDDSMEKILERYERYSYAERQLVATDLDSQGNWTLEYNRLKAKVELLQRNHRNYLGEDLDSMSLKELQNLEQQIDTALKHIRARKNHLMSQSISELQRKEKAIQVQNNMLVKQIKEKEKNDKALAQPAFWDLQDHGPNASSFLLSQPAGLPLPCLNIGHQEEAPEARRNELGHTLEPIYSFHLGGYGA >Potri.010G154100.1.v4.1 pep chromosome:Pop_tri_v4:10:16340507:16346585:-1 gene:Potri.010G154100.v4.1 transcript:Potri.010G154100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G154100.v4.1 MGRGRVQLKRIENKINRQVTFSKRRTGLLKKANEISVLCDAEVALIVFSHKGKLFEYSTDDSMEKILERYERYSYAERQLVATDLDSQGNWTLEYNRLKAKVELLQRNHRNYLGEDLDSMSLKELQNLEQQIDTALKHIRARKNHLMSQSISELQRKEKAIQVQNNMLVKQIKEKEKNDKALAQPAFWDLQDHGPNASSFLLSQPAGLPLPCLNIGGSHQEEAPEARRNELGHTLEPIYSFHLGGYGA >Potri.018G021700.1.v4.1 pep chromosome:Pop_tri_v4:18:1567144:1569750:1 gene:Potri.018G021700.v4.1 transcript:Potri.018G021700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021700.v4.1 MLRRMVMLNQKKKTGTVPVYLNVYDLTTINGYAYWVGLGIYHSGVQVHGVEYGFGAHDHPTTGIFEVEPKQCPGFMFRKSILIGRTDLGPKEVRVFMEKLAQEFPGNTYHLITKNCNHFCNDVCLKLTGKKIPRWVNRLARIGFLCNCVLPVELNQTKIRQVRSDDIVQEKKKLRSRSTRFPSSSNPVTSPSLTPCPSSSGSRSGRQRRFIPLTSRSFVHDDSTSSSWSLKA >Potri.006G087200.1.v4.1 pep chromosome:Pop_tri_v4:6:6570387:6572542:1 gene:Potri.006G087200.v4.1 transcript:Potri.006G087200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G087200.v4.1 MEGEKGRVCVTGGTGFVASWLIMKLLEQGYSVNTTVRPHPEHKRDVSFLTSLPGGSERLEIFYADLSEPSGFDVAIKGCIGVFHVASPTLDFGNGEPEEVVIQRATDGTLGILKACLNSKTVKRVVLTSSASAVAFNGSGVEMMDEAYWSDVDYIKASNLPIGPYFISKTLTEKRALEFAQEHGLDLVTLAPTYIHGPFICPNMPSSVHISLAMVLGDREQYGLLINAPMLHIDDVARAHIFLLEYPEAKGRYICSKDTITIEEMSEFLSAKYPDYSIPTLEYLKDVEGLKIPGLSSKKLVDSGFKFRYGLEEMFDGAIQCCKEKGLL >Potri.010G093400.2.v4.1 pep chromosome:Pop_tri_v4:10:11779232:11781632:-1 gene:Potri.010G093400.v4.1 transcript:Potri.010G093400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093400.v4.1 MTCNGMAFFPTNFMLQISHDQDDHQPPTSLNPILPSPQDFHGVASFIGKRSSMSFSGIDACHEEGNGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFDAIKAENDALQAQNQKLHAEILTLKSREPTEPINLNKETEGSSSNRSENSSDIKLDISRTPAIDSPLSNHHPTSRSFFPSSSSSIRPAGVAIRPTGVAQLFQTNPSRPDIQCQKIDQLVKEENLGNMFCSIEDQSGFWPWLEQQHFN >Potri.010G093400.1.v4.1 pep chromosome:Pop_tri_v4:10:11779276:11781611:-1 gene:Potri.010G093400.v4.1 transcript:Potri.010G093400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G093400.v4.1 MTCNGMAFFPTNFMLQISHDQDDHQPPTSLNPILPSPQDFHGVASFIGKRSSMSFSGIDACHEEGNGEDELSDDGSQAGEKKRRLNMEQVKTLEKNFELGNKLEPERKMQLARALGLQPRQIAIWFQNRRARWKTKQLEKDYDLLKRQFDAIKAENDALQAQNQKLHAEVISCKNLTHHRLAIEILTLKSREPTEPINLNKETEGSSSNRSENSSDIKLDISRTPAIDSPLSNHHPTSRSFFPSSSSSIRPAGVAIRPTGVAQLFQTNPSRPDIQCQKIDQLVKEENLGNMFCSIEDQSGFWPWLEQQHFN >Potri.011G124000.2.v4.1 pep chromosome:Pop_tri_v4:11:15442976:15446499:-1 gene:Potri.011G124000.v4.1 transcript:Potri.011G124000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124000.v4.1 MEMEDWIESMRGGELVVIDEAGRSTVSQGQGGDLLDTENLTESITVEGYMLINQGRVSSEGQETDVSDVGVEDLTDGFIMVADESRVSEGLDTHKAKGEALLTTELAGQGFDKNREMIWSWLMKDEVSSIGIYGMGGVGKSSLATHIHNQLLQRPTSFKHVFWITVSQDFSISKLQYLIAKAINLNLSNEDDEKKRAAKLYKALVAKGKSVLILDDLWNHFHLEKVGIPVEVNMCKLILTTRSLEVCRRMGCQERIKVELLTKEEAWTLFKEKLGHDAALSPEVEQMAKLVAAECACLPLGIITMAGSMRGVDDLYEWRNALTELKQSEVRPHDMEPEVFHILRFSYMRLNDSALQQCLLYCAFFPEGFTMDREDLIGYLIDEGIIQPMKSRQAEFDKGQAMLNNLENACLLQSYIRKENYRCFKMHDLIRDMALQKLRENSPIMVEVRERLKELPGKDEWKEDLVRVSLMENRLKEIPSSCSPMCPKLSTLFLNSNIELEMIADSFFKHLQGLKVLNLSSTAIPKLPGSFSDLVNLTALYLRRCEKLRHIPSLAKLRELRKLDLRYTALEELPQGMEMLSNLRYLNLHGNNLKELPAGILPNLSCLKFLSINREMGFFKTERVEEMACLKSLETLRYQFCDLSDFKKYLKSPDVSQPLITYFFLIGQLGVDPTMDYLLYMTPEEVFYKEVLLNNCNIGEKGRFLELPEDVSALSIGRCHDARSLCDVSPFKHAPSLKSFVMWECDRIECLVSKSESSPEIFERLESLYLKTLKNFFVLITREGSATPPLQSNSTFAHLKSLTIGACPSMKNLFSLDLLPNLKNLEVIEVDDCHKMEEIIAIEEEEEGTMVKDSNRSSNRNTVTNLSKLRALKLSNLPELKSIFHGVVICGSLQEILVVNCPELKRIPLFDPVLGIGQIPLRRIQAYPKEWWERVEWGNSNSKNVLQPLCVLQEALYY >Potri.011G124000.3.v4.1 pep chromosome:Pop_tri_v4:11:15442973:15445628:-1 gene:Potri.011G124000.v4.1 transcript:Potri.011G124000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124000.v4.1 MGFFKTERVEEMACLKSLETLRYQFCDLSDFKKYLKSPDVSQPLITYFFLIGQLGVDPTMDYLLYMTPEEVFYKEVLLNNCNIGEKGRFLELPEDVSALSIGRCHDARSLCDVSPFKHAPSLKSFVMWECDRIECLVSKSESSPEIFERLESLYLKTLKNFFVLITREGSATPPLQSNSTFAHLKSLTIGACPSMKNLFSLDLLPNLKNLEVIEVDDCHKMEEIIAIEEEEEGTMVKDSNRSSNRNTVTNLSKLRALKLSNLPELKSIFHGVVICGSLQEILVVNCPELKRIPLFDPVLGIGQIPLRRIQAYPKEWWERVEWGNSNSKNVLQPLCVLQEALYY >Potri.011G124000.1.v4.1 pep chromosome:Pop_tri_v4:11:15442365:15446499:-1 gene:Potri.011G124000.v4.1 transcript:Potri.011G124000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G124000.v4.1 MEMEDWIESMRGGELVVIDEAGRSTVSQGQGGDLLDTENLTESITVEGYMLINQGRVSSEGQETDVSDVGVEDLTDGFIMVADESRVSEGLDTHKAKGEALLTTELAGQGFDKNREMIWSWLMKDEVSSIGIYGMGGVGKSSLATHIHNQLLQRPTSFKHVFWITVSQDFSISKLQYLIAKAINLNLSNEDDEKKRAAKLYKALVAKGKSVLILDDLWNHFHLEKVGIPVEVNMCKLILTTRSLEVCRRMGCQERIKVELLTKEEAWTLFKEKLGHDAALSPEVEQMAKLVAAECACLPLGIITMAGSMRGVDDLYEWRNALTELKQSEVRPHDMEPEVFHILRFSYMRLNDSALQQCLLYCAFFPEGFTMDREDLIGYLIDEGIIQPMKSRQAEFDKGQAMLNNLENACLLQSYIRKENYRCFKMHDLIRDMALQKLRENSPIMVEVRERLKELPGKDEWKEDLVRVSLMENRLKEIPSSCSPMCPKLSTLFLNSNIELEMIADSFFKHLQGLKVLNLSSTAIPKLPGSFSDLVNLTALYLRRCEKLRHIPSLAKLRELRKLDLRYTALEELPQGMEMLSNLRYLNLHGNNLKELPAGILPNLSCLKFLSINREMGFFKTERVEEMACLKSLETLRYQFCDLSDFKKYLKSPDVSQPLITYFFLIGQLGVDPTMDYLLYMTPEEVFYKEVLLNNCNIGEKGRFLELPEDVSALSIGRCHDARSLCDVSPFKHAPSLKSFVMWECDRIECLVSKSESSPEIFERLESLYLKTLKNFFVLITREGSATPPLQSNSTFAHLKSLTIGACPSMKNLFSLDLLPNLKNLEVIEVDDCHKMEEIIAIEEEEEGTMVKDSNRSSNRNTVTNLSKLRALKLSNLPELKSIFHGVVICGSLQEILVVNCPELKRIPLFDPVLGIGQIPLRRIQAYPKEWWERVEWGNSNSKNVLQPLCVLQEALYY >Potri.005G246900.1.v4.1 pep chromosome:Pop_tri_v4:5:24136714:24137910:1 gene:Potri.005G246900.v4.1 transcript:Potri.005G246900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G246900.v4.1 MGNCLLLPVKSDKCFSKKSNPALPPDLPAEDSNQVKLPPPPPQKLFNRENNGKLTEAQVEEGRGALLLPPQQCREASNLESVKVHRQIVARVEERTGALLLPHQVFEKASNRESVDAQKQTKAQVEERGGALLLPRQLIKKANNCGLVETQAGKRYPGVLLPHEFFQATL >Potri.008G053200.2.v4.1 pep chromosome:Pop_tri_v4:8:3137063:3138931:1 gene:Potri.008G053200.v4.1 transcript:Potri.008G053200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G053200.v4.1 MFDDQDLGFCANFLGIFIFVLVIAYHYVVADPKYEGN >Potri.019G050700.1.v4.1 pep chromosome:Pop_tri_v4:19:8361727:8365574:1 gene:Potri.019G050700.v4.1 transcript:Potri.019G050700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G050700.v4.1 MVNQKISHRPTICYRPIQPSDLEVLERLHADIFPIRYEEEFFQSVVHARDIVSWAAVDRSRPNGHSDELIGFVTARIVLAKETEIGDLLIYDPLKPDQTLVYIMTLGVVETYRNLGIARSLIRQVIKYASSFPTCHAVYLHVISYNIPAIHLYKKMSFKCIRRLQGFYLINGQHYDSFLFVYYVNGGCSPCSPLELLVAAVSYMTSSLKTVAARLRKNEEESPKLPKCKETNFLISMQAKRNLTTECTGYECV >Potri.008G128800.4.v4.1 pep chromosome:Pop_tri_v4:8:8374116:8383335:-1 gene:Potri.008G128800.v4.1 transcript:Potri.008G128800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128800.v4.1 MCSECHSNNNQFFNGYHCQSCGKWLCFNCMRGYQSNGDFGEAIKSCKFCNGVTVKRDGGRKNSDKVHPTDSPRGSPEPPSPSFSAEPIHSDRLPLYLESRDCGFSPNAITTRSMTSFSAHPSPVSVRRSSSRSDEEEAEDSGKLLYSPSSEYCHDISDIDSSSVSARLEFYNCKTVGSSPLDSPSRIDFSSCRVGHTVQQGREGSPLSQSDGPFDQENMAILSRPDKRTEDPENTDDCSDDGSVLRDQYHKSPKPLDFESNGLIWFPPPPEDENDEEESNFFTYDDEDDDIGDSSAIFSSSSSLSSTFPSKEKQNKINKDPTKAMIQGHFRALVAQLLQGEGIKASKDENNGEWLDIVTAIAWQAAAFVKPDTSRGGSMDPVDYVKVKCIASGNPRDSTLVKGVVCTKNIKHKRMTTQYKNPRLLLLGGALEYQSVVNQLASFNTLVQQENDHLKLIMSKIEALRPNVLLVEKSVSPYAQEYLLGKEISLVLNVKKPLLERIARCTGAQISPSFENISTTRLGHCELFRVERVSEEHETSNQFNKKPSKTLMSFEGCPRRLGCTVLLRGTCREKLKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKMTIRPSIAIPERTAADNSISVIPPMICHAEVALSAQDDGSLGLKPEHEGSESLTGNLDAGVIHPLSPCSVTCRSGNEFSIACHGDLVSNAGGLDAFSASQCEGLKMFAVSPGIKNLSQPELQDIMAEEEGQLLATHESVQSEKIDEDEVSSEYFSVTDTYQSILVSFSSRCVLKGTVCERSRLLRIKFYGNFDKPLGRYLRDDLFDQKSCCRSCKEPAEAHVLCFTHQQGNLTINVRSLSSVKLPGDRDGKIWMWHRCLRCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVVFFRYSPIDILNVHLPPSMLEFNGIVQQEWTRKEAAELLGKMETFYGEIFGVLDSMEQRSKYFGSELSDTNELQNRIMELKDQLVKEKNNYSGILQLAVMESLQLDQTAMDILELNRLRRTLLIGSHVWYRKLYSLDCLLKTNYLVKAKEGDVSYTELKDLKNDIFCKDSKLDHDHEENISGYSKSQEHVGNDFQSEKKETGEETASKTLFSDNPSHASNLSDRIDSAWTGTDQLPIKVQPPHASQAEADGFQPVSVRQPNLFDNPPFRRMVAPKRVHSFDSALRAQERIQKGLPPLHLSTIRSFHASGDYRSMVRDPVSNAMRTYSQTLPLEAHKLNLMHSSTHSFISSAANMAGGARLLLPVRANSDLVIGVYDNDPASVVSYALSSKEHEDWVTDRSNESAGIWSTIKHSKEDSAASSFTSWQSLDSMDLDYMSYGSYGSEDPFSTLGTLFMDSKKSPHLTISYEDASSIAEGKVRFSVTCYFAKQFDFLRKKCCPSDVDFVRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVKKTELESFEKFAPEYFKYLIDSLNSRSPTCLAKILGIYQVTVKHLRGVKETKMDLMVMENLFFNRNIGRVYDLKGSSRSRYNTDTSGSNKVLLDTNLVERLRTEPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTIVSPKQYKKRFRKAMTSYFLTVPDQWSSRTESLHSCHS >Potri.008G128800.5.v4.1 pep chromosome:Pop_tri_v4:8:8374115:8381635:-1 gene:Potri.008G128800.v4.1 transcript:Potri.008G128800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128800.v4.1 MAILSRPDKRTEDPENTDDCSDDGSVLRDQYHKSPKPLDFESNGLIWFPPPPEDENDEEESNFFTYDDEDDDIGDSSAIFSSSSSLSSTFPSKEKQNKINKDPTKAMIQGHFRALVAQLLQGEGIKASKDENNGEWLDIVTAIAWQAAAFVKPDTSRGGSMDPVDYVKVKCIASGNPRDSTLVKGVVCTKNIKHKRMTTQYKNPRLLLLGGALEYQSVVNQLASFNTLVQQENDHLKLIMSKIEALRPNVLLVEKSVSPYAQEYLLGKEISLVLNVKKPLLERIARCTGAQISPSFENISTTRLGHCELFRVERVSEEHETSNQFNKKPSKTLMSFEGCPRRLGCTVLLRGTCREKLKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKMTIRPSIAIPERTAADNSISVIPPMICHAEVALSAQDDGSLGLKPEHEGSESLTGNLDAGVIHPLSPCSVTCRSGNEFSIACHGDLVSNAGGLDAFSASQCEGLKMFAVSPGIKNLSQPELQDIMAEEEGQLLATHESVQSEKIDEDEVSSEYFSVTDTYQSILVSFSSRCVLKGTVCERSRLLRIKFYGNFDKPLGRYLRDDLFDQKSCCRSCKEPAEAHVLCFTHQQGNLTINVRSLSSVKLPGDRDGKIWMWHRCLRCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVVFFRYSPIDILNVHLPPSMLEFNGIVQQEWTRKEAAELLGKMETFYGEIFGVLDSMEQRSKYFGSELSDTNELQNRIMELKDQLVKEKNNYSGILQLAVMESLQLDQTAMDILELNRLRRTLLIGSHVWYRKLYSLDCLLKTNYLVKAKEGDVSYTELKDLKNDIFCKDSKLDHDHEENISGYSKSQEHVGNDFQSEKKETGEETASKTLFSDNPSHASNLSDRIDSAWTGTDQLPIKVQPPHASQAEADGFQPVSVRQPNLFDNPPFRRMVAPKRVHSFDSALRAQERIQKGLPPLHLSTIRSFHASGDYRSMVRDPVSNAMRTYSQTLPLEAHKLNLMHSSTHSFISSAANMAGGARLLLPVRANSDLVIGVYDNDPASVVSYALSSKEHEDWVTDRSNESAGIWSTIKHSKEDSAASSFTSWQSLDSMDLDYMSYGSYGSEDPFSTLGTLFMDSKKSPHLTISYEDASSIAEGKVRFSVTCYFAKQFDFLRKKCCPSDVDFVRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVKKTELESFEKFAPEYFKYLIDSLNSRSPTCLAKILGIYQVTVKHLRGVKETKMDLMVMENLFFNRNIGRVYDLKGSSRSRYNTDTSGSNKVLLDTNLVERLRTEPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTIVSPKQYKKRFRKAMTSYFLTVPDQWSSRTESLHSCHS >Potri.008G128800.3.v4.1 pep chromosome:Pop_tri_v4:8:8374230:8383370:-1 gene:Potri.008G128800.v4.1 transcript:Potri.008G128800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128800.v4.1 MCSECHSNNNQFFNGYHCQSCGKWLCFNCMRGYQSNGDFGEAIKSCKFCNGVTVKRDGGRKNSDKVHPTDSPRGSPEPPSPSFSAEPIHSDRLPLYLESRDCGFSPNAITTRSMTSFSAHPSPVSVRRSSSRSDEEEAEDSGKLLYSPSSEYCHDISDIDSSSVSARLEFYNCKTVGSSPLDSPSRIDFSSCRVGHTVQQGREGSPLSQSDGPFDQENMAILSRPDKRTEDPENTDDCSDDGSVLRDQYHKSPKPLDFESNGLIWFPPPPEDENDEEESNFFTYDDEDDDIGDSSAIFSSSSSLSSTFPSKEKQNKINKDPTKAMIQGHFRALVAQLLQGEGIKASKDENNGEWLDIVTAIAWQAAAFVKPDTSRGGSMDPVDYVKVKCIASGNPRDSTLVKGVVCTKNIKHKRMTTQYKNPRLLLLGGALEYQSVVNQLASFNTLVQQENDHLKLIMSKIEALRPNVLLVEKSVSPYAQEYLLGKEISLVLNVKKPLLERIARCTGAQISPSFENISTTRLGHCELFRVERVSEEHETSNQFNKKPSKTLMSFEGCPRRLGCTVLLRGTCREKLKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKMTIRPSIAIPERTAADNSISVIPPMICHAEVALSAQDDGSLGLKPEHEGSESLTGNLDAGVIHPLSPCSVTCRSGNEFSIACHGDLVSNAGGLDAFSASQCEGLKMFAVSPGIKNLSQPELQDIMAEEEGQLLATHESVQSEKIDEDEVSSEYFSVTDTYQSILVSFSSRCVLKGTVCERSRLLRIKFYGNFDKPLGRYLRDDLFDQKSCCRSCKEPAEAHVLCFTHQQGNLTINVRSLSSVKLPGDRDGKIWMWHRCLRCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVVFFRYSPIDILNVHLPPSMLEFNGIVQQEWTRKEAAELLGKMETFYGEIFGVLDSMEQRSKYFGSELSDTNELQNRIMELKDQLVKEKNNYSGILQLAVMESLQLDQTAMDILELNRLRRTLLIGSHVWYRKLYSLDCLLKTNYLVKAKEGDVSYTELKDLKNDIFCKDSKLDHDHEENISGYSKSQEHVGNDFQSEKKETGLSFEHCVLEHSMLPSCYHNTEDEVHAGEETASKTLFSDNPSHASNLSDRIDSAWTGTDQLPIKVQPPHASQAEADGFQPVSVRQPNLFDNPPFRRMVAPKRVHSFDSALRAQERIQKGLPPLHLSTIRSFHASGDYRSMVRDPVSNAMRTYSQTLPLEAHKLNLMHSSTHSFISSAANMAGGARLLLPVRANSDLVIGVYDNDPASVVSYALSSKEHEDWVTDRSNESAGIWSTIKHSKEDSAASSFTSWQSLDSMDLDYMSYGSYGSEDPFSTLGTLFMDSKKSPHLTISYEDASSIAEGKVRFSVTCYFAKQFDFLRKKCCPSDVDFVRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVKKTELESFEKFAPEYFKYLIDSLNSRSPTCLAKILGIYQVTVKHLRGVKETKMDLMVMENLFFNRNIGRVYDLKGSSRSRYNTDTSGSNKVLLDTNLVERLRTEPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTIVSPKQYKKRFRKAMTSYFLTVPDQWSSRTESLHSCHS >Potri.008G128800.7.v4.1 pep chromosome:Pop_tri_v4:8:8374096:8380445:-1 gene:Potri.008G128800.v4.1 transcript:Potri.008G128800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128800.v4.1 MSFEGCPRRLGCTVLLRGTCREKLKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKMTIRPSIAIPERTAADNSISVIPPMICHAEVALSAQDDGSLGLKPEHEGSESLTGNLDAGVIHPLSPCSVTCRSGNEFSIACHGDLVSNAGGLDAFSASQCEGLKMFAVSPGIKNLSQPELQDIMAEEEGQLLATHESVQSEKIDEDEVSSEYFSVTDTYQSILVSFSSRCVLKGTVCERSRLLRIKFYGNFDKPLGRYLRDDLFDQKSCCRSCKEPAEAHVLCFTHQQGNLTINVRSLSSVKLPGDRDGKIWMWHRCLRCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVVFFRYSPIDILNVHLPPSMLEFNGIVQQEWTRKEAAELLGKMETFYGEIFGVLDSMEQRSKYFGSELSDTNELQNRIMELKDQLVKEKNNYSGILQLAVMESLQLDQTAMDILELNRLRRTLLIGSHVWYRKLYSLDCLLKTNYLVKAKEGDVSYTELKDLKNDIFCKDSKLDHDHEENISGYSKSQEHVGNDFQSEKKETGLSFEHCVLEHSMLPSCYHNTEDEVHAGEETASKTLFSDNPSHASNLSDRIDSAWTGTDQLPIKVQPPHASQAEADGFQPVSVRQPNLFDNPPFRRMVAPKRVHSFDSALRAQERIQKGLPPLHLSTIRSFHASGDYRSMVRDPVSNAMRTYSQTLPLEAHKLNLMHSSTHSFISSAANMAGGARLLLPVRANSDLVIGVYDNDPASVVSYALSSKEHEDWVTDRSNESAGIWSTIKHSKEDSAASSFTSWQSLDSMDLDYMSYGSYGSEDPFSTLGTLFMDSKKSPHLTISYEDASSIAEGKVRFSVTCYFAKQFDFLRKKCCPSDVDFVRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVKKTELESFEKFAPEYFKYLIDSLNSRSPTCLAKILGIYQVTVKHLRGVKETKMDLMVMENLFFNRNIGRVYDLKGSSRSRYNTDTSGSNKVLLDTNLVERLRTEPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTIVSPKQYKKRFRKAMTSYFLTVPDQWSSRTESLHSCHS >Potri.008G128800.6.v4.1 pep chromosome:Pop_tri_v4:8:8375316:8383295:-1 gene:Potri.008G128800.v4.1 transcript:Potri.008G128800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128800.v4.1 MCSECHSNNNQFFNGYHCQSCGKWLCFNCMRGYQSNGDFGEAIKSCKFCNGVTVKRDGGRKNSDKVHPTDSPRGSPEPPSPSFSAEPIHSDRLPLYLESRDCGFSPNAITTRSMTSFSAHPSPVSVRRSSSRSDEEEAEDSGKLLYSPSSEYCHDISDIDSSSVSARLEFYNCKTVGSSPLDSPSRIDFSSCRVGHTVQQGREGSPLSQSDGPFDQENMAILSRPDKRTEDPENTDDCSDDGSVLRDQYHKSPKPLDFESNGLIWFPPPPEDENDEEESNFFTYDDEDDDIGDSSAIFSSSSSLSSTFPSKEKQNKINKDPTKAMIQGHFRALVAQLLQGEGIKASKDENNGEWLDIVTAIAWQAAAFVKPDTSRGGSMDPVDYVKVKCIASGNPRDSTLVKGVVCTKNIKHKRMTTQYKNPRLLLLGGALEYQSVVNQLASFNTLVQQENDHLKLIMSKIEALRPNVLLVEKSVSPYAQEYLLGKEISLVLNVKKPLLERIARCTGAQISPSFENISTTRLGHCELFRVERVSEEHETSNQFNKKPSKTLMSFEGCPRRLGCTVLLRGTCREKLKKVKHVIQYAVFAAYHLSLETSFLADEGASLPKMTIRPSIAIPERTAADNSISVIPPMICHAEVALSAQDDGSLGLKPEHEGSESLTGNLDAGVIHPLSPCSVTCRSGNEFSIACHGDLVSNAGGLDAFSASQCEGLKMFAVSPGIKNLSQPELQDIMAEEEGQLLATHESVQSEKIDEDEVSSEYFSVTDTYQSILVSFSSRCVLKGTVCERSRLLRIKFYGNFDKPLGRYLRDDLFDQKSCCRSCKEPAEAHVLCFTHQQGNLTINVRSLSSVKLPGDRDGKIWMWHRCLRCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVVFFRYSPIDILNVHLPPSMLEFNGIVQQEWTRKEAAELLGKMETFYGEIFGVLDSMEQRSKYFGSELSDTNELQNRIMELKDQLVKEKNNYSGILQLAVMESLQLDQTAMDILELNRLRRTLLIGSHVWYRKLYSLDCLLKTNYLVKAKEGDVSYTELKDLKNDIFCKDSKLDHDHEENISGYSKSQEHVGNDFQSEKKETGLSFEHCVLEHSMLPSCYHNTEDEVHAGEETASKTLFSDNPSHASNLSDRIDSAWTGTDQLPIKVQPPHASQAEADGFQPVSVRQPNLFDNPPFRRMVAPKRVHSFDSALRAQERIQKGLPPLHLSTIRSFHASGDYRSMVRDPVSNAMRTYSQTLPLEAHKLNLMHSSTHSFISSAANMAGGARLLLPVRANSDLVIGVYDNDPASVVSYALSSKEHEDWVTDRSNESAGIWSTIKHSKEDSAASSFTSWQSLDSMDLDYMSYGSYGSEDPFSTLGTLFMDSKKSPHLTISYEDASSIAEGKVRFSVTCYFAKQFDFLRKKCCPSDVDFVRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVKKTELESFEKFAPEYFKYLIDSLNSRSPTCLAKILGIYQVTVKHLRGVKETKMDLMVMENLFFNRNIGRVYDLKGSSRSRYNTDTSGSNKVLLDTNLVERLRTEPIFLGSKAKRSLERAIWNDTSFLAVSFLFNISPLYVNMKRFGVLASGLLYSLFWFCFSFFSNCNKHQTSSF >Potri.008G128800.8.v4.1 pep chromosome:Pop_tri_v4:8:8374042:8379110:-1 gene:Potri.008G128800.v4.1 transcript:Potri.008G128800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128800.v4.1 MTIRPSIAIPERTAADNSISVIPPMICHAEVALSAQDDGSLGLKPEHEGSESLTGNLDAGVIHPLSPCSVTCRSGNEFSIACHGDLVSNAGGLDAFSASQCEGLKMFAVSPGIKNLSQPELQDIMAEEEGQLLATHESVQSEKIDEDEVSSEYFSVTDTYQSILVSFSSRCVLKGTVCERSRLLRIKFYGNFDKPLGRYLRDDLFDQKSCCRSCKEPAEAHVLCFTHQQGNLTINVRSLSSVKLPGDRDGKIWMWHRCLRCAHIDGVPPATRRVVMSDAAWGLSFGKFLELSFSNHATANRVAPCGHSLQRDCLRFYGFGSMVVFFRYSPIDILNVHLPPSMLEFNGIVQQEWTRKEAAELLGKMETFYGEIFGVLDSMEQRSKYFGSELSDTNELQNRIMELKDQLVKEKNNYSGILQLAVMESLQLDQTAMDILELNRLRRTLLIGSHVWYRKLYSLDCLLKTNYLVKAKEGDVSYTELKDLKNDIFCKDSKLDHDHEENISGYSKSQEHVGNDFQSEKKETGLSFEHCVLEHSMLPSCYHNTEDEVHAGEETASKTLFSDNPSHASNLSDRIDSAWTGTDQLPIKVQPPHASQAEADGFQPVSVRQPNLFDNPPFRRMVAPKRVHSFDSALRAQERIQKGLPPLHLSTIRSFHASGDYRSMVRDPVSNAMRTYSQTLPLEAHKLNLMHSSTHSFISSAANMAGGARLLLPVRANSDLVIGVYDNDPASVVSYALSSKEHEDWVTDRSNESAGIWSTIKHSKEDSAASSFTSWQSLDSMDLDYMSYGSYGSEDPFSTLGTLFMDSKKSPHLTISYEDASSIAEGKVRFSVTCYFAKQFDFLRKKCCPSDVDFVRSLSRCQKWSAQGGKSNVYFAKSLDERFIIKQVKKTELESFEKFAPEYFKYLIDSLNSRSPTCLAKILGIYQVTVKHLRGVKETKMDLMVMENLFFNRNIGRVYDLKGSSRSRYNTDTSGSNKVLLDTNLVERLRTEPIFLGSKAKRSLERAIWNDTSFLASVDVMDYSLLVGVDDERKELVLGIIDFMRQYTWDKHLETWVKSSGILGGPKNASPTIVSPKQYKKRFRKAMTSYFLTVPDQWSSRTESLHSCHS >Potri.008G208000.1.v4.1 pep chromosome:Pop_tri_v4:8:15877975:15879824:1 gene:Potri.008G208000.v4.1 transcript:Potri.008G208000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G208000.v4.1 MLDRCLGTHRVRQIQRATRHGKITLLCLFMTVVVLRGTIGAGKSGTPEQDFNDLRNHIYASRKHAEPHRVLTESNQSNNNKNDEGSNANDANNYAAFDINKILVDEGEDEKPDPNKPYFLGPKISDWDEQRAKWLSENPNFSNFIGANKPRVLLVTGSSPKPCENPVGDHYLLKSIKNKIDYCRLHGIEIFYNMALLDAEMAGFWAKLPLIRKLLISHPEIEFLWWMDSDAMFTDMAFEVPWERYKDSNFVMHGWNEMVYDQKNWIGLNTGSFLLRNCQWSLDLLDAWSPMGPKGKIRDEAGKVLTRELKNRPVFEADDQSAMVYLLATQRDKWGDKVYLENAYYLHGYWGILVDRYEEMIENYHPGLGDHRWPLVTHFVGCKPCGKFGDYSVERCLKQMDRAFNFGDNQILQIYGFTHKSLASRRVKRVRNETGNPLEAKDELGLLHPAFKAVKVSAS >Potri.013G015500.5.v4.1 pep chromosome:Pop_tri_v4:13:985425:991087:1 gene:Potri.013G015500.v4.1 transcript:Potri.013G015500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015500.v4.1 MSRASRTLYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYNFDGCRLRVELAHGGRRHSSPVDHYSSYSGSSGSRGPSKRSDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDRGGMTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYIRVREYDSRRSYSRSPSLSSYVSRSRSRSRSGGYSDRSWSKSPRAKHSRRSRSVSVSSRSRAGSSPRSFSRYTISAFLSVLVVILRCSIRYLPKFLLCCNSRSFLSQMVDRCYNLH >Potri.013G015500.2.v4.1 pep chromosome:Pop_tri_v4:13:985424:991073:1 gene:Potri.013G015500.v4.1 transcript:Potri.013G015500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G015500.v4.1 MSRASRTLYVGNLPGDIRLREVEDLFYKYGPIVDIDLKIPPRPPGYAFVEFEEARDAEDAIRGRDGYNFDGCRLRVELAHGGRRHSSPVDHYSSYSGSSGSRGPSKRSDYRVLVTGLPSSASWQDLKDHMRRAGDVCFSQVFRDRGGMTGIVDYTNYDDMKYAIKKLDDSEFRNAFSRAYIRVREYDSRRSYSRSPSLSSYVSRSRSRSRSGGYSDRSWSKSPRAKHSRRSRSVSVSSRSRAGSSPRSFSRSLSRSRSPLASPPRRKHGHRTPSISPGRVSRSPSVRSDRSLSVDSDR >Potri.003G113500.1.v4.1 pep chromosome:Pop_tri_v4:3:13592993:13597373:-1 gene:Potri.003G113500.v4.1 transcript:Potri.003G113500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G113500.v4.1 MAAETVRLTGSASGSSTPCNFNGSQRRPTHLLGLPSSRASISISSSLSHFLGSSARIASHSSKFSTSRQLRGRRRNFSVFAMAADEAKRTVPLKDYRNIGIMAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDSAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQIPIGSEDSFKGIVDLVKMKAIVWSGEELGAKFAYEDIPADLQELAQEYRAQMIETIVELDDEAMEGYLEGVEPEEETIKILIRKGTIASIFVPVLCGSAFKNKGVQPLLDAVIDYLPSPIDLPAMQGSDPENPEVTIERAATDDEPFAGLAFKIMTDSFVGSLTFVRVYSGKLSAGSYVMNANKGKKERIGRLLEMHANSREDVKVALTGDIVALAGLKDTITGETLCDPDNPIVLERMDFPDPVIKVAIEPKTKADVDKMTTGLVKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVAEVKYVHKKQSGGQGQFADITVRFEPMEAGTGYEFKSEIKGGAVPREYVPGVMKGLEECMSNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIKKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLAAKEQEVAA >Potri.003G113500.4.v4.1 pep chromosome:Pop_tri_v4:3:13593008:13597058:-1 gene:Potri.003G113500.v4.1 transcript:Potri.003G113500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G113500.v4.1 MAHIDAGKTTTTERILYYTGRNYKIGEVHEGTATMDWMEQEQERGITITSAATTTFWNKHRINIIDTPGHVDFTLEVERALRVLDSAICLFDSVAGVEPQSETVWRQADKYGVPRICFVNKMDRLGANFFRTRDMIVTNLGAKPLVIQIPIGSEDSFKGIVDLVKMKAIVWSGEELGAKFAYEDIPADLQELAQEYRAQMIETIVELDDEAMEGYLEGVEPEEETIKILIRKGTIASIFVPVLCGSAFKNKGVQPLLDAVIDYLPSPIDLPAMQGSDPENPEVTIERAATDDEPFAGLAFKIMTDSFVGSLTFVRVYSGKLSAGSYVMNANKGKKERIGRLLEMHANSREDVKVALTGDIVALAGLKDTITGETLCDPDNPIVLERMDFPDPVIKVAIEPKTKADVDKMTTGLVKLAQEDPSFHFSRDEEINQTVIEGMGELHLEIIVDRLKREFKVEANVGAPQVNYRESISKVAEVKYVHKKQSGGQGQFADITVRFEPMEAGTGYEFKSEIKGGAVPREYVPGVMKGLEECMSNGVLAGFPVVDVRAVLVDGSYHDVDSSVLAFQLAARGAFREGIKKAGPRMLEPIMKVEVVTPEEHLGDVIGDLNSRRGQINSFGDKPGGLKVVDSLVPLAEMFQYVSTLRGMTKGRASYTMQLAKFDVVPQHIQNQLAAKEQEVAA >Potri.005G066200.1.v4.1 pep chromosome:Pop_tri_v4:5:4295404:4297093:-1 gene:Potri.005G066200.v4.1 transcript:Potri.005G066200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G066200.v4.1 MAELFDKQAAIYLDARPRYPSEWFSMLASLTPDHSLAWDAGTGNGQAAIDVSEHYKQVIATDISEEQLKHAIQHPQVQYFHSPSSMSDDELVNLIGGENSVDLVVVATAVHWFDLEKFYPVVNRVLKKPGGVVAVWSYNIIQVSPEMDPLLRKFYEGTFPFQNPKAMYAFECYKTLPFPFESVGVGCEGQPLELDMPREMSFERLLKLLSSASAVNTAKEQGVNLLSEEVVRELGSAWGGPELVRTVNYKSYMLAGKVKL >Potri.019G024600.1.v4.1 pep chromosome:Pop_tri_v4:19:3612545:3614963:1 gene:Potri.019G024600.v4.1 transcript:Potri.019G024600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024600.v4.1 MAGSATMTISTLIGLVVAMATTFVPQAEARAFFVFGDSLVDNGNNNYLATTARADSPPYGIDYPTRRPTGRFSNGLSIPDFISQQLGSEFTLPYLSPELTGQRLLVGANFASAGIGILNDTGIQFLNIIRMYKQLEYFEQYQRRVTALVGAQQTQQLVNGALTLITVGGNDFVNNYYLVPFSARSRQFRLPDYVRYLISEYRKILMRLYDLGARRVLVTGTGPMGCVPAELAQRSPNGQCSAELQRAASLYNPQLTQMLGQLNDQYGADIFIAANTRQMTADFVYNPQAYGFVTSKIACCGQGPYNGLGLCTPASNLCPNRDLYAFWDPFHPSERANGIVVQQILNGDATYMHPMNLSTILALDSRT >Potri.003G096450.1.v4.1 pep chromosome:Pop_tri_v4:3:12243514:12244303:1 gene:Potri.003G096450.v4.1 transcript:Potri.003G096450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G096450.v4.1 MVEILYKKKKKKKRGTVCVQKKQRKQKQYLASRRRGGSGHWTERLPVKGP >Potri.002G078100.1.v4.1 pep chromosome:Pop_tri_v4:2:5460671:5463910:-1 gene:Potri.002G078100.v4.1 transcript:Potri.002G078100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078100.v4.1 MGSGVEHVSMDQKAFGIDSAEKRLNELGYKQELRREMTFFKTLAITFSSMAVFIGTPLYGSSLRYAGPASLIWGWVVVTFFTWFVGIAMAEICSSFPTTGSLYFWAAHLAGPKWGPFASWCCAWLETIGAVSGIGAQAYSGAQALQMIIFLATGNNKGGGYFASRGVFLCMYIGFTITWAVLNSFALQVIAFLGIISIWWQVIGGLAVIVILPLVAQQTQSASFVFTHFETSPEATGISSKPYAVILSVLLSNYCLYGYDTAAHLTEETKGADRTGPAAILSSIGIISVMGWAYYLALTFSIQDFNYLYDVNNETAGVLVPAQIIYDAFYGRYHNSTGAVVFLCIIWGSFFFCGLSVTACAARVVYALSRDNGIPFSPIWRTIHPKYKVPTNAVWLCAAISIILGLPILKLDVIFTAIVSISTIGWVGGYAVPIFARLVMAEKNFKPGPFYLGRARRPICLVAFLWICYTCSAFLLPTLYPIQWKTFNYAPLAIGMFFTLIMLWWAFDARKWFKGPVRNIDLQNVIFKA >Potri.011G063800.1.v4.1 pep chromosome:Pop_tri_v4:11:5406606:5411821:-1 gene:Potri.011G063800.v4.1 transcript:Potri.011G063800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063800.v4.1 MRPKIYLYGDSITEESFGDGGWGASLSHHFSRTVDVVLRGYSGYNTRWALKVAERIFPPVESGGVPPLAVTVFFGANDACLPDRYSAFQNVPLHEYKQNLHSIISFFKKRWPEIVILLITPPPIDEDARLRHPYIENPSGLPERTNEAAGAYAQACISVAKECGCPVVDLWIKMQECPDWKQAYLSDGLHLTQAGNRIVFEEVVKKLKEQGISVENLPVDLPLIANIDPQDPLKAFQDY >Potri.001G108600.2.v4.1 pep chromosome:Pop_tri_v4:1:8751674:8756709:-1 gene:Potri.001G108600.v4.1 transcript:Potri.001G108600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108600.v4.1 MANLIPAVTFILAFIFRMERMELASTKGKAKAIGTLMGIGGAMLLTFYKGVEIINGSAKVNLLHHRQYSHAASSHGHGRILGFFMALLNCLSYSSWLIVQAKMSARYRSHYSNSALVCAMGAIQATVFALCLERDWNQWKLGWNIRLLTAAFSGVVGSGLMGIIISWCLAMRGPLFVAIFSPLMLVLVAIAGSLLLAEKLYLGSILGALLIICGLYFVLWGKSKEMKAKKQLAPSETETSQEVGIIVTSPTKDTCSDNSRVDIGSSKK >Potri.001G108600.3.v4.1 pep chromosome:Pop_tri_v4:1:8751674:8756709:-1 gene:Potri.001G108600.v4.1 transcript:Potri.001G108600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108600.v4.1 MERICRVLQGLKPALLMVLVQVAFAAVNVLYKLAANDGMNLKIIVAYRFIFATAFMAPLAFIVERKNRGKLTWTVIIQAFFCGLFGCSFAQNAYIESLALISATFACAMANLIPAVTFILAFIFRMERMELASTKGKAKAIGTLMGIGGAMLLTFYKGVEIINGSAKVNLLHHRQYSHAASSHGHGRILGFFMALLNCLSYSSWLIVQAKMSARYRSHYSNSALVCAMGAIQATVFALCLERDWNQWKLGWNIRLLTAAFSVYSF >Potri.001G108600.1.v4.1 pep chromosome:Pop_tri_v4:1:8751674:8756709:-1 gene:Potri.001G108600.v4.1 transcript:Potri.001G108600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108600.v4.1 MERICRVLQGLKPALLMVLVQVAFAAVNVLYKLAANDGMNLKIIVAYRFIFATAFMAPLAFIVERKNRGKLTWTVIIQAFFCGLFGCSFAQNAYIESLALISATFACAMANLIPAVTFILAFIFRMERMELASTKGKAKAIGTLMGIGGAMLLTFYKGVEIINGSAKVNLLHHRQYSHAASSHGHGRILGFFMALLNCLSYSSWLIVQAKMSARYRSHYSNSALVCAMGAIQATVFALCLERDWNQWKLGWNIRLLTAAFSGVVGSGLMGIIISWCLAMRGPLFVAIFSPLMLVLVAIAGSLLLAEKLYLGSILGALLIICGLYFVLWGKSKEMKAKKQLAPSETETSQEVGIIVTSPTKDTCSDNSRVDIGSSKK >Potri.001G165800.3.v4.1 pep chromosome:Pop_tri_v4:1:14098971:14104312:-1 gene:Potri.001G165800.v4.1 transcript:Potri.001G165800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165800.v4.1 MDGLPIVNKVRLTMSLENVVKDIPMISDNSWTYGDLMEVESRILKALQPQLCLDPTPKLDRLCNNPISTKLNLDLSSFHRKRLRQTPEVTVTSNNRIHGKNVFINRVSESSNSRFGDSGIISGNVIPQHVQENQSTQNLGPNNMLTLRARSFVPDGNVPGLTLVPQQQRYQIGISPRSMQDQGSSLINVSGASPSRQDMIVAYTNIINPGGSLHGKRENQDAQSSPLSSFNKRARLTPAGPDGIQQQQMGLHMDSLHESEMNWKNSLLQQQAMTRGIQYANSGIQKYPHQMLEGVVHPNAAATSFSAGQPGMRLGLKEEQLETEKPDVLGQGKNDRQMMEAEAGHLDTQQLQVQQRLPQHLMRSNFPQGGWNNLSQDCRKEEPHQKRKLAQSPRLSTGLAHSPLSSKSGELSSGSAGPHFGATVALGSSQREKSMATAPSLTSSANDPLQRQHQAQVAAKRRSNSLPKTPIMSNVGSPASVSNISVPLNANSPSIGTPPMADQSMLERFAKIEIVTMRHQLNCKKNKVDDYSITKPNTYSLQNLSEHLSNSANNEEFKDDSNARQLSKSLAGGNMNICKTRFMDFVLPERVLQGNAISYVTKVRNRMIMSEKPNDGTVVMHYGEADEKPVDVLSAEDYLPTLPNTHFADLLATQFCSLMTREGYLVEYHIQPRPVCINIASSSQPNVSGGPLNNSAIEVKQYNEAVSVQSLNDIKPTLGGNASINSSHNLLANSRMLPPGNPQALQISQSLVSGVSMPARLQQLDPQHSLLQQHQQHQQQQQQQQQQLQQQNQHALIQQQNSQFQRSPMVLPSNPLSDLGAIGANSNMQLGSHMVNKPSTLQLQQQLLQQQQQLQQLQQGQQQQGQQQSQQPLQQQQGPQMQQRKMMMAMGMGSMGNNMVGLGGLGNAMSIGGARGIGPGISGPMAPITGMSNASQNPINLGHTQNINALNQQLRTGHMMPAAAQMVKQRINRASVLGGAQSGIAGMSGARQMHPGSAGFSMLGQPLNRTNMNVIQRSPMGHMGPPKMMAGMNHYMQQQQLQQQQQQLQQQQQPQLQQLQQQLQPQQHQQLLLQQQQETSSLQAVVAPSQVGSPSTMGIPLLNQQTQQQPSPQQMSQRTPMSPQLSSGAIHAISSGNPEAGPASPQLSSQTLGSVGSITNSPMELQAVNKRNSVSNA >Potri.001G165800.2.v4.1 pep chromosome:Pop_tri_v4:1:14098970:14107013:-1 gene:Potri.001G165800.v4.1 transcript:Potri.001G165800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165800.v4.1 MSQVHLSLHEVSFTLNLYPDGYSIAKPPEIKAAHQAPLQDGQKLLHPYDKASETLFSAIESGRLPGDILDDIPCKYVNGTLVCEVQDYRKCASKQGSSIPSMDGLPIVNKVRLTMSLENVVKDIPMISDNSWTYGDLMEVESRILKALQPQLCLDPTPKLDRLCNNPISTKLNLDLSSFHRKRLRQTPEVTVTSNNRIHGKNVFINRVSESSNSRFGDSGIISGNVIPQHVQENQSTQNLGPNNMLTLRARSFVPDGNVPGLTLVPQQQRYQIGISPRSMQDQGSSLINVSGASPSRQDMIVAYTNIINPGGSLHGKRENQDAQSSPLSSFNKRARLTPAGPDGIQQQQMGLHMDSLHESEMNWKNSLLQQQAMTRGIQYANSGIQKYPHQMLEGVVHPNAAATSFSAGQPGMRLGLKEEQLETEKPDVLGQGKNDRQMMEAEAGHLDTQQLQVQQRLPQHLMRSNFPQGGWNNLSQDCRKEEPHQKRKLAQSPRLSTGLAHSPLSSKSGELSSGSAGPHFGATVALGSSQREKSMATAPSLTSSANDPLQRQHQAQVAAKRRSNSLPKTPIMSNVGSPASVSNISVPLNANSPSIGTPPMADQSMLERFAKIEIVTMRHQLNCKKNKVDDYSITKPNTYSLQNLSEHLSNSANNEEFKDDSNARQLSKSLAGGNMNICKTRFMDFVLPERVLQGNAISYVTKVRNRMIMSEKPNDGTVVMHYGEADEKPVDVLSAEDYLPTLPNTHFADLLATQFCSLMTREGYLVEYHIQPRPVCINIASSSQPNVSGGPLNNSAIEVKQYNEAVSVQSLNDIKPTLGGNASINSSHNLLANSRMLPPGNPQALQISQSLVSGVSMPARLQQLDPQHSLLQQHQQHQQQQQQQQQQLQQQNQHALIQQQNSQFQRSPMVLPSNPLSDLGAIGANSNMQLGSHMVNKPSTLQLQQQLLQQQQQLQQLQQGQQQQGQQQSQQPLQQQQGPQMQQRKMMMAMGMGSMGNNMVGLGGLGNAMSIGGARGIGPGISGPMAPITGMSNASQNPINLGHTQNINALNQQLRTGHMMPAAAQMVKQRINRASVLGGAQSGIAGMSGARQMHPGSAGFSMLGQPLNRTNMNVIQRSPMGHMGPPKMMAGMNHYMQQQQLQQQQQQLQQQQQPQLQQLQQQLQPQQHQQLLLQQQQETSSLQAVVAPSQVGSPSTMGIPLLNQQTQQQPSPQQMSQRTPMSPQLSSGAIHAISSGNPEAGPASPQLSSQTLGSVGSITNSPMELQAVNKRNSVSNA >Potri.001G165800.1.v4.1 pep chromosome:Pop_tri_v4:1:14098914:14107038:-1 gene:Potri.001G165800.v4.1 transcript:Potri.001G165800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G165800.v4.1 MGVSFKVSKTGTRFRPKPVFQSDTVPDEVSENFKESSVIGSKNESSTRKRQGDIVAGALDVLDVSSSSLSEHEVSFTLNLYPDGYSIAKPPEIKAAHQAPLQDGQKLLHPYDKASETLFSAIESGRLPGDILDDIPCKYVNGTLVCEVQDYRKCASKQGSSIPSMDGLPIVNKVRLTMSLENVVKDIPMISDNSWTYGDLMEVESRILKALQPQLCLDPTPKLDRLCNNPISTKLNLDLSSFHRKRLRQTPEVTVTSNNRIHGKNVFINRVSESSNSRFGDSGIISGNVIPQHVQENQSTQNLGPNNMLTLRARSFVPDGNVPGLTLVPQQQRYQIGISPRSMQDQGSSLINVSGASPSRQDMIVAYTNIINPGGSLHGKRENQDAQSSPLSSFNKRARLTPAGPDGIQQQQMGLHMDSLHESEMNWKNSLLQQQAMTRGIQYANSGIQKYPHQMLEGVVHPNAAATSFSAGQPGMRLGLKEEQLETEKPDVLGQGKNDRQMMEAEAGHLDTQQLQVQQRLPQHLMRSNFPQGGWNNLSQDCRKEEPHQKRKLAQSPRLSTGLAHSPLSSKSGELSSGSAGPHFGATVALGSSQREKSMATAPSLTSSANDPLQRQHQAQVAAKRRSNSLPKTPIMSNVGSPASVSNISVPLNANSPSIGTPPMADQSMLERFAKIEIVTMRHQLNCKKNKVDDYSITKPNTYSLQNLSEHLSNSANNEEFKDDSNARQLSKSLAGGNMNICKTRFMDFVLPERVLQGNAISYVTKVRNRMIMSEKPNDGTVVMHYGEADEKPVDVLSAEDYLPTLPNTHFADLLATQFCSLMTREGYLVEYHIQPRPVCINIASSSQPNVSGGPLNNSAIEVKQYNEAVSVQSLNDIKPTLGGNASINSSHNLLANSRMLPPGNPQALQISQSLVSGVSMPARLQQLDPQHSLLQQHQQHQQQQQQQQQQLQQQNQHALIQQQNSQFQRSPMVLPSNPLSDLGAIGANSNMQLGSHMVNKPSTLQLQQQLLQQQQQLQQLQQGQQQQGQQQSQQPLQQQQGPQMQQRKMMMAMGMGSMGNNMVGLGGLGNAMSIGGARGIGPGISGPMAPITGMSNASQNPINLGHTQNINALNQQLRTGHMMPAAAQMVKQRINRASVLGGAQSGIAGMSGARQMHPGSAGFSMLGQPLNRTNMNVIQRSPMGHMGPPKMMAGMNHYMQQQQLQQQQQQLQQQQQPQLQQLQQQLQPQQHQQLLLQQQQETSSLQAVVAPSQVGSPSTMGIPLLNQQTQQQPSPQQMSQRTPMSPQLSSGAIHAISSGNPEAGPASPQLSSQTLGSVGSITNSPMELQAVNKRNSVSNA >Potri.008G161001.1.v4.1 pep chromosome:Pop_tri_v4:8:11069619:11069960:1 gene:Potri.008G161001.v4.1 transcript:Potri.008G161001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G161001.v4.1 MIMEFNQWKSSMPVLLMTFLVMLGALNKHITLLVAQQSCWVHHKIQLAEISGAALIKIESDNSGNLVLLSDIHAVAGRSDHVAKLRAMIWENQIRKHRISSRFELGSVIHEAS >Potri.017G050500.1.v4.1 pep chromosome:Pop_tri_v4:17:3579721:3585530:1 gene:Potri.017G050500.v4.1 transcript:Potri.017G050500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G050500.v4.1 MESFALHSLSATTTFSTSLYNRNSLLHRSPGPISITKSSSTAHSLSTIRSSTQKPLLFSLFHNKNKIFTSKSRILALDQESKDSPLSKSPEKPTPSLKGAKLIPLLISVSIGLIVRFAVPKPIEVTPQAWQLLSIFLSTIAGLVLSPLPVGAWAFLGLTTSVVTRTLTFSTAFSAFTSEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGIFLPIIKSLSLSAGSKPGDTSSKKLGSYLVQSQFQCSSSSSALFLTAAAQNLLCLKLAEELGVIISSPWVSWFKAASLPALFSILATPLILYKLYPPETKDTPDAPAVAAKKLETMGPVTRNEWIMVGTMVLAVSLWVFGDALGIPSVVAAMIGLSILLLLGVLDWDDCLIEKSAWDTLVWFAVLVGMAGQLTNLGVVTWMSSCVAKVLQSVSLSWPAAFGILQASYFLIHYLFASQTGHVGALYSAFLAMHLAAGVPGILAALALAYNTNLFGAITHYSSGQAAVYYGAGYVDLPDVFKMGFTMALINAIIWGVTGTFWWKFLGLY >Potri.007G116700.1.v4.1 pep chromosome:Pop_tri_v4:7:13604214:13610012:1 gene:Potri.007G116700.v4.1 transcript:Potri.007G116700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116700.v4.1 MVKKMEMVNHQRQKRVRKGRDYHNRRVMQIEGGDFDYGYGFDDGMMEMINGVGVEEEVGQFSANNNINGVVISNGNDGVFESTRTSELTIAFEGEVYVFPAVTPSKVQAVLFLLGEPETSTIVPSSEYLLQQNARNAGDASQGLKHSRRVASLVRFREKRKERCFEKKVRYTCRKEVAQKMHRKRGQFASLNNCYGTDTGNWEPSNGMRNPEFDLLRCQHCGISAKDTPAMRRGPAGPRTLCNACGLMWANKGTLRDLNKGGRQISFNQNEPVTPDFKPSTIERENPFANPDEAESQEESKPVPLDSENSLRPNEQDLLETDETAPDPLPMRVENSSMNLDDEDFENTLDELGDVSGSEFEIPEHFDDQVDIEDSSTGTEWPGT >Potri.008G147300.3.v4.1 pep chromosome:Pop_tri_v4:8:10035570:10041548:1 gene:Potri.008G147300.v4.1 transcript:Potri.008G147300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G147300.v4.1 MEGDEDRIEESNARLVGRSNHNIVDGGSVGESRWVDGSEVDSESPPWSLLDENDSRQGFGSMRRRLVKKPNKVNSFDVEAMEIAGAHHHHSKDLSVWKTLAMAFQTLGVVYGDLGTSPLYVFTDVFSKVPIKSEVDILGALSLVIYTISLIPLAKYVFVVLKANDNGEGTSMVIGDGILTPAMSVMSAVSGLQGEISWFGTNAVVVVSIIILVGLFSIQQFGTGKVGFLFAPVLGLWFFSLGSIGIYNLVKHDISVIRALNPAYIYFFFKKNSGAAWSALGGCVLCITGAEAMFADLGHFCVESIQIAFTCVVFPCLLLAYMGQASYLMKYPDSASRIFYDSIPESLFWPVFVIATLAAMIASQAMISATFSCVKQAMSLGCFPRLKIVHTSRKLMGQIYIPVINYFLMIMCIVVVSIFRRTTDIANAYGIAEVGVMIVSTTLVTLVMLLIWKTNLFLALCFPLVFGSVELVYLSAVLSKIKEGGWLPLVFATFFLCVMYTWNYGSVLKYQSEVREKISMDFMLELGSTLGTVRVPGIGLLYNELVQGIPSIFGQFLLSLPAIHSTIVFVCIKYVPVPLKRKGFFSVEFVRRTTIYSNVLPDTVTKMSGR >Potri.002G222400.1.v4.1 pep chromosome:Pop_tri_v4:2:20641507:20648487:1 gene:Potri.002G222400.v4.1 transcript:Potri.002G222400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G222400.v4.1 MQSDSGKLFIGGISWDTNEERLKDYFQSFGEVVEAVIMKDRTTGRARGFGFVVFSDPSIAERVIKEKHSIDGRMVEAKKAVPRDDQNMLSRNSGGSIHGSPGPGRTKKIFVGGLASTVTESDFRKYFDQFGLITDVVVMYDHNTQRPRGFGFITYDSEEAVDKVLMKTFHELNGKMVEVKRAVPKELSPGPSRSPLGGYNYGLNRVNSLLNGYTQGYTPGAVGGYGLRMDDRFSLVAGGRSGFPPFGSGYGMGLNFEPALSPSYGGDANFNSNLSYGRGMSPYYIGNTNNLARPVGYDGGNGGNTSFFSSATRNLWGNGGLNYNTNSTSSNAYMGSGTGSLGGSTFGNSGANWGSSSLSGQGGGNNVSNSSLNFGHGSGENSFGQGMGSYARNSGNNGAPTSSYAASNGSFDGAFADLYGGNSDYRYPTWQPSNSERDGSGSFGYGLGNTTSDVPVQNSPGYADGYSVNKRQSNRGIAA >Potri.003G193700.1.v4.1 pep chromosome:Pop_tri_v4:3:19657167:19658772:-1 gene:Potri.003G193700.v4.1 transcript:Potri.003G193700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193700.v4.1 MATPPSIPPSLKPVQTRSQSPSTFPSPPTTPSGKVNPMSPVRPTISLQQPNSKKGSSKHNKIFRRVRAVFRSFPIIAPACKIPVSLHGNRLHDGHVHGGTRMTGTLFGHRKARINLAIQESPGSLPVLLLELTIPTGKLLQDMGVGLVRIALECEKKPHEKTKIEDEPIWTMFCNGRKSGYAVKREPTDEDLNVMQILHVVSMGAGVIPTGDGADQPADGELTYMRAFFERVAGSKDSETYYMLNPDGNNGPELSLFFVRI >Potri.012G123100.1.v4.1 pep chromosome:Pop_tri_v4:12:14025019:14026115:1 gene:Potri.012G123100.v4.1 transcript:Potri.012G123100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123100.v4.1 MGDSQYSFSLTTFSPTGKLVQIEHALTAVGSGQTSLGIKAANGVVIATEKKLPSILIDESSVSKLFFFFSVLLYSLVEIQETLLFFCVFYCVFFVNFSGWPCDLLVIT >Potri.010G139500.3.v4.1 pep chromosome:Pop_tri_v4:10:15350615:15352262:-1 gene:Potri.010G139500.v4.1 transcript:Potri.010G139500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139500.v4.1 MIPEMVSSVEMMLGRWKDHRGKEIEVFQDFKVLTSEIISRTAFGSSYLEGQQIFEILTRMVLIFSKNLFKMRIPGIGKLVKTQDDIQSEKLGQLIRNSVIKMIKKREAAMAGEIDGYGSDFLGQLVKVYRSADMTSRITIDDLIDECKNFYIAGHETTTSALTWIVLMLATHADWQEKVRNEILELFGQQNPSLEGISRLKTMSMVINESLRLYPPVVGLLREVKKGTKLGNLIIPEKMEVHVPSLALHHDPQIWGDDVHLFKPDRFAEGVAKATKNNISAFLPFGMGPRNCVGMNFAYNEIKITLSMILQRYRITLSPNYVHSPVLVLAICPQHGLQVTIKAV >Potri.010G139500.2.v4.1 pep chromosome:Pop_tri_v4:10:15350615:15353349:-1 gene:Potri.010G139500.v4.1 transcript:Potri.010G139500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139500.v4.1 MAVVAAMGTTIIFLSSCGCLYLLLLFINFFNKVWWTPIRIQSALHSQGVKGPSYRFLHGNLKEIINLRKEAMSSPTELCHQSFSRIQPHVYLWSKLHGKNFFWWKGSQAQLVVYETEQIKVVLNNKDGNYLKPEVQPYLKKLFGDGLVTTRGEKWFKLRKVANHAFHGESFKDMIPEMVSSVEMMLGRWKDHRGKEIEVFQDFKVLTSEIISRTAFGSSYLEGQQIFEILTRMVLIFSKNLFKMRIPGIGKLVKTQDDIQSEKLGQLIRNSVIKMIKKREAAMADWQEKVRNEILELFGQQNPSLEGISRLKTMSMVINESLRLYPPVVGLLREVKKGTKLGNLIIPEKMEVHVPSLALHHDPQIWGDDVHLFKPDRFAEGVAKATKNNISAFLPFGMGPRNCVGMNFAYNEIKITLSMILQRYRITLSPNYVHSPVLVLAICPQHGLQVTIKAV >Potri.010G139500.4.v4.1 pep chromosome:Pop_tri_v4:10:15350615:15352775:-1 gene:Potri.010G139500.v4.1 transcript:Potri.010G139500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G139500.v4.1 MIPEMVSSVEMMLGRWKDHRGKEIEVFQDFKVLTSEIISRTAFGSSYLEGQQIFEILTRMVLIFSKNLFKMRIPGIGKLVKTQDDIQSEKLGQLIRNSVIKMIKKREAAMADWQEKVRNEILELFGQQNPSLEGISRLKTMSMVINESLRLYPPVVGLLREVKKGTKLGNLIIPEKMEVHVPSLALHHDPQIWGDDVHLFKPDRFAEGVAKATKNNISAFLPFGMGPRNCVGMNFAYNEIKITLSMILQRYRITLSPNYVHSPVLVLAICPQHGLQVTIKAV >Potri.002G025100.1.v4.1 pep chromosome:Pop_tri_v4:2:1632626:1637497:-1 gene:Potri.002G025100.v4.1 transcript:Potri.002G025100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025100.v4.1 MRGLKKSKRVCWASDVNLCQVRLFLSEESPSQIGSGAQDHLQAKSSWPTHSAGTDDFLPPGFEGSHPSSQLQIKLSEVPVIKWRCPPRLVLNLTWQLVAGEESEEMEVQNQREMRVLEAVYPRPSAIPPNSTFSVDLESSQHGDHQIPLIPITPIEDEDATEAPSDVMGPSMVPMNSQAQLLASGFPSFQNGIPSFPNGKPTAGVLPGVEPDAMAAVNKSSEQGSLIDPDLLLKILSNPKLIEKLVTDHGAVANAQNIPNTPLSDPLTSHVTLPNPAHIQMNRTESSAQASLTATSSGSFYTQPNGVPMGVPSSARIPPPGVPSTPTGATQAKDINYYKNLIQQHGGDKQETPQQFGSRYNHQVGTSQDLVNSKSRESKHKIMKPCIYFNSSRGCRNGVNCAYQHDSSSQQKGSGITEVQSSKRMKMDREISS >Potri.003G157450.1.v4.1 pep chromosome:Pop_tri_v4:3:16831993:16832715:1 gene:Potri.003G157450.v4.1 transcript:Potri.003G157450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G157450.v4.1 MFVGELVETARLVMKERKESGPTRPCHSREAYRRLKLEGKVPKRSVTKALSVDGTQAHIWWLLARGF >Potri.002G111000.3.v4.1 pep chromosome:Pop_tri_v4:2:8319474:8351318:-1 gene:Potri.002G111000.v4.1 transcript:Potri.002G111000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111000.v4.1 MNIVKGVADLIRRTSSGQTGESIQGSSSGRFSPPSPKICFSEVGDEAVLHTLWEKYENAVDKAEKKKLFHVFLKQFLMVFEKWEPANASQLPEAALTTVPPVEYPLRVDDIIVGCSAGHPAEIILALTEEITQLTSLVSELNTSVVRTRVDSPGNSTSLSITSEGLPLLNALTIIVRSMHNCRVFGYYGGIQKLTALMKGALVQLKSITSELSGDESLSSISLDKTRLLQQILLYVVSIICGYIDLNTNLYEKAQLFSSHAEFFTPSWGASSNESSSGVKVPTETRLYWHQRAVVSVMEAGVLNWLVELLRVIRRLSMKEQRTDLSLQYLTLWTLHLALSNNPRGQNHFKSIGGLEVLLDGQGLPSINVLLWRNASHVGDERGENPLLKIFQLHVLSLTVLREAVFGNMNNLQFLCENGRIHKFANSFCSLSFLLQECEQNTKDLSVQDDCQIPVSDLENENHVKMERSFPLPADAAYSKLWNEYVVKLSGVLCSFIVAPENIKPHHVQTNTGRIGMPISAAYGELSIKWVMGVLLTVFPCIKACSNQKELPNHLRVFANVLQHSVLDAFTKVLVSSPVSLEIFREEGIWDLIFSENFFHFGPDSEEMAGECGSYNQGFPGQLDRNLSSSSISNQTKISSFEILQMEVISFVEFAATCNGTVDNLLEVSVLLDALEQCACHPDIAVVLAKSLLHILQLLPEKTIASFKSLSAVSRVLKVACIQAEECRRSGNMSPSLESKILPLHGGQRPNSEKMGQSWFTCMDTCMELFTKFFSIADDAGSFVLCDWTCIDCLFDLFWEEGMRNHVFESILDLMKLVPSSLEDQKAKLHLCSKYLETFTQIKEREKSFAELSINLLVGMREMLMTNPAYYQALFRDGECFLHVVSLLNGNLDEVYGEKLVLNVLQTLTCLLENNDDSKASFRALVGKGYQTMQSLLLDFCQWRPSEALLNALLDMLVDGKFDIKSSPLIKNEDVIILYLSVLQKSSDSLRHYGLNMFQQLLRDSISNRASCVRAGMLNFLLDWFSQEDNDSTILKIAQLIQVVGGHSISGKDIRKIFALLRSEKVGMRQQYCSLLLTTVLSMLNEKGPTAFFDFNGNDSGIIVKTPVQWPLSKGFSFSCWLRVESFPRNGTMGLFSFLSENGKGCLAAVGNERLIYESINLKQQRIQFHINLASKKWHFLCITHSIGRAFSGGSLLRCYVNGDLVASERCRYAKVNELLTSSSIGMKINLPHNEEEIFPDSIRDFFSFHGQIGPVYLFSDAISSEQVQGIYSLGPSYMYSFLDNEATPFYDSSLPSGILDSKDGLSSKIIFGLNAQASDGKKLFNVSLVTDHALDKKAFEATVMAGTQLCSRRMLQQIIYCVGGVSVFFPLISQSDRYDNEESGSFEHALLTPITKERLTAEVIELIASVLDDNLANQQQMHLLSGFSILGFLLQSVPPELLNLETLSALKHLFNVAANCGLAELLVKDAISCIFLNPFIWVYTVYKVQRELYMFLIQQFDNDPRLLKSLCQLPRVIDIIRQFYWDNSKSRFAIGSKPLRHPITKVIIGERPNREETHKIRLLLLSLGEMSLRQCIGTADIKAIIAFFETSQDMACIEDVLHMVIRALSQKQLLVAFLEQVNLIGGCHIFVNLLQREYEPIRLLSLQFLGRLLVGLQSERKPPRLFNLSVGRSRSVSESQKKVSSKMQPVFSAISDRLFRFPLTDNLCAALFDVLLGGASPKQVLQKYNQVDKQRSKGNNSHFLVPQILVIIFGFLSSCEDVSTRTKIIRDLLDLLDSNSSNIEALMEYGWNAWLTATLKLNVIKDYIVESQDQTHSERLEQNLVRSLFCVVLCHYMLSVKGGWQQLEETVNFLLLQCDQDSISRRKLLHDIFEDLIQRLVDFSFEENIFAAQPCRDNTLYLLQLMDEMLVAEIDHKILFPENSSEVSIDSSELESQKNFSSALSQVVQGEFNNQTSRNPWGGKHSTTHEGEVINDKWWDLYENLWIIISEINGKGPSKMMLKSSAAAGPSLGQRARGLVESLNIPAAEMAAVVVSGGIGNALAGKPNKTADKAMLLRGERCPRIVFRLAILYLCRSSLERASRCVQQVIALLPSILAADDEQSKSRLQLFIWSLLAVRSEYGVLDDGARLHVISHLIRETINCGKSMLASSIVGRDDSSDTGSNSKDTSSIHSIIQKDRVLAAVSDEAKYIKSSISDRTRQLEELHARMDENSTVETTNKKAFEDEIQNSLNSIVALDDSRRAAQQLVHEEEEQNVAEKWMHMFRTLIDERGPWSANLFPNGVVKHWKLDKTEDAWRRRPKLRQNYHFDEKLCLPPSSSSNEDTLPVNETKNSFVGHIPEQMKQFLLKGVRRITDEVISEAGENDAETSGQTTPIPDDPSESQRLDLVGDSSSQNEIVQDKRDSSSTSQETETSEVLMSVQCVLVTPKRKLAGNLAVKKNFLHFFGEFLVEGTGGSSVFKNFQASIKSDANKLEQKHKSLNWPIHVNFSPEKVISVDNTVLANENVQQRQLKHVRRHKRWSVDKIKAVHWSRYLLRYSAIEIFFSDSVAPVFLNFASQKDAKEVGTLIVATRNEFLFPKGSSKDKSGTISFVDRHVALRMAEIARENWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEDLDFNKALTFRDLTKPVGALDVKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGEPLGDVCLPPWAKGSPELFINKNRDALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMEDELQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIARPLYFAPDSINLSSIVSSTSHPPSAVLYVGTLDSNIVLVNQGLTLSVKMWLTTQLQSGGNFTFSSFQEPLFGVGYDVLSARKIGSPLAENVELGAQCFAILQTPTENFLISCGNWENSFQVISLSDGRMVQSTRQHKDVVSCVAVTDDGCFLATGSYDTTVMVWEVLRARITEKRVRNTPTELARKDYVIAETPFHILCGHDDIITCLCASVELDLVISGSKDGTCVFHTLREGKYVRSLRHPSGNALSKLVASRHGRVVLYADEDLSLHLYSINGKHLASSESNGRLNCVELSKCGEFLVCAGDQGQIVVRSMNTFDIVKRYNGVGKIITCLTVTVEECFIAGTKDGSLLVYSIENPQLRKTSIPRMKSKSSVSG >Potri.002G111000.2.v4.1 pep chromosome:Pop_tri_v4:2:8319474:8351327:-1 gene:Potri.002G111000.v4.1 transcript:Potri.002G111000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111000.v4.1 MNIVKGVADLIRRTSSGQTGESIQGSSSGRFSPPSPKICFSEVGDEAVLHTLWEKYENAVDKAEKKKLFHVFLKQFLMVFEKWEPANASQLPEAALTTVPPVEYPLRVDDIIVGCSAGHPAEIILALTEEITQLTSLVSELNTSVVRTRVDSPGNSTSLSITSEGLPLLNALTIIVRSMHNCRVFGYYGGIQKLTALMKGALVQLKSITSELSGDESLSSISLDKTRLLQQILLYVVSIICGYIDLNTNLYEKAQLFSSHAEFFTPSWGASSNESSSGVKVPTETRLYWHQRAVVSVMEAGVLNWLVELLRVIRRLSMKEQRTDLSLQYLTLWTLHLALSNNPRGQNHFKSIGGLEVLLDGQGLPSINVLLWRNASHVGDERGENPLLKIFQLHVLSLTVLREAVFGNMNNLQFLCENGRIHKFANSFCSLSFLLQECEQNTKDLSVQDDCQIPVSDLENENHVKMERSFPLPADAAYSKLWNEYVVKLSGVLCSFIVAPENIKPHHVQTNTGRIGMPISAAYGELSIKWVMGVLLTVFPCIKACSNQKELPNHLRVFANVLQHSVLDAFTKVLVSSPVSLEIFREEGIWDLIFSENFFHFGPDSEEMAGECGSYNQGFPGQLDRNLSSSSISNQTKISSFEILQMEVISFVEFAATCNGTVDNLLEVSVLLDALEQCACHPDIAVVLAKSLLHILQLLPEKTIASFKSLSAVSRVLKVACIQAEECRRSGNMSPSLESKILPLHGGQRPNSEKMGQSWFTCMDTCMELFTKFFSIADDAGSFVLCDWTCIDCLFDLFWEEGMRNHVFESILDLMKLVPSSLEDQKAKLHLCSKYLETFTQIKEREKSFAELSINLLVGMREMLMTNPAYYQALFRDGECFLHVVSLLNGNLDEVYGEKLVLNVLQTLTCLLENNDDSKASFRALVGKGYQTMQSLLLDFCQWRPSEALLNALLDMLVDGKFDIKSSPLIKNEDVIILYLSVLQKSSDSLRHYGLNMFQQLLRDSISNRASCVRAGMLNFLLDWFSQEDNDSTILKIAQLIQVVGGHSISGKDIRKIFALLRSEKVGMRQQYCSLLLTTVLSMLNEKGPTAFFDFNGNDSGIIVKTPVQWPLSKGFSFSCWLRVESFPRNGTMGLFSFLSENGKGCLAAVGNERLIYESINLKQQRIQFHINLASKKWHFLCITHSIGRAFSGGSLLRCYVNGDLVASERCRYAKVNELLTSSSIGMKINLPHNEEEIFPDSIRDFFSFHGQIGPVYLFSDAISSEQVQGIYSLGPSYMYSFLDNEATPFYDSSLPSGILDSKDGLSSKIIFGLNAQASDGKKLFNVSLVTDHALDKKAFEATVMAGTQLCSRRMLQQIIYCVGGVSVFFPLISQSDRYDNEESGSFEHALLTPITKERLTAEVIELIASVLDDNLANQQQMHLLSGFSILGFLLQSVPPELLNLETLSALKHLFNVAANCGLAELLVKDAISCIFLNPFIWVYTVYKVQRELYMFLIQQFDNDPRLLKSLCQLPRVIDIIRQFYWDNSKSRFAIGSKPLRHPITKVIIGERPNREETHKIRLLLLSLGEMSLRQCIGTADIKAIIAFFETSQDMACIEDVLHMVIRALSQKQLLVAFLEQVNLIGGCHIFVNLLQREYEPIRLLSLQFLGRLLVGLQSERKPPRLFNLSVGRSRSVSESQKKVSSKMQPVFSAISDRLFRFPLTDNLCAALFDVLLGGASPKQVLQKYNQVDKQRSKGNNSHFLVPQILVIIFGFLSSCEDVSTRTKIIRDLLDLLDSNSSNIEALMEYGWNAWLTATLKLNVIKDYIVESQDQTHSERLEQNLVRSLFCVVLCHYMLSVKGGWQQLEETVNFLLLQCDQDSISRRKLLHDIFEDLIQRLVDFSFEENIFAAQPCRDNTLYLLQLMDEMLVAEIDHKILFPENSSEVSIDSSELESQKNFSSALSQVVQGEFNNQTSRNPWGGKHSTTHEGEVINDKWWDLYENLWIIISEINGKGPSKMMLKSSAAAGPSLGQRARGLVESLNIPAAEMAAVVVSGGIGNALAGKPNKTADKAMLLRGERCPRIVFRLAILYLCRSSLERASRCVQQVIALLPSILAADDEQSKSRLQLFIWSLLAVRSEYGVLDDGARLHVISHLIRETINCGKSMLASSIVGRDDSSDTGSNSKDTSSIHSIIQKDRVLAAVSDEAKYIKSSISDRTRQLEELHARMDENSTVETTNKKAFEDEIQNSLNSIVALDDSRRAAQQLVHEEEEQNVAEKWMHMFRTLIDERGPWSANLFPNGVVKHWKLDKTEDAWRRRPKLRQNYHFDEKLCLPPSSSSNEDTLPVNETKNSFVGHIPEQMKQFLLKGVRRITDEVISEAGENDAETSGQTTPIPDDPSESQRLDLVGDSSSQNEIVQDKRDSSSTSQETETSEVLMSVQCVLVTPKRKLAGNLAVKKNFLHFFGEFLVEGTGGSSVFKNFQASIKSDANKLEQKHKSLNWPIHVNFSPEKVISVDNTVLANENVQQRQLKHVRRHKRWSVDKIKAVHWSRYLLRYSAIEIFFSDSVAPVFLNFASQKDAKEVGTLIVATRNEFLFPKGSSKDKSGTISFVDRHVALRMAEIARENWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEDLDFNKALTFRDLTKPVGALDVKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGEPLGDVCLPPWAKGSPELFINKNRDALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMEDELQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIARPLYFAPDSINLSSIVSSTSHPPSAVLYVGTLDSNIVLVNQGLTLSVKMWLTTQLQSGGNFTFSSFQEPLFGVGYDVLSARKIGSPLAENVELGAQCFAILQTPTENFLISCGNWENSFQVISLSDGRMVQSTRQHKDVVSCVAVTDDGCFLATGSYDTTVMVWEVLRARITEKRVRNTPTELARKDYVIAETPFHILCGHDDIITCLCASVELDLVISGSKDGTCVFHTLREGKYVRSLRHPSGNALSKLVASRHGRVVLYADEDLSLHLYSINGKHLASSESNGRLNCVELSKCGEFLVCAGDQGQIVVRSMNTFDIVKRYNGVGKIITCLTVTVEECFIAGTKDGSLLVYSIENPQLRKTSIPRMKSKSSVSG >Potri.002G111000.4.v4.1 pep chromosome:Pop_tri_v4:2:8319498:8351318:-1 gene:Potri.002G111000.v4.1 transcript:Potri.002G111000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111000.v4.1 MNIVKGVADLIRRTSSGQTGESIQGSSSGRFSPPSPKICFSEVGDEAVLHTLWEKYENAVDKAEKKKLFHVFLKQFLMVFEKWEPANASQLPEAALTTVPPVEYPLRVDDIIVGCSAGHPAEIILALTEEITQLTSLVSELNTSVVRTRVDSPGNSTSLSITSEGLPLLNALTIIVRSMHNCRVFGYYGGIQKLTALMKGALVQLKSITSELSGDESLSSISLDKTRLLQQILLYVVSIICGYIDLNTNLYEKAQLFSSHAEFFTPSWGASSNESSSGVKVPTETRLYWHQRAVVSVMEAGVLNWLVELLRVIRRLSMKEQRTDLSLQYLTLWTLHLALSNNPRGQNHFKSIGGLEVLLDGQGLPSINVLLWRNASHVGDERGENPLLKIFQLHVLSLTVLREAVFGNMNNLQFLCENGRIHKFANSFCSLSFLLQECEQNTKDLSVQDDCQIPVSDLENENHVKMERSFPLPADAAYSKLWNEYVVKLSGVLCSFIVAPENIKPHHVQTNTGRIGMPISAAYGELSIKWVMGVLLTVFPCIKACSNQKELPNHLRVFANVLQHSVLDAFTKVLVSSPVSLEIFREEGIWDLIFSENFFHFGPDSEEMAGECGSYNQGFPGQLDRNLSSSSISNQTKISSFEILQMEVISFVEFAATCNGTVDNLLEVSVLLDALEQCACHPDIAVVLAKSLLHILQLLPEKTIASFKSLSAVSRVLKVACIQAEECRRSGNMSPSLESKILPLHGGQRPNSEKMGQSWFTCMDTCMELFTKFFSIADDAGSFVLCDWTCIDCLFDLFWEEGMRNHVFESILDLMKLVPSSLEDQKAKLHLCSKYLETFTQIKEREKSFAELSINLLVGMREMLMTNPAYYQALFRDGECFLHVVSLLNGNLDEVYGEKLVLNVLQTLTCLLENNDDSKASFRALVGKGYQTMQSLLLDFCQWRPSEALLNALLDMLVDGKFDIKSSPLIKNEDVIILYLSVLQKSSDSLRHYGLNMFQQLLRDSISNRASCVRAGMLNFLLDWFSQEDNDSTILKIAQLIQVVGGHSISGKDIRKIFALLRSEKVGMRQQYCSLLLTTVLSMLNEKGPTAFFDFNGNDSGIIVKTPVQWPLSKGFSFSCWLRVESFPRNGTMGLFSFLSENGKGCLAAVGNERLIYESINLKQQRIQFHINLASKKWHFLCITHSIGRAFSGGSLLRCYVNGDLVASERCRYAKVNELLTSSSIGMKINLPHNEEEIFPDSIRDFFSFHGQIGPVYLFSDAISSEQVQGIYSLGPSYMYSFLDNEATPFYDSSLPSGILDSKDGLSSKIIFGLNAQASDGKKLFNVSLVTDHALDKKAFEATVMAGTQLCSRRMLQQIIYCVGGVSVFFPLISQSDRYDNEESGSFEHALLTPITKERLTAEVIELIASVLDDNLANQQQMHLLSGFSILGFLLQSVPPELLNLETLSALKHLFNVAANCGLAELLVKDAISCIFLNPFIWVYTVYKVQRELYMFLIQQFDNDPRLLKSLCQLPRVIDIIRQFYWDNSKSRFAIGSKPLRHPITKVIIGERPNREETHKIRLLLLSLGEMSLRQCIGTADIKAIIAFFETSQDMACIEDVLHMVIRALSQKQLLVAFLEQVNLIGGCHIFVNLLQREYEPIRLLSLQFLGRLLVGLQSERKPPRLFNLSVGRSRSVSESQKKVSSKMQPVFSAISDRLFRFPLTDNLCAALFDVLLGGASPKQVLQKYNQVDKQRSKGNNSHFLVPQILVIIFGFLSSCEDVSTRTKIIRDLLDLLDSNSSNIEALMEYGWNAWLTATLKLNVIKDYIVESQDQTHSERLEQNLVRSLFCVVLCHYMLSVKGGWQQLEETVNFLLLQCDQDSISRRKLLHDIFEDLIQRLVDFSFEENIFAAQPCRDNTLYLLQLMDEMLVAEIDHKILFPENSSEVSIDSSELESQKNFSSALSQVVQGEFNNQTSRNPWGGKHSTTHEGEVINDKWWDLYENLWIIISEINGKGPSKMMLKSSAAAGPSLGQRARGLVESLNIPAAEMAAVVVSGGIGNALAGKPNKTADKAMLLRGERCPRIVFRLAILYLCRSSLERASRCVQQVIALLPSILAADDEQSKSRLQLFIWSLLAVRSEYGVLDDGARLHVISHLIRETINCGKSMLASSIVGRDDSSDTGSNSKDTSSIHSIIQKDRVLAAVSDEAKYIKSSISDRTRQLEELHARMDENSTVETTNKKAFEDEIQNSLNSIVALDDSRRAAQQLVHEEEEQNVAEKWMHMFRTLIDERGPWSANLFPNGVVKHWKLDKTEDAWRRRPKLRQNYHFDEKLCLPPSSSSNEDTLPVNETKNSFVGHIPEQMKQFLLKGVRRITDEVISEAGENDAETSGQTTPIPDDPSESQRLDLVGDSSSQNEIVQDKRDSSSTSQETETSEVLMSVQCVLVTPKRKLAGNLAVKKNFLHFFGEFLVEGTGGSSVFKNFQASIKSDANKLEQKHKSLNWPIHVNFSPEKVISVDNTVLANENVQQRQLKHVRRHKRWSVDKIKAVHWSRYLLRYSAIEIFFSDSVAPVFLNFASQKDAKEVGTLIVATRNEFLFPKGSSKDKSGTISFVDRHVALRMAEIARENWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEDLDFNKALTFRDLTKPVGALDVKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGEPLGDVCLPPWAKGSPELFINKNRDALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMEDELQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIARPLYFAPDSINLSSIVSSTSHPPSAVLYVGTLDSNIVLVNQGLTLSVKMWLTTQLQSGGNFTFSSFQEPLFGVGYDVLSARKIGSPLAENVELGAQCFAILQTPTENFLISCGNWENSFQVISLSDGRMVQSTRQHKDVVSCVAVTDDGCFLATGSYDTTVMVWEVLRARITEKRVRNTPTELARKDYVIAETPFHILCGHDDIITCLCASVELDLVISGSKDGTCVFHTLREGKYVRSLRHPSGNALSKLVASRHGRVVLYADEDLSLHLYSINGKHLASSESNGRLNCVELSKCGEFLVCAGDQGQIVVRSMNTFDIVKRYNGVGKIITCLTVTVEECFIAGTKDGSLLVYSIENPQLRKTSIPRMKSKSSVSG >Potri.002G111000.5.v4.1 pep chromosome:Pop_tri_v4:2:8319498:8351327:-1 gene:Potri.002G111000.v4.1 transcript:Potri.002G111000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G111000.v4.1 MNIVKGVADLIRRTSSGQTGESIQGSSSGRFSPPSPKICFSEVGDEAVLHTLWEKYENAVDKAEKKKLFHVFLKQFLMVFEKWEPANASQLPEAALTTVPPVEYPLRVDDIIVGCSAGHPAEIILALTEEITQLTSLVSELNTSVVRTRVDSPGNSTSLSITSEGLPLLNALTIIVRSMHNCRVFGYYGGIQKLTALMKGALVQLKSITSELSGDESLSSISLDKTRLLQQILLYVVSIICGYIDLNTNLYEKAQLFSSHAEFFTPSWGASSNESSSGVKVPTETRLYWHQRAVVSVMEAGVLNWLVELLRVIRRLSMKEQRTDLSLQYLTLWTLHLALSNNPRGQNHFKSIGGLEVLLDGQGLPSINVLLWRNASHVGDERGENPLLKIFQLHVLSLTVLREAVFGNMNNLQFLCENGRIHKFANSFCSLSFLLQECEQNTKDLSVQDDCQIPVSDLENENHVKMERSFPLPADAAYSKLWNEYVVKLSGVLCSFIVAPENIKPHHVQTNTGRIGMPISAAYGELSIKWVMGVLLTVFPCIKACSNQKELPNHLRVFANVLQHSVLDAFTKVLVSSPVSLEIFREEGIWDLIFSENFFHFGPDSEEMAGECGSYNQGFPGQLDRNLSSSSISNQTKISSFEILQMEVISFVEFAATCNGTVDNLLEVSVLLDALEQCACHPDIAVVLAKSLLHILQLLPEKTIASFKSLSAVSRVLKVACIQAEECRRSGNMSPSLESKILPLHGGQRPNSEKMGQSWFTCMDTCMELFTKFFSIADDAGSFVLCDWTCIDCLFDLFWEEGMRNHVFESILDLMKLVPSSLEDQKAKLHLCSKYLETFTQIKEREKSFAELSINLLVGMREMLMTNPAYYQALFRDGECFLHVVSLLNGNLDEVYGEKLVLNVLQTLTCLLENNDDSKASFRALVGKGYQTMQSLLLDFCQWRPSEALLNALLDMLVDGKFDIKSSPLIKNEDVIILYLSVLQKSSDSLRHYGLNMFQQLLRDSISNRASCVRAGMLNFLLDWFSQEDNDSTILKIAQLIQVVGGHSISGKDIRKIFALLRSEKVGMRQQYCSLLLTTVLSMLNEKGPTAFFDFNGNDSGIIVKTPVQWPLSKGFSFSCWLRVESFPRNGTMGLFSFLSENGKGCLAAVGNERLIYESINLKQQRIQFHINLASKKWHFLCITHSIGRAFSGGSLLRCYVNGDLVASERCRYAKVNELLTSSSIGMKINLPHNEEEIFPDSIRDFFSFHGQIGPVYLFSDAISSEQVQGIYSLGPSYMYSFLDNEATPFYDSSLPSGILDSKDGLSSKIIFGLNAQASDGKKLFNVSLVTDHALDKKAFEATVMAGTQLCSRRMLQQIIYCVGGVSVFFPLISQSDRYDNEESGSFEHALLTPITKERLTAEVIELIASVLDDNLANQQQMHLLSGFSILGFLLQSVPPELLNLETLSALKHLFNVAANCGLAELLVKDAISCIFLNPFIWVYTVYKVQRELYMFLIQQFDNDPRLLKSLCQLPRVIDIIRQFYWDNSKSRFAIGSKPLRHPITKVIIGERPNREETHKIRLLLLSLGEMSLRQCIGTADIKAIIAFFETSQDMACIEDVLHMVIRALSQKQLLVAFLEQVNLIGGCHIFVNLLQREYEPIRLLSLQFLGRLLVGLQSERKPPRLFNLSVGRSRSVSESQKKVSSKMQPVFSAISDRLFRFPLTDNLCAALFDVLLGGASPKQVLQKYNQVDKQRSKGNNSHFLVPQILVIIFGFLSSCEDVSTRTKIIRDLLDLLDSNSSNIEALMEYGWNAWLTATLKLNVIKDYIVESQDQTHSERLEQNLVRSLFCVVLCHYMLSVKGGWQQLEETVNFLLLQCDQDSISRRKLLHDIFEDLIQRLVDFSFEENIFAAQPCRDNTLYLLQLMDEMLVAEIDHKILFPENSSEVSIDSSELESQKNFSSALSQVVQGEFNNQTSRNPWGGKHSTTHEGEVINDKWWDLYENLWIIISEINGKGPSKMMLKSSAAAGPSLGQRARGLVESLNIPAAEMAAVVVSGGIGNALAGKPNKTADKAMLLRGERCPRIVFRLAILYLCRSSLERASRCVQQVIALLPSILAADDEQSKSRLQLFIWSLLAVRSEYGVLDDGARLHVISHLIRETINCGKSMLASSIVGRDDSSDTGSNSKDTSSIHSIIQKDRVLAAVSDEAKYIKSSISDRTRQLEELHARMDENSTVETTNKKAFEDEIQNSLNSIVALDDSRRAAQQLVHEEEEQNVAEKWMHMFRTLIDERGPWSANLFPNGVVKHWKLDKTEDAWRRRPKLRQNYHFDEKLCLPPSSSSNEDTLPVNETKNSFVGHIPEQMKQFLLKGVRRITDEVISEAGENDAETSGQTTPIPDDPSESQRLDLVGDSSSQNEIVQDKRDSSSTSQETETSEVLMSVQCVLVTPKRKLAGNLAVKKNFLHFFGEFLVEGTGGSSVFKNFQASIKSDANKLEQKHKSLNWPIHVNFSPEKVISVDNTVLANENVQQRQLKHVRRHKRWSVDKIKAVHWSRYLLRYSAIEIFFSDSVAPVFLNFASQKDAKEVGTLIVATRNEFLFPKGSSKDKSGTISFVDRHVALRMAEIARENWRRRDITNFEYLMILNTLAGRSYNDLTQYPVFPWVLADYSSEDLDFNKALTFRDLTKPVGALDVKRFEVFEDRYRSFSDPDIPSFYYGSHYSSMGIVLYYLLRLEPFTSLHRNLQGGKFDHADRLFQSIEGTYRNCLSNTSDVKELIPEFFYMPEFLVNSNSYHLGVKQDGEPLGDVCLPPWAKGSPELFINKNRDALESEYVSSNLHHWIDLVFGYKQRGKPAVEAANIFYYLTYEGAVDLDTMEDELQRSAIEDQIANFGQTPIQIFRKKHPRRGPPIPIARPLYFAPDSINLSSIVSSTSHPPSAVLYVGTLDSNIVLVNQGLTLSVKMWLTTQLQSGGNFTFSSFQEPLFGVGYDVLSARKIGSPLAENVELGAQCFAILQTPTENFLISCGNWENSFQVISLSDGRMVQSTRQHKDVVSCVAVTDDGCFLATGSYDTTVMVWEVLRARITEKRVRNTPTELARKDYVIAETPFHILCGHDDIITCLCASVELDLVISGSKDGTCVFHTLREGKYVRSLRHPSGNALSKLVASRHGRVVLYADEDLSLHLYSINGKHLASSESNGRLNCVELSKCGEFLVCAGDQGQIVVRSMNTFDIVKRYNGVGKIITCLTVTVEECFIAGTKDGSLLVYSIENPQLRKTSIPRMKSKSSVSG >Potri.006G091000.8.v4.1 pep chromosome:Pop_tri_v4:6:6929256:6935156:1 gene:Potri.006G091000.v4.1 transcript:Potri.006G091000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G091000.v4.1 MACRVSNINCLRAYPPSTPRFSPKCHHQNPNSPPLLLNNHSFPPPPTLKPRLPKQMMSCSVTLRRSFVTVKSHMATAEEMSISEDRMLVFVPPHPLIKHWVSVLRNEQTPSPIFRNAMAELGRLLIYEASRDWLPMVTGEIQSPMGVASVEFIDPREPVAIIPILRAGLALAEHASSILPATKTYHLGISRDEETLQPTIYLNKLPDTFPEGSRVLVVDPMLATGGTIVASLELIKERGVENQQIKVISAVAAPPALQKLSEKFPGLHVYTGIIDPTVNDKGFIVPGLGDAGDRSFGT >Potri.018G140300.2.v4.1 pep chromosome:Pop_tri_v4:18:14548160:14550716:1 gene:Potri.018G140300.v4.1 transcript:Potri.018G140300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G140300.v4.1 MGGEEVQVAVPESVLKKQKRNEEWALAKKEEKLALKKKNAENRKLIYNRAKQYAKEYDDEQKELIRLKREARLKGGFYVNPEAKLLFIIRIRGINAMHPKTRSILQLLRLRQIFNGVFLKVNKATVNMLHRVEPYVTFGYPNLKSVKELIYKRGFGKLNQQRIPLTDNSIVEQGLGKHGIICTEDLIHEIITVGPHFKEANNFLWPFQLKAPLGGLKKKRNHYVEGGDAGNREDFINELIRRMN >Potri.010G120800.1.v4.1 pep chromosome:Pop_tri_v4:10:13888735:13896535:1 gene:Potri.010G120800.v4.1 transcript:Potri.010G120800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120800.v4.1 MNLNFFLTPFSPIINLFIVTFFLLAKKASCTDPYFVACNPKNCSDGQSISFPFHIQGMQPDFCGYPGFTISCNDKGKPVFNLSNNEYIIHEIYYQNQSLRVSNAAVFGKSPSCTPQIQNISLDDGRFHRSSNSKDLFLLYNCNSTLLSNNSELLNYKVDCHGENETVRTLAMLEDDPLLGSTLDKCRTRVLAPVDVYRGENVGTEGMLLLERGFVLNWTASDCSICEESGGKCGFDTATYHFQCFCPDRPHAKRCYSEKPKLGLKLGLGFGIGCLFMGIILLSYIYLRRFKKRRDSSNLLSMNSSSDPSSKADLEGDGVYLSIPIFSYTELGQATNNFDSEKEVGDGGFGTVYYGKLQDGREVAVKRLYEHNYKRVKQFMNEIEILTRLHHKNLVCLYGCTSRRSRELLLVYEYIPNGTVADHLHGDQAKSSPLTWPIRMSIAIETASALAYLHASDIIHRDVKTNNILLDNNFSVKVADFGLSRLFPKDVTHVSTVPQGTPGYVDPEYHQSYQLTDKSDVYSFGVVLIELISSMPAVDITRHRHEINLSNLAISKIQKCAFDELIDSRLGYNSDEEVKRMTTSVAELAFQCLQQDKETRPSMENVLQQLKIIQGGESMENLSNLAITKIQKCAVDELIDSRLGYNSDEEVKRMTTPVAELAFQCLQQDKETRPSMENVLQQLKIIQGGESSENLEEVHDDNKKSKNTLPPPSPPYCDEAGLLKNIRLPPSPVSVTAKWASSNSTTPNESV >Potri.010G120800.2.v4.1 pep chromosome:Pop_tri_v4:10:13888986:13891955:1 gene:Potri.010G120800.v4.1 transcript:Potri.010G120800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120800.v4.1 MNLNFFLTPFSPIINLFIVTFFLLAKKASCTDPYFVACNPKNCSDGQSISFPFHIQGMQPDFCGYPGFTISCNDKGKPVFNLSNNEYIIHEIYYQNQSLRVSNAAVFGKSPSCTPQIQNISLDDGRFHRSSNSKDLFLLYNCNSTLLSNNSELLNYKVDCHGENETVRTLAMLEDDPLLGSTLDKCRTRVLAPVDVYRGENVGTEGMLLLERGFVLNWTASDCSICEESGGKCGFDTATYHFQCFCPDRPHAKRCYSGESFYY >Potri.016G044432.1.v4.1 pep chromosome:Pop_tri_v4:16:2833526:2834797:1 gene:Potri.016G044432.v4.1 transcript:Potri.016G044432.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G044432.v4.1 MCQILVHEKCISLPRTLKTVLHHHPHIIHTYHPQQCIKSINKYCGICRREVDTEYGVYYCPDCDFVAHVNCSREYGDSATEIVEENEEEQSVTADDQFMEPSFRVVREIKHGDERIIEEIEHFSHQHNLILIDKVDDDLKCDGCMLPISTPFYSCASCNFFLDKTCIELPRRKKWQYHENQLILSWSRGPHDLFYCDVCKQYFRGLRKSILRCRIGVRGHPPLLADDTEIIPHCGGCCVSEESKVFFKCAVCDFKLGMKCATLPYKARHEYDEHPLFLTYMNENDYQPSCIICEKDRDPKLWFYRCEKCDFDAHPECALGKYPYVKRGGVHTYPKHPHSIALVDKTEDYPACDTCGEPCDDLALECTDPNCDFIVHRKRLQCFMSLW >Potri.001G137401.1.v4.1 pep chromosome:Pop_tri_v4:1:11196835:11198903:1 gene:Potri.001G137401.v4.1 transcript:Potri.001G137401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G137401.v4.1 MIWFLFLIVTRAAFSKPNEPRGESSLSVGNGGKTKCSRQLELDANPIREHEPTTRSSIVYSSMPTMHANEQLEDLVPSDRGGSMLAKPCPEPIVGRGLNGKLLPCHQFEGQAQSRIFSPCWSVETVNEALEKGDVFKVLYRLEVCSMPICKCSFNFPY >Potri.009G044900.2.v4.1 pep chromosome:Pop_tri_v4:9:5131390:5134351:-1 gene:Potri.009G044900.v4.1 transcript:Potri.009G044900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G044900.v4.1 MNPLTLVKRIQNINSKEAALGISEEASWHAKYKDSAYVFVGGIPFDLTEGDLLAVFAQYGEVVDANLVRDKGTGKSKGFAFIAYEDQRSTNLAVDNLNGAQVLGRIIRVDHVTKYKKKEEEDEETAQQKREARGVCHAFQRGECNRGAGCRFSHDEQRAANTGWGAQDKSSRWGHEMFDGPKKSEERSSYNMPSESHPKQNDRREEKRSRWHDDNEIVQKPREDYNRREDKKLRRLEDDAFVPKPREDDYKRDENRLKRHKDIVPEPKLRGDNYRKEEKIPRRHDRDEFEPRSREDDGTREERRSRRNETESYFREEQDKRRGDKLSAHGRDSSSNRHRERDDHRRKAER >Potri.001G068300.4.v4.1 pep chromosome:Pop_tri_v4:1:5141170:5144369:1 gene:Potri.001G068300.v4.1 transcript:Potri.001G068300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G068300.v4.1 MASISTLGIPFQSPGRASTSTRCCRPIATLTSHHPDVCIKKCAGVIERRQVIMLSVGLLAGAALQHSSSNKDAAAMAAEFTDMPALRGKDYGKTKMRYPDYTETESGLQYKDLRAGNGPLPKMGETVVVDWDGYTIGYYGRIFEARNKTKGGSFEGDDKDFFKFRLGSREVIPAFEEAVSGMAPGGIRRIIVPPELGYPENDYNKSGPRPTTFSGQRALDFVLRNQGLIDKTLLFDIELLKVIPG >Potri.003G135000.1.v4.1 pep chromosome:Pop_tri_v4:3:15290155:15294432:1 gene:Potri.003G135000.v4.1 transcript:Potri.003G135000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G135000.v4.1 MRGKSGEREAEKEERLREKLKRGVLVGKRVGPFSCTPVRLWKPLPTAHVSIIDNASTNSHPEEQEEPPLLLFNNSNTVVVSARKLAAALWEFNHYLPSLSKMHRGVHINNGGGDSRLRRHEKRHHFLKDKGLDLSHFLADPTPTSPDQPESAGSLRRHIASSLIQHHRSIERSNRALQPVSPASYGSSMEVAPYNPAVTPSSSLDFKGRIGESHYSLKTSTELLKVLNRIWSLEEQHASNMSLIKALKTELDRARVRIKELLRDQQAERHEVDDIMKQIAEDKLARKSKEQDRLHAAIQSLRDELEDERKLRKRSESLRRKLARELSEVKSSFSNALKDMERERKSRKLLEDLCDEFAKGIKDYEHEVHALNKKSDRDWVGRDDGDHLILHISETWLDERMQMRLEEAQHGFSENNSIVDKLGFEIETFLKTKGMGNFKCRERRNSMESVPLNEVVSAPQDVGDEEDSTGSDSHCFELNKPSNGDFHLHKDEAVAGHTEEMDKSALTKKKLASLERTRGQNPANLQVKFEEHMAQAMTYNGNKKSQVMDLEEVKTGEGNPLHPTEVSISWRSENCEATEGGSLERKSKPEEIHGSNSNYVIDNSIKSHISSSEAVNLHLENDAGEASCSYPPRRNASPVRRWMSKLTTSDLDISEPSTKQPTNLKENTLKAKLLEARSKGSRSRLKIFKGSS >Potri.013G033000.1.v4.1 pep chromosome:Pop_tri_v4:13:2122209:2131526:-1 gene:Potri.013G033000.v4.1 transcript:Potri.013G033000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G033000.v4.1 MASIFTCNISPYVALNSGNKPRFGVRAQSLGGGDSTVLSSDEIVVNGTSFVGPKEKNGALIDGGSGEKVKNGSLIDGGKNGRLKPTIEKRWVKDAVFKDLELLWDDGHGTKTVKDYLDGAKEIIKPDGGPPRWFCPAECGKPLKDSPVLLFLPGLDGVGLGLALHHKALGKAFEVRCLHIPVYDRTTFEGLVKIVEETVRLEHASSPNKPIYLVGESFGACLALAVAARNPKIDLVLILVNPATSFSRSQLPLLPILEALPDGLHDVFPYLVGFVTGNPVKMAMANIEYKLPPRLQFQQLYHNLTALLPSVSVLSDIIPKETLIWRLKLLKSAAAYANSRLHAVKAEVLVLASGNDNLLPSKDEAHRLKSSLKNCKVRFFKDNGHSMLMEDGLNLLTIIKGTCKYRRSRRLDLVSDFLPPSMSEFKCAFDEVTGLFRLATCAAVFSTLDDGKIVKGLAGVPDEGPVLFIGYHMLMGLEIYSLVDEFLREKNIMVRGVAHPDLFSEIMEGSSTEFSVSDWMKVMGAVPVTGSNLFKLLSKKSHVLLYPGGQREALHYKGEAYKLIWPDQPEFVRMAARFGATIVPFGTVGEDDIAELALDYHDLMKIPILNDFARDLMSKSSRVRDESKGEVASTDLFIPGLLPKIPGRFYFLFGKPIKTKGMKEMLEDKENAKQLYLHVKSEVQNSIAYLLKKREEDPYRSIIDRTIYRAFYSPLPEVPAFDP >Potri.007G081100.1.v4.1 pep chromosome:Pop_tri_v4:7:10536979:10538067:-1 gene:Potri.007G081100.v4.1 transcript:Potri.007G081100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081100.v4.1 MSTTTTTESPSTPPQILIPSLPNDIALNILARIPRSYHPRLTLVSKPFRSILSSPLLYTTRSLLNTSQHFLYLSLRIPTTTSLQWFTLYPDQTKNSLIPLTPAPSPLVGSAFAAVGPKIYVIGGSINDIPSPHVWALDCRSHTWEAVPSMRISREFAAAGVVDGRIYVIGGCVVDTWAKSRNWAEVFDPKTERWDSVDSGKDDLLREKWMHGSAVVNERIYVMADRNGVVYEPKTKRWESVESELDLGWRGRACVVNGILYCYDYVGNIRGFDVRNGAWKELRGVEKELPRFLCGATMANVGGKLVVVWERKGNVKEMEVWCAEIEVEENGEGELRGRVEWCDVVHKVPIGSSIVHCLAVSM >Potri.006G283700.1.v4.1 pep chromosome:Pop_tri_v4:6:27402697:27404719:-1 gene:Potri.006G283700.v4.1 transcript:Potri.006G283700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283700.v4.1 MELPEEMSRLNSLQELVLGGCSNLDSLNMELEHHQGRNLLQSDVIVASASYITSLPLKLFFPSRFSTRKMLRFTLFSLPRFLESLDLSGTPIRYLPESIRNLGPLRHLYLRNCKMLQALPELPSHLWLLDVSFCNSLQRLANPNNWTQVEGCDHLVEFQVWMKQELIQKFDSHMFRILETARAQIQPSRFQITFFDGIFNVVVYVFDEDEMLRRFDEEEEEDKWLIQNEFADNFSFKISSPPPAHRICGFNLFTRFSVTSAYRGFSYVYLEIRNNTSGGGYMHCQAFVYHMSYARDVREIQSLLHTKLGGNDPTFDNGDDVSISVRPHGPAIQIKAIGVQWLHEEEGNDDDIQSKDDDAHVTKVEIASRIFRNYYCAFHGKYSHRNIGWWYFAKKGLEIVLF >Potri.008G213446.1.v4.1 pep chromosome:Pop_tri_v4:8:17387197:17388162:-1 gene:Potri.008G213446.v4.1 transcript:Potri.008G213446.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G213446.v4.1 MGVFTYESEASTVIPPARLFKALFVDAAEVMPKALPQAIKSIVTLEGDGGPGTIKQTYFGDGSLSFKERTDAIDKENLSYAYTVFEGAVLANTYEKIFNESKIEASPDGGSVCKTSTTYYTVGNVDAKADEIKDGQEKQMGLFKAIEAYLLANPDA >Potri.004G234200.2.v4.1 pep chromosome:Pop_tri_v4:4:23890991:23891187:-1 gene:Potri.004G234200.v4.1 transcript:Potri.004G234200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G234200.v4.1 MGAKPSSIWAILLSVAPASKLHFLIGMRSWMALGLTTERESNVKSLNLL >Potri.001G292800.1.v4.1 pep chromosome:Pop_tri_v4:1:30465324:30473165:1 gene:Potri.001G292800.v4.1 transcript:Potri.001G292800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292800.v4.1 MDTNLNDKESMIARIQQLEHERGELHKDIEQLCMQQAGPGYLAAATRMHFQRTAGLEQEIENLKKQLAACSRDNLNLQEELSEAYRIKTQLAKLHQAEAAKNMEAEKQVKFFQGCVAAAFAERDNSIMEAEKAKEKEESMSQKFKEIQQRLEVLNSDFLEQKRLNDTLQSDLSKQDEQIETFKKVVNKFYEIRQHSLEGFEDTSWDNKCAWLLHDSEELWSYNDSSTSKYISALEEEVETLRNSLDKLQSKIRVGLEIENHLKKKVLELENKQVLWDKMVMEGITELHHYHSHHRVQITSLLSKERSHIKSIIDMVEEKIKQFDVISEQDLVPCRVVRLQENEFRDVHMSTDADPDLAPKRIDQGALDAVAHKEGNTSEALAQAMQEKVAALLLLSQQEERHLLERNVNAALQKKMEELQRNFLQVTNEKVKALMELAQLKLEYQQLQKKVGSEIKRDFSAETGEMRLSNIERDGKIRNLLKRTYLRRWMGTMDFRGNEAQTCLSSEGNFSRKRANDMDFARMKIENATLKESMECMDHLISSIHRLHLALLKVKESDTREGTSTGLLEALNDIISEARLVKTALGSSLPVSWSAEADDASIGESVCDELSDIYGNPIGEKIDSVSAAGFEMVELLILAAQILKDNNTIKGC >Potri.001G292800.6.v4.1 pep chromosome:Pop_tri_v4:1:30465290:30473166:1 gene:Potri.001G292800.v4.1 transcript:Potri.001G292800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292800.v4.1 MDTNLNDKESMIARIQQLEHERGELHKDIEQLCMQQAGPGYLAAATRMHFQRTAGLEQEIENLKKQLAACSRDNLNLQEELSEAYRIKTQLAKLHQAEAAKNMEAEKQVKFFQGCVAAAFAERDNSIMEAEKAKEKEESMSQKFKEIQQRLEVLNSDFLEQKRLNDTLQSDLSKQDEQIETFKKVVNKFYEIRQHSLEGFEDTSWDNKCAWLLHDSEELWSYNDSSTSKYISALEEEVETLRNSLDKLQSKIRVGLEIENHLKKKVLELENKQVLWDKMVMEGITELHHYHSHHRVQITSLLSKERSHIKSIIDMVEEKIKQFDVISEQDLVPCRVVRLQENEFRDVHMSTDADPDLAPKRIDQGALDAVAHKEGNTSEALAQAMQEKVAALLLLSQQEERHLLERNVNAALQKKMEELQRNFLQVTNEKVKALMELAQLKLEYQQLQKKVGSEIKRDFSAETGEMRLSNIERDGKIRNLLKRTYLRRWMGTMDFRGNEAQTCLSSEGNFSRKRANDMDFARMKIENATLKESMECMDHLISSIHRLHLALLKVKESDTREGTSTGLLEALNDIISEARLVKTALGSSLPVSWSAEADDASIGESVCDELSDIYGNPIGEKIDSVSAAGFEMVELLILAAQILKDNNTIKGC >Potri.001G292800.3.v4.1 pep chromosome:Pop_tri_v4:1:30465324:30473174:1 gene:Potri.001G292800.v4.1 transcript:Potri.001G292800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292800.v4.1 MDTNLNDKESMIARIQQLEHERGELHKDIEQLCMQQAGPGYLAAATRMHFQRTAGLEQEIENLKKQLAACSRDNLNLQEELSEAYRIKTQLAKLHQAEAAKNMEAEKQVKFFQGCVAAAFAERDNSIMEAEKAKEKEESMSQKFKEIQQRLEVLNSDFLEQKRLNDTLQSDLSKQDEQIETFKKVVNKFYEIRQHSLEGFEDTSWDNKCAWLLHDSEELWSYNDSSTSKYISALEEEVETLRNSLDKLQSKIRVGLEIENHLKKKVLELENKQVLWDKMVMEGITELHHYHSHHRVQITSLLSKERSHIKSIIDMVEEKIKQFDVISEQDLVPCRVVRLQENEFRDVHMSTDADPDLAPKRIDQGALDAVAHKEGNTSEALAQAMQEKVAALLLLSQQEERHLLERNVNAALQKKMEELQRNFLQVTNEKVKALMELAQLKLEYQQLQKKVGSEIKRDFSAETGEMRLSNIERDGKIRNLLKRTYLRRWMGTMDFRGNEAQTCLSSEGNFSRKRANDMDFARMKIENATLKESMECMDHLISSIHRLHLALLKVKESDTREGTSTGLLEALNDIISEARLVKTALGSSLPVSWSAEADDASIGESVCDELSDIYGNPIGEKIDSVSAAGFEMVELLILAAQILKDNNTIKGC >Potri.016G006800.1.v4.1 pep chromosome:Pop_tri_v4:16:338098:340841:1 gene:Potri.016G006800.v4.1 transcript:Potri.016G006800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006800.v4.1 MAYNLCSSPSSLYQPLCNTLSSSSRDSKPRTLNNNFYHSLKLNTPSPQSRTTSLQITNVSLQDQKIPQQETSKDSNFNEKNGSSSKSYIWVNPKSSKASTLRKSSYDARYASLIKAAKSLNSCNPNKDDVFNILGEFGNKLFEHDAVVILNNMSNPDTALLALKFFRERLEFNREVVVYNVTMKVLRKCRDLNKVEKLFDEMIERAVKPDNVTFSTIISCARLCNLADKAVEWFEKMPSFGLDPDDVTFSTMIDSYGRVGNVEKALSLYDRARTEKWRLDTTTFSTLIRIYKDSGNFDCCLNVYEEMKALGVKPNLVIYNTLLDAMGRARRPWQAKKFYQDIIDSGLSPSYATYAALLRAYGRARYGEDTIKIYKEMKEKELSLNVLLYNTILAMCADLGFVDEAIEIFEDMKNSGISPDSWTFSSMITMSSCCGKVSEAENMLNEMFEAGFQPNIFVLTSLIQCYGKAQRIDDVVKTFNRLYELLITPDDRFCGCLLNVMTQTPNEELGKLVDCVERANPKLGHVVKLLVEEQGNEGNFKKEAADLFDNISTEVKKAYCNCLIDLCVKLNMLERACELLDHGLTLGIYTDIQSKTSTQWSLNLKSLSSGAALTALHVWINDLSKALEAGEQLPPLLGINTGHGKHKYSEKGLANVFESHLKELNAPFHEAPDKVGWFLTTKVAAESWLESRKLADAVAA >Potri.019G036000.1.v4.1 pep chromosome:Pop_tri_v4:19:4880814:4884304:1 gene:Potri.019G036000.v4.1 transcript:Potri.019G036000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G036000.v4.1 MREIISIHIGQAGIQVGNSCWELYCLEHGIQPDGTMPSDTSIGAEHDSFNTFFSETGSGKHVPRAIFVDLEPSVIDEVRSGTYRQLFHPEQLISGKEDAANNFARGHYTVGREIVDLCLDRVRKLADNCTGLQGFLVFSAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTIYPSPQVSTAVVEPYNSVLSTHSLLEHTDVAVLLDNEAIYDICRRSLDIERPTYTNLNRLISQIISSLTTSLRFDGAINVDITEFQTNLVPYPRIHFMLSSYAPVISAAKAYHEQISVPEITSAVFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNSAVSTIKTKRTVQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNNTAVAEVFSRIDHKFDLMYSKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAEGGDDEGEDEDY >Potri.008G219700.4.v4.1 pep chromosome:Pop_tri_v4:8:18148992:18153321:-1 gene:Potri.008G219700.v4.1 transcript:Potri.008G219700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219700.v4.1 MIVRRICHRRAWSSTPREIYPYSTAQSPTPSPLTSHKLPFIGYPNNSINIKIECRKVGLCLFSSQASVDVGTSAGIVDVPLAQTGEGIAECELLKWFVKEGDQIEEFQPLCEVQSDKATIEITSRYKGKVAQFLYVPGDIVKVGESLLKMVVQGAQVPTQKQDVSENFVSHYSEVNKCKTGGVLSTPAVRHLGKQYDINLNDVRGAGKDGRVLKEDIVRHALLKGIIKDSIGIENADSRDQFLKGEEDHSYVPAELGSQHGDKAVPLRGFHRAMVKTMSMAAKVPHFHYVEEINCDALVELKESFQTQNPLINSCFNEDSMEVVLKGSNNIGIAMATPSGLVVPNIKNVQSLSILEITKELSRLQQLTLANKLNPEDITGGTITLSNIGAIGGKFGAPILNLPEVAIIAIGRIQKVLCFADDGNAYPASVMMVNIGADHRVLDGATVARFCNEWKQLIENPELLMLLMR >Potri.010G200000.5.v4.1 pep chromosome:Pop_tri_v4:10:19260500:19263329:-1 gene:Potri.010G200000.v4.1 transcript:Potri.010G200000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G200000.v4.1 MAHLLNVSLCTKIPPKPPLSLTKPSLSIPRFLSLSHSRCPNPQALILNKQLLEDFAKTGLLALLSVSLFFTDPALAFKGGGPYGSEVTRGQDLTGKDFSGRTLIKQDFKTSILRQANFKGAKLLGASFFDADLTGADLSDADLRSADLSLANVAKVNLSNANLEGALATGNTSFRGSNITGADFTDVPLREDQREYLCKVADGVNPTTGNATRDTLLCN >Potri.006G240400.1.v4.1 pep chromosome:Pop_tri_v4:6:24220144:24221837:1 gene:Potri.006G240400.v4.1 transcript:Potri.006G240400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240400.v4.1 MKTHHPKLKTQPRPLFFCGFFRQCTETTLSPTTPNPPALPISSTIPTPAPPPQTAAAPPPAPPPPPQQLPNQPHQKSTQAESSSSSSSTTTSQSFTQWRFPLSNSPLHQPRPEPEPEPEPNQDSAFIPPLAPPMHPNDLQELLRLAELQLTNGSETEQLSALYLLERSLVPDPPSDPVCSPELMRGVVANLKNKAGVKPATKVLLALCLAEANRHVAVEAGAVGTVVEVAMELDGSPAERALAALELTCTVAEGAAELRNHALAVPVMVTMMGKMAGRGKEYAISALAVIYGSGGVGSDGEQTLHAPPEEVARAVALALQGDCTARGRRKGAQLLKALQEYGRVDSTQEVNEQP >Potri.006G240400.2.v4.1 pep chromosome:Pop_tri_v4:6:24220822:24221841:1 gene:Potri.006G240400.v4.1 transcript:Potri.006G240400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G240400.v4.1 MHPNDLQELLRLAELQLTNGSETEQLSALYLLERSLVPDPPSDPVCSPELMRGVVANLKNKAGVKPATKVLLALCLAEANRHVAVEAGAVGTVVEVAMELDGSPAERALAALELTCTVAEGAAELRNHALAVPVMVTMMGKMAGRGKEYAISALAVIYGSGGVGSDGEQTLHAPPEEVARAVALALQGDCTARGRRKGAQLLKALQEYGRVDSTQEVNEQP >Potri.004G208500.1.v4.1 pep chromosome:Pop_tri_v4:4:21552987:21555813:1 gene:Potri.004G208500.v4.1 transcript:Potri.004G208500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G208500.v4.1 MEGTRRRSTKSIHDHKLVDHDESSAVIGPKASDALPLPLYITNALFFTVFFSVVYFLLTRWREKIRNSTPLHLLTLSEIASIFAFITSFIYMLGFFGIDFVQSLILRPSPDVWAAHDDEEDEEDLLKEDACIVPCGQALDCTAPPPQKLTVDPIVVVPSPPLKPKAVDEVPFPTTLTEEDEEIINSVVAGKTPSYSLESKLGDCKRAAAIRREALQRITSKSLSGLPLEGFDYESILGQCCEMPVGYVQIPVGIAGPLLLDGKEYSVPMATTEGCLVASTNRGCKAIHVCGGATSVLLRDAMTRAPVVRFGTAKRAAQLKLYLEDPANFEAVSTAFNKSSRFGRLQNIKCALAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDFVQNDFPDMDVLGISGNYCSDKKPAAVNWIEGRGKSVVCEAIIKGDVVRKVLKTNVNTLVELNMLKNLTGSAMAGALGGFNAHASNIVSAIYIATGQDPAQNVESSHCITMMEPLNGGEDLHVSVTMPSIEVGTVGGGTQLASQSACLNLLGVKGACKETPGANARLLASIVAGSVLAGELSLMSAIAAGQLVQSHMQYNRANKDVAKVSS >Potri.009G003200.3.v4.1 pep chromosome:Pop_tri_v4:9:891943:908230:1 gene:Potri.009G003200.v4.1 transcript:Potri.009G003200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003200.v4.1 MLVPPWLESLLSAAFFTICPRHREAPRSECNMFCLDCNTDSFCFYCRSTQHKDHPVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPQPKSSTSKGVSHLCQICGRSLLDPFRFCSLGCKLVGIKNSGDTNFNLSTKNEENRDGMARRLPLKEEEELREGSQQDMYKSTPIPPHSTSRRRKGIPHRAPLGP >Potri.009G003200.2.v4.1 pep chromosome:Pop_tri_v4:9:906319:908230:1 gene:Potri.009G003200.v4.1 transcript:Potri.009G003200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G003200.v4.1 MEMLVPPWLESLLSAAFFTICPRHREAPRSECNMFCLDCNTDSFCFYCRSTQHKDHPVIQIRRSSYHDVVRVAEIQKVLDISGVQTYVINSARVLFLNERPQPKSSTSKGVSHLCQICGRSLLDPFRFCSLGCKLVGIKNSGDTNFNLSTKNEENRDGMARRLPLKEEEELREGSQQDMYKSTPIPPHSTSRRRKGIPHRAPLGP >Potri.008G025300.1.v4.1 pep chromosome:Pop_tri_v4:8:1281044:1284049:1 gene:Potri.008G025300.v4.1 transcript:Potri.008G025300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G025300.v4.1 MIASGINLVMTVIGFGVSTMFIVFVCTRLLCARIQMNASRRAFPIASRSNLSLLERGGLEHVFLANFPTKKYNDKIFSASEDAQCTICLAEYHGDDILRILPYCGHSFHVTCIDIWLQQHSTCPVCRISLREFPEKKRVMQPLFSSAIRSRNGTETFDSHSYNYMLTEHGISPRSYNNHGTDPIQDNHCASGGDEEEGGENSPPLTESNQIAKDIADKHVESPSNP >Potri.018G000700.2.v4.1 pep chromosome:Pop_tri_v4:18:70184:74610:1 gene:Potri.018G000700.v4.1 transcript:Potri.018G000700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000700.v4.1 MPIHTRNSKNLAEKIHNDFLSPQKQNPAEKRSLVCGISHSGSGSRSAKFPVFRNNVVGVREVSTPRRSLLQDPNTNQLFNNKTSNCKSDDEGVSSSNKVKGEVETSNRRGVIIGLEASKARVSAVGICETKKLEIATADNNHRKRERAEGANKRAVEGWTKDQEMALQRAFFTAKPTPNFWKKVSKLVPGKSAQDCFDKVNSDHMTLPQTFPRSRAKRINSSPLECFSISVSKLLNPSGPKNKRLSCKQKSHLAHKNVRELLQKQNQVNRDYEADLFSILEPNQNSSMQDSKLAVEISTPEHSQEKLGFLHKLHESSSDHKRPLLRLSSCGIDIVSPPVLKQVKNKALHEKYIDQLHCREAKRKAAHARAGKSVVGKENRGEINVQKIDVVRAAKNALVSDVRDAIYQLQDVQTNASSSSDFHDDGVGSDDDGGESVL >Potri.008G158901.1.v4.1 pep chromosome:Pop_tri_v4:8:10915703:10917725:-1 gene:Potri.008G158901.v4.1 transcript:Potri.008G158901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G158901.v4.1 MLRDICATNGGVGIDEDLVDVVDTLFSSGLLDLLLCLLRELGPPAKIRKALRQADNQEATTSYFPKLCPYKGFRRYLVAVLSNCAHRRKHVQDDIRQENGMLLMLQQCVTDEDNPFLRKWEEPILEGNSENQQAVAELELQGSVDMPELAGLGLRVEMDQNTRRAKLC >Potri.018G123300.2.v4.1 pep chromosome:Pop_tri_v4:18:13554923:13558865:1 gene:Potri.018G123300.v4.1 transcript:Potri.018G123300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123300.v4.1 MKGVRDWVFGQLLSKSLASTRPLSGSGSFLSEEPVNEDSDDPGHMARSESSSPTSDTSCSSSCNQETGSPQSLQQVAEDSCQSIQGVEVKKADPLTKIEDLRINFFRLLLRFGQSHDNLLVAKVLHRLQLAASIRAEEMNLIRVKVDRARAVAAEQEASGIPELNSSLRILVLGKTGVGKSATINSVFDQTKALTDAFRPATIHIKEVVGSINGVKVTFIDTPGFLPSSTSNLRRNRKIMFSVRRFIRKSPPDIVLFFERLDLINMGYCDFPLLKLMTEVFGNAFWFNTILVMTHGSATPEGPSGFPITYESYVTQCADLMQHYINQAVSDSKLENPVVLVENDPHCKKNFMGESVLPNGQVWKSHFLLLCICTKVLGDANTLLDFEGSIELGPLITPRVPSLPHLLSSLLKHRSTTDSTGVEQDADEILLSDAEEEDDYNQLPPIRILTKSQFEKLTKSQKKDYLDELDYRETLYLKKQLKEESQRRRERRLSREEDCGVGDNSDHQQASPEAVLLPDMAVPPSFDSDCTIHKYRCLVTSDQWLVRPVLDPHGWDHDVGFDGVNLETAIEIRRNVYASITGQMSKDKQDFSIHSECAAAYADPRGQTYSAALDVQTSSGKGMIYTVHSNTKLRNLKQNVIECGVSLTSYDNKYYVGAKLEDTILVGKRLKVVVNAGQMRGPEQVAYGGTLEATLKGGDYPVRDDRISLSMSALSFKNEMVLGGGFQSEFRPIRGMRMAVNANLNSQNMGQVNIKISSSVHIEIALVAVFSIFKAILRKKVTENKSRELLKMG >Potri.018G123300.1.v4.1 pep chromosome:Pop_tri_v4:18:13554908:13558914:1 gene:Potri.018G123300.v4.1 transcript:Potri.018G123300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G123300.v4.1 MKGVRDWVFGQLLSKSLASTRPLSGSGSFLSEEPVNEDSDDPGHMARSESSSPTSDTSCSSSCNQETGSPQSLQQVAEDSCQSIQGVEVKKADPLTKIEDLRINFFRLLLRFGQSHDNLLVAKVLHRLQLAASIRAEEMNLIRVKVDRARAVAAEQEASGIPELNSSLRILVLGKTGVGKSATINSVFDQTKALTDAFRPATIHIKEVVGSINGVKVTFIDTPGFLPSSTSNLRRNRKIMFSVRRFIRKSPPDIVLFFERLDLINMGYCDFPLLKLMTEVFGNAFWFNTILVMTHGSATPEGPSGFPITYESYVTQCADLMQHYINQAVSDSKLENPVVLVENDPHCKKNFMGESVLPNGQVWKSHFLLLCICTKVLGDANTLLDFEGSIELGPLITPRVPSLPHLLSSLLKHRSTTDSTGVEQDADEILLSDAEEEDDYNQLPPIRILTKSQFEKLTKSQKKDYLDELDYRETLYLKKQLKEESQRRRERRLSREEDCGVGDNSDHQQASPEAVLLPDMAVPPSFDSDCTIHKYRCLVTSDQWLVRPVLDPHGWDHDVGFDGVNLETAIEIRRNVYASITGQMSKDKQDFSIHSECAAAYADPRGQTYSAALDVQTSSGKGMIYTVHSNTKLRNLKQNVIECGVSLTSYDNKYYVGAKLEDTILVGKRLKVVVNAGQMRGPEQVAYGGTLEATLKGGDYPVRDDRISLSMSALSFKNEMVLGGGFQSEFRPIRGMRMAVNANLNSQNMGQVNIKISSSVHIEIALVAVFSIFKAILRKKVTENKSRELLKMG >Potri.001G375200.1.v4.1 pep chromosome:Pop_tri_v4:1:39381102:39385558:-1 gene:Potri.001G375200.v4.1 transcript:Potri.001G375200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G375200.v4.1 MNMFNHMIFSFFALTFLFMLKKTAAEGVHAKGINIGAIIDMSSRIGKEQRVAMEIAMKDFYGTGNQTLNLHILDSQRDPVCAALAAMDLINNQQVQAILGPQTWEEALSVAEISSQTQVPILSLADTTPKWATERWPYLLQASPSKQEQMKAIAAIVQSWNWHQVTVIYEGTDSSAIAVTPYLFNALRDVGVGVIQGLVLPTFASTITLSEELEKLKREQSRVFVVHLSFPLAVRLFEKAKKMKMMEKDYVWITTNPITSLVHSNASIISSSMEGIIGVKSYFPEGGHLFHELRQKFRRKFSLQNPKDDNNEPGIYAAEAYDAFWTLAVALNGSNRGGQELLETILQVDFHGLSGKVQFIKFINERAPANRFHIINIIGKSYKELGFWSKGLGFSKTIHENSTYRPCMTDLEQALWPEGPWHTSSRGWIIATSANPWRIGVPGESGYREFVHVEYDHLGNSVAFSGFAIEVFKETIKRLPFTLPYEFIAFKNTSYDELVKQIHLKKYDAVVGDVVILASRYQLAEFTKPYTETGLMLIVPAQSGNRELSFIRPFTKSMWVLIAVITVYNGFIIWLIERNHCPSLKGSMLHQIGIMLWLAFSTLFSLHGGKMHSNLSRMSMVVWLFVALVITQTYTANLSSMLTVQKLDGAAPNVEALLNSNAVVGYCTGSYLQNYLVDVLRFKTQNIRNYTTLEAYAQAFKNKEIAAVFLEVPLAKLFLAKYCRRFVLVGPTYKVGGFGFALPRGSPLLPSIDEALLKVSENGTLLELENRLIKPGNCPDVEDENHSLSPSSFGTLFIITTGTSTISLAIYIFSRANSMLGYTTTWRLMLAAMRFWVCQRQITRRSSNAENSVNNLAPQASGMQSLV >Potri.009G133400.1.v4.1 pep chromosome:Pop_tri_v4:9:10820468:10823666:1 gene:Potri.009G133400.v4.1 transcript:Potri.009G133400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G133400.v4.1 MAPFLSSSLPLLLLSFLPLLSLSFSTVDQPYKTYIIRIDSQSKPSIFPTHYNWYTTEFTSTPQILHTYDTVFHGFSAILTTDRAATLSQHPSVLAVIEDQRKQLHTTRSPQFLGLRNQRGLWSDSNYGSDVIIGVLDTGIWPERRSFSDVNLGPVPGRWKGICEAGERFTARNCNKKLIGARFFIKGHEAVGGAMGPISPINDTLEFKSPRDADGHGTHTASTAAGRHAFRASMEGFAAGIAKGVAPKARLAVYKVCWKNAGCFDSDILAAFDAAVKDGVDVISISIGGGNGISAPYYLDPIAIGAYGAASRGVFVSSSAGNDGPNFMSVTNLAPWIVTVGAGTIDRSFPAVVVLGNGKKLSGVSLYAGLPLSGKMYPLVYPGKSGVLAASLCMENSLDPKMVRGKIVVCDRGSSPRVAKGLVVKKAGGVGMILANGVSNGEGLVGDAHLIPACALGSDEGDAVKAYVSSTSNPVATIAFKGTVIGIKPAPVVASFSGRGPNGISPEILKPDLIAPGVNILAAWTDAAGPTGLESDPRKTEFNILSGTSMACPHVSGAAALLKSAHPHWSPAAIRSAMMTTANTFNNLNQPMTDEATGKVSSPYDLGAGHLNLDRAMDPGLVYDITNNDYVNFLCGIGYGPRVIQVITRSPVSCPVKKPLPENLNYPSLAALFSSSAKGASSKTFIRTVTNVGQPNAVYRFTTQAPKGVTVTVKPRKLVFTEAVKKRSFIVTITADTRNLIMGDSGAVFGSISWSDGKHVVRSPIVVAQIDPL >Potri.002G147100.1.v4.1 pep chromosome:Pop_tri_v4:2:11067562:11069103:1 gene:Potri.002G147100.v4.1 transcript:Potri.002G147100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147100.v4.1 MKLVWTPDTALKAYVCTVKTCEDFKESSVAELLSAMAAGWNAKLIVESWSKAGPIATSIGLAVAAKHTCGRHVCVVPDEGSRSEYVKAMHGAGMRETEVLVGEAEEVMAGLVGVDFLVADCRRRDFVRVLRFAKLSHKGAVLACKNAFQQSVSGFKWHGVLERGTRVVKTAYLPVGQGLDMAHIGSNGGDKRSRGGPSRWIKHIDRKSGEEHVFRE >Potri.001G044601.1.v4.1 pep chromosome:Pop_tri_v4:1:3220473:3221578:1 gene:Potri.001G044601.v4.1 transcript:Potri.001G044601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G044601.v4.1 MKKISYQPYKIHLKLNISMTCLLEILSQIPSTQLCLKLSVIINAQLSHRAHFDRVVELASFTGARLVFVECSNQNDQDPDYYDAGNVPKLNIDTTKPFHAEDFITGMLKAAALI >Potri.018G086350.1.v4.1 pep chromosome:Pop_tri_v4:18:10410221:10417318:1 gene:Potri.018G086350.v4.1 transcript:Potri.018G086350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:GATA MLSTLQQPPRSLSRIPLHLKPLRSFFTVASASASQDQSSPPSSQILTLRRALLSRQTTATQLAESYISRLSLTEPHLHSFLHISDTLLRDAQLIDRKIEKGEDVGPLAGVLVGVKDNICTADMPSTGGSRILENYKAPFDATAVRRVKEKDGILIGKTNLDEFGMGSTTEASSFQVTANPWDLSRVPGGSSGGSAAAVSARQCMVSLGSDTGGSVRQPASFCGVVGLKPTYGRVSRFGLMAYASSLDVIGCFGTSVADTGILLHVISGHDRFDATSSNREVPDFSSQFTSINLVESKPLKGLKVGLIRETLEDGVDNGVKSAISGAASHLEELGCTVTEVSLPSFSLGLPAYYILASSESSSNLSRYDGVRYGNQVFAEELNALYNDSRAKGFGPEVQMRILMGTYALSAGYYDAYYKRAQQVRTLIRKSFKDALDDNDILISPVAPSAAYKIGEKKNDPLAMYAGDIMTVNVNLAGLPALVLPCGFVEGGPVGLPVGLQMIGAAFDEAKLLKVGHIFEQTLQGCRFVPPIVADDLAYSQQ >Potri.008G129900.1.v4.1 pep chromosome:Pop_tri_v4:8:8500091:8502574:1 gene:Potri.008G129900.v4.1 transcript:Potri.008G129900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G129900.v4.1 MMMMLYDGFKEIIKIQKLRRVVSYTGFYCFVAVMSYAYTTNTTRAGYSRGDQFYAAYPAGTELLTDATKLYKAALGNCFEAEEWGPIEFSIMAKHFERQGKSPYAYHAQYMAHLLSHGQFDGSG >Potri.013G134466.1.v4.1 pep chromosome:Pop_tri_v4:13:14001527:14006482:-1 gene:Potri.013G134466.v4.1 transcript:Potri.013G134466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134466.v4.1 MSSVAINIVENTIPRDDMANTKIDSELHECVRQDNTAAFKSRVQQRLPEKLVTPCGNTLLHVAVSYGSDNITSYLAGTFPSLITIQNSQKDTILHLAAREGKTSHAAREGKASHAAREGKASDTIKSLVESNPSLIRKTNTKGNTPLHDAVIADNKEVAKLLVSRDPEVAYYNNNNGKSPLYLAVENGDKKEILDVLLKTEASFPIKSEDGDALPEGKSPVHAAIKQRNRDVLEKIEKAKPELLRLTEEELGNSLHYASSIGFLKGVQFLLKKFDDGAYETNLEGNYPIHLACKSHSVDVVKEFLDKFPYPKEFLNKKGQNILHVAAKYGKGSVVRYILKQDQKLVAPLVNAIDEDGNTPLHLAASYGRCMATFLLVRDNRVKRFIVNNRNWTPYELAEEVSKRTEEIYIKTDEMRAQERRQFDSKNSIPAHEIKVKVVDSDKMNTKEASPKDAIAGKEVDSNKMDAKEAPSKDEIAVGYFHLLIALSILFVKARPKKSHKELFPVTRLPMSRAREETKTMIGNLLVVAVLVAGVTFAGVIQLPQLRDNKNSSDHRRHENLNSTTYTASHYSSYESLLHHYLFFDVGALSISLMAALFLLLPSVNHPKFQISAVGFSIIMVCLAIFMMFGAFLFSVKIALIGYHGWLLTIFITGVAVVFPSIILLFVTQVLLKVPLHYIYYGLFFLFIYGSWWLPNKLSDLKRKLSDHKRKRSDHKRKHNL >Potri.013G134466.2.v4.1 pep chromosome:Pop_tri_v4:13:14001448:14006377:-1 gene:Potri.013G134466.v4.1 transcript:Potri.013G134466.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134466.v4.1 MSSVAINIVENTIPRDDMANTKIDSELHECVRQDNTAAFKSRVQQRLPEKLVTPCGNTLLHVAVSYGSDNITSYLAGTFPSLITIQNSQKDTILHLAAREGKTSHAAREGKASHAAREGKASDTIKSLVESNPSLIRKTNTKGNTPLHDAVIADNKEVAKLLVSRDPEVAYYNNNNGKSPLYLAVENGDKKEILDVLLKTEASFPIKSEDGDALPEGKSPVHAAIKQRNRDVLEKIEKAKPELLRLTEEELGNSLHYASSIGFLKGVQFLLKKFDDGAYETNLEGNYPIHLACKSHSVDVVKEFLDKFPYPKEFLNKKGQNILHVAAKYGKGSVVRYILKQDQKLVAPLVNAIDEDGNTPLHLAASYGRCMATFLLVRDNRVKRFIVNNRNWTPYELAEEVSKRTEEIYIKTDEMERRQFDSKNSIPAHEIKVKVVDSDKMNTKEASPKDAIAGKEVDSNKMDAKEAPSKDEIAVGYFHLLIALSILFVKARPKKSHKELFPVTRLPMSRAREETKTMIGNLLVVAVLVAGVTFAGVIQLPQLRDNKNSSDHRRHENLNSTTYTASHYSSYESLLHHYLFFDVGALSISLMAALFLLLPSVNHPKFQISAVGFSIIMVCLAIFMMFGAFLFSVKIALIGYHGWLLTIFITGVAVVFPSIILLFVTQVLLKVPLHYIYYGLFFLFIYGSWWLPNKLSDLKRKLSDHKRKRSDHKRKHNL >Potri.013G134466.3.v4.1 pep chromosome:Pop_tri_v4:13:14001457:14006459:-1 gene:Potri.013G134466.v4.1 transcript:Potri.013G134466.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G134466.v4.1 MSSVAINIVENTIPRDDMANTKIDSELHECVRQDNTAAFKSRVQQRLPEKLVTPCGNTLLHVAVSYGSDNITSYLAGTFPSLITIQNSQKDTILHLAAREGKTSHAAREGKASHAAREGKASDTIKSLVESNPSLIRKTNTKGNTPLHDAVIADNKEVAKLLVSRDPEVAYYNNNNGKSPLYLAVENGDKKEILDVLLKTEASFPIKSEDGDALPEGKSPVHAAIKQRNRDVLEKIEKAKPELLRLTEEELGNSLHYASSIGFLKGVQFLLKKFDDGAYETNLEGNYPIHLACKSHSVDVVKEFLDKFPYPKEFLNKKGQNILHVAAKYGKGSVVRYILKQDQKLVAPLVNAIDEDGNTPLHLAASYGRCMATFLLVRDNRVKRFIVNNRNWTPYELAEEVSKRTEEIYIKTDEMRAQERRQFDSKNSIPAHEIKVKVVDSDKMNTKEASPKDAIAGKEVDSNKMDAKEAPSKDEIAVGYFHLVLLLFFFPFYFFTCHP >Potri.003G006200.7.v4.1 pep chromosome:Pop_tri_v4:3:674579:680768:1 gene:Potri.003G006200.v4.1 transcript:Potri.003G006200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006200.v4.1 MAEEAGSTASSPRNRVKFLCSYGGNILPRAVDGHLKYIGGETRVIAIPRDITFSEFTKKLSAEFEGDMVLKYQVIPEELDVLVSVKSDEDLKHMLDEYDRHESAGTPKFRAFLFPSNPAAIEKTTPMDPCAPEQRYLDSINGMVRTTANFKLPPINANWSSSSVSASSSPKCTSPEGNTFDSAPHEPTCVNNHLVSRKLLTRVHSSPSLCNLNSPHHQSNNLNNHHLYQHHHHYYPHNQQHHPHGHQPSRPPHEPNRLSPSSLGRPDFGRAPTGIGLHQYYFSRHNFGGGNSSKKGH >Potri.003G006200.8.v4.1 pep chromosome:Pop_tri_v4:3:674579:678164:1 gene:Potri.003G006200.v4.1 transcript:Potri.003G006200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G006200.v4.1 MAEEAGSTASSPRNRVKFLCSYGGNILPRAVDGHLKYIGGETRVIAIPRDITFSEFTKKLSAEFEGDMVLKYQVIPEELDVLVSVKSDEDLKHMLDEYDRHESAGTPKFRAFLFPSNPAAIEKTTPMDPCAPEQRYLDSINGMVRTTANFKLPPINANWSSSSVSASSSPKCTSPEGNTFDSAPHEPTCVNNHLVSRKLLTRVHSSPSLCNLNSPHHQSNNLNNHHLYQHHHHYYPHNQQHHPHGHQPSRPPHEPNRLSPSSLGRPDFGRAPTGIGLHQYYFSRHNFGGGNSSKKGH >Potri.001G074200.2.v4.1 pep chromosome:Pop_tri_v4:1:5594406:5598636:1 gene:Potri.001G074200.v4.1 transcript:Potri.001G074200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G074200.v4.1 MMLTMALQLYQMPLTRSLSSSSSSSHSHSLFFQSHQYTPSLNERLTTRLASIFLYHNALRRRKTGNLLVGKEDIELSVQDQEEDQEEEEEEEEEALPPSPQDLQYVQDIKRVLELLRKNRDMIFSEVKLTVMIEDPREVERRRLLGIDDADTPTREDLAEALEQVNEGKIPKKREALQMLAEEMINWPNLEVEATKTKPSKSLYAKATDTGINLKEAARRLKIDWDSAAEIEDADMNDETDVPPVVGYGALYLVTGFPIIIGVAVVLILFYNSLQ >Potri.008G064200.1.v4.1 pep chromosome:Pop_tri_v4:8:3866694:3869144:1 gene:Potri.008G064200.v4.1 transcript:Potri.008G064200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G064200.v4.1 METLYSSWSMSNPICVAQTTEWTKEENKMFERALAIFDEHEPDRWLKVAAMIPGKTVNDVIKQYKKLEEDVCDIEAGRVPVPGYLSSSFTSELVDDSTFDAYRKRPLNIKSADQQRKKGVPWTEEEHRRFLMGLLKHGKGDWRNISRNFVGSKTPTQVASHAQKYFIRQQLSGVKDKRRPSIHDITTLNLAGSTSPSDGDKASSLDQSDVLLPQQKPAGMQKLLVDWDESKDGSVMTFGSTHGDLFESSPYEISSNGLTFQGQNLYAGARHGARIKPRNLVFQLSPPRFQIH >Potri.011G001100.1.v4.1 pep chromosome:Pop_tri_v4:11:77412:78813:1 gene:Potri.011G001100.v4.1 transcript:Potri.011G001100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001100.v4.1 MALFARLRLRLQFLHLRHHINFSTSPLSSKSKTCAALSLLKSETNPEKILEICRSACLTPYAHIDRITFSVAIDKLAKSNNFSYIDDFLTDLRTSRPDLRTVRFAAHSIILYGQAGMIDQAIRLFKEYHEKNQNDVVLTSGSVKLLNALLFSCILAKKYDEVNRVFVDFSKRYKIEPNLETFNTVIQSFCESGSSSSCYSVLNEMDMKGVKPNETTFGHLFAGFYREEKYEDVGTVSKMIEEDYGISAGIGVYNIRIHSLCKLKRAREANVLLEGCLSKGITPNGVTYSHLILGFCMEGDLEEAKRLFKSMENRGCQPAYSCYATLVYFLGKGGDFESAYRVCKESMGKKMVPNFSTMKILVQGLASSGEVDKAKELIGEVKERFSKNIELWNEVEAGLPQ >Potri.003G136400.2.v4.1 pep chromosome:Pop_tri_v4:3:15396100:15396554:1 gene:Potri.003G136400.v4.1 transcript:Potri.003G136400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G136400.v4.1 MVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDSVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAAKAKSFNLSVPFFWELYCR >Potri.009G019700.1.v4.1 pep chromosome:Pop_tri_v4:9:3198774:3200222:-1 gene:Potri.009G019700.v4.1 transcript:Potri.009G019700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G019700.v4.1 MASAHQVQVKILEVCQVTPFLNSLDPPTELSFPLSLFDILFLKVTPAERLFFYRLTEATPDYFNSVILPKLKLSLSHTLLRFLPVAGNLIWPAQSTKPIILYTPNDGVSLTIAQSNADFDHLSGTDVCEITGSHPYVPQLPIITDSKTSVLALQITLFPNQGVSIGATVHHGVLDARNVAMFVRAWAHVHKQFSENENADQITLPPELTPFLARTIIEDPDGIDMLYLNSWLGLNLPGLDTNHRSLKPFKQAITDPYSKVRALVRLSREDIKNLRERVLCQIEKLHLDTETRPMHLSSFVLAYAYVFVCVFKAKKLERDDKVVLGLTADCRARLGIPENYFGCCVMPFFVHIGPETVVQENGFLNVIERLSEIIVRLNKGVLDGAKEKFAEFMTIRPGTLMVTVSGSPQFQIYKADFGWGVPRKVETPSIDKTGSISMQENADGRGGIEIGLVLLKHEMEIFNSLFVDGLVLNQDQIVSGEH >Potri.010G163100.1.v4.1 pep chromosome:Pop_tri_v4:10:16785133:16790330:-1 gene:Potri.010G163100.v4.1 transcript:Potri.010G163100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163100.v4.1 MGGCVSTNNERIRTTRKHMRKSSKSSGKSSTCIADSPIQRLSDAGISDFALREFVHLDFEKGAATTCKRSEVSNKTFHLTQLQWNHSQIDANGICQEEVWFDSLSIIDSDSDDDFISVHGDCFPPVGNATGHKQNTQMLQYGAASCFVDAGCKYEGFYESYLKMDGGGPKGDEVSSKIKKALDDPCGNFKGLKEGMHDLAEKTQECRRKSKVIMLSLKRKSCDGEETTEFSERLLYRPRAGFLIPRSKGEKPTAGCWSEISPSVFKLRGETFFRDKQKCPAPDYSPYVPIGVDLFVCPRKINHIAQHLELPNLQAHEKVPPLLIINMQLPTYPVSMFNCDSDGEGMSLVVYFKLSENFNKQISPCFQDSIKRLVEDDMEKVKGFAKECTVPFRERLKILVGLVNPEELQLSSAERKLIHSYNDKPVLSRPQHEFFKGPNYFEIDLDIHRFSYISRKGLEAFRDRLKHGIANVGLTIQAQKQEELPEQMLCCVRLNKIDLVNHGQIPTVVTRDD >Potri.007G099700.6.v4.1 pep chromosome:Pop_tri_v4:7:12428129:12432400:-1 gene:Potri.007G099700.v4.1 transcript:Potri.007G099700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099700.v4.1 MATAIPQDEASSSKSRCAYQVFLSFRGEDTRKNFTDHLHTALVQAGFHTFRDDDEIQRGENIEIEIQKAIKESRMSIIVFSKDYASSTWCLDELVMIMEHKKLGGWHVVLPIFYDLDPSHVSNQTGSFAEAFVRHEERFKKEDRVEGWRMALKEVADLGGMVLQDGYESKFIQNVVKEVGNRLNRKILNVEPYLVGIDSRVRLINLWLRDGSDDVGIATIYGIGGIGKTTIAKRVYNQNCHNFEGSSFLANIREISEQPNGLVRLQKQLFSDVTKRKAGKFHSIDEGIIMIKDAFCCKRVLLILDDVDQLDQVSAIIGMRQWFYQGSKIIITTRHERLLRADEVSVMFKVQQLNENESLQLFSWHAFGQNQPLHGYEMYSENVVNHCGGIPLALQVLGSSLHGQPVELWRRALQEPEAIDDGKIQKILRRSFDSLQDDRDKNLFLDIACFFIGKDKDYLDRIVEGCDFYRVLGIQKLVDRCLITIDKDKILMMHQSLRDMGREIVRQESPDDLGKRSRLWRHKDSFSVLRKNTGTRAVKSLILDQQQISTALANNADLQTKAFAEMSNLKLLDLNNVKLKGSYADFPKSLVWMRWHGFSLNFIPDNFSLEDLIVLDMHKSSLKRVWRKTQALENLKILDLSHSHGLVNTSDLSGLPSLERLILKYCISLIEVHESIGNLGSLFLLNLKGCKNLIKLPRSIGLLKSLDKLILSGCSKLDELPEELQTLQCLRVLRADETSINRLQSWQLNWWSWLFPRRSLQSTSFSFTFLPCSLVKLSLADCNITDDVIPDDLSSLPALEHLNLSKNPIQTLPESMNSLSMLQDLLLNHCRSLRSLPELPTSLKKLRAEKCTKLERIANLPNLLRSLRLNLIGCKRLVQVQGLFNLEMMREFDAKMIYNLHLFNIESLGSIEVEMINSITKTSRITRLQILQEQGIFSIFLPGSEVPSWYSHQKQNNSVSFAVPPLPSRKIRGLNLCIVYGLRNTDKKCATLYPPDAEISNKTKVLKWSYNPIVYGVPQIGEDMLWLSHWRFGTDQLEVGDQVNVSASVTPDFQVKKCGVHLVYEQEDNYTLLNNEEIVQSSSIGFQTLVKKHVLEHPVSRVFDV >Potri.007G099700.8.v4.1 pep chromosome:Pop_tri_v4:7:12428142:12432243:-1 gene:Potri.007G099700.v4.1 transcript:Potri.007G099700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099700.v4.1 MYSDKLMTSLSKQALENLKILDLSHSHGLVNTSDLSGLPSLERLILKYCISLIEVHESIGNLGSLFLLNLKGCKNLIKLPRSIGLLKSLDKLILSGCSKLDELPEELQTLQCLRVLRADETSINRLQSWQLNWWSWLFPRRSLQSTSFSFTFLPCSLVKLSLADCNITDDVIPDDLSSLPALEHLNLSKNPIQTLPESMNSLSMLQDLLLNHCRSLRSLPELPTSLKKLRAEKCTKLERIANLPNLLRSLRLNLIGCKRLVQVQGLFNLEMMREFDAKMIYNLHLFNIESLGSIEVEMINSITKTSRITRLQILQEQGIFSIFLPGSEVPSWYSHQKQNNSVSFAVPPLPSRKIRGLNLCIVYGLRNTDKKCATLYPPDAEISNKTKVLKWSYNPIVYGVPQIGEDMLWLSHWRFGTDQLEVGDQVNVSASVTPDFQVKKCGVHLVYEQEDNYTLLNNEEIVQSSSIGFQTLVKKHVLEHPVSRVFDV >Potri.007G099700.3.v4.1 pep chromosome:Pop_tri_v4:7:12428129:12431865:-1 gene:Potri.007G099700.v4.1 transcript:Potri.007G099700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099700.v4.1 MICRYESKFIQNVVKEVGNRLNRKILNVEPYLVGIDSRVRLINLWLRDGSDDVGIATIYGIGGIGKTTIAKRVYNQNCHNFEGSSFLANIREISEQPNGLVRLQKQLFSDVTKRKAGKFHSIDEGIIMIKDAFCCKRVLLILDDVDQLDQVSAIIGMRQWFYQGSKIIITTRHERLLRADEVSVMFKVQQLNENESLQLFSWHAFGQNQPLHGYEMYSENVVNHCGGIPLALQVLGSSLHGQPVELWRRALQEPEAIDDGKIQKILRRSFDSLQDDRDKNLFLDIACFFIGKDKDYLDRIVEGCDFYRVLGIQKLVDRCLITIDKDKILMMHQSLRDMGREIVRQESPDDLGKRSRLWRHKDSFSVLRKNTGTRAVKSLILDQQQISTALANNADLQTKAFAEMSNLKLLDLNNVKLKGSYADFPKSLVWMRWHGFSLNFIPDNFSLEDLIVLDMHKSSLKRVWRKTQALENLKILDLSHSHGLVNTSDLSGLPSLERLILKYCISLIEVHESIGNLGSLFLLNLKGCKNLIKLPRSIGLLKSLDKLILSGCSKLDELPEELQTLQCLRVLRADETSINRLQSWQLNWWSWLFPRRSLQSTSFSFTFLPCSLVKLSLADCNITDDVIPDDLSSLPALEHLNLSKNPIQTLPESMNSLSMLQDLLLNHCRSLRSLPELPTSLKKLRAEKCTKLERIANLPNLLRSLRLNLIGCKRLVQVQGLFNLEMMREFDAKMIYNLHLFNIESLGSIEVEMINSITKTSRITRLQILQEQGIFSIFLPGSEVPSWYSHQKQNNSVSFAVPPLPSRKIRGLNLCIVYGLRNTDKKCATLYPPDAEISNKTKVLKWSYNPIVYGVPQIGEDMLWLSHWRFGTDQLEVGDQVNVSASVTPDFQVKKCGVHLVYEQEDNYTLLNNEEIVQSSSIGFQTLVKKHVLEHPVSRVFDV >Potri.007G099700.7.v4.1 pep chromosome:Pop_tri_v4:7:12428118:12432403:-1 gene:Potri.007G099700.v4.1 transcript:Potri.007G099700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099700.v4.1 MATAIPQDEASSSKSRCAYQVFLSFRGEDTRKNFTDHLHTALVQAGFHTFRDDDEIQRGENIEIEIQKAIKESRMSIIVFSKDYASSTWCLDELVMIMEHKKLGGWHVVLPIFYDLDPSHVSNQTGSFAEAFVRHEERFKKEDRVEGWRMALKEVADLGGMVLQDGYESKFIQNVVKEVGNRLNRKILNVEPYLVGIDSRVRLINLWLRDGSDDVGIATIYGIGGIGKTTIAKRVYNQNCHNFEGSSFLANIREISEQPNGLVRLQKQLFSDVTKRKAGKFHSIDEGIIMIKDAFCCKRVLLILDDVDQLDQVSAIIGMRQWFYQGSKIIITTRHERLLRADEVSVMFKVQQLNENESLQLFSWHAFGQNQPLHGYEMYSENVVNHCGGIPLALQVLGSSLHGQPVELWRRALQEPEAIDDGKIQKILRRSFDSLQDDRDKNLFLDIACFFIGKDKDYLDRIVEGCDFYRVLGIQKLVDRCLITIDKDKILMMHQSLRDMGREIVRQESPDDLGKRSRLWRHKDSFSVLRKNTGTRAVKSLILDQQQISTALANNADLQTKAFAEMSNLKLLDLNNVKLKGSYADFPKSLVWMRWHGFSLNFIPDNFSLEDLIVLDMHKSSLKRVWRKTQALENLKILDLSHSHGLVNTSDLSGLPSLERLILKYCISLIEVHESIGNLGSLFLLNLKGCKNLIKLPRSIGLLKSLDKLILSGCSKLDELPEELQTLQCLRVLRADETSINRLQSWQLNWWSWLFPRRSLQSTSFSFTFLPCSLVKLSLADCNITDDVIPDDLSSLPALEHLNLSKNPIQTLPESMNSLSMLQDLLLNHCRSLRSLPELPTSLKKLRAEKCTKLERIANLPNLLRSLRLNLIGCKRLVQVQGLFNLEMMREFDAKMIYNLHLFNIESLGSIEVEMINSITKTSRITRLQILQEQGIFSIFLPGSEVPSWYSHQKQNNSVSFAVPPLPSRKIRGLNLCIVYGLRNTDKKCATLYPPDAEISNKTKVLKWSYNPIVYGVPQIGEDMLWLSHWRFGTDQLEVGDQVNVSASVTPDFQVKKCGVHLVYEQEDNYTLLNNEEIVQSSSIGFQTLVKKHVLEHPVSRVFDV >Potri.010G057750.2.v4.1 pep chromosome:Pop_tri_v4:10:8841850:8842463:-1 gene:Potri.010G057750.v4.1 transcript:Potri.010G057750.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057750.v4.1 MLLKGISMSYIFFFSRMPNTVYMRDKSSGSLKIIAEYGQDYGNENQDYHGYGHYDAPPSVIGGAPPKQDWIT >Potri.010G057750.1.v4.1 pep chromosome:Pop_tri_v4:10:8841283:8842463:-1 gene:Potri.010G057750.v4.1 transcript:Potri.010G057750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057750.v4.1 MLLKGISMSYIFFFSRMPNTVYMRDKSSGSLKIIAEYGQDYGNENQDYHGYGHYDAPPSVIGGAPPKQSKKR >Potri.002G167800.2.v4.1 pep chromosome:Pop_tri_v4:2:12836296:12841465:-1 gene:Potri.002G167800.v4.1 transcript:Potri.002G167800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167800.v4.1 MPREIITLQVGQCGNQIGMEFWKQLCLEHGISKDGILEDFATQGGDRKDVFFYQADDQHYIPRALLIDLEPRVINGIQNSEYRNLYNQENIFVSDHGGGAGNNWASGYHQGKGVEEDIVDMIDREADGSDSLEGFVLCHSIAGGTGSGMGSYLLETLNDRYSKKLVQTYSVFPNQMETSDVVVQPYNSLLTLKRLTLNADCVVVLDNTALNRIAVERLHLSNPTFAQTNSLVSTVMSASTTTLRYPGYMNNDLVGLLASLIPTPRCHFLMTGYTPLTVERQANVIRKTTVLDVMRRLLQTKNIMVSSYARTKEASQAKYISILNIIQGEVDPTQVHESLQRIRERKLVNFIEWGPASIQVALSRKSPYVQTAHRVSGLMLASHTSIRHLFSKCLSQYEKLRKKQAFLDNYRKFPMFADNDLSEFDESRDIIESLVDEYKACESPDYIKWGMEDPNNLLTEEGNAKGTADPKLAI >Potri.016G080200.3.v4.1 pep chromosome:Pop_tri_v4:16:6150393:6155937:1 gene:Potri.016G080200.v4.1 transcript:Potri.016G080200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080200.v4.1 MSRESESRRSPSVIARLMGLDGLPLQQSSHKHPKKSLENYTQRMVLAEIAQRNRGSYGRWSSRKSSKDEQEFKDVFEVLDTSKMGSSSYSSCGNGHSELTAAEMAFIQQKFTDVKWLSTDEKLQNSKEFHDAIEDLDSNKDLLLKYLQQPDSLFTKHLHDLQGIPPQSHCGRTHIPAKKSSYPAHCGSIGLGCNIERENPLKNRRKPHVDPSSYSYSKLEAQNPVKLSKVQLDQKDESAILPTRIVVLKPNIGKMQNSKKNTSSSQSSHASPSDCRKHTETPSIKKKEVVSWGKKSFPDDAGPSRYKSRESREIAREITRKMRKNFINSSMNFSTSGFRGYVGDESSTENESANESEETAVNSRNSIDWSNRSIPSSSCSNESSVSREARKRLSERWKLTHKSVNMGIVSQSSTLGEMLATPNSGTRLGNSDAMICKKVFSDDVDCNHGTVRWDEPLGISSREGWKDVGTGNLLRSRSVLASSTIISSPRIDKCRENVSHDSYMIPRQVIWQERNRTVKGNFNKRECSSSRNSRSRSKKSHMSSCSYRYHSETSLDINFGRDQVQSDIAEYDSLEQICTVSETPASLVTDTGLVFENMVDVVIENKAMQSKPMDQESSTYMLVKGNSSTSDLEVSSSKEPSNGPSKKGSIPMQHSVAEVETPASSKEADQPSPVSVLETPFPDDLSSGSECFEGLNADLNGLRMQLQLLRLESEAYEEGPMLISSDEDVEGGSVGFTEAAQVAEESCEFSYIADVLVDSGINDGDPDTFLRTLHSPEWPVKPLIFEEVEKKYCNHASWPRSERRLLFDRLNFALLVIYQQYANSHPWVRSATVIGPKWIKNGLKDSLCKLVASHDKRANEDIAAEKILERESQWLDLREDVDIIGREIERLLTEELVRELVAV >Potri.016G080200.2.v4.1 pep chromosome:Pop_tri_v4:16:6150437:6155939:1 gene:Potri.016G080200.v4.1 transcript:Potri.016G080200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080200.v4.1 MSRESESRRSPSVIARLMGLDGLPLQQSSHKHPKKSLENYTQRMVLAEIAQRNRGSYGRWSSRKSSKDEQEFKDVFEVLDTSKMGSSSYSSCGNGHSELTAAEMAFIQQKFTDVKWLSTDEKLQNSKEFHDAIEDLDSNKDLLLKYLQQPDSLFTKHLHDLQGIPPQSHCGRTHIPAKKSSYPAHCGSIGLGCNIERENPLKNRRKPHVDPSSYSYSKLEAQNPVKLSKVQLDQKDESAILPTRIVVLKPNIGKMQNSKKNTSSSQSSHASPSDCRKHTETPSIKKKEVVSWGKKSFPDDAGPSRYKSRESREIAREITRKMRKNFINSSMNFSTSGFRGYVGDESSTENESANESEETAVNSRNSIDWSNRSIPSSSCSNESSVSREARKRLSERWKLTHKSVNMGIVSQSSTLGEMLATPNSGTRLGNSDAMICKKVFSDDVDCNHGTVRWDEPLGISSREGWKDVGTGNLLRSRSVLASSTIISSPRIDKCRENVSHDSYMIPRQVIWQERNRTVKGNFNKRECSSSRNSRSRSKKSHMSSCSYRYHSETSLDINFGRDQVQSDIAEYDSLEQICTVSETPASLVTDTGLVFENMVDVVIENKAMQSKPMDQESSTYMLVKGNSSTSDLEVSSSKHSVAEVETPASSKEADQPSPVSVLETPFPDDLSSGSECFEGLNADLNGLRMQLQLLRLESEAYEEGPMLISSDEDVEGGSVGFTEAAQVAEESCEFSYIADVLVDSGINDGDPDTFLRTLHSPEWPVKPLIFEEVEKKYCNHASWPRSERRLLFDRLNFALLVIYQQYANSHPWVRSATVIGPKWIKNGLKDSLCKLVASHDKRANEDIAAEKILERESQWLDLREDVDIIGREIERLLTEELVRELVAV >Potri.016G080200.1.v4.1 pep chromosome:Pop_tri_v4:16:6150368:6156030:1 gene:Potri.016G080200.v4.1 transcript:Potri.016G080200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G080200.v4.1 MSRESESRRSPSVIARLMGLDGLPLQQSSHKHPKKSLENYTQRMVLAEIAQRNRGSYGRWSSRKSSKDEQEFKDVFEVLDTSKMGSSSYSSCGNGHSELTAAEMAFIQQKFTDVKWLSTDEKLQNSKEFHDAIEDLDSNKDLLLKYLQQPDSLFTKHLHDLQGIPPQSHCGRTHIPAKKSSYPAHCGSIGLGCNIERENPLKNRRKPHVDPSSYSYSKLEAQNPVKLSKVQLDQKDESAILPTRIVVLKPNIGKMQNSKKNTSSSQSSHASPSDCRKHTETPSIKKKEVVSWGKKSFPDDAGPSRYKSRESREIAREITRKMRKNFINSSMNFSTSGFRGYVGDESSTENESANESEETAVNSRNSIDWSNRSIPSSSCSNESSVSREARKRLSERWKLTHKSVNMGIVSQSSTLGEMLATPNSGTRLGNSDAMICKKVFSDDVDCNHGTVRWDEPLGISSREGWKDVGTGNLLRSRSVLASSTIISSPRIDKCRENVSHDSYMIPRQVIWQERNRTVKGNFNKRECSSSRNSRSRSKKSHMSSCSYRYHSETSLDINFGRDQVQSDIAEYDSLEQICTVSETPASLVTDTGLVFENMVDVVIENKAMQSKPMDQESSTYMLVKGNSSTSDLEVSSSKEPSNGPSKKGSIPMQHSVAEVETPASSKEADQPSPVSVLETPFPDDLSSGSECFEGLNADLNGLRMQLQLLRLESEAYEEGPMLISSDEDVEGGSVGFTEAAQVAEESCEFSYIADVLVDSGINDGDPDTFLRTLHSPEWPVKPLIFEEVEKKYCNHASWPRSERRLLFDRLNFALLVIYQQYANSHPWVRSATVIGPKWIKNGLKDSLCKLVASHDKRANEDIAAEKILERESQWLDLREDVDIIGREIERLLTEELVRELVAV >Potri.002G207950.1.v4.1 pep chromosome:Pop_tri_v4:2:18281712:18281864:1 gene:Potri.002G207950.v4.1 transcript:Potri.002G207950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G207950.v4.1 MGKVVCTELHADHGLDFDFMGLVMALVIALALMAICFQPPRRTLVLHRIA >Potri.001G027100.1.v4.1 pep chromosome:Pop_tri_v4:1:2031475:2035503:-1 gene:Potri.001G027100.v4.1 transcript:Potri.001G027100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027100.v4.1 MSKFSQPSVDSTVALIMTQNGRAETKVVSTTRKPSKGGWNAAIFIIFVEVALRFAYYGLAGNLITYLTNDLHQSTSTAIKNINTWVGVSAIFPIFGAIVADSLLGRFKTILLSSTIYFIGMVLLTLSVSVIPTHYREAVFFIALYILAVGEGGHKPSVQTFAADQFDEEEPEEKAAKSSFFNWWYLGIVVGASSAILVVIYIQDNLGWTAGIGILTGALGVALFIFLIGIKRYRKQAPVGSPFTMVAQVFVAATRKRRVVQTRQGWGICYEAGGTDIEGQTRKRTLAATNQFRFLDKAMIIDDLDASSKNRNPWRLCSLNQVEEVKLVLRLLPIWLSCLMFTVVIVQTHTLFIKQGSTMTRSIGPNFQVPPASFQSLVGLTILFTIPLYERVFIPAARKITGHSSGITMLQRIGIGLFLSIVEMVVAALVEAKRVSIAREHGLMDIPKATIPMSVWWILPQYMISGISDVFTVVGLQELFYDQMPESMRSMGAAAYISVTGLGSFFNTAIITVVQAITARSSGILLGNNLNRAHVDYFYWILAVLSALNFCVYLWVAHGFVYKKVEGEKPQEGRELAFAENLDAKT >Potri.003G222001.1.v4.1 pep chromosome:Pop_tri_v4:3:21560194:21560587:1 gene:Potri.003G222001.v4.1 transcript:Potri.003G222001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G222001.v4.1 MLPMRGTFREVLDDFTYAGYTIPIRWKLHWSPVSTTNDPVLFSNAEDFDHSRYEGAGHLPHIRVLHLVGEQGFAWGMNTLDHKYSCSCIIL >Potri.004G163400.1.v4.1 pep chromosome:Pop_tri_v4:4:18318872:18320779:-1 gene:Potri.004G163400.v4.1 transcript:Potri.004G163400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G163400.v4.1 MSTFSGDETAPFFGFLGAAAALVFSCMGAAYGTAKSGVGVASMGVMRPELVMKSIVPVVMAGVLGIYGLIIAVIISTGINPKAKSYYLFDGYAHLSSGLACGLAGLSAGMAIGIVGDAGVRANAQQPKLFVGMILILIFAEALALYGLIVGIILSSRAGQSRAE >Potri.001G420750.1.v4.1 pep chromosome:Pop_tri_v4:1:44926488:44927070:1 gene:Potri.001G420750.v4.1 transcript:Potri.001G420750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G420750.v4.1 MERLLGAACFLSQQRHFDISQRTKTESNVLELHCISN >Potri.018G062450.1.v4.1 pep chromosome:Pop_tri_v4:18:7293168:7294743:1 gene:Potri.018G062450.v4.1 transcript:Potri.018G062450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G062450.v4.1 MSLLFLSLSFQATVATPLVIAEENLATNLVAVMSHQDSIYNLESKRQLQEEKGKDFKGQLRQP >Potri.003G091300.1.v4.1 pep chromosome:Pop_tri_v4:3:11764806:11766400:1 gene:Potri.003G091300.v4.1 transcript:Potri.003G091300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G091300.v4.1 MAGLDLGTTSRYVHQLHHRPDLQLQHQPDPEDEDPNRAGDGLGSVGRFSTDHNPDDGLHQGLDLVATAANSGPGDIMARRPRGRPPGSKNKEKPPIIITRESANTLRAHILEVGSGCDVFECVGNYARRRQRGICILSGAGTVTNVSIRQPAAAGSIVTLHGRFEILSLSGSFLPPPAPPGATSLTIFLAGGQGQVVGGSVVGELTAAGPVIVIAASFTNVAYERLPLDEDDQLLMQSGGGGGGGAGGGIGVGNNGPFNEAGAASGGLPFFNLPLNMPSNVQLPVNGWAGNSGGRAPF >Potri.011G113742.1.v4.1 pep chromosome:Pop_tri_v4:11:14385594:14385815:1 gene:Potri.011G113742.v4.1 transcript:Potri.011G113742.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbH MATQSVEGSSRSGPRRTIVGDLLKPLNSEYGKVAPGWGTTPLMGVAMALFAVFLSIILEIYNSSVLLDGISMN >Potri.001G280500.2.v4.1 pep chromosome:Pop_tri_v4:1:29413150:29417068:1 gene:Potri.001G280500.v4.1 transcript:Potri.001G280500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G280500.v4.1 MEVEGQDTAINITVKFSGRSIPISVSLDSKIKDVKSLLQPLTNVLPRGQKLIFKGKLLVDGMTLRESEVTNGAKVMLMASQGLHQGDGPILKDAKTRPISRANTSSNKTVNEKIGVLFDKNRVERWKVTGVIGLAECNLKAIPEEVWTCGPSTRVLDISNNFILDVPAQIGCLSSMQKFLLNGNGMLDECIKWKGLTSLKHLTVLSVSHNNLSTLPSELGALCSLRQLHVSNNKLSSLPMEIGLLTQLEVLKVNNNRISNVPMCIGDCSSLAEVDLSSNLLTELPVTFGDLLNLKALHLGNNGLKSLPSTIFKMCLQLSTLDLHNTEITMDVLRQLEGWQDFDDRRRSKHQKQLDFRVVGSAEFDEGADKL >Potri.009G099500.8.v4.1 pep chromosome:Pop_tri_v4:9:8858857:8863390:1 gene:Potri.009G099500.v4.1 transcript:Potri.009G099500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099500.v4.1 MDNKTWLWRKRSSEKTIVATNKFGISVKGIDEETQNIPAGNGLGPVRRNLNEKLASVLLDCHAKDDPVTENEKSEQRATAGQEKTEAEVDCLKKELDGAPSQGVAANEELSHSDATLKKCMQQLNSFREEHEQKIHDAVMEATSEFERAQKTLEGKLMETSKRLTNLAIENTNLSNALLLKEKLVEELHKRASQTLAEFNALMARLDSTEKENAFLKYEFHMLQKEHEVRNEELEYNRRSSDASRRQHLESVSKVTKLEAECQRLRTLMRKRLPGPAAFSKIKSEVQMLGKEPMELRRKPNLTRDLVLRDPIMEISPEIPVKNIDFLIEQLRGKEEENKVLREMMTRKNAELQSSRIMFSRTASRLSEVEAQVMELSGDQKSVELTMHSPSSRELLSPIAGSDAGSWANALISELEHLRDGKLKSPSGHKAIEVMDMSLMDDFVEMEKLAMVSTQTPSAGGNRPSSAGKELVPVEQEKQEIHMKDDSTDKSFDWLQVVLNAIFKQQRISKRSLTELLEDINIALGYINHPNVCEPNTSAFSRLPVECDISGYITWKSPSESSIVDSLNETSRPDTPVKETSKQHDQSNLTDLQEENDRLKNELNNMEARLHSATDKSEALMMKLRESEQSVERLQAEVEILKESKGMIEDQIENQKSINEDLDTQLTVTKAKLNEVFHKFSSLEVEFEDKSNCCEELEATCLELQLQLESAAKETLSCGINEEGKQPQDGWEIKAASVKLAECQETILNLGKQLKALASPREAALFDKVFTTTGATAAATNIKNMNRRFSLRDQMIAEDRSKAIILRSPTEDAQKSSLNHTDNGNELISPNALVCASEAYFGPKHKSGNAAVGALAIVPSKKQGFGLLRSLLMRRKKGASKKSRSLVKV >Potri.009G099500.5.v4.1 pep chromosome:Pop_tri_v4:9:8858913:8863356:1 gene:Potri.009G099500.v4.1 transcript:Potri.009G099500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099500.v4.1 MDNKTWLWRKRSSEKTIVATNKFGISVKGIDEETQNIPAGNGLGPVRRNLNEKLASVLLDCHAKDDPVTENEKSEQRATAGQEKTEAEVDCLKKELDGAPSQGVAANEELSHSDATLKKCMQQLNSFREEHEQKIHDAVMEATSEFERAQKTLEGKLMETSKRLTNLAIENTNLSNALLLKEKLVEELHKRASQTLAEFNALMARLDSTEKENAFLKYEFHMLQKEHEVRNEELEYNRRSSDASRRQHLESVSKVTKLEAECQRLRTLMRKRLPGPAAFSKIKSEVQMLGKEPMELRRKPNLTRDLVLRDPIMEISPEIPVKNIDFLIEQLRGKEEENKVLREMMTRKNAELQSSRIMFSRTASRLSEVEAQVMELSGDQKSVELTMHSPSSRELLSPIAGSDAGSWANALISELEHLRDGKLKSPSGHKAIEVMDMSLMDDFVEMEKLAMVSTQTPSAGGNRPSSAGKELVPVEQEKQEIHMKDDSTDKSFDWLQVVLNAIFKQQRISKRSLTELLEDINIALGYINHPNVCEPNTSAFSRLPVECDISGYITWKSPSESSIVDSLNETSRPDTPVKETSKQHDQSNLTDLQEENDRLKNELNNMEARLHSATDKSEALMMKLRESEQSVERLQAEVEILKESKGMIEDQIENQKSINEDLDTQLTVTKAKLNEVFHKFSSLEVEFEDKSNCCEELEATCLELQLQLESAAKETLSCGINEEGKQPQDGWEIKAASVKLAECQETILNLGKQLKALASPREAALFDKVFTTTGATAAATNIKNMNRRFSLRDQMIAEDRSKAIILRSPTEDAQKSSLNHTDNGNELISPNALVCASEAYFGPKHKSGNAAVGALAIVPSKKQGFGLLRSLLMRRKKGASKKSRSLVKV >Potri.009G099500.7.v4.1 pep chromosome:Pop_tri_v4:9:8858780:8863389:1 gene:Potri.009G099500.v4.1 transcript:Potri.009G099500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G099500.v4.1 MDNKTWLWRKRSSEKTIVATNKFGISVKGIDEETQNIPAGNGLGPVRRNLNEKLASVLLDCHAKDDPVTENEKSEQRATAGQEKTEAEVDCLKKELDGAPSQGVAANEELSHSDATLKKCMQQLNSFREEHEQKIHDAVMEATSEFERAQKTLEGKLMETSKRLTNLAIENTNLSNALLLKEKLVEELHKRASQTLAEFNALMARLDSTEKENAFLKYEFHMLQKEHEVRNEELEYNRRSSDASRRQHLESVSKVTKLEAECQRLRTLMRKRLPGPAAFSKIKSEVQMLGKEPMELRRKPNLTRDLVLRDPIMEISPEIPVKNIDFLIEQLRGKEEENKVLREMMTRKNAELQSSRIMFSRTASRLSEVEAQVMELSGDQKSVELTMHSPSSRELLSPIAGSDAGSWANALISELEHLRDGKLKSPSGHKAIEVMDMSLMDDFVEMEKLAMVSTQTPSAGGNRPSSAGKELVPVEQEKQEIHMKDDSTDKSFDWLQVVLNAIFKQQRISKRSLTELLEDINIALGYINHPNVCEPNTSAFSRLPVECDISGYITWKSPSESSIVDSLNETSRPDTPVKETSKQHDQSNLTDLQEENDRLKNELNNMEARLHSATDKSEALMMKLRESEQSVERLQAEVEILKESKGMIEDQIENQKSINEDLDTQLTVTKAKLNEVFHKFSSLEVEFEDKSNCCEELEATCLELQLQLESAAKETLSCGINEEGKQPQDGWEIKAASVKLAECQETILNLGKQLKALASPREAALFDKVFTTTGATAAATNIKNMNRRFSLRDQMIAEDRSKAIILRSPTEDAQKSSLNHTDNGNELISPNALVCASEAYFGPKHKSGNAAVGALAIVPSKKQGFGLLRSLLMRRKKGASKKSRSLVKV >Potri.014G066000.5.v4.1 pep chromosome:Pop_tri_v4:14:4076428:4088329:-1 gene:Potri.014G066000.v4.1 transcript:Potri.014G066000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066000.v4.1 MSVPNPNNNFSKHQNIPNALMTQNHLIGSLTSHISLYHSQSNPPSSPNPNPRSSILKWFKSLSVHQRQSHLTTVDFKFTQILLQMLAKLHSHGHCRFIILPDLLSRDLPSLCFKKSRGLLSRVAESNESERLIFESTRLFSSREGEKVDDCRSGAEGLDSVTVSEDLIENVEKFVELMDDISNGGFLRGEESELGTDWVELEWLKVRGYYCIEAFLANKLEVALRLAWLNCGNGKKRGVKLKEKLSAAGVAANVFWRRKGCVDWWRNLDAEVRRKVLNFALGKAAKSLTREILKDVSGVSGDELSLFRAGVQRPWRDLHAESRQRIFLKLPADAEFGLAPKPSFSGKDASFANIFNSLFVLQDIVSLVLPDQGSEYDTSHIFFSMLGSLGTLSDCILRKLRGLVMVISLDCTRLELLGEGTSNSSANKPSEKLGAGSRRKKGKTQNMKKLMNPTPVKSVDESSFKKLAEDIKCAPACIKKTELMESNEMPGIPHENENHRDISSPTVEMEHTQGLVHEKKRTAGRKNRKGRNKKKKSSFSNPVEVRKPEIAVSEAPSFSVCSSDEEAKLCRLSDNLTTQKASNDSLIDPSINEPTRKEIDALGIPEDHAVGCTEGISDAGLEHYRSSNGFVDNKSMPSRRETRCGVGQNIIYQVATTKELITVSSNEGTSFLNKKTEVKLDVGNKLVRTHEVKEVPTLNRGEESENFHESGSKGLSDCLSYEWPSLGPVYFPSINSHLPPATYRLHLDVGHNWHNHIHQPFLPTVHQARNSPIEGGSNRMLSQPLPMSLDWPPMVRSNCGLAPTMTCNYDSGFISRRQSTFQKSYTAKNMQYISKTFDDERRCSGDAIDFTEATSSQELMDEYENHWISEEEYEVHAVSGIDYNQHFGGGVMYWDPSDHPGTGFSRPPSLSSDDSGWPWHEAELNRAVDDMVAFSSSYSTTGLTSPTAASFCSAFDPLVPGHQALGYVMSGNEVPGKAMLSSTVTDAAAEEDVSGSLASLSSDVEGKAGDSLPYPILRPIIIPNMSRERSRSDFKRSLDHKSPCVPPTRREHPRIKRPPSPVVLCVPRAPRPPPPSPVSDSRKHRGFPTVRSGSSSPRQWGVRGWYHDGTNLEEACGRMDGAEVVWPSWRNKKLSTHPMVQPLPGALLQDRLIAMSHLARDQDHPDVLFPLQRAEIQNCPTRKASLCLVQSLLHDEIDSFCKQVAAANMARKPFINWAVKRVTRSLQVLWPRSRINIFGSSATGLALPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPVIMLVVEVPTDLITSTASNVQSPKEEPIHLTVEHDIQVQSNMVVLEDSISPKCTQLNCDSKRDVKSIRLDISFKSPSHTGLQTTQLVKDLTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNVGSLLMDLLYFFGNVFDPRQMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSVLEKELACLPDEGDTCSRPAHRLLPKIIPSIDITGSLII >Potri.014G066000.12.v4.1 pep chromosome:Pop_tri_v4:14:4076432:4086021:-1 gene:Potri.014G066000.v4.1 transcript:Potri.014G066000.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G066000.v4.1 MPSRRETRCGVGQNIIYQVATTKELITVSSNEGTSFLNKKTEVKLDVGNKLVRTHEVKEVPTLNRGEESENFHESGSKGLSDCLSYEWPSLGPVYFPSINSHLPPATYRLHLDVGHNWHNHIHQPFLPTVHQARNSPIEGGSNRMLSQPLPMSLDWPPMVRSNCGLAPTMTCNYDSGFISRRQSTFQKSYTAKNMQYISKTFDDERRCSGDAIDFTEATSSQELMDEYENHWISEEEYEVHAVSGIDYNQHFGGGVMYWDPSDHPGTGFSRPPSLSSDDSGWPWHEAELNRAVDDMVAFSSSYSTTGLTSPTAASFCSAFDPLVPGHQALGYVMSGNEVPGKAMLSSTVTDAAAEEDVSGSLASLSSDVEGKAGDSLPYPILRPIIIPNMSRERSRSDFKRSLDHKSPCVPPTRREHPRIKRPPSPVVLCVPRAPRPPPPSPVSDSRKHRGFPTVRSGSSSPRQWGVRGWYHDGTNLEEACGRMDGAEVVWPSWRNKKLSTHPMVQPLPGALLQDRLIAMSHLARDQDHPDVLFPLQRAEIQNCPTRKASLCLVQSLLHDEIDSFCKQVAAANMARKPFINWAVKRVTRSLQVLWPRSRINIFGSSATGLALPTSDVDLVVCLPPVRNLEPIKEAGILEGRNGIKETCLQHAARYLANQEWVKNDSLKTVENTAIPVIMLVVEVPTDLITSTASNVQSPKEEPIHLTVEHDIQVQSNMVVLEDSISPKCTQLNCDSKRDVKSIRLDISFKSPSHTGLQTTQLVKDLTEQFPAATPLALVLKQFLADRSLDQSYSGGLSSYCLVLLIIRFLQHEHHLGRPINQNVGSLLMDLLYFFGNVFDPRQMRISVQGSGVYINRERGYSIDPIHIDDPLFPTNNVGRNCFRIHQCIKAFSEAYSVLEKELACLPDEGDTCSRPAHRLLPKIIPSIDITGSLII >Potri.005G191600.2.v4.1 pep chromosome:Pop_tri_v4:5:19892780:19895089:-1 gene:Potri.005G191600.v4.1 transcript:Potri.005G191600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191600.v4.1 MASEEESAVKEPLDLIRLSLDERIYVKLRSDRELRGKLHAYDQHLNMILGDVEEIVTTVEIDDETYEEIVRTTRRTVPFLFVRGDGVILVSPPLRTA >Potri.008G058000.2.v4.1 pep chromosome:Pop_tri_v4:8:3437484:3438806:-1 gene:Potri.008G058000.v4.1 transcript:Potri.008G058000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G058000.v4.1 MAFLQLLVQLFISFILLQSKHCLSSNQPPIVLALRTQKHRTPISTPRLFSTTSKTTDKLLFHHNVTLTVSLTAGTPLQNITMVLDTGSELSWLHCKKEPNFNSIFNPLASKTYTKIPCSSPTCETRTRDLPLPVSCDPAKLCHFIISYADASSVEGNLAFETFRVGSVTGPATVFGCMDSGFSSNSEEDAKTTGLMGMNRGSLSFVNQMGFRKFSYCISDRDSSGVLLLGEASFSWLKPLNYTPLVEMSTPLPYFDRVAYSVQLEGIRVSDKVLSLPKSVFVPDHTGAGQTMVDSGTQFTFLLGPVYSALKQEFLLQTKGVLRVLNEPRYVFQGAMDLCYLIEPTRAALPNLPVVNLMFRGAEMSVSGQRLLYRVPGEVRGKDSVWCFTFGNSDSLGIESFVIGHHQQQNVWMEYDLEKSRIGFAEVRCDLAGQRLGLDV >Potri.016G033300.2.v4.1 pep chromosome:Pop_tri_v4:16:1895605:1900066:-1 gene:Potri.016G033300.v4.1 transcript:Potri.016G033300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G033300.v4.1 MKSVSLDYWRDYFRTASSDIFGIIDHAILVAASDCPKEFKLRRDRIAERLFSCRLIKCSGCNQVELAVPGHDEDERDDRGCCSKRRDGDNSGSDDDEEEVDIDIDDGGFEYEGGGSKESKVNSSNRDNDFDNGEVNVNDQLVSNFSFGEAEALTDEIEEVSQTVDEVLRIKDILYNSQDESDSVLLESLRKLRLMALTVDTLKATEIGKAVNGLRKHGSKQIRHLARTLIEDWKVLVDEWYSAANVIRGNEGTPDSVNPSVVDEEEGLPSPPLDEGAFFATQPTSMELSQFFDGMDDDGNPRNNGEFIKNHVSRRRPSAENQNISKQKQQTPKGANMPSKDNESQQMRKQEDVVKASKPSNANSGPGRPLKQNVEQKMNQETVLIRKTDKVTSQRKPPTGQQDKLKSSDEVAVQMKLEATKRKLQERYQQAEKAKRQRTIQVMELHDLPKQGHVQKNQPMRLGNHNRHWAHGRR >Potri.006G135300.2.v4.1 pep chromosome:Pop_tri_v4:6:11147254:11159179:-1 gene:Potri.006G135300.v4.1 transcript:Potri.006G135300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135300.v4.1 MSLSWILRITRNGSHWFVSHRPSSYGLLLSNNSHSSTRKVFNCRETYSWTVPSFHVFKHQIHHQSSSLVEEQLDPFSLVADELSLLANRLRSMVIAEVPKLASAAEYFFKMGVQGKRFRPTVLLLMATALNVRILETETGSEGDALTTELRKRQQSIAEITEMIHVASLLHDDVLDDADTRRGIGSLNLVMGNKVAVLAGDFLLSRACVALASLKNTEVVTLLATAVEHLVNGETMQMTSTSEQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTTEVAMLAFEYGKNLGLAYQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPILFAMEEFPQLCSVIDRGFDKPENIDAALEYLGKSRGIQRTRELAAKHANLAAAAIDSLPETDDEEVRKSRRALVDLTQRVITRNK >Potri.006G135300.3.v4.1 pep chromosome:Pop_tri_v4:6:11147529:11159179:-1 gene:Potri.006G135300.v4.1 transcript:Potri.006G135300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G135300.v4.1 MSLSWILRITRNGSHWFVSHRPSSYGLLLSNNSHSSTRKIHHQSSSLVEEQLDPFSLVADELSLLANRLRSMVIAEVPKLASAAEYFFKMGVQGKRFRPTVLLLMATALNVRILETETGSEGDALTTELRKRQQSIAEITEMIHVASLLHDDVLDDADTRRGIGSLNLVMGNKVAVLAGDFLLSRACVALASLKNTEVVTLLATAVEHLVNGETMQMTSTSEQRCSMEYYMQKTYYKTASLISNSCKAIALLAGQTTEVAMLAFEYGKNLGLAYQLIDDVLDFTGTSASLGKGSLSDIRHGIVTAPILFAMEEFPQLCSVIDRGFDKPENIDAALEYLGKSRGIQRTRELAAKHANLAAAAIDSLPETDDEEVRKSRRALVDLTQRVITRNK >Potri.T124305.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_522:10982:13347:-1 gene:Potri.T124305.v4.1 transcript:Potri.T124305.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T124305.v4.1 MDGVRGLGFRVWGLGFGVWGLGFGVWGFGVLGFWGLGFGVWGLGFGVWGLGFGVWGLGFGVWGLGFWGFGVLGFWGFGVWGLGFGVSGFGFRVSGFGFRGFGGSGFRVQGSGSGFRVQGSGFRVQGSGFRFRVGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRVQGSGFRGLGFRGLGGLGVRGLGFGVSGLGFGVWGFGVWGFGVLGFWGLGFGVWGLGFGVWGLGFGVWGLGFGVLGFWGFGVLGFWGFGFRVSGFGFRVSGFGFRVSGLGFGVWGLGFRV >Potri.003G080800.4.v4.1 pep chromosome:Pop_tri_v4:3:10804789:10809159:1 gene:Potri.003G080800.v4.1 transcript:Potri.003G080800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080800.v4.1 MIDNSLPRPSPAKIKERNPNLSLCSRLNSHRNLSKSLKNQRNRQMGTFTALTRSLGHRCVKKSKSNNLPNLYIYSNGHQYQTHRTLILESLSYESVRLRRLSDSDSGIVEVNLDRPAAKNSIGKEMLRGLRNAFETIESDESAQVVLICSSVPKVFCAGADLKERKTMTPSEVQNFVNSLRSTFSFIEALRVPTIAVIEGVALGGGLEMALSCDLRICGEDAVLGLPETGLAIIPGAGGTQRLPRLVGKSLAKELIFTGRKIGGREYANGACQLLCPCQ >Potri.003G080800.5.v4.1 pep chromosome:Pop_tri_v4:3:10804789:10809159:1 gene:Potri.003G080800.v4.1 transcript:Potri.003G080800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080800.v4.1 MIDNSLPRPSPAKIKERNPNLSLCSRLNSHRNLSKSLKNQRNRQMGTFTALTRSLGHRCVKKSKSNNLPNLYIYSNGHQYQTHRTLILESLSYESVRLRRLSDSDSGIVEVNLDRPAAKNSIGKEMLRGLRNAFETIESDESAQVVLICSSVPKVFCAGADLKALRVPTIAVIEGVALGGGLEMALSCDLRICGEDAVLGLPETGLAIIPGAGGTQRLPRLVGKSLAKELIFTGRKIGGREYANGACQLLCPCQ >Potri.003G080800.1.v4.1 pep chromosome:Pop_tri_v4:3:10804789:10809159:1 gene:Potri.003G080800.v4.1 transcript:Potri.003G080800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080800.v4.1 MIDNSLPRPSPAKIKERNPNLSLCSRLNSHRNLSKSLKNQRNRQMGTFTALTRSLGHRCVKKSKSNNLPNLYIYSNGHQYQTHRTLILESLSYESVRLRRLSDSDSGIVEVNLDRPAAKNSIGKEMLRGLRNAFETIESDESAQVVLICSSVPKVFCAGADLKERKTMTPSEVQNFVNSLRSTFSFIEALRVPTIAVIEGVALGGGLEMALSCDLRICGEDAVLGLPETGLAIIPGAGGTQRLPRLVGKSLAKELIFTGRKIGGREYANGACQLLCPCQ >Potri.003G080800.6.v4.1 pep chromosome:Pop_tri_v4:3:10804872:10809015:1 gene:Potri.003G080800.v4.1 transcript:Potri.003G080800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080800.v4.1 MIDNSLPRPSPAKIKERNPNLSLCSRLNSHRNLSKSLKNQRNRQMGTFTALTRSLGHRCVKKSKSNNLPNLYIYSNGHQYQTHRTLILESLSYESVRLRRLSDSDSGIVEVNLDRPAAKNSIGKEMLRGLRNAFETIESDESAQVVLICSSVPKVFCAGADLKALRVPTIAVIEGVALGGGLEMALSCDLRICGEDAVLGLPETGLAIIPGAGGTQRLPRLVGKSLAKELIFTGRKIGGREYANGACQLLCPCQ >Potri.003G013856.1.v4.1 pep chromosome:Pop_tri_v4:3:3126652:3126969:1 gene:Potri.003G013856.v4.1 transcript:Potri.003G013856.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G013856.v4.1 MVMERWLTNVTFFPSTSVFRSLHLCSCFSLLVLFFFFVSLVPPVSLCSCSSWSLSVLSVFVHFSSVFFCIMCLWFSPPLWLLSVRPDFSGYVFGWLDQQPTVRGS >Potri.011G136600.1.v4.1 pep chromosome:Pop_tri_v4:11:16880230:16882746:-1 gene:Potri.011G136600.v4.1 transcript:Potri.011G136600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G136600.v4.1 MVQKLEAIKGGGGSTRVGTTGTIGALITRELESIKPSPRASASVQAKPGTILVSVPCSATTPRKLQARKSLDEASSSGMNRRSPETRQKMKSFNKSTHHMPIFGSDSIILDRTPSREKSDKKATKIVEIVDIRCGSPDRTWANPITSKLKKLGFSKLSESTV >Potri.004G189300.2.v4.1 pep chromosome:Pop_tri_v4:4:20179174:20181059:-1 gene:Potri.004G189300.v4.1 transcript:Potri.004G189300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G189300.v4.1 MATDPEREAASSLSSTAKRRLSCTTCFDALWFCYSPVHQMQQYYRLGLFDNCSQKWSDLVDCLTLKTKRSSQVQEILEAREKAKPHLWKLRTPEEASVHWRQLFGHLDDEVE >Potri.005G188900.1.v4.1 pep chromosome:Pop_tri_v4:5:19662838:19664802:-1 gene:Potri.005G188900.v4.1 transcript:Potri.005G188900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G188900.v4.1 MYSATDQMMFQCPPRPLPKEKKWKSKVEAAPNCPRCASPNTKFCYYNNYSLSQPRYFCKGCRRYWTKGGSIRNVPVGGGCRKYRRAKSSKVSQNERAAVSVNYSRTNETTLACSTNKDSMAQQGGANGSDIDLAVVFAKFLNQDLSYEPDFTGEELLNEGGDQMVDVSNSSNPSDSFQNDSMMESLKRSDLIQESNLLEGQSQVLVGEKQRLEEERIQELIESQDLNAFGLQDLLSDEIVQDALWSDDATLPNFPNWQPMLQLQDFDSFSVDDRLKISSNFISDNSWSSLDLSGFEVFSRP >Potri.005G218400.1.v4.1 pep chromosome:Pop_tri_v4:5:22109220:22114184:-1 gene:Potri.005G218400.v4.1 transcript:Potri.005G218400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G218400.v4.1 MHFENQPHIPPATPSGSIASFTSMDTPHRAKKHRGSYNCGRCGVPKKGHVCHLPPSTTTTPTQTPTDSSVSVSTSTSRPPPPSRQQHSNLRRALSFDDTDLRCESSDIEIDESELDLFDSGSGKLPVSCMWEILRRLPPEGLLAAARVCKGWRETARRLWRAAEELRLTVPPRTQLVFVGSLLRRCTGLSRLSLRSESDLDATMLACIAFSCPNLEVMEFSTSETLVNRITGDELGCFVANKRCLRSLKMEGCSNLGGFVLCSSSLSTLWLSDLYCLSKMVFNCPNLKEISLDFSRQENESTDLIAMVDGLGRSCPRLQNIHVASFRLSHATVLALTAANLRGLRMLSLVFGTEITDASVAAISQSYSKLELLDLSGSSISDSGIGMICNVFPGTLSRLLLALCPNITSSGIQFATAQLPLLELMDCGMTICDPSSQNPTCDESGDFELQMTFKNKLHLIYQKLIIKHSRLKKLSLWGCSGLDALYLNCPELNDLNLNSCKNLHPERVLLQCPSLESVHASGCHRLLTGAIQSQVSNNLDAMENQSPHKRLADGSKRVRVPLFLSQQPCDEDKKRRRIGSRPCKVLVD >Potri.010G036700.1.v4.1 pep chromosome:Pop_tri_v4:10:6595830:6603159:-1 gene:Potri.010G036700.v4.1 transcript:Potri.010G036700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G036700.v4.1 MYRSAVSRLRAPKGCRRYPTRFASSSATALQPSSSSGFFSWLTGEKSKSVPPLDFPLVGVELPSTLPDYVEPGVTKITTLGNGLRIASETSPNPAASIGLYVDCGSIYESPATFGATHVLERMAFKSTRNRSHLRVVREVEAIGGSVQSSASREQMGYTYDALKTYLPEMVELLIDCVRNPVFLDWEFNEQLQKVKAEISEASKNPQGLLFEAIHSAGFSGALANPLLAPESSIDRLNSSLLEEFVAENYTARRMVLAASGVEHEELVAIAEPLLSDLSDKKSPGEPESVYTGGDFRCQAESGDQKTHFALAFGLKGGWHDVKEAMTLTVLQILMGGGGSFSAGGPGKGMYSRLYQRVLNQYHKVQSFSAFSHIYNHSAIFGIQATTDADFASSAIKLAARELTEVASPGAVDPVQLQRAKQSTKSAILMNLESRMVASEDIGRQILMYNKRKPLGDFLKAIDEVTLQDITQISQKLISSPLTMASYGEVINVPTYDTICSMFKSK >Potri.008G203200.1.v4.1 pep chromosome:Pop_tri_v4:8:14711890:14713404:1 gene:Potri.008G203200.v4.1 transcript:Potri.008G203200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G203200.v4.1 MALTHNFLLFCSLFPFFVIPSLSKTSFRPKALVLPVSKDASSLQYVTLMNQRTPLVPVKLTLDLGGQFQWVDCEEGYVSSSYKPVRCTSAQCSLARSKSCVNECYSSPRPGCNNNTCSVLPDNTVTSTATSGDVGQDAVSIQSTDGSNPGRVASVNKLIFACSETRFLEGLANGVKGMAGFGRTKISLPSQFSAAFSLDRKFAICLTSSASNAKGVVFFGDGPYVLLPGIDASKLLIYTPLILNPVSTASAYFEGEPSSDYFIGVKSIKINGKAVPLNTSLLSIDRIQGTGGTKISTVNPYTVMETTIYKAVIATFVKELALVPRVASVAPFGPCFNSTNIGSTRVGPPVPQIDLVLQSSKVSWTIFGANSMVQVKSDVMCLGFVDGGLNPRTSIVIGGYQLENNLLQFDLATSRLGFSSSLLFRQTTCANFNFTSNNV >Potri.006G039900.5.v4.1 pep chromosome:Pop_tri_v4:6:2663337:2666873:1 gene:Potri.006G039900.v4.1 transcript:Potri.006G039900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039900.v4.1 MDSGIQSPQLENTETKATFRKPSNDMANRKYRRHSPMNGSSLSDGSPKRDQSSSPVVQRDDPAKASQRRKGEEKELDRDSGRSRYEKNGESYRHSDRYSSRSSHGYSRNDDYSRHDRRVDDGDRHHQVVSHSGRESKDGERGRSRDYARNSEKYSRDRHDGSGHRNMDKERELSEHQKLKDKDFSPDRVGSGRKYTSIVSEEKDRDWHRRDRDGRDEKRDYHRSSGDHKSDRSSYYEDTRGYRNDSSGRDRLRESYKNDPKELNGLKEKKKHDNWETSRDKDRYSKAPGEKNDDKSAFGSEKPESPAKKPKLFSSSKDPDYSGDVNQKQSSSSMLAQEVDNKVNVGQAHANTSEAANDLDAAKVAAMKAAELGTQYFYIYVIDI >Potri.006G039900.4.v4.1 pep chromosome:Pop_tri_v4:6:2663341:2666898:1 gene:Potri.006G039900.v4.1 transcript:Potri.006G039900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039900.v4.1 MDSGIQSPQLENTETKATFRKPSNDMANRKYRRHSPMNGSSLSDGSPKRDQSSSPVVQRDDPAKASQRRKGEEKELDRDSGRSRYEKNGESYRHSDRYSSRSSHGYSRNDDYSRHDRRVDDGDRHHQVVSHSGRESKDGERGRSRDYARNSEKYSRDRHDGSGHRNMDKERELSEHQKLKDKDFSPDRVGSGRKYTSIVSEEKDRDWHRRDRDGRDEKRDYHRSSGDHKSDRSSYYEDTRGYRNDSSGRDRLRESYKNDPKELNGLKEKKKHDNWETSRDKDRYSKAPGEKNDDKSAFGSEKPESPAKKPKLFSSSKDPDYSGDVSTTVVNQKQSSSSMLAQEVDNKVNVGQAHANTSEAANDLDAAKVAAMKAAELVNKNLVGVGFMSTEQKKKLLWGSKKSAAPEETGRRWDTVMFGDRERQEKFNKLMGVKGDVKVEPQPDSQDAEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >Potri.006G039900.2.v4.1 pep chromosome:Pop_tri_v4:6:2663132:2666979:1 gene:Potri.006G039900.v4.1 transcript:Potri.006G039900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G039900.v4.1 MDSGIQSPQLENTETKATFRKPSNDMANRKYRRHSPMNGSSLSDGSPKRDQSSSPVVQRDDPAKASQRRKGEEKELDRDSGRSRYEKNGESYRHSDRYSSRSSHGYSRNDDYSRHDRRVDDGDRHHQVVSHSGRESKDGERGRSRDYARNSEKYSRDRHDGSGHRNMDKERELSEHQKLKDKDFSPDRVGSGRKYTSIVSEEKDRDWHRRDRDGRDEKRDYHRSSGDHKSDRSSYYEDTRGYRNDSSGRDRLRESYKNDPKELNGLKEKKKHDNWETSRDKDRYSKAPGEKNDDKSAFGSEKPESPAKKPKLFSSSKDPDYSGDVNQKQSSSSMLAQEVDNKVNVGQAHANTSEAANDLDAAKVAAMKAAELVNKNLVGVGFMSTEQKKKLLWGSKKSAAPEETGRRWDTVMFGDRERQEKFNKLMGVKGDVKVEPQPDSQDAEKQKELQMDLEKQYTAGLRRRDGRTVGLGL >Potri.018G032100.1.v4.1 pep chromosome:Pop_tri_v4:18:2362128:2365947:1 gene:Potri.018G032100.v4.1 transcript:Potri.018G032100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032100.v4.1 MSGKGAKGLLTSKTPAQSKDKDKKKPTSRSSRAGLQFPVGRIHRLLKSRATAHGRVGATAAVYSAAILEYLTAEVLELAGNASKDLKVKRITPRHLQLAIRGDEELDTLIKGTIAGGGVIPHIHKSLINKSSKE >Potri.001G301900.1.v4.1 pep chromosome:Pop_tri_v4:1:31243483:31247242:-1 gene:Potri.001G301900.v4.1 transcript:Potri.001G301900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G301900.v4.1 MSVSGIQGHLLEVTVVGCTKLKDTEWISRQDPYVCVEYGSNKFRTRTCTDGGKNPTFQEKFMFTLIEGLREIGVAVWNSNTLTFDDFIGSGKIQLHKVLSQGFDDTTWPLQSKTGRYAGEVRLIIHYANANKAAAGYAPSAPQYGAPVPQVSYYSAPPPAHGAPYGQPSTAYTGSSPYPSYPPSSAYPPSAYPPPPAATYPPAPYPATSAYPPQPYPPPPQASPYYPPGPFPGIYPPPPY >Potri.009G120100.1.v4.1 pep chromosome:Pop_tri_v4:9:10076594:10077703:1 gene:Potri.009G120100.v4.1 transcript:Potri.009G120100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120100.v4.1 MIAATIVDELGNPIQLTDEHGNPVKLTDEHGNPVHIAGVATTKQPPTLGDIISTDTVPGTGHLSSTARSEDAMKGGLRETGHHGEVAGDQGGHKKEEHDETSSTSSSGSSEDDGQGGRRKKKKGLKQKIKEKLTGGKHKEEHGHTVDVHTTTTGPAGEQYQEQEKKSVIEKIKGKLPGHHSHH >Potri.010G244200.2.v4.1 pep chromosome:Pop_tri_v4:10:22017868:22019372:-1 gene:Potri.010G244200.v4.1 transcript:Potri.010G244200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G244200.v4.1 MAGNRDDLNCHEEDVDGLNGNEIELEKLSLGPDGSKKLLVLDLAGVLCDRVFHKNRANIPDNRTPDAASGSFFVYKRPFCEEFVRFCLERFDVGIWSSARRTNLETALDCVIGELKGRLLFVWDQDDCTDSGFSTKENKNKPIFFKELKKLWDNESSNLPWRKGQYSSSNTILIDDKPYKALLNPPSTAIFPTEYKPDQLDDATLGPNGELRLYLDGLARAADVPGYVKEHPFGQSAITAIHPDWDFYSNIIDSSQLLGKS >Potri.004G191200.1.v4.1 pep chromosome:Pop_tri_v4:4:20324358:20326908:1 gene:Potri.004G191200.v4.1 transcript:Potri.004G191200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G191200.v4.1 MESKPNGNAAAARVHEAFEPSIDWVREPGADTLRIYLPGFKKEQLKVQVTSSRVLRVSGERQLSGNRWSSFRKEIPISSNYDTNEIAARFEKGILYVKQPKIIVPDAPKPQEQARSPVEASKNDQKPAQENAQPPPIQAPGKPEQKSSSVEGKVEPPSESATKPKKQPQEKPASEQQPEDPVAKVGMEKQKGGEIEGAENDNLSPRSPRKEKDPVKHEWNEKSTKNGQAEEKGIATTSKSAKPENLVESSLDSTNLVKDKAEKGLLSGTEKLRMESYKKDFSGLVMDMKKPRTLVNLVLVILFIMVLGMYGRNAIRSLKKSDN >Potri.009G064950.1.v4.1 pep chromosome:Pop_tri_v4:9:6575946:6576101:1 gene:Potri.009G064950.v4.1 transcript:Potri.009G064950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G064950.v4.1 MASHSLFRLRSMHRLISREAWTLSIKDHGWQVSDCTAEDLRVNKFNFSLSE >Potri.009G024000.1.v4.1 pep chromosome:Pop_tri_v4:9:3594991:3597768:1 gene:Potri.009G024000.v4.1 transcript:Potri.009G024000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G024000.v4.1 MANSIQTSITDTTTENPTKRRQLITDGHRHLEQPLIPGLPNDIAQLCLSLVHPSTLYSVCHSWRRLIYSPSFPPFFSLYAVLSSTNTNHNLPDNNSIQFFNFDPISSRWDSLPPPPPDPPLHLILRHPSFISRDLPIQSISASYRLILLAATSHSFSPALSRPLVFNPLSGSWAFGPPLATPRRWCAAGSAHGTVYVASGIGSQYNTDVAKSLEKWDLQNQKAMISNIRNKTTTWKWVKVKELKNGRFSRDAIDAVGWRGKLCMVNMKGDAAKEGIVYDTEKDTWEDMPQGMVAGWRGPVAAMDEEVMYVVDEAKGVLRKYDPERDCWERIMESERLIGAQQIAAGGGRVCVICGGSTELVVLDVVALPVRLWVVETPPGFEAFRIHILPRISRPDN >Potri.003G173500.1.v4.1 pep chromosome:Pop_tri_v4:3:18146180:18149240:1 gene:Potri.003G173500.v4.1 transcript:Potri.003G173500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G173500.v4.1 MKSIPANLSSILFCLAVITHQTPWPVALLLFSLSSFFAFSLNYWLVPGGFAWRNHHDNQNPSRFRGPIGWPIVGTLPQMGSLAHRKLASMAASLGATKLMAFSLGSTRVIISSHPDTAREILCGCSFADRPIKESARLLMFERAIGFAPSGDYWRHLRRIAANYMFSPRKISALEPLRQRLANEMVAEVREEMKERRVVVLRDILQKGSLSNVLESVFGSDVSIEREELGFMVKEGFDLIAEFNLDDYFPLRFLDFHGVKRRCCQLAGKVNSVVGQIVKERKGAGDSRSGSDFLSALLSLPEEDQLNESDMVALLWEMIFRGTDTVALLLEWIMARMVVHPEIQAKAQEELDTCIGGHREVQDSDIPNLPYLRAIVKEVLRLHPPGPLLSWARLAIHDVHVDKTFIPAGTTVMVNMWAITHDPSIWRDPWSFNPDRFIEEDVLIMGSDLRLAPFGAGRRVCPGKALGLATVHLWLARLLHEYRWLPAKPVDLSECLRLSLEMKRPLECHVVQRRSKVTQ >Potri.013G075500.1.v4.1 pep chromosome:Pop_tri_v4:13:6338663:6341413:-1 gene:Potri.013G075500.v4.1 transcript:Potri.013G075500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075500.v4.1 MKKKNQTSGSGSGSGSGDMDDKDQEWDIKKIMKDIEFFSASHMTWKERKELENQKVVSLGGKPPKKQRLPLSVARVQMKKQKEREQKMLEMREQENMVLGRFGGGSGARRTVEKRKLEDRVLRSTEGHFKNGILDVKHLLSRTPSRDDGSSSHMVNKGKKHSGKRRDDGSSSHMVTKGKKHSGKKNKGKKKGGGKKRH >Potri.013G075500.4.v4.1 pep chromosome:Pop_tri_v4:13:6338664:6341280:-1 gene:Potri.013G075500.v4.1 transcript:Potri.013G075500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075500.v4.1 MKKKNQTSGSGSGSGSGDMDDKDQEWDIKKIMKDIEFFSASHMTWKERKELENQKVVSLGGKPPKKQRLPLSVARVQMKKQKEREQKMLEMREQENMVLGRFGGGSGARRTVEKRKLEDRVLRSTEGHFKNGILDVKHLLSRTPSRDDGSSSHMVNKGKKHSGKRRDDGSSSHMVTKGKKHSGKKNKGKKKGGGKKRH >Potri.016G055500.2.v4.1 pep chromosome:Pop_tri_v4:16:3696516:3698524:-1 gene:Potri.016G055500.v4.1 transcript:Potri.016G055500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G055500.v4.1 MKKIRNEPLPKNRIHEVNIYEYHPKTLAEKYKLYSEDAWYFFTTRSKKYPNGNRPDRGVPGGFWKPTGSPDTKILDENSNTMVERRSLDFYEGKGKGGNRTDWKMHEYYPTTNNVSSSNTKGMRLNDCILCRIYLKREKQKAEAKKTGKKSRNDGNTVSHGPADSRNDASTSYNYNSQQPANHAAFTSDTMEVYFNGIQPYDSNMNQCCYGDNSNQIAAMMEPAMYTLPNSIEGTGRGSSQRVAFAVPSSSMPLTMNVAGLNGLSSSMPLTMHGAGFMRGPNSSMPLTMHGAGFMRGPNSLMPLTMHAAGLNEPNSTMHLPGLNGPNFSMPLTMHGAGLNGSSMPLTMLDARLNGPSSSIPLTMHGAGLNGPNSSMMHGARLNGPNSSMMHGAGLNGSKYSSMMHGARLNGPNSSMMHGAGILNGPNSSTPLTMHGAGLNEPANSSMPLTMHAAGLNGPISSMPPTTLPIDNMEGNDHLVEPNNDIQFNNHQELNDDLRYSLPDSAFRDDAMARIHEMWVSSNSRREG >Potri.002G018100.1.v4.1 pep chromosome:Pop_tri_v4:2:1093172:1095550:1 gene:Potri.002G018100.v4.1 transcript:Potri.002G018100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018100.v4.1 MNNEANDLEKSSVRNNPTQNLDQELKEEEEELHKLLLPDIRDLPTTPPSSVQTNFVSYFAPDFVKPGHDQYVYRHANGLCVIGLARTHVAFKDEGGITVVDFNVGKSDRSGIKVTGKRKKNAQHFESNTALCKVCTQNDSYIVRCCVKGSLLEVNDRLIKQPELLNSSTDREGYIAIIMPKPADWLKVKASLLGLEEYKKLREGS >Potri.006G198100.1.v4.1 pep chromosome:Pop_tri_v4:6:20584492:20586339:1 gene:Potri.006G198100.v4.1 transcript:Potri.006G198100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198100.v4.1 MVSFPTVDKCASIGREKHSVVADMDGTLLRGRSSFPYFALLAFEAGGIFRLLFLLLNSPLAGLLYYFVSESAGIKVLIFATCAGMKLSDIESVARAVLPKFYSSDLHSESWRVFSSCGKRCVLTANPRIMVEAFLKDFLGADLVLGTEILTYKGRATGFVQSPGVLVGKNKADALKKAFGMTQPEIGLGDRHTDAPFMALCKEGYIVPPKPEVEAVTTDKLPKPVIFHDGRLVQKPTPLSALLIILWIPIGFILACLRIAAGSLLPMPMVYYAFWALGVRVTIKGTPPPPAKKSIGQSGVLFVCSHRTLLDPIFLSTALGRPIPAVTYSLSRLSEIISPIKTVRLSRDRAADASMIKKLLEEGDLAICPEGTTCREPFLLRFSALFAELTDELVPVAMANRMSMFHGTTARGWKGMDPFYFFMNPSPAYEVTFLNKLPLELTLSGGKSSHEVANYIQRVIAATLSYECTSFTRRDKYRALAGNDGNVVEKTKVQANKVMGC >Potri.001G249600.1.v4.1 pep chromosome:Pop_tri_v4:1:26554248:26554866:-1 gene:Potri.001G249600.v4.1 transcript:Potri.001G249600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249600.v4.1 MASLPIAFAVPSVRVYAATAAKGAGGGKEEKGLLDWIIGSLQKEDQFYETDPILKKVEGKNNGGTASGRKNSVAVPQKKKSGGFGGLFAKND >Potri.011G108000.3.v4.1 pep chromosome:Pop_tri_v4:11:13679685:13684755:1 gene:Potri.011G108000.v4.1 transcript:Potri.011G108000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G108000.v4.1 MDSVKPSAVTPRKSKFARTVAKVLHLRAASGIAPVDGVQKVVAQEKVKDEKHRHKSAASRPQSFDINDNDEHEKSLALEVLVAKLFASLSSVKAAYAQLQYAQSPYDADGIQGADHLVVSELKNLSDLKQCYIKKQFDPSPDTSLVLADIQEQKSLSKIYEIMGKKLESQLRLKESEITYLREKMEESNRQNRLLEKRLNQSGHLSMPGNLRQSGLSPSHFITVLRHTDKSIRSFVKLMIDEMKSAGWDLDAAAKSIVSDVAYWRADDKCFAFESFVSREMFDGFHLPNFSLQEESLPEKKNQQQLFFRRFTELKSAKATEYIAHKPKSTFAKFCRAKYLQLIHPQMETSFLGNLSQRSLVNSGEFPDNSFFATFVEMARRVWLLHCLAFSFDPEASIFQVRRGCRFSEVYMECVAEDALLSENAPEADPPVAFTVVPGFRIGKTVIQCQVYLSQLHTKVNR >Potri.010G203900.1.v4.1 pep chromosome:Pop_tri_v4:10:19576529:19577690:1 gene:Potri.010G203900.v4.1 transcript:Potri.010G203900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G203900.v4.1 MEEKEKKKHNKKQKHQHPNQQTSKPSSDFSFKPSSEVKGLRFGGQFIVKSFTIRRARPLELLKVLSYPATNKNSNNSKAAFPSTTAFLPTNFTILAHHAWHTLTLGLGTKKSKVLLFVFESESMKLAVDRIWPPEIPLGEVNKKLIRGLNGCEMARFKFRKGCITFYVYAVRRVGNLGFSCADDLKMILQSVVALNDFLDHTAMLAMPHQRSINYASPQVAMAH >Potri.008G044250.2.v4.1 pep chromosome:Pop_tri_v4:8:2508285:2511096:-1 gene:Potri.008G044250.v4.1 transcript:Potri.008G044250.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044250.v4.1 MLLAKDKSMSFSDFHAELLNFDLMLKFHSQTIQQETGSYAFYSHKPGSKSGSRNSNKIQFSGTSKGSSPALSQFRQPLPHLPSSSSTVTSTTSCSRSPCQICKREGHQALDCFNWMNYFFQGRHPPTELAAMVDEANTTYLNQHQWYADSGVNIHVTSDLANLATSQPYDGDDSVGVGHPDGGHASDGAK >Potri.008G044250.1.v4.1 pep chromosome:Pop_tri_v4:8:2508285:2511096:-1 gene:Potri.008G044250.v4.1 transcript:Potri.008G044250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044250.v4.1 MLLAKDKSMSFSDFHAELLNFDLMLKFHSQTIQQETGSYAFYSHKPGSKSGSRNSNKIQFSGTSKGSSPALSQFRQPLPHLPSSSSTVTSTTSCSRSPCQICKREGHQALDCFNWMNYFFQGRHPPTELAAMVDEANTTYLNQHQWYADSGVNIHVTSDLANLATSQPYDGDDSVGVGNGTGHPDGGHASDGAK >Potri.001G401600.1.v4.1 pep chromosome:Pop_tri_v4:1:42760663:42763176:1 gene:Potri.001G401600.v4.1 transcript:Potri.001G401600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401600.v4.1 MEQHFFDHEAHFESEEDVGLKQKHKLIPVPTAVSDNDSGYFECNICLDSAHDPVVTLCGHLYCWPCIYKWLHVKTSSPDASQQQPSCPVCKADISPNSLVPLYGRGPSTSESKSKKDPVDVVIPRRPLPSELNTVNANTSPQNRQLHSNFFNPQPQSFQHQQYFHDPHGGYAALTSSNLGGTVMTGFLNPMLGMFNEMVFTRNFGTSITNMFARPYTNPLMGSNSPRMRRQEMQLDKSLNRVSIFFLCCIILCLLLF >Potri.018G013800.3.v4.1 pep chromosome:Pop_tri_v4:18:923840:925523:1 gene:Potri.018G013800.v4.1 transcript:Potri.018G013800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013800.v4.1 MASKLCDSCKSATATLFCRADSAFLCISCDSKIHAANKLASRHARVSVCEVCEQAPAHFTCKADAAALCVTCDRDIHSANPLASRHERVPITPFFDSSSTVHGGGEAVNLLEDRYFDEVDGGRGDVSREEAEAESWLLPNPGGGTTKGVDSMDLNTGQYVFGSEMDPYLDLDPYVDPKVEVQEQNSSGTTDGVVPVQSNKLGFQSPALVNDHCCYELDFSTGSKSFGGGYGYNSLSQSVSFLN >Potri.018G013800.1.v4.1 pep chromosome:Pop_tri_v4:18:923866:926502:1 gene:Potri.018G013800.v4.1 transcript:Potri.018G013800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013800.v4.1 MASKLCDSCKSATATLFCRADSAFLCISCDSKIHAANKLASRHARVSVCEVCEQAPAHFTCKADAAALCVTCDRDIHSANPLASRHERVPITPFFDSSSTVHGGGEAVNLLEDRYFDEVDGGRGDVSREEAEAESWLLPNPGGGTTKGVDSMDLNTGQYVFGSEMDPYLDLDPYVDPKVEVQEQNSSGTTDGVVPVQSNKLGFQSPALVNDHCCYELDFSTGSKSFGGGYGYNSLSQSVSSSSLDVGVVPDGSGSTLTDISNPYCSRSVCNGMESANQTVQLSAVDREARVLRYREKRKNRKFEKTIRYASRKAYAETRPRIKGRFAKRTDTEVEVDRSSLYGFGVVPSF >Potri.008G115800.1.v4.1 pep chromosome:Pop_tri_v4:8:7380905:7382931:-1 gene:Potri.008G115800.v4.1 transcript:Potri.008G115800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115800.v4.1 MFSSSSSFNPFDQYANHTMIAGTENPSSIESCSQTFLQHFPDPFLDVDDLLVGELLSQQQQEHQEEEEVLGSNTALAVEAHDPQTIPTASNEETKINNKKKRSNVDTSKQPIRQRRTGKDRHSKIHTAQGPRDRRMRLSLQISRKFFDLQDMLGFDKASKTIEWLFTKSKAGIKELTDSVPGGRRRCSICADGKSVCSTPESEVVSGIKLTPETNGDKRGTKAKNDSLVSNPKEKRSKKVHKPVFNLVDRDSREKARARARERTRERMKNQGIDTSSDRSSQANPNKLEKFESSGPLEYGENLAPVNQEMSSPLKVGDVKESSNHDLLPHQMDYVSITGNFFWITNSPRSSSIFDFSESVALPGGTNCKDEFPGFPFNSNMTNDRIQYKYNALTNMKLPPLNAHEESPNSIFVNMPNPNDQNSISILMTTTTNGNANQSSNSFFAEDNFDCLY >Potri.010G207600.1.v4.1 pep chromosome:Pop_tri_v4:10:19760286:19762293:-1 gene:Potri.010G207600.v4.1 transcript:Potri.010G207600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207600.v4.1 MRKFDPWPVFFRREWNRNWPFLAGFAITGTLITKFSLSLTEEDAKNSPFVQRHKRH >Potri.008G149000.2.v4.1 pep chromosome:Pop_tri_v4:8:10154740:10161631:-1 gene:Potri.008G149000.v4.1 transcript:Potri.008G149000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G149000.v4.1 MAMQAGVSVSRILILAGAGYTGTIMLKNGKLSELLGELQSLTKGMGKSGEQSDGDSDYSDAIAQQVRRLAMEVRQLASARQITVLNGNSGQMGNLTGLIAPAATLGALGYGYMWWKGLKFSDFMYVTKRSMASAVSNLTKHLEQVSEALSTAKTHLTQRIQLLDDKMESQKEISKAIQNDVNAASENLTQIGSELWQLQCLVSGLDGKIGSLEEKQDIANMGVMYLCNFVGGKKAKMPKALEDQFKPSGRTRASLMYSEVPSLTGLKELADDLSQTFGEPATDAILQDGTDNLEDQPRIPRIDQPRALLRFNSARC >Potri.019G045100.1.v4.1 pep chromosome:Pop_tri_v4:19:6268568:6272963:-1 gene:Potri.019G045100.v4.1 transcript:Potri.019G045100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:TPS14 METITTLRLTANTNQQNHSRPEANFPPSVWGCSFASFSFPQTEFESYSRQVEELKENMKDMLMASKKDTVEHIEFINLLCRLGVSYHFDDEIENSLKEIFDDLPHLLEKHDFDLYTLSLLFRVLRQHGFKMPCVVFDKFKDTNGEFKKTIINDVKGILSLYEASFLSVHGEQILDDALVFTKANLESSAMQSSPRLADHIRNALIRPFHKGIPRIEARKYISFYEEDESHMDTLLKFAKIDFNRVQLLHRQELSILSRWWNDLNFAEEFPYARDRIVEIYFWVNAIHFEPQYAFSRMVVTKYTKFVSLLDDTYDAYASFEEIQHFTNAIERCCMDAIDQLPAEYLKVLYRALLNLFSETESDMGKQGRSYASYYLKEAFKELARAYQVEAQWADEGHVPTFDEYVRNGLATSSYGVTTAASFVEMDEVAGREEYEWLNSNPKIIKAGKMIGRLMNDIAGHEDEQKRGDCASGVECYMKQYDASEKKAIEEIQNMVANGWKDINEDCMRPTNAPMLLLQHIVNLVRVTDVMYGDDDDAYTIPLSLKDYVTLLYVEQVPMCE >Potri.002G137400.1.v4.1 pep chromosome:Pop_tri_v4:2:10305117:10309532:-1 gene:Potri.002G137400.v4.1 transcript:Potri.002G137400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137400.v4.1 MDIEQKQAELIDHFVNQASTLKASALWPLIIEATSHPSLFAFSEILSVPTVSELQGTENSLYLDVLRLFAHGTWTDYKNNAGHLPQLVPDQVLKLKQLTVLTLAEMNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSDNLLVSIQEKIKWADSMSELDKKHRKDVDDRVEEVKKSLSLKADIDFRGHEEIYSEPGGVMDYEEDRSRPKRRRHPMS >Potri.002G137400.3.v4.1 pep chromosome:Pop_tri_v4:2:10305097:10309551:-1 gene:Potri.002G137400.v4.1 transcript:Potri.002G137400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G137400.v4.1 MDIEQKQAELIDHFVNQASTLKASALWPLIIEATSHPSLFAFSEILSVPTVSELQGTENSLYLDVLRLFAHGTWTDYKNNAGHLPQLVPDQVLKLKQLTVLTLAEMNKVLPYDQLMQELDVTNVRELEDFLINECMYAGIVRGKLDQLRRCFEVQFAAGRDLRPGQLGNMLQTLSNWLATSDNLLVSIQEKIKWADSMSELDKKHRKDVDDRVEEVKKSLSLKKLQTVSRQTLTSEGTRRSTLNLVE >Potri.015G138800.1.v4.1 pep chromosome:Pop_tri_v4:15:14657917:14660355:-1 gene:Potri.015G138800.v4.1 transcript:Potri.015G138800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138800.v4.1 MADDEYNDVDMGYEDEPAEPEIEEGADEDAENNNNEDDTGEPIETEDKEEQAPVERPRKTSKFMTKYERARILGTRALQISMNAPVMVELEGETDPLEIAMKELRERKIPFTIRRYLPDGSYEDWGVDELIVEDSWKRQVGGD >Potri.009G143100.1.v4.1 pep chromosome:Pop_tri_v4:9:11397607:11402551:-1 gene:Potri.009G143100.v4.1 transcript:Potri.009G143100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143100.v4.1 MKKKKRETKQRNIAAAVLHWIFFTRHYLLQFPLLSAIFAFLLFFAAFSVISPLPPIINHHRSSKLKNGAKVDESTFSTETSPFRAPRSGGRHLWNSRLSKFYYGCSNANDSFQTADLKTNSNRYLLIATSGGLNQQRTGITDGVVAAYILNATLVVPKLDQKSFWNDSSDFAEIFDVDWFISFLSKDVTIIKQLPTKGGKVLIPYRTRAPRKCTPICYLTKVLPVLNKKHVVQLGKFDYRLSNRLSPDLQKLRCRVNYHALKFTDSILEMGKKLVQRMRMKSEHFIALHLRFEPDMLAFSGCYFGGGEKERMELGEIRRRWKSLHASNPDKERRQGKCPLTPEEVGLTLRALGFGSDVHLYVASGEVYGGEATLAPLKALFPNFHSKETLASKRELAPFSSFSSRMAALDFIVCDESDVFSTNNNGNMAKIIAGRRRYFGHKPTVRPNAKKLYKLFINRHNKTWEEFASRVRSYQIGFMGEPNEVKPGRGVFHENPSSCICQDPVAKAGAGLNPTPQTLPHEGHKNVKENTERNGTSDVSDEHSIEDDHDQDATDVDYVDNGNAVQGKGLAGEMLSEEFFSD >Potri.013G124400.1.v4.1 pep chromosome:Pop_tri_v4:13:13271044:13274942:1 gene:Potri.013G124400.v4.1 transcript:Potri.013G124400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124400.v4.1 MAQLPPKIPNNMTPSWPDFSHKKSPSICIMGTSPPHDANAVIPTVTANTTATVASQNPSWVDEFLDFSLTRRGTHRRSVSDSIAFLEEAPTMLEECRSTGAPGLGSRHYSSSDFDRFDDEQFMSMFNDGISNAVAAPNSSSTPSSPSDHNSINDEREATLSEQQQQQKVRDENDEGQNLSEWETPSTVPSATNPAITSNENKIDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAYLDHQRLLLNVDNSALKQRIAALSQDKIFKDAHQEALRKEIERLRQVYQQQNLKMDKTNSSINQNN >Potri.013G124400.2.v4.1 pep chromosome:Pop_tri_v4:13:13271044:13274942:1 gene:Potri.013G124400.v4.1 transcript:Potri.013G124400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G124400.v4.1 MAQLPPKIPNNMTPSWPDFSHKKSPSICIMGTSPPHDANAVIPTVTANTTATVASQNPSWVDEFLDFSLTRRGTHRRSVSDSIAFLEEAPTMLEECRSTGAPGLGSRHYSSSDFDRFDDEQFMSMFNDGISNAVAAPNSSSTPSSPSDHNSINDEREATLSEQQQQQKVRDENDEGQNLSEWETPSTVPSATNPAITSNENKIDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQAEVSVLSPRVAYLDHQRLLLNVDNSALKQRIAALSQDKIFKDAQMH >Potri.002G053700.2.v4.1 pep chromosome:Pop_tri_v4:2:3639843:3643833:1 gene:Potri.002G053700.v4.1 transcript:Potri.002G053700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053700.v4.1 MAGIEDGEVVLEEAISWLPSHIVLDHEALWDSKDYVRHQPHHHHQYRSLPRLPLDQHPQRTKPSPGPNCRAKYATNWASGGPGMQAVFLDSGKKSSGTGVFLPQGAGTNMQSRKKPACARVLLPARVVQALNLNVHEIGLHITRRQDAKNKSKGRDCKSFKNKNSKDVSTQCSVVSNNENSSPATFLPEEWTY >Potri.002G053700.1.v4.1 pep chromosome:Pop_tri_v4:2:3639632:3643833:1 gene:Potri.002G053700.v4.1 transcript:Potri.002G053700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G053700.v4.1 MAGIEDGEVVLEEAISWLPSHIVLDHEALWDSKDYVRHQPHHHHQYRSLPRLPLDQHPQRTKPSPGPNCRAKYATNWASGGPGMQAVFLDSGKKSSGTGVFLPQGAGTNMQSRKKPACARVLLPARVVQALNLNVHEIGLHITRRQDAKNKSKGRDCKSFKNKNSKDVSTQCSVVSNNENSSPATFLPEEWTY >Potri.011G141200.5.v4.1 pep chromosome:Pop_tri_v4:11:17158698:17160976:1 gene:Potri.011G141200.v4.1 transcript:Potri.011G141200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G141200.v4.1 MASTSAPRGGGDVPSASGIMTTSASMLCSENQSLIVEMRKVFNMMKEIAVDLERENESAKVNELENTVAELLATYEDCTYQTSAIESVGNTYQPGAELTDFKKLLNDEFMKFKGNKSSAPQNHPLLRQFREAVWNVHHSGQPMPGEEHEDIVMTSTQSTILNITCPLSGKPITELAEPVRGVDCKHVYEKKAIMGYISLNAQAKCPVTGCPRYLRQDKVVSDPLLLVEIEEMRSMSKENMTATLVEDFTMTDDEEED >Potri.013G030900.1.v4.1 pep chromosome:Pop_tri_v4:13:2002006:2004273:1 gene:Potri.013G030900.v4.1 transcript:Potri.013G030900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G030900.v4.1 MGGVQADRGEGIKKRGCSCTKDDFLPEESFRSWTNYVHAIRQTPVRFSDRLLTRSLDSTELNEIKSRSEHDMKKNLTWWDLMWFGVGAVVGAGIFVLTGLEARENTGPAVVLSYVVSGVSAMLSVFCYTEFAVEIPVAGGSFAYLRVELGDFMAFIAAGNILLEYVIGGAAVARSWTSYFATLCNHQPDDFRIIAHSLPDDYGHLDPIAVVVSSLICVLAVLSTKGSSRFNYITSIMHVAVIVFIIIAGLTKADRGNYKPFMPYDVRGIFVGSAVLFFAYVGFDAVSTMAEETENPARDIPIGLLGSMTIVTVAYCLLAATLCLMVPYKQLDGEAAFSSAFDYVGLHWAKYIVALCALAGMTTALLVSAVGQARYLTHIARTHMMPPWLAHVNAKTGTPVNATVIMLGATAIVAFFTKLNILSNLLSISTLFIFTLVAVALLGRRYYVSGVTTPVNHIKFILCIATILGSSTATSIIWGLGGDGWVGYVITVPIWFLGTLALKVLVPQARDPKLWGVPLVPWLPSASIFINIFLLGSIDKASFERFGVWTGILLIYYFLFGLHASYDTAKESGENKAADGWKKMEEGVVSSQVEPESLNANSAN >Potri.002G241700.4.v4.1 pep chromosome:Pop_tri_v4:2:23379371:23382859:-1 gene:Potri.002G241700.v4.1 transcript:Potri.002G241700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241700.v4.1 MAEEGYKVTLNVYDLSQGLARQLSTAFLGKAIDGIWHTGVVVYGNEYFFGGGIQHLPSGTTPYGTPIKVVDLGITHVPQDVFEEYLQEISSRYSAETYSLLTHNCNNFSNEVAQFLVGVTIPEYIIQLPNEVMNSPMGALIMPMIQNLESTLRAGAVPQVPQFRPPSMAQSSQAATVTVDKSTASGPSPSTKVIVKEADVKVKSVDTKPNKTSGTVKSAEAKGKTTVNGGDPLGDARNKVQEEIGREFAAIMATGTFRASEAAALATKRVMQRYGHLNAAMPQS >Potri.002G241700.3.v4.1 pep chromosome:Pop_tri_v4:2:23379126:23383078:-1 gene:Potri.002G241700.v4.1 transcript:Potri.002G241700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241700.v4.1 MAEEGYKVTLNVYDLSQGLARQLSTAFLGKAIDGIWHTGVVVYGNEYFFGGGIQHLPSGTTPYGTPIKVVDLGITHVPQDVFEEYLQEISSRYSAETYSLLTHNCNNFSNEVAQFLVGVTIPEYIIQLPNEVMNSPMGALIMPMIQNLESTLRAGAVPQVPQFRPPSMAQSSQAATVTVDKSTASGPSPSTKVIVKEADVKVKSVDTKPNKTSGTVKSAEAKGKTTVNGGDPLGDARNKVQEEIGREFAAIMATGTFRASEAAALATKRVMQRYGHLNAAMPQS >Potri.014G035800.9.v4.1 pep chromosome:Pop_tri_v4:14:2255221:2260910:-1 gene:Potri.014G035800.v4.1 transcript:Potri.014G035800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035800.v4.1 MGETAHTHQQPPPPQAAAAPPPSSLAFSKGPSWAPAEQLHQLQYCIQSNPPWYQTCLLAFQHYIVMLGTTVLITRTLVTQMGGEPGDAARVIQTLVFMSGINTLLQTMIGTRLPTVMGPSYAFVLPVLSIIRDYNDETFSKDHDRFVDIMRTIQGSLIVSSFVNIILGYSKGWGTLTRFFSPITIVPVVCVVGLGLFMRGFPLLANCVELGLPMLIVLVICQYLKRFHPSVHPVLEMFGLLICIGIIWAFAAILTVAGAYNNVGYQTKQSCRTDRSYLVSSSPWVRVPYPFQWGAPKFRASHVFGMIGAALVSSAESTGTFFAASRLAGATSPPAHVLSRSIGLQGVGLLLNGIFGAAVGTTASVENVGLLGLTHIGSRRVVQVSTAFMFFFSIFGKFGALFASIPLAIFAAAYCVLYGIVAAIGISFIQFSNNNSMRNHYVLGLALFLGISIPQYFVSNTTGDGHGPVRADGGWFNDILNTVFSSPPTVAMIVGTLLDNLLEAKKNATDRGIPWWKPFQNRKGDSRSDEYYSMPIRMNEWMPTRYL >Potri.014G035800.5.v4.1 pep chromosome:Pop_tri_v4:14:2255490:2260621:-1 gene:Potri.014G035800.v4.1 transcript:Potri.014G035800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035800.v4.1 MGETAHTHQQPPPPQAAAAPPPSSLAFSKGPSWAPAEQLHQLQYCIQSNPPWYQTCLLAFQHYIVMLGTTVLITRTLVTQMGGEPGDAARVIQTLVFMSGINTLLQTMIGTRLPTVMGPSYAFVLPVLSIIRDYNDETFSKDHDRFVDIMRTIQGSLIVSSFVNIILGYSKGWGTLTRFFSPITIVPVVCVVGLGLFMRGFPLLANCVELGLPMLIVLVICQYLKRFHPSVHPVLEMFGLLICIGIIWAFAAILTVAGAYNNVGYQTKQSCRTDRSYLVSSSPWVRVPYPFQWGAPKFRASHVFGMIGAALVSSAESTGTFFAASRLAGATSPPAHVLSRSIGLQGVGLLLNGIFGAAVGTTASVENVGLLGLTHIGSRRVVQVSTAFMFFFSIFGKFGALFASIPLAIFAAAYCVLYGIVAAIGISFIQFSNNNSMRNHYVLGLALFLGISIPQYFVSNTTGDGHGPVRADGGWFNDILNTVFSSPPTVAMIVGTLLDNLLEAKKNATDRGIPWWKPFQNRKGDSRSDEYYSMPIRMNEWMPTRYL >Potri.014G035800.11.v4.1 pep chromosome:Pop_tri_v4:14:2255490:2260622:-1 gene:Potri.014G035800.v4.1 transcript:Potri.014G035800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035800.v4.1 MGETAHTHQQPPPPQAAAAPPPSSLAFSKGPSWAPAEQLHQLQYCIQSNPPWYQTCLLAFQHYIVMLGTTVLITRTLVTQMGGEPGDAARVIQTLVFMSGINTLLQTMIGTRLPTVMGPSYAFVLPVLSIIRDYNDETFSKDHDRFVDIMRTIQGSLIVSSFVNIILGYSKGWGTLTRFFSPITIVPVVCVVGLGLFMRGFPLLANCVELGLPMLIVLVICQYLKRFHPSVHPVLEMFGLLICIGIIWAFAAILTVAGAYNNVGYQTKQSCRTDRSYLVSSSPWVRVPYPFQWGAPKFRASHVFGMIGAALVSSAESTGTFFAASRLAGATSPPAHVLSRSIGLQGVGLLLNGIFGAAVGTTASVENVGLLGLTHIGSRRVVQVSTAFMFFFSIFGKFGALFASIPLAIFAAAYCVLYGIVAAIGISFIQFSNNNSMRNHYVLGLALFLGISIPQYFVSNTTGDGHGPVRADGGWFNDILNTVFSSPPTVAMIVGTLLDNLLEAKKNATDRGIPWWKPFQNRKGDSRSDEYYSMPIRMNEWMPTRYL >Potri.014G035800.12.v4.1 pep chromosome:Pop_tri_v4:14:2255489:2260618:-1 gene:Potri.014G035800.v4.1 transcript:Potri.014G035800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035800.v4.1 MGETAHTHQQPPPPQAAAAPPPSSLAFSKGPSWAPAEQLHQLQYCIQSNPPWYQTCLLAFQHYIVMLGTTVLITRTLVTQMGGEPGDAARVIQTLVFMSGINTLLQTMIGTRLPTVMGPSYAFVLPVLSIIRDYNDETFSKDHDRFVDIMRTIQGSLIVSSFVNIILGYSKGWGTLTRFFSPITIVPVVCVVGLGLFMRGFPLLANCVELGLPMLIVLVICQYLKRFHPSVHPVLEMFGLLICIGIIWAFAAILTVAGAYNNVGYQTKQSCRTDRSYLVSSSPWVRVPYPFQWGAPKFRASHVFGMIGAALVSSAESTGTFFAASRLAGATSPPAHVLSRSIGLQGVGLLLNGIFGAAVGTTASVENVGLLGLTHIGSRRVVQVSTAFMFFFSIFGKFGALFASIPLAIFAAAYCVLYGIVAAIGISFIQFSNNNSMRNHYVLGLALFLGISIPQYFVSNTTGDGHGPVRADGGWFNDILNTVFSSPPTVAMIVGTLLDNLLEAKKNATDRGIPWWKPFQNRKGDSRSDEYYSMPIRMNEWMPTRYL >Potri.014G035800.10.v4.1 pep chromosome:Pop_tri_v4:14:2255446:2260622:-1 gene:Potri.014G035800.v4.1 transcript:Potri.014G035800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035800.v4.1 MGETAHTHQQPPPPQAAAAPPPSSLAFSKGPSWAPAEQLHQLQYCIQSNPPWYQTCLLAFQHYIVMLGTTVLITRTLVTQMGGEPGDAARVIQTLVFMSGINTLLQTMIGTRLPTVMGPSYAFVLPVLSIIRDYNDETFSKDHDRFVDIMRTIQGSLIVSSFVNIILGYSKGWGTLTRFFSPITIVPVVCVVGLGLFMRGFPLLANCVELGLPMLIVLVICQYLKRFHPSVHPVLEMFGLLICIGIIWAFAAILTVAGAYNNVGYQTKQSCRTDRSYLVSSSPWVRVPYPFQWGAPKFRASHVFGMIGAALVSSAESTGTFFAASRLAGATSPPAHVLSRSIGLQGVGLLLNGIFGAAVGTTASVENVGLLGLTHIGSRRVVQVSTAFMFFFSIFGKFGALFASIPLAIFAAAYCVLYGIVAAIGISFIQFSNNNSMRNHYVLGLALFLGISIPQYFVSNTTGDGHGPVRADGGWFNDILNTVFSSPPTVAMIVGTLLDNLLEAKKNATDRGIPWWKPFQNRKGDSRSDEYYSMPIRMNEWMPTRYL >Potri.014G035800.13.v4.1 pep chromosome:Pop_tri_v4:14:2255491:2260622:-1 gene:Potri.014G035800.v4.1 transcript:Potri.014G035800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035800.v4.1 MGETAHTHQQPPPPQAAAAPPPSSLAFSKGPSWAPAEQLHQLQYCIQSNPPWYQTCLLAFQHYIVMLGTTVLITRTLVTQMGGEPGDAARVIQTLVFMSGINTLLQTMIGTRLPTVMGPSYAFVLPVLSIIRDYNDETFSKDHDRFVDIMRTIQGSLIVSSFVNIILGYSKGWGTLTRFFSPITIVPVVCVVGLGLFMRGFPLLANCVELGLPMLIVLVICQYLKRFHPSVHPVLEMFGLLICIGIIWAFAAILTVAGAYNNVGYQTKQSCRTDRSYLVSSSPWVRVPYPFQWGAPKFRASHVFGMIGAALVSSAESTGTFFAASRLAGATSPPAHVLSRSIGLQGVGLLLNGIFGAAVGTTASV >Potri.004G133800.1.v4.1 pep chromosome:Pop_tri_v4:4:15519668:15524134:1 gene:Potri.004G133800.v4.1 transcript:Potri.004G133800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133800.v4.1 MHAPVLVLRDSLKRESGTKVHHANIQAAKAVADIIRTTLGPRSMLKMLLDASGGIVVTNDGNAILRELDLAHPAAKSMIELSRTQDEEVGDGTTSVIVLGGEMLHVAEAFIEKSYHPTVICRAYSKALEDAIAVLDKIAVSIDVNDRPAMLGLVKSCIGTKFTSQFGDLIADLAIDATSTVGVDLGQGLREVDIKKYIKVEKVPGSQLEDSKVLKGVMFNKDVVAPGKMKRKIPNPRIILLDCPVEYKKGENQTNAELVREEDWEVLLKMEEEYIENMCVQILKFKPDLVITEKGLSDLACHYLSKAGVSAIRRLRKTDNNRIAKACGATIVNRPDELQESDVGTGAGLFEVKKIGDEFFAFIVDCKDPKACTVLLRGASKDLLNEVERNLQDAMAVARNILKNPKLLPGGGATELTVSAALKQKSSSIEGIEKWPYEAAAIAFEAIPRTLAQNCGVNVIRTMTALQGKHANGENAWIGIDGNTGEITDMKERKIWDAYNVKAQTFKTAIESACMLLRIDDIVSGIKKKQAPGAQGPSKPKIETEADADSEQILPD >Potri.003G146100.1.v4.1 pep chromosome:Pop_tri_v4:3:16075965:16078198:1 gene:Potri.003G146100.v4.1 transcript:Potri.003G146100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146100.v4.1 MITLATTASLSPSLKPPNLTSPLIKLEPSFFHHHCKTLIKRKKFNRGICRAALSDDTPFAVAISVCMLSSLLLPNTATKDEEEESDSGITTTDTRIAVMGIISFIPYFNWLSWVFAWLDTGKRRYAIYSLVYLAPYLRSNMSLSPEDSWLPIASIIFGIVHVQLEASIKNGDVQGFQLFSKAAKFLPLPGKKKDIILREHQEPLEEEREGEHMNLPTADKQSRNELRQRGVPRNHPENRAHSNGGWDDDDEQRKQ >Potri.004G106300.1.v4.1 pep chromosome:Pop_tri_v4:4:9334596:9342076:1 gene:Potri.004G106300.v4.1 transcript:Potri.004G106300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G106300.v4.1 MMKTIISRLLHSQSPPFLKPHCYRDSSLFAARIGRFLSTGSEKVDGSSKLEEAEREEFTPPREKLLVLGGNGFVGSHICIEALAHGLNVSSLSRSGKSSLHDPWANDIVWHQGDLLSPDSLGNALNGVTSVISCVGGFGSNSYMYDINGTANINAIRAASEQGVKRFVYISAADFGLVNYLLKGYFAGKRSTETELLDKFQHGGAILRPGFIHGTRRVGSVHLPLSIIGAPLEMVLRHAKPLTRLPLIGPLFIPPVNVTSVAKVAVRAAVDPAFPSGVVDVYGIRQYSQQNPA >Potri.014G084100.5.v4.1 pep chromosome:Pop_tri_v4:14:5393697:5397380:1 gene:Potri.014G084100.v4.1 transcript:Potri.014G084100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084100.v4.1 MKAHGFSILDKHIQMQSHTNTHKGSTSTQMKRGILEVLLVHAKGMKHTNLIGPPVYHVIIQCGSHVHKSKASSGKDEKTWWNEKFRIYLGGIIDEGINRGILEMMPAPYNVLLEDDTYKGEIKIGLKFIANTEVLPERTFLAQVNEPRQLICRSIINLWKFSWWKLWPHHSQRSTKNKTEEE >Potri.014G084100.4.v4.1 pep chromosome:Pop_tri_v4:14:5393697:5397379:1 gene:Potri.014G084100.v4.1 transcript:Potri.014G084100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084100.v4.1 MKAHGFSILDKHIQMQSHTNTHKGSTSTQMKRGILEVLLVHAKGMKHTNLIGPPVYHVIIQCGSHVHKSKASSGKDEKTWWNEKFRFDFPLTDWKQLTHLKFRIMDQEFFTDGGFVGETIIYLGGIIDEGINRGILEMMPAPYNVLLEDDTYKGEIKIGLKFIANTEVLPERTFLAQVNEPRQLICRSIINLWKFSWWKLWPHHSQRSTKNKTEEE >Potri.014G084100.3.v4.1 pep chromosome:Pop_tri_v4:14:5393697:5397379:1 gene:Potri.014G084100.v4.1 transcript:Potri.014G084100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084100.v4.1 MKAHGFSILDKHIQMQSHTNTHKGSTSTQMKRGILEVLLVHAKGMKHTNLIGPPVYHVIIQCGSHVHKSKASSGKDEKTWWNEKFRFDFPLTDWKQLTHLKFRIMDQEFFTDGGFVGETIIYLGGIIDEGINRGILEMMPAPYNVLLEDDTYKGEIKIGLKFIANTEVLPERTFLAQVNEPRQLICRSIINLWKFSWWKLWPHHSQRSTKNKTEEE >Potri.014G084100.6.v4.1 pep chromosome:Pop_tri_v4:14:5393697:5397380:1 gene:Potri.014G084100.v4.1 transcript:Potri.014G084100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084100.v4.1 MKAHGFSILDKHIQMQSHTNTHKGSTSTQMKRGILEVLLVHAKGMKHTNLIGPPVYHVIIQCGSHVHKSKASSGKDEKTWWNEKFRIYLGGIIDEGINRGILEMMPAPYNVLLEDDTYKGEIKIGLKFIANTEVLPERTFLAQVNEPRQLICRSIINLWKFSWWKLWPHHSQRSTKNKTEEE >Potri.006G202700.1.v4.1 pep chromosome:Pop_tri_v4:6:21014329:21016950:-1 gene:Potri.006G202700.v4.1 transcript:Potri.006G202700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G202700.v4.1 MDDIEVPKFFVCPISLQIMKDPVTTITGITYDRESIEHWLFTSQNTACPVTKQPLQKDLDLTPNHTLRRLIQAWCTENASHGVDRIPTPKPCLDKAHVLKLIKNLSHHELQIKTLTRLELLAAENERNRKCMVDAGLPKAMLLFIAACFKKGQVSGIQEALSILCFIRIPRSESRAFFSEIDDKIIESLTWVLGCKMENYATVKSHAASVLKMLLEEASSSVLERLKPEFFERIVGVLRERITHQGINAALQVLLNACPWGRNRKMMVESGAVFELIELELGSPERRTTELNLGVLFHLCCCAEGRAQFLSHGGSIAVVAKRILRVSPAVDDRAILILSQICKFSGTSMVIQEMADVKAVTKLCMLLQADCATYLKDKAREILRSHSDKWKNSPCIFSSLSSLEFQVSS >Potri.006G216200.1.v4.1 pep chromosome:Pop_tri_v4:6:22182284:22183568:1 gene:Potri.006G216200.v4.1 transcript:Potri.006G216200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G216200.v4.1 MEEETIITAALSTLASPQLTDLTSSILSLTLHHHSRLSSLLCSPSLFSLALHHLHSLSLAHKTLLIAKYLLSSLHHLTRHFHPPTLIPPHPTTTIKHRDLDAALLLIFLCDVHQESPEILKTPRTGWREGLRKHYSKTVLRRSSVGVHYGGVLLPYVEMVIRCWRFVGVMAGCAVKEGREVAAAPAAVVALPAVEVRGGGGECVICREKMSGGRDVCELPCEHLFHWMCILPWLKKTNTCPCCRFQLPTEDVFCEIERLWSALIKIGDGALSGERT >Potri.009G163800.2.v4.1 pep chromosome:Pop_tri_v4:9:12576321:12578693:-1 gene:Potri.009G163800.v4.1 transcript:Potri.009G163800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163800.v4.1 MTTVNAPFQSVLKTALQNRFTSAIVKSPSSLGSVRSVSKSFGLKCSPNYKASMAVYKVKLITPEGTEHEFEAPDDVYILDAAENAGIDLPYSCRAGACSTCAGKAASGSVDQSDGSFLDENQMGEGYLLTCISYPTSDCVIHTHKEGDLY >Potri.018G020301.1.v4.1 pep chromosome:Pop_tri_v4:18:1424477:1434808:1 gene:Potri.018G020301.v4.1 transcript:Potri.018G020301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G020301.v4.1 MNSTGSTSMHRSNWSEFLLLIITIHTMKLGFMYHLSSEPFCTLNWRAQFVHPRGEKKGKKRSEIMESQNALFSKHSTSQKQYRKRYSLLEEETVGIWESSLYFPAIALFCVPISQKISG >Potri.008G104800.1.v4.1 pep chromosome:Pop_tri_v4:8:6619348:6621216:1 gene:Potri.008G104800.v4.1 transcript:Potri.008G104800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104800.v4.1 MAIFSLPPIMSLKPAHVASFATILVLALSLSGHVDGLTAQTVIDSPLLTHKIGTNRTIKVDINGDGDFTSVQEAINAVPKNNSQWIIIHLRKGVYREKVHVPKNKPYIFMRGNGKGRTVIVWSQSSANNKASATFTVEAPNFVAFGISFKNEAPTGMAFTSQNQSVAAFVGSDMAAFYHCGFYSTHNTLFDYKGRHYYDNCYIQGSIDFIFGRGRSIFHSCEVFVIADMRVDILGSITAHNRETEDDSGFVFIKGKFYGIGNVYLGRAKGAYSRVVFAKAYLSKTIAPKGWTNWSYAGKTENLYQAEYKCHGPGADPENRAPWSKQLTEEEAKSFMSIDFIDGKEWLPVWQN >Potri.001G282604.1.v4.1 pep chromosome:Pop_tri_v4:1:29602765:29605039:1 gene:Potri.001G282604.v4.1 transcript:Potri.001G282604.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G282604.v4.1 MDVVHTSTGESVKLRRDSAMSMTKSMFELISTKSIQSSVALSILSSMGSPYYSGAVSASKMVVGFWVLRYKSLWLMAVCGHIPASSLQGFSNNGVSYCGCLTPSKFCSRSWSHRFLFL >Potri.001G463700.4.v4.1 pep chromosome:Pop_tri_v4:1:48955524:48960902:-1 gene:Potri.001G463700.v4.1 transcript:Potri.001G463700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463700.v4.1 MSGDVTKVQHDENLAVVAENSVRSPLSIDIIGRVNGHSKKRRILDAVESVELLCSEGKKLHLQMEEKLSALHGMFNKQIKKSHEDAIVEPNMPGGSYAKHERTHKTRKVSYEENVIIHCFSGINQLEKTKKIGKEVLEDANACGYTSNPANLIMGASKACWEGLSDSFESSPGDMVSFEEVANGDFMKLLDLDNSADEECYRRAMEMPMSPTLPEIGSSGAEISANKPLLVESFLGCLPNGKESLVPSFRSDAIDVEISSNQLKDRSFGTSRADLLHENEGPADSFDILGNRSGTCNSMDSGKVSDGWTRDPGSDLDTEMLNIPSSRYEGLKFPIEGELGSIHDNIPKYCVMFSDINDTISMSRVFFATQTCLARCSLDIQADCMVQKILRALKMEGKILPKEKACTFFTLLLLNFSASNWGKFRSFSDPDFLLGLDSFARDINAVVSDVEARNLFAEVCCLDELLGLIEEFLLDGKLMVYADLSSEPLSGCDLMIDILLDGVNIKFASKSASSNLLVAGSIILASICAAIDHIGFLCQASYSLLRMHRCDTVFALTILHIFAYLAGEKFLSPRKHSLTMTVLKSVIMFLEGGDSSVASAASSLTMCKGGMFHPCAKCPFSTDVVSIDIVTSMLLEKLQNCAVSGIMHHLMESPSLSNSNVLCCKDIAKQSLSHEVITSVLDLNCDASCSLNKCVIPAQSNSIMNGILCDLSDLLSLVELLAFNMSWEWTCGKIITELLEMLERTKLDSFAVAVVTLLGQLGRLGVAACGYEDKGVENLRYKLSGFLSCDATIQMALPVQIALATSLLALLSLEFEKVIQSNCNLPAIACQSVSIDHIRSWFYSLTKERQVLSRSLLQSCDVL >Potri.001G463700.3.v4.1 pep chromosome:Pop_tri_v4:1:48955402:48962820:-1 gene:Potri.001G463700.v4.1 transcript:Potri.001G463700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463700.v4.1 MTADVSVKKEATVSNPCCNVWKEKCRKLEEGRKSLRQAVKLLTEQADKLQAKNVSLKKACEEERVKVEAEKGGKEKEAALRVMLDNEIFALKSEISTLQQKGSANSEDENGEVKLLQDQVFEGEKEISRLKELLEGEKIRADSEKENAEVEKKSAADALKHVKAEEEGKEKEEALRFSLENEISALKSEISTLQWKGSAVAEEKNWEVKLLQDQVSKGEKEISRLKELLEIAKTRVDSEKKNAEVEKKSASEAWKHVKAEKAKADEERKHASSEGLKVEEYQLQLEALKKEAGLAKSKLASETLKYEEANKKFETEKLKVTKERKRADSEMAKAEVKKKLAEANRKKLAEEKSHTENLSKQLEDVRQRIEELQKAEEYQLQLESLKKEAAESKSKLASETLKLEDANKKLEAEKAKVMEERKRADSEMAKAKEQKKLAETNGMKVVEEKSHADNLSRQLEDARIKIEELEKGINRFMLTKNMGGAFDDQHEILNGEAATIRFRDLLENLKNNSDQSKLVLEFLNSEKANKRLDIEKAKAIAEKKRADLEMLKAEKLKKLAEMNRKVAAEEKSRADQLSQQLEEYKIKIEGWQKQIQELLSSKKMVVASSGLPDKVLNVEKTKLKLLEKQVKLEKRRLKHAKEGAKMEINRNGILQQELACLKLHFGQMLFRLDVLDKYFSCSNGGTEKMEKVGNLGTMQRSKLKRKLCAEEPFQTHPNNESELLKPSCLAMTISEPLTQTLNCTAPLVSPSGGNYTASISGIDSKLESLLGGSNRKLLQTSAINSSSASFSDGQLVGSQERGALVPTSKNLVEENFNAQTTISSMSGDVTKVQHDENLAVVAENSVRSPLSIDIIGRVNGHSKKRRILDAVESVELLCSEGKKLHLQMEEKLSALHGMFNKQIKKSHEDAIVEPNMPGGSYAKHERTHKTRKVSYEENVIIHCFSGINQLEKTKKIGKEVLEDANACGYTSNPANLIMGASKACWEGLSDSFESSPGDMVSFEEVANGDFMKLLDLDNSADEECYRRAMEMPMSPTLPEIGSSGAEISANKPLLVESFLGCLPNGKESLVPSFRSDAIDVEISSNQLKDRSFGTSRADLLHENEGPADSFDILGNRSGTCNSMDSGKVSDGWTRDPGSDLDTEMLNIPSSRYEGLKFPIEGELGSIHDNIPKYCVMFSDINDTISMSRVFFATQTCLARCSLDIQADCMVQKILRALKMEGKILPKEKACTFFTLLLLNFSASNWGKFRSFSDPDFLLGLDSFARDINAVVSDVEARNLFAEVCCLDELLGLIEEFLLDGKLMVYADLSSEPLSGCDLMIDILLDGVNIKFASKSASSNLLVAGSIILASICAAIDHIGFLCQASYSLLRMHRCDTVFALTILHIFAYLAGEKFLSPRKHSLTMTVLKSVIMFLEGGDSSVASAASSLTMCKGGMFHPCAKCPFSTDVVSIDIVTSMLLEKLQNCAVSGIMHHLMESPSLSNSNVLCCKDIAKQSLSHEVITSVLDLNCDASCSLNKCVIPAQSNSIMNGILCDLSDLLSLVELLAFNMSWEWTCGKIITELLEMLERTKLDSFAVAVVTLLGQLGRLGVAACGYEDKGVENLRYKLSGFLSCDATIQMALPVQIALATSLLALLSLEFEKVIQSNCNLPAIACQSVSIDHIRSWFYSLTKERQVLSRSLLQSCDVL >Potri.005G031700.2.v4.1 pep chromosome:Pop_tri_v4:5:2077779:2081447:-1 gene:Potri.005G031700.v4.1 transcript:Potri.005G031700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G031700.v4.1 MAHNQNCNMAVPVFCLIFFLMPGASAFVCNFTDCEALLKFKGGITSDPKGYVQDWNEANPFCNWTGITCHQYLQNRVIDLEIIEMRLEGSMSPFLSNLSLLTKLSLQGNNFRGEIPTTLGALSQLEYLNMKENKLSGAFPASLHGCQSLKFLDLSVNNLSGVIPEELGWMKKLSFLALSVNNLTGVIPAFLSNLTELTQLERAVNYFTGQIPVELGVLSRLETLFLHLNFLEGTIPASLSNCTALREISLIENLLSGEIPSEMGNKLQNLQKLYFLNNNISGRIPVTFSNLSQITLLDLSVNYLEGEVPEELGKLKNLEILYLHSNNLVSNSSLSFLTALTNCSFLKKLHLGSCLFSGSLPASIGNLSKDLYYSNLLNNRIRGEIPDSIGNLSGLVNLQLWYNHLDGTIPATFGKLKLLQRLYLGRNKLQGSIPDEMGQKENLGLLDLGNNSLTGSIPCSLGNLSQLRYLYLSRNSLSGNIPIKLSQCSLMMQLDLSFNNLQGPLPPEIGVFSNLGLSVNLSNNNLDGEIPATIGNLVSVQAIDLSVNRFSGIIPSSVGSCTALEYLNLSKNMIQGTIPESLKQIAYLKALDLAFNQLTGSVPIWLANDSVMKNFNLSYNRLTGEFSSMGRFKNLSGSTLIGNAGLCGGSALMRLQPCAVQKKRRKLWKWTYYLLAITASCFLLLLVYVGVRVRQFFKKKTDATSEEAILMAFRGRNFTQRELEIATDGFSDANLLGRGSFGSVYKAWIDDRISFVAVKVLNEDSRRCYPGIWFK >Potri.003G166800.1.v4.1 pep chromosome:Pop_tri_v4:3:17605251:17607353:1 gene:Potri.003G166800.v4.1 transcript:Potri.003G166800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166800.v4.1 MAVTSILHLAFTFGILGNIISTMVYLAPVPTFIRIFKKKSTEDFQSLPYLMALFSSMLWLYYAMLKKDTILLVTINSFGCVIETTYIAIYIVYATRESRVSTIKLLISMNLGLFSLILLLAHFLVSGSVRVKVLGWLCVALSVCVFAAPLNILKQVIRTKSVEFMPFTLSFFLTLSAVMWFAYGLLLKDLCIALPNILGFILGLLQMLLYGIYRNAQKVEEKKKLPAENLKSIVILSAVGGPEVYPVDAKPDVNGGAEEHDQTEESKEDEKSMEASQDKLQSNECAV >Potri.001G011900.4.v4.1 pep chromosome:Pop_tri_v4:1:781277:811968:-1 gene:Potri.001G011900.v4.1 transcript:Potri.001G011900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G011900.v4.1 MVREMDGMLRQQIAEPAKSCDSNSENGVSFLDQVITPLYGVIAAEAGNNANGQAPHSSWRNYDDFNEYFWSLHCFELSWPWRTSSSFFQRPKPRTKYLLKTAGSQRRGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTVIAFNDGKFNSKTLREILSLGPTFAVMKFIESVLDVMMMYGAYSTSRRLAVTRIFLRFVWFSCASVFLSFLYVKALQEESKQNSNSVFFRLYMIVVGIYAGVQFFISFLMRIPACHHMTNQCDHWPFIRFVKWMRQERYYVGRGMYERTSDFIKYMLFWLVVLSGKFSFAYFLQIKPLVKPTRTIVNMTDNLKYSWHDLVSKNNHNFLTVVTLWAPVIAIYLLDIHVFYTIISAIWGFLLGAKDRLGEIRSLEAVHKLFEDFPGAFMNNLHIPLPNRSSHSSSSQDTLEKRKIDAVIFGPFWNEIIRNLREEDYVTNLEMELLLMPKNSGNLPLVQWPLFLLASKIFLAKDMVEGSDSQAELWERISRDDYMKYAVEEGYHALRFILTEILEGEGRMWVERVYADIEGSIANRSIHVDFQLKKLSLVITRVTGLLGILKAEKPDQENGAIKAVQDLYDVVRHDVLSVNMREHYETWNLLSKARTEGRLFTNLKWPRDTELKTQIKRLYLLLTIKDSAANVPKNIEARRRLQFFTNSLFMDLPAPKPVREMLSFSVFTPYYSEIVLYSMNELQKKNEDGISTLFYLQKIYPDEWKNFLDRIGCDENAPDSELINNPDDNLELRIWASYRGQTLARTVRGMMYYRKALMLQSYLERVASGDAEAAVSINDTNDAKGFDLSPEARALADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVESLKDGNVHREYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAIIFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHQDHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYSGFNSTLRQGNVTHHEYIQVGKGRDVGLNQIAVFEGKVSGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKAYLALSGVGEEVEIRALITKNNALSAALNTQFLFQIGIFTAVPMVLGFILELGFLRAVVNFITMQLQLCSVFFTFSLGTKSHYFGRTILHGGARYQATGRGFVVRHIRFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYNDGGALSYILLTVSSWFMALSWLFAPYLFNPSGFEWQKTVEDFSDWTNWLFYRGGIGVKGQESWEAWWDEELAHIRTLSGRIMETLLSLRFFIFQYGVVYKLHIQGSDTSLSVYGFSWIVLAVLIILFKVFTFSQKVSVNFQLLLRFVQGVSFMLALAGIVIAVALTELSVSDIFASILAFIPTIWGILSIASAWKPVVKRMGLWKSIRSIARLYDAGMGMLIFIPIAFLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNIGI >Potri.001G011900.3.v4.1 pep chromosome:Pop_tri_v4:1:781278:815396:-1 gene:Potri.001G011900.v4.1 transcript:Potri.001G011900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G011900.v4.1 MSVIKQKLAKREGGTIDRSQDIAQLQEFYKSYRENNNVDKLREEEMQLRESGAFTGILGELERKTVKRKRVFATLKVLGSVLGQLTDELPEELKRMIESDATMTEDLIAYNIIPLDGQTMTNAIVTFPEVRAAATALKYFQGLPQLPDGFPIPATRSLDMLDFLHYIFGFQKDNVSNQREHVVHLLANEQSRLGIPDATEPKLDEAAVQKVFLKSLDNYIKWCSYLCIQPVWSNFEDLSKEKKLLFVSLYFLIWGEAANVRFLPECLCYIFHHMVREMDGMLRQQIAEPAKSCDSNSENGVSFLDQVITPLYGVIAAEAGNNANGQAPHSSWRNYDDFNEYFWSLHCFELSWPWRTSSSFFQRPKPRTKYLLKTAGSQRRGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTVIAFNDGKFNSKTLREILSLGPTFAVMKFIESVLDVMMMYGAYSTSRRLAVTRIFLRFVWFSCASVFLSFLYVKALQEESKQNSNSVFFRLYMIVVGIYAGVQFFISFLMRIPACHHMTNQCDHWPFIRFVKWMRQERYYVGRGMYERTSDFIKYMLFWLVVLSGKFSFAYFLQIKPLVKPTRTIVNMTDNLKYSWHDLVSKNNHNFLTVVTLWAPVIAIYLLDIHVFYTIISAIWGFLLGAKDRLGEIRSLEAVHKLFEDFPGAFMNNLHIPLPNRSSHSSSSQDTLEKRKIDAVIFGPFWNEIIRNLREEDYVTNLEMELLLMPKNSGNLPLVQWPLFLLASKIFLAKDMVEGSDSQAELWERISRDDYMKYAVEEGYHALRFILTEILEGEGRMWVERVYADIEGSIANRSIHVDFQLKKLSLVITRVTGLLGILKAEKPDQENGAIKAVQDLYDVVRHDVLSVNMREHYETWNLLSKARTEGRLFTNLKWPRDTELKTQIKRLYLLLTIKDSAANVPKNIEARRRLQFFTNSLFMDLPAPKPVREMLSFSVFTPYYSEIVLYSMNELQKKNEDGISTLFYLQKIYPDEWKNFLDRIGCDENAPDSELINNPDDNLELRIWASYRGQTLARTVRGMMYYRKALMLQSYLERVASGDAEAAVSINDTNDAKGFDLSPEARALADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVESLKDGNVHREYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAIIFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHQDHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYSGFNSTLRQGNVTHHEYIQVGKGRDVGLNQIAVFEGKVSGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKAYLALSGVGEEVEIRALITKNNALSAALNTQFLFQIGIFTAVPMVLGFILELGFLRAVVNFITMQLQLCSVFFTFSLGTKSHYFGRTILHGGARYQATGRGFVVRHIRFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYNDGGALSYILLTVSSWFMALSWLFAPYLFNPSGFEWQKTVEDFSDWTNWLFYRGGIGVKGQESWEAWWDEELAHIRTLSGRIMETLLSLRFFIFQYGVVYKLHIQGSDTSLSVYGFSWIVLAVLIILFKVFTFSQKVSVNFQLLLRFVQGVSFMLALAGIVIAVALTELSVSDIFASILAFIPTIWGILSIASAWKPVVKRMGLWKSIRSIARLYDAGMGMLIFIPIAFLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNIGI >Potri.001G011900.2.v4.1 pep chromosome:Pop_tri_v4:1:781312:816238:-1 gene:Potri.001G011900.v4.1 transcript:Potri.001G011900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G011900.v4.1 MSRAEDLWERLVRAVLRRERTGTDALGRPVGGIAGYVPSSLTNNRDIDEILRAADEIQDGNPAVSRILCEHAYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREGGTIDRSQDIAQLQEFYKSYRENNNVDKLREEEMQLRESGAFTGILGELERKTVKRKRVFATLKVLGSVLGQLTDELPEELKRMIESDATMTEDLIAYNIIPLDGQTMTNAIVTFPEVRAAATALKYFQGLPQLPDGFPIPATRSLDMLDFLHYIFGFQKDNVSNQREHVVHLLANEQSRLGIPDATEPKLDEAAVQKVFLKSLDNYIKWCSYLCIQPVWSNFEDLSKEKKLLFVSLYFLIWGEAANVRFLPECLCYIFHHMVREMDGMLRQQIAEPAKSCDSNSENGVSFLDQVITPLYGVIAAEAGNNANGQAPHSSWRNYDDFNEYFWSLHCFELSWPWRTSSSFFQRPKPRTKYLLKTAGSQRRGKTSFVEHRTFLHLYHSFHRLWIFLVMMFQGLTVIAFNDGKFNSKTLREILSLGPTFAVMKFIESVLDVMMMYGAYSTSRRLAVTRIFLRFVWFSCASVFLSFLYVKALQEESKQNSNSVFFRLYMIVVGIYAGVQFFISFLMRIPACHHMTNQCDHWPFIRFVKWMRQERYYVGRGMYERTSDFIKYMLFWLVVLSGKFSFAYFLQIKPLVKPTRTIVNMTDNLKYSWHDLVSKNNHNFLTVVTLWAPVIAIYLLDIHVFYTIISAIWGFLLGAKDRLGEIRSLEAVHKLFEDFPGAFMNNLHIPLPNRSSHSSSSQDTLEKRKIDAVIFGPFWNEIIRNLREEDYVTNLEMELLLMPKNSGNLPLVQWPLFLLASKIFLAKDMVEGSDSQAELWERISRDDYMKYAVEEGYHALRFILTEILEGEGRMWVERVYADIEGSIANRSIHVDFQLKKLSLVITRVTGLLGILKAEKPDQENGAIKAVQDLYDVVRHDVLSVNMREHYETWNLLSKARTEGRLFTNLKWPRDTELKTQIKRLYLLLTIKDSAANVPKNIEARRRLQFFTNSLFMDLPAPKPVREMLSFSVFTPYYSEIVLYSMNELQKKNEDGISTLFYLQKIYPDEWKNFLDRIGCDENAPDSELINNPDDNLELRIWASYRGQTLARTVRGMMYYRKALMLQSYLERVASGDAEAAVSINDTNDAKGFDLSPEARALADLKFTYVVTCQIYGKQKEDQKPEAADIALLMQRNEALRVAFIDEVESLKDGNVHREYYSKLVKADINGKDKEIYSVKLPGNPKLGEGKPENQNHAIIFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFHQDHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLANPLKVRMHYGHPDVFDRVFHITRGGISKASRVINISEDIYSGFNSTLRQGNVTHHEYIQVGKGRDVGLNQIAVFEGKVSGGNGEQVLSRDVYRLGQLFDFFRMMSFYFTTVGYYFCTMLTVLTVYAFLYGKAYLALSGVGEEVEIRALITKNNALSAALNTQFLFQIGIFTAVPMVLGFILELGFLRAVVNFITMQLQLCSVFFTFSLGTKSHYFGRTILHGGARYQATGRGFVVRHIRFSENYRLYSRSHFVKGLEVVLLLVVYLAYGYNDGGALSYILLTVSSWFMALSWLFAPYLFNPSGFEWQKTVEDFSDWTNWLFYRGGIGVKGQESWEAWWDEELAHIRTLSGRIMETLLSLRFFIFQYGVVYKLHIQGSDTSLSVYGFSWIVLAVLIILFKVFTFSQKVSVNFQLLLRFVQGVSFMLALAGIVIAVALTELSVSDIFASILAFIPTIWGILSIASAWKPVVKRMGLWKSIRSIARLYDAGMGMLIFIPIAFLSWFPFVSTFQTRLMFNQAFSRGLEISLILAGNNPNIGI >Potri.010G064900.1.v4.1 pep chromosome:Pop_tri_v4:10:9348319:9351186:-1 gene:Potri.010G064900.v4.1 transcript:Potri.010G064900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G064900.v4.1 MDEVVEAVEKAKKEWEETYSKAQQHMKAIQDYDAISSSSSSSVSLPRLNGLAQDCLALLQSLQFQLDLLAPQLPTDDQLKSALRLLDSWKQLYHDLRASLRNANLQAKANMRKAAQQERELLLGGGEESTIRRRNLQTKAGMTSAAESITESLRRTRQLMVQEVERSTGTLMTFEESTTVLRKAETEYKGHRSLLSRTRNLLSTMQRQDVLDRVILAVGFFLFSCAVLYVVSKRIGVLALQRKVTAALKAGMAGKGAIKARAVEDAIPRVIEDGIDVAQVLDNAVPKVEVPVEQAMHDEL >Potri.008G187500.4.v4.1 pep chromosome:Pop_tri_v4:8:13098185:13104149:1 gene:Potri.008G187500.v4.1 transcript:Potri.008G187500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187500.v4.1 MHLLCGCTKSKSQIVVTYTVQQHDTLSDISTRLSSTVGGIQSMNINLIKNPSSINVDWVLFVPMDSKPASGKGSGRGHKWIIIVGILSSLTALSIITLVILLLRRKGCRQNSEEDLKPVPKSMSTNRAFSLQSLYKGSNEDGTAFESEKPVVFSLEEIEEATKSFDKTKKIGEGGYGCVYHGLLRGQEVAVKKMRSNKSHEFFAELKVLCKIHHINVVELLGYAGGDDHFYLIYEYVRNGSLSDHLHDPLLKGHQPLSWTARAQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDEGLRAKVADFGLAKLVERTSDEDLIATRLVGTPGYLPPESVKELQVTSKTDVFAFGVVLAELITGQRALLRDNRERNKMKSLITVVYKIFEDKDPETALADVIDKNLRNSYPMEDVYKMAEIADWCLSEEAINRPEMREVVQKLSMIVVSSVEWEASLGGSSIFSGVFNGR >Potri.008G187500.5.v4.1 pep chromosome:Pop_tri_v4:8:13098185:13104149:1 gene:Potri.008G187500.v4.1 transcript:Potri.008G187500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187500.v4.1 MHLLCGCTKSKSQIVVTYTVQQHDTLSDISTRLSSTVGGIQSMNINLIKNPSSINVDWVLFVPMDSKPASGKGSGRGHKWIIIVGILSSLTALSIITLVILLLRRKGCRQNSEEDLKPVPKSMSTNRAFSLQSLYKGSNEDGTAFESEKPVVFSLEEIEEATKSFDKTKKIGEGGYGCVYHGLLRGQEVAVKKMRSNKSHEFFAELKVLCKIHHINVVELLGYAGGDDHFYLIYEYVRNGSLSDHLHDPLLKGHQPLSWTARAQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDEGLRAKVADFGLAKLVERTSDEDLIATRLVGTPGYLPPESVKELQVTSKTDVFAFGVVLAELITGQRALLRDNRERNKMKSLITVVYKIFEDKDPETALADVIDKNLRNSYPMEDVYKMAEIADWCLSEEAINRPEMREVVQKLSMIVVSSVEWEASLGGSSIFSGVFNGR >Potri.008G187500.3.v4.1 pep chromosome:Pop_tri_v4:8:13100019:13104149:1 gene:Potri.008G187500.v4.1 transcript:Potri.008G187500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187500.v4.1 MTSHNFLLGLLITLMATLVALGFASDDSTNSPNMYPFTCSDSEDIKICNASLYHTNYDSLQKEQLASIYGVSPAQIISISYASRQDYLVTVPCSCKNINGTVGYFYDAIHNVSQGELFSNVSAQIFNGQAWWVEDEAWLFNPRNNFSMHLLCGCTKSKSQIVVTYTVQQHDTLSDISTRLSSTVGGIQSMNINLIKNPSSINVDWVLFVPMDSKPASGKGSGRGHKWIIIVGILSSLTALSIITLVILLLRRKGCRQNSEEDLKPVPKSMSTNRAFSLQSLYKGSNEDGTAFESEKPVVFSLEEIEEATKSFDKTKKIGEGGYGCVYHGLLRGQEVAVKKMRSNKSHEFFAELKVLCKIHHINVVELLGYAGGDDHFYLIYEYVRNGSLSDHLHDPLLKGHQPLSWTARAQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDEGLRAKVADFGLAKLVERTSDEDLIATRLVGTPGYLPPESVKELQVTSKTDVFAFGVVLAELITGQRALLRDNRERNKMKSLITVVYKIFEDKDPETALADVIDKNLRNSYPMEDVYKMAEIADWCLSEEAINRPEMREVVQKLSMIVVSSVEWEASLGGSSIFSGVFNGR >Potri.008G187500.7.v4.1 pep chromosome:Pop_tri_v4:8:13098185:13104149:1 gene:Potri.008G187500.v4.1 transcript:Potri.008G187500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187500.v4.1 MHLLCGCTKSKSQIVVTYTVQQHDTLSDISTRLSSTVGGIQSMNINLIKNPSSINVDWVLFVPMDSKPASGKGSGRGHKWIIIVGILSSLTALSIITLVILLLRRKGCRQNSEEDLKPVPKSMSTNRAFSLQSLYKGSNEDGTAFESEKPVVFSLEEIEEATKSFDKTKKIGEGGYGCVYHGLLRGQEVAVKKMRSNKSHEFFAELKVLCKIHHINVVELLGYAGGDDHFYLIYEYVRNGSLSDHLHDPLLKGHQPLSWTARAQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDEGLRAKVADFGLAKLVERTSDEDLIATRLVGTPGYLPPDFS >Potri.008G187500.6.v4.1 pep chromosome:Pop_tri_v4:8:13098185:13104149:1 gene:Potri.008G187500.v4.1 transcript:Potri.008G187500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187500.v4.1 MHLLCGCTKSKSQIVVTYTVQQHDTLSDISTRLSSTVGGIQSMNINLIKNPSSINVDWVLFVPMDSKPASGKGSGRGHKWIIIVGILSSLTALSIITLVILLLRRKGCRQNSEEDLKPVPKSMSTNRAFSLQSLYKGSNEDGTAFESEKPVVFSLEEIEEATKSFDKTKKIGEGGYGCVYHGLLRGQEVAVKKMRSNKSHEFFAELKVLCKIHHINVVELLGYAGGDDHFYLIYEYVRNGSLSDHLHDPLLKGHQPLSWTARAQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDEGLRAKVADFGLAKLVERTSDEDLIATRLVGTPGYLPPDFS >Potri.008G187500.2.v4.1 pep chromosome:Pop_tri_v4:8:13100019:13104149:1 gene:Potri.008G187500.v4.1 transcript:Potri.008G187500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G187500.v4.1 MTSHNFLLGLLITLMATLVALGFASDDSTNSPNMYPFTCSDSEDIKICNASLYHTNYDSLQKEQLASIYGVSPAQIISISYASRQDYLVTVPCSCKNINGTVGYFYDAIHNVSQGELFSNVSAQIFNGQAWWVEDEAWLFNPRNNFSMHLLCGCTKSKSQIVVTYTVQQHDTLSDISTRLSSTVGGIQSMNINLIKNPSSINVDWVLFVPMDSKPASGKGSGRGHKWIIIVGILSSLTALSIITLVILLLRRKGCRQNSEEDLKPVPKSMSTNRAFSLQSLYKGSNEDGTAFESEKPVVFSLEEIEEATKSFDKTKKIGEGGYGCVYHGLLRGQEVAVKKMRSNKSHEFFAELKVLCKIHHINVVELLGYAGGDDHFYLIYEYVRNGSLSDHLHDPLLKGHQPLSWTARAQIALDAAKGIEYIHDHTKARYVHRDIKTSNILLDEGLRAKVADFGLAKLVERTSDEDLIATRLVGTPGYLPPDFS >Potri.004G046700.1.v4.1 pep chromosome:Pop_tri_v4:4:3718767:3720618:1 gene:Potri.004G046700.v4.1 transcript:Potri.004G046700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G046700.v4.1 MGTSKAEGKRNLKEIEDEEEDDDEEDIYTGLGFGDDDKIKKKGRTGSGCGGGGGRSSSSPPISCQVKNCTTDMTDAKRYHKRHKVCEFHAKASSVLVNGVEQRFCQQCSRFHDLSEFDDSKRSCRRRLAGHNERRRKSSSDNQGEGSN >Potri.008G177801.2.v4.1 pep chromosome:Pop_tri_v4:8:12277403:12278303:-1 gene:Potri.008G177801.v4.1 transcript:Potri.008G177801.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G177801.v4.1 MAPIRGIFSLQRAALVQRQRERLGVGFRLFSTQAASNAGTPQPPPPPEKTHFGGLKDEDRIFTNLYGLHDPFLKGAMKRGDWYRTKDFVLKGSDWIVNEVKKSGL >Potri.010G129200.1.v4.1 pep chromosome:Pop_tri_v4:10:14566728:14568277:-1 gene:Potri.010G129200.v4.1 transcript:Potri.010G129200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129200.v4.1 MPLSLVNKNTSSISLSFSFSLAPPSFTTTTRKKNMDGSCIDESTTSSADNSISITPTSLPPFPPTATTTKSPPESLCRVRSGNSSVILDSESGVEAESRKLPSSKYKGVVPQPNGRWGAQIYEKHQRVWLGTFNEENEAARAYDIAAQRFRGRDAVTNFKQVNETEDDEIEAAFLNAHSKAEIVDMLRKHTYSDELEQSKRNHRSNNGGNGKQYKNTANYENNSYDHGCGRVLKAREQLFEKAVTPSDVGKLNRLVIPKQHAEKHFPLQSTSSNSTKGVLLNLEDVSGKVWRFRYSYWNSSQSYVLTKGWSRFVKEKNLKAGDIVCFQRSTGPDNQLYIDWKARCGSNQVQPVQMVRLFGVNIFNVPGMENGCDGKRSIRDMELLSIDRQYSKKQRIVGAL >Potri.001G150700.1.v4.1 pep chromosome:Pop_tri_v4:1:12586243:12589498:-1 gene:Potri.001G150700.v4.1 transcript:Potri.001G150700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150700.v4.1 MESQKTLSSSFASNMATVQSTPTNISFSNYLQSLSQTPHRLRKRMLATWTPDQELNQVRLRSGADMMRKLKWYDLIALGIGGMLGVGVFVTTGPVALKTSGPSVFISYIIAGISALFSSLCYTEFSVQIPVAGGAFSYLRVTFGEFIGYFAGANILMEYVLSNAAVARTFTEYLCHAVGENPSSWRIEVDGVAEGYNMLDFPAVALILLLTLCLCHSTKESSILNLIMTVFHVIFFGFIIIVGFSKGSARNLVEPGGLAPFGVKGVLNGAAIVYFSYIGYDSVSTMAEEIQNPAKSLPVGILGSVLIVTGLYCLMALSLCLLVPFNMIDKDASFSVAFEKIGWKWASNVVGAGASLGIVASLLVAMLGQARYLCVIGRARLVPSWFAKVNPSTGTPLNATLFLGLCTASIALFTELSIILEIISISTLLVFYMVANALIYRRYVVISHNPPSQTLLFLVLLSSTAIGFSMSWKLDEQWWALPVLGGFMIAITAFFQYMVPSVCQPNEEWSVPFMPWPAVTSIFLNVFLMTSLKARSFQRFGIWAFLITLFYVLYGVHSTYRAEEMEVGVGEIPHHPSIQQTKLDIQVL >Potri.017G137300.1.v4.1 pep chromosome:Pop_tri_v4:17:13849909:13851676:-1 gene:Potri.017G137300.v4.1 transcript:Potri.017G137300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G137300.v4.1 MAENLVNFGILGCAKIAIKLARAINLAPNSILYAIASRSIEKAKQFAIQNGLPETIKIYGSYEELLDDPSIEVVYLPLPTSLHVQWAVLAAQKKKHVLLEKPAALDVGDLDKILEACVSNGVQFLDGSMWLHHPRTMKMKELLFDSNHVGQVNFIHSTSTAKMPPEFFENNIRVKQDMDALGVLGDLGWYCVGAVLWAKNYRLPNVVSALPAGVTKNSAGIVLSCTACLNYDQDHKTVAIIHCSFFSYTSMDLSITGTKGSLHLKDFVIPYQEGSAFFDLASPATFMDDQTGWNVKTEKVVVDNETPQEALMVQELARLAQGIKKCGFPPDNRWPEISRKTQIVVDAIKKSIDLDCKPVYL >Potri.007G139600.1.v4.1 pep chromosome:Pop_tri_v4:7:15010937:15013628:1 gene:Potri.007G139600.v4.1 transcript:Potri.007G139600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139600.v4.1 MELLRDQETSEPVSPSGQFLNNSVLSISIIAVLELEEPFDDSLAIPLLNDLFLPINPRFSSIMVTDKDGVKRWKKVEVRLKDHVSVPVFASGMSTQFYDECLDDYLSKMAMEQFPQSQPLWEVHIIKYPTSHAASNIVFKFHHSLGDGISLMGALLSCLKRADNPSLPLTFPSVQLHANKNGRDLSMFRKVPRFLSSVYNTLSEMCSTIAKSSLFEDDKTPIRSRHSGVEFLPVSITTMAFSLDHIKQIKARLGVTLNDVITGTIFLGVRIYMETVSQGSGNARSTSLVLLNTRVHRGYRSVQEMLKPGAELPWGNHFAFLNIPIPKLRDEEARNNPLQFVLKARKVIKRRRSSFGVYLTAKYLQLVGKFSGPKRASKYIHGTLENTSIGITNLMGPIEQLAVGNSPIKGLYFVVTGSPQSLMTGIVSYAGKLRVALLVEKDFIDPQKLRSHIDKAFGMIFKAACGTSTDPPAN >Potri.001G350801.1.v4.1 pep chromosome:Pop_tri_v4:1:36325332:36337657:1 gene:Potri.001G350801.v4.1 transcript:Potri.001G350801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G350801.v4.1 MLLSLNHISYACPSSRTHSSSLICPIPKTLIFEYHNSSSSSNNNPSLKFLVRSSSADNPQTLPHSAIQRIADKLRSLGFTEETETKAQTTAGEIFIPLPNRLPKYRVGQTLDPSWSTPENPVPVPGSGKAISRYHELRREVKREREAKKGEAKVPSLAELSLPNEELRRLRTIGIAEKRKLKVGKAGITEGIVNGIHERWRRSEVVKIVCEDLCRMNMKRTHDLLERKTGGLVVWRVGSKIVLYRGADYKYPYFLAETSSVNETSPDAVQNIDVDDKEVDEEGSVLSAVDGAAPPEPRSSDEIVRPSLVQGVGSPNRVRFQLPGEAQLTEEADQLLDGLGPRFNDWWGYDPLPVDADLLPAVVSGYRRPFRLLPYGVSPTLTNDEMTTLKRLGRPLPCHFALGRNTKHQGLAASIVKLWEKCEIAKIAVKRGVQNTNSELMAQELKWLTGGTLLSRDREFIVLYRGKDFLPSAVSSAIEDRRKRGDMDKRWTDCITSNETSEELKDRSWRTTNAKSRDEIDDTNDRKHDLSENKNLRSTDAAIKRTSIKLSMALEKKAKAEKLLSELEKSEMSQQPEIDKEGITEEERYMLRKIGLKMKPFLLMGRRGVFDGTIENMHLHWKYRELVKIICKEKSFQAVQAVARTLEAESGGILVAVEGVSKGYAIILYRGKNYTRPACLRPPTLLSKRQAMKRSLEAQRRESLKLHVLRLTSNIDHLKLQLVKDKEAYNVQCFDESKFQVKDELEEPARTDSELKPDCHSYSTIPADCNAIIETRDEHGVDSTAVNQNDSLGASANHKQLQPAQRSNWTDLYPTFDGNRTGENEPNSSPEFSNEKNVSHLNAKNCVSFNEEMGSSVKSAENQSGESVPIVVEEDNRKPSSVVCLSNRDRLLLRKQALKMKNRPVLAVGRSNIVTGVAKTIKAHFQRHPFAIVHVKGRAKGTSVQEVVSKLEEATGAVLVSQEPSKVILYRGWGAGEPGHKGKENKQNAGEASRAKGRSRHAVSLELMEAIRLECGLQHNHQANRPTSSV >Potri.008G151100.2.v4.1 pep chromosome:Pop_tri_v4:8:10329483:10334436:-1 gene:Potri.008G151100.v4.1 transcript:Potri.008G151100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151100.v4.1 MPAGGFATATAGGVKFEAKITPIVILSCIMAATGGLMFGYDVGVSGGVTSMPDFLEKFFPEVYGKTQDPNLNSNYCKYDNQNLQLFTSSLYLAGLIATFFASWTTRRLGRKPTMLIAGFFFILGVVLNAAAQDLAMLIIGRIFLGCGVGFANQAVPLFLSEIAPTRIRGGLNILFQLNVTVGILFANLVNYGTAKIKGGWGWRLSLGLAGIPAVLLTGGALLVLETPNSLIERGRLDEGKSVLRKIRGTDNIEPEFLELVEASRMAKEVKHPFRNLLKRRNWPQLSITIALQIFQQFTGINAIMFYAPVLFDTVGFGSDAALYSAVIIGAVNVLSTCVSIYSVDKVGRRMLLLEAGVQMFFSQVVIAIILGVKVTDDSNDLHRGYGILVVVMVCTFVSAFAWSWGPLGWLIPSETFPLETRSAGQSVTVCVNLIFTFVMAQAFLSMLCTLKFGIFLFFSGWVFIMSIFVVFLLPETKNIPIEEMTDTVWKKHWFWKRFIDDNEEVPATGTKGDPLTEKWAH >Potri.009G082200.2.v4.1 pep chromosome:Pop_tri_v4:9:7802398:7805125:1 gene:Potri.009G082200.v4.1 transcript:Potri.009G082200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G082200.v4.1 MDLETENRIAAILMKEAAELRQRAEREGVHVYLERPKARARPNSRFLTATVLGVQQTNRAVELNEMWRVREKELELDARLRGRSRYDGNSSKNHRDVGNVFRSTSQRHSINENDTNMPSSSSKIVGSSCSREDGGLRDEEVEEFLHSRVKRGRGAVGSRMDDTGPYLAPCPDPDEKLSRNLDAKLQRVVFGPEKPSSLKSYESSEEELDKDRLKKEKKVCSKSRDKKHSRKHRSKERSRDKKRKRKDEKRSKH >Potri.009G010350.1.v4.1 pep chromosome:Pop_tri_v4:9:1987509:1991847:1 gene:Potri.009G010350.v4.1 transcript:Potri.009G010350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010350.v4.1 MGSKWRKAKLALGLNMCLYVPPTDVEQRDSSSSPSPARLFSRSSHGSTTPTPSSSGLRLSTSPDSNNKRTCAICLTAMKTGQGQAIFMAECSHSFHFHCIASNVKHGNQICPVCRAKWKEIPFQRSVSDVTCGKPRMSALGWPQDDAWMTVLRRLPPARTDANRHISSHYQANEPAIFDDDEDLDPQHETAEGNTSTQDAGDVNSVRTVEVFTYTEVSAVPKSVSYDNFTILIHLKAPLTSGRQNRNWNHAESPQSSQDSRAPVDLVTVLDVSGSMSGTKLALLKRAMGFVIQNLGPSDRLSVIAFSSTARRHFPLRRMTETGKLEALQAVNSLVSSGGTNIAEGLRKGFKVVVDRKWKNPVCSIILLSDGQDTYTISGTSMTRPQADYKSLLPTSIHRNGSSGFRIPVHAFGFGSDHDAASMHSISEISGGTFSFIEAEGVIQDAFAQCIGGLLSVVVQELQVKVESLHPRLEIGSIQAGSYKSSIMANAIMGFVDVGDLYAEEERDFLVTVNIPVDGTSDEMSLLKVSCTYRDPMTKGMMTLEEASQVKIQRPEITGTRVVSMEVEKQRNRLRAAEAMAEARAAAENGDLARAVSVLESCGKALSETASTRAGDRLCIALCAELKEMQERMASRRVYETSGRAYILSGLSSHSWQRATARGDSTDSTNLMQAYQTPSMADMVTQSQTMVFGTPSSQRKLRQTMSFPAARPRPR >Potri.001G135400.1.v4.1 pep chromosome:Pop_tri_v4:1:11030134:11032447:1 gene:Potri.001G135400.v4.1 transcript:Potri.001G135400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135400.v4.1 MIGTPVLQKIRFLSLPEAPTQTTGLSLKLKEQECLSLMKRCKNMEEFKQVHAQVLKWENSFCASNLVATCALSDWGSMDYACSIFRQIDQPGTFEFNTMIRGYVNVMNMENALFLYYEMLERGVESDNFTYPALFKACASLRSIEEGMQIHGYIFKRGLEGDLFVQNSLINMYGKCGKIELSCSVFEHMDRRDVASWSAIIAAHASLGMWSECLSVFGEMSREGSCRPEESILVSVLSACTHLGALDLGRCTHVTLLRNIREMNVIVQTSLIDMYVKCGCIEKGLSLFQRMVKKNQLSYSVMITGLAMHGRGMEALQVFSDMLEEGLKPDDVVYLGVLSACNHAGLVDEGLQCFNRMKLEHGIEPTIQHYGCIVHLMGRAGMLNEALELIRCMPIKPNEVVWRGLLSACKFHHNLEIGEIAAKSLGELNSSNPGDYVVLSNMYARAKRWEDVAKIRTEMARKGFIQTPGFSLVEVERKIYKFVSQDMSHPQCKGIYEMIHQMEWQLKFEGYSPDTSQVLFDVDEEEKRQRLKAHSQKLAMAFALIHTSQGAPIRIARNLRMCNDCHTYTKLISVIYQREITVRDRNRFHHFKDGTCSCRDYW >Potri.001G135400.2.v4.1 pep chromosome:Pop_tri_v4:1:11030077:11032379:1 gene:Potri.001G135400.v4.1 transcript:Potri.001G135400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G135400.v4.1 MIGTPVLQKIRFLSLPEAPTQTTGLSLKLKEQECLSLMKRCKNMEEFKQVHAQVLKWENSFCASNLVATCALSDWGSMDYACSIFRQIDQPGTFEFNTMIRGYVNVMNMENALFLYYEMLERGVESDNFTYPALFKACASLRSIEEGMQIHGYIFKRGLEGDLFVQNSLINMYGKCGKIELSCSVFEHMDRRDVASWSAIIAAHASLGMWSECLSVFGEMSREGSCRPEESILVSVLSACTHLGALDLGRCTHVTLLRNIREMNVIVQTSLIDMYVKCGCIEKGLSLFQRMVKKNQLSYSVMITGLAMHGRGMEALQVFSDMLEEGLKPDDVVYLGVLSACNHAGLVDEGLQCFNRMKLEHGIEPTIQHYGCIVHLMGRAGMLNEALELIRCMPIKPNEVVWRGLLSACKFHHNLEIGEIAAKSLGELNSSNPGDYVVLSNMYARAKRWEDVAKIRTEMARKGFIQTPGFSLVEVERKIYKFVSQDMSHPQCKGIYEMIHQMEWQLKFEGYSPDTSQVLFDVDEEEKRQRLKAHSQKLAMAFALIHTSQGAPIRIARNLRMCNDCHTYTKLISVIYQREITVRDRNRFHHFKDGTCSCRDYW >Potri.004G224500.1.v4.1 pep chromosome:Pop_tri_v4:4:22911207:22913902:-1 gene:Potri.004G224500.v4.1 transcript:Potri.004G224500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G224500.v4.1 MITELQKRAVNSVAMMKDMADEASAVAATSSPKNRVKLLCSYGGKIMPRAVDGHLKYVGGETRVIAIPRDINFTELKKKLSAEFEGDMVLKYQVIPEELDVLVSVRTNEDLKHMLDEYDRHESEGTPKLRAFLFPSNPAAIEKSTPMDPSAPEQHYVEAVNGMVRTTANFRLSPINANHPSFSLSASSSPKSTSPESNTVDSVPNEPTFMNSYHVSRNPMTRVHSSPSLCNLNTAHHHSNNLNNHHLYQHQHQHHYHPHHQQHNPHGYQPSRSPHDLHRLSPSLPPGRPDFGRAPTGIGLNQYYSSRHNLGSGNSSKYGYYDDYSSYGLSTADISVSLPQSPSNGNVE >Potri.009G079700.1.v4.1 pep chromosome:Pop_tri_v4:9:7644111:7647591:1 gene:Potri.009G079700.v4.1 transcript:Potri.009G079700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079700.v4.1 MATAALLRSLRRRDVASAPLAAYRSLSNNVKPSWAPSNFSQNLSGLSRAFSAKPAGSDVIGIDLGTTNSCVAVMEGKSPKVIENAEGSRTTPSVVAFTPKGELLMGTPAKRQAVTNPSNTVFGTKRLIGRKFDDPQTQKEMKMVPYKIVRAPNGDAWVESNGQQYSPSQIGAFILTKMKETAEAYLGKTISKAVITVPAYFNDAQRQATKDAGRIAGLDVQRIINEPTAAALSYGMNNKEGLIAVFDLGGGTFDVSILEISNGVFEVKATNGDTFLGGEDFDNTLLEYLVNEFKRTEGIDLSKDKLALQRLREAAEKAKIELSSTTQTDINLPFITADSSGAKHLNITLTRSKFESLVNHLIERTRIPCKNCLKDAGISTKEVDEVLLVGGMTRVPRVQEIVSEIFGKSPSKGVNPDEAVAMGAAIQGGILRGDVKELLLLDVTPLSLGIETLGGIFTRLISRNTTIPTKKSQVFSTAADNQTQVGIKVLQGEREMASDNKMLGEFELMGIPPAPRGMPQIEVTFDIDANGIVTVSAKDKSTGKEQQITIRSSGGLSEDEIEKMVKEAELFAQKDQDRKALIDIKNSADTTIYSIEKSLDEYREKIPSEVAKEIEDAVADLRKAMGGDNVDDIKSKLDAANKAVSKIGEHLSKGSSGGGDSASGGSQGGDQAPEAEYEEVKK >Potri.002G203700.1.v4.1 pep chromosome:Pop_tri_v4:2:16832567:16838275:1 gene:Potri.002G203700.v4.1 transcript:Potri.002G203700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203700.v4.1 MKMIEKKRKSRDGKKHDRPQKFTKKSKFSKKYGKSEDEKRRTGPRLPQSLRKELDRINPNDQSCSEEDEGINGDVYEYEEEVPEEESKKNRRFDSVENYEYKLPEDFKDENVESDDDDYDFDGGEKKIADHKGKKGDLDQLGDDVEDEDDERHLRMLQGITGMPSQAFQGRKKNKVVVSEGYPESEYNPTRDVLDGDGRIAIEDLLESIQGKPGYRELRNITRHVEKKGKLLQAPLPKEDRDRLERNAAYEQSKKDITRWEPLVKRNREAPTIIFDKDTDSGFSTVGAIASEFEPRTEFEKKMSSLVNDDKVMAAHKEDGSRLLELNKISLEDYVNSRNHIAKMRSLLFRHEVKMKRVKKIKSKTYHRLLKKERLKGSVGMPMDAEEAKELAMKQEFKRAEERMTLRHKNQSKWAQRIVKRGLDAQDEGTRAAMAEQLHQHALLTRKMNSMNDSSSSDDSSDEEDSENAGGSEEDKAPKLLAQAREKTRRVLEEDDEVPDSGVLSLPFMKRALKKKKEAADEEGRLAIQEFESSLMQLEDADGAEITKTGSVSGRRVFGASKMQVIEPKNKIRSSSYSSDSEAELEAEEDIDVGLGRTDDVQNNIDVNSVLLDVDANTPRDSVLKISELVKDLGPKTTYEVSMLHSDTWKKMSSSRNEVDTNGKRSRKVVEPVTHNRDIELEEVGEDSDADSEGQMLDGILSSEHKSSYKLPSQAELIREAFAGDDVQEEFSKDKEEIMNEENPEPEKPVQLPGWGQWTRVQKKKGLPSWVLEEHENAKRKRDEALRKRKDAHLKHVIISEKLDKKAEKLLTGTLPYPFTSKEVFEQSIRMPIGPEFNPATAVRALNRPEVVKKQGLIIKPIRYEDVDSHERGEEHRESGQKQRINRSQGRVKAVVAKS >Potri.002G203700.2.v4.1 pep chromosome:Pop_tri_v4:2:16832558:16838273:1 gene:Potri.002G203700.v4.1 transcript:Potri.002G203700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G203700.v4.1 MKMIEKKRKSRDGKKHDRPQKFTKKSKFSKKYGKSEDEKRRTGPRLPQSLRKELDRINPNDQSCSEEDEGINGDVYEYEEEVPEEESKKNRRFDSVENYEYKLPEDFKDENVESDDDDYDFDGGEKKIADHKGKKGDLDQLGDDVEDEDDERHLRMLQGITGMPSQAFQGRKKNKVVVSEGYPESEYNPTRDVLDGDGRIAIEDLLESIQGKPGYRELRNITRHVEKKGKLLQAPLPKEDRDRLERNAAYEQSKKDITRWEPLVKRNREAPTIIFDKDTDSGFSTVGAIASEFEPRTEFEKKMSSLVNDDKVMAAHKEDGSRLLELNKISLEDYVNSRNHIAKMRSLLFRHEVKMKRVKKIKSKTYHRLLKKERLKGSVGMPMDAEEAKELAMKQEFKRAEERMTLRHKNQSKWAQRIVKRGLDAQDEGTRAAMAEQLHQHALLTRKMNSMNDSSSSDDSSDEEDSENAGGSEEDKAPKLLAQAREKTRRVLEEDDEVPDSGVLSLPFMKRALKKKKEAADEEGRLAIQEFESSLMQLEDADGAEITKTGSVSGRRVFGASKMQVIEPKNKIRSSSYSSDSEAELEAEEDIDVGLGRTDDVQNNIDVNSVLLDVDANTPRDSVLKISELVKDLGPKTTYEVSMLHSDTWKKQMSSSRNEVDTNGKRSRKVVEPVTHNRDIELEEVGEDSDADSEGQMLDGILSSEHKSSYKLPSQAELIREAFAGDDVQEEFSKDKEEIMNEENPEPEKPVQLPGWGQWTRVQKKKGLPSWVLEEHENAKRKRDEALRKRKDAHLKHVIISEKLDKKAEKLLTGTLPYPFTSKEVFEQSIRMPIGPEFNPATAVRALNRPEVVKKQGLIIKPIRYEDVDSHERGEEHRESGQKQRINRSQGRVKAVVAKS >Potri.011G103200.1.v4.1 pep chromosome:Pop_tri_v4:11:13117986:13121860:-1 gene:Potri.011G103200.v4.1 transcript:Potri.011G103200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G103200.v4.1 MQSLLSGTSNNFVFKPYTNLFSSHPTRIKPHGLAFSLKSQLQPSSQTPQNKPIWPLILKPKFGRKSANQLHCGISSNDLSTGSKRGLREWIDVISDAISTAFPIWVSLGCLLGLLRPTAYNWVTPKWVGIGLPLTMLGMGMTLTLDDLSNAFAMPKEVLSGFVLQYSVMPISGFLVSKLLNLPSYYAAGLILVGCCPGGTASNIVTYIARGNVALSVLMTAASTFASVVMTPFLTAKLAGQYVAVDATGLLVSTMQVVLLPVLVGAFLNQYFQSLVKIVSPLMPPIAVGTVAVLCGNAIAQSSSAILMSGRQVVLAAFLLHACGFFFGYLLSRMLGLDVASSRTISIEVGMQNSVLGVVLATKHFGNPLTAVPCAVSSVCHSIFGSVLAGIWRRSVPAQNDD >Potri.012G086800.2.v4.1 pep chromosome:Pop_tri_v4:12:11216321:11219476:-1 gene:Potri.012G086800.v4.1 transcript:Potri.012G086800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086800.v4.1 MQSLYGTLFRRQNLNISHFKSTLAYLAVTESKNHGLTLLNSNSSAPNRFPASMTQPFRSSHCFSPKFGTQTETATFLNGNGRSCRMNLSCYRSMTTVGNPAEASSEDSSAPVADVPPRIKFKRLDKTARHIMQILNKEAVEEVRGQREIPDIKPGYTVRLKVEVPENKRRVSTIIGTVIARRNAGIHTTFRLRRMVAGVGVESLLPL >Potri.012G086800.1.v4.1 pep chromosome:Pop_tri_v4:12:11216207:11219475:-1 gene:Potri.012G086800.v4.1 transcript:Potri.012G086800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086800.v4.1 MQSLYGTLFRRQNLNISHFKSTLAYLAVTESKNHGLTLLNSNSSAPNRFPASMTQPFRSSHCFSPKFGTQTETATFLNGNGRSCRMNLSCYRSMTTVGNPAEASSEDSSAPVADVPPRIKFKRLDKTARHIMQILNKEAVEEVRGQREIPDIKPGYTVRLKVEVPENKRRVSTIIGTVIARRNAGIHTTFRLRRMVAGVGVESLLPLYSPNIKQIEVLDDRKKVRRAKLYYLRDRMNALKKR >Potri.011G009801.1.v4.1 pep chromosome:Pop_tri_v4:11:910189:913403:-1 gene:Potri.011G009801.v4.1 transcript:Potri.011G009801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G009801.v4.1 MMDGSVIIQIAEDREKILNDPNSIMPAAFVSFKTRWGAAFCAQTQQSRNPTLWLTEWAPEPRDVYWENLAIPYVSLSVRRLIVGVSFFFLAFLFLIPIAFVQSLASIEGIEKNLPFLKPVIEIEFIKSVAQGFLPGIALKLFLTFLPTVLMMMSKLEGFMSLSSLERISAMRYYIFIIIDVFLGSILTGAVFEQLNSFINQSSVC >Potri.005G225400.3.v4.1 pep chromosome:Pop_tri_v4:5:22614650:22620144:1 gene:Potri.005G225400.v4.1 transcript:Potri.005G225400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225400.v4.1 MFVFFLFCFNAISLLCFMKSRSMDTSSVRCLINTISRFIHLVSCQTRKFMPIQKDYKSMVMMLKHLKPVLDGVVDYSISSDEVLCKECEELDTTVNEAREFMENWCPQMSKICSVQQSEALLKKIQSSALEICQILCRLLQSSPSASTLTIVQHCMQELQGLKHETITELIEEALRSLSDDVSPCTNHLMKLTETLGLTSNQELLKESVAVEKERMNVKVNKAKGDLDQIDQIVDLISHIRNWLLKVERFDPKSGAPIPPYFRCPLSLELMLDPVIVASGQTYDRVSIQKWLDHGLSICPRTRQTLSHTNLIPNYTVKAMIANWCEENNVRVSSDSVPSHHDLLHLDSFRYRCSLHSSNSTSRSSIEVGNGFEKQKIGVSSRLSGEEFNRNHVMGIESFECPSHELSYIHSRSESTSSAISSIEYVPPASDEMLKLLTMHDNVNDLSGEITSECPAVSPSNKVKGFSPCLSGRQFHSPKYDMASNGSHNYSRTNSLQFSDSGSHDLCTTSQVKKLVEGLKSQSNEIKTKAAEELRLLAKHNVENRIIIGHSGAIRPLLSLLYSEVKITQEHAVTAVLNLSINEENKAMIAEAGAIEPLIHVLRSGNDGAKENSAAALFSLSVLEEYKAKIGRSGAVKALVDLLAYGTIRGKKDAATALFNLSIFHENKARIVQAGAVKYLVELMDPVTGMVDKAVALLANLSTISEGRMAIAKAGGIPLLVEVVESGSQRGKENAASILMQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRSQREGSAGKGKS >Potri.005G225400.1.v4.1 pep chromosome:Pop_tri_v4:5:22614369:22620144:1 gene:Potri.005G225400.v4.1 transcript:Potri.005G225400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G225400.v4.1 MDTSSVRCLINTISRFIHLVSCQTRKFMPIQKDYKSMVMMLKHLKPVLDGVVDYSISSDEVLCKECEELDTTVNEAREFMENWCPQMSKICSVQQSEALLKKIQSSALEICQILCRLLQSSPSASTLTIVQHCMQELQGLKHETITELIEEALRSLSDDVSPCTNHLMKLTETLGLTSNQELLKESVAVEKERMNVKVNKAKGDLDQIDQIVDLISHIRNWLLKVERFDPKSGAPIPPYFRCPLSLELMLDPVIVASGQTYDRVSIQKWLDHGLSICPRTRQTLSHTNLIPNYTVKAMIANWCEENNVRVSSDSVPSHHDLLHLDSFRYRCSLHSSNSTSRSSIEVGNGFEKQKIGVSSRLSGEEFNRNHVMGIESFECPSHELSYIHSRSESTSSAISSIEYVPPASDEMLKLLTMHDNVNDLSGEITSECPAVSPSNKVKGFSPCLSGRQFHSPKYDMASNGSHNYSRTNSLQFSDSGSHDLCTTSQVKKLVEGLKSQSNEIKTKAAEELRLLAKHNVENRIIIGHSGAIRPLLSLLYSEVKITQEHAVTAVLNLSINEENKAMIAEAGAIEPLIHVLRSGNDGAKENSAAALFSLSVLEEYKAKIGRSGAVKALVDLLAYGTIRGKKDAATALFNLSIFHENKARIVQAGAVKYLVELMDPVTGMVDKAVALLANLSTISEGRMAIAKAGGIPLLVEVVESGSQRGKENAASILMQLCLNSPKFCTLVLQEGAVPPLVALSQSGTPRAKEKAQQLLSHFRSQREGSAGKGKS >Potri.001G304200.2.v4.1 pep chromosome:Pop_tri_v4:1:31411303:31415162:1 gene:Potri.001G304200.v4.1 transcript:Potri.001G304200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304200.v4.1 MDNKTWFWRKRSSEKTIVATNKFGISVKGIDEETQNIPTGNGVGPVRAVRNLNEKLASVLLDCHVVTENEKSVPRATAGQEKEEAEVDCLKKELDGDPKKGLAANQKLSHPDAALKKCMQQLNSFREEQEQKIHDAVMEATSEFEKAQKTLEVKLMETSKRLTNLAIENTNLSNALLLKEKLVEELHKRASQTVAEFNTLMARLDNTEKENAFLKYEFHMLQKELEVRNEELEYNHRSADASRRQHLESMRKVTKLEAECQRLRTLMRKRLPGPAAFSKMKSEAEMLGRDQMELRKPNLTRDLVVRDPIMGNFPETPVKNVDFLIDQLLGKEEENKALREMMSRKNAELQSSRIMFSRTASRLSQVEAQLVELSGDQRSLELAKHSPSSREIHSPTAGSDTGSLANALIAELEHFRDRKLKSPSECKDIEVLDMSLMDDFVEMEKLAIVSTQTPSAGGNSSFSAGKELVPIELDHSGYRDKKQEIHSKQDSTDKSFDWLQVVLNAIFKQQRISKRSLTELLEDIKIALGYINHPNASEPDAAALSRHPLECDIGGYITWKSPNESSIANSLNETSSIDTPMKETSKQHDQSNLSNLQEENGRLENELDNMEARLQSATDKTETLMVKLRESEQRVERLQAEVEILKESKGMVEDQIENQKSINEDLDTQLTVTKAKLNEVFQKFSSLEVELEDRSNCCEELEATCLELQLQLESAAKETLSCGINKEGKHPQDGWEIKAASVKLAECQETILNLGKQLKALASPREAALFDKVFTTTGATTTATNIRNLNKRFSLRDQMLAEDRSKEIILRSPTEDAQKSSLDHSDNGNGLISPNALVCAPEAYIGPEHKAGDAAVEALAIVPSKNQGFGLLRRLLMRRKKGTSKKSRSLVKV >Potri.001G304200.1.v4.1 pep chromosome:Pop_tri_v4:1:31410571:31415391:1 gene:Potri.001G304200.v4.1 transcript:Potri.001G304200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G304200.v4.1 MDNKTWFWRKRSSEKTIVATNKFGISVKGIDEETQNIPTGNGVGPVRAVRNLNEKLASVLLDCHVVTENEKSVPRATAGQEKEEAEVDCLKKELDGDPKKGLAANQKLSHPDAALKKCMQQLNSFREEQEQKIHDAVMEATSEFEKAQKTLEVKLMETSKRLTNLAIENTNLSNALLLKEKLVEELHKRASQTVAEFNTLMARLDNTEKENAFLKYEFHMLQKELEVRNEELEYNHRSADASRRQHLESMRKVTKLEAECQRLRTLMRKRLPGPAAFSKMKSEAEMLGRDQMELRKPNLTRDLVVRDPIMGNFPETPVKNVDFLIDQLLGKEEENKALREMMSRKNAELQSSRIMFSRTASRLSQVEAQLVELSGDQRSLELAKHSPSSREIHSPTAGSDTGSLANALIAELEHFRDRKLKSPSECKDIEVLDMSLMDDFVEMEKLAIVSTQTPSAGGNSSFSAGKELVPIELDHSGYRDKKQEIHSKQDSTDKSFDWLQVVLNAIFKQQRISKRSLTELLEDIKIALGYINHPNASEPDAAALSRHPLECDIGGYITWKSPNESSIANSLNETSSIDTPMKETSKQHDQSNLSNLQEENGRLENELDNMEARLQSATDKTETLMVKLRESEQRVERLQAEVEILKESKGMVEDQIENQKSINEDLDTQLTVTKAKLNEVFQKFSSLEVELEDRSNCCEELEATCLELQLQLESAAKETLSCGINKEGKHPQDGWEIKAASVKLAECQETILNLGKQLKALASPREAALFDKVFTTTGATTTATNIRNLNKRFSLRDQMLAEDRSKEIILRSPTEDAQKSSLDHSDNGNGLISPNALVCAPEAYIGPEHKAGDAAVEALAIVPSKNQGFGLLRRLLMRRKKGTSKKSRSLVKV >Potri.001G437875.1.v4.1 pep chromosome:Pop_tri_v4:1:46412526:46413738:1 gene:Potri.001G437875.v4.1 transcript:Potri.001G437875.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G437875.v4.1 MLLTFFFRVQECYLPWLEGSTGSFCFASVPLTFWQQTLYQGGHSLNSSNTLVSKNGLFTLGFTRLGSAESNATYLGIWYNNDRSHPFWLANRDKPIADNSGVLAIDGSGNMKLTYSRGDPVEFYSSQSSTTNITAILEDSGNFVLIDENSSSQQVLWQSFDFPTDTVLPGMKLGINHRTGQTWSLVSWLSDIVPTPAGAFTLEWDTNGKELVIKRRDVIYWTSGLFTSNTSFEIPSLDQSFKIVSNAGEDYLMFNVSPKQFTAQGQRNFSMWQLKYDGSIADQSTGRTYGGTACTGNNTDIDGGCERWSGPACRSNRNSFELTSGSFVNKVPRKYDDNSSLSISDCKDICWKDCQCVGVSTIGNNATILDARFFMEALHKT >Potri.001G443600.1.v4.1 pep chromosome:Pop_tri_v4:1:46863461:46863976:-1 gene:Potri.001G443600.v4.1 transcript:Potri.001G443600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G443600.v4.1 SAGWFKKFQYDEVRDSPSDARNVLLVVVALIAAVTFQAGVNPPGGVWQEGDRVGRAIYASQKRAFYVFLISNTLALSTCILVITSLTYRFPFHLEIWAATASIMITYASAVFAVTPNESVRFRYLLIAASVPFVMRCFGYFFKKYCMSENESQIGGQEEVEKRDGQAGQQV >Potri.010G057600.1.v4.1 pep chromosome:Pop_tri_v4:10:8827036:8829692:-1 gene:Potri.010G057600.v4.1 transcript:Potri.010G057600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057600.v4.1 MSADMENPKAEEEQKGTGSASPANETPATWYSGLLKQTSIYGIAAGYCISASLLSIINKWAIMKFPYPGALTALQYFTSAAGVLVCGWCKVLEHDSLDLLTMWRFLPAAIMFYLSLFTNSELLLHANVDTFIVFRSLVPIFVAIGETLFLHQPWPSLKTWLSLSTIFGGSVLYVLTDYQFTVMAYSWALAYLVSMTIDFVYIKHVVMTIGLNTWGLVVYNNLEALLLFPLELLIMGELKKIKHEISDESDWHSFAVVLPVGLSCLFGLAISFFGFSCRRAISATGYTVLGVVNKLLTVVINLVIWDKHSTFVGTVGLLICMLGGIMYQQSTSKPKAVPEVKAEQTDEEQQKLLEMQSNNNEKEVTQSNQGK >Potri.001G338500.2.v4.1 pep chromosome:Pop_tri_v4:1:34736387:34737798:1 gene:Potri.001G338500.v4.1 transcript:Potri.001G338500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G338500.v4.1 MSSQDQGRPLPKFGEWDVNNPASAEGFTVIFSKARDEKKSGAAAGAGAASQRKTNSSQANSQCPPPKKRFCCF >Potri.001G320900.1.v4.1 pep chromosome:Pop_tri_v4:1:33070948:33074224:-1 gene:Potri.001G320900.v4.1 transcript:Potri.001G320900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G320900.v4.1 MEAGPSSATSGSGGGGGGGPAPFLVKTYDMVDDSSTDEIVSWSSNKNSFVVWNPPEFARLLLPTFFKHNNFSSFIRQLNTYGFRKIDPERWEFANEDFVKDQKHLLKNIYRRKPIHSHSQPQGSLVDPERAAYEEEIEKLARDKAKLKASILGFEQQRSSAKLQVEDLTQKIDTMQQRQEKLLSFLEKAVQNPTFVEHLARKIEAMDFSAYSKKRRLPQVDHPMPIAENSLVENHSSSRPESNVIHQDFPDKLRLELSPAVSDINLVSHSTQSSNEDGGSPQRKISEGNPKDALTRTSGLLLAPETLELSDTGASYAFKVNPAVPRDIPANGSPALHSLQSNLTSNEEVDGHISCQLNLSLASSPLQVNKNPYLTRIPQLGQEIGKSPESRFNESNKDSDIRVSQNNMNLGNEVRALSNSQETPNNNQAPASAPVRVNDVFWEQFLTERPGYSDNEEASSNYRANPYDERERRIGFGVPRNAKNMEQLSL >Potri.019G118101.3.v4.1 pep chromosome:Pop_tri_v4:19:14418613:14419714:1 gene:Potri.019G118101.v4.1 transcript:Potri.019G118101.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118101.v4.1 MAFSHFMKSWASIFRSREDSACLEKSIPPSHDRSGIKDPFELESIFTKDWWNWASSWDYDLGSTPDDQLRDKVGVTFTIGQTHIERLKDLVSIQCMENYPGQVHVSTFVVACAFTWVNLIKSQEKEASDLLDNDKVYYFVFVADCRHRPEVKLPATYFGNCLAICYVPAKKIELLGENGIIMAAREIGKKVKELESGVFVGAEKWISKWKEVSEQGRLVTVAGSPKLRAYETDFGWGRPKKTEVPHIYASGSFHLCECRDGGGGVEIGLALPQGQMDVFSGIFEQGKQNLI >Potri.019G118101.2.v4.1 pep chromosome:Pop_tri_v4:19:14418234:14419707:1 gene:Potri.019G118101.v4.1 transcript:Potri.019G118101.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118101.v4.1 MERLFFYEFPYPTLYLTNNILPILKNSLSLTLQHFFPLASNLMCPPSPHKPYILFKDGDSIPFTVVESMLDFDQVIGDHARVDLRELQCFVPKWPPTRVTSDDTRVVPLLALQVAVFPNSGICIGAKFCHVVADGMAFSHFMKSWASIFRSREDSACLEKSIPPSHDRSGIKDPFELESIFTKDWWNWASSWDYDLGSTPDDQLRDKVGVTFTIGQTHIERLKDLVSIQCMENYPGQVHVSTFVVACAFTWVNLIKSQEKEASDLLDNDKVYYFVFVADCRHRPEVKLPATYFGNCLAICYVPAKKIELLGENGIIMAAREIGKKVKELESGVFVGAEKWISKWKEVSEQGRLVTVAGSPKLRAYETDFGWGRPKKTEVPHIYASGSFHLCECRDGGGGVEIGLALPQGQMDVFSGIFEQGKQNLI >Potri.019G118101.1.v4.1 pep chromosome:Pop_tri_v4:19:14418180:14419661:1 gene:Potri.019G118101.v4.1 transcript:Potri.019G118101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G118101.v4.1 MAHSHPVKLIDHFRVSPPLGSVPTTSLPLTFFDFPWLLCRPMERLFFYEFPYPTLYLTNNILPILKNSLSLTLQHFFPLASNLMCPPSPHKPYILFKDGDSIPFTVVESMLDFDQVIGDHARVDLRELQCFVPKWPPTRVTSDDTRVVPLLALQVAVFPNSGICIGAKFCHVVADGMAFSHFMKSWASIFRSREDSACLEKSIPPSHDRSGIKDPFELESIFTKDWWNWASSWDYDLGSTPDDQLRDKVGVTFTIGQTHIERLKDLVSIQCMENYPGQVHVSTFVVACAFTWVNLIKSQEKEASDLLDNDKVYYFVFVADCRHRPEVKLPATYFGNCLAICYVPAKKIELLGENGIIMAAREIGKKVKELESGVFVGAEKWISKWKEVSEQGRLVTVAGSPKLRAYETDFGWGRPKKTEVPHIYASGSFHLCECRDGGGGVEIGLALPQGQMDVFSGIFEQGKQNLI >Potri.007G130300.1.v4.1 pep chromosome:Pop_tri_v4:7:14439719:14441440:1 gene:Potri.007G130300.v4.1 transcript:Potri.007G130300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G130300.v4.1 MGRPLFYEIVEKPATSCIIGICSAIWFYIQKRNFGYSHVGLSYENAIEGHHWRIITSAFSHISVIHLVFNMSALWSLGVVEQLGHIGLGVAYYLHHTLVLVVLSGALVLGMYHLLIQRFKIEYFRSVTAVGYSCVVFGWMTILSVKQPSSKLDLFGFLSLPISFAPFESLIFTSIIVPQASFLGHLSGIVVGYAIAWGLIHGMNNYWAISMLGWIVLVFVVSLKRSGAYDFDFLEIESVTDPSLPSVRFLGSGRTLQESSLPVEGVEIV >Potri.011G157700.1.v4.1 pep chromosome:Pop_tri_v4:11:18356430:18362046:-1 gene:Potri.011G157700.v4.1 transcript:Potri.011G157700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G157700.v4.1 MALSFCSNLGSSLQHHNLQELTEYPQGDIEIGNDQLFACNDNMMFSDAFINPLYEVEEQLVYSDSYTDLLPYFSSPSDNIISLSPEIFPLQDFESYHYPKRPKTYTDHFNSTFEPNFFEGYAPNPNPGLPELFPEIPTPEPKFQVPITFNVGRTDQSVMNSKKPSTGVSLSTQSIAARERRRKITEKTRELGKFIPGGHKMNTAEMFQAASKYVKFLQAQIGILELMGSTQENKGPMHTQELQTLLVTSPAIQEKLYSEEKCLVPRDFVQTIANDCQIQSKPLVIEEIDQLLGGQLLG >Potri.002G257200.1.v4.1 pep chromosome:Pop_tri_v4:2:24579977:24580536:1 gene:Potri.002G257200.v4.1 transcript:Potri.002G257200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G257200.v4.1 MASQSRRGGISLPERRGRGSSKQEPNILAKINNSQIVSKGKEAACDAVFVAKKLLKSTGKAAWIAGTTFLILAVPLIIEMDREQQLNELELQQQSLLGAPPVGPPLPK >Potri.001G447900.2.v4.1 pep chromosome:Pop_tri_v4:1:47344753:47345826:1 gene:Potri.001G447900.v4.1 transcript:Potri.001G447900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G447900.v4.1 MSDGLGMLQLLNAIGEMARGAQSPSILPVWQRELLCARNPPRVTCRHNEYGNDAPFAVDPIAKVPEFRGEVHAVAHRSFVLNRKELSNIRRWIPSHLHPCSNFEVISACLWRCYAIASQANPNEEMRMQMLVNARSKFNPPLPKGYYGNVIALPAAVTNARKLCLNSLGYALEMIRNAKNRITEEYMRSLADQVDYGWGNTIYSGPPKAMPDEISIAGTFVLPYRFKNGERGVMVLVSLRAPVMERFAILLEELARHDPERSQGQQEMIRSSL >Potri.001G454700.1.v4.1 pep chromosome:Pop_tri_v4:1:48109581:48111888:-1 gene:Potri.001G454700.v4.1 transcript:Potri.001G454700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454700.v4.1 MARLSHSIAILTKLQVSCIFILLTTVIPNVEARTHNLTWKVTYEYKYLDCFKKLAIAINGQTPGPHINATRGDTIIVTVLNNLLMENVAIHWHGIRQLGNGWNDGTDSVNQCAAMPGGYFTYQFVVDQAGTYMYHSHYGMQKSSGLYGLITVNPLLGEETPYHYEDREIILSDWYHDSAYEHAVKLSSKPYVWIGEPQSLLINGRGRYQCELLGVSNRDRCNGSSPECTPDVVKVKPEQTYRFRIASLTSLSALSFQIEGHKMTVVETDGVHVVPFVTDNLYIYSGETYSVLVTTDQNHSRNYGISINVIARKPETPNGFAILNYEPNPLDAQHLPQPPPGPLWNDTDSQRNQSLAIKGLRGYVPAPPKTADKVLHLLNTQNTVNGYKVWAVNNVSHALPDTPYLVALKLNIPDVFDPTPAPEDYDHSYNIYEIPENTNATTSTSIYRLPFNKTVDIILQNAKGMGGDSETHPWHLHGHNFWVLGFGKGKFNPSTASLNLENPIMKNTVPLFPYGWTALRFRTDNPGIWLFHCHIEAHFYLGMLVLFESGSDMVTKPPQQNMGCGKTKNYINP >Potri.011G144900.1.v4.1 pep chromosome:Pop_tri_v4:11:17373222:17376615:1 gene:Potri.011G144900.v4.1 transcript:Potri.011G144900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G144900.v4.1 MSRSLFSLLNLFSCNSCTSFRFPGNMGFSQNLFLIITASVVLVDVATACSNGQCKILDECSSNQDCGAGLYCFSCPAGFSGSRCVRSTITNQFKLLNNSLPFNKYAFLTTHNAFAIDGYPSHTGIPRITVTNQEDSITEQLNNGARALMLDTYDFRGDVWLCHSFKGQCYDFTAFGPAIDTLKEIEAFLSANPTEIVTLILEDYVQAPNGLTKVFADAGLKKYWFPVSKMPKNGQDWPLVSDMVQNNQRLLVFTSIQSKEASEGIAYQWNYMVENQYGDDGMKAGSCPNRKESPPLDDKSRSLVLVNYFRSISMKKLSCEDNSENLMNMLRTCDGAAASRWANFVAVNYYKRSEGGGSFQAVDLLNGKLLCGCDDIHACVPGSTSGACSL >Potri.005G226000.4.v4.1 pep chromosome:Pop_tri_v4:5:22687314:22692075:1 gene:Potri.005G226000.v4.1 transcript:Potri.005G226000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226000.v4.1 MSRGKGDGDQKKRLVTWIVVLGIICGCVYLFSRNSGTSALEYGSKSLRKLGSSYLGGDDDGDEASSKSGEEVQGDVILKSIPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPVPERRFNCLIPPPPGYKVPIKWPKSRDEVWKVNIPHTHLASEKSDQNWMVVKGNKISFPGGGTHFHYGADKYIASIANMLNFSNNILNNEGRLRTVLDVGCGVASFGGYLLSSDIISMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVERMCWKIAAKRNQTVIWVKPLTNDCYKEREPGTQPPLCKSDDDPDAVWGVPMKACITPYSDQQHKAKGTGLAPWPARLTTPPPRLADFGYSAEMFEKDTEVWQHRVENYWNLLSPKIQPDTLRNLMDMKANLGSFAAALKSKDVWVMNVVPEDGPNTLKIIYDRGLMGSVHSWCESYSIYPRTYDLLHAWTVFSDITKKDCSAVDLLIEMDRILRPTGFIIIRDSPSVVEFVKKHMSALHWEAVATGDAEENEQGEDEVVFIVQKKMWLTSKSFSVTE >Potri.005G226000.8.v4.1 pep chromosome:Pop_tri_v4:5:22687666:22691824:1 gene:Potri.005G226000.v4.1 transcript:Potri.005G226000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G226000.v4.1 MSRGKGDGDQKKRLVTWIVVLGIICGCVYLFSRNSGTSALEYGSKSLRKLGSSYLGGDDDGDEASSKSGEEVQGDVILKSIPVCDDRHSELIPCLDRNLIYQTRLKLDLSLMEHYERHCPVPERRFNCLIPPPPGYKVPIKWPKSRDEVWKVNIPHTHLASEKSDQNWMVVKGNKISFPGGGTHFHYGADKYIASIANMLNFSNNILNNEGRLRTVLDVGCGVASFGGYLLSSDIISMSLAPNDVHQNQIQFALERGIPAYLGVLGTKRLPYPSRSFEFAHCSRCRIDWLQRDGILLLELDRLLRPGGYFAYSSPEAYAQDEEDLRIWREMSALVERMCWKIAAKRNQTVIWVKPLTNDCYKEREPGTQPPLCKSDDDPDAVWGVPMKACITPYSDQQHKAKGTGLAPWPARLTTPPPRLADFGYSAEMFEKDTEVWQHRVENYWNLLSPKIQPDTLRNLMDMKANLGSFAAALKSKDVWVMNVVPEDGPNTLKIIYDRGLMGSVHSWCESYSIYPRTYDLLHAWTVFSDITKKDCSAVDLLIEMDRILRPTGFIIIRDSPSVVEFVKKHMSALHWEAVATGDAEENEQGEDEVVFIVQKKMWLTSKSFSVTE >Potri.018G058600.1.v4.1 pep chromosome:Pop_tri_v4:18:5883104:5885401:1 gene:Potri.018G058600.v4.1 transcript:Potri.018G058600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G058600.v4.1 MMIGEHPHHHPNPTVQIPQWDPYDDQTTSPSPSTIPSSPFTNFNALDSLTALHRYLPSNEPDPSFEDELDLPVDAFSCDHFRMYVFKVRRCGRGRSHDWTECPYAHPGEKARRRDPQRYHYSGTACPEFRKGGCKKGDSCEFAHGVFECWLHPARYRTQPCKDGPACRRRVCFFAHTPEQLRLLPQHSPKGNGSGSWELDFGSLVMHPLDSYLTKAGSFVSSPTSILTSPPVSPPSDSPPMSPVSPQVIGGSGSGSFNSMSELLASMRGLQLGKMKIGSPVGSWGVQSGSRFGSPRGSSLRPGFCSLPSTPTRTMVTRSGLGQLDIWGDSSTCEEEPAMERVESGRDLRAKIYAKLSKENSLDPDRVESAVSGPDVGWVSELVK >Potri.002G151300.1.v4.1 pep chromosome:Pop_tri_v4:2:11553077:11555592:1 gene:Potri.002G151300.v4.1 transcript:Potri.002G151300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G151300.v4.1 MVMPPTLPPPRQPLVFDASILQHQANIPSQFIWPDHEKPCLESPKLAIPPIDFGSFLTGDPLAVSKATQLVNEACKKHGFFLVVNHGVDSKLIAKAHEYMNMFFGIQLSEKQRAQRKIGEQYGYASSFTGRFSSKLPWKETLSFRYCADNQSSDIVQEYFLNVMGENFKQFGKVYQEYCEAMNTLSLGIMELLGVSLGVGREYFRDFFEGNDSIMRLNYYPPCQKPDLTLGTGPHCDPTSLTILHQDHVGGLQVFVDEKWHSVSPDPEAFVVNIGDTFTALSNGIFKSCLHRAVVNNVTVRKSLAFFLCPKLDKVVKPPNTLIDSKNPRVYPDFTWPTLSEFTQKHYRADMKTLDVFTTWLQQKNN >Potri.007G079500.1.v4.1 pep chromosome:Pop_tri_v4:7:10394374:10399318:-1 gene:Potri.007G079500.v4.1 transcript:Potri.007G079500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G079500.v4.1 MAFSSRLLSRSKQLYGSQAILNQQHAFPVRYYAKEAAPAGLKGDEMLKDIFRDIKQKFETAIGVLRKEKITIDPENPAAVSHYAKVMKTIREKAGLFSESQRIQFTIEEETQDIPDARTFFLKLQEIRTKRGLSDELGVEAMTMDALEKVEKEIKQPLMRNDKKGMALLMAEFDKVNKKLGVRREDLPKYEEELELKIAKAQLEELKKDAVEAMETQRKREEFKNEKEVDVRSLDIRNFL >Potri.004G066600.2.v4.1 pep chromosome:Pop_tri_v4:4:5708038:5714679:-1 gene:Potri.004G066600.v4.1 transcript:Potri.004G066600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066600.v4.1 MGGQCAHFGTRVMRSSKLLTHFTSNSRSFSTASFAGLTSTSTSTTPSANNHTDPAKDDDQQQPLQSHKIVDTLYNLKNQPHLAFSIFSHLKNPDIPAYAAIIRILCHWGLHKMLHSIFLHLHQNNNDFTSFDISHLLDTLSLPHHIDIDLEKEDTVKHRSSFLIQVYDALVKSYVTAGMLDEAINALFQIKRRGFLPHIFTFNYLMNKLIANGKVDAALAIYKQLKSLGLNPNDYTYSIIIKAFCRKGSLVEASNVFQEMELCGVIPNAYAYTTYIEGLCANQRSDFGYQVLQAWKEGNIPIDVYAYVAVIRGFCNEMKMDRAEVVLGDMEKQELISDARCYSELIRGYCKAGDLSKALALHNDMESKGIKTNCVIVSTILQYFCEKGMHSQVVEEFKRFKDLRIFLDEVSYNIVVDALCKLEKVDQAVALLDEMKGKQMDMDIMHYTTLINGYCHVGKLVDAFRVFEEMEGKGLEPDVVTFNILLAAFSRRGLANEALKLYEYMKSQDLKPNAITHNVMIEGLCIGGKVTEAEAFFCNMEDKSIDNYGAMITGYCEAKHTEKASELFFELSERGLLMDRGYIYKLLEKLCEEGEKDRALWLLKTMLDLNMEPSKDMYGKVITACYRAGDMRNAEAVFDILRKSGLTPDIFTYTTMINVCCRQNRLSEARNLFQDMKHRGIKPDLVTFTVLLDGHLKRVHSEAFARKRKEVNLAASNIWKEMQNTEIRPDVICYTALIDGHCKVDRLEDAIGLYDEMMYRGVEPDRATCTALLSGCRNRGDVDMVLTKLNLINLTSVKEM >Potri.004G066600.3.v4.1 pep chromosome:Pop_tri_v4:4:5708038:5714662:-1 gene:Potri.004G066600.v4.1 transcript:Potri.004G066600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066600.v4.1 MGGQCAHFGTRVMRSSKLLTHFTSNSRSFSTASFAGLTSTSTSTTPSANNHTDPAKDDDQQQPLQSHKIVDTLYNLKNQPHLAFSIFSHLKNPDIPAYAAIIRILCHWGLHKMLHSIFLHLHQNNNDFTSFDISHLLDTLSLPHHIDIDLEKEDTVKHRSSFLIQVYDALVKSYVTAGMLDEAINALFQIKRRGFLPHIFTFNYLMNKLIANGKVDAALAIYKQLKSLGLNPNDYTYSIIIKAFCRKGSLVEASNVFQEMELCGVIPNAYAYTTYIEGLCANQRSDFGYQVLQAWKEGNIPIDVYAYVAVIRGFCNEMKMDRAEVVLGDMEKQELISDARCYSELIRGYCKAGDLSKALALHNDMESKGIKTNCVIVSTILQYFCEKGMHSQVVEEFKRFKDLRIFLDEVSYNIVVDALCKLEKVDQAVALLDEMKGKQMDMDIMHYTTLINGYCHVGKLVDAFRVFEEMEGKGLEPDVVTFNILLAAFSRRGLANEALKLYEYMKSQDLKPNAITHNVMIEGLCIGGKVTEAEAFFCNMEDKSIDNYGAMITGYCEAKHTEKASELFFELSERGLLMDRGYIYKLLEKLCEEGEKDRALWLLKTMLDLNMEPSKDMYGKVITACYRAGDMRNAEAVFDILRKSGLTPDIFTYTTMINVCCRQNRLSEARNLFQDMKHRGIKPDLVTFTVLLDGHLKRVHSEAFARKRKEVNLAASNIWKEMQNTEIRPDVICYTALIDGHCKVDRLEDAIGLYDEMMYRGVEPDRATCTALLSGCRNRGDVDMVLTKLNLINLTSVKEM >Potri.004G172100.1.v4.1 pep chromosome:Pop_tri_v4:4:18738779:18742612:-1 gene:Potri.004G172100.v4.1 transcript:Potri.004G172100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172100.v4.1 MPSKKNKRKRPERPTIHPKNKYSDNPPDFSVLASLYPSLKPFVFYSRDGRPRIDWTDYNSTRELTRVLLLHDHGLNWWIPDGQLCPTVPNRSNYIHWIEDLLSSDIIPKNNNNGDIVRGFDIGTGANCIYPLLGASLLGWTFVGSDVTDVAVEWAEKNVKCNQHISELIEIRKVTDCQGTISIEDSNCGESVNCENKMDGNATVVEEAKLLPSSSFDPPLDMNKYSGPPLLLGVVRDGEKFDFCMCNPPFFETMEEAGLNPKTSCGGTPEEMVCPGGEKAFITCIIEDSVVLKESFRWFTSMVGRKVNLKFLTSKLREVGVTIVKTTEFVQGQTCRWGLAWSFVPPVRKIISPLVQKNSLSFTLEGLQRQFSAFHVLQSIESFFCLGGASCKLNASSFVVDITASNDQCKAILRDEAKHPNGAATCNPLEASHSSSYLHPPSNNLSFCISVFQQIPGTLLVKASLQHGDGPVPGVFSLTIQQLEKTLRCKFCREKATHN >Potri.001G136000.2.v4.1 pep chromosome:Pop_tri_v4:1:11077504:11084735:1 gene:Potri.001G136000.v4.1 transcript:Potri.001G136000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136000.v4.1 MVSSDMDKTGKEKEAKPPSAAPTQEQPSTASATTVNPDWSGFQAYSPIPPPGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGVYAHPSIPPGSYPFSPFAMPSPNGIAEASGNTPGSMEADGRPSDAKEKLPIKRSKGSLGSLNMITGKNNEHGKTTGVSANGAYSKSAESGSEGSSEGSDANSQSDSQMKSGGRQDSLEDSSQNGGSAHGAQNGGQGASNTIMNQTMAIMPISAASAPGAIPGPTTNLNIGMDYWGAPASSTVPAIRGKVPSTPVAGGVVSTGSRDGVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNQIKSEYEQLLAENASLKERLGEVSGQEDFRAGRNDQHMSNDTQQTGQT >Potri.001G136000.3.v4.1 pep chromosome:Pop_tri_v4:1:11077527:11084781:1 gene:Potri.001G136000.v4.1 transcript:Potri.001G136000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G136000.v4.1 MVSSDMDKTGKEKEAKPPSAAPTQEQPSTASATTVNPDWSGFQAYSPIPPPGFLASSPQAHPYMWGVQHIMPPYGTPPHPYVAMYPHGVYAHPSIPPGSYPFSPFAMPSPNGIAEASGNTPGSMEADGRPSDAKEKLPIKRSKGSLGSLNMITGKNNEHGKTTGVSANGAYSKSAESGSEGSSEGSDANSQSDSQMKSGGRQDSLEDSSQNGGSAHGAQNGGQGASNTIMNQTMAIMPISAASAPGAIPGPTTNLNIGMDYWGAPASSTVPAIRGKVPSTPVAGGVVSTGSRDGVQSQIWLQDERELKRQRRKQSNRESARRSRLRKQAECDELAQRAEALKEENANLRSEVNQIKSEYEQLLAENASLKERLGEVSGQEDFRAGRNDQHMSNDTQQTGQT >Potri.001G347600.1.v4.1 pep chromosome:Pop_tri_v4:1:35911949:35913937:-1 gene:Potri.001G347600.v4.1 transcript:Potri.001G347600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347600.v4.1 MSVSLLPIDHLSMPKAFQIHASYFNPSTTISRCRHKCFPVRCCDRRREGSARTKKNYYELLGVSVDSSTQKIKEAYRKLQKKYHPDIAGHKGHEYALMLNEAYNVLMTDDLRTKYDASIGHMTVQIGKNNYVNVMGSSSWKGPLRPQALFVDENACIGCRECVHHASNTFILDESIGCARVKTQYGDDDQKIEVSIESCPVNCIYWVDREELALLEFLIQPQLKQGYGVFGQGWERTANVFMAAKTLSKQLRQQAEHNHNNVRTTVEEETPAQAEARANASLKIKMESFSKIWDSLNGIFG >Potri.010G172400.1.v4.1 pep chromosome:Pop_tri_v4:10:17346312:17353448:1 gene:Potri.010G172400.v4.1 transcript:Potri.010G172400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G172400.v4.1 MAFSCLLRSTPAAPLVEASRSDFSPSPSDRFKVSSVSFNSLKSIFGTSIPTGSSSSQTCSGRSIQPIKATATEMPPTVLKSRADGKTKIGINGFGRIGRLVLRVATFRDDIDVVAVNDPFIDANYMAYMFKYDSTHGVFSGTIKVLDDSNLEINGKQIKITSKRDPTEIPWGDFGAEYVVESSGIFTTVEKAAAHMKGGAKKVVISAPSADAPMFVVGVNEKTYKPNMDIVSNASCTTNCLAPLAKVVHEEFGIIEGLMTTVHATTATQKTVDGPSRKDWRGGRGAAQNIIPSSTGAAKAVGKVLPELNGKLTGMAFRVPTPNVSVVDLTCRLEKSASYEDVKAAIKYASEGPLKGILGYTDDDVVSSDFLGDSRSSIFDAKAGIGLSASFMKLVSWYDNEWGYSNRVLDLIEHMALVAAHN >Potri.T005501.2.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:1978:8750:1 gene:Potri.T005501.v4.1 transcript:Potri.T005501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T005501.v4.1 MNRYEAEFIKRIVSDVACKLGNKTLHVAKHPVGIYSRVQGIISLLKGAKPDVGIVGIHGIAGIGKTTIAKAVFNKLYFGFEGSSFLLDVKEISDKPNGLVELQERLLHDILKPNVWKLSNVYEGMNLIKERLHRKKILVVFDDVDKREQLEALMGERCWFGAGSIIIVVTKNKHLLAEVGVDEMYHAKELDRDQSLQLFSLHAFRETHPAKNYEELSGKVVDYCKGLPLALQILGSHLSIRDKAGWEIDIAHWKNTPHDDIQGKLRVSFDALNVDTSEIFLDIACYFVGRDKEYVADIVGARYDCHPEVAFRTLIGRSLITIDTEKQNRLRMHDILRKMGREIIRQRSRNRPGNCSRIWLPKDAYNVLSKEMGTDAVEGLALDVQESFNTKSFTKMRRLKLLQINEAHLVGSYSLLPKELIWLCWSRCPLKSLPSDFHLNDLVILDMQESKVRKLWKGTKILTKLKILNLSSCPYLAKTPNFQGLSSLERLILTGCPSLVEVHQSIGNLKSLVLLNLVYCDSLKTLPESMGNLKSLQTLNVAECSQLEKLPESLGDIESLTELFTKGTSIKQLPTSARYLKKLTKLSFGGYNKVFYSPDLPSKSWFSRFSSWLSPQSCSCSIDMLPASFTSFSSLKELNLSYSGLSEATSSIDLGSLCFLENLNLSGHEFFNLPSSISRLSKLQFLTVERCSNLLSISELPSSVLFLSINDCTSIERVRAPLQHERLPLLNVKGCRNLIEIQGMECAGNNWSILNLNGCNNLSENYKMSLIQGLCKGKHYDICLDGGEIPEWFSHRGEGSALSFHFSVPDGNKLQALLLWVVLSASTNEATRESSFLQFDMCVATFKNKSNGIELFETMAAVTFDRTITKHSWIQHIPLIGLEESLQGVEELEVNVKISLYDVPKCWVEKCGVHLIMEKNKADSDQEIDINALGSDDQLLESSLTRELQKWKITGCSKFG >Potri.T005501.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:621:8748:1 gene:Potri.T005501.v4.1 transcript:Potri.T005501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T005501.v4.1 MASTCSESPFFSSSSSSRHRWNYDVFLSFRGKDTRKNFTDHLYTALIQAGIHTFRDDNELPRGEEISPQLVKAIEGSRISIVVFSKQYASSRWCLDELVKIVECRQKIDQVVLPIFYDTEPSDVRKQTGSYAKAFDEHEEHFKEEMEKVNKWRGALAEAGNLSGWGLNNEANGYEAEFIKRIVSDVACKLGNKTLHVAKHPVGIYSRVQGIISLLKGAKPDVGIVGIHGIAGIGKTTIAKAVFNKLYFGFEGSSFLLDVKEISDKPNGLVELQERLLHDILKPNVWKLSNVYEGMNLIKERLHRKKILVVFDDVDKREQLEALMGERCWFGAGSIIIVVTKNKHLLAEVGVDEMYHAKELDRDQSLQLFSLHAFRETHPAKNYEELSGKVVDYCKGLPLALQILGSHLSIRDKAGWEIDIAHWKNTPHDDIQGKLRVSFDALNVDTSEIFLDIACYFVGRDKEYVADIVGARYDCHPEVAFRTLIGRSLITIDTEKQNRLRMHDILRKMGREIIRQRSRNRPGNCSRIWLPKDAYNVLSKEMGTDAVEGLALDVQESFNTKSFTKMRRLKLLQINEAHLVGSYSLLPKELIWLCWSRCPLKSLPSDFHLNDLVILDMQESKVRKLWKGTKILTKLKILNLSSCPYLAKTPNFQGLSSLERLILTGCPSLVEVHQSIGNLKSLVLLNLVYCDSLKTLPESMGNLKSLQTLNVAECSQLEKLPESLGDIESLTELFTKGTSIKQLPTSARYLKKLTKLSFGGYNKVFYSPDLPSKSWFSRFSSWLSPQSCSCSIDMLPASFTSFSSLKELNLSYSGLSEATSSIDLGSLCFLENLNLSGHEFFNLPSSISRLSKLQFLTVERCSNLLSISELPSSVLFLSINDCTSIERVRAPLQHERLPLLNVKGCRNLIEIQGMECAGNNWSILNLNGCNNLSENYKMSLIQGLCKGKHYDICLDGGEIPEWFSHRGEGSALSFHFSVPDGNKLQALLLWVVLSASTNEATRESSFLQFDMCVATFKNKSNGIELFETMAAVTFDRTITKHSWIQHIPLIGLEESLQGVEELEVNVKISLYDVPKCWVEKCGVHLIMEKNKADSDQEIDINALGSDDQLLESSLTRELQKWKITGCSKFG >Potri.001G343900.1.v4.1 pep chromosome:Pop_tri_v4:1:35488362:35494623:-1 gene:Potri.001G343900.v4.1 transcript:Potri.001G343900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343900.v4.1 MGSSNVFYSGEFNLDAKWLVDPKLLFVGPKIGEGAHAKVYEGKYKNQNVAIKIVHGGETPEEIAKRQARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLEMRVAFGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNARPNFSQIIQMLLHYLSTISAPEPAIPARIFTSENAVFPPESPGTSSLMAVRDDSGETPRTQIEDKPRGFFFCFNQCY >Potri.001G343900.2.v4.1 pep chromosome:Pop_tri_v4:1:35488363:35494525:-1 gene:Potri.001G343900.v4.1 transcript:Potri.001G343900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G343900.v4.1 MLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLEMRVAFGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNARPNFSQIIQMLLHYLSTISAPEPAIPARIFTSENAVFPPESPGTSSLMAVRDDSGETPRTQIEDKPRGFFFCFNQCY >Potri.005G106800.1.v4.1 pep chromosome:Pop_tri_v4:5:7696136:7698003:-1 gene:Potri.005G106800.v4.1 transcript:Potri.005G106800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G106800.v4.1 MLSSTESIIPFSAFWLRSSVVSVLISLISDTWANGSHDIKFIFLGGGSITVACYWGSRASPLRCTIALAWRSPPTLVPKALICSV >Potri.017G085200.2.v4.1 pep chromosome:Pop_tri_v4:17:9805931:9808289:-1 gene:Potri.017G085200.v4.1 transcript:Potri.017G085200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085200.v4.1 MEMTLQHSHNITCCHLENDGIPSHYTTSTATPCNSSAMETLNDMGSLSVDPSHRMPLNICSSVCFDMGQRGFALEGKSCSNRLENNQCSEEVGDGGKEQKHKAARIENIEESLVNGKDIMEGREPKICNRGHWRPAEDSKLKELVALYGPQNWNLIAEKLRGRSGKSCRLRWFNQLDPKINRTAFNEDEEERLMAAHRVYGNKWALIARFFPGRTDNAVKNHWHIVMARKYREQSCIYRRRKRTQAVQRRVDNAGDYVSRNTVKNTDPNSNIICNSRIIKPSCLPFSPLIGGCNSTYGKMTAELLFSRSHHGSLAEETSRFLSGHQMHYNMLRDSDTCTVFYAMQQSSNRHFPGFSDAMASSATQATVSEPSSSSLSVAENTEASSFEITTTSPPFIDFLGVGATGSARVSKTCNMYLRSNCVAYGSTGIIEGKEK >Potri.017G085200.3.v4.1 pep chromosome:Pop_tri_v4:17:9805965:9808289:-1 gene:Potri.017G085200.v4.1 transcript:Potri.017G085200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085200.v4.1 MEMTLQHSHNITCCHLENDGIPSHYTTSTATPCNSSAMETLNDMGSLSVDPSHRMPLNICSSVCFDMGQRGFALEGKSCSNRLENNQCSEEVGDGGKEQKHKAARIENIEESLVNGKDIMEGREPKICNRGHWRPAEDSKLKELVALYGPQNWNLIAEKLRGRSGKSCRLRWFNQLDPKINRTAFNEDEEERLMAAHRVYGNKWALIARFFPGRTDNAVKNHWHIVMARKYREQSCIYRRRKRTQAVQRRVDNAGDYVSRNTVKNTDPNSNIICNSRIIKPSCLPFSPLIGGCNSTYGKMTAELLFSRSHHGSLAEETSRFLSGFSDAMASSATQATVSEPSSSSLSVAENTEASSFEITTTSPPFIDFLGVGATGSARVSKTCNMYLRSNCVAYGSTGIIEGKEK >Potri.017G085200.1.v4.1 pep chromosome:Pop_tri_v4:17:9805981:9808289:-1 gene:Potri.017G085200.v4.1 transcript:Potri.017G085200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G085200.v4.1 MEMTLQHSHNITCCHLENDGIPSHYTTSTATPCNSSAMETLNDMGSLSVDPSHRMPLNICSSVCFDMGQRGFALEGKSCSNRLENNQCSEEVGDGGKEQKHKAARIENIEESLVNGKDIMEGREPKICNRGHWRPAEDSKLKELVALYGPQNWNLIAEKLRGRSGKSCRLRWFNQLDPKINRTAFNEDEEERLMAAHRVYGNKWALIARFFPGRTDNAVKNHWHIVMARKYREQSCIYRRRKRTQAVQRRVDNAGDYVSRNTVKNTDPNSNIICNSRIIKPSCLPFSPLIGGCNSTYGKMTAELLFSRSHHGSLAEETSRFLSAGHQMHYNMLRDSDTCTVFYAMQQSSNRHFPGFSDAMASSATQATVSEPSSSSLSVAENTEASSFEITTTSPPFIDFLGVGATGSARVSKTCNMYLRSNCVAYGSTGIIEGKEK >Potri.012G111600.1.v4.1 pep chromosome:Pop_tri_v4:12:13121850:13127668:-1 gene:Potri.012G111600.v4.1 transcript:Potri.012G111600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111600.v4.1 MQDMGREDHHSDSEMSGSERLAYSGPLSGPLNKRPGRKSARFSIPGTTTSKDEQYVEITLDVRNDSVAVHSVKAANGVEEDPEMALLAKGLEKRSASNVLRTASARIRQVSHEIKRLASFSKRPPPGRLDRSKSAAAHALKGLKFISKTDGGAGWAAVEKRFDEITASTDGLLPRARFCECIGMKESKDFAGELFNALARKRNMHCDSISKAELREFWDQISNQSFDSRLQTFFDMVDKDADGRITEEEVREIITLSASANKLSNIQKQAEEYAALIMEELDPENHGYILIENLEMLLLQGSNQSVRGESRNLSHMLSQKLKPTLDSNPLNRWCRSTKYFLLDNWQRVWVMALWIVFMASLFAYKYIQYRRREAYEVMGHCVCMAKGAAETLKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGIAVGVGIHGISHLACDFPRLLQASEEKWELMQQFFGDQPSSYWHFVKSKEGVTGIVMVVLMAIAFTLATPWFRRNKLNLPTWLKKLTGFNAFWYSHHLFVIVYTLLVVHGYYLYLTHKWYKKTTWMYLAVPVILYGSERLIRALRSSIKAVTIQKVAIYPGNVLALHMSKPQGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQPPPDGKSGLLRSDCFQGHNSNLPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVSNIRTMEEEENAVENGAGGIGNSPSTKIPSPYTQKRKENFKTRRAYFYWVTREQGSFDWFKGVMNEVAEIDHNHVIELHNYCTSVYEEGDARSALIAMLQSINHAKNGVDIVSGTRVKSHFAKPNWRNVYKRTALNHPDSRVGVFYCGAPALTKELRQLALDFSHKTSTKFDFHKENF >Potri.012G111600.2.v4.1 pep chromosome:Pop_tri_v4:12:13121873:13127846:-1 gene:Potri.012G111600.v4.1 transcript:Potri.012G111600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111600.v4.1 MQDMGREDHHSDSEMSGSERLAYSGPLSGPLNKRPGRKSARFSIPGTTTSKDEQYVEITLDVRNDSVAVHSVKAANGVEEDPEMALLAKGLEKRSASNVLRTASARIRQVSHEIKRLASFSKRPPPGRLDRSKSAAAHALKGLKFISKTDGGAGWAAVEKRFDEITASTDGLLPRARFCECIGMKESKDFAGELFNALARKRNMHCDSISKAELREFWDQISNQSFDSRLQTFFDMVDKDADGRITEEEVREIITLSASANKLSNIQKQAEEYAALIMEELDPENHGYILIENLEMLLLQGSNQSVRGESRNLSHMLSQKLKPTLDSNPLNRWCRSTKYFLLDNWQRVWVMALWIVFMASLFAYKYIQYRRREAYEVMGHCVCMAKGAAETLKLNMALILLPVCRNTLTWLRNKTKLGVVVPFDDNLNFHKVIAVGIAVGVGIHGISHLACDFPRLLQASEEKWELMQQFFGDQPSSYWHFVKSKEGVTGIVMVVLMAIAFTLATPWFRRNKLNLPTWLKKLTGFNAFWYSHHLFVIVYTLLVVHGYYLYLTHKWYKKTTWMYLAVPVILYGSERLIRALRSSIKAVTIQKVAIYPGNVLALHMSKPQGFRYKSGQYMFVNCAAVSPFEWHPFSITSAPGDDYLSVHIRTLGDWTRQLRTVFSEVCQPPPDGKSGLLRSDCFQGHNSNLPRVLIDGPYGAPAQDYKKYEVVLLVGLGIGATPMISIVKDIVSNIRTMEEEENAVENGAGGIGNSPSTKIPSPYTQKRKENFKTRRAYFYWVTREQGSFDWFKGVMNEVAEIDHNHVIELHNYCTSVYEEGDARSALIAMLQSINHAKNGVDIVSGTRVKSHFAKPNWRNVYKRTALNHPDSRVGKSTSPIL >Potri.008G018300.1.v4.1 pep chromosome:Pop_tri_v4:8:915822:918872:1 gene:Potri.008G018300.v4.1 transcript:Potri.008G018300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G018300.v4.1 MKRVFGVKKDKEPPPSIQDSSDRINKRGETVDEKIKKLDAELARYKEQIKNTRPGPAQEAVKSRAMRVLKQKRMYEGQRDMLYNQTFNLDQVAFASEGIKDAQQTVSALKSANKELKGMMKTVKIQDIDNLQDEMMDLMDVSSEIQETLGRSYSVPDDIDEEDLMGELDALEADMAMETESDGVPSYLQPDKEPDFDSELNLPSAPTGHAAPAGRANNQAEDELGLPAVPRASLRG >Potri.016G091600.1.v4.1 pep chromosome:Pop_tri_v4:16:7498975:7504088:-1 gene:Potri.016G091600.v4.1 transcript:Potri.016G091600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091600.v4.1 MSNQAESSDSKGTKRDFSTAILERKKSPNRLVVDEAINDDNSVVSLHPETMEKLQLFRGDTILIKGKKRKDTICIALADDSCDEPKIRMNKVVRSNLRVRLGDVVSVHQCPDVKYGKRVHILPIDDTIEGVTGNLFDAYLKPYFLEAYRPVRKGDLFLVRGGMRSVEFKVIETDPPEYCVVAPDTEIFCEGEPVRREDEDRLDEVGYDDVGGVRKQMAQIRELVELPLRHPQLFKSIGVKPPKGILLYGPPGSGKTLIARAVANETGAFFFCINGPEIMSKLAGESESNLRKAFEEAEKNAPSIIFIDEIDSIAPKREKTNGEVERRIVSQLLTLMDGLKSRAHVIVMGATNRPNSIDPALRRFGRFDREIDIGVPDEVGRLEVLRIHTKNMKLAEDVDLERIAKDTHGYVGADLAALCTEAALQCIREKMDVIDLEDETIDAEILNSMAVTDEHFKTALGISNPSALRETVVEVPNVSWEDIGGLENVKRELQETVQYPVEHPEKFEKFGMSPSKGVLFYGPPGCGKTLLAKAIANECQANFISVKGPELLTMWFGESEANVREIFDKARQSAPCVLFFDELDSIATQRGSSVGDAGGAADRVLNQLLTEMDGMTAKKTVFIIGATNRPDIIDPALLRPGRLDQLIYIPLPDEESRFQIFKSCLRKSPVSKDVDLRALAKYTQGFSGADITEICQRACKYAIRENIEKDIEKERRQKENPEAMEEDVEDEVAEIKAAHFEESMKYARRSVSDADIRKYQAFAQTLQQSRGFGTEFRFAEASAGSDPFAASAGGADEDDLYS >Potri.003G037900.1.v4.1 pep chromosome:Pop_tri_v4:3:4125086:4126874:-1 gene:Potri.003G037900.v4.1 transcript:Potri.003G037900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G037900.v4.1 MAGRNRIPRELYNDRRGFIVERPFIRGHPMPQPAFLEEELEMQHAEIRRLLGDNRRLIEDRMGLQQELGAAKEELHRMNIVIAEIRAEQDVLIKKGLKLEADLRVTEPLKNETVQLRAEIQKLSSSKQELVGQVQTLKQDVARLQADNHQIPLLRGEIEGLHQELMHTRAAIEYEKKANIELVEQRQSMEKNLVSMAREVEKLRVELSSSDNRPPWSAAAGGSYGMKFGIPEGAFPPPYGDGYAVHLGAADKGPFYGPGPASWEKPRMPRR >Potri.015G035300.1.v4.1 pep chromosome:Pop_tri_v4:15:2939954:2951896:1 gene:Potri.015G035300.v4.1 transcript:Potri.015G035300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G035300.v4.1 MPVIVQAPSCCFWPNLNPISNPAIRPIPANSFSITPPLHFLKTNNFHSPNVTSAKGHRFFNTASVRAAASARADYYSTLNVSRNATLQEIKSSYRKLARKYHPDMNKGAGAEDKFKEISAAYEVLSDDEKRSLYDRFGEAGLQGEFDGSGSGSQEVDPFEIYNTFFGGSDGFFGGRGGDGVLNFNFRNMGNQDLDIRYDLYLSLEESVFGGQREIEVSFFETCDSCSGTGAKSSSCIKSCADCGGRGGVVKTQRTPFGMMSQVSTCAKCSGEGEVITDHCRKCGGNGKIRSKRSMKVVFPAGVNDGATMRIQGEGSFNKKRGIAGDLFISLHVNEKQGIWRNGLNLYSKINVDYTQAILGTVLKVETVEGLKDLQIPSGIQPGDVVKLLRLGVPDINKPSVRGDHHFIVNILIPKDISNKERVLVEELASLKSCSKEHAISSNSSGTFESNNDHIRDPKDNASGHRVKSTASLWNSIKGFLGQKQSRERFASVTMTNTSLLWRSRKPDFSIMLSVITVSVLTCVLTSMRKIQRNNSSHIQNIRKK >Potri.018G001301.1.v4.1 pep chromosome:Pop_tri_v4:18:96018:102740:-1 gene:Potri.018G001301.v4.1 transcript:Potri.018G001301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G001301.v4.1 MNFSVDRIPPPPPFLKKRDDELKLNTNLAALKVSLSVVCLVAYHRGSGEKCFACTGTIVECEGAAGDDGKFDATILTSASLLHSYRDPSQITVEVHLSDGSSYEGEVSAFDLHYNIATVKFKPDKPPQKACLKWIDDSMSLQPDNDHTMVEANFFNLCPGDKVIALARVQDHHHQLLVSSGDFSIYCCGLDCQELLMTTCEITTHFIGGPLINWDGEVIGINFFWKGQTPFLPINIAFRCLDHLNKKRSVPHPWLGMEFTNLYAADVVTLEEIVQLFPLVCKGVIVEEVTEESPADRAEIQPNDVIIKCDREVVSCSLKFFGMIWDKVGKSMELEVMRAGVCGPLKLAILADDLLPDSYNSWPI >Potri.002G064700.1.v4.1 pep chromosome:Pop_tri_v4:2:4428547:4432037:1 gene:Potri.002G064700.v4.1 transcript:Potri.002G064700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064700.v4.1 MAPSSSKANKKGAADAAAWMFNVVTSVGIIIVNKALMATYGFSYATTLTGMHFATTTLMTGVLRWLGYIQASHLPYPELLKFVLFANFSIVGMNVSLMWNSVGFYQIAKLTMIPVSCLLEVLFDKIRYSRDTKLSIGVVLLGVGVCTITDVSVNAKGFIAAFIAVWSTSLQQYYVHYLQRKYSLSSFNLLGHTAPAQAATLLLLGPFLDYWLTNKRIDTYDYSAVSVMFIVISCTIAVGTNLSQFICIGRFTAVSFQVLGHMKTILVLIMGFFFFGKDGLNLHVVLGMIIAVVGMVWYGNASSKPGGKERWSLSLPTSRPQKQSNLSESDEHDGKV >Potri.013G135600.1.v4.1 pep chromosome:Pop_tri_v4:13:14067616:14070275:-1 gene:Potri.013G135600.v4.1 transcript:Potri.013G135600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L1 MAAAKNNFGKSKKGVVDETRKMMMEQDWQLDRGKKEADVSFERRQWKPVFGEASLMDRPLKKICSPERQEQIQSSASLAHQLPSCFSVSSSSASTLSLYPPSSSPSPMSSSSSRLVFPFAFEGSNQPIQCPQQFRTNPSLPIFHPLSQVAQNQQQMISFGQNQQHGIAYPPFYAGGLPMADHHHHQQQLFQYWSDALNLSPRGRMMMMNKLGPDGRPLFRPPIQPINTRKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPELFLNKDKATSTAPSSTVSSPPTSNQSLKPKQAQEGLNLQAETMSPPILPPQPPPEQPPGDHPDDDSGMGSSGATVSDEIQAVAEGSSAGEGISGSQELEWGDMAEAWYNAIQAGWGPGSPVWDDLDSTNNLLLQSHLPFVNPNQQQFNDSCCVLQDNMGSASSSSSSFFPMKSYFLKDQD >Potri.013G135600.2.v4.1 pep chromosome:Pop_tri_v4:13:14067571:14069512:-1 gene:Potri.013G135600.v4.1 transcript:Potri.013G135600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RAP2L1 MSSSSSRLVFPFAFEGSNQPIQCPQQFRTNPSLPIFHPLSQVAQNQQQMISFGQNQQHGIAYPPFYAGGLPMADHHHHQQQLFQYWSDALNLSPRGRMMMMNKLGPDGRPLFRPPIQPINTRKLYRGVRQRHWGKWVAEIRLPRNRTRLWLGTFDTAEDAALAYDREAFKLRGENARLNFPELFLNKDKATSTAPSSTVSSPPTSNQSLKPKQAQEGLNLQAETMSPPILPPQPPPEQPPGDHPDDDSGMGSSGATVSDEIQAVAEGSSAGEGISGSQELEWGDMAEAWYNAIQAGWGPGSPVWDDLDSTNNLLLQSHLPFVNPNQQQFNDSCCVLQDNMGSASSSSSSFFPMKSYFLKDQD >Potri.003G033600.3.v4.1 pep chromosome:Pop_tri_v4:3:3791419:3792490:-1 gene:Potri.003G033600.v4.1 transcript:Potri.003G033600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033600.v4.1 MSRSQGSSSSLKRKRPGLSSPSQSLTDHERKLYDVIRSKKDMGIWTRDMKKETNLPDNVVNKSLKALHAKNLIKEVVNIQNKGRKHYMATEFQPSKELTGGSWYVAGSLDTDFIRSLRMFCVKYIAEEKVATVEKVTELIRGNGAFAVEVTKQQVEEIVRALVLDDEVMEVQSNGMGEFGSVPVGNVCYKCAGKGHGGGVKAIIGAMASIPCGVCPRISLCTPDGVISPRTCVYYTKWLDF >Potri.003G033600.4.v4.1 pep chromosome:Pop_tri_v4:3:3791408:3792623:-1 gene:Potri.003G033600.v4.1 transcript:Potri.003G033600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033600.v4.1 MSRSQGSSSSLKRKRPGLSSPSQSLTDHERKLYDVIRSKKDMGIWTRDMKKETNLPDNVVNKSLKALHAKNLIKEVVNIQNKGRKHYMATEFQPSKELTGGSWYVAGSLDTDFIRSLRMFCVKYIAEEKVATVEKVTELIRGNGAFAVEVTKQQVEEIVRALVLDDEVMEVQSNGMGEFGSVPVGNVCYKCAGKGHGGGVKAIIGAMASIPCGVCPRISLCTPDGVISPRTCVYYTKWLDF >Potri.015G139900.1.v4.1 pep chromosome:Pop_tri_v4:15:14720413:14720679:1 gene:Potri.015G139900.v4.1 transcript:Potri.015G139900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G139900.v4.1 MRIAYSPLLPLLVFSTIMLSLLHPSTCRHISRATYEEEQQLNTEFSLPLPQHLPAIAHTVKFNKDDKVQKLYAASHKLVPGGPNPLHN >Potri.001G269700.4.v4.1 pep chromosome:Pop_tri_v4:1:28426275:28429265:1 gene:Potri.001G269700.v4.1 transcript:Potri.001G269700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269700.v4.1 MKGDLECCPKLENGDVKYVSGLSTILVATIQEAKDRISQIEYIFCNQLYPNFQAKSKSLQKIYADAEGAWKEKEKDLLEQIEKLRVEKQEVVEGNKVEKEKPNEKANALLAATLRNRESRIDELEQEVVKKSKEIDEGMELQNKLLQLVQTKAAMIVDKGRELKRNEGKSNELLAKVKSLEKNVEELQDEVRKKSEKVAEKTELANNLSKRVLSLLSMVEDNEKLKTENEQLMHKVECLEKNLSGLKNKLREKTEETEGGRVLQAELLQQIDMNAVEILKQKEQLDMSENDKKELLEKVNGLEEKVNELQENLSSSGKEAEGKVSYDKLLHNIQLKDSELLAEKRKTRDLHGLYMKLRSQYNYLCTKSGLTMKNMLKDKLEDESGSFKHQPTTSSDGGNKSVDASAAFCETKEVKTENESSDGLVDNKVVKSIPIANFKSPTSIFVTPKCPPTVKSAQIIGTKRPASSWIDTRSHQGKDGPDPHDDFLDTPLEKLRADLDKTMEEEVQDLHIVLQNDKNMDPSSSDDETQDINLDRNLREQQMPVTIGGKRGFKYVEPVRKKAERQNLKGVECKQCKKFYDAVLPNNGGDGHKQNVRCEHHDGVSRHRYKYVPPMTPEGFWNIGFESEM >Potri.001G269700.3.v4.1 pep chromosome:Pop_tri_v4:1:28426403:28429289:1 gene:Potri.001G269700.v4.1 transcript:Potri.001G269700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269700.v4.1 MKGDLECCPKLENGDVKYVSGLSTILVATIQEAKDRISQIEYIFCNQLYPNFQAKSKSLQKIYADAEGAWKEKEKDLLEQIEKLRVEKQEVVEGNKVEKEKPNEKANALLAATLRNRESRIDELEQEVVKKSKEIDEGMELQNKLLQLVQTKAAMIVDKGRELKRNEGKSNELLAKVKSLEKNVEELQDEVRKKSEKVAEKTELANNLSKRVLSLLSMVEDNEKLKTENEQLMHKVECLEKNLSGLKNKLREKTEETEGGRVLQAELLQQIDMNAVEILKQKEQLDMSENDKKELLEKVNGLEEKVNELQENLSSSGKEAEGKVSYDKLLHNIQLKDSELLAEKRKTRDLHGLYMKLRSQYNYLCTKSGLTMKNMLKDKLEDESGSFKHQPTTSSDGGNKSVDASAAFCETKEVKTENESSDGLVDNKVVKSIPIANFKSPTSIFVTPKCPPTVKSAQIIGTKRPASSWIDTRSHQGKDGPDPHDDFLDTPLEKLRADLDKTMEEEVQDLHIVLQNDKNMDPSSSDDETQDINLDRNLREQQMPVTIGGKRGFKYVEPVRKKAERQNLKGVECKQCKKFYDAVLPNNGGDGHKQNVRCEHHDGVSRHRYKYVPPMTPEGFWNIGFESEM >Potri.001G269700.2.v4.1 pep chromosome:Pop_tri_v4:1:28426288:28430567:1 gene:Potri.001G269700.v4.1 transcript:Potri.001G269700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269700.v4.1 MKGDLECCPKLENGDVKYVSGLSTILVATIQEAKDRISQIEYIFCNQLYPNFQAKSKSLQKIYADAEGAWKEKEKDLLEQIEKLRVEKQEVVEGNKVEKEKPNEKANALLAATLRNRESRIDELEQEVVKKSKEIDEGMELQNKLLQLVQTKAAMIVDKGRELKRNEGKSNELLAKVKSLEKNVEELQDEVRKKSEKVAEKTELANNLSKRVLSLLSMVEDNEKLKTENEQLMHKVECLEKNLSGLKNKLREKTEETEGGRVLQAELLQQIDMNAVEILKQKEQLDMSENDKKELLEKVNGLEEKVNELQENLSSSGKEAEGKVSYDKLLHNIQLKDSELLAEKRKTRDLHGLYMKLRSQYNYLCTKSGLTMKNMLKDKLEDESGSFKHQPTTSSDGGNKSVDASAAFCETKEVKTENESSDGLVDNKVVKSIPIANFKSPTSIFVTPKCPPTVKSAQIIGTKRPASSWIDTRSHQGKDGPDPHDDFLDTPLEKLRADLDKTMEEEVQDLHIVLQNDKNMDPSSSDDETQDINLDRNLREQQMPVTIGGKRGFKYVEPVRKKAERQNLKGVECKQCKKFYDAVLPNNGGDGHKQNVRCEHHDGVSRHRYKYVPPMTPEGFWNIGFESEM >Potri.001G223300.1.v4.1 pep chromosome:Pop_tri_v4:1:23861402:23864631:1 gene:Potri.001G223300.v4.1 transcript:Potri.001G223300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G223300.v4.1 MGSIDDFSRYSFPDDFVFGTSSSAYQYEGETNKHGRGPAIWDTFTEEHTERINDHSNGNVAVDFYHRYKEDVQRMKEMGMDAFRFSISWSRVLPHGRLSAGVNEEGIKFYNDLIDDLLKNGLQPYVTLFHWDTPQALEDKYGGFLSPNIVNDFRDFVDLCFQKFGDRVKKWITLNEPWMFSVQGYDMGTMAPGRISVVVNDPHRSLNTGATEVYTVSHHLLLAHAAAVKLYKEKYQSCQGGQIGITLVSHWFEPYSNSEDDQNATKRSLDFMLGWFMDPLTNGDYPRNMHDFVGGRLPKFTAEESKMLKGSYDFIGINYYTTYYAQNIDANYQSVGFMSDARANWTGERNGIPIGPQAGVKWLYIYPEGISRLLNYTKDLYGNPTIYITENGVDDENNNASSLKEALNDPIREKSYKDHLKNVLRSINEHGVDVKGFFAWSLMDNFEWGSGYAVRFGLYYVDYKNDLKRYPKKSVKWFKQFLRRDSHSPIPHTYPLITSNETSKIEDSLVRDAKRPRNA >Potri.002G152500.1.v4.1 pep chromosome:Pop_tri_v4:2:11674181:11678921:-1 gene:Potri.002G152500.v4.1 transcript:Potri.002G152500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G152500.v4.1 MATTDIPDGTVRNILDQDTLKWVFVGGKGGVGKTTCSSILSILLAQVRSSVLIISTDPAHNLSDAFQQRFTKTPTLVNGFGNLYAMEVDPNVENDDIGGSEGMDSLFSELANAIPGIDEAMSFAEMLKLVQTMDYSCIVFDTAPTGHTLRLLQFPSTLEKGLQKVMSLKSKFGGLIGQMTRLFGIDDEFGEDAILGRLEGMKDVIEQVNKQFKDPDLTTFVCVCIPEFLSLYETERLVQELTKFEIDTHNIIINQVLYDEEDVESKLLKARMRMQQKYLDQFYMLYDDFNITKLPLLPQEVTGVEALRALSSHFTSPYQPSTSRSAVEDLERRISTLKLQLEHAEAELDRLQKGKQKV >Potri.009G036600.1.v4.1 pep chromosome:Pop_tri_v4:9:4607686:4609392:1 gene:Potri.009G036600.v4.1 transcript:Potri.009G036600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036600.v4.1 MDLSGKGDEEMLIAAYGVQYMPSQWSFGLPLSKPQVAGPSPLPSTSKLLDASMLLNLPTAGLGGNSSYHRIQDSRMEQYDGNIFQRQAQPIPKTNDKVGKPPTRLQKQAPRALDLDQLSTPLLPASQASPPPTPIPLLSPLSVSPPPLPSEAEEFTFPVICGDNDKGREDDVHDAYSEARVWQHPAVASGYIEPSSLFTFFQSKCLLVDHAQS >Potri.012G024900.1.v4.1 pep chromosome:Pop_tri_v4:12:2436279:2436780:-1 gene:Potri.012G024900.v4.1 transcript:Potri.012G024900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G024900.v4.1 MGFSPPSLSFILFLFHFLSTISSSHFCAHDQNLSLLQFKESFSINSSASWNCQHPKTQSWKEGTDCCLWDGVTCGMKSGHVTGLNLAWIQVQKRM >Potri.012G130400.2.v4.1 pep chromosome:Pop_tri_v4:12:14534286:14540849:-1 gene:Potri.012G130400.v4.1 transcript:Potri.012G130400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G130400.v4.1 MKLVVVFKHCLAMAVLLLLLMSPLVSPLNDEGKALMSIKESFSNVVNVLLDWDDVHNEDFCSWRGVFCDNVSLSVVSLNLSNLNLGGEISPAIGDLRNLQSIDFQGNKLTGQIPEEIGNCASLFNLDLSDNLLYGDMPFSISKLKQLDTLNLKNNQLTGPIPSTLTQIPNLKTLDLAKNQLTGEIPRLIYWNEVLQYLGLRGNLLTGTLSEDMCQLTGLWYFDVRGNNLSGTIPSSIGNCTSFEILDISYNQISGEIPYNIGFLQVATLSLQGNSLTGKIPEVIGLMQALAVLDLSDNELVGPIPPILGNLSYTGKLYLHGNKLTGPIPPELGNMSKLSYLQLNDNQLVGRIPPELGMLEQLFELNLANNHLEGPIPNNISSCRALNQLNVYGNHLSGIIASGFKGLESLTYLNLSSNDFKGSIPIELGHIINLDTLDLSSNNFSGPIPASIGDLEHLLILNLSRNHLHGRLPAEFGNLRSIQAIDMSFNNVTGSIPVELGQLQNIVTLILNNNDLQGEIPDQLTNCFSLANLNFSYNNLSGIVPPIRNLTRFPPDSFIGNPLLCGNWLGSVCGPYVLKSKVIFSRAAVVCITLGFVTLLSMIVVVIYKSNQRKQLTMGSDKTLQGPPKLVVLHMDMAIHTFDDIMRNTENLSEKYIIGYGASSTVYKCVLKNSRPLAIKRLYNQYPYNLHEFETELETIGSIRHRNIVSLHGYALSPRGNLLFYDYMKNGSLWDLLHGSSKKVKLDWETRLKVAVGAAQGLAYLHHDCNPRIIHRDVKSSNILLDEDFEAHLSDFGIAKCIPTTKSHASTFVLGTIGYIDPEYARTSRLTEKSDVYSFGIVLLELLTGKKAVDNESNLQQLILSRADDNTVMEAVDPEVSVTCMDLTHVKKSFQLALLCTKRHPSERPTMQDVSRVLVSFLPALPTKASLLPKPIDYAKFVIDKGQQQQPIVNQQQPSQENNSSDAQWFVRFKEVVSKNTL >Potri.016G088900.1.v4.1 pep chromosome:Pop_tri_v4:16:7137701:7139749:1 gene:Potri.016G088900.v4.1 transcript:Potri.016G088900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088900.v4.1 MPISWLRVEHNMNSKALRYQILSGSIARRVLLRASMLVTAMSIIPLLLFLYGSDPGLLFDSVRYNECDVPFMFMDPHLLKNRFLKPIWGSIDGEEGVNVTTNVVRELLGMQIIDPSAKVLCVGEGSAPAVFALRELGFLNACGAHRHPFFSLKHKRMAYELEYADDSFDFVLSGDLEKISVPAIVVLESERVLKPGGIGAMLVGVDSFNTNNLIRSSLPVSSLLKNSNIVHVGYVNEYTLVVFKKRIYSVGYFQQYQLPADCPSIMNNRPHLENLEPLTENKQVEHEKNIAYLPKFVDMPSRKRLVYVEIGGGEHLNSSVSSWFLPSYPVDRNTFNVYFVDHNASVLLSGVKKPGVAFIYYPGLAGVEATLNPDVEEFDQSVEDEGFDFLDWFKQTVQHADFVVLKMKAGKVELRFLSGLFQSGAVCFIDELFLSCSDQVGGNCLDLFKSLRSSGVFLHQWWGD >Potri.016G088900.2.v4.1 pep chromosome:Pop_tri_v4:16:7138417:7139750:1 gene:Potri.016G088900.v4.1 transcript:Potri.016G088900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G088900.v4.1 MQIIDPSAKVLCVGEGSAPAVFALRELGFLNACGAHRHPFFSLKHKRMAYELEYADDSFDFVLSGDLEKISVPAIVVLESERVLKPGGIGAMLVGVDSFNTNNLIRSSLPVSSLLKNSNIVHVGYVNEYTLVVFKKRIYSVGYFQQYQLPADCPSIMNNRPHLENLEPLTENKQVEHEKNIAYLPKFVDMPSRKRLVYVEIGGGEHLNSSVSSWFLPSYPVDRNTFNVYFVDHNASVLLSGVKKPGVAFIYYPGLAGVEATLNPDVEEFDQSVEDEGFDFLDWFKQTVQHADFVVLKMKAGKVELRFLSGLFQSGAVCFIDELFLSCSDQVGGNCLDLFKSLRSSGVFLHQWWGD >Potri.004G149500.1.v4.1 pep chromosome:Pop_tri_v4:4:17156861:17157906:1 gene:Potri.004G149500.v4.1 transcript:Potri.004G149500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G149500.v4.1 MKYTEISHFSHPQHKLKFEYSEFPFKCDGCKEVGIGSRYKCTMCDFDLHMHCAIPAPTIFHSFYTKCSFQFFSRPPGDKPRFCNACERDVTGFVYHCKDCGFDLHPCCAKLPMVLNDGEIKLYLYRKVSASCLKCGRKGRSWTYRSSCKKYNLHVACVKDMLMENWQGYIGEKSARKFHSRIPSLKNTLLIHNKRKSKAKIGWEMAALALQFVISAVLGDPTTLIAGVIGSMISKAW >Potri.010G019600.10.v4.1 pep chromosome:Pop_tri_v4:10:3082044:3087898:-1 gene:Potri.010G019600.v4.1 transcript:Potri.010G019600.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019600.v4.1 MKLLLLLLLLLLLLLLFWSGRAAGKLQSKVKARPRKGTSELAASAVPNTAVEKVAGLASTVLDNMLPVESSGVENGRLTDHVSEPNMESAGTKEPGRNNGQALLSPPEILATVFCQKDSKGKSSFPAQNFVDSSAFMKCNVVATDFSSPNEAAVQVDNGRLELEESGAFPGLETPGFLSPDVMESVMAPPPNVQPVPSETINIDGCSVAAFPSDNIVDSPCTHLGDFIPPDPCTSEIRMNQELKNLTETSRSDNVTAIHQEDASDLPEKETSSSRKRKAAPVSCSSWKSQKASLAGDMNEHDKSSRHLTKQAAAPQLGDEPEYEAHGNDDSSMHPGDFLPFDPCTSEFQVDQNQKDFTESNCLANANVVRSEDVPVVPEKESSKSGKRKVFSASNCSRKSKKSSLAAGPQVVDELDEAHDNGGLPEPPGSSVDEEDNDYEPRGDEESDDENKVEIASRKKRASKKSKEPVTENEKPVRKRNRSNDTSEQSMQKPRKKFSHSTRRNKRCVNKDLLNMPEDEIDFKRLPIRDIILFAEYKERLATTTSKIPPNNQSTDNPFHGEYSHNEEDGFASEQDITHGDDQTYVRAQPSSSLFNYQSFMDRAPNSRWSKQDTELFYEGIQQFGTDLSMIQQLFPGRTRHQVKLKYKKEERQHPLRLHEALSSRPKDNSYFEKLIEQLQEVAGTQEEQESYKDDLVDVSGEEDAELNAETSGEVTKPEQGENAGVEEEQEKNVAEDHHGPLKSGVSDEDVDDIWGSY >Potri.010G019600.1.v4.1 pep chromosome:Pop_tri_v4:10:3081962:3091261:-1 gene:Potri.010G019600.v4.1 transcript:Potri.010G019600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019600.v4.1 MDPDLDPFDDILPKPALTNVARLGGKFQPKAKPRPKKVEFVPIPFPPSKAKEKAVAASQTSLDTNISVQPFGIMDEKLTCQIGSLSPSSPTGTKEPLKSNDGKTKLVEESGSPLATSDMVGVKEPLKYKEGLSSWEIGSLEAANLSPAGINDAGSVGAMDSEAAISGCNDDQESSFVKSAGVAGSLGFDSDLLDDILPKSLKNNSRAGGKFKPKAKPRQRNENPAPVSSSPSKCAEEEPKAHSQQTQSTEPVDVANTRMNPDGPTLLQQGNVKSNEPSKDSEVLYFNDNSCMELVNPSSENIATEEDVSPQNTPLAEMKSGNDVGLNSRFVESAIEVGSMRLDLDAFDYMDPQPAISTGRAAGKLQSKVKARPRKGTSELAASAVPNTAVEKVAGLASTVLDNMLPVESSGVENGRLTDHVSEPNMESAGTKEPGRNNGQALLSPPEILATVFCQKDSKGKSSFPAQNFVDSSAFMKCNVVATDFSSPNEAAVQVDNGRLELEESGAFPGLETPGFLSPDVMESVMAPPPNVQPVPSETINIDGCSVAAFPSDNIVDSPCTHLGDFIPPDPCTSEIRMNQELKNLTETSRSDNVTAIHQEDASDLPEKETSSSRKRKAAPVSCSSWKSQKASLAGDMNEHDKSSRHLTKQAAAPQLGDEPEYEAHGNDDSSMHPGDFLPFDPCTSEFQVDQNQKDFTESNCLANANVVRSEDVPVVPEKESSKSGKRKVFSASNCSRKSKKSSLAAGPQVVDELDEAHDNGGLPEPPGSSVDEEDNDYEPRGDEESDDENKVEIASRKKRASKKSKEPVTENEKPVRKRNRSNDTSEQSMQKPRKKFSHSTRRNKRCVNKDLLNMPEDEIDFKRLPIRDIILFAEYKERLATTTSKIPPNNQSTDNPFHGEYSHNEEDGFASEQDITHGDDQTYVRAQPSSSLFNYQSFMDRAPNSRWSKQDTELFYEGIQQFGTDLSMIQQLFPGRTRHQVKLKYKKEERQHPLRLHEALSSRPKDNSYFEKLIEQLQEVAGTQEEQESYKDDLVDVSGEEDAELNAETSGEVTKPEQGENAGVEEEQEKNVAEDHHGPLKSGVSDEDVDDIWGSY >Potri.010G019600.7.v4.1 pep chromosome:Pop_tri_v4:10:3081950:3091263:-1 gene:Potri.010G019600.v4.1 transcript:Potri.010G019600.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019600.v4.1 MDPDLDPFDDILPKPALTNARLGGKFQPKAKPRPKKVEFVPIPFPPSKAKEKAVAASQTSLDTNISVQPFGIMDEKLTCQIGSLSPSSPTGTKEPLKSNDGKTKLVEESGSPLATSDMVGVKEPLKYKEGLSSWEIGSLEAANLSPAGINDAGSVGAMDSEAAISGCNDDQESSFVKSAGVAGSLGFDSDLLDDILPKSLKNNSRAGGKFKPKAKPRQRNENPAPVSSSPSKCAEEEPKAHSQQTQSTEPVDVANTRMNPDGPTLLQQGNVKSNEPSKDSEVLYFNDNSCMELVNPSSENIATEEDVSPQNTPLAEMKSGNDVGLNSRFVESAIEVGSMRLDLDAFDYMDPQPAISTGRAAGKLQSKVKARPRKGTSELAASAVPNTAVEKVAGLASTVLDNMLPVESSGVENGRLTDHVSEPNMESAGTKEPGRNNGQALLSPPEILATVFCQKDSKGKSSFPAQNFVDSSAFMKCNVVATDFSSPNEAAVQVDNGRLELEESGAFPGLETPGFLSPDVMESVMAPPPNVQPVPSETINIDGCSVAAFPSDNIVDSPCTHLDNVTAIHQEDASDLPEKETSSSRKRKAAPVSCSSWKSQKASLAGDMNEHDKSSRHLTKQAAAPQLGDEPEYEAHGNDDSSMHPGDFLPFDPCTSEFQVDQNQKDFTESNCLANANVVRSEDVPVVPEKESSKSGKRKVFSASNCSRKSKKSSLAAGPQVVDELDEAHDNGGLPEPPGSSVDEEDNDYEPRGDEESDDENKVEIASRKKRASKKSKEPVTENEKPVRKRNRSNDTSEQSMQKPRKKFSHSTRRNKRCVNKDLLNMPEDEIDFKRLPIRDIILFAEYKERLATTTSKIPPNNQSTDNPFHGEYSHNEEDGFASEQDITHGDDQTYVRAQPSSSLFNYQSFMDRAPNSRWSKQDTELFYEGIQQFGTDLSMIQQLFPGRTRHQVKLKYKKEERQHPLRLHEALSSRPKDNSYFEKLIEQLQEVAGTQEEQESYKDDLVDVSGEEDAELNAETSGEVTKPEQGENAGVEEEQEKNVAEDHHGPLKSGVSDEDVDDIWGSY >Potri.010G019600.8.v4.1 pep chromosome:Pop_tri_v4:10:3081948:3091267:-1 gene:Potri.010G019600.v4.1 transcript:Potri.010G019600.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019600.v4.1 MDPDLDPFDDILPKPALTNGGKFQPKAKPRPKKVEFVPIPFPPSKAKEKAVAASQTSLDTNISVQPFGIMDEKLTCQIGSLSPSSPTGTKEPLKSNDGKTKLVEESGSPLATSDMVGVKEPLKYKEGLSSWEIGSLEAANLSPAGINDAGSVGAMDSEAAISGCNDDQESSFVKSAGVAGSLGFDSDLLDDILPKSLKNNSRAGGKFKPKAKPRQRNENPAPVSSSPSKCAEEEPKAHSQQTQSTEPVDVANTRMNPDGPTLLQQGNVKSNEPSKDSEVLYFNDNSCMELVNPSSENIATEEDVSPQNTPLAEMKSGNDVGLNSRFVESAIEVGSMRLDLDAFDYMDPQPAISTGRAAGKLQSKVKARPRKGTSELAASAVPNTAVEKVAGLASTVLDNMLPVESSGVENGRLTDHVSEPNMESAGTKEPGRNNGQALLSPPEILATVFCQKDSKGKSSFPAQNFVDSSAFMKCNVVATDFSSPNEAAVQVDNGRLELEESGAFPGLETPGFLSPDVMESVMAPPPNVQPVPSETINIDGCSVAAFPSDNIVDSPCTHLDNVTAIHQEDASDLPEKETSSSRKRKAAPVSCSSWKSQKASLAGDMNEHDKSSRHLTKQAAAPQLGDEPEYEAHGNDDSSMHPGDFLPFDPCTSEFQVDQNQKDFTESNCLANANVVRSEDVPVVPEKESSKSGKRKVFSASNCSRKSKKSSLAAGPQVVDELDEAHDNGGLPEPPGSSVDEEDNDYEPRGDEESDDENKVEIASRKKRASKKSKEPVTENEKPVRKRNRSNDTSEQSMQKPRKKFSHSTRRNKRCVNKDLLNMPEDEIDFKRLPIRDIILFAEYKERLATTTSKIPPNNQSTDNPFHGEYSHNEEDGFASEQDITHGDDQTYVRAQPSSSLFNYQSFMDRAPNSRWSKQDTELFYEGIQQFGTDLSMIQQLFPGRTRHQVKLKYKKEERQHPLRLHEALSSRPKDNSYFEKLIEQLQEVAGTQEEQESYKDDLVDVSGEEDAELNAETSGEVTKPEQGENAGVEEEQEKNVAEDHHGPLKSGVSDEDVDDIWGSY >Potri.010G019600.9.v4.1 pep chromosome:Pop_tri_v4:10:3081964:3091271:-1 gene:Potri.010G019600.v4.1 transcript:Potri.010G019600.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G019600.v4.1 MDEKLTCQIGSLSPSSPTGTKEPLKSNDGKTKLVEESGSPLATSDMVGVKEPLKYKEGLSSWEIGSLEAANLSPAGINDAGSVGAMDSEAAISGCNDDQESSFVKSAGVAGSLGFDSDLLDDILPKSLKNNSRAGGKFKPKAKPRQRNENPAPVSSSPSKCAEEEPKAHSQQTQSTEPVDVANTRMNPDGPTLLQQGNVKSNEPSKDSEVLYFNDNSCMELVNPSSENIATEEDVSPQNTPLAEMKSGNDVGLNSRFVESAIEVGSMRLDLDAFDYMDPQPAISTGRAAGKLQSKVKARPRKGTSELAASAVPNTAVEKVAGLASTVLDNMLPVESSGVENGRLTDHVSEPNMESAGTKEPGRNNGQALLSPPEILATVFCQKDSKGKSSFPAQNFVDSSAFMKCNVVATDFSSPNEAAVQVDNGRLELEESGAFPGLETPGFLSPDVMESVMAPPPNVQPVPSETINIDGCSVAAFPSDNIVDSPCTHLGDFIPPDPCTSEIRMNQELKNLTETSRSDNVTAIHQEDASDLPEKETSSSRKRKAAPVSCSSWKSQKASLAGDMNEHDKSSRHLTKQAAAPQLGDEPEYEAHGNDDSSMHPGDFLPFDPCTSEFQVDQNQKDFTESNCLANANVVRSEDVPVVPEKESSKSGKRKVFSASNCSRKSKKSSLAAGPQVVDELDEAHDNGGLPEPPGSSVDEEDNDYEPRGDEESDDENKVEIASRKKRASKKSKEPVTENEKPVRKRNRSNDTSEQSMQKPRKKFSHSTRRNKRCVNKDLLNMPEDEIDFKRLPIRDIILFAEYKERLATTTSKIPPNNQSTDNPFHGEYSHNEEDGFASEQDITHGDDQTYVRAQPSSSLFNYQSFMDRAPNSRWSKQDTELFYEGIQQFGTDLSMIQQLFPGRTRHQVKLKYKKEERQHPLRLHEALSSRPKDNSYFEKLIEQLQEVAGTQEEQESYKDDLVDVSGEEDAELNAETSGEVTKPEQGENAGVEEEQEKNVAEDHHGPLKSGVSDEDVDDIWGSY >Potri.006G068400.1.v4.1 pep chromosome:Pop_tri_v4:6:5003212:5009469:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSRTKIYIILEFVTGGELFDKIVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKINAAKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.006G068400.5.v4.1 pep chromosome:Pop_tri_v4:6:5003212:5009469:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.006G068400.17.v4.1 pep chromosome:Pop_tri_v4:6:5003754:5009512:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.006G068400.12.v4.1 pep chromosome:Pop_tri_v4:6:5003605:5009468:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSRTKIYIILEFVTGGELFDKIVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKV >Potri.006G068400.6.v4.1 pep chromosome:Pop_tri_v4:6:5003371:5009470:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSRTKIYIILEFVTGGELFDKIVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.006G068400.14.v4.1 pep chromosome:Pop_tri_v4:6:5003371:5009470:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSRTKIYIILEFVTGGELFDKIVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.006G068400.10.v4.1 pep chromosome:Pop_tri_v4:6:5003212:5009469:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSRTKIYIILEFVTGGELFDKIVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKFYKNFCAQLENIIWKPPEGC >Potri.006G068400.3.v4.1 pep chromosome:Pop_tri_v4:6:5003212:5009469:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSRTKIYIILEFVTGGELFDKIVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.006G068400.9.v4.1 pep chromosome:Pop_tri_v4:6:5003371:5009470:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.006G068400.16.v4.1 pep chromosome:Pop_tri_v4:6:5003605:5009468:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKV >Potri.006G068400.20.v4.1 pep chromosome:Pop_tri_v4:6:5003212:5009469:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSRTKIYIILEFVTGGELFDKIVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKFYKNFCAQLENIIWKPPEGC >Potri.006G068400.8.v4.1 pep chromosome:Pop_tri_v4:6:5003212:5009469:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVLSSRTKIYIILEFVTGGELFDKIVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.006G068400.15.v4.1 pep chromosome:Pop_tri_v4:6:5003212:5009469:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQDYVKKQTRFVSRKPAKVIISAFEAVAESMNLKFHTHNYKFYKNFCAQLENIIWKPPEGC >Potri.006G068400.13.v4.1 pep chromosome:Pop_tri_v4:6:5003371:5009470:1 gene:Potri.006G068400.v4.1 transcript:Potri.006G068400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G068400.v4.1 MMKKVTRMVGKYEVGRTIGEGNFAKVKFAQNRETGESVAMKILAKSTILKHKMVDQIKREISIMKIVRHPNIVRLHEVHQGRLSENESRRYFQQLIDAVAHCHRKGVYHRDLKPENLLLDAFGNLKVSDFGLSALRQKGVGLLHTTCGTPNYVAPEVLGHQGYDGAAADVWSCGVILFVLMAGYLPFEEIDLPTLCRKYSCPFWFSPVAKALIDKILDPNPKTRIGIEGIKKHPWFRKSYEPVGHSEEEEVNLDDVHAVFDDIEDHYVAEQLENSEGGPLVMNAFEMIALSQGLNLSALFDRRQTRLEGISENKAGQFAVVLEVYEVGPSLFMVDARKASGETLEYHEFYKNFCAQLENIIWKPPEGC >Potri.005G180200.1.v4.1 pep chromosome:Pop_tri_v4:5:18632734:18634605:-1 gene:Potri.005G180200.v4.1 transcript:Potri.005G180200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G180200.v4.1 MTAATLELPPGFRFHPTDEELVLHYLCRKCSSQPIAVPIIAEVDLYKFDPWDLPGMALYGEKEWYFFTPRDRKYPNGSRPNRAAGRGYWKATGADKPIGQPKTAGIKKALVFYAGKAPKGEKTNWIMHEYRLAEVDRSVRKKNSLRLDDWVLCRIHNKKGTIEKQGQHLSVQKANSSTEIAEEDEKKSVVMLPPPPGPSSATGTVNDYVYFDTSDSVPRLHTDSSCSEHVVSPEFTCEVQSNPYNYLDATMDIPFASQFQGNHQMSPLQDMFMYLQKPF >Potri.007G042100.2.v4.1 pep chromosome:Pop_tri_v4:7:3548138:3552519:-1 gene:Potri.007G042100.v4.1 transcript:Potri.007G042100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042100.v4.1 MAEYICVLNKDTIIIKPAKKTPILLRMIVLVFAMVCGVYICSVCLKQISTHSKIKIQDIQVIERPSPDVDHENLQISSVHYPNPETFSRAECAHNPVRYFAILSMQRSGSGWFETLLNSHVNVSSNGEIFSVLDRRINISSITQTLDKVYNLDWFTSASKNECSAAVGFKWMLNQGVMQHHKEIADYFNRRGVSAIFLFRRNLLRRMVSVLANSYDRHAKLLNGTHKSHVHSTEEAETLAKYKPMINSTLLISDLKEVEITATKALEYFNSTRHIVLYYEDLIKNPTKLKDVQAFLGLPVMELMSRQVKIHKGPLSDHVKNWEDINKTLNGTAYESFLQADY >Potri.011G119700.3.v4.1 pep chromosome:Pop_tri_v4:11:15068845:15074420:1 gene:Potri.011G119700.v4.1 transcript:Potri.011G119700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119700.v4.1 MGVEVVAPEEVQAPVDGVSEVDKSVLHQKENGKLDKVSGLTEPIQFGSHGEEPVKAEGNDVTDANLPKDAVDEWPAPKQVHSFYFVTYRLYDDPKIKAKIDQADKEIQKRNQSRFQITEELKDRRSKRAELINQVRALKNEGRQYKSIFDEKRKEMEPLQQALGKLRNTNNAGRVGICSSEEELNDLIYSLQYRMQHESIPLTEEKQILREIKQLEGTREKVIANAAMRTKIQDSLGQKEAIQDQVKLMGVDLDGVRKERQALWEKLDGLEAKVQALDAEIKTLQDELEAVIQKREKTYETLQELRKQRDEANANFYQSRMLLTKAKELAAKKDVKALEELALDEVEKFMSLWCHNKSFRDDYEKRILPSLDRRQLSRDGRIRNPNEKPLVILETPKPSEPEPVVKAIPKRAKEDPKPIPPKDTLPTQRVQKEITKTELKPTSEHSDIVDKEISGLENLSKNPSPAEKEVDEAKLKEIKREEEIAKAKQAMERKKKLAEKAAAKAAARAQKDAEKKLKEREKKLKKKAAASEPTTEPEQLAEAVAEAAEPTVNDEVPVSVKEKVRKEKTVRSRNRPRGPDSLPKVITRRKKSTNFWMWAAPAAAFVVLLFLALGYYYLL >Potri.011G119700.4.v4.1 pep chromosome:Pop_tri_v4:11:15068850:15074388:1 gene:Potri.011G119700.v4.1 transcript:Potri.011G119700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119700.v4.1 MGVEVVAPEEVQAPVDGVSEVDKSVLHQKENGKLDKVSGLTEPIQFGSHGEEPVKAEGNDVTDANLPKDAVDEWPAPKQVHSFYFVTYRLYDDPKIKAKIDQADKEIQKRNQSRFQITEELKDRRSKRAELINQVRALKNEGRQYKSIFDEKRKEMEPLQQALGKLRNTNNAGRVGICSSEEELNDLIYSLQYRMQHESIPLTEEKQILREIKQLEGTREKVIANAAMRTKIQDSLGQKEAIQDQVKLMGVDLDGVRKERQALWEKLDGLEAKVQALDAEIKTLQDELEAVIQKREKTYETLQELRKQRDEANANFYQSRMLLTKAKELAAKKDVKALEELALDEVEKFMSLWCHNKSFRDDYEKRILPSLDRRQLSRDGRIRNPNEKPLVILETPKPSEPEPVVKAIPKRAKEDPKPIPPKDTLPTQRVQKEITKTELKPTSEHSDIVDKEISGLENLSKNPSPAEKEVDEAKLKEIKREEEIAKAKQAMERKKKLAEKAAAKAAARAQKDAEKKLKVISLFLYFNHYLVYYLLSDTKKRGFFFFEIYFRSVKRN >Potri.011G119700.1.v4.1 pep chromosome:Pop_tri_v4:11:15068728:15074433:1 gene:Potri.011G119700.v4.1 transcript:Potri.011G119700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119700.v4.1 MGVEVVAPEEVQAPVDGVSEVDKSVLHQKENGKLDKVSGLTEPIQFGSHGEEPVKAEGNDVTDANLPKDAVDEWPAPKQVHSFYFVTYRLYDDPKIKAKIDQADKEIQKRNQSRFQITEELKDRRSKRAELINQVRALKNEGRQYKSIFDEKRKEMEPLQQALGKLRNTNNAGRVGICSSEEELNDLIYSLQYRMQHESIPLTEEKQILREIKQLEGTREKVIANAAMRTKIQDSLGQKEAIQDQVKLMGVDLDGVRKERQALWEKLDGLEAKVQALDAEIKTLQDELEAVIQKREKTYETLQELRKQRDEANANFYQSRMLLTKAKELAAKKDVKALEELALDEVEKFMSLWCHNKSFRDDYEKRILPSLDRRQLSRDGRIRNPNEKPLVILETPKPSEPEPVVKAIPKRAKEDPKPIPPKDTLPTQRVQKEITKTELKPTSEHSDIVDKEISGLENLSKNPSPAEKEVDEAKLKEIKREEEIAKAKQAMERKKKLAEKAAAKAAARAQKDAEKKLKEREKKLKKKAAASEPTTEPEQLAEAVAEAAEPTVNDEVPVSVKEKVRKEKTVRSRNRPRGPDSLPKVITRRKKSTNFWMWAAPAAAFVVLLFLALGYYYLL >Potri.017G151400.3.v4.1 pep chromosome:Pop_tri_v4:17:14968106:14971733:1 gene:Potri.017G151400.v4.1 transcript:Potri.017G151400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G151400.v4.1 MSSFILWFLSFQIIQHSFSFSLARGGSEIDKLSLLAFKAQISDPPTKLSSWNESVHFCQWSGVTCGRRHQRVIELDLHSSQLVGSLSPHIGNLSFLSLLRLENNSFTNTIPREIDRLVRLQTLILGNNSFTGEIPANISHCSNLLSLNLEGNNLTGNLPAGLGSLSKLQVFSFRKNNLGGKIPPSFENLSSIIEIDGTLNNLQGGIPSSIGKLKTLNFFSLGSNNLSGTIPASLYNISSLIHFSLPYNQFHGTLPPNIGLTLPNLQYLGIHDNRLSGQLPATLINATKFTEIYLSYNKFTGKVPTLAIMPNLRILSMEENGLGKGEDDDLSFLYTLSNSSKLEDLYIDNNNFGGVLPDIISNFSTKLKQMAFGSNQIRGTIPDGIGNLASLEALGLERNQLTGSIPNSIGKLQNLVELYLNENKLSGSIPSSLGNIISLLQINFNQNSLQGSIPASLGNCRNLLLLALSQNNLSGPIPKEVLSISSLSMHLVLSENQLSGSLPFEVGQLKHLGHMDFSKNRLSGEIPSSLGSCESLEHLSLDGNFFQGPISDSLRSLRALQDLNLSHNNLTGQIPKFLGDFKLLQSLDLSFNDLKGEVPMHGVFENTSAVSIAGNKNLCGGILQLNLPTCRSKSTKPKSSTKLALIVGIPCGFIGLIFITSFLFLCCLKKSLRKTKNELSCEMPFRTVAYKDLLQATNGFSSGNLVGAGSFGSVYKGVLAFDGVIVAVKVFNLLREGASKSFMRECAALLNIRHRNLVKVLYAYAGVDLQGDDFKALVYEFKINGSLEEWLHPNQTLDQEVDGPRNLNLIQRLNIAIDVANALDYLHNQCKMPIVHCDLKPSNVLLDGDMTAHVGDFGLLKFLSEASCQSSSSQTSSVGLKGTVGYAAPEYGIGSEVSTFGDVYSYGILLLEMITGKRPTDSMFRDGLELHSYVKMALPDRVIDVADPKLLTEVDQGKGTDQIVECLISISKIGVFCSEKFPKERMDISNVVAELNRAKANFL >Potri.002G219200.2.v4.1 pep chromosome:Pop_tri_v4:2:20963835:20965041:-1 gene:Potri.002G219200.v4.1 transcript:Potri.002G219200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G219200.v4.1 MPKNKGKGGKNRKRGKNEADDEKRELIFKEDGQEYAQVLRMLGNGRCEATCIDGTKRLCHIRGKMHKKVWIAAGDIILVGLRDYQDDKADVILKYMPDEARLLKAYGELPENTRLNEGIAGGLDEEDDGAGDDYIEFEDEDIDKI >Potri.001G315200.5.v4.1 pep chromosome:Pop_tri_v4:1:32591364:32597260:1 gene:Potri.001G315200.v4.1 transcript:Potri.001G315200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315200.v4.1 MASTSCAKQSPLPMREPVMSYVQYPAPGATYEDVIVSTKLFMETLEKFHAAMGTKFMIPIIAGKELNLHRLFVEVTSRGGIEKIIREKRWKEVTSVFNFPSTATNASFVLRKYYGSLLQHYEQLYYFKARSWSPVSPVPLQSPLISQCSAHVTVQPSPEYQAAAVKQKNTNTAELRGVVAMPGSSASPPVVGVIDGKFEGGYLVTVTVGSEKLKGVLYQAPQNQSWPVPQPHGVSANNSFNTNPVPSARNSGNTHPVPGTRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFSEQHARLKPLYPGKDREISRMIGELWNKINDSQKAVYQEKALRDKERYKIEMEGYRERLRTGKVIIDAVPLQQWIPGKDSEMVEASVRTEETGGGSLQNDGSSSESDSEDDDRTAEKDSDMEASPV >Potri.001G315200.6.v4.1 pep chromosome:Pop_tri_v4:1:32591716:32597260:1 gene:Potri.001G315200.v4.1 transcript:Potri.001G315200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315200.v4.1 MASTSCAKQSPLPMREPVMSYVQYPAPGATYEDVIVSTKLFMETLEKFHAAMGTKFMIPIIAGKELNLHRLFVEVTSRGGIEKIIREKRWKEVTSVFNFPSTATNASFVLRKYYGSLLQHYEQLYYFKARSWSPVSPVPLQSPLISQCSAHVTVQPSPEYQAAAVKQKNTNTAELRGVVAMPGSSASPPVVGVIDGKFEGGYLVTVTVGSEKLKGVLYQAPQNQSWPVPQPHGVSANNSFNTNPVPSARNSGNTHPVPGTRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFSEQHARLKPLYPGKDREISRMIGELWNKINDSQKAVYQEKALRDKERYKIEMEGYRERLRTGKVIIDAVPLQQWIPGKDSEMVEASVRTEETGGGSLQNDGSSSESDSEDDDRTAEKDSDMEASPV >Potri.001G315200.9.v4.1 pep chromosome:Pop_tri_v4:1:32591769:32597260:1 gene:Potri.001G315200.v4.1 transcript:Potri.001G315200.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315200.v4.1 MASTSCAKQSPLPMREPVMSYVQYPAPGATYEDVIVSTKLFMETLEKFHAAMGTKFMIPIIAGKELNLHRLFVEVTSRGGIEKIIREKRWKEVTSVFNFPSTATNASFVLRKYYGSLLQHYEQLYYFKARSWSPVSPVPLQSPLISQCSAHVTVQPSPEYQAAAVKQKNTNTAELRGVVAMPGSSASPPVVGVIDGKFEGGYLVTVTVGSEKLKGVLYQAPQNQSWPVPQPHGVSANNSFNTNPVPSARNSGNTHPVPGTRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFSEQHARLKPLYPGKDREISRMIGELWNKINDSQKAVYQEKALRDKERYKIEMEGYRERLRTGKVIIDAVPLQQWIPGKDSEMVEASVRTEETGGGSLQNDGSSSESDSEDDDRTAEKDSDMEASPV >Potri.001G315200.8.v4.1 pep chromosome:Pop_tri_v4:1:32591718:32597260:1 gene:Potri.001G315200.v4.1 transcript:Potri.001G315200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315200.v4.1 MASTSCAKQSPLPMREPVMSYVQYPAPGATYEDVIVSTKLFMETLEKFHAAMGTKFMIPIIAGKELNLHRLFVEVTSRGGIEKIIREKRWKEVTSVFNFPSTATNASFVLRKYYGSLLQHYEQLYYFKARSWSPVSPVPLQSPLISQCSAHVTVQPSPEYQAAAVKQKNTNTAELRGVVAMPGSSASPPVVGVIDGKFEGGYLVTVTVGSEKLKGVLYQAPQNQSWPVPQPHGVSANNSFNTNPVPSARNSGNTHPVPGTRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFSEQHARLKPLYPGKDREISRMIGELWNKINDSQKAVYQEKALRDKERYKIEMEGYRERLRTGKVIIDAVPLQQWIPGKDSEMVEASVRTEETGGGSLQNDGSSSESDSEDDDRTAEKDSDMEASPV >Potri.001G315200.3.v4.1 pep chromosome:Pop_tri_v4:1:32591770:32597260:1 gene:Potri.001G315200.v4.1 transcript:Potri.001G315200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G315200.v4.1 MASTSCAKQSPLPMREPVMSYVQYPAPGATYEDVIVSTKLFMETLEKFHAAMGTKFMIPIIAGKELNLHRLFVEVTSRGGIEKIIREKRWKEVTSVFNFPSTATNASFVLRKYYGSLLQHYEQLYYFKARSWSPVSPVPLQSPLISQCSAHVTVQPSPEYQAAAVKQKNTNTAELRGVVAMPGSSASPPVVGVIDGKFEGGYLVTVTVGSEKLKGVLYQAPQNQSWPVPQPHGVSANNSFNTNPVPSARNSGNTHPVPGTRRRRRRKKSEIKRRDPAHPKPNRSGYNFFFSEQHARLKPLYPGKDREISRMIGELWNKINDSQKAVYQEKALRDKERYKIEMEGYRERLRTGKVIIDAVPLQQWIPGKDSEMVEASVRTEETGGGSLQNDGSSSESDSEDDDRTAEKDSDMEASPV >Potri.019G028351.1.v4.1 pep chromosome:Pop_tri_v4:19:4053282:4053389:-1 gene:Potri.019G028351.v4.1 transcript:Potri.019G028351.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:psbT MEALVYTFLLVSTLGIIFFAIFFREPPKVPTKKVK >Potri.001G240300.1.v4.1 pep chromosome:Pop_tri_v4:1:25780270:25784757:1 gene:Potri.001G240300.v4.1 transcript:Potri.001G240300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G240300.v4.1 MARKFFVGGNWKCNGTIEGVKKIVTMLNEAEVPSKDVVEVVVSPPFVFLPLVKSLQRPDFQVAAQNCWVRKGGAFTGEISAEMLVNLGIPWVILGHSERRSLLNESNEFVGDKVAYALSLGLKVIACIGETLQQRESGSAMAVVAAQTKAIADKASNWANVVLAYEPVWAIGTGKVATPDQAQEVHLELRKWLHDNVGAEVAATTRIIYGGSVNGANCKELAAQPDIDGFLVGGASLKREFIDIIKSLTVKKSQL >Potri.003G072700.1.v4.1 pep chromosome:Pop_tri_v4:3:10049285:10052697:-1 gene:Potri.003G072700.v4.1 transcript:Potri.003G072700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072700.v4.1 MDSINSFKGYGKVDEAEQQAFRKKSRKRLIIIVVSSIVLLAVIIGAVIGAVVHKRNNKSSSNPVPPPELTPATSLKAVCSVTQYPASCFSSISALETGNTTDPEVLFKLSLRVAMNELSKLKDYPDKLIQSIKDTTLQGALKVCATVFDDAVDRLNDSISSMAIGEGEQILSPAKMNDLKTWLSATITDQETCLDALQELSTTKHFNQTLVDQVKTAMENSTEFVSNSLAIVAKILGLLSDFKIPIHRRLLGFERSHISEFPQWVSFGDRRLLQESKPTPNVTVAKDGSGDCETLREAVGKIPKKSESKFIIHVKEGVYVENVILDKSKWNVMIYGEGKDKTIVSGSLNFVDGTPTFSTPTFAVAGKGFFARDMKFINTAGAEKHQAVAFRSGSDMSVFYRCAFDAFQDTLYAHSNRQFYRDCDITGTIDFIFGNAAVVFQNCNIQPRQPLANQFNTITAQGKKDPNQNTGISIQKCKFSAFDNVTAPTYLGRPWKDYSTTVIMQSDIGSFLRPLGWISWVSGVDPPATIFYAEYQNTGSGANVDGRVKWTGYKPALTVDEAGKFAVDSFIQGSEWLPKTSVTFQSML >Potri.005G189150.1.v4.1 pep chromosome:Pop_tri_v4:5:19677294:19683151:1 gene:Potri.005G189150.v4.1 transcript:Potri.005G189150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G189150.v4.1 MLSLLSPNISIWSLATRRVFPIWKPYPNKLKSQIINTKVASGSSSIKKHDYSQYSYKIAKSQHFVEHIQTF >Potri.010G061200.1.v4.1 pep chromosome:Pop_tri_v4:10:9104858:9105956:1 gene:Potri.010G061200.v4.1 transcript:Potri.010G061200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G061200.v4.1 MAEVKLLGTWPSPFSYRVIWALKLKGIPYEYIEEDLSNKSPLLLQCNPVHKKIPVLIHGGKSICESLVILEYMEETWPQIPLMPDDPYERARARFWVKFVEDKGVAVWIMFYSSGEEQEKAVKDSLEMLKTIEEHALGKKRFFCGDKISLVDIAYGWIAQWLEVLEEVAGIKLIEPQKFPRLHTWIKNFKDEPIIKENLPGHDEMLVYFKPLGGRAPSR >Potri.001G014200.1.v4.1 pep chromosome:Pop_tri_v4:1:1000642:1001541:-1 gene:Potri.001G014200.v4.1 transcript:Potri.001G014200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G014200.v4.1 MSHMLLEILVEEALKGNKSSSTFKAESFAKVATEISQKFNVQCEPKHVDNHLKTVKKEWGIITQNKNKSGFGWGDCLKMITVSKDVYDEEVKAHPNHDKYLNKKLDMYEAMTIVVGKDMATGNYAKSYADINLEENIEVQSISNENEGEYEETLKGKETSSSNTQKRQHKKRYRTYEDDSVEKLSKKIGDVAFAIQSLSKNQLDVNELYTEVMKVEGFEEIALGDAFDHLVQNEMLAKAFMAKNANLRKIWVHNFVNQHCYRPDC >Potri.001G236204.1.v4.1 pep chromosome:Pop_tri_v4:1:25457910:25458931:-1 gene:Potri.001G236204.v4.1 transcript:Potri.001G236204.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G236204.v4.1 MGQETRIWKQILLPYWKLACSLLEECVLQVYACIQFLLCSEPSSCKSKPTFSYNRFRAPCLPYMSGARNGLKRPRSPSSISVPLDVNSPAKLHIELVADGWAQT >Potri.019G059350.1.v4.1 pep chromosome:Pop_tri_v4:19:9856767:9857749:-1 gene:Potri.019G059350.v4.1 transcript:Potri.019G059350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059350.v4.1 MTIFLSSPHNSLNWTASVPPSADSRLFSPAKRPHQTSPSQKEAVVWPAPHPPLVFLSSPHSSLNWTASVPPSAERSPRFQLLLPCHHTRRPAPSSPSPV >Potri.006G052700.1.v4.1 pep chromosome:Pop_tri_v4:6:3645342:3648948:-1 gene:Potri.006G052700.v4.1 transcript:Potri.006G052700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052700.v4.1 MALRGALILYSFFMIFLSIISSYNCLQEDPLNNYLEEEASGYDSRAYPSHYSTISEDGEFKNLVKLRSDVLISLQAFSKVGGKSTSVRTVNVKDFGAKAVGGDDTETIEKAWKEACSSSEGAIVVVPRYTYRLKPITFQGPCKSNITLQVNGVIEASDDLSDYKEDGRHWLVFDSIQNLQVEGGGTIDGNGKIWWQNSCKVDKNLPCKDAPTAITFYECQNLVVKNLKIQNAQQMHVSFEKSNGVQVSNLTVTSPEGSPNTDGIHVAGTQNIQITDSVIGTGDDCISIVSGSQNVQASDITCGPGHGISIGSLGVHNSEDYVSGVTVNGAKFSGTTNGVRIKTWQGGSGSARNIEFLNIEMNNVTNPIIIDQNYCDQDEPCEEQRSAVQVKNVVYKNIKGTGASEVAIKFDCSKTYPCEGILMHDVNLEREGAGTAIASCNNVKLAELGVVSPKCP >Potri.002G063500.12.v4.1 pep chromosome:Pop_tri_v4:2:4350350:4357632:1 gene:Potri.002G063500.v4.1 transcript:Potri.002G063500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063500.v4.1 MKYSRTKQQPELFWPRVVVRKLLNISSKECDYSADSDDDNASDSASDINEFDECSRGSQSGSKRGEDAQDSIPRIRRRLSETFRAQYINTKEIRICVGTWNVGGKLPNDDLDIDDWIDTDDPADIYVFGLQEIVPLNAGNIFGAEDSRPVPKWENIIRETLNRIRPARTKVKCYSDHPSPSKFMSSENVPTIAEEILLESDSDIGEEIHSFHEESNGFDELNDKSITGDMNSNSGVPDNQILDLQKQFSSPKKLDGLNCLRTEDSAGDVQASAAPQKLTRILSSSEWIGLSWPEPPLNLLSQHVLQRPTSFKSIKSFKATKSFGGYSSLKSVSSELQSRLALFAELDFESLMKRNRRSSYVRIVSKQMVGIFLTIWIRRSLRKHIHNLKVSTVGVGVMGYIGNKGSISVSMSIYQTFFCFVCTHLTSGDKDGDELKRNTDVHEIQRRTKFHPFSCVGLPKGIYDHERIIWLGDLNYRINLSYDQTCELISKKEWSKLVEGDQLVRELRKGCAFDGWSEGILDFAPTYKYEMNSEKYCGEDPKAGRRIPSWCDRILSYGKGMRLLNYRRKELKLSDHRPVAATYMAEVEVFSPRKLQKALTFTDAEIENEEVVAGLGIDVRISQLRLEQDPVYSRQIQKAF >Potri.002G063500.11.v4.1 pep chromosome:Pop_tri_v4:2:4350236:4357279:1 gene:Potri.002G063500.v4.1 transcript:Potri.002G063500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063500.v4.1 MKYSRTKQQPELFWPRVVVRKLLNISSKECDYSADSDDDNASDSASDINEFDECSRGSQSGSKRGEDAQDSIPRIRRRLSETFRAQYINTKEIRICVGTWNVGGKLPNDDLDIDDWIDTDDPADIYVFGLQEIVPLNAGNIFGAEDSRPVPKWENIIRETLNRIRPARTKVKCYSDHPSPSKFMSSENVPTIAEEILLESDSDIGEEIHSFHEESNGFDELNDKSITGDMNSNSGVPDNQILDLQKQFSSPKKLDGLNCLRTEDSAGDVQASAAPQKLTRILSSSEWIGLSWPEPPLNLLSQHVLQRPTSFKSIKSFKATKSFGGYSSLKSVSSELQSRLALFAELDFESLMKRNRRSSYVRIVSKQMVGIFLTIWIRRSLRKHIHNLKVSTVGVGVMGYIGNKGSISVSMSIYQTFFCFVCTHLTSGDKDGDELKRNTDVHEIQRRTKFHPFSCVGLPKGIYDHERIIWLGDLNYRINLSYDQTCELISKKEWSKLVEGDQLVRELRKGCAFDGWSEGILDFAPTYKYEMNSEKYCGEDPKAGRRIPSWCDRILSYGKGMRLLNYRRKELKLSDHRPVAATYMAEVEVFSPRKLQKALTFTDAEIENEEVVAGLGIDVRISQLRLEQANPESVLDYTSHRGRFWTTIGS >Potri.002G063500.13.v4.1 pep chromosome:Pop_tri_v4:2:4350269:4357246:1 gene:Potri.002G063500.v4.1 transcript:Potri.002G063500.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063500.v4.1 MSSENVPTIAEEILLESDSDIGEEIHSFHEESNGFDELNDKSITGDMNSNSGVPDNQILDLQKQFSSPKKLDGLNCLRTEDSAGDVQASAAPQKLTRILSSSEWIGLSWPEPPLNLLSQHVLQRPTSFKSIKSFKATKSFGGYSSLKSVSSELQSRLALFAELDFESLMKRNRRSSYVRIVSKQMVGIFLTIWIRRSLRKHIHNLKVSTVGVGVMGYIGNKGSISVSMSIYQTFFCFVCTHLTSGDKDGDELKRNTDVHEIQRRTKFHPFSCVGLPKGIYDHERIIWLGDLNYRINLSYDQTCELISKKEWSKLVEGDQLVRELRKGCAFDGWSEGILDFAPTYKYEMNSEKYCGEDPKAGRRIPSWCDRILSYGKGMRLLNYRRKELKLSDHRPVAATYMAEVEVFSPRKLQKALTFTDAEIENEEVVAGLGIDVRISQLRLEQDPVYSRQIQKAF >Potri.002G063500.10.v4.1 pep chromosome:Pop_tri_v4:2:4350220:4357690:1 gene:Potri.002G063500.v4.1 transcript:Potri.002G063500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063500.v4.1 MKYSRTKQQPELFWPRVVVRKLLNISSKECDYSADSDDDNASDSASDINEFDECSRGSQSGSKRGEDAQDSIPRIRRRLSETFRAQYINTKEIRICVGTWNVGGKLPNDDLDIDDWIDTDDPADIYVFGLQEIVPLNAGNIFGAEDSRPVPKWENIIRETLNRIRPARTKVKCYSDHPSPSKFMSSENVPTIAEEILLESDSDIGEEIHSFHEESNGFDELNDKSITGDMNSNSGVPDNQILDLQKQFSSPKKLDGLNCLRTEDSAGDVQASAAPQKLTRILSSSEWIGLSWPEPPLNLLSQHVLQRPTSFKSIKSFKATKSFGGYSSLKSVSSELQSRLALFAELDFESLMKRNRRSSYVRIVSKQMVGIFLTIWIRRSLRKHIHNLKVSTVGVGVMGYIGNKGSISVSMSIYQTFFCFVCTHLTSGDKDGDELKRNTDVHEIQRRTKFHPFSCVGLPKGIYDHERIIWLGDLNYRINLSYDQTCELISKKEWSKLVEGDQLVRELRKGCAFDGWSEGILDFAPTYKYEMNSEKYCGEDPKAGRRIPSWYDSAVFEWCDRILSYGKGMRLLNYRRKELKLSDHRPVAATYMAEVEVFSPRKLQKALTFTDAEIENEEVVAGLGIDVRISQLRLEQDPVYSRQIQKAF >Potri.002G063500.1.v4.1 pep chromosome:Pop_tri_v4:2:4350220:4357640:1 gene:Potri.002G063500.v4.1 transcript:Potri.002G063500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G063500.v4.1 MKYSRTKQQPELFWPRVVVRKLLNISSKECDYSADSDDDNASDSASDINEFDECSRGSQSGSKRGEDAQDSIPRIRRRLSETFRAQYINTKEIRICVGTWNVGGKLPNDDLDIDDWIDTDDPADIYVFGLQEIVPLNAGNIFGAEDSRPVPKWENIIRETLNRIRPARTKVKCYSDHPSPSKFMSSENVPTIAEEILLESDSDIGEEIHSFHEESNGFDELNDKSITGDMNSNSGVPDNQILDLQKQFSSPKKLDGLNCLRTEDSAGDVQASAAPQKLTRILSSSEWIGLSWPEPPLNLLSQHVLQRPTSFKSIKSFKATKSFGGYSSLKSVSSELQSRLALFAELDFESLMKRNRRSSYVRIVSKQMVGIFLTIWIRRSLRKHIHNLKVSTVGVGVMGYIGNKGSISVSMSIYQTFFCFVCTHLTSGDKDGDELKRNTDVHEIQRRTKFHPFSCVGLPKGIYDHERIIWLGDLNYRINLSYDQTCELISKKEWSKLVEGDQLVRELRKGCAFDGWSEGILDFAPTYKYEMNSEKYCGEDPKAGRRIPSWYDSAVFEWCDRILSYGKGMRLLNYRRKELKLSDHRPVAATYMAEVEVFSPRKLQKALTFTDAEIENEEVVAGLGIDVRISQLRLEQDPVYSRQIQKAF >Potri.014G157300.1.v4.1 pep chromosome:Pop_tri_v4:14:11110271:11111771:-1 gene:Potri.014G157300.v4.1 transcript:Potri.014G157300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G157300.v4.1 MNRNLMSVARKALTKAHNPATNNKLFVAGLSWSVDEKSLKDAFSSFGDVTEVNILYDRNSGRSRGFGFVSFCKEDEAVSAKDAMDGKALLGRPLRISYALERVRGGPVVVPRLPNGGGEGYNGNA >Potri.010G216300.2.v4.1 pep chromosome:Pop_tri_v4:10:20355306:20358744:1 gene:Potri.010G216300.v4.1 transcript:Potri.010G216300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G216300.v4.1 MWRAIDAHSKTIRFSLRTALFSYHQNPLPISSLKPPKAGHFFSLFKTLSVSSSTPNTSFSVIAFTTRHCNNVAWGGGVRGGNLVVVRCISSISASAAPNQQHTAVDWNEPVSSSDVGVGGKGTGVEVDTRPSIPVRAFFFSTSVDLKSVVEQNKQNFIPPTSRMTNYVVLRFGNLSQPSGLGSCISGSSCSYMVVFQYGSIVLFNVREHEVDEYLNIVRRHASGLLPEMRKDEYEVREKPTLNTWMQGGLDYIMLQFLNTDGIRTIGSVLGQSIALDYYGRQVDDMVAEFTDINRGMEKTGTFSMDSKKLFQIVGKANSNLADVILKLGLFERSDIAWKDAKYAQIWEYLRDEFELTQRFASLDFKLKFVEHNIRFLQEILQNRKSDFLEWLIIVLIGVEIIISVFDIVHRSGFKFF >Potri.004G128601.1.v4.1 pep chromosome:Pop_tri_v4:4:13521449:13530250:1 gene:Potri.004G128601.v4.1 transcript:Potri.004G128601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G128601.v4.1 MSAKWRALQHRHRYTYSAVIFPSSFTDTLLSQSLLPLNPNFSLFFTQLKTLISLNSIYSQVNHSKNLASSFTNLLSLIHTENDTPILQTACRLYVEVLFLENSVPLHRTLISGLSKVSNKDRQVLIVECFRDLCEEYKKWSNRKRFCLSRVALSIMGMPKLGFLISVVGDCAVLIGWDVVLGLDSVFSEIEDLGGRPSPVVMEQCQESLSCLYYLIQRFPGTFKCFEEVGFMERVLGVLVSVLKSMAFTRDCFVAAGVALCAALQVCLTSEELGLVIVKAIFNGITCSSSGTNCFESEFRDVILKVPFKGDLCFEINGFSGLSRLCLIRGILTAVSRAVLNSQFVVSSGGLNVNEENGNCCGSVKTILYDGILPELCNYCENPIDSHFNFHALTVLQICLQQMKTSMLSNLTDISNNYEPIPVEMGTRILKIIWNSLEDPLSQTVKQVHLIFDLFLDIQSSLHWGEGSERIKSFLQKIASDLLRLGTGCKGRYVPLALLTKRLGAKTILDMSPDLLFEIVQAYIDDDVCCAATTFLKCFLECLRDECWNCNGIEEGYAIYRGHCLPPFLFGLASGVSKLRSNVNTYALPVLLEVDVDSIFPMLAYISVGLIGAENELSYPELSGTNVELGVEQQVAVLVSLVKVCRSLALIEGDIDLWDASQPLQTNGMLGTDSVKLYALFSIKGIKVKVHVEWLVLALRHVDELLRVDAAESLFLNPKTSSIPSCLELTLLKEAVLLNMRSCSTGFQMKWTSLFRKFFARVRTALERQLKQGSWQPLLDCNNNGAYSNKGIEESLIKRAENLFNFMRWLSCFLFFSCYPSAPYKRKIMAMDLLLIMLNVWPITLTSQDKDGSLRPESSLYPYSKGITLPDSTLLLVGSIIDSWDRLRESSFRILLYFPNPLPGISSKDMVQKVINWAKKLVCSPRVRESDAGALTLRLLFRKYVLELGWILRASVDVVCFQSQSELVNVDSQIIESKPPVVEYIKSLIDWLNASVEEGERNLSEACKNSFVHGVLLTLRYTFEELDWNSDAVLSSISEMRHALEKLLELLVRITSLALWVVSADAWYLADMDEMADDDVYLMDEMEVVRPSEDEGINSKHVQDSRPSEQIVMVGCWLAMKEVSLLLGTIIRKIPLPGYSYSDSKSEDPCPDASMLTIPNAMLDLQQLEQIGNHFLEVLLKMKHNGAIDKTRVGFTALCNRLLCSNDPRLCKLTEIWMEQLMERTVAKGQVVDDLLRRSAGIPAAFIALFLSEPDGAPKKLLPRALRWLIDVANSSLLYLVDAKSMNGDSCKLSSTNSDQAPDSAKLYGVNVMEKTSKIRDEGVIPTVHAFNVLRAAFNDTNLATDTSGFAAEALIVSIHSFSSPYWEVRNSACLAYTALVRRMIGFLNLQKRESRRSLTGLEFFHRYPSLHPFLFNELSVATDALGDATSGCSESNLSKVVHPSLCPVLILLSRLKPSTIASESGDDLDPFLFMPFIRRCSTQSNLRIRVLASRALTGLVSNEKLPTALLNIGSELPCVENQIAASSFPSSLLKPSNGTVSTNYNSIHGMLLQLCSLLDANCRNLADFTKKEKILGDLFQVLAKRSWIASPKRCPCPILNGSFVRVLDHMLSVAQTGHIRENYLPIRSLLWKLCTECLDVEDSFGVSYYDPTVAELREQATISYFSCVLQASKDGMEEVLQKPQAHLSHDLKLLNLPETKETFVSLEKRLISSLTDSSYEVRLATLKWLLKFLKSTESISDVHHLSSSAIGIIQHWSKPNLQETMVKLLDSEKYHRCKYYILRILYTWNLLQFQKPGNQNSADITYVGNLDNDSTFQFWDKLLSLYNITRHKKTRETLICCMAICVKKFSSLLTSSVLSYMEEETSKSCESCQLERSALLYEYITLFVNLIKEHSSSSEPVTKRNAAAESIIASGLLEQAELIGSCVFSNEIPAGLSGSCFEPKEAVNMYGRQLLEIWFTCIKLLEDEDDAIRQWLALNVQKCFSSKASGSSFHAVGVPMQVEKVIELSFGYLSYIFGHWIDYFDHLSQWVINGANYVTCKGDIVRRVFDKEIDNHHEEKLLICQICCSHLEQLPISKSWLADGPFKQKFTNYLYNWRRRFCHQLTSFAKDHIENLGGVDWIGGVGNHKDAFLPIYANLLGFYALSNCMVNRNIEDDMLLLSQIVEIGKTIDPFLRNPLISNLYLLVVNLHERKVGATADMLTSKFIIDDSIWDGFDPYFLLR >Potri.019G091100.1.v4.1 pep chromosome:Pop_tri_v4:19:12909729:12910278:-1 gene:Potri.019G091100.v4.1 transcript:Potri.019G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G091100.v4.1 MANLKLWVCLLLLFLTFSKSETRLLDQPYLGRKNPARMLQELNEKSKQLFEDDSDVTGSPYEPKRISPGGPDPKHH >Potri.019G069866.1.v4.1 pep chromosome:Pop_tri_v4:19:11054873:11055862:1 gene:Potri.019G069866.v4.1 transcript:Potri.019G069866.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G069866.v4.1 MASSSAVAHKWKYDVFLSFRGQDTRNNFTSHLYDALCRKKIKTFIDNGLERGEEITPALLKTIEESRISVVIFSKNYASSPWCVDELVKILECKETYGQIVLPVFYHVDPSEVDEQTGSFENAFAELEKNFKGKMDKLPRWRAGLTYAASISGWDSQVTSPESKLVREVVQTIWKRLNDASPSKLRGLVGVDSRIEQINKLLSTVPSD >Potri.005G150800.1.v4.1 pep chromosome:Pop_tri_v4:5:13836176:13844871:-1 gene:Potri.005G150800.v4.1 transcript:Potri.005G150800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150800.v4.1 MESSECVRVAVNIRPLITPELLIGCTDIITVVPGEPQVQIGSHSFTYDYVYGSTASPSSEIFNDCVAPLVEALLNGYNATVLAYGQTGSGKTYTMGTNYSGEGSNSGIIPKVMDSIFKRVEAANESSEFLIRVSFIEIFKEEVFDLLDPNSAAFSKGEWVNAAKPAVPSRVPIQIRETANGGITLAGVTEAEVRNKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITMEQKKISSCPIGVNNDDIGDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKKKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMAAQMQQMRGQIEQLQAELLFYRGDATIPFDKLQILKHKVSLLEVSNAELQRELQERRLTCEHLNQRAVDAQVEKDKLIMQIESARNGKSWDEIDSSINQDYELVKMYVSKIQELEGELLHLKNLSSSKRNQFVDYLDSDDERFRSKDALLQSLNELSSNSDTKAADISDEIEDEEKEQEHSSLQEKLDRELKELDRKLEQKEAEMKRFTSVDTSVLKQHYDKKVQDLEQEKRLLQKEIEELRYNLANISSTSDDGAKKLKEDYLQKLTVLEAQVAELKKKQDAQAQLLRQKQKSDEAARRLNEEIQRIKTQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLESRKMSRETFGVGNGNGPGVQALMQAIEHELEVTLRVHEVRSEYEHQMQVRARMANEMAKLKEEGEILKQTNSSICPPTMSPGARNSRIFALENMLAASSSTLVSMASQLSEAEERERGFSGRGRWNHVRSLADAKNVMNYLFNIASSTRCLLRDKEVACREKDTEIRDLKEKVVKLSSLARHLEIQKTELIHQVKSESSALKKYSIKSEAGSEEYKRDMHRQAQQSTPIILDDMDTSESEHSDNNMTDDEWVQSEKEATDDEWVMSGKRRGKKRNSKTKGRSSTGDIHDQENCKSDCSGEAATTVQACCACSKYSLCKTSKCQCRASGGFCGISCGCMPNKCSNRGAIEINDSTLGSNETEKNQVLVSQGAMLLQSALVEKPVETNDDSVVRRKPLSDIGNTVAKSNAPKANQRKKWRKSVIQLVPVPPPSTKSENTEAAPQKAVGSAASNGVSEADSSVIEAEIPLKLPRAMQSAAPNGGILLRERNADQAEESANKEAVVLPTRSSPARPKRKSDEKENYGR >Potri.005G150800.4.v4.1 pep chromosome:Pop_tri_v4:5:13836208:13844867:-1 gene:Potri.005G150800.v4.1 transcript:Potri.005G150800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150800.v4.1 MESSECVRVAVNIRPLITPELLIGCTDIITVVPGEPQVQIGSHSFTYDYVYGSTASPSSEIFNDCVAPLVEALLNGYNATVLAYGQTGSGKTYTMGTNYSGEGSNSGIIPKVMDSIFKRVEAANESSEFLIRVSFIEIFKEEVFDLLDPNSAAFSKGEWVNAAKPAVPSRVPIQIRETANGGITLAGVTEAEVRNKEEMASYLSRGSLSRATGSTNMNSQSSRSHAIFTITMEQKKISSCPIGVNNDDIGDDILCAKLHLVDLAGSERAKRTGADGMRFKEGIHINKGLLALGNVISALGDEKKKKEGGHVPYRDSKLTRLLQDSLGGNSKTVMIACVSPADTNAEETLNTLKYANRARNIQNKAVVNRDPMAAQMQQMRGQIEQLQAELLFYRGDATIPFDKLQILKHKVSLLEVSNAELQRELQERRLTCEHLNQRAVDAQVEKDKLIMQIESARNGKSWDEIDSSINQDYELVKMYVSKIQELEGELLHLKNLSSSKRNQFVDYLDSDDERFRSKDALLQSLNELSSNSDTKAADISDEIEDEEKEQEHSSLQEKLDRELKELDRKLEQKEAEMKRFTSVDTSVLKQHYDKKVQDLEQEKRLLQKEIEELRYNLANISSTSDDGAKKLKEDYLQKLTVLEAQVAELKKKQDAQAQLLRQKQKSDEAARRLNEEIQRIKTQKVQLQHKIKQESEQFRLWKASREKEVLQLKKEGRRNEYEMHKLLALNQRQKMVLQRKTEEAAMATKRLKELLESRKMSRETFGVGNGNGPGVQALMQAIEHELEVTLRVHEVRSEYEHQMQVRARMANEMAKLKEEGEILKQTNSSICPPTMSPGARNSRIFALENMLAASSSTLVSMASQLSEAEERERGFSGRGRWNHVRSLADAKNVMNYLFNIASSTRCLLRDKEVACREKDTEIRDLKEKVVKLSSLARHLEIQKTELIHQVKSESSALKKYSIKSEAGSEEYKRDMHRQAQQSTPIILDDMDTSESEHSDNNMTDDEWVQSEKEATDDEWVMSGKRRGKKRNSKTKGRSSTGDIHDQENCKSDCSGEAATTVQACCACSKYSLCKTSKCQCRASGGFCGISCGCMPNKCSNRGAIEINDSTLGSNETEKNQVLVSQGAMLLQSALVEKPVETNDDSVVRRKPLSDIGNTVAKSNAPKANQRKKWRKSVIQLVPVPPPSTKSENTEAAPQKAVGSAASNGVSEADSSVIEAEIPLKLPRAMQSAAPNGGILLRERNADQAEESANKEAVVLPTRSSPARPKRKSDEKENYGR >Potri.006G036100.1.v4.1 pep chromosome:Pop_tri_v4:6:2309500:2310819:-1 gene:Potri.006G036100.v4.1 transcript:Potri.006G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G036100.v4.1 MKVQILSRKLIAPSSPTPPNLKNLKISCFDQLAPPTYVPCVFYYPANGEDHGGNNVERSKKIEKSLAETLALFYPLGGRYTEENLSIECSDEGAEYLEARVSGSLSQLLEREEFKTEMWSRLVPRVLQPENSPLVTIQINMFECGGLAIGTCVAHRIADAYTVGTFINTWATACRIGIEKVHCRPSFPFGSLFPPKNIPAITSVDDVISVRAVKKVVRKSFVFKGETISRLKEIAAAFDSNQLLKYQPTRVEVVTGLIWMVLIRVARAIHGHLRPSVFGLTVNMRGKTTLTMPEYACGNFINLVIAQFVPDDESKIELHDCVNRVHDAIRSAVEDCAKAPSGDELFSLMIKKMREGDEAWRKSEIDAYIVNSWCRLPWYETDFGWGKPSWVHGVDAATSPGTVTLMDTEDGGGIEAFLGLDESSTLLFQQNLDVALTGN >Potri.001G399700.1.v4.1 pep chromosome:Pop_tri_v4:1:42596822:42606233:-1 gene:Potri.001G399700.v4.1 transcript:Potri.001G399700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G399700.v4.1 MGCMCCKPSAIEDSKESPRERLSSKPSSDLRVSRATSSRREEAYRAKDQYDSNDGRTMLIDKQVNGSLRVHGVEHVDRKRDKSEYAVLHHPGMGSIPKATEGEQVAAGWPSWLAAVAGEAIKGWLPRRADSFEKLDKIGQGTYSNVYRARDLDQKKIVALKKVRFDNLEPESVRFMAREIHILRRLDHPNVIKLEGLVTSRMSCSLYLVFEYMEHDLAGLASHPGLNFTEAQVKCYMQQLLRGLDHCHSRGVLHRDIKGSNLLIDNNGILKIADFGLASFYDPAQVQPLTSRVVTLWYRPPELLLGATYYGIAVDLWSTGCILAELYAGKPIMPGRTEVEQLHKIFKLCGSPSEDYWRKSKLPHATIFKPQQPYRRCVAETFKEFPAPALALMETLLAIDPVDRGSAASALRSEFFTTKPLPCDPSSLPDYPPSKEFDAKMRDEEARRQGAAGSKGQKPDMERRGQRESRAVPAPDANAELVLSMQKRHGRPNSKSRSEKFNPHPEEVASGFPIDPPRPSQAAESNMDPQGHQHKRASHSGPLSHRSGWAKAGRNPDDAPKIYTGADLSTISSLEAARRSLLSEDHRERSGLSQPEVPKLMARFPGSFKETSESFAQQDPQRLSQGVAGSHQKEDGRNNSKDPVLLGYGSKAHKIHYSGPLIVPSGNMDQMLKDHDRQIQEAVRRARFDKARVRKVQAESYQISANSLFVSGR >Potri.014G020200.1.v4.1 pep chromosome:Pop_tri_v4:14:1222598:1228676:-1 gene:Potri.014G020200.v4.1 transcript:Potri.014G020200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G020200.v4.1 MAYSSSSSSSIMISYSLILLNLYAIVSSTSDFNNRHHPTILPLLLSTPNISAHRMPFDGHYSRRHLQNSELPNARMRLFDDLLSNGYYTTRLFIGTPPQEFALIVDTGSTVTYVPCSSCEQCGKHQDPRFQPDLSSTYRPVKCNPSCNCDDEGKQCTYERRYAEMSSSSGVIAEDVVSFGNESELKPQRAVFGCENVETGDLYSQRADGIMGLGRGRLSVVDQLVDKGVIGDSFSLCYGGMDVGGGAMVLGQISPPPNMVFSHSNPYRSPYYNIELKELHVAGKPLKLKPKVFDEKHGTVLDSGTTYAYFPEAAFHALKDAIMKEIHHLKQIPGPDPNYHDICFSGAGREVSHLSKVFPEVNMVFGSGQKLSLSPENYLFRHTKVSGAYCLGIFQNGNDLTTLLGGIVVRNTLVTYDRENDKIGFWKTNCSELWKSLQVPGVPASAPVLSPSSNRSQEMPPAQAPSSMPFFHPGEIRIGIISFDMLISANNSNTKPNFTEVAEFIAHELEVDNLQVHMLNFTSTGNNYLVKWAILPAESADYISNTTAMKIIQQLSEHRLHFPERFGSYELVKWKFEPQKNRTWWQQHFVAVTVGVVVTLVVSLLSIGLWLVWRRQKALGTYVPVGAVGPEQELQPL >Potri.003G058900.1.v4.1 pep chromosome:Pop_tri_v4:3:8560860:8565042:-1 gene:Potri.003G058900.v4.1 transcript:Potri.003G058900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G058900.v4.1 MEAVLQTRGLLSLPPNPKGRVLYPSQGLKQRLFATKPKTFSGFSLSSNGVPKFPTSVSKPNGFFPKDRNLHICRAEAAAAADGQPLFGEETDKPKFLGIELATFKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLANVLSKQALFYTVILPFIAFFGAFGFVLYPLSSYIHPEAFADKLLNVLGPRFLGPLAIMRIWTFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKRFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWAVSLKGMMSIVVLMGLAICLCYWWVNTFVPLPTRSLKKKEKPKMSTMESLKFLVSSRYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTATGIATFSMMLLSQFIFDKYGWGVAAKITPTVLLLTGVGFFSLILFGGPLAPTLTQFGMTPLLAAVYVGAMQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGGILLVIVFAWLGAAKSLDTQFTALRQEEELEKEMERAAVKIPVVSQEGGNGALSSGTALNPTTGDPSSSSSEPSSPRNI >Potri.006G078900.1.v4.1 pep chromosome:Pop_tri_v4:6:5863396:5870853:1 gene:Potri.006G078900.v4.1 transcript:Potri.006G078900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G078900.v4.1 MGIFEPFRAIGYITTGVPFSVQRLGTETFVTVSVGKAFQVYNCAKLTLVIVSPQLPHKIRALACYRDFTFVAYATHIAVFKRAHQVTLWSRHSAKVNSLMVFGDYVISVDVDGNLFIWGFKGLDESNVPVGHILFGDKFTPTCMMHPDTYLNKVLIGSQEGGLQLWNVSTKKMLYEFKGWGSSVTSCVSSPALDVVAVGCVDGKIHVHNIRYDEEVVTFVHSMRGAVTSLSFSTDGQPLLASGGSSGVISIWNLEKRKLQSVVREAHDSSIISLHFLANEPVLMSSSADNSIKIWIFDTTDGDPRLLRFRSGHSAPPLCIRFYANGRHILSAGQDRAFRLFSVIQDQQSRELSQRHVSKRAKKLRVKEEELKLKPVIAFDCAEIRERDWCNVVTCHMDTAQAYVWRLQNFVIGEHILRPCPENLTPVKACTISACGNFAILGTAGGWIERFNLQSGISRGSYMDVSERGSCAHESEVVGVACDSTNTQMISAGYHGDVKVWDFKGRALKSRWEVGCSLVKIVYHRLNGLLATVADDFVIRLFDAVALRMVRKFEGHADRITDLCFSEDGKWLLSSSMDGTLRIWDVILARQIDAVHVDVSITALSLSPNMDVLATTHVDQNGVYLWVNQSMFSGASNIDSYASGKEVVNVKLPSLSSVEGSNVENEDTEKPIVNQSVSNEVSTFPAFSQQIPDLVTLSLLPKSQWQSLINLDIIKVRNKPIEPPKKPEKAPFFLPSVPSLSGEILFKPSEPVDGEDTKPDKAESDKRKLGIPETQFLELLQSSSEMKNFSAFTEYIKGLSPSNLDMELRMFQIIDEDEDEEELVKRPEFISIELLLDYFIHEISCRNNFEFVQAVTRLFLKIHGETIRCNSKLQDKARKLLDTQSAVWQRVDKLFQSARCMVTFLSNSQF >Potri.014G004900.2.v4.1 pep chromosome:Pop_tri_v4:14:379910:384319:-1 gene:Potri.014G004900.v4.1 transcript:Potri.014G004900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G004900.v4.1 MGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPDFSAVLRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSPLTPKREENGKALSVVVQSNEEVVDAKPLAMASGTPRNGGPKDLLARLDNLILEAITTLKEPSGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGKLIKVKHKYMIASSSTVSEGRRNHPLPEGKQKEMLKLEKSKNKILTKAQVDQDLLKIRGMTALEAAAAAAAAVAEAETAIAEAEAAAREAEKAEAEAEAAQVFAKAAIKAFKHRACHP >Potri.014G004900.3.v4.1 pep chromosome:Pop_tri_v4:14:379301:384368:-1 gene:Potri.014G004900.v4.1 transcript:Potri.014G004900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G004900.v4.1 MGAPKQKWTAEEEAALKAGVLKHGTGKWRTILMDPDFSAVLRLRSNVDLKDKWRNINVTAIWGSRQKAKLALKRSPLTPKREENGKALSVVVQSNEEVVDAKPLAMASGTPRNGGPKDLLARLDNLILEAITTLKEPSGSDRASIALYIQEKYWAPMNLRKLLGGKLKHLTANGKLIKVKHKYMIASSSTVSEGRRNHPLPEGKQKEMLKLEKSKNKILTKAQVDQDLLKIRGMTALEAAAAAAAAVAEAETAIAEAEAAAREAEKAEAEAEAAQVFAKAAIKAFKHRACHPW >Potri.016G140900.1.v4.1 pep chromosome:Pop_tri_v4:16:14390135:14390326:1 gene:Potri.016G140900.v4.1 transcript:Potri.016G140900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G140900.v4.1 MGWRWVEAVLPLGIIAGMLCIAGNVQYVIHTKAHGRPKHIGNDMWDVAMERRDKNLISSSSSS >Potri.001G425366.1.v4.1 pep chromosome:Pop_tri_v4:1:45584577:45584975:1 gene:Potri.001G425366.v4.1 transcript:Potri.001G425366.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G425366.v4.1 MMSWCGWWCCCDCSSSSLVLLRCLSSSSVQLLVQLVVLPFSSTGFLFPPLSICLRVPLVLVLAEDGGREGYPAVMLLLLFAASGGRIRLLCCSCLLLLKVAEELQLVMTAPLLVHAPSAEQNTATAGDGEKI >Potri.005G048200.12.v4.1 pep chromosome:Pop_tri_v4:5:3066600:3069996:-1 gene:Potri.005G048200.v4.1 transcript:Potri.005G048200.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048200.v4.1 MEDKCAIKKDVTELIGNTPMVYLNNILDGCVACIAAKLEMMEPCFSVKDRIAYSMIKDAEDKGLITPGKTVLIELTSGNTGIALASIAAAKGYKVIIIMPAAKSIERRIVMLAFGAELHLTDPTMGYKEVLRKGEEILKVTPNGYMLHQFENPANPRIHYETTGPEIWKDSAGKVDVLVAGIGTGGTVTGAGKFLKEKKPEIKVYGVEPAESAVLNGEKPGAHLIQGLGAGIVPPVLDIDLLDEVIKVSSDEAIETTKLIALKEGLLVGISSGAATAAAIKLAKKQENAGKLIVVVFASAGERYISSKLFDSIRHEAETMTFD >Potri.005G048200.11.v4.1 pep chromosome:Pop_tri_v4:5:3066561:3070057:-1 gene:Potri.005G048200.v4.1 transcript:Potri.005G048200.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048200.v4.1 MEDKCAIKKDVTELIGNTPMVYLNNILDGCVACIAAKLEMMEPCFSVKDRIAYSMIKDAEDKGLITPGKTVLIELTSGNTGIALASIAAAKGYKVIIIMPAAKSIERRIVMLAFGAELHLTDPTMGYKEVLRKGEEILKVTPNGYMLHQFENPANPRIHYETTGPEIWKDSAGKVDVLVAGIGTGGTVTGAGKFLKEKKPEIKVYGVEPAESAVLNGEKPGAHLIQGLGAGIVPPVLDIDLLDEVIKVSSDEAIETTKLIALKEGLLVGISSGAATAAAIKLAKKQENAGKLIVVVFASAGERYISSKLFDSIRHEAETMTFD >Potri.005G048200.10.v4.1 pep chromosome:Pop_tri_v4:5:3066633:3069996:-1 gene:Potri.005G048200.v4.1 transcript:Potri.005G048200.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G048200.v4.1 MEDKCAIKKDVTELIGNTPMVYLNNILDGCVACIAAKLEMMEPCFSVKDRIAYSMIKDAEDKGLITPGKTVLIELTSGNTGIALASIAAAKGYKVIIIMPAAKSIERRIVMLAFGAELHLTDPTMGYKEVLRKGEEILKVTPNGYMLHQFENPANPRIHYETTGPEIWKDSAGKVDVLVAGIGTGGTVTGAGKFLKEKKPEIKVYGVEPAESAVLNGEKPGAHLIQGLGAGIVPPVLDIDLLDEVIKVSSDEAIETTKLIALKEGLLVGISSGAATAAAIKLAKKQENAGKLIVVVFASAGERYISSKLFDSIRHEAETMTFD >Potri.017G047000.2.v4.1 pep chromosome:Pop_tri_v4:17:3340934:3342469:1 gene:Potri.017G047000.v4.1 transcript:Potri.017G047000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047000.v4.1 MASFSCFILALFIALSISGGEAARQLLQLPPLPSVPNFPKPTLPPMPSIPTLPQPTLPTAQPSLPKPTLPPLPSLPTMPSLPKVTLPPLPSMPSMPTIPIPTAIPSIPFSEYKCQNLMYAKTLQQIPTKFKIILCKPCKTIIITNQFSLLPCMPKCPSIKGFFNSFLFPGLAIILYCVFNWA >Potri.017G047000.1.v4.1 pep chromosome:Pop_tri_v4:17:3340934:3341281:1 gene:Potri.017G047000.v4.1 transcript:Potri.017G047000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G047000.v4.1 MASFSCFILALFIALSISGGEAARQLLQLPPLPSVPNFPKPTLPPMPSIPTLPQPTLPTAQPSLPKPTLPPLPSLPTMPSLPKVTLPPLPSMPSMPTIPIPTAIPSIPFLSPPPGN >Potri.006G232000.4.v4.1 pep chromosome:Pop_tri_v4:6:23497810:23501099:1 gene:Potri.006G232000.v4.1 transcript:Potri.006G232000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232000.v4.1 MASKLAQLQSKACHASKFVSKHGGAYYKQLLEQNKQYIQDPPTVEQCNLLSKQLLYTRLASIPVRYEAFWKELDYAKHLWKHRQELKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYV >Potri.006G232000.5.v4.1 pep chromosome:Pop_tri_v4:6:23498167:23500631:1 gene:Potri.006G232000.v4.1 transcript:Potri.006G232000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G232000.v4.1 MASKLAQLQSKACHASKFVSKHGGAYYKQLLEQNKQYIQDPPTVEQCNLLSKQLLYTRLASIPVRYEAFWKELDYAKHLWKHRQELKVEDAGIAALFGLECFAWYCAGEIVGRGFTFTGYYV >Potri.016G065700.1.v4.1 pep chromosome:Pop_tri_v4:16:4593527:4600199:1 gene:Potri.016G065700.v4.1 transcript:Potri.016G065700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G065700.v4.1 MGNTCVGPNLGNKGFLNSVTAAIWRSRPPEDRLPSPKGGDGSNNNGDSNAGLIGGSKKSEGSRKGSTDHPSMPVQNTPPEPVKMLNEAPPPPKFIEHEKSIKPEMRDVGIGKPGEEQKGKKPTHVKRVSSIALQMESVLGRKTGNLKDIYSLGRKLGQGQFGTTFLCVEKATGKEFACKTIAKRKLTTPEDVEDVRREIQIMHHLEGHPNVIRIVDAYEDAVAVHVVMELCSGGELFDRIVQRGHYTEKKAAELARLIVGVVEACHSLGVMHRDLKPENFLFVSQEEDSPLKTIDFGLSVFFRPGETLTDVVGSPYYVAPDVLRKLYGPKCDVWSAGVIIYILLSGVPPFWDESEQGIFEQVLKGELDFESEPWPNISESAKDLVRKMLVRDPKKRLTAHEVLCHPWVKMEGVALDRPLDPAVLSRLKKFSAMNKLKKIAIRVIAESLSEEEIAGLKEMFKMIDTDSSGHITLEELKTGLERVGANIKDSELAGLMQAADVDNSGTIDYGEFIAAMLHLNKIVKEDHLYSAFSYFDKDGSGYITQDELQQACEQFGLGDVQLEEIIREVDQDNDGRIDYSEFVAMMQDTGFSQTRSQIT >Potri.002G142600.1.v4.1 pep chromosome:Pop_tri_v4:2:10699921:10701466:-1 gene:Potri.002G142600.v4.1 transcript:Potri.002G142600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142600.v4.1 MVDLQTVCCMCGDVGFPDKLFRCNKCRNRFQHSYCSNYYSEFSEPIELCDWCQSEERNARHGNSSKKSGAGHDGGIVVTKRSEYTGDHKIKQHDREENSTTSSDQKGKNPSGIPSPRPSTRRYKLLKDVMC >Potri.006G163700.6.v4.1 pep chromosome:Pop_tri_v4:6:15884187:15886690:1 gene:Potri.006G163700.v4.1 transcript:Potri.006G163700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163700.v4.1 MAALKELLPPVKSTTTTYYDHSNDPWFKQRFSSSEAEQSASAAIKHNPVPPYLQRKGFVPRKVEDFGDGGAFPEIHVAQYPLDMGRSKSAKPGSKILALTVDAHGNVAYDAIVKQNENAKKIVYSQHKDLIPKLLKSEEEGDEDEDEDEELQKEIEETTLETKAALEKIVNVRLSAAQPKNVPKQSSDSKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAAPPPSAPVPLERGAMDDVDMRGDYEHVKERERDAPKETREEREERLRREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGKGGEVAYDQRLFNQEKGMDSGFAADDQYNVYDKGLFTAQPTLSTLYQPKKNADADMYGGADEQIDKIMKTERFKPDKEFAGTSQRTGPRDRPVEFEKDEEEADPFGLDQFLTEVKKGKKAMDKVGSGGTMRASGGSSTRDGHDGGSGRTRIGFERGR >Potri.006G163700.5.v4.1 pep chromosome:Pop_tri_v4:6:15884207:15887411:1 gene:Potri.006G163700.v4.1 transcript:Potri.006G163700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G163700.v4.1 MAALKELLPPVKSTTTTYYDHSNDPWFKQRFSSSEAEQSASAAIKHNPVPPYLQRKGFVPRKVEDFGDGGAFPEIHVAQYPLDMGRSKSAKPGSKILALTVDAHGNVAYDAIVKQNENAKKIVYSQHKDLIPKLLKSEEEGDEDEDEDEELQKEIEETTLETKAALEKIVNVRLSAAQPKNVPKQSSDSKYIKYKPSQQSAAFNSGAKERIIRMVEMPVDPLEPPKFKHKRVPKASGSPPVPVMHSPPRPVTVKDQQDWKIPPCISNWKNPKGYTIPLDKRLAADGRGLQDVQINDNFAKLSEALYVAEQKAREAVAMRSKVQKEMMMKEKERKEQELRALAQKARSERTGAAPPPSAPVPLERGAMDDVDMRGDYEHVKERERDAPKETREEREERLRREKIREERRRERERERRLEAKDAAMGKKSKITRDRDRDISEKVALGMASTGAGKGGEVAYDQRLFNQEKGMDSGFAADDQYNVYDKGLFTAQPTLSTLYQPKKNADADMYGGADEQIDKIMKTERFKPDKEFAGTSQRTGPRDRPVEFEKDEEEADPFGLDQFLTEVKKGKKAMDKVGSGGTMRASGGSSTRDGHDGGSGRTRIGFERGR >Potri.006G129300.3.v4.1 pep chromosome:Pop_tri_v4:6:10489864:10492326:-1 gene:Potri.006G129300.v4.1 transcript:Potri.006G129300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129300.v4.1 MYKTYFKLNSSQINCFYVVFTDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARITSVPAAANLNFRNDTVDLPHGFPDRPGVPDIAGIPQFNSGFGPDFSGMTPGNSLGADQQKPGLSLWLNQATSPISPNLNLYVPSSSSGLPEMVQMGSANLYGSSSTANFGNLTLSGLPHGLKEEGSSKANMVNTSASLYSDSIQNKQSKPAAVPNMSATALLQKAAQMGSTRSNQSFFGNNYGLMNCSSSSPTTNPISLNQNPNELHQVFQNVKQTAAESLTTTNSTVAMSDAMMGTSSNLDQLMMQTSGKLQNDQTQLKHQRGSNSIESGLTRDFLGMSSESSRPFLPQDLAKFASISSAMSLNHFTVNP >Potri.006G129300.1.v4.1 pep chromosome:Pop_tri_v4:6:10489259:10492880:-1 gene:Potri.006G129300.v4.1 transcript:Potri.006G129300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G129300.v4.1 MMSGDAFSLPSSIAGFAQDQNNANPNPSPKTNPNQAAKRKRNLPGTPDPDAEVIALSPKSLMATNRFICEICNKGFQRDQNLQLHRRGHNLPWKLKQRTNKEVRKKVYICPEKTCVHHDPSRALGDLTGIKKHFSRKHGEKKWKCEKCSKKYAVQSDWKAHSKTCGTREYKCDCGTLFSRKDSFITHRAFCDALAEESARITSVPAAANLNFRNDTVDLPHGFPDRPGVPDIAGIPQFNSGFGPDFSGMTPGNSLGADQQKPGLSLWLNQATSPISPNLNLYVPSSSSGLPEMVQMGSANLYGSSSTANFGNLTLSGLPHGLKEEGSSKANMVNTSASLYSDSIQNKQSKPAAVPNMSATALLQKAAQMGSTRSNQSFFGNNYGLMNCSSSSPTTNPISLNQNPNELHQVFQNVKQTAAESLTTTNSTVAMSDAMMGTSSNLDQLMMQTSGKLQNDQTQLKHQRGSNSIESGLTRDFLGMSSESSRPFLPQDLAKFASISSAMSLNHFTVNP >Potri.014G043200.1.v4.1 pep chromosome:Pop_tri_v4:14:2793209:2794011:-1 gene:Potri.014G043200.v4.1 transcript:Potri.014G043200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G043200.v4.1 MMKDEMELVMRKVASCIAATANCKNTIVIIAIIFAIRLCTEVLWRKNHIPSFTFRQKHHPIKNDDYETPYCVVCLHEVVDGERLRKLLKCKHCFHVACIDAWFQSHSTCPLCRNQVLLRHDHQHQNEKRCLFFHFLSFLQQNQL >Potri.016G127600.1.v4.1 pep chromosome:Pop_tri_v4:16:13091227:13096778:-1 gene:Potri.016G127600.v4.1 transcript:Potri.016G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G127600.v4.1 MEKGGRVSSNLAIDNMYARNLKKSQLGGVIFVCTNNTIRECLSKQLFGLPGQHFSYVKNVDPGLPLFLFNYSDRKLYGIYEAASSGQMNINPYGWTSDGAQRTPYPSQVQIHVRLQCQPLREEQFKPIIADNYYNHNHFWFELDHVQTSKLMSLLASLAVSPGTCVLTQKIEKWRNMFQPGPLSKSREEDEGDNLPASEIDHTDNSSTKSDSTHIASSDVDNQPVKDQLGVTAVEQEEKELIFKKLQELALRSEPQASSVRDGTEDSPPLHDMHLEEKASAEAQMGSEEKNDVNPCTFCQSTIAQLAKGMEELKAFRTEQTLKMGYLEQKLVEAEEQIQQLKDRCMMLESMSNPSKADIDETVNNLFDEEQLDPTDAIHLMGGYDGESWLSTFSLYFPSQDVVKSLRPMSSVRSYASVVQFHEELYVFGGGNGQLWYDTVESYNPANDQWTPRPSLTGKKGSLAGATLNDKIFAMGGGNGVECFADVEMLVLDIGKWIPTRSLLQKRFALAAVELNGVLYATGGFDGSDYSKTAERFDPREHSWSRIASMNAKRGCHSLVVLNEKLYALGGYDGSTMVSSTEIFDPRLDSWIPGEPMNKPRGYAAAAAIKESIYVVGGLESDENMIDTVEHFKQGQGWQEKKSRAIKKRCFLSAIAL >Potri.004G145200.2.v4.1 pep chromosome:Pop_tri_v4:4:16780381:16787249:-1 gene:Potri.004G145200.v4.1 transcript:Potri.004G145200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G145200.v4.1 MVSDSDLVTRLREILRRSDLDTATAGSIRRQLEEDLGVDLSEKKKFIREQIDTFLETFNGGEGKSENVSENENTENDAVGDEENENDAVKEEEEEVETETKESKGSNKTGKVRKRGGFTKLSSLSPQLQAVVGVPELARTEVVKKLWAYIRENNLQDPKNRKKIKCDEALRAVFRVNSIDMFQMSKALSKHIWPLTGEDESVKQKEKCEDSNDFGNEGDNGGEEEEEQEEEEEEEVKKESNGRSKKGRKSAKVDENVKKRGGGFTKLCSLSPQLQELVGVPELARTGVVKKLWAYIREKNLQDPKNKRNIICDESLRALFDVDSIDMFQMNKALSKHIWAVCEEDAPSNSSPKEKGAKQGREEDPDEPKQKEKRQKKGSC >Potri.013G082700.1.v4.1 pep chromosome:Pop_tri_v4:13:7112916:7114646:-1 gene:Potri.013G082700.v4.1 transcript:Potri.013G082700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G082700.v4.1 MSSSVNLVVMVVGLLVLAQQSFQMSLRNPVAATNNCKIDFTRLGLVLTSGNNEKALQDSGLFTPDAETPYVDIAGRRFHIGTLNARYIVYVKIGGNSVNAAIAVQILLNRFRIQGIIHFGSAGSLDEKSIVPGDVSVPLAVAFTGAWNWKKLGSDKGALNFGEFNYPVNGENLLASVDYDTIKLFSKGQSPQDVFWFPSTTSWYSAATQVLQDLVLRQCYHGVCLPYKPKIVFGTKGSSSDSYIKNKAYGDFLHKGLNVSTADQESAAVAWTSLSNEKPFIVIRGASNVAGGASSVSQLSYLASYNAFLAAAKFIESIPTPRLACE >Potri.016G123900.2.v4.1 pep chromosome:Pop_tri_v4:16:12820186:12823871:1 gene:Potri.016G123900.v4.1 transcript:Potri.016G123900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G123900.v4.1 MASISFNNNFFDNWFNKPPKPLPSINLLSLISFKNSSKTPNFSSLSISNPFKKPQKPDPDPTQQQPGYYRQMIDQYFWECENLPDYRHTPEVEKILNEDPVFEKKENPTPEEIEENERWWAEFRASPVVKFLTRAHQIADEINEMELKANSIPYRWEDRKFWQALPHVTGPDGRPMPRKAIITKKESDDKFWDFARQFFFGLWGFRQRPYPPGRPIDVAQAIGFKRLEKRYYDFIMKTGGWYYKDRLGRTRGPMELIQLKTAWGGGIIDKDTFIWGDDMDEWAPIHMIYGMERAIATWEVRLGAAATAFLHKLQKGIPPWVPLKGREQKTYKQMQQEAVESKRRDLAVLEANGGIWPGVRIPSHALFLWASGPELTNILEQDHMPNIFIPKDLRLQLAKIIPGLRPWEVLSIEQAMDQITYGGQWYREPLGSYTTGPPYIRHWNKDVKRILQIFYTLSTRVYSKLQRTIPGIDTIMEKVHVDSASRGARRKQKREAQLKAEQEEALYGRGRTDS >Potri.006G025900.3.v4.1 pep chromosome:Pop_tri_v4:6:1701458:1709678:-1 gene:Potri.006G025900.v4.1 transcript:Potri.006G025900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025900.v4.1 MRHKMESTEEPEALPPPPSDALPPPDALPPPDALPPPDALPPPPPEIPPNVVPVQLTTDSVPEETKKISKPKRSPIARRGFGSRGQKIQLLSNHFKVSISNTGGHFFHYCVSLSYEDGRPIDAKGIGRRLIDKVHETYGSDLAGKDFAYDGEKSLFTIGALPRNKMEFTVLLDSFSSNRNSGNGSPVGNGSPNETDKKRMRRAFQSKTFKVEMSFAAKIPMQAIAAALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHDDPKNYVDLGGGVLGCRGFHSSFRTSQGGLSLNIDGSTTTIIQPGPLIDFLIANQNVSNPFQIDWAKAKRTLKNLRIRVSPTNQEYRITGLSENTCKEQMFSLKSRASDGNDVESVDITVYHYFVNHRSIDLRYSGDLPCINVGKPKRPTYIPVELCSLLPLQRYIKALTVLQRSQLVEKSRQKPQEKIRILTDVMKSNNYAAEQMLRSCGITISSQFTQVQGRVLTAPKLKAGNGEDVIPRNGRWNFNHKKFFEPSKIENWAVVNFSARCDVRGLVRDLIRFGEMKGILISDPVDVVEENGQFRRAPPLVRVEKMFEQIQKAFPNAPPRFLVCLLPDRKNSDIYGPWKRKNLAEYGIFNQCLAPTRVNEQYILNVLLKINAKLGGLNSLLAMEQSRNIPFVSKVPTIIFGMDVSHGSPGQSDMPSIAAVVSSRNWPLLSRYRASVRSQSPKVEMVDSLFTLTPDKKDDSGIVRELLLDYYRSSGQTKPAQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDESWSPKFTVIVAQKNHHTKFFQDGSPDNVPPGTVIDNAVCHPQSYDFYMCAHAGMIGTTRPTHYHVLLDEIGFSADDLQELIHSLSYVYQRSTTAISVVAPVRYAHLAATQISQFLKCDDMSETSSSHGGLTSAGQTPVPELPELHRNVCSSMFFC >Potri.006G025900.1.v4.1 pep chromosome:Pop_tri_v4:6:1701522:1709298:-1 gene:Potri.006G025900.v4.1 transcript:Potri.006G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G025900.v4.1 MESTEEPEALPPPPSDALPPPDALPPPDALPPPDALPPPPPEIPPNVVPVQLTTDSVPEETKKISKPKRSPIARRGFGSRGQKIQLLSNHFKVSISNTGGHFFHYCVSLSYEDGRPIDAKGIGRRLIDKVHETYGSDLAGKDFAYDGEKSLFTIGALPRNKMEFTVLLDSFSSNRNSGNGSPVGNGSPNETDKKRMRRAFQSKTFKVEMSFAAKIPMQAIAAALRGQESENSQEALRVLDIILRQHAAKQGCLLVRQSFFHDDPKNYVDLGGGVLGCRGFHSSFRTSQGGLSLNIDGSTTTIIQPGPLIDFLIANQNVSNPFQIDWAKAKRTLKNLRIRVSPTNQEYRITGLSENTCKEQMFSLKSRASDGNDVESVDITVYHYFVNHRSIDLRYSGDLPCINVGKPKRPTYIPVELCSLLPLQRYIKALTVLQRSQLVEKSRQKPQEKIRILTDVMKSNNYAAEQMLRSCGITISSQFTQVQGRVLTAPKLKAGNGEDVIPRNGRWNFNHKKFFEPSKIENWAVVNFSARCDVRGLVRDLIRFGEMKGILISDPVDVVEENGQFRRAPPLVRVEKMFEQIQKAFPNAPPRFLVCLLPDRKNSDIYGPWKRKNLAEYGIFNQCLAPTRVNEQYILNVLLKINAKLGGLNSLLAMEQSRNIPFVSKVPTIIFGMDVSHGSPGQSDMPSIAAVVSSRNWPLLSRYRASVRSQSPKVEMVDSLFTLTPDKKDDSGIVRELLLDYYRSSGQTKPAQIIIFRDGVSESQFNQVLNIELDQIIEACKFLDESWSPKFTVIVAQKNHHTKFFQDGSPDNVPPGTVIDNAVCHPQSYDFYMCAHAGMIGTTRPTHYHVLLDEIGFSADDLQELIHSLSYVYQRSTTAISVVAPVRYAHLAATQISQFLKCDDMSETSSSHGGLTSAGQTPVPELPELHRNVCSSMFFC >Potri.012G022985.1.v4.1 pep chromosome:Pop_tri_v4:12:715898:719842:1 gene:Potri.012G022985.v4.1 transcript:Potri.012G022985.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G022985.v4.1 MVFPVPGGPYINTPFCGQRSFPYEKSSYFRRGRITSSYKACLIDSNPPIDSKIKIHNCSILINH >Potri.009G122000.1.v4.1 pep chromosome:Pop_tri_v4:9:10209275:10210618:1 gene:Potri.009G122000.v4.1 transcript:Potri.009G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G122000.v4.1 MTMKIRCDVCDKVEATVFCCADEAALCDGCDHRVHHANTLASKHSRFSLVHPSFKESPLCDICQERRAVLFCQEDRAILCRECDLPIHKVNEHTQKHNRFLLTGVKLCGPSLYATSSSASNCDANINTTRNRNHQHYLKKPISASNEIFSSPSVATASPPTAYSYDDNHVSGGGSVSTSSISEYLETVVPGWRVDDFLDPSFTSNNSFSKGWNAPYI >Potri.001G031800.11.v4.1 pep chromosome:Pop_tri_v4:1:2376705:2381567:1 gene:Potri.001G031800.v4.1 transcript:Potri.001G031800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031800.v4.1 MEWYSGSGIDDFVVPEDREVYDRLPSPESWSKWCADASESHEFSNKRFLMDSKLTGEELKFNGEILSKEVESFYNGKDQSSGSSISGRLSEESLPQTALSCDQPDYQLDDLSEIEQLDEFFLSSFLEDLPRNENLNGSFCFSAEAECGMASADNRFTDTMSDLQSISNDAHGMGSSKYLKTHAFSPSLSWEEGEVAASHFNPCNSDQKDLAPVKASLVNVLVPSEHDGMIGLVDEETSLQQSTLQELEMVMAQLNDKTRICFRDALYRLASNSKQHPIEQDQNGDLSMETPGTGEDEKMGSGGKTTVEMETNAIDRAIANLMFSKMDLNIRDFPVSAPVNSKQGISRETKPLNCRWNEPQGHDLPSYPNFRGDAEVPILGHGYRQIRANPGKHM >Potri.001G031800.1.v4.1 pep chromosome:Pop_tri_v4:1:2377676:2381544:1 gene:Potri.001G031800.v4.1 transcript:Potri.001G031800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031800.v4.1 MEWYSGSGIDDFVVPEDREVYDRLPSPESWSKWCADASESHEFSNKRFLMDSKLTGEELKFNGEILSKEVESFYNGKDQSSGSSISGRLSEESLPQTALSCDQPDYQLDDLSEIEQLDEFFLSSFLEDLPRNENLNGSFCFSAEAECGMASADNRFTDTMSDLQSISNDAHGMGSSKYLKTHAFSPSLSWEEGEVAASHFNPCNSDQKDLAPVKASLVNVLVPSEHDGMIGLVDEETSLQQSTLQELEMVMAQLNDKTRICFRDALYRLASNSKQHPIEQDQNGDLSMETPGTGEDEKMGSGGKTTVEMETNAIDRAIANLMFSKMDLNIRDFPVSAPVNSKQGISRETKPLNCRWNEPQGHDLPSYPNFRGDAEVPILGHGYRQIRANPGKHM >Potri.001G031800.12.v4.1 pep chromosome:Pop_tri_v4:1:2376710:2381600:1 gene:Potri.001G031800.v4.1 transcript:Potri.001G031800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G031800.v4.1 MEWYSGSGIDDFVVPEDREVYDRLPSPESWSKWCADASESHEFSNKRFLMDSKLTGEELKFNGEILSKEVESFYNGKDQSSGSSISGRLSEESLPQTALSCDQPDYQLDDLSEIEQLDEFFLSSFLEDLPRNENLNGSFCFSAEAECGMASADNRFTDTMSDLQSISNDAHGMGSSKYLKTHAFSPSLSWEEGEVAASHFNPCNSDQKDLAPVKASLVNVLVPSEHDGMIGLVDEETSLQQSTLQELEMVMAQLNDKTRICFRDALYRLASNSKQHPIEQDQNGDLSMETPGTGEDEKMGYLHNICLMLIPLFFFLIRMLISL >Potri.009G097201.1.v4.1 pep chromosome:Pop_tri_v4:9:8732082:8735804:1 gene:Potri.009G097201.v4.1 transcript:Potri.009G097201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G097201.v4.1 MKNGSSANISEMKLITHTGTHVDAPGHYHDHYFDAGFDVDTLDLEVLNGPGLLIDVPRGTNITAEVMKSLRIPKGVRRVLFRTENTDRRLMFKNQFDTSFVGFTTDGAKWLVENTDIKLIGIDYLAVAAWSDVVPGHLVLLESREIIIVEGLKLDDIQPGVYSIHCLPLRILGAEGSPTRCILIK >Potri.001G319500.2.v4.1 pep chromosome:Pop_tri_v4:1:32912817:32920946:-1 gene:Potri.001G319500.v4.1 transcript:Potri.001G319500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319500.v4.1 MSRCFPFPPPGYEKKARSDDVDLLEKEKDKEKKHKKEKKDREKREDKEKREKDRSDEKHRDKKNKKEKHREKKEDRDKDKEKSSASDEKRLQGQAKLDNGGDKASGEGKLPGQSKHINGDKALDGWKFGEKSEGNGGEVCTQKGKEIDVDKNNVPNDKKFAGQLSGYNGQKLIQNSTLFHQPKESKFVHELGKRARAEDRNQFFEKLPGTDAKRDEGMVRLVVKASGNWVEEKEKNKREDDTRLDGHGIRDEARFTGSVQSLSGTFLARIDGMLRPLEKDIEKKMEEKYQTKQKETDDKHKDRRKDKEKKGKEKDKVRVKVKKKKEKAKEKSEHKKKDSVKLKESNMSDVVGNHTVKTSHLLKESTDSAVDEVNIKKRKDSDMNGFLHGESK >Potri.001G319500.1.v4.1 pep chromosome:Pop_tri_v4:1:32912817:32920865:-1 gene:Potri.001G319500.v4.1 transcript:Potri.001G319500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G319500.v4.1 MSRCFPFPPPGYEKKARSDDVDLLEKEKDKEKKHKKEKKDREKREDKEKREKDRSDEKHRDKKNKKEKHREKKEDRDKDKEKSSASDEKRLQGQAKLDNGGDKASGEGKLPGQSKHINGDKALDGWKFGEKSEGNGGEVCTQKGKEIDVDKNNVPNDKKFAGQLSGYNGQKLIQNSTLFHQPKESKFVHELGKRARAEDRNQFFEKLPGTDAKRDEGMVRLVVKASGNWVEEKEKNKREDDTRLDGHGIRDEARFTGSVQSLSGTFLARIDGMLRPLEKDIEKKMEEKYQTKQKETDDKHKDRRKDKEKKGKEKDKVRVKVKKKKEKAKEKSEHKKKDSVKLKESNMSDVVGNHTVKTSHLLKESTDSAVDEVNIKKRKDSDMNGFLHANDIKPNKLPRPTSSLPLSAENGRMLGTCQISTAVIKGRQEAVYTEKVGNKGHLIIGLIEAQAPSISSTTESLPISLTKSLTKPLDSSAQTDQIAEVSRKQPHPDSKYLPEVLTVPKMEEWLEFEDQEWLFQSTNSQAKKPKVGLSGVDETPMVWSEALQIETADVYALPYVMPY >Potri.004G014850.1.v4.1 pep chromosome:Pop_tri_v4:4:1023331:1025134:-1 gene:Potri.004G014850.v4.1 transcript:Potri.004G014850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014850.v4.1 MSCSNLTMLVSSKPSLSDTTSLSFRSSLNPFQLPSSPAANNPSRSTSVTPIHCGLRELRTRIQSVKNTQKITEAMKLVAAAKVRRAQEAVVNGRPFSETLVEVLYNINEQLQTDDVDAPLTKVRPVKKVALVVVTGDRGLCGGFNNYLIKKAEARIADLKQLGIDYTIISVGKKGNTYFLRRPYIPVDRFLEKGGLPTAKEAQAIADDVFSLFVSEEVDKVELLYTKFVSLVKSEPVIHTLLPLSPKGEICDVNGVCVDAAEDEFFRLTTREGKLTVERGVSRTATTDFSPILQFEQDPVQILDALLPLYLNSQILKALQESLASELAARMSAMSNATDNASELKKSLSMVYNRQRQAKITGEILEIVAGADALT >Potri.019G089700.1.v4.1 pep chromosome:Pop_tri_v4:19:12819438:12822786:1 gene:Potri.019G089700.v4.1 transcript:Potri.019G089700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089700.v4.1 MELSSHTHNFSVLSVSKTIENGNGRRRARMVVKMAASTSDMAAAFEEGKLERPKWSGQTPLSRLVGALIAFKPLSSVLKLGARQVLIRTAEKGNIPWREMTKEILESDVYKELEGIQNPSLVYPDYYLNPFHAYDEGNLSWLAAAEAEAATMSMVRRAIPNASTVDEANQVVRGNWLQAIEQHHLQYSGTTMIRDILDIGCSVGVSTRFLADKFPSANVTGLDLSPHFLSVAQFKEKKIGPRKNPIKWMHANAEDTGFPPQSFDLVSVSYVFHECPERAIVNILKEAFGLLRPGGTIVVSDQSPKSKILQEMSPVLFTLLKSTEPFLDEYHLTDLEGRMEEAGFIKVQTGLTDPRHRTLTATVPC >Potri.019G089700.6.v4.1 pep chromosome:Pop_tri_v4:19:12820058:12822712:1 gene:Potri.019G089700.v4.1 transcript:Potri.019G089700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G089700.v4.1 MTKEILESDVYKELEGIQNPSLVYPDYYLNPFHAYDEGNLSWLAAAEAEAATMSMVRRAIPNASTVDEANQVVRGNWLQAIEQHHLQYSGTTMIRDILDIGCSVGVSTRFLADKFPSANVTGLDLSPHFLSVAQFKEKKIGPRKNPIKWMHANAEDTGFPPQSFDLVSVSYVFHECPERAIVNILKEAFGLLRPGGTIVVSDQSPKSKILQEMSPVLFTLLKSTEPFLDEYHLTDLEGRMEEAGFIKVQTGLTDPRHRTLTATVPC >Potri.010G038600.2.v4.1 pep chromosome:Pop_tri_v4:10:6834104:6836564:-1 gene:Potri.010G038600.v4.1 transcript:Potri.010G038600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G038600.v4.1 MEALMGWLGVLLLVVDCWLRWWTTRERALQCLGKVVLHPSANFKFPRSKSVCEREARIPISPCKSSDNKSSTPPPSLRNARAVASLGMGGEAQNSCNLKAISEIQCQYGNAASDTSTELAMNLPGKLNLLGKEAVQQRETAQKIALQALRDASATETLVRPLKMILNLSRTARPDAPAACFDQFLEFHHQIVRAVTDIVSIQAATSAAEVGQSTNIEQRGENSSILHEIVHNSMDQCGNPALNSSKRRTALYKSIGSFNERSDQTMNFEKLQRSNANQKAFPERKGPSTPQGKLPPKAMIENDENKRPTSSCSLSNTIRLGKQIENEAGNWFMDFLEKALETGMKKSKGTTADGDAKKVPQSLILKVINWVEVEQSDCHKRPVHPKAAQIARKLRIKVKNP >Potri.006G126401.1.v4.1 pep chromosome:Pop_tri_v4:6:10136239:10137208:-1 gene:Potri.006G126401.v4.1 transcript:Potri.006G126401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G126401.v4.1 MKQAIVFIFGVMFMVGSCMAAPRREMIGGIYGQEQRQHVGRGKIIEGRTSKVHYPDRNIDNHHSIPRQNYNDWGAGSSGSSQGDNGDDGSG >Potri.004G068650.1.v4.1 pep chromosome:Pop_tri_v4:4:5830923:5833219:1 gene:Potri.004G068650.v4.1 transcript:Potri.004G068650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068650.v4.1 MAHQKILSFKEPTVAIRGFSQGEVYSSRPFTEENNQNYFPQDISVKCLLLIGGTLIKGKTKRQKQSCGQVVPTSFPSRGQ >Potri.004G223700.1.v4.1 pep chromosome:Pop_tri_v4:4:22836145:22842179:1 gene:Potri.004G223700.v4.1 transcript:Potri.004G223700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G223700.v4.1 MKKRKRARKKGKAAKPKAEAAVSGGEGSADEDQTLINLITLSREDHNDDHDGDDDDDDDNQSPMEVNTPSSTGTDQPLHLASINPDGSIDKAAATAASGGGGVKGVGRVKVKLKSSKILESRTDTDRSSPVQNLGLEKQGEDSGNSVVPEIKMYVSRRPGGIKIKSSSSTKVLGGGGRGGGASSGDVVVVEDEDEDEGLPNKELETPHKENRYDKKELDSALLVIKKVMKMDAAEPFNAPVNPDALGIPDYFDIIDTPMDFGTICSNLEKGDKYMNSKDVYKDVQYIWYNCFKYNSKGDYILDLMKRVKKNFMKYWTTAGLYTELSKGSNGDEGVHGEDVAASSHAHNKIVPPKKSKKRHGRRHKLDCLCAICVLKRRRREREENARLAKGQIGVSDNELGQEFKQEESSLAGSPCEDSSSNMGESLDPDAYVEVEGKAHEGKVEVTERQQSPVEKREEEEEEEEEEEEEDDEEEDEDNEMEIQKQGKDELPEKSQFADGSGKEPNQQPQPVISENANIRIHIQKDALVLQEEETMAVQQNKRKLQELQEREEKVKVFKKFYIENPLLLSLCGTLFPDNQRSVWSGPHSLVQHQDSGHTSSIHAAIETFMK >Potri.010G162900.1.v4.1 pep chromosome:Pop_tri_v4:10:16770918:16776249:-1 gene:Potri.010G162900.v4.1 transcript:Potri.010G162900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G162900.v4.1 MEDTTTLSVTKPIRCIVKLGGAAITCKNDLEKINEENVEIVSSQLREAMITGSSSRKVLGMDWSKRPGKSGISCDADDFEDQNLDSSSFVVVHGAGSFGHFQASKSGVHKGGLNKPLVKAGFVATRISVTTLNLEIVRALAREGIPTIGMSPFSCGWTTPERNMASADLSMVAQAINSGFVPVLHGDAVLDDLQGCTILSGDVIIRHLAAYLKPEYVVFLTDVLGVYDRPPSEPNAVLLREIAVSEDGSWSVVKPTLEDMKKQVETTVAAHDTTGGMATKISEAALIAKLGIDVYIVKAATTHSSRALSGEVRGALPEDWLGTVIRFVGKGNSNC >Potri.002G190200.3.v4.1 pep chromosome:Pop_tri_v4:2:15197867:15201236:-1 gene:Potri.002G190200.v4.1 transcript:Potri.002G190200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190200.v4.1 MSNTSEGLGYWLRWQVPVCALAIICPSLLSFYIIKKAKTQPLYIHDLWKPRWRCLNPLWLLCYRFFAFLCLSPMLYRIVYLDGAFAFYFYTQWTFMLIMIYFALGTVISAYGCWVSLKQPAVSEHGENSVFLKRDVEEDGTATSITYKEKKSGGTIKLRSHCAEEAIRERAGFWGYLMQIIYQTCAGAVILTDIIFWCIIVPFLSNTHLGLNALMGCMHSLNAVFLLLDTALNSLPFPWFRLAYFVQWSCLYVIFQWVIHACGFTWWPYPFLELNTPWAPLWYFAMAVIHIPCYGIYALIFKAKNTIFPRLFPRAFVRSN >Potri.002G190200.1.v4.1 pep chromosome:Pop_tri_v4:2:15197882:15202533:-1 gene:Potri.002G190200.v4.1 transcript:Potri.002G190200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G190200.v4.1 MALVIYWYDIVCFGIVAASFVVSLWVLWRRDLASKCDDNFLYQSLLVARPDNNDRTVLAMPRNHVGSSQLWTSCWKGVHPGWLLATRFISFLVMAGLLWRDIVDWDATIFVYYTEWTFMLIMIYFALGTVISAYGCWVSLKQPAVSEHGENSVFLKRDVEEDGTATSITYKEKKSGGTIKLRSHCAEEAIRERAGFWGYLMQIIYQTCAGAVILTDIIFWCIIVPFLSNTHLGLNALMGCMHSLNAVFLLLDTALNSLPFPWFRLAYFVQWSCLYVIFQWVIHACGFTWWPYPFLELNTPWAPLWYFAMAVIHIPCYGIYALIFKAKNTIFPRLFPRAFVRSN >Potri.006G010200.1.v4.1 pep chromosome:Pop_tri_v4:6:669405:671115:-1 gene:Potri.006G010200.v4.1 transcript:Potri.006G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G010200.v4.1 MVFFYSPASEDLCKNSDHLKDSFSKTLTHFYPFAGRIKDDFSVDCNDDGAEFIEARVAGDISMVLEQADINQQQQLLPCSPYVKSSKLSTDQVTLAVQVNYFNCGGVAISICIWHAVADASTLATFVNCWAAISRDPNNVIDEVVFDCTTLFPPQDLSGFSLHSFVKEDVSSEIVMKRFLFDGSKVAALRDEVGNGPSLDRPTRFIAVSALILGAMMAVTRENNAMQINAAFIVVDLRRRLKPPVPKQSIGNTSQLTIANWPESESNVLSYNGLAGKLHESIRMMNDDYIRKFHAGGGYFNLLKRAGEEARKGSNLTLFGFSSWCNFPFYETDFGWGKPLWVSPALKFNRVAVFLDTKDGEGIEAWIGLSKEDMVKFEQDPGILTYASFSASI >Potri.002G238200.3.v4.1 pep chromosome:Pop_tri_v4:2:23069115:23078350:1 gene:Potri.002G238200.v4.1 transcript:Potri.002G238200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238200.v4.1 MAAAGMLLWCLTIYLQGGLWLSVESKYIAYNTTGSIVPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVIDSVMSSLFEDKNRKFIYVEMAFFQRWWRQQSEAMKIKVKDLVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHKYIKDEFGQLPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKNLEVVWQGSKSLGSTSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDVLLFDYNVQERVNAFVAAALAQANVTRTNHIMWLMGTDFRYQYANSWFRQMDKFIRYVNQDGRVNALYSTPSIYTDLKHAADEEWLLKTEDFFPYADHPNAYWTGYFTSRPAFKGYVRLMSGYYLAARQLEFFKGRNSSGPNTDALADALAIAQHHDAVSGTERQHVAADYALRLSIGYKEAEKLVASSLASLAESTSNIRQGNTVINFQQCPLLNISYCPPSEADLSDGKSLLVVVYNPLGWKREEVIRIPVSTEKVVVRDSSGGEIESQLLPISNATPHIRRKYVKAYLGKFPREALKYWLAFSASLPPLGFNTYIVSGAKQTGPRSTISLVQTSNESTNETIEVGQGSLKLLYSADEGKLTHYLNSRSLVTSTAGQSYSYYTGNDGTDKDPQASGAYVFRPNSTLPIKPQYQVPLTVMRGPLLDEVHQQLNSWISQVTRVYKGKEHAEVEFTIGPIPVDDGTGKEITTQITTTIKSNRTFYTDSNGRDFIKRVRDSRTDWELQVNQPIAGNYYPVNLGIYIQDNSTELSLLVDRSVGGSSLVDGQIELMLHRRLLHDDARGVGEVLNETVCVLDRCEGLTIQGKFFLRIDQLGEGARWRRTFGQEIYSPVLLAFTEQDGSTEMNFPLPTFSGIDPSYSLPNNVAVITLQELENGKVLLRLAHLYETGEDKDYSVMASVELKMLFPKKKIVEVTELSLSANQERTDMEKKRLVWKVEGSTGEEPKVVRGGPVDPAKLVVELAPMEIRTFHVDFDHLQMFGS >Potri.002G238200.1.v4.1 pep chromosome:Pop_tri_v4:2:23068956:23078396:1 gene:Potri.002G238200.v4.1 transcript:Potri.002G238200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G238200.v4.1 MAAAGMLLWCLTIYLQGGLWLSVESKYIAYNTTGSIVPGKINVHLVPHSHDDVGWLKTVDQYYFGGNNSIRGACVQNVIDSVMSSLFEDKNRKFIYVEMAFFQRWWRQQSEAMKIKVKDLVNSGQLEFINGGMCMHDEATPHYIDLIDQTTLGHKYIKDEFGQLPRVGWQIDPFGHSAVQAYLLGAELGFDSLFFARIDYQDRAKRLKEKNLEVVWQGSKSLGSTSQIFTGIFPRHYDPPDGFTFEINDVSPPIQDDVLLFDYNVQERVNAFVAAALAQANVTRTNHIMWLMGTDFRYQYANSWFRQMDKFIRYVNQDGRVNALYSTPSIYTDLKHAADEEWLLKTEDFFPYADHPNAYWTGYFTSRPAFKGYVRLMSGYYLAARQLEFFKGRNSSGPNTDALADALAIAQHHDAVSGTERQHVAADYALRLSIGYKEAEKLVASSLASLAESTSNIRQGNTVINFQQCPLLNISYCPPSEADLSDGKSLLVVVYNPLGWKREEVIRIPVSTEKVVVRDSSGGEIESQLLPISNATPHIRRKYVKAYLGKFPREALKYWLAFSASLPPLGFNTYIVSGAKQTGPRSTISLVQTSNESTNETIEVGQGSLKLLYSADEGKLTHYLNSRSLVTSTAGQSYSYYTGNDGTDKDPQASGAYVFRPNSTLPIKPQYQVPLTVMRGPLLDEVHQQLNSWISQVTRVYKGKEHAEVEFTIGPIPVDDGTGKEITTQITTTIKSNRTFYTDSNGRDFIKRVRDSRTDWELQVNQPIAGNYYPVNLGIYIQDNSTELSLLVDRSVGGSSLVDGQIELMLHRRLLHDDARGVGEVLNETVCVLDRCEGLTIQGKFFLRIDQLGEGARWRRTFGQEIYSPVLLAFTEQDGSTEMNFPLPTFSGIDPSYSLPNNVAVITLQELENGKVLLRLAHLYETGEDKDYSVMASVELKMLFPKKKIVEVTELSLSANQERTDMEKKRLVWKVEGSTGEEPKVVRGGPVDPAKLVVELAPMEIRTFHVDFDHLQMFGS >Potri.003G129000.4.v4.1 pep chromosome:Pop_tri_v4:3:14764310:14772365:-1 gene:Potri.003G129000.v4.1 transcript:Potri.003G129000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129000.v4.1 MRSWWGKSSSKEEKKKANKESFIDTINRKFKITSKEKSNNRSGGSRRCCKDTLSERVSLSRVPSRSPSPSTHVSRCQSFAERPQAQPLPLPLPGVPHTKIGRCDSGISASVKPGLDGGGKPLHLLPLPRPGHVLNRLDQADTAGDLATASVSSDSSIDSDDLPDSRVLSPFTSDYENGNRTAVNSPPSVMRQDQSPIINRKNSRETLKHANLPANNQTLSTPPKRAIFSSQVQNLQIPHRVAFFSAPDSSMSSPSRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRVISPGPSSRIHSGAVTPLHPRAAGVTIESPTSRPDDGKQQSHRLPLPPITISNTHPFSPTYSASTSPSVPRSPSRMENPTSSGTRWQKGRMLGRGSFGDVYLGFNRERGEMCAMKEVTLFSDDAKSKESAQQLGQEIGLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILRGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVRKIEVPAMFKIGNSKELPEIPDNLSDDGKDFVRQCLQRNLSHRPTAAQLLEHPFVKNVAPMERPFLSPELSEELPAIMNSGRSMGIGPARNVSGFDSEGISMHQSRATKIGSGISDAHMKNSSCPVSPIGSPHLYSRSPLNLSGRMSPSPISSPHTASGSSTPLTGGCGAIPFHHAKQHIMYLQESKGMVPGSQSSFYPNNNNLYQEPKPDLFRGMSQASCVFREIISSENSNPGNQLGWPELYDGHPVLADRVSQQLLRDHMKLKPSLDLNPNSSIRGRTNGI >Potri.003G129000.1.v4.1 pep chromosome:Pop_tri_v4:3:14764301:14772374:-1 gene:Potri.003G129000.v4.1 transcript:Potri.003G129000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129000.v4.1 MRSWWGKSSSKEEKKKANKESFIDTINRKFKITSKEKSNNRSGGSRRCCKDTLSERVSLSRVPSRSPSPSTHVSRCQSFAERPQAQPLPLPLPGVPHTKIGRCDSGISASVKPGLDGGGKPLHLLPLPRPGHVLNRLDQADTAGDLATASVSSDSSIDSDDLPDSRVLSPFTSDYENGNRTAVNSPPSVMRQDQSPIINRKNSRETLKHANLPANNQTLSTPPKRAIFSSQVQNLQIPHRVAFFSAPDSSMSSPSRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRVISPGPSSRIHSGAVTPLHPRAAGVTIESPTSRPDDGKQQSHRLPLPPITISNTHPFSPTYSASTSPSVPRSPSRMENPTSSGTRWQKGRMLGRGSFGDVYLGFNRERGEMCAMKEVTLFSDDAKSKESAQQLGQEIGLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILRGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDNLSDDGKDFVRQCLQRNLSHRPTAAQLLEHPFVKNVAPMERPFLSPELSEELPAIMNSGRSMGIGPARNVSGFDSEGISMHQSRATKIGSGISDAHMKNSSCPVSPIGSPHLYSRSPLNLSGRMSPSPISSPHTASGSSTPLTGGCGAIPFHHAKQHIMYLQESKGMVPGSQSSFYPNNNNLYQEPKPDLFRGMSQASCVFREIISSENSNPGNQLGWPELYDGHPVLADRVSQQLLRDHMKLKPSLDLNPNSSIRGRTNGI >Potri.003G129000.6.v4.1 pep chromosome:Pop_tri_v4:3:14764414:14772331:-1 gene:Potri.003G129000.v4.1 transcript:Potri.003G129000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G129000.v4.1 MRSWWGKSSSKEEKKKANKESFIDTINRKFKITSKEKSNNRSGGSRRCCKDTLSERVSLSRVPSRSPSPSTHVSRCQSFAERPQAQPLPLPLPGVPHTKIGRCDSGISASVKPGLDGGGKPLHLLPLPRPGHVLNRLDQADTAGDLATASVSSDSSIDSDDLPDSRVLSPFTSDYENGNRTAVNSPPSVMRQDQSPIINRKNSRETLKHANLPANNQTLSTPPKRAIFSSQVQNLQIPHRVAFFSAPDSSMSSPSRSPMRAFGTEQVINNGFWAGKTYSDIGLLGSGQCSSPGSGYNSGQNSIGGDMSGQLLWPNSRCSPECSPLPSPRVISPGPSSRIHSGAVTPLHPRAAGVTIESPTSRPDDGKQQSHRLPLPPITISNTHPFSPTYSASTSPSVPRSPSRMENPTSSGTRWQKGRMLGRGSFGDVYLGFNRERGEMCAMKEVTLFSDDAKSKESAQQLGQEIGLLSRLRHPNIVQYYGSETVDDKLYIYLEYVSGGSIYKLLQEYGQFGEIAIRSYTQQILRGLAYLHAKKTVHRDIKGANILVDPTGRVKLADFGMAKHISGQSCPLSFKGSPYWMAPEVIKNSNGCNLAVDIWSLGCTVLEMATTKPPWSQYEGVPAMFKIGNSKELPEIPDNLSDDGKDFVRQCLQRNLSHRPTAAQLLEHPFVKNVAPMERPFLSPELSEELPAIMNSGRSMGIGPARNVSGFDSEGISMHQSRATKIGSGISDAHMKNSSCPVSPIGSPHLYSRSPLNLSGRMSPSPISSPHTASGSSTPLTGGCGAIPFHHAKQHIMYLQESKGMVPGSQSSFYPNNNNLYQEPKPDLFRGMSQASCVFREIISSENSNPGNQLGWPELYDGHPVLADRVSQQLLRDHMKLKPSLDLNPNSSIRGRTNGI >Potri.011G138700.4.v4.1 pep chromosome:Pop_tri_v4:11:16992023:16995405:-1 gene:Potri.011G138700.v4.1 transcript:Potri.011G138700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138700.v4.1 MSEVNQGNNPDASAIIPLKRKRGRPRKYPKLEVDHNGNAYVPRDQNPNHGENARTTPGFGGVNGNRPHQVDPVNDAIDSMIGQSVHGIIEATFDAGYLLNVRVGDTETTLRGVVFKPGHYVPVMPENDIAPGVPMIRRNEIPLPRESNTKVHSRNPGPRDINGTAHAARAANPAGSKGKQVLSVVPQTPAISRGNLVPVVLQPVNLSNGAPSASATSQTPHPVTSKGKQIRDAAHSSNGSTPTNEVMQFQSQNNHQVMHSGLQNPSPFNQTQAGGLHESEANPTKTTGVPFEKLLTDVIKRVPAPLQSTDTNSSSAVNLPVKDSGIVAEKDVSDTDQALSVEPLQVLQPNVGCHPAVASRPSEEYKTGKMTQLLQVLQESMADNPGACTEGPAAGLD >Potri.011G138700.7.v4.1 pep chromosome:Pop_tri_v4:11:16992247:16995288:-1 gene:Potri.011G138700.v4.1 transcript:Potri.011G138700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138700.v4.1 MTDSVLFFVEVGYVCLQMHVLISLLASVPSWLAQFASQTKYLLFAAGRKTIKDHSGKEIIQNMSEVNQGNNPDASAIIPLKRKRGRPRKYPKLEVDHNGNAYVPRDQNPNHGENARTTPGFGGVNGNRPHQVDPVNDAIDSMIGQSVHGIIEATFDAGYLLNVRVGDTETTLRGVVFKPGHYVPVMPENDIAPGVPMIRRNEIPLPRESNTKVHSRNPGPRDINGTAHAARAANPAGSKGKQVLSVVPQTPAISRGNLVPVVLQPVNLSNGAPSASATSQTPHPVTSKGKQIRDAAHSSNGSTPTNEVMQFQSQNNHQVMHSGLQNPSPFNQTQAGGLHESEANPTKTTGVPFEKLLTDVIKRVPAPLQSTDTNSSSAVNLPVKDSGIVAEKDVSDTDQALSVEPLQVLQPNVGCHPAVASRPSEEYKTGKMTQLLQKVLQESMADNPGACTEGPAAGLD >Potri.011G138700.1.v4.1 pep chromosome:Pop_tri_v4:11:16992218:16995274:-1 gene:Potri.011G138700.v4.1 transcript:Potri.011G138700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138700.v4.1 MFLFLFLHVGIFAYHSWKALKGRKTIKDHSGKEIIQNMSEVNQGNNPDASAIIPLKRKRGRPRKYPKLEVDHNGNAYVPRDQNPNHGENARTTPGFGGVNGNRPHQVDPVNDAIDSMIGQSVHGIIEATFDAGYLLNVRVGDTETTLRGVVFKPGHYVPVMPENDIAPGVPMIRRNEIPLPRESNTKVHSRNPGPRDINGTAHAARAANPAGSKGKQVLSVVPQTPAISRGNLVPVVLQPVNLSNGAPSASATSQTPHPVTSKGKQIRDAAHSSNGSTPTNEVMQFQSQNNHQVMHSGLQNPSPFNQTQAGGLHESEANPTKTTGVPFEKLLTDVIKRVPAPLQSTDTNSSSAVNLPVKDSGIVAEKDVSDTDQALSVEPLQVLQPNVGCHPAVASRPSEEYKTGKMTQLLQKVLQESMADNPGACTEGPAAGLD >Potri.011G138700.2.v4.1 pep chromosome:Pop_tri_v4:11:16992213:16995274:-1 gene:Potri.011G138700.v4.1 transcript:Potri.011G138700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138700.v4.1 MFLFLFLHVGIFAYHSWKALKGRKTIKDHSGKEIIQNMSEVNQGNNPDASAIIPLKRKRGRPRKYPKLEVDHNGNAYVPRDQNPNHGENARTTPGFGGVNGNRPHQVDPVNDAIDSMIGQSVHGIIEATFDAGYLLNVRVGDTETTLRGVVFKPGHYVPVMPENDIAPGVPMIRRNEIPLPRESNTKVHSRNPGPRDINGTAHAARAANPAGSKGKQVLSVVPQTPAISRGNLVPVVLQPVNLSNGAPSASATSQTPHPVTSKGKQIRDAAHSSNGSTPTNEVMQFQSQNNHQVMHSGLQNPSPFNQTQAGGLHESEANPTKTTGVPFEKLLTDVIKRVPAPLQSTDTNSSSAVNLPVKDSGIVAEKDVSDTDQALSVEPLQVLQPNVGCHPAVASRPSEEYKTGKMTQLLQVLQESMADNPGACTEGPAAGLD >Potri.011G138700.3.v4.1 pep chromosome:Pop_tri_v4:11:16991957:16995335:-1 gene:Potri.011G138700.v4.1 transcript:Potri.011G138700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G138700.v4.1 MSEVNQGNNPDASAIIPLKRKRGRPRKYPKLEVDHNGNAYVPRDQNPNHGENARTTPGFGGVNGNRPHQVDPVNDAIDSMIGQSVHGIIEATFDAGYLLNVRVGDTETTLRGVVFKPGHYVPVMPENDIAPGVPMIRRNEIPLPRESNTKVHSRNPGPRDINGTAHAARAANPAGSKGKQVLSVVPQTPAISRGNLVPVVLQPVNLSNGAPSASATSQTPHPVTSKGKQIRDAAHSSNGSTPTNEVMQFQSQNNHQVMHSGLQNPSPFNQTQAGGLHESEANPTKTTGVPFEKLLTDVIKRVPAPLQSTDTNSSSAVNLPVKDSGIVAEKDVSDTDQALSVEPLQVLQPNVGCHPAVASRPSEEYKTGKMTQLLQKVLQESMADNPGACTEGPAAGLD >Potri.006G244300.2.v4.1 pep chromosome:Pop_tri_v4:6:24519556:24521593:-1 gene:Potri.006G244300.v4.1 transcript:Potri.006G244300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G244300.v4.1 MQSLQEKASEWSGVDSADAFAIDNTNLFQKLGLQTFINLSTNFYNRVYDDEEEWFRSIFVNSKKEEAIQNQYEFFVQRMGGPPLYSQRKGHPALIGRHRPFPVTHQAAERWLHHMQKAVDSTSDIDDDSKIKMMNFFRHTAFFLVAGDELKNQNGRVPCKHGASK >Potri.005G108850.1.v4.1 pep chromosome:Pop_tri_v4:5:7897862:7898819:-1 gene:Potri.005G108850.v4.1 transcript:Potri.005G108850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108850.v4.1 MTWLSTWIFAWKLKPALGTLYTGTERDYRLRVVVRGEMLAQNRESVKEKANNGGVHFKHPHCSVTKRQTLSILPSRSWTLVPSTVLSSVSIAIVIYSSSVSAA >Potri.017G055800.2.v4.1 pep chromosome:Pop_tri_v4:17:4338371:4342706:-1 gene:Potri.017G055800.v4.1 transcript:Potri.017G055800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G055800.v4.1 MGLLSFLTFPLFLSLLWRLIIVNGNFSTTLEGPFKPVTVPFDNKTYHGNAIDLPDTDPQVQRTVQGFEPEQVSVSLSSDYDSVWISWITGDSQIGGDITPLDPESVYSVVHYGIEGSQMSYEEVGYSFVYNQLYPFEGLQNYTSGIIHHVRLTGLEPSTLYQYQCGDPYISAMSDVFYFRTMPPSSPTNYPRRVAVVGDLGLTYNTSTTFSHLLSNHPDLLVLVGGISYADMYLTNGTGSDCYPCSFDESPIHETYQPRWDYWGRFMQPLVANVPTMLVGGKHEIEPQAEDQIFVSYSSRFVFPSEESGSSSSVYYSFNAGGIHFVILNPYTYYDKSSDQYKWLEGDLYNVNRNVTPWLVAVWYPPWYSTFKAQYREAECMRVEMEDLLYEHGVDIVFNGHVHAYERSNRVYNYSLDPCGPVYITIGDGGSREDIAVTHADDPDECPEPSTTADLDIGGGFCGFNFTSGPAAGSFCWNRQPEYSAYRESSFGHGILEVKNVTHALWSWHRNRDYYETAGDILYIVREPDRCLANQGN >Potri.018G048500.1.v4.1 pep chromosome:Pop_tri_v4:18:4282972:4288202:-1 gene:Potri.018G048500.v4.1 transcript:Potri.018G048500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G048500.v4.1 MRNNWFFFMDLDGESQYMFPLEPLLSQGFGANLFSQLSSFVDNPLHQSRFLHVPGTMAFQEALNGMSKLAGGLIFWFTSASSSNLSRQISGNQISPTPGSCRSSAQVKNSTSTRCDLAGLGFGFVSKVESSPPVVSSTISSFVMRLLLGEAERLQSFPVLSLAASLVPPFDNLASKVLAIPLENGDVQVHASIDQRPCEVEHCGCPGLSFSDLNWTRHAVEPRTGIEFPMFLDNIVAGQERSRLTSEVLVGTGSRTMTIIRIKSLKIYAFGVYVHTNSVCEKLGPKYASIPMGELIKHRDFYEDLLREDISMTVRLVINCNGIKINTVRDAFEKSLRNRLLKTNPDTDYHCLTTFGSFFTKDIPLPAGTTVDFRRTADGQLITEIGGNQIGVVCSKDLCRAFFDIYIGDIPVSEQTKKEIGKNVASIIGKC >Potri.007G028200.1.v4.1 pep chromosome:Pop_tri_v4:7:2151202:2153534:-1 gene:Potri.007G028200.v4.1 transcript:Potri.007G028200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G028200.v4.1 MAMQTTAIQEHKGNGIFHKAMSSEKPVWIPGPVIIGAGPSGLAVAACLKERGVPFLILEKERCIGSLWTLKTYNRLQLHLPKETCKLPHMPFPPEVPAYPTKQQFISYLEAYAKHFAIEPMFRQEVQSAIYDARMGFWRVQSNESEFLCRWFIVATGENAEPVLPNIEGISDFKGSLIHTSRYKDGADFKGQKVLVVGCGNSGMEISLDLCNNDAQVSLAVRDKLHILPREVLGRSTFSLSMWLLNWFPVKLVDRFLLICSQLILGDTHKMGIRRPKMGPLEQKNSTGKTPVLDVGAFSKIKSGKIKVVCGVQRFTASGAEFVDGHVENFDSVILATGYRSNVTSWLKEDSFFNEKDGYPRNPFPDNWKGKNGLYSVGFTRRGLLGSSIDAQRVAEDIARQWNCETKHLRIES >Potri.006G101600.1.v4.1 pep chromosome:Pop_tri_v4:6:7827397:7828795:-1 gene:Potri.006G101600.v4.1 transcript:Potri.006G101600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G101600.v4.1 MNLLMATKSSNEVQNKAREKRTDREILLGSGGETKPRSSRNLKEIVAKYRKSKDASSPAASARNKRVQRQEKLEKISNDTEELEGRSEDYASLANEFLKKMEKRKWWQL >Potri.008G126100.4.v4.1 pep chromosome:Pop_tri_v4:8:8210627:8219140:1 gene:Potri.008G126100.v4.1 transcript:Potri.008G126100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126100.v4.1 MELNGLKKERRTDHLNQTNGDLALASVGAVDPWTAWAYKPRTISLLLIGACFLIWASGALDPEISTSGDVVTSVKRGVWAMIAVFLGYCLLQAPSTILIRPHPAIWRLVHGLAIVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRFYVPENPTSRFKNVWDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGRVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLTLCIVFLMVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTTREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLYPKPMPVWLAIFWTSVGVGLVIFLIMWSWKSLGRKRR >Potri.008G126100.1.v4.1 pep chromosome:Pop_tri_v4:8:8210612:8219136:1 gene:Potri.008G126100.v4.1 transcript:Potri.008G126100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G126100.v4.1 MELNGLKKERRTDHLNQTNGDLALASVGAVDPWTAWAYKPRTISLLLIGACFLIWASGALDPEISTSGDVVTSVKRGVWAMIAVFLGYCLLQAPSTILIRPHPAIWRLVHGLAIVYLVALTFLLFQKRDDARQFMKFLHPDLGVELPERSYGADCRFYVPENPTSRFKNVWDTLFDEFVLAHIFGWWGKAILIRNQPLLWVLSIGFELMEFTFRHMLPNFNECWWDSIVLDILICNWFGIWAGMHTVRYFDGKTYEWVGISRQPNIMGRVKRTLGQFTPAQWDKDEWHPLLGPWRFIQVLTLCIVFLMVELNTFFLKFCLWVPPRNPMIVYRLILWWLIAIPTTREYNSYLQDRKPVKKVGAFCWLSLAICIVELLICIKFGHGLYPKPMPVWLAIFWTSVGVGLVIFLIMWSWKSLGRKRR >Potri.001G160500.6.v4.1 pep chromosome:Pop_tri_v4:1:13582648:13584968:1 gene:Potri.001G160500.v4.1 transcript:Potri.001G160500.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G160500.v4.1 MAGVIMKFFVTSMLMWMAPVAILYAFNHDLIPGITKLSPHSLTLMSGFVAVISVNIVIAFYIYMAIKEPSDKHEPDPAFLAEAEASVSQSAGKVGDSSQSVKKEE >Potri.001G381100.1.v4.1 pep chromosome:Pop_tri_v4:1:40120814:40121878:1 gene:Potri.001G381100.v4.1 transcript:Potri.001G381100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G381100.v4.1 MNFPLTLLFTLLFVSFSHLILHSSLAMEKLEDTGNTKMKSSNLKVKSFADQGDRVQRKYLHEVHSGPNPISNSVPPQKWKTRIRGSP >Potri.004G113900.2.v4.1 pep chromosome:Pop_tri_v4:4:10458897:10462268:-1 gene:Potri.004G113900.v4.1 transcript:Potri.004G113900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113900.v4.1 MAPKRGVKAPLAAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLFLKYRPEDKAAKKERLLKRAQAEAEGKTVETKKPIVIKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAVISLHLFYALKEPLIFLEIGSSGTIFMTCMFKKQIVHKKTASVLCLTSVKNEDKLEFSKVLEAVKANFNDKFDEHRKKWGGGIMGSKSLAKTKAKERVIAKEAAQRMS >Potri.004G113900.3.v4.1 pep chromosome:Pop_tri_v4:4:10457590:10462385:-1 gene:Potri.004G113900.v4.1 transcript:Potri.004G113900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113900.v4.1 MAPKRGVKAPLAAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLFLKYRPEDKAAKKERLLKRAQAEAEGKTVETKKPIVIKYGLNHVTYLIEQNKAQLVVIAHDVDPIELVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTASVLCLTSVKNEDKLEFSKVLEAVKANFNDKFDEHRKKWGGGIMGSKSLAKTKAKERVIAKEAAQRMS >Potri.004G113900.4.v4.1 pep chromosome:Pop_tri_v4:4:10458897:10462268:-1 gene:Potri.004G113900.v4.1 transcript:Potri.004G113900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G113900.v4.1 MAPKRGVKAPLAAKKKPEKVVNPLFEKRPKQFGIGGALPPKKDLTRFVKWPHVVRIQRQRRILKQRLKVPPAVNQFTKTLDKNLATQLFKLFLKYRPEDKAAKKERLLKRAQAEAEGKTVETKKPIVIKYGLNHVTYLIEQLVVWLPALCRKMEVPYAIVKGKSRLGAIVHKKTASVLCLTSVKNEDKLEFSKVLEAVKANFNDKFDEHRKKWGGGIMGSKSLAKTKAKERVIAKEAAQRMS >Potri.007G045601.1.v4.1 pep chromosome:Pop_tri_v4:7:4040184:4041936:1 gene:Potri.007G045601.v4.1 transcript:Potri.007G045601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G045601.v4.1 MEKEEAGIDRLPIDLLAYIFGLITPFTDLAQASSVCRKWKEGVKQSLAQRNSLSFSGWKMDDDSTTRLVRLAYNLKELDMSRWGCQITDNGLYQISLAN >Potri.T013100.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:267980:269059:1 gene:Potri.T013100.v4.1 transcript:Potri.T013100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T013100.v4.1 MKPLSIFRTLSMGIPARLSASPAVAPLPIITPFDQHLTAELGTLLPPTVSDQHWLSHALDASINTQKIALESLKSTNIGDALYRQESSRKSIEEYLDDGSIKLLDSCNEVLEKIQVIQEFVKSLKVVSHLLHQGKCEPSPITSARARNMLEETCDVMERRMSEDMKNHKSRSGWSSLKKLSSKKKLAPYGSAKNQDMGELGEILKGSKDVASMVFQILGLALSSKSSKHSLITSQYKPIITSSWSRSLRELQNQVERENDHQEGEKRSPAMLSELQQTMALVGELRRKNHIGATRQREAVEELDRSCGKLEDEIRILEKRVRDLYRQLVSIRTALLGILSQP >Potri.008G039300.3.v4.1 pep chromosome:Pop_tri_v4:8:2198798:2200322:-1 gene:Potri.008G039300.v4.1 transcript:Potri.008G039300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039300.v4.1 MLSGFSIPNGCRRTCLVAVVGSSVDQTFYIGIENFKIGGFDGKFSLNRGYVTGILTVDTVADFLPRKGPLRQRRTGIAYISNVAVRERFRRKGIAKRLIAKAEAQARSWGCRSIALHCDLNNPGATKLYKGQGFKCIKVPEGASWPQPKTSPDIKFNFMMKLLNTPITT >Potri.008G039300.1.v4.1 pep chromosome:Pop_tri_v4:8:2198824:2201150:-1 gene:Potri.008G039300.v4.1 transcript:Potri.008G039300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G039300.v4.1 MHFVRCVQALLNQNKNQKESPFPYREIEGEGETERQSLQFLPIEMRSIPLGFSVSPTSINNHLNFNLKKQKQQRQQQNQYFFTSSSTRVSRHELTLSSLPKSGLCRASQVAEFYPTVSPEIVVREARLEDCWEVAETHCSSFFPEYSFPLDFVLRVDRLAAMLSGFSIPNGCRRTCLVAVVGSSVDQTFYIGIENFKIGGFDGKFSLNRGYVTGILTVDTVADFLPRKGPLRQRRTGIAYISNVAVRERFRRKGIAKRLIAKAEAQARSWGCRSIALHCDLNNPGATKLYKGQGFKCIKVPEGASWPQPKTSPDIKFNFMMKLLNTPITT >Potri.012G056200.1.v4.1 pep chromosome:Pop_tri_v4:12:5489162:5492779:-1 gene:Potri.012G056200.v4.1 transcript:Potri.012G056200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G056200.v4.1 MTGKPIAGEGLPANLAGMTKNQLYDIMFQMKTLIEQNKQQAKEILIQNPLLTKALFQAQIMLGMVQPPQAIPNIQPAASQQPQLSAQPSRQSNIQAAQTLPGQGALQDQTSVSQSQPPMRKQHQSQPAMSISAPPGPPVNLQSQPLPSHPLHMPQQPKGHVNPQVTPMSVTQPSQLPNLPPASSHSVSQPLPIHQTQMSSVSSQLLLPLQKTGIPHLPLQQPFPSQPRTASVPSFHHQYGQQMGPNMGYQHAGAPHHPSQPMFHSSNKPQSSMGPSFPQGQPPLSIQQPPQSYQAGGSHLGAEYNSQVPTSMQVDRGSSWMSGPPDSSTMTQLAGPPQFNPGQMAQGNQPSRTAPMSSEMEKALLQQVMSLTPEQINLLPPEQRNQVLQLQQMLRQ >Potri.019G029400.8.v4.1 pep chromosome:Pop_tri_v4:19:4149899:4155084:1 gene:Potri.019G029400.v4.1 transcript:Potri.019G029400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G029400.v4.1 MNPYTPHPNHLPFPYPSQNSNPNPNFLLHPFLPSQPPSKPPQVPPPTTTTTTTPILDLSTTLSTLTNLLSLTHQTLTSLSPQITLSKPQNANFIPCPFNRHHLMPPESLFLHSLNCPVPLFQNPSSPFDYLHYPNTLNPQDPHKDSNFSQSIQDPNETELCFSLDSYYNQFSSHFSYNDCPGAVNLNDLDSSKRIFTLPGVLLIECVNFGVSGESERDGFDKNGFRVLPSELWAIRREIEGWIDYPSVYSDSVFCSILRLDLIKGSDLRSWIIANSPRYGVVIDVYMRDHICVLFRLCLKAIRKEGLSSVSCEMNVKSLKCPILVQVLTWIASQLSVLYGEVNAKCFAIHVLKQCLLDAANEVLFLLDPCLKESLRDLDAYESEIKDSKLEEPRKGSRECKIIKAVDEGDDGVIFVSQVAAAVAALHERSILEAKIKLLRVPQQLPRYQRMAEHSFASKRADDERSKRPQYKAIIEHDGLPRKQLSNQESNKSKTREELLAEERDYKRRRMSYRGKKLKRTTLQVMRDIIDGYMEEIKLAGGIGRFEKGTEEEEMSPNPPSAPDVTVNELRKVNSHSSEATRTTSNHYQKESYPAHNSRSKTSKDVLPQDYEQQGRSNHGHHEKLEYRRSANQDRHGREYSRSPERHRSHARSHERSGHQRGRDETKLTRSKDHEKRSSSKSYHDYKSLNSGLESADGMQRDDRKLDVRDGHLRNAYGNHGSNSVARNAFEDRYDPTGSYDMHEDDVYTSITYAREDVHD >Potri.010G047500.3.v4.1 pep chromosome:Pop_tri_v4:10:7984545:7989678:1 gene:Potri.010G047500.v4.1 transcript:Potri.010G047500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047500.v4.1 MLLSAIPRSSSNSLLLLRNHSLTSTSTSSPTATNLLSTATTLKPLLRAPSASSLTASNGNLENPIHHVTHSLSDDDEEAGGGSGLVSSASAVASAIRRGSSSSVEFVQRIEKGQNNNDNKTKLVLPSPDFQRLCVQQLDLFHRIVDPDAILSVYVRPAGSYVMDRLELRRVTSYPGVNASSSDIVILVANFNIPTGLRAAEAAFSSKQAECVAEHRAVVFPMVKHPFVVGFLVAELPMMEMDISCANGESDLISPEEAYASPSASFKKSKSWSIQTLNDEPLRMFNFTAEQRLNAINISHTLAMAYVMDQKALLLQQSSWQNNVRMTTLVEQIRGPLSSIRTLSKMLSIHTKRSEIAYDIVEDIIVQGDSVRDALQELQDAVYLTKANIVRYNEETLMKIHNSAYAHPESMRSQLPEDFLNDSSDKLQTPGKPRFLNPASKDMEMPMPPLALSPLQQHGIRKTMQCF >Potri.010G047500.1.v4.1 pep chromosome:Pop_tri_v4:10:7984501:7989298:1 gene:Potri.010G047500.v4.1 transcript:Potri.010G047500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047500.v4.1 MLLSAIPRSSSNSLLLLRNHSLTSTSTSSPTATNLLSTATTLKPLLRAPSASSLTASNGNLENPIHHVTHSLSDDDEEAGGGSGLVSSASAVASAIRRGSSSSVEFVQRIEKGQNNNDNKTKLVLPSPDFQRLCVQQLDLFHRIVDPDAILSVYVRPAGSYVMDRLELRRVTSYPGVNASSSDIVILVANFNIPTGLRAAEAAFSSKQAECVAEHRAVVFPMVKHPFVVGFLVAELPMMEMDISCANGESDLISPEEAYASPSASFKKSKSWSIQTLNDEPLRMFNFTAEQRLNAINISHTLAMAYVMDQKALLLQQSSWQNNVRMTTLVEQIRGPLSSIRTLSKMLSIHTKRSEIAYDIVEDIIVQGDSVRDALQELQDAVYLTKANIVRYNEETLMKIHNSAYAHPESMRSQLPEDFLNDSSDKLQTPGKPRFLNPASKDMEMPMPPLALSPLQQHGIRPCNVSEVLSDLVEAAIPLANKQQRILELGELSQSLQVAIEEPALRQALSNLIEGALLRTHVGGKVEIVSTGAPAGGALVVIDDDGPDMHYMTQMRSLIPFGAELFSENMVEDNMTWNFVAGLTVAREILENYGCVVRVISPRVSDAALGTGGTRIELWLPSFASSDQNDLAHEA >Potri.010G047500.4.v4.1 pep chromosome:Pop_tri_v4:10:7984594:7989298:1 gene:Potri.010G047500.v4.1 transcript:Potri.010G047500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G047500.v4.1 MDRLELRRVTSYPGVNASSSDIVILVANFNIPTGLRAAEAAFSSKQAECVAEHRAVVFPMVKHPFVVGFLVAELPMMEMDISCANGESDLISPEEAYASPSASFKKSKSWSIQTLNDEPLRMFNFTAEQRLNAINISHTLAMAYVMDQKALLLQQSSWQNNVRMTTLVEQIRGPLSSIRTLSKMLSIHTKRSEIAYDIVEDIIVQGDSVRDALQELQDAVYLTKANIVRYNEETLMKIHNSAYAHPESMRSQLPEDFLNDSSDKLQTPGKPRFLNPASKDMEMPMPPLALSPLQQHGIRPCNVSEVLSDLVEAAIPLANKQQRILELGELSQSLQVAIEEPALRQALSNLIEGALLRTHVGGKVEIVSTGAPAGGALVVIDDDGPDMHYMTQMRSLIPFGAELFSENMVEDNMTWNFVAGLTVAREILENYGCVVRVISPRVSDAALGTGGTRIELWLPSFASSDQNDLAHEA >Potri.001G453500.1.v4.1 pep chromosome:Pop_tri_v4:1:48009576:48013342:-1 gene:Potri.001G453500.v4.1 transcript:Potri.001G453500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G453500.v4.1 MREVKKALSFILTVEFWRMGIFWTLSLVMSYFQLFWQRVFTKKPNAYPRCPPQRIGTKKPICVITGATSGIGAAAAYDLSKEGFYVVLVGRSSQLLSKMIEWIHKKNKDACVEAFEVDLSSFQSILKFKDSLEKWLLDSDMHVSVQLLINNAGILAASHRLTEEGYDQMMGTNYIGAFSLTKLLLPLLKNSPIGSRIVNVTSFTHRNLFNVQIDKETVVGKCLSRSKQYPFSHIYEFSKLCLLMFSYELHRQLHSTDESCKVSVIAADPGAVETNIMRELPSYISRMTFIALNLLGLLQSPEEGASSVIDAALAPPEISGVYFFGGKGRTLNSSALSHNIRLAEKLWRSSSDLFLESKLASARTYTS >Potri.016G017199.1.v4.1 pep chromosome:Pop_tri_v4:16:900967:901437:-1 gene:Potri.016G017199.v4.1 transcript:Potri.016G017199.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G017199.v4.1 MMFAFGICFRKMRSRVAGESAFIIVGCTARKRRAIL >Potri.013G010800.2.v4.1 pep chromosome:Pop_tri_v4:13:688263:690948:1 gene:Potri.013G010800.v4.1 transcript:Potri.013G010800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010800.v4.1 MDREGGSKGGSCYYTILGIRRDASFSDIRSAYRKLAMKWHPDKWAQNQSPGVGGEAKRRFQRVQEAYSVLSDQFKRSMYDAGLYDPLEEEDEEFCDFMQEMISMMNSVKDEGDSFEDLQKMFMEMVGGNGMDFNLNDDQTSMKRARVNALKGNAAKRSSSRC >Potri.015G118900.2.v4.1 pep chromosome:Pop_tri_v4:15:13322551:13325642:-1 gene:Potri.015G118900.v4.1 transcript:Potri.015G118900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G118900.v4.1 MSSTSKNSSRGARRSRPQPLKLFLKEFRSWFYKSDSSGVQGLGGRGNMNMTPKEPTSSTVGSETDKVSSEGPDGKTVFKPKDLKICWKEESQFWSIPEGDDGPAELLEVCWLDVSGEMPVTKGKTYEVSFMLSMNTKNSFGWDDPVTVMARIGKEGKYQRKEIKLLDLSGEVKEFPPDKCRIEFKSDENAKNDKETLYFGLYEMWTNKWKGGLRIHEAIVQEIPAGNNDRPPNTRSDESRGKEIAAHDD >Potri.007G075200.3.v4.1 pep chromosome:Pop_tri_v4:7:9941219:9944883:1 gene:Potri.007G075200.v4.1 transcript:Potri.007G075200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G075200.v4.1 MKTSQKQVIGIPIRTASYAVEKMPRLLLENAEQRYIPSPANKALACKQNKIDSMLKRMNKLGKKADKFAHGIREHMRLGTKITETLVGKLSLGARILQVGGVKKVFRQLFSVSEGERLLRVCQCYLSTTAGPIAGLLFISTEKLAFCSERSIKLSSPEGKLVRIHYKVVVPLRKIRTANQSENAKKPSEKYIEIVTVDDFDFWFMGFFSYQKAFKSLQQAITQTQTNTSHSAPHL >Potri.002G126300.1.v4.1 pep chromosome:Pop_tri_v4:2:9602140:9604620:1 gene:Potri.002G126300.v4.1 transcript:Potri.002G126300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G126300.v4.1 MRFLTFCFFSLFLSVFPLFTSSALLFQGFNWESCNQAGGWYNSLKNLVPDLANAGITHVWLPPASQSVAPQGYMPGRLYDLSASKYGSQGELKSLIGAFHQKGIKCLADIVINHRTAEKQDGRGIWCIFEGGTSDDRLDWGPSLICSDDTAYSDGKGNPDTGGDFGGAPDIDHLNPRVQKELSDWMNWLKSEIGFDGWRFDFVKGYAPSITKIYMENTSPDFAVGEKWDSLAYGQDGKPDYNQDAHRGGLKDWIQAAGGAVTAFDFTTKGILQAAVQGELWRLKDSNGKPPGLIGLLPQNAVTFIDNHDTGSTQKLWPFPSDKVMQGYAYILTHPGTPSIFYDHFFDWGLKEEIGKLATIRKTSGIGSASTVNILASDADLYVAAIDGNMIMKIGPKMDLGNLIPSNFQVAASGTDYCVWVKK >Potri.004G074100.1.v4.1 pep chromosome:Pop_tri_v4:4:6168560:6173182:-1 gene:Potri.004G074100.v4.1 transcript:Potri.004G074100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G074100.v4.1 MLLCRSSTFFAPSFNAKTSQNFCSYFTKKTHLLSFFKNSPICCNHSYLFAKHIKDSNFIGAHNLFMKKKATFCNSAISATSQSDEKAVGGNVVSKRLKKKARRDAPEGVLRFKLDMCSKVGDVVEGLRLYDEARRNGVELNQHHYNVMLYLCSQNRGENGSDLKLACKRGFEIFQQMIIDKVPPNEATFTNAARLASVMEDPEMAFDLVKQMKGFGIMPKLRSYGPPLFGFCKKGMVDKAYEVDAHMIESGVVAEEPELSSLLKLSADVNNADKMYELLHRLRTSVRQVMESTVGVIEDWFKSEHAAKIGKGDWDVIKVKEGVVRGGGGWHGQGWLGHGQWRVVRTQMDKKGVCGSCGERLACIDIDPRETENFAISLSKLAFGREVKADFIQFQEWLQQHGPFDAVVDGANVSLINQQTFNFSQLNNVVNRLHDMSPSKKFPLVILHKSRVNGGPAQSPYNKKLLERWKNSGALYATPAGSNDDWYWLYAAVSCKCLLVTNDEMRDHLFQLLGTSFFPRWKEKHQVRLSVSRSGIALHMPPPYSIVIQESENGGWHVPTTTGDDLETPRQWLCATRPVKR >Potri.014G131400.1.v4.1 pep chromosome:Pop_tri_v4:14:8795692:8796869:-1 gene:Potri.014G131400.v4.1 transcript:Potri.014G131400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G131400.v4.1 MDSQNAKQRIRDTDYDPNISNPTKSKDNSKTVRLKREKRVAMAKRGIRSLAIAVALPLSLTISNLYFFGTTRGYGTSTGSISMPFWFPPPWALHLTCMTSSFLMGLSAWLVWAEGGFHRNPAALYLYLAQLGLSLAWDPIVFRMAAPWVGLLVCLATFAALVGCSRQFKEVNPIAGDLVKPCLAWASFLAIVNLKLLFL >Potri.008G030600.1.v4.1 pep chromosome:Pop_tri_v4:8:1648229:1649045:-1 gene:Potri.008G030600.v4.1 transcript:Potri.008G030600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G030600.v4.1 MAPKAEKKPAEKKPAAAEKAPAEKKPRAEKKLPKEGAGDKKKKKAKKSVETYKIYIFKVLKQVHPDIGISSKAMGIMNSFINDIFEKLAQESSRLARYNKKPTITSREIQTAVRLVLPGELAKHAVSEGTKAVTKFTSS >Potri.015G119800.6.v4.1 pep chromosome:Pop_tri_v4:15:13393426:13401078:-1 gene:Potri.015G119800.v4.1 transcript:Potri.015G119800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119800.v4.1 MGTYITVAKVVYLAALEEDWDRMIHACSGISNIYVMSPVTVSEDTPLHLAVYSKKVEPLQTLLDIAKKNSMLGNPYTKKNAYGNTVLHEAVFAGNMEAVQHLLKFTPKEQGEFHPSMQLQTKNALGETPLYRAAACGKKEIVEYLAGQTGQISEGKLSEDHRKREDSKPILHAAIQGQHFDTALTLLNLDPSLYEMKDDQGMTCLHVLAGMPSAFKSGYALRPITVTNLFYRCLSAAKGDGDQSRSKKGWPVVERIRKEKRKHESALELAKELIKKNQRKWWQSIKVKPTKVNIETPGQAGRGGQSERQGGGGNPGGRGNPGGGGEGQEGAREGVDTGKGGGGGEVENNENVQPMIEENRRQERPPSPPNPLFIATSNGIVEIAEEILDKFPQAIELVNVKGQNILHLAVMHRQREIFRLVRKKNLLVTRMSSSVDNNGFTLLHQVAHVKHYSGGAKPGPALQLQEEIKWFKRVQRVVPPSLAEKRVLWVVPNDKNYNFTAFELFQEEHKGQLKLAQDWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSDDRGFPIFLHDRFFIAFTVLDVTALASSLTSVVMFLSILTTPFECEKFYHNIPRKLILGFTLLFFSVMTTMLAFSCTSFSRSLEEVMDQRSDVHGCFPSRVSICSNAVPFICRIYDYYEGLL >Potri.015G119800.9.v4.1 pep chromosome:Pop_tri_v4:15:13394243:13397417:-1 gene:Potri.015G119800.v4.1 transcript:Potri.015G119800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119800.v4.1 MIGWPVVERIRKEKRKHESALELAKELIKKNQRKWWQSIKVKPTKVNIETPGQAGRGGQSERQGGGGNPGGRGNPGGGGEGQEGAREGVDTGKGGGGGEVENNENVQPMIEENRRQERPPSPPNPLFIATSNGIVEIAEEILDKFPQAIELVNVKGQNILHLAVMHRQREIFRLVRKKNLLVTRMSSSVDNNGFTLLHQVAHVKHYSGGAKPGPALQLQEEIKWFKRVQRVVPPSLAEKRVLWVVPNDKNYNFTAFELFQEEHKGQLKLAQDWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSDDRGFPIFLHDRFFIAFTVLDVTALASSLTSVVMFLSILTTPFECEKFYHNIPRKLILGFTLLFFSVMTTMLAFSCTSFSRSLEEVMDQRSDVHGCFPSRVSICSNAVPFICRIYDYYEGLL >Potri.015G119800.8.v4.1 pep chromosome:Pop_tri_v4:15:13394229:13401085:-1 gene:Potri.015G119800.v4.1 transcript:Potri.015G119800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119800.v4.1 MKDDQGMTCLHVLAGMPSAFKSGYALRPITVTNLFYRCLSAAKGDGDQSRSKKGWPVVERIRKEKRKHESALELAKELIKKNQRKWWQSIKVKPTKVNIETPGQAGRGGQSERQGGGGNPGGRGNPGGGGEGQEGAREGVDTGKGGGGGEVENNENVQPMIEENRRQERPPSPPNPLFIATSNGIVEIAEEILDKFPQAIELVNVKGQNILHLAVMHRQREIFRLVRKKNLLVTRMSSSVDNNGFTLLHQVAHVKHYSGGAKPGPALQLQEEIKWFKRVQRVVPPSLAEKRVLWVVPNDKNYNFTAFELFQEEHKGQLKLAQDWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSDDRGFPIFLHDRFFIAFTVLDVTALASSLTSVVMFLSILTTPFECEKFYHNIPRKLILGFTLLFFSVMTTMLAFSCTSFSRSLEEVMDQRSDVHGCFPSRVSICSNAVPFICRIYDYYEGLL >Potri.015G119800.4.v4.1 pep chromosome:Pop_tri_v4:15:13393476:13401011:-1 gene:Potri.015G119800.v4.1 transcript:Potri.015G119800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119800.v4.1 MGTYITVAKVVYLAALEEDWDRMIHACSGISNIYVMSPVTVSEDTPLHLAVYSKKVEPLQTLLDIAKKNSMLGNPYTKKNAYGNTVLHEAVFAGNMEAVQHLLKFTPKEQGEFHPSMQLQTKNALGETPLYRAAACGKKEIVEYLAGQTGQISEGKLSEDHRKREDSKPILHAAIQGQHFDTALTLLNLDPSLYEMKDDQGMTCLHVLAGMPSAFKSGYALRPITVTNLFYRCLSAAKGDGDQSRSKKGWPVVERIRKEKRKHESALELAKELIKKNQRKWWQSIKVKPTKVNIETPGQAGRGGQSERQGGGGNPGGRGNPGGGGEGQEGAREGVDTGKGGGGGEVENNENVQPMIEENRRQERPPSPPNPLFIATSNGIVEIAEEILDKFPQAIELVNVKGQNILHLAVMHRQREIFRLVRKKNLLVTRMSSSVDNNGFTLLHQVAHVKHYSGGAKPGPALQLQEEIKWFKRVQRVVPPSLAEKRVLWVVPNDKNYNFTAFELFQEEHKGQLKLAQDWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSDDRGFPIFLHDRFFIAFTVLDVTALASSLTSVVMFLSILTTPFECEKFYHNIPRKLILGFTLLFFSVMTTMLAFSCTSFSRSLEEVMDQRSDVHGCFPSRVSICSNAVPFICRIYDYYEGLL >Potri.015G119800.5.v4.1 pep chromosome:Pop_tri_v4:15:13393426:13401085:-1 gene:Potri.015G119800.v4.1 transcript:Potri.015G119800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119800.v4.1 MGTYITVAKVVYLAALEEDWDRMIHACSGISNIYVMSPVTVSEDTPLHLAVYSKKVEPLQTLLDIAKKNSMLGNPYTKKNAYGNTVLHEAVFAGNMEAVQHLLKFTPKEQGEFHPSMQLQTKNALGETPLYRAAACGKKEIVEYLAGQTGQISEGKLSEDHRKREDSKPILHAAIQGQHFDTALTLLNLDPSLYEMKDDQGMTCLHVLAGMPSAFKSGYALRPITVTNLFYRCLSAAKGDGDQSRSKKGWPVVERIRKEKRKHESALELAKELIKKNQRKWWQSIKVKPTKVNIETPGQAGRGGQSERQGGGGNPGGRGNPGGGGEGQEGAREGVDTGKGGGGGEVENNENVQPMIEENRRQERPPSPPNPLFIATSNGIVEIAEEILDKFPQAIELVNVKGQNILHLAVMHRQREIFRLVRKKNLLVTRMSSSVDNNGFTLLHQVAHVKHYSGGAKPGPALQLQEEIKWFKRVQRVVPPSLAEKRVLWVVPNDKNYNFTAFELFQEEHKGQLKLAQDWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSDDRGFPIFLHDRFFIAFTVLDVTALASSLTSVVMFLSILTTPFECEKFYHNIPRKLILGFTLLFFSVMTTMLAFSCTSFSRSLEEVMDQRSDVHGCFPSRVSICSNAVPFICRIYDYYEGLL >Potri.015G119800.7.v4.1 pep chromosome:Pop_tri_v4:15:13393476:13401011:-1 gene:Potri.015G119800.v4.1 transcript:Potri.015G119800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G119800.v4.1 MGTYITVAKVVYLAALEEDWDRMIHACSGISNIYVMSPVTVSEDTPLHLAVYSKKVEPLQTLLDIAKKNSMLGNPYTKKNAYGNTVLHEAVFAGNMEAVQHLLKFTPKEQGEFHPSMQLQTKNALGETPLYRAAACGKKEIVEYLAGQTGQISEGKLSEDHRKREDSKPILHAAIQGQHFDTALTLLNLDPSLYEMKDDQGMTCLHVLAGMPSAFKSGYALRPITVTNLFYRCLSAAKGDGDQSRSKKGWPVVERIRKEKRKHESALELAKELIKKNQRKWWQSIKVKPTKVNIETPGQAGRGGQSERQGGGGNPGGRGNPGGGGEGQEGAREGVDTGKGGGGGEVENNENVQPMIEENRRQERPPSPPNPLFIATSNGIVEIAEEILDKFPQAIELVNVKGQNILHLAVMHRQREIFRLVRKKNLLVTRMSSSVDNNGFTLLHQVAHVKHYSGGAKPGPALQLQEEIKWFKRVQRVVPPSLAEKRVLWVVPNDKNYNFTAFELFQEEHKGQLKLAQDWIEKTSQSCSAVAVLLATVVFAAAYTIPGGSDDRGFPIFLHDRFFIAFTVLDVTALASSLTSVVMFLSILTTPFECEKFYHNIPRKLILGFTLLFFSVMTTMLAFSCTSFSRSLEEVMDQRSDVHGCFPSRVSICSNAVPFICRIYDYYEGLL >Potri.005G251000.2.v4.1 pep chromosome:Pop_tri_v4:5:24414919:24421531:-1 gene:Potri.005G251000.v4.1 transcript:Potri.005G251000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G251000.v4.1 MLGSSSGLLPAPPLLPSVAENSKWAIRYKRCTAKEKPVRLPSGGSKKLLCHSRFCFPLRISNNDRVRHGFAISAVLTDDPTMTTVGDGMENIGLVSIDPGLESFKDHFRYRMKRYVDQKKLIERYEGGLEEFALGYQKFGFNRDEGGIVYREWAPAAQEAQIIGDFNGWDGSNHRMEKNEFGVWSIKIPDSGGNPAIPHNSRVKFRFMQGNGVWVDRIPAWIKCATVDPASFGAPYDGVYWDPPTSERYEFKFPRPPKPNAPRIYEAHVGMSSSEPRVNSYREFADNVLPRIRANNYNTVQLMAVIEHSYYASFGYHVTNFFAVSSRSGNPEDLKYLIDKAHSLGLRVLMDVVHSHASNNVTDGLNGFDIGQGAQESYFHTGDRGYHNLWDSRLFNYANWEVLRFLLSNLRWWLEEFKFDGFRFDGVTSMLYHHHGINMAFTGDYNEYFSEATDVDAVVYLMLANYLIHNILPDATVIAEDVSGMPGLGCPVSEGGVGFDYRLAMAIPDKWIDYLKNKSDLEWSMNEISRSLTNRRYTEKCVAYAESHDQSIVGDKTIAFILMDKEMYSGMSCLTEAPPAVDRGIALHKMIHFITMALGGEGYLNFMGNEFGHPEWIDFPREGNGWSYEMCRRQWNLADMEHLRYKFMNAFDRAMNLLDEKYSFLASTKQIVSSTNEEDKVIVFERGDLVFVFNFHPEKTYDGYKVGCDLPGKYRVALDSDALEFGGHGRVGHDADHFTSPEGIPGVPETNFNNRPNSFKVLSPARTCVVYYRVEESEESHDDDDEMGLNEILAADVIPEQEDVEEAASQAKVGKPHLVDGDGDGDGSGLSKTVNFEVEDFGDDASDD >Potri.011G042900.1.v4.1 pep chromosome:Pop_tri_v4:11:3338514:3340621:-1 gene:Potri.011G042900.v4.1 transcript:Potri.011G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G042900.v4.1 MASPERESQTFLQISRKRLKRQYHSSYHHLDHANTSFTHVDHSVDLPDDVLDMIFSFLPIKKAMQIGILSTRFKNSWNFNRRLDFDNDFARGRSPEDFKSIVNKVFDRHAGSRILSFRLCFDPNREELLVEKWIRKSIEKGVEELDLEFYQSGNVFEGRWPFKLNSDVYEAESLKILKLTLCQLDLPPKLKGLHVLNTLVLRKIIITPTLIDTLFQNCFFLETLDLAHCHRIFHLKIFAQKTFKVLKVGDCQEILRIYIDAPNLRSFHYCGHVCFIKFNSVPQLKDVMLSFRPSKGFTETFRVRNLVYDLHPIQVLTTTSTFLEGLSPKFIGGKLREMQFFFGNLKEFHLIMEGAIYCNPYDIISFLKHCPSLEKIFIDLKDFCFVRGPYWELHNRQSFQKYSASFDCLKFIKLPGFKFQKDELMLVKFFLERAIFLEKLVLVTPKSRHARVLTPNLQAYYQYLQSWKASPGAQIAVFEHLNDTSVCPMHSKTWY >Potri.015G145000.1.v4.1 pep chromosome:Pop_tri_v4:15:14982385:14984340:-1 gene:Potri.015G145000.v4.1 transcript:Potri.015G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G145000.v4.1 MWTSLTPLSSRYELLFNNFAPKSFSCEGVPEAGSYTKKRILGFVLLGFRFEVLQTPDFRDCKAAHELRSQSKLMMDLYNQMTTAAGLGKNVGGRQLPDRHRDGGIQQPSHISAETITSQMKLDDGLKIRGSYVVGGSVYGWKFITWAGSRPNYYGVTKESHRNTLKKPAAGGSHCN >Potri.014G001200.1.v4.1 pep chromosome:Pop_tri_v4:14:131538:136885:1 gene:Potri.014G001200.v4.1 transcript:Potri.014G001200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G001200.v4.1 MFWCRTMHHSLVGFLIVSLGILTTLVHSKTDSDDVSALNVMFTSLNSPSKLSGWKSRGGDPCGDSWEGIQCSGSSVTQIKLSGLGLTGSLGYQLSNLKSVTYFDVSKNNLNNDIPYQLPPNTVNLDLSNNGFTGNVPYSISQMTKLQYLNLNHNKINGQLSDMFQKLTKLKTMDLSHNSISGNLPQSFSALKSLSTFHLQDNKFTGTLDVLAALPLKDLNVEDNEFTGWVPDSLEGIDNLETGGNSWSSGHAPRGKSSSAHEKGGGKKGMSGLAIALIVVASLVVLVLLLLLFSRKRSSPSSNFLDEEKGSRHRAFTPLSSQELSNHTIASIKKEFKETESLNSSGPIDIKTFQKAASMGYKPPPSNFSQSINDNQFASCLNPGRNTSVRAVAFSLTDLQTATGNFAPGRLIGEGSLGRVYRAKYPDGKVLAVKTIDLSLLQGAKPEDFSEIVTSISKVHHPNIAELAGYCAEQGHSMLIYEYFRNGSLHGFLHVADDYSKPLTWNTRVRIALGTARAVEYLHEVCSPSFIHKNIKSSNILLDNELNPCLCDYGLENFHHRTSQNLGVGYNAPECTKPPAYTMKSDVYSFGVVMLELLTGRKPFDSSRPKSEQCLVRWATPQLHDIDSLEKMVDPALRGLYPPKSVSRFADIIALCAQAEPEFRPPMSEVVQALVRLVQRSSMNLRDDITISSQMEDSDY >Potri.001G464500.2.v4.1 pep chromosome:Pop_tri_v4:1:49002255:49003372:-1 gene:Potri.001G464500.v4.1 transcript:Potri.001G464500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G464500.v4.1 MQALDGTNSFEGQFLDCSSITTYKSSCMYTCTSSVLQFINILLLPQAIDMASHILLVIASFLAIICASATASDPSPLQDFCVADPTSSVRVNGLPCMDPKLVNASHFFSSELRVPGNTSNPIGFTVTIAQIPGLNTLGLALGRGDYAPEGTSTLHTHPRATEITTVLEGSLIAGFVTSNPENRLVWKVLQKGDVFVFPIGLVHFTFNVGNGPTATIAAFTSQSPGFIRVGDLFATNPSIPDDILAKAFQVDESVIRKLRP >Potri.001G217500.3.v4.1 pep chromosome:Pop_tri_v4:1:22739906:22740863:1 gene:Potri.001G217500.v4.1 transcript:Potri.001G217500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217500.v4.1 MACPSKFYSLMLVLVLFYMVMEESYAGCSTGQRCFYAEAVSPVDVKSRKVLVVLTGGLRGPTGSTGNGEKLEIRELRAAPSGPDPLHHNGGSPEKPRTP >Potri.001G217500.2.v4.1 pep chromosome:Pop_tri_v4:1:22740041:22740685:1 gene:Potri.001G217500.v4.1 transcript:Potri.001G217500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217500.v4.1 MACPSKFYSLMLVLVLFYMVMEESYGLNLSQSLSLHAGCSTGQRCFYAEAVSPVDVKSRKVLVVLTGGLRGPTGSTGNGEKLEIRELRAAPSGPDPLHHNGGSPEKPRTP >Potri.001G217500.4.v4.1 pep chromosome:Pop_tri_v4:1:22739906:22740863:1 gene:Potri.001G217500.v4.1 transcript:Potri.001G217500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217500.v4.1 MACPSKFYSLMLVLVLFYMVMEESYGCSTGQRCFYAEAVSPVDVKSRKVLVVLTGGLRGPTGSTGNGEKLEIRELRAAPSGPDPLHHNGGSPEKPRTP >Potri.001G217500.1.v4.1 pep chromosome:Pop_tri_v4:1:22740041:22740685:1 gene:Potri.001G217500.v4.1 transcript:Potri.001G217500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G217500.v4.1 MACPSKFYSLMLVLVLFYMVMEESYGLNLSQSLSLHGCSTGQRCFYAEAVSPVDVKSRKVLVVLTGGLRGPTGSTGNGEKLEIRELRAAPSGPDPLHHNGGSPEKPRTP >Potri.014G048000.2.v4.1 pep chromosome:Pop_tri_v4:14:3108435:3111178:-1 gene:Potri.014G048000.v4.1 transcript:Potri.014G048000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G048000.v4.1 MELEAVLAVYGDDCAILDSFPPHLHLHSKPRTVDISSQQYPSEPLHIDLIESKGLDGERQKQFITSGQHKACELSSCLMLVALCEEVVEKLSVMNHRDGDCPLCLYPLVPDDEQHEALAFMKLMGNCPVDRRVFHAKGYEHVLDSNSSFSIEKAVSTKIDGSLNRPRARKPRNSGKRKQRVQNSRRSVSQWVRRENGTAD >Potri.014G048000.3.v4.1 pep chromosome:Pop_tri_v4:14:3110804:3111178:-1 gene:Potri.014G048000.v4.1 transcript:Potri.014G048000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G048000.v4.1 MELEAVLAVYGDDCAILDSFPPHLHLHSKPRTVDISSQQVHHSLSPSFTFGVCLFFGFEEQNSRKHFLGNKNDSYQGSWLLKVDSADSFEQTHLFRIMILMCLLYFCRIFLCKDISEVLGLLAL >Potri.010G074400.1.v4.1 pep chromosome:Pop_tri_v4:10:10249535:10250810:-1 gene:Potri.010G074400.v4.1 transcript:Potri.010G074400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G074400.v4.1 MESAKQQSSGTSSTDENYDRQEQVKEDSSSRTTISAKRSYECSFCKRGFTNAQALGGHMNIHRKDRANRTKDKQLASSSSVSSKASEEIKNPGYMVPNSSEPTKYYPVSEDQRNYGTYFQQPGSSPREPYSYKHGSHDFLVPRSQSLSMNDELWGTSIGLQIGSSNIQDNGGNRRVSDDDEVDLELRLGHDR >Potri.013G075232.1.v4.1 pep chromosome:Pop_tri_v4:13:6305401:6305881:1 gene:Potri.013G075232.v4.1 transcript:Potri.013G075232.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G075232.v4.1 MKKEILIIESTRLLVKNDGKFIMYQTINILLVHKRKQ >Potri.014G110600.1.v4.1 pep chromosome:Pop_tri_v4:14:7406172:7409857:-1 gene:Potri.014G110600.v4.1 transcript:Potri.014G110600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G110600.v4.1 MRAFAVPIDYYYCCYSSYPCLLLPPSFTNTKLSSFHPKFASLSSHATHRLPSQFRPTRLRCSNSGSSTFLDGDDDDGYCSYAGEEESGDSVREDGVFIEIKKLQKNSRRIRSKISINASLDTVWKILTDYEKLADFIPGLAVSKLIDKKDKFARLYQIGQQNLAFGLKFNAKAILDCYERDLQTLASGEKRDIEFKMTEGDFQFFEGMWSIEQLAKPKTEDSVGQEYETTLSYLVDVKPKMWLPVNLIEGRICKEIKSNLTCIREEAQKVIDDAQHDQ >Potri.004G170000.1.v4.1 pep chromosome:Pop_tri_v4:4:20431993:20432977:1 gene:Potri.004G170000.v4.1 transcript:Potri.004G170000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G170000.v4.1 MAVELLLTFTMEETLTRVSSIAAEGIRLAWGLEGQLRKLKQSSIIIRDVLHDAARRSVIDDSVKGWLEKLQDVAYDAEDVLDEFAYEILRKDQKKGKVRDCFSLHNPVAFRLNMGQKVKEINGALDEIRKDAAVFQLTSLHVDRAQEVSWD >Potri.009G052800.1.v4.1 pep chromosome:Pop_tri_v4:9:5732207:5737459:1 gene:Potri.009G052800.v4.1 transcript:Potri.009G052800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G052800.v4.1 MKKSGGAADKKRVRRSSGAVTNASRDLNSDTPPRKQAAKKDVFQLFAEKVRDHKDLVSRWAVLQETRVEYFRGKDFVSFLKNHSEVKDILESNNNLEVEEIANTLLSKNLLVRCDRVVKTVRPGKKKLSTWPAHLEIFPDQVFSENDAFFAWAFVKRRPLWQTLLSLSWPVLTLAICMFPVYPHRCKLLILYSCAGLLLLILSLLSLRATVFGVLYLILGKRVWFFPNILAEEATLGELFRFWPNKDEEERPKWTTRLFYALVAVLVILLLRHHAPDEAARARYQKRMSNIIDEVLEWSPSLALSGMMEKQPTVVNTTEPSNFTDSGKTDSEKEPPADDEGGETILEQHEDEETENIEDTDQHQHQHQDHI >Potri.010G015666.1.v4.1 pep chromosome:Pop_tri_v4:10:2600568:2600894:-1 gene:Potri.010G015666.v4.1 transcript:Potri.010G015666.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G015666.v4.1 MEMVLVSINTVMQVWPTGDDVRMVHYSYIADTKIWLGEALSPIALCRALFLLFPALPCWSALECWLASILWLLQPWVYWRATCGWLCAASCSLVCWTLSLVCYGLQGC >Potri.001G234100.1.v4.1 pep chromosome:Pop_tri_v4:1:25284923:25288640:-1 gene:Potri.001G234100.v4.1 transcript:Potri.001G234100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G234100.v4.1 MGFLCFSGKSSKRSENSSIDENNSNIKRKDQTQLTSGSMKVKPYVNDSREEGASKDDQLSLDVKSLNLKDEISKDIRNNGNPAQTFTFEDLVAATDNFRSDCFLGEGGFGKVYKGYLEKINQVVAIKQLDQNGLQGIREFVVEVLTLSLADNPNLVKLIGFCAEGDQRLLVYEYMPLGSLENHLHDIPPNRQPLDWNARMKIAAGAAKGLEYLHNEMTPPVIYRDLKGSNILLGEGYHPKLSDFGLAKVGPSGDHTHVSTRVMGTYGYCAPDYAMTGQLTFKSDVYSFGVVLLELITGRKAIDQTKERNEQNLVAWARPMFKDRRNFSCMVDPFLQGQYPIKGLYQALAIAAMCVQEQPNMRPAVSDVVLALNYLASHKYDPRIHPLQDPRRRPSHPGLDEDNGRT >Potri.005G020000.4.v4.1 pep chromosome:Pop_tri_v4:5:1271226:1276740:-1 gene:Potri.005G020000.v4.1 transcript:Potri.005G020000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020000.v4.1 MGIEEGVVELHDDKGKIDKKLTISVHTFSDLTYTSPVVFLYLLKECYAHGTCKATNKFRILQQKVYHALENSPQPGPATFVVWCLYVLPIFGLHCEGFSHLIISALRRFLNLAPISEDTSKAKVIAARLFLDIVGGLVDHDERIVVKILEVFDVKLADVDEALCQLNVQDDYKPDTAKTLVEDYIFKLIDSQSYMTAASLLEHFSIRHSGQSFLVKMMQNKQSRAAEKWATFMGKSMLCALVQEYADQNMLKQAYETIKKNDLKQEFPDVYHKCKESSLKKLAEKALWDLAEAKTHGDKQLLEYLVYLAMEACYSEKVDELCERYSLEGFLNVKESEGSVLQSKYLHLDELAVENIIWVDEVDGLCAATSHIEGCKVVGLDCEWKPNYVKGSKPNKVSIMQIASDKTVFIFDLIKLFEDIPDILDNCLSRILQSPRILKLGYNFQCDIKQLAHSYGELRCFNNYEKLLDIQNVFKDARGGLSGLAEKILGTGLNKTRRNSNWELRPLSHNQLEYAALDAAVLVHIFHHFHNHSQSAGFPDGHDKIEWKSHIVSRMDNPKKAKKESKNKRELEDDINKRGQSN >Potri.005G020000.1.v4.1 pep chromosome:Pop_tri_v4:5:1271210:1276751:-1 gene:Potri.005G020000.v4.1 transcript:Potri.005G020000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G020000.v4.1 MGIEEGVVELHDDKGKIDKKLTISVHTFSDLTYTSPVVFLYLLKECYAHGTCKATNKFRILQQKVYHALENSPQPGPATFVVWCLYVLPIFGLHCEGFSHLIISALRRFLNLAPISEDTSKAKVIAARLFLDIVGGLVDHDERIVVKILEVFDVKLADVDEALCQLNVQDDYKPDTAKTLVEDYIFKLIDSQSYMTAASLLEHFSIRHSGQSFLVKMMQNKQSRAAEKWATFMGKSMLCALVQEYADQNMLKQAYETIKKNDLKQEFPDVYHKCKESSLKKLAEKALWDLAEAKTHGDKQLLEYLVYLAMEACYSEKVDELCERYSLEGFLNVKESEGSVLQSKYLHLDELAVENIIWVDEVDGLCAATSHIEGCKVVGLDCEWKPNYVKGSKPNKVSIMQIASDKTVFIFDLIKLFEDIPDILDNCLSRILQSPRILKLGYNFQCDIKQLAHSYGELRCFNNYEKLLDIQNVFKDARGGLSGLAEKILGTGLNKTRRNSNWELRPLSHNQLEYAALDAAVLVHIFHHFHNHSQSAGFPDGHDKIEWKSHIVSRMDNPKKAKKESKNKRELEDDINKRGQSN >Potri.004G092900.6.v4.1 pep chromosome:Pop_tri_v4:4:7877991:7883904:1 gene:Potri.004G092900.v4.1 transcript:Potri.004G092900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092900.v4.1 MGEVEESGEMNSSERLVGIIYDEKMCKHHTPDGDSHPENPNRIRVIWNKLLANNIPQRCVVLSGKEAEDKYLMAVHSENHVNLIRNISSKQFDSRRNRIASKLNSIYFNEGSSEAAYHAAGSVLELAERIAKGDLNSGAAIVRPPGHHAEYDEAMGFCLFNNVAVAASFLLDERPELGVKKILIVDWDVHHGNGTQKTFWNDSRVLFFSVHRHEFGSFYPGNDDGFYTMIGEGPGTGYNINVPWEHARCGDADYLAVWDHILIPVAKKFDPDMIIVSAGFDAAVGDPLGGCCVTPYGYSVMLKKLMDFAQGKIMLALEGGYNLDSIANSFLACMKVLLEDKPVSGSVEAYPFESTWRVIQAVRKKLSPYWPALADELPLKLTIQNAPHPHILISNSDSEGEDEKAPNIVSEIVQEVMEPFSKLKVEDNQDQVATSSTSWRSELSKIDIWYASFGSNMWKPRFLCYIEGGQVDGMKKPCTGSMDKNLPKEILWKNFPHRLYFGRDSTRTWGPGGVAFLHPDNSVGEKTYMCLYKITLEQFNDVLLQENVSSYQMNSPVFDLAALQSIKNKGSISLEVFKSGWYRNVVYLGNERDIPILTMTCSISDAESFKLGEFPLRRPSKEYANTLVKGLVESGQLSEEEAIAYIEEASSKPLC >Potri.004G092900.1.v4.1 pep chromosome:Pop_tri_v4:4:7877978:7883907:1 gene:Potri.004G092900.v4.1 transcript:Potri.004G092900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G092900.v4.1 MGEVEESGEMNSSERLVGIIYDEKMCKHHTPDGDSHPENPNRIRVIWNKLLANNIPQRCVVLSGKEAEDKYLMAVHSENHVNLIRNISSKQFDSRRNRIASKLNSIYFNEGSSEAAYHAAGSVLELAERIAKGDLNSGAAIVRPPGHHAEYDEAMGFCLFNNVAVAASFLLDERPELGVKKILIVDWDVHHGNGTQKTFWNDSRVLFFSVHRHEFGSFYPGNDDGFYTMIGEGPGTGYNINVPWEHARCGDADYLAVWDHILIPVAKKFDPDMIIVSAGFDAAVGDPLGGCCVTPYGYSVMLKKLMDFAQGKIMLALEGGYNLDSIANSFLACMKVLLEDKPVSGSVEAYPFESTWRVIQAVRKKLSPYWPALADELPLKLTIQNAPHPHILISNSDSEGEDEKAPNIVSEIVQEVMEPFSKLKVEDNQDQVATSSTSWRSELSKIDIWYASFGSNMWKPRFLCYIEGGQVDGMKKPCTGSMDKNLPKEILWKNFPHRLYFGRDSTRTWGPGGVAFLHPDNSVGEKTYMCLYKITLEQFNDVLLQENVSSYQMNSPVFDLAALQSIKNKGSISLEVFKSGWYRNVVYLGNERDIPILTMTCSISDAESFKLGEFPLRRPSKEYANTLVKGLVESGQLSEEEAIAYIEEASSKPLC >Potri.006G061300.3.v4.1 pep chromosome:Pop_tri_v4:6:4368541:4372529:1 gene:Potri.006G061300.v4.1 transcript:Potri.006G061300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061300.v4.1 MELNRLCLAVIMIINVVVLIQGWRCHGCLEEERVALLQIKDAFSYPNGSFPHSWGRDANCCEWKQVQCNSTTLRVVKIDLSFSRGWELGDWLLNASLFLPFPELNALNLYGNRIAGCLENEGFERLSVLGNLERLELGQNKFNSSIFSSLGGLSSLKCLSLHNNEIEGTISVEGLNNLTNLRNLHIASNHIEGFKSLHGGEDEVLKMSNLEYLDLGGNRFDNSILSSFKGLSSLKNLGLEKNHLKGTFNMKELEALSNLRKLYLSGNEIDEFVFSERIRGFGNLSRVRLFNITANGRRISLPLLQSLAKLPNLKTLDLGNNNFEGTILAQALPSLKNLHKLDLSSSTLDNSSLQTIGRITTLTSLKMNGCRLSGSIPIAEGLCELKHLQSLDISNNSLTGVLPNCLANLTSLKQIDLSSNHFGGDISSSPLITLTSIQELRLSDNNFQIPISLRSFSNHSELKFFFGYNNEICAELEEHNLIPKFQLERLHLSGQAYGGALPFPKFLFYQHNLREIYFSNMKMRGGVPNWLLENNPNLHELFLVNNSLSGPFQLPIHPHVSLSQLDISDNHLDSHIPTEIGAYFPSLTFLSMSKNHFNGIIPSSFGSMSSLLVLDLSENNISGKLPSCFSSLPLVHVYLSQNKLQGSLEDAFHKSFELITLDLSHNQLTGNISEWIGEFSHMSYLLLGYNNLEGRIPNQLCKLDKLSFIDLSHNKFSGHILPCLRFRSSIWYSNLRIYPDRYLIREPLEITTKSVSYSYPISILNIMSGMDLSCNNLTGPIPQTFTNLSEVESLDLSNNSLTGAIPPGLVQLHSLAFFSVAHNNLSGRTPPNMIPQFSTFNESSYEGNPLLCGPPLSRHCTTQEEEASSLPKRTSTDDIEESGFMDTDVFYVSFVVTYIMMLLVTAAILYINPNWRRAWFYFIKQSINNCYYFFVDNLHMPSWLEVRNLLCRYF >Potri.006G061300.1.v4.1 pep chromosome:Pop_tri_v4:6:4368541:4372528:1 gene:Potri.006G061300.v4.1 transcript:Potri.006G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G061300.v4.1 MELNRLCLAVIMIINVVVLIQGWRCHGCLEEERVALLQIKDAFSYPNGSFPHSWGRDANCCEWKQVQCNSTTLRVVKIDLSFSRGWELGDWLLNASLFLPFPELNALNLYGNRIAGCLENEGFERLSVLGNLERLELGQNKFNSSIFSSLGGLSSLKCLSLHNNEIEGTISVEGLNNLTNLRNLHIASNHIEGFKSLHGGEDEVLKMSNLEYLDLGGNRFDNSILSSFKGLSSLKNLGLEKNHLKGTFNMKELEALSNLRKLYLSGNEIDEFVFSERIRGFGNLSRVRLFNITANGRRISLPLLQSLAKLPNLKTLDLGNNNFEGTILAQALPSLKNLHKLDLSSSTLDNSSLQTIGRITTLTSLKMNGCRLSGSIPIAEGLCELKHLQSLDISNNSLTGVLPNCLANLTSLKQIDLSSNHFGGDISSSPLITLTSIQELRLSDNNFQIPISLRSFSNHSELKFFFGYNNEICAELEEHNLIPKFQLERLHLSGQAYGGALPFPKFLFYQHNLREIYFSNMKMRGGVPNWLLENNPNLHELFLVNNSLSGPFQLPIHPHVSLSQLDISDNHLDSHIPTEIGAYFPSLTFLSMSKNHFNGIIPSSFGSMSSLLVLDLSENNISGKLPSCFSSLPLVHVYLSQNKLQGSLEDAFHKSFELITLDLSHNQLTGNISEWIGEFSHMSYLLLGYNNLEGRIPNQLCKLDKLSFIDLSHNKFSGHILPCLRFRSSIWYSNLRIYPDRYLIREPLEITTKSVSYSYPISILNIMSGMDLSCNNLTGEIPPEIGNLNHIHVLNLSNNFLIGPIPQTFTNLSEVESLDLSNNSLTGAIPPGLVQLHSLAFFSVAHNNLSGRTPPNMIPQFSTFNESSYEGNPLLCGPPLSRHCTTQEEEASSLPKRTSTDDIEESGFMDTDVFYVSFVVTYIMMLLVTAAILYINPNWRRAWFYFIKQSINNCYYFFVDNLHMPSWLEVRNLLCRYF >Potri.005G124100.1.v4.1 pep chromosome:Pop_tri_v4:5:9234141:9239089:-1 gene:Potri.005G124100.v4.1 transcript:Potri.005G124100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124100.v4.1 MAARDRDLERLIPIQNPDININNIITNGGSNSSSESVSPIISSHHSSSEEAMSKVIRSWASKKFMSGCVILLPMAITFCITWWFISFVDGFFSPIYAHFGVNIFGLGFVTSISFIFLIGVFMSSWLGASVLGLGEWFIKKMPFVSYIYSASKQISAAISPDQSSNAFKEVAIIRHPRHGEYAFGFITSIVILRGSMGAEELCCVYVPTNHLYLGDIFLISSKDILRPNLSVREGIEIVISGGMSIPQILNTMDSHAVHATGLGKFAVPPV >Potri.005G124100.3.v4.1 pep chromosome:Pop_tri_v4:5:9234628:9238444:-1 gene:Potri.005G124100.v4.1 transcript:Potri.005G124100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124100.v4.1 MEGLILPLNLSLLSSLLITPPAKRQCLKSSEAGLRRSSCLDGLGFVTSISFIFLIGVFMSSWLGASVLGLGEWFIKKMPFVSYIYSASKQISAAISPDQSSNAFKEVAIIRHPRHGEYAFGFITSIVILRGSMGAEELCCVYVPTNHLYLGDIFLISSKDILRPNLSVREGIEIVISGGMSIPQILNTMDSHAVHATGLGKFAVPPV >Potri.005G124100.2.v4.1 pep chromosome:Pop_tri_v4:5:9234628:9238444:-1 gene:Potri.005G124100.v4.1 transcript:Potri.005G124100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G124100.v4.1 MAARDRDLERLIPIQNPDININNIITNGGSNSSSESVSPIISSHHSSSEEAMSKVIRSWASKKFMSGCVILLPMAITFCITWWFISFVDGFFSPIYAHFGVNIFDQSSNAFKEVAIIRHPRHGEYAFGFITSIVILRGSMGAEELCCVYVPTNHLYLGDIFLISSKDILRPNLSVREGIEIVISGGMSIPQILNTMDSHAVHATGLGKFAVPPV >Potri.001G098000.2.v4.1 pep chromosome:Pop_tri_v4:1:7788268:7790777:1 gene:Potri.001G098000.v4.1 transcript:Potri.001G098000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G098000.v4.1 MEAFSLLRYWRGGGGGSAAIGGGGGGGCGGDGYCNVRAAKIVTAVSPSRAETDDENDDDDGPFFDLEFAVPDEEEEGGDGKEANKGNNGADSEEENDAADTSDEDEVEDDDIDEEREINFTLSSASSNDRSDLNLALSPSDDLFFKGRLVPIEPSSLEPNSKSSQFSVSFLKSAVKFRVFMLGLKKKPNTTTTNEKAEANVVPALASSTPELQGEKDEENGKQSKLFTVKFKVEEVPVMSLFTRENSKSIKSSQKQNSTEESTASAVGVASSDEKLKFSKDVMQKYLQKVKPLYIRVSKRYGEKLKFSGQLSLGSGQKTPTAPPLSTVTQKTTTADKVEKEKESVEDPAVAVTDHKGRKQGNLPSGLRVVCKHLGKRRSASAAAVAAAPPGPVLSERRDDSLLQQHDGIQSAILHCKRSFNASRDSDSSVLSRSVSDPSHEKSIEIMSSHQIMKGKVLLWTQRRQENEIV >Potri.001G297700.1.v4.1 pep chromosome:Pop_tri_v4:1:30865644:30866082:1 gene:Potri.001G297700.v4.1 transcript:Potri.001G297700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G297700.v4.1 MKLFFAALLLCSLLFSSSFLEPVMAKSSFCTKKCNTRCANAGIQDRCLKYCGICCEQCKCVPSGTYGNKHECPCYRDKRNSKGKPKCP >Potri.001G255532.4.v4.1 pep chromosome:Pop_tri_v4:1:27141844:27145569:-1 gene:Potri.001G255532.v4.1 transcript:Potri.001G255532.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255532.v4.1 MDSYEAANMVFSRIQNLEPENASKIMGYLLLQDYGEKEMIRFAFGPETLLHNLILYAKTQLGFLSNKTSSSPFIPSSRPSPLSIPSSRITNNNGFDITNPSSPSTNSWHFSTPNSTSPLSYASVVNGASSINASSTPFQPTVSLSNAFPYSNNNSSASDLVDEYELQDCFSFLNDSKTDGLFDQRAELATSPTEYGDNGSHKRSFSVPDTCVGSEDSNSRFGWKPCLYFSRGFCKNGSGCRFVHGDSADTAAIVGSPGELYEFEQCLQILRSKAAAQQKNLAAASQFMAGANFLSHNKSLDFLHQQQNDSQRSAAVAALMMGDELHKSGRIRPGRNDFSQIGLGGATSPSSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVFAETVRLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKHHQQQQIQREEYSACPSPSRINSREAFDLHIGARMLYNTQEMLSRKLKEEADFQQAIESQGRRLLNLQLLDLKNNNHQHQHQHRSLHGLLTGSPPTPTILHSPNNQTPFFPVDDIDQDVPRGNGGNPDAAVAQNAVSDVDKEGSPACDHNDGNSNHRDKEEKSNNEESYLHENLEHILPDNLFASPKKSAGDPLTVFSAASVEADENTTSTTSSSLNMTSLKSCSLQKPRLSSGPGTVGI >Potri.001G255532.2.v4.1 pep chromosome:Pop_tri_v4:1:27141161:27145866:-1 gene:Potri.001G255532.v4.1 transcript:Potri.001G255532.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255532.v4.1 MDSYEAANMVFSRIQNLEPENASKIMGYLLLQDYGEKEMIRFAFGPETLLHNLILYAKTQLGFLSNKTSSSPFIPSSRPSPLSIPSSRITNNNGFDITNPSSPSTNSWHFSTPNSTSPLSYASVVNGASSINASSTPFQPTVSLSNAFPYSNNNSSASDLVDEYELQDCFSFLNDSKTDGLFDQRAELATSPTEYGDNGSHKRSFSVPDTCVGSEDSNSRFGWKPCLYFSRGFCKNGSGCRFVHGDSADTAAIVGSPGELYEFEQCLQILRSKAAAQQKNLAAASQFMAGANFLSHNKSLDFLHQQQNDSQRSAAVAALMMGDELHKSGRIRPGRNDFSQIGLGGATSPSSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVFAETVRLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKHHQQQQIQREEYSACPSPSRINSREAFDLHIGARMLYNTQEMLSRKLKEEADFQQAIESQGRRLLNLQLLDLKNNNHQHQHQHRSLHGLLTGSPPTPTILHSPNNQTPFFPVDDIDQDVPRGNGGNPDAAVAQNAVSDVDKEGSPACDHNDGNSNHRDKEEKSNNEESYLHENLEHILPDNLFASPKKSAGDPLTVFSAASVEADENTTSTTSSSLNMTSLKSCSLQKPRLSSGPGTVGI >Potri.001G255532.3.v4.1 pep chromosome:Pop_tri_v4:1:27142262:27145767:-1 gene:Potri.001G255532.v4.1 transcript:Potri.001G255532.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G255532.v4.1 MDSYEAANMVFSRIQNLEPENASKIMGYLLLQDYGEKEMIRFAFGPETLLHNLILYAKTQLGFLSNKTSSSPFIPSSRPSPLSIPSSRITNNNGFDITNPSSPSTNSWHFSTPNSTSPLSYASVVNGASSINASSTPFQPTVSLSNAFPYSNNNSSASDLVDEYELQDCFSFLNDSKTDGLFDQRAELATSPTEYGDNGSHKRSFSVPDTCVGSEDSNSRFGWKPCLYFSRGFCKNGSGCRFVHGDSADTAAIVGSPGELYEFEQCLQILRSKAAAQQKNLAAASQFMAGANFLSHNKSLDFLHQQQNDSQRSAAVAALMMGDELHKSGRIRPGRNDFSQIGLGGATSPSSRQIYLTFPADSTFREEDVSNYFSIYGPVQDVRIPYQQKRMFGFVTFVFAETVRLILAKGNPHFVCDSRVLVKPYKEKGKVPDKKHHQQQQIQREEYSACPSPSRINSREAFDLHIGARMLYNTQEMLSRKLKEEADFQQAIESQGRRLLNLQLLDLKNNNHQHQHQHRSLHGLLTGSPPTPTILHSPNNQTPFFPVDDIDQDVPRGNGGNPDAAVAQNAVSDVDKEGSPACDHNDGNSNHRDKEEKSNNEESYLHENLEHILPDNLFASPKKSAGDPLTVFSAASVEADENTTSTTSSSLNMTSLKSCSLQKPRLSSGPGTVGI >Potri.002G039100.1.v4.1 pep chromosome:Pop_tri_v4:2:2578138:2579224:-1 gene:Potri.002G039100.v4.1 transcript:Potri.002G039100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G039100.v4.1 MEPSLFYHNQYLNSDLSPESSFGSLDSFPWDDLFQSSSLPFNTSDSGEMVLFNDLGADGAKESSESNSSSGIKEEEVTSNAKEEEPKKEKSYRGVRRRPWGKYAAEIRDSTRNGVRVWLGTFDSAEAAALAYDQAAFSMRGSMAVLNFSVEMVRESLEDMKYRCEDGCSPVVALKRRHSVRRKSTSRKSKVNQVANTRQQNVVVLEDLGADYLEELLNSCESSSSW >Potri.015G146400.1.v4.1 pep chromosome:Pop_tri_v4:15:15060547:15061781:1 gene:Potri.015G146400.v4.1 transcript:Potri.015G146400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G146400.v4.1 MSGGVGPTCNDISLPNEREQEQKLQEDLASLKNPKSTTTSKKAGFLSFRQLNVLAVMTVFAASGMVSPEDFAFVVFSIIYLYFFSKVAFPTTNPPRDSVVFDPKNKILRLYVFVGAIIGLFLPIAYIFEGIFEGDKEGIKAAAPHVFLLAAQVFMEGVASSDRFSIPIRVFVPVFYNSRRIFTLVDWLRDEISKAEQDYGGSTWRLHIGRALAVANLAFWCFNLFGFLLPVYIPRAFKKYYSGFKVKD >Potri.017G126400.1.v4.1 pep chromosome:Pop_tri_v4:17:13055925:13056543:1 gene:Potri.017G126400.v4.1 transcript:Potri.017G126400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G126400.v4.1 MADEQAELNRIFKRFDLNGDGKISAAELGDCLKTLGSVTAEEVKRMMAEIDTDGDGSISYQEFLDFAKANSGLMKDVAKIF >Potri.006G120600.1.v4.1 pep chromosome:Pop_tri_v4:6:9503851:9505387:-1 gene:Potri.006G120600.v4.1 transcript:Potri.006G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120600.v4.1 MSSQLHFVLIPLMAQGHMIPMIDMARLISERGVTVSLVTTPHNASRFASIIERARESSLPIRLVQIPFPCEEVGLPIGYENLDTLPSRDLLKRFYIAVARLQQPLERILEHAKPRPSCIISDKCLSWTAKTAQRFNIPRIVFHGMCCFSLLSSNNIRLHKAHLTVNSDSEPFVVPGMPKSFEITKAQLPGAFVSLPDLDDVRNEMQEAESTAYGVVVNSFDELEHGCAEEYGKALKKKVWCVGPVSLCNKQNLDKFERGNKASIGKTQCLEWLDSMEPGSVIYACLGSLCRLVPSQLIELGLGLEASNKPFIWVVKTGERGSELEEWFVKERFEERIKGRGLLIKGWAPQVLILSHRAVGGFLTHCGWNSTVEGICSGVPMISWPQFSEQFFNEKLVVEILRIGVRIGVEVPVRWGEEEKVGVLVKKDEVRKAVITLMDAGGEEGKNRRRRAIELGKTARKSMELGGSSNLNLSFLIQDIMKLQNSKQV >Potri.017G040750.1.v4.1 pep chromosome:Pop_tri_v4:17:2705179:2705978:-1 gene:Potri.017G040750.v4.1 transcript:Potri.017G040750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040750.v4.1 MNSYATAFTNHTNALVTTMIVTLQLTFPNPTTSGPSGLTPPSAGIYQFSPPPPTPPDSGTNLHPPPPPPTPPDIGTNLPPPPPPPPLLLSPPPPAVPAVTGAPPPSNNPPSSTVLVTPGPVHA >Potri.018G094800.1.v4.1 pep chromosome:Pop_tri_v4:18:11489854:11491230:-1 gene:Potri.018G094800.v4.1 transcript:Potri.018G094800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G094800.v4.1 MGSESMASYKSSTGILIFLLISLLDSSLIVSCTASKFYQEFDISWGNGRGKILNNGDLLTLSLDKASGSGFQSKNEYLFGKIDMQLKLVPGNSAGTVTAYYLKSSGNSWDEIDFEFLGNLSGDPYTLHTNVYSQGKGDREQQFRLWFDPTADFHTYSILWNPKLIIFSVDGKPIREFKNLESIGVPFPKKQQMRIYSSLWNADDWATRGGLIKTDWTRAPFTASYRNFNADACIWSSGRAACSSKNSWLWKQFDATSFQRLKWVQKNFMIYNYCTDTKRFPLGFPPECSVNM >Potri.007G144100.1.v4.1 pep chromosome:Pop_tri_v4:7:15312576:15314716:-1 gene:Potri.007G144100.v4.1 transcript:Potri.007G144100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G144100.v4.1 MANAIRNCFLLASLVIVFAPSLAGAAQYNVLNYGAKPDGRTDSTKAFLAAWTQACGSISRSTLYVPPGRYFLRNVQFSGPCKNNAILVRIDGSLLAPTDYRVIGNAANWISFQHVDGVTVSGGILDGHGSGLWDCKQSGKNCPSGATTLGVSNSQNIVISGLHSLNSQMFHIVVNGCHNVRIQGVKITASGNSPNTDGIHVQLSSGVTILNSKIGTGDDCVSVGAGTTNLWIENVVCGPGHGISIGSLGKDLVEPGVQNVTVKTVTFIGTQNGLRIKTWGRPSNGFVRNVLFQHAVMTKVQNPIVIDQNYCPDNKNCPGQSSGVKISGVTYQDIHGTSATQVAVKFDCSKRSPCTGIKMENVRLTYMNQPAGASCNNADGTASGFIQPSSCL >Potri.006G062500.1.v4.1 pep chromosome:Pop_tri_v4:6:4455711:4458240:1 gene:Potri.006G062500.v4.1 transcript:Potri.006G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G062500.v4.1 MAAKAVLISNSVQEMALNGEEPPVKYFCKGNDVGVLDASVPLIDIPVVDLGLLTSPSTSAQELEKFHLAASSWGCFQVVNHGMTSSFLDKIRDVSKRFFALSMEDKQKYSREADSIEGYGNDMILSDHQTIDWSDRLYLTISPEDQRKIKFWPENPKDFRETLNEYTMKLQEINEILLRAMAMSLNLEESSFLDQYGERPLVAARFNFYPPCPRPDRILGVKPHADASAITFLLQDKEVEGLQFLKDNQWFRVPIIPHALLINVGDQVEIMSNGIFKSPVHRVVTNTEKERNTLAVFCIPDSDKEIKPADGLISETRPSLYKKVKDYVSIYFQYYQQGKRPIEAVKI >Potri.009G088466.1.v4.1 pep chromosome:Pop_tri_v4:9:8194675:8197266:-1 gene:Potri.009G088466.v4.1 transcript:Potri.009G088466.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G088466.v4.1 METPYNLASKRFHEFNAGIRVTKPNFDGSITLTNKGILLWASYAHYFDHEKVAEAAVVSRMLSEKENVLVVGGTWIMDDKTTVKARFDSRGKLVTLLHHKIKPKTCIKISSEFDPKALDRIPIIRYGLSLSKSERFPFSVVWSS >Potri.003G085500.1.v4.1 pep chromosome:Pop_tri_v4:3:11169937:11173408:-1 gene:Potri.003G085500.v4.1 transcript:Potri.003G085500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G085500.v4.1 MTITLRSSTSFISLRHTRSLKTPDGFSGTVCFAQIKPSCRLQAKNSKQEAQLSQDDILVTEGRKSKNWEKLHAISGPDHSSSNSRVPVFVMLPLDTVTIGGNLNKPRAMNASLMALRSAGVEGVMVDAWWGLVEKDGPLKYNWEGYAELVQMVQKHGLKLQVVMSFHQCGGNVGDSCSIPLPPWVLEEMSKNLDLVYTDKSGRRNPEYISLGCDSLPLLRGRTPIQVYSDYMRSFRNRFKDYLGQVITEIQVGMGPCGELRYPAYPESKGTWNFPGIGEFQCYDKYMRASLEASAEAVGKTGWGQRGPHDSGQYNQFPEETGFFRRDGTWNTEYGQFFLEWYSGKLLEHGDKILAAAEGIFRGTGAKLSGKVAGIHWHYGTRSHAAELTAGYYNTRHHDGYLPMARMFSKHGVVFNFTCMEMRDGEQPQHANCSPEGLVRQVKMATRTARTELAGENALERYDAGAFSQVMATSRSESGNGLTAFTYLRMNKRLFEGDNWLHLVQFVESMSEGGRHGKLSECDSSGTNLYVGFIKDKSVQKTTEVALA >Potri.005G177200.1.v4.1 pep chromosome:Pop_tri_v4:5:18295667:18300613:-1 gene:Potri.005G177200.v4.1 transcript:Potri.005G177200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G177200.v4.1 MEESVIFQWSVFRSLLAILQWWGFNVTVIIMNKWIFQKLDFKFPLTVSCIHFICSSIGAYVVIKVLKIKPLIVVEPEDRWRRIFPMSFVFCINIVLGNVSLRFIPVSFMQTIKSFTPATTVVLQWLVWRKYFDWRIWASLVPIVGGILLTSVTELSFNMFGFCAALFGCLATSTKTILAESLLHGYKFDSINTVYYMAPLATMILGLPAILVEGSGVINWFYTHEAVWSSLIIILSSGLLAFCLNFSIFYVIHSTTAVTFNVAGNLKVAFAVLISWMIFRNPISVMNAVGCAITLVGCTFYGYVRHLLSQQPPPPGTPRTPKTPRNRMELLPLVNDKLDDKV >Potri.006G154700.1.v4.1 pep chromosome:Pop_tri_v4:6:13898548:13903563:-1 gene:Potri.006G154700.v4.1 transcript:Potri.006G154700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G154700.v4.1 MKNLFNSPFKGIVEDFKSRKACYNQDWSNALCSGPRILAPTTYMFFASALPVIAFGEQLSKETDGSLSTVHTLASTAICGIIHSLFGGQPLLILGVAEPTVIMYSYLYSFAKGNNAIGKELYLAWVGWVCVWTALLLFLLAIFNACTIINRFTRVAGELFGMLIAVLFIQQAVKGILGEFRIPEHEDPNLEKYNFQWLYTNGLLGVIFTFGLLYTGLKSRGARSWQYGTGWFRTLIADYGVPLMVLAWAALSFGVPGKLPSGVPRRLESPLPWNTASLKHWTVIKDMAKVPPAYLFAAFIPAVMVAGLYFFDHSVASQMAQQKNFNLRKPSAYHYDILVLGVLTLFCGLIGLPPSNGVLPQSPMHTKSLAVLSKQLIRKKMVKGAKECMKQNASNSEIYGKMQAVFIEINRTPLPSAANELKDLKDTAMKDDDGGIAYGNFDPEKHIDAHLPVQVNEQRFSNLLQSLLVGVSLLAMPIIKKIPTSVLWGYFAYMAIDSLPGNQFWERILLLFVTPSRCHKILEAPHASFVENVPFRHISMFTLFQLAYLLICFGVTWIPVAGILFPLPFFLLISIRQYVLPKLFHPFYLHELDAAEYEEIEGAPRRGGAVSFKERNGSVDGIDLSLCDSEILDELSTRRGELKLISKSFGDDKIFQVHPGEDSEGGL >Potri.003G061000.1.v4.1 pep chromosome:Pop_tri_v4:3:8752448:8753019:1 gene:Potri.003G061000.v4.1 transcript:Potri.003G061000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G061000.v4.1 MTGNSLKSIMVTLFIFAMVLSPILPSAEAGRLNYRGLQSGPICPTCVCCSPPPPGSCCPCCSTPIEP >Potri.007G040000.1.v4.1 pep chromosome:Pop_tri_v4:7:3277225:3281485:1 gene:Potri.007G040000.v4.1 transcript:Potri.007G040000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G040000.v4.1 MADTVQYKLERMVDELDDLERKGIFTRQEIAEIVKQRRKFEYRLKRPSPLKQDFLAYIDYETQLDSLRRLRKKSVARELEKQGDKRRRRNKKSVSDFAGISRIVEIYRIAVMRFKGDLELWFRYLEFCKDKKNGRMKKVLAQLIRFHPKAPGVWIYAAAWEFDHNLNVSAARALMQNGLRVCPHSEDLWVEYLRMELTYLNKLRVRKVALGEEKENVVHDYDMLLDEERGNDDGSNAGTEVSEKKVDLFREKGLLILQTIYTGAVEALPSSFGLRKRLLEILEATDLTHSEEMHKEMLSDMKRDFSREPEYWDWLARLEMTDSKSMSEMSVTLALPQFQKAIQVYEEALQFLPSPMMFNLYIKFLMDAIAPKGGENDPSKVSSPTEDYISHLLVVYEKADVVGYMTEDLACQYVSFYLQLGRFDEARKLADRLCSGKLSDSEKLWILRASMEIKSFTDDTSAPSKDDFQYVLGLLRSALTKVSISQAEDLWLMALNVFAKQKKYLDKLVEISLISVAKDGGSDDGFSLSSAIVNFMLQKDGIHKARKTYKRFLALPHPGLVLYRCCIELEVNLASVGDKDCLANARKLYESALATYGQDVTLWQNYHSMEIKLGTSETANAVCWRARKTLKNSAAFVAAPDLY >Potri.005G249100.1.v4.1 pep chromosome:Pop_tri_v4:5:24303413:24304581:-1 gene:Potri.005G249100.v4.1 transcript:Potri.005G249100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G249100.v4.1 MSTSNKSTFHLRSNSLPSKNHPLTEGVEEQLSRLRESEATSSSVSYKLSALKDLHDSFDDLLHFPLIQQVLSNEHYSKCIEESLERSIRLLDVCGTTTRDVFSQMKECVQELESSLRRKRCGESSCSENEVGAYMISRKRLNKVISKCFRNLKRTEKKSTSIPSVDKDSNLFAVVSMIKEVEEISLLVFESLLSLICRPKARTTGWSAVSKLLQSGRVSCEGQGCANEVEKMDSELICLIGKKSNHVEVQTALMGLKDLECSIQEVEEELECVFRRLLKVRVSLLNTLNH >Potri.007G118600.1.v4.1 pep chromosome:Pop_tri_v4:7:13704918:13707571:-1 gene:Potri.007G118600.v4.1 transcript:Potri.007G118600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G118600.v4.1 MALCLFTNFPSVNSMNWKDMPRHPLPRAAHRTCINLQFTCSVSLKESHEVSKRRLANYQPTTWSCDFLQSLKNDNADKIYKDKAMELEEEVRCMINSGDMEMLTMLEMIDDIQRLGLGHRFEKDIKRKLDRISSSEQSYVEAEKSLRATALCFRLLRQHGYEISQDIFHGFLDDQGNFMASLHNDIEGMLSLYEASHLACEGEEILNKANKQTSIYLRNHLGNSDSMTAERVSHALEVPLHHKMIMLEARWHIESYGKREDANPKLLQLSKLDFNMMQSVLQRDLQDMSRWWHDLGLKNKLRFSRDRLMECFFWTVGMAFEPEFNSCRKGLTKVTSFITTIDDVYDVYGALDELEAFTEAVERWDVSAVRNLPDYMKLCFLALFNTVNEMAYDHLKEQGEDAIPCLTKAWADLCKAFLQEAKWSYNNITPSFEEYLENAWRSVSGTVILIHAYFLMGENISKQALDYLVNYDELLRWPSIIFRLSNDLATSSAEIARGETANSISCYMYETGASEAEARKHIEKLIQKAWRNMNKCQIDNETPFARSFVGTAINLARIAQCTYQHGDGHGAPDSRSKNRISSLIIEPISFH >Potri.014G096300.1.v4.1 pep chromosome:Pop_tri_v4:14:6311237:6314637:-1 gene:Potri.014G096300.v4.1 transcript:Potri.014G096300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096300.v4.1 MDRRRKKQAKTTSCCSEQANSPLLLCNNLAEVSSIEWEFINMSEQEEDLIYRMHNLVGDRWALIAGRIPGRKAEEIERFWLMRHGEGFASRRREQKRCHS >Potri.014G096300.2.v4.1 pep chromosome:Pop_tri_v4:14:6311234:6314650:-1 gene:Potri.014G096300.v4.1 transcript:Potri.014G096300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G096300.v4.1 MDRRRKKQAKTTSCCSEQEVSSIEWEFINMSEQEEDLIYRMHNLVGDRWALIAGRIPGRKAEEIERFWLMRHGEGFASRRREQKRCHS >Potri.001G285800.1.v4.1 pep chromosome:Pop_tri_v4:1:29855860:29857729:-1 gene:Potri.001G285800.v4.1 transcript:Potri.001G285800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G285800.v4.1 MENNYSFNSYPDSGDSSPRSREIDFDNPTPWEEQSQQSQNYKVKFMCSYGGKIHPRPHDNQLSYMGGETKILAVDRNLKFPVMISKLSALCGDTDVAFKYQLPGEDLDALISVTNDDDLEHMMHEYDRLYRASAKPARMRLFLFPVNPSHASFGSDGDKSDRERFVEALNSGPSQVVEATKTPANNVDFLFGLDKGASPPPPPVKVPDLPEIHVGSGHDDRFIGSDPSNLQAHLQRMQIREHEQSIGCNRKNIDENLVGGYAAAGGDYYMQKLPEKVSPANLPVTMPPQVTAPPGYWPEKQAAGGGFPAAVAVTTAPGQMEQPVYMISGPAQGTAYHAPQVMRQVTGQTGQAYYMQRMGAPGHGPDVYREQPVYNVVPQHHQPPPQMGPMGVMRPSGPGVAVTDGGYAQVAYDSAVGRQVYYTAAGGVVHQHQQHQQLQQQMPPQFQGIGGGEMRHVGGGGQLAPEVKVVTTKVTQASV >Potri.001G106700.3.v4.1 pep chromosome:Pop_tri_v4:1:8562588:8567900:-1 gene:Potri.001G106700.v4.1 transcript:Potri.001G106700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106700.v4.1 MHWLYQWFREESCRWEYPVYETVKYIRDVKTGKINERIYHFVVSTDGPLINASHNSGLNGNDRTSSGSDITMSSSESRSGLNTPRELGLRNNMLQDPTSFLSSRTRTSASLLPKANASAASYVEHQQPQWELSAESAHDISNYDSTNSSQGSFARERSQQASDIEMEKLKSKLVVLSRQQDVSELEIQTLRKQIVKESKRGRDLSREILGLKGERDMLKSECEKLKAFQKWMEEAKSKNKLPFKCGDPFLEEVRRELNYEKDLNSNLRLQLQKTLESNAELILAVKDLNEMLEQKSRETSDLSNKARSYENAISRSKTGDDEEQKALEELVKEHKDAKETYSLEQKIMDLCSEIEIYRGERDELEMQMEQLALDYEILKQENHDMSYKLEQSQLQEQLKIQYECSPSFPNTNELEAQVESLENELKKQSKENSDSLTAIKELQTHIKSLEKELEQQAQEFDADLEVVTSARVEQEQRAIQAEEALRKTKLKNANTAEKLQEEFRRLSIHMASTFDANEKVAMKALAEASELHMQKGKLEEMLQKANEELQSVKDGYESKLHVLSNQLNLKMHQIEQMLMEIEDKSRQLEQQEKHDEECVGAFSHEIQGLKAELEMLSIENNHLSEQAEQKENMSLEVEQMKTSIKHTDALVQKGNMKRNELVSTISLLKKEAEKSQEELNRMRCLKDEKESAVSLLQSEVRMLKAQCDDLKHSLFEDELAKEKLRRQLLQLKSEPKKKEDALTSMEKKLKESNKCIAVSEGTKSTMRNNKFAPVPYGSKEMANLRDRIKLLEVQIKLKETSLEASANSFSEKERDLQNKIEELEGRVEELNQNCACFCCNQLRKSSEDNIGITPNGDIAEDLSRDENPSSSTSGMSKENGNLKLSIKSDHSSASELEPKTCSVNNTDHNANEELGELESLKERNKSMENELKEMQERYSEMSLKFAQVEGERQQLMMTLRNLKNATARKT >Potri.001G106700.4.v4.1 pep chromosome:Pop_tri_v4:1:8562588:8567909:-1 gene:Potri.001G106700.v4.1 transcript:Potri.001G106700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106700.v4.1 MHWLYQWFREESCRWEYPVYETVKYIRDVKTGKINERIYHFVVSTDGPLINASHNSGLNGNDRTSSGSDITMSSSESRSGLNTPRELGLRNNMLQDPTSFLSSRTRTSASLLPKANASAASYVEHQQPQWELSAESAHDISNYDSTNSSQGSFARERSQQASDIEMEKLKSKLVVLSRQQDVSELEIQTLRKQIVKESKRGRDLSREILGLKGERDMLKSECEKLKAFQKWMEEAKSKNKLPFKCGDPFLEEVRRELNYEKDLNSNLRLQLQKTLESNAELILAVKDLNEMLEQKSRETSDLSNKARSYENAISRSKTGDDEEQKALEELVKEHKDAKETYSLEQKIMDLCSEIEIYRGERDELEMQMEQLALDYEILKQENHDMSYKLEQSQLQEQLKIQYECSPSFPNTNELEAQVESLENELKKQSKENSDSLTAIKELQTHIKSLEKELEQQAQEFDADLEVVTSARVEQEQRAIQAEEALRKTKLKNANTAEKLQEEFRRLSIHMASTFDANEKVAMKALAEASELHMQKGKLEEMLQKANEELQSVKDGYESKLHVLSNQLNLKMHQIEQMLMEIEDKSRQLEQQEKHDEECVGAFSHEIQGLKAELEMLSIENNHLSEQAEQKENMSLEVEQMKTSIKHTDALVQKGNMKRNELVSTISLLKKEAEKSQEELNRMRCLKDEKESAVSLLQSEVRMLKAQCDDLKHSLFEDELAKEKLRRQLLQLKSEPKKKEDALTSMEKKLKESNKCIAVSEGTKSTMRNNKFAPVPYGSKEMANLRDRIKLLEVQIKLKETSLEASANSFSEKERDLQNKIEELEGRVEELNQNCACFCCNQLRKSSEDNIGITPNGDIAEDLSRDENPSSSTSGMSKENGNLKLSIKSDHSSASELEPKTCSVNNTDHNANEELGELESLKERNKSMENELKEMQERYSEMSLKFAQVEGERQQLMMTLRNLKNATARKT >Potri.001G106700.1.v4.1 pep chromosome:Pop_tri_v4:1:8562582:8567899:-1 gene:Potri.001G106700.v4.1 transcript:Potri.001G106700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G106700.v4.1 MSSSESRSGLNTPRELGLRNNMLQDPTSFLSSRTRTSASLLPKANASAASYVEHQQPQWELSAESAHDISNYDSTNSSQGSFARERSQQASDIEMEKLKSKLVVLSRQQDVSELEIQTLRKQIVKESKRGRDLSREILGLKGERDMLKSECEKLKAFQKWMEEAKSKNKLPFKCGDPFLEEVRRELNYEKDLNSNLRLQLQKTLESNAELILAVKDLNEMLEQKSRETSDLSNKARSYENAISRSKTGDDEEQKALEELVKEHKDAKETYSLEQKIMDLCSEIEIYRGERDELEMQMEQLALDYEILKQENHDMSYKLEQSQLQEQLKIQYECSPSFPNTNELEAQVESLENELKKQSKENSDSLTAIKELQTHIKSLEKELEQQAQEFDADLEVVTSARVEQEQRAIQAEEALRKTKLKNANTAEKLQEEFRRLSIHMASTFDANEKVAMKALAEASELHMQKGKLEEMLQKANEELQSVKDGYESKLHVLSNQLNLKMHQIEQMLMEIEDKSRQLEQQEKHDEECVGAFSHEIQGLKAELEMLSIENNHLSEQAEQKENMSLEVEQMKTSIKHTDALVQKGNMKRNELVSTISLLKKEAEKSQEELNRMRCLKDEKESAVSLLQSEVRMLKAQCDDLKHSLFEDELAKEKLRRQLLQLKSEPKKKEDALTSMEKKLKESNKCIAVSEGTKSTMRNNKFAPVPYGSKEMANLRDRIKLLEVQIKLKETSLEASANSFSEKERDLQNKIEELEGRVEELNQNCACFCCNQLRKSSEDNIGITPNGDIAEDLSRDENPSSSTSGMSKENGNLKLSIKSDHSSASELEPKTCSVNNTDHNANEELGELESLKERNKSMENELKEMQERYSEMSLKFAQVEGERQQLMMTLRNLKNATARKT >Potri.001G112200.1.v4.1 pep chromosome:Pop_tri_v4:1:9061369:9066783:-1 gene:Potri.001G112200.v4.1 transcript:Potri.001G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G112200.v4.1 MQEPNLGMMGSGSGGALGGLSSGEMSVSLSGDQSRQLKAEIATHPLYEQLLSAHVSCLRVATPIDQLPLIDAQLSQSHHLLRSYASQHNQHGHSLSPHERQDLDNFLAQYLIILCTFKDQLQQHVRVHAVEAVMACREIETTLQALTGVTLGEGTGATMSDDEDDLQMDFSLDQSSADGHDMMGFGPLLPTESERSLMERVRQELKIELKQGFKSRIEDVREEILRKRRAGKLPGDTTSVLKNWWQQHSKWPYPTEDDKAKLVEETGLQLKQINNWFINQRKRNWHSNSQSVTSLKSKRKR >Potri.010G129532.1.v4.1 pep chromosome:Pop_tri_v4:10:14602361:14606537:1 gene:Potri.010G129532.v4.1 transcript:Potri.010G129532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G129532.v4.1 MQSKEFPYNVETMILGEAQDLPKGLERDNRIIQTIMTQLLFALDGLHSTGIVHGDIKPQNIIFSEGSRTFKIIDLGAAADLRAGINYIPKEFLLDPRYAVPEQYIMSTQTPSAPSAPVATALSPVLWQAFPGLRSDSGLIQFNRHLKRCDYDLIAWRKSVETRASSDLRRGFELLDLDGGIGWELLNFDGPI >Potri.002G233000.1.v4.1 pep chromosome:Pop_tri_v4:2:22583387:22584457:-1 gene:Potri.002G233000.v4.1 transcript:Potri.002G233000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G233000.v4.1 MKMQKQQAFTFLLLTVSLTFPSLIHSLGAKCATNAPSVQQTQVGNGNPPRFMVEVQNNCPMCPVINIHLKCGSFPQALVNPRLLKVVAPDDCVVNGGLPLSPLQRFSFNYSHQKYLMHPSSWSFQCE >Potri.006G079800.2.v4.1 pep chromosome:Pop_tri_v4:6:5939710:5945168:-1 gene:Potri.006G079800.v4.1 transcript:Potri.006G079800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G079800.v4.1 MLSSKNPKSESGKQQLLSKILRASVSEKLKDLLGDIPELNEEEDNNDVIQDLSEYVTLLISNGKTQNQAKIQLEPFLGDANTALFVSWLWEFLSKNYMNPNASSDVENATGDGNATGKKQASKSSLIKPSDDSKQLSEGVKCPDDDDLSSESLEEGELPRTDHESIKEFEESEIGYGESLKCTSSRVEACSGDLGVGGEESTPHKKVYTRSLRCKKLDVANVAGRRLFTRAAADAILHQRARVHGTVWDRLGKLPEGGNCANADMKGVEKIKEEVFKCYGESTERNHSTRSLHGRKFCGRLSENFDIKTGASKDGHKRQFSDINYHQSGVSDSMDGEEAFIKKARRLLPEEDNGVLGENSVSSGAETPKSQKMGNASSSNASTSSKLKKMPKERLSGEILESPVTAVSCSTPVTEKPSCKDNSNATANLKPVHNQLMDMKLRLEHLETEIVKLQSKNVEKKEQSSSKSGSIYHLEGDESRTVVVKNVHIAATREALISYFAKCGAVDRVIKLTDTVGIKQKAYAYVTFTSKHSADKALALSGESFFSQIIWVRRTGKLLEKSRCTPHIGRGDCSTTTAPIYSGSSSRRPTKYTL >Potri.017G069350.2.v4.1 pep chromosome:Pop_tri_v4:17:5792722:5794919:1 gene:Potri.017G069350.v4.1 transcript:Potri.017G069350.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G069350.v4.1 MKKREIVALPHPLKKPLFCKIEAMINQINNVFNFFFTLKKINITCNAAIFTLNKNNGSVCDSVPESKLITMSLSLLLTSTRPPLLDHSQKPQKNSPFLHIQISEINLPTLTLSSGSGSGSLHEESGHFPLSPPKPTSLHVLVSSAASFYPLYVTAGGILACFNPSAFSWFVKRGPASYS >Potri.004G027200.1.v4.1 pep chromosome:Pop_tri_v4:4:2076762:2077647:-1 gene:Potri.004G027200.v4.1 transcript:Potri.004G027200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G027200.v4.1 MASRSGIVFEDFFPSMVDKLGAEGFMKELSNGFQLLVDEDKGLITFESLKRNSKLLGFQDMTDDEARCMLREGDLDGDGALNEMEFCTLMFRLSPGLMMNSKKWLVEAIVSEV >Potri.016G045600.3.v4.1 pep chromosome:Pop_tri_v4:16:2922453:2926301:-1 gene:Potri.016G045600.v4.1 transcript:Potri.016G045600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045600.v4.1 MLSWLKRIAMACWRPVRRYARMSKDEILNDDDDSATPDSLLWSRDLEKHSFGEFSFAVVQANEVIEDHSQVETGRDATFIGVYDGHGGPDASRFISDHLFLNLMRHARERGTISEDILRSAFSATEDGFLALVRRSCGIKPLIAAVGSCCLVGVIWRGMLYVANLGDSRAVIGSLGRSSKVVAEQLTRDHNASMEEVRQELKSLHPDDSHIVVMKRGVWRIKGIIQVCFDSYDTNCVLALNL >Potri.016G045600.1.v4.1 pep chromosome:Pop_tri_v4:16:2922453:2926260:-1 gene:Potri.016G045600.v4.1 transcript:Potri.016G045600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G045600.v4.1 MLSWLKRIAMACWRPVRRYARMSKDEILNDDDDSATPDSLLWSRDLEKHSFGEFSFAVVQANEVIEDHSQVETGRDATFIGVYDGHGGPDASRFISDHLFLNLMRHARERGTISEDILRSAFSATEDGFLALVRRSCGIKPLIAAVGSCCLVGVIWRGMLYVANLGDSRAVIGSLGRSSKVVAEQLTRDHNASMEEVRQELKSLHPDDSHIVVMKRGVWRIKGIIQVSRSIGDAYLKRPEFSLDPSFPRFHLPEPIRRPVLTSEPSMYTRVLGPNDKFVIFASDGLWEQLTNQEAVEIVCNNPRAGIARKLVKTALNVAARKREMRYDDLKKVDRGIRRFFHDDTTVVVIFIDHELLGNSTSAPEMSVRGFNDTVGPSNFNILQGIDANARSTI >Potri.002G162700.1.v4.1 pep chromosome:Pop_tri_v4:2:12447877:12455267:-1 gene:Potri.002G162700.v4.1 transcript:Potri.002G162700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G162700.v4.1 MAFPYMEAVVGFMILMYFFETYLDLRQHAALKLPSLPKTLEGVISQEKFEKSRAYSLDKSYFNFVHEFVTILLDSAILFYAILPWFWKKSGSFLVLVGLDEENEIFNTLAFLAGVMIWSQITDLPFSLYSTFVIEARHGFNKQTTWLFFRDLFKGICLSILLGPPIVSAIILIVQKGGPYLAIYLWAFMFVLSLVMMTLYPVLIAPLFNKFTPLPEGELREKIEKLAFSLKFPLKKLFVVDGSTRSSHSNAYMYGFFKNKRIVLYDTLIQQCKNDEEIVAVIAHELGHWKLNHTMYSFIAVQILTFLQFGGYTLVRNSTDLFRSFGFDTQPVLIGLIIFQHTVIPLQHLVSFGLNLVSRAFEFQADAFAKKLGYGSALRAGLVKLQEENLSSMNTDPWYSAYHYSHPPLVERLAAIDEADKKAD >Potri.005G120901.2.v4.1 pep chromosome:Pop_tri_v4:5:8968731:8973213:-1 gene:Potri.005G120901.v4.1 transcript:Potri.005G120901.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G120901.v4.1 MENGSTRYRAPHPQLVNVLKQRRSGYEPSDTETDWQDSPMRDRNNKNGAFGSGSPIQLDLPRNISPLKNSRRHSSRYDDYSTKDSVSSPPRRRRSSKSPYKTQRDDDGAEPMPNQRNANPMLRTDSGRQVPPFKEQRDEGGAVSPESSQRNVSPLTKPDQRRQASPYKVKREDRGAVSPKFSKRNVSPLSKPEQGRQISPYKPQRKNQRTPTREERSTHSQFDEDSRLSERLNASRRKTTAPRQRTWGKEQENSHGHKEQKGGRSPSPLSRSMIRRQREREVSHTKAPSVGELNEIVANIKLSKGSMLNATNFGSTESIPPGDIFFSVDQTALAMQKNAIVKDNNVTNLYPRPTMFPHMDSALLQRNKANANINHNSRRTSTTTSGSRMTMTSASSASRRSSSKLTSDDSKMSDARGRTSGSLKKFTANRKKKQSEAWFSCVRKGPCKTSKSPEKKRFDETSFIEKAFVVESLRQFWADKHQPDSFNGFTCHKHEAQILSQLVSHDSIPHILLKGPPGSGKKALALALIGEIFGDACWNKTHDLRYFQVQEQRAAAQVAISIASSAHHVEINVNSEPNAKYALMGLVKEISNTYAINPEVSEANFKPDYKVLILYEVDKAPENIQHLIKWIMDCYTDSCKLILCCEDDSDILETVKNSCKVLKVDAPVTHEIMEVLIQIARKEEFDLPMNFASKIAAKSKQNLRKAIMALEACKAHNYPFSDDQPIPFGWEEVLVELATEILNDPSPNKLFSVRGKFQKLLVDFVHPKLILLKLVELFLKGVEANSKRELYYWHAYYDKRLPTGTTALLKLEEFVAKFISMYRKSSGNSQYVQ >Potri.003G059800.1.v4.1 pep chromosome:Pop_tri_v4:3:8652838:8655297:-1 gene:Potri.003G059800.v4.1 transcript:Potri.003G059800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G059800.v4.1 MPNIPRPLLHLLPLLSICKSTTMYRATVLLVTLCITSQIASSVTDGLLPNGDFEQGPRPSEMKGTVVTAKNAIPNWEISGFIEYIKSGHKQGDMVLVVPAGAYAVRLGNEASIKQRVKVTQGLFYSLTFNVARTCAQEEKLNVSVSPNSEPRDWGILPMQTMYSSNGWDAYAWAFQADGPEVEISIHNPGVEEDAACGPLIDSVALKLLSNPKRPKDNLLKNGNFEEGPYVFPNADWGVLVPPNIEDDHCPLPGWMVDSLKAVKYIDSDHFTVPEGKRAVELVAGKESAISQVVKTTISKIYALTFSVGDTSNSCVGSMVVEVYAGKDKTQVPYESNGKGGFKHAKFVFKAISNHTRITFLSSFYTMKSDNSGSLCGPVLDDVKLVSVRNPRRHLA >Potri.001G181601.1.v4.1 pep chromosome:Pop_tri_v4:1:16034329:16035238:-1 gene:Potri.001G181601.v4.1 transcript:Potri.001G181601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G181601.v4.1 MIWCWRCYLMTTLIILLRVSLYLIDQTRLGSKRLLDLQQLVELSKRTKEALERLYLKRKTVFYKRHQFYMLMFLNIYLFLEVSGHQHMKLFYNFGKF >Potri.004G056258.1.v4.1 pep chromosome:Pop_tri_v4:4:4674482:4676807:-1 gene:Potri.004G056258.v4.1 transcript:Potri.004G056258.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056258.v4.1 MAAKKCNTRIFVPFLLILAAWATKIACRPLDEQEYMLKRHEEWMAQHGRVYGDMKEKEKRYLIFKENIERIEAFNNGSDRGYKLGVNKFADLTNEEFRAMYHGYKRQSSKLMSSSFRYENLSDIPTSMDWRNDGAVTPVKDQGTCGCCWAFSTVAAIEGIIKLQTGNLISLSEQQLVDCTAGNKGCQGGLMDTAFQYIIRNGGLTSEDNYPYQGVDGTCSSEKAASTEAQITGYEDVPQNNENALLQAVAKQPVSVAVDGGGNDFRFYKSGVFEGDCGTNLNHGVTAIGYGTDSDGTDYWLVKNSWGTSWGENGYMRMRRGIGSSEGLCGVAMDASYPTA >Potri.003G011132.1.v4.1 pep chromosome:Pop_tri_v4:3:1389784:1390670:1 gene:Potri.003G011132.v4.1 transcript:Potri.003G011132.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G011132.v4.1 MPKNLFIKELSKTDIEYRMAVPMKSLDAFRIPEGEHSKDFGVTDIHGKRWRFRCSTRKSDPYPKPVLSSGWIEFAKTRSLKVGDEVTFSVVDMKGAEDLELGIQARKRIKLFGQEFWSNPL >Potri.006G147900.2.v4.1 pep chromosome:Pop_tri_v4:6:12690179:12693516:-1 gene:Potri.006G147900.v4.1 transcript:Potri.006G147900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147900.v4.1 MGLSLSLLSSAWKEIVRHSMFALAYDMCLSPKRDGVTSRSHSFKLINTETTTKPIKSNTNNIKNSRKLKYCAPVTVSLEQSLSFKSYLVQDKGELGLNSFNGRDGLLQKQVPEFYFSPRPVRELEAAAVKVQKVYKSYRTRRNLADCAVVVEELWWKALDFAALERSSVSFFNDEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDTRHRYGHNLHFYYDVWFKSESSQPFFYWLDVGDGKEVNLDKCPRPTLLLQCIKYLGPKERQAYEVIVENGKLVYKKSGMPVDTHEGSKWIFVLSTARALYVGQKKKGRFQHSSFLAGGATTAAGRLVAHDGILEAIWPYSGHYHPTEENFKEFISFLQENHVDLTNVKFQYRIADEWCCSLLSFCKQRCAIDDDSPSIKATEEEQKPESMSGPADVSQLNDANATDHLDAAAIITVDLADNTITNSSNPPATVFDLTERLSCNWTTGTGARIGCVRDYPKGLQSRALEQVNLSPRVAPGHLANYGPVPSPRPSPKVRVSPRLAYMGIPSPRTPIAVAN >Potri.006G147900.1.v4.1 pep chromosome:Pop_tri_v4:6:12690179:12693516:-1 gene:Potri.006G147900.v4.1 transcript:Potri.006G147900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G147900.v4.1 MGLSLSLLSSAWKEIVRHSMFALAYDMCLSPKRDGVTSRSHSFKLINTETTTKPIKSNTNNIKNSRKLKYCAPVTVSLEQSLSFKSYLVQDKGELGLNSFNGRDGLLQKQVPEFYFSPRPVRELEAAAVKVQKVYKSYRTRRNLADCAVVVEELWWKALDFAALERSSVSFFNDEKPETAVSRWARARTRAAKVGKGLSKDEKAQKLALQHWLEAIDTRHRYGHNLHFYYDVWFKSESSQPFFYWLDVGDGKEVNLDKCPRPTLLLQCIKYLGPKERQAYEVIVENGKLVYKKSGMPVDTHEGSKWIFVLSTARALYVGQKKKGRFQHSSFLAGGATTAAGRLVAHDGILEAIWPYSGHYHPTEENFKEFISFLQENHVDLTNVKRCAIDDDSPSIKATEEEQKPESMSGPADVSQLNDANATDHLDAAAIITVDLADNTITNSSNPPATVFDLTERLSCNWTTGTGARIGCVRDYPKGLQSRALEQVNLSPRVAPGHLANYGPVPSPRPSPKVRVSPRLAYMGIPSPRTPIAVAN >Potri.004G150300.1.v4.1 pep chromosome:Pop_tri_v4:4:17213779:17217952:-1 gene:Potri.004G150300.v4.1 transcript:Potri.004G150300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G150300.v4.1 MEGGCLQSSAITTIPTSLTKCGFIEKSCIHGQLLKFPHFNKFPHSRKLKILDIKAQASVAAKFRSGAVEADSKEVETKDENLAFVAGATGKVGSRAVRELLKLGFRVRAGVRSAQKAEALVQSVMAMKLDVEGSQPVERLDIVECDLEKPNQIGPALGNASVLLCCIGAGEKEVFDVTGPYRIDYLATKNLVDAATATKVNHFIMVSSLGTNKVGFPAAILNLFWGVLIWKRKAEEALIASGVPYTIVRPGGMERPTDAYKETHNITLSEEDTLFGGLVSNLQVAELMAFMANNRRLSYCKVVEVIAETTAPLTPMDELLAKIPSQRVEPKEPEAADVPKPVPPKVVEPKAPSSPSQKEPAQAKAMVTRPLSPYTAYDDLKPPTSPTPIQPSSKKESAGPLEAVSKPATQDTPPMPAPGVAETKPGPVETKTTRPLSPYAAYADLKPPTSPSPTAPVGSVIPTSSTNAVPETGNRAPPMAAAIDKQHHTEPKQKPLSPYPMYEDLKPPASPTPSLKL >Potri.017G125000.1.v4.1 pep chromosome:Pop_tri_v4:17:12901522:12905176:-1 gene:Potri.017G125000.v4.1 transcript:Potri.017G125000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125000.v4.1 MASSFHEWESDPLFSAAEVVQDSADRMESLFRLLLHEQNLVRSEHPDPRLLSSIEYHKRDLATILGTAKWQLEDFERAVNSSSIANKSQAREDVISRHRQFIIAIREHINEVEKSVKGRSMDDSMRNSEWVNLNDQDRDVLASFLTGGNPTENMHPYEMEESSILRRFLDPNSGVSLKDNEIVEHDSREFERVKMTGVGHADHYLDSANEDKRNVASHNSTGLGSDMMNSRQEIHHDRHVGDDHWDLEANEAKSRSFFHEIKSRGIYSIMIFFGFLSNLWTAHRNRVGRNYTKRLKDGEEYRHSPTYTESSHSAQGHCLGQMLGSGYRSLQGICFRLQSEVMHLGSSLGARYQRFPIHFEFNQHSMKMILTAILTLIFLGILVSRIA >Potri.017G125000.2.v4.1 pep chromosome:Pop_tri_v4:17:12901388:12905235:-1 gene:Potri.017G125000.v4.1 transcript:Potri.017G125000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G125000.v4.1 MASSFHEWESDPLFSAAEVVQDSADRMESLFRLLLHEQNLVRSEHPDPRLLSSIEYHKRDLATILGTAKWQLEDFERAVNSSSIANKSQAREDVISRHRQFIIAIREHINEVEKSVKGRSMDDSMRNSEWVNLNDQDRDVLASFLTGGNPTENMHPYEMEESSILRRFLDPNSGVSLKDNEIVEHDSREFERVKMTGVGHADHYLDSANEDKRNVASHNSTGLGSDMMNSRQEIHHDRHVGDDHWDLEANEAKSRSFFHEIKSRGIYSIMIFFGFLSNLWTAHRNRVGRNYTKRLKDGEEYRHSPTYTESSHSAQGHCLGQMLGSGYRSLQGICFRLQSEVMHLGSSLGARYQRFPIHFEFNQHSMKMILTAILTLIFLGILVSRIA >Potri.007G023000.2.v4.1 pep chromosome:Pop_tri_v4:7:1763828:1766728:1 gene:Potri.007G023000.v4.1 transcript:Potri.007G023000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G023000.v4.1 MEAPVRVINRSLFTKPTSTTSNFLKPISIIHRSIPSQRQNLQTHIVSTPKLSHSRIHSSFSPFSHSPVTPNSNPIKFLLSLSSSHLSNPTKNSDFNFKSHQNERPFTWNKAPESVVSGKGSVFSDKKPVATVVLLGWLGAREKHLRKYVEWYNSRGIHAVTFVVDMRELLCFDFGKRVEKRITELANELVSWVSEREDDGRERCLFFHTFSNTGWLVYGYILGVMQEREGLIEKIKGCVSDSGGGNQLNPKVWAGGFSAALLKKRSSSVQVIETKEINGLENQASESKEQENEPSMIEAMVLYVFEMLFSVVLKLPEVDQKLTEIVSTLSKKQPSCPHLFLYSTADKLVPFQLIELEIQDQRRMGRRVMSYNFESSPHVDHFRTFPDMYSLLLHNFLKECFPVAKQI >Potri.012G122900.1.v4.1 pep chromosome:Pop_tri_v4:12:14010303:14019058:1 gene:Potri.012G122900.v4.1 transcript:Potri.012G122900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G122900.v4.1 MAEALLSPILEQLTTIVAQQVQEEVNLVVGVKKQCDKLKSNLLDIQSVLEDADRKQVKDKAVRNWVDKLKDACYDMDDVLDEWSTAILRWKMEEAEENTHSLVRRRDIALKIKEVSEKVDDIAKERAKYGFDLYKATDELQRLTTTSFVDESSVIGRDGEKRNVVSKLLAESSHEARDVDVISLVGLGGIGKTTLAQLAFNDSEVTAHFEKKIWVCVSEPFDEIRIAKAILEQLEGRPTNLVELQSLLQGVSESITGKRLLLVLDDVWTENHGQWEQLKPSLTGCARGSRILVTTRKDAVATMMGTDHRINIEKLSDEICRSIFNHVAFQERSEDERERLTDIGDKIANKCKGLPLAAKVLGGLMQSKRTREEWERVLSSELWRLDEVDRDQVESRIFIPLLLSYYDLPSVVRRCFLYCAMFPKDFEMVKDELVKMWMAQGYIKETSGGDMELVGERYFHVLAARSFFQDFETDIFEGMKFKMHDIVHDFAQYMTKNECLTVDVNTLGGATVETSIERVRHLSMMVSEETSFPVSIHKAKGLRSLLIDTRDPSLGAALPDLFKQLTCIRSLNLSASSIKEIPNEVGKLIHLRHVNLARCGELESLPETMCDLCNLQSLDVTWCRSLKELPNAIGKLIKLRHLRIYRSGVDFIPKGIERITCLRTLDVFKVCGGGENESKAANLRELKNLNHIGGSFSIRNLGGGKEDASDAAEAQLKNKKRLLRLELGFDYNQENGILIEALQPPSDLECLTISSYGGLDLPHWMMTLTRLQELRLDDCTNLEVLRPLGGLPNLEILVLSSLKVRRLDAGFLGIEKDENASINEGEIARVTAFPKLKRLDFRHLLEVEEWEGIERRVGEEDVNTTSIISIMPQLQYLEIINCPSLRALPDYVLAAPLQELFITDCPHLRKRYGEEEM >Potri.007G067650.1.v4.1 pep chromosome:Pop_tri_v4:7:8699408:8699617:-1 gene:Potri.007G067650.v4.1 transcript:Potri.007G067650.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067650.v4.1 MAARDELRMFFLFFHWIVAWFGQHLRMETVCSLLFHQILSYYEKTIAWFSIRSWAIMKIPERDLCSWNV >Potri.002G054500.1.v4.1 pep chromosome:Pop_tri_v4:2:3706328:3708285:-1 gene:Potri.002G054500.v4.1 transcript:Potri.002G054500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G054500.v4.1 MAVSVAPVESMASLSSDLFYEILRRLDGPTLASAACACVAFCSISKEEKLWENVCSSMWPSTSREDVKSLISCIGGFRKFYADCFPLIVNKEVTEYQLNDHLEYPEEWTEAEYYGDVDEFESVAPSDFVSIVDIRYKDRTICSKVLWGIPNANEFNGWFYNCPFRIDLLTYAARDDDNDGEVFLSVSDGLPPILSMEKERKDGKLWTELRDGLRLSWIVVNKKVKQAANLASWSPLGGQRHWPTDKDFVIRFGSVLSAKGILPCQVVECILIMKFRVIHAEGEGVQTTLKLTELSMQLEDMEGAHVNGRNSLNILKKALSCHRSKNYSEVLESCHLYSKAQSELKEEKMRNESRLDRLRIISGIAAFLTFWYYIL >Potri.002G158400.1.v4.1 pep chromosome:Pop_tri_v4:2:12079985:12084800:1 gene:Potri.002G158400.v4.1 transcript:Potri.002G158400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G158400.v4.1 MGSQCTKLTRCCVDSQFKAAVVEVPDVGNEDKSEVNDLPTFREFTLEQLKNATSGFAVQNIVSEHGEKAPNVVYKGKLENQRRIAVKRFNKMAWPDARQFLEEARSVGQLRSHRLVNLLGFCCEGDERVLVAEFMLNETLAKHLFHWETQPIKWPMRLRVVLHLAEALEYCTSKGRALYHDLNAYRILFDEDGNPKLSSFGLMKNSRDGKSYSTNLAFTPPEYLRTGRVTPESVIYSFGTLLLDLLSGKHIPPSHALDLIRDRNLQMLTDSCLEGQFSSDDGTELVRLASRCLQYELRERPSLKSLVAALTPLQKENDVPSHILMGIPHGASSSPLSPFGEACSRRDLTAINEILDNIGYKDDQGVANELSFQMWTDEMQETLNTKKKGDSAFKQKDYRIAIECYTQFIDVGTMVSPTVFARRSLSYLMSDLPQEALSDAMQAQVISPVWHVASYLQAVALATLGMENEAHAALKEGTNLEAEKNANSGQK >Potri.001G063909.1.v4.1 pep chromosome:Pop_tri_v4:1:6010613:6015252:-1 gene:Potri.001G063909.v4.1 transcript:Potri.001G063909.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063909.v4.1 MGLFLQVFTVLVITVSLQGWLPLGCLEEERIALLHLKDSLNYPNGTSLPSWIKADAHCCDWESIVCNSSTGRVTRLYLDSVRNQELGDWYLNASLFLPFQQLNTLSLWNNSIAGWVENKGGYELSRLSNLEILDLGSNSFDNSILSSMKGFSSLKLLYLDYNRLEGLIDLEEYLSSLETLYLGGNNINKLIASRGPSNLSTLSLGNITTYGNSFQLLQSLGAFLNLTTLYLNYNNFRGRILGDRFQNLSSLKNLYLDSCSLDEHSLQSLGALPSLKNLSLQALIVTVPYGGFLDLKNLEYLDLSYNTLNNSIFQAIGTMTSLRTLILQSCRIDGQIPTTQGFFNLKNLEFLDLSSNTLSNNILQTIRTMPSLKTLWLQNCSLNGQLPTTQGLCDLNHLQELYMYDNDLIGFLPPCLANMTSLQRLYLSSNHLKIPMSLSPLYNLSKLKSFYGSGNEICAEEDDHNLTPKFQLESLSLSNGGQNTRAFPKFLYHQFSLQSLDLTNFQIKGEFPNWLIENNTYLKRLSLENCSLSGPFLLPKSSHVNLSFLSISMNHFQGQIPSEIRAHLPGLEVLLMSDNGFNGSIPSSLGNMSLMYELDLSNNSLQGQIPGWIGNMSSLEFLDLSRNNLSGPLPPRFNTSSKLRVVYLSRNKLQGPIAMAFYDSSEIFALDLSHNDLTGRIPEWIGRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTVIDLSHNYLSGNILSWMISTHPFPFQYNSHDSMFSSQQSFEFTTKNVSLPYKGSIIQYLIGIDFSCNNFTGNIPPEIGNLSKIKALNLSHNSLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPARVAQFATFDESCYKDNPFLCGEPLPKICAAVMPPSSTPTSTNNEDHGGFMNMEVFYVTFWVAYIMVLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.001G063909.2.v4.1 pep chromosome:Pop_tri_v4:1:6010613:6015252:-1 gene:Potri.001G063909.v4.1 transcript:Potri.001G063909.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G063909.v4.1 MGLFLQVFTVLVITVSLQGWLPLGCLEEERIALLHLKDSLNYPNGTSLPSWIKADAHCCDWESIVCNSSTGRVTRLYLDSVRNQELGDWYLNASLFLPFQQLNTLSLWNNSIAGWVENKGGYELSRLSNLEILDLGSNSFDNSILSSMKGFSSLKLLYLDYNRLEGLIDLEEYLSSLETLYLGGNNINKLIASRGPSNLSTLSLGNITTYGNSFQLLQSLGAFLNLTTLYLNYNNFRGRILGFQNLSSLKNLYLDSCSLDEHSLQSLGALPSLKNLSLQALIVTVPYGGFLDLKNLEYLDLSYNTLNNSIFQAIGTMTSLRTLILQSCRIDGQIPTTQGFFNLKNLEFLDLSSNTLSNNILQTIRTMPSLKTLWLQNCSLNGQLPTTQGLCDLNHLQELYMYDNDLIGFLPPCLANMTSLQRLYLSSNHLKIPMSLSPLYNLSKLKSFYGSGNEICAEEDDHNLTPKFQLESLSLSNGGQNTRAFPKFLYHQFSLQSLDLTNFQIKGEFPNWLIENNTYLKRLSLENCSLSGPFLLPKSSHVNLSFLSISMNHFQGQIPSEIRAHLPGLEVLLMSDNGFNGSIPSSLGNMSLMYELDLSNNSLQGQIPGWIGNMSSLEFLDLSRNNLSGPLPPRFNTSSKLRVVYLSRNKLQGPIAMAFYDSSEIFALDLSHNDLTGRIPEWIGRLSNLRFLLLSYNNLEGEIPIRLCRLDQLTVIDLSHNYLSGNILSWMISTHPFPFQYNSHDSMFSSQQSFEFTTKNVSLPYKGSIIQYLIGIDFSCNNFTGNIPPEIGNLSKIKALNLSHNSLTGPIPPTFWNLKEIESLDLSYNKLDGEIPPRLTELFSLEVFSVAHNNLSGKTPARVAQFATFDESCYKDNPFLCGEPLPKICAAVMPPSSTPTSTNNEDHGGFMNMEVFYVTFWVAYIMVLLVIGAVLYINPYWRRAWFHFIEVSINNCYYFLVDNLPILSKFGFS >Potri.005G165700.2.v4.1 pep chromosome:Pop_tri_v4:5:16556293:16560733:1 gene:Potri.005G165700.v4.1 transcript:Potri.005G165700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G165700.v4.1 MASSIILSSSFLPSQLSNSSRVHFKRYCTPTFKRTSFATKIRASSTAFAETKPTGPVTVEKDVRSSKNILACPVCYEPVTLIGATVLSVYSARGSSLQCSTLNIQSEMVCNKETHLELTVASGSKGYGDIAMPLATEFFRTPFMSFLYERGWRQNFVWGGFPGPEKEFELMKDYLKPVLGGNILDASCGSGLFSRLFTKSGLFSLVMALDYSENMLQQCYEFIKQEENFPKENLILVRADIARLPFISGSLDAVPAGAAIHCWPSPSVAVAEVSRVLRPGGVFVATTYILDGHFSLIPFLKPISQRFTQVSGSNIFLSERELEDVCRACGLVDFTCTRNGRFVMFSATKPR >Potri.014G141100.3.v4.1 pep chromosome:Pop_tri_v4:14:9577675:9595201:-1 gene:Potri.014G141100.v4.1 transcript:Potri.014G141100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141100.v4.1 MDLQMKVAQAVHVLNHDTQSCNRVAANQWLVQFQQTDAVWEVATSILTSDHLHLQQQTPPPPFVSDLEVEFFAAQILKRKIQSEGHSLQLGVKDALLNALLVAAKRFSSGPPQLLTQICLALAALMLCAVEHGKPIEQLFYSLRTLQSQDDGNVAVLEMLTVLPEEVVDTQNTDCRLLSHTPMVLEFLLEQSQKISDGGVQLHERNRKVLRCLLSWVRAGCFSEIPRDSLPTHPLLNFVFNSLQVPSSFDLAIEVLVELASRHEGLPQVLLSRVHFLKEVLLISALSSRDEKVISGLSCLMSEIGQATPSLIVEASVEGLALADALLSCVAFPSEDWEIADSTLQFWSSLASYILGLDAEGAKNRKHSEDMLFSVFSALLDALLLRAQVDESTFIDESETVDLPDGLAHFRMNLVELLVDICQLLKPTRFVQKLFFGGWASPNVSIPWKEVETKLFALNVVSELILQESQVFDFSVIMQLVTIFSSIPPNKLKGFMCIVYRSLADVVGSYSKWISTFQTIARPLLLFLAAGISEPQSSNACASALRKFCEDASTVIYEPANLEVLMWIGEALEKRQLPLEDEEEVVSAISMILGSVTNKEQKNSLLARLLSSCYEAIGKLVNEGSSDSFRQNPAAYTQILNSAARGLYRMGTVFSHLVMPHPSGPAADDPIFGLLSTFWPMLEKLLRSEHMENSNLSTAACRALSLAIQSSGQHFALLLPSVLDCLSTNFLSFQSHEWYIRTASVVIEEFSHKEEFGPLFVITFERFTQATSVMGLNSSYICDQEPDLVEAYTNFASTVVRGTHKEVLAASGSLLDVSFQKAAICCTAMHRGAALAAMSYLSCFLEVGLISLLESKNCILEGSYSAISIQVISRNGEGLVSNLVYALLGVSAMSRVHKCATILQQVASFCSLSETTTWKVVLCWESLHGWLHAAVQALPVEYLKQGEAETLVPVWMEALVGAASDYLGSKTFNGEKNNYGHMQGKGGRVLKRIIREFADSHRNVPNLT >Potri.014G141100.8.v4.1 pep chromosome:Pop_tri_v4:14:9577686:9595201:-1 gene:Potri.014G141100.v4.1 transcript:Potri.014G141100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141100.v4.1 MDLQMKVAQAVHVLNHDTQSCNRVAANQWLVQFQQTDAVWEVATSILTSDHLHLQQQTPPPPFVSDLEVEFFAAQILKRKIQSEGHSLQLGVKDALLNALLVAAKRFSSGPPQLLTQICLALAALMLCAVEHGKPIEQLFYSLRTLQSQDDGNVAVLEMLTVLPEEVVDTQNTDCRVSPAHRSQYGRELLSHTPMVLEFLLEQSQKISDGGVQLHERNRKVLRCLLSWVRAGCFSEIPRDSLPTHPLLNFVFNSLQVPSSFDLAIEVLVELASRHEGLPQVLLSRVHFLKEVLLISALSSRDEKVISGLSCLMSEIGQATPSLIVEASVEGLALADALLSCVAFPSEDWEIADSTLQFWSSLASYILGLDAEGAKNRKHSEDMLFSVFSALLDALLLRAQVDESTFIDESETVDLPDGLAHFRMNLVELLVDICQLLKPTRFVQKLFFGGWASPNVSIPWKEVETKLFALNVVSELILQESQVFDFSVIMQLVTIFSSIPPNKLKGFMCIVYRSLADVVGSYSKWISTFQTIARPLLLFLAAGISEPQSSNACASALRKFCEDASTVIYEPANLEVLMWIGEALEKRQLPLEDEEEVVSAISMILGSVTNKEQKNSLLARLLSSCYEAIGKLVNEGSSDSFRQNPAAYTQILNSAARGLYRMGTVFSHLVMPHPSGPAADDPIFGLLSTFWPMLEKLLRSEHMENSNLSTAACRALSLAIQSSGQHFALLLPSVLDCLSTNFLSFQSHEWYIRTASVVIEEFSHKEEFGPLFVITFERFTQATSVMGLNSSYICDQEPDLVEAYTNFASTVEVLAASGSLLDVSFQKAAICCTAMHRGAALAAMSYLSCFLEVGLISLLESKNCILEGSYSAISIQVISRNGEGLVSNLVYALLGVSAMSRVHKCATILQQVASFCSLSETTTWKVVLCWESLHGWLHAAVQALPVEYLKQGEAETLVPVWMEALVGAASDYLGSKTFNGEKNNYGHMQGKGGRVLKRIIREFADSHRNVPNLT >Potri.014G141100.9.v4.1 pep chromosome:Pop_tri_v4:14:9577740:9595105:-1 gene:Potri.014G141100.v4.1 transcript:Potri.014G141100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141100.v4.1 MDLQMKVAQAVHVLNHDTQSCNRVAANQWLVQFQQTDAVWEVATSILTSDHLHLQQQTPPPPFVSDLEVEFFAAQILKRKIQSEGHSLQLGVKDALLNALLVAAKRFSSGPPQLLTQICLALAALMLCAVEHGKPIEQLFYSLRTLQSQDDGNVAVLEMLTVLPEEVVDTQNTDCRVSPAHRSQYGRELLSHTPMVLEFLLEQSQKISDGGVQLHERNRKVLRCLLSWVRAGCFSEIPRDSLPTHPLLNFVFNSLQVPSSFDLAIEVLVELASRHEGLPQVLLSRVHFLKEVLLISALSSRDEKVISGLSCLMSEIGQATPSLIVEASVEGLALADALLSCVAFPSEDWEIADSTLQFWSSLASYILGLDAEGAKNRKHSEDMLFSVFSALLDALLLRAQVDESTFIDESETVDLPDGLAHFRMNLVELLVDICQLLKPTRFVQKLFFGGWASPNVSIPWKEVETKLFALNVVSELILQESQVFDFSVIMQLVTIFSSIPPNKLKGFMCIVYRSLADVVGSYSKWISTFQTIARPLLLFLAAGISEPQSSNACASALRKFCEDASTVIYEPANLEVLMWIGEALEKRQLPLEDEEEVVSAISMILGSVTNKEQKNSLLARLLSSCYEAIGKLVNEGSSDSFRQNPAAYTQILNSAARGLYRMGTVFSHLVMPHPSGPAADDPIFGLLSTFWPMLEKLLRSEHMENSNLSTAACRALSLAIQSSGQHFALLLPSVLDCLSTNFLSFQSHEWYIRTASVVIEEFSHKEEFGPLFVITFERFTQATSVMGLNSSYICDQEPDLVEAYTNFASTVVRGTHKEVLAASGSLLDVSFQKAAICCTAMHRGAALAAMSYLSCFLEVGLISLLESKNCILEGSYSAISIQVISRNGEGLVSNLVYALLGVSAMSRVHKCATILQQVASFCSLSETTTWKVVLCWESLHGWLHAAALPVEYLKQGEAETLVPVWMEALVGAASDYLGSKTFNGEKNNYGHMQGKGGRVLKRIIREFADSHRNVPNLT >Potri.014G141100.1.v4.1 pep chromosome:Pop_tri_v4:14:9577681:9595201:-1 gene:Potri.014G141100.v4.1 transcript:Potri.014G141100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G141100.v4.1 MDLQMKVAQAVHVLNHDTQSCNRVAANQWLVQFQQTDAVWEVATSILTSDHLHLQQQTPPPPFVSDLEVEFFAAQILKRKIQSEGHSLQLGVKDALLNALLVAAKRFSSGPPQLLTQICLALAALMLCAVEHGKPIEQLFYSLRTLQSQDDGNVAVLEMLTVLPEEVVDTQNTDCRVSPAHRSQYGRELLSHTPMVLEFLLEQSQKISDGGVQLHERNRKVLRCLLSWVRAGCFSEIPRDSLPTHPLLNFVFNSLQVPSSFDLAIEVLVELASRHEGLPQVLLSRVHFLKEVLLISALSSRDEKVISGLSCLMSEIGQATPSLIVEASVEGLALADALLSCVAFPSEDWEIADSTLQFWSSLASYILGLDAEGAKNRKHSEDMLFSVFSALLDALLLRAQVDESTFIDESETVDLPDGLAHFRMNLVELLVDICQLLKPTRFVQKLFFGGWASPNVSIPWKEVETKLFALNVVSELILQESQVFDFSVIMQLVTIFSSIPPNKLKGFMCIVYRSLADVVGSYSKWISTFQTIARPLLLFLAAGISEPQSSNACASALRKFCEDASTVIYEPANLEVLMWIGEALEKRQLPLEDEEEVVSAISMILGSVTNKEQKNSLLARLLSSCYEAIGKLVNEGSSDSFRQNPAAYTQILNSAARGLYRMGTVFSHLVMPHPSGPAADDPIFGLLSTFWPMLEKLLRSEHMENSNLSTAACRALSLAIQSSGQHFALLLPSVLDCLSTNFLSFQSHEWYIRTASVVIEEFSHKEEFGPLFVITFERFTQATSVMGLNSSYICDQEPDLVEAYTNFASTVVRGTHKEVLAASGSLLDVSFQKAAICCTAMHRGAALAAMSYLSCFLEVGLISLLESKNCILEGSYSAISIQVISRNGEGLVSNLVYALLGVSAMSRVHKCATILQQVASFCSLSETTTWKVVLCWESLHGWLHAAVQALPVEYLKQGEAETLVPVWMEALVGAASDYLGSKTFNGEKNNYGHMQGKGGRVLKRIIREFADSHRNVPNLT >Potri.015G063700.1.v4.1 pep chromosome:Pop_tri_v4:15:8955741:8958150:1 gene:Potri.015G063700.v4.1 transcript:Potri.015G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G063700.v4.1 MEVLEQSAVVLAGKSKLRYPLRSASKSISKEDNDKPQLPSASKRDRAPSTLSKSVGVLQLSGEDKSARPPRRRLSVPAKSVATTALKPPPGNITPISETRVKRSATKNDTPLSNASMASTRKKFNAIALASYWLSQIKISESVAKHSISLAFFKLALEAGCEPVQIQRLRNELKSYVGRHELSDFGETVKELFESYNIPDHQEQVQVSETCSHVLPDDATRSSDDEVHSSLSITGTRKLRPRSLNADASQVSTVTQSANKETSQRKNTTATNTRVGSLNKNTENSRTVSETGSRRVQKKPQKSSKEETTKRKIKKQEKKSAAEEGGGPSSPTAAAITPEENKENMDAPPLEEISLMT >Potri.014G028900.5.v4.1 pep chromosome:Pop_tri_v4:14:1811506:1817596:1 gene:Potri.014G028900.v4.1 transcript:Potri.014G028900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G028900.v4.1 MDGGDGTVRLGALHLKPDRSVFDSGVDVSVSSPVTRQKAAAAKQFIENHYKNYLQGLQDRKDRRRALQRRAQEAQVSNEEQEEMLRNLERRETEFMRLQRRKIGIDDFEQLTVIGKGAFGEVRLCRAKDTGEIFAMKKLKKSEMLSRGQVEHVRSERNLLAEVDSRCIVKLFYSFQDSDFLYLIMEYLPGGDIMTLLMREDILSEDVARFYIAESILAIHSIHQHNYVHRDIKPDNLILDKNGHLKLSDFGLCKPLDKYSNLLENEDISTQEVANESGGHSASDRPPWLMPKEKLQQWKRNRRALAYSTVGTLDYMAPEVLLKKGYGMECDWWSLGAIMYEMLIGYPPFCSDDPRITCRKIINWKTCLKFPEDPKISSEAEDLICHLLCDVETRLGTRGVEELKAHPWFRCTQWDLLYELEAAYKPTVIGDLDTQNFEKFPDLEGPPSTIPSVGPWRKMLTSKDTNFIGFTYKKSDVLKSLESSGTDMKLNESSKAASLISLLGQIELEETVISEGEQKPET >Potri.015G029300.2.v4.1 pep chromosome:Pop_tri_v4:15:2254700:2258511:1 gene:Potri.015G029300.v4.1 transcript:Potri.015G029300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029300.v4.1 MHLKKPLWSTALKETREPSSEAEPQPQQPSSATATAVDELVNSLNKQRLYREVTLALRTSLRDARAEFSFLRLRGLRSLLNFLRSVAQSDSTINLFCQTQSLPDLQVVPVLFQNSLKEGEEEQNVESLSHVFGVEPMRITSPSTDAEIALALRVLEGCCLLHRESTVFAHQYKAIQVLMRVLSTRGVLEKSACLDALISIMLDSSPNQMV >Potri.015G029300.1.v4.1 pep chromosome:Pop_tri_v4:15:2254780:2258563:1 gene:Potri.015G029300.v4.1 transcript:Potri.015G029300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G029300.v4.1 MHLKKPLWSTALKETREPSSEAEPQPQQPSSATATAVDELVNSLNKQRLYREVTLALRTSLRDARAEFSFLRLRGLRSLLNFLRSVAQSDSTINLFCQTQSLPDLQVVPVLFQNSLKEGEEEQNVESLSHVFGVEPMRITSPSTDAEIALALRVLEGCCLLHRESTVFAHQYKAIQVLMRVLSTRGVLEKSACLDALISIMLDSSPNQMDFEACNGIEEVTVVIRDKQVDENLRLKCGEFMLLLIGHLNGRERAPMPSIHEDVRRLLGEKSASLIWAASQFGSTVDPEQRLMALQIQARRVLESLDLY >Potri.013G102500.1.v4.1 pep chromosome:Pop_tri_v4:13:11095557:11097925:-1 gene:Potri.013G102500.v4.1 transcript:Potri.013G102500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G102500.v4.1 MATFKLPCMLVIFFVLGVGLGQNVDPFGSQVGRRREMVPAMFIFGDSLIDNGNNNNLPSFAKANYFPYGIDFNGGPTGRFSNGYTMVDEIAEQLGLPLIPAYSEASGDQVLNGINYASAAAGILDVTGRNFVGRIPFDEQIRNFQNTLDQITDTLGADDVARQVGRSLFFVGMGSNDYLNNYLMPNYPTRNRYNGRQFADLLTQEYSRQLTKLYNLGARKFVIAGLGVMGCIPSILAQSPAGNCSDSVNKLVQPFNENVKAMLKNFNANQLPGAKFIFIDVAHMFREILTNSPAYGFSVINRGCCGIGRNRGQITCLPFQTPCPNREQYVFWDAFHPTEAVNVLMGRKAFNGDLSKVYPMNIEQLANLEMESN >Potri.014G030500.1.v4.1 pep chromosome:Pop_tri_v4:14:1921518:1922153:1 gene:Potri.014G030500.v4.1 transcript:Potri.014G030500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G030500.v4.1 MAIKNRNVTVDGERAMTMGQFRAWMKTIDGDKDGKISKDELSDAVRGNGGWFAGWKAKRGVGSADSNGNGFVDETEIGNLVEFAQKHLGIKII >Potri.003G050200.1.v4.1 pep chromosome:Pop_tri_v4:3:7321080:7322564:-1 gene:Potri.003G050200.v4.1 transcript:Potri.003G050200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G050200.v4.1 MAPHGEAIASAYTRKNAFPEPPRLSKDNLHRTKSDISFELTKEVIDINLPPISEVEDARCECCGMSEECTPEYIDRVRNKFLGKWICGLCTEAVKEEMEKNGGHKEEALNAHMSACTTFNKFVRAYPVLSQAEAMREMLKKSTLRAKSFGPKGAQKMVGFARSSSCIASITRDMDDLKLKK >Potri.006G070200.1.v4.1 pep chromosome:Pop_tri_v4:6:5129775:5130710:1 gene:Potri.006G070200.v4.1 transcript:Potri.006G070200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G070200.v4.1 MNWWHKMRKAWFAISSRVKFHKAGSGGGRGGATSRSASGLLKLQDDVQMCGYKDVEVMWNMFIESNRQQMAAAEVTSTSKPTSKQNQGPSSLRSFFWCNNSTTTTTASFTSLNQVLITTAEKDTEIIEG >Potri.004G052400.3.v4.1 pep chromosome:Pop_tri_v4:4:4250911:4255139:-1 gene:Potri.004G052400.v4.1 transcript:Potri.004G052400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052400.v4.1 MAKTIMICFEDKALMSFPIINFNQLSRLWLLFLVVTSFLVTTLPHGAKDINDSKVTNIGAIIDVNSRTGKEERTAMEIAVQKFNNGSPNHKLSLYFQDSRSSPLQAARAAEKLIEENEVEVIIGMERWEEAALVADIGSQFKVPVISFSAPAITPPLASSRWPFLIRMAHGDSNQIRCIASVIQSYNWRRVVTVYEDYTYGGDAGMLALLTKSLQDVGSEIEYNLVLPPFSFVSDPKDVVREELTKLLSEKIQSRVFIVLQSSLPMMIHLFREAKKMGFVGNDMVWILTDTVTNFLDIVNTSVIQSMEGALGIKNYYYDNTSSYQTFLTQFRQKFISEYPEEGYYEPGFYALRAHDSIAIITQAMNRLSSNTSSPKVFLDNILATKFVGLSGEINVKAGELLNSPMLRIVNVVGRRYRELDFWIPQFGFSNQPVVAKGGAENSTDAIRLKRPVIWPGDLQLNPKGWLMPTDTKRMIIGVPGRTSFEKFVKVSTNSAGKKEYDGFCIELFHKVREVLKYDLPYQFEPFNGTYDDLVDHVYNKTFDAIVGDVTILANRSDKVEFTQPYAESGLSMIVSAKSEESAWMFMKPFTKEMWLVTGAILIYTMFIVWFLEHHTNPEFKGPWKNQMGTALWFTFSSLYFAHREKIYSNLTRVVLVVWLFVVLILNSSYTASLTSMLTVRRLQPNVTDIEWLKRKSLKVGCDGDSFVRNYLQNVLGFKQENIENVSSEYSYEGEFESASISAAFLELPYGKVFIGHYCKGYSAATPTYRFGGLGFVFQKGSPIAADVSKAILKLSENGELKTLEEKWFAPSRECSSSATDNDITESLSLQNFWGIYIITGATSTICLLLFLFRLLKNYHHQQDEDRGNATPSDKSVWGKTVTLARYIYHGETVIPGGSPISAPSPDVYEWNSSRREFSSPEDTPENLQPSPPAEIEVVNIPDFDTKENSN >Potri.004G052400.1.v4.1 pep chromosome:Pop_tri_v4:4:4250910:4255243:-1 gene:Potri.004G052400.v4.1 transcript:Potri.004G052400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G052400.v4.1 MAKTIMICFEDKALMSFPIINFNQLSRLWLLFLVVTSFLVTTLPHGAKDINDSKVTNIGAIIDVNSRTGKEERTAMEIAVQKFNNGSPNHKLSLYFQDSRSSPLQAARAAEKLIEENEVEVIIGMERWEEAALVADIGSQFKVPVISFSAPAITPPLASSRWPFLIRMAHGDSNQIRCIASVIQSYNWRRVVTVYEDYTYGGDAGMLALLTKSLQDVGSEIEYNLVLPPFSFVSDPKDVVREELTKLLSEKIQSRVFIVLQSSLPMMIHLFREAKKMGFVGNDMVWILTDTVTNFLDIVNTSVIQSMEGALGIKNYYYDNTSSYQTFLTQFRQKFISEYPEEGYYEPGFYALRAHDSIAIITQAMNRLSSNTSSPKVFLDNILATKFVGLSGEINVKAGELLNSPMLRIVNVVGRRYRELDFWIPQFGFSNQPVVAKGGAENSTDAIRLKRPVIWPGDLQLNPKGWLMPTDTKRMIIGVPGRTSFEKFVKVSTNSAGKKEYDGFCIELFHKVREVLKYDLPYQFEPFNGTYDDLVDHVYNKTFDAIVGDVTILANRSDKVEFTQPYAESGLSMIVSAKSEESAWMFMKPFTKEMWLVTGAILIYTMFIVWFLEHHTNPEFKGPWKNQMGTALWFTFSSLYFAHREKIYSNLTRVVLVVWLFVVLILNSSYTASLTSMLTVRRLQPNVTDIEWLKRKSLKVGCDGDSFVRNYLQNVLGFKQENIENVSSEYSYEGEFESASISAAFLELPYGKVFIGHYCKGYSAATPTYRFGGLGFVFQKGSPIAADVSKAILKLSENGELKTLEEKWFAPSRECSSSATDNDITESLSLQNFWGIYIITGATSTICLLLFLFRLLKNYHHQQDEDRGNATPSDKSVWGKTVTLARYIYHGETVIPGGSPISAPSPDVYEWNSSRREFSSPEDTPENLQPSPPAEIEVVNIPDFDTKENSN >Potri.006G196800.2.v4.1 pep chromosome:Pop_tri_v4:6:20459834:20463781:-1 gene:Potri.006G196800.v4.1 transcript:Potri.006G196800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G196800.v4.1 MSRLAPLSEEPINEDEEYSTNCSKKKGYSWKNWLKTHFYLVFNKKSDLKVLLSVLGCPLFPVSIHSKLPINEVSSSAQYIIQHFSAATGCRKLQGEVKNLFATGKVTMAMVDELGGSPMAGATTVAEKGCFVMWQMAPNKWLIELVVDGHKVVAGSDGNVAWRHTPWLASHAAKGGVRPLRRALQGLDPMAISAVFSPAQYMGEKRVSGTDCFVLKLSADQADLAARSDSTAEMIKHIIFGYFSQRSGLLVHLEDSYLTRIQSPGTYPTYWETTMATKIEDYRIVEGVMIAHGGQSSVMITRFGDSLKSGLSITRMEETWTIDDLAFNVPGLSMDCFIPPEEMQKDYPEENLDWRSPLHR >Potri.002G016800.1.v4.1 pep chromosome:Pop_tri_v4:2:1016658:1019799:-1 gene:Potri.002G016800.v4.1 transcript:Potri.002G016800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016800.v4.1 MLSISSVSRCSAPSFFLSQKPTASRLLTVHSSSTREPNSNQNPGQRINYSGVKLEESVDSKSGKSRLDSWISSRISGISRARVQSSIKSGLVSVNGKVIDKVSHNVKAGDKVNCVISELQPLRAEPENIPLDIVYEDENVLVVNKPAHMVVHPAPGNATGTLVNGILHHCSLPTVSSQEVLSGAEDVSDDDDEGLCSSSYAASVRPGIVHRLDKGTSGLLVVAKDEHSHAHLSEQFKLHTIKRVYVSLTCGVPAPMAGRIDVPIGRDVSNRIRMAAIVEPNRGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGIPLLGDEVYGGTQNMALSLLRSRTQPSCHRQLSLSLSGLERPCLHALALGFTHPCTGKEIHFSCPPPPDFTEILSQLREMGTEKLSFKE >Potri.002G016800.2.v4.1 pep chromosome:Pop_tri_v4:2:1016100:1019759:-1 gene:Potri.002G016800.v4.1 transcript:Potri.002G016800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G016800.v4.1 MLSISSVSRCSAPSFFLSQKPTASRLLTVHSSSTREPNSNQNPGQRINYSGVKLEESVDSKSGKSRLDSWISSRISGISRARVQSSIKSGLVSVNGKVIDKVSHNVKAGDKVNCVISELQPLRAEPENIPLDIVYEDENVLVVNKPAHMVVHPAPGNATGTLVNGILHHCSLPTVSSQEVLSGAEDVSDDDDEGLCSSSYAASVRPGIVHRLDKGTSGLLVVAKDEHSHAHLSEQFKLHTIKRVYVSLTCGVPAPMAGRIDVPIGRDVSNRIRMAAIVEPNRGQARHAASRYKVIEILAGGGSALVEWRLETGRTHQIRAHAKYIGIPLLGDEVYGGTQNMALSLLRSRTQPSCHRQLSLSLSGLERPCLHALALGFTHPCTGKEIHFSCPPPPDFTEILSQLREMGTEKV >Potri.012G134300.2.v4.1 pep chromosome:Pop_tri_v4:12:14940182:14944029:-1 gene:Potri.012G134300.v4.1 transcript:Potri.012G134300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G134300.v4.1 MTQMARWSFHGILAFVSLLVFLTHGVYASDGDADPIYKACVEQCEKTGCVGEKCFQHCKFSSDGKPVGGPWYLQEPLYLQWKQWDCRSDCQYHCMLVREEEREKLGGKPVKYHGKWPFHRAYGFQEPVSVALSALNLAIQFHGWVSFFILIYYKLQLTPSKKTYYEYTGLWHIYGILSMNSWFWSAVFHSRDVELTEKLDCSSAVALLGFSLILAILRAFSMRDEAARVMVSAPIIAFVTTHILYLNFYNLDYDLNMKVCVAMGVAQLLIWAVWAGVTNHPSRLKLWVAVVGGGLAILLEIYDFPPYQGFVDAHALWHATTIPLTYLWWSFVKDDAEFRTSSLLKKAR >Potri.013G129600.2.v4.1 pep chromosome:Pop_tri_v4:13:13632406:13637400:-1 gene:Potri.013G129600.v4.1 transcript:Potri.013G129600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G129600.v4.1 MAFCNKFGSLVRQSIYQNGQVLMGPMLNSIRCMPSTNLFIRGFASGVPFIDACLFRLKQKKESISNKKIWTRRSTILPEYINNYVRIYNGKTFVRVKITEGKVGHKFGEFAFTRKGRVKTALVKGRGKTKK >Potri.003G127300.1.v4.1 pep chromosome:Pop_tri_v4:3:14655424:14658154:1 gene:Potri.003G127300.v4.1 transcript:Potri.003G127300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G127300.v4.1 MRLRKFAQISTSEEEEEEEMSNEHEEGEILPPEEDEDEEASLEDPKPVGKRVRFSGEGSEKKSHYKVFEFSGNRYTIEDPVLLAPETKEQKPDIVIIKDITQTIDGMVMVTGQLFYHPEDAKKKGGGNWQIRDTRELFYSTHHVEVPAKCVMHKCVVHFIPANKQLPDCRKHPGFIVRQIYDAVEQKLWKITDKDHN >Potri.011G062950.1.v4.1 pep chromosome:Pop_tri_v4:11:5300495:5301284:-1 gene:Potri.011G062950.v4.1 transcript:Potri.011G062950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G062950.v4.1 MIAADPPPASTIRSKAPSSPQTGPSFSSSSPLAIFSFSFFPAAHHLPLPLSLSAAPSAPANHRSSLSQPAAAHSLTSHSHSHPRAPLPQALRFPSIRPSAAAAPATDHPCLPLSTPKAPPCGIFFPFRPPSPGQRTDLTTAASRRRHFFSPH >Potri.011G022000.4.v4.1 pep chromosome:Pop_tri_v4:11:1653958:1656487:1 gene:Potri.011G022000.v4.1 transcript:Potri.011G022000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022000.v4.1 MAQRHHVPKFGGWDNDNVPYTAYFDTARKEKSGMRMNPNDPEENPEAFMQARGGMEDDVDFSSGAYQGITAEHHNIDQRLKGHNAHGTRSANDHQKSASHNSITSESGSEKSSSEGNKALSSSRHSRQRSRSTHSTNHDGRGASIPKFGAWDETDPNSGEGFTVVFNRVKEEKQIASTTFPSVPTQPVNRQTSQRNQGSSSSLSKFCCCFFPRGK >Potri.011G022000.3.v4.1 pep chromosome:Pop_tri_v4:11:1653958:1656487:1 gene:Potri.011G022000.v4.1 transcript:Potri.011G022000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G022000.v4.1 MAQRHHVPKFGGWDNDNVPYTAYFDTARKEKSGMRMNPNDPEENPEAFMQARGGMEDDVDFSSGAYQGITAEHHNIDQRLKGHNAHGTRSANDHQKSASHNSITSESGSEKSSSEGNKALSSSRHSRQRSRSTHSTNHDGHQRGASIPKFGAWDETDPNSGEGFTVVFNRVKEEKQIASTTFPSVPTQPVNRQTSQRNQGSSSSLSKFCCCFFPRGK >Potri.005G023900.1.v4.1 pep chromosome:Pop_tri_v4:5:1509875:1511892:1 gene:Potri.005G023900.v4.1 transcript:Potri.005G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G023900.v4.1 MANTIFIVGVLGNIISSLAYLSPLKTFWRIVKNRSTEDFSSIPYICTLMNATLWIYYGITKPDSFLIATINGFGAVTQIVYILIFLVFISPRMRAKTALLVGLLDVGFAAAAISFTHFMFQGDVRIDVVGFICDCSGMLVYASPLAAMQKTVITTKSVEFMPFLLSFAILLNGGFWTLYALLAKDILVGIPNGIGLLLGIAQLILYGIYHRYEPLKKASDNLEDGLQNESLIPACDSVS >Potri.015G058301.3.v4.1 pep chromosome:Pop_tri_v4:15:8095280:8097403:-1 gene:Potri.015G058301.v4.1 transcript:Potri.015G058301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G058301.v4.1 MAQNRRPKSVLDSLGEELVRIITPVSICMFLVVVLVSILNTDSSSSASSINTIATIAYNETTSDSFWDKFKGALINSLIFVAIITLVTFVLVILFYLRCTHFLKLYMGFSSFLVLGFMGGEIALFLIGDFNIPIDSITFLVILFNFASVGVLAVFMSKMAIFVTQGYLVVIGVLVAYWFTLLPEWTTWVLLVALALYDLAAVLLPVGPLRILVELAISRDEDIPALVYEARPVTNHDSGSRDGVVRRRVWRERSSVGNGSDENLNSETNSNLSLDSESVVDSNLTRGTVNSIGSDHNDRILIGAEQGQVLEREAELSAPLIDRRTSVQLHGQENSASRENLLLEGIGLGSTGAIKLGLGDFIFYSVLVGRAAMYDFMTVYACYLAIIAGLGVTLMLLAFYQKALPALPVSIALGVLFYFLTRLLLEVFVVQCSLNLVMF >Potri.017G132250.1.v4.1 pep chromosome:Pop_tri_v4:17:13469121:13472310:-1 gene:Potri.017G132250.v4.1 transcript:Potri.017G132250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G132250.v4.1 MSNGNLDDHLFPSSVNQIQKQLLSWPQRKSIILDVARGLAYLHYGVKPGIYHRDIKGTNILLDADMRARVADFGLAKQSREGQSHLTTRVAGTHWYLAPEYALYGQLTEKSDVYSFGVVVLEIMCGRKALDLSSSGSPRALLITDWAWSLVKAGKVEQALDASLLRGGDSSNSNPKGTMERFVLVGILCAHIMVALRPTILDALKMLEGDIEVPQIPDRPVPLGHPSFQADGNNFSISPVLSGPKLQSGDMLRENYNRSSKWPETTRGSHIKTSLQNTSNHHFMTTTLLSRPMPDKI >Potri.008G110500.1.v4.1 pep chromosome:Pop_tri_v4:8:7003785:7010709:-1 gene:Potri.008G110500.v4.1 transcript:Potri.008G110500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G110500.v4.1 MAKDTSGAESHPKRQGLLKDQARLTKKKDCDRFEIVPIQNPLSFEKGFFIVIRACQLLAQNNDGMILVGIAGPSGAGKTIFTEKILNFMPSVATISMDNYNDSSRIVDGNFDDPRLTDYDMLLKNVLDLKDGKPVEVPIYDFKSSTRTGYRTLEVPSSRIVIIEGIYALSEKLRPLLDLRVSVTGGVHFDLVKRVLRDIQRAGQEPEEIIQQISETVYPMYKAFIEPDLKTAHIKITNKFNPFSGFQSPTYILKSARKVTVDQIKPVLSEDYKETMEQIYDIYLLPPGEDPESCQSYLRMRNKDGKYNLMFEEWVADAPFIISPRITFEVTVKLLSGLMALGYTIAAILQRSSHSFSDDRVCVKIDWLGQLNRQYVQVQGRDRLVVKYIAEQLGLEGSYTPRTYIEQIQLERLVNEVMALPDDLKTKLSLDEDLVSSPKEALLRASADRVARRFKNGKSGMSHSYSSQRDKNLSKLTGPAATSNRFDDSNLESPAALANQGAMTQLSEQISSLNDRMDEFTTCIEELNSKLIINKNPPSQQNMALQAEVHNGSAPTSYFVSGLGNGSLTGSRMSNSSSSSLLAKESPLMEEISGIARAQRQVTLQLDTLSNLLRDSLGERFQGVRKNRNILAVRDGQAPLIVALAIGCVGLCWFIRAQN >Potri.019G131800.12.v4.1 pep chromosome:Pop_tri_v4:19:15409154:15417049:1 gene:Potri.019G131800.v4.1 transcript:Potri.019G131800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131800.v4.1 MIRDSGDISFLGMTISRTWTFGAALLIWLCWSSLLVAAQNAPTDPVEVNALRDIKNSLIDINNNLSNWRRGDPCTSNWTGVLCFNKTEEDGYQHVRELQLLNMNLSGTLSPSLGSLSYMEILDFMWNNITGSIPPEIGNITPLVLLLLNGNQLTGPLPDELGYLPNLERIQIDQNNISGPIPKSFANLNKTQHFHMNNNSISGNIPAELSRLPSLLHFLLDNNNLSGTLPPELSNFPNLLILQLDNNNFDGSTIPASYGNMTKLLKLSLRNCSLQGPMPDLSGIPNLGYLDLSFNQLAGPIPTNKLSKNITTIDLSYNNLNGTIPANFSELPLLQQLSIANNSLSGSVPFTTWQTRANGTEGLDLDFENNTLSNISGSISLPQNVTLRLKGNPVCSNSSIFQFCESQNNDMNNQSSTESNATCFTQSCPSPYEYSPTSPTSCFCAAPLIFGYRLKSPGFSKFVPYRIRFENYLTSGLKLSLFQLDLASVVWESGPRLKMHLKLFPVYVNGTNTFNTSEARRIISMFTGWKIPDSEIFGPYELLYITLLDPYRDVIVTSQKSNKISTGALVGIVLGAIAGAVTLSAVVSLLILRRRLRDYTAISKRRRQSKASLKIEGVKDFSYAEMAMATNNFNSSSQVGQGGYGKVYKGILADGRTVAIKRTEEGSLQGEKEFLTEIELLSRLHHRNLVSLLGYCDEQGEQMLVYEFMPNGTLRDHLSVKGKEPLSFATRLKIAMTSAKGILYLHTEANPPIFHRDIKASNILVDSRYDAKVADFGLSRLAPVPDIEGSVPDHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGKQPISHGKNIVREVKIAYQSGMIFSIIDERMGSYPSDCIDKFLTLAMKCCNEETDARPSMADVVRELEGIWHMMSESDTTTTDTISTDNRKEMTPPSSSSMMMNPCVSSEVSGSDLVSGAVPTITPR >Potri.019G131800.6.v4.1 pep chromosome:Pop_tri_v4:19:15408461:15417052:1 gene:Potri.019G131800.v4.1 transcript:Potri.019G131800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131800.v4.1 MIRDSGDISFLGMTISRTWTFGAALLIWLCWSSLLVAAQNAPTDPVEVNALRDIKNSLIDINNNLSNWRRGDPCTSNWTGVLCFNKTEEDGYQHVRELQLLNMNLSGTLSPSLGSLSYMEILDFMWNNITGSIPPEIGNITPLVLLLLNGNQLTGPLPDELGYLPNLERIQIDQNNISGPIPKSFANLNKTQHFHMNNNSISGNIPAELSRLPSLLHFLLDNNNLSGTLPPELSNFPNLLILQLDNNNFDGSTIPASYGNMTKLLKLSLRNCSLQGPMPDLSGIPNLGYLDLSFNQLAGPIPTNKLSKNITTIDLSYNNLNGTIPANFSELPLLQQLSIANNSLSGSVPFTTWQTRANGTEGLDLDFENNTLSNISGSISLPQNVTLRLKGNPVCSNSSIFQFCESQNNDMNNQSSTESNATCFTQSCPSPYEYSPTSPTSCFCAAPLIFGYRLKSPGFSKFVPYRIRFENYLTSGLKLSLFQLDLASVVWESGPRLKMHLKLFPVYVNGTNTFNTSEARRIISMFTGWKIPDSEIFGPYELLYITLLDPYRDVIVTSQKSNKISTGALVGIVLGAIAGAVTLSAVVSLLILRRRLRDYTAISKRRRQSKASLKIEGVKDFSYAEMAMATNNFNSSSQVGQGGYGKVYKGILADGRTVAIKRTEEGSLQGEKEFLTEIELLSRLHHRNLVSLLGYCDEQGEQMLVYEFMPNGTLRDHLSVKGKEPLSFATRLKIAMTSAKGILYLHTEANPPIFHRDIKASNILVDSRYDAKVADFGLSRLAPVPDIEGSVPDHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGKQPISHGKNIVREVKIAYQSGMIFSIIDERMGSYPSDCIDKFLTLAMKCCNEETDARPSMADVVRELEGIWHMMSESDTTTTDTISTDNRKEMTPPSSSSMMMNPCVSSEVSGSDLVSGAVPTITPR >Potri.019G131800.10.v4.1 pep chromosome:Pop_tri_v4:19:15408590:15417076:1 gene:Potri.019G131800.v4.1 transcript:Potri.019G131800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131800.v4.1 MIRDSGDISFLGMTISRTWTFGAALLIWLCWSSLLVAAQNAPTDPVEVNALRDIKNSLIDINNNLSNWRRGDPCTSNWTGVLCFNKTEEDGYQHVRELQLLNMNLSGTLSPSLGSLSYMEILDFMWNNITGSIPPEIGNITPLVLLLLNGNQLTGPLPDELGYLPNLERIQIDQNNISGPIPKSFANLNKTQHFHMNNNSISGNIPAELSRLPSLLHFLLDNNNLSGTLPPELSNFPNLLILQLDNNNFDGSTIPASYGNMTKLLKLSLRNCSLQGPMPDLSGIPNLGYLDLSFNQLAGPIPTNKLSKNITTIDLSYNNLNGTIPANFSELPLLQQLSIANNSLSGSVPFTTWQTRANGTEGLDLDFENNTLSNISGSISLPQNVTLRLKGNPVCSNSSIFQFCESQNNDMNNQSSTESNATCFTQSCPSPYEYSPTSPTSCFCAAPLIFGYRLKSPGFSKFVPYRIRFENYLTSGLKLSLFQLDLASVVWESGPRLKMHLKLFPVYVNGTNTFNTSEARRIISMFTGWKIPDSEIFGPYELLYITLLDPYRDVIVTSQKSNKISTGALVGIVLGAIAGAVTLSAVVSLLILRRRLRDYTAISKRRRQSKASLKIEGVKDFSYAEMAMATNNFNSSSQVGQGGYGKVYKGILADGRTVAIKRTEEGSLQGEKEFLTEIELLSRLHHRNLVSLLGYCDEQGEQMLVYEFMPNGTLRDHLSVKGKEPLSFATRLKIAMTSAKGILYLHTEANPPIFHRDIKASNILVDSRYDAKVADFGLSRLAPVPDIEGSVPDHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGKQPISHGKNIVREVKIAYQSGMIFSIIDERMGSYPSDCIDKFLTLAMKCCNEETDARPSMADVVRELEGIWHMMSESDTTTTDTISTDNRKEMTPPSSSSMMMNPCVSSEVSGSDLVSGAVPTITPR >Potri.019G131800.4.v4.1 pep chromosome:Pop_tri_v4:19:15408664:15417040:1 gene:Potri.019G131800.v4.1 transcript:Potri.019G131800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131800.v4.1 MIRDSGDISFLGMTISRTWTFGAALLIWLCWSSLLVAAQNAPTDPVEVNALRDIKNSLIDINNNLSNWRRGDPCTSNWTGVLCFNKTEEDGYQHVRELQLLNMNLSGTLSPSLGSLSYMEILDFMWNNITGSIPPEIGNITPLVLLLLNGNQLTGPLPDELGYLPNLERIQIDQNNISGPIPKSFANLNKTQHFHMNNNSISGNIPAELSRLPSLLHFLLDNNNLSGTLPPELSNFPNLLILQLDNNNFDGSTIPASYGNMTKLLKLSLRNCSLQGPMPDLSGIPNLGYLDLSFNQLAGPIPTNKLSKNITTIDLSYNNLNGTIPANFSELPLLQQLSIANNSLSGSVPFTTWQTRANGTEGLDLDFENNTLSNISGSISLPQNVTLRLKGNPVCSNSSIFQFCESQNNDMNNQSSTESNATCFTQSCPSPYEYSPTSPTSCFCAAPLIFGYRLKSPGFSKFVPYRIRFENYLTSGLKLSLFQLDLASVVWESGPRLKMHLKLFPVYVNGTNTFNTSEARRIISMFTGWKIPDSEIFGPYELLYITLLDPYRDVIVTSQKSNKISTGALVGIVLGAIAGAVTLSAVVSLLILRRRLRDYTAISKRRRQSKASLKIEGVKDFSYAEMAMATNNFNSSSQVGQGGYGKVYKGILADGRTVAIKRTEEGSLQGEKEFLTEIELLSRLHHRNLVSLLGYCDEQGEQMLVYEFMPNGTLRDHLSVKGKEPLSFATRLKIAMTSAKGILYLHTEANPPIFHRDIKASNILVDSRYDAKVADFGLSRLAPVPDIEGSVPDHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGKQPISHGKNIVREVKIAYQSGMIFSIIDERMGSYPSDCIDKFLTLAMKCCNEETDARPSMADVVRELEGIWHMMSESDTTTTDTISTDNRKEMTPPSSSSMMMNPCVSSEVSGSDLVSGAVPTITPR >Potri.019G131800.13.v4.1 pep chromosome:Pop_tri_v4:19:15408130:15417027:1 gene:Potri.019G131800.v4.1 transcript:Potri.019G131800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131800.v4.1 MIRDSGDISFLGMTISRTWTFGAALLIWLCWSSLLVAAQNAPTDPVEVNALRDIKNSLIDINNNLSNWRRGDPCTSNWTGVLCFNKTEEDGYQHVRELQLLNMNLSGTLSPSLGSLSYMEILDFMWNNITGSIPPEIGNITPLVLLLLNGNQLTGPLPDELGYLPNLERIQIDQNNISGPIPKSFANLNKTQHFHMNNNSISGNIPAELSRLPSLLHFLLDNNNLSGTLPPELSNFPNLLILQLDNNNFDGSTIPASYGNMTKLLKLSLRNCSLQGPMPDLSGIPNLGYLDLSFNQLAGPIPTNKLSKNITTIDLSYNNLNGTIPANFSELPLLQQLSIANNSLSGSVPFTTWQTRANGTEGLDLDFENNTLSNISGSISLPQNVTLRLKGNPVCSNSSIFQFCESQNNDMNNQSSTESNATCFTQSCPSPYEYSPTSPTSCFCAAPLIFGYRLKSPGFSKFVPYRIRFENYLTSGLKLSLFQLDLASVVWESGPRLKMHLKLFPVYVNGTNTFNTSEARRIISMFTGWKIPDSEIFGPYELLYITLLDPYRDVIVTSQKSNKISTGALVGIVLGAIAGAVTLSAVVSLLILRRRLRDYTAISKRRRQSKASLKIEGVKDFSYAEMAMATNNFNSSSQVGQGGYGKVYKGILADGRTVAIKRTEEGSLQGEKEFLTEIELLSRLHHRNLVSLLGYCDEQGEQMLVYEFMPNGTLRDHLSVKGKEPLSFATRLKIAMTSAKGILYLHTEANPPIFHRDIKASNILVDSRYDAKVADFGLSRLAPVPDIEGSVPDHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGKQPISHGKNIVREVKIAYQSGMIFSIIDERMGSYPSDCIDKFLTLAMKCCNEETDARPSMADVVRELEGIWHMMSESDTTTTDTISTDNRKEMTPPSSSSMMMNPCVSSEVSGSDLVSGAVPTITPR >Potri.019G131800.11.v4.1 pep chromosome:Pop_tri_v4:19:15409154:15417061:1 gene:Potri.019G131800.v4.1 transcript:Potri.019G131800.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131800.v4.1 MIRDSGDISFLGMTISRTWTFGAALLIWLCWSSLLVAAQNAPTDPVEVNALRDIKNSLIDINNNLSNWRRGDPCTSNWTGVLCFNKTEEDGYQHVRELQLLNMNLSGTLSPSLGSLSYMEILDFMWNNITGSIPPEIGNITPLVLLLLNGNQLTGPLPDELGYLPNLERIQIDQNNISGPIPKSFANLNKTQHFHMNNNSISGNIPAELSRLPSLLHFLLDNNNLSGTLPPELSNFPNLLILQLDNNNFDGSTIPASYGNMTKLLKLSLRNCSLQGPMPDLSGIPNLGYLDLSFNQLAGPIPTNKLSKNITTIDLSYNNLNGTIPANFSELPLLQQLSIANNSLSGSVPFTTWQTRANGTEGLDLDFENNTLSNISGSISLPQNVTLRLKGNPVCSNSSIFQFCESQNNDMNNQSSTESNATCFTQSCPSPYEYSPTSPTSCFCAAPLIFGYRLKSPGFSKFVPYRIRFENYLTSGLKLSLFQLDLASVVWESGPRLKMHLKLFPVYVNGTNTFNTSEARRIISMFTGWKIPDSEIFGPYELLYITLLDPYRDVIVTSQKSNKISTGALVGIVLGAIAGAVTLSAVVSLLILRRRLRDYTAISKRRRQSKASLKIEGVKDFSYAEMAMATNNFNSSSQVGQGGYGKVYKGILADGRTVAIKRTEEGSLQGEKEFLTEIELLSRLHHRNLVSLLGYCDEQGEQMLVYEFMPNGTLRDHLSVKGKEPLSFATRLKIAMTSAKGILYLHTEANPPIFHRDIKASNILVDSRYDAKVADFGLSRLAPVPDIEGSVPDHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGKQPISHGKNIVREVKIAYQSGMIFSIIDERMGSYPSDCIDKFLTLAMKCCNEETDARPSMADVVRELEGIWHMMSESDTTTTDTISTDNRKEMTPPSSSSMMMNPCVSSEVSGSDLVSGAVPTITPR >Potri.019G131800.5.v4.1 pep chromosome:Pop_tri_v4:19:15408110:15417095:1 gene:Potri.019G131800.v4.1 transcript:Potri.019G131800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G131800.v4.1 MIRDSGDISFLGMTISRTWTFGAALLIWLCWSSLLVAAQNAPTDPVEVNALRDIKNSLIDINNNLSNWRRGDPCTSNWTGVLCFNKTEEDGYQHVRELQLLNMNLSGTLSPSLGSLSYMEILDFMWNNITGSIPPEIGNITPLVLLLLNGNQLTGPLPDELGYLPNLERIQIDQNNISGPIPKSFANLNKTQHFHMNNNSISGNIPAELSRLPSLLHFLLDNNNLSGTLPPELSNFPNLLILQLDNNNFDGSTIPASYGNMTKLLKLSLRNCSLQGPMPDLSGIPNLGYLDLSFNQLAGPIPTNKLSKNITTIDLSYNNLNGTIPANFSELPLLQQLSIANNSLSGSVPFTTWQTRANGTEGLDLDFENNTLSNISGSISLPQNVTLRLKGNPVCSNSSIFQFCESQNNDMNNQSSTESNATCFTQSCPSPYEYSPTSPTSCFCAAPLIFGYRLKSPGFSKFVPYRIRFENYLTSGLKLSLFQLDLASVVWESGPRLKMHLKLFPVYVNGTNTFNTSEARRIISMFTGWKIPDSEIFGPYELLYITLLDPYRDVIVTSQKSNKISTGALVGIVLGAIAGAVTLSAVVSLLILRRRLRDYTAISKRRRQSKASLKIEGVKDFSYAEMAMATNNFNSSSQVGQGGYGKVYKGILADGRTVAIKRTEEGSLQGEKEFLTEIELLSRLHHRNLVSLLGYCDEQGEQMLVYEFMPNGTLRDHLSVKGKEPLSFATRLKIAMTSAKGILYLHTEANPPIFHRDIKASNILVDSRYDAKVADFGLSRLAPVPDIEGSVPDHISTVVKGTPGYLDPEYFLTHKLTDKSDVYSLGVVFLELLTGKQPISHGKNIVREVKIAYQSGMIFSIIDERMGSYPSDCIDKFLTLAMKCCNEETDARPSMADVVRELEGIWHMMSESDTTTTDTISTDNRKEMTPPSSSSMMMNPCVSSEVSGSDLVSGAVPTITPR >Potri.010G052100.1.v4.1 pep chromosome:Pop_tri_v4:10:8369039:8370325:1 gene:Potri.010G052100.v4.1 transcript:Potri.010G052100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G052100.v4.1 MKPKPPMLVYFVISLILSLPLLFFFFCFKTNTYTPPGNPNSDLKIRPGYTTYKTYIQRQLNKTLNPKLRKIWTTRDWDRKIQVFADFFQVLKQENLLFNESKALCIGARVGQEVEALRRIGVSDSVGMDLVPYPPLVVEGDFHRQPFDDGTFDFEFSNVFDHALFPDKFVGEIERTLKPGGICVLHVALSRRADKYSANDLYSVKPLVNLFTNSKVVRVRKVDGFGLDTEVVFRKIEKQDQEMIRGSR >Potri.004G068700.2.v4.1 pep chromosome:Pop_tri_v4:4:5833532:5838475:1 gene:Potri.004G068700.v4.1 transcript:Potri.004G068700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068700.v4.1 MDGLQLVSMPPRNAFRADQPKARRGLQPDPPYSIKSCTRNFLETGAWHQSQNKVPNKETRSNVFPDYPSCQSSVTCPDFYVSTPKECNAVSSLEANSSNVDKSANKKSRQKTRRGKLNKRVSSDTGSAEPEVLSEYAHESSTSEACSYDHGDGLIYSATSPEVSLPDGSSNQIVFEGDIRFSNSEAQVICTSNIGEVVAVETFEALDISSADGSSNQIDFEGDPRFSNSETSTICSSNIDEVATVEDIIPCIAHNFPGECQMINSEIIIQTKGKGSSQISCCDDKQSKDFSYAPDSSLVLDYVSIGSNSDDDPNGSYRSKPFHEASSRGSVLEAPGCNSRKGSLSYKNSFNGVVDTYHHTEGSKHGSQNFSSSDAQLLISRSSKKGKQIKALPRSAGAHKYGGFGNLHVRAGKEINHSVWKKVQRNGVDTETKISPVCFQSDMSLKETPSLKRNCIVAEVNTVSRTENKKLLKDKVSKKLKRKNSLGSKLDYSCHGRGHSSNKASFNTRAKTGMRQDETFGLTAEVDDQKGGKSISRTHSMNTCLMVGFQPSRVECANSESVNSLQVFPDALQPLQSTYDAVSSPRHHHSENQGNSPAKLSNLLDQNALKVPPPVYLPHLFFNKGLQMEKEITLAEHCKQNHSSGSVMQKWIPIGVRESELATSARFGNSLPDPSDRPAREDFTLRNVQENASFDSQDLVSSSLLGTCQGSGNASCSPKEDDHSQKLNNSTGWMFELNKKHVEADSSTSEYSDQQFSAFEDKSIKIIQAVKDACRVQMECEAIQMSTGSPVAEFERFLHFSSPVISQLPGLSCCQTCLCDRLVGARPCRHEIPYIPLGCLWKWYEEHGNYGLEVRAEDFENSKSLGLDCVSFRGYFVPFLSAIQLFKNHTSQPINKAPDHGIFGTHEASESSEDSKAGRLPIFSVLIPKPRTTAAAQSVDVACSDDAELLFEYFEPEQPQQRQPLYEKIQELVRGNASSRCKMYGDPTNLASLNLHDLHPRSWYSVAWYPIYRIPDGNFRTAFLTYHSLGHLVHRSAKFDSPSKNECVVSPVVGLQSYNAQGECWFQPRHSVNQTTGTPSLDPSVIMKERLRTLAETASLMARAVVNKGNQTSVNRHPDYEFFLSRRGYSLL >Potri.004G068700.1.v4.1 pep chromosome:Pop_tri_v4:4:5830653:5838327:1 gene:Potri.004G068700.v4.1 transcript:Potri.004G068700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G068700.v4.1 MDGLQLVSMPPRNAFRADQPKARRGLQPDPPYSIKSCTRNFLETGAWHQSQNKVPNKETRSNVFPDYPSCQSSVTCPDFYVSTPKECNAVSSLEANSSNVDKSANKKSRQKTRRGKLNKRVSSDTGSAEPEVLSEYAHESSTSEACSYDHGDGLIYSATSPEVSLPDGSSNQIVFEGDIRFSNSEAQVICTSNIGEVVAVETFEALDISSADGSSNQIDFEGDPRFSNSETSTICSSNIDEVATVEDIIPCIAHNFPGECQMINSEIIIQTKGKGSSQISCCDDKQSKDFSYAPDSSLVLDYVSIGSNSDDDPNGSYRSKPFHEASSRGSVLEAPGCNSRKGSLSYKNSFNGVVDTYHHTEGSKHGSQNFSSSDAQLLISRSSKKGKQIKALPRSAGAHKYGGFGNLHVRAGKEINHSVWKKVQRNGVDTETKISPVCFQSDMSLKETPSLKRNCIVAEVNTVSRTENKKLLKDKVSKKLKRKNSLGSKLDYSCHGRGHSSNKASFNTRAKTGMRQDETFGLTAEVDDQKGGKSISRTHSMNTCLMVGFQPSRVECANSESVNSLQVFPDALQPLQSTYDAVSSPRHHHSENQGNSPAKLSNLLDQNALKVPPPVYLPHLFFNKGLQMEKEITLAEHCKQNHSSGSVMQKWIPIGVRESELATSARFGNSLPDPSDRPAREDFTLRNVQENASFDSQDLVSSSLLGTCQGSGNASCSPKEDDHSQKLNNSTGWMFELNKKHVEADSSTSEYSDQQFSAFEDKSIKIIQAVKDACRVQMECEAIQMSTGSPVAEFERFLHFSSPVISQLPGLSCCQTCLCDRLVGARPCRHEIPYIPLGCLWKWYEEHGNYGLEVRAEDFENSKSLGLDCVSFRGYFVPFLSAIQLFKNHTSQPINKAPDHGIFGTHEASESSEDSKAGRLPIFSVLIPKPRTTAAAQSVDVACSDDAELLFEYFEPEQPQQRQPLYEKIQELVRGNASSRCKMYGDPTNLASLNLHDLHPRSWYSVAWYPIYRIPDGNFRTAFLTYHSLGHLVHRSAKFDSPSKNECVVSPVVGLQSYNAQGECWFQPRHSVNQTTGTPSLDPSVIMKERLRTLAETASLMARAVVNKGNQTSVNRHPDYEFFLSRRGYSLL >Potri.008G054766.1.v4.1 pep chromosome:Pop_tri_v4:8:3218090:3218362:-1 gene:Potri.008G054766.v4.1 transcript:Potri.008G054766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G054766.v4.1 MHQIAEAYHGTAMKLAVLIAPAYFNVPQRQATADAGKSAGLMSCISLMSPLLLRWLMVLKRGQLLLLRGMFDLGGGTFDVTLLTIEGNIF >Potri.005G027600.5.v4.1 pep chromosome:Pop_tri_v4:5:1756118:1762579:1 gene:Potri.005G027600.v4.1 transcript:Potri.005G027600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027600.v4.1 MEVSAGLVAGSHNRNELVVIRRDGEFAPRSLERVSRQICHICGDDVGLTVDGELFVACNECAFPICRTCYEYERKEGNQVCPQCKTRFKRLKGCARVHGDDEEDGTDDLENEFNFDGRNSNRHDMQHHGGPESMLHYDPDLPHDLHHPLPRVPLLTNGQMVDDIPPEQHALVPSYMAPVGGDGKRIHPLPFSDSSLPAQPRSLDPSKDLAAYGYGSIAWKERMESWKQKQDKLQIMKRENGDYDDDDPDLPLMDEARQPLSRKMPIPSSQINPYRMIIIIRLVVLGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQPSQLSPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKISCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVDASFVKERRAMKREYEEFKVRVNALVAKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLSNARYLLNLDCDHYINNSKALRESMCFMMDPLLGKRVCYVQFPQRFDGIDRNDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSRTFAPVGTLEGIEEGIEGIETENMAVTSEKKLENKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.005G027600.4.v4.1 pep chromosome:Pop_tri_v4:5:1755844:1762586:1 gene:Potri.005G027600.v4.1 transcript:Potri.005G027600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027600.v4.1 MEVSAGLVAGSHNRNELVVIRRDGEFAPRSLERVSRQICHICGDDVGLTVDGELFVACNECAFPICRTCYEYERKEGNQVCPQCKTRFKRLKGCARVHGDDEEDGTDDLENEFNFDGRNSNRHDMQHHGGPESMLHYDPDLPHDLHHPLPRVPLLTNGQMVDDIPPEQHALVPSYMAPVGGDGKRIHPLPFSDSSLPAQPRSLDPSKDLAAYGYGSIAWKERMESWKQKQDKLQIMKRENGDYDDDDPDLPLMDEARQPLSRKMPIPSSQINPYRMIIIIRLVVLGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQPSQLSPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKISCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVDASFVKERRAMKREYEEFKVRVNALVAKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLSNARYLLNLDCDHYINNSKALRESMCFMMDPLLGKRVCYVQFPQRFDGIDRNDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSRTFAPVGTLEGIEEGIEGIETENMAVTSEKKLENKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.005G027600.2.v4.1 pep chromosome:Pop_tri_v4:5:1756211:1762583:1 gene:Potri.005G027600.v4.1 transcript:Potri.005G027600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027600.v4.1 MEVSAGLVAGSHNRNELVVIRRDGEFAPRSLERVSRQICHICGDDVGLTVDGELFVACNECAFPICRTCYEYERKEGNQVCPQCKTRFKRLKGCARVHGDDEEDGTDDLENEFNFDGRNSNRHDMQHHGGPESMLHYDPDLPHDLHHPLPRVPLLTNGQMVDDIPPEQHALVPSYMAPVGGDGKRIHPLPFSDSSLPAQPRSLDPSKDLAAYGYGSIAWKERMESWKQKQDKLQIMKRENGDYDDDDPDLPLMDEARQPLSRKMPIPSSQINPYRMIIIIRLVVLGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQPSQLSPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKISCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVDASFVKERRAMKREYEEFKVRVNALVAKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLSNARYLLNLDCDHYINNSKALRESMCFMMDPLLGKRVCYVQFPQRFDGIDRNDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSRTFAPVGTLEGIEEGIEGIETENMAVTSEKKLENKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.005G027600.3.v4.1 pep chromosome:Pop_tri_v4:5:1756184:1762601:1 gene:Potri.005G027600.v4.1 transcript:Potri.005G027600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027600.v4.1 MEVSAGLVAGSHNRNELVVIRRDGEFAPRSLERVSRQICHICGDDVGLTVDGELFVACNECAFPICRTCYEYERKEGNQVCPQCKTRFKRLKGCARVHGDDEEDGTDDLENEFNFDGRNSNRHDMQHHGGPESMLHYDPDLPHDLHHPLPRVPLLTNGQMVDDIPPEQHALVPSYMAPVGGDGKRIHPLPFSDSSLPAQPRSLDPSKDLAAYGYGSIAWKERMESWKQKQDKLQIMKRENGDYDDDDPDLPLMDEARQPLSRKMPIPSSQINPYRMIIIIRLVVLGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQPSQLSPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKISCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVDASFVKERRAMKREYEEFKVRVNALVAKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLSNARYLLNLDCDHYINNSKALRESMCFMMDPLLGKRVCYVQFPQRFDGIDRNDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSRTFAPVGTLEGIEEGIEGIETENMAVTSEKKLENKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.005G027600.6.v4.1 pep chromosome:Pop_tri_v4:5:1756208:1762556:1 gene:Potri.005G027600.v4.1 transcript:Potri.005G027600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G027600.v4.1 MEVSAGLVAGSHNRNELVVIRRDGEFAPRSLERVSRQICHICGDDVGLTVDGELFVACNECAFPICRTCYEYERKEGNQVCPQCKTRFKRLKGCARVHGDDEEDGTDDLENEFNFDGRNSNRHDMQHHGGPESMLHYDPDLPHDLHHPLPRVPLLTNGQMVDDIPPEQHALVPSYMAPVGGDGKRIHPLPFSDSSLPAQPRSLDPSKDLAAYGYGSIAWKERMESWKQKQDKLQIMKRENGDYDDDDPDLPLMDEARQPLSRKMPIPSSQINPYRMIIIIRLVVLGFFFHYRVTHPVNDAFALWLISVICEIWFAVSWILDQFPKWLPIDRETYLDRLSLRYEKEGQPSQLSPVDIYVSTVDPLKEPPLVTANTVLSILAVDYPVDKISCYVSDDGAAMLTFEALSETSEFAKKWVPFCKKFSIEPRAPEFYFAQKIDYLKDKVDASFVKERRAMKREYEEFKVRVNALVAKAHKVPEDGWTMQDGTPWPGNNVRDHPGMIQVFLGQSGGHDTDGNELPRLVYVSREKRPGFNHHKKAGAMNALVRVSAVLSNARYLLNLDCDHYINNSKALRESMCFMMDPLLGKRVCYVQFPQRFDGIDRNDRYANRNTVFFDINMKGLDGIQGPIYVGTGCVFRRHALYGYDAPKTKKPPTRTCNCLPKWCCGCFCSGRKKKKKTNKPKSELKKRNSRTFAPVGTLEGIEEGIEGIETENMAVTSEKKLENKFGQSSVFVASTLLEDGGTLKSASPASLLKEAIHVISCGYEDKTEWGKEVGWIYGSVTEDILTGFKMHCHGWRSIYCIPARPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGYGGGLKWLERLSYINATVYPLTSIPLLAYCTLPAVCLLTGKFITPELSNAASLWFLSLFICIFATSILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGLLKVLAGVDTNFTVTSKGGDDDEFSELYAFKWTTLLIPPTTLLIINLVGVVAGVSNAINNGYESWGPLFGKLFFAFWVIVHLYPFLKGLLGRQNRTPTIIIVWSILLASIFSLLWVRIDPFLAKSNGPLLEECGLDCN >Potri.016G101000.1.v4.1 pep chromosome:Pop_tri_v4:16:10182820:10185476:-1 gene:Potri.016G101000.v4.1 transcript:Potri.016G101000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G101000.v4.1 MGYLVESFSALIFLSIIPVSSQLNEFLYSGFKDAATNITLSGVSEIQKNGILRLTNETSRLLGHAFYPSPFKFKNSSNGTALSFSTCFVFIIVPEYPKLGGHGLAFTIATTKDLKALPSQYLGLLNSSVVNLTNHLFAVEFDTVQDFEFGDINDNHIGIDLGSLKSNASASAAYYTGDSDSSKQDLNLKGGKPIQVWIDYDSVQNVVNVTISPTSKKPKIPILSSHVDLSSLFEEYMYVGLSASTGLLASSHYMLGWSFKLNGQAPALDLSSLPSLPGGHKKQYTGLVIGVSAAVVVFVIVSISTAIYLIRKIKNADIVEDWELEMGPHRYCYQELKKATNNFSDKVLLGKGGFGQVYKGILPDSKIEVAVKRISKESTQGLREFVSEIASIGRLRHRNLVQLLGWYRRRDDFLLVYDYMANGSLDKFLFEEPKMILNWEQRFKIIKDVASGLLYLHEGYEQVVIHRDVKASNVLLDEELNGRLSDFGLARLYEHGANPNTTRVVGTLGYLAPELPRTGKATESSDVYAFGALLLEVVCGRRPIEPKALPEELVLVDLVWEKFREGRALDVIDPKLNGEYNESEVMMVIKLGLMCSHNAPIARPSMRQVVRYLDEEVGIPQNLRDPNGAYEGVKGMSEVFDDFAHSFASSSFDKMSTCSFMENGGGGASFASLSTSPLSLLRGRGETG >Potri.010G255400.17.v4.1 pep chromosome:Pop_tri_v4:10:22610242:22619419:1 gene:Potri.010G255400.v4.1 transcript:Potri.010G255400.17.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255400.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNSDGRTLLCGLHESLKVFSWEPIGCPDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDLVKETKSLERLSDFQNSDHGKEFSKESKVLPTTGSAPGTPQRASLNTGLKATITGPITVPSTAAPKRSSMKVYSAVNVPVLNKADVIPVIVPRTNSRSEQVAGSRKEIDIAARTMPFSLHLKTTDFRKFSNSREDMDQPTTSIQPAETTGCKATELISVTDRNISPAVKGSIHGLTTAEQSFGSGNYKSDSTLEPPTSYQKENCETRGHKINRDAPSIESQKGGRMRSLMINWEKRGSSPSYEGPTSGISAGTSSVVNVLPLNMRGRAPSIEKEMVSASDEDVIADLMEQHDQFVSSMQSRSAKLQVVHRYWERNDVKGAIGAMEKMADHAVLADVISIVADKIDTVTLDICTCLLPLLTNLLESDMDRHSSISLDMLLKLVRTFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKYAQELNLALQEVS >Potri.010G255400.15.v4.1 pep chromosome:Pop_tri_v4:10:22610359:22619697:1 gene:Potri.010G255400.v4.1 transcript:Potri.010G255400.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255400.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNSDGRTLLCGLHESLKVFSWEPIGCPDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDLVKETKSLERLSDFQNSDHGKEFSKESKVLPTTGSAPGTPQRASLNTGLKATITGPITVPSTAAPKRSSMKVYSAVNVPVLNKADVIPVIVPRTNSRSEQVAGSRKEIDIAARTMPFSLHLKTTDFRKFSNSREDMDQPTTSIQPAETTGCKATELISVTDRNISPAVKGSIHGLTTAEQSFGSGNYKSDSTLEPPTSYQKENCETRGHKINRDAPSIESQKGGRMRSLMINWEKRGSSPSYEGPTSGISAGTSSVVNVLPLNMRGRAPSIEKEMVSASDEDVIADLMEQHDQFVSSMQSRSAKLQVVHRYWERNDVKGAIGAMEKMADHAVLADVISIVADKIDTVTLDICTCLLPLLTNLLESDMDRHSSISLDMLLKLVRTFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKYAQELNLALQEVS >Potri.010G255400.14.v4.1 pep chromosome:Pop_tri_v4:10:22610659:22619408:1 gene:Potri.010G255400.v4.1 transcript:Potri.010G255400.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255400.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNSDGRTLLCGLHESLKVFSWEPIGCPDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDLVKETKSLERLSDFQNSDHGKEFSKESKVLPTTGSAPGTPQRASLNTGLKATITGPITVPSTAAPKRSSMKVYSAVNVPVLNKADVIPVIVPRTNSRSEQVAGSRKEIDIAARTMPFSLHLKTTDFRKFSNSREDMDQPTTSIQPAETTGCKATELISVTDRNISPAVKGSIHGLTTAEQSFGSGNYKSDSTLEPPTSYQKENCETRGHKINRDAPSIESQKGGRMRSLMINWEKRGSSPSYEGPTSGISAGTSSVVNVLPLNMLKFKQRGRAPSIEKEMVSASDEDVIADLMEQHDQFVSSMQSRSAKLQVVHRYWERNDVKGAIGAMEKMADHAVLADVISIVADKIDTVTLDICTCLLPLLTNLLESDMDRHSSISLDMLLKLVRTFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKYAQELNLALQEVS >Potri.010G255400.18.v4.1 pep chromosome:Pop_tri_v4:10:22610963:22619408:1 gene:Potri.010G255400.v4.1 transcript:Potri.010G255400.18.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255400.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNSDGRTLLCGLHESLKVFSWEPIGCPDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDLVKETKSLERLSDFQNSDHGKEFSKESKVLPTTGSAPGTPQRASLNTGLKATITGPITVPSTAAPKRSSMKVYSAVNVPVLNKADVIPVIVPRTNSRSEQVAGSRKEIDIAARTMPFSLHLKTTDFRKFSNSREDMDQPTTSIQPAETTGCKATELISVTDRNISPAVKGSIHGLTTAEQSFGSGNYKSDSTLEPPTSYQKENCETRGHKINRDAPSIESQKGGRMRSLMINWEKRGSSPSYEGPTSGISAGTSSVVNVLPLNMRGRAPSIEKEMVSASDEDVIADLMEQHDQFVSSMQSRSAKLQVVHRYWERNDVKGAIGAMEKMADHAVLADVISIVADKIDTVTLDICTCLLPLLTNLLESDMDRHSSISLDMLLKLVRTFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKYAQELNLALQEVS >Potri.010G255400.13.v4.1 pep chromosome:Pop_tri_v4:10:22610242:22619414:1 gene:Potri.010G255400.v4.1 transcript:Potri.010G255400.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255400.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNSDGRTLLCGLHESLKVFSWEPIGCPDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDLVKETKSLERLSDFQNSDHGKEFSKESKVLPTTGSAPGTPQRASLNTGLKATITGPITVPSTAAPKRSSMKVYSAVNVPVLNKADVIPVIVPRTNSRSEQVAGSRKEIDIAARTMPFSLHLKTTDFRKFSNSREDMDQPTTSIQPAETTGCKATELISVTDRNISPAVKGSIHGLTTAEQSFGSGNYKSDSTLEPPTSYQKENCETRGHKINRDAPSIESQKGGRMRSLMINWEKRGSSPSYEGPTSGISAGTSSVVNVLPLNMLKFKQRGRAPSIEKEMVSASDEDVIADLMEQHDQFVSSMQSRSAKLQVVHRYWERNDVKGAIGAMEKMADHAVLADVISIVADKIDTVTLDICTCLLPLLTNLLESDMDRHSSISLDMLLKLVRTFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKYAQELNLALQEVS >Potri.010G255400.19.v4.1 pep chromosome:Pop_tri_v4:10:22610242:22619354:1 gene:Potri.010G255400.v4.1 transcript:Potri.010G255400.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255400.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNSDGRTLLCGLHESLKVFSWEPIGCPDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDLVKETKSLERLSDFQNSDHGKEFSKESKVLPTTGSAPGTPQRASLNTGLKATITGPITVPSTAAPKRSSMKVYSAVNVPVLNKADVIPVIVPRTNSRSEQVAGSRKEIDIAARTMPFSLHLKTTDFRKFSNSREDMDQPTTSIQPAETTGCKATELISVTDRNISPAVKGSIHGLTTAEQSFGSGNYKSDSTLEPPTSYQKENCETRGHKINRDAPSIESQKGGRMRSLMINWEKRGSSPSYEGPTSGISAGTSSVVNVLPLNMRGRAPSIEKEMVSASDEDVIADLMEQHDQFVSSMQSRSAKLQVVHRYWERNDVKGAIGAMEKMADHAVLADVISIVADKIDTVTLDICTCLLPLLTNLLESDMDRHSSISLDMLLKLVRTFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKYAQELNLALQEVS >Potri.010G255400.20.v4.1 pep chromosome:Pop_tri_v4:10:22610363:22619177:1 gene:Potri.010G255400.v4.1 transcript:Potri.010G255400.20.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255400.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNSDGRTLLCGLHESLKVFSWEPIGCPDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDLVKETKSLERLSDFQNSDHGKEFSKESKVLPTTGSAPGTPQRASLNTGLKATITGPITVPSTAAPKRSSMKVYSAVNVPVLNKADVIPVIVPRTNSRSEQVAGSRKEIDIAARTMPFSLHLKTTDFRKFSNSREDMDQPTTSIQPAETTGCKATELISVTDRNISPAVKGSIHGLTTAEQSFGSGNYKSDSTLEPPTSYQKENCETRGHKINRDAPSIESQKGGRMRSLMINWEKRGSSPSYEGPTSGISAGTSSVVNVLPLNMRGRAPSIEKEMVSASDEDVIADLMEQHDQFVSSMQSRSAKLQVVHRYWERNDVKGAIGAMEKMADHAVLADVISIVADKIDTVTLDICTCLLPLLTNLLESDMDRHSSISLDMLLKLVRTFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKYAQELNLALQEVS >Potri.010G255400.16.v4.1 pep chromosome:Pop_tri_v4:10:22610363:22619444:1 gene:Potri.010G255400.v4.1 transcript:Potri.010G255400.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G255400.v4.1 MTTKRAYKLQEFVAHSSSVNCLKIGRKSSRVLVTGGEDHKVNLWAIGKPNAILSLSGHTSGIDSVSFDSSEVLVAAGAASGTVKLWDLEEAKIVRTLTGHRSNCISVDFHPFGEFFASGSLDTNLKIWDIRKKGCIHTYKGHTRGVNAIRFTPDGRWVVSGGEDNTVKLWDLTAGKLLHDFKCHEGQIQCIDFHPHEFLLATGSADRTVKFWDLETFELIGSAGPETTGVRCLTFNSDGRTLLCGLHESLKVFSWEPIGCPDSVDVGWSKLSDLNVHEGKLLGCSYNQSCVGVWVVDLVKETKSLERLSDFQNSDHGKEFSKESKVLPTTGSAPGTPQRASLNTGLKATITGPITVPSTAAPKRSSMKVYSAVNVPVLNKADVIPVIVPRTNSRSEQVAGSRKEIDIAARTMPFSLHLKTTDFRKFSNSREDMDQPTTSIQPAETTGCKATELISVTDRNISPAVKGSIHGLTTAEQSFGSGNYKSDSTLEPPTSYQKENCETRGHKINRDAPSIESQKGGRMRSLMINWEKRGSSPSYEGPTSGISAGTSSVVNVLPLNMRGRAPSIEKEMVSASDEDVIADLMEQHDQFVSSMQSRSAKLQVVHRYWERNDVKGAIGAMEKMADHAVLADVISIVADKIDTVTLDICTCLLPLLTNLLESDMDRHSSISLDMLLKLVRTFGSMIYSTLSASTSVGVDIEAEQRLERCNICFVELEKVKRCLLTLTRKGGSVAKYAQELNLALQEVS >Potri.001G191100.1.v4.1 pep chromosome:Pop_tri_v4:1:17465641:17471304:-1 gene:Potri.001G191100.v4.1 transcript:Potri.001G191100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G191100.v4.1 MHRSAISRLRALKGCAGNLGTTRYATSTAVASRPSSPGFFSWLTGEQASSFPPLEVPLAGVAFPPSLPDYVQPGKVESKVLENGLMIVSEASSNPAASVGLYLDCGSVYETPISCGATHLLERMAFKSTRNRSHLRIVREVEAIGGNVAASASREQMGYTFDALKTYAPEMIELLIDCVRNPVFLDWEVNDELKKMKVEIAELSKNPEGLLLEAIHSAGFLGPLANPLLAPESSLDRLNGDILEEFVAKHYTAPRMVLAASGVEFEELISVAEPLLSDLPRIPCTDESKSLYVGGDYRKQAASQLAHVALAFEASGGWHKEKDAIMLTVLQMLLGGGGSFSAGGPGKGMHSRLYLRVLSKYPELQSFSAFNSIFNKTGLFGIYASCGPNFVHKAVDLAVAELIAIATPGQVTQEQLNRAKESTKSAVLFNLESRMIVAEDIGRQFLTYGERKPVEHFLKVVDEITLDDITSIGRSLIRSPLTMASYGDVLNVPSYESVSSRFERRGK >Potri.017G045332.1.v4.1 pep chromosome:Pop_tri_v4:17:3190561:3191778:-1 gene:Potri.017G045332.v4.1 transcript:Potri.017G045332.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G045332.v4.1 MGLKGFAEGGVASIIAGASTHPLDLIKVRMQLQGESQIPNLSSVQSYRPAFTLSSTANISLPATLELPPPPRVGPLSIGVRIIQSEGAAALFSGVSATILRQTLYSTTRMGLYDVLKHKWTDPDTNTMPLVRKIVAGLISGAVGAAVGNPADVAMVRMQADGRLPIDQRRNYKSVVDALSQMSKQEGVASLWRGSGLTVNRAMIVTASQLASYDQAKEMILEKGLMSDGIGTHVAASFLAGFVASVASNPIDVIKTRVMNMKVEPGVEPPYKGALDCALKTVKAEGPMALYKGFIVGNLAEIENRIEKDLGCTLSNLVEYNTYENGTLTLFHYEIHTIYRH >Potri.004G173900.2.v4.1 pep chromosome:Pop_tri_v4:4:18906797:18909577:1 gene:Potri.004G173900.v4.1 transcript:Potri.004G173900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G173900.v4.1 MAPFPSHPIPLLFLLLSFLPLLSLSAVDQPYKTYIVRIDSQSKPSIFPTHYHWYTTEFTDAPQILHTYDTVFHGFSATLTPDHAATLSQRPSVLAVFEDKRQQLHTTRSPQFLGLRNQRGLWSDSDYGSDVIIGVLDTGIWPERRSFSDVNLGAIPARWKGICEVGERFSARNCNKKLIGARFFIKGHEAASGSMGPITPINETVEFKSPRDADGHGTHTASTAAGRHVFGASMEGYAAGIAKGVAPKARLAVYKVCWKNAGCFDSDILAAFDAAVKDGVDVISISIGGGDGISAPYYLDPIAIGAYGAASRGVFVSSSAGNDGPNLMSVTNLAPWIVTVGAGTIDRNFPAEVVLGNGKRLSGVSLYAGLPLSGKMYPLVYPGKSGVLSSSLCMENSLDPNMVKGKIVVCDRGSSARVAKGLVVKKAGGVGMILANGMSNGEGLVGDAHLIPTCALGSDEGDTVKAYVSATSNPVATIAFKGTVIGIKPAPVVASFSGRGPNGLTPEILKPDLIAPGVNILAAWTDAVGPTGLDSDTRKTEFNILSGTSMACPHVSGAAALLKSAHPDWSPAAIRSAMMTTANTFNNLNQPMTDEATGNVSSSYDLGAGHLNLDRAMDPGLVYDITNNDYVNFLCGIGYGPRVIQVITRSPVSCLEKKPLPENLNYPSIAALLPSSAKGATSKAFIRTVTNVGQPDAVYRFTIQAPKGVTVTVKPPKLVFTEAVKKQSFIVTITANTRNLMLDDSGAVFGSISWSDGKHVVRSPILVTQIDPL >Potri.016G050800.1.v4.1 pep chromosome:Pop_tri_v4:16:3269329:3273626:-1 gene:Potri.016G050800.v4.1 transcript:Potri.016G050800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G050800.v4.1 MAVIPFKVTACLILFTFTNLPLLSSLNTDGLALLALKAAITADPTDTLASWSETDPTPCHWHGITCINDRVTSLSLPDKNFTGYIPFELGLLGSLTRLTLSRNNFSKSIPSHLFNATTLRFLDLSHNSLSGPIPANVVSLEALTHLDLSSNCLNGSLPASLNKLKSLTGALNLSYNSFSGEIPGSYGFFPVMVSLDLRHNNLSGKVPLFGSLVNQGPTAFAGNPSLCGFPLQTACPEAVNITVSDNPENPKDPNPVLFPGSVGKVKVKTGSVAVPLISGFSVVIGVVTVSVWLYRKKRRADEGKMGKEEKIEKGDNNEVTFNEEEQKGKFVVMDEGFNMELEDLLRASAYVVGKSRSGIVYKVVVGGGGSGTAMPTVVAVRRLSEGDATWKLKEFESEVEAIERVHHPNIARLRAYYFAHDEKLLVSDFIRNGSLYSALHGGPSNTLPVLSWTARLKIAQGTARGLMYIHEHSPRKYVHGNLKSTKILLDDELQPYISSFGLTRLVWNSSKFATSASKKQYLNQTISSAMGLKISAPSNIYLAPEARVSGSKFSQKCDVYSFGIVLMELLTGRLPGAGSENDGEGLESLVRKVFQEERPLSEIIDPALLSEVHAKKQVIAVFHISLNCTELDPELRPRMRTVSESLDRIKLH >Potri.003G221200.1.v4.1 pep chromosome:Pop_tri_v4:3:21521922:21526111:-1 gene:Potri.003G221200.v4.1 transcript:Potri.003G221200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G221200.v4.1 MGSCGCGGGAHFSRSIVLVLVFCLLGLISITCAARLSVSRQKLEVQKHLNRLNKPAVKSIESPDGDIIDCVHMSHQPAFDHPYLKDHKIQMRPSYHPEGRVFDDSKVSTESKERKNPITQLWHVNDKCPEGTIPIRRTKEDDVLRASSVKRYGRKKHRAIPQPRSADPDLINESGHQHAIAYVEGDKYYGAKATINVWEPKIQQPNEFSLSQLWILGGSFGQDLNSIEAGWQVSPDLYGDNNTRLFTYWTSDAYQATGCYNLLCSGFIQINSEIAMGASISPVSGYRNSQYDISILVWKDPKEGHWWMQFGNDYVLGYWPSFLFSYLADSASMIEWGGEVVNSEPDGRHTSTQMGSGRFPEEGFGKASYFRNIQVVDSTNNLKAPKGIGTFTEKSNCYDVQTGNNGDWGRYFYYGGPGRNENCP >Potri.003G010730.1.v4.1 pep chromosome:Pop_tri_v4:3:1282749:1283742:-1 gene:Potri.003G010730.v4.1 transcript:Potri.003G010730.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G010730.v4.1 MEIFTKQLNSIDLERGLVLPRDANLEHRELPTIVESSAGTPLPDPVTIHCSTRSGKLVFTRGWHDIARHAGLKSGDIVTFYREVNGGAQYKMRVRNVG >Potri.013G070800.3.v4.1 pep chromosome:Pop_tri_v4:13:5776001:5784454:1 gene:Potri.013G070800.v4.1 transcript:Potri.013G070800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G070800.v4.1 MAASLGLHCHHYHVAAAAANPLRKAAKQALSSLILKSSKPHHHHSCCYNSDSVGAKEIRSPDLVALEYADLNLFDKISGELGHVRIRQHVNPLSSSFSVPAQVPDWKEVFRDPTLPLMVDIGSGSGRFLIWLAKRNPDSGNYLGLEIRQKLVKRAEFWVKELALTNMHFLFANATISFRQLVLSYPGPLMLVSILCPDPHFKKRHHKRRVVQKTLVDNIISHLVPGGKVFLQSDVLDVALHMRSQFDAESDALQHVDELDPSVLCDSDGWLLDNPIGIRSEREIHAEFEGAKIYRRLYQKRT >Potri.014G117000.1.v4.1 pep chromosome:Pop_tri_v4:14:7864164:7865612:1 gene:Potri.014G117000.v4.1 transcript:Potri.014G117000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G117000.v4.1 MDARVRKHGLASTEDQDMGTRKGPWTVEEDSLLAHYITNHGEGQWNTAARCAGLKRTGKSCRLRWLNYLRPNVRRGNITLQEQLLILQLHSHWGNRWSKIAQQLPGRTDNEIKNYWRTRVQKQAKQLKCDVNSKQFRDTMRYAWIPRLIERIQAESESPVDQPIISTPTYHSSQIDIPVAASESGSDLIGPNFMPDISGSCTYSDSLDAQVSSGSDLTNSQNPPCSHYMQNGACSYPENDSVSWDWDQHGVDMQGMEQGRYGLTGGGDSMENLWSEENIWFLHHQLM >Potri.004G177600.1.v4.1 pep chromosome:Pop_tri_v4:4:19247563:19250842:-1 gene:Potri.004G177600.v4.1 transcript:Potri.004G177600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G177600.v4.1 MESDGQRSVSLRLNRRGGDYRSNNDNNQTPSNNSKDVGGFFGAGKLPSDYPMKIIWKRGFVRLVLVAGILWMLLILAVLSFHVWSCQSSSVFFSVICNKESKVYNFLNTWGFVPKQHRCPIPVISNPERIVIPEGRTHDQIVKNISYVMEDEDGSQSSPLFGGHQSWKQREKSFNLSSSMKVHCGFMHNGGADMDLVDIEYVKNCRFVVASGIFDGYDVPHQPSNISERSRKLFCFLMVVDEISLDFIKENVTVREDHNGGRWVGIWRLILLKHSPYDEPRRNGKVPKILTHRLFPQAQYSIWIDGKMELLVDPLQILERYLWRGKNTFAIAQHKHHRSIYEEADANKRRKRYARPLIDLHMKIYYHEGMESWSPKKRSVSDVPEGAIIIREHTAMSNLFSCLWFNEVNLFTPRDQLSFGYVVYRLGGAFRFFMFPNCEYNSLFVLHPHTREHSSKVEWVKSISEFKGNGSSMKESRGGLGLWTPYPGDLSSVVLPKVARTSKAG >Potri.003G148800.2.v4.1 pep chromosome:Pop_tri_v4:3:16254965:16262305:1 gene:Potri.003G148800.v4.1 transcript:Potri.003G148800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148800.v4.1 MAGLLLPGESSHYGNSEGGPSRSSQERPEEGDRWYFSRKEIEENSPSRRDNIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHANNDRRTVATVCMFLAGKVEETPRPLKDVIVVSYEIMHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDFNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPQSQGSEVEGSAGGGSGHRLLTRTPAVSEDHVSKQTSSRAAPEPVCQDINVGPPRNTHTQNNENGSGETESVITDHKVEVETRDNQHHEHVSHKEITREDPNKVRYEAEQIGEEDQKRTAGRNEGAEAGEWRDDAVSRKSSSIVGRNLDLREGPVNQSPKDAIKMIDKDKVKAALEKRKKSRGETTRKKDIMDEDDLIERELEDGVELAAEDEKIKRERRQSWSNNDHAEIGDGNHMIMKGQSSRGLEAEYVEEGEMLDDASPVLNGRKRKGSPAERQSEGKRRHDYIPNHNRDTIEDGHKMGKSGYVDREHRRHSQDNHL >Potri.003G148800.1.v4.1 pep chromosome:Pop_tri_v4:3:16254948:16262333:1 gene:Potri.003G148800.v4.1 transcript:Potri.003G148800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G148800.v4.1 MAGLLLPGESSHYGNSEGGPSRSSQERPEEGDRWYFSRKEIEENSPSRRDNIDLKKETYLRKSYCTFLQDLGMRLKVPQVTIATAIIFCHRFFIRQSHANNDRRTVATVCMFLAGKVEETPRPLKDVIVVSYEIMHKKDPAAAQRIKQKEVYEQQKELILIGERVVLATLGFDFNVHHPYKPLVEAIKKFKVAQNALAQVAWNFVNDGLRTSLCLQFKPHHIAAGAIFLAAKFLKVKLPSDGEKVWWQEFDVTPRQLEEVSNQMLELYEQNRVPQSQGSEVEGSAGGGSGHRLLTRTPAVSEDHVSKQTSSRAAPEPVCQDINVGPPRNTHTQNNENGSGETESVITDHKVEVETRDNQHHEHVSHKEITREDPNKVRYEAEQIGEEDQKRTAGRNEGAEAGEWRDDAVSRKSSSIVGRNLDLREGPVNQSPKDAIKMIDKDKVKAALEKRKKSRGETTRKKDIMDEDDLIERELEDGVELAAEDEKIKRERRQSWSNNDHAEIGDGNHMIMKGQSSRGLEAEYVEEGEMLDDASPVLNGRKRKGSPAERQSEGKRRHDYIPNHNRDTIEDGHKMGKSGYVDREHRRHSQDNHL >Potri.014G179300.2.v4.1 pep chromosome:Pop_tri_v4:14:13595038:13600974:-1 gene:Potri.014G179300.v4.1 transcript:Potri.014G179300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G179300.v4.1 MGCFQSKTTHLASPDLDPPLPEEAKPDLPANDQPDSQENHDQDQLVPPFREFSLAELRGATNGFSSDLIVSESGEKAPNVVYRGKLKSNNNNSHRLVAVKRFSRLSWPDPNQFLTEASGVGKVRHNRLVNLIGCCAEGDERLLVAEYMPNDTLSKHLFHWEKQPLPWEMRVRVAYYIAQVLDHCNAQNRKIYHDLNAYRVLFDEDGDPRLSSFGLMKNSRDGKSYSTNLAYTPPEFLRTGRVIPESVVYSYGTVLLDLLSGKHIPPSHALDLIRGKNLLLLMDSSLEGQYANEDGTALVELASKCLQYESKDRPDIKFLLTAVAPLQKQKEVASHVLMGLSKTPAALPTMLSPLGKACARMDLTAVHEILLKTGYKDEEGAENELSFQEWTQQVQDMLNTKKFGDIAFRDKDFKNAIEYYTKLVSMMSVPSGTIFVRRALSYLMIGQEEHALRDAMQAQVCLPEWPTAFYMQALALSKLGMESDAQDMLNDGASFEAKKQNSWRI >Potri.001G342100.1.v4.1 pep chromosome:Pop_tri_v4:1:35288342:35288746:-1 gene:Potri.001G342100.v4.1 transcript:Potri.001G342100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G342100.v4.1 MAKGPKFPYHKLKHEGFCDEYEERQRFNIVKSRRWYGFRRVHIRKRFRLKVPSLGRFLRRRVKLVSAVRLSCAKVLKRLKEGQAHFGDLFAGNYLFMQVNPTPLKYFERSYYNNNVLDLNSFPPGYCSLPRIAH >Potri.014G135580.1.v4.1 pep chromosome:Pop_tri_v4:14:9154105:9156058:1 gene:Potri.014G135580.v4.1 transcript:Potri.014G135580.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G135580.v4.1 MAASSGQGCDASVLVNSTANNTAEKDAIPNLSLVIDEIKTQLENTCPGKVSCADILALSARDSVAFQFKNPELWVEEMALSQKLWRPSQTFLPHSSISRRPFKVSRAKELDVLNIMLHAGDTPLEQATATCNNDGRDRPWQLSEFRYCLLRYTKQRKGLFQSDAALLTNRTPNKIVGELLNSNVFFREFAQPMKRMGAIGVLPGTSGEIRKKCSVINS >Potri.004G117750.1.v4.1 pep chromosome:Pop_tri_v4:4:11134409:11134816:1 gene:Potri.004G117750.v4.1 transcript:Potri.004G117750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G117750.v4.1 GVGKLPNHVNVCVFDFWNFPTRELWNLLRALSIRSNLPWVCIGDFNDLLQLEDKKGDNPHLLSLLQGFRNIVEDCNLIDISLMGYPFTWERGKGTPAQVQERLDRALCTNSWQSHYQNVELLNLTVKTSNHNPIYL >Potri.009G095200.1.v4.1 pep chromosome:Pop_tri_v4:9:8592089:8593549:-1 gene:Potri.009G095200.v4.1 transcript:Potri.009G095200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G095200.v4.1 MEILKENKEEEIHVLMVALSSQGYLNPMVRLGKCLVNKGLHVTLATTEFTRHRMLKSSTINPTSSTISISGVQVRFFSDGQSLNYDRMVNYESYKKSLAKFGTINLSNLIKEHFPSNGHKKLSCIITNPFVTWVADVAINHGIPCAMFWIQPCSLYAIYYRFYNKLNSFPTLTDPEMSVELPGLPLLNTEDLPSFVLPSNPYGIFPKLFSEMFQNMKMYKWVLGNSFFGLEKDAIESMADLCPISPIGPLVPPSLLGEDEDHDTGVEMWKAEDTCIEWLNKGAPSSVIYVSFGSLVVLSAKQMECMAKALKNSNSPFIWAVKKPDLQEPDGAGQLPLGFLEETKDQGVVVSWSPQTKVLAHPAIACFITHCGWNSMLETIAAGMPVIAYPKWSDQPTNAKLIVDFFRIGLRLRANQDGIVSNEEVERCVREIMDGPKSVELKSNARELRIAAREAVAGGGSSDKNIQLFVDEIIESCGSIEVCTVP >Potri.002G049400.2.v4.1 pep chromosome:Pop_tri_v4:2:3276005:3282317:-1 gene:Potri.002G049400.v4.1 transcript:Potri.002G049400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G049400.v4.1 MEQYEILEQIGKGSFGSALLVRHKHEKKKYVLKKIRLARQTDRTRRSAYQEMELISKASNPFIVEYKDSWVEKGCYVCIIIGYCEGGDMAEAIKKASGVHFPEEKLCKWLVQLLMALDYLHANHILHRDVKCSNIFLTKDQDIRLGDFGLAKMLTSDDLASSVVGTPSYMCPELLADIPYGSKSDIWSLGCCIYEMAAHKSAFKAFDIQTLINKINKSIVAPLPTVYSGPLRGLIKSMLRKNPELRPSAAELLGHPHLQPYLLKIHLKLNSPRRNTFPVEWSDSTFVKKASFLDPQAVPSFTTREKRRSFNNDRALNPSISGTEQDSPHSSQRDQESPAPIYLNQRFTELSVGVVHEEFGNTAAKFSTYARTPRLMPSKISATPRRQSTPSKISYITSKRDSLPVSCTTVSKSSHTARRASLPFPTRAAAAAAGTQYKASHGLLHSMDSPNVSVNAPRIDKIAEFPLASSEDPLIPIHGTSTSAQFSSSPYSVDCSITKDKCTVQVLERAVSKHRVTDACQRIGSECSEHNPTSGVSSRSSSDSRQQRRFDTSSYQQRAEALEGLLEFSARLLQQERFAELGVLLKPFGPEKVSPRETAIWLAKSFKETAV >Potri.007G015200.2.v4.1 pep chromosome:Pop_tri_v4:7:1129831:1132190:1 gene:Potri.007G015200.v4.1 transcript:Potri.007G015200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G015200.v4.1 MRTLCDACESAAAIVFCAADEAALCLACDEKVHMCNKLASRHVRVGLANPSDVPRCDICENAPAFFYCETDGSSLCLQCDMTVHVGGKRTHGRYLLLRQRVEFPGDKPQPDDLHSQPMHPGETRKGQNQPPKATAEEKRQNRQVSPAPMSLSNSDGHDKVDKKMIDLNMKPQRTDHEQASNNQEL >Potri.017G113200.1.v4.1 pep chromosome:Pop_tri_v4:17:12063330:12068600:-1 gene:Potri.017G113200.v4.1 transcript:Potri.017G113200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G113200.v4.1 MATESSVIAWGSGEDGQLGIGNNEEKEWVCIVKALEPYKVRSVVAGSRNSLAICDDGKLFTWGWNQRGTLGHPPETKTENIPSQVKALANVNIVQAAIGGWHCLAVDDQGRAYAWGGNEYGQCGEEPERKDDTGRPLRRDIVIPQRCASKLVVRQVAAGGTHSVVLTREGHVWSWGQPWPPGDIKQISVPVRVQGLERVRLIAVGAFHNLALQEDGTLWAWGNNEYGQLGTGDTQPRSQPITVQGLSGLTLVDIAAGGWHSTALTDDGEVYGWGRGEHGRLGFGDNDKSSKMVPQRVNLLVGEDIVQVSCGGTHSVALTRDGRMYTFGRGDHGRLGYGRKVTTGQPMEVPIDIPPPKNLTDSGDAGRWIAKLVASGGRHTLAIVEWHTGDSK >Potri.002G181600.2.v4.1 pep chromosome:Pop_tri_v4:2:14439295:14440697:1 gene:Potri.002G181600.v4.1 transcript:Potri.002G181600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181600.v4.1 MWPGSFDQSQQDSKTQEPSLNFDKKLELMELSLGNNNETERTSADAVVGARESIEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSSSNEKGLILNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDIVSFQRGVGESGKHRLFIDWRRRPNAPDPTTFSHLELQNQQHYPQSVRWGRLYSIPQSNLSMQQPQLRHLNYSIHPYQQQQYQNRNHQNHYHQPSTISYGTSAQYYLRPPETLQIGAMHQQAGGSHVPLVIDSVPVVHGKTAGKRLRLFGVNMDCPTQDDGQSSSITMTHGTMGSFSSHLASSSLPPPLQLRAPTSAPMQAEFSKKGKNSLSFDLDL >Potri.002G181600.3.v4.1 pep chromosome:Pop_tri_v4:2:14439428:14442755:1 gene:Potri.002G181600.v4.1 transcript:Potri.002G181600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181600.v4.1 MFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSSSNEKGLILNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDIVSFQRGVGESGKHRLFIDWRRRPNAPDPTTFSHLELQNQQHYPQSVRWGRLYSIPQSNLSMQQPQLRHLNYSIHPYQQQQYQNRNHQNHYHQPSTISYGTSAQYYLRPPETLQIGAMHQQAGGSHVPLVIDSVPVVHGKTAGKRLRLFGVNMDCPTQDDGQSSSITMTHGTMGSFSSHLASSSLPPPLQLRAPTSAPMQAEFSKKGKNSLSFDLDL >Potri.002G181600.1.v4.1 pep chromosome:Pop_tri_v4:2:14438891:14440685:1 gene:Potri.002G181600.v4.1 transcript:Potri.002G181600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G181600.v4.1 MNFVEGERGDFDKEEQGEEKQEGEEAVKTSKLLFSSPCTSSSSTKYTNFLPEHHNMWPGSFDQSQQDSKTQEPSLNFDKKLELMELSLGNNNETERTSADAVVGARESIEREHMFDKVVTPSDVGKLNRLVIPKQHAERYFPLDSSSNEKGLILNFEDRNGKPWRFRYSYWNSSQSYVMTKGWSRFVKEKRLDAGDIVSFQRGVGESGKHRLFIDWRRRPNAPDPTTFSHLELQNQQHYPQSVRWGRLYSIPQSNLSMQQPQLRHLNYSIHPYQQQQYQNRNHQNHYHQPSTISYGTSAQYYLRPPETLQIGAMHQQAGGSHVPLVIDSVPVVHGKTAGKRLRLFGVNMDCPTQDDGQSSSITMTHGTMGSFSSHLASSSLPPPLQLRAPTSAPMQAEFSKKGKNSLSFDLDL >Potri.018G088300.1.v4.1 pep chromosome:Pop_tri_v4:18:10693692:10699858:-1 gene:Potri.018G088300.v4.1 transcript:Potri.018G088300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G088300.v4.1 MGKVAVGAAVVCAATVCAAAALVVRHRMRCSGRWARAMAILREFEENCGTPIGKLRQVADAMTVEMHAGLASEGGSKLKMLISYVDNLPSGEENGLFYALDLGGTNFRVIRVLLGGRDGGVVKQEFEEVSIPPHLMTGSSDALFGFIATALANFVATESEGLHCSPGRQRELGFTFSFPVRQTSIASGNLIKWTKGFSIDDVVGEDVVGELTKAMERIGLDMRVSALVNDTIGTLAGGRYHNPDVIAAVILGTGTNAAYVERAQAIPKWHGLLPKSGEMVINMEWGNFRSSHLPLTEYDQDLDVESLNPGEQIFEKIISGMYLGEIVRRVLLKMAEEAAFFGDIVPQKLKIPFILRTPHMSAMHHDESSDLRVVGSKLKDILEIPHTSLKMRKAIVELCDIVATRGARLSAAGIVGIIKKLGRDTVKDGEKQKSVIAMDGGLYEHYSKFSTCMESTLKELLGEEVSDNIVVEQSNDGSGIGAALLAASHSQYLEVEES >Potri.001G129400.2.v4.1 pep chromosome:Pop_tri_v4:1:10584489:10586560:-1 gene:Potri.001G129400.v4.1 transcript:Potri.001G129400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G129400.v4.1 MTDPTRRHHANASSRIKKRKPEATECSISRLPDDMLRVIFCKLPIGMLLNDCRHVCKSWGAILLDPDFGNLYLSLKEPSTLFLCMKDYTTLHWLEFQGEQCFFNPVANFIKKYQFVNSCNGLLYLREYRSRNPYFDDLVMYILNPVTGEYMCVPPAEVGRDLETGLSGLGFSPQTNEFKAIRIYKLDVKNDLQADVYTLGNGSWKTVGTAPDILESCLFYHWGYMKAFVNGAFHWLLVDMDDDLDQIITFDFETEQFGSIALPEIVEFEGYQILNLGVLGDSIYFCGHDKIESAESKEIWVMRQYGVVESWTKMFVIENEVGVQNPFIFRAIAVFDNGDVLLLWDKTLVWYDQETESYTLLSIEGRAIVHELRFALLKDDAKGDGPKVSRV >Potri.007G004200.1.v4.1 pep chromosome:Pop_tri_v4:7:299947:302548:-1 gene:Potri.007G004200.v4.1 transcript:Potri.007G004200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G004200.v4.1 MMALPNSIPCLVQSQKIPTLVFAISTLFLYANSLSFNFTSFSPNMANIFFQGDAFSSSDVLQLTKNAKDINLTGSAGRASYYKPVRLWDAKTRRLTDFTTHFTFVMKAVDSGRYGDGMSFFIAPLDSPIPQDSSGGLLALFSPHTALSASKENQIVAVEFDSKKDIEWDPSDNHVGIDVNSIVSVASVDWKSSIKTGSKANAWVSYNSTTKNLSVFLTYAENPEFGGNSTLHYIIDLREFLPEWVRIGFSASTGDWVEIHNILSWTFESSLEVSDKKKTGLVVGLAVGIGVLTTFGIGVLCFVLCWRKNRTRCEKDNEAIDVSMDDEFEKGTGPKRFTYRELIRATNNFAEGGKLGEGGFGGVYKGLLNEGTNTEVAVKRVSRGSKQGKKEYVSEVKIISRLRHRNLVQLIGWCHERGELLLVYEFMLNGSLDSHLFGGQVMLVWNLRYKIALGLASALLYLHEEWEQCVVHRDIKSSNVMLDSNFNAKLGDFGLARLVDHELGSQTTVLAGTMGYLAPECVTTGKASKESDVYSFGVVALEITCGRRPVETRQEPSKVRLVEWVWNLYGEGQLLDAVDKMLCTDFDERQMECLMIVGLWCCHPDYTLRPSIRQVINVLNFEAPLPTLPSKLPVPMYYAPPMSMCKFSYTSSGITADSERYQTQCSCSSCSTNSSMLSAGPTKALLNTRKSDI >Potri.006G273050.1.v4.1 pep chromosome:Pop_tri_v4:6:26555102:26555607:-1 gene:Potri.006G273050.v4.1 transcript:Potri.006G273050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G273050.v4.1 MRSRFGVHHAIILLFSINGFVNGGENEADQEALLEFKTKITSDPLGIMNLWNTSAQFCQWYGVKCSPKHQRVTVLDLNSQLL >Potri.017G071100.1.v4.1 pep chromosome:Pop_tri_v4:17:7722823:7726261:1 gene:Potri.017G071100.v4.1 transcript:Potri.017G071100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G071100.v4.1 MAKSCVDLPAKGGFSFDLCKRNAMLSDKGLKLPPFRKTGTTIVGLVFQDGVILGADTRATEGPIVCDKNCEKIHYMAPNIYCCGAGTAADTEAVTDMVSSQLQLHRYHTGRESRVVTALTLLKKHLFNYQGHVSAALVLGGVDCTGPHLHTIYPHGSTDTLPFATMGSGSLAAMAVFESKYKEGLSRDEGIKLVSEAVCSGIFNDLGSGSNVDVCVITKGHKEYLRNHMLPNPRTYVTERGYSFPKKTEVLMTKITPLRERVEVIEGGDAMEEE >Potri.007G020900.1.v4.1 pep chromosome:Pop_tri_v4:7:1601694:1604860:-1 gene:Potri.007G020900.v4.1 transcript:Potri.007G020900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G020900.v4.1 MDISSSTETKLATAKIVLSTAASVAATAMLARSIAQDFMPHEFQAYFFYKIRNFFGRFSSQLTMVVDEFDGYTYNEIYGAAETYLGSKISPSTQRLKVSKPEKENEFTVKMDRNEEIVDIFQDVKFKWALVCTHVDSKDHYNSFNHTATLRSEVRSFEVSFPKEHKEMVLESYFPYIVKVAKSMVQEKKTLKIFTVDYEHMYGNLADAWKPVNLDHPATFDTLALDTKDKDKILEDLERFVKRRDYYRKVGKAWKRGYLLYGPPGTGKSSLIAAMANYLNFDIYDLELTEVRCNSDLRKVLIATANRSILVVEDIDCTIELQDRIAEERATPGLGYPPQKQVTLSGLLNFIDGLWSSCGDERIIVFTTNHIEKLDPALLRPGRMDVHVHMSYCTPCGFKFLAANYLGIKDHVLFEEIEELIKTAEVTPAEVAEQLMRSDELETVLKELIEFLVDKKKEKEEKAMAKMNEKESRVDKEEENVEKIDKEEENEEEN >Potri.007G038500.1.v4.1 pep chromosome:Pop_tri_v4:7:3096031:3097326:1 gene:Potri.007G038500.v4.1 transcript:Potri.007G038500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038500.v4.1 MSTSSKSSPVSYDTVRDVFLWRRKKLSLLVLLVSTATWVSLDVYQFNLITVASWAAMFAVTSLFLYGNIARFLRKEEPDLSGLEISEQTAIEAARSVRQSIEEGVRWMCHVSAERELFLFARVVAALWLLSYVGSFWDSLSLLYIDIVVGMTVPVIYVKNEDKIKRYEEWMRMQARRLCDMVDEKVVKRMKNRVLKVKEKRVD >Potri.007G038500.5.v4.1 pep chromosome:Pop_tri_v4:7:3096109:3097310:1 gene:Potri.007G038500.v4.1 transcript:Potri.007G038500.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G038500.v4.1 MSTSSKSSPVSYDTVRDVFLWRRKKLSLLVLLVSTATWVSLDVYQFNLITVASWAAMFAVTSLFLYGNIARFLRKEEPDLSGLEISEQTAIEAARSVRQSIEEGVRWMCHVSAERELFLFARVVAALWLLSYVGSFWDSLSLLYIGNNLCITVTRMNTQAS >Potri.003G168100.2.v4.1 pep chromosome:Pop_tri_v4:3:17703258:17704302:-1 gene:Potri.003G168100.v4.1 transcript:Potri.003G168100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G168100.v4.1 MSQRKLNSGQREKRSFMRGKCFLFSILRKTLSHEAPFLCLVFISKISVSLFSDNIHTSKPLSNIKMGKVVSLAEAAKGSFVFQQGKPCPCQARQHRLMSNCLSCGKIVCEQEGEGPCSFCGALVLKEGSTYDGLEESTAPTSDAKFAAEAYAKRLVEYDRNSAARTTVIDDQSDYYEIEGNNWLSNEEKQLLRKKQEETEEAELAKRSKVVVTFDLVGRKVSNF >Potri.005G212266.1.v4.1 pep chromosome:Pop_tri_v4:5:21606381:21607610:1 gene:Potri.005G212266.v4.1 transcript:Potri.005G212266.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212266.v4.1 MAVKVRLSLAVLVFSLLALCVGIAKANKDPELKVCEHQCKEQLGYDEREVEKCLRDCTEEHFRRKEERERETRGTEEEDDDEWRSFMVDPAKKKPGQCLEECQRQEGGKQKSLCRLRCQEKYEREPGREEEGNMEEKEEAGNPYVFEDRHLKSEVETEHGRVRVLQKFTKKSKLLRGLENIRVAIIEANPQTFIAPTHLDAGFVLFVAKGRGAITLIHEEDKQTFNLERGDVFGVPAGTTFYMVNKDENEKLRVAKILWPVNLPGNFKAFHGAGGEDAESFFRAFSWELLEAALKVSAD >Potri.007G134400.1.v4.1 pep chromosome:Pop_tri_v4:7:14691374:14692224:1 gene:Potri.007G134400.v4.1 transcript:Potri.007G134400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G134400.v4.1 MGISASKRVKTTLSNSQEFNLACDSTFTHCLSLTQHAFQGILPYQLPTATTYLHTTLTTTAAAAPLILKWVPSPPTRAQIDWALRMVTNRKPGAAQEEKGELILEHAKFREWALVLFTEAAVGNAGKAILGRLPLGVAGIVGVGAVVKGGKEVVGAAIGVYALGVVTSVYLSLSG >Potri.005G239400.1.v4.1 pep chromosome:Pop_tri_v4:5:23656473:23659080:-1 gene:Potri.005G239400.v4.1 transcript:Potri.005G239400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G239400.v4.1 MSPIAAMDVCQNASVKNFEAAGSIFEIDSEFLRLSDKPRPVNVERKRSFDERSFSENSFRIIDHLENLSPAGRRSGFNTPRSCGFESHPMVVDAWESLRRTLVYFRSQPVGTIAALDHSVEELNYDQVFVRDFVPSALAFLMNGEHEVVRNFLLKTLHLQSREKMVDQFKLGAGVMPASFKVLHHPDRNIETLMADFGESAIGRVAPVDSGFWWIILLRAYTKSTGDSSLAEMPECQRGMRLILNLCLSEGFDTFPTLLCADGCCMIDRRMGVYGYPIEIQALFFMALRCALILLKQDDEGKEFVDRVATRLHALSYHMRNYFWLDMKQLNDIYRYKTEEYSHTAVNKFNVMPDSLPDWVFDFMPTRGGYFIGNVSPARMDFRWFCLGNCVAILSSLATPEQASAIMDLIESRWEELVGEMPLKICYPALESHEWRTVTGCDPKNTRWSYHNGGSWPVLLWLLTAACIKTGRPQIARRAIELAESRLSKDHWPEYYDGKLGLYVGKQARKFQTWSIAGYLVAKMMLEDPSHLGMISLEEDKQITHLVKRSASWTC >Potri.013G092801.1.v4.1 pep chromosome:Pop_tri_v4:13:9509266:9510673:1 gene:Potri.013G092801.v4.1 transcript:Potri.013G092801.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G092801.v4.1 MATLRKTISPYDITSNDNPGSLLTQVQLKGENYDEWARALKIALRARKKFGFVDGFIERPDEESPDLEDWAELAECKQRGLTIVAYFGKLKKLWEELANFEQMPMCKCGLCTCNLGVALEKKREEEKAHQFLMGLDEIVYGTVRSNLLAQDPLPNLNRLYSTLVQEERVRIISRGKEERDEVMSFAVQAEFKSRNKNEGKDKNVVCNHCNRTRHESDSCFQLIGYPDWWGDRARGTGRGKGGQRGMTPVGRGRGGLVTPVGRGRGGLVKANATQVSYTDRSDTNGLSDEQWQTLLNILNNTKTGATEKLTGPHFEDGD >Potri.013G041700.1.v4.1 pep chromosome:Pop_tri_v4:13:2873940:2875125:1 gene:Potri.013G041700.v4.1 transcript:Potri.013G041700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G041700.v4.1 MKRLSLFMVFLLCLAATAIAQNCGRQAGGQTCANNLCCSQWGYCGTSDDHCNPSKNCQSNCRSSGSGGGTGGGGESASNVRATYHYYNPDQNGWDLNAVSAYCSTWDANKPLAWRRKYGWTAFCGPVGPRGQASCGKCLRVTNTGTGAQVTVRIVDQCSNGGLDLDAGVFRQIDTDGRGNAQGHLIVNYQFVNCGD >Potri.002G230900.1.v4.1 pep chromosome:Pop_tri_v4:2:22244587:22247082:-1 gene:Potri.002G230900.v4.1 transcript:Potri.002G230900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230900.v4.1 MVFLLLLKKGAGCSNFLGAFRTGYNYQQKFSLVNVKLKWVKDRVLDAVVADQADLKAACILVSIISSARGCCLPIYRLRLHRGQLGLPHDLKLSTFIRRYPNIFCESHVLDSGGTRVPCFQLTAEALDLRREELGILEQNQIDLVERLCKLLMLTRDRTLPLQTIDQLRWDMGLPYDYFDSLIPLHPDLFSSVRLPDDRVGLKLQVWDDRLAVSQLQKNAAFQQKEEDMKNGCLAFPVGFTRGFGLKRKCMEWLEEWQRLPYTSPYSDASDLDPRTDVSEKRIVGVFHELLHLTVHKRTERKNVSNLRNPLSLPQKFTRVFERHPGIFYISKKSDTQTVVLREAYDRQQLIHKHPLVDIRGKYASMMRKGFLDRSRGLYKKTASSGPEESMIVHGDEPTDSCEEDLGCDLLSE >Potri.002G230900.2.v4.1 pep chromosome:Pop_tri_v4:2:22244612:22247029:-1 gene:Potri.002G230900.v4.1 transcript:Potri.002G230900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G230900.v4.1 MVFLLLLKKGAGCSNFLGAFRTGYNYQQKFSLVNVKLKWVKDRVLDAVVADQADLKAACILVSIISSARGCCLPIYRLRLHRGQLGLPHDLKLSTFIRRYPNIFCESHVLDSGGTRVPCFQLTAEALDLRREELGILEQNQIDLVERLCKLLMLTRDRTLPLQTIDQLRWDMGLPYDYFDSLIPLHPDLFSSVRLPDDRVGLKLQVWDDRLAVSQLQKNAAFQQKEEDMKNGCLAFPVGFTRGFGLKRKCMEWLEEWQRLPYTSPYSDASDLDPRTDVSEKRIVGVFHELLHLTVHKRTERKNVSNLRNPLSLPQKFTRVFERHPGIFYISKKSDTQTVVLREAYDRQQLIHKHPLVDIRGKYASMMRKGFLDRSRGLYKKTASSGPEESMIVHGDEPTDSCEEDLGCDLLSE >Potri.004G211800.8.v4.1 pep chromosome:Pop_tri_v4:4:21828384:21830643:-1 gene:Potri.004G211800.v4.1 transcript:Potri.004G211800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211800.v4.1 MQRRFLYLLSTDLLYNSQLNGSLQLKHWASSAEASSSRSKPILCRSSDSKYSHLFQATSPVSVLESSGSSCPTENATTYYPKFVTPVKRPRSKLPRLRRHTFPFIPTACASKKFYCSASSDPELEYYNDEEILDSSRKQQKKRNLMLLSSAVEMAPKMKQPVETRRCTHCQVTKTPQWREGPLGPKTLCNACGVRYRSGRLLPEYRPAASPTFVPFLHSNSHRKVLEMRKQT >Potri.004G211800.3.v4.1 pep chromosome:Pop_tri_v4:4:21827291:21832141:-1 gene:Potri.004G211800.v4.1 transcript:Potri.004G211800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211800.v4.1 MKEGMSKSWFFDKDFSGVPDNFFEDTLGCFDFPLEDVEPNGDDGEDWESKFRHLEPPSSNLLTTFSTALCGEDASSLEPNYNSCSVLLNGSLQLKHWASSAEASSSRSKPILCRSSDSKYSHLFQATSPVSVLESSGSSCPTENATTYYPKFVTPVKRPRSKLPRLRRHTFPFIPTACASKKFYCSASSDPELEYYNDEEILDSSRKQQKKRNLMLLSSAVEMAPKMKQPVETRRCTHCQVTKTPQWREGPLGPKTLCNACGVRYRSGRLLPEYRPAASPTFVPFLHSNSHRKVLEMRKQT >Potri.004G211800.1.v4.1 pep chromosome:Pop_tri_v4:4:21828000:21832118:-1 gene:Potri.004G211800.v4.1 transcript:Potri.004G211800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211800.v4.1 MKEGMSKSWFFDKDFSGVPDNFFEDTLGCFDFPLEDVEPNGDDGEDWESKFRHLEPPSSNLLTTFSTALCGEDASSLEPNYNSCSVLLNGSLQLKHWASSAEASSSRSKPILCRSSDSKYSHLFQATSPVSVLESSGSSCPTENATTYYPKFVTPVKRPRSKLPRLRRHTFPFIPTACASKKFYCSASSDPELEYYNDEEILDSSRKQQKKRNLMLLSSAVEMAPKMKQPVETRRCTHCQVTKTPQWREGPLGPKTLCNACGVRYRSGRLLPEYRPAASPTFVPFLHSNSHRKVLEMRKQT >Potri.004G211800.4.v4.1 pep chromosome:Pop_tri_v4:4:21826115:21832141:-1 gene:Potri.004G211800.v4.1 transcript:Potri.004G211800.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G211800.v4.1 MKEGMSKSWFFDKDFSGVPDNFFEDTLGCFDFPLEDVEPNGDDGEDWESKFRHLEPPSSNLLTTFSTALCGEDASSLEPNYNSCSVLLNGSLQLKHWASSAEASSSRSKPILCRSSDSKYSHLFQATSPVSVLESSGSSCPTENATTYYPKFVTPVKRPRSKLPRLRRHTFPFIPTACASKKFYCSASSDPELEYYNDEEILDSSRKQQKKRNLMLLSSAVEMAPKMKQPVETRRCTHCQVTKTPQWREGPLGPKTLCNACGVRYRSGRLLPEYRPAASPTFVPFLHSNSHRKVLEMRKQT >Potri.017G109700.1.v4.1 pep chromosome:Pop_tri_v4:17:11762820:11767537:1 gene:Potri.017G109700.v4.1 transcript:Potri.017G109700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G109700.v4.1 MASKGRIPPPHLRRPLPGSGIVHPDSFGSGLRLPQGPFPPFEMLPPPEVMEQKIAAQHGEMQRLATENQRLAATHGTLRQELAAAQHELQLLHAHIGAVKAEREQQMRGLVDNIGKMETELKDAEPVRLELQQARVEAENLLVTRQELVSKIHQLSQDLHRAQVDVQQIPALMSELEGLRQEYQRCRVSYDYEKKLFHDHLEQLQTMEKNYITMSRELEKLRSELTKTANVDIRNVAGGPYGGPAGNESEASGHPAGKNIYEDGYGIPQSQGHPALHNSGAANIGAVGNASAGTATPYAGAQSGAAPSKPGYEAPRGPAYEPPKVPGYDASRLPGYDMQRGHGYDAQRGPGYDAQRVPGYDPQRLAVYDIQRGHAYDAQRGQGYDAQRLPNYHASRGAGYDAAASRGAAGPQGHVAPPANNMPYGSATPPTHSGSGYEAPARGVAGPHGQMAAGNNMPYGSATPPTRSGSVYEASARAPNPARR >Potri.014G159000.1.v4.1 pep chromosome:Pop_tri_v4:14:11266060:11267855:1 gene:Potri.014G159000.v4.1 transcript:Potri.014G159000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G159000.v4.1 MEFPVISMEKLNGEERAATMEKIKDACENWGFFELLNHGISHEFLDTVERMTKEHYKKCMEQRFKELVASKALDGVQTEIKDLDWESTFQLRHLPKSNIAEIPDLDDEYRKVMKEFALKLEKLAEELLDLLCENLGLEKGYLKRAFYGSNGSPTFGTKVSNYPPCPKPDLVKGLRAHTDAGGIILLFQDDKVSGLQLLKDGQWIDVPPMRHSIVVNLGDQLEVITNGKYKSVEHRVIAQTDGTRMSVASFYNPGSEAVIYPAPALVEKEAEEKKNVYPKFVFDDYMKLYAGLKFQAKEPRFEAMKAVETTVNLGPIATA >Potri.009G038700.1.v4.1 pep chromosome:Pop_tri_v4:9:4721820:4722729:-1 gene:Potri.009G038700.v4.1 transcript:Potri.009G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G038700.v4.1 MDPDHHLPGMSPPPSTPMNGTDGMDHKMMTHMTFFWGKDTLILFSGWPGTSTGMYVLALVFIFVLAVLVEWLSHCRLVKPGSNNVAAGLIQALMHAVRVGLAYMVMLAVMSFNGGVFIVAVAGHLVGFFIFGSRVFKDTEMPPYHKTSDLPPTSN >Potri.007G047600.1.v4.1 pep chromosome:Pop_tri_v4:7:4383947:4386986:1 gene:Potri.007G047600.v4.1 transcript:Potri.007G047600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G047600.v4.1 MALAISTLLFLFFTVSSALDMSIFNHDDNHLSHDQSSWRSDDEVMSIYKWWLQKHGKAYNRLGEKAKRFEIFKNNLRFIDEHNSQNRTYKVGLTKFADLTNQEYRAMFLGTRSDPKRRLMKSKNPSERYAYKAGDKLPESVDWRGKGAVNPIKDQGSCGSCWAFSTVAAVEGINQIVTGELISLSEQELVDCDRFYNAGCNGGLMDYAFQFIINNGGLDTEKDYPYLGNDDTCDRDKMKTKAVSIDGFEDVLPFDEKALQKAVAHQPVSVAIEASGMALQFYQSGVFTGECGTALDHGVVVVGYGTEKGLDYWLVRNSWGTEWGEHGYIKMQRNVRDTYTGRCGIAMESSYPVKNGQNTAKPYLADESAGEKISSI >Potri.014G161800.3.v4.1 pep chromosome:Pop_tri_v4:14:11619427:11622241:1 gene:Potri.014G161800.v4.1 transcript:Potri.014G161800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161800.v4.1 MESKAAKRGECDLQQSIIGGGLQSKSEEMRGVSLEGEKVILVPYMEAHVPKYHHWMQDPFLLQATGSELLSLQDEYQMQLSWTQDPLKRTFIVLDKEMIQAGFVHGDPHVEAMVGDVNIFMNDVDDPQVAEIEIMIAEPKSRGKGLGKESVLMMMAYAVRDLGIHVFRAKIGESNGSSLNMFCNLGFQETSRSEIFKEVTLELPMTQPKCEELLKLIDNVVTHV >Potri.014G161800.7.v4.1 pep chromosome:Pop_tri_v4:14:11620005:11622047:1 gene:Potri.014G161800.v4.1 transcript:Potri.014G161800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G161800.v4.1 MVFFLNVFLFKGLIVYPFAAMVGDVNIFMNDVDDPQVAEIEIMIAEPKSRGKGLGKESVLMMMAYAVRDLGIHVFRAKIGESNGSSLNMFCNLGFQETSRSEIFKEVTLELPMTQPKCEELLKLIDNVVTHV >Potri.015G110200.1.v4.1 pep chromosome:Pop_tri_v4:15:12756029:12757765:1 gene:Potri.015G110200.v4.1 transcript:Potri.015G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:PRX80 MKAEAAFLTLALGFISVNFTGFCFGALQVGFYRGKCGFADVEAIVAGVITAQFFRDPSTVAALLRLQFHDCFVNGCDASILVDGSNSEKTAIPNLSVRGYEIIDQAKAAVENACPGVVSCADVIAIATRDVVFLSGGGRYDVQTGRRDGLVSAAKNVSLPGPAISVPEAIAAFSDKGLTVTEMVLLLGAHSVGIAHCSFIKDRLFNFENTGRPDPSMDPSLENILRSRCPPFATVDNTVNLDQNSFSPFTISNTYYQTVMLHRGILQIDQDLGTDPLTMPVVKNLANAFDFPARFGAAMVKLGAIGVLTGTQGEIRRSCRATNR >Potri.012G142000.1.v4.1 pep chromosome:Pop_tri_v4:12:15365169:15368828:1 gene:Potri.012G142000.v4.1 transcript:Potri.012G142000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G142000.v4.1 MGVLIFQVLIFSFLGSFFNVIWRILFSCWILPGRAHMKLKKNGFRGPTPNFPLGNHREIKRISREATVSSSSSSGSSKISNDIHSSVFPYFSQWQKSHGKVFIYWLGTEPFLYIADPDFLKEMTSGVMGKSWGKPRVFKHDREPMFGNGLVMAEGDEWVRHRHVITPAFSPANLKAMSSLMVESTTKMLDKWTGLINSGNHEIDVEREITATAGEIIAKASFGISYENGSKVFEKLRAMQITLFKSNRYVGVPFSKLISPKKTIEAKRLGNEIDALLLSIIKAHKNSNDGCPQKDLLGLLLQEDHVNGMSGKMLTTRQLVDECKTFFFGGHETTALALSWTLLLLAMHPEWQNKLREEIREVTGDKEIDFTKVAGLKKMGWVMNEVLRLYPPSPNVQRQARADIQVNDLLIPNGTNMWIDVVAMHHDPKLWGEDANEFKPERFKDDLYGGCRHKMGFLPFGFGGRMCIGRNLTMMEYKIVLTLILTRFSFSVSPTYSHSPAILLSLRPGNGLQLILQPI >Potri.001G189700.5.v4.1 pep chromosome:Pop_tri_v4:1:17162358:17168883:1 gene:Potri.001G189700.v4.1 transcript:Potri.001G189700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G189700.v4.1 MMSLNRSIFLSNAGISSSSSPFTGGKRGSVPSVPSSLRVVAVNSNRDRNGSVLTETTRKDVRDGAASSSSVIDVDSKSTVAPGGPHDVYGEDAATEEQVVTPWFVSVASGYSLLRDPHHNKGLAFTDKERAAHYLRGLLPPAVVSQELQVKKLMHIIRQYQVPLQKYMAMMDLQESNEKLFYKLLIDNVEEMLPIVYTPTVGEACQKYGSIFGRPQGLYISLKEKGCIHEVLRNWPEKNIEVIVVTDGERILGLGDLGCQGMGIPVGKLALYTALGGVRPSACLPVTIDVGTNNENLLNDEYYIGLRQRRATGQEYAELLHEFMSAVKQTYGEKVLIQFEDFANHNAFDLLAKYSTTHLVFNDDIQGTASVVLAGLVAALKVVGGTLADHTFLFLGAGEAGTGIAELIALEISKQTNMPVEETRKKVWLVDSKGLIVSSRRESLQHFKKPWAHEHEPVKTLLDAVNDIKPTVLIGTSGVGKTFTKEVIEAMASFNEKPIILSLSNPTSQSECTAEEAYTWSQGRAIFASGSPFAPFEYEGKTFMPGQANNAYIFPGLGLGLIMSGAIRVHDDMLLAASEALAAQVTQENFDKGLIYPPFKNIRKISAEIAAKVAAKAYELGLATRVPPPRDLVKHAESCMYSPAYRSYR >Potri.006G207800.1.v4.1 pep chromosome:Pop_tri_v4:6:21578912:21582897:-1 gene:Potri.006G207800.v4.1 transcript:Potri.006G207800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G207800.v4.1 MPRQKGSATMEELLPGKIRKRVCSSSASSSSSIIQNYRFKRAILVGKRGGSSTPVPTWKLMGKRTPSSTLRALESSPKSLNGKAKQQQAPVSARKLAATLWEMNEMPSPQMKEEIVEERRLRKEGRGRERRPVHSGSLPPHLSDPSHSPVSERIDRSGTGSCHRRTSSISQKLRLMDQSIGAFDSVSNASLMEIETRSQAQTPSGSTVGVKPRLKDVSNALTTSKELLKIINRVWGNEDRPSSSLSLISALHAELERARLQVNHFIQEQCSDQNEINYLLKCFAEEKAAWKNKEQKVVEAAIESIAGELDVEKKLRRRFESLNKKLGKELAETKASLLKAVKELESEKRARVVMQKVCDELARDIGDDKAEVEELKRESAKLCEEVEKEREMMQLADVLREERVHMKLSEAKYQLEEKNAAVDKLQNQLEAFLGTKRTKEKGRSSSNYMNDEEIAAYLSKNRFVSHQSEINEEDGEVDDGVICEEGSAESDLHSIELNMDNNNKSYKWTTYPSGTPRDVRKAAIDEEDIKGRKSTSSKLPRRSTSLQRSISDGVEWDTRNERVPFAGDGIDWGRFSGLERQGQGKVYGDEMHGHQSVKGLRDYLLSGSRLDSPRGYASPMRQAGQLRSSLDPSNLAQERPPVIPGNVSKSRLSEAKAEGMNLRKSKW >Potri.017G145900.1.v4.1 pep chromosome:Pop_tri_v4:17:14626642:14628841:1 gene:Potri.017G145900.v4.1 transcript:Potri.017G145900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G145900.v4.1 MISIFLILGSLVSTLAGASTEYQAINCRKHSAVLTDFGGVGDGKTSNTKAFKAAIAELSQYASDGGAQLIVPPGKWLTGSFNLSSHFTLFLHKDAVLLASQDEAEWPAFPPLPSYGVGRDEHAGRFSSLIFGTHLTDVVVTGNNGTIDGQGAVWWDKFHQKKLKLTRPYLIEFLYSDQVQISNLTLINSPSWNVHPTYCSNVLIQWLTILAPVDSPNTDGINPDSSSNVRIEDSFVVSGDDCIAVKSGWDEYGIKFGRPTQHLVIRRFTCISPDSATIALGSEMSGGIQDVRAEDITALSTQSGVRIKTAVGRGAYVKDIFVRRMTLKAMKYAFWMTGSYGSHPDTGYDPKALPEIKGISYKDIVAENVTYSARLEGIENDPFTGICISNVNISLTQKPKELQWNCTDIQGVSSKVTPQPCAALLEKSIECPFPEDRLPIEDVKLQTCSASS >Potri.007G043900.2.v4.1 pep chromosome:Pop_tri_v4:7:3776547:3778020:-1 gene:Potri.007G043900.v4.1 transcript:Potri.007G043900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043900.v4.1 MSLPNPHPPRPPPPSPPEEQIEYRPPIRKQQQNHRLLGPSPLYKQHSWSPDIDRDEAWLRRKGSYKKKKSKSVTDEDLDELKGCIELGFGFDSPEMDRRLSDTFPALELYYAVNKNYYDHSASKPVVTATPSSSTASDCDSPSPLGSPHTIFGPGENPQTVKTRLRQWAQVVACSVRQSSSSS >Potri.007G043900.3.v4.1 pep chromosome:Pop_tri_v4:7:3776132:3778014:-1 gene:Potri.007G043900.v4.1 transcript:Potri.007G043900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043900.v4.1 MSLPNPHPPRPPPPSPPEEQIEYRPPIRKQQQNHRLLGPSPLYKQHSWSPDIDRDEAWLRRKGSYKKKKSKSVTDEDLDELKGCIELGFGFDSPEMDRRLSDTFPALELYYAVNKNYYDHSASKPVVTATPSSSTASDCDSPSPLGENPQTVKTRLRQWAQVVACSVRQSSSSS >Potri.007G043900.4.v4.1 pep chromosome:Pop_tri_v4:7:3776669:3778002:-1 gene:Potri.007G043900.v4.1 transcript:Potri.007G043900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G043900.v4.1 MSLPNPHPPRPPPPSPPEEQIEYRPPIRKQQQNHRLLGPSPLYKQHSWSPDIDRDEAWLRRKGSYKKKKSKSVTDEDLDELKGCIELGFGFDSPEMDRRLSDTFPALELYYAVNKNYYDHSASKPVVTATPSSSTASDCDSPSPLGSPHTIFGPGIFSIYIISIYILIIEFDRNTFTIF >Potri.006G127000.2.v4.1 pep chromosome:Pop_tri_v4:6:10205399:10210395:-1 gene:Potri.006G127000.v4.1 transcript:Potri.006G127000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G127000.v4.1 MGYVVIVSLPVILFILIVALAFYLLGRARGRSEAARIPQYHGPPAPPAPPFQPQPPPQEKPSPSHV >Potri.013G101300.4.v4.1 pep chromosome:Pop_tri_v4:13:10983705:10990043:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRQRMPIWL >Potri.013G101300.11.v4.1 pep chromosome:Pop_tri_v4:13:10983717:10990022:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRHIDARMHGLLSHDNCVLFSVAWTNTMPSRTDRGCRFGFESLAGRGN >Potri.013G101300.3.v4.1 pep chromosome:Pop_tri_v4:13:10983705:10990092:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRSCDGFGQRSLGLSGHHSLPESRESESQRPKVVLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRQRMPIWL >Potri.013G101300.9.v4.1 pep chromosome:Pop_tri_v4:13:10983718:10990021:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRSCDGFGQRSLGLSGHHSLPESRESESQRPKVVLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRHIDARMHGLLSHDNCVLFSVAWTNTMPSRTDRGCRFGFESLAGRGN >Potri.013G101300.16.v4.1 pep chromosome:Pop_tri_v4:13:10983717:10990022:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.16.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRQRMPIWL >Potri.013G101300.7.v4.1 pep chromosome:Pop_tri_v4:13:10983718:10990021:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRSCDGFGQRSLGLSGHHSLPESRESESQRPKVVLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRHIDARMHGLLSHDNCVLFSVAWTNTMPSRTDRGCRFGFESLAGRGN >Potri.013G101300.13.v4.1 pep chromosome:Pop_tri_v4:13:10983717:10990092:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRSCDGFGQRSLGLSGHHSLPESRESESQRPKVVLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRQRMPIWL >Potri.013G101300.8.v4.1 pep chromosome:Pop_tri_v4:13:10983717:10990022:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRSCDGFGQRSLGLSGHHSLPESRESESQRPKVVLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRHIDARMHGLLSHDNCVLFSVAWTNTMPSRTDRGCRFGFESLAGRGN >Potri.013G101300.2.v4.1 pep chromosome:Pop_tri_v4:13:10983705:10990092:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRSCDGFGQRSLGLSGHHSLPESRESESQRPKVVLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRQRMPIWL >Potri.013G101300.15.v4.1 pep chromosome:Pop_tri_v4:13:10983717:10990022:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.15.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRQRMPIWL >Potri.013G101300.5.v4.1 pep chromosome:Pop_tri_v4:13:10983705:10990043:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRQRMPIWL >Potri.013G101300.10.v4.1 pep chromosome:Pop_tri_v4:13:10983717:10990022:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRHIDARMHGLLSHDNCVLFSVAWTNTMPSRTDRGCRFGFESLAGRGN >Potri.013G101300.14.v4.1 pep chromosome:Pop_tri_v4:13:10983718:10990092:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.14.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRSCDGFGQRSLGLSGHHSLPESRESESQRPKVVLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRQRMPIWL >Potri.013G101300.12.v4.1 pep chromosome:Pop_tri_v4:13:10983717:10990022:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRHIDARMHGLLSHDNCVLFSVAWTNTMPSRTDRGCRFGFESLAGRGN >Potri.013G101300.6.v4.1 pep chromosome:Pop_tri_v4:13:10983717:10990022:1 gene:Potri.013G101300.v4.1 transcript:Potri.013G101300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G101300.v4.1 MATETDHLDHHQHHQTYGDKWSCLQLSSEKGESQQDVSITQILDCGSISFGRYTADTLAWEKYSVFSHNRCQEELEKFFKAPGLVAQKKAYFEEYYKKIRAMKGLQAEQETTQTDSYQNGQEITTQEENGVDTEASKKESKPSNTSQEENGADVHRSKEENKPSNAYKIQILDNHTTGNINPSRRGINDGVEEESYLNGNSGGASKEDEIGVCFSARIPKYSMEDGSSSCTPSVKSSSKTRQKGSPVSNENKNNGSKLKKQASSMRVKGTVASAANRKKSDCRMSKDVVKPSEKPKPSVCKEITSTADVSLVSGKRITTKTASNKKSDQVQSHRQRREVQSSATVLHASLTKGKIVSLSSNIRGGPPKTHSTPKSLSDRLPGTSSVLTRSVQRSSKEMTTISHLRKFSVDNRSCDGFGQRSLGLSGHHSLPESRESESQRPKVVLKTLPDRDKSNQNTGPERRPVSSVKGRRQKKGLDEIDARIGPKSDSSKGTGSQRTSNLKPVHKIVTSQSVYLTRQKDSRHIDARMHGLLSHDNCVLFSVAWTNTMPSRTDRGCRFGFESLAGRGN >Potri.017G049300.1.v4.1 pep chromosome:Pop_tri_v4:17:3518918:3520473:-1 gene:Potri.017G049300.v4.1 transcript:Potri.017G049300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G049300.v4.1 MGPQYRKLLLFDSSDGCKQICEAADDPGECTDNCQKIGECLRSCKGTTMDDCDYCFDCLDAPTCSPHHKLGKIALAMIVLSAIAFVLACCVIYVKFIRKRRRRSSSQQEDDEEEQRIETRDQLVDQDQGPVVDHPIWYIRTVGLQPSVISSITVCKYKSGDGLVEGTECSVCLNEFQDDETLRLLPKCSHAFHIPCIDTWLRSHTNCPLCRAPIVTNTDEATSSQANLGNTSSGEETQIEVLEDDQESDRETEGRDGELRIVTEEESRFQNENLNGEEDGIQQLRRSVSLDSLSAFKIIQALANVLPVVESDRNSGAGRAGIGNESTREIVQNRGVGNQNLMKSMAATSFGRSFRIGPSSLKRSLSCSGKFFLSRACRNRNSGLPS >Potri.002G142750.1.v4.1 pep chromosome:Pop_tri_v4:2:10716555:10716909:1 gene:Potri.002G142750.v4.1 transcript:Potri.002G142750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G142750.v4.1 MFELDLTSLYFYEIVSGPPCILHYWHVFFKGNQSPLPLLQHRRKELFSQSQLAEKGGCKFIGRHECFL >Potri.001G379500.1.v4.1 pep chromosome:Pop_tri_v4:1:39988280:39990402:1 gene:Potri.001G379500.v4.1 transcript:Potri.001G379500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G379500.v4.1 MISRPFPAQKSVFKYVLAHSRTTFPNPIPKILPCSLSTAFPSSSSSISSQNSDSTYKLTHKDWLSPNEVIKIFENLKDPNSIISVWNQYSKRKDYKPNEALYNQVINKLAQAKNFDAIEDIMQKIKLDKSCRLSNDFFHNVIKIYGQLAGRIKKAMETLFDMPKGYNCWPNVKSFNLVLNLLVSAKVFDVVHEVYLQAPMLGIEIDVCSLNILIKGLCENGDLEAAFNVLDEFPKQRCEPNVRTFSTLMHGLCKKGKVEEAFGLLERMEKEGIDADTITFNILISGLRKQGKVVEGLELLERMNIKGCEPNAGSYQEILYGLLDAEKYVEGKEFMVRMIGQGVDPSFVSYKKLVHGLCKERLLRDLDWVLKQMARQGFVPKMGMWVQMVQSVVSVRYSFNHVSLSEIVNCENI >Potri.018G035601.1.v4.1 pep chromosome:Pop_tri_v4:18:2767545:2768336:-1 gene:Potri.018G035601.v4.1 transcript:Potri.018G035601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G035601.v4.1 MFLQNVPEEVISTRVASTEQQDVAVNQHTAAALARICSYLETHKHFNYPHQFQIFFKIKRTSRASGYIWKLLSKRT >Potri.010G087300.1.v4.1 pep chromosome:Pop_tri_v4:10:11284881:11285806:1 gene:Potri.010G087300.v4.1 transcript:Potri.010G087300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G087300.v4.1 MAALHFTPSPSFILTRHKLPTEVSSFKLHYKAGRSLKTVVRSYKVVIEHEGQSTELEVEPDETILSKALDSGLTVPHDCKLGVCMTCPAKLISGSVDQSDGMLSDDVVERGYALLCAAYPRSDCQIRVIPEEELLSLQLATAND >Potri.016G120000.1.v4.1 pep chromosome:Pop_tri_v4:16:12491987:12497226:1 gene:Potri.016G120000.v4.1 transcript:Potri.016G120000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120000.v4.1 MKTRYTVPFLLLFSLILLTLEAKTVDPYKVLGVEKNASQREIQKAFHKLSLQYHPDKNKNKGAQEKFAEINNAYEILSDEEKRKNYDLYGDEKGNPGFNAGYPGDQGGYTYFTNGGQGQNSYTFRPGDWQDMGDQGNSRSFSFSFGGPSPQSSFGFGLNDIFSNFFGGDLGGGQFGGFGGSTRSQSRSQTGSRSSPKSIKAINSQVFNKEIADQGMTWLLLTYTSSLKGSQFYESIIQEVADSLQGALKVGSINCETEMSFCKELGTQPRRVPRVFVYSNKASDKGFLVEYNGDLVAKTLKSFCQDHLPRFSKRIDLKHLQSYSVKEEKLPRVVLLSTKKDTPVIWRALSGLFHKRFIFNDVEVRDVTDPMTKKLGVDALPAIVGWLSNGEKHVLKSGISVKDLKSAVHDLSTLLEGFEKKNKKAAASKDRKAQADSGGKHVSLLTGSNFDALCGGKTPVCIIGGFRSLKVREKLEDILSMVSQKSLSRRRNAASVSGDSISYTLLDVAKQPSFLNAFDKSGYKSSDKLLLAYKPRTQKFAAFKGEMTAEEVEKFISSVLNGDAQFTKTRQKPVLK >Potri.016G120000.2.v4.1 pep chromosome:Pop_tri_v4:16:12491987:12497226:1 gene:Potri.016G120000.v4.1 transcript:Potri.016G120000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120000.v4.1 MGDQGNSRSFSFSFGGPSPQSSFGFGLNDIFSNFFGGDLGGGQFGGFGGSTRSQSRSQTGSRSSPKSIKAINSQVFNKEIADQGMTWLLLTYTSSLKGSQFYESIIQEVADSLQGALKVGSINCETEMSFCKELGTQPRRVPRVFVYSNKASDKGFLVEYNGDLVAKTLKSFCQDHLPRFSKRIDLKHLQSYSVKEEKLPRVVLLSTKKDTPVIWRALSGLFHKRFIFNDVEVRDVTDPMTKKLGVDALPAIVGWLSNGEKHVLKSGISVKDLKSAVHDLSTLLEGFEKKNKKAAASKDRKAQADSGGKHVSLLTGSNFDALCGGKTPVCIIGGFRSLKVREKLEDILSMVSQKSLSRRRNAASVSGDSISYTLLDVAKQPSFLNAFDKSGYKSSDKLLLAYKPRTQKFAAFKGEMTAEEVEKFISSVLNGDAQFTKTRQKPVLK >Potri.016G120000.3.v4.1 pep chromosome:Pop_tri_v4:16:12494547:12497131:1 gene:Potri.016G120000.v4.1 transcript:Potri.016G120000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G120000.v4.1 MTWLLLTYTSSLKGSQFYESIIQEVADSLQGALKVGSINCETEMSFCKELGTQPRRVPRVFVYSNKASDKGFLVEYNGDLVAKTLKSFCQDHLPRFSKRIDLKHLQSYSVKEEKLPRVVLLSTKKDTPVIWRALSGLFHKRFIFNDVEVRDVTDPMTKKLGVDALPAIVGWLSNGEKHVLKSGISVKDLKSAVHDLSTLLEGFEKKNKKAAASKDRKAQADSGGKHVSLLTGSNFDALCGGKTPVCIIGGFRSLKVREKLEDILSMVSQKSLSRRRNAASVSGDSISYTLLDVAKQPSFLNAFDKSGYKSSDKLLLAYKPRTQKFAAFKGEMTAEEVEKFISSVLNGDAQFTKTRQKPVLK >Potri.013G019200.2.v4.1 pep chromosome:Pop_tri_v4:13:1201722:1204868:-1 gene:Potri.013G019200.v4.1 transcript:Potri.013G019200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019200.v4.1 MKYAADPSAEVIALSPNTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTTAEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALAEENTKANQGLMPNMEPNLQGQVSNLIPSMAINNNPNQSTMMSSFNHLDAKNPLSLPQELMPTPPKPSSGSMFSNGTTGLFGGSRSMSPSLQLNANSSTIFEGNGLHNLSGSASMSATALLQKAAQMGATASSNNVSSPMMQKSFVTSMAPPTFGTMHTQNDQSHVIGGDDGYANQFFSANGGVENSVLNDMGIFSAVLDQNNSLFKTMEHASSNNENVFQGANSNPGLSSPTSGANPSGLSRFSTGDVMTVDFLGLGGSRQRNLHQQHNHQEMEFTRGISHPRMQGLNHFEQATALKKPMWDV >Potri.013G019200.1.v4.1 pep chromosome:Pop_tri_v4:13:1201711:1205366:-1 gene:Potri.013G019200.v4.1 transcript:Potri.013G019200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G019200.v4.1 MSNTTGDGTGSFSSGGTGGDEVHLNSSAAAAAANSNGSTITQQPPLKRKRNLPGNPDPSAEVIALSPNTLMATNRFVCEICNKGFQRDQNLQLHRRGHNLPWKLKQRTTAEIRKRVYVCPEPSCVHHNPARALGDLTGIKKHFCRKHGEKKWKCDKCSKKYAVQSDWKAHVKTCGTKEYKCDCGTIFSRRDSFITHRAFCDALAEENTKANQGLMPNMEPNLQGQVSNLIPSMAINNNPNQSTMMSSFNHLDAKNPLSLPQELMPTPPKPSSGSMFSNGTTGLFGGSRSMSPSLQLNANSSTIFEGNGLHNLSGSASMSATALLQKAAQMGATASSNNVSSPMMQKSFVTSMAPPTFGTMHTQNDQSHVIGGDDGYANQFFSANGGVENSVLNDMGIFSAVLDQNNSLFKTMEHASSNNENVFQGANSNPGLSSPTSGANPSGLSRFSTGDVMTVDFLGLGGSRQRNLHQQHNHQEMEFTRGISHPRMQGLNHFEQATALKKPMWDV >Potri.012G120584.1.v4.1 pep chromosome:Pop_tri_v4:12:13830137:13831120:1 gene:Potri.012G120584.v4.1 transcript:Potri.012G120584.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120584.v4.1 MSYDNNNHQDSEGSYQAGPYVAPPPVSYPMRYGPQHHQQPPPPPEKTKQRGDEFCTGCCCCCSRRRCCYCWDYCCYCCDCLKSIFECFGICEGAGD >Potri.012G120584.2.v4.1 pep chromosome:Pop_tri_v4:12:13830137:13830547:1 gene:Potri.012G120584.v4.1 transcript:Potri.012G120584.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120584.v4.1 MSYDNNNHQDSEGSYQAGPYVAPPPVSYPMRYGPQHHQQPPPPPEKTKQRGDEFCTGCCAALWCCCLLDMCF >Potri.012G120584.3.v4.1 pep chromosome:Pop_tri_v4:12:13830325:13831120:1 gene:Potri.012G120584.v4.1 transcript:Potri.012G120584.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G120584.v4.1 MRYGPQHHQQPPPPPEKTKQRGDEFCTGCCCCCSRRRCCYCWDYCCYCCDCLKSIFECFGICEGAGD >Potri.009G067500.1.v4.1 pep chromosome:Pop_tri_v4:9:6748557:6748931:-1 gene:Potri.009G067500.v4.1 transcript:Potri.009G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G067500.v4.1 MAQTISLRKGNTRLPPEVNRVLYVRNLPFNISSEEMYDIFGKYGAIRQIRIGTNKDTRGTAYVVYEDIYDAKTAVDHLSGFNVANRYLIVLYYQQAKMSKKFDQKKKEEEIVKMQEKYGVTTKD >Potri.005G074350.1.v4.1 pep chromosome:Pop_tri_v4:5:4973900:4974657:-1 gene:Potri.005G074350.v4.1 transcript:Potri.005G074350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G074350.v4.1 MARKNIFSIVLMLVLLLFIGCDMMVAQKQCCTEHFELGTCLPGQDDKNPSGKCFKYCIKSCPNHKGGVCKLWGNKHHCHCLC >Potri.005G236102.1.v4.1 pep chromosome:Pop_tri_v4:5:23408003:23410134:-1 gene:Potri.005G236102.v4.1 transcript:Potri.005G236102.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G236102.v4.1 MAMFFFLGAFFIFVLFLLQTYRTKRKILLPPGPYGLPLIGNLHQFVQYKSPPHHYLWQLSHKYGPLMSLRRGFVPTLVVSSAKMAKEVMGKHYLEFSGRPSLHGQQKLSYNGLDLAFTPYGDYWREMRKICVLRLFNLKRVQSFHSIRENEVSCMIQKIRKAADASRTANLSEAVTALTSSIICRVAFGKSYEDQGSERSKFHNLLNEAQAMAASLFVSDYLPFMGWIDKLTGLMARLEKNFSEFDVFYQEIIDEHLDPKRTKPEKEDIIDVLLRLKKERSFAFDLNRDHIKAVLMNILICCWYRHKCRHLGVGHDSSNEGT >Potri.006G120750.1.v4.1 pep chromosome:Pop_tri_v4:6:9530027:9530871:1 gene:Potri.006G120750.v4.1 transcript:Potri.006G120750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G120750.v4.1 MTFLNFSQLPKTNTLNFPAWCSYRIVFDYLCPAATLHGWVTPIVQESTSKHSEPYQSCNLNLTQQTAFNKTATGSFTSINYISQNLDTVSSWQR >Potri.010G006000.1.v4.1 pep chromosome:Pop_tri_v4:10:555226:557650:-1 gene:Potri.010G006000.v4.1 transcript:Potri.010G006000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G006000.v4.1 MITSPPLPFIHFPCSRASHVKTILSPISSSTSPLTNNLAALPSLRLPRYSGSRIPPRRLSLIMSSAISRPEPIELRDDSDFRSFLSSSDSDLISVCGFGSLLSERSARSTFPDLLNFRVARLNGFRRVFAHVAPIFFERGIAKPETKEISSLSVEPCEGEGLVVTVFEIEKSEIPAFMERELEFRFLAVVPETLDGKPFDFPAVLCARYSDEEFFQVRCKGSKEIYFQHYGRYNINKIWRDDILPCRAYLRHCVLAAKNLSDAAHSNFLEHTFLGDRKTTIREYLATTGAGIMEEEPPESLKTRYGG >Potri.005G117200.2.v4.1 pep chromosome:Pop_tri_v4:5:8556497:8560472:-1 gene:Potri.005G117200.v4.1 transcript:Potri.005G117200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117200.v4.1 MLHHHHNHHQSQRPRRRCEGTAMGVIILDLRPGNGIGPFSLGMPICEAFAQIEQQPSIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSNLATFVAVYALFGPTFPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCFHGREAELPLEFPDGTTPVTCRVSIYDGSADKKVGVGSLMHKASAPPLLPGNLYMEEVHVKLGEELYFSVGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDLRPRTTLCGDYFYNYFTRGLDILFDGQTHRIKKFVLHTNYPGHADFNSYIKCNFVIQVDNSKQSITPSTKWDQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Potri.005G117200.1.v4.1 pep chromosome:Pop_tri_v4:5:8556486:8560555:-1 gene:Potri.005G117200.v4.1 transcript:Potri.005G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G117200.v4.1 MLHHHHNHHQSQRPRRRCEGTAMGVIILDLRPGNGIGPFSLGMPICEAFAQIEQQPSIYDVVHVKYFDEEPLKLDIVISFPDHGFHLRFDPWSQRLRLIEIFDVKRLQMRYATSLIGGPSNLATFVAVYALFGPTFPGIYDKDRGVYTLFYPGLSFAFPIPSQYTDCFHGREAELPLEFPDGTTPVTCRVSIYDGSADKKVGVGSLMHKASAPPLLPGNLYMEEVHVKLGEELYFSVGGQHIPFGASPQDVWTELGRPCGIHQKQVDQMVIHSASDLRPRTTLCGDYFYNYFTRGLDILFDGQTHRIKKFVLHTNYPGHADFNSYIKCNFVIQGSDFDNSKQSITPSTKWDQVKEILGDCGRAAIQTQGSTSNPFGSTFVYGYQNIAFEVMKNGYIATVTLFQS >Potri.017G040600.2.v4.1 pep chromosome:Pop_tri_v4:17:2691106:2692409:1 gene:Potri.017G040600.v4.1 transcript:Potri.017G040600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G040600.v4.1 MVMINKRKKQTQGRQKIEIKKIEEKSNLQVTFSKRRGGLVKKASELSLLCGAQVAILAFSPGKKVFAFGHPDVDMVLDRYLSDSSTARELGAVSNNDPQVQQWNKEYEEALKELEEEKKQVAMAEQWNKVCENDVNARFWWDEPIDDMGLEELEEYVRAMEELKKNVAARANELTMASDHFGNQNTSHLDLGVNGFSLENVLF >Potri.013G010150.1.v4.1 pep chromosome:Pop_tri_v4:13:649130:650013:-1 gene:Potri.013G010150.v4.1 transcript:Potri.013G010150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G010150.v4.1 MVKHHQIKPKVEHYGCMVDLLGRAGCVREAYDLLRSMPEGTPNDAAPWGSLLSACHTHGDVELTHILQ >Potri.012G088100.1.v4.1 pep chromosome:Pop_tri_v4:12:11355420:11360160:1 gene:Potri.012G088100.v4.1 transcript:Potri.012G088100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G088100.v4.1 MPVNPWPLFSFLFFSSTLVLLFPFTAFAVNQQGETLLSWKRSLNGSPEGLNNWDSSNETPCGWFGITCNFNNEVVALGLRYVNLFGTLPSNFTFLSSLNKLVLSGTNLTGTIPKEIGTALPQLTHLDLSENALTGEIPSELCNFPKLEQLLLNSNQLEGSIPIEIGNLTSLKWLILYDNQLSGSIPNTVGKLKYLEVIRAGGNKNLEGSLPKEIGNCSNLLMLGLAETSISGFLPPSLGLLKKLQTVAIYTTLLSGQIPPELGDCTELQDIYLYENSLTGSIPKTLGKLRNLRNLLLWQNNLVGIIPPELGNCNQMLVIDISMNSLTGSIPQSFGNLTELQELQLSLNQISGEIPAQLGNCQKIIHIELDNNQITGSIPPEIGNLFNLTLFYLWQNKLEGNIPPSISNCQNLEAIDLSQNGLVGPIPKGVFQLKKLNKLLLLSNNLSGEIPPEIGNCSSLIRFRANNNKVSGTIPAHIGNLKNLNFLDLGSNRITGVIPEEISGCQNLTFLDLHSNAISGNLPQSFDKLISLQFIDFSNNLIEGTLSPSLGSLSSLTKLTLAKNRLSGSIPSQLGSCSKLQLLDLSGNQLSGNIPSSVGKIPSLEIALNLSLNQLNGEIPSEFTGLNKLGILDISYNHLTGDLQHLAALQNLVVLNVSHNNFSGHVPDTPFFSKLPLSVLAGNPALCFSGNQCDSGDKHVQRGTAARVAMIVLLCAACALLLAALYIILASKKRGSGAQECEGEDDVEMSPPWEVTLYQKLDLSIADVTRSLTAGNVVGRGRSGVVYKVTIPSGLMVAVKRFKSAEKISAAAFSSEIATLARIRHRNIVRLLGWGANRKTKLLFYDYMANGTLGTLLHEGNNFGLVEWETRFKIALGVAEGLAYLHHDCVPPILHRDVKAHNILLGDRFEAYLADFGLARLVEDEHGSFSANPQFAGSYGYIAPEYACMLKITEKSDVYSYGVVLLETITGKKPVDPSFPDGQHVVQWVRNHLRSKKDPVEILDPKLQGHPDTQIQEMLQALGISLLCTSNRAEDRPTMKDVAVLLKEIRQELITGGEAQKPTNKSSKTMESNPSYSSSSVTPAQLLMLQQGSSRCSLAYSSSSSTSIMSSANQ >Potri.003G114700.1.v4.1 pep chromosome:Pop_tri_v4:3:13670783:13673768:-1 gene:Potri.003G114700.v4.1 transcript:Potri.003G114700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G114700.v4.1 MKKFQFLRLSVALYQQTPLHMLMFLKFRNFSHSIKSTRLKNQNPCFELFRFCHFDSKLGLGSSSLTPTLSPQKPQNNVAIFWDLDNKPPKTVSPFEAAIKLKTAVCSFGFVKYMVAYANRHAFSYVPQVVREERKQRKSLNQLENKGVIKPVESYLCRVCGRNFYNNEKFINHFKQIHEREQQKRLNQIESARGKRRVMLVGKYAMKMQKYKNAARDILTPKVGYGLADELKRAGFWVRTVLDKPQAADVALKDHMVDMMDKRRAECFVLVSDDSDFVHVLKEAKSRCLKTVVVGDVNDGALKRVADAGFSWQEILMGKAKKDAETVVGRWKDRDVLKRLEWIYDPEVEKNLHGMVYEFDNHESKDECDGNDSEDGDIDNILDGDDVGCLQKEDARGWWELDSNPEVTSSQSC >Potri.001G435300.1.v4.1 pep chromosome:Pop_tri_v4:1:46129315:46132365:1 gene:Potri.001G435300.v4.1 transcript:Potri.001G435300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G435300.v4.1 MDEVQEREKKEEFDASEIEYVSYGGEHHLPLIMNLVDQELSEPYSIFTYRYFVYLWPQLSFLAFHKGKCVGTVVCKMGDHRNSTFRGYIAMLVVIKPYRGRGIATELVTRSIQVMMESGCEEVTLEAEVTNKGALALYGRLGFIRAKRLFHYYLNGVDAFRLKLLFPQPELYPSLPMMADRDDTHEHDDC >Potri.002G199800.1.v4.1 pep chromosome:Pop_tri_v4:2:16239393:16240340:1 gene:Potri.002G199800.v4.1 transcript:Potri.002G199800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G199800.v4.1 MIMDLRTKGPESEDMDNTHPSKCNEIKRRCTDCQTTRTPCWRGGPAGPRTLCNACGIRQRKRRRALLGLDKGGPERSREKMAKGSNSSKLGVSLNLDLMGFKRDGMFQEDWKRKLGEEEQAAILLMALSCGSVCAWYKKDEGKHFYP >Potri.015G111101.1.v4.1 pep chromosome:Pop_tri_v4:15:12799125:12800662:-1 gene:Potri.015G111101.v4.1 transcript:Potri.015G111101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G111101.v4.1 MHSLRYLLVVDRILASFCISWRMVLISSFLFSHECTFDHLLCSLLDLFSLRVHMLVKMMNSSEKACFILFLSFGLCFLSFGSQDYIFLVSVGCTDLFKPCVLDGLGLVLFTFSLGY >Potri.014G192601.1.v4.1 pep chromosome:Pop_tri_v4:14:16971231:16971839:-1 gene:Potri.014G192601.v4.1 transcript:Potri.014G192601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G192601.v4.1 MASQSRRGGLSLPDRRGSSKQEPNILAKINNTQIVSKGKQAASDAVFVAKKLLKSTGKAAWIAGTTFLILAVPLIIEMDREQQLNELELQQQSLLGAPPVGPAPPK >Potri.006G019800.1.v4.1 pep chromosome:Pop_tri_v4:6:1301596:1302910:-1 gene:Potri.006G019800.v4.1 transcript:Potri.006G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G019800.v4.1 MVETKVTTMEIKVVDLGCEKCHKKIKRVLCAIPQIQNQTYDKKANTVTITVVCCCPEKIKKKIYSKGGRTVKCVEIKPPPKPKSETKPESETKPETKPESETKPETKTQTQTEPETKQEPKPCTCCEKCRRGPCCHHFCMPTVPPYCPVPCRRAVCDIWEDGCCSCRSRGYYLCRSAYVCEEYYYPSAPCTIM >Potri.005G211300.3.v4.1 pep chromosome:Pop_tri_v4:5:21543447:21546112:-1 gene:Potri.005G211300.v4.1 transcript:Potri.005G211300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211300.v4.1 MAGTIGRNLNLCFTKIRRPLPPHDQSPTTLLTPDDHSHTFLIKNYNSLYDPTIDSASSSTSSSSSSSSEPDFATVCASQRFFFSSPGRSNSIIESTPSIVTSSDSSDNLVAPQSDSNGLTTNPSNDKSLLVDSCNNSTHPQLLKSPTVKDSVAVPTYSPDPYMDFRRSMQEMVEARDLVDVNANWEYLHELLSCYLDLNPKSSHKFIVGAFADLLVSLLSSQMPEDAGRRGEDFSSGSCGISRQCM >Potri.005G211300.2.v4.1 pep chromosome:Pop_tri_v4:5:21543508:21546109:-1 gene:Potri.005G211300.v4.1 transcript:Potri.005G211300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211300.v4.1 MAGTIGRNLNLCFTKIRRPLPPHDQSPTTLLTPDDHSHTFLIKNYNSLYDPTIDSASSSTSSSSSSSSEPDFATVCASQRFFFSSPGRSNSIIESTPSIVTSSDSSDNLVAPQSDSNGLTTNPSNDKSLLVDSCNNSTHPQLLKSPTVKDSVAVPTYSPDPYMDFRRSMQEMVEARDLVDVNANWEYLHELLSCYLDLNPKSSHKFIVGAFADLLVSLLSSQMPEDAGRRGEDFSSGSCGISRQCIGNQSRQGDY >Potri.005G211300.5.v4.1 pep chromosome:Pop_tri_v4:5:21543508:21546125:-1 gene:Potri.005G211300.v4.1 transcript:Potri.005G211300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211300.v4.1 MAGTIGRNLNLCFTKIRRPLPPHDQSPTTLLTPDDHSHTFLIKNYNSLYDPTIDSASSSTSSSSSSSSEPDFATVCASQRFFFSSPGRSNSIIESTPSIVTSSDSSDNLVAPQSDSNGLTTNPSNDKSLLVDSCNNSTHPQLLKSPTVKDSVAVPTYSPDPYMDFRRSMQEMVEARDLVDVNANWEYLHELLSCYLDLNPKSSHKFIVGAFADLLVSLLSSQMPEDAGRRGEDFSSGSCGISRQCM >Potri.005G211300.4.v4.1 pep chromosome:Pop_tri_v4:5:21543447:21546140:-1 gene:Potri.005G211300.v4.1 transcript:Potri.005G211300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G211300.v4.1 MAGTIGRNLNLCFTKIRRPLPPHDQSPTTLLTPDDHSHTFLIKNYNSLYDPTIDSASSSTSSSSSSSSEPDFATVCASQRFFFSSPGRSNSIIESTPSIVTSSDSSDNLVAPQSDSNGLTTNPSNDKSLLVDSCNNSTHPQLLKSPTVKDSVAVPTYSPDPYMDFRRSMQEMVEARDLVDVNANWEYLHELLSCYLDLNPKSSHKFIVGAFADLLVSLLSSQMPEDAGRRGEDFSSGSCGISRQCM >Potri.010G005400.2.v4.1 pep chromosome:Pop_tri_v4:10:499488:506317:-1 gene:Potri.010G005400.v4.1 transcript:Potri.010G005400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005400.v4.1 MVSLNIRDLLTAFSPSLDYLAISSGDGRIKIWDTLKGQVQTEFADITSSEGDFYAKPERGHLSVDYKCMKWLSLDKKKKRKLGSSLLVLGTGSGDVLALDVSAGQLKWSVSDCHPGGVSAVSFSTRDSCIYTSGADGMICKTDPQTGNTLGKFRASTKAISCMSVSSDGKILATAAAQLKIFNCSDHKKMQKFSGHPGAVRSMVFTDDGKYILSSAVGERYVALWRIDGGKRQSASCVLAMEHPAVFIDCKCFENEGVDDAGLYVLAISETGVCYTWCGKNVEELRNSKPTKVLLSYEEFPKNHKGALPTVLAAKLQGTAKPTAANVFIAYGLLVKPSFQKILMHPGTDIKLNSSQDGVLLPLSQSLSNTKKARDLQNRVTALDRANVEDALLPLPKVYDLHEKKMRNMNIVDHDEVMEESAESKDVMVEVDAVTTSMEKQLRLLNILGSKDDGILSPTLDSATLKGINLEDNIPLKKMRATVLSMEPSNAYKLLEVLVAKWQSRSNSGKCVLPWIYCILVNHGQYIVAQEKPESQMLNSLLKITKSRAVAVQPLLQLAGRLQLVTAQIDKVALSKNPISLHNDQMDDNEDDDDVDEHLYGEEDDESQLSSDDDS >Potri.010G005400.6.v4.1 pep chromosome:Pop_tri_v4:10:499747:506311:-1 gene:Potri.010G005400.v4.1 transcript:Potri.010G005400.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005400.v4.1 MVSLNIRDLLTAFSPSLDYLAISSGDGRIKIWDTLKGQVQTEFADITSSEGDFYAKPERGHLSVDYKCMKWLSLDKKKKRKLGSSLLVLGTGSGDVLALDVSAGQLKWSVSDCHPGGVSAVSFSTRDSCIYTSGADGMICKTDPQTGNTLGKFRASTKAISCMSVSSDGKILATAAAQLKIFNCSDHKKMQKFSGHPGAVRSMVFTDDGKYILSSAVGERYVALWRIDGGKRQSASCVLAMEHPAVFIDCKCFENEGVDDAGLYVLAISETGVCYTWCGKNVEELRNSKPTKVLLSYEEFPKNHKGALPTVLAAKLQGTAKPTAANVFIAYGLLVKPSFQKILMHPGTDIKLNSSQDGVLLPLSQSLSNTKKARDLQNRVTALDRANVEDALLPLPKVYDLHEKKMRNMNIVDHDEVMEESAESKDVMVEVDAVTTSMEKQLRLLNILGSKDDGILSPTLDSATLKGINLEDNIPLKKMRATVLSMEPSNAYKLLEVLVAKWQSRFARTFL >Potri.010G005400.5.v4.1 pep chromosome:Pop_tri_v4:10:499634:506308:-1 gene:Potri.010G005400.v4.1 transcript:Potri.010G005400.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005400.v4.1 MVSLNIRDLLTAFSPSLDYLAISSGDGRIKIWDTLKGQVQTEFADITSSEGDFYAKPERGHLSVDYKCMKWLSLDKKKKRKLGSSLLVLGTGSGDVLALDVSAGQLKWSVSDCHPGGVSAVSFSTRDSCIYTSGADGMICKTDPQTGNTLGKFRASTKAISCMSVSSDGKILATAAAQLKIFNCSDHKKMQKFSGHPGAVRSMVFTDDGKYILSSAVGERYVALWRIDGGKRQSASCVLAMEHPAVFIDCKCFENEGVDDAGLYVLAISETGVCYTWCGKNVEELRNSKPTKVLLSYEEFPKNHKGALPTVLAAKLQGTAKPTAANVFIAYGLLVKPSFQKILMHPGTDIKLNSSQDGVLLPLSQSLSNTKKARDLQNRVTALDRANVEDALLPLPKVYDLHEKKMRNMNIVDHDEVMEESAESKDVMVEVDAVTTSMEKQLRLLNILGSKDDGILSPTLDSATLKGINLEDNIPLKKMRATVLSMEPSNAYKLLEVLVAKWQSRSNSGKCVLPWIYCILVNHGQYIVAQEKPESQMLNSLLKGPCNKHFGDAMSSD >Potri.010G005400.4.v4.1 pep chromosome:Pop_tri_v4:10:499804:506308:-1 gene:Potri.010G005400.v4.1 transcript:Potri.010G005400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G005400.v4.1 MVSLNIRDLLTAFSPSLDYLAISSGDGRIKIWDTLKGQVQTEFADITSSEGDFYAKPERGHLSVDYKCMKWLSLDKKKKRKLGSSLLVLGTGSGDVLALDVSAGQLKWSVSDCHPGGVSAVSFSTRDSCIYTSGADGMICKTDPQTGNTLGKFRASTKAISCMSVSSDGKILATAAAQLKIFNCSDHKKMQKFSGHPGAVRSMVFTDDGKYILSSAVGERYVALWRIDGGKRQSASCVLAMEHPAVFIDCKCFENEGVDDAGLYVLAISETGVCYTWCGKNVEELRNSKPTKVLLSYEEFPKNHKGALPTVLAAKLQGTAKPTAANVFIAYGLLVKPSFQKILMHPGTDIKLNSSQDGVLLPLSQSLSNTKKARDLQNRVTALDRANVEDALLPLPKVYDLHEKKMRNMNIVDHDEVMEESAESKDVMVEVDAVTTSMEKQLRLLNILGSKDDGILSPTLDSATLKGINLEDNIPLKKMRATVLSMEPSNAYKLLEVLVAKWQSRSNSGKCVLPWIYCILVNHGQYIVAQEKPESQMLNSLLKITKSRAVAVQPLLQLAGRLQLVTAQIDKVALSKNPISLHNDQMDDNEDDDDVDEHLYGEEDDESQLSSDDDS >Potri.016G143000.1.v4.1 pep chromosome:Pop_tri_v4:16:14503365:14505085:-1 gene:Potri.016G143000.v4.1 transcript:Potri.016G143000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G143000.v4.1 MTKVYPNVAPTTTNKKQQLFNLKPANNALDVDHAVVLTVWKKSLLFNCHGFTVYDGRGNLVFRVDNYMATSGNNGEIVLMDAVGKPLLTIRRKRLSLGDNWLVYEGETTTNPRFLVKKHVNILNTKCLAHVSPASGSNKNVVYEIEGSYAQRCCAVYDEKKRRVAEIKQKESVGGVAFGVDVFRLIVGPEMGTSMAMAMVILLDQMFGSTRRFST >Potri.006G045000.1.v4.1 pep chromosome:Pop_tri_v4:6:3049490:3050319:-1 gene:Potri.006G045000.v4.1 transcript:Potri.006G045000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G045000.v4.1 MADPRNTFMQEDGKTQDQLCRSMPNSSSNPCSPNSVYFSYLPNYHRKFSSNCLSDQSPHDLPSKYTDSDLYKLITEKIENDRLYVQSQITYLETLLSNENKSKNLDGTETLMERIDVVENDIGYLEAEYRSAHKEFKPNLKRLKLLKNVKDFIEYLRESKRVFHSKKKVLKSQLLGLKRPSAEDKSRVGNSLSGVWRFIACNH >Potri.009G142900.1.v4.1 pep chromosome:Pop_tri_v4:9:11372043:11377865:-1 gene:Potri.009G142900.v4.1 transcript:Potri.009G142900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G142900.v4.1 MGCATSKLDDLPAVALCRERCASLEEAIQQRFALAEAHIAYIHSLKRIGSSLHDFIEKENFSSAGVSTKLNLPPDRKGEDLKAVKSSSPKKGHHHSYSNSGSHIQFHSDEDEEDDISHLHHSDNSSPLHSHGEGSGDDGGGGGGGGGGGGGGGHIQYMSSEYMNIDQDSFPGGGGRFFHTNYMKNKGTTPSIIYEQRPVSSETVHFGESSSSAYHSNYSNSGYGMNNPSTYGYSGYPSYGYGGGGYYGPGNQYGSSSPPPAAVASSSKPPPAPPSPVRASAWDFLNVFESYDRSYPQYTPSRNSKELREEEGIPDLEDEDYQHEVVKEVHGDQKYMDGDKRYSKSPVMDDEDGKVRGEPEASLYQARPSVDTEGDRVKYEVHVVDKKIVDNERSEERGNAGFKGGGPLEVAIEIKIQFERASECGNEIAKMLEVGKLPYQRKHASKMLQGVTPPLPAASSQPSTSGNAEAGPPSLEIDEELMMRSKNLSSTLQKLYLWEKKLYQEVKAEEKMRVAHEKKCRKLKHLDERGAEAHKVDATQTLIRSLSTKIRMAIQVVDKISVTINKIRDEELWPQLNELIQGLTRMWNSMLECHRNQCQAIREARGLGPIGSGKKHGDDHLYTTMQLEHELLNLTSSFSSWIGAQKGYVRSLNNWLVKCLLYEPEETPDGIVPFSPGRMGAPPVFVICNQWAQAMDRISEKEVIDAIRIFASSVFQLWEHDKLEMHQRLMTNKDLESKVKDLDRKDQKIQKKIQALDKKIVLVAGDGNGLSVTGKIVYQSDTSNSSLQGSLQRIFEAMERFMADSMKAYEELVQRSEEERLAREHERVS >Potri.008G083800.3.v4.1 pep chromosome:Pop_tri_v4:8:5235040:5243504:1 gene:Potri.008G083800.v4.1 transcript:Potri.008G083800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G083800.v4.1 MHKLNRGNREKVQQFMSITGTSEKVAVQALKASDWHLEGAFDAFYSQPQSRTYTDSRHLEELYNRYKDPYVDMVLVDGITILCNDLQVDPQDIVMLVVSWHMKAATMCEFSKQEFIGGLQSLGVDSLDKFREKIPYMRSELMDEQKFREIYNFAFGWAKEKGQKSLALDTAIGMWQLLFAEKQWPLVDHWCQFLQAQHNKAISRDTWSQLLEFARTVDPTLSNYDAEGAWPYLIDEFVEYLNENGIMQKGRSTEWSQKR >Potri.005G108100.1.v4.1 pep chromosome:Pop_tri_v4:5:7775920:7782881:-1 gene:Potri.005G108100.v4.1 transcript:Potri.005G108100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G108100.v4.1 MVNENPFKSILKTLEKPGGEFGKYYSLPALNDPRIDRLPYSIKILLESAIRNCDEFQVKSNDVEKIIDWENTAPKLVEIPFKPARVLLQDFTGVPAVVDLACMRDAMNNLGGDSNKINPLVPVDLVIDHSVQVDVARSENAVQANMELEFQRNKERFAFLKWGSNAFQNMLVVPPGSGIVHQVNLEYLGRVVFNTNGLLYPDSVVGTDSHTTMIDGLGVAGWGVGGIEAEAAMLGQPMSMVLPGVVGFKLSGKLRDGVTATDLVLTVTQMLRKHGVVGKFVEFYGEGMSELSLADRATIANMSPEYGATMGFFPVDHVTLQYLKLTGRSDETISMIESYLRANRMFVDYSEPQIERMYSSYLALNLEDVEPCISGPKRPHDRVPLREMKADWHACLDNRVGFKGFAIPKESQSKVAEFSFRGTSAQLRHGDVVIAAITSCTNTSNPSVMLGAALVAKKACELGLEVKPWIKTSLAPGSGVVTKYLEKSGLQKYLNQLGFNIVGYGCTTCIGNSGDIDEAVASAITENDLVAAAVLSGNRNFEGRVHPLTRANYLASPPLVVAYALAGTVGIDFETEPIGVGKDGKKIFFRDIWPSNDEVAQVVHSSVLPDMFKATYQAITKGNPMWNQLSVPSGTLYAWDSKSTYIHEPPYFKSMTMSPPGPHGVKDAYCLLNFGDSITTDHISPAGSIHKDSPAARYLMERGVDRRDFNSYGSRRGNDEVMARGTFANIRLVNKLLGGEVGPKTIHISTGEKLSVFDVAMRYKSEGRDTVILAGAEYGSGSSRDWAAKGPMLLGVKAVIAKSFERIHRSNLVGMGIIPLCFKPGEDAETLGLTGHECYSIDLPSNVSEIRPGQDVTVVTDNGKSFACTLRFDTEVELAYFDHGGILQYAIRNLIHTNH >Potri.007G067700.2.v4.1 pep chromosome:Pop_tri_v4:7:8715858:8724137:1 gene:Potri.007G067700.v4.1 transcript:Potri.007G067700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067700.v4.1 MDAFNHLITTNLNHQNDDVNEEEEEEQQDIISSGVVFSQLKPYCLDLLQLLQNPNPTSFSSSIPSLVQFLHDSPPPSLQPFFDYVLFPLLLLLDAAVDSRKQNPKPHKISDRVAEGVVQCLEELLNKCYLVSIDQMVVLMKKLTYAAMLTENEASEEFREGVIKCFRALIEGLSSCGVEGCSCEEINGLPALVEAGDNRNVNSARDYLGGEGECLVSFLRSQSASAAVGHWFSLLLKAADNEVARGHRGSAKIRVEAFLTVRGLVAKIGTADALAFFLPGVVSQFAKVLHMSKTMISGAAGSVEAIDQAIRALAEYLMIVLEDDANVSSLDRSLCAGSGFNSNKKGSSIHSVLDELRQLPVSTQNQSKVAAENSVAEAVKSVTPASEFQSAKPGNEKGALHVDRTRDWVEETSAHVDRLLSATFPHICLHPARKVRQGLLAVIRGLLSKCSCTLKQSKSMFLECLFVLVVDECGDISAPAQEFLEYLLSSSSKLNVQSDVAELFSRLVEKLPKVVFGNDESHALSHAQQLLVVIYYSGPKFLMDHLQSPVTAARFLDIFALSLSQNSVFTGALDKLMLARPSSIGYLHSIAELKSSSRFSSDYQSIVDVVPSDNPNSRDIHGKAIQNPSLSLQDNSELPRMPPWFGSQKLYQTLAGILRLVGLSLMTDSKSEGHMSVVSDIPLGHLRKLVSEIRDKEFTKESWQSWYNRTGSGQLLRQASTAVCILNEMIFGLSDQAVDNLIRLFHTSELNREGVQAPDAKGADAQPNTVEHPERTRSIWKVSQERVARSHLNDCVGRIAHEYLSSEVWNLPIDQKSSLVQSDGEVEEITLHFFHDTAMLQQVIIDGIGIFSMCLGKDFASSWFLHSSLYLLLESLICSNIQVRQASDAVLHVLSCASGHPTVGQLVLANADYIIDSICRQLRHLDLNPRVPNVLASLLSYIGVAHKILPLLEEPMRSVSQELEILGRHQHPVLTIPFLKAVAEIGKASKHEASSLPTNAESYLMHVKSKVSDMGKGKKLESHEKSTSYYDNDIDMSDMESEQWENLLFKLNDSKRYRRTVGSIAGSCLTAAIPLLASMKQEECLVALNIVEDGIVTLGKVEEAYRHEKETKEAIEEVIRSYSLYQLQDTLDAAEEGTDENRLLPAMNKIWPFLVACVRNKNPVAVRRCLSVISSVVLICGGDFFSRRFHTDGPHFWKLLTTSPLQKKPFSKEDTTPLQLPYRSAPTSSGDSMSEISNLKVQVAVLNMIAHLSQNKRSTSALQIVLKKVSGLVVGIAFSGVKGLHDASINALRGLASIDSDLIWLLLADVYYALKKKDLPSPPISGLPQISKILPPPLSPKGYLYVQYGGQSFGFDIDYPSVETVFKKLLSQIFTNQLYI >Potri.007G067700.1.v4.1 pep chromosome:Pop_tri_v4:7:8715942:8724114:1 gene:Potri.007G067700.v4.1 transcript:Potri.007G067700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G067700.v4.1 MDAFNHLITTNLNHQNDDVNEEEEEEQQDIISSGVVFSQLKPYCLDLLQLLQNPNPTSFSSSIPSLVQFLHDSPPPSLQPFFDYVLFPLLLLLDAAVDSRKQNPKPHKISDRVAEGVVQCLEELLNKCYLVSIDQMVVLMKKLTYAAMLTENEASEEFREGVIKCFRALIEGLSSCGVEGCSCEEINGLPALVEAGDNRNVNSARDYLGGEGECLVSFLRSQSASAAVGHWFSLLLKAADNEVARGHRGSAKIRVEAFLTVRGLVAKIGTADALAFFLPGVVSQFAKVLHMSKTMISGAAGSVEAIDQAIRALAEYLMIVLEDDANVSSLDRSLCAGSGFNSNKKGSSIHSVLDELRQLPVSTQNQSKVAAENSVAEAVKSVTPASEFQSAKPGNEKGALHVDRTRDWVEETSAHVDRLLSATFPHICLHPARKVRQGLLAVIRGLLSKCSCTLKQSKSMFLECLFVLVVDECGDISAPAQEFLEYLLSSSSKLNVQSDVAELFSRLVEKLPKVVFGNDESHALSHAQQLLVVIYYSGPKFLMDHLQSPVTAARFLDIFALSLSQNSVFTGALDKLMLARPSSIGYLHSIAELKSSSRFSSDYQSIVDVVPSDNPNSRDIHGKAIQNPSLSLQDNSELPRMPPWFGSQKLYQTLAGILRLVGLSLMTDSKSEGHMSVVSDIPLGHLRKLVSEIRDKEFTKESWQSWYNRTGSGQLLRQASTAVCILNEMIFGLSDQAVDNLIRLFHTSELNREGVQAPDAKGADAQPNTVEHPERTRSIWKVSQERVARSHLNDCVGRIAHEYLSSEVWNLPIDQKSSLVQSDGEVEEITLHFFHDTAMLQQVIIDGIGIFSMCLGKDFASSWFLHSSLYLLLESLICSNIQVRQASDAVLHVLSCASGHPTVGQLVLANADYIIDSICRQLRHLDLNPRVPNVLASLLSYIGVAHKILPLLEEPMRSVSQELEILGRHQHPVLTIPFLKAVAEIGKASKHEASSLPTNAESYLMHVKSKVSDMGKGKKLESHEKSTSYYDNDIDMSDMESVMILIAEQWENLLFKLNDSKRYRRTVGSIAGSCLTAAIPLLASMKQEECLVALNIVEDGIVTLGKVEEAYRHEKETKEAIEEVIRSYSLYQLQDTLDAAEEGTDENRLLPAMNKIWPFLVACVRNKNPVAVRRCLSVISSVVLICGGDFFSRRFHTDGPHFWKLLTTSPLQKKPFSKEDTTPLQLPYRSAPTSSGDSMSEISNLKVQVAVLNMIAHLSQNKRSTSALQIVLKKVSGLVVGIAFSGVKGLHDASINALRGLASIDSDLIWLLLADVYYALKKKDLPSPPISGLPQISKILPPPLSPKGYLYVQYGGQSFGFDIDYPSVETVFKKLLSQIFTNQLYI >Potri.010G202100.1.v4.1 pep chromosome:Pop_tri_v4:10:19427326:19430861:1 gene:Potri.010G202100.v4.1 transcript:Potri.010G202100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G202100.v4.1 MDSTPRRKSGLNLPSGMNETSLRLETFSSSSSFRAVTCVSSPRAISSLSSPSKTSSCSDRFIPCRSSSRLQTFGLIEKGSPVKEGGNEAYARLLKSELFGSDFGSFSSPAGGQGGLGSPSKNMLRFKTDHSGPNSPYSPSILGHDSGISSESSTTPKPPRKVPKTPHKVLDAPSLQDDFYLNLVDWSSQNVLAVGLGTCVYLWTASNSKVTRLCDLGPNDSVCSVQWTREGSYISIGTHLGQVQVWDGTQCKRVRTMGGHQTRTSVLAWNSRTLASGSRDRNILQHDLRVSSDHVSKLIGHKSEVCGLKWSHDDRELASGGNDNQLLVWNQHSQLPVLTLTEHTAAVKAIAWSPHQSGLLASGGGTADRCIRFWNTTNGHQLNHVDTGSQVCNLAWSKNVNELVSTHGYSQNQIMVWKYPSLSKVATLVGHSMRVLYLAMSPDGQTIVTGAGDETLRFWNVFPSMKTQTPVKDTGLWSLGRTQIR >Potri.010G135200.2.v4.1 pep chromosome:Pop_tri_v4:10:15064524:15066196:-1 gene:Potri.010G135200.v4.1 transcript:Potri.010G135200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G135200.v4.1 MLFFIATISRNKEYKPLKHHPRLLAMLPGELTGIRYLTADQNLVPFPANFGMMQQSNIPSFHFNRLLSNLQNSSLPQPVYEFAPQSSSLSNNSTSDESEEHQLSIIDERKQRRMISNRESARRSRMRKQKHLDELWSQVVRLRTENHNLIDKLSHVSECHDRVLQENARLKQEASDFRQMLTDFQIGSPYTTTALRDLEEVPCNTAHLRAESSNQSITSSVDLLH >Potri.003G194500.7.v4.1 pep chromosome:Pop_tri_v4:3:19709226:19712271:1 gene:Potri.003G194500.v4.1 transcript:Potri.003G194500.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G194500.v4.1 MASGGGGGSVEWHLRPPNPKNPIVFFDVTIGTIPAGRIKMELFADIAPKTAENFRQFCTGEYRKAGLPVGYKVCQFHRVIKDFMIQAGDFLKGDGSGCVSIYGLKFEDENFVAKHTGPGLLSMANSGPNTNGCQFFITCAKCDWLDNKHVVFGRVLGDGLLVIRKIENVATGPNNRPKLPCVIAECGEM >Potri.007G125600.1.v4.1 pep chromosome:Pop_tri_v4:7:14140948:14143772:1 gene:Potri.007G125600.v4.1 transcript:Potri.007G125600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G125600.v4.1 MMRGSTKKAICLFYFMFIAELGASLNCTGSCGNRGLHIRFPFWIKDRQPEQCGYPGFDLSCNEKGDIVLELPAAVKLHIEKIDYENQVIYATDPQGCLRSQHPNFNSSGFHIQFKMSKVNFTIFNCSLNNAISRRRIACLSTHQYDVLAVDSEQSIDGNELLLSCTKMYDLPVPHDIRLSWSNPNCGSCEATGKLCGLRENSSTELETECYGLPRPKKGARKRNLTIGVTTGSILFGVVVIAVYQIYSFRKSEEEYQAKVERFLDDYRAMNPTRYSHADLKKMTNQFRDELGQGAYGTVFKGKLTSEIPVAVKVLSNSSEKGEEFVNEMGTMARIHHVNVVRLIGFCADGFRRALVYEYLPNDSLQKFISSANARNVFLGWERLHHIALGVAKGIEYLHQGCDQTILHFDIKPHNILLDNDFNPKIADFGLAKLCSKYKSAISMTTARGTVGYIAPEVFSRNFGNVSYKSDVYSFGMMVLEMVGGRKNVDDTAENGDQVYFPEWIYNLLEEGEDLRFQIEEEGDAEIAKKLAIVGLWCIQWNPVDRPSMKIVVQMLEGEGDRLSTPPNPLSSTAAKRTNAGMLRRHLHQELAAISETE >Potri.011G152800.1.v4.1 pep chromosome:Pop_tri_v4:11:17977511:17980434:-1 gene:Potri.011G152800.v4.1 transcript:Potri.011G152800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G152800.v4.1 MAMLLQARRILTQTLPRCNSNSSQAFVKLLGSASRGLHDNVPGSKLFDPNATSGKVITCNAAVVRGPKQPFLMETIRVEPPRKMEVRIKILYTSICHTDLSAWKGENEAQRAYPRILGHEAVGLVESVGEGVTDLKAGDHVIPIFNGECGHCPYCTTKTTNLCQTYRVNPFKSVMENDGKCRFSTMNGEPIFHFLNTSTFTEYSVLDSACAVKIDPNAHLKKMSLLSCGVSTGVGAAWNAANVQAGSSVAIFGLGALGLAASEGARARGASKIIGVDINPEKFDKGKEMGMTDFVNPKDSSKPVHQRIREMTSGGVDYSIECAGNVEVLREAFLSTHDGWGKTVVVGIYPTPKMLPLHPMELFDGRSISGTTFGDFKGKSQLPELAKACMNGVVNLDGFITHELPFEKINEAFQLLGDGKALRCLLHV >Potri.003G098400.1.v4.1 pep chromosome:Pop_tri_v4:3:12402314:12408028:-1 gene:Potri.003G098400.v4.1 transcript:Potri.003G098400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G098400.v4.1 MAALQYLETQRNAHPELDEWYNSLADLYQKKLWHQLTLKLEQFVALAVFQAGDALIQFYHNFITDFETKINLLKLAHFAVIVSRRYAEKEAAISYLEGVIEKLRATREQRIEEPVLYIKMQIAIFKLEQGDQKECKKLLEDGKSTLDSMTDIDPSVYASYYWVSSQNYKHRQEFADFYKSALLYLAYTAVESLSDSFKLDLAFDLSLSALLGDNIYNFGELLAHPIIKSLLGTQVEWLYYILQAFNSGDLVRYQELCRVHNTALRAQPALVQNEQKLLEKINILCLMEIIFSRPSENRTIPLNVIAERTKLSIEDVEHLLIKSLSVHLIEGIIDQVEGTVHVSWVQPRVLGIPQIKSLRDRLDNWLDKVHTALLSVEAETPDLVAS >Potri.T005800.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_1549:23325:23801:-1 gene:Potri.T005800.v4.1 transcript:Potri.T005800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T005800.v4.1 MSCREGLMSPQTETKAGVGFKAGVKDYKLTYYTPDYETKDTNILAAFRVTPQPRVPPEEAGAVIVVESSTGTWTTVWTVGLTSLDHYKGRCYDIEPVVGEENQYIAYVAYPLDLFEEGSVTNMFTFIVGNVFGFKALRTLVLSLEFLFPLLFLLSPKM >Potri.004G202400.4.v4.1 pep chromosome:Pop_tri_v4:4:21178242:21182184:-1 gene:Potri.004G202400.v4.1 transcript:Potri.004G202400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202400.v4.1 MLQSLNVSRLKLYDADPNVLLAFSNSNVEFIIGLGNEYLQDMTDPIKAQNWVQQHLQPHITQTKITCITVGNEVFMSNDTRLWSNLLPAMKMVYSTLVNLGLDKQVIVTSAHSFNIIGNSYPPSSGTFRQDLAEYIQAILNFHSQIKSPFLINAYPFFAYKDNPNQISLEYVLFQPNPGMTDPNTNLHYDNMLYAQVDAVYSAIKAMGHTDIEVMISETGWPSKGDPDEVGSTPENAALYHSNLLNRIQARQGTPAKPSVPIDIYVFALFNENLKPGPTSEKNYGLFYPDGTPVYNSGLQGYLPGIVYYSSASTINEWSIFSLVIFVMSVLKIT >Potri.004G202400.3.v4.1 pep chromosome:Pop_tri_v4:4:21178106:21182241:-1 gene:Potri.004G202400.v4.1 transcript:Potri.004G202400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202400.v4.1 MATCFVLSRLLFLLLTLSDSAVRVLGAGLGINYGQIANNLPSPSRVAVMLQSLNVSRLKLYDADPNVLLAFSNSNVEFIIGLGNEYLQDMTDPIKAQNWVQQHLQPHITQTKITCITVGNEVFMSNDTRLWSNLLPAMKMVYSTLVNLGLDKQVIVTSAHSFNIIGNSYPPSSGTFRQDLAEYIQAILNFHSQIKSPFLINAYPFFAYKDNPNQISLEYVLFQPNPGMTDPNTNLHYDNMLYAQVDAVYSAIKAMGHTDIEVMISETGWPSKGDPDEVGSTPENAALYHSNLLNRIQARQGTPAKPSVPIDIYVFALFNENLKPGPTSEKNYGLFYPDGTPVYNSGLQGYLPGIVYYSSASTINEWSIFSLVIFVMSVLKIT >Potri.004G202400.2.v4.1 pep chromosome:Pop_tri_v4:4:21177965:21182257:-1 gene:Potri.004G202400.v4.1 transcript:Potri.004G202400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G202400.v4.1 MATCFVLSRLLFLLLTLSDSAVRVLGAGLGINYGQIANNLPSPSRVAVMLQSLNVSRLKLYDADPNVLLAFSNSNVEFIIGLGNEYLQDMTDPIKAQNWVQQHLQPHITQTKITCITVGNEVFMSNDTRLWSNLLPAMKMVYSTLVNLGLDKQVIVTSAHSFNIIGNSYPPSSGTFRQDLAEYIQAILNFHSQIKSPFLINAYPFFAYKDNPNQISLEYVLFQPNPGMTDPNTNLHYDNMLYAQVDAVYSAIKAMGHTDIEVMISETGWPSKGDPDEVGSTPENAALYHSNLLNRIQARQGTPAKPSVPIDIYVFALFNENLKPGPTSEKNYGLFYPDGTPVYNSGLQGYLPGIVYYSSASTINEWSIFSLVIFVMSVLKIT >Potri.017G027900.1.v4.1 pep chromosome:Pop_tri_v4:17:1826254:1827140:-1 gene:Potri.017G027900.v4.1 transcript:Potri.017G027900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G027900.v4.1 MAGHGTIQRPSTMSPPTTPTDPEDSTGKNRDDFERPEFKLVCPFSIPSSPEAASLRIIRNLGHFALYYTHFVWIVLFIALIPERKVSLIFLVIMTYVASLYLLLLRALPPNFYLLDKIIDKSIVLGLIAVATMIELIVTKAGLHLVITLAATVPIVLIHAVLWVREDFCVEERTGGGGGGGGELVPLVDDESTAMV >Potri.003G200200.3.v4.1 pep chromosome:Pop_tri_v4:3:20063184:20067143:1 gene:Potri.003G200200.v4.1 transcript:Potri.003G200200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G200200.v4.1 MAGALVGGAFLSSFLNVLFDRMASPQVVAFLRGQKVNDRLLTRLETAMTSLRVVLDDAEEKQITNRAVRDWLDLLKDAVYKADDFLDEIAYEALRQELEAEDQTFTGQTQRLLSFINPLEILGSREIEEKLDFLLQDLDPLVERMDALGLINRNVERPSSPKRPTTSLVDESSIYGRDDDREAILKLLQPDDASGENPGVVPIWGMGGVGKTTLAQLVYNSSEVQEWFGLKAWVCVSEDFSVLRLTKVILEEVGSKSDSDSLNNLQLQLKKRLQGKRFLVVLDDVWNEDYDEWDRFLTPLKDGSQGSKILVTTRNESVASVMRTVRTHHLEELTEESCWSVFAKHAFRGKNPNAYEELQEIGREIVRKCKGLPLAAKTLGGLLRTKRDVEEWEKILESNLWDLPKGNILPALRLSYHYLLPHLKQCFAYCAIFPKDYSFRKDELVLLWMAEGFLVGSVDDEMEKAGAECFDDLLSRSFFQQSSSSFVMHDLMHDLATHVSGQFCFSSRLGENNSSTATRRTRHLSLVVDTGGGFSSIKLENIREAQHLRTFRTSPHNWMCPPEFYKEIFQSTHCRLRVLFMTNCRDASVLSCSTSKLKHLRYLHLSWSDLVTLPEEASTLLNLQTLILRKCRQLASLPDLGNLKHLRHLNLEGTGIERLPASLERLINLRYLNIKYTPLKEMPPHIGQLTKLQTLTAFLVGRQSETSIKELGKLRHLRGELHIRNLQNVVDARDAGEANLKGKKHLDKLRFTWDGDTHDPQHVTSTLEKLEPNRKVKDLQIDGYGGVRFPEWVGESSFSNIVSLRLVSCKNCTSLPPLGQLASLEYLSIEAFDKVVTVGSEFYGNCTAMKKPFESLKELSFKWMPEWREWISDEGSREAFPLLEVLSIEECPHLAKALPCHHLSRVTSLTIRGCEQLATPLPRIPRLHSLSVSGFHSLESLPEEIEQMGWSPSDLEEITIKGWAALKCVALDLFPNLNYLSIYNCPDLESLCAHERPLNDLTSLHSLSISRCPKLVSFPKGGLPAPVLTRLKLKDCWNLKQLPESMHSLLPSLDHLEINGCLEFELCPEGGFPSKLQSLRIFDCNKLIAGRMQWGLETLPSLSHFGIGWDENVESFPEEMLLPSSLTSLKIDSLKHLKSLDYKGLQHLTSLRALTISNCPLLESMPEEGLPSSLSTLAIYSCPMLGESCEREKGKDWPKISHIPHIVIRRPTY >Potri.004G005100.2.v4.1 pep chromosome:Pop_tri_v4:4:315084:320992:-1 gene:Potri.004G005100.v4.1 transcript:Potri.004G005100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G005100.v4.1 MSPSADLSNQHSLPTHSPRLEQKKWKRKRERGKVVWYRKKQFKGILGLVCLVGLFFIFNGFMLLRLQLDDETSGNKDMSVQNSPSISVSIKDGLKKIGKGKMPRKDTYDRMLALAAHSLAKNKQEPKDLWQEPFVPASAWRPCADHRDWNPSEGNNGYILVTANGGMNQQRVAVCNAVVLARLLNSSLVIPRFMYSSVWRDVSQFSHIYQEEHFINYLTPDIRIVKELPKELQSLDLEAIGSTVTDVDVPKESEPSFYLKSILPILLKNRVVHFVGFGNRLAFDPIPFQLQRLRCRCNFHALQFVPKIQETGALLLQRLRKHATHPGSLDHYLTGPFAEPNLKGKVGHAVKASRYLAIHLRFEIDMLAHSLCEYGGGEEERKELEAYREIHFPALTHLKKTTELRSPALLRSEGLCPLTPEEAVLMLAALGFRRKTHMFIAGANIYGGRSRLTALTSLYPNLVTKEKLLSATELKPFMNFSSQLAALDFIACTASDAFAMTDSGSQLSSLVSGFRIYYGGGKMPTIRPNKRRLADIFMKNNTIEWKIFEQRVRKAVRQTKHVFERPKARSVYRYPRCKECMCLTE >Potri.014G103100.3.v4.1 pep chromosome:Pop_tri_v4:14:6892171:6892803:1 gene:Potri.014G103100.v4.1 transcript:Potri.014G103100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103100.v4.1 MNFGYNILAQIFKVFYSRALGFFASSFRRVLLFSKIKAGCLNMAGS >Potri.014G103100.2.v4.1 pep chromosome:Pop_tri_v4:14:6892171:6892473:1 gene:Potri.014G103100.v4.1 transcript:Potri.014G103100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G103100.v4.1 MNFGYNILAQIFKVFYSRALGFFASSFRRVLLFSKIKAGCLNMGNFLCMPACLLMKCAIFYLFLGLFSFGLSRGKHYHYYYYYYYYYYYYYYYYYYYAFP >Potri.014G186164.1.v4.1 pep chromosome:Pop_tri_v4:14:15421768:15422187:-1 gene:Potri.014G186164.v4.1 transcript:Potri.014G186164.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186164.v4.1 MKNVAKCDTWCELQNPVNHRVFERKLRPRPLGRGHVCLGVTHRRPHSPRLTRAGADTGLPRAPARGWPKIESSATDALVATPGQAGLPAEFKHINKRRKRNLQGFP >Potri.001G259208.1.v4.1 pep chromosome:Pop_tri_v4:1:27477264:27478477:-1 gene:Potri.001G259208.v4.1 transcript:Potri.001G259208.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G259208.v4.1 MTRQQESPIVFLGDSRAPRPSPWRKFLQLKEQDRLQHLKRMVKFQQPPNQEEEQMTWSWRNLLNSIFGQENKKKCENGKSPDSFNIYDRRPNFRNNYGWITAIDESDYQPLRHSGIGVCLVNLTAGSMMAPRTFEPISNRVWNCFEWKQQNTDCVSKWNTSDECKSKSRRCFLGPKILPLLSNCSKVRFSRVLRIHNFSSPEQATALDWCKLNSSNHENP >Potri.015G047900.2.v4.1 pep chromosome:Pop_tri_v4:15:4834690:4836310:1 gene:Potri.015G047900.v4.1 transcript:Potri.015G047900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047900.v4.1 MANISFRHRDADADTNTNTNTNANGQTSTVDPYFPILFSSLYDDPFLPLPELTTHFNIHSLSHQEDDTVSDPESVILNGPDLLDRENQVSFVMDLFQQRVEQSQLLCDDDDVCSSLNESGFGVIQENSEYNLELDLGLGFSLDSQDNSGFQNIDANSNSNGNDVVYNVSNIIVDDDDDFFIERSVSGIESCQAESTVSIRANAIRVVGFGSDSDSEDNQNSLAIDFNSGDDYGLDVSIGNGSFGDGVDYDDARVTIPLCWDSLQLEDHRENNEDFEWEEVDGRLDEREVLSMFIDEDEASVSLSISPLIAPEDLVSVERVGGLDHLEWQVLLNANNLDHDHNSEPYSGSHDDYIYTAESEMLFGQFTENENAMMGQPPATKSVVEKLPSVVFTKEDVESNNALCAVCKDDINVGERVKQLPCLHRYHGECIVPWLGIRNTCPVCRYELPTDDADYEQRKMAETSADHHL >Potri.015G047900.3.v4.1 pep chromosome:Pop_tri_v4:15:4834782:4836389:1 gene:Potri.015G047900.v4.1 transcript:Potri.015G047900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G047900.v4.1 MDLFQQRVEQSQLLCDDDDVCSSLNESGFGVIQENSEYNLELDLGLGFSLDSQDNSGFQNIDANSNSNGNDVVYNVSNIIVDDDDDFFIERSVSGIESCQAESTVSIRANAIRVVGFGSDSDSEDNQNSLAIDFNSGDDYGLDVSIGNGSFGDGVDYDDARVTIPLCWDSLQLEDHRENNEDFEWEEVDGRLDEREVLSMFIDEDEASVSLSISPLIAPEDLVSVERVGGLDHLEWQVLLNANNLDHDHNSEPYSGSHDDYIYTAESEMLFGQFTENENAMMGQPPATKSVVEKLPSVVFTKEDVESNNALCAVCKDDINVGERVKQLPCLHRYHGECIVPWLGIRNTCPVCRYELPTDDADYEQRKMAETSADHHL >Potri.004G014100.1.v4.1 pep chromosome:Pop_tri_v4:4:882037:892257:-1 gene:Potri.004G014100.v4.1 transcript:Potri.004G014100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014100.v4.1 MEVALLVQSPLSCSGRGVFSERNGLKIKPFLVGSFPHVRSGQLSSLNSWRKEFPASGVSFRIAATADFSKRRQRKMSNARPRGSSPKGFTPKTPVGTSTQKRDLENNGEKEGSVTPKSSEIAEANKQTLETQVEEDEELAIEHSGEKKVDEEKIGEEVSLMSKKVAVANGNQVVKNGSISRVGKDVTLSEDKIALEGSQNDDLKNDGIVKEKSISIDARKTEDDSLQIKLKLEMEEKLRKEETDRLAEEKLRKQEIERLVEENFSKGNKLFVYPQMVKPDEDIEVFLNRSLSTLSDEPDILIMGAFNDWRWKSFTFRLSKTHLNGDWWSCQVHVPKEAYKMDFVFFNGQDVYDNNDRKDFYILVEGGMDAFAFDDFLLEEKRRELEKLAKEQAVKERLAEEQRRREAEKAASEADRAQARAEIEKRRRTLQELMKKAARSFNNVCHVEPSEFKGEDTIKLYYNKSSGPLAHANDLWVHGGHNNWKDGLSIVERLVSSDKKDGDWWYANVVVPDRAFVLDWVFADGPPQNATVYDNNHRQDFHAIVPNGIPEELYWVEEEHQIYRKLQEKRRLREDAIRAKAEKTARIKAETKEQTLKRFLLSQKHIVYTEPLDVQAGSTVTVFYNPANTILNGKPEVWFRGSFNRWTHRKGPLPPQKMLPADNGSHVKATVKVPLDAYMMDFVFSEKEDGGIFDNREGMDYHIPVSGGIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHSVDIILPKYDCMKISHVKDLHYQRSYSWGGTEIKVWFGKVEGLSVYFLEPQNGMFWAGCVYGCKNDGERFGFFCHAALEFLQQSGFHPDIIHCHDWSSAPVAWLFKDHYMHYGLSKSRVVFTIHNLEFGANNIGKAMAYSDKATTVSPTYSREISGNPLIASHLHKFHGILNGIDPDIWDPYNDTYIPVPYTSENVVEGKRTAKEALQQRLGLKKADLPLVGIITRLTHQKGIHLIKHAIWRTLERGGQVVLLGSAPDPRVQNDFVNLANHLHSSHHDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIAVVRKTGGLFDTVFDVDHDKERAKAQGLEPNGFNFDGADPAGVDYALNRAISAWYDGRDWFNSMCKKVMEQDWSWNKPALDYLELYHSARK >Potri.004G014100.3.v4.1 pep chromosome:Pop_tri_v4:4:882027:890992:-1 gene:Potri.004G014100.v4.1 transcript:Potri.004G014100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G014100.v4.1 MSNARPRGSSPKGFTPKTPVGTSTQKRDLENNGEKEGSVTPKSSEIAEANKQTLETQVEEDEELAIEHSGEKKVDEEKIGEEVSLMSKKVAVANGNQVVKNGSISRVGKDVTLSEDKIALEGSQNDDLKNDGIVKEKSISIDARKTEDDSLQIKLKLEMEEKLRKEETDRLAEEKLRKQEIERLVEENFSKGNKLFVYPQMVKPDEDIEVFLNRSLSTLSDEPDILIMGAFNDWRWKSFTFRLSKTHLNGDWWSCQVHVPKEAYKMDFVFFNGQDVYDNNDRKDFYILVEGGMDAFAFDDFLLEEKRRELEKLAKEQAVKERLAEEQRRREAEKAASEADRAQARAEIEKRRRTLQELMKKAARSFNNVCHVEPSEFKGEDTIKLYYNKSSGPLAHANDLWVHGGHNNWKDGLSIVERLVSSDKKDGDWWYANVVVPDRAFVLDWVFADGPPQNATVYDNNHRQDFHAIVPNGIPEELYWVEEEHQIYRKLQEKRRLREDAIRAKAEKTARIKAETKEQTLKRFLLSQKHIVYTEPLDVQAGSTVTVFYNPANTILNGKPEVWFRGSFNRWTHRKGPLPPQKMLPADNGSHVKATVKVPLDAYMMDFVFSEKEDGGIFDNREGMDYHIPVSGGIAKEPPMHIVHIAVEMAPIAKVGGLGDVVTSLSRAVQDLNHSVDIILPKYDCMKISHVKDLHYQRSYSWGGTEIKVWFGKVEGLSVYFLEPQNGMFWAGCVYGCKNDGERFGFFCHAALEFLQQSGFHPDIIHCHDWSSAPVAWLFKDHYMHYGLSKSRVVFTIHNLEFGANNIGKAMAYSDKATTVSPTYSREISGNPLIASHLHKFHGILNGIDPDIWDPYNDTYIPVPYTSENVVEGKRTAKEALQQRLGLKKADLPLVGIITRLTHQKGIHLIKHAIWRTLERGGQVVLLGSAPDPRVQNDFVNLANHLHSSHHDRARLCLTYDEPLSHLIYAGADFILVPSIFEPCGLTQLTAMRYGSIAVVRKTGGLFDTVFDVDHDKERAKAQGLEPNGFNFDGADPAGVDYALNRAISAWYDGRDWFNSMCKKVMEQDWSWNKPALDYLELYHSARK >Potri.003G045300.1.v4.1 pep chromosome:Pop_tri_v4:3:5185224:5186546:1 gene:Potri.003G045300.v4.1 transcript:Potri.003G045300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G045300.v4.1 MGNTDKLLNQIMDLKFTSKSLQRQSRKCEKEEKSEKLKVKKAIEKGNMDGARIYAENAIRKRTEQMNYLRLSSRLDAVVARLDTQAKMTTINKSMGSIVKSLESTLATGNLQKMSETMDQFEKQFVNMEVQAEFMESSMAGSTSLSTPEGEVNSLMQQVADDYGLEVSVGLPQPAAHAVATSSQEKVGEDDLSRRLAELKAKG >Potri.018G030000.4.v4.1 pep chromosome:Pop_tri_v4:18:2221923:2227252:1 gene:Potri.018G030000.v4.1 transcript:Potri.018G030000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G030000.v4.1 MDSPKTTTTTTATTTISATTTVSLLDSPPVQESPFSNYVSRLSPIKPVKASHVAQGLLGINSPPLVFTSPRTLSDRQTNFLRRFPCPQISGAEISKNDGGSKKSADGPKDLRKSSTYLNSRLIINVQKSNNINNFEQDQLGSFSGCVDEYLFDPVDVDCADSVNLSSESNLTNSKIVMLESDGIIDMETKGDASQAMPEQDGEDPKEHSTSETIKEKIEEEGNIVEQPSHVCPNFMSDLLVDHTSRQQQCDTSGAQVIPAFVFLCETRSKSVEPASPDVSQLHRGMSRRCLQFEKAQQKTPMDGTYSLDLAITIIGSISSSSNTELEILDSSQVELPSSSRKKQTVFVSMPSGFGLHLNSIVNTLLIGCTGHKISCSKLPSLVERVSSTAGDDVLQTKASLATGSAISESLHTKETLNKLQPPEHQITLHNNKRFSSEHADNFEEFNQSSPKKKRQDHKVSSTDGDGCKRCNCKKTRCLKRYCDCFAAGIYCAETCACQGCFNRPEYEDTVLEARQQKESHNPLAFAPKIVQHVTEFHAINVEDASLFTPSSGRHKTGCNCKRSMCVKKYCECYQANVGCSNACRCEGCKNIHGRKEEYGMTGEIVSNRANEERLESMADNKFKMIVNNKFLHAELCDPRSLTPSTLSFEYTSHGKKAAKSRLLPGRYVLSSEPDFSMLPRKIHNKTLDVVSHSQELDYNITEAMGQFSPRFNELADFSDHTPLPNPSPIMMASSSSKTRDTAIISQVCLYPGSGRLSSSGSLQWRSSPITPMTRLGETKTQALDSGCGLYDILEDGTPEIFKESPTPVTSVKASSPHKKGVSPPRGHIQEFQSSSSAGLKSGRKFVLKSVPSFPPLTPCIDPKDRTQQKY >Potri.015G043600.2.v4.1 pep chromosome:Pop_tri_v4:15:4252689:4261182:-1 gene:Potri.015G043600.v4.1 transcript:Potri.015G043600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G043600.v4.1 MAMPHSLMVSSSPAALRLQWDVFLSFRGEDTRHGFTKNLYDSLSKQDIRVFLDDSGMTQGDEIAPTLMEAIEDSALSIIILSPRYANSHWCLEELARICELRRLILPVFYQVDPSHVRRQKGPLEQDFMNHMERFGEEKVGKWREAMYKVGGISGFVFDTRSEDQLIRRLGNRVMTELRKTPVGIATYTVGLDSRVEDLKKRFIDDKSNRVQVLGLHGMGGIGKTTLATALFNKLVGHFESRSFIFNVKDISKEDGGLVKLQNKLLGDLSPNWPLVNNIDKGVAAIKMLVHEKRVLIVLDDVDDVSELNALVGNRSWFGEGSRVIVTTRNKAVLAEHLVNEFYEVRELGDPEALQLFSYHALRKDKPTEEYMNISKEIVSLTGGLPLALEVFGSTLFNERGLNRWEDALKKLQRIRPHNLQDVLRISYDELDEDGKHVFLDIACLFFKMGMKREEAIDILKGCGFSAETVIRVLTSKCLIKIREDDELWMHDQLRDMGRQIVQHENLADPGGRSRLWDRGEIMSTLMRKKGTESVQGIVFDFEKKKYTRTRRISWVRALNPCSALAYLIEKCKIFLRRGQEGEEKKKYTRTQWISWVRALNPCSALAYLIEKCNIFLRQGQEEGEMILDTEGFKSMVNLRLLQINHAKLQGKFKNFPAGLKWLQWKNCPMKNLPSDYAPHELAVLDLSESGIERVWGWTSNKVAKNLMVMDLHGCYNLVACPDLSGCKTLEKLNLQGCVRLTKVHKSVGNARTLLQLNLNDCSNLVEFPSDVSGLKVLQNLNLSNCPNLKDLPQEIGSMYSLKQLLVDKTAISVLPESIFRLTKLEKLSLNGCQFIKRLPKHLGNLSSLKELSLNQSAVEELPDSVGSLSNLEKLSLMWCQSLTAIPESVGNLQLLTEVSINSSAIKELPPAIGSLPYLKILSAGGCRSLSKLPDSIGGLASISELELDETSISHLPEQIGGLKMIEKLYMRKCTSLSSLPESIGSMLSLTTLNLFGCNINELPESFGMLENLVMLRLHQCRKLQKLPVSIGKLKSLCHLLMEKTAVTVLPESFGKLSNLMILKMGKEPLESPSTQEQLVVLPSSFFELSLLKELNARAWRISGKIPDDFEKLSSLEMVDLGHNNFSSLPSSLCGLSLLRKLHLPHCEELESLPPLPSSLVEVDVSNCFALETMSDVSNLGSLTLLNMTNCEKVVDIPGIECLKSLKRLYMSNCKACSLKVKRRLSKVCLRNIRNLSMPGSKIPDWFSQEDVKFSERRNREIKAVIIGVVVSLDRQIPEQLRYLPVVPDIQVNLLDQNKPIFSTTLYLQGIPKTHEDHIHLCRYSHFNPLVLMLKDGSEIQVRKRKPPVIEGVELKKCGIHLVYENDDDYGGNEESLDESQQSVSQKLANFFNSYEEDSQVC >Potri.005G145600.1.v4.1 pep chromosome:Pop_tri_v4:5:11939680:11941677:1 gene:Potri.005G145600.v4.1 transcript:Potri.005G145600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G145600.v4.1 MQSTETPLPHWHQIITPFSNPTMNINQTQRTRHWPGFPTSKSLRSFGDANCMEQLLVHCANAIESNNATLSQQIVWVLNNIAPPDGDSNQRLTFAFLRALIARATKSCTCKLLAAMANAHYNLALHTHKFSVIELASFVDVTPWHRFGFTAANAAILEAVEGYLVIHIVDLSLTHCMQIPTLIDAIANRFEVPPLIKLTVAGATEDVPPMLDLSYEELGSKLVNFAWSRNVIMEFRIIPSSYADGFSSLIEQLRVQHLVHAESGEALVINCHMMLHYIPEETLSDFPSSKSNPYSYESSCSSMSSFRTTFLKSLRSLDPTIVVLVDEDADLTSNNLDSRLRSAFNYLWIPFDTVDTFLPRGSKQRQWYEADVCWKIMNLIAHEGLQRVERLEPKIQWIQRMRNADFRGISFAEDAISEVKTMLDEHAAGWGLKKEDDDLVLTWKGHNVVFASAWLPV >Potri.013G142401.1.v4.1 pep chromosome:Pop_tri_v4:13:15672204:15672749:1 gene:Potri.013G142401.v4.1 transcript:Potri.013G142401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G142401.v4.1 MRDLVMIFFFFISPLFISLSVSSAKNSFKPEEPCKHIVLYYHDTLFNGTDAANATSAAATNATKLGNFNFGMLVVFDDPMTKDNHLLSRPVARAQGFYFYDMKSTYTAWFAYTLIFNSTEHKGTLNIMGADLMMMETRDFSVVGGTGDFFMARGIATIRTDTFQGAYYFRLKMDIKLYECY >Potri.018G110375.1.v4.1 pep chromosome:Pop_tri_v4:18:12821486:12821878:1 gene:Potri.018G110375.v4.1 transcript:Potri.018G110375.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G110375.v4.1 MNTHFCLCLSLSTCLSLSETVCKGAVMISSLCRNQAGRGHPSVGMVILNGCCEI >Potri.001G277500.1.v4.1 pep chromosome:Pop_tri_v4:1:29115074:29117288:1 gene:Potri.001G277500.v4.1 transcript:Potri.001G277500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G277500.v4.1 MATVVAPKDFSPVEDAETIKKACLGLGTDEKAIISVLGNRNSFQRKLIRLAYEEIYHEDLIHQLKSEISGDFERAMSQWTLEPADRDAVLANAALQKSKPDYRVIVEIACVGSPEDLLAVKRAYRFRYRHSLEEDVALHTKGDIRKVLVALVSAYRYDGHEVDEDLAISEAGLLHDDVYGKAFNHDELVRVLTTRSKAQLNATFNRYQDIHGKSITKGLLGDPIDEYLGALRTAVRCIRDPRKYFVKVLRRAVHKEDTDEDALSRVIVTRAEKDLKEIKELYLKRNNISLDQAVAVDTHGEYKEFLLTLLGNEKN >Potri.007G001900.1.v4.1 pep chromosome:Pop_tri_v4:7:117065:122803:-1 gene:Potri.007G001900.v4.1 transcript:Potri.007G001900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001900.v4.1 MWLSKGQWSRKGGEGKGLVAVAVDKDKGSQNALKWTMENLLSKGQTVVLIHVFSKSSSSSSSSSFVTSHGAAGDYFSPGKQQLEKMAKDLFLAFRCYCTRKDVRCLDVALESTDIAKAITEYVAHAAIETLVLGTPSRSGFMRKFKADVPSTVSRGAPDFCTVYAVSKGKVSSMRNASRAAPFVSPLLDQIKNQQNEKSAGDDSHEALYKHSWSIKQRTASVNHHISVDENFRSPFGTGRYGHSVRSFADLMSETDISFVSSSRPSTDRMSSTTYDFMDSGLAPRLSTSSATSFASIHSGPKSIGPNSQQGFSSVSHDSGGTSFSGSTHSLDDMESEMRRLKLELKQTMEMYSEACREALTAKQKATELNRWRIEEERRLEEARFSEEAALSIIEQEKARCREAIEAAETAQRRAEIEAQRRVIIEKALKEAAQTKKSKGNLSYNDIRYRRYSIEEIEEATQYFSESKKIGEGGYGPVYKCYLDHTPVAVKVLRPDAAQGRSQFQREVEVLSLIRHPNMVLLIGACPEYGILVYEHLAKGSLEDCLFKRGNTPALSWQIRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDNNYTSKIGDVGLARLVPATAENVTQYYMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQIITARPPMGLTHIVEQAIENGAFKEVLDPDVPDWPVEEALSYAKLALQCAELRRKDRPDLGTEVLPELNKLRDLAEEKTNYLYFAGSFGPYPNHSQASITPPLSQTSMTPTNLSQSSVNKEMTCDFVVENP >Potri.007G001900.2.v4.1 pep chromosome:Pop_tri_v4:7:117065:121126:-1 gene:Potri.007G001900.v4.1 transcript:Potri.007G001900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G001900.v4.1 MVLLYRKFKADVPSTVSRGAPDFCTVYAVSKGKVSSMRNASRAAPFVSPLLDQIKNQQNEKSAGDDSHEALYKHSWSIKQRTASVNHHISVDENFRSPFGTGRYGHSVRSFADLMSETDISFVSSSRPSTDRMSSTTYDFMDSGLAPRLSTSSATSFASIHSGPKSIGPNSQQGFSSVSHDSGGTSFSGSTHSLDDMESEMRRLKLELKQTMEMYSEACREALTAKQKATELNRWRIEEERRLEEARFSEEAALSIIEQEKARCREAIEAAETAQRRAEIEAQRRVIIEKALKEAAQTKKSKGNLSYNDIRYRRYSIEEIEEATQYFSESKKIGEGGYGPVYKCYLDHTPVAVKVLRPDAAQGRSQFQREVEVLSLIRHPNMVLLIGACPEYGILVYEHLAKGSLEDCLFKRGNTPALSWQIRFRIAAEIATGLLFLHQTKPEPLVHRDLKPGNILLDNNYTSKIGDVGLARLVPATAENVTQYYMTSAAGTFCYIDPEYQQTGMLGVKSDVYSLGIMLLQIITARPPMGLTHIVEQAIENGAFKEVLDPDVPDWPVEEALSYAKLALQCAELRRKDRPDLGTEVLPELNKLRDLAEEKTNYLYFAGSFGPYPNHSQASITPPLSQTSMTPTNLSQSSVNKEMTCDFVVENP >Potri.005G248950.1.v4.1 pep chromosome:Pop_tri_v4:5:24283116:24284324:-1 gene:Potri.005G248950.v4.1 transcript:Potri.005G248950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G248950.v4.1 MAACHIRSISLPSRSHPLNVSVEDQLDRLRSSETTSTSVYHKLSGLKVLYECVEDFLQLPLTQQTLSNEQQKERGEEVLSGSLLLLDMCSTTRDVFSSIKECLQELESSLRRRKGGESGFASEVEAYIMSRKQLDKTIRKCFKNLKSMEKNITSAVDAVSLLTEVKEISLGIFQSLLSMVSQTKARSSSHGWSVVSKLFPSKRVSCEAELNEFKKIDAELLVLKSSKDINSVQVQNALKGLEALESNIQEAVEELEAVYRRLLKTRVTILNILSH >Potri.018G134100.1.v4.1 pep chromosome:Pop_tri_v4:18:14301973:14304870:1 gene:Potri.018G134100.v4.1 transcript:Potri.018G134100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G134100.v4.1 MGICWSRTSDKSNPTPVDPPPPSDAAPAPAPGSFFDPDAAPSSVGHLDDDTSFGGSNVSGSSWLSMATTNLTTWIYQASDAIAAIWGNVPDDNEFLVSVDDEALPDVESGSDSKLRAFTFEQLKAATLNFRSNMVLGEGGFGKVYQGWLKEKVASQGTRKRPIAVKRLDSKSKQGYRQWRTEVGFLARLSHPNVVKLLGYCREDEEHVIVYEFMKKGSLNYHLFGKGPDRMLSWETRLKVLIGTAQGLAYLHTMEKPIIFRDFKTSNILLDESYTPKLSDFGLAKWGPADGESYVSGHVMGTIGYAAPEYVATGNLYLKSDVYSFGVVLLEMLTGLRAYDKSRPSQQINLVNWVRPFLSDRRKVRNFMDPRLDGKYPVKQVLRIGRLAVRCLQAVPLFRPSMKEVAETLTKIGARYNSTKDEPEALST >Potri.006G057800.1.v4.1 pep chromosome:Pop_tri_v4:6:4093332:4096254:1 gene:Potri.006G057800.v4.1 transcript:Potri.006G057800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G057800.v4.1 MPVSRDRLSSPVDIAALFAARRQSRILGVYQDQPELDMALFGSPRPNAATRTQTVGAGTIAVRGRGGLGTPRGRGGRTTLGRENIPPPGSARRGRGRGSNSVLPAWYPRTPLRDVTAVVRAIERRRERLGGSDGLEIRSPMPQVRMNHDSSEATPVAHLEHSNRIMSPKPTTAVKGCSSTIGKVPKILQHITNQASGDPDSLTPQKKLLNSIDTVEKVVMEELRKMKRTPSARKAEREKRVRTLMSMR >Potri.003G077800.1.v4.1 pep chromosome:Pop_tri_v4:3:10537212:10539499:1 gene:Potri.003G077800.v4.1 transcript:Potri.003G077800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G077800.v4.1 MAKIAFGSLGDSFSLASIKAYLSEFIATLLFVFAGVGSAIAYSKLTTDAALDPPGLVAVAVAHAFALFVGVSIAANISGGHLNPAVTFGLAIGGNITFLTGLLYWIAQCLGSIVACLLLKVVTSAEGIPTHGVASGMSAIEGVVMEIVITFALVYTVYATAADPKKGSLGIIAPIAIGFIVGANILAAGPFSGGSMNPARSFGPAVVSGDFSQNWIYWLGPLVGGGLAGLVYGGIFIGSYAPAPVSEDYA >Potri.017G018901.1.v4.1 pep chromosome:Pop_tri_v4:17:1413451:1413866:-1 gene:Potri.017G018901.v4.1 transcript:Potri.017G018901.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G018901.v4.1 MLHAQQEMEWQKTLVSICFTSGLEIALHFHQPTDSKLDSLHSVCVLVAIIFSCLFVSHFINPAKFPMTSKVLGKVAVFLVATVFFITISIPFPPGVKWATWIIYATSLLVIAICNFCY >Potri.004G110000.1.v4.1 pep chromosome:Pop_tri_v4:4:9829026:9829415:-1 gene:Potri.004G110000.v4.1 transcript:Potri.004G110000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G110000.v4.1 MGSFGKNISSTLFLFIGILMIITPGFAIRTNEENPELSQHLEECHTKVTKRCAIEISDSIYTDNTPSEYCCQKHITTGKACHDDFIKLFISKVPKEKVTFVAAKGDQIWNHCAAIEALAPAALTFPILP >Potri.017G006500.1.v4.1 pep chromosome:Pop_tri_v4:17:480569:482476:1 gene:Potri.017G006500.v4.1 transcript:Potri.017G006500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G006500.v4.1 MAKFVVSYVVLFLFYNFQRSNAASASYNVSQFGANPDGITDSTQPFLKAWSAACSSASPSTIYVPKGSYLLKATVFSGPCKNKITVQIDGTLVAPTDYRALGNSGYWILFIKVNRVSVFGGTLDAKGAGFWACRKSGQNCPVGARSITFNWANDILISGLTSINSQSMHIVINSCNNVLVRNVRVIAPYNSPNTDGIHVQISTGVTITGSTLQTGDDCISIGPSTRNLLMSSIKCGPGHGISIGSLGKDFNEDGVENITLTNSIFSGSDNGVRIKSWARPSNGFVRNVVFQNLIMKNVKNPIIIDQNYCPNNQGCPHQSSGVQISQVTYRNIQGTSATPKAVTFDCSPSNPCRGIELQDIKLTYLNTAATSSCKNIGGTSSGVLMPESCI >Potri.004G048600.1.v4.1 pep chromosome:Pop_tri_v4:4:3905671:3919985:-1 gene:Potri.004G048600.v4.1 transcript:Potri.004G048600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G048600.v4.1 MSAISVPLYQSKLTFPSTTAAASPSSAFFVSTNKLSFSTALLHVTSHITSRTLASTNQSLSTTTTATIEINNNDPSDPHTTAIVIRARNRIGLLQVITRVFKILGLRIEKATVEFDEGGGGGGGECFIKTFYVSDSHGNRIEDDQSLEKIKKALFDAIDGGAGEVKVGSSSSTGKGVVVRRSGGLRGGETRVGVENMFGLMDRFLKSDPSSLQKDILDHVEYTVARSRFSFDDFEAYQALAHSVRDRLIERWHDTQLHFKKKDPKRIYFLSMEFLMGRSLSNSAINLGIRDQYADALKELGFEFEVLAEQEGDAALGNGGLARLSACQMDSLATMDYPAWGYGLRYQYGLFRQVILDGYQHEQPDYWLNFGNPWEIERVHVTYPVKFYGTVEDENFNGGKRKVWLPGETVEAVAYDNPIPGHGTRNTITLRLWAAKPSDQIDMESYNTGDYINAVVNRQRAETISSVLFPDDRSYQGKELRLKQQYFFVSASLQDIIRRFKDSHSNFDDFHEKVALQLNDTHPSLAIAEVMRVLVDEEHLDWNRAWDIVCKIFSFTTHTVLPEGLEKVPVDLLESLLPRHLQIIYDINFDYIEELKKKIGLDYDRLSRMSIVEDGAIKSIRMANLAIVCSHTVNGVSRVHSELLKTRVFKDFYELWPHKFDYKTNGVTQRRWIVVSNPSLSALISKWLGTEAWIRDVDLLAGLQEQAANADLHEEWRMVRKVNKMRLAEYIEAMSGVKVSVSAMFDVQIKRIHEYKRQLLNILGIVHRYDCIKNMEKSDRTKVVPRVCIIGGKAAPGYEIARKIIKLCNAVAEKINNDPDVGDLLKLVFIPDYNVSVAELVIPGADLSQHISTAGHEASGTGSMKFLMNGCLLLATEDGSTVEIIEEIGKDNMFLFGAKMHEVPALREKGPALKVPLQFARVVRMVRDGYFGFQDYFESLCDKVEGGNDFYLLGYDFQSYLEAQAAADKAFVDQEKWTRMSILSTAGSGRFSSDRTIEEYAEKTWGIEPCRCPF >Potri.010G118500.1.v4.1 pep chromosome:Pop_tri_v4:10:13731524:13732470:-1 gene:Potri.010G118500.v4.1 transcript:Potri.010G118500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G118500.v4.1 MDGWIREEGKEMEDEEVSGHGLVCKKIRFLNSLILFMENSFLADPTHANDFLSFLSLPVSFSQLCTKLCRSVLLFYAIWYVVINIGVSSFVFVFFFPLRSLFLFFFFIYMKNIIYS >Potri.001G061400.10.v4.1 pep chromosome:Pop_tri_v4:1:4713419:4718135:1 gene:Potri.001G061400.v4.1 transcript:Potri.001G061400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061400.v4.1 MLGIIRQKAFGQRIRPAVSAWRGYSSAAKEMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSHCYAAWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCLPIGKAKIEKEGKDVTITAFSKMVGYALKAAEILAKEGISAEVINLRSIRPLDRNTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSV >Potri.001G061400.11.v4.1 pep chromosome:Pop_tri_v4:1:4713389:4718049:1 gene:Potri.001G061400.v4.1 transcript:Potri.001G061400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061400.v4.1 MLGIIRQKAFGQRIRPAVSAWRGYSSAAKEMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSHCYAAWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCLPIGKAKIEKEGKDVTITAFSKMVGYALKAAEILAKEGISAEVINLRSIRPLDRNTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSV >Potri.001G061400.7.v4.1 pep chromosome:Pop_tri_v4:1:4713400:4718061:1 gene:Potri.001G061400.v4.1 transcript:Potri.001G061400.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061400.v4.1 MLGIIRQKVNAGGSPPILAFGQRIRPAVSAWRGYSSAAKEMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSHCYAAWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCLPIGKAKIEKEGKDVTITAFSKMVGYALKAAEILAKEGISAEVINLRSIRPLDRNTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSV >Potri.001G061400.8.v4.1 pep chromosome:Pop_tri_v4:1:4713313:4718061:1 gene:Potri.001G061400.v4.1 transcript:Potri.001G061400.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061400.v4.1 MLGIIRQKVNAGGSPPILAFGQRIRPAVSAWRGYSSAAKEMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSHCYAAWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCLPIGKAKIEKEGKDVTITAFSKMVGYALKAAEILAKEGISAEVINLRSIRPLDRNTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSV >Potri.001G061400.9.v4.1 pep chromosome:Pop_tri_v4:1:4713435:4717848:1 gene:Potri.001G061400.v4.1 transcript:Potri.001G061400.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G061400.v4.1 MLGIIRQKVNAGGSPPILAFGQRIRPAVSAWRGYSSAAKEMTVREALNSALDEEMSADPKVFLMGEEVGEYQGAYKISKGLLDKYGPERVLDTPITEAGFTGIGVGAAYHGLKPVIEFMTFNFSMQAIDHIINSAAKSNYMSAGQISVPIVFRGPNGAAAGVGAQHSHCYAAWYASCPGLKVLAPYSSEDARGLLKAAIRDPDPVVFLENELLYGETFPVSAEVLDSSFCLPIGKAKIEKEGKDVTITAFSKMVGYALKAAEILAKEGISAEVINLRSIRPLDRNTINASVRKTNRLVTVEEGFPQHGVGAEICASVVEESFGYLDAPVERIAGADVPMPYAANLERLAVPQVEDIVRAAKRACYRSV >Potri.014G170600.4.v4.1 pep chromosome:Pop_tri_v4:14:12555070:12560571:-1 gene:Potri.014G170600.v4.1 transcript:Potri.014G170600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170600.v4.1 MGYVCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSKRHSRTLLCERCNSQPALVRCAEERISLCQNCDWIGHGTSTSASTHRRQTINSYSGCPSASELSSIWSFVLDFPSRGESTCEQELGLMSIAENSTTDSWGPTENTIGQNASGVVEVNDRREIAKSGVWLGSSSIPESSSVPNNLDQTTRSANTSLPKLCCPGTKCPAPYEDADLYEDFNMDEMDLSLENYEELFGVTLNNSEELLENGGIDSLFGTKDMSGADSSCQGAVAAEGSSVGVVNAVQPASSNAASADSMMSNKTEPILCFTEKQGHSSLSFSGLNVESSAADYQDCGASSMLLMGEPPWCPPCPESPFSSANRSDAVMRYKEKKKTRM >Potri.014G170600.1.v4.1 pep chromosome:Pop_tri_v4:14:12555054:12560782:-1 gene:Potri.014G170600.v4.1 transcript:Potri.014G170600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G170600.v4.1 MGYVCDFCGEQRSMVYCRSDAASLCLSCDRNVHSANALSKRHSRTLLCERCNSQPALVRCAEERISLCQNCDWIGHGTSTSASTHRRQTINSYSGCPSASELSSIWSFVLDFPSRGESTCEQELGLMSIAENSTTDSWGPTENTIGQNASGVVEVNDRREIAKSGVWLGSSSIPESSSVPNNLDQTTRSANTSLPKLCCPGTKCPAPYEDADLYEDFNMDEMDLSLENYEELFGVTLNNSEELLENGGIDSLFGTKDMSGADSSCQGAVAAEGSSVGVVNAVQPASSNAASADSMMSNKTEPILCFTEKQGHSSLSFSGLNVESSAADYQDCGASSMLLMGEPPWCPPCPESPFSSANRSDAVMRYKEKKKTRMFEKKVRYASRKARADVRRRVKGRFVKAGEAYDYDPLSQTRSF >Potri.005G212500.4.v4.1 pep chromosome:Pop_tri_v4:5:21617911:21621312:1 gene:Potri.005G212500.v4.1 transcript:Potri.005G212500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212500.v4.1 MPGKRSRLTRSQSFGDIGLGNDRLPPRDGGFTADNMAGQFIRRIIAVSPPPPLLPEKEKDIGDGLMETEHFLERCEHCWKRLSQKQDVYMYGSSYCGSNYQDFPSKN >Potri.005G212500.3.v4.1 pep chromosome:Pop_tri_v4:5:21617911:21621313:1 gene:Potri.005G212500.v4.1 transcript:Potri.005G212500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212500.v4.1 MPGKRSRLTRSQSFGDIGLGNDRLPPRDGGFTADNMAGQFIRRIIAVSPPPPLLPEKEKDIGDGLMETEHFLERCEHCWKRLSQKQDVYMYGPECRDAQIAIDKAGQEVHGQSIGIRASSSRKDTTGKAVAEVPRLVYN >Potri.005G212500.2.v4.1 pep chromosome:Pop_tri_v4:5:21617911:21621312:1 gene:Potri.005G212500.v4.1 transcript:Potri.005G212500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G212500.v4.1 MPGKRSRLTRSQSFGDIGLGNDRLPPRDGGFTADNMAGQFIRRIIAVSPPPPLLPEKEKDIGDGLMETEHFLERCEHCWKRLSQKQDVYMYGDLGAFCSPECRDAQIAIDKAGQEVHGQSIGIRASSSRKDTTGKAVAEVPRLVYN >Potri.013G120300.13.v4.1 pep chromosome:Pop_tri_v4:13:12904518:12907840:1 gene:Potri.013G120300.v4.1 transcript:Potri.013G120300.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120300.v4.1 MGNTMQKSEETKVEEPGLLRQEEDSNFTCEICTEPMLAIRKFKNGSLCKHPFCLDCIAKYIEVTVEESTGCIECPGLNCKQPLDPLSCRRIISKPIFEKWCDHLCDSTVLGSESCYCPYRDCSVLVLNECMDNLKKIKCPNCKKNFCFLCKIPWHAGYRCNESRHLRDRNDILVGELIEEKRWTRCYNCGHSVERVSGCRDIKCKCGVQFCHQCGGPFHFGPCKHKCCGNVLCNIFIFMMLLAFCYFVYFEVHSVPSRKG >Potri.013G120300.19.v4.1 pep chromosome:Pop_tri_v4:13:12904745:12907773:1 gene:Potri.013G120300.v4.1 transcript:Potri.013G120300.19.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G120300.v4.1 MGNTMQKSEETKVEEPGLLRQEEDSNFTCEICTEPMLAIRKFKNGSLCKHPFCLDCIAKYIEVTVEESTGCIECPGLNCKQPLDPLSCRRIISKPIFEKWCDHLCDSTVLGSESCYCPYRDCSVLVLNECMDNLKKIKCPNCKKNFCFLCKIPWHAGYRCNESRHLRDRNDILVGELIEEKRWTRCYNCGHSVERVSGCRDIKCKCGVQFCHQCGGPFHFGPCKHKCCGNVLCNIFIFMMLLAFCYFVYFEVHSVPSRKG >Potri.003G105700.1.v4.1 pep chromosome:Pop_tri_v4:3:12887085:12892328:1 gene:Potri.003G105700.v4.1 transcript:Potri.003G105700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G105700.v4.1 MQSLMDMRRLTITKPIFIQSPLKRPLTCITTTLQKQHQIHPQAPPPPPLLQTQTNPQALNQSLLKRVSLILSNPSLDCAKCKELVPHLSPQEFDSCFLALKSNVNPKTALNFFHFVSETCKFRFTARSYCVLIHLLVGNDLLSPARLLLIRLIDGKVPAFYARNFESRHFEIAQIMADFNLVFEPVIGVKIADLLVHVYSTQFKHLGFGFAADVFSLLAKKGLFPSLKTCTFLLSSLVKANELKKSYEVYDFICLGGIIPDVHLFSTMINAFCKGHREDDAIGLFSKMEKLGVAPNVVTYNNIIHGLCKSGRLDEAYRFKEKMVKEKVSPSLITYSVFINGLIKLEKIDEANCVLKEMSELGFVPNEVVYNTLIDGYCKMGNISEALKIRDDMLSKGISPNSVTLNSLIQGFCKSDQIGQAENVLEEMIGRGLPINQGSFSMVINWLCLKFRFVTALHFIREMLLRNLRPNDGLLTTLVSGLCKAGKQGEAVELWCRLLGKGFVPNIVTSNALIHGLCKAGNMQETLKLLRDMLERGLVFDRITYNTLISGCCKEGKVKEGFELKEEMVKKGIQPDIYTFNLLLHGLCNADKIDEASRLWHECKKNGYVPNVYTYGVMIDGYCKANKVEEGENLLNELVSKKLELNSVVYNSLIRAYCINGNMNAAFRLRDDMKSRGVLLSCATYSSLMHGLCNIGLVDDAKHLLDEMRKEGLLPNVVCYTTIIGGYSKLGQMNKVNIVLQEMSSHNIHPNKFTYTIMIDGFCKLGKTKEAAKLLNEMTEKGILPDAVTYNAFTNGLCKEGKVEEAFKVCDEMSSGAVCLDEITYTTLIDGCHQPSTATNQE >Potri.013G003700.2.v4.1 pep chromosome:Pop_tri_v4:13:270576:275417:1 gene:Potri.013G003700.v4.1 transcript:Potri.013G003700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G003700.v4.1 MANDLNAELSKKTAILGLKVWEVIGICVALFIIIILSVLSFCLTLRKKSKRDRNRLPLSQIPTISKEIKEVRVEQVSTNEFVPRDGILLTIHDKSSDKESDKVLVHLGMGKGKNGGNMSKSDSFHHLEKDCGSQSGEEGSSGTVTVYKPSSSYPITAPSPLIGLPEFSHLGWGHWFTLRDLELATNRFSKENILGEGGYGVVYQGHLINGTPVAVKKILNNLGQAEKEFRVEVEAIGHVRHKNLVRLLGYCIEGTHRMLVYEYVNNGNLEQWLHGAMRQHGYLTWEARMKVLLGTAKALAYLHEAIEPKVVHRDIKSSNILIDDDFNAKVSDFGLAKLLGAGKSHVTTRVMGTFGYVAPEYANSGLLNEKSDVYSFGVVLLESITGRDPVDYGRPTHEVNLVDWLKMMVGNRRSEEVADPNIEARPSTRALKRALLTALRCVDPDSEKRPKMGQVVRMLESEEYPFPREDRRHRRTRGGSMEIESQRENSDTDRSDYPGSRSESLRI >Potri.015G020600.2.v4.1 pep chromosome:Pop_tri_v4:15:1468839:1470656:1 gene:Potri.015G020600.v4.1 transcript:Potri.015G020600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G020600.v4.1 MSSMHLRQRILCNLLVLRLVTSLISLSTPLLCLGSCSSVREQARGHRGNVQEPTPDQLMSFPESVFGFNKLGNQDLFVRGHAGVQANDANSQETKSEISLDMLVQGQKGEQHEIGGSDTSDAVIERHGSLDSKARSGETLETQELTSVDFVWADGKNDGMNENDRSSMLYLENENFELWKIVKDGLVLPLLIDICKKVGLFLPPCLMRLPTELKLKILESLAAIDIAKMESVSSEMQCLSSNNDPWQQKFVEEFGDGQERWELSIGKSSLLHIGRIRRSGRGMSMPWQDYHQVPPFFFPTRRNFAWYSSTQWSSWSRISSISLKFCSKL >Potri.016G114350.1.v4.1 pep chromosome:Pop_tri_v4:16:11826610:11827289:-1 gene:Potri.016G114350.v4.1 transcript:Potri.016G114350.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G114350.v4.1 MDLGSLTSIYDRARELFYYLKVGQVDYGEDHSKAYGHSQFGRTYEQGHYPEWDEDHPIHFVGHSAGAQVVRVLQQMLADKAFNGYENTSDKWVLSITSLSGAFNGTIRTYLDGMQ >Potri.006G278250.1.v4.1 pep chromosome:Pop_tri_v4:6:26882166:26883067:-1 gene:Potri.006G278250.v4.1 transcript:Potri.006G278250.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G278250.v4.1 MLTVTVAPAKISYLRSLPSWPANTTTPRFLKPVNCSPPLQQQVQEEQQQVASGIMCEPCNGKGWLLCDFCKGLKTNVKADNKRLYRRCPSCRAIGYVLCSKCKVFKCVTFPNYNDGEDPSL >Potri.017G092200.1.v4.1 pep chromosome:Pop_tri_v4:17:10481996:10483595:1 gene:Potri.017G092200.v4.1 transcript:Potri.017G092200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G092200.v4.1 MATAKSVKDVSPHEFVKAYSAHLKRSGKIELPLWTDIVKTGKFKELAPYDPDWYYVRAASMARKIYIRGGLGVGAFRRIYGGSKRNGSRPPHFCKSSGSIARHILQQLQNVNIIDIDPKGGRRITSSGQRDLDQVAGRIVVAP >Potri.010G198700.1.v4.1 pep chromosome:Pop_tri_v4:10:19157196:19161168:1 gene:Potri.010G198700.v4.1 transcript:Potri.010G198700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G198700.v4.1 MKEMFGGPGKVSGLGLRVGQFVFAAASIVVMVSARGFFNATAFCYLIASMGLQLLWSFGLACLDLHALRSKKNLQNPVLVSLFVVGDWVTSILSLAAACAAAGVTVLFARDLHYCRAPYNFPCSRFQISIALAFISWFLLAISSHVMFWLLAAV >Potri.013G057200.5.v4.1 pep chromosome:Pop_tri_v4:13:4187913:4190457:1 gene:Potri.013G057200.v4.1 transcript:Potri.013G057200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057200.v4.1 MDLEEWELLPHDGFIDYHEDGEKKTFGASKRSNPRRPKSMVNMNYFMCPSSPPKDSRLVPNQLVPVPIQLEPTTTAGKDVPEGHVISKKEVISVVPIDLGAAVPSVIMPEVKEADQDSVSQVFFKKMKENEFVDMKLDSPKSSSSKGGFVPPQIDAGATFNFEDQSDHQGYKGDRELDATKISSPRIKSTGKESGTKGEVRWEENGGGLNLWKLSLTGIGAICSFGVAAATICIFIFGSHQRNKQQQQNQKPSFQIYTDDKRIEQVVHHATKLNGAISVARGVPVARAHITYGGYYGGI >Potri.013G057200.3.v4.1 pep chromosome:Pop_tri_v4:13:4187990:4190472:1 gene:Potri.013G057200.v4.1 transcript:Potri.013G057200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057200.v4.1 MDLEEWELLPHDGFIDYHEDGEKKTFGASKRSNPRRPKSMVNMNYFMCPSSPPKDSRLVPNQLVPVPIQLEPTTTAGKDVPEGHVISKKEVISVVPIDLGAAVPSVIMPEVKEADQDSVSQVFFKKMKENEFVDMKLDSPKSSSSKGGFVPPQIDAGATFNFEDQSDHQGYKGDRELDATKISSPRIKSTGKESGTKGEVRWEENGGGLNLWKLSLTGIGAICSFGVAAATICIFIFGSHQRNKQQQQNQKPSFQIYTDDKYALTTVVTED >Potri.013G057200.6.v4.1 pep chromosome:Pop_tri_v4:13:4188387:4190328:1 gene:Potri.013G057200.v4.1 transcript:Potri.013G057200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G057200.v4.1 MDLEEWELLPHDGFIDYHEDGEKKTFGASKRSNPRRPKSMVNMNYFMCPSSPPKDSRLVPNQLVPVPIQLEPTTTAGKDVPEGHVISKKEVISVVPIDLGAAVPSVIMPEVKEADQDSVSQVFFKKMKENEFVDMKLDSPKSSSSKGGFVPPQIDAGATFNFEDQSDHQGYKGDRELDATKISSPRIKSTGKESGTKGEVRWEENGGGLNLWKLSLTGIGAICSFGVAAATICIFIFGSHQRNKQQQQNQKPSFQIYTDDKVWIFFLFYLTLYFISQSVIYL >Potri.005G150150.1.v4.1 pep chromosome:Pop_tri_v4:5:12805634:12806615:1 gene:Potri.005G150150.v4.1 transcript:Potri.005G150150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G150150.v4.1 MNEVWSCCLLLLLIIRSVYLTYAANSLFYNLKFSFMERSDPTVEDCFWGPTTIHGMARDDSEGELEWSGNDFILRPVLGLPFLK >Potri.004G037500.1.v4.1 pep chromosome:Pop_tri_v4:4:2954715:2954993:1 gene:Potri.004G037500.v4.1 transcript:Potri.004G037500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G037500.v4.1 MGVIGSLGCVNRYSKKQCMTLFWRLRAAVRKAVKRNGGKKQFKFQYDPSSYALNFDDGCCNLGASGYAVKHGKNTIQDSKEMLWVYVLWVEP >Potri.019G012200.2.v4.1 pep chromosome:Pop_tri_v4:19:1698462:1702795:1 gene:Potri.019G012200.v4.1 transcript:Potri.019G012200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012200.v4.1 MAISGVSSVKSKKRSWSISPALTSAALEWLLMCMLFINAIFSYLITKFACQWELQTPCLLCSRLDHILGSKKLKYYWDLICGNHKLEISSLVFCHAHNNLVNVHGMCENCLFSFATTNKSNAETYRLLVGKLGEDSSFVLDQDSLLDGHSSVARQCSCCNEPWIPRGYCQKLMRAISVDSGAADLDVPLSGAIKHDCSNLKKSKQSIPIRSTRQKTSGFDHLSHVGYTELKFNSDTESEVMLSDDDGKNAVHEDISVGYVKPEPCTTSLLDDSVTEKLIDPVSSPEPSILASKVQSDAINSHTVTAIASRVPIEHDLEELNWQQADCKADSSTPPELISHDNVPPSPIASDSPQKASKEREIISLDDVPQSSNAKETPPEASDENRIISVDSVRPSTERINPDKLSQESELISLVDFLPSTNGAETPVQGLKESCVSREEEAWQTSVTGGEDLCKGESQPARRTDTASEINPSSSDNGQHFANLLDLSDAYKLAVGNRGRQLSGVLAEQRAVKDSSRLSEDLKLLLSQLSAAREQSMNDMSPRVPMSPRVPISPKLSINSDEVKTSDASSIIGMQILQKRITLERNESGLSLDGSIVSEIEGESDIDRLKRQVEHDKKLLSALYKELEEERNASTIAVNQAMAMITRIQEEKATLHMEALQSLRMMEEQAEYDMEALQKTNDLLTEKEKEVQDLEEELEFYRSKFPNEAIFETPISDRKATGTRADHSEAGCIEDSASTSKNSVEEKQVEGTNTSLADKNIITVNSSLLDFEDEKSYITQSLKKLKRKLHLFSNNGLSLELINSEYSGDKENDMRDLNSKAGAEQNGGAEESKLSMTDRRNEPVQGPLLEKSLGSTQETDLNSLVNEVSDLSQKVEALEADQNFLEHSINSIRYGEEGLQFIQEIASHLKELRKIGIQQREQITA >Potri.019G012200.3.v4.1 pep chromosome:Pop_tri_v4:19:1697710:1702741:1 gene:Potri.019G012200.v4.1 transcript:Potri.019G012200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G012200.v4.1 MAISGVSSVKSKKRSWSISPALTSAALEWLLMCMLFINAIFSYLITKFACQWELQTPCLLCSRLDHILGSKKLKYYWDLICGNHKLEISSLVFCHAHNNLVNVHGMCENCLFSFATTNKSNAETYRLLVGKLGEDSSFVLDQDSLLDGHSSVARQCSCCNEPWIPRGYCQKLMRAISVDSGAADLDVPLSGAIKHDCSNLKKSKQSIPIRSTRQKTSGFDHLSHVGYTELKFNSDTESEVMLSDDDGKNAVHEDISVGYVKPEPCTTSLLDDSVTEKLIDPVSSPEPSILASKVQSDAINSHTVTAIASRVPIEHDLEELNWQQADCKADSSTPPELISHDNVPPSPIASDSPQKASKEREIISLDDVPQSSNAKETPPEASDENRIISVDSVRPSTERINPDKLSQESELISLVDFLPSTNGAETPVQGLKESCVSREEEAWQTSVTGGEDLCKGESQPARRTDTASEINPSSSDNGQHFANLLDLSDAYKLAVGNRGRQLSGVLAEQRAVKDSSRLSEDLKLLLSQLSAAREQSMNDMSPRVPMSPRVPISPKLSINSDEVKTSDASSIIGMQILQKRITLERNESGLSLDGSIVSEIEGESDIDRLKRQVEHDKKLLSALYKELEEERNASTIAVNQAMAMITRIQEEKATLHMEALQSLRMMEEQAEYDMEALQKTNDLLTEKEKEVQDLEEELEFYRSKFPNEAIFETPISDRKATGTRADHSEAGCIEDSASTSKNSVEEKQVEGTNTSLADKNIITVNSSLLDFEDEKSYITQSLKKLKRKLHLFSNNGLSLELINSEYSGDKENDMRDLNSKAGAEQNGGAEESKLSMTDRRNEPVQGPLLEKSLGSTQETDLNSLVNEVSDLSQKVEALEADQNFLEHSINSIRYGEEGLQFIQEIASHLKELRKIGIQQREQITA >Potri.016G077200.2.v4.1 pep chromosome:Pop_tri_v4:16:5810621:5812913:1 gene:Potri.016G077200.v4.1 transcript:Potri.016G077200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G077200.v4.1 MQIFVKTLTGKTITLEVESSDTIDNVKAKIQDKEGIPPDQQRLIFAGKQLEDGRTLADYNIQKESTLHLVLRLRGGIIEPSLMALARKYNQEKMICRKCYARLHPRAVNCRKKKCGHSNQLRPKKKIK >Potri.015G054700.2.v4.1 pep chromosome:Pop_tri_v4:15:7517001:7522668:-1 gene:Potri.015G054700.v4.1 transcript:Potri.015G054700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G054700.v4.1 MGRKKGVAEFEDSPPDDFDPSNPYKDPVVMLEMREHLVREKWIDIEKAKILREKLRWCYRIEGVNHLQKCRHFVQQYLDSTRGIGWGKDQRPPCLHGPKVEATAESE >Potri.011G098600.1.v4.1 pep chromosome:Pop_tri_v4:11:12767471:12769459:-1 gene:Potri.011G098600.v4.1 transcript:Potri.011G098600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G098600.v4.1 MASQSEHKATVIDGKLIAQTIRSEIAEEVRQLSEKYGKVPGLAVVIVGNRKDSQSYVGMKRKACAEVGIQSFDMDLPEQISEAELVSKVHELNANPDVHGILVQLPLPKHINEEKVLSEISLAKDVDGFHPLNIGKLAMKDREPLFQPCTPKGCLELLHRSGVSIKGKRAVVVGRSNIVGLPVSLLLLKADATVTVVHSRSNDQESIIREADIIIAAAGQPMMIKGSWIKPGAAVIDVGTNAVDDPSRKTGYRLVGDVDFNEACKIAGCITPVPGGVGPMTVAMLLKNTLEGAKRVIVQ >Potri.014G029800.3.v4.1 pep chromosome:Pop_tri_v4:14:1873848:1879125:-1 gene:Potri.014G029800.v4.1 transcript:Potri.014G029800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029800.v4.1 MEDVKIAAVTSPPQSSQDNGTSPGEASLSPARNGDGEIDQVRASEDSVSTSSVDKIEANDQGSLKDGSKSEATQNVLNEHEESLEKTTGIEVPASEDSVSTSSVDKIEANDQGALKDGSKSEATQNVLNEHEESLEKTTGIEVSSNGLQRQEKTEAMQDSSDGQKSQGKSEPVPNSSDVEQPQDPIERAQPDEPALPHVKVRVQQDKSASPRAKVASPAFRTPKSSDSPRLSPQLVKQADINRGLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKLVDQELETVQVEMPEYKKRSEAAEEEKTQVLKELDNTKRLIEELKLNLERAQTEEHQAKQDSELVKLRVEEMEQGIADEASVAAKAQLEVAKARHSAAVSELKAVNDELEALHKEYTSLVSEKDEAVKKAEVAVSASKEVEKTVEELTIELISTKESLESAHAAHLEAEEQRIGAIMAKEQDSLHWEKELKQAEEELQRLNQQILSAKDLKSKLDTASALLVDLKTELAAYMESKIKDETEGEPRAEQEEPEKKTHTNIQATVASAKKELEEVKLNIEKATAEVNCLKVAALSLQTELEKEKLALSTIKQREGMASVTVASLQAELDKTRSETARVQMKEKEAREKMIEIPKKLQQAAEAADEAKSLAQMAREELRKAKEEAEQAKAGASTMESRLLAAQKEIEASRASEKLALAAIKALQESESAHSTSDVDTPTSVTLSLEEYYELSKLAHEAEEQANLRVAAAISQIEVAKESESRTAEKLEQVNQEMSARKEALKIAMDKAEQAKEGKLGVEQELRKWRAEHEQQRRASESGQGAANPIKTPGASFEDRKESKNFDRAPDAAVGYASSPKSHVPGSNTETDSSPEVKVPRKKKKSLFPRLLLFLARKKSHASKTG >Potri.014G029800.1.v4.1 pep chromosome:Pop_tri_v4:14:1874215:1879058:-1 gene:Potri.014G029800.v4.1 transcript:Potri.014G029800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029800.v4.1 MEDVKIAAVTSPPQSSQDNGTSPGEASLSPARNGDGEIDQVRASEDSVSTSSVDKIEANDQGSLKDGSKSEATQNVLNEHEESLEKTTGIEVPASEDSVSTSSVDKIEANDQGALKDGSKSEATQNVLNEHEESLEKTTGIEVSSNGLQRQEKTEAMQDSSDGQKSQGKSEPVPNSSDVEQPQDPIERAQPDEPALPHVKVRVQQDKSASPRAKVASPAFRTPKSSDSPRLSPQLVKQADINRGLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKLVDQELETVQVEMPEYKKRSEAAEEEKTQVLKELDNTKRLIEELKLNLERAQTEEHQAKQDSELVKLRVEEMEQGIADEASVAAKAQLEVAKARHSAAVSELKAVNDELEALHKEYTSLVSEKDEAVKKAEVAVSASKEVEKTVEELTIELISTKESLESAHAAHLEAEEQRIGAIMAKEQDSLHWEKELKQAEEELQRLNQQILSAKDLKSKLDTASALLVDLKTELAAYMESKIKDETEGEPRAEQEEPEKKTHTNIQATVASAKKELEEVKLNIEKATAEVNCLKVAALSLQTELEKEKLALSTIKQREGMASVTVASLQAELDKTRSETARVQMKEKEAREKMIEIPKKLQQAAEAADEAKSLAQMAREELRKAKEEAEQAKAGASTMESRLLAAQKEIEASRASEKLALAAIKALQESESAHSTSDVDTPTSVTLSLEEYYELSKLAHEAEEQANLRVAAAISQIEVAKESESRTAEKLEQVNQEMSARKEALKIAMDKAEQAKEGKLGVEQELRKWRAEHEQQRRASESGQGAANPIKTPGASFEDRKESKNFDRAPDAAVGYASSPKSHVPGSNTETDSSPEVKVPRKKKKSLFPRLLLFLARKKSHASKTG >Potri.014G029800.5.v4.1 pep chromosome:Pop_tri_v4:14:1874232:1878995:-1 gene:Potri.014G029800.v4.1 transcript:Potri.014G029800.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G029800.v4.1 MEDVKIAAVTSPPQSSQDNGTSPGEASLSPARNGDGEIDQVRASEDSVSTSSVDKIEANDQGSLKDGSKSEATQNVLNEHEESLEKTTGIEVPASEDSVSTSSVDKIEANDQGALKDGSKSEATQNVLNEHEESLEKTTGIEVSSNGLQRQEKTEAMQDSSDGQKSQGKSEPVPNSSDVEQPQDPIERAQPDEPALPHVKVRVQQDKSASPRAKVASPAFRTPKSSDSPRLSPQLVKQADINRGLIDTAAPFESVKEAVSKFGGIVDWKAHRIQTVERRKLVDQELETVQVEMPEYKKRSEAAEEEKTQVLKELDNTKRLIEELKLNLERAQTEEHQAKQDSELVKLRVEEMEQGIADEASVAAKAQLEVAKARHSAAVSELKAVNDELEALHKEYTSLVSEKDEAVKKAEVAVSASKEVEKTVEELTIELISTKESLESAHAAHLEAEEQRIGAIMAKEQDSLHWEKELKQAEEELQRLNQQILSAKDLKSKLDTASALLVDLKTELAAYMESKIKDETEGEPRAEQEEPEKKTHTNIQATVASAKKELEEVKLNIEKATAEVNCLKVAALSLQTELEKEKLALSTIKQREGMASVTVASLQAELDKTRSETARVQMKEKEAREKMIEIPKKLQQAAEAADEAKSLAQMAREELRKAKEEAEQAKAGASTMESRLLAAQKEIEASRASEKLALAAIKALQESESAHSTSDVDTPTSVTLSLEEYYELSKLAHEAEEQANLRVAAAISQIEVAKESESRTAEKLEQVNQEMSARKEALKIAMDKAEQAKEGKLGVEQELRKWRAEHEQQRRASESGQGAANPIKTPGASFEDRKESKNFDRAPDAAVGYASSPKSHVPGSNTETDSSPEVKVPRKKKKSLFPRLLLFLARKKSHASKTG >Potri.004G056374.1.v4.1 pep chromosome:Pop_tri_v4:4:4699919:4701975:1 gene:Potri.004G056374.v4.1 transcript:Potri.004G056374.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G056374.v4.1 MALESRKLLEYCQLCGCHCQRRWLPCESQRGLLVQHLQPLDIVKEGGYNGGLLVAANNHCLMSKFGSHHC >Potri.001G439550.1.v4.1 pep chromosome:Pop_tri_v4:1:46549115:46549991:1 gene:Potri.001G439550.v4.1 transcript:Potri.001G439550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G439550.v4.1 MEMMRKKSLKQFSFINLSIYQIKLQRFVPVSYCSLYFFFVLVNKDLKRNNTLLSSDFGAKENGEQMDIHHFAPRFRLTWIKRSTRMRLGMARWKWSGIFNPIVPLSSVIDMMPSS >Potri.001G351300.6.v4.1 pep chromosome:Pop_tri_v4:1:36424220:36426497:1 gene:Potri.001G351300.v4.1 transcript:Potri.001G351300.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351300.v4.1 MFEFVLSSSYHSNYFIGAAIGSSELNIQTENGHIGMPSNICCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKLADFGVARIEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANIMKRCWDANPDRRPEMEVVVFMLEAIDTSMGGGMIPLDQPQGCLCFRRYRGP >Potri.001G351300.3.v4.1 pep chromosome:Pop_tri_v4:1:36422424:36426497:1 gene:Potri.001G351300.v4.1 transcript:Potri.001G351300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351300.v4.1 MKDQKSESGGGYVRADQIDLKSLDEQLQRHLSTRAWTVEKNKSKNDGDQGEGEGETQRLTQNNNSTTITSHEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEVASLRAAFTQEVAVWHKLDHPNVTKFIGAAIGSSELNIQTENGHIGMPSNICCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKLADFGVARIEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQVDEVF >Potri.001G351300.2.v4.1 pep chromosome:Pop_tri_v4:1:36422424:36426497:1 gene:Potri.001G351300.v4.1 transcript:Potri.001G351300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351300.v4.1 MKDQKSESGGGYVRADQIDLKSLDEQLQRHLSTRAWTVEKNKSKNDGDQGEGEGETQRLTQNNNSTTITSHEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEVASLRAAFTQEVAVWHKLDHPNVTKFIGAAIGSSELNIQTENGHIGMPSNICCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKLADFGVARIEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQLISQPKLLCQITTLSSFFYSESEARNSSLLPELSCEYNEEMLGC >Potri.001G351300.4.v4.1 pep chromosome:Pop_tri_v4:1:36422424:36426497:1 gene:Potri.001G351300.v4.1 transcript:Potri.001G351300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351300.v4.1 MKDQKSESGGGYVRADQIDLKSLDEQLQRHLSTRAWTVEKNKSKNDGDQGEGEGETQRLTQNNNSTTITSHEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEVASLRAAFTQEVAVWHKLDHPNVTKFIGAAIGSSELNIQTENGHIGMPSNICCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKLADFGVARIEASNPNDMTGETGTLGYMAPEYCDRAGSQWQSVQQKV >Potri.001G351300.1.v4.1 pep chromosome:Pop_tri_v4:1:36422424:36426497:1 gene:Potri.001G351300.v4.1 transcript:Potri.001G351300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351300.v4.1 MKDQKSESGGGYVRADQIDLKSLDEQLQRHLSTRAWTVEKNKSKNDGDQGEGEGETQRLTQNNNSTTITSHEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEVASLRAAFTQEVAVWHKLDHPNVTKFIGAAIGSSELNIQTENGHIGMPSNICCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKLADFGVARIEASNPNDMTGETGTLGYMAPEVLNGNPYNRKCDVYSFGICLWEIYCCDMPYPDLSFSEVTSAVVRQNLRPEIPRCCPSSLANIMKRCWDANPDRRPEMEVVVFMLEAIDTSMGGGMIPLDQPQGCLCFRRYRGP >Potri.001G351300.5.v4.1 pep chromosome:Pop_tri_v4:1:36422424:36426497:1 gene:Potri.001G351300.v4.1 transcript:Potri.001G351300.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G351300.v4.1 MKDQKSESGGGYVRADQIDLKSLDEQLQRHLSTRAWTVEKNKSKNDGDQGEGEGETQRLTQNNNSTTITSHEWEIDPSKLIIKSVIARGTFGTVHRGIYDGQDVAVKLLDWGEEGHRSEAEVASLRAAFTQEVAVWHKLDHPNVTKFIGAAIGSSELNIQTENGHIGMPSNICCVVVEYCPGGALKSYLIKNRRRKLAFKVVVQLALDLARGLSYLHSKKIVHRDVKTENMLLDKTRTVKLADFGVARIEASNPNDMTGETGTLGYMAPEYCDRAGSQWQSVQQKV >Potri.010G101700.4.v4.1 pep chromosome:Pop_tri_v4:10:12434457:12437526:1 gene:Potri.010G101700.v4.1 transcript:Potri.010G101700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101700.v4.1 MWPRLVTKKILGERLRSNNNFVADFPSNAEASSLLDNSSLGSPSLSENNILNQRKHNFQNYKIFISTWNVGGIAPQDDLDIADWLDTPNNMCDIYVFGFQEIVPLRASYVLGSENSKISVKWNSLIREALNKKIQHCLEKQQYNNKLGRKQKTAEDDKAIFESSIPEGFRCVISKQMVGILISVWIRSDLRPYVRHPRASCVGCGIMGYLGNKGSVSVRFQLHETSFCFVCSHLASGGREGDEKHRKSDVAEIFSRTSFPRGPSFDLPRKILDHDRVILLGDLNYRISLPEATTRLLVDRKEWNALLENDQVYTYN >Potri.010G101700.1.v4.1 pep chromosome:Pop_tri_v4:10:12434088:12437526:1 gene:Potri.010G101700.v4.1 transcript:Potri.010G101700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101700.v4.1 MWPRLVTKKILGERLRSNNNFVADFPSNAEASSLLDNSSLGSPSLSENNILNQRKHNFQNYKIFISTWNVGGIAPQDDLDIADWLDTPNNMCDIYVFGFQEIVPLRASYVLGSENSKISVKWNSLIREALNKKIQHCLEKQQYNNKLGRKQKTAEDDKAIFESSIPEGFRCVISKQMVGILISVWIRSDLRPYVRHPRASCVGCGIMGYLGNKGSVSVRFQLHETSFCFVCSHLASGGREGDEKHRKSDVAEIFSRTSFPRGPSFDLPRKILDHDRVILLGDLNYRISLPEATTRLLVDRKEWNALLENDQLRMELMSGQVFEGWREGLIKFAPTYKYCLNSNVYFGCVEGQKGGKWRAPAWCDRIIWYGEGLQQRLYTRGESNLSDHRPVKAIFTAQVQVSSTLKGLQKFFLSERFDQITTKFDQMSSSDKFRCRDRSSNCKKIH >Potri.010G101700.5.v4.1 pep chromosome:Pop_tri_v4:10:12434392:12437526:1 gene:Potri.010G101700.v4.1 transcript:Potri.010G101700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101700.v4.1 MSFIMAENQEELVMWPRLVTKKILGERLRSNNNFVADFPSNAEASSLLDNSSLGSPSLSENNILNQRKHNFQNYKIFISTWNVGGIAPQDDLDIADWLDTPNNMCDIYVFGFQEIVPLRASYVLGSENSKISVKWNSLIREALNKKIQHCLEKQQYNNKLGRKQKTAEDDKAIFESSIPEGFRCVISKQMVGILISVWIRSDLRPYVRHPRASCVGCGIMGYLGNKPSNFAWRFEL >Potri.010G101700.6.v4.1 pep chromosome:Pop_tri_v4:10:12434087:12437526:1 gene:Potri.010G101700.v4.1 transcript:Potri.010G101700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101700.v4.1 MWPRLVTKKILGERLRSNNNFVADFPSNAEASSLLDNSSLGSPSLSENNILNQRKHNFQNYKIFISTWNVGGIAPQDDLDIADWLDTPNNMCDIYVFGFQEIVPLRASYVLGSENSKISVKWNSLIREALNKKIQHCLEKQQYNNKLGRKQKTAEDDKAIFESSIPEGFRCVISKQMVGILISVWIRSDLRPYVRHPRASCVGCGIMGYLGNKPSNFAWRFEL >Potri.010G101700.3.v4.1 pep chromosome:Pop_tri_v4:10:12434088:12437526:1 gene:Potri.010G101700.v4.1 transcript:Potri.010G101700.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101700.v4.1 MWPRLVTKKILGERLRSNNNFVADFPSNAEASSLLDNSSLGSPSLSENNILNQRKHNFQNYKIFISTWNVGGIAPQDDLDIADWLDTPNNMCDIYVFGFQEIVPLRASYVLGSENSKISVKWNSLIREALNKKIQHCLEKQQYNNKLGRKQKTAEDDKAIFESSIPEGFRCVISKQMVGILISVWIRSDLRPYVRHPRASCVGCGIMGYLGNKGSVSVRFQLHETSFCFVCSHLASGGREGDEKHRKSDVAEIFSRTSFPRGPSFDLPRKILDHDRVILLGDLNYRISLPEATTRLLVDRKEWNALLENDQVYTYN >Potri.010G101700.2.v4.1 pep chromosome:Pop_tri_v4:10:12434088:12437526:1 gene:Potri.010G101700.v4.1 transcript:Potri.010G101700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G101700.v4.1 MSFIMAENQEELVMWPRLVTKKILGERLRSNNNFVADFPSNAEASSLLDNSSLGSPSLSENNILNQRKHNFQNYKIFISTWNVGGIAPQDDLDIADWLDTPNNMCDIYVFGFQEIVPLRASYVLGSENSKISVKWNSLIREALNKKIQHCLEKQQYNNKLGRKQKTAEDDKAIFESSIPEGFRCVISKQMVGILISVWIRSDLRPYVRHPRASCVGCGIMGYLGNKGSVSVRFQLHETSFCFVCSHLASGGREGDEKHRKSDVAEIFSRTSFPRGPSFDLPRKILDHDRVILLGDLNYRISLPEATTRLLVDRKEWNALLENDQLRMELMSGQVFEGWREGLIKFAPTYKYCLNSNVYFGCVEGQKGGKWRAPAWCDRIIWYGEGLQQRLYTRGESNLSDHRPVKAIFTAQVQVSSTLKGLQKFFLSERFDQITTKFDQMSSSDKFRCRDRSSNCKKIH >Potri.008G052451.1.v4.1 pep chromosome:Pop_tri_v4:8:3073754:3074126:-1 gene:Potri.008G052451.v4.1 transcript:Potri.008G052451.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G052451.v4.1 MFFVSGICASYAFLAAVSTWIRCFVTKAWLFFVSDQIVAYLMVTSGTAVFEILYLAYNGDREVVWSEALSSYGKFCYRVKVQ >Potri.004G079400.1.v4.1 pep chromosome:Pop_tri_v4:4:6579230:6581804:-1 gene:Potri.004G079400.v4.1 transcript:Potri.004G079400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079400.v4.1 MWSENKPWLVCSSDPNFLIDEKAESRVYIGNLDLRITEAALIKMFTPYGKILSEEFLWHTRGPKRGEPRGFAFIQFSTKEEAKLAKEKMHGRLACGRPLVVRLASEKYVDEAAQDSSTALGEAKKAGLTGSTLGQTSRSAKIAAIKNKLRALEEGGPSVKKQKQADSDSCKDSLDHSQDKG >Potri.004G079400.2.v4.1 pep chromosome:Pop_tri_v4:4:6579361:6581720:-1 gene:Potri.004G079400.v4.1 transcript:Potri.004G079400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G079400.v4.1 MQDPNFLIDEKAESRVYIGNLDLRITEAALIKMFTPYGKILSEEFLWHTRGPKRGEPRGFAFIQFSTKEVSCMLLLLLYQGKLLISTFKVSMQEAKLAKEKMHGRLACGRPLVVRLASEKYVDEAAQDSSTALGEAKKAGLTGSTLGQTSRSAKIAAIKNKLRALEEGGPSVKKQKQADSDSCKDSLDHSQDKG >Potri.016G060500.1.v4.1 pep chromosome:Pop_tri_v4:16:4167027:4174467:-1 gene:Potri.016G060500.v4.1 transcript:Potri.016G060500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G060500.v4.1 MSAKASSSQLSVSSSGSGGLSHVYIQHPPLRCNVPGTRGLFYDDGNKLLISPTSDQVFSWKAVPFDPHVAPTSDSISEGPILSIRYSLDAKIIAIQRSSLEIQFFHRETGQNFCHKCKPESDSILGFFWTDCPLCDFVLVKTSGLDLLACDAESKSLNVVETRKLNVSWYVYTHESRLVLLASGMQCKTFNGFQLSSAGIVRLPKFEMVMAKSEANSKPVLADEDVYIATIYGRIYCLQIDRIAMLLHSYRFYRDAVVQQGSLPIYSNKVAVSVVDNVLLIHQVGAKVVILYDIFADSRSPISAPLPLLFRGFPRSNTSSSRSTAKDIEIPEASISDSEAIIYGDDWTFLVPDLICDVSNELLWKIHLDLEAISASSSEAPSVLEFLQRRKLEASKAKQLCLAITRNVILERRPVSTVAKAIDILLMSYSLSLKTGSYLKGIKTEKTSHSAGTQIGIPRSGASASTGEVDAHGTSTKHQSSAIVDNESPNRSGNSSTSDSEDNTQFNSIKANPKVNKEKLSGGAESSSSEVHPSSLQSQNLGPSNSPLNASVSERQESQLMSPAISTDEMYSLLFAPVEEEMVGDPSYLVAIIVEFLRSASSEKIKVQPNIYVLTIQLLARNERYAELSLFIINKILEPSKEVAMQLLELGRQNSQIRKLGLDMLRQLSLHHDYVLLLVQDGYYLEAMRYARKHKVVTVRPSLFLEAAVSSNDSQLLAAVLRFFSDFTPGFKNTTDCHGYCRILKEMNSDVAV >Potri.015G084200.1.v4.1 pep chromosome:Pop_tri_v4:15:10904531:10905441:-1 gene:Potri.015G084200.v4.1 transcript:Potri.015G084200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G084200.v4.1 MKKKTEHEEDEYQRDLEILKAVAQAWHGHSGSSRSTNEYDAYRQNFQSKPSRFKLEAMNKSSAKRVESVSWDFKQSLWDSYEIVNVSNRLERELVLEDPFSGVDTQRRVYSKKRESKNSLRKLFNVMSSRRFNEAEVPREDNNQF >Potri.016G109500.1.v4.1 pep chromosome:Pop_tri_v4:16:11228886:11230171:-1 gene:Potri.016G109500.v4.1 transcript:Potri.016G109500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G109500.v4.1 MIGFWTSLEGILLFANALAILNEDRFLAPRGWTLAELQGSTRNSLKGQIIGLVHACQFMRLPLILLNIIVIILKLVPV >Potri.011G001600.1.v4.1 pep chromosome:Pop_tri_v4:11:102320:102852:-1 gene:Potri.011G001600.v4.1 transcript:Potri.011G001600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G001600.v4.1 MEQSIYHQPSPWYYLLANPALFSYPPTPENHVNWSETPESHIYSADLPGVRKEEIKLEVEDSRYLIIRTEAINESTQPAKSFNRKFRLPGGIDIEGISAGFEDGVLTVTVPRAFRRRGFFIDPDDVPERLQVLARAA >Potri.010G079100.1.v4.1 pep chromosome:Pop_tri_v4:10:10553600:10556590:1 gene:Potri.010G079100.v4.1 transcript:Potri.010G079100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G079100.v4.1 MSSLGTSKGILEIAKFGVYVTVPVVLMYAFANNTKNLQKFMGNRSYIVFPSKIVRPPSPEEMRERARELGRRNDTH >Potri.002G143900.1.v4.1 pep chromosome:Pop_tri_v4:2:10846287:10848622:1 gene:Potri.002G143900.v4.1 transcript:Potri.002G143900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G143900.v4.1 MKFGKRLKQQVQETLPDWRDKFLSYKELKKLVRLISSAPPFLNGSSEYGKSEAEFVRLLDCEIDKFNAFFMEQEEDFIIRHEELKQRIQKVIDAWGPSASQPSEAEYKEEMGKIRKDIVNFHGEMVLLENYSNINYTGLAKILKKYDKRTGGLLRLAFIQKVLEQPFFITDLVSKLVKQCENMIDAVFPVEAEEKGKEGRETITVAGEGIFRNAIAALMTMKEIRRGSSTYSHFSLPPLNLPDSDLIPSFQLNSPISIVR >Potri.006G038700.1.v4.1 pep chromosome:Pop_tri_v4:6:2558076:2562812:1 gene:Potri.006G038700.v4.1 transcript:Potri.006G038700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G038700.v4.1 MAEKGKEEEAVEYYLEDEDGDEEGEALNRVESSSGGDGGGDGDGGGDDEDDGGKYGTFSSQQWPQSFIETIYPYTISVSPNFGFLRRGPINQISSSHENCSKSFSDADAKTPFLSDVEKNYQREESDRISIARSSFSKASFHTGEVPVPHGCSFTQTVFNSFNVMVGVGVLSMPYTVKQGGWASLVLLVVFALVCCYTATLMKHCFESKEGIISYPDVGEAAFGKYGRLAISIILYTELYSYCVEFITLEGDNLSRLFPGTSLDSAGFHLDSKHLFGILVALIVLPTVWLRDLRVISYLSACGVLATIMIVLCMILVGTVDGVGFHENGPLVKWSGIPFAVGVHGFCYSAHSVFPNIYQSMADKRQFTKAVIACFVLSFVIYGGVATLGFLMFGERTLSQITLNMPAHTLTSKIALWTTVTNPLTKNMHC >Potri.011G110200.1.v4.1 pep chromosome:Pop_tri_v4:11:14026883:14028564:1 gene:Potri.011G110200.v4.1 transcript:Potri.011G110200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G110200.v4.1 MELPLFSLCLLFSISFTVHATVPPSSTFKYVNEGEFGEYISEYVPDYRPLPIGTSPFQLIFYNTTPNAYTLALRMGTVRSESTMRWVWEANRGNPVRENATLTLGGDGNLVLADADGRVAWQTNTANKGVVGLQLLSNGNMVLHDSKGNFIWQSFDSPTDTLLVGQSLRVGGVTRLVSRASETHNSDGAYSLVMEPKRLAMYYKSPNSPKPYIYYDFDSVYNGRLQNATLNCAPNGYDDLANDLTLDLSTGNAMTLARPKYNSTLSFLRIGIDGSLKMYTYNNKVDYQAWEETYTLFSRDGFPEGECQLPERCGKFGLCEDSQCVACPLPSGLMGWSKYCEPVKPPACGSKNFYYYKLEGVDHSMSKYGSGSGAMKEDDCGKKCSSDCKCLGYFYNKEISKCWIAYDLQTLTKVANSTHVGYIKAPKQ >Potri.006G237000.1.v4.1 pep chromosome:Pop_tri_v4:6:23904079:23904792:1 gene:Potri.006G237000.v4.1 transcript:Potri.006G237000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G237000.v4.1 MTEETQAKWEGKATVELRGPTADQVWPCLEDFCNLQKWLPRVDTCYRVEGELGQPGLVRCCNFSKVPSEGSHEDEENKVIWAKEKLIMINPSERCLSYEILENNAGFKSYVATMKVSPINDGDGDGQHGCTIEWSFIADPIEGWPLEDFNSYINSSLQFMGKKMERDVLSR >Potri.002G147600.1.v4.1 pep chromosome:Pop_tri_v4:2:11097605:11099653:1 gene:Potri.002G147600.v4.1 transcript:Potri.002G147600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G147600.v4.1 MGEGKGLVCVTGGAGFVASWLIMRLLEHGYTVRTTIRSSPGISKDISYLTNLARAAEKLQIFNADLDDPDSFNEAIEGCMGVFHLAFPLDFADREPEEVITKRAVDGTLGVLRACVNAKTVKRVVCASSQATVIYSGDGDEKVVDESSWTNIDYYRSLNRFGTSYLVAKNKTERAALDFAEQYGLDLVFLIPPLIVGPFICPRIPESVRWSLSLIFGEKRLYHLLIKLNVVHTDDVARAYIFLLEFPHAKGRYICSWEEISIDEMSEFLSARYPEFQIPTKDSLKDIKGFKMRGLSPKKLLDCGFKFEHGLEDMFDGAIQSCKEKGFL >Potri.009G018900.1.v4.1 pep chromosome:Pop_tri_v4:9:3120185:3123973:-1 gene:Potri.009G018900.v4.1 transcript:Potri.009G018900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G018900.v4.1 MAIIYAVVARGTVVLSEFSAVTGNSGAVARRILEKLPSEADSRLCFSQDRYIFHILRSDGLTYLCMANDTFGRRIPFSYLEDIQMRFMKNYGRVAHHAPAYAVNDEFSRVLHQQMEFFSSNPSADTLSRVRGEVGEIRTIMVENIEKILERGDRIELLVDKTATMQDGAFHFKKQSKRLRRALWMKNAKLLALLTCVIVLLLYIIIAACCGGITLPSCRS >Potri.010G041300.3.v4.1 pep chromosome:Pop_tri_v4:10:7225137:7226380:-1 gene:Potri.010G041300.v4.1 transcript:Potri.010G041300.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G041300.v4.1 MQVSPKVNERSITAATESAENELFSYDKNPHPPPVVRDQEEEEEEEEEEFSFLCTNPSGSLISADDIFQNGQIRPIFPLFNRDGGPLFIDDDKAAAAGGGGPFMFVEERKEAEGPCCVWTGGSKALPDQQTCRKSNSTGFSKLRRFREFVLRSNSDGKDAFVFLNHEDSTSKHLSTTTAATSEKIGTEKKTKKKDVVVVKRKEQSSKRVSSSSSSSSSSAHELHYLRNRAIKDGDKQKSYLPYRQDIFGFFTNVSDLSRNIHPF >Potri.018G021800.1.v4.1 pep chromosome:Pop_tri_v4:18:1577213:1579145:-1 gene:Potri.018G021800.v4.1 transcript:Potri.018G021800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G021800.v4.1 MSHHHSSSPFPSCFRPSTDTDIHHLPPPTPPPVSGNANLTTCLYQTDLGLFSLTWSSSLLGHSLHLRLHPIDDNASYCSPVSLSNPLSLSTISFHLNIKPFLFWKRHGSKKLHVINQEPDTPTPRIQIFWDLSRARFGSGPEPQSRFYIAVVVEREVVLVVGDLTKEAFAKTKALKQERPQVLVLRREHVFGNRVYTTRARFGGRNRVISIDCSVNNDARLCFSVDNKRVLQIKRLKWKFRGNERIEVDGVPIQVSWDVYNWLFDGINNDHAVFMFRFESNLDPKDEEAVVRKQEQSEACGGGPHQQQEINEKNHNNNVVLWQQNSSCSNTSHGMSPIEWRKMRKSLVRTAARSSSSSSISMSSASSGCSSSVMEWASSTEESELCGGPIGFSLLVYAWRK >Potri.005G234850.1.v4.1 pep chromosome:Pop_tri_v4:5:23319501:23319916:-1 gene:Potri.005G234850.v4.1 transcript:Potri.005G234850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234850.v4.1 MKHTTTLNGRSVVHISCMIVMVLMTLMPMVATESSSAWPPAQVSSSASLFSHPFDDHQEEGVEQHTEIHPPDVVEDYSIWDPAPRSGGGDYAPIPHAE >Potri.007G098900.2.v4.1 pep chromosome:Pop_tri_v4:7:12378971:12385229:1 gene:Potri.007G098900.v4.1 transcript:Potri.007G098900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G098900.v4.1 MGMENLSQPISGGIRNNGHSPGKSEDSGTDSRCSLDAGMPTCRVCQCAESEKRGDVALGFLGIVPPLQEARKSNGAVKPESREVPLDAEAGQFHSKNTGRESGLVEFISPGGEVFICNTDTDLEVGLCHQQDLLIELGCSCKNDLALVHYACALKWFVNHGSTVCEICGHVAINIRTLDFKKVMVALKDYEALRERTAIGDPNPAQVHTSAGVDPDAVAAVRRQRLSEISLWFSPHNNHNNNYNNNNSTAVSQVVSEQPLNTVMEDVPAENPATKWAVEATGILLATGLLTVTLAWLIAPRVGKKTAKSGLHILLGGVCALTVVVFFRFIVLTRIKYGPARYWAILFVFWFLVFGIWASRTHDAHTT >Potri.012G094532.2.v4.1 pep chromosome:Pop_tri_v4:12:11892691:11894377:-1 gene:Potri.012G094532.v4.1 transcript:Potri.012G094532.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G094532.v4.1 MMLQKGLKLGADMMGNLQRSLPRMLCNLFLICRESLGTASSMQWSVARKPKGGTMTGLYQGLIQLQGTSGKVIGHVRPRSWHLPEAHILIDGEPATGCLVDFGLYFYHNHAAFRRTRGAGFGPFFYLPKMEHSREAKIWNCVFEKAEKMAGIERGSIRATVLIETLPAVFQINEILYELRDHSVGLNCGRWDYIFSYVKTFQAHPDRLLPHRVQVGMTQHFMKSYSDLFIWTCHRGGVHAMGGMAAQIPIRYDTGANNVALELVRKDKLREVRA >Potri.002G064150.1.v4.1 pep chromosome:Pop_tri_v4:2:4397144:4398524:-1 gene:Potri.002G064150.v4.1 transcript:Potri.002G064150.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064150.v4.1 MITPKKLIKIARKWQKLPATRRKGMSFPRSFGHGDAVVCSRRPSMAEKGHFVVYSADGKRPD >Potri.002G120900.1.v4.1 pep chromosome:Pop_tri_v4:2:9164366:9170161:-1 gene:Potri.002G120900.v4.1 transcript:Potri.002G120900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G120900.v4.1 MDPYQQQQRRRFLAGTAPFSPETPFSRLIDQSLASPETSTQNPYLETLESLFLRLNVSHDNQTLYSGSHDNIFNGSVVDFKILDDLASSGQVGFLPTQNNDINAFGATRVQDFVHNPMMAGSNSDLRSNTFVYGGAYQNPNLNNRRGLMQRESHYGSMNNVLVSKSQNYGRRPPWLQDYVSWEDLSGKIVALAKDQHGCKFLQRLIESATREQIDMLFYEVIDYVGGLIADPFGNYVVQKLIEVISEEQRTRVLRMLTRTDFQLVRICLDVHGTRAVQKLLNCITNPLQVSLVVSALNQGAVALIKDSNGHHVIQHSMKHFSPQDNKYILKQVAEKCFEIATNKSGCCVLQRCVEYSEGEHRDRLVAEIIANALLLAEDHYGNYVVQHILDLKMPQITENLLTQFEGSYMALSCNKYGSNVVEKCLLTTSEDQSTQIILELLSNPGASMLLVDPFGNFVIQKALSVSQGEVQRGLIALIERNSQMMISNIYGQKVLVWLTKNRRLLNL >Potri.002G138500.2.v4.1 pep chromosome:Pop_tri_v4:2:10399961:10401632:1 gene:Potri.002G138500.v4.1 transcript:Potri.002G138500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G138500.v4.1 MAATEGDNGEFYLRYYVGHRGKFGHEFLEFEFRPDGKLRYANNSNYKNDTMIRKEVFLTPAVLKECRRIISESEIMKEDDNNWPEPDRVGKQELEIVMGNEHISFTTSKIGSLVDVHSSQDPEGLRIFYYLVQDLKCFVFSLISLHFKIKPI >Potri.002G034400.1.v4.1 pep chromosome:Pop_tri_v4:2:2275713:2278575:-1 gene:Potri.002G034400.v4.1 transcript:Potri.002G034400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:pceberh MADKSKILIIGGTGYIGKFIVEASAKAGHPTFALVRESTVSDPVKRELVEKFKNLGVTLIHGDVDGHDNLVKAIKQVDVVISAIGSMQIADQTKIIAAIKEAGNVKRFFPSEFGMDVDHVNAVEPAKTAFAMKAQIRRAIEAAGIPYTYVPSNFFAAYYLPTLAQFGLTAPPRDKITILGDGNAKLVFNKEDDIGTYTIKAVDDARTLNKTVLIKPPKNTYSFNELIDLWEKKIGKTLEKTFVPEEKLLKDIQESPIPINIVLSINHSALVNGDMTNFEIDPSWGLEASELYPDVKYTTVEEYLDQFV >Potri.007G061921.2.v4.1 pep chromosome:Pop_tri_v4:7:7013841:7014245:1 gene:Potri.007G061921.v4.1 transcript:Potri.007G061921.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061921.v4.1 MLSHGLVPSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNLSTIFFSSTLANMSSPGTSSFIGEFLISVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLHKFSDSNGREVSIFIPFLVGGATVR >Potri.007G061921.1.v4.1 pep chromosome:Pop_tri_v4:7:7013733:7016826:1 gene:Potri.007G061921.v4.1 transcript:Potri.007G061921.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G061921.v4.1 MVNILYGSGGNRRTLISGGESLALSNIQGIGGSILPMLSHGLVPSALFLCVGVLYDRHKTRLVRYYGGLVSTMPNLSTIFFSSTLANMSSPGTSSFIGEFLISVGAFQRNSLVATLAALGMILGAAYSLWLYNRVVSGNLKPDFLHKFSDSNGREVSIFIPFLVGVVRMGVHPKVFPDRMHTSVSNLVQHGKFH >Potri.002G104200.6.v4.1 pep chromosome:Pop_tri_v4:2:7634643:7637625:-1 gene:Potri.002G104200.v4.1 transcript:Potri.002G104200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104200.v4.1 MELEVSSPISSSAVDLEERNKQTDKDQVRVRRKTLVVVLEQCQRALELLNNTDSVEDDDEGDTSGAESREVESSPSRDCSSTSFGDREADELCDLLKSRVECPEFLEKLELECAQLPGSQYITDGSSWDMVSGDDLWEGENDGSDQEDYVLVQQEDIVEGIACFMAAYLLSLKQTKDLAPAQLQEALSKTFSVKKKTGKLRKAWDGSKIIYNAASWGATAIGLYQNPVLFRAASKAFWTSCHVMSKLL >Potri.002G104200.1.v4.1 pep chromosome:Pop_tri_v4:2:7634566:7637740:-1 gene:Potri.002G104200.v4.1 transcript:Potri.002G104200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G104200.v4.1 MELEVSSPISSSAVDLEERNKQTDKDQVRVRRKTLVVVLEQCQRALELLNNTDSVEDDDEGDTSGAESREVESSPSRDCSSTSFGDREADELCDLLKSRVECPEFLEKLELECAQLPGSQYITEDGSSWDMVSGDDLWEGENDGSDQEDYVLVQQEDIVEGIACFMAAYLLSLKQTKDLAPAQLQEALSKTFSVKKKTGKLRKAWDGSKIIYNAASWGATAIGLYQNPVLFRAASKAFWTSCHVMSKLL >Potri.004G156900.5.v4.1 pep chromosome:Pop_tri_v4:4:17776802:17779467:-1 gene:Potri.004G156900.v4.1 transcript:Potri.004G156900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G156900.v4.1 MEGVGAEGASVASPVAQWGHDVWRIYQYYLDKSTPHTVYRWIGTLVAVAIYCLRVCYIQGFYIIAYGLGIYILNLLIGFLSPLVDPEIDPSDGPSLPTKGSDEFKPFIRRLPEFKFWYSFTKAFVIAFVMTFFSMFDVPVFWPILLCYWIVLFVLTMRRQIAHMIKYKYIPFSLGKQKYGGKKSSASSSGSRGD >Potri.003G001400.1.v4.1 pep chromosome:Pop_tri_v4:3:417348:427520:-1 gene:Potri.003G001400.v4.1 transcript:Potri.003G001400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G001400.v4.1 MEAIEELTQLSESMRQASALLADEDVDETSSSSSSPSSSRRSSTFLNVVALGNVGAGKSAVLNSLIGHPVLPTGENGATRAPISIDLSRDSSVSSKSIILQIDSKNQQVSASALRHSLQERLSKVSSGRSRDEIYLKLRTSTAPPLKLIDLPGVDQRIVDDSMISEYVQHNDAILLVVIPAIQAPEISSSRALRIAKEYDAESTRTVGIISKIDQAATESKAIAAVQALLLNQGPPKTSDIPWVALIGQSVSIASVQSGSASSESSLETAWRAESESLKSILTGAPQSKLGRVALVDVLAGQIRSRMKLRLPSLLSGLQGKSQIVQDEMVRLGEQMVSSSEGTRALALELCREFEDKFLLHLVGGEGNGWKVVASFEGNFPNRIKQLPLDRHFDINNVKRIVLEADGYQPYLISPEKGLRSLIKGVLELAKEPSKLCVDEVHRVLLDIVSSAANATPGLGRYPPFKREVVAIASSVLDGFKNEAKKMVVALVDMERVFVPPQHFIRLVQRRMDRQRREDELKNKSSKKAVDTEQSILNRATSPQTGQQSGGSLKSLKEKSNQQDKDAPEGSALKTAGPGGEITAGFLLKKSGKLNGWSKRWFVLNEKTGKLGYTKKQEERQFRGVITLEECSIEEVSEEEETSSKSSKDKKANGPSSEKGPSLVFKITSRVPYKTVLKAHSAVVLKAESMGDKVEWLNKLRNVIQSKGGQVLSESGPPMRQSMSDGSLDTMARRPADPEEELRWMSQEVRGYVEAVLNSLGANVPKAVVLCQVEKAKEDMLNQLYSSISTQSTARIEELLQEDQNVKRKRERYQKQSSLLSKLTRQLSIHDNRAAAASSWSDGSGAESSPRTNGSLSGEDWRNAFDSAANGPVGPSRSHSRRNSDPAQNGDVSANGSRRTPNRMPPAPPPSGSSYRY >Potri.006G095200.1.v4.1 pep chromosome:Pop_tri_v4:6:7223158:7224638:-1 gene:Potri.006G095200.v4.1 transcript:Potri.006G095200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G095200.v4.1 MADHPMPHLGFEIESIITQHGVFAFLVNTPNGQIQVMYKSMTASPFDTHEGVMLAFFIAFFIYATASVAEIMLRARESIYHPIVSYIRLFASGLATILLLVILDPVLGYIISVLWGCLFARVAYESCKELQELRSHTAQLGHSLWTKLVGVLGYHNEEEPDQAIRPAV >Potri.003G131200.1.v4.1 pep chromosome:Pop_tri_v4:3:14930855:14933183:-1 gene:Potri.003G131200.v4.1 transcript:Potri.003G131200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G131200.v4.1 MAIHSLTTSPASSLLLPPRKLIKSSFIQCCKTQNESIELSTNGKASFPEKSLQKQPLNNLPPRPRRIILVRHGQSQGNVDESVYTRIADPKIALTEKGKAQAEECGKRIREMIEKDEADDWKVYFYVSPYKRTRETLQNLARAFERSRIAGMREEPRLREQDFGNFQDRERMRAEKAIRMLYGRFFFRFPNGESAADVYDRVTGFRETLRADIDIGRFQPPGEQSPNMNLVIVSHGLTLRVFLMRWYKWTVEQYEKLHNFGNGGMVVMERGFGGRYSLLMHHTEEELKEFGLTDEMLMDQEWQKFARPGELNYDFPMMNSFFTHFKHDDCQ >Potri.008G007800.1.v4.1 pep chromosome:Pop_tri_v4:8:355825:356311:1 gene:Potri.008G007800.v4.1 transcript:Potri.008G007800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G007800.v4.1 MGAMQIFDFRDKLTRKEEARSLCPCSGGPVMAMDYDSHLYFCFIPISHKVKRKFSCVVCSRRLVLVQ >Potri.001G416500.1.v4.1 pep chromosome:Pop_tri_v4:1:44481062:44482712:1 gene:Potri.001G416500.v4.1 transcript:Potri.001G416500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G416500.v4.1 MAGHSQVIKTRVVRIDSEKSWDFFINQATNKECPVVVHFTACWCMPSVAMNPFFEEVASNYKHILFLSVDVDEVKEIATRMEVKAMPTFLLMMGGARVDKLVGANPEEIRRRIGGFVHTIHGYKAI >Potri.018G032600.1.v4.1 pep chromosome:Pop_tri_v4:18:2397522:2400000:-1 gene:Potri.018G032600.v4.1 transcript:Potri.018G032600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G032600.v4.1 MSSSWSQALVKISPYTFSAVGIAVAIGVSVLGAAWGIYITGSSLIGAAIKAPRITSKNLISVIFCEAVAIYGVIVAIILQTKLESVPASQIYAPESLRAGYAIFASGIIVGFANLVCGLCVGIIGSSCALSDAQNSSLFVKILVIEIFGSALGLFGVIVGIIMSAQATWPAK >Potri.017G118551.2.v4.1 pep chromosome:Pop_tri_v4:17:12518908:12520909:-1 gene:Potri.017G118551.v4.1 transcript:Potri.017G118551.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118551.v4.1 MSPDLSVILPRVLIVSRRSLRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVSGVHLLLDSFEPIHGVLLCEGEDIDPSLYEAETSNLSLEELEEIRRIHASDTAIDREKDSIELRLAKLCLERNIPYLGICRGSQVLNVASGGTLYQDIENEVSKKIQESQRVNHMDYDNYDGHRHVVKVVENTPLHDWFRDSLEEDKMEILVNSYHHQGVNRLAQRFVPMAFAPDGLIEGFYDPDACNPEEGKFIMGLQFHPERMRQDDTDKFDYPGCPRAYQVFVKAVIAYQKKLNSSTTSVPRPLKLDQAMEKKRKNIIRSFFLARNIYTTGQRMNPSKESELQAGAEFLESNTALSLQQENRLKQMGATVRNAGSYIERLRMNEEREDLAKNVMGNMSVEQLSDMLSFYHMMGQICSEVLDRKLNGIVHGIGS >Potri.017G118551.1.v4.1 pep chromosome:Pop_tri_v4:17:12518717:12520909:-1 gene:Potri.017G118551.v4.1 transcript:Potri.017G118551.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G118551.v4.1 MSPDLSVILPRVLIVSRRSLRKNKFVDFVGEYHLDLIVGYGAVPVIVPRVSGVHLLLDSFEPIHGVLLCEGEDIDPSLYEAETSNLSLEELEEIRRIHASDTAIDREKDSIELRLAKLCLERNIPYLGICRGSQVLNVASGGTLYQDIENEVSKKIQESQRVNHMDYDNYDGHRHVVKVVENTPLHDWFRDSLEEDKMEILVNSYHHQGVNRLAQRFVPMAFAPDGLIEGFYDPDACNPEEGKFIMGLQFHPERMRQDDTDKFDYPGCPRAYQVFVKAVIAYQKKLNSSTTSVPRPLKLDQAMEKKRKNIIRSFFLARNIYTTGQRMNPSKESELQAGAEFLESNTALSLQQENRLKQMGATVRNAGSYIERLRMNEEREDLAKNVMGNMSVEQLSDMLSFYHMMGQICSEVLDRKLNGIVHGIGS >Potri.008G128700.1.v4.1 pep chromosome:Pop_tri_v4:8:8371812:8374078:1 gene:Potri.008G128700.v4.1 transcript:Potri.008G128700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G128700.v4.1 MGNTLGGKKTAKVMKINGETFKFKTPVKAGDVVKDYPGHVLLESEAVKHYGIRAKPLEAHQDLVPKRLYFLVELPETPTERVPRRVRSGINMSAKDRLESLMLSRRSTSDLSIMKPSSIVSEEAKRGAMRVKMRLPKEQVEKLMQESKDEAEAAAKILDLCMANTAGGSNNSSREIAQNGQESGLSQQVHWKSGGHGRVGNQGIIKAREKRVSFLPFSEGEMQIAVASY >Potri.005G126301.1.v4.1 pep chromosome:Pop_tri_v4:5:9490825:9491986:-1 gene:Potri.005G126301.v4.1 transcript:Potri.005G126301.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G126301.v4.1 MEDNHWPRRSTAPLSRNSNNNPHQHKTNFSHHYTSPTLATAAADHPSHQLNKPFIIGLPQHTSTMSLTDLPAVQITTTKSVNTSSNPHPFNHCPDEKITWKISTPQQPPAT >Potri.014G172000.4.v4.1 pep chromosome:Pop_tri_v4:14:12773680:12783125:-1 gene:Potri.014G172000.v4.1 transcript:Potri.014G172000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172000.v4.1 MFTEGLDESAINWIKRGSDAEESQPKVRSPLSPLSAEKLSPPAAAENPFPKSPFFYNSSSHLLPPLKFHSGLLTPHSIVSPCLQNKEEDDDNVSVASVSDYEIGRRNYTEEDGFEDIGPSDFDYLEKPVMQSYQDEDEEEIFGVTRNRPTAKTKLNRGVLKEDLKIELPGHFRRLKSATQVDGDLGFRKSGTPKKNSVGPGGSFSLRERVQLRNAQLDNFSNEANVFRPVEEMGTPSAPPIIEIGGEEGNVTFDTETEQIAYGVGKPRESAEGLFDQTSQSMQSTECDERINSFMTGEMEGKMPYWQTSSSDRSPCYNTGGQYAWQTMIAYDACIRLCLYAWARGRTEAPEFLRDECLILRSAFGLHKFLLQPRRIQPVAVNSTKIAEQTCPLKAKKVVGKIRVEVKKLRIIPRRKLMSTYSQRSAIYMQMGKEYVQHVSSLVKTGMNSLKIASFPVPTEEKLTCLFQLKSTTENSQVEPGSAICLHPGSGDYHIFFPESEGEALLVEVQDTKKSLQGRATIAISSFNDNPSDRIRWWPLYHEDQECVGKIQLFIGSTITQDETNNIKSGPVVETIAYDLLLEAAMHAQLFHSRNLRLHGSWKWLLIEFADYYGVSDSYTKLRYLSRVMDVALPKKDCLELVNELLVPIMKARSEKSLTVQEKSIFLDCETRIESLLAQVFENYKSLDENSPTGLADLFNPMQESAAPALGEAVKVYTLLHDILSQDAQTMLRNYLQTAAKKRCRKHMVETDEFVSGNSEGFLLDSITISTAYLKMKNLCLNIGKEIQADIRIHNQHLLPSSIDLSNIAAAVYSTELCNRLRIFLSACPPSSPQPHVNELLIAIADFERDLELWNISPVQGGVDSRGLFHSYIMVWVQDMQINLLELCKAEKVPWAGVMTNHSTSPFAEEMYERIKDALIEYEVVINRWPRYSLILENAVADVERAIVKALEKQYNDILTPLKDSIPKRLNMHVQKLTRRQSTTLYSVPNQLGIFLNTIKRILDVLHCRVEDIFKSWASYLPLVGDKKSICGEQMNGITVLLRTKHKNYLQATVEKLVNNVQASRSTRLKRILEDIREEDGEAEVRERMQILSSQLIDCISNLHDVFASRIFVATCRGFWDRMGEIVLKFLESRKENKVWYNGSCYALGILDDTFASQMQRLLGNSLQEKDLEPPRSVIEARSILCNTANATETSTYFYV >Potri.014G172000.5.v4.1 pep chromosome:Pop_tri_v4:14:12773679:12781989:-1 gene:Potri.014G172000.v4.1 transcript:Potri.014G172000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G172000.v4.1 MGTPSAPPIIEIGGEEGNVTFDTETEQIAYGVGKPRESAEGLFDQTSQSMQSTECDERINSFMTGEMEGKMPYWQTSSSDRSPCYNTGGQYAWQTMIAYDACIRLCLYAWARGRTEAPEFLRDECLILRSAFGLHKFLLQPRRIQPVAVNSTKIAEQTCPLKAKKVVGKIRVEVKKLRIIPRRKLMSTYSQRSAIYMQMGKEYVQHVSSLVKTGMNSLKIASFPVPTEEKLTCLFQLKSTTENSQVEPGSAICLHPGSGDYHIFFPESEGEALLVEVQDTKKSLQGRATIAISSFNDNPSDRIRWWPLYHEDQECVGKIQLFIGSTITQDETNNIKSGPVVETIAYDLLLEAAMHAQLFHSRNLRLHGSWKWLLIEFADYYGVSDSYTKLRYLSRVMDVALPKKDCLELVNELLVPIMKARSEKSLTVQEKSIFLDCETRIESLLAQVFENYKSLDENSPTGLADLFNPMQESAAPALGEAVKVYTLLHDILSQDAQTMLRNYLQTAAKKRCRKHMVETDEFVSGNSEGFLLDSITISTAYLKMKNLCLNIGKEIQADIRIHNQHLLPSSIDLSNIAAAVYSTELCNRLRIFLSACPPSSPQPHVNELLIAIADFERDLELWNISPVQGGVDSRGLFHSYIMVWVQDMQINLLELCKAEKVPWAGVMTNHSTSPFAEEMYERIKDALIEYEVVINRWPRYSLILENAVADVERAIVKALEKQYNDILTPLKDSIPKRLNMHVQKLTRRQSTTLYSVPNQLGIFLNTIKRILDVLHCRVEDIFKSWASYLPLVGDKKSICGEQMNGITVLLRTKHKNYLQATVEKLVNNVQASRSTRLKRILEDIREEDGEAEVRERMQILSSQLIDCISNLHDVFASRIFVATCRGFWDRMGEIVLKFLESRKENKVWYNGSCYALGILDDTFASQMQRLLGNSLQEKDLEPPRSVIEARSILCNTANATETSTYFYV >Potri.010G042500.1.v4.1 pep chromosome:Pop_tri_v4:10:7424826:7431814:1 gene:Potri.010G042500.v4.1 transcript:Potri.010G042500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G042500.v4.1 MAIVASLPTPGLNLFSSLPLGKDPTASSSPSPPPPPPIPIPKYPPPLKKSKNSANPAFKIPHLRTKYYKPVKDGGGVIASDGDRSVLVGDSGVSYLLPGAPFEFQFSYSETPKVKPLAIREPAFLPFAPPTMPRPWTGKPPLKTSKKKIPVFDSFNPPPAGKKGVKYVEMPGPYPFGKFPEEGKSREEILGEPLKTWEIKLLIKPHLSDNRQVNLGRDGLTHNMLELVHSHWKRRRVCKVRCKGVPTVDMDNVCRHLEEKTGGKIIHRVGGVVYLFRGRNYNYRTRPQYPVMLWKPATPVYPKLIQEAPEGLTKAQADEFRKKGKNLLPICKLAKNGVYITLVRDVRAAFEGSPLVKVDCKGMEPSDYKKLGAKLKDLVPCVLLSFDDEQILMWRGQDWKSMYPEARPSISFPAELDIASGSDDSGKSDDDCDNSDAKIVSSSPKMMLLWKHALESNKAILLDEIDLGPDALLTKVEEFEGISQATEHSYPALVMSSEDGSSNSISTFEDDSHSENFSEDDMYSDDEYYDSESFEELETSAPPGSLSIDLIAEKLDKK >Potri.005G191100.2.v4.1 pep chromosome:Pop_tri_v4:5:19846022:19848980:-1 gene:Potri.005G191100.v4.1 transcript:Potri.005G191100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191100.v4.1 MFVNNLNRCSHNHNSPSSSSSIFYYLHRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQDAPVKFLQKKFKTLDLQGKALNWLKKYPCCFDVYLQNDEYHCKLSKRMLFLVEEEESVKETQEPVFVERLSKLLMLSVNHRLNVVKLNELKRNLGFPDDYLIRILPKYPDTFRFVNHSGRRSSMEIELLSWNPDLAISAVEVSARKQGSSKPCFSCSLPSTWVKSWERFNEFNATPYISPYVDSRGLLEGSKEMEKRIVGLVHELLSLTLWKKMSIVKMGHFKREFNLPEKLNILLLKHPGIFYVSNKYQIYTVLLREGYNGSELIDKDPLVVVKDKFGELMQEGLHEYNRRRYEVNLEKQRKKGIVSVRKEKRKEGSTEMTEQDDSGDKLGGLFDPEERKRFYKVLFDDDAP >Potri.005G191100.3.v4.1 pep chromosome:Pop_tri_v4:5:19846042:19848970:-1 gene:Potri.005G191100.v4.1 transcript:Potri.005G191100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G191100.v4.1 MFVNNLNRCSHNHNSPSSSSSIFYYLHRNFSLWSMKKDPDLESALSRNRRWIVNNQIKNIILRYPNQDAPVKFLQKKFKTLDLQGKALNWLKKYPCCFDVYLQNDEYHCKLSKRMLFLVEEEESVKETQEPVFVERLSKLLMLSVNHRLNVVKLNELKRNLGFPDDYLIRILPKYPDTFRFVNHSGRRSSMEIELLSWNPDLAISAVEVSARKQGSSKPCFSCSLPSTWVKSWERFNEFNATPYISPYVDSRGLLEGSKEMEKRIVGLVHELLSLTLWKKMSIVKMGHFKREFNLPEKLNILLLKHPGIFYVSNKYQIYTVLLREGYNGSELIDKDPLVVVKDKFGELMQEGLHEYNRRRYEVNLEKQRKKGIVSVRKEKRKEGSTEMTEQDDSGDKLGGLFDPEERKRFYKVLFDDDAP >Potri.004G112600.3.v4.1 pep chromosome:Pop_tri_v4:4:10330974:10333437:1 gene:Potri.004G112600.v4.1 transcript:Potri.004G112600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G112600.v4.1 MLPKSPLFLFLKNKHILKRIRNQKMVYKRFLGLALGLLFVMNAIWGSCAYDYHDGEMKKKEIVGFGENTVLSVDKELILSGFSTASTRKMGLGGRKMAVQKESRRETEKEQGLHGQASEDNSGKKNNALDKSLVGSQDQINNQKDMSNLERETLSARLGTPRTDQTVHLPKSNSKDSKALPTKTSLESPSRADIDQEPQGSATDLKSEMQRLLDATREMVNLMNKDYTIKPPKRKPPINNKEPIH >Potri.004G112600.2.v4.1 pep chromosome:Pop_tri_v4:4:10330974:10332972:1 gene:Potri.004G112600.v4.1 transcript:Potri.004G112600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G112600.v4.1 MLPKSPLFLFLKNKHILKRIRNQKMVYKRFLGLALGLLFVMNAIWGSCAYDYHDGEMKKKEIVGFGENTVLSVDKELILSGFSTASTRKMGLGGRKMAVQKESRRETEKEQGLHGQASEDNSGKKNNALDKSLVGSQDQINNQQKDMSNLERETLSARLGTPRTDQTVHLPKSNSKDSKALPTKTSLESPSRADIDQEPQGSATDLKSEMQRLLDATREMVNLMNKDYTIKPPKRKPPINNKEPIH >Potri.014G187100.1.v4.1 pep chromosome:Pop_tri_v4:14:15718532:15719605:-1 gene:Potri.014G187100.v4.1 transcript:Potri.014G187100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G187100.v4.1 MDLASDLCRDAIYEVLTRSSMETVGKCRLLSKEYNKLTYESLFTKLHGQRTNIVSGFLIQSMIRNEYQISFVSTDTLKTHTQISFDFLPEHVEIVSSTNQGVLLCHTHNKSCYYVCDPSIQQCQKISNPKTRYDTIEFGLMIERSKPLRYKIVRFSKPKFRAHKEFYMYHCIRVELFESATWKWKLLDEVRLPHEESLHRMTKVSVNGSLHWLTWKRNVFTFDVTRERHCLFPLPLPASEENDNKDIRLTEYKGKLAMTCIDRESNFVEVWIMKDHDRKRWNKRHSVNIGVLTRKEPHVSPLAFCNADVMLMGEYYSAMMFFNFKTGYIDMLQLGKGLLHGCFPFQFTYATEEKRAH >Potri.005G244400.1.v4.1 pep chromosome:Pop_tri_v4:5:23988762:23990836:-1 gene:Potri.005G244400.v4.1 transcript:Potri.005G244400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G244400.v4.1 MQRQHRFTLTQLLSFILLPVVKLSILTVESQNLPPARYDGFVYENRQGDLNSILVEAFFDPVCPDSRDSWPPLKEALKHYGSRVWLVVHLLPLPYHDNAFVSCRALHIANTLNSSFTFPLLEEFFKHQEKFYNAKTSNLSKTSIVEEIVKFATVAVGNSYSSAFESGFNDRQTDLKTRVSFKYSTSRGVFGTPFFFVNGFVLPDAGSPLDYNGWRSIIDPLVGAKSSQSINVPHFI >Potri.008G073050.1.v4.1 pep chromosome:Pop_tri_v4:8:4517301:4518267:-1 gene:Potri.008G073050.v4.1 transcript:Potri.008G073050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G073050.v4.1 MNVKHMSTRAFKSFKIVPTKHPHQWQKTAKQSNTTQDFLSENQVSLITLDSLIKLMEELPAKILYYNHHCQSLDSIHVKTAKSRISSCNLLLLSPNHVWIK >Potri.003G193800.10.v4.1 pep chromosome:Pop_tri_v4:3:19662743:19666687:-1 gene:Potri.003G193800.v4.1 transcript:Potri.003G193800.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193800.v4.1 MEWYSDDCIDDFEVPKDQEVYDRLPSPESWSKWCTDASESHEFSNQSLPMDSKLTEEELKFNGEILNNEVESFYNGEDQSSGSRTSRGFSEDSFPQNALSCDQSDYQLDDLAGIEQLDEIFFSLLEDQPTNENLHGSFCFSPEAECAMISADNRLTDKILDLQSILNDARGMGSSKYLKTHAFSPSASWEKDEVAASHFNPCNSEQKDWAPAEVRFSIFLLTFNQ >Potri.003G193800.7.v4.1 pep chromosome:Pop_tri_v4:3:19662847:19666647:-1 gene:Potri.003G193800.v4.1 transcript:Potri.003G193800.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193800.v4.1 MEWYSDDCIDDFEVPKDQEVYDRLPSPESWSKWCTDASESHEFSNQSLPMDSKLTEEELKFNGEILNNEVESFYNGEDQSSGSRTSRGFSEDSFPQNALSCDQSDYQLDDLAGIEQLDEIFFSLLEDQPTNENLHGSFCFSPEAECAMISADNRLTDKILDLQSILNDARGMGSSKYLKTHAFSPSASWEKDEVAASHFNPCNSEQKDWAPAEAQLVNVSVPSEHDSTIGPVDDETSQQSALHELEMVLAQLNDKTRICFRDGLYRLASYSRQHIVKRNQNGDLYMETPCTSEDEEIRSGGKKTNEMETNSIDRAIANLMFSKMDLNIQDFHVSASASSKQGISRETKPLNCSLDQPEGHDLSSYPIFRDDAEVPILGQGYP >Potri.003G193800.3.v4.1 pep chromosome:Pop_tri_v4:3:19662707:19666646:-1 gene:Potri.003G193800.v4.1 transcript:Potri.003G193800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193800.v4.1 MEWYSDDCIDDFEVPKDQEVYDRLPSPESWSKWCTDASESHEFSNQSLPMDSKLTEEELKFNGEILNNEVESFYNGEDQSSGSRTSRGFSEDSFPQNALSCDQSDYQLDDLAGIEQLDEIFFSLLEDQPTNENLHGSFCFSPEAECAMISADNRLTDKILDLQSILNDARGMGSSKYLKTHAFSPSASWEKDEVAASHFNPCNSEQKDWAPAEAQLVNVSVPSEHDSTIGPVDDETSQQSALHELEMVLAQLNDKTRICFRDGLYRLASYSRQHIVKRNQNGDLYMETPCTSEDEEIRSGGKKTNEMETNSIDRAIANLMFSKMDLNIQDFHVSASASSKQGISRETKPLNCSLDQPEGHDLSSYPIFRDDAEVPILGQGYP >Potri.003G193800.8.v4.1 pep chromosome:Pop_tri_v4:3:19662791:19666652:-1 gene:Potri.003G193800.v4.1 transcript:Potri.003G193800.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193800.v4.1 MDSKLTEEELKFNGEILNNEVESFYNGEDQSSGSRTSRGFSEDSFPQNALSCDQSDYQLDDLAGIEQLDEIFFSLLEDQPTNENLHGSFCFSPEAECAMISADNRLTDKILDLQSILNDARGMGSSKYLKTHAFSPSASWEKDEVAASHFNPCNSEQKDWAPAEAQLVNVSVPSEHDSTIGPVDDETSQQSALHELEMVLAQLNDKTRICFRDGLYRLASYSRQHIVKRNQNGDLYMETPCTSEDEEIRSGGKKTNEMETNSIDRAIANLMFSKMDLNIQDFHVSASASSKQGISRETKPLNCSLDQPEGHDLSSYPIFRDDAEVPILGQGYP >Potri.003G193800.9.v4.1 pep chromosome:Pop_tri_v4:3:19662642:19666652:-1 gene:Potri.003G193800.v4.1 transcript:Potri.003G193800.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G193800.v4.1 MISADNRLTDKILDLQSILNDARGMGSSKYLKTHAFSPSASWEKDEVAASHFNPCNSEQKDWAPAEAQLVNVSVPSEHDSTIGPVDDETSQQSALHELEMVLAQLNDKTRICFRDGLYRLASYSRQHIVKRNQNGDLYMETPCTSEDEEIRSGGKKTNEMETNSIDRAIANLMFSKMDLNIQDFHVSASASSKQGISRETKPLNCSLDQPEGHDLSSYPIFRDDAEVPILGQGYP >Potri.013G095800.1.v4.1 pep chromosome:Pop_tri_v4:13:9875816:9878542:1 gene:Potri.013G095800.v4.1 transcript:Potri.013G095800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G095800.v4.1 MAISIILFFLPLIFFSSFSSSTIDRLSGASSLSVEHADDVLTSPNGVFSAGFFPVGDNAYCFAIWFSEPYSEGNRTIVWMANRDQPVNGRKSELSLRKSGNVIITDAGRLTVWSTDTVSESSVFLYLHENGNLILQKSEGGVLWQSFDSPTDTLLPQQLLTKDMQLVSSRSQGNYSSGFYKLYFDNDNVLRLLHGGPEITVYWPDPELMSCEASRSTFNSSRIAFLDSLGYFSSSDNFTFMSADYGERVQRILKLDFDGNIRLYSRKYRMDKWTVSWQAMSQPCRIHGTCGPNSICSYVPHFGRKCSCLPGFKIRDRTDWSLGCVQEFNLTCTRNETGFLKLSNVEFFGYDYGFFANYTFGMCENLCLQICDCKGFQFKFIKHAHPSNIPYCYPKTQLLNGHHSPNFEGDIYLKVQKTLPIQEIGLDCSSTVVKQLNRTYTKHQENASLKFVVRFAMVVGSVELGVIFIVWCFFIRTHRNATAGTQNYHRFTTGFRKFTLSELKKATQGFSKEIGRGAGGVVYKGMLSDHRIAAVKRLNDAYQGEAEFQAEVSTIGKLNHMNLTEMWGYCAEGKHRLLVYKYMEHGSLAEQLSSNSLGWEKRFDIAVGTAKGLAYLHEECLEWVLHCDVKPQNILLDSNYQPKVSDFGLSRPLKRGSQVNKGFSKIRGTRGYMAPEWVFNLPITSKVDVYSYGMVLLEMISGKCPAEEIENRRLVTWVREKMKQATEMSSWIEMIIDPKLEGKYDKGRMEILFEVALKCVAEDRDERPTMSQVVEMLLHQENDSELV >Potri.001G401850.1.v4.1 pep chromosome:Pop_tri_v4:1:42777008:42778659:-1 gene:Potri.001G401850.v4.1 transcript:Potri.001G401850.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401850.v4.1 MQKSRLRISGTYHSSSVSAWISSAVRARVGEMLMLAEDTKHSLFSCETLVLLNVSCYRIAFHVYPSTVCFPRLKVLHLDCLSPMHGNACIEIVLSLKRLMMQLLRAYDYLEDDDLRAYRILTLDTPNLELLKLTDSASEELNILHKTLSLAEATDNQDTPQWRGPHGNAVLSHCLSSCLEVIEFKNLEGQLAEIKIVEYCLRNARVLKKMTIRYEMEIDNSKEDVIQRVLLQVLKLYSIVSYANVQA >Potri.001G027600.2.v4.1 pep chromosome:Pop_tri_v4:1:2093266:2097743:1 gene:Potri.001G027600.v4.1 transcript:Potri.001G027600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G027600.v4.1 MAAIAAILSSIPSSSSSSKFSKYQSHPSVPPPCRVVCRGGSQPPPPVTTDFQFALHDALDSSGINTTHAREARQNFMSQIKRLSSIEREISISINRRVDLAKTALYIAAEDDSLISHSSVALPVDAFIERLDDLSMGFCTNNSSALKSSPEMLLDSLEKFLYVKKGFRRSTMKSRLEPRALYLHSVLTHRSGSAVMLALIYSEILKTLRLWSLLDFDCEIFFPHDNHGLPRGYHKQKSKESDHQHILTSLTLLEKILRNLKEAFWPFQHDHTKSLFLQAAHAADCVDISKTFEGSGAQLASAKAAQHRLDRGVWTSVHFGDMRRALSACERLILLESDPKELRDYSVLLYHCGFYEQSLQYLKLYQEKGSSLQKQASNKLSSLEEDAVEKLMIRLNLISMEEGWSKPSHVRNFLGNNSEPW >Potri.016G131800.1.v4.1 pep chromosome:Pop_tri_v4:16:13581164:13582552:1 gene:Potri.016G131800.v4.1 transcript:Potri.016G131800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G131800.v4.1 MATGHAPGNPVPAVRTYPPVEHPVVVVGPQYLAQYPVELAVSTKLWTLGENDFKVSDINGTLIFQVKSKVLTLHDRRFLKDAAGNTLVNLRQKIMSMHRRWEAFRGESKEEKDLLFTAKKSKLYQFKTELDIFLGKNKGKVPDFKVKGGYRESSCSVLLGDSNTMLAQMHRKHTLASTILATDSFGVTVYPNVDYAFIAALVVILDEINADRSGED >Potri.014G090900.2.v4.1 pep chromosome:Pop_tri_v4:14:5900674:5901311:1 gene:Potri.014G090900.v4.1 transcript:Potri.014G090900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G090900.v4.1 MGEREQVRPLAPAADRQSSDEEGASRHHKKRSRKCCVFIAAIFVILVVAIVVMRFTAFRVNDPIIKMNGVTVTQLELTSGTIPKPDGW >Potri.015G022000.1.v4.1 pep chromosome:Pop_tri_v4:15:1624006:1625162:1 gene:Potri.015G022000.v4.1 transcript:Potri.015G022000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G022000.v4.1 MESMNRRRRRKQPKINSSESEEVSSIEWEFINMSEQEEDLIYRMHRLVGERWDLIAGRIPGRKAEEIERFWIMKHREGFAENGKLYNEVKSRTSS >Potri.001G371000.2.v4.1 pep chromosome:Pop_tri_v4:1:38872546:38874277:-1 gene:Potri.001G371000.v4.1 transcript:Potri.001G371000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G371000.v4.1 MANNKQKSLIVCSWVKQHIQERSLRASNRKNTASRRRVSLNLTGGSCLVKDVSDVADSVSPFTERLLADDCSAEEDSTGELDGDLIFFNSPALSSVLETFTACTVGVTPFSCVAIENPSASTDGVSNSSDVRVPHIDPIAGAKDCSDCLLMAILVWSVW >Potri.001G023900.1.v4.1 pep chromosome:Pop_tri_v4:1:1822805:1826612:-1 gene:Potri.001G023900.v4.1 transcript:Potri.001G023900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G023900.v4.1 MMQSRTKRSCCVLLAIFMTISCLCFATSSKSILQTFTTNKNLKWKKWQKKVCNMYQGSWVQDMSYPLYNSSACPFIRKEFDCLKYDRPDHLYLQYRWQPNACDLPRFDGKHFLKKLKGKKIMFIGDSVSLNHFESLLCLLHAAVPDSIITEETNNSGSTVTFQDYGVSVKLFNSHYLVDIEQEKIGRVLKLDSLKDGNTWKDMDVLVFNTWLWWYRRGPKQPWDYVQEGETIIEDMDRMVAFRKGLTTWAKWVDSDVDTCKTKVIFQGISPSHYNGVEWGKPGVTNCGKETQPVSGSTYPGGSPLALQALEDVLNTIKKPVHLLNITTLSQLRKDGHPSSYNGFRGMDCTHWCVAGVLDAWNELLYTAIIS >Potri.011G125900.1.v4.1 pep chromosome:Pop_tri_v4:11:15713368:15715615:1 gene:Potri.011G125900.v4.1 transcript:Potri.011G125900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125900.v4.1 MGKSPSCDKNGLKKGPWTAEEDQKLITYIQKHGHGRWRILPKNAGLKRCGKSCRLRWTNYLRPDIKRGKFSDEEEETIIQLHGILGNKWSTIATRLPGRTDNEIKNYWNTHIKKRLLMMGIDPATHKPRLDLLQHCSALSSCLHNSSQLSIPSLLGMGPILNPNLLNLATSFLSCQGNISQDVSPRHFHESQRRNNQVNDQFQCLQPNELQNQAQACTNTSGAQSLNETQISEANLEQLISSDPTNFSCQNSLQSSWHTIEETPNVSESLMSNYVYHDCNNKPIIINDNSFETLPSLSFGSLLSTPSYNTTPLHSSSTTYVNVSDEDEKNIYCSDMLSFDIPSCLDGNRLV >Potri.011G125900.2.v4.1 pep chromosome:Pop_tri_v4:11:15713368:15715616:1 gene:Potri.011G125900.v4.1 transcript:Potri.011G125900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G125900.v4.1 MVFWEISEWSTIATRLPGRTDNEIKNYWNTHIKKRLLMMGIDPATHKPRLDLLQHCSALSSCLHNSSQLSIPSLLGMGPILNPNLLNLATSFLSCQGNISQDVSPRHFHESQRRNNQVNDQFQCLQPNELQNQAQACTNTSGAQSLNETQISEANLEQLISSDPTNFSCQNSLQSSWHTIEETPNVSESLMSNYVYHDCNNKPIIINDNSFETLPSLSFGSLLSTPSYNTTPLHSSSTTYVNVSDEDEKNIYCSDMLSFDIPSCLDGNRLV >Potri.010G163600.3.v4.1 pep chromosome:Pop_tri_v4:10:16822437:16825842:1 gene:Potri.010G163600.v4.1 transcript:Potri.010G163600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163600.v4.1 MFKVLFGIMMESNIQEKVHEVEEFFDGHLKPQLVRAIAERDKVFEQQKMFSDLRRNIENLEKNSVRWSTLAPYCTCKLMCQIPTAYLWVLDLDSMWSLPGKVCVGIRELLQLPADKSLPERVF >Potri.010G163600.4.v4.1 pep chromosome:Pop_tri_v4:10:16822430:16825842:1 gene:Potri.010G163600.v4.1 transcript:Potri.010G163600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163600.v4.1 MPHVQGIMMESNIQEKVHEVEEFFDGHLKPQLVRAIAERDKVFEQQKMFSDLRRNIENLEKNSVRWSTLAPYCTCKLMCQIPTAYLWVLDLDSMWSLPGKVCVGIRELLQLPADKSLPERVF >Potri.010G163600.1.v4.1 pep chromosome:Pop_tri_v4:10:16823033:16825842:1 gene:Potri.010G163600.v4.1 transcript:Potri.010G163600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G163600.v4.1 MMESNIQEKVHEVEEFFDGHLKPQLVRAIAERDKVFEQQKMFSDLRRNIENLEKNSVRWSTLAPYCTCKLMCQIPTAYLWVLDLDSMWSLPGKVCVGIRELLQLPADKSLPERVF >Potri.007G116800.1.v4.1 pep chromosome:Pop_tri_v4:7:13617496:13620250:-1 gene:Potri.007G116800.v4.1 transcript:Potri.007G116800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G116800.v4.1 MMRPYANPRPINIWTARRNIKKIIPVTLGLVFIVTQIIQLQRSPLFREKDSLPDEVFRTRKGNSIGSIYHREDFFLPNYATMENDFKVFVYPGRDPTTCYDPRDKLKRKYASEHYFLKNLIPSSFFTDDPTVAHLFLIPLSCKKTGGREEKDIENYVKSLISSYPYWNRTLGADHFYFSCHGIDSGTIEEVPLLMKNVIRLVCSPSYDSKYIPHKDISLPQTLELSLHDGDDVWSRSTVMSRPLMIYPEMMLPRRTKLGFWAGSLNSDVRKNLQVFYKGAPEFNFHFFDKMKKAAILDAYENELYGSKFCICPRGNNHVGSVCLTESMTFGCVPVILHDYYDFPFNDVLDWNNFSVILKEEHVPDLEKILKGIPEENYKKMHQNLLQVRKHFQWNSLPVKYDLFRMIMYELWLRRHIIKY >Potri.011G029300.1.v4.1 pep chromosome:Pop_tri_v4:11:2229345:2232176:-1 gene:Potri.011G029300.v4.1 transcript:Potri.011G029300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G029300.v4.1 MKVLAKAQQPSDQPVMLYKDCVGKGNYTTNSTYQANLNQLLTSIYTNTEINNGFYNFSYGQDADIVYSIALCRPDISPDVCRVCIRNASDFLVRLCPNFVEAIGGLDNCMVRYTNRSIFNRMEKGPYFWVYDDRVNVSDVVGFNQSRMTLLGRLSDQAAAGDSRYKYAMDQIDVPKNFQKIYALVQCTPDLSASECRDCLYNASGLIPQCCDARQGGRVIYPSCNFRYEIDRFYDPPTNSIPPPPDSTSNNTVPSPPASTSQGKKGKKRNVIIIIVIVPIAVSVILIVCVCIFLRARKQKEEEEVKDLYEMEDVELFQLDFGTVREATGNFSEDNKLGQGGFGTVYKGTLANGQDIAVKRLSRTSGQGELEFKNEVMLVAKLQHRNLVRLLGFCFEKEERILVYEFLPNSSLNNLIFDPVKRVLLDWETLYKIIEGIARGLLYLHEDSRLRIIHRDLKAANILLDENMNPKISDFGMARMFVMDQAQDSTSRVVGTFGYMAPEYVIRGHFSVKSDVYSFGVLVLEIVSGRKIGGSGIGDDGEDLLTYSWRKWNEGTPLDMIDPTLNIGPRSEIMRCINIGLVCVQENEALRPTMAQVSMMLSNYSVTLAAPSKPAFFMHGETSILPLMNASMLTESDESRTKSPQWSNKEVSISEIDLDDIQR >Potri.001G077160.1.v4.1 pep chromosome:Pop_tri_v4:1:5813351:5815906:-1 gene:Potri.001G077160.v4.1 transcript:Potri.001G077160.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G077160.v4.1 MLTRRNGRRATRSTKRKLRDNKLTRSIKRIRADMAEINEGHERIRHGQKEVRQKFEEISKETAKLKEETNIISKQSAANQVRLDLMFQIIKARSENDAPRDAVLTQILRELINGRAEPELKQAPRGEAITRSIN >Potri.008G084500.1.v4.1 pep chromosome:Pop_tri_v4:8:5278511:5282170:-1 gene:Potri.008G084500.v4.1 transcript:Potri.008G084500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G084500.v4.1 MASATAPTTLSLLKTAAASTSTSARASLLPVSTSGLRTTSLRGLGFSAADPLFSSHVVSKIRSFKSNGRAPRAVVSMAKKSVGDLTAADLKGKKVFVRADLNVPLDENQKITDDTRIRAAVPTIKYLISKGAKVILSSHLGRPKGVTPKFSLAPLVPRLSELLGIQVVKADDCIGPEVEKLVASLPEGGVLLLENVRFYKEEEKNEPEFAKKLASMADLYVNDAFGTAHRAHASTEGVTKFLKPSVAGFLLQKELDYLVGAVSNPKRPFAAIVGGSKVSSKIGVIESLLEKCDILLLGGGMIFTFYKAQGLAVGSSLVEEDKLDLATTLLEKAKAKGVSLLLPSDVVIADKFAPDANSKTVPASAIPDGWMGLDIGPESVKTFSEALGTTQTVIWNGPMGVFEFEKFANGTEAIAKKLAELSGKGVTTIIGGGDSVAAVEKVGVADVMSHISTGGGASLELLEGKELPGVLALDEVERVAV >Potri.011G063700.1.v4.1 pep chromosome:Pop_tri_v4:11:5385751:5386316:1 gene:Potri.011G063700.v4.1 transcript:Potri.011G063700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G063700.v4.1 MACVRFYLCVMLILLSFAQSETRPLDPSAVRRNLIRTIRALGETETYNVKQGNEGMIGGRFSSKRVSPGGPDAQHH >Potri.001G352500.1.v4.1 pep chromosome:Pop_tri_v4:1:36666329:36673632:-1 gene:Potri.001G352500.v4.1 transcript:Potri.001G352500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G352500.v4.1 MEKEPLLPYLSPRKRIPQPQPPLFPLPEDDEISLPLPLTPSELKDRLIFGPSSASPRDPSPLLEALTLSLNSPRSSTPNLDFSSFLDSPHLQHHHQQQQQQQQSWLVDPDCQWTKTNLHRSKTAPAMAVINDLNHPAITKPKFGSQSIVRQAFVLLVLYLSLGVLIYSLNRDKFKGNATNPVVDALYFCIVTMCTIGYGDITPDSTATKLFSILFVLIGFGFVDILLSGMVSYVLDLQESHLLRNVKRGVEKESAGSYIIDVKKGRMRIRMKVGLALGVVVLCIGVGVAFMHFVERLGWLDSLYLSVMSVTTVGYGDRAFTSLAGRIFASIWLLVSTLAVARAFLYLAEARVDKRHRMLAKWVLGQHMTVSEFLAADIDNNGFVSKSEYAIYKLKEMEKVSEKDILQICQQFDRLDTGNCGKITLADLMESQP >Potri.018G151000.2.v4.1 pep chromosome:Pop_tri_v4:18:16162893:16165426:-1 gene:Potri.018G151000.v4.1 transcript:Potri.018G151000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G151000.v4.1 MPPPRSFLILFLLVSTPSFLFQISSAAHEDGDHDINVDSTLKFENPRIRRAYIALQSWKQAIFSDPFNFTANWNGSDVCSYMGVFCAPSPKNKKIRVVAGIDLNHADIAGYLPTELGLIIDLALLHINSNRFCGVVPSSFRKMKLLHELDLSNNRLMGKFPKVVVSLPSLKYLDLRFNEFEGSVPSQLFDKPLDAIFLNDNRFRFGIPENLGNSSASVLVLANNNLGGCIPGSIGKMSKTLNEIILMNDNLTGCLPPQIGMLKEVTVFDVSFNHLQGALPSSIGNMKSVEQLDIAHNSFTGVVPASVYQLPNLQNFTYSFNYFTGEAPSCAAIGAVSNGTQNCIPGKMNQRSAKQCSSEAARPVDCSKFKCGGSGGGGGNGSPLTPPPQTRRGIPVGRPAPNPAPIRKPFVASPPPPTSKSSPSTRSHPPPPPTRSFHPPPSPHFASPPPPTKKASPRTHLPPPPPPVEQQPPTYHHIPPPPPPPPPPNHYRSHYAPRPPIKKVSTGTRRHVPPPPPSSVNRPQVPKYKPPPPPPPY >Potri.001G337400.2.v4.1 pep chromosome:Pop_tri_v4:1:34579246:34584820:-1 gene:Potri.001G337400.v4.1 transcript:Potri.001G337400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337400.v4.1 MGSGQWMVEKRSGLENDSFLKEHETPSESGCLSIIVLGASGDLAKKKTFPALYHLYRQGFLHPDEVHIFGYARTRISDDELRDRIRGYLGKEAEVVSKFLQLIKYVSGSYDTEDGFQLLDKEISQHEVSKNSAEGSSRRLFYLALPPSVYPTVCRMIRKCCMNKSDNGGWTRIVIEKPFGKDLESAENLSAHIGELFEEAQLFRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNISNVQIVFRENFGTEGRGGYFDEYGIIRDIIQNHLLQILCLVAMEKPVSLKPEYIRDEKVKVLQSVLPIRDEDVVLGQYEGYRDDPTVPDQSNTPTFATVVLRIHNERWEGVPFILKAGKALHSSKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYMQRYQGVPIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEMKPKEYQPGSRGPVEADELLAKAGYVQTHGYIWIPPTL >Potri.001G337400.10.v4.1 pep chromosome:Pop_tri_v4:1:34579245:34584630:-1 gene:Potri.001G337400.v4.1 transcript:Potri.001G337400.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337400.v4.1 MGSGQWMVEKRSGLENDSFLKEHETPSESGCLSIIVLGASGDLAKKKTFPALYHLYRQGFLHPDEVHIFGYARTRISDDELRDRIRGYLGKEAEVVSKFLQLIKYVSGSYDTEDGFQLLDKEISQHEVSKNSAEGSSRRLFYLALPPSVYPTVCRMIRKCCMNKSDNGGWTRIVIEKPFGKDLESAENLSAHIGELFEEAQLFRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNISNVQIVFRENFGTEGRGGYFDEYGIIRDIIQNHLLQILCLVAMEKPVSLKPEYIRDEKVKVLQSVLPIRDEDVVLGQYEGYRDDPTVPDQSNTPTFATVVLRIHNERWEGVPFILKAGKALHSSKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYMQRYQGVPIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEMKPKEYQPGSRGPVEADELLAKAGYVQTHGYIWIPPTL >Potri.001G337400.11.v4.1 pep chromosome:Pop_tri_v4:1:34579309:34584713:-1 gene:Potri.001G337400.v4.1 transcript:Potri.001G337400.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G337400.v4.1 MGSGQWMVEKRSGLENDSFLKEHETPSESGCLSIIVLGASGDLAKKKTFPALYHLYRQGFLHPDEVHIFGYARTRISDDELRDRIRGYLGKEAEVVSKFLQLIKYVSGSYDTEDGFQLLDKEISQHEVSKNSAEGSSRRLFYLALPPSVYPTVCRMIRKCCMNKSDNGGWTRIVIEKPFGKDLESAENLSAHIGELFEEAQLFRIDHYLGKELVQNLLVLRFANRFFLPLWNRDNISNVQIVFRENFGTEGRGGYFDEYGIIRDIIQNHLLQILCLVAMEKPVSLKPEYIRDEKVKVLQSVLPIRDEDVVLGQYEGYRDDPTVPDQSNTPTFATVVLRIHNERWEGVPFILKAGKALHSSKAEIRVQFKDVPGDIFKCQKQGRNEFVIRLQPSEAMYMKLTVKQPGLEMSTVQSELDLSYMQRYQGVPIPEAYERLILDTIRGDQQHFVRRDELKAAWEIFTPLLHRIDNGEMKPKEYQPGSRGPVEADELLAKAGYVQTHGYIWIPPTL >Potri.019G077200.5.v4.1 pep chromosome:Pop_tri_v4:19:11807158:11815358:1 gene:Potri.019G077200.v4.1 transcript:Potri.019G077200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G077200.v4.1 MGRGKIEIKRIENTTNRQVTFCKRRNGLLKKAYELSVLCDAEVALIVFSSRGRLYEYANNNSIRSTIDRYKKASSDSSNASSITEINAQYYQQESAKLRQQIQMLQNSNRHLMGDAVSNLSVKELKQLENRLERGITRIRSKKHELLLAEIEYLQKREIELENESVCLRTKIAISLLHICLRVELHTHTMTRRFSILGKKRNIGELVCKWYFDIYM >Potri.015G098600.1.v4.1 pep chromosome:Pop_tri_v4:15:11887395:11892129:1 gene:Potri.015G098600.v4.1 transcript:Potri.015G098600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G098600.v4.1 MATTLARIARQSLTTTTNLSLNRHFVTEAAAASTASSKSITPSVDRVKWDSRGQRQIIPLGQWLPKVAVDAYVAPNVVLAGQVTVYDGASVWNGAVLRGDLNKITVGFSSNVQERCVVHAAWNSPTGLPAETSIERYVTIGAYSLLRSCTIEPECIIGQHSILMEGSLVETHSILEAGSVLPPGRRIPTGELWAGNPARFVRTLTHEEILEIPKLAVAINDHSKTHFSEFLPYSTVYLEVEKLKKKLGISV >Potri.008G219800.1.v4.1 pep chromosome:Pop_tri_v4:8:18175475:18176453:1 gene:Potri.008G219800.v4.1 transcript:Potri.008G219800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G219800.v4.1 MVGLSIVLEAQKSGSVNSKTPQVINKATMMMMMISNKPSSGPPSFSSATAPLPSYRNCSFPVPAFLQQCFLCGQKLLPGKDIYMYKGDRAFCSVECRCRQIFMDEEETIRKENCSFSAMKPTGSAAASSSSATKSTSTTAAYRHRKTTRNRAGGFAY >Potri.011G054700.2.v4.1 pep chromosome:Pop_tri_v4:11:2315846:2317405:1 gene:Potri.011G054700.v4.1 transcript:Potri.011G054700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G054700.v4.1 MKIKDKESDHGRHMKGFNKFKVREPMSQVVENDADTVSQRKDDDNLDEAVHTKYFDMPPVTVDEAIVRLGNVDHAFYGFRHAERAR >Potri.003G041501.1.v4.1 pep chromosome:Pop_tri_v4:3:4776081:4778968:-1 gene:Potri.003G041501.v4.1 transcript:Potri.003G041501.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041501.v4.1 MHPLFLIKSPNHAFNVMLRGLATTRKKYDFCAELYYKLKSLGLKANNFTYPFLFIACGNVWGFLHGKIDHRLVFKAGLEGDEYVNRSLITMYKRCGEMGFARMVFDEMGERELASWNSMISGYSKTSFAKKAFGLFMEMREKGFEPDEMTLVSVLGACGDLGLGRWVEGFVLEKKVEVNSYVGSALIDMYGKCRDLISARRVFDSMPNKDVVTWNAIITGTSERSLGLNQEDAWKTI >Potri.003G041501.2.v4.1 pep chromosome:Pop_tri_v4:3:4777580:4778968:-1 gene:Potri.003G041501.v4.1 transcript:Potri.003G041501.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G041501.v4.1 MHPLFLIKSPNHAFNVMLRGLATTRKKYDFCAELYYKLKSLGLKANNFTYPFLFIACGNVWGFLHGKIDHRLVFKAGLEGDEYVNRSLITMYKRCGEMGFARMVFDEMGERELASWNSMISGYSKTSFAKKAFGLFMEMREKGFEPDEMTLVSVLGACGDLGLGRWVEGFVLEKKVEVNSYVGSALIDMYGKCRDLISARRVFDSMPNKDVVTWNAIITGCSQNGASNEVIVLFNGMKKTGPNPDKVTMIEVYIVCMFHNRGL >Potri.001G292700.7.v4.1 pep chromosome:Pop_tri_v4:1:30450979:30455177:1 gene:Potri.001G292700.v4.1 transcript:Potri.001G292700.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292700.v4.1 MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNISVMVNGYGSREKPKLAPKMVHHVLRQDENPMAVDQTDEDISDAKPLAVSSGALLVANPKRTTVRLDNLIMEAITSLKEPGGSHKTAIASYIEEQYWPPNDFKRILSAKLKYLAANGKLIKVKRKYRIAPTSVFAERRRVSPLLLEGRQRISPKIERDGFNMFTMSQIDLDLGRMRTMTAEQAAEVAARAVAEAEAAIAVAEEATREAETAEADAEAAQAFAETARKTLKGRTTPKMTIRS >Potri.001G292700.5.v4.1 pep chromosome:Pop_tri_v4:1:30450978:30455214:1 gene:Potri.001G292700.v4.1 transcript:Potri.001G292700.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G292700.v4.1 MGAPKQKWTAEEEAALKAGVIKHGAGKWRTILKDPEFSGVLYLRSNVDLKDKWRNISVMVNGYGSREKPKLAPKMVHHVLRQDENPMAVDQTDEDISDAKPLAVSSGALLVANPKRTTVRLDNLIMEAITSLKEPGGSHKTAIASYIEEQYWPPNDFKRILSAKLKYLAANGKLIKVKRKYRIAPTSVFAERRRVSPLLLEGRQRISPKIERDGFNMFTMSQIDLDLGRMRTMTAEQAAEVAARAVAEAEAAIAVAEEATREAETAEADAEAAQAFAETARKTLKGRTTPKMTIRS >Potri.016G006700.2.v4.1 pep chromosome:Pop_tri_v4:16:332965:334567:1 gene:Potri.016G006700.v4.1 transcript:Potri.016G006700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G006700.v4.1 MAEKVTTMVIRVDLECKKCRKKIKKVLCKIPQIHNQIYDTKACTVTITVVSCCPEKIKNKICCKGGKAVKCIEIKVPEKPKPPEKPKEPEKPKQPEKPKEPEKPKEPEKPKEPPPAPKPAPKPAPPPPVPCPPPVYPRTCCSECYQGFGGGPCYHGYGGPAPHYEPYGRPVYDSWGGGGCSCQRSGYYVCRCNYVSDHNPSSCRIM >Potri.001G233550.1.v4.1 pep chromosome:Pop_tri_v4:1:25206488:25208528:-1 gene:Potri.001G233550.v4.1 transcript:Potri.001G233550.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G233550.v4.1 MPGRNGTDAVCLLSTLACSSCICPFIPASSRDIQVSTEELSLTSKSSSTTTILLVSVSPCSCSSTASFSRFIIFIHHNFQVICN >Potri.006G283800.1.v4.1 pep chromosome:Pop_tri_v4:6:27405113:27406867:-1 gene:Potri.006G283800.v4.1 transcript:Potri.006G283800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G283800.v4.1 KYQVFLSFRGKDTRKNFTDHLYTALVQAGIHTFRDGNEIWRGENIDVELQKAIQQSKISIIVFSKDYASSRWCLDELVMIMERKRNADCIVLPVFYDVDPSQVGRQTGSFSAAFVEHEKSFNEEIERVNGWTIALKEVADLAGMVLGDGYEAPFVQSIVEKVLKNLDQKMFHVPPHFIGRDPLVQDINSWLQDGSHGAAIALLYGIGGVGKTAIAKSVYNQNFYKFEGKSFLSNFRSKDIVCLQRQLLSDILKKTVDEINDEDEGILKIKDALCCRRTLIVLDDVHKRDQFNKIVGMQNWLCKGSKIIVTTRNKGLFLANDIKWFDCKVKLLDIEKSLELFSWNAFGQADPVDGFVEDSLRIVHHCNRLPLALRVIGSSLSGKGREIWERALQQMEVIPNFEVQKVLRISYDFLDGDYPKNLFLDIACFFNGIDVDDAVRILDGIDKGARFGIDNLINRCLVEINIDKRLWMHQLVRHMGREIARQESH >Potri.004G093600.4.v4.1 pep chromosome:Pop_tri_v4:4:7975491:7978413:-1 gene:Potri.004G093600.v4.1 transcript:Potri.004G093600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093600.v4.1 MALQNIGASNSDDAFYRYKMPKMVTKVEGRGNGIKTNIVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGSHETAKLAGLLENFIKKYVQCYGCGNPETEIIITKSQMLQLKCAACGFVSDVDMRDKLTTFILKNPPESKKGAKDKKALRRAEKERLKEGEAADEELKKLKKEGKKKGSSLKDGPAKASSTKKKANSSDEERMSPTHSQVDEKEEVVDDDDDVQWQTDTSLEAARQRIQEQLSAATADMVMLSTEETEKKARALSKENGSPKVASPAREEKPKAENGSPSTHGTLVNELKLSLRKGVSASQLKSTLSALTGSAQEKMDALFEALFEGVAKGFVKEVAKKKNYLAAAVTQDEGSQLLLLRAIGAFCGKSGSSAMKEVALILKTLYDADVLEEEYIVQWYQEGLKGSNKDSQIWKNAKPVIEWLQNAESETEEE >Potri.004G093600.5.v4.1 pep chromosome:Pop_tri_v4:4:7975486:7978452:-1 gene:Potri.004G093600.v4.1 transcript:Potri.004G093600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093600.v4.1 MALQNIGASNSDDAFYRYKMPKMVTKVEGRGNGIKTNIVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGSHETAKLAGLLENFIKKYVQCYGCGNPETEIIITKSQMLQLKCAACGFVSDVDMRDKLTTFILKNPPESKKGAKDKKALRRAEKERLKEGEAADEELKKLKKEGKKKGSSLKDGPAKASSTKKKANSSDEERMSPTHSQVDEKEEVVDDDDDVQWQTDTSLEAARQRIQEQLSAATADMVMLSTEETEKKARALSKENGSPKVASPAREEKPKAENGSPSTHGTLVNELKLSLRKGVSASQLKSTLSALTGSAQEKMDALFEALFEGVAKGFVKEVAKKKNYLAAAVTQDEGSQLLLLRAIGAFCGKSGSSAMKEVALILKTLYDADVLEEEYIVQWYQEGLKGSNKDSQIWKNAKPVIEWLQNAESETEEE >Potri.004G093600.3.v4.1 pep chromosome:Pop_tri_v4:4:7975324:7978478:-1 gene:Potri.004G093600.v4.1 transcript:Potri.004G093600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G093600.v4.1 MALQNIGASNSDDAFYRYKMPKMVTKVEGRGNGIKTNIVNMVEIAKALARPASYTTKYFGCELGAQSKFDEKTGTSLVNGSHETAKLAGLLENFIKKYVQCYGCGNPETEIIITKSQMLQLKCAACGFVSDVDMRDKLTTFILKNPPESKKGAKDKKALRRAEKERLKEGEAADEELKKLKKEGKKKGSSLKDGPAKASSTKKKANSSDEERMSPTHSQVDEKEEVVDDDDDVQWQTDTSLEAARQRIQEQLSAATADMVMLSTEETEKKARALSKENGSPKVASPAREEKPKAENGSPSTHGTLVNELKLSLRKGVSASQLKSTLSALTGSAQEKMDALFEALFEGVAKGFVKEVAKKKNYLAAAVTQDEGSQLLLLRAIGAFCGKSGSSAMKEVALILKTLYDADVLEEEYIVQWYQEGLKGSNKDSQIWKNAKPVIEWLQNAESETEEE >Potri.005G073766.1.v4.1 pep chromosome:Pop_tri_v4:5:4913252:4915450:1 gene:Potri.005G073766.v4.1 transcript:Potri.005G073766.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G073766.v4.1 MRSTGARKPHAVCVPFPAQGHVTPMMHLAKLLHSRGFHITFVNTEFNHRRLIRSRGPDSVEGLPDFRFETIPDGLPLPPSDFDATQDVPSLCDSTRTNCLAPFKELLTKLNSSSEVPPVTCVISDGAMSFGIKAAEEFSIPQVQFWTASACSFMGYLHFSELTRRGFVPYKEENLLRDGDTPIDWIPGLSNIRLKDMPTFIRTTNDEIMFDFMGSEAENCLNSPAIIFNTFNEFENEVLESIIATKFPNIYTIGPLPLLAKHIAAESESRSLGSSLWKEDSNCLDWLDKRGLNSVVYINYGSVTVMTDTHLREFAWGLANSKLPFLWIIRPDVVMGDSAILPEEFLEQIDGRGLLASWCPQDQVLAHPSVGVFLTHCGWNSMMETISCGVPVICWPFFADQQPNCRYACTKWGIGVEVNHDVKRNEIESLVKEMIEGDSGKQMRQKALEWKDIAEAATNIGGSSYNDFEKFIKEALFCV >Potri.T125006.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_67:77093:83497:-1 gene:Potri.T125006.v4.1 transcript:Potri.T125006.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T125006.v4.1 MGDHDDDPYPYHKLCGYLCTVLTSPHPLPFLSHCHLITDGSHQQIRFKSLNDVVLSPLSNPYGQNGAVSLQENSNAVGKKTTKKKRMAKRGSCLKKSGNSVVEKKRVGRRVIGMVNGSVSVVHQIRALVMHKCVKILARVLHVAESEGEVVEVRVVVLVDVYLPVSVWSGGQFPKSGPIAGSLFRHLSCDWEKRRSMLVDGGEYFKNALGDHRSIWNLSGCHVLGCNLHCDVPDSSSKKRFELHEIFKGLPSTENKEQYYSSRVKPADNSLESGIWDLTGDILMSILSALGPKDLVRVAATCHHLRSLAVSIMPCMKLKLFPHQQAAVEWMLQRERNAQVLPHPLYTNLSTEDGFTFHVSTVSGEIITGVAPTVRDFHGGMFCDEPGLGKTITALSLILKTRGTVADPPDGVQITWCTHNGEQRCGYYEVDGRNFTPNNTPLAKRVMNQSARRGQLSLDKSTLMNDPGQQIEGFSNSCPVNGMESSPAPSSDQTARVIQLSRVKRNLLHEYDETPVFSNKKKRKHRSNAPIYVSEEQRHDRARRLNLITGHFRDFNETWVQCDACRKWRKLTSSVADTDAAWFCSMNTNPERQSCRDAEEAWDDSCSLTHVPGFHTKGTSGGEEQNVSFFTSVLKEHYSMINSKTKKALTWLAKLSPERLSLMETIGLASPVVGTGSVSGGGDSHGFHKIFEAFGLVRRVEKGASKWCYPQKLENLAFDLAAFRIAICKPLDSVRLYLSRATLVVVPANLVDHWKTQIEKHVKPGQLRLCVWTNHKKPSAHSLAWDYDVVITTFSRLSAEWGPRKKSPLMQVHFLRVMLDEGHTLGSSLSLTNKLQMAMSLMASNRWLLTGTPTPNTPNSQLSHLQPMLKFLQEEAYGLNQKSWEAGVLRPFEAEMEEGRTRLLHLLHRCLISSRKTDLKTIPPCIKKVTFLNFTKDHARSYNELVVTVRRNILTADWNDPSHVESLLNPKQWKFRSTLIRNVRLSCCVAGHIKVAEVGEDIQETMDILIEKGLDPISEEHALIKYYLQYGGNCLRCEEWCRLPFITPCRHLLCLDCVALNSEKCTFPGCGYSYEMQSPEVLTRPENPNPKWPVPKDLIELQPSYKQDDWDPDWQSTSSSKVAYLVQKLKALQEASRESSWSIDKDTQISVSSLVLQQDCFSVNRAAMEKVIIFSQFLEHIHVIEQQLAFAGIKFAGMYSPMPQINKMKSLATFQHDATCMALLMDGSAALGLDLSFVTHVFLMEPIWDRSMEEQVISRAHRMGATRPINVETLAMRGTIEEQMLEFLQDADGCRRVLKEESSKTDHAGARLHRSLHDFAESDYLAHLSFVHTGSRA >Potri.014G195600.4.v4.1 pep chromosome:Pop_tri_v4:14:17526930:17531105:1 gene:Potri.014G195600.v4.1 transcript:Potri.014G195600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195600.v4.1 MSLLQTMAVPKPVSHLRSINDEPNFARFPAISHQNALHYEHNSRRFPCFSVSKKSDPESSSNPKMNSRGRTRRPKNEDGGKEGGEIFPTTIPRKPKRGRRSEAVAVEDFVRDSLERTFASIRQQNPDVLEEIMKDKVNENIDSETSDDDDEDDDEEEEEGGGEDGKGKGKKKKMVVEEESRDWPLDADVGWGVRASEYFEKHPIKNVVGEDGFEIDWEGEMEDNWVNEINCLDWERFAFHPSPLIVLVFERYSRATDNWKTLKELEKAAKVYRGAKDRLPPRTVKIDINIERDLAYALKVKECPQILFLRGNKILYREKEFRTADELVQMIAHFYYNAKKPSCVNNANLCP >Potri.014G195600.5.v4.1 pep chromosome:Pop_tri_v4:14:17526951:17530379:1 gene:Potri.014G195600.v4.1 transcript:Potri.014G195600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G195600.v4.1 MSLLQTMAVPKPVSHLRSINDEPNFARFPAISHQNALHYEHNSRRFPCFSVSKKSDPESSSNPKMNSRGRTRRPKNEDGGKEGGEIFPTTIPRKPKRGRRSEAVAVEDFVRDSLERTFASIRQQNPDVLEEIMKDKVNENIDSETSDDDDEDDDEEEEEGGGEDGKGKGKKKKMVVEEESRDWPLDADVGWGVRASEYFEKHPIKNVVGEDGFEIDWEGEMEDNWVNEINCLDWERFAFHPSPLIVLVFERYSRATDNWKTLKELEKAAKVYRGAKDRLPPRTVKIDINIERDLAYALKVKECPQILFLRGNKILYREKEFRTADELVQMIAHFYYNAKKPSCVNNANLCP >Potri.005G086500.1.v4.1 pep chromosome:Pop_tri_v4:5:6007767:6012240:-1 gene:Potri.005G086500.v4.1 transcript:Potri.005G086500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G086500.v4.1 MEAHFFVSLLSLILLSLPPFFTSANKDTQNLINFKTTLSNPSLLQNWLPDQNPCIFTGVKCQETTNRVSSIDLTNISLTCDFHPVAAFLLTLENLESLSLKSANISGTISFPFGSKCSSVLSNLDLSQNSLSGSVSDIAALRSCPALKSLGLSGNSIEFSVPKEKSSGLRGLSFTFIDLSFNKIVGSNVVPFILSGGCNDLKYLALKGNKVSGDVDFSSCKNLQYLDVSSNNFSVTVPSFGDCLALEHLDISSNKFYGDLGRAIGGCVKLNFLNISSNKFSGPIPVFPTGNLQSLSLGGNHFEGEIPLHLMDACPGLVMLDLSSNNLSGSVPNSFGSCTSLESFDISTNNFTGELPFDTFLKMTSLKRLDLAYNAFMGGLPDSLSQHASLESLDLSSNSLSGPIPAGLCQVPSNNFKELYLQNNRFTGSIPATLSNCSQLTALHLSYNYLTGTIPSSLGTLNKLRDLNLWFNQLHGEIPLELMNIKALETLILDFNELTGVIPSSISNCTNLNWISLSNNRLSGEIPASIGQLWSLAILKLSNNSFHGRVPPELGDSRSLIWLDLNTNFLNGTIPPELFKQSGSIAVNFIRGKRYVYLKNEKSEQCHGEGDLLEFAGIRSEHLIRISSRHPCNFTRVYGDYTQPTFNDNGSMIFLDLSYNMLSGSIPAAIGSMSYLYILNLGHNNLSGNIPQEIGKLTGLDILDLSNNRLEGMIPQSMTVLSLLSEIDMSNNHLTGIIPEGGQFQTFLNRSFLNNSGLCGIPLPPCGSGSASSSSSGHHKSHRRQASLAESVAMGLLFSLFCFFGLIIVALEMKKRKKKKEAALDIYIDSRSHSGTTNTAWKLTAREALSISLATFDSKPLRKLTYADLLEATNGFHNDSLIGSGGFGDVYKAELKDGSVVAIKKLIHISGQGDREFTAEMETIGKIKHDNLVPLLGYCKVREERLLVYEYMKYGSLEDVLHNQKKTGIKLNWAARRKIAIGAAKGLTFLHHNCIPLIIHRDMKSSNVLLDANLEARVSDFGMARLMSTMDTHLSVSTLAGTPGYVPPEYYQSFRCSIKGDVYSYGVVLLELLTGKRPTDSSDFGDNNLVGWVKQHAKLRISDVFDPVLLKEDPSLEMELLEHLKVACACLDDRSGRRPTMIQVMTMFKEIHAGSGLDSQSTIATEDGGFSADEMVEMSIREGP >Potri.004G097900.1.v4.1 pep chromosome:Pop_tri_v4:4:8450645:8451447:1 gene:Potri.004G097900.v4.1 transcript:Potri.004G097900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G097900.v4.1 MDFGCHAKSNTISSNNTTLKLFGFDISENNNPTVPLDSSKSPSGSQESETNFQSSEGRKYECQYCCREFANSQALGGHQNAHKKERRLLKRAQMQATRSLAAASYVTIPNSMFSTFSPPPPHLLDPAVVPMAAAMQEHAHSSPWFYTSYSGRMPRGGPYLNGPASFHGRCLDGKSLVGSEVGLHSKVFPMSGFTREDVDHHKGLGLDLHL >Potri.011G162500.1.v4.1 pep chromosome:Pop_tri_v4:11:18709375:18712676:1 gene:Potri.011G162500.v4.1 transcript:Potri.011G162500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G162500.v4.1 MREILHIQGGQCGNQIGAKFWEVICDEHGIDQSGRYSGDSDLQLERINVYYNEASGGRYVPRAVLMDLEPGTMDSVRSGPFGQIFRPDNFVFGQSGAGNNWAKGHYTEGAELIDSVLDVVRKEAENCDCLQGFQVCHSLGGGTGSGMGTLLISKIREEYPDRMMLTFSVFPSPKVSDTVVEPYNATLSVHQLVENADECMVLDNEALYDICFRTLKLATPTFGDLNHLISATMSGVTCCLRFPGQLNSDLRKLAVNLIPFPRLHFFMVGFAPLTSRGSQQYRALTVPELTQQMWDAKNMMCAADPRHGRYLTASAMFRGKMSTKEVDEQMINVQNKNSSYFVEWIPNNVKSSVCDIPPKGLKMASTFIGNSTSIQEMFRRVSEQFTAMFRRKAFLHWYTGEGMDEMEFTEAESNMNDLVAEYQQYQDATIDEEEYEEEEEEEHDT >Potri.001G159200.4.v4.1 pep chromosome:Pop_tri_v4:1:13465665:13469463:-1 gene:Potri.001G159200.v4.1 transcript:Potri.001G159200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159200.v4.1 MMMMSNSMKPAWLEGLMAETFFGGCGVHENRRKNEKNVFCLLCCLSICPHCLPSHRSHPLLQVRRYVYHDVVRLGDLEKLIDCSYIQPYTINNAKVIFLNQRPQSRSCKGSANNCFTCDRILQDPFHFCSLSCKVNHLVDQGEDLSAILYRIDESDFAFSQFEGLRMDSSEIIDDEGQITPSSILENPLQYRGSSCSSDIMGSSGTAHEPEVLKKKEKNGFLQGNFFSLSSRRKGSPHRAPLS >Potri.001G159200.1.v4.1 pep chromosome:Pop_tri_v4:1:13465665:13469463:-1 gene:Potri.001G159200.v4.1 transcript:Potri.001G159200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159200.v4.1 MMMMSNSMKPAWLEGLMAETFFGGCGVHENRRKNEKNVFCLLCCLSICPHCLPSHRSHPLLQVRRYVYHDVVRLGDLEKLIDCSYIQPYTINNAKVIFLNQRPQSRSCKGSANNCFTCDRILQDPFHFCSLSCKVNHLVDQGEDLSAILYRIDESDFAFSQFEGLRMDSSEIIDDEGQITPSSILENPLQYRGSSCSSDIMGSSGTAHEPEVLKKKEKNGFLQGNFFSLSSRRKGSPHRAPLS >Potri.001G159200.5.v4.1 pep chromosome:Pop_tri_v4:1:13465665:13469463:-1 gene:Potri.001G159200.v4.1 transcript:Potri.001G159200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G159200.v4.1 MMMMSNSMKPAWLEGLMAETFFGGCGVHENRRKNEKNVFCLLCCLSICPHCLPSHRSHPLLQVRRYVYHDVVRLGDLEKLIDCSYIQPYTINNAKVIFLNQRPQSRSCKGSANNCFTCDRILQDPFHFCSLSCKVNHLVDQGEDLSAILYRIDESDFAFSQFEGLRMDSSEIIDDEGQITPSSILENPLQYRGSSCSSDIMGSSGTAHEPEVLKKKEKNGFLQGNFFSLSSRRKGSPHRAPLS >Potri.010G120700.2.v4.1 pep chromosome:Pop_tri_v4:10:13869823:13874382:-1 gene:Potri.010G120700.v4.1 transcript:Potri.010G120700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G120700.v4.1 MLGTGLQLTRGRGEEDRFCNAVKARKAHRTDQLRRARSDVTDDKPVENRTDDLEHLTKPVKVAVPASESNLDRFLESITPSVPAQYPSKTTMRGWRTCDVEFEPYFVLGDLWESFKEWSAYGAGVPLILNDSDCVVQYYVPYLSGIQIYADSTKMPPKSRRLGEDSDSDFRDSSSDGSSDYEHERGVKCSRDQLNHHHVTDDFPLRIDRLSLRDQHVAHKEDFSGDEGESVNCQGCLLFEYLERDPPYSREPLADKILDLAFRFPELKMLRSCDLLPSSWISVAWYPIYRIPTGPTLKDLDACFLTYHSLHTSLGGGRVAQAPLMTYPSEADGMPKISLPVFGFASYKFKGSLWTSNGGGDRQLANSLLQAASKFLRLLQVNHPDFLFFCRGDTKI >Potri.010G003300.1.v4.1 pep chromosome:Pop_tri_v4:10:310268:322271:-1 gene:Potri.010G003300.v4.1 transcript:Potri.010G003300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G003300.v4.1 MELDDDDWGLSAEEFDSLERDALLKIASQQQQQQQQQQQQQPSASSSFNQQQNQQLHFSNKPIFNSPSKKVDPLPDGLPKSSPVSKPKPVESSKALPKLSVKFILHATGNIAAKFLYDPVLVGALRKVPKANWNAKERLWIFPVSSLLSAEKVLSEISGFNVEVEKLDTLVQRAIAAASVAPDLRDWYDRIPDHIESKLMPFQRDGVRFVLQHGGRALLADEMGLGKTLQAIAVAACVRNSWPVLILAPSSLRLHWASTIHQWLDIPSSDILVVLSQSSGSNRAGFNIVSSSRSTIRLDGLFNIISYDAVPKLQNKLMTSEFKVVIADESHFLKNAQAKRTTASLPVIKKAQYAILLSGTPALSRPIELFKQLEALYPDVYKNVHEYGNRYCKGGIFGVYQGASNHEELHNLIKATVMIRRLKKDVLSELPVKRRQQVFLDLPEKDMKQINTLFRELEVVKGKIKACASDEEVESLKFTEKNLINKIYTDSAEAKISGVLDYLGTVIEAGCKFLIFAHHQSMIDSIHEFLLKKKVGCIRIDGKTAAASRQALVTDFQEKDAIKAAVLSIRAGGVGLTLTAASTVIFAELSWTPGDLIQAEDRAHRIGQVSSVNIYYLLANDTVDDIIWDVVQSKLENLGQMLDGQEKTLEVSASQQRSSPAKQRTLDTYMKRCSNLDDSEHQPKLKYPRH >Potri.012G106500.1.v4.1 pep chromosome:Pop_tri_v4:12:12835530:12846603:-1 gene:Potri.012G106500.v4.1 transcript:Potri.012G106500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G106500.v4.1 MGVVQTCFLFVLVSLLCVESKYIKYDTSSVIVPGKINVHLVAHTHDDVGWLKTVDQYYVGSNNSIQGACVQNVLDSIVPALLADKNRKFIYVEQAFFQRWWRDQSEEVQNVVKQLVISGQLELINGGMCMHDEAAPHYIDMIDQTTLGHQFIKEEFNVTPRIGWQIDPFGHSAVQAYLLGAEVGFDSFFFGRIDYQDRTKRKGDKSLEVVWRGSKSLGSSAQIFAGAFPQNYEPPSNLYYEVNDDSPILQDNPNLFDYNVAERVNEFVSAAMDQANITRTNHVMWTMGTDFKYQYAHTWYKQMDKFIHYVNQDGRVNALYSTPSIYTDAKYATNESWPLKTDDFFPYADSANAYWTGYFTSRPALKGYVRKMSGYYLAARQLEFFKGRSKARTNTDSLADALAIAQHHDAVSGTSKQHVANDYAKRLAIGYAEAEEVVGESLSCIAESASKGGCMSPTNKFQQCLLLNISYCPPSEVDLSNGKSLVVVVYNSLGWKREDVIRIPVINENVAVKDAGGKEIESQLLPLLKASVGIRDYYSKAYLSMASNVTPKYWLAFTASLPPLGFNTYIISSSSSTAKRAASTSSQLYDTKASQNDTVEIGPGNLKLIYSGKGELTQYINSRSLVKASVEQSYSYYAGDNGSKDKQASGAYIFRPNGTYSINSEGQDVFTVLRGPLLDEVHQQISSWIYQITRVYKGKEHAEVEFTVGPIPIEDGIGKEVVTKITTTVKNNKKFYTDSSGRDFIERVRDYRKDWELQVNQPIAGNYYPINLGLYMQDNSSEFSVLVDRSVGGSSIVDGQLELMLHRRLLFDDARGVGEALNETVCVLEDCRGLTIVGKYFLRIDPLREGAKWRRSYGQEIYSPLLLAFAEQDGDSWASSHIATFSAMDPSYALPDNVAILTLQELNDGKVLLRLAHLYEVGEDKDLSVMASVELKRVFPNKKISKITETSLSANQERVEMEKKRLVWKVEGSSGEEPKVVRGGPIDPTTLVVELAPMEIRTFHITFD >Potri.002G101900.1.v4.1 pep chromosome:Pop_tri_v4:2:7441612:7443630:-1 gene:Potri.002G101900.v4.1 transcript:Potri.002G101900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G101900.v4.1 MELEAIVYSQDPFTYGCKDSYSLAGGGAWGYDFDQLQEDDKAFLGILENNNMEQQGLHASWDSSSLSLMQQEKEWDHNSSSPGTCNVDQSLQGILFPAIMEPAPVTTTNRRKRRRTKSSKNKEEIESQRMTHIAVERNRRKQMNEYLAVLRSLMPPSYVQRGDQASIIGGAINFVKELEQLLQTMGTNKKNKQQPDDNGFPSRLFAEFFTFPQYSTRASQPSVTADESVADQNQRALGDIEVTMVESHANLKILSKKRPGQLLKLMVGLQNLRLSILHLNVTTVDQMVLYSVSVKVEEGCHLNTVDEIAAAVNHMLYRIEEATAFS >Potri.009G057800.2.v4.1 pep chromosome:Pop_tri_v4:9:6051334:6055256:1 gene:Potri.009G057800.v4.1 transcript:Potri.009G057800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G057800.v4.1 MSSLVSYRAVATGTERMSRGGDGKVVCVTGGSGYIASWLVKLLLQRGYTVKTTVRDPNDPKKTEHLLALEGAKERLHLFKANLLEEGAFDPIVDGCEGVFHTASPVSFSPTDDPQVDLIDPALKGTLNVLRSCAKVHSIRRVVLTSSAAACIYSGKPLNHDVVIDETWYSDPAICKELKAWYALSKTLAEEAAWNFAKENATDLVTVHPSFVIGPLLQPTLNLSVEMILDLVNGAETYPNGYYRCIDVRDVANAHIQAFEIPSASGRYVLTAYVTTFSEVLKIIRENYPTLRLPEKSTESMFKPYQVSKEKAKTLGINFTPLDLSLVDTIESLKEKGFLKI >Potri.004G180100.2.v4.1 pep chromosome:Pop_tri_v4:4:19493727:19495006:-1 gene:Potri.004G180100.v4.1 transcript:Potri.004G180100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G180100.v4.1 MLCMQALTPYPTNNTKERKKKRKEFKVASQSRTMMKVANLIAVFILLALASSFVTAYDPSPLQDFCVAIDDANSAVLVNGKLCKNPSLATPDDFSYSGLDVPGNTSNQLGARVNIITADLMPGLNTLGVSLARIDLAPNGGLNPPHYHPRGSEVLLVLEGTLYAGFVTSNPDHRLFTKILKPGDLFVFPFGLIHFQLNIGKTPAVAIAALTSQNPGVNTVANAIFGASWPLYPEVLTTAFHLDEKLVEDLQSQEWVNPT >Potri.011G043600.1.v4.1 pep chromosome:Pop_tri_v4:11:3408864:3418420:1 gene:Potri.011G043600.v4.1 transcript:Potri.011G043600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G043600.v4.1 MSSSSSSSLAATSTTATATNNNNNNTSCCSSSSTVLSRKCFNSDCTDFKSRKGWRLRSGDFADLCDRCASAHEEGRFCETFHSNASGWRSCESCGKRVHCGCIVSLQAFTLLDAGGIACMACARKSFVLTPNPAWPLFYHSPFPERLKDLSVKSWSQLAGSGPVPWRQAPSLFNSSATQSELQPRMPYEVDRLNAGERFSALSLEKRKLEDFPERFINGSPRNHLQDIVENGNAGIIDDEQPQMLSSLREDISAQQFGITIPYASPSKLKGQIEGSVNPLLPAPLPLFAKRVHGTLHKGVDSSVDGQIRNGRPRIDARGKSQLLPRYWPRFTDEELQQISGNPNSVIKPLFEKMLSASDAGRIGRLVLPKKCAEAYFPPISQPEGLPLRVQDSKGKEWIFQFRFWPNNNSRMYVLEGVTPCIQNMQLQAGDIVTFSRLEPEGKLVMGFRKASSASLSDQDNETSQIGDGVSANGDAELGPSPWSKVDKSGYIAKEVLEAKSSIKKRKSSTLGSKSKRLRIENEDMIELKLTWEEAQGLLRPAPDHVPSIVVIEGFEFEEYEDAPVLGKPTIFAMDNVGHKIQWVQCEDCLKWRKLPLNVLLPSKWTCSGNTWDSERSSCSAAQDLTSEQLENLLPSRNLVTSKRLKAAKKDIDNVEALGGLDTLANLAILGEGEALLASSQATTKHPRHRPGCSCIVCIQPPSGKGPKHKQTCTCNVCQTVKRRFKTLMMKREKKQSEKEAETTRKQQESSAEKLLDDDPSPSSNAGSASGSPNKKKAVSEVSDDDPNRMKSSTSPFKGQIDLNIQPEREDELSPGSDSGGMMRMLQDASERYLRMQRFLSSDGDNIPASNHSVSSGGADEKAGSGIMLGGSHQDVSEDHPSAFSLIASESASTPAT >Potri.004G084000.1.v4.1 pep chromosome:Pop_tri_v4:4:6936171:6940239:-1 gene:Potri.004G084000.v4.1 transcript:Potri.004G084000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G084000.v4.1 MKRKSSQSLKLFLIFLVGFSSIFRYASSQASPDAEVMLSLKKSLNVPDSLGWSDPDPCKWNHVGCSDEKRVTRIQIGRQNLQGTLPSNLQNLTQLERLELQYNNISGHLPSLNGLSSLQVILLSDNKFTSVPSDFFAGLSSLQSVEIDNNPFSNWVIPESIQNASGLQNFSANSANISGSIPSFFGPDAFPALTILRLAFNDLEGELPASFSGLQVQSLWLNGQKLSGSIYVIQNMTLLREVWLQSNGFSGPLPDFSGLKDLESLNLRDNSFTGPVPESLVNLESLKVVNLSNNLLQGPMPVFKSSVSVDVVKDSNRFCLSTPGPCDSRVNTLLSIVKSMYYPHRLADGWKGNDPCADWFGITCNKGNITVVNFEKMGLTGSISPDFASLKSLERLVLANNNLTGLIPQEITTLPRLKALDVSNNQIYGKVPAFTNNVIVNTNGNPRIGKDVNSSTSPGSPSASPSANTGSGSGGNSGKSGKKSSAFIGVIVFSVVGGVFLLFLIGLVVFCLYKKKQKRFSRVQSPNEMVIHPRHSVSDNESVKITVAGSSVSVGAISETHTIPTSEQGDIQMGEAGNMVISIQVLRNVTNNFSEENILGQGGFGVVYKGELHDGTKIAVKRMGSGVISSKGLNEFKSEIAVLTKVRHRHLVALLGYCLDGNEKLLVYEYMPQGTLSRHLFNWAEEGLKPMEWTRRLTIALDVARGVEYLHGLAHQSFIHRDLKPSNILLGDDMRAKVSDFGLVRLAPEGKGSIETRIAGTFGYLAPEYAVTGRVTTKVDVFSFGVILMELITGRKALDDSQPEESMHLVTWFRRMHLNKDTFRKAIDPTIDLNEETLASISTVAELAGHCCAREPYQRPDMGHAVNVLSSLVELWKPTDHSSEDIYGIDLEMSLPQALKKWQAYEGRSNMESSSSSLLPSLDNTQTSIPARPYGFAESFTSADGR >Potri.010G210900.1.v4.1 pep chromosome:Pop_tri_v4:10:19955049:19960729:-1 gene:Potri.010G210900.v4.1 transcript:Potri.010G210900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G210900.v4.1 MVDKEDASNNPLAPCQLPSAGGSRKVFWRSVSWSSSRTASQNPGTEEQDRVIDPNGNPAGNNSGSGQNRRYPAPLTPRSQQNSKARSCLPPLQPLSIARRSVDEWPKASSDDLGEWPQPPTPSGNKSGERLKLDLSSIQRNPDRNVGLVKKDRIAFFGKECSKVAEHVYLGGDAVAREREILKQNGITHVLNCVGFVCPEYFKADFVYRTLWLQDSPSEDITSILYDVFDYFEDVREQGGRVFVHCCQGVSRSTSLVIAYLMWREGQSFDDAFQYVKAARGIADPNMGFACQLLQCQKRVHAFPLSPSSLLRMYRIAPHSPYDPLHLVPRMLNDPSLSALDSRGAFIVHIPSSIYVWIGKNCEAIMERDARGAVCQIVRYERALGPIIVVKEGEEPAYFWDAFSYYLPLMDKSANGGDSGESRTKIFPGERKVDAYNVDFEIFQKAIKGGFVPPFATSENEHETHLPARESSWSVLRRKFAPGDMKEFVSAPKIFLSRVYSDSMMIVHSPSPSSSSPSSSSSSSPLYLSPDSISSDSSSSSKYFSESSQDSPSAASCSLPVSSTLSNLSNLSLTSKSSSQPLSNTPRFHGVSMSRQCSLAASSPSKKYSISLAERRGSLSKSLKLPTVTDNTRVSNTPPSSLASHEEGARINEKTFSWCDSDSLDIVFESKDNVKKGRHSIQQCMPNISLDRVASSDLQHSEASIVNNFDELGKNCHVEEGSGCSMLNGMEESVALSHNIMQPLVCRWPSLERIAALGTGDLDSKSAFVILVPSRGIGRCETRILYFWVGKSFSDEKGLIQLDSGRLLADSEHIDWSQAGHRVLTQMHLRKDVTVKVVKEDEEPAEFLALLSAL >Potri.010G180400.4.v4.1 pep chromosome:Pop_tri_v4:10:17930234:17934074:1 gene:Potri.010G180400.v4.1 transcript:Potri.010G180400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G180400.v4.1 MLSRTVVSVSAVSSSSSTSPSRILCKVNPTIPKFLGLKGPSHNFTNCTTWNKNLNLSRKCNTRMENFTTKASAAAQPLKNADELIDSVETFIFDCDGVIWKGDKLIDGVPQTLDMLRSRGKRLVFVTNNSTKSRKQYGKKFETLGLDVSEEEIFASSFAAAAYLKSIDFPKDKKVYVVGEDGILKELELAGFQYLGGPEDGGKKIELKPGFLMEHDKDVGAVVVGFDRYFNYYKVQYGTLCIRENPGCLFIATNRDAVTHLTDAQEWAGGGSMVGAFVGSTQREPLVVGKPSTFMMDYLANKFGILKSQICMVGDRLDTDILFGQNGGCKTLLVLSGVTSLSMLQSPGNSIQPDFYTNKISDFLSLKAAAV >Potri.011G047900.6.v4.1 pep chromosome:Pop_tri_v4:11:3803630:3806531:-1 gene:Potri.011G047900.v4.1 transcript:Potri.011G047900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047900.v4.1 MTMADLENLLLEAAGRTGKSGRNRNSLPPSRRRKQEGSYSDGGSDSRDDGSDDDRGYASRKPSGSQVPLKKRLETNERDDEGGSQEEGDYDDGGSDREGESSDGSDIGDDLYKDENDRQKLAQMSELDRELILADRADKKGDKHLTEKIRSKRDNDKPTRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSAGSRGFAQVKKNNFTSARLSSSSSESESGSRSHSEDEGSTGDGGMADSDEDGDPGSRGPTYEDIKEITIRRTKLAKWFMEPWFEELIIGCFVRVGIGRSKTGPVYRLCMVRNVDAAEPDKPYKLENKSTYKYLNVTWGADSSAARWQMAMVSDSAPTEEEYKQWVREAERGGGRLPSKQDILEKKEAIRKSNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEIAQSRHDEAEVERIEARILELEASRQAKVKDAKALRLAEMNRKNRVENFRNASELKPINTGLKAGEAGYDPFSRRWTRSRNYYVSNPAGGDDAVAASNSEANDTAALADSNHVVAGAISESGVAATAAALDAAADAGKLVDTSAPVDQGTESNTMHNFELEISLIALQKFRGPQGAHAGFMARKQRIEANVGCQVRENDGRRHALTLTVGDYKRRRGLL >Potri.011G047900.2.v4.1 pep chromosome:Pop_tri_v4:11:3803739:3806541:-1 gene:Potri.011G047900.v4.1 transcript:Potri.011G047900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047900.v4.1 MTMADLENLLLEAAGRTGKSGRNRNSLPPSRRRKQEGSYSDGGSDSRDDGSDDDRGYASRKPSGSQVPLKKRLETNERDDEGGSQEEGDYDDGGSDREGESSDGSDIGDDLYKDENDRQKLAQMSELDRELILADRADKKGDKHLTEKIRSKRDNDKPTRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSAGSRGFAQVKKNNFTSARLSSSSSESESGSRSHSEDEGSTGDGGMADSDEDGDPGSRGPTYEDIKEITIRRTKLAKWFMEPWFEELIIGCFVRVGIGRSKTGPVYRLCMVRNVDAAEPDKPYKLENKSTYKYLNVTWGADSSAARWQMAMVSDSAPTEEEYKQWVREAERGGGRLPSKQDILEKKEAIRKSNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEIAQSRHDEAEVERIEARILELEASRQAKVKDAKALRLAEMNRKNRVENFRNASELKPINTGLKAGEAGYDPFSRRWTRSRNYYVSNPAGGDDAVAASNSEANDTAALADSNHVVAGAISESGVAATAAALDAAADAGKLVDTSAPVDQGTESNTMHNFELEISLIALQKFRGPQGAHAGFMARKQRIEANVGCQVRENDGRRHALTLTVGDYKRRRGLL >Potri.011G047900.3.v4.1 pep chromosome:Pop_tri_v4:11:3803721:3806535:-1 gene:Potri.011G047900.v4.1 transcript:Potri.011G047900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047900.v4.1 MTMADLENLLLEAAGRTGKSGRNRNSLPPSRRRKQEGSYSDGGSDSRDDGSDDDRGYASRKPSGSQVPLKKRLETNERDDEGGSQEEGDYDDGGSDREGESSDGSDIGDDLYKDENDRQKLAQMSELDRELILADRADKKGDKHLTEKIRSKRDNDKPTRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSAGSRGFAQVKKNNFTSARLSSSSSESESGSRSHSEDEGSTGDGGMADSDEDGDPGSRGPTYEDIKEITIRRTKLAKWFMEPWFEELIIGCFVRVGIGRSKTGPVYRLCMVRNVDAAEPDKPYKLENKSTYKYLNVTWGADSSAARWQMAMVSDSAPTEEEYKQWVREAERGGGRLPSKQDILEKKEAIRKSNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEIAQSRHDEAEVERIEARILELEASRQAKVKDAKALRLAEMNRKNRVENFRNASELKPINTGLKAGEAGYDPFSRRWTRSRNYYVSNPAGGDDAVAASNSEANDTAALADSNHVVAGAISESGVAATAAALDAAADAGKLVDTSAPVDQGTESNTMHNFELEISLIALQKFRGPQGAHAGFMARKQRIEANVGCQVRENDGRRHALTLTVGDYKRRRGLL >Potri.011G047900.4.v4.1 pep chromosome:Pop_tri_v4:11:3803630:3806553:-1 gene:Potri.011G047900.v4.1 transcript:Potri.011G047900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047900.v4.1 MTMADLENLLLEAAGRTGKSGRNRNSLPPSRRRKQEGSYSDGGSDSRDDGSDDDRGYASRKPSGSQVPLKKRLETNERDDEGGSQEEGDYDDGGSDREGESSDGSDIGDDLYKDENDRQKLAQMSELDRELILADRADKKGDKHLTEKIRSKRDNDKPTRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSAGSRGFAQVKKNNFTSARLSSSSSESESGSRSHSEDEGSTGDGGMADSDEDGDPGSRGPTYEDIKEITIRRTKLAKWFMEPWFEELIIGCFVRVGIGRSKTGPVYRLCMVRNVDAAEPDKPYKLENKSTYKYLNVTWGADSSAARWQMAMVSDSAPTEEEYKQWVREAERGGGRLPSKQDILEKKEAIRKSNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEIAQSRHDEAEVERIEARILELEASRQAKVKDAKALRLAEMNRKNRVENFRNASELKPINTGLKAGEAGYDPFSRRWTRSRNYYVSNPAGGDDAVAASNSEANDTAALADSNHVVAGAISESGVAATAAALDAAADAGKLVDTSAPVDQGTESNTMHNFELEISLIALQKFRGPQGAHAGFMARKQRIEANVGCQVRENDGRRHALTLTVGDYKRRRGLL >Potri.011G047900.5.v4.1 pep chromosome:Pop_tri_v4:11:3803715:3806553:-1 gene:Potri.011G047900.v4.1 transcript:Potri.011G047900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G047900.v4.1 MTMADLENLLLEAAGRTGKSGRNRNSLPPSRRRKQEGSYSDGGSDSRDDGSDDDRGYASRKPSGSQVPLKKRLETNERDDEGGSQEEGDYDDGGSDREGESSDGSDIGDDLYKDENDRQKLAQMSELDRELILADRADKKGDKHLTEKIRSKRDNDKPTRSRKETPPLPSSRGVRSSARSADRAAAKDDALNELRAKRLKQQDPEAHRKLRDASRGSAGSRGFAQVKKNNFTSARLSSSSSESESGSRSHSEDEGSTGDGGMADSDEDGDPGSRGPTYEDIKEITIRRTKLAKWFMEPWFEELIIGCFVRVGIGRSKTGPVYRLCMVRNVDAAEPDKPYKLENKSTYKYLNVTWGADSSAARWQMAMVSDSAPTEEEYKQWVREAERGGGRLPSKQDILEKKEAIRKSNTFVYSAATVKQMLQEKKSASSRPLNVAAEKDRLRRELEIAQSRHDEAEVERIEARILELEASRQAKVKDAKALRLAEMNRKNRVENFRNASELKPINTGLKAGEAGYDPFSRRWTRSRNYYVSNPAGGDDAVAASNSEANDTAALADSNHVVAGAISESGVAATAAALDAAADAGKLVDTSAPVDQGTESNTMHNFELEISLIALQKFRGPQGAHAGFMARKQRIEANVGCQVRENDGRRHALTLTVGDYKRRRGLL >Potri.018G000800.2.v4.1 pep chromosome:Pop_tri_v4:18:74629:78442:-1 gene:Potri.018G000800.v4.1 transcript:Potri.018G000800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G000800.v4.1 MSRLQGPSSLKRKRPEVNSPAQSLTEHERLLYDVIRSKQDIGIWTRDMKKEAKLPDNVVNKSLKALQVKKLIKEVVNIQNKGRKHFMATEFEPSKEISGGAWYLEGSLDTEFIESLKQLCKRQIERRGVATLEEVTDSINSYPAFNVDVTKQQIEEILRTLILDNVIMEVKSNGMGEFASIPFGEVCYKYISKVGLGGEPKAGALASIPCGVCPRISQCTPDGIISPKTCVYYQKWLDF >Potri.010G143700.1.v4.1 pep chromosome:Pop_tri_v4:10:15628461:15630227:-1 gene:Potri.010G143700.v4.1 transcript:Potri.010G143700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G143700.v4.1 MFSFQDYSFDGIQDMLSSKSRSRESVEGVNTRKQSHFYGFEAEAGGDAYGFYQDVSTGEGFFFSKYQNQEQKQQSYLDCGLLDDHSYNILSPQLQTSLDGIEKLDEIPAVTQDGLQPWKENQYPFSLASLELHGDRQFDGERTVERSSGAPRAKVIGTELSTEEIMKMAGAKFIQSSSRMVDTASMLNNPFDLFFSGLSEEAAKNVELAELLLASAEKVGNQQFERANRFLNYCEHLSSNGESPVQRVVHYFSEALRERIDRETGRITPKWPEKSHSFDLDRAMMTLNPAILACYQNVPFSQVAHFAGIQAIVEKVNRAKRIHIIDLEIRNGVQWTVLMQALVSQHESPLELLKISAIGSTSKELIEDTGKRLMSFAETMNIPFSFKVVMVSDMLDLKKDLFELGAEEAVAVYAENSLRSLIALPNRLDSIMKVFRNINPRIVVVMEVEANNNSPSFVNRFIEALFFYSAYFDCFDACMGRDSPNRMIAESKYIRQEIRNIVATEGEERKIRHVKLDVWRTFFARFAMVETELSKSSLYQASLLLNKIARWSSCTLDMNEKSLVIGWKGTPMHSLSVWKFDKNRKGLK >Potri.012G127900.1.v4.1 pep chromosome:Pop_tri_v4:12:14379649:14380720:1 gene:Potri.012G127900.v4.1 transcript:Potri.012G127900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G127900.v4.1 MVPQFLLSFSLILSFLLHCPPTLAQSPAAAPGPPGPTNVTKILEKGGQFSVFIRLLKATQEDVTLNGQLNNTNNAITIFAPSDNAFSSLKSGTLNSLNDQEKAELVQFHIIPQYLSSSQFQTVSNPLTTQAGSGGRLELNVTTTGNSVNITTGLTNTSVSGTIYTDNQLAVYQVDKVLLPVDIFTPKPPTPAPAPEKPKKRSKAAESPDAPEDNSGAVSLTVLNDVVFFGVGIVAAIFSL >Potri.010G225200.1.v4.1 pep chromosome:Pop_tri_v4:10:20901816:20910004:-1 gene:Potri.010G225200.v4.1 transcript:Potri.010G225200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G225200.v4.1 MPKMGLKKLKICTSWSMLVWVVVVLSLQHLVRCQDVGDYNEIDNPAVLPLITQLVYSRMSNLTAVISRDISNRSTFCIKDPEDDWNQAFNFSSNLDFLTKCIQKTGGDITRRICTAAEMKFYFNNFFQPSSIDNYLKPNKNCNLTSWVSGCEPGWACSIGPNQPVDLENSKEIPARTRSCQACCEGFFCPHGLTCMIPCPLGSHCPLSRLNRATGVCEPYSYQLPPGQQNHTCGGANIWADVGSSSEIFCSAGSYCPTTVQKNSCSSGHYCRMGSTSETPCFKLTSCNANSPSQNIHAYGIMLIAALTTLLLIIYNCSDQVLTTRERRLAKSREAAARSARETARAHQRWKAAKDAAKKHASGLQAHFSRTFSRKKYVTHPEQLKILDQAKSEIDEDLYPTSSNASITSLASPAPSKGKKKEPNDLMQIMHEIEDDPGSYEGISLEFEDPNTKRHMPKGKEMNTHSQIFKYAYAQIEKEKAMQQQNKDLTFSGVVSLATNTEIKKRPLIEISFKDLTLTLKAKNKHLLRCVTGKIKPGRITAVMGPSGAGKTTFLSALAGKAIGCRMTGLILINGKNESIHSYKKIIGFVPQDDIVHGNLTVEENLWFSAHCRLSAFMPKPDKVLIVERVIESLGLQSVRDSMVGTVEKRGISGGQRKRVNVGLEMVMEPSLLILDEPTSGLDSASSQLLLRALRREALEGVNICMVVHQPSYALFKMFDDLVLLAKGGLIVYHGPVKKVEEYFAGLGIRVPERVNPPDHYIDILEGIVTSNASSGVNYKELPLRWMHHNGYPMPPDMQKYAAGLVMSPVEANPDLRSNPTDTGMGEQSFAGELWQDVKSNVELHRDKIRHNFLKSSDLSYRRTPGVFQQYRYFLGRISKQRLREAKIQAADYLILFLAGACLGSITKPSDQTFGATGYAHSIIAVSLLCKIAALRTFSLEKLQYWRESASGMSSVAYFLAKDTFDHFNTVVKPVVYLSMFYFFTNPRSSFADNYIVMLCLVYCVTGIAYVLAIFFEPGPAQLWSVLLPVVLTLIASQPNKSEVLKFVAKLCYPNWALEAFVIANAERYYGVWLITRCGSLMKTGYNLHYWGLCIFILILIGLVSRVVAFFGMITFQKK >Potri.002G025600.1.v4.1 pep chromosome:Pop_tri_v4:2:1709565:1711187:-1 gene:Potri.002G025600.v4.1 transcript:Potri.002G025600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025600.v4.1 MGHSMVIVDRMNVGHRLDQLDVYDGKASGEGSGEPERKNLVKQSAKKGAARASDNSRKRKSKDSSKSEDEENGDDESDASEDQVNGQTEKKSKRGGKVSGRGQSKGGKKSTSDGKSTGRGRGRPLSGNKSTVSSQEKAGKRGRPRKS >Potri.012G123600.1.v4.1 pep chromosome:Pop_tri_v4:12:14067004:14071601:1 gene:Potri.012G123600.v4.1 transcript:Potri.012G123600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G123600.v4.1 MLLVRCTLNHIFIESRIRNGHFRRREKNSSSGDVKKWKSDRVYIDKHGKWRIFDHKKMSRKRCGSLRGQGWKYGSGFVDGIFPVLSPVAHQILNFVRKEVDPNNVWAALDTLPVTHETWDDLINVAVQLRLNKQWDPIALICQWILYKSSFQTDVMCYNLLIDAYGQKSLYKKAEETYVDLLQARCIPTEDTYALLIKAYCACGLLAKAEAAFVDMRKYGLPPSAIVYNAYIDGLMKAGNPQRAIEIFQRLKNDGCQPSTDTYTLLINLHGKASQSYMALKLFNEMRSQKCRPDICTYTALVNAFAREGLCEKAEEIFEQMQEDKLEPDVYTYNALMEAYSRAGFPYGAAEIFSLMRHMGCEPDRASYNIMVDAYGRAGLHEDAQAVFNEMKRLGITPTMKSHMLLLCAYSRARNVDKCEEIVNQMSESGLEPDTFVLNSMMHLYGRLGQFEKMEEVLTAMEKGPYEADISTYNILINIYGRAGFFERMEGIFQSLPSKNLKPDVVTWTSRLGAYSRKKLYARCLEIFEEMIDAGCHPDGGTAKVLLSACSSEDQIEQVTTVIRTMHKGMEAALPA >Potri.013G146000.2.v4.1 pep chromosome:Pop_tri_v4:13:14358324:14361389:-1 gene:Potri.013G146000.v4.1 transcript:Potri.013G146000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G146000.v4.1 MATLAAAAAARQAATLSRISSPKSPARVAALIHRRGLAGAADHHGPSRINCWQDPMSPSKWKEEHFVIVSLSGWGLAFYGGYKFFTGGKGKEEEKVVEAAH >Potri.018G125284.1.v4.1 pep chromosome:Pop_tri_v4:18:13697920:13703889:1 gene:Potri.018G125284.v4.1 transcript:Potri.018G125284.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G125284.v4.1 MSGGGTQNSLRRALGALKDTTTVSLAKVNSDYKELDIAIVKATNHYERPAKERHIRAIFAAVSATRPRADVAYCIHALARRLSRTHNWAVALKTLIVIHRALREVDQTFHEEIINYGRSRSHMLNMAHFKDDSSPNAWDFSAWVRTYALFLEERLECFRVLKYDVEMDRPRTKDLDTVEILEQLPALQQLLFRILGCQPQGAAANNFVIQLALQLVASESIRVYQAINDATANLVDKFFEMQRPDAAKALEIYRRACQQAERLSEFYEICKSMYIGRGEKFIKIEQPPLSFLQTMEEYVRDAPRVTTALRDQFVDNKIASPKEILAIEYKKEPEVKEERPSSPPPPEPVKVEEPVAQPPDLLGLDDPVPVASELDEKNALALAIVPVAEQQSSPVPTHANGTTGWELALVTAPSSNESTAAASKLAGGLDKLTLDSLYDDAIRRSNQPVSYNPWEPVPVANPMMQAAVHDPFFASNTVAAPHSVQMSQMASQQQAFMLQQQQQQQMMMMMMMGQQQQQPSNHFGNTYGSSVHPYGSGMPPVQAYNPYSG >Potri.004G022200.2.v4.1 pep chromosome:Pop_tri_v4:4:1555407:1555986:-1 gene:Potri.004G022200.v4.1 transcript:Potri.004G022200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G022200.v4.1 MTLKVKVVVDGTTMIPMELPDDATVKDLKEAVHDMFTSYSVENQELLFNGLFLQDNTKLASYRLVSDSEITLRLLFTIVIIGKNPDGQYQRYEVRAHRNNYVGDLKLKLSEDHGLDITNIRLQMGPESYLLDRTLLWANRISSGTRIYIAEE >Potri.002G167700.2.v4.1 pep chromosome:Pop_tri_v4:2:12830591:12836231:1 gene:Potri.002G167700.v4.1 transcript:Potri.002G167700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G167700.v4.1 MAGSSRQSLPSWITAAAATTTTTRVDIEGINKEGGPKNEESKSVPSASDFDLGFVERAFAAAGAAVLSAVIVNPLDVAKTRLQAQAAGVPYQGLCGTASFESNTMFPCVKSSSHAVPGSQQLCASECNRYKGALDVFNKVIRQEGIGRLWRGTNASLALAVPTVGIYMPCYDIFRNSMEEFTIQNCPALTPYVPLVAGAVARSISCITCYPVELARTRMQAFKETQAGVKPSGVWKTLIEVINPVGGTNNTQKLQSYRILWTGLGAQLARDVPFSAICWATLEPIRRQILALMGDEAGASRVLGANFSAGFVAGTLAAAATCPFDVAKTRRQIEKDPTRALNMTTTRTLLEIWRDGGVKGLFTGVGPRVARAGPSVGIVVSFYEVVKYTLHHRYHQFTK >Potri.001G108100.5.v4.1 pep chromosome:Pop_tri_v4:1:8685711:8688241:1 gene:Potri.001G108100.v4.1 transcript:Potri.001G108100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108100.v4.1 MPPLPVEQTGESPAACGGGAAAGGPPSGSGDSQRSLPTPFLTKTYQLVDDPSVDDLISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGEKALLRDIQRRKISPMAASAVTSASVTVAAIPTVARAVSPANSGDDQGISSTSSPGGAGTAGGANSFLRTTSCTTTPEILEENERLRKENSALSHELTQLRGLCNNIMVLMNNYASPQLEGNSGNSNNNLAEVKAALELLPVADEVAVSGRPRGGAAATESEVSPRLFGVSIGFKRVRIDEEEEEEQGNRQQTEGKEHESDVKAEPLDGSSGNSDHQDQRWLDLGK >Potri.001G108100.4.v4.1 pep chromosome:Pop_tri_v4:1:8685777:8688338:1 gene:Potri.001G108100.v4.1 transcript:Potri.001G108100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108100.v4.1 MPPLPVEQTGESPAACGGGAAAGGPPSGSGDSQRSLPTPFLTKTYQLVDDPSVDDLISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGEKALLRDIQRRKISPMAASAVTSASVTVAAIPTVARAVSPANSGDDQGISSTSSPGGAGTAGGANSFLRTTSCTTTPEILEENERLRKENSALSHELTQLRGLCNNIMVLMNNYASPQLEGNSGNSNNNLAEVKAALELLPVADEVAVSGRPRGGAAATESEVSPRLFGVSIGFKRVRIDEEEEEEQGNRQQTEGKEHESDVKAEPLDGSSGNSDHQDQRWLDLGK >Potri.001G108100.6.v4.1 pep chromosome:Pop_tri_v4:1:8685791:8688228:1 gene:Potri.001G108100.v4.1 transcript:Potri.001G108100.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G108100.v4.1 MPPLPVEQTGESPAACGGGAAAGGPPSGSGDSQRSLPTPFLTKTYQLVDDPSVDDLISWNDDGSTFIVWRPAEFARDLLPKYFKHNNFSSFVRQLNTYGFRKVVPDRWEFANDCFRRGEKALLRDIQRRKISPMAASAVTSASVTVAAIPTVARAVSPANSGDDQGISSTSSPGGAGTAGGANSFLRTTSCTTTPEILEENERLRKENSALSHELTQLRGLCNNIMVLMNNYASPQLEGNSGNSNNNLAEVKAALELLPVADEVAVSGRPRGGAAATESEVSPRLFGVSIGFKRVRIDEEEEEEQGNRQQTEGKEHESDVKAEPLDGSSGNSDHQDQRWLDLGK >Potri.007G099800.1.v4.1 pep chromosome:Pop_tri_v4:7:12442390:12453578:1 gene:Potri.007G099800.v4.1 transcript:Potri.007G099800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G099800.v4.1 MALGLIFFFSLCFTLCFAGNVSYDSRSLIINGERKLLISAAIHYPRSVPAMWPELVKTAKEGGVDVIETYVFWNVHQPTSPSEYHFDGRFDLVKFINIVQEAGMYLILRIGPFVAAEWNFGGIPVWLHYVNGTVFRTDNYNFKYYMEEFTTYIVKLMKKEKLFASQGGPIILSQVENEYGYYEGAYGEGGKRYAAWAAQMAVSQNTGVPWIMCQQFDAPPSVINTCNSFYCDQFKPIFPDKPKIWTENWPGWFQTFGAPNPHRPAEDVAFSVARFFQKGGSVQNYYMYHGGTNFGRTAGGPFITTSYDYEAPIDEYGLPRLPKWGHLKELHKAIKLCEHVLLNSKPVNLSLGPSQEADVYADASGGCVAFLANIDDKNDKTVDFQNVSYKLPAWSVSILPDCKNVVYNTAKVTSQTALVEMVPDNLQQKDGSKALKWEVFVEKAGIWGEPDFMKNGFVDHINTTKDTTDYLWYTTSIVVGENEEFLKEGRHPVLLIESMGHALHAFVNQELQGSASGNGSHSPFKFKNPISLKAGKNEIALLSMTVGLPNAGSFYEWVGAGLTSVRIEGFNNGTVDLSHFNWIYKIGLQGEKLGIYKPEGVNSVSWVATSEPPKKQPLTWYKVVLDPPAGNEPVGLDMLHMGKGLAWLNGEEIGRYWPRKSSVHEKCVTECDYRGKFMPDKCFTGCGQPTQRWYHVPRSWFKPSGNLLVIFEEKGGDPEKITFSRRKMSSICALIAEDYPSADRKSLQEAGSKNSNSKASVHLGCPQNAVISAVKFASFGTPTGKCGSYSEGECHDPNSISVVEKACLNKTECTIELTEENFNKGLCPDFTRRLAVEAVCS >Potri.003G020400.4.v4.1 pep chromosome:Pop_tri_v4:3:2144827:2145856:1 gene:Potri.003G020400.v4.1 transcript:Potri.003G020400.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020400.v4.1 MLVSTHLICRLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVVGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLILMGWVESKRWVDFFNPESQSVEWATPWSKTAENFANATGDQGYPGGKFFDPLGFAGTLKNGVYIPDEEKLERLKLAEIKHARLAMIAMLIFYFEAGQGKTPLGALGL >Potri.003G020400.3.v4.1 pep chromosome:Pop_tri_v4:3:2144665:2146212:1 gene:Potri.003G020400.v4.1 transcript:Potri.003G020400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G020400.v4.1 MAAASGAVLNGLGSSFLCGGKRSRALSGIGATGIGSCSVGARKLTIVAAAQPKKSWIPAVKGGGSFVDPEWLDGSLPGDYGFDPLGLGKDPAFLKWYREAELIHGRWAMAAVVGIFVGQAWSGIPWFEAGADPGAIAPFSFGSLLGTQLILMGWVESKRWVDFFNPESQSVEWATPWSKTAENFANATGDQGYPGGKFFDPLGFAGTLKNGVYIPDEEKLERLKLAEIKHARLAMIAMLIFYFEAGQGKTPLGALGL >Potri.009G045000.5.v4.1 pep chromosome:Pop_tri_v4:9:5141235:5150701:1 gene:Potri.009G045000.v4.1 transcript:Potri.009G045000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045000.v4.1 MSKQIDSVFDGAGAKPGLEIWCVEKQLRLVPVPKSLHGKFYSGNSYVVLSTVLPRSGPPQHDIHYWLGKDANEVESTLASDKALELDSALGSCTVQYREVQGQETEKFLSYFKPCVIPIEGVFSSDSGQLNGESYKISLLTCKGEHVVSVKEVPFSRSSLNHNDVFILDTASKIFLFSGCNSSTQERAKALEVVQYIKENKHGGTCEVATVEDGKLVGDPEVGEFWSFFGGYAPIPRDSPCVEKQSDSPFSQLFWITAQAKLCPCEGSSLNKEMLETNKCYMLDCGAEIFVWMGRNTSITERKKSISVTEDLLRNQGRSMATHLTFLTEGLETSIFRSYFKNWPQVVEPKLYEEGRGKVAAIFKQQGYDVKELPDEEDCQPYINCRGKLKVWRINGEQPTLIPDPEQTKLFSGDCYIVQYTYPGNGRDEHLFYAWLGRDSVLDDRADAISHMNAIADSSKRDPVLVQVIQDKEPLLFFSIFQTVIIFKGGLSKRYKNLIAEKGILDETYDEQKTALFRVQGISPENMQAIQVDQVSNSLNSSYCYILQTGTSIFTWIGNLSSTVDHALLDRMLELINPTWQPISVREGSEPDIFWNALGGKTEYPRQKELKQHVEDPHLFTLTCADGMQNTLSNFIHSRDDVLCLGSELLQVLIAVKFFLMVGFGSYAAWLNFVAGDFKVKEIYNFAQDDLTTEDVLILDCHEEIHVWIGSHSNVKSKQQAILLGMKFLQTDPLVEGLSSETPIYVITEGREPLFFTRFFEWDSSKANMHGNSFERRLAILKGKKQNLEVHTSKSWKASSKETTPDGLRSKSVSSNGRNSTSPVSSASVTHFNSSTNCQISTPAPTARKLFPGSPFHDSAGSPKAEAESPSQAAVLSQVDGNDASENSVIYPYERLKVNSSDPVTDIDVTKREGYLCDEEFQEKFGMRKKAFYELPKWRQNKLKISLHLF >Potri.009G045000.2.v4.1 pep chromosome:Pop_tri_v4:9:5141261:5150763:1 gene:Potri.009G045000.v4.1 transcript:Potri.009G045000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045000.v4.1 MSKQIDSVFDGAGAKPGLEIWCVEKQLRLVPVPKSLHGKFYSGNSYVVLSTVLPRSGPPQHDIHYWLGKDANEVESTLASDKALELDSALGSCTVQYREVQGQETEKFLSYFKPCVIPIEGVFSSDSGQLNGESYKISLLTCKGEHVVSVKEVPFSRSSLNHNDVFILDTASKIFLFSGCNSSTQERAKALEVVQYIKENKHGGTCEVATVEDGKLVGDPEVGEFWSFFGGYAPIPRDSPCVEKQSDSPFSQLFWITAQAKLCPCEGSSLNKEMLETNKCYMLDCGAEIFVWMGRNTSITERKKSISVTEDLLRNQGRSMATHLTFLTEGLETSIFRSYFKNWPQVVEPKLYEEGRGKVAAIFKQQGYDVKELPDEEDCQPYINCRGKLKVWRINGEQPTLIPDPEQTKLFSGDCYIVQYTYPGNGRDEHLFYAWLGRDSVLDDRADAISHMNAIADSSKRDPVLVQVIQDKEPLLFFSIFQTVIIFKGGLSKRYKNLIAEKGILDETYDEQKTALFRVQGISPENMQAIQVDQVSNSLNSSYCYILQTGTSIFTWIGNLSSTVDHALLDRMLELINPTWQPISVREGSEPDIFWNALGGKTEYPRQKELKQHVEDPHLFTLTCADGDFKVKEIYNFAQDDLTTEDVLILDCHEEIHVWIGSHSNVKSKQQAILLGMKFLQTDPLVEGLSSETPIYVITEGREPLFFTRFFEWDSSKANMHGNSFERRLAILKGKKQNLEVHTSKSWKASSKETTPDGLRSKSVSSNGRNSTSPVSSASVTHFNSSTNCQISTPAPTARKLFPGSPFHDSAGSPKAEAESPSQAAVLSQVDGNDASENSVIYPYERLKVNSSDPVTDIDVTKREGYLCDEEFQEKFGMRKKAFYELPKWRQNKLKISLHLF >Potri.009G045000.6.v4.1 pep chromosome:Pop_tri_v4:9:5141357:5150659:1 gene:Potri.009G045000.v4.1 transcript:Potri.009G045000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G045000.v4.1 MSKQIDSVFDGAGAKPGLEIWCVEKQLRLVPVPKSLHGKFYSGNSYVVLSTVLPRSGPPQHDIHYWLGKDANEVESTLASDKALELDSALGSCTVQYREVQGQETEKFLSYFKPCVIPIEGVFSSDSGQLNGESYKISLLTCKGEHVVSVKEVPFSRSSLNHNDVFILDTASKIFLFSGCNSSTQERAKALEVVQYIKENKHGGTCEVATVEDGKLVGDPEVGEFWSFFGGYAPIPRDSPCVEKQSDSPFSQLFWITAQAKLCPCEGSSLNKEMLETNKCYMLDCGAEIFVWMGRNTSITERKKSISVTEDLLRNQGRSMATHLTFLTEGLETSIFRSYFKNWPQVVEPKLYEEGRGKVAAIFKQQGYDVKELPDEEDCQPYINCRGKLKVWRINGEQPTLIPDPEQTKLFSGDCYIVQYTYPGNGRDEHLFYAWLGRDSVLDDRADAISHMNAIADSSKRDPVLVQVIQDKEPLLFFSIFQTVIIFKGGLSKRYKNLIAEKGILDETYDEQKTALFRVQGISPENMQAIQVDQVSNSLNSSYCYILQTGTSIFTWIGNLSSTVDHALLDRMLELINPTWQPISVREGSEPDIFWNALGGKTEYPRQKELKQHVEDPHLFTLTCADGVNCCQIFSDGGFWILCCLVEFCCRRFQGERDIQLCAG >Potri.018G149100.4.v4.1 pep chromosome:Pop_tri_v4:18:16021710:16026071:-1 gene:Potri.018G149100.v4.1 transcript:Potri.018G149100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149100.v4.1 MVNVKMKSNHKSRFGLKSKLMGICFSVDGDKIPQEQRQLSSKGNQAGHVYDQSPSGPTEPDLVETKRATSTPSVPKNVTDLRNSPGYGNVDIFTYEEMKLATKQFRPDYILGEGGFGVVYKGVIDESVRTLYKTTYVAIKELNPDGLQGDREWLAEVNYLGQLSHSNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCTLTWSKRMKIALDAAKGLAFLHGAERSIIYRDFKTSNILLDSDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLLGRRALDKSRPSREHNLVEWARPLLNHNKKVLRILDPRMEGQYSSRIAMKVANLAYQCLSQNPKGRPLMNQVVELLESVQSKDEEAMFETTGRGVTLYEDPRRPPHTPGKERNQARNHDYREGEPSPYSSSEKQRNRTRSHDHRKEEPSPHSPSEKQRNRTRSQDHREEEPSRTPLKERDQTGSHERREGEPFPHTHEEERTQTRSHDHREGEPQRRSKPANARSRTEPLTESDLYSPPDFRISSPMR >Potri.018G149100.2.v4.1 pep chromosome:Pop_tri_v4:18:16021697:16026074:-1 gene:Potri.018G149100.v4.1 transcript:Potri.018G149100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G149100.v4.1 MVNVKMKSNHKSRFGLKSKLMGICFSVDGDKIPQEQRQLSSKGNQGHVYDQSPSGPTEPDLVETKRATSTPSVPKNVTDLRNSPGYGNVDIFTYEEMKLATKQFRPDYILGEGGFGVVYKGVIDESVRTLYKTTYVAIKELNPDGLQGDREWLAEVNYLGQLSHSNLVKLIGYCCEDEHRLLVYEYMASGSLEKHLFRRVGCTLTWSKRMKIALDAAKGLAFLHGAERSIIYRDFKTSNILLDSDFNAKLSDFGLAKDGPMGDQTHVSTRVMGTYGYAAPEYVMTGHLTARSDVYGFGVVLLELLLGRRALDKSRPSREHNLVEWARPLLNHNKKVLRILDPRMEGQYSSRIAMKVANLAYQCLSQNPKGRPLMNQVVELLESVQSKDEEAMFETTGRGVTLYEDPRRPPHTPGKERNQARNHDYREGEPSPYSSSEKQRNRTRSHDHRKEEPSPHSPSEKQRNRTRSQDHREEEPSRTPLKERDQTGSHERREGEPFPHTHEEERTQTRSHDHREGEPQRRSKPANARSRTEPLTESDLYSPPDFRISSPMR >Potri.003G134900.8.v4.1 pep chromosome:Pop_tri_v4:3:15274237:15276822:-1 gene:Potri.003G134900.v4.1 transcript:Potri.003G134900.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134900.v4.1 MGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSALVSLWVFLCGSGFVLCCVFIIPPIFKWMANRCPEGEPVDEIYVCATLTAVLAAGFVTDSIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVITTACFGKIVGTVGVSLLCRMPFQEAVAMGFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMAIFTTFITTPLVMAVYKPAKRASRADYKIRKIERNDPNTQLRILACFHSTRDVPTMINLIEASRGTDRRERLCVYAMHLMELTERSSAILMVHKVRKNGLPFWNKLQQSGNNQVVVAFEAFRQLSRVSIKPTTAISQMYDMHEDICESAERKRAAAIILPFHKHQRLDGTFETTRTDFRWVNMRVLENARCSVGILVDRGLGGGTHVPASNVSYSVTVLFFGGRDDREALAYGARMAEHPGISLSVIRFTASHEIVGEIVRVDINDNHNVSMESTDDEFIAEFKKKISNDSSVKYEERIVNNAAETVEAAKDFSRCNLFLVGRVPQGPVVASLNVKVECPELGPVGHLLISPDFTTLASVLVMQQHASPGSVVGSTRVTEMPAEDSETQYN >Potri.003G134900.6.v4.1 pep chromosome:Pop_tri_v4:3:15274283:15278833:-1 gene:Potri.003G134900.v4.1 transcript:Potri.003G134900.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134900.v4.1 MAALNATGTLSCPKPMKATSNGVFQGDNPLDYALPLAILQICLVVLLTRILAFLLRPLRQPRVIAEIVGGILLGPSALGRNKHYLDKVFPAKSLPVLDTLANLGLLFFLFLIGLELDLKSLRRTGKKALGIAAAGIGLPFLLGIGTSFALRGTISKGADKAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSALVSLWVFLCGSGFVLCCVFIIPPIFKWMANRCPEGEPVDEIYVCATLTAVLAAGFVTDSIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVITTACFGKIVGTVGVSLLCRMPFQEAVAMGFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMAIFTTFITTPLVMAVYKPAKRASRADYKIRKIERNDPNTQLRILACFHSTRDVPTMINLIEASRGTDRRERLCVYAMHLMELTERSSAILMVHKVRKNGLPFWNKLQQSGNNQVVVAFEAFRQLSRVSIKPTTAISQMYDMHEDICESAERKRAAAIILPFHKHQRLDGTFETTRTDFRWVNMRVLENARCSVGILVDRGLGGGTHVPASNVSYSVTVLFFGGRDDREALAYGARMAEHPGISLSVIRFTASHEIVGEIVRVDINDNHNVSMESTDDEFIAEFKKKISNDSSVKYEERIVNNAAETVEAAKDFSRCNLFLVGRVPQGPVVASLNVKVECPELGPVGHLLISPDFTTLASVLVMQQHASPGSVVGSTRVTEMPAEDSETQYN >Potri.003G134900.5.v4.1 pep chromosome:Pop_tri_v4:3:15274237:15280311:-1 gene:Potri.003G134900.v4.1 transcript:Potri.003G134900.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134900.v4.1 MAALNATGTLSCPKPMKATSNGVFQGDNPLDYALPLAILQICLVVLLTRILAFLLRPLRQPRVIAEIVGGILLGPSALGRNKHYLDKVFPAKSLPVLDTLANLGLLFFLFLIGLELDLKSLRRTGKKALGIAAAGIGLPFLLGIGTSFALRGTISKGADKAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSALVSLWVFLCGSGFVLCCVFIIPPIFKWMANRCPEGEPVDEIYVCATLTAVLAAGFVTDSIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVITTACFGKIVGTVGVSLLCRMPFQEAVAMGFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMAIFTTFITTPLVMAVYKPAKRASRADYKIRKIERNDPNTQLRILACFHSTRDVPTMINLIEASRGTDRRERLCVYAMHLMELTERSSAILMVHKVRKNGLPFWNKLQQSGNNQVVVAFEAFRQLSRVSIKPTTAISQMYDMHEDICESAERKRAAAIILPFHKHQRLDGTFETTRTDFRWVNMRVLENARCSVGILVDRGLGGGTHVPASNVSYSVTVLFFGGRDDREALAYGARMAEHPGISLSVIRFTASHEIVGEIVRVDINDNHNVSMESTDDEFIAEFKKKISNDSSVKYEERIVNNAAETVEAAKDFSRCNLFLVGRVPQGPVVASLNVKVECPELGPVGHLLISPDFTTLASVLVMQQHASPGSVVGSTRVTEMPAEDSETQYN >Potri.003G134900.7.v4.1 pep chromosome:Pop_tri_v4:3:15274159:15280314:-1 gene:Potri.003G134900.v4.1 transcript:Potri.003G134900.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134900.v4.1 MGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSALVSLWVFLCGSGFVLCCVFIIPPIFKWMANRCPEGEPVDEIYVCATLTAVLAAGFVTDSIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVITTACFGKIVGTVGVSLLCRMPFQEAVAMGFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMAIFTTFITTPLVMAVYKPAKRASRADYKIRKIERNDPNTQLRILACFHSTRDVPTMINLIEASRGTDRRERLCVYAMHLMELTERSSAILMVHKVRKNGLPFWNKLQQSGNNQVVVAFEAFRQLSRVSIKPTTAISQMYDMHEDICESAERKRAAAIILPFHKHQRLDGTFETTRTDFRWVNMRVLENARCSVGILVDRGLGGGTHVPASNVSYSVTVLFFGGRDDREALAYGARMAEHPGISLSVIRFTASHEIVGEIVRVDINDNHNVSMESTDDEFIAEFKKKISNDSSVKYEERIVNNAAETVEAAKDFSRCNLFLVGRVPQGPVVASLNVKVECPELGPVGHLLISPDFTTLASVLVMQQHASPGSVVGSTRVTEMPAEDSETQYN >Potri.003G134900.1.v4.1 pep chromosome:Pop_tri_v4:3:15274158:15280311:-1 gene:Potri.003G134900.v4.1 transcript:Potri.003G134900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134900.v4.1 MSCCLAHSYSSFSSKAFTPAACNRRDCWILLGPSALGRNKHYLDKVFPAKSLPVLDTLANLGLLFFLFLIGLELDLKSLRRTGKKALGIAAAGIGLPFLLGIGTSFALRGTISKGADKAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSALVSLWVFLCGSGFVLCCVFIIPPIFKWMANRCPEGEPVDEIYVCATLTAVLAAGFVTDSIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVITTACFGKIVGTVGVSLLCRMPFQEAVAMGFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMAIFTTFITTPLVMAVYKPAKRASRADYKIRKIERNDPNTQLRILACFHSTRDVPTMINLIEASRGTDRRERLCVYAMHLMELTERSSAILMVHKVRKNGLPFWNKLQQSGNNQVVVAFEAFRQLSRVSIKPTTAISQMYDMHEDICESAERKRAAAIILPFHKHQRLDGTFETTRTDFRWVNMRVLENARCSVGILVDRGLGGGTHVPASNVSYSVTVLFFGGRDDREALAYGARMAEHPGISLSVIRFTASHEIVGEIVRVDINDNHNVSMESTDDEFIAEFKKKISNDSSVKYEERIVNNAAETVEAAKDFSRCNLFLVGRVPQGPVVASLNVKVECPELGPVGHLLISPDFTTLASVLVMQQHASPGSVVGSTRVTEMPAEDSETQYN >Potri.003G134900.4.v4.1 pep chromosome:Pop_tri_v4:3:15273965:15280477:-1 gene:Potri.003G134900.v4.1 transcript:Potri.003G134900.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G134900.v4.1 MAALNATGTLSCPKPMKATSNGVFQGDNPLDYALPLAILQICLVVLLTRILAFLLRPLRQPRVIAEIVGGILLGPSALGRNKHYLDKVFPAKSLPVLDTLANLGLLFFLFLIGLELDLKSLRRTGKKALGIAAAGIGLPFLLGIGTSFALRGTISKGADKAPFLVFMGVALSITAFPVLARILAELKLLTTDVGRMAMSAAAVNDVAAWILLALAIALSGTGHSALVSLWVFLCGSGFVLCCVFIIPPIFKWMANRCPEGEPVDEIYVCATLTAVLAAGFVTDSIGIHALFGAFVVGVLIPKEGAFAGALVEKVEDIVSGLFLPLYFVSSGLKTNVATIQGLQSWGLLVLVITTACFGKIVGTVGVSLLCRMPFQEAVAMGFLMNTKGLVELIVLNIGKDRKVLNDETFSIMVLMAIFTTFITTPLVMAVYKPAKRASRADYKIRKIERNDPNTQLRILACFHSTRDVPTMINLIEASRGTDRRERLCVYAMHLMELTERSSAILMVHKVRKNGLPFWNKLQQSGNNQVVVAFEAFRQLSRVSIKPTTAISQMYDMHEDICESAERKRAAAIILPFHKHQRLDGTFETTRTDFRWVNMRVLENARCSVGILVDRGLGGGTHVPASNVSYSVTVLFFGGRDDREALAYGARMAEHPGISLSVIRFTASHEIVGEIVRVDINDNHNVSMESTDDEFIAEFKKKISNDSSVKYEERIVNNAAETVEAAKDFSRCNLFLVGRVPQGPVVASLNVKVECPELGPVGHLLISPDFTTLASVLVMQQHASPGSVVGSTRVTEMPAEDSETQYN >Potri.011G111101.1.v4.1 pep chromosome:Pop_tri_v4:11:14122530:14124535:1 gene:Potri.011G111101.v4.1 transcript:Potri.011G111101.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G111101.v4.1 MNAEEYYRNSSKKWKRCYLIYGPPGTGKSSLTAAMANHLKYDIYDLDVSEFDNNPDYLERWLIPGLPSRTVVVVEDIDCTIKPQNQGEKKVKVSDILKQLRLCAGDGQIVVFTTNHIDMLDPELLTPDLMNMHIHMPYCTISAFNQIAFNYFNISHHILFEEIEGLIKKVGVTLAEISGELLKSSDAEVSLQGLIKFLHNKIAEYDKFKA >Potri.001G211300.7.v4.1 pep chromosome:Pop_tri_v4:1:21590389:21599465:1 gene:Potri.001G211300.v4.1 transcript:Potri.001G211300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211300.v4.1 MELSTVTTCTGFHHNLTRSKALSFPLIPSVSTTSSCQRTSAAAAAAAATTSLVNEPCNDDSQPATTTRRRPKGGAVDAQRRQSKSYMSRKAAILEVQQSPHLDSALQRLGGMLKVQDLNIILRNFGEQCRWQDLSQLFDWMQRHNKISASSYSSYIKFMGTSLNPAKALEIYHSIPDESKKTNVFICNSLLRCLVRNTKFDSSMKFFHKMKNNGLTPDAITYSTELNYNGLQMDSIMYGTLLAVCASNNRCEEAQSYFNQMKDEGHSPNIFHYSSLLNAYSSDGNYKKAEELVQDMKSSGLVPNKVILTTLLKVYVRGGLFEKSRDLLVELDTLGFAKNEMPYCLLMDGLAKNGLLDEARSVFNEMKEKRVKSGGYSYSIMISSFCRGGLFEEAKELAEEFEAKYDKYDVVILNTILCAYCRTGEKESVMRTMRKMDELAISPDYNTFHILIKYFCKEKLYMLAYQTMEDMHRKGHQPMEELCSSLILHLGKIKAHAEAFSVYSMLKSSKRTMSKAFHEDILHILIAGRLLKDAYVVVKDNAELISPAAIKKFASSFVKLGDINLINDVMKVIHGSGYKIDQELFLMAVSRYIAEPEKKDLLIQLLQWMPGQGYVVDSSTRNLILKNSHLFGRQLIAEILSKQHMTSKALKAQ >Potri.001G211300.1.v4.1 pep chromosome:Pop_tri_v4:1:21590383:21599501:1 gene:Potri.001G211300.v4.1 transcript:Potri.001G211300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G211300.v4.1 MELSTVTTCTGFHHNLTRSKALSFPLIPSVSTTSSCQRTSAAAAAAAATTSLVNEPCNDDSQPATTTRRRPKGGAVDAQRRQSKSYMSRKAAILEVQQSPHLDSALQRLGGMLKVQDLNIILRNFGEQCRWQDLSQLFDWMQRHNKISASSYSSYIKFMGTSLNPAKALEIYHSIPDESKKTNVFICNSLLRCLVRNTKFDSSMKFFHKMKNNGLTPDAITYSTLLAGCMKIKDGYSKALDLVQELNYNGLQMDSIMYGTLLAVCASNNRCEEAQSYFNQMKDEGHSPNIFHYSSLLNAYSSDGNYKKAEELVQDMKSSGLVPNKVILTTLLKVYVRGGLFEKSRDLLVELDTLGFAKNEMPYCLLMDGLAKNGLLDEARSVFNEMKEKRVKSGGYSYSIMISSFCRGGLFEEAKELAEEFEAKYDKYDVVILNTILCAYCRTGEKESVMRTMRKMDELAISPDYNTFHILIKYFCKEKLYMLAYQTMEDMHRKGHQPMEELCSSLILHLGKIKAHAEAFSVYSMLKSSKRTMSKAFHEDILHILIAGRLLKDAYVVVKDNAELISPAAIKKFASSFVKLGDINLINDVMKVIHGSGYKIDQELFLMAVSRYIAEPEKKDLLIQLLQWMPGQGYVVDSSTRNLILKNSHLFGRQLIAEILSKQHMTSKALKAQ >Potri.009G019800.1.v4.1 pep chromosome:Pop_tri_v4:9:3202362:3203537:-1 gene:Potri.009G019800.v4.1 transcript:Potri.009G019800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G019800.v4.1 MASSDPSRPATGYPFVPNGIHYPPPPPGTAYPYQAPPPQPANPYYYNTNQPYPNQRATLLRRLIAALIIVTVIFFTILFIVWLVIRPHLPEFRVTSLSVSSFNASSSSSSVSGNWNARFQVYNPNKLKISYGDIQSSIYYKSEFLSQTRIPPFKQAKKNVTDINAEYGAMGSYIAGRAVNQINGDKGRGSVSFNLKIVADAVYRVGGFRARRRLLRVFCDDLAVGISGNGGSGNLTGGARRCKVYS >Potri.018G086200.4.v4.1 pep chromosome:Pop_tri_v4:18:10394484:10397797:1 gene:Potri.018G086200.v4.1 transcript:Potri.018G086200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086200.v4.1 MDGAQPPNGSNPEADDQTVEIDPSSTILDLTSFQLHDLSSVDLSSSLAELDLTANRLSSLDPRIAHLSNLKKLSLRQNLIDDAAVEPFSRWDSLSALQELVLRDNKLKKIPDSGIFKNLLVFDVSFNEITSLHGLSKVSNTLKELYVSKNEVTKIEEIDHLYQLQILELGSNRLRVMESMQNFTSLQELWLGRNRIKVVNLCGLKCIKKLSLQSNRVTSMKGFEECVALEELYLSHNGIAKMEGLSTLVNLRVLDVSSNKLTSVNDIQNLTQLEDLWLNDNQIESLEGVSEAVVSSREKLTTIYLENNPCAKSTSYSAFLRQIFPNIQQIDSSVFA >Potri.018G086200.1.v4.1 pep chromosome:Pop_tri_v4:18:10394417:10397718:1 gene:Potri.018G086200.v4.1 transcript:Potri.018G086200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086200.v4.1 MDGAQPPNGSNPEADDQTVEIDPSSTILDLTSFQLHDLSSVDLSSSLAELDLTANRLSSLDPRIAHLSNLKKLSLRQNLIDDAAVEPFSRWDSLSALQELVLRDNKLKKIPDSGIFKNLLVFDVSFNEITSLHGLSKVSNTLKELYVSKNEVTKIEEIDHLYQLQILELGSNRLRVMESMQNFTSLQELWLGRNRIKVVNLCGLKCIKKLSLQSNRVTSMKGFEECVALEELYLSHNGIAKMEGLSTLVNLRVLDVSSNKLTSVNDIQNLTQLEDLWLNDNQIESLEGVSEAVVSSREKLTTIYLENNPCAKSTSYSAFLRQIFPNIQQIDSSVFA >Potri.018G086200.5.v4.1 pep chromosome:Pop_tri_v4:18:10394454:10398610:1 gene:Potri.018G086200.v4.1 transcript:Potri.018G086200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G086200.v4.1 MDGAQPPNGSNPEADDQTVEIDPSSTILDLTSFQLHDLSSVDLSSSLAELDLTANRLSSLDPRIAHLSNLKKLSLRQNLIDDAAVEPFSRWDSLSALQELVLRDNKLKKIPDSGIFKNLLVFDVSFNEITSLHGLSKVSNTLKELYVSKNEVTKIEEIDHLYQLQILELGSNRLRVMESMQNFTSLQELWLGRNRIKVVNLCGLKCIKKLSLQSNRVTSMKGFEECVALEELYLSHNGIAKMEGLSTLVNLRVLDVSSNKLTSVNDIQNLTQLEDLWLNDNQIESLEGVSEAVVSSREKLTTIYLENNPCVRYSLTWKT >Potri.019G024100.4.v4.1 pep chromosome:Pop_tri_v4:19:3531438:3536319:-1 gene:Potri.019G024100.v4.1 transcript:Potri.019G024100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G024100.v4.1 MGFVVNKRGFLAPLPPLVLALLFVQRFTVVVSALNYTNHRQVSGMRLERIQRHLDKINKPPVMTIESPDGDIIDCVHKRKQPALDHPLLKNHKIQRGPPEMPRVKALKEDDELRSERTKKSNEAEGVRGSWQMWHRNGTRCPQGTVPIRRSTVHDVLRAKSLFDFGKKQQRSISLARHIDAPDVVSGNGHEHAIAYTGSSQEVYGARATINVWGPTIQGVNEFSLSQIWILSGSFDGSDLNSIEAGWQVSPELYGDSRPRLFTYWTSDSYQATGCYNLLCAGFVQTHSRIAIGAAISPVSSYTGNQYDISILIWKDPKLGNWWMSFGDNTLVGYWPAELFTHLAEHATMVEWGGEVVNSRANGQHTSTQMGSGHFAEDGFGKASYFRNLEIVDSDNSLSSARSISTLTENTNCYNIQSSYSNEWGTHFYYGGPGNNPRCP >Potri.005G172200.2.v4.1 pep chromosome:Pop_tri_v4:5:17693911:17699929:1 gene:Potri.005G172200.v4.1 transcript:Potri.005G172200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172200.v4.1 MHHLPQFLTRKKKTSSMDPKNRRRPRLERRNALKHIDYDATPSFSSLDDSSSSSLFTRSLDLPDRTSFRIEGTEGELDRICRSLGLSGPEDFAIPAAAWEAMKARSASDLLRRSRLLGPDSPKAAEEFKAIVEMTNQQEERELCAGVLKSVRVRDSSTELNTCHDIDNRGVSVGIKGPRPPLLKPPPSMTLPVIDKECSTWDLFRDFAPENDGVVNNNKEFSSGDDDDGRERRPQVIDAAVDNKREEEEENLLRIGETAVLSESCSFTTSNDDDSSSSTTEPMSNISPNLRFRRSITDWEKGELLGRGSFGSVYEGISDDGFFFAVKEVSLLDQGSQGKQSIYQLEQEIALLSRFEHENIVQYYGTDKDESKLYIFLELVTKGSLLKLYQRYNLRDSQVSAYTRQILHGLKYLHDQNVVHRDIKCANLLVDANGSVKLADFGLAKATKFNDVKSCKGTAFWMAPEVVNNKNQGYGLPADIWSLGCTVLEMLTRQIPYSELESMQALFRIGRGVPPLVPDSLSNDARDFILQCLQVNPNDRPTAAVLLDHPFMKRPLPTFSGSASPYIGRRL >Potri.005G172200.3.v4.1 pep chromosome:Pop_tri_v4:5:17693947:17699264:1 gene:Potri.005G172200.v4.1 transcript:Potri.005G172200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G172200.v4.1 MHHLPQFLTRKKKTSSMDPKNRRRPRLERRNALKHIDYDATPSFSSLDDSSSSSLFTRSLDLPDRTSFRIEGTEGELDRICRSLGLSGPEDFAIPAAAWEAMKARSASDLLRRSRLLGPDSPKAAEEFKAIVEMTNQQEERELCAGVLKSVRVRDSSTELNTCHDIDNRGVSVGIKGPRPPLLKPPPSMTLPVIDKECSTWDLFRDFAPENDGVVNNNKEFSSGDDDDGRERRPQVIDAAVDNKREEEEENLLRIGETAVLSESCSFTTSNDDDSSSSTTEPMSNISPNLRFRRSITDWEKGELLGRGSFGSVYEGISDDGFFFAVKEVSLLDQGSQGKQSIYQLEQEIALLSRFEHENIVQYYGTDKDESKLYIFLELVTKGSLLKLYQRYNLRDSQVSAYTRQILHGLKYLHDQNVVHRDIKCANLLVDANGSVKLADFGLAKATKFNDVKSCKGTAFWMAPEVVNNKNQGYGLPADIWSLGCTVLEMLTRQIPYSELESMQALFRIGRGVPPLVPDSLSNDARDFILQCLQVNPNDRPTAAVLLDHPFMKRPLPTFSGSASPYIGRRL >Potri.011G161300.1.v4.1 pep chromosome:Pop_tri_v4:11:18640507:18642597:-1 gene:Potri.011G161300.v4.1 transcript:Potri.011G161300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G161300.v4.1 MVPSRSSILSILVVLLLSPCIVSLPIQDRFINCLSKYSVTSFPFSTVLYTPQNSSFTTLLQSSAQNLRFTLPSVPKPEFIFTPLQESHIQAVVICSKQLGIHLRVRSGGHDYEGLSYVSEIETSFIVVDLAKLRSISVDIEHNSAWVQVGATNGELYYRISEKSKIHGFPAGTCSSLGMGGHISGGTYGAMLRKYGLGADNVVDAHLIDVHGRLLNRKLMGEDLFWAIRRGAGGSFEIVTAWKLKLVPVPSTVTVFTVTKTLEQGATKILYRWQEIADKLDEDLFIRVRIQTANVTSQGKRTIATSYNSLFLGDASRLLQILQHSFPELGLTRQDCIETNWINSTVYLAGFSNNTPPEVFLQRTNLLRTYFKGKSDYAKEPIPEKALEGLWEKLFEVESPAVVFTPYGGMMSQISESQTPFPHRKGTKFMIRYHTGWQDAKENVAKHIDWTRKVYRYMTPYVSKNPREAYVNYRDLDLGMNNNSNTSFVEASVFGTKYFKDNFYRLVHVKTKVDPDNFFRHEQSIPPLPLHMR >Potri.002G078600.1.v4.1 pep chromosome:Pop_tri_v4:2:5493700:5495556:1 gene:Potri.002G078600.v4.1 transcript:Potri.002G078600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G078600.v4.1 MAIPVIDFSKVNGTGEERAKTMAQIANGCEEWGFFQLMNHGIPEELLEKVKKVCSEYFKLEREEIFKNSTVANTLNALAEKNSGEKLENVDWEDVITLLDDNEWPSKTSGFKETMTEYRAELKKLAEKVMEVMDENLSLPKGYIKKAFNDGEGHSAFFGTKVSHYPPCPHPELVNGLRAHTDAGGVILLFQDDVVGGLQILKDGQWIDVQPMKNTIVINTGDQIEVLSNGRYKSTWHRVLATPDGNRRSIASFYNPSLKATIAPAPGLVEKDDEEINQTYPKFLFGDYMSVYAKQKFLPKEPRFEAVRAL >Potri.009G046400.2.v4.1 pep chromosome:Pop_tri_v4:9:5229390:5229764:1 gene:Potri.009G046400.v4.1 transcript:Potri.009G046400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G046400.v4.1 MNNTTVTASPNPNKKRIKNDRGGAKKEEECDAEAWDTLSKSFKHVQSVLDHNSDLIKQVNANHQSKIPDNLVKNVSLIREINGNITKVMSIYSDLSFKVSNIVEERRRLKNGGESKLESASNES >Potri.001G117200.1.v4.1 pep chromosome:Pop_tri_v4:1:9529992:9536761:-1 gene:Potri.001G117200.v4.1 transcript:Potri.001G117200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G117200.v4.1 MGERKTIELEQGWEYMRKGITKLKGILDGSLEQFNSEEYMMLYTTIYNMCTQKPPNDYSQQLYDKYREAFQVYINSTVLPSIREKHDEFMLRELVKRWANHKIMVRWLSRFFHYLDRYFIARRSLPPLNEVGLTCFRDLVYQEVNSKAKDAVLDVISKERDGEQIDRALLKNVLDIFVEIGMSQMDHYEDDFEAHMLQGTSAYYSVKAANWIREDSCPDYMIKAEECLKRERERVSHYLHSSSETKLVEKVQHELLVVHANQLLEKEHSGVHSLLRDDKLDDLSRMFRLYHKVPHGLEPVSNVFKQHITAEGTALVQQAEDAASSQAANGGVQEQVLIRKIIELHDKYMTYVTDCFQNHTLFHKAMKEAFEIFCNRTVAGSSSAEQLATFCDTILRKGGSEKLSDEAIEETLEKVVKLLAYISDKDLFAEFYRKKLARRLLFDRSANDEHERSILSKLKQQCGGQFTSKMEGMVTDLQLAKEHQSSFDEYLGNNPTTRTGIDLQVSVLTTGYWPSYKSSDINLPAEMARGVEVFKEFYDNKSKHRKLTWIYSLGSCHINARFDQKPIELVVTTYQACLLMLFNTSDKLGYPEIMTQSNLSDDDLPRLLHSLSCGKYKILSKEPNTKTVTQNDSFEFNRKFNDRMRRIKVPLPLVDERKKVVEDVHKDRRYAIDAAIVRIMKSRKVLGHQQLVMECVEQLTRMFKPDIKAIKKRIEDLISRDYLERDKENPNMFRYLA >Potri.012G140600.5.v4.1 pep chromosome:Pop_tri_v4:12:15274293:15276331:-1 gene:Potri.012G140600.v4.1 transcript:Potri.012G140600.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140600.v4.1 MVKITIVGRVIDGLPLAQGPRYVNEENDNFLCYKQQGEFILKEISRGALIPSMMTIRIDHHSLKKLAFHYLQDLQKEFERLDNSLVEKITRPYSFVKFDGVIGSIRKQYIDTRTQANLSKLNANRKKDLEIITEHISEILQRKRNSEISERLPATTPRTASPVWGSPLLEVLLLS >Potri.012G140600.1.v4.1 pep chromosome:Pop_tri_v4:12:15274325:15276330:-1 gene:Potri.012G140600.v4.1 transcript:Potri.012G140600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140600.v4.1 MVKITIVGRVIDGLPLAQGPRYVNEENDNFLCYKQQGEFILKEISRGALIPSMMTIRIDHHSLNYLIGNGACFMTLCDSSYPRKLAFHYLQDLQKEFERLDNSLVEKITRPYSFVKFDGVIGSIRKQYIDTRTQANLSKLNANRKKDLEIITEHISEILQRKRNSEISERLPATTPRTASPVWGSPLLEVIALKWTPITTIVAVAAILLWASLVLTDNFII >Potri.012G140600.4.v4.1 pep chromosome:Pop_tri_v4:12:15274325:15276331:-1 gene:Potri.012G140600.v4.1 transcript:Potri.012G140600.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140600.v4.1 MVKITIVGRVIDGLPLAQGPRYVNEENDNFLCYKQQGEFILKEISRGALIPSMMTIRIDHHSLKKLAFHYLQDLQKEFERLDNSLVEKITRPYSFVKFDGVIGSIRKQYIDTRTQANLSKLNANRKKDLEIITEHISEILQRKRNSEISERLPATTPRTASPVWGSPLLEVIALKWTPITTIVAVAAILLWASLVLTDNFII >Potri.012G140600.2.v4.1 pep chromosome:Pop_tri_v4:12:15274293:15276331:-1 gene:Potri.012G140600.v4.1 transcript:Potri.012G140600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140600.v4.1 MVKITIVGRVIDGLPLAQGPRYVNEENDNFLCYKQQGEFILKEISRGALIPSMMTIRIDHHSLNYLIGNGACFMTLCDSSYPRKLAFHYLQDLQKEFERLDNSLVEKITRPYSFVKFDGVIGSIRKQYIDTRTQANLSKLNANRKKDLEIITEHISEILQRKRNSEISERLPATTPRTASPVWGSPLLEVLLLS >Potri.012G140600.3.v4.1 pep chromosome:Pop_tri_v4:12:15273802:15276330:-1 gene:Potri.012G140600.v4.1 transcript:Potri.012G140600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140600.v4.1 MVKITIVGRVIDGLPLAQGPRYVNEENDNFLCYKQQGEFILKEISRGALIPSMMTIRIDHHSLNYLIGNGACFMTLCDSSYPRKLAFHYLQDLQKEFERLDNSLVEKITRPYSFVKFDGVIGSIRKQYIDTRTQANLSKLNANRKKDLEIITEHISEILQRKRNSEISERLPATTPRTASPVWGSPLLELLLGTKPSYVHHITNITEVEFPT >Potri.012G140600.6.v4.1 pep chromosome:Pop_tri_v4:12:15274325:15276331:-1 gene:Potri.012G140600.v4.1 transcript:Potri.012G140600.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G140600.v4.1 MTLCDSSYPRKLAFHYLQDLQKEFERLDNSLVEKITRPYSFVKFDGVIGSIRKQYIDTRTQANLSKLNANRKKDLEIITEHISEILQRKRNSEISERLPATTPRTASPVWGSPLLEVIALKWTPITTIVAVAAILLWASLVLTDNFII >Potri.003G080700.1.v4.1 pep chromosome:Pop_tri_v4:3:10798752:10801977:1 gene:Potri.003G080700.v4.1 transcript:Potri.003G080700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G080700.v4.1 MENTSDSDSQAVPDSSLPLPSEPPDIRNWFPSYQYESFVLDTYDVFGGPILKETDFECTRDGCLCIEEVNKGKETISCDATRIGNNSSDDNQHEDQSFNKMPDSLCSLSLLSEPPDIRNWFPSYEYESPVLDTAEYFIESVIKESEGEKDGFAIEESKNRREENAGDLSNSGNNNEVRANEKPSSNGSIKCKSSFGDVCDNKPLSKVPDSSQSSSFLSEPPDIRNWFPSYVYESPALGESVGFLHDKTEREGDDFANQDSKRDKETNSWKLGQNRSSDEIEHSNGFLKFNSSLGEHKQEKLLNKVSPSQDSWDVEKEGSSILDNLHHEGELSMEDESVLSHAIVPTKDVEKPSLRLEVPPFKQPQMQNLVQEVGFMSVTSNVRSSDKSATRLNCRKDSAENLVKAQTEIDLVSPRGNVNFVRGAGVFSMSQSTHGRSNNKENEGKEAQRNGFVTTRKSRIAKPNAENSLDMHRQQQILLECPKGRGMTSRDGEKDAAVKRKVLSEVSNLEHPDVIGVTGKWKCPQKSKPNLGPPMKQLRLERWVHRL >Potri.006G271400.1.v4.1 pep chromosome:Pop_tri_v4:6:26425715:26430723:-1 gene:Potri.006G271400.v4.1 transcript:Potri.006G271400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G271400.v4.1 MMAAAAVRRGGSEAFFTGSHFQRCQMKMTGMILPSPTTSSMLSINHSNSISSSSSSCSSTYQHKNDASSSFRNIDDALASFNHMLHRKPLPCIIQFAKLLSAIVKMGQYYDVVISLSKQMELVGLSPNIYTLSIFMNCFCQLQRVDLGFSVLAKVIKLGLQPTIVTFTTLINGLCKVGEFAQAVELFDDMVAKGCQPDVYTYNTIINGLCKIGETAAAAGLLKKMEEAGCQPNMVTYSTLIDSLCRDRLVNEALDIFSYMKAKGISPDIFTYTSLIQGLCKFSRWKEASALLNEMTSLNIMPDIVTFNVLVDTFCKEGKVSEALGVLKTMTEMGVEPNVVTYSSLMYGYSLWTDVVEARKLFHVMITKGCKPNIFSYNILINGYCKAKRIDEAMQLFNEMIHQGLTPNNVSYNTLIHGFCQLGKLREAQDLFRNMCTNGNLPDLFTYSILLDGFCKQGYLGKAFRLFRAMQSTYLKPNLVMYTILVHAMCKSGNHKDARKLFSELFVQGLQPHVQLYTTIINGLCKEGLLDEALEAFRNMEADGCPPDEISYNVIIRGLLQHKDESRALLLVGEMRDRGFIADVRPCLSEVCQAWNSRSIS >Potri.006G067500.1.v4.1 pep chromosome:Pop_tri_v4:6:4937297:4942412:-1 gene:Potri.006G067500.v4.1 transcript:Potri.006G067500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067500.v4.1 MKSRRCHHHQLLFTFLLFFSQKIELLTAEAFTGTYGINYGRIADNIPSPDEVATLLRAAKIRNVRIYDADHSVLEAFSGTGLQLVVGLPNGYLKDMSANASHAMSWVKENVQAFLPKTSICGIAVGNEVLGGGDNELWEALLGAVKNVYKAINKLGLADAVQITTAHSQAVFANSYPPSSCIFEENVAQYMKPLLEFFSQIGSPFCLNAYPFLAYTYNPENIDINYALFEKTKGIYDMKTDLHYDNMLDAQIDAAYAALEDAGFKKMEVIVTETGWASRGDDNEAAATVNNARTYNYNLRKRLAKKKGTPLRPKMVVKAYIFAVFNENLKPGPTSERNFGLFKPDGSISYDIGFHGLKSSSADSSLLSLKAVQAQGLSKSYILILTISAAASFLFLKQ >Potri.006G067500.3.v4.1 pep chromosome:Pop_tri_v4:6:4937958:4942049:-1 gene:Potri.006G067500.v4.1 transcript:Potri.006G067500.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067500.v4.1 MKSRRCHHHQLLFTFLLFFSQKIDNIPSPDEVATLLRAAKIRNVRIYDADHSVLEAFSGTGLQLVVGLPNGYLKDMSANASHAMSWVKENVQAFLPKTSICGIAVGNEVLGGGDNELWEALLGAVKNVYKAINKLGLADAVQITTAHSQAVFANSYPPSSCIFEENVAQYMKPLLEFFSQIGSPFCLNAYPFLAYTYNPENIDINYALFEKTKGIYDMKTDLHYDNMLDAQIDAAYAALEDAGFKKMEVIVTETGWASRGDDNEAAATVNNARTYNYNLRKRLAKKKGTPLRPKMVVKAYIFAVFNENLKPGPTSERNFGLFKPDGSISYDIGFHGLKSSSADSSLLSLKAVQAQGLSKSYILILTISAAASFLFLKQ >Potri.006G067500.2.v4.1 pep chromosome:Pop_tri_v4:6:4939542:4942384:-1 gene:Potri.006G067500.v4.1 transcript:Potri.006G067500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G067500.v4.1 MKSRRCHHHQLLFTFLLFFSQKIELLTAEAFTGTYGINYGRIADNIPSPDEVATLLRAAKIRNVRIYDADHSVLEAFSGTGLQLVVGLPNGYLKDMSANASHAMSWVKENVQAFLPKTSICGIAVGNEVLGGGDNELWEALLGAVKNVYKAINKLGLADAVQITTAHSQAVFANSYPPSSCIFEENVAQYMKPLLEFFSQIGSPFCLNAYPFLAYTYNPENIDINYALFEKTKGIYDMKTDLHYDNMLDAQIDAAYAALEDAGFKKMEVIVTETGWASRGDDNEAAATVNNARTYNYNLRKRLAKKKGTPLRPKMVVKAYIFAVFNENLKPGPTSERNFGLFKPDGSISYDIGFHGLKSSSADSSLLSLKALFDKIFNKPSEILKTLLETIYISLLKWKSKKRGKESSTIPEALCFVDEEWAHVHIFSNCRSPISQITSLYGIL >Potri.013G145400.1.v4.1 pep chromosome:Pop_tri_v4:13:14313603:14318252:-1 gene:Potri.013G145400.v4.1 transcript:Potri.013G145400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145400.v4.1 MANGAEREMVLLFSEEELREMSGVKRGGDYIEVMCGCTSHRYGDAVGRLRVFINGELEITCECTPGCDEDKMTPAAFEKHSGRETARKWKNNVWVIVNGEKVPLVKTVLLKYYNQASKNGNGSHRSHNGRVCHRDEFVRCNKCNKERRFRLRSKEECRIHHDALADANWKCADMPYDKITCDDDEERASRRVYRGCTRSPTCKGCTSCVCFGCEICRFSDCSCQTCTDFTRNAKV >Potri.013G145400.3.v4.1 pep chromosome:Pop_tri_v4:13:14313831:14316181:-1 gene:Potri.013G145400.v4.1 transcript:Potri.013G145400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G145400.v4.1 MVILMQDKMTPAAFEKHSGRETARKWKNNVWVIVNGEKVPLVKTVLLKYYNQASKNGNGSHRSHNGRVCHRDEFVRCNKCNKERRFRLRSKEECRIHHDALADANWKCADMPYDKITCDDDEERASRRVYRGCTRSPTCKGCTSCVCFGCEICRFSDCSCQTCTDFTRNAKV >Potri.019G120000.3.v4.1 pep chromosome:Pop_tri_v4:19:14595353:14600059:1 gene:Potri.019G120000.v4.1 transcript:Potri.019G120000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120000.v4.1 MNFDGQIKFFLWRNVTWTLNWWEPSDRCSLFDACGTFSSCNSLNRIPCKCLPGFQPKSPDNWKLGNFSEGCERMSPLCSKDVVQNFLELKSMEAGKPDVDYDYSDENECMNECLSKCYCQAYSYQKAEKGDNNFTCWIWFKDLINVQEQYEGGRDLNVRVPLSVIASVKRKCQICGTTIIPYPLSTGPNCGDKMYFSFHCDDSSGQLSFEIPGGAYYSVTGIDEELQKFSIHVEDADCKAIESMGNYTQRNQSWPFHVIGRCDANRSNILLGSSFEDTGFAEVEIRWAKPSEPLCNSLDECNDWPHSTCSSATDGTKRCLCNKSFWWDPKTVNCISASTKKRRSLYLVLLGVIAASVIILCASFFLYHLRRSTKVTGRENRENNQGNVAFHLNDTERRPRDLIYADHFTVDDKKGIDVPFFDMECILAATDNFSGANKLGQGGFGPVYKGKLPGGQEIAIKRLSYGSGQGLEEFKNEITLIVKLQHRNLVRLLGYCAEGCEKMLLYEYMPNKSLDVFIFDRTLCMLLNWELRFNIIMGIARGLLYLHRDSRLKIIHRDLKTSNVLLDEEMNPKISDFGLARILRGKQTEANTQRVVGTYGYMAPEYAMDGDFSTKSDVFSFGVVVLEILSGKRNAAFYKSDQNFSLSAYAWRLWKEEKVLDLMDRALCETCDANEFVRCVNVGLLCVQEHQWDRPTMSNVVFMLGSDTASLPTPKKPAFAASRSLFNTASSSSNADSYVDLTNTLEQGR >Potri.019G120000.1.v4.1 pep chromosome:Pop_tri_v4:19:14595360:14600074:1 gene:Potri.019G120000.v4.1 transcript:Potri.019G120000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G120000.v4.1 MMDVKERTRIQTAHITISATNMVSSIFSSFTLFLFLSSWMCSARDNMTSSTPLRDEMGHTLVSSGERFELGFFTPYGRNDGKKYLGIRYRYSPQTVVWVANRENPLDNSRGVFSLEQDGNLQVMDGNRTSYWSARIESTSSSFSFTRRLKLMDSGNLVLIQEAANGSAILWQSFDYPTDTFLPGMKMDKNFMLTSWKSSIDPASGDFKFQLDERENQYIIMKNGSIPYWKSGVSGSSVRSDERLWLVSNLLMNSSRKPSRPLGNTTTTNGSPYNKINSTAVNYNNARLVMNFDGQIKFFLWRNVTWTLNWWEPSDRCSLFDACGTFSSCNSLNRIPCKCLPGFQPKSPDNWKLGNFSEGCERMSPLCSKDVVQNFLELKSMEAGKPDVDYDYSDENECMNECLSKCYCQAYSYQKAEKGDNNFTCWIWFKDLINVQEQYEGGRDLNVRVPLSVIASVKRKCQICGTTIIPYPLSTGPNCGDKMYFSFHCDDSSGQLSFEIPGGAYYSVTGIDEELQKFSIHVEDADCKAIESMGNYTQRNQSWPFHVIGRCDANRSNILLGSSFEDTGFAEVEIRWAKPSEPLCNSLDECNDWPHSTCSSATDGTKRCLCNKSFWWDPKTVNCISASTKKRRSLYLVLLGVIAASVIILCASFFLYHLRRSTKVTGRENRENNQGNVAFHLNDTERRPRDLIYADHFTVDDKKGIDVPFFDMECILAATDNFSGANKLGQGGFGPVYKGKLPGGQEIAIKRLSYGSGQGLEEFKNEITLIVKLQHRNLVRLLGYCAEGCEKMLLYEYMPNKSLDVFIFDRTLCMLLNWELRFNIIMGIARGLLYLHRDSRLKIIHRDLKTSNVLLDEEMNPKISDFGLARILRGKQTEANTQRVVGTYGYMAPEYAMDGDFSTKSDVFSFGVVVLEILSGKRNAAFYKSDQNFSLSAYAWRLWKEEKVLDLMDRALCETCDANEFVRCVNVGLLCVQEHQWDRPTMSNVVFMLGSDTASLPTPKKPAFAASRSLFNTASSSSNADSYVDLTNTLEQGR >Potri.001G347100.1.v4.1 pep chromosome:Pop_tri_v4:1:35880328:35886380:1 gene:Potri.001G347100.v4.1 transcript:Potri.001G347100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G347100.v4.1 MAATATASSVGPRYAPEDPTLPKPWRGLVDGKTGYLYFWNPETNVTQYERPTLPKSVSSLPITSSVQVHQSSHRGYNPSVKEDDRYGRANNAGSKPDAVTRSISSSNQSARGAAIQSENVPNGTANGLSARVYGSSAGGSGMSGEAYRRRHEITVTGDEVPPPLTSFETTGFPSEILKEVLNAGFSAPTPIQAQSWPIALQSRDIVAVAKTGSGKTLGYLIPGFIHLKRSCNDPRLGPTVLVLSPTRELATQIQVEAVKFGKSSRFSCTCLYGGAPKGPQLKELDRGADIVVATPGRLNDILEMRRVSLSQVSYLVLDEADRMLDMGFEPQIRKIVKEVPARRQTLMYTATWPKEVRKIAADLLVNPVQVNIGNVDELVANKSITQYVELLAPLEKHRRLEQILRSQESGSKIIIFCSTKKMCDQLSRNLTRQFGAAAIHGDKSQSERDYVLSQFRTGRSPILVATDVAARGLDIKDIRVVINYDFPTGVEDYVHRIGRTGRAGATGVAYTFFGDQDAKHASDLIKVLEGANQQVPPEIRDMASRGGGGMGRFRRWGSGGHDGGRGGRSDFGYGGRGSWGMSNSSSSRPERGGGRGNDHESRDRYDRGYGDGHDKGHNHNRSPDKGSGWGDRSKSWNHDKSHSRSPDRHDRAPPVRSFHQAMMEKGRASIPVQIQHERSRSPSAGGGGSSFHKAIMERGRASPPRQVHRERSRSPYHGSGGSFHKEMIEQSRPSSYHAQQERGRSPSSGHDRGFNAGPRSYVGEEEEEGMIPADEDGMIPPDDNGMFRGAEEKRH >Potri.002G175200.1.v4.1 pep chromosome:Pop_tri_v4:2:13535704:13538236:1 gene:Potri.002G175200.v4.1 transcript:Potri.002G175200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G175200.v4.1 MRTDIDNFWIFALASKCRVFTQENIAWSLLIMGLAWIATTLIYWAYPGGPAWGKYKLKNTSFTISKPIPGPRGLPLIGGMRLMTSLAHHKIAAAADACKARRLMAFSLGDTRVIVTCNPDVAKEILNSSVFADRPVKESAYSLMFNRAIGFAPYGVYWRTLRKIASTHLFCPKQIKTAASQRRRIASETVSMFNDHEGSGFTVRGILKRASLNNMMCSVFGREYELDSCNSEVEELRALVDEGYDLLGTLNWTDHLPWLADFDPQKIRFRCSNLVPKVNRFVSRILAEHRAQAGNETPDFVDVLLSLQGHDKLSDSDMIAVLWEMIFRGTDTVAVLMEWILARMVLHPDVLSKVHDELDKVVGRSRAVAESDITAMVYLQAAVKEVLRLHPPGPLLSWARLAITDTTIDGYHVPKGTTAMVNMWAISRDPDSWEDPLEFMPERFVTKKGELEFSVLGSDLRLAPFGSGRRTCPGKTLGLTTVTFWVASLLHEYEWLPCDGNKVDLSEVLGLSCEMANPLTVKLRPRRSHYKPTVLGVQNYVLDV >Potri.010G066400.1.v4.1 pep chromosome:Pop_tri_v4:10:9481864:9484041:1 gene:Potri.010G066400.v4.1 transcript:Potri.010G066400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G066400.v4.1 MSKRGRGGSAGNKFRMSLGLPVAATVNCADNTGAKNLYIISVKGIKGRLNRLPSACVGDMVMATVKKGKPDLRKKVMPAVIVRQRKPWRRKDGVFMYFEDNAGVIVNPKGEMKGSAITGPIGKECADLWPRIASAANAIV >Potri.011G118900.2.v4.1 pep chromosome:Pop_tri_v4:11:15003494:15004145:1 gene:Potri.011G118900.v4.1 transcript:Potri.011G118900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G118900.v4.1 MSSTCDNCDCADKTQCVKKGSSYTADIVETEKSHVYTGVMEVPATENDGKCKCGANCTCTTCTCGH >Potri.008G032000.1.v4.1 pep chromosome:Pop_tri_v4:8:1717521:1720495:1 gene:Potri.008G032000.v4.1 transcript:Potri.008G032000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G032000.v4.1 MAGSFSKGGNNSYDYSFKILLIGDSGVGKSSLLLSFISSSVRDLSPTIGVDFKVKMLTVGGKRLKLTIWDTAGQERFGTLISSYYRGAHGIILVYDVTRRETFENLSDIWAKEVELYSTNHDGIKILVGNKVDRDSERAVSREEGMALAQQHKCSFFECSAKTSENVLQCFKELTLKILEVPSLLENGSVVVKQQIMKDKQVYQAPRGGGSCCS >Potri.010G213000.3.v4.1 pep chromosome:Pop_tri_v4:10:20126894:20129628:1 gene:Potri.010G213000.v4.1 transcript:Potri.010G213000.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G213000.v4.1 MSPAVPLSEIQIENVTFPPAVKPPASNNTLFLGGAGVRGLEIEGKFIKFTAIGVYLEDNSLQSLAAKWKGKIAKELTDSVEFFRDIVRGPFEKFMRVTMILPLTGLQYSEKVAENCVSIWKSLGIYTDAEAKAIEKFREVFKEETFPPGSSILFTLSPHGSLAISFSKDGSVPEIENAVIENKLLSEAVLESMIGKHGVSPAAKQSLATTLSELLKESNENGN >Potri.010G078950.2.v4.1 pep chromosome:Pop_tri_v4:10:10543501:10545442:-1 gene:Potri.010G078950.v4.1 transcript:Potri.010G078950.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078950.v4.1 MHTTQARYYSSRSKRSQFFHVFCNLNGFLGPRPSQLSSLLHKHNMVAEQSIAQFEEIRIRTSSRRRKQFACLLLFLSKQQPLIEGLIEVLCTILFPGKPALILLSNLPSLQSLRPQHNMGRHH >Potri.010G078950.1.v4.1 pep chromosome:Pop_tri_v4:10:10543501:10545381:-1 gene:Potri.010G078950.v4.1 transcript:Potri.010G078950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G078950.v4.1 MHTTQARYYSSRSKRSQFFHVFCNLNGFLGPRPSQLSSLLHKHNMVAEQSIAQFEEIRIRTSSRRRKQFACLLLFLSKQQPLIEGLIEVLCTILFPENVWLWVASQHQSNQYLDDWTRANLFGTPP >Potri.004G172600.1.v4.1 pep chromosome:Pop_tri_v4:4:18791504:18792578:1 gene:Potri.004G172600.v4.1 transcript:Potri.004G172600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G172600.v4.1 MGERQTGKVKWFSDQKGFGFISPDDGSDDLFVHQSSIKSEGYRSLGDGEEVEFVIENSDDGRTKAVDVTAPGGNPVQGIRSGGGFGGGSGGRGGGRGGGGYGGGGGYGGGGGGYGGGGYGGGRRGGGGGGYGGGGGYGGGGGGGGGCYSCGESGHMARDCPQGGSGGGGGGGRYGGGNGGGGGGGGGGCYNCGGSGHFARDCPNSGR >Potri.008G195500.2.v4.1 pep chromosome:Pop_tri_v4:8:13799753:13805232:-1 gene:Potri.008G195500.v4.1 transcript:Potri.008G195500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G195500.v4.1 MAAFVIKSLVSQITAKHSPSDHTSSRIEIKSALISVKRRCGSKIPVLAASIHSENDQSIEAQKKKNGNAKNIQSNLLQDAEYDVASKDAHPRPLSSSHLSNSVSNGSRLRVAYQGVRGAYSESAAQKAYPNCEAVPCEQFDTAFESVERWLVDRAVLPIENSLGGSIHRNYDLLLRHRLHIVGEVKYAVRHCLLANHGVKVEDLKRVLSHPQALAQCENTLTKLGLVREAVDDTAGAAKHVALQKLEDTGAVASSAAASIYGLNILAEDIQDDSDNVTRFLILAREPIIPGTDRPFKTSIVFSLEEGPGVLFKALAVFALRQINLTKIESRPLRKQPLRASDDGNSGLPKYFDYLFYVDFEASMADENAQNALRHLKEFATFLRVLGSYPVHTSMV >Potri.014G112000.1.v4.1 pep chromosome:Pop_tri_v4:14:7546300:7549867:1 gene:Potri.014G112000.v4.1 transcript:Potri.014G112000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G112000.v4.1 MMFMRRHGWQRPLHPLQMVGMTVYSFLVVAFYAFLGLFLGNRIAEITVTTIFTFVAVSVMFLFIRCIAIDPTDKTRFRKKKRAKSNGFSKLNYGFILSQIFVRFFRRIERKILKTFIRRKYLDPLKVSAQMEPLLPFPLVMKDDAVSPDPKDDDISYCSLCDFEVKKHSKHCRTCNRCVEGFDHHCRWLNNCVGKRNYTTFILLMIFVLLMLIIEGGTATAIFVRCFTDKKGIERELERRLHVEFPRAVLATISVLLVLMSAYSSAAMGQLFFFHVVLIRKGMRTYDYILAMREVNESMELDPFDDSDFSSDSDFDSPKKSTIVSRFICGHRGNQNPASLSIRIDRDPESSTLTKKQGFHASINPWKLIKLSKEKALLAAEKTRERIMKQKPVEQPLRPLPLETKCGPLMNQDKNMTTMESGSTPLVSKGRAPVSPGRFSSPRRRFSGSQSMFSGFIPSPKNKYRSSFDLKLTEVSRELETYISKQVLCSVMKKDAHEASPK >Potri.004G066100.3.v4.1 pep chromosome:Pop_tri_v4:4:5685471:5691711:-1 gene:Potri.004G066100.v4.1 transcript:Potri.004G066100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066100.v4.1 MVESGKRSRPQRDYDGDTNNQKRHKDNKGTDNDELVVYRILCPDEVIGSVIGKSGKVINSIRNESRARVKVVDPFPGAMYRVITIYCNVKEKGDADVDDDFHQADPLCPAQDALLKVHAAISNAVAALGDSDKRCRDKKECQILVPTSQSANIIGKAGATIKKLRSKTRANIKITAKDASDPTHSCAMDFDNFLLITGESEAVKKALFAVSAIMYKFSPKEEIPLETTVPEAPPSIIISSDVPIYQPGGFYPNADPIVSSRSVPPILGATHIPEFQGYGDMRSSWPIYSSTVPVVPSFGNTSRSELIIRLLCPFDKIGRVIGKGGSTIKSIRQVSGARIEVDDTKADRDECIITVIATESPDDLKSMAVEAILLLQGKINDEDNDIVGIRFLVPSKVIGCIIGKSGAIVNEIRKRTNADVCISKVDKLKCADSNDELVEVAGEVGSVRDALVQIVLRLRDDVLKEKDGGLNSSVGTDSVYPVHAGISIPSILPSVPPVVPMGYDQRAESGSGLGLFSSSSLYGYGSLPMGENSYGSLASYSLSKLYEGLPPPSTLEMLVPANAVGKVIGKGGANIANIRKISGAMIEISDAKSARGDRIAYISGKPEQKQAAENLIQAFIMAT >Potri.004G066100.2.v4.1 pep chromosome:Pop_tri_v4:4:5682531:5692222:-1 gene:Potri.004G066100.v4.1 transcript:Potri.004G066100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G066100.v4.1 MVESGKRSRPQRDYDGDTNNQKRHKDNKGTDNDELVVYRILCPDEVIGSVIGKSGKVINSIRNESRARVKVVDPFPGAMYRVITIYCNVKEKGDADVDDDFHQADPLCPAQDALLKVHAAISNAVAALGDSDKRCRDKKECQILVPTSQSANIIGKAGATIKKLRSKTRANIKITAKDASDPTHSCAMDFDNFLLITGESEAVKKALFAVSAIMYKFSPKEEIPLETTVPEAPPSIIISSDVPIYQPGGFYPNADPIVSSRSVPPILGATHIPEFQGYGDMRSSWPIYSSTVPVVPSFGNTSRSELIIRLLCPFDKIGRVIGKGGSTIKSIRQVSGARIEVDDTKADRDECIITVIATESPDDLKSMAVEAILLLQGKINDEDNDIVGIRFLVPSKVIGCIIGKSGAIVNEIRKRTNADVCISKVDKLKCADSNDELVEVAGEVGSVRDALVQIVLRLRDDVLKEKDGGLNSSVGTDSVYPVHAGISIPSILPSVPPVVPMGYDQRAESGSGLGLFSSSSLYGYGSLPMGENSYGSLASYSLSKLYEGLPPPSTLEMLVPANAVGKVIGKGGANIANIRKISGAMIEISDAKSARGDRIAYISGKPEQKQAAENLIQAFIMAT >Potri.005G160500.1.v4.1 pep chromosome:Pop_tri_v4:5:15474290:15476468:-1 gene:Potri.005G160500.v4.1 transcript:Potri.005G160500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G160500.v4.1 MSLDSLKMAYPYYSPPPPSPPPPPPTSQVLCNPITTSPPPKHHRRPPPHPQLKPPPPPPKHHHRPPPHPQLKSSPPPPRSPSYQYDCRHPPLTPVKAPPCQDFAPSPSPSTTPYSKPPTPTSTAPTQPPSHRKPTLPKPSSPLPSYAPSPAPPYASSPPKQTTTSPTPMPSPYKPTPPKPSSPPSHIVPAPEPTPTGHTPMPSPYKPTPPKPSSPPSHTPGPVPPPESTPTRPAPVPSPYKHTPPKPSYPPSHTPGPVPPPEPTPTGRAPVPSPYKHTPPKPSYPPSHTPGPVPPPEPTPTRPAPVPSPYKPTPPKPSYPPSHTPGPVPPPEPTPTRPAPVPSPYKPTPPKPSYPPSHTPGPVPPPEPTPTRPAPVPSPYMPGPPQPLKPPSYAPGSAPPPKLAWAPSPDKPTSPKPPHATPPSYHVSPPTPLNGPVSPPKLPPYATPPQYNIPPPPKPSSAPPVYAAPPTFNSVPPSSNVVSPPPGGNHTTVIVVCVSLGGVFFLAFLLIGLICLAKKKKKPVMVPVAPVCIEEHEVIQETITTGQSEEETATVSMEDDVRIHGVLGVVGSGSSSNTSSPSGPSSPSESGRQPKG >Potri.003G204450.1.v4.1 pep chromosome:Pop_tri_v4:3:20351168:20354434:-1 gene:Potri.003G204450.v4.1 transcript:Potri.003G204450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G204450.v4.1 MGKQGKSGHLSLMIHAIAICLVATSVVAYEPYSYKSSPPLLKSSPPYYYKSPPPPSSSPPSPILYTSPPPPKKSPPPSYHYTSPPPPKKSPHPLYHYKSPPPPKKSPHLPYYYKSPPPPSPSPPPPYHYTSPPPPKKSPPPPYHYTSPPPPKKSPHPSYYYKSPPPPSSYHYTSPPPPKKSPPPLYHYTSPPPSKKSPHPLYHYKSPPPPKKSPHPPYYYKSSPPPSSYHYTSPPPPKKSPPPLYHYSSPPPSKKSPHPLYHYKSPPPPKKSSHPPYYYKSPPPPSSSPPPSYHYTSPPPPKKYPSPPYHHTSPPPPKKSPHPSYYNYRSPPPPSSSPPPSYHYTSPHPPKKSPPPTHHYTSPPPPKKSHHPPHYYKSPPPPSLSPPPPYHYTSPPPPKKSPPPPYHYASPPPPKKSPPPPYYYKSPPPPSPSPPPPYQYTSPPPPKKSPPPPYHYASPPPPKKSPPPLYYYKSPPPPSPSPPPPYQYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYYYKSPPPPSPSPPPPYQYTSPPPPKKSPPPPYHYASPPPPKKYPPPPYYYKSPPPPSPSPPPPYQYTSPPPPKKSPPPPYHYTSPPPPKKSPPPPYSYKSPPPPSPSPPPPYQYTSPPPPKNSPPPPYYYKSPPPPSPSPPPPYQYTSPPPSKKSPPPPYHYASPPPPKKSPPPPYSYKSPPPPSPSPPPPYQYTSPPPPKKSPPPPYHYASPPPPKKSPPPPYSYKSPPPPSPSPPPPYQYTSPPPPKKSPPPPYHYASPPPPKKSPPPPYYYKSPPSPSPSPPPPYQYTSPPPPKKSPPPPYHYASPPPPKKAPPPSYSYKSPPPPSPSPPPPYQYTSPPPPKNSPPPPYYYKSPPPPSPSPPPQYHYTSPPPPKRSPPPPYHYTSPPPPKKSPPPHYYKSPPPPSSSPPPPNYYTSPPPLVKSSPPPYYYTSPPPPSSSPPPPYYYRSPPPPTHSPPPPHIYA >Potri.010G057100.1.v4.1 pep chromosome:Pop_tri_v4:10:8784750:8789628:-1 gene:Potri.010G057100.v4.1 transcript:Potri.010G057100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G057100.v4.1 MYAVKQVYSPFKPELTFRRSTAASRTWKHGFFWQTWVPSGSKVICTPGSIRAVISNDDKALEQPNKETDNKEVNGTVLSSTSDKLGRGGIDVRAVITIRKKMKEKINEKIEDQWEYFINGIGRGISIQLVSEEIDPETNSGKSVRAFVRGWLPKPSNNEHIFEYAADFTVPFDFGNPGAILVSNLHGKEVYLMEIVVHGFDEGPIFFPANTWIHSCKDNPDDRIIFRNQAYLPSQTPPGIKDLRREDLLSLRGNGKGKRKPHDRIYDYALYNDLGNPDKDEELARPALGCEKWPYPRRCRTGRSPTKKDPNCETRVEKPHPVYVPRDETFEEIKQNTFSTGRLKALLHNLIPAISATLSSSDIPFTCFSDIDKLYNDGFVLKSDELNEIAQNPFLGNLMKQVLSVGERLLKYETPIVIKRDRFAWLRDSEFARQTLAGVNPVNIEILKEFPILSKLDPAVYGPPESALTKRLIEQELNGMSVEKATEENRLFILDHHDMLLPFMEKMNSLPGRKAYASRTVFFHDRANMLRPIAIELSLPQSPSSPGEKRVYTHGHDATTHWIWKLAKAHVCSNDAGVHQLVNHWLRTHACMETYIIATHRQLSAMHPIYKLLHPHMRYTLEINAIARQSLINGGGIIETCYSPGKYSMEISSAAYQNMWRFDMEALPADLVRRGMAVEDPSMPCGVRLVIEDYPYASDGLLIWSAIKEYVESYVDHFYSEPNFVKSDIELQTWWDEIKNKGHFDKRNEPWWPKLNTKEDLSGILTTIIWIASGQHAAINFGQYPFGGYVPNRPTLLRKLIPLENEHDYEKFIRNPQLTFLSSLPTQLQATKVMATQDTLSTHSPDEEYLGQVSHLHSHWINDHDIVELFNRFSARLEEIEEIIHLRNKDVRLKNRSGAGVPPYELLLPTSGPGVTGRGIPNSISI >Potri.004G133700.2.v4.1 pep chromosome:Pop_tri_v4:4:15507860:15518902:1 gene:Potri.004G133700.v4.1 transcript:Potri.004G133700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133700.v4.1 MENGGRERKLADKLSGLSFNNNNDSSQNDNNNNNNNSLFQVMKAVEAAEATIRQQVEENTLLKSELQSKTQQLEKYKIEEPLTERSHSVDQWNERVHQSASSAVAGDPTSVLVPNNGDPATQSNLWKQDFALKVRENEEEIMQLRKHLADYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYELQAAQQERSAFVSSLLPLLAEYSLQPPVLDAQSIVSNVKVLFRHLQEKLIHTESKLKESQYQLAPWRSDVNHSNFAPQSPSHAALSKSGLELVPQPSYSHGKIPMTSSDTQTAADWDPLSHHQSGLAGGAAKNLDLDDAGRYSPLASRNSAAHDTPTHFTVSRGDAQAIHYGEETTNKQVMFRDPVSNSELDDPEAEGQLNEREPPANWGSGNPLYSNTLDDPGTSYSPYLPPVLEEPSSSFSEAADDDPLPAIEGLQISGEALPGRELQACGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPNYLVTADDVETYLAIEVQPLDDRKRKGELVKVFANEHKKIRYDPEMHEHIQKTVYSGHVSYKVGLTTGFIDIWEPATLAIKREGYSIKSESGGVAVTEKFSPTINVTIPYGHALEFILTDSTGRQHLLQADDNSTDISYARDTIVLTLRLFIKQFGERKKGKKRSIFSIK >Potri.004G133700.6.v4.1 pep chromosome:Pop_tri_v4:4:15507860:15518892:1 gene:Potri.004G133700.v4.1 transcript:Potri.004G133700.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G133700.v4.1 MENGGRERKLADKLSGLSFNNNNDSSQNDNNNNNNNSLFQVMKAVEAAEATIRQQVEENTLLKSELQSKTQQLEKYKIEEPLTERSHSVDQWNERVHQSASSAVAGDPTSVLVPNNGDPATQSNLWKQDFALKVRENEEEIMQLRKHLADYSVKEAQIRNEKYVLEKRIAYMRLAFDQQQQDLVDAASKALSYRQDIIEENIRLTYELQAAQQERSAFVSSLLPLLAEYSLQPPVLDAQSIVSNVKVLFRHLQEKLIHTESKLKESQYQLAPWRSDVNHSNFAPQSPSHAALSKSGLELVPQPSYSHGKIPMTSSDTQTAADWDPLSHHQSGLAGGAAKNLDLDDAGRYSPLASRNSAAHDTPTHFTVSRGDAQAIHYGEETTNKQVMFRDPVSNSELDDPEAEGQLNEREPPANWGSGNPLYSNTLDDPGTSYSPYLPPVLEEPSSSFSEAADDDPLPAIEGLQISGEALPGRELQACGYSINGTTSCNFEWVRHLEDGSVNYIEGAKQPNYLVTADDVETYLAIEVQPLDDRKRKGELVKVFANEHKKIRYDPEMHEHIQKTVYSGHVSYKVGLTTGFIDIWEPATLAIKREGYSIKSESGGVAVTEKFSPTINVTIPYGHALEFILTDSTGRQHLLQADDNSTDISYARDTIVLTLRLFIKQVWFLYLLFCPLLLCEFHGIL >Potri.003G122300.7.v4.1 pep chromosome:Pop_tri_v4:3:14310884:14316061:1 gene:Potri.003G122300.v4.1 transcript:Potri.003G122300.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G122300.v4.1 MARPNQEAIETFISITGVAEDVAVQKLEEHGGDLNAAVNTHFSEGDRSAMRQPSIPSLQDDVMDIDDDPIEVAPPPRRNPLSIPAESGAMNSFSLLEPLFQRGLFDTGSDFMNQAPFVSQPRELREIPIEVKDDSDASGHSVHAPIIEDVTGTEHAQGPGIQGTVIAEDDDDDILTDINARATQRDSSLDGHFRPSAPEFDNLPDYSNDIEEEMVRAAIEASKQEAQELTETGPQQRQSHGEDAELEHVDSLSLKTAEQEKTLHGQRGKVGPSEVGDNAVEEGQGKIAASNGGQEAGSSSIQDEAEDVEDQPLIRHRSRQPSSGSLESAREVGVAEASPPSSPGQSNIGSHPLHNGDAFSDEWGGISSEEHDEAVMLEAAMFGGIPEGTGYRFPYTPHHQFMQNENHYPRPVPRPPSPSLQAQRLIREQQDDEYLASLAADREKEMKAIEEAEARRVQEEVARKAALEEERRKEEESRRKLEEEQEFERLLAAKEASLALEPSSNDENAVTLLVRMPDGSRRGRRFLKSDKLHALFDFIDIGRVVKPGTYRLVRPYPRRAFSDGEGALTLNELGLTSKQEALFLELI >Potri.014G035700.1.v4.1 pep chromosome:Pop_tri_v4:14:2250389:2253895:1 gene:Potri.014G035700.v4.1 transcript:Potri.014G035700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G035700.v4.1 MAVTDLFAGEIATELLKLLFSISRKSRPCKSSAESLMASVNELVPIIQEIKYSGVELPSNRQFQLDSLSRTLTEGLELSRKVLASNRWNVYKNLQLARKMEKMEKKISTFINGPLQAHILADVHHMRFETTERFDKLDWSAKRLEERIGNLKIGLGGGGGGGVGGWMDEAVKRVEEERKWDGSFGQNFYLGLGIEEGKRKVKEMVFEREIFNVVGICGIGGSGKTTLANEICRDDQVRRHFENRILFLTVSQSPNVEQLRAKILGFITGADGMGGMGNDLVQKSSFQFEWRIGAPALIVLDDVWSVKVLEQLIYKVAGCKTLVVSRFKFPTVFDATYNVELLRGDEAISLFCHSAFGKTSIPPAADSNLVKQIVDECKGLPLALKVIGASLRDQPEMYWASAKKRLSRGEPICESHESKLHDRMAISTQFLSKKVRECFLDLGSFPEDKKIPLDVLINVWVEIHDLDDEEAFAILVELSDKNLLTLVRDARAGDKYSSYYEICVVQHDVLRDLAIHLSSCGDVNECKRLLMPRREAQLPKEWERNADRPFNAQIVSIHTGEMNEMDWFRMDFPKAEVLILNFSANEFLLPPFIDDMPKLRALVMINYSTSNAIVGNFSVFSNLANLKSLWLEKVSLARLSEFTVPLKKLRKISLILCKINNSLDDSVIDLSHIFPCLLEITIDHCEDLIRLPSSISRMQSLKSLSITNCHNLEKLPPNLGNLKSLQILRLYACPTLKMLPPSISDLVCLKFLDISQCVNLKALPEGIGKLSRLEKIDMRECSLMKLPYSVASLESLRVVICDEDVSWLWMDLKKVNLDVQVAEKCFSLDWLDDC >Potri.009G101600.2.v4.1 pep chromosome:Pop_tri_v4:9:8972418:8973791:1 gene:Potri.009G101600.v4.1 transcript:Potri.009G101600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G101600.v4.1 MSSLSVRFLTPPLSHATPSSSARQRLFAGPPTVAQPVDAGRLEPRVDERDGYYVLKEKFRQGINPQEKVKIKTEPMKLFMENGIEELAKLSMEEIDKEKSSKDDIDVRLKWLGLLHRRKHRHCRFMIRLKLPNGVTTSAQTRYLASVIRKYGKDGWADVTTRQNWQIRGLQSGMDNVRNPVGNPLAGIDPDEIVDTGPYTNLLPRKWNVCVVGSHDLYEHPHINDLAYVPPLKDLRFGFNFLVGGLFSPKRRAEAVPLDAWVPVCRAVLEACIDLGFRRNRQKTRMMWWLIDELGIEGFRSEVVKRIPHQWLENLLKI >Potri.006G184200.1.v4.1 pep chromosome:Pop_tri_v4:6:19021281:19025374:-1 gene:Potri.006G184200.v4.1 transcript:Potri.006G184200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G184200.v4.1 MARGSLALKLGVSFTSSSLLLLLLAYHSCSFYLPGVAPQDFSTGAELKVKVNKLTSTKTQLPYSYYSLPYCPPEHIVDSAENLGEVLRGDRIENSPYVFQMREPLQCKVLCRRTLNAKTAKEFKERIDDDYRVNMILDNLPLVVPIRRSDQENAVVYQHGFHVGLKGQYAGSEDLKHFIHNHLTFTVKYHKDSQLDLARIVGFEVKPFSVKHQYDGEWKNENMRLTTCDPHARRAVTSSDSPQVIEDKKDVIFTYDVAFEESDVKWASRWDTYLLMADDQIHWFSVVNSLMIVLFLSGMVAMIMLRTLYRDISKYNQLETQEEAQEETGWKLVHGDVFRPPTNSDLLCVYVGTGVQFFGMILVTMIFAALGFLSPSNRGGLMTAMLFLWVFMGLFAGYASARLYKMFKGTQWEKITLKTAFMFPSIVFAIFFVLNALIWGEKSSGAVPFGTMFALVFLWFGISVPLVFTGSYIGFKKPAIEDPVKTNKIPRQIPEQAWYMNPVFSILTGGILPFGAVFIELFFILTSIWLHQFYYIFGFLFIVFIILIVTCAEITIVLCYFQLCSEDYLWWWRSYLTSGSSALYLFLYAAFYFFTKLDITKPVSGVLYFGYMLIASYAFFVLTGTIGFYACFWFTRLIYSSVKID >Potri.001G311000.1.v4.1 pep chromosome:Pop_tri_v4:1:32132027:32134371:1 gene:Potri.001G311000.v4.1 transcript:Potri.001G311000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G311000.v4.1 MKFNIANPTTGCQKKLEIDDDQKLRAFFDKRISQEVSGDSLGEEFNGYVFKIMGGCDKQGFPMKQGVLTPGRVRLLLHRGTPCFRGYGRRNGERRRKSVRGCIVSQDLSVLNLVIVKKGDNDLPGLTDTEKPRMRGPKRASKIRKLFNLSKEDDVRKYVNTYRRTFTTKSGKKVSKAPKIQRLVTPLTLQRKRARISDKKKRIAKAKSEAAEYQKLLATRLKEQRERRSESLAKKRSRLSIASKPSVAA >Potri.002G241800.2.v4.1 pep chromosome:Pop_tri_v4:2:23398146:23406129:1 gene:Potri.002G241800.v4.1 transcript:Potri.002G241800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241800.v4.1 MAQQSNWEADKMLDVYIHDYLLKRKLHNSAKAFMAEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAAAYIEAQQSKTREQQQMQLQLMQRHNAQMQRRDPSHPALSSSLNAMNPEGMMGQPSASVLAMKMYEERMKHPHSMDSETSPALIDANRLSLLKSPTVQQGQLAQGNSGNMSALQQIQARTPLITDIKSEINLGPTQKSLPMDPSSIYGQAILQSKSGLGGAGLNQGVTGLPPLKGWPLTGIEHLRPSLGGQMQKPNLQTQNQFLLASQQQQVLAQAQAQSNLGNSANYGDLDPRRLSQLPRSSLNAKDGQSTRNDGSICSPVQSSSPKMKMTQMQHPSSQQQDQLQQQQQTNRKRKQHSSSGPANSTCTGNTAGPSPNSPPSTHTPGDGMRTTSSFQHAKSVPKSLMMYGPEGTGSLASSSNIMEDMDRFGDIAALDDSMEQFLAPDGDGRDLYGTVKQSLSENQKESSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDNLQTENTTEEHKSVITDVRFRPNSSQLATSSVDKSVRLWDANNPSYCLHEYTGHSSPIMSLDFHPKKTDLFCFSDYDNEIRYWNINPFTSVRVSKGGNAQVRFQPRDGHLLAAASEKVVTIFDVETDRQIHSFQGHSEMVNYICWDSTGDILASVSQNLVKIWSMASGDCIQELSSNGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPGHENIIAALAQSPVTGMVASASHDSTVKLWK >Potri.002G241800.1.v4.1 pep chromosome:Pop_tri_v4:2:23398146:23405850:1 gene:Potri.002G241800.v4.1 transcript:Potri.002G241800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G241800.v4.1 MAQQSNWEADKMLDVYIHDYLLKRKLHNSAKAFMAEGKVATDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSDAAAAYIEAQQSKTREQQQMQLQLMQRHNAQMQRRDPSHPALSSSLNAMNPEGMMGQPSASVLAMKMYEERMKHPHSMDSETSPALIDANRLSLLKSPTVQQGQLAQGNSGNMSALQQIQARTPLITDIKSEINLGPTQKSLPMDPSSIYGQAILQSKSGLGGAGLNQGVTGLPPLKGWPLTGIEHLRPSLGGQMQKPNLQTQNQFLLASQQQQVLAQAQAQSNLGNSANYGDLDPRRLSQLPRSSLNAKDGQSTRNDGSICSPVQSSSPKMKMTQMQHPSSQQQDQLQQQQQVTNRKRKQHSSSGPANSTCTGNTAGPSPNSPPSTHTPGDGMRTTSSFQHAKSVPKSLMMYGPEGTGSLASSSNIMEDMDRFGDIAALDDSMEQFLAPDGDGRDLYGTVKQSLSENQKESSKGFTFGEVGCIRTRNSKVTCCHFSSDGKLLASAGHDKKVVLWNMDNLQTENTTEEHKSVITDVRFRPNSSQLATSSVDKSVRLWDANNPSYCLHEYTGHSSPIMSLDFHPKKTDLFCFSDYDNEIRYWNINPFTSVRVSKGGNAQVRFQPRDGHLLAAASEKVVTIFDVETDRQIHSFQGHSEMVNYICWDSTGDILASVSQNLVKIWSMASGDCIQELSSNGNQFHSCVFHPSYSTLLVIGGISSLELWNMAENKSMTIPGHENIIAALAQSPVTGMVASASHDSTVKLWK >Potri.005G022600.1.v4.1 pep chromosome:Pop_tri_v4:5:1445319:1449112:1 gene:Potri.005G022600.v4.1 transcript:Potri.005G022600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022600.v4.1 MSTRSTPILSVLTEDLLIRVNEKLVQDSDRKTWRLVCKELHRVDSLTRKTLRVLHVEFLLTLLKNYTNLHTLDLSVCPRIDDWTISSLLHHVDHSIWARNLKCLNLSRANGLKFAGLEMLVGACKGLESVDVSYCCGFGDREAAAISGCGGLRELRMDKCLGVSDVGLAKIVVGCGRLERLSLKWCMEISDLGVELLCKKCLELKFLDVSYLKVTSESLRSIASLPKLEDLAMVGCPFVNDVGLQFLENGCPLLQKIDVARCDCVSSYGLSSLIGGHSDLLHIDAGHCFSEVSPSFVKCTQKLKNLNTIIIDGVRGSDTIFQTISSNCKSLIEIGLSKCGGVTNMGIIQLVSGCVNLKIINLTCCRSIADAAISAIANSCRNLLCLKLESCNMITEKSLEQLGLHCLLLEVLDLTDCCGINDRGLERLSRCSRLLCLKLGLCTNISDKGLFYIASNCSELHELDLYRCKNIGDGGLAALSSGCKKLRKLNLSYCIEVTDKGMKSLGYLEELSDLELRGLDKITSVGLTALVTRCKRLTYLDLKHCEKIDDSGFQVLAYYSRNLRQLNLSYCAITDMTLCMLMGNLTRLQDVDLVHLTNVTVEGFELVLRACCVRIKKIKLVAALSFLLSSEVQGILHARGCKIRWD >Potri.005G022600.2.v4.1 pep chromosome:Pop_tri_v4:5:1445323:1449101:1 gene:Potri.005G022600.v4.1 transcript:Potri.005G022600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G022600.v4.1 MSTRSTPILSVLTEDLLIRVNEKLVQDSDRKTWRLVCKELHRVDSLTRKTLRVLHVEFLLTLLKNYTNLHTLDLSVCPRIDDWTISSLLHHVDHSIWARNLKCLNLSRANGLKFAGLEMLVGACKGLESVDVSYCCGFGDREAAAISGCGGLRELRMDKCLGVSDVGLAKIVVGCGRLERLSLKWCMEISDLGVELLCKKCLELKFLDVSYLKVTSESLRSIASLPKLEDLAMVGCPFVNDVGLQFLENGCPLLQKIDVARCDCVSSYGLSSLIGGHSDLLHIDAGHCFSEVSPSFVKCTQKLKNLNTIIIDGVRGSDTIFQTISSNCKSLIEIGLSKCGGVTNMGIIQLVSGCVNLKIINLTCCRSIADAAISAIANSCRNLLCLKLESCNMITEKSLEQLGLHCLLLEVLDLTDCCGINDRGLERLSRCSRLLCLKLGLCTNISDKGLFYIASNCSELHELDLYRCKNIGDGGLAALSSGCKKLRKLNLSYCIEVTDKGMKSLGYLEELSDLELRGLDKITSVGLTALVTRCKRLTYLDLKHCEKIDDSGFQVLAYYSRNLRQVLNRDFNLELLFLLKYLCFCMQIRKEKKC >Potri.013G107500.1.v4.1 pep chromosome:Pop_tri_v4:13:11628650:11639952:1 gene:Potri.013G107500.v4.1 transcript:Potri.013G107500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G107500.v4.1 MHPAPAGSSNTSGGGCGGGGGGGGGGGGGLPRLRSAPATWLLALLEEEEEDPLKQNQNLTQLLTSNAPSSRNSAPFNASSAAVEPGLYETGSGFQRQNSSPADFLGNSGIGSDQGYFSNYGIASNYEYMPPNMEVSPSAKRARELELQNPPARYPPPLKGAQTGSLRASSLIEMEMDKLLEESVPCKIRAKRGCATHPRSIAERVRRTRISDRIRKLQELVPNMDKQTNTADMLEEAVDYVKFLQRQIQELTEQQRKCKCMAKE >Potri.009G010200.1.v4.1 pep chromosome:Pop_tri_v4:9:1971174:1973521:1 gene:Potri.009G010200.v4.1 transcript:Potri.009G010200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G010200.v4.1 MGKLAIQLLFLITFFLVLVSRILPATSQEVEDEADFNYDPNGEKGPANWGRIHPEWGACSNGSMQSPIDLLSERVDVVSHLGRLSRSYKPANATLRNRGHDMMLKWEGAAGSIEINGTEYLLKQCHWHSPSEHTINGKRLALEVHMVHESLDGKVAVVGIMYMIGRPDSFLSSLTKQLQSVAGTYERDTVAGVVDPRNIKIGSRKYYRYIGSLTIPPCTENVLWTIVRKVRTATREQVRMLRVAVHDESDTNARQIQPINSRTVKLFRPEDKDD >Potri.015G138700.2.v4.1 pep chromosome:Pop_tri_v4:15:14652319:14657033:-1 gene:Potri.015G138700.v4.1 transcript:Potri.015G138700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G138700.v4.1 MTRKLQNMSIYGGHSGRATNPAWEDNRGGTARVSNVQTQAGPVVDLDNMSYEETNRFQESLGSVSQGVSQQAVSRLPIHKYSPSSSKGKSGGDTECVICKMEYERGDRLITLPCAHQYHEDCIKKWLEDHKDCCVCKEEVSV >Potri.001G268900.1.v4.1 pep chromosome:Pop_tri_v4:1:28366495:28367375:1 gene:Potri.001G268900.v4.1 transcript:Potri.001G268900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G268900.v4.1 MASMKLSLLASLVFVAVVCSKLAVLAYDDVLAPMPAMATGSAAGYSASVAAMGFSLMVYVFEVMLH >Potri.018G136301.3.v4.1 pep chromosome:Pop_tri_v4:18:15636406:15641163:1 gene:Potri.018G136301.v4.1 transcript:Potri.018G136301.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G136301.v4.1 MVQSNDPFWHHVEEMKCMYCGHQFAKDTSISRIKWHLSGEKGHGVAICDKVTEDVQEAAFQAMHGGNKRHKSIASSSNVNDNAISTTPQEQNNNEVDNLAGDAGRTQAPDTMGQALERRLEEFDRWLMEDDIDNGTGGVVQPGAGASSSGGLTCNTNETPGDAVPTTKLVGQAFEDHKKTIWSWLMHDEVSTIGIYGMGGVGKTTLVTHIYNQLLERPGTFCHVYWITVSQDTNINKLQNSIAKRIGLDLSNEDEELYRASKLSKELTKKQKWVLILDDLWKAIELHKVGVPIQAVKGCKLIVTTRLENVCQPMGKQHTIKVEPISKEEAWALFIERLGHDTALSPEVEQIAKSVARECAGLPLGVITMAATMRGVVDVREWRNALEELRESKVRKDDMEPDVFYILRFSYNHLSDSELQQSFLYCALFPEDFKIRREDLIAYLIDEGVIKGLKSREAEFNKGHSILNKLERVCLLESAEEGYVKMRVCLLESAEEGYVKMHDLIRDMAIQILQENSQGMVKAGAQLRELPGEEEWTEHLMRVSLMHNQIKEIPSSHSPRCPSLSTLLLRGNSELQFIADSFFEQLRGLKVLDLSYTDITKLPDSVSELVSLTALLLIECRKIRHVPSLEKLRALKRLDLSGTRALEKIPQGMECLCNLRHLRMNGCGEKEFPSGLLPKLSHLQVFVLEEWIPPGTKDNRRGQPAPLTVKGKEVGCLRKLESLVCHFEGYSDYVEFIKSRDETKSLTTYQILVGPLDKYRYGYGYDYDNDGCRRKTIVWGNLSIDRDGGFQVMFPKDIQQLTIDNNDDATSLCDFLSLIKNATELEAIKIFSCNSMKSLVSSSWFRCTPLPSLSYNGIFSGLKQFNCSGCKSMKKLFPLVLLPSLVNLEKITVKGCEKMEEIIDETRPDEEGVMGEETSSSNIEFKLPKLRYLKLEGLPELKRICSAKLICDSIGVIDVRNCEKMEEIISGTRSDEEGVMGEESSSSSITDLKLTKLSSLSFIELPELESICSAKLICDSLKEIAVYNCKKLKRMPICLPLLENGQPSPPPSLEVIYATPKEWWESVVDCEKLRRLPICLPLLENGQPSPPPSLKYIRVDSEEWWESVVEWEHPNAKDVLRPFVQ >Potri.010G065000.8.v4.1 pep chromosome:Pop_tri_v4:10:9351734:9367277:-1 gene:Potri.010G065000.v4.1 transcript:Potri.010G065000.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065000.v4.1 MYWLATRNAVVSLPKWRSFALLLRAPFKCSSLGLSPPPLIGQAQPIYCFKNPKGTARNSKKSKASNSVLDDKDLSHIIWWKENLQRCKKPSTVNLVKRLMYSNLLGLDASLKNGSLKEGNLNWEILQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSVPRAGCPVVNLRQTLDDLTRNGYSVCIVEEVQGPTQARSRKGRFISGHARPGSPYVFGLVGVDHDLEFPEPMPVVGISQSARGYCMISVLETMKTYSLEDGLTEEALVTKLRTCQYHHLFLHSSLRHNSSGTCRWGEYGRGGLLWGECNGRYFEWFEGDPVTELLFKVRELYGLDDKVGFRNAYVSSENRPRPLHLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPELYVRDMLLNPPAYEIASTIQATCKLMSNITCSIPEFTCVSSAKLVKLLEQKEANHIEFCRIKNVLDEILFMYRNSELNEILKSLMDPAWMATGLKIDFETLVNECEWASGRISEMISLDGESDQKISSCPVVPSEFFEDMESSWKGRVKRVHIEEEFSEVEKAAQALSLAVTEDFIPIISRIKATTSPFGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPAVDSKGRKVGEEWFTTIKLEDALTRYHDAGEKAKAKVLELFRGLSAELQTKVNILVFASMVLVIAKALFAHVSEGRRRKWVFPTLTGFNDSKGVKSSDGANRMKFVGLSPYWFNAAEGSAVQNTVDMQSLFLLTGPNGGGKSSLLRSICASALLGICGLMVPAESALIPNFDSIMLHMKSYDSPADGKSSFQVEMSEIRSLVTGASSRSLVLVDEICRGTETAKGACIAGSIVETLDRIGCLGIVSTHLHGIFDLPLDTSNTVYKAMGTEYVDGRTKPTWRLIDGICRESLAFETAKKEGIPESIIQRAEDLYFSAYAKGVISGKTVNSVGQFSSDRIVNDSDEAHLSSGTTASLHPSTHSTKAVDTVEKKDIENAITMICQKKLIELYKQKNTSEVVSFHCVAIGAREQPPPSTISASCVYVMLRPDKKLYVGVTDDLESRIRSHRSKEGMDNAAFLYFIVPGKSIACLLETLLINQLPIKGFKLTNVSDGKHRNFGTTNLSLESVTVH >Potri.010G065000.2.v4.1 pep chromosome:Pop_tri_v4:10:9351662:9367259:-1 gene:Potri.010G065000.v4.1 transcript:Potri.010G065000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065000.v4.1 MYWLATRNAVVSLPKWRSFALLLRAPFKCSSLGLSPPPLIGQAQPIYCFKNPKGTARNSKKSKASNSVLDDKDLSHIIWWKENLQRCKKPSTVNLVKRLMYSNLLGLDASLKNGSLKEGNLNWEILQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSVPRAGCPVVNLRQTLDDLTRNGYSVCIVEEVQGPTQARSRKGRFISGHARPGSPYVFGLVGVDHDLEFPEPMPVVGISQSARGYCMISVLETMKTYSLEDGLTEEALVTKLRTCQYHHLFLHSSLRHNSSGTCRWGEYGRGGLLWGECNGRYFEWFEGDPVTELLFKVRELYGLDDKVGFRNAYVSSENRPRPLHLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPELYVRDMLLNPPAYEIASTIQATCKLMSNITCSIPEFTCVSSAKLVKLLEQKEANHIEFCRIKNVLDEILFMYRNSELNEILKSLMDPAWMATGLKIDFETLVNECEWASGRISEMISLDGESDQKISSCPVVPSEFFEDMESSWKGRVKRVHIEEEFSEVEKAAQALSLAVTEDFIPIISRIKATTSPFGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPAVDSKGRKVGEEWFTTIKLEDALTRYHDAGEKAKAKVLELFRGLSAELQTKVNILVFASMVLVIAKALFAHVSEGRRRKWVFPTLTGFNDSKGVKSSDGANRMKFVGLSPYWFNAAEGSAVQNTVDMQSLFLLTGPNGGGKSSLLRSICASALLGICGLMVPAESALIPNFDSIMLHMKSYDSPADGKSSFQVEMSEIRSLVTGASSRSLVLVDEICRGTETAKGACIAGSIVETLDRIGCLGIVSTHLHGIFDLPLDTSNTVYKAMGTEYVDGRTKPTWRLIDGICRESLAFETAKKEGIPESIIQRAEDLYFSAYAKGVISGKTVNSVGQFSSDRIVNDSDEAHLSSGTTASLHPSTHSTKAVDTVEKKDIENAITMICQKKLIELYKQKNTSEVVSFHCVAIGAREQPPPSTISASCVYVMLRPDKKLYVGVTDDLESRIRSHRSKEGMDNAAFLYFIVPGKSIACLLETLLINQLPIKGFKLTNVSDGKHRNFGTTNLSLESVTVH >Potri.010G065000.1.v4.1 pep chromosome:Pop_tri_v4:10:9351666:9367281:-1 gene:Potri.010G065000.v4.1 transcript:Potri.010G065000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065000.v4.1 MYWLATRNAVVSLPKWRSFALLLRAPFKCSSLGLSPPPLYSRIGQAQPIYCFKNPKGTARNSKKSKASNSVLDDKDLSHIIWWKENLQRCKKPSTVNLVKRLMYSNLLGLDASLKNGSLKEGNLNWEILQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSVPRAGCPVVNLRQTLDDLTRNGYSVCIVEEVQGPTQARSRKGRFISGHARPGSPYVFGLVGVDHDLEFPEPMPVVGISQSARGYCMISVLETMKTYSLEDGLTEEALVTKLRTCQYHHLFLHSSLRHNSSGTCRWGEYGRGGLLWGECNGRYFEWFEGDPVTELLFKVRELYGLDDKVGFRNAYVSSENRPRPLHLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPELYVRDMLLNPPAYEIASTIQATCKLMSNITCSIPEFTCVSSAKLVKLLEQKEANHIEFCRIKNVLDEILFMYRNSELNEILKSLMDPAWMATGLKIDFETLVNECEWASGRISEMISLDGESDQKISSCPVVPSEFFEDMESSWKGRVKRVHIEEEFSEVEKAAQALSLAVTEDFIPIISRIKATTSPFGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPAVDSKGRKVGEEWFTTIKLEDALTRYHDAGEKAKAKVLELFRGLSAELQTKVNILVFASMVLVIAKALFAHVSEGRRRKWVFPTLTGFNDSKGVKSSDGANRMKFVGLSPYWFNAAEGSAVQNTVDMQSLFLLTGPNGGGKSSLLRSICASALLGICGLMVPAESALIPNFDSIMLHMKSYDSPADGKSSFQVEMSEIRSLVTGASSRSLVLVDEICRGTETAKGACIAGSIVETLDRIGCLGIVSTHLHGIFDLPLDTSNTVYKAMGTEYVDGRTKPTWRLIDGICRESLAFETAKKEGIPESIIQRAEDLYFSAYAKGVISGKTVNSVGQFSSDRIVNDSDEAHLSSGTTASLHPSTHSTKAVDTVEKKDIENAITMICQKKLIELYKQKNTSEVVSFHCVAIGAREQPPPSTISASCVYVMLRPDKKLYVGVTDDLESRIRSHRSKEGMDNAAFLYFIVPGKSIACLLETLLINQLPIKGFKLTNVSDGKHRNFGTTNLSLESVTVH >Potri.010G065000.5.v4.1 pep chromosome:Pop_tri_v4:10:9351629:9367292:-1 gene:Potri.010G065000.v4.1 transcript:Potri.010G065000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065000.v4.1 MYWLATRNAVVSLPKWRSFALLLRAPFKCSSLGLSPPPLYSRIGQAQPIYCFKNPKGTARNSKKSKASNSVLDDKDLSHIIWWKENLQRCKKPSTVNLVKRLMYSNLLGLDASLKNGSLKEGNLNWEILQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSVPRAGCPVVNLRQTLDDLTRNGYSVCIVEEVQGPTQARSRKGRFISGHARPGSPYVFGLVGVDHDLEFPEPMPVVGISQSARGYCMISVLETMKTYSLEDGLTEEALVTKLRTCQYHHLFLHSSLRHNSSGTCRWGEYGRGGLLWGECNGRYFEWFEGDPVTELLFKVRELYGLDDKVGFRNAYVSSENRPRPLHLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPELYVRDMLLNPPAYEIASTIQATCKLMSNITCSIPEFTCVSSAKLVKLLEQKEANHIEFCRIKNVLDEILFMYRNSELNEILKSLMDPAWMATGLKIDFETLVNECEWASGRISEMISLDGESDQKISSCPVVPSEFFEDMESSWKGRVKRVHIEEEFSEVEKAAQALSLAVTEDFIPIISRIKATTSPFGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPAVDSKGRKVGEEWFTTIKLEDALTRYHDAGEKAKAKVLELFRGLSAELQTKVNILVFASMVLVIAKALFAHVSEGRRRKWVFPTLTGFNDSKGVKSSDGANRMKFVGLSPYWFNAAEGSAVQNTVDMQSLFLLTGPNGGGKSSLLRSICASALLGICGLMVPAESALIPNFDSIMLHMKSYDSPADGKSSFQVEMSEIRSLVTGASSRSLVLVDEICRGTETAKGACIAGSIVETLDRIGCLGIVSTHLHGIFDLPLDTSNTVYKAMGTEYVDGRTKPTWRLIDGICRESLAFETAKKEGIPESIIQRAEDLYFSAYAKGVISGKTVNSVGQFSSDRIVNDSDEAHLSSGTTASLHPSTHSTKAVDTVEKKDIENAITMICQKKLIELYKQKNTSEVVSFHCVAIGAREQPPPSTISASCVYVMLRPDKKLYVGVTDDLESRIRSHRSKEGMDNAAFLYFIVPGKSIACLLETLLINQLPIKGFKLTNVSDGKHRNFGTTNLSLESVTVH >Potri.010G065000.4.v4.1 pep chromosome:Pop_tri_v4:10:9351630:9367292:-1 gene:Potri.010G065000.v4.1 transcript:Potri.010G065000.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065000.v4.1 MYWLATRNAVVSLPKWRSFALLLRAPFKCSSLGLSPPPLYSRIGQAQPIYCFKNPKGTARNSKKSKASNSVLDDKDLSHIIWWKENLQRCKKPSTVNLVKRLMYSNLLGLDASLKNGSLKEGNLNWEILQFKSKFPREVLLCRVGDFYEAIGIDACILVEYAGLNPFGGLRSDSVPRAGCPVVNLRQTLDDLTRNGYSVCIVEEVQGPTQARSRKGRFISGHARPGSPYVFGLVGVDHDLEFPEPMPVVGISQSARGYCMISVLETMKTYSLEDGLTEEALVTKLRTCQYHHLFLHSSLRHNSSGTCRWGEYGRGGLLWGECNGRYFEWFEGDPVTELLFKVRELYGLDDKVGFRNAYVSSENRPRPLHLGTATQIGAIPTEGIPCLLKVLLPSNCTGLPELYVRDMLLNPPAYEIASTIQATCKLMSNITCSIPEFTCVSSAKLVKLLEQKEANHIEFCRIKNVLDEILFMYRNSELNEILKSLMDPAWMATGLKIDFETLVNECEWASGRISEMISLDGESDQKISSCPVVPSEFFEDMESSWKGRVKRVHIEEEFSEVEKAAQALSLAVTEDFIPIISRIKATTSPFGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPAVDSKGRKVGEEWFTTIKLEDALTRYHDAGEKAKAKVLELFRGLSAELQTKVNILVFASMVLVIAKALFAHVSEGRRRKWVFPTLTGFNDSKGVKSSDGANRMKFVGLSPYWFNAAEGSAVQNTVDMQSLFLLTGPNGGGKSSLLRSICASALLGICGLMVPAESALIPNFDSIMLHMKSYDSPADGKSSFQVEMSEIRSLVTGASSRSLVLVDEICRGTETAKGACIAGSIVETLDRIGCLGIVSTHLHGIFDLPLDTSNTVYKAMGTEYVDGRTKPTWRLIDGICRESLAFETAKKEGIPESIIQRAEDLYFSAYAKGVISGKTVNSVGQFSSDRIVNDSDEAHLSSGTTASLHPSTHSTKAVDTVEKKDIENAITMICQKKLIELYKQKNTSEVVSFHCVAIGAREQPPPSTISASCVYVMLRPDKKLYVGVTDDLESRIRSHRSKEGMDNAAFLYFIVPGKSIACLLETLLINQLPIKGFKLTNVSDGKHRNFGTTNLSLESVTVH >Potri.010G065000.9.v4.1 pep chromosome:Pop_tri_v4:10:9351630:9357846:-1 gene:Potri.010G065000.v4.1 transcript:Potri.010G065000.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G065000.v4.1 MISLDGESDQKISSCPVVPSEFFEDMESSWKGRVKRVHIEEEFSEVEKAAQALSLAVTEDFIPIISRIKATTSPFGGPKGEILYAREHEAVWFKGKRFAPAVWAGTPGEEQIKQLKPAVDSKGRKVGEEWFTTIKLEDALTRYHDAGEKAKAKVLELFRGLSAELQTKVNILVFASMVLVIAKALFAHVSEGRRRKWVFPTLTGFNDSKGVKSSDGANRMKFVGLSPYWFNAAEGSAVQNTVDMQSLFLLTGPNGGGKSSLLRSICASALLGICGLMVPAESALIPNFDSIMLHMKSYDSPADGKSSFQVEMSEIRSLVTGASSRSLVLVDEICRGTETAKGACIAGSIVETLDRIGCLGIVSTHLHGIFDLPLDTSNTVYKAMGTEYVDGRTKPTWRLIDGICRESLAFETAKKEGIPESIIQRAEDLYFSAYAKGVISGKTVNSVGQFSSDRIVNDSDEAHLSSGTTASLHPSTHSTKAVDTVEKKDIENAITMICQKKLIELYKQKNTSEVVSFHCVAIGAREQPPPSTISASCVYVMLRPDKKLYVGVTDDLESRIRSHRSKEGMDNAAFLYFIVPGKSIACLLETLLINQLPIKGFKLTNVSDGKHRNFGTTNLSLESVTVH >Potri.008G115700.1.v4.1 pep chromosome:Pop_tri_v4:8:7374954:7378013:1 gene:Potri.008G115700.v4.1 transcript:Potri.008G115700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115700.v4.1 MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIHTDPKNCEYVIISGAQRKNEEFDVEDAETFALPADEEKGKLSDPFYRLEHQEEDLQKKKEAEPVLVRLQRVSDARHSDDYALNKALRARMRSHKKRVAEEESTSRKMGLGIRLLPATEEDAVSAAHVKFSSKFDKNRKDKRALISAASIFPGSSGSSMSNKKRLELESKRRKISAAAATNLLTGGFKPSSWSQGTVSCSKRKQNSMNARRV >Potri.008G115700.2.v4.1 pep chromosome:Pop_tri_v4:8:7374977:7378002:1 gene:Potri.008G115700.v4.1 transcript:Potri.008G115700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G115700.v4.1 MSSLAAARADNFYYPPEWSPKKGGLNKFHGQHALRERARKLDQGILIIRFEMPFNIWCGGCNSMIAKGVRFNAEKKQVGNYYSTKIWSFTMKSACCKHEIVIHTDPKNCEYVIISGAQRKNEEFDVEDAETFALPADEEKGKLSDPFYRLEHQEEDLQKKKEAEPVLVRLQRVSDARHSDDYALNKALRARMRSHKKRVAEEESTSRKMGLGIRLLPATEEDAVSAAHVKFSSKFDKNRKDKRALISAASIFPGSSGSSMSNKKRLELESKRRKISAAAATNLLTGGFKPSSWSQGTVSCSKRKQNSMNARRV >Potri.007G090200.1.v4.1 pep chromosome:Pop_tri_v4:7:11520842:11526099:1 gene:Potri.007G090200.v4.1 transcript:Potri.007G090200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090200.v4.1 MNKAKSPSNVTSDDSVKNEKKRKSIIPKIFSSKWNNRNASNDDDVVGSDGTGISLDVDKKIAGRKKTFIEPSPLMRKSYTERRNSTGIEGLNLSNIDHSMAPETEIKEFRISVATWNVGGKAPNPDLNLEDFLQVEGSADIYVCGFQEIVPLNAGNVLVIEDNEPAARWLALISQALNKPLHDSTNYSSDSSHGSRGLNSNDNKDSKSHNLFYKPSLKVLENYRADSSLLKICNCQEESQTRDRQRERKLSDPIHKLDSAKETHRFRPYSSADSILYMPDIPSFPSSLTDRTYNLIARKQMVGIFLSVWVRKELVPHIGHLRVDSVGRGIMGLLGNKGCISISMSLHQTSFCFVCSHLASGEKEGDELKRNADVAEILKSTQFPKICKHRPCRATERIVDHDRIIWLGDLNYRVALSYEETKVLLEDKDWDTLLEKDQLNMEREAGRVFEGFKEGRISFAPTYKYTQNSDSYAGENVKSKKKRRTPAWCDRILWRGDGIEQLSYIRGESRFSDHRPVCAVFAAEVEMRNRTNSRFRKGYSCAAAKLEFDNCIPRRHSLYEF >Potri.007G090200.2.v4.1 pep chromosome:Pop_tri_v4:7:11522088:11526099:1 gene:Potri.007G090200.v4.1 transcript:Potri.007G090200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G090200.v4.1 MAPETEIKEFRISVATWNVGGKAPNPDLNLEDFLQVEGSADIYVCGFQEIVPLNAGNVLVIEDNEPAARWLALISQALNKPLHDSTNYSSDSSHGSRGLNSNDNKDSKSHNLFYKPSLKVLENYRADSSLLKICNCQEESQTRDRQRERKLSDPIHKLDSAKETHRFRPYSSADSILYMPDIPSFPSSLTDRTYNLIARKQMVGIFLSVWVRKELVPHIGHLRVDSVGRGIMGLLGNKGCISISMSLHQTSFCFVCSHLASGEKEGDELKRNADVAEILKSTQFPKICKHRPCRATERIVDHDRIIWLGDLNYRVALSYEETKVLLEDKDWDTLLEKDQLNMEREAGRVFEGFKEGRISFAPTYKYTQNSDSYAGENVKSKKKRRTPAWCDRILWRGDGIEQLSYIRGESRFSDHRPVCAVFAAEVEMRNRTNSRFRKGYSCAAAKLEFDNCIPRRHSLYEF >Potri.010G081500.1.v4.1 pep chromosome:Pop_tri_v4:10:10916005:10920268:1 gene:Potri.010G081500.v4.1 transcript:Potri.010G081500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G081500.v4.1 MMAALKAEEQNHLQLMEREEMDGEDDLFEAIEKLINQGINAGDVKKLQDAGIYTCNGLMMFTKKHLTGIKGLSEAKVDKICEAAEKIVNYGYITGSDALLKRKSVIRITTGSQALDELLGGGIETSAITEAFGEFRSGKTQLAHTLCVSTQLPTQMHGGNGKVAYIDTEGTFRPDRIVPIAERFGMDPGAVLDNIIYARAYTYEHQYNLLLGLAAKMSEEPYRLLIVDSVIALFRVDFTGRGELAERQQKLAQMLSRLIKIAEEFNVAVYMTNQVIADPGGGMFISDPKKPAGGHVLAHAATIRLMFRKGKGEQRVCKVFDAPNLPEAEAVFQITSGGIADAKD >Potri.018G013700.1.v4.1 pep chromosome:Pop_tri_v4:18:917282:921399:1 gene:Potri.018G013700.v4.1 transcript:Potri.018G013700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G013700.v4.1 MGLLFSRMFSSLFGNQEARILVLGLDNAGKTTILYRLQMGEVVSTIPTIGFNVETVQYNNIKFQVWDLGGQTSIRPYWRCYFPNTQAVIYVVDSSDTERIGIAKEEFHSILEEEELKGAVVLIFANKQDLPGALDDAAVTEALELHKIKSRQWAIFKACATKGEGLFEGLDWLSNTLKSGGG >Potri.016G089700.2.v4.1 pep chromosome:Pop_tri_v4:16:7253978:7255690:-1 gene:Potri.016G089700.v4.1 transcript:Potri.016G089700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G089700.v4.1 MINRSPEPEVKILIDRDPIKTSFEEWARPGHFSRTIAKGHDTTTWIWNLHADAHDFDNHTSDLEEISRKVFSAHFGQLSIIFLWLSGMYFYGPHFSNYEAWLNDPTHIGPSVQVVWPIVGQEILNGDIWRASGITSELQLYCTTIGALVFAALMLFVGWFHYHKAAPRLAWFQDVESMLNHHLAGLLGLGEQPYFLNWSKYLKFLTFRGGLDPLTGGLWLTDIAHHHLAIAILFLVAGHMCRTNWAIGYGIKDILEAYKGPFIVMLGSLTIVVAHHMYVMPLYPYLATDYDTQLSLFTHHMWIGGFLIVGAAAHAAIFMVRDYDLTTRYNNLLDHHPQDMFSVTAIQLQPVFAQLIQNMHALAPGETTLGATASTIALLPIPLGTADFLVRHIHAFTIHVTILILLKGVLFACSSHLIPDEANLGFRFL >Potri.006G239300.1.v4.1 pep chromosome:Pop_tri_v4:6:24134462:24138769:1 gene:Potri.006G239300.v4.1 transcript:Potri.006G239300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G239300.v4.1 MLLRSKMAFQVNCSTESRPSLSENIDRFTTRFSSSYKPLLKELQQLPAQREVFKNIKNASAKLLDAFVDSTFKFADQRVLPSQSNFLPVDELNEPFVITSIEGKIPDDFPEGVFIRNGPNPLFGGLKSTTSIFGKTGHIWIEGEGMLHALYFDKDSDGGSRTVLYKNKHVETETFKVEKRRNKPSFIPAIDGSPPAIFLAYMFNMLRFGKVNKDLSNTNVFEHSGKFYSISENHLPQEIDIFSLQNLGDWDINGTWHRPFTAHPKTAPGTGELVVFGVDAIKPYLEVGVVSADGKRLVHKADLKLDRCTLLHDIGVTERYNVIFDLPLTIDILRLIKGGPLLKYEKEKYARIGVLPRYGDAESTRWFEVEPNCTFHILNCFEEGDEVVVWGCRSLDSIISESYGMDLDKSEWISGRLRSKGPVQQYTTFSSNDELLFSRFYEWRLNMKTGEVTERNLTGTKFSLEFPMINPSFSGFKNKFGYTQMVHEPASISSGMPKFGGLAKLYFDETSSKEGEQSEGHIKVEYHEFEGNTFCTGSAFVPKEGGLEEDDGWIITFVHDEDTNTSKVYIIDTKNFTSEPVAKITLPCRVPYGFHGAFMPFPNHK >Potri.003G166700.1.v4.1 pep chromosome:Pop_tri_v4:3:17598140:17598884:-1 gene:Potri.003G166700.v4.1 transcript:Potri.003G166700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G166700.v4.1 MNFIKKPYKAGNGALLYSPYLQKNPQIQVFSTSNLDRLSQRNVLSRDFPAEFAIALQSLDQNPNAFVIILLLRNRHQNSKLYHQRFWRLTRPKVHADAITAIERCRKPVIAAIEGACIGGGTDIVIACDIWFRSKDAFFSVKEAGLGLTADSWTLQRLSGIIELGNAMELALTGLRFSGQEARDLGLVYRVFGSRGIARGCENHSIYWF >Potri.019G123900.1.v4.1 pep chromosome:Pop_tri_v4:19:14827907:14828779:-1 gene:Potri.019G123900.v4.1 transcript:Potri.019G123900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G123900.v4.1 MGNSLRCCLACVLPCGALDLIRVVHLNGHVEEITGPITAAEVLKANPNHVLSKPSSQSVVRKILILSPESELKRGSIYFLIPSSSLPGEKKKGGNNCSHKSKSSSKKSKKYCNINKDVQDCDRHLNDLVSEKKPSRRDRRTSRVRVWRPHLQSISEDYQVLSGSGI >Potri.009G025900.1.v4.1 pep chromosome:Pop_tri_v4:9:3736882:3739738:1 gene:Potri.009G025900.v4.1 transcript:Potri.009G025900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025900.v4.1 MESSLELEAVNFGKSIIIPSVQEMAKESMTKIPPRYERPDQDPPIISTDASLLLSSIPVIDLERLAIEDSMDSELDILHSACREWGFFQVVNHRVSSTLLEEFKMQVENFFKLPYEDKKKLWQKPDNHEGFGQLFVVSEEQKLDWSDMFYVTTLPLYLRMNDLFDKLPPNLRETLETYCSEVKKLASEILGHMAKGLKMDAEEMKELFSDGVQSIRMNYYPPCPEPDKAIGFSPHSDADALTILFQLSDTEGLEIRKEGRWFPVKPLPNAFVVNVGDITEIISNGVYRSVEHRAKVNSAKERLSVATFYSSNLDSVLGPAPSLLGKHNPAIFRSVPTEKYFKDFFSQKLNGKSYLECLRIADG >Potri.009G025900.3.v4.1 pep chromosome:Pop_tri_v4:9:3736982:3739687:1 gene:Potri.009G025900.v4.1 transcript:Potri.009G025900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G025900.v4.1 MESSLELEAVNFGKSIIIPSVQEMAKESMTKIPPRYERPDQDPPIISTDASLLLSSIPVIDLERLAIEDSMDSELDILHSACREWGFFQVVNHRVSSTLLEEFKMQVENFFKLPYEDKKKLWQKPDNHEGFGQLFVVSEEQKLDWSDMFYVTTLPLYLRMNDLFDKLPPNLRETLETYCSEVKKLASEILGHMAKGLKMDAEEMKELFSDGVQSIRMNYYPPCPEPDKAIGFSPHSDADALTILFQLSDTEGLEIRKEGRWFPVKPLPNAFVVNVGDITEVLFFRFAQCSTTVYKLLDWIVHE >Potri.001G463800.2.v4.1 pep chromosome:Pop_tri_v4:1:48964057:48968265:-1 gene:Potri.001G463800.v4.1 transcript:Potri.001G463800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G463800.v4.1 MVAQEFTVDLDKPLVFQVGHLGETYEEWVHQPIVSREGPRFFENDFIESLTRTVWWAIPSIWLPVVCYCVLKSAKMGHALPEIALMVVGGVFVWTLLEYTLHRFLFHIKTKSYWGNTAHYLLHGCHHKHPMDGLRLVFPPAATAILLVPFWNMVSLFATPSITPALFGGGLLGYVMYDCTHYYLHHGQPANDVPKNLKKYHMNHHFRVQDKGFGITSALWDRVFGTLPPSKMAKKM >Potri.006G122000.2.v4.1 pep chromosome:Pop_tri_v4:6:9700681:9704633:1 gene:Potri.006G122000.v4.1 transcript:Potri.006G122000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122000.v4.1 MLTISCIVLTLILSSFSPLGSAMLNRTLLVPHPNPEEVVQEVQRRVSASVSRRQTLQVLSQEDQSTCLTGNPIDDCWKCDPDWPNNRQRLADCAIGFGQYAMGGKNGEYYIVTDSSDDDAVNPRPGTLRYAVIQPQPLWIVFPTNMLIKLSQELIFNSYKTLDGRGANVHISGGGCITLQYISNVIIHNIHIHHCVQSGNTNVRSSPTHFGYRTKSDGDGISIFGSKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYSPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPSNRNAKEVKIKLLLIHAFVLFCNGVTILHFDGPMP >Potri.006G122000.1.v4.1 pep chromosome:Pop_tri_v4:6:9700681:9704647:1 gene:Potri.006G122000.v4.1 transcript:Potri.006G122000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G122000.v4.1 MLTISCIVLTLILSSFSPLGSAMLNRTLLVPHPNPEEVVQEVQRRVSASVSRRQTLQVLSQEDQSTCLTGNPIDDCWKCDPDWPNNRQRLADCAIGFGQYAMGGKNGEYYIVTDSSDDDAVNPRPGTLRYAVIQPQPLWIVFPTNMLIKLSQELIFNSYKTLDGRGANVHISGGGCITLQYISNVIIHNIHIHHCVQSGNTNVRSSPTHFGYRTKSDGDGISIFGSKDIWIDHCSLSHCKDGLIDAVMGSTGITISNNFFSHHNEVMLLGHSDDYSPDSGMQVTIAFNHFGEKLVQRMPRCRRGYIHVVNNDFTQWEMYAIGGSGNPTINSQGNRYTAPSNRNAKEVTKRVDTAEDDWRGWNWRSEGDILVNGAFFVASGAELEVKYEKAYSVEPKSAVLIDLLTMHSGVLGVGGRNNNLGMWSTGSNGGKEGLGSGEGDDYLDDYSGSSISLPPPSPYALLPILTALIYLLSFQYIIAAALTSML >Potri.010G082300.1.v4.1 pep chromosome:Pop_tri_v4:10:10982511:10985092:-1 gene:Potri.010G082300.v4.1 transcript:Potri.010G082300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G082300.v4.1 MKTLERTRKSLINTVTMSSSSAIATVTTTVFEAGKYTTVLQKVESRTTCCTAKTSPPLPVPPPKPLLIVMPCEAGEFPLLVFLHGYLLYNSFYSQLLQHIASHGFIVIAPQLYLVAGQDSSDEIKSVAATTNWLSEGLHHLLPPHVKPNLSKLGLAGHSRGGKTAFALALEKAAATLKFSALIGVDPVDGMDKGKQTPPPVLTYVPHSFDLDMAIMVIGSGLGELKKNPLFPPCAPEGVNHKDFFKECKGPASYFVVKDYGHLDMLDDDTEGIRGKTTYCLCKNGKSREPMRKFIGGVVVAFMKAYLGGDSSDLMAIKGGQTGPVELQTVEYIL >Potri.019G127600.1.v4.1 pep chromosome:Pop_tri_v4:19:15071567:15074942:-1 gene:Potri.019G127600.v4.1 transcript:Potri.019G127600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G127600.v4.1 MTRKRKPQKNPPQEIVEEGEAAEKGKNGFFACYLLTSLCPRFKGHTYIGFTVNPRRRIRQHNGELRSGACRTKKRRPWEMVICVYGFPTNVAALQFEWAWQHPTESVAVRQAAAAFKSFSGVANKIKLAYTMLNLPSWQSLNITVNYFSTQYKVHSAGCPSLPKNMKVQICPMNELPCYSDFVDNLFEERDDEDAWDGEEEYERASDGSGMVDANLVELDDSSMDKVPCYNGREEIIFEGEYGETEDREACNSSGPVNKTFNESGNTCETVKETHADATDCAHSIEKTSDEQIKWFEEYEQQDQREPSSPELGRAQPFHFMNSLARKAFSMNTLARKSTSIVTTFSISETEDKDVLTLIDENVSELDLKRGKELVTDKDVEATYTSGAVETCVDAHTSADYPHSINKTSHEQFGWSEPHGMQDQRDPPSPEPDQAQPFGFMNQPAKQASSIVTDFSVRETRDRDVLTLIDEDAFELDWPRWKKLSSKINTGKDEQLNRSSSSIVTDFSVRETRDRGVLTLIDDDASELDWPRWKKLSSKINTGKDKQLNRSSSIPREIEIVDLSSPSLECRIRPDSKKRRVSPTYPVIIDLT >Potri.001G001000.1.v4.1 pep chromosome:Pop_tri_v4:1:93465:94283:1 gene:Potri.001G001000.v4.1 transcript:Potri.001G001000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G001000.v4.1 MKRGIRNFCNGDASTSTLDQHNKANYTADDHHCFVTSPYTHMNHADTAQQGSPTLEQMILQLELEEEFARKAKLNNYVDVGLRAGRMSCVNNSDILRSARNALSQYPRFSLDGKDAMYRSSFRNLDSVSKAAAGRKSVCCDHGLRERMNRNNLGAKFERKLSLPPTLAGERVVWCKPGVVAKLMGLEAMPVPINSGEDKETLASIIKRQNLRRRAERHEIERRLAGDVSAFDGIKRGRSSMPSCSKPGYCVTKPVAVEPANDGGGWPTRRNR >Potri.008G068601.1.v4.1 pep chromosome:Pop_tri_v4:8:4150306:4152741:1 gene:Potri.008G068601.v4.1 transcript:Potri.008G068601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068601.v4.1 MDVSMDTTGNSVNTYLIYEARHDSDLSLFGASKKKSFLASAKLLEESAIWLSHVFPTRSWIGLKFCSGQNLPLKQDCRDQNVSDLLCFFTFFSLSFLLSR >Potri.008G068601.2.v4.1 pep chromosome:Pop_tri_v4:8:4151994:4152408:1 gene:Potri.008G068601.v4.1 transcript:Potri.008G068601.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G068601.v4.1 MDVSMDTTDLSLFGASKKKSFLASAKLLEESAIWLSHVFPTRSWIGLKFCSGQNLPLKQDCRDQNVSDLLCFFTFFSLSFLLSR >Potri.008G104700.1.v4.1 pep chromosome:Pop_tri_v4:8:6616102:6617947:-1 gene:Potri.008G104700.v4.1 transcript:Potri.008G104700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G104700.v4.1 MNKSFPLLCPRGLLMGFVSGRCVVIGVILHMATASFLFSICSAKDPPALYIFGDSLVDAGNNFYINTAAKANFPNGIDFGNPIGIPSGRFTNGRMVTDILGEEVGLPSLTPPYLAPTTTGDVILKGVNYASSASGILNDTERFFGHQIHLDTQISNFVKTRQDIISRIGSQAAKEQFKQAIFFVSIGSNDIIFSQWQNSSSWNTLLDTIISRFKSQLVRLYNLDARKFIVTNSAAVGCIPFVRDLHSSVDSCVAVMNQKAQLFNSRLNSLLAELTKNLEASTFICANVYAMLDDILNNYMTSYDFEVADSACCHIAGAGLHGGLIPCGILSQVCPDRSKYVFWDPFHLTETSYEIIAKHMMDGDLNYISPMNIRQLLNY >Potri.007G042600.1.v4.1 pep chromosome:Pop_tri_v4:7:3636399:3637409:-1 gene:Potri.007G042600.v4.1 transcript:Potri.007G042600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G042600.v4.1 MACLDMYNSEHKGHCPPMSPRISFSNDFVDSQQIMKQERSSRETPVSTDFEFSVTNYSMMSADELFFKGRLLPFKDNGSNQMQRTIRDELLVDDDEGDEVSPRPPKGSTRWKGLLGLKRSHIGSKKVDKSEGSMERSVGESRRSGFVHEEVQHSKSSQEIVSEGGSSCRDVEIGI >Potri.013G126900.2.v4.1 pep chromosome:Pop_tri_v4:13:13424127:13426870:-1 gene:Potri.013G126900.v4.1 transcript:Potri.013G126900.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G126900.v4.1 MVKTEILSMDVYKYFILLLLVTFILPIVKSKEQLSSGECEDLGFSGLALCSDCHTFSEYVKNQELVSDCLKCCTEDSDDSISKITYSGAILEVCMRKLVFYPEIVGFIEEEKDQFPTFKVQYLFNSPPKLIMLDDKGQHKETIRIDNWKREHMLQYLREKVKPTSAS >Potri.018G009200.5.v4.1 pep chromosome:Pop_tri_v4:18:665449:670362:1 gene:Potri.018G009200.v4.1 transcript:Potri.018G009200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G009200.v4.1 MDTDLNLGITVTCFRTFADLFYIIHIVIKFRTAYVSPSSRVFGRGELVMDPELIARRYLRSDFFIDLIAALPLPQIVIWFIIPAIRSSHADHTNNAIVLIVLLQYIPRLYLIFPLSSEIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSACKHELSPIPCKPRYLDCGTLNFADRKSWENTTVVFSRCNPSNKTFFDYGIFANALNQNVLSSEFLEKYFYCLWWGLQNLSSYGQSLSTSTFIGETAFAILIAILGLVLFSHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQSLRERVRRFVQYKWLATRGVDGESILRALPTDLRRDIQRHLCLDLVRRVPFFSQMDGQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSIILRPGDFCGEELLAWALLPKSTLNLPSSTRTVRALEEVEAFALQAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWATCFIQAAWRRHKKRMMAKSLSMSESFSLSVDGQTADDETTQEEAEPSFASSTSSQAKQHLGVTILASRFAANTRRGAQKVKKVDMPRLQKPEEPDFSTEPDDD >Potri.018G009200.4.v4.1 pep chromosome:Pop_tri_v4:18:665472:670354:1 gene:Potri.018G009200.v4.1 transcript:Potri.018G009200.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G009200.v4.1 MDTDLNLGITVTCFRTFADLFYIIHIVIKFRTAYVSPSSRVFGRGELVMDPELIARRYLRSDFFIDLIAALPLPQIVIWFIIPAIRSSHADHTNNAIVLIVLLQYIPRLYLIFPLSSEIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSACKHELSPIPCKPRYLDCGTLNFADRKSWENTTVVFSRCNPSNKTFFDYGIFANALNQNVLSSEFLEKYFYCLWWGLQNLSSYGQSLSTSTFIGETAFAILIAILGLVLFSHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQSLRERVRRFVQYKWLATRGVDGESILRALPTDLRRDIQRHLCLDLVRRVPFFSQMDGQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSIILRPGDFCGEELLAWALLPKSTLNLPSSTRTVRALEEVEAFALQAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWATCFIQAAWRRHKKRMMAKSLSMSESFSLSVDGQTADDETTQEEAEPSFASSTSSQAKQHLGVTILASRFAANTRRGAQKVKKVDMPRLQKPEEPDFSTEPDDD >Potri.018G009200.6.v4.1 pep chromosome:Pop_tri_v4:18:665457:670346:1 gene:Potri.018G009200.v4.1 transcript:Potri.018G009200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G009200.v4.1 MDTDLNLGITVTCFRTFADLFYIIHIVIKFRTAYVSPSSRVFGRGELVMDPELIARRYLRSDFFIDLIAALPLPQIVIWFIIPAIRSSHADHTNNAIVLIVLLQYIPRLYLIFPLSSEIIKATGVVTKTAWAGAAYNLLLYMLASHVLGASWYLLSIERHATCWKSACKHELSPIPCKPRYLDCGTLNFADRKSWENTTVVFSRCNPSNKTFFDYGIFANALNQNVLSSEFLEKYFYCLWWGLQNLSSYGQSLSTSTFIGETAFAILIAILGLVLFSHLIGNMQTYLQSITVRLEEWRLKRRDTEEWMRHRQLPQSLRERVRRFVQYKWLATRGVDGESILRALPTDLRRDIQRHLCLDLVRRVPFFSQMDGQLLDAICERLVSSLSTQGTYIVREGDPVTEMLFIIRGKLESSTTNGGRTGFFNSIILRPGDFCGEELLAWALLPKSTLNLPSSTRTVRALEEVEAFALQAEDLKFVANQFRRLHSKKLQHTFRFYSYHWRTWATCFIQAAWRRHKKRMMAKSLSMSESFSLSVDGQTADDETTQEEAEPSFASSTSSQAKQHLGVTILASRFAANTRRGAQKVKKVDMPRLQKPEEPDFSTEPDDD >Potri.019G065700.2.v4.1 pep chromosome:Pop_tri_v4:19:10556047:10559194:1 gene:Potri.019G065700.v4.1 transcript:Potri.019G065700.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G065700.v4.1 MEALVCKKLGDPTALKSSISEADNDSPIILSKTHPIPQLKSPTSVRVRVKATSLNYANYLQILGKYQEKPPLPFIPGSDYSGIVDAVGSGVSLLKVGDRVCSFAALGSFAEFIVADQAELFKVPDGCDLVAAGALPVAFGTSHVALVHRAQLTSGQVLLVLGAAGGVGLSAVQIGKVCGAVVIAVARGDEKVQFLKSLGVDHVVDSSKESVIASVKDFLKARKLKGVDVLYDPVGGKLTKESMKLLNWGAQILVIGFASGDVPVIPANIALVKNWTVHGLYWGSYKIHRPVVLEDSIRELLSWVAKGRITIHISHTYNLSEASLAFAAIKDRKAIGKVMITIDDERSVKSKL >Potri.009G163700.4.v4.1 pep chromosome:Pop_tri_v4:9:12564805:12567296:-1 gene:Potri.009G163700.v4.1 transcript:Potri.009G163700.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163700.v4.1 MATYSVHLRLLFLLLALSGVHVLGAGFGINYGQIADNLPSPSRVSVMLQSLDVSRLKLYDTDPKVLQAFSNSSVEFIVGIGNEYLQDMADPVKAQNWVQQHLQPYLAQTKITCISVGNEVFMSNDTQIWSNLLPAMKMVHNTLVNLGLDKQVIVTTAHPFTIIGNSYPPSSGTFRQDIIGYMHAILDFHSQTKSPFLINAYPFFAYKDSPGQISLDYVLFQPNEGMTDPNTNLHYDNMLYAQVDAVYSAIKAIGHTDVEVKISETGWPSKGDPTEVGSTLQNAELYHSNLLKRIQQKQGTPAKPSVPIDVYVFALFNENLKPGPTSERNYGLFYPDGTPVFNIGLQGNLPGIMYSSASNINALSTFSLVISVLAYLIHA >Potri.009G163700.8.v4.1 pep chromosome:Pop_tri_v4:9:12563191:12567862:-1 gene:Potri.009G163700.v4.1 transcript:Potri.009G163700.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163700.v4.1 MLQSLDVSRLKLYDTDPKVLQAFSNSSVEFIVGIGNEYLQDMADPVKAQNWVQQHLQPYLAQTKITCISVGNEVFMSNDTQIWSNLLPAMKMVHNTLVNLGLDKQVIVTTAHPFTIIGNSYPPSSGTFRQDIIGYMHAILDFHSQTKSPFLINAYPFFAYKDSPGQISLDYVLFQPNEGMTDPNTNLHYDNMLYAQVDAVYSAIKAIGHTDVEVKISETGWPSKGDPTEVGSTLQNAELYHSNLLKRIQQKQGTPAKPSVPIDVYVFALFNENLKPGPTSERNYGLFYPDGTPVFNIGLQGNLPGIMYSSASNINALSTFSLVISVLAYLIHA >Potri.009G163700.9.v4.1 pep chromosome:Pop_tri_v4:9:12563178:12566445:-1 gene:Potri.009G163700.v4.1 transcript:Potri.009G163700.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G163700.v4.1 MLQSLDVSRLKLYDTDPKVLQAFSNSSVEFIVGIGNEYLQDMADPVKAQNWVQQHLQPYLAQTKITCISVGNEVFMSNDTQIWSNLLPAMKMVHNTLVNLGLDKQVIVTTAHPFTIIGNSYPPSSGTFRQDIIGYMHAILDFHSQTKSPFLINAYPFFAYKDSPGQISLDYVLFQPNEGMTDPNTNLHYDNMLYAQVDAVYSAIKAIGHTDVEVKISETGWPSKGDPTEVGSTLQNAELYHSNLLKRIQQKQGTPAKPSVPIDVYVFALFNENLKPGPTSERNYGLFYPDGTPVFNIGLQGNLPGIMYSSASNINVTHILSHHHHVLV >Potri.002G231450.1.v4.1 pep chromosome:Pop_tri_v4:2:22330711:22331939:1 gene:Potri.002G231450.v4.1 transcript:Potri.002G231450.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G231450.v4.1 MATWGSSMVDSLRISTIILGLSNLAVVIVGGVLLFPVFPGCDVDRITISVVMVSLAAAFKIFAMFNSGIAQKATAITILDSPPDTSVVKSINLLRRRVVLSWTNTTAYNG >Potri.003G121500.1.v4.1 pep chromosome:Pop_tri_v4:3:14258253:14260312:-1 gene:Potri.003G121500.v4.1 transcript:Potri.003G121500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G121500.v4.1 MEPMKSKIKGMFTQRGKEDFFVGRGNMNFVEEWEIRPGGMLVQKRTTADSNHNSVPVSNIKVRVKYGSLCHEISISSQASFGELKKMLAEHTGVHPLDQKLIFKKKERNSKAYLDVAGVKDGSKIVLIEDITSRERRCLEMLKSAKIEKGSKSLQQVSLEVDQFGDKVTSLETTTSKGGKVAEKDVDGLTEILMAKLVALDGIFVEGDLKLQKRMQERKVQQYIEALDRLKLNYSTANTSGGKIPLQQQDNSTGKMPIPKQKQSVQSKQQNATMQMPTQKQQRPVLSNSESFVVTTAWETFD >Potri.001G048200.2.v4.1 pep chromosome:Pop_tri_v4:1:3537533:3538928:1 gene:Potri.001G048200.v4.1 transcript:Potri.001G048200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G048200.v4.1 MTRPQQRYRGVRQRHWGSWVSEIRHPLLKTRIWLGTFETAEDAARAYDEAARLMCGPKSRTNFPYNPNEPQSSSSKLLSATLAAKLHKCHMASLQATKKNATKQSYEAQCKKTFDTSHGIAGETVETGSKWQEGNWAGEDSQVGNGDHQQHFKSLEAHHIEQMIEELLDCGSMEFCSAGST >Potri.011G096800.1.v4.1 pep chromosome:Pop_tri_v4:11:12504032:12504651:1 gene:Potri.011G096800.v4.1 transcript:Potri.011G096800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G096800.v4.1 MANMRLLLFFVLIMLLFSMFETRSIDHVAHRRDRSLIESSKEMVKESIVRHEMTGGFNECFRLSPGGPDPRHH >Potri.018G104050.1.v4.1 pep chromosome:Pop_tri_v4:18:12389142:12389372:-1 gene:Potri.018G104050.v4.1 transcript:Potri.018G104050.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G104050.v4.1 MLNVTAEDKTAGVKNKITITNDKGRLSKEDIDKLVQDAEKNRAEDEEVKMKVEAKNALENYAYKDEKIAGKLDPAD >Potri.014G185804.1.v4.1 pep chromosome:Pop_tri_v4:14:15379830:15381649:-1 gene:Potri.014G185804.v4.1 transcript:Potri.014G185804.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G185804.v4.1 MEQCRQGKSAKWIRNLGKRIGSEGWARGSQSRTRRLSVDCSSCSRGESGSSRAGRGTGWERPLRGPSPGVEQSTQNWYGQGESDCLIKTKHCDGPCGCSRNVISAQCSECQSEEIQPSAGKRRE >Potri.018G139400.1.v4.1 pep chromosome:Pop_tri_v4:18:14444712:14448167:1 gene:Potri.018G139400.v4.1 transcript:Potri.018G139400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G139400.v4.1 MITGKDIYDVLAAIVPLYVAMILAYGSVRWWKIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNFRFIAADTLQKVVILGALFIWQAFSKRGNLEWMITLFSLSTLPNTLVMGIPLLKAMYGDFSGNLMVQIVVLQSVIWYTIMLFLFEYRGARLLISEQFPETAGSITSFRVDSDVVSLNGREPLQADAEIGDDGKLHVVVKRSNASSIVSSFNKSHGLNSITSMTPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFASKAASPKHGYTNSFQGGIGDVYSLQSSKGATPRTSNYDEEMLKLGKKKGRTNMSGELFNGGSLVSSYPPPNPMFSGSTSGGPKKKESGSGAMPNKELHMFVWSSSASPVSEGNLRHAVNRAASTDFGVTDPSKAAFQPESAASKAMNQLIENMSPSGKWSGEKEHDVEDGCKFPQNVSPFTCQKKMDMAADGSKKHQMPPASVMTRLILIMVWRKLIRNPNTYSSLLGVIWSLVSYRWNIKMPSIVSGSIAILSDAGLGMAMFSLGLFMALQPKIIACGKSVATFAMAVRFLTGPAVIAATSIAIGIRGVLLHVAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITVLYYVLLGV >Potri.001G025601.1.v4.1 pep chromosome:Pop_tri_v4:1:1920702:1924146:1 gene:Potri.001G025601.v4.1 transcript:Potri.001G025601.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G025601.v4.1 MEEQGKQKKKNKKPLSSSFCPINNLDDGCLMHIFSFLSPIPDRYNTALVCHRWCYLACHPRLWLRVEQSAKDLSEPGVFPSIEKAVSAARPGDTILIAAGGRHLASNIQINKPLCLIGGGELPDETTLLCSRGSDSALEFINTCKLANLTVKAELGCCLLHRSGRLTIDSCILQCEASPLDYLSCPIISTAGGNEVVSSAVKTKGNRVSVSQTRIEGGAKAVLTSGDLTLQQVRVIYARTFVYFWFDVDSQ >Potri.009G078900.1.v4.1 pep chromosome:Pop_tri_v4:9:7588091:7589573:1 gene:Potri.009G078900.v4.1 transcript:Potri.009G078900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G078900.v4.1 MTTKVLQSNLTSSTLHLRSWDFGIGKGAGILIDILSYIFWDLPIYGILVAKGHDVWNPVDGSRWRLPPWDSRNPFICAFLSASPWQYTLKMECFVLVLTGISHPAFVFYQICGGWKKHAWIKEDCTIVDPNCSNPSERRHFMRFTNAIGFKGKFYALSLQGSTLAVIENIDSHLRITALGKKRAIPSDSSLHFRELMVESGEEVLLVFLISKASSNIVDSVEVYQLDVDKLTWNKMESLGDRTLFLGSYCCMSVSASKVGCKRNCVYHTHRSGDYGWWVYDLDKATISPS >Potri.001G367800.1.v4.1 pep chromosome:Pop_tri_v4:1:38380891:38383234:-1 gene:Potri.001G367800.v4.1 transcript:Potri.001G367800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G367800.v4.1 MPAFFSLFLLVMILHATIAFSRHPPVRISEATKWSSTRRQLGKNTCRTGNPIDDCWRCDPDWETNRKVLADCAFGFGRNAVGGRDGNLYVVTDSDNDDPVNPIPGTLRYGVIQEEPLWIIFDKDMVINLKEELFMNSHKTIDGRGQNIQIADGPCVTIRNVSNIIIHNIYIHGCVPGGNVVVRDSTNHHGLRGKSDGDGISIFAARDVWIDHCTLANCRDGLIDAVFGSTSITISNNYMFNHNEVMLMGHSDDFIDDKNMLVTIAFNFFGENLVQRMPRCRHGYFHIVNNIYTGWEKYAIGGSASPTINSKGMFLWH >Potri.008G210200.7.v4.1 pep chromosome:Pop_tri_v4:8:16126676:16133153:-1 gene:Potri.008G210200.v4.1 transcript:Potri.008G210200.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210200.v4.1 MDVIKGNSEWNCIEDESAEQLLSPGICEAYRDPELLPRIGDEYQVQIPALMTECVYGLLVESPADAIISSATYHDFLVGLPLSLMWVIEEVESIKHEPRDYPCGLTDTSDINKSVKPESIREANIVPEVDLKAKVELMDVTVNDGIEVGESAKLCLQPEISNEMLCELGGKDYCLVPGSVGNPWSDSEEDSFLLGLYIFGKNLVQVKNFVESKTMGDILSFYYGKFYRSDRYHKWSECRKIRNRKCVYGQRIFTGSRQHEMLSRLLPQLSEECKNILLQAAKAFGEGKMLLEEYVFTLKVMVGLHALVEAVGIGKGKQDLTGITTEPLKSNQVAPVRPEIPIGKACSTLTPVEIINYLTGGYRLSKARSNDLFWEAVWPLLLARGWHSEQPNDHGFAAASRHSLVFLIPGIEKFSRRKLVKGDHYFDSVSDVLNKVASDPTLLDLDIGEDKGDGSKEGTTWSNKTNLNQGNFPGQQRHCYLKPRTPSRTSNAMMFTVVDTSLATGESKRVRELRSLPVGLMSICTSRSDSEDSESDSLKVPAGEPDSSENLCSDMNGTTMTISTKNDLDKGVFSDREDVEDNALKQSFRINGSGFAKVPEKIPEYQKADKCDSMQTRKRIKRQATQRGILCDRKLLDPVAKRQQRLIACDHTKTSCGTIDRHGSKQDEPGCAGEGDIREDFLFRVDPPMERLSATISSRGSPNISNESTLSSNSSGDDHPHEKLQTRALIDLNMPVPHDAETEPLMMGVTEVKDDKASRQTKDFGMLKISTSACDSTPQQSPNMNLRRHSTRNRPLTTKALEALACGFLSIKQKRKSRDVFSLDNQMSRPSRCARSKMRITENFQADMTDFEGDERRNGGCKSNGGMVSEVQI >Potri.008G210200.5.v4.1 pep chromosome:Pop_tri_v4:8:16127873:16133217:-1 gene:Potri.008G210200.v4.1 transcript:Potri.008G210200.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210200.v4.1 MDVIKGNSEWNCIEDESAEQLLSPGICEAYRDPELLPRIGDEYQVQIPALMTECVYGLLVESPADAIISSATYHDFLVGLPLSLMWVIEEVESIKHEPRDYPCGLTDTSDINKSVKPESIREANIVPEVDLKAKVELMDVTVNDGIEVGESAKLCLQPEISNEMLCELGGKDYCLVPGSVGNPWSDSEEDSFLLGLYIFGKNLVQVKNFVESKTMGDILSFYYGKFYRSDRYHKWSECRKIRNRKCVYGQRIFTGSRQHEMLSRLLPQLSEECKNILLQAAKAFGEGKMLLEEYVFTLKVMVGLHALVEAVGIGKGKQDLTGITTEPLKSNQVAPVRPEIPIGKACSTLTPVEIINYLTGGYRLSKARSNDLFWEAVWPLLLARGWHSEQPNDHGFAAASRHSLVFLIPGIEKFSRRKLVKGDHYFDSVSDVLNKVASDPTLLDLDIGEDKGDGSKEGTTWSNKTNLNQGNFPGQQRHCYLKPRTPSRTSNAMMFTVVDTSLATGESKRVRELRSLPVGLMSICTSRSDSEDSESDSLKVPAGEPDSSENLCSDMNGTTMTISTKNDLDKGVFSDREDVEDNALKQSFRINGSGFAKVPEKIPEYQKADKCDSMQTRKRIKRQATQRGILCDRKLLDPVAKRQQRLIACDHTKTSCGTIDRHGSKQDEPGCAGEGDIREDFLFRVDPPMERLSATISSRGSPNISNESTLSSNSSGDDHPHEKLQTRALIDLNMPVPHDAETEPLMMGVTEVKDDKASRQTKDFGMLKISTSACDSTPQQSPNMNLRRHSTRNRPLTTKALEALACGFLSIKQKRKSRDVFSLDNQMSRPSRCARSKMRITENFQADMTDFEGDERRNGGCKSNGGMVSEVQI >Potri.008G210200.6.v4.1 pep chromosome:Pop_tri_v4:8:16127875:16133153:-1 gene:Potri.008G210200.v4.1 transcript:Potri.008G210200.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210200.v4.1 MEMDVIKGNSEWNCIEDESAEQLLSPGICEAYRDPELLPRIGDEYQVQIPALMTECVYGLLVESPADAIISSATYHDFLVGLPLSLMWVIEEVESIKHEPRDYPCGLTDTSDINKSVKPESIREANIVPEVDLKAKVELMDVTVNDGIEVGESAKLCLQPEISNEMLCELGGKDYCLVPGSVGNPWSDSEEDSFLLGLYIFGKNLVQVKNFVESKTMGDILSFYYGKFYRSDRYHKWSECRKIRNRKCVYGQRIFTGSRQHEMLSRLLPQLSEECKNILLQAAKAFGEGKMLLEEYVFTLKVMVGLHALVEAVGIGKGKQDLTGITTEPLKSNQVAPVRPEIPIGKACSTLTPVEIINYLTGGYRLSKARSNDLFWEAVWPLLLARGWHSEQPNDHGFAAASRHSLVFLIPGIEKFSRRKLVKGDHYFDSVSDVLNKVASDPTLLDLDIGEDKGDGSKEGTTWSNKTNLNQGNFPGQQRHCYLKPRTPSRTSNAMMFTVVDTSLATGESKRVRELRSLPVGLMSICTSRSDSEDSESDSLKVPAGEPDSSENLCSDMNGTTMTISTKNDLDKGVFSDREDVEDNALKQSFRINGSGFAKVPEKIPEYQKADKCDSMQTRKRIKRQATQRGILCDRKLLDPVAKRQQRLIACDHTKTSCGTIDRHGSKQDEPGCAGEGDIREDFLFRVDPPMERLSATISSRGSPNISNESTLSSNSSGDDHPHEKLQTRALIDLNMPVPHDAETEPLMMGVTEVKDDKASRQTKDFGMLKISTSACDSTPQQSPNMNLRRHSTRNRPLTTKALEALACGFLSIKQKRKSRDVFSLDNQMSRPSRCARSKMRITENFQADMTDFEGDERRNGGCKSNGGMVSEVQI >Potri.008G210200.3.v4.1 pep chromosome:Pop_tri_v4:8:16127864:16133153:-1 gene:Potri.008G210200.v4.1 transcript:Potri.008G210200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210200.v4.1 MEMDVIKGNSEWNCIEDESAEQLLSPGICEAYRDPELLPRIGDEYQVQIPALMTECVYGLLVESPADAIISSATYHDFLVGLPLSLMWVIEEVESIKHEPRDYPCGLTDTSDINKSVKPESIREANIVPEVDLKAKVELMDVTVNDGIEVGESAKLCLQPEISNEMLCELGGKDYCLVPGSVGNPWSDSEEDSFLLGLYIFGKNLVQVKNFVESKTMGDILSFYYGKFYRSDRYHKWSECRKIRNRKCVYGQRIFTGSRQHEMLSRLLPQLSEECKNILLQAAKAFGEGKMLLEEYVFTLKVMVGLHALVEAVGIGKGKQDLTGITTEPLKSNQVAPVRPEIPIGKACSTLTPVEIINYLTGGYRLSKARSNDLFWEAVWPLLLARGWHSEQPNDHGFAAASRHSLVFLIPGIEKFSRRKLVKGDHYFDSVSDVLNKVASDPTLLDLDIGEDKGDGSKEGTTWSNKTNLNQGNFPGQQRHCYLKPRTPSRTSNAMMFTVVDTSLATGESKRVRELRSLPVGLMSICTSRSDSEDSESDSLKVPAGEPDSSENLCSDMNGTTMTISTKNDLDKGVFSDREDVEDNALKQSFRINGSGFAKVPEKIPEYQKADKCDSMQTRKRIKRQATQRGILCDRKLLDPVAKRQQRLIACDHTKTSCGTIDRHGSKQDEPGCAGEGDIREDFLFRVDPPMERLSATISSRGSPNISNESTLSSNSSGDDHPHEKLQTRALIDLNMPVPHDAETEPLMMGVTEVKDDKASRQTKDFGMLKISTSACDSTPQQSPNMNLRRHSTRNRPLTTKALEALACGFLSIKQKRKSRDVFSLDNQMSRPSRCARSKMRITENFQADMTDFEGDERRNGGCKSNGGMVSEVQI >Potri.008G210200.8.v4.1 pep chromosome:Pop_tri_v4:8:16127872:16131771:-1 gene:Potri.008G210200.v4.1 transcript:Potri.008G210200.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G210200.v4.1 MDVIKGNSEWNCIEDESAEQLLSPGICEAYRDPELLPRIGDEYQVQIPALMTECVYGLLVESPADAIISSATYHDFLVGLPLSLMWVIEEVESIKHEPRDYPCGLTDTSDINKSVKPESIREANIVPEVDLKAKVELMDVTVNDGIEVGESAKLCLQPEISNEMLCELGGKDYCLVPGSVGNPWSDSEEDSFLLGLYIFGKNLVQVKNFVESKTMGDILSFYYGKFYRSDRYHKWSECRKIRNRKCVYGQRIFTGSRQHEMLSRLLPQLSEECKNILLQAAKAFGEGKMLLEEYVFTLKVMVGLHALVEAVGIGKGKQDLTGITTEPLKSNQVAPVRPEIPIGKACSTLTPVEIINYLTGGYRLSKARSNDLFWEAVWPLLLARGWHSEQPNDHGFAAASRHSLVFLIPGIEKFSRRKLVKGDHYFDSVSDVLNKVASDPTLLDLDIGEDKGDGSKEGTTWSNKTNLNQGNFPGQQRHCYLKPRTPSRTSNAMMFTVVDTSLATGESKRVRELRSLPVGLMSICTSRSDSEDSESDSLKVPAGEPDSSENLCSDMNGTTMTISTKNDLDKGVFSDREDVEDNALKQSFRINGSGFAKVPEKIPEYQKADKCDSMQTRKRIKRQATQRGILCDRKLLDPVAKRQQRLIACDHTKTSCGTIDRHGSKQDEPGCAGEGDIREDFLFRVDPPMERLSATISSRGSPNISNESTLSSNSSGDDHPHEKLQTRALIDLNMPVPHDAETEPLMMGVTEVKDDKASRQTKDFGMLKISTSACDSTPQQSPNMNLRRHSTRNRPLTTKALEALACGFLSIKQKRKSRDVFSLDNQMSRPSRCARSKMRITENFQADMTDFEGDERRNGGCKSNGGMVSEVQI >Potri.018G091401.1.v4.1 pep chromosome:Pop_tri_v4:18:11190495:11191234:-1 gene:Potri.018G091401.v4.1 transcript:Potri.018G091401.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:RBCS MSAGIFAASAVAAPGYHGLRALPTKQPFATKNSVARSSRTVSNGSKTFCMKTWNPINNKKFEALSYLPPLSEDSIAKEIDYMMKKGWIPCLEFDEVGSVRREHSRMPGYYDGRYWTLWKLPMFGCTDSSQVLEEIHECKKAYPDAYIRCLAFDNKHQGQCMAFVIQKPGN >Potri.008G044300.1.v4.1 pep chromosome:Pop_tri_v4:8:2512374:2512658:1 gene:Potri.008G044300.v4.1 transcript:Potri.008G044300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G044300.v4.1 MGSETFLEVILAIILPPVGVFLRYGCGVEFWICLLLTILGYIPGIIYALYVLVG >Potri.007G081750.1.v4.1 pep chromosome:Pop_tri_v4:7:10632565:10632984:-1 gene:Potri.007G081750.v4.1 transcript:Potri.007G081750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G081750.v4.1 MGGEIVDKQWNTNFEVQVQFLHSLHDGNQCADFLVRTGSSQTSTCELWFHHLPGMDQDELLLAADIMGIALLSVFVFVYTIFCTQKEGGPFCRTLKFD >Potri.005G093200.1.v4.1 pep chromosome:Pop_tri_v4:5:6537116:6542248:1 gene:Potri.005G093200.v4.1 transcript:Potri.005G093200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G093200.v4.1 MSLLNDLININLSDTTEKIIAEYLWIGGSGMDLRSKARTLPGPVTDPAELPKWNFDGSSTGQAPGDDSEVILYPQSIFKDPFRKGNNILVMCDAYTPAGEPIPTNKRFNAAQIFSDPVVAAEEPWYGIEQEYTLLQKDINWPLGWPVGGFPGPQGPYYCSVGADKSFGRDIVDAHYKACLYAGINISGINGEVMPGQWEFQVGPSVGISAGDEVWVARYILERIAEIAGVVVSFDPKPIPGDWNGAGAHTNYSTKSMRNEGGIAVIKKAIEKLGLRHKEHIAAYGEGNERRLTGRHETADINTFSWGVANRGASIRVGRDTEKEGKGYFEDRRPASNMDPYVVTSMIAETTIIWRP >Potri.009G036100.1.v4.1 pep chromosome:Pop_tri_v4:9:4573276:4575735:1 gene:Potri.009G036100.v4.1 transcript:Potri.009G036100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G036100.v4.1 MMIASFKSLHFLLGLFVSLKLLALAQEENHFIYHGFTGANLLLSENAKIDPNGLLELTNTSKRQIGRAFFPFPFQFNTSLFNNSRSLSFSTQFAFAMVPELPTLGGHGMAFTISPSVDFTGTMATQYFGILNSTSNGLSSNHLLAVELDAVPSPDLKDINDNHVGIDVDSLISIESAPVTYFSDKEKENKSLTLTSGHVMHVWIDYDEVEKLLNVTVAPVTRTKPTLPLLSTSLDLSSVMLDSMYVGFSASTGAVASSHYILGWSFNRGGQAQSLDVSKLPSLPPQRKSRKKPYLRILVPTITAIILLVAFSGAAYIIRRKKYEELREDWEQEYGPQRFSYKDLYKATTGFTDRKLLGSGGFGKVYRGVLPSSNMQVAIKKVSHDSKQGTKQFVAEIASMGRLRHRNLVQLLGYCRRKGELLLVYDYMPNGSLDKLLFRNDTPSLNWVQRYQVLRGVASALLYLHEEWEQVVLHRDVKASNILLDDDFNGRLGDFGLAKFYDRGANPQTTCVVGTVGYIAPEVTRTGRATTSSDVFAFGTFMLEMACGRKPLEPEQSAEKMILVDWVLDSWKIGDILRTGDPRLEGNYEVEEMELVLRLGLLCSFSTPQARPSMRQIAQYLDGNASLPEMPLDVASIGLMPVSHEEPGGFNLSFHRSNDYSAHSFSSTDSILSCGR >Potri.T012700.1.v4.1 pep scaffold:Pop_tri_v4:scaffold_25:238131:240002:1 gene:Potri.T012700.v4.1 transcript:Potri.T012700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.T012700.v4.1 MSLANSCALLLPFFLTLSLYITFSTAANTIGVTHTTVAVAADNVPLPKKVATFLPSDISPPTEKYWYSRLPNTPLPKALRYLLQPGHYPSITREFANENVSAAVILRRYRITYSKRGYKRGDKKSLNSALPDSTIFYQYDDLHPGKKMKVLFTDSGTKVSFLPRQVAESIPFSSDKIPEILKYFALEVNSKEAQVIREEIGGCEEPKMEGEDKYCATSLESLIDFTVERLGQNVRVLSTEAGKKQEYTVSAEVRMIGDHKAAVCHKMRYPYAVHYCHVIADTEVDEVPLVGADGTKVKAVTVCHLNTSAWSPDHMAFQVLKIKPGPAVCHFLDSDTLVWVPKKDKKMTP >Potri.001G150800.3.v4.1 pep chromosome:Pop_tri_v4:1:12604533:12615925:-1 gene:Potri.001G150800.v4.1 transcript:Potri.001G150800.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G150800.v4.1 MQTPTLPSLLLLLLSLSSITAALSDDGGFCSAPPSPFETETASSKPLYWRVTNPTLSPSHLQDLPGFTRSVYERDHALITPESHVFSPLPEWTNTLGAYLMTPAMGSHFVMYLAKMQENSRSGLPPNDVERFLFVVQGSATLSNAYGAHHQMTAMDSYAYLPPNFEHSLECGASATLAVFEHRYDSLESHVTEQIVGSTDQQQARFLNLESFCLHHCNMTSISI >Potri.016G091100.1.v4.1 pep chromosome:Pop_tri_v4:16:7461109:7464892:1 gene:Potri.016G091100.v4.1 transcript:Potri.016G091100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G091100.v4.1 METVTKNGYQNGSLESLCVNQLDPLSWGVAAEAMKGSHLDEVKRMVADYRKPVVKLGGETLTIAQVASIAGHDTGDVKVELSESARPGVKASSDWVMDSMDKGTDSYGVTTGFGATSHRRTKQGGALQKELIRFLNAGIFGNGTETCHTLPHSATRAAMLVRINTLLQGYSGIRFEILEAITRLLNNNITPCLPLRGTITASGDLVPLSYIAGLLTGRPNSKATGPTGEVLDAAEAFKAAGIESGFFELQPKEGLALVNGTAVGSGLASMVLFETNVLAVLSELLSAIFAEVMNGKPEFTDHLTHKLKHHPGQIEAAAIMEHILDGSAYMKAAKKLHETDPLQKPKQDRYALRTSPQWLGPQIEVIRFSTKSIEREINSVNDNPLIDVSRNKAIHGGNFQGTPIGVSMDNVRLAIASIGKLLFAQFSELVNDFYNNGLPSNLTASRNPSLDYGFKGAEIAMASYCSELQYLANPVTTHVQSAEQHNQDVNSLGLISSRKTAEAVDILKLMSTTFLVALCQAIDLRHLEENLKSAVKNTVSQVSKRVLTTGANGELHPSRFCEKELLKVVDREYVFAYVDDPCSATYPLMQKLRQVFVDHALENGENEKNFSTSVFQKIEAFEEELKALLPKEVESARAAYDSGNSAIDNKIKECRSYPLYKFVREELGTVLLTGEKVQSPGEEFDKVFTAMCQGKIIDPMLECLGEWNGSPLPIC >Potri.012G111100.1.v4.1 pep chromosome:Pop_tri_v4:12:13098596:13102393:1 gene:Potri.012G111100.v4.1 transcript:Potri.012G111100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111100.v4.1 MREAKRITLRLAIVLLLAFVSFNQLFADDDTIFHETFDESFSGRWIVSEKEDYKGVWKREKSEGHDDYGLLVSEPAKKYAIVKELDEPVSLKDGIVLQFETRLQSGLECGGAYLKYLRPQEAGWVPKGFDSESPYSIMFGPDKCGTTNKVHFILKHKNPKSGEYVEHHLKFPPSVPHDKHTHVYTAILKSDNELQILVDGEEKKKANFLTSDDFEPPLVPAKTIPDPDDVKPEDWDERAKIPDPEAAKPEDWDEDAPLEIVDEDAVKPEGWLDDEPEEIDDPEATKPEDWDEEEDGEWEAPKTDNPKCEEAPGCGEWKKPMKRNPAYKGKWHAPLIDNPNYKGIWKPQDIPNPDYFELDQPDFEPIAAVGIEIWTMQDGILFDNILVAKDEKAAASIRDTTWKPKFDAEKEKQKAEEAATGTDGLAGFQKKVFELLYQAAELSFLSKHKDKIIELIEKAEKQPNITIGVLVSVVVVILTLFFRIIFGGKKAKVEKKPEPVAETSNKEGSSSGDEKKEETEAENEAAAPARRRPSRREN >Potri.012G111100.5.v4.1 pep chromosome:Pop_tri_v4:12:13098488:13102178:1 gene:Potri.012G111100.v4.1 transcript:Potri.012G111100.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G111100.v4.1 MREAKRITLRLAIVLLLAFVSFNQLFADDDTIFHETFDESFSGRWIVSEKEDYKGVWKREKSEGHDDYGLLVSEPAKKYAIVKELDEPVSLKDGIVLQFETRLQSGLECGGAYLKYLRPQEAGWVPKGFDSESPYSIMFGPDKCGTTNKVHFILKHKNPKSGEYVEHHLKFPPSVPHDKHTHVYTAILKSDNELQILVDGEEKKKANFLTSDDFEPPLVPAKTIPDPDDVKPEDWDERAKIPDPEAAKPEDWDEDAPLEIVDEDAVKPEGWLDDEPEEIDDPEATKPEDWDEEEDGEWEAPKTDNPKCEEAPGCGEWKKPMKRNPAYKGKWHAPLIDNPNYKGIWKPQDIPNPDYFELDQPDFEPIAAVGIEIWTMQDGILFDNILVAKDEKAAASIRDTTWKPKFDAEKEKQKAEEAATGTDGLAGFQKKVFELLYQAAELSFLSKHKDKIIELIEKAEKQPNITIGVLVSVVVVILTLFFRIIFGGKKAKVEKKPEPVAETSNKEGSSSGDEKKEETEAENEAAAPARRRPSRREN >Potri.017G081000.1.v4.1 pep chromosome:Pop_tri_v4:17:8992854:8994916:-1 gene:Potri.017G081000.v4.1 transcript:Potri.017G081000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G081000.v4.1 MRECISIHIGQAGIQVGNACWELYCLEHGIQPDGQMPGDKTVGGGDDAFNTFFSETGAGKHVPRAVFLDLEPTVIDEVRTGAYRQLFHPEQLISGKEDAANNFARGHYTIGKEIVDLCLDRIRKLADNCTGLQGFLVFHAVGGGTGSGLGSLLLERLSVDYGKKSKLGFTVYPSPQVSTSVVEPYNSVLSTHSLLEHTDVSVLLDNEAIYDICRRSLDIERPTYTNLNRLVSQVISSLTASLRFDGALNVDVTEFQTNLVPYPRIHFMLSSYAPVISAEKAYHEQLSVAEVTNSAFEPSSMMAKCDPRHGKYMACCLMYRGDVVPKDVNAAVATIKTKRTIQFVDWCPTGFKCGINYQPPTVVPGGDLAKVQRAVCMISNSTSVAEVFSRIDHKFDLMYAKRAFVHWYVGEGMEEGEFSEAREDLAALEKDYEEVGAESAEGEDDDGEEYM >Potri.003G033100.1.v4.1 pep chromosome:Pop_tri_v4:3:3727392:3736113:1 gene:Potri.003G033100.v4.1 transcript:Potri.003G033100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033100.v4.1 MYGGSSKRGGRGGGGSGPRRSSLPPPPPVHRPTPASRLSLGSSNNNPRNNRPGPGPINAKSSSSSNPGVEETFSLIPGNNPLAFAMIIRLAPDLVDEIRRIEAQGGTARIKFGSMANNPDGNVIDVGGKEFRFTWSRELGDLCDIYEERQGGVDGNGLLVESGCAWRKVNVQRILDESTKNHVKMLSEEAERKFKSRKAIVLDHGNPAAKSQIKQLAAVESNPWRTFKQKKEPPFKKRKVEPPQGGGGFPKSTYKPALPSTAIVKGRLSSSPLPSPPEQSGAPASPFGTGSITKHHVSTEEYIPTQMKNKENAASSENEIPARATSSLWETTGRKGNMGAKPMDLQSMLVNLLIQNPKGMSLKALEKAVSGTIPNSAKKIEPIIKKIANFQAPGRYILKPGMESEKFKKPSSESGSSPEDNHQQAHAPEDNCGQRPDPEPRFAGKNPAVASKELVQSNSKLGEESNALEKRDIDQSSPDLFGEKKVSDNSEGQAGSSSDSGSDSDSESDSSDSGSDSGSRSRSRSPVGSGTGSSSDSESDASSNSKQGSDEDVDIMTSDDDKEPGHKLQTAEPGLLASPDPWRSVPNGIDEKLDGNKSAAVDIEGHGSAAVDIEGHESDAVEIEGHESDAVEIDKDLAGDEKDIKITKNDSLVSSREGEKPVQGAESTFHDHDMIQERQMFIGNLFDDDDNMARDSSRHEQSDSSERTSKSKSKRGLDVKPFDSKSERVKRLKAESLSRVPTSKGRDAQFSGSPHDKHNEDMYKGPAIQVMDRADKQASDFGSEKLYNQAISGKSNPDFQQSGRRSSDQNARLKAQEAASRSKHAEGSGISCKFPEKGSYVHEAFSIHREKASRDTQNEDTFSKEKKVPRNSKEGGAGGRHSAPFDAHYRKQGEAFGRPKDAGQISNSNFGFSPKDSNRVDMEKYPVVSGRGLHRELSDLELGELREPLLEETPVKKRFERKGSFKHSENKSSTSDNCNSDINKGKSIGKVSLDSGKPSPNLSAGVKRSPEHRVDDLTRPNHKAMQSQPQHVSSVDNLDVGSGFSKLADSSSRLTQNETSAKLGNSMEGYGESHKKAPLSAQKLHESKCGTLPHSIKESKTQPSNLMADLINGRKDTLMTEDSNNVSKKRESSSEDDSSSYSKYEKDEPELRGPIKDFSQYQEYVQEYRDKYDSYCSLNRILESYRNEFHKLGKDLESAKGRDMDRYHNILVQLKDSYSQCGMKHRRLKKIFIVLHKELEQLKQRIKDFVPSNTKD >Potri.003G033100.4.v4.1 pep chromosome:Pop_tri_v4:3:3729373:3735565:1 gene:Potri.003G033100.v4.1 transcript:Potri.003G033100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033100.v4.1 MKNKENAASSENEIPARATSSLWETTGRKGNMGAKPMDLQSMLVNLLIQNPKGMSLKALEKAVSGTIPNSAKKIEPIIKKIANFQAPGRYILKPGMESEKFKKPSSESGSSPEDNHQQAHAPEDNCGQRPDPEPRFAGKNPAVASKELVQSNSKLGEESNALEKRDIDQSSPDLFGEKKVSDNSEGQAGSSSDSGSDSDSESDSSDSGSDSGSRSRSRSPVGSGTGSSSDSESDASSNSKQGSDEDVDIMTSDDDKEPGHKLQTAEPGLLASPDPWRSVPNGIDEKLDGNKSAAVDIEGHGSAAVDIEGHESDAVEIEGHESDAVEIDKDLAGDEKDIKITKNDSLVSSREGEKPVQGAESTFHDHDMIQERQMFIGNLFDDDDNMARDSSRHEQSDSSERTSKSKSKRGLDVKPFDSKSERVKRLKAESLSRVPTSKGRDAQFSGSPHDKHNEDMYKGPAIQVMDRADKQASDFGSEKLYNQAISGKSNPDFQQSGRRSSDQNARLKAQEAASRSKHAEGSGISCKFPEKGSYVHEAFSIHREKASRDTQNEDTFSKEKKVPRNSKEGGAGGRHSAPFDAHYRKQGEAFGRPKDAGQISNSNFGFSPKDSNRVDMEKYPVVSGRGLHRELSDLELGELREPLLEETPVKKRFERKGSFKHSENKSSTSDNCNSDINKGKSIGKVSLDSGKPSPNLSAGVKRSPEHRVDDLTRPNHKAMQSQPQHVSSVDNLDVGSGFSKLADSSSRLTQNETSAKLGNSMEGYGESHKKAPLSAQKLHESKCGTLPHSIKESKTQPSNLMADLINGRKDTLMTEDSNNVSKKRESSSEDDSSSYSKYEKDEPELRGPIKDFSQYQEYVQEYRDKYDSYCSLNRILESYRNEFHKLGKDLESAKGRDMDRYHNILVQLKDSYSQCGMKHRRLKKIFIVLHKELEQLKQRIKDFVPSNTKD >Potri.003G033100.3.v4.1 pep chromosome:Pop_tri_v4:3:3727840:3735873:1 gene:Potri.003G033100.v4.1 transcript:Potri.003G033100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G033100.v4.1 MLSEEAERKFKSRKAIVLDHGNPAAKSQIKQLAAVESNPWRTFKQKKEPPFKKRKVEPPQGGGGFPKSTYKPALPSTAIVKGRLSSSPLPSPPEQSGAPASPFGTGSITKHHVSTEEYIPTQMKNKENAASSENEIPARATSSLWETTGRKGNMGAKPMDLQSMLVNLLIQNPKGMSLKALEKAVSGTIPNSAKKIEPIIKKIANFQAPGRYILKPGMESEKFKKPSSESGSSPEDNHQQAHAPEDNCGQRPDPEPRFAGKNPAVASKELVQSNSKLGEESNALEKRDIDQSSPDLFGEKKVSDNSEGQAGSSSDSGSDSDSESDSSDSGSDSGSRSRSRSPVGSGTGSSSDSESDASSNSKQGSDEDVDIMTSDDDKEPGHKLQTAEPGLLASPDPWRSVPNGIDEKLDGNKSAAVDIEGHGSAAVDIEGHESDAVEIEGHESDAVEIDKDLAGDEKDIKITKNDSLVSSREGEKPVQGAESTFHDHDMIQERQMFIGNLFDDDDNMARDSSRHEQSDSSERTSKSKSKRGLDVKPFDSKSERVKRLKAESLSRVPTSKGRDAQFSGSPHDKHNEDMYKGPAIQVMDRADKQASDFGSEKLYNQAISGKSNPDFQQSGRRSSDQNARLKAQEAASRSKHAEGSGISCKFPEKGSYVHEAFSIHREKASRDTQNEDTFSKEKKVPRNSKEGGAGGRHSAPFDAHYRKQGEAFGRPKDAGQISNSNFGFSPKDSNRVDMEKYPVVSGRGLHRELSDLELGELREPLLEETPVKKRFERKGSFKHSENKSSTSDNCNSDINKGKSIGKVSLDSGKPSPNLSAGVKRSPEHRVDDLTRPNHKAMQSQPQHVSSVDNLDVGSGFSKLADSSSRLTQNETSAKLGNSMEGYGESHKKAPLSAQKLHESKCGTLPHSIKESKTQPSNLMADLINGRKDTLMTEDSNNVSKKRESSSEDDSSSYSKYEKDEPELRGPIKDFSQYQEYVQEYRDKYDSYCSLNRILESYRNEFHKLGKDLESAKGRDMDRYHNILVQLKDSYSQCGMKHRRLKKIFIVLHKELEQLKQRIKDFVPSNTKD >Potri.014G098200.1.v4.1 pep chromosome:Pop_tri_v4:14:6433162:6435589:-1 gene:Potri.014G098200.v4.1 transcript:Potri.014G098200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G098200.v4.1 MGILEVLFLIKLLRFMNAAADCSISACGIDDVPVRFPFRLQGNQPRNCGYPGFDLSCNNRRTTVLKLPHSGDFLVRDIDYRTQQIQLYDKDNCLPKRLLQLNLSGSPFAAVFHQNYTFLSCPTQFVMSRFPIIDCLSNSTISVLATSSTFLVTLLSSLCDVISTLLIPASWPVQHYEGFSSKLSEDLLLTWFSPDCHQCETHGGTCGFHGNASQEILCSNNSHRGNSRQVGILFVSIGIPVLVCACGMAISAYLMIWHARRNAVSNTQRNTATATVSPRPTILVMGLDESTIESFDKLVLGESKRLPGPNGSTCAICLSEYNSKETLRMIPECKHCFHADCVDEWLRMNGTCPVCRKSPSPAHVTSSNISH >Potri.017G065500.9.v4.1 pep chromosome:Pop_tri_v4:17:7423949:7433844:1 gene:Potri.017G065500.v4.1 transcript:Potri.017G065500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065500.v4.1 MGSSNGFYSEEFDLDAKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQIVAIKIVHGGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNARPNFSQIIQMLLHYLSTISAPKPVIPARIFTSENAVLPPESPGTSSLMAVRDDLGETPRTQIEDKPKSFFFCFNQCY >Potri.017G065500.4.v4.1 pep chromosome:Pop_tri_v4:17:7423907:7433779:1 gene:Potri.017G065500.v4.1 transcript:Potri.017G065500.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065500.v4.1 MGSSNGFYSEEFDLDAKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQIVAIKIVHGGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNARPNFSQIIQMLLHYLSTISAPKPVIPARIFTSENAVLPPESPGTSSLMAVRDDLGETPRTQIEDKPKSFFFCFNQCY >Potri.017G065500.11.v4.1 pep chromosome:Pop_tri_v4:17:7423883:7433650:1 gene:Potri.017G065500.v4.1 transcript:Potri.017G065500.11.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065500.v4.1 MGSSNGFYSEEFDLDAKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQIVAIKIVHGGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNARPNFSQIIQMLLHYLSTISAPKPVIPARIFTSENAVLPPESPGTSSLMAVRDDLGETPRTQIEDKPKSFFFCFNQCY >Potri.017G065500.8.v4.1 pep chromosome:Pop_tri_v4:17:7423946:7433803:1 gene:Potri.017G065500.v4.1 transcript:Potri.017G065500.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065500.v4.1 MFSNVILEFMNRYKNQIVAIKIVHGGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNARPNFSQIIQMLLHYLSTISAPKPVIPARIFTSENAVLPPESPGTSSLMAVRDDLGETPRTQIEDKPKSFFFCFNQCY >Potri.017G065500.2.v4.1 pep chromosome:Pop_tri_v4:17:7424555:7428570:1 gene:Potri.017G065500.v4.1 transcript:Potri.017G065500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065500.v4.1 MGSSNGFYSEEFDLDAKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQIVAIKIVHGGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKVSNAVSILS >Potri.017G065500.12.v4.1 pep chromosome:Pop_tri_v4:17:7423946:7433787:1 gene:Potri.017G065500.v4.1 transcript:Potri.017G065500.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065500.v4.1 MFSNVILEFMNRYKNQIVAIKIVHGGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNARPNFSQIIQMLLHYLSTISAPKPVIPARIFTSENAVLPPESPGTSSLMAVRDDLGETPRTQIEDKPKSFFFCFNQCY >Potri.017G065500.10.v4.1 pep chromosome:Pop_tri_v4:17:7423946:7433748:1 gene:Potri.017G065500.v4.1 transcript:Potri.017G065500.10.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G065500.v4.1 MGSSNGFYSEEFDLDAKWLIDPKLLFVGPKIGEGAHAKVYEGKYKNQIVAIKIVHGGETPEEIAKREARFAREVAMLSRVQHKNLVKFIGACKEPVMVIVTELLLGGTLRKYLLNMRPRCLDMRLAVGFALDIARAMECLHSHGIIHRDLKPENLILTADHKTVKLADFGLAREESLTEMMTAETGTYRWMAPELYSTVTLRHGEKKHYNHKVDAYSFAIVLWELIHNKLPFEGMSNLQAAYAAAFKNVRPSAENLPEDLALIVTSCWKEDPNARPNFSQIIQMLLHYLSTISAPKPVIPARIFTSENAVLPPESPGTSSLMAVRDDLGETPRTQIEDKPKSFFFCFNQCY >Potri.019G075201.1.v4.1 pep chromosome:Pop_tri_v4:19:11619059:11623406:-1 gene:Potri.019G075201.v4.1 transcript:Potri.019G075201.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G075201.v4.1 MELGSIVEFLNNKSILVIGATGFLAKIFVEKILRVQPKVKKLYLLLRAVDTKSAEERLHNEVIGMALFDVLREQHGASLYSFVYEKVTPVPGDISCVDLGIRDSLLKDQIWNEIDTVINCAATTNFDERYDVALGINTLGPLHLLNFVKKCNNIKVLVHLSTAYVCGEDAGFIQEKPFRLGKAKKGTGMIDIDIEKKLVEEKLRKLHSEHAEESAVTSYMKDYGTERARMFGWPNTYVFTKAMGEMLLVHYNRDTVPLVIIRPTMVTSTYQEPFPGWIEGVRTIDGVVVGYAKGKLKHFPFNPQLIVDVIPADMVINALIMAMVEYANRSNTSEIIYHVGSSLRNPFTFSNLNELFFLYFTQNPLIDKEGKPIKVGKIKAFRSMATFRIYMAIRYSLPLKVFQYLAIIAGLFQKPYKDKYTALDRNLKRGMRLAELYEPYVFFKGIFDDTNSEKLQIAARETCSEADAFNFDPTSINWEAYMMDVHFPGLVKYVLK >Potri.004G207400.1.v4.1 pep chromosome:Pop_tri_v4:4:21490935:21493844:-1 gene:Potri.004G207400.v4.1 transcript:Potri.004G207400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G207400.v4.1 MMALAAAAGGGGGNRIASSSSSIISRNNRAASSIPTITLPLISKLRNNNKHTNNSLHHPFQYKLPTTSNCVAKTSSTVSAAPVQQTINPTTSTTPWSEFASKISGEWDGFGADFTTEGKPIELPESVVPEAYREWEVKVFDWQTQCPTLAQPQDFLMTYKTIKLLPTVGCEADAATRYSIDERVVGGVENNVSAFAYQSGGSYVAVWPIVDNGQCKQLELEHCLINPQDKESRMRIVQVVHVANTAIELQSIRVFCEQWYGPFRNGDQLGGCAIRDSAFASTASLRASEVVGVWQGPSAVATFDSSQNVLLQELSDDIVQKSVRDERDIILLPRQLWCSLKGNKDGETCCEVGWLFDRGSAVTSRCIFSSDVKLKEILIAQETAISEDV >Potri.005G046300.1.v4.1 pep chromosome:Pop_tri_v4:5:2930320:2932486:1 gene:Potri.005G046300.v4.1 transcript:Potri.005G046300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G046300.v4.1 MALSTVTYSPAQKGIVISIPTLVLSVLIAAIMLFFLLSSLSTCSCPSSLQSFNDNNNGGDGLGEVDRKERISATEEDIEWIKDQIQANGLHMQDNVLRKGINPRTRAQQLQDLIQFKGISHYEGPESDNHTALPCPGELLVEEHHSNYGEPWAGGRDVFEFLAESSRLSPNSRVLEIGCGTLRVGSHFIRYLSPEHYHCLERDELSLMAAFRYELPSQGLLHKRPLIVRGEDMDFSKFGSRVVYDLIYASAVFLHMPDKLVWVGLERLVSKLKPYDGRIFVSHNMKFCSRLGGEECTKRLTSLGLEYLGKHTHDSLLFNHYEIWFEFRRSKA >Potri.007G058400.1.v4.1 pep chromosome:Pop_tri_v4:7:6218856:6223729:1 gene:Potri.007G058400.v4.1 transcript:Potri.007G058400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G058400.v4.1 MTAGKLYQQLQQQQQVQLSMCSLKGSLLTLAILTLISFTYLSFNSLHSSPSPSISASSVSLLPATETTTKTVVVDVGDGENDEISDLYHSPRVFKLNYEEMEQNFKIYIYPDGDPNTFYQTPRKLTGKYASEGYFFQNIRESRFRTLDPDQAHLFFIPISCHKMRGKGTSYENMTVIVENYVESLIAKYPYWNRTLGADHFFVTCHDVGVRATEGVPFLIKNAIRVVCSPSYDVGFIPHKDVALPQVLQPFALPAGGNDVENRTTLGFWAGHRNSRIRVILARVWENDTELDISSNRINRATGHLVYQKRFYGTKFCICPGGSQVNSARIADSIHYGCVPVILSNYYDLPFNDILDWHKFSVILKEQDVYQLKQILKDIPDNQFVSLHKNLIKVQKHFQWNSPPIKNDAFHMVMYDLWLRRHVIKY >Potri.002G123000.2.v4.1 pep chromosome:Pop_tri_v4:2:9307403:9309462:-1 gene:Potri.002G123000.v4.1 transcript:Potri.002G123000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G123000.v4.1 MTSRYNPEISEQQQYQQNPTFLYDSLYCSEENWEKEVREDYFQDEVKGEYFYSIDSNKRNTFPVFVQQDLSWEEEELSSLFAKEEQNQLYKILEINPSLARARCEAVEWILKVNVHYSFSAVTAVLAVNYLDRFLLSVHLEKDKPWMAQLAAVACLSLAAKVEETQVPLLLDFQVEDSKYVFEAKTIQRMEILVLSTLKWKMNPITPISFLDYIIRRLGLKDYLCLEFLKRCERIVLSVVPDSRSMLYVPSVMATAVMLYIIDGVEPSLAAEYQSQLLGILGIDKDKVEDCSKLAMELAPRDHFKFSSKRKYSSIPGSPNGVIDVSFSSDSSNYSWSVVSSVSSSPEPLSKKTRALQSLNDATTDFLSLPR >Potri.003G146600.2.v4.1 pep chromosome:Pop_tri_v4:3:16111116:16114025:1 gene:Potri.003G146600.v4.1 transcript:Potri.003G146600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G146600.v4.1 MAETKNQQGFVFAGKKRSSTGQDGDDDCIVANKKAMLSTGMPSTWEDPAAALATSRHEFGEHGGVNMSTEASATFTVMEPETMRRMFSSELGTSAISSVLLQLCCSGGRMVASRTLYGGIHALLTHSYQGRTKVLYFESMSNPTLMVANIPEVSRIARDKGVTAVVDNTFAPMVLSPARLGGDVVVRSISKFISGGADLIAAIFWCIPKLKTRIIANASMEYPQVLSVEQALAGISPGLVRMSVGFNGTLAQKWSQFEKAFSELQDSGLFFRVG >Potri.012G086700.1.v4.1 pep chromosome:Pop_tri_v4:12:11208334:11213063:-1 gene:Potri.012G086700.v4.1 transcript:Potri.012G086700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G086700.v4.1 MPQESSSETDPDDSDAEFVEIDPTGRYGRYKEVLGRGAFKKVYRAFDEFEGIEVAWNQVKVADLLRNSVDLERLYSEVHLLNTLKHKNIIKFYNSWIDTKNENINFITEIFTSGTLRQYRQKHKHVGLRALKKWSRQILEGLLYLHSHDPPVIHRDLKCDNIFVNGNQGEVKIGDLGLAAILQQARSAHSVIGTPEFMAPELYEEEYNELVDIYAFGMCLLELVTVEYPYVECTNAAQIYKKVTSGIKPASLAKVKDPAVKAFIEKCTAKVSDRLPAKELLMDPFLQSDEENESVGHSFRPKAHSSGGSSDQIDVNEIAKDSSAEPIRDFTVQGQRKDINTIFLKLRIADSTGHIRNIHFPFDVEVDTAIAVASEMVEELDLTDQDVSTIAAMIDSEIRSHIPGWASHNVSLENLVAEDSEPPFETKDDSSPLSNESIPSPGSLVLERLPSGRKYWSDSPKDVDGNSPSKLGRSNLSCHEPSLSCPNAARSNGQLEAESMSDDDDNSAKHGSNRSDDLQYANRNSTSVKIIAEKLDKLLVMQQQELEELKRKHKVAILDLLNEVSPEIRQDVLNICKLKIPVHEMP >Potri.009G147900.1.v4.1 pep chromosome:Pop_tri_v4:9:11685504:11686235:-1 gene:Potri.009G147900.v4.1 transcript:Potri.009G147900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G147900.v4.1 MALIPSFFDNQRGNNIFDPFSSLDIWDPFKDLTPFISSSNSLFSRENPAFVNTRIDWKETPEAHVFQADLPGLKKEEVKVEIEDDRVLQISGQRNVEKEDKNDTWHRVERSSGKFLRRFRLPENARMDQIKACMENGVLTVTVPKEEVKKPDVKTVEISE >Potri.019G023014.4.v4.1 pep chromosome:Pop_tri_v4:19:621679:625124:1 gene:Potri.019G023014.v4.1 transcript:Potri.019G023014.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023014.v4.1 MHGCHRSCWSNCPAVGKLSNFHMRGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFIDEIKSTLKSLFYKNHIENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHDDLKGMLFLYEASYFLVEGERILEDARDFTTKNLEKYVKKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVSGQTYVNHIYWRLSGTSVDIHQLFKNTWTMHGFQFQDQ >Potri.019G023014.1.v4.1 pep chromosome:Pop_tri_v4:19:621680:625124:1 gene:Potri.019G023014.v4.1 transcript:Potri.019G023014.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023014.v4.1 MALTHFASSFCTLPTLASPSRALAGPVSSKNYRSLPTKVRCMVATEAAGQIVRRSANYQTSICEYDFVQSLTSKYKGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFIDEIKSTLKSLFYKNHIENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHDDLKGMLFLYEASYFLVEGERILEDARDFTTKNLEKYVKKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVSGQTYVNHIYWRLSGTSVDIHQLFKNTWTMHGFQFQDQ >Potri.019G023014.5.v4.1 pep chromosome:Pop_tri_v4:19:621680:625124:1 gene:Potri.019G023014.v4.1 transcript:Potri.019G023014.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023014.v4.1 MHGCHRSCWSNCPAVGKLSNFHMRGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFIDEIKSTLKSLFYKNHIENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHDDLKGMLFLYEASYFLVEGERILEDARDFTTKNLEKYVKKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNFMNRLPTI >Potri.019G023014.3.v4.1 pep chromosome:Pop_tri_v4:19:621680:624242:1 gene:Potri.019G023014.v4.1 transcript:Potri.019G023014.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023014.v4.1 MALTHFASSFCTLPTLASPSRALAGPVSSKNYRSLPTKVRCMVATEAAGQIVRRSANYQTSICEYDFVQSLTSKYKGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFIDEIKSTLKSLFYKNHIENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHDDLKGMLFLYEASYFLVEGERILEDARDFTTKNLEKYVKKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNFMNRLPTI >Potri.019G023014.2.v4.1 pep chromosome:Pop_tri_v4:19:621680:625124:1 gene:Potri.019G023014.v4.1 transcript:Potri.019G023014.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G023014.v4.1 MALTHFASSFCTLPTLASPSRALAGPVSSKNYRSLPTKVRCMVATEAAGQIVRRSANYQTSICEYDFVQSLTSKYKGEPYTARSEKLKANIRMMLANASKPLDQLELIDALQRLGLSYHFIDEIKSTLKSLFYKNHIENTKTVHDLYATALEFRLLRQHGYKVPQEVFNHFKDEQGNFRAWIHDDLKGMLFLYEASYFLVEGERILEDARDFTTKNLEKYVKKCNPSEYLSKMVSHALELPLAWRMLRLESNWFINVYETKTDMEPVLLELAKLDFNMVQAIHQEDLKHSSRWWKRTGLGEKLDFARDRLVENFLWTVGVIFEPQFGNCRRMLTKVNSLITTIDDVYDVYGTLDELELFTDAVVRWDLNFMNRLPTI >Potri.010G207100.8.v4.1 pep chromosome:Pop_tri_v4:10:19736148:19736261:-1 gene:Potri.010G207100.v4.1 transcript:Potri.010G207100.8.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207100.v4.1 MFDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >Potri.010G207100.7.v4.1 pep chromosome:Pop_tri_v4:10:19735947:19737460:-1 gene:Potri.010G207100.v4.1 transcript:Potri.010G207100.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G207100.v4.1 MFDDQDLGFFANFLGIFIFVLVIAYHYVMADPKYEGN >Potri.001G271300.1.v4.1 pep chromosome:Pop_tri_v4:1:28602753:28610790:-1 gene:Potri.001G271300.v4.1 transcript:Potri.001G271300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G271300.v4.1 MVRSHSARLVGWLARPSLSLNLLQESANSVHKSVLNGSYRRLSTTTGVCNPVRFIGNYSPKNVNQTNWLQLGALNAKFGATRSIHGSVHMSREYYDVLGVGKNASASDIKKAYYGLAKKLHPDTNKDDPEAEKKFQEVSKAYEVLKDDQKREQYDQLGHDAFENQDNYQPGGPGFESPFGDFRMEDIFSNVFRQNVAGQDVKVSVELSFMEAVQGCTKTITFQTDVPCETCGGEGVPPGVKPQMCKRCKGRGMISMQKGFFSFQQTCDQCGGTGQTFSSFCRSCHGHTVVRGSKTISLNIPTGVDDNETMKIHKSGGADPEKNQPGDLYVTIKVREDPVFRREGSNIHVDAVLGITQAILGGTIQVPTLTGDVVLKVRPGTQPGQKVVLKNKGIKGRGSYSFGDEFVHFNVSIPSNLTPRQRELIEEFAKEEHGEYEKRVVGASG >Potri.009G079800.1.v4.1 pep chromosome:Pop_tri_v4:9:7650834:7651109:1 gene:Potri.009G079800.v4.1 transcript:Potri.009G079800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G079800.v4.1 MASAAISRGEILYLYRSLLRTARQFCDYNIREYTKRRTIDAFRQNQNLTDSLAISAAYSDGKTQLDVAKRQAVVYSLYAPTIKSVMEAQSI >Potri.009G143600.2.v4.1 pep chromosome:Pop_tri_v4:9:11432207:11434699:-1 gene:Potri.009G143600.v4.1 transcript:Potri.009G143600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G143600.v4.1 MWTIVLCAIAVLAVYYTHWINRWRNPTCNGVLPPGSMGLPIIGETLELIIPSYSLDLHPFIKKRIQRFGPIFRTNILGRPAVVSADPEINNYIFQNEGKLVEMWYLDSFSKLFAQSGESRTNAFGIIHKYARSLTLTHFGSESLKERLLPQVENIVSKSLQMWSSNGSIDVKPAVSIMVCDFTAKQLFRYDAENSSDKISEKFTKVIDAFMCLPLNIPGTTYHKCLKDKDSTLNILRNTLKERMNSPAESRRGDFLDQVIADMDKEKFLTEDFIVNLIFGILFASFESISAALTLALKLIGDHPSVLEELTVEHEAVLKRRENLDSPLTWVEYNSMTFTLQVINETLRLGNVAPGLLRRSLQDIQVKGYTIPAGWVIMVVNSALHLNPTTFKDPLEFNPWRWKEFDSYAVSKNLMPFGGGRRQCAGSEFTKLLMAIFLHKLVTKYRWNIIKQGNIGRNPILGFGDGIHISFSPKDNRS >Potri.019G045600.3.v4.1 pep chromosome:Pop_tri_v4:19:6426259:6428831:-1 gene:Potri.019G045600.v4.1 transcript:Potri.019G045600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G045600.v4.1 MVLLALIWFDPAQLLLRQVWVCWAPLPTLLPKNNYWLRSALMMTLVRMNGLISLDRIIALTPVIALPQLLVVEGDPLTPTHVGLCFLQLLLRLSLPFTLKLAPPPLLEQMFARTVELLQFPLLVSPQVRLLPFLQLLLGLPLPGVGVAIVAAICGLMCCAI >Potri.001G401100.1.v4.1 pep chromosome:Pop_tri_v4:1:42727059:42729333:-1 gene:Potri.001G401100.v4.1 transcript:Potri.001G401100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G401100.v4.1 MGVYLLPSPASLAVFLSSFVTLFVHPRPAIAITRHYKFDVMLQNVTRLCHTKSMVTVNGKFPGPCIVAREGDRLLIKVVNHVQNNISIHWHGIRQLQSGWADGPAYVTQCPIQTGQSYVYNYTIVGQRGTLWWHAHISWLRSTLYGPLIILPKLGTPYPFAKPDKEVPIIFGEWFNADPEAIINQAMQTGGGPNVSDAYTINGLPGPLYNCSAKNTFKLKVKPGKTYLLRLINAALNEELFFSIANHTLTVVGVDAIYVKPFDTETLLIASGQTTDVLLKTKPHHPDAKFFMSARPYVTGQGTFDNSTVAGILEYEVARKTIQSSHTSKRLPLYKPNLPPLNDTSFATNFTSKLRSLASAEFPANVPQKVDRHFFFTVGLGTNPCSKNQTCQGPNGTRFAASVNNVSFVMPTKALLEAHHFGQSKGVYSPNFPISPLIPFDYTGTPQNNTMVSHGTKLVMLPFNTSVELIMQDTSILGAESHPLHLHGFNFFVVGQGFGNFDPKKDPANFNLVDPVERNTVGVPSGGWVAIRFLADNPGVWFLHCHVELHMSWGLMMAWVVLDGKLPNHRLLPPPVDLPKC >Potri.007G062700.1.v4.1 pep chromosome:Pop_tri_v4:7:7624304:7628166:-1 gene:Potri.007G062700.v4.1 transcript:Potri.007G062700.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G062700.v4.1 MGNCLRSLFNDPSTPSSIANNDPATSGTSKINYSNTTIDFSATSGSTACKSQFSEAVSHDEGNEATNNPNGQILESPNLKEFTFADLKSTTKNFKSDTLLGEGGFGKVYKGWIDERTYAPSKSGSGMVVAIKKLNPESVQGFQEWQSEVNFLGRLSHPNLVKLLGYCWEDKELLLVYEFMQKGSLENHLFRKNPNIEPLSWDIRLKIAVGAARGLTFLHTSDKKVIYRDFKASNILLDGNYNAKISDFGLAKLGPSGGESHVTTRVMGTYGYAAPEYIATGHLYVKSDVYGFGVVLLEMLSGRRALDTKRPTGQQNLIEWLKPLLSQKKKLKTTIMDARIEGQYSSKAMVQAAQLTLKCLEADPKNRPSMKEVLEVLEQIEAMKEKPKATKSTFGSSLSEPHRRGQQPNLHRFPFHSRSNEQETFKNNKKK >Potri.005G112200.1.v4.1 pep chromosome:Pop_tri_v4:5:8125871:8128543:-1 gene:Potri.005G112200.v4.1 transcript:Potri.005G112200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G112200.v4.1 MAGKVVDAEYSKEIEKARRDLRALIASKSCAPIMLRLAWHDAGTYDAKTKTGGPDGSIRNEKELAHAANNGIKIAIDFCEGIKAKHPKITYADLYQLAGVVAVEVTGGPTIDFVPGRKDSPESPEEGRLPDAKQGASHLRDVFYRMGLSDKDIVALSGGHTLGRAHRDRSGFDGPWTKEPLKFDNSYFQELLKGDSEGLLKLQTDRVLVEDPKFCKYVLLYAEDEDAFFSDYAASHKKLSELGFTPPSSSLKAITKNRTLLAQSAVGVAVAATVIILSYFYEINRRV >Potri.008G167200.1.v4.1 pep chromosome:Pop_tri_v4:8:11546829:11547854:-1 gene:Potri.008G167200.v4.1 transcript:Potri.008G167200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G167200.v4.1 MSRSRLAKKLQPAKKALKRFAKTLQSKLHDLNLSKAVKVIKTNTDRLLAYCSSRLFLPFKKRSITKPRGRSRQCNHRYSNKTLLHNTLPPIYIDNLYASEPSLMSARHFHAHAETSSGGKAVTVEKVVPRKEDKARKKSVYSIEDAWREVVARSPQLRPVDERAEEFIYKFREEIKLQKEKSILEYEERLARGA >Potri.001G249100.1.v4.1 pep chromosome:Pop_tri_v4:1:26516137:26524121:1 gene:Potri.001G249100.v4.1 transcript:Potri.001G249100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G249100.v4.1 MSSMLEILRPITHISPTLPPPLTKFHSIRLQSSRVLHHRFAPNINNNCLSFPSINPKSFSFLSNTKIRDYKILAKCQESDSTEKTSTETEPPNNPPSAPSSSSNSGSKQKREKRGKSEWWFSKKQNWKWQPLIQAQEIGVLLLQLGILMFVMRLLRPGIALPGSEPTQPTTFVSVPYSEFLSKISSNQVQKVEVDGVHIMFKLKNEGISSQKSGGGGSSSEVVSSKFQDSESLLRSVTPTTKRIVYTTTRPTDIKTPYEKMLEYQVEFGSPDKRSGGFLNSALIALFYAAVLAGLLHRFPVSFSQHKAGQIRNRKSGGSGGSKDSEQGETITFADVAGIDEAKEELEEIVEFLRNPDRYTRLGARPPRGVLLVGLPGTGKTLLAKAVAGEAEVPFISCSASEFVELYVGMGASRVRDLFTRAKKEAPSIIFIDEIDAVAKSRDGKFRIVSNDEREQTLNQLLTEMDGFDSNSAVIVLGATNRSDVLDPALRRPGRFDRVVMVETPDRNGREAILKVHVSKKELPLGEDVDLSDIASMTTGFTGADLANLVNEAALLAGRKNKVVVEKLDFIQAVERAIAGIEKKTARLQGSEKAVVARHEAGHAVVGTAVANILTGQPRVEKLSILPRSGGALGFTYIPATNEDRYLLFIDELRGRLVTLLGGRAAEEVVYSGRVSTGALDDIRRATDIAYKAVAEYGLNQTIGPVSLATLSGGGMDDSGAAPWGRDQGHLVDLVQGEVRALLLSALDVALSVVRANPTVLEGLGAYLEEKEKVEGKELQEWLKLVVAPKELVLFVEGKQESLLPLQAGS >Potri.012G078000.2.v4.1 pep chromosome:Pop_tri_v4:12:10200018:10201090:1 gene:Potri.012G078000.v4.1 transcript:Potri.012G078000.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G078000.v4.1 MEKMGTAEPNFEQLFEEKKRVRNPLVPVGALMTAGVLTAGLISFRRGNSQLGQVLMRARVVVQGATVALMVGTAFYYGDNPWKKPS >Potri.006G198600.2.v4.1 pep chromosome:Pop_tri_v4:6:20625162:20630858:1 gene:Potri.006G198600.v4.1 transcript:Potri.006G198600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G198600.v4.1 MKFGDTFMQYLQGDQTGNLVKCAHVEYKRLNEVLKNCRSQGSASASCKNEQQKDEGNNELSSGLSQFCHCESCPLCDQIFFSELMREASHIAGCFSSRVRHLLHLHVARGIQRYKLRLRQCFKNDQQTMAEEGRMLIEYVTMNTIAIRKILKKYDKVHCSVNGNNFKSKMQAEHIELLQSPWLIELGAFYLNFDGIDGGEFSEFCSQFSCDLNGIEPVMTLTLPNSTKVEYSLTCAICLETVFNPYALSCGHLFCKLCACSAAFVLMFEGLKTASSNAKCPICREAGVYTNAVHMLELDLLLKRRCNEYWKERMAAEHAEDEKQTREYWDSRTKYAIGY >Potri.018G111300.1.v4.1 pep chromosome:Pop_tri_v4:18:12875624:12880765:-1 gene:Potri.018G111300.v4.1 transcript:Potri.018G111300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111300.v4.1 MTVEDQRGCNSVNEKMFPVGMRVLAVDDDPICLKVLENLLRKCQYEVTTTNQAVTALEMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSSHGDKEFVFKGITHGAVDYLLKPVRLEELKNIWQHVIRRKKWYPQDQNGSPDQDKGGDGAGEGEQVTSTGSADQNGKVNRKRKDQDEEEEGEGEDGNDNEESGNQKKPRVVWSVELHQKFVSAVNQLGLDKAVPKKILDLMNVDGLTRENVASHLQKFRLYLKRLSCGANQQPNMVAAFGAKDSSYLRMGSLDGFGDFRSVHGPGQLSTTSLSSYPPGSLLGRLNSPGGLTLQGIASPGLLQPGHSRSLNNPLNTLGKLQPGLLQTNRGSTNLFQGISSALDPKQFQLKSMNHSGDFNHKGDATSFTLAGCFPDVKVTIGSLGNTISSAANNPQMLHVNPQQNQTRRSLATQSSLSMPSLNQESFDVGVRGSSNFLDHSRCDDNWQGVVQMSTFPSNSLPLGEPFRHDPLPTSTRRDNISSTTSHIVNNPLDFSSSGSLTAPLEDSRLDMQGQADLVGNIFHNTNYTSKNRWGENSQNFNPCLNGSFGAMNSLVSGNGSMNPLSQSMDQRKRFDASVLGQSNSGTLSMFQHLEAGNSALDPTGMRIPKMRSNEDFLLEQTKSPNGFVQNNYDSLDDIVNAMIKRDQNDGVLMDGEFGFESYSPGSCI >Potri.018G111300.4.v4.1 pep chromosome:Pop_tri_v4:18:12875569:12880429:-1 gene:Potri.018G111300.v4.1 transcript:Potri.018G111300.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111300.v4.1 MTVEDQRGCNSVNEKMFPVGMRVLAVDDDPICLKVLENLLRKCQYEVTTTNQAVTALEMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSSHGDKEFVFKGITHGAVDYLLKPVRLEELKNIWQHVIRRKKWYPQDQNGSPDQDKGGDGAGEGEQVTSTGSADQNGKVNRKRKDQDEEEEGEGEDGNDNEESGNQKKPRVVWSVELHQKFVSAVNQLGLDKAVPKKILDLMNVDGLTRENVASHLQKFRLYLKRLSCGANQQPNMVAAFGAKDSSYLRMGSLDGFGDFRSVHGPGQLSTTSLSSYPPGSLLGRLNSPGGLTLQGIASPGLLQPGHSRSLNNPLNTLGKLQPGLLQTNRGSTNLFQGISSALDPKQFQLKSMNHSGDFNHKGDATSFTLAGCFPDVKVTIGSLGNTISSAANNPQMLHVNPQQNQTRRSLATQSSLSMPSLNQESFDVGVRGSSNFLDHSRCDDNWQGVVQMSTFPSNSLPLGEPFRHDPLPTSTRRDNISSTTSHIVNNPLDFSSSGSLTAPLEDSRLDMQGQADLVGNIFHNTNYTSKNRWGENSQNFNPCLNGSFGAMNSLVSGNGSMNPLSQSMDQRKRFDASVLGQSNSGTLSMFQHLEAGNSALDPTGMRIPKMRSNEDFLLEQTKSPNGFVQNNYDSLDDIVNAMIKRQDQNDGVLMDGEFGFESYSPGSCI >Potri.018G111300.2.v4.1 pep chromosome:Pop_tri_v4:18:12875622:12880692:-1 gene:Potri.018G111300.v4.1 transcript:Potri.018G111300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.018G111300.v4.1 MTVEDQRGCNSVNEKMFPVGMRVLAVDDDPICLKVLENLLRKCQYEVTTTNQAVTALEMLRENRNKYDLVISDVNMPDMDGFKLLELVGLEMDLPVIMLSSHGDKEFVFKGITHGAVDYLLKPVRLEELKNIWQHVIRRKKWYPQDQNGSPDQDKGGDGAGEGEQVTSTGSADQNGKVNRKRKDQDEEEEGEGEDGNDNEESGNQKKPRVVWSVELHQKFVSAVNQLGLDKAVPKKILDLMNVDGLTRENVASHLQKFRLYLKRLSCGANQQPNMVAAFGAKDSSYLRMGSLDGFGDFRSVHGPGQLSTTSLSSYPPGSLLGRLNSPGGLTLQGIASPGLLQPGHSRSLNNPLNTLGKLQPGCFPDVKVTIGSLGNTISSAANNPQMLHVNPQQNQTRRSLATQSSLSMPSLNQESFDVGVRGSSNFLDHSRCDDNWQGVVQMSTFPSNSLPLGEPFRHDPLPTSTRRDNISSTTSHIVNNPLDFSSSGSLTAPLEDSRLDMQGQADLVGNIFHNTNYTSKNRWGENSQNFNPCLNGSFGAMNSLVSGNGSMNPLSQSMDQRKRFDASVLGQSNSGTLSMFQHLEAGNSALDPTGMRIPKMRSNEDFLLEQTKSPNGFVQNNYDSLDDIVNAMIKRDQNDGVLMDGEFGFESYSPGSCI >Potri.002G018600.2.v4.1 pep chromosome:Pop_tri_v4:2:1118557:1122497:1 gene:Potri.002G018600.v4.1 transcript:Potri.002G018600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G018600.v4.1 MKGYAICYVLFPILFVAFLVESAGAAEGEKDGVYIVYMGAATGSSKNDHAQLLSSVLKRRKNALVQSYVHGISGFAARLSATEAQSIAKTPGVVSVFRDPVYQLHTTRSWDFLKYGTDVVIDSSPNSDSNSSSGGYDSIIGILDTGISPESESFSGKDLGPIPSRWNGTCVDAHDFCNGKIIGARAYNSPDDDDDDDGLDNTPRDMIGHGTHVASTAAGTVVPDASYYGLATGTAKGGSPGSRIAMYRVCTRYGCHGSSILAAFSDAIKDGVDILSLSLGSPASFMLDYKEDPIAIGAFHAVENGISVVCSAGNDGPSEETVTNVAPWILTVAATTIDRKFESNVVLDGGKVIKGEAINFANIGTSPVHPLVYGKSAKKTDATESEARNCNPDSMDGEMIKGKIVLCDNDDDSYSFYDKEYEVQSLGGIGLVLVDDKMSGVASNYNEFPLTVISSKDAPGILSYLNSTKNPVATILPSTVVSQYKPAPTIAYFSSRGPSSLSRNILKPDIAAPGVDILAAWMANDTEVTLKGKESPKFNIISGTSMSCPHVSGMAAVVKSQYPSWSPSAIKSAIMSTASQINNMKAPITTELGAIATAYDYGAGEISTSGALQPGLVYETTTTDYLNFLCYHGYNTSTIEVISKDVPDGFTCPKESSVDLISNINYPSIAVFNLTGKQSKNITRTLTNVAGDGNSTYSLTIEAPSGLTITVSPTSLQFTKNSQRLSYQVIFTTTVPSLLKDVFGSIIWTNKKLKVRTPFVASSR >Potri.006G230600.1.v4.1 pep chromosome:Pop_tri_v4:6:23402198:23403151:1 gene:Potri.006G230600.v4.1 transcript:Potri.006G230600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G230600.v4.1 MDANHAPPVPQGLTQEEYVELKPLIDTYHKFGAAVPNTCTSLITQRIDAPAHVVWPFVRRFDNPQKYKHFIKSCKMSAGDGGVGSIREVTVVSGIPASTSTERLEILDDENHILSFRVVGGEHRLNNYKSVTSVNEFNKEDKVYTIVLESYIVDIPDGNTVEDTEMFVDTVVKLNLQKLAVVANTALHGHE >Potri.002G140000.1.v4.1 pep chromosome:Pop_tri_v4:2:10504431:10509118:1 gene:Potri.002G140000.v4.1 transcript:Potri.002G140000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G140000.v4.1 MHQKKSEIQIGKESTGISSDFSTTLNPQFLILHHFQQQNDPITPPSPPCSSPTPYKRPLLTTTTTTTTPHSQTPHRSNSLSKSPTIYHFSTTHQPQSLFSVSTAVKAAAFRFLCPRLARLKVHLRLILLLSLPFFYFLVSHPSHSFLLDFLSAFAFSAALLLSLNLALPRLPSIRLFLSRSFAINKLRNSASRHPLPVFWSIGSKPKPEKRATSGCFVQDYSNGDVYEGEFHKGKCSGSGVYYYYMSGRYEGDWIDGKYDGYGVETWARGSRYRGQYRQGLRHGFGVYRFYTGDVYAGEWSNGQSHGCGVHTCEDGSRYVGEFKWGVKHGLGHYHFRNGDTYAGEYFADKMHGFGVYRFANGHRYEGAWHEGRRQGLGMYTFRNGETQSGHWQNGILDVPSTQNTSHPVSPVAVYHSKVLNAVQEARRAAEKAYDVAKVDERVNRAVAAANRAANAARVAAVKAVQKQMHHNSNNDNIPIPFV >Potri.009G062500.1.v4.1 pep chromosome:Pop_tri_v4:9:6417013:6418646:-1 gene:Potri.009G062500.v4.1 transcript:Potri.009G062500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G062500.v4.1 MAAILSSSARLSSTSTAQKPPQTPSLSKTHLPISPPNKPHLTTLAATIAATTILTATTPSLADPSQTFHIYYGTAASAANYGGYGGNSDKKASAEYVYDVPDGWKERLVSKVEKGTNGTDSEFYNPKKRTEKEYLTYLAGFRQLAPKDSVLNNLALSDVDLQDLISGADSVVSVEEKDGNGQLYYVYEIDGVGKHSLIKVTCANNKLYAHFVNAPTPEWNKDQETLRHLHQSFKTVV >Potri.006G181900.1.v4.1 pep chromosome:Pop_tri_v4:6:18857578:18862415:-1 gene:Potri.006G181900.v4.1 transcript:Potri.006G181900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181900.v4.1 MEASAGLVAGSHNRNELVVIHGHEEHKPLKNLDGQVCEICGDEIGLTVDGDLFVACNECGFPACRPCYEYERREGTQNCPQCKTRYKRLKGSPRVEGDDDEDDLDDIEHEFIIEDEQDKNKYLTEAMLHGKMTYGRGHDDEENSHFPPVITGVRSRPVSGEFPIGSHGEQMLSSSLHKRVHPYPVSEPGSARWDAKKEGGWKERMDDWKMQQGNLGPEQEDDAEAAMLDEARQPLSRKVPIASSKINPYRMVIVARLIILAFFLRYRILHPVHDAIGLWLTSIVCEIWFAISWILDQFPKWLPIDRETYLDRLSLRYEREGEPNMLAPADIFVSTVDPMKEPPLVTGNTILSILAMDYPVEKISCYLSDDGASMCTFEAMSETAEFARKWVPFCKKYSIEPRAPEFYFALKIDYLKDKVQPTFVKERRAMKREYEEFKVRINAIVAKAQKVPPEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFSHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQIGKRVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFKRQALYGYDPPKEPKRPKMVTCDCCPCFGRRKKKNAKNGAVGEGTSLQGMDNEKELLMSQMNFEKRFGQSTIFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPMLYGYKEGKLKWLERFAYVNTTIYPFTSLALVAYCCLPAICLLTDKFIMPEISTFASLFFIGLFLSIFSTGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATDDDDFGELYAFKWTTLLIPPTTILIINLVGVVAGVSDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTKGPDTKQCGINC >Potri.006G181900.3.v4.1 pep chromosome:Pop_tri_v4:6:18857534:18861725:-1 gene:Potri.006G181900.v4.1 transcript:Potri.006G181900.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G181900.v4.1 MLHGKMTYGRGHDDEENSHFPPVITGVRSRPVSGEFPIGSHGEQMLSSSLHKRVHPYPVSEPGSARWDAKKEGGWKERMDDWKMQQGNLGPEQEDDAEAAMLDEARQPLSRKVPIASSKINPYRMVIVARLIILAFFLRYRILHPVHDAIGLWLTSIVCEIWFAISWILDQFPKWLPIDRETYLDRLSLRYEREGEPNMLAPADIFVSTVDPMKEPPLVTGNTILSILAMDYPVEKISCYLSDDGASMCTFEAMSETAEFARKWVPFCKKYSIEPRAPEFYFALKIDYLKDKVQPTFVKERRAMKREYEEFKVRINAIVAKAQKVPPEGWIMQDGTPWPGNNTRDHPGMIQVFLGHSGGHDTEGNELPRLVYVSREKRPGFSHHKKAGAMNALIRVSAVLTNAPFMLNLDCDHYINNSKAVREAMCFLMDPQIGKRVCYVQFPQRFDGIDRHDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFKRQALYGYDPPKEPKRPKMVTCDCCPCFGRRKKKNAKNGAVGEGTSLQGMDNEKELLMSQMNFEKRFGQSTIFVTSTLMEEGGVPPSSSPAALLKEAIHVISCGYEDKTEWGLELGWIYGSITEDILTGFKMHCRGWRSIYCMPKLAAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPMLYGYKEGKLKWLERFAYVNTTIYPFTSLALVAYCCLPAICLLTDKFIMPEISTFASLFFIGLFLSIFSTGILELRWSGVSIEEWWRNEQFWVIGGVSAHLFAVVQGLLKVLAGIDTNFTVTSKATDDDDFGELYAFKWTTLLIPPTTILIINLVGVVAGVSDAINNGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVMKTKGPDTKQCGINC >Potri.002G044100.9.v4.1 pep chromosome:Pop_tri_v4:2:2869677:2874628:-1 gene:Potri.002G044100.v4.1 transcript:Potri.002G044100.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G044100.v4.1 MGNQNHQRHHQVVKVRRETIAACMTCLLCNKLLRDATTISECLHTFCRKCIYRRISNEGLDSCPICNINLGCVPLEKLRPDHNLQDVRSKIFPYKKRKVEAPEVAESVALPVTRRKERSLSSLVVCTPKVSTHTTTTGRRTKPFPRKAAALRGTNFSIEKPNNKEHVPVEDSPESSSSPETLKKPNQNIKQNSPCTEPSQPDPDEAENGTEPRDGKSDLWQPLNFLVEVANRTKSFKSSPQLNDAKSESRPVHDNEPRALRTKFKGNKDKSKVKDEKNNIDNVSEGPVEPKRLRRIRQKRAVFNNISGISSPAVLDTAAAKQERRSGTVWFSLLASEQEGEAPLPQIPSSYLRLKDGNVPVSFIQKYLMKKLDLASEAEVEIRCMGRPVIPTLLLYNLVDQWLQTVPKTEQVPVTAGFSAKDYVMVLAYARKVPNQ >Potri.006G211400.3.v4.1 pep chromosome:Pop_tri_v4:6:21838071:21841383:-1 gene:Potri.006G211400.v4.1 transcript:Potri.006G211400.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G211400.v4.1 MTMKTKLYHHLQSTTTKNPQWNTLLREAANKSLFTKALSLYLHMLRSGASPNAFTFPFAIKSCAALSLPITGKQLHCHVFKTGCLLEPFVQTSLISMYGKCSLIDNARKLFDENPQSRKLTVCYNSLLSGYALNSRVKDVVVLFCEMRELGVEINGVTMLGLVQPCGIPGNLGLGMCVHGFCVKFGLDMDSSVGNCLLTMYVKSGEIDCGRKLFDEMPRKGLITWNAMINGYAQNGLANNVLELYKEMESKGFCPDPLTLVGVLSSCAHLGALSVGKEVERKMEGFGFSSNPFLNNALVNMYARCGNLKKARDIFDGMPVKSVVSWTAIIGGYGMHGQGEVAVELFDEMIRGGIKPDGTAFVSVLSACSHAGLTNKGLDYFGVMERKYGLRPGAEHYSCMVDLLGRAGRLNEARELIESMQVRADGALWGALLGACKIHRNVELAELAFEQVIELEPTNTGYYVLLSNVYTEAGNLEGILRVRMLMRKRKLKKDPGCSYVEFKGRVHLFFAGDRNHPQTNEIYKKLNELENLVKDLDGCKKNDHERREEYLNSMGVHSEKLAVAFALLNTRKETEIIIIKNLRICGDCHLFIKLVSKIVDRQFVVRDATRFHHFKNGFCSCKEYW >Potri.019G059200.1.v4.1 pep chromosome:Pop_tri_v4:19:9824182:9836045:-1 gene:Potri.019G059200.v4.1 transcript:Potri.019G059200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G059200.v4.1 MLRFLKGVVGGASGTGLKDLPYNIGDPYPSAWGSWTHHRGTSSKDDDGSPVSIFSFSASNAQDAHLAAARNGVKRLRTVRHPNILSFLHSTEVESVEGSSSRITIYIVTEPVMPLSEKIKELGLEGTQRDEYYAWGLNQIAKAVSFLNNDCKLVHGNVCLASVVVTPTLDWKLHAFDVLSEFDGSNGNATGPMLQYEWLIGSQYKPIELAKSDWVAIRKSPPWAIDSWGLGCLIYELFTGMKLGKTEELRNTASIPKSLLQDYQRLLSSMPSRRMNTAKLLENSEYFQNKLVDTIHFMEILTLKDSVEKDTFFRKLPNLAEQLPRQIVLKKLLPLLASALEFGSAAAPALTALLKMGSWLSAEEFSVKVLPTIVKLFSSNDRAIRVSLLQHIDQFGDSLSAQVVDEQVYSHVATGFSDTSALLRELTLKSMLVLAPKLSQRTISGSLLKYLSKLQVDEEHAIRTNTTILLGNIAIYLNEGTRKRVLINAFTVRALRDTFPPARGAGVMALCATSSYYDVTEIATRILPNVVVLTIDPDSDVRSKSFQAVEQFMQIVKQYHEKTNVGDTTAASTRISSIPENASLLGWAMSSLTIKGKPLEQAPLAPANSGSPLSSTTSNANSVMDSPSIAAVQINSSTDLADQPVPDSPPSTDGWGEIENGIHEEQGSDKDGWDDIEPFEEPKPSPALVNIQAAQKRPVSQPVSQHKAQATSLRPKSTVKVTNDEDDDLWGSIAAPAPKTTTRPLNVKSATALDDDDPWAAIAAPPPTTRAKPLVAGRGRGKPAASKLGAQRINRTSSSGM >Potri.001G454800.1.v4.1 pep chromosome:Pop_tri_v4:1:48122702:48124621:1 gene:Potri.001G454800.v4.1 transcript:Potri.001G454800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G454800.v4.1 MRPVPTKDLFVCRFWCCWPIPSSIFLLSLLTFSVASLQQPTTAAAPSTTIHPPTAIPLKHHHSLFDHRFAIPLTASLLLLLLLVIIMGCFLGLRFRHKRLAKKVDAASNGSNDLDPKKEKDSDHGTHGCVRKYNWSEIEKLSMNFSQIVGSGGFSTVYLGHLPGSNLGAIKIHCPSDYLNRVFKQELDILLQLQHDNIVKLLGYCDVQDEGALVFEYVSNGTLQDKLHGGEREIKKSSSSSVISWRNRMEIAYQLAQALEYLHEKCPLQIVHGDIKPSNILLDEQLNCKLCDFGFAKMGFSSTIMTSNNRKQVMMGSPGYTDPHYLRTGIASKKNDVYSYGVIILELVTGMEAFCEEREQGQLLSSIMGPILKDIIASDNECKAMKEAEMVDPRLGEDFEVKEVKVMLSLAALCLGQSPSLRPSATQILHTIKENIASISFISTQKKDLSN >Potri.009G120600.1.v4.1 pep chromosome:Pop_tri_v4:9:10095578:10098575:-1 gene:Potri.009G120600.v4.1 transcript:Potri.009G120600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G120600.v4.1 MLSHRLQFHLLSQNPKKAFTFSPPSRSNPHSFLHFSPNTTNLSFPGLKIKTHLNSAMTSNSNQSNPLQLDLTEADIDGFSDVANKLADASGEVIRKYFRKKFEILDKEDLSPVTIADKAAEEAMISIILENFPSHAIFGEENGWRCKEEFSDFVWVLDPIDGTKSFITGKPLFGTLIALLYKGKPILGIIDQPVLRERWIGLNGRRTTLNGEEISTRACAKLSQAYLYTTSPHLFSGDAVEAFARVRSKVKVPLYGCDCYAYALLASGYVDLVIESGLKPYDFLALIPVIEGAGGIITDWKGHHLFWEASPDSRATSFNVVAAGDKQIHQQALDALQWH >Potri.004G031300.1.v4.1 pep chromosome:Pop_tri_v4:4:2417715:2418554:-1 gene:Potri.004G031300.v4.1 transcript:Potri.004G031300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G031300.v4.1 MAGRGKALGSGAAKKATSRSSKAGLQFPVGRIARFLKTGKYAERVGAGAPVYLSAVLEYLAAEVLELAGNAARDNKKTRIVPRHIQLAVRNDEELSRLLGQVTIANGGVLPNIHNTLLPKRVSKGPVDDE >Potri.013G052200.2.v4.1 pep chromosome:Pop_tri_v4:13:3744361:3748113:1 gene:Potri.013G052200.v4.1 transcript:Potri.013G052200.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.013G052200.v4.1 MAEAGVLEKVTNEAMRILSVLKELKFKKSSKVLEVTMTKETELKKELEKLVKTILVEEDYRVEVTDEAMRILSVLKELKFKKSSKVVEVTMTKETELKKELEKLVKTILVEEDYRVEVTDEAMRILSVLKELKFKKSSKVVDNTVIPEEFICPISKKIMNDPVVLATGQTYDRPFIQRLLNEGHRTCPQTQQVISHTFLTPNHLVQEMISKWCMERGIELPKPLVDDDVHTDADRVYLKSLLEKTSSSLSDQKEAAKELRRLTKSMPSFRALFSDSTDAIPQLLNPLSPGRANTHPDLQEDLITTIFNLSIHENNKQLFAENPHVIPLLVESVRSGTIETRRNAAAALFSLSALDSNKLIIGKSGALKPLIGLLEEGHPPAMKDAALAIFKLCLVLENRVRAVQEGAVRVILKKIMDCILVDELLATLAILTSQQEAVQEMGLLGAVPCLIDIIRDSSSERNKENCAAILHTICLNDRTKWRAVMEEEKANATLSILAEHGTSRAKRKANGILKILNGAASIIHTL >Potri.003G100500.1.v4.1 pep chromosome:Pop_tri_v4:3:12550737:12553318:1 gene:Potri.003G100500.v4.1 transcript:Potri.003G100500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G100500.v4.1 MTQEIWVFPFFGQGHLLPSMELCKHVASRNFRTTLVIPSNLSSNIPSYLHQYPLLEIAELPSSPPPLQQPGPDPPFPPHMHHNQMAQGLENLLSTRSQNPDSGLPACAIVDVMMGWTLEVFEKFEVPTVGFFTSGACSAALEYAAWKGHLDELKPGEIRLLPGLPKEMALTDSDLKSRRHRPPGGRGGPPGLGGPAGPMGGFPRPPGDMGPPEMTGPPKLGGPAGPMGGFPRPPGDMGPQKMMGPPKLGGPPPWLDEVRGSIAYMINTCDDLERPFIQYLTDQVEKPVWGIGPLLPELYWKSIDSLLHDHEIRTNRQSNVTEEEVIAWLDSKPPSSVLYVSFGSEVGPEMEEYPNLADALETSNRPFIWVIQPGSGGSGPPPQLFEGQPGAKAGESYFPCDLDKKVGERGLIIHGWAPQLLILSHPSTGGFLSHCGWNSTVEAIGRGIPILAWPIRGDQNYNAKLVVKHLKVGCMVSDDFSQLIKKDDIIKGMESLWGDEDVKNRAALLSAKFKHGFPTSSVSSLDAFRDLMNQKAV >Potri.004G032500.9.v4.1 pep chromosome:Pop_tri_v4:4:2501155:2504379:1 gene:Potri.004G032500.v4.1 transcript:Potri.004G032500.9.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G032500.v4.1 MEGSSQSEAPRRLEGKYLGMACCWALGLATLVAWNCMLTIEDYYYKLFPKYHPARLLTLIYMPFAVVSMALLTYYESKIDTRKRNLSGLVLFFLSSLLLLLLDLASSGKGGIGNFIGIGAIAGSFGVADALLQGGMVGDLFFMCPEFLQSYLAGIAASGFLISALRLLTKAAFEKFPNGLRKGVILFLVISIFFEFLCILVYAFLFPKLPIVKYYRLKASTEGSNTVSADLAAGGIHINQEDENEAKRHERLSNKELFFENIDYAVDLILIFVLTLSIVPGFIYEDTGSHQLHSWYALVLITMFNACDLISRYIPLVEFLKLKSRKGLMIAVLSRFLLIPAFYFTAKYSDQGWMILLISFLGLTNGYLTVCVITEAPKGYKGPEQNALGNLLVLCVLCGVFAGVALDWLWL >Potri.011G146400.1.v4.1 pep chromosome:Pop_tri_v4:11:17469932:17472012:-1 gene:Potri.011G146400.v4.1 transcript:Potri.011G146400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G146400.v4.1 MQNPRMAPSSEIQNAKPRKKKAGASRASTIQLQGNDVREVKQVRVPLSLRPGQKAFKKSSKKEGSPLFQQPERSNSDSLPDSSAPVDEYRHLRHRYLLLEEDSFSVGGALSKVEDEVKTLEDEKFALLDQLVVLEGLIDPSKVQPNGF >Potri.005G098000.1.v4.1 pep chromosome:Pop_tri_v4:5:7017200:7019958:1 gene:Potri.005G098000.v4.1 transcript:Potri.005G098000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G098000.v4.1 MVEKIINMNSQDHLRSTNYKDDDDEEEVQLPGFRFHPTDEELVGFYLRRMVDKKPLRIELIKQVEIYKYDPWDLPKSSCVGDKEGYFFCKRGRKYRNSIRPNRVTGSGFWKATGIDKPVFSLGGEGRDSIGLKKTLVYYRGSAGKGTKTDWMMHEFRLPTKDNSTSTATVKAKISDQEAEVWTLCRIFKRNVSCRKYTPDLKQLSTTPQQPPIDTSSKLCCQVESNYTQESYVNFGAPLIQHYDNKPPVHHVKERKPLHVDQLSYVAQPPSMASSLNISSPYGNQILTHGDWDELTSVVDCAFDPFLV >Potri.017G097200.3.v4.1 pep chromosome:Pop_tri_v4:17:10804796:10813256:-1 gene:Potri.017G097200.v4.1 transcript:Potri.017G097200.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G097200.v4.1 MGKKEYLDWTSRSISSSGSERVSLLTSKDAKRKSNEGESPDGPATDLELGGGTEAANVGFSRVFALAKPDAGKLIVGTIALLIASTSSLLIPKYGGMIIDIVSRDIKTPEQQSEALDAVMNTILYIVLIVVVGSLCTALRAWLFSSASERVVARLRKDLFSHLINQEIAFYDVTRTGELLSRLSEDTQIIKNAATTNLSEAFRNLTTALIGVCFMFSSSWKLTLLALAIVPLISVAVKKFGRYLRELSHATQAAAAVAASIAEESFGAIRTVRSFAQEEFTISHYSEKVDETLMLGLRQARIVGLFFGGINAASTLSVIVVVVYGAYLTITGVMTTGSLTSFILYSLTVGSSVSSLSGLYTTAMKAAGASRRVFQLLDRISSMPKSGDKCPRSDLDGDVELNDVWFAYPSRPSHMVLKGITLKLSPGSKVALVGPSGGGKTTIANLIERFYDPLKGQILLNGVPLAEISHKYLHGKVSIVSQEPVLFNCSIEENIAYGYNGKASFADIENVAKMANAHDFIDAFPEKYQTVVGERGLRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMQGRTVLVIAHRLSTVKSADTVAVISDGQIAESGTHEELLNKDGIYNALVRRQLQGPSTAL >Potri.017G097200.1.v4.1 pep chromosome:Pop_tri_v4:17:10804900:10813194:-1 gene:Potri.017G097200.v4.1 transcript:Potri.017G097200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.017G097200.v4.1 MGKKEYLDWTSRSISSSGSERVSLLTSKDAKRKSNEGESPDGPATDLELGGGTEAANVGFSRVFALAKPDAGKLIVGTIALLIASTSSLLIPKYGGMIIDIVSRDIKTPEQQSEALDAVMNTILYIVLIVVVGSLCTALRAWLFSSASERVVARLRKDLFSHLINQEIAFYDVTRTGELLSRLSEDTQIIKNAATTNLSEAFRNLTTALIGVCFMFSSSWKLTLLALAIVPLISVAVKKFGRYLRELSHATQAAAAVAASIAEESFGAIRTVRSFAQEEFTISHYSEKVDETLMLGLRQARIVGLFFGGINAASTLSVIVVVVYGAYLTITGVMTTGSLTSFILYSLTVGSSVSSLSGLYTTAMKAAGASRRVFQLLDRISSMPKSGDKCPRSDLDGDVELNDVWFAYPSRPSHMVLKGITLKLSPGSKVALVGPSGGGKTTIANLIERFYDPLKGQILLNGVPLAEISHKYLHGKVSIVSQEPVLFNCSIEENIAYGYNGKASFADIENVAKMANAHDFIDAFPEKYQTVVGERGLRLSGGQKQRVAIARALLMNPRVLLLDEATSALDAESEYLVQDAMDSLMQGRTVLVIAHRLSTVKSADTVAVISDGQIAESGTHEELLNKDGIYNALVRRQLQGPSTAL >Potri.007G139100.2.v4.1 pep chromosome:Pop_tri_v4:7:14974766:14978017:1 gene:Potri.007G139100.v4.1 transcript:Potri.007G139100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139100.v4.1 MADESGNPLVFRKIHGQSSLISKLSPNLHSRNYHMTSGAFSNGFHSHMHPAIQGTGLAFVPHVSPIFVEAPSEKGAKGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVLALWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKEKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKTGGERQFNGLVDVYKKTLQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGNLQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQIVKNEGGKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGGG >Potri.007G139100.4.v4.1 pep chromosome:Pop_tri_v4:7:14975566:14978018:1 gene:Potri.007G139100.v4.1 transcript:Potri.007G139100.4.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G139100.v4.1 MTSGAFSNGFHSHMHPAIQGTGLAFVPHVSPIFVEAPSEKGAKGFMIDFLMGGVSAAVSKTAAAPIERVKLLIQNQDEMIKAGRLSEPYKGITDCFARTIKDEGVLALWRGNTANVIRYFPTQALNFAFKDYFKSLFNFKKEKDGYWKWFAGNLASGGAAGASSLLFVYSLDYARTRLANDSKAAKTGGERQFNGLVDVYKKTLQSDGIAGLYRGFNISCVGIIVYRGLYFGMYDSLKPVVLVGNLQDSFFASFLLGWGITIGAGLASYPIDTVRRRMMMTSGEAVKYKSSLDAFKQIVKNEGGKSLFKGAGANILRAVAGAGVLAGYDKLQVIVFGKKYGSGGGG >Potri.012G058300.1.v4.1 pep chromosome:Pop_tri_v4:12:5746731:5747866:1 gene:Potri.012G058300.v4.1 transcript:Potri.012G058300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.012G058300.v4.1 MARTSEDSSSKRHFHWTKKVGNEDVEAPSIKSSSNPNEQDKNENVKSHVAMPTPKKRLPAVAVARLRSVLAALGKNRSSLPMGLGSRVVGTLFGYRRGHVHFAFQRDPNSPPTFLIELATPISGLVREMASGLVRIALECDKEKEDQKKAVKLLEEPMWRTYCNGKRRGFATRKECGHKEWKVLKAVEPISMGAGVLPGCAAEGGADGELMYMRAKFERIVGSRDSEAFYMMNPDSNGAPELSIYLLRV >Potri.014G186236.1.v4.1 pep chromosome:Pop_tri_v4:14:15424960:15425667:1 gene:Potri.014G186236.v4.1 transcript:Potri.014G186236.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G186236.v4.1 MKSKKLDVCGLLETKLSLAKVECLHKLRLKTWQFVSNAGAAAYARIIVFWNPASVKVEMLHSSAQGIHVLVTSLVQQFCFTATFIYGFNTISARRSLWADLRRWSVDSPWLLLGYFNSILSQEDKHNGEPVSTYEISDFRECCSDLGLADLNATGSHFTWSNGTVWTKIDRVMVNTHWYSMQQMAHVHFGTPGAFSDHAPSTVQLGLRAPQGNRNFKFFNMWTAHPQFLETISQN >Potri.008G151600.1.v4.1 pep chromosome:Pop_tri_v4:8:10398773:10399387:1 gene:Potri.008G151600.v4.1 transcript:Potri.008G151600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G151600.v4.1 MAMATQASLFTPTTLSTLKSSNQTIVPWKQSSFLPISNVKAQRSFKVAAVEGKTATKEAPVGFTPPELDPSTPSPIFGGSTGGLLRKAQVEEFYVITWDSPKEQIFEMPTGGAAIMREGPNLLKLARKEQCLALGTRLRSKYKIKYQFYRVFPNGEVQYLHPKDGVYPEKVNPGRQGVGQNFRSIGKNASPIEVKFTGKQVYDL >Potri.001G124100.2.v4.1 pep chromosome:Pop_tri_v4:1:10225659:10226104:1 gene:Potri.001G124100.v4.1 transcript:Potri.001G124100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124100.v4.1 MGIIKSGFSFIVGTVCGVYIAQNYDVPNIKKLATTGLFMAKLVEEKYRKPKSRNDGDD >Potri.001G124100.3.v4.1 pep chromosome:Pop_tri_v4:1:10225478:10225962:1 gene:Potri.001G124100.v4.1 transcript:Potri.001G124100.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G124100.v4.1 MGIIKSGFSFIVGTVCGVYIAQNYDVPNIKKLATTGLFMAKLVEEKYRKPKSRNDGDD >Potri.014G050400.1.v4.1 pep chromosome:Pop_tri_v4:14:3267492:3269181:1 gene:Potri.014G050400.v4.1 transcript:Potri.014G050400.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G050400.v4.1 MAELESTKMMPKSITFLSSLLQRVADSNDLNREFQPQKISVFHGLTRPTISIQSYLERIFKYANCSPSCFVVAYVYLDRFAQRQPSLPINSLNVHRLLITSVLVSAKFMDDMYYNNAYYARVGGISTIEMNYLEVDFLFGLGFNLNVTPNTFHTYCSYLQREMMQQPSLNLAESSLNLGRSLKVHLCFNEDETSHQQQQLAV >Potri.001G269800.1.v4.1 pep chromosome:Pop_tri_v4:1:28431274:28432856:-1 gene:Potri.001G269800.v4.1 transcript:Potri.001G269800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G269800.v4.1 MSVLLLTTVLTLLFTLISVTSSCTPSDLAALQAFKSTLDEPYLGIFNTWAGTNCCSNWYGISCDPTTGRVADINLRGESEDPIFEKAGRSGYMTGSINPSICKLDRLSTFILADWKGVSGEIPECVVSLSNLRILDLIGNKISGKIPANIGNLQRLTVLNLADNGLTGEIPSSLTKLENMKHLDLSNNMLTGQLPSDFGNLKMLSRALLSKNQLSGAIPSSISVMYRLADLDLSVNQISGWLPDWIGSMPVLSTLNLDSNMISGPLPQSLLSSTGLGMLNLSKNAIEGNIPDAFGPKTYFMALDLSYNNLKGPIPSSLSSAAYVGHLDLSHNYLCGPIPVGSPFDHLEASSYSFNDCLCGNPLRAC >Potri.009G061300.1.v4.1 pep chromosome:Pop_tri_v4:9:6336087:6338170:-1 gene:Potri.009G061300.v4.1 transcript:Potri.009G061300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.009G061300.v4.1 MSEHNIHRMDNEDQEALFHSYPCAYYVQSPSTISHANSADIKTNNNILESTYQSPTRSDTILISKNPEVSRFTLSRYSSSRGSNNSFLNEKKVGGAEKGVNRLIIVDGHGFNVDDGYEEKEEVGEEDDFYDEKKGGWWWKYCSFRRNSSCAWVSWQIFWRLVLSLCVALLVFYVATKPPPPKMSIKMAGIRQFGLGEGVDGSGVTTKILTCNCSIDLLIENKSKIFGLHVQPPILEMSFGHLRFAMSRGSKLYAQSHTSTLFQLSVGTRNKPMYGAGRNMQDMLESGNGLPIVIQLSLRSNFRVVWNLIKPKFHHQAVCLLVLDSAYDKKHRTQAYNSTCTIS >Potri.001G291166.1.v4.1 pep chromosome:Pop_tri_v4:1:30320897:30322497:1 gene:Potri.001G291166.v4.1 transcript:Potri.001G291166.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G291166.v4.1 MNVEEALISCKQLKDGSNVEKQSARERLNMFEQYVMDQINNYAVSPDIFLEKSSFMNWWKDFQEIIETSHDSPLRGFMKICRYRQYEKGKF >Potri.016G072200.1.v4.1 pep chromosome:Pop_tri_v4:16:5360432:5361653:1 gene:Potri.016G072200.v4.1 transcript:Potri.016G072200.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.016G072200.v4.1 MEDTLLHFLSNIKPSPLPYPKAHSFPLSHHDYCPALSCPRTLHFPTLSSKTNTASLPPKPLKTPETPSVSSHHIPPPPQSPPQEPPNTEFQEKILYLDSIGLDIFSLINHHRPFILSASLSNIKSIIDLLTSMNFTPQEFRRIISMCPEILTSTPSTVTPVITFLLREARVNGYDLKHVINRRPRLLVSSVKYCLRPTLYFLQSIGLEEVKRHTYLLSCSVEKKLLPRIQYFEKIGFSYKDAVSMFRRFPQLFNYSIKNNIEPKLNYFVVEMGRDLKELKEFPQYFSFSLENRIKPRHQCCVEKGLCFPLHTLLKTSQEEFMSRIDVCCNSSVPSRSSPLYSVNCDVDSSTNTE >Potri.018G112500.2.v4.1 pep chromosome:Pop_tri_v4:18:12989633:12992754:1 gene:Potri.018G112500.v4.1 transcript:Potri.018G112500.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DRS1 MPPDSNCLDADSNENSDKTTLNQTSISREKPSPCVMGPLKMKDAHDGTGSDREFIDTLLSFERKPKLGVGVKEEFDCFKVVKEEGHDRVLCGPVKRKVENDNLNCGIKIEKREFEGCVDLGSMSLEPENIARIMPGRIMTVRFFPRNDINIVAAGNKLGNVAFWNVDSEGEKGDGIFLYRPHTGPISGILFQQSCLSKIFTSCYDGYLRLMDAEKEVFDLVHSCDDAIFSLSQHPNDVKSLYFGEGRGGLSVWDDRTGSVSSQWTLHEDRINTIDFNPQNPNIMATSSSDATVCLWDLRKVDAGKPKSLKIVNHERAVHSAYFSPSGSSLASTSFDDTVGILSGVNFEDASRVHHCNQTGRWISSFRATWGWDDSFVYVGNMKRGVDIISPAQRRVIRTLQSPHMTAIPCRFHAHPFRVGMLAGATGGGQVYIWTSS >Potri.018G112500.1.v4.1 pep chromosome:Pop_tri_v4:18:12983665:12993026:1 gene:Potri.018G112500.v4.1 transcript:Potri.018G112500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding gene_symbol:DRS1 MATQKLTEYEIKRLENIRRNDEMLAALQLHAKASLLSNATKRSRDGSAKSYKVSPEKKPKKAETPIVIRRSLRTRGMPPDSNCLDADSNENSDKTTLNQTSISREKPSPCVMGPLKMKDAHDGTGSDREFIDTLLSFERKPKLGVGVKEEFDCFKVVKEEGHDRVLCGPVKRKVENDNLNCGIKIEKREFEGCVDLGSMSLEPENIARIMPGRIMTVRFFPRNDINIVAAGNKLGNVAFWNVDSEGEKGDGIFLYRPHTGPISGILFQQSCLSKIFTSCYDGYLRLMDAEKEVFDLVHSCDDAIFSLSQHPNDVKSLYFGEGRGGLSVWDDRTGSVSSQWTLHEDRINTIDFNPQNPNIMATSSSDATVCLWDLRKVDAGKPKSLKIVNHERAVHSAYFSPSGSSLASTSFDDTVGILSGVNFEDASRVHHCNQTGRWISSFRATWGWDDSFVYVGNMKRGVDIISPAQRRVIRTLQSPHMTAIPCRFHAHPFRVGMLAGATGGGQVYIWTSS >Potri.002G064800.1.v4.1 pep chromosome:Pop_tri_v4:2:4433128:4437836:1 gene:Potri.002G064800.v4.1 transcript:Potri.002G064800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G064800.v4.1 MGKKRKSIATSLEEVDRTMYASFCSAANSLSQLYTQSMNHQKLSFQAGERHGLEKLYQWIWRQQEGGSRVTTFDIINYLQNELDYCGEEPSMSPRTPHQHQNSQPIPSTNSTFLVSSGSSGLPATGQGTRSEHCDQQSKNTVFSNALSSPVRRSLQNYHIAQGGYCPPGGSLSGNGTRANEPNFLQNHNRDPNLPSSNDSSMDI >Potri.008G070950.1.v4.1 pep chromosome:Pop_tri_v4:8:4353894:4354327:-1 gene:Potri.008G070950.v4.1 transcript:Potri.008G070950.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.008G070950.v4.1 MRAKWKKKRMRRLKRKRRKMRQRSK >Potri.005G234100.1.v4.1 pep chromosome:Pop_tri_v4:5:23245762:23254001:-1 gene:Potri.005G234100.v4.1 transcript:Potri.005G234100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G234100.v4.1 MGDGSSGPTMDLMRSEPMQLVQLIIPIESAYRTISYLGDLGLFQFNDLNAEKSPFQRTYAAQIKRCAEMARKLRFFKEQMRKAGLSPSTKSLRSGDIDLDHLEVTLGELESELIEINSNNEMLQHTYNELSEYKLVLQKAGELFHSAQSIVAAQQGELELYNTTEQSVERSLLLEQEMTMDPSKQVKLGYISGLVAREKSMAFERILFRATRGNVFLKQTVLENAVVDPVSGDEVEKNVFVVFYSGERAKNKILKLCEGFGANRYPFTEDLNKQFQIISQVSGRLAELKTTIDAGLAHRSNLLQTIGFEFEQWNFLVKKEKSIYHILNMLNMDVTKKCLVAEGWCPVFAKDQIQNGLRRATLDSNSQIGAIFHVLQTKESPPTFFQTNKFTSAFQEIVDAYGVAKYQEANPSVYTIVTFPFLFAVMFGDWGHGICLLLATLYLIIREKKLSSQKLGDIMEMAFSGRYVIMMMGIFSIYTGLIYNEFFSVPFELFGPSAYGCRDQSCRDAYTAGLVKVHATYPFGLDPKWHGSRSELPFLNSMKMKMSILFGVAQMNLGIIMSYFNAKFFGDNINIWYQFVPQMIFLNSLFGYLSLLIIVKWCTGSQADLYHVMIYMFLSPTDDLDDNQLFIGQKFFQILLLLSALAAVPWMMFPKPFLLKKRHEERFQGQSYARLDSNDYPPEIEPHSVSHNHEEFEFSEVFVHQLIHTIEFVLGAVSNTASYLRLWALSLAHSELSSVFYDKVLLLAWGYNSIIARGIGLCVFIFATVGVLLVMETLSAFLHALRLHWVEFQNKFYVGDGYKFYPFSFASLGQDDE >Potri.001G145750.1.v4.1 pep chromosome:Pop_tri_v4:1:12020315:12021122:1 gene:Potri.001G145750.v4.1 transcript:Potri.001G145750.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G145750.v4.1 MPLCILFMSILPLSFDLLCFIALMNQCVPIARNWLFPPPLNWSCQIVQSYCINNFIVLMVNHEG >Potri.006G052800.13.v4.1 pep chromosome:Pop_tri_v4:6:3653802:3659501:-1 gene:Potri.006G052800.v4.1 transcript:Potri.006G052800.13.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052800.v4.1 MTVTPKISINDGNLVVHGKTILTGVPDNIVLTPGSGVGLVAGAFIGATASHNKSLHVFPVGGLEDLRFMCCFRFKLWWMTQRMGKCGKDIPLETQFMLVESKGGGEEVDQDDAQTIYTVFLPLLEGQFRAVLQGNDRNEMEICLESGDSAVETNQGLHLVYMHAGTNPFEVINQAVKAVEKHLQTFLHREKKKMPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTPPRFLIIDDGWQQIENKAKEDANAVVQEGAQFASRLTGIKENSKFQKNGEKNEQAIGLKLVVDNAKQQHNVKYVYAWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYQQALEASIARNFPDNGCISCMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHGAARAIGGCAIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDSLFVDPARDGMSLLKVWNVNKCTGVVGVFNCQGAGWCKIEKKTRIHDTTPGTLTASVRASDVDCIAQVAGANWDGETVVYAYKSGELVRLPKGASMPVTLKVLEYELFHFCPINEITSNISFAPIGLLDMFNTGGAVEQVEIQMASDKSPEHFDGEVSSELTTSLSESRSPTATIALKVRGCGRFGAYSSQRPLKCTVGNVFTDFNYDSATGLVTLTLPVPVVEMYRWPVEIQV >Potri.006G052800.12.v4.1 pep chromosome:Pop_tri_v4:6:3653691:3659548:-1 gene:Potri.006G052800.v4.1 transcript:Potri.006G052800.12.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052800.v4.1 MTVTPKISINDGNLVVHGKTILTGVPDNIVLTPGSGVGLVAGAFIGATASHNKSLHVFPVGGLEDLRFMCCFRFKLWWMTQRMGKCGKDIPLETQFMLVESKGGGEEVDQDDAQTIYTVFLPLLEGQFRAVLQGNDRNEMEICLESGDSAVETNQGLHLVYMHAGTNPFEVINQAVKAVEKHLQTFLHREKKKMPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTPPRFLIIDDGWQQIENKAKEDANAVVQEGAQFASRLTGIKENSKFQKNGEKNEQAIGLKLVVDNAKQQHNVKYVYAWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYQQALEASIARNFPDNGCISCMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHGAARAIGGCAIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDSLFVDPARDGMSLLKVWNVNKCTGVVGVFNCQGAGWCKIEKKTRIHDTTPGTLTASVRASDVDCIAQVAGANWDGETVVYAYKSGELVRLPKGASMPVTLKVLEYELFHFCPINEITSNISFAPIGLLDMFNTGGAVEQVEIQMASDKSPEHFDGEVSSELTTSLSESRSPTATIALKVRGCGRFGAYSSQRPLKCTVGNVFTDFNYDSATGLVTLTLPVPVVEMYRWPVEIQV >Potri.006G052800.2.v4.1 pep chromosome:Pop_tri_v4:6:3653797:3659507:-1 gene:Potri.006G052800.v4.1 transcript:Potri.006G052800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G052800.v4.1 MTVTPKISINDGNLVVHGKTILTGVPDNIVLTPGSGVGLVAGAFIGATASHNKSLHVFPVGGLEDLRFMCCFRFKLWWMTQRMGKCGKDIPLETQFMLVESKGGGEEVDQDDAQTIYTVFLPLLEGQFRAVLQGNDRNEMEICLESGDSAVETNQGLHLVYMHAGTNPFEVINQAVKAVEKHLQTFLHREKKKMPSFLDWFGWCTWDAFYTDVTAEGVEEGLKSLSEGGTPPRFLIIDDGWQQIENKAKEDANAVVQEGAQFASRLTGIKENSKFQKNGEKNEQAIGLKLVVDNAKQQHNVKYVYAWHALAGYWGGVKPAAAGMEHYDTALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVHPKKVFNFYNELHAYLASCGVDGVKVDVQNIIETLGAGHGGRVSLTRSYQQALEASIARNFPDNGCISCMCHNTDGIYSTKQTAVVRASDDFYPRDPASHTIHISSVAYNTLFLGEFMQPDWDMFHSLHPAADYHGAARAIGGCAIYVSDKPGNHNFDLLKKLVLPDGSVLRAQLPGRPTRDSLFVDPARDGMSLLKVWNVNKCTGVVGVFNCQGAGWCKIEKKTRIHDTTPGTLTASVRASDVDCIAQVAGANWDGETVVYAYKSGELVRLPKGASMPVTLKVLEYELFHFCPINEITSNISFAPIGLLDMFNTGGAVEQVEIQMASDKSPEHFDGEVSSELTTSLSESRSPTATIALKVRGCGRFGAYSSQRPLKCTVGNVFTDFNYDSATGLVTLTLPVPVVEMYRWPVEIQV >Potri.004G196400.2.v4.1 pep chromosome:Pop_tri_v4:4:20867366:20873520:-1 gene:Potri.004G196400.v4.1 transcript:Potri.004G196400.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.004G196400.v4.1 MAEVINMPVDSLDRRGGSGGGGGGRDRKDKPNTDDQSSPPPPPQPQRRRERDSRERREDFDRPLNRRGGDYHDRNRSPPPPQREREKEYKRRSSTSPPPPLPYRDRRHSPPPRRSPPYKRSRREDGGFDARRGSPRGGFGGGDRRFGYDYGGGYEREMGGRPGYGEERPHGRYMGRGGGYQGGPSDWESGRGGHGDASNTVSAQREGLMSYKQFIQELEDDILPSEAERRYQEYKSEYISTQKRVFFEAHKDEEWLKDKYHPTNLVAVIERRNELARKVAKDFLLDLQSGTLDLGPGVNASSSNKSGQASDLNSDDEAEAGGKRRRHGRPPAKDADLLSAAPKAHPVSSEPKRIQVDIEQAQALVCKLDSEKGVEDNILSGSDNEKMSREKSHGSSTGPVIIIRGLTSVKGLEGVELLDTLITYLWRVHGLDYYGMIETNEARGLRHVRTEGKSSDSSNSGTEWEKKLDLRWQERLRGQDPLEEMTAKEKIDAAAVEGLDPYVRKIRDEKYGWKYGCGAKGCTKLFHASEFVQKHLKLKHPELVIDLTAKVREELYFQNYLNDPDAPGGTPVMQQSLPKDKPQRRKLGPENRLKDERGNRRERENRANGNERFDRSENPQSGDFQSNDGPDGGNCDETMFDTFGGQGIRVPPPFPSDIAPPPVLMPVPGAGPLGPFVPAPPEVAMRMFRDQGGPPPFESGGRNARPGPQLGGPAPILLSPAFRQDPRRIRSYQDLDVPEDEVTVIDYRSL >Potri.005G217500.1.v4.1 pep chromosome:Pop_tri_v4:5:22056715:22060328:-1 gene:Potri.005G217500.v4.1 transcript:Potri.005G217500.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.005G217500.v4.1 MNSVFSVDDFSDPFWPSPPPSPPSTAPAMNRSESEWALEKFLQEVSAASVSSDTNIAAPSALSQSSTSSIPPENGEDEVVEITKHPNPHPQPLGRNLTNPIDSDEYRAFLKSKLDRACTAAAMSRESDVIKPEDFSSLLEDQRLAAGNVSLGTQAFRTGHGISMAQIGADGGSPGIPALPTAQKKQEVQTRQTTSGSSREDSDDDDLEGDTGTNENRDPTDAKRVRRMQSNRESARRSRRRKQAQLNERETQVGQLRDERSSLLSRFTDVHQKCDAASVDNRILKADIETLRAKVKMVEEQVKRVTGLNPMLLPGFNVPSPGMPFVGGQVDASTNVAVPMQPNPHQFFHQPVHGITSAAPHLQRLNNSFPNNLLPLATNPQTDNGTSNNGGMASMKLTAGGRGLGAMPSTQQVQKQVGSTGGPAGAVPMCDSGLPHVFGKDGKKK >Potri.003G072800.1.v4.1 pep chromosome:Pop_tri_v4:3:10064083:10068325:-1 gene:Potri.003G072800.v4.1 transcript:Potri.003G072800.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.003G072800.v4.1 MLVAKMTQDKQSLTGISDSGKHISIFKKNKKLFLVILASLLLVTAIIAIVAGVNSHKSSKNEGTHAILKSSCSSTLYPELCYSAVATVPGATSNLASQKDVIELSINLTTKAVQHNFFTVEKLIATKKLTKREKTALHDCLEIIDETLDELHEALVDLNDYPNNKSLKKHADDLKTLLSSAITNQETCLDGFSHDEADKKVRKALLKGQIHVEKMCSNVLAMIKNMTDTDVANELKTTNRKLMQEKEGNESEWPEWMSVADRRLLQSSSVTPDVVVAADGSGNYKTVSAAVAAAPKKSSKRYIIRIKAGVYRENVDVPKDKTNIMFMGDGRKTTIITASRNVVDGSTTFNSATVAAVGQGFLARGITFQNTAGPSKHQAVALRVGSDLSAFYDCDMLAYQDTLYVHSNRQFFINCLVAGTVDFIFGNAAVVLQDCDIHARRPNSGQKNMVTAQGRTDPNQNTGIVIQKSRIGATSDLQPVKSSFPTYLGRPWKEYSRTVIMQSSITDVIQPAGWFEWSGSFALNTLYYAEYQNSGAGAGTSRRVTWKGYRVITSATEAQRFTPGNFIAGSSWLRSTTFPFSLGL >Potri.002G236300.1.v4.1 pep chromosome:Pop_tri_v4:2:22931476:22936603:-1 gene:Potri.002G236300.v4.1 transcript:Potri.002G236300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G236300.v4.1 MTEEEAPKLIPNKRSDPSPPYMGIGICTDSKHHGVLSQSLNKRSRRTRNGDSADTDDWSSILMKDLRTRRVFSPVPSAVDKQGIKGSDKEENQGILCDSQNFPSTKLADSLDVKEDVGYSCKEQIMEDDINISNGEILNEECMKGTPPDAEMLSYGFAENEGRNSKETGQASQELSIGRVLKRGSEKKDIDSNSATKVVHRPWSRLKVFKAPGSISYRRLLPYLMDMVKNDSCAPKKTNCPNTEGGPGISMHHSQRDSSLEGNAGDSDTQPHCVQFLVNGSSGASDLNVTSLEQLSSEKDCCVSFNSHNSVNDDCCSKPLVNEENGKIEIGASLTVHASCFVGCSTDTNPVNSEEMERTDVGISCYYQDVMDARQSFSLIKEKHQLNESDEDGRHFDHTTAYDTVGVEEIDTMHPSEAVGLNHIGPDLFDTERISRTCHTKKEVDLKGGVLEKSDDSNEESIQLTPVTPRDSDIFDKPEAYTNVNSRVKCVSKRVDRVIGRPLDETTPRSNRFAGDNIMDSKISKRKLGLNPCSQLKLFKTPSSFSYRRLLPYLMDITKDSSGALGNVHYPKLEKRSEEYPVSLLSQLSTSYRNENPIEKSDGECYLVKCDSGPSRTGQIAVTATNDAYNDAQPDSTSAEHNSKTPVSLGSPEKEITADVISDKDKQLEAVLDIVPSVHGSCSPPMSLCFVSECSLNSGAPELPVVNEGGCMASVLKLTNCAKPEEADSSQVEVSDPSGIPPLGPKRSILKRNPRGCRGSCECLNCASFRLHAERAFEFSKNQMQDAEEVAYDLINELSHLRTLLEKSTSGSDDHLAVCTDQVKEACRKASEAEELAKTRLKGMNYDLSIHCRITCGERPRVSFANGVDERVIPHADV >Potri.015G042900.1.v4.1 pep chromosome:Pop_tri_v4:15:3998143:4000597:-1 gene:Potri.015G042900.v4.1 transcript:Potri.015G042900.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.015G042900.v4.1 MADRSVLPQKMKLLLALVALQFCYSGFHIVSRVALNIGVSKVVYPVYRNIIALLLLGPFAYFFEKKERPPLTFSVLVQLFFLALLGITANQGFYLLGLYYASPAFASAMQNSVPAITFVMASALGLEKVNISRRDGLAKVLGTIASIGGASVITLYKGPPLLHQITQQEQGITSEEDMSSKKMQNWTWGCIFLFGHCLSWAGWMVFQAPVLKKYPAKLSVTSFTCLFGLIQFLVIAAFVETDVNHWKIQSGEELFTILYAGIVSSAIVISLQTWCIHKGGPVFVAVFQPMQTILVAIMASLILGDQLYSGGIIGAILIMLGLYSVLWGKIEEKRVEIQNREETLTRNLLEDKNKDKEEGPCIV >Potri.014G084600.2.v4.1 pep chromosome:Pop_tri_v4:14:5434545:5440836:-1 gene:Potri.014G084600.v4.1 transcript:Potri.014G084600.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084600.v4.1 MAKKSQRRPVRYERDQSGCMWGLMSMFDFRHGRSTQKLISDRRRGTRHAVVTGTPKKKPDNLSENCQGIIDGEESRKATSDTNKLSVKKLMEEEMFSELDTKNEINNPEVEPKQSNSENGNHRTKNHKRKKSRTKSCDIHLEDLNVAESLESEQHCLHNLEKQSTKSLDIGEIMEDFCHQIHQKSIDYVEHDQHDEVQHQPNQKNPDFEEKLSEVIKLINEKLIDRKHVTEDGDLHPSKELRDALQILTSDEELFLKLLQGPKSIMVKHVQNLWNAQVEKDGDSKLLAVSNLLEQGLHGFRHSGEAIHGKQRKFFRKKTKSLEKNPSKENKASQASNRIVILKPGPTSLLLPENESSIGSSPESQFIIRNKGPIERSASHFSLTEIKRKLKNAMGKEKQETSTDGTSKRFFNKHAVGNSEKGFKENLGRNSPSKDHFFIEKIARPPMGGKMREKTCKLKESEISVEDEAVIYPKQRPSNIYIEAKKHLSEMLSTGQGDVDFSSGPVPKTLGRILSLPEYNFSPLGSPGRDWEQGFLTAQMRFSASEKFQKHETIVSHLGRTALNSEPLSSVSNDSIWDKKQASSNPNASASNELHDKEEKTFCSIRDEMPSEGEVEVVKKTAIEEESNILDTLSEPSSSPLDEHQNGDMSDVCDKKEYSECLEHESFEENQPLSSPLTSPSTTSNTKKLSCLEVTSEIRERPSPISVLEPLFPEEEITPASSRLEPVELPVQPLRIQFEEHEPSAADRNIPLKASVDDKESVFEYVKAVLQASGMKWDEFYMRSHSSEQLLDPSIFFEVEFFSNQLCCDKKLLFDSANEALVEVYERYFGCFPGLSFVKSTIRPAPDMKNSIYEVWEGVSWHLLPLPMPHTLDQLVKKDMAKTGTWMDLRCDIETILVEIGEAIFEDLMEEAIFGDLME >Potri.014G084600.3.v4.1 pep chromosome:Pop_tri_v4:14:5434545:5438829:-1 gene:Potri.014G084600.v4.1 transcript:Potri.014G084600.3.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084600.v4.1 MAKKSQRRPVRYERDQSGCMWGLMSMFDFRHGRSTQKLISDRRRGTRHAVVTGTPKKKPDNLSENCQGIIDGEESRKATSDTNKLSVKKLMEEEMFSELDTKNEINNPEVEPKQSNSENGNHRTKNHKRKKSRTKSCDIHLEDLNVAESLESEQHCLHNLEKQSTKSLDIGEIMEDFCHQIHQKSIDYVEHDQHDEVQHQPNQKNPDFEEKLSEVIKLINEKLIDRKHVTEDGDLHPSKELRDALQILTSDEELFLKLLQGPKSIMVKHVQNLWNAQVEKDGDSKLLAVSNLLEQGLHGFRHSGEAIHGKQRKFFRKKTKSLEKNPSKENKASQASNRIVILKPGPTSLLLPENESSIGSSPESQFIIRNKGPIERSASHFSLTEIKRKLKNAMGKEKQETSTDGTSKRFFNKHAVGNSEKGFKENLGRNSPSKDHFFIEKIARPPMGGKMREKTCKLKESEISVEDEAVIYPKQRPSNIYIEAKKHLSEMLSTGQGDVDFSSGPVPKTLGRILSLPEYNFSPLGSPGRDWEQGFLTAQMRFSASEKFQKHETIVSHLGRTALNSEPLSSVSNDSIWDKKQASSNPNASASNELHDKEEKTFCSIRDEMPSEGEVEVVKKTAIEEESNILDTLSEPSSSPLDEHQNGDMSDVCDKKEYSECLEHESFEENQPLSSPLTSPSTTSNTKKLSCLEVTSEIRERPSPISVLEPLFPEEEITPASSRLEPVELPVQPLRIQFEEHEPSAADRNIPLKASVDDKESVFEYVKAVLQASGMKWDEFYMRSHSSEQLLDPSIFFEVEFFSNQLCCDKKLLFDSANEALVEVYERYFGCFPGLSFVKSTIRPAPDMKNSIYEVWEGVSWHLLPLPMPHTLDQLVKKDMAKTGTWMDLRCDIETILVEIGEAIFEDLMEEAIFGDLME >Potri.014G084600.1.v4.1 pep chromosome:Pop_tri_v4:14:5434427:5440837:-1 gene:Potri.014G084600.v4.1 transcript:Potri.014G084600.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G084600.v4.1 MAKKSQRRPVRYERDQSGCMWGLMSMFDFRHGRSTQKLISDRRRGTRHAVVTGTPKKKPDNLSENCQGIIDGEESRKATSDTNKLSVKKLMEEEMFSELDTKNEINNPEVEPKQSNSENGNHRTKNHKRKKSRTKSCDIHLEDLNVAESLESEQHCLHNLEKQSTKSLDIGEIMEDFCHQIHQKSIDYVEHDQHDEVQHQPNQKNPDFEEKLSEVIKLINEKLIDRKHVTEDGDLHPSKELRDALQILTSDEELFLKLLQGPKSIMVKHVQNLWNAQVEKDGDSKLLAVSNLLEQGLHGFRHSGEAIHGKQRKFFRKKTKSLEKNPSKENKASQASNRIVILKPGPTSLLLPENESSIGSSPESQFIIRNKGPIERSASHFSLTEIKRKLKNAMGKEKQETSTDGTSKRFFNKHAVGNSEKGFKENLGRNSPSKDHFFIEKIARPPMGGKMREKTCKLKESEISVEDEAVIYPKQRPSNIYIEAKKHLSEMLSTGQGDVDFSSGPVPKTLGRILSLPEYNFSPLGSPGRDWEQGFLTAQMRFSASEKFQKHETIVSHLGRTALNSEPLSSVSNDSIWDKKQASSNPNASASNELHDKEEKTFCSIRDEMPSEGEVEVVKKTAIEEESNILDTLSEPSSSPLDEHQNGDMSDVCDKKEYSECLEHESFEENQPLSSPLTSPSTTSNTKKLSCLEVTSEIRERPSPISVLEPLFPEEEITPASSRLEPVELPVQPLRIQFEEHEPSAADRNIPLKASVDDKESVFEYVKAVLQASGMKWDEFYMRSHSSEQLLDPSIFFEVEFFSNQLCCDKKLLFDSANEALVEVYERYFGCFPGLSFVKSTIRPAPDMKNSIYEVWEGVSWHLLPLPMPHTLDQLVKKDMAKTGTWMDLRCDIETILVEIGEAIFEDLMEEAIFGDLME >Potri.001G043000.6.v4.1 pep chromosome:Pop_tri_v4:1:3121347:3128038:1 gene:Potri.001G043000.v4.1 transcript:Potri.001G043000.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043000.v4.1 MGVYLSSPKTDKASQDGENDKLRYGLSSMQGWRTTMEDAHAAYPDLDSSTSFFGVYDGHGGQAVAKFCAKYLHEQVLKHESYLSGDLGTSLQKAFLRMDEMMRGQRGWRELSRLGDNMEKVSGMIEGLIWSPRSGQVNGHFDDWLDEEGPHSGFDGPNCGSTACVAIIRNNQLVVANAGDSRCVISRKGQAYDMSKDHKPGLEVERERIRNAGGFIVVGRVNGTLNLSRAIGDTEFKQNKKLPAEQQIVTANPDIKTVDLCDDDEFLVLACDGIWDCMSSQQLVDYVHEQLNTETKLSVICERVFNRCLAPNTNGGEGCDNMSMILVQFKRPGQAGPSAEQQPTSSRQSMEVDRNNMEK >Potri.001G043000.7.v4.1 pep chromosome:Pop_tri_v4:1:3121868:3123628:1 gene:Potri.001G043000.v4.1 transcript:Potri.001G043000.7.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043000.v4.1 MGVYLSSPKTDKASQDGENDKLRYGLSSMQGWRTTMEDAHAAYPDLDSSTSFFGVYDGHGGQAVAKFCAKYLHEQVLKHESYLSGDLGTSLQKAFLRLFHLFTIYFV >Potri.001G043000.5.v4.1 pep chromosome:Pop_tri_v4:1:3121408:3128530:1 gene:Potri.001G043000.v4.1 transcript:Potri.001G043000.5.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G043000.v4.1 MGVYLSSPKTDKASQDGENDKLRYGLSSMQGWRTTMEDAHAAYPDLDSSTSFFGVYDGHGGQAVAKFCAKYLHEQVLKHESYLSGDLGTSLQKAFLRMDEMMRGQRGWRELSRLGDNMEKVSGMIEGLIWSPRSGQVNGHFDDWLDEEGPHSGFDGPNCGSTACVAIIRNNQLVVANAGDSRCVISRKGQAYDMSKDHKPGLEVERERIRNAGGFIVVGRVNGTLNLSRAIGDTEFKQNKKLPAEQQIVTANPDIKTVDLCDDDEFLVLACDGIWDCMSSQQLVDYVHEQLNTETKLSVICERVFNRCLAPNTNGGEGCDNMSMILVQFKRPGQAGPSAEQQPTSSRQSMEVDRNNMEK >Potri.011G145000.1.v4.1 pep chromosome:Pop_tri_v4:11:17378855:17382295:1 gene:Potri.011G145000.v4.1 transcript:Potri.011G145000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G145000.v4.1 MDKAAGETLKQYHRQRHHHNDHHNLPTPTSLKASDALPLPLYLTNGLFFGLFFSVAYFLLHRWREKIRNSTPLHILTFPEIAGIVCLSASVVYLLGFFGIGIAQSLISRGSQDSWDVEEDHMMEKKGGLCAAEICSGPAKSPAASLACTLAPVPSARAVSTMFQTPLLGSDDEEVIKGVVSGDIPSYSLESKLADCKRAAVIRREALQRMTGRSLEGLPLEGFDYESILGQCCEMPVGYVQIPVGVAGPLLLDGKEYTVPMATTEGCLVASTNRGCKAIYASGGASSVLLRDGMTRAPVVRFGTAKRAAELKFFTEDPANFDTLGVVFNRSSRFGRLQNIKCTIAGKNLYMRFSCSTGDAMGMNMVSKGVQNVLDYLQTDFPDMEIIGISGNFCSDKKPAAVNWIEGRGKSVVCEAIMKEEVVRKVLKTNVATLVELNMLKNLTGSAMAGALGGFNAHASNIVTAVYIATGQDPAQNVESSHCITMMEAVNGGKDLHISVTMPSIEVGTVGGGTQLASQSACLNLLGVKGASKDSPGSNSRLLSTIIAGSVLAGELSLMSAIAAGQLIKSHMKYNRSSKDVSEIAPSN >Potri.011G119800.6.v4.1 pep chromosome:Pop_tri_v4:11:15075885:15080336:1 gene:Potri.011G119800.v4.1 transcript:Potri.011G119800.6.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119800.v4.1 MATTGTIATPWFLRRAFQTLSLSHSIPNKTRISFCGTQFSFPLSSPITRHSALGMCHVAQATKGEVVALKGVEDKSVIEEVKRILDMARRASLRREVLHTDFLTPPTLKESLHVLEKLADVKAIAQGGYPQAERCRLSVGHPETLTSDPDIVAALSITGNFGFQLCSHGDFLGAILGTGIARNKLGDIIVLGGKRAQVLLVPDLVDYIVSSLDKVGDVSVSCTPIPLHAIEYEPPRTKSFKTVEASLRVDALASAGFKISRSKLVDLISNKDVRVNWTTITKNNTTLKTGDVVSVSGKGRLKIGEINTTRKGKFAVELIHYL >Potri.011G119800.2.v4.1 pep chromosome:Pop_tri_v4:11:15075885:15084150:1 gene:Potri.011G119800.v4.1 transcript:Potri.011G119800.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.011G119800.v4.1 MATTGTIATPWFLRRAFQTLSLSHSIPNKTRISFCGTQFSFPLSSPITRHSALGMCHVAQATKGEVVALKGVEDKSVIEEVKRILDMARRASLRREVLHTDFLTPPTLKESLHVLEKLADVKAIAQGGYPQAERCRLSVGHPETLTSDPDIVAALSITGNFGFQLCSHGDFLGAILGTGIARNKLGDIIVLGGKRAQVLLVPDLVDYIVSSLDKVGDVSVSCTPIPLHAIEYEPPRTKSFKTVEASLRVDALASAGFKISRSKLVDLISNKDVRVNWTTITKNNTTLKTGDVVSVSGKGRLKIGEINTTRKGKFAVELIHYL >Potri.001G251000.1.v4.1 pep chromosome:Pop_tri_v4:1:26698718:26703986:1 gene:Potri.001G251000.v4.1 transcript:Potri.001G251000.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.001G251000.v4.1 MAPRTRRMAFPKVLIERDTDSEQSSSSDDEDELEEEDEGPPSEPEPEEEDSTKNGYEERIEEDLSLKKKGKAPITISLKKVCKVCKKPGHEAGFKGATYIDCPMKPCFLCKMPGHTTMTCPHRVAIEHGVIPAPRTSTHNTVEYVLQRQLRPRILPIKPAYVIPDQVCCAVIRYHSRRVTCLEFHPTKNNILLSGDKKGQVGVWDFDKVHERMVYQNIHTCIVNNMRFKSTNDGMVYAASSDGTISSTDLETGMSSSLMNLNPNGWQGPSNWRMLYGMDINSEKGVVLVADNFGFLYMVDTRSNSKIGEAILIHKKGSKVVGLHCNPVQPELLLSCGNDHFARIWDMRQLKAGSSLSDLAHKRVVNSAYFSPLSGSKILTTSQDNRLRIWDSIFGNLDSPSREIVHSHDFNRHLTPFRAEWDPKDPTESLAVIGRYISENYNGAALHPIDFIDISTGQLVAEVMDPNITTISPVNKLHPRDDILASGSSRSLFIWRPKEKSEPVEKKDESKIIICGGAMKKRKGKFRGESDDDSEDDGCVSKGKNFQFKKAESKSAPRPRKVNK >Potri.010G196100.1.v4.1 pep chromosome:Pop_tri_v4:10:19012657:19013564:1 gene:Potri.010G196100.v4.1 transcript:Potri.010G196100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.010G196100.v4.1 MTTSIHITALDGIVNVNSLFTLAVFIGLAWNPTDPTNTLIGPNDPISCSPSPKIAEDLIAFHVYSFSSFLFSSLIALALKQAIRVAKTSNHTHGNYLEAAELMLAHVNKNLIRVGMLVSGIGSVCGCVFLMLALVNVVQLKLGSLGCGNGHIYAAVVPLVILVPVALLVYVSIVLYAFTR >Potri.007G026300.2.v4.1 pep chromosome:Pop_tri_v4:7:1990674:1993113:-1 gene:Potri.007G026300.v4.1 transcript:Potri.007G026300.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026300.v4.1 MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQSHHHHHNQQQQFLGLNPFSLNPWPTNIMSANPNLGYGLSGPAAFSDPFQSGQETGDPPVFSFSNMEQHHSSGFRLPYFTGAGGEFDSDEWMDSLMNGGDSTDSSNLPSGCDAWQNSTDFGMYTSDPFNTCPSRLTVGCSPPSDLNGVLSNSLWVAPSPPQEIKPTTSPPPLLPPTVKNETVGGSEEIVQLSSSPVLKALVECAQLVESKADQAVKSLVKCKDLVSENGDPVERAGFYFAEGLCRRVAVGELDVLKNFDQTSEEFTLSYKALNDACPYSKFAHLTANQAILEATEKASKIHIVDFGIVHGVQWAALLQALATRSAGKPVRIRISGIPAPVLGKNPAASLLATGNRLLDYAKLLGLNFEFEPILTPIQELNESCFRAEPDEVLAVNFMLQLYNLLDESPVAVETALKMAKSLNPIIVTLGEYEASLNRVGYLTRFKNALRYYTAVFESLEPNLSRDSPERLQVERLLLGQRISSVVGPEEPGMRRERMEDKDQWGVLMESSGFESVSLSHYAMSQAKILLWYCNYSDLYSLDDSQPGFLTLAWNEVPLLTVSSWR >Potri.007G026300.1.v4.1 pep chromosome:Pop_tri_v4:7:1989050:1993183:-1 gene:Potri.007G026300.v4.1 transcript:Potri.007G026300.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.007G026300.v4.1 MAYMCADSGNLMAIAQQVIKQKQQQEQQQQQSHHHHHNQQQQFLGLNPFSLNPWPTNIMSANPNLGYGLSGPAAFSDPFQSGQETGDPPVFSFSNMEQHHSSGFRLPYFTGAGGEFDSDEWMDSLMNGGDSTDSSNLPSGCDAWQNSTDFGMYTSDPFNTCPSRLTVGCSPPSDLNGVLSNSLWVAPSPPQEIKPTTSPPPLLPPTVKNETVGGSEEIVQLSSSPVLKALVECAQLVESKADQAVKSLVKCKDLVSENGDPVERAGFYFAEGLCRRVAVGELDVLKNFDQTSEEFTLSYKALNDACPYSKFAHLTANQAILEATEKASKIHIVDFGIVHGVQWAALLQALATRSAGKPVRIRISGIPAPVLGKNPAASLLATGNRLLDYAKLLGLNFEFEPILTPIQELNESCFRAEPDEVLAVNFMLQLYNLLDESPVAVETALKMAKSLNPIIVTLGEYEASLNRVGYLTRFKNALRYYTAVFESLEPNLSRDSPERLQVERLLLGQRISSVVGPEEPGMRRERMEDKDQWGVLMESSGFESVSLSHYAMSQAKILLWYCNYSDLYSLDDSQPGFLTLAWNEVPLLTVSSWR >Potri.006G081001.1.v4.1 pep chromosome:Pop_tri_v4:6:6011060:6012377:1 gene:Potri.006G081001.v4.1 transcript:Potri.006G081001.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.006G081001.v4.1 MEKKINSSDTLHALRDQILPNRSTPTIAEKNEASSSGNDNDARVNEPNRDLRVTEALRTQIEIQKLLHEQLKVPRCLLVIML >Potri.002G025425.1.v4.1 pep chromosome:Pop_tri_v4:2:1690423:1692378:-1 gene:Potri.002G025425.v4.1 transcript:Potri.002G025425.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.002G025425.v4.1 MALLIFVILFLSIIFLFLLKKNKISKRACFPPGPNGLPLIGNLHQLDSSNLQTQLWKLSQKYGPLMSLKLGFKRTLVVSSAKMAEEVLKTHDLEFCSRPLLTGQQKFSYNGLDVAFSPYGAYWREMKKICVVHLLNSTRVQSFRTNREDEVSHMIEKISKAALASKPFNLTEGMLSLTSTAICRTAFGKRYEDGGIEGSRFLALLNETEALFTMFFLSDYFPYMGWVDRLTGRAHRLEKNFREFDVFYQQIIDEHLDPERPKPDHEDILDVLLQIYKDRTFKVQLTLDHIKAILMNIFVGGTDTAAATVIWAMSLLMKNPEAMRKAQEEVRKVIGDKGFVYEDDVQQLPYLKAVVKETMRLQPTAPLLVPRETTTECNIGGYEIPAKTLVYVNAWAIGRDTEVWENPYVFIPDRFLGSSIDLKGQDFELIPFGAGRRICPGIYMGIATVELSLSNLLYKFDWEMPGGMKREDIDVDHTQPGLAMHTRDALCLVPKAYAVMGNDA >Potri.014G136532.1.v4.1 pep chromosome:Pop_tri_v4:14:9276077:9278426:1 gene:Potri.014G136532.v4.1 transcript:Potri.014G136532.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.014G136532.v4.1 MPAIPLSQQDFPLLLNHNLCHPSGCGLQHSHNKLNWRKASFTGFCFWIGPQLHNHRAFILSEVLFAMYIIVEMGRLSCRRHYMRWKVMLEIWKGEEEGEEGGAAGKGEREGEEGGAAEKGEGEKRKEKAGKGEGKGCEGEGEGGGVAENGEGGKGKGKGKEREGWKGRGEGGWRLRMEKGERGRARAREGKAGKGEGRGVAAAAGKE >Potri.019G008100.1.v4.1 pep chromosome:Pop_tri_v4:19:1098847:1101354:1 gene:Potri.019G008100.v4.1 transcript:Potri.019G008100.1.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008100.v4.1 MADKIKVWCFLLVLLKLVSNLQNCAHAAPQVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRSTVDIIGELLGFNQFIPPFATARGRDILVGVNYASGSAGIRDETGSQLGERISLNEQLQNHAATFNRSIQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYHLGARKIALPGLGPMGSLPYASSTLCPNNLSCVTNINNAVLPFNAGLVSLVDQLNRELNDARFIYLNSTGILSSGDPSVLGFRVTNVGCCPARSDGRCQNRTEYMFWDAIHCTEALYQLTARRSYNAFLPSDAYPTDISHLIS >Potri.019G008100.2.v4.1 pep chromosome:Pop_tri_v4:19:1098847:1101354:1 gene:Potri.019G008100.v4.1 transcript:Potri.019G008100.2.v4.1 gene_biotype:protein_coding transcript_biotype:protein_coding description:Potri.019G008100.v4.1 MADKIKVPCFFIFGDSLADSGNNNNLVTAAKANYRPYGIDFPNGTTGRFTNGRSTVDIIGELLGFNQFIPPFATARGRDILVGVNYASGSAGIRDETGSQLGERISLNEQLQNHAATFNRSIQLLGTKQAAENYLNKCLYYVSLGSNDYLNNYFMPSNYTTSRLYTPDQYAKVLIDQYSQQIKLLYHLGARKIALPGLGPMGSLPYASSTLCPNNLSCVTNINNAVLPFNAGLVSLVDQLNRELNDARFIYLNSTGILSSGDPSVLGFRVTNVGCCPARSDGRCQNRTEYMFWDAIHCTEALYQLTARRSYNAFLPSDAYPTDISHLIS